BEGIN SPECvirt_sc2013 v1.1 0,0,2024-09-07 08:11:51:848,174,0.2,186,0.3,362,0.1,253,1.25 0,1,2024-09-07 08:11:50:912,1728,1728,0,0,939875431,9853311,1725,3,0,374,391658,0 0,2,2024-09-07 08:11:51:096,1095,1095,0,0,49791,0,706 0,3,2024-09-07 08:11:50:979,1,1,8,8,8,8,1,0 1,0,2024-09-07 08:11:51:838,180,0.2,203,0.2,362,0.2,337,1.50 1,1,2024-09-07 08:11:50:646,1655,1655,0,0,1029278323,11098140,1622,33,0,378,391480,0 1,2,2024-09-07 08:11:50:676,1023,1023,0,0,48915,0,980 1,3,2024-09-07 08:11:51:330,1,1,36,36,36,36,1,0 2,0,2024-09-07 08:11:51:679,360,0.2,413,2.3,725,1.6,1310,2.00 2,1,2024-09-07 08:11:50:910,1627,1627,0,0,861602946,9102463,1626,1,0,385,391479,0 2,2,2024-09-07 08:11:51:298,1515,1515,0,0,173282,0,1500 2,3,2024-09-07 08:11:50:690,1,1,1,1,1,1,1,0 3,0,2024-09-07 08:11:51:829,197,0.1,190,0.2,382,0.1,310,1.25 3,1,2024-09-07 08:11:51:676,1752,1752,0,0,1068742743,11172470,1752,0,0,386,391479,0 3,2,2024-09-07 08:11:51:189,1262,1262,0,0,66565,0,1074 3,3,2024-09-07 08:11:51:752,1,1,23,23,23,23,1,0 4,0,2024-09-07 08:11:51:866,175,0.3,184,0.4,385,0.2,279,1.75 4,1,2024-09-07 08:11:50:719,1734,1734,0,0,1096671787,11594012,1727,7,0,382,391489,0 4,2,2024-09-07 08:11:51:046,1088,1088,0,0,80134,0,891 4,3,2024-09-07 08:11:51:027,1,1,21,21,21,21,1,0 5,0,2024-09-07 08:11:51:565,218,0.2,243,0.6,447,0.2,521,1.75 5,1,2024-09-07 08:11:50:875,1714,1714,0,0,1117167682,11866040,1712,2,0,377,391503,0 5,2,2024-09-07 08:11:51:869,1369,1369,0,0,60987,0,562 5,3,2024-09-07 08:11:51:745,1,1,17,17,17,17,1,0 6,0,2024-09-07 08:11:50:974,318,0.4,365,2.0,602,0.3,1127,2.00 6,1,2024-09-07 08:11:50:809,1671,1671,0,0,955479478,10098905,1654,17,0,385,391478,0 6,2,2024-09-07 08:11:51:161,1294,1294,0,0,72634,0,628 6,3,2024-09-07 08:11:51:274,1,1,277,277,277,277,1,0 7,0,2024-09-07 08:11:51:725,219,0.2,164,0.4,373,0.2,297,1.75 7,1,2024-09-07 08:11:50:978,1543,1543,0,0,798224910,8588444,1510,33,0,387,391478,0 7,2,2024-09-07 08:11:50:848,1055,1055,0,0,66184,0,1403 7,3,2024-09-07 08:11:50:855,1,1,1,1,1,1,1,0 8,0,2024-09-07 08:11:51:511,300,0.3,288,0.5,537,0.2,813,1.75 8,1,2024-09-07 08:11:51:093,1706,1706,0,0,743826241,8035535,1692,14,0,375,391476,0 8,2,2024-09-07 08:11:50:897,1437,1437,0,0,103303,0,984 8,3,2024-09-07 08:11:50:589,1,1,12,12,12,12,1,0 9,0,2024-09-07 08:11:51:271,268,0.3,230,0.6,491,0.2,591,1.75 9,1,2024-09-07 08:11:50:687,1768,1768,0,0,993214933,10348377,1767,1,0,377,391496,0 9,2,2024-09-07 08:11:51:146,1421,1421,0,0,85364,0,773 9,3,2024-09-07 08:11:51:752,1,1,17,17,17,17,1,0 10,0,2024-09-07 08:11:51:666,323,1.2,367,0.5,666,1.0,1191,2.25 10,1,2024-09-07 08:11:50:644,1511,1511,0,0,1068521276,11237526,1500,11,0,387,391479,0 10,2,2024-09-07 08:11:50:832,738,738,0,0,67838,0,1473 10,3,2024-09-07 08:11:50:870,1,1,1,1,1,1,1,0 11,0,2024-09-07 08:11:51:089,258,0.3,259,0.4,551,0.1,716,1.75 11,1,2024-09-07 08:11:50:664,1745,1745,0,0,935220835,9608281,1745,0,0,389,391478,0 11,2,2024-09-07 08:11:51:171,1374,1374,0,0,106487,0,1024 11,3,2024-09-07 08:11:51:329,1,1,55,55,55,55,1,0 12,0,2024-09-07 08:11:51:067,308,0.3,303,0.4,589,0.2,872,1.50 12,1,2024-09-07 08:11:51:279,1742,1742,0,0,741108199,7597919,1741,0,1,372,391479,0 12,2,2024-09-07 08:11:51:593,1653,1653,0,0,73064,0,903 12,3,2024-09-07 08:11:51:061,1,1,17,17,17,17,1,0 13,0,2024-09-07 08:11:51:490,215,0.2,227,0.5,452,0.2,465,2.00 13,1,2024-09-07 08:11:51:616,1634,1634,0,0,785283850,8265249,1634,0,0,390,391484,0 13,2,2024-09-07 08:11:50:638,1385,1385,0,0,31710,0,287 13,3,2024-09-07 08:11:51:772,1,1,2,2,2,2,1,0 14,0,2024-09-07 08:11:50:654,331,0.2,297,0.4,539,0.1,894,1.50 14,1,2024-09-07 08:11:51:671,1760,1760,0,0,800408229,8141305,1760,0,0,372,391476,0 14,2,2024-09-07 08:11:50:794,779,779,0,0,23907,0,533 14,3,2024-09-07 08:11:51:131,1,1,1,1,1,1,1,0 15,0,2024-09-07 08:11:51:632,343,0.2,353,1.2,684,0.9,1054,2.00 15,1,2024-09-07 08:11:51:676,1742,1742,0,0,732998635,7665110,1740,2,0,384,391478,0 15,2,2024-09-07 08:11:51:049,1568,1568,0,0,42389,0,529 15,3,2024-09-07 08:11:51:405,1,1,1,1,1,1,1,0 16,0,2024-09-07 08:11:51:040,191,0.2,211,0.3,376,0.1,329,1.25 16,1,2024-09-07 08:11:50:678,1716,1716,0,0,828810276,8785445,1716,0,0,374,391543,0 16,2,2024-09-07 08:11:51:507,1359,1359,0,0,93619,0,773 16,3,2024-09-07 08:11:51:143,1,1,12,12,12,12,1,0 17,0,2024-09-07 08:11:51:873,215,0.2,217,0.3,440,0.2,381,1.25 17,1,2024-09-07 08:11:50:668,1675,1675,0,0,824663853,8797495,1674,1,0,378,391487,0 17,2,2024-09-07 08:11:51:717,1271,1271,0,0,85351,0,1351 17,3,2024-09-07 08:11:50:577,1,1,1,1,1,1,1,0 18,0,2024-09-07 08:11:51:096,129,0.2,112,0.2,287,0.1,6,1.25 18,1,2024-09-07 08:11:51:789,1649,1649,0,0,973497029,10278046,1636,13,0,375,391477,0 18,2,2024-09-07 08:11:51:813,1117,1117,0,0,41545,0,408 18,3,2024-09-07 08:11:50:905,1,1,1,1,1,1,1,0 19,0,2024-09-07 08:11:51:641,306,0.3,287,0.3,613,0.1,896,1.50 19,1,2024-09-07 08:11:50:663,1727,1727,0,0,773652779,8039739,1727,0,0,371,391512,0 19,2,2024-09-07 08:11:51:778,1399,1399,0,0,40347,0,570 19,3,2024-09-07 08:11:51:135,1,1,5,5,5,5,1,0 20,0,2024-09-07 08:11:51:675,180,0.2,181,0.3,361,0.1,243,1.50 20,1,2024-09-07 08:11:50:717,1694,1694,0,0,893213042,9450657,1689,5,0,379,391483,0 20,2,2024-09-07 08:11:50:960,1382,1382,0,0,85038,0,955 20,3,2024-09-07 08:11:50:594,1,1,18,18,18,18,1,0 21,0,2024-09-07 08:11:51:316,229,0.2,260,0.3,459,0.2,568,1.50 21,1,2024-09-07 08:11:51:645,1588,1588,0,0,836065309,9232696,1505,83,0,379,391480,0 21,2,2024-09-07 08:11:51:170,1110,1110,0,0,124432,0,2235 21,3,2024-09-07 08:11:51:410,1,1,21,21,21,21,1,0 22,0,2024-09-07 08:11:51:797,330,0.2,363,0.6,678,0.1,963,1.50 22,1,2024-09-07 08:11:51:124,1508,1508,0,0,763688666,8162782,1508,0,0,388,391478,0 22,2,2024-09-07 08:11:50:784,1239,1239,0,0,57605,0,529 22,3,2024-09-07 08:11:51:065,1,1,1,1,1,1,1,0 23,0,2024-09-07 08:11:51:427,269,0.2,295,0.4,519,0.1,716,1.50 23,1,2024-09-07 08:11:51:058,1679,1679,0,0,873007930,9421148,1604,75,0,371,391477,0 23,2,2024-09-07 08:11:51:112,1227,1227,0,0,49010,0,717 23,3,2024-09-07 08:11:51:753,1,1,2,2,2,2,1,0 24,0,2024-09-07 08:11:50:999,364,1.3,351,4.8,714,5.0,1470,2.25 24,1,2024-09-07 08:11:50:698,1705,1705,0,0,705648747,7276145,1704,1,0,376,391484,0 24,2,2024-09-07 08:11:51:097,1329,1329,0,0,53986,0,694 24,3,2024-09-07 08:11:51:686,1,1,11,11,11,11,1,0 25,0,2024-09-07 08:11:51:521,262,0.3,269,0.5,551,0.2,841,1.50 25,1,2024-09-07 08:11:50:701,1716,1716,0,0,631750894,6668775,1715,1,0,376,391477,0 25,2,2024-09-07 08:11:51:659,1548,1548,0,0,51702,0,570 25,3,2024-09-07 08:11:51:014,1,1,50,50,50,50,1,0 26,0,2024-09-07 08:11:51:801,202,0.2,238,0.4,447,0.1,430,1.50 26,1,2024-09-07 08:11:51:607,1712,1712,0,0,869305228,9395861,1664,48,0,385,391480,0 26,2,2024-09-07 08:11:50:877,1477,1477,0,0,74106,0,825 26,3,2024-09-07 08:11:51:722,1,1,796,796,796,796,1,0 27,0,2024-09-07 08:11:51:819,262,0.2,257,0.3,520,0.1,708,1.50 27,1,2024-09-07 08:11:51:789,1585,1585,0,0,896033357,9707047,1561,24,0,384,391484,0 27,2,2024-09-07 08:11:50:883,720,720,0,0,32627,0,525 27,3,2024-09-07 08:11:51:015,1,1,4,4,4,4,1,0 28,0,2024-09-07 08:11:51:461,322,0.2,347,0.4,603,0.1,1036,1.50 28,1,2024-09-07 08:11:50:871,1718,1718,0,0,869891315,8983783,1718,0,0,388,391488,0 28,2,2024-09-07 08:11:52:001,1355,1355,0,0,60457,0,674 28,3,2024-09-07 08:11:51:780,1,1,8,8,8,8,1,0 29,0,2024-09-07 08:11:51:554,208,0.1,223,0.2,441,0.1,462,1.25 29,1,2024-09-07 08:11:51:675,1731,1731,0,0,1158191167,11857032,1731,0,0,373,391480,0 29,2,2024-09-07 08:11:50:888,1521,1521,0,0,56627,0,611 29,3,2024-09-07 08:11:50:963,1,1,1,1,1,1,1,0 30,0,2024-09-07 08:11:51:534,162,0.1,191,0.2,327,0.1,245,1.25 30,1,2024-09-07 08:11:50:640,1641,1641,0,0,938447009,9603461,1641,0,0,384,391478,0 30,2,2024-09-07 08:11:51:292,1204,1204,0,0,33998,0,653 30,3,2024-09-07 08:11:50:581,1,1,1,1,1,1,1,0 31,0,2024-09-07 08:11:51:827,206,0.1,217,0.2,430,0.1,355,1.25 31,1,2024-09-07 08:11:50:631,1594,1594,0,0,898073552,9175604,1594,0,0,356,391476,0 31,2,2024-09-07 08:11:51:319,1170,1170,0,0,44696,0,789 31,3,2024-09-07 08:11:51:707,1,1,1,1,1,1,1,0 32,0,2024-09-07 08:11:51:499,378,0.9,363,1.1,813,0.3,1315,1.50 32,1,2024-09-07 08:11:50:858,1704,1704,0,0,947438634,9983269,1704,0,0,386,391488,0 32,2,2024-09-07 08:11:50:958,1573,1573,0,0,53304,0,702 32,3,2024-09-07 08:11:51:016,1,1,14,14,14,14,1,0 33,0,2024-09-07 08:11:51:660,194,0.1,176,0.2,348,0.1,310,1.25 33,1,2024-09-07 08:11:50:626,1675,1675,0,0,953487653,9957467,1675,0,0,373,391479,0 33,2,2024-09-07 08:11:50:780,1199,1199,0,0,39246,0,691 33,3,2024-09-07 08:11:50:901,1,1,2,2,2,2,1,0 34,0,2024-09-07 08:11:50:991,184,0.1,186,0.2,387,0.1,247,1.25 34,1,2024-09-07 08:11:51:129,1715,1715,0,0,1294920825,13567929,1710,5,0,376,391481,0 34,2,2024-09-07 08:11:50:841,1085,1085,0,0,63438,0,803 34,3,2024-09-07 08:11:51:697,1,1,12,12,12,12,1,0 35,0,2024-09-07 08:11:50:920,237,0.1,222,0.2,434,0.1,553,1.50 35,1,2024-09-07 08:11:51:125,1676,1676,0,0,1018890594,10837686,1621,55,0,387,391478,0 35,2,2024-09-07 08:11:51:610,1330,1330,0,0,100407,0,950 35,3,2024-09-07 08:11:50:910,1,1,219,219,219,219,1,0 36,0,2024-09-07 08:11:51:611,376,4.4,296,3.9,643,2.8,1093,4.00 36,1,2024-09-07 08:11:50:631,1463,1463,0,0,1013349559,10426549,1458,5,0,373,391483,0 36,2,2024-09-07 08:11:51:807,1228,1228,0,0,104918,0,1172 36,3,2024-09-07 08:11:50:866,1,1,15,15,15,15,1,0 37,0,2024-09-07 08:11:51:460,171,0.2,197,0.9,367,0.1,287,2.75 37,1,2024-09-07 08:11:50:648,1643,1643,0,0,738651217,8170944,1569,74,0,371,391478,0 37,2,2024-09-07 08:11:51:192,1239,1239,0,0,60010,0,837 37,3,2024-09-07 08:11:51:778,1,1,2,2,2,2,1,0 38,0,2024-09-07 08:11:51:526,275,1.5,250,0.5,559,0.6,736,3.00 38,1,2024-09-07 08:11:51:665,1658,1658,0,0,849349471,8855050,1658,0,0,373,391479,0 38,2,2024-09-07 08:11:50:778,1541,1541,0,0,61385,0,709 38,3,2024-09-07 08:11:51:006,1,1,1,1,1,1,1,0 39,0,2024-09-07 08:11:51:831,256,0.3,262,0.5,490,0.4,617,2.75 39,1,2024-09-07 08:11:50:800,1554,1554,0,0,632734728,6603798,1554,0,0,369,391476,0 39,2,2024-09-07 08:11:51:439,1424,1424,0,0,134158,0,1318 39,3,2024-09-07 08:11:50:723,1,1,2,2,2,2,1,0 40,0,2024-09-07 08:11:51:628,355,1.5,341,1.9,698,1.2,987,2.75 40,1,2024-09-07 08:11:50:651,1668,1668,0,0,799913571,8240360,1668,0,0,372,391478,0 40,2,2024-09-07 08:11:51:351,879,879,0,0,28041,0,401 40,3,2024-09-07 08:11:51:146,1,1,8,8,8,8,1,0 41,0,2024-09-07 08:11:51:079,265,0.2,248,0.4,476,0.2,671,2.25 41,1,2024-09-07 08:11:50:828,1760,1760,0,0,941450037,9680133,1760,0,0,373,391478,0 41,2,2024-09-07 08:11:50:778,1444,1444,0,0,37218,0,382 41,3,2024-09-07 08:11:51:696,1,1,1,1,1,1,1,0 42,0,2024-09-07 08:11:51:548,302,0.3,290,0.7,571,0.2,858,2.00 42,1,2024-09-07 08:11:51:579,1763,1763,0,0,947269520,10074545,1756,6,1,386,391482,0 42,2,2024-09-07 08:11:51:162,1585,1585,0,0,60884,0,487 42,3,2024-09-07 08:11:51:009,1,1,1,1,1,1,1,0 43,0,2024-09-07 08:11:50:990,215,0.3,214,0.4,446,0.1,460,1.50 43,1,2024-09-07 08:11:50:684,1533,1533,0,0,734554087,7541669,1533,0,0,369,391478,0 43,2,2024-09-07 08:11:51:759,1338,1338,0,0,104286,0,995 43,3,2024-09-07 08:11:51:750,1,1,1,1,1,1,1,0 44,0,2024-09-07 08:11:50:989,281,0.2,293,0.4,564,0.1,917,1.75 44,1,2024-09-07 08:11:50:641,1571,1571,0,0,937967098,9510845,1571,0,0,359,391481,0 44,2,2024-09-07 08:11:51:300,836,836,0,0,12195,0,178 44,3,2024-09-07 08:11:51:094,1,1,25,25,25,25,1,0 45,0,2024-09-07 08:11:51:818,386,0.3,352,0.6,703,0.3,1276,1.75 45,1,2024-09-07 08:11:51:070,1661,1661,0,0,731109013,7591449,1661,0,0,386,391481,0 45,2,2024-09-07 08:11:51:308,1596,1596,0,0,70025,0,620 45,3,2024-09-07 08:11:50:938,1,1,16,16,16,16,1,0 46,0,2024-09-07 08:11:51:018,189,0.1,184,0.3,380,0.1,321,1.50 46,1,2024-09-07 08:11:50:624,1791,1791,0,0,1089477794,11049506,1790,1,0,371,391477,0 46,2,2024-09-07 08:11:50:611,1349,1349,0,0,42043,0,641 46,3,2024-09-07 08:11:51:131,1,1,17,17,17,17,1,0 47,0,2024-09-07 08:11:51:211,194,0.1,217,0.3,373,0.1,340,1.50 47,1,2024-09-07 08:11:50:635,1635,1635,0,0,627266808,6420953,1635,0,0,370,391481,0 47,2,2024-09-07 08:11:50:941,1078,1078,0,0,24959,0,513 47,3,2024-09-07 08:11:51:126,1,1,1,1,1,1,1,0 48,0,2024-09-07 08:11:51:637,119,0.1,131,0.1,232,0.1,3,1.25 48,1,2024-09-07 08:11:51:070,1604,1604,0,0,699950577,7511690,1589,15,0,387,391478,0 48,2,2024-09-07 08:11:50:722,1146,1146,0,0,80902,0,1515 48,3,2024-09-07 08:11:50:762,1,1,8,8,8,8,1,0 49,0,2024-09-07 08:11:51:858,303,0.1,291,0.3,591,0.1,955,1.50 49,1,2024-09-07 08:11:51:184,1715,1715,0,0,795206134,8344359,1714,1,0,386,391583,0 49,2,2024-09-07 08:11:51:881,1375,1375,0,0,46755,0,474 49,3,2024-09-07 08:11:51:416,1,1,23,23,23,23,1,0 50,0,2024-09-07 08:11:51:616,164,0.1,172,0.1,344,0.1,227,1.25 50,1,2024-09-07 08:11:51:065,1755,1755,0,0,947638078,9699730,1755,0,0,373,391478,0 50,2,2024-09-07 08:11:51:104,1401,1401,0,0,36461,0,384 50,3,2024-09-07 08:11:51:291,1,1,335,335,335,335,1,0 51,0,2024-09-07 08:11:51:765,240,0.1,237,0.2,461,0.1,596,1.50 51,1,2024-09-07 08:11:51:768,1595,1595,0,0,890593079,9132568,1594,1,0,372,391479,0 51,2,2024-09-07 08:11:51:340,1123,1123,0,0,50623,0,1063 51,3,2024-09-07 08:11:51:026,1,1,4,4,4,4,1,0 52,0,2024-09-07 08:11:51:506,335,0.4,301,1.2,611,1.0,884,2.25 52,1,2024-09-07 08:11:50:649,1337,1337,0,0,658059347,7243320,1268,69,0,371,391480,0 52,2,2024-09-07 08:11:51:799,1438,1438,0,0,93615,0,1288 52,3,2024-09-07 08:11:50:675,1,1,8,8,8,8,1,0 53,0,2024-09-07 08:11:51:872,292,0.3,265,0.5,560,0.3,725,2.25 53,1,2024-09-07 08:11:50:885,1396,1396,0,0,731299747,7751405,1387,9,0,375,391481,0 53,2,2024-09-07 08:11:51:362,1016,1016,0,0,73162,0,1065 53,3,2024-09-07 08:11:50:698,1,1,1,1,1,1,1,0 54,0,2024-09-07 08:11:51:685,269,5.1,309,5.3,404,3.7,884,5.25 54,1,2024-09-07 08:11:50:644,1668,1668,0,0,1063824305,11171137,1619,49,0,373,391477,0 54,2,2024-09-07 08:11:50:897,1253,1253,0,0,69457,0,679 54,3,2024-09-07 08:11:50:762,1,1,42,42,42,42,1,0 55,0,2024-09-07 08:11:51:852,311,0.8,323,1.1,618,0.5,907,2.50 55,1,2024-09-07 08:11:50:838,1696,1696,0,0,729197733,7507997,1696,0,0,371,391477,0 55,2,2024-09-07 08:11:50:767,1260,1260,0,0,162096,0,2125 55,3,2024-09-07 08:11:50:687,1,1,12,12,12,12,1,0 56,0,2024-09-07 08:11:51:686,224,0.2,230,0.3,420,0.1,450,1.50 56,1,2024-09-07 08:11:50:618,1545,1545,0,0,1133206007,12022217,1524,21,0,389,391480,0 56,2,2024-09-07 08:11:51:449,1579,1579,0,0,51638,0,547 56,3,2024-09-07 08:11:51:070,1,1,20,20,20,20,1,0 57,0,2024-09-07 08:11:51:007,227,0.2,249,0.3,469,0.1,614,1.50 57,1,2024-09-07 08:11:51:102,1482,1482,0,0,734332977,7576857,1480,2,0,372,391482,0 57,2,2024-09-07 08:11:51:354,694,694,0,0,31687,0,688 57,3,2024-09-07 08:11:51:745,1,1,1,1,1,1,1,0 58,0,2024-09-07 08:11:50:627,329,0.3,335,0.6,651,0.2,1024,2.00 58,1,2024-09-07 08:11:50:631,1620,1620,0,0,712371797,7363446,1620,0,0,375,391477,0 58,2,2024-09-07 08:11:51:106,1373,1373,0,0,76584,0,1184 58,3,2024-09-07 08:11:51:068,1,1,1,1,1,1,1,0 59,0,2024-09-07 08:11:51:840,217,0.1,227,0.3,454,0.1,502,1.50 59,1,2024-09-07 08:11:50:868,1638,1638,0,0,1038365049,11238091,1526,111,1,372,391478,0 59,2,2024-09-07 08:11:50:614,1536,1536,0,0,122845,0,1156 59,3,2024-09-07 08:11:51:738,1,1,3,3,3,3,1,0 60,0,2024-09-07 08:11:51:844,174,0.1,187,0.2,355,0.1,247,1.25 60,1,2024-09-07 08:11:50:846,1675,1675,0,0,830243253,8621845,1675,0,0,376,391577,0 60,2,2024-09-07 08:11:51:161,1249,1249,0,0,45188,0,557 60,3,2024-09-07 08:11:51:261,1,1,13,13,13,13,1,0 61,0,2024-09-07 08:11:51:607,208,0.2,207,0.2,340,0.1,337,1.25 61,1,2024-09-07 08:11:50:855,1693,1693,0,0,821762548,8663755,1687,6,0,385,391479,0 61,2,2024-09-07 08:11:51:160,1165,1165,0,0,36469,0,607 61,3,2024-09-07 08:11:51:703,1,1,1,1,1,1,1,0 62,0,2024-09-07 08:11:51:839,359,0.2,423,0.9,708,0.3,1257,1.50 62,1,2024-09-07 08:11:51:181,1732,1732,0,0,859521086,8774666,1730,1,1,370,391478,0 62,2,2024-09-07 08:11:51:685,1530,1530,0,0,35685,0,401 62,3,2024-09-07 08:11:51:146,1,1,2,2,2,2,1,0 63,0,2024-09-07 08:11:51:512,186,0.1,185,0.2,391,0.1,289,1.25 63,1,2024-09-07 08:11:50:909,1734,1734,0,0,1105186828,11268045,1733,1,0,382,391489,0 63,2,2024-09-07 08:11:50:793,1270,1270,0,0,29477,0,378 63,3,2024-09-07 08:11:51:733,1,1,216,216,216,216,1,0 64,0,2024-09-07 08:11:51:663,200,0.1,161,0.3,359,0.1,245,1.25 64,1,2024-09-07 08:11:50:845,1805,1805,0,0,988899323,10226397,1805,0,0,375,391486,0 64,2,2024-09-07 08:11:51:175,1118,1118,0,0,36377,0,727 64,3,2024-09-07 08:11:51:153,1,1,14,14,14,14,1,0 65,0,2024-09-07 08:11:51:799,219,0.1,236,0.3,428,0.1,496,1.25 65,1,2024-09-07 08:11:50:913,1406,1406,0,0,789097677,8377285,1390,15,1,387,391478,0 65,2,2024-09-07 08:11:51:756,1309,1309,0,0,48841,0,446 65,3,2024-09-07 08:11:51:684,1,1,9,9,9,9,1,0 66,0,2024-09-07 08:11:51:856,347,0.1,349,0.4,772,0.2,1152,1.50 66,1,2024-09-07 08:11:51:348,1910,1910,0,0,1034878326,10649077,1910,0,0,384,391481,0 66,2,2024-09-07 08:11:51:222,1388,1388,0,0,28476,0,311 66,3,2024-09-07 08:11:51:089,1,1,3,3,3,3,1,0 67,0,2024-09-07 08:11:51:509,193,0.1,192,0.3,363,0.1,321,1.25 67,1,2024-09-07 08:11:50:830,1684,1684,0,0,759770330,7945502,1684,0,0,383,391477,0 67,2,2024-09-07 08:11:50:612,1093,1093,0,0,96125,0,1423 67,3,2024-09-07 08:11:51:750,1,1,1,1,1,1,1,0 68,0,2024-09-07 08:11:50:677,265,0.2,288,0.8,516,0.3,738,2.25 68,1,2024-09-07 08:11:50:643,1711,1711,0,0,991811751,10342995,1711,0,0,387,391482,0 68,2,2024-09-07 08:11:51:078,1405,1405,0,0,60674,0,623 68,3,2024-09-07 08:11:50:734,1,1,8,8,8,8,1,0 69,0,2024-09-07 08:11:51:898,238,0.2,258,1.0,481,0.2,581,2.25 69,1,2024-09-07 08:11:51:086,1546,1546,0,0,1129840036,12181612,1435,111,0,390,391483,0 69,2,2024-09-07 08:11:51:777,1445,1445,0,0,73984,0,1041 69,3,2024-09-07 08:11:50:760,1,1,9,9,9,9,1,0 70,0,2024-09-07 08:11:51:676,346,2.3,324,1.6,724,1.8,866,4.75 70,1,2024-09-07 08:11:50:859,1769,1769,0,0,1005698939,10345448,1769,0,0,372,391500,0 70,2,2024-09-07 08:11:51:350,846,846,0,0,45181,0,759 70,3,2024-09-07 08:11:50:753,1,1,3,3,3,3,1,0 71,0,2024-09-07 08:11:51:467,273,0.5,290,1.0,537,0.2,740,2.00 71,1,2024-09-07 08:11:51:743,1499,1499,0,0,892674691,9429129,1483,16,0,373,391477,0 71,2,2024-09-07 08:11:51:093,1415,1415,0,0,161037,0,1773 71,3,2024-09-07 08:11:51:751,1,1,5,5,5,5,1,0 72,0,2024-09-07 08:11:51:216,331,0.3,316,0.5,634,0.2,927,2.75 72,1,2024-09-07 08:11:51:324,1642,1642,0,0,1039259263,11149439,1571,71,0,377,391480,0 72,2,2024-09-07 08:11:51:778,1436,1436,0,0,168082,0,1408 72,3,2024-09-07 08:11:51:761,1,1,13,13,13,13,1,0 73,0,2024-09-07 08:11:51:251,240,0.3,214,0.5,433,0.1,507,2.00 73,1,2024-09-07 08:11:50:918,1509,1509,0,0,734157034,7783616,1506,3,0,376,391483,0 73,2,2024-09-07 08:11:51:778,1368,1368,0,0,56735,0,1010 73,3,2024-09-07 08:11:50:971,1,1,3,3,3,3,1,0 74,0,2024-09-07 08:11:51:446,310,0.3,308,0.7,601,0.3,952,2.50 74,1,2024-09-07 08:11:50:682,1818,1818,0,0,910885846,9420188,1818,0,0,385,391481,0 74,2,2024-09-07 08:11:51:038,728,728,0,0,51932,0,1421 74,3,2024-09-07 08:11:51:447,1,1,19,19,19,19,1,0 75,0,2024-09-07 08:11:51:860,360,0.5,351,1.2,712,0.9,1163,2.50 75,1,2024-09-07 08:11:51:662,1748,1748,0,0,1055724649,11486373,1645,103,0,385,391508,0 75,2,2024-09-07 08:11:51:378,1578,1578,0,0,57081,0,537 75,3,2024-09-07 08:11:51:079,1,1,8,8,8,8,1,0 76,0,2024-09-07 08:11:50:659,185,0.1,194,0.2,351,0.1,324,1.25 76,1,2024-09-07 08:11:50:872,1647,1647,0,0,857621072,8952876,1640,7,0,388,391482,0 76,2,2024-09-07 08:11:51:103,1510,1510,0,0,34545,0,312 76,3,2024-09-07 08:11:51:148,1,1,22,22,22,22,1,0 77,0,2024-09-07 08:11:51:805,205,0.1,216,0.2,400,0.1,400,1.25 77,1,2024-09-07 08:11:50:921,1692,1692,0,0,713747095,7373049,1692,0,0,385,391480,0 77,2,2024-09-07 08:11:51:321,1210,1210,0,0,38182,0,627 77,3,2024-09-07 08:11:51:097,1,1,1,1,1,1,1,0 78,0,2024-09-07 08:11:51:896,123,0.1,141,0.2,279,0.1,4,1.25 78,1,2024-09-07 08:11:50:660,1786,1786,0,0,953662336,9858266,1786,0,0,371,391477,0 78,2,2024-09-07 08:11:51:432,1117,1117,0,0,25249,0,395 78,3,2024-09-07 08:11:51:141,1,1,2,2,2,2,1,0 79,0,2024-09-07 08:11:51:399,291,0.2,321,0.3,609,0.1,919,1.50 79,1,2024-09-07 08:11:50:624,1718,1718,0,0,869702466,8828954,1718,0,0,372,391477,0 79,2,2024-09-07 08:11:51:102,1451,1451,0,0,30684,0,365 79,3,2024-09-07 08:11:50:761,1,1,1,1,1,1,1,0 80,0,2024-09-07 08:11:51:168,172,0.1,181,0.3,325,0.1,267,1.50 80,1,2024-09-07 08:11:51:792,1616,1616,0,0,852320482,8782346,1616,0,0,376,391480,0 80,2,2024-09-07 08:11:51:133,1265,1265,0,0,101448,0,993 80,3,2024-09-07 08:11:50:577,1,1,9,9,9,9,1,0 81,0,2024-09-07 08:11:51:713,231,0.2,227,0.3,444,0.1,614,1.50 81,1,2024-09-07 08:11:51:774,1658,1658,0,0,859063258,9264543,1617,41,0,390,391481,0 81,2,2024-09-07 08:11:51:195,1143,1143,0,0,45742,0,908 81,3,2024-09-07 08:11:51:124,1,1,7,7,7,7,1,0 82,0,2024-09-07 08:11:51:596,280,0.2,294,0.5,555,0.2,883,1.75 82,1,2024-09-07 08:11:50:651,1671,1671,0,0,789605145,8243261,1671,0,0,387,391478,0 82,2,2024-09-07 08:11:51:717,1327,1327,0,0,67409,0,812 82,3,2024-09-07 08:11:51:752,1,1,65,65,65,65,1,0 83,0,2024-09-07 08:11:51:604,272,0.1,277,0.3,522,0.1,673,1.50 83,1,2024-09-07 08:11:50:600,1614,1614,0,0,615303213,6336038,1614,0,0,385,391490,0 83,2,2024-09-07 08:11:50:785,1083,1083,0,0,27023,0,402 83,3,2024-09-07 08:11:50:753,1,1,1,1,1,1,1,0 84,0,2024-09-07 08:11:51:873,302,4.9,450,5.1,461,1.7,933,5.00 84,1,2024-09-07 08:11:51:180,1704,1704,0,0,1080281449,11678426,1678,26,0,381,391538,0 84,2,2024-09-07 08:11:50:600,1069,1069,0,0,59181,0,1386 84,3,2024-09-07 08:11:51:196,1,1,13,13,13,13,1,0 85,0,2024-09-07 08:11:51:132,296,0.4,281,0.5,568,0.3,778,2.50 85,1,2024-09-07 08:11:50:669,1667,1667,0,0,960331023,10137722,1660,7,0,390,391490,0 85,2,2024-09-07 08:11:50:907,1472,1472,0,0,88074,0,727 85,3,2024-09-07 08:11:50:695,1,1,1,1,1,1,1,0 86,0,2024-09-07 08:11:50:947,210,0.2,202,0.3,428,0.2,398,2.00 86,1,2024-09-07 08:11:50:895,1550,1550,0,0,1032261858,11516991,1397,153,0,389,391491,0 86,2,2024-09-07 08:11:50:874,1381,1381,0,0,78495,0,1023 86,3,2024-09-07 08:11:50:605,1,1,2,2,2,2,1,0 87,0,2024-09-07 08:11:51:398,269,0.5,236,0.4,485,0.2,577,2.00 87,1,2024-09-07 08:11:50:641,1604,1604,0,0,857509179,9376521,1554,50,0,376,391551,0 87,2,2024-09-07 08:11:51:223,668,668,0,0,43035,0,1122 87,3,2024-09-07 08:11:51:807,1,1,10,10,10,10,1,0 88,0,2024-09-07 08:11:51:563,323,0.4,311,0.8,654,0.6,1085,1.50 88,1,2024-09-07 08:11:50:634,1654,1654,0,0,1098725520,11477597,1650,4,0,370,391511,0 88,2,2024-09-07 08:11:50:711,1523,1523,0,0,58962,0,430 88,3,2024-09-07 08:11:51:270,1,1,9,9,9,9,1,0 89,0,2024-09-07 08:11:51:867,212,0.2,220,0.3,420,0.2,508,1.50 89,1,2024-09-07 08:11:50:615,1618,1618,0,0,1037182731,10955196,1613,4,1,384,391492,0 89,2,2024-09-07 08:11:51:172,1586,1586,0,0,138853,0,1511 89,3,2024-09-07 08:11:51:806,1,1,4,4,4,4,1,0 90,0,2024-09-07 08:11:51:836,174,0.2,174,0.3,333,0.1,247,1.50 90,1,2024-09-07 08:11:50:771,1712,1712,0,0,761634923,8106538,1709,3,0,387,391490,0 90,2,2024-09-07 08:11:51:468,1202,1202,0,0,81229,0,1122 90,3,2024-09-07 08:11:50:936,1,1,1,1,1,1,1,0 91,0,2024-09-07 08:11:51:152,208,0.2,215,0.3,375,0.1,341,1.50 91,1,2024-09-07 08:11:50:689,1624,1624,0,0,1052156988,10963535,1622,1,1,390,391503,0 91,2,2024-09-07 08:11:51:375,1072,1072,0,0,61726,0,1068 91,3,2024-09-07 08:11:50:605,1,1,1,1,1,1,1,0 92,0,2024-09-07 08:11:51:537,349,0.3,367,0.6,738,0.8,1300,1.50 92,1,2024-09-07 08:11:50:645,1706,1706,0,0,835331652,8794777,1705,1,0,389,391484,0 92,2,2024-09-07 08:11:51:428,1666,1666,0,0,62949,0,425 92,3,2024-09-07 08:11:51:017,1,1,13,13,13,13,1,0 93,0,2024-09-07 08:11:51:094,189,0.2,188,0.2,368,0.1,297,1.25 93,1,2024-09-07 08:11:50:914,1749,1749,0,0,699129452,7270410,1749,0,0,371,391477,0 93,2,2024-09-07 08:11:50:986,1211,1211,0,0,28777,0,361 93,3,2024-09-07 08:11:51:417,1,1,23,23,23,23,1,0 94,0,2024-09-07 08:11:51:805,185,0.1,182,0.2,384,0.1,258,1.25 94,1,2024-09-07 08:11:50:736,1650,1650,0,0,845277318,8767438,1648,2,0,388,391478,0 94,2,2024-09-07 08:11:50:783,1123,1123,0,0,44784,0,730 94,3,2024-09-07 08:11:51:709,1,1,1,1,1,1,1,0 95,0,2024-09-07 08:11:51:644,269,0.2,224,0.2,472,0.1,531,1.25 95,1,2024-09-07 08:11:50:933,1751,1751,0,0,738054487,7647050,1750,1,0,372,391477,0 95,2,2024-09-07 08:11:51:034,1273,1273,0,0,58731,0,540 95,3,2024-09-07 08:11:51:723,1,1,3,3,3,3,1,0 96,0,2024-09-07 08:11:51:176,362,0.6,330,0.6,670,0.5,1183,1.75 96,1,2024-09-07 08:11:51:649,1673,1673,0,0,1164458063,12450723,1663,10,0,396,391509,0 96,2,2024-09-07 08:11:51:293,1276,1276,0,0,74803,0,1081 96,3,2024-09-07 08:11:51:143,1,1,13,13,13,13,1,0 97,0,2024-09-07 08:11:51:433,160,0.2,176,0.3,329,0.1,274,1.50 97,1,2024-09-07 08:11:50:872,1620,1620,0,0,808304412,8525437,1620,0,0,375,391489,0 97,2,2024-09-07 08:11:50:633,1134,1134,0,0,68157,0,1406 97,3,2024-09-07 08:11:50:568,1,1,4,4,4,4,1,0 98,0,2024-09-07 08:11:51:859,291,0.2,290,0.6,551,0.2,802,1.50 98,1,2024-09-07 08:11:50:691,1609,1609,0,0,710909359,7471394,1609,0,0,387,391588,0 98,2,2024-09-07 08:11:50:798,1303,1303,0,0,80705,0,743 98,3,2024-09-07 08:11:50:697,1,1,10,10,10,10,1,0 99,0,2024-09-07 08:11:51:780,274,0.3,230,0.4,463,0.2,603,1.75 99,1,2024-09-07 08:11:51:878,1746,1746,0,0,760161137,8429193,1713,33,0,385,391519,0 99,2,2024-09-07 08:11:51:720,1351,1351,0,0,68925,0,689 99,3,2024-09-07 08:11:50:581,1,1,5,5,5,5,1,0 100,0,2024-09-07 08:11:51:553,332,0.9,381,4.2,510,0.8,1169,3.25 100,1,2024-09-07 08:11:50:657,1591,1591,0,0,885512388,9791778,1514,76,1,389,391478,0 100,2,2024-09-07 08:11:51:860,820,820,0,0,48951,0,869 100,3,2024-09-07 08:11:51:737,1,1,12,12,12,12,1,0 101,0,2024-09-07 08:11:51:809,290,0.3,284,0.6,544,0.2,763,2.00 101,1,2024-09-07 08:11:50:600,1588,1588,0,0,761025864,8594873,1553,34,1,381,391513,0 101,2,2024-09-07 08:11:51:790,1448,1448,0,0,78507,0,532 101,3,2024-09-07 08:11:50:953,1,1,18,18,18,18,1,0 102,0,2024-09-07 08:11:51:001,336,0.7,287,0.7,580,0.3,947,2.25 102,1,2024-09-07 08:11:51:524,1645,1645,0,0,779373518,8749021,1537,108,0,379,391574,0 102,2,2024-09-07 08:11:51:783,1423,1423,0,0,65797,0,702 102,3,2024-09-07 08:11:51:641,1,1,34,34,34,34,1,0 103,0,2024-09-07 08:11:51:731,239,0.3,234,0.6,428,0.2,497,1.75 103,1,2024-09-07 08:11:51:754,1655,1655,0,0,1169572516,12212646,1648,7,0,385,391484,0 103,2,2024-09-07 08:11:50:600,1319,1319,0,0,79224,0,660 103,3,2024-09-07 08:11:50:759,1,1,5,5,5,5,1,0 104,0,2024-09-07 08:11:51:184,304,1.2,299,1.3,575,0.6,913,2.00 104,1,2024-09-07 08:11:51:751,1718,1718,0,0,961494297,10201888,1708,10,0,374,391485,0 104,2,2024-09-07 08:11:51:687,857,857,0,0,67567,0,1902 104,3,2024-09-07 08:11:51:419,1,1,9,9,9,9,1,0 105,0,2024-09-07 08:11:51:231,342,0.6,344,2.4,690,1.7,1161,2.00 105,1,2024-09-07 08:11:50:754,1686,1686,0,0,786984052,8404056,1662,23,1,373,391488,0 105,2,2024-09-07 08:11:51:346,1441,1441,0,0,64274,0,576 105,3,2024-09-07 08:11:51:331,1,1,1,1,1,1,1,0 106,0,2024-09-07 08:11:51:062,196,0.3,197,0.3,365,0.2,324,1.50 106,1,2024-09-07 08:11:51:977,1802,1802,0,0,962397781,9999516,1802,0,0,373,391503,0 106,2,2024-09-07 08:11:50:790,1408,1408,0,0,56433,0,691 106,3,2024-09-07 08:11:50:677,1,1,1,1,1,1,1,0 107,0,2024-09-07 08:11:51:325,199,0.2,194,0.4,397,0.1,332,1.75 107,1,2024-09-07 08:11:50:665,1707,1707,0,0,901873651,9363423,1707,0,0,383,391482,0 107,2,2024-09-07 08:11:51:351,1137,1137,0,0,58680,0,684 107,3,2024-09-07 08:11:51:766,1,1,34,34,34,34,1,0 108,0,2024-09-07 08:11:52:018,125,0.1,142,0.2,269,0.2,10,1.25 108,1,2024-09-07 08:11:51:448,1862,1862,0,0,1145506548,11796172,1862,0,0,376,391563,0 108,2,2024-09-07 08:11:51:838,1249,1249,0,0,32000,0,347 108,3,2024-09-07 08:11:51:331,1,1,18,18,18,18,1,0 109,0,2024-09-07 08:11:51:844,324,0.2,304,0.3,665,0.2,1011,1.50 109,1,2024-09-07 08:11:50:927,1631,1631,0,0,969532453,10062959,1631,0,0,387,391480,0 109,2,2024-09-07 08:11:50:962,1359,1359,0,0,40805,0,457 109,3,2024-09-07 08:11:51:153,1,1,1,1,1,1,1,0 110,0,2024-09-07 08:11:51:850,163,0.1,169,0.2,333,0.1,269,1.25 110,1,2024-09-07 08:11:51:868,1783,1783,0,0,1023266397,10440436,1782,1,0,373,391535,0 110,2,2024-09-07 08:11:51:359,1390,1390,0,0,45454,0,661 110,3,2024-09-07 08:11:50:694,1,1,1,1,1,1,1,0 111,0,2024-09-07 08:11:51:516,232,0.1,263,0.2,454,0.1,596,1.25 111,1,2024-09-07 08:11:51:110,1698,1698,0,0,950500264,9803625,1698,0,0,386,391482,0 111,2,2024-09-07 08:11:51:152,1246,1246,0,0,65428,0,1001 111,3,2024-09-07 08:11:50:913,1,1,10,10,10,10,1,0 112,0,2024-09-07 08:11:51:013,281,0.2,256,0.3,536,0.1,884,1.75 112,1,2024-09-07 08:11:50:894,1764,1764,0,0,941403514,9951718,1748,16,0,385,391480,0 112,2,2024-09-07 08:11:51:157,1517,1517,0,0,63102,0,755 112,3,2024-09-07 08:11:50:603,1,1,12,12,12,12,1,0 113,0,2024-09-07 08:11:50:963,303,0.3,248,0.3,494,0.1,733,1.75 113,1,2024-09-07 08:11:51:869,1636,1636,0,0,860629022,9204487,1577,59,0,371,391661,0 113,2,2024-09-07 08:11:51:354,1118,1118,0,0,26427,0,343 113,3,2024-09-07 08:11:50:687,1,1,130,130,130,130,1,0 114,0,2024-09-07 08:11:50:946,288,4.0,532,5.4,430,0.4,1375,2.25 114,1,2024-09-07 08:11:50:781,1741,1741,0,0,777929096,8036494,1741,0,0,383,391478,0 114,2,2024-09-07 08:11:50:920,1269,1269,0,0,35255,0,444 114,3,2024-09-07 08:11:51:285,1,1,159,159,159,159,1,0 115,0,2024-09-07 08:11:50:633,286,0.3,285,0.4,542,0.1,824,1.75 115,1,2024-09-07 08:11:50:657,1734,1734,0,0,913174741,9427518,1733,1,0,386,391482,0 115,2,2024-09-07 08:11:51:150,1461,1461,0,0,64874,0,745 115,3,2024-09-07 08:11:51:005,1,1,14,14,14,14,1,0 116,0,2024-09-07 08:11:51:847,208,0.2,220,0.4,439,0.2,423,1.75 116,1,2024-09-07 08:11:50:946,1678,1678,0,0,973543514,10272346,1676,2,0,387,391481,0 116,2,2024-09-07 08:11:51:799,1487,1487,0,0,150843,0,1533 116,3,2024-09-07 08:11:50:928,1,1,9,9,9,9,1,0 117,0,2024-09-07 08:11:51:118,242,0.4,249,0.5,451,0.2,630,1.75 117,1,2024-09-07 08:11:51:798,1650,1650,0,0,1009989200,11102228,1579,71,0,376,391523,0 117,2,2024-09-07 08:11:51:143,575,575,0,0,116248,0,1862 117,3,2024-09-07 08:11:51:069,1,1,36,36,36,36,1,0 118,0,2024-09-07 08:11:51:901,334,0.5,336,0.8,665,0.5,1030,1.75 118,1,2024-09-07 08:11:50:643,1578,1578,0,0,1063140405,11183418,1577,1,0,375,391484,0 118,2,2024-09-07 08:11:51:679,1495,1495,0,0,68031,0,464 118,3,2024-09-07 08:11:51:766,1,1,2,2,2,2,1,0 119,0,2024-09-07 08:11:51:506,212,0.3,202,0.3,411,0.2,478,1.75 119,1,2024-09-07 08:11:50:639,1593,1593,0,0,893995436,9842885,1488,103,2,375,391485,0 119,2,2024-09-07 08:11:51:292,1519,1519,0,0,197897,0,1903 119,3,2024-09-07 08:11:51:331,1,1,2,2,2,2,1,0 120,0,2024-09-07 08:11:51:632,166,0.2,181,0.3,351,0.2,248,2.00 120,1,2024-09-07 08:11:51:149,1606,1606,0,0,678302664,7256596,1606,0,0,379,391488,0 120,2,2024-09-07 08:11:50:834,1170,1170,0,0,59917,0,870 120,3,2024-09-07 08:11:51:293,1,1,13,13,13,13,1,0 121,0,2024-09-07 08:11:51:789,204,0.2,195,0.3,398,0.2,358,2.00 121,1,2024-09-07 08:11:51:840,1622,1622,0,0,930978585,10252563,1545,77,0,376,391574,0 121,2,2024-09-07 08:11:51:167,945,945,0,0,79992,0,1289 121,3,2024-09-07 08:11:50:738,1,1,71,71,71,71,1,0 122,0,2024-09-07 08:11:51:955,381,2.2,358,2.0,773,2.6,1209,2.75 122,1,2024-09-07 08:11:51:023,1612,1612,0,0,872101135,9495806,1552,60,0,375,392130,0 122,2,2024-09-07 08:11:51:453,1437,1437,0,0,236093,0,1973 122,3,2024-09-07 08:11:50:606,1,1,141,141,141,141,1,0 123,0,2024-09-07 08:11:51:125,198,0.2,174,0.3,350,0.1,293,1.75 123,1,2024-09-07 08:11:50:606,1723,1723,0,0,782449755,8137508,1722,1,0,377,391488,0 123,2,2024-09-07 08:11:51:090,1331,1331,0,0,73905,0,538 123,3,2024-09-07 08:11:51:141,1,1,2,2,2,2,1,0 124,0,2024-09-07 08:11:51:146,161,0.1,183,0.2,363,0.1,242,1.50 124,1,2024-09-07 08:11:51:161,1772,1772,0,0,793797694,8188865,1772,0,0,373,391481,0 124,2,2024-09-07 08:11:51:024,1060,1060,0,0,42126,0,650 124,3,2024-09-07 08:11:50:778,1,1,17,17,17,17,1,0 125,0,2024-09-07 08:11:51:486,224,0.2,211,0.3,464,0.1,524,1.50 125,1,2024-09-07 08:11:50:917,1744,1744,0,0,1070493101,11093293,1744,0,0,386,391493,0 125,2,2024-09-07 08:11:51:157,1370,1370,0,0,44935,0,523 125,3,2024-09-07 08:11:51:146,1,1,13,13,13,13,1,0 126,0,2024-09-07 08:11:51:633,355,0.2,343,0.7,646,0.4,1172,1.75 126,1,2024-09-07 08:11:50:631,1609,1609,0,0,1000930843,10490153,1608,1,0,375,391484,0 126,2,2024-09-07 08:11:50:636,1322,1322,0,0,31096,0,431 126,3,2024-09-07 08:11:50:911,1,1,1,1,1,1,1,0 127,0,2024-09-07 08:11:51:917,174,0.2,177,0.2,389,0.2,306,1.50 127,1,2024-09-07 08:11:50:624,1740,1740,0,0,677495808,7054301,1739,0,1,373,391480,0 127,2,2024-09-07 08:11:50:700,1233,1233,0,0,73227,0,1007 127,3,2024-09-07 08:11:51:267,1,1,14,14,14,14,1,0 128,0,2024-09-07 08:11:51:608,273,0.3,270,0.6,541,0.3,655,2.25 128,1,2024-09-07 08:11:51:687,1761,1761,0,0,955292345,9970783,1757,4,0,374,391483,0 128,2,2024-09-07 08:11:51:441,1448,1448,0,0,164554,0,1712 128,3,2024-09-07 08:11:50:771,1,1,19,19,19,19,1,0 129,0,2024-09-07 08:11:51:068,239,0.3,280,0.3,444,0.3,515,2.25 129,1,2024-09-07 08:11:50:660,1700,1700,0,0,951652635,10488450,1600,100,0,385,391481,0 129,2,2024-09-07 08:11:50:733,1285,1285,0,0,71251,0,1039 129,3,2024-09-07 08:11:50:695,1,1,1,1,1,1,1,0 130,0,2024-09-07 08:11:51:947,351,1.0,371,1.5,703,2.9,1054,3.25 130,1,2024-09-07 08:11:50:661,1698,1698,0,0,1083035068,11677926,1568,129,1,387,391516,0 130,2,2024-09-07 08:11:51:152,868,868,0,0,56195,0,1019 130,3,2024-09-07 08:11:51:291,1,1,1,1,1,1,1,0 131,0,2024-09-07 08:11:52:237,250,0.5,252,0.8,453,0.5,592,2.50 131,1,2024-09-07 08:11:51:915,1744,1744,0,0,883458925,9332982,1737,6,1,388,391491,0 131,2,2024-09-07 08:11:50:590,1487,1487,0,0,75946,0,760 131,3,2024-09-07 08:11:51:709,1,1,1,1,1,1,1,0 132,0,2024-09-07 08:11:51:639,303,0.4,346,1.9,578,0.2,875,2.00 132,1,2024-09-07 08:11:50:683,1647,1647,0,0,723085814,8073175,1594,53,0,387,391485,0 132,2,2024-09-07 08:11:50:744,1422,1422,0,0,147082,0,1642 132,3,2024-09-07 08:11:51:704,1,1,18,18,18,18,1,0 133,0,2024-09-07 08:11:51:632,210,0.2,205,0.3,442,0.1,451,1.75 133,1,2024-09-07 08:11:50:726,1619,1619,0,0,898731125,9711278,1565,54,0,390,391482,0 133,2,2024-09-07 08:11:51:114,1344,1344,0,0,93660,0,765 133,3,2024-09-07 08:11:51:331,1,1,4,4,4,4,1,0 134,0,2024-09-07 08:11:51:060,281,0.4,307,1.4,535,0.4,884,2.00 134,1,2024-09-07 08:11:50:703,1410,1410,0,0,881663741,9971959,1298,112,0,385,391500,0 134,2,2024-09-07 08:11:51:937,777,777,0,0,57986,0,869 134,3,2024-09-07 08:11:50:759,1,1,29,29,29,29,1,0 135,0,2024-09-07 08:11:51:187,351,1.2,328,1.6,667,1.7,1024,2.25 135,1,2024-09-07 08:11:51:645,1696,1696,0,0,792021613,8560044,1692,4,0,384,391491,0 135,2,2024-09-07 08:11:50:744,1198,1198,0,0,91773,0,1178 135,3,2024-09-07 08:11:51:006,1,1,2,2,2,2,1,0 136,0,2024-09-07 08:11:51:734,221,0.2,198,0.3,400,0.1,372,1.50 136,1,2024-09-07 08:11:51:556,1673,1673,0,0,988428089,10536115,1613,58,2,387,391480,0 136,2,2024-09-07 08:11:51:157,1487,1487,0,0,70894,0,668 136,3,2024-09-07 08:11:51:106,1,1,8,8,8,8,1,0 137,0,2024-09-07 08:11:51:023,176,0.2,197,0.2,389,0.1,322,1.50 137,1,2024-09-07 08:11:50:682,1774,1774,0,0,944293209,9699349,1773,1,0,371,391489,0 137,2,2024-09-07 08:11:51:730,984,984,0,0,39253,0,616 137,3,2024-09-07 08:11:50:779,1,1,1,1,1,1,1,0 138,0,2024-09-07 08:11:51:932,144,0.2,146,0.3,260,0.2,8,1.25 138,1,2024-09-07 08:11:51:945,1687,1687,0,0,876702088,9106149,1687,0,0,375,391572,0 138,2,2024-09-07 08:11:50:623,1082,1082,0,0,39998,0,987 138,3,2024-09-07 08:11:50:610,1,1,1,1,1,1,1,0 139,0,2024-09-07 08:11:51:724,315,0.3,309,0.9,622,0.6,917,1.75 139,1,2024-09-07 08:11:50:644,1476,1476,0,0,729880784,8347000,1374,100,2,393,391511,0 139,2,2024-09-07 08:11:50:733,1158,1158,0,0,115259,0,1347 139,3,2024-09-07 08:11:51:665,1,1,2,2,2,2,1,0 140,0,2024-09-07 08:11:51:669,194,0.1,184,0.2,352,0.1,255,1.50 140,1,2024-09-07 08:11:51:603,1685,1685,0,0,876547764,8917158,1685,0,0,370,391477,0 140,2,2024-09-07 08:11:50:710,1412,1412,0,0,33749,0,507 140,3,2024-09-07 08:11:50:771,1,1,13,13,13,13,1,0 141,0,2024-09-07 08:11:51:801,255,0.2,261,0.2,465,0.1,621,1.50 141,1,2024-09-07 08:11:50:916,1753,1753,0,0,721543401,7429015,1753,0,0,383,391479,0 141,2,2024-09-07 08:11:51:730,1247,1247,0,0,44942,0,599 141,3,2024-09-07 08:11:51:044,1,1,5,5,5,5,1,0 142,0,2024-09-07 08:11:51:414,285,0.2,332,0.9,592,0.2,865,1.75 142,1,2024-09-07 08:11:50:698,1710,1710,0,0,798329707,8228962,1710,0,0,386,391483,0 142,2,2024-09-07 08:11:51:346,1391,1391,0,0,57242,0,584 142,3,2024-09-07 08:11:51:752,1,1,1,1,1,1,1,0 143,0,2024-09-07 08:11:51:450,282,0.2,266,0.3,521,0.2,701,1.50 143,1,2024-09-07 08:11:50:637,1637,1637,0,0,929728831,9545879,1637,0,0,371,391483,0 143,2,2024-09-07 08:11:50:814,1034,1034,0,0,18285,0,241 143,3,2024-09-07 08:11:51:168,1,1,2,2,2,2,1,0 144,0,2024-09-07 08:11:51:802,237,2.7,442,5.8,415,3.4,1041,3.75 144,1,2024-09-07 08:11:50:643,1669,1669,0,0,756460338,8028542,1666,2,1,389,391481,0 144,2,2024-09-07 08:11:51:836,1276,1276,0,0,62009,0,607 144,3,2024-09-07 08:11:51:782,1,1,26,26,26,26,1,0 145,0,2024-09-07 08:11:51:704,282,0.3,293,0.5,571,0.3,865,2.00 145,1,2024-09-07 08:11:50:638,1638,1638,0,0,691692952,7734753,1585,53,0,389,391481,0 145,2,2024-09-07 08:11:51:738,1215,1215,0,0,364253,0,2821 145,3,2024-09-07 08:11:50:906,1,1,151,151,151,151,1,0 146,0,2024-09-07 08:11:51:668,211,0.2,234,0.5,442,0.2,411,1.75 146,1,2024-09-07 08:11:51:663,1703,1703,0,0,888160117,9746096,1599,100,4,374,391479,0 146,2,2024-09-07 08:11:51:738,1511,1511,0,0,148319,0,1544 146,3,2024-09-07 08:11:51:274,1,1,18,18,18,18,1,0 147,0,2024-09-07 08:11:51:980,247,0.3,257,0.5,524,0.2,701,2.00 147,1,2024-09-07 08:11:51:587,1672,1672,0,0,761305212,7858236,1664,7,1,372,391479,0 147,2,2024-09-07 08:11:51:079,693,693,0,0,14238,0,243 147,3,2024-09-07 08:11:50:917,1,1,52,52,52,52,1,0 0,0,2024-09-07 08:12:01:813,293,0.2,291,0.3,629,0.1,510,1.50 0,1,2024-09-07 08:12:00:878,3334,3334,0,0,1721862426,18398521,3329,5,0,374,391658,0 0,2,2024-09-07 08:12:01:070,2334,2334,0,0,118968,0,706 0,3,2024-09-07 08:12:00:985,1,2,16,8,16,24,2,0 1,0,2024-09-07 08:12:02:031,333,0.2,316,0.3,678,0.2,662,1.50 1,1,2024-09-07 08:12:00:566,3245,3245,0,0,1901949154,21094597,3009,203,33,378,391480,0 1,2,2024-09-07 08:12:00:656,1922,1922,0,0,119677,0,1130 1,3,2024-09-07 08:12:01:315,1,2,2,2,36,38,2,0 2,0,2024-09-07 08:12:01:587,875,0.6,808,2.2,1711,1.5,2665,1.75 2,1,2024-09-07 08:12:00:874,3375,3375,0,0,1408774134,15113939,3373,2,0,385,391479,0 2,2,2024-09-07 08:12:01:277,3043,3043,0,0,329408,0,1506 2,3,2024-09-07 08:12:00:708,1,2,30,1,30,31,2,0 3,0,2024-09-07 08:12:01:834,350,0.1,334,0.2,650,0.1,629,1.25 3,1,2024-09-07 08:12:01:626,3439,3439,0,0,1823846985,19117064,3439,0,0,384,391479,0 3,2,2024-09-07 08:12:01:151,2650,2650,0,0,151693,0,1313 3,3,2024-09-07 08:12:01:767,1,2,13,13,23,36,2,0 4,0,2024-09-07 08:12:01:819,323,0.3,314,0.4,629,0.2,544,1.75 4,1,2024-09-07 08:12:00:668,3478,3478,0,0,1907619748,20335810,3471,7,0,382,391525,0 4,2,2024-09-07 08:12:01:063,2200,2200,0,0,360760,0,2895 4,3,2024-09-07 08:12:01:085,1,2,16,16,21,37,2,0 5,0,2024-09-07 08:12:01:401,430,0.3,411,0.5,908,0.2,1057,2.00 5,1,2024-09-07 08:12:00:868,3412,3412,0,0,1992849694,21092704,3409,3,0,375,391503,0 5,2,2024-09-07 08:12:01:875,2509,2509,0,0,240546,0,1912 5,3,2024-09-07 08:12:01:784,1,2,1,1,17,18,2,0 6,0,2024-09-07 08:12:00:984,820,0.9,723,2.4,1417,2.0,2332,2.50 6,1,2024-09-07 08:12:00:761,3403,3403,0,0,1848935881,19300550,3384,19,0,383,391478,0 6,2,2024-09-07 08:12:01:131,2547,2547,0,0,130942,0,813 6,3,2024-09-07 08:12:01:275,1,2,7,7,277,284,2,0 7,0,2024-09-07 08:12:01:554,325,0.2,338,0.5,633,0.2,569,1.75 7,1,2024-09-07 08:12:00:855,3390,3390,0,0,1723448315,18456372,3338,52,0,387,391478,0 7,2,2024-09-07 08:12:00:777,2356,2356,0,0,373297,0,2981 7,3,2024-09-07 08:12:00:851,1,2,14,1,14,15,2,0 8,0,2024-09-07 08:12:01:394,545,0.3,525,0.5,1118,0.2,1550,1.75 8,1,2024-09-07 08:12:01:061,3480,3480,0,0,1608319522,16986361,3465,15,0,373,391482,0 8,2,2024-09-07 08:12:00:844,2802,2802,0,0,212925,0,1091 8,3,2024-09-07 08:12:00:608,1,2,9,9,12,21,2,0 9,0,2024-09-07 08:12:01:274,450,0.3,495,0.5,943,0.1,1154,1.50 9,1,2024-09-07 08:12:00:568,3319,3319,0,0,1890077453,19719067,3310,9,0,377,391496,0 9,2,2024-09-07 08:12:01:096,2721,2721,0,0,159212,0,805 9,3,2024-09-07 08:12:01:771,1,2,14,14,17,31,2,0 10,0,2024-09-07 08:12:01:626,818,1.1,747,0.6,1567,0.9,2443,2.25 10,1,2024-09-07 08:12:00:595,3403,3403,0,0,1918781502,20824110,3308,95,0,387,391540,0 10,2,2024-09-07 08:12:00:765,1762,1762,0,0,126826,0,1473 10,3,2024-09-07 08:12:00:887,1,2,118,1,118,119,2,0 11,0,2024-09-07 08:12:01:026,522,0.3,548,0.4,1064,0.1,1427,1.75 11,1,2024-09-07 08:12:00:587,3394,3394,0,0,1947515099,20193805,3372,22,0,384,391478,0 11,2,2024-09-07 08:12:01:128,2660,2660,0,0,202506,0,1024 11,3,2024-09-07 08:12:01:299,1,2,32,32,55,87,2,0 12,0,2024-09-07 08:12:01:076,658,0.3,648,0.5,1262,0.2,1835,1.75 12,1,2024-09-07 08:12:00:943,3482,3482,0,0,1380226990,14213258,3481,0,1,372,391480,0 12,2,2024-09-07 08:12:01:559,3092,3092,0,0,159745,0,903 12,3,2024-09-07 08:12:01:060,1,2,8,8,17,25,2,0 13,0,2024-09-07 08:12:01:447,413,0.3,426,0.5,860,0.2,990,1.75 13,1,2024-09-07 08:12:01:561,3387,3387,0,0,1692516664,17642834,3387,0,0,389,391485,0 13,2,2024-09-07 08:12:00:628,2823,2823,0,0,68306,0,514 13,3,2024-09-07 08:12:01:786,1,2,14,2,14,16,2,0 14,0,2024-09-07 08:12:00:579,591,0.2,591,0.5,1268,0.2,1791,1.75 14,1,2024-09-07 08:12:01:580,3528,3528,0,0,1589490849,16207908,3528,0,0,369,391477,0 14,2,2024-09-07 08:12:00:768,1444,1444,0,0,111397,0,1934 14,3,2024-09-07 08:12:01:119,1,2,2,1,2,3,2,0 15,0,2024-09-07 08:12:01:569,736,0.4,735,1.3,1483,1.0,2285,2.50 15,1,2024-09-07 08:12:01:617,3440,3440,0,0,1529370699,16032761,3438,2,0,384,391478,0 15,2,2024-09-07 08:12:01:000,3094,3094,0,0,74519,0,529 15,3,2024-09-07 08:12:01:412,1,2,538,1,538,539,2,0 16,0,2024-09-07 08:12:01:016,359,0.2,330,0.3,676,0.1,644,1.25 16,1,2024-09-07 08:12:00:586,3498,3498,0,0,1670106421,17643710,3498,0,0,374,391543,0 16,2,2024-09-07 08:12:01:437,2881,2881,0,0,169311,0,773 16,3,2024-09-07 08:12:01:143,1,2,41,12,41,53,2,0 17,0,2024-09-07 08:12:01:956,362,0.2,368,0.2,749,0.2,716,1.25 17,1,2024-09-07 08:12:00:646,3097,3097,0,0,1631761210,18045210,2920,163,14,378,391671,0 17,2,2024-09-07 08:12:01:783,2310,2310,0,0,220189,0,1865 17,3,2024-09-07 08:12:00:580,1,2,11,1,11,12,2,0 18,0,2024-09-07 08:12:01:010,177,0.2,162,0.2,376,0.1,6,1.25 18,1,2024-09-07 08:12:01:649,3375,3375,0,0,1771426719,18713952,3362,13,0,372,391477,0 18,2,2024-09-07 08:12:01:764,2122,2122,0,0,258303,0,2485 18,3,2024-09-07 08:12:00:896,1,2,142,1,142,143,2,0 19,0,2024-09-07 08:12:01:578,656,0.3,660,0.3,1274,0.1,1814,1.50 19,1,2024-09-07 08:12:00:573,3401,3401,0,0,1627290856,17168090,3394,7,0,371,391512,0 19,2,2024-09-07 08:12:01:762,2889,2889,0,0,143230,0,1128 19,3,2024-09-07 08:12:01:129,1,2,1,1,5,6,2,0 20,0,2024-09-07 08:12:01:420,303,0.2,301,0.3,608,0.2,486,1.75 20,1,2024-09-07 08:12:00:600,3406,3406,0,0,1594112221,17042857,3386,20,0,375,391483,0 20,2,2024-09-07 08:12:00:948,2581,2581,0,0,226313,0,1117 20,3,2024-09-07 08:12:00:592,1,2,17,17,18,35,2,0 21,0,2024-09-07 08:12:01:207,465,0.2,435,0.3,891,0.2,1157,1.75 21,1,2024-09-07 08:12:01:558,3245,3245,0,0,1566769120,18278627,2851,371,23,379,391480,0 21,2,2024-09-07 08:12:01:091,2341,2341,0,0,363187,0,3747 21,3,2024-09-07 08:12:01:418,1,2,28,21,28,49,2,0 22,0,2024-09-07 08:12:01:734,694,0.3,635,0.7,1326,0.2,1823,2.00 22,1,2024-09-07 08:12:01:040,3034,3034,0,0,1643644000,18588028,2828,194,12,388,391478,0 22,2,2024-09-07 08:12:00:761,2613,2613,0,0,169268,0,1503 22,3,2024-09-07 08:12:01:070,1,2,0,0,1,1,2,0 23,0,2024-09-07 08:12:01:385,529,0.2,525,0.4,1038,0.1,1423,2.00 23,1,2024-09-07 08:12:01:006,3417,3417,0,0,1980720926,21131916,3297,120,0,371,391477,0 23,2,2024-09-07 08:12:01:097,2456,2456,0,0,188390,0,1544 23,3,2024-09-07 08:12:01:779,1,2,1,1,2,3,2,0 24,0,2024-09-07 08:12:00:972,887,1.9,832,4.3,1678,4.8,3020,2.25 24,1,2024-09-07 08:12:00:743,3455,3455,0,0,1615897680,16786209,3454,1,0,374,391540,0 24,2,2024-09-07 08:12:01:072,2560,2560,0,0,98171,0,694 24,3,2024-09-07 08:12:01:695,1,2,13,11,13,24,2,0 25,0,2024-09-07 08:12:01:554,585,0.3,582,0.6,1115,0.2,1688,1.75 25,1,2024-09-07 08:12:00:571,3153,3153,0,0,1458886827,15914031,3045,107,1,376,391477,0 25,2,2024-09-07 08:12:01:630,2977,2977,0,0,182679,0,1361 25,3,2024-09-07 08:12:01:035,1,2,31,31,50,81,2,0 26,0,2024-09-07 08:12:01:755,400,0.2,397,0.4,754,0.1,857,1.50 26,1,2024-09-07 08:12:01:570,3319,3319,0,0,1799763036,19262284,3258,61,0,385,391480,0 26,2,2024-09-07 08:12:00:867,2833,2833,0,0,227559,0,1640 26,3,2024-09-07 08:12:01:713,1,2,33,33,796,829,2,0 27,0,2024-09-07 08:12:01:747,501,0.2,489,0.3,983,0.1,1337,1.50 27,1,2024-09-07 08:12:01:685,3453,3453,0,0,1929997727,20538538,3428,25,0,384,391484,0 27,2,2024-09-07 08:12:00:872,1411,1411,0,0,63854,0,938 27,3,2024-09-07 08:12:01:018,1,2,2,2,4,6,2,0 28,0,2024-09-07 08:12:01:415,665,0.2,662,0.4,1418,0.1,1995,1.50 28,1,2024-09-07 08:12:00:821,3481,3481,0,0,1562145760,16191434,3481,0,0,386,391488,0 28,2,2024-09-07 08:12:01:770,2748,2748,0,0,107843,0,674 28,3,2024-09-07 08:12:01:777,1,2,8,8,8,16,2,0 29,0,2024-09-07 08:12:01:449,401,0.1,414,0.2,842,0.1,917,1.25 29,1,2024-09-07 08:12:01:570,3508,3508,0,0,1925185163,19804324,3508,0,0,371,391481,0 29,2,2024-09-07 08:12:00:863,3021,3021,0,0,93619,0,611 29,3,2024-09-07 08:12:00:963,1,2,2,1,2,3,2,0 30,0,2024-09-07 08:12:01:510,304,0.1,284,0.2,579,0.1,508,1.25 30,1,2024-09-07 08:12:00:580,3365,3365,0,0,1926286201,19753271,3365,0,0,382,391478,0 30,2,2024-09-07 08:12:01:277,2437,2437,0,0,70484,0,678 30,3,2024-09-07 08:12:00:590,1,2,110,1,110,111,2,0 31,0,2024-09-07 08:12:01:793,352,0.1,357,0.2,717,0.1,682,1.25 31,1,2024-09-07 08:12:00:571,3392,3392,0,0,1680998391,17214839,3392,0,0,356,391476,0 31,2,2024-09-07 08:12:01:277,2182,2182,0,0,73656,0,789 31,3,2024-09-07 08:12:01:707,1,2,1,1,1,2,2,0 32,0,2024-09-07 08:12:01:445,855,0.8,865,1.2,1656,0.4,2671,1.50 32,1,2024-09-07 08:12:01:063,3393,3393,0,0,1830743675,19231181,3392,1,0,386,391488,0 32,2,2024-09-07 08:12:00:941,3134,3134,0,0,110867,0,702 32,3,2024-09-07 08:12:01:069,1,2,37,14,37,51,2,0 33,0,2024-09-07 08:12:01:527,322,0.1,314,0.2,620,0.1,592,1.25 33,1,2024-09-07 08:12:00:613,3056,3056,0,0,1878921363,19706098,3049,7,0,373,391479,0 33,2,2024-09-07 08:12:00:776,2500,2500,0,0,163730,0,1769 33,3,2024-09-07 08:12:00:903,1,2,2,2,2,4,2,0 34,0,2024-09-07 08:12:00:963,302,0.1,328,0.2,633,0.1,505,1.25 34,1,2024-09-07 08:12:01:065,3633,3633,0,0,2263855327,24119423,3575,58,0,372,391481,0 34,2,2024-09-07 08:12:00:781,2350,2350,0,0,187572,0,1096 34,3,2024-09-07 08:12:01:688,1,2,1,1,12,13,2,0 35,0,2024-09-07 08:12:00:887,428,0.2,455,0.3,871,0.1,1022,1.50 35,1,2024-09-07 08:12:01:082,3276,3276,0,0,1735556144,19667640,2923,256,97,386,391481,0 35,2,2024-09-07 08:12:01:590,2595,2595,0,0,312677,0,2276 35,3,2024-09-07 08:12:00:908,1,2,1,1,219,220,2,0 36,0,2024-09-07 08:12:01:596,741,4.2,811,3.3,1419,2.6,2021,3.75 36,1,2024-09-07 08:12:00:593,2705,2705,0,0,1903244779,21566764,2193,396,116,373,391483,0 36,2,2024-09-07 08:12:01:753,2650,2650,0,0,202105,0,1172 36,3,2024-09-07 08:12:00:869,1,2,19,15,19,34,2,0 37,0,2024-09-07 08:12:01:402,340,0.2,311,1.0,641,0.1,572,2.50 37,1,2024-09-07 08:12:00:575,2660,2660,0,0,1582367418,17620997,2391,194,75,371,391478,0 37,2,2024-09-07 08:12:01:154,2573,2573,0,0,194750,0,1448 37,3,2024-09-07 08:12:01:767,1,2,1,1,2,3,2,0 38,0,2024-09-07 08:12:01:462,575,1.2,558,0.8,1072,0.7,1443,2.75 38,1,2024-09-07 08:12:01:632,3365,3365,0,0,1524043868,16719608,3214,150,1,373,391479,0 38,2,2024-09-07 08:12:00:772,2690,2690,0,0,460061,0,3245 38,3,2024-09-07 08:12:00:997,1,2,3,1,3,4,2,0 39,0,2024-09-07 08:12:01:806,483,0.4,496,0.5,965,0.4,1095,2.50 39,1,2024-09-07 08:12:00:721,3221,3221,0,0,1650493605,17698637,3148,73,0,369,391478,0 39,2,2024-09-07 08:12:01:428,2621,2621,0,0,210079,0,1318 39,3,2024-09-07 08:12:00:716,1,2,189,2,189,191,2,0 40,0,2024-09-07 08:12:01:508,648,1.7,782,4.5,1257,1.8,2302,3.75 40,1,2024-09-07 08:12:00:606,3359,3359,0,0,1610061881,16688037,3357,2,0,372,391478,0 40,2,2024-09-07 08:12:01:312,1857,1857,0,0,48358,0,408 40,3,2024-09-07 08:12:01:143,1,2,10,8,10,18,2,0 41,0,2024-09-07 08:12:01:048,486,0.2,485,0.6,1000,0.3,1381,2.25 41,1,2024-09-07 08:12:00:859,3532,3532,0,0,1476109299,15367300,3532,0,0,373,391483,0 41,2,2024-09-07 08:12:00:770,2870,2870,0,0,113740,0,615 41,3,2024-09-07 08:12:01:686,1,2,1,1,1,2,2,0 42,0,2024-09-07 08:12:01:501,615,0.4,663,1.0,1204,0.4,1789,2.00 42,1,2024-09-07 08:12:01:441,3543,3543,0,0,1611033492,17175911,3535,7,1,383,391482,0 42,2,2024-09-07 08:12:01:138,3009,3009,0,0,157750,0,1387 42,3,2024-09-07 08:12:01:010,1,2,2,1,2,3,2,0 43,0,2024-09-07 08:12:00:962,440,0.3,432,0.5,811,0.1,965,1.75 43,1,2024-09-07 08:12:00:591,3374,3374,0,0,1796919184,19423282,3134,215,25,369,391478,0 43,2,2024-09-07 08:12:01:757,2744,2744,0,0,192564,0,995 43,3,2024-09-07 08:12:01:769,1,2,58,1,58,59,2,0 44,0,2024-09-07 08:12:00:877,620,0.2,654,1.2,1170,0.2,1774,2.00 44,1,2024-09-07 08:12:00:566,3484,3484,0,0,1833805445,19079292,3432,52,0,359,391481,0 44,2,2024-09-07 08:12:01:274,1543,1543,0,0,30116,0,380 44,3,2024-09-07 08:12:01:096,1,2,22,22,25,47,2,0 45,0,2024-09-07 08:12:01:776,729,0.4,752,0.7,1548,0.4,2321,1.75 45,1,2024-09-07 08:12:01:009,3399,3399,0,0,1704066510,17530026,3399,0,0,386,391482,0 45,2,2024-09-07 08:12:01:277,3092,3092,0,0,130255,0,761 45,3,2024-09-07 08:12:00:937,1,2,1,1,16,17,2,0 46,0,2024-09-07 08:12:00:968,342,0.1,335,0.3,633,0.1,635,1.50 46,1,2024-09-07 08:12:00:595,3557,3557,0,0,1949836750,19908594,3555,1,1,370,391477,0 46,2,2024-09-07 08:12:00:599,2963,2963,0,0,97291,0,702 46,3,2024-09-07 08:12:01:135,1,2,200,17,200,217,2,0 47,0,2024-09-07 08:12:01:116,327,0.1,347,0.3,683,0.1,668,1.50 47,1,2024-09-07 08:12:00:578,3479,3479,0,0,1313957447,13572390,3478,1,0,370,391481,0 47,2,2024-09-07 08:12:00:909,2130,2130,0,0,65431,0,513 47,3,2024-09-07 08:12:01:119,1,2,3,1,3,4,2,0 48,0,2024-09-07 08:12:01:561,163,0.1,180,0.2,329,0.1,3,1.25 48,1,2024-09-07 08:12:01:036,3365,3365,0,0,1658915801,17500205,3348,17,0,387,391488,0 48,2,2024-09-07 08:12:00:712,2164,2164,0,0,111934,0,1515 48,3,2024-09-07 08:12:00:754,1,2,16,8,16,24,2,0 49,0,2024-09-07 08:12:01:737,634,0.2,653,0.3,1248,0.1,1875,1.50 49,1,2024-09-07 08:12:01:061,3435,3435,0,0,1660274490,17986798,3317,118,0,386,391583,0 49,2,2024-09-07 08:12:01:807,2871,2871,0,0,127188,0,1178 49,3,2024-09-07 08:12:01:419,1,2,3,3,23,26,2,0 50,0,2024-09-07 08:12:01:525,293,0.1,285,0.2,578,0.1,475,1.25 50,1,2024-09-07 08:12:01:016,3510,3510,0,0,1872864541,19243485,3510,0,0,373,391479,0 50,2,2024-09-07 08:12:01:068,2766,2766,0,0,91078,0,743 50,3,2024-09-07 08:12:01:291,1,2,1,1,335,336,2,0 51,0,2024-09-07 08:12:01:733,474,0.2,452,0.2,928,0.1,1187,1.50 51,1,2024-09-07 08:12:01:708,3240,3240,0,0,1757824131,18520291,3186,54,0,372,391481,0 51,2,2024-09-07 08:12:01:317,2197,2197,0,0,94338,0,1063 51,3,2024-09-07 08:12:01:037,1,2,22,4,22,26,2,0 52,0,2024-09-07 08:12:01:445,596,0.4,661,1.1,1238,0.8,1742,2.25 52,1,2024-09-07 08:12:00:583,3224,3224,0,0,1467954615,17981561,2725,352,147,371,391480,0 52,2,2024-09-07 08:12:01:756,2730,2730,0,0,133866,0,1288 52,3,2024-09-07 08:12:00:681,1,2,14,8,14,22,2,0 53,0,2024-09-07 08:12:01:771,517,0.3,567,0.6,1049,0.2,1371,2.00 53,1,2024-09-07 08:12:00:853,3375,3375,0,0,1669688848,18458144,3221,143,11,375,391481,0 53,2,2024-09-07 08:12:01:311,2202,2202,0,0,132335,0,1417 53,3,2024-09-07 08:12:00:740,1,2,28,1,28,29,2,0 54,0,2024-09-07 08:12:01:634,527,6.9,536,6.3,1534,8.0,2330,6.25 54,1,2024-09-07 08:12:00:584,3352,3352,0,0,2120432518,23083383,3056,262,34,373,391477,0 54,2,2024-09-07 08:12:00:868,2322,2322,0,0,197965,0,1445 54,3,2024-09-07 08:12:00:818,1,2,3,3,42,45,2,0 55,0,2024-09-07 08:12:01:791,612,0.7,613,1.2,1193,0.5,1716,2.25 55,1,2024-09-07 08:12:00:776,3333,3333,0,0,1434816604,16516522,2918,301,114,371,391660,0 55,2,2024-09-07 08:12:00:742,2969,2969,0,0,423677,0,2129 55,3,2024-09-07 08:12:00:686,1,2,1,1,12,13,2,0 56,0,2024-09-07 08:12:01:618,397,0.2,387,0.3,768,0.1,871,1.75 56,1,2024-09-07 08:12:00:596,3415,3415,0,0,1996947291,21266819,3360,55,0,386,391480,0 56,2,2024-09-07 08:12:01:320,2927,2927,0,0,112642,0,633 56,3,2024-09-07 08:12:01:059,1,2,8,8,20,28,2,0 57,0,2024-09-07 08:12:00:960,489,0.3,471,0.3,931,0.1,1245,1.75 57,1,2024-09-07 08:12:00:990,3456,3456,0,0,1572117866,17311607,3278,177,1,371,391482,0 57,2,2024-09-07 08:12:01:334,1406,1406,0,0,57148,0,688 57,3,2024-09-07 08:12:01:778,1,2,1,1,1,2,2,0 58,0,2024-09-07 08:12:00:599,676,0.6,675,0.6,1371,0.5,2016,2.25 58,1,2024-09-07 08:12:00:583,3374,3374,0,0,1645317086,17256803,3367,7,0,373,391477,0 58,2,2024-09-07 08:12:01:073,2896,2896,0,0,222812,0,1307 58,3,2024-09-07 08:12:01:070,1,2,80,1,80,81,2,0 59,0,2024-09-07 08:12:01:770,389,0.2,419,0.3,807,0.1,948,1.75 59,1,2024-09-07 08:12:00:819,3473,3473,0,0,2043614853,21543699,3361,111,1,372,391478,0 59,2,2024-09-07 08:12:00:584,3007,3007,0,0,228076,0,1156 59,3,2024-09-07 08:12:01:782,1,2,10,3,10,13,2,0 60,0,2024-09-07 08:12:01:741,293,0.1,292,0.2,642,0.1,501,1.25 60,1,2024-09-07 08:12:00:855,3473,3473,0,0,1448670654,15118218,3473,0,0,372,391577,0 60,2,2024-09-07 08:12:01:152,2490,2490,0,0,81061,0,557 60,3,2024-09-07 08:12:01:266,1,2,23,13,23,36,2,0 61,0,2024-09-07 08:12:01:517,322,0.1,321,0.3,702,0.1,646,1.25 61,1,2024-09-07 08:12:00:807,3470,3470,0,0,1777351899,18432964,3463,7,0,385,391481,0 61,2,2024-09-07 08:12:01:125,2120,2120,0,0,66802,0,607 61,3,2024-09-07 08:12:01:693,1,2,1,1,1,2,2,0 62,0,2024-09-07 08:12:01:742,839,0.2,815,0.8,1656,0.3,2637,1.50 62,1,2024-09-07 08:12:01:135,3392,3392,0,0,1737768366,18552417,3233,157,2,369,391491,0 62,2,2024-09-07 08:12:01:659,2979,2979,0,0,210198,0,2030 62,3,2024-09-07 08:12:01:143,1,2,28,2,28,30,2,0 63,0,2024-09-07 08:12:01:470,317,0.1,357,0.3,648,0.1,589,1.25 63,1,2024-09-07 08:12:00:861,3489,3489,0,0,2066740335,21808765,3356,133,0,382,391489,0 63,2,2024-09-07 08:12:00:781,2536,2536,0,0,184992,0,1769 63,3,2024-09-07 08:12:01:735,1,2,24,24,216,240,2,0 64,0,2024-09-07 08:12:01:549,296,0.1,326,0.3,602,0.1,493,1.25 64,1,2024-09-07 08:12:00:757,3579,3579,0,0,2063262120,21293383,3579,0,0,374,391486,0 64,2,2024-09-07 08:12:01:145,2296,2296,0,0,124925,0,1175 64,3,2024-09-07 08:12:01:141,1,2,8,8,14,22,2,0 65,0,2024-09-07 08:12:01:780,427,0.2,402,0.3,855,0.1,1023,1.50 65,1,2024-09-07 08:12:00:869,3338,3338,0,0,1427341467,15911254,3183,154,1,387,391478,0 65,2,2024-09-07 08:12:01:728,2509,2509,0,0,100477,0,816 65,3,2024-09-07 08:12:01:686,1,2,10,9,10,19,2,0 66,0,2024-09-07 08:12:01:787,806,0.2,741,0.4,1524,0.2,2331,1.50 66,1,2024-09-07 08:12:01:302,3668,3668,0,0,1900166965,19581633,3668,0,0,384,391481,0 66,2,2024-09-07 08:12:01:138,2708,2708,0,0,88562,0,925 66,3,2024-09-07 08:12:01:088,1,2,45,3,45,48,2,0 67,0,2024-09-07 08:12:01:440,319,0.1,319,0.2,647,0.1,608,1.25 67,1,2024-09-07 08:12:00:781,3354,3353,0,1,1394739551,14848388,3353,0,0,383,391481,1 67,2,2024-09-07 08:12:00:584,2422,2422,0,0,157845,0,1499 67,3,2024-09-07 08:12:01:750,1,2,4,1,4,5,2,0 68,0,2024-09-07 08:12:00:613,548,0.3,534,0.9,1113,0.3,1551,2.00 68,1,2024-09-07 08:12:00:586,2657,2657,0,0,1857496173,20326673,2402,153,102,387,391482,0 68,2,2024-09-07 08:12:01:095,2752,2752,0,0,180327,0,1742 68,3,2024-09-07 08:12:00:735,1,2,10,8,10,18,2,0 69,0,2024-09-07 08:12:01:871,492,0.3,446,0.9,954,0.2,1206,2.00 69,1,2024-09-07 08:12:01:064,3261,3261,0,0,1806639784,19838145,3061,200,0,387,391483,0 69,2,2024-09-07 08:12:01:747,2778,2778,0,0,193002,0,1156 69,3,2024-09-07 08:12:00:761,1,2,10,9,10,19,2,0 70,0,2024-09-07 08:12:01:562,614,4.6,782,4.9,1192,1.8,2301,4.75 70,1,2024-09-07 08:12:01:033,3530,3530,0,0,2110884190,21711316,3530,0,0,371,391527,0 70,2,2024-09-07 08:12:01:335,1710,1710,0,0,184330,0,1468 70,3,2024-09-07 08:12:00:747,1,2,6,3,6,9,2,0 71,0,2024-09-07 08:12:01:400,535,0.6,519,1.1,1088,0.2,1415,2.00 71,1,2024-09-07 08:12:01:601,3444,3444,0,0,1795862843,19535893,3262,152,30,373,391479,0 71,2,2024-09-07 08:12:01:070,2786,2786,0,0,364490,0,2067 71,3,2024-09-07 08:12:01:751,1,2,9,5,9,14,2,0 72,0,2024-09-07 08:12:01:082,625,0.4,667,0.6,1300,0.3,1789,3.25 72,1,2024-09-07 08:12:01:033,3392,3392,0,0,1725679087,18328571,3320,72,0,375,391485,0 72,2,2024-09-07 08:12:01:767,2803,2803,0,0,249313,0,1408 72,3,2024-09-07 08:12:01:766,1,2,9,9,13,22,2,0 73,0,2024-09-07 08:12:01:150,409,0.3,426,0.5,810,0.2,954,2.50 73,1,2024-09-07 08:12:00:868,3311,3311,0,0,1735561469,18336924,3308,3,0,371,391484,0 73,2,2024-09-07 08:12:01:764,2836,2836,0,0,138702,0,1010 73,3,2024-09-07 08:12:00:970,1,2,5,3,5,8,2,0 74,0,2024-09-07 08:12:01:350,635,0.4,639,0.8,1232,0.3,1819,3.25 74,1,2024-09-07 08:12:00:643,3481,3481,0,0,1586483233,16340535,3481,0,0,385,391481,0 74,2,2024-09-07 08:12:01:005,1468,1468,0,0,137966,0,1828 74,3,2024-09-07 08:12:01:443,1,2,10,10,19,29,2,0 75,0,2024-09-07 08:12:01:805,734,0.8,722,1.4,1499,1.1,2265,3.75 75,1,2024-09-07 08:12:01:593,3421,3421,0,0,1911800308,20868004,3278,143,0,385,391508,0 75,2,2024-09-07 08:12:01:354,3021,3021,0,0,211390,0,1723 75,3,2024-09-07 08:12:01:068,1,2,1,1,8,9,2,0 76,0,2024-09-07 08:12:00:668,339,0.1,340,0.2,657,0.1,651,1.25 76,1,2024-09-07 08:12:00:849,3359,3359,0,0,1406413412,14824133,3352,7,0,386,391482,0 76,2,2024-09-07 08:12:01:069,2995,2995,0,0,63290,0,312 76,3,2024-09-07 08:12:01:143,1,2,14,14,22,36,2,0 77,0,2024-09-07 08:12:01:790,375,0.1,361,0.2,706,0.1,720,1.25 77,1,2024-09-07 08:12:00:854,3391,3391,0,0,1346124407,13980857,3391,0,0,385,391491,0 77,2,2024-09-07 08:12:01:284,2300,2300,0,0,68344,0,627 77,3,2024-09-07 08:12:01:105,1,2,1,1,1,2,2,0 78,0,2024-09-07 08:12:01:849,177,0.1,188,0.2,368,0.1,4,1.25 78,1,2024-09-07 08:12:00:620,3529,3529,0,0,1509807712,15711325,3529,0,0,371,391478,0 78,2,2024-09-07 08:12:01:420,2170,2170,0,0,59487,0,432 78,3,2024-09-07 08:12:01:146,1,2,14,2,14,16,2,0 79,0,2024-09-07 08:12:01:377,639,0.2,619,0.3,1222,0.1,1848,1.50 79,1,2024-09-07 08:12:00:575,3503,3503,0,0,1754574430,17894179,3503,0,0,372,391478,0 79,2,2024-09-07 08:12:01:075,2989,2989,0,0,70413,0,452 79,3,2024-09-07 08:12:00:836,1,2,2,1,2,3,2,0 80,0,2024-09-07 08:12:01:152,299,0.1,278,0.3,588,0.1,482,1.50 80,1,2024-09-07 08:12:01:635,3312,3312,0,0,1741824461,18964537,3053,258,1,374,391480,0 80,2,2024-09-07 08:12:01:099,2646,2646,0,0,170162,0,993 80,3,2024-09-07 08:12:00:579,1,2,72,9,72,81,2,0 81,0,2024-09-07 08:12:01:614,458,0.2,446,0.3,888,0.1,1176,1.50 81,1,2024-09-07 08:12:01:669,3348,3348,0,0,1526836209,16421793,3307,41,0,385,391481,0 81,2,2024-09-07 08:12:01:140,2144,2144,0,0,112247,0,1090 81,3,2024-09-07 08:12:01:119,1,2,39,7,39,46,2,0 82,0,2024-09-07 08:12:01:546,601,0.2,595,0.6,1191,0.2,1735,1.75 82,1,2024-09-07 08:12:00:610,3380,3380,0,0,1718975470,18037453,3361,19,0,385,391488,0 82,2,2024-09-07 08:12:01:700,2551,2551,0,0,155428,0,1004 82,3,2024-09-07 08:12:01:780,1,2,1,1,65,66,2,0 83,0,2024-09-07 08:12:01:544,525,0.2,531,0.3,1035,0.1,1369,1.50 83,1,2024-09-07 08:12:00:555,3113,3113,0,0,1504403798,15625532,3109,4,0,385,391490,0 83,2,2024-09-07 08:12:00:858,2302,2302,0,0,109933,0,830 83,3,2024-09-07 08:12:00:749,1,2,4,1,4,5,2,0 84,0,2024-09-07 08:12:01:840,673,6.7,641,5.8,1391,5.6,2086,7.25 84,1,2024-09-07 08:12:01:051,3350,3350,0,0,2036467698,22156949,3196,152,2,378,391538,0 84,2,2024-09-07 08:12:00:582,2271,2271,0,0,750470,0,3801 84,3,2024-09-07 08:12:01:140,1,2,9,9,13,22,2,0 85,0,2024-09-07 08:12:01:065,574,0.5,618,0.7,1137,0.5,1579,2.50 85,1,2024-09-07 08:12:00:591,3304,3304,0,0,1902098664,21771010,2873,297,134,386,391498,0 85,2,2024-09-07 08:12:00:894,2789,2789,0,0,714455,0,3656 85,3,2024-09-07 08:12:00:708,1,2,3,1,3,4,2,0 86,0,2024-09-07 08:12:00:954,361,0.3,364,0.5,771,0.2,820,1.75 86,1,2024-09-07 08:12:00:846,3336,3336,0,0,1829984341,20424306,3068,268,0,372,391491,0 86,2,2024-09-07 08:12:00:906,2823,2823,0,0,154521,0,1023 86,3,2024-09-07 08:12:00:599,1,2,11,2,11,13,2,0 87,0,2024-09-07 08:12:01:326,475,0.5,488,0.7,987,0.3,1238,2.00 87,1,2024-09-07 08:12:00:562,3348,3348,0,0,1605032464,17200082,3294,54,0,373,391551,0 87,2,2024-09-07 08:12:01:176,1377,1377,0,0,119749,0,1447 87,3,2024-09-07 08:12:01:800,1,2,2,2,10,12,2,0 88,0,2024-09-07 08:12:01:463,678,0.4,686,0.7,1377,0.5,2092,1.50 88,1,2024-09-07 08:12:00:621,3318,3318,0,0,2101862702,21895342,3313,5,0,370,391511,0 88,2,2024-09-07 08:12:00:715,3090,3090,0,0,129656,0,539 88,3,2024-09-07 08:12:01:270,1,2,13,9,13,22,2,0 89,0,2024-09-07 08:12:01:810,399,0.2,389,0.3,801,0.2,949,1.50 89,1,2024-09-07 08:12:00:587,3344,3344,0,0,1750638499,18481787,3339,4,1,384,391492,0 89,2,2024-09-07 08:12:01:140,3087,3087,0,0,178874,0,1511 89,3,2024-09-07 08:12:01:802,1,2,7,4,7,11,2,0 90,0,2024-09-07 08:12:01:635,292,0.2,297,0.3,567,0.1,493,1.50 90,1,2024-09-07 08:12:00:597,3364,3364,0,0,1645868405,17410073,3361,3,0,384,391490,0 90,2,2024-09-07 08:12:01:419,2496,2496,0,0,167925,0,1122 90,3,2024-09-07 08:12:00:932,1,2,10,1,10,11,2,0 91,0,2024-09-07 08:12:01:035,348,0.2,339,0.3,711,0.1,661,1.50 91,1,2024-09-07 08:12:00:565,3395,3395,0,0,1967046121,20718075,3392,2,1,385,391503,0 91,2,2024-09-07 08:12:01:343,1991,1991,0,0,106718,0,1068 91,3,2024-09-07 08:12:00:606,1,2,25,1,25,26,2,0 92,0,2024-09-07 08:12:01:465,832,0.3,823,0.6,1609,0.6,2578,1.50 92,1,2024-09-07 08:12:00:597,3488,3488,0,0,1506152976,15701538,3487,1,0,386,391484,0 92,2,2024-09-07 08:12:01:354,3167,3167,0,0,129968,0,1039 92,3,2024-09-07 08:12:01:011,1,2,17,13,17,30,2,0 93,0,2024-09-07 08:12:00:988,337,0.2,317,0.3,621,0.1,582,1.25 93,1,2024-09-07 08:12:01:079,3537,3537,0,0,1673008130,17220438,3534,2,1,371,391689,0 93,2,2024-09-07 08:12:00:942,2583,2583,0,0,73670,0,542 93,3,2024-09-07 08:12:01:412,1,2,8,8,23,31,2,0 94,0,2024-09-07 08:12:01:624,306,0.2,311,0.3,643,0.2,522,1.25 94,1,2024-09-07 08:12:00:574,3351,3351,0,0,1800030142,19382438,3217,133,1,385,391491,0 94,2,2024-09-07 08:12:00:781,2295,2295,0,0,120947,0,1294 94,3,2024-09-07 08:12:01:689,1,2,124,1,124,125,2,0 95,0,2024-09-07 08:12:01:403,422,0.2,463,0.2,896,0.1,999,1.50 95,1,2024-09-07 08:12:00:859,3487,3487,0,0,1505938525,15800709,3486,1,0,372,391480,0 95,2,2024-09-07 08:12:01:020,2538,2538,0,0,128299,0,943 95,3,2024-09-07 08:12:01:722,1,2,2,2,3,5,2,0 96,0,2024-09-07 08:12:01:110,721,0.6,781,0.8,1490,0.6,2323,1.75 96,1,2024-09-07 08:12:01:609,3533,3533,0,0,2046759713,21965788,3464,69,0,388,391509,0 96,2,2024-09-07 08:12:01:281,2544,2544,0,0,148688,0,1251 96,3,2024-09-07 08:12:01:143,1,2,8,8,13,21,2,0 97,0,2024-09-07 08:12:01:477,330,0.2,299,0.3,608,0.1,594,1.50 97,1,2024-09-07 08:12:00:836,3103,3103,0,0,1840349246,19403941,3071,31,1,373,391489,0 97,2,2024-09-07 08:12:00:634,2406,2406,0,0,195753,0,1663 97,3,2024-09-07 08:12:00:569,1,2,77,4,77,81,2,0 98,0,2024-09-07 08:12:01:722,563,0.2,564,0.5,1142,0.2,1589,1.75 98,1,2024-09-07 08:12:00:605,3350,3350,0,0,1794885483,19032259,3331,19,0,385,391588,0 98,2,2024-09-07 08:12:00:786,2703,2703,0,0,175770,0,743 98,3,2024-09-07 08:12:00:716,1,2,3,3,10,13,2,0 99,0,2024-09-07 08:12:01:514,451,0.3,494,0.5,908,0.2,1157,1.75 99,1,2024-09-07 08:12:01:737,3564,3564,0,0,1669111528,17856811,3531,33,0,385,391519,0 99,2,2024-09-07 08:12:01:424,2733,2733,0,0,134102,0,702 99,3,2024-09-07 08:12:00:582,1,2,6,5,6,11,2,0 100,0,2024-09-07 08:12:01:506,747,2.4,742,6.3,1454,5.4,2066,3.00 100,1,2024-09-07 08:12:00:641,3305,3305,0,0,1650737280,18298936,3182,122,1,385,391479,0 100,2,2024-09-07 08:12:01:826,1821,1821,0,0,125667,0,1050 100,3,2024-09-07 08:12:01:743,1,2,443,12,443,455,2,0 101,0,2024-09-07 08:12:01:733,541,0.3,556,0.6,1047,0.3,1420,2.00 101,1,2024-09-07 08:12:00:854,3288,3288,0,0,1476668508,17107874,3070,217,1,377,391513,0 101,2,2024-09-07 08:12:01:794,2750,2750,0,0,308720,0,1979 101,3,2024-09-07 08:12:00:943,1,2,34,18,34,52,2,0 102,0,2024-09-07 08:12:01:021,589,0.7,641,1.0,1265,1.0,1679,2.50 102,1,2024-09-07 08:12:01:164,3522,3522,0,0,1597362164,17661245,3392,130,0,371,391621,0 102,2,2024-09-07 08:12:01:781,2878,2878,0,0,213214,0,1699 102,3,2024-09-07 08:12:01:622,1,2,24,24,34,58,2,0 103,0,2024-09-07 08:12:01:628,400,0.3,418,0.7,858,0.2,943,2.00 103,1,2024-09-07 08:12:01:654,3462,3462,0,0,2009834533,21224159,3449,13,0,385,391484,0 103,2,2024-09-07 08:12:00:595,2789,2789,0,0,163711,0,660 103,3,2024-09-07 08:12:00:836,1,2,3,3,5,8,2,0 104,0,2024-09-07 08:12:01:031,622,1.0,598,1.2,1248,0.5,1855,1.75 104,1,2024-09-07 08:12:01:614,3527,3527,0,0,1724750954,18259625,3516,11,0,370,391485,0 104,2,2024-09-07 08:12:01:678,1505,1505,0,0,97804,0,1902 104,3,2024-09-07 08:12:01:419,1,2,11,9,11,20,2,0 105,0,2024-09-07 08:12:01:059,731,0.6,782,2.1,1454,1.6,2336,2.00 105,1,2024-09-07 08:12:00:618,3461,3461,0,0,1729498795,18172147,3435,25,1,369,391488,0 105,2,2024-09-07 08:12:01:323,2887,2887,0,0,121273,0,671 105,3,2024-09-07 08:12:01:305,1,2,2,1,2,3,2,0 106,0,2024-09-07 08:12:01:064,341,0.2,321,0.4,664,0.2,638,1.50 106,1,2024-09-07 08:12:01:809,3595,3595,0,0,1781661059,18651982,3595,0,0,373,391503,0 106,2,2024-09-07 08:12:00:858,2850,2850,0,0,170358,0,831 106,3,2024-09-07 08:12:00:691,1,2,2,1,2,3,2,0 107,0,2024-09-07 08:12:01:170,346,0.2,348,0.4,705,0.2,661,1.50 107,1,2024-09-07 08:12:00:612,3429,3429,0,0,1686967324,17589927,3429,0,0,383,391487,0 107,2,2024-09-07 08:12:01:332,2212,2212,0,0,109817,0,684 107,3,2024-09-07 08:12:01:765,1,2,5,5,34,39,2,0 108,0,2024-09-07 08:12:02:062,169,0.2,183,0.2,346,0.2,10,1.25 108,1,2024-09-07 08:12:01:330,3606,3606,0,0,2071890265,21272307,3606,0,0,372,391563,0 108,2,2024-09-07 08:12:01:777,2352,2352,0,0,65083,0,449 108,3,2024-09-07 08:12:01:331,1,2,13,13,18,31,2,0 109,0,2024-09-07 08:12:01:877,663,0.2,668,0.4,1302,0.2,1942,1.50 109,1,2024-09-07 08:12:00:643,3343,3343,0,0,1725056543,18213460,3324,19,0,387,391480,0 109,2,2024-09-07 08:12:00:926,2763,2763,0,0,81937,0,484 109,3,2024-09-07 08:12:01:146,1,2,102,1,102,103,2,0 110,0,2024-09-07 08:12:01:821,295,0.1,291,0.2,570,0.1,500,1.25 110,1,2024-09-07 08:12:01:670,3643,3643,0,0,1896269132,19376147,3642,1,0,373,391535,0 110,2,2024-09-07 08:12:01:320,2694,2694,0,0,201302,0,2234 110,3,2024-09-07 08:12:00:710,1,2,16,1,16,17,2,0 111,0,2024-09-07 08:12:01:446,492,0.1,465,0.2,907,0.1,1226,1.25 111,1,2024-09-07 08:12:01:011,3492,3492,0,0,1814840702,18662745,3491,1,0,386,391482,0 111,2,2024-09-07 08:12:01:120,2322,2322,0,0,106717,0,1001 111,3,2024-09-07 08:12:00:934,1,2,2,2,10,12,2,0 112,0,2024-09-07 08:12:00:939,559,0.2,612,0.5,1173,0.1,1688,1.50 112,1,2024-09-07 08:12:00:839,3434,3434,0,0,1806611386,18928007,3418,16,0,385,391485,0 112,2,2024-09-07 08:12:01:141,2713,2713,0,0,138913,0,1137 112,3,2024-09-07 08:12:00:618,1,2,8,8,12,20,2,0 113,0,2024-09-07 08:12:00:912,506,0.3,544,0.4,1050,0.1,1348,1.75 113,1,2024-09-07 08:12:01:692,3357,3357,0,0,1804361728,19369183,3255,102,0,371,391661,0 113,2,2024-09-07 08:12:01:307,2275,2275,0,0,126115,0,1275 113,3,2024-09-07 08:12:00:708,1,2,101,101,130,231,2,0 114,0,2024-09-07 08:12:00:886,808,5.3,827,5.7,1751,5.6,2841,2.50 114,1,2024-09-07 08:12:00:771,3458,3458,0,0,1750628871,18742062,3308,150,0,383,391484,0 114,2,2024-09-07 08:12:00:874,2524,2524,0,0,150375,0,1841 114,3,2024-09-07 08:12:01:278,1,2,2,2,159,161,2,0 115,0,2024-09-07 08:12:00:590,583,0.3,580,0.5,1117,0.1,1654,1.75 115,1,2024-09-07 08:12:00:575,3386,3386,0,0,1912025143,20279480,3342,44,0,386,391495,0 115,2,2024-09-07 08:12:01:130,2906,2906,0,0,120203,0,745 115,3,2024-09-07 08:12:01:061,1,2,2,2,14,16,2,0 116,0,2024-09-07 08:12:01:721,396,0.2,371,0.4,757,0.2,831,1.50 116,1,2024-09-07 08:12:00:843,3365,3365,0,0,1665981333,17898086,3306,59,0,387,391481,0 116,2,2024-09-07 08:12:01:774,2919,2919,0,0,222609,0,1533 116,3,2024-09-07 08:12:00:913,1,2,8,8,9,17,2,0 117,0,2024-09-07 08:12:00:988,465,0.4,445,0.5,896,0.2,1241,1.75 117,1,2024-09-07 08:12:01:588,3436,3436,0,0,1920068509,20630719,3365,71,0,374,391523,0 117,2,2024-09-07 08:12:01:128,1373,1373,0,0,512046,0,3700 117,3,2024-09-07 08:12:01:066,1,2,490,36,490,526,2,0 118,0,2024-09-07 08:12:01:826,674,0.4,703,0.8,1365,0.5,2045,1.75 118,1,2024-09-07 08:12:00:668,3134,3134,0,0,1691542704,18498950,3019,115,0,375,391484,0 118,2,2024-09-07 08:12:01:601,2959,2959,0,0,260840,0,1395 118,3,2024-09-07 08:12:01:783,1,2,9,2,9,11,2,0 119,0,2024-09-07 08:12:01:390,409,0.3,382,0.3,765,0.2,923,1.50 119,1,2024-09-07 08:12:00:577,3097,3097,0,0,2131222009,23408358,2821,269,7,372,391485,0 119,2,2024-09-07 08:12:01:293,2991,2991,0,0,459007,0,2222 119,3,2024-09-07 08:12:01:332,1,2,4,2,4,6,2,0 120,0,2024-09-07 08:12:01:575,293,0.2,296,0.3,589,0.2,510,1.75 120,1,2024-09-07 08:12:00:870,3027,3027,0,0,1455453792,15731904,2972,55,0,374,391488,0 120,2,2024-09-07 08:12:00:784,2390,2390,0,0,129458,0,870 120,3,2024-09-07 08:12:01:312,1,2,8,8,13,21,2,0 121,0,2024-09-07 08:12:01:795,349,0.2,337,0.4,690,0.2,694,1.75 121,1,2024-09-07 08:12:01:662,3378,3378,0,0,1813208014,19739269,3291,87,0,372,391633,0 121,2,2024-09-07 08:12:01:136,1890,1890,0,0,145155,0,1289 121,3,2024-09-07 08:12:00:763,1,2,2,2,71,73,2,0 122,0,2024-09-07 08:12:01:939,683,4.3,842,4.5,1469,4.6,2600,2.75 122,1,2024-09-07 08:12:00:874,3204,3204,0,0,1633190095,17825396,3119,85,0,372,392130,0 122,2,2024-09-07 08:12:01:344,2885,2885,0,0,383528,0,1973 122,3,2024-09-07 08:12:00:606,1,2,13,13,141,154,2,0 123,0,2024-09-07 08:12:01:056,315,0.3,348,0.4,643,0.2,593,1.75 123,1,2024-09-07 08:12:00:626,3387,3387,0,0,1635670781,17235044,3358,29,0,373,391488,0 123,2,2024-09-07 08:12:01:078,2720,2720,0,0,190777,0,1528 123,3,2024-09-07 08:12:01:155,1,2,4,2,4,6,2,0 124,0,2024-09-07 08:12:01:182,310,0.2,289,0.2,607,0.1,517,1.50 124,1,2024-09-07 08:12:01:078,3523,3523,0,0,1610917679,16609024,3521,2,0,372,391481,0 124,2,2024-09-07 08:12:01:025,2278,2278,0,0,78715,0,650 124,3,2024-09-07 08:12:00:781,1,2,12,12,17,29,2,0 125,0,2024-09-07 08:12:01:481,436,0.2,460,0.3,850,0.1,1021,1.50 125,1,2024-09-07 08:12:00:890,3550,3550,0,0,2024840097,20874749,3549,0,1,386,391493,0 125,2,2024-09-07 08:12:01:138,2549,2549,0,0,87825,0,791 125,3,2024-09-07 08:12:01:127,1,2,11,11,13,24,2,0 126,0,2024-09-07 08:12:01:515,737,0.2,738,1.0,1517,0.4,2300,1.50 126,1,2024-09-07 08:12:00:588,3504,3504,0,0,1858932373,19498255,3482,22,0,369,391484,0 126,2,2024-09-07 08:12:00:653,2725,2725,0,0,62566,0,431 126,3,2024-09-07 08:12:00:908,1,2,6,1,6,7,2,0 127,0,2024-09-07 08:12:02:075,340,0.2,332,0.3,607,0.2,594,1.50 127,1,2024-09-07 08:12:00:636,3480,3480,0,0,1416040769,14597522,3479,0,1,369,391480,0 127,2,2024-09-07 08:12:00:657,2498,2498,0,0,96008,0,1007 127,3,2024-09-07 08:12:01:372,1,2,19,14,19,33,2,0 128,0,2024-09-07 08:12:01:549,541,0.4,548,0.8,1109,0.4,1554,2.50 128,1,2024-09-07 08:12:01:634,3450,3450,0,0,1677106726,17482005,3446,4,0,374,391483,0 128,2,2024-09-07 08:12:01:386,2796,2796,0,0,363005,0,1712 128,3,2024-09-07 08:12:00:850,1,2,9,9,19,28,2,0 129,0,2024-09-07 08:12:01:049,446,0.5,446,0.4,972,0.3,1135,2.25 129,1,2024-09-07 08:12:00:571,3413,3413,0,0,1830185514,19774267,3304,109,0,383,391489,0 129,2,2024-09-07 08:12:00:711,2507,2507,0,0,252156,0,2289 129,3,2024-09-07 08:12:00:690,1,2,10,1,10,11,2,0 130,0,2024-09-07 08:12:01:854,776,3.5,769,2.6,1613,4.3,2529,3.50 130,1,2024-09-07 08:12:00:647,3333,3333,0,0,1964994867,21300396,3173,159,1,387,391516,0 130,2,2024-09-07 08:12:01:142,1796,1796,0,0,80882,0,1019 130,3,2024-09-07 08:12:01:313,1,2,11,1,11,12,2,0 131,0,2024-09-07 08:12:02:238,501,0.5,501,1.1,972,0.5,1358,2.25 131,1,2024-09-07 08:12:01:893,3508,3508,0,0,1837815639,19231058,3499,8,1,385,391491,0 131,2,2024-09-07 08:12:00:575,2816,2816,0,0,109622,0,760 131,3,2024-09-07 08:12:01:692,1,2,9,1,9,10,2,0 132,0,2024-09-07 08:12:01:634,641,1.1,630,2.3,1286,1.6,1851,2.25 132,1,2024-09-07 08:12:00:594,3307,3307,0,0,1569706905,18419055,2838,423,46,387,391485,0 132,2,2024-09-07 08:12:00:719,2649,2649,0,0,781365,0,3939 132,3,2024-09-07 08:12:01:692,1,2,356,18,356,374,2,0 133,0,2024-09-07 08:12:01:563,424,0.3,432,0.6,787,0.2,934,2.00 133,1,2024-09-07 08:12:00:622,3183,3183,0,0,1596798777,18352481,2727,454,2,390,391492,0 133,2,2024-09-07 08:12:01:096,2751,2751,0,0,306398,0,1707 133,3,2024-09-07 08:12:01:297,1,2,1,1,4,5,2,0 134,0,2024-09-07 08:12:00:968,582,0.6,598,2.0,1216,1.1,1803,2.25 134,1,2024-09-07 08:12:00:597,2365,2365,0,0,1803877091,20184420,2111,239,15,380,391508,0 134,2,2024-09-07 08:12:01:899,1452,1452,0,0,91774,0,869 134,3,2024-09-07 08:12:00:841,1,2,4,4,29,33,2,0 135,0,2024-09-07 08:12:01:214,619,1.6,797,4.3,1169,2.0,2180,3.00 135,1,2024-09-07 08:12:01:613,3450,3450,0,0,1574047869,17961151,3114,321,15,384,391491,0 135,2,2024-09-07 08:12:00:714,2870,2870,0,0,463166,0,3016 135,3,2024-09-07 08:12:01:004,1,2,53,2,53,55,2,0 136,0,2024-09-07 08:12:01:641,342,0.2,347,0.3,716,0.1,672,1.50 136,1,2024-09-07 08:12:01:453,3554,3554,0,0,1691557602,18453730,3437,115,2,387,391481,0 136,2,2024-09-07 08:12:01:140,3077,3077,0,0,146963,0,943 136,3,2024-09-07 08:12:01:111,1,2,20,8,20,28,2,0 137,0,2024-09-07 08:12:01:000,370,0.2,349,0.3,686,0.1,668,1.50 137,1,2024-09-07 08:12:00:596,3466,3466,0,0,1742959041,18420958,3436,30,0,371,391489,0 137,2,2024-09-07 08:12:01:730,2030,2030,0,0,141564,0,1682 137,3,2024-09-07 08:12:00:790,1,2,11,1,11,12,2,0 138,0,2024-09-07 08:12:02:024,208,0.2,193,0.3,338,0.1,8,1.25 138,1,2024-09-07 08:12:01:705,3496,3496,0,0,1735503933,18201238,3494,2,0,371,391572,0 138,2,2024-09-07 08:12:00:607,2182,2182,0,0,185840,0,1503 138,3,2024-09-07 08:12:00:649,1,2,4,1,4,5,2,0 139,0,2024-09-07 08:12:01:402,693,0.3,638,0.9,1276,0.6,1882,1.75 139,1,2024-09-07 08:12:00:589,3368,3368,0,0,1465956548,16989758,3094,264,10,387,391511,0 139,2,2024-09-07 08:12:00:710,2780,2780,0,0,279749,0,1928 139,3,2024-09-07 08:12:01:701,1,2,2,2,2,4,2,0 140,0,2024-09-07 08:12:01:613,302,0.1,313,0.3,617,0.1,489,1.25 140,1,2024-09-07 08:12:01:546,3470,3470,0,0,2034113517,20649360,3469,1,0,367,391477,0 140,2,2024-09-07 08:12:00:710,2722,2722,0,0,182468,0,2078 140,3,2024-09-07 08:12:00:850,1,2,8,8,13,21,2,0 141,0,2024-09-07 08:12:01:720,453,0.2,455,0.2,967,0.1,1182,1.50 141,1,2024-09-07 08:12:00:865,3585,3585,0,0,1669849121,17164064,3585,0,0,383,391511,0 141,2,2024-09-07 08:12:01:689,2277,2277,0,0,79712,0,636 141,3,2024-09-07 08:12:01:058,1,2,1,1,5,6,2,0 142,0,2024-09-07 08:12:01:355,642,0.2,616,0.8,1248,0.2,1790,1.75 142,1,2024-09-07 08:12:00:629,3411,3411,0,0,1516227043,15663223,3411,0,0,385,391483,0 142,2,2024-09-07 08:12:01:311,2589,2589,0,0,196596,0,2000 142,3,2024-09-07 08:12:01:781,1,2,5,1,5,6,2,0 143,0,2024-09-07 08:12:01:405,552,0.2,540,0.3,1048,0.2,1378,1.50 143,1,2024-09-07 08:12:00:578,3267,3267,0,0,1791779969,19071017,3110,157,0,371,391483,0 143,2,2024-09-07 08:12:00:788,2245,2245,0,0,71612,0,670 143,3,2024-09-07 08:12:01:145,1,2,219,2,219,221,2,0 144,0,2024-09-07 08:12:01:663,727,4.9,663,6.1,1849,7.5,2600,3.75 144,1,2024-09-07 08:12:00:585,3079,3079,0,0,1684533271,17831576,3067,11,1,387,391557,0 144,2,2024-09-07 08:12:01:801,2475,2475,0,0,129074,0,1140 144,3,2024-09-07 08:12:01:776,1,2,99,26,99,125,2,0 145,0,2024-09-07 08:12:01:473,586,0.4,584,0.8,1207,0.3,1676,2.00 145,1,2024-09-07 08:12:00:594,3333,3333,0,0,1798166863,19518048,3248,85,0,387,391481,0 145,2,2024-09-07 08:12:01:446,2625,2625,0,0,1106742,0,3903 145,3,2024-09-07 08:12:00:901,1,2,13,13,151,164,2,0 146,0,2024-09-07 08:12:01:631,409,0.2,384,0.5,747,0.1,836,1.75 146,1,2024-09-07 08:12:01:595,3416,3416,0,0,2067464265,21906615,3310,102,4,373,391483,0 146,2,2024-09-07 08:12:01:699,2948,2948,0,0,220483,0,1544 146,3,2024-09-07 08:12:01:276,1,2,1,1,18,19,2,0 147,0,2024-09-07 08:12:01:781,497,0.4,496,0.5,953,0.2,1323,2.00 147,1,2024-09-07 08:12:01:403,3463,3463,0,0,1775789544,18317984,3455,7,1,370,391481,0 147,2,2024-09-07 08:12:01:042,1396,1396,0,0,31882,0,342 147,3,2024-09-07 08:12:00:938,1,2,141,52,141,193,2,0 0,0,2024-09-07 08:12:11:724,381,0.2,391,0.3,818,0.1,760,1.50 0,1,2024-09-07 08:12:10:804,4798,4798,0,0,2341284335,25664021,4640,153,5,374,391658,0 0,2,2024-09-07 08:12:11:073,3676,3676,0,0,184701,0,706 0,3,2024-09-07 08:12:10:980,1,3,8,8,16,32,3,0 1,0,2024-09-07 08:12:11:779,460,0.2,452,0.3,925,0.2,983,1.50 1,1,2024-09-07 08:12:10:586,4982,4982,0,0,2677611702,29315789,4745,204,33,375,391480,0 1,2,2024-09-07 08:12:10:652,2722,2722,0,0,185719,0,1321 1,3,2024-09-07 08:12:11:310,1,3,11,2,36,49,3,0 2,0,2024-09-07 08:12:11:589,1324,0.8,1355,1.9,2663,1.4,3964,1.75 2,1,2024-09-07 08:12:10:862,5155,5155,0,0,2178979247,23107958,5153,2,0,385,391479,0 2,2,2024-09-07 08:12:11:290,4423,4423,0,0,750824,0,3304 2,3,2024-09-07 08:12:10:690,1,3,1,1,30,32,3,0 3,0,2024-09-07 08:12:11:762,459,0.1,458,0.2,903,0.1,912,1.25 3,1,2024-09-07 08:12:11:621,5249,5249,0,0,2641130827,27584182,5249,0,0,383,391479,0 3,2,2024-09-07 08:12:11:143,4041,4041,0,0,279550,0,1392 3,3,2024-09-07 08:12:11:755,1,3,2,2,23,38,3,0 4,0,2024-09-07 08:12:11:799,419,0.4,401,0.4,838,0.2,794,1.75 4,1,2024-09-07 08:12:10:617,4918,4918,0,0,2541506120,27508425,4773,141,4,378,391525,0 4,2,2024-09-07 08:12:11:023,3338,3338,0,0,481146,0,2895 4,3,2024-09-07 08:12:11:028,1,3,9,9,21,46,3,0 5,0,2024-09-07 08:12:11:387,617,0.3,611,0.5,1250,0.2,1568,1.75 5,1,2024-09-07 08:12:10:762,5057,5057,0,0,3018348880,32334747,4937,117,3,375,391503,0 5,2,2024-09-07 08:12:11:830,3618,3618,0,0,285632,0,1912 5,3,2024-09-07 08:12:11:732,1,3,1,1,17,19,3,0 6,0,2024-09-07 08:12:10:935,1145,0.9,1139,2.3,2392,1.8,3438,2.25 6,1,2024-09-07 08:12:10:749,5124,5124,0,0,2579350385,27280069,5096,28,0,383,391478,0 6,2,2024-09-07 08:12:11:123,3935,3935,0,0,266087,0,1150 6,3,2024-09-07 08:12:11:275,1,3,2,2,277,286,3,0 7,0,2024-09-07 08:12:11:556,429,0.2,454,0.5,901,0.2,869,1.75 7,1,2024-09-07 08:12:10:854,5135,5135,0,0,2618630215,28430187,4941,181,13,387,391479,0 7,2,2024-09-07 08:12:10:778,3672,3672,0,0,504662,0,2981 7,3,2024-09-07 08:12:10:851,1,3,4,1,14,19,3,0 8,0,2024-09-07 08:12:11:335,814,0.3,825,0.5,1705,0.2,2309,1.75 8,1,2024-09-07 08:12:11:025,4308,4308,0,0,2332059826,24965038,4138,138,32,370,391724,0 8,2,2024-09-07 08:12:10:791,3787,3787,0,0,579687,0,2986 8,3,2024-09-07 08:12:10:591,1,3,11,9,12,32,3,0 9,0,2024-09-07 08:12:11:114,695,0.3,690,0.5,1396,0.2,1732,1.50 9,1,2024-09-07 08:12:10:574,4797,4797,0,0,2805256187,29525937,4711,86,0,373,391496,0 9,2,2024-09-07 08:12:11:087,4095,4095,0,0,193805,0,805 9,3,2024-09-07 08:12:11:754,1,3,50,14,50,81,3,0 10,0,2024-09-07 08:12:11:624,1245,1.1,1232,0.8,2468,0.8,3621,2.25 10,1,2024-09-07 08:12:10:584,4986,4986,0,0,2407607745,27470836,4541,368,77,387,391540,0 10,2,2024-09-07 08:12:10:768,2868,2868,0,0,379304,0,2932 10,3,2024-09-07 08:12:10:871,1,3,1,1,118,120,3,0 11,0,2024-09-07 08:12:11:021,831,0.3,849,0.4,1564,0.1,2129,1.50 11,1,2024-09-07 08:12:10:581,5097,5097,0,0,2777771629,29615883,4895,194,8,384,391482,0 11,2,2024-09-07 08:12:11:124,3974,3974,0,0,278767,0,1024 11,3,2024-09-07 08:12:11:298,1,3,2,2,55,89,3,0 12,0,2024-09-07 08:12:10:965,1008,0.3,1015,0.5,1942,0.2,2800,1.75 12,1,2024-09-07 08:12:10:940,5226,5226,0,0,2258380696,23236904,5224,1,1,372,391481,0 12,2,2024-09-07 08:12:11:547,4429,4429,0,0,190981,0,903 12,3,2024-09-07 08:12:11:060,1,3,16,8,17,41,3,0 13,0,2024-09-07 08:12:11:337,636,0.2,632,0.5,1199,0.2,1475,1.75 13,1,2024-09-07 08:12:11:540,5178,5178,0,0,2710491569,28155394,5178,0,0,388,391516,0 13,2,2024-09-07 08:12:10:599,4191,4191,0,0,104321,0,514 13,3,2024-09-07 08:12:11:762,1,3,30,2,30,46,3,0 14,0,2024-09-07 08:12:10:588,945,0.3,937,0.6,1922,0.2,2753,1.75 14,1,2024-09-07 08:12:11:565,5196,5196,0,0,2294244716,23410648,5196,0,0,369,391477,0 14,2,2024-09-07 08:12:10:765,2136,2136,0,0,133883,0,1934 14,3,2024-09-07 08:12:11:114,1,3,40,1,40,43,3,0 15,0,2024-09-07 08:12:11:587,1175,0.4,1105,1.2,2358,0.9,3459,2.00 15,1,2024-09-07 08:12:11:611,5148,5148,0,0,2405478666,25192530,5145,3,0,384,391481,0 15,2,2024-09-07 08:12:11:002,4614,4614,0,0,123879,0,673 15,3,2024-09-07 08:12:11:412,1,3,1,1,538,540,3,0 16,0,2024-09-07 08:12:10:955,460,0.2,495,0.3,933,0.1,958,1.25 16,1,2024-09-07 08:12:10:566,5237,5237,0,0,2663984367,28760502,5008,227,2,374,391543,0 16,2,2024-09-07 08:12:11:435,4216,4216,0,0,852623,0,4719 16,3,2024-09-07 08:12:11:142,1,3,11,11,41,64,3,0 17,0,2024-09-07 08:12:11:797,488,0.2,499,0.3,1000,0.1,1054,1.25 17,1,2024-09-07 08:12:10:571,4987,4987,0,0,2505565574,28193776,4560,292,135,376,391671,0 17,2,2024-09-07 08:12:11:670,3370,3370,0,0,253239,0,1865 17,3,2024-09-07 08:12:10:585,1,3,8,1,11,20,3,0 18,0,2024-09-07 08:12:10:985,195,0.2,180,0.2,409,0.1,6,1.25 18,1,2024-09-07 08:12:11:640,4881,4881,0,0,2480618222,26486517,4817,64,0,372,391477,0 18,2,2024-09-07 08:12:11:755,3081,3081,0,0,486275,0,2688 18,3,2024-09-07 08:12:10:901,1,3,1,1,142,144,3,0 19,0,2024-09-07 08:12:11:581,990,0.3,1025,0.3,1930,0.1,2728,1.50 19,1,2024-09-07 08:12:10:569,5215,5215,0,0,2591453325,27343061,5207,8,0,371,391512,0 19,2,2024-09-07 08:12:11:760,4453,4453,0,0,195461,0,1128 19,3,2024-09-07 08:12:11:130,1,3,1,1,5,7,3,0 20,0,2024-09-07 08:12:11:372,427,0.2,397,0.4,826,0.2,731,1.75 20,1,2024-09-07 08:12:10:578,5116,5116,0,0,2362213600,25630863,5019,97,0,375,391483,0 20,2,2024-09-07 08:12:10:929,3862,3862,0,0,341126,0,1117 20,3,2024-09-07 08:12:10:589,1,3,9,9,18,44,3,0 21,0,2024-09-07 08:12:11:219,700,0.2,680,0.5,1345,0.2,1745,2.00 21,1,2024-09-07 08:12:11:545,4977,4977,0,0,2571422005,28985428,4572,382,23,378,391481,0 21,2,2024-09-07 08:12:11:073,3419,3419,0,0,447743,0,3747 21,3,2024-09-07 08:12:11:404,1,3,1,1,28,50,3,0 22,0,2024-09-07 08:12:11:739,991,0.4,1014,0.9,1950,0.5,2687,2.00 22,1,2024-09-07 08:12:11:026,5038,5038,0,0,2508510016,29170911,4452,420,166,386,391478,0 22,2,2024-09-07 08:12:10:760,3940,3940,0,0,252388,0,1503 22,3,2024-09-07 08:12:11:069,1,3,1,0,1,2,3,0 23,0,2024-09-07 08:12:11:390,785,0.3,745,0.5,1552,0.2,2103,2.00 23,1,2024-09-07 08:12:11:012,5198,5198,0,0,2690403656,28725111,5059,139,0,371,391477,0 23,2,2024-09-07 08:12:11:095,3716,3716,0,0,230416,0,1544 23,3,2024-09-07 08:12:11:754,1,3,0,0,2,3,3,0 24,0,2024-09-07 08:12:10:831,1421,2.0,1432,3.4,2704,4.4,4416,2.00 24,1,2024-09-07 08:12:10:588,5156,5156,0,0,2244461107,23743426,5082,74,0,373,391540,0 24,2,2024-09-07 08:12:11:074,3733,3733,0,0,273626,0,2389 24,3,2024-09-07 08:12:11:686,1,3,12,11,13,36,3,0 25,0,2024-09-07 08:12:11:358,896,0.3,881,0.6,1713,0.2,2482,1.75 25,1,2024-09-07 08:12:10:565,5105,5105,0,0,2340613371,25385886,4906,198,1,375,391482,0 25,2,2024-09-07 08:12:11:607,4587,4587,0,0,308612,0,1361 25,3,2024-09-07 08:12:10:999,1,3,9,9,50,90,3,0 26,0,2024-09-07 08:12:11:737,552,0.2,559,0.4,1091,0.1,1249,1.50 26,1,2024-09-07 08:12:11:543,4863,4863,0,0,2614591288,28148342,4695,167,1,385,391480,0 26,2,2024-09-07 08:12:10:869,4305,4305,0,0,319206,0,1640 26,3,2024-09-07 08:12:11:712,1,3,1,1,796,830,3,0 27,0,2024-09-07 08:12:11:737,732,0.2,702,0.3,1431,0.1,1927,1.50 27,1,2024-09-07 08:12:11:694,5256,5256,0,0,2919261329,30696621,5230,26,0,384,391484,0 27,2,2024-09-07 08:12:10:872,2179,2179,0,0,252256,0,2975 27,3,2024-09-07 08:12:11:014,1,3,2,2,4,8,3,0 28,0,2024-09-07 08:12:11:451,1063,0.2,1043,0.4,2161,0.1,3087,1.50 28,1,2024-09-07 08:12:10:801,5280,5280,0,0,2478455135,25638207,5280,0,0,386,391488,0 28,2,2024-09-07 08:12:11:773,4152,4152,0,0,158645,0,674 28,3,2024-09-07 08:12:11:776,1,3,8,8,8,24,3,0 29,0,2024-09-07 08:12:11:386,600,0.1,595,0.2,1165,0.1,1376,1.25 29,1,2024-09-07 08:12:11:565,5300,5300,0,0,2736165411,28103257,5300,0,0,371,391481,0 29,2,2024-09-07 08:12:10:866,4469,4469,0,0,129861,0,611 29,3,2024-09-07 08:12:10:965,1,3,30,1,30,33,3,0 30,0,2024-09-07 08:12:11:469,407,0.1,388,0.2,783,0.1,749,1.25 30,1,2024-09-07 08:12:10:574,5217,5217,0,0,2616169821,26984632,5217,0,0,382,391478,0 30,2,2024-09-07 08:12:11:275,3802,3802,0,0,100683,0,678 30,3,2024-09-07 08:12:10:581,1,3,2,1,110,113,3,0 31,0,2024-09-07 08:12:11:774,483,0.1,467,0.2,978,0.1,1012,1.25 31,1,2024-09-07 08:12:10:566,5060,5060,0,0,2634410511,26863053,5060,0,0,356,391478,0 31,2,2024-09-07 08:12:11:276,2959,2959,0,0,94657,0,789 31,3,2024-09-07 08:12:11:708,1,3,6,1,6,8,3,0 32,0,2024-09-07 08:12:11:424,1377,0.7,1339,1.2,2589,0.4,4006,1.50 32,1,2024-09-07 08:12:10:818,5173,5173,0,0,2628877894,27439370,5172,1,0,384,391488,0 32,2,2024-09-07 08:12:10:954,4546,4546,0,0,318137,0,1970 32,3,2024-09-07 08:12:11:014,1,3,12,12,37,63,3,0 33,0,2024-09-07 08:12:11:559,434,0.1,445,0.2,870,0.1,879,1.25 33,1,2024-09-07 08:12:10:578,5107,5107,0,0,2713142077,29166602,4889,207,11,373,391479,0 33,2,2024-09-07 08:12:10:762,3757,3757,0,0,662368,0,3364 33,3,2024-09-07 08:12:10:901,1,3,2,2,2,6,3,0 34,0,2024-09-07 08:12:10:943,417,0.1,445,0.2,857,0.1,773,1.25 34,1,2024-09-07 08:12:11:048,5316,5316,0,0,3133306803,33018865,5258,58,0,369,391481,0 34,2,2024-09-07 08:12:10:768,3467,3467,0,0,215052,0,1096 34,3,2024-09-07 08:12:11:688,1,3,1,1,12,14,3,0 35,0,2024-09-07 08:12:10:875,635,0.2,655,0.3,1234,0.1,1567,1.50 35,1,2024-09-07 08:12:11:083,5017,5017,0,0,2550740193,28866003,4520,396,101,386,391481,0 35,2,2024-09-07 08:12:11:584,3696,3696,0,0,346503,0,2276 35,3,2024-09-07 08:12:10:907,1,3,4,1,219,224,3,0 36,0,2024-09-07 08:12:11:563,1201,3.9,1147,3.2,2331,2.2,3318,6.00 36,1,2024-09-07 08:12:10:599,4819,4819,0,0,2835697287,34337606,3764,542,513,370,391483,0 36,2,2024-09-07 08:12:11:771,4055,4055,0,0,265041,0,1172 36,3,2024-09-07 08:12:10:866,1,3,14,14,19,48,3,0 37,0,2024-09-07 08:12:11:380,444,0.3,430,1.0,881,0.2,891,2.50 37,1,2024-09-07 08:12:10:572,4627,4627,0,0,2211846154,28734119,3666,371,590,371,391479,0 37,2,2024-09-07 08:12:11:145,3964,3964,0,0,294315,0,1448 37,3,2024-09-07 08:12:11:766,1,3,1,1,2,4,3,0 38,0,2024-09-07 08:12:11:445,854,1.2,841,0.9,1622,0.7,2312,3.25 38,1,2024-09-07 08:12:11:620,5149,5149,0,0,2487596275,26871259,4985,163,1,373,391479,0 38,2,2024-09-07 08:12:10:762,3851,3851,0,0,548494,0,3245 38,3,2024-09-07 08:12:10:998,1,3,2,1,3,6,3,0 39,0,2024-09-07 08:12:11:785,706,0.5,727,0.7,1413,0.3,1786,2.75 39,1,2024-09-07 08:12:10:719,5029,5029,0,0,2273622591,24616752,4887,134,8,369,391478,0 39,2,2024-09-07 08:12:11:420,3918,3918,0,0,280415,0,1318 39,3,2024-09-07 08:12:10:713,1,3,9,2,189,200,3,0 40,0,2024-09-07 08:12:11:503,990,2.4,1138,6.1,2039,6.2,3291,4.00 40,1,2024-09-07 08:12:10:586,5184,5184,0,0,2417206976,25127177,5182,2,0,371,391481,0 40,2,2024-09-07 08:12:11:304,3040,3040,0,0,86812,0,419 40,3,2024-09-07 08:12:11:142,1,3,8,8,10,26,3,0 41,0,2024-09-07 08:12:11:035,761,0.3,748,0.7,1563,0.4,2102,2.25 41,1,2024-09-07 08:12:10:770,5162,5162,0,0,2452107931,27204383,4708,327,127,373,391483,0 41,2,2024-09-07 08:12:10:760,4128,4128,0,0,165887,0,1026 41,3,2024-09-07 08:12:11:679,1,3,1,1,1,3,3,0 42,0,2024-09-07 08:12:11:489,966,0.5,939,1.0,1938,0.4,2770,2.25 42,1,2024-09-07 08:12:11:441,5178,5178,0,0,2586791946,27741369,5131,46,1,383,391489,0 42,2,2024-09-07 08:12:11:145,4397,4397,0,0,321243,0,1735 42,3,2024-09-07 08:12:11:008,1,3,54,1,54,57,3,0 43,0,2024-09-07 08:12:10:925,606,0.3,600,0.6,1231,0.1,1435,1.75 43,1,2024-09-07 08:12:10:584,5004,5004,0,0,2765762243,30184755,4582,396,26,369,391478,0 43,2,2024-09-07 08:12:11:736,4211,4211,0,0,248030,0,995 43,3,2024-09-07 08:12:11:749,1,3,292,1,292,351,3,0 44,0,2024-09-07 08:12:10:878,943,0.2,942,1.4,1939,0.3,2712,1.75 44,1,2024-09-07 08:12:10:565,5355,5355,0,0,2747143285,28444596,5303,52,0,359,391481,0 44,2,2024-09-07 08:12:11:274,2146,2146,0,0,42257,0,380 44,3,2024-09-07 08:12:11:092,1,3,28,22,28,75,3,0 45,0,2024-09-07 08:12:11:776,1148,0.5,1210,0.7,2345,0.4,3519,1.75 45,1,2024-09-07 08:12:11:035,5140,5140,0,0,2864051554,29404295,5140,0,0,384,391510,0 45,2,2024-09-07 08:12:11:273,4655,4655,0,0,180054,0,761 45,3,2024-09-07 08:12:10:936,1,3,3,1,16,20,3,0 46,0,2024-09-07 08:12:10:977,461,0.1,465,0.3,909,0.1,959,1.50 46,1,2024-09-07 08:12:10:582,5380,5380,0,0,2777701005,28616737,5376,3,1,370,391480,0 46,2,2024-09-07 08:12:10:598,4466,4466,0,0,139907,0,702 46,3,2024-09-07 08:12:11:131,1,3,1,1,200,218,3,0 47,0,2024-09-07 08:12:11:123,474,0.1,456,0.3,969,0.1,1012,1.50 47,1,2024-09-07 08:12:10:569,5226,5226,0,0,2246167341,23105026,5225,1,0,368,391481,0 47,2,2024-09-07 08:12:10:909,3251,3251,0,0,92807,0,513 47,3,2024-09-07 08:12:11:121,1,3,14,1,14,18,3,0 48,0,2024-09-07 08:12:11:538,185,0.1,198,0.2,361,0.1,3,1.25 48,1,2024-09-07 08:12:11:024,5093,5093,0,0,2846055488,30371526,4922,171,0,387,391488,0 48,2,2024-09-07 08:12:10:709,3215,3215,0,0,135851,0,1515 48,3,2024-09-07 08:12:10:759,1,3,21,8,21,45,3,0 49,0,2024-09-07 08:12:11:761,954,0.2,969,0.3,1918,0.1,2809,1.50 49,1,2024-09-07 08:12:11:023,5204,5204,0,0,2493174865,26730688,5086,118,0,385,391583,0 49,2,2024-09-07 08:12:11:799,4369,4369,0,0,373559,0,2196 49,3,2024-09-07 08:12:11:418,1,3,59,3,59,85,3,0 50,0,2024-09-07 08:12:11:520,386,0.1,378,0.2,773,0.1,709,1.25 50,1,2024-09-07 08:12:11:012,5236,5236,0,0,2571512346,26781043,5204,32,0,373,391485,0 50,2,2024-09-07 08:12:11:072,4066,4066,0,0,128197,0,743 50,3,2024-09-07 08:12:11:291,1,3,1,1,335,337,3,0 51,0,2024-09-07 08:12:11:700,687,0.1,697,0.2,1348,0.1,1760,1.25 51,1,2024-09-07 08:12:11:691,5031,5031,0,0,2812547398,29993893,4823,175,33,369,391504,0 51,2,2024-09-07 08:12:11:318,3192,3192,0,0,111534,0,1063 51,3,2024-09-07 08:12:11:028,1,3,162,4,162,188,3,0 52,0,2024-09-07 08:12:11:423,936,0.5,948,1.2,1891,0.6,2652,2.25 52,1,2024-09-07 08:12:10:583,4854,4854,0,0,2359723930,28530763,4005,655,194,371,391480,0 52,2,2024-09-07 08:12:11:761,3959,3959,0,0,225850,0,1288 52,3,2024-09-07 08:12:10:677,1,3,14,8,14,36,3,0 53,0,2024-09-07 08:12:11:780,756,0.3,801,0.6,1588,0.2,2109,2.00 53,1,2024-09-07 08:12:10:773,5069,5069,0,0,2646901803,28687133,4912,146,11,375,391481,0 53,2,2024-09-07 08:12:11:299,3511,3511,0,0,211084,0,1417 53,3,2024-09-07 08:12:10:697,1,3,1,1,28,30,3,0 54,0,2024-09-07 08:12:11:621,773,8.5,863,6.6,2126,8.2,3466,6.25 54,1,2024-09-07 08:12:10:584,4973,4973,0,0,2759684116,29805412,4677,262,34,371,391479,0 54,2,2024-09-07 08:12:10:867,3611,3611,0,0,271596,0,1445 54,3,2024-09-07 08:12:10:763,1,3,4,3,42,49,3,0 55,0,2024-09-07 08:12:11:775,932,0.8,914,1.1,1829,0.6,2593,2.50 55,1,2024-09-07 08:12:10:766,4932,4932,0,0,2166281928,24306777,4517,301,114,371,391660,0 55,2,2024-09-07 08:12:10:735,4390,4390,0,0,495271,0,2129 55,3,2024-09-07 08:12:10:675,1,3,6,1,12,19,3,0 56,0,2024-09-07 08:12:11:603,551,0.2,529,0.3,1110,0.1,1286,1.75 56,1,2024-09-07 08:12:10:580,4973,4973,0,0,3203855575,37175273,4157,382,434,386,391481,0 56,2,2024-09-07 08:12:11:304,4277,4277,0,0,351539,0,2364 56,3,2024-09-07 08:12:11:062,1,3,11,8,20,39,3,0 57,0,2024-09-07 08:12:10:971,733,0.4,732,0.4,1382,0.1,1871,1.75 57,1,2024-09-07 08:12:10:994,5243,5243,0,0,2692234485,28815851,5065,177,1,371,391482,0 57,2,2024-09-07 08:12:11:317,2215,2215,0,0,177042,0,2242 57,3,2024-09-07 08:12:11:738,1,3,1,1,1,3,3,0 58,0,2024-09-07 08:12:10:583,1042,0.5,1051,0.7,2135,0.4,3109,2.00 58,1,2024-09-07 08:12:10:591,5034,5034,0,0,2582981408,27586478,4863,171,0,373,391482,0 58,2,2024-09-07 08:12:11:082,4298,4298,0,0,285092,0,1307 58,3,2024-09-07 08:12:11:071,1,3,1,1,80,82,3,0 59,0,2024-09-07 08:12:11:778,571,0.2,605,0.4,1162,0.1,1411,1.75 59,1,2024-09-07 08:12:10:829,5202,5202,0,0,3101147102,32384469,5090,111,1,372,391478,0 59,2,2024-09-07 08:12:10:589,4520,4520,0,0,336744,0,1708 59,3,2024-09-07 08:12:11:750,1,3,6,3,10,19,3,0 60,0,2024-09-07 08:12:11:737,414,0.1,409,0.3,824,0.1,756,1.25 60,1,2024-09-07 08:12:10:792,5252,5252,0,0,2262197810,23425069,5252,0,0,372,391577,0 60,2,2024-09-07 08:12:11:150,3905,3905,0,0,147030,0,690 60,3,2024-09-07 08:12:11:260,1,3,17,13,23,53,3,0 61,0,2024-09-07 08:12:11:574,467,0.2,451,0.2,927,0.1,1007,1.25 61,1,2024-09-07 08:12:10:804,5218,5218,0,0,2400295098,24977400,5211,7,0,385,391481,0 61,2,2024-09-07 08:12:11:137,2904,2904,0,0,98130,0,654 61,3,2024-09-07 08:12:11:692,1,3,6,1,6,8,3,0 62,0,2024-09-07 08:12:11:723,1302,0.3,1296,0.7,2631,0.3,3952,1.50 62,1,2024-09-07 08:12:11:116,5122,5122,0,0,2713789434,28466049,4963,157,2,369,391491,0 62,2,2024-09-07 08:12:11:648,4477,4477,0,0,273154,0,2030 62,3,2024-09-07 08:12:11:142,1,3,1,1,28,31,3,0 63,0,2024-09-07 08:12:11:476,455,0.1,456,0.3,859,0.1,885,1.25 63,1,2024-09-07 08:12:10:815,5316,5316,0,0,2893783983,30351841,5183,133,0,382,391489,0 63,2,2024-09-07 08:12:10:766,3945,3945,0,0,233967,0,1769 63,3,2024-09-07 08:12:11:732,1,3,2,2,216,242,3,0 64,0,2024-09-07 08:12:11:639,399,0.1,417,0.3,814,0.1,752,1.25 64,1,2024-09-07 08:12:10:784,4896,4896,0,0,2893728213,30508740,4736,152,8,374,391486,0 64,2,2024-09-07 08:12:11:148,3350,3350,0,0,316885,0,2510 64,3,2024-09-07 08:12:11:145,1,3,9,8,14,31,3,0 65,0,2024-09-07 08:12:11:860,640,0.2,608,0.3,1239,0.1,1532,1.50 65,1,2024-09-07 08:12:10:863,5093,5093,0,0,2520582895,28206086,4658,430,5,387,391481,0 65,2,2024-09-07 08:12:11:706,3591,3591,0,0,280335,0,2374 65,3,2024-09-07 08:12:11:686,1,3,13,9,13,32,3,0 66,0,2024-09-07 08:12:11:798,1200,0.3,1183,0.5,2338,0.2,3475,1.50 66,1,2024-09-07 08:12:11:296,5418,5418,0,0,2673166411,27640441,5418,0,0,384,391481,0 66,2,2024-09-07 08:12:11:135,4056,4056,0,0,377197,0,2934 66,3,2024-09-07 08:12:11:087,1,3,5,3,45,53,3,0 67,0,2024-09-07 08:12:11:434,451,0.1,461,0.3,913,0.1,891,1.25 67,1,2024-09-07 08:12:10:790,5101,5100,0,1,1995426586,21093866,5100,0,0,383,391481,1 67,2,2024-09-07 08:12:10:592,3853,3853,0,0,192512,0,1499 67,3,2024-09-07 08:12:11:751,1,3,1,1,4,6,3,0 68,0,2024-09-07 08:12:10:587,856,0.3,844,0.9,1683,0.3,2316,2.00 68,1,2024-09-07 08:12:10:577,4822,4822,0,0,2594894932,31238606,4037,340,445,386,391482,0 68,2,2024-09-07 08:12:11:046,3904,3904,0,0,235403,0,1742 68,3,2024-09-07 08:12:10:734,1,3,14,8,14,32,3,0 69,0,2024-09-07 08:12:11:770,716,0.4,757,0.9,1363,0.2,1777,2.00 69,1,2024-09-07 08:12:11:019,5039,5039,0,0,2437227457,26875669,4834,205,0,387,391483,0 69,2,2024-09-07 08:12:11:771,3965,3965,0,0,256664,0,1156 69,3,2024-09-07 08:12:10:761,1,3,1,1,10,20,3,0 70,0,2024-09-07 08:12:11:553,1194,6.9,1096,7.4,2017,3.9,3287,5.50 70,1,2024-09-07 08:12:10:810,5123,5123,0,0,2942961712,31010905,5018,88,17,371,391527,0 70,2,2024-09-07 08:12:11:326,2782,2782,0,0,242830,0,1468 70,3,2024-09-07 08:12:10:745,1,3,1,1,6,10,3,0 71,0,2024-09-07 08:12:11:382,800,0.6,774,1.0,1647,0.2,2129,2.00 71,1,2024-09-07 08:12:11:602,5119,5119,0,0,2605274041,28208439,4936,153,30,371,391479,0 71,2,2024-09-07 08:12:11:072,4077,4077,0,0,574324,0,2146 71,3,2024-09-07 08:12:11:754,1,3,19,5,19,33,3,0 72,0,2024-09-07 08:12:11:081,1017,0.6,963,0.7,1899,0.4,2761,3.50 72,1,2024-09-07 08:12:11:038,5126,5126,0,0,2823550481,29539782,5054,72,0,373,391485,0 72,2,2024-09-07 08:12:11:770,4168,4168,0,0,358625,0,1417 72,3,2024-09-07 08:12:11:765,1,3,8,8,13,30,3,0 73,0,2024-09-07 08:12:11:117,592,0.3,628,0.5,1192,0.2,1442,2.25 73,1,2024-09-07 08:12:10:799,5155,5155,0,0,2458408984,25909858,5152,3,0,371,391484,0 73,2,2024-09-07 08:12:11:754,4192,4192,0,0,620177,0,3482 73,3,2024-09-07 08:12:10:970,1,3,92,3,92,100,3,0 74,0,2024-09-07 08:12:11:385,969,0.4,992,0.9,1913,0.4,2735,3.25 74,1,2024-09-07 08:12:10:637,5228,5228,0,0,2170474269,22477024,5228,0,0,385,391481,0 74,2,2024-09-07 08:12:11:003,2177,2177,0,0,225534,0,2736 74,3,2024-09-07 08:12:11:459,1,3,167,10,167,196,3,0 75,0,2024-09-07 08:12:11:791,1187,1.5,1142,1.6,2351,1.7,3473,3.75 75,1,2024-09-07 08:12:11:589,5193,5193,0,0,2702611542,29124449,5049,144,0,385,391508,0 75,2,2024-09-07 08:12:11:352,4532,4532,0,0,247977,0,1723 75,3,2024-09-07 08:12:11:070,1,3,1,1,8,10,3,0 76,0,2024-09-07 08:12:10:630,472,0.1,472,0.2,905,0.1,962,1.25 76,1,2024-09-07 08:12:10:819,5088,5088,0,0,2284916742,23837638,5081,7,0,386,391482,0 76,2,2024-09-07 08:12:11:066,4540,4540,0,0,120000,0,523 76,3,2024-09-07 08:12:11:143,1,3,12,12,22,48,3,0 77,0,2024-09-07 08:12:11:750,506,0.1,512,0.2,973,0.1,1048,1.25 77,1,2024-09-07 08:12:10:829,5155,5155,0,0,2145032365,22306566,5155,0,0,385,391491,0 77,2,2024-09-07 08:12:11:289,3436,3436,0,0,105416,0,828 77,3,2024-09-07 08:12:11:093,1,3,2,1,2,4,3,0 78,0,2024-09-07 08:12:11:888,189,0.1,206,0.2,403,0.1,4,1.25 78,1,2024-09-07 08:12:10:613,5282,5282,0,0,2286727928,23720529,5282,0,0,371,391480,0 78,2,2024-09-07 08:12:11:406,3254,3254,0,0,83477,0,561 78,3,2024-09-07 08:12:11:134,1,3,2,2,14,18,3,0 79,0,2024-09-07 08:12:11:411,954,0.2,978,0.3,1906,0.1,2735,1.50 79,1,2024-09-07 08:12:10:578,5239,5239,0,0,2543363845,26054873,5239,0,0,372,391478,0 79,2,2024-09-07 08:12:11:084,4495,4495,0,0,127926,0,455 79,3,2024-09-07 08:12:10:753,1,3,1,1,2,4,3,0 80,0,2024-09-07 08:12:11:092,383,0.2,403,0.3,776,0.1,720,1.50 80,1,2024-09-07 08:12:11:622,4992,4992,0,0,2688296251,28750211,4731,260,1,374,391673,0 80,2,2024-09-07 08:12:11:092,3901,3901,0,0,230234,0,993 80,3,2024-09-07 08:12:10:575,1,3,8,8,72,89,3,0 81,0,2024-09-07 08:12:11:575,699,0.2,663,0.4,1337,0.2,1774,1.50 81,1,2024-09-07 08:12:11:660,5094,5094,0,0,2208430775,23723887,5049,45,0,385,391481,0 81,2,2024-09-07 08:12:11:127,3213,3213,0,0,379892,0,2811 81,3,2024-09-07 08:12:11:130,1,3,1,1,39,47,3,0 82,0,2024-09-07 08:12:11:546,892,0.2,908,0.6,1887,0.2,2651,1.75 82,1,2024-09-07 08:12:10:588,5126,5126,0,0,2483871461,26835063,4951,169,6,385,391488,0 82,2,2024-09-07 08:12:11:698,3819,3819,0,0,226789,0,1004 82,3,2024-09-07 08:12:11:751,1,3,2,1,65,68,3,0 83,0,2024-09-07 08:12:11:572,790,0.2,766,0.4,1578,0.1,2071,1.50 83,1,2024-09-07 08:12:10:564,4953,4953,0,0,2320544559,26155974,4495,379,79,385,391494,0 83,2,2024-09-07 08:12:10:772,3550,3550,0,0,158181,0,830 83,3,2024-09-07 08:12:10:749,1,3,9,1,9,14,3,0 84,0,2024-09-07 08:12:11:850,1003,8.4,981,5.9,2383,7.7,3716,7.00 84,1,2024-09-07 08:12:11:054,5018,5018,0,0,2645758016,30189089,4499,426,93,371,391538,0 84,2,2024-09-07 08:12:10:573,3463,3463,0,0,787804,0,3801 84,3,2024-09-07 08:12:11:145,1,3,11,9,13,33,3,0 85,0,2024-09-07 08:12:11:066,875,0.5,902,0.8,1775,0.5,2485,2.25 85,1,2024-09-07 08:12:10:569,4877,4877,0,0,2690103600,31980293,3975,627,275,386,391498,0 85,2,2024-09-07 08:12:10:895,4193,4193,0,0,780409,0,3656 85,3,2024-09-07 08:12:10:684,1,3,5,1,5,9,3,0 86,0,2024-09-07 08:12:10:892,538,0.3,545,0.5,1044,0.2,1228,1.75 86,1,2024-09-07 08:12:10:826,5100,5100,0,0,2721980775,30582149,4610,463,27,371,391491,0 86,2,2024-09-07 08:12:10:856,4149,4149,0,0,223005,0,1023 86,3,2024-09-07 08:12:10:593,1,3,10,2,11,23,3,0 87,0,2024-09-07 08:12:11:300,699,0.5,736,0.7,1434,0.2,1832,2.00 87,1,2024-09-07 08:12:10:554,4964,4964,0,0,2316209583,25833117,4602,314,48,370,391551,0 87,2,2024-09-07 08:12:11:072,2120,2120,0,0,166732,0,1447 87,3,2024-09-07 08:12:11:794,1,3,2,2,10,14,3,0 88,0,2024-09-07 08:12:11:471,1065,0.4,1065,0.6,2162,0.4,3131,1.50 88,1,2024-09-07 08:12:10:574,5027,5027,0,0,2895628025,30111652,5020,7,0,370,391511,0 88,2,2024-09-07 08:12:10:689,4523,4523,0,0,316901,0,2675 88,3,2024-09-07 08:12:11:270,1,3,8,8,13,30,3,0 89,0,2024-09-07 08:12:11:784,578,0.2,584,0.3,1124,0.2,1389,1.50 89,1,2024-09-07 08:12:10:562,4318,4318,0,0,2468249527,26912687,4043,168,107,384,391492,0 89,2,2024-09-07 08:12:11:148,4488,4488,0,0,516895,0,2564 89,3,2024-09-07 08:12:11:791,1,3,6,4,7,17,3,0 90,0,2024-09-07 08:12:11:722,390,0.2,404,0.2,810,0.1,745,1.50 90,1,2024-09-07 08:12:10:592,4917,4917,0,0,2560422841,27109431,4882,35,0,384,391490,0 90,2,2024-09-07 08:12:11:466,3720,3720,0,0,362854,0,2225 90,3,2024-09-07 08:12:10:931,1,3,8,1,10,19,3,0 91,0,2024-09-07 08:12:10:946,467,0.2,468,0.3,985,0.1,970,1.50 91,1,2024-09-07 08:12:10:565,5099,5099,0,0,2821403260,30782274,4776,254,69,385,391503,0 91,2,2024-09-07 08:12:11:339,2804,2804,0,0,227655,0,1997 91,3,2024-09-07 08:12:10:600,1,3,18,1,25,44,3,0 92,0,2024-09-07 08:12:11:497,1343,0.4,1301,0.7,2623,0.7,3992,1.75 92,1,2024-09-07 08:12:10:592,5279,5279,0,0,2268534081,23549781,5277,2,0,386,391484,0 92,2,2024-09-07 08:12:11:359,4729,4729,0,0,173157,0,1039 92,3,2024-09-07 08:12:11:008,1,3,8,8,17,38,3,0 93,0,2024-09-07 08:12:10:981,423,0.2,424,0.3,853,0.1,858,1.25 93,1,2024-09-07 08:12:10:811,5215,5215,0,0,2631144255,27135738,5210,4,1,371,391689,0 93,2,2024-09-07 08:12:10:930,4118,4118,0,0,111007,0,542 93,3,2024-09-07 08:12:11:406,1,3,11,8,23,42,3,0 94,0,2024-09-07 08:12:11:648,404,0.2,440,0.3,847,0.1,778,1.25 94,1,2024-09-07 08:12:10:565,5129,5129,0,0,2921840411,30879429,4995,133,1,384,391491,0 94,2,2024-09-07 08:12:10:767,3422,3422,0,0,164580,0,1294 94,3,2024-09-07 08:12:11:692,1,3,13,1,124,138,3,0 95,0,2024-09-07 08:12:11:428,687,0.2,632,0.2,1307,0.1,1558,1.25 95,1,2024-09-07 08:12:10:854,5253,5253,0,0,2379437466,24752482,5252,1,0,371,391480,0 95,2,2024-09-07 08:12:11:016,3689,3689,0,0,154062,0,943 95,3,2024-09-07 08:12:11:710,1,3,12,2,12,17,3,0 96,0,2024-09-07 08:12:11:052,1173,0.6,1197,0.8,2341,0.6,3485,1.75 96,1,2024-09-07 08:12:11:597,5321,5321,0,0,2796995931,29702763,5251,70,0,388,391509,0 96,2,2024-09-07 08:12:11:275,3984,3984,0,0,182944,0,1251 96,3,2024-09-07 08:12:11:140,1,3,10,8,13,31,3,0 97,0,2024-09-07 08:12:11:376,425,0.3,437,0.3,853,0.1,863,1.50 97,1,2024-09-07 08:12:10:767,5026,5026,0,0,2652973335,28447141,4796,224,6,371,391489,0 97,2,2024-09-07 08:12:10:616,3674,3674,0,0,253480,0,1663 97,3,2024-09-07 08:12:10:569,1,3,1,1,77,82,3,0 98,0,2024-09-07 08:12:11:769,855,0.2,877,0.5,1721,0.2,2382,1.50 98,1,2024-09-07 08:12:10:572,5067,5067,0,0,2439507398,26193109,5006,61,0,385,391588,0 98,2,2024-09-07 08:12:10:771,3815,3815,0,0,367186,0,2410 98,3,2024-09-07 08:12:10:698,1,3,155,3,155,168,3,0 99,0,2024-09-07 08:12:11:512,682,0.3,682,0.5,1346,0.2,1742,1.75 99,1,2024-09-07 08:12:11:735,5390,5390,0,0,2604194713,27634745,5348,42,0,385,391519,0 99,2,2024-09-07 08:12:11:437,3952,3952,0,0,196004,0,834 99,3,2024-09-07 08:12:10:580,1,3,1,1,6,12,3,0 100,0,2024-09-07 08:12:11:475,1254,3.8,1035,6.3,2183,6.2,3052,8.25 100,1,2024-09-07 08:12:10:571,4934,4934,0,0,2557469524,28715636,4571,328,35,384,391481,0 100,2,2024-09-07 08:12:11:817,2857,2857,0,0,169588,0,1050 100,3,2024-09-07 08:12:11:730,1,3,51,12,443,506,3,0 101,0,2024-09-07 08:12:11:742,822,0.4,818,0.6,1616,0.3,2191,2.00 101,1,2024-09-07 08:12:10:567,5058,5058,0,0,2446257781,27570499,4785,272,1,375,391513,0 101,2,2024-09-07 08:12:11:756,4041,4041,0,0,388454,0,1979 101,3,2024-09-07 08:12:10:942,1,3,448,18,448,500,3,0 102,0,2024-09-07 08:12:10:955,1015,1.6,939,1.3,1930,1.2,2737,2.75 102,1,2024-09-07 08:12:11:146,5191,5191,0,0,2403983057,26518536,4982,209,0,371,391621,0 102,2,2024-09-07 08:12:11:742,4392,4392,0,0,316859,0,1699 102,3,2024-09-07 08:12:11:661,1,3,410,24,410,468,3,0 103,0,2024-09-07 08:12:11:658,588,0.4,576,0.7,1216,0.2,1448,2.00 103,1,2024-09-07 08:12:11:635,5207,5206,0,0,2943683241,31677782,5047,123,37,385,391484,0 103,2,2024-09-07 08:12:10:647,4172,4172,0,0,296661,0,1036 103,3,2024-09-07 08:12:10:761,1,3,24,3,24,32,3,0 104,0,2024-09-07 08:12:11:028,976,0.9,923,1.0,1879,0.4,2754,2.00 104,1,2024-09-07 08:12:11:614,5362,5362,0,0,2689564477,28210533,5350,12,0,370,391485,0 104,2,2024-09-07 08:12:11:674,2209,2209,0,0,133738,0,1902 104,3,2024-09-07 08:12:11:418,1,3,12,9,12,32,3,0 105,0,2024-09-07 08:12:11:048,1157,0.6,1167,2.0,2306,1.5,3459,2.00 105,1,2024-09-07 08:12:10:579,5100,5100,0,0,2626065471,29080551,4695,256,149,369,391797,0 105,2,2024-09-07 08:12:11:330,4399,4399,0,0,187882,0,827 105,3,2024-09-07 08:12:11:305,1,3,6,1,6,9,3,0 106,0,2024-09-07 08:12:10:951,471,0.3,455,0.4,933,0.2,954,1.50 106,1,2024-09-07 08:12:11:768,5355,5355,0,0,2526161514,27283907,5210,144,1,373,391503,0 106,2,2024-09-07 08:12:10:761,4387,4387,0,0,355058,0,1507 106,3,2024-09-07 08:12:10:677,1,3,1,1,2,4,3,0 107,0,2024-09-07 08:12:11:115,480,0.2,493,0.4,977,0.2,998,1.50 107,1,2024-09-07 08:12:10:587,4715,4715,0,0,2326170335,24413649,4704,9,2,383,391487,0 107,2,2024-09-07 08:12:11:296,3133,3132,1,0,597348,0,5024 107,3,2024-09-07 08:12:11:755,1,3,75,5,75,114,3,0 108,0,2024-09-07 08:12:11:929,187,0.1,199,0.2,378,0.2,10,1.25 108,1,2024-09-07 08:12:11:298,5396,5396,0,0,2941327978,30204561,5395,0,1,372,391563,0 108,2,2024-09-07 08:12:11:764,3311,3311,0,0,89443,0,449 108,3,2024-09-07 08:12:11:330,1,3,14,13,18,45,3,0 109,0,2024-09-07 08:12:11:779,1015,0.2,956,0.4,2011,0.2,2878,1.50 109,1,2024-09-07 08:12:10:600,5135,5135,0,0,2688698112,28158751,5116,19,0,387,391481,0 109,2,2024-09-07 08:12:10:923,4227,4227,0,0,119368,0,484 109,3,2024-09-07 08:12:11:145,1,3,70,1,102,173,3,0 110,0,2024-09-07 08:12:11:862,392,0.1,407,0.2,762,0.1,739,1.25 110,1,2024-09-07 08:12:11:670,5328,5328,0,0,2577080851,26392541,5327,1,0,372,391535,0 110,2,2024-09-07 08:12:11:311,4042,4042,0,0,241436,0,2234 110,3,2024-09-07 08:12:10:690,1,3,1,1,16,18,3,0 111,0,2024-09-07 08:12:11:431,721,0.1,682,0.2,1375,0.1,1784,1.25 111,1,2024-09-07 08:12:11:004,5253,5253,0,0,2567829125,26366594,5252,1,0,385,391482,0 111,2,2024-09-07 08:12:11:121,3406,3406,0,0,144376,0,1001 111,3,2024-09-07 08:12:10:913,1,3,53,2,53,65,3,0 112,0,2024-09-07 08:12:10:923,939,1.3,922,0.7,1796,0.2,2654,2.75 112,1,2024-09-07 08:12:10:828,5075,5075,0,0,2610587793,27260063,5058,17,0,384,391485,0 112,2,2024-09-07 08:12:11:134,4052,4052,0,0,186666,0,1137 112,3,2024-09-07 08:12:10:592,1,3,9,8,12,29,3,0 113,0,2024-09-07 08:12:10:875,780,0.3,779,0.5,1539,0.2,2109,2.00 113,1,2024-09-07 08:12:11:688,5124,5124,0,0,2688290785,29104322,4880,244,0,371,391661,0 113,2,2024-09-07 08:12:11:307,3560,3560,0,0,205802,0,1275 113,3,2024-09-07 08:12:10:691,1,3,3,3,130,234,3,0 114,0,2024-09-07 08:12:10:909,1115,5.3,1250,5.7,2636,5.1,4326,4.50 114,1,2024-09-07 08:12:10:727,5185,5185,0,0,2501809413,26593869,5034,151,0,383,391484,0 114,2,2024-09-07 08:12:10:874,3735,3735,0,0,205081,0,1841 114,3,2024-09-07 08:12:11:279,1,3,1,1,159,162,3,0 115,0,2024-09-07 08:12:10:571,892,0.4,881,0.8,1751,0.3,2455,2.25 115,1,2024-09-07 08:12:10:583,5161,5161,0,0,2954782933,31051824,5117,44,0,385,391495,0 115,2,2024-09-07 08:12:11:127,4353,4353,0,0,231603,0,1535 115,3,2024-09-07 08:12:11:005,1,3,40,2,40,56,3,0 116,0,2024-09-07 08:12:11:723,541,0.2,551,0.4,1083,0.2,1234,1.50 116,1,2024-09-07 08:12:10:805,5105,5105,0,0,2584321588,27573284,5046,59,0,386,391481,0 116,2,2024-09-07 08:12:11:753,4307,4307,0,0,427765,0,2154 116,3,2024-09-07 08:12:10:913,1,3,9,8,9,26,3,0 117,0,2024-09-07 08:12:10:975,697,0.4,697,0.5,1405,0.2,1834,1.75 117,1,2024-09-07 08:12:11:592,5133,5133,0,0,2772816437,29971713,4993,140,0,374,391523,0 117,2,2024-09-07 08:12:11:126,2190,2190,0,0,558297,0,3700 117,3,2024-09-07 08:12:11:059,1,3,398,36,490,924,3,0 118,0,2024-09-07 08:12:11:783,1038,0.4,1009,0.8,2132,0.5,3094,1.75 118,1,2024-09-07 08:12:10:587,4846,4846,0,0,2377314730,26179993,4703,143,0,375,391484,0 118,2,2024-09-07 08:12:11:636,4390,4390,0,0,373038,0,1395 118,3,2024-09-07 08:12:11:768,1,3,34,2,34,45,3,0 119,0,2024-09-07 08:12:11:357,559,0.3,567,0.3,1143,0.2,1366,1.75 119,1,2024-09-07 08:12:10:558,4947,4947,0,0,2893301787,32346241,4507,413,27,372,391518,0 119,2,2024-09-07 08:12:11:266,4460,4460,0,0,536119,0,2222 119,3,2024-09-07 08:12:11:325,1,3,2,2,4,8,3,0 120,0,2024-09-07 08:12:11:630,390,0.3,394,0.4,809,0.2,743,1.75 120,1,2024-09-07 08:12:10:865,5042,5042,0,0,2223339157,24411613,4802,235,5,374,391488,0 120,2,2024-09-07 08:12:10:771,3837,3837,0,0,195713,0,1012 120,3,2024-09-07 08:12:11:292,1,3,10,8,13,31,3,0 121,0,2024-09-07 08:12:11:739,482,0.3,487,0.5,953,0.2,1021,1.75 121,1,2024-09-07 08:12:11:678,5053,5053,0,0,2531570772,27131354,4963,87,3,372,391633,0 121,2,2024-09-07 08:12:11:134,2746,2746,0,0,215537,0,1491 121,3,2024-09-07 08:12:10:733,1,3,1,1,71,74,3,0 122,0,2024-09-07 08:12:11:797,1404,5.4,1094,4.9,2205,7.0,4031,3.50 122,1,2024-09-07 08:12:10:862,5051,5051,0,0,2696322413,29036579,4939,112,0,372,392130,0 122,2,2024-09-07 08:12:11:321,4382,4382,0,0,429483,0,1973 122,3,2024-09-07 08:12:10:609,1,3,129,13,141,283,3,0 123,0,2024-09-07 08:12:11:021,446,0.3,438,0.4,881,0.2,899,1.75 123,1,2024-09-07 08:12:10:574,5230,5230,0,0,2550786719,27371623,5061,168,1,373,391488,0 123,2,2024-09-07 08:12:11:022,4179,4179,0,0,322345,0,1528 123,3,2024-09-07 08:12:11:131,1,3,134,2,134,140,3,0 124,0,2024-09-07 08:12:11:081,423,0.2,416,0.2,794,0.1,773,1.25 124,1,2024-09-07 08:12:11:032,5343,5343,0,0,2717277094,27964135,5341,2,0,372,391481,0 124,2,2024-09-07 08:12:11:020,3429,3429,0,0,110576,0,650 124,3,2024-09-07 08:12:10:761,1,3,21,12,21,50,3,0 125,0,2024-09-07 08:12:11:432,663,0.2,621,0.3,1283,0.1,1554,1.50 125,1,2024-09-07 08:12:10:874,5302,5302,0,0,2832177375,29256210,5301,0,1,386,391502,0 125,2,2024-09-07 08:12:11:117,3702,3702,0,0,142684,0,791 125,3,2024-09-07 08:12:11:126,1,3,3,3,13,27,3,0 126,0,2024-09-07 08:12:11:458,1155,0.2,1153,0.9,2355,0.4,3438,1.50 126,1,2024-09-07 08:12:10:580,5212,5212,0,0,2917364301,30330497,5188,23,1,369,391719,0 126,2,2024-09-07 08:12:10:625,4112,4112,0,0,112622,0,472 126,3,2024-09-07 08:12:10:909,1,3,1,1,6,8,3,0 127,0,2024-09-07 08:12:11:769,433,0.2,437,0.3,859,0.1,874,1.50 127,1,2024-09-07 08:12:10:590,5223,5223,0,0,2130757553,21930498,5222,0,1,369,391480,0 127,2,2024-09-07 08:12:10:652,3848,3848,0,0,131776,0,1007 127,3,2024-09-07 08:12:11:273,1,3,3,3,19,36,3,0 128,0,2024-09-07 08:12:11:564,843,0.4,838,0.8,1696,0.4,2326,2.25 128,1,2024-09-07 08:12:11:606,5053,5053,0,0,2618203937,27474452,5037,16,0,374,391487,0 128,2,2024-09-07 08:12:11:388,3945,3945,0,0,399746,0,1712 128,3,2024-09-07 08:12:10:785,1,3,8,8,19,36,3,0 129,0,2024-09-07 08:12:11:060,724,0.4,698,0.5,1399,0.3,1747,2.25 129,1,2024-09-07 08:12:10:584,5128,5128,0,0,2524621209,27043062,5019,109,0,383,391489,0 129,2,2024-09-07 08:12:10:689,3821,3821,0,0,295092,0,2289 129,3,2024-09-07 08:12:10:688,1,3,3,1,10,14,3,0 130,0,2024-09-07 08:12:11:729,1194,3.3,1297,2.5,2476,4.2,3829,3.25 130,1,2024-09-07 08:12:10:612,5139,5139,0,0,3026969516,32218021,4965,173,1,383,391516,0 130,2,2024-09-07 08:12:11:129,2944,2944,0,0,118441,0,1019 130,3,2024-09-07 08:12:11:291,1,3,1,1,11,13,3,0 131,0,2024-09-07 08:12:11:947,759,0.5,756,1.1,1524,0.4,2087,2.25 131,1,2024-09-07 08:12:11:824,5252,5252,0,0,2668750254,28063568,5242,9,1,385,391727,0 131,2,2024-09-07 08:12:10:581,3973,3973,0,0,146576,0,760 131,3,2024-09-07 08:12:11:693,1,3,10,1,10,20,3,0 132,0,2024-09-07 08:12:11:649,962,0.9,929,2.2,1980,1.4,2662,2.50 132,1,2024-09-07 08:12:10:581,5025,5025,0,0,2259633247,25993725,4549,430,46,387,391485,0 132,2,2024-09-07 08:12:10:701,3989,3989,0,0,1090415,0,3939 132,3,2024-09-07 08:12:11:688,1,3,8,8,356,382,3,0 133,0,2024-09-07 08:12:11:548,598,0.3,600,0.6,1194,0.2,1415,2.00 133,1,2024-09-07 08:12:10:590,4947,4947,0,0,2463447417,27547075,4488,457,2,387,391492,0 133,2,2024-09-07 08:12:11:094,4191,4191,0,0,421734,0,1707 133,3,2024-09-07 08:12:11:297,1,3,1,1,4,6,3,0 134,0,2024-09-07 08:12:10:989,920,0.7,886,1.8,1876,0.9,2563,2.50 134,1,2024-09-07 08:12:10:649,4218,4218,0,0,2549487861,33248254,3077,476,665,375,391508,0 134,2,2024-09-07 08:12:11:758,2127,2127,0,0,169143,0,1739 134,3,2024-09-07 08:12:10:758,1,3,1,1,29,34,3,0 135,0,2024-09-07 08:12:11:185,1161,3.9,1138,5.6,1967,5.4,2850,3.25 135,1,2024-09-07 08:12:11:604,5116,5116,0,0,2480767773,27527987,4779,322,15,384,391491,0 135,2,2024-09-07 08:12:10:813,4332,4332,0,0,641437,0,3016 135,3,2024-09-07 08:12:11:007,1,3,4,2,53,59,3,0 136,0,2024-09-07 08:12:11:639,475,0.2,467,0.3,976,0.1,987,1.50 136,1,2024-09-07 08:12:11:455,5137,5137,0,0,2521469262,28841002,4581,422,134,386,391501,0 136,2,2024-09-07 08:12:11:140,4589,4589,0,0,196382,0,943 136,3,2024-09-07 08:12:11:106,1,3,12,8,20,40,3,0 137,0,2024-09-07 08:12:10:966,479,0.2,472,0.3,953,0.1,989,1.50 137,1,2024-09-07 08:12:10:582,5157,5157,0,0,2544656550,26900377,5124,33,0,370,391489,0 137,2,2024-09-07 08:12:11:718,2952,2952,0,0,282791,0,2294 137,3,2024-09-07 08:12:10:771,1,3,6,1,11,18,3,0 138,0,2024-09-07 08:12:11:955,219,0.2,215,0.3,367,0.1,8,1.25 138,1,2024-09-07 08:12:11:699,4990,4990,0,0,2661095301,29308081,4563,331,96,371,391572,0 138,2,2024-09-07 08:12:10:624,3157,3157,0,0,468861,0,3263 138,3,2024-09-07 08:12:10:613,1,3,2,1,4,7,3,0 139,0,2024-09-07 08:12:11:531,1017,0.3,1003,0.7,2007,0.4,2748,1.75 139,1,2024-09-07 08:12:10:612,4406,4406,0,0,2340231834,27068555,3908,402,96,386,391511,0 139,2,2024-09-07 08:12:10:694,4344,4344,0,0,522355,0,2233 139,3,2024-09-07 08:12:11:662,1,3,5,2,5,9,3,0 140,0,2024-09-07 08:12:11:604,404,0.1,392,0.3,853,0.1,733,1.25 140,1,2024-09-07 08:12:11:538,5336,5336,0,0,2846945978,28919770,5335,1,0,367,391478,0 140,2,2024-09-07 08:12:10:689,3957,3957,0,0,216742,0,2078 140,3,2024-09-07 08:12:10:767,1,3,10,8,13,31,3,0 141,0,2024-09-07 08:12:11:716,658,0.2,688,0.2,1384,0.1,1761,1.50 141,1,2024-09-07 08:12:10:872,5349,5349,0,0,2413267256,24838171,5349,0,0,383,391511,0 141,2,2024-09-07 08:12:11:692,3446,3446,0,0,114767,0,636 141,3,2024-09-07 08:12:11:044,1,3,7,1,7,13,3,0 142,0,2024-09-07 08:12:11:324,952,0.2,918,0.8,1926,0.2,2686,1.50 142,1,2024-09-07 08:12:10:601,5220,5220,0,0,2468469435,25477105,5220,0,0,385,391483,0 142,2,2024-09-07 08:12:11:301,3900,3900,0,0,229511,0,2000 142,3,2024-09-07 08:12:11:748,1,3,1,1,5,7,3,0 143,0,2024-09-07 08:12:11:426,801,0.2,782,0.3,1570,0.2,2061,1.50 143,1,2024-09-07 08:12:10:568,5076,5076,0,0,2669579685,28393285,4904,172,0,371,391483,0 143,2,2024-09-07 08:12:10:784,3502,3502,0,0,118916,0,670 143,3,2024-09-07 08:12:11:141,1,3,1,1,219,222,3,0 144,0,2024-09-07 08:12:11:515,1227,5.4,1042,6.1,2366,7.4,4196,3.50 144,1,2024-09-07 08:12:10:591,4996,4996,0,0,2512790034,27435908,4739,218,39,384,391557,0 144,2,2024-09-07 08:12:11:756,3736,3736,0,0,173131,0,1140 144,3,2024-09-07 08:12:11:744,1,3,8,8,99,133,3,0 145,0,2024-09-07 08:12:11:415,869,0.5,872,0.8,1825,0.4,2450,2.00 145,1,2024-09-07 08:12:10:611,5105,5105,0,0,2621404162,28285228,5020,85,0,386,391481,0 145,2,2024-09-07 08:12:11:433,4000,4000,0,0,1181627,0,3903 145,3,2024-09-07 08:12:10:900,1,3,5,5,151,169,3,0 146,0,2024-09-07 08:12:11:663,543,0.3,545,0.6,1078,0.2,1206,1.75 146,1,2024-09-07 08:12:11:627,4935,4935,0,0,2600589423,27875992,4804,127,4,371,391483,0 146,2,2024-09-07 08:12:11:698,4317,4317,0,0,268952,0,1544 146,3,2024-09-07 08:12:11:274,1,3,31,1,31,50,3,0 147,0,2024-09-07 08:12:11:800,737,0.4,700,0.5,1425,0.2,1901,2.00 147,1,2024-09-07 08:12:11:382,5225,5225,0,0,2534045164,26116899,5216,7,2,370,391481,0 147,2,2024-09-07 08:12:11:017,2176,2176,0,0,45553,0,342 147,3,2024-09-07 08:12:10:914,1,3,10,10,141,203,3,0 0,0,2024-09-07 08:12:21:772,481,0.2,474,0.3,997,0.1,1009,1.50 0,1,2024-09-07 08:12:20:812,6563,6563,0,0,3272191174,36439784,6167,291,105,374,391658,0 0,2,2024-09-07 08:12:21:068,5024,5024,0,0,252001,0,706 0,3,2024-09-07 08:12:20:976,1,4,12,8,16,44,4,0 1,0,2024-09-07 08:12:21:869,575,0.2,600,0.3,1198,0.2,1317,1.50 1,1,2024-09-07 08:12:20:576,6731,6731,0,0,3378317451,36827038,6475,223,33,375,391480,0 1,2,2024-09-07 08:12:20:642,3367,3367,0,0,221002,0,1321 1,3,2024-09-07 08:12:21:313,1,4,35,2,36,84,4,0 2,0,2024-09-07 08:12:21:622,1819,0.9,1883,1.7,3591,1.3,5219,1.75 2,1,2024-09-07 08:12:20:863,6680,6680,0,0,3276515178,34346102,6678,2,0,385,391479,0 2,2,2024-09-07 08:12:21:274,5845,5845,0,0,789238,0,3304 2,3,2024-09-07 08:12:20:692,1,4,87,1,87,119,4,0 3,0,2024-09-07 08:12:21:763,575,0.1,568,0.2,1135,0.1,1193,1.50 3,1,2024-09-07 08:12:21:621,6685,6685,0,0,3535629753,36941535,6685,0,0,383,391479,0 3,2,2024-09-07 08:12:21:143,5516,5516,0,0,319842,0,1392 3,3,2024-09-07 08:12:21:755,1,4,1,1,23,39,4,0 4,0,2024-09-07 08:12:21:796,509,0.3,502,0.4,1016,0.2,1051,1.75 4,1,2024-09-07 08:12:20:610,6509,6509,0,0,3404923220,39044516,5776,432,301,375,391525,0 4,2,2024-09-07 08:12:21:034,4333,4333,0,0,1071203,0,4528 4,3,2024-09-07 08:12:21:033,1,4,15,9,21,61,4,0 5,0,2024-09-07 08:12:21:433,805,0.3,823,0.5,1636,0.2,2050,1.75 5,1,2024-09-07 08:12:20:758,6811,6811,0,0,3861775686,41377180,6667,141,3,375,391503,0 5,2,2024-09-07 08:12:21:833,4611,4611,0,0,346248,0,1912 5,3,2024-09-07 08:12:21:747,1,4,8,1,17,27,4,0 6,0,2024-09-07 08:12:20:953,1540,0.9,1574,2.0,3284,1.6,4658,2.25 6,1,2024-09-07 08:12:20:758,6798,6798,0,0,3554067057,37516891,6768,30,0,383,391481,0 6,2,2024-09-07 08:12:21:195,5344,5344,0,0,349043,0,1205 6,3,2024-09-07 08:12:21:278,1,4,16,2,277,302,4,0 7,0,2024-09-07 08:12:21:572,558,0.2,562,0.5,1146,0.1,1163,1.75 7,1,2024-09-07 08:12:20:853,6853,6853,0,0,3283883067,35332996,6659,181,13,386,391479,0 7,2,2024-09-07 08:12:20:781,4948,4948,0,0,535542,0,2981 7,3,2024-09-07 08:12:20:860,1,4,40,1,40,59,4,0 8,0,2024-09-07 08:12:21:476,1092,0.3,1132,0.5,2248,0.2,3082,1.75 8,1,2024-09-07 08:12:21:024,6156,6156,0,0,3346117487,40162535,5103,493,560,370,391724,0 8,2,2024-09-07 08:12:20:821,4777,4777,0,0,632544,0,2986 8,3,2024-09-07 08:12:20:594,1,4,18,9,18,50,4,0 9,0,2024-09-07 08:12:21:139,917,0.3,905,0.5,1856,0.2,2348,1.50 9,1,2024-09-07 08:12:20:552,6688,6688,0,0,3567111500,40870737,5985,323,380,373,391496,0 9,2,2024-09-07 08:12:21:085,5340,5340,0,0,265886,0,805 9,3,2024-09-07 08:12:21:759,1,4,4,4,50,85,4,0 10,0,2024-09-07 08:12:21:619,1720,1.0,1673,0.8,3381,0.8,4880,2.00 10,1,2024-09-07 08:12:20:584,6610,6610,0,0,3190071878,36427928,6036,497,77,386,391540,0 10,2,2024-09-07 08:12:20:765,3949,3949,0,0,510995,0,2932 10,3,2024-09-07 08:12:20:872,1,4,3,1,118,123,4,0 11,0,2024-09-07 08:12:21:019,1106,0.3,1080,0.4,2168,0.1,2927,1.50 11,1,2024-09-07 08:12:20:574,6820,6820,0,0,3942596463,41878544,6616,196,8,384,391483,0 11,2,2024-09-07 08:12:21:124,5163,5163,0,0,372556,0,1024 11,3,2024-09-07 08:12:21:298,1,4,32,2,55,121,4,0 12,0,2024-09-07 08:12:20:979,1327,0.3,1362,0.5,2614,0.2,3724,1.50 12,1,2024-09-07 08:12:20:938,6822,6822,0,0,3129389686,32309830,6816,4,2,372,391481,0 12,2,2024-09-07 08:12:21:542,5925,5925,0,0,236374,0,903 12,3,2024-09-07 08:12:21:059,1,4,8,8,17,49,4,0 13,0,2024-09-07 08:12:21:366,812,0.2,797,0.5,1559,0.2,1949,1.50 13,1,2024-09-07 08:12:21:538,6952,6952,0,0,3577704916,37152135,6952,0,0,388,391516,0 13,2,2024-09-07 08:12:20:600,5662,5662,0,0,152966,0,514 13,3,2024-09-07 08:12:21:764,1,4,153,2,153,199,4,0 14,0,2024-09-07 08:12:20:584,1314,0.3,1322,0.6,2535,0.2,3655,1.75 14,1,2024-09-07 08:12:21:567,7056,7056,0,0,3110470902,32000440,7056,0,0,369,391481,0 14,2,2024-09-07 08:12:20:766,2925,2925,0,0,152985,0,1934 14,3,2024-09-07 08:12:21:116,1,4,1,1,40,44,4,0 15,0,2024-09-07 08:12:21:581,1593,0.5,1600,1.2,3257,0.8,4601,2.00 15,1,2024-09-07 08:12:21:612,6919,6919,0,0,3207588371,33408297,6916,3,0,384,391481,0 15,2,2024-09-07 08:12:21:000,6078,6078,0,0,151542,0,673 15,3,2024-09-07 08:12:21:411,1,4,110,1,538,650,4,0 16,0,2024-09-07 08:12:20:951,590,0.2,603,0.3,1204,0.1,1269,1.25 16,1,2024-09-07 08:12:20:577,6941,6941,0,0,3418305139,36937244,6712,227,2,374,391543,0 16,2,2024-09-07 08:12:21:441,5684,5684,0,0,972165,0,4719 16,3,2024-09-07 08:12:21:148,1,4,9,9,41,73,4,0 17,0,2024-09-07 08:12:21:807,625,0.2,631,0.3,1255,0.1,1370,1.25 17,1,2024-09-07 08:12:20:596,6817,6817,0,0,3312840831,36635616,6390,292,135,374,391671,0 17,2,2024-09-07 08:12:21:667,4536,4536,0,0,337923,0,1865 17,3,2024-09-07 08:12:20:590,1,4,1,1,11,21,4,0 18,0,2024-09-07 08:12:20:974,201,0.1,196,0.2,427,0.1,18,1.25 18,1,2024-09-07 08:12:21:641,6791,6791,0,0,3013496889,32232228,6710,79,2,372,391477,0 18,2,2024-09-07 08:12:21:763,4033,4033,0,0,527760,0,2688 18,3,2024-09-07 08:12:20:897,1,4,1,1,142,145,4,0 19,0,2024-09-07 08:12:21:553,1364,0.2,1337,0.4,2633,0.1,3657,1.50 19,1,2024-09-07 08:12:20:569,6783,6783,0,0,3497716479,36747097,6775,8,0,371,391512,0 19,2,2024-09-07 08:12:21:753,5966,5966,0,0,254075,0,1128 19,3,2024-09-07 08:12:21:133,1,4,2,1,5,9,4,0 20,0,2024-09-07 08:12:21:396,516,0.2,484,0.4,1003,0.2,979,1.75 20,1,2024-09-07 08:12:20:603,6651,6651,0,0,3066875005,33797749,6386,265,0,373,391484,0 20,2,2024-09-07 08:12:20:928,5125,5125,0,0,429940,0,1484 20,3,2024-09-07 08:12:20:590,1,4,22,9,22,66,4,0 21,0,2024-09-07 08:12:21:166,921,0.3,953,0.5,1779,0.2,2336,1.75 21,1,2024-09-07 08:12:21:541,6755,6755,0,0,3343161355,37555422,6300,432,23,377,391482,0 21,2,2024-09-07 08:12:21:069,4554,4554,0,0,542214,0,3747 21,3,2024-09-07 08:12:21:410,1,4,10,1,28,60,4,0 22,0,2024-09-07 08:12:21:727,1297,0.4,1362,0.9,2573,0.5,3539,2.00 22,1,2024-09-07 08:12:21:032,6584,6584,0,0,3346807203,38361307,5925,493,166,386,391478,0 22,2,2024-09-07 08:12:20:764,5254,5254,0,0,338763,0,1503 22,3,2024-09-07 08:12:21:066,1,4,1,0,1,3,4,0 23,0,2024-09-07 08:12:21:408,1022,0.3,1027,0.5,2050,0.2,2843,2.00 23,1,2024-09-07 08:12:21:011,6881,6881,0,0,3624323472,38357700,6742,139,0,371,391480,0 23,2,2024-09-07 08:12:21:097,4985,4985,0,0,296698,0,1544 23,3,2024-09-07 08:12:21:755,1,4,1,0,2,4,4,0 24,0,2024-09-07 08:12:20:885,2019,1.9,1977,2.4,3839,3.9,5923,2.00 24,1,2024-09-07 08:12:20:598,6913,6913,0,0,2885705468,31238052,6729,184,0,373,391540,0 24,2,2024-09-07 08:12:21:071,4968,4968,0,0,428522,0,2389 24,3,2024-09-07 08:12:21:686,1,4,8,8,13,44,4,0 25,0,2024-09-07 08:12:21:372,1196,0.3,1210,0.6,2345,0.2,3309,1.50 25,1,2024-09-07 08:12:20:583,6668,6668,0,0,3223964949,34678370,6467,200,1,375,391482,0 25,2,2024-09-07 08:12:21:611,5947,5947,0,0,447426,0,1361 25,3,2024-09-07 08:12:21:002,1,4,9,9,50,99,4,0 26,0,2024-09-07 08:12:21:740,685,0.2,721,0.4,1434,0.1,1668,1.50 26,1,2024-09-07 08:12:21:543,6892,6892,0,0,3554652133,39283178,6407,375,110,384,391480,0 26,2,2024-09-07 08:12:20:862,5458,5458,0,0,553647,0,2576 26,3,2024-09-07 08:12:21:714,1,4,1,1,796,831,4,0 27,0,2024-09-07 08:12:21:742,927,0.2,951,0.4,1955,0.1,2604,1.50 27,1,2024-09-07 08:12:21:680,6975,6975,0,0,3750808398,39813330,6883,91,1,384,391484,0 27,2,2024-09-07 08:12:20:877,3107,3107,0,0,308121,0,2975 27,3,2024-09-07 08:12:21:015,1,4,2,2,4,10,4,0 28,0,2024-09-07 08:12:21:408,1472,0.2,1380,0.4,2888,0.2,4085,1.50 28,1,2024-09-07 08:12:20:802,7145,7145,0,0,3809492477,39189299,7145,0,0,386,391488,0 28,2,2024-09-07 08:12:21:767,5491,5491,0,0,324875,0,2177 28,3,2024-09-07 08:12:21:778,1,4,9,8,9,33,4,0 29,0,2024-09-07 08:12:21:418,793,0.1,793,0.2,1504,0.1,1879,1.25 29,1,2024-09-07 08:12:21:563,6999,6999,0,0,3464290428,35560499,6999,0,0,371,391481,0 29,2,2024-09-07 08:12:20:863,5968,5968,0,0,182956,0,766 29,3,2024-09-07 08:12:20:963,1,4,2,1,30,35,4,0 30,0,2024-09-07 08:12:21:468,490,0.1,510,0.2,1000,0.1,1018,1.25 30,1,2024-09-07 08:12:20:586,6973,6973,0,0,3680643379,37915549,6973,0,0,382,391478,0 30,2,2024-09-07 08:12:21:283,5223,5223,0,0,143979,0,678 30,3,2024-09-07 08:12:20:588,1,4,2,1,110,115,4,0 31,0,2024-09-07 08:12:21:768,627,0.1,607,0.3,1230,0.1,1418,1.25 31,1,2024-09-07 08:12:20:569,6861,6861,0,0,3488566229,35727107,6861,0,0,356,391478,0 31,2,2024-09-07 08:12:21:276,3649,3649,0,0,112572,0,789 31,3,2024-09-07 08:12:21:712,1,4,5,1,6,13,4,0 32,0,2024-09-07 08:12:21:446,1882,0.7,1859,1.1,3598,0.4,5294,1.75 32,1,2024-09-07 08:12:20:811,7004,7004,0,0,3355194791,35108908,7003,1,0,384,391488,0 32,2,2024-09-07 08:12:20:939,6112,6112,0,0,387036,0,1970 32,3,2024-09-07 08:12:21:015,1,4,20,12,37,83,4,0 33,0,2024-09-07 08:12:21:517,555,0.1,566,0.2,1111,0.1,1176,1.50 33,1,2024-09-07 08:12:20:578,6848,6848,0,0,3703321378,39507684,6629,208,11,373,391479,0 33,2,2024-09-07 08:12:20:766,5242,5242,0,0,785107,0,3364 33,3,2024-09-07 08:12:20:895,1,4,4,2,4,10,4,0 34,0,2024-09-07 08:12:20:943,529,0.1,532,0.2,1041,0.1,1028,1.25 34,1,2024-09-07 08:12:21:046,7075,7075,0,0,3821930253,40172307,7017,58,0,369,391481,0 34,2,2024-09-07 08:12:20:768,4456,4456,0,0,295614,0,1171 34,3,2024-09-07 08:12:21:696,1,4,0,0,12,14,4,0 35,0,2024-09-07 08:12:20:871,843,0.2,846,0.3,1620,0.1,2062,1.50 35,1,2024-09-07 08:12:21:070,6737,6737,0,0,3470158907,38279459,6240,396,101,386,391481,0 35,2,2024-09-07 08:12:21:584,4714,4714,0,0,372081,0,2276 35,3,2024-09-07 08:12:20:908,1,4,1,1,219,225,4,0 36,0,2024-09-07 08:12:21:539,1647,3.5,1581,2.9,3261,2.1,4464,5.50 36,1,2024-09-07 08:12:20:594,6148,6148,0,0,3594738653,43222525,4846,717,585,370,391483,0 36,2,2024-09-07 08:12:21:752,5506,5506,0,0,320142,0,1172 36,3,2024-09-07 08:12:20:866,1,4,54,14,54,102,4,0 37,0,2024-09-07 08:12:21:384,564,0.3,555,0.9,1108,0.2,1184,2.25 37,1,2024-09-07 08:12:20:595,5266,5259,0,7,3152897719,40133200,3981,460,818,371,391507,0 37,2,2024-09-07 08:12:21:143,5287,5287,0,0,332085,0,1448 37,3,2024-09-07 08:12:21:766,1,4,31,1,31,35,4,0 38,0,2024-09-07 08:12:21:447,1135,1.0,1102,0.9,2211,0.7,3107,3.00 38,1,2024-09-07 08:12:21:612,6857,6857,0,0,3469223495,37051729,6693,163,1,373,391479,0 38,2,2024-09-07 08:12:20:767,4822,4822,0,0,697405,0,3245 38,3,2024-09-07 08:12:20:997,1,4,17,1,17,23,4,0 39,0,2024-09-07 08:12:21:781,911,0.4,942,0.7,1838,0.3,2390,2.75 39,1,2024-09-07 08:12:20:732,6086,6086,0,0,3210741041,35276535,5700,309,77,369,391478,0 39,2,2024-09-07 08:12:21:439,5123,5123,0,0,418696,0,1786 39,3,2024-09-07 08:12:20:717,1,4,24,2,189,224,4,0 40,0,2024-09-07 08:12:21:545,1703,4.2,1431,6.7,2933,7.3,4791,5.75 40,1,2024-09-07 08:12:20:585,6924,6924,0,0,3157159521,33035242,6921,3,0,371,391481,0 40,2,2024-09-07 08:12:21:304,4173,4173,0,0,169601,0,926 40,3,2024-09-07 08:12:21:147,1,4,17,8,17,43,4,0 41,0,2024-09-07 08:12:21:034,1032,0.3,1016,0.7,2082,0.4,2847,2.25 41,1,2024-09-07 08:12:20:771,6900,6900,0,0,3367569319,36701794,6446,327,127,373,391483,0 41,2,2024-09-07 08:12:20:765,5398,5398,0,0,348272,0,1495 41,3,2024-09-07 08:12:21:676,1,4,1,1,1,4,4,0 42,0,2024-09-07 08:12:21:484,1315,0.5,1280,1.0,2652,0.4,3655,2.25 42,1,2024-09-07 08:12:21:444,6865,6865,0,0,3440403547,37398212,6660,162,43,383,391489,0 42,2,2024-09-07 08:12:21:139,5817,5817,0,0,476607,0,1735 42,3,2024-09-07 08:12:21:014,1,4,1,1,54,58,4,0 43,0,2024-09-07 08:12:20:928,773,0.3,783,0.6,1619,0.1,1911,1.75 43,1,2024-09-07 08:12:20:580,6874,6874,0,0,3604048964,38943792,6452,396,26,369,391478,0 43,2,2024-09-07 08:12:21:739,5659,5659,0,0,343209,0,995 43,3,2024-09-07 08:12:21:751,1,4,46,1,292,397,4,0 44,0,2024-09-07 08:12:20:871,1266,0.2,1259,1.2,2624,0.3,3615,1.75 44,1,2024-09-07 08:12:20:569,7041,7041,0,0,3539664764,36567364,6989,52,0,359,391490,0 44,2,2024-09-07 08:12:21:285,2862,2862,0,0,63529,0,609 44,3,2024-09-07 08:12:21:094,1,4,2,2,28,77,4,0 45,0,2024-09-07 08:12:21:768,1607,0.4,1607,0.7,3202,0.4,4680,1.75 45,1,2024-09-07 08:12:21:016,6905,6905,0,0,3915934254,40166343,6905,0,0,384,391510,0 45,2,2024-09-07 08:12:21:284,6165,6165,0,0,224931,0,761 45,3,2024-09-07 08:12:20:935,1,4,3,1,16,23,4,0 46,0,2024-09-07 08:12:20:971,580,0.1,597,0.3,1169,0.1,1287,1.50 46,1,2024-09-07 08:12:20:581,7171,7171,0,0,3613995223,37159851,7167,3,1,370,391480,0 46,2,2024-09-07 08:12:20:594,6054,6054,0,0,185834,0,702 46,3,2024-09-07 08:12:21:131,1,4,80,1,200,298,4,0 47,0,2024-09-07 08:12:21:112,595,0.2,590,0.3,1224,0.1,1333,1.50 47,1,2024-09-07 08:12:20:570,7019,7019,0,0,3104967020,31928679,7018,1,0,368,391481,0 47,2,2024-09-07 08:12:20:910,4553,4553,0,0,149724,0,792 47,3,2024-09-07 08:12:21:115,1,4,25,1,25,43,4,0 48,0,2024-09-07 08:12:21:536,195,0.1,207,0.2,377,0.1,57,1.25 48,1,2024-09-07 08:12:21:024,6710,6710,0,0,3678939680,39146114,6539,171,0,387,391488,0 48,2,2024-09-07 08:12:20:701,4178,4178,0,0,164329,0,1515 48,3,2024-09-07 08:12:20:753,1,4,8,8,21,53,4,0 49,0,2024-09-07 08:12:21:723,1328,0.2,1327,0.3,2580,0.1,3784,1.50 49,1,2024-09-07 08:12:21:023,7053,7053,0,0,3387212890,36014015,6935,118,0,385,391583,0 49,2,2024-09-07 08:12:21:798,5894,5894,0,0,446819,0,2196 49,3,2024-09-07 08:12:21:418,1,4,274,3,274,359,4,0 50,0,2024-09-07 08:12:21:542,498,0.1,494,0.2,965,0.1,963,1.25 50,1,2024-09-07 08:12:21:019,6981,6981,0,0,3597884383,37214742,6949,32,0,373,391485,0 50,2,2024-09-07 08:12:21:068,5508,5508,0,0,165345,0,743 50,3,2024-09-07 08:12:21:290,1,4,1,1,335,338,4,0 51,0,2024-09-07 08:12:21:695,921,0.1,898,0.2,1778,0.1,2314,1.50 51,1,2024-09-07 08:12:21:681,6821,6821,0,0,3893667045,41034983,6613,175,33,369,391504,0 51,2,2024-09-07 08:12:21:317,4431,4431,0,0,144059,0,1063 51,3,2024-09-07 08:12:21:027,1,4,6,4,162,194,4,0 52,0,2024-09-07 08:12:21:458,1268,0.6,1248,1.3,2518,0.7,2942,2.50 52,1,2024-09-07 08:12:20:580,6448,6448,0,0,3216393442,37991290,5516,738,194,371,391482,0 52,2,2024-09-07 08:12:21:757,5030,5030,0,0,985607,0,4779 52,3,2024-09-07 08:12:20:675,1,4,9,8,14,45,4,0 53,0,2024-09-07 08:12:21:770,1020,0.4,1033,0.8,2103,0.3,2312,2.25 53,1,2024-09-07 08:12:20:780,6760,6760,0,0,3707461070,40613508,6385,323,52,374,391481,0 53,2,2024-09-07 08:12:21:298,4785,4785,0,0,242447,0,1417 53,3,2024-09-07 08:12:20:700,1,4,1,1,28,31,4,0 54,0,2024-09-07 08:12:21:629,921,9.1,1163,7.9,2298,8.3,4085,6.25 54,1,2024-09-07 08:12:20:582,6800,6800,0,0,3750622119,40503588,6413,353,34,370,391479,0 54,2,2024-09-07 08:12:20:866,4758,4752,6,0,1069003,0,5382 54,3,2024-09-07 08:12:20:764,1,4,4,3,42,53,4,0 55,0,2024-09-07 08:12:21:775,1196,0.9,1242,1.3,2384,0.6,2835,2.50 55,1,2024-09-07 08:12:20:785,6303,6303,0,0,2719461949,32567036,5324,524,455,371,391660,0 55,2,2024-09-07 08:12:20:730,5714,5714,0,0,563332,0,2129 55,3,2024-09-07 08:12:20:679,1,4,2,1,12,21,4,0 56,0,2024-09-07 08:12:21:578,724,0.2,701,0.4,1439,0.1,1707,1.75 56,1,2024-09-07 08:12:20:589,6665,6665,0,0,3994194010,45375420,5849,382,434,386,391481,0 56,2,2024-09-07 08:12:21:314,5585,5585,0,0,399017,0,2364 56,3,2024-09-07 08:12:21:060,1,4,13,8,20,52,4,0 57,0,2024-09-07 08:12:21:016,953,0.4,972,0.4,1883,0.1,2480,1.75 57,1,2024-09-07 08:12:21:003,6957,6957,0,0,3625685333,38876887,6745,211,1,371,391482,0 57,2,2024-09-07 08:12:21:335,3278,3278,0,0,239947,0,2242 57,3,2024-09-07 08:12:21:752,1,4,10,1,10,13,4,0 58,0,2024-09-07 08:12:20:564,1401,0.5,1452,0.7,2881,0.4,4080,2.00 58,1,2024-09-07 08:12:20:583,6737,6737,0,0,3586202693,38744247,6408,316,13,373,391482,0 58,2,2024-09-07 08:12:21:072,5757,5757,0,0,335288,0,1307 58,3,2024-09-07 08:12:21:068,1,4,27,1,80,109,4,0 59,0,2024-09-07 08:12:21:781,756,0.2,754,0.4,1539,0.1,1891,1.75 59,1,2024-09-07 08:12:20:810,6879,6879,0,0,3926598010,41117916,6761,117,1,372,391515,0 59,2,2024-09-07 08:12:20:584,5884,5884,0,0,410816,0,1708 59,3,2024-09-07 08:12:21:742,1,4,10,3,10,29,4,0 60,0,2024-09-07 08:12:21:776,509,0.1,496,0.3,994,0.1,1027,1.25 60,1,2024-09-07 08:12:20:806,7009,7009,0,0,3340220557,34478857,7008,0,1,372,391672,0 60,2,2024-09-07 08:12:21:144,5315,5315,0,0,203941,0,690 60,3,2024-09-07 08:12:21:282,1,4,18,13,23,71,4,0 61,0,2024-09-07 08:12:21:569,622,0.2,583,0.2,1193,0.1,1393,1.25 61,1,2024-09-07 08:12:20:786,6969,6969,0,0,3383462831,35113408,6961,8,0,385,391504,0 61,2,2024-09-07 08:12:21:396,3567,3567,0,0,116657,0,654 61,3,2024-09-07 08:12:21:687,1,4,72,1,72,80,4,0 62,0,2024-09-07 08:12:21:721,1789,0.3,1853,0.7,3664,0.3,5262,1.50 62,1,2024-09-07 08:12:21:124,6907,6907,0,0,3718020012,38658671,6748,157,2,369,391491,0 62,2,2024-09-07 08:12:21:646,5952,5952,0,0,307987,0,2030 62,3,2024-09-07 08:12:21:153,1,4,9,1,28,40,4,0 63,0,2024-09-07 08:12:21:474,574,0.1,581,0.3,1130,0.1,1184,1.25 63,1,2024-09-07 08:12:20:821,7123,7123,0,0,3745800320,39438365,6982,141,0,382,391489,0 63,2,2024-09-07 08:12:20:765,5455,5455,0,0,271609,0,1769 63,3,2024-09-07 08:12:21:732,1,4,7,2,216,249,4,0 64,0,2024-09-07 08:12:21:623,502,0.1,505,0.3,1002,0.1,1027,1.25 64,1,2024-09-07 08:12:20:780,6920,6920,0,0,3692604575,39671917,6517,349,54,374,391486,0 64,2,2024-09-07 08:12:21:157,4363,4363,0,0,341604,0,2510 64,3,2024-09-07 08:12:21:152,1,4,9,8,14,40,4,0 65,0,2024-09-07 08:12:21:691,811,0.2,831,0.3,1618,0.1,1984,1.50 65,1,2024-09-07 08:12:20:864,6956,6956,0,0,3480965051,38340990,6517,434,5,387,391481,0 65,2,2024-09-07 08:12:21:704,4640,4640,0,0,341889,0,2374 65,3,2024-09-07 08:12:21:683,1,4,122,9,122,154,4,0 66,0,2024-09-07 08:12:21:849,1607,0.3,1629,0.5,3151,0.2,4625,1.50 66,1,2024-09-07 08:12:21:296,6924,6924,0,0,3492594145,36181464,6924,0,0,384,391481,0 66,2,2024-09-07 08:12:21:140,5515,5515,0,0,424215,0,2934 66,3,2024-09-07 08:12:21:084,1,4,1,1,45,54,4,0 67,0,2024-09-07 08:12:21:425,550,0.1,575,0.3,1122,0.1,1176,1.25 67,1,2024-09-07 08:12:20:768,6786,6785,0,1,2778077223,29258907,6785,0,0,383,391567,1 67,2,2024-09-07 08:12:20:586,5121,5121,0,0,223473,0,1499 67,3,2024-09-07 08:12:21:753,1,4,4,1,4,10,4,0 68,0,2024-09-07 08:12:20:607,1156,0.3,1117,0.9,2283,0.3,3051,2.00 68,1,2024-09-07 08:12:20:588,6534,6534,0,0,3434398054,40145619,5747,342,445,386,391482,0 68,2,2024-09-07 08:12:21:056,4744,4744,0,0,885727,0,4883 68,3,2024-09-07 08:12:20:728,1,4,11,8,14,43,4,0 69,0,2024-09-07 08:12:21:751,915,0.4,927,0.9,1870,0.2,2305,2.00 69,1,2024-09-07 08:12:21:035,5843,5843,0,0,3446867891,38021386,5433,305,105,387,391483,0 69,2,2024-09-07 08:12:21:745,5380,5380,0,0,448493,0,2462 69,3,2024-09-07 08:12:20:764,1,4,3,1,10,23,4,0 70,0,2024-09-07 08:12:21:543,1480,8.0,1462,6.9,3047,3.8,4636,5.50 70,1,2024-09-07 08:12:20:817,6914,6914,0,0,3684057580,39371943,6705,192,17,371,391527,0 70,2,2024-09-07 08:12:21:331,4014,4014,0,0,335801,0,1468 70,3,2024-09-07 08:12:20:747,1,4,3,1,6,13,4,0 71,0,2024-09-07 08:12:21:367,1050,0.5,1069,0.9,2187,0.2,2766,2.00 71,1,2024-09-07 08:12:21:643,6501,6501,0,0,3502896182,38126720,6155,310,36,371,391484,0 71,2,2024-09-07 08:12:21:071,5292,5292,0,0,666238,0,2146 71,3,2024-09-07 08:12:21:750,1,4,1,1,19,34,4,0 72,0,2024-09-07 08:12:21:060,1327,0.6,1312,0.8,2591,0.4,3683,3.25 72,1,2024-09-07 08:12:21:037,6881,6881,0,0,3638924420,38778612,6672,209,0,373,391485,0 72,2,2024-09-07 08:12:21:763,5591,5591,0,0,608922,0,2043 72,3,2024-09-07 08:12:21:758,1,4,13,8,13,43,4,0 73,0,2024-09-07 08:12:21:142,798,0.3,790,0.5,1570,0.2,1949,2.25 73,1,2024-09-07 08:12:20:779,6840,6840,0,0,3241352456,34455083,6819,21,0,371,391484,0 73,2,2024-09-07 08:12:21:760,5526,5526,0,0,754416,0,3482 73,3,2024-09-07 08:12:20:970,1,4,5,3,92,105,4,0 74,0,2024-09-07 08:12:21:334,1307,0.4,1343,0.9,2553,0.4,3664,2.75 74,1,2024-09-07 08:12:20:637,6852,6852,0,0,3032763992,31570998,6852,0,0,385,391481,0 74,2,2024-09-07 08:12:21:004,2883,2883,0,0,304515,0,2736 74,3,2024-09-07 08:12:21:452,1,4,192,10,192,388,4,0 75,0,2024-09-07 08:12:21:785,1614,1.4,1558,1.5,3222,1.6,4704,3.75 75,1,2024-09-07 08:12:21:588,6910,6910,0,0,3445050032,37119253,6758,152,0,385,391508,0 75,2,2024-09-07 08:12:21:351,5973,5973,0,0,334195,0,1723 75,3,2024-09-07 08:12:21:072,1,4,1,1,8,11,4,0 76,0,2024-09-07 08:12:20:638,605,0.1,600,0.2,1144,0.1,1294,1.25 76,1,2024-09-07 08:12:20:821,6844,6844,0,0,2995207616,31200775,6837,7,0,386,391482,0 76,2,2024-09-07 08:12:21:097,6109,6109,0,0,158205,0,523 76,3,2024-09-07 08:12:21:158,1,4,11,11,22,59,4,0 77,0,2024-09-07 08:12:21:707,645,0.1,648,0.2,1227,0.1,1376,1.25 77,1,2024-09-07 08:12:20:840,6981,6981,0,0,2910211310,30201474,6981,0,0,385,391491,0 77,2,2024-09-07 08:12:21:295,4727,4727,0,0,147013,0,828 77,3,2024-09-07 08:12:21:108,1,4,1,1,2,5,4,0 78,0,2024-09-07 08:12:21:866,201,0.1,213,0.2,421,0.1,39,1.25 78,1,2024-09-07 08:12:20:619,7066,7066,0,0,3058607925,31998787,7050,16,0,371,391480,0 78,2,2024-09-07 08:12:21:406,4234,4234,0,0,101191,0,561 78,3,2024-09-07 08:12:21:133,1,4,1,1,14,19,4,0 79,0,2024-09-07 08:12:21:366,1281,0.2,1313,0.3,2665,0.1,3719,1.50 79,1,2024-09-07 08:12:20:583,7038,7038,0,0,3423868694,35052599,7038,0,0,372,391481,0 79,2,2024-09-07 08:12:21:069,5963,5963,0,0,158482,0,455 79,3,2024-09-07 08:12:20:755,1,4,2,1,2,6,4,0 80,0,2024-09-07 08:12:21:132,468,0.2,491,0.3,953,0.1,961,1.50 80,1,2024-09-07 08:12:21:647,6757,6757,0,0,3446688199,36739297,6495,261,1,374,391673,0 80,2,2024-09-07 08:12:21:097,5200,5200,0,0,270518,0,993 80,3,2024-09-07 08:12:20:582,1,4,12,8,72,101,4,0 81,0,2024-09-07 08:12:21:565,912,0.2,912,0.4,1782,0.2,2332,1.50 81,1,2024-09-07 08:12:21:652,6816,6816,0,0,2962008970,31514038,6770,46,0,385,391484,0 81,2,2024-09-07 08:12:21:139,4413,4413,0,0,429199,0,2811 81,3,2024-09-07 08:12:21:137,1,4,6,1,39,53,4,0 82,0,2024-09-07 08:12:21:559,1220,0.2,1212,0.5,2505,0.2,3496,1.75 82,1,2024-09-07 08:12:20:585,6903,6903,0,0,3437306931,36668319,6728,169,6,385,391489,0 82,2,2024-09-07 08:12:21:702,5129,5129,0,0,275576,0,1004 82,3,2024-09-07 08:12:21:753,1,4,4,1,65,72,4,0 83,0,2024-09-07 08:12:21:565,1057,0.2,1020,0.4,2089,0.1,2796,1.50 83,1,2024-09-07 08:12:20:558,6663,6663,0,0,3027242686,33567540,6181,403,79,385,391494,0 83,2,2024-09-07 08:12:20:773,4682,4682,0,0,248435,0,1097 83,3,2024-09-07 08:12:20:749,1,4,1,1,9,15,4,0 84,0,2024-09-07 08:12:21:951,1123,8.3,1344,6.8,2652,7.6,5267,6.50 84,1,2024-09-07 08:12:21:065,6719,6719,0,0,3634339623,40273256,6200,426,93,371,391538,0 84,2,2024-09-07 08:12:20:579,4682,4682,0,0,848759,0,3801 84,3,2024-09-07 08:12:21:141,1,4,8,8,13,41,4,0 85,0,2024-09-07 08:12:21:053,1173,0.6,1203,0.9,2389,0.6,3319,2.50 85,1,2024-09-07 08:12:20:562,6528,6528,0,0,3491528116,40426334,5622,631,275,386,391498,0 85,2,2024-09-07 08:12:20:866,5452,5452,0,0,826857,0,3656 85,3,2024-09-07 08:12:20:698,1,4,7,1,7,16,4,0 86,0,2024-09-07 08:12:20:891,716,0.3,693,0.6,1327,0.2,1654,1.75 86,1,2024-09-07 08:12:20:830,6809,6809,0,0,3435896096,38952177,6153,628,28,371,391491,0 86,2,2024-09-07 08:12:20:860,5155,5154,1,0,950612,0,5004 86,3,2024-09-07 08:12:20:592,1,4,19,2,19,42,4,0 87,0,2024-09-07 08:12:21:301,935,0.5,976,0.7,1898,0.3,2456,2.00 87,1,2024-09-07 08:12:20:572,6635,6635,0,0,3492337653,38279089,6203,384,48,369,391551,0 87,2,2024-09-07 08:12:21:070,3068,3068,0,0,312135,0,2148 87,3,2024-09-07 08:12:21:795,1,4,3,2,10,17,4,0 88,0,2024-09-07 08:12:21:476,1458,0.3,1437,0.6,2920,0.3,4084,1.50 88,1,2024-09-07 08:12:20:580,6813,6813,0,0,3828326397,40219383,6759,54,0,370,391511,0 88,2,2024-09-07 08:12:20:696,5888,5888,0,0,430452,0,2675 88,3,2024-09-07 08:12:21:285,1,4,12,8,13,42,4,0 89,0,2024-09-07 08:12:21:830,780,0.2,778,0.3,1473,0.2,1857,1.50 89,1,2024-09-07 08:12:20:563,6362,6362,0,0,3469727486,40874724,5519,337,506,384,391520,0 89,2,2024-09-07 08:12:21:133,5930,5930,0,0,703473,0,2564 89,3,2024-09-07 08:12:21:807,1,4,7,4,7,24,4,0 90,0,2024-09-07 08:12:21:656,495,0.2,501,0.2,1002,0.1,995,1.50 90,1,2024-09-07 08:12:20:601,6859,6859,0,0,3553101893,38279575,6676,183,0,383,391490,0 90,2,2024-09-07 08:12:21:408,5084,5084,0,0,543236,0,2225 90,3,2024-09-07 08:12:20:934,1,4,2,1,10,21,4,0 91,0,2024-09-07 08:12:20:941,598,0.2,610,0.3,1240,0.1,1291,1.50 91,1,2024-09-07 08:12:20:569,6938,6938,0,0,3640824456,39923523,6558,311,69,385,391503,0 91,2,2024-09-07 08:12:21:333,3474,3474,0,0,306197,0,1997 91,3,2024-09-07 08:12:20:599,1,4,7,1,25,51,4,0 92,0,2024-09-07 08:12:21:536,1830,0.4,1795,0.6,3625,0.6,5325,1.50 92,1,2024-09-07 08:12:20:583,6815,6815,0,0,3142668030,32564976,6813,2,0,383,391557,0 92,2,2024-09-07 08:12:21:378,6268,6268,0,0,238699,0,1039 92,3,2024-09-07 08:12:21:014,1,4,21,8,21,59,4,0 93,0,2024-09-07 08:12:20:996,536,0.1,535,0.3,1056,0.1,1152,1.25 93,1,2024-09-07 08:12:20:815,6979,6979,0,0,3319637882,34423783,6969,9,1,371,391689,0 93,2,2024-09-07 08:12:20:928,5699,5699,0,0,161967,0,542 93,3,2024-09-07 08:12:21:410,1,4,143,8,143,185,4,0 94,0,2024-09-07 08:12:21:621,515,0.2,538,0.3,1043,0.1,1056,1.25 94,1,2024-09-07 08:12:20:592,6843,6843,0,0,3545074409,37451826,6708,134,1,383,391491,0 94,2,2024-09-07 08:12:20:773,4392,4392,0,0,196851,0,1294 94,3,2024-09-07 08:12:21:691,1,4,231,1,231,369,4,0 95,0,2024-09-07 08:12:21:368,859,0.2,850,0.3,1694,0.1,2010,1.25 95,1,2024-09-07 08:12:20:853,6968,6968,0,0,3371776577,34893777,6965,2,1,370,391590,0 95,2,2024-09-07 08:12:21:017,4854,4854,0,0,173121,0,943 95,3,2024-09-07 08:12:21:730,1,4,1,1,12,18,4,0 96,0,2024-09-07 08:12:21:057,1642,0.6,1660,0.8,3228,0.6,4671,1.75 96,1,2024-09-07 08:12:21:594,6833,6833,0,0,3668469803,38943301,6752,80,1,387,391551,0 96,2,2024-09-07 08:12:21:393,5467,5467,0,0,231074,0,1251 96,3,2024-09-07 08:12:21:150,1,4,10,8,13,41,4,0 97,0,2024-09-07 08:12:21:331,530,0.2,544,0.3,1085,0.1,1161,1.50 97,1,2024-09-07 08:12:20:770,6749,6749,0,0,3486042655,36970974,6518,225,6,370,391489,0 97,2,2024-09-07 08:12:20:609,4915,4915,0,0,324526,0,1663 97,3,2024-09-07 08:12:20:576,1,4,1,1,77,83,4,0 98,0,2024-09-07 08:12:21:721,1162,0.2,1155,0.5,2281,0.2,3129,1.50 98,1,2024-09-07 08:12:20:597,6752,6752,0,0,3150356844,33691056,6690,61,1,385,391588,0 98,2,2024-09-07 08:12:20:770,4933,4933,0,0,443449,0,2410 98,3,2024-09-07 08:12:20:700,1,4,7,3,155,175,4,0 99,0,2024-09-07 08:12:21:476,893,0.3,873,0.4,1813,0.2,2356,1.50 99,1,2024-09-07 08:12:21:752,7178,7178,0,0,3261451845,34525678,7134,43,1,385,391519,0 99,2,2024-09-07 08:12:21:427,5309,5309,0,0,242245,0,834 99,3,2024-09-07 08:12:20:581,1,4,18,1,18,30,4,0 100,0,2024-09-07 08:12:22:080,1519,5.0,1558,6.2,2614,6.2,4456,7.75 100,1,2024-09-07 08:12:20:556,6712,6712,0,0,3359665368,39052978,5958,530,224,384,391505,0 100,2,2024-09-07 08:12:21:894,3899,3888,11,0,978515,0,5417 100,3,2024-09-07 08:12:21:881,1,4,11,11,443,517,4,0 101,0,2024-09-07 08:12:22:238,1122,0.4,1062,0.7,2199,0.3,2946,2.00 101,1,2024-09-07 08:12:20:585,6148,6148,0,0,3231839195,36375728,5722,383,43,375,391516,0 101,2,2024-09-07 08:12:21:772,5113,5113,0,0,1005134,0,4644 101,3,2024-09-07 08:12:20:945,1,4,1,1,448,501,4,0 102,0,2024-09-07 08:12:20:957,1317,1.8,1315,1.4,2680,1.5,3619,2.75 102,1,2024-09-07 08:12:21:145,6878,6878,0,0,3273671955,35770761,6665,213,0,371,391621,0 102,2,2024-09-07 08:12:21:757,5813,5813,0,0,381510,0,1699 102,3,2024-09-07 08:12:21:613,1,4,31,24,410,499,4,0 103,0,2024-09-07 08:12:21:610,781,0.4,759,0.7,1563,0.2,1921,2.00 103,1,2024-09-07 08:12:21:638,6715,6715,0,0,3599539691,38589618,6543,135,37,385,391484,0 103,2,2024-09-07 08:12:20:594,5715,5715,0,0,478102,0,1484 103,3,2024-09-07 08:12:20:755,1,4,1,1,24,33,4,0 104,0,2024-09-07 08:12:21:031,1293,0.8,1309,1.0,2536,0.4,3650,2.00 104,1,2024-09-07 08:12:21:620,6817,6817,0,0,3633593229,38919286,6640,175,2,369,391485,0 104,2,2024-09-07 08:12:21:671,2876,2876,0,0,158359,0,1902 104,3,2024-09-07 08:12:21:426,1,4,8,8,12,40,4,0 105,0,2024-09-07 08:12:21:042,1554,0.6,1570,1.8,3218,1.4,4598,2.00 105,1,2024-09-07 08:12:20:572,6651,6651,0,0,3491290396,38679340,6158,343,150,369,391797,0 105,2,2024-09-07 08:12:21:326,6017,6017,0,0,309788,0,1305 105,3,2024-09-07 08:12:21:305,1,4,6,1,6,15,4,0 106,0,2024-09-07 08:12:20:960,590,0.3,599,0.4,1165,0.2,1288,1.50 106,1,2024-09-07 08:12:21:752,7017,7017,0,0,3210071054,34522320,6871,145,1,373,391503,0 106,2,2024-09-07 08:12:20:758,5796,5796,0,0,501753,0,1600 106,3,2024-09-07 08:12:20:694,1,4,1,1,2,5,4,0 107,0,2024-09-07 08:12:21:140,607,0.2,619,0.4,1219,0.2,1322,1.50 107,1,2024-09-07 08:12:20:608,6799,6799,0,0,3554374589,38904319,6352,337,110,383,391848,0 107,2,2024-09-07 08:12:21:296,4388,4387,1,0,650243,0,5024 107,3,2024-09-07 08:12:21:760,1,4,2,2,75,116,4,0 108,0,2024-09-07 08:12:21:982,194,0.1,207,0.2,393,0.2,59,1.50 108,1,2024-09-07 08:12:21:312,7130,7130,0,0,3480041702,35881927,7129,0,1,372,391563,0 108,2,2024-09-07 08:12:21:783,4170,4170,0,0,108190,0,449 108,3,2024-09-07 08:12:21:350,1,4,10,10,18,55,4,0 109,0,2024-09-07 08:12:21:782,1356,0.2,1324,0.4,2651,0.2,3844,1.50 109,1,2024-09-07 08:12:20:598,6939,6939,0,0,3439283758,36004521,6920,19,0,386,391487,0 109,2,2024-09-07 08:12:20:922,5700,5700,0,0,164452,0,484 109,3,2024-09-07 08:12:21:152,1,4,1,1,102,174,4,0 110,0,2024-09-07 08:12:21:778,488,0.1,502,0.2,969,0.1,975,1.25 110,1,2024-09-07 08:12:21:653,7079,7079,0,0,3536046583,36205112,7078,1,0,372,391535,0 110,2,2024-09-07 08:12:21:306,5394,5394,0,0,286211,0,2234 110,3,2024-09-07 08:12:20:690,1,4,4,1,16,22,4,0 111,0,2024-09-07 08:12:21:429,909,0.1,904,0.2,1851,0.1,2324,1.25 111,1,2024-09-07 08:12:21:002,6948,6948,0,0,3565189968,36526112,6947,1,0,385,391482,0 111,2,2024-09-07 08:12:21:117,4671,4671,0,0,174260,0,1001 111,3,2024-09-07 08:12:20:915,1,4,8,2,53,73,4,0 112,0,2024-09-07 08:12:20:925,1275,1.3,1237,0.7,2510,0.3,3495,2.25 112,1,2024-09-07 08:12:20:833,6921,6921,0,0,3415731001,35863801,6901,20,0,384,391487,0 112,2,2024-09-07 08:12:21:146,5330,5330,0,0,235432,0,1137 112,3,2024-09-07 08:12:20:596,1,4,21,8,21,50,4,0 113,0,2024-09-07 08:12:20:880,1100,0.3,1007,0.5,2058,0.2,2798,1.75 113,1,2024-09-07 08:12:21:688,6849,6849,0,0,3828325467,40838674,6605,244,0,371,391661,0 113,2,2024-09-07 08:12:21:305,4892,4892,0,0,249113,0,1275 113,3,2024-09-07 08:12:20:695,1,4,3,3,130,237,4,0 114,0,2024-09-07 08:12:20:897,1845,6.2,1776,6.7,3065,5.4,5832,4.00 114,1,2024-09-07 08:12:20:720,6905,6905,0,0,3077358422,32625055,6754,151,0,383,391484,0 114,2,2024-09-07 08:12:20:875,5015,5015,0,0,233623,0,1841 114,3,2024-09-07 08:12:21:278,1,4,1,1,159,163,4,0 115,0,2024-09-07 08:12:20:567,1188,0.4,1218,0.9,2403,0.4,3272,2.00 115,1,2024-09-07 08:12:20:574,6657,6657,0,0,3962324386,41518140,6602,55,0,385,391495,0 115,2,2024-09-07 08:12:21:139,5718,5718,0,0,278513,0,1535 115,3,2024-09-07 08:12:21:002,1,4,1,1,40,57,4,0 116,0,2024-09-07 08:12:21:998,667,0.2,695,0.4,1412,0.2,1658,1.50 116,1,2024-09-07 08:12:20:817,5852,5852,0,0,3058907022,32687769,5744,78,30,386,391481,0 116,2,2024-09-07 08:12:21:783,5632,5632,0,0,498935,0,2154 116,3,2024-09-07 08:12:20:911,1,4,8,8,9,34,4,0 117,0,2024-09-07 08:12:20:972,937,0.4,932,0.5,1823,0.2,2475,1.75 117,1,2024-09-07 08:12:21:624,6847,6847,0,0,3650590280,39119110,6702,145,0,372,391523,0 117,2,2024-09-07 08:12:21:125,3099,3099,0,0,596805,0,3700 117,3,2024-09-07 08:12:21:059,1,4,1,1,490,925,4,0 118,0,2024-09-07 08:12:21:791,1377,0.4,1353,0.8,2890,0.4,4080,1.75 118,1,2024-09-07 08:12:20:588,6530,6530,0,0,3084332635,34242005,6243,286,1,372,391484,0 118,2,2024-09-07 08:12:21:588,5728,5728,0,0,552956,0,1435 118,3,2024-09-07 08:12:21:764,1,4,21,2,34,66,4,0 119,0,2024-09-07 08:12:21:344,726,0.2,742,0.3,1514,0.2,1861,1.50 119,1,2024-09-07 08:12:20:554,6630,6630,0,0,3738244300,42549094,5822,679,129,372,391518,0 119,2,2024-09-07 08:12:21:272,5874,5874,0,0,595027,0,2222 119,3,2024-09-07 08:12:21:327,1,4,1,1,4,9,4,0 120,0,2024-09-07 08:12:21:663,500,0.3,504,0.4,1003,0.2,1009,1.75 120,1,2024-09-07 08:12:20:868,6820,6820,0,0,3013263516,32549939,6580,235,5,374,391546,0 120,2,2024-09-07 08:12:20:783,5158,5158,0,0,452964,0,2049 120,3,2024-09-07 08:12:21:291,1,4,8,8,13,39,4,0 121,0,2024-09-07 08:12:21:834,596,0.3,628,0.5,1221,0.2,1374,2.00 121,1,2024-09-07 08:12:21:667,6733,6733,0,0,3586510962,37907915,6643,87,3,372,391633,0 121,2,2024-09-07 08:12:21:153,3496,3496,0,0,284359,0,1694 121,3,2024-09-07 08:12:20:727,1,4,12,1,71,86,4,0 122,0,2024-09-07 08:12:21:848,1739,5.9,1515,5.4,2668,7.0,5178,3.25 122,1,2024-09-07 08:12:20:867,6773,6773,0,0,3509220525,37459268,6661,112,0,372,392130,0 122,2,2024-09-07 08:12:21:320,5885,5885,0,0,509338,0,1973 122,3,2024-09-07 08:12:20:596,1,4,19,13,141,302,4,0 123,0,2024-09-07 08:12:20:974,567,0.3,548,0.4,1131,0.2,1184,1.75 123,1,2024-09-07 08:12:20:573,6837,6837,0,0,3559584043,38499070,6552,278,7,373,391488,0 123,2,2024-09-07 08:12:21:020,5657,5657,0,0,430216,0,1528 123,3,2024-09-07 08:12:21:132,1,4,49,2,134,189,4,0 124,0,2024-09-07 08:12:21:133,525,0.2,518,0.2,990,0.1,1030,1.25 124,1,2024-09-07 08:12:21:030,7083,7083,0,0,3347671936,34563940,7081,2,0,372,391481,0 124,2,2024-09-07 08:12:21:017,4468,4468,0,0,134148,0,650 124,3,2024-09-07 08:12:20:767,1,4,13,12,21,63,4,0 125,0,2024-09-07 08:12:21:436,859,0.2,805,0.3,1671,0.1,2004,1.50 125,1,2024-09-07 08:12:20:863,7071,7071,0,0,3672522981,37923897,7070,0,1,386,391502,0 125,2,2024-09-07 08:12:21:132,4792,4792,0,0,176712,0,791 125,3,2024-09-07 08:12:21:132,1,4,67,3,67,94,4,0 126,0,2024-09-07 08:12:21:439,1581,0.2,1636,0.8,3143,0.4,4602,1.50 126,1,2024-09-07 08:12:20:581,7147,7147,0,0,4008684452,41573975,7123,23,1,369,391719,0 126,2,2024-09-07 08:12:20:618,5673,5673,0,0,160030,0,472 126,3,2024-09-07 08:12:20:909,1,4,20,1,20,28,4,0 127,0,2024-09-07 08:12:21:771,535,0.2,549,0.3,1082,0.1,1169,1.25 127,1,2024-09-07 08:12:20:572,6981,6981,0,0,2796256864,28744537,6980,0,1,369,391483,0 127,2,2024-09-07 08:12:20:641,5219,5219,0,0,184670,0,1007 127,3,2024-09-07 08:12:21:266,1,4,10,3,19,46,4,0 128,0,2024-09-07 08:12:21:550,1135,0.3,1124,0.7,2268,0.3,3080,2.00 128,1,2024-09-07 08:12:21:634,6787,6787,0,0,3512840988,36959303,6744,42,1,373,391487,0 128,2,2024-09-07 08:12:21:392,4988,4988,0,0,454059,0,1712 128,3,2024-09-07 08:12:20:767,1,4,13,8,19,49,4,0 129,0,2024-09-07 08:12:21:026,954,0.4,932,0.5,1790,0.2,2331,2.00 129,1,2024-09-07 08:12:20:642,6836,6836,0,0,3653131687,38578030,6727,109,0,383,391489,0 129,2,2024-09-07 08:12:20:696,5144,5144,0,0,331799,0,2289 129,3,2024-09-07 08:12:20:693,1,4,6,1,10,20,4,0 130,0,2024-09-07 08:12:21:730,1663,3.0,1701,2.4,3357,4.0,5018,3.00 130,1,2024-09-07 08:12:20:592,6878,6878,0,0,3849877555,40706489,6704,173,1,383,391516,0 130,2,2024-09-07 08:12:21:137,4200,4200,0,0,173799,0,1019 130,3,2024-09-07 08:12:21:291,1,4,2,1,11,15,4,0 131,0,2024-09-07 08:12:21:970,1040,0.4,1016,1.0,2005,0.4,2911,2.00 131,1,2024-09-07 08:12:21:828,6819,6819,0,0,3527110585,37199632,6808,10,1,385,391727,0 131,2,2024-09-07 08:12:20:575,5226,5226,0,0,217381,0,1078 131,3,2024-09-07 08:12:21:739,1,4,23,1,23,43,4,0 132,0,2024-09-07 08:12:22:008,1288,0.8,1264,1.9,2670,1.2,3697,2.50 132,1,2024-09-07 08:12:20:580,6492,6492,0,0,2944254752,33409048,6007,439,46,386,391485,0 132,2,2024-09-07 08:12:20:701,5238,5238,0,0,1826914,0,4606 132,3,2024-09-07 08:12:21:719,1,4,16,8,356,398,4,0 133,0,2024-09-07 08:12:21:588,768,0.3,752,0.6,1607,0.2,1894,1.75 133,1,2024-09-07 08:12:20:597,6580,6580,0,0,3294743729,36685785,6006,572,2,387,391492,0 133,2,2024-09-07 08:12:21:097,5600,5600,0,0,485495,0,1707 133,3,2024-09-07 08:12:21:308,1,4,7,1,7,13,4,0 134,0,2024-09-07 08:12:20:994,1268,0.7,1253,1.6,2555,0.9,3637,2.50 134,1,2024-09-07 08:12:20:587,6276,6276,0,0,3387215282,42806483,4941,590,745,372,391508,0 134,2,2024-09-07 08:12:21:776,2859,2859,0,0,187999,0,1739 134,3,2024-09-07 08:12:20:749,1,4,63,1,63,97,4,0 135,0,2024-09-07 08:12:21:148,1680,5.3,1477,5.4,2944,4.8,4363,6.25 135,1,2024-09-07 08:12:21:595,6933,6933,0,0,3443169831,37793679,6576,341,16,384,391491,0 135,2,2024-09-07 08:12:20:693,5737,5737,0,0,802584,0,3016 135,3,2024-09-07 08:12:21:007,1,4,1,1,53,60,4,0 136,0,2024-09-07 08:12:21:658,622,0.2,592,0.3,1196,0.1,1319,1.75 136,1,2024-09-07 08:12:21:460,6835,6835,0,0,3444825586,38861860,6218,483,134,386,391501,0 136,2,2024-09-07 08:12:21:143,6036,6036,0,0,268309,0,943 136,3,2024-09-07 08:12:21:109,1,4,10,8,20,50,4,0 137,0,2024-09-07 08:12:20:936,594,0.2,601,0.3,1185,0.1,1292,1.50 137,1,2024-09-07 08:12:20:592,6832,6832,0,0,3213968191,34415728,6717,115,0,370,391489,0 137,2,2024-09-07 08:12:21:726,4252,4252,0,0,384426,0,2294 137,3,2024-09-07 08:12:20:776,1,4,10,1,11,28,4,0 138,0,2024-09-07 08:12:21:895,229,0.2,221,0.3,394,0.1,44,1.75 138,1,2024-09-07 08:12:21:687,6734,6734,0,0,3707068001,40220045,6307,331,96,371,391572,0 138,2,2024-09-07 08:12:20:591,4083,4083,0,0,527272,0,3263 138,3,2024-09-07 08:12:20:608,1,4,1,1,4,8,4,0 139,0,2024-09-07 08:12:21:373,1331,0.3,1346,0.7,2688,0.4,3585,1.75 139,1,2024-09-07 08:12:20:584,6630,6630,0,0,3265295355,39881411,5585,566,479,386,391511,0 139,2,2024-09-07 08:12:20:693,5756,5756,0,0,601188,0,2233 139,3,2024-09-07 08:12:21:662,1,4,3,2,5,12,4,0 140,0,2024-09-07 08:12:21:607,486,0.1,508,0.2,1015,0.1,943,1.25 140,1,2024-09-07 08:12:21:542,7125,7125,0,0,3601324871,36734545,7124,1,0,367,391478,0 140,2,2024-09-07 08:12:20:695,5308,5308,0,0,268759,0,2078 140,3,2024-09-07 08:12:20:767,1,4,9,8,13,40,4,0 141,0,2024-09-07 08:12:21:723,880,0.2,918,0.3,1793,0.1,2288,1.50 141,1,2024-09-07 08:12:20:880,7146,7146,0,0,3446732105,35347917,7146,0,0,383,391511,0 141,2,2024-09-07 08:12:21:688,4508,4508,0,0,132215,0,636 141,3,2024-09-07 08:12:21:043,1,4,0,0,7,13,4,0 142,0,2024-09-07 08:12:21:358,1228,0.2,1261,0.6,2585,0.2,3429,1.50 142,1,2024-09-07 08:12:20:601,6964,6964,0,0,3181767950,32864759,6964,0,0,385,391483,0 142,2,2024-09-07 08:12:21:306,5098,5098,0,0,293758,0,2000 142,3,2024-09-07 08:12:21:754,1,4,11,1,11,18,4,0 143,0,2024-09-07 08:12:21:408,1024,0.2,1043,0.3,2113,0.1,2662,1.50 143,1,2024-09-07 08:12:20:563,6788,6788,0,0,3486315676,36745630,6616,172,0,371,391484,0 143,2,2024-09-07 08:12:20:770,4849,4849,0,0,148898,0,670 143,3,2024-09-07 08:12:21:142,1,4,2,1,219,224,4,0 144,0,2024-09-07 08:12:21:526,1454,6.1,1540,6.9,2539,7.3,5520,3.50 144,1,2024-09-07 08:12:20:576,6735,6735,0,0,3388031249,36902265,6421,275,39,384,391557,0 144,2,2024-09-07 08:12:21:760,4961,4961,0,0,236883,0,1140 144,3,2024-09-07 08:12:21:757,1,4,14,8,99,147,4,0 145,0,2024-09-07 08:12:21:372,1165,0.5,1170,0.8,2463,0.4,3230,2.00 145,1,2024-09-07 08:12:20:573,6871,6871,0,0,3240065019,34866743,6784,87,0,386,391481,0 145,2,2024-09-07 08:12:21:434,5386,5386,0,0,1232519,0,3903 145,3,2024-09-07 08:12:20:896,1,4,40,5,151,209,4,0 146,0,2024-09-07 08:12:21:732,668,0.3,703,0.6,1400,0.2,1618,2.00 146,1,2024-09-07 08:12:21:590,6719,6719,0,0,3503439917,38265604,6361,283,75,371,391485,0 146,2,2024-09-07 08:12:21:711,5601,5601,0,0,325605,0,1544 146,3,2024-09-07 08:12:21:278,1,4,0,0,31,50,4,0 147,0,2024-09-07 08:12:21:763,949,0.4,938,0.5,1924,0.2,2409,2.00 147,1,2024-09-07 08:12:21:397,7041,7041,0,0,3388560490,34930337,7032,7,2,370,391598,0 147,2,2024-09-07 08:12:21:022,3201,3201,0,0,72437,0,393 147,3,2024-09-07 08:12:20:913,1,4,2,2,141,205,4,0 0,0,2024-09-07 08:12:31:720,647,0.2,627,0.3,1271,0.1,1508,1.50 0,1,2024-09-07 08:12:30:808,8384,8384,0,0,4069109523,45188957,7952,327,105,374,391658,0 0,2,2024-09-07 08:12:31:074,6471,6471,0,0,323750,0,706 0,3,2024-09-07 08:12:30:976,1,5,10,8,16,54,5,0 1,0,2024-09-07 08:12:31:799,818,0.3,833,0.5,1677,0.2,2280,1.75 1,1,2024-09-07 08:12:30:578,8510,8510,0,0,4085291563,44138343,8253,224,33,375,391481,0 1,2,2024-09-07 08:12:30:647,4014,4014,0,0,244173,0,1321 1,3,2024-09-07 08:12:31:309,1,5,2,2,36,86,5,0 2,0,2024-09-07 08:12:31:585,2287,0.9,2366,1.6,4504,1.3,6458,2.00 2,1,2024-09-07 08:12:30:862,8594,8594,0,0,4069518525,42980821,8525,69,0,385,391479,0 2,2,2024-09-07 08:12:31:278,7337,7337,0,0,858112,0,3304 2,3,2024-09-07 08:12:30:692,1,5,58,1,87,177,5,0 3,0,2024-09-07 08:12:31:778,680,0.2,673,0.3,1375,0.1,1537,1.50 3,1,2024-09-07 08:12:31:626,8645,8645,0,0,4223491707,44825855,8519,126,0,383,391479,0 3,2,2024-09-07 08:12:31:151,7083,7083,0,0,386351,0,1392 3,3,2024-09-07 08:12:31:754,1,5,1,1,23,40,5,0 4,0,2024-09-07 08:12:31:803,605,0.4,620,0.5,1254,0.2,1401,1.75 4,1,2024-09-07 08:12:30:594,8457,8457,0,0,4198101981,48086140,7502,612,343,375,391525,0 4,2,2024-09-07 08:12:31:018,5333,5333,0,0,1128453,0,4528 4,3,2024-09-07 08:12:31:027,1,5,9,9,21,70,5,0 5,0,2024-09-07 08:12:31:478,920,0.3,919,0.5,1848,0.2,2050,1.75 5,1,2024-09-07 08:12:30:818,8266,8266,0,0,4432124242,48592929,7857,318,91,375,391503,0 5,2,2024-09-07 08:12:31:833,5692,5692,0,0,413293,0,1912 5,3,2024-09-07 08:12:31:754,1,5,1,1,17,28,5,0 6,0,2024-09-07 08:12:30:931,2001,0.8,1969,1.8,4028,1.3,5594,2.25 6,1,2024-09-07 08:12:30:748,8533,8533,0,0,4405190403,47300135,8324,205,4,383,391483,0 6,2,2024-09-07 08:12:31:122,6887,6887,0,0,629363,0,1686 6,3,2024-09-07 08:12:31:285,1,5,150,2,277,452,5,0 7,0,2024-09-07 08:12:31:550,660,0.3,660,0.4,1339,0.1,1409,1.75 7,1,2024-09-07 08:12:30:853,8630,8630,0,0,4339893337,46605454,8432,185,13,386,391480,0 7,2,2024-09-07 08:12:30:777,6106,6106,0,0,646790,0,2981 7,3,2024-09-07 08:12:30:852,1,5,16,1,40,75,5,0 8,0,2024-09-07 08:12:31:366,1329,0.3,1381,0.5,2772,0.2,3656,1.75 8,1,2024-09-07 08:12:31:023,8181,8181,0,0,4370514832,53045561,6670,762,749,370,391724,0 8,2,2024-09-07 08:12:30:795,5794,5794,0,0,702180,0,2986 8,3,2024-09-07 08:12:30:594,1,5,14,9,18,64,5,0 9,0,2024-09-07 08:12:31:144,1196,0.3,1179,0.5,2399,0.2,3221,1.75 9,1,2024-09-07 08:12:30:568,8358,8358,0,0,4249531245,49643855,7273,621,464,373,391496,0 9,2,2024-09-07 08:12:31:098,6609,6609,0,0,427026,0,1631 9,3,2024-09-07 08:12:31:766,1,5,35,4,50,120,5,0 10,0,2024-09-07 08:12:31:636,2088,0.9,2056,0.8,4136,0.8,5582,2.00 10,1,2024-09-07 08:12:30:592,8028,8028,0,0,4145596173,46629702,7402,548,78,386,391540,0 10,2,2024-09-07 08:12:30:767,5233,5233,0,0,597526,0,2932 10,3,2024-09-07 08:12:30:877,1,5,6,1,118,129,5,0 11,0,2024-09-07 08:12:31:028,1465,0.5,1384,0.9,2832,0.4,4254,2.25 11,1,2024-09-07 08:12:30:574,8519,8519,0,0,4654718293,49539991,8297,214,8,384,391483,0 11,2,2024-09-07 08:12:31:124,6349,6349,0,0,466568,0,1024 11,3,2024-09-07 08:12:31:304,1,5,4,2,55,125,5,0 12,0,2024-09-07 08:12:30:967,1656,0.3,1671,0.5,3262,0.2,4531,1.50 12,1,2024-09-07 08:12:31:001,8684,8684,0,0,3923875282,40662662,8672,10,2,372,391482,0 12,2,2024-09-07 08:12:31:555,7390,7390,0,0,301683,0,903 12,3,2024-09-07 08:12:31:060,1,5,9,8,17,58,5,0 13,0,2024-09-07 08:12:31:378,988,0.2,986,0.5,1895,0.2,2351,1.50 13,1,2024-09-07 08:12:31:525,8763,8763,0,0,4814581717,49905004,8763,0,0,388,391516,0 13,2,2024-09-07 08:12:30:608,7083,7083,0,0,190469,0,514 13,3,2024-09-07 08:12:31:764,1,5,11,2,153,210,5,0 14,0,2024-09-07 08:12:30:638,1655,0.3,1632,0.6,3113,0.2,4288,1.75 14,1,2024-09-07 08:12:31:589,8942,8942,0,0,4104004640,42308193,8937,5,0,369,391481,0 14,2,2024-09-07 08:12:30:766,3691,3691,0,0,174184,0,1934 14,3,2024-09-07 08:12:31:129,1,5,1,1,40,45,5,0 15,0,2024-09-07 08:12:31:583,2010,0.5,2007,1.1,4073,0.8,5596,2.00 15,1,2024-09-07 08:12:31:611,8676,8676,0,0,3981414395,41389290,8673,3,0,384,391481,0 15,2,2024-09-07 08:12:30:998,7541,7541,0,0,205319,0,673 15,3,2024-09-07 08:12:31:406,1,5,4,1,538,654,5,0 16,0,2024-09-07 08:12:30:954,734,0.2,740,0.3,1479,0.1,1721,1.50 16,1,2024-09-07 08:12:30:614,8698,8698,0,0,4116123064,44727267,8388,307,3,374,391543,0 16,2,2024-09-07 08:12:31:435,7136,7136,0,0,1060472,0,4719 16,3,2024-09-07 08:12:31:148,1,5,45,9,45,118,5,0 17,0,2024-09-07 08:12:31:847,734,0.2,748,0.3,1482,0.1,1619,1.50 17,1,2024-09-07 08:12:30:609,8454,8454,0,0,4440613983,48230328,8026,293,135,374,391671,0 17,2,2024-09-07 08:12:31:673,5852,5852,0,0,454954,0,1865 17,3,2024-09-07 08:12:30:576,1,5,5,1,11,26,5,0 18,0,2024-09-07 08:12:30:953,278,0.1,280,0.3,522,0.1,369,1.50 18,1,2024-09-07 08:12:31:654,8474,8474,0,0,3594881430,38446678,8393,79,2,372,391477,0 18,2,2024-09-07 08:12:31:756,4860,4860,0,0,549401,0,2688 18,3,2024-09-07 08:12:30:897,1,5,2,1,142,147,5,0 19,0,2024-09-07 08:12:31:574,1794,0.5,1743,0.6,3439,0.4,4970,1.75 19,1,2024-09-07 08:12:30:572,8598,8598,0,0,4395118679,47071334,8304,269,25,371,391512,0 19,2,2024-09-07 08:12:31:759,7495,7495,0,0,350594,0,1128 19,3,2024-09-07 08:12:31:143,1,5,1,1,5,10,5,0 20,0,2024-09-07 08:12:31:450,621,0.2,618,0.4,1206,0.2,1261,1.75 20,1,2024-09-07 08:12:30:577,8437,8437,0,0,4051917375,44418361,8111,326,0,373,391484,0 20,2,2024-09-07 08:12:30:929,6601,6601,0,0,557301,0,1484 20,3,2024-09-07 08:12:30:589,1,5,20,9,22,86,5,0 21,0,2024-09-07 08:12:31:241,1084,0.2,1109,0.5,2113,0.2,2603,1.75 21,1,2024-09-07 08:12:31:557,8550,8550,0,0,4166457684,46242580,8095,432,23,376,391482,0 21,2,2024-09-07 08:12:31:083,5748,5748,0,0,665908,0,3747 21,3,2024-09-07 08:12:31:414,1,5,2,1,28,62,5,0 22,0,2024-09-07 08:12:31:734,1561,0.4,1614,0.9,3093,0.5,4044,2.00 22,1,2024-09-07 08:12:31:032,8313,8313,0,0,4124443565,48451079,7229,777,307,386,391488,0 22,2,2024-09-07 08:12:30:774,6421,6421,0,0,382852,0,1503 22,3,2024-09-07 08:12:31:071,1,5,1,0,1,4,5,0 23,0,2024-09-07 08:12:31:395,1336,0.4,1393,0.6,2697,0.3,3949,2.00 23,1,2024-09-07 08:12:31:005,8694,8694,0,0,4163780121,44331103,8555,139,0,371,391480,0 23,2,2024-09-07 08:12:31:094,6361,6361,0,0,517986,0,2078 23,3,2024-09-07 08:12:31:757,1,5,2,0,2,6,5,0 24,0,2024-09-07 08:12:30:828,2327,1.8,2300,2.2,4568,3.6,6230,2.00 24,1,2024-09-07 08:12:30:587,8568,8568,0,0,3932153454,42698244,8234,331,3,373,391540,0 24,2,2024-09-07 08:12:31:074,6122,6122,0,0,827747,0,2912 24,3,2024-09-07 08:12:31:686,1,5,12,8,13,56,5,0 25,0,2024-09-07 08:12:31:433,1494,0.3,1507,0.6,2946,0.2,4079,1.50 25,1,2024-09-07 08:12:30:578,8480,8480,0,0,4189818794,45293673,8179,300,1,375,391482,0 25,2,2024-09-07 08:12:31:607,7074,7074,0,0,541973,0,1361 25,3,2024-09-07 08:12:31:003,1,5,2,2,50,101,5,0 26,0,2024-09-07 08:12:31:737,876,0.2,897,0.4,1808,0.1,2259,1.50 26,1,2024-09-07 08:12:31:546,8669,8669,0,0,4481692398,49393963,8167,392,110,384,391480,0 26,2,2024-09-07 08:12:30:862,6790,6790,0,0,669858,0,2576 26,3,2024-09-07 08:12:31:721,1,5,1,1,796,832,5,0 27,0,2024-09-07 08:12:31:737,1264,0.3,1301,0.5,2685,0.2,3852,1.50 27,1,2024-09-07 08:12:31:678,8787,8787,0,0,4620348099,48796881,8695,91,1,384,391484,0 27,2,2024-09-07 08:12:30:869,4131,4131,0,0,744482,0,2975 27,3,2024-09-07 08:12:31:016,1,5,12,2,12,22,5,0 28,0,2024-09-07 08:12:31:398,1843,0.2,1752,0.4,3572,0.2,4773,1.75 28,1,2024-09-07 08:12:30:799,8913,8913,0,0,4655501423,47942475,8913,0,0,386,391488,0 28,2,2024-09-07 08:12:31:765,6751,6751,0,0,407898,0,2177 28,3,2024-09-07 08:12:31:776,1,5,13,8,13,46,5,0 29,0,2024-09-07 08:12:31:367,1050,0.2,1045,0.4,1997,0.2,2759,2.25 29,1,2024-09-07 08:12:31:564,8635,8635,0,0,4378008021,46122050,8354,232,49,371,391484,0 29,2,2024-09-07 08:12:30:862,7353,7353,0,0,207519,0,766 29,3,2024-09-07 08:12:30:963,1,5,2,1,30,37,5,0 30,0,2024-09-07 08:12:31:467,611,0.1,621,0.3,1295,0.1,1463,1.75 30,1,2024-09-07 08:12:30:573,8700,8700,0,0,4554307095,46895320,8700,0,0,382,391478,0 30,2,2024-09-07 08:12:31:284,6714,6714,0,0,187845,0,678 30,3,2024-09-07 08:12:30:591,1,5,1,1,110,116,5,0 31,0,2024-09-07 08:12:31:774,859,0.2,864,0.4,1649,0.1,2254,2.00 31,1,2024-09-07 08:12:30:568,8704,8704,0,0,4289522810,43902197,8704,0,0,356,391478,0 31,2,2024-09-07 08:12:31:277,4286,4286,0,0,153839,0,986 31,3,2024-09-07 08:12:31:720,1,5,8,1,8,21,5,0 32,0,2024-09-07 08:12:31:465,2318,0.7,2325,1.0,4562,0.4,6453,1.50 32,1,2024-09-07 08:12:30:826,8628,8628,0,0,4165482931,43794015,8599,28,1,384,391488,0 32,2,2024-09-07 08:12:30:953,7632,7632,0,0,426704,0,1970 32,3,2024-09-07 08:12:31:020,1,5,17,12,37,100,5,0 33,0,2024-09-07 08:12:31:530,665,0.1,686,0.3,1357,0.1,1501,1.25 33,1,2024-09-07 08:12:30:587,8573,8573,0,0,4636563512,49125177,8354,208,11,373,391480,0 33,2,2024-09-07 08:12:30:776,6740,6740,0,0,875941,0,3364 33,3,2024-09-07 08:12:30:900,1,5,6,2,6,16,5,0 34,0,2024-09-07 08:12:30:946,633,0.1,635,0.2,1239,0.1,1360,1.25 34,1,2024-09-07 08:12:31:047,8693,8693,0,0,4639736449,49026722,8578,115,0,369,391481,0 34,2,2024-09-07 08:12:30:767,5628,5628,0,0,424012,0,1616 34,3,2024-09-07 08:12:31:693,1,5,1,0,12,15,5,0 35,0,2024-09-07 08:12:30:869,939,0.2,942,0.3,1820,0.1,2065,1.50 35,1,2024-09-07 08:12:31:069,8509,8509,0,0,4198814843,45871113,8012,396,101,386,391481,0 35,2,2024-09-07 08:12:31:606,5762,5762,0,0,406623,0,2276 35,3,2024-09-07 08:12:30:910,1,5,1,1,219,226,5,0 36,0,2024-09-07 08:12:31:540,2026,3.3,1993,2.7,4088,1.9,5407,5.00 36,1,2024-09-07 08:12:30:602,8174,8174,0,0,4478190086,54074689,6499,942,733,370,391535,0 36,2,2024-09-07 08:12:31:754,6992,6992,0,0,410684,0,1172 36,3,2024-09-07 08:12:30:863,1,5,16,14,54,118,5,0 37,0,2024-09-07 08:12:31:383,668,0.3,653,0.8,1325,0.2,1420,2.25 37,1,2024-09-07 08:12:30:603,7496,7489,0,7,3996995276,55239523,5413,569,1507,371,391507,0 37,2,2024-09-07 08:12:31:156,6559,6559,0,0,441323,0,1448 37,3,2024-09-07 08:12:31:766,1,5,21,1,31,56,5,0 38,0,2024-09-07 08:12:31:446,1406,0.9,1382,0.8,2763,0.6,3692,2.75 38,1,2024-09-07 08:12:31:618,8776,8776,0,0,4457037654,47416982,8611,164,1,373,391479,0 38,2,2024-09-07 08:12:30:767,5825,5825,0,0,757849,0,3245 38,3,2024-09-07 08:12:30:997,1,5,1,1,17,24,5,0 39,0,2024-09-07 08:12:31:769,1196,0.4,1218,0.7,2374,0.3,3255,2.25 39,1,2024-09-07 08:12:30:728,8015,8015,0,0,4140783380,47757600,7078,519,418,369,391478,0 39,2,2024-09-07 08:12:31:422,6479,6479,0,0,509477,0,1786 39,3,2024-09-07 08:12:30:719,1,5,20,2,189,244,5,0 40,0,2024-09-07 08:12:31:530,1944,3.9,1869,6.4,3571,7.1,5466,5.50 40,1,2024-09-07 08:12:30:589,8551,8551,0,0,3825898645,41851014,8124,283,144,371,391481,0 40,2,2024-09-07 08:12:31:322,5464,5464,0,0,408393,0,2295 40,3,2024-09-07 08:12:31:148,1,5,21,8,21,64,5,0 41,0,2024-09-07 08:12:31:066,1323,0.9,1360,1.7,2562,0.6,3886,2.50 41,1,2024-09-07 08:12:30:773,8209,8209,0,0,3905226559,43620806,7471,605,133,373,391483,0 41,2,2024-09-07 08:12:30:769,6629,6629,0,0,492333,0,2008 41,3,2024-09-07 08:12:31:675,1,5,1,1,1,5,5,0 42,0,2024-09-07 08:12:31:487,1614,0.5,1603,1.0,3339,0.4,4486,2.25 42,1,2024-09-07 08:12:31:450,7968,7968,0,0,4132154112,46812310,7329,333,306,383,391489,0 42,2,2024-09-07 08:12:31:133,7053,7053,0,0,542233,0,1735 42,3,2024-09-07 08:12:31:013,1,5,5,1,54,63,5,0 43,0,2024-09-07 08:12:30:952,936,0.3,963,0.6,1961,0.1,2315,1.75 43,1,2024-09-07 08:12:30:585,8509,8509,0,0,4283915013,45933048,8087,396,26,369,391478,0 43,2,2024-09-07 08:12:31:736,6943,6943,0,0,507605,0,1510 43,3,2024-09-07 08:12:31:750,1,5,171,1,292,568,5,0 44,0,2024-09-07 08:12:30:873,1577,0.2,1570,1.1,3207,0.2,4253,1.75 44,1,2024-09-07 08:12:30:582,8796,8796,0,0,4295078186,44358786,8744,52,0,358,391490,0 44,2,2024-09-07 08:12:31:280,3756,3756,0,0,110269,0,1004 44,3,2024-09-07 08:12:31:094,1,5,12,2,28,89,5,0 45,0,2024-09-07 08:12:31:769,2003,0.4,2001,0.7,3967,0.3,5714,1.75 45,1,2024-09-07 08:12:31:007,8592,8592,0,0,4720955625,48450534,8592,0,0,384,391510,0 45,2,2024-09-07 08:12:31:271,7608,7608,0,0,272079,0,761 45,3,2024-09-07 08:12:30:934,1,5,2,1,16,25,5,0 46,0,2024-09-07 08:12:30:972,725,0.1,729,0.3,1470,0.1,1731,1.50 46,1,2024-09-07 08:12:30:584,8856,8856,0,0,4309746991,44373266,8852,3,1,370,391480,0 46,2,2024-09-07 08:12:30:593,7463,7463,0,0,223850,0,702 46,3,2024-09-07 08:12:31:131,1,5,1,1,200,299,5,0 47,0,2024-09-07 08:12:31:128,716,0.2,715,0.3,1433,0.1,1575,1.50 47,1,2024-09-07 08:12:30:569,8796,8796,0,0,4227711311,43348453,8795,1,0,368,391481,0 47,2,2024-09-07 08:12:30:918,5892,5892,0,0,187900,0,792 47,3,2024-09-07 08:12:31:116,1,5,1,1,25,44,5,0 48,0,2024-09-07 08:12:31:528,267,0.1,254,0.2,527,0.1,373,1.25 48,1,2024-09-07 08:12:31:031,8567,8567,0,0,4591424734,48787659,8387,180,0,387,391488,0 48,2,2024-09-07 08:12:30:703,5035,5035,0,0,189117,0,1515 48,3,2024-09-07 08:12:30:752,1,5,8,8,21,61,5,0 49,0,2024-09-07 08:12:31:739,1792,0.3,1754,0.4,3396,0.2,5099,1.50 49,1,2024-09-07 08:12:31:023,8820,8820,0,0,3927363880,41777117,8702,118,0,385,391583,0 49,2,2024-09-07 08:12:31:798,7286,7286,0,0,499962,0,2196 49,3,2024-09-07 08:12:31:422,1,5,1,1,274,360,5,0 50,0,2024-09-07 08:12:31:515,605,0.1,613,0.2,1173,0.1,1256,1.25 50,1,2024-09-07 08:12:31:011,8802,8802,0,0,4346876495,44876905,8770,32,0,370,391485,0 50,2,2024-09-07 08:12:31:072,6866,6866,0,0,236418,0,991 50,3,2024-09-07 08:12:31:292,1,5,10,1,335,348,5,0 51,0,2024-09-07 08:12:31:690,1085,0.1,1039,0.2,2118,0.1,2566,1.50 51,1,2024-09-07 08:12:31:681,8663,8663,0,0,4983757388,52174329,8455,175,33,369,391504,0 51,2,2024-09-07 08:12:31:316,5553,5553,0,0,203766,0,1063 51,3,2024-09-07 08:12:31:027,1,5,4,4,162,198,5,0 52,0,2024-09-07 08:12:31:445,1510,1.2,1501,1.7,3083,1.5,3818,6.50 52,1,2024-09-07 08:12:30:583,8240,8240,0,0,3988358566,46378446,7295,751,194,371,391482,0 52,2,2024-09-07 08:12:31:756,6162,6162,0,0,1067867,0,4779 52,3,2024-09-07 08:12:30:674,1,5,8,8,14,53,5,0 53,0,2024-09-07 08:12:31:793,1273,2.8,1298,2.3,2451,1.6,3446,6.50 53,1,2024-09-07 08:12:30:774,8432,8432,0,0,4465735160,51777841,7416,592,424,374,391483,0 53,2,2024-09-07 08:12:31:306,6104,6104,0,0,418625,0,1417 53,3,2024-09-07 08:12:30:703,1,5,3,1,28,34,5,0 54,0,2024-09-07 08:12:31:624,1154,10.0,1404,10.0,2360,8.3,5068,10.50 54,1,2024-09-07 08:12:30:584,8341,8341,0,0,4505430267,49091725,7767,540,34,370,391479,0 54,2,2024-09-07 08:12:30:866,6144,6138,6,0,1113335,0,5382 54,3,2024-09-07 08:12:30:771,1,5,5,3,42,58,5,0 55,0,2024-09-07 08:12:31:775,1479,1.8,1563,1.6,3007,1.6,4004,5.50 55,1,2024-09-07 08:12:30:774,8026,8026,0,0,3619902384,42870747,6787,749,490,370,391660,0 55,2,2024-09-07 08:12:30:738,6908,6908,0,0,635531,0,2129 55,3,2024-09-07 08:12:30:682,1,5,14,1,14,35,5,0 56,0,2024-09-07 08:12:31:585,916,0.3,905,0.4,1796,0.1,2277,1.75 56,1,2024-09-07 08:12:30:575,8343,8343,0,0,4869273960,55045921,7411,498,434,386,391481,0 56,2,2024-09-07 08:12:31:307,6981,6981,0,0,489061,0,2364 56,3,2024-09-07 08:12:31:059,1,5,16,8,20,68,5,0 57,0,2024-09-07 08:12:30:980,1262,1.2,1261,1.3,2482,1.9,3413,2.00 57,1,2024-09-07 08:12:31:006,8892,8892,0,0,4640143500,49475637,8680,211,1,371,391505,0 57,2,2024-09-07 08:12:31:326,4274,4274,0,0,475257,0,2687 57,3,2024-09-07 08:12:31:752,1,5,9,1,10,22,5,0 58,0,2024-09-07 08:12:30:580,1754,0.5,1773,0.7,3568,0.4,4792,2.00 58,1,2024-09-07 08:12:30:584,8151,8151,0,0,4500735530,48757313,7732,406,13,373,391482,0 58,2,2024-09-07 08:12:31:072,6936,6936,0,0,590097,0,2464 58,3,2024-09-07 08:12:31:071,1,5,2,1,80,111,5,0 59,0,2024-09-07 08:12:31:752,1012,0.4,1019,0.8,2053,0.3,2776,2.50 59,1,2024-09-07 08:12:30:806,8680,8680,0,0,4571220697,48069161,8562,117,1,372,391515,0 59,2,2024-09-07 08:12:30:588,7379,7379,0,0,479336,0,1708 59,3,2024-09-07 08:12:31:738,1,5,2,2,10,31,5,0 60,0,2024-09-07 08:12:31:724,632,0.1,619,0.3,1257,0.1,1495,1.25 60,1,2024-09-07 08:12:30:774,8731,8731,0,0,4094298755,42462071,8729,1,1,372,391672,0 60,2,2024-09-07 08:12:31:160,6818,6818,0,0,322848,0,1164 60,3,2024-09-07 08:12:31:273,1,5,17,13,23,88,5,0 61,0,2024-09-07 08:12:31:598,865,0.2,817,0.3,1671,0.1,2272,1.50 61,1,2024-09-07 08:12:30:772,8673,8673,0,0,4085439948,42555914,8665,8,0,385,391504,0 61,2,2024-09-07 08:12:31:186,4276,4276,0,0,144632,0,654 61,3,2024-09-07 08:12:31:699,1,5,10,1,72,90,5,0 62,0,2024-09-07 08:12:31:732,2259,0.3,2342,0.6,4548,0.3,6388,1.50 62,1,2024-09-07 08:12:31:114,8675,8675,0,0,4714003066,48916483,8512,161,2,369,391491,0 62,2,2024-09-07 08:12:31:656,7425,7425,0,0,362776,0,2030 62,3,2024-09-07 08:12:31:154,1,5,4,1,28,44,5,0 63,0,2024-09-07 08:12:31:520,708,0.1,715,0.3,1351,0.1,1521,1.25 63,1,2024-09-07 08:12:30:812,8824,8824,0,0,4757701168,49955616,8683,141,0,382,391489,0 63,2,2024-09-07 08:12:30:767,6995,6995,0,0,339914,0,1769 63,3,2024-09-07 08:12:31:732,1,5,1,1,216,250,5,0 64,0,2024-09-07 08:12:31:535,611,0.1,621,0.3,1232,0.1,1354,1.25 64,1,2024-09-07 08:12:30:767,8693,8693,0,0,4604817124,49085479,8289,350,54,374,391486,0 64,2,2024-09-07 08:12:31:158,5500,5500,0,0,391312,0,2510 64,3,2024-09-07 08:12:31:143,1,5,20,8,20,60,5,0 65,0,2024-09-07 08:12:31:716,907,0.2,945,0.3,1801,0.1,1984,1.50 65,1,2024-09-07 08:12:30:862,8641,8641,0,0,4288882378,46782116,8201,434,6,385,391481,0 65,2,2024-09-07 08:12:31:696,5690,5690,0,0,379482,0,2374 65,3,2024-09-07 08:12:31:684,1,5,44,9,122,198,5,0 66,0,2024-09-07 08:12:31:794,1955,0.3,2025,0.5,3970,0.2,5541,1.50 66,1,2024-09-07 08:12:31:308,8930,8930,0,0,4429004146,47100569,8633,281,16,384,391537,0 66,2,2024-09-07 08:12:31:134,7087,7087,0,0,515513,0,2934 66,3,2024-09-07 08:12:31:094,1,5,4,1,45,58,5,0 67,0,2024-09-07 08:12:31:442,651,0.1,671,0.3,1323,0.1,1427,1.25 67,1,2024-09-07 08:12:30:769,8598,8597,0,1,3848170261,40770864,8561,36,0,383,391567,1 67,2,2024-09-07 08:12:30:589,6383,6383,0,0,311833,0,1499 67,3,2024-09-07 08:12:31:757,1,5,2,1,4,12,5,0 68,0,2024-09-07 08:12:30:601,1404,0.4,1400,0.9,2812,0.3,3662,2.00 68,1,2024-09-07 08:12:30:590,8161,8161,0,0,4160710928,48199441,7334,382,445,386,391482,0 68,2,2024-09-07 08:12:31:050,5889,5889,0,0,995717,0,4883 68,3,2024-09-07 08:12:30:727,1,5,21,8,21,64,5,0 69,0,2024-09-07 08:12:31:759,1210,0.6,1173,1.0,2454,0.7,3140,2.25 69,1,2024-09-07 08:12:31:019,7902,7902,0,0,4223593940,53561006,6582,458,862,387,391483,0 69,2,2024-09-07 08:12:31:734,6560,6560,0,0,514025,0,2462 69,3,2024-09-07 08:12:30:768,1,5,10,1,10,33,5,0 70,0,2024-09-07 08:12:31:553,1790,7.8,1989,6.7,3555,4.0,5280,5.25 70,1,2024-09-07 08:12:30:809,8701,8701,0,0,4497715713,47795834,8490,194,17,371,391527,0 70,2,2024-09-07 08:12:31:332,5297,5297,0,0,444243,0,1468 70,3,2024-09-07 08:12:30:745,1,5,1,1,6,14,5,0 71,0,2024-09-07 08:12:31:399,1301,0.9,1357,1.9,2540,0.2,3540,4.25 71,1,2024-09-07 08:12:31:609,8502,8502,0,0,4264944937,47495244,7863,514,125,371,391484,0 71,2,2024-09-07 08:12:31:099,6526,6526,0,0,748205,0,2146 71,3,2024-09-07 08:12:31:757,1,5,93,1,93,127,5,0 72,0,2024-09-07 08:12:31:053,1671,0.6,1666,0.8,3281,0.4,4512,3.25 72,1,2024-09-07 08:12:31:036,8539,8539,0,0,4272613123,46108322,8169,369,1,373,391485,0 72,2,2024-09-07 08:12:31:760,7062,7062,0,0,706143,0,2043 72,3,2024-09-07 08:12:31:764,1,5,12,8,13,55,5,0 73,0,2024-09-07 08:12:31:181,973,0.3,945,0.5,1929,0.2,2353,2.25 73,1,2024-09-07 08:12:30:774,8571,8571,0,0,4322005411,45711273,8544,27,0,371,391485,0 73,2,2024-09-07 08:12:31:749,6958,6958,0,0,975510,0,3482 73,3,2024-09-07 08:12:30:977,1,5,3,3,92,108,5,0 74,0,2024-09-07 08:12:31:348,1616,0.4,1654,0.8,3157,0.3,4273,2.50 74,1,2024-09-07 08:12:30:648,8569,8569,0,0,4000199088,42761905,8317,250,2,385,391494,0 74,2,2024-09-07 08:12:31:014,3649,3649,0,0,435066,0,2736 74,3,2024-09-07 08:12:31:444,1,5,125,10,192,513,5,0 75,0,2024-09-07 08:12:31:790,2042,1.3,1985,1.4,4068,1.5,5669,3.75 75,1,2024-09-07 08:12:31:596,8745,8745,0,0,4245984777,46629663,8329,366,50,384,391508,0 75,2,2024-09-07 08:12:31:357,7302,7302,0,0,697461,0,2676 75,3,2024-09-07 08:12:31:072,1,5,2,1,8,13,5,0 76,0,2024-09-07 08:12:30:642,748,0.1,752,0.2,1423,0.1,1747,1.25 76,1,2024-09-07 08:12:30:808,8521,8521,0,0,3825420168,39785214,8514,7,0,386,391482,0 76,2,2024-09-07 08:12:31:076,7656,7656,0,0,264635,0,1006 76,3,2024-09-07 08:12:31:157,1,5,17,11,22,76,5,0 77,0,2024-09-07 08:12:31:730,750,0.1,761,0.2,1430,0.1,1619,1.25 77,1,2024-09-07 08:12:30:828,8728,8728,0,0,3917340816,40876035,8694,34,0,385,391491,0 77,2,2024-09-07 08:12:31:312,6044,6044,0,0,196310,0,828 77,3,2024-09-07 08:12:31:097,1,5,29,1,29,34,5,0 78,0,2024-09-07 08:12:31:809,269,0.1,285,0.2,545,0.1,374,1.25 78,1,2024-09-07 08:12:30:615,8762,8762,0,0,3782980953,39520955,8746,16,0,371,391480,0 78,2,2024-09-07 08:12:31:429,5083,5083,0,0,116660,0,561 78,3,2024-09-07 08:12:31:132,1,5,6,1,14,25,5,0 79,0,2024-09-07 08:12:31:378,1706,0.2,1752,0.4,3539,0.1,5053,1.50 79,1,2024-09-07 08:12:30:582,8781,8781,0,0,4404236714,45145523,8781,0,0,372,391481,0 79,2,2024-09-07 08:12:31:078,7457,7457,0,0,225358,0,687 79,3,2024-09-07 08:12:30:748,1,5,1,1,2,7,5,0 80,0,2024-09-07 08:12:31:107,568,0.2,584,0.3,1173,0.1,1253,1.50 80,1,2024-09-07 08:12:31:627,8533,8533,0,0,4271776608,46001104,8137,395,1,374,391673,0 80,2,2024-09-07 08:12:31:110,6638,6638,0,0,351200,0,993 80,3,2024-09-07 08:12:30:578,1,5,35,8,72,136,5,0 81,0,2024-09-07 08:12:31:583,1072,0.2,1071,0.4,2106,0.1,2596,1.50 81,1,2024-09-07 08:12:31:658,8555,8555,0,0,3739837834,39591221,8507,48,0,384,391484,0 81,2,2024-09-07 08:12:31:129,5464,5464,0,0,478002,0,2811 81,3,2024-09-07 08:12:31:118,1,5,1,1,39,54,5,0 82,0,2024-09-07 08:12:31:568,1501,0.2,1455,0.5,3023,0.2,4013,1.75 82,1,2024-09-07 08:12:30:589,8625,8625,0,0,4106066404,43609763,8450,169,6,385,391489,0 82,2,2024-09-07 08:12:31:704,6291,6291,0,0,324376,0,1004 82,3,2024-09-07 08:12:31:758,1,5,1,1,65,73,5,0 83,0,2024-09-07 08:12:31:553,1404,0.2,1362,0.5,2798,0.2,3941,1.75 83,1,2024-09-07 08:12:30:582,8531,8531,0,0,4104734326,44939127,7998,454,79,384,391494,0 83,2,2024-09-07 08:12:30:789,6110,6110,0,0,456980,0,2512 83,3,2024-09-07 08:12:30:749,1,5,2,1,9,17,5,0 84,0,2024-09-07 08:12:31:777,1590,9.5,1920,10.0,2818,7.7,5788,6.50 84,1,2024-09-07 08:12:31:044,8597,8597,0,0,4327000535,47931966,8052,452,93,371,391538,0 84,2,2024-09-07 08:12:30:572,5977,5977,0,0,1007950,0,3801 84,3,2024-09-07 08:12:31:152,1,5,13,8,13,54,5,0 85,0,2024-09-07 08:12:31:055,1466,0.6,1510,0.9,3045,0.6,4073,2.50 85,1,2024-09-07 08:12:30:595,8341,8341,0,0,4144145003,48589099,7270,795,276,386,391498,0 85,2,2024-09-07 08:12:30:872,6526,6526,0,0,903727,0,3656 85,3,2024-09-07 08:12:30:753,1,5,3,1,7,19,5,0 86,0,2024-09-07 08:12:30:971,867,0.3,900,0.6,1692,0.2,2235,1.75 86,1,2024-09-07 08:12:30:834,8416,8416,0,0,4319563283,48472707,7740,648,28,371,391491,0 86,2,2024-09-07 08:12:30:882,6454,6453,1,0,1067065,0,5004 86,3,2024-09-07 08:12:30:594,1,5,1,1,19,43,5,0 87,0,2024-09-07 08:12:31:301,1246,1.6,1289,1.4,2466,2.2,3656,2.50 87,1,2024-09-07 08:12:30:564,8453,8453,0,0,4440044439,48407688,7999,406,48,369,391551,0 87,2,2024-09-07 08:12:31:072,4092,4092,0,0,366499,0,2148 87,3,2024-09-07 08:12:31:795,1,5,1,1,10,18,5,0 88,0,2024-09-07 08:12:31:548,1782,0.4,1766,0.6,3583,0.3,4649,1.75 88,1,2024-09-07 08:12:30:581,8453,8453,0,0,4639605939,48945349,8395,58,0,370,391747,0 88,2,2024-09-07 08:12:30:696,7185,7185,0,0,564542,0,2675 88,3,2024-09-07 08:12:31:275,1,5,9,8,13,51,5,0 89,0,2024-09-07 08:12:31:832,1025,0.2,1026,0.4,1959,0.2,2516,1.75 89,1,2024-09-07 08:12:30:581,8117,8117,0,0,4393738047,50644136,7274,337,506,384,391549,0 89,2,2024-09-07 08:12:31:138,7384,7384,0,0,781967,0,2564 89,3,2024-09-07 08:12:31:794,1,5,7,4,7,31,5,0 90,0,2024-09-07 08:12:31:641,624,0.2,641,0.3,1271,0.1,1388,1.50 90,1,2024-09-07 08:12:30:605,8534,8534,0,0,4440344774,48843203,8034,482,18,383,391490,0 90,2,2024-09-07 08:12:31:410,6487,6487,0,0,618199,0,2225 90,3,2024-09-07 08:12:30:931,1,5,5,1,10,26,5,0 91,0,2024-09-07 08:12:30:984,802,0.3,822,0.4,1660,0.2,1972,1.75 91,1,2024-09-07 08:12:30:562,8621,8621,0,0,4414041366,48060225,8240,312,69,385,391503,0 91,2,2024-09-07 08:12:31:336,4129,4129,0,0,339187,0,1997 91,3,2024-09-07 08:12:30:602,1,5,14,1,25,65,5,0 92,0,2024-09-07 08:12:31:546,2277,0.4,2254,0.6,4532,0.6,6466,1.50 92,1,2024-09-07 08:12:30:586,8745,8745,0,0,4137294883,44064062,8449,250,46,383,391557,0 92,2,2024-09-07 08:12:31:356,7793,7793,0,0,298286,0,1039 92,3,2024-09-07 08:12:31:013,1,5,9,8,21,68,5,0 93,0,2024-09-07 08:12:31:066,661,0.1,667,0.2,1293,0.1,1482,1.25 93,1,2024-09-07 08:12:30:809,8744,8744,0,0,4276871291,44253235,8734,9,1,371,391689,0 93,2,2024-09-07 08:12:30:930,7228,7228,0,0,235681,0,882 93,3,2024-09-07 08:12:31:415,1,5,3,3,143,188,5,0 94,0,2024-09-07 08:12:31:617,661,0.2,620,0.3,1250,0.1,1397,1.25 94,1,2024-09-07 08:12:30:588,8662,8662,0,0,4472301851,46979357,8527,134,1,383,391554,0 94,2,2024-09-07 08:12:30:775,5468,5468,0,0,277117,0,1294 94,3,2024-09-07 08:12:31:691,1,5,4,1,231,373,5,0 95,0,2024-09-07 08:12:31:352,944,0.2,984,0.3,1867,0.1,2012,1.25 95,1,2024-09-07 08:12:30:853,8736,8736,0,0,4358790047,44937082,8733,2,1,369,391590,0 95,2,2024-09-07 08:12:31:017,5902,5902,0,0,205385,0,943 95,3,2024-09-07 08:12:31:717,1,5,6,1,12,24,5,0 96,0,2024-09-07 08:12:31:044,2057,0.6,2050,0.7,3977,0.6,5603,1.75 96,1,2024-09-07 08:12:31:604,8787,8787,0,0,4662988992,49532900,8676,110,1,387,391551,0 96,2,2024-09-07 08:12:31:315,6999,6999,0,0,302113,0,1251 96,3,2024-09-07 08:12:31:147,1,5,30,8,30,71,5,0 97,0,2024-09-07 08:12:31:335,630,0.2,642,0.3,1312,0.1,1406,1.50 97,1,2024-09-07 08:12:30:785,8516,8516,0,0,4516421387,47578555,8285,225,6,370,391489,0 97,2,2024-09-07 08:12:30:641,6155,6155,0,0,375626,0,1663 97,3,2024-09-07 08:12:30:613,1,5,42,1,77,125,5,0 98,0,2024-09-07 08:12:31:734,1416,0.2,1447,0.4,2737,0.2,3721,1.50 98,1,2024-09-07 08:12:30:572,8453,8453,0,0,4055596330,43122869,8390,62,1,385,391588,0 98,2,2024-09-07 08:12:30:793,6134,6134,0,0,497137,0,2410 98,3,2024-09-07 08:12:30:709,1,5,4,3,155,179,5,0 99,0,2024-09-07 08:12:31:474,1190,0.2,1136,0.4,2358,0.2,3230,1.75 99,1,2024-09-07 08:12:31:733,8954,8954,0,0,4226217824,44505544,8910,43,1,385,391519,0 99,2,2024-09-07 08:12:31:424,6664,6664,0,0,288632,0,834 99,3,2024-09-07 08:12:30:590,1,5,23,1,23,53,5,0 100,0,2024-09-07 08:12:31:521,1674,4.9,1981,7.2,3686,7.1,5172,7.75 100,1,2024-09-07 08:12:30:617,8457,8457,0,0,4085076778,47584193,7585,648,224,384,391505,0 100,2,2024-09-07 08:12:31:823,5073,5062,11,0,1060450,0,5417 100,3,2024-09-07 08:12:31:731,1,5,25,11,443,542,5,0 101,0,2024-09-07 08:12:31:738,1387,1.0,1386,1.8,2632,0.5,4130,3.50 101,1,2024-09-07 08:12:30:569,8138,8138,0,0,4044811436,47792888,7198,517,423,375,391516,0 101,2,2024-09-07 08:12:31:763,6335,6335,0,0,1141676,0,4644 101,3,2024-09-07 08:12:30:956,1,5,7,1,448,508,5,0 102,0,2024-09-07 08:12:30:996,1660,1.7,1667,1.3,3337,1.5,4490,2.75 102,1,2024-09-07 08:12:31:153,8801,8801,0,0,4575566006,49429356,8587,214,0,371,391621,0 102,2,2024-09-07 08:12:31:753,7276,7276,0,0,489480,0,1699 102,3,2024-09-07 08:12:31:628,1,5,3,3,410,502,5,0 103,0,2024-09-07 08:12:31:607,961,0.4,933,0.7,1874,0.2,2330,2.00 103,1,2024-09-07 08:12:31:646,8656,8656,0,0,4620623255,49879186,8354,255,47,385,391593,0 103,2,2024-09-07 08:12:30:587,7103,7103,0,0,617780,0,1484 103,3,2024-09-07 08:12:30:770,1,5,6,1,24,39,5,0 104,0,2024-09-07 08:12:31:029,1585,0.7,1627,0.9,3127,0.4,4264,2.00 104,1,2024-09-07 08:12:31:636,8686,8686,0,0,4655782213,50043301,8393,280,13,369,391502,0 104,2,2024-09-07 08:12:31:687,3746,3746,0,0,190561,0,1902 104,3,2024-09-07 08:12:31:424,1,5,13,8,13,53,5,0 105,0,2024-09-07 08:12:31:051,2010,0.7,1972,1.8,4063,1.5,5648,2.00 105,1,2024-09-07 08:12:30:567,8362,8362,0,0,4126124151,46648524,7525,674,163,369,391797,0 105,2,2024-09-07 08:12:31:329,7364,7364,0,0,411573,0,1305 105,3,2024-09-07 08:12:31:318,1,5,11,1,11,26,5,0 106,0,2024-09-07 08:12:30:961,734,0.3,739,0.5,1436,0.2,1739,1.75 106,1,2024-09-07 08:12:31:757,8838,8838,0,0,4116185784,44042473,8692,145,1,373,391503,0 106,2,2024-09-07 08:12:30:778,7247,7247,0,0,654527,0,1600 106,3,2024-09-07 08:12:30:678,1,5,12,1,12,17,5,0 107,0,2024-09-07 08:12:31:131,712,0.2,719,0.4,1417,0.2,1579,1.75 107,1,2024-09-07 08:12:30:596,8447,8447,0,0,4466757347,48678162,7946,391,110,383,391848,0 107,2,2024-09-07 08:12:31:301,5760,5759,1,0,704455,0,5024 107,3,2024-09-07 08:12:31:761,1,5,8,2,75,124,5,0 108,0,2024-09-07 08:12:31:913,263,0.2,273,0.2,554,0.1,371,1.25 108,1,2024-09-07 08:12:31:313,8903,8903,0,0,4356280352,44892304,8901,1,1,372,391563,0 108,2,2024-09-07 08:12:31:757,4966,4966,0,0,133720,0,547 108,3,2024-09-07 08:12:31:353,1,5,12,10,18,67,5,0 109,0,2024-09-07 08:12:31:808,1802,0.2,1770,0.4,3497,0.2,5165,1.50 109,1,2024-09-07 08:12:30:599,8682,8682,0,0,4568891941,47740320,8663,19,0,386,391487,0 109,2,2024-09-07 08:12:30:924,7155,7155,0,0,240153,0,865 109,3,2024-09-07 08:12:31:143,1,5,10,1,102,184,5,0 110,0,2024-09-07 08:12:31:794,580,0.1,586,0.2,1195,0.1,1272,1.25 110,1,2024-09-07 08:12:31:658,8951,8951,0,0,4489688394,46072935,8950,1,0,372,391535,0 110,2,2024-09-07 08:12:31:312,6914,6914,0,0,391935,0,2234 110,3,2024-09-07 08:12:30:693,1,5,1,1,16,23,5,0 111,0,2024-09-07 08:12:31:492,1056,0.1,1057,0.2,2163,0.1,2599,1.25 111,1,2024-09-07 08:12:31:011,8715,8715,0,0,4457760492,45738720,8714,1,0,385,391482,0 111,2,2024-09-07 08:12:31:136,5810,5810,0,0,202024,0,1001 111,3,2024-09-07 08:12:30:914,1,5,4,2,53,77,5,0 112,0,2024-09-07 08:12:30:937,1491,1.3,1523,0.7,3054,0.3,4008,2.00 112,1,2024-09-07 08:12:30:877,8657,8657,0,0,4375874242,46094162,8631,26,0,384,391487,0 112,2,2024-09-07 08:12:31:134,6424,6424,0,0,294841,0,1137 112,3,2024-09-07 08:12:30:592,1,5,12,8,21,62,5,0 113,0,2024-09-07 08:12:30:882,1371,0.4,1356,0.8,2809,0.4,3968,2.00 113,1,2024-09-07 08:12:31:687,8615,8615,0,0,4684612307,49829714,8371,244,0,370,391661,0 113,2,2024-09-07 08:12:31:315,6247,6247,0,0,317999,0,1275 113,3,2024-09-07 08:12:30:692,1,5,3,3,130,240,5,0 114,0,2024-09-07 08:12:30:960,2494,7.9,2008,7.3,3783,5.5,6279,3.75 114,1,2024-09-07 08:12:30:721,8635,8635,0,0,3778727088,40053096,8484,151,0,383,391484,0 114,2,2024-09-07 08:12:30:874,6350,6350,0,0,301243,0,1841 114,3,2024-09-07 08:12:31:283,1,5,3,1,159,166,5,0 115,0,2024-09-07 08:12:30:564,1502,0.4,1534,0.9,3034,0.3,4097,1.75 115,1,2024-09-07 08:12:30:573,8562,8562,0,0,4683662330,50638126,8135,321,106,384,391495,0 115,2,2024-09-07 08:12:31:128,6972,6972,0,0,333777,0,1535 115,3,2024-09-07 08:12:31:003,1,5,3,1,40,60,5,0 116,0,2024-09-07 08:12:31:721,857,0.2,892,0.5,1802,0.2,2236,1.50 116,1,2024-09-07 08:12:30:824,8080,8080,0,0,3912567626,47966836,7017,227,836,386,391495,0 116,2,2024-09-07 08:12:31:752,6824,6824,0,0,571722,0,2154 116,3,2024-09-07 08:12:30:916,1,5,8,8,9,42,5,0 117,0,2024-09-07 08:12:31:018,1287,0.7,1261,0.8,2483,0.4,3657,2.00 117,1,2024-09-07 08:12:31:597,8562,8562,0,0,4240218320,46346877,8223,339,0,372,391523,0 117,2,2024-09-07 08:12:31:144,4229,4229,0,0,669303,0,3700 117,3,2024-09-07 08:12:31:059,1,5,1,1,490,926,5,0 118,0,2024-09-07 08:12:31:785,1674,0.4,1691,0.8,3530,0.4,4793,1.75 118,1,2024-09-07 08:12:30:592,8408,8408,0,0,3923937682,43260940,8100,307,1,371,391484,0 118,2,2024-09-07 08:12:31:593,6938,6938,0,0,653327,0,1435 118,3,2024-09-07 08:12:31:775,1,5,21,2,34,87,5,0 119,0,2024-09-07 08:12:31:350,975,0.3,1011,0.4,2017,0.2,2767,1.75 119,1,2024-09-07 08:12:30:568,8273,8273,0,0,4536151878,51106841,7464,680,129,372,391518,0 119,2,2024-09-07 08:12:31:279,7448,7448,0,0,705363,0,2222 119,3,2024-09-07 08:12:31:330,1,5,1,1,4,10,5,0 120,0,2024-09-07 08:12:31:577,613,0.3,648,0.5,1276,0.2,1501,1.75 120,1,2024-09-07 08:12:30:882,8513,8513,0,0,3836559747,41175249,8272,236,5,374,391546,0 120,2,2024-09-07 08:12:30:790,6622,6622,0,0,738833,0,2332 120,3,2024-09-07 08:12:31:317,1,5,9,8,13,48,5,0 121,0,2024-09-07 08:12:31:703,863,0.5,879,0.7,1695,0.3,2291,2.00 121,1,2024-09-07 08:12:31:668,8173,8173,0,0,4373010412,48070599,7632,399,142,372,391633,0 121,2,2024-09-07 08:12:31:145,4145,4145,0,0,430067,0,2570 121,3,2024-09-07 08:12:30:735,1,5,21,1,71,107,5,0 122,0,2024-09-07 08:12:31:858,2030,7.5,1957,6.9,4207,7.5,6384,3.25 122,1,2024-09-07 08:12:30:871,8351,8351,0,0,4566815855,48748000,8187,164,0,372,392130,0 122,2,2024-09-07 08:12:31:323,7311,7311,0,0,793324,0,2574 122,3,2024-09-07 08:12:30:598,1,5,226,13,226,528,5,0 123,0,2024-09-07 08:12:31:004,681,0.3,656,0.4,1374,0.2,1513,1.75 123,1,2024-09-07 08:12:30:561,8109,8109,0,0,4278200814,47629613,7440,557,112,373,391488,0 123,2,2024-09-07 08:12:31:022,7172,7172,0,0,505653,0,1528 123,3,2024-09-07 08:12:31:132,1,5,17,2,134,206,5,0 124,0,2024-09-07 08:12:31:036,633,0.1,617,0.2,1228,0.1,1366,1.25 124,1,2024-09-07 08:12:31:025,8861,8861,0,0,4310314559,44493076,8859,2,0,372,391481,0 124,2,2024-09-07 08:12:31:015,5571,5571,0,0,173664,0,650 124,3,2024-09-07 08:12:30:770,1,5,29,12,29,92,5,0 125,0,2024-09-07 08:12:31:612,956,0.2,916,0.3,1855,0.1,2004,1.50 125,1,2024-09-07 08:12:30:866,8881,8881,0,0,4272191867,44326823,8880,0,1,386,391502,0 125,2,2024-09-07 08:12:31:136,5847,5847,0,0,210382,0,791 125,3,2024-09-07 08:12:31:164,1,5,9,3,67,103,5,0 126,0,2024-09-07 08:12:31:458,1979,0.2,2039,0.7,3953,0.3,5536,1.50 126,1,2024-09-07 08:12:30:564,8854,8854,0,0,4606689977,47866329,8828,24,2,369,391719,0 126,2,2024-09-07 08:12:30:617,7212,7212,0,0,212784,0,649 126,3,2024-09-07 08:12:30:914,1,5,2,1,20,30,5,0 127,0,2024-09-07 08:12:31:743,628,0.2,662,0.3,1294,0.1,1416,1.25 127,1,2024-09-07 08:12:30:581,8746,8746,0,0,3885464027,40108060,8737,8,1,369,391483,0 127,2,2024-09-07 08:12:30:643,6473,6473,0,0,234582,0,1007 127,3,2024-09-07 08:12:31:277,1,5,2,2,19,48,5,0 128,0,2024-09-07 08:12:31:571,1351,0.3,1387,0.7,2762,0.3,3646,2.25 128,1,2024-09-07 08:12:31:636,8481,8481,0,0,4335196909,45454076,8437,43,1,373,391487,0 128,2,2024-09-07 08:12:31:398,6090,6090,0,0,527568,0,1712 128,3,2024-09-07 08:12:30:767,1,5,7,7,19,56,5,0 129,0,2024-09-07 08:12:31:027,1204,0.4,1197,0.5,2307,0.3,3114,2.50 129,1,2024-09-07 08:12:30:595,8611,8611,0,0,4603387050,48374664,8501,110,0,383,391489,0 129,2,2024-09-07 08:12:30:690,6518,6518,0,0,387597,0,2289 129,3,2024-09-07 08:12:30:692,1,5,24,1,24,44,5,0 130,0,2024-09-07 08:12:31:755,2063,2.8,2044,2.2,4085,3.8,5687,3.00 130,1,2024-09-07 08:12:30:595,8530,8530,0,0,4498350381,47894656,8330,199,1,383,391516,0 130,2,2024-09-07 08:12:31:125,5485,5485,0,0,209362,0,1019 130,3,2024-09-07 08:12:31:303,1,5,1,1,11,16,5,0 131,0,2024-09-07 08:12:31:956,1371,0.7,1391,1.3,2660,0.9,3805,2.25 131,1,2024-09-07 08:12:31:829,8688,8688,0,0,4438769166,46842972,8675,12,1,385,391727,0 131,2,2024-09-07 08:12:30:575,6458,6458,0,0,260919,0,1078 131,3,2024-09-07 08:12:31:692,1,5,4,1,23,47,5,0 132,0,2024-09-07 08:12:31:837,1608,0.7,1627,1.7,3329,1.1,4512,2.25 132,1,2024-09-07 08:12:30:680,8434,8434,0,0,3709412110,42862538,7630,750,54,383,391487,0 132,2,2024-09-07 08:12:30:705,6786,6786,0,0,2199228,0,4606 132,3,2024-09-07 08:12:31:800,1,5,33,8,356,431,5,0 133,0,2024-09-07 08:12:31:617,928,0.3,939,0.6,1941,0.2,2305,2.00 133,1,2024-09-07 08:12:30:605,8250,8250,0,0,3949812278,44163711,7625,623,2,387,391492,0 133,2,2024-09-07 08:12:31:092,6966,6966,0,0,582956,0,1707 133,3,2024-09-07 08:12:31:320,1,5,18,1,18,31,5,0 134,0,2024-09-07 08:12:31:008,1569,0.7,1545,1.5,3145,0.8,4217,2.50 134,1,2024-09-07 08:12:30:595,8065,8065,0,0,4053402674,49792986,6730,590,745,369,391508,0 134,2,2024-09-07 08:12:31:773,3686,3686,0,0,259464,0,1739 134,3,2024-09-07 08:12:30:751,1,5,9,1,63,106,5,0 135,0,2024-09-07 08:12:31:117,1898,5.3,2047,4.8,3757,4.5,5115,6.00 135,1,2024-09-07 08:12:31:595,8649,8649,0,0,4262901939,46372268,8290,343,16,384,391491,0 135,2,2024-09-07 08:12:30:694,7168,7168,0,0,877048,0,3016 135,3,2024-09-07 08:12:31:003,1,5,48,1,53,108,5,0 136,0,2024-09-07 08:12:31:721,786,0.2,742,0.4,1484,0.1,1796,1.75 136,1,2024-09-07 08:12:31:471,8607,8607,0,0,4302947266,49015316,7723,746,138,386,391501,0 136,2,2024-09-07 08:12:31:142,7502,7502,0,0,353008,0,1166 136,3,2024-09-07 08:12:31:106,1,5,2,2,20,52,5,0 137,0,2024-09-07 08:12:30:972,712,0.2,736,0.3,1405,0.1,1561,1.75 137,1,2024-09-07 08:12:30:601,8374,8374,0,0,3970523380,42556169,8238,136,0,370,391489,0 137,2,2024-09-07 08:12:31:720,5596,5596,0,0,537226,0,2294 137,3,2024-09-07 08:12:30:775,1,5,6,1,11,34,5,0 138,0,2024-09-07 08:12:31:880,264,0.2,269,0.3,596,0.2,369,1.75 138,1,2024-09-07 08:12:32:078,8641,8641,0,0,4700367498,50895712,8213,332,96,371,391572,0 138,2,2024-09-07 08:12:30:613,4923,4923,0,0,653421,0,3263 138,3,2024-09-07 08:12:30:618,1,5,1,1,4,9,5,0 139,0,2024-09-07 08:12:31:506,1711,1.5,1812,1.4,3452,2.8,4956,2.00 139,1,2024-09-07 08:12:30:586,8281,8281,0,0,3998993984,47710075,7233,569,479,386,391511,0 139,2,2024-09-07 08:12:30:729,7359,7359,0,0,714288,0,2233 139,3,2024-09-07 08:12:31:664,1,5,45,2,45,57,5,0 140,0,2024-09-07 08:12:31:612,592,0.1,615,0.3,1185,0.1,1279,1.50 140,1,2024-09-07 08:12:31:545,8828,8828,0,0,4404277999,45077856,8827,1,0,367,391482,0 140,2,2024-09-07 08:12:30:688,6793,6793,0,0,340177,0,2078 140,3,2024-09-07 08:12:30:767,1,5,8,8,13,48,5,0 141,0,2024-09-07 08:12:31:739,1026,0.2,1078,0.3,2116,0.1,2567,1.50 141,1,2024-09-07 08:12:30:864,8917,8917,0,0,4507629572,46217540,8917,0,0,383,391511,0 141,2,2024-09-07 08:12:31:688,5663,5663,0,0,200174,0,1044 141,3,2024-09-07 08:12:31:052,1,5,5,0,7,18,5,0 142,0,2024-09-07 08:12:31:369,1504,0.2,1543,0.6,3086,0.2,4023,1.75 142,1,2024-09-07 08:12:30:594,8758,8758,0,0,4164153010,43151175,8752,6,0,385,391483,0 142,2,2024-09-07 08:12:31:311,6189,6189,0,0,342843,0,2000 142,3,2024-09-07 08:12:31:748,1,5,9,1,11,27,5,0 143,0,2024-09-07 08:12:31:484,1351,0.5,1412,0.7,2797,0.7,3951,2.00 143,1,2024-09-07 08:12:30:643,8574,8574,0,0,4271579607,44915964,8402,172,0,371,391484,0 143,2,2024-09-07 08:12:30:783,6176,6176,0,0,239199,0,912 143,3,2024-09-07 08:12:31:153,1,5,24,1,219,248,5,0 144,0,2024-09-07 08:12:31:575,1610,7.5,2212,9.5,2689,7.3,5980,3.50 144,1,2024-09-07 08:12:30:575,8522,8522,0,0,4333640071,46927581,8208,275,39,384,391557,0 144,2,2024-09-07 08:12:31:758,6309,6309,0,0,289790,0,1140 144,3,2024-09-07 08:12:31:751,1,5,14,8,99,161,5,0 145,0,2024-09-07 08:12:31:415,1482,0.6,1491,0.9,3082,0.5,4068,2.25 145,1,2024-09-07 08:12:30:576,8610,8610,0,0,4096447844,44374296,8443,167,0,386,391481,0 145,2,2024-09-07 08:12:31:433,6555,6555,0,0,1342197,0,3903 145,3,2024-09-07 08:12:30:897,1,5,14,5,151,223,5,0 146,0,2024-09-07 08:12:31:719,866,0.3,885,0.7,1787,0.2,2199,2.00 146,1,2024-09-07 08:12:31:611,8596,8596,0,0,4383883951,49283812,7827,589,180,371,391485,0 146,2,2024-09-07 08:12:31:704,6799,6799,0,0,638728,0,2149 146,3,2024-09-07 08:12:31:274,1,5,54,0,54,104,5,0 147,0,2024-09-07 08:12:31:787,1195,2.2,1190,1.8,2255,0.2,3362,5.25 147,1,2024-09-07 08:12:31:392,8696,8696,0,0,4090068101,42261742,8687,7,2,370,391598,0 147,2,2024-09-07 08:12:31:016,4264,4264,0,0,113976,0,975 147,3,2024-09-07 08:12:30:914,1,5,18,2,141,223,5,0 0,0,2024-09-07 08:12:41:759,826,0.2,783,0.4,1613,0.2,1987,1.50 0,1,2024-09-07 08:12:40:816,10109,10109,0,0,5174600137,57064853,9616,388,105,374,391658,0 0,2,2024-09-07 08:12:41:073,7965,7965,0,0,385827,0,951 0,3,2024-09-07 08:12:40:981,1,6,3,3,16,57,6,0 1,0,2024-09-07 08:12:41:857,1147,0.4,1121,0.5,2285,0.3,3185,1.75 1,1,2024-09-07 08:12:40:565,10164,10164,0,0,4817689431,51907301,9907,224,33,374,391486,0 1,2,2024-09-07 08:12:40:662,4773,4773,0,0,274516,0,1321 1,3,2024-09-07 08:12:41:303,1,6,18,2,36,104,6,0 2,0,2024-09-07 08:12:41:585,2747,0.9,2786,1.5,5366,1.2,7657,2.00 2,1,2024-09-07 08:12:40:869,10270,10270,0,0,4905299683,51747568,10195,75,0,384,391479,0 2,2,2024-09-07 08:12:41:272,8818,8818,0,0,891487,0,3304 2,3,2024-09-07 08:12:40:695,1,6,8,1,87,185,6,0 3,0,2024-09-07 08:12:41:765,796,0.2,804,0.3,1647,0.1,1848,1.50 3,1,2024-09-07 08:12:41:620,10389,10389,0,0,5057023675,53418956,10263,126,0,383,391479,0 3,2,2024-09-07 08:12:41:143,8492,8492,0,0,535037,0,1392 3,3,2024-09-07 08:12:41:752,1,6,1,1,23,41,6,0 4,0,2024-09-07 08:12:41:844,741,0.3,734,0.5,1487,0.2,1708,1.75 4,1,2024-09-07 08:12:40:595,10138,10138,0,0,4820127736,54866325,9183,612,343,374,391525,0 4,2,2024-09-07 08:12:41:020,6503,6503,0,0,1209736,0,4528 4,3,2024-09-07 08:12:41:040,1,6,16,9,21,86,6,0 5,0,2024-09-07 08:12:41:461,937,0.3,959,0.5,1905,0.2,2050,1.75 5,1,2024-09-07 08:12:40:891,10175,10175,0,0,5210975567,57068528,9702,382,91,375,391503,0 5,2,2024-09-07 08:12:41:835,6557,6557,0,0,478928,0,1912 5,3,2024-09-07 08:12:41:738,1,6,6,1,17,34,6,0 6,0,2024-09-07 08:12:40:941,2363,0.8,2326,1.7,4726,1.2,6500,2.00 6,1,2024-09-07 08:12:40:751,10238,10238,0,0,5148553319,55320862,10006,228,4,382,391483,0 6,2,2024-09-07 08:12:41:119,8418,8418,0,0,751455,0,1686 6,3,2024-09-07 08:12:41:275,1,6,15,2,277,467,6,0 7,0,2024-09-07 08:12:41:542,740,0.3,734,0.4,1533,0.1,1650,1.75 7,1,2024-09-07 08:12:40:856,10399,10399,0,0,5223357740,55914550,10197,189,13,386,391480,0 7,2,2024-09-07 08:12:40:775,7477,7477,0,0,790063,0,2981 7,3,2024-09-07 08:12:40:853,1,6,3,1,40,78,6,0 8,0,2024-09-07 08:12:41:433,1605,0.3,1616,0.5,3242,0.2,4253,1.75 8,1,2024-09-07 08:12:41:029,9954,9954,0,0,5418745548,64255751,8395,810,749,370,391724,0 8,2,2024-09-07 08:12:40:837,6845,6845,0,0,767120,0,2986 8,3,2024-09-07 08:12:40:584,1,6,8,8,18,72,6,0 9,0,2024-09-07 08:12:41:171,1542,0.3,1461,0.6,3027,0.2,4111,1.75 9,1,2024-09-07 08:12:40:559,10081,10081,0,0,5123353764,59055401,8988,629,464,373,391496,0 9,2,2024-09-07 08:12:41:088,7830,7830,0,0,550206,0,1631 9,3,2024-09-07 08:12:41:763,1,6,3,3,50,123,6,0 10,0,2024-09-07 08:12:41:622,2413,0.9,2332,0.8,4724,0.7,6186,2.00 10,1,2024-09-07 08:12:40:585,9863,9863,0,0,4928257654,56766726,8766,903,194,384,391540,0 10,2,2024-09-07 08:12:40:772,6555,6555,0,0,713993,0,2932 10,3,2024-09-07 08:12:40:877,1,6,3,1,118,132,6,0 11,0,2024-09-07 08:12:41:024,1802,3.8,1789,2.9,3336,5.0,5492,3.50 11,1,2024-09-07 08:12:40:575,10099,10099,0,0,5283230286,57881417,9487,461,151,384,391483,0 11,2,2024-09-07 08:12:41:131,7627,7627,0,0,560059,0,1238 11,3,2024-09-07 08:12:41:308,1,6,62,2,62,187,6,0 12,0,2024-09-07 08:12:40:958,1989,0.3,1976,0.5,3939,0.2,5349,1.50 12,1,2024-09-07 08:12:40:991,10337,10337,0,0,4850497856,50366805,10325,10,2,372,391482,0 12,2,2024-09-07 08:12:41:547,8664,8664,0,0,616737,0,2254 12,3,2024-09-07 08:12:41:077,1,6,13,8,17,71,6,0 13,0,2024-09-07 08:12:41:377,1143,0.2,1144,0.4,2222,0.2,2777,1.50 13,1,2024-09-07 08:12:41:555,10590,10590,0,0,5575107212,58284634,10531,59,0,388,391516,0 13,2,2024-09-07 08:12:40:641,8502,8502,0,0,239100,0,647 13,3,2024-09-07 08:12:41:763,1,6,8,2,153,218,6,0 14,0,2024-09-07 08:12:40:569,1912,0.2,1923,0.5,3640,0.2,4894,1.75 14,1,2024-09-07 08:12:41:585,10577,10577,0,0,4971294157,51223493,10572,5,0,365,391481,0 14,2,2024-09-07 08:12:40:768,4636,4636,0,0,210459,0,1934 14,3,2024-09-07 08:12:41:120,1,6,21,1,40,66,6,0 15,0,2024-09-07 08:12:41:561,2388,0.5,2418,1.0,4802,0.7,6603,2.00 15,1,2024-09-07 08:12:41:611,10456,10456,0,0,4631940172,48163433,10453,3,0,381,391481,0 15,2,2024-09-07 08:12:41:004,8927,8927,0,0,260794,0,993 15,3,2024-09-07 08:12:41:418,1,6,1,1,538,655,6,0 16,0,2024-09-07 08:12:40:977,901,0.2,878,0.3,1798,0.1,2206,1.50 16,1,2024-09-07 08:12:40:564,10420,10420,0,0,4796189647,51886067,10110,307,3,374,391543,0 16,2,2024-09-07 08:12:41:479,8632,8632,0,0,1111338,0,4719 16,3,2024-09-07 08:12:41:143,1,6,19,9,45,137,6,0 17,0,2024-09-07 08:12:41:850,836,0.2,844,0.3,1668,0.1,1877,1.50 17,1,2024-09-07 08:12:40:581,10128,10128,0,0,5298119322,57562478,9694,299,135,374,391671,0 17,2,2024-09-07 08:12:41:703,7235,7235,0,0,512529,0,1865 17,3,2024-09-07 08:12:40:594,1,6,13,1,13,39,6,0 18,0,2024-09-07 08:12:40:992,382,0.2,369,0.3,726,0.1,692,1.50 18,1,2024-09-07 08:12:41:649,10160,10160,0,0,4401052712,47213842,10063,95,2,372,391494,0 18,2,2024-09-07 08:12:41:756,5506,5506,0,0,589921,0,2688 18,3,2024-09-07 08:12:40:898,1,6,37,1,142,184,6,0 19,0,2024-09-07 08:12:41:551,2238,1.1,2246,1.0,4376,1.6,6370,1.75 19,1,2024-09-07 08:12:40:568,10391,10391,0,0,5350363194,57004305,10097,269,25,370,391512,0 19,2,2024-09-07 08:12:41:756,8962,8962,0,0,402067,0,1128 19,3,2024-09-07 08:12:41:129,1,6,29,1,29,39,6,0 20,0,2024-09-07 08:12:41:408,725,0.3,727,0.4,1416,0.2,1569,1.75 20,1,2024-09-07 08:12:40:594,10182,10182,0,0,4994641815,54357883,9852,330,0,373,391484,0 20,2,2024-09-07 08:12:40:929,8117,8117,0,0,643150,0,1484 20,3,2024-09-07 08:12:40:598,1,6,19,9,22,105,6,0 21,0,2024-09-07 08:12:41:261,1203,0.2,1221,0.5,2342,0.2,2852,1.75 21,1,2024-09-07 08:12:41:578,10187,10187,0,0,4918128447,54343414,9692,472,23,376,391482,0 21,2,2024-09-07 08:12:41:074,6831,6831,0,0,787084,0,3747 21,3,2024-09-07 08:12:41:406,1,6,30,1,30,92,6,0 22,0,2024-09-07 08:12:41:731,1770,0.4,1837,0.9,3573,0.4,4575,2.00 22,1,2024-09-07 08:12:41:024,10090,10090,0,0,5248896884,60671683,8910,873,307,382,391488,0 22,2,2024-09-07 08:12:40:762,7424,7424,0,0,452731,0,1503 22,3,2024-09-07 08:12:41:070,1,6,48,0,48,52,6,0 23,0,2024-09-07 08:12:41:378,1735,0.5,1792,0.7,3525,0.5,5155,2.00 23,1,2024-09-07 08:12:41:017,10543,10543,0,0,5153044354,54531097,10404,139,0,371,391480,0 23,2,2024-09-07 08:12:41:099,7878,7878,0,0,580214,0,2078 23,3,2024-09-07 08:12:41:766,1,6,26,0,26,32,6,0 24,0,2024-09-07 08:12:40:867,2519,1.8,2494,2.1,5001,3.5,6511,2.00 24,1,2024-09-07 08:12:40:605,10325,10325,0,0,4698609371,51013535,9936,386,3,373,391540,0 24,2,2024-09-07 08:12:41:074,7463,7463,0,0,916797,0,2912 24,3,2024-09-07 08:12:41:711,1,6,22,8,22,78,6,0 25,0,2024-09-07 08:12:41:513,1792,0.3,1822,0.5,3486,0.2,4844,1.50 25,1,2024-09-07 08:12:40:568,10299,10299,0,0,5131129228,55595032,9960,338,1,375,391482,0 25,2,2024-09-07 08:12:41:648,8060,8060,0,0,639831,0,1436 25,3,2024-09-07 08:12:41:017,1,6,44,2,50,145,6,0 26,0,2024-09-07 08:12:41:734,1105,0.2,1062,0.4,2231,0.1,2846,1.50 26,1,2024-09-07 08:12:41:543,9882,9882,0,0,5302120649,58549883,9250,520,112,384,391480,0 26,2,2024-09-07 08:12:40:869,8193,8193,0,0,797420,0,2576 26,3,2024-09-07 08:12:41:717,1,6,1,1,796,833,6,0 27,0,2024-09-07 08:12:41:746,1705,0.3,1663,0.5,3523,0.2,5078,1.75 27,1,2024-09-07 08:12:41:682,10474,10474,0,0,5382887817,56863442,10382,91,1,384,391484,0 27,2,2024-09-07 08:12:40:876,5213,5213,0,0,840037,0,2975 27,3,2024-09-07 08:12:41:015,1,6,3,2,12,25,6,0 28,0,2024-09-07 08:12:41:406,2144,0.3,2035,0.5,4149,0.2,5511,1.75 28,1,2024-09-07 08:12:40:799,10781,10781,0,0,5604986722,57759838,10781,0,0,386,391488,0 28,2,2024-09-07 08:12:41:764,8006,8006,0,0,471537,0,2177 28,3,2024-09-07 08:12:41:776,1,6,9,8,13,55,6,0 29,0,2024-09-07 08:12:41:384,1360,0.4,1368,0.5,2617,0.4,3721,2.25 29,1,2024-09-07 08:12:41:570,10503,10503,0,0,5423739144,57319970,10098,233,172,371,391484,0 29,2,2024-09-07 08:12:40:870,8844,8844,0,0,313788,0,1451 29,3,2024-09-07 08:12:40:965,1,6,3,1,30,40,6,0 30,0,2024-09-07 08:12:41:511,800,0.2,775,0.3,1621,0.1,1926,1.75 30,1,2024-09-07 08:12:40:573,10440,10440,0,0,5513497877,56723554,10440,0,0,382,391524,0 30,2,2024-09-07 08:12:41:287,8240,8240,0,0,234840,0,678 30,3,2024-09-07 08:12:40:581,1,6,0,0,110,116,6,0 31,0,2024-09-07 08:12:41:772,1162,0.4,1193,0.6,2288,0.3,3203,2.25 31,1,2024-09-07 08:12:40:566,10343,10343,0,0,5170655614,52857306,10343,0,0,356,391478,0 31,2,2024-09-07 08:12:41:297,4994,4994,0,0,227922,0,1593 31,3,2024-09-07 08:12:41:706,1,6,0,0,8,21,6,0 32,0,2024-09-07 08:12:41:440,2736,0.6,2807,1.0,5476,0.4,7602,1.50 32,1,2024-09-07 08:12:40:806,10424,10424,0,0,4917878144,51768871,10378,45,1,384,391488,0 32,2,2024-09-07 08:12:40:947,9048,9048,0,0,463771,0,1970 32,3,2024-09-07 08:12:41:017,1,6,11,11,37,111,6,0 33,0,2024-09-07 08:12:41:517,800,0.1,797,0.2,1575,0.1,1822,1.25 33,1,2024-09-07 08:12:40:577,10336,10336,0,0,5572159037,58646379,10117,208,11,370,391482,0 33,2,2024-09-07 08:12:40:760,8289,8289,0,0,945292,0,3364 33,3,2024-09-07 08:12:40:906,1,6,13,2,13,29,6,0 34,0,2024-09-07 08:12:40:944,737,0.1,765,0.2,1485,0.1,1698,1.25 34,1,2024-09-07 08:12:41:046,10570,10570,0,0,5401770520,57044385,10445,125,0,369,391481,0 34,2,2024-09-07 08:12:40:778,6788,6788,0,0,559089,0,2070 34,3,2024-09-07 08:12:41:693,1,6,1,0,12,16,6,0 35,0,2024-09-07 08:12:40:870,988,0.2,991,0.3,1893,0.1,2065,1.50 35,1,2024-09-07 08:12:41:072,10196,10196,0,0,4844231165,52584657,9699,396,101,385,391481,0 35,2,2024-09-07 08:12:41:587,6668,6668,0,0,474271,0,2276 35,3,2024-09-07 08:12:40:913,1,6,2,1,219,228,6,0 36,0,2024-09-07 08:12:41:544,2353,3.0,2369,2.5,4805,1.9,6320,4.25 36,1,2024-09-07 08:12:40:584,9924,9924,0,0,5191343386,62705714,8031,1137,756,370,391535,0 36,2,2024-09-07 08:12:41:763,8556,8556,0,0,518543,0,1172 36,3,2024-09-07 08:12:40:867,1,6,9,9,54,127,6,0 37,0,2024-09-07 08:12:41:465,745,0.3,751,0.6,1517,0.2,1652,2.00 37,1,2024-09-07 08:12:40:571,9293,9286,0,7,4945092137,65246623,7210,569,1507,371,391507,0 37,2,2024-09-07 08:12:41:148,7945,7945,0,0,509023,0,1448 37,3,2024-09-07 08:12:41:766,1,6,8,1,31,64,6,0 38,0,2024-09-07 08:12:41:456,1638,0.8,1613,0.8,3235,0.6,4246,2.75 38,1,2024-09-07 08:12:41:635,10604,10604,0,0,5334557220,56689061,10438,165,1,373,391479,0 38,2,2024-09-07 08:12:40:772,6972,6972,0,0,823236,0,3245 38,3,2024-09-07 08:12:41:003,1,6,8,1,17,32,6,0 39,0,2024-09-07 08:12:41:794,1515,0.4,1517,0.7,2986,0.3,4099,2.00 39,1,2024-09-07 08:12:40:721,10011,10011,0,0,5032480745,57440910,8991,602,418,369,391480,0 39,2,2024-09-07 08:12:41:417,7785,7785,0,0,574541,0,1786 39,3,2024-09-07 08:12:40:720,1,6,4,2,189,248,6,0 40,0,2024-09-07 08:12:41:518,2151,3.9,2231,6.7,4347,7.4,5801,5.25 40,1,2024-09-07 08:12:40:577,10223,10223,0,0,4744442819,51478582,9791,288,144,371,391481,0 40,2,2024-09-07 08:12:41:306,6932,6932,0,0,466869,0,2295 40,3,2024-09-07 08:12:41:142,1,6,8,8,21,72,6,0 41,0,2024-09-07 08:12:41:077,1559,4.3,1619,3.3,2933,4.3,4551,4.25 41,1,2024-09-07 08:12:40:785,10259,10259,0,0,4934054791,55295380,9336,731,192,373,391483,0 41,2,2024-09-07 08:12:40:759,7839,7839,0,0,618280,0,2008 41,3,2024-09-07 08:12:41:680,1,6,3,1,3,8,6,0 42,0,2024-09-07 08:12:41:490,1958,0.5,1934,1.0,3982,0.4,4979,2.25 42,1,2024-09-07 08:12:41:441,9989,9989,0,0,5077787539,59320402,8924,464,601,383,391489,0 42,2,2024-09-07 08:12:41:133,8427,8427,0,0,731214,0,1746 42,3,2024-09-07 08:12:41:010,1,6,2,1,54,65,6,0 43,0,2024-09-07 08:12:40:949,1095,0.3,1108,0.6,2301,0.1,2593,2.00 43,1,2024-09-07 08:12:40:585,10228,10228,0,0,5201049395,55377824,9806,396,26,369,391478,0 43,2,2024-09-07 08:12:41:749,8308,8308,0,0,574830,0,1510 43,3,2024-09-07 08:12:41:758,1,6,1,1,292,569,6,0 44,0,2024-09-07 08:12:40:874,1838,0.2,1825,1.0,3712,0.2,4872,1.75 44,1,2024-09-07 08:12:40:568,10593,10593,0,0,5141623142,52922262,10541,52,0,358,391490,0 44,2,2024-09-07 08:12:41:276,4635,4635,0,0,147543,0,1004 44,3,2024-09-07 08:12:41:097,1,6,9,2,28,98,6,0 45,0,2024-09-07 08:12:41:771,2381,0.4,2419,0.6,4774,0.3,6723,1.75 45,1,2024-09-07 08:12:41:027,10169,10169,0,0,5844147163,60126447,10166,3,0,384,391510,0 45,2,2024-09-07 08:12:41:286,8894,8894,0,0,320207,0,761 45,3,2024-09-07 08:12:40:936,1,6,33,1,33,58,6,0 46,0,2024-09-07 08:12:40:966,889,0.1,877,0.3,1807,0.1,2185,1.50 46,1,2024-09-07 08:12:40:616,10660,10660,0,0,5172107258,53240808,10656,3,1,370,391480,0 46,2,2024-09-07 08:12:40:595,8644,8644,0,0,453542,0,2261 46,3,2024-09-07 08:12:41:133,1,6,3,1,200,302,6,0 47,0,2024-09-07 08:12:41:119,804,0.2,837,0.3,1602,0.1,1821,1.50 47,1,2024-09-07 08:12:40:576,10581,10581,0,0,4919114105,50509689,10579,2,0,368,391481,0 47,2,2024-09-07 08:12:40:915,7257,7257,0,0,327940,0,2194 47,3,2024-09-07 08:12:41:116,1,6,8,1,25,52,6,0 48,0,2024-09-07 08:12:41:530,351,0.1,374,0.2,740,0.1,697,1.25 48,1,2024-09-07 08:12:41:026,10257,10257,0,0,5253376363,55698095,10077,180,0,387,391488,0 48,2,2024-09-07 08:12:40:719,5731,5731,0,0,210707,0,1515 48,3,2024-09-07 08:12:40:755,1,6,9,8,21,70,6,0 49,0,2024-09-07 08:12:41:738,2239,0.3,2244,0.5,4351,0.3,6423,1.50 49,1,2024-09-07 08:12:41:023,10543,10543,0,0,4522612216,47925204,10425,118,0,382,391583,0 49,2,2024-09-07 08:12:41:816,8824,8824,0,0,591763,0,2196 49,3,2024-09-07 08:12:41:424,1,6,17,1,274,377,6,0 50,0,2024-09-07 08:12:41:554,697,0.1,725,0.2,1399,0.1,1541,1.25 50,1,2024-09-07 08:12:41:014,10235,10235,0,0,5239465349,54196986,10149,85,1,370,391485,0 50,2,2024-09-07 08:12:41:078,8296,8296,0,0,266563,0,991 50,3,2024-09-07 08:12:41:299,1,6,6,1,335,354,6,0 51,0,2024-09-07 08:12:41:695,1205,0.1,1165,0.2,2342,0.1,2827,1.50 51,1,2024-09-07 08:12:41:681,10450,10450,0,0,5937641671,61986285,10241,176,33,369,391504,0 51,2,2024-09-07 08:12:41:319,6594,6594,0,0,230910,0,1063 51,3,2024-09-07 08:12:41:028,1,6,0,0,162,198,6,0 52,0,2024-09-07 08:12:41:433,1737,1.4,1723,1.7,3549,1.7,4334,6.25 52,1,2024-09-07 08:12:40:581,9804,9804,0,0,4763202712,54822602,8856,754,194,371,391486,0 52,2,2024-09-07 08:12:41:756,7197,7197,0,0,1102050,0,4779 52,3,2024-09-07 08:12:40:675,1,6,13,8,14,66,6,0 53,0,2024-09-07 08:12:41:741,1728,4.5,1646,3.2,3468,6.1,4873,6.00 53,1,2024-09-07 08:12:40:779,10135,10135,0,0,5289665683,60658269,9076,635,424,374,391483,0 53,2,2024-09-07 08:12:41:299,7709,7709,0,0,601141,0,1520 53,3,2024-09-07 08:12:40:700,1,6,2,1,28,36,6,0 54,0,2024-09-07 08:12:41:638,1695,10.0,1620,10.0,2506,8.2,5548,10.25 54,1,2024-09-07 08:12:40:583,10064,10064,0,0,5343198627,57874089,9464,566,34,370,391479,0 54,2,2024-09-07 08:12:40:868,7395,7389,6,0,1236760,0,5382 54,3,2024-09-07 08:12:40:764,1,6,3,3,42,61,6,0 55,0,2024-09-07 08:12:41:772,1757,1.9,1862,1.6,3666,1.7,4788,4.25 55,1,2024-09-07 08:12:40:769,9897,9897,0,0,4506174944,52164818,8631,776,490,370,391660,0 55,2,2024-09-07 08:12:40:730,7986,7986,0,0,692079,0,2129 55,3,2024-09-07 08:12:40:690,1,6,2,1,14,37,6,0 56,0,2024-09-07 08:12:41:566,1123,0.3,1108,0.5,2203,0.2,2893,1.75 56,1,2024-09-07 08:12:40:576,10081,10081,0,0,5815568530,65109127,9149,498,434,386,391481,0 56,2,2024-09-07 08:12:41:317,8215,8215,0,0,579907,0,2364 56,3,2024-09-07 08:12:41:060,1,6,16,8,20,84,6,0 57,0,2024-09-07 08:12:40:948,1547,3.6,1633,2.7,3041,6.0,4603,4.00 57,1,2024-09-07 08:12:41:001,10580,10580,0,0,5357770218,57231420,10358,221,1,371,391505,0 57,2,2024-09-07 08:12:41:325,5365,5365,0,0,575877,0,2687 57,3,2024-09-07 08:12:41:745,1,6,1,1,10,23,6,0 58,0,2024-09-07 08:12:40:571,2042,0.5,2070,0.7,4129,0.4,5511,2.00 58,1,2024-09-07 08:12:40:594,10130,10130,0,0,5475816294,59981016,9438,664,28,372,391482,0 58,2,2024-09-07 08:12:41:072,8148,8148,0,0,682149,0,2464 58,3,2024-09-07 08:12:41:070,1,6,1,1,80,112,6,0 59,0,2024-09-07 08:12:41:750,1355,1.4,1347,1.2,2634,1.7,3719,2.50 59,1,2024-09-07 08:12:40:812,10384,10384,0,0,5435318803,57245999,10263,120,1,372,391515,0 59,2,2024-09-07 08:12:40:595,8710,8710,0,0,598956,0,1708 59,3,2024-09-07 08:12:41:745,1,6,1,1,10,32,6,0 60,0,2024-09-07 08:12:41:715,815,0.2,795,0.3,1579,0.1,1945,1.50 60,1,2024-09-07 08:12:40:787,10495,10495,0,0,4971975120,51455939,10492,1,2,372,391672,0 60,2,2024-09-07 08:12:41:147,8147,8147,0,0,446763,0,1573 60,3,2024-09-07 08:12:41:280,1,6,8,8,23,96,6,0 61,0,2024-09-07 08:12:41:600,1132,0.2,1132,0.4,2284,0.1,3139,1.50 61,1,2024-09-07 08:12:40:778,9885,9885,0,0,4930115662,52117169,9699,131,55,385,391504,0 61,2,2024-09-07 08:12:41:128,4942,4942,0,0,189323,0,1601 61,3,2024-09-07 08:12:41:706,1,6,1,1,72,91,6,0 62,0,2024-09-07 08:12:41:716,2684,0.3,2797,0.6,5425,0.3,7469,1.50 62,1,2024-09-07 08:12:41:130,10468,10468,0,0,5651048661,58490548,10305,161,2,369,391491,0 62,2,2024-09-07 08:12:41:657,8805,8805,0,0,513867,0,2030 62,3,2024-09-07 08:12:41:146,1,6,1,1,28,45,6,0 63,0,2024-09-07 08:12:41:481,824,0.1,837,0.3,1597,0.1,1826,1.25 63,1,2024-09-07 08:12:40:807,10692,10692,0,0,5455883024,57145454,10551,141,0,382,391489,0 63,2,2024-09-07 08:12:40:768,8408,8408,0,0,484562,0,1769 63,3,2024-09-07 08:12:41:737,1,6,1,1,216,251,6,0 64,0,2024-09-07 08:12:41:526,730,0.1,744,0.3,1475,0.1,1693,1.25 64,1,2024-09-07 08:12:40:760,10390,10390,0,0,5496015774,58954427,9894,442,54,374,391486,0 64,2,2024-09-07 08:12:41:153,6764,6764,0,0,488043,0,2510 64,3,2024-09-07 08:12:41:146,1,6,20,8,20,80,6,0 65,0,2024-09-07 08:12:41:722,940,0.2,979,0.3,1871,0.1,1984,1.50 65,1,2024-09-07 08:12:40:870,10449,10449,0,0,5062092293,54839768,10008,435,6,385,391481,0 65,2,2024-09-07 08:12:41:698,6646,6646,0,0,414747,0,2374 65,3,2024-09-07 08:12:41:685,1,6,1,1,122,199,6,0 66,0,2024-09-07 08:12:41:773,2293,0.3,2386,0.4,4740,0.2,6483,1.50 66,1,2024-09-07 08:12:41:295,10726,10726,0,0,5366069881,56712355,10429,281,16,382,391537,0 66,2,2024-09-07 08:12:41:132,8519,8519,0,0,611813,0,2934 66,3,2024-09-07 08:12:41:085,1,6,1,1,45,59,6,0 67,0,2024-09-07 08:12:41:469,756,0.1,762,0.3,1533,0.1,1665,1.25 67,1,2024-09-07 08:12:40:767,10243,10242,0,1,5238018559,54913174,10206,36,0,383,391567,1 67,2,2024-09-07 08:12:40:587,7720,7720,0,0,382936,0,1499 67,3,2024-09-07 08:12:41:751,1,6,1,1,4,13,6,0 68,0,2024-09-07 08:12:40:638,1606,0.4,1646,0.9,3276,0.3,4271,2.00 68,1,2024-09-07 08:12:40:576,9916,9916,0,0,5278321893,60330072,9048,423,445,386,391482,0 68,2,2024-09-07 08:12:41:058,7006,7006,0,0,1046225,0,4883 68,3,2024-09-07 08:12:40:729,1,6,14,8,21,78,6,0 69,0,2024-09-07 08:12:41:763,1528,0.8,1488,1.1,3069,0.9,4129,2.25 69,1,2024-09-07 08:12:41:018,9699,9699,0,0,4837979565,60026535,8379,458,862,387,391483,0 69,2,2024-09-07 08:12:41:743,7700,7700,0,0,565606,0,2462 69,3,2024-09-07 08:12:40:760,1,6,20,1,20,53,6,0 70,0,2024-09-07 08:12:41:545,2192,7.4,2321,7.8,4181,3.9,6182,5.00 70,1,2024-09-07 08:12:40:807,10434,10434,0,0,5215211778,55472558,10222,195,17,371,391527,0 70,2,2024-09-07 08:12:41:329,6553,6553,0,0,611182,0,1937 70,3,2024-09-07 08:12:40:753,1,6,1,1,6,15,6,0 71,0,2024-09-07 08:12:41:365,1524,4.3,1572,3.9,2922,4.2,4992,7.25 71,1,2024-09-07 08:12:41:613,10163,10163,0,0,5179550941,57218884,9477,561,125,371,391484,0 71,2,2024-09-07 08:12:41:072,7630,7630,0,0,793055,0,2146 71,3,2024-09-07 08:12:41:753,1,6,2,1,93,129,6,0 72,0,2024-09-07 08:12:41:065,2006,0.6,2003,0.8,3922,0.4,5337,3.25 72,1,2024-09-07 08:12:41:032,10244,10244,0,0,5151127390,56191259,9742,501,1,373,391496,0 72,2,2024-09-07 08:12:41:757,8372,8372,0,0,811425,0,2043 72,3,2024-09-07 08:12:41:754,1,6,12,8,13,67,6,0 73,0,2024-09-07 08:12:41:147,1162,0.3,1098,0.6,2271,0.2,2784,2.25 73,1,2024-09-07 08:12:40:778,10245,10245,0,0,5302716505,55965530,10196,49,0,371,391485,0 73,2,2024-09-07 08:12:41:741,8301,8301,0,0,1042952,0,3482 73,3,2024-09-07 08:12:40:986,1,6,14,3,92,122,6,0 74,0,2024-09-07 08:12:41:330,1872,0.4,1908,0.8,3651,0.3,4923,2.75 74,1,2024-09-07 08:12:40:637,10385,10385,0,0,4922796846,52672370,10130,253,2,385,391494,0 74,2,2024-09-07 08:12:41:002,4658,4658,0,0,507366,0,2736 74,3,2024-09-07 08:12:41:454,1,6,14,10,192,527,6,0 75,0,2024-09-07 08:12:41:785,2450,1.2,2396,1.4,4842,1.5,6799,3.50 75,1,2024-09-07 08:12:41:597,10479,10479,0,0,5091771627,56028073,10014,414,51,384,391508,0 75,2,2024-09-07 08:12:41:351,8684,8684,0,0,770366,0,2676 75,3,2024-09-07 08:12:41:070,1,6,1,1,8,14,6,0 76,0,2024-09-07 08:12:40:617,920,0.1,910,0.2,1776,0.1,2208,1.25 76,1,2024-09-07 08:12:40:821,10441,10441,0,0,4747903133,49524200,10434,7,0,386,391484,0 76,2,2024-09-07 08:12:41:064,9047,9047,0,0,300982,0,1006 76,3,2024-09-07 08:12:41:142,1,6,12,11,22,88,6,0 77,0,2024-09-07 08:12:41:731,848,0.1,857,0.2,1620,0.1,1859,1.25 77,1,2024-09-07 08:12:40:832,10545,10545,0,0,4682658298,48771039,10511,34,0,383,391491,0 77,2,2024-09-07 08:12:41:286,7312,7312,0,0,335129,0,1637 77,3,2024-09-07 08:12:41:097,1,6,2,1,29,36,6,0 78,0,2024-09-07 08:12:41:735,399,0.1,399,0.2,767,0.1,710,1.25 78,1,2024-09-07 08:12:40:618,10455,10455,0,0,4408682113,46431392,10389,66,0,371,391480,0 78,2,2024-09-07 08:12:41:428,5824,5824,0,0,130841,0,561 78,3,2024-09-07 08:12:41:138,1,6,112,1,112,137,6,0 79,0,2024-09-07 08:12:41:508,2205,0.3,2221,0.4,4545,0.2,6434,1.50 79,1,2024-09-07 08:12:40:583,10420,10420,0,0,5319301004,54902101,10406,14,0,372,391482,0 79,2,2024-09-07 08:12:41:076,8984,8984,0,0,295850,0,1210 79,3,2024-09-07 08:12:40:755,1,6,1,1,2,8,6,0 80,0,2024-09-07 08:12:41:111,679,0.2,696,0.3,1375,0.1,1544,1.50 80,1,2024-09-07 08:12:41:632,10296,10296,0,0,5141933571,55030754,9900,395,1,374,391673,0 80,2,2024-09-07 08:12:41:101,8117,8117,0,0,408898,0,993 80,3,2024-09-07 08:12:40:584,1,6,8,8,72,144,6,0 81,0,2024-09-07 08:12:41:545,1186,0.2,1191,0.4,2327,0.1,2855,1.50 81,1,2024-09-07 08:12:41:653,10439,10439,0,0,4639610835,48968100,10391,48,0,383,391488,0 81,2,2024-09-07 08:12:41:142,6462,6462,0,0,548551,0,2811 81,3,2024-09-07 08:12:41:130,1,6,8,1,39,62,6,0 82,0,2024-09-07 08:12:41:543,1714,0.2,1647,0.5,3418,0.2,4510,1.75 82,1,2024-09-07 08:12:40:591,10341,10341,0,0,4986655436,53046159,10141,194,6,385,391489,0 82,2,2024-09-07 08:12:41:694,7369,7369,0,0,345960,0,1004 82,3,2024-09-07 08:12:41:759,1,6,9,1,65,82,6,0 83,0,2024-09-07 08:12:41:587,1811,0.3,1782,0.6,3565,0.3,5102,1.50 83,1,2024-09-07 08:12:40:569,10027,10027,0,0,5080188442,55439244,9469,479,79,384,391494,0 83,2,2024-09-07 08:12:40:780,7544,7544,0,0,495048,0,2512 83,3,2024-09-07 08:12:40:755,1,6,2,1,9,19,6,0 84,0,2024-09-07 08:12:41:788,2119,10.0,2238,10.0,3179,7.8,6055,6.50 84,1,2024-09-07 08:12:41:053,9969,9969,0,0,4999267490,55970671,9217,637,115,371,391538,0 84,2,2024-09-07 08:12:40:577,7289,7289,0,0,1132893,0,3801 84,3,2024-09-07 08:12:41:151,1,6,9,8,13,63,6,0 85,0,2024-09-07 08:12:41:036,1734,0.6,1779,0.9,3655,0.6,4862,2.25 85,1,2024-09-07 08:12:40:568,10017,10017,0,0,4963461082,57349088,8932,809,276,384,391498,0 85,2,2024-09-07 08:12:40:874,7509,7509,0,0,962451,0,3656 85,3,2024-09-07 08:12:40:701,1,6,6,1,7,25,6,0 86,0,2024-09-07 08:12:40:906,1063,0.3,1100,0.6,2128,0.2,2797,1.75 86,1,2024-09-07 08:12:40:826,10223,10223,0,0,5226214466,58101334,9532,663,28,371,391491,0 86,2,2024-09-07 08:12:40:873,7639,7638,1,0,1109574,0,5004 86,3,2024-09-07 08:12:40:591,1,6,15,1,19,58,6,0 87,0,2024-09-07 08:12:41:341,1601,3.9,1663,2.6,3328,5.8,4907,2.50 87,1,2024-09-07 08:12:40:553,10168,10168,0,0,5176858751,56351850,9695,425,48,369,391551,0 87,2,2024-09-07 08:12:41:090,5282,5282,0,0,411784,0,2148 87,3,2024-09-07 08:12:41:796,1,6,40,1,40,58,6,0 88,0,2024-09-07 08:12:41:495,2043,0.4,2050,0.7,4139,0.4,5510,1.75 88,1,2024-09-07 08:12:40:581,10312,10312,0,0,5512314814,58452320,10220,92,0,370,391747,0 88,2,2024-09-07 08:12:40:717,8364,8364,0,0,647136,0,2675 88,3,2024-09-07 08:12:41:273,1,6,13,8,13,64,6,0 89,0,2024-09-07 08:12:41:847,1357,0.9,1328,0.9,2589,1.0,3628,3.00 89,1,2024-09-07 08:12:40:558,9818,9818,0,0,5341169448,61202913,8867,445,506,384,391549,0 89,2,2024-09-07 08:12:41:135,8848,8848,0,0,888088,0,2564 89,3,2024-09-07 08:12:41:819,1,6,55,4,55,86,6,0 90,0,2024-09-07 08:12:41:640,793,0.3,784,0.5,1621,0.2,1958,1.75 90,1,2024-09-07 08:12:40:597,10206,10206,0,0,5299726355,57968000,9706,482,18,383,391522,0 90,2,2024-09-07 08:12:41:418,7984,7984,0,0,719509,0,2225 90,3,2024-09-07 08:12:40:935,1,6,3,1,10,29,6,0 91,0,2024-09-07 08:12:40:944,1117,1.1,1115,1.0,2286,1.1,3122,3.75 91,1,2024-09-07 08:12:40:562,10455,10455,0,0,5305743281,57699764,10040,346,69,385,391503,0 91,2,2024-09-07 08:12:41:333,4828,4828,0,0,378811,0,1997 91,3,2024-09-07 08:12:40:607,1,6,1,1,25,66,6,0 92,0,2024-09-07 08:12:41:458,2748,0.4,2683,0.6,5454,0.5,7617,1.50 92,1,2024-09-07 08:12:40:587,10472,10472,0,0,4960067747,52643092,10176,250,46,383,391557,0 92,2,2024-09-07 08:12:41:357,9168,9168,0,0,492335,0,2010 92,3,2024-09-07 08:12:41:028,1,6,18,8,21,86,6,0 93,0,2024-09-07 08:12:40:990,782,0.1,787,0.2,1538,0.1,1805,1.25 93,1,2024-09-07 08:12:40:811,10528,10528,0,0,5485421480,56884422,10484,43,1,371,391689,0 93,2,2024-09-07 08:12:40:932,8863,8863,0,0,284505,0,882 93,3,2024-09-07 08:12:41:413,1,6,2,2,143,190,6,0 94,0,2024-09-07 08:12:41:621,774,0.2,737,0.3,1491,0.1,1722,1.25 94,1,2024-09-07 08:12:40:565,10419,10419,0,0,5380197279,56426788,10283,135,1,383,391554,0 94,2,2024-09-07 08:12:40:764,6701,6701,0,0,351845,0,1294 94,3,2024-09-07 08:12:41:694,1,6,2,1,231,375,6,0 95,0,2024-09-07 08:12:41:395,971,0.2,1035,0.3,1937,0.1,2012,1.25 95,1,2024-09-07 08:12:40:857,10378,10378,0,0,5115718426,52969959,10375,2,1,369,391590,0 95,2,2024-09-07 08:12:41:016,6792,6792,0,0,226943,0,943 95,3,2024-09-07 08:12:41:720,1,6,2,1,12,26,6,0 96,0,2024-09-07 08:12:41:054,2435,0.5,2427,0.7,4726,0.5,6512,1.75 96,1,2024-09-07 08:12:41:600,10612,10612,0,0,5557083352,58947938,10501,110,1,386,391551,0 96,2,2024-09-07 08:12:41:276,8455,8455,0,0,399763,0,1251 96,3,2024-09-07 08:12:41:141,1,6,14,8,30,85,6,0 97,0,2024-09-07 08:12:41:339,742,0.2,739,0.3,1507,0.1,1644,1.50 97,1,2024-09-07 08:12:40:801,10324,10324,0,0,5445985524,57103040,10092,226,6,370,391489,0 97,2,2024-09-07 08:12:40:612,7450,7450,0,0,415962,0,1663 97,3,2024-09-07 08:12:40:576,1,6,4,1,77,129,6,0 98,0,2024-09-07 08:12:41:720,1669,0.2,1668,0.4,3218,0.2,4311,1.50 98,1,2024-09-07 08:12:40:576,10140,10140,0,0,4989680933,52808058,10076,63,1,384,391588,0 98,2,2024-09-07 08:12:40:773,7270,7270,0,0,527787,0,2410 98,3,2024-09-07 08:12:40:713,1,6,3,3,155,182,6,0 99,0,2024-09-07 08:12:41:511,1491,0.2,1471,0.4,2969,0.2,4100,1.50 99,1,2024-09-07 08:12:41:749,10645,10645,0,0,5312103880,55571294,10601,43,1,385,391519,0 99,2,2024-09-07 08:12:41:468,7879,7879,0,0,408061,0,1388 99,3,2024-09-07 08:12:40:583,1,6,26,1,26,79,6,0 100,0,2024-09-07 08:12:41:516,2210,4.1,2183,7.9,4121,7.0,5979,5.25 100,1,2024-09-07 08:12:40:555,10201,10201,0,0,4854604684,56920226,9076,878,247,384,391505,0 100,2,2024-09-07 08:12:41:819,6459,6448,11,0,1142682,0,5417 100,3,2024-09-07 08:12:41:735,1,6,12,11,443,554,6,0 101,0,2024-09-07 08:12:41:730,1616,4.3,1668,3.7,3128,4.8,5222,4.00 101,1,2024-09-07 08:12:40:564,9898,9898,0,0,5163990509,59542701,8953,521,424,374,391516,0 101,2,2024-09-07 08:12:41:776,7613,7613,0,0,1284492,0,4644 101,3,2024-09-07 08:12:40:945,1,6,6,1,448,514,6,0 102,0,2024-09-07 08:12:40:968,1945,1.6,2002,1.3,4009,1.4,5279,2.75 102,1,2024-09-07 08:12:41:146,10530,10530,0,0,5390723058,58611073,10192,338,0,371,391621,0 102,2,2024-09-07 08:12:41:749,8461,8461,0,0,639624,0,1699 102,3,2024-09-07 08:12:41:619,1,6,3,3,410,505,6,0 103,0,2024-09-07 08:12:41:600,1120,0.4,1094,0.7,2194,0.2,2745,2.00 103,1,2024-09-07 08:12:41:645,10383,10383,0,0,5366599855,57626300,10081,255,47,385,391593,0 103,2,2024-09-07 08:12:40:585,8317,8317,0,0,706124,0,1484 103,3,2024-09-07 08:12:40:755,1,6,12,1,24,51,6,0 104,0,2024-09-07 08:12:41:021,1842,0.7,1887,0.9,3631,0.4,4919,2.00 104,1,2024-09-07 08:12:41:606,10336,10336,0,0,5322894059,57438087,10005,317,14,369,391948,0 104,2,2024-09-07 08:12:41:667,4688,4688,0,0,243911,0,1902 104,3,2024-09-07 08:12:41:424,1,6,14,8,14,67,6,0 105,0,2024-09-07 08:12:41:050,2423,0.8,2348,1.7,4867,1.4,6702,2.25 105,1,2024-09-07 08:12:40:575,10091,10091,0,0,5026244686,56091569,9254,674,163,369,391797,0 105,2,2024-09-07 08:12:41:334,8658,8658,0,0,550011,0,1506 105,3,2024-09-07 08:12:41:304,1,6,2,1,11,28,6,0 106,0,2024-09-07 08:12:40:973,890,0.3,912,0.5,1812,0.2,2199,2.00 106,1,2024-09-07 08:12:41:761,10475,10475,0,0,4851505478,52053370,10274,200,1,373,391503,0 106,2,2024-09-07 08:12:40:765,8727,8727,0,0,721524,0,1600 106,3,2024-09-07 08:12:40:691,1,6,171,1,171,188,6,0 107,0,2024-09-07 08:12:41:125,804,0.3,820,0.5,1603,0.2,1823,1.75 107,1,2024-09-07 08:12:40:604,10186,10186,0,0,5121569223,55800680,9653,423,110,383,391848,0 107,2,2024-09-07 08:12:41:307,7151,7150,1,0,788924,0,5024 107,3,2024-09-07 08:12:41:760,1,6,10,2,75,134,6,0 108,0,2024-09-07 08:12:41:889,396,0.2,364,0.2,745,0.1,705,1.50 108,1,2024-09-07 08:12:41:302,10405,10405,0,0,5112722681,53977532,10091,239,75,372,391563,0 108,2,2024-09-07 08:12:41:777,5730,5730,0,0,154084,0,593 108,3,2024-09-07 08:12:41:348,1,6,14,10,18,81,6,0 109,0,2024-09-07 08:12:41:833,2295,0.3,2245,0.5,4444,0.3,6512,1.50 109,1,2024-09-07 08:12:40:607,10399,10399,0,0,5215674890,54475790,10379,20,0,386,391487,0 109,2,2024-09-07 08:12:40:925,8586,8586,0,0,281149,0,865 109,3,2024-09-07 08:12:41:142,1,6,16,1,102,200,6,0 110,0,2024-09-07 08:12:41:777,686,0.1,694,0.2,1434,0.1,1557,1.25 110,1,2024-09-07 08:12:41:646,10702,10702,0,0,5174417662,53180395,10700,2,0,372,391535,0 110,2,2024-09-07 08:12:41:304,8345,8345,0,0,433220,0,2234 110,3,2024-09-07 08:12:40:695,1,6,1,1,16,24,6,0 111,0,2024-09-07 08:12:41:443,1169,0.1,1169,0.2,2425,0.1,2839,1.25 111,1,2024-09-07 08:12:41:006,10483,10483,0,0,5344363431,54989729,10478,4,1,385,391482,0 111,2,2024-09-07 08:12:41:117,6764,6764,0,0,272916,0,1001 111,3,2024-09-07 08:12:40:917,1,6,89,2,89,166,6,0 112,0,2024-09-07 08:12:40:940,1683,1.2,1736,0.7,3535,0.3,4526,2.00 112,1,2024-09-07 08:12:40:831,10451,10451,0,0,5002003596,52653507,10425,26,0,384,391487,0 112,2,2024-09-07 08:12:41:133,7439,7439,0,0,373774,0,1331 112,3,2024-09-07 08:12:40:598,1,6,8,8,21,70,6,0 113,0,2024-09-07 08:12:40:900,1756,0.5,1731,0.8,3656,0.5,5100,1.75 113,1,2024-09-07 08:12:41:689,10358,10358,0,0,5643315073,59689809,10113,245,0,370,391661,0 113,2,2024-09-07 08:12:41:304,7720,7720,0,0,355457,0,1275 113,3,2024-09-07 08:12:40:690,1,6,1,1,130,241,6,0 114,0,2024-09-07 08:12:40:882,2606,7.9,2107,7.2,4273,5.3,6543,3.50 114,1,2024-09-07 08:12:40:730,10522,10522,0,0,5043584407,53095449,10370,152,0,383,391513,0 114,2,2024-09-07 08:12:40:873,7646,7646,0,0,346222,0,1841 114,3,2024-09-07 08:12:41:296,1,6,1,1,159,167,6,0 115,0,2024-09-07 08:12:40:569,1787,0.3,1844,0.8,3612,0.3,4904,1.75 115,1,2024-09-07 08:12:40:576,10203,10203,0,0,5556731681,59589123,9775,321,107,384,391495,0 115,2,2024-09-07 08:12:41:130,8074,8074,0,0,379384,0,1535 115,3,2024-09-07 08:12:41:016,1,6,9,1,40,69,6,0 116,0,2024-09-07 08:12:41:834,1068,0.3,1099,0.5,2204,0.2,2819,1.50 116,1,2024-09-07 08:12:40:806,9844,9844,0,0,4733584854,56600190,8781,227,836,386,391495,0 116,2,2024-09-07 08:12:41:757,8256,8256,0,0,652647,0,2154 116,3,2024-09-07 08:12:40:935,1,6,11,8,11,53,6,0 117,0,2024-09-07 08:12:41:036,1692,3.0,1661,2.0,3282,3.7,4897,2.50 117,1,2024-09-07 08:12:41:625,10313,10313,0,0,5035369078,54947233,9920,392,1,372,391523,0 117,2,2024-09-07 08:12:41:126,5397,5397,0,0,735984,0,3700 117,3,2024-09-07 08:12:41:075,1,6,2,1,490,928,6,0 118,0,2024-09-07 08:12:41:803,1970,0.4,1979,0.7,4148,0.4,5497,1.75 118,1,2024-09-07 08:12:40:606,10241,10241,0,0,4640447946,51000248,9933,307,1,371,391484,0 118,2,2024-09-07 08:12:41:611,8096,8096,0,0,718909,0,1435 118,3,2024-09-07 08:12:41:781,1,6,4,2,34,91,6,0 119,0,2024-09-07 08:12:41:367,1284,0.3,1299,0.6,2666,0.2,3676,1.75 119,1,2024-09-07 08:12:40:555,9986,9986,0,0,5277786612,59275083,9156,701,129,372,391518,0 119,2,2024-09-07 08:12:41:264,8923,8923,0,0,762723,0,2222 119,3,2024-09-07 08:12:41:335,1,6,1,1,4,11,6,0 120,0,2024-09-07 08:12:41:581,791,0.3,819,0.5,1594,0.2,1972,1.75 120,1,2024-09-07 08:12:40:889,10094,10094,0,0,4702332569,50635297,9809,279,6,374,391546,0 120,2,2024-09-07 08:12:40:790,7987,7987,0,0,880049,0,2332 120,3,2024-09-07 08:12:41:301,1,6,13,8,13,61,6,0 121,0,2024-09-07 08:12:41:707,1152,0.5,1179,0.8,2304,0.5,3229,1.75 121,1,2024-09-07 08:12:41:666,10035,10035,0,0,5311794719,58854567,9334,467,234,372,391633,0 121,2,2024-09-07 08:12:41:130,4858,4858,0,0,456703,0,2570 121,3,2024-09-07 08:12:40:727,1,6,6,1,71,113,6,0 122,0,2024-09-07 08:12:41:796,2363,6.9,2417,6.5,5141,7.3,7558,3.25 122,1,2024-09-07 08:12:40:882,10189,10189,0,0,5362050263,58396379,9760,411,18,372,392130,0 122,2,2024-09-07 08:12:41:337,8674,8674,0,0,974827,0,2574 122,3,2024-09-07 08:12:40:594,1,6,4,4,226,532,6,0 123,0,2024-09-07 08:12:41:010,799,0.3,780,0.5,1593,0.2,1830,1.75 123,1,2024-09-07 08:12:40:562,9964,9964,0,0,5275152741,59727365,8914,839,211,373,391488,0 123,2,2024-09-07 08:12:41:022,8634,8634,0,0,609908,0,1528 123,3,2024-09-07 08:12:41:134,1,6,5,2,134,211,6,0 124,0,2024-09-07 08:12:40:958,755,0.1,739,0.2,1455,0.1,1707,1.25 124,1,2024-09-07 08:12:41:032,10604,10604,0,0,5239917374,54238451,10601,3,0,372,391483,0 124,2,2024-09-07 08:12:41:026,6786,6786,0,0,395198,0,2477 124,3,2024-09-07 08:12:40:759,1,6,8,8,29,100,6,0 125,0,2024-09-07 08:12:41:435,987,0.2,955,0.3,1929,0.1,2004,1.50 125,1,2024-09-07 08:12:40:860,10474,10474,0,0,5279626917,54839155,10458,14,2,386,391502,0 125,2,2024-09-07 08:12:41:138,6754,6754,0,0,234557,0,791 125,3,2024-09-07 08:12:41:128,1,6,43,3,67,146,6,0 126,0,2024-09-07 08:12:41:454,2342,0.2,2422,0.7,4703,0.3,6457,1.50 126,1,2024-09-07 08:12:40:610,10366,10366,0,0,5345872062,55588974,10328,35,3,369,391719,0 126,2,2024-09-07 08:12:40:617,8773,8773,0,0,304003,0,750 126,3,2024-09-07 08:12:40:915,1,6,17,1,20,47,6,0 127,0,2024-09-07 08:12:41:647,729,0.2,770,0.2,1511,0.1,1651,1.25 127,1,2024-09-07 08:12:40:574,10505,10505,0,0,4831566545,49780292,10496,8,1,366,391483,0 127,2,2024-09-07 08:12:40:639,7837,7837,0,0,276257,0,1007 127,3,2024-09-07 08:12:41:273,1,6,3,2,19,51,6,0 128,0,2024-09-07 08:12:41:548,1595,0.4,1606,0.7,3245,0.3,4263,2.00 128,1,2024-09-07 08:12:41:607,10177,10177,0,0,5194528684,54234687,10133,43,1,370,391487,0 128,2,2024-09-07 08:12:41:384,7135,7135,0,0,565712,0,1712 128,3,2024-09-07 08:12:40:775,1,6,13,7,19,69,6,0 129,0,2024-09-07 08:12:41:024,1477,0.6,1509,0.7,2912,0.6,4086,2.25 129,1,2024-09-07 08:12:40:572,10347,10347,0,0,5497318816,57625098,10234,112,1,383,391752,0 129,2,2024-09-07 08:12:40:696,7826,7826,0,0,431318,0,2289 129,3,2024-09-07 08:12:40:698,1,6,6,1,24,50,6,0 130,0,2024-09-07 08:12:41:732,2402,2.6,2341,2.1,4678,3.6,6408,2.75 130,1,2024-09-07 08:12:40:591,10317,10317,0,0,5736317805,60632054,10117,199,1,383,391516,0 130,2,2024-09-07 08:12:41:134,6725,6725,0,0,264998,0,1019 130,3,2024-09-07 08:12:41:291,1,6,1,1,11,17,6,0 131,0,2024-09-07 08:12:41:958,1808,3.1,1810,2.9,3603,4.9,5876,3.75 131,1,2024-09-07 08:12:41:829,10415,10415,0,0,5303100442,56085374,10361,53,1,385,391727,0 131,2,2024-09-07 08:12:40:576,7789,7789,0,0,290356,0,1078 131,3,2024-09-07 08:12:41:693,1,6,1,1,23,48,6,0 132,0,2024-09-07 08:12:41:445,1921,0.7,1954,1.6,4006,1.0,5317,2.25 132,1,2024-09-07 08:12:40:614,9735,9735,0,0,4524240193,52016458,8783,882,70,383,391487,0 132,2,2024-09-07 08:12:40:708,8095,8095,0,0,2269824,0,4606 132,3,2024-09-07 08:12:41:690,1,6,14,8,356,445,6,0 133,0,2024-09-07 08:12:41:555,1089,0.3,1093,0.7,2232,0.2,2714,1.75 133,1,2024-09-07 08:12:40:584,10037,10037,0,0,4807098124,53457397,9362,672,3,387,391492,0 133,2,2024-09-07 08:12:41:088,8290,8290,0,0,644299,0,1707 133,3,2024-09-07 08:12:41:298,1,6,3,1,18,34,6,0 134,0,2024-09-07 08:12:40:983,1831,0.6,1800,1.4,3647,0.8,4866,2.25 134,1,2024-09-07 08:12:40:622,9681,9681,0,0,4950637587,59431323,8312,623,746,369,391508,0 134,2,2024-09-07 08:12:41:759,4662,4662,0,0,315337,0,1739 134,3,2024-09-07 08:12:40:769,1,6,39,1,63,145,6,0 135,0,2024-09-07 08:12:41:180,2258,5.1,2321,4.7,4708,4.2,6330,4.50 135,1,2024-09-07 08:12:41:612,10394,10394,0,0,5404445283,58170439,10035,343,16,384,391491,0 135,2,2024-09-07 08:12:40:708,8564,8564,0,0,950974,0,3016 135,3,2024-09-07 08:12:41:015,1,6,68,1,68,176,6,0 136,0,2024-09-07 08:12:41:627,933,0.2,902,0.4,1809,0.1,2206,1.75 136,1,2024-09-07 08:12:41:466,10467,10467,0,0,5253106584,59295394,9576,753,138,386,391501,0 136,2,2024-09-07 08:12:41:134,9096,9096,0,0,438813,0,1490 136,3,2024-09-07 08:12:41:112,1,6,12,2,20,64,6,0 137,0,2024-09-07 08:12:40:965,828,0.2,843,0.3,1580,0.1,1796,1.75 137,1,2024-09-07 08:12:40:597,10365,10365,0,0,4856352620,52894179,10002,357,6,370,391489,0 137,2,2024-09-07 08:12:41:707,7026,7026,0,0,774521,0,2294 137,3,2024-09-07 08:12:40:774,1,6,13,1,13,47,6,0 138,0,2024-09-07 08:12:41:750,390,0.2,402,0.4,784,0.2,673,1.75 138,1,2024-09-07 08:12:41:695,10205,10205,0,0,5495807097,59270376,9776,333,96,371,391572,0 138,2,2024-09-07 08:12:40:586,5585,5585,0,0,703464,0,3263 138,3,2024-09-07 08:12:40:618,1,6,3,1,4,12,6,0 139,0,2024-09-07 08:12:41:396,2140,3.5,2215,2.1,4368,4.6,6220,2.00 139,1,2024-09-07 08:12:40:589,10137,10137,0,0,4865683080,57327794,9043,614,480,386,391511,0 139,2,2024-09-07 08:12:40:707,8812,8812,0,0,825085,0,2233 139,3,2024-09-07 08:12:41:662,1,6,4,2,45,61,6,0 140,0,2024-09-07 08:12:41:596,689,0.1,713,0.3,1379,0.1,1566,1.50 140,1,2024-09-07 08:12:41:540,10616,10616,0,0,5246857686,53683839,10615,1,0,367,391482,0 140,2,2024-09-07 08:12:40:692,7987,7987,0,0,585897,0,2116 140,3,2024-09-07 08:12:40:768,1,6,8,8,13,56,6,0 141,0,2024-09-07 08:12:41:738,1126,0.2,1198,0.3,2351,0.1,2815,1.50 141,1,2024-09-07 08:12:40:868,10716,10716,0,0,5550654095,57007098,10716,0,0,383,391511,0 141,2,2024-09-07 08:12:41:706,6784,6784,0,0,364040,0,1869 141,3,2024-09-07 08:12:41:044,1,6,5,0,7,23,6,0 142,0,2024-09-07 08:12:41:333,1724,0.2,1772,0.6,3534,0.2,4534,1.75 142,1,2024-09-07 08:12:40:598,10485,10485,0,0,4906385157,51197668,10477,8,0,385,391483,0 142,2,2024-09-07 08:12:41:304,7234,7234,0,0,387856,0,2000 142,3,2024-09-07 08:12:41:759,1,6,6,1,11,33,6,0 143,0,2024-09-07 08:12:41:404,1734,0.7,1801,0.8,3581,0.9,5086,1.75 143,1,2024-09-07 08:12:40:559,10288,10288,0,0,5352179305,55945455,10116,172,0,371,391492,0 143,2,2024-09-07 08:12:40:777,7601,7601,0,0,473453,0,2572 143,3,2024-09-07 08:12:41:144,1,6,3,1,219,251,6,0 144,0,2024-09-07 08:12:41:529,1700,9.5,2580,10.0,3286,8.0,6275,3.50 144,1,2024-09-07 08:12:40:567,10211,10211,0,0,5129684515,55245755,9897,275,39,384,391557,0 144,2,2024-09-07 08:12:41:761,7563,7563,0,0,326856,0,1140 144,3,2024-09-07 08:12:41:750,1,6,12,8,99,173,6,0 145,0,2024-09-07 08:12:41:369,1801,0.6,1799,1.0,3669,0.5,4863,2.25 145,1,2024-09-07 08:12:40:556,10368,10368,0,0,4905441162,52856683,10201,167,0,386,391603,0 145,2,2024-09-07 08:12:41:444,7693,7693,0,0,1434523,0,3903 145,3,2024-09-07 08:12:40:934,1,6,115,5,151,338,6,0 146,0,2024-09-07 08:12:41:677,1076,0.3,1082,0.7,2188,0.2,2828,2.00 146,1,2024-09-07 08:12:41:589,10343,10343,0,0,5234168810,58832851,9461,702,180,371,391485,0 146,2,2024-09-07 08:12:41:695,8120,8120,0,0,688470,0,2149 146,3,2024-09-07 08:12:41:304,1,6,1,0,54,105,6,0 147,0,2024-09-07 08:12:41:716,1555,4.6,1560,3.3,3325,7.1,4936,4.75 147,1,2024-09-07 08:12:41:378,10483,10483,0,0,5048719274,52229062,10468,13,2,370,391598,0 147,2,2024-09-07 08:12:41:013,5546,5546,0,0,156429,0,975 147,3,2024-09-07 08:12:40:924,1,6,8,2,141,231,6,0 0,0,2024-09-07 08:12:51:736,990,0.2,966,0.4,1987,0.2,2466,1.50 0,1,2024-09-07 08:12:50:809,11735,11735,0,0,5979186046,65650338,11237,393,105,374,391658,0 0,2,2024-09-07 08:12:51:072,9336,9336,0,0,445500,0,951 0,3,2024-09-07 08:12:50:974,1,7,19,3,19,76,7,0 1,0,2024-09-07 08:12:51:817,1484,0.3,1443,0.6,2950,0.3,4070,1.75 1,1,2024-09-07 08:12:50:776,11915,11915,0,0,5675886649,61270899,11588,294,33,374,391486,0 1,2,2024-09-07 08:12:50:658,5565,5565,0,0,300127,0,1321 1,3,2024-09-07 08:12:51:313,1,7,2,2,36,106,7,0 2,0,2024-09-07 08:12:51:578,3200,0.8,3253,1.4,6193,1.2,8833,1.75 2,1,2024-09-07 08:12:50:865,11964,11964,0,0,5990984058,63074090,11886,78,0,384,391479,0 2,2,2024-09-07 08:12:51:268,10247,10247,0,0,939207,0,3304 2,3,2024-09-07 08:12:50:697,1,7,1,1,87,186,7,0 3,0,2024-09-07 08:12:51:778,909,0.2,925,0.3,1881,0.1,2170,1.50 3,1,2024-09-07 08:12:51:624,11902,11902,0,0,5614611916,59373536,11775,127,0,383,391493,0 3,2,2024-09-07 08:12:51:143,9929,9929,0,0,681298,0,2192 3,3,2024-09-07 08:12:51:757,1,7,6,1,23,47,7,0 4,0,2024-09-07 08:12:51:831,859,0.3,838,0.5,1757,0.2,2061,1.75 4,1,2024-09-07 08:12:50:637,11848,11848,0,0,5373555840,60951845,10883,622,343,374,391525,0 4,2,2024-09-07 08:12:51:049,7736,7736,0,0,1383872,0,4528 4,3,2024-09-07 08:12:51:036,1,7,16,9,21,102,7,0 5,0,2024-09-07 08:12:51:435,949,0.3,978,0.5,1927,0.2,2050,1.75 5,1,2024-09-07 08:12:50:768,11975,11975,0,0,6007631594,65607609,11461,423,91,373,391503,0 5,2,2024-09-07 08:12:51:833,7409,7409,0,0,544802,0,1912 5,3,2024-09-07 08:12:51:734,1,7,1,1,17,35,7,0 6,0,2024-09-07 08:12:50:925,2735,0.8,2662,1.6,5480,1.1,7363,2.25 6,1,2024-09-07 08:12:50:754,11961,11961,0,0,5958440235,63641531,11729,228,4,382,391483,0 6,2,2024-09-07 08:12:51:116,9950,9950,0,0,860731,0,1686 6,3,2024-09-07 08:12:51:275,1,7,32,2,277,499,7,0 7,0,2024-09-07 08:12:51:543,819,0.2,817,0.4,1732,0.1,1894,1.75 7,1,2024-09-07 08:12:50:856,12042,12042,0,0,6075722816,65073390,11834,195,13,386,391480,0 7,2,2024-09-07 08:12:50:776,8899,8899,0,0,902248,0,2981 7,3,2024-09-07 08:12:50:859,1,7,1,1,40,79,7,0 8,0,2024-09-07 08:12:51:455,1850,0.3,1861,0.5,3650,0.2,4845,1.75 8,1,2024-09-07 08:12:51:026,11483,11483,0,0,6144130920,72287776,9855,879,749,370,391724,0 8,2,2024-09-07 08:12:50:798,7983,7983,0,0,852769,0,2986 8,3,2024-09-07 08:12:50:590,1,7,9,8,18,81,7,0 9,0,2024-09-07 08:12:51:151,1873,0.4,1780,0.7,3655,0.2,4976,1.75 9,1,2024-09-07 08:12:50:580,11850,11850,0,0,5928387224,67583807,10755,630,465,373,391496,0 9,2,2024-09-07 08:12:51:088,8906,8906,0,0,617004,0,1631 9,3,2024-09-07 08:12:51:757,1,7,6,3,50,129,7,0 10,0,2024-09-07 08:12:51:612,2679,0.8,2620,0.9,5263,0.7,6912,2.00 10,1,2024-09-07 08:12:50:587,11783,11783,0,0,5723455776,66011572,10464,1125,194,384,391540,0 10,2,2024-09-07 08:12:50:776,7903,7903,0,0,794423,0,2932 10,3,2024-09-07 08:12:50:885,1,7,0,0,118,132,7,0 11,0,2024-09-07 08:12:51:014,2176,5.4,2194,3.7,4592,7.6,6993,3.50 11,1,2024-09-07 08:12:50:573,11500,11500,0,0,6054196500,65867607,10888,461,151,384,391483,0 11,2,2024-09-07 08:12:51:124,8933,8933,0,0,648171,0,1238 11,3,2024-09-07 08:12:51:298,1,7,1,1,62,188,7,0 12,0,2024-09-07 08:12:50:982,2315,0.3,2260,0.5,4628,0.2,6163,1.50 12,1,2024-09-07 08:12:50:945,12165,12165,0,0,5692544893,59322490,12147,16,2,372,391482,0 12,2,2024-09-07 08:12:51:542,9823,9823,0,0,682197,0,2254 12,3,2024-09-07 08:12:51:060,1,7,9,8,17,80,7,0 13,0,2024-09-07 08:12:51:370,1307,0.2,1296,0.4,2497,0.2,3178,1.50 13,1,2024-09-07 08:12:51:536,12407,12407,0,0,6502750828,67801985,12348,59,0,388,391516,0 13,2,2024-09-07 08:12:50:603,9757,9757,0,0,312165,0,1011 13,3,2024-09-07 08:12:51:766,1,7,1,1,153,219,7,0 14,0,2024-09-07 08:12:50:578,2155,0.2,2196,0.5,4141,0.2,5517,1.75 14,1,2024-09-07 08:12:51:563,12507,12507,0,0,5958257933,61392864,12497,10,0,365,391481,0 14,2,2024-09-07 08:12:50:771,5728,5728,0,0,253479,0,1934 14,3,2024-09-07 08:12:51:116,1,7,2,1,40,68,7,0 15,0,2024-09-07 08:12:51:580,2783,0.5,2802,1.0,5604,0.6,7637,2.00 15,1,2024-09-07 08:12:51:611,12044,12044,0,0,5393429630,56089927,12041,3,0,381,391481,0 15,2,2024-09-07 08:12:50:999,10332,10332,0,0,306582,0,993 15,3,2024-09-07 08:12:51:410,1,7,1,1,538,656,7,0 16,0,2024-09-07 08:12:51:005,1062,0.2,1058,0.3,2122,0.1,2672,1.50 16,1,2024-09-07 08:12:50:595,11984,11984,0,0,5648581845,60915801,11620,361,3,374,391543,0 16,2,2024-09-07 08:12:51:435,10014,10014,0,0,1213822,0,4719 16,3,2024-09-07 08:12:51:159,1,7,9,9,45,146,7,0 17,0,2024-09-07 08:12:51:832,946,0.2,940,0.3,1835,0.1,2124,1.50 17,1,2024-09-07 08:12:50:702,11987,11987,0,0,5985980645,65603283,11442,409,136,374,391671,0 17,2,2024-09-07 08:12:51:672,8739,8739,0,0,586874,0,1865 17,3,2024-09-07 08:12:50:612,1,7,15,1,15,54,7,0 18,0,2024-09-07 08:12:50:955,501,0.2,494,0.3,952,0.1,1018,1.50 18,1,2024-09-07 08:12:51:652,11919,11919,0,0,5583543010,59413312,11819,98,2,371,391494,0 18,2,2024-09-07 08:12:51:762,6230,6230,0,0,621305,0,2688 18,3,2024-09-07 08:12:50:904,1,7,18,1,142,202,7,0 19,0,2024-09-07 08:12:51:559,2751,1.1,2737,1.0,5349,1.6,7663,1.75 19,1,2024-09-07 08:12:50:578,12104,12104,0,0,6174203716,65504858,11809,270,25,370,391512,0 19,2,2024-09-07 08:12:51:778,10424,10424,0,0,504130,0,1134 19,3,2024-09-07 08:12:51:128,1,7,1,1,29,40,7,0 20,0,2024-09-07 08:12:51:370,828,0.3,840,0.4,1644,0.2,1856,1.75 20,1,2024-09-07 08:12:50:604,11956,11956,0,0,5840045100,63169753,11626,330,0,373,391488,0 20,2,2024-09-07 08:12:50:939,9583,9583,0,0,720336,0,1484 20,3,2024-09-07 08:12:50:621,1,7,99,9,99,204,7,0 21,0,2024-09-07 08:12:51:152,1296,0.2,1331,0.5,2538,0.2,3115,1.75 21,1,2024-09-07 08:12:51:538,11983,11983,0,0,5829605545,63905238,11448,511,24,373,391962,0 21,2,2024-09-07 08:12:51:073,7882,7882,0,0,904290,0,3747 21,3,2024-09-07 08:12:51:410,1,7,2,1,30,94,7,0 22,0,2024-09-07 08:12:51:744,1965,0.4,2041,0.8,3976,0.4,5097,1.75 22,1,2024-09-07 08:12:51:031,11863,11863,0,0,6103936897,69802030,10653,903,307,382,391488,0 22,2,2024-09-07 08:12:50:786,8499,8499,0,0,574501,0,1503 22,3,2024-09-07 08:12:51:069,1,7,44,0,48,96,7,0 23,0,2024-09-07 08:12:51:385,2167,0.6,2237,0.7,4375,0.6,6319,2.00 23,1,2024-09-07 08:12:51:004,12310,12310,0,0,5939537628,62626179,12171,139,0,371,391480,0 23,2,2024-09-07 08:12:51:092,9338,9338,0,0,650926,0,2078 23,3,2024-09-07 08:12:51:757,1,7,8,0,26,40,7,0 24,0,2024-09-07 08:12:50:864,2665,1.8,2625,2.1,5296,3.3,6799,2.00 24,1,2024-09-07 08:12:50:640,12170,12170,0,0,5527023520,59842178,11779,388,3,373,391540,0 24,2,2024-09-07 08:12:51:079,8674,8674,0,0,999142,0,2912 24,3,2024-09-07 08:12:51:686,1,7,16,8,22,94,7,0 25,0,2024-09-07 08:12:51:377,2082,0.3,2114,0.6,4077,0.2,5617,1.75 25,1,2024-09-07 08:12:50:599,12053,12053,0,0,5768178989,62317622,11714,338,1,374,391482,0 25,2,2024-09-07 08:12:51:616,9152,9152,0,0,740834,0,1436 25,3,2024-09-07 08:12:51:011,1,7,9,2,50,154,7,0 26,0,2024-09-07 08:12:51:735,1299,0.2,1270,0.4,2640,0.1,3428,1.50 26,1,2024-09-07 08:12:51:545,11868,11868,0,0,6155506962,70999148,10560,762,546,384,391480,0 26,2,2024-09-07 08:12:50:866,9411,9411,0,0,902544,0,2576 26,3,2024-09-07 08:12:51:715,1,7,3,1,796,836,7,0 27,0,2024-09-07 08:12:51:756,2122,0.5,2130,0.8,4410,0.5,6382,1.75 27,1,2024-09-07 08:12:51:681,12290,12290,0,0,6193460793,65281715,12198,91,1,382,391526,0 27,2,2024-09-07 08:12:50:868,6421,6421,0,0,991681,0,2975 27,3,2024-09-07 08:12:51:018,1,7,1,1,12,26,7,0 28,0,2024-09-07 08:12:51:404,2402,0.3,2331,0.5,4699,0.2,6246,1.75 28,1,2024-09-07 08:12:50:799,12550,12550,0,0,6582384304,67860549,12550,0,0,386,391488,0 28,2,2024-09-07 08:12:51:773,9230,9230,0,0,527287,0,2177 28,3,2024-09-07 08:12:51:778,1,7,12,8,13,67,7,0 29,0,2024-09-07 08:12:51:374,1682,0.4,1709,0.6,3240,0.4,4631,2.25 29,1,2024-09-07 08:12:51:577,12260,12260,0,0,6149463987,64765730,11855,233,172,371,391484,0 29,2,2024-09-07 08:12:50:870,10321,10321,0,0,352559,0,1451 29,3,2024-09-07 08:12:50:963,1,7,4,1,30,44,7,0 30,0,2024-09-07 08:12:51:468,973,0.2,940,0.4,1932,0.1,2436,1.75 30,1,2024-09-07 08:12:50:575,12236,12236,0,0,6393049915,66322753,12203,33,0,382,391524,0 30,2,2024-09-07 08:12:51:274,9591,9591,0,0,269265,0,678 30,3,2024-09-07 08:12:50:584,1,7,1,0,110,117,7,0 31,0,2024-09-07 08:12:51:764,1493,0.4,1520,0.6,2991,0.3,4123,2.00 31,1,2024-09-07 08:12:50:574,12169,12169,0,0,5905420889,60477278,12169,0,0,356,391478,0 31,2,2024-09-07 08:12:51:282,5724,5724,0,0,253026,0,1593 31,3,2024-09-07 08:12:51:705,1,7,6,0,8,27,7,0 32,0,2024-09-07 08:12:51:427,3183,0.6,3237,0.9,6390,0.4,8746,1.75 32,1,2024-09-07 08:12:50:807,12269,12269,0,0,5833724508,61238816,12223,45,1,384,391488,0 32,2,2024-09-07 08:12:50:936,10401,10401,0,0,573896,0,1970 32,3,2024-09-07 08:12:51:015,1,7,7,7,37,118,7,0 33,0,2024-09-07 08:12:51:507,923,0.1,903,0.2,1831,0.1,2152,1.50 33,1,2024-09-07 08:12:50:660,12061,12061,0,0,6547703797,68688403,11842,208,11,370,391482,0 33,2,2024-09-07 08:12:50:768,9644,9644,0,0,1014964,0,3364 33,3,2024-09-07 08:12:50:905,1,7,27,2,27,56,7,0 34,0,2024-09-07 08:12:50:949,868,0.1,902,0.2,1737,0.1,2026,1.25 34,1,2024-09-07 08:12:51:058,12242,12242,0,0,6067206198,63951773,12117,125,0,369,391481,0 34,2,2024-09-07 08:12:50:774,8137,8137,0,0,635078,0,2070 34,3,2024-09-07 08:12:51:695,1,7,1,0,12,17,7,0 35,0,2024-09-07 08:12:50:884,1000,0.2,1006,0.3,1927,0.1,2065,1.50 35,1,2024-09-07 08:12:51:078,11950,11950,0,0,5644387785,60821316,11453,396,101,385,391481,0 35,2,2024-09-07 08:12:51:586,7487,7487,0,0,492902,0,2276 35,3,2024-09-07 08:12:50:907,1,7,2,1,219,230,7,0 36,0,2024-09-07 08:12:51:525,2715,2.7,2732,2.3,5458,1.8,7250,4.00 36,1,2024-09-07 08:12:50:587,11603,11603,0,0,5982077990,70835377,9710,1137,756,370,391535,0 36,2,2024-09-07 08:12:51:752,9991,9991,0,0,657550,0,1172 36,3,2024-09-07 08:12:50:863,1,7,3,3,54,130,7,0 37,0,2024-09-07 08:12:51:392,834,0.3,837,0.6,1725,0.2,1910,2.00 37,1,2024-09-07 08:12:50:587,10967,10960,0,7,5700596250,73395403,8858,595,1507,371,391507,0 37,2,2024-09-07 08:12:51:152,9429,9429,0,0,596582,0,1448 37,3,2024-09-07 08:12:51:766,1,7,3,1,31,67,7,0 38,0,2024-09-07 08:12:51:448,1857,0.7,1840,0.8,3687,0.5,4879,2.75 38,1,2024-09-07 08:12:51:612,12364,12364,0,0,6153239096,65563384,12149,214,1,373,391481,0 38,2,2024-09-07 08:12:50:778,8219,8219,0,0,880540,0,3245 38,3,2024-09-07 08:12:50:997,1,7,1,1,17,33,7,0 39,0,2024-09-07 08:12:51:767,1853,0.4,1837,0.7,3639,0.3,5033,2.00 39,1,2024-09-07 08:12:50:750,11729,11729,0,0,5857980640,65985256,10708,603,418,369,391480,0 39,2,2024-09-07 08:12:51:418,8920,8920,0,0,630553,0,1786 39,3,2024-09-07 08:12:50:725,1,7,2,2,189,250,7,0 40,0,2024-09-07 08:12:51:497,2394,3.4,2507,6.3,4904,7.2,6709,5.00 40,1,2024-09-07 08:12:50:587,12096,12096,0,0,5603547523,60537421,11656,296,144,371,391481,0 40,2,2024-09-07 08:12:51:304,8325,8325,0,0,527689,0,2295 40,3,2024-09-07 08:12:51:150,1,7,12,8,21,84,7,0 41,0,2024-09-07 08:12:51:037,1891,6.3,1821,4.3,4092,9.1,5438,10.25 41,1,2024-09-07 08:12:50:798,11951,11951,0,0,5724266689,63737391,11014,745,192,373,391483,0 41,2,2024-09-07 08:12:50:760,8832,8832,0,0,700139,0,2008 41,3,2024-09-07 08:12:51:688,1,7,1,1,3,9,7,0 42,0,2024-09-07 08:12:51:483,2301,0.7,2209,1.1,4601,0.6,5969,3.50 42,1,2024-09-07 08:12:51:440,11759,11759,0,0,5903637786,67852126,10694,464,601,383,391489,0 42,2,2024-09-07 08:12:51:140,9526,9526,0,0,807126,0,1746 42,3,2024-09-07 08:12:51:009,1,7,1,1,54,66,7,0 43,0,2024-09-07 08:12:50:929,1241,0.3,1271,0.7,2616,0.2,3124,2.00 43,1,2024-09-07 08:12:50:586,11328,11328,0,0,5960995408,63478312,10864,437,27,369,391478,0 43,2,2024-09-07 08:12:51:740,9644,9644,0,0,667776,0,1510 43,3,2024-09-07 08:12:51:749,1,7,1,1,292,570,7,0 44,0,2024-09-07 08:12:50:874,2115,0.2,2047,0.9,4202,0.2,5501,1.75 44,1,2024-09-07 08:12:50:587,12452,12452,0,0,5843752945,60228787,12400,52,0,358,391490,0 44,2,2024-09-07 08:12:51:268,5665,5665,0,0,168627,0,1004 44,3,2024-09-07 08:12:51:092,1,7,8,2,28,106,7,0 45,0,2024-09-07 08:12:51:778,2768,0.3,2798,0.6,5529,0.3,7754,1.75 45,1,2024-09-07 08:12:51:008,12158,12158,0,0,6511495295,67297618,12135,23,0,382,391510,0 45,2,2024-09-07 08:12:51:268,10217,10217,0,0,362676,0,761 45,3,2024-09-07 08:12:50:935,1,7,2,1,33,60,7,0 46,0,2024-09-07 08:12:50:972,1052,0.1,1047,0.3,2101,0.1,2650,1.50 46,1,2024-09-07 08:12:50:587,12228,12228,0,0,5907206404,60745845,12224,3,1,370,391480,0 46,2,2024-09-07 08:12:50:594,10172,10172,0,0,674284,0,2835 46,3,2024-09-07 08:12:51:131,1,7,1,1,200,303,7,0 47,0,2024-09-07 08:12:51:123,891,0.1,926,0.3,1801,0.1,2071,1.50 47,1,2024-09-07 08:12:50:571,12357,12357,0,0,5863137885,60147240,12355,2,0,368,391481,0 47,2,2024-09-07 08:12:50:908,8663,8663,0,0,380223,0,2194 47,3,2024-09-07 08:12:51:120,1,7,1,1,25,53,7,0 48,0,2024-09-07 08:12:51:510,487,0.1,502,0.2,979,0.1,1041,1.50 48,1,2024-09-07 08:12:51:029,11952,11952,0,0,6046801519,64518494,11665,287,0,387,391488,0 48,2,2024-09-07 08:12:50:730,6454,6454,0,0,246382,0,1515 48,3,2024-09-07 08:12:50:765,1,7,9,8,21,79,7,0 49,0,2024-09-07 08:12:51:735,2737,0.4,2793,0.6,5317,0.4,7705,1.75 49,1,2024-09-07 08:12:51:023,12321,12321,0,0,5555099790,58597981,12203,118,0,382,391583,0 49,2,2024-09-07 08:12:51:800,10308,10308,0,0,643233,0,2196 49,3,2024-09-07 08:12:51:415,1,7,1,1,274,378,7,0 50,0,2024-09-07 08:12:51:540,800,0.1,829,0.2,1589,0.1,1828,1.25 50,1,2024-09-07 08:12:51:011,11772,11772,0,0,5972375041,63206206,11366,315,91,370,391485,0 50,2,2024-09-07 08:12:51:067,9846,9846,0,0,414648,0,2034 50,3,2024-09-07 08:12:51:291,1,7,1,1,335,355,7,0 51,0,2024-09-07 08:12:51:700,1314,0.1,1271,0.2,2534,0.1,3106,1.50 51,1,2024-09-07 08:12:51:686,12249,12249,0,0,6767496262,70593937,12040,176,33,369,391504,0 51,2,2024-09-07 08:12:51:322,7672,7672,0,0,370103,0,1936 51,3,2024-09-07 08:12:51:028,1,7,4,0,162,202,7,0 52,0,2024-09-07 08:12:51:427,1929,1.3,1919,1.6,3967,1.6,4861,5.00 52,1,2024-09-07 08:12:50:585,11687,11687,0,0,5773129164,65810388,10700,793,194,371,391498,0 52,2,2024-09-07 08:12:51:756,8254,8254,0,0,1144821,0,4779 52,3,2024-09-07 08:12:50:686,1,7,21,8,21,87,7,0 53,0,2024-09-07 08:12:51:753,2174,4.2,2105,3.0,4323,5.6,6071,5.00 53,1,2024-09-07 08:12:50:780,11745,11745,0,0,6059545626,69221899,10608,713,424,374,391483,0 53,2,2024-09-07 08:12:51:298,9314,9314,0,0,707978,0,1520 53,3,2024-09-07 08:12:50:719,1,7,1,1,28,37,7,0 54,0,2024-09-07 08:12:51:630,2222,10.0,1812,10.0,2836,8.2,5813,10.25 54,1,2024-09-07 08:12:50:586,11955,11955,0,0,6241332534,68131931,11234,687,34,370,391479,0 54,2,2024-09-07 08:12:50:866,8690,8684,6,0,1397208,0,5382 54,3,2024-09-07 08:12:50:763,1,7,14,3,42,75,7,0 55,0,2024-09-07 08:12:51:773,2072,1.6,2150,1.5,4290,1.6,5554,3.00 55,1,2024-09-07 08:12:50:773,11672,11672,0,0,5326714035,61419914,10255,922,495,370,391660,0 55,2,2024-09-07 08:12:50:758,8990,8990,0,0,770969,0,2129 55,3,2024-09-07 08:12:50:675,1,7,0,0,14,37,7,0 56,0,2024-09-07 08:12:51:574,1329,0.3,1322,0.5,2611,0.2,3448,2.00 56,1,2024-09-07 08:12:50:603,11864,11864,0,0,6733274053,74895320,10923,507,434,386,391482,0 56,2,2024-09-07 08:12:51:306,9659,9659,0,0,748394,0,2364 56,3,2024-09-07 08:12:51:059,1,7,2,2,20,86,7,0 57,0,2024-09-07 08:12:50:963,1909,5.3,1924,3.7,3761,6.9,5471,5.00 57,1,2024-09-07 08:12:51:019,12294,12294,0,0,5947480970,63940158,12025,268,1,371,391505,0 57,2,2024-09-07 08:12:51:333,6777,6777,0,0,726206,0,2687 57,3,2024-09-07 08:12:51:745,1,7,3,1,10,26,7,0 58,0,2024-09-07 08:12:50:580,2320,0.5,2324,0.8,4724,0.4,6207,2.25 58,1,2024-09-07 08:12:50:610,11760,11760,0,0,6360497045,70657922,10719,893,148,372,391482,0 58,2,2024-09-07 08:12:51:073,9292,9292,0,0,740822,0,2464 58,3,2024-09-07 08:12:51:071,1,7,16,1,80,128,7,0 59,0,2024-09-07 08:12:51:827,1666,1.4,1667,1.4,3309,1.7,4550,2.75 59,1,2024-09-07 08:12:50:806,11929,11929,0,0,6198416931,65512292,11757,171,1,372,391515,0 59,2,2024-09-07 08:12:50:608,10194,10194,0,0,734177,0,1708 59,3,2024-09-07 08:12:51:745,1,7,7,1,10,39,7,0 60,0,2024-09-07 08:12:51:746,985,0.2,962,0.3,1919,0.1,2452,1.50 60,1,2024-09-07 08:12:50:797,12323,12323,0,0,5877754079,60768037,12320,1,2,372,391672,0 60,2,2024-09-07 08:12:51:142,9333,9333,0,0,582947,0,1719 60,3,2024-09-07 08:12:51:267,1,7,13,8,23,109,7,0 61,0,2024-09-07 08:12:51:579,1468,0.3,1483,0.5,2939,0.2,4118,1.75 61,1,2024-09-07 08:12:50:775,12084,12084,0,0,6077501704,66096644,11466,299,319,385,391504,0 61,2,2024-09-07 08:12:51:128,5785,5785,0,0,215027,0,1601 61,3,2024-09-07 08:12:51:691,1,7,5,1,72,96,7,0 62,0,2024-09-07 08:12:51:729,3117,0.3,3255,0.7,6304,0.3,8705,1.75 62,1,2024-09-07 08:12:51:117,12265,12265,0,0,6764879564,69893779,12102,161,2,369,391521,0 62,2,2024-09-07 08:12:51:658,10218,10218,0,0,578292,0,2030 62,3,2024-09-07 08:12:51:149,1,7,2,1,28,47,7,0 63,0,2024-09-07 08:12:51:472,938,0.1,951,0.3,1820,0.1,2136,1.50 63,1,2024-09-07 08:12:50:812,12438,12438,0,0,6454120168,67409445,12297,141,0,382,391489,0 63,2,2024-09-07 08:12:50:781,9882,9882,0,0,619263,0,2079 63,3,2024-09-07 08:12:51:732,1,7,7,1,216,258,7,0 64,0,2024-09-07 08:12:51:523,858,0.1,859,0.3,1725,0.1,2033,1.25 64,1,2024-09-07 08:12:50:764,12050,12050,0,0,6180490805,66155592,11538,458,54,374,391486,0 64,2,2024-09-07 08:12:51:161,8163,8163,0,0,526857,0,2510 64,3,2024-09-07 08:12:51:144,1,7,13,8,20,93,7,0 65,0,2024-09-07 08:12:51:702,954,0.2,995,0.3,1896,0.1,1984,1.50 65,1,2024-09-07 08:12:50:911,12146,12146,0,0,5800229288,62530459,11705,435,6,383,391481,0 65,2,2024-09-07 08:12:51:711,7383,7383,0,0,444857,0,2374 65,3,2024-09-07 08:12:51:696,1,7,2,1,122,201,7,0 66,0,2024-09-07 08:12:51:828,2622,0.2,2715,0.4,5438,0.2,7402,1.50 66,1,2024-09-07 08:12:51:295,12493,12493,0,0,6267217475,66187799,12195,282,16,382,391537,0 66,2,2024-09-07 08:12:51:133,10074,10074,0,0,680393,0,2934 66,3,2024-09-07 08:12:51:080,1,7,1,1,45,60,7,0 67,0,2024-09-07 08:12:51:481,848,0.1,854,0.3,1700,0.1,1911,1.25 67,1,2024-09-07 08:12:50:782,12184,12183,0,1,6068099195,63820525,12107,76,0,383,391567,1 67,2,2024-09-07 08:12:50:589,9068,9068,0,0,634397,0,2104 67,3,2024-09-07 08:12:51:753,1,7,43,1,43,56,7,0 68,0,2024-09-07 08:12:50:636,1859,0.4,1864,0.9,3748,0.3,4860,2.00 68,1,2024-09-07 08:12:50:584,11680,11680,0,0,6042638819,68566087,10804,431,445,386,391482,0 68,2,2024-09-07 08:12:51:054,8288,8288,0,0,1106727,0,4883 68,3,2024-09-07 08:12:50:743,1,7,13,8,21,91,7,0 69,0,2024-09-07 08:12:51:747,1857,0.8,1810,1.2,3666,1.1,4965,2.50 69,1,2024-09-07 08:12:51:038,11427,11427,0,0,5463367104,66849970,10107,458,862,387,391483,0 69,2,2024-09-07 08:12:51:740,8957,8957,0,0,627909,0,2462 69,3,2024-09-07 08:12:50:774,1,7,1,1,20,54,7,0 70,0,2024-09-07 08:12:51:579,2485,7.1,2430,7.7,4871,3.8,6887,5.00 70,1,2024-09-07 08:12:50:804,12137,12137,0,0,6174213064,65535793,11924,196,17,371,391527,0 70,2,2024-09-07 08:12:51:326,7872,7872,0,0,717030,0,1937 70,3,2024-09-07 08:12:50:758,1,7,1,1,6,16,7,0 71,0,2024-09-07 08:12:51:367,1958,7.5,1872,6.1,4198,10.0,5935,7.75 71,1,2024-09-07 08:12:51:606,11943,11943,0,0,5973341646,65774125,11256,562,125,371,391484,0 71,2,2024-09-07 08:12:51:088,9088,9088,0,0,918167,0,2146 71,3,2024-09-07 08:12:51:751,1,7,2,1,93,131,7,0 72,0,2024-09-07 08:12:51:045,2320,0.6,2328,0.8,4543,0.4,6196,3.25 72,1,2024-09-07 08:12:51:038,11944,11944,0,0,5952226370,64745761,11423,520,1,373,391496,0 72,2,2024-09-07 08:12:51:765,9440,9440,0,0,940321,0,2043 72,3,2024-09-07 08:12:51:754,1,7,8,8,13,75,7,0 73,0,2024-09-07 08:12:51:142,1305,0.3,1270,0.6,2583,0.2,3172,2.25 73,1,2024-09-07 08:12:50:772,12108,12108,0,0,6226386647,65739950,12057,51,0,370,391485,0 73,2,2024-09-07 08:12:51:741,9612,9612,0,0,1114529,0,3482 73,3,2024-09-07 08:12:50:973,1,7,1,1,92,123,7,0 74,0,2024-09-07 08:12:51:356,2125,0.4,2154,0.8,4147,0.3,5547,2.75 74,1,2024-09-07 08:12:50:650,12146,12146,0,0,5653415718,60769170,11861,283,2,384,391494,0 74,2,2024-09-07 08:12:51:009,5746,5746,0,0,562725,0,2736 74,3,2024-09-07 08:12:51:443,1,7,133,10,192,660,7,0 75,0,2024-09-07 08:12:51:787,2804,1.2,2785,1.3,5600,1.4,7766,3.50 75,1,2024-09-07 08:12:51:593,12212,12212,0,0,5835767879,63916139,11747,414,51,384,391508,0 75,2,2024-09-07 08:12:51:351,9881,9881,0,0,854045,0,2676 75,3,2024-09-07 08:12:51:071,1,7,3,1,8,17,7,0 76,0,2024-09-07 08:12:50:677,1121,0.1,1084,0.3,2068,0.1,2666,1.25 76,1,2024-09-07 08:12:50:807,12170,12170,0,0,5642049967,58837641,12163,7,0,386,391484,0 76,2,2024-09-07 08:12:51:073,10585,10585,0,0,393152,0,1006 76,3,2024-09-07 08:12:51:164,1,7,140,11,140,228,7,0 77,0,2024-09-07 08:12:51:737,961,0.1,940,0.2,1797,0.1,2102,1.25 77,1,2024-09-07 08:12:50:831,12284,12284,0,0,5494627439,57431833,12216,68,0,383,391491,0 77,2,2024-09-07 08:12:51:313,8720,8720,0,0,409339,0,1637 77,3,2024-09-07 08:12:51:095,1,7,2,1,29,38,7,0 78,0,2024-09-07 08:12:51:738,521,0.1,518,0.2,987,0.1,1024,1.25 78,1,2024-09-07 08:12:50:619,12222,12222,0,0,5139509101,53976890,12156,66,0,371,391480,0 78,2,2024-09-07 08:12:51:407,6572,6572,0,0,146665,0,561 78,3,2024-09-07 08:12:51:148,1,7,1,1,112,138,7,0 79,0,2024-09-07 08:12:51:391,2672,0.3,2714,0.5,5493,0.3,7735,1.50 79,1,2024-09-07 08:12:50:593,12355,12355,0,0,6262136382,64967254,12252,103,0,372,391482,0 79,2,2024-09-07 08:12:51:072,10356,10356,0,0,355371,0,1210 79,3,2024-09-07 08:12:50:750,1,7,1,1,2,9,7,0 80,0,2024-09-07 08:12:51:116,771,0.2,794,0.3,1587,0.1,1840,1.50 80,1,2024-09-07 08:12:51:630,12036,12036,0,0,5749669010,61442548,11640,395,1,374,391673,0 80,2,2024-09-07 08:12:51:101,9620,9620,0,0,522453,0,1377 80,3,2024-09-07 08:12:50:606,1,7,15,8,72,159,7,0 81,0,2024-09-07 08:12:51:571,1281,0.2,1294,0.4,2527,0.1,3109,1.50 81,1,2024-09-07 08:12:51:656,12042,12042,0,0,5654906450,60262649,11775,261,6,383,391488,0 81,2,2024-09-07 08:12:51:174,7572,7572,0,0,623225,0,2811 81,3,2024-09-07 08:12:51:151,1,7,13,1,39,75,7,0 82,0,2024-09-07 08:12:51:581,1908,0.2,1849,0.5,3842,0.2,5014,1.50 82,1,2024-09-07 08:12:50:592,12008,12008,0,0,5662803293,60021911,11808,194,6,385,391489,0 82,2,2024-09-07 08:12:51:706,8419,8419,0,0,401746,0,1004 82,3,2024-09-07 08:12:51:756,1,7,36,1,65,118,7,0 83,0,2024-09-07 08:12:51:565,2233,0.3,2227,0.6,4394,0.3,6258,1.75 83,1,2024-09-07 08:12:50:570,11904,11904,0,0,5986798820,65396950,11249,576,79,384,391494,0 83,2,2024-09-07 08:12:50:765,9079,9079,0,0,601137,0,2512 83,3,2024-09-07 08:12:50:751,1,7,3,1,9,22,7,0 84,0,2024-09-07 08:12:51:883,2233,10.0,2263,10.0,3611,7.5,6319,6.50 84,1,2024-09-07 08:12:51:040,11984,11984,0,0,5764808516,65379235,10996,750,238,371,391538,0 84,2,2024-09-07 08:12:50:595,8491,8491,0,0,1244457,0,3801 84,3,2024-09-07 08:12:51:142,1,7,12,8,13,75,7,0 85,0,2024-09-07 08:12:51:012,2033,0.6,2056,0.9,4211,0.5,5637,2.25 85,1,2024-09-07 08:12:50:562,11487,11487,0,0,5815087563,66843099,10323,888,276,384,391498,0 85,2,2024-09-07 08:12:50:866,8570,8570,0,0,1005984,0,3656 85,3,2024-09-07 08:12:50:688,1,7,88,1,88,113,7,0 86,0,2024-09-07 08:12:50:902,1265,0.3,1326,0.6,2538,0.2,3402,1.75 86,1,2024-09-07 08:12:50:832,11944,11944,0,0,6282091587,69023533,11253,663,28,371,391491,0 86,2,2024-09-07 08:12:50:855,8954,8953,1,0,1181317,0,5004 86,3,2024-09-07 08:12:50:588,1,7,3,1,19,61,7,0 87,0,2024-09-07 08:12:51:430,2102,5.1,2087,3.0,4219,5.7,6203,2.50 87,1,2024-09-07 08:12:50:595,11894,11894,0,0,5982146696,64832989,11420,426,48,369,391551,0 87,2,2024-09-07 08:12:51:070,6532,6532,0,0,450919,0,2148 87,3,2024-09-07 08:12:51:795,1,7,9,1,40,67,7,0 88,0,2024-09-07 08:12:51:579,2337,0.4,2344,0.7,4668,0.4,6280,1.75 88,1,2024-09-07 08:12:50:580,11852,11852,0,0,6394781070,68241104,11658,194,0,370,391747,0 88,2,2024-09-07 08:12:50:718,9456,9456,0,0,744715,0,2675 88,3,2024-09-07 08:12:51:274,1,7,9,8,13,73,7,0 89,0,2024-09-07 08:12:51:931,1684,1.1,1664,1.1,3255,1.2,4686,2.75 89,1,2024-09-07 08:12:50:571,11602,11602,0,0,6350363221,72238746,10619,477,506,384,391549,0 89,2,2024-09-07 08:12:51:158,10257,10257,0,0,985652,0,2564 89,3,2024-09-07 08:12:51:795,1,7,24,4,55,110,7,0 90,0,2024-09-07 08:12:51:640,954,0.3,957,0.5,2007,0.2,2462,1.75 90,1,2024-09-07 08:12:50:605,11976,11976,0,0,6305641429,68556230,11472,486,18,383,391522,0 90,2,2024-09-07 08:12:51:414,9326,9326,0,0,813492,0,2225 90,3,2024-09-07 08:12:50:933,1,7,5,1,10,34,7,0 91,0,2024-09-07 08:12:51:005,1433,1.1,1457,1.1,2956,1.2,4070,3.00 91,1,2024-09-07 08:12:50:590,11923,11923,0,0,6199475429,68048558,11274,578,71,385,391914,0 91,2,2024-09-07 08:12:51:339,5618,5618,0,0,426926,0,1997 91,3,2024-09-07 08:12:50:605,1,7,84,1,84,150,7,0 92,0,2024-09-07 08:12:51:544,3190,0.4,3172,0.6,6329,0.5,8793,1.50 92,1,2024-09-07 08:12:50:587,12147,12147,0,0,5785563926,61276582,11850,251,46,383,391557,0 92,2,2024-09-07 08:12:51:367,10665,10665,0,0,745696,0,2279 92,3,2024-09-07 08:12:51:009,1,7,9,8,21,95,7,0 93,0,2024-09-07 08:12:50:973,900,0.1,910,0.2,1767,0.1,2121,1.25 93,1,2024-09-07 08:12:50:807,12283,12283,0,0,6121071454,63509614,12239,43,1,371,391689,0 93,2,2024-09-07 08:12:50:937,10316,10316,0,0,377694,0,1122 93,3,2024-09-07 08:12:51:410,1,7,3,2,143,193,7,0 94,0,2024-09-07 08:12:51:633,896,0.2,861,0.2,1723,0.1,2064,1.25 94,1,2024-09-07 08:12:50:567,12142,12142,0,0,6544385986,68340534,12006,135,1,383,391554,0 94,2,2024-09-07 08:12:50:772,8008,8008,0,0,407705,0,1294 94,3,2024-09-07 08:12:51:697,1,7,1,1,231,376,7,0 95,0,2024-09-07 08:12:51:370,981,0.2,1048,0.3,1964,0.1,2012,1.25 95,1,2024-09-07 08:12:50:870,12195,12195,0,0,5891697107,60938917,12192,2,1,369,391590,0 95,2,2024-09-07 08:12:51:020,7566,7566,0,0,245547,0,943 95,3,2024-09-07 08:12:51:715,1,7,2,1,12,28,7,0 96,0,2024-09-07 08:12:51:047,2785,0.5,2763,0.7,5427,0.5,7429,1.75 96,1,2024-09-07 08:12:51:597,12224,12224,0,0,6312827329,67211997,12088,135,1,386,391551,0 96,2,2024-09-07 08:12:51:282,10154,10154,0,0,501190,0,1251 96,3,2024-09-07 08:12:51:141,1,7,9,8,30,94,7,0 97,0,2024-09-07 08:12:51:340,836,0.2,825,0.3,1704,0.1,1896,1.50 97,1,2024-09-07 08:12:50:771,12087,12087,0,0,6540857885,68259656,11854,227,6,370,391530,0 97,2,2024-09-07 08:12:50:646,8833,8833,0,0,513294,0,1663 97,3,2024-09-07 08:12:50:573,1,7,1,1,77,130,7,0 98,0,2024-09-07 08:12:51:711,1916,0.2,1864,0.4,3668,0.2,4918,1.50 98,1,2024-09-07 08:12:50:624,11963,11963,0,0,5990413327,63129996,11898,64,1,384,391588,0 98,2,2024-09-07 08:12:50:773,8392,8392,0,0,612620,0,2410 98,3,2024-09-07 08:12:50:712,1,7,1,1,155,183,7,0 99,0,2024-09-07 08:12:51:493,1777,0.2,1796,0.4,3597,0.2,4962,1.50 99,1,2024-09-07 08:12:51:733,12404,12404,0,0,5981659460,62488089,12360,43,1,383,391519,0 99,2,2024-09-07 08:12:51:423,8942,8942,0,0,511242,0,1388 99,3,2024-09-07 08:12:50:584,1,7,14,1,26,93,7,0 100,0,2024-09-07 08:12:51:478,2555,3.9,2360,7.8,4691,6.8,6609,4.50 100,1,2024-09-07 08:12:50:551,11852,11852,0,0,5553459171,64939644,10629,975,248,384,391505,0 100,2,2024-09-07 08:12:51:891,7858,7847,11,0,1228264,0,5417 100,3,2024-09-07 08:12:51:950,1,7,9,9,443,563,7,0 101,0,2024-09-07 08:12:51:907,2017,7.0,2003,4.6,4541,9.5,7166,5.00 101,1,2024-09-07 08:12:50:556,11760,11760,0,0,6159247210,69950696,10803,533,424,374,391516,0 101,2,2024-09-07 08:12:51:769,8882,8882,0,0,1376895,0,4644 101,3,2024-09-07 08:12:50:951,1,7,3,1,448,517,7,0 102,0,2024-09-07 08:12:50:967,2225,1.5,2332,1.3,4672,1.3,6143,2.50 102,1,2024-09-07 08:12:51:185,12261,12261,0,0,6302453683,68938615,11757,494,10,371,391621,0 102,2,2024-09-07 08:12:51:755,9747,9747,0,0,724471,0,1699 102,3,2024-09-07 08:12:51:626,1,7,3,3,410,508,7,0 103,0,2024-09-07 08:12:51:623,1272,0.4,1236,0.7,2488,0.2,3143,2.00 103,1,2024-09-07 08:12:51:643,12207,12207,0,0,6196040141,66383099,11905,255,47,384,391593,0 103,2,2024-09-07 08:12:50:626,9645,9645,0,0,765901,0,1484 103,3,2024-09-07 08:12:50:768,1,7,150,1,150,201,7,0 104,0,2024-09-07 08:12:51:017,2083,0.7,2136,0.9,4113,0.4,5504,2.00 104,1,2024-09-07 08:12:51:699,12161,12161,0,0,6068594849,66294805,11626,507,28,369,391948,0 104,2,2024-09-07 08:12:51:686,5714,5714,0,0,384677,0,1902 104,3,2024-09-07 08:12:51:427,1,7,18,8,18,85,7,0 105,0,2024-09-07 08:12:51:037,2842,0.8,2727,1.7,5646,1.4,7734,2.25 105,1,2024-09-07 08:12:50:563,11822,11822,0,0,5788094395,64336644,10980,678,164,369,391797,0 105,2,2024-09-07 08:12:51:332,10022,10022,0,0,719832,0,1663 105,3,2024-09-07 08:12:51:305,1,7,169,1,169,197,7,0 106,0,2024-09-07 08:12:50:981,1040,0.3,1075,0.6,2171,0.2,2662,1.75 106,1,2024-09-07 08:12:51:755,12223,12223,0,0,5676503223,61511323,11839,382,2,373,391503,0 106,2,2024-09-07 08:12:50:774,9949,9949,0,0,853572,0,1600 106,3,2024-09-07 08:12:50:748,1,7,2,1,171,190,7,0 107,0,2024-09-07 08:12:51:115,901,0.3,896,0.5,1791,0.2,2074,1.75 107,1,2024-09-07 08:12:50:635,11976,11976,0,0,5931553624,64705665,11428,438,110,383,391848,0 107,2,2024-09-07 08:12:51:292,8531,8530,1,0,875098,0,5024 107,3,2024-09-07 08:12:51:765,1,7,3,2,75,137,7,0 108,0,2024-09-07 08:12:51:811,497,0.2,488,0.3,958,0.1,1018,1.25 108,1,2024-09-07 08:12:51:301,12142,12142,0,0,6032377456,63572957,11824,243,75,372,391563,0 108,2,2024-09-07 08:12:51:771,6371,6371,0,0,250723,0,2051 108,3,2024-09-07 08:12:51:333,1,7,12,10,18,93,7,0 109,0,2024-09-07 08:12:51:898,2808,0.4,2780,0.6,5412,0.4,7806,1.50 109,1,2024-09-07 08:12:50:609,12230,12230,0,0,5970944464,62335163,12210,20,0,386,391487,0 109,2,2024-09-07 08:12:50:935,10139,10139,0,0,322862,0,865 109,3,2024-09-07 08:12:51:144,1,7,1,1,102,201,7,0 110,0,2024-09-07 08:12:51:826,789,0.1,794,0.2,1674,0.1,1852,1.25 110,1,2024-09-07 08:12:51:658,12406,12406,0,0,5772404699,59841921,12356,50,0,372,391535,0 110,2,2024-09-07 08:12:51:305,9926,9926,0,0,490929,0,2234 110,3,2024-09-07 08:12:50:716,1,7,2,1,16,26,7,0 111,0,2024-09-07 08:12:51:447,1251,0.1,1260,0.2,2631,0.1,3091,1.25 111,1,2024-09-07 08:12:51:002,12256,12256,0,0,6473467409,66500098,12251,4,1,385,391482,0 111,2,2024-09-07 08:12:51:117,7925,7925,0,0,350364,0,1130 111,3,2024-09-07 08:12:50:913,1,7,7,2,89,173,7,0 112,0,2024-09-07 08:12:50:937,1885,1.1,1951,0.7,3927,0.3,5039,2.00 112,1,2024-09-07 08:12:50:838,12160,12160,0,0,6024295880,63186104,12134,26,0,384,391487,0 112,2,2024-09-07 08:12:51:139,8478,8478,0,0,420594,0,1331 112,3,2024-09-07 08:12:50:598,1,7,13,8,21,83,7,0 113,0,2024-09-07 08:12:50:882,2151,0.5,2153,0.8,4438,0.5,6271,1.75 113,1,2024-09-07 08:12:51:689,12039,12039,0,0,6440389144,67971350,11794,245,0,370,391661,0 113,2,2024-09-07 08:12:51:305,9165,9165,0,0,390974,0,1275 113,3,2024-09-07 08:12:50:690,1,7,5,1,130,246,7,0 114,0,2024-09-07 08:12:50:884,2651,7.9,2338,7.0,4560,5.3,6807,3.25 114,1,2024-09-07 08:12:50:770,12171,12171,0,0,6022904464,63173222,12019,152,0,383,391513,0 114,2,2024-09-07 08:12:50:874,9013,9013,0,0,409297,0,1841 114,3,2024-09-07 08:12:51:279,1,7,2,1,159,169,7,0 115,0,2024-09-07 08:12:50:578,2075,0.3,2137,0.7,4165,0.3,5678,1.75 115,1,2024-09-07 08:12:50:574,12003,12003,0,0,6530088586,69992732,11545,351,107,384,391495,0 115,2,2024-09-07 08:12:51:126,9283,9283,0,0,453544,0,1535 115,3,2024-09-07 08:12:51:002,1,7,1,1,40,70,7,0 116,0,2024-09-07 08:12:51:733,1259,0.3,1289,0.5,2638,0.2,3401,1.75 116,1,2024-09-07 08:12:50:806,11655,11655,0,0,5521846229,65111018,10583,236,836,386,391495,0 116,2,2024-09-07 08:12:51:784,9568,9568,0,0,796739,0,2154 116,3,2024-09-07 08:12:50:912,1,7,8,8,11,61,7,0 117,0,2024-09-07 08:12:50:975,2096,3.8,2052,2.7,4084,4.0,5799,2.50 117,1,2024-09-07 08:12:51:594,11574,11574,0,0,5773372853,63445077,11003,525,46,372,391523,0 117,2,2024-09-07 08:12:51:135,6689,6689,0,0,810311,0,3700 117,3,2024-09-07 08:12:51:059,1,7,12,1,490,940,7,0 118,0,2024-09-07 08:12:51:850,2245,0.4,2270,0.7,4683,0.4,6220,1.75 118,1,2024-09-07 08:12:50:632,11972,11972,0,0,5402947247,59019676,11661,310,1,370,391484,0 118,2,2024-09-07 08:12:51:617,9307,9307,0,0,793760,0,1435 118,3,2024-09-07 08:12:51:766,1,7,41,2,41,132,7,0 119,0,2024-09-07 08:12:51:377,1597,0.4,1630,0.7,3321,0.3,4588,2.00 119,1,2024-09-07 08:12:50:556,11714,11714,0,0,5972584596,66682968,10883,701,130,372,391518,0 119,2,2024-09-07 08:12:51:287,10476,10476,0,0,866057,0,2222 119,3,2024-09-07 08:12:51:325,1,7,375,1,375,386,7,0 120,0,2024-09-07 08:12:51:630,969,0.3,995,0.5,1936,0.2,2459,1.75 120,1,2024-09-07 08:12:50:865,12097,12097,0,0,5756313049,62183923,11711,380,6,373,391546,0 120,2,2024-09-07 08:12:50:774,9326,9326,0,0,1055932,0,2332 120,3,2024-09-07 08:12:51:291,1,7,15,8,15,76,7,0 121,0,2024-09-07 08:12:51:744,1503,0.5,1496,0.8,2934,0.5,4153,2.00 121,1,2024-09-07 08:12:51:697,11635,11635,0,0,5945548602,66190349,10827,574,234,372,391633,0 121,2,2024-09-07 08:12:51:135,5697,5697,0,0,498639,0,2570 121,3,2024-09-07 08:12:50:726,1,7,1,1,71,114,7,0 122,0,2024-09-07 08:12:51:807,2874,6.1,2822,6.1,5927,7.1,8824,3.25 122,1,2024-09-07 08:12:50:872,11550,11550,0,0,6105015747,66313957,11114,417,19,372,392130,0 122,2,2024-09-07 08:12:51:332,9972,9972,0,0,1104964,0,2574 122,3,2024-09-07 08:12:50:615,1,7,119,4,226,651,7,0 123,0,2024-09-07 08:12:50:985,919,0.3,883,0.5,1842,0.2,2150,1.75 123,1,2024-09-07 08:12:50:561,11818,11818,0,0,6080561564,69095631,10604,939,275,373,391488,0 123,2,2024-09-07 08:12:51:021,10129,10129,0,0,742371,0,1528 123,3,2024-09-07 08:12:51:140,1,7,8,2,134,219,7,0 124,0,2024-09-07 08:12:50:931,879,0.2,872,0.2,1707,0.1,2045,1.25 124,1,2024-09-07 08:12:51:031,12361,12361,0,0,5969820686,61794666,12358,3,0,371,391483,0 124,2,2024-09-07 08:12:51:011,8142,8142,0,0,432405,0,2477 124,3,2024-09-07 08:12:50:763,1,7,8,8,29,108,7,0 125,0,2024-09-07 08:12:51:427,996,0.2,968,0.3,1959,0.1,2004,1.50 125,1,2024-09-07 08:12:50:878,12333,12333,0,0,6033106147,63285229,12214,114,5,386,391502,0 125,2,2024-09-07 08:12:51:123,7570,7570,0,0,257481,0,791 125,3,2024-09-07 08:12:51:128,1,7,7,3,67,153,7,0 126,0,2024-09-07 08:12:51:532,2668,0.2,2798,0.6,5352,0.3,7394,1.50 126,1,2024-09-07 08:12:50:574,12082,12082,0,0,6228217735,65183422,11986,93,3,369,391719,0 126,2,2024-09-07 08:12:50:646,10228,10228,0,0,386389,0,750 126,3,2024-09-07 08:12:50:907,1,7,2,1,20,49,7,0 127,0,2024-09-07 08:12:51:626,826,0.2,859,0.2,1703,0.1,1889,1.25 127,1,2024-09-07 08:12:50:590,12392,12392,0,0,5936334429,61088900,12382,9,1,366,391483,0 127,2,2024-09-07 08:12:50:639,9281,9281,0,0,353002,0,1007 127,3,2024-09-07 08:12:51:269,1,7,2,2,19,53,7,0 128,0,2024-09-07 08:12:51:533,1830,0.3,1836,0.7,3667,0.3,4864,2.00 128,1,2024-09-07 08:12:51:606,12014,12014,0,0,6361371016,66670025,11898,115,1,370,391487,0 128,2,2024-09-07 08:12:51:384,8169,8169,0,0,667331,0,1712 128,3,2024-09-07 08:12:50:772,1,7,20,7,20,89,7,0 129,0,2024-09-07 08:12:51:013,1793,0.6,1852,0.7,3572,0.5,4953,2.25 129,1,2024-09-07 08:12:50:583,12148,12148,0,0,6254314872,66274804,11901,232,15,383,391752,0 129,2,2024-09-07 08:12:50:705,8959,8959,0,0,462726,0,2289 129,3,2024-09-07 08:12:50:696,1,7,2,1,24,52,7,0 130,0,2024-09-07 08:12:51:796,2677,2.5,2597,2.0,5210,3.5,7094,2.75 130,1,2024-09-07 08:12:50:587,11985,11985,0,0,6558420882,69130493,11785,199,1,381,391516,0 130,2,2024-09-07 08:12:51:125,8159,8159,0,0,313892,0,1019 130,3,2024-09-07 08:12:51:297,1,7,4,1,11,21,7,0 131,0,2024-09-07 08:12:51:978,2404,4.1,2308,3.0,4823,6.0,7174,3.25 131,1,2024-09-07 08:12:51:823,12172,12172,0,0,6221163167,65478690,12118,53,1,385,391727,0 131,2,2024-09-07 08:12:50:596,8953,8953,0,0,383444,0,1172 131,3,2024-09-07 08:12:51:698,1,7,1,1,23,49,7,0 132,0,2024-09-07 08:12:51:445,2225,0.7,2301,1.5,4627,0.8,6162,2.25 132,1,2024-09-07 08:12:50:594,11773,11773,0,0,5501355301,64091676,10477,1034,262,383,391488,0 132,2,2024-09-07 08:12:50:704,9187,9187,0,0,2344195,0,4606 132,3,2024-09-07 08:12:51:687,1,7,9,8,356,454,7,0 133,0,2024-09-07 08:12:51:561,1258,0.3,1264,0.7,2545,0.2,3104,1.75 133,1,2024-09-07 08:12:50:608,11709,11709,0,0,5710135403,63304485,10969,736,4,386,391492,0 133,2,2024-09-07 08:12:51:088,9701,9701,0,0,737908,0,1707 133,3,2024-09-07 08:12:51:310,1,7,4,1,18,38,7,0 134,0,2024-09-07 08:12:51:039,2079,0.6,2041,1.3,4130,0.7,5479,2.25 134,1,2024-09-07 08:12:50:605,11437,11437,0,0,5648496143,66931674,10012,679,746,369,391508,0 134,2,2024-09-07 08:12:51:764,5800,5800,0,0,396944,0,1739 134,3,2024-09-07 08:12:50:763,1,7,35,1,63,180,7,0 135,0,2024-09-07 08:12:51:119,2642,4.7,2671,4.4,5540,3.9,7351,4.25 135,1,2024-09-07 08:12:51:597,12133,12133,0,0,6276700082,67261643,11774,343,16,384,391491,0 135,2,2024-09-07 08:12:50:702,9896,9896,0,0,1102137,0,3016 135,3,2024-09-07 08:12:51:015,1,7,1,1,68,177,7,0 136,0,2024-09-07 08:12:51:637,1107,0.2,1069,0.4,2166,0.2,2715,1.75 136,1,2024-09-07 08:12:51:443,12208,12208,0,0,6164274065,68851298,11317,753,138,386,391501,0 136,2,2024-09-07 08:12:51:158,10514,10514,0,0,509785,0,1490 136,3,2024-09-07 08:12:51:105,1,7,9,2,20,73,7,0 137,0,2024-09-07 08:12:50:957,933,0.2,937,0.4,1791,0.2,2060,1.75 137,1,2024-09-07 08:12:50:587,11996,11996,0,0,5761061555,62638257,11612,378,6,370,391489,0 137,2,2024-09-07 08:12:51:708,8377,8377,0,0,1069068,0,2294 137,3,2024-09-07 08:12:50:769,1,7,1,1,13,48,7,0 138,0,2024-09-07 08:12:51:826,506,0.3,511,0.4,1007,0.2,1056,1.75 138,1,2024-09-07 08:12:51:850,11952,11952,0,0,6171406999,66863237,11457,399,96,371,391572,0 138,2,2024-09-07 08:12:50:596,6290,6290,0,0,736207,0,3263 138,3,2024-09-07 08:12:50:611,1,7,7,1,7,19,7,0 139,0,2024-09-07 08:12:51:419,2574,4.6,2596,2.9,4886,4.8,7613,3.25 139,1,2024-09-07 08:12:50:604,11878,11878,0,0,5798250799,67189823,10776,622,480,386,391511,0 139,2,2024-09-07 08:12:50:708,10232,10232,0,0,900857,0,2233 139,3,2024-09-07 08:12:51:662,1,7,1,1,45,62,7,0 140,0,2024-09-07 08:12:51:612,797,0.1,826,0.3,1623,0.1,1853,1.50 140,1,2024-09-07 08:12:51:538,12390,12390,0,0,6204234801,63677756,12374,16,0,367,391482,0 140,2,2024-09-07 08:12:50:689,9625,9625,0,0,722785,0,2609 140,3,2024-09-07 08:12:50:772,1,7,8,8,13,64,7,0 141,0,2024-09-07 08:12:51:712,1229,0.2,1296,0.3,2541,0.1,3062,1.50 141,1,2024-09-07 08:12:50:866,12475,12475,0,0,6373378905,65461733,12474,1,0,383,391532,0 141,2,2024-09-07 08:12:51:687,7765,7765,0,0,390235,0,1869 141,3,2024-09-07 08:12:51:048,1,7,1,0,7,24,7,0 142,0,2024-09-07 08:12:51:348,1948,0.2,1973,0.6,3932,0.2,5049,1.75 142,1,2024-09-07 08:12:50:665,12188,12188,0,0,5830061236,60743712,12179,9,0,385,391483,0 142,2,2024-09-07 08:12:51:307,8331,8331,0,0,427196,0,2000 142,3,2024-09-07 08:12:51:747,1,7,1,1,11,34,7,0 143,0,2024-09-07 08:12:51:407,2183,0.7,2210,0.8,4491,0.8,6277,1.75 143,1,2024-09-07 08:12:50:563,12109,12109,0,0,6145095898,64269466,11937,172,0,371,391492,0 143,2,2024-09-07 08:12:50:771,9164,9164,0,0,531260,0,2572 143,3,2024-09-07 08:12:51:149,1,7,1,1,219,252,7,0 144,0,2024-09-07 08:12:51:551,1911,10.0,2810,10.0,3575,7.9,6600,3.50 144,1,2024-09-07 08:12:50:584,12013,12013,0,0,5906092194,63577839,11699,275,39,384,391557,0 144,2,2024-09-07 08:12:51:758,8765,8765,0,0,431403,0,1140 144,3,2024-09-07 08:12:51:753,1,7,23,8,99,196,7,0 145,0,2024-09-07 08:12:51:429,2062,0.6,2084,1.0,4259,0.5,5622,2.25 145,1,2024-09-07 08:12:50:576,12063,12063,0,0,5808111161,62564681,11863,200,0,386,391603,0 145,2,2024-09-07 08:12:51:439,8819,8819,0,0,1609464,0,3903 145,3,2024-09-07 08:12:50:895,1,7,2,2,151,340,7,0 146,0,2024-09-07 08:12:51:625,1278,0.4,1294,0.7,2626,0.2,3423,2.00 146,1,2024-09-07 08:12:51:603,11991,11991,0,0,5913614731,66228093,11109,702,180,371,391485,0 146,2,2024-09-07 08:12:51:706,9424,9424,0,0,790349,0,2149 146,3,2024-09-07 08:12:51:274,1,7,23,0,54,128,7,0 147,0,2024-09-07 08:12:51:741,1973,5.6,1997,4.0,4052,7.0,6212,4.75 147,1,2024-09-07 08:12:51:374,12252,12252,0,0,5768577133,59755816,12236,14,2,370,391598,0 147,2,2024-09-07 08:12:51:019,6841,6841,0,0,336578,0,2526 147,3,2024-09-07 08:12:50:913,1,7,2,2,141,233,7,0 0,0,2024-09-07 08:13:01:730,1169,0.2,1140,0.4,2375,0.2,2936,1.50 0,1,2024-09-07 08:13:00:815,13690,13690,0,0,6891973142,75219236,13180,405,105,374,391658,0 0,2,2024-09-07 08:13:01:088,10591,10591,0,0,486362,0,951 0,3,2024-09-07 08:13:00:976,1,8,18,3,19,94,8,0 1,0,2024-09-07 08:13:01:799,1791,0.3,1791,0.6,3646,0.2,4966,1.75 1,1,2024-09-07 08:13:00:560,13653,13653,0,0,6444120486,69333611,13281,339,33,374,391486,0 1,2,2024-09-07 08:13:00:656,6486,6486,0,0,365603,0,1321 1,3,2024-09-07 08:13:01:312,1,8,1,1,36,107,8,0 2,0,2024-09-07 08:13:01:589,3610,0.8,3695,1.3,7069,1.1,9993,1.75 2,1,2024-09-07 08:13:00:863,13812,13812,0,0,6832736355,71946959,13731,81,0,384,391479,0 2,2,2024-09-07 08:13:01:275,11603,11603,0,0,1072319,0,3304 2,3,2024-09-07 08:13:00:691,1,8,8,1,87,194,8,0 3,0,2024-09-07 08:13:01:754,1018,0.2,1050,0.3,2141,0.1,2509,1.50 3,1,2024-09-07 08:13:01:621,13830,13830,0,0,6422999756,68152462,13657,173,0,383,391493,0 3,2,2024-09-07 08:13:01:143,11329,11329,0,0,746956,0,2192 3,3,2024-09-07 08:13:01:752,1,8,2,1,23,49,8,0 4,0,2024-09-07 08:13:01:791,990,0.3,974,0.4,1997,0.2,2384,1.75 4,1,2024-09-07 08:13:00:595,13581,13581,0,0,6127023658,69255511,12587,651,343,374,391680,0 4,2,2024-09-07 08:13:01:034,9106,9106,0,0,1434812,0,4528 4,3,2024-09-07 08:13:01:029,1,8,15,9,21,117,8,0 5,0,2024-09-07 08:13:01:408,960,0.3,985,0.5,1941,0.2,2085,1.75 5,1,2024-09-07 08:13:00:778,13751,13751,0,0,6766108595,73607553,13232,428,91,373,392005,0 5,2,2024-09-07 08:13:01:830,8110,8110,0,0,583712,0,1912 5,3,2024-09-07 08:13:01:732,1,8,5,1,17,40,8,0 6,0,2024-09-07 08:13:00:944,3085,0.8,2993,1.5,6207,1.1,8354,2.00 6,1,2024-09-07 08:13:00:755,13714,13714,0,0,7042963912,74869851,13479,231,4,382,391483,0 6,2,2024-09-07 08:13:01:117,11448,11448,0,0,919579,0,1686 6,3,2024-09-07 08:13:01:274,1,8,1,1,277,500,8,0 7,0,2024-09-07 08:13:01:544,909,0.2,905,0.4,1928,0.1,2144,1.75 7,1,2024-09-07 08:13:00:857,13749,13749,0,0,6796946195,74017294,13220,465,64,385,391480,0 7,2,2024-09-07 08:13:00:771,10443,10443,0,0,1069563,0,2981 7,3,2024-09-07 08:13:00:853,1,8,2,1,40,81,8,0 8,0,2024-09-07 08:13:01:347,2101,0.3,2084,0.6,4116,0.2,5433,1.75 8,1,2024-09-07 08:13:01:026,13516,13516,0,0,6992919326,81542552,11821,946,749,370,391724,0 8,2,2024-09-07 08:13:00:813,8944,8944,0,0,922939,0,2986 8,3,2024-09-07 08:13:00:598,1,8,22,8,22,103,8,0 9,0,2024-09-07 08:13:01:132,2168,0.4,2131,0.7,4306,0.3,5842,1.75 9,1,2024-09-07 08:13:00:553,13616,13616,0,0,6823274092,77571727,12358,784,474,373,391496,0 9,2,2024-09-07 08:13:01:090,9949,9949,0,0,656277,0,1631 9,3,2024-09-07 08:13:01:755,1,8,1,1,50,130,8,0 10,0,2024-09-07 08:13:01:635,2939,0.8,2905,0.9,5803,0.7,7719,2.00 10,1,2024-09-07 08:13:00:585,13404,13404,0,0,6574143767,75924951,11807,1369,228,384,391540,0 10,2,2024-09-07 08:13:00:785,9246,9246,0,0,861492,0,2932 10,3,2024-09-07 08:13:00:881,1,8,1,0,118,133,8,0 11,0,2024-09-07 08:13:01:032,2559,6.5,2602,4.4,5008,7.5,8642,3.75 11,1,2024-09-07 08:13:00:576,13189,13189,0,0,7032702925,80712879,11687,841,661,384,391483,0 11,2,2024-09-07 08:13:01:129,10237,10237,0,0,844575,0,2635 11,3,2024-09-07 08:13:01:298,1,8,1,1,62,189,8,0 12,0,2024-09-07 08:13:01:118,2626,0.3,2570,0.5,5263,0.2,7040,1.50 12,1,2024-09-07 08:13:00:953,13848,13848,0,0,6479233329,67377305,13830,16,2,372,391483,0 12,2,2024-09-07 08:13:01:547,10872,10872,0,0,715335,0,2254 12,3,2024-09-07 08:13:01:065,1,8,16,8,17,96,8,0 13,0,2024-09-07 08:13:01:352,1477,0.2,1422,0.4,2802,0.2,3604,1.50 13,1,2024-09-07 08:13:01:540,14220,14220,0,0,7357120781,76717572,14160,60,0,387,391516,0 13,2,2024-09-07 08:13:00:602,11062,11062,0,0,334757,0,1011 13,3,2024-09-07 08:13:01:762,1,8,1,1,153,220,8,0 14,0,2024-09-07 08:13:00:593,2409,0.2,2435,0.5,4617,0.2,6145,1.75 14,1,2024-09-07 08:13:01:565,14269,14269,0,0,6877363350,70706163,14259,10,0,365,391481,0 14,2,2024-09-07 08:13:00:768,6902,6902,0,0,276771,0,1934 14,3,2024-09-07 08:13:01:115,1,8,1,1,40,69,8,0 15,0,2024-09-07 08:13:01:567,3161,0.5,3184,1.0,6391,0.6,8643,2.00 15,1,2024-09-07 08:13:01:610,13953,13953,0,0,6286852673,65371530,13947,6,0,381,391481,0 15,2,2024-09-07 08:13:01:004,11658,11658,0,0,335438,0,993 15,3,2024-09-07 08:13:01:409,1,8,0,0,538,656,8,0 16,0,2024-09-07 08:13:01:000,1246,0.2,1234,0.3,2471,0.1,3129,1.50 16,1,2024-09-07 08:13:00:590,13861,13861,0,0,6303123883,68163102,13449,409,3,374,391543,0 16,2,2024-09-07 08:13:01:451,11542,11542,0,0,1288722,0,4719 16,3,2024-09-07 08:13:01:143,1,8,20,9,45,166,8,0 17,0,2024-09-07 08:13:01:800,1056,0.2,1061,0.3,2016,0.1,2396,1.50 17,1,2024-09-07 08:13:00:583,13798,13798,0,0,6920520241,75281706,13253,409,136,374,391671,0 17,2,2024-09-07 08:13:01:673,10235,10235,0,0,652141,0,1865 17,3,2024-09-07 08:13:00:609,1,8,8,1,15,62,8,0 18,0,2024-09-07 08:13:00:986,613,0.2,601,0.3,1229,0.1,1381,1.50 18,1,2024-09-07 08:13:01:639,13727,13727,0,0,6606565897,69936321,13625,100,2,371,391494,0 18,2,2024-09-07 08:13:01:757,6910,6910,0,0,640061,0,2688 18,3,2024-09-07 08:13:00:904,1,8,4,1,142,206,8,0 19,0,2024-09-07 08:13:01:570,3262,1.1,3238,1.0,6361,1.5,9036,1.75 19,1,2024-09-07 08:13:00:569,14024,14024,0,0,7064558404,74747000,13729,270,25,370,391512,0 19,2,2024-09-07 08:13:01:753,11872,11872,0,0,647023,0,1669 19,3,2024-09-07 08:13:01:129,1,8,1,1,29,41,8,0 20,0,2024-09-07 08:13:01:372,936,0.3,948,0.4,1898,0.2,2147,1.75 20,1,2024-09-07 08:13:00:605,13652,13652,0,0,6718088777,72628402,13287,365,0,373,391488,0 20,2,2024-09-07 08:13:00:946,11036,11036,0,0,786766,0,1484 20,3,2024-09-07 08:13:00:591,1,8,1,1,99,205,8,0 21,0,2024-09-07 08:13:01:230,1402,0.2,1425,0.5,2766,0.2,3372,1.75 21,1,2024-09-07 08:13:01:557,13745,13745,0,0,6464670096,70660525,13210,511,24,373,391962,0 21,2,2024-09-07 08:13:01:070,9199,9199,0,0,1013255,0,3747 21,3,2024-09-07 08:13:01:411,1,8,12,1,30,106,8,0 22,0,2024-09-07 08:13:01:734,2149,0.3,2250,0.8,4339,0.3,5522,1.75 22,1,2024-09-07 08:13:01:035,13541,13541,0,0,6940540941,79053961,12284,950,307,382,391488,0 22,2,2024-09-07 08:13:00:774,9471,9471,0,0,630478,0,1503 22,3,2024-09-07 08:13:01:069,1,8,1,0,48,97,8,0 23,0,2024-09-07 08:13:01:394,2603,0.6,2650,0.8,5196,0.6,7436,2.00 23,1,2024-09-07 08:13:01:005,14051,14051,0,0,7002911480,73583184,13912,139,0,371,391480,0 23,2,2024-09-07 08:13:01:096,10834,10834,0,0,741398,0,2078 23,3,2024-09-07 08:13:01:755,1,8,1,0,26,41,8,0 24,0,2024-09-07 08:13:00:837,2780,1.7,2746,2.0,5553,3.2,7081,2.00 24,1,2024-09-07 08:13:00:602,13913,13913,0,0,6223327187,67115215,13521,389,3,373,391540,0 24,2,2024-09-07 08:13:01:070,10015,10015,0,0,1057664,0,2912 24,3,2024-09-07 08:13:01:688,1,8,2,2,22,96,8,0 25,0,2024-09-07 08:13:01:358,2392,0.3,2422,0.6,4637,0.2,6317,1.75 25,1,2024-09-07 08:13:00:568,13844,13844,0,0,6844723477,73823672,13488,355,1,374,391484,0 25,2,2024-09-07 08:13:01:617,10319,10319,0,0,809321,0,1436 25,3,2024-09-07 08:13:01:000,1,8,10,2,50,164,8,0 26,0,2024-09-07 08:13:01:734,1510,0.2,1490,0.4,3078,0.1,4021,1.50 26,1,2024-09-07 08:13:01:556,13586,13586,0,0,6814655713,78300759,12244,795,547,383,391480,0 26,2,2024-09-07 08:13:00:862,10562,10562,0,0,981662,0,2576 26,3,2024-09-07 08:13:01:712,1,8,13,1,796,849,8,0 27,0,2024-09-07 08:13:01:733,2552,0.6,2615,0.9,5345,0.8,7587,1.75 27,1,2024-09-07 08:13:01:683,14096,14096,0,0,6965277269,73437641,14001,94,1,382,391526,0 27,2,2024-09-07 08:13:00:869,7969,7969,0,0,1161466,0,2975 27,3,2024-09-07 08:13:01:015,1,8,86,1,86,112,8,0 28,0,2024-09-07 08:13:01:406,2664,0.3,2582,0.5,5219,0.2,7038,1.75 28,1,2024-09-07 08:13:00:800,14255,14255,0,0,7263909087,75105328,14254,1,0,386,391488,0 28,2,2024-09-07 08:13:01:765,10621,10621,0,0,571192,0,2177 28,3,2024-09-07 08:13:01:776,1,8,20,8,20,87,8,0 29,0,2024-09-07 08:13:01:375,2047,0.4,2017,0.6,3879,0.4,5593,2.00 29,1,2024-09-07 08:13:01:564,14054,14054,0,0,7067783929,74160809,13649,233,172,371,391511,0 29,2,2024-09-07 08:13:00:861,11621,11621,0,0,384295,0,1451 29,3,2024-09-07 08:13:00:963,1,8,1,1,30,45,8,0 30,0,2024-09-07 08:13:01:468,1149,0.2,1109,0.4,2278,0.1,2935,1.75 30,1,2024-09-07 08:13:00:573,13985,13985,0,0,7081293975,73459314,13951,34,0,382,391524,0 30,2,2024-09-07 08:13:01:275,10941,10941,0,0,292656,0,678 30,3,2024-09-07 08:13:00:582,1,8,0,0,110,117,8,0 31,0,2024-09-07 08:13:01:781,1800,0.4,1855,0.6,3646,0.3,4995,2.00 31,1,2024-09-07 08:13:00:567,13883,13883,0,0,6749276485,69151120,13883,0,0,356,391478,0 31,2,2024-09-07 08:13:01:276,6818,6818,0,0,270323,0,1593 31,3,2024-09-07 08:13:01:705,1,8,1,0,8,28,8,0 32,0,2024-09-07 08:13:01:428,3633,0.6,3700,0.9,7248,0.4,9888,1.50 32,1,2024-09-07 08:13:00:807,13999,13999,0,0,6574050093,68826287,13953,45,1,384,391488,0 32,2,2024-09-07 08:13:00:939,11798,11798,0,0,617690,0,1970 32,3,2024-09-07 08:13:01:015,1,8,1,1,37,119,8,0 33,0,2024-09-07 08:13:01:509,1053,0.1,1020,0.2,2056,0.1,2488,1.25 33,1,2024-09-07 08:13:00:580,13859,13859,0,0,7325284219,76765815,13640,208,11,370,391482,0 33,2,2024-09-07 08:13:00:759,11079,11079,0,0,1060288,0,3364 33,3,2024-09-07 08:13:00:896,1,8,2,2,27,58,8,0 34,0,2024-09-07 08:13:00:947,987,0.1,1025,0.2,1993,0.1,2344,1.25 34,1,2024-09-07 08:13:01:055,14120,14120,0,0,7038885254,73925611,13995,125,0,369,391481,0 34,2,2024-09-07 08:13:00:768,9611,9611,0,0,668423,0,2070 34,3,2024-09-07 08:13:01:688,1,8,1,0,12,18,8,0 35,0,2024-09-07 08:13:00:876,1007,0.2,1012,0.3,1946,0.1,2082,1.50 35,1,2024-09-07 08:13:01:072,13654,13654,0,0,6450127666,69194595,13157,396,101,385,391481,0 35,2,2024-09-07 08:13:01:586,8137,8137,0,0,505985,0,2276 35,3,2024-09-07 08:13:00:911,1,8,5,1,219,235,8,0 36,0,2024-09-07 08:13:01:561,3066,2.5,3080,2.2,6192,1.8,8211,3.75 36,1,2024-09-07 08:13:00:601,13342,13342,0,0,6725530198,78864758,11413,1173,756,370,391535,0 36,2,2024-09-07 08:13:01:754,11497,11497,0,0,726970,0,1172 36,3,2024-09-07 08:13:00:869,1,8,12,3,54,142,8,0 37,0,2024-09-07 08:13:01:390,928,0.2,928,0.6,1904,0.1,2160,2.00 37,1,2024-09-07 08:13:00:580,12767,12760,0,7,6506614216,81965870,10642,611,1507,371,391507,0 37,2,2024-09-07 08:13:01:143,10911,10911,0,0,670343,0,1448 37,3,2024-09-07 08:13:01:766,1,8,3,1,31,70,8,0 38,0,2024-09-07 08:13:01:445,2067,0.7,2030,0.7,4129,0.5,5435,2.50 38,1,2024-09-07 08:13:01:605,14116,14116,0,0,7173207848,76108236,13901,214,1,372,391512,0 38,2,2024-09-07 08:13:00:762,9248,9248,0,0,921098,0,3245 38,3,2024-09-07 08:13:01:002,1,8,36,1,36,69,8,0 39,0,2024-09-07 08:13:01:780,2180,0.4,2182,0.7,4316,0.3,5899,2.00 39,1,2024-09-07 08:13:00:730,13589,13589,0,0,6377713752,71499395,12567,604,418,369,391480,0 39,2,2024-09-07 08:13:01:430,9969,9969,0,0,699306,0,1786 39,3,2024-09-07 08:13:00:713,1,8,1,1,189,251,8,0 40,0,2024-09-07 08:13:01:545,2662,3.0,2778,6.1,5464,6.9,7442,4.50 40,1,2024-09-07 08:13:00:579,13651,13651,0,0,6575445462,71345252,13074,433,144,371,391481,0 40,2,2024-09-07 08:13:01:304,9525,9524,1,0,1238646,0,5137 40,3,2024-09-07 08:13:01:142,1,8,2,2,21,86,8,0 41,0,2024-09-07 08:13:01:037,2171,7.9,2120,5.4,4603,9.5,6966,8.50 41,1,2024-09-07 08:13:00:771,13584,13584,0,0,6544837800,72402719,12630,762,192,373,391483,0 41,2,2024-09-07 08:13:00:759,10288,10288,0,0,1126297,0,3325 41,3,2024-09-07 08:13:01:683,1,8,2,1,3,11,8,0 42,0,2024-09-07 08:13:01:484,2597,0.7,2518,1.1,5181,0.7,7006,3.50 42,1,2024-09-07 08:13:01:447,13341,13341,0,0,6823895920,78384399,12088,640,613,383,391489,0 42,2,2024-09-07 08:13:01:139,10633,10633,0,0,835949,0,1746 42,3,2024-09-07 08:13:01:013,1,8,8,1,54,74,8,0 43,0,2024-09-07 08:13:00:933,1408,0.3,1428,0.7,2910,0.2,3526,2.00 43,1,2024-09-07 08:13:00:582,13408,13408,0,0,6861100454,77243798,12168,650,590,369,391479,0 43,2,2024-09-07 08:13:01:736,10824,10824,0,0,1200132,0,3812 43,3,2024-09-07 08:13:01:750,1,8,18,1,292,588,8,0 44,0,2024-09-07 08:13:00:870,2359,0.2,2265,0.8,4711,0.2,6127,1.75 44,1,2024-09-07 08:13:00:567,14181,14181,0,0,6673007012,68655232,14129,52,0,358,391490,0 44,2,2024-09-07 08:13:01:268,6867,6867,0,0,206253,0,1004 44,3,2024-09-07 08:13:01:105,1,8,1,1,28,107,8,0 45,0,2024-09-07 08:13:01:767,3142,0.3,3146,0.6,6347,0.3,8682,1.75 45,1,2024-09-07 08:13:01:007,13802,13802,0,0,7328204683,75637384,13779,23,0,382,391510,0 45,2,2024-09-07 08:13:01:270,11420,11420,0,0,382874,0,1025 45,3,2024-09-07 08:13:00:934,1,8,1,1,33,61,8,0 46,0,2024-09-07 08:13:00:969,1225,0.1,1218,0.3,2444,0.1,3110,1.50 46,1,2024-09-07 08:13:00:588,14141,14141,0,0,6816016909,70112311,14137,3,1,370,391487,0 46,2,2024-09-07 08:13:00:595,11772,11772,0,0,701104,0,2835 46,3,2024-09-07 08:13:01:130,1,8,1,1,200,304,8,0 47,0,2024-09-07 08:13:01:120,980,0.1,1025,0.3,2006,0.1,2312,1.50 47,1,2024-09-07 08:13:00:569,14132,14132,0,0,6629265508,68006555,14130,2,0,368,391481,0 47,2,2024-09-07 08:13:00:916,10167,10167,0,0,519466,0,2194 47,3,2024-09-07 08:13:01:115,1,8,1,1,25,54,8,0 48,0,2024-09-07 08:13:01:528,606,0.1,630,0.2,1227,0.1,1427,1.50 48,1,2024-09-07 08:13:01:033,13729,13729,0,0,6823866055,72613707,13442,287,0,387,391488,0 48,2,2024-09-07 08:13:00:700,7132,7132,0,0,269174,0,1515 48,3,2024-09-07 08:13:00:757,1,8,2,2,21,81,8,0 49,0,2024-09-07 08:13:01:722,3236,0.4,3289,0.6,6258,0.4,9039,1.75 49,1,2024-09-07 08:13:01:022,14125,14125,0,0,6457040599,67829730,14007,118,0,382,391583,0 49,2,2024-09-07 08:13:01:803,11813,11813,0,0,726474,0,2196 49,3,2024-09-07 08:13:01:416,1,8,8,1,274,386,8,0 50,0,2024-09-07 08:13:01:524,919,0.1,945,0.2,1816,0.1,2132,1.25 50,1,2024-09-07 08:13:01:016,13911,13911,0,0,6803313563,72796290,13251,480,180,370,391530,0 50,2,2024-09-07 08:13:01:072,11353,11353,0,0,467333,0,2034 50,3,2024-09-07 08:13:01:317,1,8,1,1,335,356,8,0 51,0,2024-09-07 08:13:01:698,1430,0.1,1370,0.2,2731,0.1,3370,1.50 51,1,2024-09-07 08:13:01:681,14017,14017,0,0,7510698523,78242643,13808,176,33,369,391504,0 51,2,2024-09-07 08:13:01:321,8942,8942,0,0,399475,0,1936 51,3,2024-09-07 08:13:01:029,1,8,3,0,162,205,8,0 52,0,2024-09-07 08:13:01:431,2127,1.2,2108,1.5,4358,1.5,5276,3.50 52,1,2024-09-07 08:13:00:582,13426,13426,0,0,6817481015,76773951,12434,798,194,371,391498,0 52,2,2024-09-07 08:13:01:758,9196,9196,0,0,1173815,0,4779 52,3,2024-09-07 08:13:00:674,1,8,11,8,21,98,8,0 53,0,2024-09-07 08:13:01:743,2566,3.9,2531,2.7,5178,5.0,7224,4.50 53,1,2024-09-07 08:13:00:772,13562,13562,0,0,7047144737,79407580,12424,714,424,373,391483,0 53,2,2024-09-07 08:13:01:299,10755,10755,0,0,774473,0,1520 53,3,2024-09-07 08:13:00:697,1,8,1,1,28,38,8,0 54,0,2024-09-07 08:13:01:622,2439,10.0,1823,10.0,3220,8.1,6062,10.25 54,1,2024-09-07 08:13:00:581,13768,13768,0,0,7035379004,76499266,13040,694,34,370,391520,0 54,2,2024-09-07 08:13:00:880,9984,9978,6,0,1543043,0,5382 54,3,2024-09-07 08:13:00:766,1,8,2,2,42,77,8,0 55,0,2024-09-07 08:13:01:773,2331,1.4,2419,1.4,4848,1.5,6302,3.00 55,1,2024-09-07 08:13:00:772,13490,13490,0,0,6464182895,73214681,12073,922,495,370,391660,0 55,2,2024-09-07 08:13:00:736,10106,10106,0,0,819573,0,2129 55,3,2024-09-07 08:13:00:675,1,8,1,0,14,38,8,0 56,0,2024-09-07 08:13:01:624,1571,0.3,1517,0.6,3087,0.2,4093,2.00 56,1,2024-09-07 08:13:00:581,13418,13418,0,0,7390956687,83181766,12141,755,522,386,391482,0 56,2,2024-09-07 08:13:01:312,10706,10706,0,0,1175113,0,3567 56,3,2024-09-07 08:13:01:062,1,8,7,2,20,93,8,0 57,0,2024-09-07 08:13:00:943,2279,6.2,2224,4.2,4459,7.1,6767,6.50 57,1,2024-09-07 08:13:00:990,14058,14058,0,0,6875721186,73624107,13788,269,1,371,391505,0 57,2,2024-09-07 08:13:01:345,8003,8003,0,0,770933,0,2687 57,3,2024-09-07 08:13:01:738,1,8,13,1,13,39,8,0 58,0,2024-09-07 08:13:00:564,2590,0.5,2581,0.8,5274,0.3,6964,2.25 58,1,2024-09-07 08:13:00:582,13487,13487,0,0,7089999046,78336364,12446,893,148,372,391482,0 58,2,2024-09-07 08:13:01:074,10696,10696,0,0,849132,0,2464 58,3,2024-09-07 08:13:01:070,1,8,3,1,80,131,8,0 59,0,2024-09-07 08:13:01:753,1998,1.4,2001,1.5,3970,1.6,5580,2.75 59,1,2024-09-07 08:13:00:806,13861,13861,0,0,7163054224,76578814,13454,405,2,372,391515,0 59,2,2024-09-07 08:13:00:594,11535,11535,0,0,814048,0,1708 59,3,2024-09-07 08:13:01:737,1,8,20,1,20,59,8,0 60,0,2024-09-07 08:13:01:724,1137,0.2,1142,0.3,2271,0.1,2916,1.50 60,1,2024-09-07 08:13:00:781,14106,14106,0,0,6911920752,71376997,14103,1,2,370,391672,0 60,2,2024-09-07 08:13:01:142,10726,10726,0,0,711640,0,2142 60,3,2024-09-07 08:13:01:261,1,8,5,5,23,114,8,0 61,0,2024-09-07 08:13:01:534,1788,0.3,1804,0.5,3613,0.2,5006,1.75 61,1,2024-09-07 08:13:00:773,13841,13841,0,0,6854497715,74084099,13223,299,319,384,391504,0 61,2,2024-09-07 08:13:01:133,6749,6749,0,0,232820,0,1601 61,3,2024-09-07 08:13:01:690,1,8,1,1,72,97,8,0 62,0,2024-09-07 08:13:01:728,3521,0.3,3715,0.7,7174,0.3,9873,1.75 62,1,2024-09-07 08:13:01:123,14105,14105,0,0,7465306704,77099668,13941,162,2,369,391521,0 62,2,2024-09-07 08:13:01:654,11551,11551,0,0,602652,0,2030 62,3,2024-09-07 08:13:01:143,1,8,2,1,28,49,8,0 63,0,2024-09-07 08:13:01:461,1068,0.1,1060,0.3,2053,0.1,2480,1.50 63,1,2024-09-07 08:13:00:806,14232,14232,0,0,7273630447,75770174,14091,141,0,382,391489,0 63,2,2024-09-07 08:13:00:764,11222,11222,0,0,646166,0,2079 63,3,2024-09-07 08:13:01:732,1,8,2,1,216,260,8,0 64,0,2024-09-07 08:13:01:541,984,0.1,966,0.3,1968,0.1,2342,1.25 64,1,2024-09-07 08:13:00:758,13942,13942,0,0,7067469240,75953721,13271,617,54,374,391486,0 64,2,2024-09-07 08:13:01:145,9561,9561,0,0,580152,0,2510 64,3,2024-09-07 08:13:01:144,1,8,10,8,20,103,8,0 65,0,2024-09-07 08:13:01:709,961,0.2,1001,0.3,1916,0.1,2030,1.50 65,1,2024-09-07 08:13:00:885,13856,13856,0,0,6551256262,70401670,13414,436,6,382,391481,0 65,2,2024-09-07 08:13:01:707,8117,8117,0,0,454992,0,2374 65,3,2024-09-07 08:13:01:683,1,8,9,1,122,210,8,0 66,0,2024-09-07 08:13:01:782,3010,0.2,3058,0.4,6158,0.2,8355,1.50 66,1,2024-09-07 08:13:01:295,14261,14261,0,0,7133995801,75097951,13963,282,16,382,391537,0 66,2,2024-09-07 08:13:01:134,11570,11570,0,0,768585,0,2934 66,3,2024-09-07 08:13:01:079,1,8,2,1,45,62,8,0 67,0,2024-09-07 08:13:01:430,931,0.1,946,0.2,1865,0.1,2157,1.25 67,1,2024-09-07 08:13:00:768,14004,14003,0,1,6969105441,73223169,13927,76,0,383,391567,1 67,2,2024-09-07 08:13:00:589,10497,10497,0,0,678802,0,2104 67,3,2024-09-07 08:13:01:750,1,8,1,1,43,57,8,0 68,0,2024-09-07 08:13:00:610,2062,0.4,2075,0.9,4208,0.3,5447,2.00 68,1,2024-09-07 08:13:00:577,13433,13433,0,0,6643039691,75178904,12557,431,445,386,391482,0 68,2,2024-09-07 08:13:01:051,9384,9384,0,0,1216055,0,4883 68,3,2024-09-07 08:13:00:733,1,8,1,1,21,92,8,0 69,0,2024-09-07 08:13:01:759,2182,0.9,2119,1.2,4296,1.1,5913,2.50 69,1,2024-09-07 08:13:01:018,13106,13106,0,0,6350097292,76046535,11785,459,862,387,391483,0 69,2,2024-09-07 08:13:01:737,10044,10044,0,0,681499,0,2462 69,3,2024-09-07 08:13:00:761,1,8,1,1,20,55,8,0 70,0,2024-09-07 08:13:01:555,2747,7.0,2662,7.5,5448,3.5,7597,4.75 70,1,2024-09-07 08:13:00:806,13816,13816,0,0,7225216944,76693668,13576,223,17,371,391527,0 70,2,2024-09-07 08:13:01:328,9522,9522,0,0,778687,0,1937 70,3,2024-09-07 08:13:00:745,1,8,3,1,6,19,8,0 71,0,2024-09-07 08:13:01:382,2140,8.2,2267,6.7,4416,10.0,7725,7.75 71,1,2024-09-07 08:13:01:617,13644,13644,0,0,6739999410,74246013,12931,588,125,371,391484,0 71,2,2024-09-07 08:13:01:070,10399,10399,0,0,1029097,0,2146 71,3,2024-09-07 08:13:01:751,1,8,32,1,93,163,8,0 72,0,2024-09-07 08:13:01:075,2665,0.6,2608,0.8,5170,0.4,7014,3.25 72,1,2024-09-07 08:13:01:022,13681,13681,0,0,6446947822,70265312,13141,539,1,373,391496,0 72,2,2024-09-07 08:13:01:772,10465,10465,0,0,1131777,0,2043 72,3,2024-09-07 08:13:01:756,1,8,12,8,13,87,8,0 73,0,2024-09-07 08:13:01:159,1460,0.3,1426,0.6,2887,0.2,3555,2.25 73,1,2024-09-07 08:13:00:772,13775,13775,0,0,7014826827,74060898,13717,58,0,370,391485,0 73,2,2024-09-07 08:13:01:741,10998,10998,0,0,1175301,0,3482 73,3,2024-09-07 08:13:00:975,1,8,137,1,137,260,8,0 74,0,2024-09-07 08:13:01:345,2363,0.4,2382,0.8,4569,0.3,6148,2.50 74,1,2024-09-07 08:13:00:637,13838,13838,0,0,6301269985,67549749,13553,283,2,384,391494,0 74,2,2024-09-07 08:13:01:004,6809,6809,0,0,613381,0,2736 74,3,2024-09-07 08:13:01:445,1,8,1,1,192,661,8,0 75,0,2024-09-07 08:13:01:789,3181,1.1,3170,1.3,6389,1.4,8710,3.50 75,1,2024-09-07 08:13:01:586,13966,13966,0,0,6574659788,71896746,13492,423,51,384,391508,0 75,2,2024-09-07 08:13:01:351,10950,10950,0,0,1583131,0,4766 75,3,2024-09-07 08:13:01:071,1,8,1,1,8,18,8,0 76,0,2024-09-07 08:13:00:604,1262,0.1,1278,0.3,2444,0.1,3115,1.25 76,1,2024-09-07 08:13:00:812,13989,13989,0,0,6571438151,68370384,13980,9,0,386,391484,0 76,2,2024-09-07 08:13:01:072,12092,12092,0,0,442809,0,1006 76,3,2024-09-07 08:13:01:142,1,8,1,1,140,229,8,0 77,0,2024-09-07 08:13:01:782,1032,0.1,1027,0.2,1984,0.1,2352,1.25 77,1,2024-09-07 08:13:00:836,14026,14026,0,0,6338897649,66143225,13958,68,0,383,391512,0 77,2,2024-09-07 08:13:01:288,10161,10161,0,0,464952,0,1637 77,3,2024-09-07 08:13:01:111,1,8,1,1,29,39,8,0 78,0,2024-09-07 08:13:01:788,656,0.1,642,0.2,1221,0.1,1421,1.25 78,1,2024-09-07 08:13:00:615,13980,13980,0,0,5919408828,61957720,13914,66,0,370,391484,0 78,2,2024-09-07 08:13:01:410,7348,7348,0,0,160444,0,561 78,3,2024-09-07 08:13:01:138,1,8,1,1,112,139,8,0 79,0,2024-09-07 08:13:01:357,3166,0.3,3233,0.5,6491,0.3,9023,1.50 79,1,2024-09-07 08:13:00:574,14207,14207,0,0,7017772113,72738154,14103,104,0,372,391482,0 79,2,2024-09-07 08:13:01:072,11832,11832,0,0,435462,0,1314 79,3,2024-09-07 08:13:00:749,1,8,20,1,20,29,8,0 80,0,2024-09-07 08:13:01:139,874,0.2,919,0.3,1818,0.1,2149,1.50 80,1,2024-09-07 08:13:01:631,13803,13803,0,0,6785324008,72038147,13407,395,1,374,391673,0 80,2,2024-09-07 08:13:01:108,11056,11056,0,0,624267,0,1380 80,3,2024-09-07 08:13:00:578,1,8,50,8,72,209,8,0 81,0,2024-09-07 08:13:01:598,1387,0.2,1397,0.4,2729,0.1,3374,1.50 81,1,2024-09-07 08:13:01:653,13860,13860,0,0,6700829864,71203585,13540,313,7,383,391488,0 81,2,2024-09-07 08:13:01:126,8824,8824,0,0,650387,0,2811 81,3,2024-09-07 08:13:01:122,1,8,2,1,39,77,8,0 82,0,2024-09-07 08:13:01:539,2100,0.2,2047,0.5,4235,0.2,5515,1.50 82,1,2024-09-07 08:13:00:588,13753,13753,0,0,6692400599,70583908,13553,194,6,385,391489,0 82,2,2024-09-07 08:13:01:692,9312,9312,0,0,417746,0,1004 82,3,2024-09-07 08:13:01:752,1,8,1,1,65,119,8,0 83,0,2024-09-07 08:13:01:545,2654,0.4,2630,0.6,5282,0.3,7430,1.75 83,1,2024-09-07 08:13:00:553,13646,13646,0,0,7027630529,76041213,12991,576,79,384,391494,0 83,2,2024-09-07 08:13:00:771,10571,10571,0,0,671337,0,2512 83,3,2024-09-07 08:13:00:758,1,8,9,1,9,31,8,0 84,0,2024-09-07 08:13:01:882,2245,10.0,2269,10.0,4014,7.3,6577,6.50 84,1,2024-09-07 08:13:01:045,13778,13778,0,0,6596051968,74138626,12790,750,238,371,391538,0 84,2,2024-09-07 08:13:00:571,9776,9776,0,0,1361934,0,3801 84,3,2024-09-07 08:13:01:141,1,8,9,8,13,84,8,0 85,0,2024-09-07 08:13:01:017,2305,0.6,2341,0.8,4855,0.5,6393,2.25 85,1,2024-09-07 08:13:00:561,13414,13414,0,0,6759046194,77399001,12084,1041,289,384,391505,0 85,2,2024-09-07 08:13:00:874,9773,9773,0,0,1056011,0,3656 85,3,2024-09-07 08:13:00:689,1,8,1,1,88,114,8,0 86,0,2024-09-07 08:13:00:887,1484,0.3,1514,0.5,2944,0.2,4002,1.75 86,1,2024-09-07 08:13:00:827,13678,13678,0,0,7122639510,78163707,12928,722,28,369,391491,0 86,2,2024-09-07 08:13:00:855,10247,10246,1,0,1235254,0,5004 86,3,2024-09-07 08:13:00:590,1,8,24,1,24,85,8,0 87,0,2024-09-07 08:13:01:311,2573,5.1,2525,3.3,5189,5.5,7465,2.50 87,1,2024-09-07 08:13:00:558,13714,13714,0,0,6704341689,72587026,13240,426,48,369,391551,0 87,2,2024-09-07 08:13:01:074,7706,7706,0,0,512183,0,2148 87,3,2024-09-07 08:13:01:802,1,8,2,1,40,69,8,0 88,0,2024-09-07 08:13:01:458,2602,0.4,2615,0.7,5204,0.4,7056,1.75 88,1,2024-09-07 08:13:00:575,13680,13680,0,0,7248456938,78572728,13179,448,53,370,391747,0 88,2,2024-09-07 08:13:00:691,10722,10722,0,0,998178,0,2675 88,3,2024-09-07 08:13:01:269,1,8,2,2,13,75,8,0 89,0,2024-09-07 08:13:01:802,2049,1.0,2024,1.0,3969,1.2,5592,2.75 89,1,2024-09-07 08:13:00:552,13326,13326,0,0,7176186803,80841626,12342,478,506,384,391549,0 89,2,2024-09-07 08:13:01:153,11486,11486,0,0,1029925,0,2564 89,3,2024-09-07 08:13:01:793,1,8,7,4,55,117,8,0 90,0,2024-09-07 08:13:01:711,1127,0.3,1129,0.5,2365,0.2,2935,1.75 90,1,2024-09-07 08:13:00:608,13791,13791,0,0,6990237545,76011751,13270,503,18,383,391522,0 90,2,2024-09-07 08:13:01:411,10659,10659,0,0,868566,0,2225 90,3,2024-09-07 08:13:00:936,1,8,1,1,10,35,8,0 91,0,2024-09-07 08:13:00:974,1729,1.0,1790,1.0,3613,1.0,4961,2.25 91,1,2024-09-07 08:13:00:561,13824,13824,0,0,6968273533,77039927,12996,707,121,385,391914,0 91,2,2024-09-07 08:13:01:337,6540,6540,0,0,462744,0,1997 91,3,2024-09-07 08:13:00:602,1,8,5,1,84,155,8,0 92,0,2024-09-07 08:13:01:508,3603,0.4,3664,0.6,7187,0.5,9958,1.50 92,1,2024-09-07 08:13:00:624,13963,13963,0,0,6661131872,70282362,13666,251,46,383,391557,0 92,2,2024-09-07 08:13:01:352,11950,11950,0,0,767696,0,2279 92,3,2024-09-07 08:13:01:013,1,8,2,2,21,97,8,0 93,0,2024-09-07 08:13:00:989,1029,0.1,1020,0.2,2002,0.1,2445,1.25 93,1,2024-09-07 08:13:00:812,14060,14060,0,0,7002683641,72566223,14016,43,1,371,391689,0 93,2,2024-09-07 08:13:00:935,11641,11641,0,0,409552,0,1122 93,3,2024-09-07 08:13:01:407,1,8,1,1,143,194,8,0 94,0,2024-09-07 08:13:01:622,1025,0.2,972,0.2,1980,0.1,2388,1.25 94,1,2024-09-07 08:13:00:568,13971,13971,0,0,7573855395,79126692,13831,139,1,383,391554,0 94,2,2024-09-07 08:13:00:766,9432,9432,0,0,468683,0,1294 94,3,2024-09-07 08:13:01:691,1,8,10,1,231,386,8,0 95,0,2024-09-07 08:13:01:395,993,0.2,1054,0.3,1974,0.1,2047,1.25 95,1,2024-09-07 08:13:00:854,13983,13983,0,0,6668476103,68873292,13980,2,1,369,391590,0 95,2,2024-09-07 08:13:01:017,8214,8214,0,0,257455,0,943 95,3,2024-09-07 08:13:01:711,1,8,7,1,12,35,8,0 96,0,2024-09-07 08:13:01:045,3130,0.5,3087,0.7,6143,0.5,8406,1.75 96,1,2024-09-07 08:13:01:723,14130,14130,0,0,7199966238,76547522,13966,163,1,386,391551,0 96,2,2024-09-07 08:13:01:269,11706,11706,0,0,551114,0,1251 96,3,2024-09-07 08:13:01:140,1,8,19,8,30,113,8,0 97,0,2024-09-07 08:13:01:345,935,0.2,910,0.3,1893,0.1,2140,1.50 97,1,2024-09-07 08:13:00:773,13936,13936,0,0,7605929404,79251302,13703,227,6,370,391530,0 97,2,2024-09-07 08:13:00:628,10365,10365,0,0,610119,0,1663 97,3,2024-09-07 08:13:00:569,1,8,2,1,77,132,8,0 98,0,2024-09-07 08:13:01:795,2105,0.2,2088,0.4,4106,0.2,5450,1.50 98,1,2024-09-07 08:13:00:574,13761,13761,0,0,6901111643,72451350,13696,64,1,384,391588,0 98,2,2024-09-07 08:13:00:791,9460,9460,0,0,633387,0,2410 98,3,2024-09-07 08:13:00:698,1,8,2,1,155,185,8,0 99,0,2024-09-07 08:13:01:489,2109,0.2,2111,0.4,4273,0.2,5841,1.50 99,1,2024-09-07 08:13:01:749,14283,14283,0,0,7013173799,73040301,14238,44,1,383,391519,0 99,2,2024-09-07 08:13:01:423,9973,9973,0,0,579591,0,1388 99,3,2024-09-07 08:13:00:581,1,8,1,1,26,94,8,0 100,0,2024-09-07 08:13:01:493,2714,3.8,2626,7.5,5340,6.7,7236,4.25 100,1,2024-09-07 08:13:00:554,13605,13605,0,0,6215460850,72082190,12381,976,248,384,391505,0 100,2,2024-09-07 08:13:01:822,9363,9352,11,0,1336642,0,5417 100,3,2024-09-07 08:13:01:733,1,8,7,7,443,570,8,0 101,0,2024-09-07 08:13:01:735,2348,7.1,2454,5.2,4843,9.4,8526,4.75 101,1,2024-09-07 08:13:00:552,13486,13486,0,0,6797389400,76767153,12528,534,424,374,391516,0 101,2,2024-09-07 08:13:01:767,10150,10150,0,0,1471163,0,4644 101,3,2024-09-07 08:13:00:946,1,8,4,1,448,521,8,0 102,0,2024-09-07 08:13:00:982,2553,1.4,2642,1.2,5278,1.2,6975,2.50 102,1,2024-09-07 08:13:01:176,13916,13916,0,0,7072199077,77040514,13411,495,10,371,391621,0 102,2,2024-09-07 08:13:01:783,10815,10815,0,0,785745,0,1699 102,3,2024-09-07 08:13:01:622,1,8,1,1,410,509,8,0 103,0,2024-09-07 08:13:01:672,1436,0.4,1400,0.7,2784,0.2,3556,1.75 103,1,2024-09-07 08:13:01:639,13937,13937,0,0,6806532792,72885961,13635,255,47,383,391593,0 103,2,2024-09-07 08:13:00:595,10918,10918,0,0,830176,0,1484 103,3,2024-09-07 08:13:00:768,1,8,5,1,150,206,8,0 104,0,2024-09-07 08:13:01:025,2318,0.6,2395,0.8,4589,0.4,6157,2.00 104,1,2024-09-07 08:13:01:609,13956,13956,0,0,6972137134,75725096,13420,507,29,369,391948,0 104,2,2024-09-07 08:13:01:690,6816,6816,0,0,916737,0,3941 104,3,2024-09-07 08:13:01:416,1,8,21,8,21,106,8,0 105,0,2024-09-07 08:13:01:055,3223,0.8,3087,1.6,6431,1.3,8704,2.25 105,1,2024-09-07 08:13:00:570,13595,13595,0,0,6502523584,72165767,12701,730,164,369,391797,0 105,2,2024-09-07 08:13:01:324,11113,11113,0,0,1198371,0,3314 105,3,2024-09-07 08:13:01:309,1,8,54,1,169,251,8,0 106,0,2024-09-07 08:13:00:968,1197,0.3,1239,0.5,2518,0.2,3125,1.75 106,1,2024-09-07 08:13:01:847,13901,13901,0,0,6619758165,71534567,13513,385,3,373,391503,0 106,2,2024-09-07 08:13:00:764,11428,11428,0,0,940042,0,1600 106,3,2024-09-07 08:13:00:690,1,8,1,1,171,191,8,0 107,0,2024-09-07 08:13:01:140,1003,0.3,1004,0.5,1966,0.2,2334,1.75 107,1,2024-09-07 08:13:00:595,13687,13687,0,0,6874092836,75576838,12916,646,125,383,391848,0 107,2,2024-09-07 08:13:01:319,10031,10030,1,0,970643,0,5024 107,3,2024-09-07 08:13:01:757,1,8,3,2,75,140,8,0 108,0,2024-09-07 08:13:01:870,610,0.2,615,0.3,1202,0.1,1418,1.25 108,1,2024-09-07 08:13:01:302,13961,13961,0,0,6809663697,71572879,13643,243,75,372,391563,0 108,2,2024-09-07 08:13:01:759,7065,7065,0,0,268699,0,2051 108,3,2024-09-07 08:13:01:339,1,8,6,6,18,99,8,0 109,0,2024-09-07 08:13:01:769,3339,0.4,3274,0.6,6341,0.4,9091,1.75 109,1,2024-09-07 08:13:00:591,13965,13965,0,0,6869961653,71728699,13943,22,0,386,391490,0 109,2,2024-09-07 08:13:00:930,11569,11569,0,0,363965,0,865 109,3,2024-09-07 08:13:01:139,1,8,120,1,120,321,8,0 110,0,2024-09-07 08:13:01:792,911,0.1,918,0.2,1899,0.1,2146,1.25 110,1,2024-09-07 08:13:01:646,14328,14328,0,0,6635353666,69014721,14234,94,0,372,391535,0 110,2,2024-09-07 08:13:01:310,11489,11489,0,0,566771,0,2234 110,3,2024-09-07 08:13:00:696,1,8,9,1,16,35,8,0 111,0,2024-09-07 08:13:01:422,1364,0.1,1357,0.2,2855,0.1,3345,1.25 111,1,2024-09-07 08:13:01:002,14007,14007,0,0,7501811299,76935175,14002,4,1,385,391482,0 111,2,2024-09-07 08:13:01:122,9221,9221,0,0,410754,0,1130 111,3,2024-09-07 08:13:00:924,1,8,2,2,89,175,8,0 112,0,2024-09-07 08:13:00:920,2097,1.0,2119,0.6,4306,0.2,5533,1.75 112,1,2024-09-07 08:13:00:827,14065,14065,0,0,6827593874,71856580,14011,54,0,382,391487,0 112,2,2024-09-07 08:13:01:133,9437,9437,0,0,448736,0,1331 112,3,2024-09-07 08:13:00:592,1,8,1,1,21,84,8,0 113,0,2024-09-07 08:13:00:895,2550,0.5,2595,0.8,5266,0.5,7445,1.75 113,1,2024-09-07 08:13:01:687,13882,13882,0,0,7176247449,75630901,13637,245,0,370,391661,0 113,2,2024-09-07 08:13:01:304,10675,10675,0,0,428821,0,1275 113,3,2024-09-07 08:13:00:685,1,8,1,1,130,247,8,0 114,0,2024-09-07 08:13:00:911,2671,7.9,2523,6.8,4794,5.1,7069,3.25 114,1,2024-09-07 08:13:00:732,14135,14135,0,0,6857190359,71866784,13980,155,0,383,391513,0 114,2,2024-09-07 08:13:00:874,10277,10277,0,0,456277,0,1841 114,3,2024-09-07 08:13:01:278,1,8,1,1,159,170,8,0 115,0,2024-09-07 08:13:00:571,2355,0.3,2422,0.6,4742,0.2,6443,1.75 115,1,2024-09-07 08:13:00:575,13713,13713,0,0,7220114006,77179555,13254,352,107,384,391495,0 115,2,2024-09-07 08:13:01:126,10473,10473,0,0,479844,0,1535 115,3,2024-09-07 08:13:01:002,1,8,1,1,40,71,8,0 116,0,2024-09-07 08:13:01:730,1449,0.3,1476,0.5,3075,0.2,4003,1.75 116,1,2024-09-07 08:13:00:840,13368,13368,0,0,6341913610,73917627,12270,262,836,386,391495,0 116,2,2024-09-07 08:13:01:756,10913,10913,0,0,848756,0,2154 116,3,2024-09-07 08:13:00:936,1,8,132,8,132,193,8,0 117,0,2024-09-07 08:13:01:010,2552,4.5,2470,3.2,4980,4.8,7379,3.25 117,1,2024-09-07 08:13:01:581,13671,13671,0,0,6909318032,77019573,12775,677,219,372,391523,0 117,2,2024-09-07 08:13:01:122,8021,8021,0,0,891616,0,3700 117,3,2024-09-07 08:13:01:059,1,8,2,1,490,942,8,0 118,0,2024-09-07 08:13:01:788,2523,0.4,2549,0.7,5244,0.4,7012,1.75 118,1,2024-09-07 08:13:00:586,13814,13814,0,0,6636326782,71734982,13501,312,1,370,391488,0 118,2,2024-09-07 08:13:01:588,10731,10731,0,0,853968,0,1435 118,3,2024-09-07 08:13:01:764,1,8,3,2,41,135,8,0 119,0,2024-09-07 08:13:01:401,1955,0.4,1961,0.7,3998,0.3,5576,2.00 119,1,2024-09-07 08:13:00:550,13480,13480,0,0,6689644134,74305858,12649,701,130,372,391576,0 119,2,2024-09-07 08:13:01:271,11785,11785,0,0,909985,0,2222 119,3,2024-09-07 08:13:01:326,1,8,5,1,375,391,8,0 120,0,2024-09-07 08:13:01:622,1161,0.3,1176,0.5,2328,0.2,2933,1.75 120,1,2024-09-07 08:13:01:107,13860,13860,0,0,6543309519,70502481,13472,382,6,373,391546,0 120,2,2024-09-07 08:13:00:783,10376,10375,1,0,1675915,0,5281 120,3,2024-09-07 08:13:01:292,1,8,7,7,15,83,8,0 121,0,2024-09-07 08:13:01:715,1807,0.5,1844,0.8,3620,0.4,5001,2.00 121,1,2024-09-07 08:13:01:660,13529,13529,0,0,6802654713,75584116,12657,638,234,371,391633,0 121,2,2024-09-07 08:13:01:151,6720,6720,0,0,560504,0,2570 121,3,2024-09-07 08:13:00:729,1,8,1,1,71,115,8,0 122,0,2024-09-07 08:13:01:824,3309,5.8,3254,5.8,6759,7.0,10004,3.00 122,1,2024-09-07 08:13:00:874,13460,13460,0,0,6922794060,77759424,12393,706,361,372,392130,0 122,2,2024-09-07 08:13:01:327,11486,11486,0,0,1230481,0,2574 122,3,2024-09-07 08:13:00:600,1,8,38,4,226,689,8,0 123,0,2024-09-07 08:13:00:969,1045,0.3,1016,0.5,2088,0.2,2480,1.75 123,1,2024-09-07 08:13:00:561,13562,13562,0,0,6917408675,79261642,11961,1265,336,373,391495,0 123,2,2024-09-07 08:13:01:020,11331,11330,1,0,1476287,0,5215 123,3,2024-09-07 08:13:01:135,1,8,2,2,134,221,8,0 124,0,2024-09-07 08:13:00:963,1007,0.1,1007,0.2,1971,0.1,2366,1.25 124,1,2024-09-07 08:13:01:037,14138,14138,0,0,6832211636,70614635,14135,3,0,371,391483,0 124,2,2024-09-07 08:13:01:016,9650,9650,0,0,466397,0,2477 124,3,2024-09-07 08:13:00:777,1,8,41,8,41,149,8,0 125,0,2024-09-07 08:13:01:478,1003,0.2,979,0.3,1978,0.1,2048,1.50 125,1,2024-09-07 08:13:00:857,14131,14131,0,0,6991939296,73110752,14011,114,6,385,391502,0 125,2,2024-09-07 08:13:01:126,8293,8293,0,0,298784,0,1125 125,3,2024-09-07 08:13:01:126,1,8,1,1,67,154,8,0 126,0,2024-09-07 08:13:01:434,3018,0.2,3131,0.6,6090,0.3,8343,1.50 126,1,2024-09-07 08:13:00:553,14063,14063,0,0,6966473987,73526040,13784,273,6,369,391719,0 126,2,2024-09-07 08:13:00:612,11756,11756,0,0,512403,0,1557 126,3,2024-09-07 08:13:00:911,1,8,4,1,20,53,8,0 127,0,2024-09-07 08:13:01:724,929,0.2,936,0.2,1890,0.1,2143,1.25 127,1,2024-09-07 08:13:00:576,14171,14171,0,0,6809157877,70117251,14161,9,1,366,391483,0 127,2,2024-09-07 08:13:00:648,10731,10731,0,0,431674,0,1374 127,3,2024-09-07 08:13:01:267,1,8,3,2,19,56,8,0 128,0,2024-09-07 08:13:01:550,2030,0.3,2043,0.7,4148,0.3,5434,2.00 128,1,2024-09-07 08:13:01:609,13733,13733,0,0,7003493231,73420778,13617,115,1,370,391487,0 128,2,2024-09-07 08:13:01:386,9227,9227,0,0,704442,0,1712 128,3,2024-09-07 08:13:00:770,1,8,5,5,20,94,8,0 129,0,2024-09-07 08:13:01:009,2109,0.5,2204,0.7,4233,0.5,5811,2.00 129,1,2024-09-07 08:13:00:573,13896,13896,0,0,7040032958,74827975,13605,276,15,383,391752,0 129,2,2024-09-07 08:13:00:692,10022,10022,0,0,495737,0,2289 129,3,2024-09-07 08:13:00:689,1,8,3,1,24,55,8,0 130,0,2024-09-07 08:13:01:757,2937,2.3,2861,1.9,5765,3.3,7874,2.50 130,1,2024-09-07 08:13:00:589,13888,13888,0,0,7323348000,77510139,13644,243,1,381,391516,0 130,2,2024-09-07 08:13:01:159,9580,9580,0,0,390337,0,1019 130,3,2024-09-07 08:13:01:298,1,8,9,1,11,30,8,0 131,0,2024-09-07 08:13:01:998,2918,3.9,2829,2.9,5924,5.3,8712,3.00 131,1,2024-09-07 08:13:01:849,13996,13996,0,0,7050362425,74000980,13942,53,1,385,391727,0 131,2,2024-09-07 08:13:00:593,10412,10412,0,0,477585,0,1374 131,3,2024-09-07 08:13:01:692,1,8,6,1,23,55,8,0 132,0,2024-09-07 08:13:01:427,2519,0.7,2645,1.4,5222,0.8,7044,2.25 132,1,2024-09-07 08:13:00:592,13439,13439,0,0,6304777887,72433421,12143,1034,262,383,391533,0 132,2,2024-09-07 08:13:00:773,10429,10429,0,0,2430117,0,4606 132,3,2024-09-07 08:13:01:689,1,8,9,8,356,463,8,0 133,0,2024-09-07 08:13:01:543,1410,0.3,1403,0.7,2839,0.2,3546,1.75 133,1,2024-09-07 08:13:00:607,13463,13463,0,0,6449090097,71153693,12722,737,4,386,391492,0 133,2,2024-09-07 08:13:01:093,11088,11088,0,0,810192,0,1707 133,3,2024-09-07 08:13:01:297,1,8,3,1,18,41,8,0 134,0,2024-09-07 08:13:00:964,2345,0.6,2298,1.3,4587,0.7,6108,2.25 134,1,2024-09-07 08:13:00:597,13376,13376,0,0,6391916175,74935551,11938,692,746,369,391508,0 134,2,2024-09-07 08:13:01:768,6966,6966,0,0,439727,0,1739 134,3,2024-09-07 08:13:00:755,1,8,4,1,63,184,8,0 135,0,2024-09-07 08:13:01:151,3013,4.6,3037,4.0,6389,3.8,8454,3.75 135,1,2024-09-07 08:13:01:590,13912,13912,0,0,7073319252,75512136,13552,344,16,384,391491,0 135,2,2024-09-07 08:13:00:690,11131,11131,0,0,1173363,0,3016 135,3,2024-09-07 08:13:01:009,1,8,2,1,68,179,8,0 136,0,2024-09-07 08:13:01:663,1294,0.2,1219,0.5,2507,0.2,3215,1.75 136,1,2024-09-07 08:13:01:455,13994,13994,0,0,6993330751,77540257,13098,758,138,386,391501,0 136,2,2024-09-07 08:13:01:140,12022,12022,0,0,599714,0,1490 136,3,2024-09-07 08:13:01:106,1,8,24,2,24,97,8,0 137,0,2024-09-07 08:13:01:039,1036,0.2,1042,0.4,1977,0.2,2322,1.75 137,1,2024-09-07 08:13:00:589,13644,13644,0,0,6743326585,72936551,13258,380,6,370,391489,0 137,2,2024-09-07 08:13:01:714,9703,9703,0,0,1116171,0,2294 137,3,2024-09-07 08:13:00:774,1,8,10,1,13,58,8,0 138,0,2024-09-07 08:13:01:776,633,0.3,636,0.4,1266,0.2,1433,1.75 138,1,2024-09-07 08:13:01:687,13777,13777,0,0,6893344617,76508579,12792,768,217,371,391572,0 138,2,2024-09-07 08:13:00:593,7019,7019,0,0,768746,0,3263 138,3,2024-09-07 08:13:00:614,1,8,20,1,20,39,8,0 139,0,2024-09-07 08:13:01:405,3090,5.4,3022,3.2,6142,5.9,9003,3.00 139,1,2024-09-07 08:13:00:580,13613,13613,0,0,6896639647,78644466,12510,623,480,386,391511,0 139,2,2024-09-07 08:13:00:695,11861,11861,0,0,1024103,0,2233 139,3,2024-09-07 08:13:01:662,1,8,4,1,45,66,8,0 140,0,2024-09-07 08:13:01:610,910,0.1,934,0.3,1837,0.1,2160,1.50 140,1,2024-09-07 08:13:01:550,14173,14173,0,0,6996164249,71777431,14156,17,0,366,391482,0 140,2,2024-09-07 08:13:00:690,11168,11168,0,0,770534,0,2609 140,3,2024-09-07 08:13:00:774,1,8,2,2,13,66,8,0 141,0,2024-09-07 08:13:01:707,1319,0.2,1384,0.3,2743,0.1,3338,1.50 141,1,2024-09-07 08:13:00:864,14219,14219,0,0,7210519353,74023540,14218,1,0,383,391532,0 141,2,2024-09-07 08:13:01:687,8974,8974,0,0,411566,0,1869 141,3,2024-09-07 08:13:01:045,1,8,1,0,7,25,8,0 142,0,2024-09-07 08:13:01:354,2157,0.2,2153,0.5,4354,0.2,5507,1.50 142,1,2024-09-07 08:13:00:602,13950,13950,0,0,6724491430,69942462,13939,11,0,385,391483,0 142,2,2024-09-07 08:13:01:303,9290,9290,0,0,444972,0,2000 142,3,2024-09-07 08:13:01:749,1,8,8,1,11,42,8,0 143,0,2024-09-07 08:13:01:391,2582,0.6,2644,0.7,5355,0.7,7427,1.75 143,1,2024-09-07 08:13:00:564,13820,13820,0,0,6958777457,72629435,13648,172,0,370,391492,0 143,2,2024-09-07 08:13:00:778,10633,10633,0,0,561759,0,2572 143,3,2024-09-07 08:13:01:141,1,8,1,1,219,253,8,0 144,0,2024-09-07 08:13:01:550,2114,10.0,2826,10.0,3842,7.9,6908,3.50 144,1,2024-09-07 08:13:00:569,13784,13784,0,0,6579734481,70644859,13469,276,39,384,391557,0 144,2,2024-09-07 08:13:01:757,10177,10177,0,0,494269,0,1140 144,3,2024-09-07 08:13:01:767,1,8,18,8,99,214,8,0 145,0,2024-09-07 08:13:01:406,2340,0.6,2352,1.0,4823,0.5,6369,2.25 145,1,2024-09-07 08:13:00:554,13868,13868,0,0,6546455188,70572125,13658,210,0,386,391603,0 145,2,2024-09-07 08:13:01:431,9956,9956,0,0,1687200,0,3903 145,3,2024-09-07 08:13:00:901,1,8,106,2,151,446,8,0 146,0,2024-09-07 08:13:01:608,1512,0.4,1513,0.7,3050,0.2,4017,2.00 146,1,2024-09-07 08:13:01:589,13509,13509,0,0,6859946948,77080799,12379,884,246,371,391485,0 146,2,2024-09-07 08:13:01:700,10681,10681,0,0,833323,0,2149 146,3,2024-09-07 08:13:01:274,1,8,38,0,54,166,8,0 147,0,2024-09-07 08:13:01:773,2526,5.9,2448,4.0,5008,6.8,7558,4.50 147,1,2024-09-07 08:13:01:386,14055,14055,0,0,6543146515,67807661,14038,15,2,370,391598,0 147,2,2024-09-07 08:13:01:019,8151,8151,0,0,375360,0,2526 147,3,2024-09-07 08:13:00:924,1,8,45,2,141,278,8,0 0,0,2024-09-07 08:13:11:771,1322,0.2,1295,0.4,2701,0.2,3346,1.50 0,1,2024-09-07 08:13:10:807,15407,15407,0,0,7907735056,85538474,14897,405,105,373,391658,0 0,2,2024-09-07 08:13:11:073,12010,12010,0,0,506646,0,951 0,3,2024-09-07 08:13:10:975,1,9,6,3,19,100,9,0 1,0,2024-09-07 08:13:11:823,2092,0.3,2069,0.5,4220,0.2,5602,1.50 1,1,2024-09-07 08:13:10:597,15382,15382,0,0,7285650599,77915195,15010,339,33,374,391486,0 1,2,2024-09-07 08:13:10:690,7484,7484,0,0,381100,0,1321 1,3,2024-09-07 08:13:11:312,1,9,1,1,36,108,9,0 2,0,2024-09-07 08:13:11:580,4030,0.8,4091,1.3,7866,1.1,11038,1.75 2,1,2024-09-07 08:13:10:863,15536,15536,0,0,7591176409,79678512,15455,81,0,384,391479,0 2,2,2024-09-07 08:13:11:269,12815,12815,0,0,1082649,0,3304 2,3,2024-09-07 08:13:10:690,1,9,1,1,87,195,9,0 3,0,2024-09-07 08:13:11:770,1176,0.2,1217,0.3,2431,0.1,2974,1.50 3,1,2024-09-07 08:13:11:623,15648,15648,0,0,7767137561,81833155,15475,173,0,383,391493,0 3,2,2024-09-07 08:13:11:143,12774,12774,0,0,757152,0,2192 3,3,2024-09-07 08:13:11:753,1,9,1,1,23,50,9,0 4,0,2024-09-07 08:13:11:806,1095,0.3,1095,0.4,2240,0.2,2643,1.75 4,1,2024-09-07 08:13:10:616,15379,15379,0,0,6947558244,77712492,14385,651,343,374,391680,0 4,2,2024-09-07 08:13:11:036,10659,10659,0,0,1481712,0,4528 4,3,2024-09-07 08:13:11:035,1,9,8,8,21,125,9,0 5,0,2024-09-07 08:13:11:426,1036,0.3,1050,0.5,2088,0.2,2413,1.75 5,1,2024-09-07 08:13:10:775,15546,15546,0,0,7720700332,83483080,15026,429,91,372,392005,0 5,2,2024-09-07 08:13:11:832,8746,8746,0,0,597039,0,1912 5,3,2024-09-07 08:13:11:736,1,9,1,1,17,41,9,0 6,0,2024-09-07 08:13:10:954,3517,0.8,3440,1.4,7065,1.0,9660,2.00 6,1,2024-09-07 08:13:10:749,15536,15536,0,0,7970200329,84340867,15301,231,4,382,391603,0 6,2,2024-09-07 08:13:11:122,12910,12910,0,0,970620,0,1686 6,3,2024-09-07 08:13:11:274,1,9,1,1,277,501,9,0 7,0,2024-09-07 08:13:11:553,1003,0.2,994,0.4,2101,0.1,2439,1.50 7,1,2024-09-07 08:13:10:852,15551,15551,0,0,7702321953,83310737,15022,465,64,385,391481,0 7,2,2024-09-07 08:13:10:779,11927,11927,0,0,1101372,0,2981 7,3,2024-09-07 08:13:10:852,1,9,1,1,40,82,9,0 8,0,2024-09-07 08:13:11:340,2277,0.3,2253,0.6,4429,0.2,5680,1.75 8,1,2024-09-07 08:13:11:020,15267,15267,0,0,7783122346,90029649,13566,952,749,370,391724,0 8,2,2024-09-07 08:13:10:800,10130,10130,0,0,1038016,0,2986 8,3,2024-09-07 08:13:10:590,1,9,13,8,22,116,9,0 9,0,2024-09-07 08:13:11:225,2435,0.4,2384,0.7,4864,0.3,6354,1.75 9,1,2024-09-07 08:13:10:560,15364,15364,0,0,7716766892,86790852,14106,784,474,373,391496,0 9,2,2024-09-07 08:13:11:094,10904,10904,0,0,687150,0,1631 9,3,2024-09-07 08:13:11:754,1,9,15,1,50,145,9,0 10,0,2024-09-07 08:13:11:620,3292,0.8,3250,0.8,6500,0.7,8855,2.00 10,1,2024-09-07 08:13:10:585,15235,15235,0,0,7497413163,85509416,13638,1369,228,384,391540,0 10,2,2024-09-07 08:13:10:764,10862,10862,0,0,905659,0,2932 10,3,2024-09-07 08:13:10:880,1,9,2,0,118,135,9,0 11,0,2024-09-07 08:13:11:022,2906,7.2,2874,4.8,6171,8.3,8975,3.75 11,1,2024-09-07 08:13:10:579,14949,14949,0,0,7784662567,88553852,13447,841,661,384,391483,0 11,2,2024-09-07 08:13:11:124,11499,11499,0,0,901437,0,2635 11,3,2024-09-07 08:13:11:308,1,9,1,1,62,190,9,0 12,0,2024-09-07 08:13:11:025,2936,0.3,2876,0.5,5870,0.2,7825,1.50 12,1,2024-09-07 08:13:10:993,15565,15565,0,0,7263518570,75474249,15546,17,2,372,391483,0 12,2,2024-09-07 08:13:11:558,12016,12016,0,0,768950,0,2254 12,3,2024-09-07 08:13:11:059,1,9,2,2,17,98,9,0 13,0,2024-09-07 08:13:11:377,1653,0.2,1628,0.4,3213,0.2,4166,1.50 13,1,2024-09-07 08:13:11:535,15940,15940,0,0,8361188806,87007953,15877,62,1,387,391516,0 13,2,2024-09-07 08:13:10:617,12188,12188,0,0,404650,0,1379 13,3,2024-09-07 08:13:11:777,1,9,3,1,153,223,9,0 14,0,2024-09-07 08:13:10:588,2734,0.2,2751,0.5,5315,0.2,7433,1.50 14,1,2024-09-07 08:13:11:563,16015,16015,0,0,7566508503,78176019,15955,60,0,365,391481,0 14,2,2024-09-07 08:13:10:769,8208,8208,0,0,336100,0,1934 14,3,2024-09-07 08:13:11:119,1,9,12,1,40,81,9,0 15,0,2024-09-07 08:13:11:580,3501,0.5,3510,0.9,7006,0.6,9362,2.00 15,1,2024-09-07 08:13:11:610,15755,15755,0,0,7332911217,76042949,15749,6,0,381,391481,0 15,2,2024-09-07 08:13:10:999,12862,12862,0,0,370099,0,993 15,3,2024-09-07 08:13:11:412,1,9,201,0,538,857,9,0 16,0,2024-09-07 08:13:11:020,1492,0.2,1495,0.3,3008,0.2,4041,1.50 16,1,2024-09-07 08:13:10:568,15661,15661,0,0,7325375011,78541190,15249,409,3,373,391543,0 16,2,2024-09-07 08:13:11:437,12919,12919,0,0,1301464,0,4719 16,3,2024-09-07 08:13:11:141,1,9,231,9,231,397,9,0 17,0,2024-09-07 08:13:11:803,1199,0.2,1213,0.3,2306,0.1,2878,1.50 17,1,2024-09-07 08:13:10:586,15551,15551,0,0,7786677356,84094292,15006,409,136,373,391671,0 17,2,2024-09-07 08:13:11:674,11647,11647,0,0,669935,0,1865 17,3,2024-09-07 08:13:10:580,1,9,9,1,15,71,9,0 18,0,2024-09-07 08:13:10:980,848,0.2,847,0.3,1689,0.1,2299,1.50 18,1,2024-09-07 08:13:11:649,15506,15506,0,0,7373994144,77718838,15403,101,2,370,391494,0 18,2,2024-09-07 08:13:11:756,7723,7723,0,0,644809,0,2688 18,3,2024-09-07 08:13:10:898,1,9,2,1,142,208,9,0 19,0,2024-09-07 08:13:11:558,3703,1.0,3706,1.0,7284,1.4,10212,1.75 19,1,2024-09-07 08:13:10:583,15747,15747,0,0,7748280835,81715788,15452,270,25,370,391512,0 19,2,2024-09-07 08:13:11:754,13260,13260,0,0,658504,0,1669 19,3,2024-09-07 08:13:11:128,1,9,1,1,29,42,9,0 20,0,2024-09-07 08:13:11:371,1039,0.3,1068,0.4,2135,0.2,2479,1.75 20,1,2024-09-07 08:13:10:571,15422,15422,0,0,7695105777,82626431,15057,365,0,373,391488,0 20,2,2024-09-07 08:13:10:944,12458,12458,0,0,818749,0,1484 20,3,2024-09-07 08:13:10:615,1,9,10,1,99,215,9,0 21,0,2024-09-07 08:13:11:194,1530,0.2,1520,0.5,2979,0.2,3712,1.75 21,1,2024-09-07 08:13:11:560,15510,15510,0,0,7245179111,78646796,14975,511,24,373,391962,0 21,2,2024-09-07 08:13:11:068,10598,10598,0,0,1035177,0,3747 21,3,2024-09-07 08:13:11:409,1,9,14,1,30,120,9,0 22,0,2024-09-07 08:13:11:731,2229,0.3,2340,0.8,4551,0.3,5523,1.75 22,1,2024-09-07 08:13:11:027,15437,15437,0,0,7792790446,87877468,14174,956,307,382,391488,0 22,2,2024-09-07 08:13:10:770,10218,10218,0,0,638732,0,1503 22,3,2024-09-07 08:13:11:066,1,9,1,0,48,98,9,0 23,0,2024-09-07 08:13:11:393,2999,0.6,3067,0.7,5973,0.6,8437,2.00 23,1,2024-09-07 08:13:11:008,15770,15770,0,0,7959848023,83389458,15631,139,0,369,391480,0 23,2,2024-09-07 08:13:11:095,12380,12380,0,0,763542,0,2078 23,3,2024-09-07 08:13:11:756,1,9,1,0,26,42,9,0 24,0,2024-09-07 08:13:10:865,2867,1.7,2874,2.0,5758,3.2,7323,2.00 24,1,2024-09-07 08:13:10:634,15642,15642,0,0,7080773050,76026139,15250,389,3,373,391540,0 24,2,2024-09-07 08:13:11:075,11391,11391,0,0,1187872,0,2912 24,3,2024-09-07 08:13:11:714,1,9,2,2,22,98,9,0 25,0,2024-09-07 08:13:11:349,2657,0.3,2686,0.6,5128,0.2,6959,1.75 25,1,2024-09-07 08:13:10:560,15517,15517,0,0,7548373329,81157626,15161,355,1,374,391484,0 25,2,2024-09-07 08:13:11:610,11416,11416,0,0,870363,0,1436 25,3,2024-09-07 08:13:11:005,1,9,7,2,50,171,9,0 26,0,2024-09-07 08:13:11:734,1786,0.2,1744,0.4,3594,0.1,4900,1.50 26,1,2024-09-07 08:13:11:555,15383,15383,0,0,7686631418,87253918,14040,796,547,383,391480,0 26,2,2024-09-07 08:13:10:862,11811,11811,0,0,1147303,0,2576 26,3,2024-09-07 08:13:11:715,1,9,2,1,796,851,9,0 27,0,2024-09-07 08:13:11:743,2935,0.7,2966,0.9,6064,0.9,8280,1.75 27,1,2024-09-07 08:13:11:684,15924,15924,0,0,7896942840,83088590,15829,94,1,382,391526,0 27,2,2024-09-07 08:13:10:868,9302,9302,0,0,1330149,0,2975 27,3,2024-09-07 08:13:11:017,1,9,21,1,86,133,9,0 28,0,2024-09-07 08:13:11:429,3095,0.4,2998,0.6,6069,0.3,8578,1.75 28,1,2024-09-07 08:13:10:799,16042,16042,0,0,8271318675,85424952,16041,1,0,385,391488,0 28,2,2024-09-07 08:13:11:769,11996,11996,0,0,591946,0,2177 28,3,2024-09-07 08:13:11:778,1,9,14,8,20,101,9,0 29,0,2024-09-07 08:13:11:384,2387,0.4,2352,0.6,4552,0.3,6423,2.00 29,1,2024-09-07 08:13:11:572,15825,15825,0,0,7875099021,82457497,15420,233,172,371,391511,0 29,2,2024-09-07 08:13:10:860,12926,12926,0,0,408975,0,1451 29,3,2024-09-07 08:13:10:969,1,9,1,1,30,46,9,0 30,0,2024-09-07 08:13:11:471,1334,0.2,1261,0.4,2592,0.1,3349,1.75 30,1,2024-09-07 08:13:10:581,15697,15697,0,0,8020494663,83119533,15663,34,0,382,391524,0 30,2,2024-09-07 08:13:11:275,12168,12168,0,0,322497,0,678 30,3,2024-09-07 08:13:10:587,1,9,18,0,110,135,9,0 31,0,2024-09-07 08:13:11:768,2073,0.4,2123,0.6,4217,0.3,5614,1.75 31,1,2024-09-07 08:13:10:582,15718,15718,0,0,7506028669,76849263,15718,0,0,356,391478,0 31,2,2024-09-07 08:13:11:276,7935,7935,0,0,297079,0,1593 31,3,2024-09-07 08:13:11:708,1,9,1,0,8,29,9,0 32,0,2024-09-07 08:13:11:432,4018,0.6,4109,0.8,8018,0.3,10870,1.50 32,1,2024-09-07 08:13:10:806,15760,15760,0,0,7409839731,77321482,15714,45,1,383,391488,0 32,2,2024-09-07 08:13:10:936,13108,13108,0,0,627920,0,1970 32,3,2024-09-07 08:13:11:016,1,9,1,1,37,120,9,0 33,0,2024-09-07 08:13:11:500,1195,0.1,1197,0.2,2344,0.1,2926,1.25 33,1,2024-09-07 08:13:10:579,15610,15610,0,0,7862205826,82235451,15390,209,11,370,391482,0 33,2,2024-09-07 08:13:10:769,12606,12606,0,0,1078087,0,3364 33,3,2024-09-07 08:13:10:895,1,9,1,1,27,59,9,0 34,0,2024-09-07 08:13:10:947,1091,0.1,1147,0.2,2222,0.1,2592,1.25 34,1,2024-09-07 08:13:11:045,15850,15850,0,0,7743215376,81081084,15725,125,0,369,391481,0 34,2,2024-09-07 08:13:10:773,11090,11090,0,0,678253,0,2070 34,3,2024-09-07 08:13:11:687,1,9,2,0,12,20,9,0 35,0,2024-09-07 08:13:10:872,1060,0.2,1073,0.3,2054,0.1,2409,1.50 35,1,2024-09-07 08:13:11:074,15462,15462,0,0,7339928568,78227828,14965,396,101,385,391481,0 35,2,2024-09-07 08:13:11:586,8845,8845,0,0,510609,0,2276 35,3,2024-09-07 08:13:10:919,1,9,1,1,219,236,9,0 36,0,2024-09-07 08:13:11:532,3471,2.1,3488,2.1,7037,1.7,9527,3.50 36,1,2024-09-07 08:13:10:586,15198,15198,0,0,7376083996,85609778,13269,1173,756,370,391535,0 36,2,2024-09-07 08:13:11:756,13013,13013,0,0,767943,0,1172 36,3,2024-09-07 08:13:10:862,1,9,5,3,54,147,9,0 37,0,2024-09-07 08:13:11:376,1025,0.2,1044,0.6,2096,0.1,2454,1.75 37,1,2024-09-07 08:13:10:597,14551,14544,0,7,7504066494,92091989,12426,611,1507,370,391507,0 37,2,2024-09-07 08:13:11:143,12427,12427,0,0,686086,0,1448 37,3,2024-09-07 08:13:11:776,1,9,1,1,31,71,9,0 38,0,2024-09-07 08:13:11:454,2213,0.6,2170,0.7,4446,0.4,5687,2.50 38,1,2024-09-07 08:13:11:608,15984,15984,0,0,7970307326,84348556,15769,214,1,372,391512,0 38,2,2024-09-07 08:13:10:764,10366,10366,0,0,939317,0,3245 38,3,2024-09-07 08:13:10:996,1,9,15,1,36,84,9,0 39,0,2024-09-07 08:13:11:768,2463,0.4,2446,0.7,4835,0.3,6407,2.00 39,1,2024-09-07 08:13:10:729,15305,15305,0,0,7095348934,78871334,14283,604,418,369,391480,0 39,2,2024-09-07 08:13:11:419,11058,11058,0,0,720161,0,1786 39,3,2024-09-07 08:13:10:736,1,9,4,1,189,255,9,0 40,0,2024-09-07 08:13:11:498,2991,2.8,3139,5.7,6159,6.6,8679,4.25 40,1,2024-09-07 08:13:10:580,15526,15526,0,0,7385857416,80302920,14838,544,144,371,391481,0 40,2,2024-09-07 08:13:11:303,11066,11065,1,0,1300523,0,5137 40,3,2024-09-07 08:13:11:142,1,9,6,2,21,92,9,0 41,0,2024-09-07 08:13:11:075,2273,8.6,2473,7.0,4768,9.6,7635,8.50 41,1,2024-09-07 08:13:10:772,15447,15447,0,0,7319958234,81058132,14412,843,192,373,391483,0 41,2,2024-09-07 08:13:10:770,11457,11457,0,0,1190668,0,3325 41,3,2024-09-07 08:13:11:685,1,9,3,1,3,14,9,0 42,0,2024-09-07 08:13:11:491,2886,0.7,2832,1.1,5798,0.7,7808,3.25 42,1,2024-09-07 08:13:11:443,15227,15227,0,0,7541176574,85870931,13967,647,613,383,391489,0 42,2,2024-09-07 08:13:11:139,11646,11646,0,0,918581,0,1746 42,3,2024-09-07 08:13:11:014,1,9,1,1,54,75,9,0 43,0,2024-09-07 08:13:10:933,1591,0.3,1572,0.7,3259,0.2,4133,2.00 43,1,2024-09-07 08:13:10:581,15193,15193,0,0,7502591736,83832239,13953,650,590,368,391479,0 43,2,2024-09-07 08:13:11:737,12178,12178,0,0,1282545,0,3812 43,3,2024-09-07 08:13:11:752,1,9,2,1,292,590,9,0 44,0,2024-09-07 08:13:10:901,2726,0.2,2617,0.8,5365,0.2,7404,1.50 44,1,2024-09-07 08:13:10:575,15954,15954,0,0,7465661397,76743683,15902,52,0,358,391490,0 44,2,2024-09-07 08:13:11:270,8093,8093,0,0,228047,0,1004 44,3,2024-09-07 08:13:11:093,1,9,3,1,28,110,9,0 45,0,2024-09-07 08:13:11:770,3489,0.3,3442,0.6,6985,0.2,9463,1.75 45,1,2024-09-07 08:13:11:007,15762,15762,0,0,8232247411,85282259,15716,46,0,382,391510,0 45,2,2024-09-07 08:13:11:269,12697,12697,0,0,410126,0,1025 45,3,2024-09-07 08:13:10:934,1,9,1,1,33,62,9,0 46,0,2024-09-07 08:13:10:980,1502,0.1,1466,0.3,2927,0.1,4078,1.50 46,1,2024-09-07 08:13:10:579,15897,15897,0,0,7656304388,78666314,15893,3,1,370,391487,0 46,2,2024-09-07 08:13:10:594,13305,13305,0,0,730550,0,2835 46,3,2024-09-07 08:13:11:139,1,9,2,1,200,306,9,0 47,0,2024-09-07 08:13:11:123,1098,0.1,1161,0.3,2248,0.1,2806,1.50 47,1,2024-09-07 08:13:10:568,15932,15932,0,0,7416403191,76046245,15929,3,0,368,391481,0 47,2,2024-09-07 08:13:10:908,11644,11644,0,0,598935,0,2194 47,3,2024-09-07 08:13:11:120,1,9,4,1,25,58,9,0 48,0,2024-09-07 08:13:11:509,876,0.1,871,0.2,1701,0.1,2344,1.50 48,1,2024-09-07 08:13:11:023,15473,15473,0,0,7727498310,81800180,15186,287,0,387,391488,0 48,2,2024-09-07 08:13:10:703,7990,7990,0,0,276337,0,1515 48,3,2024-09-07 08:13:10:767,1,9,1,1,21,82,9,0 49,0,2024-09-07 08:13:11:727,3755,0.4,3777,0.6,7156,0.4,10271,1.75 49,1,2024-09-07 08:13:11:022,15833,15833,0,0,7385935603,77271441,15715,118,0,382,391583,0 49,2,2024-09-07 08:13:11:798,13303,13303,0,0,738602,0,2196 49,3,2024-09-07 08:13:11:416,1,9,1,1,274,387,9,0 50,0,2024-09-07 08:13:11:521,1028,0.1,1054,0.2,2071,0.1,2454,1.25 50,1,2024-09-07 08:13:11:020,15670,15670,0,0,7678677707,81649287,15010,480,180,370,391530,0 50,2,2024-09-07 08:13:11:068,12864,12864,0,0,479039,0,2034 50,3,2024-09-07 08:13:11:292,1,9,1,1,335,357,9,0 51,0,2024-09-07 08:13:11:703,1536,0.1,1488,0.2,2941,0.1,3690,1.50 51,1,2024-09-07 08:13:11:685,15763,15763,0,0,8459371505,87820791,15554,176,33,369,391504,0 51,2,2024-09-07 08:13:11:318,10307,10307,0,0,409415,0,1936 51,3,2024-09-07 08:13:11:027,1,9,3,0,162,208,9,0 52,0,2024-09-07 08:13:11:427,2222,1.1,2213,1.5,4543,1.4,5278,3.50 52,1,2024-09-07 08:13:10:585,15238,15238,0,0,7651887869,85307541,14246,798,194,371,391498,0 52,2,2024-09-07 08:13:11:756,9964,9964,0,0,1187083,0,4779 52,3,2024-09-07 08:13:10:679,1,9,12,8,21,110,9,0 53,0,2024-09-07 08:13:11:751,2954,3.6,2895,2.5,5989,4.3,8174,4.50 53,1,2024-09-07 08:13:10:782,15396,15396,0,0,7981104654,88910253,14258,714,424,373,391483,0 53,2,2024-09-07 08:13:11:310,12262,12262,0,0,807897,0,1520 53,3,2024-09-07 08:13:10:713,1,9,2,1,28,40,9,0 54,0,2024-09-07 08:13:11:631,2447,10.0,1839,10.0,3599,7.9,6280,10.00 54,1,2024-09-07 08:13:10:606,15554,15554,0,0,7803909291,84359741,14826,694,34,370,391520,0 54,2,2024-09-07 08:13:10:868,11436,11430,6,0,1559747,0,5382 54,3,2024-09-07 08:13:10:768,1,9,1,1,42,78,9,0 55,0,2024-09-07 08:13:11:771,2591,1.2,2656,1.3,5367,1.2,6895,3.00 55,1,2024-09-07 08:13:10:771,15269,15269,0,0,7338111876,82097553,13852,922,495,370,391660,0 55,2,2024-09-07 08:13:10:737,11255,11255,0,0,839868,0,2129 55,3,2024-09-07 08:13:10:681,1,9,1,0,14,39,9,0 56,0,2024-09-07 08:13:11:571,1860,0.4,1761,0.7,3603,0.2,4999,2.00 56,1,2024-09-07 08:13:10:578,15323,15323,0,0,8252504570,92403453,14045,756,522,386,391490,0 56,2,2024-09-07 08:13:11:310,11867,11867,0,0,1212296,0,3567 56,3,2024-09-07 08:13:11:060,1,9,2,2,20,95,9,0 57,0,2024-09-07 08:13:10:963,2698,7.0,2599,4.3,5457,7.7,7772,6.50 57,1,2024-09-07 08:13:10:990,15868,15868,0,0,7561814288,80891218,15598,269,1,371,391505,0 57,2,2024-09-07 08:13:11:320,9468,9468,0,0,838660,0,2687 57,3,2024-09-07 08:13:11:742,1,9,359,1,359,398,9,0 58,0,2024-09-07 08:13:10:584,2947,0.6,2968,1.0,5993,0.5,8404,2.25 58,1,2024-09-07 08:13:10:583,15274,15274,0,0,7975568992,87698627,14227,899,148,372,391482,0 58,2,2024-09-07 08:13:11:072,12012,12012,0,0,907053,0,2464 58,3,2024-09-07 08:13:11:069,1,9,1,1,80,132,9,0 59,0,2024-09-07 08:13:11:760,2302,1.3,2339,1.4,4609,1.5,6430,2.75 59,1,2024-09-07 08:13:10:817,15639,15639,0,0,7969682481,85110844,15213,424,2,372,391515,0 59,2,2024-09-07 08:13:10:583,12662,12662,0,0,901664,0,1708 59,3,2024-09-07 08:13:11:737,1,9,1,1,20,60,9,0 60,0,2024-09-07 08:13:11:738,1310,0.2,1312,0.3,2615,0.1,3336,1.50 60,1,2024-09-07 08:13:10:781,15965,15965,0,0,7889553106,81300720,15962,1,2,370,391672,0 60,2,2024-09-07 08:13:11:143,12096,12096,0,0,744923,0,2142 60,3,2024-09-07 08:13:11:261,1,9,2,2,23,116,9,0 61,0,2024-09-07 08:13:11:519,2105,0.3,2090,0.5,4158,0.2,5619,1.50 61,1,2024-09-07 08:13:10:784,15690,15690,0,0,7788982714,83675979,15072,299,319,384,391504,0 61,2,2024-09-07 08:13:11:122,7822,7822,0,0,284980,0,1601 61,3,2024-09-07 08:13:11:699,1,9,1,1,72,98,9,0 62,0,2024-09-07 08:13:11:726,3927,0.3,4107,0.6,8020,0.3,10888,1.75 62,1,2024-09-07 08:13:11:112,15895,15895,0,0,8279435260,85372233,15731,162,2,368,391521,0 62,2,2024-09-07 08:13:11:647,12805,12805,0,0,639754,0,2030 62,3,2024-09-07 08:13:11:149,1,9,1,1,28,50,9,0 63,0,2024-09-07 08:13:11:506,1215,0.1,1210,0.3,2311,0.1,2949,1.50 63,1,2024-09-07 08:13:10:806,16059,16059,0,0,8098095407,84189897,15918,141,0,382,391489,0 63,2,2024-09-07 08:13:10:763,12653,12653,0,0,678257,0,2079 63,3,2024-09-07 08:13:11:737,1,9,2,1,216,262,9,0 64,0,2024-09-07 08:13:11:528,1096,0.1,1074,0.3,2208,0.1,2578,1.25 64,1,2024-09-07 08:13:10:767,15791,15791,0,0,8002574806,85463617,15120,617,54,374,391604,0 64,2,2024-09-07 08:13:11:143,11081,11081,0,0,593438,0,2510 64,3,2024-09-07 08:13:11:142,1,9,3,3,20,106,9,0 65,0,2024-09-07 08:13:11:700,1023,0.2,1060,0.3,2044,0.1,2359,1.50 65,1,2024-09-07 08:13:10:863,15592,15592,0,0,7341695025,78525329,15149,436,7,382,391481,0 65,2,2024-09-07 08:13:11:696,8745,8745,0,0,459643,0,2374 65,3,2024-09-07 08:13:11:690,1,9,2,1,122,212,9,0 66,0,2024-09-07 08:13:11:776,3413,0.2,3478,0.4,6975,0.2,9686,1.50 66,1,2024-09-07 08:13:11:294,16019,16019,0,0,7928402956,83176413,15720,283,16,382,391537,0 66,2,2024-09-07 08:13:11:137,12998,12998,0,0,782297,0,2934 66,3,2024-09-07 08:13:11:084,1,9,2,1,45,64,9,0 67,0,2024-09-07 08:13:11:488,1035,0.1,1032,0.2,2077,0.1,2450,1.25 67,1,2024-09-07 08:13:10:788,15744,15743,0,1,7772020057,81397692,15666,77,0,383,391567,1 67,2,2024-09-07 08:13:10:584,12087,12087,0,0,698668,0,2104 67,3,2024-09-07 08:13:11:754,1,9,1,1,43,58,9,0 68,0,2024-09-07 08:13:10:619,2235,0.4,2239,0.9,4536,0.3,5713,2.00 68,1,2024-09-07 08:13:10:588,15176,15176,0,0,7374488426,82821442,14299,431,446,386,391482,0 68,2,2024-09-07 08:13:11:045,10477,10477,0,0,1241055,0,4883 68,3,2024-09-07 08:13:10:736,1,9,3,1,21,95,9,0 69,0,2024-09-07 08:13:11:797,2483,0.9,2366,1.2,4800,1.1,6434,2.50 69,1,2024-09-07 08:13:11:022,14839,14839,0,0,7407721016,86897520,13518,459,862,387,391483,0 69,2,2024-09-07 08:13:11:739,11085,11085,0,0,696137,0,2462 69,3,2024-09-07 08:13:10:763,1,9,8,1,20,63,9,0 70,0,2024-09-07 08:13:11:543,3091,6.6,3038,7.2,6195,3.2,8775,4.75 70,1,2024-09-07 08:13:10:803,15618,15618,0,0,7954990813,84115547,15378,223,17,371,391527,0 70,2,2024-09-07 08:13:11:327,11064,11064,0,0,819282,0,1937 70,3,2024-09-07 08:13:10:750,1,9,69,1,69,88,9,0 71,0,2024-09-07 08:13:11:363,2377,8.9,2748,8.5,4772,10.0,8098,7.75 71,1,2024-09-07 08:13:11:599,15527,15527,0,0,7550939369,82551504,14814,588,125,371,391484,0 71,2,2024-09-07 08:13:11:068,11585,11585,0,0,1059173,0,2146 71,3,2024-09-07 08:13:11:755,1,9,1,1,93,164,9,0 72,0,2024-09-07 08:13:11:033,2966,0.6,2914,0.8,5778,0.4,7801,3.50 72,1,2024-09-07 08:13:11:025,15415,15415,0,0,7310883268,79186787,14875,539,1,372,391496,0 72,2,2024-09-07 08:13:11:756,11625,11625,0,0,1169199,0,2043 72,3,2024-09-07 08:13:11:753,1,9,1,1,13,88,9,0 73,0,2024-09-07 08:13:11:121,1644,0.4,1615,0.6,3253,0.2,4175,2.25 73,1,2024-09-07 08:13:10:784,15704,15704,0,0,7900622810,83318099,15646,58,0,370,391485,0 73,2,2024-09-07 08:13:11:745,12165,12165,0,0,1281420,0,3482 73,3,2024-09-07 08:13:10:970,1,9,1,1,137,261,9,0 74,0,2024-09-07 08:13:11:350,2712,0.6,2711,1.0,5255,0.6,7413,3.25 74,1,2024-09-07 08:13:10:637,15565,15565,0,0,7178959956,76556631,15280,283,2,384,391494,0 74,2,2024-09-07 08:13:11:014,8074,8074,0,0,739962,0,2736 74,3,2024-09-07 08:13:11:442,1,9,2,1,192,663,9,0 75,0,2024-09-07 08:13:11:806,3537,1.1,3536,1.2,7023,1.3,9574,3.50 75,1,2024-09-07 08:13:11:617,15713,15713,0,0,7219406882,78678027,15239,423,51,384,391508,0 75,2,2024-09-07 08:13:11:369,12082,12082,0,0,1643970,0,4766 75,3,2024-09-07 08:13:11:070,1,9,1,1,8,19,9,0 76,0,2024-09-07 08:13:10:620,1502,0.1,1536,0.3,2965,0.1,4054,1.25 76,1,2024-09-07 08:13:10:816,15647,15647,0,0,7199184446,74980535,15637,10,0,386,391484,0 76,2,2024-09-07 08:13:11:062,13552,13552,0,0,472320,0,1006 76,3,2024-09-07 08:13:11:142,1,9,14,1,140,243,9,0 77,0,2024-09-07 08:13:11:712,1165,0.1,1191,0.2,2256,0.1,2855,1.25 77,1,2024-09-07 08:13:10:827,15788,15788,0,0,7199178217,74914673,15719,69,0,383,391512,0 77,2,2024-09-07 08:13:11:292,11553,11553,0,0,496210,0,1637 77,3,2024-09-07 08:13:11:095,1,9,1,1,29,40,9,0 78,0,2024-09-07 08:13:11:747,875,0.1,859,0.2,1712,0.1,2313,1.25 78,1,2024-09-07 08:13:10:620,15839,15839,0,0,7158287274,74489665,15773,66,0,370,391484,0 78,2,2024-09-07 08:13:11:405,8237,8237,0,0,186308,0,775 78,3,2024-09-07 08:13:11:134,1,9,20,1,112,159,9,0 79,0,2024-09-07 08:13:11:366,3650,0.3,3700,0.5,7405,0.3,10172,1.50 79,1,2024-09-07 08:13:10:579,15876,15876,0,0,7816483192,80934454,15772,104,0,372,391482,0 79,2,2024-09-07 08:13:11:073,13292,13292,0,0,462407,0,1314 79,3,2024-09-07 08:13:10:749,1,9,3,1,20,32,9,0 80,0,2024-09-07 08:13:11:087,985,0.2,1033,0.3,2055,0.1,2468,1.50 80,1,2024-09-07 08:13:11:636,15572,15572,0,0,7599809814,80305598,15176,395,1,374,391673,0 80,2,2024-09-07 08:13:11:098,12533,12533,0,0,642812,0,1380 80,3,2024-09-07 08:13:10:580,1,9,1,1,72,210,9,0 81,0,2024-09-07 08:13:11:545,1490,0.2,1523,0.4,2964,0.1,3715,1.50 81,1,2024-09-07 08:13:11:653,15587,15587,0,0,7444626384,78812903,15267,313,7,383,391488,0 81,2,2024-09-07 08:13:11:126,10163,10163,0,0,660854,0,2811 81,3,2024-09-07 08:13:11:119,1,9,1,1,39,78,9,0 82,0,2024-09-07 08:13:11:557,2205,0.2,2153,0.4,4412,0.2,5515,1.50 82,1,2024-09-07 08:13:10:601,15505,15504,0,1,7495955281,78767078,15304,194,6,385,391489,1 82,2,2024-09-07 08:13:11:694,10140,10140,0,0,422807,0,1004 82,3,2024-09-07 08:13:11:753,1,9,32,1,65,151,9,0 83,0,2024-09-07 08:13:11:531,3069,0.4,3026,0.6,6064,0.3,8335,1.75 83,1,2024-09-07 08:13:10:556,15434,15434,0,0,7838079341,84311449,14779,576,79,384,391494,0 83,2,2024-09-07 08:13:10:765,12070,12070,0,0,683783,0,2512 83,3,2024-09-07 08:13:10:752,1,9,1,1,9,32,9,0 84,0,2024-09-07 08:13:11:852,2279,10.0,2280,10.0,4355,7.2,6810,6.25 84,1,2024-09-07 08:13:11:040,15493,15493,0,0,7267214912,81018260,14505,750,238,370,391538,0 84,2,2024-09-07 08:13:10:584,11229,11229,0,0,1394523,0,3801 84,3,2024-09-07 08:13:11:141,1,9,4,4,13,88,9,0 85,0,2024-09-07 08:13:11:101,2561,0.5,2582,0.8,5422,0.5,7000,2.25 85,1,2024-09-07 08:13:10:585,15152,15152,0,0,7335353812,83388893,13822,1041,289,384,391505,0 85,2,2024-09-07 08:13:10:868,10828,10828,0,0,1073987,0,3656 85,3,2024-09-07 08:13:10:689,1,9,1,1,88,115,9,0 86,0,2024-09-07 08:13:10:893,1753,0.3,1794,0.5,3509,0.2,4857,1.75 86,1,2024-09-07 08:13:10:828,15456,15456,0,0,7856029221,85790536,14706,722,28,369,391491,0 86,2,2024-09-07 08:13:10:858,11469,11468,1,0,1266597,0,5004 86,3,2024-09-07 08:13:10:590,1,9,1,1,24,86,9,0 87,0,2024-09-07 08:13:11:348,2921,5.0,2884,3.2,5899,5.3,8182,2.25 87,1,2024-09-07 08:13:10:561,15449,15449,0,0,7422594516,80059695,14975,426,48,369,391551,0 87,2,2024-09-07 08:13:11:083,9178,9178,0,0,545924,0,2148 87,3,2024-09-07 08:13:11:798,1,9,1,1,40,70,9,0 88,0,2024-09-07 08:13:11:468,3008,0.4,3017,0.7,6050,0.4,8545,1.75 88,1,2024-09-07 08:13:10:573,15462,15462,0,0,7953378973,86463667,14918,491,53,370,391747,0 88,2,2024-09-07 08:13:10:701,12084,12084,0,0,1155185,0,2675 88,3,2024-09-07 08:13:11:267,1,9,5,2,13,80,9,0 89,0,2024-09-07 08:13:11:788,2385,0.9,2354,1.0,4628,1.0,6405,2.50 89,1,2024-09-07 08:13:10:560,15075,15075,0,0,7869445414,88121211,14089,480,506,384,391549,0 89,2,2024-09-07 08:13:11:145,12705,12705,0,0,1118994,0,2564 89,3,2024-09-07 08:13:11:793,1,9,17,4,55,134,9,0 90,0,2024-09-07 08:13:11:652,1286,0.3,1294,0.5,2716,0.2,3326,1.75 90,1,2024-09-07 08:13:10:602,15569,15569,0,0,7854918010,85200925,15047,504,18,383,391522,0 90,2,2024-09-07 08:13:11:419,11889,11889,0,0,908689,0,2225 90,3,2024-09-07 08:13:10:929,1,9,9,1,10,44,9,0 91,0,2024-09-07 08:13:10:942,2010,0.9,2070,1.0,4227,0.9,5595,2.25 91,1,2024-09-07 08:13:10:564,15528,15528,0,0,7862015116,86221491,14700,707,121,385,391914,0 91,2,2024-09-07 08:13:11:332,7607,7607,0,0,541927,0,1997 91,3,2024-09-07 08:13:10:601,1,9,4,1,84,159,9,0 92,0,2024-09-07 08:13:11:454,4008,0.4,4107,0.5,8015,0.5,10971,1.50 92,1,2024-09-07 08:13:10:589,15746,15746,0,0,7648532986,80381894,15449,251,46,383,391557,0 92,2,2024-09-07 08:13:11:356,13272,13272,0,0,830229,0,2279 92,3,2024-09-07 08:13:11:014,1,9,15,2,21,112,9,0 93,0,2024-09-07 08:13:10:973,1163,0.1,1160,0.2,2286,0.1,2895,1.25 93,1,2024-09-07 08:13:10:808,15862,15862,0,0,7840895405,81241344,15817,44,1,371,391689,0 93,2,2024-09-07 08:13:10:933,13195,13195,0,0,456987,0,1122 93,3,2024-09-07 08:13:11:410,1,9,2,1,143,196,9,0 94,0,2024-09-07 08:13:11:621,1136,0.1,1089,0.2,2180,0.1,2639,1.25 94,1,2024-09-07 08:13:10:576,15739,15739,0,0,8442288240,88075288,15599,139,1,383,391554,0 94,2,2024-09-07 08:13:10:773,10923,10923,0,0,555783,0,1446 94,3,2024-09-07 08:13:11:704,1,9,2,1,231,388,9,0 95,0,2024-09-07 08:13:11:417,1059,0.2,1094,0.3,2107,0.1,2388,1.25 95,1,2024-09-07 08:13:10:855,15782,15782,0,0,7511947397,77547935,15779,2,1,369,391590,0 95,2,2024-09-07 08:13:11:020,8866,8866,0,0,276586,0,943 95,3,2024-09-07 08:13:11:708,1,9,9,1,12,44,9,0 96,0,2024-09-07 08:13:11:061,3568,0.5,3511,0.6,6975,0.5,9760,1.75 96,1,2024-09-07 08:13:11:599,15979,15979,0,0,7998680693,84706819,15815,163,1,386,391551,0 96,2,2024-09-07 08:13:11:282,13182,13182,0,0,572145,0,1251 96,3,2024-09-07 08:13:11:140,1,9,10,8,30,123,9,0 97,0,2024-09-07 08:13:11:336,1033,0.2,1004,0.3,2089,0.1,2439,1.50 97,1,2024-09-07 08:13:10:767,15783,15783,0,0,8393364449,87271058,15550,227,6,370,391530,0 97,2,2024-09-07 08:13:10:618,11971,11971,0,0,625219,0,1663 97,3,2024-09-07 08:13:10:580,1,9,1,1,77,133,9,0 98,0,2024-09-07 08:13:11:712,2265,0.2,2232,0.4,4424,0.2,5704,1.50 98,1,2024-09-07 08:13:10:583,15601,15601,0,0,7769669385,81322839,15534,66,1,384,391588,0 98,2,2024-09-07 08:13:10:791,10536,10536,0,0,643059,0,2410 98,3,2024-09-07 08:13:10:714,1,9,2,1,155,187,9,0 99,0,2024-09-07 08:13:11:466,2385,0.2,2392,0.4,4783,0.2,6382,1.50 99,1,2024-09-07 08:13:11:728,16126,16126,0,0,7464216498,77715483,16081,44,1,383,391519,0 99,2,2024-09-07 08:13:11:425,11059,11059,0,0,593912,0,1388 99,3,2024-09-07 08:13:10:583,1,9,3,1,26,97,9,0 100,0,2024-09-07 08:13:11:482,3031,3.6,2956,7.3,6115,6.4,8565,3.50 100,1,2024-09-07 08:13:10:557,15399,15399,0,0,7144318714,81612225,14175,976,248,384,391505,0 100,2,2024-09-07 08:13:11:820,10935,10924,11,0,1368759,0,5417 100,3,2024-09-07 08:13:11:747,1,9,7,7,443,577,9,0 101,0,2024-09-07 08:13:11:752,3083,8.4,2906,7.2,5369,9.7,9089,4.75 101,1,2024-09-07 08:13:10:555,15239,15239,0,0,7537612376,84321572,14281,534,424,373,391516,0 101,2,2024-09-07 08:13:11:760,11450,11450,0,0,1489861,0,4644 101,3,2024-09-07 08:13:10:951,1,9,20,1,448,541,9,0 102,0,2024-09-07 08:13:11:014,2849,1.3,2929,1.2,5879,1.1,7800,2.50 102,1,2024-09-07 08:13:11:148,15673,15673,0,0,7869096195,85338341,15168,495,10,371,391621,0 102,2,2024-09-07 08:13:11:742,11925,11925,0,0,800439,0,1699 102,3,2024-09-07 08:13:11:618,1,9,1,1,410,510,9,0 103,0,2024-09-07 08:13:11:604,1639,0.4,1581,0.7,3170,0.2,4181,1.75 103,1,2024-09-07 08:13:11:634,15646,15646,0,0,7709012077,82119126,15344,255,47,381,391680,0 103,2,2024-09-07 08:13:10:593,12250,12250,0,0,877512,0,1484 103,3,2024-09-07 08:13:10:762,1,9,2,1,150,208,9,0 104,0,2024-09-07 08:13:11:032,2700,0.7,2764,0.8,5293,0.5,7391,2.00 104,1,2024-09-07 08:13:11:613,15792,15792,0,0,7697747928,83664062,15205,558,29,369,391948,0 104,2,2024-09-07 08:13:11:689,8047,8047,0,0,988277,0,3941 104,3,2024-09-07 08:13:11:417,1,9,8,8,21,114,9,0 105,0,2024-09-07 08:13:11:037,3568,0.7,3421,1.5,7077,1.3,9444,2.00 105,1,2024-09-07 08:13:10:558,15316,15316,0,0,7525619147,82597036,14422,730,164,369,391797,0 105,2,2024-09-07 08:13:11:331,12236,12236,0,0,1231357,0,3314 105,3,2024-09-07 08:13:11:309,1,9,1,1,169,252,9,0 106,0,2024-09-07 08:13:10:988,1475,0.3,1449,0.5,2984,0.2,4045,1.75 106,1,2024-09-07 08:13:11:756,15749,15749,0,0,7490517797,80614179,15361,385,3,373,391503,0 106,2,2024-09-07 08:13:10:778,12861,12861,0,0,1023562,0,1600 106,3,2024-09-07 08:13:10:694,1,9,2,1,171,193,9,0 107,0,2024-09-07 08:13:11:152,1138,0.2,1127,0.5,2251,0.2,2810,1.50 107,1,2024-09-07 08:13:10:595,15440,15440,0,0,7652139540,83689832,14669,646,125,382,391848,0 107,2,2024-09-07 08:13:11:294,11394,11393,1,0,1018184,0,5024 107,3,2024-09-07 08:13:11:796,1,9,28,2,75,168,9,0 108,0,2024-09-07 08:13:11:806,877,0.2,880,0.3,1671,0.2,2352,1.50 108,1,2024-09-07 08:13:11:298,15733,15733,0,0,7680601401,80493719,15415,243,75,372,391563,0 108,2,2024-09-07 08:13:11:756,7901,7901,0,0,296123,0,2051 108,3,2024-09-07 08:13:11:330,1,9,8,6,18,107,9,0 109,0,2024-09-07 08:13:11:803,3766,0.4,3793,0.6,7230,0.4,10319,1.75 109,1,2024-09-07 08:13:10:623,15779,15779,0,0,7791310164,81303481,15756,23,0,386,391490,0 109,2,2024-09-07 08:13:10:932,12798,12798,0,0,476229,0,1922 109,3,2024-09-07 08:13:11:139,1,9,9,1,120,330,9,0 110,0,2024-09-07 08:13:11:761,1013,0.1,1024,0.2,2122,0.1,2454,1.25 110,1,2024-09-07 08:13:11:650,16125,16125,0,0,7525807441,78145919,16031,94,0,372,391562,0 110,2,2024-09-07 08:13:11:309,12961,12961,0,0,612725,0,2234 110,3,2024-09-07 08:13:10:701,1,9,3,1,16,38,9,0 111,0,2024-09-07 08:13:11:434,1470,0.1,1469,0.2,3070,0.1,3675,1.25 111,1,2024-09-07 08:13:11:007,15779,15779,0,0,8324591144,85319755,15774,4,1,384,391482,0 111,2,2024-09-07 08:13:11:135,10678,10678,0,0,467005,0,1130 111,3,2024-09-07 08:13:10:918,1,9,2,2,89,177,9,0 112,0,2024-09-07 08:13:10:964,2196,1.0,2218,0.6,4517,0.2,5533,1.75 112,1,2024-09-07 08:13:10:826,15883,15883,0,0,7641113271,80128411,15829,54,0,382,391487,0 112,2,2024-09-07 08:13:11:137,10271,10271,0,0,454325,0,1331 112,3,2024-09-07 08:13:10:593,1,9,8,1,21,92,9,0 113,0,2024-09-07 08:13:10:893,2915,0.5,2996,0.7,6086,0.5,8371,1.75 113,1,2024-09-07 08:13:11:686,15671,15671,0,0,8104820264,85018535,15426,245,0,368,391661,0 113,2,2024-09-07 08:13:11:303,12230,12230,0,0,440128,0,1275 113,3,2024-09-07 08:13:10:698,1,9,1,1,130,248,9,0 114,0,2024-09-07 08:13:10:891,2692,7.9,2647,6.7,5067,5.0,7290,3.25 114,1,2024-09-07 08:13:10:733,15948,15948,0,0,7727722522,80715933,15793,155,0,383,391513,0 114,2,2024-09-07 08:13:10:878,11704,11704,0,0,467206,0,1841 114,3,2024-09-07 08:13:11:280,1,9,2,1,159,172,9,0 115,0,2024-09-07 08:13:10:573,2592,0.3,2674,0.6,5294,0.2,7045,1.75 115,1,2024-09-07 08:13:10:578,15572,15572,0,0,8055578578,85693134,15113,352,107,384,391495,0 115,2,2024-09-07 08:13:11:129,11640,11640,0,0,496364,0,1535 115,3,2024-09-07 08:13:11:005,1,9,1,1,40,72,9,0 116,0,2024-09-07 08:13:11:759,1755,0.3,1768,0.5,3635,0.2,4896,1.75 116,1,2024-09-07 08:13:10:822,15068,15068,0,0,7129468337,82113963,13969,263,836,386,391495,0 116,2,2024-09-07 08:13:11:756,12035,12035,0,0,865161,0,2154 116,3,2024-09-07 08:13:10:932,1,9,2,2,132,195,9,0 117,0,2024-09-07 08:13:10:962,2939,4.8,2874,3.2,5759,4.9,8162,3.00 117,1,2024-09-07 08:13:11:597,15503,15503,0,0,7716681922,85258542,14607,677,219,372,391523,0 117,2,2024-09-07 08:13:11:130,9333,9333,0,0,933303,0,3700 117,3,2024-09-07 08:13:11:059,1,9,2,1,490,944,9,0 118,0,2024-09-07 08:13:11:853,2939,0.5,2968,0.9,6092,0.5,8501,2.00 118,1,2024-09-07 08:13:10:591,15578,15578,0,0,7502521870,80578519,15265,312,1,369,391503,0 118,2,2024-09-07 08:13:11:586,12059,12059,0,0,887762,0,1435 118,3,2024-09-07 08:13:11:772,1,9,3,2,41,138,9,0 119,0,2024-09-07 08:13:11:353,2259,0.4,2281,0.8,4656,0.3,6399,2.00 119,1,2024-09-07 08:13:10:570,15208,15208,0,0,7453122763,82118133,14377,701,130,372,391576,0 119,2,2024-09-07 08:13:11:262,12965,12965,0,0,930152,0,2222 119,3,2024-09-07 08:13:11:326,1,9,3,1,375,394,9,0 120,0,2024-09-07 08:13:11:571,1323,0.3,1334,0.5,2656,0.2,3356,1.75 120,1,2024-09-07 08:13:10:861,15594,15594,0,0,7697634059,82577883,15206,382,6,373,391546,0 120,2,2024-09-07 08:13:10:781,11678,11677,1,0,1730510,0,5281 120,3,2024-09-07 08:13:11:294,1,9,241,7,241,324,9,0 121,0,2024-09-07 08:13:11:776,2091,0.5,2143,0.8,4216,0.4,5658,1.75 121,1,2024-09-07 08:13:11:672,15284,15284,0,0,7521375800,83106242,14412,638,234,371,391633,0 121,2,2024-09-07 08:13:11:143,7760,7760,0,0,591622,0,2570 121,3,2024-09-07 08:13:10:736,1,9,1,1,71,116,9,0 122,0,2024-09-07 08:13:11:796,3739,5.4,3652,5.5,7583,6.5,11039,3.00 122,1,2024-09-07 08:13:10:862,15232,15232,0,0,7716479209,86118617,14160,711,361,369,392130,0 122,2,2024-09-07 08:13:11:327,12807,12807,0,0,1271500,0,2574 122,3,2024-09-07 08:13:10:627,1,9,60,4,226,749,9,0 123,0,2024-09-07 08:13:10:969,1186,0.3,1151,0.5,2397,0.2,2924,1.75 123,1,2024-09-07 08:13:10:559,15309,15309,0,0,7832739716,88801641,13699,1274,336,371,391495,0 123,2,2024-09-07 08:13:11:021,12761,12760,1,0,1571643,0,5215 123,3,2024-09-07 08:13:11:139,1,9,1,1,134,222,9,0 124,0,2024-09-07 08:13:10:989,1109,0.1,1115,0.2,2170,0.1,2608,1.25 124,1,2024-09-07 08:13:11:026,15936,15936,0,0,7337780297,75802104,15933,3,0,371,391483,0 124,2,2024-09-07 08:13:11:015,11086,11086,0,0,509309,0,2477 124,3,2024-09-07 08:13:10:768,1,9,2,2,41,151,9,0 125,0,2024-09-07 08:13:11:468,1051,0.2,1058,0.3,2117,0.1,2360,1.50 125,1,2024-09-07 08:13:10:855,15865,15865,0,0,7817375609,81626121,15745,114,6,385,391502,0 125,2,2024-09-07 08:13:11:122,9015,9015,0,0,308705,0,1125 125,3,2024-09-07 08:13:11:128,1,9,2,1,67,156,9,0 126,0,2024-09-07 08:13:11:456,3462,0.2,3545,0.6,6898,0.3,9684,1.50 126,1,2024-09-07 08:13:10:556,15835,15835,0,0,7749110823,81599022,15555,274,6,369,391719,0 126,2,2024-09-07 08:13:10:622,13259,13259,0,0,539641,0,1557 126,3,2024-09-07 08:13:10:907,1,9,23,1,23,76,9,0 127,0,2024-09-07 08:13:11:729,1052,0.1,1060,0.2,2095,0.1,2438,1.25 127,1,2024-09-07 08:13:10:585,15922,15922,0,0,7541717166,77605284,15912,9,1,366,391483,0 127,2,2024-09-07 08:13:10:647,12113,12113,0,0,535148,0,1374 127,3,2024-09-07 08:13:11:270,1,9,4,2,19,60,9,0 128,0,2024-09-07 08:13:11:579,2211,0.3,2204,0.6,4467,0.2,5694,1.75 128,1,2024-09-07 08:13:11:616,15509,15509,0,0,7744959165,80990864,15393,115,1,370,391487,0 128,2,2024-09-07 08:13:11:385,10302,10302,0,0,722796,0,1712 128,3,2024-09-07 08:13:10:778,1,9,6,5,20,100,9,0 129,0,2024-09-07 08:13:10:997,2353,0.5,2426,0.7,4808,0.4,6352,2.00 129,1,2024-09-07 08:13:10:587,15650,15650,0,0,7771629836,82349482,15359,276,15,383,391752,0 129,2,2024-09-07 08:13:10:687,10966,10966,0,0,505338,0,2289 129,3,2024-09-07 08:13:10:695,1,9,82,1,82,137,9,0 130,0,2024-09-07 08:13:11:778,3284,2.1,3237,1.8,6426,3.2,9054,2.50 130,1,2024-09-07 08:13:10:597,15666,15666,0,0,8207887087,86521918,15422,243,1,381,391516,0 130,2,2024-09-07 08:13:11:129,11035,11035,0,0,406619,0,1019 130,3,2024-09-07 08:13:11:294,1,9,1,1,11,31,9,0 131,0,2024-09-07 08:13:11:975,3234,3.7,3159,2.8,6556,4.9,9015,3.00 131,1,2024-09-07 08:13:11:833,15752,15752,0,0,7701031857,80679602,15698,53,1,385,391727,0 131,2,2024-09-07 08:13:10:589,11669,11669,0,0,490556,0,1374 131,3,2024-09-07 08:13:11:692,1,9,2,1,23,57,9,0 132,0,2024-09-07 08:13:11:465,2836,0.6,2920,1.4,5812,0.7,7829,2.25 132,1,2024-09-07 08:13:10:593,15251,15251,0,0,7159822759,81267522,13954,1035,262,383,391533,0 132,2,2024-09-07 08:13:10:704,11620,11620,0,0,2453588,0,4606 132,3,2024-09-07 08:13:11:694,1,9,5,5,356,468,9,0 133,0,2024-09-07 08:13:11:543,1593,0.3,1599,0.6,3201,0.2,4117,1.75 133,1,2024-09-07 08:13:10:605,15310,15310,0,0,7421369681,81137579,14569,737,4,386,391492,0 133,2,2024-09-07 08:13:11:090,12495,12495,0,0,865568,0,1707 133,3,2024-09-07 08:13:11:301,1,9,2,1,18,43,9,0 134,0,2024-09-07 08:13:10:949,2674,0.6,2666,1.2,5290,0.6,7389,2.25 134,1,2024-09-07 08:13:10:591,15209,15209,0,0,7073591913,81896145,13770,693,746,369,391508,0 134,2,2024-09-07 08:13:11:775,8202,8202,0,0,459370,0,1739 134,3,2024-09-07 08:13:10:751,1,9,1,1,63,185,9,0 135,0,2024-09-07 08:13:11:130,3344,4.4,3348,3.9,7036,3.7,9190,3.50 135,1,2024-09-07 08:13:11:601,15638,15638,0,0,8051464661,85500944,15276,346,16,384,391491,0 135,2,2024-09-07 08:13:10:700,12374,12374,0,0,1217308,0,3016 135,3,2024-09-07 08:13:11:006,1,9,1,1,68,180,9,0 136,0,2024-09-07 08:13:11:762,1539,0.3,1494,0.6,3054,0.2,4011,2.25 136,1,2024-09-07 08:13:11:452,15736,15736,0,0,7609463074,84268069,14839,759,138,386,391501,0 136,2,2024-09-07 08:13:11:138,13452,13452,0,0,672518,0,1490 136,3,2024-09-07 08:13:11:116,1,9,2,2,24,99,9,0 137,0,2024-09-07 08:13:10:954,1163,0.2,1166,0.4,2256,0.2,2782,1.75 137,1,2024-09-07 08:13:10:594,15618,15618,0,0,7451153713,80512007,15188,424,6,370,391489,0 137,2,2024-09-07 08:13:11:724,11099,11099,0,0,1220889,0,2294 137,3,2024-09-07 08:13:10:770,1,9,6,1,13,64,9,0 138,0,2024-09-07 08:13:11:873,851,0.3,878,0.6,1745,0.2,2238,2.00 138,1,2024-09-07 08:13:11:738,15509,15509,0,0,7751613962,85653552,14482,810,217,371,391572,0 138,2,2024-09-07 08:13:10:593,7813,7813,0,0,801530,0,3263 138,3,2024-09-07 08:13:10:623,1,9,1,1,20,40,9,0 139,0,2024-09-07 08:13:11:427,3488,5.5,3426,3.3,6925,6.0,9873,3.25 139,1,2024-09-07 08:13:10:580,15414,15414,0,0,7648474885,87445549,14162,772,480,386,391511,0 139,2,2024-09-07 08:13:10:703,13241,13241,0,0,1110144,0,2233 139,3,2024-09-07 08:13:11:664,1,9,1,1,45,67,9,0 140,0,2024-09-07 08:13:11:603,1014,0.1,1032,0.3,2087,0.1,2465,1.50 140,1,2024-09-07 08:13:11:537,15910,15910,0,0,7968954822,81626854,15893,17,0,366,391482,0 140,2,2024-09-07 08:13:10:690,12741,12741,0,0,801308,0,2609 140,3,2024-09-07 08:13:10:771,1,9,2,2,13,68,9,0 141,0,2024-09-07 08:13:11:720,1432,0.2,1497,0.3,2962,0.1,3660,1.50 141,1,2024-09-07 08:13:10:859,15992,15992,0,0,7957301193,81788886,15991,1,0,383,391532,0 141,2,2024-09-07 08:13:11:693,10427,10427,0,0,443122,0,1869 141,3,2024-09-07 08:13:11:043,1,9,9,0,9,34,9,0 142,0,2024-09-07 08:13:11:349,2247,0.2,2247,0.5,4561,0.2,5507,1.50 142,1,2024-09-07 08:13:10:616,15727,15727,0,0,7534107442,78391897,15716,11,0,385,391493,0 142,2,2024-09-07 08:13:11:304,10137,10137,0,0,461658,0,2000 142,3,2024-09-07 08:13:11:746,1,9,1,1,11,43,9,0 143,0,2024-09-07 08:13:11:417,2961,0.5,3045,0.7,6150,0.6,8352,1.75 143,1,2024-09-07 08:13:10:573,15658,15658,0,0,7539249585,78583266,15486,172,0,370,391492,0 143,2,2024-09-07 08:13:10:776,12065,12065,0,0,596836,0,2572 143,3,2024-09-07 08:13:11:151,1,9,4,1,219,257,9,0 144,0,2024-09-07 08:13:11:512,2181,10.0,2828,10.0,4162,7.8,7126,3.50 144,1,2024-09-07 08:13:10:582,15552,15552,0,0,7396683215,79025970,15237,276,39,384,391557,0 144,2,2024-09-07 08:13:11:770,11705,11705,0,0,509056,0,1140 144,3,2024-09-07 08:13:11:744,1,9,3,3,99,217,9,0 145,0,2024-09-07 08:13:11:379,2573,0.6,2599,0.9,5321,0.5,7026,2.25 145,1,2024-09-07 08:13:10:554,15625,15625,0,0,7284856138,78264386,15414,211,0,385,391603,0 145,2,2024-09-07 08:13:11:437,11155,11155,0,0,1706229,0,3903 145,3,2024-09-07 08:13:10:895,1,9,2,2,151,448,9,0 146,0,2024-09-07 08:13:11:628,1784,0.4,1811,0.7,3605,0.2,4926,1.75 146,1,2024-09-07 08:13:11:594,15404,15404,0,0,7798766214,87464463,14169,988,247,371,391512,0 146,2,2024-09-07 08:13:11:721,11679,11679,0,0,869793,0,2149 146,3,2024-09-07 08:13:11:283,1,9,2,0,54,168,9,0 147,0,2024-09-07 08:13:11:761,2908,5.6,2799,3.8,5701,6.6,8275,4.50 147,1,2024-09-07 08:13:11:413,15846,15846,0,0,7468894683,77259449,15829,15,2,370,391598,0 147,2,2024-09-07 08:13:11:015,9608,9608,0,0,403671,0,2526 147,3,2024-09-07 08:13:10:919,1,9,6,2,141,284,9,0 0,0,2024-09-07 08:13:21:821,1461,0.2,1452,0.4,3016,0.2,3754,1.50 0,1,2024-09-07 08:13:20:801,17122,17122,0,0,8691391324,93595178,16612,405,105,373,391658,0 0,2,2024-09-07 08:13:21:070,13422,13422,0,0,530751,0,951 0,3,2024-09-07 08:13:20:978,1,10,8,3,19,108,10,0 1,0,2024-09-07 08:13:21:834,2327,0.3,2324,0.5,4703,0.2,6216,1.50 1,1,2024-09-07 08:13:20:594,17191,17191,0,0,8040040889,85618833,16819,339,33,374,391486,0 1,2,2024-09-07 08:13:20:650,8726,8726,0,0,399904,0,1321 1,3,2024-09-07 08:13:21:316,1,10,20,1,36,128,10,0 2,0,2024-09-07 08:13:21:581,4430,0.7,4491,1.2,8634,1.0,12056,1.75 2,1,2024-09-07 08:13:20:861,17297,17297,0,0,8477979761,88731330,17216,81,0,383,391479,0 2,2,2024-09-07 08:13:21:270,14019,14019,0,0,1096579,0,3304 2,3,2024-09-07 08:13:20:695,1,10,1,1,87,196,10,0 3,0,2024-09-07 08:13:21:758,1337,0.2,1386,0.3,2737,0.1,3416,1.50 3,1,2024-09-07 08:13:21:629,17450,17450,0,0,8589012154,90272992,17277,173,0,383,391494,0 3,2,2024-09-07 08:13:21:143,14327,14327,0,0,774135,0,2192 3,3,2024-09-07 08:13:21:768,1,10,2,1,23,52,10,0 4,0,2024-09-07 08:13:21:844,1182,0.3,1194,0.4,2460,0.2,2886,1.75 4,1,2024-09-07 08:13:20:741,17159,17159,0,0,7780812940,86293668,16164,652,343,374,391680,0 4,2,2024-09-07 08:13:21:035,12195,12195,0,0,1521691,0,4528 4,3,2024-09-07 08:13:21:035,1,10,8,8,21,133,10,0 5,0,2024-09-07 08:13:21:446,1155,0.3,1154,0.5,2323,0.2,2747,1.75 5,1,2024-09-07 08:13:20:787,17295,17295,0,0,8497878421,91513197,16775,429,91,371,392005,0 5,2,2024-09-07 08:13:21:883,9456,9456,0,0,612519,0,1912 5,3,2024-09-07 08:13:21:755,1,10,47,1,47,88,10,0 6,0,2024-09-07 08:13:20:927,3963,0.7,3929,1.3,8081,0.9,11001,2.00 6,1,2024-09-07 08:13:20:751,17341,17341,0,0,8661317322,91410902,17106,231,4,382,391603,0 6,2,2024-09-07 08:13:21:120,14535,14535,0,0,1092772,0,1686 6,3,2024-09-07 08:13:21:275,1,10,2,1,277,503,10,0 7,0,2024-09-07 08:13:21:564,1099,0.2,1098,0.4,2308,0.1,2728,1.50 7,1,2024-09-07 08:13:20:852,17249,17249,0,0,8198440320,88439646,16720,465,64,385,391481,0 7,2,2024-09-07 08:13:20:776,13505,13505,0,0,1132486,0,2981 7,3,2024-09-07 08:13:20:853,1,10,1,1,40,83,10,0 8,0,2024-09-07 08:13:21:435,2380,0.3,2362,0.5,4637,0.2,5929,1.75 8,1,2024-09-07 08:13:21:042,16305,16305,0,0,8351991871,97015055,14342,1102,861,370,391724,0 8,2,2024-09-07 08:13:20:795,11309,11309,0,0,1078064,0,2986 8,3,2024-09-07 08:13:20:600,1,10,8,8,22,124,10,0 9,0,2024-09-07 08:13:21:218,2659,0.4,2594,0.7,5310,0.3,6854,1.75 9,1,2024-09-07 08:13:20:555,17210,17210,0,0,8649754525,96430704,15952,784,474,373,391496,0 9,2,2024-09-07 08:13:21:100,11853,11853,0,0,774847,0,1631 9,3,2024-09-07 08:13:21:756,1,10,11,1,50,156,10,0 10,0,2024-09-07 08:13:21:615,3682,0.7,3696,0.8,7325,0.7,9951,2.00 10,1,2024-09-07 08:13:20:584,17036,17036,0,0,8680710556,97704438,15439,1369,228,384,391540,0 10,2,2024-09-07 08:13:20:767,12370,12370,0,0,952658,0,2932 10,3,2024-09-07 08:13:20:872,1,10,1,0,118,136,10,0 11,0,2024-09-07 08:13:21:021,3092,7.4,3044,4.8,6418,8.2,9263,3.75 11,1,2024-09-07 08:13:20:572,16723,16723,0,0,8719434936,98098067,15221,841,661,384,391483,0 11,2,2024-09-07 08:13:21:123,12778,12778,0,0,946013,0,2635 11,3,2024-09-07 08:13:21:301,1,10,1,1,62,191,10,0 12,0,2024-09-07 08:13:21:006,3237,0.3,3173,0.5,6484,0.2,8568,1.50 12,1,2024-09-07 08:13:20:968,17445,17445,0,0,8260029664,85846741,17426,17,2,372,391483,0 12,2,2024-09-07 08:13:21:552,13140,13140,0,0,820772,0,2254 12,3,2024-09-07 08:13:21:058,1,10,13,2,17,111,10,0 13,0,2024-09-07 08:13:21:425,1860,0.2,1847,0.4,3629,0.2,4751,1.50 13,1,2024-09-07 08:13:21:532,17691,17691,0,0,8999131205,93630612,17628,62,1,385,391516,0 13,2,2024-09-07 08:13:20:597,13528,13528,0,0,555840,0,2239 13,3,2024-09-07 08:13:21:769,1,10,6,1,153,229,10,0 14,0,2024-09-07 08:13:20:572,3155,0.3,3199,0.5,6151,0.2,8584,1.50 14,1,2024-09-07 08:13:21:569,17839,17839,0,0,8432218567,87032283,17779,60,0,365,391481,0 14,2,2024-09-07 08:13:20:768,9491,9491,0,0,387442,0,1934 14,3,2024-09-07 08:13:21:119,1,10,6,1,40,87,10,0 15,0,2024-09-07 08:13:21:563,3805,0.4,3771,0.9,7565,0.6,10095,1.75 15,1,2024-09-07 08:13:21:609,17478,17478,0,0,8090087156,83930747,17472,6,0,381,391481,0 15,2,2024-09-07 08:13:21:004,14081,14081,0,0,415174,0,993 15,3,2024-09-07 08:13:21:410,1,10,1,0,538,858,10,0 16,0,2024-09-07 08:13:21:003,1773,0.2,1821,0.4,3637,0.2,4962,1.50 16,1,2024-09-07 08:13:20:571,17471,17471,0,0,8131266930,86772751,17059,409,3,373,391543,0 16,2,2024-09-07 08:13:21:457,14254,14254,0,0,1330401,0,4719 16,3,2024-09-07 08:13:21:152,1,10,17,9,231,414,10,0 17,0,2024-09-07 08:13:21:797,1378,0.2,1381,0.3,2633,0.1,3351,1.50 17,1,2024-09-07 08:13:20:571,17360,17360,0,0,8695572258,93378482,16815,409,136,373,391671,0 17,2,2024-09-07 08:13:21:687,12934,12934,0,0,690108,0,1865 17,3,2024-09-07 08:13:20:580,1,10,8,1,15,79,10,0 18,0,2024-09-07 08:13:20:963,1151,0.2,1123,0.3,2265,0.1,3194,1.50 18,1,2024-09-07 08:13:21:648,17310,17310,0,0,8254164116,86680128,17207,101,2,370,391497,0 18,2,2024-09-07 08:13:21:761,8727,8727,0,0,662739,0,2688 18,3,2024-09-07 08:13:20:896,1,10,3,1,142,211,10,0 19,0,2024-09-07 08:13:21:554,4160,0.9,4143,0.9,8164,1.3,11246,1.75 19,1,2024-09-07 08:13:20:567,17447,17447,0,0,8517059302,89548566,17152,270,25,370,391512,0 19,2,2024-09-07 08:13:21:766,14762,14762,0,0,676166,0,1669 19,3,2024-09-07 08:13:21:130,1,10,1,1,29,43,10,0 20,0,2024-09-07 08:13:21:434,1157,0.2,1203,0.4,2358,0.2,2780,1.75 20,1,2024-09-07 08:13:20:585,17222,17222,0,0,8603792349,91869150,16856,366,0,373,391488,0 20,2,2024-09-07 08:13:20:936,13906,13906,0,0,891697,0,1484 20,3,2024-09-07 08:13:20:613,1,10,13,1,99,228,10,0 21,0,2024-09-07 08:13:21:211,1661,0.2,1636,0.5,3264,0.2,4042,1.75 21,1,2024-09-07 08:13:21:551,17332,17332,0,0,8094993554,87364824,16796,512,24,372,391962,0 21,2,2024-09-07 08:13:21:080,12019,12019,0,0,1066980,0,3747 21,3,2024-09-07 08:13:21:421,1,10,1,1,30,121,10,0 22,0,2024-09-07 08:13:21:734,2272,0.3,2375,0.8,4619,0.3,5523,1.75 22,1,2024-09-07 08:13:21:023,17174,17174,0,0,8574009299,95963575,15911,956,307,382,391667,0 22,2,2024-09-07 08:13:20:772,10911,10911,0,0,651156,0,1503 22,3,2024-09-07 08:13:21:067,1,10,1,0,48,99,10,0 23,0,2024-09-07 08:13:21:386,3363,0.5,3428,0.7,6691,0.6,9353,2.00 23,1,2024-09-07 08:13:21:005,17557,17557,0,0,8836564842,92334878,17418,139,0,369,391480,0 23,2,2024-09-07 08:13:21:092,13939,13939,0,0,792035,0,2078 23,3,2024-09-07 08:13:21:756,1,10,1,0,26,43,10,0 24,0,2024-09-07 08:13:20:835,2984,1.7,2985,1.9,5967,3.1,7556,2.00 24,1,2024-09-07 08:13:20:584,17401,17401,0,0,7980512534,85254691,17009,389,3,373,391540,0 24,2,2024-09-07 08:13:21:075,12870,12870,0,0,1337364,0,2912 24,3,2024-09-07 08:13:21:701,1,10,13,2,22,111,10,0 25,0,2024-09-07 08:13:21:365,2908,0.3,2894,0.5,5608,0.2,7553,1.75 25,1,2024-09-07 08:13:20:571,17360,17360,0,0,8462944744,90553670,17003,356,1,373,391484,0 25,2,2024-09-07 08:13:21:651,12501,12501,0,0,970612,0,1436 25,3,2024-09-07 08:13:21:011,1,10,18,2,50,189,10,0 26,0,2024-09-07 08:13:21:734,2104,0.2,2035,0.4,4211,0.1,5769,1.50 26,1,2024-09-07 08:13:21:546,17073,17073,0,0,8374316243,94405346,15730,796,547,383,391480,0 26,2,2024-09-07 08:13:20:862,12832,12832,0,0,1197880,0,2576 26,3,2024-09-07 08:13:21:722,1,10,2,1,796,853,10,0 27,0,2024-09-07 08:13:21:741,3238,0.7,3256,0.9,6630,0.8,8973,1.75 27,1,2024-09-07 08:13:21:702,17716,17716,0,0,8891737665,93286030,17620,95,1,382,391526,0 27,2,2024-09-07 08:13:20:867,10600,10600,0,0,1446761,0,2975 27,3,2024-09-07 08:13:21:021,1,10,1,1,86,134,10,0 28,0,2024-09-07 08:13:21:461,3578,0.6,3523,0.7,7088,0.7,10039,1.75 28,1,2024-09-07 08:13:20:798,17872,17872,0,0,9188389159,94856855,17871,1,0,383,391488,0 28,2,2024-09-07 08:13:21:765,13268,13268,0,0,654220,0,2177 28,3,2024-09-07 08:13:21:787,1,10,13,8,20,114,10,0 29,0,2024-09-07 08:13:21:379,2708,0.4,2688,0.5,5209,0.3,7228,2.00 29,1,2024-09-07 08:13:21:566,17592,17592,0,0,8631814780,90220377,17187,233,172,371,391511,0 29,2,2024-09-07 08:13:20:862,14035,14035,0,0,428916,0,1451 29,3,2024-09-07 08:13:20:971,1,10,57,1,57,103,10,0 30,0,2024-09-07 08:13:21:465,1502,0.2,1439,0.4,2933,0.1,3750,1.75 30,1,2024-09-07 08:13:20:573,17444,17444,0,0,9034829675,93542380,17410,34,0,382,391524,0 30,2,2024-09-07 08:13:21:276,13390,13390,0,0,377105,0,678 30,3,2024-09-07 08:13:20:581,1,10,2,0,110,137,10,0 31,0,2024-09-07 08:13:21:792,2350,0.3,2400,0.6,4719,0.2,6260,1.75 31,1,2024-09-07 08:13:20:595,17464,17464,0,0,8467556729,86582218,17464,0,0,356,391478,0 31,2,2024-09-07 08:13:21:282,9125,9125,0,0,393238,0,1593 31,3,2024-09-07 08:13:21:707,1,10,1,0,8,30,10,0 32,0,2024-09-07 08:13:21:461,4392,0.5,4484,0.8,8824,0.3,11956,1.50 32,1,2024-09-07 08:13:20:808,17510,17510,0,0,8318558703,86594470,17463,46,1,383,391488,0 32,2,2024-09-07 08:13:20:941,14352,14352,0,0,645782,0,1970 32,3,2024-09-07 08:13:21:019,1,10,1,1,37,121,10,0 33,0,2024-09-07 08:13:21:565,1359,0.1,1351,0.2,2645,0.1,3365,1.25 33,1,2024-09-07 08:13:20:583,17355,17355,0,0,8781371118,91556527,17135,209,11,370,391482,0 33,2,2024-09-07 08:13:20:762,14026,14026,0,0,1104635,0,3364 33,3,2024-09-07 08:13:20:896,1,10,1,1,27,60,10,0 34,0,2024-09-07 08:13:20:945,1179,0.1,1246,0.2,2405,0.1,2826,1.25 34,1,2024-09-07 08:13:21:045,17614,17614,0,0,8531721754,89072538,17489,125,0,369,391481,0 34,2,2024-09-07 08:13:20:767,12449,12449,0,0,694943,0,2070 34,3,2024-09-07 08:13:21:694,1,10,4,0,12,24,10,0 35,0,2024-09-07 08:13:20:878,1165,0.2,1192,0.3,2242,0.1,2743,1.25 35,1,2024-09-07 08:13:21:067,17243,17243,0,0,8469226856,89681797,16746,396,101,385,391481,0 35,2,2024-09-07 08:13:21:587,9548,9548,0,0,538080,0,2276 35,3,2024-09-07 08:13:20:907,1,10,1,1,219,237,10,0 36,0,2024-09-07 08:13:21:537,3930,1.9,3978,1.9,7959,1.6,10874,3.50 36,1,2024-09-07 08:13:20:586,16879,16879,0,0,8006448878,92036331,14950,1173,756,370,391535,0 36,2,2024-09-07 08:13:21:765,14455,14455,0,0,825870,0,1272 36,3,2024-09-07 08:13:20:862,1,10,1,1,54,148,10,0 37,0,2024-09-07 08:13:21:402,1116,0.2,1156,0.5,2283,0.1,2746,1.75 37,1,2024-09-07 08:13:20:570,16332,16325,0,7,8227453171,99470717,14206,612,1507,370,391507,0 37,2,2024-09-07 08:13:21:143,13999,13999,0,0,779487,0,1448 37,3,2024-09-07 08:13:21:768,1,10,0,0,31,71,10,0 38,0,2024-09-07 08:13:21:452,2351,0.6,2291,0.7,4716,0.4,5951,2.50 38,1,2024-09-07 08:13:21:610,17802,17802,0,0,8671571259,91511347,17587,214,1,372,391512,0 38,2,2024-09-07 08:13:20:762,11594,11594,0,0,970294,0,3245 38,3,2024-09-07 08:13:21:003,1,10,2,1,36,86,10,0 39,0,2024-09-07 08:13:21:785,2703,0.3,2639,0.6,5248,0.2,6904,2.00 39,1,2024-09-07 08:13:20:719,17072,17072,0,0,8197430298,90082444,16050,604,418,366,391480,0 39,2,2024-09-07 08:13:21:423,12020,12020,0,0,737581,0,1786 39,3,2024-09-07 08:13:20:714,1,10,1,1,189,256,10,0 40,0,2024-09-07 08:13:21:515,3362,2.6,3548,5.4,6947,6.2,9824,4.25 40,1,2024-09-07 08:13:20:582,17286,17286,0,0,8078431835,87580660,16598,544,144,371,391484,0 40,2,2024-09-07 08:13:21:316,12443,12442,1,0,1357341,0,5137 40,3,2024-09-07 08:13:21:152,1,10,8,2,21,100,10,0 41,0,2024-09-07 08:13:21:043,2474,8.8,3105,10.0,4987,9.8,7985,8.25 41,1,2024-09-07 08:13:20:769,17131,17131,0,0,8198685483,90063544,16096,843,192,371,391483,0 41,2,2024-09-07 08:13:20:762,12861,12861,0,0,1269538,0,3325 41,3,2024-09-07 08:13:21:709,1,10,1,1,3,15,10,0 42,0,2024-09-07 08:13:21:484,3177,0.7,3129,1.1,6369,0.6,8549,3.25 42,1,2024-09-07 08:13:21:443,16922,16922,0,0,8251736842,93313705,15662,647,613,383,391489,0 42,2,2024-09-07 08:13:21:134,12684,12684,0,0,1041467,0,1746 42,3,2024-09-07 08:13:21:011,1,10,1,1,54,76,10,0 43,0,2024-09-07 08:13:20:928,1798,0.3,1773,0.7,3653,0.1,4711,2.00 43,1,2024-09-07 08:13:20:595,16900,16900,0,0,8351002499,92614740,15660,650,590,368,391479,0 43,2,2024-09-07 08:13:21:740,13397,13397,0,0,1344374,0,3812 43,3,2024-09-07 08:13:21:756,1,10,25,1,292,615,10,0 44,0,2024-09-07 08:13:20:872,3123,0.2,3045,0.8,6188,0.3,8613,1.50 44,1,2024-09-07 08:13:20:566,17754,17754,0,0,8028011895,82624023,17701,53,0,358,391490,0 44,2,2024-09-07 08:13:21:274,9396,9396,0,0,280553,0,1004 44,3,2024-09-07 08:13:21:093,1,10,10,1,28,120,10,0 45,0,2024-09-07 08:13:21:771,3768,0.3,3690,0.6,7586,0.2,10147,1.75 45,1,2024-09-07 08:13:21:005,17474,17474,0,0,8962564110,92790437,17428,46,0,382,391510,0 45,2,2024-09-07 08:13:21:298,14003,14003,0,0,460893,0,1176 45,3,2024-09-07 08:13:20:941,1,10,1,1,33,63,10,0 46,0,2024-09-07 08:13:20:990,1809,0.2,1796,0.3,3560,0.1,4980,1.50 46,1,2024-09-07 08:13:20:590,17669,17669,0,0,8551366664,87815742,17665,3,1,370,391487,0 46,2,2024-09-07 08:13:20:595,14562,14562,0,0,815677,0,2835 46,3,2024-09-07 08:13:21:132,1,10,3,1,200,309,10,0 47,0,2024-09-07 08:13:21:129,1263,0.1,1307,0.3,2607,0.1,3291,1.50 47,1,2024-09-07 08:13:20:569,17713,17713,0,0,8186597353,84286539,17688,25,0,368,391481,0 47,2,2024-09-07 08:13:20:909,13027,13027,0,0,655376,0,2194 47,3,2024-09-07 08:13:21:121,1,10,6,1,25,64,10,0 48,0,2024-09-07 08:13:21:525,1181,0.1,1152,0.2,2350,0.1,3204,1.50 48,1,2024-09-07 08:13:21:024,17236,17236,0,0,8439509676,89081632,16949,287,0,387,391488,0 48,2,2024-09-07 08:13:20:701,8897,8897,0,0,286708,0,1515 48,3,2024-09-07 08:13:20:760,1,10,12,1,21,94,10,0 49,0,2024-09-07 08:13:21:753,4226,0.4,4218,0.6,8065,0.4,11358,1.75 49,1,2024-09-07 08:13:21:021,17523,17523,0,0,8553430187,89234653,17405,118,0,382,391583,0 49,2,2024-09-07 08:13:21:808,14667,14667,0,0,858663,0,2196 49,3,2024-09-07 08:13:21:418,1,10,1,1,274,388,10,0 50,0,2024-09-07 08:13:21:522,1146,0.1,1167,0.2,2336,0.1,2759,1.25 50,1,2024-09-07 08:13:21:013,17482,17482,0,0,8499169099,89988483,16822,480,180,370,391530,0 50,2,2024-09-07 08:13:21:068,14320,14320,0,0,499121,0,2034 50,3,2024-09-07 08:13:21:291,1,10,6,1,335,363,10,0 51,0,2024-09-07 08:13:21:751,1652,0.1,1615,0.2,3179,0.1,4000,1.50 51,1,2024-09-07 08:13:21:722,17513,17513,0,0,9282136206,96228714,17304,176,33,369,391504,0 51,2,2024-09-07 08:13:21:323,11729,11729,0,0,432306,0,1936 51,3,2024-09-07 08:13:21:027,1,10,1,0,162,209,10,0 52,0,2024-09-07 08:13:21:457,2256,1.1,2248,1.5,4629,1.3,5278,3.50 52,1,2024-09-07 08:13:20:591,16780,16780,0,0,8315339228,92089639,15787,799,194,371,391498,0 52,2,2024-09-07 08:13:21:758,10711,10711,0,0,1197315,0,4779 52,3,2024-09-07 08:13:20:684,1,10,6,6,21,116,10,0 53,0,2024-09-07 08:13:21:761,3335,3.3,3207,2.4,6715,3.7,9088,4.25 53,1,2024-09-07 08:13:20:774,17130,17130,0,0,8860450983,97855389,15992,714,424,373,391483,0 53,2,2024-09-07 08:13:21:302,13715,13715,0,0,832840,0,1520 53,3,2024-09-07 08:13:20:698,1,10,4,1,28,44,10,0 54,0,2024-09-07 08:13:21:624,2448,10.0,1962,10.0,3848,7.8,6497,9.75 54,1,2024-09-07 08:13:20:583,17286,17286,0,0,8630270410,92820069,16558,694,34,370,391520,0 54,2,2024-09-07 08:13:20:866,12949,12943,6,0,1603651,0,5382 54,3,2024-09-07 08:13:20:767,1,10,1,1,42,79,10,0 55,0,2024-09-07 08:13:21:775,2818,1.1,2913,1.3,5874,1.0,7494,2.75 55,1,2024-09-07 08:13:20:768,17029,17029,0,0,8235716588,91243880,15612,922,495,370,391660,0 55,2,2024-09-07 08:13:20:734,12267,12267,0,0,858338,0,2129 55,3,2024-09-07 08:13:20:673,1,10,24,0,24,63,10,0 56,0,2024-09-07 08:13:21:590,2088,0.4,2024,0.8,4049,0.2,5098,2.00 56,1,2024-09-07 08:13:20:579,16985,16985,0,0,9008775139,100454549,15670,790,525,386,391536,0 56,2,2024-09-07 08:13:21:326,12822,12822,0,0,1244402,0,3567 56,3,2024-09-07 08:13:21:060,1,10,7,2,20,102,10,0 57,0,2024-09-07 08:13:21:002,2941,6.6,2925,4.2,5971,7.5,7900,6.50 57,1,2024-09-07 08:13:21:003,17674,17674,0,0,8366863223,89296923,17404,269,1,371,391505,0 57,2,2024-09-07 08:13:21:319,10861,10861,0,0,930931,0,2687 57,3,2024-09-07 08:13:21:739,1,10,2,1,359,400,10,0 58,0,2024-09-07 08:13:20:571,3209,1.0,3243,1.2,6491,0.8,8561,2.25 58,1,2024-09-07 08:13:20:582,17134,17134,0,0,8655910766,94750296,16087,899,148,372,391482,0 58,2,2024-09-07 08:13:21:072,13191,13191,0,0,956891,0,2464 58,3,2024-09-07 08:13:21:071,1,10,1,1,80,133,10,0 59,0,2024-09-07 08:13:21:758,2601,1.3,2655,1.5,5233,1.4,6534,2.75 59,1,2024-09-07 08:13:20:805,17416,17416,0,0,8648244861,92206982,16990,424,2,372,391515,0 59,2,2024-09-07 08:13:20:584,13661,13661,0,0,928421,0,1708 59,3,2024-09-07 08:13:21:739,1,10,2,1,20,62,10,0 60,0,2024-09-07 08:13:21:749,1459,0.2,1475,0.3,2940,0.1,3746,1.50 60,1,2024-09-07 08:13:20:778,17730,17730,0,0,8650221766,89081719,17727,1,2,370,391672,0 60,2,2024-09-07 08:13:21:143,13403,13403,0,0,780864,0,2142 60,3,2024-09-07 08:13:21:262,1,10,8,2,23,124,10,0 61,0,2024-09-07 08:13:21:537,2369,0.3,2330,0.5,4640,0.2,6222,1.50 61,1,2024-09-07 08:13:20:775,17407,17407,0,0,8551407354,91582725,16789,299,319,383,391504,0 61,2,2024-09-07 08:13:21:132,8992,8992,0,0,326633,0,1601 61,3,2024-09-07 08:13:21:706,1,10,1,1,72,99,10,0 62,0,2024-09-07 08:13:21:743,4342,0.3,4498,0.6,8754,0.3,11890,1.50 62,1,2024-09-07 08:13:21:126,17531,17531,0,0,9184682618,94596216,17366,163,2,368,391586,0 62,2,2024-09-07 08:13:21:700,13956,13956,0,0,678160,0,2030 62,3,2024-09-07 08:13:21:149,1,10,2,1,28,52,10,0 63,0,2024-09-07 08:13:21:468,1380,0.1,1381,0.3,2617,0.1,3398,1.25 63,1,2024-09-07 08:13:20:806,17841,17841,0,0,8774307450,91250213,17698,142,1,382,391489,0 63,2,2024-09-07 08:13:20:767,14168,14168,0,0,761366,0,2079 63,3,2024-09-07 08:13:21:742,1,10,196,1,216,458,10,0 64,0,2024-09-07 08:13:21:577,1203,0.1,1173,0.3,2402,0.1,2830,1.25 64,1,2024-09-07 08:13:20:759,17551,17551,0,0,8908994721,94659250,16880,617,54,374,391604,0 64,2,2024-09-07 08:13:21:142,12651,12651,0,0,624513,0,2510 64,3,2024-09-07 08:13:21:140,1,10,1,1,20,107,10,0 65,0,2024-09-07 08:13:21:817,1137,0.2,1159,0.3,2255,0.1,2692,1.50 65,1,2024-09-07 08:13:20:878,17350,17350,0,0,8036805023,85662477,16907,436,7,382,391481,0 65,2,2024-09-07 08:13:21:710,9465,9465,0,0,468322,0,2374 65,3,2024-09-07 08:13:21:703,1,10,67,1,122,279,10,0 66,0,2024-09-07 08:13:21:809,3869,0.2,3989,0.4,7979,0.2,11010,1.50 66,1,2024-09-07 08:13:21:295,17803,17803,0,0,8756117779,91632496,17504,283,16,382,391537,0 66,2,2024-09-07 08:13:21:133,14558,14558,0,0,812215,0,2934 66,3,2024-09-07 08:13:21:080,1,10,1,1,45,65,10,0 67,0,2024-09-07 08:13:21:458,1148,0.1,1142,0.2,2279,0.1,2762,1.25 67,1,2024-09-07 08:13:20:768,17530,17529,0,1,8542814405,89284975,17452,77,0,383,391567,1 67,2,2024-09-07 08:13:20:584,13596,13596,0,0,733532,0,2104 67,3,2024-09-07 08:13:21:759,1,10,2,1,43,60,10,0 68,0,2024-09-07 08:13:20:579,2362,0.4,2341,0.9,4768,0.3,5970,2.00 68,1,2024-09-07 08:13:20:578,16989,16989,0,0,8203272411,91298998,16111,432,446,385,391482,0 68,2,2024-09-07 08:13:21:063,11749,11749,0,0,1261167,0,4883 68,3,2024-09-07 08:13:20:732,1,10,9,1,21,104,10,0 69,0,2024-09-07 08:13:21:800,2691,0.9,2598,1.1,5223,1.0,6954,2.50 69,1,2024-09-07 08:13:21:030,16618,16618,0,0,8256024256,95597125,15297,459,862,387,391483,0 69,2,2024-09-07 08:13:21:758,12006,12006,0,0,746367,0,2462 69,3,2024-09-07 08:13:20:761,1,10,6,1,20,69,10,0 70,0,2024-09-07 08:13:21:589,3504,6.3,3468,6.9,6992,3.0,9926,4.75 70,1,2024-09-07 08:13:20:805,17298,17298,0,0,8749922071,92278352,17058,223,17,370,391527,0 70,2,2024-09-07 08:13:21:326,12581,12581,0,0,833101,0,1937 70,3,2024-09-07 08:13:20:758,1,10,8,1,69,96,10,0 71,0,2024-09-07 08:13:21:374,2714,10.0,3057,10.0,5548,10.0,8424,7.50 71,1,2024-09-07 08:13:21:609,17280,17280,0,0,8410610499,91300677,16567,588,125,371,391584,0 71,2,2024-09-07 08:13:21:068,12995,12995,0,0,1080920,0,2146 71,3,2024-09-07 08:13:21:760,1,10,2,1,93,166,10,0 72,0,2024-09-07 08:13:21:059,3286,0.6,3187,0.8,6410,0.5,8567,3.25 72,1,2024-09-07 08:13:21:039,17246,17246,0,0,8140259221,87714985,16706,539,1,372,391496,0 72,2,2024-09-07 08:13:21:767,12783,12783,0,0,1206428,0,2043 72,3,2024-09-07 08:13:21:762,1,10,9,1,13,97,10,0 73,0,2024-09-07 08:13:21:144,1851,0.4,1829,0.6,3666,0.2,4731,2.25 73,1,2024-09-07 08:13:20:781,17458,17458,0,0,8696981210,91531492,17400,58,0,370,391485,0 73,2,2024-09-07 08:13:21:750,13422,13422,0,0,1329608,0,3482 73,3,2024-09-07 08:13:20:973,1,10,32,1,137,293,10,0 74,0,2024-09-07 08:13:21:330,3142,1.4,3167,1.3,6111,1.9,8699,3.25 74,1,2024-09-07 08:13:20:637,17294,17294,0,0,7905634928,84073348,17009,283,2,384,391494,0 74,2,2024-09-07 08:13:21:013,9447,9447,0,0,787660,0,2736 74,3,2024-09-07 08:13:21:445,1,10,1,1,192,664,10,0 75,0,2024-09-07 08:13:21:785,3825,1.1,3830,1.2,7576,1.2,10253,3.50 75,1,2024-09-07 08:13:21:592,17413,17413,0,0,8097325753,87762343,16939,423,51,384,391508,0 75,2,2024-09-07 08:13:21:356,13341,13341,0,0,1732347,0,4766 75,3,2024-09-07 08:13:21:066,1,10,38,1,38,57,10,0 76,0,2024-09-07 08:13:20:607,1816,0.2,1859,0.3,3579,0.1,4892,1.50 76,1,2024-09-07 08:13:20:807,17421,17421,0,0,8181723363,85122018,17411,10,0,384,391530,0 76,2,2024-09-07 08:13:21:063,14960,14960,0,0,521250,0,1006 76,3,2024-09-07 08:13:21:152,1,10,12,1,140,255,10,0 77,0,2024-09-07 08:13:21:724,1347,0.2,1343,0.2,2573,0.1,3346,1.50 77,1,2024-09-07 08:13:20:826,17549,17549,0,0,7960224106,82730422,17480,69,0,383,391512,0 77,2,2024-09-07 08:13:21:298,12858,12858,0,0,531765,0,1637 77,3,2024-09-07 08:13:21:095,1,10,2,1,29,42,10,0 78,0,2024-09-07 08:13:21:739,1155,0.2,1179,0.3,2326,0.1,3197,1.50 78,1,2024-09-07 08:13:20:612,17529,17529,0,0,7991102365,83018555,17463,66,0,370,391484,0 78,2,2024-09-07 08:13:21:437,9239,9239,0,0,210267,0,775 78,3,2024-09-07 08:13:21:138,1,10,20,1,112,179,10,0 79,0,2024-09-07 08:13:21:399,4092,0.3,4137,0.5,8374,0.3,11319,1.50 79,1,2024-09-07 08:13:20:578,17773,17773,0,0,8776841342,90986848,17668,105,0,372,391482,0 79,2,2024-09-07 08:13:21:069,14638,14638,0,0,493047,0,1314 79,3,2024-09-07 08:13:20:751,1,10,18,1,20,50,10,0 80,0,2024-09-07 08:13:21:131,1109,0.2,1152,0.3,2301,0.1,2797,1.50 80,1,2024-09-07 08:13:21:633,17334,17334,0,0,8479318534,89283854,16937,396,1,374,391673,0 80,2,2024-09-07 08:13:21:097,14060,14060,0,0,658308,0,1380 80,3,2024-09-07 08:13:20:579,1,10,10,1,72,220,10,0 81,0,2024-09-07 08:13:21:593,1622,0.2,1649,0.3,3191,0.1,4040,1.50 81,1,2024-09-07 08:13:21:668,17333,17333,0,0,8113941889,85732286,17013,313,7,383,391488,0 81,2,2024-09-07 08:13:21:125,11663,11663,0,0,687003,0,2811 81,3,2024-09-07 08:13:21:119,1,10,3,1,39,81,10,0 82,0,2024-09-07 08:13:21:558,2245,0.2,2185,0.4,4500,0.2,5515,1.50 82,1,2024-09-07 08:13:20:592,17300,17299,0,1,8276517322,86789617,17099,194,6,385,391489,1 82,2,2024-09-07 08:13:21:698,10857,10857,0,0,464235,0,1286 82,3,2024-09-07 08:13:21:765,1,10,1,1,65,152,10,0 83,0,2024-09-07 08:13:21:547,3428,0.3,3383,0.6,6778,0.3,9296,1.50 83,1,2024-09-07 08:13:20:555,17138,17138,0,0,8396234214,90075209,16482,577,79,384,391494,0 83,2,2024-09-07 08:13:20:768,13625,13625,0,0,703356,0,2512 83,3,2024-09-07 08:13:20:750,1,10,1,1,9,33,10,0 84,0,2024-09-07 08:13:21:800,2334,10.0,2329,10.0,4620,7.0,7069,6.25 84,1,2024-09-07 08:13:21:040,17357,17357,0,0,8208003551,90604245,16369,750,238,370,391538,0 84,2,2024-09-07 08:13:20:580,12741,12741,0,0,1460855,0,3801 84,3,2024-09-07 08:13:21:141,1,10,2,2,13,90,10,0 85,0,2024-09-07 08:13:21:038,2821,0.5,2811,0.8,5906,0.4,7588,2.25 85,1,2024-09-07 08:13:20:585,16939,16939,0,0,8404427169,94294230,15608,1041,290,384,391505,0 85,2,2024-09-07 08:13:20:865,11951,11951,0,0,1110721,0,3656 85,3,2024-09-07 08:13:20:686,1,10,4,1,88,119,10,0 86,0,2024-09-07 08:13:20:981,2057,0.3,2139,0.5,4134,0.2,5736,1.75 86,1,2024-09-07 08:13:20:828,17163,17163,0,0,8437929980,91815672,16413,722,28,369,391491,0 86,2,2024-09-07 08:13:20:866,12619,12618,1,0,1283868,0,5004 86,3,2024-09-07 08:13:20:588,1,10,2,1,24,88,10,0 87,0,2024-09-07 08:13:21:318,3208,4.9,3163,3.2,6481,5.1,8858,2.25 87,1,2024-09-07 08:13:20:559,17292,17292,0,0,8250388490,88549460,16817,427,48,369,391551,0 87,2,2024-09-07 08:13:21:074,10643,10643,0,0,589289,0,2148 87,3,2024-09-07 08:13:21:803,1,10,202,1,202,272,10,0 88,0,2024-09-07 08:13:21:454,3504,0.6,3522,0.8,7116,0.7,9918,2.00 88,1,2024-09-07 08:13:20:579,17167,17167,0,0,8610413704,93274485,16621,493,53,370,391747,0 88,2,2024-09-07 08:13:20:692,13373,13373,0,0,1203568,0,2675 88,3,2024-09-07 08:13:21:282,1,10,8,2,13,88,10,0 89,0,2024-09-07 08:13:21:785,2701,0.8,2672,0.9,5256,0.9,7251,2.25 89,1,2024-09-07 08:13:20:553,16842,16842,0,0,8807915992,97777477,15854,481,507,384,391549,0 89,2,2024-09-07 08:13:21:134,13779,13779,0,0,1161042,0,2564 89,3,2024-09-07 08:13:21:795,1,10,116,4,116,250,10,0 90,0,2024-09-07 08:13:21:624,1435,0.3,1460,0.5,3033,0.2,3717,1.75 90,1,2024-09-07 08:13:20:594,17362,17362,0,0,8729991793,94298083,16839,505,18,383,391522,0 90,2,2024-09-07 08:13:21:415,13196,13196,0,0,973978,0,2225 90,3,2024-09-07 08:13:20:954,1,10,4,1,10,48,10,0 91,0,2024-09-07 08:13:20:938,2292,0.8,2297,0.9,4747,0.8,6187,2.25 91,1,2024-09-07 08:13:20:557,17215,17215,0,0,8751844400,95461095,16387,707,121,385,391914,0 91,2,2024-09-07 08:13:21:340,8787,8787,0,0,593142,0,1997 91,3,2024-09-07 08:13:20:610,1,10,3,1,84,162,10,0 92,0,2024-09-07 08:13:21:455,4389,0.4,4499,0.5,8809,0.4,11991,1.50 92,1,2024-09-07 08:13:20:590,17519,17519,0,0,8523420791,89326404,17221,252,46,383,391557,0 92,2,2024-09-07 08:13:21:351,14593,14593,0,0,877776,0,2279 92,3,2024-09-07 08:13:21:015,1,10,8,2,21,120,10,0 93,0,2024-09-07 08:13:20:981,1339,0.1,1315,0.2,2611,0.1,3353,1.25 93,1,2024-09-07 08:13:20:812,17644,17644,0,0,8771515056,90860891,17598,44,2,371,391689,0 93,2,2024-09-07 08:13:20:929,14644,14644,0,0,486989,0,1122 93,3,2024-09-07 08:13:21:424,1,10,2,1,143,198,10,0 94,0,2024-09-07 08:13:21:613,1246,0.1,1181,0.2,2403,0.1,2900,1.25 94,1,2024-09-07 08:13:20:576,17559,17559,0,0,9219934261,96415817,17397,161,1,383,391554,0 94,2,2024-09-07 08:13:20:762,12374,12374,0,0,609561,0,1446 94,3,2024-09-07 08:13:21:693,1,10,1,1,231,389,10,0 95,0,2024-09-07 08:13:21:360,1154,0.2,1160,0.3,2310,0.1,2705,1.25 95,1,2024-09-07 08:13:20:861,17545,17545,0,0,8242876748,85101137,17542,2,1,369,391590,0 95,2,2024-09-07 08:13:21:021,9584,9584,0,0,295924,0,943 95,3,2024-09-07 08:13:21:715,1,10,1,1,12,45,10,0 96,0,2024-09-07 08:13:21:033,4056,0.4,3985,0.6,7901,0.4,11033,1.75 96,1,2024-09-07 08:13:21:591,17771,17771,0,0,8781095489,92725586,17607,163,1,386,391551,0 96,2,2024-09-07 08:13:21:277,14616,14616,0,0,601191,0,1251 96,3,2024-09-07 08:13:21:141,1,10,9,8,30,132,10,0 97,0,2024-09-07 08:13:21:337,1157,0.2,1132,0.3,2312,0.1,2736,1.50 97,1,2024-09-07 08:13:20:769,17556,17556,0,0,9239700073,95879638,17322,228,6,370,391530,0 97,2,2024-09-07 08:13:20:629,13565,13565,0,0,648035,0,1663 97,3,2024-09-07 08:13:20:569,1,10,3,1,77,136,10,0 98,0,2024-09-07 08:13:21:734,2384,0.2,2347,0.4,4669,0.2,5958,1.50 98,1,2024-09-07 08:13:20:588,17336,17336,0,0,8766379157,91542303,17269,66,1,384,391588,0 98,2,2024-09-07 08:13:20:781,11694,11694,0,0,658943,0,2410 98,3,2024-09-07 08:13:20:704,1,10,7,1,155,194,10,0 99,0,2024-09-07 08:13:21:466,2620,0.2,2580,0.4,5181,0.2,6885,1.50 99,1,2024-09-07 08:13:21:758,17938,17938,0,0,8094960806,84240241,17892,44,2,383,391519,0 99,2,2024-09-07 08:13:21:421,11936,11936,0,0,628742,0,1388 99,3,2024-09-07 08:13:20:581,1,10,1,1,26,98,10,0 100,0,2024-09-07 08:13:21:471,3361,3.4,3355,6.9,6909,6.3,9717,3.50 100,1,2024-09-07 08:13:20:557,17216,17216,0,0,8040468466,90835427,15992,976,248,384,391505,0 100,2,2024-09-07 08:13:21:819,12477,12466,11,0,1403065,0,5417 100,3,2024-09-07 08:13:21:746,1,10,20,7,443,597,10,0 101,0,2024-09-07 08:13:21:713,3389,9.6,3015,7.4,5735,9.6,9346,4.75 101,1,2024-09-07 08:13:20:554,17083,17083,0,0,8341273486,92676647,16124,535,424,371,391516,0 101,2,2024-09-07 08:13:21:758,12929,12929,0,0,1547001,0,4644 101,3,2024-09-07 08:13:20:954,1,10,1,1,448,542,10,0 102,0,2024-09-07 08:13:20:997,3143,1.3,3235,1.1,6452,1.0,8539,2.50 102,1,2024-09-07 08:13:21:143,17540,17540,0,0,8495344156,91918126,17035,495,10,371,391621,0 102,2,2024-09-07 08:13:21:743,13004,13004,0,0,826064,0,1699 102,3,2024-09-07 08:13:21:625,1,10,0,0,410,510,10,0 103,0,2024-09-07 08:13:21:616,1846,0.4,1789,0.6,3539,0.2,4745,1.75 103,1,2024-09-07 08:13:21:639,17410,17410,0,0,8296265592,88220233,17107,256,47,381,391680,0 103,2,2024-09-07 08:13:20:585,13556,13556,0,0,900875,0,1484 103,3,2024-09-07 08:13:20:761,1,10,2,1,150,210,10,0 104,0,2024-09-07 08:13:21:028,3133,0.8,3180,0.9,6076,0.7,8571,2.00 104,1,2024-09-07 08:13:21:601,17504,17504,0,0,8449939681,91487144,16911,564,29,369,391948,0 104,2,2024-09-07 08:13:21:669,9358,9358,0,0,1039513,0,3941 104,3,2024-09-07 08:13:21:416,1,10,16,8,21,130,10,0 105,0,2024-09-07 08:13:21:043,3869,0.7,3680,1.5,7658,1.2,10141,2.00 105,1,2024-09-07 08:13:20:567,16712,16712,0,0,8042706759,90663895,15268,939,505,369,391797,0 105,2,2024-09-07 08:13:21:335,13598,13598,0,0,1292439,0,3314 105,3,2024-09-07 08:13:21:305,1,10,6,1,169,258,10,0 106,0,2024-09-07 08:13:21:030,1789,0.3,1767,0.6,3612,0.2,4971,1.75 106,1,2024-09-07 08:13:21:755,17527,17527,0,0,8355069230,89671591,17139,385,3,373,391503,0 106,2,2024-09-07 08:13:20:762,14238,14238,0,0,1102561,0,1600 106,3,2024-09-07 08:13:20:682,1,10,2,1,171,195,10,0 107,0,2024-09-07 08:13:21:127,1297,0.2,1307,0.5,2545,0.2,3281,1.50 107,1,2024-09-07 08:13:20:586,17230,17230,0,0,8505528034,92573672,16455,650,125,382,391848,0 107,2,2024-09-07 08:13:21:292,12677,12676,1,0,1069206,0,5024 107,3,2024-09-07 08:13:21:769,1,10,19,2,75,187,10,0 108,0,2024-09-07 08:13:21:787,1169,0.2,1185,0.3,2287,0.2,3211,1.50 108,1,2024-09-07 08:13:21:330,17522,17522,0,0,8558174730,89555140,17203,244,75,372,391563,0 108,2,2024-09-07 08:13:21:758,8881,8881,0,0,340234,0,2051 108,3,2024-09-07 08:13:21:333,1,10,12,6,18,119,10,0 109,0,2024-09-07 08:13:21:791,4198,0.4,4241,0.6,8106,0.4,11430,1.50 109,1,2024-09-07 08:13:20:597,17579,17579,0,0,8626650804,90078701,17556,23,0,386,391492,0 109,2,2024-09-07 08:13:20:922,14190,14190,0,0,530059,0,1922 109,3,2024-09-07 08:13:21:142,1,10,4,1,120,334,10,0 110,0,2024-09-07 08:13:21:865,1118,0.1,1155,0.2,2359,0.1,2790,1.25 110,1,2024-09-07 08:13:21:656,17877,17877,0,0,8331606546,86391697,17783,94,0,371,391562,0 110,2,2024-09-07 08:13:21:304,14555,14555,0,0,653648,0,2234 110,3,2024-09-07 08:13:20:695,1,10,1,1,16,39,10,0 111,0,2024-09-07 08:13:21:439,1574,0.1,1604,0.2,3339,0.1,4011,1.25 111,1,2024-09-07 08:13:21:006,17472,17472,0,0,9226592480,94519224,17467,4,1,384,391482,0 111,2,2024-09-07 08:13:21:122,12178,12178,0,0,511637,0,1130 111,3,2024-09-07 08:13:20:915,1,10,87,2,89,264,10,0 112,0,2024-09-07 08:13:20:925,2235,1.0,2256,0.6,4592,0.2,5533,1.75 112,1,2024-09-07 08:13:20:829,17632,17632,0,0,8382904001,87881280,17578,54,0,382,391487,0 112,2,2024-09-07 08:13:21:134,10937,10937,0,0,460820,0,1331 112,3,2024-09-07 08:13:20:592,1,10,1,1,21,93,10,0 113,0,2024-09-07 08:13:20:875,3260,0.5,3347,0.7,6814,0.4,9291,1.75 113,1,2024-09-07 08:13:21:688,17452,17452,0,0,8875944681,92884024,17207,245,0,368,391661,0 113,2,2024-09-07 08:13:21:306,13759,13759,0,0,469116,0,1275 113,3,2024-09-07 08:13:20:684,1,10,6,1,130,254,10,0 114,0,2024-09-07 08:13:20:888,2738,7.8,2773,6.6,5269,4.9,7516,3.00 114,1,2024-09-07 08:13:20:717,17742,17742,0,0,8551459546,89112840,17587,155,0,383,391513,0 114,2,2024-09-07 08:13:20:878,13286,13286,0,0,500686,0,1841 114,3,2024-09-07 08:13:21:279,1,10,1,1,159,173,10,0 115,0,2024-09-07 08:13:20:588,2833,0.3,2916,0.6,5775,0.2,7630,1.75 115,1,2024-09-07 08:13:20:575,17360,17360,0,0,8947684085,94765693,16901,352,107,384,391495,0 115,2,2024-09-07 08:13:21:125,12621,12621,0,0,515540,0,1535 115,3,2024-09-07 08:13:21:003,1,10,12,1,40,84,10,0 116,0,2024-09-07 08:13:21:713,2088,0.3,2090,0.5,4214,0.2,5774,1.50 116,1,2024-09-07 08:13:20:806,16907,16907,0,0,8043836120,91538868,15808,263,836,384,391495,0 116,2,2024-09-07 08:13:21:765,13111,13111,0,0,880600,0,2154 116,3,2024-09-07 08:13:20:913,1,10,8,2,132,203,10,0 117,0,2024-09-07 08:13:20:970,3225,4.7,3181,3.2,6378,4.9,8851,3.00 117,1,2024-09-07 08:13:21:586,17131,17131,0,0,8357992773,91840661,16235,677,219,372,391523,0 117,2,2024-09-07 08:13:21:123,10849,10849,0,0,974342,0,3700 117,3,2024-09-07 08:13:21:059,1,10,7,1,490,951,10,0 118,0,2024-09-07 08:13:21:829,3438,0.7,3536,0.9,7141,0.7,10010,2.00 118,1,2024-09-07 08:13:20:586,17380,17380,0,0,8221159780,87978624,17067,312,1,369,391503,0 118,2,2024-09-07 08:13:21:592,13376,13376,0,0,910467,0,1435 118,3,2024-09-07 08:13:21:776,1,10,79,2,79,217,10,0 119,0,2024-09-07 08:13:21:370,2562,0.4,2601,0.7,5318,0.3,7202,2.00 119,1,2024-09-07 08:13:20:552,17018,17018,0,0,8191806233,89715207,16186,702,130,371,391576,0 119,2,2024-09-07 08:13:21:284,14035,14035,0,0,978027,0,2222 119,3,2024-09-07 08:13:21:338,1,10,10,1,375,404,10,0 120,0,2024-09-07 08:13:21:566,1490,0.3,1481,0.5,2961,0.2,3746,1.75 120,1,2024-09-07 08:13:20:860,17370,17370,0,0,8396534769,90240391,16953,411,6,373,391546,0 120,2,2024-09-07 08:13:20:782,12968,12967,1,0,1826450,0,5281 120,3,2024-09-07 08:13:21:290,1,10,12,7,241,336,10,0 121,0,2024-09-07 08:13:21:701,2350,0.5,2401,0.8,4736,0.4,6254,1.75 121,1,2024-09-07 08:13:21:660,16984,16984,0,0,8245014061,90956836,16089,661,234,371,391633,0 121,2,2024-09-07 08:13:21:137,8927,8927,0,0,685532,0,2570 121,3,2024-09-07 08:13:20:732,1,10,2,1,71,118,10,0 122,0,2024-09-07 08:13:21:783,4163,5.1,4042,5.2,8387,6.1,11985,3.00 122,1,2024-09-07 08:13:20:864,16950,16950,0,0,8508604981,94315473,15878,711,361,369,392130,0 122,2,2024-09-07 08:13:21:351,14079,14079,0,0,1303959,0,2574 122,3,2024-09-07 08:13:20:597,1,10,113,4,226,862,10,0 123,0,2024-09-07 08:13:21:006,1346,0.3,1308,0.5,2706,0.2,3362,1.75 123,1,2024-09-07 08:13:20:559,17076,17076,0,0,8628579928,97074153,15466,1274,336,371,391495,0 123,2,2024-09-07 08:13:21:026,14155,14154,1,0,1639603,0,5215 123,3,2024-09-07 08:13:21:134,1,10,3,1,134,225,10,0 124,0,2024-09-07 08:13:20:947,1201,0.1,1225,0.2,2350,0.1,2853,1.25 124,1,2024-09-07 08:13:21:023,17663,17663,0,0,8090078243,83545971,17659,4,0,371,391483,0 124,2,2024-09-07 08:13:21:016,12512,12512,0,0,560743,0,2477 124,3,2024-09-07 08:13:20:761,1,10,60,2,60,211,10,0 125,0,2024-09-07 08:13:21:427,1133,0.2,1152,0.3,2347,0.1,2687,1.25 125,1,2024-09-07 08:13:20:883,17705,17705,0,0,8483567023,88610114,17585,114,6,385,391502,0 125,2,2024-09-07 08:13:21:118,9652,9652,0,0,336881,0,1125 125,3,2024-09-07 08:13:21:138,1,10,1,1,67,157,10,0 126,0,2024-09-07 08:13:21:465,3908,0.3,4022,0.6,7849,0.3,11011,1.50 126,1,2024-09-07 08:13:20:555,17682,17682,0,0,8554636980,89872328,17402,274,6,369,391719,0 126,2,2024-09-07 08:13:20:613,14719,14719,0,0,604815,0,1557 126,3,2024-09-07 08:13:20:911,1,10,14,1,23,90,10,0 127,0,2024-09-07 08:13:21:621,1139,0.1,1170,0.2,2350,0.1,2724,1.25 127,1,2024-09-07 08:13:20:574,17660,17660,0,0,8185048754,84318978,17650,9,1,366,391488,0 127,2,2024-09-07 08:13:20:638,13741,13741,0,0,618645,0,1744 127,3,2024-09-07 08:13:21:272,1,10,0,0,19,60,10,0 128,0,2024-09-07 08:13:21:552,2336,0.3,2327,0.6,4681,0.2,5951,1.75 128,1,2024-09-07 08:13:21:607,17309,17309,0,0,8634222621,90079828,17193,115,1,370,391487,0 128,2,2024-09-07 08:13:21:403,11482,11482,0,0,743601,0,1712 128,3,2024-09-07 08:13:20:767,1,10,8,5,20,108,10,0 129,0,2024-09-07 08:13:21:009,2589,0.5,2661,0.7,5267,0.4,6876,2.00 129,1,2024-09-07 08:13:20:570,17412,17412,0,0,8579544925,90601040,17120,277,15,383,391752,0 129,2,2024-09-07 08:13:20:689,11987,11987,0,0,517645,0,2289 129,3,2024-09-07 08:13:20:696,1,10,1,1,82,138,10,0 130,0,2024-09-07 08:13:21:734,3677,2.0,3652,1.7,7246,3.0,10198,2.25 130,1,2024-09-07 08:13:20:600,17495,17495,0,0,9014909838,94850654,17251,243,1,381,391516,0 130,2,2024-09-07 08:13:21:197,12497,12497,0,0,426004,0,1019 130,3,2024-09-07 08:13:21:303,1,10,10,1,11,41,10,0 131,0,2024-09-07 08:13:21:963,3439,3.6,3357,2.7,6935,4.7,9305,3.00 131,1,2024-09-07 08:13:21:834,17292,17292,0,0,8384731041,87697593,17237,54,1,385,391727,0 131,2,2024-09-07 08:13:20:570,12860,12860,0,0,512452,0,1374 131,3,2024-09-07 08:13:21:690,1,10,2,1,23,59,10,0 132,0,2024-09-07 08:13:21:438,3137,0.6,3230,1.3,6406,0.7,8600,2.00 132,1,2024-09-07 08:13:20:587,17029,17029,0,0,8104382511,90994241,15732,1035,262,383,391533,0 132,2,2024-09-07 08:13:20:700,12771,12771,0,0,2470819,0,4606 132,3,2024-09-07 08:13:21:694,1,10,8,5,356,476,10,0 133,0,2024-09-07 08:13:21:557,1799,0.3,1784,0.6,3631,0.2,4706,1.75 133,1,2024-09-07 08:13:20:588,17035,17035,0,0,8242784390,89584981,16293,738,4,386,391492,0 133,2,2024-09-07 08:13:21:091,13734,13734,0,0,883366,0,1707 133,3,2024-09-07 08:13:21:323,1,10,2,1,18,45,10,0 134,0,2024-09-07 08:13:21:030,3139,0.5,3117,1.1,6164,0.5,8668,2.00 134,1,2024-09-07 08:13:20:598,17038,17038,0,0,8017409611,91471668,15599,693,746,366,391508,0 134,2,2024-09-07 08:13:21:765,9444,9444,0,0,475539,0,1739 134,3,2024-09-07 08:13:20:762,1,10,15,1,63,200,10,0 135,0,2024-09-07 08:13:21:111,3643,4.3,3632,3.7,7663,3.6,9915,3.50 135,1,2024-09-07 08:13:21:593,17371,17371,0,0,8886673487,94070379,17008,347,16,383,391491,0 135,2,2024-09-07 08:13:20:689,13706,13706,0,0,1252986,0,3016 135,3,2024-09-07 08:13:21:005,1,10,2,1,68,182,10,0 136,0,2024-09-07 08:13:21:653,1851,0.5,1783,0.7,3645,0.4,4985,2.25 136,1,2024-09-07 08:13:21:445,17454,17454,0,0,8391155321,92765418,16544,772,138,386,391501,0 136,2,2024-09-07 08:13:21:134,14718,14718,0,0,715458,0,1490 136,3,2024-09-07 08:13:21:111,1,10,8,2,24,107,10,0 137,0,2024-09-07 08:13:20:939,1350,0.3,1342,0.5,2583,0.2,3282,1.75 137,1,2024-09-07 08:13:20:581,17399,17399,0,0,8286397256,89572094,16911,482,6,370,391489,0 137,2,2024-09-07 08:13:21:716,12335,12335,0,0,1321558,0,2294 137,3,2024-09-07 08:13:20:773,1,10,2,1,13,66,10,0 138,0,2024-09-07 08:13:21:785,1133,0.6,1196,0.9,2341,0.6,3213,2.50 138,1,2024-09-07 08:13:21:690,17287,17287,0,0,8633384445,94640134,16259,811,217,371,391572,0 138,2,2024-09-07 08:13:20:586,8694,8694,0,0,846933,0,3263 138,3,2024-09-07 08:13:20:610,1,10,14,1,20,54,10,0 139,0,2024-09-07 08:13:21:388,3888,5.7,3822,3.5,7775,6.1,11163,3.75 139,1,2024-09-07 08:13:20:582,17180,17180,0,0,8424106904,95694659,15923,777,480,386,391511,0 139,2,2024-09-07 08:13:20:700,14578,14578,0,0,1171201,0,2233 139,3,2024-09-07 08:13:21:703,1,10,15,1,45,82,10,0 140,0,2024-09-07 08:13:21:610,1127,0.1,1133,0.3,2341,0.1,2808,1.50 140,1,2024-09-07 08:13:21:547,17648,17648,0,0,8936486183,91692743,17627,21,0,366,391482,0 140,2,2024-09-07 08:13:20:688,14136,14136,0,0,882468,0,2609 140,3,2024-09-07 08:13:20:767,1,10,6,2,13,74,10,0 141,0,2024-09-07 08:13:21:712,1546,0.2,1639,0.2,3195,0.1,3999,1.50 141,1,2024-09-07 08:13:20:862,17775,17775,0,0,8934080217,91723615,17774,1,0,383,391532,0 141,2,2024-09-07 08:13:21:691,11730,11730,0,0,524740,0,1869 141,3,2024-09-07 08:13:21:043,1,10,42,0,42,76,10,0 142,0,2024-09-07 08:13:21:380,2276,0.2,2280,0.5,4635,0.2,5507,1.50 142,1,2024-09-07 08:13:20:587,17508,17508,0,0,8406231672,87377112,17497,11,0,385,391493,0 142,2,2024-09-07 08:13:21:302,10825,10825,0,0,477965,0,2000 142,3,2024-09-07 08:13:21:770,1,10,4,1,11,47,10,0 143,0,2024-09-07 08:13:21:384,3300,0.5,3400,0.6,6911,0.5,9266,1.50 143,1,2024-09-07 08:13:20:560,17374,17374,0,0,8590934477,89254667,17201,173,0,370,391492,0 143,2,2024-09-07 08:13:20:783,13629,13629,0,0,657948,0,2572 143,3,2024-09-07 08:13:21:141,1,10,2,1,219,259,10,0 144,0,2024-09-07 08:13:21:544,2274,10.0,2828,10.0,4478,7.7,7370,3.50 144,1,2024-09-07 08:13:20:584,17311,17311,0,0,8213925829,87392131,16994,277,40,383,391557,0 144,2,2024-09-07 08:13:21:775,13246,13246,0,0,542500,0,1140 144,3,2024-09-07 08:13:21:744,1,10,20,3,99,237,10,0 145,0,2024-09-07 08:13:21:386,2795,0.5,2832,0.9,5811,0.4,7618,2.25 145,1,2024-09-07 08:13:20:556,17185,17185,0,0,8333979796,89061676,16974,211,0,384,391603,0 145,2,2024-09-07 08:13:21:440,12201,12201,0,0,1730431,0,3903 145,3,2024-09-07 08:13:20:896,1,10,2,2,151,450,10,0 146,0,2024-09-07 08:13:21:622,2101,0.3,2112,0.6,4240,0.2,5777,1.75 146,1,2024-09-07 08:13:21:591,17202,17202,0,0,8496107927,94637403,15967,988,247,371,391512,0 146,2,2024-09-07 08:13:21:718,12710,12710,0,0,928841,0,2149 146,3,2024-09-07 08:13:21:288,1,10,10,0,54,178,10,0 147,0,2024-09-07 08:13:21:735,3203,5.5,3072,3.6,6257,6.4,8968,4.25 147,1,2024-09-07 08:13:21:378,17578,17578,0,0,8270132759,85489029,17560,16,2,370,391791,0 147,2,2024-09-07 08:13:21:013,11143,11143,0,0,440597,0,2526 147,3,2024-09-07 08:13:20:913,1,10,4,2,141,288,10,0 0,0,2024-09-07 08:13:31:725,1637,0.2,1603,0.4,3330,0.1,4166,1.50 0,1,2024-09-07 08:13:30:805,18868,18868,0,0,9479229821,101900460,18356,407,105,373,391658,0 0,2,2024-09-07 08:13:31:069,14659,14659,0,0,570405,0,951 0,3,2024-09-07 08:13:30:973,1,11,17,3,19,125,11,0 1,0,2024-09-07 08:13:31:825,2559,0.3,2547,0.5,5181,0.2,6836,1.50 1,1,2024-09-07 08:13:30:576,18975,18975,0,0,8941942082,94899397,18603,339,33,372,391486,0 1,2,2024-09-07 08:13:30:645,10032,10032,0,0,430171,0,1321 1,3,2024-09-07 08:13:31:302,1,11,2,1,36,130,11,0 2,0,2024-09-07 08:13:31:583,4809,0.7,4928,1.1,9424,1.0,13071,1.75 2,1,2024-09-07 08:13:30:871,19024,19024,0,0,9134768080,95624564,18943,81,0,383,391486,0 2,2,2024-09-07 08:13:31:271,15251,15251,0,0,1134493,0,3304 2,3,2024-09-07 08:13:30:697,1,11,1,1,87,197,11,0 3,0,2024-09-07 08:13:31:752,1483,0.2,1557,0.3,3088,0.1,3857,1.50 3,1,2024-09-07 08:13:31:625,19243,19243,0,0,9348424329,98141469,19070,173,0,383,391494,0 3,2,2024-09-07 08:13:31:146,15697,15697,0,0,811553,0,2192 3,3,2024-09-07 08:13:31:752,1,11,1,1,23,53,11,0 4,0,2024-09-07 08:13:31:785,1278,0.3,1294,0.4,2638,0.2,3155,1.50 4,1,2024-09-07 08:13:30:598,18973,18973,0,0,8535069467,94309762,17978,652,343,374,391680,0 4,2,2024-09-07 08:13:31:035,13598,13598,0,0,1614861,0,4528 4,3,2024-09-07 08:13:31:033,1,11,28,8,28,161,11,0 5,0,2024-09-07 08:13:31:404,1301,0.3,1257,0.5,2555,0.2,3075,1.75 5,1,2024-09-07 08:13:30:767,18924,18924,0,0,9200984989,98852689,18404,429,91,371,392005,0 5,2,2024-09-07 08:13:31:842,10326,10326,0,0,651426,0,1912 5,3,2024-09-07 08:13:31:734,1,11,2,1,47,90,11,0 6,0,2024-09-07 08:13:30:928,4475,0.7,4473,1.2,9042,0.9,12387,2.00 6,1,2024-09-07 08:13:30:748,19168,19168,0,0,9784754738,102836230,18933,231,4,382,391603,0 6,2,2024-09-07 08:13:31:143,15927,15927,0,0,1135007,0,1686 6,3,2024-09-07 08:13:31:278,1,11,3,1,277,506,11,0 7,0,2024-09-07 08:13:31:542,1222,0.2,1213,0.4,2484,0.1,3031,1.50 7,1,2024-09-07 08:13:30:851,18968,18968,0,0,9094797830,97723867,18439,465,64,385,391481,0 7,2,2024-09-07 08:13:30:783,15045,15045,0,0,1178542,0,2981 7,3,2024-09-07 08:13:30:851,1,11,46,1,46,129,11,0 8,0,2024-09-07 08:13:31:355,2491,0.3,2453,0.5,4845,0.2,6180,1.75 8,1,2024-09-07 08:13:31:036,18395,18395,0,0,9160907195,107954674,16019,1219,1157,370,391724,0 8,2,2024-09-07 08:13:30:791,12564,12564,0,0,1183936,0,2986 8,3,2024-09-07 08:13:30:590,1,11,10,8,22,134,11,0 9,0,2024-09-07 08:13:31:122,2852,0.4,2809,0.7,5721,0.3,7380,1.75 9,1,2024-09-07 08:13:30:603,18854,18854,0,0,9555412670,106207318,17556,824,474,373,391496,0 9,2,2024-09-07 08:13:31:084,12614,12614,0,0,810751,0,1631 9,3,2024-09-07 08:13:31:752,1,11,23,1,50,179,11,0 10,0,2024-09-07 08:13:31:608,4083,0.7,4124,0.7,8203,0.6,11104,2.00 10,1,2024-09-07 08:13:30:586,18592,18592,0,0,9489139040,106699987,16897,1466,229,384,391540,0 10,2,2024-09-07 08:13:30:763,13693,13693,0,0,1078639,0,2932 10,3,2024-09-07 08:13:30:875,1,11,1,0,118,137,11,0 11,0,2024-09-07 08:13:31:047,3221,7.2,3175,4.7,6645,8.2,9563,3.75 11,1,2024-09-07 08:13:30:576,18462,18462,0,0,9441151066,105704399,16960,841,661,384,391483,0 11,2,2024-09-07 08:13:31:146,14249,14249,0,0,1054564,0,2635 11,3,2024-09-07 08:13:31:305,1,11,1,1,62,192,11,0 12,0,2024-09-07 08:13:30:993,3528,0.3,3467,0.5,7079,0.2,9345,1.50 12,1,2024-09-07 08:13:30:951,19245,19245,0,0,9096753381,94725728,19218,25,2,372,391504,0 12,2,2024-09-07 08:13:31:552,14285,14285,0,0,873712,0,2254 12,3,2024-09-07 08:13:31:067,1,11,12,2,17,123,11,0 13,0,2024-09-07 08:13:31:405,2094,0.2,2045,0.4,4053,0.2,5342,1.50 13,1,2024-09-07 08:13:31:526,19448,19448,0,0,9827621785,102295463,19385,62,1,385,391516,0 13,2,2024-09-07 08:13:30:601,14703,14703,0,0,584704,0,2239 13,3,2024-09-07 08:13:31:762,1,11,1,1,153,230,11,0 14,0,2024-09-07 08:13:30:576,3604,0.3,3681,0.6,7008,0.2,9831,1.75 14,1,2024-09-07 08:13:31:568,19610,19610,0,0,9329257430,96355170,19548,62,0,365,391481,0 14,2,2024-09-07 08:13:30:771,10788,10788,0,0,421932,0,1934 14,3,2024-09-07 08:13:31:142,1,11,1,1,40,88,11,0 15,0,2024-09-07 08:13:31:563,4112,0.4,4061,0.9,8139,0.6,10797,2.00 15,1,2024-09-07 08:13:31:615,19229,19229,0,0,8838559559,91920545,19206,23,0,381,391481,0 15,2,2024-09-07 08:13:31:012,15384,15384,0,0,474062,0,993 15,3,2024-09-07 08:13:31:408,1,11,3,0,538,861,11,0 16,0,2024-09-07 08:13:30:996,2110,0.2,2137,0.4,4294,0.2,5897,1.50 16,1,2024-09-07 08:13:30:568,19220,19220,0,0,8795304067,93710088,18808,409,3,373,391543,0 16,2,2024-09-07 08:13:31:441,15392,15392,0,0,1364827,0,4719 16,3,2024-09-07 08:13:31:143,1,11,13,9,231,427,11,0 17,0,2024-09-07 08:13:31:858,1527,0.2,1567,0.3,2963,0.1,3828,1.50 17,1,2024-09-07 08:13:30:589,19147,19147,0,0,9502871150,101821960,18601,410,136,373,391671,0 17,2,2024-09-07 08:13:31:675,14289,14289,0,0,779671,0,1865 17,3,2024-09-07 08:13:30:579,1,11,11,1,15,90,11,0 18,0,2024-09-07 08:13:30:970,1466,0.2,1448,0.4,2948,0.1,4078,1.75 18,1,2024-09-07 08:13:31:645,19096,19096,0,0,9074847202,95358756,18992,102,2,370,391497,0 18,2,2024-09-07 08:13:31:756,9707,9707,0,0,680573,0,2688 18,3,2024-09-07 08:13:30:910,1,11,5,1,142,216,11,0 19,0,2024-09-07 08:13:31:549,4567,0.9,4594,0.9,9050,1.1,12421,1.75 19,1,2024-09-07 08:13:30:574,19233,19233,0,0,9207005794,96855531,18938,270,25,370,391512,0 19,2,2024-09-07 08:13:31:754,15969,15969,0,0,732226,0,1669 19,3,2024-09-07 08:13:31:142,1,11,1,1,29,44,11,0 20,0,2024-09-07 08:13:31:405,1274,0.2,1323,0.4,2608,0.2,3112,1.50 20,1,2024-09-07 08:13:30:593,18968,18968,0,0,9512957298,101200298,18602,366,0,373,391488,0 20,2,2024-09-07 08:13:30:942,15429,15429,0,0,1046315,0,1616 20,3,2024-09-07 08:13:30:592,1,11,10,1,99,238,11,0 21,0,2024-09-07 08:13:31:209,1797,0.2,1758,0.5,3510,0.2,4379,1.75 21,1,2024-09-07 08:13:31:557,19011,19011,0,0,8683267901,93823818,18434,552,25,372,391962,0 21,2,2024-09-07 08:13:31:073,13524,13524,0,0,1098829,0,3747 21,3,2024-09-07 08:13:31:404,1,11,21,1,30,142,11,0 22,0,2024-09-07 08:13:31:728,2288,0.3,2386,0.8,4653,0.3,5523,1.75 22,1,2024-09-07 08:13:31:031,18920,18920,0,0,9221402766,102906845,17643,970,307,382,391667,0 22,2,2024-09-07 08:13:30:761,11506,11506,0,0,659727,0,1503 22,3,2024-09-07 08:13:31:066,1,11,2,0,48,101,11,0 23,0,2024-09-07 08:13:31:407,3707,0.5,3779,0.7,7399,0.5,10291,1.75 23,1,2024-09-07 08:13:31:006,19255,19255,0,0,9636641151,100515927,19116,139,0,369,391480,0 23,2,2024-09-07 08:13:31:093,15459,15459,0,0,887267,0,2078 23,3,2024-09-07 08:13:31:754,1,11,1,0,26,44,11,0 24,0,2024-09-07 08:13:30:864,3068,1.6,3080,1.9,6174,3.0,7794,2.00 24,1,2024-09-07 08:13:30:593,19204,19204,0,0,8988073657,96077691,18805,396,3,373,391540,0 24,2,2024-09-07 08:13:31:069,14490,14490,0,0,1431260,0,2912 24,3,2024-09-07 08:13:31:686,1,11,8,2,22,119,11,0 25,0,2024-09-07 08:13:31:369,3173,0.3,3122,0.5,6019,0.2,8159,1.75 25,1,2024-09-07 08:13:30:579,18946,18946,0,0,9112665978,98001205,18509,436,1,373,391484,0 25,2,2024-09-07 08:13:31:612,13573,13573,0,0,1040402,0,1436 25,3,2024-09-07 08:13:31:004,1,11,2,2,50,191,11,0 26,0,2024-09-07 08:13:31:729,2441,0.2,2344,0.4,4858,0.1,6626,1.50 26,1,2024-09-07 08:13:31:543,18633,18633,0,0,9203981043,103266013,17280,806,547,383,391480,0 26,2,2024-09-07 08:13:30:862,13714,13714,0,0,1251724,0,2576 26,3,2024-09-07 08:13:31:712,1,11,1,1,796,854,11,0 27,0,2024-09-07 08:13:31:732,3504,0.7,3533,0.9,7204,0.8,9630,1.75 27,1,2024-09-07 08:13:31:678,19497,19497,0,0,9849336045,103205532,19401,95,1,382,391526,0 27,2,2024-09-07 08:13:30:868,12053,12053,0,0,1775950,0,2975 27,3,2024-09-07 08:13:31:025,1,11,2,1,86,136,11,0 28,0,2024-09-07 08:13:31:413,4042,0.9,4004,1.0,8169,1.2,11498,2.00 28,1,2024-09-07 08:13:30:805,19600,19600,0,0,9962612898,102937431,19599,1,0,383,391488,0 28,2,2024-09-07 08:13:31:783,14568,14568,0,0,696567,0,2177 28,3,2024-09-07 08:13:31:778,1,11,8,8,20,122,11,0 29,0,2024-09-07 08:13:31:396,3028,0.3,3045,0.6,5838,0.3,8074,1.75 29,1,2024-09-07 08:13:31:588,19322,19322,0,0,9436559517,98563307,18917,233,172,371,391511,0 29,2,2024-09-07 08:13:30:863,15127,15127,0,0,464018,0,1451 29,3,2024-09-07 08:13:30:965,1,11,12,1,57,115,11,0 30,0,2024-09-07 08:13:31:477,1657,0.2,1579,0.4,3235,0.1,4158,1.50 30,1,2024-09-07 08:13:30:576,19189,19189,0,0,9734567922,100963320,19154,34,1,382,391524,0 30,2,2024-09-07 08:13:31:280,14618,14618,0,0,449823,0,924 30,3,2024-09-07 08:13:30:586,1,11,1,0,110,138,11,0 31,0,2024-09-07 08:13:31:773,2594,0.3,2632,0.6,5200,0.2,6885,1.75 31,1,2024-09-07 08:13:30:568,19253,19253,0,0,9480196500,96933468,19253,0,0,356,391478,0 31,2,2024-09-07 08:13:31:278,10342,10342,0,0,539782,0,1593 31,3,2024-09-07 08:13:31:708,1,11,2,0,8,32,11,0 32,0,2024-09-07 08:13:31:435,4793,0.5,4870,0.8,9657,0.3,12944,1.50 32,1,2024-09-07 08:13:30:812,19223,19223,0,0,9136930733,95188681,19171,51,1,383,391488,0 32,2,2024-09-07 08:13:30:956,15467,15467,0,0,694004,0,1970 32,3,2024-09-07 08:13:31:016,1,11,12,1,37,133,11,0 33,0,2024-09-07 08:13:31:565,1548,0.1,1528,0.2,2970,0.1,3836,1.25 33,1,2024-09-07 08:13:30:588,19110,19110,0,0,9571580590,99758331,18890,209,11,370,391482,0 33,2,2024-09-07 08:13:30:760,15527,15527,0,0,1289294,0,3364 33,3,2024-09-07 08:13:30:903,1,11,16,1,27,76,11,0 34,0,2024-09-07 08:13:30:961,1286,0.1,1342,0.2,2606,0.1,3068,1.25 34,1,2024-09-07 08:13:31:052,19342,19342,0,0,9512562504,99195843,19215,127,0,369,391481,0 34,2,2024-09-07 08:13:30:770,13831,13831,0,0,737283,0,2070 34,3,2024-09-07 08:13:31:688,1,11,1,0,12,25,11,0 35,0,2024-09-07 08:13:30:868,1275,0.2,1308,0.3,2496,0.1,3088,1.25 35,1,2024-09-07 08:13:31:071,19018,19018,0,0,9318969130,98340578,18521,396,101,385,391481,0 35,2,2024-09-07 08:13:31:584,10454,10454,0,0,588245,0,2276 35,3,2024-09-07 08:13:30:913,1,11,1,1,219,238,11,0 36,0,2024-09-07 08:13:31:526,4417,1.7,4473,1.7,8948,1.5,12211,3.25 36,1,2024-09-07 08:13:30:592,18638,18638,0,0,8778112326,100175637,16700,1182,756,370,391535,0 36,2,2024-09-07 08:13:31:754,15905,15905,0,0,940112,0,1272 36,3,2024-09-07 08:13:30:863,1,11,10,1,54,158,11,0 37,0,2024-09-07 08:13:31:375,1223,0.2,1274,0.5,2469,0.1,3029,1.75 37,1,2024-09-07 08:13:30:577,18086,18079,0,7,9017620639,107759124,15956,616,1507,370,391507,0 37,2,2024-09-07 08:13:31:146,15353,15353,0,0,839815,0,1448 37,3,2024-09-07 08:13:31:769,1,11,4,0,31,75,11,0 38,0,2024-09-07 08:13:31:455,2460,0.6,2401,0.7,4934,0.4,6201,2.50 38,1,2024-09-07 08:13:31:606,19523,19523,0,0,9768748299,103136242,19276,246,1,372,391512,0 38,2,2024-09-07 08:13:30:766,13053,13053,0,0,1019072,0,3245 38,3,2024-09-07 08:13:31:009,1,11,1,1,36,87,11,0 39,0,2024-09-07 08:13:31:771,2928,0.3,2860,0.6,5640,0.2,7419,1.75 39,1,2024-09-07 08:13:30:722,18837,18837,0,0,9017565533,98422171,17815,604,418,366,391480,0 39,2,2024-09-07 08:13:31:430,12784,12784,0,0,754626,0,1786 39,3,2024-09-07 08:13:30:714,1,11,1,1,189,257,11,0 40,0,2024-09-07 08:13:31:506,3770,2.4,3970,5.1,7769,5.9,10966,4.00 40,1,2024-09-07 08:13:30:584,18886,18886,0,0,9041649777,97824045,18175,567,144,371,391484,0 40,2,2024-09-07 08:13:31:304,13976,13975,1,0,1470641,0,5137 40,3,2024-09-07 08:13:31:145,1,11,12,2,21,112,11,0 41,0,2024-09-07 08:13:31:050,2834,10.0,3401,10.0,5473,10.0,8318,8.25 41,1,2024-09-07 08:13:30:769,18841,18841,0,0,9040461334,98870479,17804,845,192,371,391483,0 41,2,2024-09-07 08:13:30:777,14253,14253,0,0,1379194,0,3325 41,3,2024-09-07 08:13:31:676,1,11,6,1,6,21,11,0 42,0,2024-09-07 08:13:31:485,3466,0.7,3409,1.1,6990,0.6,9346,3.25 42,1,2024-09-07 08:13:31:441,18596,18596,0,0,9535596822,106563698,17335,647,614,381,391489,0 42,2,2024-09-07 08:13:31:135,13871,13871,0,0,1150001,0,1746 42,3,2024-09-07 08:13:31:010,1,11,2,1,54,78,11,0 43,0,2024-09-07 08:13:30:927,2011,0.3,1987,0.7,4086,0.2,5300,2.00 43,1,2024-09-07 08:13:30:579,18717,18717,0,0,9484470233,104258685,17477,650,590,368,391479,0 43,2,2024-09-07 08:13:31:738,14545,14545,0,0,1400639,0,3812 43,3,2024-09-07 08:13:31:749,1,11,57,1,292,672,11,0 44,0,2024-09-07 08:13:30:880,3553,0.3,3498,0.8,7132,0.3,9823,1.75 44,1,2024-09-07 08:13:30:566,19555,19555,0,0,8918075105,91753888,19502,53,0,358,391490,0 44,2,2024-09-07 08:13:31:273,10843,10843,0,0,364376,0,1004 44,3,2024-09-07 08:13:31:105,1,11,8,1,28,128,11,0 45,0,2024-09-07 08:13:31:789,4056,0.3,3963,0.6,8175,0.2,10877,1.75 45,1,2024-09-07 08:13:31:009,19191,19191,0,0,9804607058,101955958,19095,96,0,382,391510,0 45,2,2024-09-07 08:13:31:277,15486,15486,0,0,540012,0,1251 45,3,2024-09-07 08:13:30:947,1,11,226,1,226,289,11,0 46,0,2024-09-07 08:13:30:958,2122,0.2,2125,0.4,4281,0.1,5910,1.50 46,1,2024-09-07 08:13:30:576,19482,19482,0,0,9532734974,97863509,19478,3,1,370,391487,0 46,2,2024-09-07 08:13:30:593,15676,15676,0,0,887604,0,2835 46,3,2024-09-07 08:13:31:133,1,11,93,1,200,402,11,0 47,0,2024-09-07 08:13:31:114,1443,0.2,1519,0.3,2943,0.1,3780,1.50 47,1,2024-09-07 08:13:30:576,19555,19555,0,0,9100227939,93858085,19524,31,0,368,391481,0 47,2,2024-09-07 08:13:30:914,14419,14419,0,0,696188,0,2194 47,3,2024-09-07 08:13:31:147,1,11,1,1,25,65,11,0 48,0,2024-09-07 08:13:31:508,1486,0.1,1493,0.3,3013,0.1,4158,1.50 48,1,2024-09-07 08:13:31:034,18940,18940,0,0,9208403143,97061689,18653,287,0,386,391488,0 48,2,2024-09-07 08:13:30:716,10017,10017,0,0,372159,0,1521 48,3,2024-09-07 08:13:30:758,1,11,9,1,21,103,11,0 49,0,2024-09-07 08:13:31:741,4668,0.4,4662,0.6,8922,0.4,12545,1.75 49,1,2024-09-07 08:13:31:028,19439,19439,0,0,9337918276,97548852,19320,119,0,382,391583,0 49,2,2024-09-07 08:13:31:805,15880,15880,0,0,899070,0,2196 49,3,2024-09-07 08:13:31:418,1,11,1,1,274,389,11,0 50,0,2024-09-07 08:13:31:540,1253,0.1,1286,0.2,2537,0.1,3112,1.25 50,1,2024-09-07 08:13:31:012,19230,19230,0,0,9298019646,98222980,18569,480,181,370,391530,0 50,2,2024-09-07 08:13:31:066,15812,15812,0,0,571121,0,2034 50,3,2024-09-07 08:13:31:295,1,11,1,1,335,364,11,0 51,0,2024-09-07 08:13:31:717,1776,0.1,1745,0.2,3431,0.1,4364,1.50 51,1,2024-09-07 08:13:31:683,19305,19305,0,0,10123104085,104824848,19096,176,33,369,391504,0 51,2,2024-09-07 08:13:31:317,13233,13233,0,0,479651,0,1936 51,3,2024-09-07 08:13:31:033,1,11,1,0,162,210,11,0 52,0,2024-09-07 08:13:31:482,2274,1.0,2265,1.5,4648,1.3,5278,3.50 52,1,2024-09-07 08:13:30:599,18781,18781,0,0,9091796776,100223174,17787,800,194,371,391498,0 52,2,2024-09-07 08:13:31:756,11395,11395,0,0,1208372,0,4779 52,3,2024-09-07 08:13:30:683,1,11,10,6,21,126,11,0 53,0,2024-09-07 08:13:31:786,3694,3.2,3561,2.2,7362,3.4,9977,4.00 53,1,2024-09-07 08:13:30:774,18858,18858,0,0,9697162680,106492338,17720,714,424,373,391483,0 53,2,2024-09-07 08:13:31:305,15211,15211,0,0,870019,0,1520 53,3,2024-09-07 08:13:30:697,1,11,3,1,28,47,11,0 54,0,2024-09-07 08:13:31:627,2448,10.0,2055,10.0,4091,7.6,6710,9.50 54,1,2024-09-07 08:13:30:581,19075,19075,0,0,9287969761,99562338,18347,694,34,370,391520,0 54,2,2024-09-07 08:13:30:868,14520,14514,6,0,1665395,0,5382 54,3,2024-09-07 08:13:30:776,1,11,3,1,42,82,11,0 55,0,2024-09-07 08:13:31:770,3025,1.1,3137,1.2,6316,0.9,8074,2.75 55,1,2024-09-07 08:13:30:770,18855,18855,0,0,9083233248,99993290,17437,923,495,369,391660,0 55,2,2024-09-07 08:13:30:734,13416,13416,0,0,888058,0,2129 55,3,2024-09-07 08:13:30:675,1,11,1,0,24,64,11,0 56,0,2024-09-07 08:13:31:617,2381,1.0,2307,1.4,4749,2.1,6068,5.00 56,1,2024-09-07 08:13:30:587,18812,18812,0,0,9903795578,110304845,17447,840,525,386,391536,0 56,2,2024-09-07 08:13:31:304,13856,13856,0,0,1309043,0,3567 56,3,2024-09-07 08:13:31:058,1,11,12,2,20,114,11,0 57,0,2024-09-07 08:13:30:967,3230,6.4,3191,4.1,6527,7.5,8869,6.75 57,1,2024-09-07 08:13:31:004,19408,19408,0,0,9161387146,97725868,19138,269,1,371,391505,0 57,2,2024-09-07 08:13:31:317,12458,12458,0,0,1035665,0,2687 57,3,2024-09-07 08:13:31:738,1,11,24,1,359,424,11,0 58,0,2024-09-07 08:13:30:574,3307,1.2,3418,1.5,6652,1.2,9335,3.00 58,1,2024-09-07 08:13:30:577,18888,18888,0,0,9367084923,102416119,17804,936,148,372,391482,0 58,2,2024-09-07 08:13:31:071,14572,14572,0,0,1022251,0,2464 58,3,2024-09-07 08:13:31:068,1,11,2,1,80,135,11,0 59,0,2024-09-07 08:13:31:776,2923,1.8,2963,1.7,5900,2.1,7451,4.25 59,1,2024-09-07 08:13:30:806,19113,19113,0,0,9404130793,100193403,18687,424,2,372,391515,0 59,2,2024-09-07 08:13:30:599,14782,14782,0,0,1031069,0,1708 59,3,2024-09-07 08:13:31:740,1,11,5,1,20,67,11,0 60,0,2024-09-07 08:13:31:716,1614,0.2,1632,0.3,3221,0.1,4126,1.50 60,1,2024-09-07 08:13:30:789,19553,19553,0,0,9707602922,100055030,19550,1,2,370,391672,0 60,2,2024-09-07 08:13:31:149,14738,14738,0,0,837835,0,2142 60,3,2024-09-07 08:13:31:270,1,11,8,2,23,132,11,0 61,0,2024-09-07 08:13:31:549,2614,0.3,2605,0.4,5090,0.2,6824,1.50 61,1,2024-09-07 08:13:30:780,19107,19107,0,0,9431921577,100766650,18488,300,319,383,391504,0 61,2,2024-09-07 08:13:31:144,10346,10346,0,0,391988,0,1601 61,3,2024-09-07 08:13:31:687,1,11,2,1,72,101,11,0 62,0,2024-09-07 08:13:31:719,4720,0.3,4889,0.6,9523,0.3,12902,1.75 62,1,2024-09-07 08:13:31:150,19444,19444,0,0,9871004579,102281609,19229,213,2,368,391586,0 62,2,2024-09-07 08:13:31:656,15284,15284,0,0,727301,0,2030 62,3,2024-09-07 08:13:31:147,1,11,7,1,28,59,11,0 63,0,2024-09-07 08:13:31:462,1552,0.1,1552,0.3,2932,0.1,3838,1.50 63,1,2024-09-07 08:13:30:807,19585,19585,0,0,9507989713,98862048,19442,142,1,382,391489,0 63,2,2024-09-07 08:13:30:762,15609,15609,0,0,860737,0,2079 63,3,2024-09-07 08:13:31:731,1,11,2,1,216,460,11,0 64,0,2024-09-07 08:13:31:549,1286,0.1,1272,0.3,2611,0.1,3080,1.25 64,1,2024-09-07 08:13:30:756,19298,19298,0,0,9451092400,100282395,18627,617,54,371,391604,0 64,2,2024-09-07 08:13:31:147,13970,13970,0,0,713300,0,2510 64,3,2024-09-07 08:13:31:145,1,11,12,1,20,119,11,0 65,0,2024-09-07 08:13:31:693,1251,0.2,1270,0.3,2490,0.1,3005,1.50 65,1,2024-09-07 08:13:30:867,19084,19084,0,0,8832257909,93937866,18640,437,7,382,391481,0 65,2,2024-09-07 08:13:31:703,10313,10313,0,0,495727,0,2374 65,3,2024-09-07 08:13:31:689,1,11,1,1,122,280,11,0 66,0,2024-09-07 08:13:31:778,4364,0.2,4460,0.4,8949,0.2,12260,1.50 66,1,2024-09-07 08:13:31:295,19551,19551,0,0,9641203388,100685364,19252,283,16,382,391537,0 66,2,2024-09-07 08:13:31:133,16046,16046,0,0,841632,0,2934 66,3,2024-09-07 08:13:31:081,1,11,1,1,45,66,11,0 67,0,2024-09-07 08:13:31:424,1260,0.1,1248,0.2,2469,0.1,3046,1.25 67,1,2024-09-07 08:13:30:771,19335,19334,0,1,9440999494,98615549,19256,78,0,383,391567,1 67,2,2024-09-07 08:13:30:590,15115,15115,0,0,762845,0,2104 67,3,2024-09-07 08:13:31:750,1,11,10,1,43,70,11,0 68,0,2024-09-07 08:13:30:625,2464,0.4,2440,0.9,4975,0.3,6229,2.00 68,1,2024-09-07 08:13:30:580,18746,18746,0,0,8899952082,98513145,17868,432,446,385,391489,0 68,2,2024-09-07 08:13:31:044,13047,13047,0,0,1302036,0,4883 68,3,2024-09-07 08:13:30:732,1,11,16,1,21,120,11,0 69,0,2024-09-07 08:13:31:783,2913,0.8,2808,1.1,5593,1.0,7469,2.25 69,1,2024-09-07 08:13:31:020,18369,18369,0,0,8914812720,102475994,17047,460,862,387,391483,0 69,2,2024-09-07 08:13:31:748,12812,12812,0,0,765106,0,2462 69,3,2024-09-07 08:13:30:768,1,11,0,0,20,69,11,0 70,0,2024-09-07 08:13:31:540,3932,6.0,3865,6.5,7836,2.4,11120,4.50 70,1,2024-09-07 08:13:30:808,19172,19172,0,0,9694332929,102014345,18932,223,17,370,391527,0 70,2,2024-09-07 08:13:31:340,13966,13966,0,0,872450,0,1937 70,3,2024-09-07 08:13:30:752,1,11,1,1,69,97,11,0 71,0,2024-09-07 08:13:31:371,2945,10.0,3079,10.0,5752,10.0,8730,7.50 71,1,2024-09-07 08:13:31:598,19048,19048,0,0,9368253372,101167781,18335,588,125,370,391584,0 71,2,2024-09-07 08:13:31:068,14484,14484,0,0,1136299,0,2146 71,3,2024-09-07 08:13:31:750,1,11,3,1,93,169,11,0 72,0,2024-09-07 08:13:31:064,3588,0.6,3492,0.8,6991,0.4,9355,3.25 72,1,2024-09-07 08:13:31:027,19058,19058,0,0,8955378178,96232925,18518,539,1,372,391496,0 72,2,2024-09-07 08:13:31:779,13825,13825,0,0,1385029,0,2043 72,3,2024-09-07 08:13:31:769,1,11,9,1,13,106,11,0 73,0,2024-09-07 08:13:31:131,2049,0.4,2033,0.6,4117,0.2,5325,2.25 73,1,2024-09-07 08:13:30:789,19192,19192,0,0,9529573558,100343869,19133,59,0,370,391485,0 73,2,2024-09-07 08:13:31:771,14581,14581,0,0,1380654,0,3482 73,3,2024-09-07 08:13:30:972,1,11,0,0,137,293,11,0 74,0,2024-09-07 08:13:31:334,3582,1.4,3637,1.3,6927,2.0,9912,3.25 74,1,2024-09-07 08:13:30:646,19125,19125,0,0,8918716368,94812763,18840,283,2,384,391494,0 74,2,2024-09-07 08:13:31:004,10775,10775,0,0,902258,0,2736 74,3,2024-09-07 08:13:31:441,1,11,0,0,192,664,11,0 75,0,2024-09-07 08:13:31:782,4090,1.0,4118,1.2,8144,1.2,10928,3.25 75,1,2024-09-07 08:13:31:588,19233,19233,0,0,9166472307,98817392,18759,423,51,383,391508,0 75,2,2024-09-07 08:13:31:362,14635,14635,0,0,1857262,0,4766 75,3,2024-09-07 08:13:31:067,1,11,7,1,38,64,11,0 76,0,2024-09-07 08:13:30:626,2146,0.2,2188,0.4,4268,0.1,5865,1.50 76,1,2024-09-07 08:13:30:808,19166,19166,0,0,9058294190,94361407,19156,10,0,384,391530,0 76,2,2024-09-07 08:13:31:064,16131,16131,0,0,555847,0,1006 76,3,2024-09-07 08:13:31:145,1,11,19,1,140,274,11,0 77,0,2024-09-07 08:13:31:708,1536,0.2,1515,0.3,2925,0.1,3791,1.50 77,1,2024-09-07 08:13:30:841,19287,19287,0,0,8775791483,91480868,19218,69,0,383,391512,0 77,2,2024-09-07 08:13:31:282,14213,14213,0,0,566079,0,1637 77,3,2024-09-07 08:13:31:105,1,11,0,0,29,42,11,0 78,0,2024-09-07 08:13:31:732,1474,0.2,1502,0.3,3043,0.1,4091,1.75 78,1,2024-09-07 08:13:30:614,19384,19384,0,0,9114993410,94513217,19318,66,0,370,391484,0 78,2,2024-09-07 08:13:31:406,10392,10392,0,0,237546,0,775 78,3,2024-09-07 08:13:31:143,1,11,25,1,112,204,11,0 79,0,2024-09-07 08:13:31:352,4527,0.3,4611,0.5,9231,0.4,12397,1.75 79,1,2024-09-07 08:13:30:592,19515,19515,0,0,9770512544,101400289,19393,122,0,372,391484,0 79,2,2024-09-07 08:13:31:069,15880,15880,0,0,535105,0,1314 79,3,2024-09-07 08:13:30:749,1,11,3,1,20,53,11,0 80,0,2024-09-07 08:13:31:114,1233,0.2,1288,0.3,2542,0.1,3118,1.50 80,1,2024-09-07 08:13:31:626,19106,19106,0,0,9225679457,96887092,18709,396,1,374,391673,0 80,2,2024-09-07 08:13:31:092,15475,15475,0,0,691836,0,1380 80,3,2024-09-07 08:13:30:576,1,11,10,1,72,230,11,0 81,0,2024-09-07 08:13:31:584,1748,0.2,1790,0.3,3443,0.1,4383,1.50 81,1,2024-09-07 08:13:31:662,19047,19047,0,0,8795152739,92796224,18727,313,7,383,391488,0 81,2,2024-09-07 08:13:31:146,13025,13025,0,0,796272,0,2811 81,3,2024-09-07 08:13:31:128,1,11,1,1,39,82,11,0 82,0,2024-09-07 08:13:31:540,2254,0.2,2194,0.4,4528,0.2,5515,1.50 82,1,2024-09-07 08:13:30:593,19050,19049,0,1,9048390016,94745208,18849,194,6,385,391529,1 82,2,2024-09-07 08:13:31:701,11586,11586,0,0,481694,0,1286 82,3,2024-09-07 08:13:31:753,1,11,1,1,65,153,11,0 83,0,2024-09-07 08:13:31:556,3776,0.3,3746,0.6,7493,0.3,10204,1.50 83,1,2024-09-07 08:13:30:559,18977,18977,0,0,9128028207,97738712,18321,577,79,384,391494,0 83,2,2024-09-07 08:13:30:765,15122,15122,0,0,805713,0,2512 83,3,2024-09-07 08:13:30:749,1,11,1,1,9,34,11,0 84,0,2024-09-07 08:13:31:793,2421,10.0,2413,10.0,4786,6.8,7307,6.25 84,1,2024-09-07 08:13:31:052,19089,19089,0,0,9313348569,101883619,18100,750,239,370,391538,0 84,2,2024-09-07 08:13:30:573,14209,14209,0,0,1516653,0,3801 84,3,2024-09-07 08:13:31:144,1,11,12,2,13,102,11,0 85,0,2024-09-07 08:13:31:036,3040,0.5,3010,0.8,6386,0.4,8188,2.25 85,1,2024-09-07 08:13:30:598,18773,18773,0,0,9252654365,103073974,17442,1041,290,384,391505,0 85,2,2024-09-07 08:13:30:878,13003,13003,0,0,1173041,0,3656 85,3,2024-09-07 08:13:30:685,1,11,5,1,88,124,11,0 86,0,2024-09-07 08:13:30:900,2368,0.3,2482,0.5,4795,0.2,6635,1.75 86,1,2024-09-07 08:13:30:833,18894,18894,0,0,9165857301,99248726,18143,723,28,369,391506,0 86,2,2024-09-07 08:13:30:859,13631,13630,1,0,1364214,0,5004 86,3,2024-09-07 08:13:30:588,1,11,8,1,24,96,11,0 87,0,2024-09-07 08:13:31:302,3482,4.7,3455,3.0,7034,5.0,9565,2.25 87,1,2024-09-07 08:13:30:564,19008,19008,0,0,8867540064,95665103,18406,554,48,369,391551,0 87,2,2024-09-07 08:13:31:068,12202,12202,0,0,657850,0,2148 87,3,2024-09-07 08:13:31:801,1,11,4,1,202,276,11,0 88,0,2024-09-07 08:13:31:494,3986,1.1,4049,1.1,8150,1.3,11359,2.00 88,1,2024-09-07 08:13:30:575,18510,18510,0,0,9285730660,100547865,17943,513,54,370,391747,0 88,2,2024-09-07 08:13:30:692,14600,14600,0,0,1302416,0,2675 88,3,2024-09-07 08:13:31:280,1,11,10,2,13,98,11,0 89,0,2024-09-07 08:13:31:966,3048,0.7,3012,0.9,5878,0.8,8153,2.25 89,1,2024-09-07 08:13:30:557,18470,18470,0,0,9631050014,106580750,17476,487,507,384,391549,0 89,2,2024-09-07 08:13:31:141,14853,14853,0,0,1231698,0,2564 89,3,2024-09-07 08:13:31:822,1,11,8,4,116,258,11,0 90,0,2024-09-07 08:13:31:676,1596,0.3,1611,0.5,3360,0.2,4151,1.75 90,1,2024-09-07 08:13:30:594,18928,18928,0,0,9536850312,102762176,18404,506,18,383,391522,0 90,2,2024-09-07 08:13:31:408,14291,14291,0,0,1075109,0,2225 90,3,2024-09-07 08:13:30:943,1,11,2,1,10,50,11,0 91,0,2024-09-07 08:13:30:974,2560,0.7,2538,0.9,5237,0.7,6821,2.00 91,1,2024-09-07 08:13:30:579,18935,18935,0,0,9489159702,103309409,18107,707,121,385,391914,0 91,2,2024-09-07 08:13:31:338,10069,10069,0,0,649979,0,1997 91,3,2024-09-07 08:13:30:610,1,11,2,1,84,164,11,0 92,0,2024-09-07 08:13:31:483,4795,0.3,4895,0.5,9542,0.4,13017,1.50 92,1,2024-09-07 08:13:30:581,19334,19334,0,0,9243550886,96898786,19036,252,46,383,391557,0 92,2,2024-09-07 08:13:31:352,15779,15779,0,0,911179,0,2279 92,3,2024-09-07 08:13:31:014,1,11,22,2,22,142,11,0 93,0,2024-09-07 08:13:30:981,1498,0.1,1479,0.2,2969,0.1,3812,1.25 93,1,2024-09-07 08:13:30:830,19449,19449,0,0,9512638178,98590530,19402,44,3,370,391689,0 93,2,2024-09-07 08:13:30:942,16063,16063,0,0,562520,0,1122 93,3,2024-09-07 08:13:31:408,1,11,8,1,143,206,11,0 94,0,2024-09-07 08:13:31:645,1328,0.1,1288,0.2,2593,0.1,3135,1.25 94,1,2024-09-07 08:13:30:588,19303,19303,0,0,9982499654,104363787,19141,161,1,383,391554,0 94,2,2024-09-07 08:13:30:763,13805,13805,0,0,697003,0,1446 94,3,2024-09-07 08:13:31:729,1,11,61,1,231,450,11,0 95,0,2024-09-07 08:13:31:367,1268,0.2,1247,0.3,2549,0.1,3033,1.25 95,1,2024-09-07 08:13:30:855,19253,19253,0,0,9226220512,95356994,19250,2,1,369,391590,0 95,2,2024-09-07 08:13:31:020,10342,10342,0,0,322530,0,943 95,3,2024-09-07 08:13:31:714,1,11,45,1,45,90,11,0 96,0,2024-09-07 08:13:31:079,4533,0.4,4486,0.6,8933,0.4,12426,1.75 96,1,2024-09-07 08:13:31:591,19483,19483,0,0,9565905086,100900688,19319,163,1,385,391551,0 96,2,2024-09-07 08:13:31:296,15967,15967,0,0,645156,0,1251 96,3,2024-09-07 08:13:31:187,1,11,20,8,30,152,11,0 97,0,2024-09-07 08:13:31:335,1278,0.2,1232,0.3,2568,0.1,3033,1.50 97,1,2024-09-07 08:13:30:768,19370,19370,0,0,10082143395,104593460,19133,231,6,370,391530,0 97,2,2024-09-07 08:13:30:611,15079,15079,0,0,705089,0,1663 97,3,2024-09-07 08:13:30:571,1,11,1,1,77,137,11,0 98,0,2024-09-07 08:13:31:705,2486,0.2,2452,0.4,4876,0.2,6210,1.50 98,1,2024-09-07 08:13:30:588,19146,19146,0,0,9569078235,99877718,19079,66,1,384,391588,0 98,2,2024-09-07 08:13:30:771,13105,13105,0,0,691924,0,2410 98,3,2024-09-07 08:13:30:703,1,11,4,1,155,198,11,0 99,0,2024-09-07 08:13:31:488,2810,0.2,2779,0.4,5620,0.2,7391,1.50 99,1,2024-09-07 08:13:31:731,19713,19713,0,0,8819577863,91763147,19667,44,2,383,391519,0 99,2,2024-09-07 08:13:31:421,12791,12791,0,0,667743,0,1495 99,3,2024-09-07 08:13:30:592,1,11,11,1,26,109,11,0 100,0,2024-09-07 08:13:31:495,3779,3.1,3820,6.6,7721,6.2,10878,3.25 100,1,2024-09-07 08:13:30:549,18915,18915,0,0,8798650823,99723258,17479,1177,259,382,391505,0 100,2,2024-09-07 08:13:31:821,13926,13915,11,0,1444229,0,5417 100,3,2024-09-07 08:13:31:734,1,11,12,7,443,609,11,0 101,0,2024-09-07 08:13:31:853,3426,9.6,3083,7.4,6107,9.6,9617,4.75 101,1,2024-09-07 08:13:30:555,18770,18770,0,0,9221985067,101876185,17810,536,424,371,391516,0 101,2,2024-09-07 08:13:31:757,14417,14417,0,0,1611377,0,4644 101,3,2024-09-07 08:13:30:944,1,11,2,1,448,544,11,0 102,0,2024-09-07 08:13:30:986,3453,1.2,3537,1.1,7049,1.0,9287,2.25 102,1,2024-09-07 08:13:31:146,19291,19291,0,0,9242954347,99604921,18786,495,10,371,391621,0 102,2,2024-09-07 08:13:31:768,14166,14166,0,0,867270,0,1699 102,3,2024-09-07 08:13:31:612,1,11,1,0,410,511,11,0 103,0,2024-09-07 08:13:31:612,2070,0.3,2031,0.6,3983,0.2,5326,1.75 103,1,2024-09-07 08:13:31:637,19142,19142,0,0,9237134396,97850665,18838,257,47,381,391680,0 103,2,2024-09-07 08:13:30:599,14794,14794,0,0,931841,0,1484 103,3,2024-09-07 08:13:30:759,1,11,4,1,150,214,11,0 104,0,2024-09-07 08:13:31:025,3581,1.1,3622,1.1,6916,1.2,9843,2.25 104,1,2024-09-07 08:13:31:604,19117,19117,0,0,9457093462,102152261,18521,567,29,369,391948,0 104,2,2024-09-07 08:13:31:676,10714,10714,0,0,1129546,0,3941 104,3,2024-09-07 08:13:31:424,1,11,21,8,21,151,11,0 105,0,2024-09-07 08:13:31:050,4157,0.7,3978,1.4,8220,1.2,10812,2.00 105,1,2024-09-07 08:13:30:558,18546,18546,0,0,8858083657,99614569,16963,1021,562,369,391797,0 105,2,2024-09-07 08:13:31:339,14859,14859,0,0,1349834,0,3314 105,3,2024-09-07 08:13:31:305,1,11,52,1,169,310,11,0 106,0,2024-09-07 08:13:31:026,2128,0.3,2064,0.7,4258,0.2,5908,1.75 106,1,2024-09-07 08:13:31:914,19248,19248,0,0,8853992428,95040708,18860,385,3,373,391503,0 106,2,2024-09-07 08:13:30:761,15393,15393,0,0,1156695,0,1600 106,3,2024-09-07 08:13:30:687,1,11,2,1,171,197,11,0 107,0,2024-09-07 08:13:31:121,1463,0.3,1464,0.5,2902,0.2,3751,1.75 107,1,2024-09-07 08:13:30:613,18905,18905,0,0,9222774993,100375557,18119,660,126,382,391848,0 107,2,2024-09-07 08:13:31:296,13982,13981,1,0,1134851,0,5024 107,3,2024-09-07 08:13:31:761,1,11,1,1,75,188,11,0 108,0,2024-09-07 08:13:31:800,1507,0.2,1507,0.4,2980,0.2,4163,1.50 108,1,2024-09-07 08:13:31:294,19334,19334,0,0,9279829891,97022561,19014,245,75,372,391563,0 108,2,2024-09-07 08:13:31:769,9994,9994,0,0,394952,0,2051 108,3,2024-09-07 08:13:31:341,1,11,15,6,18,134,11,0 109,0,2024-09-07 08:13:31:767,4633,0.4,4714,0.6,9003,0.4,12558,1.75 109,1,2024-09-07 08:13:30:599,19343,19343,0,0,9742978361,101586207,19320,23,0,386,391492,0 109,2,2024-09-07 08:13:30:926,15362,15362,0,0,583250,0,1922 109,3,2024-09-07 08:13:31:149,1,11,1,1,120,335,11,0 110,0,2024-09-07 08:13:31:765,1236,0.1,1273,0.2,2568,0.1,3105,1.25 110,1,2024-09-07 08:13:31:649,19634,19634,0,0,9228018600,95576624,19540,94,0,371,391562,0 110,2,2024-09-07 08:13:31:317,16029,16029,0,0,728040,0,2234 110,3,2024-09-07 08:13:30:706,1,11,20,1,20,59,11,0 111,0,2024-09-07 08:13:31:436,1710,0.1,1709,0.2,3586,0.1,4354,1.25 111,1,2024-09-07 08:13:31:006,19273,19273,0,0,9959867272,102199788,19267,5,1,384,391488,0 111,2,2024-09-07 08:13:31:146,13614,13614,0,0,568583,0,1130 111,3,2024-09-07 08:13:30:920,1,11,1,1,89,265,11,0 112,0,2024-09-07 08:13:30:935,2246,1.0,2268,0.6,4624,0.2,5533,1.75 112,1,2024-09-07 08:13:30:833,19355,19355,0,0,9245653280,96932655,19291,64,0,382,391487,0 112,2,2024-09-07 08:13:31:135,11721,11721,0,0,495097,0,1331 112,3,2024-09-07 08:13:30:592,1,11,1,1,21,94,11,0 113,0,2024-09-07 08:13:30:892,3630,0.5,3687,0.7,7514,0.4,10222,1.75 113,1,2024-09-07 08:13:31:689,19224,19224,0,0,9720467528,101555540,18979,245,0,368,391661,0 113,2,2024-09-07 08:13:31:306,15284,15284,0,0,515511,0,1275 113,3,2024-09-07 08:13:30:684,1,11,1,1,130,255,11,0 114,0,2024-09-07 08:13:30:883,2817,7.8,2883,6.5,5453,4.8,7757,3.00 114,1,2024-09-07 08:13:30:731,19490,19490,0,0,9562301697,99440469,19335,155,0,383,391513,0 114,2,2024-09-07 08:13:30:874,14841,14841,0,0,542948,0,1841 114,3,2024-09-07 08:13:31:278,1,11,1,1,159,174,11,0 115,0,2024-09-07 08:13:30:563,3049,0.3,3162,0.5,6239,0.2,8190,1.50 115,1,2024-09-07 08:13:30:580,19115,19115,0,0,9603346609,101581119,18656,352,107,384,391495,0 115,2,2024-09-07 08:13:31:129,13791,13791,0,0,581969,0,1535 115,3,2024-09-07 08:13:31:004,1,11,1,1,40,85,11,0 116,0,2024-09-07 08:13:31:808,2398,0.3,2402,0.5,4878,0.2,6630,1.75 116,1,2024-09-07 08:13:30:804,18618,18618,0,0,8841803889,99782920,17519,263,836,384,391495,0 116,2,2024-09-07 08:13:31:759,14065,14065,0,0,908494,0,2154 116,3,2024-09-07 08:13:30:912,1,11,13,2,132,216,11,0 117,0,2024-09-07 08:13:30:972,3528,4.5,3460,3.0,6945,4.9,9520,2.75 117,1,2024-09-07 08:13:31:596,18986,18986,0,0,9218695996,100941850,18089,678,219,372,391523,0 117,2,2024-09-07 08:13:31:148,12367,12367,0,0,1014056,0,3700 117,3,2024-09-07 08:13:31:065,1,11,3,1,490,954,11,0 118,0,2024-09-07 08:13:31:855,3941,1.2,4045,1.1,8152,1.5,11189,2.25 118,1,2024-09-07 08:13:30:600,19096,19096,0,0,8959015408,95587940,18783,312,1,369,391503,0 118,2,2024-09-07 08:13:31:607,14634,14634,0,0,957265,0,1435 118,3,2024-09-07 08:13:31:771,1,11,23,2,79,240,11,0 119,0,2024-09-07 08:13:31:431,2852,0.4,2910,0.7,5955,0.3,7992,2.00 119,1,2024-09-07 08:13:30:579,18722,18722,0,0,9326938203,101312071,17890,702,130,371,391576,0 119,2,2024-09-07 08:13:31:276,15124,15124,0,0,1013870,0,2222 119,3,2024-09-07 08:13:31:325,1,11,11,1,375,415,11,0 120,0,2024-09-07 08:13:31:618,1642,0.3,1636,0.5,3295,0.2,4179,1.75 120,1,2024-09-07 08:13:30:863,19239,19239,0,0,9363112600,100533651,18821,412,6,373,391546,0 120,2,2024-09-07 08:13:30:783,14226,14225,1,0,1894395,0,5281 120,3,2024-09-07 08:13:31:325,1,11,9,7,241,345,11,0 121,0,2024-09-07 08:13:31:718,2595,0.5,2629,0.8,5206,0.4,6926,1.75 121,1,2024-09-07 08:13:31:662,18746,18746,0,0,9160588494,100995676,17792,720,234,371,391633,0 121,2,2024-09-07 08:13:31:137,10278,10278,0,0,856282,0,2570 121,3,2024-09-07 08:13:30:742,1,11,3,1,71,121,11,0 122,0,2024-09-07 08:13:31:774,4542,5.0,4419,4.9,9162,5.7,13039,3.00 122,1,2024-09-07 08:13:30:870,18385,18385,0,0,9169952763,101887242,17207,817,361,369,392130,0 122,2,2024-09-07 08:13:31:327,15155,15155,0,0,1478127,0,2574 122,3,2024-09-07 08:13:30:598,1,11,167,4,226,1029,11,0 123,0,2024-09-07 08:13:30:980,1509,0.3,1473,0.5,3039,0.2,3819,1.75 123,1,2024-09-07 08:13:30:573,18640,18640,0,0,9447086588,105925358,16992,1312,336,371,391495,0 123,2,2024-09-07 08:13:31:029,15521,15520,1,0,1704554,0,5215 123,3,2024-09-07 08:13:31:156,1,11,160,1,160,385,11,0 124,0,2024-09-07 08:13:31:042,1292,0.1,1335,0.2,2531,0.1,3110,1.25 124,1,2024-09-07 08:13:31:054,19507,19507,0,0,8851790951,91510611,19503,4,0,371,391483,0 124,2,2024-09-07 08:13:31:019,13810,13810,0,0,627870,0,2477 124,3,2024-09-07 08:13:30:760,1,11,21,2,60,232,11,0 125,0,2024-09-07 08:13:31:446,1240,0.2,1259,0.3,2593,0.1,3002,1.25 125,1,2024-09-07 08:13:30:860,19359,19359,0,0,9207873262,96032895,19239,114,6,385,391502,0 125,2,2024-09-07 08:13:31:148,10466,10466,0,0,360604,0,1125 125,3,2024-09-07 08:13:31:147,1,11,93,1,93,250,11,0 126,0,2024-09-07 08:13:31:448,4443,0.3,4565,0.6,8799,0.4,12356,1.50 126,1,2024-09-07 08:13:30:560,19378,19378,0,0,9394708045,98693816,19095,277,6,369,391719,0 126,2,2024-09-07 08:13:30:611,16209,16209,0,0,671663,0,1557 126,3,2024-09-07 08:13:30:912,1,11,2,1,23,92,11,0 127,0,2024-09-07 08:13:31:627,1247,0.1,1267,0.2,2561,0.1,3011,1.25 127,1,2024-09-07 08:13:30:576,19346,19346,0,0,8815306770,90819542,19336,9,1,366,391488,0 127,2,2024-09-07 08:13:30:638,15226,15226,0,0,686283,0,1744 127,3,2024-09-07 08:13:31:272,1,11,6,0,19,66,11,0 128,0,2024-09-07 08:13:31:534,2426,0.3,2425,0.6,4871,0.2,6189,1.75 128,1,2024-09-07 08:13:31:621,19027,19027,0,0,9510335265,99476195,18856,170,1,370,391487,0 128,2,2024-09-07 08:13:31:389,12828,12828,0,0,791319,0,1712 128,3,2024-09-07 08:13:30:772,1,11,17,5,20,125,11,0 129,0,2024-09-07 08:13:31:044,2798,0.4,2858,0.7,5668,0.3,7381,2.00 129,1,2024-09-07 08:13:30:574,19251,19251,0,0,9482482602,99905489,18959,277,15,383,391752,0 129,2,2024-09-07 08:13:30:700,12746,12746,0,0,530271,0,2289 129,3,2024-09-07 08:13:30:698,1,11,3,1,82,141,11,0 130,0,2024-09-07 08:13:31:727,4096,1.8,4091,1.6,8092,2.8,11262,2.25 130,1,2024-09-07 08:13:30:594,19217,19217,0,0,9679753458,101711595,18973,243,1,381,391516,0 130,2,2024-09-07 08:13:31:173,14052,14052,0,0,492111,0,1019 130,3,2024-09-07 08:13:31:302,1,11,66,1,66,107,11,0 131,0,2024-09-07 08:13:31:958,3576,3.6,3481,2.7,7194,4.5,9591,3.00 131,1,2024-09-07 08:13:31:837,19324,19324,0,0,9228339208,96656095,19268,55,1,385,391727,0 131,2,2024-09-07 08:13:30:577,14295,14295,0,0,700275,0,1624 131,3,2024-09-07 08:13:31:698,1,11,2,1,23,61,11,0 132,0,2024-09-07 08:13:31:478,3443,0.6,3523,1.2,7020,0.6,9396,2.00 132,1,2024-09-07 08:13:30:578,18806,18806,0,0,8991914022,100215021,17508,1036,262,383,391533,0 132,2,2024-09-07 08:13:30:716,13920,13920,0,0,2508174,0,4606 132,3,2024-09-07 08:13:31:688,1,11,8,5,356,484,11,0 133,0,2024-09-07 08:13:31:565,2003,0.3,1998,0.6,4075,0.2,5264,1.75 133,1,2024-09-07 08:13:30:592,18803,18803,0,0,8954357402,96964656,18061,738,4,386,391492,0 133,2,2024-09-07 08:13:31:106,14956,14956,0,0,922568,0,1707 133,3,2024-09-07 08:13:31:304,1,11,1,1,18,46,11,0 134,0,2024-09-07 08:13:31:029,3556,0.5,3563,1.1,7041,0.5,9854,2.00 134,1,2024-09-07 08:13:30:596,18785,18785,0,0,8920548735,101116789,17290,749,746,366,391508,0 134,2,2024-09-07 08:13:31:760,10885,10885,0,0,518776,0,1739 134,3,2024-09-07 08:13:30:750,1,11,3,1,63,203,11,0 135,0,2024-09-07 08:13:31:164,3919,4.2,3879,3.6,8251,3.4,10606,3.25 135,1,2024-09-07 08:13:31:594,19159,19159,0,0,9667418880,102243602,18795,348,16,383,391491,0 135,2,2024-09-07 08:13:30:702,14957,14957,0,0,1299748,0,3016 135,3,2024-09-07 08:13:31:018,1,11,2,1,68,184,11,0 136,0,2024-09-07 08:13:31:673,2181,0.6,2120,0.9,4281,0.6,5810,2.50 136,1,2024-09-07 08:13:31:444,19202,19202,0,0,9068580164,100019861,18290,774,138,386,391501,0 136,2,2024-09-07 08:13:31:164,15840,15840,0,0,796583,0,1490 136,3,2024-09-07 08:13:31:145,1,11,23,2,24,130,11,0 137,0,2024-09-07 08:13:30:965,1516,0.3,1518,0.5,2915,0.2,3737,1.75 137,1,2024-09-07 08:13:30:577,19004,19004,0,0,8897941575,96089858,18515,483,6,370,391489,0 137,2,2024-09-07 08:13:31:706,13595,13595,0,0,1369791,0,2294 137,3,2024-09-07 08:13:30:771,1,11,1,1,13,67,11,0 138,0,2024-09-07 08:13:31:758,1449,1.1,1493,1.1,2949,1.2,3912,2.75 138,1,2024-09-07 08:13:31:690,19094,19094,0,0,9574128012,104559305,18061,816,217,371,391572,0 138,2,2024-09-07 08:13:30:593,9799,9799,0,0,922611,0,3263 138,3,2024-09-07 08:13:30:623,1,11,12,1,20,66,11,0 139,0,2024-09-07 08:13:31:422,4299,6.1,4221,3.6,8547,6.1,11748,3.75 139,1,2024-09-07 08:13:30:585,18891,18891,0,0,9123226309,103423448,17616,795,480,386,391511,0 139,2,2024-09-07 08:13:30:703,15777,15777,0,0,1256055,0,2233 139,3,2024-09-07 08:13:31:663,1,11,53,1,53,135,11,0 140,0,2024-09-07 08:13:31:605,1236,0.1,1265,0.3,2577,0.1,3114,1.25 140,1,2024-09-07 08:13:31:537,19304,19304,0,0,9621789553,99024388,19268,36,0,366,391482,0 140,2,2024-09-07 08:13:30:689,15565,15565,0,0,930053,0,2609 140,3,2024-09-07 08:13:30:767,1,11,9,2,13,83,11,0 141,0,2024-09-07 08:13:31:719,1707,0.2,1767,0.2,3430,0.1,4366,1.50 141,1,2024-09-07 08:13:30:860,19582,19582,0,0,9757436300,100227030,19581,1,0,382,391532,0 141,2,2024-09-07 08:13:31:687,13123,13123,0,0,581065,0,1869 141,3,2024-09-07 08:13:31:056,1,11,1,0,42,77,11,0 142,0,2024-09-07 08:13:31:329,2288,0.2,2293,0.5,4659,0.2,5507,1.50 142,1,2024-09-07 08:13:30:586,19241,19241,0,0,9311553375,96826990,19230,11,0,385,391493,0 142,2,2024-09-07 08:13:31:308,11497,11497,0,0,500255,0,2000 142,3,2024-09-07 08:13:31:746,1,11,53,1,53,100,11,0 143,0,2024-09-07 08:13:31:422,3679,0.5,3748,0.6,7644,0.5,10135,1.50 143,1,2024-09-07 08:13:30:562,19062,19062,0,0,9304647321,96632152,18889,173,0,370,391492,0 143,2,2024-09-07 08:13:30:771,14940,14940,0,0,805973,0,2572 143,3,2024-09-07 08:13:31:161,1,11,3,1,219,262,11,0 144,0,2024-09-07 08:13:31:557,2374,10.0,2828,10.0,4777,7.7,7612,3.50 144,1,2024-09-07 08:13:30:575,19166,19166,0,0,9080822758,96462605,18848,277,41,383,391557,0 144,2,2024-09-07 08:13:31:760,14704,14704,0,0,597176,0,1140 144,3,2024-09-07 08:13:31:740,1,11,15,3,99,252,11,0 145,0,2024-09-07 08:13:31:391,2989,0.5,3059,0.9,6278,0.4,8194,2.25 145,1,2024-09-07 08:13:30:581,18947,18947,0,0,9085149499,96929288,18731,216,0,384,391603,0 145,2,2024-09-07 08:13:31:431,13248,13248,0,0,1771748,0,3903 145,3,2024-09-07 08:13:30:905,1,11,1,1,151,451,11,0 146,0,2024-09-07 08:13:31:603,2468,0.3,2453,0.6,4885,0.2,6680,1.75 146,1,2024-09-07 08:13:31:587,18876,18876,0,0,9462014652,104576464,17641,988,247,371,391512,0 146,2,2024-09-07 08:13:31:699,13850,13850,0,0,1026264,0,2149 146,3,2024-09-07 08:13:31:276,1,11,12,0,54,190,11,0 147,0,2024-09-07 08:13:31:715,3447,5.4,3338,3.5,6787,6.4,9630,4.00 147,1,2024-09-07 08:13:31:379,19288,19288,0,0,9097165784,94116946,19269,17,2,370,391791,0 147,2,2024-09-07 08:13:31:030,12699,12699,0,0,506778,0,2526 147,3,2024-09-07 08:13:30:920,1,11,102,2,141,390,11,0 0,0,2024-09-07 08:13:41:737,1788,0.2,1769,0.4,3650,0.1,4583,1.50 0,1,2024-09-07 08:13:40:820,20780,20780,0,0,10343786911,110801852,20268,407,105,373,391658,0 0,2,2024-09-07 08:13:41:073,15922,15922,0,0,597035,0,951 0,3,2024-09-07 08:13:40:974,1,12,14,3,19,139,12,0 1,0,2024-09-07 08:13:41:825,2794,0.3,2772,0.5,5665,0.2,7440,1.50 1,1,2024-09-07 08:13:40:572,20758,20758,0,0,9786741674,103616767,20385,339,34,372,391577,0 1,2,2024-09-07 08:13:40:653,11298,11298,0,0,469202,0,1321 1,3,2024-09-07 08:13:41:309,1,12,167,1,167,297,12,0 2,0,2024-09-07 08:13:41:576,5177,0.7,5296,1.1,10166,1.0,14024,1.75 2,1,2024-09-07 08:13:40:859,20795,20795,0,0,9736194080,102210749,20701,94,0,383,391486,0 2,2,2024-09-07 08:13:41:274,16485,16485,0,0,1216915,0,3304 2,3,2024-09-07 08:13:40:700,1,12,1,1,87,198,12,0 3,0,2024-09-07 08:13:41:752,1659,0.2,1740,0.3,3428,0.1,4365,1.50 3,1,2024-09-07 08:13:41:623,20919,20919,0,0,10141214028,106339789,20746,173,0,383,391494,0 3,2,2024-09-07 08:13:41:151,17015,17015,0,0,933460,0,2192 3,3,2024-09-07 08:13:41:755,1,12,15,1,23,68,12,0 4,0,2024-09-07 08:13:41:790,1380,0.3,1391,0.4,2821,0.2,3427,1.50 4,1,2024-09-07 08:13:40:610,20624,20624,0,0,9445441686,103621223,19629,652,343,374,391680,0 4,2,2024-09-07 08:13:41:447,14856,14856,0,0,1647805,0,4528 4,3,2024-09-07 08:13:41:041,1,12,47,8,47,208,12,0 5,0,2024-09-07 08:13:41:433,1421,0.2,1402,0.4,2809,0.2,3454,1.75 5,1,2024-09-07 08:13:40:757,20686,20686,0,0,10269116163,109793621,20166,429,91,371,392005,0 5,2,2024-09-07 08:13:41:834,11364,11364,0,0,689491,0,1912 5,3,2024-09-07 08:13:41:735,1,12,1,1,47,91,12,0 6,0,2024-09-07 08:13:40:929,4979,0.7,4973,1.1,10027,0.8,13651,2.00 6,1,2024-09-07 08:13:40:746,20875,20875,0,0,10286481322,108047194,20640,231,4,382,391603,0 6,2,2024-09-07 08:13:41:117,17237,17237,0,0,1170073,0,1686 6,3,2024-09-07 08:13:41:274,1,12,1,1,277,507,12,0 7,0,2024-09-07 08:13:41:557,1339,0.2,1328,0.3,2699,0.1,3317,1.50 7,1,2024-09-07 08:13:40:857,20760,20760,0,0,10078183126,107839241,20231,465,64,385,391558,0 7,2,2024-09-07 08:13:40:772,16472,16472,0,0,1226010,0,2981 7,3,2024-09-07 08:13:40:861,1,12,21,1,46,150,12,0 8,0,2024-09-07 08:13:41:342,2607,0.3,2550,0.5,5035,0.2,6434,1.75 8,1,2024-09-07 08:13:41:047,19921,19921,0,0,10051615489,117749273,17460,1304,1157,370,391724,0 8,2,2024-09-07 08:13:40:798,14109,14109,0,0,1359468,0,2986 8,3,2024-09-07 08:13:40:591,1,12,38,8,38,172,12,0 9,0,2024-09-07 08:13:41:175,3048,0.4,2996,0.7,6148,0.3,7858,1.75 9,1,2024-09-07 08:13:40:553,20570,20570,0,0,10158024260,113211018,19183,911,476,373,391496,0 9,2,2024-09-07 08:13:41:127,13282,13282,0,0,852537,0,1631 9,3,2024-09-07 08:13:41:757,1,12,1,1,50,180,12,0 10,0,2024-09-07 08:13:41:622,4543,0.7,4533,0.8,9051,0.6,12323,2.00 10,1,2024-09-07 08:13:40:583,20490,20490,0,0,10170229513,115077794,18567,1559,364,384,391540,0 10,2,2024-09-07 08:13:40:766,15206,15206,0,0,1462736,0,2940 10,3,2024-09-07 08:13:40:885,1,12,5,0,118,142,12,0 11,0,2024-09-07 08:13:41:027,3349,7.1,3290,4.5,6869,8.2,9858,3.75 11,1,2024-09-07 08:13:40:574,20253,20253,0,0,10374834777,116155066,18642,950,661,384,391485,0 11,2,2024-09-07 08:13:41:125,15737,15737,0,0,1153705,0,2635 11,3,2024-09-07 08:13:41:303,1,12,5,1,62,197,12,0 12,0,2024-09-07 08:13:40:969,3826,0.3,3773,0.5,7621,0.2,10095,1.50 12,1,2024-09-07 08:13:40:946,20994,20994,0,0,9821530489,102237318,20967,25,2,372,391504,0 12,2,2024-09-07 08:13:41:542,15362,15362,0,0,917231,0,2254 12,3,2024-09-07 08:13:41:064,1,12,45,2,45,168,12,0 13,0,2024-09-07 08:13:41:401,2312,0.2,2287,0.4,4475,0.2,5938,1.75 13,1,2024-09-07 08:13:41:558,21201,21201,0,0,10554974948,110047559,21138,62,1,385,391516,0 13,2,2024-09-07 08:13:40:618,15740,15740,0,0,649620,0,2239 13,3,2024-09-07 08:13:41:769,1,12,11,1,153,241,12,0 14,0,2024-09-07 08:13:40:583,4080,0.5,4171,0.7,7925,0.5,11130,1.75 14,1,2024-09-07 08:13:41:576,21369,21369,0,0,10129861153,104631991,21307,62,0,365,391481,0 14,2,2024-09-07 08:13:40:765,12272,12272,0,0,531053,0,1934 14,3,2024-09-07 08:13:41:115,1,12,5,1,40,93,12,0 15,0,2024-09-07 08:13:41:568,4380,0.4,4360,0.8,8703,0.6,11585,2.00 15,1,2024-09-07 08:13:41:624,20940,20940,0,0,9940518200,103311801,20917,23,0,381,391481,0 15,2,2024-09-07 08:13:41:003,16661,16661,0,0,506491,0,993 15,3,2024-09-07 08:13:41:410,1,12,10,0,538,871,12,0 16,0,2024-09-07 08:13:40:985,2439,0.2,2453,0.4,4987,0.2,6784,1.50 16,1,2024-09-07 08:13:40:565,20975,20975,0,0,9946163977,105390807,20563,409,3,373,391543,0 16,2,2024-09-07 08:13:41:445,16433,16433,0,0,1399528,0,4719 16,3,2024-09-07 08:13:41:155,1,12,12,9,231,439,12,0 17,0,2024-09-07 08:13:41:838,1724,0.2,1743,0.3,3325,0.1,4289,1.50 17,1,2024-09-07 08:13:40:596,20838,20838,0,0,10246568484,109613472,20292,410,136,373,391671,0 17,2,2024-09-07 08:13:41:670,15633,15633,0,0,826841,0,1865 17,3,2024-09-07 08:13:40:577,1,12,26,1,26,116,12,0 18,0,2024-09-07 08:13:40:955,1817,0.3,1794,0.5,3641,0.1,4992,1.75 18,1,2024-09-07 08:13:41:647,20838,20838,0,0,9839452835,103187818,20734,102,2,370,391497,0 18,2,2024-09-07 08:13:41:758,10882,10882,0,0,715834,0,2688 18,3,2024-09-07 08:13:40:896,1,12,2,1,142,218,12,0 19,0,2024-09-07 08:13:41:568,5025,0.8,5057,0.9,9928,1.0,13516,1.75 19,1,2024-09-07 08:13:40:568,21057,21057,0,0,10172459769,106876179,20760,272,25,370,391512,0 19,2,2024-09-07 08:13:41:759,17160,17160,0,0,754078,0,1669 19,3,2024-09-07 08:13:41:141,1,12,3,1,29,47,12,0 20,0,2024-09-07 08:13:41:431,1392,0.2,1475,0.4,2830,0.2,3445,1.50 20,1,2024-09-07 08:13:40:580,20671,20671,0,0,10161638957,107901612,20302,368,1,373,391488,0 20,2,2024-09-07 08:13:40:960,16912,16912,0,0,1127615,0,1616 20,3,2024-09-07 08:13:40:589,1,12,40,1,99,278,12,0 21,0,2024-09-07 08:13:41:186,1930,0.2,1911,0.4,3742,0.2,4699,1.75 21,1,2024-09-07 08:13:41:541,20792,20792,0,0,9429176679,101631391,20215,552,25,372,391962,0 21,2,2024-09-07 08:13:41:080,14915,14915,0,0,1150606,0,3747 21,3,2024-09-07 08:13:41:408,1,12,1,1,30,143,12,0 22,0,2024-09-07 08:13:41:735,2295,0.3,2393,0.8,4668,0.3,5573,1.75 22,1,2024-09-07 08:13:41:026,20456,20456,0,0,9852751045,109569696,19179,970,307,382,391667,0 22,2,2024-09-07 08:13:40:759,12237,12237,0,0,680302,0,1503 22,3,2024-09-07 08:13:41:069,1,12,2,0,48,103,12,0 23,0,2024-09-07 08:13:41:388,4025,0.5,4134,0.7,8130,0.5,11193,1.75 23,1,2024-09-07 08:13:41:006,21196,21196,0,0,10565996920,110207357,21057,139,0,369,391480,0 23,2,2024-09-07 08:13:41:095,16979,16979,0,0,937025,0,2078 23,3,2024-09-07 08:13:41:760,1,12,141,0,141,185,12,0 24,0,2024-09-07 08:13:40:874,3153,1.6,3161,1.9,6364,2.9,8062,2.00 24,1,2024-09-07 08:13:40:591,20925,20925,0,0,9751956153,104365648,20524,398,3,373,391540,0 24,2,2024-09-07 08:13:41:072,15962,15962,0,0,1588207,0,2912 24,3,2024-09-07 08:13:41:686,1,12,8,2,22,127,12,0 25,0,2024-09-07 08:13:41:398,3394,0.3,3349,0.5,6451,0.2,8709,1.75 25,1,2024-09-07 08:13:40:562,20786,20786,0,0,9971559732,107500295,20331,454,1,373,391484,0 25,2,2024-09-07 08:13:41:628,14789,14789,0,0,1110712,0,1436 25,3,2024-09-07 08:13:41:006,1,12,22,2,50,213,12,0 26,0,2024-09-07 08:13:41:734,2754,0.2,2689,0.5,5592,0.2,7522,1.75 26,1,2024-09-07 08:13:41:550,20500,20500,0,0,9749624027,110053417,18999,954,547,383,391480,0 26,2,2024-09-07 08:13:40:863,14686,14686,0,0,1455438,0,2727 26,3,2024-09-07 08:13:41:712,1,12,3,1,796,857,12,0 27,0,2024-09-07 08:13:41:730,3797,0.7,3805,0.8,7757,0.7,10366,1.75 27,1,2024-09-07 08:13:41:678,21227,21227,0,0,10857354116,113574273,21131,95,1,382,391526,0 27,2,2024-09-07 08:13:40:869,13463,13463,0,0,1881664,0,2975 27,3,2024-09-07 08:13:41:018,1,12,1,1,86,137,12,0 28,0,2024-09-07 08:13:41:441,4500,1.6,4530,1.5,9033,1.7,12862,2.25 28,1,2024-09-07 08:13:40:798,21353,21353,0,0,10673568254,110767816,21301,52,0,383,391488,0 28,2,2024-09-07 08:13:41:785,15931,15931,0,0,765825,0,2177 28,3,2024-09-07 08:13:41:781,1,12,19,8,20,141,12,0 29,0,2024-09-07 08:13:41:371,3353,0.3,3356,0.6,6432,0.3,8895,2.00 29,1,2024-09-07 08:13:41:562,21086,21086,0,0,10102240884,105595990,20681,233,172,371,391511,0 29,2,2024-09-07 08:13:40:883,16245,16245,0,0,519377,0,1451 29,3,2024-09-07 08:13:40:964,1,12,1,1,57,116,12,0 30,0,2024-09-07 08:13:41:486,1843,0.2,1714,0.4,3540,0.1,4576,1.75 30,1,2024-09-07 08:13:40:574,20811,20811,0,0,10567624404,109797189,20768,42,1,382,391524,0 30,2,2024-09-07 08:13:41:287,15863,15863,0,0,544892,0,924 30,3,2024-09-07 08:13:40:585,1,12,4,0,110,142,12,0 31,0,2024-09-07 08:13:41:782,2814,0.3,2865,0.5,5682,0.2,7535,1.75 31,1,2024-09-07 08:13:40:565,21049,21049,0,0,10199216075,104350726,21049,0,0,356,391478,0 31,2,2024-09-07 08:13:41:296,11597,11597,0,0,636971,0,1593 31,3,2024-09-07 08:13:41:717,1,12,1,0,8,33,12,0 32,0,2024-09-07 08:13:41:427,5159,0.5,5248,0.7,10471,0.3,13938,1.50 32,1,2024-09-07 08:13:40:809,21001,21001,0,0,9985520518,103926812,20948,51,2,383,391488,0 32,2,2024-09-07 08:13:40:947,16744,16744,0,0,735698,0,1970 32,3,2024-09-07 08:13:41:016,1,12,10,1,37,143,12,0 33,0,2024-09-07 08:13:41:542,1713,0.1,1718,0.2,3295,0.1,4332,1.25 33,1,2024-09-07 08:13:40:579,20918,20918,0,0,10582888518,110100301,20697,210,11,369,391482,0 33,2,2024-09-07 08:13:40:764,16867,16867,0,0,1324262,0,3364 33,3,2024-09-07 08:13:40:895,1,12,3,1,27,79,12,0 34,0,2024-09-07 08:13:40:957,1376,0.1,1441,0.2,2810,0.1,3341,1.25 34,1,2024-09-07 08:13:41:049,21044,21044,0,0,10264409008,106920770,20917,127,0,369,391481,0 34,2,2024-09-07 08:13:40:767,15076,15076,0,0,772565,0,2070 34,3,2024-09-07 08:13:41:688,1,12,46,0,46,71,12,0 35,0,2024-09-07 08:13:40:875,1399,0.1,1437,0.3,2754,0.1,3426,1.25 35,1,2024-09-07 08:13:41:067,20805,20805,0,0,10219216419,107537764,20308,396,101,385,391481,0 35,2,2024-09-07 08:13:41:584,11445,11445,0,0,653525,0,2276 35,3,2024-09-07 08:13:40:922,1,12,70,1,219,308,12,0 36,0,2024-09-07 08:13:41:531,4901,1.6,4960,1.6,9974,1.5,13538,3.25 36,1,2024-09-07 08:13:40:598,20456,20456,0,0,9637889691,108992796,18518,1182,756,370,391535,0 36,2,2024-09-07 08:13:41:765,17181,17181,0,0,970957,0,1272 36,3,2024-09-07 08:13:40:870,1,12,32,1,54,190,12,0 37,0,2024-09-07 08:13:41:386,1336,0.2,1394,0.5,2673,0.1,3324,1.75 37,1,2024-09-07 08:13:40:572,19876,19869,0,7,9852851630,116369719,17745,617,1507,368,391507,0 37,2,2024-09-07 08:13:41:144,16808,16808,0,0,919112,0,1448 37,3,2024-09-07 08:13:41:779,1,12,1,0,31,76,12,0 38,0,2024-09-07 08:13:41:449,2553,0.6,2492,0.7,5153,0.4,6456,2.50 38,1,2024-09-07 08:13:41:625,21229,21229,0,0,10432327113,109964413,20982,246,1,372,391512,0 38,2,2024-09-07 08:13:40:769,14450,14450,0,0,1084095,0,3245 38,3,2024-09-07 08:13:41:003,1,12,5,1,36,92,12,0 39,0,2024-09-07 08:13:41:769,3117,0.3,3048,0.6,6024,0.2,7863,1.75 39,1,2024-09-07 08:13:40:720,20664,20664,0,0,9919312043,107590562,19642,604,418,366,391480,0 39,2,2024-09-07 08:13:41:418,13522,13522,0,0,779429,0,1786 39,3,2024-09-07 08:13:40:717,1,12,3,1,189,260,12,0 40,0,2024-09-07 08:13:41:523,4191,2.3,4372,4.9,8646,5.4,12102,4.00 40,1,2024-09-07 08:13:40:587,20602,20602,0,0,9589999457,106360239,19378,809,415,371,391484,0 40,2,2024-09-07 08:13:41:312,15524,15523,1,0,1611103,0,5137 40,3,2024-09-07 08:13:41:142,1,12,14,2,21,126,12,0 41,0,2024-09-07 08:13:41:048,2992,9.9,3413,10.0,5785,10.0,8606,8.25 41,1,2024-09-07 08:13:40:774,20687,20687,0,0,9967742445,109193582,19561,934,192,371,391483,0 41,2,2024-09-07 08:13:40:763,15548,15548,0,0,1515681,0,3325 41,3,2024-09-07 08:13:41:677,1,12,7,1,7,28,12,0 42,0,2024-09-07 08:13:41:481,3752,0.7,3729,1.1,7577,0.6,10096,3.00 42,1,2024-09-07 08:13:41:440,20259,20259,0,0,10276070610,115675257,18697,883,679,381,391489,0 42,2,2024-09-07 08:13:41:138,14881,14881,0,0,1207996,0,1746 42,3,2024-09-07 08:13:41:008,1,12,5,1,54,83,12,0 43,0,2024-09-07 08:13:40:946,2223,0.3,2215,0.6,4565,0.2,5859,1.75 43,1,2024-09-07 08:13:40:579,20333,20333,0,0,10206493708,112272261,19050,693,590,368,391479,0 43,2,2024-09-07 08:13:41:740,15563,15563,0,0,1468725,0,3812 43,3,2024-09-07 08:13:41:749,1,12,7,1,292,679,12,0 44,0,2024-09-07 08:13:40:899,4027,0.4,3956,0.8,8038,0.4,10966,1.75 44,1,2024-09-07 08:13:40:572,20957,20957,0,0,9680318585,100062999,20839,116,2,358,391490,0 44,2,2024-09-07 08:13:41:267,12227,12227,0,0,421579,0,1004 44,3,2024-09-07 08:13:41:112,1,12,18,1,28,146,12,0 45,0,2024-09-07 08:13:41:774,4333,0.3,4209,0.6,8794,0.2,11509,1.75 45,1,2024-09-07 08:13:41:005,20997,20997,0,0,10600481652,110209521,20901,96,0,382,391510,0 45,2,2024-09-07 08:13:41:268,16752,16752,0,0,621487,0,1251 45,3,2024-09-07 08:13:40:934,1,12,1,1,226,290,12,0 46,0,2024-09-07 08:13:40:964,2488,0.2,2469,0.4,5013,0.1,6784,1.75 46,1,2024-09-07 08:13:40:580,21109,21109,0,0,10308328362,105828281,21105,3,1,370,391487,0 46,2,2024-09-07 08:13:40:593,16732,16732,0,0,918587,0,2835 46,3,2024-09-07 08:13:41:136,1,12,1,1,200,403,12,0 47,0,2024-09-07 08:13:41:132,1625,0.2,1711,0.3,3308,0.1,4250,1.50 47,1,2024-09-07 08:13:40:572,21317,21317,0,0,9774749557,100890323,21286,31,0,368,391481,0 47,2,2024-09-07 08:13:40:925,15802,15802,0,0,743822,0,2194 47,3,2024-09-07 08:13:41:124,1,12,3,1,25,68,12,0 48,0,2024-09-07 08:13:41:533,1842,0.1,1836,0.3,3678,0.1,5055,1.50 48,1,2024-09-07 08:13:41:026,20752,20752,0,0,10103446356,106370757,20465,287,0,386,391488,0 48,2,2024-09-07 08:13:40:702,11281,11281,0,0,416702,0,1521 48,3,2024-09-07 08:13:40:753,1,12,8,1,21,111,12,0 49,0,2024-09-07 08:13:41:746,5115,0.4,5127,0.6,9754,0.4,13711,1.75 49,1,2024-09-07 08:13:41:025,21241,21241,0,0,10105801658,105481066,21122,119,0,382,391583,0 49,2,2024-09-07 08:13:41:808,17201,17201,0,0,942937,0,2196 49,3,2024-09-07 08:13:41:421,1,12,5,1,274,394,12,0 50,0,2024-09-07 08:13:41:543,1374,0.1,1417,0.2,2776,0.1,3448,1.25 50,1,2024-09-07 08:13:41:029,21054,21054,0,0,10321408747,108619211,20393,480,181,370,391530,0 50,2,2024-09-07 08:13:41:068,17277,17277,0,0,601022,0,2034 50,3,2024-09-07 08:13:41:306,1,12,2,1,335,366,12,0 51,0,2024-09-07 08:13:41:698,1909,0.1,1863,0.2,3674,0.1,4692,1.25 51,1,2024-09-07 08:13:41:685,21079,21079,0,0,11008491402,114061926,20870,176,33,369,391504,0 51,2,2024-09-07 08:13:41:322,14572,14572,0,0,507227,0,1936 51,3,2024-09-07 08:13:41:027,1,12,3,0,162,213,12,0 52,0,2024-09-07 08:13:41:430,2276,1.0,2270,1.5,4657,1.3,5356,3.00 52,1,2024-09-07 08:13:40:587,20551,20551,0,0,9942166265,108986437,19557,800,194,371,391498,0 52,2,2024-09-07 08:13:41:763,12135,12135,0,0,1242674,0,4779 52,3,2024-09-07 08:13:40:687,1,12,17,6,21,143,12,0 53,0,2024-09-07 08:13:41:746,4049,2.9,3917,2.1,8106,3.3,10906,3.75 53,1,2024-09-07 08:13:40:776,20632,20632,0,0,10492608825,114649536,19494,714,424,373,391483,0 53,2,2024-09-07 08:13:41:307,16649,16649,0,0,925793,0,1520 53,3,2024-09-07 08:13:40:704,1,12,1,1,28,48,12,0 54,0,2024-09-07 08:13:41:625,2448,10.0,2126,10.0,4378,7.4,6939,6.75 54,1,2024-09-07 08:13:40:580,20894,20894,0,0,10317655447,110047508,20166,694,34,370,391520,0 54,2,2024-09-07 08:13:40:869,16014,16008,6,0,1715460,0,5382 54,3,2024-09-07 08:13:40:766,1,12,98,1,98,180,12,0 55,0,2024-09-07 08:13:41:784,3244,1.0,3380,1.2,6761,0.8,8645,2.75 55,1,2024-09-07 08:13:40:767,20576,20576,0,0,10007776732,109405994,19158,923,495,369,391660,0 55,2,2024-09-07 08:13:40:742,14542,14542,0,0,975267,0,2129 55,3,2024-09-07 08:13:40:683,1,12,1,0,24,65,12,0 56,0,2024-09-07 08:13:41:640,2757,2.4,2632,1.8,5368,2.9,7256,5.00 56,1,2024-09-07 08:13:40:575,20298,20298,0,0,10715351335,119564836,18781,989,528,386,391536,0 56,2,2024-09-07 08:13:41:315,14780,14780,0,0,1403034,0,3567 56,3,2024-09-07 08:13:41:064,1,12,9,2,20,123,12,0 57,0,2024-09-07 08:13:40:987,3493,6.1,3453,3.9,7022,7.4,9626,6.50 57,1,2024-09-07 08:13:40:989,21201,21201,0,0,10139131117,108002334,20930,270,1,371,391505,0 57,2,2024-09-07 08:13:41:320,14001,14001,0,0,1214466,0,2687 57,3,2024-09-07 08:13:41:741,1,12,10,1,359,434,12,0 58,0,2024-09-07 08:13:40:568,3679,2.9,3794,3.0,7115,2.7,10407,7.50 58,1,2024-09-07 08:13:40:579,20591,20591,0,0,10099604998,110755928,19397,1038,156,372,391482,0 58,2,2024-09-07 08:13:41:087,15913,15913,0,0,1130950,0,2464 58,3,2024-09-07 08:13:41:082,1,12,1,1,80,136,12,0 59,0,2024-09-07 08:13:41:776,3226,1.9,3294,1.7,6557,2.2,8602,4.00 59,1,2024-09-07 08:13:40:811,20965,20965,0,0,10174848128,108573256,20529,434,2,372,391515,0 59,2,2024-09-07 08:13:40:600,15877,15877,0,0,1079111,0,1708 59,3,2024-09-07 08:13:41:737,1,12,1,1,20,68,12,0 60,0,2024-09-07 08:13:41:738,1767,0.2,1794,0.3,3517,0.1,4560,1.50 60,1,2024-09-07 08:13:40:779,21358,21358,0,0,10664506182,109873060,21355,1,2,370,391672,0 60,2,2024-09-07 08:13:41:148,16064,16064,0,0,891270,0,2142 60,3,2024-09-07 08:13:41:267,1,12,19,2,23,151,12,0 61,0,2024-09-07 08:13:41:592,2854,0.3,2836,0.4,5562,0.2,7464,1.50 61,1,2024-09-07 08:13:40:780,20922,20922,0,0,10192399966,109549357,20115,471,336,383,391504,0 61,2,2024-09-07 08:13:41:140,11610,11610,0,0,446650,0,1601 61,3,2024-09-07 08:13:41:687,1,12,1,1,72,102,12,0 62,0,2024-09-07 08:13:41:718,5097,0.3,5284,0.6,10270,0.3,13874,1.75 62,1,2024-09-07 08:13:41:112,21085,21085,0,0,10526469729,109073745,20870,213,2,368,391586,0 62,2,2024-09-07 08:13:41:645,16632,16632,0,0,809407,0,2030 62,3,2024-09-07 08:13:41:146,1,12,4,1,28,63,12,0 63,0,2024-09-07 08:13:41:489,1720,0.1,1716,0.3,3300,0.1,4353,1.50 63,1,2024-09-07 08:13:40:809,21314,21313,0,1,10544681946,109545832,21170,142,1,382,391489,1 63,2,2024-09-07 08:13:40:766,17023,17023,0,0,904333,0,2079 63,3,2024-09-07 08:13:41:738,1,12,1,1,216,461,12,0 64,0,2024-09-07 08:13:41:542,1383,0.1,1360,0.3,2786,0.1,3380,1.25 64,1,2024-09-07 08:13:40:750,21095,21095,0,0,10647146417,112469914,20423,618,54,371,391604,0 64,2,2024-09-07 08:13:41:146,15306,15306,0,0,740300,0,2510 64,3,2024-09-07 08:13:41:145,1,12,11,1,20,130,12,0 65,0,2024-09-07 08:13:41:707,1396,0.2,1381,0.3,2756,0.1,3407,1.50 65,1,2024-09-07 08:13:40:870,20846,20846,0,0,9684347364,102711787,20402,437,7,382,391485,0 65,2,2024-09-07 08:13:41:696,11303,11303,0,0,517602,0,2374 65,3,2024-09-07 08:13:41:690,1,12,1,1,122,281,12,0 66,0,2024-09-07 08:13:41:783,4863,0.3,4923,0.5,9939,0.2,13639,1.50 66,1,2024-09-07 08:13:41:295,21373,21373,0,0,10672901008,111234590,21073,284,16,382,391537,0 66,2,2024-09-07 08:13:41:148,17395,17395,0,0,873398,0,2934 66,3,2024-09-07 08:13:41:088,1,12,19,1,45,85,12,0 67,0,2024-09-07 08:13:41:445,1367,0.1,1353,0.2,2701,0.1,3331,1.25 67,1,2024-09-07 08:13:40:772,21075,21074,0,1,10203500800,106432977,20995,79,0,383,391567,1 67,2,2024-09-07 08:13:40:597,16527,16527,0,0,934425,0,2104 67,3,2024-09-07 08:13:41:750,1,12,1,1,43,71,12,0 68,0,2024-09-07 08:13:40:595,2546,0.4,2548,0.8,5168,0.3,6489,2.00 68,1,2024-09-07 08:13:40:579,20392,20392,0,0,9660218228,106454298,19512,434,446,385,391489,0 68,2,2024-09-07 08:13:41:064,14454,14454,0,0,1335533,0,4883 68,3,2024-09-07 08:13:40:741,1,12,8,1,21,128,12,0 69,0,2024-09-07 08:13:41:785,3090,0.8,3002,1.1,5971,1.0,7908,2.25 69,1,2024-09-07 08:13:41:025,20087,20087,0,0,9656908596,110319057,18765,460,862,387,391483,0 69,2,2024-09-07 08:13:41:759,13497,13497,0,0,779315,0,2462 69,3,2024-09-07 08:13:40:770,1,12,1,0,20,70,12,0 70,0,2024-09-07 08:13:41:570,4369,5.7,4287,6.2,8754,2.2,12258,4.50 70,1,2024-09-07 08:13:40:814,20916,20916,0,0,10543371316,110882516,20676,223,17,370,391527,0 70,2,2024-09-07 08:13:41:338,15639,15639,0,0,950652,0,1937 70,3,2024-09-07 08:13:40:746,1,12,2,1,69,99,12,0 71,0,2024-09-07 08:13:41:377,3099,10.0,3127,10.0,5987,10.0,9011,7.50 71,1,2024-09-07 08:13:41:597,20820,20820,0,0,10471484158,112388110,20107,588,125,370,391584,0 71,2,2024-09-07 08:13:41:067,15979,15979,0,0,1193510,0,2146 71,3,2024-09-07 08:13:41:764,1,12,1,1,93,170,12,0 72,0,2024-09-07 08:13:41:225,3901,0.6,3773,0.8,7534,0.4,10126,3.25 72,1,2024-09-07 08:13:41:041,20839,20839,0,0,9873031606,105979274,20289,549,1,372,391514,0 72,2,2024-09-07 08:13:41:784,14831,14831,0,0,1492945,0,2043 72,3,2024-09-07 08:13:41:759,1,12,20,1,20,126,12,0 73,0,2024-09-07 08:13:41:180,2266,0.4,2265,0.6,4551,0.2,5882,2.25 73,1,2024-09-07 08:13:40:772,20946,20946,0,0,10310003402,108658754,20871,75,0,370,391485,0 73,2,2024-09-07 08:13:41:743,15552,15552,0,0,1523778,0,3482 73,3,2024-09-07 08:13:40:971,1,12,9,0,137,302,12,0 74,0,2024-09-07 08:13:41:337,4055,1.6,4084,1.4,7855,2.0,11103,3.25 74,1,2024-09-07 08:13:40:636,20915,20915,0,0,9643861695,102642265,20630,283,2,384,391494,0 74,2,2024-09-07 08:13:41:008,12161,12161,0,0,1015442,0,2736 74,3,2024-09-07 08:13:41:448,1,12,3,0,192,667,12,0 75,0,2024-09-07 08:13:41:853,4366,1.0,4429,1.1,8718,1.1,11570,3.25 75,1,2024-09-07 08:13:41:592,20683,20683,0,0,9996928257,107676390,20186,446,51,383,391508,0 75,2,2024-09-07 08:13:41:356,15925,15925,0,0,1945417,0,4766 75,3,2024-09-07 08:13:41:068,1,12,1,1,38,65,12,0 76,0,2024-09-07 08:13:40:621,2483,0.2,2530,0.4,4924,0.2,6763,1.75 76,1,2024-09-07 08:13:40:815,20961,20961,0,0,9850200549,102793597,20948,13,0,384,391530,0 76,2,2024-09-07 08:13:41:068,17063,17063,0,0,593353,0,1006 76,3,2024-09-07 08:13:41:146,1,12,9,1,140,283,12,0 77,0,2024-09-07 08:13:41:813,1703,0.2,1687,0.3,3257,0.1,4284,1.50 77,1,2024-09-07 08:13:40:838,20775,20775,0,0,10010533536,104637571,20667,107,1,383,391512,0 77,2,2024-09-07 08:13:41:306,15611,15611,0,0,638131,0,1637 77,3,2024-09-07 08:13:41:114,1,12,2,0,29,44,12,0 78,0,2024-09-07 08:13:41:736,1819,0.3,1837,0.4,3694,0.2,5015,2.00 78,1,2024-09-07 08:13:40:614,21168,21168,0,0,9962746728,103428200,21101,67,0,370,391486,0 78,2,2024-09-07 08:13:41:415,11571,11571,0,0,342965,0,1428 78,3,2024-09-07 08:13:41:140,1,12,94,1,112,298,12,0 79,0,2024-09-07 08:13:41:371,4960,0.4,5052,0.6,10153,0.4,13591,1.75 79,1,2024-09-07 08:13:40:572,21118,21118,0,0,10411591566,108369179,20986,132,0,372,391484,0 79,2,2024-09-07 08:13:41:068,17009,17009,0,0,574809,0,1314 79,3,2024-09-07 08:13:40:749,1,12,1,1,20,54,12,0 80,0,2024-09-07 08:13:41:133,1351,0.2,1416,0.3,2785,0.1,3457,1.50 80,1,2024-09-07 08:13:41:632,20869,20869,0,0,10105668489,105892565,20472,396,1,374,391673,0 80,2,2024-09-07 08:13:41:094,16949,16949,0,0,779484,0,1380 80,3,2024-09-07 08:13:40:581,1,12,66,1,72,296,12,0 81,0,2024-09-07 08:13:41:552,1891,0.2,1912,0.3,3692,0.1,4708,1.50 81,1,2024-09-07 08:13:41:675,20892,20892,0,0,9781236614,103002116,20572,313,7,383,391488,0 81,2,2024-09-07 08:13:41:129,14424,14424,0,0,859812,0,2811 81,3,2024-09-07 08:13:41:118,1,12,4,1,39,86,12,0 82,0,2024-09-07 08:13:41:573,2261,0.2,2205,0.4,4535,0.2,5558,1.50 82,1,2024-09-07 08:13:40:591,20832,20831,0,1,9905164295,103513091,20631,194,6,385,391529,1 82,2,2024-09-07 08:13:41:696,12314,12314,0,0,512297,0,1286 82,3,2024-09-07 08:13:41:767,1,12,1,1,65,154,12,0 83,0,2024-09-07 08:13:41:534,4122,0.3,4083,0.5,8191,0.3,11116,1.50 83,1,2024-09-07 08:13:40:562,20731,20731,0,0,9971512996,106479869,20075,577,79,384,391553,0 83,2,2024-09-07 08:13:40:765,16602,16602,0,0,855087,0,2512 83,3,2024-09-07 08:13:40:748,1,12,26,1,26,60,12,0 84,0,2024-09-07 08:13:41:784,2512,10.0,2491,10.0,4977,6.7,7551,6.25 84,1,2024-09-07 08:13:41:044,20870,20870,0,0,9944169051,108573833,19881,750,239,370,391538,0 84,2,2024-09-07 08:13:40:641,15757,15757,0,0,1586343,0,3801 84,3,2024-09-07 08:13:41:142,1,12,8,2,13,110,12,0 85,0,2024-09-07 08:13:41:017,3261,0.5,3246,0.7,6797,0.4,8757,2.25 85,1,2024-09-07 08:13:40:567,20441,20441,0,0,10108335902,111986751,19110,1041,290,384,391505,0 85,2,2024-09-07 08:13:40:881,14074,14074,0,0,1210011,0,3656 85,3,2024-09-07 08:13:40:690,1,12,2,1,88,126,12,0 86,0,2024-09-07 08:13:40:946,2676,0.3,2793,0.5,5423,0.2,7498,1.75 86,1,2024-09-07 08:13:40:833,20666,20666,0,0,10076662296,108592726,19914,724,28,369,391506,0 86,2,2024-09-07 08:13:40:878,14621,14620,1,0,1407898,0,5004 86,3,2024-09-07 08:13:40:613,1,12,2,1,24,98,12,0 87,0,2024-09-07 08:13:41:331,3735,4.6,3713,2.9,7548,4.9,10284,2.25 87,1,2024-09-07 08:13:40:562,20751,20751,0,0,9686383860,104205988,20148,555,48,369,391551,0 87,2,2024-09-07 08:13:41:101,13669,13669,0,0,718481,0,2148 87,3,2024-09-07 08:13:41:801,1,12,1,1,202,277,12,0 88,0,2024-09-07 08:13:41:460,4425,1.9,4550,1.6,9038,2.9,12741,2.75 88,1,2024-09-07 08:13:40:574,20592,20592,0,0,10027152559,109586615,19741,759,92,370,391747,0 88,2,2024-09-07 08:13:40:693,15886,15886,0,0,1436642,0,2675 88,3,2024-09-07 08:13:41:272,1,12,10,2,13,108,12,0 89,0,2024-09-07 08:13:41:776,3376,0.6,3327,0.9,6508,0.8,8974,2.00 89,1,2024-09-07 08:13:40:612,20242,20242,0,0,10344890972,114663586,19198,537,507,384,391549,0 89,2,2024-09-07 08:13:41:141,16031,16031,0,0,1303357,0,2564 89,3,2024-09-07 08:13:41:802,1,12,3,3,116,261,12,0 90,0,2024-09-07 08:13:41:651,1762,0.3,1763,0.5,3685,0.2,4573,1.75 90,1,2024-09-07 08:13:40:593,20623,20623,0,0,10269102642,111843136,19761,822,40,383,391522,0 90,2,2024-09-07 08:13:41:411,15489,15489,0,0,1326064,0,2635 90,3,2024-09-07 08:13:40:932,1,12,3,1,10,53,12,0 91,0,2024-09-07 08:13:41:038,2795,0.7,2792,0.8,5738,0.7,7367,2.00 91,1,2024-09-07 08:13:40:561,20700,20700,0,0,10217380714,110973346,19872,707,121,385,391914,0 91,2,2024-09-07 08:13:41:336,11337,11337,0,0,724547,0,1997 91,3,2024-09-07 08:13:40:611,1,12,1,1,84,165,12,0 92,0,2024-09-07 08:13:41:504,5181,0.3,5289,0.5,10315,0.4,14014,1.50 92,1,2024-09-07 08:13:40:626,21099,21099,0,0,10167987173,106509198,20801,252,46,383,391569,0 92,2,2024-09-07 08:13:41:359,17160,17160,0,0,979279,0,2279 92,3,2024-09-07 08:13:41:025,1,12,4,2,22,146,12,0 93,0,2024-09-07 08:13:41:024,1655,0.1,1654,0.2,3303,0.1,4260,1.25 93,1,2024-09-07 08:13:40:834,21196,21196,0,0,10249840585,106293163,21148,45,3,368,391689,0 93,2,2024-09-07 08:13:40:953,17438,17438,0,0,659104,0,1122 93,3,2024-09-07 08:13:41:414,1,12,32,1,143,238,12,0 94,0,2024-09-07 08:13:41:707,1414,0.1,1391,0.2,2795,0.1,3417,1.25 94,1,2024-09-07 08:13:40:568,20919,20919,0,0,10746776907,112435107,20756,162,1,383,391554,0 94,2,2024-09-07 08:13:40:780,15010,15010,0,0,751376,0,1446 94,3,2024-09-07 08:13:41:696,1,12,1,1,231,451,12,0 95,0,2024-09-07 08:13:41:382,1404,0.2,1362,0.3,2801,0.1,3394,1.25 95,1,2024-09-07 08:13:40:873,20864,20864,0,0,9845596108,102016594,20860,2,2,369,391590,0 95,2,2024-09-07 08:13:41:034,11288,11288,0,0,354582,0,943 95,3,2024-09-07 08:13:41:708,1,12,5,1,45,95,12,0 96,0,2024-09-07 08:13:41:101,5046,0.4,4999,0.5,9946,0.4,13752,1.75 96,1,2024-09-07 08:13:41:591,21236,21236,0,0,10285963540,108312009,21072,163,1,385,391551,0 96,2,2024-09-07 08:13:41:297,17413,17413,0,0,700401,0,1251 96,3,2024-09-07 08:13:41:142,1,12,7,7,30,159,12,0 97,0,2024-09-07 08:13:41:393,1398,0.2,1323,0.3,2774,0.1,3319,1.50 97,1,2024-09-07 08:13:40:764,20993,20993,0,0,10818803833,112215882,20756,231,6,367,391530,0 97,2,2024-09-07 08:13:40:675,16517,16517,0,0,744034,0,1663 97,3,2024-09-07 08:13:40:579,1,12,2,1,77,139,12,0 98,0,2024-09-07 08:13:41:711,2585,0.2,2553,0.4,5065,0.2,6482,1.50 98,1,2024-09-07 08:13:40:576,20887,20887,0,0,10205262594,106492191,20819,67,1,384,391588,0 98,2,2024-09-07 08:13:40:773,14456,14456,0,0,741564,0,2410 98,3,2024-09-07 08:13:40:710,1,12,4,1,155,202,12,0 99,0,2024-09-07 08:13:41:487,2994,0.2,2985,0.4,6001,0.2,7883,1.50 99,1,2024-09-07 08:13:41:732,21494,21494,0,0,9599372999,99907358,21448,44,2,383,391519,0 99,2,2024-09-07 08:13:41:427,13496,13496,0,0,685274,0,1495 99,3,2024-09-07 08:13:40:581,1,12,3,1,26,112,12,0 100,0,2024-09-07 08:13:41:508,4230,2.9,4234,6.4,8542,6.2,12045,3.25 100,1,2024-09-07 08:13:40:551,20689,20689,0,0,9684623649,108911678,19253,1177,259,382,391505,0 100,2,2024-09-07 08:13:41:832,15351,15340,11,0,1494215,0,5417 100,3,2024-09-07 08:13:41:738,1,12,12,7,443,621,12,0 101,0,2024-09-07 08:13:41:717,3439,9.6,3187,7.3,6422,9.6,9880,4.75 101,1,2024-09-07 08:13:40:570,20539,20539,0,0,10306661672,113117194,19579,536,424,371,391531,0 101,2,2024-09-07 08:13:41:762,15944,15944,0,0,1682048,0,4644 101,3,2024-09-07 08:13:40:948,1,12,3,1,448,547,12,0 102,0,2024-09-07 08:13:41:086,3767,1.1,3835,1.0,7622,0.9,10089,2.25 102,1,2024-09-07 08:13:41:148,20841,20841,0,0,10051308740,107979990,20334,497,10,371,391621,0 102,2,2024-09-07 08:13:41:762,15214,15214,0,0,913816,0,1699 102,3,2024-09-07 08:13:41:622,1,12,53,0,410,564,12,0 103,0,2024-09-07 08:13:41:688,2278,0.3,2264,0.6,4369,0.2,5941,1.75 103,1,2024-09-07 08:13:41:643,20897,20897,0,0,9890025237,104690365,20593,257,47,381,391680,0 103,2,2024-09-07 08:13:40:585,15975,15975,0,0,968932,0,1484 103,3,2024-09-07 08:13:40:765,1,12,7,1,150,221,12,0 104,0,2024-09-07 08:13:41:062,4030,1.7,4043,1.4,7743,2.2,11078,2.25 104,1,2024-09-07 08:13:41:602,20713,20713,0,0,10255529445,113084298,19485,914,314,369,391948,0 104,2,2024-09-07 08:13:41:686,12191,12191,0,0,1267136,0,3941 104,3,2024-09-07 08:13:41:418,1,12,25,8,25,176,12,0 105,0,2024-09-07 08:13:41:100,4442,0.7,4270,1.4,8852,1.2,11582,2.00 105,1,2024-09-07 08:13:40:562,20385,20385,0,0,9748255634,109235102,18801,1022,562,369,391797,0 105,2,2024-09-07 08:13:41:327,16153,16153,0,0,1453094,0,3314 105,3,2024-09-07 08:13:41:306,1,12,3,1,169,313,12,0 106,0,2024-09-07 08:13:41:032,2467,0.4,2411,0.7,4903,0.3,6840,2.00 106,1,2024-09-07 08:13:41:760,20974,20974,0,0,9963648139,107135237,20436,534,4,373,391767,0 106,2,2024-09-07 08:13:40:832,16515,16515,0,0,1222973,0,1600 106,3,2024-09-07 08:13:40:678,1,12,4,1,171,201,12,0 107,0,2024-09-07 08:13:41:111,1657,0.3,1659,0.5,3254,0.2,4240,1.75 107,1,2024-09-07 08:13:40:609,20753,20753,0,0,10060667094,109459255,19961,666,126,382,391848,0 107,2,2024-09-07 08:13:41:314,15233,15232,1,0,1230995,0,5024 107,3,2024-09-07 08:13:41:757,1,12,66,1,75,254,12,0 108,0,2024-09-07 08:13:41:815,1841,0.2,1823,0.4,3632,0.2,5043,1.50 108,1,2024-09-07 08:13:41:305,21045,21045,0,0,10102885304,105664703,20725,245,75,372,391563,0 108,2,2024-09-07 08:13:41:764,11206,11206,0,0,470751,0,2051 108,3,2024-09-07 08:13:41:335,1,12,13,6,18,147,12,0 109,0,2024-09-07 08:13:41:770,5072,0.4,5140,0.6,9839,0.4,13720,1.75 109,1,2024-09-07 08:13:40:610,21047,21047,0,0,10634436337,110857466,21024,23,0,386,391492,0 109,2,2024-09-07 08:13:40:943,16506,16506,0,0,630786,0,1922 109,3,2024-09-07 08:13:41:153,1,12,21,1,120,356,12,0 110,0,2024-09-07 08:13:41:805,1369,0.1,1384,0.3,2797,0.1,3424,1.25 110,1,2024-09-07 08:13:41:646,21314,21314,0,0,9996777466,103532333,21220,94,0,371,391562,0 110,2,2024-09-07 08:13:41:320,17484,17484,0,0,832011,0,2234 110,3,2024-09-07 08:13:40:710,1,12,4,1,20,63,12,0 111,0,2024-09-07 08:13:41:436,1825,0.1,1839,0.2,3814,0.1,4689,1.25 111,1,2024-09-07 08:13:41:004,21054,21054,0,0,10967136969,112544587,21048,5,1,384,391597,0 111,2,2024-09-07 08:13:41:116,15113,15113,0,0,615794,0,1130 111,3,2024-09-07 08:13:40:914,1,12,41,1,89,306,12,0 112,0,2024-09-07 08:13:40:972,2253,0.9,2276,0.6,4638,0.2,5554,1.75 112,1,2024-09-07 08:13:40:836,21253,21253,0,0,10156698253,106337897,21189,64,0,382,391512,0 112,2,2024-09-07 08:13:41:140,12336,12336,0,0,519700,0,1331 112,3,2024-09-07 08:13:40:613,1,12,8,1,21,102,12,0 113,0,2024-09-07 08:13:40:898,3985,0.4,4034,0.6,8208,0.4,11156,1.75 113,1,2024-09-07 08:13:41:687,21049,21049,0,0,10418566285,108878985,20803,246,0,368,391661,0 113,2,2024-09-07 08:13:41:312,16679,16679,0,0,701785,0,1597 113,3,2024-09-07 08:13:40:686,1,12,1,1,130,256,12,0 114,0,2024-09-07 08:13:40:886,2907,7.8,2962,6.5,5651,4.7,8007,2.75 114,1,2024-09-07 08:13:40:730,21211,21211,0,0,10558628145,109979065,21014,197,0,383,391513,0 114,2,2024-09-07 08:13:40:890,16332,16332,0,0,642097,0,1841 114,3,2024-09-07 08:13:41:282,1,12,1,1,159,175,12,0 115,0,2024-09-07 08:13:40:567,3262,0.3,3381,0.5,6711,0.2,8801,1.50 115,1,2024-09-07 08:13:40:574,20873,20873,0,0,10440572445,110167147,20414,352,107,384,391495,0 115,2,2024-09-07 08:13:41:126,15077,15077,0,0,619473,0,1535 115,3,2024-09-07 08:13:41:006,1,12,1,1,40,86,12,0 116,0,2024-09-07 08:13:41:731,2725,0.3,2733,0.6,5523,0.2,7500,1.75 116,1,2024-09-07 08:13:40:830,20399,20399,0,0,9782880740,109861415,19257,306,836,384,391495,0 116,2,2024-09-07 08:13:41:772,15073,15073,0,0,933127,0,2154 116,3,2024-09-07 08:13:40:923,1,12,9,2,132,225,12,0 117,0,2024-09-07 08:13:40:993,3826,4.4,3743,2.9,7458,4.8,10241,2.75 117,1,2024-09-07 08:13:41:584,20725,20725,0,0,9742599510,106436246,19828,678,219,372,391523,0 117,2,2024-09-07 08:13:41:125,13843,13843,0,0,1138513,0,3700 117,3,2024-09-07 08:13:41:063,1,12,9,1,490,963,12,0 118,0,2024-09-07 08:13:41:780,4425,2.0,4520,1.5,9287,3.1,12732,3.75 118,1,2024-09-07 08:13:40:600,20927,20927,0,0,9711306959,103379432,20614,312,1,369,391503,0 118,2,2024-09-07 08:13:41:596,15989,15989,0,0,1049599,0,1435 118,3,2024-09-07 08:13:41:765,1,12,8,2,79,248,12,0 119,0,2024-09-07 08:13:41:399,3157,0.4,3215,0.7,6597,0.3,8851,2.00 119,1,2024-09-07 08:13:40:552,20545,20545,0,0,9992025828,108214372,19713,702,130,371,391576,0 119,2,2024-09-07 08:13:41:266,16245,16245,0,0,1100654,0,2222 119,3,2024-09-07 08:13:41:330,1,12,12,1,375,427,12,0 120,0,2024-09-07 08:13:41:566,1810,0.3,1797,0.5,3576,0.2,4587,1.75 120,1,2024-09-07 08:13:41:025,20844,20844,0,0,10158919306,109531457,20377,461,6,373,391546,0 120,2,2024-09-07 08:13:40:801,15478,15477,1,0,1978591,0,5281 120,3,2024-09-07 08:13:41:304,1,12,22,7,241,367,12,0 121,0,2024-09-07 08:13:41:696,2836,0.5,2840,0.8,5660,0.4,7477,1.75 121,1,2024-09-07 08:13:41:663,20497,20497,0,0,9993427107,109816686,19543,720,234,371,391633,0 121,2,2024-09-07 08:13:41:139,11514,11514,0,0,944468,0,2570 121,3,2024-09-07 08:13:40:727,1,12,32,1,71,153,12,0 122,0,2024-09-07 08:13:41:776,4906,4.8,4784,4.7,9980,5.3,13958,3.00 122,1,2024-09-07 08:13:40:890,20323,20323,0,0,10024884076,111849854,18900,1057,366,369,392130,0 122,2,2024-09-07 08:13:41:321,16475,16475,0,0,1593366,0,2574 122,3,2024-09-07 08:13:40:597,1,12,1,1,226,1030,12,0 123,0,2024-09-07 08:13:40:972,1687,0.3,1638,0.5,3389,0.2,4252,1.75 123,1,2024-09-07 08:13:40:560,20567,20567,0,0,10195360234,114465661,18774,1439,354,371,391495,0 123,2,2024-09-07 08:13:41:025,16977,16976,1,0,1919277,0,5215 123,3,2024-09-07 08:13:41:136,1,12,11,1,160,396,12,0 124,0,2024-09-07 08:13:41:099,1390,0.1,1428,0.2,2727,0.1,3375,1.25 124,1,2024-09-07 08:13:41:041,21054,21054,0,0,9810619967,101350808,21050,4,0,371,391483,0 124,2,2024-09-07 08:13:41:046,15111,15111,0,0,670497,0,2477 124,3,2024-09-07 08:13:40:758,1,12,42,2,60,274,12,0 125,0,2024-09-07 08:13:41:451,1355,0.2,1369,0.3,2878,0.1,3360,1.50 125,1,2024-09-07 08:13:40:866,21113,21113,0,0,10096980604,105293068,20993,114,6,385,391702,0 125,2,2024-09-07 08:13:41:116,11419,11419,0,0,414123,0,1125 125,3,2024-09-07 08:13:41:140,1,12,24,1,93,274,12,0 126,0,2024-09-07 08:13:41:426,4920,0.4,5101,0.7,9799,0.5,13624,1.75 126,1,2024-09-07 08:13:40:562,21147,21147,0,0,10151311188,106523105,20864,277,6,368,391719,0 126,2,2024-09-07 08:13:40:625,17390,17390,0,0,781447,0,1557 126,3,2024-09-07 08:13:40:927,1,12,45,1,45,137,12,0 127,0,2024-09-07 08:13:41:615,1359,0.1,1365,0.2,2770,0.1,3307,1.25 127,1,2024-09-07 08:13:40:576,20905,20905,0,0,9442567311,97431726,20895,9,1,366,391488,0 127,2,2024-09-07 08:13:40:647,16612,16612,0,0,758064,0,1744 127,3,2024-09-07 08:13:41:268,1,12,99,0,99,165,12,0 128,0,2024-09-07 08:13:41:566,2512,0.3,2534,0.6,5073,0.2,6453,1.75 128,1,2024-09-07 08:13:41:615,20858,20858,0,0,10284939089,107413269,20686,171,1,370,391487,0 128,2,2024-09-07 08:13:41:395,14228,14228,0,0,836466,0,1712 128,3,2024-09-07 08:13:40:799,1,12,12,5,20,137,12,0 129,0,2024-09-07 08:13:41:080,2955,0.4,3059,0.6,6046,0.3,7873,2.00 129,1,2024-09-07 08:13:40:583,21010,21010,0,0,10250389898,107832665,20718,277,15,383,391752,0 129,2,2024-09-07 08:13:40:696,13433,13433,0,0,540510,0,2289 129,3,2024-09-07 08:13:40:700,1,12,6,1,82,147,12,0 130,0,2024-09-07 08:13:41:730,4533,1.6,4497,1.5,8937,2.6,12512,2.25 130,1,2024-09-07 08:13:40:596,21011,21011,0,0,10343940221,108648851,20767,243,1,381,391516,0 130,2,2024-09-07 08:13:41:126,15468,15468,0,0,544425,0,1019 130,3,2024-09-07 08:13:41:306,1,12,6,1,66,113,12,0 131,0,2024-09-07 08:13:41:963,3710,3.5,3591,2.7,7430,4.4,9899,3.00 131,1,2024-09-07 08:13:41:835,21161,21161,0,0,10079796027,105344955,21105,55,1,385,391727,0 131,2,2024-09-07 08:13:40:573,15681,15681,0,0,878072,0,1766 131,3,2024-09-07 08:13:41:690,1,12,2,1,23,63,12,0 132,0,2024-09-07 08:13:41:440,3739,0.6,3795,1.2,7598,0.6,10170,2.00 132,1,2024-09-07 08:13:40:691,20577,20577,0,0,9873020884,109391461,19279,1036,262,383,391533,0 132,2,2024-09-07 08:13:40:718,15031,15031,0,0,2538380,0,4606 132,3,2024-09-07 08:13:41:699,1,12,9,5,356,493,12,0 133,0,2024-09-07 08:13:41:553,2220,0.3,2227,0.5,4545,0.2,5883,1.75 133,1,2024-09-07 08:13:40:596,20534,20534,0,0,9767795438,105609742,19785,745,4,386,391492,0 133,2,2024-09-07 08:13:41:118,15953,15953,0,0,975292,0,1707 133,3,2024-09-07 08:13:41:296,1,12,2,1,18,48,12,0 134,0,2024-09-07 08:13:40:971,4008,0.5,4013,1.0,8012,0.5,11120,2.00 134,1,2024-09-07 08:13:40:593,20562,20562,0,0,9613891643,108297665,19064,752,746,366,391508,0 134,2,2024-09-07 08:13:41:763,12253,12253,0,0,663720,0,1739 134,3,2024-09-07 08:13:40:751,1,12,19,1,63,222,12,0 135,0,2024-09-07 08:13:41:105,4164,4.1,4151,3.5,8765,3.4,11238,3.25 135,1,2024-09-07 08:13:41:593,20898,20898,0,0,10508060528,110914121,20533,349,16,383,391491,0 135,2,2024-09-07 08:13:40:693,16210,16210,0,0,1330181,0,3016 135,3,2024-09-07 08:13:41:003,1,12,1,1,68,185,12,0 136,0,2024-09-07 08:13:41:631,2525,0.6,2506,1.0,4964,0.6,6823,2.50 136,1,2024-09-07 08:13:41:442,20753,20753,0,0,10009145540,110394022,19700,915,138,386,391501,0 136,2,2024-09-07 08:13:41:134,16898,16898,0,0,860044,0,1490 136,3,2024-09-07 08:13:41:115,1,12,9,2,24,139,12,0 137,0,2024-09-07 08:13:40:966,1699,0.3,1705,0.6,3284,0.2,4237,2.00 137,1,2024-09-07 08:13:40:581,20889,20889,0,0,9732249725,105015451,20396,487,6,370,391489,0 137,2,2024-09-07 08:13:41:710,14937,14937,0,0,1439419,0,2294 137,3,2024-09-07 08:13:40:772,1,12,7,1,13,74,12,0 138,0,2024-09-07 08:13:41:762,1794,1.2,1835,1.2,3597,1.3,5029,3.75 138,1,2024-09-07 08:13:41:688,20890,20890,0,0,10461093360,113846545,19857,816,217,371,391572,0 138,2,2024-09-07 08:13:40:599,10975,10975,0,0,1009695,0,3263 138,3,2024-09-07 08:13:40:622,1,12,21,1,21,87,12,0 139,0,2024-09-07 08:13:41:398,4627,6.1,4603,3.6,9207,6.3,12923,4.50 139,1,2024-09-07 08:13:40:577,20620,20620,0,0,10123647145,114199534,19316,823,481,386,391511,0 139,2,2024-09-07 08:13:40:712,16938,16938,0,0,1416882,0,2233 139,3,2024-09-07 08:13:41:663,1,12,2,1,53,137,12,0 140,0,2024-09-07 08:13:41:608,1349,0.1,1385,0.3,2824,0.1,3456,1.25 140,1,2024-09-07 08:13:41:539,21016,21016,0,0,10525610219,109096071,20883,133,0,366,391482,0 140,2,2024-09-07 08:13:40:688,16967,16967,0,0,1018754,0,2609 140,3,2024-09-07 08:13:40:772,1,12,16,2,16,99,12,0 141,0,2024-09-07 08:13:41:716,1814,0.2,1869,0.2,3660,0.1,4687,1.50 141,1,2024-09-07 08:13:40:870,21208,21208,0,0,10404029244,107434745,21161,47,0,382,391532,0 141,2,2024-09-07 08:13:41:687,14552,14552,0,0,682474,0,1869 141,3,2024-09-07 08:13:41:047,1,12,10,0,42,87,12,0 142,0,2024-09-07 08:13:41:336,2294,0.2,2303,0.5,4674,0.2,5535,1.50 142,1,2024-09-07 08:13:40:598,21052,21052,0,0,10214086122,106440157,21041,11,0,385,391493,0 142,2,2024-09-07 08:13:41:305,12173,12173,0,0,552561,0,2000 142,3,2024-09-07 08:13:41:759,1,12,11,1,53,111,12,0 143,0,2024-09-07 08:13:41:408,3990,0.5,4087,0.6,8350,0.5,11109,1.50 143,1,2024-09-07 08:13:40:569,20812,20812,0,0,10101080077,105029801,20636,176,0,370,391492,0 143,2,2024-09-07 08:13:40:785,16399,16399,0,0,924936,0,2572 143,3,2024-09-07 08:13:41:140,1,12,42,1,219,304,12,0 144,0,2024-09-07 08:13:41:527,2502,10.0,2828,10.0,5031,7.6,7876,3.50 144,1,2024-09-07 08:13:40:566,20753,20753,0,0,9904187591,105221936,20397,315,41,383,391557,0 144,2,2024-09-07 08:13:41:768,16191,16191,0,0,686269,0,1140 144,3,2024-09-07 08:13:41:747,1,12,2,2,99,254,12,0 145,0,2024-09-07 08:13:41:375,3232,0.5,3268,0.8,6734,0.4,8760,2.25 145,1,2024-09-07 08:13:40:563,20682,20682,0,0,9812483903,104496035,20466,216,0,384,391603,0 145,2,2024-09-07 08:13:41:448,14421,14421,0,0,1859634,0,3903 145,3,2024-09-07 08:13:40:896,1,12,2,1,151,453,12,0 146,0,2024-09-07 08:13:41:609,2784,0.3,2789,0.6,5556,0.2,7517,1.75 146,1,2024-09-07 08:13:41:588,20680,20680,0,0,10352008753,113921467,19445,988,247,371,391512,0 146,2,2024-09-07 08:13:41:696,14726,14726,0,0,1107553,0,2149 146,3,2024-09-07 08:13:41:283,1,12,1,0,54,191,12,0 147,0,2024-09-07 08:13:41:712,3703,5.2,3592,3.4,7288,6.3,10407,4.00 147,1,2024-09-07 08:13:41:381,20983,20983,0,0,10104594568,104819133,20906,75,2,370,391791,0 147,2,2024-09-07 08:13:41:011,14122,14122,0,0,604302,0,2526 147,3,2024-09-07 08:13:40:920,1,12,2,2,141,392,12,0 0,0,2024-09-07 08:13:51:722,1950,0.2,1962,0.4,4021,0.1,5161,1.50 0,1,2024-09-07 08:13:50:809,22533,22533,0,0,11080894852,118803658,22018,410,105,373,391658,0 0,2,2024-09-07 08:13:51:071,17073,17073,0,0,645682,0,951 0,3,2024-09-07 08:13:50:985,1,13,17,3,19,156,13,0 1,0,2024-09-07 08:13:51:835,3148,0.4,3113,0.6,6341,0.3,8604,1.75 1,1,2024-09-07 08:13:50:563,22521,22521,0,0,10690240073,112930595,22148,339,34,372,391577,0 1,2,2024-09-07 08:13:50:656,12600,12600,0,0,529232,0,1321 1,3,2024-09-07 08:13:51:316,1,13,23,1,167,320,13,0 2,0,2024-09-07 08:13:51:608,5489,0.6,5636,1.1,10812,1.0,14720,1.75 2,1,2024-09-07 08:13:50:869,22497,22497,0,0,10428858014,109453062,22403,94,0,383,391486,0 2,2,2024-09-07 08:13:51:269,17843,17843,0,0,1318469,0,3304 2,3,2024-09-07 08:13:50:702,1,13,9,1,87,207,13,0 3,0,2024-09-07 08:13:51:768,1913,0.2,2005,0.3,3924,0.1,5269,1.75 3,1,2024-09-07 08:13:51:631,22677,22677,0,0,11246381908,118518041,22308,359,10,383,391494,0 3,2,2024-09-07 08:13:51:146,18174,18174,0,0,956055,0,2192 3,3,2024-09-07 08:13:51:756,1,13,1,1,23,69,13,0 4,0,2024-09-07 08:13:51:836,1511,0.3,1524,0.4,3102,0.2,3902,1.75 4,1,2024-09-07 08:13:50:606,22472,22472,0,0,10629293222,115830856,21477,652,343,374,391680,0 4,2,2024-09-07 08:13:51:022,16153,16153,0,0,1699071,0,4528 4,3,2024-09-07 08:13:51:035,1,13,19,8,47,227,13,0 5,0,2024-09-07 08:13:51:420,1637,0.3,1654,0.4,3239,0.2,4332,1.75 5,1,2024-09-07 08:13:50:761,22441,22441,0,0,11003667234,117401132,21921,429,91,371,392005,0 5,2,2024-09-07 08:13:51:861,12435,12435,0,0,716114,0,1912 5,3,2024-09-07 08:13:51:732,1,13,10,1,47,101,13,0 6,0,2024-09-07 08:13:50:975,5438,0.6,5434,1.0,10973,0.7,14744,2.00 6,1,2024-09-07 08:13:50:752,22679,22679,0,0,11037383747,115803824,22444,231,4,382,391603,0 6,2,2024-09-07 08:13:51:123,18610,18610,0,0,1206881,0,1686 6,3,2024-09-07 08:13:51:277,1,13,1,1,277,508,13,0 7,0,2024-09-07 08:13:51:546,1469,0.2,1469,0.3,2935,0.1,3648,1.50 7,1,2024-09-07 08:13:50:862,22480,22480,0,0,10734441584,114726074,21951,465,64,385,391558,0 7,2,2024-09-07 08:13:50:771,18006,18006,0,0,1286962,0,2981 7,3,2024-09-07 08:13:50:855,1,13,1,1,46,151,13,0 8,0,2024-09-07 08:13:51:370,2738,0.3,2669,0.5,5268,0.2,6781,1.75 8,1,2024-09-07 08:13:51:020,21882,21882,0,0,10861807728,127693970,19165,1485,1232,370,391724,0 8,2,2024-09-07 08:13:50:798,15478,15478,0,0,1590421,0,2986 8,3,2024-09-07 08:13:50:587,1,13,8,8,38,180,13,0 9,0,2024-09-07 08:13:51:142,3158,0.4,3096,0.6,6372,0.3,7866,1.75 9,1,2024-09-07 08:13:50:553,22348,22348,0,0,10970623789,122129153,20949,923,476,373,391496,0 9,2,2024-09-07 08:13:51:108,13992,13992,0,0,973207,0,1673 9,3,2024-09-07 08:13:51:756,1,13,6,1,50,186,13,0 10,0,2024-09-07 08:13:51:631,4906,0.7,4907,0.8,9819,0.6,13245,2.00 10,1,2024-09-07 08:13:50:592,22205,22205,0,0,10836303753,123009116,20098,1727,380,384,391540,0 10,2,2024-09-07 08:13:50:767,16610,16610,0,0,1707020,0,2940 10,3,2024-09-07 08:13:50:875,1,13,4,0,118,146,13,0 11,0,2024-09-07 08:13:51:023,3448,7.0,3386,4.5,7072,8.2,10096,3.75 11,1,2024-09-07 08:13:50:579,21924,21924,0,0,11365644112,127829325,19992,1197,735,384,391485,0 11,2,2024-09-07 08:13:51:132,17138,17138,0,0,1451088,0,2635 11,3,2024-09-07 08:13:51:298,1,13,1,1,62,198,13,0 12,0,2024-09-07 08:13:51:013,4095,0.3,4059,0.5,8142,0.2,10679,1.75 12,1,2024-09-07 08:13:50:964,22692,22692,0,0,10868124825,113610229,22574,116,2,372,391504,0 12,2,2024-09-07 08:13:51:606,16506,16506,0,0,1006440,0,2254 12,3,2024-09-07 08:13:51:069,1,13,10,2,45,178,13,0 13,0,2024-09-07 08:13:51:381,2543,0.3,2577,0.5,5019,0.2,6833,1.75 13,1,2024-09-07 08:13:51:539,22945,22945,0,0,11370066905,119321201,22743,200,2,385,391563,0 13,2,2024-09-07 08:13:50:597,16680,16680,0,0,697962,0,2239 13,3,2024-09-07 08:13:51:764,1,13,9,1,153,250,13,0 14,0,2024-09-07 08:13:50:577,4454,0.6,4535,0.7,8696,0.6,11861,1.75 14,1,2024-09-07 08:13:51:819,23004,23004,0,0,10981663301,113472746,22942,62,0,365,391481,0 14,2,2024-09-07 08:13:50:773,13843,13843,0,0,639257,0,1934 14,3,2024-09-07 08:13:51:121,1,13,2,1,40,95,13,0 15,0,2024-09-07 08:13:51:610,4714,0.6,4756,1.0,9380,0.7,12643,2.00 15,1,2024-09-07 08:13:51:637,22631,22631,0,0,10637877700,110856662,22582,49,0,381,391481,0 15,2,2024-09-07 08:13:51:003,17907,17907,0,0,575640,0,993 15,3,2024-09-07 08:13:51:405,1,13,4,0,538,875,13,0 16,0,2024-09-07 08:13:51:004,2775,0.3,2764,0.4,5636,0.2,7663,1.75 16,1,2024-09-07 08:13:50:564,22656,22656,0,0,10522772135,111411617,22244,409,3,373,391543,0 16,2,2024-09-07 08:13:51:455,17425,17425,0,0,1421214,0,4719 16,3,2024-09-07 08:13:51:142,1,13,16,9,231,455,13,0 17,0,2024-09-07 08:13:51:812,1917,0.2,1894,0.3,3612,0.1,4675,1.50 17,1,2024-09-07 08:13:50:576,22595,22595,0,0,11066811809,118150804,22049,410,136,373,391671,0 17,2,2024-09-07 08:13:51:709,16922,16922,0,0,938810,0,1865 17,3,2024-09-07 08:13:50:578,1,13,9,1,26,125,13,0 18,0,2024-09-07 08:13:50:976,2098,0.3,2077,0.5,4249,0.2,5626,1.75 18,1,2024-09-07 08:13:51:649,22562,22562,0,0,10618785790,111542071,22454,106,2,370,391497,0 18,2,2024-09-07 08:13:51:758,12266,12266,0,0,771373,0,2688 18,3,2024-09-07 08:13:50:895,1,13,1,1,142,219,13,0 19,0,2024-09-07 08:13:51:602,5454,0.9,5480,0.9,10732,1.3,14573,2.00 19,1,2024-09-07 08:13:50:580,22790,22790,0,0,10830036064,113745857,22493,272,25,370,391512,0 19,2,2024-09-07 08:13:51:760,18360,18360,0,0,792933,0,1669 19,3,2024-09-07 08:13:51:129,1,13,8,1,29,55,13,0 20,0,2024-09-07 08:13:51:361,1557,0.2,1592,0.4,3103,0.2,3891,1.50 20,1,2024-09-07 08:13:50:575,22404,22404,0,0,11096839077,117456412,22035,368,1,373,391488,0 20,2,2024-09-07 08:13:50:964,18484,18484,0,0,1181879,0,1616 20,3,2024-09-07 08:13:50:594,1,13,1,1,99,279,13,0 21,0,2024-09-07 08:13:51:156,2044,0.2,2023,0.4,3960,0.2,4945,1.75 21,1,2024-09-07 08:13:51:557,22432,22432,0,0,10386200547,111548099,21853,554,25,368,391962,0 21,2,2024-09-07 08:13:51:085,16331,16331,0,0,1199423,0,3747 21,3,2024-09-07 08:13:51:418,1,13,12,1,30,155,13,0 22,0,2024-09-07 08:13:51:730,2356,0.3,2476,0.8,4799,0.3,5888,1.75 22,1,2024-09-07 08:13:51:024,22440,22440,0,0,10861006137,120198411,21126,1007,307,382,391667,0 22,2,2024-09-07 08:13:50:764,13043,13043,0,0,695671,0,1503 22,3,2024-09-07 08:13:51:071,1,13,4,0,48,107,13,0 23,0,2024-09-07 08:13:51:386,4404,0.5,4566,0.6,8925,0.4,12584,1.75 23,1,2024-09-07 08:13:51:004,22983,22983,0,0,11529659534,120174256,22844,139,0,369,391480,0 23,2,2024-09-07 08:13:51:093,18412,18412,0,0,976472,0,2078 23,3,2024-09-07 08:13:51:757,1,13,6,0,141,191,13,0 24,0,2024-09-07 08:13:50:859,3259,1.6,3258,1.8,6563,2.8,8351,2.00 24,1,2024-09-07 08:13:50:582,22665,22665,0,0,10746760314,115228480,22195,467,3,373,391540,0 24,2,2024-09-07 08:13:51:073,17285,17285,0,0,1919772,0,2942 24,3,2024-09-07 08:13:51:694,1,13,25,2,25,152,13,0 25,0,2024-09-07 08:13:51:349,3569,0.3,3527,0.5,6737,0.2,8973,1.75 25,1,2024-09-07 08:13:50:594,22556,22556,0,0,10833973149,116495435,22101,454,1,373,391484,0 25,2,2024-09-07 08:13:51:640,16156,16156,0,0,1260971,0,1436 25,3,2024-09-07 08:13:51:003,1,13,1,1,50,214,13,0 26,0,2024-09-07 08:13:51:731,3011,0.3,2948,0.5,6134,0.2,8034,1.75 26,1,2024-09-07 08:13:51:551,21800,21800,0,0,10321933119,116822903,20216,1037,547,383,391496,0 26,2,2024-09-07 08:13:50:867,15593,15593,0,0,1596193,0,2727 26,3,2024-09-07 08:13:51:713,1,13,3,1,796,860,13,0 27,0,2024-09-07 08:13:51:742,4162,0.6,4157,0.8,8452,0.6,11588,1.75 27,1,2024-09-07 08:13:51:678,23018,23018,0,0,11694296726,122272061,22922,95,1,382,391526,0 27,2,2024-09-07 08:13:50:876,14932,14932,0,0,2242575,0,3146 27,3,2024-09-07 08:13:51:015,1,13,5,1,86,142,13,0 28,0,2024-09-07 08:13:51:398,4888,2.4,4870,1.9,9848,3.9,13214,2.25 28,1,2024-09-07 08:13:50:800,23025,23025,0,0,11401759508,118561180,22972,53,0,383,391488,0 28,2,2024-09-07 08:13:51:782,17431,17431,0,0,843969,0,2177 28,3,2024-09-07 08:13:51:779,1,13,10,8,20,151,13,0 29,0,2024-09-07 08:13:51:369,3694,0.3,3644,0.6,7015,0.3,9645,2.00 29,1,2024-09-07 08:13:51:593,22773,22773,0,0,10974379176,114652837,22368,233,172,371,391621,0 29,2,2024-09-07 08:13:50:870,17279,17279,0,0,709366,0,2026 29,3,2024-09-07 08:13:50:966,1,13,1,1,57,117,13,0 30,0,2024-09-07 08:13:51:469,2011,0.2,1893,0.4,3895,0.1,5134,1.75 30,1,2024-09-07 08:13:50:577,22537,22537,0,0,11389977605,119159622,22401,135,1,382,391524,0 30,2,2024-09-07 08:13:51:279,17008,17008,0,0,631435,0,1125 30,3,2024-09-07 08:13:50:581,1,13,1,0,110,143,13,0 31,0,2024-09-07 08:13:51:768,3142,0.4,3225,0.6,6408,0.3,8750,1.75 31,1,2024-09-07 08:13:50:568,22770,22770,0,0,10927026319,112130143,22770,0,0,356,391478,0 31,2,2024-09-07 08:13:51:279,13010,13010,0,0,700543,0,1593 31,3,2024-09-07 08:13:51:706,1,13,1,0,8,34,13,0 32,0,2024-09-07 08:13:51:433,5477,0.5,5558,0.7,11151,0.3,14650,1.50 32,1,2024-09-07 08:13:50:823,22707,22707,0,0,10764275697,112077495,22654,51,2,383,391488,0 32,2,2024-09-07 08:13:50:938,18107,18107,0,0,793969,0,1970 32,3,2024-09-07 08:13:51:015,1,13,8,1,37,151,13,0 33,0,2024-09-07 08:13:51:520,1980,0.1,1973,0.2,3831,0.1,5225,1.50 33,1,2024-09-07 08:13:50:580,22639,22639,0,0,11344909482,117871750,22418,210,11,369,391482,0 33,2,2024-09-07 08:13:50:763,18067,18067,0,0,1373614,0,3364 33,3,2024-09-07 08:13:50:894,1,13,2,1,27,81,13,0 34,0,2024-09-07 08:13:50:972,1517,0.1,1552,0.2,3068,0.1,3833,1.25 34,1,2024-09-07 08:13:51:048,22790,22790,0,0,11033238430,114889078,22663,127,0,369,391481,0 34,2,2024-09-07 08:13:50:768,16407,16407,0,0,840052,0,2070 34,3,2024-09-07 08:13:51:693,1,13,0,0,46,71,13,0 35,0,2024-09-07 08:13:50:876,1634,0.2,1649,0.3,3174,0.1,4340,1.50 35,1,2024-09-07 08:13:51:071,22635,22635,0,0,10969967779,115259941,22138,396,101,385,391481,0 35,2,2024-09-07 08:13:51:815,12575,12575,0,0,682866,0,2276 35,3,2024-09-07 08:13:50:912,1,13,5,1,219,313,13,0 36,0,2024-09-07 08:13:51:551,5374,1.5,5369,1.6,10872,1.4,14672,3.00 36,1,2024-09-07 08:13:50:585,22207,22207,0,0,10431430089,117475109,20267,1184,756,370,391535,0 36,2,2024-09-07 08:13:51:761,18362,18362,0,0,1039135,0,1272 36,3,2024-09-07 08:13:50:873,1,13,24,1,54,214,13,0 37,0,2024-09-07 08:13:51:392,1462,0.2,1528,0.5,2902,0.1,3644,1.75 37,1,2024-09-07 08:13:50:579,21713,21706,0,7,10956634557,127620447,19582,617,1507,368,391507,0 37,2,2024-09-07 08:13:51:142,18302,18302,0,0,961927,0,1448 37,3,2024-09-07 08:13:51:778,1,13,1,0,31,77,13,0 38,0,2024-09-07 08:13:51:445,2660,0.5,2606,0.7,5378,0.3,6797,2.25 38,1,2024-09-07 08:13:51:630,22988,22988,0,0,11348321060,119472508,22741,246,1,372,391512,0 38,2,2024-09-07 08:13:50:762,15913,15913,0,0,1109710,0,3245 38,3,2024-09-07 08:13:51:000,1,13,0,0,36,92,13,0 39,0,2024-09-07 08:13:51:769,3228,0.3,3154,0.6,6201,0.2,7865,1.75 39,1,2024-09-07 08:13:50:723,22395,22395,0,0,10891097853,117560638,21373,604,418,366,391480,0 39,2,2024-09-07 08:13:51:425,14198,14198,0,0,798479,0,1786 39,3,2024-09-07 08:13:50:712,1,13,4,1,189,264,13,0 40,0,2024-09-07 08:13:51:506,4572,2.1,4766,4.6,9455,5.2,13023,4.00 40,1,2024-09-07 08:13:50:584,22314,22314,0,0,10478985783,115656029,21090,809,415,371,391496,0 40,2,2024-09-07 08:13:51:313,16870,16869,1,0,1828036,0,5137 40,3,2024-09-07 08:13:51:142,1,13,9,2,21,135,13,0 41,0,2024-09-07 08:13:51:029,3063,9.7,3414,10.0,6108,10.0,8844,8.25 41,1,2024-09-07 08:13:50:771,22507,22507,0,0,10930626612,119216321,21381,934,192,371,391483,0 41,2,2024-09-07 08:13:50:762,17007,17007,0,0,1836761,0,3325 41,3,2024-09-07 08:13:51:683,1,13,2,1,7,30,13,0 42,0,2024-09-07 08:13:51:483,4015,0.7,3975,1.0,8098,0.6,10702,3.00 42,1,2024-09-07 08:13:51:439,22121,22121,0,0,11108919949,125511540,20358,1081,682,381,391489,0 42,2,2024-09-07 08:13:51:136,15959,15959,0,0,1358954,0,1746 42,3,2024-09-07 08:13:51:010,1,13,4,1,54,87,13,0 43,0,2024-09-07 08:13:50:966,2487,0.4,2481,0.7,5158,0.2,6767,2.00 43,1,2024-09-07 08:13:50:582,22174,22174,0,0,11019757661,121140475,20838,746,590,368,391479,0 43,2,2024-09-07 08:13:51:737,16535,16535,0,0,1549199,0,3812 43,3,2024-09-07 08:13:51:750,1,13,3,1,292,682,13,0 44,0,2024-09-07 08:13:50:899,4386,0.5,4333,0.9,8737,0.5,11816,2.00 44,1,2024-09-07 08:13:50:573,22877,22877,0,0,10574362840,110107469,22640,229,8,358,391493,0 44,2,2024-09-07 08:13:51:274,13826,13826,0,0,476797,0,1004 44,3,2024-09-07 08:13:51:099,1,13,32,1,32,178,13,0 45,0,2024-09-07 08:13:51:781,4629,0.4,4574,0.8,9393,0.3,13118,2.00 45,1,2024-09-07 08:13:51:006,22673,22673,0,0,11355632601,118103416,22571,102,0,382,391510,0 45,2,2024-09-07 08:13:51:270,18005,18005,0,0,683771,0,1251 45,3,2024-09-07 08:13:50:952,1,13,18,1,226,308,13,0 46,0,2024-09-07 08:13:50:961,2840,0.2,2760,0.5,5684,0.2,7690,1.75 46,1,2024-09-07 08:13:50:578,23041,23041,0,0,11036258709,113503187,23037,3,1,370,391487,0 46,2,2024-09-07 08:13:50:598,17787,17787,0,0,982311,0,2835 46,3,2024-09-07 08:13:51:133,1,13,2,1,200,405,13,0 47,0,2024-09-07 08:13:51:113,1792,0.2,1868,0.4,3637,0.1,4667,1.50 47,1,2024-09-07 08:13:50:568,22988,22988,0,0,10496773410,108642887,22948,40,0,368,391481,0 47,2,2024-09-07 08:13:50:913,17086,17086,0,0,845038,0,2194 47,3,2024-09-07 08:13:51:114,1,13,1,1,25,69,13,0 48,0,2024-09-07 08:13:51:536,2151,0.1,2131,0.3,4227,0.1,5673,1.50 48,1,2024-09-07 08:13:51:026,22474,22474,0,0,10991727301,115477390,22187,287,0,386,391516,0 48,2,2024-09-07 08:13:50:699,12528,12528,0,0,504350,0,1521 48,3,2024-09-07 08:13:50:761,1,13,8,1,21,119,13,0 49,0,2024-09-07 08:13:51:743,5539,0.4,5532,0.6,10521,0.4,14725,1.75 49,1,2024-09-07 08:13:51:027,23100,23100,0,0,11058453735,115528181,22981,119,0,382,391583,0 49,2,2024-09-07 08:13:51:799,18410,18410,0,0,1000192,0,2196 49,3,2024-09-07 08:13:51:419,1,13,5,1,274,399,13,0 50,0,2024-09-07 08:13:51:520,1525,0.1,1552,0.2,3071,0.1,3887,1.25 50,1,2024-09-07 08:13:51:011,22800,22800,0,0,11194576061,117909796,22127,492,181,370,391530,0 50,2,2024-09-07 08:13:51:075,18643,18643,0,0,669083,0,2034 50,3,2024-09-07 08:13:51:291,1,13,2,1,335,368,13,0 51,0,2024-09-07 08:13:51:692,2023,0.1,1987,0.2,3889,0.1,4939,1.25 51,1,2024-09-07 08:13:51:685,22854,22854,0,0,11905517464,123215118,22645,176,33,367,391504,0 51,2,2024-09-07 08:13:51:316,15907,15907,0,0,545793,0,1936 51,3,2024-09-07 08:13:51:027,1,13,1,0,162,214,13,0 52,0,2024-09-07 08:13:51:430,2327,1.0,2342,1.4,4768,1.3,5676,3.00 52,1,2024-09-07 08:13:50:579,22304,22304,0,0,10630446790,116407956,21303,807,194,371,391498,0 52,2,2024-09-07 08:13:51:755,12990,12990,0,0,1269055,0,4779 52,3,2024-09-07 08:13:50:675,1,13,8,6,21,151,13,0 53,0,2024-09-07 08:13:51:743,4495,2.7,4340,2.0,8973,3.2,12265,3.50 53,1,2024-09-07 08:13:50:783,22432,22432,0,0,11346152128,123364585,21294,714,424,373,391483,0 53,2,2024-09-07 08:13:51:298,18097,18097,0,0,984660,0,1520 53,3,2024-09-07 08:13:50:697,1,13,1,1,28,49,13,0 54,0,2024-09-07 08:13:51:626,2448,10.0,2239,10.0,4633,7.2,7204,6.75 54,1,2024-09-07 08:13:50:581,22633,22633,0,0,11128461495,118396451,21905,694,34,370,391520,0 54,2,2024-09-07 08:13:50:870,17439,17433,6,0,1758250,0,5382 54,3,2024-09-07 08:13:50:770,1,13,2,1,98,182,13,0 55,0,2024-09-07 08:13:51:772,3410,0.9,3543,1.1,7084,0.7,8906,2.75 55,1,2024-09-07 08:13:50:771,22381,22381,0,0,10892475336,118465716,20963,923,495,369,391660,0 55,2,2024-09-07 08:13:50:730,15946,15946,0,0,1064475,0,2129 55,3,2024-09-07 08:13:50:675,1,13,4,0,24,69,13,0 56,0,2024-09-07 08:13:51:835,3009,2.4,2878,1.8,5901,2.8,7799,4.75 56,1,2024-09-07 08:13:50:579,22337,22337,0,0,11460760917,128100489,20675,1134,528,386,391536,0 56,2,2024-09-07 08:13:51:311,15564,15564,0,0,1456277,0,3567 56,3,2024-09-07 08:13:51:071,1,13,8,2,20,131,13,0 57,0,2024-09-07 08:13:50:993,3838,5.9,3807,3.7,7687,7.2,10774,6.50 57,1,2024-09-07 08:13:50:992,22938,22938,0,0,11005767758,117340741,22662,275,1,371,391505,0 57,2,2024-09-07 08:13:51:323,15331,15331,0,0,1385067,0,2687 57,3,2024-09-07 08:13:51:739,1,13,5,1,359,439,13,0 58,0,2024-09-07 08:13:50:580,4096,6.9,4028,4.1,8359,10.0,11265,7.50 58,1,2024-09-07 08:13:50:583,22344,22344,0,0,11053249343,120653438,21150,1038,156,372,391482,0 58,2,2024-09-07 08:13:51:073,17373,17373,0,0,1225330,0,2464 58,3,2024-09-07 08:13:51:073,1,13,1,1,80,137,13,0 59,0,2024-09-07 08:13:51:748,3536,1.8,3581,1.6,7137,2.2,9380,4.00 59,1,2024-09-07 08:13:50:811,22745,22745,0,0,10998970773,117244118,22309,434,2,372,391515,0 59,2,2024-09-07 08:13:50:583,16971,16971,0,0,1160769,0,1708 59,3,2024-09-07 08:13:51:737,1,13,3,1,20,71,13,0 60,0,2024-09-07 08:13:51:719,1928,0.2,1996,0.3,3857,0.1,5145,1.50 60,1,2024-09-07 08:13:50:780,23116,23116,0,0,11336303896,116988776,23113,1,2,370,391672,0 60,2,2024-09-07 08:13:51:166,17123,17123,0,0,945114,0,2142 60,3,2024-09-07 08:13:51:264,1,13,11,2,23,162,13,0 61,0,2024-09-07 08:13:51:581,3172,0.4,3159,0.6,6200,0.3,8673,2.00 61,1,2024-09-07 08:13:50:777,22661,22661,0,0,10826683454,116213483,21853,472,336,383,391560,0 61,2,2024-09-07 08:13:51:138,13040,13040,0,0,644349,0,1601 61,3,2024-09-07 08:13:51:689,1,13,4,1,72,106,13,0 62,0,2024-09-07 08:13:51:728,5429,0.3,5632,0.6,10916,0.3,14629,1.75 62,1,2024-09-07 08:13:51:122,22839,22839,0,0,11257855364,117074169,22608,229,2,368,391586,0 62,2,2024-09-07 08:13:51:649,17890,17890,0,0,911688,0,2030 62,3,2024-09-07 08:13:51:144,1,13,2,1,28,65,13,0 63,0,2024-09-07 08:13:51:479,1966,0.2,1978,0.4,3795,0.1,5261,1.75 63,1,2024-09-07 08:13:50:811,23099,23098,0,1,11374807871,118185320,22955,142,1,382,391489,1 63,2,2024-09-07 08:13:50:768,18240,18240,0,0,1002752,0,2079 63,3,2024-09-07 08:13:51:744,1,13,4,1,216,465,13,0 64,0,2024-09-07 08:13:51:610,1519,0.1,1514,0.3,3071,0.1,3838,1.25 64,1,2024-09-07 08:13:50:752,22891,22891,0,0,11381115660,120063855,22218,618,55,371,391604,0 64,2,2024-09-07 08:13:51:158,16576,16576,0,0,810134,0,2510 64,3,2024-09-07 08:13:51:151,1,13,8,1,20,138,13,0 65,0,2024-09-07 08:13:51:694,1635,0.2,1626,0.3,3185,0.1,4318,1.50 65,1,2024-09-07 08:13:50:866,22664,22664,0,0,10638422019,112659498,22220,437,7,382,391485,0 65,2,2024-09-07 08:13:51:721,12374,12374,0,0,556103,0,2374 65,3,2024-09-07 08:13:51:689,1,13,25,1,122,306,13,0 66,0,2024-09-07 08:13:51:772,5305,0.3,5377,0.5,10916,0.2,14781,1.50 66,1,2024-09-07 08:13:51:294,23186,23186,0,0,11480764235,119565848,22886,284,16,382,391537,0 66,2,2024-09-07 08:13:51:136,18631,18631,0,0,924610,0,2934 66,3,2024-09-07 08:13:51:081,1,13,1,1,45,86,13,0 67,0,2024-09-07 08:13:51:455,1477,0.1,1490,0.2,2939,0.1,3645,1.25 67,1,2024-09-07 08:13:50:772,22835,22834,0,1,10968298384,114308863,22755,79,0,383,391567,1 67,2,2024-09-07 08:13:50:587,17935,17935,0,0,996739,0,2104 67,3,2024-09-07 08:13:51:749,1,13,1,1,43,72,13,0 68,0,2024-09-07 08:13:50:576,2648,0.4,2640,0.8,5377,0.3,6815,2.00 68,1,2024-09-07 08:13:50:579,22241,22241,0,0,10471554335,114921854,21361,434,446,385,391489,0 68,2,2024-09-07 08:13:51:054,16004,16004,0,0,1386300,0,4883 68,3,2024-09-07 08:13:50:729,1,13,10,1,21,138,13,0 69,0,2024-09-07 08:13:51:757,3185,0.8,3105,1.0,6141,1.0,7908,2.25 69,1,2024-09-07 08:13:51:030,21875,21875,0,0,10471722357,118932375,20553,460,862,387,391483,0 69,2,2024-09-07 08:13:51:740,14172,14172,0,0,799828,0,2462 69,3,2024-09-07 08:13:50:760,1,13,0,0,20,70,13,0 70,0,2024-09-07 08:13:51:572,4725,5.5,4660,6.0,9514,2.0,13146,4.50 70,1,2024-09-07 08:13:50:803,22716,22716,0,0,11275233745,118423285,22476,223,17,370,391527,0 70,2,2024-09-07 08:13:51:326,17047,17047,0,0,1005594,0,1937 70,3,2024-09-07 08:13:50:745,1,13,1,1,69,100,13,0 71,0,2024-09-07 08:13:51:379,3223,10.0,3212,10.0,6196,10.0,9253,7.50 71,1,2024-09-07 08:13:51:615,22551,22551,0,0,11327787165,121121684,21838,588,125,370,391584,0 71,2,2024-09-07 08:13:51:070,17551,17551,0,0,1232333,0,2146 71,3,2024-09-07 08:13:51:757,1,13,174,1,174,344,13,0 72,0,2024-09-07 08:13:51:112,4182,0.6,4042,0.8,8041,0.4,10737,3.25 72,1,2024-09-07 08:13:51:029,22514,22514,0,0,10842424269,117207125,21764,747,3,372,391514,0 72,2,2024-09-07 08:13:51:756,15885,15885,0,0,1714316,0,2570 72,3,2024-09-07 08:13:51:757,1,13,16,1,20,142,13,0 73,0,2024-09-07 08:13:51:124,2506,0.4,2515,0.6,5103,0.2,6780,2.50 73,1,2024-09-07 08:13:50:775,22796,22796,0,0,10994067085,116216762,22677,118,1,370,391485,0 73,2,2024-09-07 08:13:51:741,16578,16578,0,0,1648869,0,3482 73,3,2024-09-07 08:13:50:981,1,13,10,0,137,312,13,0 74,0,2024-09-07 08:13:51:329,4429,1.6,4440,1.4,8576,2.0,11862,3.25 74,1,2024-09-07 08:13:50:647,22530,22530,0,0,10500953935,111584415,22238,290,2,384,391494,0 74,2,2024-09-07 08:13:51:013,13844,13844,0,0,1242549,0,2736 74,3,2024-09-07 08:13:51:443,1,13,1,0,192,668,13,0 75,0,2024-09-07 08:13:51:772,4720,1.3,4782,1.3,9429,1.4,13029,4.00 75,1,2024-09-07 08:13:51:595,22688,22688,0,0,10712586445,115888663,22071,566,51,383,391508,0 75,2,2024-09-07 08:13:51:352,17194,17194,0,0,2008863,0,4766 75,3,2024-09-07 08:13:51:068,1,13,1,1,38,66,13,0 76,0,2024-09-07 08:13:50:600,2831,0.3,2884,0.5,5533,0.2,7563,1.75 76,1,2024-09-07 08:13:50:811,22708,22708,0,0,10542044689,110075425,22695,13,0,384,391530,0 76,2,2024-09-07 08:13:51:072,18223,18223,0,0,685380,0,1138 76,3,2024-09-07 08:13:51:145,1,13,19,1,140,302,13,0 77,0,2024-09-07 08:13:51:723,1863,0.2,1866,0.4,3608,0.1,4677,1.50 77,1,2024-09-07 08:13:50:826,22529,22529,0,0,10982533471,115214051,22317,204,8,383,391512,0 77,2,2024-09-07 08:13:51:284,16959,16959,0,0,676526,0,1637 77,3,2024-09-07 08:13:51:095,1,13,9,0,29,53,13,0 78,0,2024-09-07 08:13:51:731,2099,0.4,2113,0.5,4245,0.2,5624,2.00 78,1,2024-09-07 08:13:50:617,22983,22983,0,0,10767884490,111806902,22916,67,0,370,391486,0 78,2,2024-09-07 08:13:51:406,12785,12785,0,0,390202,0,1428 78,3,2024-09-07 08:13:51:133,1,13,0,0,112,298,13,0 79,0,2024-09-07 08:13:51:355,5341,0.4,5469,0.6,10978,0.4,14592,2.00 79,1,2024-09-07 08:13:50:578,22958,22958,0,0,11305062012,117683277,22826,132,0,372,391486,0 79,2,2024-09-07 08:13:51:072,18273,18273,0,0,660158,0,1314 79,3,2024-09-07 08:13:50:749,1,13,1,1,20,55,13,0 80,0,2024-09-07 08:13:51:093,1488,0.2,1563,0.3,3065,0.1,3898,1.50 80,1,2024-09-07 08:13:51:638,22679,22679,0,0,11113561998,116283740,22281,397,1,374,391673,0 80,2,2024-09-07 08:13:51:094,18315,18315,0,0,917095,0,1679 80,3,2024-09-07 08:13:50:583,1,13,10,1,72,306,13,0 81,0,2024-09-07 08:13:51:587,2004,0.2,2037,0.3,3914,0.1,4954,1.50 81,1,2024-09-07 08:13:51:653,22668,22668,0,0,10499158382,110826916,22345,316,7,383,391646,0 81,2,2024-09-07 08:13:51:136,15758,15758,0,0,919947,0,2811 81,3,2024-09-07 08:13:51:122,1,13,18,1,39,104,13,0 82,0,2024-09-07 08:13:51:546,2329,0.2,2267,0.4,4670,0.2,5866,1.50 82,1,2024-09-07 08:13:50:585,22670,22669,0,1,10824119136,113043909,22468,195,6,385,391529,1 82,2,2024-09-07 08:13:51:701,13106,13106,0,0,534594,0,1286 82,3,2024-09-07 08:13:51:756,1,13,50,1,65,204,13,0 83,0,2024-09-07 08:13:51:538,4557,0.3,4521,0.5,9035,0.3,12408,1.50 83,1,2024-09-07 08:13:50:552,22525,22525,0,0,10896843817,116135643,21869,577,79,384,391553,0 83,2,2024-09-07 08:13:50:768,17999,17999,0,0,892309,0,2512 83,3,2024-09-07 08:13:50:748,1,13,1,1,26,61,13,0 84,0,2024-09-07 08:13:51:811,2609,10.0,2602,10.0,5183,6.5,7841,6.25 84,1,2024-09-07 08:13:51:040,22649,22649,0,0,10748175401,116905448,21660,750,239,370,391538,0 84,2,2024-09-07 08:13:50:589,17223,17223,0,0,1636495,0,3801 84,3,2024-09-07 08:13:51:152,1,13,11,2,13,121,13,0 85,0,2024-09-07 08:13:51:039,3421,0.5,3402,0.7,7141,0.4,9015,2.00 85,1,2024-09-07 08:13:50:575,22183,22183,0,0,10779697673,118941218,20850,1043,290,384,391505,0 85,2,2024-09-07 08:13:50:882,15332,15332,0,0,1340959,0,3656 85,3,2024-09-07 08:13:50:685,1,13,1,1,88,127,13,0 86,0,2024-09-07 08:13:50:895,2913,0.3,3066,0.5,5953,0.2,8006,1.75 86,1,2024-09-07 08:13:50:826,22405,22405,0,0,10914885044,117202364,21653,724,28,369,391506,0 86,2,2024-09-07 08:13:50:868,15421,15420,1,0,1421114,0,5004 86,3,2024-09-07 08:13:50:600,1,13,32,1,32,130,13,0 87,0,2024-09-07 08:13:51:343,4091,4.4,4080,2.8,8242,4.7,11444,2.25 87,1,2024-09-07 08:13:50:587,22449,22449,0,0,10453130399,112380211,21829,572,48,369,391551,0 87,2,2024-09-07 08:13:51:076,15142,15142,0,0,779111,0,2148 87,3,2024-09-07 08:13:51:801,1,13,322,1,322,599,13,0 88,0,2024-09-07 08:13:51:480,4845,3.0,4888,1.9,9777,4.0,13211,2.75 88,1,2024-09-07 08:13:50:576,22484,22484,0,0,10864016836,118302865,21633,759,92,370,391747,0 88,2,2024-09-07 08:13:50:689,17398,17398,0,0,1501075,0,2675 88,3,2024-09-07 08:13:51:296,1,13,28,2,28,136,13,0 89,0,2024-09-07 08:13:51:814,3701,0.6,3630,0.9,7143,0.7,9762,2.00 89,1,2024-09-07 08:13:50:557,21984,21984,0,0,11106031794,122729899,20936,541,507,384,391549,0 89,2,2024-09-07 08:13:51:222,17096,17096,0,0,1556256,0,2726 89,3,2024-09-07 08:13:51:816,1,13,2,2,116,263,13,0 90,0,2024-09-07 08:13:51:651,1953,0.3,1942,0.5,4043,0.2,5140,1.75 90,1,2024-09-07 08:13:50:599,22546,22546,0,0,11241908045,123441216,21339,1093,114,383,391522,0 90,2,2024-09-07 08:13:51:417,16668,16668,0,0,1435216,0,2635 90,3,2024-09-07 08:13:50:930,1,13,3,1,10,56,13,0 91,0,2024-09-07 08:13:50:938,3160,0.8,3117,0.9,6462,0.9,8719,2.00 91,1,2024-09-07 08:13:50:560,22303,22303,0,0,11025484513,119744664,21431,751,121,385,391914,0 91,2,2024-09-07 08:13:51:334,12749,12749,0,0,795968,0,1997 91,3,2024-09-07 08:13:50:599,1,13,1,1,84,166,13,0 92,0,2024-09-07 08:13:51:461,5503,0.3,5600,0.5,10961,0.4,14716,1.50 92,1,2024-09-07 08:13:50:583,22812,22812,0,0,11130139272,116660845,22513,253,46,383,391569,0 92,2,2024-09-07 08:13:51:355,18569,18569,0,0,1025080,0,2279 92,3,2024-09-07 08:13:51:013,1,13,15,2,22,161,13,0 93,0,2024-09-07 08:13:50:959,1910,0.2,1930,0.3,3823,0.1,5199,1.50 93,1,2024-09-07 08:13:50:815,22831,22831,0,0,10982051729,114414483,22718,110,3,368,391689,0 93,2,2024-09-07 08:13:50:961,18520,18520,0,0,707007,0,1122 93,3,2024-09-07 08:13:51:411,1,13,7,1,143,245,13,0 94,0,2024-09-07 08:13:51:846,1553,0.2,1535,0.2,3073,0.1,3881,1.25 94,1,2024-09-07 08:13:50:580,22632,22632,0,0,11473620479,120641772,22344,283,5,383,391554,0 94,2,2024-09-07 08:13:50:774,16348,16348,0,0,868407,0,1446 94,3,2024-09-07 08:13:51:696,1,13,1,1,231,452,13,0 95,0,2024-09-07 08:13:51:379,1618,0.2,1593,0.3,3278,0.1,4269,1.50 95,1,2024-09-07 08:13:50:857,22760,22760,0,0,10833146154,112597194,22721,35,4,369,391590,0 95,2,2024-09-07 08:13:51:025,12393,12393,0,0,387636,0,943 95,3,2024-09-07 08:13:51:709,1,13,2,1,45,97,13,0 96,0,2024-09-07 08:13:51:048,5518,0.4,5442,0.5,10936,0.4,14929,1.75 96,1,2024-09-07 08:13:51:685,22912,22912,0,0,10884598090,114757449,22744,167,1,385,391551,0 96,2,2024-09-07 08:13:51:278,18657,18657,0,0,752912,0,1251 96,3,2024-09-07 08:13:51:145,1,13,23,7,30,182,13,0 97,0,2024-09-07 08:13:51:351,1514,0.2,1456,0.3,2985,0.1,3647,1.50 97,1,2024-09-07 08:13:50:768,22991,22991,0,0,11566013557,120251245,22720,265,6,367,391530,0 97,2,2024-09-07 08:13:50:612,17970,17970,0,0,815745,0,1663 97,3,2024-09-07 08:13:50:571,1,13,2,1,77,141,13,0 98,0,2024-09-07 08:13:51:820,2689,0.2,2650,0.4,5280,0.2,6829,1.50 98,1,2024-09-07 08:13:50:602,22616,22616,0,0,10906844031,114044053,22538,77,1,384,391588,0 98,2,2024-09-07 08:13:50:772,15835,15835,0,0,818046,0,2410 98,3,2024-09-07 08:13:50:697,1,13,5,1,155,207,13,0 99,0,2024-09-07 08:13:51:523,3091,0.2,3089,0.4,6184,0.2,7884,1.50 99,1,2024-09-07 08:13:51:729,23293,23293,0,0,10314772115,107733783,23225,65,3,383,391519,0 99,2,2024-09-07 08:13:51:478,14248,14248,0,0,705700,0,1495 99,3,2024-09-07 08:13:50:588,1,13,14,1,26,126,13,0 100,0,2024-09-07 08:13:51:493,4622,2.6,4616,6.2,9285,6.1,12906,3.25 100,1,2024-09-07 08:13:50:549,22484,22484,0,0,10675611203,119375614,21029,1196,259,382,391505,0 100,2,2024-09-07 08:13:51:832,16765,16754,11,0,1607261,0,5417 100,3,2024-09-07 08:13:51:730,1,13,12,7,443,633,13,0 101,0,2024-09-07 08:13:51:737,3475,9.6,3326,7.2,6708,9.5,10109,4.75 101,1,2024-09-07 08:13:50:559,22282,22282,0,0,11199141984,122276195,21321,537,424,371,391531,0 101,2,2024-09-07 08:13:51:763,17533,17533,0,0,1727955,0,4644 101,3,2024-09-07 08:13:50:943,1,13,1,1,448,548,13,0 102,0,2024-09-07 08:13:50:970,4027,1.0,4096,1.0,8122,0.8,10694,2.25 102,1,2024-09-07 08:13:51:143,22755,22755,0,0,10875193708,116581682,22237,508,10,371,391621,0 102,2,2024-09-07 08:13:51:752,16256,16256,0,0,938299,0,1699 102,3,2024-09-07 08:13:51:629,1,13,3,0,410,567,13,0 103,0,2024-09-07 08:13:51:616,2524,0.3,2565,0.5,4915,0.2,6826,1.75 103,1,2024-09-07 08:13:51:639,22721,22721,0,0,10794222342,114083576,22416,258,47,381,391680,0 103,2,2024-09-07 08:13:50:586,16953,16953,0,0,1004196,0,1484 103,3,2024-09-07 08:13:50:757,1,13,5,1,150,226,13,0 104,0,2024-09-07 08:13:51:020,4412,2.1,4436,1.6,8488,2.6,11795,2.25 104,1,2024-09-07 08:13:51:613,22545,22545,0,0,10920963855,120395108,21299,932,314,369,391948,0 104,2,2024-09-07 08:13:51:685,13651,13651,0,0,1415692,0,3941 104,3,2024-09-07 08:13:51:427,1,13,23,8,25,199,13,0 105,0,2024-09-07 08:13:51:081,4785,0.8,4627,1.5,9495,1.3,12722,2.50 105,1,2024-09-07 08:13:50:556,22129,22129,0,0,10693616630,119046183,20545,1022,562,367,391797,0 105,2,2024-09-07 08:13:51:347,17511,17511,0,0,1521044,0,3314 105,3,2024-09-07 08:13:51:322,1,13,14,1,169,327,13,0 106,0,2024-09-07 08:13:50:960,2780,0.4,2743,0.8,5603,0.3,7668,2.00 106,1,2024-09-07 08:13:51:756,22770,22770,0,0,10812929261,116069069,22232,534,4,373,391767,0 106,2,2024-09-07 08:13:50:760,17658,17658,0,0,1266800,0,1600 106,3,2024-09-07 08:13:50:678,1,13,1,1,171,202,13,0 107,0,2024-09-07 08:13:51:111,1855,0.3,1833,0.5,3614,0.2,4645,1.75 107,1,2024-09-07 08:13:50:598,22403,22403,0,0,10649550601,115789493,21611,666,126,382,391848,0 107,2,2024-09-07 08:13:51:293,16588,16587,1,0,1274086,0,5024 107,3,2024-09-07 08:13:51:757,1,13,7,1,75,261,13,0 108,0,2024-09-07 08:13:51:857,2157,0.2,2129,0.4,4191,0.2,5676,1.50 108,1,2024-09-07 08:13:51:300,22801,22801,0,0,11025241828,116031343,22292,423,86,372,391563,0 108,2,2024-09-07 08:13:51:761,12574,12574,0,0,575013,0,2051 108,3,2024-09-07 08:13:51:330,1,13,13,6,18,160,13,0 109,0,2024-09-07 08:13:51:830,5493,0.4,5583,0.6,10649,0.4,14761,1.75 109,1,2024-09-07 08:13:50:586,22759,22759,0,0,11438998352,120067143,22577,175,7,386,391492,0 109,2,2024-09-07 08:13:50:932,17739,17739,0,0,717151,0,1922 109,3,2024-09-07 08:13:51:140,1,13,7,1,120,363,13,0 110,0,2024-09-07 08:13:51:775,1512,0.2,1530,0.3,3064,0.1,3898,1.50 110,1,2024-09-07 08:13:51:665,23103,23103,0,0,10799091640,112037438,23003,100,0,371,391562,0 110,2,2024-09-07 08:13:51:304,18898,18898,0,0,902773,0,2234 110,3,2024-09-07 08:13:50:704,1,13,1,1,20,64,13,0 111,0,2024-09-07 08:13:51:421,1933,0.1,1958,0.2,4009,0.1,4926,1.25 111,1,2024-09-07 08:13:51:003,22864,22864,0,0,12046431706,124023779,22850,13,1,383,391597,0 111,2,2024-09-07 08:13:51:121,16361,16361,0,0,646133,0,1130 111,3,2024-09-07 08:13:50:943,1,13,17,1,89,323,13,0 112,0,2024-09-07 08:13:50:929,2303,0.9,2346,0.6,4774,0.2,5876,1.75 112,1,2024-09-07 08:13:50:830,22941,22941,0,0,11001519765,115035779,22877,64,0,382,391512,0 112,2,2024-09-07 08:13:51:135,13170,13170,0,0,539054,0,1331 112,3,2024-09-07 08:13:50:595,1,13,15,1,21,117,13,0 113,0,2024-09-07 08:13:50:874,4425,0.4,4458,0.6,9085,0.4,12506,1.75 113,1,2024-09-07 08:13:51:690,22827,22827,0,0,11236139232,117308903,22581,246,0,368,391661,0 113,2,2024-09-07 08:13:51:317,18100,18100,0,0,761994,0,1597 113,3,2024-09-07 08:13:50:685,1,13,1,1,130,257,13,0 114,0,2024-09-07 08:13:50:881,3017,7.7,3056,6.4,5867,4.6,8299,2.75 114,1,2024-09-07 08:13:50:717,23033,23033,0,0,11456191224,119183156,22836,197,0,383,391513,0 114,2,2024-09-07 08:13:50:873,17801,17801,0,0,714011,0,1841 114,3,2024-09-07 08:13:51:279,1,13,3,1,159,178,13,0 115,0,2024-09-07 08:13:50:582,3434,0.3,3536,0.5,7044,0.2,9065,1.50 115,1,2024-09-07 08:13:50:573,22592,22592,0,0,11025594837,116268881,22133,352,107,384,391495,0 115,2,2024-09-07 08:13:51:132,16537,16537,0,0,669813,0,1535 115,3,2024-09-07 08:13:51:007,1,13,2,1,40,88,13,0 116,0,2024-09-07 08:13:51:739,2998,0.3,2978,0.6,6056,0.2,8029,1.75 116,1,2024-09-07 08:13:50:807,22093,22093,0,0,10580059740,118218295,20950,307,836,384,391495,0 116,2,2024-09-07 08:13:51:766,15848,15848,0,0,953575,0,2154 116,3,2024-09-07 08:13:50:917,1,13,8,2,132,233,13,0 117,0,2024-09-07 08:13:50:978,4158,4.3,4095,2.8,8128,4.7,11436,2.75 117,1,2024-09-07 08:13:51:593,22549,22549,0,0,10744667159,116893687,21652,678,219,372,391523,0 117,2,2024-09-07 08:13:51:139,15288,15288,0,0,1176379,0,3700 117,3,2024-09-07 08:13:51:059,1,13,2,1,490,965,13,0 118,0,2024-09-07 08:13:51:820,4746,2.5,4848,1.7,9885,3.7,13126,3.50 118,1,2024-09-07 08:13:50:589,22695,22695,0,0,10483294355,111325501,22381,313,1,369,391503,0 118,2,2024-09-07 08:13:51:825,17470,17470,0,0,1100815,0,1435 118,3,2024-09-07 08:13:51:765,1,13,3,2,79,251,13,0 119,0,2024-09-07 08:13:51:385,3428,0.4,3511,0.7,7228,0.3,9646,2.00 119,1,2024-09-07 08:13:50:556,22233,22233,0,0,10895741554,117520088,21401,702,130,371,391576,0 119,2,2024-09-07 08:13:51:276,17389,17389,0,0,1125266,0,2222 119,3,2024-09-07 08:13:51:336,1,13,3,1,375,430,13,0 120,0,2024-09-07 08:13:51:728,2013,0.3,1967,0.5,3958,0.2,5177,1.75 120,1,2024-09-07 08:13:50:859,22605,22605,0,0,11244154170,121625076,22013,586,6,373,391702,0 120,2,2024-09-07 08:13:50:772,16681,16680,1,0,2052081,0,5281 120,3,2024-09-07 08:13:51:291,1,13,22,7,241,389,13,0 121,0,2024-09-07 08:13:51:852,3170,0.6,3170,1.0,6300,0.6,8652,2.25 121,1,2024-09-07 08:13:51:660,22001,22001,0,0,10905484536,119636212,21024,741,236,371,391633,0 121,2,2024-09-07 08:13:51:166,12917,12917,0,0,1027346,0,2570 121,3,2024-09-07 08:13:50:730,1,13,12,1,71,165,13,0 122,0,2024-09-07 08:13:51:775,5214,4.7,5114,4.5,10647,5.1,14672,3.00 122,1,2024-09-07 08:13:50:860,22042,22042,0,0,10955748059,121677949,20613,1063,366,369,392130,0 122,2,2024-09-07 08:13:51:321,17648,17648,0,0,1993736,0,2574 122,3,2024-09-07 08:13:50:593,1,13,1,1,226,1031,13,0 123,0,2024-09-07 08:13:50:971,1925,0.3,1858,0.6,3850,0.2,5144,1.75 123,1,2024-09-07 08:13:50:560,22357,22357,0,0,11009121180,123795872,20387,1610,360,371,391708,0 123,2,2024-09-07 08:13:51:022,18122,18121,1,0,1964507,0,5215 123,3,2024-09-07 08:13:51:133,1,13,3,1,160,399,13,0 124,0,2024-09-07 08:13:51:134,1539,0.2,1551,0.2,2990,0.1,3838,1.50 124,1,2024-09-07 08:13:51:084,22838,22838,0,0,10803232319,112101330,22800,38,0,371,392129,0 124,2,2024-09-07 08:13:51:062,16426,16426,0,0,733409,0,2477 124,3,2024-09-07 08:13:50:779,1,13,51,2,60,325,13,0 125,0,2024-09-07 08:13:51:468,1599,0.2,1578,0.4,3330,0.2,4316,1.75 125,1,2024-09-07 08:13:50:859,22796,22796,0,0,10992553848,115342926,22581,207,8,385,391702,0 125,2,2024-09-07 08:13:51:132,12509,12509,0,0,522490,0,1559 125,3,2024-09-07 08:13:51:133,1,13,4,1,93,278,13,0 126,0,2024-09-07 08:13:51:439,5394,0.6,5559,0.7,10765,0.7,14865,1.75 126,1,2024-09-07 08:13:50:558,22901,22901,0,0,10955307559,115009844,22618,277,6,368,391719,0 126,2,2024-09-07 08:13:50:614,18682,18682,0,0,904969,0,1557 126,3,2024-09-07 08:13:50:912,1,13,25,1,45,162,13,0 127,0,2024-09-07 08:13:51:636,1490,0.2,1470,0.3,2998,0.1,3640,1.50 127,1,2024-09-07 08:13:50:570,22807,22807,0,0,10075292862,104282252,22776,30,1,366,391488,0 127,2,2024-09-07 08:13:50:639,18089,18089,0,0,863602,0,1744 127,3,2024-09-07 08:13:51:271,1,13,12,0,99,177,13,0 128,0,2024-09-07 08:13:51:645,2623,0.3,2641,0.6,5291,0.2,6772,1.75 128,1,2024-09-07 08:13:51:611,22684,22684,0,0,11311661834,117979504,22512,171,1,370,391605,0 128,2,2024-09-07 08:13:51:391,15631,15631,0,0,884150,0,1712 128,3,2024-09-07 08:13:50:776,1,13,18,5,20,155,13,0 129,0,2024-09-07 08:13:51:039,3065,0.4,3156,0.6,6249,0.3,7875,2.00 129,1,2024-09-07 08:13:50:573,22775,22775,0,0,11109692681,116911845,22482,278,15,383,391752,0 129,2,2024-09-07 08:13:50:698,14142,14142,0,0,556643,0,2289 129,3,2024-09-07 08:13:50:699,1,13,2,1,82,149,13,0 130,0,2024-09-07 08:13:51:726,4964,1.5,4876,1.4,9742,2.5,13412,2.25 130,1,2024-09-07 08:13:50:597,22789,22789,0,0,11086052123,116456051,22545,243,1,381,391516,0 130,2,2024-09-07 08:13:51:155,16946,16946,0,0,592281,0,1019 130,3,2024-09-07 08:13:51:291,1,13,2,1,66,115,13,0 131,0,2024-09-07 08:13:52:034,3796,3.5,3694,2.6,7631,4.3,10139,3.00 131,1,2024-09-07 08:13:51:825,22931,22931,0,0,11005373456,114858368,22875,55,1,385,391727,0 131,2,2024-09-07 08:13:50:575,17240,17240,0,0,933658,0,1766 131,3,2024-09-07 08:13:51:689,1,13,1,1,23,64,13,0 132,0,2024-09-07 08:13:51:439,4005,0.5,4069,1.1,8084,0.6,10760,2.00 132,1,2024-09-07 08:13:50:655,22333,22333,0,0,10677032227,117880883,21035,1036,262,383,391533,0 132,2,2024-09-07 08:13:50:709,16240,16240,0,0,2556291,0,4606 132,3,2024-09-07 08:13:51:694,1,13,12,5,356,505,13,0 133,0,2024-09-07 08:13:51:602,2505,0.3,2534,0.5,5111,0.2,6755,1.75 133,1,2024-09-07 08:13:50:595,22267,22267,0,0,10742712404,115568032,21517,746,4,385,391492,0 133,2,2024-09-07 08:13:51:124,16969,16969,0,0,997446,0,1707 133,3,2024-09-07 08:13:51:314,1,13,26,1,26,74,13,0 134,0,2024-09-07 08:13:50:977,4380,0.5,4387,1.0,8767,0.5,11818,2.00 134,1,2024-09-07 08:13:50:599,22377,22377,0,0,10642649975,118944915,20879,752,746,366,391508,0 134,2,2024-09-07 08:13:51:767,13688,13688,0,0,705119,0,1739 134,3,2024-09-07 08:13:50:750,1,13,4,1,63,226,13,0 135,0,2024-09-07 08:13:51:137,4559,3.8,4567,3.4,9635,3.2,12836,3.25 135,1,2024-09-07 08:13:51:587,22653,22653,0,0,11246369500,118569570,22288,349,16,383,391491,0 135,2,2024-09-07 08:13:50:687,17520,17520,0,0,1394632,0,3016 135,3,2024-09-07 08:13:51:007,1,13,5,1,68,190,13,0 136,0,2024-09-07 08:13:51:669,2840,0.6,2850,1.0,5594,0.6,7649,2.50 136,1,2024-09-07 08:13:51:444,22594,22594,0,0,11028104907,121516338,21414,1039,141,386,391501,0 136,2,2024-09-07 08:13:51:144,18068,18068,0,0,954998,0,1490 136,3,2024-09-07 08:13:51:120,1,13,22,2,24,161,13,0 137,0,2024-09-07 08:13:51:023,1837,0.3,1882,0.6,3615,0.2,4646,2.00 137,1,2024-09-07 08:13:50:584,22695,22695,0,0,10787934303,116016392,22194,494,7,370,391489,0 137,2,2024-09-07 08:13:51:712,16108,16108,0,0,1550161,0,2294 137,3,2024-09-07 08:13:50:771,1,13,7,1,13,81,13,0 138,0,2024-09-07 08:13:51:758,2093,1.1,2114,1.2,4193,1.2,5649,3.50 138,1,2024-09-07 08:13:51:692,22698,22698,0,0,11040047521,120354508,21662,819,217,371,391572,0 138,2,2024-09-07 08:13:50:593,12224,12224,0,0,1068818,0,3263 138,3,2024-09-07 08:13:50:610,1,13,34,1,34,121,13,0 139,0,2024-09-07 08:13:51:382,5069,6.3,5021,3.6,10195,6.5,14120,4.50 139,1,2024-09-07 08:13:50:605,22196,22196,0,0,10743071183,120976076,20890,825,481,386,391511,0 139,2,2024-09-07 08:13:50:707,18114,18114,0,0,1587129,0,2233 139,3,2024-09-07 08:13:51:669,1,13,104,1,104,241,13,0 140,0,2024-09-07 08:13:51:833,1527,0.1,1540,0.3,3082,0.1,3916,1.50 140,1,2024-09-07 08:13:51:541,22784,22784,0,0,11284664852,117213576,22640,144,0,366,391482,0 140,2,2024-09-07 08:13:50:688,18324,18324,0,0,1107475,0,2609 140,3,2024-09-07 08:13:50:769,1,13,25,2,25,124,13,0 141,0,2024-09-07 08:13:51:713,1926,0.2,1973,0.2,3853,0.1,4927,1.50 141,1,2024-09-07 08:13:50:868,22968,22968,0,0,11175531331,115863588,22889,79,0,382,391532,0 141,2,2024-09-07 08:13:51:688,15860,15860,0,0,772018,0,1869 141,3,2024-09-07 08:13:51:047,1,13,1,0,42,88,13,0 142,0,2024-09-07 08:13:51:340,2370,0.2,2365,0.5,4781,0.2,5858,1.50 142,1,2024-09-07 08:13:50:595,22784,22784,0,0,11014588423,114726186,22772,12,0,385,391493,0 142,2,2024-09-07 08:13:51:301,13073,13073,0,0,609045,0,2000 142,3,2024-09-07 08:13:51:756,1,13,5,1,53,116,13,0 143,0,2024-09-07 08:13:51:400,4380,0.6,4507,0.7,9179,0.6,12278,1.75 143,1,2024-09-07 08:13:50:583,22604,22604,0,0,11086679994,115350951,22428,176,0,370,391492,0 143,2,2024-09-07 08:13:50:792,17764,17764,0,0,993678,0,2572 143,3,2024-09-07 08:13:51:142,1,13,10,1,219,314,13,0 144,0,2024-09-07 08:13:51:511,2627,9.8,2828,10.0,5313,7.5,8185,3.50 144,1,2024-09-07 08:13:50:577,22676,22676,0,0,10846437824,115246391,22257,378,41,383,391557,0 144,2,2024-09-07 08:13:51:771,17687,17687,0,0,773120,0,1278 144,3,2024-09-07 08:13:51:741,1,13,8,2,99,262,13,0 145,0,2024-09-07 08:13:51:379,3389,0.5,3444,0.8,7069,0.4,9023,2.25 145,1,2024-09-07 08:13:50:565,22414,22414,0,0,10665227938,113451338,22196,218,0,384,391603,0 145,2,2024-09-07 08:13:51:437,15850,15850,0,0,1890084,0,3903 145,3,2024-09-07 08:13:50:894,1,13,1,1,151,454,13,0 146,0,2024-09-07 08:13:51:637,3029,0.3,3032,0.6,6061,0.2,8027,1.75 146,1,2024-09-07 08:13:51:599,22345,22345,0,0,11010799312,122213088,20764,1283,298,371,391512,0 146,2,2024-09-07 08:13:51:704,15443,15443,0,0,1146266,0,2149 146,3,2024-09-07 08:13:51:279,1,13,3,0,54,194,13,0 147,0,2024-09-07 08:13:51:715,4048,4.8,3965,3.1,7983,6.1,11575,3.75 147,1,2024-09-07 08:13:51:380,22766,22766,0,0,11012286774,114605385,22653,110,3,370,391791,0 147,2,2024-09-07 08:13:51:011,15694,15694,0,0,727052,0,2526 147,3,2024-09-07 08:13:50:943,1,13,1,1,141,393,13,0 0,0,2024-09-07 08:14:01:740,2135,0.2,2183,0.4,4437,0.2,5741,1.50 0,1,2024-09-07 08:14:00:809,24311,24311,0,0,12028622835,128594480,23796,410,105,373,391658,0 0,2,2024-09-07 08:14:01:080,18104,18104,0,0,672881,0,951 0,3,2024-09-07 08:14:00:979,1,14,14,3,19,170,14,0 1,0,2024-09-07 08:14:01:794,3581,0.8,3539,0.9,7190,1.0,9894,2.00 1,1,2024-09-07 08:14:00:568,24228,24228,0,0,11362709409,119886222,23855,339,34,372,391577,0 1,2,2024-09-07 08:14:00:645,14038,14038,0,0,608587,0,1321 1,3,2024-09-07 08:14:01:302,1,14,1,1,167,321,14,0 2,0,2024-09-07 08:14:01:598,5768,0.6,5892,1.0,11383,0.9,15421,1.75 2,1,2024-09-07 08:14:00:860,24293,24293,0,0,11345969644,118944806,24199,94,0,383,391486,0 2,2,2024-09-07 08:14:01:267,19171,19171,0,0,1464302,0,3304 2,3,2024-09-07 08:14:00:690,1,14,85,1,87,292,14,0 3,0,2024-09-07 08:14:01:755,2185,0.2,2296,0.4,4547,0.1,6164,1.75 3,1,2024-09-07 08:14:01:624,24349,24349,0,0,12131951580,128149833,23948,391,10,383,391494,0 3,2,2024-09-07 08:14:01:147,19303,19303,0,0,999881,0,2192 3,3,2024-09-07 08:14:01:760,1,14,1,1,23,70,14,0 4,0,2024-09-07 08:14:01:845,1684,0.3,1700,0.4,3422,0.2,4393,1.75 4,1,2024-09-07 08:14:00:593,24209,24209,0,0,11559035138,125390145,23214,652,343,374,391680,0 4,2,2024-09-07 08:14:01:021,17450,17450,0,0,1732444,0,4528 4,3,2024-09-07 08:14:01:027,1,14,15,8,47,242,14,0 5,0,2024-09-07 08:14:01:416,1940,0.3,1964,0.5,3807,0.2,5223,1.75 5,1,2024-09-07 08:14:00:757,24197,24197,0,0,11794924409,125521421,23676,430,91,371,392005,0 5,2,2024-09-07 08:14:01:830,13714,13714,0,0,798004,0,1912 5,3,2024-09-07 08:14:01:732,1,14,2,1,47,103,14,0 6,0,2024-09-07 08:14:00:924,5925,0.7,5888,1.0,11840,0.8,15788,2.00 6,1,2024-09-07 08:14:00:750,24441,24441,0,0,11821537976,124204388,24187,250,4,382,391603,0 6,2,2024-09-07 08:14:01:119,19807,19807,0,0,1282125,0,1686 6,3,2024-09-07 08:14:01:274,1,14,1,1,277,509,14,0 7,0,2024-09-07 08:14:01:542,1596,0.2,1582,0.4,3181,0.1,3951,1.50 7,1,2024-09-07 08:14:00:851,24156,24156,0,0,11272869057,120461167,23627,465,64,385,391558,0 7,2,2024-09-07 08:14:00:770,19473,19473,0,0,1325673,0,2981 7,3,2024-09-07 08:14:00:851,1,14,4,1,46,155,14,0 8,0,2024-09-07 08:14:01:336,2849,0.3,2783,0.5,5498,0.2,7131,1.75 8,1,2024-09-07 08:14:01:019,23612,23612,0,0,11528568112,134939807,20881,1499,1232,368,391724,0 8,2,2024-09-07 08:14:00:790,16808,16808,0,0,1659924,0,2986 8,3,2024-09-07 08:14:00:593,1,14,229,8,229,409,14,0 9,0,2024-09-07 08:14:01:134,3196,0.4,3128,0.6,6440,0.3,7866,1.75 9,1,2024-09-07 08:14:00:562,24017,24017,0,0,11660216707,130269131,22419,1120,478,373,391496,0 9,2,2024-09-07 08:14:01:084,14712,14712,0,0,999605,0,1673 9,3,2024-09-07 08:14:01:755,1,14,6,1,50,192,14,0 10,0,2024-09-07 08:14:01:618,5297,0.7,5294,0.7,10587,0.6,14182,2.00 10,1,2024-09-07 08:14:00:585,23943,23943,0,0,11691654648,131972407,21829,1734,380,384,391540,0 10,2,2024-09-07 08:14:00:762,18085,18085,0,0,1875938,0,2940 10,3,2024-09-07 08:14:00:871,1,14,3,0,118,149,14,0 11,0,2024-09-07 08:14:01:015,3545,7.0,3478,4.4,7263,8.2,10348,3.75 11,1,2024-09-07 08:14:00:572,23654,23654,0,0,12005818159,134735637,21713,1206,735,384,391485,0 11,2,2024-09-07 08:14:01:124,18597,18597,0,0,1522718,0,2635 11,3,2024-09-07 08:14:01:297,1,14,4,1,62,202,14,0 12,0,2024-09-07 08:14:00:957,4344,0.3,4295,0.5,8592,0.2,11264,1.75 12,1,2024-09-07 08:14:00:934,24469,24469,0,0,11691682970,122887771,24210,256,3,372,391511,0 12,2,2024-09-07 08:14:01:555,17773,17773,0,0,1245500,0,2254 12,3,2024-09-07 08:14:01:059,1,14,22,2,45,200,14,0 13,0,2024-09-07 08:14:01:428,2838,0.3,2892,0.5,5664,0.2,7712,2.00 13,1,2024-09-07 08:14:01:527,24619,24619,0,0,11977763032,125802614,24417,200,2,385,391563,0 13,2,2024-09-07 08:14:00:600,17645,17645,0,0,740616,0,2239 13,3,2024-09-07 08:14:01:770,1,14,116,1,153,366,14,0 14,0,2024-09-07 08:14:00:572,4766,0.6,4851,0.7,9307,0.6,12542,2.00 14,1,2024-09-07 08:14:01:564,24753,24753,0,0,11773657087,122730427,24474,265,14,365,391481,0 14,2,2024-09-07 08:14:00:765,15225,15225,0,0,816533,0,1934 14,3,2024-09-07 08:14:01:118,1,14,1,1,40,96,14,0 15,0,2024-09-07 08:14:01:564,5039,1.1,5155,1.5,9869,1.0,13739,3.50 15,1,2024-09-07 08:14:01:615,24513,24513,0,0,11705345235,122238671,24416,97,0,381,391481,0 15,2,2024-09-07 08:14:01:002,19351,19351,0,0,623639,0,993 15,3,2024-09-07 08:14:01:411,1,14,1,0,538,876,14,0 16,0,2024-09-07 08:14:00:966,3096,0.3,3077,0.5,6283,0.2,8492,2.00 16,1,2024-09-07 08:14:00:575,24500,24500,0,0,11169195565,118373844,24068,429,3,373,391543,0 16,2,2024-09-07 08:14:01:452,18646,18646,0,0,1465512,0,4719 16,3,2024-09-07 08:14:01:151,1,14,19,9,231,474,14,0 17,0,2024-09-07 08:14:01:903,2058,0.2,2068,0.4,3939,0.1,5115,1.75 17,1,2024-09-07 08:14:00:570,24360,24360,0,0,11992647913,127655992,23814,410,136,373,391671,0 17,2,2024-09-07 08:14:01:687,18143,18143,0,0,985297,0,1865 17,3,2024-09-07 08:14:00:574,1,14,16,1,26,141,14,0 18,0,2024-09-07 08:14:00:957,2346,0.3,2324,0.5,4760,0.2,6259,2.00 18,1,2024-09-07 08:14:01:645,24304,24304,0,0,11436623672,120022511,24196,106,2,370,391497,0 18,2,2024-09-07 08:14:01:760,13614,13614,0,0,834918,0,2688 18,3,2024-09-07 08:14:00:896,1,14,4,1,142,223,14,0 19,0,2024-09-07 08:14:01:550,5833,1.0,5874,1.0,11501,1.5,15382,2.25 19,1,2024-09-07 08:14:00:570,24568,24568,0,0,11520976226,120974659,24271,272,25,370,391512,0 19,2,2024-09-07 08:14:01:760,19669,19669,0,0,881460,0,1669 19,3,2024-09-07 08:14:01:129,1,14,1,1,29,56,14,0 20,0,2024-09-07 08:14:01:365,1727,0.2,1755,0.4,3408,0.2,4356,1.50 20,1,2024-09-07 08:14:00:585,24267,24267,0,0,12388496532,130745300,23898,368,1,373,391488,0 20,2,2024-09-07 08:14:00:936,19839,19839,0,0,1237201,0,1616 20,3,2024-09-07 08:14:00:594,1,14,9,1,99,288,14,0 21,0,2024-09-07 08:14:01:150,2145,0.2,2122,0.4,4150,0.2,5192,1.75 21,1,2024-09-07 08:14:01:537,24285,24285,0,0,11348741555,121447497,23706,554,25,368,391962,0 21,2,2024-09-07 08:14:01:068,17614,17614,0,0,1229166,0,3747 21,3,2024-09-07 08:14:01:411,1,14,3,1,30,158,14,0 22,0,2024-09-07 08:14:01:731,2442,0.3,2583,0.8,4983,0.3,6207,1.75 22,1,2024-09-07 08:14:01:024,24237,24237,0,0,11749555466,129524831,22914,1016,307,382,391667,0 22,2,2024-09-07 08:14:00:765,14031,14031,0,0,716178,0,1503 22,3,2024-09-07 08:14:01:067,1,14,1,0,48,108,14,0 23,0,2024-09-07 08:14:01:395,4877,0.4,5060,0.6,9910,0.4,13898,1.75 23,1,2024-09-07 08:14:01:006,24718,24718,0,0,12362610886,128839643,24579,139,0,369,391480,0 23,2,2024-09-07 08:14:01:103,19730,19730,0,0,1028812,0,2078 23,3,2024-09-07 08:14:01:757,1,14,1,0,141,192,14,0 24,0,2024-09-07 08:14:00:834,3374,1.6,3359,1.8,6762,2.7,8658,2.00 24,1,2024-09-07 08:14:00:585,24301,24301,0,0,11496750587,123402335,23813,485,3,371,391540,0 24,2,2024-09-07 08:14:01:076,18743,18743,0,0,2032217,0,2942 24,3,2024-09-07 08:14:01:695,1,14,234,2,234,386,14,0 25,0,2024-09-07 08:14:01:365,3671,0.3,3669,0.5,6978,0.2,9213,1.75 25,1,2024-09-07 08:14:00:574,24305,24305,0,0,11497354692,123495229,23849,455,1,373,391484,0 25,2,2024-09-07 08:14:01:612,17509,17509,0,0,1394843,0,1467 25,3,2024-09-07 08:14:01:002,1,14,17,1,50,231,14,0 26,0,2024-09-07 08:14:01:729,3238,0.2,3155,0.5,6572,0.2,8550,1.50 26,1,2024-09-07 08:14:01:542,23655,23655,0,0,11116325134,127221042,21606,1352,697,383,391496,0 26,2,2024-09-07 08:14:00:861,16272,16272,0,0,1757384,0,2809 26,3,2024-09-07 08:14:01:720,1,14,1,1,796,861,14,0 27,0,2024-09-07 08:14:01:734,4571,0.6,4552,0.8,9228,0.6,12757,1.75 27,1,2024-09-07 08:14:01:678,24806,24806,0,0,12305578217,128621694,24710,95,1,381,391526,0 27,2,2024-09-07 08:14:00:873,16403,16403,0,0,2497556,0,3146 27,3,2024-09-07 08:14:01:016,1,14,4,1,86,146,14,0 28,0,2024-09-07 08:14:01:408,5061,2.6,5050,1.9,10205,4.0,13515,2.25 28,1,2024-09-07 08:14:00:798,24707,24707,0,0,12097535697,126147814,24647,60,0,383,391488,0 28,2,2024-09-07 08:14:01:765,18906,18906,0,0,887181,0,2177 28,3,2024-09-07 08:14:01:777,1,14,8,8,20,159,14,0 29,0,2024-09-07 08:14:01:364,3983,0.3,3949,0.6,7638,0.3,10442,2.00 29,1,2024-09-07 08:14:01:567,24634,24634,0,0,12032241649,126206994,24143,319,172,371,391621,0 29,2,2024-09-07 08:14:00:862,18308,18308,0,0,766136,0,2026 29,3,2024-09-07 08:14:00:964,1,14,105,1,105,222,14,0 30,0,2024-09-07 08:14:01:479,2193,0.2,2140,0.5,4320,0.1,5720,1.75 30,1,2024-09-07 08:14:00:583,24246,24246,0,0,12127783847,127257652,24103,142,1,382,391524,0 30,2,2024-09-07 08:14:01:275,18061,18061,0,0,676699,0,1125 30,3,2024-09-07 08:14:00:584,1,14,1,0,110,144,14,0 31,0,2024-09-07 08:14:01:781,3567,0.5,3637,0.7,7291,0.4,9998,2.00 31,1,2024-09-07 08:14:00:573,24426,24426,0,0,11840917289,121673016,24408,18,0,356,391478,0 31,2,2024-09-07 08:14:01:276,14439,14439,0,0,848289,0,1593 31,3,2024-09-07 08:14:01:708,1,14,1,0,8,35,14,0 32,0,2024-09-07 08:14:01:437,5770,0.4,5854,0.7,11716,0.3,15364,1.50 32,1,2024-09-07 08:14:00:807,24432,24432,0,0,11533944415,120283360,24369,61,2,383,391488,0 32,2,2024-09-07 08:14:00:941,19511,19511,0,0,941159,0,1970 32,3,2024-09-07 08:14:01:019,1,14,8,1,37,159,14,0 33,0,2024-09-07 08:14:01:510,2287,0.1,2283,0.3,4442,0.1,6142,1.50 33,1,2024-09-07 08:14:00:582,24356,24356,0,0,11923860560,123954874,24135,210,11,369,391482,0 33,2,2024-09-07 08:14:00:769,19216,19216,0,0,1443188,0,3364 33,3,2024-09-07 08:14:00:899,1,14,6,1,27,87,14,0 34,0,2024-09-07 08:14:00:940,1682,0.1,1727,0.2,3391,0.1,4303,1.25 34,1,2024-09-07 08:14:01:046,24641,24641,0,0,11916169660,124009930,24514,127,0,369,391481,0 34,2,2024-09-07 08:14:00:766,17670,17670,0,0,945345,0,2070 34,3,2024-09-07 08:14:01:690,1,14,23,0,46,94,14,0 35,0,2024-09-07 08:14:00:872,1924,0.2,1961,0.3,3765,0.1,5247,1.50 35,1,2024-09-07 08:14:01:068,24369,24369,0,0,11735430379,123266840,23871,397,101,385,391481,0 35,2,2024-09-07 08:14:01:584,13850,13850,0,0,720939,0,2276 35,3,2024-09-07 08:14:00:907,1,14,24,1,219,337,14,0 36,0,2024-09-07 08:14:01:573,5799,1.4,5822,1.4,11768,1.4,15846,2.75 36,1,2024-09-07 08:14:00:587,23917,23917,0,0,11156980322,125007679,21977,1184,756,370,391535,0 36,2,2024-09-07 08:14:01:762,19698,19698,0,0,1119151,0,1272 36,3,2024-09-07 08:14:00:869,1,14,8,1,54,222,14,0 37,0,2024-09-07 08:14:01:382,1596,0.2,1619,0.4,3143,0.1,3979,1.50 37,1,2024-09-07 08:14:00:575,23539,23532,0,7,11662916570,134882312,21408,617,1507,368,391507,0 37,2,2024-09-07 08:14:01:146,19823,19823,0,0,1066857,0,1448 37,3,2024-09-07 08:14:01:769,1,14,4,0,31,81,14,0 38,0,2024-09-07 08:14:01:446,2803,0.5,2708,0.6,5625,0.3,7130,2.25 38,1,2024-09-07 08:14:01:606,24793,24793,0,0,12139034440,127677775,24546,246,1,371,391512,0 38,2,2024-09-07 08:14:00:760,17260,17260,0,0,1350243,0,3245 38,3,2024-09-07 08:14:00:999,1,14,2,0,36,94,14,0 39,0,2024-09-07 08:14:01:773,3268,0.3,3189,0.6,6264,0.2,7865,1.75 39,1,2024-09-07 08:14:00:721,24153,24153,0,0,11761419701,126647674,23129,606,418,366,391480,0 39,2,2024-09-07 08:14:01:418,14897,14897,0,0,816810,0,1786 39,3,2024-09-07 08:14:00:719,1,14,77,1,189,341,14,0 40,0,2024-09-07 08:14:01:502,4916,2.1,5130,4.4,10195,5.0,13915,4.00 40,1,2024-09-07 08:14:00:594,24088,24088,0,0,11222000254,124562383,22601,1040,447,371,391496,0 40,2,2024-09-07 08:14:01:303,18297,18296,1,0,1953658,0,5137 40,3,2024-09-07 08:14:01:145,1,14,20,2,21,155,14,0 41,0,2024-09-07 08:14:01:046,3176,9.6,3414,10.0,6387,10.0,9096,8.25 41,1,2024-09-07 08:14:00:769,24268,24268,0,0,11803665786,128304742,23142,934,192,371,391483,0 41,2,2024-09-07 08:14:00:759,18566,18566,0,0,2031690,0,3325 41,3,2024-09-07 08:14:01:676,1,14,4,1,7,34,14,0 42,0,2024-09-07 08:14:01:488,4246,0.7,4217,1.0,8522,0.6,11305,2.75 42,1,2024-09-07 08:14:01:442,23792,23792,0,0,11834311740,133333114,22013,1097,682,381,391489,0 42,2,2024-09-07 08:14:01:154,17241,17241,0,0,1465541,0,1746 42,3,2024-09-07 08:14:01:008,1,14,100,1,100,187,14,0 43,0,2024-09-07 08:14:00:931,2757,0.4,2789,0.7,5808,0.2,7571,2.00 43,1,2024-09-07 08:14:00:592,23874,23874,0,0,12062509566,132281908,22486,797,591,368,391479,0 43,2,2024-09-07 08:14:01:741,17462,17462,0,0,1655482,0,3812 43,3,2024-09-07 08:14:01:749,1,14,1,1,292,683,14,0 44,0,2024-09-07 08:14:00:917,4719,0.5,4630,0.9,9298,0.5,12496,2.00 44,1,2024-09-07 08:14:00:568,24551,24551,0,0,11305285224,117869167,24314,229,8,358,391493,0 44,2,2024-09-07 08:14:01:281,15477,15477,0,0,547663,0,1004 44,3,2024-09-07 08:14:01:094,1,14,15,1,32,193,14,0 45,0,2024-09-07 08:14:01:804,5052,1.4,4988,1.5,10301,4.0,14465,2.50 45,1,2024-09-07 08:14:01:006,24317,24317,0,0,12067645971,125869157,24194,123,0,382,391510,0 45,2,2024-09-07 08:14:01:277,19339,19339,0,0,850437,0,2038 45,3,2024-09-07 08:14:00:938,1,14,17,1,226,325,14,0 46,0,2024-09-07 08:14:00:968,3167,0.3,3101,0.5,6310,0.2,8509,1.75 46,1,2024-09-07 08:14:00:582,24820,24820,0,0,11769933108,121400698,24809,10,1,370,391514,0 46,2,2024-09-07 08:14:00:595,19000,19000,0,0,1025690,0,2835 46,3,2024-09-07 08:14:01:136,1,14,5,1,200,410,14,0 47,0,2024-09-07 08:14:01:126,1955,0.2,2005,0.4,3963,0.1,5065,1.50 47,1,2024-09-07 08:14:00:714,24792,24792,0,0,11332658545,117240634,24751,40,1,368,391481,0 47,2,2024-09-07 08:14:00:908,18185,18185,0,0,988539,0,2558 47,3,2024-09-07 08:14:01:118,1,14,6,1,25,75,14,0 48,0,2024-09-07 08:14:01:509,2396,0.1,2371,0.3,4687,0.1,6305,1.50 48,1,2024-09-07 08:14:01:033,24132,24132,0,0,11777573757,123847849,23837,295,0,386,391516,0 48,2,2024-09-07 08:14:00:703,13865,13865,0,0,541962,0,1521 48,3,2024-09-07 08:14:00:753,1,14,13,1,21,132,14,0 49,0,2024-09-07 08:14:01:774,5947,0.4,5932,0.6,11248,0.4,15757,1.75 49,1,2024-09-07 08:14:01:048,24741,24741,0,0,11969025473,124950366,24622,119,0,382,391583,0 49,2,2024-09-07 08:14:01:799,19635,19635,0,0,1069010,0,2196 49,3,2024-09-07 08:14:01:417,1,14,6,1,274,405,14,0 50,0,2024-09-07 08:14:01:546,1683,0.1,1715,0.2,3372,0.1,4349,1.25 50,1,2024-09-07 08:14:01:030,24440,24440,0,0,12110724140,127338353,23767,492,181,370,391530,0 50,2,2024-09-07 08:14:01:067,19923,19923,0,0,764278,0,2034 50,3,2024-09-07 08:14:01:296,1,14,2,1,335,370,14,0 51,0,2024-09-07 08:14:01:702,2118,0.1,2091,0.2,4102,0.1,5186,1.50 51,1,2024-09-07 08:14:01:687,24588,24588,0,0,12770154950,132108073,24379,176,33,367,391504,0 51,2,2024-09-07 08:14:01:318,17204,17204,0,0,600161,0,1936 51,3,2024-09-07 08:14:01:027,1,14,19,0,162,233,14,0 52,0,2024-09-07 08:14:01:426,2421,0.9,2445,1.4,4969,1.2,6014,2.75 52,1,2024-09-07 08:14:00:576,23952,23952,0,0,11453325018,125065138,22944,814,194,371,391498,0 52,2,2024-09-07 08:14:01:760,13945,13945,0,0,1294176,0,4779 52,3,2024-09-07 08:14:00:674,1,14,15,6,21,166,14,0 53,0,2024-09-07 08:14:01:740,4995,2.5,4793,1.9,9920,3.1,13605,3.25 53,1,2024-09-07 08:14:00:777,24184,24184,0,0,12202809472,133128954,22860,898,426,373,391523,0 53,2,2024-09-07 08:14:01:298,19570,19570,0,0,1016106,0,1520 53,3,2024-09-07 08:14:00:697,1,14,23,1,28,72,14,0 54,0,2024-09-07 08:14:01:622,2484,10.0,2377,10.0,4895,7.1,7480,6.50 54,1,2024-09-07 08:14:00:589,24428,24428,0,0,12122722527,128673721,23700,694,34,370,391520,0 54,2,2024-09-07 08:14:00:873,18931,18925,6,0,1827378,0,5382 54,3,2024-09-07 08:14:00:763,1,14,2,1,98,184,14,0 55,0,2024-09-07 08:14:01:787,3542,0.9,3669,1.1,7318,0.7,9173,2.75 55,1,2024-09-07 08:14:00:765,24110,24110,0,0,11522712520,125027477,22692,923,495,369,391660,0 55,2,2024-09-07 08:14:00:730,17369,17369,0,0,1122019,0,2129 55,3,2024-09-07 08:14:00:674,1,14,2,0,24,71,14,0 56,0,2024-09-07 08:14:01:593,3242,2.3,3058,1.8,6355,2.5,8291,4.50 56,1,2024-09-07 08:14:00:571,24001,24001,0,0,12430725175,138092742,22339,1134,528,386,391536,0 56,2,2024-09-07 08:14:01:321,16225,16225,0,0,1605670,0,3567 56,3,2024-09-07 08:14:01:063,1,14,3,2,20,134,14,0 57,0,2024-09-07 08:14:00:948,4244,5.6,4175,3.4,8445,7.1,11872,6.25 57,1,2024-09-07 08:14:00:987,24615,24615,0,0,11733732918,125102242,24334,279,2,371,391505,0 57,2,2024-09-07 08:14:01:316,16825,16825,0,0,1476640,0,2687 57,3,2024-09-07 08:14:01:743,1,14,1,1,359,440,14,0 58,0,2024-09-07 08:14:00:567,4296,8.5,4168,4.0,8673,10.0,11564,7.50 58,1,2024-09-07 08:14:00:601,24024,24024,0,0,11826571739,128882303,22806,1061,157,372,391482,0 58,2,2024-09-07 08:14:01:078,18842,18842,0,0,1302069,0,2464 58,3,2024-09-07 08:14:01:069,1,14,4,1,80,141,14,0 59,0,2024-09-07 08:14:01:747,3854,1.8,3873,1.6,7704,2.1,9995,3.75 59,1,2024-09-07 08:14:00:812,24428,24428,0,0,11953046565,127125551,23989,436,3,372,391515,0 59,2,2024-09-07 08:14:00:587,18009,18009,0,0,1232644,0,1708 59,3,2024-09-07 08:14:01:737,1,14,4,1,20,75,14,0 60,0,2024-09-07 08:14:01:721,2159,0.2,2228,0.4,4296,0.1,5722,1.50 60,1,2024-09-07 08:14:00:786,24812,24812,0,0,12027326621,124596279,24735,75,2,370,391672,0 60,2,2024-09-07 08:14:01:159,18166,18166,0,0,994444,0,2142 60,3,2024-09-07 08:14:01:259,1,14,23,2,23,185,14,0 61,0,2024-09-07 08:14:01:509,3577,1.8,3579,1.3,7055,3.5,9854,2.00 61,1,2024-09-07 08:14:00:781,24274,24274,0,0,11746443480,126674430,23292,639,343,383,391560,0 61,2,2024-09-07 08:14:01:120,14492,14492,0,0,756757,0,1601 61,3,2024-09-07 08:14:01:695,1,14,5,1,72,111,14,0 62,0,2024-09-07 08:14:01:715,5733,0.3,5944,0.6,11495,0.3,15354,1.75 62,1,2024-09-07 08:14:01:112,24548,24547,0,1,12085245200,125567855,24316,229,2,368,391586,1 62,2,2024-09-07 08:14:01:652,19138,19138,0,0,990495,0,2030 62,3,2024-09-07 08:14:01:145,1,14,29,1,29,94,14,0 63,0,2024-09-07 08:14:01:464,2295,0.3,2296,0.5,4463,0.2,6148,1.75 63,1,2024-09-07 08:14:00:807,24884,24883,0,1,12046351001,125296632,24740,142,1,382,391489,1 63,2,2024-09-07 08:14:00:763,19208,19208,0,0,1058525,0,2079 63,3,2024-09-07 08:14:01:742,1,14,1,1,216,466,14,0 64,0,2024-09-07 08:14:01:549,1681,0.1,1654,0.3,3377,0.1,4315,1.50 64,1,2024-09-07 08:14:00:755,24689,24689,0,0,12158510110,128255671,24016,618,55,371,391604,0 64,2,2024-09-07 08:14:01:155,17919,17919,0,0,878362,0,2510 64,3,2024-09-07 08:14:01:147,1,14,12,1,20,150,14,0 65,0,2024-09-07 08:14:01:733,1927,0.2,1922,0.3,3795,0.1,5239,1.50 65,1,2024-09-07 08:14:00:861,24442,24442,0,0,11296699249,119686678,23997,438,7,382,391485,0 65,2,2024-09-07 08:14:01:719,13572,13572,0,0,627121,0,2374 65,3,2024-09-07 08:14:01:692,1,14,22,1,122,328,14,0 66,0,2024-09-07 08:14:01:776,5707,0.3,5775,0.5,11737,0.2,15943,1.50 66,1,2024-09-07 08:14:01:294,24980,24980,0,0,12211757406,127135182,24680,284,16,382,391537,0 66,2,2024-09-07 08:14:01:137,19774,19774,0,0,1002982,0,2934 66,3,2024-09-07 08:14:01:081,1,14,1,1,45,87,14,0 67,0,2024-09-07 08:14:01:429,1603,0.1,1611,0.3,3177,0.1,3972,1.25 67,1,2024-09-07 08:14:00:768,24621,24620,0,1,11865518829,123569712,24541,79,0,383,391567,1 67,2,2024-09-07 08:14:00:595,19628,19628,0,0,1056697,0,2104 67,3,2024-09-07 08:14:01:755,1,14,2,1,43,74,14,0 68,0,2024-09-07 08:14:00:578,2774,0.4,2744,0.8,5596,0.2,7131,2.00 68,1,2024-09-07 08:14:00:571,23996,23996,0,0,11315941187,123630890,23116,434,446,385,391489,0 68,2,2024-09-07 08:14:01:045,17424,17424,0,0,1486022,0,4883 68,3,2024-09-07 08:14:00:742,1,14,7,1,21,145,14,0 69,0,2024-09-07 08:14:01:737,3216,0.8,3129,1.0,6222,0.9,7908,2.25 69,1,2024-09-07 08:14:01:017,23607,23607,0,0,11406046529,128475584,22285,460,862,387,391483,0 69,2,2024-09-07 08:14:01:741,14883,14883,0,0,840823,0,2462 69,3,2024-09-07 08:14:00:765,1,14,36,0,36,106,14,0 70,0,2024-09-07 08:14:01:540,5072,5.3,5052,5.9,10305,1.9,14071,4.25 70,1,2024-09-07 08:14:00:804,24483,24483,0,0,12105450165,127003542,24243,223,17,369,391527,0 70,2,2024-09-07 08:14:01:330,18590,18590,0,0,1088896,0,1937 70,3,2024-09-07 08:14:00:749,1,14,5,1,69,105,14,0 71,0,2024-09-07 08:14:01:392,3326,10.0,3296,10.0,6373,10.0,9493,7.50 71,1,2024-09-07 08:14:01:601,24471,24471,0,0,12199350451,130168720,23757,589,125,370,391584,0 71,2,2024-09-07 08:14:01:066,19068,19068,0,0,1280604,0,2146 71,3,2024-09-07 08:14:01:761,1,14,5,1,174,349,14,0 72,0,2024-09-07 08:14:01:052,4395,0.5,4301,0.8,8481,0.4,11318,3.25 72,1,2024-09-07 08:14:01:026,24243,24243,0,0,11546803331,124530034,23491,749,3,372,391514,0 72,2,2024-09-07 08:14:01:759,16996,16996,0,0,1813501,0,2570 72,3,2024-09-07 08:14:01:758,1,14,8,1,20,150,14,0 73,0,2024-09-07 08:14:01:153,2835,0.4,2832,0.6,5696,0.2,7660,2.50 73,1,2024-09-07 08:14:00:787,24557,24557,0,0,11556984886,122262413,24438,118,1,370,391495,0 73,2,2024-09-07 08:14:01:742,17488,17488,0,0,1672396,0,3482 73,3,2024-09-07 08:14:00:977,1,14,1,0,137,313,14,0 74,0,2024-09-07 08:14:01:365,4693,1.6,4732,1.4,9226,1.9,12540,3.25 74,1,2024-09-07 08:14:00:641,24289,24289,0,0,11273226046,119847184,23991,296,2,384,391494,0 74,2,2024-09-07 08:14:01:004,15416,15416,0,0,1334174,0,2736 74,3,2024-09-07 08:14:01:442,1,14,1,0,192,669,14,0 75,0,2024-09-07 08:14:01:775,5144,2.1,5216,1.8,10299,4.1,14374,4.00 75,1,2024-09-07 08:14:01:586,24412,24412,0,0,11569505916,124727867,23792,569,51,383,391508,0 75,2,2024-09-07 08:14:01:356,18486,18486,0,0,2177518,0,4766 75,3,2024-09-07 08:14:01:076,1,14,4,1,38,70,14,0 76,0,2024-09-07 08:14:00:589,3149,0.3,3207,0.6,6143,0.2,8473,1.75 76,1,2024-09-07 08:14:00:806,24471,24471,0,0,11312707476,118104458,24457,14,0,384,391530,0 76,2,2024-09-07 08:14:01:061,19476,19476,0,0,734086,0,1138 76,3,2024-09-07 08:14:01:145,1,14,12,1,140,314,14,0 77,0,2024-09-07 08:14:01:717,2028,0.2,2023,0.4,3938,0.1,5097,1.50 77,1,2024-09-07 08:14:00:825,24063,24063,0,0,11785436229,123831931,23823,232,8,383,391512,0 77,2,2024-09-07 08:14:01:295,18154,18154,0,0,744753,0,1637 77,3,2024-09-07 08:14:01:095,1,14,9,0,29,62,14,0 78,0,2024-09-07 08:14:01:733,2361,0.4,2384,0.6,4736,0.3,6268,2.00 78,1,2024-09-07 08:14:00:611,24696,24696,0,0,11685856900,122098906,24511,185,0,370,391540,0 78,2,2024-09-07 08:14:01:415,14041,14041,0,0,470248,0,1428 78,3,2024-09-07 08:14:01:144,1,14,10,0,112,308,14,0 79,0,2024-09-07 08:14:01:366,5729,0.4,5860,0.6,11775,0.5,15644,2.00 79,1,2024-09-07 08:14:00:572,24725,24725,0,0,12195845241,128002062,24346,373,6,372,391486,0 79,2,2024-09-07 08:14:01:068,19554,19554,0,0,753464,0,1314 79,3,2024-09-07 08:14:00:753,1,14,289,1,289,344,14,0 80,0,2024-09-07 08:14:01:092,1658,0.2,1737,0.3,3394,0.1,4364,1.50 80,1,2024-09-07 08:14:01:628,24382,24382,0,0,11832813780,123694155,23984,397,1,374,391673,0 80,2,2024-09-07 08:14:01:091,19577,19577,0,0,972471,0,1679 80,3,2024-09-07 08:14:00:581,1,14,10,1,72,316,14,0 81,0,2024-09-07 08:14:01:581,2106,0.2,2144,0.3,4087,0.1,5207,1.50 81,1,2024-09-07 08:14:01:657,24466,24466,0,0,11483398103,121017356,24143,316,7,383,391646,0 81,2,2024-09-07 08:14:01:132,17066,17066,0,0,985410,0,2811 81,3,2024-09-07 08:14:01:126,1,14,6,1,39,110,14,0 82,0,2024-09-07 08:14:01:541,2411,0.2,2356,0.4,4881,0.2,6192,1.50 82,1,2024-09-07 08:14:00:654,24395,24394,0,1,11537103020,120747267,24185,203,6,385,391529,1 82,2,2024-09-07 08:14:01:697,14063,14063,0,0,559014,0,1286 82,3,2024-09-07 08:14:01:759,1,14,18,1,65,222,14,0 83,0,2024-09-07 08:14:01:538,5046,0.4,5018,0.6,9983,0.4,13763,1.75 83,1,2024-09-07 08:14:00:554,24276,24276,0,0,11749074038,125134494,23618,579,79,384,391553,0 83,2,2024-09-07 08:14:00:765,19294,19294,0,0,1041985,0,2512 83,3,2024-09-07 08:14:00:753,1,14,15,1,26,76,14,0 84,0,2024-09-07 08:14:01:785,2727,10.0,2703,10.0,5400,6.4,8140,6.25 84,1,2024-09-07 08:14:01:040,24424,24424,0,0,11467678623,124373305,23435,750,239,370,391538,0 84,2,2024-09-07 08:14:00:655,18567,18567,0,0,1677966,0,3801 84,3,2024-09-07 08:14:01:144,1,14,9,2,13,130,14,0 85,0,2024-09-07 08:14:01:048,3530,0.5,3530,0.7,7380,0.4,9268,2.00 85,1,2024-09-07 08:14:00:601,23721,23721,0,0,11766654427,129232729,22364,1066,291,384,391505,0 85,2,2024-09-07 08:14:00:891,16858,16858,0,0,1481814,0,3656 85,3,2024-09-07 08:14:00:696,1,14,1,1,88,128,14,0 86,0,2024-09-07 08:14:00:910,3129,0.3,3306,0.5,6393,0.2,8524,1.75 86,1,2024-09-07 08:14:00:826,24188,24188,0,0,11808555821,126324853,23436,724,28,369,391506,0 86,2,2024-09-07 08:14:00:861,16106,16105,1,0,1435209,0,5004 86,3,2024-09-07 08:14:00:598,1,14,2,1,32,132,14,0 87,0,2024-09-07 08:14:01:314,4506,4.1,4463,2.6,9050,4.6,12595,2.25 87,1,2024-09-07 08:14:00:553,24230,24230,0,0,11181247522,119980656,23587,595,48,369,391551,0 87,2,2024-09-07 08:14:01:068,16690,16690,0,0,840534,0,2148 87,3,2024-09-07 08:14:01:797,1,14,2,1,322,601,14,0 88,0,2024-09-07 08:14:01:528,5035,3.2,5064,2.0,10129,4.3,13493,2.75 88,1,2024-09-07 08:14:00:584,24290,24290,0,0,11691833497,126803845,23439,759,92,369,391747,0 88,2,2024-09-07 08:14:00:693,18871,18871,0,0,1644874,0,2675 88,3,2024-09-07 08:14:01:267,1,14,14,2,28,150,14,0 89,0,2024-09-07 08:14:01:793,4026,0.6,3931,0.8,7737,0.6,10538,2.00 89,1,2024-09-07 08:14:00:589,23811,23811,0,0,12007682031,132074997,22763,541,507,384,391549,0 89,2,2024-09-07 08:14:01:138,18138,18138,0,0,1618587,0,2726 89,3,2024-09-07 08:14:01:799,1,14,5,2,116,268,14,0 90,0,2024-09-07 08:14:01:721,2184,0.3,2133,0.5,4490,0.2,5774,1.75 90,1,2024-09-07 08:14:00:598,24294,24294,0,0,12063473630,133251193,22844,1327,123,383,391522,0 90,2,2024-09-07 08:14:01:439,17766,17766,0,0,1609455,0,2635 90,3,2024-09-07 08:14:00:930,1,14,8,1,10,64,14,0 91,0,2024-09-07 08:14:00:962,3662,0.8,3497,0.9,7305,0.9,9965,2.00 91,1,2024-09-07 08:14:00:583,24221,24221,0,0,11945301374,130229247,23110,944,167,385,391914,0 91,2,2024-09-07 08:14:01:331,14105,14105,0,0,867929,0,1997 91,3,2024-09-07 08:14:00:607,1,14,155,1,155,321,14,0 92,0,2024-09-07 08:14:01:495,5816,0.3,5866,0.5,11523,0.4,15409,1.75 92,1,2024-09-07 08:14:00:595,24499,24499,0,0,11992228991,125894539,24200,253,46,383,391569,0 92,2,2024-09-07 08:14:01:359,19917,19917,0,0,1153232,0,2279 92,3,2024-09-07 08:14:01:017,1,14,22,2,22,183,14,0 93,0,2024-09-07 08:14:00:968,2203,0.2,2233,0.4,4368,0.2,5972,2.00 93,1,2024-09-07 08:14:00:811,24594,24594,0,0,11914890326,124075995,24481,110,3,368,391689,0 93,2,2024-09-07 08:14:00:929,19543,19543,0,0,786059,0,1122 93,3,2024-09-07 08:14:01:411,1,14,2,1,143,247,14,0 94,0,2024-09-07 08:14:01:636,1710,0.2,1687,0.3,3395,0.1,4382,1.50 94,1,2024-09-07 08:14:00:589,24152,24152,0,0,12272976608,129149681,23859,288,5,383,391554,0 94,2,2024-09-07 08:14:00:781,17588,17588,0,0,951170,0,1446 94,3,2024-09-07 08:14:01:691,1,14,7,1,231,459,14,0 95,0,2024-09-07 08:14:01:366,1921,0.3,1891,0.4,3889,0.2,5121,2.00 95,1,2024-09-07 08:14:00:858,24386,24386,0,0,11696343944,121911489,24325,57,4,369,391590,0 95,2,2024-09-07 08:14:01:017,13517,13517,0,0,436464,0,943 95,3,2024-09-07 08:14:01:725,1,14,19,1,45,116,14,0 96,0,2024-09-07 08:14:01:035,5973,0.4,5891,0.5,11813,0.4,16046,1.75 96,1,2024-09-07 08:14:01:604,24635,24635,0,0,11713123968,123375752,24467,167,1,385,391551,0 96,2,2024-09-07 08:14:01:268,19815,19815,0,0,821862,0,1251 96,3,2024-09-07 08:14:01:143,1,14,10,7,30,192,14,0 97,0,2024-09-07 08:14:01:316,1640,0.2,1583,0.3,3209,0.1,3973,1.50 97,1,2024-09-07 08:14:00:771,24716,24716,0,0,12361463708,128417762,24445,265,6,367,391530,0 97,2,2024-09-07 08:14:00:617,19421,19421,0,0,859424,0,1663 97,3,2024-09-07 08:14:00:574,1,14,2,1,77,143,14,0 98,0,2024-09-07 08:14:01:716,2812,0.2,2765,0.4,5539,0.2,7147,1.50 98,1,2024-09-07 08:14:00:572,24396,24396,0,0,11860958563,123804069,24317,78,1,384,391588,0 98,2,2024-09-07 08:14:00:770,17406,17406,0,0,889513,0,2410 98,3,2024-09-07 08:14:00:701,1,14,11,1,155,218,14,0 99,0,2024-09-07 08:14:01:479,3131,0.2,3123,0.4,6242,0.2,7884,1.50 99,1,2024-09-07 08:14:01:725,25003,25003,0,0,11080390222,115689675,24935,65,3,383,391519,0 99,2,2024-09-07 08:14:01:420,14951,14951,0,0,735051,0,1495 99,3,2024-09-07 08:14:00:585,1,14,9,1,26,135,14,0 100,0,2024-09-07 08:14:01:483,4968,2.3,4981,5.9,9960,6.0,13897,3.00 100,1,2024-09-07 08:14:00:558,24155,24155,0,0,11553292399,128775250,22647,1249,259,382,391505,0 100,2,2024-09-07 08:14:01:831,18229,18218,11,0,1641505,0,5417 100,3,2024-09-07 08:14:01:733,1,14,8,7,443,641,14,0 101,0,2024-09-07 08:14:01:713,3540,9.5,3434,7.0,6927,9.5,10351,4.75 101,1,2024-09-07 08:14:00:554,24011,24011,0,0,11934320066,129835014,23050,537,424,370,391531,0 101,2,2024-09-07 08:14:01:759,18996,18996,0,0,1771039,0,4644 101,3,2024-09-07 08:14:00:946,1,14,5,1,448,553,14,0 102,0,2024-09-07 08:14:00:981,4242,1.0,4314,1.0,8615,0.7,11266,2.25 102,1,2024-09-07 08:14:01:145,24531,24531,0,0,11839387590,126452032,24011,510,10,371,391621,0 102,2,2024-09-07 08:14:01:741,17471,17471,0,0,982952,0,1699 102,3,2024-09-07 08:14:01:617,1,14,10,0,410,577,14,0 103,0,2024-09-07 08:14:01:692,2856,0.3,2889,0.5,5505,0.2,7696,1.75 103,1,2024-09-07 08:14:01:659,24576,24576,0,0,11871609874,125120305,24269,260,47,381,391680,0 103,2,2024-09-07 08:14:00:596,17940,17940,0,0,1022819,0,1484 103,3,2024-09-07 08:14:00:757,1,14,486,1,486,712,14,0 104,0,2024-09-07 08:14:01:032,4688,2.2,4748,1.6,9102,2.6,12509,2.25 104,1,2024-09-07 08:14:01:600,24304,24304,0,0,11550885162,127435611,23035,955,314,369,391948,0 104,2,2024-09-07 08:14:01:671,15129,15129,0,0,1509304,0,3941 104,3,2024-09-07 08:14:01:430,1,14,21,8,25,220,14,0 105,0,2024-09-07 08:14:01:061,5152,1.3,5014,1.9,9924,1.5,13997,3.75 105,1,2024-09-07 08:14:00:563,23902,23902,0,0,11528864190,127735182,22318,1022,562,367,391797,0 105,2,2024-09-07 08:14:01:323,18841,18841,0,0,1646203,0,3314 105,3,2024-09-07 08:14:01:304,1,14,18,1,169,345,14,0 106,0,2024-09-07 08:14:00:980,3093,0.4,3072,0.8,6251,0.3,8486,2.00 106,1,2024-09-07 08:14:01:751,24334,24334,0,0,11468872278,122842200,23796,534,4,373,391767,0 106,2,2024-09-07 08:14:00:759,18874,18874,0,0,1329681,0,1600 106,3,2024-09-07 08:14:00:677,1,14,3,1,171,205,14,0 107,0,2024-09-07 08:14:01:129,2040,0.3,1978,0.6,3919,0.2,5039,1.75 107,1,2024-09-07 08:14:00:602,24083,24083,0,0,11409163117,124058181,23253,704,126,382,391848,0 107,2,2024-09-07 08:14:01:294,17859,17858,1,0,1337080,0,5024 107,3,2024-09-07 08:14:01:758,1,14,10,1,75,271,14,0 108,0,2024-09-07 08:14:01:819,2414,0.2,2373,0.4,4641,0.2,6304,1.50 108,1,2024-09-07 08:14:01:294,24489,24489,0,0,11821006765,125118870,23783,620,86,372,391563,0 108,2,2024-09-07 08:14:01:762,13869,13869,0,0,620995,0,2051 108,3,2024-09-07 08:14:01:330,1,14,12,6,18,172,14,0 109,0,2024-09-07 08:14:01:835,5878,0.5,6003,0.6,11443,0.4,15805,1.75 109,1,2024-09-07 08:14:00:597,24565,24565,0,0,12240735660,129011544,24338,220,7,386,391492,0 109,2,2024-09-07 08:14:00:928,18950,18950,0,0,999651,0,2276 109,3,2024-09-07 08:14:01:144,1,14,46,1,120,409,14,0 110,0,2024-09-07 08:14:01:772,1691,0.2,1680,0.3,3396,0.1,4332,1.50 110,1,2024-09-07 08:14:01:643,24879,24879,0,0,11644536631,120714471,24779,100,0,371,391564,0 110,2,2024-09-07 08:14:01:303,20096,20096,0,0,1287309,0,2915 110,3,2024-09-07 08:14:00:693,1,14,183,1,183,247,14,0 111,0,2024-09-07 08:14:01:428,2045,0.1,2053,0.2,4208,0.1,5174,1.25 111,1,2024-09-07 08:14:01:000,24507,24507,0,0,12771776947,132341783,24363,132,12,383,391597,0 111,2,2024-09-07 08:14:01:120,17533,17533,0,0,809758,0,2256 111,3,2024-09-07 08:14:00:913,1,14,1,1,89,324,14,0 112,0,2024-09-07 08:14:00:936,2394,0.9,2444,0.6,4981,0.2,6201,1.75 112,1,2024-09-07 08:14:00:825,24645,24645,0,0,11895471318,124217435,24581,64,0,382,391512,0 112,2,2024-09-07 08:14:01:141,14094,14094,0,0,814129,0,3152 112,3,2024-09-07 08:14:00:595,1,14,10,1,21,127,14,0 113,0,2024-09-07 08:14:00:880,4877,0.4,4955,0.6,10039,0.4,13835,1.75 113,1,2024-09-07 08:14:01:685,24526,24526,0,0,12043839684,125585685,24280,246,0,368,391661,0 113,2,2024-09-07 08:14:01:304,19384,19384,0,0,816742,0,1597 113,3,2024-09-07 08:14:00:685,1,14,4,1,130,261,14,0 114,0,2024-09-07 08:14:00:886,3122,7.6,3172,6.3,6103,4.5,8589,2.75 114,1,2024-09-07 08:14:00:726,24727,24727,0,0,12221369364,127140766,24530,197,0,383,391513,0 114,2,2024-09-07 08:14:00:874,19131,19131,0,0,1164152,0,3433 114,3,2024-09-07 08:14:01:278,1,14,1,1,159,179,14,0 115,0,2024-09-07 08:14:00:585,3557,0.3,3645,0.5,7288,0.2,9310,1.50 115,1,2024-09-07 08:14:00:583,24292,24292,0,0,12087595107,127335078,23827,358,107,384,391495,0 115,2,2024-09-07 08:14:01:130,18032,18032,0,0,787596,0,1535 115,3,2024-09-07 08:14:01:001,1,14,2,1,40,90,14,0 116,0,2024-09-07 08:14:01:716,3231,0.3,3219,0.6,6510,0.2,8527,1.75 116,1,2024-09-07 08:14:00:807,23823,23823,0,0,11568559256,128407249,22680,307,836,384,391536,0 116,2,2024-09-07 08:14:01:759,16453,16453,0,0,976334,0,2154 116,3,2024-09-07 08:14:00:914,1,14,11,2,132,244,14,0 117,0,2024-09-07 08:14:00:974,4565,4.1,4469,2.7,8942,4.7,12567,2.50 117,1,2024-09-07 08:14:01:603,24297,24297,0,0,11492995860,124628891,23400,678,219,372,391523,0 117,2,2024-09-07 08:14:01:127,16797,16797,0,0,1338792,0,3700 117,3,2024-09-07 08:14:01:059,1,14,2,1,490,967,14,0 118,0,2024-09-07 08:14:01:784,4917,2.6,5016,1.7,10269,3.8,13416,3.50 118,1,2024-09-07 08:14:00:599,24418,24418,0,0,11601960148,122721256,24102,315,1,369,391503,0 118,2,2024-09-07 08:14:01:588,18830,18830,0,0,1137448,0,1435 118,3,2024-09-07 08:14:01:764,1,14,1,1,79,252,14,0 119,0,2024-09-07 08:14:01:357,3741,0.4,3812,0.7,7821,0.3,10423,2.00 119,1,2024-09-07 08:14:00:559,24050,24050,0,0,11705866685,126105850,23213,707,130,371,391576,0 119,2,2024-09-07 08:14:01:267,18373,18373,0,0,1144233,0,2222 119,3,2024-09-07 08:14:01:336,1,14,7,1,375,437,14,0 120,0,2024-09-07 08:14:01:577,2208,0.3,2197,0.5,4399,0.2,5757,1.75 120,1,2024-09-07 08:14:00:863,24349,24349,0,0,11961824588,129107888,23757,586,6,369,391702,0 120,2,2024-09-07 08:14:00:783,17786,17785,1,0,2091033,0,5281 120,3,2024-09-07 08:14:01:290,1,14,15,7,241,404,14,0 121,0,2024-09-07 08:14:01:748,3574,2.1,3591,1.5,7102,3.7,9956,2.50 121,1,2024-09-07 08:14:01:660,23977,23977,0,0,11649874592,128103317,22882,859,236,370,391633,0 121,2,2024-09-07 08:14:01:135,14324,14324,0,0,1478460,0,4127 121,3,2024-09-07 08:14:00:753,1,14,2,1,71,167,14,0 122,0,2024-09-07 08:14:01:933,5503,4.5,5370,4.4,11256,4.9,15482,2.75 122,1,2024-09-07 08:14:00:862,23621,23621,0,0,11709285872,129950259,22127,1128,366,369,392130,0 122,2,2024-09-07 08:14:01:321,19024,19024,0,0,2118119,0,2574 122,3,2024-09-07 08:14:00:594,1,14,7,1,226,1038,14,0 123,0,2024-09-07 08:14:00:965,2221,0.4,2142,0.7,4498,0.2,6111,2.00 123,1,2024-09-07 08:14:00:559,23978,23978,0,0,11736344528,133083865,21640,1884,454,371,391708,0 123,2,2024-09-07 08:14:01:022,19077,19076,1,0,1994301,0,5215 123,3,2024-09-07 08:14:01:140,1,14,4,1,160,403,14,0 124,0,2024-09-07 08:14:00:939,1709,0.2,1721,0.3,3351,0.1,4334,1.50 124,1,2024-09-07 08:14:01:024,24669,24669,0,0,11788385517,122341208,24627,42,0,371,392129,0 124,2,2024-09-07 08:14:01:037,17613,17613,0,0,919838,0,2477 124,3,2024-09-07 08:14:00:762,1,14,137,2,137,462,14,0 125,0,2024-09-07 08:14:01:471,1938,0.3,1881,0.5,3909,0.2,5243,1.75 125,1,2024-09-07 08:14:00:866,24185,24185,0,0,11650090708,122961550,23798,378,9,385,391702,0 125,2,2024-09-07 08:14:01:130,13677,13677,0,0,628244,0,1559 125,3,2024-09-07 08:14:01:128,1,14,4,1,93,282,14,0 126,0,2024-09-07 08:14:01:476,5823,0.6,5980,0.8,11639,0.7,16006,1.75 126,1,2024-09-07 08:14:00:553,24500,24500,0,0,11757068619,123542200,24191,303,6,368,391719,0 126,2,2024-09-07 08:14:00:611,19881,19881,0,0,946624,0,1557 126,3,2024-09-07 08:14:00:908,1,14,2,1,45,164,14,0 127,0,2024-09-07 08:14:01:639,1603,0.2,1584,0.3,3204,0.1,3962,1.50 127,1,2024-09-07 08:14:00:577,24480,24480,0,0,10991668400,114035831,24429,50,1,366,391488,0 127,2,2024-09-07 08:14:00:641,19589,19589,0,0,948285,0,1744 127,3,2024-09-07 08:14:01:267,1,14,9,0,99,186,14,0 128,0,2024-09-07 08:14:01:559,2740,0.3,2752,0.6,5533,0.2,7108,1.75 128,1,2024-09-07 08:14:01:613,24419,24419,0,0,12131406785,126386615,24247,171,1,370,391605,0 128,2,2024-09-07 08:14:01:390,17050,17050,0,0,971460,0,1712 128,3,2024-09-07 08:14:00:769,1,14,18,5,20,173,14,0 129,0,2024-09-07 08:14:01:023,3119,0.4,3190,0.6,6323,0.3,7875,2.00 129,1,2024-09-07 08:14:00:581,24588,24588,0,0,12080782161,127083682,24293,279,16,383,391752,0 129,2,2024-09-07 08:14:00:707,14893,14893,0,0,601089,0,2289 129,3,2024-09-07 08:14:00:701,1,14,2,1,82,151,14,0 130,0,2024-09-07 08:14:01:776,5309,1.4,5262,1.3,10490,2.4,14364,2.25 130,1,2024-09-07 08:14:00:593,24483,24483,0,0,11958059253,125729122,24212,270,1,381,391516,0 130,2,2024-09-07 08:14:01:134,18386,18386,0,0,662973,0,1019 130,3,2024-09-07 08:14:01:298,1,14,1,1,66,116,14,0 131,0,2024-09-07 08:14:01:938,3889,3.4,3779,2.6,7815,4.1,10366,3.00 131,1,2024-09-07 08:14:01:832,24607,24607,0,0,11721329465,122395350,24551,55,1,385,391727,0 131,2,2024-09-07 08:14:00:573,18834,18834,0,0,1037525,0,1766 131,3,2024-09-07 08:14:01:704,1,14,11,1,23,75,14,0 132,0,2024-09-07 08:14:01:444,4240,0.5,4303,1.1,8570,0.6,11342,2.00 132,1,2024-09-07 08:14:00:594,24003,24003,0,0,11321141795,124744862,22699,1042,262,383,391533,0 132,2,2024-09-07 08:14:00:709,17410,17410,0,0,2592113,0,4606 132,3,2024-09-07 08:14:01:699,1,14,15,5,356,520,14,0 133,0,2024-09-07 08:14:01:536,2792,0.3,2842,0.5,5715,0.2,7617,1.75 133,1,2024-09-07 08:14:00:591,24072,24072,0,0,11550529229,124105205,23322,746,4,385,391492,0 133,2,2024-09-07 08:14:01:088,17880,17880,0,0,1028082,0,1707 133,3,2024-09-07 08:14:01:297,1,14,3,1,26,77,14,0 134,0,2024-09-07 08:14:00:949,4682,0.5,4647,0.9,9317,0.5,12504,2.00 134,1,2024-09-07 08:14:00:587,24151,24151,0,0,11617152531,129055344,22653,752,746,366,391508,0 134,2,2024-09-07 08:14:01:768,15138,15138,0,0,794535,0,1739 134,3,2024-09-07 08:14:00:753,1,14,46,1,63,272,14,0 135,0,2024-09-07 08:14:01:153,5039,3.7,5064,3.2,10653,3.1,14284,3.00 135,1,2024-09-07 08:14:01:588,24361,24361,0,0,11950165306,125884467,23996,349,16,383,391491,0 135,2,2024-09-07 08:14:00:689,18929,18929,0,0,1431879,0,3016 135,3,2024-09-07 08:14:01:004,1,14,1,1,68,191,14,0 136,0,2024-09-07 08:14:01:626,3164,0.6,3159,1.0,6300,0.6,8497,2.50 136,1,2024-09-07 08:14:01:443,24314,24314,0,0,11932441657,131265436,23092,1081,141,386,391501,0 136,2,2024-09-07 08:14:01:138,19247,19247,0,0,1125589,0,1692 136,3,2024-09-07 08:14:01:115,1,14,24,2,24,185,14,0 137,0,2024-09-07 08:14:00:937,1996,0.3,2033,0.6,3897,0.2,5055,2.00 137,1,2024-09-07 08:14:00:605,24332,24332,0,0,11443951969,123883483,23577,712,43,370,391489,0 137,2,2024-09-07 08:14:01:712,17409,17409,0,0,1667895,0,2294 137,3,2024-09-07 08:14:00:769,1,14,2,1,13,83,14,0 138,0,2024-09-07 08:14:01:788,2338,1.1,2368,1.2,4734,1.2,6274,3.50 138,1,2024-09-07 08:14:01:686,24337,24337,0,0,11699447774,127635228,23253,866,218,371,391572,0 138,2,2024-09-07 08:14:00:607,13601,13601,0,0,1131253,0,3263 138,3,2024-09-07 08:14:00:612,1,14,11,1,34,132,14,0 139,0,2024-09-07 08:14:01:438,5489,6.2,5395,3.6,11046,6.4,15235,4.25 139,1,2024-09-07 08:14:00:573,23964,23964,0,0,11329015604,129694997,22106,1176,682,386,391511,0 139,2,2024-09-07 08:14:00:696,19370,19370,0,0,1652426,0,2233 139,3,2024-09-07 08:14:01:666,1,14,17,1,104,258,14,0 140,0,2024-09-07 08:14:01:597,1707,0.1,1688,0.3,3418,0.1,4369,1.50 140,1,2024-09-07 08:14:01:538,24558,24558,0,0,11997461597,124735914,24411,147,0,366,391482,0 140,2,2024-09-07 08:14:00:688,19473,19473,0,0,1294773,0,2609 140,3,2024-09-07 08:14:00:767,1,14,20,2,25,144,14,0 141,0,2024-09-07 08:14:01:713,2017,0.2,2085,0.3,4056,0.1,5180,1.50 141,1,2024-09-07 08:14:00:862,24471,24471,0,0,12120752925,125703941,24387,84,0,382,391532,0 141,2,2024-09-07 08:14:01:694,17213,17213,0,0,818049,0,1869 141,3,2024-09-07 08:14:01:043,1,14,0,0,42,88,14,0 142,0,2024-09-07 08:14:01:321,2467,0.2,2460,0.5,4982,0.2,6197,1.50 142,1,2024-09-07 08:14:00:605,24580,24580,0,0,11874936863,123664245,24568,12,0,385,391493,0 142,2,2024-09-07 08:14:01:299,13922,13922,0,0,781782,0,2293 142,3,2024-09-07 08:14:01:758,1,14,1,1,53,117,14,0 143,0,2024-09-07 08:14:01:410,4895,1.0,4945,0.9,10103,1.4,13736,1.75 143,1,2024-09-07 08:14:00:560,24453,24453,0,0,11861863248,123581047,24276,177,0,370,391492,0 143,2,2024-09-07 08:14:00:777,19136,19136,0,0,1095351,0,2572 143,3,2024-09-07 08:14:01:145,1,14,15,1,219,329,14,0 144,0,2024-09-07 08:14:01:538,2751,9.4,2866,10.0,5603,7.4,8470,3.50 144,1,2024-09-07 08:14:00:570,24441,24441,0,0,11641620049,123545661,24021,379,41,383,391557,0 144,2,2024-09-07 08:14:01:776,19063,19063,0,0,985283,0,1980 144,3,2024-09-07 08:14:01:744,1,14,29,2,99,291,14,0 145,0,2024-09-07 08:14:01:379,3514,0.5,3556,0.8,7319,0.4,9263,2.25 145,1,2024-09-07 08:14:00:564,24076,24076,0,0,11659902174,124055893,23831,245,0,384,391603,0 145,2,2024-09-07 08:14:01:439,17213,17213,0,0,1941468,0,3903 145,3,2024-09-07 08:14:00:897,1,14,3,1,151,457,14,0 146,0,2024-09-07 08:14:01:637,3243,0.3,3247,0.6,6491,0.2,8542,1.75 146,1,2024-09-07 08:14:01:587,24104,24104,0,0,11818024998,130814590,22517,1289,298,371,391512,0 146,2,2024-09-07 08:14:01:705,16142,16142,0,0,1190682,0,2149 146,3,2024-09-07 08:14:01:274,1,14,4,0,54,198,14,0 147,0,2024-09-07 08:14:01:767,4444,4.6,4409,2.9,8847,5.8,12752,3.50 147,1,2024-09-07 08:14:01:418,24584,24584,0,0,11906860385,124002360,24470,111,3,369,391791,0 147,2,2024-09-07 08:14:01:010,17189,17189,0,0,780382,0,2526 147,3,2024-09-07 08:14:00:920,1,14,1,1,141,394,14,0 0,0,2024-09-07 08:14:11:743,2337,0.2,2394,0.4,4863,0.2,6312,1.75 0,1,2024-09-07 08:14:10:820,26075,26075,0,0,12742577452,135987426,25560,410,105,373,391658,0 0,2,2024-09-07 08:14:11:076,19126,19126,0,0,707822,0,951 0,3,2024-09-07 08:14:10:974,1,15,8,3,19,178,15,0 1,0,2024-09-07 08:14:11:770,4009,1.3,3987,1.1,8154,1.8,11205,2.00 1,1,2024-09-07 08:14:10:564,26009,26009,0,0,12218471863,128777569,25636,339,34,372,391577,0 1,2,2024-09-07 08:14:10:650,15597,15597,0,0,691080,0,1321 1,3,2024-09-07 08:14:11:302,1,15,2,1,167,323,15,0 2,0,2024-09-07 08:14:11:632,6010,0.6,6204,1.0,11917,0.9,16127,1.75 2,1,2024-09-07 08:14:10:877,26068,26068,0,0,12238913003,128217747,25974,94,0,383,391527,0 2,2,2024-09-07 08:14:11:267,20414,20414,0,0,1513061,0,3304 2,3,2024-09-07 08:14:10:690,1,15,8,1,87,300,15,0 3,0,2024-09-07 08:14:11:766,2516,0.3,2619,0.5,5255,0.2,7107,1.75 3,1,2024-09-07 08:14:11:623,26058,26058,0,0,12948038314,136650854,25657,391,10,383,391494,0 3,2,2024-09-07 08:14:11:143,20442,20442,0,0,1048623,0,2192 3,3,2024-09-07 08:14:11:751,1,15,2,1,23,72,15,0 4,0,2024-09-07 08:14:11:786,1872,0.3,1883,0.4,3756,0.2,4867,1.50 4,1,2024-09-07 08:14:10:645,25987,25987,0,0,12332538312,133692044,24992,652,343,374,391682,0 4,2,2024-09-07 08:14:11:022,18801,18801,0,0,1783847,0,4528 4,3,2024-09-07 08:14:11:027,1,15,8,8,47,250,15,0 5,0,2024-09-07 08:14:11:440,2283,0.3,2258,0.5,4463,0.2,6169,1.75 5,1,2024-09-07 08:14:10:756,25981,25981,0,0,12731900377,135152091,25459,431,91,368,392005,0 5,2,2024-09-07 08:14:11:830,14953,14953,0,0,836576,0,1912 5,3,2024-09-07 08:14:11:731,1,15,4,1,47,107,15,0 6,0,2024-09-07 08:14:10:928,6371,0.7,6337,1.0,12680,0.8,17029,2.00 6,1,2024-09-07 08:14:10:748,26240,26240,0,0,12473909940,130906461,25986,250,4,382,391603,0 6,2,2024-09-07 08:14:11:121,21057,21057,0,0,1358130,0,1686 6,3,2024-09-07 08:14:11:273,1,15,1,1,277,510,15,0 7,0,2024-09-07 08:14:11:566,1710,0.2,1701,0.4,3424,0.1,4285,1.50 7,1,2024-09-07 08:14:10:851,25833,25833,0,0,12203089007,130121734,25293,476,64,385,391558,0 7,2,2024-09-07 08:14:10:772,20898,20898,0,0,1355411,0,2981 7,3,2024-09-07 08:14:10:851,1,15,7,1,46,162,15,0 8,0,2024-09-07 08:14:11:366,2998,0.3,2899,0.5,5716,0.2,7475,1.50 8,1,2024-09-07 08:14:11:019,25372,25372,0,0,12416165557,144132140,22641,1499,1232,368,391724,0 8,2,2024-09-07 08:14:10:809,18159,18159,0,0,1704639,0,2986 8,3,2024-09-07 08:14:10:584,1,15,9,8,229,418,15,0 9,0,2024-09-07 08:14:11:138,3207,0.4,3139,0.6,6466,0.3,7866,1.75 9,1,2024-09-07 08:14:10:552,25705,25705,0,0,12381016382,137946270,24088,1139,478,373,391496,0 9,2,2024-09-07 08:14:11:085,15498,15498,0,0,1032188,0,1673 9,3,2024-09-07 08:14:11:756,1,15,11,1,50,203,15,0 10,0,2024-09-07 08:14:11:618,5629,0.6,5667,0.7,11311,0.6,15067,1.75 10,1,2024-09-07 08:14:10:585,25666,25666,0,0,12329725717,138652512,23552,1734,380,384,391540,0 10,2,2024-09-07 08:14:10:765,19423,19423,0,0,2119893,0,2940 10,3,2024-09-07 08:14:10:871,1,15,1,0,118,150,15,0 11,0,2024-09-07 08:14:11:022,3633,6.9,3559,4.4,7464,8.2,10588,3.75 11,1,2024-09-07 08:14:10:580,25467,25467,0,0,12859428483,143915216,23524,1208,735,384,391485,0 11,2,2024-09-07 08:14:11:123,20103,20103,0,0,1615839,0,2635 11,3,2024-09-07 08:14:11:298,1,15,1,1,62,203,15,0 12,0,2024-09-07 08:14:10:963,4562,0.3,4523,0.5,9042,0.2,11866,1.75 12,1,2024-09-07 08:14:10:939,26199,26199,0,0,12544328683,132288400,25923,273,3,372,391611,0 12,2,2024-09-07 08:14:11:543,19121,19121,0,0,1350890,0,2254 12,3,2024-09-07 08:14:11:068,1,15,24,2,45,224,15,0 13,0,2024-09-07 08:14:11:385,3157,0.4,3219,0.6,6317,0.3,8598,2.00 13,1,2024-09-07 08:14:11:537,26431,26431,0,0,12843621651,135028577,26224,205,2,385,391563,0 13,2,2024-09-07 08:14:10:606,18417,18417,0,0,802311,0,2239 13,3,2024-09-07 08:14:11:767,1,15,1,1,153,367,15,0 14,0,2024-09-07 08:14:10:632,5054,0.6,5112,0.7,9877,0.7,13252,2.00 14,1,2024-09-07 08:14:11:574,26440,26440,0,0,12325512787,128565622,26158,268,14,365,391481,0 14,2,2024-09-07 08:14:10:779,16803,16803,0,0,918430,0,1934 14,3,2024-09-07 08:14:11:115,1,15,1,1,40,97,15,0 15,0,2024-09-07 08:14:11:572,5469,3.0,5559,2.1,11022,5.8,15458,4.50 15,1,2024-09-07 08:14:11:615,26192,26192,0,0,12585749867,131589313,26059,133,0,381,391481,0 15,2,2024-09-07 08:14:10:999,20761,20761,0,0,699081,0,993 15,3,2024-09-07 08:14:11:406,1,15,1,0,538,877,15,0 16,0,2024-09-07 08:14:10:964,3420,0.4,3413,0.6,6904,0.3,9051,2.50 16,1,2024-09-07 08:14:10:574,26277,26277,0,0,12041054408,127470749,25844,430,3,373,391543,0 16,2,2024-09-07 08:14:11:468,19690,19690,0,0,1503392,0,4719 16,3,2024-09-07 08:14:11:142,1,15,18,9,231,492,15,0 17,0,2024-09-07 08:14:11:806,2227,0.2,2247,0.4,4223,0.2,5513,1.75 17,1,2024-09-07 08:14:10:573,26126,26126,0,0,13129117000,139668118,25572,418,136,370,391671,0 17,2,2024-09-07 08:14:11:667,19355,19355,0,0,1056677,0,1865 17,3,2024-09-07 08:14:10:578,1,15,0,0,26,141,15,0 18,0,2024-09-07 08:14:10:960,2607,0.4,2581,0.6,5265,0.2,6868,2.00 18,1,2024-09-07 08:14:11:638,26054,26054,0,0,12087269300,126869351,25946,106,2,370,391518,0 18,2,2024-09-07 08:14:11:758,15016,15016,0,0,905555,0,2688 18,3,2024-09-07 08:14:10:896,1,15,2,1,142,225,15,0 19,0,2024-09-07 08:14:11:556,6214,1.1,6286,1.0,12284,1.5,16248,2.75 19,1,2024-09-07 08:14:10:568,26325,26325,0,0,12393606018,130027629,26028,272,25,370,391512,0 19,2,2024-09-07 08:14:11:753,21061,21061,0,0,918601,0,1669 19,3,2024-09-07 08:14:11:130,1,15,1,1,29,57,15,0 20,0,2024-09-07 08:14:11:457,1893,0.2,1954,0.4,3753,0.2,4803,1.50 20,1,2024-09-07 08:14:10:576,25992,25992,0,0,13203766476,139524908,25621,370,1,373,391488,0 20,2,2024-09-07 08:14:10:954,20896,20896,0,0,1302717,0,1616 20,3,2024-09-07 08:14:10:589,1,15,25,1,99,313,15,0 21,0,2024-09-07 08:14:11:157,2241,0.2,2196,0.4,4352,0.2,5438,1.75 21,1,2024-09-07 08:14:11:538,26045,26045,0,0,12137506456,129950630,25441,579,25,368,391962,0 21,2,2024-09-07 08:14:11:082,18924,18924,0,0,1298671,0,3747 21,3,2024-09-07 08:14:11:422,1,15,26,1,30,184,15,0 22,0,2024-09-07 08:14:11:735,2554,0.3,2705,0.7,5222,0.3,6531,1.75 22,1,2024-09-07 08:14:11:025,25994,25994,0,0,12482101423,137101656,24671,1016,307,382,391667,0 22,2,2024-09-07 08:14:10:761,15214,15214,0,0,750816,0,1503 22,3,2024-09-07 08:14:11:068,1,15,1,0,48,109,15,0 23,0,2024-09-07 08:14:11:390,5353,0.4,5546,0.6,10882,0.4,15243,1.75 23,1,2024-09-07 08:14:11:010,26587,26587,0,0,13075902303,136277141,26448,139,0,369,391482,0 23,2,2024-09-07 08:14:11:095,20997,20997,0,0,1110512,0,2078 23,3,2024-09-07 08:14:11:754,1,15,36,0,141,228,15,0 24,0,2024-09-07 08:14:10:897,3465,1.6,3451,1.8,7001,2.6,8939,2.00 24,1,2024-09-07 08:14:10:604,26132,26132,0,0,12345485122,132868583,25626,503,3,371,391640,0 24,2,2024-09-07 08:14:11:074,20063,20063,0,0,2279195,0,2942 24,3,2024-09-07 08:14:11:693,1,15,2,2,234,388,15,0 25,0,2024-09-07 08:14:11:451,3773,0.3,3770,0.5,7203,0.2,9456,1.75 25,1,2024-09-07 08:14:10:579,26043,26043,0,0,12302558940,132504256,25479,549,15,373,391544,0 25,2,2024-09-07 08:14:11:627,18775,18775,0,0,1601951,0,1963 25,3,2024-09-07 08:14:11:004,1,15,12,1,50,243,15,0 26,0,2024-09-07 08:14:11:739,3422,0.2,3344,0.5,7009,0.2,9047,1.50 26,1,2024-09-07 08:14:11:542,25439,25439,0,0,11900673022,136311515,23329,1412,698,383,391496,0 26,2,2024-09-07 08:14:10:864,16979,16979,0,0,1796997,0,2809 26,3,2024-09-07 08:14:11:717,1,15,23,1,796,884,15,0 27,0,2024-09-07 08:14:11:735,4983,0.6,5014,0.8,10058,0.6,13910,1.75 27,1,2024-09-07 08:14:11:677,26613,26613,0,0,12911062836,135364437,26502,110,1,381,391526,0 27,2,2024-09-07 08:14:10:879,17830,17830,0,0,2574535,0,3146 27,3,2024-09-07 08:14:11:016,1,15,41,1,86,187,15,0 28,0,2024-09-07 08:14:11:420,5165,2.6,5198,1.9,10502,4.0,13798,2.25 28,1,2024-09-07 08:14:10:802,26431,26431,0,0,13018700467,136428271,26286,145,0,383,391488,0 28,2,2024-09-07 08:14:11:778,20456,20456,0,0,972486,0,2177 28,3,2024-09-07 08:14:11:780,1,15,3,3,20,162,15,0 29,0,2024-09-07 08:14:11:373,4281,0.3,4226,0.6,8231,0.3,11205,1.75 29,1,2024-09-07 08:14:11:568,26319,26319,0,0,12681273849,133196875,25814,333,172,371,391621,0 29,2,2024-09-07 08:14:10:862,19458,19458,0,0,819276,0,2026 29,3,2024-09-07 08:14:10:963,1,15,1,1,105,223,15,0 30,0,2024-09-07 08:14:11:477,2399,0.3,2365,0.5,4755,0.1,6313,1.75 30,1,2024-09-07 08:14:10:582,26002,26002,0,0,13001358056,136501700,25853,148,1,382,391524,0 30,2,2024-09-07 08:14:11:274,19071,19071,0,0,724651,0,1125 30,3,2024-09-07 08:14:10:583,1,15,1,0,110,145,15,0 31,0,2024-09-07 08:14:11:770,4017,0.5,4077,0.7,8181,0.5,11229,2.00 31,1,2024-09-07 08:14:10:569,26220,26220,0,0,12621133112,129984357,26186,34,0,356,391478,0 31,2,2024-09-07 08:14:11:275,16045,16045,0,0,978619,0,1685 31,3,2024-09-07 08:14:11:706,1,15,40,0,40,75,15,0 32,0,2024-09-07 08:14:11:460,6028,0.4,6147,0.7,12301,0.3,16064,1.50 32,1,2024-09-07 08:14:10:805,26119,26119,0,0,12263498127,127872322,26056,61,2,383,391488,0 32,2,2024-09-07 08:14:10:937,20779,20779,0,0,994486,0,1970 32,3,2024-09-07 08:14:11:016,1,15,8,1,37,167,15,0 33,0,2024-09-07 08:14:11:509,2666,0.2,2623,0.3,5166,0.1,7087,1.50 33,1,2024-09-07 08:14:10:579,26103,26103,0,0,12739067034,133059605,25777,315,11,369,391482,0 33,2,2024-09-07 08:14:10:759,20303,20303,0,0,1474102,0,3364 33,3,2024-09-07 08:14:10:896,1,15,15,1,27,102,15,0 34,0,2024-09-07 08:14:10:946,1852,0.1,1908,0.2,3713,0.1,4785,1.50 34,1,2024-09-07 08:14:11:051,26353,26353,0,0,12709655130,132575224,26169,184,0,369,391481,0 34,2,2024-09-07 08:14:10:784,18908,18908,0,0,1034533,0,2070 34,3,2024-09-07 08:14:11:692,1,15,3,0,46,97,15,0 35,0,2024-09-07 08:14:10:870,2247,0.2,2315,0.4,4416,0.1,6132,1.50 35,1,2024-09-07 08:14:11:070,26111,26111,0,0,12362360970,130119273,25582,428,101,385,391481,0 35,2,2024-09-07 08:14:11:584,15223,15223,0,0,810568,0,2276 35,3,2024-09-07 08:14:10:908,1,15,39,1,219,376,15,0 36,0,2024-09-07 08:14:11:537,6224,1.3,6255,1.4,12698,1.3,16964,2.75 36,1,2024-09-07 08:14:10:593,25710,25710,0,0,11793017602,131712712,23770,1184,756,370,391535,0 36,2,2024-09-07 08:14:11:752,20825,20825,0,0,1144900,0,1272 36,3,2024-09-07 08:14:10:876,1,15,24,1,54,246,15,0 37,0,2024-09-07 08:14:11:418,1724,0.2,1746,0.4,3384,0.1,4280,1.50 37,1,2024-09-07 08:14:10:579,25297,25290,0,7,12313610795,141564536,23166,617,1507,368,391507,0 37,2,2024-09-07 08:14:11:144,21258,21258,0,0,1114464,0,1448 37,3,2024-09-07 08:14:11:769,1,15,7,0,31,88,15,0 38,0,2024-09-07 08:14:11:449,2940,0.5,2822,0.6,5868,0.3,7445,2.25 38,1,2024-09-07 08:14:11:607,26565,26565,0,0,13047013792,137026153,26318,246,1,371,391512,0 38,2,2024-09-07 08:14:10:761,18594,18594,0,0,1445427,0,3245 38,3,2024-09-07 08:14:10:997,1,15,10,0,36,104,15,0 39,0,2024-09-07 08:14:11:794,3290,0.3,3198,0.6,6289,0.2,7865,1.75 39,1,2024-09-07 08:14:10:721,25977,25977,0,0,12776021870,137264125,24953,606,418,366,391480,0 39,2,2024-09-07 08:14:11:424,15677,15677,0,0,831562,0,1786 39,3,2024-09-07 08:14:10:717,1,15,3,1,189,344,15,0 40,0,2024-09-07 08:14:11:628,5257,2.0,5469,4.1,10947,4.7,14863,3.75 40,1,2024-09-07 08:14:10:581,25685,25685,0,0,12089429743,134962982,23825,1333,527,371,391496,0 40,2,2024-09-07 08:14:11:309,19608,19607,1,0,2094638,0,5137 40,3,2024-09-07 08:14:11:142,1,15,3,2,21,158,15,0 41,0,2024-09-07 08:14:11:039,3274,9.3,3443,10.0,6624,10.0,9319,8.25 41,1,2024-09-07 08:14:10:770,25972,25972,0,0,12545521094,136404605,24823,957,192,371,391483,0 41,2,2024-09-07 08:14:10:760,19927,19927,0,0,2240572,0,3325 41,3,2024-09-07 08:14:11:676,1,15,1,1,7,35,15,0 42,0,2024-09-07 08:14:11:499,4462,0.6,4434,1.0,8994,0.5,11903,2.75 42,1,2024-09-07 08:14:11:447,25583,25583,0,0,12687186869,142409401,23802,1099,682,381,391489,0 42,2,2024-09-07 08:14:11:133,18559,18559,0,0,1553485,0,1746 42,3,2024-09-07 08:14:11:008,1,15,9,1,100,196,15,0 43,0,2024-09-07 08:14:10:941,3065,0.4,3124,0.7,6521,0.2,8517,2.00 43,1,2024-09-07 08:14:10:578,25640,25640,0,0,12902522842,141240796,24244,805,591,368,391479,0 43,2,2024-09-07 08:14:11:737,18178,18178,0,0,1718275,0,3812 43,3,2024-09-07 08:14:11:749,1,15,1,1,292,684,15,0 44,0,2024-09-07 08:14:10:884,4998,0.5,4903,0.8,9861,0.5,13204,2.00 44,1,2024-09-07 08:14:10:567,26350,26350,0,0,12049414873,125778856,26106,236,8,358,391493,0 44,2,2024-09-07 08:14:11:269,16925,16925,0,0,644368,0,1115 44,3,2024-09-07 08:14:11:093,1,15,8,1,32,201,15,0 45,0,2024-09-07 08:14:11:775,5472,3.6,5460,2.2,11009,5.8,15954,2.50 45,1,2024-09-07 08:14:11:006,26245,26245,0,0,12982405270,135778838,26076,169,0,382,391510,0 45,2,2024-09-07 08:14:11:269,20756,20756,0,0,934347,0,2038 45,3,2024-09-07 08:14:10:933,1,15,1,1,226,326,15,0 46,0,2024-09-07 08:14:10:970,3496,0.3,3431,0.5,6955,0.2,9341,1.75 46,1,2024-09-07 08:14:10:576,26536,26536,0,0,12436269860,128256553,26525,10,1,370,391514,0 46,2,2024-09-07 08:14:10:603,20196,20196,0,0,1076819,0,2835 46,3,2024-09-07 08:14:11:131,1,15,1,1,200,411,15,0 47,0,2024-09-07 08:14:11:116,2117,0.2,2159,0.4,4285,0.1,5499,1.50 47,1,2024-09-07 08:14:10:579,26529,26529,0,0,12143169422,126240975,26410,118,1,368,391481,0 47,2,2024-09-07 08:14:10:909,19503,19503,0,0,1164927,0,2558 47,3,2024-09-07 08:14:11:120,1,15,8,1,25,83,15,0 48,0,2024-09-07 08:14:11:513,2601,0.2,2603,0.3,5172,0.1,6921,1.50 48,1,2024-09-07 08:14:11:027,26078,26078,0,0,12664634634,133416211,25765,313,0,386,391516,0 48,2,2024-09-07 08:14:10:700,15138,15138,0,0,579216,0,1521 48,3,2024-09-07 08:14:10:753,1,15,9,1,21,141,15,0 49,0,2024-09-07 08:14:11:742,6334,0.4,6305,0.5,12004,0.4,16762,1.75 49,1,2024-09-07 08:14:11:022,26544,26544,0,0,12692741956,132752729,26425,119,0,382,391583,0 49,2,2024-09-07 08:14:11:798,20979,20979,0,0,1133161,0,2196 49,3,2024-09-07 08:14:11:429,1,15,30,1,274,435,15,0 50,0,2024-09-07 08:14:11:519,1865,0.1,1882,0.2,3719,0.1,4810,1.50 50,1,2024-09-07 08:14:11:010,26431,26431,0,0,12820494752,135122110,25706,544,181,370,391530,0 50,2,2024-09-07 08:14:11:070,21041,21041,0,0,800184,0,2034 50,3,2024-09-07 08:14:11:291,1,15,1,1,335,371,15,0 51,0,2024-09-07 08:14:11:713,2234,0.1,2186,0.2,4307,0.1,5437,1.50 51,1,2024-09-07 08:14:11:682,26190,26190,0,0,13632998602,140948577,25981,176,33,367,391504,0 51,2,2024-09-07 08:14:11:316,18468,18468,0,0,653073,0,1936 51,3,2024-09-07 08:14:11:027,1,15,2,0,162,235,15,0 52,0,2024-09-07 08:14:11:454,2529,0.8,2555,1.4,5165,1.1,6349,2.75 52,1,2024-09-07 08:14:10:584,25850,25850,0,0,12314748292,133988935,24841,815,194,371,391498,0 52,2,2024-09-07 08:14:11:757,15013,15013,0,0,1316533,0,4779 52,3,2024-09-07 08:14:10:681,1,15,12,6,21,178,15,0 53,0,2024-09-07 08:14:11:741,5503,2.3,5267,1.7,10937,2.6,14921,3.00 53,1,2024-09-07 08:14:10:773,26039,26039,0,0,13053479776,142122023,24700,913,426,373,391523,0 53,2,2024-09-07 08:14:11:299,20792,20792,0,0,1059742,0,1520 53,3,2024-09-07 08:14:10:697,1,15,4,1,28,76,15,0 54,0,2024-09-07 08:14:11:646,2555,10.0,2510,10.0,5118,7.0,7778,6.50 54,1,2024-09-07 08:14:10:581,26215,26215,0,0,12986712462,137468773,25487,694,34,370,391520,0 54,2,2024-09-07 08:14:10:867,20358,20352,6,0,1850583,0,5382 54,3,2024-09-07 08:14:10:762,1,15,1,1,98,185,15,0 55,0,2024-09-07 08:14:11:787,3637,0.9,3761,1.1,7541,0.7,9424,2.75 55,1,2024-09-07 08:14:10:775,25808,25808,0,0,12163278260,131841103,24386,927,495,369,391660,0 55,2,2024-09-07 08:14:10:731,18828,18828,0,0,1188277,0,2129 55,3,2024-09-07 08:14:10:682,1,15,2,0,24,73,15,0 56,0,2024-09-07 08:14:11:574,3437,2.2,3271,1.8,6764,2.4,8840,4.25 56,1,2024-09-07 08:14:10:599,25892,25892,0,0,13448833836,148798407,24216,1148,528,385,391536,0 56,2,2024-09-07 08:14:11:304,16924,16924,0,0,1662238,0,3567 56,3,2024-09-07 08:14:11:061,1,15,9,2,20,143,15,0 57,0,2024-09-07 08:14:10:966,4691,5.4,4589,3.3,9234,7.0,13045,6.00 57,1,2024-09-07 08:14:10:987,26365,26365,0,0,12389744599,132988706,25869,485,11,370,391505,0 57,2,2024-09-07 08:14:11:326,18246,18246,0,0,1638579,0,2687 57,3,2024-09-07 08:14:11:743,1,15,1,1,359,441,15,0 58,0,2024-09-07 08:14:10:590,4404,8.3,4276,3.8,8922,9.6,11869,7.25 58,1,2024-09-07 08:14:10:582,25764,25764,0,0,12699624311,138230085,24545,1062,157,372,391482,0 58,2,2024-09-07 08:14:11:077,20376,20376,0,0,1389985,0,2464 58,3,2024-09-07 08:14:11:069,1,15,6,1,80,147,15,0 59,0,2024-09-07 08:14:11:752,4169,1.7,4181,1.5,8292,2.1,10926,3.75 59,1,2024-09-07 08:14:10:807,26114,26114,0,0,12555891917,133651229,25675,436,3,372,391515,0 59,2,2024-09-07 08:14:10:584,19018,19018,0,0,1305940,0,1708 59,3,2024-09-07 08:14:11:737,1,15,14,1,20,89,15,0 60,0,2024-09-07 08:14:11:800,2386,0.2,2422,0.4,4690,0.1,6299,1.75 60,1,2024-09-07 08:14:10:784,26505,26505,0,0,12878894554,133671882,26424,79,2,370,391672,0 60,2,2024-09-07 08:14:11:159,19236,19236,0,0,1037122,0,2142 60,3,2024-09-07 08:14:11:259,1,15,8,2,23,193,15,0 61,0,2024-09-07 08:14:11:506,3917,2.7,3933,1.8,7603,3.8,10386,2.25 61,1,2024-09-07 08:14:10:771,26123,26123,0,0,12512970848,134702855,25141,639,343,383,391560,0 61,2,2024-09-07 08:14:11:122,15960,15960,0,0,810014,0,1601 61,3,2024-09-07 08:14:11:693,1,15,1,1,72,112,15,0 62,0,2024-09-07 08:14:11:741,6005,0.3,6235,0.7,12030,0.3,15961,1.75 62,1,2024-09-07 08:14:11:113,26309,26308,0,1,12767023082,132888549,26067,239,2,368,391586,1 62,2,2024-09-07 08:14:11:651,20454,20454,0,0,1044062,0,2030 62,3,2024-09-07 08:14:11:143,1,15,1,1,29,95,15,0 63,0,2024-09-07 08:14:11:508,2618,0.4,2623,0.7,5082,0.3,6771,2.25 63,1,2024-09-07 08:14:10:807,26539,26538,0,1,12881852859,133942899,26395,142,1,382,391489,1 63,2,2024-09-07 08:14:10:763,20335,20335,0,0,1191619,0,2177 63,3,2024-09-07 08:14:11:734,1,15,2,1,216,468,15,0 64,0,2024-09-07 08:14:11:573,1840,0.2,1825,0.3,3725,0.1,4794,1.50 64,1,2024-09-07 08:14:10:762,26523,26523,0,0,12904740165,136074913,25850,618,55,371,391604,0 64,2,2024-09-07 08:14:11:141,19237,19237,0,0,924568,0,2510 64,3,2024-09-07 08:14:11:141,1,15,12,1,20,162,15,0 65,0,2024-09-07 08:14:11:682,2288,0.2,2302,0.4,4437,0.2,6167,1.50 65,1,2024-09-07 08:14:10:862,26202,26202,0,0,12017302601,127175876,25757,438,7,382,391485,0 65,2,2024-09-07 08:14:11:695,14839,14839,0,0,679118,0,2374 65,3,2024-09-07 08:14:11:684,1,15,2,1,122,330,15,0 66,0,2024-09-07 08:14:11:773,6154,0.3,6205,0.5,12640,0.3,17111,1.75 66,1,2024-09-07 08:14:11:294,26735,26735,0,0,13089723702,136188732,26435,284,16,382,391537,0 66,2,2024-09-07 08:14:11:133,20972,20972,0,0,1053458,0,2934 66,3,2024-09-07 08:14:11:079,1,15,5,1,45,92,15,0 67,0,2024-09-07 08:14:11:425,1734,0.1,1719,0.3,3384,0.1,4293,1.50 67,1,2024-09-07 08:14:10:767,26434,26433,0,1,12775999629,133156565,26351,82,0,383,391567,1 67,2,2024-09-07 08:14:10:585,21150,21150,0,0,1131363,0,2104 67,3,2024-09-07 08:14:11:754,1,15,3,1,43,77,15,0 68,0,2024-09-07 08:14:10:636,2898,0.3,2873,0.8,5858,0.2,7484,2.00 68,1,2024-09-07 08:14:10:605,25808,25808,0,0,12092862033,131711825,24927,435,446,385,391489,0 68,2,2024-09-07 08:14:11:047,18795,18795,0,0,1539313,0,4883 68,3,2024-09-07 08:14:10:728,1,15,63,1,63,208,15,0 69,0,2024-09-07 08:14:11:747,3225,0.8,3140,1.0,6249,0.9,7908,2.25 69,1,2024-09-07 08:14:11:018,25367,25367,0,0,12403806232,138709808,24045,460,862,387,391483,0 69,2,2024-09-07 08:14:11:746,15658,15658,0,0,878722,0,2462 69,3,2024-09-07 08:14:10:761,1,15,0,0,36,106,15,0 70,0,2024-09-07 08:14:11:545,5437,5.1,5383,5.6,11075,1.8,14956,4.25 70,1,2024-09-07 08:14:10:809,26233,26233,0,0,12891176762,135348298,25976,240,17,369,391527,0 70,2,2024-09-07 08:14:11:330,19942,19942,0,0,1146862,0,1937 70,3,2024-09-07 08:14:10:745,1,15,1,1,69,106,15,0 71,0,2024-09-07 08:14:11:394,3416,9.9,3384,9.9,6551,10.0,9723,7.50 71,1,2024-09-07 08:14:11:599,26286,26286,0,0,12827854285,136756156,25572,589,125,370,391584,0 71,2,2024-09-07 08:14:11:070,20442,20442,0,0,1396820,0,2146 71,3,2024-09-07 08:14:11:750,1,15,3,1,174,352,15,0 72,0,2024-09-07 08:14:11:090,4629,0.5,4518,0.8,8937,0.4,11893,3.25 72,1,2024-09-07 08:14:11:029,25668,25668,0,0,12323513931,132901361,24889,776,3,372,391514,0 72,2,2024-09-07 08:14:11:761,18269,18269,0,0,2082577,0,2570 72,3,2024-09-07 08:14:11:762,1,15,14,1,20,164,15,0 73,0,2024-09-07 08:14:11:181,3112,0.4,3158,0.7,6336,0.3,8526,2.25 73,1,2024-09-07 08:14:10:779,26089,26089,0,0,12095261132,128357260,25946,142,1,370,391495,0 73,2,2024-09-07 08:14:11:740,18228,18228,0,0,1697825,0,3482 73,3,2024-09-07 08:14:10:969,1,15,6,0,137,319,15,0 74,0,2024-09-07 08:14:11:334,4976,1.5,5033,1.4,9795,1.9,13215,3.25 74,1,2024-09-07 08:14:10:643,26027,26027,0,0,12225489156,130481781,25564,461,2,384,391494,0 74,2,2024-09-07 08:14:11:007,16841,16841,0,0,1558375,0,2736 74,3,2024-09-07 08:14:11:442,1,15,15,0,192,684,15,0 75,0,2024-09-07 08:14:11:777,5619,3.5,5717,2.1,11209,5.3,15963,4.00 75,1,2024-09-07 08:14:11:586,26290,26290,0,0,12373221735,133137461,25670,569,51,383,391514,0 75,2,2024-09-07 08:14:11:370,19971,19971,0,0,2281974,0,4766 75,3,2024-09-07 08:14:11:075,1,15,6,1,38,76,15,0 76,0,2024-09-07 08:14:10:618,3480,0.3,3525,0.6,6757,0.2,9306,1.75 76,1,2024-09-07 08:14:10:816,26267,26267,0,0,11891920357,124759126,26160,107,0,384,391530,0 76,2,2024-09-07 08:14:11:064,20574,20574,0,0,790674,0,1138 76,3,2024-09-07 08:14:11:146,1,15,7,1,140,321,15,0 77,0,2024-09-07 08:14:11:707,2189,0.2,2178,0.4,4236,0.1,5521,1.50 77,1,2024-09-07 08:14:10:838,26076,26076,0,0,12694811620,134514156,25551,460,65,383,391512,0 77,2,2024-09-07 08:14:11:286,19271,19271,0,0,797315,0,1637 77,3,2024-09-07 08:14:11:097,1,15,131,0,131,193,15,0 78,0,2024-09-07 08:14:11:736,2612,0.4,2634,0.6,5195,0.2,6899,2.00 78,1,2024-09-07 08:14:10:622,26388,26388,0,0,12240074481,128494753,26132,256,0,370,391540,0 78,2,2024-09-07 08:14:11:406,15330,15330,0,0,702739,0,2114 78,3,2024-09-07 08:14:11:133,1,15,3,0,112,311,15,0 79,0,2024-09-07 08:14:11:357,6119,0.4,6287,0.7,12548,0.5,16649,2.00 79,1,2024-09-07 08:14:10:586,26547,26547,0,0,12887278269,135547213,26121,420,6,372,391486,0 79,2,2024-09-07 08:14:11:070,20801,20801,0,0,853538,0,1314 79,3,2024-09-07 08:14:10:749,1,15,34,1,289,378,15,0 80,0,2024-09-07 08:14:11:110,1819,0.2,1916,0.3,3721,0.1,4832,1.50 80,1,2024-09-07 08:14:11:620,26128,26128,0,0,12500891739,130523523,25730,397,1,374,391673,0 80,2,2024-09-07 08:14:11:092,20804,20804,0,0,1045351,0,1679 80,3,2024-09-07 08:14:10:578,1,15,15,1,72,331,15,0 81,0,2024-09-07 08:14:11:578,2184,0.2,2249,0.3,4255,0.1,5453,1.50 81,1,2024-09-07 08:14:11:655,26267,26267,0,0,12317043166,129724851,25944,316,7,383,391646,0 81,2,2024-09-07 08:14:11:128,18329,18329,0,0,1046470,0,2811 81,3,2024-09-07 08:14:11:126,1,15,6,1,39,116,15,0 82,0,2024-09-07 08:14:11:569,2536,0.2,2475,0.4,5124,0.1,6509,1.50 82,1,2024-09-07 08:14:10:585,26249,26248,0,1,12310218723,128868721,26039,203,6,384,391529,1 82,2,2024-09-07 08:14:11:718,15239,15239,0,0,597314,0,1286 82,3,2024-09-07 08:14:11:751,1,15,1,1,65,223,15,0 83,0,2024-09-07 08:14:11:533,5566,0.5,5525,0.6,10976,0.5,15159,1.75 83,1,2024-09-07 08:14:10:552,26062,26062,0,0,12652773296,134525330,25404,579,79,384,391553,0 83,2,2024-09-07 08:14:10:771,20520,20520,0,0,1163581,0,2512 83,3,2024-09-07 08:14:10:753,1,15,27,1,27,103,15,0 84,0,2024-09-07 08:14:11:808,2842,10.0,2808,10.0,5634,6.2,8430,6.25 84,1,2024-09-07 08:14:11:048,26141,26141,0,0,12638282309,136610965,25142,760,239,370,391630,0 84,2,2024-09-07 08:14:10:574,20146,20146,0,0,1731324,0,3801 84,3,2024-09-07 08:14:11:141,1,15,8,2,13,138,15,0 85,0,2024-09-07 08:14:11:067,3612,0.5,3646,0.7,7606,0.4,9508,2.00 85,1,2024-09-07 08:14:10:566,25695,25695,0,0,12699097473,139347692,24264,1140,291,384,391505,0 85,2,2024-09-07 08:14:10:875,18335,18335,0,0,1519092,0,3656 85,3,2024-09-07 08:14:10:690,1,15,19,1,88,147,15,0 86,0,2024-09-07 08:14:10:930,3323,0.3,3507,0.4,6805,0.2,9048,1.75 86,1,2024-09-07 08:14:10:834,25924,25924,0,0,12609048888,134622855,25172,724,28,369,391506,0 86,2,2024-09-07 08:14:10:877,16786,16785,1,0,1464786,0,5004 86,3,2024-09-07 08:14:10:587,1,15,1,1,32,133,15,0 87,0,2024-09-07 08:14:11:305,4869,4.0,4895,2.5,9868,4.5,13659,2.25 87,1,2024-09-07 08:14:10:553,25896,25896,0,0,12067367035,129024493,25252,596,48,369,391551,0 87,2,2024-09-07 08:14:11:086,18192,18192,0,0,947478,0,2148 87,3,2024-09-07 08:14:11:807,1,15,29,1,322,630,15,0 88,0,2024-09-07 08:14:11:461,5167,3.2,5207,2.1,10405,4.3,13789,2.50 88,1,2024-09-07 08:14:10:580,26036,26036,0,0,12346394606,133544928,25185,759,92,369,391747,0 88,2,2024-09-07 08:14:10:692,20294,20294,0,0,1701345,0,2675 88,3,2024-09-07 08:14:11:273,1,15,24,2,28,174,15,0 89,0,2024-09-07 08:14:11:814,4318,0.6,4202,0.8,8306,0.6,11309,2.00 89,1,2024-09-07 08:14:10:556,25564,25564,0,0,12755129077,139939664,24516,541,507,384,391549,0 89,2,2024-09-07 08:14:11:144,19238,19238,0,0,1676221,0,2726 89,3,2024-09-07 08:14:11:794,1,15,153,2,153,421,15,0 90,0,2024-09-07 08:14:11:678,2373,0.3,2356,0.5,4923,0.2,6322,1.75 90,1,2024-09-07 08:14:10:591,26067,26067,0,0,12738719807,140421890,24616,1327,124,383,391522,0 90,2,2024-09-07 08:14:11:415,18749,18749,0,0,1713921,0,2635 90,3,2024-09-07 08:14:10:932,1,15,6,1,10,70,15,0 91,0,2024-09-07 08:14:11:011,4108,0.7,3950,0.9,8170,0.9,11140,2.00 91,1,2024-09-07 08:14:10:583,25846,25846,0,0,12714566233,138634357,24684,995,167,385,391914,0 91,2,2024-09-07 08:14:11:336,15588,15588,0,0,1011404,0,1997 91,3,2024-09-07 08:14:10:600,1,15,1,1,155,322,15,0 92,0,2024-09-07 08:14:11:459,6119,0.4,6158,0.5,12056,0.4,16174,1.75 92,1,2024-09-07 08:14:10:585,26260,26260,0,0,12809924267,134534724,25954,260,46,383,391569,0 92,2,2024-09-07 08:14:11:358,21179,21179,0,0,1258365,0,2279 92,3,2024-09-07 08:14:11:010,1,15,68,2,68,251,15,0 93,0,2024-09-07 08:14:11:013,2563,0.8,2621,0.7,5010,0.6,7055,2.25 93,1,2024-09-07 08:14:10:808,26211,26211,0,0,12526416421,130965638,26053,155,3,368,391689,0 93,2,2024-09-07 08:14:10:943,20691,20691,0,0,841498,0,1122 93,3,2024-09-07 08:14:11:408,1,15,19,1,143,266,15,0 94,0,2024-09-07 08:14:11:629,1888,0.2,1833,0.3,3739,0.1,4850,1.50 94,1,2024-09-07 08:14:10:569,26129,26129,0,0,13042693610,138375758,25595,528,6,383,391554,0 94,2,2024-09-07 08:14:10:763,18949,18949,0,0,1051469,0,1446 94,3,2024-09-07 08:14:11:689,1,15,3,1,231,462,15,0 95,0,2024-09-07 08:14:11:402,2236,0.5,2197,0.6,4556,0.4,6145,2.25 95,1,2024-09-07 08:14:10:853,26137,26137,0,0,12575979746,131642929,25981,152,4,369,391590,0 95,2,2024-09-07 08:14:11:019,14823,14823,0,0,505552,0,943 95,3,2024-09-07 08:14:11:724,1,15,8,1,45,124,15,0 96,0,2024-09-07 08:14:11:071,6406,0.4,6296,0.5,12700,0.4,17228,1.75 96,1,2024-09-07 08:14:11:589,26473,26473,0,0,12608234425,132642178,26305,167,1,385,391551,0 96,2,2024-09-07 08:14:11:270,21035,21035,0,0,890319,0,1251 96,3,2024-09-07 08:14:11:146,1,15,12,7,30,204,15,0 97,0,2024-09-07 08:14:11:321,1746,0.2,1702,0.3,3477,0.1,4301,1.25 97,1,2024-09-07 08:14:10:764,26489,26489,0,0,13115800106,136268351,26218,265,6,367,391530,0 97,2,2024-09-07 08:14:10:609,20950,20950,0,0,946713,0,1663 97,3,2024-09-07 08:14:10:573,1,15,3,1,77,146,15,0 98,0,2024-09-07 08:14:11:725,2941,0.2,2878,0.4,5793,0.2,7494,1.50 98,1,2024-09-07 08:14:10:579,26142,26142,0,0,12772931676,133164399,26063,78,1,384,391588,0 98,2,2024-09-07 08:14:10:769,18713,18713,0,0,962743,0,2410 98,3,2024-09-07 08:14:10:707,1,15,1,1,155,219,15,0 99,0,2024-09-07 08:14:11:535,3142,0.2,3132,0.4,6265,0.2,7884,1.50 99,1,2024-09-07 08:14:11:737,26744,26744,0,0,12025865868,125456836,26675,66,3,383,391519,0 99,2,2024-09-07 08:14:11:422,15820,15820,0,0,793986,0,1495 99,3,2024-09-07 08:14:10:598,1,15,2,1,26,137,15,0 100,0,2024-09-07 08:14:11:492,5311,2.2,5315,5.8,10685,5.9,14784,3.00 100,1,2024-09-07 08:14:10:550,25899,25899,0,0,12314732123,136764518,24390,1250,259,382,391505,0 100,2,2024-09-07 08:14:11:833,19565,19554,11,0,1672983,0,5417 100,3,2024-09-07 08:14:11:758,1,15,19,7,443,660,15,0 101,0,2024-09-07 08:14:11:729,3630,9.4,3530,7.0,7113,9.4,10588,4.75 101,1,2024-09-07 08:14:10:561,25765,25765,0,0,12765917556,138504308,24804,537,424,370,391531,0 101,2,2024-09-07 08:14:11:762,20456,20456,0,0,1847920,0,4644 101,3,2024-09-07 08:14:10:943,1,15,8,1,448,561,15,0 102,0,2024-09-07 08:14:10:978,4449,1.0,4542,0.9,9082,0.7,11846,2.25 102,1,2024-09-07 08:14:11:146,26267,26267,0,0,12415757215,132489672,25747,510,10,371,391621,0 102,2,2024-09-07 08:14:11:740,18869,18869,0,0,1060646,0,1699 102,3,2024-09-07 08:14:11:614,1,15,1,0,410,578,15,0 103,0,2024-09-07 08:14:11:726,3190,0.3,3214,0.5,6129,0.2,8609,1.75 103,1,2024-09-07 08:14:11:672,26286,26286,0,0,12724702940,134391557,25933,306,47,381,391680,0 103,2,2024-09-07 08:14:10:585,18740,18740,0,0,1037614,0,1484 103,3,2024-09-07 08:14:10:757,1,15,9,1,486,721,15,0 104,0,2024-09-07 08:14:11:101,4998,2.2,5016,1.6,9650,2.6,13216,2.25 104,1,2024-09-07 08:14:11:606,26005,26005,0,0,12255728119,134973886,24715,974,316,369,391948,0 104,2,2024-09-07 08:14:11:669,16515,16515,0,0,1599061,0,3941 104,3,2024-09-07 08:14:11:431,1,15,10,8,25,230,15,0 105,0,2024-09-07 08:14:11:065,5544,2.8,5435,2.3,11089,5.5,15494,4.50 105,1,2024-09-07 08:14:10:565,25644,25644,0,0,12332135871,136250950,24056,1025,563,367,391797,0 105,2,2024-09-07 08:14:11:325,20223,20223,0,0,1733608,0,3314 105,3,2024-09-07 08:14:11:304,1,15,15,1,169,360,15,0 106,0,2024-09-07 08:14:11:012,3415,0.4,3384,0.8,6877,0.4,9303,2.00 106,1,2024-09-07 08:14:11:761,26069,26069,0,0,12229837419,132198948,25245,796,28,373,391767,0 106,2,2024-09-07 08:14:10:761,19955,19955,0,0,1457038,0,1781 106,3,2024-09-07 08:14:10:694,1,15,5,1,171,210,15,0 107,0,2024-09-07 08:14:11:161,2214,0.3,2141,0.6,4251,0.2,5452,1.75 107,1,2024-09-07 08:14:10:585,25850,25850,0,0,12561329001,135903398,25020,704,126,382,391848,0 107,2,2024-09-07 08:14:11:301,18994,18993,1,0,1383761,0,5024 107,3,2024-09-07 08:14:11:759,1,15,11,1,75,282,15,0 108,0,2024-09-07 08:14:11:799,2664,0.2,2616,0.5,5125,0.2,6896,1.50 108,1,2024-09-07 08:14:11:316,26152,26152,0,0,12584503962,133954037,25224,824,104,372,391563,0 108,2,2024-09-07 08:14:11:758,15320,15320,0,0,705835,0,2051 108,3,2024-09-07 08:14:11:334,1,15,1,1,18,173,15,0 109,0,2024-09-07 08:14:11:782,6244,0.5,6411,0.6,12209,0.4,16790,1.75 109,1,2024-09-07 08:14:10:587,26197,26197,0,0,13131742581,138266003,25970,220,7,386,391517,0 109,2,2024-09-07 08:14:10:934,20175,20175,0,0,1086679,0,2276 109,3,2024-09-07 08:14:11:140,1,15,2,1,120,411,15,0 110,0,2024-09-07 08:14:11:763,1841,0.2,1859,0.3,3728,0.1,4769,1.50 110,1,2024-09-07 08:14:11:647,26662,26662,0,0,12412784146,128872775,26562,100,0,371,391564,0 110,2,2024-09-07 08:14:11:304,21142,21142,0,0,1340348,0,2915 110,3,2024-09-07 08:14:10:699,1,15,1,1,183,248,15,0 111,0,2024-09-07 08:14:11:447,2147,0.1,2145,0.2,4382,0.1,5430,1.50 111,1,2024-09-07 08:14:11:027,26341,26341,0,0,13612762061,141208163,26197,132,12,383,391597,0 111,2,2024-09-07 08:14:11:125,18928,18928,0,0,1031347,0,2256 111,3,2024-09-07 08:14:10:917,1,15,8,1,89,332,15,0 112,0,2024-09-07 08:14:10:941,2506,0.8,2566,0.6,5236,0.2,6530,1.75 112,1,2024-09-07 08:14:10:837,26395,26395,0,0,12813371876,134342850,26207,187,1,382,391512,0 112,2,2024-09-07 08:14:11:134,15147,15147,0,0,858440,0,3152 112,3,2024-09-07 08:14:10:592,1,15,12,1,21,139,15,0 113,0,2024-09-07 08:14:10:949,5394,0.4,5450,0.6,11029,0.4,15191,1.75 113,1,2024-09-07 08:14:11:691,26303,26303,0,0,13009841941,135550383,26057,246,0,368,391661,0 113,2,2024-09-07 08:14:11:307,20609,20609,0,0,847835,0,1597 113,3,2024-09-07 08:14:10:687,1,15,1,1,130,262,15,0 114,0,2024-09-07 08:14:10:896,3233,7.5,3279,6.2,6338,4.4,8882,2.75 114,1,2024-09-07 08:14:10:724,26522,26522,0,0,12870043993,133890629,26325,197,0,383,391513,0 114,2,2024-09-07 08:14:10:875,20541,20541,0,0,1218547,0,3433 114,3,2024-09-07 08:14:11:278,1,15,75,1,159,254,15,0 115,0,2024-09-07 08:14:10:572,3650,0.3,3753,0.5,7485,0.2,9580,1.50 115,1,2024-09-07 08:14:10:611,26225,26225,0,0,12957611531,136717693,25704,414,107,384,391495,0 115,2,2024-09-07 08:14:11:128,19530,19530,0,0,875246,0,1535 115,3,2024-09-07 08:14:11:004,1,15,1,1,40,91,15,0 116,0,2024-09-07 08:14:11:716,3394,0.3,3407,0.6,6884,0.2,9051,1.75 116,1,2024-09-07 08:14:10:806,25682,25682,0,0,12561137672,138700779,24539,307,836,382,391536,0 116,2,2024-09-07 08:14:11:751,17064,17064,0,0,990627,0,2154 116,3,2024-09-07 08:14:10:917,1,15,12,2,132,256,15,0 117,0,2024-09-07 08:14:10:988,5014,3.9,4901,2.5,9801,4.6,13732,2.50 117,1,2024-09-07 08:14:11:585,26088,26088,0,0,12434820911,134315798,25191,678,219,372,391523,0 117,2,2024-09-07 08:14:11:124,18318,18318,0,0,1378559,0,3700 117,3,2024-09-07 08:14:11:061,1,15,17,1,490,984,15,0 118,0,2024-09-07 08:14:11:816,5046,2.6,5132,1.7,10532,3.9,13730,3.25 118,1,2024-09-07 08:14:10:586,26164,26164,0,0,12368813076,130831252,25847,316,1,369,391503,0 118,2,2024-09-07 08:14:11:600,20394,20394,0,0,1186176,0,1435 118,3,2024-09-07 08:14:11:767,1,15,3,1,79,255,15,0 119,0,2024-09-07 08:14:11:383,4037,0.4,4149,0.7,8405,0.3,11220,2.00 119,1,2024-09-07 08:14:10:554,25872,25872,0,0,12616438946,135624961,25035,707,130,371,391576,0 119,2,2024-09-07 08:14:11:264,19474,19474,0,0,1172816,0,2222 119,3,2024-09-07 08:14:11:325,1,15,6,1,375,443,15,0 120,0,2024-09-07 08:14:11:560,2416,0.3,2405,0.5,4820,0.2,6338,1.75 120,1,2024-09-07 08:14:10:872,26139,26139,0,0,12714037640,137239908,25547,586,6,369,391702,0 120,2,2024-09-07 08:14:10:777,18860,18859,1,0,2171859,0,5281 120,3,2024-09-07 08:14:11:290,1,15,14,7,241,418,15,0 121,0,2024-09-07 08:14:11:733,3920,3.1,4015,2.0,7910,4.3,11073,2.50 121,1,2024-09-07 08:14:11:698,25679,25679,0,0,12498840141,137190888,24578,865,236,370,391633,0 121,2,2024-09-07 08:14:11:132,15755,15755,0,0,1618245,0,4127 121,3,2024-09-07 08:14:10:729,1,15,2,1,71,169,15,0 122,0,2024-09-07 08:14:11:830,5776,4.3,5634,4.3,11807,4.8,16194,2.75 122,1,2024-09-07 08:14:10:876,25389,25389,0,0,12545236936,139392377,23823,1199,367,369,392130,0 122,2,2024-09-07 08:14:11:326,20302,20302,0,0,2198270,0,2574 122,3,2024-09-07 08:14:10:599,1,15,4,1,226,1042,15,0 123,0,2024-09-07 08:14:10:982,2566,0.4,2479,0.7,5106,0.3,7015,2.00 123,1,2024-09-07 08:14:10:562,25686,25686,0,0,12552762441,141545253,23348,1884,454,371,391708,0 123,2,2024-09-07 08:14:11:026,20135,20134,1,0,2098911,0,5215 123,3,2024-09-07 08:14:11:132,1,15,1,1,160,404,15,0 124,0,2024-09-07 08:14:10:948,1873,0.2,1880,0.3,3692,0.1,4820,1.50 124,1,2024-09-07 08:14:11:023,26454,26454,0,0,12585058794,131316444,26320,133,1,371,392129,0 124,2,2024-09-07 08:14:11:016,18866,18866,0,0,1022793,0,2477 124,3,2024-09-07 08:14:10:761,1,15,9,2,137,471,15,0 125,0,2024-09-07 08:14:11:487,2258,0.3,2214,0.5,4539,0.2,6161,1.75 125,1,2024-09-07 08:14:10:856,25941,25941,0,0,12321752584,130940955,25376,556,9,385,391702,0 125,2,2024-09-07 08:14:11:124,14895,14895,0,0,794142,0,2180 125,3,2024-09-07 08:14:11:128,1,15,2,1,93,284,15,0 126,0,2024-09-07 08:14:11:446,6263,0.6,6436,0.8,12454,0.7,17204,1.75 126,1,2024-09-07 08:14:10:555,26355,26355,0,0,12795052870,134830421,25943,405,7,368,391719,0 126,2,2024-09-07 08:14:10:625,21004,21004,0,0,1036305,0,1557 126,3,2024-09-07 08:14:10:910,1,15,1,1,45,165,15,0 127,0,2024-09-07 08:14:11:628,1736,0.2,1726,0.3,3456,0.1,4284,1.50 127,1,2024-09-07 08:14:10:652,26157,26157,0,0,11772116282,122339820,26100,56,1,366,391488,0 127,2,2024-09-07 08:14:10:653,21064,21064,0,0,1037200,0,1744 127,3,2024-09-07 08:14:11:271,1,15,26,0,99,212,15,0 128,0,2024-09-07 08:14:11:542,2852,0.3,2892,0.6,5791,0.2,7439,1.75 128,1,2024-09-07 08:14:11:613,26233,26233,0,0,13012187455,135620483,26061,171,1,370,391605,0 128,2,2024-09-07 08:14:11:387,18389,18389,0,0,1050640,0,1712 128,3,2024-09-07 08:14:10:769,1,15,12,5,20,185,15,0 129,0,2024-09-07 08:14:11:045,3135,0.4,3196,0.6,6351,0.3,7875,2.00 129,1,2024-09-07 08:14:10:577,26369,26369,0,0,12879422532,135315220,26071,282,16,383,391835,0 129,2,2024-09-07 08:14:10:698,15708,15708,0,0,629446,0,2289 129,3,2024-09-07 08:14:10:689,1,15,1,1,82,152,15,0 130,0,2024-09-07 08:14:11:770,5658,1.3,5619,1.3,11204,2.4,15294,2.00 130,1,2024-09-07 08:14:10:618,26282,26282,0,0,12716799804,133895502,26006,275,1,381,391516,0 130,2,2024-09-07 08:14:11:130,19720,19720,0,0,831689,0,1526 130,3,2024-09-07 08:14:11:297,1,15,1,1,66,117,15,0 131,0,2024-09-07 08:14:11:955,3995,3.4,3890,2.5,7980,4.1,10617,3.00 131,1,2024-09-07 08:14:11:835,26424,26424,0,0,12567103054,131563102,26315,108,1,385,391727,0 131,2,2024-09-07 08:14:10:571,20339,20339,0,0,1093779,0,1766 131,3,2024-09-07 08:14:11:697,1,15,2,1,23,77,15,0 132,0,2024-09-07 08:14:11:450,4445,0.5,4538,1.0,9028,0.5,11902,2.00 132,1,2024-09-07 08:14:10:577,25749,25749,0,0,12072220486,132573253,24445,1042,262,383,391533,0 132,2,2024-09-07 08:14:10:713,18678,18678,0,0,2645442,0,4606 132,3,2024-09-07 08:14:11:692,1,15,17,5,356,537,15,0 133,0,2024-09-07 08:14:11:527,3089,0.3,3129,0.5,6346,0.2,8510,1.75 133,1,2024-09-07 08:14:10:587,25794,25794,0,0,12278219314,131748164,25044,746,4,385,391492,0 133,2,2024-09-07 08:14:11:089,18834,18834,0,0,1049412,0,1707 133,3,2024-09-07 08:14:11:301,1,15,5,1,26,82,15,0 134,0,2024-09-07 08:14:10:991,4955,0.5,4936,0.9,9903,0.5,13180,2.00 134,1,2024-09-07 08:14:10:586,25927,25927,0,0,12655922678,139629161,24428,753,746,366,391508,0 134,2,2024-09-07 08:14:11:762,16635,16635,0,0,824322,0,1739 134,3,2024-09-07 08:14:10:749,1,15,3,1,63,275,15,0 135,0,2024-09-07 08:14:11:116,5574,3.5,5536,3.0,11694,3.0,15672,3.00 135,1,2024-09-07 08:14:11:589,26114,26114,0,0,12684692115,133465751,25749,349,16,383,391491,0 135,2,2024-09-07 08:14:10:691,20416,20416,0,0,1471104,0,3016 135,3,2024-09-07 08:14:11:004,1,15,1,1,68,192,15,0 136,0,2024-09-07 08:14:11:629,3469,0.6,3467,1.0,6943,0.5,9361,2.50 136,1,2024-09-07 08:14:11:459,26237,26237,0,0,12679529432,139670493,24907,1189,141,386,391501,0 136,2,2024-09-07 08:14:11:138,20459,20459,0,0,1256823,0,1692 136,3,2024-09-07 08:14:11:112,1,15,8,2,24,193,15,0 137,0,2024-09-07 08:14:11:052,2190,0.3,2179,0.6,4211,0.2,5489,2.00 137,1,2024-09-07 08:14:10:579,25855,25855,0,0,12342146440,133310602,25093,719,43,370,391489,0 137,2,2024-09-07 08:14:11:731,18550,18550,0,0,1818535,0,2294 137,3,2024-09-07 08:14:10:770,1,15,3,1,13,86,15,0 138,0,2024-09-07 08:14:11:789,2581,1.1,2608,1.2,5208,1.1,6897,3.25 138,1,2024-09-07 08:14:11:692,25866,25866,0,0,12674889907,138670959,24607,1028,231,371,391572,0 138,2,2024-09-07 08:14:10:591,15041,15041,0,0,1285408,0,3263 138,3,2024-09-07 08:14:10:610,1,15,68,1,68,200,15,0 139,0,2024-09-07 08:14:11:445,5854,6.0,5787,3.5,11867,6.3,16305,4.25 139,1,2024-09-07 08:14:10:582,25680,25680,0,0,12203106595,139454922,23744,1253,683,386,391511,0 139,2,2024-09-07 08:14:10:702,20567,20567,0,0,1886627,0,2425 139,3,2024-09-07 08:14:11:662,1,15,5,1,104,263,15,0 140,0,2024-09-07 08:14:11:621,1884,0.2,1853,0.3,3768,0.1,4826,1.50 140,1,2024-09-07 08:14:11:543,26327,26327,0,0,12845867080,133798640,26169,158,0,366,391482,0 140,2,2024-09-07 08:14:10:692,20779,20779,0,0,1528058,0,2707 140,3,2024-09-07 08:14:10:769,1,15,17,2,25,161,15,0 141,0,2024-09-07 08:14:11:710,2112,0.2,2187,0.3,4258,0.1,5416,1.50 141,1,2024-09-07 08:14:10:868,26379,26379,0,0,13180767063,137336936,26174,205,0,382,391532,0 141,2,2024-09-07 08:14:11:691,18644,18644,0,0,870591,0,1869 141,3,2024-09-07 08:14:11:053,1,15,4,0,42,92,15,0 142,0,2024-09-07 08:14:11:335,2572,0.2,2573,0.5,5206,0.2,6520,1.50 142,1,2024-09-07 08:14:10:587,26332,26332,0,0,12617892889,131442439,26319,13,0,385,391493,0 142,2,2024-09-07 08:14:11:301,14972,14972,0,0,870987,0,2293 142,3,2024-09-07 08:14:11:750,1,15,2,1,53,119,15,0 143,0,2024-09-07 08:14:11:384,5425,1.2,5452,0.9,11076,1.5,15029,2.00 143,1,2024-09-07 08:14:10:581,26138,26138,0,0,12618650500,131515955,25961,177,0,370,391492,0 143,2,2024-09-07 08:14:10:784,20365,20365,0,0,1275062,0,2572 143,3,2024-09-07 08:14:11:140,1,15,236,1,236,565,15,0 144,0,2024-09-07 08:14:11:545,2869,9.0,2946,10.0,5843,7.4,8746,3.25 144,1,2024-09-07 08:14:10:580,26200,26200,0,0,12486320063,132396594,25779,380,41,383,391562,0 144,2,2024-09-07 08:14:11:756,20547,20547,0,0,1035163,0,1980 144,3,2024-09-07 08:14:11:739,1,15,10,2,99,301,15,0 145,0,2024-09-07 08:14:11:425,3609,0.5,3655,0.8,7531,0.4,9501,2.00 145,1,2024-09-07 08:14:10:602,25857,25857,0,0,12319806415,130898738,25612,245,0,384,391603,0 145,2,2024-09-07 08:14:11:448,18792,18792,0,0,2046635,0,3903 145,3,2024-09-07 08:14:10:895,1,15,84,1,151,541,15,0 146,0,2024-09-07 08:14:11:669,3433,0.3,3448,0.5,6898,0.2,9042,1.75 146,1,2024-09-07 08:14:11:606,25921,25921,0,0,12648453569,139562455,24333,1290,298,371,391512,0 146,2,2024-09-07 08:14:11:696,16864,16864,0,0,1247584,0,2149 146,3,2024-09-07 08:14:11:274,1,15,1,0,54,199,15,0 147,0,2024-09-07 08:14:11:740,4866,4.5,4851,2.7,9662,5.6,13856,3.25 147,1,2024-09-07 08:14:11:398,26377,26377,0,0,12632225829,131764096,26260,114,3,369,391791,0 147,2,2024-09-07 08:14:11:011,18658,18658,0,0,874618,0,2526 147,3,2024-09-07 08:14:10:917,1,15,3,1,141,397,15,0 0,0,2024-09-07 08:14:21:739,2536,0.3,2604,0.4,5357,0.2,6903,1.75 0,1,2024-09-07 08:14:20:806,27821,27821,0,0,13521334676,144035105,27305,411,105,373,391658,0 0,2,2024-09-07 08:14:21:074,20110,20110,0,0,745426,0,951 0,3,2024-09-07 08:14:20:979,1,16,247,3,247,425,16,0 1,0,2024-09-07 08:14:21:811,4456,1.3,4415,1.1,9022,1.8,12416,2.00 1,1,2024-09-07 08:14:20:573,27775,27775,0,0,12804272063,134883263,27402,339,34,372,391577,0 1,2,2024-09-07 08:14:20:661,17027,17027,0,0,874945,0,1544 1,3,2024-09-07 08:14:21:314,1,16,16,1,167,339,16,0 2,0,2024-09-07 08:14:21:614,6269,0.6,6492,1.0,12432,0.9,16800,1.75 2,1,2024-09-07 08:14:20:872,27804,27804,0,0,13257643768,138738630,27709,95,0,382,391527,0 2,2,2024-09-07 08:14:21:267,21892,21892,0,0,1581925,0,3304 2,3,2024-09-07 08:14:20:695,1,16,2,1,87,302,16,0 3,0,2024-09-07 08:14:21:764,2858,0.3,2934,0.5,5910,0.2,8029,1.75 3,1,2024-09-07 08:14:21:620,27920,27920,0,0,13926213045,146916982,27519,391,10,383,391494,0 3,2,2024-09-07 08:14:21:156,21608,21608,0,0,1096720,0,2192 3,3,2024-09-07 08:14:21:753,1,16,2,1,23,74,16,0 4,0,2024-09-07 08:14:21:832,2035,0.3,2095,0.4,4105,0.2,5341,1.50 4,1,2024-09-07 08:14:20:596,27823,27823,0,0,13141916059,142054029,26828,652,343,374,391682,0 4,2,2024-09-07 08:14:21:022,20085,20085,0,0,1833205,0,4528 4,3,2024-09-07 08:14:21:033,1,16,287,8,287,537,16,0 5,0,2024-09-07 08:14:21:396,2617,0.3,2595,0.5,5129,0.2,7082,1.75 5,1,2024-09-07 08:14:20:767,27789,27789,0,0,13645317615,144501340,27267,431,91,368,392005,0 5,2,2024-09-07 08:14:21:835,16260,16260,0,0,890536,0,1912 5,3,2024-09-07 08:14:21:733,1,16,2,1,47,109,16,0 6,0,2024-09-07 08:14:20:924,6797,0.7,6762,1.0,13477,0.9,18258,2.00 6,1,2024-09-07 08:14:20:753,27981,27981,0,0,13190541974,138309951,27727,250,4,382,391603,0 6,2,2024-09-07 08:14:21:118,22320,22320,0,0,1443583,0,1686 6,3,2024-09-07 08:14:21:274,1,16,3,1,277,513,16,0 7,0,2024-09-07 08:14:21:540,1834,0.2,1826,0.4,3639,0.1,4622,1.50 7,1,2024-09-07 08:14:20:856,27602,27602,0,0,12937622476,137807550,27062,476,64,385,391558,0 7,2,2024-09-07 08:14:20:774,22265,22265,0,0,1379826,0,2981 7,3,2024-09-07 08:14:20:858,1,16,2,1,46,164,16,0 8,0,2024-09-07 08:14:21:334,3112,0.3,3018,0.5,5961,0.2,7798,1.50 8,1,2024-09-07 08:14:21:020,27122,27122,0,0,13089240391,151351525,24388,1502,1232,368,391724,0 8,2,2024-09-07 08:14:20:814,19402,19402,0,0,1819169,0,2986 8,3,2024-09-07 08:14:20:586,1,16,79,8,229,497,16,0 9,0,2024-09-07 08:14:21:128,3212,0.4,3141,0.6,6475,0.3,7883,1.75 9,1,2024-09-07 08:14:20:569,27371,27371,0,0,13228127315,146791255,25752,1141,478,373,391496,0 9,2,2024-09-07 08:14:21:085,16448,16448,0,0,1095834,0,1673 9,3,2024-09-07 08:14:21:753,1,16,12,1,50,215,16,0 10,0,2024-09-07 08:14:21:617,5989,0.6,5993,0.7,12013,0.6,16004,1.75 10,1,2024-09-07 08:14:20:585,27522,27522,0,0,13310179027,148957545,25408,1734,380,383,391540,0 10,2,2024-09-07 08:14:20:770,20800,20800,0,0,2213059,0,2940 10,3,2024-09-07 08:14:20:874,1,16,2,0,118,152,16,0 11,0,2024-09-07 08:14:21:016,3714,6.9,3666,4.4,7656,8.2,10835,3.75 11,1,2024-09-07 08:14:20:574,27234,27234,0,0,13596452555,151880314,25253,1246,735,384,391485,0 11,2,2024-09-07 08:14:21:131,21586,21586,0,0,1749579,0,2635 11,3,2024-09-07 08:14:21:302,1,16,720,1,720,923,16,0 12,0,2024-09-07 08:14:20:971,4788,0.3,4759,0.5,9504,0.2,12424,1.75 12,1,2024-09-07 08:14:20:939,27746,27746,0,0,13102307076,138348862,27465,278,3,372,391611,0 12,2,2024-09-07 08:14:21:544,20490,20490,0,0,1431113,0,2254 12,3,2024-09-07 08:14:21:066,1,16,179,2,179,403,16,0 13,0,2024-09-07 08:14:21:357,3476,0.4,3548,0.7,6940,0.3,9460,2.00 13,1,2024-09-07 08:14:21:536,28166,28166,0,0,13488108975,142894779,27745,382,39,385,391563,0 13,2,2024-09-07 08:14:20:599,19088,19088,0,0,834917,0,2239 13,3,2024-09-07 08:14:21:764,1,16,6,1,153,373,16,0 14,0,2024-09-07 08:14:20:577,5318,0.6,5358,0.7,10421,0.6,13956,1.75 14,1,2024-09-07 08:14:21:566,28165,28165,0,0,13225432209,138570178,27761,376,28,365,391481,0 14,2,2024-09-07 08:14:20:774,18368,18368,0,0,989659,0,1934 14,3,2024-09-07 08:14:21:116,1,16,1,1,40,98,16,0 15,0,2024-09-07 08:14:21:569,5925,4.5,6021,2.6,11584,6.3,17119,4.25 15,1,2024-09-07 08:14:21:619,27993,27993,0,0,13366688775,139886513,27860,133,0,381,391481,0 15,2,2024-09-07 08:14:21:000,22266,22266,0,0,792599,0,993 15,3,2024-09-07 08:14:21:408,1,16,2,0,538,879,16,0 16,0,2024-09-07 08:14:20:985,3733,0.4,3720,0.6,7517,0.3,10129,2.75 16,1,2024-09-07 08:14:20:569,27976,27976,0,0,12916363049,136811000,27509,464,3,373,391543,0 16,2,2024-09-07 08:14:21:453,20842,20842,0,0,1540914,0,4719 16,3,2024-09-07 08:14:21:151,1,16,179,9,231,671,16,0 17,0,2024-09-07 08:14:21:843,2389,0.2,2392,0.4,4554,0.2,5932,1.75 17,1,2024-09-07 08:14:20:580,27996,27996,0,0,13785005006,146644083,27440,420,136,370,391671,0 17,2,2024-09-07 08:14:21:677,20348,20348,0,0,1095349,0,1865 17,3,2024-09-07 08:14:20:583,1,16,268,0,268,409,16,0 18,0,2024-09-07 08:14:20:955,2841,0.4,2829,0.6,5758,0.2,7521,2.00 18,1,2024-09-07 08:14:21:639,27619,27619,0,0,12969541936,137513510,27106,428,85,370,391518,0 18,2,2024-09-07 08:14:21:758,16444,16444,0,0,1027170,0,2688 18,3,2024-09-07 08:14:20:899,1,16,2,1,142,227,16,0 19,0,2024-09-07 08:14:21:549,6601,1.1,6677,1.0,13019,1.5,17608,3.00 19,1,2024-09-07 08:14:20:574,28042,28042,0,0,13268461219,140390119,27412,578,52,367,391512,0 19,2,2024-09-07 08:14:21:754,22215,22215,0,0,1337042,0,3599 19,3,2024-09-07 08:14:21:130,1,16,2,1,29,59,16,0 20,0,2024-09-07 08:14:21:381,2062,0.2,2128,0.4,4114,0.2,5269,1.50 20,1,2024-09-07 08:14:20:573,27738,27738,0,0,13954267255,147267776,27367,370,1,373,391488,0 20,2,2024-09-07 08:14:20:937,21891,21891,0,0,1332078,0,1616 20,3,2024-09-07 08:14:20:595,1,16,16,1,99,329,16,0 21,0,2024-09-07 08:14:21:157,2334,0.2,2290,0.4,4516,0.2,5693,1.75 21,1,2024-09-07 08:14:21:540,27840,27840,0,0,12830980382,137158446,27236,579,25,368,391962,0 21,2,2024-09-07 08:14:21:068,20300,20300,0,0,1371567,0,3747 21,3,2024-09-07 08:14:21:407,1,16,3,1,30,187,16,0 22,0,2024-09-07 08:14:21:748,2674,0.3,2824,0.7,5485,0.3,6911,1.75 22,1,2024-09-07 08:14:21:024,27704,27704,0,0,13116195193,143678573,26381,1016,307,382,391667,0 22,2,2024-09-07 08:14:20:767,16403,16403,0,0,793309,0,1503 22,3,2024-09-07 08:14:21:066,1,16,1,0,48,110,16,0 23,0,2024-09-07 08:14:21:419,5829,0.4,6051,0.6,11918,0.4,16537,1.75 23,1,2024-09-07 08:14:21:005,28336,28336,0,0,13949836117,145296571,28196,140,0,369,391482,0 23,2,2024-09-07 08:14:21:093,22177,22177,0,0,1177036,0,2078 23,3,2024-09-07 08:14:21:754,1,16,2,0,141,230,16,0 24,0,2024-09-07 08:14:20:870,3573,1.5,3566,1.7,7233,2.5,9242,2.00 24,1,2024-09-07 08:14:20:592,27929,27929,0,0,13094836251,140664584,27423,503,3,371,391640,0 24,2,2024-09-07 08:14:21:072,21556,21556,0,0,2443045,0,2942 24,3,2024-09-07 08:14:21:691,1,16,11,2,234,399,16,0 25,0,2024-09-07 08:14:21:385,3867,0.3,3861,0.5,7407,0.2,9722,1.75 25,1,2024-09-07 08:14:20:574,27728,27728,0,0,13257544834,142373027,27164,549,15,373,391544,0 25,2,2024-09-07 08:14:21:611,20230,20230,0,0,1783721,0,1963 25,3,2024-09-07 08:14:21:000,1,16,2,1,50,245,16,0 26,0,2024-09-07 08:14:21:731,3626,0.2,3542,0.4,7428,0.2,9497,1.50 26,1,2024-09-07 08:14:21:551,27205,27205,0,0,12703905380,145010663,25063,1444,698,383,391496,0 26,2,2024-09-07 08:14:20:863,17673,17673,0,0,1823975,0,2809 26,3,2024-09-07 08:14:21:716,1,16,4,1,796,888,16,0 27,0,2024-09-07 08:14:21:731,5411,0.6,5448,0.8,10915,0.6,15049,1.75 27,1,2024-09-07 08:14:21:684,28344,28344,0,0,13583781571,142355569,28233,110,1,381,391526,0 27,2,2024-09-07 08:14:20:875,19349,19349,0,0,2648768,0,3146 27,3,2024-09-07 08:14:21:016,1,16,26,1,86,213,16,0 28,0,2024-09-07 08:14:21:417,5293,2.5,5317,1.9,10745,4.0,14082,2.25 28,1,2024-09-07 08:14:20:803,28350,28350,0,0,13772480611,144901183,28142,208,0,383,391488,0 28,2,2024-09-07 08:14:21:771,21959,21959,0,0,1090209,0,2177 28,3,2024-09-07 08:14:21:777,1,16,9,3,20,171,16,0 29,0,2024-09-07 08:14:21:380,4605,0.3,4526,0.6,8802,0.2,11968,1.75 29,1,2024-09-07 08:14:21:575,28076,28076,0,0,13394925791,140656450,27571,333,172,371,391621,0 29,2,2024-09-07 08:14:20:868,20669,20669,0,0,864790,0,2026 29,3,2024-09-07 08:14:20:964,1,16,5,1,105,228,16,0 30,0,2024-09-07 08:14:21:473,2634,0.3,2579,0.5,5189,0.1,6898,1.75 30,1,2024-09-07 08:14:20:572,27501,27501,0,0,13939924579,146606695,27319,181,1,382,391524,0 30,2,2024-09-07 08:14:21:277,20026,20026,0,0,778082,0,1125 30,3,2024-09-07 08:14:20:581,1,16,2,0,110,147,16,0 31,0,2024-09-07 08:14:21:767,4461,0.6,4536,0.8,9088,0.6,12458,2.00 31,1,2024-09-07 08:14:20:570,28016,28016,0,0,13364684286,137847614,27982,34,0,356,391478,0 31,2,2024-09-07 08:14:21:277,17464,17464,0,0,1106562,0,1685 31,3,2024-09-07 08:14:21:705,1,16,7,0,40,82,16,0 32,0,2024-09-07 08:14:21:452,6294,0.4,6426,0.7,12838,0.3,16724,1.50 32,1,2024-09-07 08:14:20:809,27860,27860,0,0,13180730111,137580096,27769,89,2,383,391517,0 32,2,2024-09-07 08:14:20:941,21939,21939,0,0,1052752,0,1970 32,3,2024-09-07 08:14:21:016,1,16,13,1,37,180,16,0 33,0,2024-09-07 08:14:21:510,3003,0.2,2967,0.3,5833,0.1,7998,1.50 33,1,2024-09-07 08:14:20:576,27853,27853,0,0,13466682395,140908851,27524,318,11,369,391482,0 33,2,2024-09-07 08:14:20:769,21466,21466,0,0,1526465,0,3364 33,3,2024-09-07 08:14:20:896,1,16,4,1,27,106,16,0 34,0,2024-09-07 08:14:20:936,2023,0.1,2075,0.3,4056,0.1,5253,1.50 34,1,2024-09-07 08:14:21:046,28079,28079,0,0,13472781934,140412037,27893,185,1,369,391481,0 34,2,2024-09-07 08:14:20:773,20143,20143,0,0,1403718,0,3073 34,3,2024-09-07 08:14:21:697,1,16,18,0,46,115,16,0 35,0,2024-09-07 08:14:20:864,2565,0.2,2626,0.4,5078,0.1,7057,1.50 35,1,2024-09-07 08:14:21:069,27664,27664,0,0,13019193370,136914792,27135,428,101,385,391481,0 35,2,2024-09-07 08:14:21:586,16557,16557,0,0,841722,0,2276 35,3,2024-09-07 08:14:20:908,1,16,98,1,219,474,16,0 36,0,2024-09-07 08:14:21:555,6683,1.2,6679,1.3,13612,1.3,18136,2.75 36,1,2024-09-07 08:14:20:588,27447,27447,0,0,12704406028,141073039,25507,1184,756,370,391535,0 36,2,2024-09-07 08:14:21:770,22233,22233,0,0,1220838,0,1272 36,3,2024-09-07 08:14:20:864,1,16,14,1,54,260,16,0 37,0,2024-09-07 08:14:21:429,1857,0.2,1883,0.4,3633,0.1,4617,1.50 37,1,2024-09-07 08:14:20:584,27059,27052,0,7,13092401650,149490527,24928,617,1507,368,391507,0 37,2,2024-09-07 08:14:21:150,22544,22544,0,0,1182573,0,1448 37,3,2024-09-07 08:14:21:776,1,16,2,0,31,90,16,0 38,0,2024-09-07 08:14:21:444,3069,0.4,2952,0.6,6104,0.3,7809,2.25 38,1,2024-09-07 08:14:21:615,28392,28392,0,0,13874261010,145449766,28145,246,1,371,391512,0 38,2,2024-09-07 08:14:20:765,19994,19994,0,0,1473571,0,3245 38,3,2024-09-07 08:14:20:997,1,16,2,0,36,106,16,0 39,0,2024-09-07 08:14:21:771,3299,0.3,3205,0.6,6306,0.2,7921,1.75 39,1,2024-09-07 08:14:20:719,27857,27857,0,0,13582292793,145512925,26833,606,418,366,391480,0 39,2,2024-09-07 08:14:21:423,16619,16619,0,0,849525,0,1786 39,3,2024-09-07 08:14:20:713,1,16,88,1,189,432,16,0 40,0,2024-09-07 08:14:21:598,5609,1.9,5821,3.9,11671,4.5,15830,3.75 40,1,2024-09-07 08:14:20:578,27443,27443,0,0,13078085256,145263846,25583,1333,527,371,391543,0 40,2,2024-09-07 08:14:21:317,20842,20841,1,0,2324318,0,5137 40,3,2024-09-07 08:14:21:148,1,16,63,2,63,221,16,0 41,0,2024-09-07 08:14:21:033,3395,9.1,3500,10.0,6843,10.0,9582,8.25 41,1,2024-09-07 08:14:20:778,27821,27821,0,0,13461492097,145982043,26672,957,192,371,391483,0 41,2,2024-09-07 08:14:20:791,21283,21283,0,0,2407794,0,3325 41,3,2024-09-07 08:14:21:682,1,16,2,1,7,37,16,0 42,0,2024-09-07 08:14:21:488,4706,0.6,4660,1.0,9408,0.5,12440,2.75 42,1,2024-09-07 08:14:21:440,27296,27296,0,0,13272542468,149466208,25342,1252,702,381,391489,0 42,2,2024-09-07 08:14:21:139,19893,19893,0,0,1702504,0,1967 42,3,2024-09-07 08:14:21:009,1,16,2,1,100,198,16,0 43,0,2024-09-07 08:14:20:929,3431,0.4,3419,0.7,7152,0.2,9410,2.00 43,1,2024-09-07 08:14:20:580,27468,27468,0,0,13874251382,151534105,26055,822,591,368,391479,0 43,2,2024-09-07 08:14:21:740,18872,18872,0,0,1813935,0,3812 43,3,2024-09-07 08:14:21:751,1,16,2,1,292,686,16,0 44,0,2024-09-07 08:14:20:871,5290,0.5,5182,0.8,10380,0.5,13859,2.00 44,1,2024-09-07 08:14:20:568,28139,28139,0,0,12852405895,134481934,27863,268,8,358,391493,0 44,2,2024-09-07 08:14:21:275,18275,18275,0,0,716991,0,1115 44,3,2024-09-07 08:14:21:094,1,16,817,1,817,1018,16,0 45,0,2024-09-07 08:14:21:770,5931,4.6,5896,2.7,11966,6.5,17397,2.50 45,1,2024-09-07 08:14:21:005,28010,28010,0,0,13472695628,141412076,27791,219,0,382,391510,0 45,2,2024-09-07 08:14:21:282,22311,22311,0,0,1028004,0,2038 45,3,2024-09-07 08:14:20:934,1,16,3,1,226,329,16,0 46,0,2024-09-07 08:14:20:957,3805,0.3,3743,0.6,7603,0.2,10200,1.75 46,1,2024-09-07 08:14:20:577,28380,28380,0,0,13382492783,138130039,28368,11,1,370,391514,0 46,2,2024-09-07 08:14:20:598,21187,21187,0,0,1130125,0,2835 46,3,2024-09-07 08:14:21:137,1,16,13,1,200,424,16,0 47,0,2024-09-07 08:14:21:114,2287,0.2,2338,0.4,4611,0.1,5903,1.50 47,1,2024-09-07 08:14:20:573,28227,28227,0,0,12739880894,132698736,28081,145,1,368,391481,0 47,2,2024-09-07 08:14:20:910,20379,20379,0,0,1253020,0,2558 47,3,2024-09-07 08:14:21:115,1,16,2,1,25,85,16,0 48,0,2024-09-07 08:14:21:545,2854,0.2,2842,0.3,5640,0.1,7547,1.50 48,1,2024-09-07 08:14:21:028,27869,27869,0,0,13536617171,142660841,27552,317,0,386,391516,0 48,2,2024-09-07 08:14:20:711,16546,16546,0,0,655783,0,1521 48,3,2024-09-07 08:14:20:753,1,16,19,1,21,160,16,0 49,0,2024-09-07 08:14:21:722,6712,0.4,6730,0.5,12744,0.4,17729,1.75 49,1,2024-09-07 08:14:21:028,28242,28242,0,0,13672289874,142789892,28121,121,0,382,391583,0 49,2,2024-09-07 08:14:21:800,22226,22226,0,0,1181195,0,2196 49,3,2024-09-07 08:14:21:416,1,16,62,1,274,497,16,0 50,0,2024-09-07 08:14:21:519,2042,0.1,2063,0.2,4065,0.1,5271,1.50 50,1,2024-09-07 08:14:21:012,28144,28144,0,0,13741348771,144682543,27419,544,181,370,391530,0 50,2,2024-09-07 08:14:21:069,22127,22127,0,0,851098,0,2034 50,3,2024-09-07 08:14:21:296,1,16,5,1,335,376,16,0 51,0,2024-09-07 08:14:21:747,2331,0.1,2274,0.2,4495,0.1,5704,1.50 51,1,2024-09-07 08:14:21:688,27920,27920,0,0,14223377857,147343741,27710,177,33,367,391504,0 51,2,2024-09-07 08:14:21:326,19769,19769,0,0,710918,0,1936 51,3,2024-09-07 08:14:21:032,1,16,2,0,162,237,16,0 52,0,2024-09-07 08:14:21:440,2666,0.8,2668,1.3,5431,1.1,6801,2.75 52,1,2024-09-07 08:14:20:579,27628,27628,0,0,13154045216,142627204,26618,816,194,371,391498,0 52,2,2024-09-07 08:14:21:766,16270,16270,0,0,1343369,0,4779 52,3,2024-09-07 08:14:20:675,1,16,16,6,21,194,16,0 53,0,2024-09-07 08:14:21:774,5993,2.0,5754,1.6,11874,2.4,16254,2.75 53,1,2024-09-07 08:14:20:773,27832,27832,0,0,13770808243,149500714,26493,913,426,373,391523,0 53,2,2024-09-07 08:14:21:309,21929,21929,0,0,1089227,0,1520 53,3,2024-09-07 08:14:20:703,1,16,8,1,28,84,16,0 54,0,2024-09-07 08:14:21:625,2655,10.0,2636,10.0,5327,6.8,8072,6.50 54,1,2024-09-07 08:14:20:585,28000,28000,0,0,14123596334,149096736,27272,694,34,370,391520,0 54,2,2024-09-07 08:14:20:869,21864,21858,6,0,1890053,0,5382 54,3,2024-09-07 08:14:20:763,1,16,6,1,98,191,16,0 55,0,2024-09-07 08:14:21:769,3750,0.9,3852,1.1,7724,0.7,9688,2.75 55,1,2024-09-07 08:14:20:765,27509,27509,0,0,13083916151,141298108,26087,927,495,369,391660,0 55,2,2024-09-07 08:14:20:738,20294,20294,0,0,1244730,0,2129 55,3,2024-09-07 08:14:20:676,1,16,3,0,24,76,16,0 56,0,2024-09-07 08:14:21:560,3646,2.1,3435,1.7,7138,2.3,9336,4.25 56,1,2024-09-07 08:14:20:582,27415,27415,0,0,14256389513,158514794,25421,1412,582,385,391536,0 56,2,2024-09-07 08:14:21:311,17613,17613,0,0,1707680,0,3567 56,3,2024-09-07 08:14:21:059,1,16,297,2,297,440,16,0 57,0,2024-09-07 08:14:20:949,5079,5.2,5023,3.2,10127,6.9,14205,5.25 57,1,2024-09-07 08:14:21:003,28168,28168,0,0,13057063410,140259723,27634,523,11,370,391505,0 57,2,2024-09-07 08:14:21:324,19592,19592,0,0,1790761,0,2687 57,3,2024-09-07 08:14:21:739,1,16,3,1,359,444,16,0 58,0,2024-09-07 08:14:20:578,4511,7.9,4392,3.7,9172,9.0,12165,7.25 58,1,2024-09-07 08:14:20:585,27695,27695,0,0,13816812293,149720145,26476,1062,157,372,391482,0 58,2,2024-09-07 08:14:21:078,21889,21889,0,0,1461144,0,2464 58,3,2024-09-07 08:14:21:069,1,16,2,1,80,149,16,0 59,0,2024-09-07 08:14:21:753,4470,1.6,4499,1.5,8855,2.0,11688,3.50 59,1,2024-09-07 08:14:20:811,27961,27961,0,0,13416041511,143081744,27468,490,3,372,391515,0 59,2,2024-09-07 08:14:20:601,20271,20271,0,0,1451443,0,1708 59,3,2024-09-07 08:14:21:738,1,16,2,1,20,91,16,0 60,0,2024-09-07 08:14:21:715,2607,0.3,2652,0.5,5151,0.2,6932,1.75 60,1,2024-09-07 08:14:20:774,28311,28311,0,0,13837952586,143686645,28230,79,2,370,391672,0 60,2,2024-09-07 08:14:21:148,20122,20122,0,0,1100736,0,2142 60,3,2024-09-07 08:14:21:258,1,16,124,2,124,317,16,0 61,0,2024-09-07 08:14:21:539,4234,3.6,4294,2.5,8135,4.0,11598,5.50 61,1,2024-09-07 08:14:20:846,27965,27965,0,0,13558471817,145744835,26962,660,343,383,391560,0 61,2,2024-09-07 08:14:21:125,17352,17352,0,0,919211,0,1601 61,3,2024-09-07 08:14:21:688,1,16,20,1,72,132,16,0 62,0,2024-09-07 08:14:21:730,6292,0.4,6522,0.7,12571,0.4,16880,2.00 62,1,2024-09-07 08:14:21:114,28114,28113,0,1,13636035281,142423136,27818,293,2,368,391586,1 62,2,2024-09-07 08:14:21:650,21800,21800,0,0,1109467,0,2030 62,3,2024-09-07 08:14:21:146,1,16,12,1,29,107,16,0 63,0,2024-09-07 08:14:21:475,2965,1.1,2963,1.0,5794,1.5,8025,3.50 63,1,2024-09-07 08:14:20:813,28311,28310,0,1,13689994654,142517853,28167,142,1,382,391505,1 63,2,2024-09-07 08:14:20:772,21579,21579,0,0,1293029,0,2177 63,3,2024-09-07 08:14:21:739,1,16,12,1,216,480,16,0 64,0,2024-09-07 08:14:21:536,2020,0.2,2003,0.3,4068,0.1,5240,1.50 64,1,2024-09-07 08:14:20:783,28269,28269,0,0,13650736847,143816424,27595,619,55,371,391604,0 64,2,2024-09-07 08:14:21:160,20413,20413,0,0,957107,0,2510 64,3,2024-09-07 08:14:21:146,1,16,163,1,163,325,16,0 65,0,2024-09-07 08:14:21:702,2669,0.2,2646,0.4,5071,0.2,7044,1.50 65,1,2024-09-07 08:14:20:862,27991,27991,0,0,12867784920,136006174,27546,438,7,382,391485,0 65,2,2024-09-07 08:14:21:700,16182,16182,0,0,717830,0,2374 65,3,2024-09-07 08:14:21:688,1,16,7,1,122,337,16,0 66,0,2024-09-07 08:14:21:791,6567,0.3,6656,0.5,13525,0.3,18196,1.75 66,1,2024-09-07 08:14:21:297,28579,28579,0,0,14110796428,146808489,28278,285,16,382,391537,0 66,2,2024-09-07 08:14:21:141,22273,22273,0,0,1120754,0,2934 66,3,2024-09-07 08:14:21:079,1,16,3,1,45,95,16,0 67,0,2024-09-07 08:14:21:446,1852,0.1,1843,0.3,3638,0.1,4619,1.50 67,1,2024-09-07 08:14:20:773,27967,27966,0,1,13662486216,143437410,27577,365,24,383,391567,1 67,2,2024-09-07 08:14:20:588,22486,22486,0,0,1215246,0,2104 67,3,2024-09-07 08:14:21:751,1,16,58,1,58,135,16,0 68,0,2024-09-07 08:14:20:627,3016,0.3,2993,0.8,6111,0.2,7806,2.00 68,1,2024-09-07 08:14:20:585,27500,27500,0,0,12928719860,140678851,26605,449,446,383,391489,0 68,2,2024-09-07 08:14:21:055,20047,20047,0,0,1571419,0,4883 68,3,2024-09-07 08:14:20:729,1,16,8,1,63,216,16,0 69,0,2024-09-07 08:14:21:732,3230,0.8,3148,1.0,6273,0.9,7946,2.25 69,1,2024-09-07 08:14:21:026,27170,27170,0,0,13470091191,149810598,25845,463,862,387,391483,0 69,2,2024-09-07 08:14:21:741,16638,16638,0,0,895027,0,2462 69,3,2024-09-07 08:14:20:763,1,16,27,0,36,133,16,0 70,0,2024-09-07 08:14:21:550,5802,4.9,5756,5.5,11778,1.7,15913,4.00 70,1,2024-09-07 08:14:20:834,28070,28070,0,0,13749176152,144084761,27813,240,17,369,391527,0 70,2,2024-09-07 08:14:21:327,21213,21213,0,0,1280018,0,1937 70,3,2024-09-07 08:14:20:749,1,16,7,1,69,113,16,0 71,0,2024-09-07 08:14:21:397,3501,9.7,3463,9.8,6732,10.0,9950,7.50 71,1,2024-09-07 08:14:21:606,28034,28034,0,0,13675305377,145636064,27316,593,125,368,391584,0 71,2,2024-09-07 08:14:21:072,21870,21870,0,0,1533570,0,2146 71,3,2024-09-07 08:14:21:751,1,16,6,1,174,358,16,0 72,0,2024-09-07 08:14:21:057,4851,0.5,4745,0.8,9395,0.4,12471,3.00 72,1,2024-09-07 08:14:21:025,27670,27670,0,0,13029079290,141203937,26708,955,7,372,391514,0 72,2,2024-09-07 08:14:21:761,19688,19688,0,0,2270769,0,2570 72,3,2024-09-07 08:14:21:754,1,16,325,1,325,489,16,0 73,0,2024-09-07 08:14:21:110,3431,0.4,3471,0.7,6999,0.3,9383,2.50 73,1,2024-09-07 08:14:20:772,27868,27868,0,0,12956766665,137902126,27615,252,1,370,391495,0 73,2,2024-09-07 08:14:21:742,18837,18837,0,0,1789156,0,3482 73,3,2024-09-07 08:14:20:971,1,16,12,0,137,331,16,0 74,0,2024-09-07 08:14:21:346,5242,1.5,5311,1.3,10347,1.9,13934,3.25 74,1,2024-09-07 08:14:20:637,27794,27794,0,0,13002349543,138621425,27331,461,2,384,391494,0 74,2,2024-09-07 08:14:21:004,18289,18289,0,0,1693580,0,2736 74,3,2024-09-07 08:14:21:443,1,16,11,0,192,695,16,0 75,0,2024-09-07 08:14:21:798,6118,4.5,6190,2.5,11982,5.7,17435,4.00 75,1,2024-09-07 08:14:21:591,28047,28047,0,0,13450986142,144317108,27427,569,51,383,391514,0 75,2,2024-09-07 08:14:21:359,21400,21400,0,0,2471462,0,4766 75,3,2024-09-07 08:14:21:068,1,16,2,1,38,78,16,0 76,0,2024-09-07 08:14:20:650,3815,0.3,3830,0.6,7367,0.2,9869,1.75 76,1,2024-09-07 08:14:20:814,27610,27610,0,0,12824587650,134793754,27480,130,0,384,391530,0 76,2,2024-09-07 08:14:21:065,21662,21662,0,0,849865,0,1138 76,3,2024-09-07 08:14:21:148,1,16,6,1,140,327,16,0 77,0,2024-09-07 08:14:21:714,2341,0.2,2342,0.4,4536,0.1,5764,1.50 77,1,2024-09-07 08:14:20:834,27917,27917,0,0,13577062547,143653160,27392,460,65,383,391512,0 77,2,2024-09-07 08:14:21:286,20297,20297,0,0,860863,0,1637 77,3,2024-09-07 08:14:21:095,1,16,2,0,131,195,16,0 78,0,2024-09-07 08:14:21:737,2827,0.4,2853,0.6,5683,0.2,7249,2.00 78,1,2024-09-07 08:14:20:617,28147,28147,0,0,13045509504,136986854,27871,276,0,370,391540,0 78,2,2024-09-07 08:14:21:414,16758,16758,0,0,815370,0,2114 78,3,2024-09-07 08:14:21:134,1,16,8,0,112,319,16,0 79,0,2024-09-07 08:14:21:361,6470,0.5,6660,0.7,13311,0.5,17289,2.00 79,1,2024-09-07 08:14:20:579,28155,28155,0,0,13585141276,142975433,27722,427,6,372,391486,0 79,2,2024-09-07 08:14:21:074,21979,21979,0,0,970911,0,2070 79,3,2024-09-07 08:14:20:757,1,16,6,1,289,384,16,0 80,0,2024-09-07 08:14:21:118,1994,0.2,2103,0.3,4063,0.1,5292,1.50 80,1,2024-09-07 08:14:21:622,27826,27826,0,0,13293658871,139999600,27110,667,49,372,391673,0 80,2,2024-09-07 08:14:21:109,21877,21877,0,0,1080784,0,1679 80,3,2024-09-07 08:14:20:575,1,16,148,1,148,479,16,0 81,0,2024-09-07 08:14:21:548,2286,0.2,2327,0.3,4438,0.1,5702,1.50 81,1,2024-09-07 08:14:21:660,27994,27994,0,0,13053753251,137535784,27670,317,7,383,391646,0 81,2,2024-09-07 08:14:21:129,19767,19767,0,0,1177988,0,2811 81,3,2024-09-07 08:14:21:118,1,16,2,1,39,118,16,0 82,0,2024-09-07 08:14:21:560,2667,0.2,2599,0.4,5371,0.1,6872,1.50 82,1,2024-09-07 08:14:20:585,27424,27423,0,1,13096062545,137929851,26961,370,92,384,391529,1 82,2,2024-09-07 08:14:21:703,16476,16476,0,0,661282,0,1286 82,3,2024-09-07 08:14:21:753,1,16,1,1,65,224,16,0 83,0,2024-09-07 08:14:21:537,6054,0.6,5999,0.7,12014,0.7,16401,1.75 83,1,2024-09-07 08:14:20:552,27847,27847,0,0,13429867625,142626587,27189,579,79,384,391553,0 83,2,2024-09-07 08:14:20:766,21760,21760,0,0,1289587,0,2512 83,3,2024-09-07 08:14:20:750,1,16,23,1,27,126,16,0 84,0,2024-09-07 08:14:21:783,2944,10.0,2906,10.0,5858,6.1,8727,6.00 84,1,2024-09-07 08:14:21:044,27854,27854,0,0,13369588806,144246013,26854,761,239,370,391630,0 84,2,2024-09-07 08:14:20:589,21601,21601,0,0,1768492,0,3801 84,3,2024-09-07 08:14:21:150,1,16,11,2,13,149,16,0 85,0,2024-09-07 08:14:21:063,3708,0.5,3733,0.7,7827,0.4,9772,2.00 85,1,2024-09-07 08:14:20:571,27494,27494,0,0,13367727980,146384448,26062,1141,291,384,391505,0 85,2,2024-09-07 08:14:20:874,19858,19858,0,0,1587644,0,3656 85,3,2024-09-07 08:14:20:695,1,16,2,1,88,149,16,0 86,0,2024-09-07 08:14:20:897,3514,0.3,3709,0.4,7190,0.2,9546,1.75 86,1,2024-09-07 08:14:20:830,27632,27632,0,0,13243675869,141284542,26880,724,28,369,391506,0 86,2,2024-09-07 08:14:20:868,17495,17494,1,0,1480509,0,5004 86,3,2024-09-07 08:14:20:591,1,16,15,1,32,148,16,0 87,0,2024-09-07 08:14:21:307,5328,3.8,5340,2.4,10738,4.4,14891,2.25 87,1,2024-09-07 08:14:20:557,27741,27741,0,0,12886912503,137884231,27040,653,48,369,391551,0 87,2,2024-09-07 08:14:21:078,19707,19707,0,0,998962,0,2148 87,3,2024-09-07 08:14:21:797,1,16,3,1,322,633,16,0 88,0,2024-09-07 08:14:21:457,5300,3.1,5309,2.0,10621,4.3,14077,2.50 88,1,2024-09-07 08:14:20:573,27704,27704,0,0,13166314714,141984551,26853,759,92,369,391747,0 88,2,2024-09-07 08:14:20:736,22013,22013,0,0,1864173,0,2675 88,3,2024-09-07 08:14:21:268,1,16,77,2,77,251,16,0 89,0,2024-09-07 08:14:21:792,4605,0.5,4464,0.8,8885,0.5,12043,2.00 89,1,2024-09-07 08:14:20:555,27302,27302,0,0,13364679417,146464347,26254,541,507,384,391549,0 89,2,2024-09-07 08:14:21:145,20436,20436,0,0,1746002,0,2726 89,3,2024-09-07 08:14:21:797,1,16,2,2,153,423,16,0 90,0,2024-09-07 08:14:21:652,2570,0.3,2588,0.5,5362,0.2,6953,1.75 90,1,2024-09-07 08:14:20:602,27806,27806,0,0,13303063964,146427121,26354,1328,124,383,391522,0 90,2,2024-09-07 08:14:21:414,19787,19787,0,0,1789272,0,2635 90,3,2024-09-07 08:14:20:931,1,16,9,1,10,79,16,0 91,0,2024-09-07 08:14:20:937,4562,0.7,4404,0.9,9115,0.8,12447,2.00 91,1,2024-09-07 08:14:20:565,27732,27732,0,0,13595256121,147849369,26566,999,167,385,391914,0 91,2,2024-09-07 08:14:21:340,17149,17149,0,0,1094957,0,1997 91,3,2024-09-07 08:14:20:608,1,16,2,1,155,324,16,0 92,0,2024-09-07 08:14:21:456,6407,0.4,6442,0.5,12575,0.4,16909,1.75 92,1,2024-09-07 08:14:20:584,28027,28027,0,0,13510071462,141890344,27721,260,46,383,391569,0 92,2,2024-09-07 08:14:21:361,22492,22492,0,0,1340015,0,2279 92,3,2024-09-07 08:14:21:009,1,16,11,2,68,262,16,0 93,0,2024-09-07 08:14:20:966,2885,0.8,2977,0.8,5698,0.9,7979,2.00 93,1,2024-09-07 08:14:20:812,27518,27518,0,0,13374384703,140164437,27316,199,3,368,391689,0 93,2,2024-09-07 08:14:20:937,21751,21751,0,0,941067,0,1795 93,3,2024-09-07 08:14:21:408,1,16,10,1,143,276,16,0 94,0,2024-09-07 08:14:21:642,2090,0.2,2025,0.4,4058,0.1,5340,1.50 94,1,2024-09-07 08:14:20:576,27877,27877,0,0,13681484554,145220323,27343,528,6,383,391554,0 94,2,2024-09-07 08:14:20:773,20203,20203,0,0,1219860,0,1446 94,3,2024-09-07 08:14:21:690,1,16,1,1,231,463,16,0 95,0,2024-09-07 08:14:21:380,2579,0.5,2541,0.7,5243,0.5,7085,2.00 95,1,2024-09-07 08:14:20:857,27837,27837,0,0,13608931122,142364520,27681,152,4,369,391590,0 95,2,2024-09-07 08:14:21:021,16176,16176,0,0,579670,0,943 95,3,2024-09-07 08:14:21:720,1,16,16,1,45,140,16,0 96,0,2024-09-07 08:14:21:085,6858,0.4,6742,0.5,13606,0.4,18303,1.75 96,1,2024-09-07 08:14:21:588,28217,28217,0,0,13494434969,141757169,28047,167,3,385,391551,0 96,2,2024-09-07 08:14:21:276,22257,22257,0,0,958321,0,1251 96,3,2024-09-07 08:14:21:150,1,16,31,7,31,235,16,0 97,0,2024-09-07 08:14:21:345,1881,0.2,1829,0.3,3707,0.1,4614,1.50 97,1,2024-09-07 08:14:20:820,27802,27802,0,0,13794061235,145272263,27104,477,221,367,391530,0 97,2,2024-09-07 08:14:20:622,22234,22234,0,0,999766,0,1663 97,3,2024-09-07 08:14:20:571,1,16,19,1,77,165,16,0 98,0,2024-09-07 08:14:21:717,3077,0.2,2982,0.4,6047,0.2,7829,1.50 98,1,2024-09-07 08:14:20:590,27830,27830,0,0,13604600003,142193019,27702,127,1,384,391588,0 98,2,2024-09-07 08:14:20:777,19943,19943,0,0,1269640,0,2410 98,3,2024-09-07 08:14:20:702,1,16,2,1,155,221,16,0 99,0,2024-09-07 08:14:21:492,3153,0.2,3135,0.4,6279,0.2,7912,1.50 99,1,2024-09-07 08:14:21:726,28592,28592,0,0,12850442169,133976937,28523,66,3,383,391519,0 99,2,2024-09-07 08:14:21:429,16837,16837,0,0,833190,0,1495 99,3,2024-09-07 08:14:20:593,1,16,65,1,65,202,16,0 100,0,2024-09-07 08:14:21:483,5650,2.1,5674,5.6,11418,5.8,15752,3.00 100,1,2024-09-07 08:14:20:562,27519,27519,0,0,13092452509,144861626,26009,1251,259,382,391505,0 100,2,2024-09-07 08:14:21:832,21036,21025,11,0,1749221,0,5417 100,3,2024-09-07 08:14:21:733,1,16,305,7,443,965,16,0 101,0,2024-09-07 08:14:21:732,3716,9.4,3603,6.9,7331,9.4,10841,4.75 101,1,2024-09-07 08:14:20:572,27545,27545,0,0,13688036023,148098948,26584,537,424,370,391531,0 101,2,2024-09-07 08:14:21:764,21813,21813,0,0,1923281,0,4644 101,3,2024-09-07 08:14:20:942,1,16,12,1,448,573,16,0 102,0,2024-09-07 08:14:21:003,4663,0.9,4759,0.9,9517,0.7,12422,2.25 102,1,2024-09-07 08:14:21:197,27939,27939,0,0,13125724828,139938911,27411,518,10,371,391621,0 102,2,2024-09-07 08:14:21:765,20278,20278,0,0,1105333,0,1699 102,3,2024-09-07 08:14:21:624,1,16,4,0,410,582,16,0 103,0,2024-09-07 08:14:21:616,3551,0.3,3541,0.5,6745,0.2,9458,1.75 103,1,2024-09-07 08:14:21:634,28114,28114,0,0,13645877041,144121695,27754,313,47,381,391680,0 103,2,2024-09-07 08:14:20:601,19408,19408,0,0,1052212,0,1484 103,3,2024-09-07 08:14:20:763,1,16,2,1,486,723,16,0 104,0,2024-09-07 08:14:21:066,5256,2.1,5308,1.6,10181,2.6,13892,2.25 104,1,2024-09-07 08:14:21:619,27744,27744,0,0,13255659416,146110043,26298,1130,316,369,391948,0 104,2,2024-09-07 08:14:21:679,17988,17988,0,0,1710495,0,3941 104,3,2024-09-07 08:14:21:417,1,16,10,8,25,240,16,0 105,0,2024-09-07 08:14:21:041,5933,4.1,5820,2.7,11548,6.1,16872,4.75 105,1,2024-09-07 08:14:20:562,27328,27328,0,0,13324859014,146709065,25735,1030,563,367,391797,0 105,2,2024-09-07 08:14:21:344,21880,21880,0,0,1817262,0,3314 105,3,2024-09-07 08:14:21:307,1,16,39,1,169,399,16,0 106,0,2024-09-07 08:14:20:975,3695,0.5,3686,0.8,7545,0.4,10059,2.00 106,1,2024-09-07 08:14:21:752,27692,27692,0,0,12978482748,142150693,26416,1074,202,373,391767,0 106,2,2024-09-07 08:14:20:777,20996,20996,0,0,1533717,0,1781 106,3,2024-09-07 08:14:20:680,1,16,14,1,171,224,16,0 107,0,2024-09-07 08:14:21:145,2360,0.3,2289,0.6,4560,0.2,5882,1.75 107,1,2024-09-07 08:14:20:598,27546,27546,0,0,13292722403,143460648,26716,704,126,382,391848,0 107,2,2024-09-07 08:14:21:307,20076,20075,1,0,1439074,0,5024 107,3,2024-09-07 08:14:21:757,1,16,12,1,75,294,16,0 108,0,2024-09-07 08:14:21:822,2900,0.2,2866,0.5,5616,0.2,7612,1.50 108,1,2024-09-07 08:14:21:299,27908,27908,0,0,13572952737,144522857,26947,857,104,372,391563,0 108,2,2024-09-07 08:14:21:777,16520,16520,0,0,997817,0,2551 108,3,2024-09-07 08:14:21:331,1,16,23,1,23,196,16,0 109,0,2024-09-07 08:14:22:009,6640,0.4,6762,0.6,12983,0.4,17797,1.75 109,1,2024-09-07 08:14:20:586,27654,27654,0,0,13865866341,146208188,27392,255,7,386,391517,0 109,2,2024-09-07 08:14:20:926,21181,21181,0,0,1232382,0,2314 109,3,2024-09-07 08:14:21:150,1,16,12,1,120,423,16,0 110,0,2024-09-07 08:14:21:780,2011,0.2,2034,0.3,4071,0.1,5281,1.50 110,1,2024-09-07 08:14:21:649,28160,28160,0,0,13329636154,138259216,28060,100,0,371,391564,0 110,2,2024-09-07 08:14:21:314,22027,22027,0,0,1397854,0,2915 110,3,2024-09-07 08:14:20:690,1,16,3,1,183,251,16,0 111,0,2024-09-07 08:14:21:438,2266,0.1,2227,0.3,4555,0.1,5693,1.50 111,1,2024-09-07 08:14:21:002,28153,28153,0,0,14411238078,149454608,28009,132,12,383,391597,0 111,2,2024-09-07 08:14:21:124,20313,20313,0,0,1135724,0,2256 111,3,2024-09-07 08:14:20:915,1,16,106,1,106,438,16,0 112,0,2024-09-07 08:14:20:928,2636,0.8,2724,0.5,5484,0.2,6866,1.75 112,1,2024-09-07 08:14:20:829,28017,28017,0,0,13761219023,144149605,27828,188,1,382,391512,0 112,2,2024-09-07 08:14:21:141,16308,16308,0,0,928176,0,3152 112,3,2024-09-07 08:14:20:593,1,16,282,1,282,421,16,0 113,0,2024-09-07 08:14:20:877,5848,0.4,5927,0.6,12062,0.4,16481,1.75 113,1,2024-09-07 08:14:21:687,28116,28116,0,0,13890974652,144854327,27870,246,0,368,391661,0 113,2,2024-09-07 08:14:21:317,21730,21730,0,0,920521,0,1597 113,3,2024-09-07 08:14:20:684,1,16,2,1,130,264,16,0 114,0,2024-09-07 08:14:20:888,3343,7.5,3392,6.1,6569,4.3,9163,2.75 114,1,2024-09-07 08:14:20:717,28216,28216,0,0,13575318580,141340481,28018,198,0,383,391513,0 114,2,2024-09-07 08:14:20:876,22166,22166,0,0,1297869,0,3433 114,3,2024-09-07 08:14:21:278,1,16,2,1,159,256,16,0 115,0,2024-09-07 08:14:20:562,3767,0.3,3858,0.5,7729,0.2,9834,1.50 115,1,2024-09-07 08:14:20:572,27932,27932,0,0,13794994121,145456268,27411,414,107,384,391495,0 115,2,2024-09-07 08:14:21:127,20855,20855,0,0,943752,0,1535 115,3,2024-09-07 08:14:21:001,1,16,4,1,40,95,16,0 116,0,2024-09-07 08:14:21:717,3567,0.3,3575,0.6,7267,0.2,9506,1.75 116,1,2024-09-07 08:14:20:812,27450,27450,0,0,13414535078,147553600,26307,307,836,382,391554,0 116,2,2024-09-07 08:14:21:764,17835,17835,0,0,1024998,0,2154 116,3,2024-09-07 08:14:20:913,1,16,23,2,132,279,16,0 117,0,2024-09-07 08:14:20:964,5448,3.8,5367,2.4,10728,4.5,14891,2.50 117,1,2024-09-07 08:14:21:589,27927,27927,0,0,13423670600,144500442,27030,678,219,372,391523,0 117,2,2024-09-07 08:14:21:141,19705,19705,0,0,1508298,0,3700 117,3,2024-09-07 08:14:21:059,1,16,3,1,490,987,16,0 118,0,2024-09-07 08:14:21:797,5173,2.6,5236,1.7,10777,3.8,14004,3.25 118,1,2024-09-07 08:14:20:591,28023,28023,0,0,13196463964,139395396,27706,316,1,369,391503,0 118,2,2024-09-07 08:14:21:596,21949,21949,0,0,1309224,0,1450 118,3,2024-09-07 08:14:21:766,1,16,2,1,79,257,16,0 119,0,2024-09-07 08:14:21:369,4299,0.4,4434,0.7,9021,0.3,11988,2.00 119,1,2024-09-07 08:14:20:603,27579,27579,0,0,13416313829,143849920,26742,707,130,371,391576,0 119,2,2024-09-07 08:14:21:269,20703,20703,0,0,1259968,0,2222 119,3,2024-09-07 08:14:21:327,1,16,9,1,375,452,16,0 120,0,2024-09-07 08:14:21:566,2646,0.3,2617,0.6,5277,0.2,6904,1.75 120,1,2024-09-07 08:14:20:864,27851,27851,0,0,13369684198,144195135,27257,588,6,369,391702,0 120,2,2024-09-07 08:14:20:783,19806,19805,1,0,2220969,0,5281 120,3,2024-09-07 08:14:21:297,1,16,168,7,241,586,16,0 121,0,2024-09-07 08:14:21:750,4290,4.0,4427,2.4,8642,4.8,12125,2.75 121,1,2024-09-07 08:14:21:657,27482,27482,0,0,13124650346,143860789,26381,865,236,370,391633,0 121,2,2024-09-07 08:14:21:131,17243,17243,0,0,1757972,0,4127 121,3,2024-09-07 08:14:20:729,1,16,4,1,71,173,16,0 122,0,2024-09-07 08:14:21:798,6063,4.2,5917,4.2,12342,4.7,16894,2.75 122,1,2024-09-07 08:14:20:860,27086,27086,0,0,13159561882,146734652,25338,1381,367,369,392130,0 122,2,2024-09-07 08:14:21:326,21623,21623,0,0,2364664,0,2574 122,3,2024-09-07 08:14:20:601,1,16,9,1,226,1051,16,0 123,0,2024-09-07 08:14:20:965,2896,0.4,2807,0.8,5810,0.3,7899,2.00 123,1,2024-09-07 08:14:20:563,27440,27440,0,0,13314855341,150000672,25053,1933,454,371,391708,0 123,2,2024-09-07 08:14:21:025,21289,21288,1,0,2176053,0,5215 123,3,2024-09-07 08:14:21:137,1,16,26,1,160,430,16,0 124,0,2024-09-07 08:14:20:972,2041,0.2,2085,0.3,4053,0.1,5288,1.50 124,1,2024-09-07 08:14:21:039,28250,28250,0,0,13215102749,138091570,28116,133,1,370,392129,0 124,2,2024-09-07 08:14:21:041,20181,20181,0,0,1086479,0,2477 124,3,2024-09-07 08:14:20:759,1,16,490,2,490,961,16,0 125,0,2024-09-07 08:14:21:460,2545,0.3,2559,0.6,5266,0.2,7008,1.75 125,1,2024-09-07 08:14:20:868,27807,27807,0,0,13316224752,142373221,27034,753,20,385,391702,0 125,2,2024-09-07 08:14:21:136,16231,16231,0,0,841747,0,2180 125,3,2024-09-07 08:14:21:162,1,16,5,1,93,289,16,0 126,0,2024-09-07 08:14:21:481,6696,0.6,6871,0.8,13262,0.7,18331,1.75 126,1,2024-09-07 08:14:20:553,28083,28083,0,0,13626285086,143626960,27669,407,7,368,391719,0 126,2,2024-09-07 08:14:20:626,22205,22205,0,0,1117244,0,1557 126,3,2024-09-07 08:14:20:908,1,16,2,1,45,167,16,0 127,0,2024-09-07 08:14:21:615,1860,0.2,1841,0.3,3684,0.1,4618,1.50 127,1,2024-09-07 08:14:20:607,27910,27910,0,0,12679958989,131757813,27852,57,1,366,391488,0 127,2,2024-09-07 08:14:20:642,22434,22434,0,0,1110409,0,1744 127,3,2024-09-07 08:14:21:270,1,16,12,0,99,224,16,0 128,0,2024-09-07 08:14:21:602,2975,0.3,3043,0.5,6021,0.2,7757,1.75 128,1,2024-09-07 08:14:21:736,28000,28000,0,0,13792634215,143722833,27828,171,1,370,391605,0 128,2,2024-09-07 08:14:21:398,19689,19689,0,0,1166248,0,1712 128,3,2024-09-07 08:14:20:770,1,16,17,5,20,202,16,0 129,0,2024-09-07 08:14:21:060,3140,0.4,3202,0.6,6364,0.3,7892,2.00 129,1,2024-09-07 08:14:20:575,27908,27908,0,0,13548844633,144707031,27045,598,265,383,391835,0 129,2,2024-09-07 08:14:20:722,16704,16704,0,0,660983,0,2289 129,3,2024-09-07 08:14:20:694,1,16,16,1,82,168,16,0 130,0,2024-09-07 08:14:21:742,6023,1.2,5932,1.2,11910,2.3,16223,2.00 130,1,2024-09-07 08:14:20:593,28054,28054,0,0,13715975971,144240277,27778,275,1,381,391516,0 130,2,2024-09-07 08:14:21:128,21152,21152,0,0,879711,0,1526 130,3,2024-09-07 08:14:21:292,1,16,24,1,66,141,16,0 131,0,2024-09-07 08:14:21:949,4085,3.3,3984,2.5,8157,4.0,10852,3.00 131,1,2024-09-07 08:14:21:828,28000,28000,0,0,13351500797,141676615,27381,449,170,385,391727,0 131,2,2024-09-07 08:14:20:601,21748,21748,0,0,1130477,0,1766 131,3,2024-09-07 08:14:21:693,1,16,2,1,23,79,16,0 132,0,2024-09-07 08:14:21:445,4670,0.5,4776,1.0,9458,0.5,12495,2.00 132,1,2024-09-07 08:14:20:585,27502,27502,0,0,12766758890,139761875,26198,1042,262,383,391533,0 132,2,2024-09-07 08:14:20:705,20057,20057,0,0,2691941,0,4606 132,3,2024-09-07 08:14:21:689,1,16,9,5,356,546,16,0 133,0,2024-09-07 08:14:21:660,3401,0.3,3458,0.6,7021,0.2,9368,1.75 133,1,2024-09-07 08:14:20:600,27385,27385,0,0,13057054725,139957061,26629,752,4,385,391492,0 133,2,2024-09-07 08:14:21:124,19582,19582,0,0,1066206,0,1707 133,3,2024-09-07 08:14:21:325,1,16,6,1,26,88,16,0 134,0,2024-09-07 08:14:20:952,5209,0.5,5190,0.9,10459,0.4,13894,2.00 134,1,2024-09-07 08:14:20:597,27728,27728,0,0,13629795846,149615175,26229,753,746,366,391508,0 134,2,2024-09-07 08:14:21:760,18160,18160,0,0,930744,0,1739 134,3,2024-09-07 08:14:20:757,1,16,6,1,63,281,16,0 135,0,2024-09-07 08:14:21:185,6091,3.6,6046,3.0,12814,3.4,17208,3.00 135,1,2024-09-07 08:14:21:592,27858,27858,0,0,13721396675,144268615,27493,349,16,383,391513,0 135,2,2024-09-07 08:14:20:697,21878,21878,0,0,1507187,0,3016 135,3,2024-09-07 08:14:21:004,1,16,9,1,68,201,16,0 136,0,2024-09-07 08:14:21:645,3800,0.6,3776,1.0,7548,0.5,10210,2.50 136,1,2024-09-07 08:14:21:457,28028,28028,0,0,13255013740,145711848,26696,1191,141,386,391501,0 136,2,2024-09-07 08:14:21:170,21555,21555,0,0,1333357,0,1692 136,3,2024-09-07 08:14:21:107,1,16,40,2,40,233,16,0 137,0,2024-09-07 08:14:21:022,2363,0.3,2335,0.7,4515,0.2,5883,2.00 137,1,2024-09-07 08:14:20:595,27837,27837,0,0,13137288728,142105618,27014,780,43,370,391489,0 137,2,2024-09-07 08:14:21:707,19588,19588,0,0,1951271,0,2294 137,3,2024-09-07 08:14:20:770,1,16,227,1,227,313,16,0 138,0,2024-09-07 08:14:21:785,2848,1.0,2869,1.2,5701,1.1,7575,3.25 138,1,2024-09-07 08:14:21:686,27581,27581,0,0,13480602184,147764064,26172,1178,231,371,391572,0 138,2,2024-09-07 08:14:20:610,16492,16492,0,0,1410389,0,3263 138,3,2024-09-07 08:14:20:613,1,16,27,1,68,227,16,0 139,0,2024-09-07 08:14:21:370,6226,5.8,6148,3.5,12572,6.3,17127,4.50 139,1,2024-09-07 08:14:20:580,27496,27496,0,0,13012919835,147935669,25560,1253,683,386,391511,0 139,2,2024-09-07 08:14:20:699,21790,21790,0,0,1945243,0,2425 139,3,2024-09-07 08:14:21:669,1,16,12,1,104,275,16,0 140,0,2024-09-07 08:14:21:615,2080,0.2,2006,0.3,4090,0.1,5307,1.50 140,1,2024-09-07 08:14:21:540,28139,28139,0,0,13776235881,143592168,27977,162,0,366,391482,0 140,2,2024-09-07 08:14:20:693,21809,21809,0,0,1615776,0,2707 140,3,2024-09-07 08:14:20:770,1,16,8,2,25,169,16,0 141,0,2024-09-07 08:14:21:710,2187,0.2,2288,0.3,4444,0.1,5674,1.50 141,1,2024-09-07 08:14:20:860,27717,27717,0,0,14052898015,146702548,27442,275,0,382,391532,0 141,2,2024-09-07 08:14:21:690,19893,19893,0,0,927059,0,1869 141,3,2024-09-07 08:14:21:044,1,16,18,0,42,110,16,0 142,0,2024-09-07 08:14:21:350,2695,0.2,2704,0.5,5448,0.2,6860,1.50 142,1,2024-09-07 08:14:20:606,28088,28088,0,0,13567349341,142008791,27977,111,0,385,391520,0 142,2,2024-09-07 08:14:21:325,16053,16053,0,0,953365,0,2293 142,3,2024-09-07 08:14:21:750,1,16,16,1,53,135,16,0 143,0,2024-09-07 08:14:21:421,5903,1.2,5896,1.0,12066,1.5,16413,2.00 143,1,2024-09-07 08:14:20:568,27820,27820,0,0,13577271729,141410402,27643,177,0,370,391492,0 143,2,2024-09-07 08:14:20:797,21541,21541,0,0,1334547,0,2572 143,3,2024-09-07 08:14:21:150,1,16,3,1,236,568,16,0 144,0,2024-09-07 08:14:21:511,2977,8.6,3051,10.0,6094,7.3,9037,3.25 144,1,2024-09-07 08:14:20:585,27906,27906,0,0,13086528340,138668538,27485,380,41,383,391562,0 144,2,2024-09-07 08:14:21:772,22014,22014,0,0,1086035,0,1980 144,3,2024-09-07 08:14:21:752,1,16,112,2,112,413,16,0 145,0,2024-09-07 08:14:21:371,3683,0.5,3738,0.8,7729,0.4,9755,2.00 145,1,2024-09-07 08:14:20:560,27564,27564,0,0,12999797217,137912586,27319,245,0,384,391603,0 145,2,2024-09-07 08:14:21:434,20312,20312,0,0,2115928,0,3903 145,3,2024-09-07 08:14:20:895,1,16,9,1,151,550,16,0 146,0,2024-09-07 08:14:21:613,3593,0.3,3640,0.5,7282,0.2,9488,1.75 146,1,2024-09-07 08:14:21:601,27633,27633,0,0,13586337754,149483788,26032,1303,298,371,391512,0 146,2,2024-09-07 08:14:21:716,17550,17550,0,0,1270782,0,2149 146,3,2024-09-07 08:14:21:274,1,16,2,0,54,201,16,0 147,0,2024-09-07 08:14:21:753,5281,4.3,5276,2.6,10511,5.3,14957,3.00 147,1,2024-09-07 08:14:21:376,27797,27797,0,0,13295358760,138806504,27656,138,3,369,391791,0 147,2,2024-09-07 08:14:21:015,20177,20177,0,0,950568,0,2526 147,3,2024-09-07 08:14:20:914,1,16,2,1,141,399,16,0 0,0,2024-09-07 08:14:31:717,2813,0.3,2846,0.5,5889,0.2,7691,2.00 0,1,2024-09-07 08:14:30:803,29578,29578,0,0,14282294807,152058761,29062,411,105,373,391658,0 0,2,2024-09-07 08:14:31:075,20842,20842,0,0,783790,0,958 0,3,2024-09-07 08:14:30:978,1,17,42,3,247,467,17,0 1,0,2024-09-07 08:14:31:788,4828,1.3,4749,1.1,9710,1.8,13097,2.00 1,1,2024-09-07 08:14:30:560,29442,29442,0,0,13521488648,142647938,29031,376,35,372,391857,0 1,2,2024-09-07 08:14:30:640,18581,18581,0,0,986047,0,1544 1,3,2024-09-07 08:14:31:315,1,17,2,1,167,341,17,0 2,0,2024-09-07 08:14:31:588,6586,0.7,6828,1.1,12971,1.0,17418,2.00 2,1,2024-09-07 08:14:30:860,29575,29575,0,0,13953968346,146223082,29480,95,0,382,391527,0 2,2,2024-09-07 08:14:31:269,23315,23315,0,0,1668687,0,3304 2,3,2024-09-07 08:14:30:694,1,17,1,1,87,303,17,0 3,0,2024-09-07 08:14:31:768,3219,0.3,3275,0.6,6580,0.2,8781,2.00 3,1,2024-09-07 08:14:31:626,29700,29700,0,0,14578622363,153841064,29299,391,10,383,391494,0 3,2,2024-09-07 08:14:31:145,22772,22772,0,0,1174538,0,2192 3,3,2024-09-07 08:14:31:761,1,17,1,1,23,75,17,0 4,0,2024-09-07 08:14:31:816,2190,0.2,2280,0.4,4426,0.2,5762,1.50 4,1,2024-09-07 08:14:30:597,29666,29666,0,0,14187855070,152780104,28671,652,343,374,391682,0 4,2,2024-09-07 08:14:31:038,21261,21261,0,0,1866197,0,4528 4,3,2024-09-07 08:14:31:027,1,17,10,8,287,547,17,0 5,0,2024-09-07 08:14:31:420,2914,0.3,2877,0.5,5739,0.2,7681,1.75 5,1,2024-09-07 08:14:30:771,29515,29515,0,0,14467371791,153034985,28992,432,91,368,392005,0 5,2,2024-09-07 08:14:31:836,17627,17627,0,0,959148,0,1912 5,3,2024-09-07 08:14:31:739,1,17,130,1,130,239,17,0 6,0,2024-09-07 08:14:30:932,7239,0.7,7182,1.0,14292,0.8,19311,2.00 6,1,2024-09-07 08:14:30:754,29695,29695,0,0,14059725114,147335967,29441,250,4,382,391603,0 6,2,2024-09-07 08:14:31:116,23691,23691,0,0,1543040,0,1686 6,3,2024-09-07 08:14:31:278,1,17,2,1,277,515,17,0 7,0,2024-09-07 08:14:31:545,1979,0.2,1984,0.4,3923,0.1,5088,1.50 7,1,2024-09-07 08:14:30:851,29347,29347,0,0,14039753358,149023105,28807,476,64,385,391558,0 7,2,2024-09-07 08:14:30:774,23535,23535,0,0,1445835,0,2981 7,3,2024-09-07 08:14:30:851,1,17,1,1,46,165,17,0 8,0,2024-09-07 08:14:31:377,3219,0.3,3128,0.5,6174,0.2,8039,1.50 8,1,2024-09-07 08:14:31:016,28709,28709,0,0,13934671078,160582069,25880,1597,1232,368,391724,0 8,2,2024-09-07 08:14:30:791,20738,20738,0,0,1944910,0,2986 8,3,2024-09-07 08:14:30:595,1,17,8,8,229,505,17,0 9,0,2024-09-07 08:14:31:128,3274,0.4,3184,0.6,6579,0.2,8213,1.75 9,1,2024-09-07 08:14:30:553,29209,29209,0,0,14139693760,156189313,27589,1142,478,371,391496,0 9,2,2024-09-07 08:14:31:087,17621,17621,0,0,1134770,0,1673 9,3,2024-09-07 08:14:31:755,1,17,0,0,50,215,17,0 10,0,2024-09-07 08:14:31:624,6422,0.6,6437,0.7,12870,0.6,17399,1.75 10,1,2024-09-07 08:14:30:588,29271,29271,0,0,14476844448,161067912,27147,1744,380,383,391541,0 10,2,2024-09-07 08:14:30:767,22078,22078,0,0,2264222,0,2940 10,3,2024-09-07 08:14:30:871,1,17,58,0,118,210,17,0 11,0,2024-09-07 08:14:31:021,3812,6.8,3765,4.3,7863,8.1,11126,3.75 11,1,2024-09-07 08:14:30:571,28944,28944,0,0,14377033603,160090585,26963,1246,735,384,391485,0 11,2,2024-09-07 08:14:31:129,23183,23183,0,0,1822951,0,2635 11,3,2024-09-07 08:14:31:301,1,17,1,1,720,924,17,0 12,0,2024-09-07 08:14:30:977,4962,0.3,4940,0.5,9827,0.2,12687,1.75 12,1,2024-09-07 08:14:30:959,29646,29646,0,0,14019075554,148846017,29140,485,21,372,391611,0 12,2,2024-09-07 08:14:31:548,22009,22009,0,0,1531401,0,2254 12,3,2024-09-07 08:14:31:059,1,17,14,2,179,417,17,0 13,0,2024-09-07 08:14:31:388,3759,0.4,3801,0.7,7478,0.3,9979,2.00 13,1,2024-09-07 08:14:31:539,30009,30009,0,0,14400594496,152746210,29579,391,39,385,391563,0 13,2,2024-09-07 08:14:30:601,19835,19835,0,0,878090,0,2239 13,3,2024-09-07 08:14:31:763,1,17,10,1,153,383,17,0 14,0,2024-09-07 08:14:30:574,5671,0.6,5689,0.7,11065,0.6,15082,1.75 14,1,2024-09-07 08:14:31:562,29879,29879,0,0,14135479134,148257115,29458,393,28,365,391481,0 14,2,2024-09-07 08:14:30:764,19859,19859,0,0,1133241,0,1934 14,3,2024-09-07 08:14:31:114,1,17,2,1,40,100,17,0 15,0,2024-09-07 08:14:31:573,6307,5.3,6330,2.9,12702,7.4,17504,4.25 15,1,2024-09-07 08:14:31:608,29752,29752,0,0,14234385368,149291579,29617,135,0,381,391481,0 15,2,2024-09-07 08:14:31:001,23819,23819,0,0,930559,0,1040 15,3,2024-09-07 08:14:31:417,1,17,2,0,538,881,17,0 16,0,2024-09-07 08:14:30:999,4023,0.4,4036,0.6,8090,0.3,10899,2.50 16,1,2024-09-07 08:14:30:564,29852,29852,0,0,13917593999,147787047,29304,545,3,373,391543,0 16,2,2024-09-07 08:14:31:434,21881,21881,0,0,1603165,0,4719 16,3,2024-09-07 08:14:31:142,1,17,16,9,231,687,17,0 17,0,2024-09-07 08:14:31:847,2567,0.3,2593,0.4,4962,0.2,6536,1.75 17,1,2024-09-07 08:14:30:582,29703,29703,0,0,14258953183,152192864,29091,476,136,370,391671,0 17,2,2024-09-07 08:14:31:671,21298,21298,0,0,1157937,0,1865 17,3,2024-09-07 08:14:30:586,1,17,55,0,268,464,17,0 18,0,2024-09-07 08:14:30:961,3156,0.5,3181,0.8,6393,0.4,8744,2.50 18,1,2024-09-07 08:14:31:639,29482,29482,0,0,13750143626,146092203,28924,473,85,370,391518,0 18,2,2024-09-07 08:14:31:759,18015,18015,0,0,1117100,0,2688 18,3,2024-09-07 08:14:30:904,1,17,0,0,142,227,17,0 19,0,2024-09-07 08:14:31:549,6932,1.1,6978,1.0,13652,1.5,18381,3.00 19,1,2024-09-07 08:14:30:566,29522,29522,0,0,13917669097,147642576,28870,600,52,367,391512,0 19,2,2024-09-07 08:14:31:769,23493,23493,0,0,1437719,0,3599 19,3,2024-09-07 08:14:31:136,1,17,2,1,29,61,17,0 20,0,2024-09-07 08:14:31:409,2306,0.2,2377,0.4,4612,0.2,6184,1.50 20,1,2024-09-07 08:14:30:580,29405,29405,0,0,14747493616,155482519,29034,370,1,373,391488,0 20,2,2024-09-07 08:14:30:932,23038,23038,0,0,1364565,0,1616 20,3,2024-09-07 08:14:30:610,1,17,13,1,99,342,17,0 21,0,2024-09-07 08:14:31:145,2475,0.2,2416,0.4,4781,0.2,6181,1.50 21,1,2024-09-07 08:14:31:563,29503,29503,0,0,13587211513,144905131,28897,580,26,368,391962,0 21,2,2024-09-07 08:14:31:075,21679,21679,0,0,1423207,0,3747 21,3,2024-09-07 08:14:31:428,1,17,1,1,30,188,17,0 22,0,2024-09-07 08:14:31:735,2893,0.3,3063,0.7,5978,0.3,7871,1.75 22,1,2024-09-07 08:14:31:023,29468,29468,0,0,13882625964,151663794,28145,1016,307,382,391667,0 22,2,2024-09-07 08:14:30:767,17665,17665,0,0,826204,0,1503 22,3,2024-09-07 08:14:31:066,1,17,1,0,48,111,17,0 23,0,2024-09-07 08:14:31:386,6323,0.4,6529,0.6,12858,0.4,17678,1.75 23,1,2024-09-07 08:14:31:009,30155,30155,0,0,14723215064,153275947,30015,140,0,368,391482,0 23,2,2024-09-07 08:14:31:094,23387,23387,0,0,1226303,0,2078 23,3,2024-09-07 08:14:31:759,1,17,1,0,141,231,17,0 24,0,2024-09-07 08:14:30:851,3678,1.5,3693,1.7,7443,2.4,9565,2.00 24,1,2024-09-07 08:14:30:594,29720,29720,0,0,14114566144,151321556,29214,503,3,371,391640,0 24,2,2024-09-07 08:14:31:077,23047,23047,0,0,2534171,0,2942 24,3,2024-09-07 08:14:31:693,1,17,10,2,234,409,17,0 25,0,2024-09-07 08:14:31:369,3991,0.3,3970,0.5,7613,0.2,10051,1.75 25,1,2024-09-07 08:14:30:568,29590,29590,0,0,14063197527,150673791,29026,549,15,373,391544,0 25,2,2024-09-07 08:14:31:624,21600,21600,0,0,1858303,0,1963 25,3,2024-09-07 08:14:31:010,1,17,1,1,50,246,17,0 26,0,2024-09-07 08:14:31:729,3704,0.2,3630,0.4,7630,0.2,9497,1.50 26,1,2024-09-07 08:14:31:542,28719,28719,0,0,13638458368,154999768,26518,1503,698,383,391496,0 26,2,2024-09-07 08:14:30:861,18498,18498,0,0,1844120,0,2809 26,3,2024-09-07 08:14:31:713,1,17,1,1,796,889,17,0 27,0,2024-09-07 08:14:31:735,5776,0.5,5833,0.7,11700,0.5,15970,1.75 27,1,2024-09-07 08:14:31:679,30100,30100,0,0,14362719440,150776210,29936,163,1,381,391526,0 27,2,2024-09-07 08:14:30:872,20792,20792,0,0,2718346,0,3146 27,3,2024-09-07 08:14:31:016,1,17,1,1,86,214,17,0 28,0,2024-09-07 08:14:31:413,5396,2.5,5428,1.9,10970,4.0,14309,2.25 28,1,2024-09-07 08:14:30:803,30063,30063,0,0,14472847283,152460539,29838,225,0,383,391489,0 28,2,2024-09-07 08:14:31:766,23466,23466,0,0,1200432,0,2177 28,3,2024-09-07 08:14:31:780,1,17,8,3,20,179,17,0 29,0,2024-09-07 08:14:31:377,4832,0.3,4775,0.6,9323,0.2,12543,1.75 29,1,2024-09-07 08:14:31:566,29874,29874,0,0,14288609123,150123187,29363,339,172,371,391621,0 29,2,2024-09-07 08:14:30:868,22021,22021,0,0,951544,0,2026 29,3,2024-09-07 08:14:30:966,1,17,1,1,105,229,17,0 30,0,2024-09-07 08:14:31:468,2916,0.3,2835,0.5,5774,0.2,7805,1.75 30,1,2024-09-07 08:14:30:584,29473,29473,0,0,14693843640,155721451,29026,386,61,382,391524,0 30,2,2024-09-07 08:14:31:279,20789,20789,0,0,829922,0,1125 30,3,2024-09-07 08:14:30:582,1,17,2,0,110,149,17,0 31,0,2024-09-07 08:14:31:774,4796,0.6,4909,0.8,9785,0.6,13188,2.00 31,1,2024-09-07 08:14:30:565,29724,29724,0,0,14187768314,146395874,29690,34,0,356,391478,0 31,2,2024-09-07 08:14:31:277,19048,19048,0,0,1296167,0,1685 31,3,2024-09-07 08:14:31:713,1,17,5,0,40,87,17,0 32,0,2024-09-07 08:14:31:444,6649,0.6,6811,0.8,13492,0.4,18034,1.75 32,1,2024-09-07 08:14:30:816,29716,29716,0,0,13953326478,146118234,29559,155,2,383,391517,0 32,2,2024-09-07 08:14:30:939,23429,23429,0,0,1218826,0,1970 32,3,2024-09-07 08:14:31:015,1,17,8,1,37,188,17,0 33,0,2024-09-07 08:14:31:511,3327,0.2,3284,0.4,6517,0.1,8849,1.50 33,1,2024-09-07 08:14:30:580,29526,29526,0,0,14265735384,149809841,29108,406,12,369,391497,0 33,2,2024-09-07 08:14:30:759,22620,22620,0,0,1590371,0,3364 33,3,2024-09-07 08:14:30:895,1,17,1,1,27,107,17,0 34,0,2024-09-07 08:14:30:938,2195,0.2,2253,0.3,4343,0.1,5664,1.50 34,1,2024-09-07 08:14:31:044,29828,29828,0,0,14295107819,149031403,29642,185,1,369,391481,0 34,2,2024-09-07 08:14:30:767,21245,21245,0,0,1498865,0,3073 34,3,2024-09-07 08:14:31:688,1,17,1,0,46,116,17,0 35,0,2024-09-07 08:14:30:864,2869,0.2,2875,0.4,5701,0.2,7692,1.75 35,1,2024-09-07 08:14:31:068,29524,29524,0,0,14048127228,149730634,28479,740,305,385,391481,0 35,2,2024-09-07 08:14:31:584,17890,17890,0,0,923073,0,2276 35,3,2024-09-07 08:14:30:907,1,17,1,1,219,475,17,0 36,0,2024-09-07 08:14:31:572,7104,1.1,7078,1.3,14403,1.2,19166,2.75 36,1,2024-09-07 08:14:30:589,29200,29200,0,0,13539913736,149727555,27260,1184,756,370,391535,0 36,2,2024-09-07 08:14:31:759,23578,23578,0,0,1282891,0,1272 36,3,2024-09-07 08:14:30:863,1,17,9,1,54,269,17,0 37,0,2024-09-07 08:14:31:383,2018,0.2,2038,0.4,3931,0.1,5070,1.50 37,1,2024-09-07 08:14:30:571,28906,28899,0,7,14110688375,159850626,26775,617,1507,368,391507,0 37,2,2024-09-07 08:14:31:146,23644,23644,0,0,1252919,0,1448 37,3,2024-09-07 08:14:31:771,1,17,6,0,31,96,17,0 38,0,2024-09-07 08:14:31:470,3175,0.4,3051,0.6,6343,0.3,8063,2.00 38,1,2024-09-07 08:14:31:606,30165,30165,0,0,14478513090,151624269,29918,246,1,371,391512,0 38,2,2024-09-07 08:14:30:766,21251,21251,0,0,1514768,0,3245 38,3,2024-09-07 08:14:30:998,1,17,1,0,36,107,17,0 39,0,2024-09-07 08:14:31:770,3358,0.3,3272,0.6,6439,0.2,8243,1.75 39,1,2024-09-07 08:14:30:717,29657,29657,0,0,14324476408,153200265,28633,606,418,366,391480,0 39,2,2024-09-07 08:14:31:419,17679,17679,0,0,876604,0,1786 39,3,2024-09-07 08:14:30:724,1,17,1,1,189,433,17,0 40,0,2024-09-07 08:14:31:506,6012,1.8,6238,3.8,12568,4.3,17148,3.75 40,1,2024-09-07 08:14:30:584,29336,29336,0,0,13759591562,152368001,27476,1333,527,371,391543,0 40,2,2024-09-07 08:14:31:305,22077,22076,1,0,2445607,0,5137 40,3,2024-09-07 08:14:31:142,1,17,4,2,63,225,17,0 41,0,2024-09-07 08:14:31:034,3482,9.0,3595,10.0,7047,10.0,9877,8.00 41,1,2024-09-07 08:14:30:777,29607,29607,0,0,14399073974,155737643,28458,957,192,371,391483,0 41,2,2024-09-07 08:14:30:767,22852,22852,0,0,2521618,0,3325 41,3,2024-09-07 08:14:31:677,1,17,2,1,7,39,17,0 42,0,2024-09-07 08:14:31:483,4857,0.6,4828,1.0,9708,0.5,12690,2.75 42,1,2024-09-07 08:14:31:440,29067,29067,0,0,14214634993,159207861,27113,1252,702,381,391489,0 42,2,2024-09-07 08:14:31:135,21313,21313,0,0,1901338,0,1967 42,3,2024-09-07 08:14:31:008,1,17,0,0,100,198,17,0 43,0,2024-09-07 08:14:30:928,3740,0.4,3693,0.7,7666,0.2,9962,2.00 43,1,2024-09-07 08:14:30:579,29231,29231,0,0,14698110325,160055633,27818,822,591,368,391479,0 43,2,2024-09-07 08:14:31:738,19467,19467,0,0,1828419,0,3812 43,3,2024-09-07 08:14:31:753,1,17,1,1,292,687,17,0 44,0,2024-09-07 08:14:30:927,5608,0.5,5545,0.8,11085,0.5,15019,2.00 44,1,2024-09-07 08:14:30:566,29876,29876,0,0,13633689961,142545941,29600,268,8,358,391493,0 44,2,2024-09-07 08:14:31:268,19704,19704,0,0,860360,0,1125 44,3,2024-09-07 08:14:31:099,1,17,10,1,817,1028,17,0 45,0,2024-09-07 08:14:31:771,6327,5.3,6191,2.9,12864,6.7,17770,2.50 45,1,2024-09-07 08:14:31:008,29712,29712,0,0,14368354316,150910327,29493,219,0,382,391510,0 45,2,2024-09-07 08:14:31:273,23956,23956,0,0,1171755,0,2038 45,3,2024-09-07 08:14:30:936,1,17,1,1,226,330,17,0 46,0,2024-09-07 08:14:30:979,4108,0.3,4071,0.6,8183,0.2,11022,1.75 46,1,2024-09-07 08:14:30:578,29942,29942,0,0,14457333866,149442171,29901,40,1,370,391514,0 46,2,2024-09-07 08:14:30:598,22292,22292,0,0,1230093,0,2835 46,3,2024-09-07 08:14:31:132,1,17,1,1,200,425,17,0 47,0,2024-09-07 08:14:31:113,2493,0.2,2527,0.4,5018,0.1,6520,1.50 47,1,2024-09-07 08:14:30:568,29949,29949,0,0,13467707402,140200283,29803,145,1,368,391481,0 47,2,2024-09-07 08:14:30:908,21502,21502,0,0,1461627,0,2558 47,3,2024-09-07 08:14:31:115,1,17,3,1,25,88,17,0 48,0,2024-09-07 08:14:31:525,3228,0.3,3162,0.4,6310,0.2,8841,1.75 48,1,2024-09-07 08:14:31:023,29635,29635,0,0,14146807070,149172485,29314,321,0,386,391516,0 48,2,2024-09-07 08:14:30:707,18126,18126,0,0,769232,0,1521 48,3,2024-09-07 08:14:30:755,1,17,13,1,21,173,17,0 49,0,2024-09-07 08:14:31:745,7046,0.4,7035,0.5,13365,0.4,18450,1.75 49,1,2024-09-07 08:14:31:033,29999,29999,0,0,14567801771,152257505,29878,121,0,382,391583,0 49,2,2024-09-07 08:14:31:804,23422,23422,0,0,1290298,0,2196 49,3,2024-09-07 08:14:31:420,1,17,4,1,274,501,17,0 50,0,2024-09-07 08:14:31:512,2312,0.2,2302,0.3,4576,0.1,6252,1.50 50,1,2024-09-07 08:14:31:011,29953,29953,0,0,14458434836,152241880,29227,545,181,370,391530,0 50,2,2024-09-07 08:14:31:067,23201,23201,0,0,923466,0,2034 50,3,2024-09-07 08:14:31:291,1,17,11,1,335,387,17,0 51,0,2024-09-07 08:14:31:696,2482,0.1,2401,0.3,4784,0.1,6211,1.50 51,1,2024-09-07 08:14:31:688,29832,29832,0,0,15156150993,157118862,29619,180,33,367,391504,0 51,2,2024-09-07 08:14:31:317,21169,21169,0,0,775053,0,1936 51,3,2024-09-07 08:14:31:027,1,17,1,0,162,238,17,0 52,0,2024-09-07 08:14:31:440,2908,0.7,2900,1.2,5916,0.9,7728,2.50 52,1,2024-09-07 08:14:30:580,29435,29435,0,0,14001342756,151302901,28425,816,194,371,391498,0 52,2,2024-09-07 08:14:31:757,17533,17533,0,0,1389274,0,4779 52,3,2024-09-07 08:14:30:679,1,17,4,4,21,198,17,0 53,0,2024-09-07 08:14:31:744,6469,1.9,6219,1.5,12843,2.3,17478,2.75 53,1,2024-09-07 08:14:30:778,29659,29659,0,0,14620615006,158362462,28320,913,426,372,391523,0 53,2,2024-09-07 08:14:31:302,23117,23117,0,0,1114837,0,1520 53,3,2024-09-07 08:14:30:707,1,17,1,1,28,85,17,0 54,0,2024-09-07 08:14:31:626,2767,10.0,2762,10.0,5589,6.7,8411,6.50 54,1,2024-09-07 08:14:30:594,29790,29790,0,0,14900085100,157092866,29061,695,34,369,391520,0 54,2,2024-09-07 08:14:30:880,23368,23362,6,0,1971531,0,5382 54,3,2024-09-07 08:14:30:765,1,17,1,1,98,192,17,0 55,0,2024-09-07 08:14:31:774,3840,0.9,3958,1.1,7964,0.6,10004,2.50 55,1,2024-09-07 08:14:30:766,29293,29293,0,0,13900227630,149640275,27871,927,495,369,391660,0 55,2,2024-09-07 08:14:30:738,21695,21695,0,0,1288476,0,2129 55,3,2024-09-07 08:14:30:679,1,17,44,0,44,120,17,0 56,0,2024-09-07 08:14:31:578,3741,2.0,3546,1.6,7327,2.3,9340,4.25 56,1,2024-09-07 08:14:30:580,29231,29231,0,0,14861353439,165120614,27236,1413,582,385,391536,0 56,2,2024-09-07 08:14:31:323,18410,18410,0,0,1752928,0,3567 56,3,2024-09-07 08:14:31:059,1,17,20,2,297,460,17,0 57,0,2024-09-07 08:14:30:949,5472,5.0,5397,3.1,10916,6.9,15117,5.25 57,1,2024-09-07 08:14:30:992,29907,29907,0,0,13936249469,149683248,29338,558,11,370,391505,0 57,2,2024-09-07 08:14:31:331,21037,21037,0,0,1945342,0,2687 57,3,2024-09-07 08:14:31:747,1,17,75,1,359,519,17,0 58,0,2024-09-07 08:14:30:566,4622,7.3,4488,3.6,9393,8.3,12408,7.25 58,1,2024-09-07 08:14:30:580,29486,29486,0,0,14824534469,160468409,28228,1101,157,372,391482,0 58,2,2024-09-07 08:14:31:079,23271,23271,0,0,1766132,0,2464 58,3,2024-09-07 08:14:31:069,1,17,2,1,80,151,17,0 59,0,2024-09-07 08:14:31:762,4739,1.5,4770,1.4,9358,1.9,12282,3.50 59,1,2024-09-07 08:14:30:806,29702,29702,0,0,14207799567,151288640,29209,490,3,372,391515,0 59,2,2024-09-07 08:14:30:587,21629,21629,0,0,1497693,0,1708 59,3,2024-09-07 08:14:31:738,1,17,10,1,20,101,17,0 60,0,2024-09-07 08:14:31:736,2897,0.3,2948,0.6,5729,0.2,7847,2.00 60,1,2024-09-07 08:14:30:788,30053,30053,0,0,14953624432,158308494,29342,372,339,370,391672,0 60,2,2024-09-07 08:14:31:142,20838,20838,0,0,1157443,0,2142 60,3,2024-09-07 08:14:31:261,1,17,8,2,124,325,17,0 61,0,2024-09-07 08:14:31:543,4646,4.2,4623,2.7,9202,4.7,12664,5.00 61,1,2024-09-07 08:14:30:772,29442,29442,0,0,14166734563,153193822,28199,887,356,383,391560,0 61,2,2024-09-07 08:14:31:125,18836,18836,0,0,1147234,0,1846 61,3,2024-09-07 08:14:31:689,1,17,1,1,72,133,17,0 62,0,2024-09-07 08:14:31:778,6660,0.5,6938,0.8,13302,0.5,17982,2.00 62,1,2024-09-07 08:14:31:115,29740,29739,0,1,14491221820,151805019,29365,372,2,368,391586,1 62,2,2024-09-07 08:14:31:645,23291,23291,0,0,1241528,0,2030 62,3,2024-09-07 08:14:31:151,1,17,287,1,287,394,17,0 63,0,2024-09-07 08:14:31:465,3287,1.3,3313,1.2,6466,1.9,8839,3.50 63,1,2024-09-07 08:14:30:805,30070,30069,0,1,14406910253,150022597,29926,142,1,382,391505,1 63,2,2024-09-07 08:14:30:766,22699,22699,0,0,1390585,0,2177 63,3,2024-09-07 08:14:31:754,1,17,53,1,216,533,17,0 64,0,2024-09-07 08:14:31:517,2166,0.2,2168,0.3,4401,0.1,5669,1.50 64,1,2024-09-07 08:14:30:755,30065,30065,0,0,14353345942,151845037,29294,714,57,371,391604,0 64,2,2024-09-07 08:14:31:142,21568,21568,0,0,1051854,0,2510 64,3,2024-09-07 08:14:31:143,1,17,6,1,163,331,17,0 65,0,2024-09-07 08:14:31:689,2964,0.2,2927,0.4,5610,0.2,7678,1.50 65,1,2024-09-07 08:14:30:865,29694,29694,0,0,13806929919,146417782,29089,574,31,382,391506,0 65,2,2024-09-07 08:14:31:697,17489,17489,0,0,844176,0,2374 65,3,2024-09-07 08:14:31:688,1,17,4,1,122,341,17,0 66,0,2024-09-07 08:14:31:837,6976,0.4,7042,0.5,14370,0.3,19205,1.75 66,1,2024-09-07 08:14:31:295,30261,30261,0,0,14904903557,155369560,29958,287,16,382,391537,0 66,2,2024-09-07 08:14:31:148,23603,23603,0,0,1200990,0,2934 66,3,2024-09-07 08:14:31:084,1,17,2,1,45,97,17,0 67,0,2024-09-07 08:14:31:433,1996,0.2,2006,0.3,3959,0.1,5069,1.50 67,1,2024-09-07 08:14:30:781,29801,29800,0,1,14549547726,153284557,29359,417,24,383,391567,1 67,2,2024-09-07 08:14:30:589,23658,23658,0,0,1279407,0,2104 67,3,2024-09-07 08:14:31:754,1,17,1,1,58,136,17,0 68,0,2024-09-07 08:14:30:589,3115,0.3,3107,0.7,6350,0.2,8056,2.00 68,1,2024-09-07 08:14:30:574,29362,29362,0,0,13823890935,149893900,28467,449,446,383,391489,0 68,2,2024-09-07 08:14:31:045,21396,21396,0,0,1635636,0,4883 68,3,2024-09-07 08:14:30:737,1,17,8,1,63,224,17,0 69,0,2024-09-07 08:14:31:743,3298,0.8,3200,1.0,6411,0.9,8264,2.25 69,1,2024-09-07 08:14:31:018,28771,28771,0,0,14348456377,158997457,27444,465,862,387,391483,0 69,2,2024-09-07 08:14:31:736,17762,17762,0,0,927433,0,2462 69,3,2024-09-07 08:14:30:769,1,17,14,0,36,147,17,0 70,0,2024-09-07 08:14:31:557,6254,4.7,6185,5.3,12663,1.6,17269,4.00 70,1,2024-09-07 08:14:30:817,29890,29890,0,0,14633756716,153210488,29633,240,17,369,391527,0 70,2,2024-09-07 08:14:31:329,22484,22484,0,0,1323026,0,1937 70,3,2024-09-07 08:14:30:752,1,17,1,1,69,114,17,0 71,0,2024-09-07 08:14:31:376,3600,9.5,3562,9.8,6948,10.0,10258,7.50 71,1,2024-09-07 08:14:31:597,29803,29803,0,0,14580276298,154844238,29085,593,125,368,391584,0 71,2,2024-09-07 08:14:31:068,23315,23315,0,0,1572029,0,2146 71,3,2024-09-07 08:14:31:756,1,17,1,1,174,359,17,0 72,0,2024-09-07 08:14:31:045,5028,0.5,4897,0.8,9738,0.4,12735,3.00 72,1,2024-09-07 08:14:31:021,29434,29434,0,0,13759066995,148872742,28471,956,7,371,391514,0 72,2,2024-09-07 08:14:31:762,21198,21198,0,0,2378623,0,2570 72,3,2024-09-07 08:14:31:759,1,17,10,1,325,499,17,0 73,0,2024-09-07 08:14:31:133,3676,0.4,3726,0.7,7539,0.3,9914,2.50 73,1,2024-09-07 08:14:30:779,29745,29745,0,0,13840773586,147372183,29463,281,1,370,391495,0 73,2,2024-09-07 08:14:31:739,19554,19554,0,0,1808823,0,3482 73,3,2024-09-07 08:14:30:968,1,17,10,0,137,341,17,0 74,0,2024-09-07 08:14:31:353,5583,1.4,5651,1.3,11041,1.8,15205,3.25 74,1,2024-09-07 08:14:30:635,29490,29490,0,0,13883258704,147686670,29027,461,2,384,391494,0 74,2,2024-09-07 08:14:31:012,19838,19838,0,0,1870106,0,2736 74,3,2024-09-07 08:14:31:442,1,17,2,0,192,697,17,0 75,0,2024-09-07 08:14:31:784,6518,5.1,6513,2.7,12849,6.2,17822,4.00 75,1,2024-09-07 08:14:31:592,29775,29775,0,0,14225669703,152279763,29155,569,51,383,391514,0 75,2,2024-09-07 08:14:31:353,23018,23018,0,0,2711966,0,4766 75,3,2024-09-07 08:14:31:067,1,17,1,1,38,79,17,0 76,0,2024-09-07 08:14:30:590,4128,0.3,4123,0.6,8002,0.2,10751,2.00 76,1,2024-09-07 08:14:30:806,29309,29309,0,0,13913467704,149001108,28536,484,289,384,391530,0 76,2,2024-09-07 08:14:31:062,22762,22762,0,0,917166,0,1138 76,3,2024-09-07 08:14:31:142,1,17,1,1,140,328,17,0 77,0,2024-09-07 08:14:31:768,2526,0.3,2534,0.5,4910,0.2,6472,1.75 77,1,2024-09-07 08:14:30:828,29281,29281,0,0,14267832924,151023495,28732,483,66,383,391512,0 77,2,2024-09-07 08:14:31:301,21372,21372,0,0,923764,0,1637 77,3,2024-09-07 08:14:31:109,1,17,7,0,131,202,17,0 78,0,2024-09-07 08:14:31:789,3077,0.5,3123,0.8,6220,0.4,8443,3.25 78,1,2024-09-07 08:14:30:611,29932,29932,0,0,13701871914,144193260,29632,300,0,370,391540,0 78,2,2024-09-07 08:14:31:423,18263,18263,0,0,940508,0,2114 78,3,2024-09-07 08:14:31:136,1,17,5,0,112,324,17,0 79,0,2024-09-07 08:14:31:480,6790,0.5,6977,0.7,13994,0.5,18147,2.00 79,1,2024-09-07 08:14:30:571,29909,29909,0,0,14315388334,151312784,29305,598,6,372,391486,0 79,2,2024-09-07 08:14:31:069,23453,23453,0,0,1203656,0,2679 79,3,2024-09-07 08:14:30:767,1,17,9,1,289,393,17,0 80,0,2024-09-07 08:14:31:161,2245,0.2,2339,0.4,4534,0.1,6189,1.75 80,1,2024-09-07 08:14:31:625,29590,29590,0,0,13958062477,146981175,28872,669,49,372,391673,0 80,2,2024-09-07 08:14:31:093,23014,23014,0,0,1134198,0,1679 80,3,2024-09-07 08:14:30:581,1,17,13,1,148,492,17,0 81,0,2024-09-07 08:14:31:565,2441,0.2,2473,0.3,4722,0.1,6190,1.50 81,1,2024-09-07 08:14:31:651,29773,29773,0,0,13796468670,145248281,29449,317,7,383,391646,0 81,2,2024-09-07 08:14:31:130,20992,20992,0,0,1263994,0,2811 81,3,2024-09-07 08:14:31:116,1,17,116,1,116,234,17,0 82,0,2024-09-07 08:14:31:557,2907,0.2,2827,0.5,5812,0.2,7793,1.75 82,1,2024-09-07 08:14:30:585,29523,29522,0,1,13835491242,147465477,28716,475,331,384,391529,1 82,2,2024-09-07 08:14:31:695,17684,17684,0,0,777477,0,1286 82,3,2024-09-07 08:14:31:760,1,17,4,1,65,228,17,0 83,0,2024-09-07 08:14:31:540,6479,0.7,6490,0.8,12904,0.8,17371,2.00 83,1,2024-09-07 08:14:30:554,29625,29625,0,0,14217277807,150963167,28967,579,79,384,391553,0 83,2,2024-09-07 08:14:30:780,22931,22931,0,0,1376064,0,2512 83,3,2024-09-07 08:14:30:753,1,17,22,1,27,148,17,0 84,0,2024-09-07 08:14:31:800,3070,10.0,3003,10.0,6082,6.0,9049,6.00 84,1,2024-09-07 08:14:31:040,29685,29685,0,0,14173613887,152741583,28683,763,239,370,391630,0 84,2,2024-09-07 08:14:30:572,23023,23023,0,0,1825875,0,3801 84,3,2024-09-07 08:14:31:141,1,17,12,2,13,161,17,0 85,0,2024-09-07 08:14:31:031,3805,0.4,3844,0.7,8058,0.3,10113,2.00 85,1,2024-09-07 08:14:30:593,29202,29202,0,0,13913402600,152099929,27770,1141,291,384,391505,0 85,2,2024-09-07 08:14:30:873,21192,21192,0,0,1619793,0,3656 85,3,2024-09-07 08:14:30:694,1,17,7,1,88,156,17,0 86,0,2024-09-07 08:14:30:889,3621,0.3,3819,0.4,7409,0.2,9549,1.75 86,1,2024-09-07 08:14:30:825,29343,29343,0,0,13923940228,148306555,28591,724,28,369,391506,0 86,2,2024-09-07 08:14:30:855,18335,18334,1,0,1520227,0,5004 86,3,2024-09-07 08:14:30:593,1,17,2,1,32,150,17,0 87,0,2024-09-07 08:14:31:337,5711,3.7,5759,2.3,11531,4.4,15853,2.25 87,1,2024-09-07 08:14:30:564,29515,29515,0,0,14042693444,149922227,28799,668,48,369,391564,0 87,2,2024-09-07 08:14:31:076,21213,21213,0,0,1061110,0,2148 87,3,2024-09-07 08:14:31:813,1,17,65,1,322,698,17,0 88,0,2024-09-07 08:14:31:466,5404,3.1,5423,2.0,10828,4.2,14304,2.50 88,1,2024-09-07 08:14:30:570,29483,29483,0,0,14046099410,151462220,28607,784,92,369,391747,0 88,2,2024-09-07 08:14:30:693,23536,23536,0,0,1910619,0,2675 88,3,2024-09-07 08:14:31:269,1,17,35,2,77,286,17,0 89,0,2024-09-07 08:14:31:804,4846,0.5,4739,0.7,9415,0.5,12619,2.00 89,1,2024-09-07 08:14:30:552,29090,29090,0,0,14280011541,155832225,28042,541,507,384,391576,0 89,2,2024-09-07 08:14:31:134,21740,21740,0,0,1854038,0,2726 89,3,2024-09-07 08:14:31:794,1,17,2,2,153,425,17,0 90,0,2024-09-07 08:14:31:638,2834,0.3,2874,0.5,5908,0.2,7806,1.75 90,1,2024-09-07 08:14:30:600,29601,29601,0,0,14032207433,154018081,28149,1328,124,383,391522,0 90,2,2024-09-07 08:14:31:430,20597,20597,0,0,1838870,0,2635 90,3,2024-09-07 08:14:30:931,1,17,4,1,10,83,17,0 91,0,2024-09-07 08:14:30:941,4942,0.7,4746,0.9,9865,0.8,13141,2.00 91,1,2024-09-07 08:14:30:558,29504,29504,0,0,14486414199,157226719,28338,999,167,385,391914,0 91,2,2024-09-07 08:14:31:338,18588,18588,0,0,1256114,0,1997 91,3,2024-09-07 08:14:30:598,1,17,3,1,155,327,17,0 92,0,2024-09-07 08:14:31:457,6813,0.5,6860,0.6,13357,0.5,18321,1.75 92,1,2024-09-07 08:14:30:604,29731,29731,0,0,14144710030,148925721,29407,278,46,383,391569,0 92,2,2024-09-07 08:14:31:360,23952,23952,0,0,1418685,0,2279 92,3,2024-09-07 08:14:31:009,1,17,9,2,68,271,17,0 93,0,2024-09-07 08:14:30:962,3213,0.8,3294,0.8,6344,0.9,8816,2.00 93,1,2024-09-07 08:14:30:814,29449,29449,0,0,14198498653,151304018,28663,506,280,368,391689,0 93,2,2024-09-07 08:14:30:928,22856,22856,0,0,1081077,0,1795 93,3,2024-09-07 08:14:31:408,1,17,1,1,143,277,17,0 94,0,2024-09-07 08:14:31:611,2264,0.2,2190,0.4,4394,0.1,5744,1.50 94,1,2024-09-07 08:14:30:564,29521,29521,0,0,14227816774,151152899,28987,528,6,383,391554,0 94,2,2024-09-07 08:14:30:786,21287,21287,0,0,1284405,0,1446 94,3,2024-09-07 08:14:31:689,1,17,1,1,231,464,17,0 95,0,2024-09-07 08:14:31:404,2864,0.5,2840,0.7,5804,0.5,7690,2.00 95,1,2024-09-07 08:14:30:856,29629,29629,0,0,14189722424,148763343,29460,165,4,369,391590,0 95,2,2024-09-07 08:14:31:021,17615,17615,0,0,637498,0,943 95,3,2024-09-07 08:14:31:715,1,17,2,1,45,142,17,0 96,0,2024-09-07 08:14:31:029,7279,0.4,7146,0.5,14395,0.4,19377,1.75 96,1,2024-09-07 08:14:31:584,29835,29835,0,0,14013505884,147940718,29621,211,3,385,391551,0 96,2,2024-09-07 08:14:31:273,23487,23487,0,0,1060263,0,1251 96,3,2024-09-07 08:14:31:140,1,17,11,7,31,246,17,0 97,0,2024-09-07 08:14:31:334,2011,0.2,1981,0.3,3988,0.1,5049,1.50 97,1,2024-09-07 08:14:30:769,29793,29793,0,0,14431028258,153349177,28872,542,379,367,391530,0 97,2,2024-09-07 08:14:30:607,23360,23360,0,0,1112299,0,1663 97,3,2024-09-07 08:14:30:568,1,17,2,1,77,167,17,0 98,0,2024-09-07 08:14:31:744,3179,0.2,3077,0.4,6247,0.2,8078,1.50 98,1,2024-09-07 08:14:30:670,29585,29585,0,0,14222394946,148780660,29457,127,1,384,391588,0 98,2,2024-09-07 08:14:30:777,21287,21287,0,0,1375513,0,2410 98,3,2024-09-07 08:14:30:708,1,17,4,1,155,225,17,0 99,0,2024-09-07 08:14:31:501,3230,0.2,3200,0.4,6404,0.2,8238,1.50 99,1,2024-09-07 08:14:31:750,30338,30338,0,0,13563483023,141454189,30269,66,3,383,391519,0 99,2,2024-09-07 08:14:31:427,17880,17880,0,0,913896,0,1495 99,3,2024-09-07 08:14:30:592,1,17,6,1,65,208,17,0 100,0,2024-09-07 08:14:31:471,6090,2.0,6106,5.4,12309,5.6,17114,2.75 100,1,2024-09-07 08:14:30:549,29470,29470,0,0,14066031314,154994869,27960,1251,259,382,391505,0 100,2,2024-09-07 08:14:31:859,22341,22330,11,0,1807738,0,5417 100,3,2024-09-07 08:14:31:740,1,17,8,7,443,973,17,0 101,0,2024-09-07 08:14:31:762,3835,9.3,3700,6.9,7533,9.4,11124,4.75 101,1,2024-09-07 08:14:30:552,29298,29298,0,0,14391336971,155425476,28337,537,424,370,391531,0 101,2,2024-09-07 08:14:31:762,23264,23264,0,0,1986064,0,4644 101,3,2024-09-07 08:14:30:945,1,17,1,1,448,574,17,0 102,0,2024-09-07 08:14:30:971,4828,0.9,4929,0.9,9854,0.7,12685,2.25 102,1,2024-09-07 08:14:31:148,29824,29824,0,0,14123779567,150409258,29285,529,10,371,391621,0 102,2,2024-09-07 08:14:31:766,21773,21773,0,0,1153890,0,1699 102,3,2024-09-07 08:14:31:617,1,17,6,0,410,588,17,0 103,0,2024-09-07 08:14:31:602,3838,0.3,3828,0.5,7235,0.2,9974,1.75 103,1,2024-09-07 08:14:31:627,29856,29856,0,0,14381205174,151808561,29496,313,47,381,391680,0 103,2,2024-09-07 08:14:30:596,20132,20132,0,0,1081410,0,1484 103,3,2024-09-07 08:14:30:757,1,17,7,1,486,730,17,0 104,0,2024-09-07 08:14:31:011,5584,2.1,5652,1.6,10829,2.5,15066,2.25 104,1,2024-09-07 08:14:31:599,29501,29501,0,0,13929279467,153133884,28055,1130,316,369,391948,0 104,2,2024-09-07 08:14:31:673,19458,19458,0,0,1750511,0,3941 104,3,2024-09-07 08:14:31:421,1,17,13,8,25,253,17,0 105,0,2024-09-07 08:14:31:039,6329,5.1,6106,2.9,12703,7.7,17552,4.75 105,1,2024-09-07 08:14:30:582,29036,29036,0,0,14080889670,154779593,27441,1030,565,367,391797,0 105,2,2024-09-07 08:14:31:329,23355,23355,0,0,2021140,0,3314 105,3,2024-09-07 08:14:31:310,1,17,15,1,169,414,17,0 106,0,2024-09-07 08:14:30:954,3954,0.5,3956,0.8,8170,0.4,10896,2.00 106,1,2024-09-07 08:14:31:768,29411,29411,0,0,13780837943,150410145,28135,1074,202,371,391767,0 106,2,2024-09-07 08:14:30:777,22044,22044,0,0,1670358,0,1818 106,3,2024-09-07 08:14:30:683,1,17,6,1,171,230,17,0 107,0,2024-09-07 08:14:31:221,2539,0.3,2502,0.6,4950,0.2,6462,1.75 107,1,2024-09-07 08:14:30:611,29222,29222,0,0,14042333842,151303946,28392,704,126,382,391848,0 107,2,2024-09-07 08:14:31:327,21058,21057,1,0,1468978,0,5024 107,3,2024-09-07 08:14:31:771,1,17,5,1,75,299,17,0 108,0,2024-09-07 08:14:31:856,3237,0.3,3222,0.6,6306,0.3,8806,2.00 108,1,2024-09-07 08:14:31:304,29471,29471,0,0,14212999906,151588505,28504,863,104,372,391563,0 108,2,2024-09-07 08:14:31:761,18224,18224,0,0,1190006,0,2647 108,3,2024-09-07 08:14:31:336,1,17,60,1,60,256,17,0 109,0,2024-09-07 08:14:31:850,6973,0.4,7101,0.6,13620,0.4,18520,1.75 109,1,2024-09-07 08:14:30:605,29590,29590,0,0,14652935598,155778437,29003,535,52,386,391517,0 109,2,2024-09-07 08:14:30:920,22586,22586,0,0,1599389,0,3617 109,3,2024-09-07 08:14:31:140,1,17,1,1,120,424,17,0 110,0,2024-09-07 08:14:31:828,2262,0.2,2267,0.4,4610,0.1,6199,1.50 110,1,2024-09-07 08:14:31:725,29880,29880,0,0,14079759177,148730380,29269,338,273,371,391564,0 110,2,2024-09-07 08:14:31:304,23303,23303,0,0,1570965,0,2915 110,3,2024-09-07 08:14:30:696,1,17,57,1,183,308,17,0 111,0,2024-09-07 08:14:31:443,2408,0.2,2388,0.3,4859,0.1,6174,1.50 111,1,2024-09-07 08:14:31:003,29890,29890,0,0,15012591636,155955011,29746,132,12,383,391597,0 111,2,2024-09-07 08:14:31:119,21559,21559,0,0,1219787,0,2256 111,3,2024-09-07 08:14:30:914,1,17,1,1,106,439,17,0 112,0,2024-09-07 08:14:30:924,2882,0.7,2944,0.5,5957,0.2,7811,1.75 112,1,2024-09-07 08:14:30:825,29879,29879,0,0,14381562353,151234844,29649,229,1,382,391512,0 112,2,2024-09-07 08:14:31:134,17537,17537,0,0,983533,0,3152 112,3,2024-09-07 08:14:30:597,1,17,2,1,282,423,17,0 113,0,2024-09-07 08:14:30:897,6316,0.4,6377,0.6,13012,0.4,17614,1.75 113,1,2024-09-07 08:14:31:691,29872,29872,0,0,14677765185,153141575,29623,248,1,368,391661,0 113,2,2024-09-07 08:14:31:303,22874,22874,0,0,989509,0,1597 113,3,2024-09-07 08:14:30:685,1,17,8,1,130,272,17,0 114,0,2024-09-07 08:14:30:886,3458,7.4,3538,6.0,6796,4.2,9492,2.75 114,1,2024-09-07 08:14:30:727,30014,30014,0,0,14397969174,149981247,29810,204,0,383,391513,0 114,2,2024-09-07 08:14:30:873,23490,23490,0,0,1393351,0,3433 114,3,2024-09-07 08:14:31:279,1,17,1,1,159,257,17,0 115,0,2024-09-07 08:14:30:584,3893,0.3,3982,0.5,7919,0.2,10161,1.50 115,1,2024-09-07 08:14:30:572,29688,29688,0,0,14586808445,153829566,29160,421,107,384,391495,0 115,2,2024-09-07 08:14:31:129,22225,22225,0,0,1017742,0,1535 115,3,2024-09-07 08:14:31:007,1,17,6,1,40,101,17,0 116,0,2024-09-07 08:14:31:762,3680,0.3,3671,0.6,7460,0.2,9506,1.75 116,1,2024-09-07 08:14:30:806,29250,29250,0,0,14356023102,157399638,28107,307,836,382,391554,0 116,2,2024-09-07 08:14:31:762,18648,18648,0,0,1043368,0,2154 116,3,2024-09-07 08:14:30:928,1,17,13,2,132,292,17,0 117,0,2024-09-07 08:14:30:962,5813,3.7,5752,2.3,11486,4.5,15832,2.50 117,1,2024-09-07 08:14:31:579,29766,29766,0,0,14244689340,152897730,28869,678,219,372,391601,0 117,2,2024-09-07 08:14:31:126,21109,21109,0,0,1577325,0,3700 117,3,2024-09-07 08:14:31:059,1,17,1,1,490,988,17,0 118,0,2024-09-07 08:14:31:814,5268,2.6,5335,1.7,11006,3.8,14247,3.00 118,1,2024-09-07 08:14:30:601,29773,29773,0,0,14017483146,147869693,29456,316,1,369,391503,0 118,2,2024-09-07 08:14:31:611,23458,23458,0,0,1344235,0,1450 118,3,2024-09-07 08:14:31:765,1,17,3,1,79,260,17,0 119,0,2024-09-07 08:14:31:398,4558,0.4,4687,0.7,9522,0.3,12570,2.00 119,1,2024-09-07 08:14:30:561,29369,29369,0,0,14371907138,153720322,28531,707,131,371,391576,0 119,2,2024-09-07 08:14:31:273,21948,21948,0,0,1306919,0,2222 119,3,2024-09-07 08:14:31:337,1,17,7,1,375,459,17,0 120,0,2024-09-07 08:14:31:562,2944,0.3,2905,0.6,5849,0.2,7845,1.75 120,1,2024-09-07 08:14:30:862,29646,29646,0,0,14036044301,151244846,29052,588,6,369,391702,0 120,2,2024-09-07 08:14:30:779,20586,20585,1,0,2239821,0,5281 120,3,2024-09-07 08:14:31:294,1,17,2,2,241,588,17,0 121,0,2024-09-07 08:14:31:712,4706,4.5,4766,2.6,9494,5.2,13145,3.00 121,1,2024-09-07 08:14:31:674,29153,29153,0,0,13860654370,151656761,28049,868,236,370,391633,0 121,2,2024-09-07 08:14:31:140,18879,18879,0,0,1890812,0,4127 121,3,2024-09-07 08:14:30:737,1,17,15,1,71,188,17,0 122,0,2024-09-07 08:14:31:827,6466,4.0,6307,4.1,13083,4.4,18368,3.00 122,1,2024-09-07 08:14:30:859,28649,28649,0,0,14101380313,156716879,26877,1405,367,369,392130,0 122,2,2024-09-07 08:14:31:326,23125,23125,0,0,2474030,0,2574 122,3,2024-09-07 08:14:30:597,1,17,1,1,226,1052,17,0 123,0,2024-09-07 08:14:30:957,3247,0.5,3127,0.8,6474,0.3,8755,2.00 123,1,2024-09-07 08:14:30:560,29110,29110,0,0,14040756603,157615578,26723,1933,454,371,391708,0 123,2,2024-09-07 08:14:31:018,22393,22392,1,0,2215618,0,5215 123,3,2024-09-07 08:14:31:132,1,17,1,1,160,431,17,0 124,0,2024-09-07 08:14:30:992,2214,0.2,2263,0.3,4392,0.1,5667,1.50 124,1,2024-09-07 08:14:31:024,29982,29982,0,0,14205289320,148363641,29848,133,1,370,392129,0 124,2,2024-09-07 08:14:31:011,21320,21320,0,0,1180136,0,2477 124,3,2024-09-07 08:14:30:765,1,17,2,2,490,963,17,0 125,0,2024-09-07 08:14:31:431,2828,0.3,2841,0.5,5860,0.2,7593,1.75 125,1,2024-09-07 08:14:30:867,29520,29520,0,0,14157137302,151195594,28746,754,20,385,391702,0 125,2,2024-09-07 08:14:31:121,17567,17567,0,0,929630,0,2180 125,3,2024-09-07 08:14:31:138,1,17,6,1,93,295,17,0 126,0,2024-09-07 08:14:31:440,7100,0.6,7323,0.8,14056,0.7,19267,1.75 126,1,2024-09-07 08:14:30:663,29717,29717,0,0,14464977037,152247094,29303,407,7,368,391719,0 126,2,2024-09-07 08:14:30:612,23482,23482,0,0,1212940,0,1557 126,3,2024-09-07 08:14:30:907,1,17,1,1,45,168,17,0 127,0,2024-09-07 08:14:31:660,1991,0.2,1987,0.3,3987,0.1,5022,1.50 127,1,2024-09-07 08:14:30:573,29611,29611,0,0,13485485028,141277477,29276,318,17,366,391488,0 127,2,2024-09-07 08:14:30:637,23580,23580,0,0,1212852,0,1744 127,3,2024-09-07 08:14:31:271,1,17,1,0,99,225,17,0 128,0,2024-09-07 08:14:31:569,3085,0.3,3154,0.5,6228,0.2,8020,1.75 128,1,2024-09-07 08:14:31:610,29700,29700,0,0,14627348781,152499525,29526,171,3,370,391605,0 128,2,2024-09-07 08:14:31:392,21083,21083,0,0,1267347,0,1712 128,3,2024-09-07 08:14:30:772,1,17,1,1,20,203,17,0 129,0,2024-09-07 08:14:31:007,3191,0.4,3267,0.6,6464,0.3,8216,2.00 129,1,2024-09-07 08:14:30:567,29592,29592,0,0,14351713544,153173227,28729,598,265,383,391835,0 129,2,2024-09-07 08:14:30:688,17826,17826,0,0,716542,0,2289 129,3,2024-09-07 08:14:30:694,1,17,44,1,82,212,17,0 130,0,2024-09-07 08:14:31:746,6437,1.1,6341,1.2,12760,1.9,17619,2.00 130,1,2024-09-07 08:14:30:623,29883,29883,0,0,14616279689,153598437,29607,275,1,381,391516,0 130,2,2024-09-07 08:14:31:129,22443,22443,0,0,1050054,0,1539 130,3,2024-09-07 08:14:31:292,1,17,7,1,66,148,17,0 131,0,2024-09-07 08:14:31:960,4194,3.3,4074,2.5,8382,4.0,11167,3.00 131,1,2024-09-07 08:14:31:876,29717,29717,0,0,14114115180,149862720,29097,449,171,385,391727,0 131,2,2024-09-07 08:14:30:570,23133,23133,0,0,1246788,0,1766 131,3,2024-09-07 08:14:31:704,1,17,2,1,23,81,17,0 132,0,2024-09-07 08:14:31:475,4803,0.5,4944,1.0,9809,0.5,12758,2.00 132,1,2024-09-07 08:14:30:586,29258,29258,0,0,13574569848,148033818,27954,1042,262,383,391533,0 132,2,2024-09-07 08:14:30:718,21528,21528,0,0,2735925,0,4606 132,3,2024-09-07 08:14:31:688,1,17,11,5,356,557,17,0 133,0,2024-09-07 08:14:31:571,3653,0.3,3738,0.6,7598,0.2,9899,1.75 133,1,2024-09-07 08:14:30:584,29168,29168,0,0,13821550252,148178113,28402,762,4,385,391492,0 133,2,2024-09-07 08:14:31:093,20246,20246,0,0,1090240,0,1707 133,3,2024-09-07 08:14:31:308,1,17,3,1,26,91,17,0 134,0,2024-09-07 08:14:30:951,5550,0.5,5515,0.9,11171,0.4,15072,2.00 134,1,2024-09-07 08:14:30:594,29549,29549,0,0,14256077950,156280051,28047,756,746,366,391508,0 134,2,2024-09-07 08:14:31:768,19660,19660,0,0,984821,0,1739 134,3,2024-09-07 08:14:30:751,1,17,3,1,63,284,17,0 135,0,2024-09-07 08:14:31:107,6410,3.7,6350,3.0,13464,3.4,17557,3.00 135,1,2024-09-07 08:14:31:593,29582,29582,0,0,14630885712,153693611,29216,350,16,383,391513,0 135,2,2024-09-07 08:14:30:702,23496,23496,0,0,1547358,0,3016 135,3,2024-09-07 08:14:31:001,1,17,1,1,68,202,17,0 136,0,2024-09-07 08:14:31:675,4117,0.6,4074,1.0,8164,0.5,11020,2.50 136,1,2024-09-07 08:14:31:447,29790,29790,0,0,14066509076,154049738,28458,1191,141,386,391501,0 136,2,2024-09-07 08:14:31:143,22717,22717,0,0,1377216,0,1692 136,3,2024-09-07 08:14:31:107,1,17,3,2,40,236,17,0 137,0,2024-09-07 08:14:30:931,2557,0.4,2525,0.7,4850,0.2,6471,2.00 137,1,2024-09-07 08:14:30:579,29487,29487,0,0,13923777978,150310907,28664,780,43,370,391489,0 137,2,2024-09-07 08:14:31:716,20582,20582,0,0,1977574,0,2294 137,3,2024-09-07 08:14:30:771,1,17,2,1,227,315,17,0 138,0,2024-09-07 08:14:31:779,3150,1.1,3213,1.2,6424,1.3,8833,3.00 138,1,2024-09-07 08:14:31:693,29393,29393,0,0,14322061793,156748277,27968,1194,231,371,391572,0 138,2,2024-09-07 08:14:30:589,18039,18039,0,0,1482925,0,3263 138,3,2024-09-07 08:14:30:610,1,17,2,1,68,229,17,0 139,0,2024-09-07 08:14:31:382,6513,5.7,6451,3.4,13263,6.2,18030,4.25 139,1,2024-09-07 08:14:30:584,29211,29211,0,0,13595895330,154548535,27240,1288,683,386,391511,0 139,2,2024-09-07 08:14:30:694,23166,23166,0,0,2051468,0,2425 139,3,2024-09-07 08:14:31:670,1,17,3,1,104,278,17,0 140,0,2024-09-07 08:14:31:600,2329,0.3,2265,0.5,4593,0.2,6218,2.25 140,1,2024-09-07 08:14:31:539,29900,29900,0,0,14685716418,153260517,29726,174,0,366,391482,0 140,2,2024-09-07 08:14:30:693,22889,22889,0,0,1702654,0,2707 140,3,2024-09-07 08:14:30:770,1,17,1,1,25,170,17,0 141,0,2024-09-07 08:14:31:713,2335,0.2,2442,0.3,4709,0.1,6193,1.50 141,1,2024-09-07 08:14:30:862,29684,29684,0,0,14793625187,156945494,28873,581,230,382,391532,0 141,2,2024-09-07 08:14:31:691,21313,21313,0,0,1012880,0,1869 141,3,2024-09-07 08:14:31:043,1,17,1,0,42,111,17,0 142,0,2024-09-07 08:14:31:321,2948,0.3,2940,0.6,5898,0.2,7777,2.00 142,1,2024-09-07 08:14:30:591,29739,29739,0,0,14337593766,150461742,29584,155,0,385,391520,0 142,2,2024-09-07 08:14:31:302,17479,17479,0,0,1006979,0,2293 142,3,2024-09-07 08:14:31:748,1,17,1,1,53,136,17,0 143,0,2024-09-07 08:14:31:417,6347,1.2,6344,1.1,12922,1.6,17165,2.00 143,1,2024-09-07 08:14:30:559,29612,29612,0,0,14482376082,151747533,29268,344,0,370,391608,0 143,2,2024-09-07 08:14:30:771,22744,22744,0,0,1453204,0,2572 143,3,2024-09-07 08:14:31:141,1,17,3,1,236,571,17,0 144,0,2024-09-07 08:14:31:531,3084,8.1,3182,10.0,6347,7.2,9357,3.25 144,1,2024-09-07 08:14:30:571,29783,29783,0,0,13987989264,148236300,29347,395,41,383,391562,0 144,2,2024-09-07 08:14:31:765,23402,23402,0,0,1174964,0,1980 144,3,2024-09-07 08:14:31:752,1,17,8,2,112,421,17,0 145,0,2024-09-07 08:14:31:372,3787,0.5,3845,0.8,7950,0.4,10082,2.00 145,1,2024-09-07 08:14:30:560,29374,29374,0,0,13737582624,145643917,29128,246,0,384,391603,0 145,2,2024-09-07 08:14:31:434,21681,21681,0,0,2186118,0,3903 145,3,2024-09-07 08:14:30:895,1,17,1,1,151,551,17,0 146,0,2024-09-07 08:14:31:614,3703,0.3,3735,0.5,7450,0.2,9488,1.75 146,1,2024-09-07 08:14:31:586,29485,29485,0,0,14321593049,157201684,27884,1303,298,371,391512,0 146,2,2024-09-07 08:14:31:698,18361,18361,0,0,1328100,0,2149 146,3,2024-09-07 08:14:31:278,1,17,1,0,54,202,17,0 147,0,2024-09-07 08:14:31:716,5701,4.2,5639,2.5,11290,5.1,15894,3.00 147,1,2024-09-07 08:14:31:381,29760,29760,0,0,14042504243,147963616,29294,404,62,369,391791,0 147,2,2024-09-07 08:14:31:010,21581,21581,0,0,1077881,0,2526 147,3,2024-09-07 08:14:30:913,1,17,1,1,141,400,17,0 0,0,2024-09-07 08:14:41:729,3115,0.4,3131,0.6,6514,0.2,8711,2.00 0,1,2024-09-07 08:14:40:801,31335,31335,0,0,15175212219,161926663,30697,533,105,373,391658,0 0,2,2024-09-07 08:14:41:071,21513,21513,0,0,801563,0,958 0,3,2024-09-07 08:14:40:974,1,18,2,2,247,469,18,0 1,0,2024-09-07 08:14:41:787,5116,1.2,5038,1.1,10304,1.8,13848,2.00 1,1,2024-09-07 08:14:40:580,31189,31189,0,0,14194479832,149852545,30778,376,35,372,391857,0 1,2,2024-09-07 08:14:40:641,20108,20108,0,0,1030758,0,1544 1,3,2024-09-07 08:14:41:301,1,18,3,1,167,344,18,0 2,0,2024-09-07 08:14:41:587,6983,1.0,7211,1.4,13637,1.2,18914,3.00 2,1,2024-09-07 08:14:40:859,31314,31314,0,0,14594234983,152824911,31219,95,0,382,391527,0 2,2,2024-09-07 08:14:41:266,24707,24707,0,0,1720833,0,3304 2,3,2024-09-07 08:14:40:696,1,18,2,1,87,305,18,0 3,0,2024-09-07 08:14:41:766,3572,0.4,3610,0.7,7184,0.3,9711,2.00 3,1,2024-09-07 08:14:41:628,31473,31473,0,0,15432778086,162583951,31071,392,10,383,391494,0 3,2,2024-09-07 08:14:41:146,23878,23878,0,0,1216590,0,2192 3,3,2024-09-07 08:14:41:756,1,18,1,1,23,76,18,0 4,0,2024-09-07 08:14:41:786,2341,0.2,2435,0.4,4774,0.2,6159,1.50 4,1,2024-09-07 08:14:40:591,31472,31472,0,0,14801288850,159221086,30477,652,343,372,391682,0 4,2,2024-09-07 08:14:41:024,22346,22346,0,0,1876715,0,4528 4,3,2024-09-07 08:14:41:027,1,18,9,8,287,556,18,0 5,0,2024-09-07 08:14:41:398,3171,0.3,3137,0.5,6228,0.2,8312,1.75 5,1,2024-09-07 08:14:40:772,31337,31337,0,0,15408602354,162620163,30810,435,92,368,392005,0 5,2,2024-09-07 08:14:41:838,19079,19079,0,0,978728,0,1912 5,3,2024-09-07 08:14:41:736,1,18,6,1,130,245,18,0 6,0,2024-09-07 08:14:40:931,7593,0.7,7546,0.9,15048,0.8,20356,2.00 6,1,2024-09-07 08:14:40:747,31548,31548,0,0,14886397182,155760392,31294,250,4,382,391603,0 6,2,2024-09-07 08:14:41:118,24976,24976,0,0,1554305,0,1686 6,3,2024-09-07 08:14:41:277,1,18,1,1,277,516,18,0 7,0,2024-09-07 08:14:41:543,2147,0.2,2149,0.3,4263,0.1,5556,1.50 7,1,2024-09-07 08:14:40:858,31099,31099,0,0,14886056328,157661178,30559,476,64,384,391558,0 7,2,2024-09-07 08:14:40:778,24586,24586,0,0,1459282,0,2981 7,3,2024-09-07 08:14:40:855,1,18,2,1,46,167,18,0 8,0,2024-09-07 08:14:41:462,3321,0.3,3240,0.5,6349,0.2,8284,1.50 8,1,2024-09-07 08:14:41:017,30627,30627,0,0,14839879687,170080750,27780,1615,1232,368,391724,0 8,2,2024-09-07 08:14:40:799,22079,22079,0,0,1970846,0,2986 8,3,2024-09-07 08:14:40:591,1,18,5,5,229,510,18,0 9,0,2024-09-07 08:14:41:132,3372,0.3,3259,0.6,6771,0.2,8526,1.75 9,1,2024-09-07 08:14:40:561,31011,31011,0,0,15054191695,165532255,29391,1142,478,371,391496,0 9,2,2024-09-07 08:14:41:084,18909,18909,0,0,1152747,0,1673 9,3,2024-09-07 08:14:41:756,1,18,2,0,50,217,18,0 10,0,2024-09-07 08:14:41:614,6892,0.6,6898,0.7,13845,0.5,18714,1.75 10,1,2024-09-07 08:14:40:584,31025,31025,0,0,15275388494,169220939,28901,1744,380,383,391541,0 10,2,2024-09-07 08:14:40:769,23270,23270,0,0,2299097,0,2940 10,3,2024-09-07 08:14:40:871,1,18,1,0,118,211,18,0 11,0,2024-09-07 08:14:41:017,3925,6.7,3880,4.2,8076,8.1,11429,3.75 11,1,2024-09-07 08:14:40:574,30765,30765,0,0,15152044118,168019016,28784,1246,735,384,391485,0 11,2,2024-09-07 08:14:41:124,24694,24694,0,0,1863602,0,2635 11,3,2024-09-07 08:14:41:300,1,18,1,1,720,925,18,0 12,0,2024-09-07 08:14:40:973,5081,0.3,5061,0.5,10073,0.2,12944,1.75 12,1,2024-09-07 08:14:40:943,31371,31371,0,0,15064865659,159931116,30852,498,21,372,391611,0 12,2,2024-09-07 08:14:41:549,23428,23428,0,0,1733779,0,2254 12,3,2024-09-07 08:14:41:065,1,18,8,2,179,425,18,0 13,0,2024-09-07 08:14:41:372,3977,0.4,4021,0.7,7949,0.3,10507,2.00 13,1,2024-09-07 08:14:41:541,31671,31671,0,0,15087763690,160078988,31241,391,39,385,391563,0 13,2,2024-09-07 08:14:40:603,20544,20544,0,0,905008,0,2239 13,3,2024-09-07 08:14:41:779,1,18,2,1,153,385,18,0 14,0,2024-09-07 08:14:40:585,6074,0.6,6084,0.7,11850,0.6,16208,1.75 14,1,2024-09-07 08:14:41:562,31531,31531,0,0,14890713082,156228166,31110,393,28,365,391481,0 14,2,2024-09-07 08:14:40:764,21271,21271,0,0,1425722,0,2077 14,3,2024-09-07 08:14:41:115,1,18,2,1,40,102,18,0 15,0,2024-09-07 08:14:41:574,6502,5.4,6498,2.9,12968,7.3,17790,4.25 15,1,2024-09-07 08:14:41:611,31492,31492,0,0,14964023491,157492763,31224,266,2,381,391481,0 15,2,2024-09-07 08:14:40:999,25241,25241,0,0,1000860,0,1040 15,3,2024-09-07 08:14:41:406,1,18,1,0,538,882,18,0 16,0,2024-09-07 08:14:40:954,4294,0.4,4339,0.6,8675,0.3,11662,2.50 16,1,2024-09-07 08:14:40:564,31605,31605,0,0,14531789402,154279090,31056,546,3,373,391543,0 16,2,2024-09-07 08:14:41:438,23100,23100,0,0,1673544,0,4719 16,3,2024-09-07 08:14:41:157,1,18,3,3,231,690,18,0 17,0,2024-09-07 08:14:41:789,2784,0.3,2812,0.4,5344,0.2,7092,1.75 17,1,2024-09-07 08:14:40:571,31427,31427,0,0,15035223945,160445263,30801,490,136,370,391671,0 17,2,2024-09-07 08:14:41:667,22351,22351,0,0,1188148,0,1865 17,3,2024-09-07 08:14:40:574,1,18,2,0,268,466,18,0 18,0,2024-09-07 08:14:40:964,3582,0.8,3641,0.9,7197,1.0,10021,2.50 18,1,2024-09-07 08:14:41:646,31141,31141,0,0,14409671353,153317244,30552,504,85,370,391518,0 18,2,2024-09-07 08:14:41:758,19521,19521,0,0,1266956,0,2688 18,3,2024-09-07 08:14:40:896,1,18,29,0,142,256,18,0 19,0,2024-09-07 08:14:41:566,7220,1.0,7254,1.0,14248,1.5,19064,3.00 19,1,2024-09-07 08:14:40:567,31402,31402,0,0,14780494013,157233516,30611,739,52,367,391512,0 19,2,2024-09-07 08:14:41:767,24832,24832,0,0,1528328,0,3599 19,3,2024-09-07 08:14:41:140,1,18,2,1,29,63,18,0 20,0,2024-09-07 08:14:41:404,2638,0.2,2694,0.4,5220,0.2,7123,1.50 20,1,2024-09-07 08:14:40:569,31243,31243,0,0,15485514344,163093721,30872,370,1,373,391488,0 20,2,2024-09-07 08:14:40:935,24185,24185,0,0,1384455,0,1616 20,3,2024-09-07 08:14:40:596,1,18,1,1,99,343,18,0 21,0,2024-09-07 08:14:41:159,2664,0.2,2571,0.4,5105,0.2,6650,1.50 21,1,2024-09-07 08:14:41:540,31321,31321,0,0,14569239323,155095024,30712,583,26,368,391962,0 21,2,2024-09-07 08:14:41:068,22911,22911,0,0,1437232,0,3747 21,3,2024-09-07 08:14:41:406,1,18,1,1,30,189,18,0 22,0,2024-09-07 08:14:41:751,3224,0.3,3360,0.7,6599,0.2,8790,1.75 22,1,2024-09-07 08:14:41:037,31280,31280,0,0,14710224913,160143973,29957,1016,307,382,391667,0 22,2,2024-09-07 08:14:40:761,19033,19033,0,0,841517,0,1503 22,3,2024-09-07 08:14:41:066,1,18,3,0,48,114,18,0 23,0,2024-09-07 08:14:41:405,6746,0.4,6965,0.6,13751,0.4,18844,1.75 23,1,2024-09-07 08:14:41:004,31969,31969,0,0,15646786840,162648340,31829,140,0,368,391482,0 23,2,2024-09-07 08:14:41:093,24634,24634,0,0,1257017,0,2078 23,3,2024-09-07 08:14:41:756,1,18,1,0,141,232,18,0 24,0,2024-09-07 08:14:40:887,3789,1.5,3802,1.7,7676,2.3,9882,2.00 24,1,2024-09-07 08:14:40:582,31504,31504,0,0,14809712422,158504298,30994,507,3,371,391640,0 24,2,2024-09-07 08:14:41:078,24372,24372,0,0,2577360,0,2942 24,3,2024-09-07 08:14:41:691,1,18,16,2,234,425,18,0 25,0,2024-09-07 08:14:41:361,4130,0.3,4092,0.5,7844,0.2,10382,1.75 25,1,2024-09-07 08:14:40:561,31353,31353,0,0,14964275486,159833767,30789,549,15,373,391544,0 25,2,2024-09-07 08:14:41:627,22788,22788,0,0,1880900,0,1963 25,3,2024-09-07 08:14:41:001,1,18,1,1,50,247,18,0 26,0,2024-09-07 08:14:41:745,3736,0.2,3660,0.4,7683,0.2,9497,1.50 26,1,2024-09-07 08:14:41:542,30816,30816,0,0,14760580499,166748944,28589,1529,698,383,391496,0 26,2,2024-09-07 08:14:40:866,19441,19441,0,0,1865370,0,2809 26,3,2024-09-07 08:14:41:712,1,18,3,1,796,892,18,0 27,0,2024-09-07 08:14:41:744,6154,0.5,6200,0.7,12436,0.5,16887,1.75 27,1,2024-09-07 08:14:41:686,31875,31875,0,0,15235523892,159665192,31711,163,1,381,391526,0 27,2,2024-09-07 08:14:40:868,22132,22132,0,0,2737410,0,3146 27,3,2024-09-07 08:14:41:015,1,18,1,1,86,215,18,0 28,0,2024-09-07 08:14:41:399,5488,2.5,5532,1.9,11131,3.9,14553,2.25 28,1,2024-09-07 08:14:40:797,31750,31750,0,0,15279824112,160836570,31525,225,0,383,391489,0 28,2,2024-09-07 08:14:41:767,24803,24803,0,0,1281956,0,2177 28,3,2024-09-07 08:14:41:779,1,18,502,3,502,681,18,0 29,0,2024-09-07 08:14:41:407,5061,0.3,5011,0.6,9763,0.2,13149,1.75 29,1,2024-09-07 08:14:41:562,31641,31641,0,0,15091422987,158526929,31130,339,172,371,391621,0 29,2,2024-09-07 08:14:40:870,23492,23492,0,0,1113585,0,2026 29,3,2024-09-07 08:14:40:972,1,18,47,1,105,276,18,0 30,0,2024-09-07 08:14:41:472,3214,0.3,3138,0.5,6404,0.2,8676,1.75 30,1,2024-09-07 08:14:40:572,31226,31226,0,0,15628060673,165781137,30707,457,62,382,391524,0 30,2,2024-09-07 08:14:41:278,21501,21501,0,0,860861,0,1125 30,3,2024-09-07 08:14:40:581,1,18,2,0,110,151,18,0 31,0,2024-09-07 08:14:41:765,5116,0.6,5221,0.8,10396,0.6,13854,2.00 31,1,2024-09-07 08:14:40:564,31490,31490,0,0,14929445959,154270705,31445,45,0,356,391478,0 31,2,2024-09-07 08:14:41:275,20530,20530,0,0,1386259,0,1685 31,3,2024-09-07 08:14:41:709,1,18,6,0,40,93,18,0 32,0,2024-09-07 08:14:41:429,7102,1.0,7289,1.1,14458,0.9,19792,2.00 32,1,2024-09-07 08:14:40:804,31504,31504,0,0,14585565613,152960700,31347,155,2,383,391518,0 32,2,2024-09-07 08:14:40:942,24912,24912,0,0,1279853,0,1970 32,3,2024-09-07 08:14:41:015,1,18,3,1,37,191,18,0 33,0,2024-09-07 08:14:41:513,3637,0.2,3604,0.4,7181,0.2,9664,1.50 33,1,2024-09-07 08:14:40:576,31308,31308,0,0,15133342779,158668596,30890,406,12,369,391497,0 33,2,2024-09-07 08:14:40:760,23730,23730,0,0,1642912,0,3364 33,3,2024-09-07 08:14:40:896,1,18,2,1,27,109,18,0 34,0,2024-09-07 08:14:40:937,2338,0.2,2396,0.3,4667,0.1,6074,1.50 34,1,2024-09-07 08:14:41:043,31534,31534,0,0,15225410946,158645260,31345,188,1,369,391481,0 34,2,2024-09-07 08:14:40:786,22292,22292,0,0,1587615,0,3073 34,3,2024-09-07 08:14:41:688,1,18,1,0,46,117,18,0 35,0,2024-09-07 08:14:40:878,3133,0.3,3117,0.4,6200,0.2,8354,1.75 35,1,2024-09-07 08:14:41:068,31286,31286,0,0,14920106709,158692221,30241,740,305,385,391481,0 35,2,2024-09-07 08:14:41:587,19213,19213,0,0,1089538,0,2276 35,3,2024-09-07 08:14:40:907,1,18,1,1,219,476,18,0 36,0,2024-09-07 08:14:41:524,7483,1.1,7454,1.2,15193,1.2,20197,2.50 36,1,2024-09-07 08:14:40:584,30997,30997,0,0,14443206839,159033102,29057,1184,756,370,391535,0 36,2,2024-09-07 08:14:41:752,24876,24876,0,0,1345361,0,1272 36,3,2024-09-07 08:14:40:866,1,18,14,1,54,283,18,0 37,0,2024-09-07 08:14:41:389,2172,0.2,2180,0.4,4272,0.1,5536,1.50 37,1,2024-09-07 08:14:40:570,30762,30755,0,7,14971178766,168635087,28631,617,1507,368,391507,0 37,2,2024-09-07 08:14:41:161,24683,24683,0,0,1261818,0,1448 37,3,2024-09-07 08:14:41:766,1,18,1,0,31,97,18,0 38,0,2024-09-07 08:14:41:448,3283,0.4,3142,0.6,6522,0.3,8318,2.00 38,1,2024-09-07 08:14:41:609,31997,31997,0,0,15509128785,162054682,31750,246,1,371,391512,0 38,2,2024-09-07 08:14:40:783,22570,22570,0,0,1526635,0,3245 38,3,2024-09-07 08:14:40:997,1,18,1,0,36,108,18,0 39,0,2024-09-07 08:14:41:773,3457,0.3,3388,0.6,6649,0.2,8577,1.75 39,1,2024-09-07 08:14:40:722,31487,31487,0,0,15230911804,162384495,30463,606,418,366,391482,0 39,2,2024-09-07 08:14:41:417,18920,18920,0,0,891732,0,1786 39,3,2024-09-07 08:14:40:717,1,18,1,1,189,434,18,0 40,0,2024-09-07 08:14:41:507,6514,1.7,6684,3.6,13528,4.1,18542,3.75 40,1,2024-09-07 08:14:40:578,31103,31103,0,0,14646508712,161370967,29243,1333,527,371,391543,0 40,2,2024-09-07 08:14:41:304,23294,23293,1,0,2463981,0,5137 40,3,2024-09-07 08:14:41:145,1,18,2,2,63,227,18,0 41,0,2024-09-07 08:14:41:034,3573,8.9,3701,10.0,7257,10.0,10185,8.00 41,1,2024-09-07 08:14:40:769,31328,31328,0,0,15025757476,162149560,30179,957,192,371,391483,0 41,2,2024-09-07 08:14:40:759,24263,24263,0,0,2543183,0,3325 41,3,2024-09-07 08:14:41:677,1,18,1,1,7,40,18,0 42,0,2024-09-07 08:14:41:491,4957,0.6,4964,0.9,9960,0.5,12941,2.50 42,1,2024-09-07 08:14:41:450,30871,30871,0,0,14982815659,167069435,28917,1252,702,381,391489,0 42,2,2024-09-07 08:14:41:140,22792,22792,0,0,1931132,0,1967 42,3,2024-09-07 08:14:41:011,1,18,1,0,100,199,18,0 43,0,2024-09-07 08:14:40:946,3939,0.4,3900,0.7,8161,0.2,10476,2.00 43,1,2024-09-07 08:14:40:578,31068,31068,0,0,15666155783,169854764,29655,822,591,368,391479,0 43,2,2024-09-07 08:14:41:741,20186,20186,0,0,1839754,0,3812 43,3,2024-09-07 08:14:41:748,1,18,4,1,292,691,18,0 44,0,2024-09-07 08:14:40:878,6021,0.5,5892,0.9,11857,0.5,16201,2.00 44,1,2024-09-07 08:14:40:568,31630,31630,0,0,14404786874,150517662,31354,268,8,358,391493,0 44,2,2024-09-07 08:14:41:267,21200,21200,0,0,1005459,0,1417 44,3,2024-09-07 08:14:41:093,1,18,9,1,817,1037,18,0 45,0,2024-09-07 08:14:41:765,6529,5.5,6369,2.9,13189,6.7,18062,2.50 45,1,2024-09-07 08:14:41:006,31494,31494,0,0,15348947509,161210305,31275,219,0,382,391510,0 45,2,2024-09-07 08:14:41:275,25452,25452,0,0,1423306,0,2038 45,3,2024-09-07 08:14:40:941,1,18,73,1,226,403,18,0 46,0,2024-09-07 08:14:40:960,4386,0.3,4367,0.6,8763,0.2,11780,1.75 46,1,2024-09-07 08:14:40:576,31841,31841,0,0,15149029888,157908414,31562,255,24,370,391514,0 46,2,2024-09-07 08:14:40:594,23458,23458,0,0,1270380,0,2835 46,3,2024-09-07 08:14:41:138,1,18,1,1,200,426,18,0 47,0,2024-09-07 08:14:41:115,2691,0.2,2768,0.5,5441,0.1,7113,1.50 47,1,2024-09-07 08:14:40:569,31775,31775,0,0,14750027132,153294075,31629,145,1,368,391481,0 47,2,2024-09-07 08:14:40:909,22498,22498,0,0,1506876,0,2558 47,3,2024-09-07 08:14:41:119,1,18,1,1,25,89,18,0 48,0,2024-09-07 08:14:41:510,3639,0.4,3577,0.5,7201,0.3,10072,1.75 48,1,2024-09-07 08:14:41:023,31335,31335,0,0,14924807541,157879695,30891,444,0,386,391516,0 48,2,2024-09-07 08:14:40:699,19679,19679,0,0,820377,0,1521 48,3,2024-09-07 08:14:40:753,1,18,2,1,21,175,18,0 49,0,2024-09-07 08:14:41:723,7367,0.4,7372,0.5,13959,0.3,19166,1.75 49,1,2024-09-07 08:14:41:031,31753,31753,0,0,15612444667,163175782,31620,133,0,382,391583,0 49,2,2024-09-07 08:14:41:801,24805,24805,0,0,1340798,0,2196 49,3,2024-09-07 08:14:41:419,1,18,31,1,274,532,18,0 50,0,2024-09-07 08:14:41:524,2638,0.2,2611,0.3,5213,0.1,7198,1.50 50,1,2024-09-07 08:14:41:013,31698,31698,0,0,15453469029,162654382,30972,545,181,370,391530,0 50,2,2024-09-07 08:14:41:068,24315,24315,0,0,1009615,0,2034 50,3,2024-09-07 08:14:41:298,1,18,1,1,335,388,18,0 51,0,2024-09-07 08:14:41:703,2649,0.2,2557,0.3,5104,0.1,6717,1.50 51,1,2024-09-07 08:14:41:687,31556,31556,0,0,15910495349,164888824,31343,180,33,367,391504,0 51,2,2024-09-07 08:14:41:317,22464,22464,0,0,863230,0,1936 51,3,2024-09-07 08:14:41:040,1,18,9,0,162,247,18,0 52,0,2024-09-07 08:14:41:426,3217,0.6,3202,1.2,6481,0.7,8629,2.50 52,1,2024-09-07 08:14:40:596,31219,31219,0,0,14882459800,160238493,30209,816,194,371,391498,0 52,2,2024-09-07 08:14:41:757,18901,18901,0,0,1447861,0,4779 52,3,2024-09-07 08:14:40:674,1,18,12,4,21,210,18,0 53,0,2024-09-07 08:14:41:753,6936,1.8,6644,1.4,13741,2.2,18659,2.50 53,1,2024-09-07 08:14:40:771,31491,31491,0,0,15580130383,168101091,30152,913,426,372,391523,0 53,2,2024-09-07 08:14:41:299,24465,24465,0,0,1130669,0,1520 53,3,2024-09-07 08:14:40:697,1,18,1,1,28,86,18,0 54,0,2024-09-07 08:14:41:628,2890,10.0,2880,10.0,5816,6.6,8733,6.50 54,1,2024-09-07 08:14:40:582,31466,31466,0,0,15551549519,163746375,30737,695,34,367,391520,0 54,2,2024-09-07 08:14:40:868,24791,24785,6,0,2026710,0,5382 54,3,2024-09-07 08:14:40:781,1,18,1,1,98,193,18,0 55,0,2024-09-07 08:14:41:771,3957,0.9,4085,1.0,8193,0.6,10354,2.50 55,1,2024-09-07 08:14:40:766,31081,31081,0,0,14576467769,156568772,29659,927,495,369,391660,0 55,2,2024-09-07 08:14:40:730,22991,22991,0,0,1299609,0,2129 55,3,2024-09-07 08:14:40:674,1,18,1,0,44,121,18,0 56,0,2024-09-07 08:14:41:581,3776,2.0,3585,1.6,7395,2.3,9340,4.25 56,1,2024-09-07 08:14:40:618,31002,31002,0,0,15648061370,173211612,29007,1413,582,385,391536,0 56,2,2024-09-07 08:14:41:305,19502,19502,0,0,1773575,0,3567 56,3,2024-09-07 08:14:41:064,1,18,8,2,297,468,18,0 57,0,2024-09-07 08:14:40:989,5847,4.9,5723,3.0,11604,6.8,16049,5.00 57,1,2024-09-07 08:14:40:998,31824,31824,0,0,14776274010,158288008,31255,558,11,370,391505,0 57,2,2024-09-07 08:14:41:317,22490,22490,0,0,2018568,0,2687 57,3,2024-09-07 08:14:41:741,1,18,7,1,359,526,18,0 58,0,2024-09-07 08:14:40:570,4729,6.9,4595,3.4,9577,7.8,12659,7.25 58,1,2024-09-07 08:14:40:578,31306,31306,0,0,15734070791,169738796,30048,1101,157,372,391482,0 58,2,2024-09-07 08:14:41:072,24740,24740,0,0,1812269,0,2464 58,3,2024-09-07 08:14:41:069,1,18,1,1,80,152,18,0 59,0,2024-09-07 08:14:41:745,4958,1.5,5003,1.4,9855,1.9,12877,3.25 59,1,2024-09-07 08:14:40:805,31445,31445,0,0,14934362923,158940736,30952,490,3,371,391515,0 59,2,2024-09-07 08:14:40:586,23069,23069,0,0,1518633,0,1708 59,3,2024-09-07 08:14:41:740,1,18,1,1,20,102,18,0 60,0,2024-09-07 08:14:41:745,3220,0.4,3253,0.7,6362,0.2,8694,2.00 60,1,2024-09-07 08:14:40:773,31880,31880,0,0,15768795623,166792764,31169,372,339,370,391672,0 60,2,2024-09-07 08:14:41:149,21568,21568,0,0,1182420,0,2142 60,3,2024-09-07 08:14:41:258,1,18,0,0,124,325,18,0 61,0,2024-09-07 08:14:41:507,4917,4.1,4906,2.7,9742,4.6,13346,5.00 61,1,2024-09-07 08:14:40:798,31268,31268,0,0,15138071013,164705466,29694,1120,454,383,391560,0 61,2,2024-09-07 08:14:41:121,20432,20432,0,0,1272236,0,1846 61,3,2024-09-07 08:14:41:689,1,18,14,1,72,147,18,0 62,0,2024-09-07 08:14:41:726,7095,0.8,7372,1.1,14235,1.1,19558,3.50 62,1,2024-09-07 08:14:41:121,31556,31555,0,1,15167731940,158936216,31181,372,2,368,391586,1 62,2,2024-09-07 08:14:41:644,24695,24695,0,0,1316960,0,2030 62,3,2024-09-07 08:14:41:378,1,18,1,1,287,395,18,0 63,0,2024-09-07 08:14:41:463,3608,1.2,3636,1.2,7115,1.9,9696,3.25 63,1,2024-09-07 08:14:40:805,31878,31877,0,1,15039209133,156700082,31734,142,1,382,391505,1 63,2,2024-09-07 08:14:40:765,23740,23740,0,0,1445496,0,2177 63,3,2024-09-07 08:14:41:732,1,18,3,1,216,536,18,0 64,0,2024-09-07 08:14:41:527,2332,0.2,2333,0.3,4699,0.1,6074,1.50 64,1,2024-09-07 08:14:40:761,31777,31777,0,0,15015659466,158814612,31006,714,57,371,391604,0 64,2,2024-09-07 08:14:41:142,22635,22635,0,0,1082557,0,2510 64,3,2024-09-07 08:14:41:145,1,18,1,1,163,332,18,0 65,0,2024-09-07 08:14:41:690,3228,0.2,3193,0.4,6134,0.2,8299,1.50 65,1,2024-09-07 08:14:40:870,31420,31420,0,0,14955171797,158338180,30815,574,31,382,391506,0 65,2,2024-09-07 08:14:41:695,18917,18917,0,0,899865,0,2374 65,3,2024-09-07 08:14:41:683,1,18,1,1,122,342,18,0 66,0,2024-09-07 08:14:41:778,7365,0.4,7445,0.6,15154,0.3,20261,1.75 66,1,2024-09-07 08:14:41:310,32132,32132,0,0,15634486024,162964558,31828,288,16,382,391537,0 66,2,2024-09-07 08:14:41:133,24916,24916,0,0,1335870,0,2934 66,3,2024-09-07 08:14:41:080,1,18,1,1,45,98,18,0 67,0,2024-09-07 08:14:41:427,2151,0.2,2149,0.3,4267,0.1,5528,1.50 67,1,2024-09-07 08:14:40:771,31487,31486,0,1,15183437565,160312942,31034,428,24,383,391567,1 67,2,2024-09-07 08:14:40:593,24730,24730,0,0,1346441,0,2104 67,3,2024-09-07 08:14:41:750,1,18,3,1,58,139,18,0 68,0,2024-09-07 08:14:40:597,3212,0.3,3192,0.7,6544,0.2,8296,2.00 68,1,2024-09-07 08:14:40:580,31049,31049,0,0,14443281326,156357087,30153,450,446,383,391489,0 68,2,2024-09-07 08:14:41:055,22736,22736,0,0,1659627,0,4883 68,3,2024-09-07 08:14:40:732,1,18,3,1,63,227,18,0 69,0,2024-09-07 08:14:41:769,3395,0.8,3296,1.0,6606,0.9,8597,2.25 69,1,2024-09-07 08:14:41:017,30656,30656,0,0,14996895049,165839046,29328,466,862,387,391483,0 69,2,2024-09-07 08:14:41:742,18994,18994,0,0,944023,0,2462 69,3,2024-09-07 08:14:40:759,1,18,0,0,36,147,18,0 70,0,2024-09-07 08:14:41:540,6740,4.6,6667,4.9,13664,1.5,18611,3.75 70,1,2024-09-07 08:14:40:804,31671,31671,0,0,15475636147,161773912,31413,241,17,369,391527,0 70,2,2024-09-07 08:14:41:337,23754,23754,0,0,1349739,0,1937 70,3,2024-09-07 08:14:40:745,1,18,1,1,69,115,18,0 71,0,2024-09-07 08:14:41:366,3699,9.3,3682,9.7,7152,10.0,10564,7.25 71,1,2024-09-07 08:14:41:598,31601,31601,0,0,15545576473,164769307,30883,593,125,368,391584,0 71,2,2024-09-07 08:14:41:068,24770,24770,0,0,1592365,0,2146 71,3,2024-09-07 08:14:41:750,1,18,2,1,174,361,18,0 72,0,2024-09-07 08:14:41:059,5143,0.5,5016,0.8,9965,0.4,12997,3.00 72,1,2024-09-07 08:14:41:025,31282,31282,0,0,14556127688,157010378,30318,957,7,371,391514,0 72,2,2024-09-07 08:14:41:757,22640,22640,0,0,2404073,0,2570 72,3,2024-09-07 08:14:41:756,1,18,7,1,325,506,18,0 73,0,2024-09-07 08:14:41:138,3900,0.4,3962,0.7,8010,0.3,10443,2.50 73,1,2024-09-07 08:14:40:778,31575,31575,0,0,14940170238,158511201,31293,281,1,370,391495,0 73,2,2024-09-07 08:14:41:747,20178,20178,0,0,1817227,0,3482 73,3,2024-09-07 08:14:40:973,1,18,5,0,137,346,18,0 74,0,2024-09-07 08:14:41:331,5951,1.3,6071,1.2,11837,1.8,16302,3.25 74,1,2024-09-07 08:14:40:636,31275,31275,0,0,14706663460,156253767,30812,461,2,384,391494,0 74,2,2024-09-07 08:14:41:002,21307,21307,0,0,1904272,0,2736 74,3,2024-09-07 08:14:41:451,1,18,1,0,192,698,18,0 75,0,2024-09-07 08:14:41:786,6692,5.1,6698,2.7,13183,6.2,18137,4.00 75,1,2024-09-07 08:14:41:602,31563,31563,0,0,15068804473,160898910,30943,569,51,383,391514,0 75,2,2024-09-07 08:14:41:350,24564,24564,0,0,2737910,0,4766 75,3,2024-09-07 08:14:41:070,1,18,15,1,38,94,18,0 76,0,2024-09-07 08:14:40:631,4466,0.4,4437,0.7,8630,0.3,11559,2.25 76,1,2024-09-07 08:14:40:816,31344,31344,0,0,14669282193,157962458,30349,610,385,384,391530,0 76,2,2024-09-07 08:14:41:064,24009,24009,0,0,967682,0,1138 76,3,2024-09-07 08:14:41:146,1,18,0,0,140,328,18,0 77,0,2024-09-07 08:14:41:720,2728,0.3,2749,0.5,5356,0.2,7088,1.75 77,1,2024-09-07 08:14:40:829,31342,31342,0,0,15120489959,160649874,30614,661,67,383,391512,0 77,2,2024-09-07 08:14:41:290,22234,22234,0,0,1021905,0,1637 77,3,2024-09-07 08:14:41:098,1,18,2,0,131,204,18,0 78,0,2024-09-07 08:14:41:754,3436,3.0,3525,2.0,6859,5.0,9665,4.75 78,1,2024-09-07 08:14:40:611,31698,31698,0,0,14592378224,154692025,31158,503,37,370,391540,0 78,2,2024-09-07 08:14:41:407,19821,19821,0,0,1063679,0,2114 78,3,2024-09-07 08:14:41:145,1,18,1,0,112,325,18,0 79,0,2024-09-07 08:14:41:367,7068,0.5,7258,0.7,14584,0.5,18863,2.00 79,1,2024-09-07 08:14:40:572,31766,31766,0,0,14843148098,157117207,31146,614,6,372,391486,0 79,2,2024-09-07 08:14:41:069,24730,24730,0,0,1363641,0,2679 79,3,2024-09-07 08:14:40:749,1,18,0,0,289,393,18,0 80,0,2024-09-07 08:14:41:130,2560,0.3,2667,0.5,5128,0.2,7143,1.75 80,1,2024-09-07 08:14:41:626,31214,31214,0,0,14668973362,155173002,30339,826,49,372,391673,0 80,2,2024-09-07 08:14:41:092,24082,24082,0,0,1194569,0,1679 80,3,2024-09-07 08:14:40:586,1,18,10,1,148,502,18,0 81,0,2024-09-07 08:14:41:554,2600,0.2,2647,0.4,5072,0.1,6683,1.50 81,1,2024-09-07 08:14:41:651,31538,31538,0,0,14948727126,157176213,31214,317,7,383,391646,0 81,2,2024-09-07 08:14:41:125,22185,22185,0,0,1317825,0,2811 81,3,2024-09-07 08:14:41:129,1,18,1,1,116,235,18,0 82,0,2024-09-07 08:14:41:557,3231,0.3,3140,0.5,6431,0.2,8756,1.75 82,1,2024-09-07 08:14:40:585,31225,31224,0,1,14683292463,156278404,30418,475,331,384,391529,1 82,2,2024-09-07 08:14:41:692,18999,18999,0,0,810479,0,1286 82,3,2024-09-07 08:14:41:756,1,18,1,1,65,229,18,0 83,0,2024-09-07 08:14:41:533,6930,0.8,6920,0.9,13827,1.0,18755,2.00 83,1,2024-09-07 08:14:40:563,31404,31404,0,0,15171619663,161323501,30678,647,79,384,391553,0 83,2,2024-09-07 08:14:40:792,24203,24203,0,0,1409682,0,2512 83,3,2024-09-07 08:14:40:761,1,18,8,1,27,156,18,0 84,0,2024-09-07 08:14:41:838,3187,10.0,3099,10.0,6330,5.8,9357,6.00 84,1,2024-09-07 08:14:41:044,31459,31459,0,0,15019947146,161348985,30457,763,239,370,391630,0 84,2,2024-09-07 08:14:40:571,24414,24414,0,0,1845106,0,3801 84,3,2024-09-07 08:14:41:147,1,18,8,2,13,169,18,0 85,0,2024-09-07 08:14:41:015,3928,0.4,3952,0.7,8312,0.3,10449,2.00 85,1,2024-09-07 08:14:40:562,30966,30966,0,0,14725509481,160411783,29534,1141,291,384,391505,0 85,2,2024-09-07 08:14:40:868,22523,22523,0,0,1642966,0,3656 85,3,2024-09-07 08:14:40:685,1,18,2,1,88,158,18,0 86,0,2024-09-07 08:14:40:918,3662,0.3,3856,0.4,7489,0.2,9549,1.75 86,1,2024-09-07 08:14:40:838,31128,31128,0,0,14814502977,157381132,30374,725,29,367,391506,0 86,2,2024-09-07 08:14:40:862,19376,19375,1,0,1532020,0,5004 86,3,2024-09-07 08:14:40:585,1,18,1,1,32,151,18,0 87,0,2024-09-07 08:14:41:328,6056,3.4,6101,2.2,12260,4.3,16756,2.25 87,1,2024-09-07 08:14:40:552,31228,31228,0,0,14863709961,158270653,30512,668,48,369,391564,0 87,2,2024-09-07 08:14:41:069,22591,22591,0,0,1078312,0,2148 87,3,2024-09-07 08:14:41:814,1,18,9,1,322,707,18,0 88,0,2024-09-07 08:14:41:482,5482,3.0,5517,2.0,11006,4.2,14545,2.50 88,1,2024-09-07 08:14:40:604,31262,31262,0,0,14979982089,161001566,30386,784,92,369,391747,0 88,2,2024-09-07 08:14:40:689,24937,24937,0,0,1938312,0,2675 88,3,2024-09-07 08:14:41:270,1,18,1,1,77,287,18,0 89,0,2024-09-07 08:14:41:837,5098,0.5,4958,0.7,9921,0.5,13201,1.75 89,1,2024-09-07 08:14:40:554,30878,30878,0,0,15077516925,164023499,29830,541,507,384,391618,0 89,2,2024-09-07 08:14:41:177,23113,23113,0,0,1885961,0,2726 89,3,2024-09-07 08:14:41:795,1,18,3,2,153,428,18,0 90,0,2024-09-07 08:14:41:650,3123,0.3,3213,0.5,6506,0.2,8704,1.50 90,1,2024-09-07 08:14:40:595,31354,31354,0,0,14696882776,160931624,29901,1329,124,383,391522,0 90,2,2024-09-07 08:14:41:411,21265,21265,0,0,1850471,0,2635 90,3,2024-09-07 08:14:40:934,1,18,19,1,19,102,18,0 91,0,2024-09-07 08:14:40:952,5234,0.7,5075,0.9,10452,0.8,13858,2.00 91,1,2024-09-07 08:14:40:561,31380,31380,0,0,15433026654,166948567,30214,999,167,385,391914,0 91,2,2024-09-07 08:14:41:338,20036,20036,0,0,1292232,0,1997 91,3,2024-09-07 08:14:40:607,1,18,1,1,155,328,18,0 92,0,2024-09-07 08:14:41:466,7244,0.7,7365,0.8,14234,0.9,19795,2.00 92,1,2024-09-07 08:14:40:600,31591,31591,0,0,14711132527,154905879,31262,283,46,383,391569,0 92,2,2024-09-07 08:14:41:357,25630,25630,0,0,1540915,0,2279 92,3,2024-09-07 08:14:41:012,1,18,5,2,68,276,18,0 93,0,2024-09-07 08:14:40:966,3562,0.8,3604,0.8,6970,0.9,9619,2.00 93,1,2024-09-07 08:14:40:812,31380,31380,0,0,15158012406,162198767,30400,698,282,368,391689,0 93,2,2024-09-07 08:14:40:934,24002,24002,0,0,1121336,0,1795 93,3,2024-09-07 08:14:41:407,1,18,1,1,143,278,18,0 94,0,2024-09-07 08:14:41:657,2446,0.2,2366,0.4,4708,0.1,6144,1.50 94,1,2024-09-07 08:14:40:582,31249,31249,0,0,15050902071,159803587,30710,532,7,383,391850,0 94,2,2024-09-07 08:14:40:764,22338,22338,0,0,1382210,0,1446 94,3,2024-09-07 08:14:41:690,1,18,1,1,231,465,18,0 95,0,2024-09-07 08:14:41:392,3083,0.5,3094,0.7,6316,0.4,8305,2.00 95,1,2024-09-07 08:14:40:873,31327,31327,0,0,14850258243,156117729,31089,234,4,369,391590,0 95,2,2024-09-07 08:14:41:017,19038,19038,0,0,712271,0,943 95,3,2024-09-07 08:14:41:711,1,18,5,1,45,147,18,0 96,0,2024-09-07 08:14:41:085,7669,0.4,7569,0.5,15239,0.4,20472,1.75 96,1,2024-09-07 08:14:41:603,31595,31595,0,0,14831221156,156451558,31380,212,3,385,391551,0 96,2,2024-09-07 08:14:41:276,24888,24888,0,0,1185833,0,1653 96,3,2024-09-07 08:14:41:152,1,18,8,7,31,254,18,0 97,0,2024-09-07 08:14:41:342,2175,0.2,2166,0.3,4281,0.1,5502,1.50 97,1,2024-09-07 08:14:40:763,31454,31454,0,0,15212960730,161503514,30531,543,380,367,391530,0 97,2,2024-09-07 08:14:40:608,24449,24449,0,0,1168984,0,1663 97,3,2024-09-07 08:14:40:569,1,18,2,1,77,169,18,0 98,0,2024-09-07 08:14:41:768,3280,0.2,3176,0.4,6440,0.2,8339,1.50 98,1,2024-09-07 08:14:40:575,31311,31311,0,0,15302700597,159874335,31177,132,2,384,391588,0 98,2,2024-09-07 08:14:40:777,22647,22647,0,0,1413457,0,2410 98,3,2024-09-07 08:14:40:698,1,18,13,1,155,238,18,0 99,0,2024-09-07 08:14:41:520,3332,0.2,3298,0.4,6608,0.2,8558,1.50 99,1,2024-09-07 08:14:41:734,32115,32115,0,0,14561245676,151681138,32046,66,3,382,391602,0 99,2,2024-09-07 08:14:41:428,19138,19138,0,0,1066304,0,1732 99,3,2024-09-07 08:14:40:581,1,18,1,1,65,209,18,0 100,0,2024-09-07 08:14:41:500,6564,1.8,6601,5.2,13210,5.5,18483,2.75 100,1,2024-09-07 08:14:40:556,31275,31275,0,0,14874319984,163520499,29760,1256,259,382,391505,0 100,2,2024-09-07 08:14:41:833,23580,23569,11,0,1845990,0,5417 100,3,2024-09-07 08:14:41:730,1,18,2,2,443,975,18,0 101,0,2024-09-07 08:14:41:730,3944,9.2,3797,6.8,7738,9.3,11440,4.75 101,1,2024-09-07 08:14:40:551,31120,31120,0,0,15121443130,162935746,30159,537,424,370,391531,0 101,2,2024-09-07 08:14:41:773,24762,24762,0,0,2000517,0,4644 101,3,2024-09-07 08:14:40:942,1,18,1,1,448,575,18,0 102,0,2024-09-07 08:14:41:065,4936,0.9,5056,0.8,10073,0.6,12950,2.25 102,1,2024-09-07 08:14:41:169,31620,31620,0,0,15113454650,160479787,31080,530,10,371,391621,0 102,2,2024-09-07 08:14:41:743,23150,23150,0,0,1172853,0,1699 102,3,2024-09-07 08:14:41:625,1,18,8,0,410,596,18,0 103,0,2024-09-07 08:14:41:679,4027,0.3,4058,0.5,7687,0.2,10463,1.75 103,1,2024-09-07 08:14:41:642,31610,31610,0,0,15222618350,160397067,31250,313,47,381,391680,0 103,2,2024-09-07 08:14:40:611,20741,20741,0,0,1088638,0,1484 103,3,2024-09-07 08:14:40:755,1,18,4,1,486,734,18,0 104,0,2024-09-07 08:14:41:012,5988,2.0,6078,1.5,11631,2.5,16273,2.25 104,1,2024-09-07 08:14:41:612,31327,31327,0,0,14525048258,159389695,29881,1130,316,369,391948,0 104,2,2024-09-07 08:14:41:675,20886,20886,0,0,1793166,0,3941 104,3,2024-09-07 08:14:41:419,1,18,11,8,25,264,18,0 105,0,2024-09-07 08:14:41:088,6494,5.3,6268,2.9,12974,7.6,17841,4.75 105,1,2024-09-07 08:14:40:576,30963,30963,0,0,15017233912,164664846,29345,1053,565,367,391797,0 105,2,2024-09-07 08:14:41:324,24884,24884,0,0,2089841,0,3314 105,3,2024-09-07 08:14:41:308,1,18,6,1,169,420,18,0 106,0,2024-09-07 08:14:40:985,4234,0.5,4256,0.8,8752,0.3,11688,2.00 106,1,2024-09-07 08:14:41:761,31153,31153,0,0,14533886784,158114668,29877,1074,202,371,391767,0 106,2,2024-09-07 08:14:40:762,23308,23308,0,0,1705357,0,1818 106,3,2024-09-07 08:14:40:684,1,18,8,1,171,238,18,0 107,0,2024-09-07 08:14:41:145,2724,0.3,2691,0.6,5366,0.2,7035,1.75 107,1,2024-09-07 08:14:40:587,31166,31166,0,0,14745640665,158938796,30291,749,126,382,391848,0 107,2,2024-09-07 08:14:41:299,21988,21987,1,0,1497693,0,5024 107,3,2024-09-07 08:14:41:769,1,18,2,1,75,301,18,0 108,0,2024-09-07 08:14:41:832,3656,1.4,3628,1.1,7131,2.3,10078,2.00 108,1,2024-09-07 08:14:41:308,31278,31278,0,0,14968229498,159973716,30268,906,104,372,391563,0 108,2,2024-09-07 08:14:41:773,19595,19595,0,0,1236463,0,2647 108,3,2024-09-07 08:14:41:334,1,18,4,1,60,260,18,0 109,0,2024-09-07 08:14:41:782,7304,0.4,7390,0.6,14165,0.4,19231,1.75 109,1,2024-09-07 08:14:40:586,31457,31457,0,0,15401874780,164214525,30806,599,52,386,391517,0 109,2,2024-09-07 08:14:40:923,23863,23863,0,0,1678566,0,3617 109,3,2024-09-07 08:14:41:155,1,18,12,1,120,436,18,0 110,0,2024-09-07 08:14:41:779,2592,0.2,2544,0.4,5248,0.1,7130,1.50 110,1,2024-09-07 08:14:41:650,31731,31731,0,0,15049558058,158903942,31120,338,273,371,391564,0 110,2,2024-09-07 08:14:41:308,24451,24451,0,0,1609297,0,2915 110,3,2024-09-07 08:14:40:695,1,18,1,1,183,309,18,0 111,0,2024-09-07 08:14:41:424,2549,0.2,2552,0.3,5157,0.1,6658,1.50 111,1,2024-09-07 08:14:41:002,31569,31569,0,0,15762952548,163909669,31425,132,12,383,391597,0 111,2,2024-09-07 08:14:41:125,22811,22811,0,0,1294555,0,2256 111,3,2024-09-07 08:14:40:916,1,18,2,1,106,441,18,0 112,0,2024-09-07 08:14:40:920,3194,0.6,3236,0.5,6537,0.2,8735,1.75 112,1,2024-09-07 08:14:40:825,31637,31637,0,0,15176820319,159457934,31407,229,1,382,391512,0 112,2,2024-09-07 08:14:41:140,18873,18873,0,0,1035589,0,3152 112,3,2024-09-07 08:14:40:602,1,18,12,1,282,435,18,0 113,0,2024-09-07 08:14:40:899,6790,0.4,6811,0.6,13887,0.4,18823,1.75 113,1,2024-09-07 08:14:41:686,31642,31642,0,0,15485055391,161576953,31391,250,1,368,391661,0 113,2,2024-09-07 08:14:41:313,24152,24152,0,0,1035511,0,1597 113,3,2024-09-07 08:14:40:684,1,18,50,1,130,322,18,0 114,0,2024-09-07 08:14:40:887,3588,7.4,3665,5.9,7043,4.1,9806,2.75 114,1,2024-09-07 08:14:40:747,31806,31806,0,0,15364061099,159943927,31602,204,0,383,391513,0 114,2,2024-09-07 08:14:40:876,24781,24781,0,0,1462880,0,3433 114,3,2024-09-07 08:14:41:278,1,18,74,1,159,331,18,0 115,0,2024-09-07 08:14:40:565,4019,0.2,4097,0.5,8190,0.2,10495,1.50 115,1,2024-09-07 08:14:40:575,31463,31463,0,0,15405463921,162331718,30935,421,107,384,391495,0 115,2,2024-09-07 08:14:41:125,23510,23510,0,0,1066638,0,1535 115,3,2024-09-07 08:14:41:002,1,18,1,1,40,102,18,0 116,0,2024-09-07 08:14:41:747,3708,0.3,3705,0.5,7523,0.2,9506,1.75 116,1,2024-09-07 08:14:40:809,31076,31076,0,0,15315539371,167248749,29933,307,836,382,391554,0 116,2,2024-09-07 08:14:41:788,19714,19714,0,0,1056563,0,2154 116,3,2024-09-07 08:14:40:919,1,18,7,2,132,299,18,0 117,0,2024-09-07 08:14:40:966,6164,3.6,6148,2.2,12241,4.4,16766,2.50 117,1,2024-09-07 08:14:41:593,31496,31496,0,0,15064037434,161224474,30598,679,219,372,391601,0 117,2,2024-09-07 08:14:41:124,22489,22489,0,0,1606574,0,3700 117,3,2024-09-07 08:14:41:062,1,18,3,1,490,991,18,0 118,0,2024-09-07 08:14:41:802,5358,2.6,5418,1.7,11189,3.8,14487,3.00 118,1,2024-09-07 08:14:40:589,31488,31488,0,0,14706156293,154967488,31169,318,1,369,391503,0 118,2,2024-09-07 08:14:41:594,25059,25059,0,0,1362629,0,1450 118,3,2024-09-07 08:14:41:775,1,18,1,1,79,261,18,0 119,0,2024-09-07 08:14:41:452,4764,0.4,4915,0.7,9987,0.2,13157,2.00 119,1,2024-09-07 08:14:40:549,31170,31170,0,0,15497875146,165146391,30332,707,131,371,391576,0 119,2,2024-09-07 08:14:41:264,23296,23296,0,0,1324859,0,2222 119,3,2024-09-07 08:14:41:334,1,18,8,1,375,467,18,0 120,0,2024-09-07 08:14:41:572,3231,0.3,3223,0.6,6454,0.2,8722,1.75 120,1,2024-09-07 08:14:40:875,31342,31342,0,0,14755589012,159626265,30512,822,8,369,391702,0 120,2,2024-09-07 08:14:40:775,21192,21191,1,0,2248728,0,5281 120,3,2024-09-07 08:14:41:297,1,18,10,2,241,598,18,0 121,0,2024-09-07 08:14:41:696,4984,4.5,5046,2.6,10037,5.1,13830,3.00 121,1,2024-09-07 08:14:41:663,31022,31022,0,0,14512673770,158941651,29807,978,237,370,391633,0 121,2,2024-09-07 08:14:41:126,20436,20436,0,0,1957503,0,4127 121,3,2024-09-07 08:14:40:728,1,18,22,1,71,210,18,0 122,0,2024-09-07 08:14:41:808,6988,4.2,6743,4.0,14139,4.5,20038,3.00 122,1,2024-09-07 08:14:40:872,30585,30585,0,0,15071509496,166906112,28803,1415,367,369,392130,0 122,2,2024-09-07 08:14:41:328,24656,24656,0,0,2506393,0,2574 122,3,2024-09-07 08:14:40:595,1,18,3,1,226,1055,18,0 123,0,2024-09-07 08:14:41:009,3581,0.5,3438,0.8,7135,0.3,9589,2.00 123,1,2024-09-07 08:14:40:560,30951,30951,0,0,14833215389,165974810,28560,1937,454,371,391823,0 123,2,2024-09-07 08:14:41:023,23409,23408,1,0,2234462,0,5215 123,3,2024-09-07 08:14:41:145,1,18,2,1,160,433,18,0 124,0,2024-09-07 08:14:40:964,2404,0.2,2419,0.3,4714,0.1,6124,1.50 124,1,2024-09-07 08:14:41:046,31754,31754,0,0,14896511811,155697101,31620,133,1,370,392129,0 124,2,2024-09-07 08:14:41:020,22345,22345,0,0,1234780,0,2477 124,3,2024-09-07 08:14:40:761,1,18,8,2,490,971,18,0 125,0,2024-09-07 08:14:41:465,3091,0.3,3079,0.5,6316,0.2,8295,1.75 125,1,2024-09-07 08:14:40:871,31380,31380,0,0,14989789556,159886959,30606,754,20,385,391702,0 125,2,2024-09-07 08:14:41:132,19034,19034,0,0,1100957,0,2180 125,3,2024-09-07 08:14:41:127,1,18,88,1,93,383,18,0 126,0,2024-09-07 08:14:41:457,7548,0.7,7719,0.8,14836,0.7,20394,2.00 126,1,2024-09-07 08:14:40:552,31648,31648,0,0,15299954456,161388523,31224,416,8,368,391719,0 126,2,2024-09-07 08:14:40:612,24822,24822,0,0,1444884,0,1557 126,3,2024-09-07 08:14:40:917,1,18,1,1,45,169,18,0 127,0,2024-09-07 08:14:41:626,2154,0.2,2154,0.3,4316,0.1,5505,1.50 127,1,2024-09-07 08:14:40:590,31325,31325,0,0,14409920670,151696931,30783,520,22,366,391488,0 127,2,2024-09-07 08:14:40:649,24639,24639,0,0,1315532,0,1744 127,3,2024-09-07 08:14:41:273,1,18,1,0,99,226,18,0 128,0,2024-09-07 08:14:41:544,3191,0.3,3254,0.5,6426,0.2,8273,1.75 128,1,2024-09-07 08:14:41:612,31550,31550,0,0,15401620894,160582239,31376,171,3,370,391605,0 128,2,2024-09-07 08:14:41:387,22428,22428,0,0,1373530,0,1712 128,3,2024-09-07 08:14:40:775,1,18,1,1,20,204,18,0 129,0,2024-09-07 08:14:41:049,3293,0.4,3353,0.6,6653,0.3,8544,2.00 129,1,2024-09-07 08:14:40:573,31439,31439,0,0,15022702769,160671561,30514,660,265,382,391835,0 129,2,2024-09-07 08:14:40:695,18980,18980,0,0,802259,0,2289 129,3,2024-09-07 08:14:40:688,1,18,1,1,82,213,18,0 130,0,2024-09-07 08:14:41:725,6899,1.1,6784,1.1,13704,1.7,18924,2.00 130,1,2024-09-07 08:14:40:594,31742,31742,0,0,15667235891,164482849,31466,275,1,381,391516,0 130,2,2024-09-07 08:14:41:126,23690,23690,0,0,1099264,0,1539 130,3,2024-09-07 08:14:41:303,1,18,4,1,66,152,18,0 131,0,2024-09-07 08:14:42:038,4314,3.2,4181,2.4,8591,3.9,11443,3.00 131,1,2024-09-07 08:14:41:822,31538,31538,0,0,14809673373,157064570,30918,449,171,385,391727,0 131,2,2024-09-07 08:14:40:570,24539,24539,0,0,1339031,0,1766 131,3,2024-09-07 08:14:41:687,1,18,1,1,23,82,18,0 132,0,2024-09-07 08:14:41:449,4932,0.5,5065,1.0,10035,0.5,13020,2.00 132,1,2024-09-07 08:14:40:580,31044,31044,0,0,14629355563,158793644,29740,1042,262,383,391533,0 132,2,2024-09-07 08:14:40:698,22899,22899,0,0,2760954,0,4606 132,3,2024-09-07 08:14:41:693,1,18,3,3,356,560,18,0 133,0,2024-09-07 08:14:41:541,3861,0.3,3986,0.5,8066,0.2,10397,1.75 133,1,2024-09-07 08:14:40:586,30974,30974,0,0,14712592988,157301565,30208,762,4,385,391492,0 133,2,2024-09-07 08:14:41:088,20969,20969,0,0,1107505,0,1707 133,3,2024-09-07 08:14:41:297,1,18,2,1,26,93,18,0 134,0,2024-09-07 08:14:40:982,5957,0.5,5899,0.9,11938,0.4,16246,2.00 134,1,2024-09-07 08:14:40:589,31322,31322,0,0,14975357875,163661125,29818,758,746,366,391508,0 134,2,2024-09-07 08:14:41:761,21147,21147,0,0,1003581,0,1739 134,3,2024-09-07 08:14:40:752,1,18,2,1,63,286,18,0 135,0,2024-09-07 08:14:41:152,6599,3.6,6563,3.0,13852,3.5,17861,3.00 135,1,2024-09-07 08:14:41:586,31356,31356,0,0,15382818976,161562015,30987,353,16,383,391513,0 135,2,2024-09-07 08:14:40:689,24919,24919,0,0,1571335,0,3016 135,3,2024-09-07 08:14:41:004,1,18,1,1,68,203,18,0 136,0,2024-09-07 08:14:41:712,4433,0.6,4364,1.0,8793,0.5,11768,2.50 136,1,2024-09-07 08:14:41:445,31546,31546,0,0,14980732891,163484085,30214,1191,141,386,391501,0 136,2,2024-09-07 08:14:41:222,23953,23953,0,0,1395038,0,1692 136,3,2024-09-07 08:14:41:113,1,18,108,2,108,344,18,0 137,0,2024-09-07 08:14:40:974,2770,0.3,2741,0.7,5269,0.2,7046,2.00 137,1,2024-09-07 08:14:40:582,31410,31410,0,0,14672401655,158165946,30583,784,43,370,391489,0 137,2,2024-09-07 08:14:41:709,21612,21612,0,0,2074180,0,2294 137,3,2024-09-07 08:14:40:770,1,18,5,1,227,320,18,0 138,0,2024-09-07 08:14:41:774,3530,1.1,3631,1.2,7344,1.3,10075,2.75 138,1,2024-09-07 08:14:41:695,31291,31291,0,0,15284642727,166646440,29864,1196,231,371,391572,0 138,2,2024-09-07 08:14:40:615,19687,19687,0,0,1547428,0,3263 138,3,2024-09-07 08:14:40:616,1,18,4,1,68,233,18,0 139,0,2024-09-07 08:14:41:393,6788,5.6,6745,3.3,13895,6.2,18746,4.25 139,1,2024-09-07 08:14:40:574,31007,31007,0,0,14467068880,163510253,29035,1289,683,385,391511,0 139,2,2024-09-07 08:14:40:699,24563,24563,0,0,2081582,0,2425 139,3,2024-09-07 08:14:41:668,1,18,39,1,104,317,18,0 140,0,2024-09-07 08:14:41:631,2629,0.6,2549,0.7,5229,0.4,7146,2.00 140,1,2024-09-07 08:14:41:536,31598,31598,0,0,15468283226,161467266,31424,174,0,366,391482,0 140,2,2024-09-07 08:14:40:688,24117,24117,0,0,1754645,0,2707 140,3,2024-09-07 08:14:40:766,1,18,8,1,25,178,18,0 141,0,2024-09-07 08:14:41:725,2504,0.2,2604,0.3,5039,0.1,6665,1.50 141,1,2024-09-07 08:14:40:872,31471,31471,0,0,15896163031,168457473,30652,589,230,382,391532,0 141,2,2024-09-07 08:14:41:687,22612,22612,0,0,1227992,0,2342 141,3,2024-09-07 08:14:41:042,1,18,4,0,42,115,18,0 142,0,2024-09-07 08:14:41:332,3263,0.3,3251,0.6,6525,0.2,8725,2.00 142,1,2024-09-07 08:14:40:593,31559,31559,0,0,15070895735,158322572,31403,156,0,385,391520,0 142,2,2024-09-07 08:14:41:309,18774,18774,0,0,1182709,0,2293 142,3,2024-09-07 08:14:41:755,1,18,3,1,53,139,18,0 143,0,2024-09-07 08:14:41:407,6841,1.5,6801,1.2,13817,1.8,18608,2.25 143,1,2024-09-07 08:14:40:558,31327,31327,0,0,15240685328,160749437,30671,635,21,370,391608,0 143,2,2024-09-07 08:14:40:784,23979,23979,0,0,1509377,0,2572 143,3,2024-09-07 08:14:41:147,1,18,3,1,236,574,18,0 144,0,2024-09-07 08:14:41:515,3209,7.6,3288,10.0,6610,7.2,9678,3.25 144,1,2024-09-07 08:14:40:573,31464,31464,0,0,14724757440,156650298,30858,558,48,383,391562,0 144,2,2024-09-07 08:14:41:758,24621,24621,0,0,1236569,0,1980 144,3,2024-09-07 08:14:41:748,1,18,12,2,112,433,18,0 145,0,2024-09-07 08:14:41:374,3911,0.5,3969,0.7,8178,0.4,10394,2.00 145,1,2024-09-07 08:14:40:554,31188,31188,0,0,14703966886,155506974,30941,247,0,384,391603,0 145,2,2024-09-07 08:14:41:434,23048,23048,0,0,2206152,0,3903 145,3,2024-09-07 08:14:40:904,1,18,3,1,151,554,18,0 146,0,2024-09-07 08:14:41:656,3749,0.3,3766,0.5,7528,0.2,9488,1.75 146,1,2024-09-07 08:14:41:587,31331,31331,0,0,15221118923,166378029,29726,1307,298,371,391512,0 146,2,2024-09-07 08:14:41:707,19313,19313,0,0,1358601,0,2149 146,3,2024-09-07 08:14:41:274,1,18,2,0,54,204,18,0 147,0,2024-09-07 08:14:41:732,6048,4.0,6029,2.4,12011,4.8,16788,3.00 147,1,2024-09-07 08:14:41:386,31476,31476,0,0,15023283844,158207399,31010,404,62,369,391791,0 147,2,2024-09-07 08:14:41:012,22873,22873,0,0,1200832,0,2526 147,3,2024-09-07 08:14:40:918,1,18,3,1,141,403,18,0 0,0,2024-09-07 08:14:51:785,3407,0.4,3429,0.7,7175,0.3,9540,2.00 0,1,2024-09-07 08:14:50:840,33049,33049,0,0,15918499967,169627911,32411,533,105,373,391658,0 0,2,2024-09-07 08:14:51:080,22165,22165,0,0,842841,0,958 0,3,2024-09-07 08:14:50:974,1,19,24,2,247,493,19,0 1,0,2024-09-07 08:14:51:774,5392,1.2,5305,1.1,10858,1.7,14515,2.00 1,1,2024-09-07 08:14:50:572,32924,32924,0,0,15068669619,158892620,32513,376,35,372,391857,0 1,2,2024-09-07 08:14:50:674,21544,21544,0,0,1106761,0,1544 1,3,2024-09-07 08:14:51:310,1,19,15,1,167,359,19,0 2,0,2024-09-07 08:14:51:578,7507,2.0,7681,1.7,14934,3.9,20701,4.00 2,1,2024-09-07 08:14:50:866,33085,33085,0,0,15097084101,158224664,32990,95,0,382,391527,0 2,2,2024-09-07 08:14:51:270,26216,26216,0,0,1801472,0,3304 2,3,2024-09-07 08:14:50:701,1,19,1,1,87,306,19,0 3,0,2024-09-07 08:14:51:756,3896,0.4,3931,0.7,7802,0.3,10512,2.00 3,1,2024-09-07 08:14:51:631,33247,33247,0,0,16326170295,171894753,32845,392,10,383,391494,0 3,2,2024-09-07 08:14:51:148,24994,24994,0,0,1265633,0,2192 3,3,2024-09-07 08:14:51:758,1,19,1,1,23,77,19,0 4,0,2024-09-07 08:14:51:812,2489,0.2,2603,0.4,5079,0.2,6555,1.50 4,1,2024-09-07 08:14:50:640,33254,33254,0,0,15584827252,167334956,32258,653,343,372,391682,0 4,2,2024-09-07 08:14:51:033,23321,23321,0,0,1888050,0,4528 4,3,2024-09-07 08:14:51:027,1,19,13,8,287,569,19,0 5,0,2024-09-07 08:14:51:477,3401,0.3,3380,0.5,6719,0.2,8949,1.75 5,1,2024-09-07 08:14:50:761,33069,33069,0,0,16228442467,171016361,32540,437,92,368,392005,0 5,2,2024-09-07 08:14:51:843,20512,20512,0,0,1000186,0,1912 5,3,2024-09-07 08:14:51:733,1,19,8,1,130,253,19,0 6,0,2024-09-07 08:14:50:949,7985,0.7,7912,0.9,15828,0.8,21364,2.00 6,1,2024-09-07 08:14:50:748,33346,33346,0,0,15570417290,162868029,33092,250,4,382,391603,0 6,2,2024-09-07 08:14:51:124,26175,26175,0,0,1571614,0,1686 6,3,2024-09-07 08:14:51:277,1,19,2,1,277,518,19,0 7,0,2024-09-07 08:14:51:553,2316,0.2,2303,0.3,4575,0.1,6024,1.50 7,1,2024-09-07 08:14:50:862,32800,32800,0,0,15651566089,165469408,32260,476,64,384,391558,0 7,2,2024-09-07 08:14:50:784,25622,25622,0,0,1467851,0,2981 7,3,2024-09-07 08:14:50:854,1,19,0,0,46,167,19,0 8,0,2024-09-07 08:14:51:347,3429,0.3,3326,0.5,6555,0.2,8518,1.50 8,1,2024-09-07 08:14:51:023,32417,32417,0,0,15659332264,178432742,29569,1615,1233,368,391724,0 8,2,2024-09-07 08:14:50:815,23409,23409,0,0,2016232,0,2986 8,3,2024-09-07 08:14:50:587,1,19,1,1,229,511,19,0 9,0,2024-09-07 08:14:51:144,3484,0.3,3367,0.6,7001,0.2,8862,1.75 9,1,2024-09-07 08:14:50:580,32737,32737,0,0,15880392046,173959191,31117,1142,478,371,391496,0 9,2,2024-09-07 08:14:51:093,20253,20253,0,0,1174543,0,1673 9,3,2024-09-07 08:14:51:761,1,19,1,0,50,218,19,0 10,0,2024-09-07 08:14:51:611,7398,0.6,7378,0.6,14811,0.5,20063,1.75 10,1,2024-09-07 08:14:50:586,32821,32821,0,0,15911641450,175773829,30697,1744,380,383,391541,0 10,2,2024-09-07 08:14:50:761,24409,24409,0,0,2323821,0,2940 10,3,2024-09-07 08:14:50:881,1,19,1,0,118,212,19,0 11,0,2024-09-07 08:14:51:027,4042,6.7,3977,4.2,8281,8.1,11711,3.75 11,1,2024-09-07 08:14:50:572,32591,32591,0,0,15852758728,175193287,30610,1246,735,384,391485,0 11,2,2024-09-07 08:14:51:125,26079,26079,0,0,1914023,0,2635 11,3,2024-09-07 08:14:51:298,1,19,1,1,720,926,19,0 12,0,2024-09-07 08:14:51:011,5175,0.3,5169,0.5,10282,0.2,13186,1.75 12,1,2024-09-07 08:14:50:950,33038,33038,0,0,15633017137,166238759,32485,532,21,372,391611,0 12,2,2024-09-07 08:14:51:555,24771,24771,0,0,1778668,0,2254 12,3,2024-09-07 08:14:51:059,1,19,3,2,179,428,19,0 13,0,2024-09-07 08:14:51:382,4197,0.4,4225,0.7,8375,0.3,10993,1.75 13,1,2024-09-07 08:14:51:537,33501,33501,0,0,15878994839,168296792,33071,391,39,385,391563,0 13,2,2024-09-07 08:14:50:598,21425,21425,0,0,953963,0,2239 13,3,2024-09-07 08:14:51:771,1,19,3,1,153,388,19,0 14,0,2024-09-07 08:14:50:581,6505,0.5,6492,0.7,12713,0.6,17403,1.75 14,1,2024-09-07 08:14:51:566,33447,33447,0,0,15747076693,165433777,33007,412,28,365,391481,0 14,2,2024-09-07 08:14:50:770,22655,22655,0,0,1543262,0,2077 14,3,2024-09-07 08:14:51:122,1,19,9,1,40,111,19,0 15,0,2024-09-07 08:14:51:563,6630,5.4,6619,2.9,13212,7.2,18084,4.25 15,1,2024-09-07 08:14:51:615,33251,33251,0,0,15758122304,165691050,32983,266,2,381,391481,0 15,2,2024-09-07 08:14:50:999,26687,26687,0,0,1074517,0,1040 15,3,2024-09-07 08:14:51:408,1,19,1,0,538,883,19,0 16,0,2024-09-07 08:14:50:951,4599,0.4,4629,0.7,9286,0.3,12428,2.75 16,1,2024-09-07 08:14:50:573,33345,33345,0,0,15603910750,165305010,32794,548,3,373,391543,0 16,2,2024-09-07 08:14:51:485,24439,24439,0,0,1749944,0,4719 16,3,2024-09-07 08:14:51:144,1,19,12,3,231,702,19,0 17,0,2024-09-07 08:14:51:786,3004,0.3,3032,0.5,5751,0.2,7659,1.75 17,1,2024-09-07 08:14:50:580,33260,33260,0,0,15825333890,168609559,32634,490,136,370,391671,0 17,2,2024-09-07 08:14:51:666,23098,23098,0,0,1203287,0,1865 17,3,2024-09-07 08:14:50:578,1,19,116,0,268,582,19,0 18,0,2024-09-07 08:14:50:964,4003,1.0,4092,1.0,8120,1.3,11198,2.50 18,1,2024-09-07 08:14:51:650,32996,32996,0,0,15300832877,162661303,32399,512,85,370,391518,0 18,2,2024-09-07 08:14:51:759,20972,20972,0,0,1306289,0,2688 18,3,2024-09-07 08:14:50:903,1,19,54,0,142,310,19,0 19,0,2024-09-07 08:14:51:551,7480,1.0,7547,1.0,14843,1.4,19622,2.75 19,1,2024-09-07 08:14:50:575,33301,33301,0,0,15679055588,166907925,32453,796,52,367,391512,0 19,2,2024-09-07 08:14:51:752,26298,26298,0,0,1587697,0,3599 19,3,2024-09-07 08:14:51:137,1,19,1,1,29,64,19,0 20,0,2024-09-07 08:14:51:407,2999,0.2,3045,0.4,5837,0.2,8040,1.50 20,1,2024-09-07 08:14:50:590,33017,33017,0,0,16361127814,172035218,32646,370,1,373,391488,0 20,2,2024-09-07 08:14:50:931,25332,25332,0,0,1401059,0,1616 20,3,2024-09-07 08:14:50:591,1,19,9,1,99,352,19,0 21,0,2024-09-07 08:14:51:143,2825,0.2,2757,0.4,5446,0.2,7137,1.50 21,1,2024-09-07 08:14:51:537,33071,33071,0,0,15125314014,160832454,32462,583,26,368,391962,0 21,2,2024-09-07 08:14:51:073,23965,23965,0,0,1477156,0,3747 21,3,2024-09-07 08:14:51:416,1,19,33,1,33,222,19,0 22,0,2024-09-07 08:14:51:729,3568,0.3,3651,0.7,7236,0.2,9722,1.75 22,1,2024-09-07 08:14:51:029,32954,32954,0,0,15509851149,168305407,31631,1016,307,382,391667,0 22,2,2024-09-07 08:14:50:764,20319,20319,0,0,856718,0,1503 22,3,2024-09-07 08:14:51:073,1,19,2,0,48,116,19,0 23,0,2024-09-07 08:14:51:376,7195,0.4,7400,0.6,14643,0.4,19978,1.75 23,1,2024-09-07 08:14:51:009,33705,33705,0,0,16306595859,169394271,33565,140,0,368,391482,0 23,2,2024-09-07 08:14:51:093,26157,26157,0,0,1281539,0,2078 23,3,2024-09-07 08:14:51:760,1,19,0,0,141,232,19,0 24,0,2024-09-07 08:14:50:862,3910,1.5,3916,1.7,7919,2.2,10197,2.00 24,1,2024-09-07 08:14:50:590,33327,33327,0,0,15534083794,165918018,32816,508,3,371,391640,0 24,2,2024-09-07 08:14:51:075,25490,25490,0,0,2625117,0,2942 24,3,2024-09-07 08:14:51:693,1,19,10,2,234,435,19,0 25,0,2024-09-07 08:14:51:374,4255,0.3,4206,0.5,8079,0.2,10725,1.50 25,1,2024-09-07 08:14:50:565,33152,33152,0,0,15721494195,167567736,32588,549,15,373,391544,0 25,2,2024-09-07 08:14:51:614,24008,24008,0,0,1919712,0,1963 25,3,2024-09-07 08:14:51:007,1,19,7,1,50,254,19,0 26,0,2024-09-07 08:14:51:737,3752,0.2,3679,0.4,7707,0.2,9497,1.50 26,1,2024-09-07 08:14:51:544,32592,32592,0,0,15633844316,175623780,30365,1529,698,383,391496,0 26,2,2024-09-07 08:14:50:866,20502,20502,0,0,1886623,0,2809 26,3,2024-09-07 08:14:51:712,1,19,2,1,796,894,19,0 27,0,2024-09-07 08:14:51:735,6479,0.5,6569,0.7,13126,0.5,17824,1.75 27,1,2024-09-07 08:14:51:683,33685,33685,0,0,16064213694,168132114,33521,163,1,381,391526,0 27,2,2024-09-07 08:14:50:868,23370,23370,0,0,2774381,0,3146 27,3,2024-09-07 08:14:51:021,1,19,64,1,86,279,19,0 28,0,2024-09-07 08:14:51:396,5592,2.4,5638,1.8,11314,3.9,14813,2.25 28,1,2024-09-07 08:14:50:800,33474,33474,0,0,16000848121,168351480,33249,225,0,383,391489,0 28,2,2024-09-07 08:14:51:771,26189,26189,0,0,1331967,0,2177 28,3,2024-09-07 08:14:51:778,1,19,8,3,502,689,19,0 29,0,2024-09-07 08:14:51:364,5305,0.3,5219,0.6,10202,0.2,13733,1.75 29,1,2024-09-07 08:14:51:566,33462,33462,0,0,15892744998,166801177,32951,339,172,371,391621,0 29,2,2024-09-07 08:14:50:863,24874,24874,0,0,1179293,0,2026 29,3,2024-09-07 08:14:50:964,1,19,77,1,105,353,19,0 30,0,2024-09-07 08:14:51:470,3528,0.3,3441,0.5,7098,0.2,9598,1.75 30,1,2024-09-07 08:14:50:572,32996,32996,0,0,16284239143,173018403,32431,503,62,382,391524,0 30,2,2024-09-07 08:14:51:276,22217,22217,0,0,934735,0,1567 30,3,2024-09-07 08:14:50:581,1,19,10,0,110,161,19,0 31,0,2024-09-07 08:14:51:764,5389,0.6,5484,0.8,10968,0.6,14529,2.00 31,1,2024-09-07 08:14:50:567,33194,33194,0,0,15779416939,163379749,33110,84,0,356,391478,0 31,2,2024-09-07 08:14:51:277,22013,22013,0,0,1498052,0,1685 31,3,2024-09-07 08:14:51:707,1,19,3,0,40,96,19,0 32,0,2024-09-07 08:14:51:425,7617,2.2,7742,1.4,15466,3.7,21179,2.00 32,1,2024-09-07 08:14:50:808,33303,33303,0,0,15754582891,165073760,33139,162,2,383,391595,0 32,2,2024-09-07 08:14:50:943,26502,26502,0,0,1315173,0,1970 32,3,2024-09-07 08:14:51:026,1,19,11,1,37,202,19,0 33,0,2024-09-07 08:14:51:518,3950,0.2,3917,0.4,7777,0.2,10466,1.75 33,1,2024-09-07 08:14:50:591,33044,33044,0,0,16119668419,168877717,32626,406,12,369,391497,0 33,2,2024-09-07 08:14:50:765,24797,24797,0,0,1688380,0,3364 33,3,2024-09-07 08:14:50:899,1,19,1,1,27,110,19,0 34,0,2024-09-07 08:14:50:958,2498,0.2,2547,0.3,4989,0.1,6476,1.50 34,1,2024-09-07 08:14:51:045,33338,33338,0,0,15912176367,165703680,33149,188,1,369,391481,0 34,2,2024-09-07 08:14:50:776,23257,23257,0,0,1630690,0,3073 34,3,2024-09-07 08:14:51:692,1,19,10,0,46,127,19,0 35,0,2024-09-07 08:14:50:874,3399,0.3,3374,0.5,6722,0.2,8969,1.75 35,1,2024-09-07 08:14:51:075,33049,33049,0,0,16032350507,170095534,32004,740,305,385,391587,0 35,2,2024-09-07 08:14:51:585,20687,20687,0,0,1122534,0,2276 35,3,2024-09-07 08:14:50:912,1,19,3,1,219,479,19,0 36,0,2024-09-07 08:14:51:550,7909,1.0,7829,1.2,15991,1.1,21228,2.50 36,1,2024-09-07 08:14:50:592,32801,32801,0,0,15362542993,168562000,30861,1184,756,370,391535,0 36,2,2024-09-07 08:14:51:751,26194,26194,0,0,1360402,0,1272 36,3,2024-09-07 08:14:50:864,1,19,17,1,54,300,19,0 37,0,2024-09-07 08:14:51:379,2323,0.2,2353,0.4,4624,0.1,6001,1.50 37,1,2024-09-07 08:14:50:575,32500,32493,0,7,15693717000,175991147,30369,617,1507,368,391507,0 37,2,2024-09-07 08:14:51:143,25875,25875,0,0,1273148,0,1448 37,3,2024-09-07 08:14:51:782,1,19,0,0,31,97,19,0 38,0,2024-09-07 08:14:51:445,3377,0.4,3242,0.6,6697,0.3,8565,2.00 38,1,2024-09-07 08:14:51:609,33696,33696,0,0,16260444169,169790928,33449,246,1,371,391512,0 38,2,2024-09-07 08:14:50:760,23888,23888,0,0,1537577,0,3245 38,3,2024-09-07 08:14:50:999,1,19,1,0,36,109,19,0 39,0,2024-09-07 08:14:51:774,3568,0.3,3498,0.6,6864,0.2,8905,1.75 39,1,2024-09-07 08:14:50:721,33346,33346,0,0,16014136949,170347123,32322,606,418,366,391482,0 39,2,2024-09-07 08:14:51:424,20236,20236,0,0,903707,0,1786 39,3,2024-09-07 08:14:50:719,1,19,35,1,189,469,19,0 40,0,2024-09-07 08:14:51:528,7032,1.6,7174,3.4,14514,3.8,19870,3.75 40,1,2024-09-07 08:14:50:579,32985,32985,0,0,15445573331,169531562,31125,1333,527,371,391543,0 40,2,2024-09-07 08:14:51:319,24497,24496,1,0,2512486,0,5137 40,3,2024-09-07 08:14:51:142,1,19,12,2,63,239,19,0 41,0,2024-09-07 08:14:51:045,3704,8.7,3812,10.0,7479,10.0,10488,8.00 41,1,2024-09-07 08:14:50:778,33072,33072,0,0,15797045319,170001955,31923,957,192,370,391483,0 41,2,2024-09-07 08:14:50:760,25741,25741,0,0,2572742,0,3325 41,3,2024-09-07 08:14:51:686,1,19,19,1,19,59,19,0 42,0,2024-09-07 08:14:51:496,5070,0.6,5073,0.9,10185,0.5,13189,2.50 42,1,2024-09-07 08:14:51:458,32541,32541,0,0,15701614633,174411913,30587,1252,702,381,391489,0 42,2,2024-09-07 08:14:51:143,24226,24226,0,0,1968241,0,1967 42,3,2024-09-07 08:14:51:017,1,19,19,0,100,218,19,0 43,0,2024-09-07 08:14:50:934,4146,0.4,4093,0.7,8583,0.2,11012,2.00 43,1,2024-09-07 08:14:50:580,32850,32850,0,0,16407242480,177443127,31437,822,591,368,391481,0 43,2,2024-09-07 08:14:51:739,21068,21068,0,0,1852454,0,3812 43,3,2024-09-07 08:14:51:749,1,19,1,1,292,692,19,0 44,0,2024-09-07 08:14:50:879,6438,0.5,6303,0.8,12700,0.5,17397,2.00 44,1,2024-09-07 08:14:50:568,33370,33370,0,0,15100161705,157845023,33094,268,8,358,391493,0 44,2,2024-09-07 08:14:51:271,22458,22458,0,0,1123281,0,1592 44,3,2024-09-07 08:14:51:101,1,19,8,1,817,1045,19,0 45,0,2024-09-07 08:14:51:787,6653,5.4,6474,2.9,13464,6.7,18353,2.50 45,1,2024-09-07 08:14:51:016,33251,33251,0,0,16157632412,169650585,33032,219,0,382,391510,0 45,2,2024-09-07 08:14:51:270,26951,26951,0,0,1570566,0,2038 45,3,2024-09-07 08:14:50:939,1,19,3,1,226,406,19,0 46,0,2024-09-07 08:14:50:999,4662,0.3,4671,0.6,9382,0.2,12523,1.75 46,1,2024-09-07 08:14:50:576,33512,33512,0,0,15994495591,166532882,33233,255,24,370,391514,0 46,2,2024-09-07 08:14:50:593,24804,24804,0,0,1346069,0,2835 46,3,2024-09-07 08:14:51:137,1,19,1,1,200,427,19,0 47,0,2024-09-07 08:14:51:120,2939,0.2,2967,0.5,5887,0.1,7694,1.50 47,1,2024-09-07 08:14:50:569,33529,33529,0,0,15594593529,162208055,33363,165,1,368,391481,0 47,2,2024-09-07 08:14:50:913,23368,23368,0,0,1560531,0,2558 47,3,2024-09-07 08:14:51:123,1,19,2,1,25,91,19,0 48,0,2024-09-07 08:14:51:507,4083,0.4,3990,0.5,8060,0.3,11166,1.75 48,1,2024-09-07 08:14:51:025,33071,33071,0,0,15636668545,165380871,32623,448,0,386,391516,0 48,2,2024-09-07 08:14:50:706,21230,21230,0,0,888194,0,1521 48,3,2024-09-07 08:14:50:753,1,19,4,1,21,179,19,0 49,0,2024-09-07 08:14:51:722,7667,0.4,7661,0.5,14521,0.3,19867,1.75 49,1,2024-09-07 08:14:51:025,33586,33586,0,0,16363864917,170980033,33453,133,0,382,391583,0 49,2,2024-09-07 08:14:51:802,26297,26297,0,0,1391123,0,2196 49,3,2024-09-07 08:14:51:417,1,19,2,1,274,534,19,0 50,0,2024-09-07 08:14:51:519,2964,0.2,2924,0.4,5856,0.1,8060,1.50 50,1,2024-09-07 08:14:51:018,33436,33436,0,0,16252872671,170814411,32709,546,181,370,391530,0 50,2,2024-09-07 08:14:51:078,25568,25568,0,0,1066319,0,2034 50,3,2024-09-07 08:14:51:294,1,19,78,1,335,466,19,0 51,0,2024-09-07 08:14:51:713,2835,0.2,2710,0.3,5452,0.1,7164,1.50 51,1,2024-09-07 08:14:51:686,33297,33297,0,0,16675221974,172973161,33072,192,33,367,391504,0 51,2,2024-09-07 08:14:51:326,23669,23669,0,0,894098,0,1936 51,3,2024-09-07 08:14:51:035,1,19,1,0,162,248,19,0 52,0,2024-09-07 08:14:51:444,3532,0.6,3535,1.1,7130,0.6,9567,2.25 52,1,2024-09-07 08:14:50:585,32907,32907,0,0,15401008865,165543304,31897,816,194,371,391498,0 52,2,2024-09-07 08:14:51:761,20267,20267,0,0,1468365,0,4779 52,3,2024-09-07 08:14:50:679,1,19,13,4,21,223,19,0 53,0,2024-09-07 08:14:51:744,7382,1.7,7059,1.4,14624,2.1,19828,2.50 53,1,2024-09-07 08:14:50:783,33367,33367,0,0,16514447348,177580435,32028,913,426,372,391523,0 53,2,2024-09-07 08:14:51:298,25808,25808,0,0,1151247,0,1520 53,3,2024-09-07 08:14:50:697,1,19,2,1,28,88,19,0 54,0,2024-09-07 08:14:51:625,2985,10.0,3009,10.0,6045,6.5,9061,6.25 54,1,2024-09-07 08:14:50:582,33212,33212,0,0,16229626011,170655218,32483,695,34,367,391520,0 54,2,2024-09-07 08:14:50:872,25965,25959,6,0,2071066,0,5382 54,3,2024-09-07 08:14:50:763,1,19,0,0,98,193,19,0 55,0,2024-09-07 08:14:51:773,4066,0.8,4195,1.0,8442,0.6,10686,2.50 55,1,2024-09-07 08:14:50:765,32832,32832,0,0,15554119333,166453629,31409,928,495,369,391660,0 55,2,2024-09-07 08:14:50:735,24327,24327,0,0,1316306,0,2129 55,3,2024-09-07 08:14:50:682,1,19,3,0,44,124,19,0 56,0,2024-09-07 08:14:51:581,3792,2.0,3600,1.6,7423,2.3,9340,4.25 56,1,2024-09-07 08:14:50:572,32820,32820,0,0,16491517984,181873763,30824,1414,582,385,391536,0 56,2,2024-09-07 08:14:51:307,20589,20589,0,0,1791228,0,3567 56,3,2024-09-07 08:14:51:059,1,19,2,2,297,470,19,0 57,0,2024-09-07 08:14:50:956,6200,4.8,6086,2.9,12286,6.7,16930,5.00 57,1,2024-09-07 08:14:50:994,33497,33497,0,0,15238278263,163134929,32928,558,11,370,391505,0 57,2,2024-09-07 08:14:51:338,23679,23679,0,0,2045918,0,2687 57,3,2024-09-07 08:14:51:738,1,19,31,1,359,557,19,0 58,0,2024-09-07 08:14:50:569,4827,6.2,4685,3.3,9755,7.2,12911,7.25 58,1,2024-09-07 08:14:50:579,33157,33157,0,0,16833856066,180877122,31899,1101,157,372,391482,0 58,2,2024-09-07 08:14:51:075,26152,26152,0,0,1854871,0,2464 58,3,2024-09-07 08:14:51:074,1,19,1,1,80,153,19,0 59,0,2024-09-07 08:14:51:750,5188,1.5,5229,1.4,10327,1.8,13470,3.25 59,1,2024-09-07 08:14:50:818,33208,33208,0,0,15685834724,166590714,32715,490,3,371,391515,0 59,2,2024-09-07 08:14:50:584,24504,24504,0,0,1538979,0,1708 59,3,2024-09-07 08:14:51:738,1,19,5,1,20,107,19,0 60,0,2024-09-07 08:14:51:716,3557,0.4,3571,0.7,6999,0.2,9595,1.75 60,1,2024-09-07 08:14:50:794,33655,33655,0,0,16495665789,174531182,32943,373,339,370,391672,0 60,2,2024-09-07 08:14:51:142,22206,22206,0,0,1205880,0,2142 60,3,2024-09-07 08:14:51:267,1,19,5,0,124,330,19,0 61,0,2024-09-07 08:14:51:515,5203,4.0,5190,2.6,10282,4.6,14020,4.75 61,1,2024-09-07 08:14:50:793,33095,33095,0,0,15820991946,172008696,31512,1128,455,383,391560,0 61,2,2024-09-07 08:14:51:130,21915,21915,0,0,1317996,0,1846 61,3,2024-09-07 08:14:51:692,1,19,15,1,72,162,19,0 62,0,2024-09-07 08:14:51:724,7575,2.0,7865,1.5,15210,4.2,21243,3.50 62,1,2024-09-07 08:14:51:120,33318,33317,0,1,15938093974,167247022,32902,413,2,368,391586,1 62,2,2024-09-07 08:14:51:644,26324,26324,0,0,1409349,0,2030 62,3,2024-09-07 08:14:51:144,1,19,1,1,287,396,19,0 63,0,2024-09-07 08:14:51:478,3921,1.1,3934,1.1,7763,1.8,10558,3.00 63,1,2024-09-07 08:14:50:819,33624,33623,0,1,15967531162,166223529,33480,142,1,382,391505,1 63,2,2024-09-07 08:14:50:762,24935,24935,0,0,1513044,0,2177 63,3,2024-09-07 08:14:51:733,1,19,1,1,216,537,19,0 64,0,2024-09-07 08:14:51:525,2499,0.2,2475,0.3,5019,0.1,6475,1.50 64,1,2024-09-07 08:14:50:762,33570,33570,0,0,15892355231,167907014,32798,715,57,371,391604,0 64,2,2024-09-07 08:14:51:143,23737,23737,0,0,1130630,0,2510 64,3,2024-09-07 08:14:51:141,1,19,8,1,163,340,19,0 65,0,2024-09-07 08:14:51:684,3435,0.2,3452,0.4,6630,0.2,8905,1.50 65,1,2024-09-07 08:14:50:886,33219,33219,0,0,15902052547,168177059,32614,574,31,382,391506,0 65,2,2024-09-07 08:14:51:694,20410,20410,0,0,956800,0,2374 65,3,2024-09-07 08:14:51:694,1,19,1,1,122,343,19,0 66,0,2024-09-07 08:14:51:781,7760,0.4,7853,0.6,15937,0.3,21257,1.75 66,1,2024-09-07 08:14:51:297,33820,33820,0,0,16453943627,171724476,33504,300,16,382,391537,0 66,2,2024-09-07 08:14:51:136,26135,26135,0,0,1382186,0,2934 66,3,2024-09-07 08:14:51:081,1,19,2,1,45,100,19,0 67,0,2024-09-07 08:14:51:428,2325,0.2,2329,0.3,4608,0.1,5984,1.50 67,1,2024-09-07 08:14:50:785,33343,33342,0,1,15853278852,167326179,32889,429,24,383,391567,1 67,2,2024-09-07 08:14:50:584,25885,25885,0,0,1383281,0,2104 67,3,2024-09-07 08:14:51:750,1,19,4,1,58,143,19,0 68,0,2024-09-07 08:14:50:595,3303,0.3,3290,0.7,6748,0.2,8531,2.00 68,1,2024-09-07 08:14:50:580,32804,32804,0,0,15354773477,165665539,31908,450,446,383,391495,0 68,2,2024-09-07 08:14:51:061,24078,24078,0,0,1674837,0,4883 68,3,2024-09-07 08:14:50:735,1,19,15,1,63,242,19,0 69,0,2024-09-07 08:14:51:747,3505,0.8,3421,1.0,6831,0.9,8918,2.25 69,1,2024-09-07 08:14:51:020,32415,32415,0,0,15871667350,174774482,31087,466,862,386,391484,0 69,2,2024-09-07 08:14:51:754,20233,20233,0,0,962862,0,2462 69,3,2024-09-07 08:14:50:772,1,19,1,0,36,148,19,0 70,0,2024-09-07 08:14:51:549,7202,4.4,7177,4.7,14658,1.4,20011,3.75 70,1,2024-09-07 08:14:50:818,33439,33439,0,0,16248185728,169624505,33181,241,17,369,391527,0 70,2,2024-09-07 08:14:51:326,24946,24946,0,0,1366461,0,1937 70,3,2024-09-07 08:14:50:751,1,19,5,1,69,120,19,0 71,0,2024-09-07 08:14:51:364,3789,8.9,3805,9.6,7358,10.0,10835,7.25 71,1,2024-09-07 08:14:51:634,33378,33378,0,0,16341268795,172851255,32660,593,125,368,391584,0 71,2,2024-09-07 08:14:51:075,26200,26200,0,0,1607637,0,2146 71,3,2024-09-07 08:14:51:750,1,19,1,1,174,362,19,0 72,0,2024-09-07 08:14:51:065,5241,0.5,5129,0.8,10162,0.4,13249,3.00 72,1,2024-09-07 08:14:51:047,33098,33098,0,0,15514776441,166744700,32130,959,9,370,391514,0 72,2,2024-09-07 08:14:51:765,24054,24054,0,0,2465414,0,2570 72,3,2024-09-07 08:14:51:756,1,19,2,1,325,508,19,0 73,0,2024-09-07 08:14:51:144,4093,0.4,4170,0.7,8430,0.3,10953,2.50 73,1,2024-09-07 08:14:50:773,33419,33419,0,0,15789753297,167156238,33137,281,1,370,391495,0 73,2,2024-09-07 08:14:51:757,21003,21003,0,0,1829964,0,3482 73,3,2024-09-07 08:14:50:970,1,19,274,0,274,620,19,0 74,0,2024-09-07 08:14:51:378,6381,1.3,6470,1.2,12661,1.8,17544,3.25 74,1,2024-09-07 08:14:50:679,33029,33029,0,0,15426631459,163633031,32565,462,2,384,391494,0 74,2,2024-09-07 08:14:51:007,22754,22754,0,0,1934183,0,2736 74,3,2024-09-07 08:14:51:458,1,19,13,0,192,711,19,0 75,0,2024-09-07 08:14:51:800,6827,5.1,6827,2.7,13443,6.2,18431,4.00 75,1,2024-09-07 08:14:51:595,33276,33276,0,0,15947404403,169898615,32656,569,51,383,391514,0 75,2,2024-09-07 08:14:51:350,26017,26017,0,0,2767729,0,4766 75,3,2024-09-07 08:14:51:080,1,19,1,1,38,95,19,0 76,0,2024-09-07 08:14:50:605,4752,0.4,4741,0.7,9236,0.3,12342,2.25 76,1,2024-09-07 08:14:50:818,33007,33007,0,0,15359552039,165471017,32001,621,385,384,391530,0 76,2,2024-09-07 08:14:51:062,25434,25434,0,0,1019939,0,1138 76,3,2024-09-07 08:14:51:142,1,19,16,0,140,344,19,0 77,0,2024-09-07 08:14:51:749,2925,0.3,2964,0.5,5817,0.2,7678,1.75 77,1,2024-09-07 08:14:50:845,33173,33173,0,0,16029501526,170002958,32445,661,67,383,391512,0 77,2,2024-09-07 08:14:51:297,23024,23024,0,0,1049748,0,1637 77,3,2024-09-07 08:14:51:095,1,19,19,0,131,223,19,0 78,0,2024-09-07 08:14:51:729,3927,4.4,3918,2.6,7874,5.7,10957,4.50 78,1,2024-09-07 08:14:50:616,33439,33439,0,0,15271771385,161787659,32899,503,37,370,391540,0 78,2,2024-09-07 08:14:51:405,21345,21345,0,0,1188713,0,2114 78,3,2024-09-07 08:14:51:133,1,19,43,0,112,368,19,0 79,0,2024-09-07 08:14:51:365,7325,0.5,7535,0.7,15142,0.5,19570,2.25 79,1,2024-09-07 08:14:50:572,33525,33525,0,0,15513978851,164392350,32885,634,6,372,391486,0 79,2,2024-09-07 08:14:51:074,26152,26152,0,0,1444677,0,2679 79,3,2024-09-07 08:14:50:751,1,19,1,0,289,394,19,0 80,0,2024-09-07 08:14:51:147,2895,0.3,2975,0.5,5767,0.2,8052,1.75 80,1,2024-09-07 08:14:51:644,32959,32959,0,0,15773220422,166695167,32082,828,49,372,391673,0 80,2,2024-09-07 08:14:51:092,25180,25180,0,0,1269974,0,1679 80,3,2024-09-07 08:14:50:581,1,19,59,1,148,561,19,0 81,0,2024-09-07 08:14:51:618,2786,0.2,2834,0.4,5418,0.1,7165,1.50 81,1,2024-09-07 08:14:51:658,33287,33287,0,0,15919256795,167309979,32955,325,7,383,391646,0 81,2,2024-09-07 08:14:51:130,23321,23321,0,0,1401078,0,2811 81,3,2024-09-07 08:14:51:121,1,19,1,1,116,236,19,0 82,0,2024-09-07 08:14:51:552,3568,0.3,3500,0.6,7091,0.2,9672,1.75 82,1,2024-09-07 08:14:50:603,33042,33041,0,1,15571296486,165424893,32234,476,331,384,391558,1 82,2,2024-09-07 08:14:51:695,20407,20407,0,0,860684,0,1286 82,3,2024-09-07 08:14:51:767,1,19,1,1,65,230,19,0 83,0,2024-09-07 08:14:51:543,7398,0.9,7347,0.9,14711,1.0,19881,2.00 83,1,2024-09-07 08:14:50:553,33219,33219,0,0,15973538631,169684678,32492,648,79,384,391553,0 83,2,2024-09-07 08:14:50:765,25506,25506,0,0,1537325,0,2512 83,3,2024-09-07 08:14:50:754,1,19,1,1,27,157,19,0 84,0,2024-09-07 08:14:51:795,3297,10.0,3218,10.0,6543,5.6,9660,6.00 84,1,2024-09-07 08:14:51:048,33234,33234,0,0,16005519525,171380837,32232,763,239,370,391630,0 84,2,2024-09-07 08:14:50:573,25668,25668,0,0,1866607,0,3801 84,3,2024-09-07 08:14:51:140,1,19,1,1,13,170,19,0 85,0,2024-09-07 08:14:51:079,4046,0.4,4076,0.6,8554,0.3,10774,2.00 85,1,2024-09-07 08:14:50:568,32692,32692,0,0,15682749047,170248999,31260,1141,291,384,391505,0 85,2,2024-09-07 08:14:51:035,23849,23849,0,0,1667875,0,3656 85,3,2024-09-07 08:14:50:689,1,19,1,1,88,159,19,0 86,0,2024-09-07 08:14:50:888,3676,0.3,3864,0.4,7507,0.2,9549,1.75 86,1,2024-09-07 08:14:50:839,32908,32908,0,0,15656941096,165942222,32153,726,29,367,391506,0 86,2,2024-09-07 08:14:50:858,20432,20431,1,0,1545944,0,5004 86,3,2024-09-07 08:14:50:586,1,19,1,1,32,152,19,0 87,0,2024-09-07 08:14:51:304,6399,3.3,6457,2.1,12984,4.3,17675,2.00 87,1,2024-09-07 08:14:50:553,33017,33017,0,0,15791222972,167760807,32300,669,48,369,391564,0 87,2,2024-09-07 08:14:51:075,23822,23822,0,0,1097034,0,2148 87,3,2024-09-07 08:14:51:796,1,19,8,1,322,715,19,0 88,0,2024-09-07 08:14:51:474,5570,3.0,5621,2.0,11177,4.1,14777,2.50 88,1,2024-09-07 08:14:50:598,33118,33118,0,0,15883688868,170272435,32242,784,92,368,391747,0 88,2,2024-09-07 08:14:50:714,26315,26315,0,0,1977359,0,2675 88,3,2024-09-07 08:14:51:267,1,19,1,1,77,288,19,0 89,0,2024-09-07 08:14:51:781,5329,0.5,5197,0.7,10369,0.4,13796,1.75 89,1,2024-09-07 08:14:50:560,32613,32613,0,0,15768497038,171210270,31565,541,507,384,391763,0 89,2,2024-09-07 08:14:51:135,24641,24641,0,0,1922132,0,2726 89,3,2024-09-07 08:14:51:791,1,19,2,2,153,430,19,0 90,0,2024-09-07 08:14:51:664,3459,0.3,3559,0.4,7185,0.2,9576,1.50 90,1,2024-09-07 08:14:50:594,33129,33129,0,0,15395258699,168109913,31676,1329,124,383,391522,0 90,2,2024-09-07 08:14:51:410,21899,21899,0,0,1867236,0,2635 90,3,2024-09-07 08:14:50:930,1,19,1,1,19,103,19,0 91,0,2024-09-07 08:14:50:932,5491,0.7,5333,0.8,11027,0.8,14558,2.00 91,1,2024-09-07 08:14:50:561,33156,33156,0,0,16134158964,174184541,31990,999,167,385,391914,0 91,2,2024-09-07 08:14:51:337,21474,21474,0,0,1320401,0,1997 91,3,2024-09-07 08:14:50:599,1,19,2,1,155,330,19,0 92,0,2024-09-07 08:14:51:457,7764,1.4,7896,1.2,15233,1.5,21275,2.00 92,1,2024-09-07 08:14:50:586,33312,33312,0,0,15408366051,162345918,32983,283,46,383,391569,0 92,2,2024-09-07 08:14:51:350,27075,27075,0,0,1700373,0,2279 92,3,2024-09-07 08:14:51:022,1,19,1,1,68,277,19,0 93,0,2024-09-07 08:14:51:016,3906,0.8,3945,0.8,7573,0.7,10442,2.00 93,1,2024-09-07 08:14:50:829,33085,33085,0,0,15906621643,170088139,32104,699,282,368,391689,0 93,2,2024-09-07 08:14:50:932,25034,25034,0,0,1161840,0,1795 93,3,2024-09-07 08:14:51:407,1,19,3,1,143,281,19,0 94,0,2024-09-07 08:14:51:634,2609,0.2,2513,0.4,5015,0.2,6544,1.50 94,1,2024-09-07 08:14:50:582,33067,33067,0,0,15932041071,169117769,32528,532,7,383,391850,0 94,2,2024-09-07 08:14:50:762,23359,23359,0,0,1434321,0,1446 94,3,2024-09-07 08:14:51:694,1,19,20,1,231,485,19,0 95,0,2024-09-07 08:14:51:371,3334,0.5,3324,0.7,6793,0.4,8887,2.00 95,1,2024-09-07 08:14:50:852,33160,33160,0,0,15642631922,164463733,32921,235,4,369,391590,0 95,2,2024-09-07 08:14:51:017,20592,20592,0,0,951637,0,2143 95,3,2024-09-07 08:14:51:716,1,19,4,1,45,151,19,0 96,0,2024-09-07 08:14:51:060,8113,0.4,7939,0.5,15979,0.4,21493,1.75 96,1,2024-09-07 08:14:51:592,33355,33355,0,0,15752664175,166093875,33139,213,3,385,391551,0 96,2,2024-09-07 08:14:51:279,26125,26125,0,0,1255101,0,1653 96,3,2024-09-07 08:14:51:143,1,19,14,7,31,268,19,0 97,0,2024-09-07 08:14:51:331,2319,0.2,2336,0.3,4645,0.1,5957,1.50 97,1,2024-09-07 08:14:50:793,33333,33333,0,0,16254323462,172303434,32408,545,380,367,391547,0 97,2,2024-09-07 08:14:50:628,25500,25500,0,0,1215174,0,1663 97,3,2024-09-07 08:14:50:577,1,19,97,1,97,266,19,0 98,0,2024-09-07 08:14:51:711,3363,0.2,3271,0.4,6622,0.2,8577,1.50 98,1,2024-09-07 08:14:50:573,33079,33079,0,0,16204865658,169221014,32945,132,2,384,391588,0 98,2,2024-09-07 08:14:50:770,24038,24038,0,0,1473173,0,2410 98,3,2024-09-07 08:14:50:701,1,19,1,1,155,239,19,0 99,0,2024-09-07 08:14:51:509,3452,0.2,3409,0.4,6826,0.2,8894,1.50 99,1,2024-09-07 08:14:51:727,33959,33959,0,0,15521635592,161584943,33890,66,3,382,391602,0 99,2,2024-09-07 08:14:51:424,20368,20368,0,0,1115911,0,1732 99,3,2024-09-07 08:14:50:581,1,19,1,1,65,210,19,0 100,0,2024-09-07 08:14:51:478,7049,1.7,7124,5.0,14185,5.4,19769,2.75 100,1,2024-09-07 08:14:50:564,33078,33078,0,0,15710731304,172129325,31563,1256,259,382,391505,0 100,2,2024-09-07 08:14:51:818,24830,24819,11,0,1861863,0,5417 100,3,2024-09-07 08:14:51:732,1,19,1,1,443,976,19,0 101,0,2024-09-07 08:14:51:716,4040,9.1,3910,6.7,7955,9.2,11736,4.75 101,1,2024-09-07 08:14:50:556,32920,32920,0,0,15973222817,171633096,31958,538,424,370,391531,0 101,2,2024-09-07 08:14:51:762,26217,26217,0,0,2025465,0,4644 101,3,2024-09-07 08:14:50:945,1,19,5,1,448,580,19,0 102,0,2024-09-07 08:14:50:967,5035,0.9,5173,0.8,10284,0.6,13207,2.25 102,1,2024-09-07 08:14:51:153,33414,33414,0,0,15876315112,168272247,32874,530,10,371,391621,0 102,2,2024-09-07 08:14:51:739,24586,24586,0,0,1197233,0,1699 102,3,2024-09-07 08:14:51:616,1,19,2,0,410,598,19,0 103,0,2024-09-07 08:14:51:661,4256,0.3,4257,0.5,8099,0.2,10969,1.50 103,1,2024-09-07 08:14:51:642,33442,33442,0,0,16129213674,169708395,33081,314,47,381,391680,0 103,2,2024-09-07 08:14:50:583,21629,21629,0,0,1102034,0,1484 103,3,2024-09-07 08:14:50:764,1,19,2,1,486,736,19,0 104,0,2024-09-07 08:14:51:049,6422,1.9,6510,1.5,12500,2.4,17428,2.25 104,1,2024-09-07 08:14:51:605,33011,33011,0,0,15243387665,166795907,31564,1131,316,369,391948,0 104,2,2024-09-07 08:14:51:667,22293,22293,0,0,1827903,0,3941 104,3,2024-09-07 08:14:51:416,1,19,8,8,25,272,19,0 105,0,2024-09-07 08:14:51:061,6636,5.2,6411,2.9,13221,7.6,18148,4.75 105,1,2024-09-07 08:14:50:558,32761,32761,0,0,15751328215,172209450,31142,1054,565,367,391797,0 105,2,2024-09-07 08:14:51:329,26365,26365,0,0,2113704,0,3314 105,3,2024-09-07 08:14:51:304,1,19,8,1,169,428,19,0 106,0,2024-09-07 08:14:50:953,4504,0.4,4544,0.8,9319,0.3,12480,2.00 106,1,2024-09-07 08:14:51:753,32905,32905,0,0,15271768670,165699321,31629,1074,202,371,391767,0 106,2,2024-09-07 08:14:50:766,24835,24835,0,0,1755400,0,1818 106,3,2024-09-07 08:14:50:685,1,19,1,1,171,239,19,0 107,0,2024-09-07 08:14:51:229,2953,0.3,2895,0.6,5797,0.2,7622,1.75 107,1,2024-09-07 08:14:50:587,33041,33041,0,0,15709002335,168792830,32166,749,126,382,391848,0 107,2,2024-09-07 08:14:51:300,22779,22778,1,0,1509882,0,5024 107,3,2024-09-07 08:14:51:756,1,19,3,1,75,304,19,0 108,0,2024-09-07 08:14:51:790,4107,2.1,4108,1.4,7998,2.7,11312,2.25 108,1,2024-09-07 08:14:51:297,32952,32952,0,0,15683790738,167388021,31942,906,104,372,391563,0 108,2,2024-09-07 08:14:51:769,21111,21111,0,0,1425458,0,2647 108,3,2024-09-07 08:14:51:329,1,19,31,1,60,291,19,0 109,0,2024-09-07 08:14:51:861,7584,0.4,7667,0.6,14699,0.4,19930,1.75 109,1,2024-09-07 08:14:50:586,33151,33151,0,0,16199495640,172807132,32473,626,52,386,391517,0 109,2,2024-09-07 08:14:50:922,25250,25250,0,0,1832090,0,3617 109,3,2024-09-07 08:14:51:142,1,19,14,1,120,450,19,0 110,0,2024-09-07 08:14:51:810,2942,0.3,2892,0.5,5941,0.2,8033,1.75 110,1,2024-09-07 08:14:51:667,33541,33541,0,0,15859285815,167423524,32928,340,273,371,391564,0 110,2,2024-09-07 08:14:51:317,25548,25548,0,0,1651341,0,2915 110,3,2024-09-07 08:14:50:691,1,19,5,1,183,314,19,0 111,0,2024-09-07 08:14:51:431,2715,0.2,2718,0.3,5531,0.1,7140,1.50 111,1,2024-09-07 08:14:51:002,33300,33300,0,0,16462731753,171158061,33156,132,12,383,391597,0 111,2,2024-09-07 08:14:51:127,23966,23966,0,0,1343571,0,2256 111,3,2024-09-07 08:14:50:915,1,19,26,1,106,467,19,0 112,0,2024-09-07 08:14:50:943,3509,0.5,3569,0.5,7145,0.2,9591,1.50 112,1,2024-09-07 08:14:50:844,33440,33440,0,0,16210972002,170113026,33210,229,1,382,391512,0 112,2,2024-09-07 08:14:51:137,20286,20286,0,0,1095437,0,3152 112,3,2024-09-07 08:14:50:591,1,19,11,1,282,446,19,0 113,0,2024-09-07 08:14:50:901,7277,0.4,7249,0.6,14771,0.4,20026,1.75 113,1,2024-09-07 08:14:51:691,33410,33410,0,0,16371464591,170623056,33159,250,1,368,391661,0 113,2,2024-09-07 08:14:51:309,25484,25484,0,0,1141028,0,1597 113,3,2024-09-07 08:14:50:691,1,19,2,1,130,324,19,0 114,0,2024-09-07 08:14:50:919,3701,7.3,3783,5.8,7302,4.0,10130,2.75 114,1,2024-09-07 08:14:50:719,33560,33560,0,0,16080733161,167358333,33356,204,0,383,391513,0 114,2,2024-09-07 08:14:50:880,26019,26019,0,0,1506857,0,3433 114,3,2024-09-07 08:14:51:277,1,19,4,1,159,335,19,0 115,0,2024-09-07 08:14:50:561,4148,0.2,4224,0.5,8417,0.1,10826,1.50 115,1,2024-09-07 08:14:50:572,33237,33237,0,0,16333512664,172250891,32662,467,108,384,391495,0 115,2,2024-09-07 08:14:51:126,24811,24811,0,0,1112660,0,1535 115,3,2024-09-07 08:14:51:004,1,19,1,1,40,103,19,0 116,0,2024-09-07 08:14:51:737,3722,0.3,3723,0.5,7549,0.2,9506,1.75 116,1,2024-09-07 08:14:50:808,32889,32889,0,0,16487738163,179177667,31745,308,836,382,391573,0 116,2,2024-09-07 08:14:51:751,20755,20755,0,0,1067269,0,2154 116,3,2024-09-07 08:14:50:928,1,19,37,2,132,336,19,0 117,0,2024-09-07 08:14:51:144,6519,3.4,6511,2.1,12954,4.3,17675,2.25 117,1,2024-09-07 08:14:51:586,33265,33265,0,0,15898199983,169772536,32366,680,219,371,391601,0 117,2,2024-09-07 08:14:51:124,23814,23814,0,0,1631271,0,3700 117,3,2024-09-07 08:14:51:060,1,19,19,1,490,1010,19,0 118,0,2024-09-07 08:14:51:800,5443,2.5,5492,1.7,11357,3.8,14715,3.00 118,1,2024-09-07 08:14:50:588,33293,33293,0,0,15564094031,163690730,32973,319,1,369,391503,0 118,2,2024-09-07 08:14:51:596,26535,26535,0,0,1385783,0,1450 118,3,2024-09-07 08:14:51:765,1,19,3,1,79,264,19,0 119,0,2024-09-07 08:14:51:375,5009,0.4,5126,0.7,10460,0.2,13711,1.75 119,1,2024-09-07 08:14:50:558,32951,32951,0,0,16342473409,173785417,32112,707,132,371,391576,0 119,2,2024-09-07 08:14:51:269,24727,24727,0,0,1362365,0,2222 119,3,2024-09-07 08:14:51:325,1,19,3,1,375,470,19,0 120,0,2024-09-07 08:14:51:571,3532,0.3,3569,0.6,7090,0.2,9618,1.75 120,1,2024-09-07 08:14:50:889,33132,33132,0,0,15670333114,169009377,32302,822,8,369,391702,0 120,2,2024-09-07 08:14:50:786,21932,21931,1,0,2259309,0,5281 120,3,2024-09-07 08:14:51:294,1,19,10,2,241,608,19,0 121,0,2024-09-07 08:14:51:695,5256,4.4,5322,2.5,10562,5.1,14528,3.00 121,1,2024-09-07 08:14:51:659,32855,32855,0,0,15433848084,168419912,31640,978,237,370,391633,0 121,2,2024-09-07 08:14:51:127,21902,21902,0,0,1996956,0,4127 121,3,2024-09-07 08:14:50:735,1,19,4,1,71,214,19,0 122,0,2024-09-07 08:14:51:786,7542,4.2,7292,3.9,15191,4.4,21572,3.00 122,1,2024-09-07 08:14:50:875,32378,32378,0,0,15944333100,175892128,30596,1415,367,369,392130,0 122,2,2024-09-07 08:14:51:328,26288,26288,0,0,2550936,0,2574 122,3,2024-09-07 08:14:50:597,1,19,4,1,226,1059,19,0 123,0,2024-09-07 08:14:50:980,3850,0.5,3732,0.8,7767,0.3,10430,2.00 123,1,2024-09-07 08:14:50:567,32687,32687,0,0,15658207680,174387894,30295,1938,454,371,391823,0 123,2,2024-09-07 08:14:51:028,24558,24557,1,0,2255414,0,5215 123,3,2024-09-07 08:14:51:135,1,19,5,1,160,438,19,0 124,0,2024-09-07 08:14:50:958,2595,0.2,2566,0.3,5027,0.1,6544,1.50 124,1,2024-09-07 08:14:51:036,33489,33489,0,0,15742644785,164543384,33355,133,1,370,392129,0 124,2,2024-09-07 08:14:51:012,23327,23327,0,0,1262755,0,2477 124,3,2024-09-07 08:14:50:760,1,19,34,2,490,1005,19,0 125,0,2024-09-07 08:14:51:434,3349,0.3,3305,0.5,6815,0.2,8912,1.75 125,1,2024-09-07 08:14:50:856,33148,33148,0,0,15681962832,167248996,32374,754,20,385,391702,0 125,2,2024-09-07 08:14:51:119,20620,20620,0,0,1169324,0,2180 125,3,2024-09-07 08:14:51:126,1,19,10,1,93,393,19,0 126,0,2024-09-07 08:14:51:461,7898,0.7,8131,0.8,15583,0.7,21423,1.75 126,1,2024-09-07 08:14:50:554,33363,33363,0,0,16023216387,168816904,32939,416,8,368,391719,0 126,2,2024-09-07 08:14:50:613,26140,26140,0,0,1506511,0,1557 126,3,2024-09-07 08:14:50:920,1,19,1,1,45,170,19,0 127,0,2024-09-07 08:14:51:614,2324,0.2,2316,0.3,4664,0.1,5966,1.50 127,1,2024-09-07 08:14:50:571,33022,33022,0,0,15192488110,160664388,32320,680,22,366,391488,0 127,2,2024-09-07 08:14:50:653,25670,25670,0,0,1380652,0,1744 127,3,2024-09-07 08:14:51:269,1,19,2,0,99,228,19,0 128,0,2024-09-07 08:14:51:549,3313,0.3,3333,0.5,6586,0.2,8510,1.75 128,1,2024-09-07 08:14:51:614,33340,33340,0,0,16262555737,169456112,33165,171,4,370,391605,0 128,2,2024-09-07 08:14:51:385,23700,23700,0,0,1498710,0,1712 128,3,2024-09-07 08:14:50:774,1,19,3,1,20,207,19,0 129,0,2024-09-07 08:14:51:016,3402,0.4,3472,0.6,6906,0.3,8859,2.00 129,1,2024-09-07 08:14:50:580,33097,33097,0,0,15722858946,167990550,32172,660,265,382,391835,0 129,2,2024-09-07 08:14:50:703,20270,20270,0,0,852369,0,2289 129,3,2024-09-07 08:14:50:689,1,19,18,1,82,231,19,0 130,0,2024-09-07 08:14:51:729,7392,1.0,7308,1.1,14647,1.6,20231,2.00 130,1,2024-09-07 08:14:50:585,33531,33531,0,0,16588522248,174009549,33255,275,1,381,391516,0 130,2,2024-09-07 08:14:51:133,24904,24904,0,0,1172121,0,1539 130,3,2024-09-07 08:14:51:291,1,19,14,1,66,166,19,0 131,0,2024-09-07 08:14:51:963,4418,3.2,4282,2.4,8823,3.8,11751,2.75 131,1,2024-09-07 08:14:51:836,33355,33355,0,0,15535957738,164880165,32735,449,171,385,391727,0 131,2,2024-09-07 08:14:50:573,26015,26015,0,0,1381277,0,1766 131,3,2024-09-07 08:14:51:692,1,19,3,1,23,85,19,0 132,0,2024-09-07 08:14:51:461,5031,0.5,5171,1.0,10239,0.5,13270,2.00 132,1,2024-09-07 08:14:50:584,32829,32829,0,0,15315083079,165820408,31525,1042,262,383,391533,0 132,2,2024-09-07 08:14:50:701,24288,24288,0,0,2776904,0,4606 132,3,2024-09-07 08:14:51:692,1,19,12,3,356,572,19,0 133,0,2024-09-07 08:14:51:599,4082,0.3,4170,0.5,8488,0.2,10910,1.75 133,1,2024-09-07 08:14:50:586,32737,32737,0,0,15387898202,164306664,31969,764,4,385,391492,0 133,2,2024-09-07 08:14:51:089,21737,21737,0,0,1115068,0,1707 133,3,2024-09-07 08:14:51:301,1,19,1,1,26,94,19,0 134,0,2024-09-07 08:14:50:963,6362,0.4,6345,0.8,12816,0.4,17410,1.75 134,1,2024-09-07 08:14:50:593,33123,33123,0,0,15824664773,172318211,31619,758,746,366,391508,0 134,2,2024-09-07 08:14:51:773,22411,22411,0,0,1018839,0,1739 134,3,2024-09-07 08:14:50:752,1,19,53,1,63,339,19,0 135,0,2024-09-07 08:14:51:113,6735,3.6,6706,2.9,14146,3.5,18156,3.00 135,1,2024-09-07 08:14:51:596,33074,33074,0,0,15949179185,167409552,32705,353,16,383,391513,0 135,2,2024-09-07 08:14:50:696,26336,26336,0,0,1587359,0,3016 135,3,2024-09-07 08:14:51:007,1,19,1,1,68,204,19,0 136,0,2024-09-07 08:14:51:636,4705,0.6,4640,0.9,9359,0.5,12520,2.50 136,1,2024-09-07 08:14:51:462,33344,33344,0,0,15862311779,172587899,32012,1191,141,386,391501,0 136,2,2024-09-07 08:14:51:135,25297,25297,0,0,1417086,0,1692 136,3,2024-09-07 08:14:51:106,1,19,1,1,108,345,19,0 137,0,2024-09-07 08:14:50:946,2983,0.3,2972,0.7,5708,0.2,7634,2.00 137,1,2024-09-07 08:14:50:581,33210,33210,0,0,15684958887,168459874,32382,785,43,370,391489,0 137,2,2024-09-07 08:14:51:739,22373,22373,0,0,2091310,0,2294 137,3,2024-09-07 08:14:50:778,1,19,115,1,227,435,19,0 138,0,2024-09-07 08:14:51:759,3991,1.1,4051,1.2,8206,1.3,11331,2.75 138,1,2024-09-07 08:14:51:687,33058,33058,0,0,15874018196,172757012,31628,1199,231,371,391572,0 138,2,2024-09-07 08:14:50:587,21159,21159,0,0,1572628,0,3263 138,3,2024-09-07 08:14:50:611,1,19,3,1,68,236,19,0 139,0,2024-09-07 08:14:51:391,7079,5.5,7026,3.3,14450,6.1,19423,4.25 139,1,2024-09-07 08:14:50:576,32767,32767,0,0,15423854051,173346273,30794,1290,683,385,391511,0 139,2,2024-09-07 08:14:50:707,26026,26026,0,0,2105924,0,2425 139,3,2024-09-07 08:14:51:662,1,19,120,1,120,437,19,0 140,0,2024-09-07 08:14:51:595,2981,0.7,2891,0.8,5889,0.5,8038,2.00 140,1,2024-09-07 08:14:51:538,33395,33395,0,0,16076544388,167848559,33221,174,0,366,391482,0 140,2,2024-09-07 08:14:50:691,25258,25258,0,0,1792938,0,2707 140,3,2024-09-07 08:14:50:776,1,19,15,1,25,193,19,0 141,0,2024-09-07 08:14:51:717,2672,0.2,2775,0.4,5388,0.1,7130,1.50 141,1,2024-09-07 08:14:50:866,33331,33331,0,0,16647495652,176272880,32512,589,230,382,391532,0 141,2,2024-09-07 08:14:51:687,23771,23771,0,0,1277758,0,2342 141,3,2024-09-07 08:14:51:047,1,19,1,0,42,116,19,0 142,0,2024-09-07 08:14:51:316,3595,0.3,3568,0.6,7150,0.2,9579,2.00 142,1,2024-09-07 08:14:50:590,33218,33218,0,0,15860497622,166688597,33061,157,0,385,391576,0 142,2,2024-09-07 08:14:51:303,20129,20129,0,0,1335315,0,2293 142,3,2024-09-07 08:14:51:748,1,19,1,1,53,140,19,0 143,0,2024-09-07 08:14:51:478,7283,1.6,7213,1.3,14668,1.9,19748,2.25 143,1,2024-09-07 08:14:50:570,33057,33057,0,0,16208512723,170928843,32372,664,21,370,391608,0 143,2,2024-09-07 08:14:50:779,25393,25393,0,0,1602175,0,2572 143,3,2024-09-07 08:14:51:141,1,19,6,1,236,580,19,0 144,0,2024-09-07 08:14:51:501,3316,7.3,3424,10.0,6849,7.2,10008,3.25 144,1,2024-09-07 08:14:50:575,33189,33189,0,0,15561730423,165335838,32583,558,48,383,391562,0 144,2,2024-09-07 08:14:51:759,25828,25828,0,0,1263464,0,1980 144,3,2024-09-07 08:14:51:745,1,19,1,1,112,434,19,0 145,0,2024-09-07 08:14:51:381,4052,0.5,4093,0.7,8453,0.4,10743,2.00 145,1,2024-09-07 08:14:50:553,32955,32955,0,0,15396301621,162615638,32708,247,0,384,391603,0 145,2,2024-09-07 08:14:51:429,24289,24289,0,0,2219470,0,3903 145,3,2024-09-07 08:14:50:900,1,19,1,1,151,555,19,0 146,0,2024-09-07 08:14:51:619,3770,0.3,3780,0.5,7553,0.2,9488,1.75 146,1,2024-09-07 08:14:51:610,33087,33087,0,0,15858280577,172910590,31481,1308,298,371,391512,0 146,2,2024-09-07 08:14:51:707,20447,20447,0,0,1383369,0,2149 146,3,2024-09-07 08:14:51:280,1,19,2,0,54,206,19,0 147,0,2024-09-07 08:14:51:726,6436,3.9,6368,2.3,12681,4.6,17762,2.75 147,1,2024-09-07 08:14:51:390,33277,33277,0,0,15797082878,166227554,32811,404,62,369,391791,0 147,2,2024-09-07 08:14:51:030,24072,24072,0,0,1293346,0,2526 147,3,2024-09-07 08:14:50:916,1,19,10,1,141,413,19,0 0,0,2024-09-07 08:15:01:758,3719,0.5,3740,0.7,7854,0.4,10330,2.00 0,1,2024-09-07 08:15:00:807,34843,34843,0,0,16749507177,178335005,34205,533,105,373,391658,0 0,2,2024-09-07 08:15:01:068,22886,22886,0,0,882757,0,958 0,3,2024-09-07 08:15:00:989,1,20,8,2,247,501,20,0 1,0,2024-09-07 08:15:01:793,5656,1.1,5544,1.1,11369,1.7,15071,2.00 1,1,2024-09-07 08:15:00:563,34593,34593,0,0,15712345020,166039908,34127,431,35,372,391857,0 1,2,2024-09-07 08:15:00:670,22974,22974,0,0,1229593,0,1544 1,3,2024-09-07 08:15:01:301,1,20,4,1,167,363,20,0 2,0,2024-09-07 08:15:01:587,7865,3.0,8122,2.0,15614,4.7,21350,4.25 2,1,2024-09-07 08:15:00:861,34812,34812,0,0,16190881344,169570842,34717,95,0,382,391527,0 2,2,2024-09-07 08:15:01:268,27722,27722,0,0,1848156,0,3304 2,3,2024-09-07 08:15:00:690,1,20,1,1,87,307,20,0 3,0,2024-09-07 08:15:01:765,4205,0.4,4218,0.7,8437,0.3,11270,2.00 3,1,2024-09-07 08:15:01:638,34989,34989,0,0,17270130076,182400015,34411,564,14,383,391494,0 3,2,2024-09-07 08:15:01:151,26229,26229,0,0,1323968,0,2192 3,3,2024-09-07 08:15:01:755,1,20,1,1,23,78,20,0 4,0,2024-09-07 08:15:01:796,2632,0.2,2773,0.4,5374,0.2,7010,1.50 4,1,2024-09-07 08:15:00:592,34985,34985,0,0,16407347001,175821051,33986,656,343,372,391682,0 4,2,2024-09-07 08:15:01:033,24322,24322,0,0,1912321,0,4528 4,3,2024-09-07 08:15:01:027,1,20,8,8,287,577,20,0 5,0,2024-09-07 08:15:01:438,3657,0.3,3630,0.4,7208,0.2,9587,1.75 5,1,2024-09-07 08:15:00:763,34870,34870,0,0,17276859858,181675373,34341,437,92,368,392005,0 5,2,2024-09-07 08:15:01:834,22050,22050,0,0,1046239,0,1912 5,3,2024-09-07 08:15:01:740,1,20,172,1,172,425,20,0 6,0,2024-09-07 08:15:00:923,8380,0.7,8305,0.9,16635,0.8,22329,2.00 6,1,2024-09-07 08:15:00:747,35067,35067,0,0,16280499849,170198491,34813,250,4,382,391603,0 6,2,2024-09-07 08:15:01:117,27516,27516,0,0,1591735,0,1686 6,3,2024-09-07 08:15:01:282,1,20,10,1,277,528,20,0 7,0,2024-09-07 08:15:01:567,2491,0.2,2456,0.3,4926,0.1,6473,1.50 7,1,2024-09-07 08:15:00:851,34592,34592,0,0,16685178943,176062882,34052,476,64,384,391558,0 7,2,2024-09-07 08:15:00:779,26729,26729,0,0,1490933,0,2981 7,3,2024-09-07 08:15:00:851,1,20,2,0,46,169,20,0 8,0,2024-09-07 08:15:01:362,3518,0.3,3437,0.5,6754,0.2,8747,1.50 8,1,2024-09-07 08:15:01:016,34163,34163,0,0,16622770277,188257829,31315,1615,1233,368,391724,0 8,2,2024-09-07 08:15:00:796,24716,24716,0,0,2063636,0,2986 8,3,2024-09-07 08:15:00:593,1,20,13,1,229,524,20,0 9,0,2024-09-07 08:15:01:111,3616,0.3,3483,0.6,7253,0.2,9259,1.75 9,1,2024-09-07 08:15:00:555,34472,34472,0,0,16576617407,181162638,32852,1142,478,370,391496,0 9,2,2024-09-07 08:15:01:084,21511,21511,0,0,1216714,0,1673 9,3,2024-09-07 08:15:01:756,1,20,3,0,50,221,20,0 10,0,2024-09-07 08:15:01:624,7917,0.5,7880,0.6,15784,0.5,21372,1.75 10,1,2024-09-07 08:15:00:595,34612,34612,0,0,16561267959,182430753,32488,1744,380,383,391541,0 10,2,2024-09-07 08:15:00:763,25666,25666,0,0,2351016,0,2940 10,3,2024-09-07 08:15:00:873,1,20,2,0,118,214,20,0 11,0,2024-09-07 08:15:01:028,4156,6.6,4070,4.1,8520,8.1,12009,3.75 11,1,2024-09-07 08:15:00:575,34409,34409,0,0,16687001577,183734116,32427,1246,736,384,391485,0 11,2,2024-09-07 08:15:01:138,27437,27437,0,0,1960706,0,2635 11,3,2024-09-07 08:15:01:298,1,20,6,1,720,932,20,0 12,0,2024-09-07 08:15:00:961,5296,0.3,5282,0.5,10479,0.2,13465,1.75 12,1,2024-09-07 08:15:00:944,34524,34524,0,0,16216981681,172602422,33963,540,21,372,391611,0 12,2,2024-09-07 08:15:01:546,26140,26140,0,0,1945214,0,2254 12,3,2024-09-07 08:15:01:059,1,20,8,2,179,436,20,0 13,0,2024-09-07 08:15:01:391,4371,0.4,4404,0.7,8775,0.3,11466,1.75 13,1,2024-09-07 08:15:01:539,35193,35193,0,0,16656387649,176496051,34763,391,39,385,391563,0 13,2,2024-09-07 08:15:00:599,22373,22373,0,0,1018644,0,2239 13,3,2024-09-07 08:15:01:771,1,20,27,1,153,415,20,0 14,0,2024-09-07 08:15:00:573,6953,0.5,6937,0.7,13570,0.5,18525,1.75 14,1,2024-09-07 08:15:01:574,35191,35191,0,0,16578342560,174700081,34618,545,28,365,391481,0 14,2,2024-09-07 08:15:00:764,23950,23950,0,0,1707350,0,2077 14,3,2024-09-07 08:15:01:115,1,20,1,1,40,112,20,0 15,0,2024-09-07 08:15:01:586,6757,5.3,6739,2.9,13451,7.1,18375,4.25 15,1,2024-09-07 08:15:01:610,34942,34942,0,0,16802703214,176812183,34632,308,2,381,391536,0 15,2,2024-09-07 08:15:00:999,28167,28167,0,0,1150700,0,1040 15,3,2024-09-07 08:15:01:416,1,20,2,0,538,885,20,0 16,0,2024-09-07 08:15:00:945,4914,0.4,4930,0.7,9917,0.3,13194,2.50 16,1,2024-09-07 08:15:00:574,35131,35131,0,0,16535480956,175099691,34580,548,3,373,391543,0 16,2,2024-09-07 08:15:01:438,25838,25838,0,0,1808848,0,4719 16,3,2024-09-07 08:15:01:151,1,20,9,3,231,711,20,0 17,0,2024-09-07 08:15:01:791,3239,0.3,3258,0.5,6170,0.2,8255,1.75 17,1,2024-09-07 08:15:00:574,34807,34807,0,0,16354213562,174351067,34181,490,136,370,391671,0 17,2,2024-09-07 08:15:01:666,23795,23795,0,0,1262209,0,1865 17,3,2024-09-07 08:15:00:574,1,20,1,0,268,583,20,0 18,0,2024-09-07 08:15:00:948,4449,1.1,4542,1.1,9060,1.4,12473,2.75 18,1,2024-09-07 08:15:01:638,34518,34518,0,0,16187400024,171869216,33921,512,85,370,391518,0 18,2,2024-09-07 08:15:01:756,22131,22131,0,0,1396761,0,2688 18,3,2024-09-07 08:15:00:896,1,20,2,0,142,312,20,0 19,0,2024-09-07 08:15:01:554,7736,1.0,7830,1.0,15449,1.4,20452,2.75 19,1,2024-09-07 08:15:00:567,34760,34760,0,0,16529175550,175847302,33892,816,52,367,391512,0 19,2,2024-09-07 08:15:01:756,27781,27781,0,0,1796700,0,3599 19,3,2024-09-07 08:15:01:129,1,20,0,0,29,64,20,0 20,0,2024-09-07 08:15:01:367,3324,0.2,3401,0.4,6465,0.2,8952,1.50 20,1,2024-09-07 08:15:00:585,34876,34876,0,0,17205632345,180670247,34505,370,1,373,391488,0 20,2,2024-09-07 08:15:00:953,26451,26451,0,0,1427430,0,1616 20,3,2024-09-07 08:15:00:590,1,20,13,1,99,365,20,0 21,0,2024-09-07 08:15:01:158,3003,0.2,2963,0.4,5817,0.2,7621,1.50 21,1,2024-09-07 08:15:01:545,34805,34805,0,0,15906904271,168904226,34195,584,26,368,391962,0 21,2,2024-09-07 08:15:01:070,25076,25076,0,0,1524582,0,3747 21,3,2024-09-07 08:15:01:404,1,20,7,1,33,229,20,0 22,0,2024-09-07 08:15:01:735,3899,0.3,3983,0.6,7894,0.2,10556,1.75 22,1,2024-09-07 08:15:01:031,34702,34702,0,0,16120926973,175002775,33340,1055,307,382,391667,0 22,2,2024-09-07 08:15:00:760,21841,21841,0,0,877414,0,1503 22,3,2024-09-07 08:15:01:067,1,20,30,0,48,146,20,0 23,0,2024-09-07 08:15:01:375,7636,0.4,7854,0.6,15477,0.4,21121,1.75 23,1,2024-09-07 08:15:01:004,35445,35445,0,0,17108461758,177686293,35305,140,0,368,391482,0 23,2,2024-09-07 08:15:01:092,27446,27446,0,0,1299134,0,2078 23,3,2024-09-07 08:15:01:756,1,20,2,0,141,234,20,0 24,0,2024-09-07 08:15:00:837,4042,1.4,4045,1.6,8157,2.2,10532,1.75 24,1,2024-09-07 08:15:00:582,35116,35116,0,0,16499085284,175743432,34604,508,4,371,391640,0 24,2,2024-09-07 08:15:01:069,26548,26548,0,0,2667941,0,2942 24,3,2024-09-07 08:15:01:693,1,20,13,2,234,448,20,0 25,0,2024-09-07 08:15:01:401,4378,0.3,4339,0.5,8344,0.2,11037,1.50 25,1,2024-09-07 08:15:00:580,34901,34901,0,0,16325824561,173830519,34337,549,15,373,391544,0 25,2,2024-09-07 08:15:01:617,25222,25222,0,0,2023797,0,1963 25,3,2024-09-07 08:15:01:000,1,20,2,1,50,256,20,0 26,0,2024-09-07 08:15:01:749,3758,0.2,3684,0.4,7728,0.2,9528,1.50 26,1,2024-09-07 08:15:01:552,34404,34404,0,0,16335676682,182870428,32177,1529,698,383,391496,0 26,2,2024-09-07 08:15:00:862,21755,21755,0,0,1910340,0,2809 26,3,2024-09-07 08:15:01:716,1,20,2,1,796,896,20,0 27,0,2024-09-07 08:15:01:734,6860,0.5,6914,0.7,13885,0.5,18708,1.75 27,1,2024-09-07 08:15:01:679,35439,35439,0,0,16951614088,177245697,35275,163,1,381,391526,0 27,2,2024-09-07 08:15:00:872,24626,24626,0,0,2822119,0,3146 27,3,2024-09-07 08:15:01:018,1,20,1,1,86,280,20,0 28,0,2024-09-07 08:15:01:412,5665,2.4,5733,1.8,11486,3.9,15058,2.25 28,1,2024-09-07 08:15:00:797,35207,35207,0,0,16617257742,174841481,34982,225,0,383,391489,0 28,2,2024-09-07 08:15:01:765,27534,27534,0,0,1545364,0,2609 28,3,2024-09-07 08:15:01:776,1,20,18,3,502,707,20,0 29,0,2024-09-07 08:15:01:362,5545,0.3,5440,0.6,10634,0.2,14299,1.75 29,1,2024-09-07 08:15:01:570,35215,35215,0,0,16639094904,175029772,34608,435,172,371,391621,0 29,2,2024-09-07 08:15:00:861,26421,26421,0,0,1255229,0,2026 29,3,2024-09-07 08:15:00:967,1,20,2,1,105,355,20,0 30,0,2024-09-07 08:15:01:465,3835,0.3,3755,0.5,7746,0.2,10443,1.75 30,1,2024-09-07 08:15:00:575,34820,34820,0,0,17084885310,181428703,34255,503,62,382,391524,0 30,2,2024-09-07 08:15:01:283,22899,22899,0,0,980364,0,1567 30,3,2024-09-07 08:15:00:581,1,20,1,0,110,162,20,0 31,0,2024-09-07 08:15:01:774,5667,0.6,5736,0.8,11497,0.6,15285,2.00 31,1,2024-09-07 08:15:00:565,34967,34967,0,0,16592891695,171931108,34883,84,0,356,391478,0 31,2,2024-09-07 08:15:01:282,23457,23457,0,0,1715874,0,2319 31,3,2024-09-07 08:15:01:706,1,20,2,0,40,98,20,0 32,0,2024-09-07 08:15:01:445,8165,2.9,8280,1.6,16623,4.1,22693,2.00 32,1,2024-09-07 08:15:00:806,35012,35012,0,0,16677759280,174625744,34847,163,2,383,391595,0 32,2,2024-09-07 08:15:00:941,27990,27990,0,0,1373071,0,1970 32,3,2024-09-07 08:15:01:018,1,20,8,1,37,210,20,0 33,0,2024-09-07 08:15:01:510,4282,0.2,4237,0.4,8460,0.2,11307,1.50 33,1,2024-09-07 08:15:00:576,34592,34592,0,0,16815685947,176197926,34174,406,12,369,391497,0 33,2,2024-09-07 08:15:00:765,25997,25997,0,0,1754599,0,3364 33,3,2024-09-07 08:15:00:895,1,20,1,1,27,111,20,0 34,0,2024-09-07 08:15:00:938,2666,0.2,2729,0.3,5307,0.1,6905,1.50 34,1,2024-09-07 08:15:01:045,35031,35031,0,0,16549403230,172279463,34842,188,1,369,391481,0 34,2,2024-09-07 08:15:00:767,24228,24228,0,0,1689440,0,3073 34,3,2024-09-07 08:15:01:691,1,20,1,0,46,128,20,0 35,0,2024-09-07 08:15:00:870,3633,0.3,3597,0.5,7208,0.2,9625,1.75 35,1,2024-09-07 08:15:01:072,34785,34785,0,0,16806078283,178227575,33740,740,305,385,391587,0 35,2,2024-09-07 08:15:01:589,22116,22116,0,0,1164983,0,2276 35,3,2024-09-07 08:15:00:910,1,20,7,1,219,486,20,0 36,0,2024-09-07 08:15:01:543,8284,1.0,8191,1.1,16723,1.1,22217,2.50 36,1,2024-09-07 08:15:00:602,34357,34357,0,0,16274911377,177907845,32417,1184,756,370,391535,0 36,2,2024-09-07 08:15:01:754,27572,27572,0,0,1442753,0,1272 36,3,2024-09-07 08:15:00:864,1,20,8,1,54,308,20,0 37,0,2024-09-07 08:15:01:379,2492,0.2,2531,0.3,4987,0.1,6482,1.50 37,1,2024-09-07 08:15:00:573,34224,34217,0,7,16463085021,183825823,32093,617,1507,368,391507,0 37,2,2024-09-07 08:15:01:144,27056,27056,0,0,1320908,0,1448 37,3,2024-09-07 08:15:01:775,1,20,14,0,31,111,20,0 38,0,2024-09-07 08:15:01:468,3480,0.4,3347,0.6,6880,0.3,8827,2.00 38,1,2024-09-07 08:15:01:609,35542,35542,0,0,17141771648,179146035,35265,276,1,371,391512,0 38,2,2024-09-07 08:15:00:759,25122,25122,0,0,1556230,0,3245 38,3,2024-09-07 08:15:00:997,1,20,1,0,36,110,20,0 39,0,2024-09-07 08:15:01:799,3718,0.3,3628,0.6,7104,0.2,9282,1.75 39,1,2024-09-07 08:15:00:717,34922,34922,0,0,16682768911,177169114,33898,606,418,366,391482,0 39,2,2024-09-07 08:15:01:438,21526,21526,0,0,954594,0,1786 39,3,2024-09-07 08:15:00:714,1,20,1,1,189,470,20,0 40,0,2024-09-07 08:15:01:521,7517,1.5,7676,3.2,15508,3.5,21191,3.50 40,1,2024-09-07 08:15:00:582,34826,34826,0,0,16207690380,177351541,32966,1333,527,371,391543,0 40,2,2024-09-07 08:15:01:305,25799,25798,1,0,2533949,0,5137 40,3,2024-09-07 08:15:01:145,1,20,8,2,63,247,20,0 41,0,2024-09-07 08:15:01:028,3803,8.6,3937,10.0,7708,10.0,10797,8.00 41,1,2024-09-07 08:15:00:770,34692,34692,0,0,16797365150,180287757,33542,958,192,370,391483,0 41,2,2024-09-07 08:15:00:759,27095,27095,0,0,2611450,0,3325 41,3,2024-09-07 08:15:01:677,1,20,1,1,19,60,20,0 42,0,2024-09-07 08:15:01:493,5157,0.6,5186,0.9,10361,0.5,13454,2.50 42,1,2024-09-07 08:15:01:448,34467,34467,0,0,16661437794,184432449,32511,1254,702,381,391489,0 42,2,2024-09-07 08:15:01:145,25575,25575,0,0,2016349,0,1967 42,3,2024-09-07 08:15:01:010,1,20,15,0,100,233,20,0 43,0,2024-09-07 08:15:00:924,4338,0.4,4303,0.6,8981,0.2,11494,1.75 43,1,2024-09-07 08:15:00:585,34630,34630,0,0,17235617233,185902020,33217,822,591,368,391481,0 43,2,2024-09-07 08:15:01:742,22056,22056,0,0,1871449,0,3812 43,3,2024-09-07 08:15:01:749,1,20,12,1,292,704,20,0 44,0,2024-09-07 08:15:00:869,6870,0.5,6751,0.8,13540,0.5,18566,2.00 44,1,2024-09-07 08:15:00:566,35039,35039,0,0,15921125533,166680672,34718,313,8,358,391493,0 44,2,2024-09-07 08:15:01:268,23874,23874,0,0,1272644,0,1592 44,3,2024-09-07 08:15:01:097,1,20,1,1,817,1046,20,0 45,0,2024-09-07 08:15:01:773,6755,5.3,6593,2.9,13712,6.7,18637,2.50 45,1,2024-09-07 08:15:01:005,35003,35003,0,0,17010406021,178770217,34772,231,0,382,391510,0 45,2,2024-09-07 08:15:01:269,28316,28316,0,0,1709305,0,2038 45,3,2024-09-07 08:15:00:940,1,20,6,1,226,412,20,0 46,0,2024-09-07 08:15:00:960,4961,0.3,4965,0.6,9956,0.2,13311,1.75 46,1,2024-09-07 08:15:00:583,35138,35138,0,0,16596175053,173208564,34799,315,24,370,391514,0 46,2,2024-09-07 08:15:00:609,26224,26224,0,0,1447153,0,2835 46,3,2024-09-07 08:15:01:143,1,20,2,1,200,429,20,0 47,0,2024-09-07 08:15:01:110,3185,0.2,3183,0.5,6271,0.1,8306,1.50 47,1,2024-09-07 08:15:00:699,35372,35372,0,0,16305639379,169775980,35199,172,1,368,391481,0 47,2,2024-09-07 08:15:00:914,24079,24079,0,0,1630392,0,2558 47,3,2024-09-07 08:15:01:115,1,20,15,1,25,106,20,0 48,0,2024-09-07 08:15:01:518,4544,0.5,4420,0.6,9009,0.6,12414,2.00 48,1,2024-09-07 08:15:01:021,34662,34662,0,0,16484507943,174138425,34214,448,0,386,391516,0 48,2,2024-09-07 08:15:00:700,22681,22681,0,0,945242,0,1521 48,3,2024-09-07 08:15:00:753,1,20,23,1,23,202,20,0 49,0,2024-09-07 08:15:01:753,7966,0.4,7943,0.5,15052,0.3,20523,1.75 49,1,2024-09-07 08:15:01:021,35332,35332,0,0,17301428995,181234195,35125,207,0,382,391583,0 49,2,2024-09-07 08:15:01:798,27732,27732,0,0,1604621,0,2196 49,3,2024-09-07 08:15:01:420,1,20,10,1,274,544,20,0 50,0,2024-09-07 08:15:01:521,3309,0.2,3271,0.4,6542,0.1,8924,1.75 50,1,2024-09-07 08:15:01:010,35199,35199,0,0,17213988053,180938798,34437,581,181,370,391530,0 50,2,2024-09-07 08:15:01:067,26632,26632,0,0,1118074,0,2034 50,3,2024-09-07 08:15:01:291,1,20,13,1,335,479,20,0 51,0,2024-09-07 08:15:01:691,2990,0.2,2902,0.3,5794,0.1,7625,1.50 51,1,2024-09-07 08:15:01:687,34691,34691,0,0,17467008711,181109875,34466,192,33,367,391504,0 51,2,2024-09-07 08:15:01:321,24748,24748,0,0,933141,0,1936 51,3,2024-09-07 08:15:01:026,1,20,1,0,162,249,20,0 52,0,2024-09-07 08:15:01:455,3864,0.5,3874,1.0,7788,0.5,10435,2.25 52,1,2024-09-07 08:15:00:576,34718,34718,0,0,16243413091,174094653,33708,816,194,371,391498,0 52,2,2024-09-07 08:15:01:758,21709,21709,0,0,1506968,0,4779 52,3,2024-09-07 08:15:00:679,1,20,9,4,21,232,20,0 53,0,2024-09-07 08:15:01:772,7816,1.6,7504,1.3,15478,2.0,20955,2.50 53,1,2024-09-07 08:15:00:772,35104,35104,0,0,17122988164,184070472,33747,931,426,372,391523,0 53,2,2024-09-07 08:15:01:298,27213,27213,0,0,1178339,0,1520 53,3,2024-09-07 08:15:00:705,1,20,8,1,28,96,20,0 54,0,2024-09-07 08:15:01:627,3108,10.0,3128,10.0,6308,6.5,9424,6.25 54,1,2024-09-07 08:15:00:581,34999,34999,0,0,17037223321,178908447,34270,695,34,367,391520,0 54,2,2024-09-07 08:15:00:866,26993,26987,6,0,2084723,0,5382 54,3,2024-09-07 08:15:00:770,1,20,1,0,98,194,20,0 55,0,2024-09-07 08:15:01:774,4186,0.8,4319,1.0,8694,0.6,11002,2.50 55,1,2024-09-07 08:15:00:770,34630,34630,0,0,16479040185,175847907,33207,928,495,369,391660,0 55,2,2024-09-07 08:15:00:730,25682,25682,0,0,1366013,0,2129 55,3,2024-09-07 08:15:00:904,1,20,2,0,44,126,20,0 56,0,2024-09-07 08:15:01:575,3803,2.0,3608,1.6,7432,2.3,9358,4.25 56,1,2024-09-07 08:15:00:577,34638,34638,0,0,17343795966,190685904,32642,1414,582,384,391536,0 56,2,2024-09-07 08:15:01:307,21800,21800,0,0,1818338,0,3567 56,3,2024-09-07 08:15:01:062,1,20,6,2,297,476,20,0 57,0,2024-09-07 08:15:00:980,6536,4.6,6431,2.7,12982,6.7,17869,4.75 57,1,2024-09-07 08:15:00:993,35260,35260,0,0,16063557997,171726109,34691,558,11,370,391505,0 57,2,2024-09-07 08:15:01:324,24951,24951,0,0,2080899,0,2687 57,3,2024-09-07 08:15:01:739,1,20,11,1,359,568,20,0 58,0,2024-09-07 08:15:00:572,4912,5.8,4767,3.2,9929,6.6,13143,7.25 58,1,2024-09-07 08:15:00:577,34994,34993,0,1,17738282205,190124281,33735,1101,157,372,391482,1 58,2,2024-09-07 08:15:01:074,27723,27723,0,0,1903452,0,2464 58,3,2024-09-07 08:15:01:069,1,20,1,1,80,154,20,0 59,0,2024-09-07 08:15:01:747,5420,1.4,5444,1.3,10801,1.7,14039,3.25 59,1,2024-09-07 08:15:00:814,35005,35005,0,0,16623883571,176638907,34453,549,3,371,391515,0 59,2,2024-09-07 08:15:00:594,26038,26038,0,0,1577872,0,1708 59,3,2024-09-07 08:15:01:739,1,20,10,1,20,117,20,0 60,0,2024-09-07 08:15:01:736,3879,0.4,3920,0.7,7629,0.2,10450,1.75 60,1,2024-09-07 08:15:00:777,35302,35302,0,0,17255681638,183247920,34460,499,343,370,391672,0 60,2,2024-09-07 08:15:01:148,22922,22922,0,0,1246551,0,2142 60,3,2024-09-07 08:15:01:265,1,20,8,0,124,338,20,0 61,0,2024-09-07 08:15:01:532,5444,4.0,5488,2.5,10826,4.5,14774,4.75 61,1,2024-09-07 08:15:00:771,34821,34821,0,0,16656470437,180656503,33238,1128,455,383,391560,0 61,2,2024-09-07 08:15:01:117,23472,23472,0,0,1382776,0,1846 61,3,2024-09-07 08:15:01:687,1,20,1,1,72,163,20,0 62,0,2024-09-07 08:15:01:715,8152,3.2,8380,1.8,16288,5.0,22621,3.50 62,1,2024-09-07 08:15:01:124,35095,35094,0,1,16888109745,177339717,34633,459,2,368,391586,1 62,2,2024-09-07 08:15:01:644,27726,27726,0,0,1899665,0,2931 62,3,2024-09-07 08:15:01:157,1,20,1,1,287,397,20,0 63,0,2024-09-07 08:15:01:461,4216,1.1,4238,1.1,8370,1.7,11336,2.75 63,1,2024-09-07 08:15:00:815,35206,35204,0,2,16825369325,175265186,35061,142,1,382,391505,2 63,2,2024-09-07 08:15:00:762,26156,26156,0,0,1631180,0,2177 63,3,2024-09-07 08:15:01:734,1,20,0,0,216,537,20,0 64,0,2024-09-07 08:15:01:530,2661,0.2,2644,0.3,5316,0.1,6839,1.50 64,1,2024-09-07 08:15:00:755,35130,35130,0,0,16702459241,176554667,34355,718,57,371,391604,0 64,2,2024-09-07 08:15:01:142,24658,24658,0,0,1170775,0,2510 64,3,2024-09-07 08:15:01:143,1,20,12,1,163,352,20,0 65,0,2024-09-07 08:15:01:712,3666,0.2,3695,0.4,7106,0.2,9429,1.75 65,1,2024-09-07 08:15:00:862,34983,34983,0,0,16626742278,175922967,34364,588,31,382,391506,0 65,2,2024-09-07 08:15:01:696,21581,21581,0,0,1054440,0,2374 65,3,2024-09-07 08:15:01:686,1,20,2,1,122,345,20,0 66,0,2024-09-07 08:15:01:777,8136,0.4,8244,0.6,16648,0.3,22158,1.75 66,1,2024-09-07 08:15:01:294,35565,35565,0,0,17164673191,179546894,35204,345,16,382,391537,0 66,2,2024-09-07 08:15:01:133,27429,27429,0,0,1460081,0,2934 66,3,2024-09-07 08:15:01:080,1,20,1,1,45,101,20,0 67,0,2024-09-07 08:15:01:430,2502,0.2,2497,0.3,4985,0.1,6385,1.50 67,1,2024-09-07 08:15:00:770,35061,35060,0,1,16799595498,177148013,34607,429,24,383,391567,1 67,2,2024-09-07 08:15:00:595,27012,27012,0,0,1431993,0,2104 67,3,2024-09-07 08:15:01:755,1,20,1,1,58,144,20,0 68,0,2024-09-07 08:15:00:568,3393,0.3,3381,0.7,6953,0.2,8766,2.00 68,1,2024-09-07 08:15:00:577,34613,34613,0,0,16523536587,177555518,33717,450,446,383,391495,0 68,2,2024-09-07 08:15:01:068,25422,25422,0,0,1703460,0,4883 68,3,2024-09-07 08:15:00:728,1,20,13,1,63,255,20,0 69,0,2024-09-07 08:15:01:742,3623,0.7,3571,1.0,7091,0.8,9339,2.25 69,1,2024-09-07 08:15:01:018,34267,34267,0,0,16656944635,183262105,32888,517,862,386,391484,0 69,2,2024-09-07 08:15:01:736,21593,21593,0,0,986308,0,2462 69,3,2024-09-07 08:15:00:760,1,20,1,0,36,149,20,0 70,0,2024-09-07 08:15:01:540,7731,4.1,7716,4.4,15658,1.3,21298,3.50 70,1,2024-09-07 08:15:00:804,35239,35239,0,0,17141044611,178770544,34981,241,17,369,391527,0 70,2,2024-09-07 08:15:01:326,26199,26199,0,0,1394987,0,1937 70,3,2024-09-07 08:15:00:745,1,20,1,1,69,121,20,0 71,0,2024-09-07 08:15:01:377,3907,8.7,3914,9.5,7587,10.0,11119,7.25 71,1,2024-09-07 08:15:01:609,35203,35203,0,0,17220269105,181807540,34485,593,125,368,391584,0 71,2,2024-09-07 08:15:01:073,27505,27505,0,0,1627898,0,2146 71,3,2024-09-07 08:15:01:749,1,20,1,1,174,363,20,0 72,0,2024-09-07 08:15:01:042,5361,0.5,5225,0.8,10354,0.4,13509,3.00 72,1,2024-09-07 08:15:01:036,34830,34830,0,0,16400590730,175785510,33862,959,9,370,391514,0 72,2,2024-09-07 08:15:01:768,25352,25352,0,0,2519829,0,2570 72,3,2024-09-07 08:15:01:754,1,20,18,1,325,526,20,0 73,0,2024-09-07 08:15:01:156,4290,0.4,4373,0.6,8829,0.2,11450,2.25 73,1,2024-09-07 08:15:00:772,34994,34994,0,0,16445071693,173862960,34712,281,1,370,391495,0 73,2,2024-09-07 08:15:01:748,21967,21967,0,0,1845829,0,3482 73,3,2024-09-07 08:15:00:970,1,20,3,0,274,623,20,0 74,0,2024-09-07 08:15:01:333,6829,1.1,6938,1.1,13459,1.7,18655,3.00 74,1,2024-09-07 08:15:00:636,34762,34762,0,0,16204754775,171634789,34296,464,2,384,391494,0 74,2,2024-09-07 08:15:01:002,24104,24104,0,0,2015082,0,2736 74,3,2024-09-07 08:15:01:446,1,20,1,0,192,712,20,0 75,0,2024-09-07 08:15:01:790,6935,5.1,6942,2.7,13683,6.2,18699,4.00 75,1,2024-09-07 08:15:01:586,35151,35151,0,0,16745076897,178220539,34531,569,51,382,391514,0 75,2,2024-09-07 08:15:01:361,27488,27488,0,0,2822256,0,4766 75,3,2024-09-07 08:15:01:067,1,20,1,1,38,96,20,0 76,0,2024-09-07 08:15:00:645,5008,0.4,5011,0.7,9874,0.3,13094,2.25 76,1,2024-09-07 08:15:00:820,34497,34497,0,0,16037868370,173375481,33289,807,401,384,391530,0 76,2,2024-09-07 08:15:01:075,26763,26763,0,0,1111960,0,1138 76,3,2024-09-07 08:15:01:145,1,20,22,0,140,366,20,0 77,0,2024-09-07 08:15:01:749,3148,0.3,3179,0.5,6266,0.2,8335,1.75 77,1,2024-09-07 08:15:00:832,34933,34933,0,0,16935114100,179372987,34205,661,67,383,391512,0 77,2,2024-09-07 08:15:01:288,23706,23706,0,0,1086268,0,1637 77,3,2024-09-07 08:15:01:100,1,20,6,0,131,229,20,0 78,0,2024-09-07 08:15:01:759,4419,4.7,4349,2.8,8841,5.6,12217,3.75 78,1,2024-09-07 08:15:00:611,35246,35246,0,0,15964098006,169144965,34706,503,37,370,391540,0 78,2,2024-09-07 08:15:01:405,22793,22793,0,0,1264624,0,2114 78,3,2024-09-07 08:15:01:138,1,20,1,0,112,369,20,0 79,0,2024-09-07 08:15:01:379,7595,0.5,7821,0.7,15741,0.5,20347,2.25 79,1,2024-09-07 08:15:00:574,35181,35181,0,0,16494106528,174474359,34541,634,6,372,391486,0 79,2,2024-09-07 08:15:01:069,27500,27500,0,0,1545719,0,2679 79,3,2024-09-07 08:15:00:749,1,20,1,0,289,395,20,0 80,0,2024-09-07 08:15:01:145,3239,0.3,3306,0.6,6482,0.2,8980,1.75 80,1,2024-09-07 08:15:01:619,34760,34760,0,0,16442872653,173882834,33883,828,49,372,391673,0 80,2,2024-09-07 08:15:01:103,26263,26263,0,0,1423319,0,1940 80,3,2024-09-07 08:15:00:585,1,20,8,1,148,569,20,0 81,0,2024-09-07 08:15:01:561,2960,0.2,3014,0.4,5795,0.1,7672,1.50 81,1,2024-09-07 08:15:01:650,35101,35101,0,0,16727365031,175802668,34769,325,7,383,391646,0 81,2,2024-09-07 08:15:01:131,24382,24382,0,0,1547173,0,2811 81,3,2024-09-07 08:15:01:117,1,20,2,1,116,238,20,0 82,0,2024-09-07 08:15:01:538,3890,0.3,3819,0.6,7754,0.2,10579,1.75 82,1,2024-09-07 08:15:00:584,34384,34383,0,1,16319322180,173658079,33472,572,339,384,391558,1 82,2,2024-09-07 08:15:01:697,21896,21896,0,0,927364,0,1286 82,3,2024-09-07 08:15:01:756,1,20,1,1,65,231,20,0 83,0,2024-09-07 08:15:01:540,7843,0.9,7794,0.9,15573,1.1,21066,2.25 83,1,2024-09-07 08:15:00:554,34922,34922,0,0,16594809754,176283516,34195,648,79,384,391553,0 83,2,2024-09-07 08:15:00:772,26781,26781,0,0,1607219,0,2512 83,3,2024-09-07 08:15:00:754,1,20,1,1,27,158,20,0 84,0,2024-09-07 08:15:01:782,3419,10.0,3339,10.0,6768,5.4,10003,5.75 84,1,2024-09-07 08:15:01:042,35037,35037,0,0,16811006787,179686490,34034,764,239,370,391630,0 84,2,2024-09-07 08:15:00:574,26737,26737,0,0,1881333,0,3801 84,3,2024-09-07 08:15:01:142,1,20,7,1,13,177,20,0 85,0,2024-09-07 08:15:01:008,4171,0.4,4211,0.6,8812,0.3,11089,2.00 85,1,2024-09-07 08:15:00:568,34431,34431,0,0,16683285233,180478151,32997,1143,291,384,391505,0 85,2,2024-09-07 08:15:00:869,25360,25360,0,0,1717234,0,3656 85,3,2024-09-07 08:15:00:689,1,20,1,1,88,160,20,0 86,0,2024-09-07 08:15:00:901,3683,0.3,3870,0.4,7520,0.2,9564,1.75 86,1,2024-09-07 08:15:00:839,34645,34645,0,0,16364473952,173217941,33890,726,29,367,391506,0 86,2,2024-09-07 08:15:00:854,21665,21664,1,0,1578820,0,5004 86,3,2024-09-07 08:15:00:589,1,20,1,1,32,153,20,0 87,0,2024-09-07 08:15:01:322,6750,3.2,6806,2.0,13691,4.2,18544,2.00 87,1,2024-09-07 08:15:00:555,34834,34834,0,0,16755693032,177585604,34116,670,48,369,391564,0 87,2,2024-09-07 08:15:01:075,25029,25029,0,0,1119214,0,2148 87,3,2024-09-07 08:15:01:794,1,20,2,1,322,717,20,0 88,0,2024-09-07 08:15:01:461,5655,2.9,5705,1.9,11347,4.1,15027,2.50 88,1,2024-09-07 08:15:00:574,34952,34952,0,0,16788543560,179490745,34075,785,92,368,391747,0 88,2,2024-09-07 08:15:00:693,27711,27711,0,0,2021390,0,2675 88,3,2024-09-07 08:15:01:267,1,20,8,1,77,296,20,0 89,0,2024-09-07 08:15:01:801,5581,0.4,5399,0.7,10802,0.4,14327,1.75 89,1,2024-09-07 08:15:00:571,34407,34407,0,0,16765145244,181443508,33358,542,507,384,391763,0 89,2,2024-09-07 08:15:01:140,25986,25986,0,0,1981039,0,2726 89,3,2024-09-07 08:15:01:791,1,20,2,2,153,432,20,0 90,0,2024-09-07 08:15:01:627,3786,0.3,3899,0.4,7831,0.2,10418,1.50 90,1,2024-09-07 08:15:00:601,34827,34827,0,0,16107339182,175408772,33374,1329,124,382,391522,0 90,2,2024-09-07 08:15:01:423,22685,22685,0,0,1885321,0,2635 90,3,2024-09-07 08:15:00:934,1,20,14,1,19,117,20,0 91,0,2024-09-07 08:15:00:945,5751,0.6,5604,0.8,11549,0.8,15267,1.75 91,1,2024-09-07 08:15:00:559,34929,34929,0,0,17084869418,183898182,33763,999,167,385,391914,0 91,2,2024-09-07 08:15:01:335,22758,22758,0,0,1355630,0,1997 91,3,2024-09-07 08:15:00:598,1,20,2,1,155,332,20,0 92,0,2024-09-07 08:15:01:465,8273,2.3,8487,1.5,16324,4.1,22763,2.00 92,1,2024-09-07 08:15:00:594,35169,35169,0,0,16135508672,170008829,34838,284,47,383,391569,0 92,2,2024-09-07 08:15:01:356,28485,28485,0,0,1805518,0,2279 92,3,2024-09-07 08:15:01:008,1,20,8,1,68,285,20,0 93,0,2024-09-07 08:15:00:983,4211,0.7,4287,0.8,8165,0.6,11291,2.00 93,1,2024-09-07 08:15:00:811,34817,34817,0,0,16733816299,178758739,33835,700,282,368,391689,0 93,2,2024-09-07 08:15:00:942,26300,26300,0,0,1259743,0,1795 93,3,2024-09-07 08:15:01:422,1,20,1,1,143,282,20,0 94,0,2024-09-07 08:15:01:636,2768,0.2,2662,0.4,5318,0.2,6973,1.50 94,1,2024-09-07 08:15:00:572,34629,34629,0,0,16615647717,176563035,34077,544,8,383,391850,0 94,2,2024-09-07 08:15:00:779,24321,24321,0,0,1482355,0,1446 94,3,2024-09-07 08:15:01:691,1,20,59,1,231,544,20,0 95,0,2024-09-07 08:15:01:389,3602,0.5,3550,0.7,7259,0.4,9538,2.00 95,1,2024-09-07 08:15:00:857,34912,34912,0,0,16287429092,171320454,34672,236,4,369,391590,0 95,2,2024-09-07 08:15:01:019,22002,22002,0,0,1323052,0,3308 95,3,2024-09-07 08:15:01:713,1,20,9,1,45,160,20,0 96,0,2024-09-07 08:15:01:035,8478,0.4,8313,0.5,16793,0.4,22467,1.75 96,1,2024-09-07 08:15:01:584,34468,34468,0,0,16509213495,174050065,34234,229,5,385,391551,0 96,2,2024-09-07 08:15:01:269,27501,27501,0,0,1364107,0,1653 96,3,2024-09-07 08:15:01:140,1,20,8,7,31,276,20,0 97,0,2024-09-07 08:15:01:316,2495,0.2,2527,0.3,4984,0.1,6447,1.50 97,1,2024-09-07 08:15:00:768,34859,34859,0,0,17021532461,180419396,33934,545,380,367,391547,0 97,2,2024-09-07 08:15:00:620,26759,26759,0,0,1283780,0,1663 97,3,2024-09-07 08:15:00:577,1,20,9,1,97,275,20,0 98,0,2024-09-07 08:15:01:731,3460,0.2,3368,0.4,6798,0.2,8839,1.50 98,1,2024-09-07 08:15:00:586,34853,34853,0,0,16828662055,175983830,34713,138,2,384,391588,0 98,2,2024-09-07 08:15:00:772,25262,25262,0,0,1537168,0,2410 98,3,2024-09-07 08:15:00:698,1,20,6,1,155,245,20,0 99,0,2024-09-07 08:15:01:463,3571,0.2,3522,0.4,7077,0.2,9258,1.50 99,1,2024-09-07 08:15:01:728,35673,35673,0,0,16311843802,169814574,35603,67,3,382,391602,0 99,2,2024-09-07 08:15:01:420,21641,21641,0,0,1223205,0,1732 99,3,2024-09-07 08:15:00:582,1,20,8,1,65,218,20,0 100,0,2024-09-07 08:15:01:488,7544,1.6,7612,4.9,15221,5.3,21117,2.50 100,1,2024-09-07 08:15:00:550,34786,34786,0,0,16566033247,181598114,33158,1369,259,382,391505,0 100,2,2024-09-07 08:15:01:822,26113,26102,11,0,1962110,0,5417 100,3,2024-09-07 08:15:01:746,1,20,12,1,443,988,20,0 101,0,2024-09-07 08:15:01:756,4152,9.0,4035,6.6,8159,9.1,12042,4.75 101,1,2024-09-07 08:15:00:559,34655,34655,0,0,16757371664,179882930,33670,561,424,370,391531,0 101,2,2024-09-07 08:15:01:771,27510,27510,0,0,2128959,0,4644 101,3,2024-09-07 08:15:00:941,1,20,3,1,448,583,20,0 102,0,2024-09-07 08:15:00:993,5136,0.9,5289,0.8,10500,0.6,13461,2.25 102,1,2024-09-07 08:15:01:148,35174,35174,0,0,16674590970,176462362,34634,530,10,371,391621,0 102,2,2024-09-07 08:15:01:743,25864,25864,0,0,1237316,0,1699 102,3,2024-09-07 08:15:01:618,1,20,8,0,410,606,20,0 103,0,2024-09-07 08:15:01:600,4459,0.3,4456,0.4,8465,0.2,11405,1.50 103,1,2024-09-07 08:15:01:626,35255,35255,0,0,16925725288,177914740,34894,314,47,381,391680,0 103,2,2024-09-07 08:15:00:585,22556,22556,0,0,1127865,0,1484 103,3,2024-09-07 08:15:00:774,1,20,9,1,486,745,20,0 104,0,2024-09-07 08:15:01:011,6847,1.8,6964,1.4,13380,2.3,18585,2.25 104,1,2024-09-07 08:15:01:621,34730,34730,0,0,15903431364,173952753,33246,1168,316,369,391948,0 104,2,2024-09-07 08:15:01:676,23588,23588,0,0,1865916,0,3941 104,3,2024-09-07 08:15:01:417,1,20,13,8,25,285,20,0 105,0,2024-09-07 08:15:01:052,6761,5.1,6532,2.9,13454,7.6,18443,4.75 105,1,2024-09-07 08:15:00:559,34556,34556,0,0,16386546952,178761032,32937,1054,565,367,391797,0 105,2,2024-09-07 08:15:01:322,27748,27748,0,0,2177384,0,3314 105,3,2024-09-07 08:15:01:307,1,20,146,1,169,574,20,0 106,0,2024-09-07 08:15:00:953,4785,0.4,4849,0.8,9906,0.3,13253,2.00 106,1,2024-09-07 08:15:01:751,34751,34751,0,0,16320017156,176509785,33474,1075,202,371,391767,0 106,2,2024-09-07 08:15:00:765,26176,26176,0,0,1793365,0,1818 106,3,2024-09-07 08:15:00:683,1,20,2,1,171,241,20,0 107,0,2024-09-07 08:15:01:174,3171,0.3,3100,0.6,6209,0.2,8201,1.75 107,1,2024-09-07 08:15:00:602,34770,34770,0,0,16381309523,175748682,33895,749,126,382,391848,0 107,2,2024-09-07 08:15:01:322,23539,23538,1,0,1526021,0,5024 107,3,2024-09-07 08:15:01:756,1,20,1,1,75,305,20,0 108,0,2024-09-07 08:15:01:798,4543,2.2,4571,1.6,8902,2.8,12531,2.25 108,1,2024-09-07 08:15:01:297,34678,34678,0,0,16517244012,176225062,33665,909,104,372,391563,0 108,2,2024-09-07 08:15:01:763,22664,22664,0,0,1496103,0,2647 108,3,2024-09-07 08:15:01:344,1,20,8,1,60,299,20,0 109,0,2024-09-07 08:15:01:938,7851,0.4,7956,0.6,15286,0.4,20759,1.75 109,1,2024-09-07 08:15:00:600,34933,34933,0,0,16927347672,180618015,34249,632,52,386,391517,0 109,2,2024-09-07 08:15:00:922,26701,26701,0,0,1971878,0,3617 109,3,2024-09-07 08:15:01:143,1,20,7,1,120,457,20,0 110,0,2024-09-07 08:15:01:788,3319,0.3,3217,0.5,6654,0.2,8971,1.75 110,1,2024-09-07 08:15:01:645,35339,35339,0,0,16497564230,174361209,34710,356,273,371,391564,0 110,2,2024-09-07 08:15:01:309,26560,26560,0,0,1712098,0,2915 110,3,2024-09-07 08:15:00:690,1,20,2,1,183,316,20,0 111,0,2024-09-07 08:15:01:438,2888,0.2,2890,0.3,5891,0.1,7600,1.50 111,1,2024-09-07 08:15:01:000,35090,35090,0,0,17289051407,179657115,34945,133,12,383,391597,0 111,2,2024-09-07 08:15:01:125,25018,25018,0,0,1371317,0,2256 111,3,2024-09-07 08:15:00:912,1,20,9,1,106,476,20,0 112,0,2024-09-07 08:15:00:915,3845,0.4,3897,0.5,7818,0.2,10517,1.50 112,1,2024-09-07 08:15:00:827,35002,35002,0,0,16994818125,178384535,34772,229,1,382,391512,0 112,2,2024-09-07 08:15:01:140,21756,21756,0,0,1161883,0,3152 112,3,2024-09-07 08:15:00:592,1,20,13,1,282,459,20,0 113,0,2024-09-07 08:15:00:875,7729,0.4,7669,0.6,15671,0.4,21173,1.75 113,1,2024-09-07 08:15:01:766,35187,35187,0,0,17290158537,180134909,34935,251,1,368,391661,0 113,2,2024-09-07 08:15:01:303,26800,26800,0,0,1231655,0,1597 113,3,2024-09-07 08:15:00:686,1,20,1,1,130,325,20,0 114,0,2024-09-07 08:15:00:885,3812,7.3,3898,5.8,7574,4.0,10472,2.50 114,1,2024-09-07 08:15:00:716,35343,35343,0,0,16844028117,175751855,35058,285,0,381,391513,0 114,2,2024-09-07 08:15:00:874,27068,27068,0,0,1534671,0,3433 114,3,2024-09-07 08:15:01:283,1,20,1,1,159,336,20,0 115,0,2024-09-07 08:15:00:560,4264,0.2,4349,0.5,8644,0.1,11150,1.50 115,1,2024-09-07 08:15:00:573,34895,34895,0,0,17009420190,179302057,34320,467,108,384,391495,0 115,2,2024-09-07 08:15:01:124,26042,26042,0,0,1162492,0,1535 115,3,2024-09-07 08:15:01:002,1,20,1,1,40,104,20,0 116,0,2024-09-07 08:15:01:724,3728,0.3,3737,0.5,7566,0.2,9543,1.75 116,1,2024-09-07 08:15:00:804,34671,34671,0,0,17239067008,186923871,33526,309,836,382,391573,0 116,2,2024-09-07 08:15:01:757,21918,21918,0,0,1086231,0,2154 116,3,2024-09-07 08:15:00:912,1,20,8,2,132,344,20,0 117,0,2024-09-07 08:15:00:971,6882,3.3,6886,2.0,13645,4.2,18594,2.25 117,1,2024-09-07 08:15:01:587,35098,35098,0,0,16800772370,178947058,34199,680,219,371,391601,0 117,2,2024-09-07 08:15:01:125,25051,25051,0,0,1664148,0,3700 117,3,2024-09-07 08:15:01:068,1,20,8,1,490,1018,20,0 118,0,2024-09-07 08:15:01:774,5533,2.5,5581,1.6,11554,3.7,14959,3.00 118,1,2024-09-07 08:15:00:599,34861,34861,0,0,16294083568,171169810,34541,319,1,368,391725,0 118,2,2024-09-07 08:15:01:594,28147,28147,0,0,1431260,0,1450 118,3,2024-09-07 08:15:01:771,1,20,2,1,79,266,20,0 119,0,2024-09-07 08:15:01:364,5234,0.3,5337,0.6,10921,0.2,14295,1.75 119,1,2024-09-07 08:15:00:564,34636,34636,0,0,17288648462,183413072,33797,707,132,371,391576,0 119,2,2024-09-07 08:15:01:272,26124,26124,0,0,1410834,0,2222 119,3,2024-09-07 08:15:01:334,1,20,443,1,443,913,20,0 120,0,2024-09-07 08:15:01:591,3843,0.3,3850,0.6,7727,0.2,10414,1.75 120,1,2024-09-07 08:15:00:906,34934,34934,0,0,16320983010,175771792,34104,822,8,369,391702,0 120,2,2024-09-07 08:15:00:780,22597,22596,1,0,2281554,0,5281 120,3,2024-09-07 08:15:01:290,1,20,8,2,241,616,20,0 121,0,2024-09-07 08:15:01:699,5526,4.2,5563,2.5,11100,5.1,15200,3.00 121,1,2024-09-07 08:15:01:657,34619,34619,0,0,16085779295,175126526,33404,978,237,370,391633,0 121,2,2024-09-07 08:15:01:131,23319,23319,0,0,2029153,0,4127 121,3,2024-09-07 08:15:00:727,1,20,1,1,71,215,20,0 122,0,2024-09-07 08:15:01:791,8093,4.0,7821,3.8,16299,4.3,22923,3.00 122,1,2024-09-07 08:15:00:861,34178,34178,0,0,16831009795,185030984,32395,1416,367,369,392130,0 122,2,2024-09-07 08:15:01:320,27821,27821,0,0,2592099,0,2574 122,3,2024-09-07 08:15:00:595,1,20,15,1,226,1074,20,0 123,0,2024-09-07 08:15:00:960,4193,0.4,4031,0.8,8379,0.3,11254,2.00 123,1,2024-09-07 08:15:00:565,34511,34511,0,0,16549980644,183460978,32119,1938,454,371,391823,0 123,2,2024-09-07 08:15:01:029,25782,25781,1,0,2290422,0,5215 123,3,2024-09-07 08:15:01:367,1,20,1,1,160,439,20,0 124,0,2024-09-07 08:15:00:932,2765,0.2,2721,0.3,5319,0.1,6952,1.50 124,1,2024-09-07 08:15:01:031,35242,35242,0,0,16807421215,175589587,35108,133,1,370,392129,0 124,2,2024-09-07 08:15:01:010,24242,24242,0,0,1312678,0,2477 124,3,2024-09-07 08:15:00:758,1,20,2,2,490,1007,20,0 125,0,2024-09-07 08:15:01:468,3592,0.3,3578,0.5,7279,0.2,9572,1.75 125,1,2024-09-07 08:15:00:864,34890,34890,0,0,16736687668,178548326,34080,790,20,385,391702,0 125,2,2024-09-07 08:15:01:120,22035,22035,0,0,1335950,0,2180 125,3,2024-09-07 08:15:01:126,1,20,2,1,93,395,20,0 126,0,2024-09-07 08:15:01:427,8293,0.6,8518,0.8,16357,0.7,22374,1.75 126,1,2024-09-07 08:15:00:554,35180,35180,0,0,17029474270,179116916,34756,416,8,368,391719,0 126,2,2024-09-07 08:15:00:619,27330,27330,0,0,1882209,0,3186 126,3,2024-09-07 08:15:00:913,1,20,4,1,45,174,20,0 127,0,2024-09-07 08:15:01:633,2489,0.2,2497,0.3,5010,0.1,6464,1.50 127,1,2024-09-07 08:15:00:582,34742,34742,0,0,16099711969,169946686,34040,680,22,366,391488,0 127,2,2024-09-07 08:15:00:639,26793,26793,0,0,1465797,0,1744 127,3,2024-09-07 08:15:01:267,1,20,4,0,99,232,20,0 128,0,2024-09-07 08:15:01:535,3402,0.3,3423,0.5,6774,0.2,8767,1.75 128,1,2024-09-07 08:15:01:610,35140,35140,0,0,17047861786,177743057,34965,171,4,370,391605,0 128,2,2024-09-07 08:15:01:384,24876,24876,0,0,1655084,0,1919 128,3,2024-09-07 08:15:00:777,1,20,14,1,20,221,20,0 129,0,2024-09-07 08:15:01:014,3536,0.4,3604,0.6,7184,0.3,9232,1.75 129,1,2024-09-07 08:15:00:574,34884,34884,0,0,16369170348,174737254,33959,660,265,379,391835,0 129,2,2024-09-07 08:15:00:690,21619,21619,0,0,919290,0,2289 129,3,2024-09-07 08:15:00:689,1,20,1,1,82,232,20,0 130,0,2024-09-07 08:15:01:740,7884,0.9,7755,1.0,15644,1.5,21561,2.00 130,1,2024-09-07 08:15:00:584,35287,35287,0,0,17137409754,180257628,34915,371,1,381,391516,0 130,2,2024-09-07 08:15:01:129,26159,26159,0,0,1242909,0,1539 130,3,2024-09-07 08:15:01:292,1,20,5,1,66,171,20,0 131,0,2024-09-07 08:15:01:932,4537,3.1,4400,2.3,9053,3.7,12050,2.75 131,1,2024-09-07 08:15:01:822,35065,35065,0,0,16380921826,173901325,34424,470,171,385,391727,0 131,2,2024-09-07 08:15:00:569,27289,27289,0,0,1465890,0,1766 131,3,2024-09-07 08:15:01:694,1,20,8,1,23,93,20,0 132,0,2024-09-07 08:15:01:421,5118,0.5,5260,0.9,10435,0.5,13516,2.00 132,1,2024-09-07 08:15:00:587,34400,34400,0,0,16147247386,174344954,33096,1042,262,383,391533,0 132,2,2024-09-07 08:15:00:698,25634,25634,0,0,2797584,0,4606 132,3,2024-09-07 08:15:01:688,1,20,8,3,356,580,20,0 133,0,2024-09-07 08:15:01:533,4282,0.3,4364,0.5,8886,0.2,11364,1.75 133,1,2024-09-07 08:15:00:605,34500,34500,0,0,16244086353,173114590,33732,764,4,385,391492,0 133,2,2024-09-07 08:15:01:088,22636,22636,0,0,1133230,0,1707 133,3,2024-09-07 08:15:01:298,1,20,1,1,26,95,20,0 134,0,2024-09-07 08:15:00:947,6792,0.4,6775,0.8,13686,0.4,18533,1.75 134,1,2024-09-07 08:15:00:590,34942,34942,0,0,16748468968,181760216,33438,758,746,366,391508,0 134,2,2024-09-07 08:15:01:757,23802,23802,0,0,1361256,0,2026 134,3,2024-09-07 08:15:00:749,1,20,1,1,63,340,20,0 135,0,2024-09-07 08:15:01:121,6861,3.5,6797,2.9,14404,3.4,18426,3.00 135,1,2024-09-07 08:15:01:588,34805,34805,0,0,16669486372,174830342,34434,355,16,383,391513,0 135,2,2024-09-07 08:15:00:688,27862,27862,0,0,1619905,0,3016 135,3,2024-09-07 08:15:01:003,1,20,3,1,68,207,20,0 136,0,2024-09-07 08:15:01:628,5007,0.5,4925,0.9,9897,0.5,13290,2.50 136,1,2024-09-07 08:15:01:483,35151,35151,0,0,16641561573,180566911,33819,1191,141,385,391501,0 136,2,2024-09-07 08:15:01:139,26784,26784,0,0,1495730,0,1692 136,3,2024-09-07 08:15:01:124,1,20,62,1,108,407,20,0 137,0,2024-09-07 08:15:00:963,3234,0.3,3173,0.6,6142,0.2,8221,2.00 137,1,2024-09-07 08:15:00:581,34949,34949,0,0,16818654196,179946038,34121,785,43,368,391489,0 137,2,2024-09-07 08:15:01:709,23014,23014,0,0,2112496,0,2294 137,3,2024-09-07 08:15:00:776,1,20,19,1,227,454,20,0 138,0,2024-09-07 08:15:01:779,4404,1.1,4509,1.2,9079,1.3,12513,2.75 138,1,2024-09-07 08:15:01:703,34836,34836,0,0,16788157439,182191123,33406,1199,231,371,391572,0 138,2,2024-09-07 08:15:00:589,22595,22595,0,0,1612241,0,3263 138,3,2024-09-07 08:15:00:611,1,20,3,1,68,239,20,0 139,0,2024-09-07 08:15:01:375,7355,5.4,7308,3.2,15009,6.1,20231,4.25 139,1,2024-09-07 08:15:00:585,34529,34529,0,0,16161385135,180996664,32554,1292,683,383,391511,0 139,2,2024-09-07 08:15:00:695,27605,27605,0,0,2146356,0,2425 139,3,2024-09-07 08:15:01:663,1,20,1,1,120,438,20,0 140,0,2024-09-07 08:15:01:600,3309,0.7,3199,0.9,6516,0.5,8957,2.00 140,1,2024-09-07 08:15:01:541,35176,35176,0,0,16833189231,175787527,35001,175,0,366,391482,0 140,2,2024-09-07 08:15:00:687,26310,26310,0,0,2052112,0,3303 140,3,2024-09-07 08:15:00:769,1,20,1,1,25,194,20,0 141,0,2024-09-07 08:15:01:715,2849,0.2,2943,0.4,5753,0.1,7612,1.75 141,1,2024-09-07 08:15:00:860,35042,35042,0,0,17336757009,183611352,34216,596,230,382,391532,0 141,2,2024-09-07 08:15:01:688,24769,24769,0,0,1451911,0,2342 141,3,2024-09-07 08:15:01:043,1,20,53,0,53,169,20,0 142,0,2024-09-07 08:15:01:318,3965,0.3,3882,0.7,7849,0.3,10527,2.00 142,1,2024-09-07 08:15:00:614,35084,35084,0,0,16748847607,176008993,34926,158,0,385,391576,0 142,2,2024-09-07 08:15:01:299,21573,21573,0,0,1454991,0,2293 142,3,2024-09-07 08:15:01:754,1,20,1,1,53,141,20,0 143,0,2024-09-07 08:15:01:385,7718,1.6,7666,1.3,15539,1.9,20897,2.25 143,1,2024-09-07 08:15:00:557,34845,34845,0,0,17032619492,179498917,34160,664,21,370,391608,0 143,2,2024-09-07 08:15:00:771,26676,26676,0,0,1722732,0,2572 143,3,2024-09-07 08:15:01:139,1,20,5,1,236,585,20,0 144,0,2024-09-07 08:15:01:510,3427,7.1,3561,10.0,7113,7.1,10325,3.25 144,1,2024-09-07 08:15:00:571,34986,34986,0,0,16445129495,174756158,34379,559,48,383,391562,0 144,2,2024-09-07 08:15:01:759,26689,26689,0,0,1428120,0,2018 144,3,2024-09-07 08:15:01:749,1,20,4,1,112,438,20,0 145,0,2024-09-07 08:15:01:401,4173,0.4,4206,0.7,8699,0.4,11076,2.00 145,1,2024-09-07 08:15:00:562,34726,34726,0,0,16246909683,171358449,34479,247,0,384,391603,0 145,2,2024-09-07 08:15:01:439,25640,25640,0,0,2242316,0,3903 145,3,2024-09-07 08:15:00:895,1,20,7,1,151,562,20,0 146,0,2024-09-07 08:15:01:617,3779,0.3,3784,0.5,7575,0.2,9528,1.75 146,1,2024-09-07 08:15:01:598,34823,34823,0,0,16917569166,183661111,33217,1308,298,371,391512,0 146,2,2024-09-07 08:15:01:695,21633,21633,0,0,1470098,0,2149 146,3,2024-09-07 08:15:01:281,1,20,1,0,54,207,20,0 147,0,2024-09-07 08:15:01:736,6793,3.7,6740,2.3,13430,4.3,18738,2.75 147,1,2024-09-07 08:15:01:373,35112,35112,0,0,16710432249,175663598,34644,406,62,369,391791,0 147,2,2024-09-07 08:15:01:014,25296,25296,0,0,1384478,0,2526 147,3,2024-09-07 08:15:00:913,1,20,15,1,141,428,20,0 0,0,2024-09-07 08:15:11:748,3972,0.5,3986,0.8,8371,0.4,10935,2.25 0,1,2024-09-07 08:15:10:802,36657,36657,0,0,17576023052,187069981,36017,535,105,373,391658,0 0,2,2024-09-07 08:15:11:069,23696,23696,0,0,968002,0,1598 0,3,2024-09-07 08:15:10:974,1,21,14,2,247,515,21,0 1,0,2024-09-07 08:15:11:775,5968,1.3,5875,1.2,11995,1.8,15994,2.25 1,1,2024-09-07 08:15:10:572,36316,36316,0,0,16653323984,175897056,35850,431,35,372,391857,0 1,2,2024-09-07 08:15:10:662,24455,24455,0,0,1309547,0,1544 1,3,2024-09-07 08:15:11:315,1,21,4,1,167,367,21,0 2,0,2024-09-07 08:15:11:600,8131,3.6,8400,2.3,16101,5.3,22369,4.50 2,1,2024-09-07 08:15:10:860,36425,36425,0,0,17052728653,179015937,36258,167,0,382,391527,0 2,2,2024-09-07 08:15:11:267,29216,29216,0,0,1945280,0,3304 2,3,2024-09-07 08:15:10:696,1,21,27,1,87,334,21,0 3,0,2024-09-07 08:15:11:761,4490,0.4,4496,0.7,9090,0.3,12170,2.25 3,1,2024-09-07 08:15:11:622,36732,36732,0,0,17774683613,188239792,36070,648,14,383,391494,0 3,2,2024-09-07 08:15:11:143,27470,27470,0,0,1531637,0,2192 3,3,2024-09-07 08:15:11:754,1,21,1,1,23,79,21,0 4,0,2024-09-07 08:15:11:790,2816,0.2,2957,0.4,5768,0.2,7579,1.50 4,1,2024-09-07 08:15:10:596,36818,36818,0,0,17372977131,185912089,35819,656,343,372,391682,0 4,2,2024-09-07 08:15:11:040,25169,25169,0,0,1944481,0,4528 4,3,2024-09-07 08:15:11:032,1,21,22,8,287,599,21,0 5,0,2024-09-07 08:15:11:393,4015,0.3,3957,0.5,7907,0.2,10745,1.75 5,1,2024-09-07 08:15:10:789,36627,36627,0,0,17997497617,189154091,36098,437,92,368,392005,0 5,2,2024-09-07 08:15:11:830,23533,23533,0,0,1118779,0,1912 5,3,2024-09-07 08:15:11:738,1,21,1,1,172,426,21,0 6,0,2024-09-07 08:15:10:935,8746,0.6,8669,0.9,17277,0.7,23062,2.00 6,1,2024-09-07 08:15:10:748,36872,36872,0,0,17271565603,180958953,36514,354,4,382,391603,0 6,2,2024-09-07 08:15:11:116,28897,28897,0,0,1624867,0,1686 6,3,2024-09-07 08:15:11:274,1,21,2,1,277,530,21,0 7,0,2024-09-07 08:15:11:554,2765,0.2,2707,0.4,5465,0.1,7348,1.50 7,1,2024-09-07 08:15:10:852,36318,36318,0,0,17396425228,183506121,35778,476,64,384,391558,0 7,2,2024-09-07 08:15:10:774,27910,27910,0,0,1540864,0,2981 7,3,2024-09-07 08:15:10:852,1,21,1,0,46,170,21,0 8,0,2024-09-07 08:15:11:379,3671,0.3,3570,0.5,7019,0.2,9246,1.50 8,1,2024-09-07 08:15:11:052,35995,35995,0,0,17475548308,196956958,33147,1615,1233,368,391724,0 8,2,2024-09-07 08:15:10:806,25841,25841,0,0,2107793,0,2986 8,3,2024-09-07 08:15:10:593,1,21,24,1,229,548,21,0 9,0,2024-09-07 08:15:11:154,3835,0.3,3695,0.6,7708,0.2,10161,1.75 9,1,2024-09-07 08:15:10:556,36189,36189,0,0,17293902306,188483123,34569,1142,478,370,391496,0 9,2,2024-09-07 08:15:11:089,22880,22880,0,0,1255761,0,1673 9,3,2024-09-07 08:15:11:755,1,21,10,0,50,231,21,0 10,0,2024-09-07 08:15:11:624,8413,0.5,8385,0.6,16744,0.5,22543,1.75 10,1,2024-09-07 08:15:10:584,36273,36273,0,0,17322149342,190328649,34149,1744,380,383,391541,0 10,2,2024-09-07 08:15:10:771,26989,26989,0,0,2397323,0,2940 10,3,2024-09-07 08:15:10:872,1,21,1,0,118,215,21,0 11,0,2024-09-07 08:15:11:015,4284,6.6,4175,4.1,8747,8.1,12329,3.75 11,1,2024-09-07 08:15:10:572,36225,36225,0,0,17568205210,192734965,34243,1246,736,384,391485,0 11,2,2024-09-07 08:15:11:128,28532,28532,0,0,2028262,0,2635 11,3,2024-09-07 08:15:11:299,1,21,1,1,720,933,21,0 12,0,2024-09-07 08:15:11:010,5401,0.3,5400,0.5,10699,0.2,13785,1.75 12,1,2024-09-07 08:15:10:953,36493,36493,0,0,17017517082,181557628,35817,655,21,372,391611,0 12,2,2024-09-07 08:15:11:548,27372,27372,0,0,2004653,0,2254 12,3,2024-09-07 08:15:11:067,1,21,10,2,179,446,21,0 13,0,2024-09-07 08:15:11:341,4453,0.4,4509,0.6,8980,0.3,11469,1.75 13,1,2024-09-07 08:15:11:545,36996,36996,0,0,17331960886,184007783,36559,398,39,385,391563,0 13,2,2024-09-07 08:15:10:596,23417,23417,0,0,1068018,0,2239 13,3,2024-09-07 08:15:11:762,1,21,4,1,153,419,21,0 14,0,2024-09-07 08:15:10:575,7347,0.5,7331,0.7,14333,0.5,19486,1.75 14,1,2024-09-07 08:15:11:564,36942,36942,0,0,17325223129,182733412,36362,552,28,365,391481,0 14,2,2024-09-07 08:15:10:764,25180,25180,0,0,1793989,0,2077 14,3,2024-09-07 08:15:11:133,1,21,2,1,40,114,21,0 15,0,2024-09-07 08:15:11:564,6853,5.3,6823,2.9,13644,7.1,18617,4.25 15,1,2024-09-07 08:15:11:615,36640,36640,0,0,17653981232,185811355,36327,311,2,381,391536,0 15,2,2024-09-07 08:15:10:998,29493,29493,0,0,1278052,0,1416 15,3,2024-09-07 08:15:11:404,1,21,2,0,538,887,21,0 16,0,2024-09-07 08:15:11:082,5162,0.4,5178,0.7,10407,0.3,13805,2.50 16,1,2024-09-07 08:15:10:572,36850,36850,0,0,17376627499,184122645,36280,567,3,373,391543,0 16,2,2024-09-07 08:15:11:436,27268,27268,0,0,1912797,0,4719 16,3,2024-09-07 08:15:11:142,1,21,13,3,231,724,21,0 17,0,2024-09-07 08:15:11:794,3501,0.3,3522,0.5,6741,0.2,9077,2.00 17,1,2024-09-07 08:15:10:667,36462,36462,0,0,17084106880,185197888,35212,742,508,370,391671,0 17,2,2024-09-07 08:15:11:666,24504,24504,0,0,1301819,0,1865 17,3,2024-09-07 08:15:10:581,1,21,1,0,268,584,21,0 18,0,2024-09-07 08:15:10:965,4817,1.1,4907,1.1,9811,1.4,13178,2.75 18,1,2024-09-07 08:15:11:640,36341,36341,0,0,16754947233,179650359,35345,814,182,370,391518,0 18,2,2024-09-07 08:15:11:755,23738,23738,0,0,1952008,0,3541 18,3,2024-09-07 08:15:10:896,1,21,99,0,142,411,21,0 19,0,2024-09-07 08:15:11:573,8099,1.1,8218,1.1,16165,1.5,21370,3.25 19,1,2024-09-07 08:15:10:567,36517,36517,0,0,17277548741,186119470,35019,1337,161,367,391512,0 19,2,2024-09-07 08:15:11:753,29283,29283,0,0,1876314,0,3599 19,3,2024-09-07 08:15:11:132,1,21,2,0,29,66,21,0 20,0,2024-09-07 08:15:11:363,3655,0.2,3704,0.4,7119,0.2,9759,1.50 20,1,2024-09-07 08:15:10:580,36624,36624,0,0,18055330507,189479238,36253,370,1,373,391488,0 20,2,2024-09-07 08:15:10:933,27558,27558,0,0,1488415,0,1616 20,3,2024-09-07 08:15:10:591,1,21,11,1,99,376,21,0 21,0,2024-09-07 08:15:11:168,3184,0.2,3125,0.4,6155,0.2,8030,1.50 21,1,2024-09-07 08:15:11:541,36538,36538,0,0,16615217043,176186312,35928,584,26,368,391962,0 21,2,2024-09-07 08:15:11:069,26025,26025,0,0,1540192,0,3747 21,3,2024-09-07 08:15:11:403,1,21,1,1,33,230,21,0 22,0,2024-09-07 08:15:11:734,4174,0.3,4274,0.6,8454,0.2,11188,1.75 22,1,2024-09-07 08:15:11:025,36512,36512,0,0,16799397843,182074624,35150,1055,307,382,391667,0 22,2,2024-09-07 08:15:10:760,23291,23291,0,0,952569,0,1503 22,3,2024-09-07 08:15:11:067,1,21,0,0,48,146,21,0 23,0,2024-09-07 08:15:11:413,8058,0.4,8302,0.5,16310,0.3,22160,1.75 23,1,2024-09-07 08:15:11:021,37159,37159,0,0,17955551642,186438201,37019,140,0,368,391482,0 23,2,2024-09-07 08:15:11:099,28764,28764,0,0,1327677,0,2078 23,3,2024-09-07 08:15:11:755,1,21,1,0,141,235,21,0 24,0,2024-09-07 08:15:10:850,4172,1.4,4185,1.6,8448,2.1,10995,1.75 24,1,2024-09-07 08:15:10:596,36874,36874,0,0,17334466475,184909676,36271,599,4,371,391640,0 24,2,2024-09-07 08:15:11:070,27686,27686,0,0,2691710,0,2942 24,3,2024-09-07 08:15:11:686,1,21,9,2,234,457,21,0 25,0,2024-09-07 08:15:11:391,4489,0.3,4447,0.5,8553,0.2,11301,1.50 25,1,2024-09-07 08:15:10:571,36680,36680,0,0,16992893330,180683937,36114,551,15,373,391544,0 25,2,2024-09-07 08:15:11:629,26542,26542,0,0,2063471,0,1963 25,3,2024-09-07 08:15:11:010,1,21,5,1,50,261,21,0 26,0,2024-09-07 08:15:11:747,3826,0.2,3732,0.4,7858,0.2,9860,1.50 26,1,2024-09-07 08:15:11:546,36238,36238,0,0,17239010188,192096064,34011,1529,698,383,391496,0 26,2,2024-09-07 08:15:10:861,23066,23066,0,0,1967710,0,2809 26,3,2024-09-07 08:15:11:712,1,21,9,1,796,905,21,0 27,0,2024-09-07 08:15:11:735,7297,0.5,7319,0.7,14765,0.4,20113,1.75 27,1,2024-09-07 08:15:11:684,37224,37224,0,0,17657231201,184469835,37060,163,1,381,391526,0 27,2,2024-09-07 08:15:10:870,25829,25829,0,0,2867360,0,3146 27,3,2024-09-07 08:15:11:020,1,21,1,1,86,281,21,0 28,0,2024-09-07 08:15:11:409,5755,2.4,5820,1.8,11702,3.9,15356,2.25 28,1,2024-09-07 08:15:10:799,36893,36893,0,0,17419606889,184040119,36474,411,8,383,391489,0 28,2,2024-09-07 08:15:11:764,28959,28959,0,0,1685609,0,2609 28,3,2024-09-07 08:15:11:777,1,21,14,3,502,721,21,0 29,0,2024-09-07 08:15:11:376,5713,0.3,5609,0.6,10975,0.2,14569,1.75 29,1,2024-09-07 08:15:11:565,37002,37002,0,0,17377123709,182709031,36395,435,172,371,391621,0 29,2,2024-09-07 08:15:10:862,27847,27847,0,0,1311258,0,2026 29,3,2024-09-07 08:15:10:966,1,21,1,1,105,356,21,0 30,0,2024-09-07 08:15:11:465,4105,0.3,4017,0.5,8320,0.2,10942,1.75 30,1,2024-09-07 08:15:10:572,36368,36368,0,0,17756580804,188917638,35764,542,62,382,391524,0 30,2,2024-09-07 08:15:11:274,23598,23598,0,0,1016217,0,1567 30,3,2024-09-07 08:15:10:581,1,21,9,0,110,171,21,0 31,0,2024-09-07 08:15:11:768,6028,0.6,6074,0.8,12225,0.6,16447,2.00 31,1,2024-09-07 08:15:10:574,36723,36723,0,0,17367132089,180116407,36639,84,0,356,391478,0 31,2,2024-09-07 08:15:11:282,24871,24871,0,0,1918865,0,2319 31,3,2024-09-07 08:15:11:706,1,21,2,0,40,100,21,0 32,0,2024-09-07 08:15:11:425,8498,3.1,8627,1.7,17281,4.2,22969,2.00 32,1,2024-09-07 08:15:10:805,36711,36711,0,0,17559974086,183870558,36533,175,3,383,391595,0 32,2,2024-09-07 08:15:10:935,29524,29524,0,0,1686000,0,3155 32,3,2024-09-07 08:15:11:245,1,21,8,1,37,218,21,0 33,0,2024-09-07 08:15:11:542,4577,0.3,4541,0.4,9108,0.2,11931,1.75 33,1,2024-09-07 08:15:10:575,36288,36288,0,0,17621108021,186297630,35440,754,94,369,391497,0 33,2,2024-09-07 08:15:10:760,27318,27318,0,0,1903920,0,3364 33,3,2024-09-07 08:15:10:895,1,21,5,1,27,116,21,0 34,0,2024-09-07 08:15:10:944,2837,0.2,2920,0.3,5643,0.1,7410,1.50 34,1,2024-09-07 08:15:11:047,36757,36757,0,0,17340015792,181446440,36468,286,3,369,391481,0 34,2,2024-09-07 08:15:10:768,25010,25010,0,0,1715744,0,3073 34,3,2024-09-07 08:15:11:696,1,21,12,0,46,140,21,0 35,0,2024-09-07 08:15:10:869,3947,0.3,3897,0.5,7833,0.2,10587,1.75 35,1,2024-09-07 08:15:11:069,36488,36488,0,0,17389742072,184463072,35442,741,305,385,391587,0 35,2,2024-09-07 08:15:11:585,23695,23695,0,0,1221964,0,2276 35,3,2024-09-07 08:15:10:906,1,21,1,1,219,487,21,0 36,0,2024-09-07 08:15:11:592,8588,1.0,8530,1.1,17410,1.1,22943,2.50 36,1,2024-09-07 08:15:10:586,36049,36049,0,0,17157353149,187357157,34066,1226,757,370,391535,0 36,2,2024-09-07 08:15:11:754,28914,28914,0,0,1753819,0,2554 36,3,2024-09-07 08:15:10:871,1,21,378,1,378,686,21,0 37,0,2024-09-07 08:15:11:384,2738,0.2,2764,0.4,5504,0.1,7387,1.75 37,1,2024-09-07 08:15:10:571,35964,35957,0,7,17199238100,191382588,33833,617,1507,368,391507,0 37,2,2024-09-07 08:15:11:144,28168,28168,0,0,1346464,0,1448 37,3,2024-09-07 08:15:11:766,1,21,1,0,31,112,21,0 38,0,2024-09-07 08:15:11:457,3615,0.4,3477,0.6,7158,0.2,9299,2.00 38,1,2024-09-07 08:15:11:610,37378,37378,0,0,17943030539,188175789,36960,407,11,371,391512,0 38,2,2024-09-07 08:15:10:777,26291,26291,0,0,1602795,0,3245 38,3,2024-09-07 08:15:11:014,1,21,4,0,36,114,21,0 39,0,2024-09-07 08:15:11:769,3957,0.3,3897,0.6,7560,0.2,10153,2.00 39,1,2024-09-07 08:15:10:718,36930,36930,0,0,17334939424,184294639,35856,656,418,366,391482,0 39,2,2024-09-07 08:15:11:417,22911,22911,0,0,1005713,0,1786 39,3,2024-09-07 08:15:10:728,1,21,2,1,189,472,21,0 40,0,2024-09-07 08:15:11:503,7987,1.5,8146,3.1,16364,3.3,22305,3.50 40,1,2024-09-07 08:15:10:582,36661,36661,0,0,16864750998,184106530,34801,1333,527,371,391543,0 40,2,2024-09-07 08:15:11:316,27153,27152,1,0,2641043,0,5137 40,3,2024-09-07 08:15:11:143,1,21,15,2,63,262,21,0 41,0,2024-09-07 08:15:11:036,3924,8.2,4080,10.0,7922,9.9,11115,7.75 41,1,2024-09-07 08:15:10:772,36644,36644,0,0,17814731104,191316796,35396,1056,192,370,391483,0 41,2,2024-09-07 08:15:10:773,28278,28278,0,0,2639530,0,3325 41,3,2024-09-07 08:15:11:676,1,21,2,1,19,62,21,0 42,0,2024-09-07 08:15:11:502,5274,0.6,5302,0.9,10580,0.5,13778,2.50 42,1,2024-09-07 08:15:11:470,36171,36171,0,0,17261063188,191231637,34099,1370,702,381,391489,0 42,2,2024-09-07 08:15:11:135,26971,26971,0,0,2044001,0,1967 42,3,2024-09-07 08:15:11:019,1,21,87,0,100,320,21,0 43,0,2024-09-07 08:15:10:957,4432,0.3,4406,0.6,9201,0.2,11494,1.75 43,1,2024-09-07 08:15:10:576,36378,36378,0,0,18111230774,194870982,34965,822,591,368,391507,0 43,2,2024-09-07 08:15:11:742,23121,23121,0,0,1895383,0,3812 43,3,2024-09-07 08:15:11:749,1,21,49,1,292,753,21,0 44,0,2024-09-07 08:15:10:872,7256,0.5,7153,0.8,14312,0.5,19496,2.00 44,1,2024-09-07 08:15:10:564,36781,36781,0,0,16634063811,174053407,36460,313,8,358,391493,0 44,2,2024-09-07 08:15:11:267,25136,25136,0,0,1353974,0,1592 44,3,2024-09-07 08:15:11:093,1,21,1,1,817,1047,21,0 45,0,2024-09-07 08:15:11:764,6853,5.3,6694,2.9,13928,6.7,18878,2.50 45,1,2024-09-07 08:15:11:012,36732,36732,0,0,17861479976,187532759,36501,231,0,382,391510,0 45,2,2024-09-07 08:15:11:275,29709,29709,0,0,1856070,0,2038 45,3,2024-09-07 08:15:10:941,1,21,2,1,226,414,21,0 46,0,2024-09-07 08:15:10:963,5247,0.3,5221,0.6,10437,0.2,13874,1.75 46,1,2024-09-07 08:15:10:576,36943,36943,0,0,17438545525,182161652,36559,358,26,370,391514,0 46,2,2024-09-07 08:15:10:599,27703,27703,0,0,1588659,0,2835 46,3,2024-09-07 08:15:11:131,1,21,40,1,200,469,21,0 47,0,2024-09-07 08:15:11:116,3487,0.2,3468,0.4,6820,0.1,9172,1.50 47,1,2024-09-07 08:15:10:568,37150,37150,0,0,17024140551,177467605,36971,178,1,368,391481,0 47,2,2024-09-07 08:15:10:913,24746,24746,0,0,1671962,0,2558 47,3,2024-09-07 08:15:11:114,1,21,1,1,25,107,21,0 48,0,2024-09-07 08:15:11:517,4928,0.6,4821,0.7,9698,0.6,13210,2.00 48,1,2024-09-07 08:15:11:022,36431,36431,0,0,17357964421,184372000,35742,688,1,386,391516,0 48,2,2024-09-07 08:15:10:702,24134,24134,0,0,1111423,0,1521 48,3,2024-09-07 08:15:10:756,1,21,21,1,23,223,21,0 49,0,2024-09-07 08:15:11:731,8367,0.5,8329,0.6,15772,0.4,21984,1.75 49,1,2024-09-07 08:15:11:022,37098,37098,0,0,18161432408,190415419,36882,216,0,382,391583,0 49,2,2024-09-07 08:15:11:801,29247,29247,0,0,1724982,0,2196 49,3,2024-09-07 08:15:11:416,1,21,4,1,274,548,21,0 50,0,2024-09-07 08:15:11:523,3637,0.2,3603,0.5,7195,0.1,9816,1.75 50,1,2024-09-07 08:15:11:021,36939,36939,0,0,18171709837,190738248,36177,581,181,370,391530,0 50,2,2024-09-07 08:15:11:067,27713,27713,0,0,1211790,0,2034 50,3,2024-09-07 08:15:11:299,1,21,6,1,335,485,21,0 51,0,2024-09-07 08:15:11:701,3147,0.2,3076,0.3,6164,0.1,8077,1.50 51,1,2024-09-07 08:15:11:684,36405,36405,0,0,18271421668,193741397,35393,472,540,367,391504,0 51,2,2024-09-07 08:15:11:320,25699,25699,0,0,1001767,0,1936 51,3,2024-09-07 08:15:11:028,1,21,1,0,162,250,21,0 52,0,2024-09-07 08:15:11:423,4163,0.5,4140,1.0,8357,0.5,11035,2.25 52,1,2024-09-07 08:15:10:581,36523,36523,0,0,17173059398,183654802,35513,816,194,371,391498,0 52,2,2024-09-07 08:15:11:755,23274,23274,0,0,1551584,0,4779 52,3,2024-09-07 08:15:10:683,1,21,11,4,21,243,21,0 53,0,2024-09-07 08:15:11:756,8221,1.4,7888,1.2,16330,1.8,21954,2.50 53,1,2024-09-07 08:15:10:778,36913,36913,0,0,17964161597,192665079,35556,931,426,372,391523,0 53,2,2024-09-07 08:15:11:299,28463,28463,0,0,1269182,0,1520 53,3,2024-09-07 08:15:10:698,1,21,1,1,28,97,21,0 54,0,2024-09-07 08:15:11:625,3257,10.0,3271,10.0,6572,6.4,9873,6.25 54,1,2024-09-07 08:15:10:592,36759,36759,0,0,17996158984,188793401,36030,695,34,367,391520,0 54,2,2024-09-07 08:15:10:873,28106,28100,6,0,2105090,0,5382 54,3,2024-09-07 08:15:10:766,1,21,1,0,98,195,21,0 55,0,2024-09-07 08:15:11:768,4274,0.8,4420,0.9,8899,0.6,11248,2.50 55,1,2024-09-07 08:15:10:768,36426,36426,0,0,17390204165,185082916,35003,928,495,369,391660,0 55,2,2024-09-07 08:15:10:732,26954,26954,0,0,1432617,0,2129 55,3,2024-09-07 08:15:10:674,1,21,1,0,44,127,21,0 56,0,2024-09-07 08:15:11:641,3865,2.0,3652,1.6,7548,2.3,9679,4.25 56,1,2024-09-07 08:15:10:579,36477,36477,0,0,17922124306,196802382,34481,1414,582,384,391536,0 56,2,2024-09-07 08:15:11:322,23090,23090,0,0,1852397,0,3567 56,3,2024-09-07 08:15:11:059,1,21,11,2,297,487,21,0 57,0,2024-09-07 08:15:10:967,6959,4.5,6878,2.6,13809,6.5,19215,4.75 57,1,2024-09-07 08:15:10:995,36911,36911,0,0,16648688470,178886711,36091,806,14,369,391505,0 57,2,2024-09-07 08:15:11:323,26224,26224,0,0,2126190,0,2687 57,3,2024-09-07 08:15:11:747,1,21,8,1,359,576,21,0 58,0,2024-09-07 08:15:10:565,5022,5.2,4859,3.1,10119,6.1,13435,7.25 58,1,2024-09-07 08:15:10:579,36792,36791,0,1,18642360108,199439849,35533,1101,157,372,391482,1 58,2,2024-09-07 08:15:11:071,29213,29213,0,0,1975804,0,2464 58,3,2024-09-07 08:15:11:068,1,21,219,1,219,373,21,0 59,0,2024-09-07 08:15:11:751,5568,1.4,5608,1.3,11104,1.7,14292,3.25 59,1,2024-09-07 08:15:10:806,36817,36817,0,0,17386804340,184492321,36265,549,3,371,391515,0 59,2,2024-09-07 08:15:10:583,27410,27410,0,0,1615250,0,1708 59,3,2024-09-07 08:15:11:740,1,21,25,1,25,142,21,0 60,0,2024-09-07 08:15:11:730,4155,0.4,4159,0.7,8145,0.2,10964,1.75 60,1,2024-09-07 08:15:10:777,37087,37087,0,0,18017204426,191162013,36245,499,343,370,391672,0 60,2,2024-09-07 08:15:11:182,23739,23739,0,0,1284410,0,2142 60,3,2024-09-07 08:15:11:269,1,21,17,0,124,355,21,0 61,0,2024-09-07 08:15:11:562,5795,3.8,5840,2.4,11518,4.4,15945,4.00 61,1,2024-09-07 08:15:10:789,36516,36516,0,0,17361476409,188037650,34933,1128,455,383,391560,0 61,2,2024-09-07 08:15:11:118,24956,24956,0,0,1524160,0,1846 61,3,2024-09-07 08:15:11:691,1,21,124,1,124,287,21,0 62,0,2024-09-07 08:15:11:718,8468,3.4,8702,1.8,16954,5.1,22959,3.50 62,1,2024-09-07 08:15:11:132,36855,36854,0,1,17698705581,185607217,36393,459,2,368,391586,1 62,2,2024-09-07 08:15:11:649,29149,29149,0,0,1966707,0,2931 62,3,2024-09-07 08:15:11:152,1,21,5,1,287,402,21,0 63,0,2024-09-07 08:15:11:474,4533,1.0,4554,1.1,8991,1.4,12186,2.75 63,1,2024-09-07 08:15:10:806,37107,37105,0,2,17979780666,187214656,36961,143,1,382,391540,2 63,2,2024-09-07 08:15:10:774,27448,27448,0,0,1703046,0,2177 63,3,2024-09-07 08:15:11:738,1,21,46,0,216,583,21,0 64,0,2024-09-07 08:15:11:637,2816,0.2,2830,0.4,5709,0.1,7446,1.50 64,1,2024-09-07 08:15:10:753,36056,36056,0,0,17418266976,186330731,34841,919,296,371,391604,0 64,2,2024-09-07 08:15:11:145,25488,25488,0,0,1223905,0,2510 64,3,2024-09-07 08:15:11:169,1,21,8,1,163,360,21,0 65,0,2024-09-07 08:15:11:707,3955,0.3,4020,0.6,7659,0.2,10504,2.50 65,1,2024-09-07 08:15:10:864,36828,36828,0,0,17521046530,185419500,36209,588,31,382,391506,0 65,2,2024-09-07 08:15:11:694,23251,23251,0,0,1577829,0,3367 65,3,2024-09-07 08:15:11:684,1,21,2,1,122,347,21,0 66,0,2024-09-07 08:15:11:794,8458,0.4,8569,0.6,17270,0.3,22958,1.75 66,1,2024-09-07 08:15:11:329,37378,37378,0,0,17947768897,187820897,37017,345,16,381,391537,0 66,2,2024-09-07 08:15:11:137,28924,28924,0,0,1569926,0,2934 66,3,2024-09-07 08:15:11:080,1,21,88,1,88,189,21,0 67,0,2024-09-07 08:15:11:435,2752,0.2,2750,0.4,5498,0.1,7244,2.00 67,1,2024-09-07 08:15:10:768,36855,36854,0,1,17362173034,183353144,36380,450,24,383,391567,1 67,2,2024-09-07 08:15:10:585,28204,28204,0,0,1536313,0,2104 67,3,2024-09-07 08:15:11:752,1,21,3,1,58,147,21,0 68,0,2024-09-07 08:15:10:573,3524,0.3,3509,0.7,7213,0.2,9242,2.00 68,1,2024-09-07 08:15:10:584,36331,36331,0,0,17219894062,184930361,35418,467,446,383,391495,0 68,2,2024-09-07 08:15:11:047,26507,26507,0,0,1731722,0,4883 68,3,2024-09-07 08:15:10:731,1,21,8,1,63,263,21,0 69,0,2024-09-07 08:15:11:795,3896,0.7,3789,0.9,7554,0.8,10208,2.25 69,1,2024-09-07 08:15:11:040,36037,36037,0,0,17277881134,189749755,34658,517,862,386,391484,0 69,2,2024-09-07 08:15:11:749,23088,23088,0,0,1026270,0,2462 69,3,2024-09-07 08:15:10:766,1,21,238,0,238,387,21,0 70,0,2024-09-07 08:15:11:554,8213,3.9,8201,4.2,16597,1.3,22355,3.25 70,1,2024-09-07 08:15:10:802,37051,37051,0,0,17709009179,184851345,36790,244,17,369,391527,0 70,2,2024-09-07 08:15:11:326,27584,27584,0,0,1427549,0,1937 70,3,2024-09-07 08:15:10:746,1,21,7,1,69,128,21,0 71,0,2024-09-07 08:15:11:374,4017,8.5,4036,9.4,7824,10.0,11411,7.25 71,1,2024-09-07 08:15:11:623,36788,36788,0,0,17910034256,189595286,35918,743,127,368,391584,0 71,2,2024-09-07 08:15:11:071,28654,28654,0,0,1655494,0,2146 71,3,2024-09-07 08:15:11:758,1,21,1,1,174,364,21,0 72,0,2024-09-07 08:15:11:083,5468,0.5,5320,0.8,10566,0.3,13867,3.00 72,1,2024-09-07 08:15:11:035,36680,36680,0,0,17308039181,185125700,35711,960,9,370,391514,0 72,2,2024-09-07 08:15:11:760,26610,26610,0,0,2571795,0,2570 72,3,2024-09-07 08:15:11:761,1,21,12,1,325,538,21,0 73,0,2024-09-07 08:15:11:133,4375,0.4,4476,0.6,9028,0.2,11452,2.25 73,1,2024-09-07 08:15:10:783,36828,36828,0,0,17146790927,181238332,36546,281,1,370,391495,0 73,2,2024-09-07 08:15:11:747,22965,22965,0,0,1878822,0,3482 73,3,2024-09-07 08:15:10:973,1,21,12,0,274,635,21,0 74,0,2024-09-07 08:15:11:335,7212,1.1,7376,1.1,14233,1.7,19561,3.00 74,1,2024-09-07 08:15:10:645,36524,36524,0,0,17094567024,181086537,36028,494,2,384,391494,0 74,2,2024-09-07 08:15:11:012,25361,25361,0,0,2070061,0,2736 74,3,2024-09-07 08:15:11:442,1,21,2,0,192,714,21,0 75,0,2024-09-07 08:15:11:774,7044,5.0,7046,2.7,13864,6.2,18955,3.75 75,1,2024-09-07 08:15:11:590,36956,36956,0,0,17692584666,187877244,36336,569,51,382,391514,0 75,2,2024-09-07 08:15:11:358,29084,29084,0,0,2868996,0,4766 75,3,2024-09-07 08:15:11:067,1,21,1,1,38,97,21,0 76,0,2024-09-07 08:15:10:608,5266,0.4,5271,0.7,10380,0.3,13649,2.25 76,1,2024-09-07 08:15:10:806,36465,36465,0,0,17078222972,184685525,35147,879,439,382,391530,0 76,2,2024-09-07 08:15:11:069,28220,28220,0,0,1333548,0,1579 76,3,2024-09-07 08:15:11:157,1,21,19,0,140,385,21,0 77,0,2024-09-07 08:15:11:718,3435,0.3,3449,0.6,6788,0.2,9081,2.00 77,1,2024-09-07 08:15:10:825,36672,36672,0,0,17883899275,189428821,35940,665,67,383,391512,0 77,2,2024-09-07 08:15:11:284,24396,24396,0,0,1123086,0,1637 77,3,2024-09-07 08:15:11:098,1,21,1,0,131,230,21,0 78,0,2024-09-07 08:15:11:731,4773,4.6,4705,2.8,9527,5.4,12933,3.75 78,1,2024-09-07 08:15:10:620,37033,37033,0,0,16730281373,177189384,36492,504,37,370,391540,0 78,2,2024-09-07 08:15:11:404,24255,24255,0,0,1311855,0,2114 78,3,2024-09-07 08:15:11:134,1,21,36,0,112,405,21,0 79,0,2024-09-07 08:15:11:361,7963,0.6,8225,0.8,16486,0.5,21629,2.50 79,1,2024-09-07 08:15:10:588,36860,36860,0,0,17354920974,183613911,36208,646,6,372,391486,0 79,2,2024-09-07 08:15:11:069,29132,29132,0,0,1662111,0,2679 79,3,2024-09-07 08:15:10:751,1,21,57,0,289,452,21,0 80,0,2024-09-07 08:15:11:088,3586,0.3,3655,0.6,7151,0.2,9694,1.75 80,1,2024-09-07 08:15:11:640,36433,36433,0,0,17321143559,183015655,35555,829,49,372,391673,0 80,2,2024-09-07 08:15:11:092,27326,27326,0,0,1489067,0,1940 80,3,2024-09-07 08:15:10:580,1,21,13,1,148,582,21,0 81,0,2024-09-07 08:15:11:562,3109,0.2,3198,0.4,6117,0.1,8051,1.50 81,1,2024-09-07 08:15:11:665,36782,36782,0,0,17295438088,182945917,36238,537,7,383,391646,0 81,2,2024-09-07 08:15:11:134,25384,25384,0,0,1643003,0,2811 81,3,2024-09-07 08:15:11:117,1,21,1,1,116,239,21,0 82,0,2024-09-07 08:15:11:548,4181,0.3,4098,0.6,8353,0.2,11149,1.75 82,1,2024-09-07 08:15:10:585,36346,36345,0,1,17250510373,184880460,35081,794,470,384,391558,1 82,2,2024-09-07 08:15:11:696,23370,23370,0,0,1073849,0,1286 82,3,2024-09-07 08:15:11:754,1,21,53,1,65,284,21,0 83,0,2024-09-07 08:15:11:535,8262,0.9,8192,0.9,16312,1.1,21863,2.25 83,1,2024-09-07 08:15:10:555,36709,36709,0,0,17373101639,184840853,35943,687,79,384,391553,0 83,2,2024-09-07 08:15:10:773,28121,28121,0,0,1692475,0,2512 83,3,2024-09-07 08:15:10:751,1,21,1,1,27,159,21,0 84,0,2024-09-07 08:15:11:779,3553,10.0,3499,10.0,7086,5.2,10453,5.75 84,1,2024-09-07 08:15:11:050,36892,36892,0,0,17805020880,190168300,35878,775,239,370,391630,0 84,2,2024-09-07 08:15:10:596,27811,27811,0,0,1917187,0,3801 84,3,2024-09-07 08:15:11:142,1,21,9,1,13,186,21,0 85,0,2024-09-07 08:15:11:021,4276,0.4,4313,0.6,9041,0.3,11327,2.00 85,1,2024-09-07 08:15:10:634,36228,36228,0,0,17628508952,190420162,34793,1144,291,384,391505,0 85,2,2024-09-07 08:15:10:870,26597,26597,0,0,1892218,0,3656 85,3,2024-09-07 08:15:10:697,1,21,1,1,88,161,21,0 86,0,2024-09-07 08:15:10:895,3755,0.3,3944,0.4,7663,0.2,9896,1.75 86,1,2024-09-07 08:15:10:953,36413,36413,0,0,17319177667,183050964,35658,726,29,367,391506,0 86,2,2024-09-07 08:15:10:854,23006,23005,1,0,1709558,0,5004 86,3,2024-09-07 08:15:10:610,1,21,2,1,32,155,21,0 87,0,2024-09-07 08:15:11:314,7166,3.2,7228,1.9,14567,4.2,19941,2.00 87,1,2024-09-07 08:15:10:557,36566,36566,0,0,17499598319,185191008,35848,670,48,369,391564,0 87,2,2024-09-07 08:15:11:068,26222,26222,0,0,1181191,0,2148 87,3,2024-09-07 08:15:11:794,1,21,9,1,322,726,21,0 88,0,2024-09-07 08:15:11:451,5771,2.9,5812,1.9,11561,4.0,15320,2.50 88,1,2024-09-07 08:15:10:716,36739,36739,0,0,17523839779,187096670,35862,785,92,368,391747,0 88,2,2024-09-07 08:15:10:705,29295,29295,0,0,2078253,0,2675 88,3,2024-09-07 08:15:11:268,1,21,19,1,77,315,21,0 89,0,2024-09-07 08:15:11:812,5744,0.4,5547,0.7,11128,0.4,14572,1.75 89,1,2024-09-07 08:15:10:583,36190,36190,0,0,17562710148,189713334,35140,542,508,384,391763,0 89,2,2024-09-07 08:15:11:139,27321,27321,0,0,2012478,0,2726 89,3,2024-09-07 08:15:11:814,1,21,2,2,153,434,21,0 90,0,2024-09-07 08:15:11:633,4054,0.2,4121,0.4,8333,0.2,10950,1.50 90,1,2024-09-07 08:15:10:598,36609,36609,0,0,16863108467,183199190,35155,1330,124,382,391522,0 90,2,2024-09-07 08:15:11:411,23466,23466,0,0,1912493,0,2635 90,3,2024-09-07 08:15:10:956,1,21,1,1,19,118,21,0 91,0,2024-09-07 08:15:10:931,6083,0.6,5919,0.8,12233,0.7,16381,1.75 91,1,2024-09-07 08:15:10:561,36758,36758,0,0,17975634973,193076830,35592,999,167,385,391914,0 91,2,2024-09-07 08:15:11:335,24303,24303,0,0,1428903,0,1997 91,3,2024-09-07 08:15:10:601,1,21,1,1,155,333,21,0 92,0,2024-09-07 08:15:11:455,8592,2.6,8844,1.6,16995,4.2,23144,2.00 92,1,2024-09-07 08:15:10:584,36789,36789,0,0,16950464903,178624393,36458,284,47,383,391569,0 92,2,2024-09-07 08:15:11:354,29961,29961,0,0,1886911,0,2279 92,3,2024-09-07 08:15:11:020,1,21,9,1,68,294,21,0 93,0,2024-09-07 08:15:10:974,4562,0.7,4572,0.8,8754,0.6,12100,2.00 93,1,2024-09-07 08:15:10:828,36266,36266,0,0,17449854977,187023667,35194,750,322,368,391689,0 93,2,2024-09-07 08:15:10:935,27689,27689,0,0,1449759,0,1798 93,3,2024-09-07 08:15:11:413,1,21,1,1,143,283,21,0 94,0,2024-09-07 08:15:11:630,2933,0.2,2862,0.4,5664,0.2,7568,1.75 94,1,2024-09-07 08:15:10:587,36366,36366,0,0,17172911177,182892132,35758,600,8,382,391850,0 94,2,2024-09-07 08:15:10:785,25133,25133,0,0,1545472,0,1446 94,3,2024-09-07 08:15:11:695,1,21,1,1,231,545,21,0 95,0,2024-09-07 08:15:11:374,3991,0.7,3894,0.8,7984,0.7,10838,2.00 95,1,2024-09-07 08:15:10:861,36597,36597,0,0,16976654790,178472417,36357,236,4,369,391590,0 95,2,2024-09-07 08:15:11:023,23495,23495,0,0,1378777,0,3308 95,3,2024-09-07 08:15:11:723,1,21,11,1,45,171,21,0 96,0,2024-09-07 08:15:11:290,8822,0.4,8658,0.5,17468,0.4,23146,1.75 96,1,2024-09-07 08:15:11:587,36475,36475,0,0,17284670013,186631066,35485,434,556,385,391551,0 96,2,2024-09-07 08:15:11:272,28952,28952,0,0,1499746,0,1653 96,3,2024-09-07 08:15:11:147,1,21,22,7,31,298,21,0 97,0,2024-09-07 08:15:11:382,2768,0.2,2784,0.3,5489,0.1,7346,1.50 97,1,2024-09-07 08:15:10:769,36851,36851,0,0,17793781962,188816348,35903,568,380,367,391547,0 97,2,2024-09-07 08:15:10:619,27921,27921,0,0,1357672,0,1663 97,3,2024-09-07 08:15:10:570,1,21,3,1,97,278,21,0 98,0,2024-09-07 08:15:11:706,3585,0.2,3492,0.4,7078,0.2,9297,1.50 98,1,2024-09-07 08:15:10:573,36586,36586,0,0,17593125226,184518178,36384,200,2,384,391588,0 98,2,2024-09-07 08:15:10:779,26402,26402,0,0,1611359,0,2410 98,3,2024-09-07 08:15:10:700,1,21,1,1,155,246,21,0 99,0,2024-09-07 08:15:11:542,3771,0.2,3731,0.4,7486,0.2,10122,1.50 99,1,2024-09-07 08:15:11:735,36960,36960,0,0,17148649504,179371301,36724,218,18,382,391602,0 99,2,2024-09-07 08:15:11:419,23017,23017,0,0,1319210,0,1732 99,3,2024-09-07 08:15:10:581,1,21,1,1,65,219,21,0 100,0,2024-09-07 08:15:11:475,8021,1.5,8068,4.7,16160,5.2,22260,2.75 100,1,2024-09-07 08:15:10:549,36626,36626,0,0,17652025329,192778833,34998,1369,259,382,391505,0 100,2,2024-09-07 08:15:11:822,27519,27508,11,0,2014390,0,5417 100,3,2024-09-07 08:15:11:733,1,21,13,1,443,1001,21,0 101,0,2024-09-07 08:15:11:756,4263,8.9,4150,6.5,8388,9.1,12367,4.75 101,1,2024-09-07 08:15:10:570,36409,36409,0,0,17528684826,188084601,35424,561,424,370,391531,0 101,2,2024-09-07 08:15:11:763,28615,28615,0,0,2158551,0,4644 101,3,2024-09-07 08:15:10:955,1,21,1,1,448,584,21,0 102,0,2024-09-07 08:15:10:960,5248,0.9,5394,0.8,10755,0.6,13786,2.25 102,1,2024-09-07 08:15:11:144,36929,36929,0,0,17472402224,184836338,36389,530,10,371,391621,0 102,2,2024-09-07 08:15:11:741,27143,27143,0,0,1277382,0,1699 102,3,2024-09-07 08:15:11:614,1,21,13,0,410,619,21,0 103,0,2024-09-07 08:15:11:599,4556,0.3,4558,0.4,8652,0.2,11406,1.50 103,1,2024-09-07 08:15:11:636,36999,36999,0,0,17640807684,185432010,36638,314,47,381,391680,0 103,2,2024-09-07 08:15:10:585,23654,23654,0,0,1161572,0,1484 103,3,2024-09-07 08:15:10:759,1,21,17,1,486,762,21,0 104,0,2024-09-07 08:15:11:122,7248,1.7,7356,1.4,14160,2.2,19525,2.25 104,1,2024-09-07 08:15:11:607,36602,36602,0,0,16982727872,185070112,35118,1168,316,369,391948,0 104,2,2024-09-07 08:15:11:674,24783,24783,0,0,1891358,0,3941 104,3,2024-09-07 08:15:11:416,1,21,8,8,25,293,21,0 105,0,2024-09-07 08:15:11:047,6876,5.1,6631,2.9,13665,7.6,18691,4.75 105,1,2024-09-07 08:15:10:557,36323,36323,0,0,17001251922,185672121,34632,1126,565,367,391797,0 105,2,2024-09-07 08:15:11:328,29173,29173,0,0,2213667,0,3314 105,3,2024-09-07 08:15:11:320,1,21,4,1,169,578,21,0 106,0,2024-09-07 08:15:10:984,5053,0.4,5139,0.8,10402,0.3,13826,2.00 106,1,2024-09-07 08:15:11:753,36434,36434,0,0,17189221534,185638503,35151,1081,202,371,391767,0 106,2,2024-09-07 08:15:10:810,27642,27642,0,0,1868339,0,1818 106,3,2024-09-07 08:15:10:691,1,21,1,1,171,242,21,0 107,0,2024-09-07 08:15:11:109,3448,0.3,3368,0.5,6751,0.2,9086,1.75 107,1,2024-09-07 08:15:10:596,36603,36603,0,0,17368822667,185925828,35727,750,126,382,391848,0 107,2,2024-09-07 08:15:11:321,24197,24196,1,0,1537612,0,5024 107,3,2024-09-07 08:15:11:789,1,21,21,1,75,326,21,0 108,0,2024-09-07 08:15:11:783,4884,2.3,4943,1.6,9580,2.8,13196,2.25 108,1,2024-09-07 08:15:11:310,36460,36460,0,0,17376248189,185117626,35447,909,104,372,391563,0 108,2,2024-09-07 08:15:11:755,24249,24249,0,0,1663620,0,2647 108,3,2024-09-07 08:15:11:337,1,21,9,1,60,308,21,0 109,0,2024-09-07 08:15:11:782,8254,0.5,8344,0.7,16076,0.5,22162,1.75 109,1,2024-09-07 08:15:10:596,36639,36639,0,0,17870220528,190441453,35954,633,52,385,391576,0 109,2,2024-09-07 08:15:10:925,28228,28228,0,0,2164018,0,3617 109,3,2024-09-07 08:15:11:140,1,21,2,1,120,459,21,0 110,0,2024-09-07 08:15:11:812,3635,0.3,3546,0.5,7342,0.2,9764,1.75 110,1,2024-09-07 08:15:11:643,37008,37008,0,0,17096946700,180625591,36379,356,273,371,391564,0 110,2,2024-09-07 08:15:11:323,27627,27627,0,0,1803579,0,2915 110,3,2024-09-07 08:15:10:695,1,21,2,1,183,318,21,0 111,0,2024-09-07 08:15:11:425,3063,0.2,3051,0.3,6212,0.1,8016,1.50 111,1,2024-09-07 08:15:11:001,36804,36804,0,0,18160208123,188753402,36659,133,12,383,391597,0 111,2,2024-09-07 08:15:11:131,26064,26064,0,0,1447405,0,2256 111,3,2024-09-07 08:15:10:918,1,21,24,1,106,500,21,0 112,0,2024-09-07 08:15:10:929,4108,0.4,4173,0.5,8417,0.2,11125,1.50 112,1,2024-09-07 08:15:10:831,36861,36861,0,0,17634964981,186111794,36387,456,18,382,391512,0 112,2,2024-09-07 08:15:11:134,23195,23195,0,0,1261990,0,3152 112,3,2024-09-07 08:15:10:592,1,21,8,1,282,467,21,0 113,0,2024-09-07 08:15:10:875,8132,0.4,8084,0.6,16482,0.4,22181,1.75 113,1,2024-09-07 08:15:11:695,36871,36871,0,0,18064533862,189243024,36341,499,31,368,391661,0 113,2,2024-09-07 08:15:11:305,28081,28081,0,0,1303818,0,1597 113,3,2024-09-07 08:15:10:684,1,21,11,1,130,336,21,0 114,0,2024-09-07 08:15:10:884,3951,7.2,4055,5.7,7875,3.9,10924,2.50 114,1,2024-09-07 08:15:10:727,37040,37040,0,0,18052457876,188339733,36737,303,0,381,391513,0 114,2,2024-09-07 08:15:10:873,28205,28205,0,0,1606601,0,3433 114,3,2024-09-07 08:15:11:278,1,21,20,1,159,356,21,0 115,0,2024-09-07 08:15:10:562,4383,0.2,4456,0.5,8860,0.1,11397,1.50 115,1,2024-09-07 08:15:10:573,36663,36663,0,0,17976695714,191016567,35699,774,190,384,391502,0 115,2,2024-09-07 08:15:11:126,27285,27285,0,0,1325212,0,1584 115,3,2024-09-07 08:15:11:012,1,21,0,0,40,104,21,0 116,0,2024-09-07 08:15:11:725,3788,0.3,3794,0.5,7694,0.2,9882,1.75 116,1,2024-09-07 08:15:10:806,36430,36430,0,0,18085943463,195831411,35282,312,836,382,391573,0 116,2,2024-09-07 08:15:11:763,23254,23254,0,0,1106424,0,2154 116,3,2024-09-07 08:15:10:912,1,21,8,2,132,352,21,0 117,0,2024-09-07 08:15:11:016,7274,3.2,7298,1.9,14515,4.1,19915,2.25 117,1,2024-09-07 08:15:11:585,36899,36899,0,0,17746759533,188760072,36000,680,219,371,391601,0 117,2,2024-09-07 08:15:11:129,26206,26206,0,0,1695045,0,3700 117,3,2024-09-07 08:15:11:059,1,21,3,1,490,1021,21,0 118,0,2024-09-07 08:15:11:798,5627,2.5,5673,1.6,11766,3.7,15243,3.00 118,1,2024-09-07 08:15:10:603,36755,36755,0,0,16930704513,178017797,36417,337,1,368,391725,0 118,2,2024-09-07 08:15:11:593,29694,29694,0,0,1496970,0,1450 118,3,2024-09-07 08:15:11:783,1,21,1,1,79,267,21,0 119,0,2024-09-07 08:15:11:385,5387,0.3,5520,0.6,11272,0.2,14542,1.75 119,1,2024-09-07 08:15:10:611,36504,36504,0,0,18112619246,192030763,35664,708,132,371,391576,0 119,2,2024-09-07 08:15:11:262,27442,27442,0,0,1446491,0,2222 119,3,2024-09-07 08:15:11:325,1,21,1,1,443,914,21,0 120,0,2024-09-07 08:15:11:579,4124,0.3,4103,0.6,8310,0.2,10973,1.75 120,1,2024-09-07 08:15:10:860,36667,36667,0,0,17175494760,184521062,35836,823,8,369,391702,0 120,2,2024-09-07 08:15:10:775,23402,23401,1,0,2300017,0,5281 120,3,2024-09-07 08:15:11:299,1,21,12,2,241,628,21,0 121,0,2024-09-07 08:15:11:709,5864,4.1,5875,2.4,11793,5.0,16345,2.75 121,1,2024-09-07 08:15:11:656,36392,36392,0,0,17046797585,185002029,35177,978,237,370,391633,0 121,2,2024-09-07 08:15:11:152,24736,24736,0,0,2075118,0,4127 121,3,2024-09-07 08:15:10:728,1,21,5,1,71,220,21,0 122,0,2024-09-07 08:15:11:784,8394,4.0,8163,3.6,16960,4.2,23272,3.00 122,1,2024-09-07 08:15:10:860,35893,35893,0,0,17428910991,191980025,34010,1515,368,369,392130,0 122,2,2024-09-07 08:15:11:324,29402,29402,0,0,2676553,0,2574 122,3,2024-09-07 08:15:10:602,1,21,7,1,226,1081,21,0 123,0,2024-09-07 08:15:10:989,4479,0.4,4305,0.8,8961,0.3,12065,2.00 123,1,2024-09-07 08:15:10:590,36256,36256,0,0,17536320931,193575630,33864,1938,454,371,391823,0 123,2,2024-09-07 08:15:11:026,27087,27086,1,0,2342298,0,5215 123,3,2024-09-07 08:15:11:132,1,21,80,1,160,519,21,0 124,0,2024-09-07 08:15:10:942,2955,0.2,2925,0.4,5685,0.1,7513,1.50 124,1,2024-09-07 08:15:11:030,37021,37021,0,0,17469557800,182736033,36880,140,1,370,392129,0 124,2,2024-09-07 08:15:11:012,25110,25110,0,0,1363856,0,2477 124,3,2024-09-07 08:15:10:777,1,21,9,2,490,1016,21,0 125,0,2024-09-07 08:15:11:453,3955,0.4,3965,0.6,7911,0.3,10816,1.75 125,1,2024-09-07 08:15:10:865,36569,36569,0,0,17356854275,185414401,35739,810,20,385,391702,0 125,2,2024-09-07 08:15:11:125,23498,23498,0,0,1425468,0,2180 125,3,2024-09-07 08:15:11:128,1,21,56,1,93,451,21,0 126,0,2024-09-07 08:15:11:432,8617,0.6,8850,0.8,17015,0.7,23143,1.75 126,1,2024-09-07 08:15:10:560,36877,36877,0,0,17631832413,185663650,36451,418,8,368,391719,0 126,2,2024-09-07 08:15:10:622,28772,28772,0,0,1952457,0,3186 126,3,2024-09-07 08:15:10:965,1,21,4,1,45,178,21,0 127,0,2024-09-07 08:15:11:616,2735,0.2,2758,0.4,5532,0.2,7384,1.50 127,1,2024-09-07 08:15:10:588,36377,36377,0,0,16766395510,177245956,35659,696,22,366,391488,0 127,2,2024-09-07 08:15:10:670,27970,27970,0,0,1537365,0,1744 127,3,2024-09-07 08:15:11:276,1,21,52,0,99,284,21,0 128,0,2024-09-07 08:15:11:658,3544,0.3,3577,0.5,7052,0.2,9242,1.75 128,1,2024-09-07 08:15:11:619,36924,36924,0,0,17857491637,186311641,36738,182,4,370,391605,0 128,2,2024-09-07 08:15:11:386,25934,25934,0,0,1740104,0,1919 128,3,2024-09-07 08:15:10:771,1,21,16,1,20,237,21,0 129,0,2024-09-07 08:15:11:005,3789,0.4,3863,0.6,7617,0.3,10078,1.75 129,1,2024-09-07 08:15:10:571,36544,36544,0,0,17372602169,185528614,35559,720,265,379,391835,0 129,2,2024-09-07 08:15:10:686,22923,22923,0,0,1063515,0,2289 129,3,2024-09-07 08:15:10:688,1,21,8,1,82,240,21,0 130,0,2024-09-07 08:15:11:735,8330,0.9,8195,1.0,16551,1.5,22490,2.00 130,1,2024-09-07 08:15:10:601,37002,37002,0,0,17922373013,188554342,36627,374,1,381,391516,0 130,2,2024-09-07 08:15:11:129,27434,27434,0,0,1374356,0,1539 130,3,2024-09-07 08:15:11:301,1,21,2,1,66,173,21,0 131,0,2024-09-07 08:15:11:942,4644,3.1,4521,2.3,9275,3.7,12336,2.75 131,1,2024-09-07 08:15:11:832,36915,36915,0,0,17131631865,182140186,36252,492,171,385,391727,0 131,2,2024-09-07 08:15:10:568,28422,28422,0,0,1549896,0,1766 131,3,2024-09-07 08:15:11:692,1,21,1,1,23,94,21,0 132,0,2024-09-07 08:15:11:422,5238,0.5,5358,0.9,10654,0.5,13863,2.00 132,1,2024-09-07 08:15:10:586,36312,36312,0,0,16965769726,183059863,35001,1049,262,383,391533,0 132,2,2024-09-07 08:15:10:713,26984,26984,0,0,2845762,0,4606 132,3,2024-09-07 08:15:11:691,1,21,18,3,356,598,21,0 133,0,2024-09-07 08:15:11:571,4388,0.3,4463,0.5,9099,0.2,11364,1.75 133,1,2024-09-07 08:15:10:584,36290,36290,0,0,17027013488,181282685,35522,764,4,385,391492,0 133,2,2024-09-07 08:15:11:088,23669,23669,0,0,1168926,0,1707 133,3,2024-09-07 08:15:11:299,1,21,2,1,26,97,21,0 134,0,2024-09-07 08:15:10:979,7174,0.4,7195,0.8,14451,0.4,19485,1.75 134,1,2024-09-07 08:15:10:593,36617,36617,0,0,17441600358,188882376,35110,760,747,366,391508,0 134,2,2024-09-07 08:15:11:757,25008,25008,0,0,1392900,0,2026 134,3,2024-09-07 08:15:10:756,1,21,2,1,63,342,21,0 135,0,2024-09-07 08:15:11:113,6960,3.5,6894,2.9,14646,3.4,18678,3.00 135,1,2024-09-07 08:15:11:605,36562,36562,0,0,17764239930,186071670,36191,355,16,383,391513,0 135,2,2024-09-07 08:15:10:688,29372,29372,0,0,1668059,0,3016 135,3,2024-09-07 08:15:11:012,1,21,1,1,68,208,21,0 136,0,2024-09-07 08:15:11:644,5263,0.5,5183,0.9,10416,0.4,13875,2.50 136,1,2024-09-07 08:15:11:446,36883,36883,0,0,17638008069,190876406,35551,1191,141,385,391501,0 136,2,2024-09-07 08:15:11:135,28365,28365,0,0,1542562,0,1692 136,3,2024-09-07 08:15:11:110,1,21,8,1,108,415,21,0 137,0,2024-09-07 08:15:10:947,3482,0.3,3432,0.6,6662,0.2,9089,2.00 137,1,2024-09-07 08:15:10:580,36727,36727,0,0,17592334144,187950431,35897,787,43,368,391489,0 137,2,2024-09-07 08:15:11:706,23644,23644,0,0,2169341,0,2294 137,3,2024-09-07 08:15:10:771,1,21,2,1,227,456,21,0 138,0,2024-09-07 08:15:11:759,4782,1.1,4862,1.2,9817,1.3,13187,2.75 138,1,2024-09-07 08:15:11:703,36548,36548,0,0,17386941586,188464472,35118,1199,231,371,391572,0 138,2,2024-09-07 08:15:10:585,24121,24121,0,0,1672426,0,3263 138,3,2024-09-07 08:15:10:619,1,21,2,1,68,241,21,0 139,0,2024-09-07 08:15:11:394,7736,5.3,7695,3.2,15753,6.1,21342,4.25 139,1,2024-09-07 08:15:10:584,36134,36134,0,0,17029279662,190041200,34156,1295,683,383,391511,0 139,2,2024-09-07 08:15:10:701,29147,29147,0,0,2187117,0,2425 139,3,2024-09-07 08:15:11:668,1,21,5,1,120,443,21,0 140,0,2024-09-07 08:15:11:603,3626,0.6,3563,0.9,7158,0.5,9847,2.00 140,1,2024-09-07 08:15:11:539,36952,36952,0,0,17696819630,184835247,36777,175,0,366,391482,0 140,2,2024-09-07 08:15:10:687,27342,27342,0,0,2105609,0,3303 140,3,2024-09-07 08:15:10:769,1,21,12,1,25,206,21,0 141,0,2024-09-07 08:15:11:718,3040,0.2,3130,0.4,6081,0.1,8026,1.50 141,1,2024-09-07 08:15:10:860,36909,36909,0,0,18390371427,195030055,36040,638,231,382,391532,0 141,2,2024-09-07 08:15:11:694,25817,25817,0,0,1572244,0,2342 141,3,2024-09-07 08:15:11:046,1,21,1,0,53,170,21,0 142,0,2024-09-07 08:15:11:363,4284,0.4,4173,0.7,8431,0.3,11173,2.00 142,1,2024-09-07 08:15:10:589,36866,36866,0,0,17547556893,184388549,36708,158,0,385,391576,0 142,2,2024-09-07 08:15:11:310,23165,23165,0,0,1593464,0,2293 142,3,2024-09-07 08:15:11:747,1,21,1,1,53,142,21,0 143,0,2024-09-07 08:15:11:432,8104,1.6,8076,1.3,16315,1.9,22015,2.25 143,1,2024-09-07 08:15:10:567,36532,36532,0,0,17783335557,187285172,35847,664,21,370,391608,0 143,2,2024-09-07 08:15:10:780,28002,28002,0,0,1834869,0,2572 143,3,2024-09-07 08:15:11:150,1,21,6,1,236,591,21,0 144,0,2024-09-07 08:15:11:535,3578,6.9,3719,10.0,7422,7.0,10787,3.25 144,1,2024-09-07 08:15:10:566,36341,36341,0,0,17315793399,184050111,35714,577,50,383,391562,0 144,2,2024-09-07 08:15:11:759,27914,27914,0,0,1628761,0,2653 144,3,2024-09-07 08:15:11:739,1,21,8,1,112,446,21,0 145,0,2024-09-07 08:15:11:387,4285,0.4,4299,0.7,8933,0.3,11326,2.00 145,1,2024-09-07 08:15:10:554,36406,36406,0,0,17134778410,180589347,36158,248,0,384,391603,0 145,2,2024-09-07 08:15:11:439,26983,26983,0,0,2270458,0,3903 145,3,2024-09-07 08:15:10:896,1,21,1,1,151,563,21,0 146,0,2024-09-07 08:15:11:635,3845,0.3,3845,0.5,7705,0.2,9847,1.75 146,1,2024-09-07 08:15:11:605,36577,36577,0,0,17558108033,190328167,34970,1309,298,371,391512,0 146,2,2024-09-07 08:15:11:704,22924,22924,0,0,1500057,0,2149 146,3,2024-09-07 08:15:11:282,1,21,7,0,54,214,21,0 147,0,2024-09-07 08:15:11:766,7229,3.6,7159,2.2,14290,4.1,20117,2.50 147,1,2024-09-07 08:15:11:378,36938,36938,0,0,17520577674,184231440,36470,406,62,369,391791,0 147,2,2024-09-07 08:15:11:012,26504,26504,0,0,1520369,0,2526 147,3,2024-09-07 08:15:10:918,1,21,8,1,141,436,21,0 0,0,2024-09-07 08:15:21:794,4201,0.5,4189,0.8,8790,0.5,11421,2.25 0,1,2024-09-07 08:15:20:802,38344,38344,0,0,18379621351,195586895,37704,535,105,373,391658,0 0,2,2024-09-07 08:15:21:464,24555,24555,0,0,1015664,0,1598 0,3,2024-09-07 08:15:20:974,1,22,16,2,247,531,22,0 1,0,2024-09-07 08:15:21:775,6271,1.7,6231,1.5,12641,2.2,17154,3.25 1,1,2024-09-07 08:15:20:558,38136,38136,0,0,17623690277,186383409,37635,465,36,372,391857,0 1,2,2024-09-07 08:15:20:661,25772,25772,0,0,1387189,0,1544 1,3,2024-09-07 08:15:21:306,1,22,1,1,167,368,22,0 2,0,2024-09-07 08:15:21:589,8451,4.3,8607,2.4,16936,6.2,22672,4.50 2,1,2024-09-07 08:15:20:863,38142,38142,0,0,17930436765,189396913,37667,423,52,382,391527,0 2,2,2024-09-07 08:15:21:269,30526,30526,0,0,2046359,0,3304 2,3,2024-09-07 08:15:20:694,1,22,3,1,87,337,22,0 3,0,2024-09-07 08:15:21:825,4807,0.5,4817,0.8,9663,0.4,12925,2.25 3,1,2024-09-07 08:15:21:624,38322,38322,0,0,18497626592,196095566,37641,667,14,383,391494,0 3,2,2024-09-07 08:15:21:149,28831,28831,0,0,1654734,0,2192 3,3,2024-09-07 08:15:21:754,1,22,2,1,23,81,22,0 4,0,2024-09-07 08:15:22:015,3001,0.2,3146,0.4,6188,0.2,8147,1.50 4,1,2024-09-07 08:15:20:610,38558,38558,0,0,18289069006,195898174,37461,754,343,372,391682,0 4,2,2024-09-07 08:15:21:039,25812,25812,0,0,1958590,0,4528 4,3,2024-09-07 08:15:21:046,1,22,12,8,287,611,22,0 5,0,2024-09-07 08:15:21:435,4453,0.4,4374,0.6,8754,0.3,11902,1.75 5,1,2024-09-07 08:15:20:755,38420,38420,0,0,18788238439,197342634,37891,437,92,368,392005,0 5,2,2024-09-07 08:15:21:882,25011,25011,0,0,1226485,0,1912 5,3,2024-09-07 08:15:21:737,1,22,2,1,172,428,22,0 6,0,2024-09-07 08:15:20:942,9019,0.6,8957,0.8,17859,0.7,23741,2.00 6,1,2024-09-07 08:15:20:758,38526,38526,0,0,17912585750,187627505,38166,355,5,382,391603,0 6,2,2024-09-07 08:15:21:117,30390,30390,0,0,1879060,0,2232 6,3,2024-09-07 08:15:21:275,1,22,21,1,277,551,22,0 7,0,2024-09-07 08:15:21:553,3050,0.2,3043,0.4,6070,0.1,8204,1.75 7,1,2024-09-07 08:15:20:852,38065,38065,0,0,18108676926,191084005,37522,479,64,384,391558,0 7,2,2024-09-07 08:15:20:771,29027,29027,0,0,1571710,0,2981 7,3,2024-09-07 08:15:20:852,1,22,1,0,46,171,22,0 8,0,2024-09-07 08:15:21:388,3844,0.3,3729,0.5,7373,0.2,9744,1.50 8,1,2024-09-07 08:15:21:019,37733,37733,0,0,18640929207,209006162,34885,1615,1233,368,391724,0 8,2,2024-09-07 08:15:20:801,26881,26881,0,0,2157568,0,2986 8,3,2024-09-07 08:15:20:587,1,22,13,1,229,561,22,0 9,0,2024-09-07 08:15:21:186,4135,0.3,4003,0.6,8293,0.2,11063,1.75 9,1,2024-09-07 08:15:20:552,37953,37953,0,0,18491719214,200797104,36332,1143,478,370,391496,0 9,2,2024-09-07 08:15:21:101,24377,24377,0,0,1436643,0,1673 9,3,2024-09-07 08:15:21:755,1,22,3,0,50,234,22,0 10,0,2024-09-07 08:15:21:623,8847,0.5,8835,0.6,17646,0.5,23693,1.75 10,1,2024-09-07 08:15:20:588,38036,38036,0,0,18267887390,199969010,35912,1744,380,383,391541,0 10,2,2024-09-07 08:15:20:772,28359,28359,0,0,2427300,0,2940 10,3,2024-09-07 08:15:20:871,1,22,9,0,118,224,22,0 11,0,2024-09-07 08:15:21:030,4403,6.5,4297,4.0,8979,8.1,12637,3.75 11,1,2024-09-07 08:15:20:572,38055,38055,0,0,18530841581,202617559,36073,1246,736,384,391485,0 11,2,2024-09-07 08:15:21:124,29503,29503,0,0,2062923,0,2635 11,3,2024-09-07 08:15:21:303,1,22,1,1,720,934,22,0 12,0,2024-09-07 08:15:20:966,5516,0.3,5534,0.5,10952,0.2,14125,1.75 12,1,2024-09-07 08:15:20:939,38293,38293,0,0,18036777881,192310694,37612,660,21,372,391611,0 12,2,2024-09-07 08:15:21:557,28678,28678,0,0,2075590,0,2254 12,3,2024-09-07 08:15:21:063,1,22,8,2,179,454,22,0 13,0,2024-09-07 08:15:21:355,4486,0.4,4543,0.6,9064,0.3,11469,1.75 13,1,2024-09-07 08:15:21:580,38752,38752,0,0,18190241345,193451628,38263,450,39,385,391563,0 13,2,2024-09-07 08:15:20:644,24672,24672,0,0,1117858,0,2239 13,3,2024-09-07 08:15:21:771,1,22,13,1,153,432,22,0 14,0,2024-09-07 08:15:20:589,7691,0.5,7692,0.7,15061,0.5,20357,1.75 14,1,2024-09-07 08:15:21:593,38718,38718,0,0,18206923228,191813144,38138,552,28,365,391546,0 14,2,2024-09-07 08:15:20:764,26370,26370,0,0,1968268,0,2077 14,3,2024-09-07 08:15:21:115,1,22,1,1,40,115,22,0 15,0,2024-09-07 08:15:21:568,6936,5.2,6914,2.9,13837,7.1,18869,4.25 15,1,2024-09-07 08:15:21:615,38447,38447,0,0,18404652491,194154855,38068,377,2,381,391536,0 15,2,2024-09-07 08:15:21:017,31038,31038,0,0,1380541,0,1416 15,3,2024-09-07 08:15:21:411,1,22,13,0,538,900,22,0 16,0,2024-09-07 08:15:20:991,5367,0.4,5426,0.7,10880,0.3,14398,2.50 16,1,2024-09-07 08:15:20:584,38476,38476,0,0,18123899379,192566523,37803,668,5,373,391543,0 16,2,2024-09-07 08:15:21:445,28706,28706,0,0,2045426,0,4719 16,3,2024-09-07 08:15:21:151,1,22,20,3,231,744,22,0 17,0,2024-09-07 08:15:21:905,3830,0.4,3851,0.6,7368,0.2,10000,2.25 17,1,2024-09-07 08:15:20:569,38126,38126,0,0,17732723465,192483720,36823,795,508,370,391671,0 17,2,2024-09-07 08:15:22:591,25283,25283,0,0,1357620,0,1865 17,3,2024-09-07 08:15:20:579,1,22,165,0,268,749,22,0 18,0,2024-09-07 08:15:20:984,5095,1.1,5223,1.1,10399,1.4,13858,2.75 18,1,2024-09-07 08:15:21:639,38045,38045,0,0,17703453692,189523467,37049,814,182,370,391518,0 18,2,2024-09-07 08:15:21:760,25192,25192,0,0,2249189,0,3541 18,3,2024-09-07 08:15:20:897,1,22,1,0,142,412,22,0 19,0,2024-09-07 08:15:21:566,8486,1.4,8650,1.3,16835,1.6,22627,4.50 19,1,2024-09-07 08:15:20:567,38243,38243,0,0,17986397525,193943723,36735,1347,161,367,391529,0 19,2,2024-09-07 08:15:21:755,30814,30814,0,0,2052413,0,3599 19,3,2024-09-07 08:15:21:141,1,22,6,0,29,72,22,0 20,0,2024-09-07 08:15:21:499,3978,0.2,4048,0.4,7766,0.2,10556,1.50 20,1,2024-09-07 08:15:20:620,38421,38421,0,0,18827508468,197416780,38050,370,1,370,391488,0 20,2,2024-09-07 08:15:20:939,28812,28812,0,0,1515690,0,1616 20,3,2024-09-07 08:15:20:615,1,22,30,1,99,406,22,0 21,0,2024-09-07 08:15:21:198,3337,0.2,3272,0.4,6451,0.1,8430,1.50 21,1,2024-09-07 08:15:21:562,38252,38252,0,0,17539689247,185740254,37642,584,26,368,391962,0 21,2,2024-09-07 08:15:21:073,27046,27046,0,0,1589016,0,3747 21,3,2024-09-07 08:15:21:413,1,22,2,1,33,232,22,0 22,0,2024-09-07 08:15:21:732,4418,0.3,4524,0.6,8985,0.2,11735,1.75 22,1,2024-09-07 08:15:21:035,38343,38343,0,0,17748379742,192047671,36981,1055,307,382,391667,0 22,2,2024-09-07 08:15:20:760,24835,24835,0,0,1074818,0,1503 22,3,2024-09-07 08:15:21:075,1,22,1,0,48,147,22,0 23,0,2024-09-07 08:15:21:390,8454,0.4,8713,0.5,17085,0.3,23117,1.75 23,1,2024-09-07 08:15:21:005,38988,38988,0,0,18753304354,194606451,38848,140,0,368,391482,0 23,2,2024-09-07 08:15:21:107,30016,30016,0,0,1372000,0,2078 23,3,2024-09-07 08:15:21:758,1,22,4,0,141,239,22,0 24,0,2024-09-07 08:15:20:866,4315,1.4,4342,1.6,8741,2.1,11446,1.75 24,1,2024-09-07 08:15:20:623,38625,38625,0,0,18241065420,194310211,38022,599,4,371,391640,0 24,2,2024-09-07 08:15:21:079,28798,28798,0,0,2720777,0,2942 24,3,2024-09-07 08:15:21:688,1,22,11,2,234,468,22,0 25,0,2024-09-07 08:15:21:356,4593,0.3,4562,0.5,8759,0.2,11541,1.50 25,1,2024-09-07 08:15:20:564,38435,38435,0,0,17888528769,189979161,37866,553,16,373,391544,0 25,2,2024-09-07 08:15:21:613,27777,27777,0,0,2109082,0,1963 25,3,2024-09-07 08:15:21:002,1,22,2,1,50,263,22,0 26,0,2024-09-07 08:15:21:748,3917,0.2,3844,0.4,8053,0.2,10186,1.50 26,1,2024-09-07 08:15:21:561,38069,38069,0,0,18103096026,200935109,35842,1529,698,383,391519,0 26,2,2024-09-07 08:15:20:863,24283,24283,0,0,2003765,0,2809 26,3,2024-09-07 08:15:21:715,1,22,19,1,796,924,22,0 27,0,2024-09-07 08:15:21:756,7753,0.5,7807,0.7,15698,0.4,21473,1.75 27,1,2024-09-07 08:15:21:684,38983,38983,0,0,18618250315,194317174,38819,163,1,381,391526,0 27,2,2024-09-07 08:15:20:872,27079,27079,0,0,2909603,0,3146 27,3,2024-09-07 08:15:21:016,1,22,1,1,86,282,22,0 28,0,2024-09-07 08:15:21:399,5861,2.3,5940,1.8,11906,3.9,15662,2.25 28,1,2024-09-07 08:15:20:808,38584,38584,0,0,18355289464,193660005,38165,411,8,383,391489,0 28,2,2024-09-07 08:15:21:766,30223,30223,0,0,1752778,0,2609 28,3,2024-09-07 08:15:21:778,1,22,8,3,502,729,22,0 29,0,2024-09-07 08:15:21:386,5833,0.3,5719,0.6,11200,0.2,14820,1.75 29,1,2024-09-07 08:15:21:590,38791,38791,0,0,18089160784,190140933,38184,435,172,371,391621,0 29,2,2024-09-07 08:15:20:880,29149,29149,0,0,1364047,0,2026 29,3,2024-09-07 08:15:20:976,1,22,5,1,105,361,22,0 30,0,2024-09-07 08:15:21:467,4337,0.3,4229,0.5,8784,0.2,11468,1.75 30,1,2024-09-07 08:15:20:576,38225,38225,0,0,18489994659,196990209,37580,583,62,382,391524,0 30,2,2024-09-07 08:15:21:276,24516,24516,0,0,1074366,0,1567 30,3,2024-09-07 08:15:20:583,1,22,1,0,110,172,22,0 31,0,2024-09-07 08:15:21:773,6456,0.6,6485,0.8,13010,0.6,17665,2.00 31,1,2024-09-07 08:15:20:570,38426,38426,0,0,18034693914,187001746,38342,84,0,356,391478,0 31,2,2024-09-07 08:15:21:277,26265,26265,0,0,2014193,0,2319 31,3,2024-09-07 08:15:21:707,1,22,1,0,40,101,22,0 32,0,2024-09-07 08:15:21:432,8686,3.1,8816,1.7,17670,4.2,23297,2.00 32,1,2024-09-07 08:15:20:809,38491,38491,0,0,18203389424,191386007,38194,294,3,383,391595,0 32,2,2024-09-07 08:15:20:941,30905,30905,0,0,1762039,0,3155 32,3,2024-09-07 08:15:21:015,1,22,13,1,37,231,22,0 33,0,2024-09-07 08:15:21:519,4877,0.3,4839,0.5,9703,0.2,12869,1.75 33,1,2024-09-07 08:15:20:648,37928,37928,0,0,18392446726,194546267,37077,757,94,369,391497,0 33,2,2024-09-07 08:15:20:759,28698,28698,0,0,2042128,0,3364 33,3,2024-09-07 08:15:20:898,1,22,1,1,27,117,22,0 34,0,2024-09-07 08:15:20:941,3057,0.2,3123,0.4,6033,0.1,8084,1.50 34,1,2024-09-07 08:15:21:077,38484,38484,0,0,17925936934,188123392,38161,320,3,369,391481,0 34,2,2024-09-07 08:15:20:766,25731,25731,0,0,1751131,0,3073 34,3,2024-09-07 08:15:21:697,1,22,3,0,46,143,22,0 35,0,2024-09-07 08:15:20:875,4314,0.6,4286,0.9,8644,0.6,12026,2.25 35,1,2024-09-07 08:15:21:067,38139,38139,0,0,18370750745,195060324,37067,767,305,385,391587,0 35,2,2024-09-07 08:15:21:584,25151,25151,0,0,1353365,0,2276 35,3,2024-09-07 08:15:20:914,1,22,10,1,219,497,22,0 36,0,2024-09-07 08:15:21:523,8878,0.9,8820,1.1,18000,1.0,23652,2.50 36,1,2024-09-07 08:15:20:588,38101,38101,0,0,17748467848,194261619,35934,1395,772,370,391535,0 36,2,2024-09-07 08:15:21:778,30441,30441,0,0,1832709,0,2554 36,3,2024-09-07 08:15:20:866,1,22,5,1,378,691,22,0 37,0,2024-09-07 08:15:21:386,3055,0.2,3039,0.4,6093,0.1,8317,1.75 37,1,2024-09-07 08:15:20:571,37627,37620,0,7,18026219420,200991559,35308,805,1507,368,391507,0 37,2,2024-09-07 08:15:21:142,29223,29223,0,0,1365465,0,1448 37,3,2024-09-07 08:15:21:776,1,22,1,0,31,113,22,0 38,0,2024-09-07 08:15:21:444,3770,0.4,3631,0.6,7489,0.2,9761,2.00 38,1,2024-09-07 08:15:21:614,39142,39142,0,0,18549369550,194401697,38724,407,11,371,391512,0 38,2,2024-09-07 08:15:20:760,27325,27325,0,0,1631832,0,3245 38,3,2024-09-07 08:15:21:003,1,22,19,0,36,133,22,0 39,0,2024-09-07 08:15:21:782,4266,0.3,4226,0.6,8188,0.2,11091,1.75 39,1,2024-09-07 08:15:20:734,38775,38775,0,0,18100741383,192189334,37701,656,418,366,391482,0 39,2,2024-09-07 08:15:21:417,24471,24471,0,0,1067215,0,1786 39,3,2024-09-07 08:15:20:716,1,22,5,1,189,477,22,0 40,0,2024-09-07 08:15:21:496,8431,1.4,8615,3.0,17238,3.2,23483,3.50 40,1,2024-09-07 08:15:20:582,38433,38433,0,0,17769682401,193480749,36573,1333,527,371,391543,0 40,2,2024-09-07 08:15:21:310,28412,28411,1,0,2695728,0,5137 40,3,2024-09-07 08:15:21:148,1,22,8,2,63,270,22,0 41,0,2024-09-07 08:15:21:038,4023,8.1,4209,10.0,8149,9.9,11437,7.75 41,1,2024-09-07 08:15:20:779,38462,38462,0,0,18395308629,197348294,37214,1056,192,370,391483,0 41,2,2024-09-07 08:15:20:760,29339,29339,0,0,2712787,0,3325 41,3,2024-09-07 08:15:21:680,1,22,1,1,19,63,22,0 42,0,2024-09-07 08:15:21:491,5392,0.6,5415,0.9,10834,0.5,14125,2.50 42,1,2024-09-07 08:15:21:439,37913,37913,0,0,17804578884,196878668,35841,1370,702,381,391511,0 42,2,2024-09-07 08:15:21:142,28266,28266,0,0,2075153,0,1967 42,3,2024-09-07 08:15:21:009,1,22,13,0,100,333,22,0 43,0,2024-09-07 08:15:20:928,4464,0.3,4452,0.6,9272,0.2,11494,1.75 43,1,2024-09-07 08:15:20:580,38135,38135,0,0,18873338270,202719578,36722,822,591,368,391507,0 43,2,2024-09-07 08:15:21:760,24292,24292,0,0,1933747,0,3812 43,3,2024-09-07 08:15:21:759,1,22,7,1,292,760,22,0 44,0,2024-09-07 08:15:20:882,7603,0.5,7521,0.8,15027,0.5,20415,2.00 44,1,2024-09-07 08:15:20:589,38550,38550,0,0,17577373729,184054044,38197,345,8,358,391493,0 44,2,2024-09-07 08:15:21:268,26342,26342,0,0,1415954,0,1592 44,3,2024-09-07 08:15:21:106,1,22,10,1,817,1057,22,0 45,0,2024-09-07 08:15:21:784,6944,5.3,6789,2.8,14111,6.7,19119,2.50 45,1,2024-09-07 08:15:21:006,38484,38484,0,0,18458496273,194009910,38246,238,0,382,391510,0 45,2,2024-09-07 08:15:21:277,31232,31232,0,0,2005602,0,2038 45,3,2024-09-07 08:15:20:938,1,22,1,1,226,415,22,0 46,0,2024-09-07 08:15:20:969,5507,0.3,5482,0.6,10937,0.2,14463,1.75 46,1,2024-09-07 08:15:20:577,38698,38698,0,0,18132657432,189411097,38314,358,26,370,391514,0 46,2,2024-09-07 08:15:20:615,29153,29153,0,0,1644063,0,2835 46,3,2024-09-07 08:15:21:138,1,22,2,1,200,471,22,0 47,0,2024-09-07 08:15:21:127,3794,0.2,3793,0.5,7409,0.1,10057,1.50 47,1,2024-09-07 08:15:20:569,38884,38884,0,0,18045442786,188322468,38678,205,1,368,391481,0 47,2,2024-09-07 08:15:20:917,25414,25414,0,0,1692857,0,2558 47,3,2024-09-07 08:15:21:122,1,22,529,1,529,636,22,0 48,0,2024-09-07 08:15:21:528,5240,0.6,5149,0.7,10318,0.6,13958,2.00 48,1,2024-09-07 08:15:21:029,38204,38204,0,0,18395209633,196118840,37273,926,5,386,391516,0 48,2,2024-09-07 08:15:20:701,25624,25624,0,0,1340652,0,2083 48,3,2024-09-07 08:15:20:755,1,22,8,1,23,231,22,0 49,0,2024-09-07 08:15:21:724,8760,0.7,8810,0.9,16552,0.7,23584,2.25 49,1,2024-09-07 08:15:21:038,38769,38769,0,0,18800680523,197236061,38553,216,0,382,391583,0 49,2,2024-09-07 08:15:21:803,30763,30763,0,0,1862113,0,2196 49,3,2024-09-07 08:15:21:416,1,22,22,1,274,570,22,0 50,0,2024-09-07 08:15:21:515,3978,0.3,3919,0.5,7841,0.2,10673,1.75 50,1,2024-09-07 08:15:21:011,38750,38750,0,0,18907949692,199107992,37903,652,195,370,391530,0 50,2,2024-09-07 08:15:21:071,28908,28908,0,0,1296409,0,2034 50,3,2024-09-07 08:15:21:291,1,22,1,1,335,486,22,0 51,0,2024-09-07 08:15:21:697,3321,0.2,3240,0.3,6465,0.1,8507,1.50 51,1,2024-09-07 08:15:21:690,38207,38207,0,0,19018868584,201731589,37195,472,540,367,391504,0 51,2,2024-09-07 08:15:21:317,26620,26620,0,0,1055874,0,1936 51,3,2024-09-07 08:15:21:027,1,22,47,0,162,297,22,0 52,0,2024-09-07 08:15:21:439,4420,0.5,4366,0.9,8861,0.5,11629,2.25 52,1,2024-09-07 08:15:20:586,38307,38307,0,0,17837575333,191072600,37260,852,195,371,391498,0 52,2,2024-09-07 08:15:21:764,24737,24737,0,0,1634098,0,4779 52,3,2024-09-07 08:15:20:676,1,22,8,4,21,251,22,0 53,0,2024-09-07 08:15:21:779,8611,1.3,8297,1.2,17130,1.7,23011,2.25 53,1,2024-09-07 08:15:20:784,38654,38654,0,0,18838161492,202353219,37152,1076,426,372,391523,0 53,2,2024-09-07 08:15:21:303,29821,29821,0,0,1292846,0,1520 53,3,2024-09-07 08:15:20:697,1,22,3,1,28,100,22,0 54,0,2024-09-07 08:15:21:667,3404,10.0,3441,10.0,6922,6.3,10314,6.25 54,1,2024-09-07 08:15:20:615,38613,38613,0,0,18701924731,196064592,37884,695,34,367,391520,0 54,2,2024-09-07 08:15:20:870,29227,29221,6,0,2133457,0,5382 54,3,2024-09-07 08:15:20:763,1,22,1,0,98,196,22,0 55,0,2024-09-07 08:15:21:785,4378,0.8,4521,0.9,9122,0.5,11502,2.50 55,1,2024-09-07 08:15:20:766,38203,38203,0,0,18219876380,193854443,36766,942,495,369,391660,0 55,2,2024-09-07 08:15:20:745,28144,28144,0,0,1455904,0,2129 55,3,2024-09-07 08:15:20:674,1,22,2,0,44,129,22,0 56,0,2024-09-07 08:15:21:648,3977,1.9,3774,1.5,7745,2.3,10017,4.25 56,1,2024-09-07 08:15:20:571,38213,38213,0,0,18756468912,205436002,36217,1414,582,384,391536,0 56,2,2024-09-07 08:15:21:309,24401,24401,0,0,1908016,0,3567 56,3,2024-09-07 08:15:21:070,1,22,7,2,297,494,22,0 57,0,2024-09-07 08:15:20:959,7429,4.1,7316,2.6,14753,6.4,20541,4.75 57,1,2024-09-07 08:15:20:990,38681,38681,0,0,17773588868,190381656,37861,806,14,369,391505,0 57,2,2024-09-07 08:15:21:330,27495,27495,0,0,2203550,0,2687 57,3,2024-09-07 08:15:21:740,1,22,2,1,359,578,22,0 58,0,2024-09-07 08:15:20:578,5126,5.0,4968,3.0,10331,5.8,13734,7.25 58,1,2024-09-07 08:15:20:580,38596,38595,0,1,19263315050,205783256,37337,1101,157,371,391488,1 58,2,2024-09-07 08:15:21:074,30515,30515,0,0,2032592,0,2464 58,3,2024-09-07 08:15:21:070,1,22,1,1,219,374,22,0 59,0,2024-09-07 08:15:21:754,5696,1.4,5718,1.3,11346,1.7,14553,3.00 59,1,2024-09-07 08:15:20:806,38580,38580,0,0,18277372916,193540492,38028,549,3,371,391515,0 59,2,2024-09-07 08:15:20:593,28798,28798,0,0,1663177,0,1708 59,3,2024-09-07 08:15:21:737,1,22,0,0,25,142,22,0 60,0,2024-09-07 08:15:21:802,4374,0.4,4368,0.7,8603,0.2,11466,1.75 60,1,2024-09-07 08:15:20:784,38787,38787,0,0,18628710234,197819032,37930,513,344,370,391672,0 60,2,2024-09-07 08:15:21:145,24746,24746,0,0,1344534,0,2142 60,3,2024-09-07 08:15:21:281,1,22,22,0,124,377,22,0 61,0,2024-09-07 08:15:21:521,6199,3.6,6228,2.2,12341,4.3,17103,3.25 61,1,2024-09-07 08:15:20:773,38254,38254,0,0,18273208744,197771351,36646,1153,455,383,391560,0 61,2,2024-09-07 08:15:21:121,26145,26145,0,0,1677918,0,1846 61,3,2024-09-07 08:15:21:687,1,22,7,1,124,294,22,0 62,0,2024-09-07 08:15:21:749,8640,3.4,8889,1.9,17346,5.1,23258,3.50 62,1,2024-09-07 08:15:21:127,38628,38627,0,1,18402569244,192933904,38166,459,2,368,391586,1 62,2,2024-09-07 08:15:21:648,30573,30573,0,0,2028647,0,2931 62,3,2024-09-07 08:15:21:153,1,22,1,1,287,403,22,0 63,0,2024-09-07 08:15:21:479,4817,0.9,4839,1.0,9580,1.1,12956,2.50 63,1,2024-09-07 08:15:20:808,38925,38923,0,2,18834798390,196242057,38779,143,1,382,391540,2 63,2,2024-09-07 08:15:20:762,28940,28940,0,0,1781199,0,2177 63,3,2024-09-07 08:15:21:733,1,22,6,0,216,589,22,0 64,0,2024-09-07 08:15:21:526,3004,0.2,3025,0.4,6137,0.1,8045,1.75 64,1,2024-09-07 08:15:20:759,38180,38180,0,0,18184718511,198457937,36429,1026,725,371,391604,0 64,2,2024-09-07 08:15:21:146,26193,26193,0,0,1274803,0,2510 64,3,2024-09-07 08:15:21:141,1,22,16,1,163,376,22,0 65,0,2024-09-07 08:15:21:697,4272,1.1,4356,1.2,8099,0.4,11431,4.00 65,1,2024-09-07 08:15:20:886,38614,38614,0,0,18394165759,195388125,37787,794,33,382,391506,0 65,2,2024-09-07 08:15:21:699,24735,24735,0,0,1670501,0,3367 65,3,2024-09-07 08:15:21:687,1,22,1,1,122,348,22,0 66,0,2024-09-07 08:15:21:782,8740,0.4,8851,0.6,17825,0.3,23733,1.75 66,1,2024-09-07 08:15:21:295,39010,39010,0,0,18620192040,195165928,38603,391,16,381,391537,0 66,2,2024-09-07 08:15:21:150,30441,30441,0,0,1706521,0,2934 66,3,2024-09-07 08:15:21:085,1,22,3,1,88,192,22,0 67,0,2024-09-07 08:15:21:440,3084,0.4,3064,0.6,6099,0.2,8251,2.25 67,1,2024-09-07 08:15:20:772,38531,38530,0,1,18033738374,191744452,37798,635,97,383,391567,1 67,2,2024-09-07 08:15:20:590,29292,29292,0,0,1623022,0,2104 67,3,2024-09-07 08:15:21:751,1,22,93,1,93,240,22,0 68,0,2024-09-07 08:15:20:580,3690,0.3,3681,0.7,7562,0.2,9703,2.00 68,1,2024-09-07 08:15:20:577,38139,38139,0,0,18287752503,195851111,37226,467,446,383,391495,0 68,2,2024-09-07 08:15:21:053,27492,27492,0,0,1753630,0,4883 68,3,2024-09-07 08:15:20:742,1,22,15,1,63,278,22,0 69,0,2024-09-07 08:15:21:778,4216,0.7,4063,0.9,8151,0.7,11162,2.25 69,1,2024-09-07 08:15:21:017,37819,37819,0,0,18189780939,199125436,36440,517,862,386,391484,0 69,2,2024-09-07 08:15:21:743,24620,24620,0,0,1145868,0,2462 69,3,2024-09-07 08:15:20:760,1,22,1,0,238,388,22,0 70,0,2024-09-07 08:15:21:576,8679,3.7,8641,4.1,17492,1.2,23573,3.25 70,1,2024-09-07 08:15:20:808,38821,38821,0,0,18478966341,192751689,38560,244,17,369,391527,0 70,2,2024-09-07 08:15:21:343,28800,28800,0,0,1453505,0,1937 70,3,2024-09-07 08:15:20:756,1,22,1,1,69,129,22,0 71,0,2024-09-07 08:15:21:405,4126,8.4,4169,9.3,8082,10.0,11763,7.00 71,1,2024-09-07 08:15:21:612,38594,38594,0,0,18480250880,195909071,37636,811,147,368,391584,0 71,2,2024-09-07 08:15:21:072,29647,29647,0,0,1684326,0,2146 71,3,2024-09-07 08:15:21:761,1,22,2,1,174,366,22,0 72,0,2024-09-07 08:15:21:036,5601,0.5,5435,0.8,10792,0.3,14190,3.00 72,1,2024-09-07 08:15:21:031,38519,38519,0,0,18170463226,193898690,37550,960,9,370,391514,0 72,2,2024-09-07 08:15:21:771,27838,27838,0,0,2632364,0,2570 72,3,2024-09-07 08:15:21:765,1,22,10,1,325,548,22,0 73,0,2024-09-07 08:15:21:111,4418,0.4,4511,0.6,9114,0.2,11452,2.25 73,1,2024-09-07 08:15:20:766,38617,38617,0,0,18136877800,191372971,38335,281,1,370,391495,0 73,2,2024-09-07 08:15:21:760,24163,24163,0,0,1920199,0,3482 73,3,2024-09-07 08:15:20:972,1,22,1,0,274,636,22,0 74,0,2024-09-07 08:15:21:339,7593,1.0,7747,1.0,14963,1.7,20498,3.00 74,1,2024-09-07 08:15:20:651,38292,38292,0,0,17923430168,189573219,37796,494,2,384,391494,0 74,2,2024-09-07 08:15:21:003,26627,26627,0,0,2113902,0,2736 74,3,2024-09-07 08:15:21:447,1,22,1,0,192,715,22,0 75,0,2024-09-07 08:15:21:775,7153,5.0,7125,2.6,14062,6.1,19188,3.75 75,1,2024-09-07 08:15:21:591,38824,38824,0,0,18686128748,198109213,38204,569,51,382,391514,0 75,2,2024-09-07 08:15:21:363,30580,30580,0,0,2964541,0,4766 75,3,2024-09-07 08:15:21:070,1,22,0,0,38,97,22,0 76,0,2024-09-07 08:15:20:628,5487,0.4,5503,0.7,10857,0.3,14265,2.25 76,1,2024-09-07 08:15:20:809,38226,38226,0,0,18088650286,195321569,36908,879,439,382,391530,0 76,2,2024-09-07 08:15:21:072,29738,29738,0,0,1447064,0,1579 76,3,2024-09-07 08:15:21:151,1,22,136,0,140,521,22,0 77,0,2024-09-07 08:15:21:711,3770,0.3,3751,0.6,7410,0.2,9977,2.00 77,1,2024-09-07 08:15:20:835,38493,38493,0,0,18769520669,198840894,37761,665,67,383,391512,0 77,2,2024-09-07 08:15:21:323,24980,24980,0,0,1160181,0,1637 77,3,2024-09-07 08:15:21:107,1,22,5,0,131,235,22,0 78,0,2024-09-07 08:15:21:751,5068,4.5,5024,2.8,10109,5.4,13591,3.50 78,1,2024-09-07 08:15:20:616,38806,38806,0,0,17692582257,187456596,38241,528,37,370,391540,0 78,2,2024-09-07 08:15:21:409,25693,25693,0,0,1375976,0,2114 78,3,2024-09-07 08:15:21:141,1,22,1,0,112,406,22,0 79,0,2024-09-07 08:15:21:369,8361,0.7,8652,1.0,17404,0.8,22905,3.00 79,1,2024-09-07 08:15:20:573,38613,38613,0,0,17960626585,189953798,37961,646,6,372,391486,0 79,2,2024-09-07 08:15:21:071,30667,30667,0,0,1738008,0,2679 79,3,2024-09-07 08:15:20:751,1,22,1,0,289,453,22,0 80,0,2024-09-07 08:15:21:150,3895,0.4,4020,0.7,7788,0.3,10629,2.00 80,1,2024-09-07 08:15:21:628,38097,38097,0,0,18243632784,193248370,37117,930,50,372,391673,0 80,2,2024-09-07 08:15:21:112,28535,28535,0,0,1608392,0,1940 80,3,2024-09-07 08:15:20:578,1,22,9,1,148,591,22,0 81,0,2024-09-07 08:15:21:610,3278,0.2,3327,0.4,6427,0.1,8463,1.75 81,1,2024-09-07 08:15:21:657,38384,38384,0,0,18155653730,192366923,37773,604,7,383,391646,0 81,2,2024-09-07 08:15:21:134,26345,26345,0,0,1727773,0,2811 81,3,2024-09-07 08:15:21:120,1,22,54,1,116,293,22,0 82,0,2024-09-07 08:15:21:591,4434,0.3,4373,0.6,8848,0.2,11802,1.75 82,1,2024-09-07 08:15:20:588,38034,38033,0,1,17913055379,192089127,36758,805,470,384,391558,1 82,2,2024-09-07 08:15:21:697,24870,24870,0,0,1174172,0,1286 82,3,2024-09-07 08:15:21:759,1,22,5,1,65,289,22,0 83,0,2024-09-07 08:15:21:575,8642,1.1,8564,1.0,17066,1.4,22904,2.75 83,1,2024-09-07 08:15:20:557,38286,38286,0,0,18057828673,192565448,37419,788,79,384,391553,0 83,2,2024-09-07 08:15:20:772,29348,29348,0,0,1851817,0,2512 83,3,2024-09-07 08:15:20:748,1,22,1,1,27,160,22,0 84,0,2024-09-07 08:15:21:789,3724,10.0,3658,10.0,7415,5.0,10919,5.75 84,1,2024-09-07 08:15:21:040,38580,38580,0,0,18647434433,198760970,37566,775,239,370,391630,0 84,2,2024-09-07 08:15:20:572,28978,28978,0,0,1939763,0,3801 84,3,2024-09-07 08:15:21:141,1,22,8,1,13,194,22,0 85,0,2024-09-07 08:15:21:022,4367,0.4,4405,0.6,9238,0.3,11576,2.00 85,1,2024-09-07 08:15:20:567,37983,37983,0,0,18275050319,197102473,36548,1144,291,384,391505,0 85,2,2024-09-07 08:15:20:864,27880,27880,0,0,1926148,0,3656 85,3,2024-09-07 08:15:20:685,1,22,90,1,90,251,22,0 86,0,2024-09-07 08:15:20:887,3849,0.3,4043,0.4,7858,0.2,10236,1.75 86,1,2024-09-07 08:15:20:828,38101,38101,0,0,18226337585,192324600,37346,726,29,367,391506,0 86,2,2024-09-07 08:15:20:858,24216,24215,1,0,1769274,0,5004 86,3,2024-09-07 08:15:20:589,1,22,2,1,32,157,22,0 87,0,2024-09-07 08:15:21:348,7662,3.1,7687,1.8,15551,4.1,21251,2.00 87,1,2024-09-07 08:15:20:555,38482,38482,0,0,18431471428,194730806,37762,672,48,369,391564,0 87,2,2024-09-07 08:15:21:067,27628,27628,0,0,1244873,0,2148 87,3,2024-09-07 08:15:21:805,1,22,3,1,322,729,22,0 88,0,2024-09-07 08:15:21:476,5883,2.9,5913,1.9,11782,4.0,15607,2.50 88,1,2024-09-07 08:15:20:571,38513,38513,0,0,18220268676,194585101,37613,808,92,368,391747,0 88,2,2024-09-07 08:15:20:700,30689,30689,0,0,2137909,0,2675 88,3,2024-09-07 08:15:21:267,1,22,8,1,77,323,22,0 89,0,2024-09-07 08:15:21:782,5868,0.4,5661,0.7,11356,0.4,14832,1.75 89,1,2024-09-07 08:15:20:554,37968,37968,0,0,18309671400,197503135,36917,543,508,384,391763,0 89,2,2024-09-07 08:15:21:141,28558,28558,0,0,2061659,0,2726 89,3,2024-09-07 08:15:21:806,1,22,127,2,153,561,22,0 90,0,2024-09-07 08:15:21:671,4262,0.2,4332,0.4,8797,0.2,11472,1.50 90,1,2024-09-07 08:15:20:623,38323,38323,0,0,17756231751,192374127,36869,1330,124,382,391522,0 90,2,2024-09-07 08:15:21:420,24365,24365,0,0,1934799,0,2635 90,3,2024-09-07 08:15:20:930,1,22,1,1,19,119,22,0 91,0,2024-09-07 08:15:20:955,6500,0.6,6297,0.8,13011,0.7,17521,1.75 91,1,2024-09-07 08:15:20:567,38409,38409,0,0,18704101996,200613656,37243,999,167,385,391914,0 91,2,2024-09-07 08:15:21:341,25566,25566,0,0,1458382,0,1997 91,3,2024-09-07 08:15:20:616,1,22,2,1,155,335,22,0 92,0,2024-09-07 08:15:21:476,8804,2.7,9049,1.6,17405,4.2,23444,2.00 92,1,2024-09-07 08:15:20:581,38627,38627,0,0,17769623679,187227716,38295,285,47,383,391569,0 92,2,2024-09-07 08:15:21:366,31428,31428,0,0,2010132,0,2279 92,3,2024-09-07 08:15:21:011,1,22,13,1,68,307,22,0 93,0,2024-09-07 08:15:20:982,4852,0.6,4881,0.8,9307,0.5,12868,2.00 93,1,2024-09-07 08:15:20:808,38176,38176,0,0,18113902317,195526114,36834,912,430,368,391689,0 93,2,2024-09-07 08:15:20:931,29154,29154,0,0,1514412,0,1798 93,3,2024-09-07 08:15:21:407,1,22,1,1,143,284,22,0 94,0,2024-09-07 08:15:21:624,3162,0.2,3087,0.5,6085,0.2,8147,1.75 94,1,2024-09-07 08:15:20:601,38288,38288,0,0,18081427357,192746689,37654,626,8,382,391850,0 94,2,2024-09-07 08:15:20:761,25851,25851,0,0,1568516,0,1446 94,3,2024-09-07 08:15:21:689,1,22,3,1,231,548,22,0 95,0,2024-09-07 08:15:21:362,4420,0.8,4337,0.9,8852,0.9,12101,2.00 95,1,2024-09-07 08:15:20:852,38379,38379,0,0,17880377963,188149736,38081,294,4,368,391590,0 95,2,2024-09-07 08:15:21:016,24908,24908,0,0,1500180,0,3308 95,3,2024-09-07 08:15:21:718,1,22,4,1,45,175,22,0 96,0,2024-09-07 08:15:21:031,9109,0.4,8937,0.5,17984,0.4,23886,1.75 96,1,2024-09-07 08:15:21:590,38166,38166,0,0,18031245888,194639117,37174,436,556,385,391551,0 96,2,2024-09-07 08:15:21:268,30388,30388,0,0,1600418,0,1653 96,3,2024-09-07 08:15:21:144,1,22,8,7,31,306,22,0 97,0,2024-09-07 08:15:21:323,3079,0.2,3097,0.4,6076,0.2,8278,1.75 97,1,2024-09-07 08:15:20:763,38619,38619,0,0,18545648663,196632765,37671,568,380,367,391547,0 97,2,2024-09-07 08:15:20:615,28986,28986,0,0,1426441,0,1663 97,3,2024-09-07 08:15:20:570,1,22,1,1,97,279,22,0 98,0,2024-09-07 08:15:21:790,3736,0.2,3649,0.4,7434,0.2,9795,1.50 98,1,2024-09-07 08:15:20:581,38205,38205,0,0,18236852241,191621950,37976,227,2,384,391588,0 98,2,2024-09-07 08:15:20:771,27421,27421,0,0,1708998,0,2410 98,3,2024-09-07 08:15:20:713,1,22,42,1,155,288,22,0 99,0,2024-09-07 08:15:21:514,4095,0.2,4016,0.4,8080,0.2,11049,1.75 99,1,2024-09-07 08:15:21:743,39091,39091,0,0,17873503876,188543625,38547,385,159,382,391602,0 99,2,2024-09-07 08:15:21:417,24475,24475,0,0,1465449,0,1732 99,3,2024-09-07 08:15:20:585,1,22,59,1,65,278,22,0 100,0,2024-09-07 08:15:21:472,8484,1.4,8517,4.5,17047,5.2,23437,2.75 100,1,2024-09-07 08:15:20:549,38318,38318,0,0,18489896171,201836866,36651,1408,259,382,391505,0 100,2,2024-09-07 08:15:21:828,28834,28823,11,0,2077355,0,5417 100,3,2024-09-07 08:15:21:739,1,22,8,1,443,1009,22,0 101,0,2024-09-07 08:15:21:708,4384,8.8,4269,6.4,8642,9.0,12676,4.75 101,1,2024-09-07 08:15:20:559,38171,38171,0,0,18432932967,197347044,37186,561,424,370,391531,0 101,2,2024-09-07 08:15:21:756,29745,29745,0,0,2194875,0,4644 101,3,2024-09-07 08:15:20:951,1,22,2,1,448,586,22,0 102,0,2024-09-07 08:15:20:975,5366,0.8,5507,0.8,11015,0.6,14121,2.25 102,1,2024-09-07 08:15:21:148,38659,38659,0,0,18418256364,194534447,38118,531,10,369,391621,0 102,2,2024-09-07 08:15:21:738,28464,28464,0,0,1383000,0,1945 102,3,2024-09-07 08:15:21:624,1,22,3,0,410,622,22,0 103,0,2024-09-07 08:15:21:603,4602,0.3,4590,0.4,8737,0.2,11406,1.50 103,1,2024-09-07 08:15:21:633,38692,38692,0,0,18300047562,192432693,38329,315,48,381,391680,0 103,2,2024-09-07 08:15:20:587,24769,24769,0,0,1270047,0,1878 103,3,2024-09-07 08:15:20:756,1,22,1,1,486,763,22,0 104,0,2024-09-07 08:15:21:014,7618,1.6,7717,1.3,14864,2.1,20403,2.25 104,1,2024-09-07 08:15:21:633,38390,38390,0,0,17794013287,193443564,36904,1170,316,369,391948,0 104,2,2024-09-07 08:15:21:667,26018,26018,0,0,1965083,0,3941 104,3,2024-09-07 08:15:21:416,1,22,70,8,70,363,22,0 105,0,2024-09-07 08:15:21:054,6975,5.0,6716,2.9,13871,7.5,18937,4.75 105,1,2024-09-07 08:15:20:555,38064,38064,0,0,17947850547,195436493,36373,1126,565,367,391797,0 105,2,2024-09-07 08:15:21:324,30659,30659,0,0,2246232,0,3314 105,3,2024-09-07 08:15:21:316,1,22,2,1,169,580,22,0 106,0,2024-09-07 08:15:20:948,5301,0.4,5369,0.7,10865,0.3,14423,2.00 106,1,2024-09-07 08:15:21:764,38211,38211,0,0,18030929283,194307682,36928,1081,202,371,391767,0 106,2,2024-09-07 08:15:20:757,29052,29052,0,0,1997504,0,1818 106,3,2024-09-07 08:15:20:678,1,22,1,1,171,243,22,0 107,0,2024-09-07 08:15:21:120,3772,0.3,3689,0.5,7388,0.2,9978,1.75 107,1,2024-09-07 08:15:20:590,38352,38352,0,0,18306937837,195629364,37475,751,126,382,391848,0 107,2,2024-09-07 08:15:21:294,24955,24954,1,0,1576165,0,5024 107,3,2024-09-07 08:15:21:763,1,22,353,1,353,679,22,0 108,0,2024-09-07 08:15:21:883,5166,2.2,5239,1.5,10163,2.8,13903,2.25 108,1,2024-09-07 08:15:21:297,38197,38197,0,0,18180252776,193541291,37184,909,104,372,391563,0 108,2,2024-09-07 08:15:21:767,25662,25662,0,0,1751420,0,2647 108,3,2024-09-07 08:15:21:344,1,22,14,1,60,322,22,0 109,0,2024-09-07 08:15:21:790,8757,0.7,8797,0.8,16986,0.7,23714,2.00 109,1,2024-09-07 08:15:20:590,38359,38359,0,0,18531457892,198200494,37609,698,52,385,391576,0 109,2,2024-09-07 08:15:20:939,29669,29669,0,0,2230427,0,3617 109,3,2024-09-07 08:15:21:141,1,22,7,1,120,466,22,0 110,0,2024-09-07 08:15:21:774,3962,0.3,3862,0.5,8032,0.2,10636,1.75 110,1,2024-09-07 08:15:21:655,38569,38569,0,0,17836837438,189174243,37743,544,282,371,391564,0 110,2,2024-09-07 08:15:21:310,28951,28951,0,0,1862931,0,2915 110,3,2024-09-07 08:15:20:702,1,22,4,1,183,322,22,0 111,0,2024-09-07 08:15:21:438,3219,0.2,3208,0.4,6533,0.1,8436,1.50 111,1,2024-09-07 08:15:21:006,38508,38508,0,0,18848189250,196821328,38196,300,12,383,391597,0 111,2,2024-09-07 08:15:21:118,27031,27031,0,0,1473105,0,2256 111,3,2024-09-07 08:15:20:916,1,22,1,1,106,501,22,0 112,0,2024-09-07 08:15:20:923,4387,0.4,4420,0.5,8932,0.2,11756,1.50 112,1,2024-09-07 08:15:20:827,38331,38331,0,0,18490749180,195250633,37830,483,18,382,391512,0 112,2,2024-09-07 08:15:21:139,24731,24731,0,0,1401856,0,3152 112,3,2024-09-07 08:15:20:598,1,22,10,1,282,477,22,0 113,0,2024-09-07 08:15:20:896,8531,0.4,8461,0.6,17297,0.4,23145,1.75 113,1,2024-09-07 08:15:21:688,38608,38608,0,0,18944808571,198676282,38022,555,31,368,391661,0 113,2,2024-09-07 08:15:21:305,29431,29431,0,0,1378318,0,1597 113,3,2024-09-07 08:15:20:684,1,22,166,1,166,502,22,0 114,0,2024-09-07 08:15:20:892,4119,7.1,4218,5.6,8210,3.8,11376,2.50 114,1,2024-09-07 08:15:20:720,38795,38795,0,0,18723479424,195638653,38490,305,0,381,391513,0 114,2,2024-09-07 08:15:20:874,29363,29363,0,0,1671528,0,3433 114,3,2024-09-07 08:15:21:278,1,22,1,1,159,357,22,0 115,0,2024-09-07 08:15:20:567,4505,0.2,4564,0.5,9057,0.1,11645,1.50 115,1,2024-09-07 08:15:20:572,38311,38311,0,0,18852857422,200102106,37347,774,190,384,391502,0 115,2,2024-09-07 08:15:21:134,28552,28552,0,0,1487124,0,2072 115,3,2024-09-07 08:15:21:004,1,22,159,0,159,263,22,0 116,0,2024-09-07 08:15:21:707,3885,0.3,3887,0.5,7907,0.2,10214,1.75 116,1,2024-09-07 08:15:20:806,38217,38217,0,0,18961932064,205335885,37069,312,836,382,391573,0 116,2,2024-09-07 08:15:21:761,24553,24553,0,0,1159191,0,2154 116,3,2024-09-07 08:15:20:914,1,22,8,2,132,360,22,0 117,0,2024-09-07 08:15:20:987,7748,3.1,7747,1.8,15480,4.0,21271,2.25 117,1,2024-09-07 08:15:21:584,38611,38611,0,0,18266057595,194367354,37711,681,219,371,391601,0 117,2,2024-09-07 08:15:21:118,27578,27578,0,0,1756229,0,3700 117,3,2024-09-07 08:15:21:070,1,22,1,1,490,1022,22,0 118,0,2024-09-07 08:15:21:823,5741,2.4,5767,1.6,11989,3.6,15530,3.00 118,1,2024-09-07 08:15:20:587,38521,38521,0,0,17891804015,187872783,38180,340,1,368,391725,0 118,2,2024-09-07 08:15:21:590,30933,30933,0,0,1519586,0,1450 118,3,2024-09-07 08:15:21:767,1,22,19,1,79,286,22,0 119,0,2024-09-07 08:15:21:369,5506,0.3,5650,0.6,11526,0.2,14783,1.75 119,1,2024-09-07 08:15:20:552,38201,38201,0,0,18801076583,199206949,37361,708,132,371,391576,0 119,2,2024-09-07 08:15:21:262,28724,28724,0,0,1495039,0,2222 119,3,2024-09-07 08:15:21:338,1,22,2,1,443,916,22,0 120,0,2024-09-07 08:15:21:590,4344,0.3,4335,0.6,8744,0.2,11488,1.75 120,1,2024-09-07 08:15:20:868,38494,38494,0,0,17839924922,191503979,37663,823,8,369,391702,0 120,2,2024-09-07 08:15:20:773,24298,24297,1,0,2333970,0,5281 120,3,2024-09-07 08:15:21:290,1,22,12,2,241,640,22,0 121,0,2024-09-07 08:15:21:704,6253,4.0,6261,2.3,12598,4.9,17603,2.75 121,1,2024-09-07 08:15:21:665,38155,38155,0,0,17796999625,192788925,36939,979,237,370,391633,0 121,2,2024-09-07 08:15:21:129,26148,26148,0,0,2120428,0,4127 121,3,2024-09-07 08:15:20:727,1,22,2,1,71,222,22,0 122,0,2024-09-07 08:15:21:784,8599,3.9,8343,3.6,17323,4.2,23565,3.00 122,1,2024-09-07 08:15:20:861,37628,37628,0,0,18324908808,201254556,35745,1515,368,369,392130,0 122,2,2024-09-07 08:15:21:320,30769,30769,0,0,2778844,0,2574 122,3,2024-09-07 08:15:20:598,1,22,2,1,226,1083,22,0 123,0,2024-09-07 08:15:20:964,4792,0.4,4585,0.8,9541,0.3,12821,2.00 123,1,2024-09-07 08:15:20:560,38099,38099,0,0,18295243117,201538880,35706,1939,454,371,391823,0 123,2,2024-09-07 08:15:21:019,28505,28504,1,0,2400951,0,5215 123,3,2024-09-07 08:15:21:149,1,22,19,1,160,538,22,0 124,0,2024-09-07 08:15:20:937,3178,0.2,3164,0.4,6039,0.1,8080,1.50 124,1,2024-09-07 08:15:21:041,38830,38830,0,0,18124647578,189575506,38689,140,1,370,392129,0 124,2,2024-09-07 08:15:21:013,25838,25838,0,0,1390897,0,2477 124,3,2024-09-07 08:15:20:759,1,22,9,2,490,1025,22,0 125,0,2024-09-07 08:15:21:444,4400,0.7,4370,0.7,8756,0.8,11938,2.00 125,1,2024-09-07 08:15:20:865,38319,38319,0,0,17993140750,192391917,37460,838,21,385,391702,0 125,2,2024-09-07 08:15:21:116,24972,24972,0,0,1645517,0,2180 125,3,2024-09-07 08:15:21:134,1,22,3,1,93,454,22,0 126,0,2024-09-07 08:15:21:431,8883,0.6,9132,0.8,17602,0.7,23745,1.75 126,1,2024-09-07 08:15:20:555,38553,38553,0,0,18482359113,194642474,38118,427,8,368,391719,0 126,2,2024-09-07 08:15:20:621,30296,30296,0,0,2029264,0,3186 126,3,2024-09-07 08:15:20:910,1,22,2,1,45,180,22,0 127,0,2024-09-07 08:15:21:607,3083,0.2,3068,0.4,6161,0.2,8235,1.50 127,1,2024-09-07 08:15:20:587,38203,38203,0,0,17507026721,185198823,37478,703,22,366,391488,0 127,2,2024-09-07 08:15:20:657,29011,29011,0,0,1619516,0,1744 127,3,2024-09-07 08:15:21:276,1,22,6,0,99,290,22,0 128,0,2024-09-07 08:15:21:547,3707,0.3,3750,0.5,7357,0.2,9747,1.75 128,1,2024-09-07 08:15:21:633,38769,38769,0,0,18742125395,195846998,38581,184,4,369,391605,0 128,2,2024-09-07 08:15:21:384,27001,27001,0,0,1901532,0,1919 128,3,2024-09-07 08:15:20:767,1,22,19,1,20,256,22,0 129,0,2024-09-07 08:15:21:010,4099,0.4,4143,0.6,8159,0.3,11062,2.00 129,1,2024-09-07 08:15:20:576,38297,38297,0,0,18304590624,195642851,37260,772,265,379,391835,0 129,2,2024-09-07 08:15:20:686,24347,24347,0,0,1301945,0,2289 129,3,2024-09-07 08:15:20:688,1,22,88,1,88,328,22,0 130,0,2024-09-07 08:15:21:793,8766,1.1,8630,1.1,17433,1.7,23790,2.00 130,1,2024-09-07 08:15:20:586,38692,38692,0,0,18774853613,198149938,38147,544,1,381,391516,0 130,2,2024-09-07 08:15:21:125,28807,28807,0,0,1484293,0,1539 130,3,2024-09-07 08:15:21:302,1,22,1,1,66,174,22,0 131,0,2024-09-07 08:15:21:951,4777,3.1,4634,2.3,9522,3.6,12682,2.75 131,1,2024-09-07 08:15:21:829,38661,38661,0,0,18059666691,191863111,37998,492,171,385,391727,0 131,2,2024-09-07 08:15:20:574,29410,29410,0,0,1627611,0,1766 131,3,2024-09-07 08:15:21:697,1,22,2,1,23,96,22,0 132,0,2024-09-07 08:15:21:444,5353,0.5,5467,0.9,10876,0.5,14188,2.00 132,1,2024-09-07 08:15:20:581,38113,38113,0,0,17809054758,191722978,36802,1049,262,383,391533,0 132,2,2024-09-07 08:15:20:704,28344,28344,0,0,3017641,0,4606 132,3,2024-09-07 08:15:21:696,1,22,15,3,356,613,22,0 133,0,2024-09-07 08:15:21:543,4416,0.3,4505,0.5,9170,0.2,11364,1.75 133,1,2024-09-07 08:15:20:601,37913,37913,0,0,17786005979,189242289,37127,782,4,385,391513,0 133,2,2024-09-07 08:15:21:094,24813,24813,0,0,1220732,0,1707 133,3,2024-09-07 08:15:21:297,1,22,4,1,26,101,22,0 134,0,2024-09-07 08:15:20:978,7517,0.4,7551,0.7,15178,0.4,20383,1.75 134,1,2024-09-07 08:15:20:587,38411,38411,0,0,18225741901,197036536,36904,760,747,366,391508,0 134,2,2024-09-07 08:15:21:757,26154,26154,0,0,1420221,0,2026 134,3,2024-09-07 08:15:20:749,1,22,10,1,63,352,22,0 135,0,2024-09-07 08:15:21:140,7048,3.5,6999,2.9,14819,3.4,18911,3.00 135,1,2024-09-07 08:15:21:590,38471,38471,0,0,18866553578,197488917,38100,355,16,383,391513,0 135,2,2024-09-07 08:15:20:689,30847,30847,0,0,1717738,0,3016 135,3,2024-09-07 08:15:21:004,1,22,1,1,68,209,22,0 136,0,2024-09-07 08:15:21:634,5486,0.5,5411,0.9,10856,0.4,14433,2.50 136,1,2024-09-07 08:15:21:442,38654,38654,0,0,18245300515,197234597,37322,1191,141,385,391501,0 136,2,2024-09-07 08:15:21:134,29894,29894,0,0,1583644,0,1692 136,3,2024-09-07 08:15:21:114,1,22,8,1,108,423,22,0 137,0,2024-09-07 08:15:20:935,3793,0.4,3753,0.7,7277,0.2,9971,2.00 137,1,2024-09-07 08:15:20:588,38447,38447,0,0,18449799814,196768353,37615,789,43,368,391489,0 137,2,2024-09-07 08:15:21:741,24363,24363,0,0,2184300,0,2294 137,3,2024-09-07 08:15:20:769,1,22,1,1,227,457,22,0 138,0,2024-09-07 08:15:21:762,5081,1.1,5161,1.2,10389,1.3,13854,2.75 138,1,2024-09-07 08:15:21:697,38335,38335,0,0,18018300043,194996387,36905,1199,231,371,391572,0 138,2,2024-09-07 08:15:20:586,25570,25570,0,0,1721816,0,3263 138,3,2024-09-07 08:15:20:613,1,22,1,1,68,242,22,0 139,0,2024-09-07 08:15:21:405,8135,5.4,8153,3.2,16600,6.0,22733,4.25 139,1,2024-09-07 08:15:20:578,37916,37916,0,0,17913766959,199210678,35933,1300,683,383,391511,0 139,2,2024-09-07 08:15:20:708,30715,30715,0,0,2229331,0,2425 139,3,2024-09-07 08:15:21:671,1,22,6,1,120,449,22,0 140,0,2024-09-07 08:15:21:627,3952,0.6,3869,0.8,7767,0.4,10706,2.00 140,1,2024-09-07 08:15:21:622,38765,38765,0,0,18434176053,192448377,38590,175,0,366,391482,0 140,2,2024-09-07 08:15:20:687,28522,28522,0,0,2190284,0,3303 140,3,2024-09-07 08:15:20:767,1,22,8,1,25,214,22,0 141,0,2024-09-07 08:15:21:717,3190,0.2,3309,0.4,6410,0.1,8431,1.50 141,1,2024-09-07 08:15:20:862,38607,38607,0,0,19072252905,203030912,37538,809,260,382,391532,0 141,2,2024-09-07 08:15:21:690,26778,26778,0,0,1643535,0,2342 141,3,2024-09-07 08:15:21:050,1,22,1,0,53,171,22,0 142,0,2024-09-07 08:15:21:316,4529,0.4,4420,0.7,8918,0.3,11803,2.00 142,1,2024-09-07 08:15:20:587,38608,38608,0,0,18185827753,191214607,38449,159,0,385,391576,0 142,2,2024-09-07 08:15:21:308,24588,24588,0,0,1661440,0,2293 142,3,2024-09-07 08:15:21:759,1,22,9,1,53,151,22,0 143,0,2024-09-07 08:15:21:410,8506,1.6,8469,1.3,17099,1.9,23068,2.25 143,1,2024-09-07 08:15:20:565,38295,38295,0,0,18527860014,195102537,37609,665,21,370,391608,0 143,2,2024-09-07 08:15:20:770,29313,29313,0,0,1923526,0,2572 143,3,2024-09-07 08:15:21:145,1,22,2,1,236,593,22,0 144,0,2024-09-07 08:15:21:509,3734,6.5,3873,10.0,7724,6.9,11235,3.25 144,1,2024-09-07 08:15:20:573,38262,38262,0,0,17971708421,192661884,37247,832,183,383,391562,0 144,2,2024-09-07 08:15:21:765,29091,29091,0,0,1726744,0,2653 144,3,2024-09-07 08:15:21:743,1,22,8,1,112,454,22,0 145,0,2024-09-07 08:15:21:384,4393,0.4,4387,0.7,9119,0.3,11584,2.00 145,1,2024-09-07 08:15:20:557,38217,38217,0,0,17902195889,188513484,37969,248,0,384,391615,0 145,2,2024-09-07 08:15:21:438,28211,28211,0,0,2297816,0,3903 145,3,2024-09-07 08:15:20:894,1,22,1,1,151,564,22,0 146,0,2024-09-07 08:15:21:642,3939,0.3,3946,0.5,7901,0.2,10156,1.75 146,1,2024-09-07 08:15:21:621,38439,38439,0,0,18604500654,201063267,36832,1309,298,371,391512,0 146,2,2024-09-07 08:15:21:709,24292,24292,0,0,1564219,0,2149 146,3,2024-09-07 08:15:21:279,1,22,1,0,54,215,22,0 147,0,2024-09-07 08:15:21:725,7702,3.4,7619,2.0,15250,4.0,21435,2.50 147,1,2024-09-07 08:15:21:375,38671,38671,0,0,18427286734,194822934,37949,595,127,369,391791,0 147,2,2024-09-07 08:15:21:010,27794,27794,0,0,1696128,0,2526 147,3,2024-09-07 08:15:20:914,1,22,5,1,141,441,22,0 0,0,2024-09-07 08:15:31:876,4388,0.5,4407,0.8,9198,0.4,11947,2.25 0,1,2024-09-07 08:15:30:809,40023,40023,0,0,19328908431,206249034,39256,661,106,373,391673,0 0,2,2024-09-07 08:15:31:079,25649,25649,0,0,1086414,0,1598 0,3,2024-09-07 08:15:31:015,1,23,18,2,247,549,23,0 1,0,2024-09-07 08:15:31:844,6645,2.4,6611,1.8,13442,2.9,18526,3.25 1,1,2024-09-07 08:15:30:567,39891,39891,0,0,18371898437,194643070,39366,489,36,372,391857,0 1,2,2024-09-07 08:15:30:653,27091,27091,0,0,1533645,0,1544 1,3,2024-09-07 08:15:31:320,1,23,3,1,167,371,23,0 2,0,2024-09-07 08:15:31:655,8591,4.2,8725,2.4,17207,6.2,23004,4.50 2,1,2024-09-07 08:15:30:859,39788,39788,0,0,18937060232,199976195,39298,438,52,382,391527,0 2,2,2024-09-07 08:15:31:268,31970,31970,0,0,2164581,0,3304 2,3,2024-09-07 08:15:30:692,1,23,2,1,87,339,23,0 3,0,2024-09-07 08:15:31:756,5116,0.5,5099,0.8,10231,0.4,13714,2.25 3,1,2024-09-07 08:15:31:619,40219,40219,0,0,19478821161,206519516,39489,716,14,383,391494,0 3,2,2024-09-07 08:15:31:147,30362,30362,0,0,1813201,0,2192 3,3,2024-09-07 08:15:31:765,1,23,1,1,23,82,23,0 4,0,2024-09-07 08:15:31:825,3201,0.3,3379,0.4,6640,0.2,8710,1.75 4,1,2024-09-07 08:15:30:624,40207,40207,0,0,18946038229,203037405,39103,761,343,372,391682,0 4,2,2024-09-07 08:15:31:061,26484,26484,0,0,1987536,0,4528 4,3,2024-09-07 08:15:31:045,1,23,17,8,287,628,23,0 5,0,2024-09-07 08:15:31:464,4899,0.6,4807,0.8,9611,0.5,13260,2.25 5,1,2024-09-07 08:15:30:757,40281,40281,0,0,19460495629,204330115,39750,439,92,368,392005,0 5,2,2024-09-07 08:15:31:859,26495,26495,0,0,1351135,0,1912 5,3,2024-09-07 08:15:31:745,1,23,4,1,172,432,23,0 6,0,2024-09-07 08:15:30:936,9304,0.6,9261,0.8,18406,0.7,24525,2.00 6,1,2024-09-07 08:15:30:749,40309,40309,0,0,18723989556,196041976,39948,356,5,382,391603,0 6,2,2024-09-07 08:15:31:118,32013,32013,0,0,1962588,0,2232 6,3,2024-09-07 08:15:31:294,1,23,1,1,277,552,23,0 7,0,2024-09-07 08:15:31:560,3390,0.3,3405,0.5,6695,0.2,9243,1.75 7,1,2024-09-07 08:15:30:852,39837,39837,0,0,18921964529,200512799,39118,655,64,384,391558,0 7,2,2024-09-07 08:15:30:777,30139,30139,0,0,1597388,0,2981 7,3,2024-09-07 08:15:30:852,1,23,6,0,46,177,23,0 8,0,2024-09-07 08:15:31:359,4028,0.3,3920,0.4,7715,0.2,10266,1.50 8,1,2024-09-07 08:15:31:087,39575,39575,0,0,19368285766,216611196,36727,1615,1233,368,391724,0 8,2,2024-09-07 08:15:30:793,27857,27857,0,0,2200264,0,2986 8,3,2024-09-07 08:15:30:593,1,23,12,1,229,573,23,0 9,0,2024-09-07 08:15:31:169,4458,0.3,4327,0.5,8929,0.2,11978,1.75 9,1,2024-09-07 08:15:30:559,39745,39745,0,0,19429958059,210460913,38124,1143,478,370,391496,0 9,2,2024-09-07 08:15:31:110,25764,25764,0,0,1564580,0,1673 9,3,2024-09-07 08:15:31:756,1,23,29,0,50,263,23,0 10,0,2024-09-07 08:15:31:631,9277,0.5,9281,0.6,18513,0.5,24818,1.75 10,1,2024-09-07 08:15:30:586,39786,39786,0,0,18847404379,205938625,37662,1744,380,383,391541,0 10,2,2024-09-07 08:15:30:770,29719,29719,0,0,2545310,0,2940 10,3,2024-09-07 08:15:30:872,1,23,1,0,118,225,23,0 11,0,2024-09-07 08:15:31:035,4545,6.4,4413,3.9,9225,8.0,12953,3.75 11,1,2024-09-07 08:15:30:572,39782,39782,0,0,19385433997,211483824,37800,1246,736,384,391485,0 11,2,2024-09-07 08:15:31:126,30584,30584,0,0,2107527,0,2635 11,3,2024-09-07 08:15:31:297,1,23,14,1,720,948,23,0 12,0,2024-09-07 08:15:31:075,5638,0.3,5683,0.5,11182,0.2,14485,1.75 12,1,2024-09-07 08:15:30:993,40065,40065,0,0,18872243479,201805597,39180,864,21,372,391611,0 12,2,2024-09-07 08:15:31:602,29966,29966,0,0,2203553,0,2254 12,3,2024-09-07 08:15:31:068,1,23,15,2,179,469,23,0 13,0,2024-09-07 08:15:31:446,4504,0.4,4561,0.6,9087,0.3,11469,1.75 13,1,2024-09-07 08:15:31:542,40412,40412,0,0,18929512928,201987505,39817,556,39,385,391563,0 13,2,2024-09-07 08:15:30:628,25884,25884,0,0,1193914,0,2239 13,3,2024-09-07 08:15:31:765,1,23,18,1,153,450,23,0 14,0,2024-09-07 08:15:30:573,8033,0.5,8067,0.7,15734,0.5,21277,1.75 14,1,2024-09-07 08:15:31:592,40566,40566,0,0,18913735245,199212864,39986,552,28,365,391546,0 14,2,2024-09-07 08:15:30:769,27581,27581,0,0,2034536,0,2077 14,3,2024-09-07 08:15:31:117,1,23,1,1,40,116,23,0 15,0,2024-09-07 08:15:31:566,7036,5.2,6996,2.8,14034,7.0,19113,4.25 15,1,2024-09-07 08:15:31:619,40241,40241,0,0,19158278615,202031084,39862,377,2,381,391536,0 15,2,2024-09-07 08:15:31:025,32508,32508,0,0,1450007,0,1416 15,3,2024-09-07 08:15:31:411,1,23,7,0,538,907,23,0 16,0,2024-09-07 08:15:31:020,5616,0.4,5666,0.7,11304,0.3,14985,2.50 16,1,2024-09-07 08:15:30:568,40272,40272,0,0,18701227364,199087577,39575,692,5,373,391543,0 16,2,2024-09-07 08:15:31:461,29987,29987,0,0,2175797,0,4719 16,3,2024-09-07 08:15:31:143,1,23,20,3,231,764,23,0 17,0,2024-09-07 08:15:31:825,4139,0.4,4163,0.7,7977,0.3,10928,2.25 17,1,2024-09-07 08:15:30:623,39956,39956,0,0,18499031256,200811587,38642,806,508,370,391671,0 17,2,2024-09-07 08:15:31:694,26061,26061,0,0,1417135,0,1865 17,3,2024-09-07 08:15:30:589,1,23,21,0,268,770,23,0 18,0,2024-09-07 08:15:30:961,5373,1.1,5493,1.1,10974,1.4,14548,2.50 18,1,2024-09-07 08:15:31:639,39825,39825,0,0,18420673747,197643093,38734,907,184,370,391518,0 18,2,2024-09-07 08:15:31:764,26563,26563,0,0,2342527,0,3541 18,3,2024-09-07 08:15:30:904,1,23,50,0,142,462,23,0 19,0,2024-09-07 08:15:31:574,8851,1.9,9040,1.6,17545,2.0,23665,5.75 19,1,2024-09-07 08:15:30:569,39960,39960,0,0,18961829015,204386310,38428,1371,161,367,391529,0 19,2,2024-09-07 08:15:31:760,32186,32186,0,0,2177992,0,3599 19,3,2024-09-07 08:15:31:129,1,23,4,0,29,76,23,0 20,0,2024-09-07 08:15:31:444,4310,0.2,4369,0.4,8420,0.2,11477,1.50 20,1,2024-09-07 08:15:30:582,40212,40212,0,0,19368317643,203205436,39840,371,1,370,391488,0 20,2,2024-09-07 08:15:30:971,30103,30103,0,0,1564643,0,1616 20,3,2024-09-07 08:15:30:613,1,23,32,1,99,438,23,0 21,0,2024-09-07 08:15:31:146,3500,0.2,3437,0.4,6816,0.2,8853,1.50 21,1,2024-09-07 08:15:31:865,40081,40081,0,0,18279674393,193456289,39470,585,26,368,391962,0 21,2,2024-09-07 08:15:31:093,27815,27815,0,0,1619933,0,3747 21,3,2024-09-07 08:15:31:404,1,23,6,1,33,238,23,0 22,0,2024-09-07 08:15:31:749,4660,0.3,4759,0.6,9445,0.2,12420,1.75 22,1,2024-09-07 08:15:31:033,40064,40064,0,0,18625652364,201198026,38696,1061,307,382,391667,0 22,2,2024-09-07 08:15:30:761,26375,26375,0,0,1140823,0,1503 22,3,2024-09-07 08:15:31:067,1,23,9,0,48,156,23,0 23,0,2024-09-07 08:15:31:380,8845,0.4,9118,0.5,17889,0.3,24208,1.75 23,1,2024-09-07 08:15:31:021,40797,40797,0,0,19443228939,201674846,40657,140,0,368,391482,0 23,2,2024-09-07 08:15:31:094,31525,31525,0,0,1464696,0,2078 23,3,2024-09-07 08:15:31:766,1,23,1,0,141,240,23,0 24,0,2024-09-07 08:15:30:875,4495,1.4,4511,1.5,9120,2.0,11906,1.75 24,1,2024-09-07 08:15:30:605,40498,40498,0,0,19089593581,203136186,39893,601,4,371,391640,0 24,2,2024-09-07 08:15:31:098,29814,29814,0,0,2823023,0,2942 24,3,2024-09-07 08:15:31:694,1,23,8,2,234,476,23,0 25,0,2024-09-07 08:15:31:473,4716,0.3,4654,0.5,8933,0.2,11793,1.50 25,1,2024-09-07 08:15:30:573,40129,40129,0,0,18803010650,199455245,39559,554,16,373,391544,0 25,2,2024-09-07 08:15:31:622,28907,28907,0,0,2187701,0,1963 25,3,2024-09-07 08:15:31:018,1,23,2,1,50,265,23,0 26,0,2024-09-07 08:15:31:744,4016,0.2,3956,0.4,8294,0.2,10501,1.50 26,1,2024-09-07 08:15:31:553,39825,39825,0,0,19135345543,211425556,37598,1529,698,382,391519,0 26,2,2024-09-07 08:15:30:865,25683,25683,0,0,2167840,0,2809 26,3,2024-09-07 08:15:31:717,1,23,1,1,796,925,23,0 27,0,2024-09-07 08:15:31:736,8218,0.5,8280,0.6,16691,0.4,22841,1.75 27,1,2024-09-07 08:15:31:676,40769,40769,0,0,19658218075,204904416,40605,163,1,381,391526,0 27,2,2024-09-07 08:15:30:872,28333,28333,0,0,3132454,0,3146 27,3,2024-09-07 08:15:31:020,1,23,1,1,86,283,23,0 28,0,2024-09-07 08:15:31:396,5962,2.3,6042,1.7,12137,3.8,15955,2.25 28,1,2024-09-07 08:15:30:798,40295,40295,0,0,19052646694,201180430,39876,411,8,383,391489,0 28,2,2024-09-07 08:15:31:782,31430,31430,0,0,1812935,0,2609 28,3,2024-09-07 08:15:31:789,1,23,15,3,502,744,23,0 29,0,2024-09-07 08:15:31:373,5930,0.3,5806,0.5,11432,0.2,15068,1.75 29,1,2024-09-07 08:15:31:594,40559,40559,0,0,19084615517,200543090,39950,437,172,371,391621,0 29,2,2024-09-07 08:15:30:867,30488,30488,0,0,1467777,0,2026 29,3,2024-09-07 08:15:30:991,1,23,1,1,105,362,23,0 30,0,2024-09-07 08:15:31:483,4555,0.3,4419,0.5,9183,0.2,11976,1.75 30,1,2024-09-07 08:15:30:572,39976,39976,0,0,19297138137,205664992,39328,586,62,382,391524,0 30,2,2024-09-07 08:15:31:297,25706,25706,0,0,1131649,0,1567 30,3,2024-09-07 08:15:30:588,1,23,5,0,110,177,23,0 31,0,2024-09-07 08:15:31:776,6888,0.6,6891,0.8,13891,0.5,18712,2.00 31,1,2024-09-07 08:15:30:565,40204,40204,0,0,18978318124,197017606,40090,114,0,356,391478,0 31,2,2024-09-07 08:15:31:283,27462,27462,0,0,2294477,0,3525 31,3,2024-09-07 08:15:31:724,1,23,4,0,40,105,23,0 32,0,2024-09-07 08:15:31:428,8811,3.1,8968,1.7,17958,4.2,23574,2.00 32,1,2024-09-07 08:15:30:812,40191,40191,0,0,18883520644,199178020,39788,400,3,383,391595,0 32,2,2024-09-07 08:15:30:952,32385,32385,0,0,1838972,0,3155 32,3,2024-09-07 08:15:31:030,1,23,14,1,37,245,23,0 33,0,2024-09-07 08:15:31:509,5161,0.3,5128,0.5,10285,0.2,13604,1.75 33,1,2024-09-07 08:15:30:575,39845,39845,0,0,19192326658,203550270,38886,863,96,369,391497,0 33,2,2024-09-07 08:15:30:768,30116,30116,0,0,2116653,0,3364 33,3,2024-09-07 08:15:30:896,1,23,1,1,27,118,23,0 34,0,2024-09-07 08:15:30:945,3259,0.2,3345,0.4,6436,0.1,8630,1.50 34,1,2024-09-07 08:15:31:045,40141,40141,0,0,18906725357,198232404,39818,320,3,369,391481,0 34,2,2024-09-07 08:15:30:771,26372,26372,0,0,1784925,0,3073 34,3,2024-09-07 08:15:31:688,1,23,9,0,46,152,23,0 35,0,2024-09-07 08:15:30:893,4766,1.6,4761,1.3,9529,2.3,13178,2.25 35,1,2024-09-07 08:15:31:070,39921,39921,0,0,19406995435,206798419,38614,946,361,385,391587,0 35,2,2024-09-07 08:15:31:588,26588,26588,0,0,1432423,0,2276 35,3,2024-09-07 08:15:30:909,1,23,7,1,219,504,23,0 36,0,2024-09-07 08:15:31:533,9177,0.9,9072,1.1,18565,1.0,24352,2.50 36,1,2024-09-07 08:15:30:625,39797,39797,0,0,18488118653,202074344,37630,1395,772,370,391535,0 36,2,2024-09-07 08:15:31:767,32017,32017,0,0,1993786,0,2554 36,3,2024-09-07 08:15:30:863,1,23,0,0,378,691,23,0 37,0,2024-09-07 08:15:31:377,3403,0.2,3397,0.4,6791,0.1,9233,1.75 37,1,2024-09-07 08:15:30:571,39477,39470,0,7,18844973983,209380248,37158,805,1507,368,391507,0 37,2,2024-09-07 08:15:31:149,30312,30312,0,0,1403271,0,1448 37,3,2024-09-07 08:15:31:768,1,23,10,0,31,123,23,0 38,0,2024-09-07 08:15:31:446,3933,0.4,3807,0.6,7880,0.2,10279,2.00 38,1,2024-09-07 08:15:31:628,40884,40884,0,0,19467309215,203945062,40466,407,11,371,391512,0 38,2,2024-09-07 08:15:30:760,28402,28402,0,0,1728437,0,3245 38,3,2024-09-07 08:15:31:038,1,23,63,0,63,196,23,0 39,0,2024-09-07 08:15:31:820,4620,0.3,4564,0.6,8860,0.2,12045,1.75 39,1,2024-09-07 08:15:30:728,40486,40486,0,0,18804234387,199537091,39412,656,418,366,391486,0 39,2,2024-09-07 08:15:31:434,25968,25968,0,0,1111578,0,1786 39,3,2024-09-07 08:15:30:717,1,23,30,1,189,507,23,0 40,0,2024-09-07 08:15:31:512,8902,1.4,9045,3.0,18078,3.0,24652,3.25 40,1,2024-09-07 08:15:30:579,40198,40198,0,0,18503612375,201138036,38337,1334,527,371,391543,0 40,2,2024-09-07 08:15:31:303,29662,29661,1,0,2779833,0,5137 40,3,2024-09-07 08:15:31:156,1,23,8,2,63,278,23,0 41,0,2024-09-07 08:15:31:035,4136,7.9,4338,10.0,8379,9.8,11748,7.75 41,1,2024-09-07 08:15:30:769,40216,40216,0,0,19240516927,206196661,38967,1057,192,370,391483,0 41,2,2024-09-07 08:15:30:767,30408,30408,0,0,2751716,0,3325 41,3,2024-09-07 08:15:31:676,1,23,2,1,19,65,23,0 42,0,2024-09-07 08:15:31:493,5523,0.6,5535,0.9,11077,0.5,14465,2.50 42,1,2024-09-07 08:15:31:454,39697,39697,0,0,18718562611,206198568,37625,1370,702,381,391511,0 42,2,2024-09-07 08:15:31:134,29592,29592,0,0,2134908,0,1967 42,3,2024-09-07 08:15:31:019,1,23,3,0,100,336,23,0 43,0,2024-09-07 08:15:30:928,4479,0.3,4466,0.6,9289,0.2,11494,1.75 43,1,2024-09-07 08:15:30:578,39916,39916,0,0,19515811989,209375954,38503,822,591,368,391507,0 43,2,2024-09-07 08:15:31:742,25593,25593,0,0,1981940,0,3812 43,3,2024-09-07 08:15:31:758,1,23,3,1,292,763,23,0 44,0,2024-09-07 08:15:30:866,7935,0.5,7863,0.8,15680,0.5,21244,2.00 44,1,2024-09-07 08:15:30:564,40228,40228,0,0,18588094797,194575694,39873,347,8,358,391493,0 44,2,2024-09-07 08:15:31:285,27496,27496,0,0,1477746,0,1592 44,3,2024-09-07 08:15:31:093,1,23,8,1,817,1065,23,0 45,0,2024-09-07 08:15:31:764,7032,5.2,6870,2.8,14319,6.6,19358,2.50 45,1,2024-09-07 08:15:31:021,40270,40270,0,0,19203114175,202070628,40025,245,0,382,391548,0 45,2,2024-09-07 08:15:31:282,32612,32612,0,0,2080436,0,2038 45,3,2024-09-07 08:15:30:963,1,23,48,1,226,463,23,0 46,0,2024-09-07 08:15:30:983,5737,0.3,5714,0.6,11395,0.2,15041,1.75 46,1,2024-09-07 08:15:30:577,40291,40291,0,0,18846740323,196834978,39907,358,26,370,391514,0 46,2,2024-09-07 08:15:30:599,30456,30456,0,0,1834213,0,2835 46,3,2024-09-07 08:15:31:139,1,23,0,0,200,471,23,0 47,0,2024-09-07 08:15:31:110,4141,0.3,4132,0.5,8043,0.2,10882,1.50 47,1,2024-09-07 08:15:30:571,40575,40575,0,0,18758839314,195819997,40369,205,1,368,391481,0 47,2,2024-09-07 08:15:30:912,26280,26280,0,0,1729996,0,2558 47,3,2024-09-07 08:15:31:115,1,23,2,1,529,638,23,0 48,0,2024-09-07 08:15:31:535,5505,0.6,5440,0.7,10869,0.6,14669,2.00 48,1,2024-09-07 08:15:31:032,39920,39920,0,0,19041348171,203357045,38902,1013,5,386,391516,0 48,2,2024-09-07 08:15:30:701,26911,26911,0,0,1511952,0,2083 48,3,2024-09-07 08:15:30:776,1,23,1,1,23,232,23,0 49,0,2024-09-07 08:15:31:720,9253,1.5,9231,1.2,17535,2.9,24857,2.50 49,1,2024-09-07 08:15:31:046,40227,40227,0,0,19605794744,206253878,39873,349,5,382,391583,0 49,2,2024-09-07 08:15:31:803,32273,32273,0,0,1964973,0,2196 49,3,2024-09-07 08:15:31:425,1,23,0,0,274,570,23,0 50,0,2024-09-07 08:15:31:533,4291,0.3,4255,0.5,8447,0.2,11494,1.75 50,1,2024-09-07 08:15:31:021,40442,40442,0,0,19704637828,207414208,39595,652,195,370,391530,0 50,2,2024-09-07 08:15:31:069,30263,30263,0,0,1393917,0,2034 50,3,2024-09-07 08:15:31:311,1,23,10,1,335,496,23,0 51,0,2024-09-07 08:15:31:708,3494,0.2,3401,0.4,6776,0.1,8910,1.50 51,1,2024-09-07 08:15:31:689,39924,39924,0,0,19823074293,210322577,38912,472,540,367,391504,0 51,2,2024-09-07 08:15:31:317,27331,27331,0,0,1141680,0,1936 51,3,2024-09-07 08:15:31:030,1,23,1,0,162,298,23,0 52,0,2024-09-07 08:15:31:426,4677,0.5,4617,0.9,9328,0.4,12261,2.25 52,1,2024-09-07 08:15:30:576,40177,40177,0,0,18950590035,202799578,39100,881,196,371,391498,0 52,2,2024-09-07 08:15:31:767,26288,26288,0,0,1681084,0,4779 52,3,2024-09-07 08:15:30:675,1,23,18,4,21,269,23,0 53,0,2024-09-07 08:15:31:755,8984,1.3,8632,1.1,17943,1.6,24043,2.25 53,1,2024-09-07 08:15:30:776,40286,40286,0,0,19708297976,211261868,38784,1076,426,372,391523,0 53,2,2024-09-07 08:15:31:302,31388,31388,0,0,1381229,0,1520 53,3,2024-09-07 08:15:30:699,1,23,2,1,28,102,23,0 54,0,2024-09-07 08:15:31:667,3551,10.0,3600,10.0,7222,6.2,10765,6.25 54,1,2024-09-07 08:15:30:590,40382,40382,0,0,19548604200,204902395,39653,695,34,367,391520,0 54,2,2024-09-07 08:15:30:891,30409,30403,6,0,2168367,0,5382 54,3,2024-09-07 08:15:30:765,1,23,1,0,98,197,23,0 55,0,2024-09-07 08:15:31:785,4479,0.7,4629,0.9,9309,0.5,11760,2.50 55,1,2024-09-07 08:15:30:764,39933,39933,0,0,18956215491,202073739,38388,1049,496,369,391660,0 55,2,2024-09-07 08:15:30:730,29233,29233,0,0,1565798,0,2129 55,3,2024-09-07 08:15:30:676,1,23,1,0,44,130,23,0 56,0,2024-09-07 08:15:31:591,4076,1.9,3876,1.5,7970,2.3,10347,4.00 56,1,2024-09-07 08:15:30:576,40091,40091,0,0,19644600265,214527947,38095,1414,582,384,391536,0 56,2,2024-09-07 08:15:31:313,25705,25705,0,0,1994381,0,3567 56,3,2024-09-07 08:15:31:059,1,23,8,2,297,502,23,0 57,0,2024-09-07 08:15:30:957,7907,4.0,7762,2.5,15760,6.2,21873,4.50 57,1,2024-09-07 08:15:31:020,40452,40452,0,0,18589061631,198921486,39631,807,14,369,391505,0 57,2,2024-09-07 08:15:31:324,28915,28915,0,0,2244174,0,2687 57,3,2024-09-07 08:15:31:747,1,23,1,1,359,579,23,0 58,0,2024-09-07 08:15:30:582,5218,4.9,5083,2.8,10563,5.5,14020,7.00 58,1,2024-09-07 08:15:30:597,40345,40344,0,1,19998882080,213553576,39082,1105,157,371,391488,1 58,2,2024-09-07 08:15:31:076,31784,31784,0,0,2067896,0,2464 58,3,2024-09-07 08:15:31:076,1,23,2,1,219,376,23,0 59,0,2024-09-07 08:15:31:761,5784,1.3,5815,1.3,11542,1.6,14801,3.00 59,1,2024-09-07 08:15:30:804,40312,40312,0,0,19311515070,204370168,39757,552,3,371,391515,0 59,2,2024-09-07 08:15:30:583,30004,30004,0,0,1690595,0,1708 59,3,2024-09-07 08:15:31:743,1,23,5,0,25,147,23,0 60,0,2024-09-07 08:15:31:723,4560,0.4,4569,0.7,9002,0.2,11992,1.75 60,1,2024-09-07 08:15:30:777,40590,40590,0,0,19423519481,206449731,39712,534,344,370,391672,0 60,2,2024-09-07 08:15:31:151,25808,25808,0,0,1399856,0,2142 60,3,2024-09-07 08:15:31:258,1,23,13,0,124,390,23,0 61,0,2024-09-07 08:15:31:509,6637,3.3,6639,2.1,13189,4.2,18278,2.75 61,1,2024-09-07 08:15:30:778,39949,39949,0,0,18955952479,205125423,38340,1154,455,383,391560,0 61,2,2024-09-07 08:15:31:118,27477,27477,0,0,1897485,0,1846 61,3,2024-09-07 08:15:31:694,1,23,44,1,124,338,23,0 62,0,2024-09-07 08:15:31:730,8792,3.4,9053,1.9,17617,5.1,23564,3.50 62,1,2024-09-07 08:15:31:113,40188,40187,0,1,19359633308,202972904,39716,469,2,368,391586,1 62,2,2024-09-07 08:15:31:666,31952,31952,0,0,2363884,0,2931 62,3,2024-09-07 08:15:31:145,1,23,34,1,287,437,23,0 63,0,2024-09-07 08:15:31:484,5127,0.8,5103,1.0,10183,1.0,13739,2.50 63,1,2024-09-07 08:15:30:805,40688,40686,0,2,19648640752,204749420,40540,145,1,382,391540,2 63,2,2024-09-07 08:15:30:772,30215,30215,0,0,1868564,0,2177 63,3,2024-09-07 08:15:31:744,1,23,1,0,216,590,23,0 64,0,2024-09-07 08:15:31:559,3211,0.2,3249,0.4,6580,0.1,8652,1.75 64,1,2024-09-07 08:15:30:759,39953,39953,0,0,18922486447,207075395,38099,1126,728,371,391604,0 64,2,2024-09-07 08:15:31:152,26883,26883,0,0,1313166,0,2510 64,3,2024-09-07 08:15:31:144,1,23,8,1,163,384,23,0 65,0,2024-09-07 08:15:31:700,4672,3.4,4689,2.0,9154,5.4,12612,4.75 65,1,2024-09-07 08:15:30:865,40270,40270,0,0,19126096942,203471226,39395,842,33,382,391506,0 65,2,2024-09-07 08:15:31:700,26312,26312,0,0,1787456,0,3367 65,3,2024-09-07 08:15:31:690,1,23,21,1,122,369,23,0 66,0,2024-09-07 08:15:31:777,9010,0.4,9127,0.6,18382,0.3,24463,2.00 66,1,2024-09-07 08:15:31:313,40778,40778,0,0,19509864831,204510313,40367,395,16,381,391537,0 66,2,2024-09-07 08:15:31:153,31974,31974,0,0,1832652,0,2934 66,3,2024-09-07 08:15:31:089,1,23,1,1,88,193,23,0 67,0,2024-09-07 08:15:31:425,3407,0.6,3411,0.8,6742,0.6,9174,2.25 67,1,2024-09-07 08:15:30:769,40184,40183,0,1,19019976910,202432639,39441,645,97,383,391567,1 67,2,2024-09-07 08:15:30:583,30399,30399,0,0,1709055,0,2104 67,3,2024-09-07 08:15:31:766,1,23,1,1,93,241,23,0 68,0,2024-09-07 08:15:30:618,3840,0.3,3849,0.7,7918,0.2,10182,1.75 68,1,2024-09-07 08:15:30:582,39964,39964,0,0,18973861820,202959585,39051,467,446,383,391495,0 68,2,2024-09-07 08:15:31:070,28545,28545,0,0,1809531,0,4883 68,3,2024-09-07 08:15:30:732,1,23,17,1,63,295,23,0 69,0,2024-09-07 08:15:31:753,4534,0.6,4414,0.9,8823,0.7,12102,2.00 69,1,2024-09-07 08:15:31:033,39621,39621,0,0,18861585517,206185254,38242,517,862,386,391486,0 69,2,2024-09-07 08:15:31:737,26146,26146,0,0,1251158,0,2462 69,3,2024-09-07 08:15:30:766,1,23,5,0,238,393,23,0 70,0,2024-09-07 08:15:31:563,9100,3.6,9080,4.0,18398,1.2,24729,3.00 70,1,2024-09-07 08:15:30:804,40547,40547,0,0,19457655516,202723810,40286,244,17,369,391527,0 70,2,2024-09-07 08:15:31:330,30127,30127,0,0,1661189,0,2433 70,3,2024-09-07 08:15:30:752,1,23,1,1,69,130,23,0 71,0,2024-09-07 08:15:31:374,4255,8.2,4306,9.1,8354,10.0,12089,7.00 71,1,2024-09-07 08:15:31:610,40327,40327,0,0,19250553968,203782449,39368,811,148,368,391682,0 71,2,2024-09-07 08:15:31:068,30759,30759,0,0,1714117,0,2146 71,3,2024-09-07 08:15:31:762,1,23,1,1,174,367,23,0 72,0,2024-09-07 08:15:31:108,5741,0.5,5531,0.7,11039,0.3,14537,3.00 72,1,2024-09-07 08:15:31:060,39913,39913,0,0,18988507311,202379845,38919,985,9,370,391514,0 72,2,2024-09-07 08:15:31:773,29177,29177,0,0,2758988,0,2570 72,3,2024-09-07 08:15:31:767,1,23,8,1,325,556,23,0 73,0,2024-09-07 08:15:31:146,4437,0.4,4521,0.6,9143,0.2,11452,2.25 73,1,2024-09-07 08:15:30:781,40355,40355,0,0,18825756133,198511879,40073,281,1,370,391495,0 73,2,2024-09-07 08:15:31:739,25405,25405,0,0,2098517,0,3482 73,3,2024-09-07 08:15:31:006,1,23,2,0,274,638,23,0 74,0,2024-09-07 08:15:31:351,7962,0.9,8096,1.0,15621,1.6,21337,2.75 74,1,2024-09-07 08:15:30:641,40076,40076,0,0,18782758254,198389539,39580,494,2,384,391494,0 74,2,2024-09-07 08:15:31:016,27886,27886,0,0,2367286,0,2736 74,3,2024-09-07 08:15:31:448,1,23,56,0,192,771,23,0 75,0,2024-09-07 08:15:31:839,7250,5.0,7217,2.6,14235,6.1,19439,3.75 75,1,2024-09-07 08:15:31:609,40635,40635,0,0,19611831172,207634400,40015,569,51,382,391514,0 75,2,2024-09-07 08:15:31:359,32051,32051,0,0,2999556,0,4766 75,3,2024-09-07 08:15:31:073,1,23,1,0,38,98,23,0 76,0,2024-09-07 08:15:30:599,5714,0.4,5724,0.7,11335,0.3,14835,2.50 76,1,2024-09-07 08:15:30:813,39895,39895,0,0,18853814746,203329225,38577,879,439,382,391530,0 76,2,2024-09-07 08:15:31:069,30815,30815,0,0,1594258,0,2187 76,3,2024-09-07 08:15:31:146,1,23,9,0,140,530,23,0 77,0,2024-09-07 08:15:31:722,4082,0.4,4080,0.7,8082,0.3,10804,2.25 77,1,2024-09-07 08:15:30:831,40209,40209,0,0,19595527761,207680569,39477,665,67,383,391512,0 77,2,2024-09-07 08:15:31:285,25853,25853,0,0,1205665,0,1637 77,3,2024-09-07 08:15:31:104,1,23,7,0,131,242,23,0 78,0,2024-09-07 08:15:31:732,5355,4.4,5275,2.7,10661,5.3,14284,3.50 78,1,2024-09-07 08:15:30:613,40545,40545,0,0,18387565614,195026267,39943,565,37,369,391540,0 78,2,2024-09-07 08:15:31:416,27038,27038,0,0,1465527,0,2114 78,3,2024-09-07 08:15:31:133,1,23,1,0,112,407,23,0 79,0,2024-09-07 08:15:31:355,8708,1.0,9052,1.2,17895,1.0,23866,4.50 79,1,2024-09-07 08:15:30:575,40374,40374,0,0,18742454403,198356186,39697,670,7,372,391486,0 79,2,2024-09-07 08:15:31:078,32147,32147,0,0,1816646,0,2679 79,3,2024-09-07 08:15:30:750,1,23,84,0,289,537,23,0 80,0,2024-09-07 08:15:31:086,4207,0.4,4332,0.7,8437,0.3,11412,2.00 80,1,2024-09-07 08:15:31:629,39822,39822,0,0,18987041164,201448453,38785,987,50,372,391673,0 80,2,2024-09-07 08:15:31:104,29941,29941,0,0,1687436,0,1940 80,3,2024-09-07 08:15:30:585,1,23,12,1,148,603,23,0 81,0,2024-09-07 08:15:31:564,3438,0.3,3495,0.4,6732,0.1,8850,1.75 81,1,2024-09-07 08:15:31:666,40207,40207,0,0,18929341502,200952331,39577,623,7,383,391646,0 81,2,2024-09-07 08:15:31:155,27117,27117,0,0,1786779,0,2811 81,3,2024-09-07 08:15:31:131,1,23,9,1,116,302,23,0 82,0,2024-09-07 08:15:31:559,4687,0.3,4585,0.6,9357,0.2,12388,1.75 82,1,2024-09-07 08:15:30:583,39490,39489,0,1,18812273472,201976217,38116,902,471,384,391558,1 82,2,2024-09-07 08:15:31:700,26476,26476,0,0,1262781,0,1286 82,3,2024-09-07 08:15:31:759,1,23,8,1,65,297,23,0 83,0,2024-09-07 08:15:31:543,9004,1.2,8979,1.1,17847,1.5,23981,2.75 83,1,2024-09-07 08:15:30:558,40133,40133,0,0,18783521949,201147649,39052,981,100,384,391553,0 83,2,2024-09-07 08:15:30:768,30748,30748,0,0,1976757,0,2512 83,3,2024-09-07 08:15:30:749,1,23,91,1,91,251,23,0 84,0,2024-09-07 08:15:31:806,3893,10.0,3822,10.0,7737,4.9,11374,5.75 84,1,2024-09-07 08:15:31:067,40360,40360,0,0,19344834794,206082224,39346,775,239,370,391630,0 84,2,2024-09-07 08:15:30:571,30144,30144,0,0,1994019,0,3801 84,3,2024-09-07 08:15:31:149,1,23,19,1,19,213,23,0 85,0,2024-09-07 08:15:31:049,4465,0.4,4486,0.6,9427,0.3,11819,2.00 85,1,2024-09-07 08:15:30:560,39708,39708,0,0,19114896891,205833441,38270,1146,292,384,391505,0 85,2,2024-09-07 08:15:30:880,29000,29000,0,0,1949913,0,3656 85,3,2024-09-07 08:15:30:687,1,23,1,1,90,252,23,0 86,0,2024-09-07 08:15:30:884,3957,0.2,4153,0.4,8073,0.2,10561,1.50 86,1,2024-09-07 08:15:30:826,39908,39908,0,0,19248920116,202815724,39153,726,29,367,391506,0 86,2,2024-09-07 08:15:30:862,25614,25613,1,0,1844353,0,5004 86,3,2024-09-07 08:15:30:589,1,23,13,1,32,170,23,0 87,0,2024-09-07 08:15:31:302,8165,2.9,8163,1.8,16554,4.1,22588,2.00 87,1,2024-09-07 08:15:30:552,40278,40278,0,0,19104016979,201895517,39551,679,48,369,391564,0 87,2,2024-09-07 08:15:31:082,29002,29002,0,0,1344278,0,2148 87,3,2024-09-07 08:15:31:794,1,23,11,1,322,740,23,0 88,0,2024-09-07 08:15:31:535,6007,2.8,6008,1.9,11996,4.0,15909,2.50 88,1,2024-09-07 08:15:30:575,40151,40151,0,0,18984265010,202952933,39179,880,92,368,391747,0 88,2,2024-09-07 08:15:30:961,31839,31839,0,0,2208764,0,2675 88,3,2024-09-07 08:15:31:271,1,23,16,1,77,339,23,0 89,0,2024-09-07 08:15:31:785,5974,0.4,5758,0.7,11535,0.4,15112,1.75 89,1,2024-09-07 08:15:30:557,39435,39435,0,0,19386927901,208548668,38384,543,508,384,391763,0 89,2,2024-09-07 08:15:31:145,29933,29933,0,0,2147524,0,2726 89,3,2024-09-07 08:15:31:791,1,23,8,2,153,569,23,0 90,0,2024-09-07 08:15:31:636,4450,0.2,4525,0.4,9228,0.2,11974,1.50 90,1,2024-09-07 08:15:30:597,40199,40199,0,0,18587720776,201035927,38742,1332,125,382,391522,0 90,2,2024-09-07 08:15:31:415,25353,25353,0,0,2026101,0,2635 90,3,2024-09-07 08:15:30:935,1,23,1,1,19,120,23,0 91,0,2024-09-07 08:15:30:967,6930,0.6,6700,0.7,13829,0.7,18648,1.75 91,1,2024-09-07 08:15:30:560,40212,40212,0,0,19725969356,211218845,39046,999,167,384,391914,0 91,2,2024-09-07 08:15:31:337,26900,26900,0,0,1507555,0,1997 91,3,2024-09-07 08:15:30:602,1,23,36,1,155,371,23,0 92,0,2024-09-07 08:15:31:486,8950,2.7,9191,1.6,17681,4.2,23751,2.00 92,1,2024-09-07 08:15:30:585,40239,40239,0,0,18480523834,194820960,39898,294,47,383,391569,0 92,2,2024-09-07 08:15:31:374,32912,32912,0,0,2109656,0,2279 92,3,2024-09-07 08:15:31:031,1,23,8,1,68,315,23,0 93,0,2024-09-07 08:15:31:005,5116,0.6,5175,0.8,9859,0.5,13671,2.00 93,1,2024-09-07 08:15:30:816,39779,39779,0,0,18890853743,203681871,38436,913,430,368,391689,0 93,2,2024-09-07 08:15:30:971,30538,30538,0,0,1623999,0,1798 93,3,2024-09-07 08:15:31:418,1,23,26,1,143,310,23,0 94,0,2024-09-07 08:15:31:639,3394,0.2,3291,0.5,6523,0.2,8745,1.50 94,1,2024-09-07 08:15:30:564,39756,39756,0,0,19001590249,203019760,38952,784,20,382,391850,0 94,2,2024-09-07 08:15:30:786,26454,26454,0,0,1690078,0,2443 94,3,2024-09-07 08:15:31:689,1,23,3,1,231,551,23,0 95,0,2024-09-07 08:15:31:372,4873,0.8,4767,0.9,9825,0.9,13370,2.00 95,1,2024-09-07 08:15:30:855,40133,40133,0,0,18801206109,198050789,39812,317,4,368,391590,0 95,2,2024-09-07 08:15:31:052,26367,26367,0,0,1623024,0,3308 95,3,2024-09-07 08:15:31:718,1,23,135,1,135,310,23,0 96,0,2024-09-07 08:15:31:070,9393,0.4,9231,0.5,18530,0.4,24524,1.75 96,1,2024-09-07 08:15:31:584,40008,40008,0,0,18869122734,203517678,39013,439,556,385,391551,0 96,2,2024-09-07 08:15:31:287,31824,31824,0,0,1688699,0,1653 96,3,2024-09-07 08:15:31:156,1,23,8,7,31,314,23,0 97,0,2024-09-07 08:15:31:366,3423,0.3,3450,0.5,6711,0.2,9153,1.75 97,1,2024-09-07 08:15:30:784,40319,40319,0,0,19235405152,204152128,39369,570,380,367,391547,0 97,2,2024-09-07 08:15:30:622,30038,30038,0,0,1495322,0,1663 97,3,2024-09-07 08:15:30:569,1,23,13,1,97,292,23,0 98,0,2024-09-07 08:15:31:710,3921,0.2,3829,0.4,7745,0.2,10242,1.50 98,1,2024-09-07 08:15:30:572,40039,40039,0,0,19239583511,202490844,39781,256,2,384,391588,0 98,2,2024-09-07 08:15:30:780,28421,28421,0,0,1814379,0,2410 98,3,2024-09-07 08:15:30:699,1,23,7,1,155,295,23,0 99,0,2024-09-07 08:15:31:466,4419,0.3,4335,0.5,8782,0.2,11925,1.75 99,1,2024-09-07 08:15:31:734,40885,40885,0,0,18828651300,198776171,40292,433,160,382,391602,0 99,2,2024-09-07 08:15:31:429,26015,26015,0,0,1679420,0,1732 99,3,2024-09-07 08:15:30:581,1,23,1,1,65,279,23,0 100,0,2024-09-07 08:15:31:517,8948,1.4,8959,4.4,17939,4.8,24593,2.50 100,1,2024-09-07 08:15:30:559,39935,39935,0,0,19068019093,209219444,37964,1648,323,382,391505,0 100,2,2024-09-07 08:15:31:833,30060,30049,11,0,2170488,0,5417 100,3,2024-09-07 08:15:31:743,1,23,8,1,443,1017,23,0 101,0,2024-09-07 08:15:31:751,4513,8.7,4385,6.3,8864,8.9,12997,4.50 101,1,2024-09-07 08:15:30:552,39886,39886,0,0,19316184976,206972415,38828,634,424,370,391531,0 101,2,2024-09-07 08:15:31:777,30902,30902,0,0,2213950,0,4644 101,3,2024-09-07 08:15:30:946,1,23,2,1,448,588,23,0 102,0,2024-09-07 08:15:30:985,5471,0.8,5648,0.8,11256,0.6,14441,2.25 102,1,2024-09-07 08:15:31:145,40365,40365,0,0,19699468294,207663584,39822,533,10,369,391621,0 102,2,2024-09-07 08:15:31:773,29786,29786,0,0,1467704,0,1945 102,3,2024-09-07 08:15:31:617,1,23,1,0,410,623,23,0 103,0,2024-09-07 08:15:31:625,4617,0.3,4597,0.4,8760,0.2,11406,1.50 103,1,2024-09-07 08:15:31:871,40550,40550,0,0,18948456593,199419266,40179,323,48,381,391680,0 103,2,2024-09-07 08:15:30:582,26167,26167,0,0,1300279,0,1878 103,3,2024-09-07 08:15:30:767,1,23,2,1,486,765,23,0 104,0,2024-09-07 08:15:31:052,7959,1.6,8065,1.3,15565,2.0,21356,2.25 104,1,2024-09-07 08:15:31:642,40217,40217,0,0,18574897249,201813221,38721,1180,316,369,391948,0 104,2,2024-09-07 08:15:31:681,27224,27224,0,0,2032737,0,3941 104,3,2024-09-07 08:15:31:425,1,23,9,8,70,372,23,0 105,0,2024-09-07 08:15:31:057,7060,5.0,6800,2.8,14068,7.5,19164,4.75 105,1,2024-09-07 08:15:30:559,39771,39771,0,0,18885832984,205109240,38079,1126,566,367,391797,0 105,2,2024-09-07 08:15:31:339,32136,32136,0,0,2285246,0,3314 105,3,2024-09-07 08:15:31:312,1,23,5,1,169,585,23,0 106,0,2024-09-07 08:15:30:964,5544,0.4,5602,0.7,11342,0.3,15025,2.00 106,1,2024-09-07 08:15:31:758,39862,39862,0,0,18922011770,203934666,38523,1137,202,371,391767,0 106,2,2024-09-07 08:15:30:768,30323,30323,0,0,2083135,0,1818 106,3,2024-09-07 08:15:30:678,1,23,2,1,171,245,23,0 107,0,2024-09-07 08:15:31:147,4115,0.3,4010,0.5,8046,0.2,10869,1.75 107,1,2024-09-07 08:15:30:593,39970,39970,0,0,18975224646,202708696,39086,758,126,382,391848,0 107,2,2024-09-07 08:15:31:318,25665,25664,1,0,1591122,0,5024 107,3,2024-09-07 08:15:31:763,1,23,4,1,353,683,23,0 108,0,2024-09-07 08:15:31:911,5456,2.2,5525,1.5,10701,2.7,14534,2.25 108,1,2024-09-07 08:15:31:332,39739,39739,0,0,18903260172,201234248,38722,913,104,372,391563,0 108,2,2024-09-07 08:15:31:779,27071,27071,0,0,1868142,0,2647 108,3,2024-09-07 08:15:31:339,1,23,20,1,60,342,23,0 109,0,2024-09-07 08:15:31:805,9218,0.9,9316,0.9,17967,1.0,25121,2.00 109,1,2024-09-07 08:15:30:603,40149,40149,0,0,19199355913,205426089,39397,700,52,385,391576,0 109,2,2024-09-07 08:15:31:047,31130,31130,0,0,2425334,0,3617 109,3,2024-09-07 08:15:31:148,1,23,21,1,120,487,23,0 110,0,2024-09-07 08:15:31:783,4297,0.3,4175,0.6,8695,0.2,11466,1.75 110,1,2024-09-07 08:15:31:666,40209,40209,0,0,18682051443,198120343,39380,547,282,371,391564,0 110,2,2024-09-07 08:15:31:312,30290,30290,0,0,1927317,0,2915 110,3,2024-09-07 08:15:30:690,1,23,4,1,183,326,23,0 111,0,2024-09-07 08:15:31:428,3366,0.2,3378,0.4,6812,0.1,8835,1.50 111,1,2024-09-07 08:15:31:014,40151,40151,0,0,19634578661,205016781,39839,300,12,383,391597,0 111,2,2024-09-07 08:15:31:120,27852,27852,0,0,1579900,0,2256 111,3,2024-09-07 08:15:30:928,1,23,9,1,106,510,23,0 112,0,2024-09-07 08:15:30:939,4648,0.3,4666,0.5,9367,0.2,12403,1.50 112,1,2024-09-07 08:15:30:884,40186,40186,0,0,19502721022,208389821,39112,746,328,382,391522,0 112,2,2024-09-07 08:15:31:148,26111,26111,0,0,1602440,0,3152 112,3,2024-09-07 08:15:30:592,1,23,9,1,282,486,23,0 113,0,2024-09-07 08:15:30:875,8891,0.4,8805,0.6,18045,0.4,24210,1.75 113,1,2024-09-07 08:15:31:688,40115,40115,0,0,19704901644,206719301,39529,555,31,368,391661,0 113,2,2024-09-07 08:15:31:320,30949,30949,0,0,1489422,0,1597 113,3,2024-09-07 08:15:30:690,1,23,1,1,166,503,23,0 114,0,2024-09-07 08:15:30:898,4261,7.0,4387,5.4,8547,3.7,11851,2.50 114,1,2024-09-07 08:15:30:717,40373,40373,0,0,19742786647,206171905,40068,305,0,381,391513,0 114,2,2024-09-07 08:15:30:876,30369,30369,0,0,1781490,0,3433 114,3,2024-09-07 08:15:31:283,1,23,1,1,159,358,23,0 115,0,2024-09-07 08:15:30:562,4607,0.2,4678,0.5,9260,0.1,11922,1.50 115,1,2024-09-07 08:15:30:572,39995,39995,0,0,19840152586,210807202,38947,858,190,384,391502,0 115,2,2024-09-07 08:15:31:126,29671,29671,0,0,1556970,0,2072 115,3,2024-09-07 08:15:31:006,1,23,43,0,159,306,23,0 116,0,2024-09-07 08:15:31:731,4019,0.3,4016,0.5,8150,0.2,10546,1.75 116,1,2024-09-07 08:15:30:805,39948,39948,0,0,19529875780,211604964,38794,318,836,382,391573,0 116,2,2024-09-07 08:15:31:765,26008,26008,0,0,1202400,0,2154 116,3,2024-09-07 08:15:30:924,1,23,8,2,132,368,23,0 117,0,2024-09-07 08:15:31:020,8241,3.0,8253,1.8,16489,3.9,22622,2.25 117,1,2024-09-07 08:15:31:632,40504,40504,0,0,19015985518,202345729,39589,696,219,371,391601,0 117,2,2024-09-07 08:15:31:129,28926,28926,0,0,1804852,0,3700 117,3,2024-09-07 08:15:31:061,1,23,115,1,490,1137,23,0 118,0,2024-09-07 08:15:31:799,5841,2.4,5892,1.6,12204,3.5,15828,2.75 118,1,2024-09-07 08:15:30:592,40301,40301,0,0,18716270841,196846740,39901,399,1,368,391725,0 118,2,2024-09-07 08:15:31:601,32108,32108,0,0,1571200,0,1450 118,3,2024-09-07 08:15:31:774,1,23,4,1,79,290,23,0 119,0,2024-09-07 08:15:31:355,5598,0.3,5760,0.6,11736,0.2,15035,1.75 119,1,2024-09-07 08:15:30:552,40013,40013,0,0,19684951326,209201121,39018,862,133,371,391576,0 119,2,2024-09-07 08:15:31:266,29949,29949,0,0,1596891,0,2222 119,3,2024-09-07 08:15:31:325,1,23,1,1,443,917,23,0 120,0,2024-09-07 08:15:31:571,4566,0.3,4531,0.6,9108,0.2,11989,1.75 120,1,2024-09-07 08:15:30:871,40294,40294,0,0,18749346511,200927460,39460,825,9,369,391702,0 120,2,2024-09-07 08:15:30:783,25401,25400,1,0,2359458,0,5281 120,3,2024-09-07 08:15:31:311,1,23,12,2,241,652,23,0 121,0,2024-09-07 08:15:31:728,6665,3.8,6663,2.2,13425,4.8,18698,2.75 121,1,2024-09-07 08:15:31:666,39922,39922,0,0,18573309226,200966141,38706,979,237,370,391633,0 121,2,2024-09-07 08:15:31:170,27416,27416,0,0,2242166,0,4127 121,3,2024-09-07 08:15:30:731,1,23,2,1,71,224,23,0 122,0,2024-09-07 08:15:31:779,8762,3.9,8479,3.5,17600,4.2,23853,3.00 122,1,2024-09-07 08:15:30:860,39401,39401,0,0,19096859790,209357472,37517,1516,368,369,392130,0 122,2,2024-09-07 08:15:31:337,32265,32265,0,0,2825098,0,2574 122,3,2024-09-07 08:15:30:630,1,23,1,1,226,1084,23,0 123,0,2024-09-07 08:15:30:974,5066,0.4,4871,0.7,10128,0.3,13623,2.00 123,1,2024-09-07 08:15:30:714,39930,39930,0,0,19097221109,209911588,37536,1940,454,371,391823,0 123,2,2024-09-07 08:15:31:036,29896,29895,1,0,2465332,0,5215 123,3,2024-09-07 08:15:31:144,1,23,49,1,160,587,23,0 124,0,2024-09-07 08:15:30:955,3408,0.2,3377,0.4,6471,0.2,8696,1.50 124,1,2024-09-07 08:15:31:087,40477,40477,0,0,18744550482,196253754,40334,142,1,370,392129,0 124,2,2024-09-07 08:15:31:068,26490,26490,0,0,1420777,0,2477 124,3,2024-09-07 08:15:30:774,1,23,15,2,490,1040,23,0 125,0,2024-09-07 08:15:31:432,4850,1.0,4818,0.9,9690,1.3,13313,2.00 125,1,2024-09-07 08:15:30:878,40048,40048,0,0,18677776221,200386501,39028,998,22,385,391702,0 125,2,2024-09-07 08:15:31:118,26507,26507,0,0,1718551,0,2180 125,3,2024-09-07 08:15:31:128,1,23,33,1,93,487,23,0 126,0,2024-09-07 08:15:31:437,9141,0.6,9419,0.8,18143,0.7,24545,1.75 126,1,2024-09-07 08:15:30:557,40290,40290,0,0,19552911134,205770135,39852,430,8,368,391719,0 126,2,2024-09-07 08:15:30:619,31726,31726,0,0,2163641,0,3186 126,3,2024-09-07 08:15:30:908,1,23,27,1,45,207,23,0 127,0,2024-09-07 08:15:31:633,3429,0.3,3397,0.5,6897,0.2,9259,1.75 127,1,2024-09-07 08:15:30:574,39976,39976,0,0,18492756861,195584110,39248,706,22,366,391614,0 127,2,2024-09-07 08:15:30:645,30166,30166,0,0,1753699,0,1744 127,3,2024-09-07 08:15:31:282,1,23,8,0,99,298,23,0 128,0,2024-09-07 08:15:31:611,3871,0.3,3921,0.5,7722,0.2,10181,1.75 128,1,2024-09-07 08:15:31:667,40398,40398,0,0,19295023426,201664753,40210,184,4,369,391605,0 128,2,2024-09-07 08:15:31:388,27945,27945,0,0,1947504,0,1919 128,3,2024-09-07 08:15:30:773,1,23,12,1,20,268,23,0 129,0,2024-09-07 08:15:31:073,4422,0.4,4477,0.7,8858,0.4,11901,2.00 129,1,2024-09-07 08:15:30:570,39959,39959,0,0,19090415996,204636358,38746,948,265,379,391835,0 129,2,2024-09-07 08:15:30:729,25875,25875,0,0,1436296,0,2289 129,3,2024-09-07 08:15:30:696,1,23,39,1,88,367,23,0 130,0,2024-09-07 08:15:31:785,9180,1.3,9089,1.1,18274,1.9,24764,2.25 130,1,2024-09-07 08:15:30:595,40577,40577,0,0,19622956753,207261941,40032,544,1,381,391516,0 130,2,2024-09-07 08:15:31:127,30072,30072,0,0,1578760,0,1539 130,3,2024-09-07 08:15:31:295,1,23,4,1,66,178,23,0 131,0,2024-09-07 08:15:31:943,4884,3.0,4732,2.2,9764,3.5,12990,2.75 131,1,2024-09-07 08:15:31:828,40314,40314,0,0,18869127367,200504620,39647,496,171,385,391727,0 131,2,2024-09-07 08:15:30:573,30536,30536,0,0,1677113,0,1766 131,3,2024-09-07 08:15:31:688,1,23,1,1,23,97,23,0 132,0,2024-09-07 08:15:31:419,5476,0.5,5581,0.9,11156,0.5,14513,2.00 132,1,2024-09-07 08:15:30:578,39878,39878,0,0,18829863043,202311314,38567,1049,262,383,391533,0 132,2,2024-09-07 08:15:30:712,29617,29617,0,0,3066305,0,4606 132,3,2024-09-07 08:15:31:690,1,23,8,3,356,621,23,0 133,0,2024-09-07 08:15:31:565,4428,0.3,4512,0.5,9191,0.2,11364,1.75 133,1,2024-09-07 08:15:30:584,39794,39794,0,0,18824020146,200671873,38885,905,4,385,391513,0 133,2,2024-09-07 08:15:31:087,26133,26133,0,0,1350657,0,1707 133,3,2024-09-07 08:15:31:297,1,23,1,1,26,102,23,0 134,0,2024-09-07 08:15:30:987,7890,0.4,7919,0.7,15888,0.4,21284,1.75 134,1,2024-09-07 08:15:30:591,40212,40212,0,0,19063602860,205784841,38705,760,747,366,391508,0 134,2,2024-09-07 08:15:31:756,27395,27395,0,0,1489729,0,2026 134,3,2024-09-07 08:15:30:750,1,23,1,1,63,353,23,0 135,0,2024-09-07 08:15:31:133,7133,3.5,7104,2.9,15012,3.4,19147,2.75 135,1,2024-09-07 08:15:31:612,40251,40251,0,0,19518547695,204444324,39879,356,16,383,391513,0 135,2,2024-09-07 08:15:30:695,32367,32367,0,0,1789471,0,3016 135,3,2024-09-07 08:15:31:020,1,23,5,1,68,214,23,0 136,0,2024-09-07 08:15:31:645,5700,0.5,5637,0.9,11323,0.4,15054,2.25 136,1,2024-09-07 08:15:31:501,40402,40402,0,0,18805385578,203051000,39070,1191,141,385,391501,0 136,2,2024-09-07 08:15:31:136,31425,31425,0,0,1640771,0,1692 136,3,2024-09-07 08:15:31:108,1,23,9,1,108,432,23,0 137,0,2024-09-07 08:15:31:033,4119,0.4,4079,0.7,7953,0.3,10890,2.00 137,1,2024-09-07 08:15:30:612,39973,39973,0,0,19353380411,206082119,39134,796,43,368,391489,0 137,2,2024-09-07 08:15:31:726,25096,25096,0,0,2225708,0,2294 137,3,2024-09-07 08:15:30:801,1,23,1,1,227,458,23,0 138,0,2024-09-07 08:15:31:763,5367,1.1,5451,1.2,10947,1.3,14562,2.75 138,1,2024-09-07 08:15:31:688,40122,40122,0,0,18976048563,204854095,38692,1199,231,371,391572,0 138,2,2024-09-07 08:15:30:590,27141,27141,0,0,1787575,0,3263 138,3,2024-09-07 08:15:30:613,1,23,2,1,68,244,23,0 139,0,2024-09-07 08:15:31:382,8541,5.5,8624,3.3,17575,6.3,24276,4.50 139,1,2024-09-07 08:15:30:589,39631,39631,0,0,19035725293,210946876,37638,1310,683,383,391511,0 139,2,2024-09-07 08:15:30:702,32126,32126,0,0,2278464,0,2425 139,3,2024-09-07 08:15:31:665,1,23,115,1,120,564,23,0 140,0,2024-09-07 08:15:31:604,4285,0.6,4175,0.8,8414,0.4,11530,2.00 140,1,2024-09-07 08:15:31:552,40111,40111,0,0,19276816211,201832065,39789,276,46,366,391482,0 140,2,2024-09-07 08:15:30:692,29926,29926,0,0,2278845,0,3303 140,3,2024-09-07 08:15:30:769,1,23,9,1,25,223,23,0 141,0,2024-09-07 08:15:31:726,3332,0.2,3452,0.4,6708,0.1,8843,1.50 141,1,2024-09-07 08:15:30:862,40333,40333,0,0,19766757465,210333482,39263,810,260,382,391532,0 141,2,2024-09-07 08:15:31:690,27583,27583,0,0,1683131,0,2342 141,3,2024-09-07 08:15:31:048,1,23,2,0,53,173,23,0 142,0,2024-09-07 08:15:31:326,4757,0.4,4680,0.7,9404,0.3,12413,1.75 142,1,2024-09-07 08:15:30:586,40325,40325,0,0,18767819105,197610433,40165,160,0,385,391576,0 142,2,2024-09-07 08:15:31:301,26075,26075,0,0,1707485,0,2293 142,3,2024-09-07 08:15:31:772,1,23,8,1,53,159,23,0 143,0,2024-09-07 08:15:31:408,8897,1.5,8850,1.3,17846,1.8,24092,2.25 143,1,2024-09-07 08:15:30:557,39836,39836,0,0,19256476875,202646684,39146,669,21,370,391608,0 143,2,2024-09-07 08:15:30:783,30697,30697,0,0,1999491,0,2572 143,3,2024-09-07 08:15:31:144,1,23,103,1,236,696,23,0 144,0,2024-09-07 08:15:31:511,3881,6.4,4059,10.0,8048,6.7,11687,3.25 144,1,2024-09-07 08:15:30:572,39919,39919,0,0,18669605344,200307961,38887,849,183,383,391562,0 144,2,2024-09-07 08:15:31:765,30217,30217,0,0,1815540,0,2653 144,3,2024-09-07 08:15:31:740,1,23,21,1,112,475,23,0 145,0,2024-09-07 08:15:31:470,4479,0.4,4474,0.7,9308,0.3,11832,2.00 145,1,2024-09-07 08:15:30:566,39930,39930,0,0,18587926662,195673722,39682,248,0,383,391615,0 145,2,2024-09-07 08:15:31:434,29341,29341,0,0,2355427,0,3903 145,3,2024-09-07 08:15:30:895,1,23,1,1,151,565,23,0 146,0,2024-09-07 08:15:31:664,4018,0.3,4064,0.5,8106,0.2,10469,1.75 146,1,2024-09-07 08:15:31:613,40156,40156,0,0,19371035176,209838541,38337,1521,298,371,391512,0 146,2,2024-09-07 08:15:31:736,25621,25621,0,0,1646948,0,2149 146,3,2024-09-07 08:15:31:282,1,23,8,0,54,223,23,0 147,0,2024-09-07 08:15:31:719,8204,3.2,8099,2.0,16179,3.7,22790,2.50 147,1,2024-09-07 08:15:31:375,40418,40418,0,0,19128490416,202278794,39696,595,127,369,391791,0 147,2,2024-09-07 08:15:31:024,28912,28912,0,0,1865413,0,2526 147,3,2024-09-07 08:15:30:926,1,23,18,1,141,459,23,0 0,0,2024-09-07 08:15:41:743,4579,0.5,4596,0.8,9581,0.4,12422,2.25 0,1,2024-09-07 08:15:40:806,41875,41875,0,0,20215022475,215970392,41043,724,108,373,391673,0 0,2,2024-09-07 08:15:41:069,26834,26834,0,0,1170116,0,1598 0,3,2024-09-07 08:15:40:974,1,24,17,2,247,566,24,0 1,0,2024-09-07 08:15:41:783,6980,3.1,6996,2.1,13945,4.6,19460,3.75 1,1,2024-09-07 08:15:40:660,41635,41635,0,0,19214658004,204383686,40908,676,51,372,391857,0 1,2,2024-09-07 08:15:40:790,28156,28156,0,0,1608148,0,1544 1,3,2024-09-07 08:15:41:302,1,24,3,1,167,374,24,0 2,0,2024-09-07 08:15:41:591,8708,4.2,8847,2.4,17411,6.2,23289,4.50 2,1,2024-09-07 08:15:40:860,41567,41567,0,0,19722884864,208920353,40933,547,87,382,391527,0 2,2,2024-09-07 08:15:41:267,33328,33328,0,0,2319338,0,3304 2,3,2024-09-07 08:15:40:765,1,24,5,1,87,344,24,0 3,0,2024-09-07 08:15:41:770,5408,0.5,5381,0.8,10799,0.4,14465,2.25 3,1,2024-09-07 08:15:41:624,41967,41967,0,0,20329613914,215882784,41187,766,14,383,391494,0 3,2,2024-09-07 08:15:41:144,31950,31950,0,0,1924822,0,2192 3,3,2024-09-07 08:15:41:757,1,24,22,1,23,104,24,0 4,0,2024-09-07 08:15:41:802,3415,0.3,3599,0.4,7102,0.2,9320,1.75 4,1,2024-09-07 08:15:40:638,41960,41960,0,0,19738577124,211449259,40855,762,343,372,391682,0 4,2,2024-09-07 08:15:41:025,27153,27153,0,0,2002814,0,4528 4,3,2024-09-07 08:15:41:043,1,24,17,8,287,645,24,0 5,0,2024-09-07 08:15:41:406,5346,1.1,5265,1.0,10566,1.7,14537,2.25 5,1,2024-09-07 08:15:40:798,41859,41859,0,0,20228467696,212437958,41324,443,92,368,392005,0 5,2,2024-09-07 08:15:41:850,27961,27961,0,0,1415690,0,1912 5,3,2024-09-07 08:15:41:736,1,24,182,1,182,614,24,0 6,0,2024-09-07 08:15:40:929,9590,0.6,9542,0.8,18988,0.7,25263,2.00 6,1,2024-09-07 08:15:40:747,42031,42031,0,0,19468609120,203815263,41670,356,5,382,391603,0 6,2,2024-09-07 08:15:41:118,33561,33561,0,0,2054599,0,2232 6,3,2024-09-07 08:15:41:279,1,24,18,1,277,570,24,0 7,0,2024-09-07 08:15:41:583,3702,0.3,3732,0.5,7414,0.2,10160,1.75 7,1,2024-09-07 08:15:40:852,41558,41558,0,0,19767282139,209205746,40839,655,64,384,391558,0 7,2,2024-09-07 08:15:40:787,31360,31360,0,0,1668364,0,2981 7,3,2024-09-07 08:15:40:857,1,24,4,0,46,181,24,0 8,0,2024-09-07 08:15:41:401,4203,0.3,4089,0.4,8054,0.2,10744,1.50 8,1,2024-09-07 08:15:41:040,41408,41408,0,0,20106683971,224351470,38559,1616,1233,368,391724,0 8,2,2024-09-07 08:15:40:795,28785,28785,0,0,2286910,0,2986 8,3,2024-09-07 08:15:40:806,1,24,20,1,229,593,24,0 9,0,2024-09-07 08:15:41:193,4787,0.3,4678,0.5,9620,0.2,12847,1.50 9,1,2024-09-07 08:15:40:671,41305,41305,0,0,20378457683,220560244,39664,1163,478,370,391553,0 9,2,2024-09-07 08:15:41:113,27330,27330,0,0,1649685,0,1673 9,3,2024-09-07 08:15:41:765,1,24,10,0,50,273,24,0 10,0,2024-09-07 08:15:41:615,9726,0.5,9664,0.6,19411,0.4,25997,1.75 10,1,2024-09-07 08:15:40:626,41605,41605,0,0,19804897162,215788620,39481,1744,380,383,391541,0 10,2,2024-09-07 08:15:40:766,31032,31032,0,0,2636016,0,2940 10,3,2024-09-07 08:15:40:872,1,24,2,0,118,227,24,0 11,0,2024-09-07 08:15:41:017,4668,6.3,4532,3.9,9467,8.0,13288,3.75 11,1,2024-09-07 08:15:40:633,41566,41566,0,0,20178653464,219640596,39584,1246,736,384,391485,0 11,2,2024-09-07 08:15:41:124,31701,31701,0,0,2129893,0,2635 11,3,2024-09-07 08:15:41:304,1,24,3,1,720,951,24,0 12,0,2024-09-07 08:15:41:132,5756,0.3,5805,0.5,11439,0.2,14805,1.75 12,1,2024-09-07 08:15:40:954,41670,41670,0,0,19542913656,209034180,40764,885,21,372,391611,0 12,2,2024-09-07 08:15:41:577,31218,31218,0,0,2284999,0,2254 12,3,2024-09-07 08:15:41:077,1,24,40,2,179,509,24,0 13,0,2024-09-07 08:15:41:365,4509,0.4,4566,0.6,9104,0.3,11502,1.75 13,1,2024-09-07 08:15:41:547,42092,42092,0,0,19705425008,210562749,41476,577,39,385,391563,0 13,2,2024-09-07 08:15:40:819,27203,27203,0,0,1262823,0,2239 13,3,2024-09-07 08:15:41:762,1,24,2,1,153,452,24,0 14,0,2024-09-07 08:15:40:824,8380,0.5,8405,0.7,16471,0.5,22210,1.75 14,1,2024-09-07 08:15:41:564,42262,42262,0,0,19714061571,207517220,41682,552,28,365,391546,0 14,2,2024-09-07 08:15:40:766,28844,28844,0,0,2083682,0,2077 14,3,2024-09-07 08:15:41:120,1,24,59,1,59,175,24,0 15,0,2024-09-07 08:15:41:574,7132,5.1,7081,2.8,14211,7.0,19378,4.25 15,1,2024-09-07 08:15:41:637,41907,41907,0,0,20073706388,211999576,41452,453,2,381,391536,0 15,2,2024-09-07 08:15:40:999,33859,33859,0,0,1541155,0,1416 15,3,2024-09-07 08:15:41:406,1,24,3,0,538,910,24,0 16,0,2024-09-07 08:15:41:019,5858,0.4,5902,0.7,11730,0.3,15534,2.25 16,1,2024-09-07 08:15:40:798,42016,42016,0,0,19595666296,209518721,41130,868,18,373,391543,0 16,2,2024-09-07 08:15:41:439,31292,31292,0,0,2364911,0,4719 16,3,2024-09-07 08:15:41:142,1,24,16,3,231,780,24,0 17,0,2024-09-07 08:15:41:803,4447,0.4,4482,0.7,8647,0.3,11745,2.25 17,1,2024-09-07 08:15:40:663,41644,41644,0,0,19461742355,210928379,40330,806,508,370,391671,0 17,2,2024-09-07 08:15:41:672,26986,26986,0,0,1481895,0,1865 17,3,2024-09-07 08:15:40:803,1,24,19,0,268,789,24,0 18,0,2024-09-07 08:15:40:956,5616,1.1,5790,1.1,11487,1.4,15137,2.50 18,1,2024-09-07 08:15:41:640,41485,41485,0,0,19367203179,207622762,40393,908,184,370,391526,0 18,2,2024-09-07 08:15:41:757,27846,27846,0,0,2458945,0,3541 18,3,2024-09-07 08:15:40:896,1,24,1,0,142,463,24,0 19,0,2024-09-07 08:15:41:557,9173,2.5,9446,2.0,18068,2.5,24584,6.00 19,1,2024-09-07 08:15:40:663,41603,41603,0,0,19847885088,214146257,39976,1465,162,367,391529,0 19,2,2024-09-07 08:15:41:772,33543,33543,0,0,2303662,0,3599 19,3,2024-09-07 08:15:41:129,1,24,1,0,29,77,24,0 20,0,2024-09-07 08:15:41:385,4620,0.2,4674,0.4,9047,0.2,12317,1.50 20,1,2024-09-07 08:15:40:677,41967,41967,0,0,20279778218,213099691,41562,404,1,370,391489,0 20,2,2024-09-07 08:15:40:947,31530,31530,0,0,1621362,0,1616 20,3,2024-09-07 08:15:40:619,1,24,9,1,99,447,24,0 21,0,2024-09-07 08:15:41:268,3660,0.2,3580,0.4,7112,0.2,9264,1.50 21,1,2024-09-07 08:15:41:571,41881,41881,0,0,19282112690,203810460,41270,585,26,368,391962,0 21,2,2024-09-07 08:15:41:093,28520,28520,0,0,1649054,0,3747 21,3,2024-09-07 08:15:41:404,1,24,84,1,84,322,24,0 22,0,2024-09-07 08:15:41:734,4914,0.3,5007,0.6,9917,0.2,13054,1.75 22,1,2024-09-07 08:15:41:023,41816,41816,0,0,19413359722,209459334,40448,1061,307,382,391667,0 22,2,2024-09-07 08:15:40:763,27864,27864,0,0,1213457,0,1503 22,3,2024-09-07 08:15:41:068,1,24,1,0,48,157,24,0 23,0,2024-09-07 08:15:41:378,9221,0.4,9488,0.5,18615,0.3,25205,1.75 23,1,2024-09-07 08:15:41:007,42558,42558,0,0,20038366665,207992408,42417,141,0,368,391482,0 23,2,2024-09-07 08:15:41:093,33008,33008,0,0,1538361,0,2078 23,3,2024-09-07 08:15:41:768,1,24,6,0,141,246,24,0 24,0,2024-09-07 08:15:40:930,4644,1.4,4687,1.5,9435,2.0,12387,1.75 24,1,2024-09-07 08:15:40:630,42161,42161,0,0,19837076430,210844166,41555,602,4,371,391640,0 24,2,2024-09-07 08:15:41:077,30957,30957,0,0,2879252,0,2942 24,3,2024-09-07 08:15:41:719,1,24,16,2,234,492,24,0 25,0,2024-09-07 08:15:41:446,4794,0.3,4739,0.5,9140,0.2,12054,1.50 25,1,2024-09-07 08:15:40:647,41798,41798,0,0,19691282128,208839583,41226,556,16,373,391544,0 25,2,2024-09-07 08:15:41:613,29929,29929,0,0,2230166,0,1963 25,3,2024-09-07 08:15:41:001,1,24,1,1,50,266,24,0 26,0,2024-09-07 08:15:41:749,4147,0.2,4058,0.4,8569,0.2,10904,1.50 26,1,2024-09-07 08:15:41:547,41600,41600,0,0,20003956960,220499384,39373,1529,698,382,391519,0 26,2,2024-09-07 08:15:40:869,27123,27123,0,0,2228731,0,2809 26,3,2024-09-07 08:15:41:719,1,24,1,1,796,926,24,0 27,0,2024-09-07 08:15:41:762,8697,0.5,8783,0.6,17701,0.4,24127,1.75 27,1,2024-09-07 08:15:41:683,42538,42538,0,0,20583937091,214398514,42374,163,1,381,391526,0 27,2,2024-09-07 08:15:40:885,29626,29626,0,0,3176589,0,3146 27,3,2024-09-07 08:15:41:020,1,24,1,1,86,284,24,0 28,0,2024-09-07 08:15:41:401,6076,2.2,6158,1.7,12343,3.8,16246,2.00 28,1,2024-09-07 08:15:40:805,42059,42059,0,0,20214438160,213249435,41633,418,8,383,391489,0 28,2,2024-09-07 08:15:41:766,32470,32470,0,0,1842903,0,2609 28,3,2024-09-07 08:15:41:776,1,24,18,3,502,762,24,0 29,0,2024-09-07 08:15:41:361,6021,0.3,5923,0.5,11618,0.2,15348,1.75 29,1,2024-09-07 08:15:41:563,42331,42331,0,0,19689000639,206960305,41722,437,172,371,391621,0 29,2,2024-09-07 08:15:40:868,31791,31791,0,0,1566989,0,2026 29,3,2024-09-07 08:15:40:963,1,24,3,1,105,365,24,0 30,0,2024-09-07 08:15:41:470,4750,0.3,4596,0.5,9565,0.2,12432,1.75 30,1,2024-09-07 08:15:40:792,41672,41672,0,0,20058182941,213535791,41024,586,62,382,391524,0 30,2,2024-09-07 08:15:41:288,26902,26902,0,0,1170307,0,1567 30,3,2024-09-07 08:15:40:803,1,24,4,0,110,181,24,0 31,0,2024-09-07 08:15:41:769,7290,0.6,7335,0.8,14738,0.5,19884,2.00 31,1,2024-09-07 08:15:40:789,42012,42012,0,0,19866114550,206115180,41898,114,0,356,391478,0 31,2,2024-09-07 08:15:41:278,28693,28693,0,0,2361926,0,3525 31,3,2024-09-07 08:15:41:717,1,24,1,0,40,106,24,0 32,0,2024-09-07 08:15:41:426,8930,3.1,9087,1.7,18193,4.2,23874,2.00 32,1,2024-09-07 08:15:40:807,41775,41775,0,0,19621301969,206948225,41371,401,3,383,391595,0 32,2,2024-09-07 08:15:40:942,33941,33941,0,0,1986542,0,3155 32,3,2024-09-07 08:15:41:016,1,24,8,1,37,253,24,0 33,0,2024-09-07 08:15:41:507,5444,0.3,5396,0.5,10931,0.2,14387,1.75 33,1,2024-09-07 08:15:40:633,41593,41593,0,0,20044060569,212727749,40602,895,96,369,391497,0 33,2,2024-09-07 08:15:40:763,31530,31530,0,0,2252531,0,3364 33,3,2024-09-07 08:15:40:894,1,24,1,1,27,119,24,0 34,0,2024-09-07 08:15:40:945,3476,0.2,3555,0.4,6885,0.1,9228,1.75 34,1,2024-09-07 08:15:41:045,41926,41926,0,0,19619632997,205861557,41603,320,3,369,391481,0 34,2,2024-09-07 08:15:40:788,27086,27086,0,0,1831253,0,3073 34,3,2024-09-07 08:15:41:696,1,24,58,0,58,210,24,0 35,0,2024-09-07 08:15:40:876,5214,2.1,5212,1.5,10393,2.6,14400,2.50 35,1,2024-09-07 08:15:41:069,41656,41656,0,0,20118898118,214611863,40316,979,361,385,391587,0 35,2,2024-09-07 08:15:41:589,28111,28111,0,0,1573394,0,2276 35,3,2024-09-07 08:15:40:909,1,24,2,1,219,506,24,0 36,0,2024-09-07 08:15:41:532,9466,0.9,9367,1.0,19131,1.0,25071,2.50 36,1,2024-09-07 08:15:40:625,41572,41572,0,0,19335914715,210764820,39405,1395,772,370,391535,0 36,2,2024-09-07 08:15:41:752,33459,33459,0,0,2026773,0,2554 36,3,2024-09-07 08:15:40:866,1,24,8,0,378,699,24,0 37,0,2024-09-07 08:15:41:387,3754,0.3,3734,0.5,7489,0.2,10155,1.75 37,1,2024-09-07 08:15:40:633,41066,41059,0,7,19558196744,216812199,38747,805,1507,368,391507,0 37,2,2024-09-07 08:15:41:145,31539,31539,0,0,1489093,0,1448 37,3,2024-09-07 08:15:41:778,1,24,14,0,31,137,24,0 38,0,2024-09-07 08:15:41:454,4092,0.4,4004,0.6,8250,0.2,10740,2.00 38,1,2024-09-07 08:15:41:606,42629,42629,0,0,20405040700,213673738,42211,407,11,371,391512,0 38,2,2024-09-07 08:15:40:798,29402,29402,0,0,1745114,0,3245 38,3,2024-09-07 08:15:40:998,1,24,8,0,63,204,24,0 39,0,2024-09-07 08:15:41:778,4965,0.3,4905,0.6,9527,0.2,12987,1.75 39,1,2024-09-07 08:15:40:750,42347,42347,0,0,19476136175,206653504,41273,656,418,366,391486,0 39,2,2024-09-07 08:15:41:423,27415,27415,0,0,1143657,0,1786 39,3,2024-09-07 08:15:40:749,1,24,1,1,189,508,24,0 40,0,2024-09-07 08:15:41:514,9316,1.3,9503,2.8,18993,2.6,25801,3.25 40,1,2024-09-07 08:15:40:793,41967,41967,0,0,19325519949,209773401,40102,1338,527,371,391543,0 40,2,2024-09-07 08:15:41:304,30989,30988,1,0,2821562,0,5137 40,3,2024-09-07 08:15:41:142,1,24,18,2,63,296,24,0 41,0,2024-09-07 08:15:41:071,4262,7.7,4458,10.0,8601,9.7,12093,7.75 41,1,2024-09-07 08:15:40:794,41920,41920,0,0,20324968230,217430382,40671,1057,192,370,391483,0 41,2,2024-09-07 08:15:40:789,31625,31625,0,0,2847297,0,3325 41,3,2024-09-07 08:15:41:683,1,24,1,1,19,66,24,0 42,0,2024-09-07 08:15:41:496,5646,0.5,5653,0.9,11311,0.5,14799,2.50 42,1,2024-09-07 08:15:41:440,41374,41374,0,0,19716771462,216556051,39287,1385,702,381,391511,0 42,2,2024-09-07 08:15:41:133,30944,30944,0,0,2193428,0,1967 42,3,2024-09-07 08:15:41:014,1,24,3,0,100,339,24,0 43,0,2024-09-07 08:15:40:972,4484,0.3,4478,0.6,9303,0.2,11514,1.75 43,1,2024-09-07 08:15:40:626,41665,41665,0,0,20174408959,216160006,40252,822,591,368,391507,0 43,2,2024-09-07 08:15:41:737,26876,26876,0,0,2018875,0,3812 43,3,2024-09-07 08:15:41:749,1,24,1,1,292,764,24,0 44,0,2024-09-07 08:15:40:899,8302,0.5,8219,0.8,16384,0.5,22291,2.00 44,1,2024-09-07 08:15:40:638,42109,42109,0,0,19470933177,204225039,41680,420,9,358,391493,0 44,2,2024-09-07 08:15:41:278,28744,28744,0,0,1516721,0,1592 44,3,2024-09-07 08:15:41:092,1,24,10,1,817,1075,24,0 45,0,2024-09-07 08:15:41:769,7133,5.2,6951,2.8,14514,6.6,19611,2.50 45,1,2024-09-07 08:15:41:008,42032,42032,0,0,19899663380,209472915,41787,245,0,382,391548,0 45,2,2024-09-07 08:15:41:283,33840,33840,0,0,2155470,0,2038 45,3,2024-09-07 08:15:40:943,1,24,5,1,226,468,24,0 46,0,2024-09-07 08:15:40:961,5969,0.3,5946,0.6,11852,0.2,15637,1.75 46,1,2024-09-07 08:15:40:633,42115,42115,0,0,19563250931,204819663,41689,400,26,370,391514,0 46,2,2024-09-07 08:15:40:614,31727,31727,0,0,1886414,0,2835 46,3,2024-09-07 08:15:41:130,1,24,36,0,200,507,24,0 47,0,2024-09-07 08:15:41:118,4453,0.3,4484,0.5,8716,0.2,11794,1.75 47,1,2024-09-07 08:15:40:660,42315,42315,0,0,19587619670,204378169,42109,205,1,368,391481,0 47,2,2024-09-07 08:15:40:918,27171,27171,0,0,1776042,0,2558 47,3,2024-09-07 08:15:41:116,1,24,1,1,529,639,24,0 48,0,2024-09-07 08:15:41:516,5769,0.5,5723,0.7,11389,0.6,15362,2.00 48,1,2024-09-07 08:15:41:027,41558,41558,0,0,19862858310,211957083,40540,1013,5,386,391516,0 48,2,2024-09-07 08:15:40:767,28196,28196,0,0,1591748,0,2083 48,3,2024-09-07 08:15:40:765,1,24,11,1,23,243,24,0 49,0,2024-09-07 08:15:41:721,9673,2.7,9688,1.7,18668,5.2,26480,2.75 49,1,2024-09-07 08:15:41:022,42192,42192,0,0,20183666959,213650494,41590,535,67,382,391583,0 49,2,2024-09-07 08:15:41:802,33787,33787,0,0,2116042,0,2196 49,3,2024-09-07 08:15:41:422,1,24,1,0,274,571,24,0 50,0,2024-09-07 08:15:41:527,4628,0.3,4572,0.5,9034,0.2,12329,1.75 50,1,2024-09-07 08:15:41:012,42240,42240,0,0,20451008068,215419472,41392,653,195,370,391530,0 50,2,2024-09-07 08:15:41:071,31671,31671,0,0,1573180,0,2034 50,3,2024-09-07 08:15:41:294,1,24,1,1,335,497,24,0 51,0,2024-09-07 08:15:41:718,3670,0.2,3574,0.4,7094,0.1,9343,1.50 51,1,2024-09-07 08:15:41:690,41737,41737,0,0,20682348919,219459327,40725,472,540,367,391504,0 51,2,2024-09-07 08:15:41:325,27989,27989,0,0,1211325,0,1936 51,3,2024-09-07 08:15:41:028,1,24,1,0,162,299,24,0 52,0,2024-09-07 08:15:41:431,4939,0.4,4866,0.8,9793,0.4,12816,2.25 52,1,2024-09-07 08:15:40:632,41931,41931,0,0,19612623671,209660051,40854,881,196,371,391498,0 52,2,2024-09-07 08:15:41:766,27726,27726,0,0,1737468,0,4779 52,3,2024-09-07 08:15:40:805,1,24,13,4,21,282,24,0 53,0,2024-09-07 08:15:41:753,9351,1.2,8978,1.1,18712,1.4,25019,2.25 53,1,2024-09-07 08:15:40:787,42122,42122,0,0,20458832550,219113551,40620,1076,426,372,391523,0 53,2,2024-09-07 08:15:41:301,32841,32841,0,0,1431100,0,1520 53,3,2024-09-07 08:15:40:761,1,24,2,1,28,104,24,0 54,0,2024-09-07 08:15:41:633,3718,10.0,3759,10.0,7592,6.0,11223,6.25 54,1,2024-09-07 08:15:40:625,42149,42149,0,0,20320611875,212911469,41420,695,34,367,391520,0 54,2,2024-09-07 08:15:41:269,31476,31470,6,0,2207251,0,5382 54,3,2024-09-07 08:15:40:786,1,24,4,0,98,201,24,0 55,0,2024-09-07 08:15:41:772,4571,0.7,4708,0.9,9506,0.5,12036,2.50 55,1,2024-09-07 08:15:40:767,41671,41671,0,0,19831712092,211177363,40126,1049,496,369,391660,0 55,2,2024-09-07 08:15:40:754,30303,30303,0,0,1700712,0,2129 55,3,2024-09-07 08:15:40:803,1,24,2,0,44,132,24,0 56,0,2024-09-07 08:15:41:567,4196,1.8,3983,1.5,8206,2.2,10700,4.00 56,1,2024-09-07 08:15:40:811,41849,41849,0,0,20448120967,223053467,39853,1414,582,384,391536,0 56,2,2024-09-07 08:15:41:304,27170,27170,0,0,2035192,0,3567 56,3,2024-09-07 08:15:41:065,1,24,23,2,297,525,24,0 57,0,2024-09-07 08:15:41:094,8435,3.8,8266,2.4,16763,6.1,23187,4.50 57,1,2024-09-07 08:15:41:007,42263,42263,0,0,19368134799,206924715,41442,807,14,369,391505,0 57,2,2024-09-07 08:15:41:337,30240,30240,0,0,2322091,0,2687 57,3,2024-09-07 08:15:41:752,1,24,250,1,359,829,24,0 58,0,2024-09-07 08:15:40:818,5334,4.7,5174,2.7,10773,5.2,14315,7.00 58,1,2024-09-07 08:15:40:626,42151,42150,0,1,20581894475,219565934,40888,1105,157,371,391493,1 58,2,2024-09-07 08:15:41:072,32840,32840,0,0,2109495,0,2464 58,3,2024-09-07 08:15:41:068,1,24,7,1,219,383,24,0 59,0,2024-09-07 08:15:41:758,5896,1.3,5920,1.3,11758,1.6,15068,3.00 59,1,2024-09-07 08:15:40:805,42052,42052,0,0,20169412998,213163984,41497,552,3,371,391515,0 59,2,2024-09-07 08:15:40:805,31421,31421,0,0,1772178,0,1708 59,3,2024-09-07 08:15:41:742,1,24,1,0,25,148,24,0 60,0,2024-09-07 08:15:41:768,4764,0.4,4766,0.7,9387,0.2,12457,1.75 60,1,2024-09-07 08:15:40:805,42443,42443,0,0,20400959018,216805047,41557,542,344,370,391672,0 60,2,2024-09-07 08:15:41:164,27019,27019,0,0,1452692,0,2142 60,3,2024-09-07 08:15:41:258,1,24,8,0,124,398,24,0 61,0,2024-09-07 08:15:41:528,7086,3.2,7081,2.1,14121,4.0,19423,2.50 61,1,2024-09-07 08:15:40:781,41732,41732,0,0,19770028396,214088387,40100,1177,455,383,391560,0 61,2,2024-09-07 08:15:41:120,28631,28631,0,0,1974801,0,1846 61,3,2024-09-07 08:15:41:700,1,24,7,1,124,345,24,0 62,0,2024-09-07 08:15:41:721,8922,3.4,9182,1.9,17841,5.1,23870,3.25 62,1,2024-09-07 08:15:41:115,42061,42059,0,2,19982640891,209572436,41581,476,2,368,391586,2 62,2,2024-09-07 08:15:41:648,33413,33413,0,0,2439882,0,2931 62,3,2024-09-07 08:15:41:143,1,24,1,1,287,438,24,0 63,0,2024-09-07 08:15:41:468,5429,0.8,5419,1.0,10751,0.9,14501,2.25 63,1,2024-09-07 08:15:40:805,42400,42398,0,2,20171664238,210339029,42252,145,1,382,391540,2 63,2,2024-09-07 08:15:40:765,31810,31810,0,0,2126897,0,2674 63,3,2024-09-07 08:15:41:737,1,24,25,0,216,615,24,0 64,0,2024-09-07 08:15:41:554,3434,0.3,3470,0.5,7041,0.2,9203,1.75 64,1,2024-09-07 08:15:40:789,41571,41571,0,0,20008927680,219078999,39566,1227,778,371,391604,0 64,2,2024-09-07 08:15:41:144,27626,27626,0,0,1394050,0,2510 64,3,2024-09-07 08:15:41:153,1,24,21,1,163,405,24,0 65,0,2024-09-07 08:15:41:704,5044,4.3,5065,2.5,9806,6.2,13626,5.00 65,1,2024-09-07 08:15:40:873,42061,42061,0,0,19832634693,211772409,41054,974,33,382,391506,0 65,2,2024-09-07 08:15:41:704,27791,27791,0,0,1900516,0,3367 65,3,2024-09-07 08:15:41:684,1,24,6,1,122,375,24,0 66,0,2024-09-07 08:15:41:791,9317,0.4,9409,0.6,18954,0.4,25065,2.00 66,1,2024-09-07 08:15:41:294,42520,42520,0,0,20369370611,213756347,42072,432,16,381,391537,0 66,2,2024-09-07 08:15:41:133,33514,33514,0,0,2009000,0,2934 66,3,2024-09-07 08:15:41:080,1,24,1,1,88,194,24,0 67,0,2024-09-07 08:15:41:433,3751,0.7,3738,0.9,7423,0.8,9913,2.50 67,1,2024-09-07 08:15:40:773,41985,41984,0,1,20075870256,213814632,41197,690,97,383,391567,1 67,2,2024-09-07 08:15:40:814,31636,31636,0,0,1787400,0,2104 67,3,2024-09-07 08:15:41:760,1,24,1,1,93,242,24,0 68,0,2024-09-07 08:15:40:700,4022,0.3,4062,0.7,8259,0.2,10656,1.75 68,1,2024-09-07 08:15:40:793,41763,41763,0,0,19729336632,210803840,40850,467,446,383,391495,0 68,2,2024-09-07 08:15:41:046,29440,29440,0,0,1835823,0,4883 68,3,2024-09-07 08:15:40:748,1,24,12,1,63,307,24,0 69,0,2024-09-07 08:15:41:743,4888,0.6,4752,0.9,9478,0.6,12983,2.00 69,1,2024-09-07 08:15:41:028,41338,41338,0,0,19769819977,216036176,39902,574,862,386,391530,0 69,2,2024-09-07 08:15:41:758,27615,27615,0,0,1292949,0,2462 69,3,2024-09-07 08:15:40:796,1,24,6,0,238,399,24,0 70,0,2024-09-07 08:15:41:548,9533,3.5,9546,3.8,19271,1.1,25903,3.00 70,1,2024-09-07 08:15:40:801,42244,42244,0,0,20185324544,210221056,41983,244,17,369,391527,0 70,2,2024-09-07 08:15:41:327,31413,31413,0,0,1713497,0,2433 70,3,2024-09-07 08:15:40:748,1,24,1,1,69,131,24,0 71,0,2024-09-07 08:15:41:367,4368,8.0,4436,9.0,8563,10.0,12427,7.00 71,1,2024-09-07 08:15:41:598,42074,42074,0,0,20007544786,211644332,41114,812,148,368,391682,0 71,2,2024-09-07 08:15:41:070,31885,31885,0,0,1761815,0,2146 71,3,2024-09-07 08:15:41:750,1,24,2,1,174,369,24,0 72,0,2024-09-07 08:15:41:134,5879,0.5,5644,0.7,11275,0.3,14863,3.00 72,1,2024-09-07 08:15:41:037,41983,41983,0,0,19627910999,210027727,40760,1175,48,370,391514,0 72,2,2024-09-07 08:15:41:766,30315,30315,0,0,2801846,0,2570 72,3,2024-09-07 08:15:41:758,1,24,17,1,325,573,24,0 73,0,2024-09-07 08:15:41:120,4441,0.4,4529,0.6,9159,0.2,11463,2.25 73,1,2024-09-07 08:15:40:804,42001,42001,0,0,19662957421,207169035,41719,281,1,370,391497,0 73,2,2024-09-07 08:15:41:742,26872,26872,0,0,2166010,0,3482 73,3,2024-09-07 08:15:40:983,1,24,18,0,274,656,24,0 74,0,2024-09-07 08:15:41:347,8285,0.9,8431,1.0,16332,1.5,22308,2.75 74,1,2024-09-07 08:15:40:635,41823,41823,0,0,19880028083,209560552,41327,494,2,384,391494,0 74,2,2024-09-07 08:15:41:009,29098,29098,0,0,2458084,0,2736 74,3,2024-09-07 08:15:41:442,1,24,1,0,192,772,24,0 75,0,2024-09-07 08:15:41:810,7347,4.9,7287,2.6,14427,6.1,19694,3.75 75,1,2024-09-07 08:15:41:590,42423,42423,0,0,20349358970,215331763,41803,569,51,382,391514,0 75,2,2024-09-07 08:15:41:351,33379,33379,0,0,3067564,0,4766 75,3,2024-09-07 08:15:41:066,1,24,2,0,38,100,24,0 76,0,2024-09-07 08:15:40:906,5935,0.4,5944,0.7,11782,0.3,15445,2.50 76,1,2024-09-07 08:15:40:820,41844,41844,0,0,19594689908,211485348,40493,912,439,382,391530,0 76,2,2024-09-07 08:15:41:063,32136,32136,0,0,1838428,0,3064 76,3,2024-09-07 08:15:41:142,1,24,10,0,140,540,24,0 77,0,2024-09-07 08:15:41:709,4418,0.4,4400,0.8,8736,0.3,11780,2.25 77,1,2024-09-07 08:15:40:833,41988,41988,0,0,20250024557,214821552,41256,665,67,383,391512,0 77,2,2024-09-07 08:15:41:285,26812,26812,0,0,1265015,0,1637 77,3,2024-09-07 08:15:41:099,1,24,1,0,131,243,24,0 78,0,2024-09-07 08:15:41:740,5653,4.2,5507,2.6,11218,5.3,14990,3.50 78,1,2024-09-07 08:15:40:637,42303,42303,0,0,19311971123,204746435,41698,568,37,369,391540,0 78,2,2024-09-07 08:15:41:413,28401,28401,0,0,1557623,0,2114 78,3,2024-09-07 08:15:41:134,1,24,2,0,112,409,24,0 79,0,2024-09-07 08:15:41:402,9141,2.3,9500,1.6,19167,4.5,25440,4.75 79,1,2024-09-07 08:15:40:633,41989,41989,0,0,19391038919,205580147,41256,726,7,372,391486,0 79,2,2024-09-07 08:15:41:070,33584,33584,0,0,1913835,0,2679 79,3,2024-09-07 08:15:40:761,1,24,1,0,289,538,24,0 80,0,2024-09-07 08:15:41:098,4487,0.5,4628,0.7,9036,0.4,12225,2.00 80,1,2024-09-07 08:15:41:622,41490,41490,0,0,19831012302,211241763,40265,1110,115,372,391673,0 80,2,2024-09-07 08:15:41:095,31333,31333,0,0,1779185,0,1940 80,3,2024-09-07 08:15:40:625,1,24,20,1,148,623,24,0 81,0,2024-09-07 08:15:41:587,3583,0.3,3678,0.5,7045,0.2,9279,1.75 81,1,2024-09-07 08:15:41:652,42003,42003,0,0,20042059708,212616186,41372,624,7,383,391646,0 81,2,2024-09-07 08:15:41:126,27792,27792,0,0,1826703,0,2811 81,3,2024-09-07 08:15:41:122,1,24,193,1,193,495,24,0 82,0,2024-09-07 08:15:41:546,4936,0.3,4822,0.6,9832,0.2,12996,1.75 82,1,2024-09-07 08:15:40:626,41305,41304,0,1,19697606337,212086964,39724,1050,530,384,391558,1 82,2,2024-09-07 08:15:41:692,27939,27939,0,0,1366615,0,1286 82,3,2024-09-07 08:15:41:765,1,24,5,1,65,302,24,0 83,0,2024-09-07 08:15:41:535,9425,1.3,9350,1.1,18604,1.5,25088,2.75 83,1,2024-09-07 08:15:40:633,41860,41860,0,0,19490360423,208816650,40778,982,100,384,391553,0 83,2,2024-09-07 08:15:40:793,32329,32329,0,0,2087222,0,2512 83,3,2024-09-07 08:15:40:749,1,24,2,1,91,253,24,0 84,0,2024-09-07 08:15:41:862,4075,10.0,3987,10.0,8075,4.9,11886,5.50 84,1,2024-09-07 08:15:41:041,42210,42210,0,0,20091001431,213873400,41194,777,239,370,391630,0 84,2,2024-09-07 08:15:40:790,31263,31263,0,0,2018484,0,3801 84,3,2024-09-07 08:15:41:156,1,24,12,1,19,225,24,0 85,0,2024-09-07 08:15:41:029,4555,0.4,4572,0.6,9640,0.3,12054,2.00 85,1,2024-09-07 08:15:40:789,41484,41484,0,0,19870555241,213699797,40046,1146,292,384,391505,0 85,2,2024-09-07 08:15:40:893,30157,30157,0,0,1983086,0,3656 85,3,2024-09-07 08:15:40:791,1,24,9,1,90,261,24,0 86,0,2024-09-07 08:15:40:928,4060,0.2,4290,0.4,8302,0.2,10894,1.50 86,1,2024-09-07 08:15:40:838,41610,41610,0,0,20044667830,210972481,40855,726,29,367,391506,0 86,2,2024-09-07 08:15:40:861,27190,27189,1,0,1912273,0,5004 86,3,2024-09-07 08:15:40:624,1,24,78,1,78,248,24,0 87,0,2024-09-07 08:15:41:300,8643,2.8,8625,1.7,17518,4.1,23879,2.00 87,1,2024-09-07 08:15:40:790,42035,42035,0,0,19924277430,210759133,41258,729,48,369,391564,0 87,2,2024-09-07 08:15:41:074,30359,30359,0,0,1397362,0,2148 87,3,2024-09-07 08:15:41:799,1,24,38,1,322,778,24,0 88,0,2024-09-07 08:15:41:469,6107,2.8,6127,1.8,12189,3.9,16209,2.50 88,1,2024-09-07 08:15:40:791,42041,42041,0,0,20102716379,214822478,41063,886,92,368,391747,0 88,2,2024-09-07 08:15:40:774,32868,32868,0,0,2243398,0,2675 88,3,2024-09-07 08:15:41:267,1,24,25,1,77,364,24,0 89,0,2024-09-07 08:15:41:814,6090,0.4,5872,0.7,11712,0.4,15380,1.75 89,1,2024-09-07 08:15:40:668,41394,41394,0,0,20490561099,221264970,40010,775,609,384,391763,0 89,2,2024-09-07 08:15:41:132,31205,31205,0,0,2233158,0,2726 89,3,2024-09-07 08:15:41:802,1,24,3,2,153,572,24,0 90,0,2024-09-07 08:15:41:621,4639,0.2,4716,0.4,9646,0.2,12443,1.50 90,1,2024-09-07 08:15:40:684,41945,41945,0,0,19645619589,211916508,40488,1332,125,382,391522,0 90,2,2024-09-07 08:15:41:411,26593,26593,0,0,2105219,0,2635 90,3,2024-09-07 08:15:40:935,1,24,6,1,19,126,24,0 91,0,2024-09-07 08:15:40:987,7387,0.5,7136,0.7,14697,0.6,19792,1.75 91,1,2024-09-07 08:15:40:665,41922,41922,0,0,20518408477,219731712,40739,1016,167,384,391914,0 91,2,2024-09-07 08:15:41:334,28109,28109,0,0,1542569,0,1997 91,3,2024-09-07 08:15:40:804,1,24,1,1,155,372,24,0 92,0,2024-09-07 08:15:41:455,9048,2.7,9316,1.6,17871,4.2,24044,2.00 92,1,2024-09-07 08:15:40:626,42083,42083,0,0,19631227882,206829295,41738,298,47,383,391569,0 92,2,2024-09-07 08:15:41:357,34478,34478,0,0,2201535,0,2279 92,3,2024-09-07 08:15:41:014,1,24,9,1,68,324,24,0 93,0,2024-09-07 08:15:41:001,5416,0.6,5467,0.8,10396,0.5,14425,2.00 93,1,2024-09-07 08:15:40:820,41485,41485,0,0,19518539650,210657844,40126,928,431,368,391689,0 93,2,2024-09-07 08:15:40:932,31881,31881,0,0,1746435,0,1798 93,3,2024-09-07 08:15:41:407,1,24,1,1,143,311,24,0 94,0,2024-09-07 08:15:41:608,3624,0.2,3517,0.5,6933,0.2,9330,1.50 94,1,2024-09-07 08:15:40:636,41666,41666,0,0,19633485009,210275218,40703,906,57,382,391850,0 94,2,2024-09-07 08:15:40:784,27210,27210,0,0,1751414,0,2443 94,3,2024-09-07 08:15:41:694,1,24,62,1,231,613,24,0 95,0,2024-09-07 08:15:41:376,5321,0.8,5209,0.9,10791,0.9,14577,2.00 95,1,2024-09-07 08:15:40:867,41880,41880,0,0,19495852445,205455266,41559,317,4,368,391590,0 95,2,2024-09-07 08:15:41:029,27880,27880,0,0,1682769,0,3308 95,3,2024-09-07 08:15:41:728,1,24,1,1,135,311,24,0 96,0,2024-09-07 08:15:41:064,9659,0.4,9503,0.6,19054,0.4,25177,1.75 96,1,2024-09-07 08:15:41:592,41669,41669,0,0,19870381950,214450199,40563,549,557,385,391551,0 96,2,2024-09-07 08:15:41:269,33412,33412,0,0,1867154,0,1653 96,3,2024-09-07 08:15:41:140,1,24,19,7,31,333,24,0 97,0,2024-09-07 08:15:41:325,3767,0.5,3784,0.7,7405,0.4,9849,2.00 97,1,2024-09-07 08:15:40:786,41916,41916,0,0,20251386474,214689758,40966,570,380,367,391547,0 97,2,2024-09-07 08:15:40:813,31230,31230,0,0,1591968,0,1663 97,3,2024-09-07 08:15:40:789,1,24,4,1,97,296,24,0 98,0,2024-09-07 08:15:41:716,4081,0.2,3992,0.4,8116,0.2,10714,1.50 98,1,2024-09-07 08:15:40:633,41686,41686,0,0,19935958197,209944330,41426,258,2,384,391588,0 98,2,2024-09-07 08:15:40:774,29381,29381,0,0,1906532,0,2410 98,3,2024-09-07 08:15:40:766,1,24,30,1,155,325,24,0 99,0,2024-09-07 08:15:41:456,4730,0.3,4662,0.5,9446,0.3,12770,1.75 99,1,2024-09-07 08:15:41:751,42666,42666,0,0,19594904421,206948959,42068,438,160,382,391602,0 99,2,2024-09-07 08:15:41:417,27582,27582,0,0,1862178,0,1732 99,3,2024-09-07 08:15:40:803,1,24,3,1,65,282,24,0 100,0,2024-09-07 08:15:41:471,9399,1.3,9406,4.2,18811,4.5,25721,2.50 100,1,2024-09-07 08:15:40:666,41728,41728,0,0,19664167306,215643752,39754,1651,323,382,391505,0 100,2,2024-09-07 08:15:41:826,31385,31374,11,0,2210329,0,5417 100,3,2024-09-07 08:15:41:732,1,24,9,1,443,1026,24,0 101,0,2024-09-07 08:15:41:741,4643,8.6,4491,6.2,9117,8.7,13308,4.50 101,1,2024-09-07 08:15:40:790,41626,41626,0,0,20100543300,215205487,40568,634,424,370,391531,0 101,2,2024-09-07 08:15:41:772,32069,32069,0,0,2246033,0,4644 101,3,2024-09-07 08:15:40:945,1,24,4,1,448,592,24,0 102,0,2024-09-07 08:15:41:049,5586,0.8,5792,0.8,11495,0.6,14754,2.00 102,1,2024-09-07 08:15:41:149,42236,42236,0,0,20611150609,217217137,41686,540,10,369,391621,0 102,2,2024-09-07 08:15:41:742,31002,31002,0,0,1510572,0,1945 102,3,2024-09-07 08:15:41:618,1,24,1,0,410,624,24,0 103,0,2024-09-07 08:15:41:600,4625,0.3,4607,0.4,8778,0.2,11432,1.50 103,1,2024-09-07 08:15:41:630,42273,42273,0,0,19779039083,208016924,41902,323,48,381,391680,0 103,2,2024-09-07 08:15:40:806,27539,27539,0,0,1338703,0,1878 103,3,2024-09-07 08:15:40:773,1,24,1,1,486,766,24,0 104,0,2024-09-07 08:15:41:195,8300,1.5,8441,1.3,16237,1.9,22305,2.25 104,1,2024-09-07 08:15:41:956,41995,41995,0,0,19541673376,211873078,40497,1182,316,369,391948,0 104,2,2024-09-07 08:15:41:672,28513,28513,0,0,2082004,0,3941 104,3,2024-09-07 08:15:41:422,1,24,10,8,70,382,24,0 105,0,2024-09-07 08:15:41:059,7146,4.9,6873,2.8,14246,7.5,19405,4.75 105,1,2024-09-07 08:15:40:788,41623,41623,0,0,19698839440,213499529,39929,1128,566,367,391797,0 105,2,2024-09-07 08:15:41:331,33357,33357,0,0,2330168,0,3314 105,3,2024-09-07 08:15:41:309,1,24,1,1,169,586,24,0 106,0,2024-09-07 08:15:41:013,5742,0.4,5806,0.7,11786,0.3,15592,2.00 106,1,2024-09-07 08:15:41:751,41707,41707,0,0,19667674303,212062533,40309,1196,202,371,391767,0 106,2,2024-09-07 08:15:40:777,31798,31798,0,0,2219788,0,1818 106,3,2024-09-07 08:15:40:804,1,24,18,1,171,263,24,0 107,0,2024-09-07 08:15:41:147,4443,0.3,4368,0.5,8693,0.2,11741,1.75 107,1,2024-09-07 08:15:40:630,41863,41863,0,0,19626270915,209944997,40963,774,126,382,391848,0 107,2,2024-09-07 08:15:41:291,26703,26702,1,0,1641637,0,5024 107,3,2024-09-07 08:15:41:754,1,24,2,1,353,685,24,0 108,0,2024-09-07 08:15:41:893,5709,2.1,5799,1.5,11257,2.7,15324,2.00 108,1,2024-09-07 08:15:41:303,41763,41763,0,0,19939078670,212749286,40631,1028,104,372,391563,0 108,2,2024-09-07 08:15:41:783,28448,28448,0,0,1930006,0,2647 108,3,2024-09-07 08:15:41:338,1,24,11,1,60,353,24,0 109,0,2024-09-07 08:15:41:849,9689,1.4,9794,1.2,18963,2.4,26532,2.25 109,1,2024-09-07 08:15:40:623,41876,41876,0,0,20020316155,214245865,41121,703,52,385,391576,0 109,2,2024-09-07 08:15:40:926,32624,32624,0,0,2519435,0,3617 109,3,2024-09-07 08:15:41:140,1,24,28,1,120,515,24,0 110,0,2024-09-07 08:15:41:783,4623,0.3,4498,0.6,9338,0.2,12299,1.75 110,1,2024-09-07 08:15:41:648,42000,42000,0,0,19793834439,210232817,41055,663,282,371,391564,0 110,2,2024-09-07 08:15:41:310,31757,31757,0,0,1996269,0,2915 110,3,2024-09-07 08:15:40:766,1,24,8,1,183,334,24,0 111,0,2024-09-07 08:15:41:421,3534,0.2,3558,0.4,7128,0.1,9243,1.50 111,1,2024-09-07 08:15:41:001,42113,42113,0,0,20432877683,213918128,41751,350,12,383,391597,0 111,2,2024-09-07 08:15:41:117,28505,28505,0,0,1624822,0,2256 111,3,2024-09-07 08:15:40:920,1,24,1,1,106,511,24,0 112,0,2024-09-07 08:15:40:966,4891,0.3,4882,0.5,9888,0.2,13007,1.50 112,1,2024-09-07 08:15:40:830,41882,41882,0,0,20231609803,216182575,40806,748,328,382,391522,0 112,2,2024-09-07 08:15:41:134,27612,27612,0,0,1815799,0,3152 112,3,2024-09-07 08:15:40:806,1,24,9,1,282,495,24,0 113,0,2024-09-07 08:15:40:882,9296,0.4,9214,0.6,18799,0.4,25236,1.75 113,1,2024-09-07 08:15:41:685,42003,42003,0,0,20256984148,213208202,41297,675,31,368,391661,0 113,2,2024-09-07 08:15:41:303,32488,32488,0,0,1623481,0,1597 113,3,2024-09-07 08:15:40:785,1,24,1,1,166,504,24,0 114,0,2024-09-07 08:15:40:902,4437,6.9,4545,5.4,8903,3.7,12297,2.50 114,1,2024-09-07 08:15:40:765,42200,42200,0,0,20314212549,213774536,41546,569,85,381,391513,0 114,2,2024-09-07 08:15:40:875,31427,31427,0,0,1868082,0,3433 114,3,2024-09-07 08:15:41:288,1,24,156,1,159,514,24,0 115,0,2024-09-07 08:15:40:582,4700,0.2,4785,0.5,9454,0.1,12150,1.50 115,1,2024-09-07 08:15:40:793,41925,41925,0,0,20571241407,219941752,40572,1131,222,384,391502,0 115,2,2024-09-07 08:15:41:125,30750,30750,0,0,1643053,0,2072 115,3,2024-09-07 08:15:41:012,1,24,1,0,159,307,24,0 116,0,2024-09-07 08:15:41:721,4138,0.3,4133,0.5,8417,0.2,10933,1.75 116,1,2024-09-07 08:15:40:804,41608,41608,0,0,20343472489,220058722,40454,318,836,382,391573,0 116,2,2024-09-07 08:15:41:757,27430,27430,0,0,1274136,0,2154 116,3,2024-09-07 08:15:40:914,1,24,8,2,132,376,24,0 117,0,2024-09-07 08:15:40:982,8732,2.8,8741,1.7,17472,3.8,23840,2.25 117,1,2024-09-07 08:15:41:592,42124,42124,0,0,19732437650,209789962,41209,696,219,371,391601,0 117,2,2024-09-07 08:15:41:118,30265,30265,0,0,1852712,0,3700 117,3,2024-09-07 08:15:41:062,1,24,43,1,490,1180,24,0 118,0,2024-09-07 08:15:41:815,5922,2.4,5997,1.6,12414,3.5,16114,2.75 118,1,2024-09-07 08:15:40:663,41961,41961,0,0,19565998967,205849704,41542,418,1,368,391725,0 118,2,2024-09-07 08:15:41:590,33164,33164,0,0,1670799,0,1450 118,3,2024-09-07 08:15:41:769,1,24,11,1,79,301,24,0 119,0,2024-09-07 08:15:41:360,5693,0.3,5877,0.6,11927,0.2,15300,1.75 119,1,2024-09-07 08:15:40:665,41717,41717,0,0,20270467222,215312045,40722,862,133,371,391576,0 119,2,2024-09-07 08:15:41:273,31299,31299,0,0,1690031,0,2222 119,3,2024-09-07 08:15:41:329,1,24,9,1,443,926,24,0 120,0,2024-09-07 08:15:41:562,4778,0.3,4734,0.6,9492,0.2,12477,1.75 120,1,2024-09-07 08:15:40:944,42047,42047,0,0,19582873626,209519295,41213,825,9,369,391702,0 120,2,2024-09-07 08:15:40:778,26652,26651,1,0,2452338,0,5281 120,3,2024-09-07 08:15:41:292,1,24,7,2,241,659,24,0 121,0,2024-09-07 08:15:41:731,7089,3.7,7081,2.2,14306,4.7,19862,2.75 121,1,2024-09-07 08:15:41:666,41727,41727,0,0,19450817903,210022979,40510,980,237,370,391633,0 121,2,2024-09-07 08:15:41:129,28622,28622,0,0,2289093,0,4127 121,3,2024-09-07 08:15:40:765,1,24,43,1,71,267,24,0 122,0,2024-09-07 08:15:41:774,8880,3.9,8601,3.5,17826,4.1,24140,3.00 122,1,2024-09-07 08:15:40:884,41179,41179,0,0,19981486062,218770925,39259,1551,369,369,392130,0 122,2,2024-09-07 08:15:41:331,33854,33854,0,0,2899328,0,2574 122,3,2024-09-07 08:15:40:612,1,24,3,1,226,1087,24,0 123,0,2024-09-07 08:15:40:975,5346,0.4,5198,0.7,10702,0.3,14401,2.00 123,1,2024-09-07 08:15:40:810,41654,41654,0,0,19926452918,218463609,39260,1940,454,371,391823,0 123,2,2024-09-07 08:15:41:022,31374,31373,1,0,2532821,0,5215 123,3,2024-09-07 08:15:41:131,1,24,3,1,160,590,24,0 124,0,2024-09-07 08:15:40:990,3616,0.2,3600,0.4,6897,0.2,9304,1.50 124,1,2024-09-07 08:15:41:023,42237,42237,0,0,19647129626,205904085,42094,142,1,370,392129,0 124,2,2024-09-07 08:15:41:016,27212,27212,0,0,1456025,0,2477 124,3,2024-09-07 08:15:40:786,1,24,19,2,490,1059,24,0 125,0,2024-09-07 08:15:41:496,5324,1.1,5274,1.0,10574,1.4,14421,2.00 125,1,2024-09-07 08:15:40:917,41739,41739,0,0,19363336035,207611140,40719,998,22,385,391702,0 125,2,2024-09-07 08:15:41:124,27927,27927,0,0,1822194,0,2180 125,3,2024-09-07 08:15:41:127,1,24,17,1,93,504,24,0 126,0,2024-09-07 08:15:41:436,9409,0.6,9714,0.8,18658,0.7,25262,2.00 126,1,2024-09-07 08:15:40:665,41993,41993,0,0,20477568135,215492415,41551,434,8,368,391719,0 126,2,2024-09-07 08:15:40:841,33215,33215,0,0,2241916,0,3186 126,3,2024-09-07 08:15:40:927,1,24,5,1,45,212,24,0 127,0,2024-09-07 08:15:41:632,3818,0.3,3724,0.5,7584,0.2,10099,1.75 127,1,2024-09-07 08:15:40:793,41796,41796,0,0,19414438568,205224152,41063,711,22,366,391614,0 127,2,2024-09-07 08:15:40:789,31489,31489,0,0,1845154,0,1744 127,3,2024-09-07 08:15:41:267,1,24,3,0,99,301,24,0 128,0,2024-09-07 08:15:41:550,4039,0.3,4105,0.5,8067,0.2,10691,1.75 128,1,2024-09-07 08:15:41:692,42089,42089,0,0,20032515463,209622889,41898,187,4,369,391605,0 128,2,2024-09-07 08:15:41:394,28897,28897,0,0,2018221,0,1919 128,3,2024-09-07 08:15:40:815,1,24,13,1,20,281,24,0 129,0,2024-09-07 08:15:41:019,4750,0.5,4823,0.7,9548,0.5,12821,2.00 129,1,2024-09-07 08:15:40:663,41856,41856,0,0,20017193203,214739354,40613,978,265,379,391835,0 129,2,2024-09-07 08:15:40:789,27421,27421,0,0,1563319,0,2289 129,3,2024-09-07 08:15:40:763,1,24,4,1,88,371,24,0 130,0,2024-09-07 08:15:41:724,9624,1.5,9558,1.2,19111,2.2,26168,2.50 130,1,2024-09-07 08:15:40:825,42336,42336,0,0,20167362241,213996021,41626,709,1,381,391516,0 130,2,2024-09-07 08:15:41:126,31466,31466,0,0,1780754,0,1539 130,3,2024-09-07 08:15:41:293,1,24,1,1,66,179,24,0 131,0,2024-09-07 08:15:41:955,5013,3.0,4847,2.2,10008,3.5,13327,2.75 131,1,2024-09-07 08:15:41:826,42155,42155,0,0,19976511734,212289361,41469,515,171,385,391727,0 131,2,2024-09-07 08:15:40:820,31644,31644,0,0,1779419,0,1766 131,3,2024-09-07 08:15:41:696,1,24,2,1,23,99,24,0 132,0,2024-09-07 08:15:41:427,5592,0.5,5716,0.9,11404,0.4,14834,2.00 132,1,2024-09-07 08:15:40:796,41624,41624,0,0,19583345680,210728901,40238,1124,262,383,391533,0 132,2,2024-09-07 08:15:40:788,30900,30900,0,0,3118165,0,4606 132,3,2024-09-07 08:15:41:693,1,24,9,3,356,630,24,0 133,0,2024-09-07 08:15:41:532,4442,0.3,4521,0.5,9208,0.2,11398,1.75 133,1,2024-09-07 08:15:40:847,41546,41546,0,0,19715083100,209866873,40636,906,4,385,391513,0 133,2,2024-09-07 08:15:41:096,27461,27461,0,0,1430063,0,1707 133,3,2024-09-07 08:15:41:299,1,24,4,1,26,106,24,0 134,0,2024-09-07 08:15:41:004,8229,0.4,8277,0.7,16567,0.4,22199,1.75 134,1,2024-09-07 08:15:40:851,42027,42027,0,0,19730423782,212660375,40520,760,747,366,391508,0 134,2,2024-09-07 08:15:41:764,28782,28782,0,0,1529619,0,2026 134,3,2024-09-07 08:15:40:796,1,24,7,1,63,360,24,0 135,0,2024-09-07 08:15:41:117,7222,3.4,7190,2.9,15202,3.4,19379,2.75 135,1,2024-09-07 08:15:41:591,41953,41953,0,0,20265304687,212146027,41580,357,16,383,391513,0 135,2,2024-09-07 08:15:40:790,33769,33769,0,0,1827742,0,3016 135,3,2024-09-07 08:15:41:009,1,24,11,1,68,225,24,0 136,0,2024-09-07 08:15:41:638,5914,0.5,5871,0.9,11788,0.4,15597,2.25 136,1,2024-09-07 08:15:41:442,42153,42153,0,0,19760801380,212938154,40821,1191,141,385,391501,0 136,2,2024-09-07 08:15:41:133,32745,32745,0,0,1714991,0,1692 136,3,2024-09-07 08:15:41:112,1,24,9,1,108,441,24,0 137,0,2024-09-07 08:15:40:975,4462,0.4,4405,0.7,8641,0.3,11725,2.00 137,1,2024-09-07 08:15:40:813,41945,41945,0,0,20052531079,214418118,40894,965,86,368,391489,0 137,2,2024-09-07 08:15:41:716,26060,26060,0,0,2263817,0,2294 137,3,2024-09-07 08:15:40:787,1,24,17,1,227,475,24,0 138,0,2024-09-07 08:15:41:752,5622,1.1,5743,1.2,11453,1.2,15281,2.75 138,1,2024-09-07 08:15:41:685,41786,41786,0,0,19705818015,212450859,40354,1200,232,371,391572,0 138,2,2024-09-07 08:15:40:807,28469,28469,0,0,1856071,0,3263 138,3,2024-09-07 08:15:40:806,1,24,4,1,68,248,24,0 139,0,2024-09-07 08:15:41:404,9100,5.8,9114,3.4,18577,6.3,25994,4.50 139,1,2024-09-07 08:15:40:668,41267,41267,0,0,19838688705,219369937,39260,1324,683,383,391511,0 139,2,2024-09-07 08:15:40:784,33638,33638,0,0,2390806,0,2425 139,3,2024-09-07 08:15:41:673,1,24,31,1,120,595,24,0 140,0,2024-09-07 08:15:41:611,4597,0.5,4483,0.8,9081,0.4,12387,2.00 140,1,2024-09-07 08:15:41:547,42221,42221,0,0,20015561183,210799614,41609,422,190,366,391482,0 140,2,2024-09-07 08:15:40:787,31394,31394,0,0,2356641,0,3303 140,3,2024-09-07 08:15:40:781,1,24,8,1,25,231,24,0 141,0,2024-09-07 08:15:41:766,3480,0.2,3614,0.4,7008,0.1,9270,1.50 141,1,2024-09-07 08:15:41:113,41864,41864,0,0,20517153656,218895376,40634,956,274,382,391532,0 141,2,2024-09-07 08:15:41:712,28366,28366,0,0,1715110,0,2342 141,3,2024-09-07 08:15:41:054,1,24,7,0,53,180,24,0 142,0,2024-09-07 08:15:41:333,5009,0.3,4923,0.7,9865,0.3,13085,1.75 142,1,2024-09-07 08:15:40:811,41968,41968,0,0,19806704031,208625025,41783,185,0,385,391576,0 142,2,2024-09-07 08:15:41:303,27594,27594,0,0,1821715,0,2293 142,3,2024-09-07 08:15:41:747,1,24,33,1,53,192,24,0 143,0,2024-09-07 08:15:41:380,9290,1.5,9227,1.3,18633,1.8,25087,2.25 143,1,2024-09-07 08:15:40:646,41721,41721,0,0,20025691074,211225087,40939,761,21,370,391608,0 143,2,2024-09-07 08:15:40:778,32263,32263,0,0,2108819,0,2572 143,3,2024-09-07 08:15:41:142,1,24,1,1,236,697,24,0 144,0,2024-09-07 08:15:41:523,4061,6.2,4240,10.0,8414,6.6,12203,3.25 144,1,2024-09-07 08:15:40:799,41708,41708,0,0,19461942091,209132801,40658,867,183,383,391562,0 144,2,2024-09-07 08:15:41:756,31355,31355,0,0,1923581,0,2653 144,3,2024-09-07 08:15:41:745,1,24,8,1,112,483,24,0 145,0,2024-09-07 08:15:41:378,4569,0.4,4563,0.7,9510,0.3,12090,2.00 145,1,2024-09-07 08:15:40:666,41714,41714,0,0,19305776586,203273906,41457,257,0,383,391615,0 145,2,2024-09-07 08:15:41:430,30285,30285,0,0,2449352,0,3903 145,3,2024-09-07 08:15:40:947,1,24,13,1,151,578,24,0 146,0,2024-09-07 08:15:41:724,4156,0.3,4196,0.5,8337,0.2,10861,1.75 146,1,2024-09-07 08:15:41:612,41928,41928,0,0,20209912438,218464123,40109,1521,298,370,391512,0 146,2,2024-09-07 08:15:41:707,27076,27076,0,0,1696950,0,2149 146,3,2024-09-07 08:15:41:279,1,24,2,0,54,225,24,0 147,0,2024-09-07 08:15:41:712,8685,3.0,8580,1.9,17127,3.5,24046,2.50 147,1,2024-09-07 08:15:41:380,42195,42195,0,0,19981561039,211279755,41473,595,127,369,391791,0 147,2,2024-09-07 08:15:41:019,30361,30361,0,0,2051501,0,2789 147,3,2024-09-07 08:15:40:923,1,24,10,1,141,469,24,0 0,0,2024-09-07 08:15:51:741,4675,0.5,4669,0.8,9764,0.4,12422,2.25 0,1,2024-09-07 08:15:50:806,43517,43517,0,0,20959422082,223948958,42674,735,108,373,391673,0 0,2,2024-09-07 08:15:51:067,27971,27971,0,0,1430722,0,2095 0,3,2024-09-07 08:15:50:973,1,25,12,2,247,578,25,0 1,0,2024-09-07 08:15:51:760,7406,3.6,7353,2.2,14954,5.4,20575,3.75 1,1,2024-09-07 08:15:50:564,42936,42936,0,0,19971181278,212172053,42209,676,51,372,391857,0 1,2,2024-09-07 08:15:50:643,29383,29383,0,0,1982734,0,3267 1,3,2024-09-07 08:15:51:315,1,25,2,1,167,376,25,0 2,0,2024-09-07 08:15:51:583,8809,4.2,8952,2.4,17622,6.2,23517,4.50 2,1,2024-09-07 08:15:50:866,43318,43318,0,0,20835047521,220500078,42684,547,87,382,391527,0 2,2,2024-09-07 08:15:51:271,34701,34701,0,0,2406477,0,3304 2,3,2024-09-07 08:15:50:690,1,25,1,1,87,345,25,0 3,0,2024-09-07 08:15:51:753,5724,0.5,5625,0.8,11323,0.4,15060,2.25 3,1,2024-09-07 08:15:51:623,43122,43122,0,0,20899031981,223893862,41819,1122,181,383,391494,0 3,2,2024-09-07 08:15:51:143,33005,32982,23,0,2925551,0,5851 3,3,2024-09-07 08:15:51:760,1,25,103,1,103,207,25,0 4,0,2024-09-07 08:15:51:772,3692,0.3,3864,0.5,7643,0.2,10227,1.75 4,1,2024-09-07 08:15:50:603,43612,43612,0,0,20571071534,220280672,42503,766,343,372,391682,0 4,2,2024-09-07 08:15:51:022,27991,27991,0,0,2047421,0,4528 4,3,2024-09-07 08:15:51:029,1,25,9,8,287,654,25,0 5,0,2024-09-07 08:15:51:404,5708,1.3,5618,1.1,11310,1.9,15270,2.25 5,1,2024-09-07 08:15:50:756,43727,43727,0,0,21015944685,221087585,43126,509,92,368,392005,0 5,2,2024-09-07 08:15:51:842,29387,29387,0,0,1498744,0,1912 5,3,2024-09-07 08:15:51:733,1,25,8,1,182,622,25,0 6,0,2024-09-07 08:15:50:935,9959,0.7,9924,0.9,19720,0.8,26382,2.00 6,1,2024-09-07 08:15:50:748,43835,43835,0,0,20539393200,215048956,43474,356,5,382,391603,0 6,2,2024-09-07 08:15:51:116,34995,34995,0,0,2255025,0,2232 6,3,2024-09-07 08:15:51:276,1,25,1,1,277,571,25,0 7,0,2024-09-07 08:15:51:552,3985,0.3,4078,0.6,8104,0.2,10968,1.75 7,1,2024-09-07 08:15:50:867,43217,43217,0,0,20478993227,216867253,42484,669,64,384,391558,0 7,2,2024-09-07 08:15:50:771,32589,32589,0,0,1805449,0,2981 7,3,2024-09-07 08:15:50:868,1,25,45,0,46,226,25,0 8,0,2024-09-07 08:15:51:339,4372,0.3,4255,0.4,8384,0.2,11149,1.50 8,1,2024-09-07 08:15:51:020,43230,43230,0,0,21025135090,234252088,40342,1655,1233,368,391724,0 8,2,2024-09-07 08:15:50:791,29573,29573,0,0,2332273,0,2986 8,3,2024-09-07 08:15:50:591,1,25,12,1,229,605,25,0 9,0,2024-09-07 08:15:51:132,5075,0.3,4954,0.5,10204,0.2,13481,1.50 9,1,2024-09-07 08:15:50:563,43280,43280,0,0,21230078239,229643156,41582,1220,478,370,391553,0 9,2,2024-09-07 08:15:51:099,28701,28701,0,0,2096616,0,3360 9,3,2024-09-07 08:15:51:752,1,25,8,0,50,281,25,0 10,0,2024-09-07 08:15:51:626,10149,0.5,10054,0.6,20239,0.4,27013,1.75 10,1,2024-09-07 08:15:50:593,43200,43200,0,0,20791787530,226273065,41032,1788,380,383,391541,0 10,2,2024-09-07 08:15:50:770,32421,32421,0,0,2668323,0,2940 10,3,2024-09-07 08:15:50:873,1,25,1,0,118,228,25,0 11,0,2024-09-07 08:15:51:016,4812,6.2,4697,3.8,9791,8.0,13725,3.75 11,1,2024-09-07 08:15:50:576,43303,43303,0,0,21112839959,230010113,41166,1401,736,384,391485,0 11,2,2024-09-07 08:15:51:123,32841,32841,0,0,2196564,0,2635 11,3,2024-09-07 08:15:51:298,1,25,30,1,720,981,25,0 12,0,2024-09-07 08:15:50:948,5875,0.3,5921,0.5,11661,0.2,15057,1.75 12,1,2024-09-07 08:15:50:934,43563,43563,0,0,20491208875,219120454,42634,908,21,372,391611,0 12,2,2024-09-07 08:15:51:543,32438,32438,0,0,2333593,0,2254 12,3,2024-09-07 08:15:51:059,1,25,358,2,358,867,25,0 13,0,2024-09-07 08:15:51:341,4573,0.4,4612,0.6,9236,0.3,11818,1.75 13,1,2024-09-07 08:15:51:535,43889,43889,0,0,20638181215,220192610,43273,577,39,385,391563,0 13,2,2024-09-07 08:15:50:596,28564,28564,0,0,1341622,0,2239 13,3,2024-09-07 08:15:51:770,1,25,10,1,153,462,25,0 14,0,2024-09-07 08:15:50:579,8810,0.5,8803,0.7,17314,0.4,23450,1.75 14,1,2024-09-07 08:15:51:566,44089,44089,0,0,20452730849,215253436,43508,552,29,365,391546,0 14,2,2024-09-07 08:15:50:766,30093,30093,0,0,2143373,0,2077 14,3,2024-09-07 08:15:51:115,1,25,3,1,59,178,25,0 15,0,2024-09-07 08:15:51:566,7243,5.1,7188,2.8,14400,7.0,19666,4.25 15,1,2024-09-07 08:15:51:613,43696,43696,0,0,20775439774,219642243,43223,471,2,381,391536,0 15,2,2024-09-07 08:15:51:008,35019,35019,0,0,1625098,0,1416 15,3,2024-09-07 08:15:51:406,1,25,1,0,538,911,25,0 16,0,2024-09-07 08:15:50:942,6029,0.4,6088,0.7,12074,0.3,15827,2.25 16,1,2024-09-07 08:15:50:566,43750,43750,0,0,20494082997,218792929,42864,868,18,373,391543,0 16,2,2024-09-07 08:15:51:442,32591,32591,0,0,2569750,0,4719 16,3,2024-09-07 08:15:51:144,1,25,15,3,231,795,25,0 17,0,2024-09-07 08:15:51:772,4715,0.4,4764,0.8,9173,0.3,12293,2.25 17,1,2024-09-07 08:15:50:571,43329,43329,0,0,20415614575,221129040,41991,830,508,370,391671,0 17,2,2024-09-07 08:15:51:674,28066,28066,0,0,1582231,0,1865 17,3,2024-09-07 08:15:50:587,1,25,5,0,268,794,25,0 18,0,2024-09-07 08:15:50:950,5963,1.1,6145,1.1,12149,1.4,16350,2.75 18,1,2024-09-07 08:15:51:639,43273,43273,0,0,20198525099,216457972,42176,913,184,370,391526,0 18,2,2024-09-07 08:15:51:757,28937,28937,0,0,2584926,0,3541 18,3,2024-09-07 08:15:50:896,1,25,3,0,142,466,25,0 19,0,2024-09-07 08:15:51:553,9539,3.6,9743,2.2,19052,6.6,25533,6.00 19,1,2024-09-07 08:15:50:574,43386,43386,0,0,20558529279,221698526,41758,1466,162,367,391529,0 19,2,2024-09-07 08:15:51:753,34865,34865,0,0,2714781,0,3988 19,3,2024-09-07 08:15:51:130,1,25,7,0,29,84,25,0 20,0,2024-09-07 08:15:51:386,4930,0.2,5015,0.4,9667,0.2,13072,1.75 20,1,2024-09-07 08:15:50:575,43705,43705,0,0,20980447011,220771643,43272,431,2,370,391489,0 20,2,2024-09-07 08:15:50:934,32962,32962,0,0,1762037,0,1616 20,3,2024-09-07 08:15:50:589,1,25,8,1,99,455,25,0 21,0,2024-09-07 08:15:51:135,3842,0.2,3755,0.4,7489,0.2,9825,1.75 21,1,2024-09-07 08:15:51:554,43448,43448,0,0,20043069464,212543288,42687,727,34,368,391962,0 21,2,2024-09-07 08:15:51:074,29131,29131,0,0,1673267,0,3747 21,3,2024-09-07 08:15:51:417,1,25,21,1,84,343,25,0 22,0,2024-09-07 08:15:51:731,5248,0.3,5338,0.7,10522,0.2,14164,2.00 22,1,2024-09-07 08:15:51:026,43565,43565,0,0,20235958082,218069140,42197,1061,307,382,391667,0 22,2,2024-09-07 08:15:50:760,29172,29172,0,0,1362663,0,1805 22,3,2024-09-07 08:15:51:069,1,25,1,0,48,158,25,0 23,0,2024-09-07 08:15:51:389,9568,0.4,9804,0.5,19285,0.3,25909,1.75 23,1,2024-09-07 08:15:51:005,44314,44314,0,0,21067878584,219339853,44055,250,9,368,391482,0 23,2,2024-09-07 08:15:51:092,34549,34549,0,0,1655448,0,2078 23,3,2024-09-07 08:15:51:756,1,25,1,0,141,247,25,0 24,0,2024-09-07 08:15:50:850,4885,1.3,4932,1.5,9937,1.9,13301,1.75 24,1,2024-09-07 08:15:50:585,43956,43956,0,0,20683273068,219521799,43349,603,4,371,391640,0 24,2,2024-09-07 08:15:51:071,32022,32022,0,0,3075876,0,2942 24,3,2024-09-07 08:15:51:686,1,25,11,2,234,503,25,0 25,0,2024-09-07 08:15:51:432,4939,0.3,4881,0.5,9434,0.2,12560,1.50 25,1,2024-09-07 08:15:50:577,43703,43703,0,0,20427672614,216718368,43094,593,16,373,391544,0 25,2,2024-09-07 08:15:51:607,30984,30984,0,0,2282427,0,1963 25,3,2024-09-07 08:15:51:002,1,25,4,1,50,270,25,0 26,0,2024-09-07 08:15:51:736,4350,0.2,4286,0.4,8997,0.2,11793,1.50 26,1,2024-09-07 08:15:51:543,43346,43346,0,0,20606758342,226702797,41119,1529,698,382,391519,0 26,2,2024-09-07 08:15:50:861,28817,28817,0,0,2300160,0,2809 26,3,2024-09-07 08:15:51:717,1,25,1,1,796,927,25,0 27,0,2024-09-07 08:15:51:740,9203,0.4,9266,0.6,18667,0.4,25344,1.75 27,1,2024-09-07 08:15:51:688,44278,44278,0,0,21424255820,223028633,44114,163,1,381,391526,0 27,2,2024-09-07 08:15:50:868,30979,30979,0,0,3226363,0,3146 27,3,2024-09-07 08:15:51:017,1,25,7,1,86,291,25,0 28,0,2024-09-07 08:15:51:410,6197,2.2,6283,1.7,12574,3.7,16557,2.00 28,1,2024-09-07 08:15:50:798,43688,43688,0,0,21029700934,222155699,43196,483,9,383,391497,0 28,2,2024-09-07 08:15:51:764,33610,33610,0,0,1896112,0,2609 28,3,2024-09-07 08:15:51:785,1,25,17,3,502,779,25,0 29,0,2024-09-07 08:15:51:361,6136,0.3,6030,0.5,11855,0.2,15676,1.75 29,1,2024-09-07 08:15:51:566,44091,44091,0,0,20653882359,216805208,43482,437,172,371,391621,0 29,2,2024-09-07 08:15:50:872,33097,33097,0,0,1610411,0,2026 29,3,2024-09-07 08:15:50:968,1,25,1,1,105,366,25,0 30,0,2024-09-07 08:15:51:472,4844,0.3,4698,0.5,9754,0.2,12434,1.75 30,1,2024-09-07 08:15:50:582,43476,43476,0,0,21329001682,226542093,42828,586,62,382,391524,0 30,2,2024-09-07 08:15:51:277,28135,28135,0,0,1221036,0,1567 30,3,2024-09-07 08:15:50:594,1,25,5,0,110,186,25,0 31,0,2024-09-07 08:15:51:779,7684,0.6,7745,0.8,15538,0.5,20837,2.00 31,1,2024-09-07 08:15:50:565,43619,43619,0,0,20493274894,212818845,43504,115,0,356,391478,0 31,2,2024-09-07 08:15:51:276,29782,29782,0,0,2399367,0,3525 31,3,2024-09-07 08:15:51:709,1,25,3,0,40,109,25,0 32,0,2024-09-07 08:15:51:437,9032,3.0,9203,1.7,18417,4.1,24112,2.00 32,1,2024-09-07 08:15:50:805,43617,43617,0,0,20474907545,216989542,42967,639,11,383,391595,0 32,2,2024-09-07 08:15:50:935,35300,35300,0,0,2158653,0,3155 32,3,2024-09-07 08:15:51:016,1,25,7,1,37,260,25,0 33,0,2024-09-07 08:15:51:506,5692,0.3,5669,0.5,11464,0.2,14984,1.75 33,1,2024-09-07 08:15:50:576,43359,43359,0,0,20833264716,220979740,42366,897,96,369,391497,0 33,2,2024-09-07 08:15:50:759,32398,32365,33,0,3360915,0,7012 33,3,2024-09-07 08:15:50:895,1,25,1,1,27,120,25,0 34,0,2024-09-07 08:15:50:947,3744,0.2,3843,0.4,7422,0.1,10123,1.75 34,1,2024-09-07 08:15:51:051,43683,43683,0,0,20730703024,217215468,43360,320,3,369,391481,0 34,2,2024-09-07 08:15:50:767,27835,27835,0,0,2033833,0,3073 34,3,2024-09-07 08:15:51:692,1,25,2,0,58,212,25,0 35,0,2024-09-07 08:15:50:882,5602,2.3,5581,1.6,11188,2.9,15230,2.50 35,1,2024-09-07 08:15:51:068,43415,43415,0,0,20919452838,222908118,42075,979,361,385,391587,0 35,2,2024-09-07 08:15:51:586,29493,29493,0,0,1791515,0,2404 35,3,2024-09-07 08:15:50:919,1,25,1,1,219,507,25,0 36,0,2024-09-07 08:15:51:526,9842,1.0,9734,1.1,19883,1.1,26495,2.75 36,1,2024-09-07 08:15:50:592,42973,42973,0,0,20039294578,218294992,40770,1429,774,369,391535,0 36,2,2024-09-07 08:15:51:759,35050,35050,0,0,2152662,0,2554 36,3,2024-09-07 08:15:50:864,1,25,9,0,378,708,25,0 37,0,2024-09-07 08:15:51:386,4080,0.3,4050,0.5,8184,0.2,10964,1.75 37,1,2024-09-07 08:15:50:584,42899,42892,0,7,20379378573,226528013,40383,1002,1507,368,391507,0 37,2,2024-09-07 08:15:51:149,32883,32883,0,0,1637490,0,1704 37,3,2024-09-07 08:15:51:766,1,25,1,0,31,138,25,0 38,0,2024-09-07 08:15:51:473,4249,0.3,4144,0.6,8614,0.2,11135,2.00 38,1,2024-09-07 08:15:51:610,44267,44267,0,0,21365359623,224121587,43788,441,38,371,391512,0 38,2,2024-09-07 08:15:50:761,30281,30281,0,0,1808740,0,3245 38,3,2024-09-07 08:15:50:997,1,25,43,0,63,247,25,0 39,0,2024-09-07 08:15:51:769,5267,0.3,5173,0.6,10073,0.2,13618,1.75 39,1,2024-09-07 08:15:50:722,44019,44019,0,0,20180462963,213990508,42945,656,418,366,391486,0 39,2,2024-09-07 08:15:51:418,28880,28880,0,0,1393159,0,2381 39,3,2024-09-07 08:15:50:719,1,25,1,1,189,509,25,0 40,0,2024-09-07 08:15:51:503,9738,1.3,9917,2.7,19802,2.2,26826,3.25 40,1,2024-09-07 08:15:50:584,43822,43822,0,0,20113167677,217901110,41957,1338,527,371,391543,0 40,2,2024-09-07 08:15:51:307,32484,32483,1,0,2880219,0,5137 40,3,2024-09-07 08:15:51:145,1,25,8,2,63,304,25,0 41,0,2024-09-07 08:15:51:041,4424,7.6,4614,10.0,8882,9.7,12563,7.50 41,1,2024-09-07 08:15:50:768,43617,43617,0,0,21086271214,225238358,42368,1057,192,370,391483,0 41,2,2024-09-07 08:15:50:759,32699,32699,0,0,2999067,0,3325 41,3,2024-09-07 08:15:51:676,1,25,1,1,19,67,25,0 42,0,2024-09-07 08:15:51:492,5768,0.5,5742,0.9,11535,0.5,15062,2.50 42,1,2024-09-07 08:15:51:446,43194,43194,0,0,20640293454,226372498,41077,1415,702,381,391511,0 42,2,2024-09-07 08:15:51:133,31992,31992,0,0,2558853,0,3568 42,3,2024-09-07 08:15:51:009,1,25,1,0,100,340,25,0 43,0,2024-09-07 08:15:50:940,4553,0.3,4528,0.6,9440,0.2,11846,1.75 43,1,2024-09-07 08:15:50:582,43398,43398,0,0,21016170544,224915423,41985,822,591,368,391507,0 43,2,2024-09-07 08:15:51:737,28265,28265,0,0,2061243,0,3812 43,3,2024-09-07 08:15:51:749,1,25,1,1,292,765,25,0 44,0,2024-09-07 08:15:50:866,8710,0.5,8656,0.8,17245,0.4,23621,2.00 44,1,2024-09-07 08:15:50:567,43875,43875,0,0,20282726493,212869476,43445,421,9,358,391493,0 44,2,2024-09-07 08:15:51:269,30096,30096,0,0,1560954,0,1592 44,3,2024-09-07 08:15:51:093,1,25,12,1,817,1087,25,0 45,0,2024-09-07 08:15:51:770,7232,5.1,7041,2.8,14704,6.6,19890,2.50 45,1,2024-09-07 08:15:51:010,43803,43803,0,0,20954659926,220455024,43555,248,0,382,391548,0 45,2,2024-09-07 08:15:51:273,35030,35030,0,0,2301807,0,2038 45,3,2024-09-07 08:15:50:934,1,25,2,1,226,470,25,0 46,0,2024-09-07 08:15:50:957,6113,0.3,6102,0.6,12196,0.2,15888,1.75 46,1,2024-09-07 08:15:50:582,43900,43900,0,0,20624524594,215678909,43474,400,26,370,391514,0 46,2,2024-09-07 08:15:50:600,33068,33068,0,0,1916724,0,2835 46,3,2024-09-07 08:15:51:144,1,25,1,0,200,508,25,0 47,0,2024-09-07 08:15:51:113,4713,0.3,4746,0.5,9281,0.2,12316,1.50 47,1,2024-09-07 08:15:50:568,44062,44062,0,0,20291930425,211741339,43856,205,1,368,391481,0 47,2,2024-09-07 08:15:50:921,28197,28197,0,0,1870039,0,2558 47,3,2024-09-07 08:15:51:114,1,25,7,1,529,646,25,0 48,0,2024-09-07 08:15:51:510,6108,0.6,6048,0.7,12160,0.6,16533,2.00 48,1,2024-09-07 08:15:51:038,43336,43336,0,0,20683426804,220943599,42290,1041,5,386,391516,0 48,2,2024-09-07 08:15:50:708,29477,29477,0,0,1647230,0,2083 48,3,2024-09-07 08:15:50:753,1,25,8,1,23,251,25,0 49,0,2024-09-07 08:15:51:726,10117,3.5,10021,1.9,19228,5.4,26887,2.75 49,1,2024-09-07 08:15:51:021,43331,43331,0,0,20948090649,224332587,42146,734,451,382,391583,0 49,2,2024-09-07 08:15:51:799,35134,35134,0,0,2287305,0,2196 49,3,2024-09-07 08:15:51:417,1,25,1,0,274,572,25,0 50,0,2024-09-07 08:15:51:516,4925,0.3,4875,0.6,9604,0.2,13133,1.75 50,1,2024-09-07 08:15:51:011,44060,44060,0,0,21353857951,225091551,43210,655,195,370,391530,0 50,2,2024-09-07 08:15:51:069,33143,33143,0,0,1649157,0,2034 50,3,2024-09-07 08:15:51:291,1,25,22,1,335,519,25,0 51,0,2024-09-07 08:15:51:706,3858,0.2,3755,0.4,7467,0.1,9921,1.50 51,1,2024-09-07 08:15:51:685,43405,43405,0,0,21694276884,232252003,41823,800,782,367,391504,0 51,2,2024-09-07 08:15:51:316,28764,28764,0,0,1244215,0,1936 51,3,2024-09-07 08:15:51:028,1,25,6,0,162,305,25,0 52,0,2024-09-07 08:15:51:422,5301,0.5,5221,0.9,10458,0.6,13818,2.50 52,1,2024-09-07 08:15:50:575,43347,43347,0,0,20367419126,218171835,42166,985,196,371,391498,0 52,2,2024-09-07 08:15:51:769,29209,29209,0,0,1833012,0,4779 52,3,2024-09-07 08:15:50:676,1,25,8,4,21,290,25,0 53,0,2024-09-07 08:15:51:760,9693,1.2,9302,1.1,19367,1.4,25534,2.25 53,1,2024-09-07 08:15:50:780,43861,43861,0,0,21137837410,226693353,42334,1101,426,372,391523,0 53,2,2024-09-07 08:15:51:298,34362,34362,0,0,1529497,0,1520 53,3,2024-09-07 08:15:50:697,1,25,6,1,28,110,25,0 54,0,2024-09-07 08:15:51:622,4004,10.0,3996,10.0,8109,5.8,11961,6.00 54,1,2024-09-07 08:15:50:585,43851,43851,0,0,21003698874,220306009,43121,696,34,367,391520,0 54,2,2024-09-07 08:15:50:878,32639,32633,6,0,2281588,0,5382 54,3,2024-09-07 08:15:50:763,1,25,1,0,98,202,25,0 55,0,2024-09-07 08:15:51:770,4704,0.7,4853,0.9,9823,0.5,12502,2.50 55,1,2024-09-07 08:15:50:775,43204,43204,0,0,20804784856,222547898,41375,1263,566,369,391731,0 55,2,2024-09-07 08:15:50:734,31266,31266,0,0,1833311,0,2129 55,3,2024-09-07 08:15:50:677,1,25,5,0,44,137,25,0 56,0,2024-09-07 08:15:51:585,4454,1.7,4218,1.4,8650,2.2,11589,4.00 56,1,2024-09-07 08:15:50:577,43529,43529,0,0,21361248305,233093231,41416,1531,582,384,391536,0 56,2,2024-09-07 08:15:51:308,28702,28702,0,0,2236651,0,3567 56,3,2024-09-07 08:15:51:063,1,25,18,2,297,543,25,0 57,0,2024-09-07 08:15:50:953,8905,3.7,8734,2.3,17780,5.9,24347,4.25 57,1,2024-09-07 08:15:50:989,44082,44082,0,0,20172281413,215164760,43261,807,14,369,391505,0 57,2,2024-09-07 08:15:51:330,31437,31437,0,0,2357710,0,2687 57,3,2024-09-07 08:15:51:740,1,25,3,1,359,832,25,0 58,0,2024-09-07 08:15:50:589,5435,4.4,5278,2.7,11019,5.0,14651,7.00 58,1,2024-09-07 08:15:50:580,43897,43896,0,1,21383193133,227888675,42634,1105,157,371,391493,1 58,2,2024-09-07 08:15:51:076,33962,33962,0,0,2236255,0,2464 58,3,2024-09-07 08:15:51:072,1,25,28,1,219,411,25,0 59,0,2024-09-07 08:15:51:747,6004,1.3,6051,1.2,11992,1.6,15407,3.00 59,1,2024-09-07 08:15:50:806,43783,43783,0,0,20814988022,219789386,43228,552,3,371,391515,0 59,2,2024-09-07 08:15:50:593,32667,32667,0,0,1871822,0,1708 59,3,2024-09-07 08:15:51:742,1,25,1,0,25,149,25,0 60,0,2024-09-07 08:15:51:815,4847,0.4,4868,0.7,9591,0.2,12457,1.75 60,1,2024-09-07 08:15:50:782,44248,44248,0,0,21116697160,224186530,43361,543,344,370,391672,0 60,2,2024-09-07 08:15:51:154,28345,28345,0,0,1492955,0,2142 60,3,2024-09-07 08:15:51:275,1,25,11,0,124,409,25,0 61,0,2024-09-07 08:15:51:575,7460,3.0,7479,2.0,14892,3.9,20352,2.50 61,1,2024-09-07 08:15:50:783,43079,43079,0,0,20427606345,221274736,41422,1200,457,383,391560,0 61,2,2024-09-07 08:15:51:121,29888,29888,0,0,2042966,0,1846 61,3,2024-09-07 08:15:51:699,1,25,6,1,124,351,25,0 62,0,2024-09-07 08:15:51:718,9032,3.4,9296,1.8,18046,5.0,24124,3.25 62,1,2024-09-07 08:15:51:113,43884,43882,0,2,20746887098,217568273,43404,476,2,368,391586,2 62,2,2024-09-07 08:15:51:659,34783,34783,0,0,2491885,0,2931 62,3,2024-09-07 08:15:51:150,1,25,1,1,287,439,25,0 63,0,2024-09-07 08:15:51:459,5668,0.8,5672,1.0,11261,0.9,15113,2.25 63,1,2024-09-07 08:15:50:805,44169,44167,0,2,21034952062,219496951,44015,151,1,382,391540,2 63,2,2024-09-07 08:15:50:763,33279,33279,0,0,2206473,0,2674 63,3,2024-09-07 08:15:51:732,1,25,4,0,216,619,25,0 64,0,2024-09-07 08:15:51:574,3685,0.3,3743,0.5,7591,0.2,10067,1.75 64,1,2024-09-07 08:15:50:774,43140,43140,0,0,20989792004,229965444,40955,1406,779,371,391604,0 64,2,2024-09-07 08:15:51:173,28299,28280,19,0,2023153,0,6121 64,3,2024-09-07 08:15:51:161,1,25,10,1,163,415,25,0 65,0,2024-09-07 08:15:51:704,5414,4.9,5424,2.9,10754,6.7,14912,5.25 65,1,2024-09-07 08:15:50:862,43808,43808,0,0,20759077848,221334340,42800,975,33,382,391506,0 65,2,2024-09-07 08:15:51:696,28986,28986,0,0,2426992,0,3367 65,3,2024-09-07 08:15:51:688,1,25,1,1,122,376,25,0 66,0,2024-09-07 08:15:51:774,9659,0.5,9757,0.7,19601,0.4,26042,2.00 66,1,2024-09-07 08:15:51:295,44292,44292,0,0,21103451661,222300525,43661,612,19,381,391537,0 66,2,2024-09-07 08:15:51:133,34893,34893,0,0,2111937,0,2934 66,3,2024-09-07 08:15:51:080,1,25,2,1,88,196,25,0 67,0,2024-09-07 08:15:51:427,4100,0.8,4015,1.0,8065,0.9,10928,2.50 67,1,2024-09-07 08:15:50:767,43493,43492,0,1,20912118820,225610293,42021,974,497,383,391567,1 67,2,2024-09-07 08:15:50:593,32782,32782,0,0,1832039,0,2104 67,3,2024-09-07 08:15:51:750,1,25,2,1,93,244,25,0 68,0,2024-09-07 08:15:50:604,4186,0.3,4208,0.7,8597,0.2,11046,2.00 68,1,2024-09-07 08:15:50:574,43444,43444,0,0,20376376769,218085993,42494,504,446,383,391591,0 68,2,2024-09-07 08:15:51:045,30250,30250,0,0,1859784,0,4883 68,3,2024-09-07 08:15:50:733,1,25,9,1,63,316,25,0 69,0,2024-09-07 08:15:51:750,5159,0.6,5057,0.9,10025,0.6,13571,2.25 69,1,2024-09-07 08:15:51:028,42855,42855,0,0,20800753783,227027006,41410,583,862,386,391530,0 69,2,2024-09-07 08:15:51:738,29042,29042,0,0,1405161,0,2462 69,3,2024-09-07 08:15:50:760,1,25,2,0,238,401,25,0 70,0,2024-09-07 08:15:51:537,9939,3.3,9928,3.7,20079,1.2,26560,3.25 70,1,2024-09-07 08:15:50:801,44029,44029,0,0,21022132636,219471245,43692,320,17,369,391527,0 70,2,2024-09-07 08:15:51:325,32821,32821,0,0,1754489,0,2433 70,3,2024-09-07 08:15:50:745,1,25,10,1,69,141,25,0 71,0,2024-09-07 08:15:51:379,4505,7.9,4586,8.9,8861,10.0,12845,6.75 71,1,2024-09-07 08:15:51:595,43588,43588,0,0,20657343632,218498868,42625,815,148,368,391682,0 71,2,2024-09-07 08:15:51:067,33042,33042,0,0,1823228,0,2146 71,3,2024-09-07 08:15:51:749,1,25,4,1,174,373,25,0 72,0,2024-09-07 08:15:51:038,5976,0.5,5781,0.7,11510,0.3,15109,3.00 72,1,2024-09-07 08:15:51:023,43665,43665,0,0,20327586002,217434420,42432,1185,48,370,391514,0 72,2,2024-09-07 08:15:51:773,31460,31460,0,0,2857510,0,2570 72,3,2024-09-07 08:15:51:757,1,25,11,1,325,584,25,0 73,0,2024-09-07 08:15:51:122,4503,0.4,4586,0.6,9281,0.2,11803,2.25 73,1,2024-09-07 08:15:50:783,43756,43756,0,0,20436162531,215296431,43467,288,1,370,391497,0 73,2,2024-09-07 08:15:51:748,28160,28160,0,0,2231920,0,3482 73,3,2024-09-07 08:15:50:969,1,25,201,0,274,857,25,0 74,0,2024-09-07 08:15:51:339,8704,0.8,8902,1.0,17161,1.3,23640,2.75 74,1,2024-09-07 08:15:50:655,43542,43542,0,0,20584587329,216921203,43046,494,2,384,391494,0 74,2,2024-09-07 08:15:51:004,30333,30333,0,0,2960832,0,4253 74,3,2024-09-07 08:15:51:448,1,25,1,0,192,773,25,0 75,0,2024-09-07 08:15:51:783,7448,4.9,7394,2.6,14609,6.1,19988,3.75 75,1,2024-09-07 08:15:51:588,44157,44157,0,0,21064813792,222743937,43537,569,51,382,391514,0 75,2,2024-09-07 08:15:51:359,34617,34617,0,0,3104954,0,4766 75,3,2024-09-07 08:15:51:067,1,25,71,0,71,171,25,0 76,0,2024-09-07 08:15:50:599,6095,0.4,6099,0.7,12093,0.3,15717,2.50 76,1,2024-09-07 08:15:50:807,43435,43435,0,0,20247199140,218395921,42083,913,439,382,391530,0 76,2,2024-09-07 08:15:51:066,33552,33552,0,0,1894114,0,3064 76,3,2024-09-07 08:15:51:150,1,25,175,0,175,715,25,0 77,0,2024-09-07 08:15:51:741,4648,0.4,4654,0.8,9263,0.3,12323,2.25 77,1,2024-09-07 08:15:50:837,43762,43762,0,0,20982973124,222575351,43026,669,67,383,391512,0 77,2,2024-09-07 08:15:51:289,27885,27885,0,0,1312097,0,1637 77,3,2024-09-07 08:15:51:107,1,25,2,0,131,245,25,0 78,0,2024-09-07 08:15:51:732,6011,4.1,5861,2.5,11937,5.2,16184,3.25 78,1,2024-09-07 08:15:50:615,44026,44026,0,0,19881247873,210721985,43420,569,37,369,391540,0 78,2,2024-09-07 08:15:51:407,29681,29681,0,0,1606574,0,2114 78,3,2024-09-07 08:15:51:135,1,25,1,0,112,410,25,0 79,0,2024-09-07 08:15:51:389,9495,2.9,9780,1.7,19827,4.7,25942,4.75 79,1,2024-09-07 08:15:50:578,43808,43808,0,0,20340485121,215670014,43066,735,7,372,391486,0 79,2,2024-09-07 08:15:51:075,34920,34920,0,0,2008711,0,2679 79,3,2024-09-07 08:15:50:753,1,25,58,0,289,596,25,0 80,0,2024-09-07 08:15:51:116,4799,0.5,4948,0.8,9657,0.4,12917,2.25 80,1,2024-09-07 08:15:51:626,43343,43343,0,0,20547177006,219168990,42099,1129,115,372,391673,0 80,2,2024-09-07 08:15:51:106,32546,32546,0,0,2355537,0,4433 80,3,2024-09-07 08:15:50:574,1,25,8,1,148,631,25,0 81,0,2024-09-07 08:15:51:630,3780,0.3,3889,0.5,7411,0.2,9850,1.75 81,1,2024-09-07 08:15:51:684,43573,43573,0,0,20740121711,221955140,42365,1132,76,383,391646,0 81,2,2024-09-07 08:15:51:129,28471,28471,0,0,1865202,0,2811 81,3,2024-09-07 08:15:51:117,1,25,25,1,193,520,25,0 82,0,2024-09-07 08:15:51:548,5203,0.4,5117,0.7,10260,0.3,13579,2.00 82,1,2024-09-07 08:15:50:588,43208,43207,0,1,20754379591,223602011,41552,1125,530,384,391558,1 82,2,2024-09-07 08:15:51:694,29490,29490,0,0,1437508,0,1286 82,3,2024-09-07 08:15:51:756,1,25,2,1,65,304,25,0 83,0,2024-09-07 08:15:51:552,9782,1.3,9663,1.1,19227,1.5,25776,2.75 83,1,2024-09-07 08:15:50:572,43557,43557,0,0,20434301085,218617198,42474,983,100,384,391553,0 83,2,2024-09-07 08:15:50:765,33676,33676,0,0,2468808,0,3119 83,3,2024-09-07 08:15:50:752,1,25,1,1,91,254,25,0 84,0,2024-09-07 08:15:51:830,4355,10.0,4240,10.0,8612,4.5,12770,5.50 84,1,2024-09-07 08:15:51:041,43975,43975,0,0,20964365821,223463921,42881,855,239,370,391630,0 84,2,2024-09-07 08:15:50:578,32428,32428,0,0,2095676,0,3801 84,3,2024-09-07 08:15:51:143,1,25,1,1,19,226,25,0 85,0,2024-09-07 08:15:51:011,4689,0.4,4710,0.6,9927,0.3,12521,2.00 85,1,2024-09-07 08:15:50:569,43184,43184,0,0,20683833739,222355021,41734,1158,292,384,391505,0 85,2,2024-09-07 08:15:50:870,31166,31166,0,0,2042575,0,3656 85,3,2024-09-07 08:15:50:687,1,25,3,1,90,264,25,0 86,0,2024-09-07 08:15:50:918,4307,0.2,4504,0.4,8746,0.2,11753,1.75 86,1,2024-09-07 08:15:50:834,43330,43330,0,0,20934920662,220748707,42527,774,29,367,391506,0 86,2,2024-09-07 08:15:50:860,28729,28728,1,0,2080412,0,5004 86,3,2024-09-07 08:15:50:590,1,25,10,1,78,258,25,0 87,0,2024-09-07 08:15:51:299,9121,2.6,9078,1.6,18434,4.0,24877,2.00 87,1,2024-09-07 08:15:50:572,43768,43768,0,0,20645170832,218438368,42990,730,48,369,391564,0 87,2,2024-09-07 08:15:51:070,31495,31495,0,0,1495221,0,2148 87,3,2024-09-07 08:15:51:798,1,25,6,1,322,784,25,0 88,0,2024-09-07 08:15:51:493,6223,2.7,6233,1.8,12413,3.9,16519,2.50 88,1,2024-09-07 08:15:50:612,43693,43693,0,0,20822957921,222186384,42715,886,92,368,391747,0 88,2,2024-09-07 08:15:50:689,33956,33956,0,0,2482229,0,3583 88,3,2024-09-07 08:15:51:269,1,25,8,1,77,372,25,0 89,0,2024-09-07 08:15:51:829,6208,0.4,5976,0.6,11928,0.4,15718,1.75 89,1,2024-09-07 08:15:50:564,43232,43232,0,0,21363757029,230479000,41848,775,609,384,391763,0 89,2,2024-09-07 08:15:51:133,32644,32644,0,0,2282036,0,2726 89,3,2024-09-07 08:15:51:791,1,25,325,2,325,897,25,0 90,0,2024-09-07 08:15:51:639,4738,0.2,4815,0.4,9845,0.2,12443,1.50 90,1,2024-09-07 08:15:50:595,43623,43623,0,0,20397388929,220397169,42116,1382,125,382,391522,0 90,2,2024-09-07 08:15:51:423,27855,27855,0,0,2164191,0,2635 90,3,2024-09-07 08:15:50:934,1,25,1,1,19,127,25,0 91,0,2024-09-07 08:15:50:941,7778,0.5,7526,0.7,15476,0.6,20741,1.75 91,1,2024-09-07 08:15:50:571,43666,43666,0,0,21415640952,228969350,42483,1016,167,384,391914,0 91,2,2024-09-07 08:15:51:344,29411,29411,0,0,1594442,0,1997 91,3,2024-09-07 08:15:50:602,1,25,1,1,155,373,25,0 92,0,2024-09-07 08:15:51:486,9154,2.7,9446,1.6,18054,4.2,24284,2.00 92,1,2024-09-07 08:15:50:602,43833,43833,0,0,20523379448,216386313,43452,334,47,383,391569,0 92,2,2024-09-07 08:15:51:361,35932,35932,0,0,2277778,0,2279 92,3,2024-09-07 08:15:51:019,1,25,11,1,68,335,25,0 93,0,2024-09-07 08:15:50:974,5683,0.6,5729,0.7,10915,0.4,14989,2.00 93,1,2024-09-07 08:15:50:808,43379,43379,0,0,20533059923,221631341,41986,962,431,368,391689,0 93,2,2024-09-07 08:15:50:936,33170,33170,0,0,1880644,0,2047 93,3,2024-09-07 08:15:51:417,1,25,6,1,143,317,25,0 94,0,2024-09-07 08:15:51:654,3877,0.3,3797,0.5,7501,0.2,10235,1.50 94,1,2024-09-07 08:15:50:564,43397,43397,0,0,20495251644,219476103,42418,922,57,382,391850,0 94,2,2024-09-07 08:15:50:762,27963,27963,0,0,1806806,0,2443 94,3,2024-09-07 08:15:51:692,1,25,2,1,231,615,25,0 95,0,2024-09-07 08:15:51:400,5705,0.8,5584,0.9,11537,0.9,15267,2.00 95,1,2024-09-07 08:15:50:865,43650,43650,0,0,20294640487,213918034,43327,319,4,368,391590,0 95,2,2024-09-07 08:15:51:020,29310,29310,0,0,1797903,0,3308 95,3,2024-09-07 08:15:51:709,1,25,0,0,135,311,25,0 96,0,2024-09-07 08:15:51:068,9963,0.5,9847,0.6,19719,0.4,26058,1.75 96,1,2024-09-07 08:15:51:589,43227,43227,0,0,20780963369,224982334,41878,786,563,385,391551,0 96,2,2024-09-07 08:15:51:271,34554,34554,0,0,2059828,0,2798 96,3,2024-09-07 08:15:51:141,1,25,8,7,31,341,25,0 97,0,2024-09-07 08:15:51:332,4092,0.9,4113,0.9,8064,1.1,10916,2.50 97,1,2024-09-07 08:15:50:763,43352,43352,0,0,21280909744,226935134,42021,897,434,367,391547,0 97,2,2024-09-07 08:15:50:616,32374,32374,0,0,1854970,0,2998 97,3,2024-09-07 08:15:50:571,1,25,2,1,97,298,25,0 98,0,2024-09-07 08:15:52:073,4226,0.2,4172,0.4,8450,0.2,11166,1.50 98,1,2024-09-07 08:15:50:576,43109,43109,0,0,20834163911,219904084,42768,339,2,384,391588,0 98,2,2024-09-07 08:15:50:778,30005,30005,0,0,1976590,0,2410 98,3,2024-09-07 08:15:50:699,1,25,107,1,155,432,25,0 99,0,2024-09-07 08:15:51:479,5025,0.4,4959,0.6,10012,0.3,13541,2.00 99,1,2024-09-07 08:15:51:730,44444,44444,0,0,20412553073,215446982,43846,438,160,382,391602,0 99,2,2024-09-07 08:15:51:424,28942,28942,0,0,1998093,0,1732 99,3,2024-09-07 08:15:50:583,1,25,2,1,65,284,25,0 100,0,2024-09-07 08:15:51:493,9807,1.3,9795,4.1,19597,4.3,26582,2.50 100,1,2024-09-07 08:15:50:550,43387,43387,0,0,20379515364,223176414,41413,1651,323,382,391505,0 100,2,2024-09-07 08:15:51:824,32867,32856,11,0,2351676,0,5417 100,3,2024-09-07 08:15:51:733,1,25,326,1,443,1352,25,0 101,0,2024-09-07 08:15:51:981,4791,8.5,4635,6.0,9376,8.6,13748,4.50 101,1,2024-09-07 08:15:50:565,43387,43387,0,0,20969254375,224686671,42258,705,424,370,391531,0 101,2,2024-09-07 08:15:51:794,33252,33252,0,0,2306664,0,4644 101,3,2024-09-07 08:15:50:943,1,25,9,1,448,601,25,0 102,0,2024-09-07 08:15:50:969,5679,0.8,5911,0.8,11736,0.5,15000,2.00 102,1,2024-09-07 08:15:51:153,44008,44008,0,0,21439777483,226315021,43439,559,10,369,391621,0 102,2,2024-09-07 08:15:51:742,32099,32099,0,0,1583412,0,1945 102,3,2024-09-07 08:15:51:619,1,25,1,0,410,625,25,0 103,0,2024-09-07 08:15:51:622,4703,0.3,4674,0.4,8881,0.2,11773,1.50 103,1,2024-09-07 08:15:51:630,43959,43959,0,0,20507890158,216043994,43547,364,48,381,391680,0 103,2,2024-09-07 08:15:50:586,28870,28870,0,0,1451711,0,1878 103,3,2024-09-07 08:15:50:755,1,25,7,1,486,773,25,0 104,0,2024-09-07 08:15:51:024,8780,1.5,8890,1.2,17051,1.9,23636,2.25 104,1,2024-09-07 08:15:51:685,43790,43790,0,0,20511853102,221874563,42292,1182,316,369,391948,0 104,2,2024-09-07 08:15:51:767,29814,29814,0,0,2167456,0,3941 104,3,2024-09-07 08:15:51:424,1,25,11,8,70,393,25,0 105,0,2024-09-07 08:15:51:037,7245,4.8,6981,2.8,14449,7.5,19709,4.75 105,1,2024-09-07 08:15:50:555,43264,43264,0,0,20343277004,220544577,41536,1161,567,367,391797,0 105,2,2024-09-07 08:15:51:329,34514,34514,0,0,2361848,0,3314 105,3,2024-09-07 08:15:51:306,1,25,9,1,169,595,25,0 106,0,2024-09-07 08:15:50:967,5904,0.4,5954,0.7,12121,0.3,15847,2.00 106,1,2024-09-07 08:15:51:769,43376,43376,0,0,20408461242,219687153,41978,1196,202,371,391767,0 106,2,2024-09-07 08:15:50:763,33096,33096,0,0,2278625,0,1818 106,3,2024-09-07 08:15:50:678,1,25,1,1,171,264,25,0 107,0,2024-09-07 08:15:51:160,4715,0.3,4665,0.5,9223,0.2,12274,1.75 107,1,2024-09-07 08:15:50:588,43604,43604,0,0,20394942591,218550297,42612,866,126,382,391848,0 107,2,2024-09-07 08:15:51:300,27770,27769,1,0,1762847,0,5024 107,3,2024-09-07 08:15:51:758,1,25,5,1,353,690,25,0 108,0,2024-09-07 08:15:51:791,6050,2.1,6132,1.4,11978,2.6,16501,2.25 108,1,2024-09-07 08:15:51:312,43469,43469,0,0,20822205962,221880142,42336,1029,104,372,391563,0 108,2,2024-09-07 08:15:51:781,29843,29843,0,0,2015784,0,2647 108,3,2024-09-07 08:15:51:337,1,25,9,1,60,362,25,0 109,0,2024-09-07 08:15:51:771,10038,1.9,10151,1.3,19647,3.1,26900,2.25 109,1,2024-09-07 08:15:50:599,43691,43691,0,0,21014825195,224588019,42936,703,52,385,391576,0 109,2,2024-09-07 08:15:50:923,34083,34083,0,0,2637735,0,3617 109,3,2024-09-07 08:15:51:150,1,25,10,1,120,525,25,0 110,0,2024-09-07 08:15:51:787,4921,0.3,4810,0.6,9966,0.3,13079,1.75 110,1,2024-09-07 08:15:51:656,43807,43807,0,0,20626228057,218901832,42860,664,283,371,391564,0 110,2,2024-09-07 08:15:51:310,33132,33132,0,0,2084557,0,2915 110,3,2024-09-07 08:15:50:692,1,25,0,0,183,334,25,0 111,0,2024-09-07 08:15:51:421,3727,0.2,3773,0.4,7505,0.1,9854,1.50 111,1,2024-09-07 08:15:51:001,43833,43833,0,0,21450467228,224359985,43471,350,12,383,391597,0 111,2,2024-09-07 08:15:51:117,29202,29202,0,0,1643344,0,2256 111,3,2024-09-07 08:15:50:916,1,25,1,1,106,512,25,0 112,0,2024-09-07 08:15:50:917,5234,0.4,5205,0.5,10597,0.2,14317,1.75 112,1,2024-09-07 08:15:50:831,43269,43269,0,0,21361656728,228115221,42137,803,329,382,391522,0 112,2,2024-09-07 08:15:51:153,28901,28900,1,0,2605889,0,5036 112,3,2024-09-07 08:15:50:592,1,25,9,1,282,504,25,0 113,0,2024-09-07 08:15:50:880,9648,0.4,9523,0.6,19437,0.4,26017,1.75 113,1,2024-09-07 08:15:51:687,43125,43125,0,0,20930585133,222320812,41879,996,250,368,391661,0 113,2,2024-09-07 08:15:51:306,33702,33702,0,0,1747539,0,2284 113,3,2024-09-07 08:15:50:684,1,25,9,1,166,513,25,0 114,0,2024-09-07 08:15:50:908,4706,6.8,4817,5.2,9435,3.6,13252,2.50 114,1,2024-09-07 08:15:50:721,42999,42999,0,0,21117087317,222922266,42145,694,160,381,391513,0 114,2,2024-09-07 08:15:50:892,32453,32453,0,0,2256359,0,3925 114,3,2024-09-07 08:15:51:278,1,25,1,1,159,515,25,0 115,0,2024-09-07 08:15:50:563,4836,0.2,4917,0.5,9719,0.1,12660,1.50 115,1,2024-09-07 08:15:50:574,43582,43582,0,0,21537270409,229947002,42229,1131,222,384,391502,0 115,2,2024-09-07 08:15:51:126,31753,31753,0,0,1679226,0,2072 115,3,2024-09-07 08:15:51:006,1,25,2,0,159,309,25,0 116,0,2024-09-07 08:15:51:781,4386,0.3,4371,0.5,8869,0.2,11887,1.75 116,1,2024-09-07 08:15:50:803,43216,43216,0,0,21190684597,229091002,42060,320,836,382,391573,0 116,2,2024-09-07 08:15:52:086,28951,28951,0,0,1413464,0,2154 116,3,2024-09-07 08:15:50:920,1,25,8,2,132,384,25,0 117,0,2024-09-07 08:15:50:986,9191,2.7,9193,1.6,18452,3.7,25117,2.25 117,1,2024-09-07 08:15:51:615,43858,43858,0,0,20305540992,216120847,42942,697,219,371,391601,0 117,2,2024-09-07 08:15:51:118,31532,31532,0,0,1943934,0,3700 117,3,2024-09-07 08:15:51:059,1,25,1,1,490,1181,25,0 118,0,2024-09-07 08:15:51:917,6018,2.4,6095,1.6,12669,3.4,16455,2.75 118,1,2024-09-07 08:15:50:586,43874,43874,0,0,20448689552,215352589,43455,418,1,368,391725,0 118,2,2024-09-07 08:15:51:588,34235,34235,0,0,1767472,0,1450 118,3,2024-09-07 08:15:51:765,1,25,229,1,229,530,25,0 119,0,2024-09-07 08:15:51:354,5812,0.3,5982,0.6,12153,0.2,15641,1.75 119,1,2024-09-07 08:15:50:556,43510,43510,0,0,20997114338,223223552,42510,867,133,371,391576,0 119,2,2024-09-07 08:15:51:273,32644,32644,0,0,1840056,0,2222 119,3,2024-09-07 08:15:51:327,1,25,6,1,443,932,25,0 120,0,2024-09-07 08:15:51:617,4872,0.3,4844,0.6,9679,0.2,12477,1.75 120,1,2024-09-07 08:15:50:871,43783,43783,0,0,20320791957,217119579,42949,825,9,369,391702,0 120,2,2024-09-07 08:15:50:771,27948,27947,1,0,2503111,0,5281 120,3,2024-09-07 08:15:51:293,1,25,8,2,241,667,25,0 121,0,2024-09-07 08:15:51:784,7460,3.6,7466,2.1,15088,4.6,20791,2.75 121,1,2024-09-07 08:15:51:727,43489,43489,0,0,20449302638,220319788,42272,980,237,370,391633,0 121,2,2024-09-07 08:15:51:124,29646,29646,0,0,2834845,0,4127 121,3,2024-09-07 08:15:50:743,1,25,1,1,71,268,25,0 122,0,2024-09-07 08:15:51:776,8990,3.8,8707,3.4,18064,4.1,24402,3.00 122,1,2024-09-07 08:15:50:879,42953,42953,0,0,20791881709,227215825,41033,1551,369,369,392130,0 122,2,2024-09-07 08:15:51:321,35304,35304,0,0,3047248,0,2574 122,3,2024-09-07 08:15:50:594,1,25,2,1,226,1089,25,0 123,0,2024-09-07 08:15:50:994,5616,0.4,5455,0.7,11253,0.3,15009,2.00 123,1,2024-09-07 08:15:50:565,43357,43357,0,0,20670416239,226848545,40818,2085,454,371,391823,0 123,2,2024-09-07 08:15:51:023,32770,32769,1,0,2568810,0,5215 123,3,2024-09-07 08:15:51:143,1,25,2,1,160,592,25,0 124,0,2024-09-07 08:15:50:946,3893,0.3,3851,0.5,7424,0.2,10200,1.75 124,1,2024-09-07 08:15:51:028,43999,43999,0,0,20463707869,214742865,43827,170,2,370,392129,0 124,2,2024-09-07 08:15:51:019,27983,27983,0,0,1476848,0,2477 124,3,2024-09-07 08:15:50:759,1,25,12,2,490,1071,25,0 125,0,2024-09-07 08:15:51:469,5688,1.2,5659,1.1,11323,1.4,15257,2.00 125,1,2024-09-07 08:15:50:865,43511,43511,0,0,20177440476,216210441,42490,999,22,385,391702,0 125,2,2024-09-07 08:15:51:120,29457,29457,0,0,2000792,0,2180 125,3,2024-09-07 08:15:51:126,1,25,32,1,93,536,25,0 126,0,2024-09-07 08:15:51:494,9801,0.7,10127,0.9,19382,0.7,26740,2.00 126,1,2024-09-07 08:15:50:554,43894,43894,0,0,21395707942,225186176,43430,456,8,368,391719,0 126,2,2024-09-07 08:15:50:611,34682,34682,0,0,2388736,0,3186 126,3,2024-09-07 08:15:50:916,1,25,11,1,45,223,25,0 127,0,2024-09-07 08:15:51:637,4166,0.3,4057,0.5,8257,0.2,10955,1.75 127,1,2024-09-07 08:15:50:570,43536,43536,0,0,20235741446,213832715,42803,711,22,366,391614,0 127,2,2024-09-07 08:15:50:637,32829,32829,0,0,1948188,0,1744 127,3,2024-09-07 08:15:51:274,1,25,3,0,99,304,25,0 128,0,2024-09-07 08:15:51:557,4217,0.3,4267,0.5,8393,0.2,11091,1.75 128,1,2024-09-07 08:15:51:606,43824,43824,0,0,20807576397,219137111,43279,490,55,369,391605,0 128,2,2024-09-07 08:15:51:400,29682,29682,0,0,2039290,0,1919 128,3,2024-09-07 08:15:50:767,1,25,15,1,20,296,25,0 129,0,2024-09-07 08:15:51:030,5050,0.6,5119,0.7,10132,0.6,13536,2.00 129,1,2024-09-07 08:15:50:575,43671,43671,0,0,21043248031,225498931,42428,978,265,379,391835,0 129,2,2024-09-07 08:15:50:695,28807,28807,0,0,1661669,0,2289 129,3,2024-09-07 08:15:50:688,1,25,8,1,88,379,25,0 130,0,2024-09-07 08:15:51:772,10036,1.6,9979,1.3,19893,2.3,27203,2.50 130,1,2024-09-07 08:15:50:584,43772,43772,0,0,20730468463,220807161,42846,914,12,381,391516,0 130,2,2024-09-07 08:15:51:126,32859,32859,0,0,1865873,0,1539 130,3,2024-09-07 08:15:51:303,1,25,207,1,207,386,25,0 131,0,2024-09-07 08:15:51:935,5154,2.9,4976,2.2,10295,3.4,13758,2.75 131,1,2024-09-07 08:15:51:821,43629,43629,0,0,20864804074,221562396,42926,532,171,385,391727,0 131,2,2024-09-07 08:15:50:573,32737,32737,0,0,1828420,0,1766 131,3,2024-09-07 08:15:51:696,1,25,29,1,29,128,25,0 132,0,2024-09-07 08:15:51:468,5686,0.5,5824,0.9,11604,0.4,15079,2.00 132,1,2024-09-07 08:15:50:588,43377,43377,0,0,20327977700,218662730,41988,1126,263,383,391533,0 132,2,2024-09-07 08:15:50:713,31932,31932,0,0,3242204,0,4606 132,3,2024-09-07 08:15:51:688,1,25,8,3,356,638,25,0 133,0,2024-09-07 08:15:51:556,4497,0.3,4581,0.5,9351,0.2,11717,1.75 133,1,2024-09-07 08:15:50:601,43303,43303,0,0,20494303613,218139195,42393,906,4,385,391513,0 133,2,2024-09-07 08:15:51:089,28850,28850,0,0,1561052,0,1707 133,3,2024-09-07 08:15:51:297,1,25,3,1,26,109,25,0 134,0,2024-09-07 08:15:50:988,8687,0.4,8730,0.7,17376,0.4,23561,1.75 134,1,2024-09-07 08:15:50:589,43673,43673,0,0,20485491274,220450229,42166,760,747,366,391508,0 134,2,2024-09-07 08:15:51:759,30089,30089,0,0,1590885,0,2026 134,3,2024-09-07 08:15:50:754,1,25,1,1,63,361,25,0 135,0,2024-09-07 08:15:51:111,7317,3.4,7293,2.8,15408,3.4,19669,2.75 135,1,2024-09-07 08:15:51:590,43564,43564,0,0,21004629382,219932349,43191,357,16,383,391513,0 135,2,2024-09-07 08:15:50:693,34930,34930,0,0,1934933,0,3016 135,3,2024-09-07 08:15:51:006,1,25,38,1,68,263,25,0 136,0,2024-09-07 08:15:51:623,6068,0.5,6020,0.8,12101,0.4,15870,2.25 136,1,2024-09-07 08:15:51:447,43900,43900,0,0,20712882248,222766101,42568,1191,141,385,391501,0 136,2,2024-09-07 08:15:51:147,34035,34035,0,0,1745113,0,1692 136,3,2024-09-07 08:15:51:107,1,25,12,1,108,453,25,0 137,0,2024-09-07 08:15:50:939,4756,0.4,4677,0.7,9192,0.3,12266,2.00 137,1,2024-09-07 08:15:50:596,43640,43640,0,0,20823994303,222895430,42518,1035,87,368,391489,0 137,2,2024-09-07 08:15:51:710,27246,27246,0,0,2290919,0,2294 137,3,2024-09-07 08:15:50:770,1,25,2,1,227,477,25,0 138,0,2024-09-07 08:15:51:806,5968,1.1,6110,1.1,12184,1.2,16411,2.50 138,1,2024-09-07 08:15:51:698,43498,43498,0,0,20653280740,222270222,42064,1202,232,371,391573,0 138,2,2024-09-07 08:15:50:588,29753,29753,0,0,1883573,0,3263 138,3,2024-09-07 08:15:50:616,1,25,1,1,68,249,25,0 139,0,2024-09-07 08:15:51:374,9538,6.0,9439,3.4,19256,6.2,26369,4.50 139,1,2024-09-07 08:15:50:574,43116,43116,0,0,20746905385,230105057,40800,1606,710,383,391511,0 139,2,2024-09-07 08:15:50:695,35045,35045,0,0,2441215,0,2425 139,3,2024-09-07 08:15:51:662,1,25,1,1,120,596,25,0 140,0,2024-09-07 08:15:51:600,4897,0.5,4795,0.8,9712,0.4,13154,1.75 140,1,2024-09-07 08:15:51:538,43982,43982,0,0,20651467980,217488789,43370,422,190,366,391482,0 140,2,2024-09-07 08:15:50:687,32881,32881,0,0,2468595,0,3303 140,3,2024-09-07 08:15:50:774,1,25,9,1,25,240,25,0 141,0,2024-09-07 08:15:51:720,3651,0.2,3796,0.4,7381,0.1,9847,1.50 141,1,2024-09-07 08:15:50:865,43733,43733,0,0,21419736327,228742045,42384,1018,331,382,391532,0 141,2,2024-09-07 08:15:51:687,29079,29079,0,0,1750210,0,2342 141,3,2024-09-07 08:15:51:050,1,25,13,0,53,193,25,0 142,0,2024-09-07 08:15:51:386,5391,0.4,5256,0.7,10537,0.3,14336,2.00 142,1,2024-09-07 08:15:50:593,43817,43817,0,0,20913761278,220154081,43572,245,0,385,391576,0 142,2,2024-09-07 08:15:51:313,29068,29068,0,0,1941919,0,2293 142,3,2024-09-07 08:15:51:749,1,25,3,1,53,195,25,0 143,0,2024-09-07 08:15:51:402,9610,1.5,9569,1.2,19275,1.8,25774,2.25 143,1,2024-09-07 08:15:50:567,43554,43554,0,0,20686671603,218136406,42772,761,21,370,391608,0 143,2,2024-09-07 08:15:50:772,33842,33842,0,0,2173070,0,2572 143,3,2024-09-07 08:15:51:148,1,25,3,1,236,700,25,0 144,0,2024-09-07 08:15:51:544,4319,5.8,4498,10.0,8926,6.5,13060,3.00 144,1,2024-09-07 08:15:50:572,43543,43543,0,0,20312311801,218448418,42428,932,183,383,391562,0 144,2,2024-09-07 08:15:51:759,32461,32461,0,0,2072968,0,2653 144,3,2024-09-07 08:15:51:742,1,25,10,1,112,493,25,0 145,0,2024-09-07 08:15:51:400,4673,0.4,4686,0.7,9769,0.3,12532,2.00 145,1,2024-09-07 08:15:50:554,42979,42979,0,0,20111011270,211725747,42701,278,0,383,391615,0 145,2,2024-09-07 08:15:51:459,31198,31198,0,0,2493300,0,3903 145,3,2024-09-07 08:15:50:905,1,25,5,1,151,583,25,0 146,0,2024-09-07 08:15:51:619,4386,0.3,4407,0.5,8790,0.2,11719,1.75 146,1,2024-09-07 08:15:51:585,43683,43683,0,0,20893452803,225638845,41864,1521,298,370,391512,0 146,2,2024-09-07 08:15:51:719,28624,28624,0,0,1730832,0,2149 146,3,2024-09-07 08:15:51:280,1,25,29,0,54,254,25,0 147,0,2024-09-07 08:15:51:743,9188,2.8,9033,1.8,18032,3.3,25171,2.50 147,1,2024-09-07 08:15:51:375,43872,43872,0,0,20805837596,219843978,43150,595,127,369,391791,0 147,2,2024-09-07 08:15:51:019,31793,31793,0,0,2143812,0,2789 147,3,2024-09-07 08:15:51:014,1,25,13,1,141,482,25,0 0,0,2024-09-07 08:16:01:720,4705,0.5,4699,0.8,9842,0.4,12422,2.25 0,1,2024-09-07 08:16:00:802,45114,45114,0,0,21798615029,232945378,44266,740,108,373,391673,0 0,2,2024-09-07 08:16:01:072,29425,29425,0,0,1606072,0,2095 0,3,2024-09-07 08:16:00:982,1,26,13,2,247,591,26,0 1,0,2024-09-07 08:16:01:874,7810,3.6,7711,2.2,15677,5.4,21490,3.75 1,1,2024-09-07 08:16:00:558,44641,44641,0,0,20724369373,223722805,43187,933,521,372,391857,0 1,2,2024-09-07 08:16:00:652,30644,30644,0,0,2084829,0,3267 1,3,2024-09-07 08:16:01:304,1,26,9,1,167,385,26,0 2,0,2024-09-07 08:16:01:583,8900,4.1,9036,2.3,17824,6.2,23757,4.50 2,1,2024-09-07 08:16:00:861,45076,45076,0,0,21579105040,228770342,44418,569,89,382,391527,0 2,2,2024-09-07 08:16:01:265,36010,36010,0,0,2494877,0,3304 2,3,2024-09-07 08:16:00:696,1,26,9,1,87,354,26,0 3,0,2024-09-07 08:16:01:752,5949,0.5,5851,0.8,11804,0.4,15651,2.25 3,1,2024-09-07 08:16:01:620,44918,44918,0,0,21491191842,232573413,43040,1501,377,383,391494,0 3,2,2024-09-07 08:16:01:173,34289,34266,23,0,2991352,0,5851 3,3,2024-09-07 08:16:01:753,1,26,5,1,103,212,26,0 4,0,2024-09-07 08:16:01:789,4005,0.3,4165,0.5,8291,0.2,11101,1.75 4,1,2024-09-07 08:16:00:597,45408,45408,0,0,21497139909,230405636,44260,805,343,372,391682,0 4,2,2024-09-07 08:16:01:018,28922,28922,0,0,2129266,0,4528 4,3,2024-09-07 08:16:01:045,1,26,15,8,287,669,26,0 5,0,2024-09-07 08:16:01:388,6014,1.2,5939,1.1,11907,1.9,15939,2.25 5,1,2024-09-07 08:16:00:765,45484,45484,0,0,21699310937,228367663,44879,513,92,368,392005,0 5,2,2024-09-07 08:16:01:830,30799,30799,0,0,1545977,0,1912 5,3,2024-09-07 08:16:01:732,1,26,1,1,182,623,26,0 6,0,2024-09-07 08:16:00:935,10359,0.8,10386,1.1,20413,0.9,27644,2.50 6,1,2024-09-07 08:16:00:750,45611,45611,0,0,21376661392,224010585,45235,371,5,382,391603,0 6,2,2024-09-07 08:16:01:125,36534,36534,0,0,2361309,0,2232 6,3,2024-09-07 08:16:01:282,1,26,1,1,277,572,26,0 7,0,2024-09-07 08:16:01:558,4281,0.3,4381,0.6,8748,0.2,11840,1.75 7,1,2024-09-07 08:16:00:855,44967,44967,0,0,21513660660,227695282,44234,669,64,384,391558,0 7,2,2024-09-07 08:16:00:771,33986,33986,0,0,1869096,0,2981 7,3,2024-09-07 08:16:00:853,1,26,78,0,78,304,26,0 8,0,2024-09-07 08:16:01:332,4540,0.3,4419,0.4,8698,0.2,11555,1.50 8,1,2024-09-07 08:16:01:019,45024,45024,0,0,21884755447,243025956,42136,1655,1233,368,391724,0 8,2,2024-09-07 08:16:00:797,30197,30197,0,0,2343222,0,2986 8,3,2024-09-07 08:16:00:585,1,26,21,1,229,626,26,0 9,0,2024-09-07 08:16:01:165,5302,0.3,5175,0.5,10779,0.2,14128,1.50 9,1,2024-09-07 08:16:00:554,45108,45108,0,0,22080461116,238368143,43408,1221,479,370,391553,0 9,2,2024-09-07 08:16:01:087,30179,30179,0,0,2135768,0,3360 9,3,2024-09-07 08:16:01:752,1,26,5,0,50,286,26,0 10,0,2024-09-07 08:16:01:612,10512,0.5,10425,0.6,21036,0.4,28068,1.75 10,1,2024-09-07 08:16:00:584,45125,45125,0,0,21592212022,234844417,42908,1837,380,383,391541,0 10,2,2024-09-07 08:16:00:765,34010,34010,0,0,2707672,0,2940 10,3,2024-09-07 08:16:00:874,1,26,2,0,118,230,26,0 11,0,2024-09-07 08:16:01:017,4963,6.1,4854,3.7,10137,8.0,14197,3.75 11,1,2024-09-07 08:16:00:577,45157,45157,0,0,21684088954,236017581,43020,1401,736,384,391485,0 11,2,2024-09-07 08:16:01:136,33866,33866,0,0,2245841,0,2635 11,3,2024-09-07 08:16:01:298,1,26,1,1,720,982,26,0 12,0,2024-09-07 08:16:01:053,5986,0.3,6018,0.5,11868,0.2,15302,1.75 12,1,2024-09-07 08:16:00:945,45250,45250,0,0,21070361749,225483244,44282,945,23,372,391611,0 12,2,2024-09-07 08:16:01:548,33523,33523,0,0,2376188,0,2254 12,3,2024-09-07 08:16:01:070,1,26,10,2,358,877,26,0 13,0,2024-09-07 08:16:01:353,4679,0.4,4702,0.6,9433,0.3,12152,1.75 13,1,2024-09-07 08:16:01:542,45603,45603,0,0,21483778837,228994239,44987,577,39,385,391563,0 13,2,2024-09-07 08:16:00:607,29942,29942,0,0,1731321,0,3287 13,3,2024-09-07 08:16:01:764,1,26,1,1,153,463,26,0 14,0,2024-09-07 08:16:00:579,9277,0.5,9222,0.7,18286,0.5,24813,1.75 14,1,2024-09-07 08:16:01:565,45924,45924,0,0,21177206657,222699487,45343,552,29,365,391546,0 14,2,2024-09-07 08:16:00:768,31430,31430,0,0,2209035,0,2077 14,3,2024-09-07 08:16:01:128,1,26,45,1,59,223,26,0 15,0,2024-09-07 08:16:01:572,7362,5.0,7281,2.8,14621,6.9,19964,4.25 15,1,2024-09-07 08:16:01:609,45370,45370,0,0,21530912834,227553557,44897,471,2,381,391536,0 15,2,2024-09-07 08:16:01:002,36021,36021,0,0,1892415,0,3043 15,3,2024-09-07 08:16:01:405,1,26,1,0,538,912,26,0 16,0,2024-09-07 08:16:00:965,6138,0.4,6192,0.7,12340,0.3,16080,2.25 16,1,2024-09-07 08:16:00:566,45517,45517,0,0,21296650715,227473466,44627,872,18,373,391543,0 16,2,2024-09-07 08:16:01:441,33850,33850,0,0,2678022,0,4719 16,3,2024-09-07 08:16:01:153,1,26,8,3,231,803,26,0 17,0,2024-09-07 08:16:01:843,4919,0.4,4995,0.8,9596,0.3,12808,2.25 17,1,2024-09-07 08:16:00:569,45100,45100,0,0,21212914862,229410130,43762,830,508,369,391671,0 17,2,2024-09-07 08:16:01:670,29418,29418,0,0,1670909,0,1865 17,3,2024-09-07 08:16:00:574,1,26,55,0,268,849,26,0 18,0,2024-09-07 08:16:00:955,6357,1.2,6550,1.2,12969,1.5,17522,2.75 18,1,2024-09-07 08:16:01:639,45047,45047,0,0,20890964621,223914526,43948,915,184,370,391526,0 18,2,2024-09-07 08:16:01:755,30204,30204,0,0,2654570,0,3541 18,3,2024-09-07 08:16:00:900,1,26,1,0,142,467,26,0 19,0,2024-09-07 08:16:01:554,9770,3.9,9951,2.2,19490,7.0,25821,6.00 19,1,2024-09-07 08:16:00:568,45129,45129,0,0,21561667118,232265851,43501,1466,162,367,391529,0 19,2,2024-09-07 08:16:01:752,36265,36265,0,0,2825622,0,3988 19,3,2024-09-07 08:16:01:132,1,26,524,0,524,608,26,0 20,0,2024-09-07 08:16:01:396,5194,0.3,5337,0.5,10253,0.2,13459,1.75 20,1,2024-09-07 08:16:00:567,45387,45387,0,0,21696077735,228240999,44953,432,2,370,391489,0 20,2,2024-09-07 08:16:00:939,34388,34388,0,0,1943930,0,1616 20,3,2024-09-07 08:16:00:602,1,26,8,1,99,463,26,0 21,0,2024-09-07 08:16:01:185,4083,0.2,3958,0.4,7891,0.2,10165,1.75 21,1,2024-09-07 08:16:01:536,45312,45312,0,0,20979489279,222829101,44462,816,34,368,391962,0 21,2,2024-09-07 08:16:01:128,29861,29861,0,0,1720734,0,3747 21,3,2024-09-07 08:16:01:404,1,26,1,1,84,344,26,0 22,0,2024-09-07 08:16:01:729,5580,0.5,5721,1.0,11134,0.5,14829,2.25 22,1,2024-09-07 08:16:01:024,45273,45273,0,0,21288129368,230197860,43654,1241,378,382,391667,0 22,2,2024-09-07 08:16:00:762,30672,30672,0,0,1529374,0,1805 22,3,2024-09-07 08:16:01:070,1,26,1,0,48,159,26,0 23,0,2024-09-07 08:16:01:379,9856,0.4,10076,0.6,19916,0.3,26329,1.75 23,1,2024-09-07 08:16:01:005,46134,46134,0,0,21801471553,227298234,45844,281,9,368,391482,0 23,2,2024-09-07 08:16:01:093,35987,35987,0,0,1874368,0,2078 23,3,2024-09-07 08:16:01:754,1,26,645,0,645,892,26,0 24,0,2024-09-07 08:16:00:843,5217,1.3,5229,1.4,10552,1.9,14187,1.75 24,1,2024-09-07 08:16:00:581,45761,45761,0,0,21416964060,227073446,45154,603,4,371,391640,0 24,2,2024-09-07 08:16:01:077,33253,33253,0,0,3173549,0,2942 24,3,2024-09-07 08:16:01:699,1,26,15,2,234,518,26,0 25,0,2024-09-07 08:16:01:378,5135,0.3,5037,0.5,9748,0.2,13062,1.50 25,1,2024-09-07 08:16:00:561,45428,45428,0,0,21305630938,225918918,44814,598,16,373,391544,0 25,2,2024-09-07 08:16:01:616,31923,31923,0,0,2310195,0,1963 25,3,2024-09-07 08:16:01:011,1,26,4,1,50,274,26,0 26,0,2024-09-07 08:16:01:743,4626,0.2,4578,0.4,9608,0.2,12723,1.50 26,1,2024-09-07 08:16:01:547,45083,45083,0,0,21237033372,233223416,42856,1529,698,382,391519,0 26,2,2024-09-07 08:16:00:862,30421,30421,0,0,2370196,0,2809 26,3,2024-09-07 08:16:01:713,1,26,7,1,796,934,26,0 27,0,2024-09-07 08:16:01:738,9657,0.4,9716,0.6,19590,0.4,26516,1.75 27,1,2024-09-07 08:16:01:677,46072,46072,0,0,22152899410,231051140,45843,228,1,381,391526,0 27,2,2024-09-07 08:16:00:884,32191,32191,0,0,3516860,0,3409 27,3,2024-09-07 08:16:01:020,1,26,10,1,86,301,26,0 28,0,2024-09-07 08:16:01:449,6307,2.1,6393,1.7,12813,3.7,16878,2.00 28,1,2024-09-07 08:16:00:798,45517,45517,0,0,21983885731,232065351,45025,483,9,383,391497,0 28,2,2024-09-07 08:16:01:776,34733,34733,0,0,1954788,0,2609 28,3,2024-09-07 08:16:01:776,1,26,1,1,502,780,26,0 29,0,2024-09-07 08:16:01:362,6264,0.3,6131,0.5,12111,0.2,16026,1.75 29,1,2024-09-07 08:16:01:561,45889,45889,0,0,21516257889,225700814,45279,438,172,369,391621,0 29,2,2024-09-07 08:16:00:861,34340,34340,0,0,1663510,0,2026 29,3,2024-09-07 08:16:00:963,1,26,31,1,105,397,26,0 30,0,2024-09-07 08:16:01:471,4886,0.3,4744,0.5,9831,0.2,12434,1.75 30,1,2024-09-07 08:16:00:572,45210,45210,0,0,22207317859,235562724,44562,586,62,382,391524,0 30,2,2024-09-07 08:16:01:278,29418,29418,0,0,1270951,0,1567 30,3,2024-09-07 08:16:00:581,1,26,1,0,110,187,26,0 31,0,2024-09-07 08:16:01:766,8050,0.5,8095,0.7,16300,0.5,21743,2.00 31,1,2024-09-07 08:16:00:565,45332,45332,0,0,21402029934,222140390,45217,115,0,356,391478,0 31,2,2024-09-07 08:16:01:279,31053,31053,0,0,2451863,0,3525 31,3,2024-09-07 08:16:01:709,1,26,6,0,40,115,26,0 32,0,2024-09-07 08:16:01:422,9117,3.0,9280,1.7,18614,4.1,24357,2.00 32,1,2024-09-07 08:16:00:809,45366,45366,0,0,21185828663,224494806,44714,641,11,383,391595,0 32,2,2024-09-07 08:16:00:936,36809,36809,0,0,2354437,0,3155 32,3,2024-09-07 08:16:01:019,1,26,12,1,37,272,26,0 33,0,2024-09-07 08:16:01:505,5906,0.3,5910,0.5,11939,0.2,15555,1.75 33,1,2024-09-07 08:16:00:577,44990,44990,0,0,21593600684,229881619,43800,1080,110,369,391497,0 33,2,2024-09-07 08:16:00:759,33851,33818,33,0,3632683,0,7012 33,3,2024-09-07 08:16:00:900,1,26,8,1,27,128,26,0 34,0,2024-09-07 08:16:00:939,4051,0.2,4163,0.4,8068,0.1,10990,1.50 34,1,2024-09-07 08:16:01:056,45442,45442,0,0,21445855593,225159969,45053,386,3,369,391481,0 34,2,2024-09-07 08:16:00:766,28740,28740,0,0,2122649,0,3073 34,3,2024-09-07 08:16:01:691,1,26,7,0,58,219,26,0 35,0,2024-09-07 08:16:00:895,5898,2.4,5883,1.6,11762,2.9,15916,2.50 35,1,2024-09-07 08:16:01:072,45233,45233,0,0,21593500499,230017869,43893,979,361,385,391587,0 35,2,2024-09-07 08:16:01:588,30879,30879,0,0,1886324,0,2404 35,3,2024-09-07 08:16:00:907,1,26,51,1,219,558,26,0 36,0,2024-09-07 08:16:01:530,10248,1.3,10196,1.3,20635,1.4,27563,3.50 36,1,2024-09-07 08:16:00:598,44952,44952,0,0,20818366350,227769680,42457,1641,854,369,391535,0 36,2,2024-09-07 08:16:01:752,36558,36558,0,0,2261481,0,2554 36,3,2024-09-07 08:16:00:863,1,26,15,0,378,723,26,0 37,0,2024-09-07 08:16:01:377,4408,0.3,4390,0.5,8829,0.2,11805,2.00 37,1,2024-09-07 08:16:00:571,44607,44600,0,7,21182899804,235225528,42069,1024,1507,368,391507,0 37,2,2024-09-07 08:16:01:145,34284,34284,0,0,1692519,0,1704 37,3,2024-09-07 08:16:01:766,1,26,1,0,31,139,26,0 38,0,2024-09-07 08:16:01:445,4418,0.3,4294,0.6,8930,0.2,11561,2.00 38,1,2024-09-07 08:16:01:605,46108,46108,0,0,22239837309,233999078,45510,560,38,371,391512,0 38,2,2024-09-07 08:16:00:764,30952,30952,0,0,1852820,0,3245 38,3,2024-09-07 08:16:00:997,1,26,10,0,63,257,26,0 39,0,2024-09-07 08:16:01:780,5538,0.3,5444,0.6,10563,0.2,14234,1.75 39,1,2024-09-07 08:16:00:742,45808,45808,0,0,20711021625,220139383,44668,722,418,366,391486,0 39,2,2024-09-07 08:16:01:423,30306,30306,0,0,1482797,0,2381 39,3,2024-09-07 08:16:00:715,1,26,1,1,189,510,26,0 40,0,2024-09-07 08:16:01:514,10115,1.3,10313,2.6,20523,2.1,27861,3.25 40,1,2024-09-07 08:16:00:577,45535,45535,0,0,20842642841,225401835,43670,1338,527,371,391543,0 40,2,2024-09-07 08:16:01:312,33937,33936,1,0,2943718,0,5137 40,3,2024-09-07 08:16:01:157,1,26,1,1,63,305,26,0 41,0,2024-09-07 08:16:01:041,4593,7.4,4798,10.0,9181,9.6,13023,6.75 41,1,2024-09-07 08:16:00:768,45377,45377,0,0,22015693516,234720778,44128,1057,192,370,391483,0 41,2,2024-09-07 08:16:00:764,33727,33727,0,0,3026020,0,3325 41,3,2024-09-07 08:16:01:675,1,26,2,1,19,69,26,0 42,0,2024-09-07 08:16:01:495,5863,0.5,5847,0.9,11705,0.4,15296,2.50 42,1,2024-09-07 08:16:01:458,44844,44844,0,0,21678689703,237059076,42727,1415,702,381,391511,0 42,2,2024-09-07 08:16:01:144,33114,33114,0,0,2620642,0,3568 42,3,2024-09-07 08:16:01:013,1,26,15,0,100,355,26,0 43,0,2024-09-07 08:16:00:925,4652,0.3,4625,0.6,9643,0.2,12180,1.75 43,1,2024-09-07 08:16:00:577,45179,45179,0,0,21951891598,234507631,43766,822,591,368,391507,0 43,2,2024-09-07 08:16:01:740,29781,29781,0,0,2126224,0,3812 43,3,2024-09-07 08:16:01:750,1,26,1,1,292,766,26,0 44,0,2024-09-07 08:16:00:866,9184,0.5,9115,0.8,18223,0.5,24809,2.00 44,1,2024-09-07 08:16:00:564,45671,45671,0,0,21148733020,221684019,45241,421,9,358,391493,0 44,2,2024-09-07 08:16:01:271,31347,31347,0,0,1608056,0,1592 44,3,2024-09-07 08:16:01:095,1,26,8,1,817,1095,26,0 45,0,2024-09-07 08:16:01:763,7344,5.1,7145,2.8,14967,6.6,20174,2.50 45,1,2024-09-07 08:16:01:015,45467,45467,0,0,21892344667,230094484,45219,248,0,382,391548,0 45,2,2024-09-07 08:16:01:269,36019,36019,0,0,2513354,0,2628 45,3,2024-09-07 08:16:00:935,1,26,7,1,226,477,26,0 46,0,2024-09-07 08:16:00:959,6220,0.3,6211,0.6,12443,0.2,16147,1.75 46,1,2024-09-07 08:16:00:579,45687,45687,0,0,21438995756,224101750,45261,400,26,370,391514,0 46,2,2024-09-07 08:16:00:599,34347,34347,0,0,2223314,0,2920 46,3,2024-09-07 08:16:01:135,1,26,1,0,200,509,26,0 47,0,2024-09-07 08:16:01:161,4916,0.3,4964,0.5,9717,0.2,12817,1.50 47,1,2024-09-07 08:16:00:568,45825,45825,0,0,20961975945,218592538,45618,206,1,368,391481,0 47,2,2024-09-07 08:16:00:911,29427,29427,0,0,1921543,0,2558 47,3,2024-09-07 08:16:01:122,1,26,6,1,529,652,26,0 48,0,2024-09-07 08:16:01:503,6512,0.6,6451,0.7,12973,0.7,17751,2.00 48,1,2024-09-07 08:16:01:034,45049,45049,0,0,21359173613,228356438,43981,1063,5,386,391516,0 48,2,2024-09-07 08:16:00:699,30674,30674,0,0,1685534,0,2083 48,3,2024-09-07 08:16:00:753,1,26,2,1,23,253,26,0 49,0,2024-09-07 08:16:01:719,10283,3.5,10227,1.9,19567,5.5,27172,2.75 49,1,2024-09-07 08:16:01:039,45239,45239,0,0,21714096216,235024424,43627,903,709,382,391583,0 49,2,2024-09-07 08:16:01:796,36572,36572,0,0,2398419,0,2196 49,3,2024-09-07 08:16:01:421,1,26,1,0,274,573,26,0 50,0,2024-09-07 08:16:01:522,5198,0.3,5151,0.6,10196,0.2,13913,1.75 50,1,2024-09-07 08:16:01:014,45752,45752,0,0,22123783797,233627874,44844,713,195,370,391530,0 50,2,2024-09-07 08:16:01:071,34548,34548,0,0,1815901,0,2034 50,3,2024-09-07 08:16:01:293,1,26,8,1,335,527,26,0 51,0,2024-09-07 08:16:01:709,4094,0.2,3987,0.4,7903,0.1,10529,1.50 51,1,2024-09-07 08:16:01:688,45041,45041,0,0,22412902577,239950055,43454,805,782,367,391504,0 51,2,2024-09-07 08:16:01:316,29448,29448,0,0,1325302,0,1936 51,3,2024-09-07 08:16:01:031,1,26,1,0,162,306,26,0 52,0,2024-09-07 08:16:01:419,5647,0.8,5623,1.1,11215,1.1,14873,3.50 52,1,2024-09-07 08:16:00:575,45280,45280,0,0,21171217538,227788223,43837,1225,218,371,391498,0 52,2,2024-09-07 08:16:01:755,30633,30633,0,0,1940345,0,4779 52,3,2024-09-07 08:16:00:674,1,26,1782,4,1782,2072,26,0 53,0,2024-09-07 08:16:01:747,9964,1.2,9606,1.1,19931,1.3,26225,2.25 53,1,2024-09-07 08:16:00:775,45519,45519,0,0,21770212097,234780369,43656,1344,519,372,391523,0 53,2,2024-09-07 08:16:01:299,35903,35903,0,0,1610069,0,1520 53,3,2024-09-07 08:16:00:697,1,26,6,1,28,116,26,0 54,0,2024-09-07 08:16:01:622,4337,10.0,4301,10.0,8726,5.6,12894,6.00 54,1,2024-09-07 08:16:00:581,45462,45462,0,0,21791029263,228891536,44672,756,34,367,391520,0 54,2,2024-09-07 08:16:00:865,33935,33929,6,0,2337759,0,5382 54,3,2024-09-07 08:16:00:763,1,26,1,0,98,203,26,0 55,0,2024-09-07 08:16:01:767,4859,0.7,5020,0.9,10134,0.5,12975,2.50 55,1,2024-09-07 08:16:00:766,45016,45016,0,0,21528651657,230117895,43186,1264,566,369,391731,0 55,2,2024-09-07 08:16:00:735,32157,32157,0,0,1883998,0,2129 55,3,2024-09-07 08:16:00:674,1,26,69,0,69,206,26,0 56,0,2024-09-07 08:16:01:566,4751,1.5,4538,1.3,9276,2.1,12548,3.25 56,1,2024-09-07 08:16:00:572,45367,45367,0,0,22272790492,242579535,43254,1531,582,384,391536,0 56,2,2024-09-07 08:16:01:315,30108,30108,0,0,2483865,0,3567 56,3,2024-09-07 08:16:01:063,1,26,13,2,297,556,26,0 57,0,2024-09-07 08:16:00:953,9364,3.5,9187,2.2,18633,5.5,25511,4.25 57,1,2024-09-07 08:16:00:992,45745,45745,0,0,20922878512,222875277,44924,807,14,369,391505,0 57,2,2024-09-07 08:16:01:316,32737,32737,0,0,2420514,0,2687 57,3,2024-09-07 08:16:01:740,1,26,1,1,359,833,26,0 58,0,2024-09-07 08:16:00:573,5545,4.3,5393,2.6,11254,4.7,14981,7.00 58,1,2024-09-07 08:16:00:591,45629,45628,0,1,22363326951,238065429,44365,1106,157,371,391493,1 58,2,2024-09-07 08:16:01:074,35070,35070,0,0,2427170,0,2464 58,3,2024-09-07 08:16:01:070,1,26,108,1,219,519,26,0 59,0,2024-09-07 08:16:01:754,6108,1.3,6175,1.2,12231,1.5,15724,3.00 59,1,2024-09-07 08:16:00:810,45498,45498,0,0,21503015614,227535490,44818,675,5,371,391515,0 59,2,2024-09-07 08:16:00:583,34025,34025,0,0,1905497,0,1708 59,3,2024-09-07 08:16:01:741,1,26,1,0,25,150,26,0 60,0,2024-09-07 08:16:01:730,4882,0.4,4899,0.7,9659,0.2,12457,1.75 60,1,2024-09-07 08:16:00:776,45960,45960,0,0,21686443966,230151247,45073,543,344,370,391672,0 60,2,2024-09-07 08:16:01:156,29562,29562,0,0,1564983,0,2142 60,3,2024-09-07 08:16:01:259,1,26,7,0,124,416,26,0 61,0,2024-09-07 08:16:01:517,7835,2.9,7830,1.9,15541,3.9,21323,2.50 61,1,2024-09-07 08:16:00:790,45149,45149,0,0,21276902771,231058089,43295,1384,470,383,391560,0 61,2,2024-09-07 08:16:01:127,31202,31202,0,0,2094194,0,1846 61,3,2024-09-07 08:16:01:688,1,26,6,1,124,357,26,0 62,0,2024-09-07 08:16:01:715,9120,3.4,9391,1.8,18246,5.0,24353,3.25 62,1,2024-09-07 08:16:01:137,45643,45641,0,2,21828355947,229050729,45111,528,2,368,391586,2 62,2,2024-09-07 08:16:01:645,35975,35975,0,0,2552459,0,2931 62,3,2024-09-07 08:16:01:151,1,26,7,1,287,446,26,0 63,0,2024-09-07 08:16:01:482,5905,0.7,5911,0.9,11742,0.8,15702,2.25 63,1,2024-09-07 08:16:00:808,45984,45982,0,2,21982963091,229278130,45829,152,1,382,391540,2 63,2,2024-09-07 08:16:00:764,34536,34536,0,0,2263339,0,2674 63,3,2024-09-07 08:16:01:732,1,26,1,0,216,620,26,0 64,0,2024-09-07 08:16:01:552,3990,0.3,4030,0.6,8211,0.2,10948,2.00 64,1,2024-09-07 08:16:00:753,45109,45109,0,0,21741657991,238598583,42768,1550,791,371,391604,0 64,2,2024-09-07 08:16:01:161,29217,29198,19,0,2080129,0,6121 64,3,2024-09-07 08:16:01:144,1,26,8,1,163,423,26,0 65,0,2024-09-07 08:16:01:705,5764,5.1,5722,2.9,11348,6.7,15607,5.25 65,1,2024-09-07 08:16:00:871,45404,45404,0,0,21600732708,232466217,43894,1294,216,382,391506,0 65,2,2024-09-07 08:16:01:693,30488,30488,0,0,2555537,0,3367 65,3,2024-09-07 08:16:01:691,1,26,11,1,122,387,26,0 66,0,2024-09-07 08:16:01:769,9953,0.5,10141,0.9,20081,0.5,27156,2.50 66,1,2024-09-07 08:16:01:295,46087,46087,0,0,21933127870,230876533,45456,612,19,381,391537,0 66,2,2024-09-07 08:16:01:137,36343,36343,0,0,2257021,0,2934 66,3,2024-09-07 08:16:01:087,1,26,2,1,88,198,26,0 67,0,2024-09-07 08:16:01:420,4403,0.8,4355,1.0,8697,0.9,11749,2.50 67,1,2024-09-07 08:16:00:766,45181,45180,0,1,21573486635,232820277,43697,986,497,383,391567,1 67,2,2024-09-07 08:16:00:584,34241,34241,0,0,2220129,0,2889 67,3,2024-09-07 08:16:01:750,1,26,0,0,93,244,26,0 68,0,2024-09-07 08:16:00:612,4338,0.3,4358,0.7,8880,0.2,11461,2.00 68,1,2024-09-07 08:16:00:583,45204,45204,0,0,21431360071,229019768,44254,504,446,383,391591,0 68,2,2024-09-07 08:16:01:056,31019,31019,0,0,1887253,0,4883 68,3,2024-09-07 08:16:00:728,1,26,8,1,63,324,26,0 69,0,2024-09-07 08:16:01:805,5409,0.6,5297,0.9,10500,0.6,14207,2.25 69,1,2024-09-07 08:16:01:031,44776,44776,0,0,21578203256,235760753,43296,618,862,386,391530,0 69,2,2024-09-07 08:16:01:744,30459,30459,0,0,1532035,0,2462 69,3,2024-09-07 08:16:00:771,1,26,42,0,238,443,26,0 70,0,2024-09-07 08:16:01:547,10363,3.2,10322,3.6,20898,1.3,27807,3.50 70,1,2024-09-07 08:16:00:810,45794,45794,0,0,21790579399,227480976,45457,320,17,369,391527,0 70,2,2024-09-07 08:16:01:327,34453,34453,0,0,1902271,0,2433 70,3,2024-09-07 08:16:00:749,1,26,5,1,69,146,26,0 71,0,2024-09-07 08:16:01:370,4677,7.7,4721,8.9,9176,10.0,13356,6.75 71,1,2024-09-07 08:16:01:601,45386,45386,0,0,21547917737,229527309,44040,1100,246,368,391682,0 71,2,2024-09-07 08:16:01:080,34152,34152,0,0,1900286,0,2146 71,3,2024-09-07 08:16:01:757,1,26,2,1,174,375,26,0 72,0,2024-09-07 08:16:01:047,6071,0.5,5900,0.7,11713,0.3,15354,3.00 72,1,2024-09-07 08:16:01:023,45468,45468,0,0,21168819242,226068487,44235,1185,48,370,391514,0 72,2,2024-09-07 08:16:01:794,32592,32592,0,0,2901425,0,2570 72,3,2024-09-07 08:16:01:760,1,26,14,1,325,598,26,0 73,0,2024-09-07 08:16:01:135,4584,0.4,4705,0.6,9489,0.2,12114,2.25 73,1,2024-09-07 08:16:00:773,45667,45667,0,0,21229623961,223470516,45378,288,1,370,391497,0 73,2,2024-09-07 08:16:01:748,29644,29644,0,0,2466046,0,3482 73,3,2024-09-07 08:16:00:981,1,26,1,0,274,858,26,0 74,0,2024-09-07 08:16:01:329,9166,0.8,9380,0.9,18114,1.0,24983,2.75 74,1,2024-09-07 08:16:00:635,45274,45274,0,0,21572685904,227089547,44777,495,2,384,391494,0 74,2,2024-09-07 08:16:01:007,31505,31505,0,0,3141073,0,4253 74,3,2024-09-07 08:16:01:443,1,26,1,0,192,774,26,0 75,0,2024-09-07 08:16:01:776,7537,4.8,7516,2.6,14826,6.1,20287,3.75 75,1,2024-09-07 08:16:01:586,45921,45921,0,0,21784435075,230252344,45301,569,51,382,391514,0 75,2,2024-09-07 08:16:01:352,35670,35670,0,0,3149072,0,4766 75,3,2024-09-07 08:16:01:073,1,26,1,0,71,172,26,0 76,0,2024-09-07 08:16:00:626,6216,0.4,6221,0.7,12327,0.3,15975,2.50 76,1,2024-09-07 08:16:00:813,45350,45350,0,0,21067173254,227491431,43975,936,439,382,391530,0 76,2,2024-09-07 08:16:01:066,34824,34824,0,0,1941169,0,3064 76,3,2024-09-07 08:16:01:154,1,26,10,0,175,725,26,0 77,0,2024-09-07 08:16:01:721,4862,0.4,4882,0.8,9721,0.3,12818,2.25 77,1,2024-09-07 08:16:00:828,45445,45445,0,0,21866822382,231792008,44705,673,67,383,391512,0 77,2,2024-09-07 08:16:01:282,29003,29003,0,0,1377199,0,1637 77,3,2024-09-07 08:16:01:100,1,26,17,0,131,262,26,0 78,0,2024-09-07 08:16:01:725,6400,4.0,6298,2.4,12742,5.1,17342,3.25 78,1,2024-09-07 08:16:00:614,45872,45872,0,0,20907909087,221260221,45266,569,37,369,391540,0 78,2,2024-09-07 08:16:01:405,30846,30846,0,0,1652244,0,2114 78,3,2024-09-07 08:16:01:139,1,26,1,0,112,411,26,0 79,0,2024-09-07 08:16:01:352,9671,3.0,9948,1.8,20139,4.7,26225,4.75 79,1,2024-09-07 08:16:00:571,45517,45517,0,0,21172994433,224131955,44775,735,7,371,391486,0 79,2,2024-09-07 08:16:01:071,36571,36571,0,0,2150196,0,2679 79,3,2024-09-07 08:16:00:749,1,26,6,0,289,602,26,0 80,0,2024-09-07 08:16:01:130,5082,0.5,5243,0.8,10236,0.4,13834,2.25 80,1,2024-09-07 08:16:01:620,45082,45082,0,0,21143948628,225392807,43837,1130,115,372,391673,0 80,2,2024-09-07 08:16:01:092,34085,34085,0,0,2609208,0,4433 80,3,2024-09-07 08:16:00:575,1,26,27,1,148,658,26,0 81,0,2024-09-07 08:16:01:551,3991,0.3,4091,0.5,7841,0.2,10435,1.75 81,1,2024-09-07 08:16:01:654,45261,45261,0,0,21633058263,232866605,43676,1390,195,383,391646,0 81,2,2024-09-07 08:16:01:138,29169,29169,0,0,1958239,0,2811 81,3,2024-09-07 08:16:01:118,1,26,1,1,193,521,26,0 82,0,2024-09-07 08:16:01:553,5484,0.5,5442,1.0,11068,0.5,15051,3.75 82,1,2024-09-07 08:16:00:584,44976,44975,0,1,21622957196,232876719,43287,1158,530,384,391558,1 82,2,2024-09-07 08:16:01:707,31086,31086,0,0,1506642,0,1286 82,3,2024-09-07 08:16:01:752,1,26,1,1,65,305,26,0 83,0,2024-09-07 08:16:01:548,10103,1.3,9946,1.2,19741,1.5,26554,2.75 83,1,2024-09-07 08:16:00:560,45284,45284,0,0,21154878961,226320236,44195,989,100,384,391553,0 83,2,2024-09-07 08:16:00:766,35097,35097,0,0,2666792,0,3119 83,3,2024-09-07 08:16:00:749,1,26,1,1,91,255,26,0 84,0,2024-09-07 08:16:01:808,4687,10.0,4573,10.0,9258,4.2,13671,5.50 84,1,2024-09-07 08:16:01:046,45652,45652,0,0,21777624853,232092914,44556,857,239,370,391630,0 84,2,2024-09-07 08:16:00:574,33652,33652,0,0,2193946,0,3801 84,3,2024-09-07 08:16:01:168,1,26,12,1,19,238,26,0 85,0,2024-09-07 08:16:01:038,4851,0.4,4896,0.6,10275,0.3,13014,2.00 85,1,2024-09-07 08:16:00:583,45022,45022,0,0,21628973505,232240812,43572,1158,292,384,391505,0 85,2,2024-09-07 08:16:00:876,32082,32082,0,0,2103759,0,3656 85,3,2024-09-07 08:16:00:689,1,26,3,1,90,267,26,0 86,0,2024-09-07 08:16:00:910,4618,0.3,4828,0.5,9307,0.2,12685,1.75 86,1,2024-09-07 08:16:00:828,45083,45083,0,0,21777398902,231485891,43851,1054,178,367,391506,0 86,2,2024-09-07 08:16:00:855,30220,30219,1,0,2199569,0,5004 86,3,2024-09-07 08:16:00:586,1,26,9,1,78,267,26,0 87,0,2024-09-07 08:16:01:302,9539,2.6,9510,1.6,19281,4.0,25889,2.25 87,1,2024-09-07 08:16:00:554,45460,45460,0,0,21642223948,229689778,44504,872,84,369,391564,0 87,2,2024-09-07 08:16:01:071,32878,32878,0,0,1556934,0,2148 87,3,2024-09-07 08:16:01:794,1,26,1,1,322,785,26,0 88,0,2024-09-07 08:16:01:494,6345,2.7,6337,1.8,12664,3.8,16835,2.50 88,1,2024-09-07 08:16:00:576,45527,45527,0,0,21938809004,233502513,44548,887,92,368,391747,0 88,2,2024-09-07 08:16:00:720,35090,35090,0,0,2825154,0,3583 88,3,2024-09-07 08:16:01:268,1,26,16,1,77,388,26,0 89,0,2024-09-07 08:16:01:904,6336,0.4,6111,0.6,12165,0.4,16038,1.75 89,1,2024-09-07 08:16:00:561,44987,44987,0,0,22006255053,237140739,43603,775,609,384,391763,0 89,2,2024-09-07 08:16:01:157,33873,33873,0,0,2437136,0,2726 89,3,2024-09-07 08:16:01:791,1,26,1,1,325,898,26,0 90,0,2024-09-07 08:16:01:667,4774,0.2,4854,0.4,9909,0.2,12443,1.50 90,1,2024-09-07 08:16:00:596,45438,45438,0,0,21166821196,228384059,43930,1383,125,382,391522,0 90,2,2024-09-07 08:16:01:448,29096,29096,0,0,2374878,0,2635 90,3,2024-09-07 08:16:00:948,1,26,14,1,19,141,26,0 91,0,2024-09-07 08:16:00:964,8182,0.5,7869,0.7,16209,0.6,21659,1.75 91,1,2024-09-07 08:16:00:558,45471,45471,0,0,21999234279,235021587,44288,1016,167,384,391914,0 91,2,2024-09-07 08:16:01:344,30637,30637,0,0,1671115,0,1997 91,3,2024-09-07 08:16:00:602,1,26,2,1,155,375,26,0 92,0,2024-09-07 08:16:01:556,9248,2.7,9547,1.6,18265,4.2,24518,2.00 92,1,2024-09-07 08:16:00:591,45552,45552,0,0,21305653320,224651028,45171,334,47,383,391569,0 92,2,2024-09-07 08:16:01:451,37218,37218,0,0,2380328,0,2279 92,3,2024-09-07 08:16:01:013,1,26,9,1,68,344,26,0 93,0,2024-09-07 08:16:01:053,5911,0.6,5980,0.7,11423,0.4,15583,2.00 93,1,2024-09-07 08:16:00:837,45111,45111,0,0,21359175794,230249062,43718,962,431,368,391689,0 93,2,2024-09-07 08:16:00:949,34433,34433,0,0,1989446,0,2509 93,3,2024-09-07 08:16:01:408,1,26,4,1,143,321,26,0 94,0,2024-09-07 08:16:01:648,4210,0.3,4099,0.5,8160,0.2,11089,1.50 94,1,2024-09-07 08:16:00:565,45181,45181,0,0,21106591930,225896057,44201,923,57,382,391850,0 94,2,2024-09-07 08:16:00:763,29001,29001,0,0,1875391,0,2443 94,3,2024-09-07 08:16:01:691,1,26,13,1,231,628,26,0 95,0,2024-09-07 08:16:01:359,6020,0.8,5897,0.9,12201,0.9,15918,2.00 95,1,2024-09-07 08:16:00:856,45408,45408,0,0,21229082291,223574690,45085,319,4,368,391590,0 95,2,2024-09-07 08:16:01:020,30639,30639,0,0,2073309,0,3308 95,3,2024-09-07 08:16:01:711,1,26,11,0,135,322,26,0 96,0,2024-09-07 08:16:01:194,10323,0.6,10293,0.8,20239,0.5,27376,2.00 96,1,2024-09-07 08:16:01:584,45199,45199,0,0,21647133778,234631682,43777,858,564,385,391551,0 96,2,2024-09-07 08:16:01:269,36131,36131,0,0,2506983,0,3467 96,3,2024-09-07 08:16:01:157,1,26,36,7,36,377,26,0 97,0,2024-09-07 08:16:01:334,4436,1.1,4407,1.0,8718,1.2,11747,3.00 97,1,2024-09-07 08:16:00:770,45328,45328,0,0,21922639595,235616759,43541,1271,516,367,391547,0 97,2,2024-09-07 08:16:00:622,33696,33696,0,0,1974483,0,2998 97,3,2024-09-07 08:16:00:572,1,26,18,1,97,316,26,0 98,0,2024-09-07 08:16:01:709,4393,0.2,4341,0.4,8761,0.2,11567,1.75 98,1,2024-09-07 08:16:00:580,45012,45012,0,0,21736180049,230725764,44354,629,29,384,391588,0 98,2,2024-09-07 08:16:00:777,30763,30763,0,0,2223943,0,3080 98,3,2024-09-07 08:16:00:697,1,26,2,1,155,434,26,0 99,0,2024-09-07 08:16:01:495,5285,0.4,5199,0.6,10508,0.3,14165,2.00 99,1,2024-09-07 08:16:01:743,46224,46224,0,0,21123197491,222998675,45624,439,161,382,391602,0 99,2,2024-09-07 08:16:01:439,30499,30499,0,0,2223147,0,1858 99,3,2024-09-07 08:16:00:581,1,26,2,1,65,286,26,0 100,0,2024-09-07 08:16:01:524,10192,1.2,10210,4.0,20355,4.0,27747,2.50 100,1,2024-09-07 08:16:00:566,44976,44976,0,0,21197902658,232024798,42947,1705,324,382,391505,0 100,2,2024-09-07 08:16:01:825,34357,34346,11,0,2452751,0,5417 100,3,2024-09-07 08:16:01:731,1,26,8,1,443,1360,26,0 101,0,2024-09-07 08:16:01:761,4970,8.4,4808,5.8,9719,8.4,14206,4.25 101,1,2024-09-07 08:16:00:556,45160,45160,0,0,21984157832,235636491,44022,714,424,370,391531,0 101,2,2024-09-07 08:16:01:756,34324,34324,0,0,2435144,0,4644 101,3,2024-09-07 08:16:00:948,1,26,1,1,448,602,26,0 102,0,2024-09-07 08:16:00:998,5775,0.8,6017,0.8,11953,0.5,15267,2.00 102,1,2024-09-07 08:16:01:159,45383,45383,0,0,21966798795,232067816,44755,618,10,369,391621,0 102,2,2024-09-07 08:16:01:741,33224,33224,0,0,1645249,0,1945 102,3,2024-09-07 08:16:01:614,1,26,1,0,410,626,26,0 103,0,2024-09-07 08:16:01:599,4786,0.3,4775,0.4,9073,0.2,12103,1.50 103,1,2024-09-07 08:16:01:625,45766,45766,0,0,21470429802,226343624,45329,389,48,381,391680,0 103,2,2024-09-07 08:16:00:584,30276,30276,0,0,1554799,0,1878 103,3,2024-09-07 08:16:00:762,1,26,12,1,486,785,26,0 104,0,2024-09-07 08:16:01:062,9269,1.4,9447,1.2,17988,1.8,24990,2.25 104,1,2024-09-07 08:16:01:614,45590,45590,0,0,21291572128,229952013,44092,1182,316,369,391948,0 104,2,2024-09-07 08:16:01:671,31176,31176,0,0,2410429,0,3941 104,3,2024-09-07 08:16:01:433,1,26,24,8,70,417,26,0 105,0,2024-09-07 08:16:01:080,7361,4.8,7092,2.8,14690,7.5,19993,4.50 105,1,2024-09-07 08:16:00:568,45055,45055,0,0,21180416806,229210795,43325,1163,567,367,391797,0 105,2,2024-09-07 08:16:01:323,35633,35633,0,0,2400350,0,3314 105,3,2024-09-07 08:16:01:305,1,26,1,1,169,596,26,0 106,0,2024-09-07 08:16:01:055,6021,0.4,6079,0.7,12357,0.3,16095,2.00 106,1,2024-09-07 08:16:01:761,45168,45168,0,0,21208409413,228375136,43745,1221,202,371,391767,0 106,2,2024-09-07 08:16:00:764,34457,34457,0,0,2381951,0,1818 106,3,2024-09-07 08:16:00:678,1,26,10,1,171,274,26,0 107,0,2024-09-07 08:16:01:140,4942,0.3,4880,0.5,9676,0.2,12789,1.75 107,1,2024-09-07 08:16:00:586,45269,45269,0,0,21315020029,228014292,44277,866,126,382,391848,0 107,2,2024-09-07 08:16:01:300,28973,28972,1,0,1802170,0,5024 107,3,2024-09-07 08:16:01:766,1,26,3,1,353,693,26,0 108,0,2024-09-07 08:16:01:838,6463,2.0,6559,1.4,12811,2.5,17641,2.25 108,1,2024-09-07 08:16:01:295,45204,45204,0,0,21520551518,229239607,44071,1029,104,372,391563,0 108,2,2024-09-07 08:16:01:757,30975,30975,0,0,2251664,0,2647 108,3,2024-09-07 08:16:01:330,1,26,21,1,60,383,26,0 109,0,2024-09-07 08:16:01:786,10224,2.0,10320,1.3,20010,3.2,27188,2.25 109,1,2024-09-07 08:16:00:591,45551,45551,0,0,21921664036,233985145,44796,703,52,385,391576,0 109,2,2024-09-07 08:16:00:947,35558,35558,0,0,2795620,0,3617 109,3,2024-09-07 08:16:01:144,1,26,2,1,120,527,26,0 110,0,2024-09-07 08:16:01:854,5225,0.3,5076,0.6,10623,0.3,13870,1.75 110,1,2024-09-07 08:16:01:654,45422,45422,0,0,21331453227,226359610,44470,669,283,371,391564,0 110,2,2024-09-07 08:16:01:310,34474,34474,0,0,2143995,0,2915 110,3,2024-09-07 08:16:00:696,1,26,2,0,183,336,26,0 111,0,2024-09-07 08:16:01:425,3945,0.2,3989,0.4,7915,0.1,10433,1.50 111,1,2024-09-07 08:16:01:014,45604,45604,0,0,22531770218,235572016,45242,350,12,383,391597,0 111,2,2024-09-07 08:16:01:118,29906,29906,0,0,1779877,0,2763 111,3,2024-09-07 08:16:00:916,1,26,11,1,106,523,26,0 112,0,2024-09-07 08:16:00:937,5605,0.5,5619,0.6,11461,0.3,15271,1.75 112,1,2024-09-07 08:16:00:827,45439,45439,0,0,22216769153,238516455,43992,1101,346,382,391522,0 112,2,2024-09-07 08:16:01:135,30240,30239,1,0,2744127,0,5036 112,3,2024-09-07 08:16:00:592,1,26,5,1,282,509,26,0 113,0,2024-09-07 08:16:00:879,9955,0.4,9800,0.6,20005,0.4,26663,1.75 113,1,2024-09-07 08:16:01:688,45244,45244,0,0,21657963879,231918773,43610,1255,379,368,391661,0 113,2,2024-09-07 08:16:01:303,35352,35352,0,0,2126933,0,3021 113,3,2024-09-07 08:16:00:696,1,26,9,1,166,522,26,0 114,0,2024-09-07 08:16:00:886,5017,6.6,5119,5.0,10080,3.6,14122,2.50 114,1,2024-09-07 08:16:00:717,45155,45155,0,0,21771480492,235293265,43507,869,779,381,391513,0 114,2,2024-09-07 08:16:00:876,33778,33778,0,0,2346302,0,3925 114,3,2024-09-07 08:16:01:278,1,26,1,1,159,516,26,0 115,0,2024-09-07 08:16:00:565,5009,0.2,5082,0.5,10037,0.1,13138,1.50 115,1,2024-09-07 08:16:00:573,45293,45293,0,0,22334567313,238643185,43928,1143,222,384,391502,0 115,2,2024-09-07 08:16:01:129,32777,32777,0,0,1758844,0,2072 115,3,2024-09-07 08:16:01:012,1,26,1,0,159,310,26,0 116,0,2024-09-07 08:16:01:722,4714,0.3,4675,0.5,9470,0.2,12815,1.75 116,1,2024-09-07 08:16:00:846,45109,45109,0,0,21973194121,238016285,43842,409,858,382,391573,0 116,2,2024-09-07 08:16:01:758,30492,30492,0,0,1536197,0,2154 116,3,2024-09-07 08:16:00:918,1,26,10,2,132,394,26,0 117,0,2024-09-07 08:16:01:054,9625,2.6,9643,1.6,19363,3.7,26258,2.25 117,1,2024-09-07 08:16:01:583,45584,45584,0,0,21120890497,225305799,44553,811,220,371,391601,0 117,2,2024-09-07 08:16:01:127,32774,32774,0,0,2038841,0,3700 117,3,2024-09-07 08:16:01:066,1,26,10,1,490,1191,26,0 118,0,2024-09-07 08:16:01:793,6123,2.3,6220,1.5,12895,3.4,16784,2.75 118,1,2024-09-07 08:16:00:587,45644,45644,0,0,21271613220,224098884,45225,418,1,368,391725,0 118,2,2024-09-07 08:16:01:588,35329,35329,0,0,1861979,0,1450 118,3,2024-09-07 08:16:01:767,1,26,4,1,229,534,26,0 119,0,2024-09-07 08:16:01:371,5931,0.3,6086,0.6,12378,0.2,15976,1.75 119,1,2024-09-07 08:16:00:554,45260,45260,0,0,21921452412,233621787,44099,1028,133,371,391576,0 119,2,2024-09-07 08:16:01:263,33783,33783,0,0,2008110,0,2222 119,3,2024-09-07 08:16:01:326,1,26,256,1,443,1188,26,0 120,0,2024-09-07 08:16:01:581,4899,0.3,4878,0.6,9752,0.2,12477,1.75 120,1,2024-09-07 08:16:00:872,45469,45469,0,0,21116658593,225400343,44634,826,9,369,391702,0 120,2,2024-09-07 08:16:00:772,29251,29250,1,0,2695434,0,5281 120,3,2024-09-07 08:16:01:294,1,26,1,1,241,668,26,0 121,0,2024-09-07 08:16:01:733,7852,3.4,7834,2.0,15748,4.5,21709,2.75 121,1,2024-09-07 08:16:01:670,45269,45269,0,0,21550904088,231655828,44052,980,237,370,391633,0 121,2,2024-09-07 08:16:01:138,30910,30910,0,0,2861424,0,4127 121,3,2024-09-07 08:16:00:737,1,26,3,1,71,271,26,0 122,0,2024-09-07 08:16:01:765,9083,3.8,8791,3.4,18280,4.1,24639,3.00 122,1,2024-09-07 08:16:00:870,44683,44683,0,0,21498044966,234580606,42763,1551,369,369,392130,0 122,2,2024-09-07 08:16:01:320,36811,36811,0,0,3064408,0,2574 122,3,2024-09-07 08:16:00:601,1,26,2,1,226,1091,26,0 123,0,2024-09-07 08:16:00:966,5859,0.4,5667,0.7,11739,0.3,15596,2.00 123,1,2024-09-07 08:16:00:561,45179,45179,0,0,21647073377,237023709,42639,2086,454,371,391823,0 123,2,2024-09-07 08:16:01:022,34062,34061,1,0,2622232,0,5215 123,3,2024-09-07 08:16:01:139,1,26,1,1,160,593,26,0 124,0,2024-09-07 08:16:00:937,4205,0.3,4182,0.5,8008,0.2,11038,1.75 124,1,2024-09-07 08:16:01:033,45805,45805,0,0,21373519476,224089502,45632,171,2,370,392129,0 124,2,2024-09-07 08:16:01:014,28820,28820,0,0,1509164,0,2477 124,3,2024-09-07 08:16:00:766,1,26,23,2,490,1094,26,0 125,0,2024-09-07 08:16:01:447,5979,1.2,5956,1.1,11947,1.4,15909,2.00 125,1,2024-09-07 08:16:00:857,45325,45325,0,0,21324153174,227954230,44304,999,22,385,391702,0 125,2,2024-09-07 08:16:01:122,30824,30824,0,0,2172722,0,2180 125,3,2024-09-07 08:16:01:137,1,26,2,1,93,538,26,0 126,0,2024-09-07 08:16:01:427,10315,0.9,10651,1.0,20380,1.0,28096,2.00 126,1,2024-09-07 08:16:00:554,45634,45634,0,0,22258594325,234038184,45170,456,8,368,391719,0 126,2,2024-09-07 08:16:00:619,36057,36057,0,0,2435836,0,3186 126,3,2024-09-07 08:16:00:908,1,26,9,1,45,232,26,0 127,0,2024-09-07 08:16:01:618,4487,0.3,4375,0.6,8871,0.2,11768,1.75 127,1,2024-09-07 08:16:00:572,45255,45255,0,0,21293967299,224826486,44520,713,22,366,391614,0 127,2,2024-09-07 08:16:00:642,34256,34256,0,0,2003718,0,1744 127,3,2024-09-07 08:16:01:278,1,26,2,0,99,306,26,0 128,0,2024-09-07 08:16:01:604,4369,0.3,4425,0.5,8684,0.2,11494,1.75 128,1,2024-09-07 08:16:01:629,45567,45567,0,0,21697071437,229106261,44892,609,66,369,391605,0 128,2,2024-09-07 08:16:01:384,30321,30321,0,0,2064866,0,1919 128,3,2024-09-07 08:16:00:767,1,26,13,1,20,309,26,0 129,0,2024-09-07 08:16:01:001,5316,0.6,5365,0.8,10621,0.6,14097,2.00 129,1,2024-09-07 08:16:00:584,45192,45192,0,0,21636946333,231825318,43946,981,265,379,391835,0 129,2,2024-09-07 08:16:00:707,30348,30348,0,0,1934455,0,2446 129,3,2024-09-07 08:16:00:702,1,26,4,1,88,383,26,0 130,0,2024-09-07 08:16:01:739,10442,1.6,10364,1.3,20673,2.3,28050,2.50 130,1,2024-09-07 08:16:00:840,45529,45529,0,0,21480133636,229494534,44474,1043,12,381,391516,0 130,2,2024-09-07 08:16:01:130,34418,34418,0,0,1986743,0,1539 130,3,2024-09-07 08:16:01:309,1,26,30,1,207,416,26,0 131,0,2024-09-07 08:16:01:954,5314,2.9,5134,2.1,10605,3.3,14206,2.75 131,1,2024-09-07 08:16:01:825,45589,45589,0,0,21753070314,231794301,44687,716,186,385,391727,0 131,2,2024-09-07 08:16:00:576,33836,33836,0,0,2008942,0,2415 131,3,2024-09-07 08:16:01:691,1,26,4,1,29,132,26,0 132,0,2024-09-07 08:16:01:470,5802,0.4,5944,0.9,11820,0.4,15319,2.00 132,1,2024-09-07 08:16:00:582,45120,45120,0,0,21285925869,228963740,43715,1142,263,383,391533,0 132,2,2024-09-07 08:16:00:716,32962,32962,0,0,3295929,0,4606 132,3,2024-09-07 08:16:01:693,1,26,8,3,356,646,26,0 133,0,2024-09-07 08:16:01:582,4606,0.3,4676,0.5,9567,0.2,12049,1.75 133,1,2024-09-07 08:16:00:596,45013,45013,0,0,21247673393,226341118,44070,939,4,385,391513,0 133,2,2024-09-07 08:16:01:091,30316,30316,0,0,1717842,0,1707 133,3,2024-09-07 08:16:01:297,1,26,2,1,26,111,26,0 134,0,2024-09-07 08:16:00:963,9153,0.4,9194,0.7,18359,0.4,24937,1.75 134,1,2024-09-07 08:16:00:598,45418,45418,0,0,21264591347,228893780,43908,763,747,366,391508,0 134,2,2024-09-07 08:16:01:764,31518,31518,0,0,1691096,0,2026 134,3,2024-09-07 08:16:00:750,1,26,2,1,63,363,26,0 135,0,2024-09-07 08:16:01:145,7429,3.4,7391,2.8,15620,3.4,19962,2.75 135,1,2024-09-07 08:16:01:586,45309,45309,0,0,21781166276,229418430,44612,681,16,383,391513,0 135,2,2024-09-07 08:16:00:729,35919,35919,0,0,1975428,0,3016 135,3,2024-09-07 08:16:01:005,1,26,0,0,68,263,26,0 136,0,2024-09-07 08:16:01:675,6185,0.5,6167,0.8,12341,0.4,16123,2.25 136,1,2024-09-07 08:16:01:446,45696,45696,0,0,21404425921,230041955,44364,1191,141,385,391501,0 136,2,2024-09-07 08:16:01:141,35344,35344,0,0,1939499,0,2199 136,3,2024-09-07 08:16:01:107,1,26,8,1,108,461,26,0 137,0,2024-09-07 08:16:00:930,5002,0.4,4908,0.7,9648,0.3,12797,2.00 137,1,2024-09-07 08:16:00:584,45384,45384,0,0,21642871966,231257305,44262,1035,87,368,391489,0 137,2,2024-09-07 08:16:01:721,28386,28386,0,0,2314684,0,2294 137,3,2024-09-07 08:16:00:769,1,26,1,1,227,478,26,0 138,0,2024-09-07 08:16:01:761,6323,1.0,6504,1.1,13010,1.2,17573,2.50 138,1,2024-09-07 08:16:01:700,45258,45258,0,0,21344142105,229490569,43824,1202,232,371,391573,0 138,2,2024-09-07 08:16:00:596,30956,30956,0,0,1918049,0,3263 138,3,2024-09-07 08:16:00:618,1,26,1,1,68,250,26,0 139,0,2024-09-07 08:16:01:372,9701,6.0,9610,3.4,19621,6.2,26654,4.50 139,1,2024-09-07 08:16:00:589,44908,44908,0,0,21665020371,239921896,42564,1634,710,383,391511,0 139,2,2024-09-07 08:16:00:701,36538,36538,0,0,2484335,0,2425 139,3,2024-09-07 08:16:01:661,1,26,1,1,120,597,26,0 140,0,2024-09-07 08:16:01:656,5216,0.5,5088,0.8,10312,0.4,13969,1.75 140,1,2024-09-07 08:16:01:537,45837,45837,0,0,21773939752,228934850,45225,422,190,366,391482,0 140,2,2024-09-07 08:16:00:689,34222,34222,0,0,2846444,0,3388 140,3,2024-09-07 08:16:00:768,1,26,2,1,25,242,26,0 141,0,2024-09-07 08:16:01:715,3854,0.2,3999,0.4,7798,0.1,10431,1.50 141,1,2024-09-07 08:16:00:871,45472,45472,0,0,22340615198,238193742,44123,1018,331,382,391532,0 141,2,2024-09-07 08:16:01:688,29759,29759,0,0,1780047,0,2342 141,3,2024-09-07 08:16:01:045,1,26,1,0,53,194,26,0 142,0,2024-09-07 08:16:01:315,5800,0.4,5661,0.7,11385,0.3,15587,1.75 142,1,2024-09-07 08:16:00:600,45466,45466,0,0,21768364203,229068378,45221,245,0,385,391576,0 142,2,2024-09-07 08:16:01:299,30473,30473,0,0,2007550,0,2293 142,3,2024-09-07 08:16:01:749,1,26,1,1,53,196,26,0 143,0,2024-09-07 08:16:01:387,9884,1.4,9860,1.2,19825,1.8,26514,2.25 143,1,2024-09-07 08:16:00:589,45277,45277,0,0,21804176306,229708047,44495,761,21,370,391608,0 143,2,2024-09-07 08:16:00:774,35264,35264,0,0,2442464,0,2669 143,3,2024-09-07 08:16:01:144,1,26,1,1,236,701,26,0 144,0,2024-09-07 08:16:01:540,4620,5.5,4805,10.0,9629,6.1,13987,3.00 144,1,2024-09-07 08:16:00:588,45005,45005,0,0,21132216045,227543721,43798,1024,183,383,391562,0 144,2,2024-09-07 08:16:01:758,33766,33766,0,0,2190811,0,2653 144,3,2024-09-07 08:16:01:740,1,26,8,1,112,501,26,0 145,0,2024-09-07 08:16:01:429,4825,0.4,4860,0.7,10120,0.3,13029,2.00 145,1,2024-09-07 08:16:00:553,44953,44953,0,0,21081789840,223721488,44268,533,152,383,391615,0 145,2,2024-09-07 08:16:01:654,32114,32114,0,0,2530515,0,3903 145,3,2024-09-07 08:16:00:900,1,26,19,1,151,602,26,0 146,0,2024-09-07 08:16:01:639,4719,0.3,4692,0.6,9376,0.2,12685,1.75 146,1,2024-09-07 08:16:01:599,45427,45427,0,0,21677987219,233854420,43601,1528,298,370,391512,0 146,2,2024-09-07 08:16:01:701,30078,30078,0,0,1768923,0,2149 146,3,2024-09-07 08:16:01:277,1,26,2,0,54,256,26,0 147,0,2024-09-07 08:16:01:715,9635,2.7,9477,1.7,18873,3.2,26161,2.50 147,1,2024-09-07 08:16:01:373,45568,45568,0,0,21573610823,227980008,44846,595,127,369,391791,0 147,2,2024-09-07 08:16:01:013,33197,33197,0,0,2185169,0,2789 147,3,2024-09-07 08:16:00:916,1,26,1,1,141,483,26,0 0,0,2024-09-07 08:16:11:725,4720,0.5,4711,0.8,9882,0.4,12422,2.25 0,1,2024-09-07 08:16:10:822,47099,47099,0,0,22631531466,242139011,46151,836,112,373,391673,0 0,2,2024-09-07 08:16:11:096,30841,30841,0,0,1679459,0,2095 0,3,2024-09-07 08:16:10:991,1,27,9,2,247,600,27,0 1,0,2024-09-07 08:16:11:868,8145,3.5,8042,2.2,16344,5.3,22512,3.50 1,1,2024-09-07 08:16:10:603,46498,46498,0,0,21632832528,234045282,44917,1060,521,372,391857,0 1,2,2024-09-07 08:16:10:669,32046,32046,0,0,2140079,0,3267 1,3,2024-09-07 08:16:11:309,1,27,2,1,167,387,27,0 2,0,2024-09-07 08:16:11:584,8993,4.1,9125,2.3,18015,6.1,24005,4.50 2,1,2024-09-07 08:16:10:861,46834,46834,0,0,22519954888,238495369,46176,569,89,382,391527,0 2,2,2024-09-07 08:16:11:267,37126,37126,0,0,2647907,0,3304 2,3,2024-09-07 08:16:10:698,1,27,1,1,87,355,27,0 3,0,2024-09-07 08:16:11:760,6195,0.5,6104,0.8,12267,0.4,16241,2.25 3,1,2024-09-07 08:16:11:619,46791,46791,0,0,22365897058,242080191,44857,1549,385,383,391494,0 3,2,2024-09-07 08:16:11:151,35585,35562,23,0,3041095,0,5851 3,3,2024-09-07 08:16:11:757,1,27,13,1,103,225,27,0 4,0,2024-09-07 08:16:11:843,4327,0.3,4461,0.5,8938,0.2,11944,1.75 4,1,2024-09-07 08:16:10:607,47240,47240,0,0,22501184561,241156636,46061,836,343,372,391682,0 4,2,2024-09-07 08:16:11:029,29944,29944,0,0,2245280,0,4528 4,3,2024-09-07 08:16:11:037,1,27,8,8,287,677,27,0 5,0,2024-09-07 08:16:11:499,6282,1.2,6236,1.1,12487,1.9,16627,2.25 5,1,2024-09-07 08:16:10:767,47157,47157,0,0,22537661260,237174713,46551,513,93,368,392005,0 5,2,2024-09-07 08:16:11:901,32064,32064,0,0,1606305,0,1912 5,3,2024-09-07 08:16:11:737,1,27,41,1,182,664,27,0 6,0,2024-09-07 08:16:10:963,10769,1.0,10825,1.4,21351,1.6,28901,3.50 6,1,2024-09-07 08:16:10:756,47324,47324,0,0,22167154676,232259274,46948,371,5,382,391603,0 6,2,2024-09-07 08:16:11:117,37920,37920,0,0,2468925,0,2232 6,3,2024-09-07 08:16:11:277,1,27,4,1,277,576,27,0 7,0,2024-09-07 08:16:11:563,4564,0.4,4676,0.6,9401,0.2,12608,1.75 7,1,2024-09-07 08:16:10:862,46730,46730,0,0,22379466507,236956674,45996,670,64,384,391558,0 7,2,2024-09-07 08:16:10:783,35504,35504,0,0,1994811,0,2981 7,3,2024-09-07 08:16:10:864,1,27,1,0,78,305,27,0 8,0,2024-09-07 08:16:11:390,4706,0.3,4579,0.4,9004,0.2,11961,1.50 8,1,2024-09-07 08:16:11:030,46835,46835,0,0,22737556893,251810015,43947,1655,1233,368,391724,0 8,2,2024-09-07 08:16:10:799,30934,30934,0,0,2361402,0,2986 8,3,2024-09-07 08:16:10:595,1,27,12,1,229,638,27,0 9,0,2024-09-07 08:16:11:145,5569,0.3,5410,0.5,11266,0.2,14743,1.50 9,1,2024-09-07 08:16:10:563,46859,46859,0,0,22917129056,246972813,45157,1221,481,370,391553,0 9,2,2024-09-07 08:16:11:115,31605,31605,0,0,2165131,0,3360 9,3,2024-09-07 08:16:11:758,1,27,2,0,50,288,27,0 10,0,2024-09-07 08:16:11:616,10889,0.4,10803,0.6,21850,0.4,29049,1.75 10,1,2024-09-07 08:16:10:584,46852,46852,0,0,22279835092,241894374,44635,1837,380,383,391541,0 10,2,2024-09-07 08:16:10:766,35630,35630,0,0,2733443,0,2940 10,3,2024-09-07 08:16:10:885,1,27,4,0,118,234,27,0 11,0,2024-09-07 08:16:11:022,5108,6.1,5026,3.6,10483,7.9,14650,3.50 11,1,2024-09-07 08:16:10:573,46892,46892,0,0,22684911618,246301361,44755,1401,736,384,391485,0 11,2,2024-09-07 08:16:11:126,34973,34973,0,0,2261126,0,2635 11,3,2024-09-07 08:16:11:300,1,27,3,1,720,985,27,0 12,0,2024-09-07 08:16:11:016,6084,0.3,6095,0.5,12081,0.2,15558,1.75 12,1,2024-09-07 08:16:10:967,47063,47063,0,0,21913658197,234157191,46095,945,23,372,391611,0 12,2,2024-09-07 08:16:11:546,34518,34518,0,0,2416699,0,2254 12,3,2024-09-07 08:16:11:067,1,27,10,2,358,887,27,0 13,0,2024-09-07 08:16:11:384,4798,0.4,4828,0.6,9655,0.3,12491,1.75 13,1,2024-09-07 08:16:11:556,47317,47317,0,0,22292829562,237394824,46701,577,39,385,391563,0 13,2,2024-09-07 08:16:10:598,31443,31443,0,0,1788892,0,3287 13,3,2024-09-07 08:16:11:773,1,27,3,1,153,466,27,0 14,0,2024-09-07 08:16:10:587,9758,0.5,9680,0.7,19289,0.5,26249,1.75 14,1,2024-09-07 08:16:11:571,47716,47716,0,0,22165347974,232760560,47135,552,29,365,391546,0 14,2,2024-09-07 08:16:10:771,32780,32780,0,0,2257027,0,2077 14,3,2024-09-07 08:16:11:125,1,27,1,1,59,224,27,0 15,0,2024-09-07 08:16:11:577,7480,5.0,7385,2.8,14857,6.9,20275,4.25 15,1,2024-09-07 08:16:11:611,47258,47258,0,0,22289434580,235653340,46783,473,2,381,391536,0 15,2,2024-09-07 08:16:11:008,37092,37092,0,0,1952949,0,3043 15,3,2024-09-07 08:16:11:406,1,27,3,0,538,915,27,0 16,0,2024-09-07 08:16:10:975,6243,0.4,6291,0.7,12567,0.3,16341,2.25 16,1,2024-09-07 08:16:10:570,47315,47315,0,0,22104101542,236088335,46424,873,18,373,391543,0 16,2,2024-09-07 08:16:11:468,35076,35076,0,0,2760540,0,4719 16,3,2024-09-07 08:16:11:146,1,27,16,3,231,819,27,0 17,0,2024-09-07 08:16:11:856,5116,0.4,5182,0.8,9978,0.3,13328,2.25 17,1,2024-09-07 08:16:10:591,46832,46832,0,0,22110609692,239025774,45477,847,508,369,391671,0 17,2,2024-09-07 08:16:11:666,30614,30614,0,0,1797015,0,1865 17,3,2024-09-07 08:16:10:587,1,27,4,0,268,853,27,0 18,0,2024-09-07 08:16:10:967,6790,1.2,6952,1.2,13813,1.5,18727,2.75 18,1,2024-09-07 08:16:11:639,46789,46789,0,0,21819594633,233525896,45690,915,184,370,391526,0 18,2,2024-09-07 08:16:11:768,31432,31432,0,0,2696243,0,3541 18,3,2024-09-07 08:16:10:906,1,27,4,0,142,471,27,0 19,0,2024-09-07 08:16:11:572,9901,4.0,10074,2.3,19713,6.9,26122,6.00 19,1,2024-09-07 08:16:10:576,46947,46947,0,0,22236929582,239320754,45319,1466,162,367,391529,0 19,2,2024-09-07 08:16:11:759,37777,37777,0,0,2951927,0,3988 19,3,2024-09-07 08:16:11:132,1,27,1,0,524,609,27,0 20,0,2024-09-07 08:16:11:431,5471,0.3,5629,0.5,10824,0.2,14297,1.75 20,1,2024-09-07 08:16:10:589,47084,47084,0,0,22559601608,237973897,46524,557,3,370,391492,0 20,2,2024-09-07 08:16:10:937,35723,35723,0,0,2054064,0,1616 20,3,2024-09-07 08:16:10:604,1,27,4,1,99,467,27,0 21,0,2024-09-07 08:16:11:242,4289,0.3,4176,0.5,8308,0.2,10811,1.75 21,1,2024-09-07 08:16:11:543,47090,47090,0,0,21894243470,232280530,46240,816,34,368,391962,0 21,2,2024-09-07 08:16:11:106,30630,30630,0,0,1755376,0,3747 21,3,2024-09-07 08:16:11:405,1,27,93,1,93,437,27,0 22,0,2024-09-07 08:16:11:735,5791,1.0,5972,1.3,11444,0.7,15668,3.00 22,1,2024-09-07 08:16:11:032,47085,47085,0,0,21903216521,236656879,45465,1242,378,382,391667,0 22,2,2024-09-07 08:16:10:767,32100,32100,0,0,1641835,0,1805 22,3,2024-09-07 08:16:11:083,1,27,1,0,48,160,27,0 23,0,2024-09-07 08:16:11:401,10117,0.4,10347,0.6,20485,0.4,27145,2.00 23,1,2024-09-07 08:16:11:004,47899,47899,0,0,22617653955,235866141,47609,281,9,368,391482,0 23,2,2024-09-07 08:16:11:092,37467,37467,0,0,1923948,0,2078 23,3,2024-09-07 08:16:11:762,1,27,1,0,645,893,27,0 24,0,2024-09-07 08:16:10:906,5549,1.2,5525,1.4,11204,1.9,15156,1.75 24,1,2024-09-07 08:16:10:594,47521,47521,0,0,22484123805,237930322,46914,603,4,371,391640,0 24,2,2024-09-07 08:16:11:092,34565,34565,0,0,3195890,0,2942 24,3,2024-09-07 08:16:11:686,1,27,9,2,234,527,27,0 25,0,2024-09-07 08:16:11:389,5303,0.3,5217,0.5,10097,0.2,13516,1.50 25,1,2024-09-07 08:16:10:560,47208,47208,0,0,21971093059,232754080,46593,599,16,373,391544,0 25,2,2024-09-07 08:16:11:620,32758,32758,0,0,2324662,0,1963 25,3,2024-09-07 08:16:11:016,1,27,7,1,50,281,27,0 26,0,2024-09-07 08:16:11:746,4946,0.2,4883,0.4,10247,0.1,13639,1.50 26,1,2024-09-07 08:16:11:543,46948,46948,0,0,22040425155,241468322,44719,1531,698,382,391519,0 26,2,2024-09-07 08:16:10:877,31963,31963,0,0,2409550,0,2809 26,3,2024-09-07 08:16:11:713,1,27,1,1,796,935,27,0 27,0,2024-09-07 08:16:11:740,10098,0.4,10160,0.6,20408,0.4,27664,1.75 27,1,2024-09-07 08:16:11:678,47877,47877,0,0,22856833278,238231392,47648,228,1,381,391526,0 27,2,2024-09-07 08:16:10:870,33628,33628,0,0,3558034,0,3409 27,3,2024-09-07 08:16:11:019,1,27,1,1,86,302,27,0 28,0,2024-09-07 08:16:11:402,6439,2.0,6522,1.6,13070,3.7,17188,2.00 28,1,2024-09-07 08:16:10:799,47281,47281,0,0,22715733804,239639004,46789,483,9,383,391497,0 28,2,2024-09-07 08:16:11:773,35739,35739,0,0,1985754,0,2609 28,3,2024-09-07 08:16:11:777,1,27,12,1,502,792,27,0 29,0,2024-09-07 08:16:11:387,6383,0.3,6251,0.5,12360,0.2,16350,1.75 29,1,2024-09-07 08:16:11:571,47632,47632,0,0,22164807023,232407653,47022,438,172,369,391621,0 29,2,2024-09-07 08:16:10:861,35494,35494,0,0,1717456,0,2026 29,3,2024-09-07 08:16:10:965,1,27,3,1,105,400,27,0 30,0,2024-09-07 08:16:11:488,4904,0.3,4753,0.5,9854,0.2,12434,1.75 30,1,2024-09-07 08:16:10:585,46966,46966,0,0,23142097855,245141150,46318,586,62,382,391524,0 30,2,2024-09-07 08:16:11:277,30817,30817,0,0,1297556,0,1567 30,3,2024-09-07 08:16:10:588,1,27,1,0,110,188,27,0 31,0,2024-09-07 08:16:11:779,8416,0.5,8459,0.7,17003,0.5,22671,1.75 31,1,2024-09-07 08:16:10:585,47056,47056,0,0,22211980633,230585245,46941,115,0,356,391478,0 31,2,2024-09-07 08:16:11:280,32377,32377,0,0,2491213,0,3525 31,3,2024-09-07 08:16:11:713,1,27,1,0,40,116,27,0 32,0,2024-09-07 08:16:11:454,9219,3.0,9367,1.7,18808,4.1,24607,2.00 32,1,2024-09-07 08:16:10:811,47232,47232,0,0,22119391007,234269619,46580,641,11,383,391595,0 32,2,2024-09-07 08:16:10:935,37912,37912,0,0,2433124,0,3155 32,3,2024-09-07 08:16:11:024,1,27,110,1,110,382,27,0 33,0,2024-09-07 08:16:11:545,6158,0.3,6128,0.5,12378,0.2,16182,1.75 33,1,2024-09-07 08:16:10:588,46780,46780,0,0,22490305183,239111055,45590,1080,110,369,391497,0 33,2,2024-09-07 08:16:10:763,35169,35136,33,0,3690257,0,7012 33,3,2024-09-07 08:16:10:905,1,27,1,1,27,129,27,0 34,0,2024-09-07 08:16:10:939,4388,0.2,4493,0.5,8716,0.1,11917,1.75 34,1,2024-09-07 08:16:11:046,47251,47251,0,0,22328270715,234241894,46862,386,3,369,391481,0 34,2,2024-09-07 08:16:10:776,29815,29815,0,0,2166065,0,3073 34,3,2024-09-07 08:16:11:687,1,27,4,0,58,223,27,0 35,0,2024-09-07 08:16:10:884,6159,2.3,6141,1.6,12293,2.9,16594,2.50 35,1,2024-09-07 08:16:11:068,47022,47022,0,0,22419803145,238703049,45677,984,361,385,391587,0 35,2,2024-09-07 08:16:11:590,31970,31970,0,0,1974426,0,2404 35,3,2024-09-07 08:16:10:908,1,27,56,1,219,614,27,0 36,0,2024-09-07 08:16:11:544,10713,1.9,10659,1.6,21718,2.2,29420,3.50 36,1,2024-09-07 08:16:10:691,46690,46690,0,0,21685103867,236712412,44195,1641,854,369,391535,0 36,2,2024-09-07 08:16:11:770,37899,37899,0,0,2338167,0,2554 36,3,2024-09-07 08:16:10:874,1,27,9,0,378,732,27,0 37,0,2024-09-07 08:16:11:377,4710,0.3,4691,0.5,9453,0.2,12665,1.75 37,1,2024-09-07 08:16:10:587,46443,46436,0,7,22276867899,246632749,43889,1040,1507,368,391507,0 37,2,2024-09-07 08:16:11:146,35761,35761,0,0,1766985,0,1704 37,3,2024-09-07 08:16:11:772,1,27,0,0,31,139,27,0 38,0,2024-09-07 08:16:11:454,4548,0.3,4445,0.6,9231,0.2,11958,1.75 38,1,2024-09-07 08:16:11:610,47867,47867,0,0,22852652653,240771383,47212,617,38,371,391512,0 38,2,2024-09-07 08:16:10:764,31592,31592,0,0,1869895,0,3245 38,3,2024-09-07 08:16:11:002,1,27,10,0,63,267,27,0 39,0,2024-09-07 08:16:11:773,5760,0.3,5676,0.6,11064,0.2,14837,1.75 39,1,2024-09-07 08:16:10:744,47574,47574,0,0,21415790971,227692585,46410,746,418,366,391486,0 39,2,2024-09-07 08:16:11:419,31708,31708,0,0,1567391,0,2381 39,3,2024-09-07 08:16:10:720,1,27,3,1,189,513,27,0 40,0,2024-09-07 08:16:11:543,10520,1.2,10749,2.5,21314,2.0,28876,3.00 40,1,2024-09-07 08:16:10:584,47298,47298,0,0,21623281432,233564897,45433,1338,527,371,391543,0 40,2,2024-09-07 08:16:11:309,35475,35474,1,0,2978882,0,5137 40,3,2024-09-07 08:16:11:142,1,27,2,1,63,307,27,0 41,0,2024-09-07 08:16:11:034,4742,7.2,4946,10.0,9541,9.5,13475,6.50 41,1,2024-09-07 08:16:10:782,47171,47171,0,0,22715986404,241881618,45922,1057,192,370,391483,0 41,2,2024-09-07 08:16:10:780,34904,34904,0,0,3053438,0,3325 41,3,2024-09-07 08:16:11:676,1,27,5,1,19,74,27,0 42,0,2024-09-07 08:16:11:488,5936,0.5,5957,0.9,11887,0.4,15553,2.50 42,1,2024-09-07 08:16:11:442,46740,46740,0,0,22703813101,247844261,44620,1418,702,381,391511,0 42,2,2024-09-07 08:16:11:145,34233,34233,0,0,2649043,0,3568 42,3,2024-09-07 08:16:11:016,1,27,77,0,100,432,27,0 43,0,2024-09-07 08:16:10:936,4777,0.3,4715,0.6,9864,0.2,12515,1.75 43,1,2024-09-07 08:16:10:586,46975,46975,0,0,22690364450,242085775,45562,822,591,368,391507,0 43,2,2024-09-07 08:16:11:738,31304,31304,0,0,2162121,0,3812 43,3,2024-09-07 08:16:11:756,1,27,1,1,292,767,27,0 44,0,2024-09-07 08:16:10:868,9659,0.5,9607,0.8,19207,0.5,26232,2.00 44,1,2024-09-07 08:16:10:567,47491,47491,0,0,22079535522,231235977,47061,421,9,358,391493,0 44,2,2024-09-07 08:16:11:274,32601,32601,0,0,1696875,0,1592 44,3,2024-09-07 08:16:11:092,1,27,8,1,817,1103,27,0 45,0,2024-09-07 08:16:11:777,7440,5.1,7262,2.8,15193,6.6,20462,2.50 45,1,2024-09-07 08:16:11:014,47279,47279,0,0,22805345915,239426673,47031,248,0,382,391548,0 45,2,2024-09-07 08:16:11:276,37148,37148,0,0,2559077,0,2628 45,3,2024-09-07 08:16:10:934,1,27,5,1,226,482,27,0 46,0,2024-09-07 08:16:10:966,6317,0.3,6336,0.6,12652,0.2,16409,1.75 46,1,2024-09-07 08:16:10:585,47515,47515,0,0,22319004340,233220724,47089,400,26,370,391514,0 46,2,2024-09-07 08:16:10:595,35681,35681,0,0,2271745,0,2920 46,3,2024-09-07 08:16:11:131,1,27,8,0,200,517,27,0 47,0,2024-09-07 08:16:11:136,5102,0.3,5154,0.5,10120,0.2,13310,1.50 47,1,2024-09-07 08:16:10:567,47656,47656,0,0,21938899628,228594029,47449,206,1,368,391481,0 47,2,2024-09-07 08:16:10:908,30713,30713,0,0,1995585,0,2558 47,3,2024-09-07 08:16:11:125,1,27,2,1,529,654,27,0 48,0,2024-09-07 08:16:11:544,6952,0.6,6922,0.7,13785,0.7,18931,2.00 48,1,2024-09-07 08:16:11:031,46931,46931,0,0,22255989149,237982645,45836,1090,5,386,391516,0 48,2,2024-09-07 08:16:10:708,32039,32039,0,0,1707039,0,2083 48,3,2024-09-07 08:16:10:755,1,27,2,1,23,255,27,0 49,0,2024-09-07 08:16:11:717,10408,3.5,10355,1.9,19839,5.5,27464,2.75 49,1,2024-09-07 08:16:11:022,46987,46987,0,0,22222866108,240501271,45375,903,709,382,391583,0 49,2,2024-09-07 08:16:11:803,38071,38071,0,0,2537516,0,2196 49,3,2024-09-07 08:16:11:418,1,27,1,0,274,574,27,0 50,0,2024-09-07 08:16:11:531,5502,0.3,5424,0.6,10799,0.2,14715,1.75 50,1,2024-09-07 08:16:11:017,47474,47474,0,0,23111937115,245159127,46183,1040,251,370,391530,0 50,2,2024-09-07 08:16:11:068,35870,35870,0,0,1944424,0,2034 50,3,2024-09-07 08:16:11:299,1,27,2,1,335,529,27,0 51,0,2024-09-07 08:16:11:696,4323,0.2,4179,0.4,8307,0.1,11087,1.50 51,1,2024-09-07 08:16:11:682,46889,46889,0,0,23193343099,248148840,45299,808,782,367,391504,0 51,2,2024-09-07 08:16:11:315,30282,30282,0,0,1367790,0,1936 51,3,2024-09-07 08:16:11:031,1,27,1,0,162,307,27,0 52,0,2024-09-07 08:16:11:476,6091,1.8,6076,1.5,12175,3.2,16419,4.25 52,1,2024-09-07 08:16:10:591,46451,46451,0,0,21872186921,236105362,44807,1380,264,371,391498,0 52,2,2024-09-07 08:16:11:758,32063,32063,0,0,2021568,0,4779 52,3,2024-09-07 08:16:10:680,1,27,6,4,1782,2078,27,0 53,0,2024-09-07 08:16:11:763,10238,1.1,9908,1.1,20509,1.3,27156,2.50 53,1,2024-09-07 08:16:10:782,47260,47260,0,0,22525767654,243066525,45373,1368,519,372,391523,0 53,2,2024-09-07 08:16:11:301,37459,37459,0,0,1660725,0,1520 53,3,2024-09-07 08:16:10:697,1,27,2,1,28,118,27,0 54,0,2024-09-07 08:16:11:637,4696,10.0,4662,10.0,9394,5.3,14065,6.00 54,1,2024-09-07 08:16:10:589,47309,47309,0,0,22833332864,239794550,46516,759,34,367,391520,0 54,2,2024-09-07 08:16:10:889,35326,35320,6,0,2420269,0,5382 54,3,2024-09-07 08:16:10:775,1,27,17,0,98,220,27,0 55,0,2024-09-07 08:16:11:776,5039,0.6,5194,0.9,10515,0.5,13480,2.50 55,1,2024-09-07 08:16:10:766,46795,46795,0,0,22462270627,240598696,44763,1466,566,369,391731,0 55,2,2024-09-07 08:16:10:733,32891,32891,0,0,1907755,0,2129 55,3,2024-09-07 08:16:10:680,1,27,67,0,69,273,27,0 56,0,2024-09-07 08:16:11:631,5088,1.4,4841,1.2,9965,2.0,13461,3.00 56,1,2024-09-07 08:16:10:572,47082,47082,0,0,22980076643,249919505,44969,1531,582,384,391536,0 56,2,2024-09-07 08:16:11:315,31586,31586,0,0,2509987,0,3567 56,3,2024-09-07 08:16:11:092,1,27,17,2,297,573,27,0 57,0,2024-09-07 08:16:11:120,9812,3.3,9670,2.1,19503,5.3,26692,4.25 57,1,2024-09-07 08:16:11:037,47525,47525,0,0,21724006999,231209180,46704,807,14,369,391505,0 57,2,2024-09-07 08:16:11:351,34126,34126,0,0,2446951,0,2687 57,3,2024-09-07 08:16:11:749,1,27,10,1,359,843,27,0 58,0,2024-09-07 08:16:10:573,5651,4.1,5514,2.5,11508,4.5,15298,7.00 58,1,2024-09-07 08:16:10:583,47361,47360,0,1,23250781312,247140099,46097,1106,157,371,391493,1 58,2,2024-09-07 08:16:11:084,36194,36194,0,0,2476182,0,2464 58,3,2024-09-07 08:16:11:083,1,27,2,1,219,521,27,0 59,0,2024-09-07 08:16:11:755,6232,1.3,6279,1.2,12457,1.5,16047,3.00 59,1,2024-09-07 08:16:10:811,47263,47263,0,0,22289320395,235572619,46583,675,5,371,391515,0 59,2,2024-09-07 08:16:10:584,35302,35302,0,0,2013820,0,2206 59,3,2024-09-07 08:16:11:737,1,27,1,0,25,151,27,0 60,0,2024-09-07 08:16:11:726,4896,0.4,4907,0.7,9682,0.2,12457,1.75 60,1,2024-09-07 08:16:10:781,47768,47768,0,0,22477477986,238342935,46881,543,344,370,391672,0 60,2,2024-09-07 08:16:11:156,31019,31019,0,0,1651787,0,2142 60,3,2024-09-07 08:16:11:261,1,27,8,0,124,424,27,0 61,0,2024-09-07 08:16:11:519,8199,2.7,8179,1.9,16229,3.8,22259,2.50 61,1,2024-09-07 08:16:10:792,46867,46867,0,0,21998332515,238586702,45012,1385,470,383,391560,0 61,2,2024-09-07 08:16:11:143,32620,32620,0,0,2172461,0,1846 61,3,2024-09-07 08:16:11:698,1,27,2,1,124,359,27,0 62,0,2024-09-07 08:16:11:725,9225,3.3,9472,1.8,18443,5.0,24608,3.25 62,1,2024-09-07 08:16:11:117,47465,47463,0,2,22814717111,239116927,46933,528,2,368,391586,2 62,2,2024-09-07 08:16:11:649,37190,37190,0,0,2605356,0,2931 62,3,2024-09-07 08:16:11:151,1,27,3,1,287,449,27,0 63,0,2024-09-07 08:16:11:466,6113,0.7,6130,0.9,12201,0.7,16277,2.25 63,1,2024-09-07 08:16:10:809,47751,47749,0,2,22564252186,235402160,47596,152,1,382,391540,2 63,2,2024-09-07 08:16:10:770,35766,35766,0,0,2345346,0,2674 63,3,2024-09-07 08:16:11:732,1,27,1,0,216,621,27,0 64,0,2024-09-07 08:16:11:598,4319,0.4,4361,0.7,8885,0.3,11827,2.00 64,1,2024-09-07 08:16:10:779,46842,46842,0,0,22509379521,246935119,44471,1580,791,371,391604,0 64,2,2024-09-07 08:16:11:146,30262,30243,19,0,2132463,0,6121 64,3,2024-09-07 08:16:11:146,1,27,5,1,163,428,27,0 65,0,2024-09-07 08:16:11:768,6042,5.0,6004,2.8,11879,6.6,16300,5.00 65,1,2024-09-07 08:16:10:888,47230,47230,0,0,22356563849,240375200,45720,1294,216,382,391506,0 65,2,2024-09-07 08:16:11:709,31753,31753,0,0,2629740,0,3367 65,3,2024-09-07 08:16:11:683,1,27,5,1,122,392,27,0 66,0,2024-09-07 08:16:11:772,10352,0.8,10542,1.2,21071,0.8,28386,4.50 66,1,2024-09-07 08:16:11:302,47921,47921,0,0,23159560153,243488681,47289,613,19,381,391537,0 66,2,2024-09-07 08:16:11:133,37750,37750,0,0,2319948,0,2934 66,3,2024-09-07 08:16:11:083,1,27,118,1,118,316,27,0 67,0,2024-09-07 08:16:11:428,4719,0.8,4675,1.0,9333,0.9,12556,2.50 67,1,2024-09-07 08:16:10:780,46889,46888,0,1,22282417762,240331248,45405,986,497,383,391567,1 67,2,2024-09-07 08:16:10:593,35855,35855,0,0,2296298,0,2889 67,3,2024-09-07 08:16:11:756,1,27,1,0,93,245,27,0 68,0,2024-09-07 08:16:10:615,4489,0.3,4534,0.6,9225,0.2,11886,1.75 68,1,2024-09-07 08:16:10:573,46913,46913,0,0,22085075205,235906064,45963,504,446,383,391591,0 68,2,2024-09-07 08:16:11:057,31758,31758,0,0,1910348,0,4883 68,3,2024-09-07 08:16:10:740,1,27,1,1,63,325,27,0 69,0,2024-09-07 08:16:11:790,5651,0.6,5541,0.9,11004,0.5,14821,2.25 69,1,2024-09-07 08:16:11:048,46531,46531,0,0,22417379715,244635396,45051,618,862,386,391530,0 69,2,2024-09-07 08:16:11:741,31938,31938,0,0,1661068,0,2462 69,3,2024-09-07 08:16:10:762,1,27,6,0,238,449,27,0 70,0,2024-09-07 08:16:11:556,10743,3.1,10713,3.4,21695,1.3,28808,3.50 70,1,2024-09-07 08:16:10:815,47525,47525,0,0,22783061317,237811882,47187,321,17,369,391527,0 70,2,2024-09-07 08:16:11:333,35976,35976,0,0,1989283,0,2433 70,3,2024-09-07 08:16:10:753,1,27,1,1,69,147,27,0 71,0,2024-09-07 08:16:11:383,4869,7.5,4894,8.8,9518,10.0,13829,6.50 71,1,2024-09-07 08:16:11:609,47265,47265,0,0,22262119439,237371907,45839,1180,246,368,391682,0 71,2,2024-09-07 08:16:11:081,35235,35235,0,0,1950518,0,2146 71,3,2024-09-07 08:16:11:755,1,27,7,1,174,382,27,0 72,0,2024-09-07 08:16:11:159,6166,0.5,5995,0.7,11890,0.3,15613,2.75 72,1,2024-09-07 08:16:11:049,47191,47191,0,0,21918595394,233731574,45958,1185,48,370,391514,0 72,2,2024-09-07 08:16:11:766,33543,33543,0,0,2915727,0,2570 72,3,2024-09-07 08:16:11:759,1,27,13,1,325,611,27,0 73,0,2024-09-07 08:16:11:136,4683,0.4,4830,0.6,9730,0.2,12440,2.25 73,1,2024-09-07 08:16:10:767,47398,47398,0,0,22004131141,231446837,47109,288,1,370,391497,0 73,2,2024-09-07 08:16:11:751,31113,31113,0,0,2511563,0,3482 73,3,2024-09-07 08:16:10:982,1,27,3,0,274,861,27,0 74,0,2024-09-07 08:16:11:341,9666,0.7,9889,0.9,19095,0.9,26402,2.75 74,1,2024-09-07 08:16:10:640,47118,47118,0,0,22342256279,234945670,46621,495,2,382,391494,0 74,2,2024-09-07 08:16:11:014,32836,32836,0,0,3243664,0,4253 74,3,2024-09-07 08:16:11:454,1,27,1,0,192,775,27,0 75,0,2024-09-07 08:16:11:774,7642,4.8,7622,2.5,15069,6.0,20586,3.75 75,1,2024-09-07 08:16:11:586,47650,47650,0,0,22623089488,238863851,47030,569,51,382,391514,0 75,2,2024-09-07 08:16:11:355,36819,36819,0,0,3166256,0,4766 75,3,2024-09-07 08:16:11:085,1,27,1,0,71,173,27,0 76,0,2024-09-07 08:16:10:612,6322,0.4,6326,0.7,12522,0.3,16235,2.50 76,1,2024-09-07 08:16:10:831,47040,47040,0,0,21816595273,235282606,45665,936,439,382,391530,0 76,2,2024-09-07 08:16:11:063,36087,36087,0,0,1994368,0,3064 76,3,2024-09-07 08:16:11:163,1,27,6,0,175,731,27,0 77,0,2024-09-07 08:16:11:733,5066,0.4,5079,0.8,10150,0.3,13348,2.25 77,1,2024-09-07 08:16:10:842,47261,47261,0,0,22587662426,239302418,46521,673,67,383,391512,0 77,2,2024-09-07 08:16:11:301,30300,30300,0,0,1425959,0,1637 77,3,2024-09-07 08:16:11:097,1,27,3,0,131,265,27,0 78,0,2024-09-07 08:16:11:729,6791,3.9,6717,2.3,13580,5.0,18448,3.25 78,1,2024-09-07 08:16:10:620,47695,47695,0,0,21793562002,230389609,47089,569,37,369,391540,0 78,2,2024-09-07 08:16:11:410,32070,32070,0,0,1743542,0,2114 78,3,2024-09-07 08:16:11:148,1,27,70,0,112,481,27,0 79,0,2024-09-07 08:16:11:378,9800,3.0,10089,1.8,20375,4.7,26531,4.75 79,1,2024-09-07 08:16:10:581,47301,47301,0,0,21817646948,230858798,46559,735,7,371,391486,0 79,2,2024-09-07 08:16:11:084,37980,37980,0,0,2225506,0,2679 79,3,2024-09-07 08:16:10:749,1,27,1,0,289,603,27,0 80,0,2024-09-07 08:16:11:130,5372,0.6,5551,0.8,10827,0.5,14598,2.25 80,1,2024-09-07 08:16:11:620,46824,46824,0,0,21798310647,232422194,45577,1132,115,371,391673,0 80,2,2024-09-07 08:16:11:120,35463,35463,0,0,2680523,0,4433 80,3,2024-09-07 08:16:10:584,1,27,9,1,148,667,27,0 81,0,2024-09-07 08:16:11:557,4213,0.3,4317,0.6,8250,0.2,11036,1.75 81,1,2024-09-07 08:16:11:658,47050,47050,0,0,22299392192,239801765,45465,1390,195,383,391646,0 81,2,2024-09-07 08:16:11:126,30016,30016,0,0,1981360,0,2811 81,3,2024-09-07 08:16:11:125,1,27,9,1,193,530,27,0 82,0,2024-09-07 08:16:11:556,5903,2.4,5851,1.8,11824,5.2,16376,3.75 82,1,2024-09-07 08:16:10:588,46721,46720,0,1,22278541946,239716101,45032,1158,530,384,391558,1 82,2,2024-09-07 08:16:11:698,32459,32459,0,0,1553416,0,1286 82,3,2024-09-07 08:16:11:754,1,27,1,1,65,306,27,0 83,0,2024-09-07 08:16:11:563,10400,1.3,10232,1.2,20297,1.5,27259,2.75 83,1,2024-09-07 08:16:10:554,47022,47022,0,0,21902475574,234186853,45924,998,100,384,391553,0 83,2,2024-09-07 08:16:10:779,36479,36479,0,0,2778138,0,3119 83,3,2024-09-07 08:16:10:758,1,27,0,0,91,255,27,0 84,0,2024-09-07 08:16:11:841,5040,10.0,4897,9.9,9900,3.9,14631,5.25 84,1,2024-09-07 08:16:11:047,47462,47462,0,0,22725542475,242201707,46359,863,240,370,391630,0 84,2,2024-09-07 08:16:10:585,35036,35036,0,0,2281717,0,3801 84,3,2024-09-07 08:16:11:151,1,27,21,1,21,259,27,0 85,0,2024-09-07 08:16:11:043,5022,0.4,5044,0.6,10608,0.3,13471,2.00 85,1,2024-09-07 08:16:10:563,46765,46765,0,0,22344794185,240269634,45248,1225,292,384,391505,0 85,2,2024-09-07 08:16:10:875,32851,32851,0,0,2127190,0,3656 85,3,2024-09-07 08:16:10:691,1,27,115,1,115,382,27,0 86,0,2024-09-07 08:16:10:899,4962,0.3,5169,0.5,9958,0.2,13614,2.00 86,1,2024-09-07 08:16:10:838,46881,46881,0,0,22615832702,240291465,45649,1054,178,367,391506,0 86,2,2024-09-07 08:16:10:862,31708,31707,1,0,2277168,0,5004 86,3,2024-09-07 08:16:10:597,1,27,199,1,199,466,27,0 87,0,2024-09-07 08:16:11:359,9989,2.7,9945,1.7,20149,3.9,27061,2.50 87,1,2024-09-07 08:16:10:571,47176,47176,0,0,22446020609,238470778,46168,924,84,369,391564,0 87,2,2024-09-07 08:16:11:091,34317,34317,0,0,1600207,0,2148 87,3,2024-09-07 08:16:11:820,1,27,11,1,322,796,27,0 88,0,2024-09-07 08:16:11:474,6471,2.6,6458,1.8,12911,3.7,17175,2.50 88,1,2024-09-07 08:16:10:579,47339,47339,0,0,22809680272,242448222,46360,887,92,367,391747,0 88,2,2024-09-07 08:16:10:701,36196,36196,0,0,2846159,0,3583 88,3,2024-09-07 08:16:11:377,1,27,24,1,77,412,27,0 89,0,2024-09-07 08:16:11:856,6464,0.4,6233,0.6,12418,0.4,16376,1.75 89,1,2024-09-07 08:16:10:563,46821,46821,0,0,22832477240,245802262,45436,776,609,384,391866,0 89,2,2024-09-07 08:16:11:134,35099,35099,0,0,2467744,0,2726 89,3,2024-09-07 08:16:11:808,1,27,3,1,325,901,27,0 90,0,2024-09-07 08:16:11:666,4790,0.2,4871,0.4,9940,0.2,12443,1.50 90,1,2024-09-07 08:16:10:593,47302,47302,0,0,21912692921,236205695,45794,1383,125,382,391522,0 90,2,2024-09-07 08:16:11:485,30540,30540,0,0,2431108,0,2635 90,3,2024-09-07 08:16:10:942,1,27,3,1,19,144,27,0 91,0,2024-09-07 08:16:11:026,8528,0.5,8230,0.6,16927,0.6,22622,1.75 91,1,2024-09-07 08:16:10:566,47217,47217,0,0,22826598477,243562798,46033,1017,167,384,391914,0 91,2,2024-09-07 08:16:11:345,31871,31871,0,0,1728653,0,1997 91,3,2024-09-07 08:16:10:602,1,27,16,1,155,391,27,0 92,0,2024-09-07 08:16:11:473,9339,2.6,9633,1.6,18433,4.2,24756,2.00 92,1,2024-09-07 08:16:10:587,47285,47285,0,0,22238917877,234330913,46904,334,47,383,391569,0 92,2,2024-09-07 08:16:11:360,38261,38261,0,0,2418902,0,2279 92,3,2024-09-07 08:16:11:010,1,27,10,1,68,354,27,0 93,0,2024-09-07 08:16:11:005,6148,0.5,6211,0.7,11878,0.4,16190,2.00 93,1,2024-09-07 08:16:10:819,46949,46949,0,0,22349614283,240372742,45556,962,431,368,391689,0 93,2,2024-09-07 08:16:10:937,35770,35770,0,0,2033504,0,2509 93,3,2024-09-07 08:16:11:417,1,27,9,1,143,330,27,0 94,0,2024-09-07 08:16:11:672,4553,0.3,4436,0.5,8772,0.2,11969,1.50 94,1,2024-09-07 08:16:10:576,46926,46926,0,0,22110930845,236182588,45946,923,57,382,391850,0 94,2,2024-09-07 08:16:10:774,30137,30137,0,0,1912975,0,2443 94,3,2024-09-07 08:16:11:699,1,27,1,1,231,629,27,0 95,0,2024-09-07 08:16:11:477,6269,0.8,6172,0.9,12742,0.9,16634,2.00 95,1,2024-09-07 08:16:10:862,47232,47232,0,0,22063026216,232227524,46908,320,4,368,391590,0 95,2,2024-09-07 08:16:11:060,31806,31806,0,0,2142279,0,3308 95,3,2024-09-07 08:16:11:730,1,27,15,0,135,337,27,0 96,0,2024-09-07 08:16:11:045,10872,0.9,10775,1.2,21628,1.1,29217,2.50 96,1,2024-09-07 08:16:11:593,47053,47053,0,0,22519459956,243631393,45631,858,564,385,391551,0 96,2,2024-09-07 08:16:11:279,37624,37624,0,0,2552554,0,3467 96,3,2024-09-07 08:16:11:149,1,27,2,2,36,379,27,0 97,0,2024-09-07 08:16:11:401,4742,1.1,4703,1.0,9331,1.2,12593,2.75 97,1,2024-09-07 08:16:10:773,47006,47006,0,0,22698932575,244078288,45169,1321,516,367,391547,0 97,2,2024-09-07 08:16:10:608,35134,35134,0,0,2085607,0,2998 97,3,2024-09-07 08:16:10:575,1,27,2,1,97,318,27,0 98,0,2024-09-07 08:16:11:832,4555,0.3,4497,0.5,9078,0.2,11966,1.75 98,1,2024-09-07 08:16:10:589,46846,46846,0,0,22431514650,238546124,46136,681,29,384,391588,0 98,2,2024-09-07 08:16:10:781,31406,31406,0,0,2250168,0,3080 98,3,2024-09-07 08:16:10:710,1,27,5,1,155,439,27,0 99,0,2024-09-07 08:16:11:624,5511,0.4,5437,0.6,11011,0.3,14775,2.00 99,1,2024-09-07 08:16:11:788,48004,48004,0,0,21757763898,229717331,47404,439,161,382,391602,0 99,2,2024-09-07 08:16:11:419,32129,32129,0,0,2306442,0,1858 99,3,2024-09-07 08:16:10:587,1,27,5,1,65,291,27,0 100,0,2024-09-07 08:16:11:569,10610,1.2,10603,3.8,21183,3.7,28791,2.50 100,1,2024-09-07 08:16:10:560,46881,46881,0,0,21809392934,239106371,44726,1828,327,382,391505,0 100,2,2024-09-07 08:16:11:820,35830,35819,11,0,2532469,0,5417 100,3,2024-09-07 08:16:11:737,1,27,6,1,443,1366,27,0 101,0,2024-09-07 08:16:11:743,5149,8.3,4954,5.7,10046,8.3,14660,4.25 101,1,2024-09-07 08:16:10:559,46901,46901,0,0,22585584068,242029175,45763,714,424,370,391531,0 101,2,2024-09-07 08:16:11:760,35437,35437,0,0,2501193,0,4644 101,3,2024-09-07 08:16:10:945,1,27,22,1,448,624,27,0 102,0,2024-09-07 08:16:10:980,5860,0.8,6113,0.8,12147,0.5,15507,2.00 102,1,2024-09-07 08:16:11:163,47321,47321,0,0,22839335639,244272489,45936,1040,345,369,391621,0 102,2,2024-09-07 08:16:11:740,34192,34192,0,0,1668501,0,1945 102,3,2024-09-07 08:16:11:615,1,27,1,0,410,627,27,0 103,0,2024-09-07 08:16:11:664,4913,0.3,4907,0.4,9285,0.2,12441,1.50 103,1,2024-09-07 08:16:11:635,47514,47514,0,0,22476183994,236888401,47076,389,49,381,391680,0 103,2,2024-09-07 08:16:10:595,31723,31723,0,0,1610274,0,1878 103,3,2024-09-07 08:16:10:759,1,27,2,1,486,787,27,0 104,0,2024-09-07 08:16:11:039,9754,1.3,9934,1.2,18892,1.6,26305,2.00 104,1,2024-09-07 08:16:11:602,47444,47444,0,0,22188203517,239095571,45946,1182,316,368,391948,0 104,2,2024-09-07 08:16:11:673,32420,32420,0,0,2435632,0,3941 104,3,2024-09-07 08:16:11:449,1,27,7,7,70,424,27,0 105,0,2024-09-07 08:16:11:048,7467,4.8,7197,2.7,14912,7.5,20280,4.50 105,1,2024-09-07 08:16:10:563,46786,46786,0,0,21931821142,236975379,45056,1163,567,367,391797,0 105,2,2024-09-07 08:16:11:355,36736,36736,0,0,2438060,0,3314 105,3,2024-09-07 08:16:11:309,1,27,23,1,169,619,27,0 106,0,2024-09-07 08:16:10:948,6145,0.4,6170,0.7,12590,0.3,16353,2.00 106,1,2024-09-07 08:16:11:796,46918,46918,0,0,22394529679,240411843,45494,1222,202,371,391767,0 106,2,2024-09-07 08:16:10:757,35712,35712,0,0,2422719,0,1818 106,3,2024-09-07 08:16:10:684,1,27,24,1,171,298,27,0 107,0,2024-09-07 08:16:11:209,5142,0.3,5074,0.5,10049,0.2,13303,1.75 107,1,2024-09-07 08:16:10:599,47182,47182,0,0,22282463561,238103662,46188,868,126,382,391848,0 107,2,2024-09-07 08:16:11:317,30294,30293,1,0,1828594,0,5024 107,3,2024-09-07 08:16:11:780,1,27,9,1,353,702,27,0 108,0,2024-09-07 08:16:11:891,6846,1.9,6965,1.4,13683,2.5,18793,2.25 108,1,2024-09-07 08:16:11:314,46898,46898,0,0,22378758645,238225954,45765,1029,104,372,391563,0 108,2,2024-09-07 08:16:11:825,32274,32274,0,0,2300094,0,2647 108,3,2024-09-07 08:16:11:344,1,27,1,1,60,384,27,0 109,0,2024-09-07 08:16:11:916,10367,2.0,10467,1.3,20306,3.2,27507,2.25 109,1,2024-09-07 08:16:10:593,47277,47277,0,0,22576431296,240997037,46520,705,52,385,391576,0 109,2,2024-09-07 08:16:10:943,36982,36982,0,0,2863737,0,3617 109,3,2024-09-07 08:16:11:159,1,27,18,1,120,545,27,0 110,0,2024-09-07 08:16:11:774,5527,0.3,5375,0.6,11228,0.2,14639,1.75 110,1,2024-09-07 08:16:11:650,47193,47193,0,0,22140103851,234888061,46240,670,283,371,391564,0 110,2,2024-09-07 08:16:11:309,35910,35910,0,0,2187282,0,2915 110,3,2024-09-07 08:16:10:695,1,27,1,0,183,337,27,0 111,0,2024-09-07 08:16:11:428,4155,0.2,4203,0.4,8328,0.1,11032,1.50 111,1,2024-09-07 08:16:11:005,47398,47398,0,0,23412309353,244862656,47019,367,12,383,391597,0 111,2,2024-09-07 08:16:11:117,30703,30703,0,0,1807288,0,2763 111,3,2024-09-07 08:16:10:922,1,27,105,1,106,628,27,0 112,0,2024-09-07 08:16:10:925,6039,0.7,6063,0.7,12293,0.5,16768,2.00 112,1,2024-09-07 08:16:10:834,47183,47183,0,0,22920324073,245913205,45735,1102,346,382,391522,0 112,2,2024-09-07 08:16:11:149,31788,31787,1,0,2792833,0,5036 112,3,2024-09-07 08:16:10:592,1,27,15,1,282,524,27,0 113,0,2024-09-07 08:16:10:885,10236,0.4,10066,0.6,20541,0.4,27406,1.75 113,1,2024-09-07 08:16:11:688,47086,47086,0,0,22578739931,241571122,45452,1255,379,368,391661,0 113,2,2024-09-07 08:16:11:304,36869,36869,0,0,2194029,0,3021 113,3,2024-09-07 08:16:10:698,1,27,1,1,166,523,27,0 114,0,2024-09-07 08:16:10:889,5362,6.5,5485,4.8,10746,3.5,15098,2.50 114,1,2024-09-07 08:16:10:717,47048,47048,0,0,22692507575,245025917,45392,877,779,381,391513,0 114,2,2024-09-07 08:16:10:887,35039,35039,0,0,2408716,0,3925 114,3,2024-09-07 08:16:11:279,1,27,11,1,159,527,27,0 115,0,2024-09-07 08:16:10:590,5199,0.2,5258,0.5,10399,0.1,13634,1.50 115,1,2024-09-07 08:16:10:571,47161,47161,0,0,23101217677,246815039,45796,1143,222,384,391502,0 115,2,2024-09-07 08:16:11:125,33543,33543,0,0,1809081,0,2072 115,3,2024-09-07 08:16:11:002,1,27,2,0,159,312,27,0 116,0,2024-09-07 08:16:11:766,5053,0.3,5013,0.6,10162,0.2,13715,1.75 116,1,2024-09-07 08:16:10:812,46957,46957,0,0,22742111881,246118437,45690,409,858,382,391573,0 116,2,2024-09-07 08:16:11:759,32009,32009,0,0,1581245,0,2154 116,3,2024-09-07 08:16:10:912,1,27,10,2,132,404,27,0 117,0,2024-09-07 08:16:10:968,10081,2.5,10086,1.6,20234,3.6,27477,2.25 117,1,2024-09-07 08:16:11:598,47280,47280,0,0,22002280272,234732418,46237,823,220,371,391601,0 117,2,2024-09-07 08:16:11:125,34215,34215,0,0,2105581,0,3700 117,3,2024-09-07 08:16:11:084,1,27,6,1,490,1197,27,0 118,0,2024-09-07 08:16:11:922,6219,2.3,6333,1.5,13127,3.4,17119,2.75 118,1,2024-09-07 08:16:10:598,47447,47447,0,0,22107989154,232782821,47028,418,1,368,391725,0 118,2,2024-09-07 08:16:11:598,36483,36483,0,0,1918805,0,1450 118,3,2024-09-07 08:16:11:778,1,27,88,1,229,622,27,0 119,0,2024-09-07 08:16:11:407,6053,0.3,6208,0.6,12607,0.2,16303,1.75 119,1,2024-09-07 08:16:10:558,47053,47053,0,0,22614595934,240862533,45892,1028,133,371,391576,0 119,2,2024-09-07 08:16:11:287,34962,34962,0,0,2165710,0,2222 119,3,2024-09-07 08:16:11:332,1,27,1,1,443,1189,27,0 120,0,2024-09-07 08:16:11:590,4913,0.3,4887,0.6,9784,0.2,12477,1.75 120,1,2024-09-07 08:16:10:869,47329,47329,0,0,22289184464,237783312,46462,858,9,369,391702,0 120,2,2024-09-07 08:16:10:778,30605,30604,1,0,2740756,0,5281 120,3,2024-09-07 08:16:11:306,1,27,4,1,241,672,27,0 121,0,2024-09-07 08:16:11:754,8217,3.3,8160,2.0,16443,4.5,22646,2.50 121,1,2024-09-07 08:16:11:656,47000,47000,0,0,22396131177,240300318,45783,980,237,370,391633,0 121,2,2024-09-07 08:16:11:136,32245,32245,0,0,2890505,0,4127 121,3,2024-09-07 08:16:10:737,1,27,10,1,71,281,27,0 122,0,2024-09-07 08:16:11:792,9156,3.8,8871,3.4,18469,4.1,24868,3.00 122,1,2024-09-07 08:16:10:884,46495,46495,0,0,22413716512,243970709,44575,1551,369,369,392130,0 122,2,2024-09-07 08:16:11:331,37966,37966,0,0,3100580,0,2574 122,3,2024-09-07 08:16:10:598,1,27,11,1,226,1102,27,0 123,0,2024-09-07 08:16:11:007,6065,0.4,5866,0.7,12192,0.3,16210,2.00 123,1,2024-09-07 08:16:10:565,46839,46839,0,0,22227472354,243161565,44299,2086,454,371,391823,0 123,2,2024-09-07 08:16:11:027,35307,35306,1,0,2669099,0,5215 123,3,2024-09-07 08:16:11:149,1,27,1,1,160,594,27,0 124,0,2024-09-07 08:16:11:008,4537,0.3,4508,0.5,8627,0.2,11966,1.75 124,1,2024-09-07 08:16:11:048,47516,47516,0,0,22170586877,232244306,47343,171,2,370,392129,0 124,2,2024-09-07 08:16:11:019,29991,29991,0,0,1541127,0,2477 124,3,2024-09-07 08:16:10:764,1,27,16,2,490,1110,27,0 125,0,2024-09-07 08:16:11:511,6265,1.1,6227,1.1,12494,1.4,16636,2.00 125,1,2024-09-07 08:16:10:861,47046,47046,0,0,22284589214,237787469,46025,999,22,385,391702,0 125,2,2024-09-07 08:16:11:132,32018,32018,0,0,2222697,0,2180 125,3,2024-09-07 08:16:11:131,1,27,14,1,93,552,27,0 126,0,2024-09-07 08:16:11:443,10902,1.3,11155,1.1,21459,2.1,29800,2.25 126,1,2024-09-07 08:16:10:569,47430,47430,0,0,23000365086,241718171,46965,457,8,368,391719,0 126,2,2024-09-07 08:16:10:616,37481,37481,0,0,2501418,0,3186 126,3,2024-09-07 08:16:10:908,1,27,1,1,45,233,27,0 127,0,2024-09-07 08:16:11:627,4773,0.3,4716,0.6,9510,0.2,12663,1.75 127,1,2024-09-07 08:16:10:569,47025,47025,0,0,21933023061,231489308,46288,715,22,366,391614,0 127,2,2024-09-07 08:16:10:645,35777,35777,0,0,2078697,0,1744 127,3,2024-09-07 08:16:11:278,1,27,1,0,99,307,27,0 128,0,2024-09-07 08:16:11:574,4502,0.3,4580,0.5,8986,0.2,11915,1.75 128,1,2024-09-07 08:16:11:613,47373,47373,0,0,22548737273,237880220,46698,609,66,369,391605,0 128,2,2024-09-07 08:16:11:411,31030,31030,0,0,2128920,0,1919 128,3,2024-09-07 08:16:10:777,1,27,3,1,20,312,27,0 129,0,2024-09-07 08:16:11:153,5543,0.6,5605,0.8,11095,0.6,14830,2.00 129,1,2024-09-07 08:16:10:576,47183,47183,0,0,22349067725,239823785,45820,1098,265,379,391835,0 129,2,2024-09-07 08:16:10:699,31771,31771,0,0,2007536,0,2446 129,3,2024-09-07 08:16:10:699,1,27,2,1,88,385,27,0 130,0,2024-09-07 08:16:11:735,10843,1.6,10725,1.3,21475,2.2,29259,2.50 130,1,2024-09-07 08:16:10:591,47379,47379,0,0,22313960804,238559980,46321,1046,12,381,391520,0 130,2,2024-09-07 08:16:11:146,36017,36017,0,0,2067590,0,1539 130,3,2024-09-07 08:16:11:299,1,27,1,1,207,417,27,0 131,0,2024-09-07 08:16:11:944,5446,2.8,5307,2.1,10970,3.3,14674,2.75 131,1,2024-09-07 08:16:11:828,47352,47352,0,0,22468351104,239500674,46450,716,186,385,391727,0 131,2,2024-09-07 08:16:10:587,34848,34848,0,0,2075402,0,2415 131,3,2024-09-07 08:16:11:691,1,27,2,1,29,134,27,0 132,0,2024-09-07 08:16:11:468,5894,0.4,6044,0.9,12002,0.4,15582,2.00 132,1,2024-09-07 08:16:10:590,46973,46973,0,0,22040932923,237101582,45561,1149,263,383,391533,0 132,2,2024-09-07 08:16:10:714,34023,34023,0,0,3392150,0,4606 132,3,2024-09-07 08:16:11:690,1,27,8,3,356,654,27,0 133,0,2024-09-07 08:16:11:608,4715,0.3,4819,0.5,9786,0.2,12371,1.75 133,1,2024-09-07 08:16:10:595,46749,46749,0,0,22392587772,238595784,45779,966,4,385,391513,0 133,2,2024-09-07 08:16:11:154,31793,31793,0,0,1776179,0,1707 133,3,2024-09-07 08:16:11:316,1,27,5,1,26,116,27,0 134,0,2024-09-07 08:16:11:018,9621,0.4,9699,0.7,19312,0.4,26276,1.75 134,1,2024-09-07 08:16:10:601,47069,47069,0,0,22055155351,237270797,45536,786,747,366,391508,0 134,2,2024-09-07 08:16:11:757,32716,32716,0,0,1758746,0,2026 134,3,2024-09-07 08:16:10:764,1,27,2,1,63,365,27,0 135,0,2024-09-07 08:16:11:140,7534,3.3,7504,2.8,15866,3.3,20259,2.75 135,1,2024-09-07 08:16:11:592,47061,47061,0,0,22657576520,238632181,46363,682,16,383,391528,0 135,2,2024-09-07 08:16:10:699,37039,37039,0,0,2077015,0,3016 135,3,2024-09-07 08:16:11:014,1,27,44,0,68,307,27,0 136,0,2024-09-07 08:16:11:687,6292,0.5,6263,0.8,12531,0.4,16384,2.25 136,1,2024-09-07 08:16:11:461,47450,47450,0,0,22120873014,237455327,46118,1191,141,385,391501,0 136,2,2024-09-07 08:16:11:138,36575,36575,0,0,1961545,0,2199 136,3,2024-09-07 08:16:11:130,1,27,3,1,108,464,27,0 137,0,2024-09-07 08:16:10:984,5218,0.4,5083,0.7,10050,0.2,13323,2.00 137,1,2024-09-07 08:16:10:583,47142,47142,0,0,22621185121,241217690,46020,1035,87,368,391489,0 137,2,2024-09-07 08:16:11:729,29721,29721,0,0,2347552,0,2294 137,3,2024-09-07 08:16:10:813,1,27,1,1,227,479,27,0 138,0,2024-09-07 08:16:11:772,6729,1.0,6932,1.1,13890,1.1,18788,2.50 138,1,2024-09-07 08:16:11:690,47030,47030,0,0,22151485796,237800433,45596,1202,232,371,391573,0 138,2,2024-09-07 08:16:10:614,32274,32274,0,0,1942529,0,3263 138,3,2024-09-07 08:16:10:620,1,27,4,1,68,254,27,0 139,0,2024-09-07 08:16:11:394,9845,6.0,9752,3.4,19872,6.2,26950,4.50 139,1,2024-09-07 08:16:10:576,46674,46674,0,0,22315223509,246696197,44329,1635,710,383,391511,0 139,2,2024-09-07 08:16:10:722,37968,37968,0,0,2523638,0,2425 139,3,2024-09-07 08:16:11:667,1,27,1,1,120,598,27,0 140,0,2024-09-07 08:16:11:614,5522,0.5,5386,0.7,10895,0.3,14746,1.75 140,1,2024-09-07 08:16:11:543,47552,47552,0,0,22508709688,236713813,46940,422,190,366,391482,0 140,2,2024-09-07 08:16:10:691,35651,35651,0,0,2911406,0,3388 140,3,2024-09-07 08:16:10:777,1,27,12,1,25,254,27,0 141,0,2024-09-07 08:16:11:731,4062,0.2,4225,0.4,8215,0.1,11010,1.50 141,1,2024-09-07 08:16:10:874,47226,47226,0,0,23262436066,247887354,45867,1028,331,382,391532,0 141,2,2024-09-07 08:16:11:688,30579,30579,0,0,1801630,0,2342 141,3,2024-09-07 08:16:11:050,1,27,4,0,53,198,27,0 142,0,2024-09-07 08:16:11:381,6241,0.4,6127,0.7,12302,0.4,16824,1.75 142,1,2024-09-07 08:16:10:595,47296,47296,0,0,22697734962,238778519,47049,247,0,385,391649,0 142,2,2024-09-07 08:16:11:313,31899,31899,0,0,2075269,0,2293 142,3,2024-09-07 08:16:11:758,1,27,32,1,53,228,27,0 143,0,2024-09-07 08:16:11:451,10173,1.4,10107,1.2,20419,1.7,27217,2.25 143,1,2024-09-07 08:16:10:560,46992,46992,0,0,22437699239,236368453,46210,761,21,370,391608,0 143,2,2024-09-07 08:16:10:831,36807,36807,0,0,2493442,0,2669 143,3,2024-09-07 08:16:11:146,1,27,6,1,236,707,27,0 144,0,2024-09-07 08:16:11:532,4977,5.2,5114,10.0,10270,5.6,14979,3.00 144,1,2024-09-07 08:16:10:585,46967,46967,0,0,21990837501,237506683,45562,1217,188,383,391562,0 144,2,2024-09-07 08:16:11:759,35111,35111,0,0,2257384,0,2653 144,3,2024-09-07 08:16:11:747,1,27,9,1,112,510,27,0 145,0,2024-09-07 08:16:11:384,4994,0.4,5020,0.7,10456,0.3,13506,2.00 145,1,2024-09-07 08:16:10:562,46529,46529,0,0,21751419414,230908030,45837,540,152,383,391615,0 145,2,2024-09-07 08:16:11:449,32870,32870,0,0,2601972,0,3903 145,3,2024-09-07 08:16:10:896,1,27,2,1,151,604,27,0 146,0,2024-09-07 08:16:11:658,5056,0.3,5038,0.6,10009,0.2,13641,1.75 146,1,2024-09-07 08:16:11:626,47200,47200,0,0,22470642860,241985842,45374,1528,298,370,391512,0 146,2,2024-09-07 08:16:11:723,31645,31645,0,0,1812748,0,2149 146,3,2024-09-07 08:16:11:291,1,27,21,0,54,277,27,0 147,0,2024-09-07 08:16:11:717,10087,2.6,9903,1.7,19758,3.1,27609,2.75 147,1,2024-09-07 08:16:11:372,47321,47321,0,0,22665506569,239163565,46599,595,127,369,391791,0 147,2,2024-09-07 08:16:11:021,34635,34635,0,0,2273258,0,2789 147,3,2024-09-07 08:16:10:914,1,27,74,1,141,557,27,0 0,0,2024-09-07 08:16:21:732,4733,0.5,4722,0.8,9914,0.4,12462,2.25 0,1,2024-09-07 08:16:20:819,48812,48812,0,0,23330549752,249471157,47864,836,112,373,391673,0 0,2,2024-09-07 08:16:21:082,32270,32270,0,0,1733670,0,2095 0,3,2024-09-07 08:16:21:012,1,28,18,2,247,618,28,0 1,0,2024-09-07 08:16:21:797,8508,3.5,8409,2.2,17063,5.2,23508,3.50 1,1,2024-09-07 08:16:20:596,48331,48331,0,0,22587384316,244125326,46748,1060,523,372,391857,0 1,2,2024-09-07 08:16:20:688,33341,33341,0,0,2177062,0,3267 1,3,2024-09-07 08:16:21:319,1,28,22,1,167,409,28,0 2,0,2024-09-07 08:16:21:584,9061,4.0,9220,2.3,18183,6.1,24247,4.50 2,1,2024-09-07 08:16:20:862,48593,48593,0,0,23186131343,245400611,47935,569,89,382,391527,0 2,2,2024-09-07 08:16:21:271,38200,38200,0,0,2668652,0,3304 2,3,2024-09-07 08:16:20:691,1,28,4,1,87,359,28,0 3,0,2024-09-07 08:16:21:755,6409,0.5,6335,0.8,12683,0.4,16790,2.25 3,1,2024-09-07 08:16:21:620,48520,48520,0,0,23064452659,249480341,46585,1550,385,383,391494,0 3,2,2024-09-07 08:16:21:148,36947,36924,23,0,3084779,0,5851 3,3,2024-09-07 08:16:21:753,1,28,39,1,103,264,28,0 4,0,2024-09-07 08:16:21:809,4649,0.4,4793,0.6,9565,0.2,12788,2.00 4,1,2024-09-07 08:16:20:621,49024,49024,0,0,23073573239,247185339,47845,836,343,372,391682,0 4,2,2024-09-07 08:16:21:046,31149,31149,0,0,2320320,0,4528 4,3,2024-09-07 08:16:21:060,1,28,14,8,287,691,28,0 5,0,2024-09-07 08:16:21:453,6555,1.2,6477,1.1,13037,1.9,17338,2.00 5,1,2024-09-07 08:16:20:756,48915,48915,0,0,23220741169,245144334,48177,644,94,368,392005,0 5,2,2024-09-07 08:16:21:838,33242,33242,0,0,1693929,0,1912 5,3,2024-09-07 08:16:21:743,1,28,10,1,182,674,28,0 6,0,2024-09-07 08:16:20:945,11280,1.9,11256,1.7,22180,3.1,30297,4.25 6,1,2024-09-07 08:16:20:753,49102,49102,0,0,22919879756,240194460,48726,371,5,382,391603,0 6,2,2024-09-07 08:16:21:120,39414,39414,0,0,2522795,0,2232 6,3,2024-09-07 08:16:21:282,1,28,2,1,277,578,28,0 7,0,2024-09-07 08:16:21:549,4897,0.4,4984,0.6,10050,0.2,13444,1.75 7,1,2024-09-07 08:16:20:851,48428,48428,0,0,23046713748,243992123,47694,670,64,384,391558,0 7,2,2024-09-07 08:16:20:770,36866,36866,0,0,2177233,0,2981 7,3,2024-09-07 08:16:20:855,1,28,1,0,78,306,28,0 8,0,2024-09-07 08:16:21:404,4891,0.2,4748,0.4,9314,0.2,12383,1.50 8,1,2024-09-07 08:16:21:028,48676,48676,0,0,23563674008,260270462,45788,1655,1233,368,391724,0 8,2,2024-09-07 08:16:20:790,31670,31670,0,0,2371437,0,2986 8,3,2024-09-07 08:16:20:587,1,28,8,1,229,646,28,0 9,0,2024-09-07 08:16:21:171,5767,0.3,5645,0.5,11771,0.2,15391,1.50 9,1,2024-09-07 08:16:20:552,48624,48624,0,0,23863334740,256606625,46922,1221,481,370,391553,0 9,2,2024-09-07 08:16:21:109,33138,33138,0,0,2194237,0,3360 9,3,2024-09-07 08:16:21:788,1,28,127,0,127,415,28,0 10,0,2024-09-07 08:16:21:626,11272,0.4,11186,0.6,22611,0.4,30024,1.75 10,1,2024-09-07 08:16:20:584,48566,48566,0,0,23093412954,250181434,46349,1837,380,383,391541,0 10,2,2024-09-07 08:16:20:766,37121,37121,0,0,2772483,0,2940 10,3,2024-09-07 08:16:20:877,1,28,1,0,118,235,28,0 11,0,2024-09-07 08:16:21:051,5288,6.0,5179,3.6,10785,7.9,15079,3.50 11,1,2024-09-07 08:16:20:581,48655,48655,0,0,23426734026,253934422,46518,1401,736,384,391485,0 11,2,2024-09-07 08:16:21:124,36216,36216,0,0,2275763,0,2635 11,3,2024-09-07 08:16:21:300,1,28,2,1,720,987,28,0 12,0,2024-09-07 08:16:20:989,6176,0.3,6205,0.5,12302,0.2,15816,1.75 12,1,2024-09-07 08:16:20:945,48707,48707,0,0,22762333644,242917752,47739,945,23,372,391611,0 12,2,2024-09-07 08:16:21:558,35503,35503,0,0,2441030,0,2254 12,3,2024-09-07 08:16:21:062,1,28,1,1,358,888,28,0 13,0,2024-09-07 08:16:21:460,4911,0.4,4943,0.6,9899,0.3,12874,1.75 13,1,2024-09-07 08:16:21:542,48984,48984,0,0,22838070310,243063508,48368,577,39,385,391563,0 13,2,2024-09-07 08:16:20:604,32997,32997,0,0,1824939,0,3287 13,3,2024-09-07 08:16:21:763,1,28,1,1,153,467,28,0 14,0,2024-09-07 08:16:20:595,10256,0.5,10187,0.7,20289,0.5,27610,1.75 14,1,2024-09-07 08:16:21:561,49480,49480,0,0,22992045305,241238548,48896,555,29,365,391546,0 14,2,2024-09-07 08:16:20:770,34118,34118,0,0,2280635,0,2077 14,3,2024-09-07 08:16:21:121,1,28,4,1,59,228,28,0 15,0,2024-09-07 08:16:21:562,7591,5.0,7486,2.7,15095,6.8,20575,4.25 15,1,2024-09-07 08:16:21:612,49037,49037,0,0,22996137526,242883770,48562,473,2,381,391536,0 15,2,2024-09-07 08:16:21:001,38249,38249,0,0,2005190,0,3043 15,3,2024-09-07 08:16:21:406,1,28,2,0,538,917,28,0 16,0,2024-09-07 08:16:21:016,6338,0.4,6386,0.7,12772,0.3,16602,2.25 16,1,2024-09-07 08:16:20:578,49048,49048,0,0,22886037099,244189876,48157,873,18,373,391543,0 16,2,2024-09-07 08:16:21:605,36274,36274,0,0,2795623,0,4719 16,3,2024-09-07 08:16:21:157,1,28,12,3,231,831,28,0 17,0,2024-09-07 08:16:21:844,5319,0.4,5367,0.8,10326,0.3,13792,2.25 17,1,2024-09-07 08:16:20:592,48627,48627,0,0,22966605490,248248080,47237,882,508,369,391671,0 17,2,2024-09-07 08:16:21:686,31866,31866,0,0,1824357,0,1865 17,3,2024-09-07 08:16:20:584,1,28,1,0,268,854,28,0 18,0,2024-09-07 08:16:20:965,7214,1.2,7359,1.1,14669,1.5,19910,2.75 18,1,2024-09-07 08:16:21:653,48511,48511,0,0,22586185536,241644801,47401,925,185,370,391526,0 18,2,2024-09-07 08:16:21:759,32736,32736,0,0,2752378,0,3541 18,3,2024-09-07 08:16:20:903,1,28,26,0,142,497,28,0 19,0,2024-09-07 08:16:21:555,10017,3.9,10172,2.2,19932,6.9,26413,6.00 19,1,2024-09-07 08:16:20:573,48692,48692,0,0,22890065675,246101255,47064,1466,162,367,391529,0 19,2,2024-09-07 08:16:21:753,39186,39186,0,0,3004911,0,3988 19,3,2024-09-07 08:16:21:133,1,28,33,0,524,642,28,0 20,0,2024-09-07 08:16:21:531,5765,0.3,5939,0.5,11404,0.2,15066,2.00 20,1,2024-09-07 08:16:20:581,48838,48838,0,0,23482496569,247488283,48277,558,3,370,391492,0 20,2,2024-09-07 08:16:20:941,36935,36935,0,0,2096770,0,1616 20,3,2024-09-07 08:16:20:604,1,28,32,1,99,499,28,0 21,0,2024-09-07 08:16:21:240,4527,0.3,4413,0.5,8724,0.2,11422,1.75 21,1,2024-09-07 08:16:21:547,48844,48844,0,0,22890538629,242864777,47993,817,34,368,391962,0 21,2,2024-09-07 08:16:21:109,31516,31516,0,0,1802029,0,3747 21,3,2024-09-07 08:16:21:406,1,28,25,1,93,462,28,0 22,0,2024-09-07 08:16:21:732,6264,2.5,6312,1.7,12583,4.1,17216,3.25 22,1,2024-09-07 08:16:21:041,48768,48768,0,0,22557481087,243459331,47148,1242,378,382,391667,0 22,2,2024-09-07 08:16:20:763,33339,33339,0,0,1721770,0,1805 22,3,2024-09-07 08:16:21:077,1,28,5,0,48,165,28,0 23,0,2024-09-07 08:16:21:385,10374,0.4,10628,0.6,21009,0.4,27849,2.00 23,1,2024-09-07 08:16:21:008,49660,49660,0,0,23505757450,244934661,49370,281,9,368,391482,0 23,2,2024-09-07 08:16:21:105,38915,38915,0,0,2012023,0,2078 23,3,2024-09-07 08:16:21:762,1,28,22,0,645,915,28,0 24,0,2024-09-07 08:16:20:867,5890,1.2,5853,1.3,11867,1.9,16059,1.75 24,1,2024-09-07 08:16:20:587,49313,49313,0,0,23395071948,247313006,48706,603,4,371,391640,0 24,2,2024-09-07 08:16:21:101,36074,36074,0,0,3220627,0,2942 24,3,2024-09-07 08:16:21:699,1,28,16,2,234,543,28,0 25,0,2024-09-07 08:16:21:459,5475,0.3,5399,0.4,10416,0.2,14008,1.50 25,1,2024-09-07 08:16:20:586,48929,48929,0,0,22930282982,242502255,48314,599,16,373,391544,0 25,2,2024-09-07 08:16:21:638,33451,33451,0,0,2338231,0,1963 25,3,2024-09-07 08:16:21:020,1,28,11,1,50,292,28,0 26,0,2024-09-07 08:16:21:736,5287,0.2,5212,0.4,10951,0.1,14531,1.50 26,1,2024-09-07 08:16:21:543,48714,48714,0,0,22835125031,249605566,46485,1531,698,382,391519,0 26,2,2024-09-07 08:16:20:867,33368,33368,0,0,2466806,0,2809 26,3,2024-09-07 08:16:21:722,1,28,2,1,796,937,28,0 27,0,2024-09-07 08:16:21:734,10552,0.4,10614,0.6,21268,0.4,28812,1.75 27,1,2024-09-07 08:16:21:676,49613,49613,0,0,23681093596,246701928,49383,229,1,381,391526,0 27,2,2024-09-07 08:16:20:869,35186,35186,0,0,3585963,0,3409 27,3,2024-09-07 08:16:21:021,1,28,1,1,86,303,28,0 28,0,2024-09-07 08:16:21:464,6560,2.0,6653,1.6,13299,3.6,17512,2.00 28,1,2024-09-07 08:16:20:802,49039,49039,0,0,23668501433,249692061,48538,492,9,383,391497,0 28,2,2024-09-07 08:16:21:764,36829,36829,0,0,2013854,0,2609 28,3,2024-09-07 08:16:21:790,1,28,7,1,502,799,28,0 29,0,2024-09-07 08:16:21:398,6516,0.3,6389,0.5,12606,0.2,16693,1.75 29,1,2024-09-07 08:16:21:562,49458,49458,0,0,23052035228,241422292,48848,438,172,369,391621,0 29,2,2024-09-07 08:16:20:862,36572,36572,0,0,1736517,0,2026 29,3,2024-09-07 08:16:20:965,1,28,1,1,105,401,28,0 30,0,2024-09-07 08:16:21:477,4912,0.3,4759,0.5,9868,0.2,12472,1.75 30,1,2024-09-07 08:16:20:580,48866,48866,0,0,24033169171,254288808,48217,587,62,382,391524,0 30,2,2024-09-07 08:16:21:279,32393,32393,0,0,1318262,0,1567 30,3,2024-09-07 08:16:20:601,1,28,0,0,110,188,28,0 31,0,2024-09-07 08:16:21:791,8750,0.5,8837,0.7,17697,0.5,23641,1.75 31,1,2024-09-07 08:16:20:577,48844,48844,0,0,22901339379,237583216,48729,115,0,356,391478,0 31,2,2024-09-07 08:16:21:293,33650,33650,0,0,2600556,0,3525 31,3,2024-09-07 08:16:21:714,1,28,2,0,40,118,28,0 32,0,2024-09-07 08:16:21:442,9313,3.0,9467,1.7,18980,4.1,24855,2.00 32,1,2024-09-07 08:16:20:819,49025,49025,0,0,23001361865,243336757,48372,642,11,383,391595,0 32,2,2024-09-07 08:16:20:935,38990,38990,0,0,2455656,0,3155 32,3,2024-09-07 08:16:21:027,1,28,8,1,110,390,28,0 33,0,2024-09-07 08:16:21:508,6387,0.3,6327,0.5,12800,0.2,16726,1.75 33,1,2024-09-07 08:16:20:576,48546,48546,0,0,23064283991,245036887,47356,1080,110,369,391497,0 33,2,2024-09-07 08:16:20:763,36442,36409,33,0,3732952,0,7012 33,3,2024-09-07 08:16:20:899,1,28,2,1,27,131,28,0 34,0,2024-09-07 08:16:20:950,4753,0.2,4839,0.4,9388,0.1,12771,1.50 34,1,2024-09-07 08:16:21:053,48960,48960,0,0,23168440700,243043989,48570,387,3,369,391481,0 34,2,2024-09-07 08:16:20:769,30916,30916,0,0,2239712,0,3073 34,3,2024-09-07 08:16:21:688,1,28,4,0,58,227,28,0 35,0,2024-09-07 08:16:20:883,6406,2.3,6402,1.5,12847,2.8,17300,2.50 35,1,2024-09-07 08:16:21:080,48838,48838,0,0,23359988785,248356421,47493,984,361,385,391587,0 35,2,2024-09-07 08:16:21:620,33182,33182,0,0,2081918,0,2404 35,3,2024-09-07 08:16:20:909,1,28,10,1,219,624,28,0 36,0,2024-09-07 08:16:21:526,11307,2.8,11168,1.9,22837,4.0,30860,3.50 36,1,2024-09-07 08:16:20:586,48459,48459,0,0,22549548168,245569711,45963,1642,854,369,391535,0 36,2,2024-09-07 08:16:21:755,39341,39341,0,0,2401236,0,2554 36,3,2024-09-07 08:16:20:865,1,28,56,0,378,788,28,0 37,0,2024-09-07 08:16:21:381,5018,0.3,4991,0.5,10085,0.2,13461,1.75 37,1,2024-09-07 08:16:20:580,48216,48209,0,7,22967803374,253830830,45662,1040,1507,368,391507,0 37,2,2024-09-07 08:16:21:150,37190,37190,0,0,1821017,0,1704 37,3,2024-09-07 08:16:21:772,1,28,508,0,508,647,28,0 38,0,2024-09-07 08:16:21:461,4716,0.3,4589,0.6,9522,0.2,12352,1.75 38,1,2024-09-07 08:16:21:608,49531,49531,0,0,23638502086,248905434,48875,618,38,371,391512,0 38,2,2024-09-07 08:16:20:762,32226,32226,0,0,1891779,0,3245 38,3,2024-09-07 08:16:21:006,1,28,1,0,63,268,28,0 39,0,2024-09-07 08:16:21:774,5984,0.3,5935,0.6,11512,0.2,15503,1.75 39,1,2024-09-07 08:16:20:728,49326,49326,0,0,22263041517,236437974,48162,746,418,366,391524,0 39,2,2024-09-07 08:16:21:418,33136,33136,0,0,1670306,0,2381 39,3,2024-09-07 08:16:20:719,1,28,37,1,189,550,28,0 40,0,2024-09-07 08:16:21:521,10893,1.2,11157,2.5,22107,1.9,29861,3.00 40,1,2024-09-07 08:16:20:591,48994,48994,0,0,22340347040,240939678,47129,1338,527,371,391543,0 40,2,2024-09-07 08:16:21:310,36988,36987,1,0,3011391,0,5137 40,3,2024-09-07 08:16:21:147,1,28,2,1,63,309,28,0 41,0,2024-09-07 08:16:21:110,4931,6.8,5107,10.0,9894,9.5,13928,6.25 41,1,2024-09-07 08:16:20:788,48970,48970,0,0,23408286968,248962393,47721,1057,192,370,391483,0 41,2,2024-09-07 08:16:20:768,36128,36128,0,0,3071544,0,3325 41,3,2024-09-07 08:16:21:675,1,28,2,1,19,76,28,0 42,0,2024-09-07 08:16:21:493,6034,0.5,6044,0.9,12077,0.4,15836,2.50 42,1,2024-09-07 08:16:21:446,48487,48487,0,0,23455685907,255519451,46367,1418,702,381,391511,0 42,2,2024-09-07 08:16:21:134,35192,35192,0,0,2680516,0,3568 42,3,2024-09-07 08:16:21:017,1,28,1,0,100,433,28,0 43,0,2024-09-07 08:16:20:928,4914,0.3,4823,0.6,10100,0.2,12855,1.75 43,1,2024-09-07 08:16:20:583,48748,48748,0,0,23728147929,252612568,47335,822,591,367,391507,0 43,2,2024-09-07 08:16:21:740,32799,32799,0,0,2198445,0,3812 43,3,2024-09-07 08:16:21:749,1,28,1,1,292,768,28,0 44,0,2024-09-07 08:16:20:875,10150,0.5,10094,0.8,20186,0.5,27601,2.00 44,1,2024-09-07 08:16:20:573,49093,49093,0,0,22775478309,238344438,48663,421,9,357,391493,0 44,2,2024-09-07 08:16:21:282,33952,33952,0,0,1751826,0,1592 44,3,2024-09-07 08:16:21:094,1,28,8,1,817,1111,28,0 45,0,2024-09-07 08:16:21:771,7555,5.1,7363,2.7,15408,6.6,20760,2.50 45,1,2024-09-07 08:16:21:022,49088,49088,0,0,23759638484,249163668,48840,248,0,382,391548,0 45,2,2024-09-07 08:16:21:291,38282,38282,0,0,2584649,0,2628 45,3,2024-09-07 08:16:20:940,1,28,1,1,226,483,28,0 46,0,2024-09-07 08:16:20:962,6411,0.3,6450,0.6,12850,0.2,16672,1.75 46,1,2024-09-07 08:16:20:581,49259,49259,0,0,23351137826,243670189,48833,400,26,370,391514,0 46,2,2024-09-07 08:16:20:593,36982,36982,0,0,2311545,0,2920 46,3,2024-09-07 08:16:21:133,1,28,102,0,200,619,28,0 47,0,2024-09-07 08:16:21:109,5277,0.3,5327,0.5,10510,0.2,13804,1.50 47,1,2024-09-07 08:16:20:580,49440,49440,0,0,22847626673,237889309,49233,206,1,368,391481,0 47,2,2024-09-07 08:16:20:911,32047,32047,0,0,2113129,0,2558 47,3,2024-09-07 08:16:21:118,1,28,4,1,529,658,28,0 48,0,2024-09-07 08:16:21:510,7386,0.6,7345,0.7,14645,0.6,20019,2.00 48,1,2024-09-07 08:16:21:022,48704,48704,0,0,23403264845,249863057,47609,1090,5,386,391521,0 48,2,2024-09-07 08:16:20:704,33252,33252,0,0,1747578,0,2083 48,3,2024-09-07 08:16:20:761,1,28,1,1,23,256,28,0 49,0,2024-09-07 08:16:21:737,10540,3.5,10472,1.9,20086,5.5,27749,2.50 49,1,2024-09-07 08:16:21:022,48839,48839,0,0,23284827945,251344357,47227,903,709,382,391583,0 49,2,2024-09-07 08:16:21:796,39397,39397,0,0,2570112,0,2196 49,3,2024-09-07 08:16:21:426,1,28,8,0,274,582,28,0 50,0,2024-09-07 08:16:21:539,5796,0.3,5731,0.5,11405,0.2,15406,1.75 50,1,2024-09-07 08:16:21:019,49357,49357,0,0,24087892943,255197988,48065,1041,251,370,391530,0 50,2,2024-09-07 08:16:21:076,37299,37299,0,0,1995732,0,2034 50,3,2024-09-07 08:16:21:295,1,28,3,1,335,532,28,0 51,0,2024-09-07 08:16:21:702,4546,0.2,4390,0.4,8725,0.1,11709,1.50 51,1,2024-09-07 08:16:21:686,48670,48670,0,0,24085279187,257391926,47080,808,782,367,391504,0 51,2,2024-09-07 08:16:21:323,31184,31184,0,0,1385302,0,1936 51,3,2024-09-07 08:16:21:028,1,28,75,0,162,382,28,0 52,0,2024-09-07 08:16:21:469,6567,2.3,6519,1.6,13083,3.8,17671,4.00 52,1,2024-09-07 08:16:20:587,48477,48477,0,0,22662664722,245973959,46532,1509,436,371,391498,0 52,2,2024-09-07 08:16:21:758,33379,33379,0,0,2090974,0,4779 52,3,2024-09-07 08:16:20:682,1,28,5,4,1782,2083,28,0 53,0,2024-09-07 08:16:21:740,10525,1.1,10213,1.1,21099,1.3,27712,2.50 53,1,2024-09-07 08:16:20:776,49005,49005,0,0,23318436729,251321935,47118,1368,519,372,391523,0 53,2,2024-09-07 08:16:21:309,38840,38840,0,0,1778637,0,1542 53,3,2024-09-07 08:16:20:705,1,28,48,1,48,166,28,0 54,0,2024-09-07 08:16:21:624,5051,10.0,5023,10.0,10051,5.0,14895,6.00 54,1,2024-09-07 08:16:20:586,49115,49115,0,0,23731415659,249152017,48322,759,34,367,391520,0 54,2,2024-09-07 08:16:20:868,36769,36763,6,0,2483880,0,5382 54,3,2024-09-07 08:16:20:762,1,28,111,0,111,331,28,0 55,0,2024-09-07 08:16:21:771,5223,0.6,5388,0.9,10885,0.5,13946,2.50 55,1,2024-09-07 08:16:20:774,48522,48522,0,0,23269300806,249043156,46490,1466,566,369,391731,0 55,2,2024-09-07 08:16:20:740,33662,33662,0,0,1936371,0,2129 55,3,2024-09-07 08:16:20:689,1,28,10,0,69,283,28,0 56,0,2024-09-07 08:16:21:582,5467,1.3,5162,1.2,10670,1.8,14356,3.00 56,1,2024-09-07 08:16:20:601,48917,48917,0,0,24140233135,261835653,46804,1531,582,384,391536,0 56,2,2024-09-07 08:16:21:308,33104,33104,0,0,2542261,0,3567 56,3,2024-09-07 08:16:21:069,1,28,14,2,297,587,28,0 57,0,2024-09-07 08:16:20:983,10248,3.2,10082,2.1,20360,4.9,27839,4.00 57,1,2024-09-07 08:16:21:026,49431,49431,0,0,22463175120,238822620,48610,807,14,369,391505,0 57,2,2024-09-07 08:16:21:324,35622,35622,0,0,2511113,0,2687 57,3,2024-09-07 08:16:21:742,1,28,9,1,359,852,28,0 58,0,2024-09-07 08:16:20:598,5772,4.0,5647,2.5,11763,4.1,15615,7.00 58,1,2024-09-07 08:16:20:592,49156,49155,0,1,24246800066,257331922,47892,1106,157,371,391512,1 58,2,2024-09-07 08:16:21:083,37305,37305,0,0,2492872,0,2464 58,3,2024-09-07 08:16:21:077,1,28,79,1,219,600,28,0 59,0,2024-09-07 08:16:21:748,6359,1.3,6403,1.2,12727,1.5,16388,3.00 59,1,2024-09-07 08:16:20:819,49015,49015,0,0,23354648588,246453483,48334,676,5,371,391515,0 59,2,2024-09-07 08:16:20:607,36377,36377,0,0,2033244,0,2206 59,3,2024-09-07 08:16:21:737,1,28,1,0,25,152,28,0 60,0,2024-09-07 08:16:21:797,4907,0.4,4912,0.7,9693,0.2,12509,1.75 60,1,2024-09-07 08:16:20:787,49587,49587,0,0,23172368364,245463610,48700,543,344,370,391672,0 60,2,2024-09-07 08:16:21:157,32509,32509,0,0,1700356,0,2142 60,3,2024-09-07 08:16:21:260,1,28,8,0,124,432,28,0 61,0,2024-09-07 08:16:21:511,8543,2.6,8556,1.8,16917,3.8,23230,2.50 61,1,2024-09-07 08:16:20:796,48647,48647,0,0,22855919037,247380420,46791,1385,471,383,391560,0 61,2,2024-09-07 08:16:21:120,33930,33930,0,0,2222782,0,1846 61,3,2024-09-07 08:16:21:687,1,28,5,1,124,364,28,0 62,0,2024-09-07 08:16:21:712,9338,3.3,9575,1.8,18631,5.0,24862,3.25 62,1,2024-09-07 08:16:21:112,49165,49162,0,3,23634111371,247481941,48632,528,2,368,391586,3 62,2,2024-09-07 08:16:21:646,38283,38283,0,0,2624259,0,2931 62,3,2024-09-07 08:16:21:150,1,28,0,0,287,449,28,0 63,0,2024-09-07 08:16:21:499,6349,0.6,6326,0.9,12651,0.7,16824,2.25 63,1,2024-09-07 08:16:20:819,49567,49565,0,2,23319097525,243112666,49412,152,1,382,391540,2 63,2,2024-09-07 08:16:20:769,37050,37050,0,0,2446020,0,2674 63,3,2024-09-07 08:16:21:735,1,28,1,0,216,622,28,0 64,0,2024-09-07 08:16:21:586,4637,0.4,4708,0.7,9520,0.3,12690,2.00 64,1,2024-09-07 08:16:20:764,48643,48643,0,0,23400319762,256201340,46272,1580,791,371,391604,0 64,2,2024-09-07 08:16:21:148,31524,31505,19,0,2169401,0,6121 64,3,2024-09-07 08:16:21:151,1,28,1,1,163,429,28,0 65,0,2024-09-07 08:16:21:730,6287,4.9,6290,2.8,12379,6.6,17050,5.00 65,1,2024-09-07 08:16:20:885,48974,48974,0,0,23304757141,250145586,47463,1295,216,382,391506,0 65,2,2024-09-07 08:16:21:709,33094,33094,0,0,2665418,0,3367 65,3,2024-09-07 08:16:21:700,1,28,2,1,122,394,28,0 66,0,2024-09-07 08:16:21:770,10822,2.0,11048,1.7,22276,5.1,30217,4.75 66,1,2024-09-07 08:16:21:302,49737,49737,0,0,24045897935,252637238,49105,613,19,381,391537,0 66,2,2024-09-07 08:16:21:133,39171,39171,0,0,2383248,0,2934 66,3,2024-09-07 08:16:21:080,1,28,1,1,118,317,28,0 67,0,2024-09-07 08:16:21:451,5026,0.8,5004,1.0,9951,0.8,13429,2.50 67,1,2024-09-07 08:16:20:770,48703,48702,0,1,23246138096,250272503,47219,986,497,383,391567,1 67,2,2024-09-07 08:16:20:597,37289,37289,0,0,2330169,0,2889 67,3,2024-09-07 08:16:21:750,1,28,1,0,93,246,28,0 68,0,2024-09-07 08:16:20:614,4634,0.3,4695,0.6,9547,0.2,12297,1.75 68,1,2024-09-07 08:16:20:586,48689,48689,0,0,22977353287,245205135,47739,504,446,383,391591,0 68,2,2024-09-07 08:16:21:050,32429,32429,0,0,1942408,0,4883 68,3,2024-09-07 08:16:20:739,1,28,12,1,63,337,28,0 69,0,2024-09-07 08:16:21:806,5898,0.6,5784,0.9,11509,0.5,15538,2.00 69,1,2024-09-07 08:16:21:029,48199,48199,0,0,23388361125,254844366,46711,626,862,386,391530,0 69,2,2024-09-07 08:16:21:738,33492,33492,0,0,1722790,0,2462 69,3,2024-09-07 08:16:20:771,1,28,1,0,238,450,28,0 70,0,2024-09-07 08:16:21:549,11126,3.0,11095,3.3,22441,1.3,29811,3.25 70,1,2024-09-07 08:16:20:805,49268,49268,0,0,23676067472,247134560,48920,331,17,369,391527,0 70,2,2024-09-07 08:16:21:330,37476,37476,0,0,2079040,0,2433 70,3,2024-09-07 08:16:20:752,1,28,7,1,69,154,28,0 71,0,2024-09-07 08:16:21:370,5048,7.1,5037,8.7,9892,10.0,14321,6.25 71,1,2024-09-07 08:16:21:655,49004,49004,0,0,23185745414,247304544,47517,1241,246,368,391682,0 71,2,2024-09-07 08:16:21:073,36398,36398,0,0,2009591,0,2146 71,3,2024-09-07 08:16:21:750,1,28,2,1,174,384,28,0 72,0,2024-09-07 08:16:21:089,6262,0.4,6089,0.7,12088,0.3,15841,2.75 72,1,2024-09-07 08:16:21:026,49051,49051,0,0,22780738121,242522570,47818,1185,48,370,391514,0 72,2,2024-09-07 08:16:21:759,34484,34484,0,0,2936321,0,2570 72,3,2024-09-07 08:16:21:763,1,28,1,1,325,612,28,0 73,0,2024-09-07 08:16:21:121,4814,0.4,4968,0.6,10019,0.2,12820,2.25 73,1,2024-09-07 08:16:20:773,49209,49209,0,0,23105162149,242611647,48920,288,1,368,391497,0 73,2,2024-09-07 08:16:21:759,32729,32729,0,0,2538973,0,3482 73,3,2024-09-07 08:16:21:000,1,28,6,0,274,867,28,0 74,0,2024-09-07 08:16:21:328,10180,0.7,10404,0.9,20117,0.7,27732,2.50 74,1,2024-09-07 08:16:20:641,48952,48952,0,0,23208885226,243772960,48455,495,2,382,391494,0 74,2,2024-09-07 08:16:21:015,34187,34187,0,0,3263242,0,4253 74,3,2024-09-07 08:16:21:454,1,28,8,0,192,783,28,0 75,0,2024-09-07 08:16:21:772,7764,4.7,7738,2.5,15308,6.0,20890,3.75 75,1,2024-09-07 08:16:21:604,49553,49553,0,0,23339667345,246304791,48933,569,51,382,391514,0 75,2,2024-09-07 08:16:21:361,37895,37895,0,0,3186651,0,4766 75,3,2024-09-07 08:16:21:075,1,28,1,0,71,174,28,0 76,0,2024-09-07 08:16:20:609,6409,0.4,6430,0.7,12707,0.3,16487,2.25 76,1,2024-09-07 08:16:20:835,48768,48768,0,0,22820219018,245773817,47389,940,439,382,391530,0 76,2,2024-09-07 08:16:21:074,37298,37298,0,0,2019588,0,3064 76,3,2024-09-07 08:16:21:157,1,28,7,0,175,738,28,0 77,0,2024-09-07 08:16:21:738,5276,0.4,5261,0.7,10532,0.3,13823,2.25 77,1,2024-09-07 08:16:20:846,49061,49061,0,0,23485386114,248473543,48321,673,67,383,391512,0 77,2,2024-09-07 08:16:21:299,31573,31573,0,0,1455372,0,1637 77,3,2024-09-07 08:16:21:108,1,28,4,0,131,269,28,0 78,0,2024-09-07 08:16:21:729,7240,3.8,7155,2.2,14496,4.9,19655,3.25 78,1,2024-09-07 08:16:20:622,49531,49531,0,0,22891908180,241515245,48925,569,37,369,391540,0 78,2,2024-09-07 08:16:21:407,33344,33344,0,0,1766752,0,2114 78,3,2024-09-07 08:16:21:133,1,28,0,0,112,481,28,0 79,0,2024-09-07 08:16:21:357,9927,2.9,10212,1.8,20620,4.7,26830,4.75 79,1,2024-09-07 08:16:20:576,49061,49061,0,0,22692761036,239798500,48319,735,7,371,391486,0 79,2,2024-09-07 08:16:21:078,39327,39327,0,0,2263316,0,2679 79,3,2024-09-07 08:16:20:755,1,28,4,0,289,607,28,0 80,0,2024-09-07 08:16:21:152,5643,0.5,5874,0.8,11399,0.4,15362,2.25 80,1,2024-09-07 08:16:21:629,48555,48555,0,0,22611070317,240849015,47304,1136,115,371,391673,0 80,2,2024-09-07 08:16:21:096,36770,36770,0,0,2754455,0,4433 80,3,2024-09-07 08:16:20:581,1,28,7,1,148,674,28,0 81,0,2024-09-07 08:16:21:567,4419,0.3,4537,0.6,8713,0.2,11633,1.75 81,1,2024-09-07 08:16:21:669,48822,48822,0,0,22922412508,246295358,47237,1390,195,383,391646,0 81,2,2024-09-07 08:16:21:135,31066,31066,0,0,2031720,0,2811 81,3,2024-09-07 08:16:21:119,1,28,1,1,193,531,28,0 82,0,2024-09-07 08:16:21:549,6418,3.7,6291,2.1,12795,5.6,17724,3.50 82,1,2024-09-07 08:16:20:592,48498,48497,0,1,23228880133,249531045,46808,1159,530,384,391558,1 82,2,2024-09-07 08:16:21:691,33802,33802,0,0,1631350,0,1286 82,3,2024-09-07 08:16:21:763,1,28,12,1,65,318,28,0 83,0,2024-09-07 08:16:21:580,10661,1.3,10542,1.1,20853,1.5,27994,2.75 83,1,2024-09-07 08:16:20:562,48812,48812,0,0,22794155767,243489081,47714,998,100,384,391553,0 83,2,2024-09-07 08:16:20:767,37860,37860,0,0,2822477,0,3119 83,3,2024-09-07 08:16:20:755,1,28,16,0,91,271,28,0 84,0,2024-09-07 08:16:21:782,5412,10.0,5243,9.5,10604,3.7,15539,5.25 84,1,2024-09-07 08:16:21:052,49157,49157,0,0,23496994171,250798894,47947,970,240,370,391630,0 84,2,2024-09-07 08:16:20:591,36388,36388,0,0,2371665,0,3801 84,3,2024-09-07 08:16:21:146,1,28,24,1,24,283,28,0 85,0,2024-09-07 08:16:21:052,5211,0.4,5207,0.6,10986,0.3,13982,2.00 85,1,2024-09-07 08:16:20:564,48558,48558,0,0,23363686374,250815946,47039,1227,292,384,391505,0 85,2,2024-09-07 08:16:20:874,33463,33463,0,0,2175902,0,3656 85,3,2024-09-07 08:16:20:703,1,28,6,1,115,388,28,0 86,0,2024-09-07 08:16:20:909,5317,0.3,5499,0.6,10622,0.2,14570,2.00 86,1,2024-09-07 08:16:20:833,48647,48647,0,0,23374461504,248400023,47409,1058,180,367,391506,0 86,2,2024-09-07 08:16:20:857,33228,33227,1,0,2338140,0,5004 86,3,2024-09-07 08:16:20:589,1,28,1,1,199,467,28,0 87,0,2024-09-07 08:16:21:323,10469,2.9,10399,1.7,21078,3.9,28494,2.75 87,1,2024-09-07 08:16:20:558,48840,48840,0,0,23202523449,246969540,47739,1014,87,369,391569,0 87,2,2024-09-07 08:16:21:077,35820,35820,0,0,1714387,0,2148 87,3,2024-09-07 08:16:21:797,1,28,2,1,322,798,28,0 88,0,2024-09-07 08:16:21:554,6602,2.5,6550,1.8,13177,3.6,17510,2.50 88,1,2024-09-07 08:16:20:571,48974,48974,0,0,23660890935,251247972,47993,889,92,367,391747,0 88,2,2024-09-07 08:16:20:704,37257,37257,0,0,2865768,0,3583 88,3,2024-09-07 08:16:21:301,1,28,45,1,77,457,28,0 89,0,2024-09-07 08:16:21:823,6570,0.4,6359,0.6,12649,0.3,16686,1.75 89,1,2024-09-07 08:16:20:554,48626,48626,0,0,23584482630,253673870,47241,776,609,384,391866,0 89,2,2024-09-07 08:16:21:150,36127,36127,0,0,2502486,0,2726 89,3,2024-09-07 08:16:21:792,1,28,6,1,325,907,28,0 90,0,2024-09-07 08:16:21:626,4797,0.2,4880,0.4,9958,0.2,12475,1.50 90,1,2024-09-07 08:16:20:602,49044,49044,0,0,22898908572,246570079,47516,1401,127,382,391522,0 90,2,2024-09-07 08:16:21:432,31981,31981,0,0,2465287,0,2635 90,3,2024-09-07 08:16:20:937,1,28,1,1,19,145,28,0 91,0,2024-09-07 08:16:20:955,8843,0.5,8566,0.6,17643,0.5,23537,1.75 91,1,2024-09-07 08:16:20:562,48968,48968,0,0,23537070653,251012977,47784,1017,167,384,391914,0 91,2,2024-09-07 08:16:21:333,33156,33156,0,0,1751168,0,1997 91,3,2024-09-07 08:16:20:608,1,28,5,1,155,396,28,0 92,0,2024-09-07 08:16:21:526,9443,2.6,9714,1.6,18613,4.2,24999,2.00 92,1,2024-09-07 08:16:20:587,48977,48977,0,0,23050015850,242655251,48595,335,47,383,391569,0 92,2,2024-09-07 08:16:21:370,39368,39368,0,0,2441826,0,2279 92,3,2024-09-07 08:16:21:012,1,28,1,1,68,355,28,0 93,0,2024-09-07 08:16:21:033,6359,0.5,6422,0.7,12321,0.4,16737,2.00 93,1,2024-09-07 08:16:20:807,48814,48814,0,0,23191726312,249067157,47421,962,431,368,391689,0 93,2,2024-09-07 08:16:20:946,37069,37069,0,0,2067313,0,2509 93,3,2024-09-07 08:16:21:420,1,28,21,1,143,351,28,0 94,0,2024-09-07 08:16:21:648,4841,0.3,4754,0.5,9445,0.2,12828,1.50 94,1,2024-09-07 08:16:20:572,48683,48683,0,0,22743120344,242896048,47703,923,57,382,391850,0 94,2,2024-09-07 08:16:20:762,31307,31307,0,0,1939727,0,2443 94,3,2024-09-07 08:16:21:688,1,28,2,1,231,631,28,0 95,0,2024-09-07 08:16:21:363,6491,0.8,6439,0.9,13319,0.9,17356,2.00 95,1,2024-09-07 08:16:20:852,49032,49032,0,0,23091167323,242776575,48708,320,4,368,391590,0 95,2,2024-09-07 08:16:21:022,32994,32994,0,0,2175701,0,3308 95,3,2024-09-07 08:16:21:715,1,28,0,0,135,337,28,0 96,0,2024-09-07 08:16:21:130,11499,2.0,11325,1.4,22726,3.3,30752,2.25 96,1,2024-09-07 08:16:21:633,48843,48843,0,0,23374970606,252451928,47421,858,564,385,391551,0 96,2,2024-09-07 08:16:21:294,39111,39111,0,0,2598367,0,3467 96,3,2024-09-07 08:16:21:140,1,28,12,2,36,391,28,0 97,0,2024-09-07 08:16:21:374,5042,1.0,5043,1.0,9968,1.2,13404,2.50 97,1,2024-09-07 08:16:20:769,48824,48824,0,0,23403332927,251587045,46971,1337,516,367,391547,0 97,2,2024-09-07 08:16:20:640,36668,36668,0,0,2123198,0,2998 97,3,2024-09-07 08:16:20:571,1,28,7,1,97,325,28,0 98,0,2024-09-07 08:16:21:712,4715,0.3,4667,0.5,9411,0.2,12386,1.50 98,1,2024-09-07 08:16:20:599,48642,48642,0,0,23523287210,249894813,47929,683,30,384,391588,0 98,2,2024-09-07 08:16:20:770,32091,32091,0,0,2277462,0,3080 98,3,2024-09-07 08:16:20:709,1,28,2,1,155,441,28,0 99,0,2024-09-07 08:16:21:467,5734,0.4,5661,0.6,11481,0.3,15440,2.00 99,1,2024-09-07 08:16:21:731,49732,49732,0,0,22458143574,237052371,49132,439,161,382,391602,0 99,2,2024-09-07 08:16:21:431,33733,33733,0,0,2373281,0,1858 99,3,2024-09-07 08:16:20:585,1,28,7,1,65,298,28,0 100,0,2024-09-07 08:16:21:511,11004,1.1,10969,3.7,21940,3.5,29760,2.50 100,1,2024-09-07 08:16:20:554,48701,48701,0,0,22721639966,248714317,46539,1835,327,382,391505,0 100,2,2024-09-07 08:16:21:845,37195,37184,11,0,2813907,0,5417 100,3,2024-09-07 08:16:21:740,1,28,9,1,443,1375,28,0 101,0,2024-09-07 08:16:21:797,5325,8.2,5126,5.6,10347,8.1,15140,4.25 101,1,2024-09-07 08:16:20:554,48477,48477,0,0,23246422321,248963610,47337,716,424,370,391531,0 101,2,2024-09-07 08:16:21:764,36615,36615,0,0,2546398,0,4644 101,3,2024-09-07 08:16:20:949,1,28,8,1,448,632,28,0 102,0,2024-09-07 08:16:20:958,5973,0.8,6208,0.7,12344,0.5,15781,2.00 102,1,2024-09-07 08:16:21:159,49134,49134,0,0,23526082347,251495554,47747,1042,345,369,391621,0 102,2,2024-09-07 08:16:21:745,35110,35110,0,0,1693032,0,1945 102,3,2024-09-07 08:16:21:626,1,28,5,0,410,632,28,0 103,0,2024-09-07 08:16:21:621,5052,0.3,5024,0.4,9552,0.2,12820,1.50 103,1,2024-09-07 08:16:21:627,49251,49251,0,0,23283084199,245361681,48813,389,49,381,391680,0 103,2,2024-09-07 08:16:20:587,33247,33247,0,0,1714205,0,1878 103,3,2024-09-07 08:16:20:761,1,28,2,1,486,789,28,0 104,0,2024-09-07 08:16:21:038,10280,1.3,10413,1.2,19866,1.5,27585,2.00 104,1,2024-09-07 08:16:21:614,49214,49214,0,0,23119110520,248845280,47711,1184,319,368,391948,0 104,2,2024-09-07 08:16:21:675,33774,33774,0,0,2471461,0,3941 104,3,2024-09-07 08:16:21:432,1,28,2,2,70,426,28,0 105,0,2024-09-07 08:16:21:067,7588,4.7,7286,2.7,15134,7.5,20573,4.50 105,1,2024-09-07 08:16:20:561,48520,48520,0,0,22732694832,245243103,46788,1165,567,367,391797,0 105,2,2024-09-07 08:16:21:357,37855,37855,0,0,2466145,0,3314 105,3,2024-09-07 08:16:21:326,1,28,46,1,169,665,28,0 106,0,2024-09-07 08:16:20:943,6243,0.4,6255,0.7,12797,0.3,16612,2.00 106,1,2024-09-07 08:16:21:751,48912,48912,0,0,23219478847,249051613,47485,1225,202,371,391767,0 106,2,2024-09-07 08:16:20:756,36971,36971,0,0,2448199,0,1818 106,3,2024-09-07 08:16:20:682,1,28,1,1,171,299,28,0 107,0,2024-09-07 08:16:21:137,5333,0.3,5258,0.5,10437,0.2,13772,1.75 107,1,2024-09-07 08:16:20:602,48987,48987,0,0,23084024694,246416509,47991,870,126,382,391848,0 107,2,2024-09-07 08:16:21:301,31728,31727,1,0,1853247,0,5024 107,3,2024-09-07 08:16:21:762,1,28,1,1,353,703,28,0 108,0,2024-09-07 08:16:21:886,7276,1.9,7428,1.3,14527,2.4,19950,2.00 108,1,2024-09-07 08:16:21:309,48708,48708,0,0,23182284745,246552859,47574,1029,105,372,391563,0 108,2,2024-09-07 08:16:21:771,33560,33560,0,0,2339011,0,2647 108,3,2024-09-07 08:16:21:353,1,28,32,1,60,416,28,0 109,0,2024-09-07 08:16:21:853,10488,2.0,10582,1.3,20526,3.2,27824,2.25 109,1,2024-09-07 08:16:20:590,49009,49009,0,0,23351457464,248977434,48252,705,52,385,391576,0 109,2,2024-09-07 08:16:20:932,38389,38389,0,0,2903566,0,3617 109,3,2024-09-07 08:16:21:145,1,28,1,1,120,546,28,0 110,0,2024-09-07 08:16:21:763,5801,0.3,5690,0.6,11849,0.2,15397,1.75 110,1,2024-09-07 08:16:21:648,48970,48970,0,0,22820796896,241938300,48017,670,283,371,391564,0 110,2,2024-09-07 08:16:21:309,37259,37259,0,0,2211603,0,2915 110,3,2024-09-07 08:16:20:700,1,28,4,0,183,341,28,0 111,0,2024-09-07 08:16:21:435,4372,0.2,4414,0.4,8718,0.1,11655,1.50 111,1,2024-09-07 08:16:21:005,49190,49190,0,0,24126192051,252162783,48811,367,12,383,391597,0 111,2,2024-09-07 08:16:21:122,31672,31672,0,0,1839864,0,2763 111,3,2024-09-07 08:16:20:913,1,28,1,1,106,629,28,0 112,0,2024-09-07 08:16:20:964,6464,0.8,6541,0.7,13205,0.7,17997,2.00 112,1,2024-09-07 08:16:20:831,48947,48947,0,0,23623041251,253367561,47499,1102,346,382,391522,0 112,2,2024-09-07 08:16:21:136,33111,33110,1,0,2831704,0,5036 112,3,2024-09-07 08:16:20:602,1,28,12,1,282,536,28,0 113,0,2024-09-07 08:16:20:897,10510,0.4,10352,0.6,21064,0.4,28129,1.75 113,1,2024-09-07 08:16:21:685,48835,48835,0,0,23194691878,247941640,47201,1255,379,368,391661,0 113,2,2024-09-07 08:16:21:319,38346,38346,0,0,2235725,0,3021 113,3,2024-09-07 08:16:20:688,1,28,2,1,166,525,28,0 114,0,2024-09-07 08:16:20:881,5742,6.3,5829,4.7,11455,3.5,16003,2.50 114,1,2024-09-07 08:16:20:722,48817,48817,0,0,23438766665,252736149,47160,878,779,381,391513,0 114,2,2024-09-07 08:16:20:878,36452,36452,0,0,2467461,0,3925 114,3,2024-09-07 08:16:21:290,1,28,1,1,159,528,28,0 115,0,2024-09-07 08:16:20:569,5401,0.2,5410,0.5,10729,0.1,14098,1.50 115,1,2024-09-07 08:16:20:571,49029,49029,0,0,23983424143,255977528,47663,1144,222,384,391502,0 115,2,2024-09-07 08:16:21:134,34255,34255,0,0,1833877,0,2072 115,3,2024-09-07 08:16:21:014,1,28,24,0,159,336,28,0 116,0,2024-09-07 08:16:21:729,5409,0.3,5317,0.6,10826,0.2,14612,1.75 116,1,2024-09-07 08:16:20:807,48554,48554,0,0,23336524122,252415964,47287,409,858,382,391573,0 116,2,2024-09-07 08:16:21:764,33398,33398,0,0,1697044,0,2154 116,3,2024-09-07 08:16:20:912,1,28,14,2,132,418,28,0 117,0,2024-09-07 08:16:21:020,10506,2.4,10537,1.5,21147,3.5,28628,2.25 117,1,2024-09-07 08:16:21:604,48962,48962,0,0,22838079263,243422997,47919,823,220,371,391601,0 117,2,2024-09-07 08:16:21:123,35791,35791,0,0,2167858,0,3700 117,3,2024-09-07 08:16:21:071,1,28,7,1,490,1204,28,0 118,0,2024-09-07 08:16:21:773,6341,2.2,6446,1.5,13364,3.4,17474,2.75 118,1,2024-09-07 08:16:20:597,49152,49152,0,0,22834961830,240493214,48731,419,2,368,391725,0 118,2,2024-09-07 08:16:21:606,37642,37642,0,0,1973681,0,1450 118,3,2024-09-07 08:16:21:775,1,28,10,1,229,632,28,0 119,0,2024-09-07 08:16:21:379,6167,0.3,6332,0.6,12857,0.2,16644,1.75 119,1,2024-09-07 08:16:20:566,48787,48787,0,0,23449801132,249745289,47618,1036,133,371,391576,0 119,2,2024-09-07 08:16:21:266,36025,36025,0,0,2206631,0,2222 119,3,2024-09-07 08:16:21:345,1,28,2,1,443,1191,28,0 120,0,2024-09-07 08:16:21:716,4921,0.3,4897,0.6,9803,0.2,12514,1.75 120,1,2024-09-07 08:16:20:864,49042,49042,0,0,23041010526,245532759,48174,859,9,369,391702,0 120,2,2024-09-07 08:16:20:781,32105,32104,1,0,2776498,0,5281 120,3,2024-09-07 08:16:21:310,1,28,22,1,241,694,28,0 121,0,2024-09-07 08:16:21:756,8583,3.2,8556,1.9,17109,4.4,23615,2.50 121,1,2024-09-07 08:16:21:668,48718,48718,0,0,23096281827,247541591,47500,981,237,370,391633,0 121,2,2024-09-07 08:16:21:131,33589,33589,0,0,2918617,0,4127 121,3,2024-09-07 08:16:20:739,1,28,101,1,101,382,28,0 122,0,2024-09-07 08:16:21:787,9248,3.8,8965,3.4,18670,4.1,25120,3.00 122,1,2024-09-07 08:16:20:866,48307,48307,0,0,23264368022,252735773,46386,1552,369,369,392130,0 122,2,2024-09-07 08:16:21:341,39082,39082,0,0,3118444,0,2574 122,3,2024-09-07 08:16:20:598,1,28,8,1,226,1110,28,0 123,0,2024-09-07 08:16:20:956,6309,0.4,6093,0.7,12643,0.3,16781,2.00 123,1,2024-09-07 08:16:20:576,48670,48670,0,0,23068571796,251762446,46130,2086,454,371,391823,0 123,2,2024-09-07 08:16:21:029,36721,36720,1,0,2695100,0,5215 123,3,2024-09-07 08:16:21:148,1,28,2,1,160,596,28,0 124,0,2024-09-07 08:16:20:997,4856,0.3,4840,0.5,9222,0.2,12847,1.75 124,1,2024-09-07 08:16:21:037,49363,49363,0,0,22985429992,240677443,49189,172,2,370,392129,0 124,2,2024-09-07 08:16:21:023,31191,31191,0,0,1596398,0,2477 124,3,2024-09-07 08:16:20:768,1,28,15,2,490,1125,28,0 125,0,2024-09-07 08:16:21:462,6531,1.1,6466,1.0,13044,1.4,17379,2.00 125,1,2024-09-07 08:16:20:856,48731,48731,0,0,23014581568,245312476,47710,999,22,385,391702,0 125,2,2024-09-07 08:16:21:125,33200,33200,0,0,2248328,0,2180 125,3,2024-09-07 08:16:21:132,1,28,77,1,93,629,28,0 126,0,2024-09-07 08:16:21:437,11460,1.8,11701,1.3,22578,2.6,31170,2.25 126,1,2024-09-07 08:16:20:554,49127,49127,0,0,23866509342,250559804,48662,457,8,368,391719,0 126,2,2024-09-07 08:16:20:624,39032,39032,0,0,2558590,0,3186 126,3,2024-09-07 08:16:20:910,1,28,4,1,45,237,28,0 127,0,2024-09-07 08:16:21:614,5116,0.3,5021,0.6,10085,0.2,13483,1.75 127,1,2024-09-07 08:16:20:574,48860,48860,0,0,22840210864,240773718,48123,715,22,366,391614,0 127,2,2024-09-07 08:16:20:648,37224,37224,0,0,2110934,0,1744 127,3,2024-09-07 08:16:21:269,1,28,0,0,99,307,28,0 128,0,2024-09-07 08:16:21:556,4657,0.3,4737,0.5,9293,0.2,12332,1.75 128,1,2024-09-07 08:16:21:605,49105,49105,0,0,23207172240,244827373,48429,610,66,369,391605,0 128,2,2024-09-07 08:16:21:397,31779,31779,0,0,2164252,0,1919 128,3,2024-09-07 08:16:20:767,1,28,18,1,20,330,28,0 129,0,2024-09-07 08:16:21:082,5776,0.6,5841,0.7,11550,0.6,15422,2.00 129,1,2024-09-07 08:16:20:582,48924,48924,0,0,23081673645,247428228,47561,1098,265,379,391835,0 129,2,2024-09-07 08:16:20:700,33167,33167,0,0,2060325,0,2446 129,3,2024-09-07 08:16:20:695,1,28,131,1,131,516,28,0 130,0,2024-09-07 08:16:21:736,11235,1.6,11112,1.3,22230,2.1,30207,2.25 130,1,2024-09-07 08:16:20:587,49175,49175,0,0,23210870502,247744432,48117,1046,12,381,391520,0 130,2,2024-09-07 08:16:21:125,37624,37624,0,0,2121607,0,1539 130,3,2024-09-07 08:16:21:302,1,28,2,1,207,419,28,0 131,0,2024-09-07 08:16:22:010,5630,2.8,5477,2.0,11314,3.2,15194,2.75 131,1,2024-09-07 08:16:21:825,49120,49120,0,0,23165543076,246774196,48218,716,186,385,391727,0 131,2,2024-09-07 08:16:20:571,36069,36069,0,0,2128969,0,2415 131,3,2024-09-07 08:16:21:707,1,28,14,1,29,148,28,0 132,0,2024-09-07 08:16:21:484,5974,0.4,6139,0.8,12189,0.4,15845,2.00 132,1,2024-09-07 08:16:20:583,48591,48591,0,0,22905847794,246635278,47142,1186,263,383,391533,0 132,2,2024-09-07 08:16:20:706,34942,34942,0,0,3562166,0,4606 132,3,2024-09-07 08:16:21:707,1,28,4,3,356,658,28,0 133,0,2024-09-07 08:16:21:601,4847,0.3,4929,0.5,10022,0.2,12735,1.75 133,1,2024-09-07 08:16:20:592,48532,48532,0,0,23229488344,247469103,47560,967,5,385,391513,0 133,2,2024-09-07 08:16:21:108,33342,33342,0,0,1880173,0,1707 133,3,2024-09-07 08:16:21:319,1,28,38,1,38,154,28,0 134,0,2024-09-07 08:16:20:955,10111,0.4,10169,0.7,20362,0.4,27500,1.75 134,1,2024-09-07 08:16:20:587,48934,48934,0,0,22802701278,245294151,47377,810,747,366,391508,0 134,2,2024-09-07 08:16:21:764,34040,34040,0,0,1815077,0,2026 134,3,2024-09-07 08:16:20:776,1,28,1,1,63,366,28,0 135,0,2024-09-07 08:16:21:151,7626,3.3,7601,2.8,16105,3.3,20556,2.75 135,1,2024-09-07 08:16:21:608,48669,48669,0,0,23678244005,249256581,47970,683,16,383,391528,0 135,2,2024-09-07 08:16:20:688,38146,38146,0,0,2146932,0,3016 135,3,2024-09-07 08:16:21:013,1,28,2,0,68,309,28,0 136,0,2024-09-07 08:16:21:733,6394,0.5,6371,0.8,12739,0.4,16666,2.25 136,1,2024-09-07 08:16:21:472,49216,49216,0,0,22896374504,245460440,47883,1192,141,385,391501,0 136,2,2024-09-07 08:16:21:137,37790,37790,0,0,1984496,0,2199 136,3,2024-09-07 08:16:21:115,1,28,13,1,108,477,28,0 137,0,2024-09-07 08:16:20:977,5418,0.4,5287,0.7,10451,0.2,13833,2.00 137,1,2024-09-07 08:16:20:581,48860,48860,0,0,23520803949,250454215,47738,1035,87,368,391548,0 137,2,2024-09-07 08:16:21:736,31118,31118,0,0,2369193,0,2294 137,3,2024-09-07 08:16:20:771,1,28,12,1,227,491,28,0 138,0,2024-09-07 08:16:21:764,7136,0.9,7365,1.0,14715,1.1,19922,2.50 138,1,2024-09-07 08:16:21:689,48577,48577,0,0,22746692136,243880634,47143,1202,232,371,391573,0 138,2,2024-09-07 08:16:20:586,33683,33683,0,0,1992217,0,3263 138,3,2024-09-07 08:16:20:622,1,28,6,1,68,260,28,0 139,0,2024-09-07 08:16:21:391,9941,5.9,9895,3.4,20158,6.2,27248,4.50 139,1,2024-09-07 08:16:20:573,48438,48438,0,0,22995391137,253736075,46093,1635,710,383,391511,0 139,2,2024-09-07 08:16:20:694,39240,39240,0,0,2556145,0,2425 139,3,2024-09-07 08:16:21:674,1,28,2,1,120,600,28,0 140,0,2024-09-07 08:16:21:614,5789,0.5,5663,0.7,11472,0.3,15502,1.75 140,1,2024-09-07 08:16:21:539,49400,49400,0,0,23353929108,245313469,48787,423,190,366,391482,0 140,2,2024-09-07 08:16:20:691,37069,37069,0,0,2943634,0,3388 140,3,2024-09-07 08:16:20:767,1,28,9,1,25,263,28,0 141,0,2024-09-07 08:16:21:715,4293,0.2,4464,0.4,8624,0.1,11641,1.50 141,1,2024-09-07 08:16:20:862,48917,48917,0,0,23850487335,253935805,47558,1028,331,382,391532,0 141,2,2024-09-07 08:16:21:686,31575,31575,0,0,1873505,0,2342 141,3,2024-09-07 08:16:21:053,1,28,48,0,53,246,28,0 142,0,2024-09-07 08:16:21:650,6714,0.4,6580,0.7,13177,0.4,18027,1.75 142,1,2024-09-07 08:16:20:586,48966,48966,0,0,23428472684,246323061,48718,247,1,385,391649,0 142,2,2024-09-07 08:16:21:352,33238,33238,0,0,2099130,0,2293 142,3,2024-09-07 08:16:21:761,1,28,7,1,53,235,28,0 143,0,2024-09-07 08:16:21:535,10438,1.4,10381,1.2,20976,1.7,28031,2.25 143,1,2024-09-07 08:16:20:575,48783,48783,0,0,23459917056,246799685,47999,763,21,367,391608,0 143,2,2024-09-07 08:16:20:787,38287,38287,0,0,2587125,0,2669 143,3,2024-09-07 08:16:21:148,1,28,174,1,236,881,28,0 144,0,2024-09-07 08:16:21:524,5322,4.9,5477,10.0,10951,5.3,15891,3.00 144,1,2024-09-07 08:16:20:582,48682,48682,0,0,23000527218,247987587,47277,1217,188,383,391562,0 144,2,2024-09-07 08:16:21:759,36513,36513,0,0,2294712,0,2653 144,3,2024-09-07 08:16:21:741,1,28,2,1,112,512,28,0 145,0,2024-09-07 08:16:21:371,5162,0.4,5188,0.7,10852,0.3,13997,2.00 145,1,2024-09-07 08:16:20:567,48394,48394,0,0,22769093936,241491502,47691,551,152,383,391615,0 145,2,2024-09-07 08:16:21:430,33599,33599,0,0,2649356,0,3903 145,3,2024-09-07 08:16:20:895,1,28,2,1,151,606,28,0 146,0,2024-09-07 08:16:21:655,5397,0.3,5354,0.6,10706,0.2,14520,1.75 146,1,2024-09-07 08:16:21:618,48888,48888,0,0,23306869375,250559415,47062,1528,298,370,391512,0 146,2,2024-09-07 08:16:21:708,33174,33174,0,0,1839418,0,2149 146,3,2024-09-07 08:16:21:304,1,28,5,0,54,282,28,0 147,0,2024-09-07 08:16:21:738,10538,2.4,10334,1.6,20631,3.0,28732,2.75 147,1,2024-09-07 08:16:21:382,49197,49197,0,0,23543891395,248088179,48474,596,127,369,391791,0 147,2,2024-09-07 08:16:21:019,36043,36043,0,0,2331472,0,2789 147,3,2024-09-07 08:16:20:918,1,28,1,1,141,558,28,0 0,0,2024-09-07 08:16:31:718,4784,0.5,4796,0.8,10063,0.4,12786,2.25 0,1,2024-09-07 08:16:30:807,50581,50581,0,0,24178699308,258312726,49631,838,112,373,391673,0 0,2,2024-09-07 08:16:31:073,33476,33476,0,0,2499158,0,4480 0,3,2024-09-07 08:16:30:974,1,29,9,2,247,627,29,0 1,0,2024-09-07 08:16:31:833,8913,3.3,8811,2.1,17928,5.0,24810,3.25 1,1,2024-09-07 08:16:30:581,50057,50057,0,0,23534995807,253943664,48473,1061,523,372,391857,0 1,2,2024-09-07 08:16:30:644,34664,34664,0,0,2285663,0,3267 1,3,2024-09-07 08:16:31:309,1,29,30,1,167,439,29,0 2,0,2024-09-07 08:16:31:582,9172,4.0,9334,2.3,18400,6.1,24536,4.50 2,1,2024-09-07 08:16:30:861,50248,50248,0,0,24136935155,255130878,49589,570,89,382,391527,0 2,2,2024-09-07 08:16:31:266,39375,39375,0,0,2703303,0,3304 2,3,2024-09-07 08:16:30:691,1,29,1,1,87,360,29,0 3,0,2024-09-07 08:16:31:755,6556,0.5,6496,0.8,13000,0.4,17033,2.25 3,1,2024-09-07 08:16:31:987,50342,50342,0,0,23874792811,257890600,48405,1551,386,383,391494,0 3,2,2024-09-07 08:16:31:144,38251,38228,23,0,3169027,0,5851 3,3,2024-09-07 08:16:31:752,1,29,22,1,103,286,29,0 4,0,2024-09-07 08:16:31:927,4907,0.4,5086,0.6,10098,0.3,13340,2.00 4,1,2024-09-07 08:16:30:595,50781,50781,0,0,23889076698,255834601,49601,837,343,372,391682,0 4,2,2024-09-07 08:16:31:018,32323,32323,0,0,2399440,0,4528 4,3,2024-09-07 08:16:31:029,1,29,21,8,287,712,29,0 5,0,2024-09-07 08:16:31:399,6914,1.1,6819,1.1,13771,1.8,18446,2.25 5,1,2024-09-07 08:16:30:760,50628,50628,0,0,23940031931,253360592,49772,761,95,368,392005,0 5,2,2024-09-07 08:16:31:831,34358,34358,0,0,1796227,0,1912 5,3,2024-09-07 08:16:31:732,1,29,5,1,182,679,29,0 6,0,2024-09-07 08:16:30:929,11673,2.9,11585,1.9,23108,5.5,31127,4.50 6,1,2024-09-07 08:16:30:748,50888,50888,0,0,24026085255,252001277,50487,396,5,382,391603,0 6,2,2024-09-07 08:16:31:116,40720,40720,0,0,2570784,0,2232 6,3,2024-09-07 08:16:31:274,1,29,1,1,277,579,29,0 7,0,2024-09-07 08:16:31:540,5193,0.4,5275,0.6,10614,0.2,14243,2.00 7,1,2024-09-07 08:16:30:855,50198,50198,0,0,24217218722,256177800,49464,670,64,384,391558,0 7,2,2024-09-07 08:16:30:770,38164,38164,0,0,2266140,0,2981 7,3,2024-09-07 08:16:30:851,1,29,1,0,78,307,29,0 8,0,2024-09-07 08:16:31:337,5065,0.2,4911,0.4,9718,0.2,12959,1.50 8,1,2024-09-07 08:16:31:027,50313,50313,0,0,24234489304,267311926,47425,1655,1233,368,391724,0 8,2,2024-09-07 08:16:30:796,32459,32459,0,0,2403640,0,2986 8,3,2024-09-07 08:16:30:592,1,29,1,1,229,647,29,0 9,0,2024-09-07 08:16:31:113,6124,0.3,5983,0.5,12454,0.2,16635,1.50 9,1,2024-09-07 08:16:30:562,50441,50441,0,0,24797067035,266247749,48739,1221,481,370,391553,0 9,2,2024-09-07 08:16:31:086,34576,34576,0,0,2243545,0,3360 9,3,2024-09-07 08:16:31:752,1,29,1,0,127,416,29,0 10,0,2024-09-07 08:16:31:612,11597,0.4,11473,0.5,23229,0.4,30764,1.75 10,1,2024-09-07 08:16:30:585,50380,50380,0,0,23884587723,258341912,48163,1837,380,383,391541,0 10,2,2024-09-07 08:16:30:764,38571,38571,0,0,2820857,0,2940 10,3,2024-09-07 08:16:30:871,1,29,1,0,118,236,29,0 11,0,2024-09-07 08:16:31:022,5524,5.7,5429,3.5,11332,7.8,16035,3.50 11,1,2024-09-07 08:16:30:578,50312,50312,0,0,24197954487,261958175,48175,1401,736,384,391485,0 11,2,2024-09-07 08:16:31:125,37600,37600,0,0,2381746,0,2635 11,3,2024-09-07 08:16:31:298,1,29,1,1,720,988,29,0 12,0,2024-09-07 08:16:30:954,6294,0.3,6340,0.5,12566,0.2,16297,1.75 12,1,2024-09-07 08:16:30:938,50527,50527,0,0,23480358789,250343891,49558,946,23,372,391611,0 12,2,2024-09-07 08:16:31:547,36263,36263,0,0,2453012,0,2254 12,3,2024-09-07 08:16:31:059,1,29,6,1,358,894,29,0 13,0,2024-09-07 08:16:31:338,5108,0.3,5177,0.6,10380,0.3,13764,1.75 13,1,2024-09-07 08:16:31:525,50767,50767,0,0,23578036471,250683497,50150,578,39,384,391563,0 13,2,2024-09-07 08:16:30:603,34567,34567,0,0,1858425,0,3287 13,3,2024-09-07 08:16:31:763,1,29,15,1,153,482,29,0 14,0,2024-09-07 08:16:30:583,10740,0.5,10664,0.7,21263,0.5,28742,1.75 14,1,2024-09-07 08:16:31:567,51275,51275,0,0,24041030579,251844726,50691,555,29,365,391546,0 14,2,2024-09-07 08:16:30:781,35640,35640,0,0,2337354,0,2077 14,3,2024-09-07 08:16:31:118,1,29,4,1,59,232,29,0 15,0,2024-09-07 08:16:31:609,7703,4.9,7595,2.7,15312,6.8,20892,4.25 15,1,2024-09-07 08:16:31:618,50805,50805,0,0,23933475198,252418841,50330,473,2,381,391536,0 15,2,2024-09-07 08:16:31:000,39399,39399,0,0,2039159,0,3043 15,3,2024-09-07 08:16:31:406,1,29,6,0,538,923,29,0 16,0,2024-09-07 08:16:31:049,6480,0.4,6482,0.7,12967,0.3,16950,2.25 16,1,2024-09-07 08:16:30:577,50746,50746,0,0,23846786773,254360692,49836,892,18,373,391543,0 16,2,2024-09-07 08:16:31:437,37251,37251,0,0,3240213,0,4719 16,3,2024-09-07 08:16:31:146,1,29,13,3,231,844,29,0 17,0,2024-09-07 08:16:31:784,5411,0.4,5458,0.7,10516,0.3,13792,2.25 17,1,2024-09-07 08:16:30:579,50288,50288,0,0,23835696346,257978209,48756,1014,518,369,391671,0 17,2,2024-09-07 08:16:31:667,33187,33187,0,0,2136430,0,2857 17,3,2024-09-07 08:16:30:579,1,29,6,0,268,860,29,0 18,0,2024-09-07 08:16:30:966,7570,1.1,7729,1.1,15447,1.4,20812,2.50 18,1,2024-09-07 08:16:31:639,50106,50106,0,0,23527014642,251344936,48996,925,185,370,391526,0 18,2,2024-09-07 08:16:31:754,33992,33992,0,0,2827251,0,3541 18,3,2024-09-07 08:16:30:897,1,29,24,0,142,521,29,0 19,0,2024-09-07 08:16:31:548,10116,3.9,10266,2.2,20125,6.9,26644,6.00 19,1,2024-09-07 08:16:30:577,50399,50399,0,0,23985836536,257873238,48668,1569,162,367,391529,0 19,2,2024-09-07 08:16:31:753,40305,40305,0,0,3035560,0,3988 19,3,2024-09-07 08:16:31:129,1,29,1,0,524,643,29,0 20,0,2024-09-07 08:16:31:385,5990,0.3,6220,0.5,11884,0.2,15632,2.00 20,1,2024-09-07 08:16:30:581,50669,50669,0,0,24383222322,256846934,50108,558,3,370,391492,0 20,2,2024-09-07 08:16:30:929,38290,38290,0,0,2155197,0,1616 20,3,2024-09-07 08:16:30:591,1,29,37,1,99,536,29,0 21,0,2024-09-07 08:16:31:154,4797,0.3,4710,0.5,9232,0.2,12285,1.75 21,1,2024-09-07 08:16:31:548,50615,50615,0,0,23736613694,251710324,49764,817,34,368,391962,0 21,2,2024-09-07 08:16:31:073,32643,32643,0,0,1907361,0,3747 21,3,2024-09-07 08:16:31:405,1,29,2,1,93,464,29,0 22,0,2024-09-07 08:16:31:744,6631,2.8,6640,1.7,13262,4.1,17942,3.25 22,1,2024-09-07 08:16:31:024,50530,50530,0,0,23237916304,250733100,48910,1242,378,382,391667,0 22,2,2024-09-07 08:16:30:767,34686,34686,0,0,1811130,0,1805 22,3,2024-09-07 08:16:31:073,1,29,1,0,48,166,29,0 23,0,2024-09-07 08:16:31:382,10731,0.5,11040,0.7,21835,0.5,29297,2.00 23,1,2024-09-07 08:16:31:010,50833,50833,0,0,24244425144,253049878,50453,355,25,368,391482,0 23,2,2024-09-07 08:16:31:100,40287,40287,0,0,2095745,0,2078 23,3,2024-09-07 08:16:31:754,1,29,0,0,645,915,29,0 24,0,2024-09-07 08:16:30:851,6240,1.1,6179,1.3,12517,1.8,16908,1.75 24,1,2024-09-07 08:16:30:585,51061,51061,0,0,24304881575,256652458,50453,604,4,371,391640,0 24,2,2024-09-07 08:16:31:086,37542,37542,0,0,3308859,0,2942 24,3,2024-09-07 08:16:31:687,1,29,13,2,234,556,29,0 25,0,2024-09-07 08:16:31:427,5642,0.3,5552,0.4,10733,0.2,14412,1.50 25,1,2024-09-07 08:16:30:666,50726,50726,0,0,23806108870,251520168,50111,599,16,373,391544,0 25,2,2024-09-07 08:16:31:616,34181,34181,0,0,2364989,0,1963 25,3,2024-09-07 08:16:31:000,1,29,4,1,50,296,29,0 26,0,2024-09-07 08:16:31:748,5570,0.2,5523,0.4,11555,0.1,15155,1.50 26,1,2024-09-07 08:16:31:546,50505,50505,0,0,23550913398,256994568,48276,1531,698,382,391519,0 26,2,2024-09-07 08:16:30:862,34941,34941,0,0,2536987,0,2809 26,3,2024-09-07 08:16:31:713,1,29,1,1,796,938,29,0 27,0,2024-09-07 08:16:31:747,10940,0.4,11056,0.6,22093,0.4,29866,1.75 27,1,2024-09-07 08:16:31:677,51356,51356,0,0,24747770895,257569136,51126,229,1,381,391526,0 27,2,2024-09-07 08:16:30:868,36688,36688,0,0,3695808,0,3409 27,3,2024-09-07 08:16:31:014,1,29,5,1,86,308,29,0 28,0,2024-09-07 08:16:31:395,6697,1.9,6794,1.6,13605,3.5,17986,2.00 28,1,2024-09-07 08:16:30:815,50863,50863,0,0,24614023134,259425901,50362,492,9,383,391497,0 28,2,2024-09-07 08:16:31:764,37839,37839,0,0,2030969,0,2609 28,3,2024-09-07 08:16:31:788,1,29,23,1,502,822,29,0 29,0,2024-09-07 08:16:31:383,6626,0.3,6489,0.5,12812,0.2,16944,1.75 29,1,2024-09-07 08:16:31:563,51259,51259,0,0,24086065551,251962310,50649,438,172,369,391621,0 29,2,2024-09-07 08:16:30:862,37627,37627,0,0,1750945,0,2026 29,3,2024-09-07 08:16:30:963,1,29,16,1,105,417,29,0 30,0,2024-09-07 08:16:31:465,4977,0.3,4824,0.5,10008,0.2,12814,1.75 30,1,2024-09-07 08:16:30:577,50695,50695,0,0,24858878516,262730380,50046,587,62,382,391524,0 30,2,2024-09-07 08:16:31:274,33906,33906,0,0,1346715,0,1567 30,3,2024-09-07 08:16:30:585,1,29,4,0,110,192,29,0 31,0,2024-09-07 08:16:31:769,9178,0.5,9266,0.7,18530,0.5,25003,1.75 31,1,2024-09-07 08:16:30:564,50593,50593,0,0,23794572712,246612319,50475,116,2,356,391553,0 31,2,2024-09-07 08:16:31:276,34890,34890,0,0,2622457,0,3525 31,3,2024-09-07 08:16:31:706,1,29,129,0,129,247,29,0 32,0,2024-09-07 08:16:31:436,9409,3.0,9569,1.7,19192,4.1,25150,2.00 32,1,2024-09-07 08:16:30:806,50568,50568,0,0,23937606283,253937232,49699,844,25,383,391595,0 32,2,2024-09-07 08:16:30:944,39979,39979,0,0,2601425,0,3155 32,3,2024-09-07 08:16:31:015,1,29,27,1,110,417,29,0 33,0,2024-09-07 08:16:31:535,6560,0.3,6485,0.5,13122,0.2,17003,1.75 33,1,2024-09-07 08:16:30:583,50273,50273,0,0,24018190318,255167498,49052,1111,110,369,391497,0 33,2,2024-09-07 08:16:30:766,37686,37653,33,0,3892802,0,7012 33,3,2024-09-07 08:16:30:895,1,29,1,1,27,132,29,0 34,0,2024-09-07 08:16:30:943,5016,0.2,5108,0.4,9909,0.1,13302,1.50 34,1,2024-09-07 08:16:31:044,50887,50887,0,0,24163285983,253326680,50497,387,3,369,391481,0 34,2,2024-09-07 08:16:30:767,32050,32050,0,0,2609384,0,3255 34,3,2024-09-07 08:16:31:688,1,29,1,0,58,228,29,0 35,0,2024-09-07 08:16:30:867,6746,2.2,6762,1.5,13491,2.7,18496,2.25 35,1,2024-09-07 08:16:31:067,50603,50603,0,0,24380887667,259187961,49210,1032,361,385,391587,0 35,2,2024-09-07 08:16:31:583,34324,34324,0,0,2172835,0,2404 35,3,2024-09-07 08:16:30:907,1,29,2,1,219,626,29,0 36,0,2024-09-07 08:16:31:536,11661,3.1,11464,1.9,23556,4.3,31217,3.50 36,1,2024-09-07 08:16:30:584,50195,50195,0,0,23337621374,254053480,47695,1646,854,369,391535,0 36,2,2024-09-07 08:16:31:760,40767,40767,0,0,2490028,0,2554 36,3,2024-09-07 08:16:30:863,1,29,9,0,378,797,29,0 37,0,2024-09-07 08:16:31:400,5329,0.3,5293,0.5,10672,0.2,14280,1.75 37,1,2024-09-07 08:16:30:570,49895,49888,0,7,23701170898,261503476,47341,1040,1507,368,391507,0 37,2,2024-09-07 08:16:31:142,38580,38580,0,0,1890981,0,1704 37,3,2024-09-07 08:16:31:773,1,29,1,0,508,648,29,0 38,0,2024-09-07 08:16:31:450,4910,0.3,4759,0.6,9880,0.2,12938,1.75 38,1,2024-09-07 08:16:31:608,51141,51141,0,0,24649719191,259687292,50431,672,38,371,391512,0 38,2,2024-09-07 08:16:30:760,32955,32955,0,0,1997098,0,3245 38,3,2024-09-07 08:16:30:999,1,29,2,0,63,270,29,0 39,0,2024-09-07 08:16:31:770,6343,0.4,6295,0.6,12212,0.3,16741,2.00 39,1,2024-09-07 08:16:30:717,50697,50697,0,0,23665230060,250763517,49514,764,419,366,391524,0 39,2,2024-09-07 08:16:31:418,34477,34477,0,0,1826467,0,2381 39,3,2024-09-07 08:16:30:715,1,29,40,1,189,590,29,0 40,0,2024-09-07 08:16:31:495,11240,1.2,11479,2.4,22767,1.8,30553,3.00 40,1,2024-09-07 08:16:30:583,50845,50845,0,0,23192989224,249767864,48980,1338,527,371,391543,0 40,2,2024-09-07 08:16:31:303,38561,38560,1,0,3093357,0,5137 40,3,2024-09-07 08:16:31:142,1,29,17,1,63,326,29,0 41,0,2024-09-07 08:16:31:047,5166,6.6,5351,10.0,10410,9.4,14885,5.25 41,1,2024-09-07 08:16:30:775,50708,50708,0,0,24014868263,255583469,49457,1058,193,370,391483,0 41,2,2024-09-07 08:16:30:764,37475,37475,0,0,3122062,0,3325 41,3,2024-09-07 08:16:31:676,1,29,1,1,19,77,29,0 42,0,2024-09-07 08:16:31:485,6169,0.5,6171,0.9,12349,0.4,16294,2.25 42,1,2024-09-07 08:16:31:439,50268,50268,0,0,24311516098,264366387,48148,1418,702,381,391511,0 42,2,2024-09-07 08:16:31:133,35975,35975,0,0,2699688,0,3568 42,3,2024-09-07 08:16:31:012,1,29,1,0,100,434,29,0 43,0,2024-09-07 08:16:30:929,5129,0.3,5029,0.6,10550,0.2,13766,1.75 43,1,2024-09-07 08:16:30:577,50544,50544,0,0,24536999721,260902146,49131,822,591,367,391507,0 43,2,2024-09-07 08:16:31:736,34366,34366,0,0,2245283,0,3812 43,3,2024-09-07 08:16:31:749,1,29,1,1,292,769,29,0 44,0,2024-09-07 08:16:30:866,10627,0.5,10594,0.8,21141,0.5,28761,2.00 44,1,2024-09-07 08:16:30:565,50936,50936,0,0,23539248317,246281987,50496,431,9,357,391493,0 44,2,2024-09-07 08:16:31:268,35459,35459,0,0,1774054,0,1592 44,3,2024-09-07 08:16:31:093,1,29,8,1,817,1119,29,0 45,0,2024-09-07 08:16:31:789,7654,5.0,7474,2.7,15650,6.6,21075,2.50 45,1,2024-09-07 08:16:31:011,50881,50881,0,0,24514751429,256949071,50632,249,0,382,391917,0 45,2,2024-09-07 08:16:31:272,39418,39418,0,0,2648904,0,2628 45,3,2024-09-07 08:16:30:936,1,29,15,1,226,498,29,0 46,0,2024-09-07 08:16:30:975,6499,0.3,6563,0.5,13093,0.2,17000,1.75 46,1,2024-09-07 08:16:30:589,51049,51049,0,0,24169128945,252165230,50623,400,26,370,391514,0 46,2,2024-09-07 08:16:30:603,38200,38200,0,0,2357215,0,2920 46,3,2024-09-07 08:16:31:130,1,29,2,0,200,621,29,0 47,0,2024-09-07 08:16:31:114,5393,0.3,5435,0.5,10704,0.2,13804,1.50 47,1,2024-09-07 08:16:30:568,51159,51159,0,0,23693696410,246454067,50952,206,1,368,391481,0 47,2,2024-09-07 08:16:30:909,33458,33458,0,0,2130554,0,2558 47,3,2024-09-07 08:16:31:115,1,29,1,1,529,659,29,0 48,0,2024-09-07 08:16:31:494,7804,0.5,7750,0.7,15410,0.6,20930,2.00 48,1,2024-09-07 08:16:31:022,50439,50439,0,0,24253044720,258727730,49344,1090,5,386,391521,0 48,2,2024-09-07 08:16:30:702,34641,34641,0,0,1797001,0,2083 48,3,2024-09-07 08:16:30:753,1,29,9,1,23,265,29,0 49,0,2024-09-07 08:16:31:723,10656,3.4,10583,1.9,20288,5.5,27997,2.50 49,1,2024-09-07 08:16:31:021,50501,50501,0,0,24063519600,259605667,48857,935,709,382,391583,0 49,2,2024-09-07 08:16:31:800,40458,40458,0,0,2920441,0,3900 49,3,2024-09-07 08:16:31:416,1,29,1,0,274,583,29,0 50,0,2024-09-07 08:16:31:519,6071,0.3,5988,0.5,11887,0.2,16041,1.75 50,1,2024-09-07 08:16:31:017,51124,51124,0,0,24827769785,263007344,49822,1051,251,370,391530,0 50,2,2024-09-07 08:16:31:067,38575,38575,0,0,2045737,0,2034 50,3,2024-09-07 08:16:31:293,1,29,33,1,335,565,29,0 51,0,2024-09-07 08:16:31:701,4828,0.2,4673,0.4,9293,0.1,12592,1.50 51,1,2024-09-07 08:16:31:684,50374,50374,0,0,24735621070,264541098,48714,878,782,367,391504,0 51,2,2024-09-07 08:16:31:324,32300,32300,0,0,1416901,0,1936 51,3,2024-09-07 08:16:31:027,1,29,1,0,162,383,29,0 52,0,2024-09-07 08:16:31:436,6942,2.3,6881,1.6,13821,3.8,18248,4.00 52,1,2024-09-07 08:16:30:582,50233,50233,0,0,23451156759,255249577,48138,1632,463,371,391498,0 52,2,2024-09-07 08:16:31:760,34637,34637,0,0,2151040,0,4779 52,3,2024-09-07 08:16:30:685,1,29,25,4,1782,2108,29,0 53,0,2024-09-07 08:16:31:740,10882,1.2,10587,1.1,21768,1.4,29008,2.75 53,1,2024-09-07 08:16:30:772,50476,50476,0,0,24343944849,262392753,48576,1381,519,372,391523,0 53,2,2024-09-07 08:16:31:301,40145,40145,0,0,1886818,0,1542 53,3,2024-09-07 08:16:30:701,1,29,59,1,59,225,29,0 54,0,2024-09-07 08:16:31:636,5373,10.0,5353,10.0,10748,4.7,15492,6.00 54,1,2024-09-07 08:16:30:584,50755,50755,0,0,24639472208,258735163,49951,770,34,367,391520,0 54,2,2024-09-07 08:16:30:865,38248,38242,6,0,2608369,0,5382 54,3,2024-09-07 08:16:30:767,1,29,24,0,111,355,29,0 55,0,2024-09-07 08:16:31:769,5382,0.6,5553,0.9,11217,0.5,14339,2.50 55,1,2024-09-07 08:16:30:765,50237,50237,0,0,24201199883,258638512,48204,1467,566,369,391731,0 55,2,2024-09-07 08:16:30:729,34211,34211,0,0,1979839,0,2488 55,3,2024-09-07 08:16:30:680,1,29,2,0,69,285,29,0 56,0,2024-09-07 08:16:31:571,5756,1.2,5435,1.1,11205,1.7,14986,2.75 56,1,2024-09-07 08:16:30:577,50628,50628,0,0,24899378006,269752971,48515,1531,582,384,391536,0 56,2,2024-09-07 08:16:31:312,34593,34593,0,0,2582749,0,3567 56,3,2024-09-07 08:16:31:059,1,29,2,2,297,589,29,0 57,0,2024-09-07 08:16:30:989,10631,3.1,10476,2.0,21175,4.6,28824,4.00 57,1,2024-09-07 08:16:30:987,51173,51173,0,0,23284182292,247238623,50352,807,14,369,391505,0 57,2,2024-09-07 08:16:31:324,37193,37193,0,0,2569681,0,2687 57,3,2024-09-07 08:16:31:739,1,29,8,1,359,860,29,0 58,0,2024-09-07 08:16:30:590,5911,3.8,5805,2.4,12053,3.8,16070,6.75 58,1,2024-09-07 08:16:30:590,50966,50965,0,1,24955515400,264595029,49701,1107,157,371,391512,1 58,2,2024-09-07 08:16:31:076,38352,38352,0,0,2541879,0,2464 58,3,2024-09-07 08:16:31:073,1,29,3,1,219,603,29,0 59,0,2024-09-07 08:16:31:762,6463,1.2,6503,1.2,12950,1.4,16627,3.00 59,1,2024-09-07 08:16:30:809,50815,50815,0,0,24304966785,256289483,50134,676,5,371,391515,0 59,2,2024-09-07 08:16:30:584,37437,37437,0,0,2070807,0,2206 59,3,2024-09-07 08:16:31:737,1,29,1,0,25,153,29,0 60,0,2024-09-07 08:16:31:802,4970,0.4,4979,0.6,9821,0.2,12829,1.75 60,1,2024-09-07 08:16:30:787,51383,51383,0,0,24289194482,256868252,50495,544,344,370,391672,0 60,2,2024-09-07 08:16:31:151,33984,33984,0,0,1727095,0,2142 60,3,2024-09-07 08:16:31:259,1,29,8,0,124,440,29,0 61,0,2024-09-07 08:16:31:509,8971,2.5,8986,1.7,17790,3.8,24538,2.25 61,1,2024-09-07 08:16:30:781,50459,50459,0,0,23810369938,257143470,48603,1385,471,383,391560,0 61,2,2024-09-07 08:16:31:118,35126,35126,0,0,2268097,0,1846 61,3,2024-09-07 08:16:31:705,1,29,5,1,124,369,29,0 62,0,2024-09-07 08:16:31:719,9429,3.3,9695,1.8,18804,5.0,25168,3.25 62,1,2024-09-07 08:16:31:123,50957,50954,0,3,24377786985,255052953,50424,528,2,368,391586,3 62,2,2024-09-07 08:16:31:649,39337,39337,0,0,2646199,0,2931 62,3,2024-09-07 08:16:31:143,1,29,85,0,287,534,29,0 63,0,2024-09-07 08:16:31:485,6507,0.6,6485,0.9,12982,0.6,17076,2.25 63,1,2024-09-07 08:16:30:817,51302,51300,0,2,24130158912,251458812,51147,152,1,382,391540,2 63,2,2024-09-07 08:16:30:769,38353,38353,0,0,2481488,0,2674 63,3,2024-09-07 08:16:31:732,1,29,1,0,216,623,29,0 64,0,2024-09-07 08:16:31:545,4928,0.5,4984,0.8,10060,0.3,13228,2.00 64,1,2024-09-07 08:16:30:751,50372,50372,0,0,24358933253,266201379,47998,1583,791,371,391604,0 64,2,2024-09-07 08:16:31:148,32656,32637,19,0,2646573,0,6121 64,3,2024-09-07 08:16:31:142,1,29,25,1,163,454,29,0 65,0,2024-09-07 08:16:31:721,6603,4.8,6640,2.7,13072,6.5,17904,5.25 65,1,2024-09-07 08:16:30:860,50634,50634,0,0,24041577764,257804428,49122,1296,216,382,391506,0 65,2,2024-09-07 08:16:31:742,34331,34331,0,0,2711518,0,3367 65,3,2024-09-07 08:16:31:683,1,29,1,1,122,395,29,0 66,0,2024-09-07 08:16:31:772,11196,2.8,11335,1.9,22758,5.3,30559,4.75 66,1,2024-09-07 08:16:31:294,51493,51493,0,0,24658872670,259164344,50861,613,19,381,391537,0 66,2,2024-09-07 08:16:31:145,40655,40655,0,0,2509138,0,2934 66,3,2024-09-07 08:16:31:079,1,29,1,1,118,318,29,0 67,0,2024-09-07 08:16:31:440,5328,0.8,5338,1.0,10538,0.9,14224,2.50 67,1,2024-09-07 08:16:30:775,50525,50524,0,1,23938689306,257440356,49041,986,497,383,391567,1 67,2,2024-09-07 08:16:30:592,38674,38674,0,0,2462432,0,2889 67,3,2024-09-07 08:16:31:753,1,29,56,0,93,302,29,0 68,0,2024-09-07 08:16:30:588,4820,0.3,4894,0.6,9899,0.2,12890,2.00 68,1,2024-09-07 08:16:30:577,50488,50488,0,0,23923446261,255255664,49538,504,446,383,391591,0 68,2,2024-09-07 08:16:31:047,33201,33201,0,0,2024367,0,4883 68,3,2024-09-07 08:16:30:728,1,29,10,1,63,347,29,0 69,0,2024-09-07 08:16:31:903,6248,0.6,6104,0.9,12110,0.6,16592,2.50 69,1,2024-09-07 08:16:31:019,50033,50033,0,0,24136385658,263303475,48479,692,862,386,391530,0 69,2,2024-09-07 08:16:31:788,34922,34922,0,0,1883781,0,2462 69,3,2024-09-07 08:16:30:761,1,29,2,0,238,452,29,0 70,0,2024-09-07 08:16:31:541,11457,2.9,11425,3.1,23082,1.3,30521,3.25 70,1,2024-09-07 08:16:30:828,50752,50752,0,0,24390262201,255456113,50177,533,42,369,391527,0 70,2,2024-09-07 08:16:31:331,38904,38904,0,0,2220185,0,2433 70,3,2024-09-07 08:16:30:753,1,29,8,1,69,162,29,0 71,0,2024-09-07 08:16:31:369,5332,6.7,5281,8.4,10423,10.0,15217,6.00 71,1,2024-09-07 08:16:31:605,50713,50713,0,0,24089653440,256901219,49220,1247,246,368,391682,0 71,2,2024-09-07 08:16:31:074,37679,37679,0,0,2092624,0,2146 71,3,2024-09-07 08:16:31:749,1,29,1,1,174,385,29,0 72,0,2024-09-07 08:16:31:078,6390,0.4,6232,0.7,12338,0.3,16345,2.75 72,1,2024-09-07 08:16:31:039,50836,50836,0,0,23477336807,249687331,49601,1187,48,370,391514,0 72,2,2024-09-07 08:16:31:764,35199,35199,0,0,2949133,0,2570 72,3,2024-09-07 08:16:31:765,1,29,20,1,325,632,29,0 73,0,2024-09-07 08:16:31:113,5012,0.3,5165,0.6,10486,0.2,13746,2.25 73,1,2024-09-07 08:16:30:782,50918,50918,0,0,23951439265,251295686,50625,291,2,368,391497,0 73,2,2024-09-07 08:16:31:759,34307,34307,0,0,2608099,0,3482 73,3,2024-09-07 08:16:30:986,1,29,9,0,274,876,29,0 74,0,2024-09-07 08:16:31:357,10648,0.7,10916,0.9,21044,0.7,28931,2.75 74,1,2024-09-07 08:16:30:635,50747,50747,0,0,24157074113,253637849,50250,495,2,382,391494,0 74,2,2024-09-07 08:16:31:003,35602,35602,0,0,3305481,0,4253 74,3,2024-09-07 08:16:31:442,1,29,1,0,192,784,29,0 75,0,2024-09-07 08:16:31:827,7873,4.7,7865,2.5,15546,6.0,21217,3.75 75,1,2024-09-07 08:16:31:586,51283,51283,0,0,24245566489,255517200,50663,569,51,382,391514,0 75,2,2024-09-07 08:16:31:351,39032,39032,0,0,3246734,0,4766 75,3,2024-09-07 08:16:31:074,1,29,1,0,71,175,29,0 76,0,2024-09-07 08:16:30:603,6543,0.4,6534,0.7,12937,0.3,16816,2.25 76,1,2024-09-07 08:16:30:806,50575,50575,0,0,23814098566,255950561,49196,940,439,382,391530,0 76,2,2024-09-07 08:16:31:068,38434,38434,0,0,2046769,0,3064 76,3,2024-09-07 08:16:31:143,1,29,18,0,175,756,29,0 77,0,2024-09-07 08:16:31:864,5392,0.4,5350,0.7,10721,0.3,13823,2.25 77,1,2024-09-07 08:16:30:849,50773,50773,0,0,24126243891,255086881,50032,674,67,383,391512,0 77,2,2024-09-07 08:16:31:286,32935,32935,0,0,1480736,0,1637 77,3,2024-09-07 08:16:31:096,1,29,6,0,131,275,29,0 78,0,2024-09-07 08:16:31:735,7617,3.7,7566,2.1,15290,4.8,20549,3.25 78,1,2024-09-07 08:16:30:611,51256,51256,0,0,23444008305,247377168,50650,569,37,369,391540,0 78,2,2024-09-07 08:16:31:408,34723,34723,0,0,1804684,0,2114 78,3,2024-09-07 08:16:31:133,1,29,1,0,112,482,29,0 79,0,2024-09-07 08:16:31:370,10006,2.9,10308,1.8,20822,4.7,27067,4.75 79,1,2024-09-07 08:16:30:580,50812,50812,0,0,23685385796,249848945,50070,735,7,371,391486,0 79,2,2024-09-07 08:16:31:076,40463,40463,0,0,2296824,0,2679 79,3,2024-09-07 08:16:30:749,1,29,1,0,289,608,29,0 80,0,2024-09-07 08:16:31:148,5891,0.5,6141,0.8,11882,0.4,15960,2.25 80,1,2024-09-07 08:16:31:623,50345,50345,0,0,23663749896,251837090,49090,1140,115,371,391673,0 80,2,2024-09-07 08:16:31:097,38026,38026,0,0,2824779,0,4433 80,3,2024-09-07 08:16:30:577,1,29,2,1,148,676,29,0 81,0,2024-09-07 08:16:31:550,4700,0.3,4856,0.6,9276,0.2,12463,1.75 81,1,2024-09-07 08:16:31:651,50490,50490,0,0,23753000971,255069127,48904,1391,195,383,391646,0 81,2,2024-09-07 08:16:31:131,32013,32013,0,0,2458798,0,3993 81,3,2024-09-07 08:16:31:117,1,29,1,1,193,532,29,0 82,0,2024-09-07 08:16:31:551,6797,3.8,6693,2.2,13505,5.6,18390,3.25 82,1,2024-09-07 08:16:30:584,50225,50224,0,1,24083384407,258829095,48498,1195,531,384,391558,1 82,2,2024-09-07 08:16:31:696,35054,35054,0,0,1837032,0,2230 82,3,2024-09-07 08:16:31:753,1,29,2,1,65,320,29,0 83,0,2024-09-07 08:16:31:536,11055,1.3,10918,1.2,21594,1.5,29201,2.75 83,1,2024-09-07 08:16:30:551,50470,50470,0,0,23667673268,252537696,49372,998,100,384,391553,0 83,2,2024-09-07 08:16:30:769,39289,39289,0,0,2918943,0,3119 83,3,2024-09-07 08:16:30:749,1,29,69,0,91,340,29,0 84,0,2024-09-07 08:16:31:829,5720,9.8,5570,9.3,11230,3.4,16375,5.25 84,1,2024-09-07 08:16:31:041,50829,50829,0,0,24286556652,259119787,49619,970,240,370,391630,0 84,2,2024-09-07 08:16:30:584,37760,37760,0,0,2487176,0,3801 84,3,2024-09-07 08:16:31:144,1,29,13,1,24,296,29,0 85,0,2024-09-07 08:16:31:026,5377,0.4,5358,0.6,11302,0.3,14370,2.00 85,1,2024-09-07 08:16:30:564,50351,50351,0,0,24281003464,260584468,48825,1234,292,384,391505,0 85,2,2024-09-07 08:16:30:878,34171,34171,0,0,2214830,0,3656 85,3,2024-09-07 08:16:30:691,1,29,7,1,115,395,29,0 86,0,2024-09-07 08:16:30:982,5613,0.4,5811,0.6,11207,0.2,15155,2.00 86,1,2024-09-07 08:16:30:830,50219,50219,0,0,24333924892,258546877,48972,1067,180,367,391506,0 86,2,2024-09-07 08:16:30:865,34830,34829,1,0,2432774,0,5004 86,3,2024-09-07 08:16:30:598,1,29,4,1,199,471,29,0 87,0,2024-09-07 08:16:31:310,10884,2.9,10837,1.7,21899,3.9,29535,2.75 87,1,2024-09-07 08:16:30:604,50643,50643,0,0,23973850107,255203979,49530,1026,87,369,391569,0 87,2,2024-09-07 08:16:31:081,37408,37408,0,0,1782916,0,2148 87,3,2024-09-07 08:16:31:804,1,29,10,1,322,808,29,0 88,0,2024-09-07 08:16:31:468,6732,2.5,6710,1.7,13462,3.5,17971,2.50 88,1,2024-09-07 08:16:30:580,50684,50684,0,0,24520645108,260128079,49703,889,92,367,391747,0 88,2,2024-09-07 08:16:30:703,38335,38335,0,0,2911021,0,3583 88,3,2024-09-07 08:16:31:267,1,29,9,1,77,466,29,0 89,0,2024-09-07 08:16:31:825,6670,0.4,6474,0.6,12870,0.3,16920,1.75 89,1,2024-09-07 08:16:30:562,50457,50457,0,0,24340764922,261478952,49071,777,609,384,391866,0 89,2,2024-09-07 08:16:31:133,37101,37101,0,0,2533762,0,2726 89,3,2024-09-07 08:16:31:792,1,29,1,1,325,908,29,0 90,0,2024-09-07 08:16:31:631,4851,0.2,4922,0.4,10080,0.2,12792,1.50 90,1,2024-09-07 08:16:30:669,50605,50605,0,0,23625371877,254087548,49077,1401,127,382,391522,0 90,2,2024-09-07 08:16:31:419,33621,33621,0,0,2537254,0,2635 90,3,2024-09-07 08:16:30:937,1,29,6,1,19,151,29,0 91,0,2024-09-07 08:16:30:976,9216,0.5,8976,0.6,18510,0.5,24861,1.75 91,1,2024-09-07 08:16:30:560,50696,50696,0,0,24372120105,259709884,49512,1017,167,384,391914,0 91,2,2024-09-07 08:16:31:332,34514,34514,0,0,1792070,0,1997 91,3,2024-09-07 08:16:30:603,1,29,2,1,155,398,29,0 92,0,2024-09-07 08:16:31:456,9542,2.6,9818,1.6,18813,4.2,25288,2.00 92,1,2024-09-07 08:16:30:588,50733,50733,0,0,24060985475,252999319,50350,336,47,383,391569,0 92,2,2024-09-07 08:16:31:351,40496,40496,0,0,2462512,0,2279 92,3,2024-09-07 08:16:31:014,1,29,19,1,68,374,29,0 93,0,2024-09-07 08:16:31:060,6505,0.5,6590,0.7,12648,0.4,16977,2.00 93,1,2024-09-07 08:16:30:806,50457,50457,0,0,23883732173,256166370,49064,962,431,368,391689,0 93,2,2024-09-07 08:16:30:931,38414,38414,0,0,2103080,0,2509 93,3,2024-09-07 08:16:31:411,1,29,1,1,143,352,29,0 94,0,2024-09-07 08:16:31:647,5097,0.3,4997,0.5,9962,0.2,13321,1.50 94,1,2024-09-07 08:16:30:585,50399,50399,0,0,23625359256,251912807,49417,925,57,382,391850,0 94,2,2024-09-07 08:16:30:772,32632,32632,0,0,1964101,0,2443 94,3,2024-09-07 08:16:31:688,1,29,2,1,231,633,29,0 95,0,2024-09-07 08:16:31:356,6824,0.7,6789,0.8,14013,0.8,18517,2.00 95,1,2024-09-07 08:16:30:871,50840,50840,0,0,24103387548,253113066,50515,321,4,368,391590,0 95,2,2024-09-07 08:16:31:031,34338,34338,0,0,2206017,0,3308 95,3,2024-09-07 08:16:31:714,1,29,1,0,135,338,29,0 96,0,2024-09-07 08:16:31:052,11860,2.3,11661,1.5,23400,3.4,31088,2.25 96,1,2024-09-07 08:16:31:586,50543,50543,0,0,24249657748,262331139,48901,1076,566,385,391551,0 96,2,2024-09-07 08:16:31:274,40424,40424,0,0,3177336,0,4038 96,3,2024-09-07 08:16:31:143,1,29,23,2,36,414,29,0 97,0,2024-09-07 08:16:31:335,5338,0.9,5344,1.0,10571,1.2,14212,2.50 97,1,2024-09-07 08:16:30:770,50488,50488,0,0,24333163175,261875110,48497,1475,516,367,391547,0 97,2,2024-09-07 08:16:30:612,37922,37922,0,0,2473555,0,3036 97,3,2024-09-07 08:16:30:591,1,29,165,1,165,490,29,0 98,0,2024-09-07 08:16:31:703,4894,0.3,4863,0.5,9775,0.2,13002,1.75 98,1,2024-09-07 08:16:30:587,49944,49944,0,0,24383965207,258969826,49159,755,30,384,391588,0 98,2,2024-09-07 08:16:30:772,32941,32941,0,0,2313326,0,3080 98,3,2024-09-07 08:16:30:699,1,29,14,1,155,455,29,0 99,0,2024-09-07 08:16:31:535,6091,0.4,6006,0.6,12193,0.3,16683,2.00 99,1,2024-09-07 08:16:31:724,51408,51408,0,0,23299487858,246012734,50807,440,161,382,391602,0 99,2,2024-09-07 08:16:31:420,35070,35070,0,0,2419529,0,1858 99,3,2024-09-07 08:16:30:581,1,29,2,1,65,300,29,0 100,0,2024-09-07 08:16:31:470,11370,1.1,11288,3.5,22632,3.3,30452,2.50 100,1,2024-09-07 08:16:30:552,50499,50499,0,0,23595619454,258010144,48336,1836,327,382,391505,0 100,2,2024-09-07 08:16:31:817,38529,38518,11,0,3446044,0,5417 100,3,2024-09-07 08:16:31:736,1,29,8,1,443,1383,29,0 101,0,2024-09-07 08:16:31:732,5597,8.1,5396,5.4,10834,7.6,16059,4.00 101,1,2024-09-07 08:16:30:580,50276,50276,0,0,24038935725,257823043,49080,771,425,370,391531,0 101,2,2024-09-07 08:16:31:757,38074,38074,0,0,2649686,0,4644 101,3,2024-09-07 08:16:30:942,1,29,2,1,448,634,29,0 102,0,2024-09-07 08:16:31:053,6117,0.7,6334,0.7,12633,0.5,16247,2.00 102,1,2024-09-07 08:16:31:144,50781,50781,0,0,24444850806,261029870,49391,1045,345,369,391621,0 102,2,2024-09-07 08:16:31:739,35900,35900,0,0,1749773,0,1945 102,3,2024-09-07 08:16:31:614,1,29,1,0,410,633,29,0 103,0,2024-09-07 08:16:31:599,5288,0.3,5244,0.4,9993,0.2,13746,1.75 103,1,2024-09-07 08:16:31:626,51047,51047,0,0,24058448439,253541662,50608,390,49,381,391680,0 103,2,2024-09-07 08:16:30:587,34738,34738,0,0,1840457,0,1878 103,3,2024-09-07 08:16:30:766,1,29,3,1,486,792,29,0 104,0,2024-09-07 08:16:31:023,10731,1.2,10888,1.1,20844,1.4,28759,2.00 104,1,2024-09-07 08:16:31:610,50922,50922,0,0,23779464692,255769853,49419,1184,319,368,391948,0 104,2,2024-09-07 08:16:31:673,35223,35223,0,0,2500532,0,3941 104,3,2024-09-07 08:16:31:416,1,29,8,2,70,434,29,0 105,0,2024-09-07 08:16:31:058,7714,4.6,7389,2.7,15384,7.4,20892,4.50 105,1,2024-09-07 08:16:30:561,50309,50309,0,0,23730510978,255434618,48577,1165,567,367,391797,0 105,2,2024-09-07 08:16:31:323,38959,38959,0,0,2488805,0,3314 105,3,2024-09-07 08:16:31:322,1,29,1,1,169,666,29,0 106,0,2024-09-07 08:16:30:972,6349,0.4,6370,0.7,13048,0.3,16949,2.00 106,1,2024-09-07 08:16:31:750,50666,50666,0,0,24355084759,260963574,49212,1252,202,371,391767,0 106,2,2024-09-07 08:16:30:757,38099,38099,0,0,2529776,0,1818 106,3,2024-09-07 08:16:30:677,1,29,2,1,171,301,29,0 107,0,2024-09-07 08:16:31:142,5438,0.3,5363,0.5,10623,0.2,13772,1.75 107,1,2024-09-07 08:16:30:585,50641,50641,0,0,24021168322,256111064,49645,870,126,382,391848,0 107,2,2024-09-07 08:16:31:291,33090,33089,1,0,1890058,0,5024 107,3,2024-09-07 08:16:31:755,1,29,1,1,353,704,29,0 108,0,2024-09-07 08:16:31:835,7689,1.8,7852,1.3,15350,2.3,20865,2.00 108,1,2024-09-07 08:16:31:294,50510,50510,0,0,23925538910,254170744,49376,1029,105,372,391563,0 108,2,2024-09-07 08:16:31:773,34876,34876,0,0,2366952,0,2647 108,3,2024-09-07 08:16:31:330,1,29,9,1,60,425,29,0 109,0,2024-09-07 08:16:31:775,10605,2.0,10689,1.3,20721,3.2,28074,2.25 109,1,2024-09-07 08:16:30:595,50810,50810,0,0,24591910718,261871332,50044,714,52,385,391576,0 109,2,2024-09-07 08:16:30:928,39544,39544,0,0,2929180,0,3617 109,3,2024-09-07 08:16:31:142,1,29,10,1,120,556,29,0 110,0,2024-09-07 08:16:31:804,6040,0.3,5924,0.6,12320,0.2,15970,1.75 110,1,2024-09-07 08:16:31:651,50695,50695,0,0,23645386323,250357890,49742,670,283,371,391564,0 110,2,2024-09-07 08:16:31:326,38678,38678,0,0,2243453,0,2915 110,3,2024-09-07 08:16:30:690,1,29,18,0,183,359,29,0 111,0,2024-09-07 08:16:31:440,4642,0.2,4700,0.4,9236,0.1,12534,1.50 111,1,2024-09-07 08:16:31:001,50958,50958,0,0,25119970640,262267878,50579,367,12,383,391597,0 111,2,2024-09-07 08:16:31:120,32853,32853,0,0,1858958,0,2763 111,3,2024-09-07 08:16:30:918,1,29,7,1,106,636,29,0 112,0,2024-09-07 08:16:30:942,6860,0.8,6908,0.8,13913,0.7,18672,2.00 112,1,2024-09-07 08:16:30:825,50675,50675,0,0,24409969964,261888003,49201,1128,346,382,391522,0 112,2,2024-09-07 08:16:31:134,34353,34352,1,0,2927211,0,5036 112,3,2024-09-07 08:16:30:597,1,29,10,1,282,546,29,0 113,0,2024-09-07 08:16:30:879,10912,0.4,10778,0.6,21846,0.4,29511,1.75 113,1,2024-09-07 08:16:31:686,50631,50631,0,0,24169269410,257991874,48997,1255,379,368,391661,0 113,2,2024-09-07 08:16:31:305,39702,39702,0,0,2272722,0,3021 113,3,2024-09-07 08:16:30:685,1,29,1,1,166,526,29,0 114,0,2024-09-07 08:16:30:882,6085,6.2,6160,4.6,12075,3.4,16783,2.50 114,1,2024-09-07 08:16:30:717,50414,50414,0,0,24289817119,262879473,48381,1194,839,381,391513,0 114,2,2024-09-07 08:16:30:873,37729,37729,0,0,2960157,0,3925 114,3,2024-09-07 08:16:31:282,1,29,1,1,159,529,29,0 115,0,2024-09-07 08:16:30:577,5552,0.2,5570,0.5,11066,0.1,14517,1.50 115,1,2024-09-07 08:16:30:581,50255,50255,0,0,24655659737,263391476,48811,1222,222,384,391502,0 115,2,2024-09-07 08:16:31:125,34960,34960,0,0,1848091,0,2072 115,3,2024-09-07 08:16:31:012,1,29,1,0,159,337,29,0 116,0,2024-09-07 08:16:31:717,5744,0.3,5591,0.6,11355,0.2,15215,1.75 116,1,2024-09-07 08:16:30:807,50414,50414,0,0,23967281692,259497382,49133,423,858,382,391573,0 116,2,2024-09-07 08:16:31:753,34825,34825,0,0,1791659,0,2154 116,3,2024-09-07 08:16:30:917,1,29,233,2,233,651,29,0 117,0,2024-09-07 08:16:31:013,10941,2.3,10967,1.5,21983,3.5,29672,2.25 117,1,2024-09-07 08:16:31:585,50731,50731,0,0,23583547169,252020643,49552,959,220,371,391601,0 117,2,2024-09-07 08:16:31:119,37355,37355,0,0,2245451,0,3700 117,3,2024-09-07 08:16:31:059,1,29,8,1,490,1212,29,0 118,0,2024-09-07 08:16:31:783,6497,2.2,6592,1.5,13630,3.3,17911,2.75 118,1,2024-09-07 08:16:30:585,50847,50847,0,0,23518683963,249180315,50149,623,75,368,391725,0 118,2,2024-09-07 08:16:31:588,38617,38617,0,0,2099128,0,1803 118,3,2024-09-07 08:16:31:764,1,29,6,1,229,638,29,0 119,0,2024-09-07 08:16:31:339,6260,0.3,6432,0.6,13095,0.2,16878,1.75 119,1,2024-09-07 08:16:30:598,50516,50516,0,0,24153604799,257195205,49344,1039,133,371,391576,0 119,2,2024-09-07 08:16:31:271,37061,37061,0,0,2230976,0,2222 119,3,2024-09-07 08:16:31:325,1,29,1,1,443,1192,29,0 120,0,2024-09-07 08:16:31:635,4976,0.3,4944,0.6,9935,0.2,12832,1.75 120,1,2024-09-07 08:16:30:859,50752,50752,0,0,23853452398,253886025,49884,859,9,369,391702,0 120,2,2024-09-07 08:16:30:773,33650,33649,1,0,2830046,0,5281 120,3,2024-09-07 08:16:31:291,1,29,15,1,241,709,29,0 121,0,2024-09-07 08:16:31:696,8996,3.1,8976,1.8,17977,4.4,24926,2.50 121,1,2024-09-07 08:16:31:658,50558,50558,0,0,24190824908,258868372,49338,983,237,370,391633,0 121,2,2024-09-07 08:16:31:129,34910,34910,0,0,2955765,0,4127 121,3,2024-09-07 08:16:30:728,1,29,2,1,101,384,29,0 122,0,2024-09-07 08:16:31:768,9343,3.7,9044,3.3,18883,4.1,25410,2.75 122,1,2024-09-07 08:16:30:860,50035,50035,0,0,23840335316,258731642,48112,1554,369,369,392130,0 122,2,2024-09-07 08:16:31:332,40190,40190,0,0,3158128,0,2574 122,3,2024-09-07 08:16:30:597,1,29,2,1,226,1112,29,0 123,0,2024-09-07 08:16:30:964,6498,0.4,6254,0.7,12978,0.3,17046,2.00 123,1,2024-09-07 08:16:30:568,50492,50492,0,0,23971391711,261012356,47952,2086,454,371,391823,0 123,2,2024-09-07 08:16:31:019,38088,38087,1,0,2739445,0,5215 123,3,2024-09-07 08:16:31:132,1,29,1,1,160,597,29,0 124,0,2024-09-07 08:16:30:967,5156,0.3,5120,0.5,9727,0.2,13362,1.75 124,1,2024-09-07 08:16:31:023,51129,51129,0,0,23933135382,250320706,50953,174,2,370,392129,0 124,2,2024-09-07 08:16:31:016,32406,32406,0,0,1611694,0,2477 124,3,2024-09-07 08:16:30:761,1,29,2,2,490,1127,29,0 125,0,2024-09-07 08:16:31:474,6893,1.1,6788,1.0,13729,1.3,18570,2.00 125,1,2024-09-07 08:16:30:865,50532,50532,0,0,23910951581,254470928,49511,999,22,385,391702,0 125,2,2024-09-07 08:16:31:117,34450,34450,0,0,2279609,0,2180 125,3,2024-09-07 08:16:31:130,1,29,29,1,93,658,29,0 126,0,2024-09-07 08:16:31:433,11808,2.0,12040,1.3,23216,2.8,31518,2.25 126,1,2024-09-07 08:16:30:552,50865,50865,0,0,24909218980,261174847,50400,457,8,368,391719,0 126,2,2024-09-07 08:16:30:620,40429,40429,0,0,2616172,0,3186 126,3,2024-09-07 08:16:30:907,1,29,2,1,45,239,29,0 127,0,2024-09-07 08:16:31:649,5470,0.3,5317,0.6,10690,0.2,14261,1.75 127,1,2024-09-07 08:16:30:577,50637,50637,0,0,23787680534,250394628,49900,715,22,366,391614,0 127,2,2024-09-07 08:16:30:642,38606,38606,0,0,2148535,0,1744 127,3,2024-09-07 08:16:31:267,1,29,2,0,99,309,29,0 128,0,2024-09-07 08:16:31:552,4827,0.3,4920,0.5,9654,0.2,12909,1.75 128,1,2024-09-07 08:16:31:621,50910,50910,0,0,24357804907,256587689,50234,610,66,369,391605,0 128,2,2024-09-07 08:16:31:383,32623,32623,0,0,2197256,0,1919 128,3,2024-09-07 08:16:30:767,1,29,9,1,20,339,29,0 129,0,2024-09-07 08:16:31:035,6136,0.6,6194,0.8,12234,0.6,16685,2.00 129,1,2024-09-07 08:16:30:577,50651,50651,0,0,23946252300,256511954,49288,1098,265,379,391835,0 129,2,2024-09-07 08:16:30:702,34509,34509,0,0,2099587,0,2446 129,3,2024-09-07 08:16:30:688,1,29,18,1,131,534,29,0 130,0,2024-09-07 08:16:31:726,11575,1.5,11430,1.2,22891,2.1,30981,2.25 130,1,2024-09-07 08:16:30:593,50843,50843,0,0,24248603572,258335513,49785,1046,12,381,391520,0 130,2,2024-09-07 08:16:31:126,38868,38868,0,0,2756719,0,4067 130,3,2024-09-07 08:16:31:293,1,29,6,1,207,425,29,0 131,0,2024-09-07 08:16:31:933,5897,2.6,5713,2.0,11827,3.2,16091,2.50 131,1,2024-09-07 08:16:31:821,50879,50879,0,0,24063736024,256034009,49977,716,186,385,391727,0 131,2,2024-09-07 08:16:30:574,37350,37350,0,0,2202447,0,2415 131,3,2024-09-07 08:16:31:695,1,29,4,1,29,152,29,0 132,0,2024-09-07 08:16:31:425,6113,0.4,6284,0.8,12460,0.4,16319,2.00 132,1,2024-09-07 08:16:30:586,50475,50475,0,0,23576782707,254048304,49016,1196,263,383,391533,0 132,2,2024-09-07 08:16:30:699,35763,35763,0,0,3618824,0,4606 132,3,2024-09-07 08:16:31:688,1,29,11,3,356,669,29,0 133,0,2024-09-07 08:16:31:606,5091,0.3,5165,0.5,10496,0.2,13659,1.75 133,1,2024-09-07 08:16:30:594,50092,50092,0,0,24451372114,260198180,49116,971,5,385,391513,0 133,2,2024-09-07 08:16:31:087,34908,34908,0,0,1978111,0,1707 133,3,2024-09-07 08:16:31:297,1,29,33,1,38,187,29,0 134,0,2024-09-07 08:16:30:961,10598,0.5,10618,0.7,21252,0.5,28724,1.75 134,1,2024-09-07 08:16:30:599,50632,50632,0,0,23632431523,254157562,49075,810,747,366,391508,0 134,2,2024-09-07 08:16:31:771,35511,35511,0,0,1966316,0,2026 134,3,2024-09-07 08:16:30:752,1,29,3,1,63,369,29,0 135,0,2024-09-07 08:16:31:117,7759,3.3,7708,2.7,16357,3.3,20890,2.75 135,1,2024-09-07 08:16:31:595,50489,50489,0,0,24277768666,256177664,49722,751,16,383,391528,0 135,2,2024-09-07 08:16:30:692,39383,39383,0,0,2225965,0,3016 135,3,2024-09-07 08:16:31:008,1,29,2,0,68,311,29,0 136,0,2024-09-07 08:16:31:621,6521,0.5,6487,0.8,12969,0.4,17002,2.25 136,1,2024-09-07 08:16:31:451,50935,50935,0,0,23620097334,252925190,49602,1192,141,385,391501,0 136,2,2024-09-07 08:16:31:137,38972,38972,0,0,2007118,0,2199 136,3,2024-09-07 08:16:31:106,1,29,8,1,108,485,29,0 137,0,2024-09-07 08:16:30:949,5519,0.4,5408,0.7,10657,0.2,13838,2.00 137,1,2024-09-07 08:16:30:581,50656,50656,0,0,24105333750,256663980,49533,1036,87,368,391548,0 137,2,2024-09-07 08:16:31:705,32626,32626,0,0,2429423,0,2294 137,3,2024-09-07 08:16:30:769,1,29,2,1,227,493,29,0 138,0,2024-09-07 08:16:31:780,7494,0.9,7772,1.0,15497,1.1,20860,2.50 138,1,2024-09-07 08:16:31:689,50306,50306,0,0,23633235970,253311741,48864,1210,232,371,391573,0 138,2,2024-09-07 08:16:30:586,35021,35021,0,0,2025145,0,3263 138,3,2024-09-07 08:16:30:609,1,29,6,1,68,266,29,0 139,0,2024-09-07 08:16:31:374,10041,5.9,9984,3.4,20381,6.2,27476,4.50 139,1,2024-09-07 08:16:30:577,50206,50206,0,0,23861820152,262646847,47859,1637,710,383,391511,0 139,2,2024-09-07 08:16:30:697,40418,40418,0,0,2586878,0,2425 139,3,2024-09-07 08:16:31:663,1,29,3,1,120,603,29,0 140,0,2024-09-07 08:16:31:599,6041,0.4,5895,0.7,11990,0.3,16090,1.75 140,1,2024-09-07 08:16:31:540,51237,51237,0,0,24113723228,253034195,50624,423,190,365,391482,0 140,2,2024-09-07 08:16:30:688,38384,38384,0,0,2977445,0,3388 140,3,2024-09-07 08:16:30:768,1,29,1,1,25,264,29,0 141,0,2024-09-07 08:16:31:723,4557,0.2,4750,0.4,9169,0.1,12522,1.50 141,1,2024-09-07 08:16:30:860,50784,50784,0,0,24750710756,263208641,49425,1028,331,382,391532,0 141,2,2024-09-07 08:16:31:687,32606,32606,0,0,1897174,0,2342 141,3,2024-09-07 08:16:31:047,1,29,7,0,53,253,29,0 142,0,2024-09-07 08:16:31:345,7046,0.4,6908,0.7,13928,0.4,18717,1.75 142,1,2024-09-07 08:16:30:594,50833,50833,0,0,24311249904,255470465,50584,248,1,384,391649,0 142,2,2024-09-07 08:16:31:300,34561,34561,0,0,2135467,0,2293 142,3,2024-09-07 08:16:31:748,1,29,1,1,53,236,29,0 143,0,2024-09-07 08:16:31:380,10847,1.4,10779,1.2,21795,1.7,29474,2.25 143,1,2024-09-07 08:16:30:573,50586,50586,0,0,24516469745,257542929,49800,763,23,367,391608,0 143,2,2024-09-07 08:16:30:778,39709,39709,0,0,2620601,0,2669 143,3,2024-09-07 08:16:31:151,1,29,13,1,236,894,29,0 144,0,2024-09-07 08:16:31:527,5612,4.7,5821,10.0,11625,5.0,16735,3.00 144,1,2024-09-07 08:16:30:566,50481,50481,0,0,23782110906,256045825,49076,1217,188,383,391562,0 144,2,2024-09-07 08:16:31:754,37919,37919,0,0,2359824,0,2653 144,3,2024-09-07 08:16:31:739,1,29,8,1,112,520,29,0 145,0,2024-09-07 08:16:31:365,5323,0.4,5344,0.7,11186,0.3,14398,2.00 145,1,2024-09-07 08:16:30:563,50142,50142,0,0,23470913705,249118523,49418,572,152,383,391615,0 145,2,2024-09-07 08:16:31:436,34237,34237,0,0,2725950,0,3903 145,3,2024-09-07 08:16:30:911,1,29,0,0,151,606,29,0 146,0,2024-09-07 08:16:31:603,5679,0.3,5624,0.6,11283,0.2,15138,2.00 146,1,2024-09-07 08:16:31:587,50688,50688,0,0,24130361544,259083432,48862,1528,298,370,391512,0 146,2,2024-09-07 08:16:31:699,34693,34693,0,0,1910843,0,2149 146,3,2024-09-07 08:16:31:291,1,29,9,0,54,291,29,0 147,0,2024-09-07 08:16:31:739,10948,2.3,10704,1.6,21482,3.0,29766,2.75 147,1,2024-09-07 08:16:31:530,50841,50841,0,0,24309151178,255928788,50118,596,127,369,391791,0 147,2,2024-09-07 08:16:31:010,37691,37691,0,0,2378865,0,2789 147,3,2024-09-07 08:16:30:922,1,29,26,1,141,584,29,0 0,0,2024-09-07 08:16:41:796,4853,0.5,4885,0.8,10286,0.4,13097,2.25 0,1,2024-09-07 08:16:40:801,52239,52239,0,0,25015498870,268117385,51107,1009,123,373,391673,0 0,2,2024-09-07 08:16:41:079,34741,34741,0,0,2583588,0,4480 0,3,2024-09-07 08:16:40:973,1,30,15,2,247,642,30,0 1,0,2024-09-07 08:16:41:799,9387,3.2,9345,2.1,18896,4.8,26005,3.25 1,1,2024-09-07 08:16:40:572,51796,51796,0,0,24455090058,263689433,50208,1065,523,372,391857,0 1,2,2024-09-07 08:16:40:655,36022,36022,0,0,2390359,0,3267 1,3,2024-09-07 08:16:41:309,1,30,9,1,167,448,30,0 2,0,2024-09-07 08:16:41:584,9269,4.0,9441,2.3,18623,6.1,24818,4.50 2,1,2024-09-07 08:16:40:892,51741,51741,0,0,24961175079,264517011,50952,691,98,382,391527,0 2,2,2024-09-07 08:16:41:275,40494,40494,0,0,2750633,0,3304 2,3,2024-09-07 08:16:40:690,1,30,7,1,87,367,30,0 3,0,2024-09-07 08:16:41:765,6668,0.5,6619,0.7,13229,0.4,17291,2.25 3,1,2024-09-07 08:16:41:630,52026,52026,0,0,24613912781,265620833,50089,1551,386,383,391494,0 3,2,2024-09-07 08:16:41:175,39530,39507,23,0,3256122,0,5851 3,3,2024-09-07 08:16:41:753,1,30,7,1,103,293,30,0 4,0,2024-09-07 08:16:41:771,5106,0.4,5321,0.6,10547,0.3,13848,2.00 4,1,2024-09-07 08:16:40:595,52441,52441,0,0,24683471032,264542097,51226,872,343,372,391682,0 4,2,2024-09-07 08:16:41:032,33628,33628,0,0,2579187,0,4528 4,3,2024-09-07 08:16:41:035,1,30,9,8,287,721,30,0 5,0,2024-09-07 08:16:41:390,7319,1.1,7215,1.1,14521,1.7,19653,2.25 5,1,2024-09-07 08:16:40:759,52292,52292,0,0,24878460678,264413658,51178,930,184,368,392005,0 5,2,2024-09-07 08:16:42:104,35627,35627,0,0,1880197,0,1912 5,3,2024-09-07 08:16:41:731,1,30,2,1,182,681,30,0 6,0,2024-09-07 08:16:40:931,11893,3.1,11788,1.9,23410,5.6,31441,4.50 6,1,2024-09-07 08:16:40:747,52643,52643,0,0,24826834358,260492245,52242,396,5,382,391603,0 6,2,2024-09-07 08:16:41:122,42047,42047,0,0,2696340,0,2232 6,3,2024-09-07 08:16:41:276,1,30,7,1,277,586,30,0 7,0,2024-09-07 08:16:41:553,5497,0.4,5552,0.7,11197,0.2,15016,2.00 7,1,2024-09-07 08:16:40:851,51975,51975,0,0,25045520495,264736913,51241,670,64,384,391558,0 7,2,2024-09-07 08:16:40:773,39497,39497,0,0,2510234,0,2981 7,3,2024-09-07 08:16:40:857,1,30,83,0,83,390,30,0 8,0,2024-09-07 08:16:41:343,5268,0.2,5115,0.4,10146,0.2,13536,1.50 8,1,2024-09-07 08:16:41:023,52171,52171,0,0,25239851483,277763162,49279,1659,1233,368,391724,0 8,2,2024-09-07 08:16:40:795,33444,33444,0,0,2442697,0,2986 8,3,2024-09-07 08:16:40:585,1,30,8,1,229,655,30,0 9,0,2024-09-07 08:16:41:172,6578,0.3,6381,0.5,13355,0.2,17908,1.50 9,1,2024-09-07 08:16:40:569,52299,52299,0,0,25841380752,277013445,50597,1221,481,370,391553,0 9,2,2024-09-07 08:16:41:099,35926,35926,0,0,2551610,0,3360 9,3,2024-09-07 08:16:41:757,1,30,17,0,127,433,30,0 10,0,2024-09-07 08:16:41:651,11883,0.4,11772,0.5,23832,0.4,31459,1.75 10,1,2024-09-07 08:16:40:584,52177,52177,0,0,24639524052,266106307,49960,1837,380,383,391541,0 10,2,2024-09-07 08:16:40:763,40156,40156,0,0,2859748,0,2940 10,3,2024-09-07 08:16:40:878,1,30,1,0,118,237,30,0 11,0,2024-09-07 08:16:41:019,5835,5.5,5723,3.4,11942,7.7,16978,3.50 11,1,2024-09-07 08:16:40:574,52196,52196,0,0,25072107678,271425939,50007,1453,736,384,391485,0 11,2,2024-09-07 08:16:41:124,38982,38982,0,0,2465534,0,2635 11,3,2024-09-07 08:16:41:298,1,30,1,1,720,989,30,0 12,0,2024-09-07 08:16:40:948,6475,0.3,6496,0.5,12890,0.2,16769,1.50 12,1,2024-09-07 08:16:40:934,52165,52165,0,0,24524917623,260956640,51195,947,23,372,391611,0 12,2,2024-09-07 08:16:41:546,36992,36992,0,0,2476964,0,2254 12,3,2024-09-07 08:16:41:059,1,30,14,1,358,908,30,0 13,0,2024-09-07 08:16:41:342,5407,0.3,5483,0.6,10992,0.3,14699,1.75 13,1,2024-09-07 08:16:41:541,52567,52567,0,0,24313238707,258393683,51950,578,39,384,391563,0 13,2,2024-09-07 08:16:40:595,36041,36041,0,0,1890886,0,3287 13,3,2024-09-07 08:16:41:763,1,30,2,1,153,484,30,0 14,0,2024-09-07 08:16:40:575,11208,0.5,11134,0.7,22218,0.5,29824,1.75 14,1,2024-09-07 08:16:41:572,53044,53044,0,0,24895973964,260651047,52460,555,29,365,391546,0 14,2,2024-09-07 08:16:40:767,37092,37092,0,0,2386138,0,2077 14,3,2024-09-07 08:16:41:115,1,30,1,1,59,233,30,0 15,0,2024-09-07 08:16:41:581,7829,4.9,7707,2.7,15532,6.7,21208,4.25 15,1,2024-09-07 08:16:41:627,52314,52314,0,0,24648260316,259808869,51835,477,2,381,391536,0 15,2,2024-09-07 08:16:41:004,40543,40543,0,0,2071416,0,3043 15,3,2024-09-07 08:16:41:406,1,30,0,0,538,923,30,0 16,0,2024-09-07 08:16:40:993,6587,0.4,6634,0.7,13211,0.3,17256,2.25 16,1,2024-09-07 08:16:40:579,52538,52538,0,0,24660769772,263223895,51606,914,18,373,391543,0 16,2,2024-09-07 08:16:41:438,38323,38323,0,0,3276469,0,4719 16,3,2024-09-07 08:16:41:191,1,30,12,3,231,856,30,0 17,0,2024-09-07 08:16:41:773,5461,0.4,5490,0.7,10585,0.3,13792,2.25 17,1,2024-09-07 08:16:40:573,51991,51991,0,0,24748543648,267985307,50389,1084,518,369,391671,0 17,2,2024-09-07 08:16:41:670,34710,34710,0,0,2221877,0,2857 17,3,2024-09-07 08:16:40:574,1,30,10,0,268,870,30,0 18,0,2024-09-07 08:16:40:969,7948,1.1,8088,1.1,16204,1.4,21631,2.50 18,1,2024-09-07 08:16:41:640,51963,51963,0,0,24577715097,262739246,50747,999,217,370,391526,0 18,2,2024-09-07 08:16:41:760,35280,35280,0,0,2894674,0,3541 18,3,2024-09-07 08:16:40:896,1,30,6,0,142,527,30,0 19,0,2024-09-07 08:16:41:553,10210,3.9,10356,2.2,20305,6.8,26902,6.00 19,1,2024-09-07 08:16:40:577,52091,52091,0,0,24965182461,267925143,50360,1569,162,367,391529,0 19,2,2024-09-07 08:16:41:752,41362,41362,0,0,3109161,0,3988 19,3,2024-09-07 08:16:41:131,1,30,3,0,524,646,30,0 20,0,2024-09-07 08:16:41:408,6250,0.3,6442,0.5,12367,0.2,16212,2.00 20,1,2024-09-07 08:16:40:572,52398,52398,0,0,25136658121,265033098,51826,569,3,370,391492,0 20,2,2024-09-07 08:16:40:933,39598,39598,0,0,2256563,0,1616 20,3,2024-09-07 08:16:40:590,1,30,16,1,99,552,30,0 21,0,2024-09-07 08:16:41:194,5117,0.3,5044,0.6,9919,0.2,13204,2.00 21,1,2024-09-07 08:16:41:544,52180,52180,0,0,24543713236,260354527,51316,830,34,368,391962,0 21,2,2024-09-07 08:16:41:074,33754,33754,0,0,2023122,0,3747 21,3,2024-09-07 08:16:41:404,1,30,2,1,93,466,30,0 22,0,2024-09-07 08:16:41:736,6931,2.6,6904,1.7,13776,4.1,18647,3.25 22,1,2024-09-07 08:16:41:027,51993,51993,0,0,24212630505,261589697,50214,1384,395,382,391667,0 22,2,2024-09-07 08:16:40:769,35791,35791,0,0,1887292,0,1805 22,3,2024-09-07 08:16:41:073,1,30,2,0,48,168,30,0 23,0,2024-09-07 08:16:41:386,11206,0.7,11543,0.8,22772,0.7,30675,2.25 23,1,2024-09-07 08:16:41:013,53015,53015,0,0,24853764940,261685006,52179,601,235,368,391482,0 23,2,2024-09-07 08:16:41:095,41723,41723,0,0,2269160,0,2078 23,3,2024-09-07 08:16:41:754,1,30,0,0,645,915,30,0 24,0,2024-09-07 08:16:40:854,6564,1.1,6500,1.2,13142,1.8,17738,1.75 24,1,2024-09-07 08:16:40:583,52885,52885,0,0,25023325509,264113043,52277,604,4,371,391640,0 24,2,2024-09-07 08:16:41:122,39054,39054,0,0,3350149,0,2942 24,3,2024-09-07 08:16:41:690,1,30,7,2,234,563,30,0 25,0,2024-09-07 08:16:41:351,5777,0.3,5719,0.4,11044,0.2,14807,1.50 25,1,2024-09-07 08:16:40:570,52502,52502,0,0,24618834125,259878063,51886,600,16,373,391544,0 25,2,2024-09-07 08:16:41:614,34814,34814,0,0,2384766,0,1963 25,3,2024-09-07 08:16:41:003,1,30,2,1,50,298,30,0 26,0,2024-09-07 08:16:41:729,5824,0.2,5750,0.4,12077,0.1,15777,1.50 26,1,2024-09-07 08:16:41:546,52249,52249,0,0,24257076125,264320812,50020,1531,698,382,391519,0 26,2,2024-09-07 08:16:41:066,36462,36462,0,0,2592621,0,2809 26,3,2024-09-07 08:16:41:724,1,30,1,1,796,939,30,0 27,0,2024-09-07 08:16:41:756,11326,0.4,11462,0.6,22875,0.4,30894,1.75 27,1,2024-09-07 08:16:41:682,53206,53206,0,0,25298671205,263271482,52976,229,1,381,391526,0 27,2,2024-09-07 08:16:40:875,38114,38114,0,0,3897110,0,3409 27,3,2024-09-07 08:16:41:021,1,30,1,1,86,309,30,0 28,0,2024-09-07 08:16:41:406,6867,1.9,6961,1.5,13921,3.5,18454,2.00 28,1,2024-09-07 08:16:40:798,52670,52670,0,0,25619685792,269690382,52169,492,9,383,391497,0 28,2,2024-09-07 08:16:41:769,39124,39124,0,0,2069746,0,2609 28,3,2024-09-07 08:16:41:776,1,30,8,1,502,830,30,0 29,0,2024-09-07 08:16:41:368,6744,0.3,6586,0.5,13008,0.2,17181,1.75 29,1,2024-09-07 08:16:41:570,53116,53116,0,0,24875185086,260054135,52506,438,172,369,391621,0 29,2,2024-09-07 08:16:40:868,38613,38613,0,0,1780778,0,2026 29,3,2024-09-07 08:16:40:963,1,30,2,1,105,419,30,0 30,0,2024-09-07 08:16:41:469,5090,0.3,4907,0.5,10211,0.2,13134,1.75 30,1,2024-09-07 08:16:40:574,52489,52489,0,0,25621838174,270719699,51840,587,62,382,391524,0 30,2,2024-09-07 08:16:41:280,35445,35445,0,0,1388046,0,1567 30,3,2024-09-07 08:16:40:582,1,30,9,0,110,201,30,0 31,0,2024-09-07 08:16:41:771,9649,0.5,9729,0.7,19496,0.4,26325,1.75 31,1,2024-09-07 08:16:40:571,52303,52303,0,0,24436857297,253159726,52185,116,2,356,391553,0 31,2,2024-09-07 08:16:41:285,36219,36219,0,0,2780743,0,3525 31,3,2024-09-07 08:16:41:711,1,30,1,0,129,248,30,0 32,0,2024-09-07 08:16:41:436,9513,2.9,9673,1.6,19412,4.1,25446,2.00 32,1,2024-09-07 08:16:40:823,52331,52331,0,0,24833164281,263679306,51450,856,25,383,391595,0 32,2,2024-09-07 08:16:40:942,41040,41040,0,0,2655627,0,3155 32,3,2024-09-07 08:16:41:029,1,30,21,1,110,438,30,0 33,0,2024-09-07 08:16:41:507,6672,0.3,6600,0.5,13374,0.2,17258,1.75 33,1,2024-09-07 08:16:40:578,52003,52003,0,0,24880621667,264738267,50666,1227,110,369,391497,0 33,2,2024-09-07 08:16:40:760,38948,38915,33,0,3978806,0,7012 33,3,2024-09-07 08:16:40:896,1,30,1,1,27,133,30,0 34,0,2024-09-07 08:16:40:942,5246,0.2,5328,0.4,10360,0.1,13800,1.50 34,1,2024-09-07 08:16:41:047,52602,52602,0,0,24921287511,261247924,52208,391,3,369,391481,0 34,2,2024-09-07 08:16:40:768,33415,33415,0,0,2680045,0,3255 34,3,2024-09-07 08:16:41:702,1,30,1,0,58,229,30,0 35,0,2024-09-07 08:16:40:865,7170,2.1,7169,1.4,14321,2.6,19638,2.25 35,1,2024-09-07 08:16:41:067,52312,52312,0,0,25275242638,268438944,50919,1032,361,385,391587,0 35,2,2024-09-07 08:16:41:583,35572,35572,0,0,2273788,0,2404 35,3,2024-09-07 08:16:40:909,1,30,0,0,219,626,30,0 36,0,2024-09-07 08:16:41:526,11831,3.2,11660,2.0,23924,4.4,31535,3.50 36,1,2024-09-07 08:16:40:587,51984,51984,0,0,24209791633,263228283,49484,1646,854,369,391535,0 36,2,2024-09-07 08:16:41:757,42145,42145,0,0,2575931,0,2554 36,3,2024-09-07 08:16:40:863,1,30,18,0,378,815,30,0 37,0,2024-09-07 08:16:41:388,5626,0.3,5595,0.5,11253,0.2,15056,2.00 37,1,2024-09-07 08:16:40:577,51751,51744,0,7,24540043416,270421479,49194,1043,1507,368,391507,0 37,2,2024-09-07 08:16:41:151,39869,39869,0,0,1971037,0,1704 37,3,2024-09-07 08:16:41:766,1,30,1,0,508,649,30,0 38,0,2024-09-07 08:16:41:454,5128,0.3,4943,0.6,10330,0.2,13522,1.75 38,1,2024-09-07 08:16:41:616,52955,52955,0,0,25311082413,267926127,52027,888,40,371,391512,0 38,2,2024-09-07 08:16:40:760,33916,33916,0,0,2161703,0,3245 38,3,2024-09-07 08:16:40:997,1,30,2,0,63,272,30,0 39,0,2024-09-07 08:16:41:778,6823,0.5,6734,0.7,13035,0.4,18019,2.00 39,1,2024-09-07 08:16:40:716,52713,52713,0,0,24450291095,260126017,51221,1034,458,366,391524,0 39,2,2024-09-07 08:16:41:426,35841,35841,0,0,1889304,0,2381 39,3,2024-09-07 08:16:40:713,1,30,0,0,189,590,30,0 40,0,2024-09-07 08:16:41:530,11548,1.2,11781,2.4,23337,1.7,31256,3.00 40,1,2024-09-07 08:16:40:587,52670,52670,0,0,24025248428,258329431,50805,1338,527,371,391543,0 40,2,2024-09-07 08:16:41:303,39969,39968,1,0,3134824,0,5137 40,3,2024-09-07 08:16:41:173,1,30,11,1,63,337,30,0 41,0,2024-09-07 08:16:41:037,5492,6.4,5670,10.0,10983,9.2,15758,5.25 41,1,2024-09-07 08:16:40:769,52482,52482,0,0,24955433752,265155379,51231,1058,193,370,391483,0 41,2,2024-09-07 08:16:40:760,38854,38854,0,0,3159426,0,3325 41,3,2024-09-07 08:16:41:678,1,30,51,1,51,128,30,0 42,0,2024-09-07 08:16:41:501,6349,0.5,6327,0.9,12708,0.4,16767,2.25 42,1,2024-09-07 08:16:41:439,52012,52012,0,0,25132114991,272821573,49891,1419,702,381,391511,0 42,2,2024-09-07 08:16:41:133,36640,36640,0,0,2714646,0,3568 42,3,2024-09-07 08:16:41:010,1,30,1,0,100,435,30,0 43,0,2024-09-07 08:16:40:926,5420,0.3,5328,0.6,11165,0.2,14676,1.75 43,1,2024-09-07 08:16:40:576,52313,52313,0,0,25406316412,269772987,50900,822,591,367,391507,0 43,2,2024-09-07 08:16:41:740,35994,35994,0,0,2313033,0,3812 43,3,2024-09-07 08:16:41:759,1,30,1,1,292,770,30,0 44,0,2024-09-07 08:16:40:890,11060,0.5,11088,0.7,22005,0.5,29931,2.00 44,1,2024-09-07 08:16:40:569,52734,52734,0,0,24388640981,254918740,52293,432,9,357,391493,0 44,2,2024-09-07 08:16:41:271,36981,36981,0,0,1808228,0,1592 44,3,2024-09-07 08:16:41:102,1,30,7,1,817,1126,30,0 45,0,2024-09-07 08:16:41:776,7783,5.0,7595,2.7,15908,6.6,21411,2.50 45,1,2024-09-07 08:16:41:014,52575,52575,0,0,25395944253,265958508,52326,249,0,382,391917,0 45,2,2024-09-07 08:16:41:268,40458,40458,0,0,2677524,0,2628 45,3,2024-09-07 08:16:40:939,1,30,1,1,226,499,30,0 46,0,2024-09-07 08:16:40:955,6600,0.3,6682,0.5,13344,0.2,17345,1.75 46,1,2024-09-07 08:16:40:575,52845,52845,0,0,24963483671,260430840,52419,400,26,370,391514,0 46,2,2024-09-07 08:16:40:597,39251,39251,0,0,2379545,0,2920 46,3,2024-09-07 08:16:41:131,1,30,1,0,200,622,30,0 47,0,2024-09-07 08:16:41:121,5429,0.3,5466,0.5,10786,0.2,13804,1.50 47,1,2024-09-07 08:16:40:571,53028,53028,0,0,24860209467,258263668,52821,206,1,368,391481,0 47,2,2024-09-07 08:16:40:911,34987,34987,0,0,2176484,0,2558 47,3,2024-09-07 08:16:41:120,1,30,1,1,529,660,30,0 48,0,2024-09-07 08:16:41:535,8199,0.5,8067,0.7,16150,0.6,21866,2.00 48,1,2024-09-07 08:16:41:024,52313,52313,0,0,25236139551,268822569,51218,1090,5,386,391521,0 48,2,2024-09-07 08:16:40:700,35898,35898,0,0,1827818,0,2083 48,3,2024-09-07 08:16:40:758,1,30,5,1,23,270,30,0 49,0,2024-09-07 08:16:41:727,10743,3.4,10669,1.9,20483,5.4,28240,2.50 49,1,2024-09-07 08:16:41:021,52240,52240,0,0,24669412882,266549716,50506,1025,709,382,391583,0 49,2,2024-09-07 08:16:41:802,41590,41590,0,0,2996553,0,3900 49,3,2024-09-07 08:16:41:417,1,30,1,0,274,584,30,0 50,0,2024-09-07 08:16:41:521,6297,0.3,6198,0.5,12384,0.2,16606,1.75 50,1,2024-09-07 08:16:41:011,52647,52647,0,0,25731692364,273544025,51056,1294,297,370,391530,0 50,2,2024-09-07 08:16:41:067,39859,39859,0,0,2157368,0,2034 50,3,2024-09-07 08:16:41:298,1,30,47,1,335,612,30,0 51,0,2024-09-07 08:16:41:714,5150,0.2,4997,0.4,9951,0.1,13478,1.50 51,1,2024-09-07 08:16:41:688,52134,52134,0,0,25358050315,270969166,50474,878,782,367,391520,0 51,2,2024-09-07 08:16:41:320,33469,33469,0,0,1454978,0,1936 51,3,2024-09-07 08:16:41:027,1,30,1,0,162,384,30,0 52,0,2024-09-07 08:16:41:432,7250,2.2,7159,1.6,14422,3.8,19056,4.00 52,1,2024-09-07 08:16:40:605,51960,51960,0,0,24377032236,264904071,49863,1634,463,371,391498,0 52,2,2024-09-07 08:16:41:760,35888,35888,0,0,2241038,0,4779 52,3,2024-09-07 08:16:40:678,1,30,12,4,1782,2120,30,0 53,0,2024-09-07 08:16:41:749,11190,1.6,10957,1.4,22177,1.7,29776,3.00 53,1,2024-09-07 08:16:40:773,52420,52420,0,0,25112626524,271543220,50249,1602,569,372,391523,0 53,2,2024-09-07 08:16:41:310,41675,41675,0,0,2013642,0,1542 53,3,2024-09-07 08:16:40:697,1,30,2,1,59,227,30,0 54,0,2024-09-07 08:16:41:648,5676,10.0,5696,9.5,11411,4.5,16315,5.75 54,1,2024-09-07 08:16:40:582,52515,52515,0,0,25382638957,269346087,51076,1151,288,367,391520,0 54,2,2024-09-07 08:16:40:865,39693,39687,6,0,2739253,0,5382 54,3,2024-09-07 08:16:40:764,1,30,1,0,111,356,30,0 55,0,2024-09-07 08:16:41:771,5543,0.6,5709,0.9,11534,0.4,14734,2.50 55,1,2024-09-07 08:16:40:768,51985,51985,0,0,25093667493,268892925,49784,1633,568,369,391731,0 55,2,2024-09-07 08:16:40:733,35081,35081,0,0,2205029,0,3275 55,3,2024-09-07 08:16:40:674,1,30,1,0,69,286,30,0 56,0,2024-09-07 08:16:41:616,5998,1.1,5719,1.1,11729,1.6,15612,2.75 56,1,2024-09-07 08:16:40:574,52461,52461,0,0,25721017008,278507600,50336,1543,582,384,391536,0 56,2,2024-09-07 08:16:41:329,36011,36011,0,0,2742205,0,3567 56,3,2024-09-07 08:16:41:066,1,30,2,2,297,591,30,0 57,0,2024-09-07 08:16:40:955,11018,2.9,10878,2.0,21940,4.5,29846,4.00 57,1,2024-09-07 08:16:40:991,52979,52979,0,0,23959768825,254193189,52158,807,14,369,391505,0 57,2,2024-09-07 08:16:41:336,38649,38649,0,0,2608643,0,2687 57,3,2024-09-07 08:16:41:741,1,30,3,1,359,863,30,0 58,0,2024-09-07 08:16:40:605,6057,3.7,5960,2.3,12349,3.8,16513,6.75 58,1,2024-09-07 08:16:40:576,52736,52735,0,1,25880706384,274089803,51471,1107,157,371,391512,1 58,2,2024-09-07 08:16:41:072,39634,39634,0,0,2562099,0,2464 58,3,2024-09-07 08:16:41:079,1,30,1,1,219,604,30,0 59,0,2024-09-07 08:16:41:769,6553,1.2,6590,1.2,13159,1.4,16869,3.00 59,1,2024-09-07 08:16:40:805,52522,52522,0,0,24988218028,263895992,51791,726,5,371,391515,0 59,2,2024-09-07 08:16:40:583,38426,38426,0,0,2165886,0,2206 59,3,2024-09-07 08:16:41:739,1,30,1,0,25,154,30,0 60,0,2024-09-07 08:16:41:756,5078,0.4,5077,0.6,9999,0.2,13153,1.75 60,1,2024-09-07 08:16:40:778,53159,53159,0,0,24921810263,263462947,52271,544,344,370,391672,0 60,2,2024-09-07 08:16:41:161,35500,35500,0,0,1764720,0,2142 60,3,2024-09-07 08:16:41:261,1,30,27,0,124,467,30,0 61,0,2024-09-07 08:16:41:528,9414,2.4,9469,1.6,18756,3.7,25906,2.25 61,1,2024-09-07 08:16:40:779,52228,52228,0,0,24783163323,267146597,50372,1385,471,383,391560,0 61,2,2024-09-07 08:16:41:125,36411,36411,0,0,2300155,0,1846 61,3,2024-09-07 08:16:41:699,1,30,68,1,124,437,30,0 62,0,2024-09-07 08:16:41:721,9540,3.3,9812,1.8,19008,5.0,25476,3.25 62,1,2024-09-07 08:16:41:115,52759,52756,0,3,25294472607,264391412,52225,529,2,368,391586,3 62,2,2024-09-07 08:16:41:651,40491,40491,0,0,2670465,0,2931 62,3,2024-09-07 08:16:41:185,1,30,8,0,287,542,30,0 63,0,2024-09-07 08:16:41:471,6651,0.6,6598,0.9,13206,0.6,17342,2.25 63,1,2024-09-07 08:16:40:804,52957,52955,0,2,24844633401,258964018,52801,153,1,382,391540,2 63,2,2024-09-07 08:16:40:762,39667,39667,0,0,2509169,0,2674 63,3,2024-09-07 08:16:41:732,1,30,2,0,216,625,30,0 64,0,2024-09-07 08:16:41:551,5170,0.5,5199,0.8,10503,0.4,13712,2.25 64,1,2024-09-07 08:16:40:766,52125,52125,0,0,25429066003,277375733,49748,1586,791,371,391604,0 64,2,2024-09-07 08:16:41:150,33985,33966,19,0,2729117,0,6121 64,3,2024-09-07 08:16:41:186,1,30,17,1,163,471,30,0 65,0,2024-09-07 08:16:41:697,6944,4.7,7038,2.7,13729,6.5,18682,5.25 65,1,2024-09-07 08:16:40:865,52325,52325,0,0,24872151223,267240470,50732,1377,216,382,391506,0 65,2,2024-09-07 08:16:41:722,35701,35701,0,0,2867690,0,3367 65,3,2024-09-07 08:16:41:684,1,30,1,1,122,396,30,0 66,0,2024-09-07 08:16:41:781,11356,2.9,11487,1.9,23072,5.3,30845,4.75 66,1,2024-09-07 08:16:41:300,53309,53309,0,0,25501983968,267898179,52677,613,19,381,391537,0 66,2,2024-09-07 08:16:41:132,42056,42056,0,0,2575611,0,2934 66,3,2024-09-07 08:16:41:080,1,30,3,1,118,321,30,0 67,0,2024-09-07 08:16:41:426,5623,0.9,5629,1.1,11129,0.9,14927,2.75 67,1,2024-09-07 08:16:40:766,52235,52234,0,1,24816897909,266649976,50751,986,497,383,391567,1 67,2,2024-09-07 08:16:40:583,39961,39961,0,0,2555338,0,2889 67,3,2024-09-07 08:16:41:757,1,30,2,0,93,304,30,0 68,0,2024-09-07 08:16:40:610,5049,0.3,5110,0.6,10339,0.2,13428,2.00 68,1,2024-09-07 08:16:40:574,52054,52054,0,0,24799848997,264634578,51099,508,447,383,391591,0 68,2,2024-09-07 08:16:41:053,34173,34173,0,0,2123981,0,4883 68,3,2024-09-07 08:16:40:732,1,30,8,1,63,355,30,0 69,0,2024-09-07 08:16:41:755,6617,0.9,6476,1.1,12858,1.0,17449,2.50 69,1,2024-09-07 08:16:41:034,51792,51792,0,0,25025719685,272792549,50238,692,862,386,391530,0 69,2,2024-09-07 08:16:41:750,36271,36271,0,0,1973922,0,2462 69,3,2024-09-07 08:16:40:762,1,30,1,0,238,453,30,0 70,0,2024-09-07 08:16:41:594,11748,2.8,11712,3.0,23684,1.2,31169,3.50 70,1,2024-09-07 08:16:40:801,52501,52501,0,0,25230515530,264629121,51822,581,98,369,391527,0 70,2,2024-09-07 08:16:41:330,40337,40337,0,0,2336857,0,2433 70,3,2024-09-07 08:16:40:745,1,30,6,1,69,168,30,0 71,0,2024-09-07 08:16:41:367,5654,6.3,5591,8.2,10997,10.0,15779,5.75 71,1,2024-09-07 08:16:41:607,52445,52445,0,0,24933342516,266425848,50833,1366,246,368,391682,0 71,2,2024-09-07 08:16:41:067,39143,39143,0,0,2170206,0,2146 71,3,2024-09-07 08:16:41:752,1,30,2,1,174,387,30,0 72,0,2024-09-07 08:16:41:058,6567,0.4,6389,0.7,12682,0.3,16820,2.75 72,1,2024-09-07 08:16:41:023,52685,52685,0,0,24282263777,257977990,51450,1187,48,370,391514,0 72,2,2024-09-07 08:16:41:765,35881,35881,0,0,2964019,0,2570 72,3,2024-09-07 08:16:41:764,1,30,28,1,325,660,30,0 73,0,2024-09-07 08:16:41:143,5315,0.3,5456,0.6,11067,0.2,14637,2.25 73,1,2024-09-07 08:16:40:767,52717,52717,0,0,24905385542,261047198,52424,291,2,368,391497,0 73,2,2024-09-07 08:16:41:741,35768,35768,0,0,2862468,0,3482 73,3,2024-09-07 08:16:40:976,1,30,56,0,274,932,30,0 74,0,2024-09-07 08:16:41:366,11120,0.7,11395,0.9,21952,0.7,30065,2.50 74,1,2024-09-07 08:16:40:647,52561,52561,0,0,25137317955,263656288,52064,495,2,382,391494,0 74,2,2024-09-07 08:16:41:016,37093,37093,0,0,3357308,0,4253 74,3,2024-09-07 08:16:41:455,1,30,1,0,192,785,30,0 75,0,2024-09-07 08:16:41:777,7986,4.7,7985,2.5,15791,6.0,21551,3.75 75,1,2024-09-07 08:16:41:605,53008,53008,0,0,25017292424,263620430,52388,569,51,382,391514,0 75,2,2024-09-07 08:16:41:354,40075,40075,0,0,3284615,0,4766 75,3,2024-09-07 08:16:41:072,1,30,1,0,71,176,30,0 76,0,2024-09-07 08:16:40:605,6642,0.4,6650,0.7,13162,0.3,17162,2.25 76,1,2024-09-07 08:16:40:809,52307,52307,0,0,24604485633,264268329,50928,940,439,382,391530,0 76,2,2024-09-07 08:16:41:068,39552,39552,0,0,2068677,0,3064 76,3,2024-09-07 08:16:41:155,1,30,12,0,175,768,30,0 77,0,2024-09-07 08:16:41:734,5435,0.4,5376,0.7,10791,0.3,13823,2.25 77,1,2024-09-07 08:16:40:834,52584,52584,0,0,24871827424,262871777,51843,674,67,383,391512,0 77,2,2024-09-07 08:16:41:289,34438,34438,0,0,1591989,0,1637 77,3,2024-09-07 08:16:41:114,1,30,15,0,131,290,30,0 78,0,2024-09-07 08:16:41:764,7985,3.6,7922,2.1,16041,4.8,21484,3.25 78,1,2024-09-07 08:16:40:612,52892,52892,0,0,24362037966,256898374,52286,569,37,369,391540,0 78,2,2024-09-07 08:16:41:406,36092,36092,0,0,1828199,0,2114 78,3,2024-09-07 08:16:41:162,1,30,29,0,112,511,30,0 79,0,2024-09-07 08:16:41:361,10106,2.9,10400,1.7,21014,4.6,27304,4.75 79,1,2024-09-07 08:16:40:575,52594,52594,0,0,24644406154,259650583,51852,735,7,371,391486,0 79,2,2024-09-07 08:16:41:080,41517,41517,0,0,2321749,0,2679 79,3,2024-09-07 08:16:40:749,1,30,2,0,289,610,30,0 80,0,2024-09-07 08:16:41:086,6130,0.5,6390,0.8,12351,0.4,16538,2.25 80,1,2024-09-07 08:16:41:624,52061,52061,0,0,24605065973,261756942,50806,1140,115,371,391673,0 80,2,2024-09-07 08:16:41:102,39362,39362,0,0,2907881,0,4433 80,3,2024-09-07 08:16:40:575,1,30,68,1,148,744,30,0 81,0,2024-09-07 08:16:41:554,5011,0.3,5156,0.7,9877,0.2,13254,2.00 81,1,2024-09-07 08:16:41:656,52229,52229,0,0,24576308404,264321411,50536,1498,195,383,391646,0 81,2,2024-09-07 08:16:41:141,33159,33159,0,0,2637953,0,3993 81,3,2024-09-07 08:16:41:135,1,30,2,1,193,534,30,0 82,0,2024-09-07 08:16:41:556,7078,3.8,7027,2.2,14019,5.6,19109,3.25 82,1,2024-09-07 08:16:40:584,52023,52022,0,1,25197579816,270493541,50296,1195,531,384,391558,1 82,2,2024-09-07 08:16:41:698,36334,36334,0,0,1894697,0,2230 82,3,2024-09-07 08:16:41:756,1,30,16,1,65,336,30,0 83,0,2024-09-07 08:16:41:546,11452,1.4,11371,1.3,22335,1.7,30157,3.00 83,1,2024-09-07 08:16:40:558,52205,52205,0,0,24440678805,260808491,51107,998,100,384,391553,0 83,2,2024-09-07 08:16:40:769,40733,40733,0,0,3035052,0,3119 83,3,2024-09-07 08:16:40:749,1,30,1,0,91,341,30,0 84,0,2024-09-07 08:16:41:787,6073,9.4,5911,8.6,11906,3.1,17206,5.00 84,1,2024-09-07 08:16:41:080,52547,52547,0,0,25201684917,268948659,51328,979,240,369,391630,0 84,2,2024-09-07 08:16:40:577,39137,39137,0,0,2764134,0,3801 84,3,2024-09-07 08:16:41:173,1,30,9,1,24,305,30,0 85,0,2024-09-07 08:16:41:040,5517,0.4,5508,0.6,11665,0.3,14761,2.00 85,1,2024-09-07 08:16:40:565,51883,51883,0,0,24795258634,266479800,50338,1253,292,384,391505,0 85,2,2024-09-07 08:16:40:880,34908,34908,0,0,2263725,0,3656 85,3,2024-09-07 08:16:40:697,1,30,15,1,115,410,30,0 86,0,2024-09-07 08:16:40:890,5834,0.4,6091,0.6,11722,0.2,15758,2.00 86,1,2024-09-07 08:16:40:838,52118,52118,0,0,25317013425,269392097,50805,1133,180,367,391506,0 86,2,2024-09-07 08:16:40:854,36304,36303,1,0,2584382,0,5004 86,3,2024-09-07 08:16:40:598,1,30,84,1,199,555,30,0 87,0,2024-09-07 08:16:41:296,11272,2.8,11232,1.7,22698,3.9,30510,2.75 87,1,2024-09-07 08:16:40:552,52410,52410,0,0,24969574814,265638316,51297,1026,87,369,391569,0 87,2,2024-09-07 08:16:41:067,38890,38890,0,0,1918121,0,2148 87,3,2024-09-07 08:16:41:794,1,30,15,1,322,823,30,0 88,0,2024-09-07 08:16:41:482,6905,2.4,6870,1.7,13772,3.4,18452,2.50 88,1,2024-09-07 08:16:40:573,52508,52508,0,0,25096518180,266175135,51527,889,92,367,391747,0 88,2,2024-09-07 08:16:40:688,39590,39590,0,0,2949511,0,3583 88,3,2024-09-07 08:16:41:268,1,30,10,1,77,476,30,0 89,0,2024-09-07 08:16:41:799,6796,0.4,6577,0.6,13089,0.3,17177,1.75 89,1,2024-09-07 08:16:40:557,52276,52276,0,0,25304655433,271409202,50889,778,609,384,391866,0 89,2,2024-09-07 08:16:41:146,38068,38068,0,0,2553984,0,2726 89,3,2024-09-07 08:16:41:793,1,30,10,1,325,918,30,0 90,0,2024-09-07 08:16:41:640,4942,0.2,5010,0.4,10266,0.2,13135,1.50 90,1,2024-09-07 08:16:40:611,52475,52475,0,0,24415453348,262415783,50946,1402,127,382,391531,0 90,2,2024-09-07 08:16:41:418,35141,35141,0,0,2597800,0,2635 90,3,2024-09-07 08:16:40:937,1,30,2,1,19,153,30,0 91,0,2024-09-07 08:16:40:964,9699,0.5,9427,0.6,19496,0.5,26241,1.75 91,1,2024-09-07 08:16:40:567,52470,52470,0,0,25357289039,269899705,51285,1018,167,384,391914,0 91,2,2024-09-07 08:16:41:340,35941,35941,0,0,1824098,0,1997 91,3,2024-09-07 08:16:40:610,1,30,6,1,155,404,30,0 92,0,2024-09-07 08:16:41:460,9646,2.5,9936,1.6,19038,4.2,25576,2.00 92,1,2024-09-07 08:16:40:590,52461,52461,0,0,24878631534,261400897,52077,337,47,383,391569,0 92,2,2024-09-07 08:16:41:361,41668,41668,0,0,2566468,0,2279 92,3,2024-09-07 08:16:41:013,1,30,8,1,68,382,30,0 93,0,2024-09-07 08:16:40:999,6628,0.5,6719,0.7,12883,0.3,17244,2.00 93,1,2024-09-07 08:16:40:808,52312,52312,0,0,24854138774,266151892,50918,963,431,368,391689,0 93,2,2024-09-07 08:16:40:928,39665,39665,0,0,2138383,0,2509 93,3,2024-09-07 08:16:41:406,1,30,11,1,143,363,30,0 94,0,2024-09-07 08:16:41:657,5308,0.3,5245,0.5,10416,0.2,13833,1.50 94,1,2024-09-07 08:16:40:565,52217,52217,0,0,24520572340,261123183,51234,926,57,382,391850,0 94,2,2024-09-07 08:16:40:761,33931,33931,0,0,2011745,0,2443 94,3,2024-09-07 08:16:41:707,1,30,26,1,231,659,30,0 95,0,2024-09-07 08:16:41:362,7227,0.7,7201,0.8,14877,0.8,19649,2.00 95,1,2024-09-07 08:16:40:851,52629,52629,0,0,24851758383,260759551,52302,323,4,368,391590,0 95,2,2024-09-07 08:16:41:022,35578,35578,0,0,2235951,0,3308 95,3,2024-09-07 08:16:41:749,1,30,6,0,135,344,30,0 96,0,2024-09-07 08:16:41:049,12049,2.3,11862,1.5,23825,3.4,31367,2.25 96,1,2024-09-07 08:16:41:598,52302,52302,0,0,24761230051,268052928,50642,1093,567,385,391551,0 96,2,2024-09-07 08:16:41:288,41721,41721,0,0,3253015,0,4038 96,3,2024-09-07 08:16:41:173,1,30,8,2,36,422,30,0 97,0,2024-09-07 08:16:41:345,5624,0.9,5632,0.9,11162,1.1,14978,2.25 97,1,2024-09-07 08:16:40:768,52241,52241,0,0,25075350649,269581207,50248,1477,516,367,391547,0 97,2,2024-09-07 08:16:40:607,39168,39168,0,0,2568800,0,3036 97,3,2024-09-07 08:16:40:572,1,30,4,1,165,494,30,0 98,0,2024-09-07 08:16:41:738,5093,0.3,5065,0.5,10193,0.2,13577,1.50 98,1,2024-09-07 08:16:40:572,52032,52032,0,0,25189520269,268662505,51006,984,42,384,391588,0 98,2,2024-09-07 08:16:40:770,33879,33879,0,0,2358021,0,3080 98,3,2024-09-07 08:16:40:698,1,30,1,1,155,456,30,0 99,0,2024-09-07 08:16:41:521,6517,0.4,6451,0.7,13033,0.4,17910,2.00 99,1,2024-09-07 08:16:41:745,53204,53204,0,0,24202727245,255445373,52603,440,161,382,391602,0 99,2,2024-09-07 08:16:41:445,36440,36440,0,0,2476048,0,1858 99,3,2024-09-07 08:16:40:583,1,30,129,1,129,429,30,0 100,0,2024-09-07 08:16:41:524,11673,1.0,11564,3.4,23240,3.1,31153,2.50 100,1,2024-09-07 08:16:40:554,52193,52193,0,0,24471113224,267140993,50030,1836,327,382,391505,0 100,2,2024-09-07 08:16:41:841,39958,39947,11,0,3558643,0,5417 100,3,2024-09-07 08:16:41:731,1,30,17,1,443,1400,30,0 101,0,2024-09-07 08:16:41:711,5909,7.9,5738,5.2,11494,7.2,16982,4.00 101,1,2024-09-07 08:16:40:570,52081,52081,0,0,24947692861,267478147,50884,771,426,370,391531,0 101,2,2024-09-07 08:16:41:764,39373,39373,0,0,2813027,0,4644 101,3,2024-09-07 08:16:40:942,1,30,26,1,448,660,30,0 102,0,2024-09-07 08:16:40:991,6283,0.7,6503,0.7,12960,0.5,16717,2.00 102,1,2024-09-07 08:16:41:161,52564,52564,0,0,25175891784,268843342,51166,1053,345,369,391621,0 102,2,2024-09-07 08:16:41:745,36611,36611,0,0,1825846,0,1945 102,3,2024-09-07 08:16:41:620,1,30,11,0,410,644,30,0 103,0,2024-09-07 08:16:41:673,5559,0.3,5563,0.5,10587,0.2,14648,1.75 103,1,2024-09-07 08:16:41:672,52891,52891,0,0,24769087728,261420394,52439,402,50,381,391680,0 103,2,2024-09-07 08:16:40:619,36340,36340,0,0,1935566,0,1878 103,3,2024-09-07 08:16:40:773,1,30,19,1,486,811,30,0 104,0,2024-09-07 08:16:41:058,11177,1.2,11335,1.1,21739,1.4,29959,2.00 104,1,2024-09-07 08:16:41:603,52845,52845,0,0,24496340647,263333003,51342,1184,319,368,391948,0 104,2,2024-09-07 08:16:41:672,36764,36764,0,0,2550863,0,3941 104,3,2024-09-07 08:16:41:418,1,30,14,2,70,448,30,0 105,0,2024-09-07 08:16:41:084,7820,4.6,7514,2.7,15615,7.4,21209,4.50 105,1,2024-09-07 08:16:40:562,52135,52135,0,0,24476705102,263107964,50403,1165,567,367,391797,0 105,2,2024-09-07 08:16:41:333,40059,40059,0,0,2572599,0,3314 105,3,2024-09-07 08:16:41:309,1,30,2,1,169,668,30,0 106,0,2024-09-07 08:16:40:973,6468,0.4,6474,0.7,13303,0.3,17281,2.00 106,1,2024-09-07 08:16:41:758,52379,52379,0,0,25277365712,270499496,50925,1252,202,371,391767,0 106,2,2024-09-07 08:16:40:759,39101,39101,0,0,2558480,0,1818 106,3,2024-09-07 08:16:40:687,1,30,2,1,171,303,30,0 107,0,2024-09-07 08:16:41:112,5476,0.3,5395,0.5,10701,0.2,13772,1.75 107,1,2024-09-07 08:16:40:600,52504,52504,0,0,25112114905,267897325,51399,979,126,382,391848,0 107,2,2024-09-07 08:16:41:293,34528,34527,1,0,1958973,0,5024 107,3,2024-09-07 08:16:41:763,1,30,7,1,353,711,30,0 108,0,2024-09-07 08:16:41:824,8035,1.8,8197,1.3,16087,2.3,21783,2.00 108,1,2024-09-07 08:16:41:337,52099,52099,0,0,24691248804,262159711,50964,1030,105,372,391563,0 108,2,2024-09-07 08:16:41:759,36241,36241,0,0,2416136,0,2647 108,3,2024-09-07 08:16:41:335,1,30,8,1,60,433,30,0 109,0,2024-09-07 08:16:41:786,10693,2.0,10775,1.3,20900,3.2,28312,2.25 109,1,2024-09-07 08:16:40:591,52696,52696,0,0,25605739922,272639754,51908,736,52,385,391664,0 109,2,2024-09-07 08:16:40:930,40646,40646,0,0,2988535,0,3617 109,3,2024-09-07 08:16:41:149,1,30,9,1,120,565,30,0 110,0,2024-09-07 08:16:41:781,6273,0.3,6152,0.6,12806,0.2,16574,1.75 110,1,2024-09-07 08:16:41:652,52408,52408,0,0,24471833503,258766620,51455,670,283,371,391564,0 110,2,2024-09-07 08:16:41:310,40015,40015,0,0,2292181,0,2915 110,3,2024-09-07 08:16:40:690,1,30,4,0,183,363,30,0 111,0,2024-09-07 08:16:41:425,4947,0.2,4973,0.4,9902,0.1,13404,1.50 111,1,2024-09-07 08:16:41:003,52754,52754,0,0,25835857842,269699139,52375,367,12,383,391597,0 111,2,2024-09-07 08:16:41:125,34048,34048,0,0,1932215,0,2763 111,3,2024-09-07 08:16:40:913,1,30,9,1,106,645,30,0 112,0,2024-09-07 08:16:40:934,7136,0.8,7199,0.8,14475,0.7,19350,2.00 112,1,2024-09-07 08:16:40:837,52375,52375,0,0,25377924989,271957051,50901,1128,346,382,391522,0 112,2,2024-09-07 08:16:41:133,35522,35521,1,0,3005684,0,5036 112,3,2024-09-07 08:16:40:592,1,30,8,1,282,554,30,0 113,0,2024-09-07 08:16:40:905,11401,0.5,11277,0.6,22858,0.4,31021,1.75 113,1,2024-09-07 08:16:41:690,52352,52352,0,0,24925797150,266494882,50647,1325,380,368,391661,0 113,2,2024-09-07 08:16:41:309,41144,41144,0,0,2341543,0,3021 113,3,2024-09-07 08:16:40:686,1,30,1,1,166,527,30,0 114,0,2024-09-07 08:16:40:903,6372,6.0,6498,4.5,12727,3.4,17666,2.50 114,1,2024-09-07 08:16:40:718,52232,52232,0,0,25161308897,272030235,50197,1196,839,381,391513,0 114,2,2024-09-07 08:16:40:880,39197,39197,0,0,3047221,0,3925 114,3,2024-09-07 08:16:41:291,1,30,1,1,159,530,30,0 115,0,2024-09-07 08:16:40:566,5719,0.2,5753,0.5,11420,0.1,14923,1.50 115,1,2024-09-07 08:16:40:572,52177,52177,0,0,25577840094,275237364,50199,1505,473,384,391502,0 115,2,2024-09-07 08:16:41:126,35692,35692,0,0,1877839,0,2072 115,3,2024-09-07 08:16:41:002,1,30,6,0,159,343,30,0 116,0,2024-09-07 08:16:41:714,6003,0.3,5889,0.6,11897,0.2,15806,1.75 116,1,2024-09-07 08:16:40:813,52122,52122,0,0,24786775524,268691565,50760,504,858,382,391573,0 116,2,2024-09-07 08:16:41:765,36316,36316,0,0,1934189,0,2154 116,3,2024-09-07 08:16:40:912,1,30,9,2,233,660,30,0 117,0,2024-09-07 08:16:40:997,11330,2.2,11331,1.5,22764,3.4,30616,2.25 117,1,2024-09-07 08:16:41:578,52531,52531,0,0,24507726530,262280713,51200,1108,223,371,391601,0 117,2,2024-09-07 08:16:41:122,38787,38787,0,0,2352686,0,3700 117,3,2024-09-07 08:16:41:066,1,30,37,1,490,1249,30,0 118,0,2024-09-07 08:16:41:876,6677,2.1,6751,1.5,13990,3.3,18351,2.50 118,1,2024-09-07 08:16:40:592,52551,52551,0,0,24341377771,258078774,51811,665,75,368,391725,0 118,2,2024-09-07 08:16:41:597,39823,39823,0,0,2312367,0,1854 118,3,2024-09-07 08:16:41:769,1,30,3,1,229,641,30,0 119,0,2024-09-07 08:16:41:406,6351,0.3,6520,0.6,13306,0.2,17117,1.75 119,1,2024-09-07 08:16:40:559,52412,52412,0,0,24905095025,265099109,51229,1050,133,371,391576,0 119,2,2024-09-07 08:16:41:266,37986,37986,0,0,2277780,0,2222 119,3,2024-09-07 08:16:41:325,1,30,1,1,443,1193,30,0 120,0,2024-09-07 08:16:41:555,5080,0.3,5042,0.6,10144,0.2,13130,1.75 120,1,2024-09-07 08:16:40:862,52559,52559,0,0,24841047441,264004434,51691,859,9,369,391702,0 120,2,2024-09-07 08:16:40:771,35115,35114,1,0,2881768,0,5281 120,3,2024-09-07 08:16:41:290,1,30,9,1,241,718,30,0 121,0,2024-09-07 08:16:41:699,9496,2.9,9461,1.8,18977,4.3,26284,2.50 121,1,2024-09-07 08:16:41:670,52346,52346,0,0,25356728249,270741675,51126,983,237,370,391633,0 121,2,2024-09-07 08:16:41:130,36269,36269,0,0,3008397,0,4127 121,3,2024-09-07 08:16:40:734,1,30,2,1,101,386,30,0 122,0,2024-09-07 08:16:41:790,9451,3.7,9141,3.3,19088,4.1,25689,2.75 122,1,2024-09-07 08:16:40:884,51833,51833,0,0,24689736908,267457411,49910,1554,369,369,392130,0 122,2,2024-09-07 08:16:41:327,41332,41332,0,0,3210867,0,2574 122,3,2024-09-07 08:16:40:596,1,30,1,1,226,1113,30,0 123,0,2024-09-07 08:16:40:965,6613,0.4,6369,0.7,13243,0.3,17297,1.75 123,1,2024-09-07 08:16:40:566,52240,52240,0,0,24649373431,268083154,49700,2086,454,371,391823,0 123,2,2024-09-07 08:16:41:029,39357,39356,1,0,2775422,0,5215 123,3,2024-09-07 08:16:41:135,1,30,1,1,160,598,30,0 124,0,2024-09-07 08:16:40:952,5394,0.3,5347,0.5,10151,0.2,13859,1.75 124,1,2024-09-07 08:16:41:054,52899,52899,0,0,24821672046,259377564,52721,176,2,370,392129,0 124,2,2024-09-07 08:16:41:020,33600,33600,0,0,1670436,0,2477 124,3,2024-09-07 08:16:40:758,1,30,24,2,490,1151,30,0 125,0,2024-09-07 08:16:41:454,7278,1.0,7207,1.0,14571,1.3,19648,2.00 125,1,2024-09-07 08:16:40:859,52254,52254,0,0,24708870281,262675276,51233,999,22,385,391702,0 125,2,2024-09-07 08:16:41:128,35690,35690,0,0,2314998,0,2180 125,3,2024-09-07 08:16:41:145,1,30,1,1,93,659,30,0 126,0,2024-09-07 08:16:41:452,12017,2.0,12210,1.3,23596,2.9,31821,2.25 126,1,2024-09-07 08:16:40:556,52643,52643,0,0,25786708778,270191958,52175,460,8,368,391719,0 126,2,2024-09-07 08:16:40:639,41784,41784,0,0,2649906,0,3186 126,3,2024-09-07 08:16:40:910,1,30,2,1,45,241,30,0 127,0,2024-09-07 08:16:41:612,5735,0.3,5622,0.6,11280,0.2,15015,1.75 127,1,2024-09-07 08:16:40:586,52207,52207,0,0,24512786716,257878201,51467,718,22,366,391614,0 127,2,2024-09-07 08:16:40:648,39939,39939,0,0,2173375,0,1744 127,3,2024-09-07 08:16:41:267,1,30,1,0,99,310,30,0 128,0,2024-09-07 08:16:41:554,5037,0.3,5131,0.5,10047,0.2,13472,1.75 128,1,2024-09-07 08:16:41:614,52676,52676,0,0,25284053274,266204201,51999,611,66,369,391605,0 128,2,2024-09-07 08:16:41:399,33501,33501,0,0,2247670,0,1919 128,3,2024-09-07 08:16:40:771,1,30,9,1,20,348,30,0 129,0,2024-09-07 08:16:41:045,6544,0.7,6642,0.8,13104,0.7,17842,2.00 129,1,2024-09-07 08:16:40:624,52453,52453,0,0,24928277956,266797253,51089,1099,265,379,391835,0 129,2,2024-09-07 08:16:40:700,35833,35833,0,0,2155752,0,2446 129,3,2024-09-07 08:16:40:697,1,30,43,1,131,577,30,0 130,0,2024-09-07 08:16:41:764,11891,1.5,11696,1.2,23441,2.0,31689,2.25 130,1,2024-09-07 08:16:40:605,52676,52676,0,0,25037966876,266686070,51618,1046,12,381,391520,0 130,2,2024-09-07 08:16:41:131,40272,40272,0,0,2825198,0,4067 130,3,2024-09-07 08:16:41:309,1,30,1,1,207,426,30,0 131,0,2024-09-07 08:16:41:934,6244,2.5,5992,1.9,12450,3.0,17022,2.50 131,1,2024-09-07 08:16:41:828,52727,52727,0,0,24881478492,265007349,51804,737,186,385,391865,0 131,2,2024-09-07 08:16:40:596,38792,38792,0,0,2276246,0,2415 131,3,2024-09-07 08:16:41:705,1,30,25,1,29,177,30,0 132,0,2024-09-07 08:16:41:427,6270,0.4,6457,0.8,12807,0.4,16786,2.00 132,1,2024-09-07 08:16:40:590,52278,52278,0,0,24503770082,263904580,50819,1196,263,383,391533,0 132,2,2024-09-07 08:16:40:712,36464,36464,0,0,3665198,0,4606 132,3,2024-09-07 08:16:41:692,1,30,8,3,356,677,30,0 133,0,2024-09-07 08:16:41:539,5395,0.3,5471,0.5,11110,0.2,14525,1.75 133,1,2024-09-07 08:16:40:586,51832,51832,0,0,25116516103,268799727,50504,1245,83,385,391513,0 133,2,2024-09-07 08:16:41:096,36397,36397,0,0,2155522,0,1758 133,3,2024-09-07 08:16:41:304,1,30,2,1,38,189,30,0 134,0,2024-09-07 08:16:40:989,11051,0.5,11035,0.7,22178,0.5,29773,1.75 134,1,2024-09-07 08:16:40:594,52393,52393,0,0,24577993875,264044929,50834,812,747,366,391508,0 134,2,2024-09-07 08:16:41:759,37010,37010,0,0,2043835,0,2026 134,3,2024-09-07 08:16:40:749,1,30,1,1,63,370,30,0 135,0,2024-09-07 08:16:41:114,7872,3.3,7821,2.7,16600,3.3,21192,2.75 135,1,2024-09-07 08:16:41:598,52272,52272,0,0,25265574999,266710568,51503,753,16,383,391528,0 135,2,2024-09-07 08:16:40:688,40427,40427,0,0,2329168,0,3016 135,3,2024-09-07 08:16:41:005,1,30,7,0,68,318,30,0 136,0,2024-09-07 08:16:41:665,6664,0.5,6603,0.8,13177,0.4,17349,2.25 136,1,2024-09-07 08:16:41:458,52626,52626,0,0,24454755174,261570728,51291,1194,141,385,391501,0 136,2,2024-09-07 08:16:41:135,39937,39937,0,0,2035689,0,2199 136,3,2024-09-07 08:16:41:115,1,30,9,1,108,494,30,0 137,0,2024-09-07 08:16:40:977,5551,0.4,5439,0.7,10725,0.2,13838,2.00 137,1,2024-09-07 08:16:40:577,52439,52439,0,0,25064310848,266652076,51304,1047,88,368,391548,0 137,2,2024-09-07 08:16:41:715,34042,34042,0,0,2547276,0,2294 137,3,2024-09-07 08:16:40:774,1,30,5,1,227,498,30,0 138,0,2024-09-07 08:16:41:804,7845,0.9,8145,1.0,16227,1.1,21787,2.50 138,1,2024-09-07 08:16:41:696,52125,52125,0,0,24525164595,262652796,50683,1210,232,371,391573,0 138,2,2024-09-07 08:16:40:593,36376,36376,0,0,2084226,0,3263 138,3,2024-09-07 08:16:40:623,1,30,9,1,68,275,30,0 139,0,2024-09-07 08:16:41:372,10153,5.9,10083,3.3,20581,6.2,27721,4.50 139,1,2024-09-07 08:16:40:582,51992,51992,0,0,24490081862,269225731,49645,1637,710,383,391511,0 139,2,2024-09-07 08:16:40:696,41426,41426,0,0,2729453,0,2425 139,3,2024-09-07 08:16:41:665,1,30,14,1,120,617,30,0 140,0,2024-09-07 08:16:41:611,6280,0.4,6117,0.7,12481,0.3,16669,1.75 140,1,2024-09-07 08:16:41:544,52961,52961,0,0,24970593048,261765055,52345,425,191,365,391482,0 140,2,2024-09-07 08:16:40:688,39660,39660,0,0,3000306,0,3388 140,3,2024-09-07 08:16:40:767,1,30,8,1,25,272,30,0 141,0,2024-09-07 08:16:41:713,4895,0.2,5082,0.4,9772,0.1,13434,1.50 141,1,2024-09-07 08:16:40:888,52596,52596,0,0,25564596535,271586914,51235,1030,331,382,391532,0 141,2,2024-09-07 08:16:41:691,33810,33810,0,0,1924979,0,2342 141,3,2024-09-07 08:16:41:054,1,30,9,0,53,262,30,0 142,0,2024-09-07 08:16:41:316,7362,0.4,7213,0.7,14501,0.4,19423,1.75 142,1,2024-09-07 08:16:40:587,52540,52540,0,0,25195944411,264538686,52291,248,1,384,391649,0 142,2,2024-09-07 08:16:41:310,35801,35801,0,0,2168525,0,2293 142,3,2024-09-07 08:16:41:751,1,30,19,1,53,255,30,0 143,0,2024-09-07 08:16:41:389,11331,1.3,11321,1.2,22858,1.6,30879,2.25 143,1,2024-09-07 08:16:40:573,52476,52476,0,0,25491698402,267465512,51690,763,23,367,391608,0 143,2,2024-09-07 08:16:40:770,41199,41199,0,0,2685642,0,2669 143,3,2024-09-07 08:16:41:160,1,30,6,1,236,900,30,0 144,0,2024-09-07 08:16:41:547,5927,4.4,6160,10.0,12280,4.6,17558,3.00 144,1,2024-09-07 08:16:40:594,52109,52109,0,0,24682366191,265656780,50702,1219,188,383,391562,0 144,2,2024-09-07 08:16:41:774,39382,39382,0,0,2711137,0,3473 144,3,2024-09-07 08:16:41:740,1,30,9,1,112,529,30,0 145,0,2024-09-07 08:16:41:384,5484,0.4,5487,0.7,11512,0.3,14831,2.00 145,1,2024-09-07 08:16:40:559,51852,51852,0,0,24162101198,256430239,51128,572,152,383,391615,0 145,2,2024-09-07 08:16:41:438,34909,34909,0,0,2760749,0,3903 145,3,2024-09-07 08:16:40:894,1,30,112,0,151,718,30,0 146,0,2024-09-07 08:16:41:632,5946,0.3,5897,0.6,11815,0.2,15768,2.00 146,1,2024-09-07 08:16:41:584,52537,52537,0,0,24969511193,267693662,50711,1528,298,370,391512,0 146,2,2024-09-07 08:16:41:706,36206,36206,0,0,1978024,0,2149 146,3,2024-09-07 08:16:41:278,1,30,1,0,54,292,30,0 147,0,2024-09-07 08:16:41:798,11340,2.3,11131,1.6,22255,2.9,30730,2.75 147,1,2024-09-07 08:16:41:386,52728,52728,0,0,25081373623,263926091,52003,598,127,369,391791,0 147,2,2024-09-07 08:16:41:014,39351,39351,0,0,2415130,0,2789 147,3,2024-09-07 08:16:40:914,1,30,1,1,141,585,30,0 0,0,2024-09-07 08:16:51:766,4964,0.5,4991,0.8,10512,0.4,13453,2.25 0,1,2024-09-07 08:16:50:812,54047,54047,0,0,25775030286,276153298,52914,1010,123,373,391673,0 0,2,2024-09-07 08:16:51:068,36280,36280,0,0,2883938,0,4480 0,3,2024-09-07 08:16:50:974,1,31,16,2,247,658,31,0 1,0,2024-09-07 08:16:51:792,9883,3.1,9846,2.1,19855,4.6,27410,3.25 1,1,2024-09-07 08:16:50:584,53609,53609,0,0,25348921591,273019385,52021,1065,523,372,391857,0 1,2,2024-09-07 08:16:50:645,37414,37414,0,0,2470641,0,3267 1,3,2024-09-07 08:16:51:304,1,31,1,1,167,449,31,0 2,0,2024-09-07 08:16:51:586,9399,3.9,9542,2.3,18855,6.1,25130,4.50 2,1,2024-09-07 08:16:50:865,53641,53641,0,0,25791491130,274339827,52632,806,203,382,391527,0 2,2,2024-09-07 08:16:51:267,41630,41630,0,0,2832175,0,3304 2,3,2024-09-07 08:16:50:692,1,31,1,1,87,368,31,0 3,0,2024-09-07 08:16:51:781,6765,0.5,6716,0.7,13465,0.4,17539,2.25 3,1,2024-09-07 08:16:51:623,53804,53804,0,0,25857494977,278478728,51867,1551,386,383,391494,0 3,2,2024-09-07 08:16:51:152,40688,40665,23,0,3405133,0,5851 3,3,2024-09-07 08:16:51:755,1,31,1,1,103,294,31,0 4,0,2024-09-07 08:16:51:867,5284,0.4,5505,0.6,10909,0.3,14359,2.00 4,1,2024-09-07 08:16:50:600,53988,53988,0,0,25392226355,273294178,52503,1077,408,372,391682,0 4,2,2024-09-07 08:16:51:033,34969,34969,0,0,2721702,0,4528 4,3,2024-09-07 08:16:51:030,1,31,17,8,287,738,31,0 5,0,2024-09-07 08:16:51:531,7734,1.2,7609,1.1,15353,1.9,20840,2.25 5,1,2024-09-07 08:16:50:759,53988,53988,0,0,25740038465,273646434,52839,965,184,368,392005,0 5,2,2024-09-07 08:16:51:839,36998,36998,0,0,2115096,0,1932 5,3,2024-09-07 08:16:51:731,1,31,171,1,182,852,31,0 6,0,2024-09-07 08:16:50:923,12035,3.1,11923,2.0,23652,5.6,31735,4.50 6,1,2024-09-07 08:16:50:747,54338,54338,0,0,25936936337,273182127,53608,678,52,382,391603,0 6,2,2024-09-07 08:16:51:119,43188,43188,0,0,2805386,0,2232 6,3,2024-09-07 08:16:51:274,1,31,1,1,277,587,31,0 7,0,2024-09-07 08:16:51:547,5790,0.4,5821,0.7,11777,0.3,15831,2.00 7,1,2024-09-07 08:16:50:864,53792,53792,0,0,26007468034,274749075,53058,670,64,384,391558,0 7,2,2024-09-07 08:16:50:776,40794,40794,0,0,2598143,0,2981 7,3,2024-09-07 08:16:50:856,1,31,2,0,83,392,31,0 8,0,2024-09-07 08:16:51:398,5484,0.2,5330,0.4,10541,0.2,14117,1.50 8,1,2024-09-07 08:16:51:025,53910,53910,0,0,26069528235,286544274,51003,1674,1233,368,391724,0 8,2,2024-09-07 08:16:51:125,34540,34540,0,0,2482700,0,2986 8,3,2024-09-07 08:16:50:585,1,31,8,1,229,663,31,0 9,0,2024-09-07 08:16:51:116,7017,0.3,6827,0.6,14255,0.3,19178,1.75 9,1,2024-09-07 08:16:50:552,54046,54046,0,0,26523185278,284062511,52343,1222,481,370,391553,0 9,2,2024-09-07 08:16:51:084,37180,37180,0,0,2592644,0,3360 9,3,2024-09-07 08:16:51:758,1,31,24,0,127,457,31,0 10,0,2024-09-07 08:16:51:617,12170,0.4,12053,0.5,24358,0.4,32177,1.75 10,1,2024-09-07 08:16:50:587,53936,53936,0,0,25414432258,274361196,51715,1840,381,383,391541,0 10,2,2024-09-07 08:16:50:769,41664,41664,0,0,2914251,0,2940 10,3,2024-09-07 08:16:50:878,1,31,59,0,118,296,31,0 11,0,2024-09-07 08:16:51:014,6185,5.3,6027,3.3,12598,7.6,17878,3.50 11,1,2024-09-07 08:16:50:581,53776,53776,0,0,25862709931,279564199,51587,1453,736,384,391485,0 11,2,2024-09-07 08:16:51:123,40459,40459,0,0,2577902,0,2635 11,3,2024-09-07 08:16:51:299,1,31,6,1,720,995,31,0 12,0,2024-09-07 08:16:50:964,6666,0.3,6650,0.5,13291,0.2,17268,1.50 12,1,2024-09-07 08:16:50:936,54136,54136,0,0,25230183801,268902331,53123,990,23,372,391611,0 12,2,2024-09-07 08:16:51:549,37681,37681,0,0,2500079,0,2254 12,3,2024-09-07 08:16:51:074,1,31,19,1,358,927,31,0 13,0,2024-09-07 08:16:51:358,5731,0.3,5794,0.6,11633,0.2,15616,1.75 13,1,2024-09-07 08:16:51:768,54321,54321,0,0,25299075182,268545208,53704,578,39,384,391563,0 13,2,2024-09-07 08:16:50:605,37607,37607,0,0,1934530,0,3287 13,3,2024-09-07 08:16:51:765,1,31,29,1,153,513,31,0 14,0,2024-09-07 08:16:50:580,11664,0.5,11565,0.7,23072,0.5,31011,1.75 14,1,2024-09-07 08:16:51:563,54833,54833,0,0,25785671697,269757307,54249,555,29,365,391546,0 14,2,2024-09-07 08:16:50:770,38627,38627,0,0,2448290,0,2077 14,3,2024-09-07 08:16:51:116,1,31,54,1,59,287,31,0 15,0,2024-09-07 08:16:51:602,7963,4.9,7825,2.7,15748,6.7,21524,4.25 15,1,2024-09-07 08:16:51:661,54314,54314,0,0,25653027119,270514714,53802,510,2,381,391536,0 15,2,2024-09-07 08:16:50:998,41664,41664,0,0,2120849,0,3043 15,3,2024-09-07 08:16:51:406,1,31,5,0,538,928,31,0 16,0,2024-09-07 08:16:50:967,6699,0.4,6760,0.7,13442,0.3,17589,2.25 16,1,2024-09-07 08:16:50:597,54308,54308,0,0,25523790481,272464862,53376,914,18,373,391543,0 16,2,2024-09-07 08:16:51:442,39452,39452,0,0,3376477,0,4719 16,3,2024-09-07 08:16:51:169,1,31,16,3,231,872,31,0 17,0,2024-09-07 08:16:51:837,5471,0.4,5509,0.7,10612,0.3,13792,2.25 17,1,2024-09-07 08:16:50:583,53767,53767,0,0,25651106641,277492895,52165,1084,518,369,391671,0 17,2,2024-09-07 08:16:51:690,36254,36254,0,0,2375816,0,2857 17,3,2024-09-07 08:16:50:582,1,31,39,0,268,909,31,0 18,0,2024-09-07 08:16:50:958,8287,1.1,8421,1.1,16916,1.4,22569,2.50 18,1,2024-09-07 08:16:51:644,53771,53771,0,0,25322740684,270473788,52555,999,217,370,391526,0 18,2,2024-09-07 08:16:51:758,36563,36563,0,0,2993542,0,3541 18,3,2024-09-07 08:16:50:900,1,31,1,0,142,528,31,0 19,0,2024-09-07 08:16:51:565,10294,3.8,10443,2.2,20509,6.7,27143,6.00 19,1,2024-09-07 08:16:50:573,53929,53929,0,0,25734828581,275997955,52198,1569,162,367,391529,0 19,2,2024-09-07 08:16:51:757,42527,42527,0,0,3163067,0,3988 19,3,2024-09-07 08:16:51:133,1,31,11,0,524,657,31,0 20,0,2024-09-07 08:16:51:394,6490,0.3,6663,0.5,12851,0.2,16824,2.00 20,1,2024-09-07 08:16:50:581,54164,54164,0,0,26189927861,276265379,53573,588,3,370,391492,0 20,2,2024-09-07 08:16:50:945,40903,40903,0,0,2328729,0,1616 20,3,2024-09-07 08:16:50:592,1,31,8,1,99,560,31,0 21,0,2024-09-07 08:16:51:148,5440,0.3,5366,0.6,10553,0.2,14088,2.00 21,1,2024-09-07 08:16:51:551,53940,53940,0,0,25458173227,271391585,52758,1048,134,368,391962,0 21,2,2024-09-07 08:16:51:079,35036,35036,0,0,2080255,0,3747 21,3,2024-09-07 08:16:51:407,1,31,1,1,93,467,31,0 22,0,2024-09-07 08:16:51:734,7217,2.5,7165,1.7,14343,4.1,19277,3.00 22,1,2024-09-07 08:16:51:023,53935,53935,0,0,25103128261,272163573,51858,1541,536,382,391667,0 22,2,2024-09-07 08:16:50:761,36970,36970,0,0,1977629,0,1805 22,3,2024-09-07 08:16:51:068,1,31,12,0,48,180,31,0 23,0,2024-09-07 08:16:51:380,11655,1.1,12033,1.0,23670,1.2,31946,2.50 23,1,2024-09-07 08:16:51:022,54772,54772,0,0,25931924303,272891189,53933,604,235,368,391482,0 23,2,2024-09-07 08:16:51:095,43219,43219,0,0,2353718,0,2078 23,3,2024-09-07 08:16:51:756,1,31,2,0,645,917,31,0 24,0,2024-09-07 08:16:50:889,6898,1.0,6837,1.2,13800,1.8,18566,1.75 24,1,2024-09-07 08:16:50:599,54664,54664,0,0,25817630438,272289550,54056,604,4,371,391640,0 24,2,2024-09-07 08:16:51:075,40374,40374,0,0,3400257,0,2942 24,3,2024-09-07 08:16:51:692,1,31,10,2,234,573,31,0 25,0,2024-09-07 08:16:51:449,5935,0.3,5890,0.4,11375,0.2,15194,1.50 25,1,2024-09-07 08:16:50:571,54313,54313,0,0,25637848106,270546137,53696,601,16,373,391544,0 25,2,2024-09-07 08:16:51:637,35509,35509,0,0,2445683,0,1963 25,3,2024-09-07 08:16:51:006,1,31,13,1,50,311,31,0 26,0,2024-09-07 08:16:51:743,6067,0.2,5989,0.4,12575,0.1,16394,1.50 26,1,2024-09-07 08:16:51:545,54021,54021,0,0,24983726594,271776796,51792,1531,698,382,391519,0 26,2,2024-09-07 08:16:50:864,37956,37956,0,0,2623739,0,2809 26,3,2024-09-07 08:16:51:711,1,31,3,1,796,942,31,0 27,0,2024-09-07 08:16:51:750,11688,0.4,11834,0.6,23635,0.4,31846,1.75 27,1,2024-09-07 08:16:51:682,55009,55009,0,0,26213140481,272644991,54779,229,1,381,391526,0 27,2,2024-09-07 08:16:50:872,39582,39582,0,0,3976215,0,3409 27,3,2024-09-07 08:16:51:014,1,31,1,1,86,310,31,0 28,0,2024-09-07 08:16:51:398,7024,1.8,7142,1.5,14255,3.4,18894,2.00 28,1,2024-09-07 08:16:50:797,54408,54408,0,0,26624937718,280122220,53907,492,9,383,391497,0 28,2,2024-09-07 08:16:51:766,40583,40583,0,0,2116644,0,2609 28,3,2024-09-07 08:16:51:776,1,31,2,1,502,832,31,0 29,0,2024-09-07 08:16:51:395,6835,0.3,6690,0.5,13210,0.2,17431,1.75 29,1,2024-09-07 08:16:51:581,54855,54855,0,0,25648437963,268152430,54243,440,172,369,391621,0 29,2,2024-09-07 08:16:50:873,39387,39387,0,0,1794877,0,2026 29,3,2024-09-07 08:16:50:963,1,31,58,1,105,477,31,0 30,0,2024-09-07 08:16:51:469,5214,0.3,5030,0.5,10465,0.2,13454,1.75 30,1,2024-09-07 08:16:50:586,54183,54183,0,0,26412887438,278963493,53531,590,62,382,391524,0 30,2,2024-09-07 08:16:51:275,37003,37003,0,0,1489668,0,1567 30,3,2024-09-07 08:16:50:581,1,31,3,0,110,204,31,0 31,0,2024-09-07 08:16:51:776,10163,0.5,10218,0.7,20487,0.4,27663,1.75 31,1,2024-09-07 08:16:50:586,54106,54106,0,0,25887840736,267800041,53987,117,2,356,391553,0 31,2,2024-09-07 08:16:51:278,37700,37700,0,0,2820202,0,3525 31,3,2024-09-07 08:16:51:716,1,31,15,0,129,263,31,0 32,0,2024-09-07 08:16:51:425,9639,2.9,9772,1.6,19612,4.1,25757,2.00 32,1,2024-09-07 08:16:50:812,54204,54204,0,0,25707448315,272846791,53322,856,26,383,391595,0 32,2,2024-09-07 08:16:50:938,42190,42190,0,0,2716812,0,3155 32,3,2024-09-07 08:16:51:021,1,31,13,1,110,451,31,0 33,0,2024-09-07 08:16:51:510,6777,0.3,6727,0.5,13564,0.2,17503,1.75 33,1,2024-09-07 08:16:50:587,53751,53751,0,0,25795064010,274235608,52414,1227,110,369,391497,0 33,2,2024-09-07 08:16:50:767,40105,40072,33,0,4032690,0,7012 33,3,2024-09-07 08:16:50:898,1,31,2,1,27,135,31,0 34,0,2024-09-07 08:16:50:943,5452,0.2,5542,0.4,10743,0.1,14332,1.50 34,1,2024-09-07 08:16:51:052,54343,54343,0,0,25845039125,270725589,53949,391,3,369,391481,0 34,2,2024-09-07 08:16:50:774,34724,34724,0,0,2748912,0,3255 34,3,2024-09-07 08:16:51:693,1,31,42,0,58,271,31,0 35,0,2024-09-07 08:16:50:870,7573,2.0,7564,1.4,15094,2.5,20824,2.25 35,1,2024-09-07 08:16:51:069,54007,54007,0,0,26130841549,277354765,52614,1032,361,385,391587,0 35,2,2024-09-07 08:16:51:588,36917,36917,0,0,2330372,0,2404 35,3,2024-09-07 08:16:50:909,1,31,4,0,219,630,31,0 36,0,2024-09-07 08:16:51:527,11960,3.2,11800,2.0,24195,4.4,31822,3.50 36,1,2024-09-07 08:16:50:588,53720,53720,0,0,25207826384,274096909,51141,1725,854,369,391535,0 36,2,2024-09-07 08:16:51:752,43287,43287,0,0,2648880,0,2554 36,3,2024-09-07 08:16:50:870,1,31,8,0,378,823,31,0 37,0,2024-09-07 08:16:51:386,5926,0.3,5893,0.5,11866,0.2,15782,2.00 37,1,2024-09-07 08:16:50:576,53515,53508,0,7,25564850792,281024221,50956,1045,1507,368,391507,0 37,2,2024-09-07 08:16:51:142,41151,41151,0,0,2128811,0,1992 37,3,2024-09-07 08:16:51:767,1,31,8,0,508,657,31,0 38,0,2024-09-07 08:16:51:451,5331,0.3,5148,0.6,10730,0.2,14118,1.75 38,1,2024-09-07 08:16:51:611,54789,54789,0,0,25937446686,274762701,53856,893,40,371,391512,0 38,2,2024-09-07 08:16:50:762,34970,34970,0,0,2312966,0,3245 38,3,2024-09-07 08:16:50:997,1,31,3,0,63,275,31,0 39,0,2024-09-07 08:16:51:770,7302,0.6,7222,0.8,13947,0.7,19277,2.00 39,1,2024-09-07 08:16:50:723,54517,54517,0,0,25278761265,268778194,53025,1034,458,366,391524,0 39,2,2024-09-07 08:16:51:417,37170,37170,0,0,1996793,0,2381 39,3,2024-09-07 08:16:50:723,1,31,8,0,189,598,31,0 40,0,2024-09-07 08:16:51:502,11837,1.1,12084,2.3,23849,1.7,31993,3.00 40,1,2024-09-07 08:16:50:585,54423,54423,0,0,25082367480,269150827,52558,1338,527,371,391543,0 40,2,2024-09-07 08:16:51:303,41391,41390,1,0,3237977,0,5137 40,3,2024-09-07 08:16:51:141,1,31,7,1,63,344,31,0 41,0,2024-09-07 08:16:51:040,5837,6.0,6023,10.0,11645,8.9,16750,5.00 41,1,2024-09-07 08:16:50:779,54173,54173,0,0,26130278109,277446660,52911,1069,193,370,391483,0 41,2,2024-09-07 08:16:50:768,40277,40277,0,0,3272266,0,3325 41,3,2024-09-07 08:16:51:678,1,31,1,1,51,129,31,0 42,0,2024-09-07 08:16:51:484,6541,0.5,6502,0.8,13026,0.4,17244,2.25 42,1,2024-09-07 08:16:51:439,53812,53812,0,0,25913891046,281128535,51691,1419,702,381,391511,0 42,2,2024-09-07 08:16:51:134,37309,37309,0,0,2737335,0,3568 42,3,2024-09-07 08:16:51:013,1,31,8,0,100,443,31,0 43,0,2024-09-07 08:16:50:929,5728,0.3,5675,0.6,11829,0.2,15551,2.00 43,1,2024-09-07 08:16:50:580,54093,54093,0,0,26060728545,276849084,52645,857,591,367,391507,0 43,2,2024-09-07 08:16:51:739,37425,37425,0,0,2361279,0,3812 43,3,2024-09-07 08:16:51:749,1,31,2,1,292,772,31,0 44,0,2024-09-07 08:16:50:912,11499,0.5,11557,0.7,22875,0.5,31078,2.00 44,1,2024-09-07 08:16:50:564,54513,54513,0,0,25229969638,263514745,54072,432,9,357,391493,0 44,2,2024-09-07 08:16:51:287,38541,38541,0,0,1873069,0,1592 44,3,2024-09-07 08:16:51:094,1,31,10,1,817,1136,31,0 45,0,2024-09-07 08:16:51:765,7877,4.9,7716,2.7,16177,6.5,21729,2.50 45,1,2024-09-07 08:16:51:005,54364,54364,0,0,26198203943,274285267,54115,249,0,382,391917,0 45,2,2024-09-07 08:16:51:275,41528,41528,0,0,2699928,0,2628 45,3,2024-09-07 08:16:50:935,1,31,1,1,226,500,31,0 46,0,2024-09-07 08:16:50:984,6729,0.3,6796,0.5,13602,0.2,17691,1.75 46,1,2024-09-07 08:16:50:584,54616,54616,0,0,25860618233,269620231,54190,400,26,370,391514,0 46,2,2024-09-07 08:16:50:595,40389,40389,0,0,2404393,0,2920 46,3,2024-09-07 08:16:51:139,1,31,18,0,200,640,31,0 47,0,2024-09-07 08:16:51:110,5442,0.3,5482,0.5,10819,0.2,13804,1.50 47,1,2024-09-07 08:16:50:578,54813,54813,0,0,25769210252,267560741,54606,206,1,368,391481,0 47,2,2024-09-07 08:16:50:910,36470,36470,0,0,2231465,0,2558 47,3,2024-09-07 08:16:51:116,1,31,15,1,529,675,31,0 48,0,2024-09-07 08:16:51:499,8558,0.5,8437,0.7,16826,0.6,22827,2.00 48,1,2024-09-07 08:16:51:041,54047,54047,0,0,25912569039,275857703,52951,1091,5,386,391521,0 48,2,2024-09-07 08:16:50:700,37234,37234,0,0,1896216,0,2083 48,3,2024-09-07 08:16:50:763,1,31,23,1,23,293,31,0 49,0,2024-09-07 08:16:51:729,10854,3.4,10745,1.9,20667,5.4,28491,2.50 49,1,2024-09-07 08:16:51:034,53974,53974,0,0,25282074048,273096730,52240,1025,709,382,391583,0 49,2,2024-09-07 08:16:51:800,42747,42747,0,0,3051991,0,3900 49,3,2024-09-07 08:16:51:425,1,31,67,0,274,651,31,0 50,0,2024-09-07 08:16:51:521,6527,0.3,6461,0.5,12834,0.2,17228,1.75 50,1,2024-09-07 08:16:51:015,54475,54475,0,0,26599516149,282778113,52874,1304,297,370,391530,0 50,2,2024-09-07 08:16:51:069,41125,41125,0,0,2258722,0,2034 50,3,2024-09-07 08:16:51:291,1,31,2,1,335,614,31,0 51,0,2024-09-07 08:16:51:704,5492,0.2,5334,0.4,10578,0.1,14377,1.75 51,1,2024-09-07 08:16:51:685,53839,53839,0,0,26225932244,280226757,52146,911,782,367,391520,0 51,2,2024-09-07 08:16:51:317,34741,34741,0,0,1513680,0,1936 51,3,2024-09-07 08:16:51:032,1,31,30,0,162,414,31,0 52,0,2024-09-07 08:16:51:433,7509,2.2,7403,1.6,14943,3.7,19738,4.00 52,1,2024-09-07 08:16:50:583,53767,53767,0,0,25144923196,272974382,51664,1640,463,371,391498,0 52,2,2024-09-07 08:16:51:756,37112,37112,0,0,2367169,0,4779 52,3,2024-09-07 08:16:50:682,1,31,8,4,1782,2128,31,0 53,0,2024-09-07 08:16:51:780,11548,2.1,11302,1.6,22863,2.4,31120,3.75 53,1,2024-09-07 08:16:50:773,54098,54098,0,0,26003215830,281227177,51842,1687,569,372,391523,0 53,2,2024-09-07 08:16:51:299,43149,43149,0,0,2104632,0,1542 53,3,2024-09-07 08:16:50:713,1,31,4,1,59,231,31,0 54,0,2024-09-07 08:16:51:626,5983,10.0,6007,8.8,12067,4.2,17424,5.75 54,1,2024-09-07 08:16:50:588,54233,54233,0,0,26181816628,277833308,52790,1155,288,367,391520,0 54,2,2024-09-07 08:16:50:872,40954,40948,6,0,2810150,0,5382 54,3,2024-09-07 08:16:50:771,1,31,15,0,111,371,31,0 55,0,2024-09-07 08:16:51:770,5711,0.6,5878,0.9,11855,0.4,15139,2.50 55,1,2024-09-07 08:16:50:766,53852,53852,0,0,25879446302,277506975,51644,1640,568,369,391731,0 55,2,2024-09-07 08:16:50:731,35860,35860,0,0,2245697,0,3275 55,3,2024-09-07 08:16:50:673,1,31,1,0,69,287,31,0 56,0,2024-09-07 08:16:51:582,6262,1.1,5969,1.0,12183,1.6,16244,2.50 56,1,2024-09-07 08:16:50:606,53997,53997,0,0,26277998568,284629754,51806,1608,583,384,391536,0 56,2,2024-09-07 08:16:51:310,37477,37477,0,0,2805291,0,3567 56,3,2024-09-07 08:16:51:059,1,31,12,2,297,603,31,0 57,0,2024-09-07 08:16:50:959,11396,2.8,11251,1.9,22713,4.4,30904,4.00 57,1,2024-09-07 08:16:50:998,54731,54731,0,0,24720491973,262112044,53910,807,14,369,391554,0 57,2,2024-09-07 08:16:51:319,40086,40086,0,0,2797138,0,2687 57,3,2024-09-07 08:16:51:739,1,31,1,1,359,864,31,0 58,0,2024-09-07 08:16:50:567,6221,3.6,6119,2.2,12686,3.7,16947,6.75 58,1,2024-09-07 08:16:50:583,54437,54436,0,1,26580483724,281463296,53167,1112,157,371,391512,1 58,2,2024-09-07 08:16:51:071,40956,40956,0,0,2619363,0,2464 58,3,2024-09-07 08:16:51:068,1,31,4,1,219,608,31,0 59,0,2024-09-07 08:16:51:754,6635,1.2,6673,1.2,13347,1.4,17130,3.00 59,1,2024-09-07 08:16:50:807,54320,54320,0,0,25812936037,272515433,53589,726,5,371,391515,0 59,2,2024-09-07 08:16:50:583,39239,39239,0,0,2183383,0,2206 59,3,2024-09-07 08:16:51:738,1,31,6,0,25,160,31,0 60,0,2024-09-07 08:16:51:736,5185,0.3,5191,0.6,10217,0.2,13479,1.75 60,1,2024-09-07 08:16:50:789,54944,54944,0,0,25843118489,272877590,54056,544,344,370,391672,0 60,2,2024-09-07 08:16:51:150,36936,36936,0,0,1807662,0,2142 60,3,2024-09-07 08:16:51:270,1,31,13,0,124,480,31,0 61,0,2024-09-07 08:16:51:526,9925,2.3,9971,1.6,19659,3.6,27213,2.25 61,1,2024-09-07 08:16:50:779,53959,53959,0,0,25513005442,274642725,52103,1385,471,383,391560,0 61,2,2024-09-07 08:16:51:118,37802,37802,0,0,2356900,0,1846 61,3,2024-09-07 08:16:51:699,1,31,3,1,124,440,31,0 62,0,2024-09-07 08:16:51:725,9646,3.3,9925,1.8,19212,5.0,25763,3.25 62,1,2024-09-07 08:16:51:116,54461,54458,0,3,26350170040,275397701,53926,530,2,368,391586,3 62,2,2024-09-07 08:16:51:645,41667,41667,0,0,2719805,0,2931 62,3,2024-09-07 08:16:51:153,1,31,1,0,287,543,31,0 63,0,2024-09-07 08:16:51:466,6738,0.6,6701,0.9,13402,0.6,17586,2.25 63,1,2024-09-07 08:16:50:807,54809,54807,0,2,25778906819,268976399,54597,209,1,382,391540,2 63,2,2024-09-07 08:16:50:762,40834,40834,0,0,2550582,0,2674 63,3,2024-09-07 08:16:51:732,1,31,2,0,216,627,31,0 64,0,2024-09-07 08:16:51:533,5357,0.5,5390,0.8,10921,0.4,14230,2.00 64,1,2024-09-07 08:16:50:757,53923,53923,0,0,26243809117,285965522,51545,1587,791,371,391604,0 64,2,2024-09-07 08:16:51:343,35396,35377,19,0,2818350,0,6121 64,3,2024-09-07 08:16:51:141,1,31,8,1,163,479,31,0 65,0,2024-09-07 08:16:51:735,7411,4.8,7418,2.8,14580,6.4,20231,5.25 65,1,2024-09-07 08:16:50:865,54103,54103,0,0,25427708826,273652341,52475,1412,216,382,391506,0 65,2,2024-09-07 08:16:51:693,37027,37027,0,0,2912934,0,3367 65,3,2024-09-07 08:16:51:689,1,31,1,1,122,397,31,0 66,0,2024-09-07 08:16:51:774,11493,2.9,11615,1.9,23361,5.3,31169,4.50 66,1,2024-09-07 08:16:51:295,55108,55108,0,0,26295453009,276242421,54474,615,19,381,391537,0 66,2,2024-09-07 08:16:51:133,43233,43233,0,0,2629395,0,2934 66,3,2024-09-07 08:16:51:083,1,31,291,1,291,612,31,0 67,0,2024-09-07 08:16:51:427,5936,0.9,5910,1.1,11641,0.9,15767,2.75 67,1,2024-09-07 08:16:50:767,54059,54058,0,1,25517632523,274368228,52565,996,497,383,391567,1 67,2,2024-09-07 08:16:50:586,41287,41287,0,0,2613646,0,2889 67,3,2024-09-07 08:16:51:749,1,31,1,0,93,305,31,0 68,0,2024-09-07 08:16:50:660,5281,0.3,5313,0.7,10774,0.2,14074,2.00 68,1,2024-09-07 08:16:50:592,53947,53947,0,0,25689187437,274903278,52782,693,472,383,391591,0 68,2,2024-09-07 08:16:51:062,35225,35225,0,0,2188955,0,4883 68,3,2024-09-07 08:16:50:730,1,31,11,1,63,366,31,0 69,0,2024-09-07 08:16:51:783,7020,1.5,6923,1.4,13736,2.8,18714,3.25 69,1,2024-09-07 08:16:51:034,53440,53440,0,0,26019655941,283112292,51886,692,862,386,391530,0 69,2,2024-09-07 08:16:51:743,37477,37477,0,0,2059371,0,2462 69,3,2024-09-07 08:16:50:765,1,31,1,0,238,454,31,0 70,0,2024-09-07 08:16:51:551,12004,2.8,11991,3.0,24280,1.2,32005,3.50 70,1,2024-09-07 08:16:50:816,54272,54272,0,0,25881714390,271501504,53592,582,98,369,391527,0 70,2,2024-09-07 08:16:51:344,41782,41782,0,0,2459525,0,2433 70,3,2024-09-07 08:16:50:745,1,31,3,1,69,171,31,0 71,0,2024-09-07 08:16:51:433,6004,5.9,5932,8.0,11656,10.0,17054,5.50 71,1,2024-09-07 08:16:51:606,54137,54137,0,0,25765680476,275310203,52525,1366,246,368,391682,0 71,2,2024-09-07 08:16:51:071,40600,40600,0,0,2233806,0,2146 71,3,2024-09-07 08:16:51:753,1,31,5,1,174,392,31,0 72,0,2024-09-07 08:16:51:042,6741,0.4,6564,0.7,13023,0.3,17318,2.75 72,1,2024-09-07 08:16:51:035,54470,54470,0,0,25011527161,265509840,53235,1187,48,370,391514,0 72,2,2024-09-07 08:16:51:773,36589,36589,0,0,3034420,0,2570 72,3,2024-09-07 08:16:51:753,1,31,2,1,325,662,31,0 73,0,2024-09-07 08:16:51:118,5625,0.3,5777,0.6,11736,0.2,15524,2.25 73,1,2024-09-07 08:16:50:788,54487,54487,0,0,25981047373,271979813,54194,291,2,368,391497,0 73,2,2024-09-07 08:16:51:753,37293,37293,0,0,2923706,0,3482 73,3,2024-09-07 08:16:50:973,1,31,1,0,274,933,31,0 74,0,2024-09-07 08:16:51:334,11572,0.7,11811,0.9,22794,0.7,31218,2.50 74,1,2024-09-07 08:16:50:638,54386,54386,0,0,25906685933,271717225,53889,495,2,382,391494,0 74,2,2024-09-07 08:16:51:005,38666,38666,0,0,3410533,0,4253 74,3,2024-09-07 08:16:51:442,1,31,1,0,192,786,31,0 75,0,2024-09-07 08:16:51:794,8120,4.6,8122,2.5,16033,5.9,21852,3.75 75,1,2024-09-07 08:16:51:592,54739,54739,0,0,25835455472,272377226,54096,592,51,382,391514,0 75,2,2024-09-07 08:16:51:352,41207,41207,0,0,3320194,0,4766 75,3,2024-09-07 08:16:51:067,1,31,2,0,71,178,31,0 76,0,2024-09-07 08:16:50:612,6764,0.4,6772,0.7,13377,0.3,17500,2.25 76,1,2024-09-07 08:16:50:818,54097,54097,0,0,25469830375,273301609,52716,942,439,382,391530,0 76,2,2024-09-07 08:16:51:071,40537,40537,0,0,2099449,0,3064 76,3,2024-09-07 08:16:51:154,1,31,14,0,175,782,31,0 77,0,2024-09-07 08:16:51:729,5445,0.4,5392,0.7,10814,0.3,13823,2.25 77,1,2024-09-07 08:16:50:833,54317,54317,0,0,25746153062,271843365,53576,674,67,383,391512,0 77,2,2024-09-07 08:16:51:287,36051,36051,0,0,1639575,0,1637 77,3,2024-09-07 08:16:51:095,1,31,2,0,131,292,31,0 78,0,2024-09-07 08:16:51:730,8327,3.5,8256,2.0,16716,4.7,22407,3.00 78,1,2024-09-07 08:16:50:615,54827,54827,0,0,24998159991,263867480,54184,606,37,369,391540,0 78,2,2024-09-07 08:16:51:415,37339,37339,0,0,1868243,0,2114 78,3,2024-09-07 08:16:51:133,1,31,1,0,112,512,31,0 79,0,2024-09-07 08:16:51:372,10191,2.9,10494,1.7,21214,4.6,27546,4.75 79,1,2024-09-07 08:16:50:584,54396,54396,0,0,25885574685,272497435,53637,752,7,371,391486,0 79,2,2024-09-07 08:16:51:071,42647,42647,0,0,2353630,0,2679 79,3,2024-09-07 08:16:50:755,1,31,2,0,289,612,31,0 80,0,2024-09-07 08:16:51:103,6338,0.5,6630,0.8,12781,0.4,17094,2.25 80,1,2024-09-07 08:16:51:628,53752,53752,0,0,25563835137,272656966,52280,1357,115,371,391673,0 80,2,2024-09-07 08:16:51:100,40662,40662,0,0,2981654,0,4433 80,3,2024-09-07 08:16:50:583,1,31,10,1,148,754,31,0 81,0,2024-09-07 08:16:51:582,5376,0.4,5447,0.7,10551,0.3,14204,2.50 81,1,2024-09-07 08:16:51:657,54003,54003,0,0,25251185749,271416996,52310,1498,195,383,391646,0 81,2,2024-09-07 08:16:51:127,34362,34362,0,0,2758774,0,3993 81,3,2024-09-07 08:16:51:117,1,31,2,1,193,536,31,0 82,0,2024-09-07 08:16:51:552,7354,3.6,7282,2.1,14563,5.5,19776,3.25 82,1,2024-09-07 08:16:50:583,53764,53763,0,1,26161893465,280569561,52025,1207,531,384,391558,1 82,2,2024-09-07 08:16:51:704,37543,37543,0,0,1968345,0,2230 82,3,2024-09-07 08:16:51:754,1,31,211,1,211,547,31,0 83,0,2024-09-07 08:16:51:536,11839,1.6,11799,1.4,22833,1.8,31383,3.50 83,1,2024-09-07 08:16:50:559,54081,54081,0,0,25280556054,269806533,52952,1029,100,384,391553,0 83,2,2024-09-07 08:16:50:766,42182,42182,0,0,3093553,0,3119 83,3,2024-09-07 08:16:50:757,1,31,89,0,91,430,31,0 84,0,2024-09-07 08:16:51:866,6390,9.0,6227,8.4,12515,3.0,17914,5.00 84,1,2024-09-07 08:16:51:039,54302,54302,0,0,26011587407,278222636,52967,1091,244,369,391630,0 84,2,2024-09-07 08:16:50:584,40567,40567,0,0,2868216,0,3801 84,3,2024-09-07 08:16:51:143,1,31,8,1,24,313,31,0 85,0,2024-09-07 08:16:51:019,5670,0.4,5644,0.6,11961,0.3,15163,2.00 85,1,2024-09-07 08:16:50:564,53700,53700,0,0,25678302594,276486380,52047,1359,294,384,391505,0 85,2,2024-09-07 08:16:50:876,35665,35665,0,0,2396064,0,3656 85,3,2024-09-07 08:16:50:714,1,31,2,1,115,412,31,0 86,0,2024-09-07 08:16:50:935,6070,0.4,6339,0.6,12193,0.2,16406,2.00 86,1,2024-09-07 08:16:50:825,53898,53898,0,0,26105864472,277822066,52579,1139,180,367,391506,0 86,2,2024-09-07 08:16:50:900,37723,37722,1,0,2677284,0,5004 86,3,2024-09-07 08:16:50:591,1,31,54,1,199,609,31,0 87,0,2024-09-07 08:16:51:319,11654,2.8,11649,1.7,23450,3.9,31477,2.75 87,1,2024-09-07 08:16:50:565,54165,54165,0,0,25690360290,273739243,52983,1095,87,369,391569,0 87,2,2024-09-07 08:16:51:067,40286,40286,0,0,1999146,0,2148 87,3,2024-09-07 08:16:51:797,1,31,1,1,322,824,31,0 88,0,2024-09-07 08:16:51:515,7068,2.4,7054,1.7,14083,3.3,18889,2.25 88,1,2024-09-07 08:16:50:573,54243,54243,0,0,25795766785,273781521,53229,922,92,367,391747,0 88,2,2024-09-07 08:16:50:693,40883,40883,0,0,2992864,0,3583 88,3,2024-09-07 08:16:51:272,1,31,17,1,77,493,31,0 89,0,2024-09-07 08:16:51:784,6884,0.4,6674,0.6,13251,0.3,17424,1.75 89,1,2024-09-07 08:16:50:562,53993,53993,0,0,26078302381,279455721,52606,778,609,384,391866,0 89,2,2024-09-07 08:16:51:137,38828,38828,0,0,2574667,0,2726 89,3,2024-09-07 08:16:51:797,1,31,0,0,325,918,31,0 90,0,2024-09-07 08:16:51:633,5036,0.2,5118,0.4,10520,0.2,13468,1.50 90,1,2024-09-07 08:16:50:593,54261,54261,0,0,25229368708,271343847,52670,1464,127,382,391531,0 90,2,2024-09-07 08:16:51:409,36567,36567,0,0,2784581,0,2635 90,3,2024-09-07 08:16:50:939,1,31,200,1,200,353,31,0 91,0,2024-09-07 08:16:50:961,10208,0.5,9862,0.6,20533,0.5,27570,1.75 91,1,2024-09-07 08:16:50:580,54257,54257,0,0,26312028581,279839695,53072,1018,167,384,391914,0 91,2,2024-09-07 08:16:51:332,37444,37444,0,0,1886323,0,1997 91,3,2024-09-07 08:16:50:599,1,31,2,1,155,406,31,0 92,0,2024-09-07 08:16:51:542,9759,2.5,10059,1.6,19242,4.2,25879,2.00 92,1,2024-09-07 08:16:50:593,54224,54224,0,0,25760250910,270500874,53840,337,47,383,391569,0 92,2,2024-09-07 08:16:51:369,42919,42919,0,0,2603758,0,2279 92,3,2024-09-07 08:16:51:008,1,31,8,1,68,390,31,0 93,0,2024-09-07 08:16:50:968,6739,0.5,6850,0.7,13092,0.3,17509,1.75 93,1,2024-09-07 08:16:50:818,54042,54042,0,0,25593862140,273785254,52647,964,431,368,391689,0 93,2,2024-09-07 08:16:50:945,40850,40850,0,0,2174484,0,2509 93,3,2024-09-07 08:16:51:429,1,31,6,1,143,369,31,0 94,0,2024-09-07 08:16:51:611,5510,0.3,5462,0.5,10778,0.2,14335,1.50 94,1,2024-09-07 08:16:50:570,54023,54023,0,0,25295606853,269187129,53040,926,57,382,391850,0 94,2,2024-09-07 08:16:50:769,35238,35238,0,0,2036433,0,2443 94,3,2024-09-07 08:16:51:693,1,31,97,1,231,756,31,0 95,0,2024-09-07 08:16:51:359,7673,0.7,7614,0.8,15776,0.7,20755,1.75 95,1,2024-09-07 08:16:50:871,54386,54386,0,0,25445532872,267151253,54059,323,4,368,391590,0 95,2,2024-09-07 08:16:51:017,36994,36994,0,0,2346042,0,3308 95,3,2024-09-07 08:16:51:723,1,31,2,0,135,346,31,0 96,0,2024-09-07 08:16:51:044,12187,2.3,12002,1.5,24141,3.4,31680,2.25 96,1,2024-09-07 08:16:51:614,54032,54032,0,0,25794808425,278733787,52372,1093,567,385,391551,0 96,2,2024-09-07 08:16:51:298,42831,42831,0,0,3319983,0,4038 96,3,2024-09-07 08:16:51:141,1,31,8,2,36,430,31,0 97,0,2024-09-07 08:16:51:479,5933,0.9,5914,0.9,11713,1.1,15784,2.25 97,1,2024-09-07 08:16:50:764,53948,53948,0,0,25847949806,277845545,51955,1477,516,367,391547,0 97,2,2024-09-07 08:16:50:616,40520,40520,0,0,2652485,0,3036 97,3,2024-09-07 08:16:50:587,1,31,3,1,165,497,31,0 98,0,2024-09-07 08:16:51:722,5304,0.3,5279,0.5,10631,0.2,14143,1.50 98,1,2024-09-07 08:16:50:592,53812,53812,0,0,25964613645,276917087,52783,987,42,382,391588,0 98,2,2024-09-07 08:16:50:777,34953,34953,0,0,2419681,0,3080 98,3,2024-09-07 08:16:50:703,1,31,53,1,155,509,31,0 99,0,2024-09-07 08:16:51:481,6985,0.5,6931,0.7,13951,0.4,19171,2.00 99,1,2024-09-07 08:16:51:739,54916,54916,0,0,25026662889,264258653,54311,444,161,382,391602,0 99,2,2024-09-07 08:16:51:424,37663,37663,0,0,2539469,0,1858 99,3,2024-09-07 08:16:50:592,1,31,2,1,129,431,31,0 100,0,2024-09-07 08:16:51:481,11974,1.0,11840,3.4,23790,3.0,31898,2.50 100,1,2024-09-07 08:16:50:556,53754,53754,0,0,25330502786,277330899,51292,2119,343,382,391505,0 100,2,2024-09-07 08:16:51:849,41318,41307,11,0,3646310,0,5417 100,3,2024-09-07 08:16:51:745,1,31,8,1,443,1408,31,0 101,0,2024-09-07 08:16:51:768,6272,7.7,6086,5.0,12142,6.9,17879,3.75 101,1,2024-09-07 08:16:50:571,53715,53715,0,0,25661761657,275017633,52518,771,426,370,391531,0 101,2,2024-09-07 08:16:51:770,40803,40803,0,0,2883591,0,4644 101,3,2024-09-07 08:16:50:960,1,31,9,1,448,669,31,0 102,0,2024-09-07 08:16:51:040,6425,0.7,6685,0.7,13322,0.5,17204,2.00 102,1,2024-09-07 08:16:51:154,54337,54337,0,0,26118128082,278819349,52939,1053,345,369,391647,0 102,2,2024-09-07 08:16:51:741,37249,37249,0,0,1871419,0,1945 102,3,2024-09-07 08:16:51:621,1,31,2,0,410,646,31,0 103,0,2024-09-07 08:16:51:627,5899,0.3,5920,0.5,11218,0.2,15574,1.75 103,1,2024-09-07 08:16:51:639,54579,54579,0,0,25696521157,271175475,54117,412,50,381,391680,0 103,2,2024-09-07 08:16:50:591,37647,37647,0,0,2005036,0,1878 103,3,2024-09-07 08:16:50:761,1,31,1,1,486,812,31,0 104,0,2024-09-07 08:16:51:038,11646,1.1,11770,1.1,22625,1.3,31105,2.00 104,1,2024-09-07 08:16:51:613,54673,54673,0,0,25280653082,271629621,53169,1184,320,368,391948,0 104,2,2024-09-07 08:16:51:684,38257,38257,0,0,2755601,0,3941 104,3,2024-09-07 08:16:51:418,1,31,8,2,70,456,31,0 105,0,2024-09-07 08:16:51:050,7929,4.5,7637,2.7,15842,7.4,21543,4.50 105,1,2024-09-07 08:16:50:561,53961,53961,0,0,25445348156,273180518,52228,1166,567,367,391797,0 105,2,2024-09-07 08:16:51:337,41228,41228,0,0,2607711,0,3314 105,3,2024-09-07 08:16:51:306,1,31,2,1,169,670,31,0 106,0,2024-09-07 08:16:51:001,6563,0.4,6622,0.7,13547,0.3,17603,2.00 106,1,2024-09-07 08:16:51:756,54258,54258,0,0,25985006825,277826128,52804,1252,202,371,391767,0 106,2,2024-09-07 08:16:50:757,40101,40101,0,0,2588211,0,1818 106,3,2024-09-07 08:16:50:682,1,31,1,1,171,304,31,0 107,0,2024-09-07 08:16:51:105,5491,0.3,5418,0.5,10729,0.2,13772,1.75 107,1,2024-09-07 08:16:50:586,54250,54250,0,0,25968919608,276973770,53130,994,126,382,391848,0 107,2,2024-09-07 08:16:51:292,36105,36104,1,0,2135850,0,5024 107,3,2024-09-07 08:16:51:757,1,31,7,1,353,718,31,0 108,0,2024-09-07 08:16:51:864,8362,1.7,8531,1.2,16773,2.2,22669,2.00 108,1,2024-09-07 08:16:51:294,53881,53881,0,0,25583801694,271505043,52740,1036,105,372,391563,0 108,2,2024-09-07 08:16:51:761,37526,37526,0,0,2463413,0,2647 108,3,2024-09-07 08:16:51:332,1,31,11,1,60,444,31,0 109,0,2024-09-07 08:16:51:856,10786,1.9,10876,1.3,21069,3.1,28568,2.25 109,1,2024-09-07 08:16:50:598,54423,54423,0,0,26248842883,279560819,53633,738,52,385,391664,0 109,2,2024-09-07 08:16:50:947,41779,41779,0,0,3029505,0,3617 109,3,2024-09-07 08:16:51:141,1,31,12,1,120,577,31,0 110,0,2024-09-07 08:16:51:821,6519,0.3,6368,0.6,13308,0.2,17153,1.75 110,1,2024-09-07 08:16:51:663,54150,54150,0,0,25386081913,268167753,53197,670,283,371,391564,0 110,2,2024-09-07 08:16:51:304,41338,41338,0,0,2352010,0,2915 110,3,2024-09-07 08:16:50:699,1,31,1,0,183,364,31,0 111,0,2024-09-07 08:16:51:429,5270,0.2,5309,0.4,10515,0.1,14263,1.50 111,1,2024-09-07 08:16:51:001,54569,54569,0,0,26629838095,277869704,54190,367,12,383,391597,0 111,2,2024-09-07 08:16:51:117,35300,35300,0,0,2056804,0,2763 111,3,2024-09-07 08:16:50:913,1,31,119,1,119,764,31,0 112,0,2024-09-07 08:16:50:942,7452,0.8,7470,0.8,15018,0.7,20006,2.00 112,1,2024-09-07 08:16:50:825,54157,54157,0,0,26161585652,280221144,52680,1131,346,382,391522,0 112,2,2024-09-07 08:16:51:141,36833,36832,1,0,3103479,0,5036 112,3,2024-09-07 08:16:50:591,1,31,9,1,282,563,31,0 113,0,2024-09-07 08:16:50:919,11908,0.5,11776,0.7,23886,0.5,32480,1.75 113,1,2024-09-07 08:16:51:685,54105,54105,0,0,25826913361,275829047,52400,1325,380,368,391661,0 113,2,2024-09-07 08:16:51:304,42530,42530,0,0,2455755,0,3021 113,3,2024-09-07 08:16:50:693,1,31,1,1,166,528,31,0 114,0,2024-09-07 08:16:50:887,6683,5.8,6801,4.4,13355,3.3,18477,2.50 114,1,2024-09-07 08:16:50:735,53936,53936,0,0,26087304215,282014952,51886,1211,839,381,391513,0 114,2,2024-09-07 08:16:50:879,40540,40540,0,0,3104713,0,3925 114,3,2024-09-07 08:16:51:278,1,31,9,1,159,539,31,0 115,0,2024-09-07 08:16:50:582,5879,0.2,5900,0.5,11739,0.1,15332,1.50 115,1,2024-09-07 08:16:50:574,54093,54093,0,0,26405257195,284554215,52056,1564,473,384,391502,0 115,2,2024-09-07 08:16:51:126,36491,36491,0,0,1900027,0,2072 115,3,2024-09-07 08:16:51:003,1,31,1,0,159,344,31,0 116,0,2024-09-07 08:16:51:732,6228,0.3,6135,0.6,12368,0.2,16472,1.75 116,1,2024-09-07 08:16:50:805,53807,53807,0,0,25445701726,275754602,52445,504,858,382,391573,0 116,2,2024-09-07 08:16:51:754,37599,37599,0,0,2121390,0,2295 116,3,2024-09-07 08:16:50:912,1,31,8,2,233,668,31,0 117,0,2024-09-07 08:16:50:990,11724,2.1,11719,1.4,23491,3.4,31692,2.25 117,1,2024-09-07 08:16:51:582,54244,54244,0,0,25401738370,271642207,52913,1108,223,371,391601,0 117,2,2024-09-07 08:16:51:150,40238,40238,0,0,2450567,0,3700 117,3,2024-09-07 08:16:51:061,1,31,26,1,490,1275,31,0 118,0,2024-09-07 08:16:51:780,6822,2.1,6925,1.5,14318,3.2,18802,2.50 118,1,2024-09-07 08:16:50:666,54286,54286,0,0,25383312977,268987829,53543,668,75,368,391725,0 118,2,2024-09-07 08:16:51:589,41188,41188,0,0,2369646,0,1854 118,3,2024-09-07 08:16:51:765,1,31,4,1,229,645,31,0 119,0,2024-09-07 08:16:51:340,6433,0.3,6612,0.6,13495,0.2,17379,1.75 119,1,2024-09-07 08:16:50:551,54155,54155,0,0,25684289107,273580192,52955,1067,133,371,391576,0 119,2,2024-09-07 08:16:51:263,38768,38768,0,0,2332135,0,2222 119,3,2024-09-07 08:16:51:333,1,31,210,1,443,1403,31,0 120,0,2024-09-07 08:16:51:565,5186,0.3,5163,0.6,10379,0.2,13484,1.75 120,1,2024-09-07 08:16:50:869,54295,54295,0,0,25753007339,273885469,53391,895,9,369,391702,0 120,2,2024-09-07 08:16:50:774,36632,36631,1,0,3017346,0,5281 120,3,2024-09-07 08:16:51:292,1,31,9,1,241,727,31,0 121,0,2024-09-07 08:16:51:762,9969,2.8,9966,1.7,19954,4.3,27624,2.50 121,1,2024-09-07 08:16:51:710,54040,54040,0,0,26136676519,278705689,52820,983,237,370,391633,0 121,2,2024-09-07 08:16:51:127,37675,37675,0,0,3093508,0,4127 121,3,2024-09-07 08:16:50:727,1,31,2,1,101,388,31,0 122,0,2024-09-07 08:16:51:771,9557,3.7,9257,3.3,19297,4.1,26005,2.75 122,1,2024-09-07 08:16:50:866,53557,53557,0,0,25754893531,279009541,51508,1679,370,369,392130,0 122,2,2024-09-07 08:16:51:338,42455,42455,0,0,3275630,0,2574 122,3,2024-09-07 08:16:50:596,1,31,1,1,226,1114,31,0 123,0,2024-09-07 08:16:50:970,6713,0.4,6459,0.7,13452,0.3,17538,1.75 123,1,2024-09-07 08:16:50:570,53937,53937,0,0,25534046213,277767434,51305,2178,454,371,391823,0 123,2,2024-09-07 08:16:51:023,40535,40534,1,0,2824112,0,5215 123,3,2024-09-07 08:16:51:136,1,31,1,1,160,599,31,0 124,0,2024-09-07 08:16:50:957,5586,0.3,5558,0.5,10555,0.2,14379,1.75 124,1,2024-09-07 08:16:51:024,54634,54634,0,0,25559630629,267031457,54456,176,2,370,392129,0 124,2,2024-09-07 08:16:51:014,35068,35068,0,0,1823123,0,2477 124,3,2024-09-07 08:16:50:768,1,31,8,2,490,1159,31,0 125,0,2024-09-07 08:16:51:484,7751,1.0,7622,1.0,15396,1.3,20866,2.00 125,1,2024-09-07 08:16:50:871,54034,54034,0,0,25588424976,271812382,53012,1000,22,385,391702,0 125,2,2024-09-07 08:16:51:133,37064,37064,0,0,2352999,0,2180 125,3,2024-09-07 08:16:51:127,1,31,9,1,93,668,31,0 126,0,2024-09-07 08:16:51:466,12157,2.0,12373,1.3,23859,2.9,32099,2.25 126,1,2024-09-07 08:16:50:559,54452,54452,0,0,26583707548,278337739,53982,461,9,368,391719,0 126,2,2024-09-07 08:16:50:610,42948,42948,0,0,2682408,0,3186 126,3,2024-09-07 08:16:50:910,1,31,122,1,122,363,31,0 127,0,2024-09-07 08:16:51:615,6024,0.3,5914,0.6,11906,0.2,15769,1.75 127,1,2024-09-07 08:16:50:585,54136,54136,0,0,25418438804,267291840,53396,718,22,366,391614,0 127,2,2024-09-07 08:16:50:640,41215,41215,0,0,2223629,0,1744 127,3,2024-09-07 08:16:51:272,1,31,2,0,99,312,31,0 128,0,2024-09-07 08:16:51:551,5264,0.3,5393,0.5,10479,0.2,14057,1.75 128,1,2024-09-07 08:16:51:609,54404,54404,0,0,25894255644,272517451,53727,611,66,369,391605,0 128,2,2024-09-07 08:16:51:384,34607,34607,0,0,2333831,0,1919 128,3,2024-09-07 08:16:50:777,1,31,17,1,20,365,31,0 129,0,2024-09-07 08:16:51:053,6987,0.7,7108,0.8,13986,0.9,19113,2.00 129,1,2024-09-07 08:16:50:569,54198,54198,0,0,25773228134,275871536,52834,1099,265,379,391835,0 129,2,2024-09-07 08:16:50:692,37136,37136,0,0,2235981,0,2446 129,3,2024-09-07 08:16:50:696,1,31,173,1,173,750,31,0 130,0,2024-09-07 08:16:51:735,12152,1.5,11976,1.2,23978,2.0,32406,2.25 130,1,2024-09-07 08:16:50:603,54503,54503,0,0,25926764840,276038560,53444,1047,12,381,391520,0 130,2,2024-09-07 08:16:51:128,41673,41673,0,0,2901506,0,4067 130,3,2024-09-07 08:16:51:291,1,31,8,1,207,434,31,0 131,0,2024-09-07 08:16:51:941,6580,2.5,6318,1.9,13172,2.9,17959,2.50 131,1,2024-09-07 08:16:51:822,54359,54359,0,0,25528325803,271839445,53436,737,186,385,391865,0 131,2,2024-09-07 08:16:50:569,40122,40122,0,0,2352102,0,2415 131,3,2024-09-07 08:16:51:693,1,31,4,1,29,181,31,0 132,0,2024-09-07 08:16:51:436,6454,0.4,6630,0.8,13165,0.4,17277,2.00 132,1,2024-09-07 08:16:50:582,53896,53896,0,0,25414584495,273936622,52311,1322,263,383,391533,0 132,2,2024-09-07 08:16:50:706,37162,37162,0,0,3715239,0,4606 132,3,2024-09-07 08:16:51:714,1,31,12,3,356,689,31,0 133,0,2024-09-07 08:16:51:535,5716,0.3,5811,0.5,11805,0.2,15436,1.75 133,1,2024-09-07 08:16:50:592,53666,53666,0,0,25705437527,275937265,52192,1391,83,385,391513,0 133,2,2024-09-07 08:16:51:089,37805,37805,0,0,2244515,0,1758 133,3,2024-09-07 08:16:51:298,1,31,19,1,38,208,31,0 134,0,2024-09-07 08:16:50:975,11497,0.5,11456,0.7,23096,0.5,31037,2.00 134,1,2024-09-07 08:16:50:590,54173,54173,0,0,25459476767,273328143,52614,812,747,366,391508,0 134,2,2024-09-07 08:16:51:758,38582,38582,0,0,2127804,0,2026 134,3,2024-09-07 08:16:50:755,1,31,2,1,63,372,31,0 135,0,2024-09-07 08:16:51:111,7970,3.2,7944,2.7,16827,3.3,21515,2.75 135,1,2024-09-07 08:16:51:590,54019,54019,0,0,26134556269,275776570,53250,753,16,383,391528,0 135,2,2024-09-07 08:16:50:694,41580,41580,0,0,2421514,0,3016 135,3,2024-09-07 08:16:51:004,1,31,3,0,68,321,31,0 136,0,2024-09-07 08:16:51:660,6792,0.5,6734,0.8,13425,0.4,17662,2.25 136,1,2024-09-07 08:16:51:448,54363,54363,0,0,25044715644,267732328,53028,1194,141,385,391501,0 136,2,2024-09-07 08:16:51:135,40925,40925,0,0,2056917,0,2199 136,3,2024-09-07 08:16:51:109,1,31,13,1,108,507,31,0 137,0,2024-09-07 08:16:50:933,5564,0.4,5453,0.7,10754,0.2,13838,2.00 137,1,2024-09-07 08:16:50:583,54240,54240,0,0,25882934100,275260503,53079,1073,88,368,391548,0 137,2,2024-09-07 08:16:51:712,35595,35595,0,0,2572496,0,2294 137,3,2024-09-07 08:16:50:774,1,31,4,1,227,502,31,0 138,0,2024-09-07 08:16:51:774,8180,0.9,8487,1.0,16940,1.0,22665,2.50 138,1,2024-09-07 08:16:51:694,53852,53852,0,0,25332228506,270926930,52408,1212,232,371,391573,0 138,2,2024-09-07 08:16:50:592,37663,37663,0,0,2142247,0,3263 138,3,2024-09-07 08:16:50:610,1,31,17,1,68,292,31,0 139,0,2024-09-07 08:16:51:381,10237,5.9,10175,3.3,20765,6.2,27970,4.50 139,1,2024-09-07 08:16:50:589,53812,53812,0,0,25194346010,276881078,51440,1662,710,383,391511,0 139,2,2024-09-07 08:16:50:693,42530,42530,0,0,2768434,0,2425 139,3,2024-09-07 08:16:51:662,1,31,7,1,120,624,31,0 140,0,2024-09-07 08:16:51:601,6510,0.4,6339,0.7,12925,0.3,17262,1.75 140,1,2024-09-07 08:16:51:543,54777,54777,0,0,25835442382,270588843,54161,425,191,365,391482,0 140,2,2024-09-07 08:16:50:687,40987,40987,0,0,3063909,0,3388 140,3,2024-09-07 08:16:50:772,1,31,8,1,25,280,31,0 141,0,2024-09-07 08:16:51:712,5190,0.2,5404,0.4,10441,0.1,14292,1.50 141,1,2024-09-07 08:16:50:870,54383,54383,0,0,26263809567,278925825,53022,1030,331,382,391532,0 141,2,2024-09-07 08:16:51:686,35311,35311,0,0,1959615,0,2342 141,3,2024-09-07 08:16:51:047,1,31,1,0,53,263,31,0 142,0,2024-09-07 08:16:51:315,7605,0.4,7459,0.7,15006,0.4,20096,1.75 142,1,2024-09-07 08:16:50:595,54225,54225,0,0,25753499190,270442727,53976,248,1,384,391649,0 142,2,2024-09-07 08:16:51:299,37054,37054,0,0,2250365,0,2293 142,3,2024-09-07 08:16:51:750,1,31,1,1,53,256,31,0 143,0,2024-09-07 08:16:51:416,11885,1.4,11859,1.2,23927,1.8,32456,2.25 143,1,2024-09-07 08:16:50:562,54299,54299,0,0,26274365112,275657699,53511,765,23,367,391608,0 143,2,2024-09-07 08:16:50:775,42643,42643,0,0,2758073,0,2669 143,3,2024-09-07 08:16:51:142,1,31,1,1,236,901,31,0 144,0,2024-09-07 08:16:51:519,6236,4.2,6464,10.0,12944,4.0,18322,3.00 144,1,2024-09-07 08:16:50:572,53960,53960,0,0,25339048913,272918901,52541,1231,188,383,391562,0 144,2,2024-09-07 08:16:51:757,40826,40826,0,0,2803803,0,3473 144,3,2024-09-07 08:16:51:740,1,31,8,1,112,537,31,0 145,0,2024-09-07 08:16:51:391,5643,0.4,5625,0.7,11853,0.3,15238,2.00 145,1,2024-09-07 08:16:50:561,53468,53468,0,0,24943976533,265213950,52638,678,152,383,391615,0 145,2,2024-09-07 08:16:51:429,35739,35739,0,0,2827994,0,3903 145,3,2024-09-07 08:16:50:900,1,31,1,0,151,719,31,0 146,0,2024-09-07 08:16:51:657,6204,0.3,6141,0.6,12307,0.2,16367,2.00 146,1,2024-09-07 08:16:51:603,54265,54265,0,0,25518234700,273950647,52422,1545,298,370,391512,0 146,2,2024-09-07 08:16:51:698,37657,37657,0,0,2049251,0,2149 146,3,2024-09-07 08:16:51:273,1,31,2,0,54,294,31,0 147,0,2024-09-07 08:16:51:741,11724,2.2,11516,1.6,23048,2.9,31706,2.75 147,1,2024-09-07 08:16:51:386,54532,54532,0,0,25967420410,272996474,53807,598,127,369,391791,0 147,2,2024-09-07 08:16:51:093,40786,40786,0,0,2526801,0,2789 147,3,2024-09-07 08:16:50:913,1,31,2,1,141,587,31,0 0,0,2024-09-07 08:17:01:754,5090,0.5,5104,0.8,10779,0.4,13784,2.25 0,1,2024-09-07 08:17:00:809,55797,55797,0,0,26806535914,286887372,54664,1010,123,373,391673,0 0,2,2024-09-07 08:17:01:089,37798,37798,0,0,2957664,0,4480 0,3,2024-09-07 08:17:00:981,1,32,19,2,247,677,32,0 1,0,2024-09-07 08:17:01:815,10390,3.0,10340,2.1,20887,4.5,28597,3.00 1,1,2024-09-07 08:17:00:568,55407,55407,0,0,26462259463,284491507,53818,1066,523,372,391857,0 1,2,2024-09-07 08:17:00:658,39061,39061,0,0,2555881,0,3267 1,3,2024-09-07 08:17:01:304,1,32,2,1,167,451,32,0 2,0,2024-09-07 08:17:01:591,9528,3.9,9663,2.3,19088,6.1,25435,4.50 2,1,2024-09-07 08:17:00:860,55439,55439,0,0,26816751381,285103060,54430,806,203,382,391527,0 2,2,2024-09-07 08:17:01:274,42622,42622,0,0,2905649,0,3304 2,3,2024-09-07 08:17:00:693,1,32,6,1,87,374,32,0 3,0,2024-09-07 08:17:01:756,6854,0.5,6814,0.7,13669,0.4,17789,2.25 3,1,2024-09-07 08:17:01:618,55499,55499,0,0,26680526940,287140043,53558,1555,386,383,391494,0 3,2,2024-09-07 08:17:01:144,41743,41720,23,0,3451167,0,5851 3,3,2024-09-07 08:17:01:753,1,32,1,1,103,295,32,0 4,0,2024-09-07 08:17:01:791,5448,0.4,5700,0.6,11291,0.3,14813,2.00 4,1,2024-09-07 08:17:00:605,55753,55753,0,0,26069417771,280904868,54219,1126,408,372,391682,0 4,2,2024-09-07 08:17:01:025,36389,36389,0,0,3005976,0,4528 4,3,2024-09-07 08:17:01:027,1,32,13,8,287,751,32,0 5,0,2024-09-07 08:17:01:419,8181,1.2,8048,1.1,16190,1.9,22019,2.25 5,1,2024-09-07 08:17:00:804,55585,55585,0,0,26624269569,283514264,54337,1064,184,368,392005,0 5,2,2024-09-07 08:17:01:832,38282,38282,0,0,2217365,0,1932 5,3,2024-09-07 08:17:01:739,1,32,12,1,182,864,32,0 6,0,2024-09-07 08:17:00:948,12147,3.1,12044,2.0,23895,5.6,32031,4.50 6,1,2024-09-07 08:17:00:748,56078,56078,0,0,26703969874,281262516,55347,679,52,382,391603,0 6,2,2024-09-07 08:17:01:117,44226,44226,0,0,2837967,0,2232 6,3,2024-09-07 08:17:01:274,1,32,1,1,277,588,32,0 7,0,2024-09-07 08:17:01:538,6074,0.4,6103,0.7,12340,0.3,16625,2.00 7,1,2024-09-07 08:17:00:867,55526,55526,0,0,26911074679,284280438,54792,670,64,384,391558,0 7,2,2024-09-07 08:17:00:778,42147,42147,0,0,2707825,0,2981 7,3,2024-09-07 08:17:00:857,1,32,18,0,83,410,32,0 8,0,2024-09-07 08:17:01:423,5725,0.2,5531,0.4,10988,0.2,14734,1.50 8,1,2024-09-07 08:17:01:021,55735,55735,0,0,26867409122,295473342,52742,1758,1235,368,391724,0 8,2,2024-09-07 08:17:00:803,35704,35704,0,0,2524897,0,2986 8,3,2024-09-07 08:17:00:586,1,32,9,1,229,672,32,0 9,0,2024-09-07 08:17:01:124,7477,0.4,7266,0.6,15170,0.3,20380,1.75 9,1,2024-09-07 08:17:00:551,55902,55902,0,0,27269673193,292016927,54199,1222,481,370,391553,0 9,2,2024-09-07 08:17:01:084,38382,38382,0,0,2623616,0,3360 9,3,2024-09-07 08:17:01:755,1,32,3,0,127,460,32,0 10,0,2024-09-07 08:17:01:613,12474,0.4,12349,0.5,24957,0.4,32974,1.75 10,1,2024-09-07 08:17:00:670,55564,55564,0,0,26281358924,283670524,53290,1893,381,383,391541,0 10,2,2024-09-07 08:17:00:788,43138,43138,0,0,3018430,0,2940 10,3,2024-09-07 08:17:00:882,1,32,5,0,118,301,32,0 11,0,2024-09-07 08:17:01:046,6538,5.2,6342,3.1,13302,7.4,18794,3.50 11,1,2024-09-07 08:17:00:573,55749,55749,0,0,26770266565,289458976,53468,1545,736,384,391485,0 11,2,2024-09-07 08:17:01:130,41806,41806,0,0,2632208,0,2635 11,3,2024-09-07 08:17:01:308,1,32,2,1,720,997,32,0 12,0,2024-09-07 08:17:01:006,6872,0.3,6848,0.5,13638,0.2,17759,1.50 12,1,2024-09-07 08:17:00:948,55945,55945,0,0,26176357064,278604027,54932,990,23,372,391611,0 12,2,2024-09-07 08:17:01:553,38337,38337,0,0,2520829,0,2254 12,3,2024-09-07 08:17:01:059,1,32,8,1,358,935,32,0 13,0,2024-09-07 08:17:01:384,6080,0.3,6145,0.6,12311,0.2,16523,1.75 13,1,2024-09-07 08:17:01:528,56066,56066,0,0,26131951441,277124756,55448,579,39,384,391563,0 13,2,2024-09-07 08:17:00:612,39019,39019,0,0,1997827,0,3287 13,3,2024-09-07 08:17:01:769,1,32,3,1,153,516,32,0 14,0,2024-09-07 08:17:00:574,12095,0.5,12008,0.7,23923,0.5,32232,1.75 14,1,2024-09-07 08:17:01:562,56641,56641,0,0,26382415026,276076197,56049,563,29,365,391546,0 14,2,2024-09-07 08:17:00:779,40119,40119,0,0,2529595,0,2077 14,3,2024-09-07 08:17:01:116,1,32,906,1,906,1193,32,0 15,0,2024-09-07 08:17:01:571,8078,4.9,7961,2.6,15999,6.7,21848,4.25 15,1,2024-09-07 08:17:01:612,56039,56039,0,0,26415033016,278411218,55526,511,2,381,391536,0 15,2,2024-09-07 08:17:01:000,42891,42891,0,0,2173944,0,3043 15,3,2024-09-07 08:17:01:404,1,32,22,0,538,950,32,0 16,0,2024-09-07 08:17:00:985,6832,0.4,6896,0.7,13702,0.3,17926,2.25 16,1,2024-09-07 08:17:00:568,55822,55822,0,0,26325908203,280953565,54881,923,18,373,391543,0 16,2,2024-09-07 08:17:01:445,40407,40407,0,0,3410944,0,4719 16,3,2024-09-07 08:17:01:141,1,32,9,3,231,881,32,0 17,0,2024-09-07 08:17:01:803,5477,0.4,5517,0.7,10628,0.3,13830,2.25 17,1,2024-09-07 08:17:00:572,55510,55510,0,0,26375890828,285110507,53906,1086,518,369,391671,0 17,2,2024-09-07 08:17:01:667,37785,37785,0,0,2445528,0,2857 17,3,2024-09-07 08:17:00:585,1,32,4,0,268,913,32,0 18,0,2024-09-07 08:17:00:954,8604,1.1,8766,1.1,17623,1.4,23467,2.50 18,1,2024-09-07 08:17:01:641,55528,55528,0,0,26044364095,277966275,54312,999,217,370,391526,0 18,2,2024-09-07 08:17:01:760,37739,37739,0,0,3211787,0,3541 18,3,2024-09-07 08:17:00:930,1,32,163,0,163,691,32,0 19,0,2024-09-07 08:17:01:550,10377,3.8,10528,2.2,20685,6.7,27380,6.00 19,1,2024-09-07 08:17:00:567,55632,55632,0,0,26659656085,285708241,53901,1569,162,367,391696,0 19,2,2024-09-07 08:17:01:753,43636,43636,0,0,3226160,0,3988 19,3,2024-09-07 08:17:01:129,1,32,1,0,524,658,32,0 20,0,2024-09-07 08:17:01:414,6725,0.3,6853,0.5,13337,0.2,17411,2.00 20,1,2024-09-07 08:17:00:568,55827,55827,0,0,26911254686,284322845,55129,695,3,370,391492,0 20,2,2024-09-07 08:17:00:928,42081,42081,0,0,2399991,0,1616 20,3,2024-09-07 08:17:00:596,1,32,14,1,99,574,32,0 21,0,2024-09-07 08:17:01:131,5780,0.4,5685,0.6,11238,0.3,14931,2.00 21,1,2024-09-07 08:17:01:542,55632,55632,0,0,26260090281,279910076,54441,1057,134,368,391962,0 21,2,2024-09-07 08:17:01:076,36188,36188,0,0,2341446,0,3747 21,3,2024-09-07 08:17:01:403,1,32,20,1,93,487,32,0 22,0,2024-09-07 08:17:01:733,7489,2.4,7414,1.6,14863,4.0,19866,3.00 22,1,2024-09-07 08:17:01:033,55495,55495,0,0,25833406504,281562394,53023,1802,670,382,391667,0 22,2,2024-09-07 08:17:00:764,38268,38268,0,0,2091451,0,1805 22,3,2024-09-07 08:17:01:075,1,32,4,0,48,184,32,0 23,0,2024-09-07 08:17:01:398,12138,1.8,12501,1.3,24591,2.6,33115,3.00 23,1,2024-09-07 08:17:01:004,56546,56546,0,0,27091481831,284973998,55706,605,235,368,391482,0 23,2,2024-09-07 08:17:01:097,44567,44567,0,0,2419976,0,2078 23,3,2024-09-07 08:17:01:754,1,32,1,0,645,918,32,0 24,0,2024-09-07 08:17:00:877,7205,1.0,7157,1.1,14406,1.8,19392,1.75 24,1,2024-09-07 08:17:00:591,56368,56368,0,0,26587401447,280231758,55760,604,4,371,391640,0 24,2,2024-09-07 08:17:01:084,41677,41677,0,0,3538338,0,2942 24,3,2024-09-07 08:17:01:688,1,32,13,2,234,586,32,0 25,0,2024-09-07 08:17:01:380,6100,0.3,6023,0.4,11687,0.2,15613,1.50 25,1,2024-09-07 08:17:00:559,56089,56089,0,0,26523256723,280004228,55427,646,16,373,391544,0 25,2,2024-09-07 08:17:01:607,36435,36435,0,0,2518389,0,1963 25,3,2024-09-07 08:17:01:008,1,32,1,1,50,312,32,0 26,0,2024-09-07 08:17:01:728,6308,0.2,6221,0.4,13044,0.1,17026,1.50 26,1,2024-09-07 08:17:01:546,55776,55776,0,0,25995914309,282153683,53547,1531,698,382,391519,0 26,2,2024-09-07 08:17:00:862,39277,39277,0,0,2749983,0,2809 26,3,2024-09-07 08:17:01:712,1,32,15,1,796,957,32,0 27,0,2024-09-07 08:17:01:855,12080,0.4,12222,0.6,24417,0.4,32902,1.75 27,1,2024-09-07 08:17:01:709,56813,56813,0,0,27505411733,285791173,56582,230,1,381,391526,0 27,2,2024-09-07 08:17:00:871,41025,41025,0,0,4094172,0,3409 27,3,2024-09-07 08:17:01:020,1,32,6,1,86,316,32,0 28,0,2024-09-07 08:17:01:397,7237,1.8,7305,1.5,14624,3.4,19376,2.00 28,1,2024-09-07 08:17:00:810,56233,56233,0,0,27482340798,289227525,55724,500,9,383,391497,0 28,2,2024-09-07 08:17:01:768,42014,42014,0,0,2154273,0,2609 28,3,2024-09-07 08:17:01:778,1,32,12,1,502,844,32,0 29,0,2024-09-07 08:17:01:368,6938,0.3,6785,0.5,13401,0.2,17682,1.75 29,1,2024-09-07 08:17:01:561,56628,56628,0,0,26706419427,278959622,56016,440,172,369,391621,0 29,2,2024-09-07 08:17:00:871,40037,40037,0,0,1826351,0,2026 29,3,2024-09-07 08:17:00:964,1,32,1,1,105,478,32,0 30,0,2024-09-07 08:17:01:468,5320,0.3,5149,0.5,10700,0.2,13807,1.50 30,1,2024-09-07 08:17:00:587,55939,55939,0,0,27091457074,286076955,55287,590,62,382,391524,0 30,2,2024-09-07 08:17:01:276,38576,38576,0,0,1564184,0,1567 30,3,2024-09-07 08:17:00:596,1,32,1,0,110,205,32,0 31,0,2024-09-07 08:17:01:774,10632,0.5,10687,0.7,21489,0.4,28917,1.75 31,1,2024-09-07 08:17:00:564,55897,55897,0,0,26960743669,278699417,55778,117,2,356,391553,0 31,2,2024-09-07 08:17:01:276,39284,39284,0,0,2911922,0,3525 31,3,2024-09-07 08:17:01:706,1,32,1,0,129,264,32,0 32,0,2024-09-07 08:17:01:437,9753,2.9,9886,1.6,19835,4.0,26058,2.00 32,1,2024-09-07 08:17:00:804,55892,55892,0,0,26334328579,279422789,55010,856,26,383,391595,0 32,2,2024-09-07 08:17:00:939,43159,43159,0,0,2771143,0,3155 32,3,2024-09-07 08:17:01:021,1,32,8,1,110,459,32,0 33,0,2024-09-07 08:17:01:502,6874,0.3,6848,0.5,13731,0.2,17752,1.75 33,1,2024-09-07 08:17:00:591,55533,55533,0,0,26659429889,283464719,54165,1258,110,369,391497,0 33,2,2024-09-07 08:17:00:774,41128,41095,33,0,4171027,0,7012 33,3,2024-09-07 08:17:00:930,1,32,1,1,27,136,32,0 34,0,2024-09-07 08:17:00:941,5659,0.2,5743,0.4,11120,0.1,14817,1.50 34,1,2024-09-07 08:17:01:050,56102,56102,0,0,26675578564,279267490,55708,391,3,369,391481,0 34,2,2024-09-07 08:17:00:767,36094,36094,0,0,3122413,0,3255 34,3,2024-09-07 08:17:01:688,1,32,2,0,58,273,32,0 35,0,2024-09-07 08:17:00:883,8019,1.9,7982,1.4,15983,2.4,21945,2.25 35,1,2024-09-07 08:17:01:080,55854,55854,0,0,26894086036,285366831,54457,1036,361,385,391587,0 35,2,2024-09-07 08:17:01:587,38171,38171,0,0,2605409,0,2542 35,3,2024-09-07 08:17:00:931,1,32,2,0,219,632,32,0 36,0,2024-09-07 08:17:01:549,12079,3.2,11917,2.0,24422,4.4,32111,3.50 36,1,2024-09-07 08:17:00:584,55476,55476,0,0,26058910697,282803813,52897,1725,854,369,391535,0 36,2,2024-09-07 08:17:01:755,44293,44293,0,0,2710178,0,2554 36,3,2024-09-07 08:17:00:872,1,32,1,0,378,824,32,0 37,0,2024-09-07 08:17:01:403,6259,0.3,6208,0.6,12478,0.2,16624,2.00 37,1,2024-09-07 08:17:00:570,55234,55227,0,7,26498258473,291089930,52663,1057,1507,368,391507,0 37,2,2024-09-07 08:17:01:142,42517,42517,0,0,2227271,0,1992 37,3,2024-09-07 08:17:01:772,1,32,724,0,724,1381,32,0 38,0,2024-09-07 08:17:01:447,5559,0.3,5370,0.6,11169,0.2,14715,1.75 38,1,2024-09-07 08:17:01:607,56447,56447,0,0,26632917627,282186267,55513,894,40,371,391512,0 38,2,2024-09-07 08:17:00:761,36102,36102,0,0,2599552,0,3245 38,3,2024-09-07 08:17:01:002,1,32,1,0,63,276,32,0 39,0,2024-09-07 08:17:01:782,7763,0.8,7656,0.9,14890,0.9,20526,2.00 39,1,2024-09-07 08:17:00:725,56226,56226,0,0,26125626346,278459449,54539,1184,503,366,391524,0 39,2,2024-09-07 08:17:01:421,38343,38343,0,0,2069198,0,2381 39,3,2024-09-07 08:17:00:717,1,32,6,0,189,604,32,0 40,0,2024-09-07 08:17:01:503,12116,1.1,12370,2.3,24353,1.6,32704,3.00 40,1,2024-09-07 08:17:00:591,56127,56127,0,0,26090291106,279750160,54237,1363,527,371,391543,0 40,2,2024-09-07 08:17:01:309,42844,42843,1,0,3383547,0,5137 40,3,2024-09-07 08:17:01:145,1,32,19,1,63,363,32,0 41,0,2024-09-07 08:17:01:036,6205,5.6,6344,10.0,12293,8.8,17633,4.75 41,1,2024-09-07 08:17:00:777,55930,55930,0,0,26822941616,285289601,54595,1142,193,370,391483,0 41,2,2024-09-07 08:17:00:774,41657,41657,0,0,3771264,0,3356 41,3,2024-09-07 08:17:01:676,1,32,15,1,51,144,32,0 42,0,2024-09-07 08:17:01:509,6715,0.5,6688,0.8,13372,0.4,17704,2.25 42,1,2024-09-07 08:17:01:444,55621,55621,0,0,26623613360,288423166,53500,1419,702,381,391511,0 42,2,2024-09-07 08:17:01:140,38074,38074,0,0,2797084,0,3568 42,3,2024-09-07 08:17:01:012,1,32,2,0,100,445,32,0 43,0,2024-09-07 08:17:00:951,6052,0.3,6001,0.6,12513,0.2,16501,2.00 43,1,2024-09-07 08:17:00:576,55733,55733,0,0,26931243514,285955540,54283,859,591,367,391507,0 43,2,2024-09-07 08:17:01:741,38915,38915,0,0,2426682,0,3812 43,3,2024-09-07 08:17:01:754,1,32,18,1,292,790,32,0 44,0,2024-09-07 08:17:00:877,11965,0.5,11994,0.7,23734,0.5,32244,1.75 44,1,2024-09-07 08:17:00:569,56311,56311,0,0,25986026440,271323711,55870,432,9,357,391493,0 44,2,2024-09-07 08:17:01:276,40094,40094,0,0,2009073,0,1592 44,3,2024-09-07 08:17:01:093,1,32,8,1,817,1144,32,0 45,0,2024-09-07 08:17:01:779,7993,4.9,7857,2.6,16425,6.5,22075,2.50 45,1,2024-09-07 08:17:01:016,56121,56121,0,0,27286476272,285391645,55872,249,0,382,391917,0 45,2,2024-09-07 08:17:01:282,42711,42711,0,0,2759898,0,2628 45,3,2024-09-07 08:17:00:934,1,32,1,1,226,501,32,0 46,0,2024-09-07 08:17:00:967,6861,0.3,6917,0.5,13815,0.2,18029,1.75 46,1,2024-09-07 08:17:00:575,56484,56484,0,0,26748606385,278739786,56058,400,26,370,391514,0 46,2,2024-09-07 08:17:00:594,41283,41283,0,0,2427856,0,2920 46,3,2024-09-07 08:17:01:131,1,32,16,0,200,656,32,0 47,0,2024-09-07 08:17:01:118,5451,0.3,5495,0.5,10832,0.2,13826,1.50 47,1,2024-09-07 08:17:00:568,56575,56575,0,0,26718267404,277358890,56368,206,1,368,391481,0 47,2,2024-09-07 08:17:00:933,38067,38067,0,0,2312131,0,2558 47,3,2024-09-07 08:17:01:115,1,32,195,1,529,870,32,0 48,0,2024-09-07 08:17:01:504,8892,0.5,8790,0.7,17496,0.6,23795,2.00 48,1,2024-09-07 08:17:01:022,55793,55793,0,0,26620473020,283854771,54602,1186,5,386,391521,0 48,2,2024-09-07 08:17:00:704,38489,38489,0,0,2051135,0,2083 48,3,2024-09-07 08:17:00:760,1,32,8,1,23,301,32,0 49,0,2024-09-07 08:17:01:718,10946,3.4,10831,1.9,20847,5.4,28743,2.50 49,1,2024-09-07 08:17:01:024,55734,55734,0,0,25902597756,279629728,54000,1025,709,382,391583,0 49,2,2024-09-07 08:17:01:803,43970,43970,0,0,3102595,0,3900 49,3,2024-09-07 08:17:01:416,1,32,1,0,274,652,32,0 50,0,2024-09-07 08:17:01:517,6749,0.3,6684,0.5,13267,0.2,17777,1.75 50,1,2024-09-07 08:17:01:013,56174,56174,0,0,27462001231,291739002,54573,1304,297,370,391530,0 50,2,2024-09-07 08:17:01:084,42381,42381,0,0,2440554,0,2253 50,3,2024-09-07 08:17:01:303,1,32,1,1,335,615,32,0 51,0,2024-09-07 08:17:01:699,5820,0.2,5673,0.4,11223,0.1,15207,1.75 51,1,2024-09-07 08:17:01:686,55531,55531,0,0,26953577960,288002771,53823,926,782,367,391520,0 51,2,2024-09-07 08:17:01:352,36142,36142,0,0,1787869,0,2448 51,3,2024-09-07 08:17:01:027,1,32,1,0,162,415,32,0 52,0,2024-09-07 08:17:01:463,7773,2.1,7659,1.6,15456,3.5,20415,4.00 52,1,2024-09-07 08:17:00:576,55591,55591,0,0,25938926880,281585633,53475,1653,463,368,391498,0 52,2,2024-09-07 08:17:01:763,38319,38319,0,0,2641574,0,4779 52,3,2024-09-07 08:17:00:674,1,32,30,4,1782,2158,32,0 53,0,2024-09-07 08:17:01:739,12138,3.1,11791,2.0,24175,4.1,32833,3.75 53,1,2024-09-07 08:17:00:774,55663,55663,0,0,26784921587,289884071,53312,1782,569,372,391523,0 53,2,2024-09-07 08:17:01:310,44400,44400,0,0,2222806,0,1542 53,3,2024-09-07 08:17:00:700,1,32,7,1,59,238,32,0 54,0,2024-09-07 08:17:01:622,6308,10.0,6346,8.5,12672,3.9,18253,5.75 54,1,2024-09-07 08:17:00:584,55755,55755,0,0,27045369437,287289256,54247,1218,290,367,391520,0 54,2,2024-09-07 08:17:00:870,42341,42335,6,0,2914710,0,5382 54,3,2024-09-07 08:17:00:773,1,32,101,0,111,472,32,0 55,0,2024-09-07 08:17:01:771,5861,0.6,6034,0.9,12141,0.4,15542,2.50 55,1,2024-09-07 08:17:00:772,55515,55515,0,0,26534343669,285383620,53027,1871,617,369,391731,0 55,2,2024-09-07 08:17:00:729,36809,36809,0,0,2285837,0,3275 55,3,2024-09-07 08:17:00:674,1,32,136,0,136,423,32,0 56,0,2024-09-07 08:17:01:572,6513,1.0,6193,1.0,12683,1.5,16850,2.50 56,1,2024-09-07 08:17:00:571,55923,55923,0,0,27399943357,296906192,53637,1703,583,384,391536,0 56,2,2024-09-07 08:17:01:328,38996,38996,0,0,2850977,0,3567 56,3,2024-09-07 08:17:01:076,1,32,16,2,297,619,32,0 57,0,2024-09-07 08:17:00:957,11769,2.8,11599,1.9,23475,4.1,31901,3.75 57,1,2024-09-07 08:17:00:987,56450,56450,0,0,25560136931,270826478,55628,808,14,369,391554,0 57,2,2024-09-07 08:17:01:357,41532,41532,0,0,2855787,0,2687 57,3,2024-09-07 08:17:01:743,1,32,6,1,359,870,32,0 58,0,2024-09-07 08:17:00:569,6391,3.4,6282,2.2,13041,3.5,17402,6.75 58,1,2024-09-07 08:17:00:584,56386,56385,0,1,27375327088,289896576,55113,1115,157,371,391512,1 58,2,2024-09-07 08:17:01:075,42391,42391,0,0,2688612,0,2464 58,3,2024-09-07 08:17:01:081,1,32,1,1,219,609,32,0 59,0,2024-09-07 08:17:01:774,6736,1.2,6756,1.2,13560,1.3,17393,3.00 59,1,2024-09-07 08:17:00:805,56082,56082,0,0,26771272697,282337487,55351,726,5,371,391515,0 59,2,2024-09-07 08:17:00:595,39878,39878,0,0,2242021,0,2206 59,3,2024-09-07 08:17:01:742,1,32,0,0,25,160,32,0 60,0,2024-09-07 08:17:01:718,5330,0.3,5326,0.6,10498,0.2,13855,1.75 60,1,2024-09-07 08:17:00:776,56675,56675,0,0,26639904528,281180191,55786,545,344,370,391672,0 60,2,2024-09-07 08:17:01:159,38503,38503,0,0,1861774,0,2142 60,3,2024-09-07 08:17:01:272,1,32,14,0,124,494,32,0 61,0,2024-09-07 08:17:01:498,10432,2.2,10497,1.5,20677,3.5,28556,2.25 61,1,2024-09-07 08:17:00:787,55750,55750,0,0,26472936485,284547284,53894,1385,471,383,391560,0 61,2,2024-09-07 08:17:01:116,39359,39359,0,0,2430640,0,1846 61,3,2024-09-07 08:17:01:687,1,32,1,1,124,441,32,0 62,0,2024-09-07 08:17:01:744,9750,3.2,10051,1.8,19442,5.0,26060,3.25 62,1,2024-09-07 08:17:01:111,56351,56348,0,3,27269018012,284793638,55816,530,2,368,391586,3 62,2,2024-09-07 08:17:01:651,42795,42795,0,0,2778378,0,2931 62,3,2024-09-07 08:17:01:144,1,32,0,0,287,543,32,0 63,0,2024-09-07 08:17:01:464,6829,0.6,6820,0.9,13623,0.6,17832,2.25 63,1,2024-09-07 08:17:00:825,56497,56494,0,3,26537210967,276771158,56284,209,1,382,391540,3 63,2,2024-09-07 08:17:00:767,41831,41831,0,0,2574717,0,2674 63,3,2024-09-07 08:17:01:739,1,32,0,0,216,627,32,0 64,0,2024-09-07 08:17:01:531,5566,0.5,5576,0.8,11322,0.4,14705,2.00 64,1,2024-09-07 08:17:00:751,55667,55667,0,0,27079410547,294921657,53260,1615,792,371,391604,0 64,2,2024-09-07 08:17:01:161,36742,36723,19,0,2956822,0,6121 64,3,2024-09-07 08:17:01:146,1,32,17,1,163,496,32,0 65,0,2024-09-07 08:17:01:697,7876,4.8,7844,2.8,15479,6.3,21226,5.25 65,1,2024-09-07 08:17:00:888,55880,55880,0,0,26242847601,282660379,54217,1447,216,382,391506,0 65,2,2024-09-07 08:17:01:703,38249,38249,0,0,2979017,0,3367 65,3,2024-09-07 08:17:01:690,1,32,163,1,163,560,32,0 66,0,2024-09-07 08:17:01:771,11606,2.9,11734,1.9,23593,5.3,31451,4.50 66,1,2024-09-07 08:17:01:297,56840,56840,0,0,27003677985,283626358,56206,615,19,381,391537,0 66,2,2024-09-07 08:17:01:133,44347,44347,0,0,2701152,0,2934 66,3,2024-09-07 08:17:01:084,1,32,1,1,291,613,32,0 67,0,2024-09-07 08:17:01:469,6234,0.9,6189,1.1,12265,0.9,16533,2.75 67,1,2024-09-07 08:17:00:776,55779,55778,0,1,26169871211,281674322,54232,1049,497,383,391567,1 67,2,2024-09-07 08:17:00:587,42625,42625,0,0,2695643,0,2889 67,3,2024-09-07 08:17:01:754,1,32,3,0,93,308,32,0 68,0,2024-09-07 08:17:00:717,5500,0.3,5514,0.7,11224,0.2,14660,2.00 68,1,2024-09-07 08:17:00:589,55539,55539,0,0,26576958239,284150774,54374,693,472,383,391591,0 68,2,2024-09-07 08:17:01:045,36544,36544,0,0,2254974,0,4883 68,3,2024-09-07 08:17:00:728,1,32,9,1,63,375,32,0 69,0,2024-09-07 08:17:01:738,7495,2.4,7361,1.7,14576,3.8,19952,3.50 69,1,2024-09-07 08:17:01:023,55285,55285,0,0,27078905401,294419263,53725,698,862,386,391530,0 69,2,2024-09-07 08:17:01:741,38624,38624,0,0,2120898,0,2462 69,3,2024-09-07 08:17:00:773,1,32,0,0,238,454,32,0 70,0,2024-09-07 08:17:01:563,12285,2.7,12263,2.8,24822,1.2,32737,3.25 70,1,2024-09-07 08:17:00:802,56030,56030,0,0,26738958870,280760851,55324,608,98,369,391527,0 70,2,2024-09-07 08:17:01:351,43233,43233,0,0,2560711,0,2433 70,3,2024-09-07 08:17:00:745,1,32,5,1,69,176,32,0 71,0,2024-09-07 08:17:01:365,6313,5.6,6247,7.7,12353,9.4,17914,5.50 71,1,2024-09-07 08:17:01:616,55853,55853,0,0,26640182446,284422604,54241,1366,246,368,391682,0 71,2,2024-09-07 08:17:01:082,42027,42027,0,0,2390019,0,2146 71,3,2024-09-07 08:17:01:755,1,32,5,1,174,397,32,0 72,0,2024-09-07 08:17:01:043,6918,0.4,6758,0.7,13375,0.3,17800,2.75 72,1,2024-09-07 08:17:01:025,56243,56243,0,0,25871475369,274554588,55007,1188,48,370,391514,0 72,2,2024-09-07 08:17:01:767,37338,37338,0,0,3083230,0,2570 72,3,2024-09-07 08:17:01:754,1,32,9,1,325,671,32,0 73,0,2024-09-07 08:17:01:171,5954,0.3,6134,0.6,12422,0.2,16430,2.25 73,1,2024-09-07 08:17:00:773,56215,56215,0,0,26726767531,279746844,55922,291,2,368,391497,0 73,2,2024-09-07 08:17:01:742,38759,38759,0,0,3013224,0,3482 73,3,2024-09-07 08:17:00:976,1,32,4,0,274,937,32,0 74,0,2024-09-07 08:17:01:329,12020,0.6,12233,0.8,23671,0.7,32356,2.50 74,1,2024-09-07 08:17:00:639,56189,56189,0,0,26700468012,279991583,55692,495,2,382,391494,0 74,2,2024-09-07 08:17:01:011,40175,40175,0,0,3463095,0,4253 74,3,2024-09-07 08:17:01:450,1,32,1,0,192,787,32,0 75,0,2024-09-07 08:17:01:783,8256,4.6,8237,2.5,16280,5.9,22167,3.75 75,1,2024-09-07 08:17:01:587,56412,56412,0,0,26774155089,282011373,55769,592,51,382,391514,0 75,2,2024-09-07 08:17:01:357,42460,42460,0,0,3421873,0,4766 75,3,2024-09-07 08:17:01:075,1,32,2,0,71,180,32,0 76,0,2024-09-07 08:17:00:634,6888,0.4,6870,0.7,13609,0.3,17820,2.25 76,1,2024-09-07 08:17:00:811,55867,55867,0,0,26175294796,280942189,54456,972,439,382,391530,0 76,2,2024-09-07 08:17:01:153,41447,41447,0,0,2131440,0,3064 76,3,2024-09-07 08:17:01:152,1,32,7,0,175,789,32,0 77,0,2024-09-07 08:17:01:731,5449,0.4,5398,0.7,10835,0.3,13865,2.25 77,1,2024-09-07 08:17:00:834,56163,56163,0,0,26689022750,281656073,55422,674,67,383,391512,0 77,2,2024-09-07 08:17:01:303,37611,37611,0,0,1802791,0,1637 77,3,2024-09-07 08:17:01:102,1,32,6,0,131,298,32,0 78,0,2024-09-07 08:17:01:733,8705,3.4,8589,1.9,17425,4.7,23335,3.00 78,1,2024-09-07 08:17:00:615,56624,56624,0,0,25917518649,273271799,55981,606,37,369,391540,0 78,2,2024-09-07 08:17:01:409,38642,38642,0,0,1941553,0,2114 78,3,2024-09-07 08:17:01:133,1,32,1,0,112,513,32,0 79,0,2024-09-07 08:17:01:360,10274,2.8,10578,1.7,21429,4.6,27817,4.75 79,1,2024-09-07 08:17:00:585,56086,56086,0,0,26434774711,278308324,55327,752,7,371,391486,0 79,2,2024-09-07 08:17:01:084,43743,43743,0,0,2394495,0,2679 79,3,2024-09-07 08:17:00:751,1,32,1,0,289,613,32,0 80,0,2024-09-07 08:17:01:107,6585,0.5,6842,0.8,13227,0.4,17666,2.25 80,1,2024-09-07 08:17:01:630,55396,55396,0,0,26320244305,280648599,53923,1358,115,371,391673,0 80,2,2024-09-07 08:17:01:097,41897,41897,0,0,3111794,0,4433 80,3,2024-09-07 08:17:00:587,1,32,7,1,148,761,32,0 81,0,2024-09-07 08:17:01:571,5678,0.5,5805,0.8,11155,0.4,15143,2.75 81,1,2024-09-07 08:17:01:651,55793,55793,0,0,26252980683,281830939,54100,1498,195,383,391646,0 81,2,2024-09-07 08:17:01:130,35651,35651,0,0,2880915,0,3993 81,3,2024-09-07 08:17:01:117,1,32,4,1,193,540,32,0 82,0,2024-09-07 08:17:01:547,7619,3.6,7549,2.1,15087,5.4,20528,3.25 82,1,2024-09-07 08:17:00:586,55272,55271,0,1,26991964300,289789513,53376,1358,537,384,391558,1 82,2,2024-09-07 08:17:01:696,38915,38915,0,0,2092948,0,2230 82,3,2024-09-07 08:17:01:760,1,32,108,1,211,655,32,0 83,0,2024-09-07 08:17:01:547,12181,1.9,12208,1.7,23685,2.1,32196,3.75 83,1,2024-09-07 08:17:00:552,55867,55867,0,0,26259272647,280010801,54738,1029,100,384,391553,0 83,2,2024-09-07 08:17:00:783,43470,43470,0,0,3157023,0,3119 83,3,2024-09-07 08:17:00:751,1,32,7,0,91,437,32,0 84,0,2024-09-07 08:17:01:842,6676,8.6,6553,8.3,13148,2.8,18856,4.75 84,1,2024-09-07 08:17:01:044,55877,55877,0,0,26813373929,286946197,54497,1136,244,369,391630,0 84,2,2024-09-07 08:17:00:576,41827,41827,0,0,2950025,0,3801 84,3,2024-09-07 08:17:01:162,1,32,9,1,24,322,32,0 85,0,2024-09-07 08:17:01:028,5790,0.4,5788,0.6,12294,0.3,15571,2.00 85,1,2024-09-07 08:17:00:560,55401,55401,0,0,26430642316,284958727,53732,1375,294,384,391505,0 85,2,2024-09-07 08:17:00:865,36578,36578,0,0,2526325,0,3656 85,3,2024-09-07 08:17:00:685,1,32,7,1,115,419,32,0 86,0,2024-09-07 08:17:00:918,6304,0.4,6549,0.6,12687,0.3,17033,2.00 86,1,2024-09-07 08:17:00:831,55603,55603,0,0,27081685046,288086941,54279,1144,180,367,391506,0 86,2,2024-09-07 08:17:00:859,39055,39054,1,0,2824537,0,5004 86,3,2024-09-07 08:17:00:601,1,32,2,1,199,611,32,0 87,0,2024-09-07 08:17:01:333,12065,2.7,12005,1.7,24215,3.9,32583,2.75 87,1,2024-09-07 08:17:00:551,55974,55974,0,0,26542448826,283120675,54730,1157,87,369,391569,0 87,2,2024-09-07 08:17:01:098,41814,41814,0,0,2084915,0,2148 87,3,2024-09-07 08:17:01:802,1,32,1,1,322,825,32,0 88,0,2024-09-07 08:17:01:461,7235,2.3,7251,1.6,14433,3.2,19343,2.25 88,1,2024-09-07 08:17:00:570,55967,55967,0,0,26625786865,282528828,54936,939,92,367,391747,0 88,2,2024-09-07 08:17:00:689,42305,42305,0,0,3135813,0,3583 88,3,2024-09-07 08:17:01:272,1,32,9,1,77,502,32,0 89,0,2024-09-07 08:17:01:801,6989,0.4,6769,0.6,13437,0.3,17717,1.75 89,1,2024-09-07 08:17:00:555,55689,55689,0,0,26866203264,287942280,54297,783,609,383,391866,0 89,2,2024-09-07 08:17:01:143,39531,39531,0,0,2660123,0,2726 89,3,2024-09-07 08:17:01:801,1,32,4,0,325,922,32,0 90,0,2024-09-07 08:17:01:726,5144,0.2,5221,0.4,10769,0.2,13850,1.50 90,1,2024-09-07 08:17:00:621,55849,55849,0,0,26203590626,281864399,54187,1535,127,382,391531,0 90,2,2024-09-07 08:17:01:414,38079,38079,0,0,3001531,0,2635 90,3,2024-09-07 08:17:00:937,1,32,11,1,200,364,32,0 91,0,2024-09-07 08:17:01:004,10695,0.4,10334,0.6,21510,0.5,28874,1.75 91,1,2024-09-07 08:17:00:626,55643,55643,0,0,27057159719,288101933,54340,1133,170,384,391914,0 91,2,2024-09-07 08:17:01:353,38929,38929,0,0,1977616,0,1997 91,3,2024-09-07 08:17:00:604,1,32,9,1,155,415,32,0 92,0,2024-09-07 08:17:01:454,9886,2.5,10157,1.6,19485,4.2,26163,2.00 92,1,2024-09-07 08:17:00:585,56033,56033,0,0,26571424757,278873160,55649,337,47,383,391569,0 92,2,2024-09-07 08:17:01:350,43901,43901,0,0,2665639,0,2279 92,3,2024-09-07 08:17:01:012,1,32,12,1,68,402,32,0 93,0,2024-09-07 08:17:01:011,6836,0.5,6958,0.7,13288,0.3,17755,1.75 93,1,2024-09-07 08:17:00:826,55800,55800,0,0,26456958247,282901745,54398,971,431,368,391689,0 93,2,2024-09-07 08:17:00:937,41901,41901,0,0,2209335,0,2509 93,3,2024-09-07 08:17:01:406,1,32,2,1,143,371,32,0 94,0,2024-09-07 08:17:01:653,5710,0.3,5653,0.4,11125,0.2,14800,1.50 94,1,2024-09-07 08:17:00:565,55770,55770,0,0,26012844686,276576629,54787,926,57,382,391850,0 94,2,2024-09-07 08:17:00:777,36704,36704,0,0,2087355,0,2443 94,3,2024-09-07 08:17:01:693,1,32,4,1,231,760,32,0 95,0,2024-09-07 08:17:01:395,8096,0.7,8049,0.8,16641,0.7,21891,1.75 95,1,2024-09-07 08:17:00:861,56157,56157,0,0,26174152853,274671636,55830,323,4,368,391590,0 95,2,2024-09-07 08:17:01:021,38366,38366,0,0,2392240,0,3308 95,3,2024-09-07 08:17:01:710,1,32,307,0,307,653,32,0 96,0,2024-09-07 08:17:01:058,12314,2.3,12125,1.5,24417,3.3,31944,2.25 96,1,2024-09-07 08:17:01:586,55877,55877,0,0,26478756617,285996455,54217,1093,567,385,391551,0 96,2,2024-09-07 08:17:01:290,43922,43922,0,0,3363399,0,4038 96,3,2024-09-07 08:17:01:141,1,32,9,2,36,439,32,0 97,0,2024-09-07 08:17:01:333,6245,0.8,6216,0.9,12310,1.0,16561,2.25 97,1,2024-09-07 08:17:00:763,55690,55690,0,0,26686641787,286528313,53695,1479,516,367,391547,0 97,2,2024-09-07 08:17:00:614,41824,41824,0,0,2710410,0,3036 97,3,2024-09-07 08:17:00:572,1,32,4,1,165,501,32,0 98,0,2024-09-07 08:17:01:731,5525,0.3,5521,0.5,11088,0.2,14766,1.50 98,1,2024-09-07 08:17:00:586,55599,55599,0,0,26830741756,286104597,54566,991,42,382,391588,0 98,2,2024-09-07 08:17:00:777,36105,36105,0,0,2525271,0,3080 98,3,2024-09-07 08:17:00:705,1,32,4,1,155,513,32,0 99,0,2024-09-07 08:17:01:481,7436,0.5,7353,0.7,14888,0.5,20390,2.00 99,1,2024-09-07 08:17:01:756,55981,55981,0,0,25837543628,273219335,55251,536,194,382,391602,0 99,2,2024-09-07 08:17:01:426,38913,38913,0,0,2640223,0,1858 99,3,2024-09-07 08:17:00:583,1,32,10,1,129,441,32,0 100,0,2024-09-07 08:17:01:597,12259,1.0,12115,3.3,24342,2.9,32530,2.50 100,1,2024-09-07 08:17:00:558,55561,55561,0,0,26036159701,284934391,53097,2121,343,382,391505,0 100,2,2024-09-07 08:17:01:824,42733,42722,11,0,3774590,0,5417 100,3,2024-09-07 08:17:01:742,1,32,8,1,443,1416,32,0 101,0,2024-09-07 08:17:01:710,6637,7.6,6433,4.7,12853,6.4,18822,3.75 101,1,2024-09-07 08:17:00:560,55526,55526,0,0,26389680774,283846324,54104,975,447,370,391531,0 101,2,2024-09-07 08:17:01:757,42238,42238,0,0,2969401,0,4644 101,3,2024-09-07 08:17:00:965,1,32,3,1,448,672,32,0 102,0,2024-09-07 08:17:01:007,6616,0.7,6864,0.7,13699,0.5,17657,2.00 102,1,2024-09-07 08:17:01:154,56167,56167,0,0,26950812986,287534461,54769,1053,345,369,391647,0 102,2,2024-09-07 08:17:01:744,37999,37999,0,0,1948015,0,1945 102,3,2024-09-07 08:17:01:617,1,32,11,0,410,657,32,0 103,0,2024-09-07 08:17:01:696,6265,0.3,6242,0.5,11829,0.2,16492,1.75 103,1,2024-09-07 08:17:01:651,56279,56279,0,0,26597538711,281661369,55560,642,77,381,391680,0 103,2,2024-09-07 08:17:00:592,39147,39147,0,0,2104682,0,1878 103,3,2024-09-07 08:17:00:772,1,32,36,1,486,848,32,0 104,0,2024-09-07 08:17:01:021,12063,1.1,12205,1.1,23504,1.3,32205,2.00 104,1,2024-09-07 08:17:01:599,56342,56342,0,0,26099324806,280336022,54829,1193,320,368,391948,0 104,2,2024-09-07 08:17:01:677,39645,39645,0,0,2914282,0,3941 104,3,2024-09-07 08:17:01:417,1,32,301,2,301,757,32,0 105,0,2024-09-07 08:17:01:045,8058,4.5,7743,2.7,16079,7.3,21847,4.50 105,1,2024-09-07 08:17:00:571,55733,55733,0,0,26291521198,282166607,53988,1178,567,367,391797,0 105,2,2024-09-07 08:17:01:362,42449,42449,0,0,2763077,0,3314 105,3,2024-09-07 08:17:01:309,1,32,16,1,169,686,32,0 106,0,2024-09-07 08:17:01:036,6677,0.4,6749,0.7,13795,0.3,17932,2.00 106,1,2024-09-07 08:17:01:756,56069,56069,0,0,26725791309,285670138,54615,1252,202,371,391767,0 106,2,2024-09-07 08:17:00:777,40940,40940,0,0,2641364,0,1818 106,3,2024-09-07 08:17:00:677,1,32,6,1,171,310,32,0 107,0,2024-09-07 08:17:01:129,5495,0.3,5430,0.5,10742,0.2,13795,1.75 107,1,2024-09-07 08:17:00:587,55989,55989,0,0,26745629504,285092889,54869,994,126,382,391848,0 107,2,2024-09-07 08:17:01:313,37656,37655,1,0,2208043,0,5024 107,3,2024-09-07 08:17:01:782,1,32,44,1,353,762,32,0 108,0,2024-09-07 08:17:01:789,8724,1.6,8891,1.2,17447,2.2,23664,2.00 108,1,2024-09-07 08:17:01:318,55730,55730,0,0,26571769693,281755402,54589,1036,105,371,391563,0 108,2,2024-09-07 08:17:01:760,38785,38785,0,0,2537494,0,2647 108,3,2024-09-07 08:17:01:345,1,32,8,1,60,452,32,0 109,0,2024-09-07 08:17:01:826,10889,1.9,10969,1.3,21254,3.1,28824,2.25 109,1,2024-09-07 08:17:00:588,56185,56185,0,0,26898661544,286425683,55393,740,52,385,391664,0 109,2,2024-09-07 08:17:00:924,42963,42963,0,0,3065781,0,3617 109,3,2024-09-07 08:17:01:150,1,32,8,1,120,585,32,0 110,0,2024-09-07 08:17:01:799,6720,0.3,6591,0.6,13774,0.2,17723,1.75 110,1,2024-09-07 08:17:01:646,55963,55963,0,0,26417312958,278759553,55008,672,283,371,391564,0 110,2,2024-09-07 08:17:01:313,42614,42614,0,0,2417835,0,2915 110,3,2024-09-07 08:17:00:694,1,32,1,0,183,365,32,0 111,0,2024-09-07 08:17:01:429,5587,0.2,5608,0.4,11106,0.1,15121,1.50 111,1,2024-09-07 08:17:01:004,56350,56350,0,0,27547687756,287316014,55971,367,12,383,391690,0 111,2,2024-09-07 08:17:01:125,36580,36580,0,0,2108426,0,2763 111,3,2024-09-07 08:17:00:929,1,32,18,1,119,782,32,0 112,0,2024-09-07 08:17:00:950,7729,0.8,7756,0.8,15517,0.7,20719,2.00 112,1,2024-09-07 08:17:00:830,55889,55889,0,0,26887035523,287882295,54412,1131,346,382,391522,0 112,2,2024-09-07 08:17:01:134,38160,38159,1,0,3197989,0,5036 112,3,2024-09-07 08:17:00:592,1,32,14,1,282,577,32,0 113,0,2024-09-07 08:17:00:925,12446,0.7,12309,0.8,24921,0.7,33972,2.00 113,1,2024-09-07 08:17:01:693,55786,55786,0,0,26535884317,283888545,53942,1461,383,368,391661,0 113,2,2024-09-07 08:17:01:303,43828,43828,0,0,2542934,0,3021 113,3,2024-09-07 08:17:00:685,1,32,1,1,166,529,32,0 114,0,2024-09-07 08:17:00:895,6998,5.7,7106,4.2,14006,3.2,19318,2.50 114,1,2024-09-07 08:17:00:802,55647,55647,0,0,26994362372,291832456,53567,1241,839,381,391513,0 114,2,2024-09-07 08:17:00:880,41909,41909,0,0,3190412,0,3925 114,3,2024-09-07 08:17:01:287,1,32,6,1,159,545,32,0 115,0,2024-09-07 08:17:00:560,6010,0.2,6069,0.5,12029,0.1,15726,1.50 115,1,2024-09-07 08:17:00:571,55877,55877,0,0,27290870034,293807290,53840,1564,473,384,391502,0 115,2,2024-09-07 08:17:01:129,37389,37389,0,0,2008458,0,2072 115,3,2024-09-07 08:17:01:008,1,32,4,0,159,348,32,0 116,0,2024-09-07 08:17:01:713,6503,0.3,6377,0.6,12879,0.2,17112,1.75 116,1,2024-09-07 08:17:00:813,55589,55589,0,0,26419835290,286690127,54108,623,858,382,391573,0 116,2,2024-09-07 08:17:01:753,38928,38928,0,0,2394448,0,2295 116,3,2024-09-07 08:17:00:948,1,32,19,2,233,687,32,0 117,0,2024-09-07 08:17:00:978,12075,2.0,12140,1.4,24273,3.3,32685,2.25 117,1,2024-09-07 08:17:01:601,56027,56027,0,0,26136373826,279312745,54696,1108,223,371,391601,0 117,2,2024-09-07 08:17:01:129,41734,41734,0,0,2543404,0,3700 117,3,2024-09-07 08:17:01:074,1,32,6,1,490,1281,32,0 118,0,2024-09-07 08:17:01:818,7001,2.1,7083,1.4,14633,3.2,19243,2.50 118,1,2024-09-07 08:17:00:586,55953,55953,0,0,26326582828,278785487,55210,668,75,368,391725,0 118,2,2024-09-07 08:17:01:587,42494,42494,0,0,2712696,0,2781 118,3,2024-09-07 08:17:01:767,1,32,10,1,229,655,32,0 119,0,2024-09-07 08:17:01:397,6553,0.3,6689,0.6,13687,0.2,17656,1.75 119,1,2024-09-07 08:17:00:568,55780,55780,0,0,26726099662,284427047,54580,1067,133,371,391576,0 119,2,2024-09-07 08:17:01:270,39405,39405,0,0,2447438,0,2222 119,3,2024-09-07 08:17:01:366,1,32,2,1,443,1405,32,0 120,0,2024-09-07 08:17:01:565,5298,0.3,5280,0.6,10607,0.2,13847,1.75 120,1,2024-09-07 08:17:00:868,55959,55959,0,0,26492281956,282011028,54989,961,9,369,391702,0 120,2,2024-09-07 08:17:00:776,38042,38041,1,0,3079264,0,5281 120,3,2024-09-07 08:17:01:306,1,32,12,1,241,739,32,0 121,0,2024-09-07 08:17:01:712,10468,2.7,10442,1.7,20943,4.2,28940,2.50 121,1,2024-09-07 08:17:01:666,55896,55896,0,0,27047476534,288100350,54671,987,238,370,391807,0 121,2,2024-09-07 08:17:01:132,39158,39158,0,0,3330869,0,4127 121,3,2024-09-07 08:17:00:727,1,32,14,1,101,402,32,0 122,0,2024-09-07 08:17:01:793,9658,3.7,9361,3.3,19498,4.0,26311,2.75 122,1,2024-09-07 08:17:00:860,55299,55299,0,0,26499598465,286687585,53250,1679,370,369,392130,0 122,2,2024-09-07 08:17:01:359,43402,43402,0,0,3448463,0,2574 122,3,2024-09-07 08:17:00:594,1,32,1,1,226,1115,32,0 123,0,2024-09-07 08:17:00:967,6828,0.4,6570,0.7,13676,0.3,17777,1.75 123,1,2024-09-07 08:17:00:559,55701,55701,0,0,26331962035,286429339,53052,2195,454,371,391823,0 123,2,2024-09-07 08:17:01:023,41580,41579,1,0,2866298,0,5215 123,3,2024-09-07 08:17:01:133,1,32,2,1,160,601,32,0 124,0,2024-09-07 08:17:00:948,5778,0.3,5774,0.5,10967,0.2,14869,1.75 124,1,2024-09-07 08:17:01:026,56411,56411,0,0,26419230008,275847335,56233,176,2,370,392129,0 124,2,2024-09-07 08:17:01:016,36498,36498,0,0,1866655,0,2477 124,3,2024-09-07 08:17:00:762,1,32,12,2,490,1171,32,0 125,0,2024-09-07 08:17:01:455,8213,1.0,8058,1.0,16277,1.2,22012,2.00 125,1,2024-09-07 08:17:00:865,55773,55773,0,0,26598372363,282214040,54751,1000,22,385,391702,0 125,2,2024-09-07 08:17:01:122,38438,38438,0,0,2407683,0,2180 125,3,2024-09-07 08:17:01:129,1,32,10,1,93,678,32,0 126,0,2024-09-07 08:17:01:481,12275,2.0,12513,1.3,24084,2.8,32390,2.25 126,1,2024-09-07 08:17:00:566,56225,56225,0,0,27442856535,287313090,55755,461,9,368,391719,0 126,2,2024-09-07 08:17:00:615,44111,44111,0,0,2711623,0,3186 126,3,2024-09-07 08:17:00:933,1,32,1,1,122,364,32,0 127,0,2024-09-07 08:17:01:680,6302,0.3,6243,0.5,12513,0.2,16524,1.75 127,1,2024-09-07 08:17:00:577,55906,55906,0,0,26516713611,278611420,55166,718,22,366,391614,0 127,2,2024-09-07 08:17:00:640,42482,42482,0,0,2273311,0,1744 127,3,2024-09-07 08:17:01:282,1,32,5,0,99,317,32,0 128,0,2024-09-07 08:17:01:548,5480,0.3,5604,0.5,10922,0.2,14700,1.75 128,1,2024-09-07 08:17:01:610,56157,56157,0,0,26608377376,280226789,55478,613,66,369,391605,0 128,2,2024-09-07 08:17:01:390,35792,35792,0,0,2562075,0,2107 128,3,2024-09-07 08:17:00:772,1,32,13,1,20,378,32,0 129,0,2024-09-07 08:17:01:051,7466,0.8,7596,0.9,14945,0.9,20389,2.25 129,1,2024-09-07 08:17:00:667,55738,55738,0,0,26585929699,285108042,54187,1282,269,379,391835,0 129,2,2024-09-07 08:17:00:686,38380,38380,0,0,2333267,0,2446 129,3,2024-09-07 08:17:00:703,1,32,2,1,173,752,32,0 130,0,2024-09-07 08:17:01:750,12407,1.4,12231,1.2,24552,2.0,33170,2.25 130,1,2024-09-07 08:17:00:585,56144,56144,0,0,26581007330,283669595,54961,1156,27,381,391520,0 130,2,2024-09-07 08:17:01:126,43119,43119,0,0,3025672,0,4067 130,3,2024-09-07 08:17:01:297,1,32,2,1,207,436,32,0 131,0,2024-09-07 08:17:01:938,6926,2.4,6694,1.8,13859,2.9,18886,2.50 131,1,2024-09-07 08:17:01:823,56180,56180,0,0,26372610004,281071132,55205,789,186,385,391865,0 131,2,2024-09-07 08:17:00:577,41672,41672,0,0,2468251,0,2415 131,3,2024-09-07 08:17:01:704,1,32,52,1,52,233,32,0 132,0,2024-09-07 08:17:01:464,6643,0.4,6821,0.8,13537,0.4,17746,2.00 132,1,2024-09-07 08:17:00:595,55598,55598,0,0,26135339931,281447938,54013,1322,263,383,391533,0 132,2,2024-09-07 08:17:00:705,37828,37828,0,0,3766716,0,4606 132,3,2024-09-07 08:17:01:688,1,32,8,3,356,697,32,0 133,0,2024-09-07 08:17:01:566,6048,0.3,6142,0.6,12522,0.2,16339,1.75 133,1,2024-09-07 08:17:00:597,55381,55381,0,0,26517418186,284714556,53900,1398,83,383,391513,0 133,2,2024-09-07 08:17:01:113,39265,39265,0,0,2409784,0,1781 133,3,2024-09-07 08:17:01:345,1,32,187,1,187,395,32,0 134,0,2024-09-07 08:17:00:959,11937,0.5,11881,0.8,23972,0.6,32049,2.00 134,1,2024-09-07 08:17:00:584,55944,55944,0,0,26290000147,282210109,54379,818,747,366,391508,0 134,2,2024-09-07 08:17:01:760,40195,40195,0,0,2281024,0,2026 134,3,2024-09-07 08:17:00:749,1,32,1,1,63,373,32,0 135,0,2024-09-07 08:17:01:124,8093,3.2,8079,2.7,17058,3.2,21820,2.75 135,1,2024-09-07 08:17:01:598,55744,55744,0,0,26819885463,283068916,54975,753,16,383,391528,0 135,2,2024-09-07 08:17:00:695,42828,42828,0,0,2480823,0,3016 135,3,2024-09-07 08:17:01:003,1,32,4,0,68,325,32,0 136,0,2024-09-07 08:17:01:639,6924,0.5,6858,0.8,13693,0.4,17973,2.25 136,1,2024-09-07 08:17:01:564,56065,56065,0,0,25845970251,276098487,54730,1194,141,385,391518,0 136,2,2024-09-07 08:17:01:142,41860,41860,0,0,2125213,0,2199 136,3,2024-09-07 08:17:01:114,1,32,11,1,108,518,32,0 137,0,2024-09-07 08:17:00:965,5569,0.4,5458,0.7,10764,0.2,13848,2.00 137,1,2024-09-07 08:17:00:594,55915,55915,0,0,26643301893,283886212,54609,1217,89,368,391548,0 137,2,2024-09-07 08:17:01:709,37037,37037,0,0,2805382,0,2294 137,3,2024-09-07 08:17:00:774,1,32,1,1,227,503,32,0 138,0,2024-09-07 08:17:01:776,8527,0.9,8832,0.9,17659,1.0,23610,2.25 138,1,2024-09-07 08:17:01:703,55579,55579,0,0,26331461684,281543890,54075,1272,232,371,391573,0 138,2,2024-09-07 08:17:00:595,39000,39000,0,0,2202171,0,3263 138,3,2024-09-07 08:17:00:610,1,32,12,1,68,304,32,0 139,0,2024-09-07 08:17:01:440,10329,5.9,10268,3.3,20935,6.2,28216,4.50 139,1,2024-09-07 08:17:00:572,55376,55376,0,0,25779131656,283017749,53004,1662,710,383,391511,0 139,2,2024-09-07 08:17:00:701,43736,43736,0,0,2844306,0,2425 139,3,2024-09-07 08:17:01:666,1,32,12,1,120,636,32,0 140,0,2024-09-07 08:17:01:621,6713,0.4,6549,0.7,13361,0.3,17816,1.75 140,1,2024-09-07 08:17:01:541,56582,56582,0,0,26674700592,279374982,55966,425,191,365,391482,0 140,2,2024-09-07 08:17:00:688,42226,42226,0,0,3090292,0,3388 140,3,2024-09-07 08:17:00:771,1,32,8,1,25,288,32,0 141,0,2024-09-07 08:17:01:714,5499,0.2,5741,0.4,11111,0.1,15113,1.50 141,1,2024-09-07 08:17:00:860,56160,56160,0,0,27198657411,288724004,54798,1031,331,382,391532,0 141,2,2024-09-07 08:17:01:688,36665,36665,0,0,2027294,0,2342 141,3,2024-09-07 08:17:01:051,1,32,2,0,53,265,32,0 142,0,2024-09-07 08:17:01:444,7897,0.4,7745,0.7,15583,0.4,20843,1.75 142,1,2024-09-07 08:17:00:588,56060,56060,0,0,26627643477,279501099,55811,248,1,384,391649,0 142,2,2024-09-07 08:17:01:317,38353,38353,0,0,2320860,0,2293 142,3,2024-09-07 08:17:01:746,1,32,7,1,53,263,32,0 143,0,2024-09-07 08:17:01:436,12409,1.5,12391,1.2,24999,1.8,33859,2.25 143,1,2024-09-07 08:17:00:562,56066,56066,0,0,27031642102,283627741,55276,767,23,367,391608,0 143,2,2024-09-07 08:17:00:773,43871,43871,0,0,2807706,0,2669 143,3,2024-09-07 08:17:01:140,1,32,1,1,236,902,32,0 144,0,2024-09-07 08:17:01:609,6547,4.1,6795,9.7,13559,3.7,19204,2.75 144,1,2024-09-07 08:17:00:588,55546,55546,0,0,26114582424,281558675,54045,1313,188,383,391602,0 144,2,2024-09-07 08:17:01:757,42073,42073,0,0,2889686,0,3473 144,3,2024-09-07 08:17:01:746,1,32,8,1,112,545,32,0 145,0,2024-09-07 08:17:01:372,5779,0.4,5758,0.7,12143,0.3,15648,2.00 145,1,2024-09-07 08:17:00:553,55148,55148,0,0,25728286232,275015874,53939,962,247,383,391615,0 145,2,2024-09-07 08:17:01:430,36774,36774,0,0,2902486,0,3903 145,3,2024-09-07 08:17:00:931,1,32,2,0,151,721,32,0 146,0,2024-09-07 08:17:01:613,6456,0.3,6378,0.6,12810,0.2,17081,1.75 146,1,2024-09-07 08:17:01:587,56006,56006,0,0,26033401999,279416484,54163,1545,298,370,391512,0 146,2,2024-09-07 08:17:01:697,39070,39070,0,0,2122826,0,2149 146,3,2024-09-07 08:17:01:279,1,32,6,0,54,300,32,0 147,0,2024-09-07 08:17:01:729,12135,2.1,11904,1.5,23810,2.9,32814,2.75 147,1,2024-09-07 08:17:01:398,56333,56333,0,0,26850662847,282056630,55608,598,127,369,391791,0 147,2,2024-09-07 08:17:01:016,42164,42164,0,0,2595242,0,2789 147,3,2024-09-07 08:17:00:932,1,32,1,1,141,588,32,0 0,0,2024-09-07 08:17:11:773,5325,0.5,5341,0.8,11310,0.4,14741,2.25 0,1,2024-09-07 08:17:10:806,57598,57598,0,0,27640139722,295956006,56427,1048,123,373,391673,0 0,2,2024-09-07 08:17:11:078,39280,39280,0,0,3013783,0,4480 0,3,2024-09-07 08:17:10:980,1,33,13,2,247,690,33,0 1,0,2024-09-07 08:17:11:814,10814,3.0,10821,2.0,21846,4.5,29901,3.00 1,1,2024-09-07 08:17:10:583,57205,57205,0,0,27245023689,292891996,55615,1067,523,372,391857,0 1,2,2024-09-07 08:17:10:694,40459,40459,0,0,2642432,0,3267 1,3,2024-09-07 08:17:11:343,1,33,1,1,167,452,33,0 2,0,2024-09-07 08:17:11:574,9640,3.8,9779,2.2,19314,6.1,25743,4.25 2,1,2024-09-07 08:17:10:863,57237,57237,0,0,27649007820,294036763,56216,818,203,382,391531,0 2,2,2024-09-07 08:17:11:278,43673,43673,0,0,3019310,0,3304 2,3,2024-09-07 08:17:10:691,1,33,1,1,87,375,33,0 3,0,2024-09-07 08:17:11:777,6974,0.5,6925,0.7,13905,0.4,18125,2.25 3,1,2024-09-07 08:17:11:625,57335,57335,0,0,27447970104,295202645,55394,1555,386,383,391494,0 3,2,2024-09-07 08:17:11:143,42833,42810,23,0,3512444,0,5851 3,3,2024-09-07 08:17:11:755,1,33,1,1,103,296,33,0 4,0,2024-09-07 08:17:11:822,5544,0.4,5790,0.6,11481,0.3,14813,2.00 4,1,2024-09-07 08:17:10:601,57475,57475,0,0,27032166875,291669765,55784,1276,415,372,391682,0 4,2,2024-09-07 08:17:11:018,37987,37987,0,0,3161408,0,4528 4,3,2024-09-07 08:17:11:030,1,33,12,8,287,763,33,0 5,0,2024-09-07 08:17:11:391,8563,1.2,8428,1.1,17003,1.9,22924,2.25 5,1,2024-09-07 08:17:10:773,57340,57340,0,0,27359982000,293664375,55547,1397,396,368,392005,0 5,2,2024-09-07 08:17:11:830,39537,39537,0,0,2329731,0,1932 5,3,2024-09-07 08:17:11:734,1,33,10,1,182,874,33,0 6,0,2024-09-07 08:17:10:970,12234,3.1,12142,2.0,24114,5.6,32282,4.50 6,1,2024-09-07 08:17:10:766,57810,57810,0,0,27418248261,289321794,57018,740,52,382,391603,0 6,2,2024-09-07 08:17:11:122,45296,45296,0,0,2912733,0,2232 6,3,2024-09-07 08:17:11:280,1,33,2,1,277,590,33,0 7,0,2024-09-07 08:17:11:563,6346,0.4,6367,0.7,12885,0.3,17196,2.00 7,1,2024-09-07 08:17:10:853,57350,57350,0,0,27583763865,292244329,56496,790,64,384,391558,0 7,2,2024-09-07 08:17:10:784,43415,43415,0,0,2774342,0,2981 7,3,2024-09-07 08:17:10:851,1,33,9,0,83,419,33,0 8,0,2024-09-07 08:17:11:386,5998,0.3,5804,0.5,11481,0.2,15581,1.50 8,1,2024-09-07 08:17:11:038,57284,57284,0,0,27796624978,305307940,54273,1776,1235,368,391724,0 8,2,2024-09-07 08:17:10:803,37029,37029,0,0,2629562,0,2986 8,3,2024-09-07 08:17:10:608,1,33,39,1,229,711,33,0 9,0,2024-09-07 08:17:11:209,7860,0.4,7628,0.6,15905,0.4,21076,1.75 9,1,2024-09-07 08:17:10:554,57620,57620,0,0,27828316393,297942422,55917,1222,481,370,391553,0 9,2,2024-09-07 08:17:11:100,39671,39671,0,0,2697760,0,3360 9,3,2024-09-07 08:17:11:768,1,33,1,0,127,461,33,0 10,0,2024-09-07 08:17:11:614,12815,0.4,12735,0.6,25662,0.4,33875,1.75 10,1,2024-09-07 08:17:10:591,57325,57325,0,0,26962326340,290982545,55051,1893,381,383,391541,0 10,2,2024-09-07 08:17:10:769,44561,44561,0,0,3159497,0,2940 10,3,2024-09-07 08:17:10:873,1,33,1,0,118,302,33,0 11,0,2024-09-07 08:17:11:017,6877,5.0,6689,3.0,13980,7.2,19631,3.50 11,1,2024-09-07 08:17:10:578,57504,57504,0,0,27789178215,300213633,55221,1546,737,384,391485,0 11,2,2024-09-07 08:17:11:127,43225,43225,0,0,2726995,0,2635 11,3,2024-09-07 08:17:11:322,1,33,51,1,720,1048,33,0 12,0,2024-09-07 08:17:10:983,7028,0.3,7033,0.5,13994,0.2,18166,1.50 12,1,2024-09-07 08:17:10:957,57666,57666,0,0,26824283734,285386461,56652,991,23,372,391611,0 12,2,2024-09-07 08:17:11:564,39288,39288,0,0,2552538,0,2254 12,3,2024-09-07 08:17:11:065,1,33,18,1,358,953,33,0 13,0,2024-09-07 08:17:11:405,6355,0.3,6458,0.5,12896,0.2,17145,1.75 13,1,2024-09-07 08:17:11:537,57720,57720,0,0,26951485267,285954771,57097,584,39,384,391563,0 13,2,2024-09-07 08:17:10:609,40573,40573,0,0,2086898,0,3287 13,3,2024-09-07 08:17:11:770,1,33,1,1,153,517,33,0 14,0,2024-09-07 08:17:10:576,12509,0.5,12439,0.7,24751,0.5,33238,1.75 14,1,2024-09-07 08:17:11:564,58385,58385,0,0,27198991012,284559585,57793,563,29,365,391546,0 14,2,2024-09-07 08:17:10:769,41643,41643,0,0,2613142,0,2077 14,3,2024-09-07 08:17:11:115,1,33,1,1,906,1194,33,0 15,0,2024-09-07 08:17:11:569,8222,4.8,8106,2.6,16299,6.7,22285,4.00 15,1,2024-09-07 08:17:11:613,57836,57836,0,0,27160981305,286358797,57306,528,2,381,391536,0 15,2,2024-09-07 08:17:10:999,44221,44221,0,0,2244423,0,3043 15,3,2024-09-07 08:17:11:405,1,33,1,0,538,951,33,0 16,0,2024-09-07 08:17:10:991,6949,0.4,7009,0.7,13920,0.3,18182,2.25 16,1,2024-09-07 08:17:10:578,57747,57747,0,0,27240462663,291347620,56680,1049,18,373,391543,0 16,2,2024-09-07 08:17:11:450,41166,41166,0,0,3439128,0,4719 16,3,2024-09-07 08:17:11:147,1,33,19,3,231,900,33,0 17,0,2024-09-07 08:17:11:800,5544,0.4,5589,0.7,10745,0.3,14139,2.25 17,1,2024-09-07 08:17:10:613,57332,57332,0,0,27218868085,294056562,55724,1090,518,369,391688,0 17,2,2024-09-07 08:17:11:686,39501,39501,0,0,2492615,0,2857 17,3,2024-09-07 08:17:10:593,1,33,51,0,268,964,33,0 18,0,2024-09-07 08:17:10:957,9013,1.1,9163,1.1,18492,1.3,24891,2.50 18,1,2024-09-07 08:17:11:654,57408,57408,0,0,26983687392,287556282,56192,999,217,370,391526,0 18,2,2024-09-07 08:17:11:763,39239,39239,0,0,3326653,0,3541 18,3,2024-09-07 08:17:10:896,1,33,5,0,163,696,33,0 19,0,2024-09-07 08:17:11:562,10483,3.8,10642,2.2,20878,6.7,27680,6.00 19,1,2024-09-07 08:17:10:569,57384,57384,0,0,27808796977,297725498,55650,1572,162,367,391696,0 19,2,2024-09-07 08:17:11:761,44783,44783,0,0,3298998,0,3988 19,3,2024-09-07 08:17:11:128,1,33,2,0,524,660,33,0 20,0,2024-09-07 08:17:11:412,6876,0.3,7018,0.5,13658,0.2,17676,2.00 20,1,2024-09-07 08:17:10:678,57532,57532,0,0,27721865647,293957040,56594,930,8,370,391492,0 20,2,2024-09-07 08:17:10:940,43153,43153,0,0,2461065,0,1616 20,3,2024-09-07 08:17:10:590,1,33,23,1,99,597,33,0 21,0,2024-09-07 08:17:11:149,6021,0.4,5956,0.7,11812,0.3,15508,2.00 21,1,2024-09-07 08:17:11:537,57411,57411,0,0,27082395518,289753046,55966,1310,135,368,391962,0 21,2,2024-09-07 08:17:11:100,37571,37571,0,0,2440469,0,3747 21,3,2024-09-07 08:17:11:406,1,33,71,1,93,558,33,0 22,0,2024-09-07 08:17:11:729,7854,2.3,7753,1.6,15539,4.0,21277,3.00 22,1,2024-09-07 08:17:11:034,57294,57294,0,0,26522409394,288961637,54806,1818,670,382,391667,0 22,2,2024-09-07 08:17:10:770,39578,39578,0,0,2168800,0,1805 22,3,2024-09-07 08:17:11:069,1,33,6,0,48,190,33,0 23,0,2024-09-07 08:17:11:396,12509,2.4,12830,1.5,25360,3.8,34040,3.25 23,1,2024-09-07 08:17:11:006,58249,58249,0,0,28000468587,294898547,57297,717,235,368,391482,0 23,2,2024-09-07 08:17:11:092,45686,45686,0,0,2492695,0,2078 23,3,2024-09-07 08:17:11:762,1,33,1,0,645,919,33,0 24,0,2024-09-07 08:17:10:921,7485,1.0,7481,1.1,15022,1.8,20129,1.75 24,1,2024-09-07 08:17:10:588,58133,58133,0,0,27426408610,289272596,57513,615,5,371,391640,0 24,2,2024-09-07 08:17:11:073,43030,43030,0,0,3706583,0,2942 24,3,2024-09-07 08:17:11:696,1,33,17,2,234,603,33,0 25,0,2024-09-07 08:17:11:385,6295,0.3,6206,0.4,12020,0.2,16182,1.50 25,1,2024-09-07 08:17:10:592,57789,57789,0,0,27426731867,289970199,57062,711,16,373,391544,0 25,2,2024-09-07 08:17:11:633,37469,37469,0,0,2581354,0,1963 25,3,2024-09-07 08:17:11:010,1,33,7,1,50,319,33,0 26,0,2024-09-07 08:17:11:732,6611,0.3,6548,0.5,13697,0.2,18193,1.75 26,1,2024-09-07 08:17:11:541,57429,57429,0,0,26821919916,291242853,55137,1594,698,382,391519,0 26,2,2024-09-07 08:17:10:872,40470,40470,0,0,2849207,0,2809 26,3,2024-09-07 08:17:11:724,1,33,37,1,796,994,33,0 27,0,2024-09-07 08:17:11:745,12388,0.4,12595,0.6,25053,0.4,33620,1.75 27,1,2024-09-07 08:17:11:724,58489,58489,0,0,28349646978,294822140,58257,231,1,381,391526,0 27,2,2024-09-07 08:17:10:871,42473,42473,0,0,4167700,0,3409 27,3,2024-09-07 08:17:11:017,1,33,2,1,86,318,33,0 28,0,2024-09-07 08:17:11:401,7510,1.7,7574,1.4,15120,3.1,20324,2.00 28,1,2024-09-07 08:17:10:802,57969,57969,0,0,27974493761,294472924,57460,500,9,383,391497,0 28,2,2024-09-07 08:17:11:765,43474,43474,0,0,2291773,0,2609 28,3,2024-09-07 08:17:11:782,1,33,8,1,502,852,33,0 29,0,2024-09-07 08:17:11:388,7085,0.3,6916,0.5,13651,0.2,18187,1.75 29,1,2024-09-07 08:17:11:575,58493,58493,0,0,27577011161,287899414,57881,440,172,369,391621,0 29,2,2024-09-07 08:17:10:862,40738,40738,0,0,1863300,0,2026 29,3,2024-09-07 08:17:10:963,1,33,1,1,105,479,33,0 30,0,2024-09-07 08:17:11:469,5541,0.3,5388,0.5,11195,0.2,14759,1.75 30,1,2024-09-07 08:17:10:578,57649,57649,0,0,27886440058,294583187,56972,615,62,382,391524,0 30,2,2024-09-07 08:17:11:276,40066,40066,0,0,1625520,0,1567 30,3,2024-09-07 08:17:10:589,1,33,2,0,110,207,33,0 31,0,2024-09-07 08:17:11:772,11115,0.5,11144,0.7,22429,0.4,30080,1.75 31,1,2024-09-07 08:17:10:565,57658,57658,0,0,27598954809,285404194,57539,117,2,356,391553,0 31,2,2024-09-07 08:17:11:275,40788,40788,0,0,2985425,0,3525 31,3,2024-09-07 08:17:11:716,1,33,1,0,129,265,33,0 32,0,2024-09-07 08:17:11:428,9852,2.9,9998,1.6,20054,4.0,26384,2.00 32,1,2024-09-07 08:17:10:806,57641,57641,0,0,27158898439,287967243,56758,857,26,383,391595,0 32,2,2024-09-07 08:17:10:937,44308,44308,0,0,2880916,0,3155 32,3,2024-09-07 08:17:11:015,1,33,12,1,110,471,33,0 33,0,2024-09-07 08:17:11:506,6989,0.3,6970,0.5,13995,0.2,18099,1.75 33,1,2024-09-07 08:17:10:584,57331,57331,0,0,27609487396,293271700,55963,1258,110,369,391497,0 33,2,2024-09-07 08:17:10:760,42113,42080,33,0,4227055,0,7012 33,3,2024-09-07 08:17:10:899,1,33,4,1,27,140,33,0 34,0,2024-09-07 08:17:10:949,5764,0.2,5859,0.4,11347,0.1,14819,1.50 34,1,2024-09-07 08:17:11:054,57908,57908,0,0,27506568742,287750268,57514,391,3,369,391481,0 34,2,2024-09-07 08:17:10:768,37617,37617,0,0,3175845,0,3255 34,3,2024-09-07 08:17:11:692,1,33,40,0,58,313,33,0 35,0,2024-09-07 08:17:10:870,8411,1.9,8365,1.3,16750,2.4,22892,2.25 35,1,2024-09-07 08:17:11:068,57628,57628,0,0,27865343448,295455578,56231,1036,361,385,391587,0 35,2,2024-09-07 08:17:11:585,39383,39383,0,0,2671865,0,2542 35,3,2024-09-07 08:17:10:907,1,33,3,0,219,635,33,0 36,0,2024-09-07 08:17:11:550,12174,3.2,12035,1.9,24644,4.4,32356,3.50 36,1,2024-09-07 08:17:10:593,57106,57106,0,0,26905392141,291760862,54522,1729,855,369,391535,0 36,2,2024-09-07 08:17:11:760,45219,45219,0,0,2786829,0,2554 36,3,2024-09-07 08:17:10:873,1,33,2,0,378,826,33,0 37,0,2024-09-07 08:17:11:420,6520,0.3,6446,0.6,12999,0.2,17219,2.00 37,1,2024-09-07 08:17:10:571,56954,56947,0,7,27446910363,300848031,54383,1057,1507,368,391507,0 37,2,2024-09-07 08:17:11:143,43793,43793,0,0,2390787,0,1992 37,3,2024-09-07 08:17:11:766,1,33,15,0,724,1396,33,0 38,0,2024-09-07 08:17:11:451,5821,0.3,5615,0.6,11736,0.2,15610,1.75 38,1,2024-09-07 08:17:11:609,57951,57951,0,0,27355415659,292489915,56411,1162,378,371,391512,0 38,2,2024-09-07 08:17:10:767,37384,37384,0,0,2661744,0,3245 38,3,2024-09-07 08:17:11:000,1,33,1,0,63,277,33,0 39,0,2024-09-07 08:17:11:769,8185,0.8,8006,0.9,15627,1.0,21221,2.00 39,1,2024-09-07 08:17:10:720,57897,57897,0,0,26820762792,286424986,56075,1319,503,366,391524,0 39,2,2024-09-07 08:17:11:427,39600,39600,0,0,2125611,0,2381 39,3,2024-09-07 08:17:10:718,1,33,2,0,189,606,33,0 40,0,2024-09-07 08:17:11:519,12465,1.2,12743,2.3,25049,1.8,33728,3.00 40,1,2024-09-07 08:17:10:580,58045,58045,0,0,26912759989,288435797,56155,1363,527,371,391543,0 40,2,2024-09-07 08:17:11:331,44267,44266,1,0,3531383,0,5137 40,3,2024-09-07 08:17:11:142,1,33,8,1,63,371,33,0 41,0,2024-09-07 08:17:11:055,6540,5.4,6690,9.5,12951,8.6,18448,4.75 41,1,2024-09-07 08:17:10:768,57621,57621,0,0,27547617688,293385192,56197,1231,193,370,391483,0 41,2,2024-09-07 08:17:10:760,42995,42995,0,0,3875947,0,3356 41,3,2024-09-07 08:17:11:690,1,33,2,1,51,146,33,0 42,0,2024-09-07 08:17:11:492,6881,0.5,6862,0.8,13726,0.4,18114,2.25 42,1,2024-09-07 08:17:11:442,57376,57376,0,0,27439076160,297049126,55241,1433,702,381,391511,0 42,2,2024-09-07 08:17:11:137,38918,38918,0,0,2848261,0,3568 42,3,2024-09-07 08:17:11:010,1,33,1,0,100,446,33,0 43,0,2024-09-07 08:17:10:937,6354,0.3,6284,0.6,13074,0.2,17103,2.00 43,1,2024-09-07 08:17:10:576,57551,57551,0,0,27861586175,296220533,56015,945,591,367,391507,0 43,2,2024-09-07 08:17:11:737,40267,40267,0,0,2526291,0,3812 43,3,2024-09-07 08:17:11:763,1,33,5,1,292,795,33,0 44,0,2024-09-07 08:17:10:868,12379,0.5,12399,0.7,24566,0.5,33293,1.75 44,1,2024-09-07 08:17:10:568,58049,58049,0,0,26834663004,279962438,57608,432,9,357,391493,0 44,2,2024-09-07 08:17:11:268,41600,41600,0,0,2051976,0,1592 44,3,2024-09-07 08:17:11:103,1,33,8,1,817,1152,33,0 45,0,2024-09-07 08:17:11:777,8148,4.8,8012,2.6,16718,6.5,22525,2.50 45,1,2024-09-07 08:17:11:010,57839,57839,0,0,28324298907,296414435,57577,262,0,382,391917,0 45,2,2024-09-07 08:17:11:276,44023,44023,0,0,2863400,0,2628 45,3,2024-09-07 08:17:10:941,1,33,11,1,226,512,33,0 46,0,2024-09-07 08:17:10:972,6965,0.3,7021,0.5,14026,0.2,18279,1.75 46,1,2024-09-07 08:17:10:576,58187,58187,0,0,27692501521,288571147,57761,400,26,368,391514,0 46,2,2024-09-07 08:17:10:593,42081,42081,0,0,2463349,0,2920 46,3,2024-09-07 08:17:11:131,1,33,2,0,200,658,33,0 47,0,2024-09-07 08:17:11:123,5516,0.3,5555,0.5,10953,0.2,14171,1.50 47,1,2024-09-07 08:17:10:578,58309,58309,0,0,27533892110,285815718,58102,206,1,368,391489,0 47,2,2024-09-07 08:17:10:909,39639,39639,0,0,2375568,0,2558 47,3,2024-09-07 08:17:11:125,1,33,1,1,529,871,33,0 48,0,2024-09-07 08:17:11:502,9302,0.5,9219,0.7,18301,0.6,25112,2.00 48,1,2024-09-07 08:17:11:040,57598,57598,0,0,27683060621,294814399,56405,1188,5,386,391521,0 48,2,2024-09-07 08:17:10:707,39921,39921,0,0,2125812,0,2083 48,3,2024-09-07 08:17:10:755,1,33,9,1,23,310,33,0 49,0,2024-09-07 08:17:11:733,11042,3.3,10922,1.9,21057,5.3,29036,2.50 49,1,2024-09-07 08:17:11:020,57497,57497,0,0,27025982010,291082303,55763,1025,709,382,391583,0 49,2,2024-09-07 08:17:11:805,45013,45013,0,0,3178695,0,3900 49,3,2024-09-07 08:17:11:422,1,33,2,0,274,654,33,0 50,0,2024-09-07 08:17:11:536,6901,0.3,6841,0.5,13595,0.2,18037,1.75 50,1,2024-09-07 08:17:11:010,58080,58080,0,0,28174875754,299280389,56471,1312,297,370,391530,0 50,2,2024-09-07 08:17:11:073,43544,43544,0,0,2508991,0,2253 50,3,2024-09-07 08:17:11:346,1,33,51,1,335,666,33,0 51,0,2024-09-07 08:17:11:696,6083,0.2,5916,0.4,11733,0.1,15728,1.50 51,1,2024-09-07 08:17:11:689,57249,57249,0,0,28005206698,299081085,55540,927,782,367,391520,0 51,2,2024-09-07 08:17:11:331,37477,37477,0,0,1864559,0,2448 51,3,2024-09-07 08:17:11:029,1,33,1,0,162,416,33,0 52,0,2024-09-07 08:17:11:431,8121,2.0,8006,1.6,16176,3.2,21505,3.75 52,1,2024-09-07 08:17:10:577,57301,57301,0,0,26822464727,290977127,55183,1655,463,368,391498,0 52,2,2024-09-07 08:17:11:762,39598,39598,0,0,2822340,0,4779 52,3,2024-09-07 08:17:10:687,1,33,19,4,1782,2177,33,0 53,0,2024-09-07 08:17:11:750,12518,3.5,12111,2.1,24933,5.0,33230,3.75 53,1,2024-09-07 08:17:10:783,57404,57404,0,0,27753960018,300683306,54894,1936,574,372,391617,0 53,2,2024-09-07 08:17:11:316,45536,45536,0,0,2272957,0,1542 53,3,2024-09-07 08:17:10:697,1,33,2,1,59,240,33,0 54,0,2024-09-07 08:17:11:635,6629,10.0,6642,8.3,13289,3.6,19030,5.75 54,1,2024-09-07 08:17:10:590,57579,57579,0,0,27873959087,297051649,55883,1402,294,367,391520,0 54,2,2024-09-07 08:17:10:869,43615,43609,6,0,3252539,0,5382 54,3,2024-09-07 08:17:10:766,1,33,2,0,111,474,33,0 55,0,2024-09-07 08:17:11:779,6045,0.6,6240,0.9,12512,0.4,16137,2.50 55,1,2024-09-07 08:17:10:769,57143,57143,0,0,27157789886,293592375,54261,2227,655,369,391731,0 55,2,2024-09-07 08:17:10:730,37795,37795,0,0,2488082,0,3275 55,3,2024-09-07 08:17:10:681,1,33,2,0,136,425,33,0 56,0,2024-09-07 08:17:11:600,6863,1.3,6525,1.1,13339,1.8,17839,2.75 56,1,2024-09-07 08:17:10:601,57585,57585,0,0,28181926439,305126163,55299,1703,583,384,391536,0 56,2,2024-09-07 08:17:11:342,40271,40271,0,0,2969192,0,3567 56,3,2024-09-07 08:17:11:064,1,33,27,2,297,646,33,0 57,0,2024-09-07 08:17:10:997,12107,2.7,11912,1.8,24163,3.8,32561,3.75 57,1,2024-09-07 08:17:11:058,58047,58047,0,0,26430700001,279998047,57225,808,14,368,391554,0 57,2,2024-09-07 08:17:11:333,43032,43032,0,0,2970975,0,2687 57,3,2024-09-07 08:17:11:752,1,33,13,1,359,883,33,0 58,0,2024-09-07 08:17:10:571,6660,3.1,6525,2.1,13579,3.5,18288,6.75 58,1,2024-09-07 08:17:10:575,58202,58201,0,1,28200797770,298434777,56929,1115,157,371,391512,1 58,2,2024-09-07 08:17:11:078,43886,43886,0,0,2797859,0,2464 58,3,2024-09-07 08:17:11:068,1,33,9,1,219,618,33,0 59,0,2024-09-07 08:17:11:810,6874,1.2,6885,1.1,13827,1.3,17849,2.75 59,1,2024-09-07 08:17:10:806,57845,57845,0,0,27712233780,292108083,57114,726,5,371,391515,0 59,2,2024-09-07 08:17:10:594,40519,40519,0,0,2297940,0,2206 59,3,2024-09-07 08:17:11:742,1,33,9,0,25,169,33,0 60,0,2024-09-07 08:17:11:771,5573,0.3,5539,0.6,10968,0.2,14788,1.75 60,1,2024-09-07 08:17:10:776,58447,58447,0,0,27567995970,290715449,57557,546,344,370,391672,0 60,2,2024-09-07 08:17:11:145,40022,40022,0,0,1969250,0,2142 60,3,2024-09-07 08:17:11:287,1,33,17,0,124,511,33,0 61,0,2024-09-07 08:17:11:497,10917,2.1,10957,1.4,21656,3.3,29692,2.25 61,1,2024-09-07 08:17:10:788,57546,57546,0,0,27379671293,293988541,55690,1385,471,383,391560,0 61,2,2024-09-07 08:17:11:130,40851,40851,0,0,2507628,0,1846 61,3,2024-09-07 08:17:11:690,1,33,1,1,124,442,33,0 62,0,2024-09-07 08:17:11:725,9871,3.2,10188,1.8,19657,4.9,26369,3.25 62,1,2024-09-07 08:17:11:116,58098,58095,0,3,28124659248,293652415,57563,530,2,368,391586,3 62,2,2024-09-07 08:17:11:654,43802,43802,0,0,2801613,0,2931 62,3,2024-09-07 08:17:11:145,1,33,6,0,287,549,33,0 63,0,2024-09-07 08:17:11:479,6953,0.6,6921,0.8,13860,0.6,18189,2.25 63,1,2024-09-07 08:17:10:809,58264,58261,0,3,27516717723,287217479,58010,250,1,382,391540,3 63,2,2024-09-07 08:17:10:769,42861,42861,0,0,2612569,0,2674 63,3,2024-09-07 08:17:11:734,1,33,1,0,216,628,33,0 64,0,2024-09-07 08:17:11:551,5663,0.5,5679,0.8,11498,0.4,14709,2.00 64,1,2024-09-07 08:17:10:758,57489,57489,0,0,27839796969,303201068,55064,1633,792,371,391604,0 64,2,2024-09-07 08:17:11:146,38301,38282,19,0,3064256,0,6121 64,3,2024-09-07 08:17:11:142,1,33,8,1,163,504,33,0 65,0,2024-09-07 08:17:11:691,8275,4.7,8267,2.7,16302,6.3,22450,5.25 65,1,2024-09-07 08:17:10:875,57589,57589,0,0,27102004141,291506542,55924,1449,216,382,391506,0 65,2,2024-09-07 08:17:11:694,39473,39473,0,0,3074570,0,3367 65,3,2024-09-07 08:17:11:695,1,33,12,1,163,572,33,0 66,0,2024-09-07 08:17:11:791,11730,2.9,11848,1.9,23800,5.2,31687,4.50 66,1,2024-09-07 08:17:11:295,58491,58491,0,0,27797167867,292056175,57851,621,19,381,391537,0 66,2,2024-09-07 08:17:11:132,45464,45464,0,0,2770041,0,2934 66,3,2024-09-07 08:17:11:087,1,33,1,1,291,614,33,0 67,0,2024-09-07 08:17:11:429,6466,0.8,6465,1.0,12735,0.9,17135,2.50 67,1,2024-09-07 08:17:10:773,57560,57559,0,1,27097580289,291725189,55989,1073,497,383,391567,1 67,2,2024-09-07 08:17:10:589,43919,43919,0,0,2801355,0,2889 67,3,2024-09-07 08:17:11:754,1,33,1,0,93,309,33,0 68,0,2024-09-07 08:17:10:599,5775,0.3,5758,0.7,11741,0.2,15415,2.00 68,1,2024-09-07 08:17:10:577,57401,57401,0,0,27304479642,292033736,56210,719,472,383,391591,0 68,2,2024-09-07 08:17:11:048,37919,37919,0,0,2324773,0,4883 68,3,2024-09-07 08:17:10:729,1,33,9,1,63,384,33,0 69,0,2024-09-07 08:17:11:839,7898,2.8,7729,1.9,15376,3.9,21074,3.75 69,1,2024-09-07 08:17:11:019,56937,56937,0,0,27893841740,303229534,55373,701,863,386,391530,0 69,2,2024-09-07 08:17:11:763,39696,39696,0,0,2267535,0,2462 69,3,2024-09-07 08:17:10:764,1,33,1,0,238,455,33,0 70,0,2024-09-07 08:17:11:566,12641,2.8,12636,2.8,25511,1.3,33545,4.00 70,1,2024-09-07 08:17:10:804,57684,57684,0,0,27573689172,290249849,56822,728,134,369,391527,0 70,2,2024-09-07 08:17:11:332,44540,44540,0,0,3108491,0,4044 70,3,2024-09-07 08:17:10:746,1,33,1,1,69,177,33,0 71,0,2024-09-07 08:17:11:373,6655,5.4,6553,7.4,12972,9.1,18765,5.50 71,1,2024-09-07 08:17:11:601,57376,57376,0,0,27416947193,292988498,55699,1431,246,368,391682,0 71,2,2024-09-07 08:17:11:068,43444,43444,0,0,2437559,0,2146 71,3,2024-09-07 08:17:11:754,1,33,2,1,174,399,33,0 72,0,2024-09-07 08:17:11:093,7092,0.4,6924,0.7,13710,0.3,18199,2.75 72,1,2024-09-07 08:17:11:039,57994,57994,0,0,26891072787,285851866,56603,1316,75,370,391514,0 72,2,2024-09-07 08:17:11:760,38155,38155,0,0,3121533,0,2570 72,3,2024-09-07 08:17:11:755,1,33,9,1,325,680,33,0 73,0,2024-09-07 08:17:11:139,6210,0.3,6419,0.6,12987,0.2,17051,2.25 73,1,2024-09-07 08:17:10:769,57982,57982,0,0,27450559140,287370895,57689,291,2,368,391497,0 73,2,2024-09-07 08:17:11:771,40248,40248,0,0,3107731,0,3482 73,3,2024-09-07 08:17:10:981,1,33,5,0,274,942,33,0 74,0,2024-09-07 08:17:11:344,12448,0.6,12677,0.8,24454,0.7,33407,2.50 74,1,2024-09-07 08:17:10:671,57927,57927,0,0,27420838671,287569142,57430,495,2,382,391494,0 74,2,2024-09-07 08:17:11:002,41595,41595,0,0,3549264,0,4253 74,3,2024-09-07 08:17:11:446,1,33,1,0,192,788,33,0 75,0,2024-09-07 08:17:11:779,8377,4.5,8386,2.4,16547,5.9,22617,3.75 75,1,2024-09-07 08:17:11:586,58158,58158,0,0,27678335275,291964042,57383,724,51,382,391514,0 75,2,2024-09-07 08:17:11:362,43817,43817,0,0,3508374,0,4766 75,3,2024-09-07 08:17:11:077,1,33,1,0,71,181,33,0 76,0,2024-09-07 08:17:10:606,7003,0.4,6970,0.7,13835,0.3,18066,2.25 76,1,2024-09-07 08:17:10:808,57726,57726,0,0,26937506153,288903788,56315,972,439,382,391530,0 76,2,2024-09-07 08:17:11:066,42311,42311,0,0,2167615,0,3064 76,3,2024-09-07 08:17:11:143,1,33,9,0,175,798,33,0 77,0,2024-09-07 08:17:11:736,5510,0.4,5468,0.7,10946,0.3,14193,2.25 77,1,2024-09-07 08:17:10:846,57906,57906,0,0,27510060980,290193578,57164,675,67,383,391512,0 77,2,2024-09-07 08:17:11:285,39183,39183,0,0,1874959,0,1637 77,3,2024-09-07 08:17:11:095,1,33,8,0,131,306,33,0 78,0,2024-09-07 08:17:11:745,9148,3.3,9018,1.9,18231,4.6,24705,3.00 78,1,2024-09-07 08:17:10:618,58290,58290,0,0,26818334317,282693455,57647,606,37,369,391540,0 78,2,2024-09-07 08:17:11:429,40097,40097,0,0,2020569,0,2114 78,3,2024-09-07 08:17:11:142,1,33,2,0,112,515,33,0 79,0,2024-09-07 08:17:11:359,10358,2.8,10675,1.7,21637,4.5,28098,4.75 79,1,2024-09-07 08:17:10:580,57804,57804,0,0,27330425639,287629397,57040,757,7,371,391486,0 79,2,2024-09-07 08:17:11:070,44923,44923,0,0,2446943,0,2679 79,3,2024-09-07 08:17:10:759,1,33,1,0,289,614,33,0 80,0,2024-09-07 08:17:11:120,6743,0.5,7004,0.8,13565,0.4,17919,2.25 80,1,2024-09-07 08:17:11:626,57228,57228,0,0,27060015691,288393419,55755,1358,115,371,391673,0 80,2,2024-09-07 08:17:11:093,42978,42978,0,0,3217313,0,4433 80,3,2024-09-07 08:17:10:577,1,33,15,1,148,776,33,0 81,0,2024-09-07 08:17:11:607,5983,0.5,6078,0.8,11651,0.4,15703,2.75 81,1,2024-09-07 08:17:11:664,57545,57545,0,0,26949836971,289446306,55832,1517,196,383,391646,0 81,2,2024-09-07 08:17:11:140,37029,37029,0,0,2940484,0,3993 81,3,2024-09-07 08:17:11:123,1,33,3,1,193,543,33,0 82,0,2024-09-07 08:17:11:548,7936,3.4,7874,2.1,15788,5.3,21710,3.00 82,1,2024-09-07 08:17:10:590,57274,57273,0,1,27904656367,299763898,55251,1450,572,384,391558,1 82,2,2024-09-07 08:17:11:690,40229,40229,0,0,2189033,0,2230 82,3,2024-09-07 08:17:11:760,1,33,1,1,211,656,33,0 83,0,2024-09-07 08:17:11:552,12531,2.4,12460,1.9,24201,2.4,32941,3.75 83,1,2024-09-07 08:17:10:554,57603,57603,0,0,26872892318,286692720,56462,1041,100,384,391553,0 83,2,2024-09-07 08:17:10:767,44649,44649,0,0,3263516,0,3119 83,3,2024-09-07 08:17:10:748,1,33,1,0,91,438,33,0 84,0,2024-09-07 08:17:11:870,6990,8.3,6844,8.2,13756,2.6,19631,4.50 84,1,2024-09-07 08:17:11:109,57602,57602,0,0,27604653667,295355465,56222,1136,244,369,391630,0 84,2,2024-09-07 08:17:10:582,43099,43099,0,0,3063702,0,3801 84,3,2024-09-07 08:17:11:240,1,33,15,1,24,337,33,0 85,0,2024-09-07 08:17:11:146,5977,0.4,5987,0.6,12681,0.3,16157,2.00 85,1,2024-09-07 08:17:10:574,57094,57094,0,0,27063562523,293332091,55056,1643,395,384,391505,0 85,2,2024-09-07 08:17:10:884,37644,37644,0,0,2565671,0,3656 85,3,2024-09-07 08:17:10:690,1,33,16,1,115,435,33,0 86,0,2024-09-07 08:17:10:949,6667,0.5,6876,0.6,13300,0.3,18168,2.00 86,1,2024-09-07 08:17:10:834,57233,57233,0,0,27910038044,298020755,55585,1436,212,367,391506,0 86,2,2024-09-07 08:17:10:873,40200,40199,1,0,2995935,0,5004 86,3,2024-09-07 08:17:10:590,1,33,1,1,199,612,33,0 87,0,2024-09-07 08:17:11:393,12383,2.7,12360,1.7,24830,3.8,33295,2.75 87,1,2024-09-07 08:17:10:576,57614,57614,0,0,27362869513,291876595,56368,1159,87,369,391569,0 87,2,2024-09-07 08:17:11:081,43193,43193,0,0,2220306,0,2148 87,3,2024-09-07 08:17:11:815,1,33,4,1,322,829,33,0 88,0,2024-09-07 08:17:11:550,7507,2.2,7548,1.6,14954,3.1,20210,2.25 88,1,2024-09-07 08:17:10:569,57539,57539,0,0,27604177968,292843161,56497,950,92,367,391747,0 88,2,2024-09-07 08:17:10:717,43715,43715,0,0,3254581,0,3583 88,3,2024-09-07 08:17:11:272,1,33,8,1,77,510,33,0 89,0,2024-09-07 08:17:11:797,7121,0.4,6910,0.6,13681,0.3,18194,1.75 89,1,2024-09-07 08:17:10:558,57475,57475,0,0,27844153265,298192830,56083,783,609,383,391866,0 89,2,2024-09-07 08:17:11:186,40162,40162,0,0,2709304,0,2726 89,3,2024-09-07 08:17:11:791,1,33,13,0,325,935,33,0 90,0,2024-09-07 08:17:11:656,5375,0.2,5448,0.4,11226,0.2,14725,1.50 90,1,2024-09-07 08:17:10:615,57711,57711,0,0,27085693168,291422986,56042,1542,127,382,391531,0 90,2,2024-09-07 08:17:11:408,39442,39442,0,0,3118456,0,2635 90,3,2024-09-07 08:17:10:952,1,33,35,1,200,399,33,0 91,0,2024-09-07 08:17:11:204,11176,0.5,10767,0.6,22444,0.5,29828,1.75 91,1,2024-09-07 08:17:10:680,57324,57324,0,0,27781926210,296913020,55786,1262,276,384,391914,0 91,2,2024-09-07 08:17:11:361,40336,40336,0,0,2130737,0,1997 91,3,2024-09-07 08:17:10:645,1,33,6,1,155,421,33,0 92,0,2024-09-07 08:17:11:474,10019,2.5,10275,1.5,19721,4.1,26487,2.00 92,1,2024-09-07 08:17:10:581,57787,57787,0,0,27324136413,286903852,57387,353,47,383,391569,0 92,2,2024-09-07 08:17:11:384,45080,45080,0,0,2694251,0,2279 92,3,2024-09-07 08:17:11:015,1,33,9,1,68,411,33,0 93,0,2024-09-07 08:17:11:045,6930,0.5,7080,0.7,13499,0.3,18088,1.75 93,1,2024-09-07 08:17:10:816,57575,57575,0,0,27302939674,291865037,56168,976,431,368,391689,0 93,2,2024-09-07 08:17:10:963,42949,42949,0,0,2275357,0,2509 93,3,2024-09-07 08:17:11:418,1,33,7,1,143,378,33,0 94,0,2024-09-07 08:17:11:673,5825,0.3,5752,0.4,11315,0.2,14800,1.50 94,1,2024-09-07 08:17:10:594,57495,57495,0,0,26825738051,285536197,56435,1002,58,382,391850,0 94,2,2024-09-07 08:17:10:771,38178,38178,0,0,2156976,0,2443 94,3,2024-09-07 08:17:11:695,1,33,3,1,231,763,33,0 95,0,2024-09-07 08:17:11:542,8476,0.6,8444,0.8,17448,0.7,22864,1.75 95,1,2024-09-07 08:17:10:858,57982,57982,0,0,26979496492,282980440,57654,324,4,368,391590,0 95,2,2024-09-07 08:17:11:016,39691,39691,0,0,2432159,0,3308 95,3,2024-09-07 08:17:11:738,1,33,25,0,307,678,33,0 96,0,2024-09-07 08:17:11:056,12415,2.3,12245,1.4,24649,3.3,32201,2.25 96,1,2024-09-07 08:17:11:586,57490,57490,0,0,27462124534,296203847,55830,1093,567,385,391551,0 96,2,2024-09-07 08:17:11:278,45070,45070,0,0,3404209,0,4038 96,3,2024-09-07 08:17:11:141,1,33,8,2,36,447,33,0 97,0,2024-09-07 08:17:11:335,6506,0.8,6455,0.9,12783,1.0,17131,2.25 97,1,2024-09-07 08:17:10:786,57421,57421,0,0,27666837728,296899091,55424,1481,516,367,391626,0 97,2,2024-09-07 08:17:10:663,43107,43107,0,0,2769507,0,3036 97,3,2024-09-07 08:17:10:588,1,33,2,1,165,503,33,0 98,0,2024-09-07 08:17:11:795,5808,0.3,5764,0.5,11595,0.2,15644,1.50 98,1,2024-09-07 08:17:10:634,57398,57398,0,0,27606364142,294171750,56364,992,42,382,391588,0 98,2,2024-09-07 08:17:10:811,37521,37521,0,0,2566367,0,3080 98,3,2024-09-07 08:17:10:698,1,33,14,1,155,527,33,0 99,0,2024-09-07 08:17:11:561,7777,0.5,7712,0.7,15626,0.5,21060,2.00 99,1,2024-09-07 08:17:11:772,58213,58213,0,0,26775451986,284956915,57045,730,438,382,391602,0 99,2,2024-09-07 08:17:11:426,40161,40161,0,0,2722829,0,1858 99,3,2024-09-07 08:17:10:589,1,33,2,1,129,443,33,0 100,0,2024-09-07 08:17:11:470,12623,1.1,12576,3.2,25084,2.9,33583,2.50 100,1,2024-09-07 08:17:10:549,57281,57281,0,0,27167617607,296691885,54817,2121,343,382,391585,0 100,2,2024-09-07 08:17:11:828,44233,44222,11,0,3870776,0,5417 100,3,2024-09-07 08:17:11:736,1,33,8,1,443,1424,33,0 101,0,2024-09-07 08:17:11:753,7004,7.4,6753,4.5,13532,6.1,19681,3.75 101,1,2024-09-07 08:17:10:564,57114,57114,0,0,27261413290,293009057,55688,978,448,370,391531,0 101,2,2024-09-07 08:17:11:769,43650,43650,0,0,3176694,0,4644 101,3,2024-09-07 08:17:11:024,1,33,27,1,448,699,33,0 102,0,2024-09-07 08:17:10:982,6781,0.7,7036,0.7,14030,0.5,18066,2.00 102,1,2024-09-07 08:17:11:207,57762,57762,0,0,27906008238,299029184,55938,1385,439,369,391647,0 102,2,2024-09-07 08:17:11:747,38814,38814,0,0,2029471,0,1945 102,3,2024-09-07 08:17:11:620,1,33,6,0,410,663,33,0 103,0,2024-09-07 08:17:11:674,6567,0.3,6559,0.5,12382,0.2,17168,1.75 103,1,2024-09-07 08:17:11:629,57866,57866,0,0,27300679251,289336608,57138,650,78,381,391680,0 103,2,2024-09-07 08:17:10:609,40560,40560,0,0,2190444,0,1878 103,3,2024-09-07 08:17:10:822,1,33,5,1,486,853,33,0 104,0,2024-09-07 08:17:11:083,12463,1.1,12634,1.0,24319,1.2,33156,2.00 104,1,2024-09-07 08:17:11:606,58071,58071,0,0,26916232467,288980940,56557,1194,320,368,391948,0 104,2,2024-09-07 08:17:11:687,41082,41082,0,0,3001142,0,3941 104,3,2024-09-07 08:17:11:429,1,33,9,2,301,766,33,0 105,0,2024-09-07 08:17:11:060,8210,4.4,7888,2.6,16373,7.2,22270,4.50 105,1,2024-09-07 08:17:10:567,57491,57491,0,0,27170515513,291444230,55743,1181,567,367,391797,0 105,2,2024-09-07 08:17:11:360,43827,43827,0,0,2838932,0,3314 105,3,2024-09-07 08:17:11:347,1,33,59,1,169,745,33,0 106,0,2024-09-07 08:17:11:189,6781,0.4,6876,0.7,14012,0.3,18190,2.00 106,1,2024-09-07 08:17:11:821,57846,57846,0,0,27620884288,295064652,56391,1253,202,371,391767,0 106,2,2024-09-07 08:17:10:803,41743,41743,0,0,2690470,0,1818 106,3,2024-09-07 08:17:10:749,1,33,90,1,171,400,33,0 107,0,2024-09-07 08:17:11:140,5555,0.3,5488,0.5,10862,0.2,14097,1.75 107,1,2024-09-07 08:17:10:592,57602,57602,0,0,27355049790,292280504,56374,1102,126,382,391848,0 107,2,2024-09-07 08:17:11:309,39152,39151,1,0,2316474,0,5024 107,3,2024-09-07 08:17:11:757,1,33,3,1,353,765,33,0 108,0,2024-09-07 08:17:11:865,9181,1.6,9315,1.2,18339,2.1,25028,2.00 108,1,2024-09-07 08:17:11:339,57480,57480,0,0,27656279685,293339501,56284,1090,106,371,391585,0 108,2,2024-09-07 08:17:11:761,40266,40266,0,0,2698470,0,2647 108,3,2024-09-07 08:17:11:330,1,33,24,1,60,476,33,0 109,0,2024-09-07 08:17:11:846,10996,1.9,11070,1.3,21476,3.1,29116,2.25 109,1,2024-09-07 08:17:10:592,57970,57970,0,0,27629290365,294258151,57178,740,52,385,391664,0 109,2,2024-09-07 08:17:10:925,44110,44110,0,0,3103818,0,3617 109,3,2024-09-07 08:17:11:141,1,33,3,1,120,588,33,0 110,0,2024-09-07 08:17:11:846,6876,0.3,6747,0.6,14064,0.2,18004,1.75 110,1,2024-09-07 08:17:11:644,57540,57540,0,0,27094287042,285867115,56581,676,283,371,391564,0 110,2,2024-09-07 08:17:11:305,43719,43719,0,0,2456385,0,2915 110,3,2024-09-07 08:17:10:690,1,33,1,0,183,366,33,0 111,0,2024-09-07 08:17:11:435,5837,0.2,5899,0.4,11645,0.1,15614,1.50 111,1,2024-09-07 08:17:11:001,58197,58197,0,0,28361322611,295659728,57817,368,12,383,391690,0 111,2,2024-09-07 08:17:11:117,38017,38017,0,0,2198714,0,2763 111,3,2024-09-07 08:17:10:914,1,33,1,1,119,783,33,0 112,0,2024-09-07 08:17:10:919,8065,0.9,8091,0.8,16185,0.8,21945,2.25 112,1,2024-09-07 08:17:10:829,57655,57655,0,0,27638826773,295709954,56178,1131,346,382,391522,0 112,2,2024-09-07 08:17:11:137,39501,39500,1,0,3277071,0,5036 112,3,2024-09-07 08:17:10:592,1,33,18,1,282,595,33,0 113,0,2024-09-07 08:17:10:896,12815,0.9,12612,0.9,25620,1.1,34337,2.00 113,1,2024-09-07 08:17:11:689,57594,57594,0,0,27194426769,290859303,55750,1461,383,368,391661,0 113,2,2024-09-07 08:17:11:315,44988,44988,0,0,2596322,0,3021 113,3,2024-09-07 08:17:10:686,1,33,103,1,166,632,33,0 114,0,2024-09-07 08:17:10:884,7294,5.5,7386,4.1,14594,3.2,20104,2.50 114,1,2024-09-07 08:17:10:720,57413,57413,0,0,27967301989,303137221,55057,1496,860,381,391513,0 114,2,2024-09-07 08:17:10:874,43233,43233,0,0,3262738,0,3925 114,3,2024-09-07 08:17:11:290,1,33,1,1,159,546,33,0 115,0,2024-09-07 08:17:10:570,6206,0.3,6252,0.5,12418,0.1,16297,1.50 115,1,2024-09-07 08:17:10:580,57550,57550,0,0,27995835184,301925904,55347,1717,486,384,391502,0 115,2,2024-09-07 08:17:11:132,38400,38400,0,0,2055867,0,2072 115,3,2024-09-07 08:17:11:004,1,33,1,0,159,349,33,0 116,0,2024-09-07 08:17:12:019,6830,0.4,6712,0.6,13532,0.3,18219,1.75 116,1,2024-09-07 08:17:10:856,57326,57326,0,0,27304937698,296006714,55844,624,858,382,391573,0 116,2,2024-09-07 08:17:11:791,40134,40134,0,0,2465702,0,2295 116,3,2024-09-07 08:17:10:922,1,33,252,2,252,939,33,0 117,0,2024-09-07 08:17:11:047,12436,1.9,12455,1.4,24926,3.2,33413,2.25 117,1,2024-09-07 08:17:11:599,57815,57815,0,0,26990679155,288783958,56380,1212,223,371,391601,0 117,2,2024-09-07 08:17:11:129,43121,43121,0,0,2698572,0,3700 117,3,2024-09-07 08:17:11:064,1,33,25,1,490,1306,33,0 118,0,2024-09-07 08:17:11:869,7250,2.0,7365,1.4,15158,2.9,20193,2.50 118,1,2024-09-07 08:17:10:666,57799,57799,0,0,27219728657,289144599,56911,813,75,368,391725,0 118,2,2024-09-07 08:17:11:603,43860,43860,0,0,2868058,0,2781 118,3,2024-09-07 08:17:11:773,1,33,10,1,229,665,33,0 119,0,2024-09-07 08:17:11:516,6680,0.3,6830,0.6,13951,0.2,18137,1.75 119,1,2024-09-07 08:17:10:562,57615,57615,0,0,27657381719,295049468,56303,1166,146,371,391576,0 119,2,2024-09-07 08:17:11:305,40066,40066,0,0,2589752,0,2532 119,3,2024-09-07 08:17:11:331,1,33,7,1,443,1412,33,0 120,0,2024-09-07 08:17:11:640,5551,0.3,5520,0.6,11018,0.2,14700,1.75 120,1,2024-09-07 08:17:10:906,57512,57512,0,0,27524757303,293253473,56461,1037,14,369,391702,0 120,2,2024-09-07 08:17:10:799,39413,39412,1,0,3190552,0,5281 120,3,2024-09-07 08:17:11:293,1,33,12,1,241,751,33,0 121,0,2024-09-07 08:17:11:744,10953,2.6,10896,1.6,21889,4.2,30009,2.50 121,1,2024-09-07 08:17:11:669,57642,57642,0,0,27811337743,296159635,56417,987,238,370,391807,0 121,2,2024-09-07 08:17:11:136,40653,40653,0,0,3470125,0,4127 121,3,2024-09-07 08:17:10:750,1,33,5,1,101,407,33,0 122,0,2024-09-07 08:17:11:786,9754,3.6,9480,3.2,19726,4.0,26615,2.75 122,1,2024-09-07 08:17:10:872,56959,56959,0,0,27136909032,293515353,54910,1679,370,369,392130,0 122,2,2024-09-07 08:17:11:352,44477,44477,0,0,3525760,0,2574 122,3,2024-09-07 08:17:10:598,1,33,5,1,226,1120,33,0 123,0,2024-09-07 08:17:10:995,6939,0.4,6692,0.7,13892,0.3,18097,1.75 123,1,2024-09-07 08:17:10:569,57307,57307,0,0,27238872514,296601938,54553,2276,478,371,391823,0 123,2,2024-09-07 08:17:11:020,42550,42549,1,0,3001911,0,5215 123,3,2024-09-07 08:17:11:136,1,33,2,1,160,603,33,0 124,0,2024-09-07 08:17:10:934,5888,0.3,5882,0.5,11172,0.2,14874,1.75 124,1,2024-09-07 08:17:11:021,58155,58155,0,0,27522325111,287178941,57974,179,2,370,392129,0 124,2,2024-09-07 08:17:11:015,38094,38094,0,0,1946604,0,2477 124,3,2024-09-07 08:17:10:760,1,33,8,2,490,1179,33,0 125,0,2024-09-07 08:17:11:459,8590,0.9,8460,0.9,17037,1.2,22949,2.00 125,1,2024-09-07 08:17:10:857,57635,57635,0,0,27738485258,293900102,56612,1001,22,385,391702,0 125,2,2024-09-07 08:17:11:117,39605,39605,0,0,2437102,0,2180 125,3,2024-09-07 08:17:11:128,1,33,17,1,93,695,33,0 126,0,2024-09-07 08:17:11:457,12376,2.0,12630,1.3,24300,2.8,32628,2.25 126,1,2024-09-07 08:17:10:565,57979,57979,0,0,28323117534,296441462,57508,462,9,368,391719,0 126,2,2024-09-07 08:17:10:617,45246,45246,0,0,2750593,0,3186 126,3,2024-09-07 08:17:10:968,1,33,9,1,122,373,33,0 127,0,2024-09-07 08:17:11:659,6553,0.3,6502,0.5,12975,0.2,17084,1.75 127,1,2024-09-07 08:17:10:616,57695,57695,0,0,27560364324,289256889,56955,718,22,366,391614,0 127,2,2024-09-07 08:17:10:659,43845,43845,0,0,2307774,0,1744 127,3,2024-09-07 08:17:11:282,1,33,2,0,99,319,33,0 128,0,2024-09-07 08:17:11:538,5772,0.3,5872,0.5,11415,0.2,15577,1.75 128,1,2024-09-07 08:17:11:607,57871,57871,0,0,27333076094,287952311,57191,614,66,369,391605,0 128,2,2024-09-07 08:17:11:393,37063,37063,0,0,2644151,0,2107 128,3,2024-09-07 08:17:10:782,1,33,12,1,20,390,33,0 129,0,2024-09-07 08:17:11:011,7820,0.8,7974,0.9,15684,0.9,21102,2.00 129,1,2024-09-07 08:17:10:569,57512,57512,0,0,27245868152,292692312,55877,1363,272,379,391835,0 129,2,2024-09-07 08:17:10:689,39443,39443,0,0,2407449,0,2446 129,3,2024-09-07 08:17:10:689,1,33,24,1,173,776,33,0 130,0,2024-09-07 08:17:11:742,12827,1.4,12665,1.2,25347,1.9,34482,2.25 130,1,2024-09-07 08:17:10:692,57829,57829,0,0,27566911536,294133824,56608,1194,27,381,391520,0 130,2,2024-09-07 08:17:11:126,44489,44489,0,0,3102350,0,4067 130,3,2024-09-07 08:17:11:329,1,33,27,1,207,463,33,0 131,0,2024-09-07 08:17:11:963,7233,2.3,7029,1.7,14538,2.8,19695,2.25 131,1,2024-09-07 08:17:11:826,57896,57896,0,0,27356048602,291161886,56921,789,186,385,391865,0 131,2,2024-09-07 08:17:10:576,42975,42975,0,0,2526699,0,2415 131,3,2024-09-07 08:17:11:697,1,33,2,1,52,235,33,0 132,0,2024-09-07 08:17:11:431,6813,0.4,7003,0.8,13893,0.4,18156,2.00 132,1,2024-09-07 08:17:10:579,56997,56997,0,0,26943910136,293397482,54736,1570,691,383,391533,0 132,2,2024-09-07 08:17:10:702,38668,38668,0,0,3898594,0,4606 132,3,2024-09-07 08:17:11:693,1,33,15,3,356,712,33,0 133,0,2024-09-07 08:17:11:575,6337,0.3,6422,0.6,13134,0.2,16994,1.75 133,1,2024-09-07 08:17:10:599,57063,57063,0,0,27094358751,291780277,55382,1593,88,383,391513,0 133,2,2024-09-07 08:17:11:088,40717,40717,0,0,2527912,0,1781 133,3,2024-09-07 08:17:11:338,1,33,1,1,187,396,33,0 134,0,2024-09-07 08:17:11:018,12348,0.6,12272,0.8,24826,0.6,33257,2.00 134,1,2024-09-07 08:17:10:594,57562,57562,0,0,27275057838,293369335,55724,1084,754,366,391517,0 134,2,2024-09-07 08:17:11:767,41747,41747,0,0,2343782,0,2026 134,3,2024-09-07 08:17:10:760,1,33,4,1,63,377,33,0 135,0,2024-09-07 08:17:11:135,8235,3.2,8218,2.6,17363,3.2,22320,2.75 135,1,2024-09-07 08:17:11:611,57521,57521,0,0,27625874142,291993450,56741,764,16,383,391528,0 135,2,2024-09-07 08:17:10:703,43999,43999,0,0,2984128,0,3981 135,3,2024-09-07 08:17:11:004,1,33,3,0,68,328,33,0 136,0,2024-09-07 08:17:11:629,7027,0.5,6975,0.8,13907,0.4,18211,2.25 136,1,2024-09-07 08:17:11:440,57866,57866,0,0,26883651183,287076480,56516,1209,141,385,391518,0 136,2,2024-09-07 08:17:11:138,42663,42663,0,0,2157381,0,2199 136,3,2024-09-07 08:17:11:115,1,33,11,1,108,529,33,0 137,0,2024-09-07 08:17:10:946,5643,0.4,5523,0.7,10889,0.2,14168,2.00 137,1,2024-09-07 08:17:10:580,57616,57616,0,0,27387164087,291729255,56308,1219,89,368,391548,0 137,2,2024-09-07 08:17:11:713,38554,38554,0,0,2943000,0,2294 137,3,2024-09-07 08:17:10:772,1,33,10,1,227,513,33,0 138,0,2024-09-07 08:17:11:791,8919,0.9,9245,1.0,18530,1.1,24878,2.25 138,1,2024-09-07 08:17:11:690,57348,57348,0,0,27206279793,290679476,55844,1272,232,371,391573,0 138,2,2024-09-07 08:17:10:605,40402,40402,0,0,2307025,0,3263 138,3,2024-09-07 08:17:10:622,1,33,8,1,68,312,33,0 139,0,2024-09-07 08:17:11:378,10431,5.8,10374,3.3,21133,6.2,28521,4.50 139,1,2024-09-07 08:17:10:577,57271,57271,0,0,26945935040,295888963,54763,1798,710,383,391552,0 139,2,2024-09-07 08:17:10:712,44815,44815,0,0,2920043,0,2425 139,3,2024-09-07 08:17:11:664,1,33,4,1,120,640,33,0 140,0,2024-09-07 08:17:11:612,6867,0.4,6715,0.7,13667,0.3,18094,1.75 140,1,2024-09-07 08:17:11:537,58274,58274,0,0,27611890893,289030903,57658,425,191,365,391482,0 140,2,2024-09-07 08:17:10:691,43310,43310,0,0,3113975,0,3388 140,3,2024-09-07 08:17:10:781,1,33,20,1,25,308,33,0 141,0,2024-09-07 08:17:11:716,5754,0.2,6011,0.4,11606,0.1,15644,1.50 141,1,2024-09-07 08:17:10:863,57999,57999,0,0,27977564988,296704500,56637,1031,331,382,391532,0 141,2,2024-09-07 08:17:11:699,37984,37984,0,0,2075346,0,2342 141,3,2024-09-07 08:17:11:043,1,33,2,0,53,267,33,0 142,0,2024-09-07 08:17:11:349,8228,0.4,8130,0.7,16288,0.4,22032,1.75 142,1,2024-09-07 08:17:10:591,57816,57816,0,0,27285257635,286535089,57566,249,1,384,391649,0 142,2,2024-09-07 08:17:11:338,39647,39647,0,0,2478446,0,2293 142,3,2024-09-07 08:17:11:759,1,33,263,1,263,526,33,0 143,0,2024-09-07 08:17:11:397,12755,1.5,12752,1.2,25666,1.9,34200,2.25 143,1,2024-09-07 08:17:10:560,57813,57813,0,0,27764163109,291131386,57023,767,23,367,391608,0 143,2,2024-09-07 08:17:10:783,44954,44954,0,0,2843753,0,2669 143,3,2024-09-07 08:17:11:140,1,33,5,1,236,907,33,0 144,0,2024-09-07 08:17:11:534,6866,3.9,7094,9.5,14194,3.4,19983,2.75 144,1,2024-09-07 08:17:10:568,57356,57356,0,0,26956717570,290707329,55839,1329,188,383,391602,0 144,2,2024-09-07 08:17:11:766,43378,43378,0,0,2941327,0,3473 144,3,2024-09-07 08:17:11:745,1,33,10,1,112,555,33,0 145,0,2024-09-07 08:17:11:413,5955,0.4,5935,0.7,12502,0.3,16218,2.00 145,1,2024-09-07 08:17:10:556,56851,56851,0,0,26682076158,285651688,55450,1145,256,383,391615,0 145,2,2024-09-07 08:17:11:439,37834,37834,0,0,2977596,0,3903 145,3,2024-09-07 08:17:10:896,1,33,24,0,151,745,33,0 146,0,2024-09-07 08:17:11:606,6787,0.4,6717,0.7,13491,0.3,18238,2.00 146,1,2024-09-07 08:17:11:586,57717,57717,0,0,27014963420,289864617,55873,1546,298,370,391512,0 146,2,2024-09-07 08:17:11:714,40319,40319,0,0,2247511,0,2149 146,3,2024-09-07 08:17:11:280,1,33,2,0,54,302,33,0 147,0,2024-09-07 08:17:11:763,12462,2.1,12267,1.5,24403,2.8,33527,2.75 147,1,2024-09-07 08:17:11:419,58132,58132,0,0,27602824254,289885056,57407,598,127,369,391791,0 147,2,2024-09-07 08:17:11:035,43626,43626,0,0,2799335,0,2789 147,3,2024-09-07 08:17:10:918,1,33,4,1,141,592,33,0 0,0,2024-09-07 08:17:21:742,5636,0.5,5638,0.8,11909,0.4,15674,2.00 0,1,2024-09-07 08:17:20:802,59254,59254,0,0,28426848608,304345030,58081,1050,123,373,391673,0 0,2,2024-09-07 08:17:21:072,40791,40791,0,0,3061978,0,4480 0,3,2024-09-07 08:17:21:006,1,34,15,2,247,705,34,0 1,0,2024-09-07 08:17:21:820,11241,2.9,11260,2.0,22728,4.4,31022,3.00 1,1,2024-09-07 08:17:20:557,58973,58973,0,0,28084366979,301723517,57381,1069,523,372,391857,0 1,2,2024-09-07 08:17:20:653,41897,41897,0,0,2753732,0,3267 1,3,2024-09-07 08:17:21:302,1,34,2,1,167,454,34,0 2,0,2024-09-07 08:17:21:589,9751,3.8,9899,2.2,19546,6.0,26060,4.25 2,1,2024-09-07 08:17:20:864,58995,58995,0,0,28718659430,305079269,57973,819,203,382,391531,0 2,2,2024-09-07 08:17:21:266,44937,44937,0,0,3089175,0,3304 2,3,2024-09-07 08:17:20:692,1,34,11,1,87,386,34,0 3,0,2024-09-07 08:17:21:770,7091,0.5,7029,0.7,14143,0.4,18468,2.25 3,1,2024-09-07 08:17:21:618,59153,59153,0,0,28373561752,304693161,57212,1555,386,383,391494,0 3,2,2024-09-07 08:17:21:143,43772,43749,23,0,3623825,0,5851 3,3,2024-09-07 08:17:21:753,1,34,100,1,103,396,34,0 4,0,2024-09-07 08:17:21:785,5570,0.4,5824,0.6,11549,0.3,14813,2.00 4,1,2024-09-07 08:17:20:624,59296,59296,0,0,27815734338,299916285,57605,1276,415,372,391682,0 4,2,2024-09-07 08:17:21:036,39465,39465,0,0,3350980,0,4528 4,3,2024-09-07 08:17:21:040,1,34,10,8,287,773,34,0 5,0,2024-09-07 08:17:21:411,8906,1.2,8781,1.1,17716,1.8,23818,2.25 5,1,2024-09-07 08:17:20:757,58950,58950,0,0,28221027409,303400317,56966,1560,424,368,392005,0 5,2,2024-09-07 08:17:21:837,40778,40778,0,0,2504805,0,2148 5,3,2024-09-07 08:17:21:732,1,34,1,1,182,875,34,0 6,0,2024-09-07 08:17:20:937,12334,3.1,12234,1.9,24301,5.6,32523,4.50 6,1,2024-09-07 08:17:20:784,59526,59526,0,0,28373817461,299336836,58734,740,52,382,391603,0 6,2,2024-09-07 08:17:21:117,46502,46502,0,0,2955720,0,2232 6,3,2024-09-07 08:17:21:277,1,34,18,1,277,608,34,0 7,0,2024-09-07 08:17:21:552,6582,0.4,6596,0.7,13353,0.3,17783,2.00 7,1,2024-09-07 08:17:20:851,59039,59039,0,0,28574987160,302665726,58182,793,64,384,391558,0 7,2,2024-09-07 08:17:20:778,44633,44633,0,0,2839532,0,2981 7,3,2024-09-07 08:17:20:851,1,34,44,0,83,463,34,0 8,0,2024-09-07 08:17:21:385,6259,0.3,6117,0.5,12102,0.2,16469,1.75 8,1,2024-09-07 08:17:21:021,59013,59013,0,0,28502868868,313158573,55984,1793,1236,368,391724,0 8,2,2024-09-07 08:17:20:793,38243,38243,0,0,2798918,0,2986 8,3,2024-09-07 08:17:20:591,1,34,15,1,229,726,34,0 9,0,2024-09-07 08:17:21:125,8214,0.4,7928,0.7,16538,0.4,21760,1.75 9,1,2024-09-07 08:17:20:552,59176,59176,0,0,28560410642,306016159,57457,1238,481,370,391553,0 9,2,2024-09-07 08:17:21:083,40986,40986,0,0,2771915,0,3360 9,3,2024-09-07 08:17:21:753,1,34,0,0,127,461,34,0 10,0,2024-09-07 08:17:21:612,13264,0.5,13238,0.7,26585,0.5,35373,2.00 10,1,2024-09-07 08:17:20:583,58947,58947,0,0,27803479190,300559649,56524,2029,394,383,391541,0 10,2,2024-09-07 08:17:20:766,45935,45935,0,0,3258073,0,2940 10,3,2024-09-07 08:17:20:876,1,34,5,0,118,307,34,0 11,0,2024-09-07 08:17:21:017,7178,4.8,7002,2.9,14654,7.0,20466,3.50 11,1,2024-09-07 08:17:20:572,59278,59278,0,0,28473608895,307820977,56975,1566,737,384,391485,0 11,2,2024-09-07 08:17:21:127,44547,44547,0,0,2947151,0,2635 11,3,2024-09-07 08:17:21:300,1,34,2,1,720,1050,34,0 12,0,2024-09-07 08:17:20:965,7180,0.3,7183,0.5,14356,0.2,18543,1.50 12,1,2024-09-07 08:17:20:944,59436,59436,0,0,27861489482,295952369,58422,991,23,372,391611,0 12,2,2024-09-07 08:17:21:551,40180,40180,0,0,2579522,0,2254 12,3,2024-09-07 08:17:21:064,1,34,12,1,358,965,34,0 13,0,2024-09-07 08:17:21:369,6598,0.3,6732,0.5,13407,0.2,17752,1.75 13,1,2024-09-07 08:17:21:554,59547,59547,0,0,27997231996,296860683,58922,586,39,384,391563,0 13,2,2024-09-07 08:17:20:596,41939,41939,0,0,2135039,0,3287 13,3,2024-09-07 08:17:21:764,1,34,5,1,153,522,34,0 14,0,2024-09-07 08:17:20:572,12912,0.5,12817,0.6,25566,0.5,34257,1.75 14,1,2024-09-07 08:17:21:575,59990,59990,0,0,27856551388,291422263,59398,563,29,365,391546,0 14,2,2024-09-07 08:17:20:769,42929,42929,0,0,2660333,0,2077 14,3,2024-09-07 08:17:21:115,1,34,1,1,906,1195,34,0 15,0,2024-09-07 08:17:21:592,8379,4.7,8298,2.6,16586,6.6,22695,4.00 15,1,2024-09-07 08:17:21:610,59609,59609,0,0,28096906727,295896697,59079,528,2,381,391536,0 15,2,2024-09-07 08:17:21:005,45661,45661,0,0,2301192,0,3043 15,3,2024-09-07 08:17:21:405,1,34,3,0,538,954,34,0 16,0,2024-09-07 08:17:20:973,7043,0.4,7127,0.7,14101,0.3,18426,2.25 16,1,2024-09-07 08:17:20:564,59505,59505,0,0,27880188201,298247732,58428,1058,19,373,391543,0 16,2,2024-09-07 08:17:21:435,41898,41898,0,0,3468478,0,4719 16,3,2024-09-07 08:17:21:142,1,34,12,3,231,912,34,0 17,0,2024-09-07 08:17:21:784,5649,0.4,5698,0.7,10959,0.3,14479,2.25 17,1,2024-09-07 08:17:20:577,59092,59092,0,0,28089936486,303338101,57465,1109,518,369,391688,0 17,2,2024-09-07 08:17:21:666,40905,40905,0,0,2541382,0,2857 17,3,2024-09-07 08:17:20:576,1,34,1,0,268,965,34,0 18,0,2024-09-07 08:17:20:991,9470,1.0,9646,1.1,19450,1.3,26221,2.50 18,1,2024-09-07 08:17:21:653,59137,59137,0,0,27700139220,295157745,57920,999,218,370,391526,0 18,2,2024-09-07 08:17:21:756,40710,40710,0,0,3398789,0,3541 18,3,2024-09-07 08:17:20:904,1,34,1,0,163,697,34,0 19,0,2024-09-07 08:17:21:555,10574,3.8,10732,2.2,21102,6.6,27958,6.00 19,1,2024-09-07 08:17:20:565,59128,59128,0,0,28601349698,306361012,57379,1587,162,367,391696,0 19,2,2024-09-07 08:17:21:754,45844,45844,0,0,3346484,0,3988 19,3,2024-09-07 08:17:21:132,1,34,7,0,524,667,34,0 20,0,2024-09-07 08:17:21:375,7008,0.3,7139,0.5,13867,0.2,17924,2.00 20,1,2024-09-07 08:17:20:577,59008,59008,0,0,28563414673,304427269,57635,1253,120,370,391598,0 20,2,2024-09-07 08:17:20:928,44185,44185,0,0,2627853,0,2446 20,3,2024-09-07 08:17:20:591,1,34,10,1,99,607,34,0 21,0,2024-09-07 08:17:21:140,6269,0.4,6193,0.7,12274,0.3,16032,2.00 21,1,2024-09-07 08:17:21:541,58648,58648,0,0,27758988674,297132025,57162,1350,136,368,391962,0 21,2,2024-09-07 08:17:21:071,38951,38951,0,0,2519297,0,3747 21,3,2024-09-07 08:17:21:404,1,34,15,1,93,573,34,0 22,0,2024-09-07 08:17:21:730,8251,2.0,8184,1.5,16347,3.9,22428,2.75 22,1,2024-09-07 08:17:21:027,58792,58792,0,0,27227389395,297754570,55954,2113,725,382,391667,0 22,2,2024-09-07 08:17:20:769,40762,40762,0,0,2284277,0,1805 22,3,2024-09-07 08:17:21:073,1,34,1,0,48,191,34,0 23,0,2024-09-07 08:17:21:430,12719,2.7,13001,1.5,25721,4.0,34330,3.25 23,1,2024-09-07 08:17:21:014,59879,59879,0,0,28917876531,305010578,58782,862,235,368,391482,0 23,2,2024-09-07 08:17:21:098,46685,46685,0,0,2656192,0,2078 23,3,2024-09-07 08:17:21:753,1,34,1,0,645,920,34,0 24,0,2024-09-07 08:17:20:891,7797,1.0,7769,1.1,15670,1.7,20892,1.75 24,1,2024-09-07 08:17:20:606,59915,59915,0,0,28153599967,297003816,59295,615,5,371,391640,0 24,2,2024-09-07 08:17:21:069,44251,44251,0,0,3781207,0,2942 24,3,2024-09-07 08:17:21:697,1,34,15,2,234,618,34,0 25,0,2024-09-07 08:17:21:377,6502,0.3,6443,0.5,12399,0.2,16792,1.50 25,1,2024-09-07 08:17:20:559,59525,59525,0,0,28085087280,297361999,58753,756,16,373,391544,0 25,2,2024-09-07 08:17:21:619,38645,38645,0,0,2665970,0,1963 25,3,2024-09-07 08:17:21:012,1,34,1,1,50,320,34,0 26,0,2024-09-07 08:17:21:730,7021,0.3,6981,0.6,14553,0.3,19462,1.75 26,1,2024-09-07 08:17:21:551,59307,59307,0,0,27725839742,301426818,56882,1727,698,382,391519,0 26,2,2024-09-07 08:17:20:872,41699,41699,0,0,2913301,0,2809 26,3,2024-09-07 08:17:21:712,1,34,0,0,796,994,34,0 27,0,2024-09-07 08:17:21:736,12694,0.4,12866,0.6,25613,0.4,34302,1.75 27,1,2024-09-07 08:17:21:677,60224,60224,0,0,29117711715,303031998,59991,232,1,381,391526,0 27,2,2024-09-07 08:17:20:868,43989,43989,0,0,4258010,0,3409 27,3,2024-09-07 08:17:21:017,1,34,1,1,86,319,34,0 28,0,2024-09-07 08:17:21:418,7814,1.6,7890,1.4,15722,2.0,21270,2.00 28,1,2024-09-07 08:17:20:801,59720,59720,0,0,28664787183,301741000,59211,500,9,383,391500,0 28,2,2024-09-07 08:17:21:769,44805,44805,0,0,2406523,0,2609 28,3,2024-09-07 08:17:21:776,1,34,80,1,502,932,34,0 29,0,2024-09-07 08:17:21:391,7254,0.3,7101,0.5,13988,0.2,18657,1.75 29,1,2024-09-07 08:17:21:572,60299,60299,0,0,28402149529,296334638,59687,440,172,369,391621,0 29,2,2024-09-07 08:17:20:870,41420,41420,0,0,1890995,0,2026 29,3,2024-09-07 08:17:20:965,1,34,2,1,105,481,34,0 30,0,2024-09-07 08:17:21:474,5894,0.3,5712,0.5,11809,0.2,15677,1.75 30,1,2024-09-07 08:17:20:573,59365,59365,0,0,28665833145,302542426,58688,615,62,382,391524,0 30,2,2024-09-07 08:17:21:274,41617,41617,0,0,1715387,0,1567 30,3,2024-09-07 08:17:20:581,1,34,1,0,110,208,34,0 31,0,2024-09-07 08:17:21:776,11602,0.5,11560,0.7,23329,0.4,31262,1.75 31,1,2024-09-07 08:17:20:566,59436,59436,0,0,28653495658,296108679,59317,117,2,356,391553,0 31,2,2024-09-07 08:17:21:275,42323,42323,0,0,3028119,0,3525 31,3,2024-09-07 08:17:21:709,1,34,5,0,129,270,34,0 32,0,2024-09-07 08:17:21:444,9960,2.8,10110,1.6,20284,4.0,26695,2.00 32,1,2024-09-07 08:17:20:806,59337,59337,0,0,28066670265,298044125,58353,957,27,383,391595,0 32,2,2024-09-07 08:17:20:943,45571,45571,0,0,3052868,0,3155 32,3,2024-09-07 08:17:21:027,1,34,13,1,110,484,34,0 33,0,2024-09-07 08:17:21:506,7118,0.3,7084,0.5,14260,0.2,18424,1.75 33,1,2024-09-07 08:17:20:578,59026,59026,0,0,28418606541,302587513,57395,1508,123,369,391497,0 33,2,2024-09-07 08:17:20:770,43144,43111,33,0,4259749,0,7012 33,3,2024-09-07 08:17:20:897,1,34,1,1,27,141,34,0 34,0,2024-09-07 08:17:20:939,5804,0.2,5888,0.4,11408,0.1,14819,1.50 34,1,2024-09-07 08:17:21:044,59784,59784,0,0,28596066608,298906796,59390,391,3,368,391501,0 34,2,2024-09-07 08:17:20:767,39105,39105,0,0,3221804,0,3255 34,3,2024-09-07 08:17:21:687,1,34,1,0,58,314,34,0 35,0,2024-09-07 08:17:20:867,8782,1.8,8733,1.3,17466,2.3,23749,2.25 35,1,2024-09-07 08:17:21:074,59332,59332,0,0,28515917896,302153979,57935,1036,361,385,391587,0 35,2,2024-09-07 08:17:21:583,40753,40753,0,0,2801804,0,2542 35,3,2024-09-07 08:17:20:908,1,34,1,0,219,636,34,0 36,0,2024-09-07 08:17:21:534,12262,3.2,12133,1.9,24846,4.3,32593,3.50 36,1,2024-09-07 08:17:20:583,58568,58568,0,0,27709561521,300710195,55889,1822,857,369,391535,0 36,2,2024-09-07 08:17:21:756,46384,46384,0,0,3125719,0,3303 36,3,2024-09-07 08:17:20:864,1,34,12,0,378,838,34,0 37,0,2024-09-07 08:17:21:391,6766,0.3,6675,0.6,13482,0.2,17804,2.00 37,1,2024-09-07 08:17:20:572,58577,58570,0,7,28209765463,309855373,55816,1238,1516,368,391507,0 37,2,2024-09-07 08:17:21:143,44912,44912,0,0,2439542,0,1992 37,3,2024-09-07 08:17:21:771,1,34,4,0,724,1400,34,0 38,0,2024-09-07 08:17:21:452,6119,0.3,5936,0.6,12380,0.2,16483,1.75 38,1,2024-09-07 08:17:21:605,59597,59597,0,0,28207971439,302243445,57902,1316,379,371,391512,0 38,2,2024-09-07 08:17:20:769,38634,38634,0,0,2865163,0,3245 38,3,2024-09-07 08:17:21:012,1,34,603,0,603,880,34,0 39,0,2024-09-07 08:17:21:770,8526,0.9,8320,0.9,16180,1.0,21877,2.00 39,1,2024-09-07 08:17:20:717,59643,59643,0,0,27483802897,293511912,57821,1319,503,366,391524,0 39,2,2024-09-07 08:17:21:417,40829,40829,0,0,2213297,0,2381 39,3,2024-09-07 08:17:20:721,1,34,1,0,189,607,34,0 40,0,2024-09-07 08:17:21:523,12884,1.4,13198,2.3,25904,3.2,35124,3.50 40,1,2024-09-07 08:17:20:578,59727,59727,0,0,27688010329,297154950,57724,1453,550,371,391543,0 40,2,2024-09-07 08:17:21:308,45566,45565,1,0,3620967,0,5137 40,3,2024-09-07 08:17:21:142,1,34,8,1,63,379,34,0 41,0,2024-09-07 08:17:21:036,6847,5.1,7059,9.0,13538,8.4,19282,4.75 41,1,2024-09-07 08:17:20:772,59333,59333,0,0,28569700853,304111071,57891,1249,193,370,391483,0 41,2,2024-09-07 08:17:20:759,44241,44241,0,0,3975310,0,3356 41,3,2024-09-07 08:17:21:676,1,34,6,1,51,152,34,0 42,0,2024-09-07 08:17:21:504,7017,0.5,7014,0.8,14052,0.4,18540,2.25 42,1,2024-09-07 08:17:21:439,59058,59058,0,0,28526753349,308650741,56873,1483,702,381,391511,0 42,2,2024-09-07 08:17:21:133,39827,39827,0,0,2917663,0,3568 42,3,2024-09-07 08:17:21:014,1,34,6,0,100,452,34,0 43,0,2024-09-07 08:17:20:932,6602,0.3,6513,0.6,13547,0.2,17724,1.75 43,1,2024-09-07 08:17:20:576,59392,59392,0,0,28727067080,305690121,57819,982,591,367,391507,0 43,2,2024-09-07 08:17:21:736,41658,41658,0,0,2726236,0,3812 43,3,2024-09-07 08:17:21:754,1,34,12,1,292,807,34,0 44,0,2024-09-07 08:17:20:872,12762,0.5,12818,0.7,25346,0.5,34309,1.75 44,1,2024-09-07 08:17:20:564,59819,59819,0,0,27619737935,287969867,59378,432,9,357,391493,0 44,2,2024-09-07 08:17:21:270,43068,43068,0,0,2116980,0,1592 44,3,2024-09-07 08:17:21:097,1,34,8,1,817,1160,34,0 45,0,2024-09-07 08:17:21:774,8309,4.8,8178,2.5,17050,6.5,23003,2.50 45,1,2024-09-07 08:17:21:007,59599,59599,0,0,29178449433,305221216,59337,262,0,382,391917,0 45,2,2024-09-07 08:17:21:271,45451,45451,0,0,2928071,0,2628 45,3,2024-09-07 08:17:20:934,1,34,1,1,226,513,34,0 46,0,2024-09-07 08:17:20:958,7072,0.3,7123,0.5,14247,0.2,18534,1.75 46,1,2024-09-07 08:17:20:575,60048,60048,0,0,28622216214,298065099,59622,400,26,368,391514,0 46,2,2024-09-07 08:17:20:599,42805,42805,0,0,2484224,0,2920 46,3,2024-09-07 08:17:21:131,1,34,1,0,200,659,34,0 47,0,2024-09-07 08:17:21:113,5622,0.2,5660,0.5,11149,0.2,14504,1.50 47,1,2024-09-07 08:17:20:572,60103,60103,0,0,28381653744,294441491,59896,206,1,367,391489,0 47,2,2024-09-07 08:17:20:913,41032,41032,0,0,2454718,0,2558 47,3,2024-09-07 08:17:21:115,1,34,116,1,529,987,34,0 48,0,2024-09-07 08:17:21:501,9770,0.5,9701,0.7,19249,0.6,26480,2.00 48,1,2024-09-07 08:17:21:025,59351,59351,0,0,28501290684,303199534,58158,1188,5,386,391521,0 48,2,2024-09-07 08:17:20:703,41474,41474,0,0,2224627,0,2083 48,3,2024-09-07 08:17:20:756,1,34,18,1,23,328,34,0 49,0,2024-09-07 08:17:21:720,11144,3.3,11018,1.9,21271,5.3,29341,2.50 49,1,2024-09-07 08:17:21:029,59253,59253,0,0,27912225696,300248405,57519,1025,709,382,391583,0 49,2,2024-09-07 08:17:21:797,46072,46072,0,0,3336097,0,3900 49,3,2024-09-07 08:17:21:416,1,34,1,0,274,655,34,0 50,0,2024-09-07 08:17:21:528,7012,0.3,6947,0.5,13837,0.2,18292,1.75 50,1,2024-09-07 08:17:21:018,59825,59825,0,0,29089018295,309131516,58162,1366,297,370,391530,0 50,2,2024-09-07 08:17:21:072,44542,44542,0,0,2535156,0,2253 50,3,2024-09-07 08:17:21:290,1,34,4,1,335,670,34,0 51,0,2024-09-07 08:17:21:704,6330,0.2,6147,0.4,12149,0.1,16252,1.50 51,1,2024-09-07 08:17:21:682,58824,58824,0,0,28841454113,307964414,57106,936,782,367,391520,0 51,2,2024-09-07 08:17:21:321,38905,38905,0,0,1937507,0,2448 51,3,2024-09-07 08:17:21:039,1,34,0,0,162,416,34,0 52,0,2024-09-07 08:17:21:459,8527,1.9,8426,1.5,17015,2.9,22667,3.75 52,1,2024-09-07 08:17:20:576,58977,58977,0,0,27910336602,303655405,56530,1983,464,368,391498,0 52,2,2024-09-07 08:17:21:757,40799,40799,0,0,2874830,0,4779 52,3,2024-09-07 08:17:20:674,1,34,13,4,1782,2190,34,0 53,0,2024-09-07 08:17:21:778,12675,3.6,12298,2.2,25277,5.1,33516,3.75 53,1,2024-09-07 08:17:20:775,59133,59133,0,0,28644587006,310551356,56558,2001,574,372,391617,0 53,2,2024-09-07 08:17:21:302,46562,46562,0,0,2460589,0,1795 53,3,2024-09-07 08:17:20:702,1,34,5,1,59,245,34,0 54,0,2024-09-07 08:17:21:647,6937,10.0,6971,8.0,13870,3.3,19864,5.75 54,1,2024-09-07 08:17:20:580,59331,59331,0,0,28540856863,304035689,57635,1402,294,367,391520,0 54,2,2024-09-07 08:17:20:865,44889,44883,6,0,3308548,0,5382 54,3,2024-09-07 08:17:20:770,1,34,676,0,676,1150,34,0 55,0,2024-09-07 08:17:21:774,6242,0.5,6440,0.9,12942,0.4,16717,2.50 55,1,2024-09-07 08:17:20:769,58784,58784,0,0,27929947819,302619805,55677,2446,661,369,391731,0 55,2,2024-09-07 08:17:20:732,38903,38903,0,0,2566701,0,3275 55,3,2024-09-07 08:17:20:683,1,34,2,0,136,427,34,0 56,0,2024-09-07 08:17:21:665,7247,1.7,6913,1.4,14120,2.3,19042,3.50 56,1,2024-09-07 08:17:20:574,59217,59217,0,0,29207936024,316148168,56891,1743,583,384,391536,0 56,2,2024-09-07 08:17:21:303,41481,41481,0,0,3039799,0,3567 56,3,2024-09-07 08:17:21:066,1,34,14,2,297,660,34,0 57,0,2024-09-07 08:17:20:951,12414,2.6,12228,1.8,24760,3.7,33341,3.75 57,1,2024-09-07 08:17:20:991,59932,59932,0,0,27414152494,291171513,58962,956,14,368,391760,0 57,2,2024-09-07 08:17:21:321,44453,44453,0,0,3120654,0,2687 57,3,2024-09-07 08:17:21:741,1,34,8,1,359,891,34,0 58,0,2024-09-07 08:17:20:584,7004,2.8,6833,2.0,14175,3.4,19216,6.50 58,1,2024-09-07 08:17:20:574,59834,59833,0,1,28988368099,306905403,58541,1135,157,371,391512,1 58,2,2024-09-07 08:17:21:071,45381,45381,0,0,2878668,0,2464 58,3,2024-09-07 08:17:21:071,1,34,14,1,219,632,34,0 59,0,2024-09-07 08:17:21:779,7031,1.1,7015,1.1,14173,1.2,18348,2.75 59,1,2024-09-07 08:17:20:804,59491,59491,0,0,28593550121,301999901,58622,826,43,371,391515,0 59,2,2024-09-07 08:17:20:583,41295,41295,0,0,2337404,0,2206 59,3,2024-09-07 08:17:21:742,1,34,2,0,25,171,34,0 60,0,2024-09-07 08:17:21:723,5876,0.3,5832,0.6,11619,0.2,15704,1.75 60,1,2024-09-07 08:17:20:784,60228,60228,0,0,28542745535,300803054,59338,546,344,370,391672,0 60,2,2024-09-07 08:17:21:141,41424,41424,0,0,2026906,0,2142 60,3,2024-09-07 08:17:21:265,1,34,8,0,124,519,34,0 61,0,2024-09-07 08:17:21:507,11335,2.0,11412,1.4,22513,3.2,30830,2.25 61,1,2024-09-07 08:17:20:778,59332,59332,0,0,28144146478,301898372,57476,1385,471,383,391560,0 61,2,2024-09-07 08:17:21:117,42357,42357,0,0,2569593,0,1846 61,3,2024-09-07 08:17:21:687,1,34,1,1,124,443,34,0 62,0,2024-09-07 08:17:21:716,9982,3.2,10316,1.8,19859,4.9,26695,3.25 62,1,2024-09-07 08:17:21:112,59828,59825,0,3,28973852490,302306689,59293,530,2,368,391586,3 62,2,2024-09-07 08:17:21:645,45149,45149,0,0,2846581,0,2931 62,3,2024-09-07 08:17:21:143,1,34,1,0,287,550,34,0 63,0,2024-09-07 08:17:21:466,7063,0.6,7040,0.8,14075,0.5,18528,2.00 63,1,2024-09-07 08:17:20:805,60007,60004,0,3,28249254927,294731662,59753,250,1,382,391540,3 63,2,2024-09-07 08:17:20:768,43699,43699,0,0,2643602,0,2674 63,3,2024-09-07 08:17:21:732,1,34,1,0,216,629,34,0 64,0,2024-09-07 08:17:21:594,5705,0.5,5717,0.8,11574,0.4,14709,2.00 64,1,2024-09-07 08:17:20:754,59268,59268,0,0,28597173784,311105407,56843,1633,792,371,391604,0 64,2,2024-09-07 08:17:21:142,39867,39848,19,0,3112154,0,6121 64,3,2024-09-07 08:17:21:141,1,34,11,1,163,515,34,0 65,0,2024-09-07 08:17:21:698,8621,4.6,8640,2.6,17007,6.2,23352,5.25 65,1,2024-09-07 08:17:20:862,59389,59389,0,0,28102661158,301900501,57722,1451,216,382,391506,0 65,2,2024-09-07 08:17:21:697,40799,40799,0,0,3247072,0,3367 65,3,2024-09-07 08:17:21:686,1,34,5,1,163,577,34,0 66,0,2024-09-07 08:17:21:794,11830,2.8,11945,1.9,24006,5.1,31927,4.50 66,1,2024-09-07 08:17:21:294,60161,60161,0,0,28537185763,299714163,59521,621,19,381,391537,0 66,2,2024-09-07 08:17:21:132,46523,46523,0,0,3051413,0,3867 66,3,2024-09-07 08:17:21:079,1,34,1,1,291,615,34,0 67,0,2024-09-07 08:17:21:422,6693,0.8,6716,1.0,13179,0.9,17717,2.50 67,1,2024-09-07 08:17:20:771,59206,59205,0,1,28180545141,303560800,57544,1163,498,383,391787,1 67,2,2024-09-07 08:17:20:584,45189,45189,0,0,2833626,0,2889 67,3,2024-09-07 08:17:21:753,1,34,2,0,93,311,34,0 68,0,2024-09-07 08:17:20:589,6085,0.4,6062,0.7,12357,0.2,16352,2.00 68,1,2024-09-07 08:17:20:574,59093,59093,0,0,28217505905,301558728,57902,719,472,383,391591,0 68,2,2024-09-07 08:17:21:055,39166,39166,0,0,2415967,0,4883 68,3,2024-09-07 08:17:20:732,1,34,9,1,63,393,34,0 69,0,2024-09-07 08:17:21:769,8171,2.9,8026,1.9,15996,3.9,21743,3.75 69,1,2024-09-07 08:17:21:035,58696,58696,0,0,28395508657,309667775,56890,942,864,386,391530,0 69,2,2024-09-07 08:17:21:753,40998,40998,0,0,2709476,0,3153 69,3,2024-09-07 08:17:20:765,1,34,21,0,238,476,34,0 70,0,2024-09-07 08:17:21:585,13050,3.2,13120,2.9,26356,1.6,34935,4.50 70,1,2024-09-07 08:17:20:802,59355,59355,0,0,28499104151,299920607,58489,732,134,369,391527,0 70,2,2024-09-07 08:17:21:326,45807,45807,0,0,3154932,0,4044 70,3,2024-09-07 08:17:20:749,1,34,5,1,69,182,34,0 71,0,2024-09-07 08:17:21:373,6962,5.1,6884,7.1,13630,8.6,19573,5.25 71,1,2024-09-07 08:17:21:610,59155,59155,0,0,28285877517,303268425,57178,1663,314,368,391682,0 71,2,2024-09-07 08:17:21:074,44765,44765,0,0,2569614,0,2146 71,3,2024-09-07 08:17:21:753,1,34,1,1,174,400,34,0 72,0,2024-09-07 08:17:21:051,7275,0.4,7069,0.7,14047,0.3,18617,2.75 72,1,2024-09-07 08:17:21:041,59551,59551,0,0,27535633928,292856965,58160,1316,75,370,391514,0 72,2,2024-09-07 08:17:21:765,39155,39155,0,0,3203247,0,2570 72,3,2024-09-07 08:17:21:754,1,34,19,1,325,699,34,0 73,0,2024-09-07 08:17:21:121,6468,0.3,6677,0.6,13513,0.2,17654,2.25 73,1,2024-09-07 08:17:20:774,59739,59739,0,0,28155841824,295213877,59416,321,2,368,391533,0 73,2,2024-09-07 08:17:21:752,41545,41545,0,0,3168438,0,3482 73,3,2024-09-07 08:17:20:985,1,34,1,0,274,943,34,0 74,0,2024-09-07 08:17:21:342,12853,0.6,13056,0.8,25224,0.6,34426,2.50 74,1,2024-09-07 08:17:20:641,59731,59731,0,0,28274523839,296750205,59204,525,2,382,391494,0 74,2,2024-09-07 08:17:21:001,43040,43040,0,0,3673286,0,4253 74,3,2024-09-07 08:17:21:442,1,34,88,0,192,876,34,0 75,0,2024-09-07 08:17:21:801,8510,4.5,8536,2.4,16859,5.8,23099,3.75 75,1,2024-09-07 08:17:21:588,59729,59729,0,0,28516989623,301283088,58898,780,51,382,391514,0 75,2,2024-09-07 08:17:21:350,45272,45272,0,0,3558629,0,4766 75,3,2024-09-07 08:17:21:070,1,34,1,0,71,182,34,0 76,0,2024-09-07 08:17:20:605,7100,0.4,7083,0.7,14029,0.3,18311,2.25 76,1,2024-09-07 08:17:20:806,59507,59507,0,0,27797526402,297742094,58096,972,439,382,391530,0 76,2,2024-09-07 08:17:21:063,42970,42970,0,0,2203289,0,3064 76,3,2024-09-07 08:17:21:142,1,34,7,0,175,805,34,0 77,0,2024-09-07 08:17:21:708,5597,0.4,5557,0.7,11127,0.3,14506,2.25 77,1,2024-09-07 08:17:20:825,59659,59659,0,0,28233418616,297709624,58917,675,67,383,391512,0 77,2,2024-09-07 08:17:21:282,40694,40694,0,0,1940803,0,1637 77,3,2024-09-07 08:17:21:102,1,34,3,0,131,309,34,0 78,0,2024-09-07 08:17:21:733,9594,3.1,9478,1.8,19208,4.6,26081,3.00 78,1,2024-09-07 08:17:20:614,60122,60122,0,0,27849746500,293585171,59471,614,37,369,391589,0 78,2,2024-09-07 08:17:21:406,41620,41620,0,0,2113886,0,2114 78,3,2024-09-07 08:17:21:133,1,34,2,0,112,517,34,0 79,0,2024-09-07 08:17:21:375,10454,2.8,10778,1.7,21836,4.5,28372,4.75 79,1,2024-09-07 08:17:20:573,59581,59581,0,0,28211515808,296577870,58817,757,7,371,391486,0 79,2,2024-09-07 08:17:21:071,45964,45964,0,0,2492419,0,2679 79,3,2024-09-07 08:17:20:766,1,34,1,0,289,615,34,0 80,0,2024-09-07 08:17:21:089,6858,0.5,7134,0.8,13800,0.4,18198,2.25 80,1,2024-09-07 08:17:21:624,58894,58894,0,0,27847909305,296532790,57421,1358,115,371,391673,0 80,2,2024-09-07 08:17:21:097,44087,44087,0,0,3257107,0,4433 80,3,2024-09-07 08:17:20:578,1,34,7,1,148,783,34,0 81,0,2024-09-07 08:17:21:627,6189,0.6,6313,0.8,12107,0.4,16207,2.75 81,1,2024-09-07 08:17:21:665,59326,59326,0,0,27609452041,296444830,57610,1519,197,383,391646,0 81,2,2024-09-07 08:17:21:127,38557,38557,0,0,3002448,0,3993 81,3,2024-09-07 08:17:21:136,1,34,7,1,193,550,34,0 82,0,2024-09-07 08:17:21:550,8307,3.2,8267,2.0,16613,5.2,22851,3.00 82,1,2024-09-07 08:17:20:583,58948,58947,0,1,28668753946,307754749,56925,1450,572,384,391558,1 82,2,2024-09-07 08:17:21:695,41598,41598,0,0,2292295,0,2230 82,3,2024-09-07 08:17:21:763,1,34,10,1,211,666,34,0 83,0,2024-09-07 08:17:21:552,12783,2.7,12664,2.0,25084,3.9,33230,3.75 83,1,2024-09-07 08:17:20:575,59298,59298,0,0,28233269483,301080354,58095,1103,100,384,391553,0 83,2,2024-09-07 08:17:20:773,45755,45755,0,0,3321332,0,3119 83,3,2024-09-07 08:17:20:766,1,34,6,0,91,444,34,0 84,0,2024-09-07 08:17:21:770,7244,8.2,7154,8.0,14348,2.5,20360,4.50 84,1,2024-09-07 08:17:21:047,59398,59398,0,0,28311366299,303002168,58006,1148,244,369,391630,0 84,2,2024-09-07 08:17:20:574,44366,44366,0,0,3178401,0,3801 84,3,2024-09-07 08:17:21:141,1,34,10,1,24,347,34,0 85,0,2024-09-07 08:17:21:022,6202,0.4,6209,0.6,13071,0.3,16752,2.00 85,1,2024-09-07 08:17:20:578,58756,58756,0,0,27964295880,302775737,56717,1644,395,384,391505,0 85,2,2024-09-07 08:17:20:867,38780,38780,0,0,2674112,0,3656 85,3,2024-09-07 08:17:20:691,1,34,32,1,115,467,34,0 86,0,2024-09-07 08:17:20:911,7068,0.7,7286,0.8,14173,0.7,19423,2.25 86,1,2024-09-07 08:17:20:826,58860,58860,0,0,28608316138,305716195,57171,1477,212,367,391506,0 86,2,2024-09-07 08:17:20:870,41354,41353,1,0,3140297,0,5004 86,3,2024-09-07 08:17:20:600,1,34,23,1,199,635,34,0 87,0,2024-09-07 08:17:21:335,12681,2.6,12649,1.6,25444,3.8,33986,2.75 87,1,2024-09-07 08:17:20:593,59203,59203,0,0,28319715680,301860087,57933,1181,89,369,391569,0 87,2,2024-09-07 08:17:21:092,44489,44489,0,0,2429067,0,2365 87,3,2024-09-07 08:17:21:794,1,34,7,1,322,836,34,0 88,0,2024-09-07 08:17:21:481,7820,2.1,7897,1.5,15563,3.0,21164,2.25 88,1,2024-09-07 08:17:20:598,59399,59399,0,0,28519593965,302892845,58320,987,92,367,391747,0 88,2,2024-09-07 08:17:20:694,45173,45173,0,0,3333989,0,3583 88,3,2024-09-07 08:17:21:269,1,34,9,1,77,519,34,0 89,0,2024-09-07 08:17:21:775,7288,0.4,7081,0.6,14010,0.3,18696,1.75 89,1,2024-09-07 08:17:20:755,59221,59221,0,0,28850949035,309232557,57752,859,610,383,391866,0 89,2,2024-09-07 08:17:21:133,40904,40904,0,0,2749495,0,2726 89,3,2024-09-07 08:17:21:791,1,34,7,0,325,942,34,0 90,0,2024-09-07 08:17:21:642,5656,0.3,5730,0.4,11841,0.2,15649,1.50 90,1,2024-09-07 08:17:20:610,59405,59405,0,0,27973206972,301604405,57533,1739,133,382,391531,0 90,2,2024-09-07 08:17:21:409,40823,40823,0,0,3204573,0,2635 90,3,2024-09-07 08:17:20:931,1,34,109,1,200,508,34,0 91,0,2024-09-07 08:17:20:947,11614,0.5,11225,0.6,23364,0.5,31061,1.75 91,1,2024-09-07 08:17:20:565,59305,59305,0,0,28461734527,305529792,57404,1543,358,384,391914,0 91,2,2024-09-07 08:17:21:332,41868,41868,0,0,2381663,0,1997 91,3,2024-09-07 08:17:20:604,1,34,10,1,155,431,34,0 92,0,2024-09-07 08:17:21:548,10153,2.5,10416,1.5,19939,4.1,26817,2.00 92,1,2024-09-07 08:17:20:580,59467,59467,0,0,28086960313,294809035,59066,354,47,383,391569,0 92,2,2024-09-07 08:17:21:388,46324,46324,0,0,2731351,0,2279 92,3,2024-09-07 08:17:21:030,1,34,25,1,68,436,34,0 93,0,2024-09-07 08:17:20:964,7068,0.5,7212,0.7,13731,0.3,18429,1.75 93,1,2024-09-07 08:17:20:815,59304,59304,0,0,27982695870,298953291,57897,976,431,368,391689,0 93,2,2024-09-07 08:17:20:936,43803,43803,0,0,2340499,0,2509 93,3,2024-09-07 08:17:21:409,1,34,1,1,143,379,34,0 94,0,2024-09-07 08:17:21:691,5862,0.3,5792,0.4,11394,0.2,14800,1.50 94,1,2024-09-07 08:17:20:567,59192,59192,0,0,27714674675,294707678,58132,1002,58,381,391850,0 94,2,2024-09-07 08:17:20:769,39723,39723,0,0,2259396,0,2443 94,3,2024-09-07 08:17:21:694,1,34,1,1,231,764,34,0 95,0,2024-09-07 08:17:21:399,8818,0.6,8798,0.8,18185,0.7,23790,1.75 95,1,2024-09-07 08:17:20:852,59666,59666,0,0,27735488917,290895924,59338,324,4,368,391590,0 95,2,2024-09-07 08:17:21:025,41101,41101,0,0,2462897,0,3308 95,3,2024-09-07 08:17:21:711,1,34,2,0,307,680,34,0 96,0,2024-09-07 08:17:21:055,12524,2.2,12352,1.4,24851,3.3,32441,2.25 96,1,2024-09-07 08:17:21:584,59319,59319,0,0,28223145375,305041487,57515,1237,567,385,391551,0 96,2,2024-09-07 08:17:21:276,46137,46137,0,0,3572623,0,4038 96,3,2024-09-07 08:17:21:140,1,34,7,2,36,454,34,0 97,0,2024-09-07 08:17:21:452,6728,0.7,6710,0.8,13262,0.9,17725,2.00 97,1,2024-09-07 08:17:20:768,59091,59091,0,0,28621542811,306759578,57094,1481,516,367,391626,0 97,2,2024-09-07 08:17:20:632,44335,44335,0,0,2817850,0,3036 97,3,2024-09-07 08:17:20:572,1,34,6,1,165,509,34,0 98,0,2024-09-07 08:17:21:705,6134,0.3,6074,0.4,12235,0.2,16524,1.50 98,1,2024-09-07 08:17:20:583,59144,59144,0,0,28205296805,300592287,58110,992,42,382,391588,0 98,2,2024-09-07 08:17:20:774,38863,38863,0,0,2665988,0,3080 98,3,2024-09-07 08:17:20:712,1,34,2,1,155,529,34,0 99,0,2024-09-07 08:17:21:566,8074,0.5,7990,0.7,16205,0.5,21772,2.00 99,1,2024-09-07 08:17:21:729,59976,59976,0,0,27652433550,294637026,58695,843,438,382,391602,0 99,2,2024-09-07 08:17:21:424,41482,41482,0,0,2777068,0,1858 99,3,2024-09-07 08:17:20:583,1,34,1,1,129,444,34,0 100,0,2024-09-07 08:17:21:554,13033,1.4,13045,3.2,26028,4.4,35542,2.75 100,1,2024-09-07 08:17:20:554,59051,59051,0,0,27917913043,305243034,56463,2245,343,382,391585,0 100,2,2024-09-07 08:17:21:824,45334,45323,11,0,4042367,0,5417 100,3,2024-09-07 08:17:21:731,1,34,8,1,443,1432,34,0 101,0,2024-09-07 08:17:21:721,7332,7.3,7069,4.4,14205,5.8,20508,3.75 101,1,2024-09-07 08:17:20:551,58929,58929,0,0,28077567760,301902156,57493,988,448,370,391531,0 101,2,2024-09-07 08:17:21:758,44947,44947,0,0,3343065,0,4644 101,3,2024-09-07 08:17:20:947,1,34,1,1,448,700,34,0 102,0,2024-09-07 08:17:20:984,6929,0.7,7207,0.7,14319,0.4,18461,2.00 102,1,2024-09-07 08:17:21:145,59511,59511,0,0,28699503596,307823278,57634,1437,440,369,391647,0 102,2,2024-09-07 08:17:21:745,39731,39731,0,0,2060224,0,1945 102,3,2024-09-07 08:17:21:630,1,34,2,0,410,665,34,0 103,0,2024-09-07 08:17:21:656,6819,0.3,6814,0.5,12886,0.2,17797,1.75 103,1,2024-09-07 08:17:21:636,59577,59577,0,0,28147401319,299658524,58524,912,141,381,391680,0 103,2,2024-09-07 08:17:20:583,41800,41800,0,0,2338496,0,1878 103,3,2024-09-07 08:17:20:757,1,34,3,1,486,856,34,0 104,0,2024-09-07 08:17:21:063,12862,1.0,13022,1.0,25080,1.2,34264,2.00 104,1,2024-09-07 08:17:21:603,59756,59756,0,0,27682089585,297447816,58225,1210,321,368,391948,0 104,2,2024-09-07 08:17:21:678,42658,42658,0,0,3132720,0,3941 104,3,2024-09-07 08:17:21:424,1,34,16,2,301,782,34,0 105,0,2024-09-07 08:17:21:150,8358,4.4,8051,2.6,16688,7.1,22731,4.50 105,1,2024-09-07 08:17:20:574,58979,58979,0,0,28135806373,301897876,57120,1287,572,367,391797,0 105,2,2024-09-07 08:17:21:339,44973,44973,0,0,2950296,0,3314 105,3,2024-09-07 08:17:21:334,1,34,4,1,169,749,34,0 106,0,2024-09-07 08:17:20:976,6883,0.4,6974,0.7,14203,0.3,18472,2.00 106,1,2024-09-07 08:17:21:755,59520,59520,0,0,28459120938,305194420,57712,1531,277,371,391767,0 106,2,2024-09-07 08:17:20:784,42472,42472,0,0,2751261,0,1818 106,3,2024-09-07 08:17:20:677,1,34,9,1,171,409,34,0 107,0,2024-09-07 08:17:21:117,5650,0.3,5609,0.5,11099,0.2,14438,1.75 107,1,2024-09-07 08:17:20:599,59371,59371,0,0,28047420732,299914158,58129,1116,126,382,391848,0 107,2,2024-09-07 08:17:21:291,40575,40574,1,0,2435358,0,5024 107,3,2024-09-07 08:17:21:755,1,34,0,0,353,765,34,0 108,0,2024-09-07 08:17:21:788,9656,1.5,9806,1.1,19331,2.1,26360,2.00 108,1,2024-09-07 08:17:21:302,59227,59227,0,0,28454984653,301545801,58029,1092,106,371,391585,0 108,2,2024-09-07 08:17:21:756,41707,41707,0,0,2738803,0,2647 108,3,2024-09-07 08:17:21:367,1,34,19,1,60,495,34,0 109,0,2024-09-07 08:17:21:779,11099,1.9,11188,1.3,21664,3.1,29403,2.25 109,1,2024-09-07 08:17:20:593,59656,59656,0,0,28548648208,303750647,58864,740,52,385,391664,0 109,2,2024-09-07 08:17:20:921,45243,45243,0,0,3138106,0,3617 109,3,2024-09-07 08:17:21:141,1,34,4,1,120,592,34,0 110,0,2024-09-07 08:17:21:768,7008,0.3,6859,0.6,14313,0.2,18262,1.75 110,1,2024-09-07 08:17:21:646,59388,59388,0,0,27940243162,294951322,58375,730,283,370,391564,0 110,2,2024-09-07 08:17:21:305,44732,44732,0,0,2480006,0,2915 110,3,2024-09-07 08:17:20:692,1,34,8,0,183,374,34,0 111,0,2024-09-07 08:17:21:420,6068,0.2,6140,0.4,12112,0.1,16128,1.50 111,1,2024-09-07 08:17:21:006,59983,59983,0,0,29063802282,302947146,59603,368,12,383,391690,0 111,2,2024-09-07 08:17:21:116,39348,39348,0,0,2319216,0,2763 111,3,2024-09-07 08:17:20:912,1,34,1,1,119,784,34,0 112,0,2024-09-07 08:17:20:927,8488,0.9,8527,0.8,17026,0.9,23148,2.25 112,1,2024-09-07 08:17:20:825,59381,59381,0,0,28371643451,303381393,57904,1131,346,382,391522,0 112,2,2024-09-07 08:17:21:144,40798,40797,1,0,3331987,0,5036 112,3,2024-09-07 08:17:20:596,1,34,9,1,282,604,34,0 113,0,2024-09-07 08:17:20:881,13005,1.0,12823,1.0,26014,1.4,34632,2.00 113,1,2024-09-07 08:17:21:686,59286,59286,0,0,28277062441,301905639,57442,1461,383,368,391661,0 113,2,2024-09-07 08:17:21:303,45964,45964,0,0,2643261,0,3021 113,3,2024-09-07 08:17:20:692,1,34,11,1,166,643,34,0 114,0,2024-09-07 08:17:20:885,7587,5.4,7678,4.0,15166,3.1,20863,2.50 114,1,2024-09-07 08:17:20:717,59167,59167,0,0,28898601740,313340763,56746,1561,860,381,391513,0 114,2,2024-09-07 08:17:20:878,44555,44555,0,0,3333672,0,3925 114,3,2024-09-07 08:17:21:278,1,34,67,1,159,613,34,0 115,0,2024-09-07 08:17:20:567,6431,0.3,6451,0.5,12859,0.1,16902,1.50 115,1,2024-09-07 08:17:20:574,59392,59392,0,0,28627405147,308457142,57189,1717,486,384,391502,0 115,2,2024-09-07 08:17:21:127,39621,39621,0,0,2277032,0,2152 115,3,2024-09-07 08:17:21:005,1,34,2,0,159,351,34,0 116,0,2024-09-07 08:17:21:721,7224,0.5,7100,0.8,14322,0.4,19390,2.00 116,1,2024-09-07 08:17:20:805,59024,59024,0,0,28122495102,305415666,57452,714,858,382,391573,0 116,2,2024-09-07 08:17:21:752,41112,41112,0,0,2731690,0,3529 116,3,2024-09-07 08:17:20:913,1,34,24,2,252,963,34,0 117,0,2024-09-07 08:17:20:978,12754,1.9,12760,1.4,25491,3.2,34131,2.25 117,1,2024-09-07 08:17:21:584,59506,59506,0,0,28031468662,300203416,58007,1276,223,371,391601,0 117,2,2024-09-07 08:17:21:118,44479,44479,0,0,2790943,0,3700 117,3,2024-09-07 08:17:21:062,1,34,9,1,490,1315,34,0 118,0,2024-09-07 08:17:21:771,7549,1.9,7678,1.4,15809,2.6,21093,2.50 118,1,2024-09-07 08:17:20:608,59506,59506,0,0,27900973512,296764023,58600,831,75,368,391736,0 118,2,2024-09-07 08:17:21:588,45305,45305,0,0,3012794,0,2781 118,3,2024-09-07 08:17:21:765,1,34,1,1,229,666,34,0 119,0,2024-09-07 08:17:21:390,6866,0.3,6995,0.6,14296,0.2,18636,1.75 119,1,2024-09-07 08:17:20:550,59313,59313,0,0,28209106802,301767100,57870,1296,147,371,391576,0 119,2,2024-09-07 08:17:21:270,40724,40724,0,0,2624184,0,2532 119,3,2024-09-07 08:17:21:326,1,34,36,1,443,1448,34,0 120,0,2024-09-07 08:17:21:585,5882,0.3,5805,0.6,11662,0.2,15660,1.75 120,1,2024-09-07 08:17:20:865,59259,59259,0,0,28325403376,301997834,58183,1062,14,369,391702,0 120,2,2024-09-07 08:17:20:773,40814,40813,1,0,3303468,0,5281 120,3,2024-09-07 08:17:21:291,1,34,2,1,241,753,34,0 121,0,2024-09-07 08:17:21:710,11394,2.5,11341,1.6,22759,4.1,31268,2.50 121,1,2024-09-07 08:17:21:662,59459,59459,0,0,28582913959,304252460,58233,988,238,370,391807,0 121,2,2024-09-07 08:17:21:125,42156,42156,0,0,3595635,0,4127 121,3,2024-09-07 08:17:20:729,1,34,4,1,101,411,34,0 122,0,2024-09-07 08:17:21:803,9892,3.6,9585,3.2,19989,3.9,26935,2.75 122,1,2024-09-07 08:17:20:860,58647,58647,0,0,28065745305,303285433,56595,1682,370,369,392130,0 122,2,2024-09-07 08:17:21:331,45652,45652,0,0,3619101,0,2574 122,3,2024-09-07 08:17:20:595,1,34,2,1,226,1122,34,0 123,0,2024-09-07 08:17:20:960,7069,0.4,6818,0.7,14146,0.2,18425,1.75 123,1,2024-09-07 08:17:20:574,59197,59197,0,0,28089047805,306094869,56329,2356,512,371,391823,0 123,2,2024-09-07 08:17:21:019,43473,43472,1,0,3062696,0,5215 123,3,2024-09-07 08:17:21:140,1,34,28,1,160,631,34,0 124,0,2024-09-07 08:17:20:976,5927,0.3,5924,0.5,11226,0.2,14874,1.75 124,1,2024-09-07 08:17:21:028,59917,59917,0,0,28323536222,295506593,59735,180,2,370,392129,0 124,2,2024-09-07 08:17:21:025,39653,39653,0,0,1981198,0,2477 124,3,2024-09-07 08:17:20:767,1,34,46,2,490,1225,34,0 125,0,2024-09-07 08:17:21:440,8947,0.9,8819,0.9,17776,1.1,23852,2.00 125,1,2024-09-07 08:17:20:863,59350,59350,0,0,28585844384,302850684,58322,1006,22,385,391702,0 125,2,2024-09-07 08:17:21:125,41021,41021,0,0,2502421,0,2180 125,3,2024-09-07 08:17:21:136,1,34,27,1,93,722,34,0 126,0,2024-09-07 08:17:21:454,12477,2.0,12715,1.3,24480,2.8,32866,2.25 126,1,2024-09-07 08:17:20:552,59798,59798,0,0,29280159613,306357919,59327,462,9,368,391719,0 126,2,2024-09-07 08:17:20:614,46384,46384,0,0,2780177,0,3186 126,3,2024-09-07 08:17:20:912,1,34,1,1,122,374,34,0 127,0,2024-09-07 08:17:21:604,6758,0.3,6746,0.5,13479,0.2,17662,1.75 127,1,2024-09-07 08:17:20:572,59563,59563,0,0,28496896602,298833995,58822,719,22,366,391614,0 127,2,2024-09-07 08:17:20:649,44995,44995,0,0,2333291,0,1744 127,3,2024-09-07 08:17:21:273,1,34,2,0,99,321,34,0 128,0,2024-09-07 08:17:21:537,6086,0.3,6191,0.5,12044,0.2,16487,1.75 128,1,2024-09-07 08:17:21:608,59622,59622,0,0,28246275701,297539760,58942,614,66,369,391605,0 128,2,2024-09-07 08:17:21:394,38276,38276,0,0,2845262,0,2107 128,3,2024-09-07 08:17:20:781,1,34,25,1,25,415,34,0 129,0,2024-09-07 08:17:21:016,8114,0.8,8289,0.9,16312,0.9,21810,2.00 129,1,2024-09-07 08:17:20:575,59235,59235,0,0,28187431499,302446716,57600,1363,272,379,391835,0 129,2,2024-09-07 08:17:20:688,40861,40861,0,0,2464923,0,2446 129,3,2024-09-07 08:17:20:698,1,34,2,1,173,778,34,0 130,0,2024-09-07 08:17:21:732,13316,1.5,13163,1.2,26328,2.1,36151,2.25 130,1,2024-09-07 08:17:20:592,59733,59733,0,0,28320013234,302284486,58493,1213,27,381,391520,0 130,2,2024-09-07 08:17:21:129,45897,45897,0,0,3353807,0,4067 130,3,2024-09-07 08:17:21:291,1,34,19,1,207,482,34,0 131,0,2024-09-07 08:17:21:941,7570,2.2,7357,1.7,15201,2.7,20580,2.25 131,1,2024-09-07 08:17:21:821,59731,59731,0,0,28166005131,299808088,58756,789,186,385,391865,0 131,2,2024-09-07 08:17:20:585,44257,44257,0,0,2739381,0,2415 131,3,2024-09-07 08:17:21:696,1,34,1,1,52,236,34,0 132,0,2024-09-07 08:17:21:433,6950,0.4,7144,0.8,14186,0.4,18559,2.00 132,1,2024-09-07 08:17:20:597,58576,58576,0,0,27792313960,302589089,56281,1591,704,383,391533,0 132,2,2024-09-07 08:17:20:711,39543,39543,0,0,4003962,0,4606 132,3,2024-09-07 08:17:21:693,1,34,17,3,356,729,34,0 133,0,2024-09-07 08:17:21:550,6581,0.3,6670,0.6,13671,0.2,17596,1.75 133,1,2024-09-07 08:17:20:593,58673,58673,0,0,27905866872,301228898,56752,1831,90,383,391513,0 133,2,2024-09-07 08:17:21:097,42011,42011,0,0,2720735,0,1781 133,3,2024-09-07 08:17:21:298,1,34,44,1,187,440,34,0 134,0,2024-09-07 08:17:20:954,12771,0.6,12663,0.8,25629,0.6,34298,2.00 134,1,2024-09-07 08:17:20:592,59257,59257,0,0,28078176440,302429840,57301,1202,754,366,391517,0 134,2,2024-09-07 08:17:21:756,43224,43224,0,0,2405682,0,2026 134,3,2024-09-07 08:17:20:750,1,34,15,1,63,392,34,0 135,0,2024-09-07 08:17:21:115,8412,3.1,8370,2.6,17690,3.2,22764,2.75 135,1,2024-09-07 08:17:21:585,59095,59095,0,0,28388176322,300607114,58247,832,16,383,391528,0 135,2,2024-09-07 08:17:20:706,45496,45496,0,0,3115906,0,3981 135,3,2024-09-07 08:17:21:014,1,34,21,0,68,349,34,0 136,0,2024-09-07 08:17:21:702,7124,0.5,7081,0.8,14094,0.4,18430,2.25 136,1,2024-09-07 08:17:21:449,59560,59560,0,0,27918409295,297949453,58208,1211,141,385,391518,0 136,2,2024-09-07 08:17:21:132,43359,43359,0,0,2254266,0,2199 136,3,2024-09-07 08:17:21:117,1,34,12,1,108,541,34,0 137,0,2024-09-07 08:17:20:942,5743,0.4,5615,0.7,11062,0.2,14492,2.00 137,1,2024-09-07 08:17:20:580,59230,59230,0,0,28116849367,299374728,57922,1219,89,368,391548,0 137,2,2024-09-07 08:17:21:707,40030,40030,0,0,3060950,0,2294 137,3,2024-09-07 08:17:20:784,1,34,5,1,227,518,34,0 138,0,2024-09-07 08:17:21:845,9340,1.1,9723,1.1,19376,1.3,26065,2.25 138,1,2024-09-07 08:17:21:713,59056,59056,0,0,28088719322,299971544,57551,1273,232,371,391573,0 138,2,2024-09-07 08:17:20:609,41904,41904,0,0,2435543,0,3263 138,3,2024-09-07 08:17:20:610,1,34,1,1,68,313,34,0 139,0,2024-09-07 08:17:21:466,10547,5.8,10477,3.3,21368,6.2,28792,4.50 139,1,2024-09-07 08:17:20:772,58937,58937,0,0,27733029297,304358711,56428,1799,710,383,391552,0 139,2,2024-09-07 08:17:20:695,45878,45878,0,0,2989099,0,2425 139,3,2024-09-07 08:17:21:672,1,34,244,1,244,884,34,0 140,0,2024-09-07 08:17:21:636,6987,0.4,6846,0.6,13888,0.3,18329,1.75 140,1,2024-09-07 08:17:21:542,60100,60100,0,0,28406820394,297153341,59484,425,191,365,391482,0 140,2,2024-09-07 08:17:20:704,44391,44391,0,0,3138882,0,3388 140,3,2024-09-07 08:17:20:767,1,34,10,1,25,318,34,0 141,0,2024-09-07 08:17:21:723,5964,0.2,6241,0.4,12036,0.1,16151,1.50 141,1,2024-09-07 08:17:20:871,59819,59819,0,0,28804550755,305206898,58457,1031,331,382,391532,0 141,2,2024-09-07 08:17:21:698,39467,39467,0,0,2104959,0,2342 141,3,2024-09-07 08:17:21:044,1,34,5,0,53,272,34,0 142,0,2024-09-07 08:17:21:314,8643,0.4,8539,0.7,17080,0.4,23139,1.75 142,1,2024-09-07 08:17:20:586,59598,59598,0,0,28411185661,298111235,59348,249,1,384,391649,0 142,2,2024-09-07 08:17:21:303,40941,40941,0,0,2522152,0,2293 142,3,2024-09-07 08:17:21:746,1,34,1,1,263,527,34,0 143,0,2024-09-07 08:17:21:402,12944,1.5,12949,1.2,26041,1.9,34481,2.25 143,1,2024-09-07 08:17:20:560,59590,59590,0,0,28414620142,298220245,58790,777,23,367,391608,0 143,2,2024-09-07 08:17:20:782,46003,46003,0,0,2888555,0,2669 143,3,2024-09-07 08:17:21:140,1,34,2,1,236,909,34,0 144,0,2024-09-07 08:17:21:509,7154,3.7,7395,9.2,14804,3.2,20726,3.00 144,1,2024-09-07 08:17:20:577,59039,59039,0,0,27991647152,301726060,57501,1350,188,383,391617,0 144,2,2024-09-07 08:17:21:756,44691,44691,0,0,3007810,0,3473 144,3,2024-09-07 08:17:21:740,1,34,8,1,112,563,34,0 145,0,2024-09-07 08:17:21:376,6149,0.4,6135,0.7,12895,0.3,16763,2.00 145,1,2024-09-07 08:17:20:671,58571,58571,0,0,27432978979,294216199,57023,1289,259,383,391615,0 145,2,2024-09-07 08:17:21:429,38994,38994,0,0,3028521,0,3903 145,3,2024-09-07 08:17:20:897,1,34,10,0,151,755,34,0 146,0,2024-09-07 08:17:21:613,7132,0.5,7073,0.8,14046,0.3,19084,2.25 146,1,2024-09-07 08:17:21:597,59432,59432,0,0,27867887538,299472617,57453,1681,298,370,391566,0 146,2,2024-09-07 08:17:21:695,41562,41562,0,0,2429434,0,2149 146,3,2024-09-07 08:17:21:275,1,34,14,0,54,316,34,0 147,0,2024-09-07 08:17:21:723,12757,2.0,12559,1.5,24952,2.8,34229,2.75 147,1,2024-09-07 08:17:21:416,59949,59949,0,0,28490675666,299087190,59224,598,127,369,391791,0 147,2,2024-09-07 08:17:21:027,45147,45147,0,0,2859025,0,2789 147,3,2024-09-07 08:17:20:916,1,34,12,1,141,604,34,0 0,0,2024-09-07 08:17:31:850,5969,0.5,5949,0.8,12588,0.4,16595,2.00 0,1,2024-09-07 08:17:30:802,61109,61109,0,0,29402016694,314534767,59933,1052,124,373,391673,0 0,2,2024-09-07 08:17:31:067,42187,42187,0,0,3129390,0,4480 0,3,2024-09-07 08:17:30:974,1,35,2,2,247,707,35,0 1,0,2024-09-07 08:17:31:939,11691,2.8,11704,2.0,23636,4.3,32248,3.00 1,1,2024-09-07 08:17:30:574,60784,60784,0,0,28860034779,310281975,59140,1119,525,372,391857,0 1,2,2024-09-07 08:17:30:658,43529,43529,0,0,2854000,0,3267 1,3,2024-09-07 08:17:31:340,1,35,10,1,167,464,35,0 2,0,2024-09-07 08:17:31:626,9857,3.7,10034,2.2,19798,6.0,26392,4.25 2,1,2024-09-07 08:17:30:865,60801,60801,0,0,29405235408,312261523,59779,819,203,382,391531,0 2,2,2024-09-07 08:17:31:266,46233,46233,0,0,3194100,0,3304 2,3,2024-09-07 08:17:30:694,1,35,4,1,87,390,35,0 3,0,2024-09-07 08:17:31:758,7227,0.4,7155,0.7,14393,0.4,18806,2.25 3,1,2024-09-07 08:17:31:619,60905,60905,0,0,29133542823,312605330,58964,1555,386,383,391494,0 3,2,2024-09-07 08:17:31:151,44576,44553,23,0,3665151,0,5851 3,3,2024-09-07 08:17:31:778,1,35,2,1,103,398,35,0 4,0,2024-09-07 08:17:31:870,5576,0.4,5840,0.6,11590,0.3,14813,2.00 4,1,2024-09-07 08:17:30:604,61074,61074,0,0,28583240323,308329551,59351,1308,415,372,391682,0 4,2,2024-09-07 08:17:31:055,40866,40866,0,0,3563862,0,4528 4,3,2024-09-07 08:17:31:043,1,35,9,8,287,782,35,0 5,0,2024-09-07 08:17:31:580,9233,1.2,9138,1.1,18420,1.8,24753,2.25 5,1,2024-09-07 08:17:30:770,60745,60745,0,0,29262058092,314579349,58742,1579,424,368,392005,0 5,2,2024-09-07 08:17:31:840,42197,42197,0,0,2612008,0,2148 5,3,2024-09-07 08:17:31:810,1,35,1,1,182,876,35,0 6,0,2024-09-07 08:17:30:959,12422,3.1,12322,1.9,24465,5.5,32766,4.50 6,1,2024-09-07 08:17:30:748,61306,61306,0,0,29255191916,309080974,60439,813,54,382,391603,0 6,2,2024-09-07 08:17:31:118,47597,47597,0,0,3038237,0,2232 6,3,2024-09-07 08:17:31:274,1,35,123,1,277,731,35,0 7,0,2024-09-07 08:17:31:544,6805,0.4,6814,0.7,13802,0.3,18356,2.00 7,1,2024-09-07 08:17:30:851,60727,60727,0,0,29384595356,311187135,59870,793,64,384,391558,0 7,2,2024-09-07 08:17:30:780,45816,45816,0,0,2944436,0,2981 7,3,2024-09-07 08:17:30:852,1,35,1,0,83,464,35,0 8,0,2024-09-07 08:17:31:379,6636,0.3,6469,0.5,12740,0.2,17337,1.75 8,1,2024-09-07 08:17:31:027,60810,60810,0,0,29303711206,322056024,57746,1828,1236,368,391724,0 8,2,2024-09-07 08:17:30:799,39537,39537,0,0,2862988,0,2986 8,3,2024-09-07 08:17:30:600,1,35,12,1,229,738,35,0 9,0,2024-09-07 08:17:31:203,8483,0.4,8198,0.7,17128,0.4,22445,1.75 9,1,2024-09-07 08:17:30:557,61040,61040,0,0,29305777198,315169091,59029,1449,562,370,391553,0 9,2,2024-09-07 08:17:31:116,42185,42185,0,0,2960747,0,3360 9,3,2024-09-07 08:17:31:809,1,35,91,0,127,552,35,0 10,0,2024-09-07 08:17:31:639,13692,0.7,13682,0.9,27246,0.7,36627,2.50 10,1,2024-09-07 08:17:30:587,60755,60755,0,0,28695429600,310475697,58225,2136,394,383,391541,0 10,2,2024-09-07 08:17:30:762,47042,47042,0,0,3309040,0,2940 10,3,2024-09-07 08:17:30:873,1,35,1,0,118,308,35,0 11,0,2024-09-07 08:17:31:020,7453,4.6,7294,2.9,15251,6.9,21292,3.50 11,1,2024-09-07 08:17:30:589,61061,61061,0,0,29436550865,317889359,58758,1566,737,384,391485,0 11,2,2024-09-07 08:17:31:136,45776,45776,0,0,3173224,0,2635 11,3,2024-09-07 08:17:31:297,1,35,2,1,720,1052,35,0 12,0,2024-09-07 08:17:31:079,7350,0.3,7345,0.5,14667,0.2,18965,1.50 12,1,2024-09-07 08:17:31:023,61120,61120,0,0,28569494893,303379572,60101,996,23,372,391661,0 12,2,2024-09-07 08:17:31:588,41286,41286,0,0,2615793,0,2254 12,3,2024-09-07 08:17:31:084,1,35,19,1,358,984,35,0 13,0,2024-09-07 08:17:31:480,6840,0.3,6970,0.5,13891,0.2,18363,1.75 13,1,2024-09-07 08:17:31:567,61292,61292,0,0,28993450296,307193489,60665,588,39,384,391563,0 13,2,2024-09-07 08:17:30:611,43226,43226,0,0,2210667,0,3287 13,3,2024-09-07 08:17:31:778,1,35,11,1,153,533,35,0 14,0,2024-09-07 08:17:30:570,13309,0.5,13202,0.6,26329,0.5,35251,1.75 14,1,2024-09-07 08:17:31:562,61902,61902,0,0,28636379823,299722167,61310,563,29,365,391546,0 14,2,2024-09-07 08:17:30:768,44527,44527,0,0,2851485,0,2091 14,3,2024-09-07 08:17:31:123,1,35,1,1,906,1196,35,0 15,0,2024-09-07 08:17:31:592,8515,4.7,8446,2.6,16943,6.6,23165,4.00 15,1,2024-09-07 08:17:31:619,61395,61395,0,0,28674262835,301973844,60865,528,2,381,391536,0 15,2,2024-09-07 08:17:31:021,47108,47108,0,0,2349714,0,3043 15,3,2024-09-07 08:17:31:405,1,35,1,0,538,955,35,0 16,0,2024-09-07 08:17:31:034,7146,0.4,7229,0.7,14287,0.3,18692,2.25 16,1,2024-09-07 08:17:30:594,61241,61241,0,0,28654025085,306424728,60164,1058,19,373,391543,0 16,2,2024-09-07 08:17:31:440,42592,42592,0,0,3499650,0,4719 16,3,2024-09-07 08:17:31:151,1,35,11,3,231,923,35,0 17,0,2024-09-07 08:17:32:042,5772,0.4,5821,0.7,11182,0.3,14825,2.25 17,1,2024-09-07 08:17:30:745,60824,60824,0,0,28813045626,311023894,59196,1110,518,369,391688,0 17,2,2024-09-07 08:17:31:689,42407,42407,0,0,2594610,0,2857 17,3,2024-09-07 08:17:30:577,1,35,1,0,268,966,35,0 18,0,2024-09-07 08:17:30:980,9928,1.0,10129,1.0,20449,1.2,27459,2.50 18,1,2024-09-07 08:17:31:675,60899,60899,0,0,28667151881,305081766,59682,999,218,370,391526,0 18,2,2024-09-07 08:17:31:761,42192,42192,0,0,3496664,0,3541 18,3,2024-09-07 08:17:30:896,1,35,6,0,163,703,35,0 19,0,2024-09-07 08:17:31:555,10679,3.7,10840,2.2,21308,6.6,28237,6.00 19,1,2024-09-07 08:17:30:567,60892,60892,0,0,29363775700,314753587,59137,1593,162,367,391696,0 19,2,2024-09-07 08:17:31:766,46998,46998,0,0,3392557,0,3988 19,3,2024-09-07 08:17:31:144,1,35,1,0,524,668,35,0 20,0,2024-09-07 08:17:31:526,7112,0.3,7237,0.5,14071,0.2,18191,2.00 20,1,2024-09-07 08:17:30:591,60796,60796,0,0,29291594900,313451700,59146,1490,160,370,391598,0 20,2,2024-09-07 08:17:30:962,45248,45248,0,0,2694653,0,2446 20,3,2024-09-07 08:17:30:604,1,35,11,1,99,618,35,0 21,0,2024-09-07 08:17:31:151,6473,0.4,6396,0.7,12665,0.3,16536,2.00 21,1,2024-09-07 08:17:31:574,60565,60565,0,0,28728419655,310503726,58472,1609,484,368,391962,0 21,2,2024-09-07 08:17:31:085,40517,40517,0,0,2626031,0,3747 21,3,2024-09-07 08:17:31:403,1,35,17,1,93,590,35,0 22,0,2024-09-07 08:17:31:740,8695,1.8,8612,1.4,17176,3.0,23355,2.75 22,1,2024-09-07 08:17:31:034,60576,60576,0,0,27956506417,305829019,57674,2177,725,382,391667,0 22,2,2024-09-07 08:17:30:761,42070,42070,0,0,2612526,0,3134 22,3,2024-09-07 08:17:31:074,1,35,1,0,48,192,35,0 23,0,2024-09-07 08:17:31:378,12848,2.7,13131,1.5,26028,4.0,34606,3.25 23,1,2024-09-07 08:17:31:016,61718,61718,0,0,29693888533,313252431,60621,862,235,368,391482,0 23,2,2024-09-07 08:17:31:093,47749,47749,0,0,2709539,0,2078 23,3,2024-09-07 08:17:31:792,1,35,1,0,645,921,35,0 24,0,2024-09-07 08:17:30:907,8083,0.9,8057,1.0,16265,1.7,21675,1.75 24,1,2024-09-07 08:17:30:583,61716,61716,0,0,28922482287,305061800,61096,615,5,371,391640,0 24,2,2024-09-07 08:17:31:110,45448,45448,0,0,3899185,0,2942 24,3,2024-09-07 08:17:31:699,1,35,14,2,234,632,35,0 25,0,2024-09-07 08:17:31:419,6707,0.3,6669,0.5,12801,0.2,17352,1.50 25,1,2024-09-07 08:17:30:598,61176,61176,0,0,29042590199,308247030,60179,950,47,373,391544,0 25,2,2024-09-07 08:17:31:625,39852,39852,0,0,3030125,0,3284 25,3,2024-09-07 08:17:31:018,1,35,3,1,50,323,35,0 26,0,2024-09-07 08:17:31:759,7473,0.4,7415,0.6,15452,0.4,20744,1.75 26,1,2024-09-07 08:17:31:547,61009,61009,0,0,28688271607,312931223,58217,2014,778,382,391542,0 26,2,2024-09-07 08:17:30:864,42850,42850,0,0,2984176,0,2809 26,3,2024-09-07 08:17:31:724,1,35,1,0,796,995,35,0 27,0,2024-09-07 08:17:31:743,12992,0.4,13106,0.6,26164,0.4,34984,1.75 27,1,2024-09-07 08:17:31:735,62014,62014,0,0,29978472111,312168125,61779,234,1,381,391526,0 27,2,2024-09-07 08:17:30:871,45394,45394,0,0,4408397,0,3409 27,3,2024-09-07 08:17:31:019,1,35,1,1,86,320,35,0 28,0,2024-09-07 08:17:31:456,8136,1.5,8191,1.4,16420,1.8,21984,2.00 28,1,2024-09-07 08:17:30:799,61571,61571,0,0,29474714290,310565389,61057,505,9,383,391500,0 28,2,2024-09-07 08:17:31:774,46166,46166,0,0,2470000,0,2609 28,3,2024-09-07 08:17:31:782,1,35,18,1,502,950,35,0 29,0,2024-09-07 08:17:31:369,7422,0.3,7286,0.5,14346,0.2,19071,1.75 29,1,2024-09-07 08:17:31:575,62062,62062,0,0,29340607517,306046938,61450,440,172,369,391621,0 29,2,2024-09-07 08:17:30:872,42264,42264,0,0,1921494,0,2026 29,3,2024-09-07 08:17:30:965,1,35,2,1,105,483,35,0 30,0,2024-09-07 08:17:31:487,6215,0.3,6017,0.5,12472,0.2,16433,1.75 30,1,2024-09-07 08:17:30:581,61229,61229,0,0,29574868990,312022462,60552,615,62,382,391524,0 30,2,2024-09-07 08:17:31:274,43021,43021,0,0,1774458,0,1567 30,3,2024-09-07 08:17:30:581,1,35,20,0,110,228,35,0 31,0,2024-09-07 08:17:31:784,12027,0.5,12017,0.7,24185,0.4,32264,1.75 31,1,2024-09-07 08:17:30:565,61258,61258,0,0,29521975209,304939146,61139,117,2,356,391553,0 31,2,2024-09-07 08:17:31:276,43799,43799,0,0,3108342,0,3525 31,3,2024-09-07 08:17:31:726,1,35,2,0,129,272,35,0 32,0,2024-09-07 08:17:31:437,10084,2.8,10238,1.6,20504,4.0,27013,2.00 32,1,2024-09-07 08:17:30:813,61159,61159,0,0,29049028259,308374313,60168,964,27,383,391595,0 32,2,2024-09-07 08:17:30:966,46925,46925,0,0,3129958,0,3155 32,3,2024-09-07 08:17:31:031,1,35,8,1,110,492,35,0 33,0,2024-09-07 08:17:31:544,7241,0.3,7214,0.5,14521,0.2,18764,1.75 33,1,2024-09-07 08:17:30:575,60875,60875,0,0,29229683310,310939762,59243,1509,123,369,391497,0 33,2,2024-09-07 08:17:30:759,43903,43870,33,0,4279044,0,7012 33,3,2024-09-07 08:17:30:896,1,35,1,1,27,142,35,0 34,0,2024-09-07 08:17:30:959,5824,0.2,5893,0.4,11430,0.1,14819,1.50 34,1,2024-09-07 08:17:31:047,61585,61585,0,0,29561090367,308802674,61191,391,3,368,391501,0 34,2,2024-09-07 08:17:30:765,40573,40573,0,0,3353162,0,3255 34,3,2024-09-07 08:17:31:700,1,35,29,0,58,343,35,0 35,0,2024-09-07 08:17:30:863,9126,1.7,9050,1.2,18205,2.3,24704,2.25 35,1,2024-09-07 08:17:31:078,61054,61054,0,0,29425005825,311759611,59627,1066,361,385,391587,0 35,2,2024-09-07 08:17:31:585,42157,42157,0,0,2882389,0,2542 35,3,2024-09-07 08:17:30:911,1,35,1,0,219,637,35,0 36,0,2024-09-07 08:17:31:544,12353,3.1,12227,1.9,25036,4.3,32822,3.50 36,1,2024-09-07 08:17:30:588,60569,60569,0,0,28538312950,310428566,57643,1995,931,369,391535,0 36,2,2024-09-07 08:17:31:770,47481,47481,0,0,3220540,0,3303 36,3,2024-09-07 08:17:30:864,1,35,7,0,378,845,35,0 37,0,2024-09-07 08:17:31:413,6981,0.3,6892,0.6,13932,0.2,18337,2.00 37,1,2024-09-07 08:17:30:574,60282,60275,0,7,28980453210,318326336,57496,1263,1516,368,391507,0 37,2,2024-09-07 08:17:31:149,46171,46171,0,0,2636565,0,2333 37,3,2024-09-07 08:17:31:775,1,35,2,0,724,1402,35,0 38,0,2024-09-07 08:17:31:484,6447,0.3,6276,0.6,12997,0.2,17369,1.75 38,1,2024-09-07 08:17:31:609,61356,61356,0,0,28925746085,309880463,59661,1316,379,371,391512,0 38,2,2024-09-07 08:17:30:763,40048,40048,0,0,3017597,0,3245 38,3,2024-09-07 08:17:31:000,1,35,9,0,603,889,35,0 39,0,2024-09-07 08:17:31:800,8784,0.8,8626,0.9,16730,1.0,22595,2.00 39,1,2024-09-07 08:17:30:719,61400,61400,0,0,28611671580,305768851,59508,1389,503,366,391524,0 39,2,2024-09-07 08:17:31:416,42187,42187,0,0,2377758,0,2381 39,3,2024-09-07 08:17:30:713,1,35,166,0,189,773,35,0 40,0,2024-09-07 08:17:31:510,13305,1.8,13643,2.5,26803,4.1,36441,3.75 40,1,2024-09-07 08:17:30:577,61373,61373,0,0,28390896783,304694099,59370,1453,550,371,391591,0 40,2,2024-09-07 08:17:31:306,46814,46813,1,0,3682472,0,5137 40,3,2024-09-07 08:17:31:147,1,35,2,1,63,381,35,0 41,0,2024-09-07 08:17:31:068,7165,4.9,7365,8.7,14143,8.2,20058,4.50 41,1,2024-09-07 08:17:30:774,60695,60695,0,0,29356680432,313039913,59115,1384,196,370,391483,0 41,2,2024-09-07 08:17:30:763,45546,45546,0,0,4059357,0,3356 41,3,2024-09-07 08:17:31:692,1,35,2,1,51,154,35,0 42,0,2024-09-07 08:17:31:485,7181,0.5,7186,0.8,14355,0.4,18922,2.25 42,1,2024-09-07 08:17:31:466,60818,60818,0,0,29235721895,316417872,58600,1516,702,381,391511,0 42,2,2024-09-07 08:17:31:137,41023,41023,0,0,3054044,0,3568 42,3,2024-09-07 08:17:31:014,1,35,1,0,100,453,35,0 43,0,2024-09-07 08:17:30:958,6831,0.4,6728,0.7,14039,0.2,18354,2.00 43,1,2024-09-07 08:17:30:577,61089,61089,0,0,29653141620,316045415,59420,1078,591,367,391507,0 43,2,2024-09-07 08:17:31:743,42855,42855,0,0,3022038,0,3812 43,3,2024-09-07 08:17:31:749,1,35,1,1,292,808,35,0 44,0,2024-09-07 08:17:30:869,13157,0.4,13194,0.7,26146,0.5,35316,1.75 44,1,2024-09-07 08:17:30:576,61614,61614,0,0,28750049987,299702949,61171,434,9,357,391493,0 44,2,2024-09-07 08:17:31:286,44558,44558,0,0,2162049,0,1592 44,3,2024-09-07 08:17:31:092,1,35,21,1,817,1181,35,0 45,0,2024-09-07 08:17:31:807,8463,4.7,8358,2.5,17380,6.5,23448,2.50 45,1,2024-09-07 08:17:31:011,61381,61381,0,0,29982945245,313503736,61119,262,0,382,391917,0 45,2,2024-09-07 08:17:31:272,46883,46883,0,0,2969380,0,2628 45,3,2024-09-07 08:17:30:946,1,35,10,1,226,523,35,0 46,0,2024-09-07 08:17:30:971,7168,0.3,7212,0.5,14445,0.2,18784,1.75 46,1,2024-09-07 08:17:30:580,61811,61811,0,0,29486767590,306944644,61385,400,26,368,391514,0 46,2,2024-09-07 08:17:30:597,43447,43447,0,0,2498981,0,2920 46,3,2024-09-07 08:17:31:131,1,35,1,0,200,660,35,0 47,0,2024-09-07 08:17:31:106,5741,0.2,5777,0.5,11393,0.2,14810,1.50 47,1,2024-09-07 08:17:30:568,61846,61846,0,0,28997021290,300769740,61639,206,1,367,391489,0 47,2,2024-09-07 08:17:31:011,42421,42421,0,0,2560227,0,2558 47,3,2024-09-07 08:17:31:121,1,35,6,1,529,993,35,0 48,0,2024-09-07 08:17:31:563,10270,0.5,10208,0.7,20238,0.5,27830,2.00 48,1,2024-09-07 08:17:31:023,61163,61163,0,0,29409508468,312661437,59970,1188,5,386,391521,0 48,2,2024-09-07 08:17:30:699,43001,43001,0,0,2278014,0,2083 48,3,2024-09-07 08:17:30:758,1,35,8,1,23,336,35,0 49,0,2024-09-07 08:17:31:772,11251,3.3,11133,1.8,21489,5.2,29640,2.50 49,1,2024-09-07 08:17:31:024,60949,60949,0,0,28895357153,310422015,59215,1025,709,382,391583,0 49,2,2024-09-07 08:17:31:823,47245,47245,0,0,3364457,0,3900 49,3,2024-09-07 08:17:31:430,1,35,6,0,274,661,35,0 50,0,2024-09-07 08:17:31:522,7110,0.3,7049,0.5,14011,0.2,18549,1.75 50,1,2024-09-07 08:17:31:015,61601,61601,0,0,29837011148,316876978,59938,1366,297,370,391530,0 50,2,2024-09-07 08:17:31:074,45587,45587,0,0,2552202,0,2253 50,3,2024-09-07 08:17:31:293,1,35,1,1,335,671,35,0 51,0,2024-09-07 08:17:31:724,6547,0.2,6360,0.4,12521,0.1,16780,1.50 51,1,2024-09-07 08:17:31:737,60818,60818,0,0,30012344458,320186616,59046,990,782,367,391520,0 51,2,2024-09-07 08:17:31:317,40503,40503,0,0,1995449,0,2448 51,3,2024-09-07 08:17:31:029,1,35,0,0,162,416,35,0 52,0,2024-09-07 08:17:31:442,8935,1.9,8856,1.5,17850,2.7,23784,3.75 52,1,2024-09-07 08:17:30:580,60818,60818,0,0,28923924142,314311952,58368,1986,464,368,391498,0 52,2,2024-09-07 08:17:31:768,42125,42125,0,0,2958796,0,4779 52,3,2024-09-07 08:17:30:677,1,35,28,4,1782,2218,35,0 53,0,2024-09-07 08:17:31:775,12808,3.6,12404,2.2,25524,5.1,33815,3.75 53,1,2024-09-07 08:17:30:773,60795,60795,0,0,29331123794,317914717,58207,2014,574,370,391617,0 53,2,2024-09-07 08:17:31:306,47685,47685,0,0,2550274,0,1795 53,3,2024-09-07 08:17:30:711,1,35,2,1,59,247,35,0 54,0,2024-09-07 08:17:31:637,7215,10.0,7251,7.6,14428,3.2,20582,5.75 54,1,2024-09-07 08:17:30:582,61093,61093,0,0,29164032929,311284595,59334,1465,294,367,391520,0 54,2,2024-09-07 08:17:30:865,46141,46135,6,0,3503787,0,5382 54,3,2024-09-07 08:17:30:763,1,35,0,0,676,1150,35,0 55,0,2024-09-07 08:17:31:776,6467,0.5,6661,0.8,13379,0.4,17293,2.50 55,1,2024-09-07 08:17:30:768,60472,60472,0,0,28551723935,309197902,57364,2447,661,369,391731,0 55,2,2024-09-07 08:17:30:735,40072,40072,0,0,2881445,0,3275 55,3,2024-09-07 08:17:30:677,1,35,2,0,136,429,35,0 56,0,2024-09-07 08:17:31:592,7692,2.4,7297,1.7,15014,3.6,20481,3.25 56,1,2024-09-07 08:17:30:574,61045,61045,0,0,29977863758,325041982,58612,1850,583,384,391536,0 56,2,2024-09-07 08:17:31:305,42707,42707,0,0,3155221,0,3567 56,3,2024-09-07 08:17:31:070,1,35,14,2,297,674,35,0 57,0,2024-09-07 08:17:30:992,12679,2.5,12519,1.8,25304,3.5,34070,3.75 57,1,2024-09-07 08:17:30:995,61585,61585,0,0,28239327456,300078031,60591,980,14,368,391760,0 57,2,2024-09-07 08:17:31:318,45823,45823,0,0,3245804,0,2687 57,3,2024-09-07 08:17:31:749,1,35,1,1,359,892,35,0 58,0,2024-09-07 08:17:30:573,7346,2.6,7151,1.9,14845,3.4,20147,6.25 58,1,2024-09-07 08:17:30:578,61514,61513,0,1,29742446563,314861898,60220,1136,157,371,391512,1 58,2,2024-09-07 08:17:31:071,46673,46673,0,0,3048045,0,2464 58,3,2024-09-07 08:17:31:073,1,35,7,1,219,639,35,0 59,0,2024-09-07 08:17:31:768,7201,1.1,7197,1.1,14526,1.2,18838,2.75 59,1,2024-09-07 08:17:30:812,61262,61262,0,0,29486979012,312057315,60279,938,45,371,391515,0 59,2,2024-09-07 08:17:30:587,42115,42115,0,0,2559754,0,2604 59,3,2024-09-07 08:17:31:785,1,35,6,0,25,177,35,0 60,0,2024-09-07 08:17:31:757,6211,0.3,6161,0.6,12248,0.2,16619,1.75 60,1,2024-09-07 08:17:30:780,62057,62057,0,0,29315789515,308752915,61167,546,344,370,391672,0 60,2,2024-09-07 08:17:31:151,42803,42803,0,0,2082940,0,2142 60,3,2024-09-07 08:17:31:260,1,35,11,0,124,530,35,0 61,0,2024-09-07 08:17:31:516,11842,1.8,11869,1.3,23376,3.1,32030,2.25 61,1,2024-09-07 08:17:30:777,61196,61196,0,0,29071780184,311529503,59340,1385,471,383,391560,0 61,2,2024-09-07 08:17:31:128,43797,43797,0,0,2603052,0,1846 61,3,2024-09-07 08:17:31:699,1,35,23,1,124,466,35,0 62,0,2024-09-07 08:17:31:715,10112,3.2,10436,1.8,20110,4.9,27010,3.25 62,1,2024-09-07 08:17:31:115,61644,61640,0,4,29847793143,311344391,61108,530,2,366,391586,4 62,2,2024-09-07 08:17:31:645,46554,46554,0,0,2921613,0,2931 62,3,2024-09-07 08:17:31:151,1,35,15,0,287,565,35,0 63,0,2024-09-07 08:17:31:501,7183,0.5,7166,0.8,14350,0.5,18867,2.00 63,1,2024-09-07 08:17:30:813,61738,61735,0,3,28991685503,302490698,61484,250,1,382,391540,3 63,2,2024-09-07 08:17:30:771,44469,44469,0,0,2677005,0,2674 63,3,2024-09-07 08:17:31:740,1,35,1,0,216,630,35,0 64,0,2024-09-07 08:17:31:611,5711,0.5,5732,0.8,11597,0.4,14709,2.00 64,1,2024-09-07 08:17:30:751,61048,61048,0,0,29537230334,320870472,58622,1633,793,371,391604,0 64,2,2024-09-07 08:17:31:168,41342,41323,19,0,3205997,0,6121 64,3,2024-09-07 08:17:31:155,1,35,14,1,163,529,35,0 65,0,2024-09-07 08:17:31:754,8948,4.5,8974,2.6,17689,6.2,24259,5.25 65,1,2024-09-07 08:17:30:902,61016,61016,0,0,29138366597,312745061,59331,1469,216,382,391506,0 65,2,2024-09-07 08:17:31:700,42264,42264,0,0,3304156,0,3367 65,3,2024-09-07 08:17:31:699,1,35,8,1,163,585,35,0 66,0,2024-09-07 08:17:31:819,11913,2.8,12032,1.8,24197,5.1,32160,4.25 66,1,2024-09-07 08:17:31:308,62054,62054,0,0,29663615913,311363088,61414,621,19,381,391537,0 66,2,2024-09-07 08:17:31:137,47679,47679,0,0,3090499,0,3867 66,3,2024-09-07 08:17:31:080,1,35,58,1,291,673,35,0 67,0,2024-09-07 08:17:31:448,6907,0.8,6956,1.0,13665,0.8,18302,2.50 67,1,2024-09-07 08:17:30:768,61055,61054,0,1,28847619639,310570287,59390,1166,498,383,391787,1 67,2,2024-09-07 08:17:30:592,46359,46359,0,0,2899825,0,2889 67,3,2024-09-07 08:17:31:763,1,35,73,0,93,384,35,0 68,0,2024-09-07 08:17:30:587,6410,0.4,6367,0.7,12956,0.2,17069,2.00 68,1,2024-09-07 08:17:30:592,60712,60712,0,0,29295164176,313626314,59352,840,520,383,391591,0 68,2,2024-09-07 08:17:31:044,40542,40542,0,0,2536273,0,4883 68,3,2024-09-07 08:17:30:728,1,35,4,1,63,397,35,0 69,0,2024-09-07 08:17:31:747,8466,2.9,8287,1.9,16509,3.9,22410,3.75 69,1,2024-09-07 08:17:31:033,60490,60490,0,0,29132622477,317439870,58684,942,864,386,391530,0 69,2,2024-09-07 08:17:31:748,42366,42366,0,0,2873018,0,3153 69,3,2024-09-07 08:17:30:760,1,35,43,0,238,519,35,0 70,0,2024-09-07 08:17:31:542,13407,3.7,13503,3.1,26792,1.9,35872,4.75 70,1,2024-09-07 08:17:30:822,61299,61299,0,0,29649842325,312145254,60405,760,134,369,391527,0 70,2,2024-09-07 08:17:31:325,47043,47043,0,0,3324566,0,4044 70,3,2024-09-07 08:17:30:744,1,35,1,1,69,183,35,0 71,0,2024-09-07 08:17:31:372,7282,4.8,7228,6.9,14237,8.3,20150,5.25 71,1,2024-09-07 08:17:31:599,60744,60744,0,0,28881319845,309564818,58767,1663,314,368,391682,0 71,2,2024-09-07 08:17:31:075,45978,45978,0,0,2776813,0,2146 71,3,2024-09-07 08:17:31:763,1,35,9,1,174,409,35,0 72,0,2024-09-07 08:17:31:069,7437,0.4,7219,0.7,14348,0.3,19005,2.75 72,1,2024-09-07 08:17:31:030,61158,61158,0,0,28165754863,299574657,59767,1316,75,370,391514,0 72,2,2024-09-07 08:17:31:783,40346,40346,0,0,3270338,0,2570 72,3,2024-09-07 08:17:31:758,1,35,12,1,325,711,35,0 73,0,2024-09-07 08:17:31:117,6706,0.3,6924,0.6,13989,0.2,18225,2.25 73,1,2024-09-07 08:17:30:767,61513,61513,0,0,28756336326,301417927,61190,321,2,368,391533,0 73,2,2024-09-07 08:17:31:749,42783,42783,0,0,3233925,0,3482 73,3,2024-09-07 08:17:30:976,1,35,2,0,274,945,35,0 74,0,2024-09-07 08:17:31:359,13264,0.6,13461,0.8,25993,0.6,35398,2.50 74,1,2024-09-07 08:17:30:642,60962,60962,0,0,29074087599,306019789,60197,661,104,382,391494,0 74,2,2024-09-07 08:17:31:017,44415,44415,0,0,3769014,0,4253 74,3,2024-09-07 08:17:31:455,1,35,2,0,192,878,35,0 75,0,2024-09-07 08:17:31:814,8673,4.5,8722,2.4,17201,5.8,23484,3.75 75,1,2024-09-07 08:17:31:587,61705,61705,0,0,29493278810,312280467,60670,959,76,382,391514,0 75,2,2024-09-07 08:17:31:353,46749,46749,0,0,3660145,0,4766 75,3,2024-09-07 08:17:31:072,1,35,143,0,143,325,35,0 76,0,2024-09-07 08:17:30:604,7204,0.4,7173,0.7,14230,0.3,18562,2.25 76,1,2024-09-07 08:17:30:814,61279,61279,0,0,28576581870,305764865,59868,972,439,382,391530,0 76,2,2024-09-07 08:17:31:076,43685,43685,0,0,2216017,0,3064 76,3,2024-09-07 08:17:31:147,1,35,7,0,175,812,35,0 77,0,2024-09-07 08:17:31:701,5707,0.4,5678,0.7,11365,0.3,14835,2.25 77,1,2024-09-07 08:17:30:843,61414,61414,0,0,29174001590,307414061,60672,675,67,383,391512,0 77,2,2024-09-07 08:17:31:285,42320,42320,0,0,1993723,0,1637 77,3,2024-09-07 08:17:31:095,1,35,1,0,131,310,35,0 78,0,2024-09-07 08:17:31:758,10104,3.0,9969,1.7,20193,4.5,27430,2.75 78,1,2024-09-07 08:17:30:615,61968,61968,0,0,28688216634,302484873,61315,616,37,369,391589,0 78,2,2024-09-07 08:17:31:406,43058,43058,0,0,2180381,0,2114 78,3,2024-09-07 08:17:31:137,1,35,6,0,112,523,35,0 79,0,2024-09-07 08:17:31:361,10559,2.8,10892,1.7,22076,4.5,28689,4.75 79,1,2024-09-07 08:17:30:583,61398,61398,0,0,29056457116,305187127,60633,758,7,371,391486,0 79,2,2024-09-07 08:17:31:075,47024,47024,0,0,2537390,0,2679 79,3,2024-09-07 08:17:30:749,1,35,2,0,289,617,35,0 80,0,2024-09-07 08:17:31:156,6965,0.5,7242,0.8,13960,0.4,18445,2.25 80,1,2024-09-07 08:17:31:633,60749,60749,0,0,28869981383,307086902,59275,1359,115,371,391673,0 80,2,2024-09-07 08:17:31:110,45093,45093,0,0,3297351,0,4433 80,3,2024-09-07 08:17:30:576,1,35,14,1,148,797,35,0 81,0,2024-09-07 08:17:31:555,6413,0.5,6539,0.8,12483,0.4,16745,2.75 81,1,2024-09-07 08:17:31:663,61126,61126,0,0,28578844022,306559088,59410,1519,197,383,391646,0 81,2,2024-09-07 08:17:31:127,40057,40057,0,0,3080306,0,3993 81,3,2024-09-07 08:17:31:121,1,35,115,1,193,665,35,0 82,0,2024-09-07 08:17:31:548,8736,3.1,8701,2.0,17539,5.2,24054,3.00 82,1,2024-09-07 08:17:30:584,60744,60743,0,1,29367771118,315209336,58718,1453,572,384,391558,1 82,2,2024-09-07 08:17:31:697,42830,42830,0,0,2343339,0,2230 82,3,2024-09-07 08:17:31:790,1,35,1,1,211,667,35,0 83,0,2024-09-07 08:17:31:539,12902,2.7,12807,2.0,25330,3.9,33531,3.75 83,1,2024-09-07 08:17:30:551,61076,61076,0,0,29025997017,309461864,59868,1108,100,383,391553,0 83,2,2024-09-07 08:17:30:765,46804,46804,0,0,3375227,0,3119 83,3,2024-09-07 08:17:30:749,1,35,1,0,91,445,35,0 84,0,2024-09-07 08:17:31:847,7519,7.9,7475,7.8,14941,2.3,21176,4.50 84,1,2024-09-07 08:17:31:059,61197,61197,0,0,28991858659,310203350,59803,1150,244,369,391630,0 84,2,2024-09-07 08:17:30:777,45560,45560,0,0,3272072,0,3801 84,3,2024-09-07 08:17:31:148,1,35,9,1,24,356,35,0 85,0,2024-09-07 08:17:31:076,6400,0.4,6404,0.6,13487,0.3,17364,2.00 85,1,2024-09-07 08:17:31:093,60485,60485,0,0,28930203703,313481958,58348,1740,397,384,391505,0 85,2,2024-09-07 08:17:30:912,40139,40139,0,0,2756340,0,3656 85,3,2024-09-07 08:17:30:688,1,35,9,1,115,476,35,0 86,0,2024-09-07 08:17:30:937,7516,1.0,7763,1.0,15076,1.2,20711,2.25 86,1,2024-09-07 08:17:30:853,60619,60619,0,0,29155977134,311893007,58917,1490,212,367,391506,0 86,2,2024-09-07 08:17:30:875,42547,42546,1,0,3337609,0,5004 86,3,2024-09-07 08:17:30:594,1,35,42,1,199,677,35,0 87,0,2024-09-07 08:17:31:349,12963,2.6,12923,1.6,25983,3.8,34735,2.75 87,1,2024-09-07 08:17:30:585,61102,61102,0,0,29304287919,312656420,59778,1235,89,369,391569,0 87,2,2024-09-07 08:17:31:090,46055,46055,0,0,2720278,0,2859 87,3,2024-09-07 08:17:31:798,1,35,6,1,322,842,35,0 88,0,2024-09-07 08:17:31:576,8172,2.1,8227,1.5,16233,3.0,22098,2.25 88,1,2024-09-07 08:17:30:590,61116,61116,0,0,29187164365,310145916,60014,1010,92,367,391747,0 88,2,2024-09-07 08:17:30:704,46586,46586,0,0,3437541,0,3583 88,3,2024-09-07 08:17:31:275,1,35,9,1,77,528,35,0 89,0,2024-09-07 08:17:31:854,7445,0.4,7240,0.6,14364,0.3,19199,1.75 89,1,2024-09-07 08:17:30:551,60923,60923,0,0,29620047793,318455734,59204,1105,614,383,391866,0 89,2,2024-09-07 08:17:31:149,41743,41743,0,0,2819600,0,2726 89,3,2024-09-07 08:17:31:799,1,35,26,0,325,968,35,0 90,0,2024-09-07 08:17:31:752,5954,0.3,6056,0.4,12515,0.2,16607,1.75 90,1,2024-09-07 08:17:30:597,61133,61133,0,0,28698543965,309635056,59251,1749,133,382,391531,0 90,2,2024-09-07 08:17:31:432,42142,42142,0,0,3344916,0,2635 90,3,2024-09-07 08:17:30:937,1,35,8,1,200,516,35,0 91,0,2024-09-07 08:17:30:957,12081,0.5,11661,0.7,24216,0.6,32200,1.75 91,1,2024-09-07 08:17:30:598,61049,61049,0,0,29498844655,316673384,59117,1574,358,384,391914,0 91,2,2024-09-07 08:17:31:345,43240,43240,0,0,2527961,0,1997 91,3,2024-09-07 08:17:30:614,1,35,12,1,155,443,35,0 92,0,2024-09-07 08:17:31:521,10261,2.5,10561,1.5,20188,4.1,27151,2.00 92,1,2024-09-07 08:17:30:617,61357,61357,0,0,28971162646,304180076,60955,355,47,383,391569,0 92,2,2024-09-07 08:17:31:394,47886,47886,0,0,2772829,0,2279 92,3,2024-09-07 08:17:31:055,1,35,20,1,68,456,35,0 93,0,2024-09-07 08:17:31:003,7188,0.5,7340,0.7,13988,0.3,18750,1.75 93,1,2024-09-07 08:17:30:813,61056,61056,0,0,28807415409,307535171,59649,976,431,368,391689,0 93,2,2024-09-07 08:17:30:948,44539,44539,0,0,2384164,0,2509 93,3,2024-09-07 08:17:31:429,1,35,1,1,143,380,35,0 94,0,2024-09-07 08:17:31:641,5872,0.3,5810,0.4,11407,0.2,14800,1.50 94,1,2024-09-07 08:17:30:569,60985,60985,0,0,28472450285,302767410,59925,1002,58,381,391850,0 94,2,2024-09-07 08:17:30:773,41197,41197,0,0,2337097,0,2443 94,3,2024-09-07 08:17:31:699,1,35,2,1,231,766,35,0 95,0,2024-09-07 08:17:31:438,9173,0.6,9162,0.7,18910,0.6,24688,1.75 95,1,2024-09-07 08:17:30:873,61357,61357,0,0,28540299716,299319977,61029,324,4,368,391590,0 95,2,2024-09-07 08:17:31:063,42356,42356,0,0,2509780,0,3308 95,3,2024-09-07 08:17:31:741,1,35,20,0,307,700,35,0 96,0,2024-09-07 08:17:31:173,12619,2.2,12440,1.4,25054,3.3,32677,2.25 96,1,2024-09-07 08:17:31:593,61074,61074,0,0,28893294609,312075184,59270,1237,567,385,391551,0 96,2,2024-09-07 08:17:31:281,47319,47319,0,0,3641646,0,4038 96,3,2024-09-07 08:17:31:162,1,35,11,2,36,465,35,0 97,0,2024-09-07 08:17:31:361,6948,0.7,6917,0.8,13726,0.9,18309,2.00 97,1,2024-09-07 08:17:30:768,60964,60964,0,0,29325934848,314310742,58967,1481,516,367,391626,0 97,2,2024-09-07 08:17:30:642,45467,45467,0,0,2929332,0,3036 97,3,2024-09-07 08:17:30:592,1,35,2,1,165,511,35,0 98,0,2024-09-07 08:17:31:760,6449,0.3,6410,0.4,12888,0.2,17387,1.50 98,1,2024-09-07 08:17:30:583,60924,60924,0,0,28963826550,308508007,59890,992,42,382,391588,0 98,2,2024-09-07 08:17:30:792,40306,40306,0,0,2730470,0,3080 98,3,2024-09-07 08:17:30:698,1,35,3,1,155,532,35,0 99,0,2024-09-07 08:17:31:498,8362,0.5,8263,0.7,16717,0.5,22447,2.00 99,1,2024-09-07 08:17:31:733,61701,61701,0,0,28445661180,303100948,60406,857,438,381,391602,0 99,2,2024-09-07 08:17:31:423,42788,42788,0,0,2828064,0,1858 99,3,2024-09-07 08:17:30:611,1,35,6,1,129,450,35,0 100,0,2024-09-07 08:17:31:538,13499,1.8,13549,3.3,26985,5.0,36891,3.00 100,1,2024-09-07 08:17:30:554,60773,60773,0,0,28642591318,313046025,58185,2245,343,382,391585,0 100,2,2024-09-07 08:17:31:833,46559,46548,11,0,4215158,0,5417 100,3,2024-09-07 08:17:31:738,1,35,20,1,443,1452,35,0 101,0,2024-09-07 08:17:31:795,7662,7.1,7374,4.3,14838,5.4,21359,3.50 101,1,2024-09-07 08:17:30:631,60657,60657,0,0,28939021314,311003072,59220,989,448,370,391531,0 101,2,2024-09-07 08:17:31:798,46213,46213,0,0,3450596,0,4644 101,3,2024-09-07 08:17:30:948,1,35,80,1,448,780,35,0 102,0,2024-09-07 08:17:31:011,7062,0.7,7373,0.7,14653,0.4,18865,2.00 102,1,2024-09-07 08:17:31:158,61198,61198,0,0,29299387491,314428152,59319,1439,440,369,391647,0 102,2,2024-09-07 08:17:31:784,40806,40806,0,0,2155400,0,1945 102,3,2024-09-07 08:17:31:624,1,35,5,0,410,670,35,0 103,0,2024-09-07 08:17:31:611,7083,0.3,7063,0.6,13373,0.2,18423,1.75 103,1,2024-09-07 08:17:31:634,61365,61365,0,0,28861155943,307193662,60310,914,141,381,391680,0 103,2,2024-09-07 08:17:30:600,43093,43093,0,0,2550258,0,1878 103,3,2024-09-07 08:17:30:762,1,35,13,1,486,869,35,0 104,0,2024-09-07 08:17:31:049,13256,1.0,13406,1.0,25834,1.1,35254,2.00 104,1,2024-09-07 08:17:31:621,61402,61402,0,0,28342318898,305447358,59719,1361,322,368,391948,0 104,2,2024-09-07 08:17:31:725,44127,44127,0,0,3303265,0,3941 104,3,2024-09-07 08:17:31:420,1,35,23,2,301,805,35,0 105,0,2024-09-07 08:17:31:146,8508,4.3,8209,2.6,17020,7.1,23166,4.50 105,1,2024-09-07 08:17:30:592,60835,60835,0,0,29160208728,314002880,58613,1626,596,367,391797,0 105,2,2024-09-07 08:17:31:331,46511,46511,0,0,3146165,0,3314 105,3,2024-09-07 08:17:31:320,1,35,18,1,169,767,35,0 106,0,2024-09-07 08:17:30:989,6968,0.4,7089,0.7,14392,0.3,18713,2.00 106,1,2024-09-07 08:17:31:795,61291,61291,0,0,29189112030,312846707,59483,1531,277,371,391767,0 106,2,2024-09-07 08:17:30:766,43125,43125,0,0,2786915,0,1818 106,3,2024-09-07 08:17:30:705,1,35,1,1,171,410,35,0 107,0,2024-09-07 08:17:31:121,5743,0.3,5719,0.5,11357,0.2,14763,1.75 107,1,2024-09-07 08:17:30:619,61129,61129,0,0,28904051275,308970608,59887,1116,126,382,391848,0 107,2,2024-09-07 08:17:31:315,42194,42193,1,0,2631761,0,5024 107,3,2024-09-07 08:17:31:774,1,35,35,0,353,800,35,0 108,0,2024-09-07 08:17:31:869,10205,1.4,10305,1.1,20314,2.0,27739,2.00 108,1,2024-09-07 08:17:31:296,60939,60939,0,0,29440503192,311719392,59741,1092,106,371,391585,0 108,2,2024-09-07 08:17:31:797,43220,43220,0,0,2814006,0,2647 108,3,2024-09-07 08:17:31:343,1,35,8,1,60,503,35,0 109,0,2024-09-07 08:17:31:870,11195,1.9,11281,1.3,21901,3.1,29703,2.25 109,1,2024-09-07 08:17:30:585,61425,61425,0,0,29481364714,313437665,60633,740,52,385,391664,0 109,2,2024-09-07 08:17:30:951,46341,46341,0,0,3174468,0,3617 109,3,2024-09-07 08:17:31:152,1,35,12,1,120,604,35,0 110,0,2024-09-07 08:17:31:776,7135,0.3,6948,0.6,14512,0.2,18525,1.75 110,1,2024-09-07 08:17:31:660,61258,61258,0,0,28734975427,303177790,60245,730,283,370,391564,0 110,2,2024-09-07 08:17:31:315,45758,45758,0,0,2522408,0,2915 110,3,2024-09-07 08:17:30:706,1,35,63,0,183,437,35,0 111,0,2024-09-07 08:17:31:449,6265,0.2,6375,0.4,12491,0.1,16660,1.50 111,1,2024-09-07 08:17:31:015,61839,61839,0,0,29915106336,311711131,61459,368,12,383,391690,0 111,2,2024-09-07 08:17:31:129,40885,40885,0,0,2401668,0,2763 111,3,2024-09-07 08:17:30:946,1,35,7,1,119,791,35,0 112,0,2024-09-07 08:17:30:937,8912,0.9,8945,0.8,17845,0.8,24334,2.00 112,1,2024-09-07 08:17:30:834,61237,61237,0,0,29259928516,312525593,59760,1131,346,382,391522,0 112,2,2024-09-07 08:17:31:139,42062,42061,1,0,3382567,0,5036 112,3,2024-09-07 08:17:30:596,1,35,1,1,282,605,35,0 113,0,2024-09-07 08:17:30:957,13148,1.0,12965,1.0,26318,1.5,34927,2.00 113,1,2024-09-07 08:17:31:712,61099,61099,0,0,29196059040,311458321,59255,1461,383,368,391661,0 113,2,2024-09-07 08:17:31:314,47137,47137,0,0,2703072,0,3021 113,3,2024-09-07 08:17:30:688,1,35,21,1,166,664,35,0 114,0,2024-09-07 08:17:30:887,7874,5.4,7983,3.8,15708,3.0,21673,2.50 114,1,2024-09-07 08:17:30:718,60899,60899,0,0,29410257553,318868178,58478,1561,860,381,391513,0 114,2,2024-09-07 08:17:30:881,45850,45850,0,0,3376270,0,3925 114,3,2024-09-07 08:17:31:278,1,35,8,1,159,621,35,0 115,0,2024-09-07 08:17:30:568,6649,0.3,6651,0.5,13308,0.1,17461,1.50 115,1,2024-09-07 08:17:30:580,61199,61199,0,0,29373310430,316292747,58996,1717,486,384,391502,0 115,2,2024-09-07 08:17:31:124,40842,40842,0,0,2338071,0,2152 115,3,2024-09-07 08:17:31:012,1,35,61,0,159,412,35,0 116,0,2024-09-07 08:17:31:756,7603,0.9,7507,1.0,15105,1.5,20336,2.25 116,1,2024-09-07 08:17:30:848,60729,60729,0,0,28907828430,313784774,59156,714,859,382,391573,0 116,2,2024-09-07 08:17:31:773,42398,42398,0,0,2894540,0,3529 116,3,2024-09-07 08:17:30:920,1,35,12,2,252,975,35,0 117,0,2024-09-07 08:17:31:177,13021,1.8,13035,1.3,26027,3.2,34874,2.25 117,1,2024-09-07 08:17:31:606,61128,61128,0,0,28763878423,308647807,59504,1383,241,371,391601,0 117,2,2024-09-07 08:17:31:128,45822,45822,0,0,2973679,0,3700 117,3,2024-09-07 08:17:31:076,1,35,5,1,490,1320,35,0 118,0,2024-09-07 08:17:31:816,7872,1.8,7996,1.4,16480,2.5,22030,2.50 118,1,2024-09-07 08:17:30:653,61166,61166,0,0,28536460558,303710801,60258,833,75,368,391736,0 118,2,2024-09-07 08:17:31:615,46701,46701,0,0,3113272,0,2781 118,3,2024-09-07 08:17:31:793,1,35,13,1,229,679,35,0 119,0,2024-09-07 08:17:31:347,7045,0.3,7171,0.6,14638,0.2,19115,1.75 119,1,2024-09-07 08:17:30:549,61079,61079,0,0,28986063620,309980641,59634,1298,147,371,391576,0 119,2,2024-09-07 08:17:31:282,41456,41456,0,0,2659183,0,2532 119,3,2024-09-07 08:17:31:339,1,35,5,1,443,1453,35,0 120,0,2024-09-07 08:17:31:656,6206,0.3,6168,0.6,12313,0.2,16572,1.75 120,1,2024-09-07 08:17:30:876,61168,61168,0,0,29181259987,311398861,60040,1114,14,369,391702,0 120,2,2024-09-07 08:17:30:778,42186,42185,1,0,3364802,0,5281 120,3,2024-09-07 08:17:31:293,1,35,3,1,241,756,35,0 121,0,2024-09-07 08:17:31:731,11866,2.4,11798,1.6,23647,4.1,32490,2.50 121,1,2024-09-07 08:17:31:665,61174,61174,0,0,29393217945,313042792,59898,1037,239,370,391807,0 121,2,2024-09-07 08:17:31:161,43418,43418,0,0,3874793,0,4127 121,3,2024-09-07 08:17:30:740,1,35,6,1,101,417,35,0 122,0,2024-09-07 08:17:31:825,10018,3.6,9685,3.2,20242,3.9,27251,2.75 122,1,2024-09-07 08:17:30:876,60530,60530,0,0,28815295920,311372729,58467,1693,370,369,392130,0 122,2,2024-09-07 08:17:31:319,46891,46891,0,0,3840751,0,2649 122,3,2024-09-07 08:17:30:595,1,35,21,1,226,1143,35,0 123,0,2024-09-07 08:17:31:005,7200,0.4,6950,0.7,14384,0.2,18759,1.75 123,1,2024-09-07 08:17:30:594,60849,60849,0,0,28979131763,316171141,57818,2513,518,371,391823,0 123,2,2024-09-07 08:17:31:026,44226,44225,1,0,3082181,0,5215 123,3,2024-09-07 08:17:31:139,1,35,1,1,160,632,35,0 124,0,2024-09-07 08:17:30:954,5940,0.3,5935,0.5,11252,0.2,14874,1.75 124,1,2024-09-07 08:17:31:032,61699,61699,0,0,28949861329,302146132,61517,180,2,370,392129,0 124,2,2024-09-07 08:17:31:020,41162,41162,0,0,2045031,0,2477 124,3,2024-09-07 08:17:30:769,1,35,13,2,490,1238,35,0 125,0,2024-09-07 08:17:31:429,9326,0.9,9178,0.9,18469,1.1,24790,2.00 125,1,2024-09-07 08:17:30:859,61132,61132,0,0,29446721449,311943144,60101,1009,22,385,391702,0 125,2,2024-09-07 08:17:31:145,42399,42399,0,0,2576369,0,2180 125,3,2024-09-07 08:17:31:139,1,35,4,1,93,726,35,0 126,0,2024-09-07 08:17:31:432,12567,2.0,12809,1.3,24626,2.8,33111,2.25 126,1,2024-09-07 08:17:30:553,61681,61681,0,0,30185032956,315694637,61210,462,9,368,391719,0 126,2,2024-09-07 08:17:30:622,47526,47526,0,0,2826447,0,3186 126,3,2024-09-07 08:17:30:949,1,35,15,1,122,389,35,0 127,0,2024-09-07 08:17:31:642,7006,0.3,6957,0.5,13907,0.2,18259,1.75 127,1,2024-09-07 08:17:30:579,61296,61296,0,0,29213284529,306267574,60554,720,22,365,391614,0 127,2,2024-09-07 08:17:30:648,46269,46269,0,0,2451971,0,1803 127,3,2024-09-07 08:17:31:271,1,35,57,0,99,378,35,0 128,0,2024-09-07 08:17:31:538,6433,0.3,6523,0.5,12636,0.2,17336,1.75 128,1,2024-09-07 08:17:31:608,61358,61358,0,0,28965266201,305207887,60678,614,66,369,391605,0 128,2,2024-09-07 08:17:31:404,39613,39613,0,0,2891468,0,2107 128,3,2024-09-07 08:17:30:777,1,35,17,1,25,432,35,0 129,0,2024-09-07 08:17:31:010,8394,0.8,8577,0.9,16855,0.9,22522,2.00 129,1,2024-09-07 08:17:30:568,61000,61000,0,0,29149313690,312328718,59364,1364,272,379,391835,0 129,2,2024-09-07 08:17:30:698,42301,42301,0,0,2503795,0,2446 129,3,2024-09-07 08:17:30:699,1,35,9,1,173,787,35,0 130,0,2024-09-07 08:17:31:752,13860,1.7,13700,1.3,27345,2.3,37566,2.50 130,1,2024-09-07 08:17:30:589,61432,61432,0,0,29115766556,310540842,60189,1216,27,381,391520,0 130,2,2024-09-07 08:17:31:128,47155,47155,0,0,3411341,0,4067 130,3,2024-09-07 08:17:31:295,1,35,2,1,207,484,35,0 131,0,2024-09-07 08:17:31:936,7900,2.1,7675,1.7,15799,2.6,21415,2.25 131,1,2024-09-07 08:17:31:837,61454,61454,0,0,29033769380,308775706,60479,789,186,385,391865,0 131,2,2024-09-07 08:17:30:588,45579,45579,0,0,2782727,0,2415 131,3,2024-09-07 08:17:31:716,1,35,392,1,392,628,35,0 132,0,2024-09-07 08:17:31:428,7094,0.4,7312,0.8,14486,0.4,18948,2.00 132,1,2024-09-07 08:17:30:578,60421,60421,0,0,28404927363,309286944,58105,1612,704,383,391533,0 132,2,2024-09-07 08:17:30:699,40455,40455,0,0,4072980,0,4606 132,3,2024-09-07 08:17:31:700,1,35,10,3,356,739,35,0 133,0,2024-09-07 08:17:31:534,6807,0.3,6918,0.6,14176,0.2,18216,1.75 133,1,2024-09-07 08:17:30:583,60299,60299,0,0,28606382733,309257301,58296,1913,90,383,391513,0 133,2,2024-09-07 08:17:31:099,43272,43272,0,0,3114761,0,2444 133,3,2024-09-07 08:17:31:297,1,35,20,1,187,460,35,0 134,0,2024-09-07 08:17:31:020,13176,0.6,13085,0.8,26431,0.6,35309,2.00 134,1,2024-09-07 08:17:30:590,60859,60859,0,0,29185593378,316555537,58333,1547,979,366,391517,0 134,2,2024-09-07 08:17:31:799,44629,44629,0,0,2462138,0,2026 134,3,2024-09-07 08:17:30:749,1,35,61,1,63,453,35,0 135,0,2024-09-07 08:17:31:114,8552,3.1,8530,2.6,18039,3.2,23224,2.75 135,1,2024-09-07 08:17:31:609,60793,60793,0,0,29281260978,312860182,59396,1147,250,383,391528,0 135,2,2024-09-07 08:17:30:706,46943,46943,0,0,3205249,0,3981 135,3,2024-09-07 08:17:31:013,1,35,27,0,68,376,35,0 136,0,2024-09-07 08:17:31:632,7215,0.5,7189,0.8,14275,0.4,18701,2.25 136,1,2024-09-07 08:17:31:441,61267,61267,0,0,28690078481,306627007,59826,1300,141,385,391518,0 136,2,2024-09-07 08:17:31:136,44071,44071,0,0,2360744,0,2199 136,3,2024-09-07 08:17:31:106,1,35,12,1,108,553,35,0 137,0,2024-09-07 08:17:31:037,5874,0.4,5717,0.7,11309,0.2,14829,2.00 137,1,2024-09-07 08:17:30:575,60908,60908,0,0,29007730797,310346956,59221,1578,109,368,391548,0 137,2,2024-09-07 08:17:31:711,41535,41535,0,0,3205582,0,2294 137,3,2024-09-07 08:17:30:772,1,35,2,1,227,520,35,0 138,0,2024-09-07 08:17:31:771,9689,1.3,10173,1.3,19940,1.5,27121,2.50 138,1,2024-09-07 08:17:31:742,60896,60896,0,0,29071278334,310646648,59310,1354,232,371,391573,0 138,2,2024-09-07 08:17:30:613,43396,43396,0,0,2544088,0,3263 138,3,2024-09-07 08:17:30:614,1,35,2,1,68,315,35,0 139,0,2024-09-07 08:17:31:433,10653,5.8,10580,3.3,21566,6.2,29076,4.50 139,1,2024-09-07 08:17:30:698,60628,60628,0,0,28539134338,313198296,58082,1836,710,383,391892,0 139,2,2024-09-07 08:17:30:721,46905,46905,0,0,3167694,0,2425 139,3,2024-09-07 08:17:31:721,1,35,1,1,244,885,35,0 140,0,2024-09-07 08:17:31:603,7091,0.4,6957,0.6,14122,0.3,18599,1.75 140,1,2024-09-07 08:17:31:574,61787,61787,0,0,29586978515,309228237,61171,425,191,365,391483,0 140,2,2024-09-07 08:17:30:689,45419,45419,0,0,3161995,0,3388 140,3,2024-09-07 08:17:30:775,1,35,16,1,25,334,35,0 141,0,2024-09-07 08:17:31:776,6173,0.2,6430,0.4,12449,0.1,16659,1.50 141,1,2024-09-07 08:17:30:872,61600,61600,0,0,29590296690,313360511,60238,1031,331,382,391532,0 141,2,2024-09-07 08:17:31:697,41043,41043,0,0,2189086,0,2342 141,3,2024-09-07 08:17:31:046,1,35,1,0,53,273,35,0 142,0,2024-09-07 08:17:31:358,9099,0.4,8976,0.7,17937,0.4,24334,1.75 142,1,2024-09-07 08:17:30:601,61387,61387,0,0,29362132735,307898962,61137,249,1,384,391649,0 142,2,2024-09-07 08:17:31:304,42219,42219,0,0,2560055,0,2293 142,3,2024-09-07 08:17:31:792,1,35,2,1,263,529,35,0 143,0,2024-09-07 08:17:31:389,13093,1.5,13120,1.2,26352,1.9,34778,2.25 143,1,2024-09-07 08:17:30:559,61293,61293,0,0,29281943959,307202404,60493,777,23,367,391608,0 143,2,2024-09-07 08:17:30:777,47139,47139,0,0,2964167,0,2669 143,3,2024-09-07 08:17:31:148,1,35,3,1,236,912,35,0 144,0,2024-09-07 08:17:31:544,7459,3.5,7714,8.8,15412,2.9,21459,2.75 144,1,2024-09-07 08:17:30:573,60813,60813,0,0,28868143252,310948586,59272,1353,188,383,391617,0 144,2,2024-09-07 08:17:31:761,45973,45973,0,0,3058495,0,3473 144,3,2024-09-07 08:17:31:750,1,35,4,1,112,567,35,0 145,0,2024-09-07 08:17:31:373,6372,0.4,6322,0.7,13305,0.3,17314,2.00 145,1,2024-09-07 08:17:30:572,60246,60246,0,0,28405609474,304633629,58670,1317,259,383,391615,0 145,2,2024-09-07 08:17:31:429,40276,40276,0,0,3140374,0,3903 145,3,2024-09-07 08:17:30:896,1,35,1,0,151,756,35,0 146,0,2024-09-07 08:17:31:616,7566,0.9,7504,1.1,15153,1.7,20420,2.25 146,1,2024-09-07 08:17:31:604,61166,61166,0,0,28726340980,308412190,59187,1681,298,370,391600,0 146,2,2024-09-07 08:17:31:733,42715,42715,0,0,2477856,0,2149 146,3,2024-09-07 08:17:31:276,1,35,2,0,54,318,35,0 147,0,2024-09-07 08:17:31:776,13036,1.9,12830,1.5,25514,2.7,34895,2.75 147,1,2024-09-07 08:17:31:374,61648,61648,0,0,29227628548,306717163,60923,598,127,369,391791,0 147,2,2024-09-07 08:17:31:015,46533,46533,0,0,2977905,0,2789 147,3,2024-09-07 08:17:30:945,1,35,18,1,141,622,35,0 0,0,2024-09-07 08:17:41:746,6272,0.5,6272,0.8,13280,0.4,17473,2.00 0,1,2024-09-07 08:17:40:804,62954,62954,0,0,30059579278,321572582,61777,1052,125,373,391673,0 0,2,2024-09-07 08:17:41:074,43503,43503,0,0,3188289,0,4480 0,3,2024-09-07 08:17:40:977,1,36,3,2,247,710,36,0 1,0,2024-09-07 08:17:41:806,12122,2.7,12151,1.9,24526,4.0,33417,3.00 1,1,2024-09-07 08:17:40:559,62506,62506,0,0,29518492169,317161028,60862,1119,525,372,391857,0 1,2,2024-09-07 08:17:40:640,45020,45020,0,0,2899744,0,3267 1,3,2024-09-07 08:17:41:306,1,36,10,1,167,474,36,0 2,0,2024-09-07 08:17:41:578,9961,3.7,10161,2.2,20036,6.0,26741,4.25 2,1,2024-09-07 08:17:40:860,62624,62624,0,0,30242025124,320811422,61602,819,203,382,391531,0 2,2,2024-09-07 08:17:41:272,47558,47558,0,0,3222041,0,3304 2,3,2024-09-07 08:17:40:694,1,36,13,1,87,403,36,0 3,0,2024-09-07 08:17:41:754,7364,0.4,7297,0.7,14650,0.3,19139,2.25 3,1,2024-09-07 08:17:41:618,62689,62689,0,0,29895341967,320614246,60748,1555,386,383,391494,0 3,2,2024-09-07 08:17:41:154,45230,45207,23,0,3680638,0,5851 3,3,2024-09-07 08:17:41:752,1,36,10,1,103,408,36,0 4,0,2024-09-07 08:17:41:801,5585,0.4,5847,0.6,11611,0.3,14848,2.00 4,1,2024-09-07 08:17:40:604,62808,62808,0,0,29299373861,315793175,61085,1308,415,372,391682,0 4,2,2024-09-07 08:17:41:017,42364,42364,0,0,3691194,0,4528 4,3,2024-09-07 08:17:41:032,1,36,8,8,287,790,36,0 5,0,2024-09-07 08:17:41:453,9556,1.1,9481,1.1,19144,1.8,25731,2.25 5,1,2024-09-07 08:17:40:754,62457,62457,0,0,29805580701,320434098,60453,1579,425,368,392005,0 5,2,2024-09-07 08:17:41:830,43861,43861,0,0,2729088,0,2148 5,3,2024-09-07 08:17:41:732,1,36,3,1,182,879,36,0 6,0,2024-09-07 08:17:40:948,12517,3.1,12395,1.9,24647,5.5,33023,4.50 6,1,2024-09-07 08:17:40:747,63064,63064,0,0,30034897962,318053662,62021,974,69,382,391603,0 6,2,2024-09-07 08:17:41:136,48607,48607,0,0,3088699,0,2232 6,3,2024-09-07 08:17:41:276,1,36,1,1,277,732,36,0 7,0,2024-09-07 08:17:41:555,7014,0.4,7028,0.6,14222,0.2,18892,1.75 7,1,2024-09-07 08:17:40:852,62695,62695,0,0,30258196725,320445832,61833,798,64,384,391558,0 7,2,2024-09-07 08:17:40:770,46837,46837,0,0,3020148,0,2981 7,3,2024-09-07 08:17:40:851,1,36,3,0,83,467,36,0 8,0,2024-09-07 08:17:41:361,6953,0.3,6785,0.6,13379,0.2,18236,1.75 8,1,2024-09-07 08:17:41:018,62659,62659,0,0,30222616417,331768965,59592,1829,1238,368,391724,0 8,2,2024-09-07 08:17:40:795,40870,40870,0,0,2928635,0,2986 8,3,2024-09-07 08:17:40:589,1,36,12,1,229,750,36,0 9,0,2024-09-07 08:17:41:112,8772,0.4,8459,0.7,17619,0.4,23131,1.75 9,1,2024-09-07 08:17:40:552,62828,62828,0,0,30014493163,323254605,60699,1566,563,370,391553,0 9,2,2024-09-07 08:17:41:082,43497,43497,0,0,3049062,0,3360 9,3,2024-09-07 08:17:41:756,1,36,6,0,127,558,36,0 10,0,2024-09-07 08:17:41:618,14131,1.2,14143,1.1,28409,1.7,38309,3.75 10,1,2024-09-07 08:17:40:595,62308,62308,0,0,29289876410,317163235,59715,2189,404,383,391541,0 10,2,2024-09-07 08:17:40:776,48084,48084,0,0,3347192,0,2940 10,3,2024-09-07 08:17:40:878,1,36,3,0,118,311,36,0 11,0,2024-09-07 08:17:41:018,7772,4.5,7609,2.8,15912,6.7,22150,3.25 11,1,2024-09-07 08:17:40:571,62861,62861,0,0,30318670516,327051363,60558,1566,737,384,391485,0 11,2,2024-09-07 08:17:41:128,47119,47119,0,0,3283855,0,2635 11,3,2024-09-07 08:17:41:303,1,36,30,1,720,1082,36,0 12,0,2024-09-07 08:17:40:953,7486,0.3,7488,0.5,14938,0.2,19369,1.50 12,1,2024-09-07 08:17:40:935,62943,62943,0,0,29444644508,312393573,61923,996,24,372,391661,0 12,2,2024-09-07 08:17:41:548,42543,42543,0,0,2672684,0,2254 12,3,2024-09-07 08:17:41:058,1,36,9,1,358,993,36,0 13,0,2024-09-07 08:17:41:365,7086,0.3,7215,0.5,14377,0.2,19042,1.75 13,1,2024-09-07 08:17:41:543,63066,63066,0,0,29832016351,315927331,62439,588,39,384,391563,0 13,2,2024-09-07 08:17:40:616,44434,44434,0,0,2247577,0,3287 13,3,2024-09-07 08:17:41:768,1,36,1,1,153,534,36,0 14,0,2024-09-07 08:17:40:565,13667,0.5,13611,0.6,27107,0.5,36246,1.75 14,1,2024-09-07 08:17:41:561,63662,63662,0,0,29361807533,307321043,63070,563,29,365,391546,0 14,2,2024-09-07 08:17:40:771,46074,46074,0,0,2898576,0,2091 14,3,2024-09-07 08:17:41:120,1,36,1,1,906,1197,36,0 15,0,2024-09-07 08:17:41:561,8704,4.6,8620,2.5,17284,6.5,23667,4.00 15,1,2024-09-07 08:17:41:615,63115,63115,0,0,29714285733,312644780,62585,528,2,381,391536,0 15,2,2024-09-07 08:17:41:001,48512,48512,0,0,2406343,0,3043 15,3,2024-09-07 08:17:41:409,1,36,1,0,538,956,36,0 16,0,2024-09-07 08:17:40:951,7231,0.4,7319,0.7,14462,0.3,18954,2.25 16,1,2024-09-07 08:17:40:564,63077,63077,0,0,29514757648,315270644,62000,1058,19,373,391543,0 16,2,2024-09-07 08:17:41:457,43220,43220,0,0,3510417,0,4719 16,3,2024-09-07 08:17:41:149,1,36,1,1,231,924,36,0 17,0,2024-09-07 08:17:41:807,5902,0.4,5940,0.7,11412,0.3,15204,2.25 17,1,2024-09-07 08:17:40:571,62554,62554,0,0,29532593067,318841457,60919,1116,519,369,391688,0 17,2,2024-09-07 08:17:41:668,43894,43894,0,0,2654844,0,2857 17,3,2024-09-07 08:17:40:574,1,36,0,0,268,966,36,0 18,0,2024-09-07 08:17:40:951,10421,1.0,10634,1.0,21441,1.2,28838,2.50 18,1,2024-09-07 08:17:41:645,62603,62603,0,0,29707696997,315734597,61386,999,218,370,391526,0 18,2,2024-09-07 08:17:41:756,43731,43731,0,0,3547938,0,3541 18,3,2024-09-07 08:17:40:895,1,36,1,0,163,704,36,0 19,0,2024-09-07 08:17:41:551,10790,3.7,10948,2.2,21514,6.6,28545,6.00 19,1,2024-09-07 08:17:40:567,62633,62633,0,0,29979866473,321092064,60878,1593,162,367,391696,0 19,2,2024-09-07 08:17:41:753,48234,48234,0,0,3427152,0,3988 19,3,2024-09-07 08:17:41:129,1,36,1,0,524,669,36,0 20,0,2024-09-07 08:17:41:395,7203,0.3,7315,0.5,14285,0.2,18467,2.00 20,1,2024-09-07 08:17:40:571,62617,62617,0,0,30077409173,321721551,60958,1499,160,370,391598,0 20,2,2024-09-07 08:17:40:943,46167,46167,0,0,2761502,0,2446 20,3,2024-09-07 08:17:40:589,1,36,1,1,99,619,36,0 21,0,2024-09-07 08:17:41:138,6680,0.4,6606,0.7,13061,0.3,17035,2.00 21,1,2024-09-07 08:17:41:573,62281,62281,0,0,29431530683,317923184,60187,1610,484,368,391962,0 21,2,2024-09-07 08:17:41:073,41976,41976,0,0,2695892,0,3747 21,3,2024-09-07 08:17:41:410,1,36,1,1,93,591,36,0 22,0,2024-09-07 08:17:41:728,9110,1.7,9069,1.4,18037,2.3,24704,2.75 22,1,2024-09-07 08:17:41:024,62298,62298,0,0,28858880443,315262608,59396,2177,725,382,391667,0 22,2,2024-09-07 08:17:40:764,43443,43443,0,0,2689204,0,3134 22,3,2024-09-07 08:17:41:066,1,36,2,0,48,194,36,0 23,0,2024-09-07 08:17:41:383,12975,2.7,13252,1.5,26274,4.0,34906,3.25 23,1,2024-09-07 08:17:41:004,63492,63492,0,0,30503734508,321629919,62395,862,235,368,391496,0 23,2,2024-09-07 08:17:41:095,48940,48940,0,0,2791557,0,2078 23,3,2024-09-07 08:17:41:754,1,36,76,0,645,997,36,0 24,0,2024-09-07 08:17:40:862,8365,0.9,8339,1.0,16816,1.6,22446,1.75 24,1,2024-09-07 08:17:40:600,63421,63421,0,0,29690366328,313422433,62743,673,5,371,391640,0 24,2,2024-09-07 08:17:41:092,46750,46750,0,0,3982870,0,2942 24,3,2024-09-07 08:17:41:686,1,36,1,1,234,633,36,0 25,0,2024-09-07 08:17:41:375,6950,0.3,6902,0.5,13218,0.2,17974,1.50 25,1,2024-09-07 08:17:40:559,62845,62845,0,0,29849863347,316771589,61844,954,47,373,391544,0 25,2,2024-09-07 08:17:41:628,41197,41197,0,0,3179806,0,3284 25,3,2024-09-07 08:17:41:007,1,36,3,1,50,326,36,0 26,0,2024-09-07 08:17:41:732,7949,0.5,7871,0.7,16410,0.5,21941,2.00 26,1,2024-09-07 08:17:41:543,62766,62766,0,0,29665951601,322966530,59974,2014,778,382,391542,0 26,2,2024-09-07 08:17:40:868,44137,44137,0,0,3086435,0,2809 26,3,2024-09-07 08:17:41:719,1,36,45,0,796,1040,36,0 27,0,2024-09-07 08:17:41:735,13239,0.4,13402,0.6,26716,0.4,35669,1.75 27,1,2024-09-07 08:17:41:677,63843,63843,0,0,30878505084,321546942,63592,250,1,381,391526,0 27,2,2024-09-07 08:17:40:881,46764,46764,0,0,4472532,0,3409 27,3,2024-09-07 08:17:41:017,1,36,1,1,86,321,36,0 28,0,2024-09-07 08:17:41:397,8502,1.4,8559,1.3,17057,1.7,22965,2.25 28,1,2024-09-07 08:17:40:799,63315,63315,0,0,30206353197,318217757,62801,505,9,383,391500,0 28,2,2024-09-07 08:17:41:764,47486,47486,0,0,2493121,0,2609 28,3,2024-09-07 08:17:41:779,1,36,19,1,502,969,36,0 29,0,2024-09-07 08:17:41:372,7594,0.3,7453,0.5,14685,0.2,19640,1.75 29,1,2024-09-07 08:17:41:561,63773,63773,0,0,30035732850,313327157,63161,440,172,369,391621,0 29,2,2024-09-07 08:17:40:864,43149,43149,0,0,1941388,0,2026 29,3,2024-09-07 08:17:40:965,1,36,1,1,105,484,36,0 30,0,2024-09-07 08:17:41:484,6543,0.3,6339,0.5,13083,0.2,17392,1.75 30,1,2024-09-07 08:17:40:571,62950,62950,0,0,30484048899,321390632,62273,615,62,382,391524,0 30,2,2024-09-07 08:17:41:281,44412,44412,0,0,1818155,0,1567 30,3,2024-09-07 08:17:40:587,1,36,1,0,110,229,36,0 31,0,2024-09-07 08:17:41:767,12439,0.5,12418,0.7,25061,0.5,33464,2.00 31,1,2024-09-07 08:17:40:565,63135,63135,0,0,30699112541,316947002,63016,117,2,356,391553,0 31,2,2024-09-07 08:17:41:278,45305,45305,0,0,3171599,0,3525 31,3,2024-09-07 08:17:41:710,1,36,4,0,129,276,36,0 32,0,2024-09-07 08:17:41:431,10197,2.7,10341,1.6,20752,4.0,27343,2.00 32,1,2024-09-07 08:17:40:824,62989,62989,0,0,30122388070,319292084,61998,964,27,382,391595,0 32,2,2024-09-07 08:17:40:943,48380,48380,0,0,3171849,0,3155 32,3,2024-09-07 08:17:41:018,1,36,0,0,110,492,36,0 33,0,2024-09-07 08:17:41:506,7379,0.3,7331,0.5,14750,0.2,19090,1.75 33,1,2024-09-07 08:17:40:575,62638,62638,0,0,29995314504,318884789,61006,1509,123,369,391497,0 33,2,2024-09-07 08:17:40:782,44559,44526,33,0,4297226,0,7012 33,3,2024-09-07 08:17:40:899,1,36,51,1,51,193,36,0 34,0,2024-09-07 08:17:40:940,5829,0.2,5904,0.4,11445,0.1,14843,1.50 34,1,2024-09-07 08:17:41:046,63182,63182,0,0,30386505834,317191942,62788,391,3,368,391517,0 34,2,2024-09-07 08:17:40:771,42089,42089,0,0,3406237,0,3255 34,3,2024-09-07 08:17:41:688,1,36,2,0,58,345,36,0 35,0,2024-09-07 08:17:40:869,9465,1.7,9436,1.2,18950,2.2,25672,2.00 35,1,2024-09-07 08:17:41:068,62857,62857,0,0,30363142536,321394578,61430,1066,361,385,391587,0 35,2,2024-09-07 08:17:41:584,43663,43663,0,0,2958717,0,2542 35,3,2024-09-07 08:17:40:909,1,36,1,0,219,638,36,0 36,0,2024-09-07 08:17:41:527,12449,3.1,12329,1.9,25223,4.3,33058,3.50 36,1,2024-09-07 08:17:40:590,62400,62400,0,0,29238672216,317990904,59474,1995,931,369,391535,0 36,2,2024-09-07 08:17:41:756,48520,48520,0,0,3304507,0,3303 36,3,2024-09-07 08:17:40:863,1,36,9,0,378,854,36,0 37,0,2024-09-07 08:17:41:376,7212,0.3,7103,0.6,14377,0.2,18935,2.00 37,1,2024-09-07 08:17:40:570,61992,61985,0,7,30006324845,329140775,59171,1298,1516,368,391507,0 37,2,2024-09-07 08:17:41:147,47225,47225,0,0,2680811,0,2333 37,3,2024-09-07 08:17:41:765,1,36,1,0,724,1403,36,0 38,0,2024-09-07 08:17:41:468,6780,0.3,6592,0.6,13680,0.2,18240,1.75 38,1,2024-09-07 08:17:41:681,63075,63075,0,0,29896553267,320199925,61368,1328,379,371,391512,0 38,2,2024-09-07 08:17:40:764,41408,41408,0,0,3103647,0,3245 38,3,2024-09-07 08:17:40:998,1,36,8,0,603,897,36,0 39,0,2024-09-07 08:17:41:777,9022,0.8,8898,0.9,17277,1.0,23332,2.00 39,1,2024-09-07 08:17:40:717,63047,63047,0,0,29512395290,315132834,61155,1389,503,366,391524,0 39,2,2024-09-07 08:17:41:433,43521,43521,0,0,2439053,0,2381 39,3,2024-09-07 08:17:40:713,1,36,1,0,189,774,36,0 40,0,2024-09-07 08:17:41:497,13675,2.3,14046,2.7,27312,4.7,37903,4.00 40,1,2024-09-07 08:17:40:577,63251,63251,0,0,29231636048,313443291,61247,1454,550,371,391591,0 40,2,2024-09-07 08:17:41:305,47849,47848,1,0,3727615,0,5137 40,3,2024-09-07 08:17:41:148,1,36,8,1,63,389,36,0 41,0,2024-09-07 08:17:41:033,7471,4.7,7688,8.6,14842,7.9,20959,4.50 41,1,2024-09-07 08:17:40:809,62767,62767,0,0,30057004988,321353746,61018,1478,271,370,391483,0 41,2,2024-09-07 08:17:40:780,46794,46794,0,0,4134072,0,3356 41,3,2024-09-07 08:17:41:679,1,36,2,1,51,156,36,0 42,0,2024-09-07 08:17:41:485,7336,0.5,7370,0.8,14691,0.4,19384,2.25 42,1,2024-09-07 08:17:41:443,62732,62732,0,0,30179831689,326340388,60498,1532,702,381,391511,0 42,2,2024-09-07 08:17:41:140,42211,42211,0,0,3107830,0,3568 42,3,2024-09-07 08:17:41:012,1,36,2,0,100,455,36,0 43,0,2024-09-07 08:17:40:924,7085,0.4,6947,0.7,14549,0.2,18999,2.00 43,1,2024-09-07 08:17:40:577,62896,62896,0,0,30353024628,323477090,61224,1081,591,367,391507,0 43,2,2024-09-07 08:17:41:736,43943,43943,0,0,3153679,0,3812 43,3,2024-09-07 08:17:41:749,1,36,1,1,292,809,36,0 44,0,2024-09-07 08:17:40:878,13546,0.4,13558,0.7,26931,0.4,36333,1.75 44,1,2024-09-07 08:17:40:576,63261,63261,0,0,29474462652,307242443,62818,434,9,357,391493,0 44,2,2024-09-07 08:17:41:276,46082,46082,0,0,2204824,0,1592 44,3,2024-09-07 08:17:41:093,1,36,8,1,817,1189,36,0 45,0,2024-09-07 08:17:41:773,8634,4.7,8536,2.5,17759,6.4,23964,2.50 45,1,2024-09-07 08:17:41:011,63162,63162,0,0,30638524210,320450131,62899,263,0,382,391917,0 45,2,2024-09-07 08:17:41:281,48259,48259,0,0,2999131,0,2628 45,3,2024-09-07 08:17:40:934,1,36,2,1,226,525,36,0 46,0,2024-09-07 08:17:40:961,7264,0.3,7308,0.5,14652,0.2,19035,1.75 46,1,2024-09-07 08:17:40:576,63576,63576,0,0,30251195693,314749072,63150,400,26,368,391514,0 46,2,2024-09-07 08:17:40:592,44149,44149,0,0,2517254,0,2920 46,3,2024-09-07 08:17:41:131,1,36,3,0,200,663,36,0 47,0,2024-09-07 08:17:41:113,5841,0.2,5918,0.4,11626,0.2,15161,1.50 47,1,2024-09-07 08:17:40:567,63618,63618,0,0,29810736169,309061184,63411,206,1,367,391489,0 47,2,2024-09-07 08:17:40:909,43941,43941,0,0,2595311,0,2558 47,3,2024-09-07 08:17:41:114,1,36,3,1,529,996,36,0 48,0,2024-09-07 08:17:41:499,10751,0.5,10719,0.7,21259,0.5,29176,2.00 48,1,2024-09-07 08:17:41:022,62858,62858,0,0,30181481954,320621598,61665,1188,5,386,391637,0 48,2,2024-09-07 08:17:40:699,44543,44543,0,0,2314092,0,2083 48,3,2024-09-07 08:17:40:753,1,36,9,1,23,345,36,0 49,0,2024-09-07 08:17:41:785,11369,3.2,11241,1.8,21698,5.2,29937,2.50 49,1,2024-09-07 08:17:41:040,62787,62787,0,0,29632101451,318127782,61053,1025,709,382,391583,0 49,2,2024-09-07 08:17:41:806,48443,48443,0,0,3393903,0,3900 49,3,2024-09-07 08:17:41:422,1,36,1,0,274,662,36,0 50,0,2024-09-07 08:17:41:588,7206,0.3,7157,0.5,14221,0.2,18821,1.75 50,1,2024-09-07 08:17:41:011,63466,63466,0,0,30935140820,328057615,61803,1366,297,370,391530,0 50,2,2024-09-07 08:17:41:068,46559,46559,0,0,2583477,0,2253 50,3,2024-09-07 08:17:41:294,1,36,9,1,335,680,36,0 51,0,2024-09-07 08:17:41:710,6743,0.2,6557,0.4,12879,0.1,17213,1.50 51,1,2024-09-07 08:17:41:682,62547,62547,0,0,30597342909,326229253,60775,990,782,367,391520,0 51,2,2024-09-07 08:17:41:320,42047,42047,0,0,2039600,0,2448 51,3,2024-09-07 08:17:41:029,1,36,1,0,162,417,36,0 52,0,2024-09-07 08:17:41:437,9327,1.9,9292,1.5,18765,2.6,25032,3.50 52,1,2024-09-07 08:17:40:575,62573,62573,0,0,29826065897,323973096,60100,2009,464,368,391498,0 52,2,2024-09-07 08:17:41:768,43564,43564,0,0,3059904,0,4779 52,3,2024-09-07 08:17:40:679,1,36,8,4,1782,2226,36,0 53,0,2024-09-07 08:17:41:760,12938,3.6,12504,2.2,25779,5.1,34094,3.75 53,1,2024-09-07 08:17:40:781,62536,62536,0,0,30140496953,326449533,59946,2016,574,370,391617,0 53,2,2024-09-07 08:17:41:304,48920,48920,0,0,2632022,0,1795 53,3,2024-09-07 08:17:40:698,1,36,2,1,59,249,36,0 54,0,2024-09-07 08:17:41:660,7512,10.0,7547,7.4,14991,3.1,21384,5.75 54,1,2024-09-07 08:17:40:590,62744,62744,0,0,29858173719,318658753,60969,1481,294,367,391520,0 54,2,2024-09-07 08:17:40:866,47363,47357,6,0,3618983,0,5382 54,3,2024-09-07 08:17:40:770,1,36,2,0,676,1152,36,0 55,0,2024-09-07 08:17:41:767,6673,0.5,6867,0.8,13821,0.4,17886,2.50 55,1,2024-09-07 08:17:40:765,62068,62068,0,0,29283506655,316751002,58960,2447,661,369,391731,0 55,2,2024-09-07 08:17:40:729,41422,41422,0,0,3039450,0,3275 55,3,2024-09-07 08:17:40:678,1,36,40,0,136,469,36,0 56,0,2024-09-07 08:17:41:584,8092,3.0,7686,2.0,15871,4.1,21740,3.25 56,1,2024-09-07 08:17:40:570,62872,62872,0,0,30780107440,333651264,60438,1851,583,384,391536,0 56,2,2024-09-07 08:17:41:311,43890,43890,0,0,3208957,0,3567 56,3,2024-09-07 08:17:41:063,1,36,8,2,297,682,36,0 57,0,2024-09-07 08:17:40:946,12945,2.5,12815,1.7,25852,3.4,34814,3.75 57,1,2024-09-07 08:17:40:990,63303,63303,0,0,29117928553,309186871,62307,982,14,368,391760,0 57,2,2024-09-07 08:17:41:322,47221,47221,0,0,3313310,0,2687 57,3,2024-09-07 08:17:41:740,1,36,89,1,359,981,36,0 58,0,2024-09-07 08:17:40:570,7653,2.4,7455,1.8,15569,3.2,21083,5.00 58,1,2024-09-07 08:17:40:576,63314,63313,0,1,30359199754,321978326,61947,1209,157,371,391512,1 58,2,2024-09-07 08:17:41:071,48040,48040,0,0,3188994,0,2464 58,3,2024-09-07 08:17:41:073,1,36,1,1,219,640,36,0 59,0,2024-09-07 08:17:41:772,7375,1.1,7365,1.1,14887,1.1,19322,2.75 59,1,2024-09-07 08:17:40:809,62939,62939,0,0,30198680891,320087073,61821,1071,47,371,391515,0 59,2,2024-09-07 08:17:40:588,42999,42999,0,0,2621836,0,2604 59,3,2024-09-07 08:17:41:740,1,36,1,0,25,178,36,0 60,0,2024-09-07 08:17:41:722,6583,0.3,6524,0.6,12928,0.2,17525,1.75 60,1,2024-09-07 08:17:40:776,63815,63815,0,0,30225884685,318093767,62925,546,344,370,391672,0 60,2,2024-09-07 08:17:41:143,44233,44233,0,0,2120952,0,2142 60,3,2024-09-07 08:17:41:263,1,36,1,0,124,531,36,0 61,0,2024-09-07 08:17:41:517,12269,1.7,12277,1.3,24247,2.9,33201,2.25 61,1,2024-09-07 08:17:40:779,62964,62964,0,0,29883018066,319858959,61108,1385,471,383,391560,0 61,2,2024-09-07 08:17:41:115,45293,45293,0,0,2652718,0,1846 61,3,2024-09-07 08:17:41:697,1,36,29,1,124,495,36,0 62,0,2024-09-07 08:17:41:716,10241,3.1,10569,1.7,20349,4.9,27368,3.25 62,1,2024-09-07 08:17:41:111,63478,63474,0,4,30685898484,319911835,62941,531,2,366,391586,4 62,2,2024-09-07 08:17:41:645,48010,48010,0,0,2955300,0,2931 62,3,2024-09-07 08:17:41:148,1,36,1,0,287,566,36,0 63,0,2024-09-07 08:17:41:466,7307,0.5,7292,0.8,14623,0.5,19159,2.00 63,1,2024-09-07 08:17:40:809,63523,63520,0,3,29771016698,310504197,63269,250,1,382,391540,3 63,2,2024-09-07 08:17:40:764,45152,45152,0,0,2697197,0,2674 63,3,2024-09-07 08:17:41:732,1,36,24,0,216,654,36,0 64,0,2024-09-07 08:17:41:529,5718,0.5,5741,0.8,11610,0.4,14747,2.00 64,1,2024-09-07 08:17:40:751,62867,62867,0,0,30489118327,330615890,60441,1633,793,371,391604,0 64,2,2024-09-07 08:17:41:141,42931,42912,19,0,3254546,0,6121 64,3,2024-09-07 08:17:41:140,1,36,9,1,163,538,36,0 65,0,2024-09-07 08:17:41:710,9300,4.4,9342,2.5,18456,6.1,25244,5.25 65,1,2024-09-07 08:17:40:867,62898,62898,0,0,30008672593,321932268,61206,1476,216,382,391506,0 65,2,2024-09-07 08:17:41:701,43779,43779,0,0,3337858,0,3367 65,3,2024-09-07 08:17:41:684,1,36,27,1,163,612,36,0 66,0,2024-09-07 08:17:41:785,12017,2.7,12128,1.8,24364,5.0,32432,4.25 66,1,2024-09-07 08:17:41:295,63862,63862,0,0,30447541799,319421804,63222,621,19,381,391537,0 66,2,2024-09-07 08:17:41:135,48846,48846,0,0,3119028,0,3867 66,3,2024-09-07 08:17:41:079,1,36,2,1,291,675,36,0 67,0,2024-09-07 08:17:41:428,7185,0.8,7175,1.0,14124,0.8,18870,2.50 67,1,2024-09-07 08:17:40:772,62848,62847,0,1,29572941200,318105476,61183,1166,498,383,391787,1 67,2,2024-09-07 08:17:40:589,47470,47470,0,0,2937416,0,2889 67,3,2024-09-07 08:17:41:750,1,36,3,0,93,387,36,0 68,0,2024-09-07 08:17:40:594,6785,0.4,6684,0.8,13574,0.3,18020,2.25 68,1,2024-09-07 08:17:40:587,62603,62603,0,0,30176116193,322892098,61243,840,520,383,391591,0 68,2,2024-09-07 08:17:41:048,41929,41929,0,0,2627351,0,4883 68,3,2024-09-07 08:17:40:728,1,36,1,1,63,398,36,0 69,0,2024-09-07 08:17:41:769,8733,2.8,8543,1.9,17010,3.9,22951,3.50 69,1,2024-09-07 08:17:41:023,62151,62151,0,0,29787818622,324506167,60343,944,864,386,391530,0 69,2,2024-09-07 08:17:41:734,43761,43761,0,0,2949273,0,3153 69,3,2024-09-07 08:17:40:770,1,36,46,0,238,565,36,0 70,0,2024-09-07 08:17:41:545,13785,4.1,13868,3.3,27719,3.3,37124,5.50 70,1,2024-09-07 08:17:40:819,63058,63058,0,0,30281885299,318801868,62164,760,134,369,391527,0 70,2,2024-09-07 08:17:41:331,48063,48063,0,0,3368324,0,4044 70,3,2024-09-07 08:17:40:745,1,36,178,1,178,361,36,0 71,0,2024-09-07 08:17:41:382,7629,4.5,7557,6.8,14878,7.8,21112,5.25 71,1,2024-09-07 08:17:41:598,62556,62556,0,0,29646510667,318077731,60505,1737,314,368,391682,0 71,2,2024-09-07 08:17:41:068,47255,47255,0,0,2861120,0,2146 71,3,2024-09-07 08:17:41:749,1,36,1,1,174,410,36,0 72,0,2024-09-07 08:17:41:041,7599,0.4,7371,0.7,14649,0.3,19427,2.75 72,1,2024-09-07 08:17:41:022,63080,63080,0,0,29172109929,310113504,61657,1348,75,370,391514,0 72,2,2024-09-07 08:17:41:755,41475,41475,0,0,3390517,0,2570 72,3,2024-09-07 08:17:41:754,1,36,6,1,325,717,36,0 73,0,2024-09-07 08:17:41:114,6920,0.3,7153,0.6,14440,0.2,18849,2.25 73,1,2024-09-07 08:17:40:768,63210,63210,0,0,29758044588,311765288,62887,321,2,368,391533,0 73,2,2024-09-07 08:17:41:747,44077,44077,0,0,3296725,0,3482 73,3,2024-09-07 08:17:40:981,1,36,1,0,274,946,36,0 74,0,2024-09-07 08:17:41:361,13631,0.6,13870,0.8,26752,0.6,36472,2.50 74,1,2024-09-07 08:17:40:638,63106,63106,0,0,30042431415,317936848,61973,802,331,382,391494,0 74,2,2024-09-07 08:17:41:010,45822,45822,0,0,3956109,0,4253 74,3,2024-09-07 08:17:41:446,1,36,20,0,192,898,36,0 75,0,2024-09-07 08:17:41:784,8807,4.4,8891,2.3,17543,5.8,24008,3.75 75,1,2024-09-07 08:17:41:596,63472,63472,0,0,30179759552,319467295,62437,959,76,382,391514,0 75,2,2024-09-07 08:17:41:360,48128,48128,0,0,3739740,0,4766 75,3,2024-09-07 08:17:41:066,1,36,10,0,143,335,36,0 76,0,2024-09-07 08:17:40:587,7312,0.4,7265,0.7,14399,0.3,18806,2.25 76,1,2024-09-07 08:17:40:829,63061,63061,0,0,29541076679,315705107,61650,972,439,382,391530,0 76,2,2024-09-07 08:17:41:064,44380,44380,0,0,2232166,0,3064 76,3,2024-09-07 08:17:41:148,1,36,9,0,175,821,36,0 77,0,2024-09-07 08:17:41:741,5837,0.4,5811,0.7,11625,0.3,15175,2.25 77,1,2024-09-07 08:17:40:827,63219,63219,0,0,30081798370,316787061,62476,676,67,383,391512,0 77,2,2024-09-07 08:17:41:286,43792,43792,0,0,2026255,0,1637 77,3,2024-09-07 08:17:41:095,1,36,139,0,139,449,36,0 78,0,2024-09-07 08:17:41:728,10596,2.8,10442,1.7,21188,4.5,28737,2.75 78,1,2024-09-07 08:17:40:617,63728,63728,0,0,29475968910,310579989,63075,616,37,369,391589,0 78,2,2024-09-07 08:17:41:413,44599,44599,0,0,2228019,0,2114 78,3,2024-09-07 08:17:41:138,1,36,5,0,112,528,36,0 79,0,2024-09-07 08:17:41:354,10678,2.7,11007,1.7,22316,4.5,28917,4.75 79,1,2024-09-07 08:17:40:572,63117,63117,0,0,29867954838,313504280,62352,758,7,371,391486,0 79,2,2024-09-07 08:17:41:076,48146,48146,0,0,2574890,0,2679 79,3,2024-09-07 08:17:40:749,1,36,1,0,289,618,36,0 80,0,2024-09-07 08:17:41:093,7079,0.5,7332,0.8,14142,0.4,18709,2.25 80,1,2024-09-07 08:17:41:620,62535,62535,0,0,29619234921,314823592,61060,1360,115,371,391673,0 80,2,2024-09-07 08:17:41:094,46058,46058,0,0,3322522,0,4433 80,3,2024-09-07 08:17:40:575,1,36,18,1,148,815,36,0 81,0,2024-09-07 08:17:41:590,6596,0.5,6739,0.8,12871,0.4,17218,2.75 81,1,2024-09-07 08:17:41:654,62948,62948,0,0,29285658526,313847752,61232,1519,197,383,391646,0 81,2,2024-09-07 08:17:41:133,41603,41603,0,0,3137716,0,3993 81,3,2024-09-07 08:17:41:120,1,36,1,1,193,666,36,0 82,0,2024-09-07 08:17:41:538,9175,2.9,9135,1.9,18393,5.1,25244,2.75 82,1,2024-09-07 08:17:40:591,62517,62516,0,1,29930242672,321096236,60491,1453,572,384,391558,1 82,2,2024-09-07 08:17:41:701,44168,44168,0,0,2386916,0,2230 82,3,2024-09-07 08:17:41:753,1,36,1,1,211,668,36,0 83,0,2024-09-07 08:17:41:547,13012,2.7,12928,2.0,25564,3.9,33849,3.75 83,1,2024-09-07 08:17:40:551,62909,62909,0,0,29816753822,317847037,61679,1130,100,383,391553,0 83,2,2024-09-07 08:17:40:764,47916,47916,0,0,3396217,0,3119 83,3,2024-09-07 08:17:40:749,1,36,2,0,91,447,36,0 84,0,2024-09-07 08:17:41:791,7842,7.8,7757,7.6,15532,2.2,21969,4.25 84,1,2024-09-07 08:17:41:043,62845,62845,0,0,29824477762,319175187,61411,1190,244,369,391630,0 84,2,2024-09-07 08:17:40:578,46824,46824,0,0,3336857,0,3801 84,3,2024-09-07 08:17:41:151,1,36,8,1,24,364,36,0 85,0,2024-09-07 08:17:41:056,6610,0.4,6625,0.6,13972,0.3,17937,2.00 85,1,2024-09-07 08:17:40:568,62165,62165,0,0,29552474157,320429358,60025,1743,397,384,391505,0 85,2,2024-09-07 08:17:40:866,41353,41353,0,0,2858113,0,3656 85,3,2024-09-07 08:17:40:686,1,36,2,1,115,478,36,0 86,0,2024-09-07 08:17:40:887,7980,1.2,8228,1.1,15962,1.4,21944,2.25 86,1,2024-09-07 08:17:40:827,62416,62416,0,0,30141867355,322440030,60675,1529,212,367,391506,0 86,2,2024-09-07 08:17:40:854,43775,43774,1,0,3399408,0,5004 86,3,2024-09-07 08:17:40:593,1,36,5,1,199,682,36,0 87,0,2024-09-07 08:17:41:313,13245,2.6,13198,1.6,26514,3.8,35383,2.75 87,1,2024-09-07 08:17:40:552,62889,62889,0,0,30230924447,322461796,61562,1238,89,369,391569,0 87,2,2024-09-07 08:17:41:080,47355,47355,0,0,2798589,0,2859 87,3,2024-09-07 08:17:41:808,1,36,94,1,322,936,36,0 88,0,2024-09-07 08:17:41:554,8506,1.9,8562,1.5,16915,2.9,23002,2.25 88,1,2024-09-07 08:17:40:614,62809,62809,0,0,29995885516,318730677,61703,1013,93,367,391747,0 88,2,2024-09-07 08:17:40:700,47951,47951,0,0,3574927,0,3583 88,3,2024-09-07 08:17:41:279,1,36,5,1,77,533,36,0 89,0,2024-09-07 08:17:41:861,7625,0.4,7414,0.6,14717,0.3,19665,1.75 89,1,2024-09-07 08:17:40:666,62549,62549,0,0,30335914383,326045921,60830,1105,614,383,391866,0 89,2,2024-09-07 08:17:41:138,42698,42698,0,0,2867360,0,2726 89,3,2024-09-07 08:17:41:799,1,36,2,0,325,970,36,0 90,0,2024-09-07 08:17:41:633,6279,0.3,6405,0.5,13208,0.2,17475,1.75 90,1,2024-09-07 08:17:40:589,62903,62903,0,0,29595127877,319099238,61019,1750,134,382,391531,0 90,2,2024-09-07 08:17:41:416,43500,43500,0,0,3451789,0,2635 90,3,2024-09-07 08:17:40:932,1,36,2,1,200,518,36,0 91,0,2024-09-07 08:17:40:936,12536,0.5,12050,0.7,25085,0.6,33460,2.00 91,1,2024-09-07 08:17:40:558,62807,62807,0,0,30111550839,323461296,60861,1588,358,384,391914,0 91,2,2024-09-07 08:17:41:391,44750,44750,0,0,2655472,0,1997 91,3,2024-09-07 08:17:40:656,1,36,3,1,155,446,36,0 92,0,2024-09-07 08:17:41:496,10377,2.4,10680,1.5,20433,4.1,27459,2.00 92,1,2024-09-07 08:17:40:625,63127,63127,0,0,29843569014,313269605,62724,356,47,383,391569,0 92,2,2024-09-07 08:17:41:358,49336,49336,0,0,2843803,0,2279 92,3,2024-09-07 08:17:41:019,1,36,19,1,68,475,36,0 93,0,2024-09-07 08:17:41:011,7293,0.5,7462,0.7,14247,0.3,19070,1.75 93,1,2024-09-07 08:17:40:809,62825,62825,0,0,29640331848,316241367,61418,976,431,368,391689,0 93,2,2024-09-07 08:17:40:952,45202,45202,0,0,2410920,0,2509 93,3,2024-09-07 08:17:41:420,1,36,1,1,143,381,36,0 94,0,2024-09-07 08:17:41:629,5888,0.3,5818,0.4,11416,0.2,14844,1.50 94,1,2024-09-07 08:17:40:563,62774,62774,0,0,29124211834,309603049,61713,1003,58,381,391850,0 94,2,2024-09-07 08:17:40:772,42694,42694,0,0,2424018,0,2443 94,3,2024-09-07 08:17:41:689,1,36,69,1,231,835,36,0 95,0,2024-09-07 08:17:41:478,9484,0.6,9499,0.7,19543,0.6,25625,1.75 95,1,2024-09-07 08:17:40:853,63204,63204,0,0,29464503958,308849192,62874,326,4,368,391590,0 95,2,2024-09-07 08:17:41:018,43881,43881,0,0,2548977,0,3308 95,3,2024-09-07 08:17:41:714,1,36,4,0,307,704,36,0 96,0,2024-09-07 08:17:41:046,12706,2.2,12537,1.4,25257,3.3,32937,2.25 96,1,2024-09-07 08:17:41:737,62856,62856,0,0,29647969056,319973198,61049,1239,568,385,391551,0 96,2,2024-09-07 08:17:41:299,48383,48383,0,0,3676099,0,4038 96,3,2024-09-07 08:17:41:148,1,36,2,2,36,467,36,0 97,0,2024-09-07 08:17:41:337,7184,0.7,7122,0.8,14191,0.9,18888,2.00 97,1,2024-09-07 08:17:40:770,62740,62740,0,0,30122071321,322529470,60742,1482,516,367,391626,0 97,2,2024-09-07 08:17:40:614,46521,46521,0,0,2988055,0,3036 97,3,2024-09-07 08:17:40:570,1,36,31,1,165,542,36,0 98,0,2024-09-07 08:17:41:737,6759,0.3,6715,0.4,13583,0.2,18229,1.50 98,1,2024-09-07 08:17:40:571,62696,62696,0,0,29888303185,318012298,61662,992,42,382,391588,0 98,2,2024-09-07 08:17:40:776,41838,41838,0,0,2790735,0,3080 98,3,2024-09-07 08:17:40:704,1,36,1,1,155,533,36,0 99,0,2024-09-07 08:17:41:471,8623,0.5,8527,0.7,17280,0.5,23220,2.00 99,1,2024-09-07 08:17:41:723,63542,63542,0,0,29405585812,313136821,62245,859,438,381,391602,0 99,2,2024-09-07 08:17:41:437,44148,44148,0,0,2879049,0,1858 99,3,2024-09-07 08:17:40:626,1,36,4,1,129,454,36,0 100,0,2024-09-07 08:17:41:527,14004,2.6,14028,3.4,27942,5.3,38426,3.00 100,1,2024-09-07 08:17:40:599,62417,62417,0,0,29380085114,321207861,59823,2251,343,382,391585,0 100,2,2024-09-07 08:17:41:818,47664,47653,11,0,4278014,0,5417 100,3,2024-09-07 08:17:41:733,1,36,13,1,443,1465,36,0 101,0,2024-09-07 08:17:41:727,7986,6.9,7659,4.2,15455,5.1,22234,3.50 101,1,2024-09-07 08:17:40:684,62513,62513,0,0,29817185531,320193271,61076,989,448,370,391531,0 101,2,2024-09-07 08:17:41:759,47563,47563,0,0,3513865,0,4644 101,3,2024-09-07 08:17:40:944,1,36,9,1,448,789,36,0 102,0,2024-09-07 08:17:40:957,7222,0.7,7546,0.7,14983,0.4,19298,2.00 102,1,2024-09-07 08:17:41:152,62942,62942,0,0,29882910543,320749753,61063,1439,440,369,391647,0 102,2,2024-09-07 08:17:41:736,42108,42108,0,0,2210980,0,1945 102,3,2024-09-07 08:17:41:616,1,36,1,0,410,671,36,0 103,0,2024-09-07 08:17:41:675,7321,0.3,7334,0.6,13841,0.2,19074,1.75 103,1,2024-09-07 08:17:41:641,63024,63024,0,0,29624781356,315216965,61969,914,141,381,391680,0 103,2,2024-09-07 08:17:40:590,44335,44335,0,0,2648084,0,1878 103,3,2024-09-07 08:17:40:755,1,36,4,1,486,873,36,0 104,0,2024-09-07 08:17:41:050,13646,1.0,13790,1.0,26592,1.1,36246,2.00 104,1,2024-09-07 08:17:41:607,63234,63234,0,0,29199361756,314481513,61534,1377,323,368,391948,0 104,2,2024-09-07 08:17:41:666,45559,45559,0,0,3383577,0,3941 104,3,2024-09-07 08:17:41:431,1,36,6,2,301,811,36,0 105,0,2024-09-07 08:17:41:048,8699,4.2,8380,2.5,17348,7.0,23621,4.50 105,1,2024-09-07 08:17:40:556,62568,62568,0,0,30253144953,325595672,60314,1656,598,367,391797,0 105,2,2024-09-07 08:17:41:330,47856,47856,0,0,3247672,0,3314 105,3,2024-09-07 08:17:41:311,1,36,15,1,169,782,36,0 106,0,2024-09-07 08:17:40:970,7050,0.4,7179,0.6,14598,0.3,18955,2.00 106,1,2024-09-07 08:17:41:758,63100,63100,0,0,29954079489,320880623,61290,1533,277,371,391767,0 106,2,2024-09-07 08:17:40:762,43861,43861,0,0,2820163,0,1818 106,3,2024-09-07 08:17:40:679,1,36,1,1,171,411,36,0 107,0,2024-09-07 08:17:41:110,5871,0.3,5833,0.5,11595,0.2,15087,1.75 107,1,2024-09-07 08:17:40:597,62778,62778,0,0,29544225584,316224878,61500,1151,127,382,392234,0 107,2,2024-09-07 08:17:41:297,43638,43637,1,0,2693687,0,5024 107,3,2024-09-07 08:17:41:759,1,36,2,0,353,802,36,0 108,0,2024-09-07 08:17:41:832,10677,1.4,10816,1.1,21325,1.9,29071,2.00 108,1,2024-09-07 08:17:41:307,62682,62682,0,0,30132304981,318882179,61482,1093,107,371,391585,0 108,2,2024-09-07 08:17:41:774,44778,44778,0,0,2902934,0,2647 108,3,2024-09-07 08:17:41:344,1,36,1,1,60,504,36,0 109,0,2024-09-07 08:17:41:800,11300,1.9,11392,1.3,22104,3.1,30003,2.25 109,1,2024-09-07 08:17:40:588,63219,63219,0,0,30432780423,323263938,62427,740,52,385,391664,0 109,2,2024-09-07 08:17:40:939,47623,47623,0,0,3210167,0,3617 109,3,2024-09-07 08:17:41:145,1,36,1,1,120,605,36,0 110,0,2024-09-07 08:17:41:773,7222,0.3,7042,0.6,14701,0.2,18785,1.75 110,1,2024-09-07 08:17:41:661,62952,62952,0,0,29566680098,311658891,61939,730,283,370,391564,0 110,2,2024-09-07 08:17:41:312,46647,46647,0,0,2577231,0,2915 110,3,2024-09-07 08:17:40:693,1,36,1,0,183,438,36,0 111,0,2024-09-07 08:17:41:442,6447,0.2,6587,0.4,12856,0.1,17110,1.50 111,1,2024-09-07 08:17:41:010,63647,63647,0,0,30819061273,320944477,63267,368,12,383,391690,0 111,2,2024-09-07 08:17:41:120,42348,42348,0,0,2447535,0,2763 111,3,2024-09-07 08:17:40:921,1,36,31,1,119,822,36,0 112,0,2024-09-07 08:17:40:929,9369,0.9,9375,0.8,18692,0.8,25496,2.00 112,1,2024-09-07 08:17:40:849,63050,63050,0,0,30163892088,321808644,61573,1131,346,382,391522,0 112,2,2024-09-07 08:17:41:133,43420,43419,1,0,3412252,0,5036 112,3,2024-09-07 08:17:40:592,1,36,1,1,282,606,36,0 113,0,2024-09-07 08:17:40:874,13260,1.0,13080,1.0,26555,1.6,35203,2.00 113,1,2024-09-07 08:17:41:688,62947,62947,0,0,30035245660,320095227,61103,1461,383,368,391661,0 113,2,2024-09-07 08:17:41:303,48292,48292,0,0,2732053,0,3021 113,3,2024-09-07 08:17:40:684,1,36,12,1,166,676,36,0 114,0,2024-09-07 08:17:40:878,8176,5.3,8274,3.7,16292,2.9,22432,2.25 114,1,2024-09-07 08:17:40:718,62752,62752,0,0,29966079064,324792091,60327,1565,860,381,391513,0 114,2,2024-09-07 08:17:40:879,47100,47100,0,0,3408707,0,3925 114,3,2024-09-07 08:17:41:278,1,36,31,1,159,652,36,0 115,0,2024-09-07 08:17:40:560,6877,0.3,6864,0.5,13763,0.1,18052,1.50 115,1,2024-09-07 08:17:40:571,63023,63023,0,0,30102413120,323757860,60819,1718,486,384,391502,0 115,2,2024-09-07 08:17:41:132,42115,42115,0,0,2367481,0,2152 115,3,2024-09-07 08:17:41:002,1,36,5,0,159,417,36,0 116,0,2024-09-07 08:17:41:735,8016,1.9,7949,1.4,15989,3.4,21879,2.75 116,1,2024-09-07 08:17:40:818,62420,62420,0,0,29638195448,321679462,60825,736,859,382,391573,0 116,2,2024-09-07 08:17:41:752,43685,43685,0,0,2961020,0,3529 116,3,2024-09-07 08:17:40:916,1,36,8,2,252,983,36,0 117,0,2024-09-07 08:17:41:027,13311,1.8,13287,1.3,26563,3.1,35623,2.25 117,1,2024-09-07 08:17:41:608,62920,62920,0,0,29919286250,321931826,61018,1633,269,371,391601,0 117,2,2024-09-07 08:17:41:118,47120,47120,0,0,3046837,0,3700 117,3,2024-09-07 08:17:41:068,1,36,7,1,490,1327,36,0 118,0,2024-09-07 08:17:41:791,8248,1.7,8336,1.4,17167,2.4,23009,2.50 118,1,2024-09-07 08:17:40:620,62864,62864,0,0,29432249286,313271319,61953,836,75,368,391736,0 118,2,2024-09-07 08:17:41:587,48024,48024,0,0,3212292,0,2781 118,3,2024-09-07 08:17:41:766,1,36,6,1,229,685,36,0 119,0,2024-09-07 08:17:41:360,7224,0.3,7346,0.6,15012,0.2,19591,1.75 119,1,2024-09-07 08:17:40:550,62848,62848,0,0,30324466745,324396990,61267,1433,148,371,391576,0 119,2,2024-09-07 08:17:41:268,42369,42369,0,0,2721056,0,2532 119,3,2024-09-07 08:17:41:331,1,36,6,1,443,1459,36,0 120,0,2024-09-07 08:17:41:566,6529,0.3,6485,0.6,13016,0.2,17451,1.75 120,1,2024-09-07 08:17:40:862,62973,62973,0,0,29976050966,319891895,61842,1117,14,369,391702,0 120,2,2024-09-07 08:17:40:772,43587,43586,1,0,3445328,0,5281 120,3,2024-09-07 08:17:41:294,1,36,40,1,241,796,36,0 121,0,2024-09-07 08:17:41:749,12258,2.4,12197,1.6,24522,4.0,33592,2.50 121,1,2024-09-07 08:17:41:661,62962,62962,0,0,30021100418,319772138,61685,1038,239,370,391807,0 121,2,2024-09-07 08:17:41:124,44704,44704,0,0,3999798,0,4127 121,3,2024-09-07 08:17:40:749,1,36,12,1,101,429,36,0 122,0,2024-09-07 08:17:42:000,10143,3.6,9796,3.2,20489,3.9,27586,2.75 122,1,2024-09-07 08:17:40:866,62276,62276,0,0,29801886305,321555882,60210,1696,370,369,392130,0 122,2,2024-09-07 08:17:41:343,48285,48285,0,0,3946940,0,2649 122,3,2024-09-07 08:17:40:598,1,36,2,1,226,1145,36,0 123,0,2024-09-07 08:17:41:016,7306,0.4,7071,0.7,14620,0.2,19085,1.75 123,1,2024-09-07 08:17:40:559,62706,62706,0,0,29980894293,326607972,59675,2513,518,371,391823,0 123,2,2024-09-07 08:17:41:019,44924,44923,1,0,3104513,0,5215 123,3,2024-09-07 08:17:41:134,1,36,3,1,160,635,36,0 124,0,2024-09-07 08:17:40:949,5948,0.3,5944,0.5,11267,0.2,14890,1.75 124,1,2024-09-07 08:17:41:025,63427,63427,0,0,29719801334,310216244,63244,181,2,370,392129,0 124,2,2024-09-07 08:17:41:024,42658,42658,0,0,2142868,0,2477 124,3,2024-09-07 08:17:40:764,1,36,9,2,490,1247,36,0 125,0,2024-09-07 08:17:41:484,9640,0.8,9582,0.9,19174,1.0,25727,2.00 125,1,2024-09-07 08:17:40:857,62907,62907,0,0,30118299103,319057496,61875,1010,22,385,391702,0 125,2,2024-09-07 08:17:41:119,43926,43926,0,0,2618437,0,2180 125,3,2024-09-07 08:17:41:131,1,36,3,1,93,729,36,0 126,0,2024-09-07 08:17:41:472,12659,2.0,12900,1.3,24805,2.8,33352,2.25 126,1,2024-09-07 08:17:40:552,63488,63488,0,0,31017523238,324249804,63017,462,9,368,391719,0 126,2,2024-09-07 08:17:40:656,48661,48661,0,0,2866594,0,3186 126,3,2024-09-07 08:17:40:910,1,36,52,1,122,441,36,0 127,0,2024-09-07 08:17:41:722,7234,0.3,7188,0.5,14325,0.2,18849,1.75 127,1,2024-09-07 08:17:40:569,63135,63135,0,0,30128185096,315615112,62393,720,22,365,391614,0 127,2,2024-09-07 08:17:40:653,47315,47315,0,0,2477917,0,1803 127,3,2024-09-07 08:17:41:275,1,36,1,0,99,379,36,0 128,0,2024-09-07 08:17:41:556,6755,0.3,6842,0.5,13334,0.2,18202,1.75 128,1,2024-09-07 08:17:41:614,63150,63150,0,0,29822439848,313966222,62470,614,66,369,391605,0 128,2,2024-09-07 08:17:41:398,41063,41063,0,0,2981064,0,2107 128,3,2024-09-07 08:17:40:777,1,36,1,1,25,433,36,0 129,0,2024-09-07 08:17:41:008,8646,0.7,8846,0.9,17364,0.9,23231,2.00 129,1,2024-09-07 08:17:40:566,62824,62824,0,0,29971277260,320928088,61186,1366,272,379,391835,0 129,2,2024-09-07 08:17:40:686,43561,43561,0,0,2528263,0,2446 129,3,2024-09-07 08:17:40:688,1,36,28,1,173,815,36,0 130,0,2024-09-07 08:17:41:747,14373,1.8,14192,1.3,28423,2.4,39086,2.50 130,1,2024-09-07 08:17:40:628,63282,63282,0,0,29854128967,318272165,62039,1216,27,381,391520,0 130,2,2024-09-07 08:17:41:127,48168,48168,0,0,3432224,0,4067 130,3,2024-09-07 08:17:41:291,1,36,2,1,207,486,36,0 131,0,2024-09-07 08:17:41:978,8207,2.1,8000,1.6,16428,2.6,22205,2.25 131,1,2024-09-07 08:17:41:829,63209,63209,0,0,29922328674,317901703,62234,789,186,385,391865,0 131,2,2024-09-07 08:17:40:573,46935,46935,0,0,2830786,0,2415 131,3,2024-09-07 08:17:41:689,1,36,4,1,392,632,36,0 132,0,2024-09-07 08:17:41:484,7263,0.4,7492,0.8,14802,0.4,19367,2.00 132,1,2024-09-07 08:17:40:590,62205,62205,0,0,29323123386,318891872,59889,1612,704,383,391533,0 132,2,2024-09-07 08:17:40:702,41705,41705,0,0,4231991,0,4606 132,3,2024-09-07 08:17:41:688,1,36,1,1,356,740,36,0 133,0,2024-09-07 08:17:41:524,7019,0.3,7161,0.6,14656,0.2,18872,1.75 133,1,2024-09-07 08:17:40:598,62007,62007,0,0,29642279735,320186348,60004,1913,90,383,391513,0 133,2,2024-09-07 08:17:41:100,44544,44544,0,0,3264140,0,2444 133,3,2024-09-07 08:17:41:311,1,36,1,1,187,461,36,0 134,0,2024-09-07 08:17:40:966,13568,0.6,13496,0.8,27227,0.6,36339,2.00 134,1,2024-09-07 08:17:40:591,62572,62572,0,0,30107158612,326290742,60042,1551,979,366,391517,0 134,2,2024-09-07 08:17:41:781,46011,46011,0,0,2601376,0,2026 134,3,2024-09-07 08:17:40:750,1,36,14,1,63,467,36,0 135,0,2024-09-07 08:17:41:125,8710,3.0,8714,2.6,18397,3.2,23738,2.75 135,1,2024-09-07 08:17:41:620,62490,62490,0,0,30013546214,320700406,61087,1153,250,383,391528,0 135,2,2024-09-07 08:17:40:689,48411,48411,0,0,3325482,0,3981 135,3,2024-09-07 08:17:41:006,1,36,1,0,68,377,36,0 136,0,2024-09-07 08:17:41:622,7319,0.5,7282,0.8,14463,0.4,18964,2.25 136,1,2024-09-07 08:17:41:444,63097,63097,0,0,29558761517,315883432,61638,1318,141,385,391518,0 136,2,2024-09-07 08:17:41:133,44760,44760,0,0,2381656,0,2199 136,3,2024-09-07 08:17:41:109,1,36,2,1,108,555,36,0 137,0,2024-09-07 08:17:41:003,5993,0.4,5835,0.7,11534,0.2,15156,2.00 137,1,2024-09-07 08:17:40:574,62677,62677,0,0,29973382292,320487158,60985,1583,109,368,391608,0 137,2,2024-09-07 08:17:41:706,43073,43073,0,0,3247110,0,2294 137,3,2024-09-07 08:17:40:769,1,36,1,1,227,521,36,0 138,0,2024-09-07 08:17:41:808,10135,1.8,10599,1.5,21185,2.3,28753,2.75 138,1,2024-09-07 08:17:41:686,62483,62483,0,0,30000272581,320926915,60826,1424,233,371,391573,0 138,2,2024-09-07 08:17:40:617,44839,44839,0,0,2593612,0,3263 138,3,2024-09-07 08:17:40:617,1,36,5,1,68,320,36,0 139,0,2024-09-07 08:17:41:413,10784,5.8,10694,3.3,21780,6.1,29391,4.50 139,1,2024-09-07 08:17:40:576,62410,62410,0,0,29314826956,321872759,59803,1897,710,383,391892,0 139,2,2024-09-07 08:17:40:702,48185,48185,0,0,3275565,0,2425 139,3,2024-09-07 08:17:41:663,1,36,11,1,244,896,36,0 140,0,2024-09-07 08:17:41:609,7189,0.4,7058,0.6,14330,0.3,18866,1.75 140,1,2024-09-07 08:17:41:544,63495,63495,0,0,30422294955,317730631,62879,425,191,365,391483,0 140,2,2024-09-07 08:17:40:686,46413,46413,0,0,3183121,0,3388 140,3,2024-09-07 08:17:40:772,1,36,12,1,25,346,36,0 141,0,2024-09-07 08:17:41:722,6372,0.2,6609,0.4,12835,0.1,17131,1.50 141,1,2024-09-07 08:17:40:860,63326,63326,0,0,30293222039,320758373,61964,1031,331,382,391532,0 141,2,2024-09-07 08:17:41:688,42555,42555,0,0,2301833,0,2342 141,3,2024-09-07 08:17:41:047,1,36,16,0,53,289,36,0 142,0,2024-09-07 08:17:41:337,9508,0.4,9433,0.7,18822,0.4,25451,1.75 142,1,2024-09-07 08:17:40:589,63139,63139,0,0,30002228243,314561884,62888,250,1,384,391649,0 142,2,2024-09-07 08:17:41:315,43539,43539,0,0,2609860,0,2293 142,3,2024-09-07 08:17:41:749,1,36,6,1,263,535,36,0 143,0,2024-09-07 08:17:41:408,13195,1.5,13215,1.2,26575,1.9,35062,2.25 143,1,2024-09-07 08:17:40:558,63105,63105,0,0,30131481446,316022164,62303,778,24,367,391608,0 143,2,2024-09-07 08:17:40:770,48294,48294,0,0,3006328,0,2669 143,3,2024-09-07 08:17:41:140,1,36,2,1,236,914,36,0 144,0,2024-09-07 08:17:41:731,7748,3.4,8009,8.5,15954,2.8,22243,2.75 144,1,2024-09-07 08:17:40:572,62658,62658,0,0,29713831892,319785342,61115,1355,188,383,391617,0 144,2,2024-09-07 08:17:41:773,47227,47227,0,0,3091192,0,3473 144,3,2024-09-07 08:17:41:740,1,36,38,1,112,605,36,0 145,0,2024-09-07 08:17:41:400,6587,0.4,6527,0.7,13739,0.3,17902,2.00 145,1,2024-09-07 08:17:40:574,62026,62026,0,0,29465770831,315672958,60450,1317,259,383,391615,0 145,2,2024-09-07 08:17:41:437,41595,41595,0,0,3325593,0,3903 145,3,2024-09-07 08:17:40:896,1,36,2,0,151,758,36,0 146,0,2024-09-07 08:17:41:605,7996,1.8,7954,1.4,15987,2.8,21646,3.25 146,1,2024-09-07 08:17:41:586,62925,62925,0,0,29557214959,317183753,60941,1686,298,370,391600,0 146,2,2024-09-07 08:17:41:700,44138,44138,0,0,2577419,0,2149 146,3,2024-09-07 08:17:41:280,1,36,3,0,54,321,36,0 147,0,2024-09-07 08:17:41:765,13348,1.9,13098,1.4,26111,2.7,35581,2.75 147,1,2024-09-07 08:17:41:380,63414,63414,0,0,30033547442,315002116,62688,599,127,369,391791,0 147,2,2024-09-07 08:17:41:021,47893,47893,0,0,3035365,0,2789 147,3,2024-09-07 08:17:40:920,1,36,5,1,141,627,36,0 0,0,2024-09-07 08:17:51:798,6600,0.5,6544,0.8,13860,0.4,18091,2.00 0,1,2024-09-07 08:17:50:810,64753,64753,0,0,31262867090,333958266,63576,1052,125,373,391673,0 0,2,2024-09-07 08:17:51:079,44778,44778,0,0,3215504,0,4480 0,3,2024-09-07 08:17:50:974,1,37,13,2,247,723,37,0 1,0,2024-09-07 08:17:51:820,12511,2.6,12569,1.9,25338,3.2,34455,3.00 1,1,2024-09-07 08:17:50:574,64366,64366,0,0,30350159498,325940699,62719,1122,525,372,391857,0 1,2,2024-09-07 08:17:50:694,46441,46441,0,0,2927562,0,3267 1,3,2024-09-07 08:17:51:311,1,37,6,1,167,480,37,0 2,0,2024-09-07 08:17:51:583,10100,3.7,10327,2.1,20323,5.8,27195,4.25 2,1,2024-09-07 08:17:50:863,64442,64442,0,0,31255823072,331140730,63420,819,203,382,391531,0 2,2,2024-09-07 08:17:51:279,49059,49059,0,0,3259997,0,3304 2,3,2024-09-07 08:17:50:696,1,37,1,1,87,404,37,0 3,0,2024-09-07 08:17:51:789,7492,0.4,7412,0.7,14852,0.3,19379,2.00 3,1,2024-09-07 08:17:51:626,64449,64449,0,0,30628965342,328172299,62508,1555,386,383,391494,0 3,2,2024-09-07 08:17:51:146,45946,45923,23,0,3695198,0,5851 3,3,2024-09-07 08:17:51:758,1,37,1,1,103,409,37,0 4,0,2024-09-07 08:17:51:945,5654,0.4,5921,0.6,11741,0.3,15191,2.00 4,1,2024-09-07 08:17:50:604,64539,64539,0,0,30055519624,323940629,62815,1308,416,372,391682,0 4,2,2024-09-07 08:17:51:030,43842,43842,0,0,3770622,0,4528 4,3,2024-09-07 08:17:51:027,1,37,22,8,287,812,37,0 5,0,2024-09-07 08:17:51:530,10011,1.1,9845,1.0,20054,1.7,27044,2.25 5,1,2024-09-07 08:17:50:760,64061,64061,0,0,30587217461,328742122,62057,1579,425,368,392005,0 5,2,2024-09-07 08:17:51:875,45407,45407,0,0,2807427,0,2148 5,3,2024-09-07 08:17:51:748,1,37,19,1,182,898,37,0 6,0,2024-09-07 08:17:50:923,12605,3.0,12476,1.9,24828,5.5,33309,4.50 6,1,2024-09-07 08:17:50:757,64897,64897,0,0,30874575460,326872657,63852,976,69,382,391603,0 6,2,2024-09-07 08:17:51:120,49697,49697,0,0,3192165,0,2232 6,3,2024-09-07 08:17:51:274,1,37,1,1,277,733,37,0 7,0,2024-09-07 08:17:51:547,7171,0.4,7174,0.6,14550,0.2,19144,1.75 7,1,2024-09-07 08:17:50:855,64435,64435,0,0,30930586071,327516447,63573,798,64,384,391558,0 7,2,2024-09-07 08:17:50:782,47857,47857,0,0,3063649,0,2981 7,3,2024-09-07 08:17:50:855,1,37,2,0,83,469,37,0 8,0,2024-09-07 08:17:51:451,7227,0.4,7042,0.6,13883,0.2,18756,1.75 8,1,2024-09-07 08:17:51:017,64482,64482,0,0,31057700418,340600905,61415,1829,1238,368,391724,0 8,2,2024-09-07 08:17:50:801,42550,42550,0,0,3035314,0,2986 8,3,2024-09-07 08:17:50:585,1,37,12,1,229,762,37,0 9,0,2024-09-07 08:17:51:217,9104,0.4,8790,0.7,18342,0.4,24287,1.75 9,1,2024-09-07 08:17:50:562,64529,64529,0,0,31094898514,334579189,62395,1569,565,370,391553,0 9,2,2024-09-07 08:17:51:103,44741,44741,0,0,3197363,0,3360 9,3,2024-09-07 08:17:51:786,1,37,6,0,127,564,37,0 10,0,2024-09-07 08:17:51:632,14478,1.7,14457,1.3,29046,3.0,38710,3.75 10,1,2024-09-07 08:17:50:589,64242,64242,0,0,30238577532,327678666,61531,2261,450,383,391541,0 10,2,2024-09-07 08:17:50:769,49112,49112,0,0,3408646,0,2940 10,3,2024-09-07 08:17:50:876,1,37,5,0,118,316,37,0 11,0,2024-09-07 08:17:51:018,8089,4.3,7918,2.7,16556,6.6,22946,3.25 11,1,2024-09-07 08:17:50:578,64635,64635,0,0,30985987698,334040938,62331,1567,737,384,391485,0 11,2,2024-09-07 08:17:51:138,48397,48397,0,0,3357495,0,2635 11,3,2024-09-07 08:17:51:304,1,37,0,0,720,1082,37,0 12,0,2024-09-07 08:17:50:976,7651,0.3,7665,0.5,15307,0.2,19927,1.50 12,1,2024-09-07 08:17:50:940,64677,64677,0,0,30281263743,321187535,63657,996,24,372,391661,0 12,2,2024-09-07 08:17:51:553,43798,43798,0,0,2715963,0,2254 12,3,2024-09-07 08:17:51:076,1,37,144,1,358,1137,37,0 13,0,2024-09-07 08:17:51:439,7420,0.3,7577,0.5,15034,0.2,20238,1.75 13,1,2024-09-07 08:17:51:535,64806,64806,0,0,30681420360,324751871,64179,588,39,384,391563,0 13,2,2024-09-07 08:17:50:606,45627,45627,0,0,2279830,0,3287 13,3,2024-09-07 08:17:51:783,1,37,8,1,153,542,37,0 14,0,2024-09-07 08:17:50:586,14018,0.5,13924,0.6,27798,0.4,37009,1.75 14,1,2024-09-07 08:17:51:566,65433,65433,0,0,30218649147,316141047,64841,563,29,365,391546,0 14,2,2024-09-07 08:17:50:778,47483,47483,0,0,3020800,0,2091 14,3,2024-09-07 08:17:51:123,1,37,1,1,906,1198,37,0 15,0,2024-09-07 08:17:51:588,8965,4.5,8884,2.5,17814,6.5,24542,4.00 15,1,2024-09-07 08:17:51:614,64865,64865,0,0,30791408652,323726004,64335,528,2,381,391536,0 15,2,2024-09-07 08:17:50:998,49854,49854,0,0,2438790,0,3043 15,3,2024-09-07 08:17:51:409,1,37,1,0,538,957,37,0 16,0,2024-09-07 08:17:50:979,7376,0.4,7462,0.6,14734,0.3,19465,2.25 16,1,2024-09-07 08:17:50:571,64773,64773,0,0,30170426350,322041980,63696,1058,19,373,391543,0 16,2,2024-09-07 08:17:51:483,44065,44065,0,0,3521287,0,4719 16,3,2024-09-07 08:17:51:168,1,37,18,1,231,942,37,0 17,0,2024-09-07 08:17:51:942,6147,0.4,6160,0.7,11884,0.3,16135,2.00 17,1,2024-09-07 08:17:50:571,64369,64369,0,0,30314782639,326884985,62734,1116,519,369,391688,0 17,2,2024-09-07 08:17:51:684,45466,45466,0,0,2698261,0,2857 17,3,2024-09-07 08:17:50:577,1,37,3,0,268,969,37,0 18,0,2024-09-07 08:17:50:958,10869,1.0,11069,1.0,22402,1.2,29977,2.50 18,1,2024-09-07 08:17:51:640,64429,64429,0,0,30438499149,323247429,63212,999,218,370,391526,0 18,2,2024-09-07 08:17:51:781,45313,45313,0,0,3584804,0,3541 18,3,2024-09-07 08:17:50:896,1,37,1,0,163,705,37,0 19,0,2024-09-07 08:17:51:558,10908,3.7,11074,2.2,21759,6.6,28865,6.00 19,1,2024-09-07 08:17:50:567,64399,64399,0,0,30691121221,328375067,62644,1593,162,367,391696,0 19,2,2024-09-07 08:17:51:786,49602,49602,0,0,3462265,0,3988 19,3,2024-09-07 08:17:51:133,1,37,1,0,524,670,37,0 20,0,2024-09-07 08:17:51:474,7318,0.3,7409,0.5,14503,0.2,18794,2.00 20,1,2024-09-07 08:17:50:600,64307,64307,0,0,30766398436,329019045,62648,1499,160,370,391598,0 20,2,2024-09-07 08:17:50:930,46965,46965,0,0,2797960,0,2446 20,3,2024-09-07 08:17:50:597,1,37,1,1,99,620,37,0 21,0,2024-09-07 08:17:51:193,6793,0.4,6690,0.7,13284,0.3,17044,2.00 21,1,2024-09-07 08:17:51:543,63929,63929,0,0,30223581963,326402462,61824,1621,484,368,391962,0 21,2,2024-09-07 08:17:51:094,43568,43568,0,0,2888185,0,3747 21,3,2024-09-07 08:17:51:431,1,37,54,1,93,645,37,0 22,0,2024-09-07 08:17:51:732,9515,1.6,9437,1.4,18800,2.0,25643,2.75 22,1,2024-09-07 08:17:51:025,64057,64057,0,0,29679787774,323900729,61154,2178,725,382,391667,0 22,2,2024-09-07 08:17:50:761,44944,44944,0,0,2769866,0,3134 22,3,2024-09-07 08:17:51:069,1,37,62,0,62,256,37,0 23,0,2024-09-07 08:17:51:379,13072,2.7,13344,1.5,26488,4.0,35145,3.25 23,1,2024-09-07 08:17:51:004,65282,65282,0,0,31182734638,328716906,64185,862,235,368,391496,0 23,2,2024-09-07 08:17:51:106,50010,50010,0,0,2862125,0,2078 23,3,2024-09-07 08:17:51:759,1,37,91,0,645,1088,37,0 24,0,2024-09-07 08:17:50:868,8642,0.9,8587,1.0,17321,1.6,23029,1.75 24,1,2024-09-07 08:17:50:602,65227,65227,0,0,30471710322,321708270,64535,687,5,371,391640,0 24,2,2024-09-07 08:17:51:112,47817,47817,0,0,4055807,0,2942 24,3,2024-09-07 08:17:51:693,1,37,4,1,234,637,37,0 25,0,2024-09-07 08:17:51:409,7219,0.3,7179,0.5,13788,0.2,18903,1.50 25,1,2024-09-07 08:17:50:561,64579,64579,0,0,30471070997,323460489,63551,981,47,373,391544,0 25,2,2024-09-07 08:17:51:606,42565,42565,0,0,3276695,0,3284 25,3,2024-09-07 08:17:51:004,1,37,8,1,50,334,37,0 26,0,2024-09-07 08:17:51:751,8315,0.6,8183,0.7,17135,0.6,22705,2.00 26,1,2024-09-07 08:17:51:542,64375,64375,0,0,30436551124,330963331,61583,2014,778,382,391542,0 26,2,2024-09-07 08:17:50:866,45461,45461,0,0,3174688,0,2809 26,3,2024-09-07 08:17:51:720,1,37,16,0,796,1056,37,0 27,0,2024-09-07 08:17:51:736,13634,0.4,13812,0.6,27577,0.4,37252,1.75 27,1,2024-09-07 08:17:51:686,65620,65620,0,0,31531068149,328605626,65361,258,1,381,391526,0 27,2,2024-09-07 08:17:50:868,47891,47891,0,0,4525148,0,3409 27,3,2024-09-07 08:17:51:018,1,37,1,1,86,322,37,0 28,0,2024-09-07 08:17:51:412,8804,1.4,8917,1.3,17727,1.6,23813,2.25 28,1,2024-09-07 08:17:50:804,65140,65140,0,0,30901473174,325464906,64626,505,9,383,391500,0 28,2,2024-09-07 08:17:51:787,48771,48771,0,0,2593499,0,2609 28,3,2024-09-07 08:17:51:781,1,37,12,1,502,981,37,0 29,0,2024-09-07 08:17:51:387,7750,0.3,7617,0.5,15039,0.2,20044,1.75 29,1,2024-09-07 08:17:51:579,65501,65501,0,0,30728886753,320449118,64889,440,172,369,391621,0 29,2,2024-09-07 08:17:50:867,44244,44244,0,0,1961880,0,2026 29,3,2024-09-07 08:17:50:972,1,37,8,1,105,492,37,0 30,0,2024-09-07 08:17:51:468,6838,0.3,6635,0.5,13641,0.2,18059,1.75 30,1,2024-09-07 08:17:50:574,64673,64673,0,0,31203459743,328801986,63996,615,62,382,391524,0 30,2,2024-09-07 08:17:51:276,45713,45713,0,0,1849908,0,1567 30,3,2024-09-07 08:17:50:584,1,37,1,0,110,230,37,0 31,0,2024-09-07 08:17:51:799,12845,0.6,12842,0.7,25858,0.5,34468,2.00 31,1,2024-09-07 08:17:50:565,64941,64941,0,0,31365173270,323693846,64822,117,2,356,391553,0 31,2,2024-09-07 08:17:51:296,46859,46859,0,0,3306245,0,3525 31,3,2024-09-07 08:17:51:708,1,37,1,0,129,277,37,0 32,0,2024-09-07 08:17:51:431,10322,2.7,10461,1.6,21035,3.9,27784,2.00 32,1,2024-09-07 08:17:50:807,64734,64734,0,0,30784279432,326094995,63742,964,28,382,391595,0 32,2,2024-09-07 08:17:50:948,49810,49810,0,0,3190413,0,3155 32,3,2024-09-07 08:17:51:025,1,37,17,0,110,509,37,0 33,0,2024-09-07 08:17:51:532,7487,0.3,7438,0.5,14983,0.2,19340,1.75 33,1,2024-09-07 08:17:50:580,64437,64437,0,0,30986764063,328980872,62805,1509,123,369,391497,0 33,2,2024-09-07 08:17:50:771,45311,45278,33,0,4330033,0,7012 33,3,2024-09-07 08:17:50:895,1,37,8,1,51,201,37,0 34,0,2024-09-07 08:17:50:944,5888,0.2,5970,0.4,11557,0.1,15172,1.50 34,1,2024-09-07 08:17:51:053,65052,65052,0,0,31202342703,325574364,64657,392,3,368,391517,0 34,2,2024-09-07 08:17:50:766,43590,43590,0,0,3469309,0,3255 34,3,2024-09-07 08:17:51:689,1,37,1,0,58,346,37,0 35,0,2024-09-07 08:17:50:864,9878,1.6,9870,1.2,19796,2.2,26999,2.00 35,1,2024-09-07 08:17:51:073,64630,64630,0,0,31109703703,329046531,63203,1066,361,385,391587,0 35,2,2024-09-07 08:17:51:584,45122,45122,0,0,2981433,0,2542 35,3,2024-09-07 08:17:50:907,1,37,1,0,219,639,37,0 36,0,2024-09-07 08:17:51:569,12545,3.1,12427,1.9,25419,4.3,33351,3.25 36,1,2024-09-07 08:17:50:591,63932,63932,0,0,30053782791,326670452,60994,2007,931,369,391535,0 36,2,2024-09-07 08:17:51:781,49611,49611,0,0,3361724,0,3303 36,3,2024-09-07 08:17:50:869,1,37,10,0,378,864,37,0 37,0,2024-09-07 08:17:51:414,7359,0.3,7250,0.6,14726,0.2,19195,2.00 37,1,2024-09-07 08:17:50:576,63809,63802,0,7,30910395327,338594455,60987,1299,1516,368,391507,0 37,2,2024-09-07 08:17:51:156,48193,48193,0,0,2718544,0,2333 37,3,2024-09-07 08:17:51:780,1,37,2,0,724,1405,37,0 38,0,2024-09-07 08:17:51:452,7050,0.3,6851,0.6,14160,0.2,18775,1.75 38,1,2024-09-07 08:17:51:610,64824,64824,0,0,30555931106,327169714,63110,1334,380,370,391512,0 38,2,2024-09-07 08:17:50:762,42926,42926,0,0,3177704,0,3245 38,3,2024-09-07 08:17:50:997,1,37,59,0,603,956,37,0 39,0,2024-09-07 08:17:51:797,9414,0.8,9253,0.9,17981,1.0,24549,2.00 39,1,2024-09-07 08:17:50:728,64773,64773,0,0,30328975631,323759501,62879,1391,503,366,391524,0 39,2,2024-09-07 08:17:51:457,44803,44803,0,0,2492996,0,2381 39,3,2024-09-07 08:17:50:713,1,37,3,0,189,777,37,0 40,0,2024-09-07 08:17:51:499,14106,2.8,14323,2.8,28312,5.3,38324,4.00 40,1,2024-09-07 08:17:50:583,64919,64919,0,0,30143963584,323331803,62856,1513,550,371,391591,0 40,2,2024-09-07 08:17:51:319,48965,48964,1,0,3786033,0,5137 40,3,2024-09-07 08:17:51:155,1,37,15,1,63,404,37,0 41,0,2024-09-07 08:17:51:035,7794,4.4,7997,8.5,15422,7.7,21768,4.50 41,1,2024-09-07 08:17:50:778,64561,64561,0,0,31175495788,332793566,62812,1478,271,370,391483,0 41,2,2024-09-07 08:17:50:763,48138,48138,0,0,4180518,0,3356 41,3,2024-09-07 08:17:51:693,1,37,107,1,107,263,37,0 42,0,2024-09-07 08:17:51:523,7524,0.5,7575,0.8,15047,0.4,19959,2.25 42,1,2024-09-07 08:17:51:439,64504,64504,0,0,30975687732,335008334,62213,1589,702,381,391511,0 42,2,2024-09-07 08:17:51:148,43471,43471,0,0,3146093,0,3568 42,3,2024-09-07 08:17:51:015,1,37,2,0,100,457,37,0 43,0,2024-09-07 08:17:50:927,7416,0.4,7238,0.7,15218,0.2,20183,2.00 43,1,2024-09-07 08:17:50:584,64513,64513,0,0,31003866014,330251338,62841,1081,591,367,391507,0 43,2,2024-09-07 08:17:51:780,45208,45208,0,0,3220536,0,3812 43,3,2024-09-07 08:17:51:780,1,37,1,1,292,810,37,0 44,0,2024-09-07 08:17:50:868,13872,0.4,13897,0.7,27595,0.4,37044,1.75 44,1,2024-09-07 08:17:50:574,64996,64996,0,0,30262101270,315372368,64553,434,9,357,391493,0 44,2,2024-09-07 08:17:51:301,47559,47559,0,0,2240575,0,1592 44,3,2024-09-07 08:17:51:094,1,37,10,1,817,1199,37,0 45,0,2024-09-07 08:17:51:823,8885,4.6,8785,2.5,18298,6.4,24848,2.50 45,1,2024-09-07 08:17:51:006,64858,64858,0,0,31396823188,328250776,64595,263,0,382,391917,0 45,2,2024-09-07 08:17:51:282,49639,49639,0,0,3043708,0,2628 45,3,2024-09-07 08:17:50:938,1,37,2,1,226,527,37,0 46,0,2024-09-07 08:17:50:962,7381,0.3,7441,0.5,14960,0.2,19500,1.75 46,1,2024-09-07 08:17:50:579,65373,65373,0,0,30983773272,322279274,64947,400,26,368,391514,0 46,2,2024-09-07 08:17:50:601,44869,44869,0,0,2528207,0,2920 46,3,2024-09-07 08:17:51:136,1,37,20,0,200,683,37,0 47,0,2024-09-07 08:17:51:112,6062,0.2,6148,0.4,12056,0.1,16093,1.50 47,1,2024-09-07 08:17:50:570,65347,65347,0,0,30563717499,316945635,65140,206,1,367,391489,0 47,2,2024-09-07 08:17:50:911,45435,45435,0,0,2667428,0,2558 47,3,2024-09-07 08:17:51:123,1,37,1,1,529,997,37,0 48,0,2024-09-07 08:17:51:526,11225,0.5,11212,0.7,22198,0.5,30329,1.75 48,1,2024-09-07 08:17:51:028,64675,64675,0,0,31260380257,331611738,63482,1188,5,386,391637,0 48,2,2024-09-07 08:17:50:699,46094,46094,0,0,2341049,0,2083 48,3,2024-09-07 08:17:50:765,1,37,1,1,23,346,37,0 49,0,2024-09-07 08:17:51:751,11491,3.2,11359,1.8,21946,5.2,30245,2.50 49,1,2024-09-07 08:17:51:034,64575,64575,0,0,30530856494,327352734,62840,1026,709,382,391583,0 49,2,2024-09-07 08:17:51:814,49821,49821,0,0,3431372,0,3900 49,3,2024-09-07 08:17:51:417,1,37,4,0,274,666,37,0 50,0,2024-09-07 08:17:51:547,7333,0.3,7269,0.5,14446,0.2,19140,1.75 50,1,2024-09-07 08:17:51:012,65224,65224,0,0,31660590852,335446587,63561,1366,297,370,391530,0 50,2,2024-09-07 08:17:51:071,47333,47333,0,0,2597544,0,2253 50,3,2024-09-07 08:17:51:302,1,37,1,1,335,681,37,0 51,0,2024-09-07 08:17:51:698,6853,0.2,6653,0.4,13079,0.1,17216,1.50 51,1,2024-09-07 08:17:51:683,64321,64321,0,0,31520401454,335575352,62549,990,782,367,391520,0 51,2,2024-09-07 08:17:51:318,43498,43498,0,0,2063808,0,2448 51,3,2024-09-07 08:17:51:027,1,37,1,0,162,418,37,0 52,0,2024-09-07 08:17:51:431,9745,1.8,9710,1.5,19551,2.5,26057,3.50 52,1,2024-09-07 08:17:50:577,64336,64336,0,0,30649526897,332564281,61862,2010,464,368,391498,0 52,2,2024-09-07 08:17:51:782,45048,45048,0,0,3103692,0,4779 52,3,2024-09-07 08:17:50:683,1,37,2,2,1782,2228,37,0 53,0,2024-09-07 08:17:51:746,13031,3.5,12605,2.2,25976,5.1,34329,3.75 53,1,2024-09-07 08:17:50:778,64387,64387,0,0,30912623880,334591677,61796,2017,574,370,391617,0 53,2,2024-09-07 08:17:51:335,50045,50045,0,0,2692301,0,1795 53,3,2024-09-07 08:17:50:700,1,37,5,1,59,254,37,0 54,0,2024-09-07 08:17:51:626,7753,10.0,7781,7.1,15497,3.0,21966,5.50 54,1,2024-09-07 08:17:50:582,64619,64619,0,0,30525365983,325937033,62842,1483,294,367,391520,0 54,2,2024-09-07 08:17:50:865,48523,48517,6,0,3689171,0,5382 54,3,2024-09-07 08:17:50:778,1,37,1,0,676,1153,37,0 55,0,2024-09-07 08:17:51:799,6919,0.5,7111,0.8,14390,0.4,18768,2.50 55,1,2024-09-07 08:17:50:775,63811,63811,0,0,30218132870,327486680,60404,2705,702,369,391731,0 55,2,2024-09-07 08:17:50:737,42849,42849,0,0,3084662,0,3275 55,3,2024-09-07 08:17:50:678,1,37,27,0,136,496,37,0 56,0,2024-09-07 08:17:51:563,8555,3.2,8064,2.1,16633,4.1,22486,3.25 56,1,2024-09-07 08:17:50:579,64486,64486,0,0,31517285971,341515891,62052,1851,583,384,391536,0 56,2,2024-09-07 08:17:51:318,45332,45332,0,0,3322828,0,3567 56,3,2024-09-07 08:17:51:072,1,37,9,2,297,691,37,0 57,0,2024-09-07 08:17:50:944,13342,2.4,13175,1.7,26600,3.4,35804,4.00 57,1,2024-09-07 08:17:50:987,64977,64977,0,0,29901938744,317598297,63970,993,14,368,391760,0 57,2,2024-09-07 08:17:51:320,48414,48414,0,0,3415767,0,2687 57,3,2024-09-07 08:17:51:745,1,37,56,1,359,1037,37,0 58,0,2024-09-07 08:17:50:567,7955,2.2,7791,1.7,16256,2.9,21907,4.25 58,1,2024-09-07 08:17:50:580,65060,65059,0,1,31163315186,330260680,63693,1209,157,371,391512,1 58,2,2024-09-07 08:17:51:084,49365,49365,0,0,3350696,0,2464 58,3,2024-09-07 08:17:51:076,1,37,10,1,219,650,37,0 59,0,2024-09-07 08:17:51:754,7531,1.1,7538,1.1,15230,1.1,19724,2.75 59,1,2024-09-07 08:17:50:811,64794,64794,0,0,30971640727,328245517,63676,1071,47,371,391515,0 59,2,2024-09-07 08:17:50:596,44153,44153,0,0,2653877,0,2604 59,3,2024-09-07 08:17:51:741,1,37,1015,0,1015,1193,37,0 60,0,2024-09-07 08:17:51:795,6840,0.3,6831,0.6,13475,0.2,18141,1.75 60,1,2024-09-07 08:17:50:780,65633,65633,0,0,31063232779,326720857,64743,546,344,370,391672,0 60,2,2024-09-07 08:17:51:156,45457,45457,0,0,2160356,0,2142 60,3,2024-09-07 08:17:51:262,1,37,1,0,124,532,37,0 61,0,2024-09-07 08:17:51:534,12660,1.7,12701,1.3,25090,2.7,34185,2.00 61,1,2024-09-07 08:17:50:774,64746,64746,0,0,30750907599,328877239,62890,1385,471,383,391589,0 61,2,2024-09-07 08:17:51:124,46813,46813,0,0,2729177,0,1846 61,3,2024-09-07 08:17:51:695,1,37,2,1,124,497,37,0 62,0,2024-09-07 08:17:51:713,10396,3.1,10702,1.7,20641,4.9,27828,3.00 62,1,2024-09-07 08:17:51:125,65271,65267,0,4,31339639420,326870402,64722,543,2,366,391586,4 62,2,2024-09-07 08:17:51:649,49457,49457,0,0,3147159,0,2931 62,3,2024-09-07 08:17:51:155,1,37,1,0,287,567,37,0 63,0,2024-09-07 08:17:51:479,7405,0.5,7406,0.8,14844,0.5,19410,2.00 63,1,2024-09-07 08:17:50:823,65288,65285,0,3,30689593445,319867369,65034,250,1,382,391540,3 63,2,2024-09-07 08:17:50:762,45897,45897,0,0,2709701,0,2674 63,3,2024-09-07 08:17:51:743,1,37,5,0,216,659,37,0 64,0,2024-09-07 08:17:51:565,5783,0.5,5806,0.8,11713,0.3,15086,2.00 64,1,2024-09-07 08:17:50:760,64705,64705,0,0,31047183156,336414007,62278,1634,793,371,391604,0 64,2,2024-09-07 08:17:51:148,44435,44416,19,0,3277495,0,6121 64,3,2024-09-07 08:17:51:145,1,37,10,1,163,548,37,0 65,0,2024-09-07 08:17:51:712,9718,4.3,9770,2.5,19299,5.9,26576,5.00 65,1,2024-09-07 08:17:50:867,64595,64595,0,0,30888533550,330987699,62899,1478,218,382,391506,0 65,2,2024-09-07 08:17:51:701,45254,45254,0,0,3392689,0,3367 65,3,2024-09-07 08:17:51:693,1,37,1,1,163,613,37,0 66,0,2024-09-07 08:17:51:806,12111,2.7,12240,1.8,24554,4.9,32739,4.25 66,1,2024-09-07 08:17:51:303,65684,65684,0,0,31331711503,328435701,65044,621,19,381,391537,0 66,2,2024-09-07 08:17:51:132,49908,49908,0,0,3141809,0,3867 66,3,2024-09-07 08:17:51:093,1,37,1,1,291,676,37,0 67,0,2024-09-07 08:17:51:433,7335,0.8,7332,1.0,14498,0.8,19128,2.50 67,1,2024-09-07 08:17:50:774,64578,64577,0,1,30574690119,328309561,62913,1166,498,383,391787,1 67,2,2024-09-07 08:17:50:586,48417,48417,0,0,2954915,0,2889 67,3,2024-09-07 08:17:51:781,1,37,1,0,93,388,37,0 68,0,2024-09-07 08:17:50:609,7076,0.4,6936,0.8,14118,0.3,18641,2.25 68,1,2024-09-07 08:17:50:588,64338,64338,0,0,31326197172,335004815,62959,859,520,383,391953,0 68,2,2024-09-07 08:17:51:045,43423,43423,0,0,2813418,0,4883 68,3,2024-09-07 08:17:50:737,1,37,12,1,63,410,37,0 69,0,2024-09-07 08:17:51:732,9056,2.7,8889,1.8,17684,3.9,24395,3.50 69,1,2024-09-07 08:17:51:043,63930,63930,0,0,30596478015,333122163,62119,947,864,386,391530,0 69,2,2024-09-07 08:17:51:744,45121,45121,0,0,3012369,0,3153 69,3,2024-09-07 08:17:50:768,1,37,1,0,238,566,37,0 70,0,2024-09-07 08:17:51:539,14175,4.5,14166,3.4,28668,4.7,37913,5.50 70,1,2024-09-07 08:17:50:804,64852,64852,0,0,31051330089,326813766,63958,760,134,369,391527,0 70,2,2024-09-07 08:17:51:337,49188,49188,0,0,3441362,0,4044 70,3,2024-09-07 08:17:50:745,1,37,1,1,178,362,37,0 71,0,2024-09-07 08:17:51:370,7934,4.3,7850,6.7,15484,7.4,22107,5.25 71,1,2024-09-07 08:17:51:600,64300,64300,0,0,30510507743,327152824,62241,1745,314,368,391682,0 71,2,2024-09-07 08:17:51:075,48457,48457,0,0,2953165,0,2146 71,3,2024-09-07 08:17:51:783,1,37,8,1,174,418,37,0 72,0,2024-09-07 08:17:51:055,7797,0.4,7544,0.6,15018,0.3,20005,2.75 72,1,2024-09-07 08:17:51:038,64765,64765,0,0,29973733496,318366530,63342,1348,75,370,391514,0 72,2,2024-09-07 08:17:51:782,42713,42713,0,0,3662747,0,2570 72,3,2024-09-07 08:17:51:756,1,37,8,1,325,725,37,0 73,0,2024-09-07 08:17:51:116,7258,0.3,7484,0.6,15122,0.2,20105,2.25 73,1,2024-09-07 08:17:50:768,64789,64789,0,0,30392029386,318306875,64465,322,2,368,391533,0 73,2,2024-09-07 08:17:51:747,45259,45259,0,0,3326948,0,3482 73,3,2024-09-07 08:17:50:977,1,37,2,0,274,948,37,0 74,0,2024-09-07 08:17:51:341,13962,0.6,14184,0.8,27387,0.6,37194,2.50 74,1,2024-09-07 08:17:50:636,64735,64735,0,0,30816669505,326034540,63602,802,331,382,391494,0 74,2,2024-09-07 08:17:51:013,47245,47245,0,0,4052815,0,4253 74,3,2024-09-07 08:17:51:449,1,37,1,0,192,899,37,0 75,0,2024-09-07 08:17:51:803,9063,4.3,9145,2.3,18052,5.7,24986,3.75 75,1,2024-09-07 08:17:51:592,65197,65197,0,0,30942937772,327533924,64161,960,76,382,391514,0 75,2,2024-09-07 08:17:51:350,49375,49375,0,0,3799411,0,4766 75,3,2024-09-07 08:17:51:070,1,37,1,0,143,336,37,0 76,0,2024-09-07 08:17:50:621,7428,0.4,7376,0.7,14670,0.3,19271,2.25 76,1,2024-09-07 08:17:50:818,64777,64777,0,0,30182843870,322402209,63366,972,439,382,391530,0 76,2,2024-09-07 08:17:51:079,45228,45228,0,0,2264187,0,3064 76,3,2024-09-07 08:17:51:157,1,37,8,0,175,829,37,0 77,0,2024-09-07 08:17:51:770,6067,0.4,6043,0.7,12097,0.3,16160,2.00 77,1,2024-09-07 08:17:50:859,65023,65023,0,0,30757419908,323773109,64280,676,67,383,391512,0 77,2,2024-09-07 08:17:51:318,45249,45249,0,0,2156425,0,1695 77,3,2024-09-07 08:17:51:105,1,37,1,0,139,450,37,0 78,0,2024-09-07 08:17:51:725,11097,2.7,10892,1.6,22116,4.4,29884,2.75 78,1,2024-09-07 08:17:50:613,65554,65554,0,0,30311700933,319071711,64901,616,37,368,391589,0 78,2,2024-09-07 08:17:51:417,46036,46036,0,0,2253971,0,2114 78,3,2024-09-07 08:17:51:137,1,37,2,0,112,530,37,0 79,0,2024-09-07 08:17:51:359,10794,2.7,11120,1.7,22565,4.4,29309,4.75 79,1,2024-09-07 08:17:50:575,64879,64879,0,0,30834407789,323385642,64114,758,7,371,391486,0 79,2,2024-09-07 08:17:51:077,49563,49563,0,0,2628190,0,2679 79,3,2024-09-07 08:17:50:756,1,37,6,0,289,624,37,0 80,0,2024-09-07 08:17:51:102,7194,0.5,7445,0.8,14342,0.4,19052,2.25 80,1,2024-09-07 08:17:51:636,64310,64310,0,0,30529888302,324155963,62834,1361,115,371,391673,0 80,2,2024-09-07 08:17:51:108,46841,46841,0,0,3333757,0,4433 80,3,2024-09-07 08:17:50:578,1,37,6,1,148,821,37,0 81,0,2024-09-07 08:17:51:552,6700,0.5,6836,0.8,13077,0.4,17218,2.75 81,1,2024-09-07 08:17:51:670,64725,64725,0,0,30266755186,323919702,63008,1520,197,383,391646,0 81,2,2024-09-07 08:17:51:143,43051,43051,0,0,3181384,0,3993 81,3,2024-09-07 08:17:51:126,1,37,3,1,193,669,37,0 82,0,2024-09-07 08:17:51:546,9571,2.8,9509,1.8,19173,5.0,26166,2.75 82,1,2024-09-07 08:17:50:589,64325,64324,0,1,30791533752,329886480,62299,1453,572,384,391558,1 82,2,2024-09-07 08:17:51:690,45638,45638,0,0,2422007,0,2230 82,3,2024-09-07 08:17:51:754,1,37,1,1,211,669,37,0 83,0,2024-09-07 08:17:51:538,13127,2.7,13033,2.0,25769,3.8,34080,3.75 83,1,2024-09-07 08:17:50:556,64711,64711,0,0,30776416953,327627759,63481,1130,100,383,391553,0 83,2,2024-09-07 08:17:50:778,49048,49048,0,0,3418999,0,3119 83,3,2024-09-07 08:17:50:760,1,37,1,0,91,448,37,0 84,0,2024-09-07 08:17:51:787,8130,7.5,8008,7.4,16015,2.1,22527,4.25 84,1,2024-09-07 08:17:51:054,64705,64705,0,0,30724998028,328546241,63269,1192,244,369,391630,0 84,2,2024-09-07 08:17:50:581,47931,47931,0,0,3401192,0,3801 84,3,2024-09-07 08:17:51:155,1,37,5,1,24,369,37,0 85,0,2024-09-07 08:17:51:117,6863,0.4,6894,0.6,14505,0.3,18811,2.00 85,1,2024-09-07 08:17:50:566,63899,63899,0,0,30306199444,328806765,61674,1828,397,384,391505,0 85,2,2024-09-07 08:17:50:890,42834,42834,0,0,2982765,0,3656 85,3,2024-09-07 08:17:50:694,1,37,2,1,115,480,37,0 86,0,2024-09-07 08:17:50:977,8321,1.3,8615,1.1,16711,1.5,22662,2.25 86,1,2024-09-07 08:17:50:853,64181,64181,0,0,30932029131,330643690,62439,1530,212,367,391514,0 86,2,2024-09-07 08:17:50:905,45086,45085,1,0,3441110,0,5004 86,3,2024-09-07 08:17:50:591,1,37,17,1,199,699,37,0 87,0,2024-09-07 08:17:51:365,13649,2.5,13616,1.6,27308,3.8,36889,2.75 87,1,2024-09-07 08:17:50:552,64518,64518,0,0,30870854073,329320203,63189,1240,89,369,391569,0 87,2,2024-09-07 08:17:51:095,48612,48612,0,0,2852695,0,2859 87,3,2024-09-07 08:17:51:813,1,37,3,1,322,939,37,0 88,0,2024-09-07 08:17:51:496,8824,1.9,8855,1.5,17563,2.8,23858,2.25 88,1,2024-09-07 08:17:50:592,64557,64557,0,0,30908652696,328406411,63450,1014,93,367,391747,0 88,2,2024-09-07 08:17:50:725,49182,49182,0,0,3662811,0,3583 88,3,2024-09-07 08:17:51:281,1,37,30,1,77,563,37,0 89,0,2024-09-07 08:17:51:814,7815,0.4,7580,0.6,15045,0.3,20120,1.75 89,1,2024-09-07 08:17:50:557,64277,64277,0,0,31002079722,333680500,62474,1189,614,383,391866,0 89,2,2024-09-07 08:17:51:139,43800,43800,0,0,2941722,0,2726 89,3,2024-09-07 08:17:51:796,1,37,9,0,325,979,37,0 90,0,2024-09-07 08:17:51:716,6558,0.3,6669,0.5,13749,0.2,18122,1.75 90,1,2024-09-07 08:17:50:631,64598,64598,0,0,30344923882,327086247,62709,1755,134,382,391531,0 90,2,2024-09-07 08:17:51:424,44741,44741,0,0,3742736,0,2635 90,3,2024-09-07 08:17:50:935,1,37,36,1,200,554,37,0 91,0,2024-09-07 08:17:51:097,12955,0.6,12437,0.7,25932,0.6,34571,2.00 91,1,2024-09-07 08:17:50:557,64621,64621,0,0,31049407279,333421138,62649,1614,358,384,391914,0 91,2,2024-09-07 08:17:51:347,46039,46039,0,0,2846551,0,1997 91,3,2024-09-07 08:17:50:607,1,37,3,1,155,449,37,0 92,0,2024-09-07 08:17:51:485,10501,2.4,10852,1.5,20713,4.1,27922,2.00 92,1,2024-09-07 08:17:50:586,64829,64829,0,0,30698215671,322098922,64426,356,47,383,391569,0 92,2,2024-09-07 08:17:51:359,50877,50877,0,0,2881956,0,2279 92,3,2024-09-07 08:17:51:014,1,37,7,1,68,482,37,0 93,0,2024-09-07 08:17:51:027,7405,0.5,7571,0.7,14448,0.3,19314,1.75 93,1,2024-09-07 08:17:50:815,64564,64564,0,0,30596309664,325963747,63157,976,431,368,391689,0 93,2,2024-09-07 08:17:50:947,45874,45874,0,0,2437485,0,2509 93,3,2024-09-07 08:17:51:416,1,37,3,1,143,384,37,0 94,0,2024-09-07 08:17:51:734,5949,0.3,5884,0.4,11565,0.2,15165,1.50 94,1,2024-09-07 08:17:50:719,64620,64620,0,0,30256856747,321260640,63559,1003,58,381,391850,0 94,2,2024-09-07 08:17:50:776,44187,44187,0,0,2473152,0,2443 94,3,2024-09-07 08:17:51:717,1,37,52,1,231,887,37,0 95,0,2024-09-07 08:17:51:471,9902,0.6,9893,0.7,20404,0.6,26967,1.75 95,1,2024-09-07 08:17:51:020,65001,65001,0,0,30567266129,320158983,64671,326,4,368,391590,0 95,2,2024-09-07 08:17:51:036,45467,45467,0,0,2608858,0,3308 95,3,2024-09-07 08:17:51:710,1,37,71,0,307,775,37,0 96,0,2024-09-07 08:17:51:092,12793,2.1,12618,1.4,25460,3.2,33231,2.25 96,1,2024-09-07 08:17:51:589,64583,64583,0,0,30397083416,327811042,62775,1240,568,385,391551,0 96,2,2024-09-07 08:17:51:285,49543,49543,0,0,3699907,0,4038 96,3,2024-09-07 08:17:51:145,1,37,3,2,36,470,37,0 97,0,2024-09-07 08:17:51:357,7326,0.7,7274,0.8,14505,0.8,19149,2.00 97,1,2024-09-07 08:17:50:764,64508,64508,0,0,30900797499,330514501,62509,1483,516,367,391626,0 97,2,2024-09-07 08:17:50:741,47592,47592,0,0,3010616,0,3036 97,3,2024-09-07 08:17:50:642,1,37,10,1,165,552,37,0 98,0,2024-09-07 08:17:51:838,7032,0.2,6966,0.4,14128,0.2,18751,1.50 98,1,2024-09-07 08:17:50:608,64528,64528,0,0,30598325016,325438510,63491,995,42,382,391588,0 98,2,2024-09-07 08:17:50:781,43439,43439,0,0,2822739,0,3080 98,3,2024-09-07 08:17:50:702,1,37,2,1,155,535,37,0 99,0,2024-09-07 08:17:51:678,8947,0.5,8883,0.7,18023,0.5,24398,2.00 99,1,2024-09-07 08:17:51:759,65216,65216,0,0,30193496673,321335384,63918,860,438,381,391602,0 99,2,2024-09-07 08:17:51:458,45536,45536,0,0,2922844,0,1858 99,3,2024-09-07 08:17:50:605,1,37,2,1,129,456,37,0 100,0,2024-09-07 08:17:51:496,14395,3.0,14345,3.4,28642,5.4,38802,3.00 100,1,2024-09-07 08:17:50:559,64199,64199,0,0,29971808112,327870163,61595,2261,343,382,391585,0 100,2,2024-09-07 08:17:51:831,48686,48675,11,0,4327471,0,5417 100,3,2024-09-07 08:17:51:737,1,37,2,1,443,1467,37,0 101,0,2024-09-07 08:17:51:707,8292,6.8,7980,4.1,16078,4.9,23015,3.50 101,1,2024-09-07 08:17:50:581,64259,64259,0,0,31036827200,332814930,62819,992,448,370,391531,0 101,2,2024-09-07 08:17:51:765,48808,48808,0,0,3576155,0,4644 101,3,2024-09-07 08:17:50:955,1,37,11,1,448,800,37,0 102,0,2024-09-07 08:17:51:397,7443,0.6,7735,0.7,15369,0.4,19870,2.00 102,1,2024-09-07 08:17:51:213,64583,64583,0,0,30785038514,330761888,62662,1481,440,369,391647,0 102,2,2024-09-07 08:17:51:758,43305,43305,0,0,2312021,0,1945 102,3,2024-09-07 08:17:51:619,1,37,4,0,410,675,37,0 103,0,2024-09-07 08:17:51:977,7673,0.4,7678,0.6,14528,0.3,20366,1.75 103,1,2024-09-07 08:17:51:631,64771,64771,0,0,30494084445,324441723,63715,915,141,381,391680,0 103,2,2024-09-07 08:17:50:592,45541,45541,0,0,2709288,0,1878 103,3,2024-09-07 08:17:50:824,1,37,17,1,486,890,37,0 104,0,2024-09-07 08:17:51:022,13999,1.0,14140,1.0,27202,1.1,36977,2.00 104,1,2024-09-07 08:17:51:606,65018,65018,0,0,30051687570,323661744,63285,1410,323,368,391948,0 104,2,2024-09-07 08:17:51:682,46927,46927,0,0,3442012,0,3941 104,3,2024-09-07 08:17:51:417,1,37,1245,2,1245,2056,37,0 105,0,2024-09-07 08:17:51:083,8963,4.1,8605,2.5,17830,7.0,24550,4.50 105,1,2024-09-07 08:17:50:558,64376,64376,0,0,31254915166,336069035,62122,1656,598,367,391797,0 105,2,2024-09-07 08:17:51:344,49194,49194,0,0,3344625,0,3314 105,3,2024-09-07 08:17:51:309,1,37,5,1,169,787,37,0 106,0,2024-09-07 08:17:51:665,7198,0.4,7333,0.6,14883,0.3,19438,2.00 106,1,2024-09-07 08:17:51:799,64829,64829,0,0,30769761194,329903491,62947,1605,277,371,391767,0 106,2,2024-09-07 08:17:50:826,44629,44629,0,0,2873222,0,1818 106,3,2024-09-07 08:17:50:738,1,37,201,1,201,612,37,0 107,0,2024-09-07 08:17:51:339,6105,0.3,6048,0.5,12042,0.2,15995,1.75 107,1,2024-09-07 08:17:50:674,64508,64508,0,0,30469722496,326354359,63217,1164,127,382,392234,0 107,2,2024-09-07 08:17:51:322,45050,45049,1,0,2805097,0,5024 107,3,2024-09-07 08:17:51:758,1,37,13,0,353,815,37,0 108,0,2024-09-07 08:17:52:005,11124,1.3,11253,1.1,22278,1.9,30210,2.00 108,1,2024-09-07 08:17:51:314,64485,64485,0,0,31031014296,328306598,63284,1094,107,371,391585,0 108,2,2024-09-07 08:17:51:794,46205,46205,0,0,2944789,0,2647 108,3,2024-09-07 08:17:51:351,1,37,25,1,60,529,37,0 109,0,2024-09-07 08:17:51:838,11425,1.9,11515,1.3,22328,3.1,30308,2.25 109,1,2024-09-07 08:17:50:589,64940,64940,0,0,31115917182,330344526,64148,740,52,385,391664,0 109,2,2024-09-07 08:17:50:931,48916,48916,0,0,3250778,0,3617 109,3,2024-09-07 08:17:51:155,1,37,37,1,120,642,37,0 110,0,2024-09-07 08:17:51:810,7345,0.3,7129,0.6,14919,0.2,19125,1.75 110,1,2024-09-07 08:17:51:664,64708,64708,0,0,30690102790,323237448,63693,732,283,370,391564,0 110,2,2024-09-07 08:17:51:307,47430,47430,0,0,2605048,0,2915 110,3,2024-09-07 08:17:50:704,1,37,1,0,183,439,37,0 111,0,2024-09-07 08:17:51:429,6537,0.2,6684,0.4,13050,0.1,17110,1.50 111,1,2024-09-07 08:17:51:001,65440,65440,0,0,31870418667,331620691,65060,368,12,382,391690,0 111,2,2024-09-07 08:17:51:125,43827,43827,0,0,2504962,0,2763 111,3,2024-09-07 08:17:50:922,1,37,0,0,119,822,37,0 112,0,2024-09-07 08:17:50:942,9768,0.9,9788,0.8,19480,0.8,26376,2.00 112,1,2024-09-07 08:17:50:841,64894,64894,0,0,31114070288,331491198,63417,1131,346,382,391522,0 112,2,2024-09-07 08:17:51:150,44876,44875,1,0,3430850,0,5036 112,3,2024-09-07 08:17:50:597,1,37,12,1,282,618,37,0 113,0,2024-09-07 08:17:50:881,13379,1.0,13193,1.0,26797,1.5,35439,2.00 113,1,2024-09-07 08:17:51:697,64655,64655,0,0,30840955773,328316301,62811,1461,383,368,391661,0 113,2,2024-09-07 08:17:51:305,49365,49365,0,0,2760186,0,3021 113,3,2024-09-07 08:17:50:693,1,37,1,1,166,677,37,0 114,0,2024-09-07 08:17:50:904,8458,5.2,8545,3.7,16816,2.8,23035,2.25 114,1,2024-09-07 08:17:50:728,64539,64539,0,0,30792184440,333328026,62114,1565,860,381,391513,0 114,2,2024-09-07 08:17:50:883,48226,48226,0,0,3424557,0,3925 114,3,2024-09-07 08:17:51:290,1,37,10,1,159,662,37,0 115,0,2024-09-07 08:17:50:560,7150,0.2,7138,0.5,14284,0.1,18940,1.50 115,1,2024-09-07 08:17:50:572,64811,64811,0,0,30744922693,330342218,62607,1718,486,384,391502,0 115,2,2024-09-07 08:17:51:132,43581,43581,0,0,2386063,0,2152 115,3,2024-09-07 08:17:51:002,1,37,2,0,159,419,37,0 116,0,2024-09-07 08:17:51:724,8414,2.3,8317,1.5,16717,3.6,22687,2.75 116,1,2024-09-07 08:17:50:806,64060,64060,0,0,30544166825,331194687,62464,737,859,382,391573,0 116,2,2024-09-07 08:17:51:764,45010,45010,0,0,3024108,0,3529 116,3,2024-09-07 08:17:50:914,1,37,5,2,252,988,37,0 117,0,2024-09-07 08:17:51:031,13712,1.8,13704,1.3,27401,3.0,37082,2.25 117,1,2024-09-07 08:17:51:614,64646,64646,0,0,30670190655,330131530,62730,1646,270,371,391601,0 117,2,2024-09-07 08:17:51:140,48297,48297,0,0,3136643,0,3700 117,3,2024-09-07 08:17:51:072,1,37,21,1,490,1348,37,0 118,0,2024-09-07 08:17:51:911,8539,1.7,8674,1.3,17802,2.3,23847,2.50 118,1,2024-09-07 08:17:50:604,64469,64469,0,0,30254511341,322425850,63530,864,75,368,391736,0 118,2,2024-09-07 08:17:51:591,49296,49296,0,0,3291306,0,2781 118,3,2024-09-07 08:17:51:772,1,37,7,1,229,692,37,0 119,0,2024-09-07 08:17:51:423,7396,0.3,7526,0.6,15347,0.2,20002,1.75 119,1,2024-09-07 08:17:50:747,64505,64505,0,0,31115292412,332910541,62920,1437,148,371,391576,0 119,2,2024-09-07 08:17:51:269,43453,43453,0,0,2851724,0,2532 119,3,2024-09-07 08:17:51:350,1,37,31,1,443,1490,37,0 120,0,2024-09-07 08:17:51:577,6830,0.3,6783,0.6,13593,0.2,18069,1.75 120,1,2024-09-07 08:17:50:950,64633,64633,0,0,30624723052,327135346,63476,1143,14,369,391702,0 120,2,2024-09-07 08:17:50:925,44736,44735,1,0,3506509,0,5281 120,3,2024-09-07 08:17:51:291,1,37,7,1,241,803,37,0 121,0,2024-09-07 08:17:51:720,12667,2.3,12625,1.6,25332,3.9,34554,2.50 121,1,2024-09-07 08:17:51:668,64698,64698,0,0,30807339367,327900584,63421,1038,239,370,391807,0 121,2,2024-09-07 08:17:51:130,46103,46103,0,0,4184474,0,4127 121,3,2024-09-07 08:17:50:763,1,37,6,1,101,435,37,0 122,0,2024-09-07 08:17:51:781,10271,3.5,9954,3.1,20784,3.9,28031,2.75 122,1,2024-09-07 08:17:50:878,64042,64042,0,0,30851087467,332597855,61971,1701,370,369,392130,0 122,2,2024-09-07 08:17:51:324,49829,49829,0,0,4056156,0,2649 122,3,2024-09-07 08:17:50:597,1,37,1,1,226,1146,37,0 123,0,2024-09-07 08:17:51:027,7415,0.4,7181,0.7,14870,0.2,19338,1.75 123,1,2024-09-07 08:17:50:567,64440,64440,0,0,30639522577,334022053,61363,2559,518,371,391823,0 123,2,2024-09-07 08:17:51:026,45593,45592,1,0,3137340,0,5215 123,3,2024-09-07 08:17:51:132,1,37,6,1,160,641,37,0 124,0,2024-09-07 08:17:50:940,6002,0.3,6001,0.5,11383,0.2,15211,1.75 124,1,2024-09-07 08:17:51:028,65164,65164,0,0,30445872923,317666224,64981,181,2,370,392129,0 124,2,2024-09-07 08:17:51:022,44164,44164,0,0,2182707,0,2477 124,3,2024-09-07 08:17:50:757,1,37,8,2,490,1255,37,0 125,0,2024-09-07 08:17:51:491,10087,0.8,9997,0.9,20048,1.0,27086,2.00 125,1,2024-09-07 08:17:50:867,64728,64728,0,0,30737816072,325801662,63663,1043,22,385,391702,0 125,2,2024-09-07 08:17:51:128,45487,45487,0,0,2765169,0,2180 125,3,2024-09-07 08:17:51:132,1,37,1,1,93,730,37,0 126,0,2024-09-07 08:17:51:442,12768,1.9,13007,1.3,25013,2.8,33632,2.25 126,1,2024-09-07 08:17:50:561,65212,65212,0,0,31771627746,331990633,64741,462,9,368,391719,0 126,2,2024-09-07 08:17:50:619,49759,49759,0,0,2892238,0,3186 126,3,2024-09-07 08:17:50:910,1,37,2,1,122,443,37,0 127,0,2024-09-07 08:17:51:618,7418,0.3,7348,0.5,14651,0.2,19102,1.75 127,1,2024-09-07 08:17:50:589,64960,64960,0,0,30802750508,322645347,64217,721,22,365,391614,0 127,2,2024-09-07 08:17:50:652,48341,48341,0,0,2548015,0,1803 127,3,2024-09-07 08:17:51:273,1,37,19,0,99,398,37,0 128,0,2024-09-07 08:17:51:546,7020,0.3,7125,0.5,13838,0.2,18727,1.75 128,1,2024-09-07 08:17:51:611,64947,64947,0,0,30797496567,323992411,64267,614,66,369,391605,0 128,2,2024-09-07 08:17:51:404,42583,42583,0,0,3040820,0,2107 128,3,2024-09-07 08:17:50:808,1,37,6,1,25,439,37,0 129,0,2024-09-07 08:17:51:043,8985,0.7,9181,0.8,18021,0.9,24393,2.00 129,1,2024-09-07 08:17:50:574,64624,64624,0,0,30783542427,329268347,62986,1366,272,379,391835,0 129,2,2024-09-07 08:17:50:696,44855,44855,0,0,2549521,0,2446 129,3,2024-09-07 08:17:50:697,1,37,6,1,173,821,37,0 130,0,2024-09-07 08:17:51:754,14700,1.9,14551,1.3,29093,2.4,39381,2.50 130,1,2024-09-07 08:17:50:595,65029,65029,0,0,30771774050,327644194,63784,1218,27,381,391520,0 130,2,2024-09-07 08:17:51:126,49309,49309,0,0,3448897,0,4067 130,3,2024-09-07 08:17:51:292,1,37,5,1,207,491,37,0 131,0,2024-09-07 08:17:51:996,8473,2.0,8297,1.6,17019,2.5,23010,2.25 131,1,2024-09-07 08:17:51:837,64899,64899,0,0,30715931850,326052078,63924,789,186,385,391865,0 131,2,2024-09-07 08:17:50:639,48223,48223,0,0,2855983,0,2415 131,3,2024-09-07 08:17:51:695,1,37,1,1,392,633,37,0 132,0,2024-09-07 08:17:51:455,7447,0.4,7674,0.8,15201,0.4,19946,1.75 132,1,2024-09-07 08:17:50:579,63876,63876,0,0,30024940022,326498560,61559,1613,704,383,391533,0 132,2,2024-09-07 08:17:50:699,43003,43003,0,0,4312427,0,4606 132,3,2024-09-07 08:17:51:691,1,37,10,1,356,750,37,0 133,0,2024-09-07 08:17:51:570,7372,0.4,7488,0.6,15326,0.2,20118,1.75 133,1,2024-09-07 08:17:50:588,63839,63839,0,0,30753638484,331968552,61808,1941,90,383,391513,0 133,2,2024-09-07 08:17:51:116,45669,45669,0,0,3351453,0,2444 133,3,2024-09-07 08:17:51:301,1,37,1,1,187,462,37,0 134,0,2024-09-07 08:17:50:951,13908,0.6,13841,0.8,27874,0.6,37054,2.00 134,1,2024-09-07 08:17:50:614,64394,64394,0,0,30745039216,333116108,61864,1551,979,366,391517,0 134,2,2024-09-07 08:17:51:778,47465,47465,0,0,2649476,0,2026 134,3,2024-09-07 08:17:50:768,1,37,2,1,63,469,37,0 135,0,2024-09-07 08:17:51:108,8959,2.9,8963,2.5,18918,3.2,24655,2.75 135,1,2024-09-07 08:17:51:586,64116,64116,0,0,30754598865,328612759,62710,1156,250,383,391528,0 135,2,2024-09-07 08:17:50:705,49900,49900,0,0,3373256,0,3981 135,3,2024-09-07 08:17:51:011,1,37,1,0,68,378,37,0 136,0,2024-09-07 08:17:51:632,7436,0.5,7411,0.8,14740,0.4,19420,2.25 136,1,2024-09-07 08:17:51:448,64898,64898,0,0,30534596860,326037955,63439,1318,141,384,391518,0 136,2,2024-09-07 08:17:51:138,45571,45571,0,0,2557324,0,2379 136,3,2024-09-07 08:17:51:123,1,37,27,1,108,582,37,0 137,0,2024-09-07 08:17:50:927,6225,0.4,6059,0.7,12002,0.2,16018,2.00 137,1,2024-09-07 08:17:50:580,64532,64532,0,0,30846496651,329532949,62839,1584,109,368,391608,0 137,2,2024-09-07 08:17:51:774,44431,44431,0,0,3330103,0,2294 137,3,2024-09-07 08:17:50:778,1,37,22,1,227,543,37,0 138,0,2024-09-07 08:17:51:790,10661,2.3,11012,1.6,22058,3.4,29803,2.75 138,1,2024-09-07 08:17:51:700,64363,64363,0,0,30838702456,329772130,62690,1440,233,371,391615,0 138,2,2024-09-07 08:17:50:632,46353,46353,0,0,2665753,0,3263 138,3,2024-09-07 08:17:50:625,1,37,1,1,68,321,37,0 139,0,2024-09-07 08:17:51:392,10895,5.8,10817,3.3,21995,6.1,29706,4.50 139,1,2024-09-07 08:17:50:578,64078,64078,0,0,30164455333,330721516,61470,1898,710,383,391892,0 139,2,2024-09-07 08:17:50:734,49510,49510,0,0,3315974,0,2425 139,3,2024-09-07 08:17:51:666,1,37,158,1,244,1054,37,0 140,0,2024-09-07 08:17:51:610,7291,0.4,7170,0.6,14575,0.3,19199,1.75 140,1,2024-09-07 08:17:51:537,65361,65361,0,0,31436575427,328091665,64745,425,191,365,391483,0 140,2,2024-09-07 08:17:50:695,47205,47205,0,0,3196635,0,3388 140,3,2024-09-07 08:17:50:767,1,37,9,1,25,355,37,0 141,0,2024-09-07 08:17:51:741,6479,0.2,6718,0.4,13017,0.1,17131,1.50 141,1,2024-09-07 08:17:50:878,65113,65113,0,0,31215798350,330151327,63751,1031,331,382,391532,0 141,2,2024-09-07 08:17:51:690,44104,44104,0,0,2345230,0,2342 141,3,2024-09-07 08:17:51:044,1,37,2,0,53,291,37,0 142,0,2024-09-07 08:17:51:341,9878,0.4,9851,0.7,19566,0.3,26352,1.75 142,1,2024-09-07 08:17:50:609,64898,64898,0,0,30785672956,322653009,64647,250,1,384,391649,0 142,2,2024-09-07 08:17:51:332,44956,44956,0,0,2675268,0,2293 142,3,2024-09-07 08:17:51:747,1,37,2,1,263,537,37,0 143,0,2024-09-07 08:17:51:409,13302,1.5,13309,1.2,26797,1.9,35299,2.25 143,1,2024-09-07 08:17:50:558,64913,64913,0,0,30990738174,324761737,64111,778,24,367,391608,0 143,2,2024-09-07 08:17:50:776,49382,49382,0,0,3037579,0,2669 143,3,2024-09-07 08:17:51:164,1,37,1,1,236,915,37,0 144,0,2024-09-07 08:17:51:505,7993,3.3,8281,8.2,16490,2.7,22857,2.75 144,1,2024-09-07 08:17:50:572,64434,64434,0,0,30898152809,331844484,62891,1355,188,383,391617,0 144,2,2024-09-07 08:17:51:783,48301,48301,0,0,3117431,0,3473 144,3,2024-09-07 08:17:51:771,1,37,2,1,112,607,37,0 145,0,2024-09-07 08:17:51:374,6836,0.4,6796,0.7,14265,0.3,18841,2.00 145,1,2024-09-07 08:17:50:553,63754,63754,0,0,30314649261,325040775,62132,1363,259,383,391615,0 145,2,2024-09-07 08:17:51:432,42999,42999,0,0,3376078,0,3903 145,3,2024-09-07 08:17:50:895,1,37,2,0,151,760,37,0 146,0,2024-09-07 08:17:51:620,8391,2.3,8326,1.6,16788,3.2,22497,3.00 146,1,2024-09-07 08:17:51:593,64610,64610,0,0,30316650353,325824370,62493,1819,298,370,391600,0 146,2,2024-09-07 08:17:51:705,45501,45501,0,0,2680348,0,2149 146,3,2024-09-07 08:17:51:280,1,37,1520,0,1520,1841,37,0 147,0,2024-09-07 08:17:51:743,13693,2.1,13480,1.5,26797,2.8,36903,3.00 147,1,2024-09-07 08:17:51:373,65144,65144,0,0,30762984952,322549346,64418,599,127,369,391791,0 147,2,2024-09-07 08:17:51:012,49084,49084,0,0,3073192,0,2789 147,3,2024-09-07 08:17:50:914,1,37,2,1,141,629,37,0 0,0,2024-09-07 08:18:01:834,6862,0.5,6802,0.8,14377,0.4,18704,2.00 0,1,2024-09-07 08:18:00:803,66485,66485,0,0,31908805997,340712127,65307,1052,126,372,391673,0 0,2,2024-09-07 08:18:01:077,46005,46005,0,0,3236097,0,4480 0,3,2024-09-07 08:18:00:974,1,38,11,2,247,734,38,0 1,0,2024-09-07 08:18:01:901,12906,2.5,12943,1.9,26123,3.0,35384,3.00 1,1,2024-09-07 08:18:00:572,66095,66095,0,0,31006352072,332752782,64448,1122,525,372,391857,0 1,2,2024-09-07 08:18:00:674,47808,47808,0,0,2982121,0,3267 1,3,2024-09-07 08:18:01:322,1,38,1,1,167,481,38,0 2,0,2024-09-07 08:18:01:581,10257,3.6,10478,2.1,20620,5.7,27639,4.25 2,1,2024-09-07 08:18:00:860,66197,66197,0,0,31930992440,338113411,65175,819,203,382,391531,0 2,2,2024-09-07 08:18:01:266,50512,50512,0,0,3286930,0,3304 2,3,2024-09-07 08:18:00:689,1,38,3,1,87,407,38,0 3,0,2024-09-07 08:18:01:757,7597,0.4,7511,0.7,15057,0.3,19615,2.00 3,1,2024-09-07 08:18:01:619,66148,66148,0,0,31395901231,335991108,64207,1555,386,382,391494,0 3,2,2024-09-07 08:18:01:143,46716,46693,23,0,3704114,0,5851 3,3,2024-09-07 08:18:01:756,1,38,1,1,103,410,38,0 4,0,2024-09-07 08:18:01:886,5749,0.4,6013,0.6,11940,0.2,15521,2.00 4,1,2024-09-07 08:18:00:596,66258,66258,0,0,30663229932,330654979,64525,1317,416,372,391682,0 4,2,2024-09-07 08:18:01:057,45285,45285,0,0,3821364,0,4528 4,3,2024-09-07 08:18:01:057,1,38,11,8,287,823,38,0 5,0,2024-09-07 08:18:01:395,10503,1.1,10305,1.0,20991,1.6,28363,2.25 5,1,2024-09-07 08:18:00:757,65864,65864,0,0,31380696053,337612942,63821,1618,425,368,392005,0 5,2,2024-09-07 08:18:01:836,46910,46910,0,0,2859109,0,2148 5,3,2024-09-07 08:18:01:731,1,38,25,1,182,923,38,0 6,0,2024-09-07 08:18:00:936,12730,3.0,12576,1.9,25056,5.4,33600,4.50 6,1,2024-09-07 08:18:00:746,66629,66629,0,0,31799096098,336463489,65583,977,69,382,391603,0 6,2,2024-09-07 08:18:01:117,50845,50845,0,0,3242804,0,2232 6,3,2024-09-07 08:18:01:275,1,38,1,1,277,734,38,0 7,0,2024-09-07 08:18:01:545,7292,0.4,7298,0.6,14811,0.2,19406,1.75 7,1,2024-09-07 08:18:00:850,66155,66155,0,0,31696106470,335917237,65230,861,64,384,391558,0 7,2,2024-09-07 08:18:00:771,48753,48753,0,0,3084674,0,2981 7,3,2024-09-07 08:18:00:850,1,38,1,0,83,470,38,0 8,0,2024-09-07 08:18:01:355,7436,0.4,7236,0.6,14312,0.2,19269,1.75 8,1,2024-09-07 08:18:01:040,66219,66219,0,0,31845422405,348773906,63152,1829,1238,368,391724,0 8,2,2024-09-07 08:18:00:790,44095,44095,0,0,3205848,0,2986 8,3,2024-09-07 08:18:00:585,1,38,8,1,229,770,38,0 9,0,2024-09-07 08:18:01:161,9500,0.4,9202,0.7,19163,0.4,25488,1.75 9,1,2024-09-07 08:18:00:571,66192,66192,0,0,31829141332,342295595,64058,1569,565,370,391559,0 9,2,2024-09-07 08:18:01:097,46067,46067,0,0,3281437,0,3360 9,3,2024-09-07 08:18:01:756,1,38,6,0,127,570,38,0 10,0,2024-09-07 08:18:01:617,14646,1.8,14631,1.3,29405,3.6,38988,3.75 10,1,2024-09-07 08:18:00:608,65669,65669,0,0,31116574772,338069144,62629,2518,522,383,391541,0 10,2,2024-09-07 08:18:00:784,50123,50123,0,0,3547976,0,2940 10,3,2024-09-07 08:18:00:875,1,38,10,0,118,326,38,0 11,0,2024-09-07 08:18:01:022,8371,4.2,8217,2.6,17137,6.4,23677,3.25 11,1,2024-09-07 08:18:00:579,66400,66400,0,0,31724357185,341670193,64095,1568,737,384,391485,0 11,2,2024-09-07 08:18:01:128,49657,49657,0,0,3465053,0,2635 11,3,2024-09-07 08:18:01:303,1,38,505,0,720,1587,38,0 12,0,2024-09-07 08:18:01:032,7853,0.3,7864,0.5,15705,0.2,20532,1.50 12,1,2024-09-07 08:18:00:944,66369,66369,0,0,31002491551,328641111,65349,996,24,372,391661,0 12,2,2024-09-07 08:18:01:547,45193,45193,0,0,2744024,0,2254 12,3,2024-09-07 08:18:01:093,1,38,3,1,358,1140,38,0 13,0,2024-09-07 08:18:01:358,7846,0.4,8012,0.6,15897,0.3,21529,1.75 13,1,2024-09-07 08:18:01:529,66569,66569,0,0,31380868813,332217693,65942,588,39,384,391563,0 13,2,2024-09-07 08:18:00:611,46946,46946,0,0,2307692,0,3287 13,3,2024-09-07 08:18:01:780,1,38,3,1,153,545,38,0 14,0,2024-09-07 08:18:00:573,14274,0.5,14237,0.6,28394,0.4,37716,1.75 14,1,2024-09-07 08:18:01:564,67204,67204,0,0,31094515682,325060599,66612,563,29,365,391546,0 14,2,2024-09-07 08:18:00:781,48763,48763,0,0,3038980,0,2091 14,3,2024-09-07 08:18:01:115,1,38,1,1,906,1199,38,0 15,0,2024-09-07 08:18:01:578,9314,4.4,9226,2.4,18434,6.4,25529,4.00 15,1,2024-09-07 08:18:01:621,66762,66762,0,0,31679817202,332850543,66232,528,2,381,391536,0 15,2,2024-09-07 08:18:01:003,51130,51130,0,0,2465615,0,3043 15,3,2024-09-07 08:18:01:409,1,38,1,0,538,958,38,0 16,0,2024-09-07 08:18:00:963,7541,0.4,7615,0.6,15028,0.3,19927,2.00 16,1,2024-09-07 08:18:00:580,66563,66563,0,0,31055692234,331137628,65484,1059,20,373,391543,0 16,2,2024-09-07 08:18:01:475,45010,45010,0,0,3560167,0,4719 16,3,2024-09-07 08:18:01:143,1,38,18,1,231,960,38,0 17,0,2024-09-07 08:18:01:832,6428,0.4,6459,0.7,12522,0.3,17010,2.00 17,1,2024-09-07 08:18:00:582,66159,66159,0,0,31069993408,334714097,64524,1116,519,369,391688,0 17,2,2024-09-07 08:18:01:678,46763,46763,0,0,2728650,0,2857 17,3,2024-09-07 08:18:00:574,1,38,2,0,268,971,38,0 18,0,2024-09-07 08:18:00:968,11335,1.0,11498,1.0,23253,1.1,31135,2.25 18,1,2024-09-07 08:18:01:639,66169,66169,0,0,31265172575,331661216,64952,999,218,369,391526,0 18,2,2024-09-07 08:18:01:761,46799,46799,0,0,3624603,0,3541 18,3,2024-09-07 08:18:00:896,1,38,5,0,163,710,38,0 19,0,2024-09-07 08:18:01:547,11017,3.6,11195,2.1,21999,6.5,29187,6.00 19,1,2024-09-07 08:18:00:567,66085,66085,0,0,31487427587,336465092,64330,1593,162,367,391696,0 19,2,2024-09-07 08:18:01:771,51020,51020,0,0,3488943,0,3988 19,3,2024-09-07 08:18:01:146,1,38,1,0,524,671,38,0 20,0,2024-09-07 08:18:01:353,7427,0.3,7522,0.5,14728,0.2,19109,2.00 20,1,2024-09-07 08:18:00:568,66152,66152,0,0,31681656037,338528638,64492,1500,160,370,391598,0 20,2,2024-09-07 08:18:00:929,47646,47646,0,0,2841344,0,2446 20,3,2024-09-07 08:18:00:589,1,38,25,1,99,645,38,0 21,0,2024-09-07 08:18:01:208,6828,0.4,6735,0.7,13363,0.3,17044,2.00 21,1,2024-09-07 08:18:01:545,65706,65706,0,0,30924445593,334127931,63581,1639,486,368,391962,0 21,2,2024-09-07 08:18:01:105,45134,45134,0,0,2968990,0,3747 21,3,2024-09-07 08:18:01:416,1,38,15,1,93,660,38,0 22,0,2024-09-07 08:18:01:724,9900,1.5,9817,1.4,19558,1.6,26586,2.75 22,1,2024-09-07 08:18:01:028,65847,65847,0,0,30729477970,334732428,62944,2178,725,382,391667,0 22,2,2024-09-07 08:18:00:765,46426,46426,0,0,2801050,0,3134 22,3,2024-09-07 08:18:01:068,1,38,1,0,62,257,38,0 23,0,2024-09-07 08:18:01:375,13156,2.6,13427,1.5,26695,4.0,35381,3.25 23,1,2024-09-07 08:18:01:004,66963,66963,0,0,31803115126,335243833,65866,862,235,368,391496,0 23,2,2024-09-07 08:18:01:098,51085,51085,0,0,2894405,0,2078 23,3,2024-09-07 08:18:01:758,1,38,9,0,645,1097,38,0 24,0,2024-09-07 08:18:00:843,8857,0.9,8850,1.0,17802,1.5,23617,1.75 24,1,2024-09-07 08:18:00:597,66883,66883,0,0,31086760920,328169798,66190,688,5,371,391640,0 24,2,2024-09-07 08:18:01:118,48842,48842,0,0,4108732,0,2942 24,3,2024-09-07 08:18:01:693,1,38,12,1,234,649,38,0 25,0,2024-09-07 08:18:01:354,7568,0.3,7506,0.5,14427,0.2,19752,1.75 25,1,2024-09-07 08:18:00:564,66340,66340,0,0,31305383675,332305200,65312,981,47,373,391544,0 25,2,2024-09-07 08:18:01:616,44082,44082,0,0,3343230,0,3284 25,3,2024-09-07 08:18:01:000,1,38,158,1,158,492,38,0 26,0,2024-09-07 08:18:01:735,8612,0.6,8470,0.7,17764,0.6,23399,2.00 26,1,2024-09-07 08:18:01:546,66285,66285,0,0,31516185896,342750855,63334,2155,796,382,391542,0 26,2,2024-09-07 08:18:00:862,46706,46706,0,0,3268598,0,2809 26,3,2024-09-07 08:18:01:718,1,38,3,0,796,1059,38,0 27,0,2024-09-07 08:18:01:738,14160,0.6,14279,0.7,28519,0.6,38419,1.75 27,1,2024-09-07 08:18:01:681,67300,67300,0,0,32145590866,335164704,67039,260,1,381,391526,0 27,2,2024-09-07 08:18:00:870,48896,48896,0,0,4570496,0,3409 27,3,2024-09-07 08:18:01:026,1,38,3,1,86,325,38,0 28,0,2024-09-07 08:18:01:405,9127,1.3,9256,1.3,18350,1.6,24656,2.25 28,1,2024-09-07 08:18:00:798,66879,66879,0,0,31637186731,333138348,66365,505,9,383,391500,0 28,2,2024-09-07 08:18:01:771,50048,50048,0,0,2698227,0,2609 28,3,2024-09-07 08:18:01:779,1,38,2,1,502,983,38,0 29,0,2024-09-07 08:18:01:374,7902,0.3,7773,0.5,15356,0.2,20442,1.75 29,1,2024-09-07 08:18:01:566,67337,67337,0,0,31418447073,327477860,66725,440,172,369,391621,0 29,2,2024-09-07 08:18:00:869,45394,45394,0,0,1990305,0,2026 29,3,2024-09-07 08:18:00:963,1,38,1,1,105,493,38,0 30,0,2024-09-07 08:18:01:471,7095,0.3,6873,0.5,14125,0.2,18667,1.75 30,1,2024-09-07 08:18:00:573,66417,66417,0,0,31963031396,336583075,65740,615,62,382,391524,0 30,2,2024-09-07 08:18:01:275,46880,46880,0,0,1870045,0,1567 30,3,2024-09-07 08:18:00:590,1,38,1,0,110,231,38,0 31,0,2024-09-07 08:18:01:783,13234,0.6,13234,0.7,26650,0.6,35517,2.00 31,1,2024-09-07 08:18:00:567,66716,66716,0,0,32328996185,333504544,66597,117,2,356,391553,0 31,2,2024-09-07 08:18:01:275,48367,48367,0,0,3351644,0,3525 31,3,2024-09-07 08:18:01:706,1,38,7,0,129,284,38,0 32,0,2024-09-07 08:18:01:434,10496,2.7,10628,1.6,21341,3.9,28244,2.00 32,1,2024-09-07 08:18:00:809,66504,66504,0,0,31456142463,333011387,65510,966,28,382,391595,0 32,2,2024-09-07 08:18:00:949,51302,51302,0,0,3219514,0,3155 32,3,2024-09-07 08:18:01:025,1,38,12,0,110,521,38,0 33,0,2024-09-07 08:18:01:505,7594,0.3,7545,0.5,15181,0.2,19592,1.75 33,1,2024-09-07 08:18:00:595,66193,66193,0,0,31775171882,337083505,64561,1509,123,369,391497,0 33,2,2024-09-07 08:18:00:779,46070,46037,33,0,4341435,0,7012 33,3,2024-09-07 08:18:00:903,1,38,1,1,51,202,38,0 34,0,2024-09-07 08:18:00:951,5978,0.2,6071,0.4,11738,0.1,15503,1.50 34,1,2024-09-07 08:18:01:050,66864,66864,0,0,31792520519,331589580,66469,392,3,368,391517,0 34,2,2024-09-07 08:18:00:778,45113,45113,0,0,3490495,0,3255 34,3,2024-09-07 08:18:01:690,1,38,2,0,58,348,38,0 35,0,2024-09-07 08:18:00:875,10356,1.5,10315,1.1,20778,2.1,28330,2.00 35,1,2024-09-07 08:18:01:097,66380,66380,0,0,31899686965,337155147,64953,1066,361,385,391587,0 35,2,2024-09-07 08:18:01:606,46677,46677,0,0,3017195,0,2542 35,3,2024-09-07 08:18:00:907,1,38,0,0,219,639,38,0 36,0,2024-09-07 08:18:01:546,12660,3.1,12529,1.9,25625,4.3,33645,3.25 36,1,2024-09-07 08:18:00:618,65925,65925,0,0,30810806323,335019676,62917,2077,931,369,391535,0 36,2,2024-09-07 08:18:01:751,50762,50762,0,0,3426105,0,3303 36,3,2024-09-07 08:18:00:866,1,38,15,0,378,879,38,0 37,0,2024-09-07 08:18:01:375,7474,0.3,7365,0.6,14958,0.2,19459,2.00 37,1,2024-09-07 08:18:00:571,65642,65635,0,7,31905030260,348995919,62813,1306,1516,365,391507,0 37,2,2024-09-07 08:18:01:143,49120,49120,0,0,2743909,0,2333 37,3,2024-09-07 08:18:01:766,1,38,4,0,724,1409,38,0 38,0,2024-09-07 08:18:01:449,7289,0.3,7072,0.6,14636,0.2,19316,1.75 38,1,2024-09-07 08:18:01:614,66547,66547,0,0,31223246877,334316301,64819,1348,380,370,391512,0 38,2,2024-09-07 08:18:00:765,44534,44534,0,0,3245389,0,3245 38,3,2024-09-07 08:18:01:000,1,38,1,0,603,957,38,0 39,0,2024-09-07 08:18:01:775,9802,0.8,9626,0.9,18777,0.9,25667,2.00 39,1,2024-09-07 08:18:00:717,66629,66629,0,0,31249230376,333401537,64687,1439,503,366,391524,0 39,2,2024-09-07 08:18:01:421,46036,46036,0,0,2668141,0,2381 39,3,2024-09-07 08:18:00:713,1,38,1,0,189,778,38,0 40,0,2024-09-07 08:18:01:502,14251,2.9,14515,2.8,28617,5.3,38604,4.00 40,1,2024-09-07 08:18:00:577,66559,66559,0,0,30718174509,330728212,64205,1741,613,371,391591,0 40,2,2024-09-07 08:18:01:319,50201,50200,1,0,3933021,0,5137 40,3,2024-09-07 08:18:01:149,1,38,12,1,63,416,38,0 41,0,2024-09-07 08:18:01:043,8051,4.1,8282,8.3,16038,7.5,22553,4.50 41,1,2024-09-07 08:18:00:768,66248,66248,0,0,31874946003,340303624,64483,1494,271,370,391483,0 41,2,2024-09-07 08:18:00:773,49357,49357,0,0,4241385,0,3356 41,3,2024-09-07 08:18:01:676,1,38,91,1,107,354,38,0 42,0,2024-09-07 08:18:01:500,7723,0.5,7804,0.8,15484,0.3,20531,2.25 42,1,2024-09-07 08:18:01:443,66325,66325,0,0,31931242248,345023624,64025,1598,702,381,391511,0 42,2,2024-09-07 08:18:01:135,44873,44873,0,0,3228186,0,3568 42,3,2024-09-07 08:18:01:009,1,38,2,0,100,459,38,0 43,0,2024-09-07 08:18:00:933,7854,0.5,7650,0.9,16021,0.3,21439,2.00 43,1,2024-09-07 08:18:00:579,66261,66261,0,0,31766987819,338718107,64542,1128,591,367,391507,0 43,2,2024-09-07 08:18:01:741,46532,46532,0,0,3280033,0,3812 43,3,2024-09-07 08:18:01:757,1,38,1,1,292,811,38,0 44,0,2024-09-07 08:18:00:877,14185,0.4,14200,0.7,28192,0.4,37744,1.75 44,1,2024-09-07 08:18:00:570,66785,66785,0,0,31052328890,323490051,66342,434,9,357,391493,0 44,2,2024-09-07 08:18:01:266,48897,48897,0,0,2292860,0,1592 44,3,2024-09-07 08:18:01:099,1,38,8,1,817,1207,38,0 45,0,2024-09-07 08:18:01:789,9229,4.5,9074,2.4,18950,6.3,25819,2.50 45,1,2024-09-07 08:18:01:017,66674,66674,0,0,32324005271,337869903,66411,263,0,382,391917,0 45,2,2024-09-07 08:18:01:274,51038,51038,0,0,3069063,0,2628 45,3,2024-09-07 08:18:00:937,1,38,1,1,226,528,38,0 46,0,2024-09-07 08:18:00:961,7535,0.3,7593,0.5,15278,0.2,19983,1.75 46,1,2024-09-07 08:18:00:588,67115,67115,0,0,31671500296,329427928,66689,400,26,368,391514,0 46,2,2024-09-07 08:18:00:603,45863,45863,0,0,2556429,0,2920 46,3,2024-09-07 08:18:01:132,1,38,2,0,200,685,38,0 47,0,2024-09-07 08:18:01:112,6344,0.2,6438,0.4,12678,0.1,16985,1.50 47,1,2024-09-07 08:18:00:579,67109,67109,0,0,31461863859,326112162,66902,206,1,367,391489,0 47,2,2024-09-07 08:18:00:909,46882,46882,0,0,2704700,0,2558 47,3,2024-09-07 08:18:01:114,1,38,1,1,529,998,38,0 48,0,2024-09-07 08:18:01:510,11693,0.5,11645,0.7,23056,0.5,31453,1.75 48,1,2024-09-07 08:18:01:032,66409,66409,0,0,32104296233,340242295,65215,1189,5,386,391637,0 48,2,2024-09-07 08:18:00:700,47509,47509,0,0,2372658,0,2083 48,3,2024-09-07 08:18:00:768,1,38,13,1,23,359,38,0 49,0,2024-09-07 08:18:01:722,11607,3.2,11472,1.8,22180,5.2,30577,2.50 49,1,2024-09-07 08:18:01:035,66375,66375,0,0,31323489761,335500652,64640,1026,709,382,391583,0 49,2,2024-09-07 08:18:01:810,51160,51160,0,0,3460875,0,3900 49,3,2024-09-07 08:18:01:416,1,38,0,0,274,666,38,0 50,0,2024-09-07 08:18:01:527,7463,0.3,7378,0.5,14698,0.2,19475,1.75 50,1,2024-09-07 08:18:01:019,67064,67064,0,0,32475617915,343805533,65401,1366,297,370,391530,0 50,2,2024-09-07 08:18:01:069,48075,48075,0,0,2606212,0,2253 50,3,2024-09-07 08:18:01:290,1,38,6,1,335,687,38,0 51,0,2024-09-07 08:18:01:723,6882,0.2,6687,0.4,13144,0.1,17216,1.50 51,1,2024-09-07 08:18:01:691,66044,66044,0,0,32431911307,344948985,64272,990,782,367,391520,0 51,2,2024-09-07 08:18:01:319,45014,45014,0,0,2104941,0,2448 51,3,2024-09-07 08:18:01:033,1,38,9,0,162,427,38,0 52,0,2024-09-07 08:18:01:426,10114,1.8,10080,1.4,20307,2.4,26943,3.50 52,1,2024-09-07 08:18:00:576,66063,66063,0,0,31271027692,339069043,63589,2010,464,368,391498,0 52,2,2024-09-07 08:18:01:758,46563,46563,0,0,3137866,0,4779 52,3,2024-09-07 08:18:00:679,1,38,10,2,1782,2238,38,0 53,0,2024-09-07 08:18:01:746,13118,3.5,12685,2.1,26147,5.0,34555,3.75 53,1,2024-09-07 08:18:00:771,66178,66178,0,0,31708810771,343040183,63587,2017,574,370,391617,0 53,2,2024-09-07 08:18:01:298,51119,51119,0,0,2738565,0,1795 53,3,2024-09-07 08:18:00:696,1,38,17,1,59,271,38,0 54,0,2024-09-07 08:18:01:630,7991,10.0,8000,6.9,15977,3.0,22491,5.50 54,1,2024-09-07 08:18:00:581,66334,66334,0,0,31453931203,335475477,64557,1483,294,367,391520,0 54,2,2024-09-07 08:18:00:868,49556,49550,6,0,3715720,0,5382 54,3,2024-09-07 08:18:00:768,1,38,227,0,676,1380,38,0 55,0,2024-09-07 08:18:01:774,7244,0.5,7412,0.8,15016,0.3,19630,2.25 55,1,2024-09-07 08:18:00:765,65689,65689,0,0,31056947361,336191666,62282,2705,702,369,391731,0 55,2,2024-09-07 08:18:00:732,44369,44369,0,0,3199865,0,3275 55,3,2024-09-07 08:18:00:675,1,38,111,0,136,607,38,0 56,0,2024-09-07 08:18:01:598,8835,3.2,8351,2.1,17202,4.2,23189,3.25 56,1,2024-09-07 08:18:00:581,66342,66342,0,0,32324022020,350222382,63907,1852,583,384,391536,0 56,2,2024-09-07 08:18:01:318,46637,46637,0,0,3393142,0,3567 56,3,2024-09-07 08:18:01:069,1,38,14,2,297,705,38,0 57,0,2024-09-07 08:18:01:087,13801,2.6,13596,1.8,27435,3.8,37029,4.25 57,1,2024-09-07 08:18:00:999,66710,66710,0,0,30638281046,325516458,65693,1003,14,368,391760,0 57,2,2024-09-07 08:18:01:332,49421,49421,0,0,3459696,0,2687 57,3,2024-09-07 08:18:01:738,1,38,2,1,359,1039,38,0 58,0,2024-09-07 08:18:00:589,8256,2.1,8102,1.6,16951,2.7,22750,3.50 58,1,2024-09-07 08:18:00:575,66794,66793,0,1,32003662232,339009577,65426,1210,157,371,391512,1 58,2,2024-09-07 08:18:01:102,50653,50653,0,0,3398872,0,2464 58,3,2024-09-07 08:18:01:089,1,38,23,1,219,673,38,0 59,0,2024-09-07 08:18:01:750,7705,1.0,7681,1.1,15581,1.1,20137,2.75 59,1,2024-09-07 08:18:00:805,66488,66488,0,0,31601528134,335141779,65368,1073,47,371,391515,0 59,2,2024-09-07 08:18:00:588,45293,45293,0,0,2750439,0,2604 59,3,2024-09-07 08:18:01:750,1,38,1,0,1015,1194,38,0 60,0,2024-09-07 08:18:01:716,7090,0.3,7071,0.6,13991,0.2,18732,1.75 60,1,2024-09-07 08:18:00:773,67361,67361,0,0,31808666844,334344836,66471,546,344,370,391672,0 60,2,2024-09-07 08:18:01:158,46692,46692,0,0,2191837,0,2142 60,3,2024-09-07 08:18:01:262,1,38,16,0,124,548,38,0 61,0,2024-09-07 08:18:01:530,13045,1.6,13068,1.2,25903,2.5,35208,2.00 61,1,2024-09-07 08:18:00:771,66516,66516,0,0,31591979588,337561834,64660,1385,471,383,391589,0 61,2,2024-09-07 08:18:01:120,48363,48363,0,0,2765289,0,1846 61,3,2024-09-07 08:18:01:693,1,38,2,1,124,499,38,0 62,0,2024-09-07 08:18:01:713,10539,3.1,10874,1.7,20943,4.9,28271,3.00 62,1,2024-09-07 08:18:01:114,67044,67040,0,4,32420017807,337805013,66495,543,2,366,391586,4 62,2,2024-09-07 08:18:01:644,50818,50818,0,0,3193188,0,2931 62,3,2024-09-07 08:18:01:143,1,38,7,0,287,574,38,0 63,0,2024-09-07 08:18:01:462,7488,0.5,7499,0.8,15055,0.5,19666,2.00 63,1,2024-09-07 08:18:00:805,67070,67067,0,3,31320102818,326351728,66816,250,1,382,391540,3 63,2,2024-09-07 08:18:00:768,46626,46626,0,0,2724466,0,2674 63,3,2024-09-07 08:18:01:732,1,38,46,0,216,705,38,0 64,0,2024-09-07 08:18:01:587,5865,0.5,5916,0.8,11906,0.3,15401,2.00 64,1,2024-09-07 08:18:00:766,66439,66439,0,0,31937960068,345545399,64012,1634,793,371,391604,0 64,2,2024-09-07 08:18:01:169,46019,46000,19,0,3305832,0,6121 64,3,2024-09-07 08:18:01:141,1,38,1,1,163,549,38,0 65,0,2024-09-07 08:18:01:713,10193,4.1,10265,2.4,20273,5.8,27914,5.00 65,1,2024-09-07 08:18:00:860,66346,66346,0,0,31492939400,337259633,64649,1479,218,382,391506,0 65,2,2024-09-07 08:18:01:707,46753,46753,0,0,3435984,0,3367 65,3,2024-09-07 08:18:01:692,1,38,10,1,163,623,38,0 66,0,2024-09-07 08:18:01:778,12210,2.7,12349,1.8,24766,4.8,33041,4.25 66,1,2024-09-07 08:18:01:293,67389,67389,0,0,32176851839,337062419,66749,621,19,381,391537,0 66,2,2024-09-07 08:18:01:138,51096,51096,0,0,3190289,0,3867 66,3,2024-09-07 08:18:01:093,1,38,4,1,291,680,38,0 67,0,2024-09-07 08:18:01:429,7458,0.8,7445,1.0,14733,0.8,19377,2.50 67,1,2024-09-07 08:18:00:772,66390,66389,0,1,31458947085,337328593,64725,1166,498,383,391787,1 67,2,2024-09-07 08:18:00:592,49430,49430,0,0,2968693,0,2889 67,3,2024-09-07 08:18:01:751,1,38,16,0,93,404,38,0 68,0,2024-09-07 08:18:00:627,7269,0.4,7159,0.8,14555,0.3,19135,2.25 68,1,2024-09-07 08:18:00:588,66072,66072,0,0,31948581260,341812979,64684,866,522,383,391953,0 68,2,2024-09-07 08:18:01:068,44967,44967,0,0,2878975,0,4883 68,3,2024-09-07 08:18:00:728,1,38,21,1,63,431,38,0 69,0,2024-09-07 08:18:01:779,9414,2.7,9270,1.8,18470,3.9,25526,3.50 69,1,2024-09-07 08:18:01:019,65646,65646,0,0,31391925244,341361442,63835,947,864,386,391530,0 69,2,2024-09-07 08:18:01:744,46484,46484,0,0,3065497,0,3153 69,3,2024-09-07 08:18:00:768,1,38,230,0,238,796,38,0 70,0,2024-09-07 08:18:01:550,14328,4.5,14312,3.4,28945,4.8,38206,5.50 70,1,2024-09-07 08:18:00:804,66609,66609,0,0,32030877406,336940999,65715,760,134,369,391527,0 70,2,2024-09-07 08:18:01:336,50319,50319,0,0,3575753,0,4044 70,3,2024-09-07 08:18:00:746,1,38,1,1,178,363,38,0 71,0,2024-09-07 08:18:01:368,8230,4.2,8153,6.6,16050,7.0,22867,5.25 71,1,2024-09-07 08:18:01:601,66063,66063,0,0,31397792947,336176020,64004,1745,314,368,391682,0 71,2,2024-09-07 08:18:01:093,49732,49732,0,0,3008064,0,2146 71,3,2024-09-07 08:18:01:756,1,38,1,1,174,419,38,0 72,0,2024-09-07 08:18:01:065,8030,0.4,7729,0.6,15391,0.3,20579,2.75 72,1,2024-09-07 08:18:01:043,66464,66464,0,0,30852141967,327952782,64965,1424,75,370,391514,0 72,2,2024-09-07 08:18:01:783,44026,44026,0,0,3739676,0,2570 72,3,2024-09-07 08:18:01:761,1,38,20,1,325,745,38,0 73,0,2024-09-07 08:18:01:121,7662,0.4,7911,0.6,16018,0.3,21299,2.25 73,1,2024-09-07 08:18:00:768,66605,66605,0,0,31151197515,326967275,66136,458,11,368,391533,0 73,2,2024-09-07 08:18:01:755,46551,46551,0,0,3449970,0,3482 73,3,2024-09-07 08:18:00:977,1,38,87,0,274,1035,38,0 74,0,2024-09-07 08:18:01:339,14252,0.6,14475,0.8,27994,0.6,37867,2.50 74,1,2024-09-07 08:18:00:641,66550,66550,0,0,31565916892,334296859,65350,869,331,382,391494,0 74,2,2024-09-07 08:18:01:004,48528,48528,0,0,4089848,0,4253 74,3,2024-09-07 08:18:01:443,1,38,1,0,192,900,38,0 75,0,2024-09-07 08:18:01:820,9382,4.3,9450,2.3,18713,5.7,25815,3.75 75,1,2024-09-07 08:18:01:590,66863,66863,0,0,31866551057,337395872,65772,1015,76,382,391514,0 75,2,2024-09-07 08:18:01:350,50730,50730,0,0,3853331,0,4766 75,3,2024-09-07 08:18:01:090,1,38,1,0,143,337,38,0 76,0,2024-09-07 08:18:00:620,7578,0.4,7529,0.7,14991,0.3,19748,2.25 76,1,2024-09-07 08:18:00:813,66494,66494,0,0,30980373174,330611933,65083,972,439,382,391530,0 76,2,2024-09-07 08:18:01:070,46240,46240,0,0,2284362,0,3064 76,3,2024-09-07 08:18:01:147,1,38,19,0,175,848,38,0 77,0,2024-09-07 08:18:01:710,6328,0.4,6320,0.7,12723,0.2,17041,2.00 77,1,2024-09-07 08:18:00:843,66857,66857,0,0,31559325631,332028351,66114,676,67,383,391512,0 77,2,2024-09-07 08:18:01:319,46623,46623,0,0,2184706,0,1695 77,3,2024-09-07 08:18:01:107,1,38,3,0,139,453,38,0 78,0,2024-09-07 08:18:01:735,11513,2.6,11342,1.6,22978,4.3,31063,2.75 78,1,2024-09-07 08:18:00:617,67402,67402,0,0,31099585149,327137721,66749,616,37,368,391589,0 78,2,2024-09-07 08:18:01:406,47502,47502,0,0,2290949,0,2114 78,3,2024-09-07 08:18:01:133,1,38,1,0,112,531,38,0 79,0,2024-09-07 08:18:01:354,10907,2.7,11243,1.6,22819,4.4,29621,4.50 79,1,2024-09-07 08:18:00:579,66667,66667,0,0,31567709627,330874856,65902,758,7,371,391494,0 79,2,2024-09-07 08:18:01:073,51024,51024,0,0,2656975,0,2679 79,3,2024-09-07 08:18:00:748,1,38,1,0,289,625,38,0 80,0,2024-09-07 08:18:01:092,7313,0.5,7565,0.8,14554,0.4,19397,2.25 80,1,2024-09-07 08:18:01:625,66075,66075,0,0,31617249831,335187064,64599,1361,115,371,391673,0 80,2,2024-09-07 08:18:01:119,47505,47505,0,0,3342709,0,4433 80,3,2024-09-07 08:18:00:581,1,38,9,1,148,830,38,0 81,0,2024-09-07 08:18:01:574,6731,0.5,6885,0.8,13145,0.4,17218,2.75 81,1,2024-09-07 08:18:01:652,66447,66447,0,0,30822865862,329796606,64730,1520,197,383,391646,0 81,2,2024-09-07 08:18:01:137,44528,44528,0,0,3243980,0,3993 81,3,2024-09-07 08:18:01:119,1,38,1,1,193,670,38,0 82,0,2024-09-07 08:18:01:544,9944,2.7,9888,1.8,19933,4.8,27099,2.75 82,1,2024-09-07 08:18:00:584,66069,66068,0,1,31709612159,339214970,64043,1453,572,384,391558,1 82,2,2024-09-07 08:18:01:701,47240,47240,0,0,2464880,0,2230 82,3,2024-09-07 08:18:01:756,1,38,0,0,211,669,38,0 83,0,2024-09-07 08:18:01:548,13219,2.6,13121,2.0,25921,3.8,34312,3.75 83,1,2024-09-07 08:18:00:556,66520,66520,0,0,31722655237,337343092,65290,1130,100,383,391553,0 83,2,2024-09-07 08:18:00:772,50151,50151,0,0,3431649,0,3119 83,3,2024-09-07 08:18:00:752,1,38,6,0,91,454,38,0 84,0,2024-09-07 08:18:01:841,8359,7.4,8241,7.2,16495,2.1,23120,4.25 84,1,2024-09-07 08:18:01:044,66233,66233,0,0,31510894715,336615380,64797,1192,244,369,391630,0 84,2,2024-09-07 08:18:00:594,49046,49046,0,0,3502671,0,3801 84,3,2024-09-07 08:18:01:158,1,38,1,1,24,370,38,0 85,0,2024-09-07 08:18:01:019,7174,0.4,7156,0.7,15183,0.3,19660,2.00 85,1,2024-09-07 08:18:00:563,65648,65648,0,0,31158081605,337771554,63419,1832,397,384,391505,0 85,2,2024-09-07 08:18:00:882,44360,44360,0,0,3182007,0,3656 85,3,2024-09-07 08:18:00:686,1,38,1,1,115,481,38,0 86,0,2024-09-07 08:18:00:972,8633,1.3,8949,1.1,17302,1.6,23344,2.25 86,1,2024-09-07 08:18:00:850,65901,65901,0,0,31615841548,337823162,64157,1531,213,367,391522,0 86,2,2024-09-07 08:18:00:911,46412,46411,1,0,3498424,0,5004 86,3,2024-09-07 08:18:00:634,1,38,6,1,199,705,38,0 87,0,2024-09-07 08:18:01:349,14069,2.7,14041,1.7,28072,3.8,37809,2.75 87,1,2024-09-07 08:18:00:560,66116,66116,0,0,31556409863,336696632,64787,1240,89,369,391671,0 87,2,2024-09-07 08:18:01:135,49726,49726,0,0,2899435,0,2859 87,3,2024-09-07 08:18:01:819,1,38,2,1,322,941,38,0 88,0,2024-09-07 08:18:01:474,9140,1.8,9163,1.4,18204,2.6,24701,2.25 88,1,2024-09-07 08:18:00:570,66364,66364,0,0,31629462752,336131205,65256,1015,93,367,391747,0 88,2,2024-09-07 08:18:00:695,50488,50488,0,0,3750124,0,3583 88,3,2024-09-07 08:18:01:275,1,38,27,1,77,590,38,0 89,0,2024-09-07 08:18:01:785,7984,0.4,7742,0.6,15367,0.3,20547,1.75 89,1,2024-09-07 08:18:00:554,66050,66050,0,0,31817025764,342786798,64189,1247,614,383,391866,0 89,2,2024-09-07 08:18:01:141,44873,44873,0,0,3029009,0,2726 89,3,2024-09-07 08:18:01:798,1,38,1,0,325,980,38,0 90,0,2024-09-07 08:18:01:681,6802,0.3,6907,0.5,14292,0.2,18734,1.75 90,1,2024-09-07 08:18:00:645,66316,66316,0,0,30954998739,333610152,64427,1755,134,382,391531,0 90,2,2024-09-07 08:18:01:439,45883,45883,0,0,3836721,0,2635 90,3,2024-09-07 08:18:00:933,1,38,12,1,200,566,38,0 91,0,2024-09-07 08:18:01:070,13297,0.6,12879,0.7,26688,0.6,35547,2.00 91,1,2024-09-07 08:18:00:569,66173,66173,0,0,31740250593,340579410,64199,1616,358,384,391914,0 91,2,2024-09-07 08:18:01:368,47505,47505,0,0,2955374,0,1997 91,3,2024-09-07 08:18:00:611,1,38,3,1,155,452,38,0 92,0,2024-09-07 08:18:01:483,10653,2.4,10984,1.5,21057,4.1,28391,2.00 92,1,2024-09-07 08:18:00:582,66603,66603,0,0,31509952175,330447934,66200,356,47,383,391569,0 92,2,2024-09-07 08:18:01:394,52352,52352,0,0,2925781,0,2279 92,3,2024-09-07 08:18:01:015,1,38,19,1,68,501,38,0 93,0,2024-09-07 08:18:00:986,7515,0.4,7652,0.6,14652,0.3,19565,1.75 93,1,2024-09-07 08:18:00:806,66315,66315,0,0,31406496793,334315047,64906,978,431,368,391689,0 93,2,2024-09-07 08:18:00:932,46639,46639,0,0,2451156,0,2509 93,3,2024-09-07 08:18:01:406,1,38,20,1,143,404,38,0 94,0,2024-09-07 08:18:01:616,6037,0.3,5982,0.4,11779,0.2,15501,1.50 94,1,2024-09-07 08:18:00:575,66401,66401,0,0,31188529236,330970755,65338,1005,58,381,391850,0 94,2,2024-09-07 08:18:00:789,45658,45658,0,0,2518351,0,2443 94,3,2024-09-07 08:18:01:689,1,38,89,1,231,976,38,0 95,0,2024-09-07 08:18:01:376,10390,0.6,10362,0.7,21368,0.6,28340,1.75 95,1,2024-09-07 08:18:00:857,66775,66775,0,0,31504195081,329959608,66432,339,4,368,391590,0 95,2,2024-09-07 08:18:01:023,47013,47013,0,0,2651377,0,3308 95,3,2024-09-07 08:18:01:710,1,38,22,0,307,797,38,0 96,0,2024-09-07 08:18:01:078,12907,2.1,12722,1.4,25672,3.2,33523,2.25 96,1,2024-09-07 08:18:01:587,66340,66340,0,0,31327739847,337302077,64532,1240,568,385,391551,0 96,2,2024-09-07 08:18:01:310,50827,50827,0,0,3717219,0,4038 96,3,2024-09-07 08:18:01:142,1,38,17,2,36,487,38,0 97,0,2024-09-07 08:18:01:331,7447,0.7,7399,0.8,14739,0.8,19411,2.00 97,1,2024-09-07 08:18:00:783,66282,66282,0,0,31666259494,338384196,64281,1485,516,367,391626,0 97,2,2024-09-07 08:18:00:607,48572,48572,0,0,3022878,0,3036 97,3,2024-09-07 08:18:00:569,1,38,2,1,165,554,38,0 98,0,2024-09-07 08:18:01:732,7244,0.2,7201,0.4,14618,0.2,19271,1.50 98,1,2024-09-07 08:18:00:581,66230,66230,0,0,31518469375,334965749,65191,996,43,382,391588,0 98,2,2024-09-07 08:18:00:769,44949,44949,0,0,2858133,0,3080 98,3,2024-09-07 08:18:00:701,1,38,11,1,155,546,38,0 99,0,2024-09-07 08:18:01:553,9319,0.5,9278,0.7,18845,0.5,25576,2.00 99,1,2024-09-07 08:18:01:746,66942,66942,0,0,30886089347,328476443,65644,860,438,381,391602,0 99,2,2024-09-07 08:18:01:448,46952,46952,0,0,2952847,0,1858 99,3,2024-09-07 08:18:00:585,1,38,8,1,129,464,38,0 100,0,2024-09-07 08:18:01:486,14552,3.1,14554,3.4,29005,5.4,39093,3.00 100,1,2024-09-07 08:18:00:548,66052,66052,0,0,30750097039,336285455,63441,2268,343,382,391585,0 100,2,2024-09-07 08:18:01:827,49819,49808,11,0,4374852,0,5417 100,3,2024-09-07 08:18:01:739,1,38,6,1,443,1473,38,0 101,0,2024-09-07 08:18:01:770,8596,6.6,8282,4.0,16647,4.7,23789,3.50 101,1,2024-09-07 08:18:00:556,66002,66002,0,0,31726297889,340041331,64562,992,448,370,391531,0 101,2,2024-09-07 08:18:01:776,50028,50028,0,0,3644354,0,4644 101,3,2024-09-07 08:18:00:942,1,38,7,1,448,807,38,0 102,0,2024-09-07 08:18:00:969,7657,0.6,7922,0.7,15754,0.4,20455,2.00 102,1,2024-09-07 08:18:01:173,66336,66336,0,0,31679898278,340319283,64386,1510,440,369,391647,0 102,2,2024-09-07 08:18:01:742,44586,44586,0,0,2375407,0,1945 102,3,2024-09-07 08:18:01:622,1,38,12,0,410,687,38,0 103,0,2024-09-07 08:18:01:614,8094,0.4,8110,0.7,15349,0.3,21629,2.00 103,1,2024-09-07 08:18:01:628,66539,66539,0,0,31391652403,333692443,65483,915,141,381,391680,0 103,2,2024-09-07 08:18:00:601,46824,46824,0,0,2851141,0,1878 103,3,2024-09-07 08:18:00:764,1,38,11,1,486,901,38,0 104,0,2024-09-07 08:18:01:017,14297,1.0,14466,1.0,27742,1.1,37674,2.00 104,1,2024-09-07 08:18:01:600,66721,66721,0,0,31075219302,334326979,64983,1414,324,368,391948,0 104,2,2024-09-07 08:18:01:698,48259,48259,0,0,3567792,0,3941 104,3,2024-09-07 08:18:01:416,1,38,1007,2,1245,3063,38,0 105,0,2024-09-07 08:18:01:113,9258,3.9,8903,2.4,18445,6.8,25487,4.50 105,1,2024-09-07 08:18:00:570,66187,66187,0,0,32239610293,346726092,63909,1679,599,367,391797,0 105,2,2024-09-07 08:18:01:323,50367,50367,0,0,3447843,0,3314 105,3,2024-09-07 08:18:01:303,1,38,2,1,169,789,38,0 106,0,2024-09-07 08:18:00:993,7335,0.4,7506,0.6,15223,0.3,19888,2.00 106,1,2024-09-07 08:18:01:758,66495,66495,0,0,31575372811,338774467,64517,1700,278,371,391767,0 106,2,2024-09-07 08:18:00:772,45460,45460,0,0,2915912,0,1818 106,3,2024-09-07 08:18:00:687,1,38,2,1,201,614,38,0 107,0,2024-09-07 08:18:01:132,6407,0.3,6367,0.5,12635,0.2,16862,1.75 107,1,2024-09-07 08:18:00:586,66289,66289,0,0,31389987731,336188026,64995,1167,127,382,392234,0 107,2,2024-09-07 08:18:01:302,46375,46374,1,0,2857825,0,5024 107,3,2024-09-07 08:18:01:772,1,38,10,0,353,825,38,0 108,0,2024-09-07 08:18:01:822,11565,1.2,11701,1.0,23167,1.8,31353,2.00 108,1,2024-09-07 08:18:01:304,66341,66341,0,0,31972034160,338229480,65137,1096,108,371,391857,0 108,2,2024-09-07 08:18:01:777,47583,47583,0,0,2979665,0,2647 108,3,2024-09-07 08:18:01:334,1,38,10,1,60,539,38,0 109,0,2024-09-07 08:18:01:806,11544,1.8,11629,1.3,22587,3.0,30648,2.25 109,1,2024-09-07 08:18:00:584,66696,66696,0,0,31827650386,337727099,65903,741,52,385,391664,0 109,2,2024-09-07 08:18:00:928,50301,50301,0,0,3276692,0,3617 109,3,2024-09-07 08:18:01:142,1,38,13,1,120,655,38,0 110,0,2024-09-07 08:18:01:804,7461,0.3,7253,0.6,15117,0.2,19443,1.75 110,1,2024-09-07 08:18:01:669,66519,66519,0,0,31516271153,331741495,65504,732,283,370,391564,0 110,2,2024-09-07 08:18:01:320,48149,48149,0,0,2629475,0,2915 110,3,2024-09-07 08:18:00:689,1,38,2,0,183,441,38,0 111,0,2024-09-07 08:18:01:418,6570,0.2,6732,0.4,13128,0.1,17110,1.50 111,1,2024-09-07 08:18:01:004,67228,67228,0,0,32551143502,338618453,66848,368,12,382,391690,0 111,2,2024-09-07 08:18:01:119,45359,45359,0,0,2543467,0,2763 111,3,2024-09-07 08:18:00:912,1,38,3,0,119,825,38,0 112,0,2024-09-07 08:18:00:915,10139,0.8,10167,0.8,20183,0.7,27345,2.00 112,1,2024-09-07 08:18:00:832,66541,66541,0,0,31635641836,336895666,65064,1131,346,382,391522,0 112,2,2024-09-07 08:18:01:145,46448,46447,1,0,3458786,0,5036 112,3,2024-09-07 08:18:00:592,1,38,12,1,282,630,38,0 113,0,2024-09-07 08:18:00:882,13476,1.0,13293,1.0,26971,1.5,35675,2.00 113,1,2024-09-07 08:18:01:685,66457,66457,0,0,31672605826,336749354,64613,1461,383,368,391661,0 113,2,2024-09-07 08:18:01:323,50457,50457,0,0,2772304,0,3021 113,3,2024-09-07 08:18:00:690,1,38,4,1,166,681,38,0 114,0,2024-09-07 08:18:00:893,8694,5.1,8758,3.6,17276,2.8,23603,2.25 114,1,2024-09-07 08:18:00:717,66229,66229,0,0,31441042158,339996086,63804,1565,860,381,391513,0 114,2,2024-09-07 08:18:00:873,49274,49274,0,0,3442829,0,3925 114,3,2024-09-07 08:18:01:278,1,38,5,1,159,667,38,0 115,0,2024-09-07 08:18:00:582,7438,0.2,7469,0.5,14860,0.1,19811,1.50 115,1,2024-09-07 08:18:00:574,66621,66621,0,0,31578951552,338896630,64417,1718,486,384,391502,0 115,2,2024-09-07 08:18:01:131,45102,45102,0,0,2432048,0,2152 115,3,2024-09-07 08:18:01:002,1,38,1,0,159,420,38,0 116,0,2024-09-07 08:18:01:773,8705,2.4,8582,1.6,17277,3.7,23374,2.75 116,1,2024-09-07 08:18:00:804,65917,65917,0,0,31547187238,341782840,64320,738,859,382,391573,0 116,2,2024-09-07 08:18:01:781,46268,46268,0,0,3247963,0,3529 116,3,2024-09-07 08:18:00:912,1,38,22,2,252,1010,38,0 117,0,2024-09-07 08:18:00:998,14190,2.0,14193,1.4,28353,3.1,38449,2.25 117,1,2024-09-07 08:18:01:596,66462,66462,0,0,31505666489,338786803,64546,1646,270,371,391601,0 117,2,2024-09-07 08:18:01:119,49377,49377,0,0,3227121,0,3700 117,3,2024-09-07 08:18:01:065,1,38,10,1,490,1358,38,0 118,0,2024-09-07 08:18:01:811,8836,1.6,9005,1.3,18442,2.2,24596,2.50 118,1,2024-09-07 08:18:00:603,66362,66362,0,0,31316259306,333761682,65416,871,75,368,391736,0 118,2,2024-09-07 08:18:01:612,50708,50708,0,0,3387823,0,2781 118,3,2024-09-07 08:18:01:766,1,38,1,1,229,693,38,0 119,0,2024-09-07 08:18:01:454,7530,0.3,7690,0.6,15675,0.2,20416,1.75 119,1,2024-09-07 08:18:00:549,66320,66320,0,0,31868719137,340863144,64735,1437,148,371,391576,0 119,2,2024-09-07 08:18:01:264,44634,44634,0,0,2906053,0,2532 119,3,2024-09-07 08:18:01:356,1,38,45,1,443,1535,38,0 120,0,2024-09-07 08:18:01:565,7103,0.4,7027,0.6,14072,0.2,18714,1.75 120,1,2024-09-07 08:18:00:858,66445,66445,0,0,31443195408,336404656,65226,1205,14,369,391702,0 120,2,2024-09-07 08:18:00:771,46040,46039,1,0,3753632,0,5281 120,3,2024-09-07 08:18:01:295,1,38,11,1,241,814,38,0 121,0,2024-09-07 08:18:01:722,13029,2.2,13058,1.5,26068,3.9,35604,2.50 121,1,2024-09-07 08:18:01:662,66322,66322,0,0,31417837580,334429073,65040,1043,239,370,391807,0 121,2,2024-09-07 08:18:01:163,47545,47545,0,0,4354126,0,4127 121,3,2024-09-07 08:18:00:726,1,38,2,1,101,437,38,0 122,0,2024-09-07 08:18:01:815,10452,3.5,10100,3.1,21080,3.9,28502,2.75 122,1,2024-09-07 08:18:00:862,65835,65835,0,0,31431156785,338815600,63764,1701,370,369,392130,0 122,2,2024-09-07 08:18:01:319,51244,51244,0,0,4187212,0,2649 122,3,2024-09-07 08:18:00:606,1,38,12,1,226,1158,38,0 123,0,2024-09-07 08:18:00:998,7517,0.4,7257,0.7,15096,0.2,19606,1.75 123,1,2024-09-07 08:18:00:570,66080,66080,0,0,31294605348,341013149,63002,2560,518,371,391823,0 123,2,2024-09-07 08:18:01:037,46308,46307,1,0,3206081,0,5215 123,3,2024-09-07 08:18:01:132,1,38,10,1,160,651,38,0 124,0,2024-09-07 08:18:00:983,6105,0.3,6087,0.5,11572,0.2,15549,1.75 124,1,2024-09-07 08:18:01:034,67003,67003,0,0,31408234246,327462191,66820,181,2,369,392129,0 124,2,2024-09-07 08:18:01:024,45548,45548,0,0,2224807,0,2477 124,3,2024-09-07 08:18:00:762,1,38,10,2,490,1265,38,0 125,0,2024-09-07 08:18:01:600,10571,0.8,10499,0.8,21023,0.9,28371,2.00 125,1,2024-09-07 08:18:00:860,66585,66585,0,0,31623571092,334864834,65520,1043,22,385,391702,0 125,2,2024-09-07 08:18:01:131,46996,46996,0,0,2805059,0,2180 125,3,2024-09-07 08:18:01:129,1,38,14,1,93,744,38,0 126,0,2024-09-07 08:18:01:526,12880,1.9,13119,1.3,25222,2.7,33930,2.25 126,1,2024-09-07 08:18:00:573,67087,67087,0,0,32518827357,339629184,66616,462,9,368,391719,0 126,2,2024-09-07 08:18:00:621,51022,51022,0,0,2919736,0,3186 126,3,2024-09-07 08:18:00:915,1,38,0,0,122,443,38,0 127,0,2024-09-07 08:18:01:644,7536,0.3,7464,0.5,14914,0.2,19370,1.75 127,1,2024-09-07 08:18:00:577,66657,66657,0,0,31422420859,329014907,65914,721,22,365,391614,0 127,2,2024-09-07 08:18:00:650,49247,49247,0,0,2586439,0,1803 127,3,2024-09-07 08:18:01:283,1,38,2,0,99,400,38,0 128,0,2024-09-07 08:18:01:590,7237,0.3,7355,0.5,14288,0.2,19250,1.75 128,1,2024-09-07 08:18:01:613,66738,66738,0,0,31707946534,333320543,66054,618,66,369,391605,0 128,2,2024-09-07 08:18:01:402,44093,44093,0,0,3103817,0,2107 128,3,2024-09-07 08:18:00:771,1,38,9,1,25,448,38,0 129,0,2024-09-07 08:18:01:025,9368,0.7,9606,0.8,18841,0.8,25532,2.00 129,1,2024-09-07 08:18:00:569,66474,66474,0,0,31732098364,339041796,64836,1366,272,379,391835,0 129,2,2024-09-07 08:18:00:690,46188,46188,0,0,2572665,0,2446 129,3,2024-09-07 08:18:00:697,1,38,1,1,173,822,38,0 130,0,2024-09-07 08:18:01:760,14887,1.9,14751,1.3,29463,2.4,39673,2.50 130,1,2024-09-07 08:18:00:596,66743,66743,0,0,31635563310,336443486,65498,1218,27,381,391520,0 130,2,2024-09-07 08:18:01:130,50502,50502,0,0,3475359,0,4067 130,3,2024-09-07 08:18:01:291,1,38,2,1,207,493,38,0 131,0,2024-09-07 08:18:01:976,8752,1.9,8577,1.5,17592,2.4,23774,2.25 131,1,2024-09-07 08:18:01:826,66665,66665,0,0,31803973188,337125781,65689,790,186,385,391865,0 131,2,2024-09-07 08:18:00:577,49506,49506,0,0,2899443,0,2415 131,3,2024-09-07 08:18:01:694,1,38,4,1,392,637,38,0 132,0,2024-09-07 08:18:01:500,7643,0.4,7872,0.7,15635,0.3,20508,1.75 132,1,2024-09-07 08:18:00:576,65642,65642,0,0,30742360949,333936638,63323,1615,704,383,391533,0 132,2,2024-09-07 08:18:00:700,44327,44327,0,0,4377608,0,4606 132,3,2024-09-07 08:18:01:691,1,38,9,1,356,759,38,0 133,0,2024-09-07 08:18:01:554,7781,0.4,7923,0.7,16209,0.3,21315,1.75 133,1,2024-09-07 08:18:00:584,65581,65581,0,0,31336840201,338273438,63550,1941,90,383,391513,0 133,2,2024-09-07 08:18:01:112,46968,46968,0,0,3472552,0,2444 133,3,2024-09-07 08:18:01:310,1,38,2,1,187,464,38,0 134,0,2024-09-07 08:18:00:966,14202,0.6,14144,0.8,28438,0.6,37760,2.00 134,1,2024-09-07 08:18:00:586,66052,66052,0,0,31545723317,341408254,63521,1552,979,366,391517,0 134,2,2024-09-07 08:18:01:791,48826,48826,0,0,2855404,0,2026 134,3,2024-09-07 08:18:00:750,1,38,245,1,245,714,38,0 135,0,2024-09-07 08:18:01:141,9236,2.9,9250,2.5,19562,3.1,25547,2.75 135,1,2024-09-07 08:18:01:587,65951,65951,0,0,31857828511,340029901,64544,1157,250,383,391591,0 135,2,2024-09-07 08:18:00:705,51109,51109,0,0,3431881,0,3981 135,3,2024-09-07 08:18:01:011,1,38,14,0,68,392,38,0 136,0,2024-09-07 08:18:01:641,7608,0.5,7552,0.8,15094,0.4,19887,2.25 136,1,2024-09-07 08:18:01:444,66662,66662,0,0,31311193100,334619234,65106,1415,141,384,391518,0 136,2,2024-09-07 08:18:01:133,46489,46489,0,0,2593607,0,2379 136,3,2024-09-07 08:18:01:106,1,38,8,1,108,590,38,0 137,0,2024-09-07 08:18:00:935,6515,0.4,6377,0.7,12576,0.3,16937,2.00 137,1,2024-09-07 08:18:00:578,66264,66264,0,0,31984233426,341445811,64571,1584,109,368,391608,0 137,2,2024-09-07 08:18:01:706,45774,45774,0,0,3389723,0,2294 137,3,2024-09-07 08:18:00:769,1,38,5,1,227,548,38,0 138,0,2024-09-07 08:18:01:782,11067,2.7,11403,1.7,22800,3.8,30834,3.25 138,1,2024-09-07 08:18:01:717,66057,66057,0,0,31482024362,336457671,64383,1441,233,371,391615,0 138,2,2024-09-07 08:18:00:595,47764,47764,0,0,2828010,0,3263 138,3,2024-09-07 08:18:00:614,1,38,7,1,68,328,38,0 139,0,2024-09-07 08:18:01:405,10998,5.7,10932,3.2,22236,6.1,30037,4.50 139,1,2024-09-07 08:18:00:653,65865,65865,0,0,30867901369,338695294,63222,1932,711,383,391892,0 139,2,2024-09-07 08:18:00:702,50868,50868,0,0,3409700,0,2425 139,3,2024-09-07 08:18:01:673,1,38,8,1,244,1062,38,0 140,0,2024-09-07 08:18:01:598,7408,0.4,7291,0.6,14805,0.2,19517,1.75 140,1,2024-09-07 08:18:01:538,67165,67165,0,0,32382586718,337668761,66549,425,191,365,391483,0 140,2,2024-09-07 08:18:00:688,47865,47865,0,0,3215889,0,3388 140,3,2024-09-07 08:18:00:767,1,38,6,1,25,361,38,0 141,0,2024-09-07 08:18:01:730,6519,0.2,6749,0.4,13083,0.1,17131,1.50 141,1,2024-09-07 08:18:00:860,66845,66845,0,0,31956287646,337715988,65483,1031,331,382,391532,0 141,2,2024-09-07 08:18:01:704,45604,45604,0,0,2375867,0,2342 141,3,2024-09-07 08:18:01:049,1,38,1,0,53,292,38,0 142,0,2024-09-07 08:18:01:494,10283,0.4,10233,0.6,20323,0.3,27235,1.75 142,1,2024-09-07 08:18:00:591,66687,66687,0,0,31473163706,329896064,66436,250,1,384,391649,0 142,2,2024-09-07 08:18:01:343,46537,46537,0,0,2714596,0,2293 142,3,2024-09-07 08:18:01:752,1,38,1,1,263,538,38,0 143,0,2024-09-07 08:18:01:405,13400,1.5,13385,1.2,26974,1.9,35523,2.25 143,1,2024-09-07 08:18:00:557,66720,66720,0,0,31800872813,333026853,65918,778,24,367,391608,0 143,2,2024-09-07 08:18:00:771,50348,50348,0,0,3071319,0,2669 143,3,2024-09-07 08:18:01:147,1,38,62,1,236,977,38,0 144,0,2024-09-07 08:18:01:553,8216,3.2,8524,8.1,16986,2.6,23397,2.75 144,1,2024-09-07 08:18:00:582,66127,66127,0,0,31555648292,338604072,64584,1355,188,383,391617,0 144,2,2024-09-07 08:18:01:761,49405,49405,0,0,3141563,0,3473 144,3,2024-09-07 08:18:01:751,1,38,31,1,112,638,38,0 145,0,2024-09-07 08:18:01:379,7115,0.4,7080,0.7,14961,0.3,19658,2.00 145,1,2024-09-07 08:18:00:638,65528,65528,0,0,31359375732,335941583,63900,1369,259,383,391615,0 145,2,2024-09-07 08:18:01:464,44413,44413,0,0,3514697,0,3903 145,3,2024-09-07 08:18:00:896,1,38,2,0,151,762,38,0 146,0,2024-09-07 08:18:01:677,8680,2.4,8610,1.6,17432,3.3,23136,3.00 146,1,2024-09-07 08:18:01:631,66373,66373,0,0,30874897339,331688251,64253,1821,299,370,391600,0 146,2,2024-09-07 08:18:01:697,46733,46733,0,0,2739083,0,2149 146,3,2024-09-07 08:18:01:282,1,38,1003,0,1520,2844,38,0 147,0,2024-09-07 08:18:01:785,14136,2.5,13976,1.7,27667,3.3,38342,3.25 147,1,2024-09-07 08:18:01:395,66910,66910,0,0,31515273765,330275280,66184,599,127,369,391791,0 147,2,2024-09-07 08:18:01:050,50189,50189,0,0,3107862,0,2789 147,3,2024-09-07 08:18:00:928,1,38,6,1,141,635,38,0 0,0,2024-09-07 08:18:11:884,7097,0.5,7052,0.8,14859,0.4,19310,2.00 0,1,2024-09-07 08:18:10:840,68249,68249,0,0,32808285774,349959455,67071,1052,126,372,391673,0 0,2,2024-09-07 08:18:11:082,47261,47261,0,0,3283492,0,4480 0,3,2024-09-07 08:18:10:981,1,39,10,2,247,744,39,0 1,0,2024-09-07 08:18:11:917,13289,2.5,13310,1.8,26863,2.9,36433,2.75 1,1,2024-09-07 08:18:10:572,67903,67903,0,0,31887568619,341989213,66255,1123,525,372,391857,0 1,2,2024-09-07 08:18:10:684,49273,49273,0,0,3033296,0,3267 1,3,2024-09-07 08:18:11:315,1,39,2,1,167,483,39,0 2,0,2024-09-07 08:18:11:586,10437,3.6,10641,2.1,20960,5.6,28098,4.25 2,1,2024-09-07 08:18:10:861,67891,67891,0,0,32550874475,344694235,66869,819,203,382,391531,0 2,2,2024-09-07 08:18:11:266,51858,51858,0,0,3403909,0,3304 2,3,2024-09-07 08:18:10:698,1,39,1,1,87,408,39,0 3,0,2024-09-07 08:18:11:768,7694,0.4,7617,0.7,15267,0.3,19874,2.00 3,1,2024-09-07 08:18:11:630,67890,67890,0,0,32137390744,343754371,65949,1555,386,382,391494,0 3,2,2024-09-07 08:18:11:150,47491,47468,23,0,3719332,0,5851 3,3,2024-09-07 08:18:11:762,1,39,2,1,103,412,39,0 4,0,2024-09-07 08:18:11:888,5870,0.4,6142,0.6,12172,0.2,15856,1.75 4,1,2024-09-07 08:18:10:612,68030,68030,0,0,31532680083,339700750,66297,1317,416,372,391682,0 4,2,2024-09-07 08:18:11:020,46751,46751,0,0,3968693,0,4528 4,3,2024-09-07 08:18:11:042,1,39,22,8,287,845,39,0 5,0,2024-09-07 08:18:11:638,10988,1.2,10796,1.1,22035,1.7,29698,2.25 5,1,2024-09-07 08:18:10:761,67644,67644,0,0,32340573309,348242353,65510,1697,437,368,392005,0 5,2,2024-09-07 08:18:12:017,48236,48236,0,0,2942965,0,2148 5,3,2024-09-07 08:18:11:784,1,39,6,1,182,929,39,0 6,0,2024-09-07 08:18:10:929,12841,2.9,12694,1.9,25290,5.4,33885,4.25 6,1,2024-09-07 08:18:10:748,68456,68456,0,0,32573640361,344409850,67410,977,69,382,391603,0 6,2,2024-09-07 08:18:11:118,52198,52198,0,0,3428093,0,2411 6,3,2024-09-07 08:18:11:274,1,39,20,1,277,754,39,0 7,0,2024-09-07 08:18:11:540,7392,0.4,7420,0.6,15009,0.2,19650,1.75 7,1,2024-09-07 08:18:10:856,67876,67876,0,0,32791289158,347407414,66948,864,64,383,391558,0 7,2,2024-09-07 08:18:10:780,49619,49619,0,0,3143171,0,2981 7,3,2024-09-07 08:18:10:856,1,39,1,0,83,471,39,0 8,0,2024-09-07 08:18:11:410,7686,0.4,7431,0.6,14715,0.2,19810,1.75 8,1,2024-09-07 08:18:11:041,67961,67961,0,0,32676274118,358269351,64715,1998,1248,368,391724,0 8,2,2024-09-07 08:18:10:805,45553,45553,0,0,3379117,0,2986 8,3,2024-09-07 08:18:10:630,1,39,12,1,229,782,39,0 9,0,2024-09-07 08:18:11:361,9949,0.4,9604,0.7,20028,0.4,26665,1.75 9,1,2024-09-07 08:18:10:562,67942,67942,0,0,32804666970,352538280,65793,1584,565,370,391559,0 9,2,2024-09-07 08:18:11:094,47494,47494,0,0,3338465,0,3360 9,3,2024-09-07 08:18:11:764,1,39,8,0,127,578,39,0 10,0,2024-09-07 08:18:11:632,14770,1.8,14777,1.3,29666,3.6,39270,3.75 10,1,2024-09-07 08:18:10:592,67611,67611,0,0,32053158100,348603496,64476,2613,522,383,391541,0 10,2,2024-09-07 08:18:10:769,51205,51205,0,0,3607275,0,2940 10,3,2024-09-07 08:18:10:873,1,39,1,0,118,327,39,0 11,0,2024-09-07 08:18:11:022,8646,4.1,8507,2.6,17716,6.3,24469,3.25 11,1,2024-09-07 08:18:10:581,68054,68054,0,0,32730831362,353270407,65510,1730,814,384,391537,0 11,2,2024-09-07 08:18:11:125,50722,50722,0,0,3628648,0,2635 11,3,2024-09-07 08:18:11:298,1,39,504,0,720,2091,39,0 12,0,2024-09-07 08:18:11:063,8081,0.3,8088,0.5,16172,0.2,21126,1.50 12,1,2024-09-07 08:18:10:979,68182,68182,0,0,31747748106,336376557,67162,996,24,372,391661,0 12,2,2024-09-07 08:18:11:585,46535,46535,0,0,2781121,0,2254 12,3,2024-09-07 08:18:11:072,1,39,10,1,358,1150,39,0 13,0,2024-09-07 08:18:11:586,8310,0.4,8437,0.6,16841,0.4,22822,1.75 13,1,2024-09-07 08:18:11:568,68421,68421,0,0,32315510036,341852068,67792,589,40,384,391563,0 13,2,2024-09-07 08:18:10:649,48351,48351,0,0,2342702,0,3287 13,3,2024-09-07 08:18:11:766,1,39,19,1,153,564,39,0 14,0,2024-09-07 08:18:10:600,14589,0.4,14536,0.6,28952,0.4,38442,1.75 14,1,2024-09-07 08:18:11:571,68950,68950,0,0,32067956496,335159716,68358,563,29,365,391546,0 14,2,2024-09-07 08:18:10:767,49848,49848,0,0,3313540,0,2793 14,3,2024-09-07 08:18:11:115,1,39,5,1,906,1204,39,0 15,0,2024-09-07 08:18:11:602,9655,4.2,9550,2.4,19106,6.3,26442,4.00 15,1,2024-09-07 08:18:11:615,68490,68490,0,0,32421043830,340469301,67960,528,2,381,391536,0 15,2,2024-09-07 08:18:11:005,52347,52347,0,0,2494141,0,3043 15,3,2024-09-07 08:18:11:410,1,39,3,0,538,961,39,0 16,0,2024-09-07 08:18:10:993,7713,0.4,7814,0.6,15380,0.3,20400,2.00 16,1,2024-09-07 08:18:10:577,68188,68188,0,0,31660190608,337537447,67109,1059,20,373,391543,0 16,2,2024-09-07 08:18:11:477,46037,46037,0,0,3646335,0,4719 16,3,2024-09-07 08:18:11:143,1,39,34,1,231,994,39,0 17,0,2024-09-07 08:18:11:857,6769,0.4,6812,0.7,13122,0.3,17897,2.00 17,1,2024-09-07 08:18:10:592,67954,67954,0,0,31810565773,342428122,66319,1116,519,369,391688,0 17,2,2024-09-07 08:18:11:693,48044,48044,0,0,2779264,0,2857 17,3,2024-09-07 08:18:10:580,1,39,34,0,268,1005,39,0 18,0,2024-09-07 08:18:10:970,11794,0.9,11972,1.0,24159,1.1,32287,2.25 18,1,2024-09-07 08:18:11:649,67927,67927,0,0,32114145008,340505194,66710,999,218,369,391526,0 18,2,2024-09-07 08:18:11:765,48294,48294,0,0,3693768,0,3541 18,3,2024-09-07 08:18:10:903,1,39,1,0,163,711,39,0 19,0,2024-09-07 08:18:11:550,11121,3.5,11300,2.1,22249,6.5,29506,6.00 19,1,2024-09-07 08:18:10:572,67924,67924,0,0,32456991192,346433672,66169,1593,162,367,391696,0 19,2,2024-09-07 08:18:11:755,52518,52518,0,0,3515740,0,3988 19,3,2024-09-07 08:18:11:130,1,39,17,0,524,688,39,0 20,0,2024-09-07 08:18:11:439,7576,0.3,7640,0.5,14973,0.2,19453,2.00 20,1,2024-09-07 08:18:10:588,67879,67879,0,0,32357383618,345835501,66215,1504,160,370,391598,0 20,2,2024-09-07 08:18:10:941,48325,48325,0,0,2881076,0,2446 20,3,2024-09-07 08:18:10:614,1,39,12,1,99,657,39,0 21,0,2024-09-07 08:18:11:161,6840,0.4,6747,0.7,13391,0.3,17044,2.00 21,1,2024-09-07 08:18:11:590,67427,67427,0,0,31809558205,343464943,65293,1646,488,368,391962,0 21,2,2024-09-07 08:18:11:086,46504,46504,0,0,3072391,0,3747 21,3,2024-09-07 08:18:11:411,1,39,25,1,93,685,39,0 22,0,2024-09-07 08:18:11:733,10233,1.3,10174,1.3,20234,1.5,27502,2.75 22,1,2024-09-07 08:18:11:037,67544,67544,0,0,31705360000,345102040,64633,2186,725,382,391667,0 22,2,2024-09-07 08:18:10:763,47914,47914,0,0,2892132,0,3134 22,3,2024-09-07 08:18:11:073,1,39,1,0,62,258,39,0 23,0,2024-09-07 08:18:11:382,13237,2.6,13528,1.5,26874,4.0,35629,3.25 23,1,2024-09-07 08:18:11:010,68705,68705,0,0,32493400896,342526403,67608,862,235,368,391496,0 23,2,2024-09-07 08:18:11:094,52179,52179,0,0,3064566,0,2078 23,3,2024-09-07 08:18:11:755,1,39,1,0,645,1098,39,0 24,0,2024-09-07 08:18:10:868,9082,0.9,9096,1.0,18224,1.5,24200,1.75 24,1,2024-09-07 08:18:10:602,68652,68652,0,0,31973464276,337516202,67952,695,5,371,391640,0 24,2,2024-09-07 08:18:11:085,49838,49838,0,0,4167621,0,2942 24,3,2024-09-07 08:18:11:732,1,39,10,1,234,659,39,0 25,0,2024-09-07 08:18:11:433,7933,0.3,7815,0.5,15048,0.2,20685,1.75 25,1,2024-09-07 08:18:10:589,68067,68067,0,0,32341682238,343276306,66998,1022,47,373,391561,0 25,2,2024-09-07 08:18:11:617,45606,45606,0,0,3452970,0,3284 25,3,2024-09-07 08:18:11:044,1,39,16,1,158,508,39,0 26,0,2024-09-07 08:18:11:739,8872,0.6,8751,0.7,18302,0.6,24088,2.00 26,1,2024-09-07 08:18:11:547,67966,67966,0,0,32237285989,350220602,65015,2155,796,382,391542,0 26,2,2024-09-07 08:18:10:863,47952,47952,0,0,3362878,0,2809 26,3,2024-09-07 08:18:11:716,1,39,53,0,796,1112,39,0 27,0,2024-09-07 08:18:11:764,14608,0.8,14760,0.9,29420,1.0,39729,2.00 27,1,2024-09-07 08:18:11:682,69054,69054,0,0,32792608982,342133710,68787,266,1,381,391526,0 27,2,2024-09-07 08:18:10:874,49917,49917,0,0,4625801,0,3409 27,3,2024-09-07 08:18:11:016,1,39,25,1,86,350,39,0 28,0,2024-09-07 08:18:11:420,9443,1.2,9594,1.2,18938,1.5,25519,2.00 28,1,2024-09-07 08:18:10:813,68685,68685,0,0,32761414811,344547606,68171,505,9,383,391500,0 28,2,2024-09-07 08:18:11:792,51352,51352,0,0,2736891,0,2609 28,3,2024-09-07 08:18:11:777,1,39,11,1,502,994,39,0 29,0,2024-09-07 08:18:11:389,8052,0.3,7927,0.5,15675,0.2,20865,1.75 29,1,2024-09-07 08:18:11:568,69095,69095,0,0,32136745982,334852279,68483,440,172,369,391621,0 29,2,2024-09-07 08:18:10:866,46647,46647,0,0,2038835,0,2026 29,3,2024-09-07 08:18:10:965,1,39,17,1,105,510,39,0 30,0,2024-09-07 08:18:11:505,7342,0.3,7091,0.5,14627,0.2,19275,1.75 30,1,2024-09-07 08:18:10:574,68139,68139,0,0,32836892877,345596927,67462,615,62,382,391524,0 30,2,2024-09-07 08:18:11:276,48137,48137,0,0,1907540,0,1567 30,3,2024-09-07 08:18:10:587,1,39,1,0,110,232,39,0 31,0,2024-09-07 08:18:11:776,13622,0.6,13610,0.7,27392,0.5,36524,2.00 31,1,2024-09-07 08:18:10:568,68570,68570,0,0,33192071713,342252989,68450,118,2,356,391553,0 31,2,2024-09-07 08:18:11:275,49818,49818,0,0,3384253,0,3525 31,3,2024-09-07 08:18:11:712,1,39,102,0,129,386,39,0 32,0,2024-09-07 08:18:11:438,10666,2.7,10789,1.5,21675,3.9,28683,2.00 32,1,2024-09-07 08:18:10:813,68267,68267,0,0,32137357025,340142918,67273,966,28,382,391595,0 32,2,2024-09-07 08:18:10:946,52706,52706,0,0,3282207,0,3155 32,3,2024-09-07 08:18:11:015,1,39,8,0,110,529,39,0 33,0,2024-09-07 08:18:11:499,7688,0.3,7633,0.5,15355,0.2,19848,1.75 33,1,2024-09-07 08:18:10:590,67930,67930,0,0,32465500254,344319899,66297,1510,123,369,391497,0 33,2,2024-09-07 08:18:10:763,46870,46837,33,0,4376898,0,7012 33,3,2024-09-07 08:18:10:914,1,39,9,1,51,211,39,0 34,0,2024-09-07 08:18:10:943,6083,0.2,6223,0.4,11949,0.1,15819,1.50 34,1,2024-09-07 08:18:11:047,68635,68635,0,0,32633123830,340140183,68240,392,3,368,391517,0 34,2,2024-09-07 08:18:10:765,46523,46523,0,0,3532186,0,3255 34,3,2024-09-07 08:18:11:691,1,39,13,0,58,361,39,0 35,0,2024-09-07 08:18:10:873,10816,1.4,10802,1.1,21748,2.1,29642,2.00 35,1,2024-09-07 08:18:11:068,68179,68179,0,0,32595022268,344298403,66752,1066,361,385,391587,0 35,2,2024-09-07 08:18:11:595,48202,48202,0,0,3073943,0,2542 35,3,2024-09-07 08:18:10:911,1,39,0,0,219,639,39,0 36,0,2024-09-07 08:18:11:546,12781,3.1,12627,1.9,25835,4.3,33931,3.25 36,1,2024-09-07 08:18:10:590,67646,67646,0,0,31807746250,345362282,64637,2078,931,369,391535,0 36,2,2024-09-07 08:18:11:756,52139,52139,0,0,3490304,0,3303 36,3,2024-09-07 08:18:10:864,1,39,12,0,378,891,39,0 37,0,2024-09-07 08:18:11:377,7563,0.3,7475,0.6,15158,0.2,19701,2.00 37,1,2024-09-07 08:18:10:574,67373,67366,0,7,32763342444,358500616,64531,1318,1517,365,391507,0 37,2,2024-09-07 08:18:11:153,49891,49891,0,0,2786013,0,2333 37,3,2024-09-07 08:18:11:794,1,39,0,0,724,1409,39,0 38,0,2024-09-07 08:18:11:453,7493,0.3,7264,0.6,15080,0.2,19833,1.75 38,1,2024-09-07 08:18:11:606,67929,67929,0,0,32189598321,344316088,66176,1372,381,370,391512,0 38,2,2024-09-07 08:18:10:760,45996,45996,0,0,3285253,0,3245 38,3,2024-09-07 08:18:11:002,1,39,1,0,603,958,39,0 39,0,2024-09-07 08:18:11:778,10249,0.8,10097,0.9,19621,0.9,26804,2.00 39,1,2024-09-07 08:18:10:733,68376,68376,0,0,31926060169,340425107,66434,1439,503,366,391524,0 39,2,2024-09-07 08:18:11:422,47448,47448,0,0,2731206,0,2381 39,3,2024-09-07 08:18:10:718,1,39,1,0,189,779,39,0 40,0,2024-09-07 08:18:11:498,14375,2.9,14639,2.8,28863,5.3,38906,4.00 40,1,2024-09-07 08:18:10:583,68268,68268,0,0,31447667898,338368533,65913,1742,613,371,391591,0 40,2,2024-09-07 08:18:11:308,51274,51273,1,0,4038037,0,5137 40,3,2024-09-07 08:18:11:141,1,39,7,1,63,423,39,0 41,0,2024-09-07 08:18:11:037,8361,3.9,8591,8.2,16602,7.4,23323,4.50 41,1,2024-09-07 08:18:10:783,68018,68018,0,0,32469987986,346812343,66242,1505,271,370,391483,0 41,2,2024-09-07 08:18:10:758,50450,50450,0,0,4304091,0,3356 41,3,2024-09-07 08:18:11:682,1,39,24,1,107,378,39,0 42,0,2024-09-07 08:18:11:484,7945,0.5,8049,0.8,15918,0.3,21113,2.25 42,1,2024-09-07 08:18:11:443,68057,68057,0,0,32629055891,352653102,65743,1612,702,381,391511,0 42,2,2024-09-07 08:18:11:137,46229,46229,0,0,3338166,0,3568 42,3,2024-09-07 08:18:11:011,1,39,1,0,100,460,39,0 43,0,2024-09-07 08:18:10:926,8270,1.1,8069,1.2,16828,0.8,22549,2.00 43,1,2024-09-07 08:18:10:582,68108,68108,0,0,32745066364,348782365,66389,1128,591,367,391507,0 43,2,2024-09-07 08:18:11:754,47876,47876,0,0,3452990,0,3812 43,3,2024-09-07 08:18:11:762,1,39,290,1,292,1101,39,0 44,0,2024-09-07 08:18:10:876,14455,0.4,14481,0.7,28772,0.4,38475,1.75 44,1,2024-09-07 08:18:10:575,68600,68600,0,0,31892084641,332083348,68157,434,9,357,391493,0 44,2,2024-09-07 08:18:11:269,50042,50042,0,0,2323330,0,1592 44,3,2024-09-07 08:18:11:093,1,39,1,1,817,1208,39,0 45,0,2024-09-07 08:18:11:805,9557,4.3,9433,2.3,19664,6.2,26738,2.50 45,1,2024-09-07 08:18:11:006,68403,68403,0,0,33099384023,345821671,68140,263,0,382,391917,0 45,2,2024-09-07 08:18:11:275,52403,52403,0,0,3156489,0,2628 45,3,2024-09-07 08:18:10:940,1,39,6,1,226,534,39,0 46,0,2024-09-07 08:18:10:965,7705,0.3,7768,0.5,15641,0.2,20460,1.75 46,1,2024-09-07 08:18:10:579,68846,68846,0,0,32490913039,337789930,68420,400,26,368,391514,0 46,2,2024-09-07 08:18:10:602,46928,46928,0,0,2586673,0,2920 46,3,2024-09-07 08:18:11:137,1,39,1,0,200,686,39,0 47,0,2024-09-07 08:18:11:142,6650,0.2,6776,0.4,13381,0.1,17911,1.50 47,1,2024-09-07 08:18:10:572,68805,68805,0,0,32136316333,333076111,68598,206,1,367,391489,0 47,2,2024-09-07 08:18:10:913,48087,48087,0,0,2729738,0,2558 47,3,2024-09-07 08:18:11:115,1,39,3,1,529,1001,39,0 48,0,2024-09-07 08:18:11:508,12144,0.5,12116,0.6,23944,0.5,32639,1.75 48,1,2024-09-07 08:18:11:063,68183,68183,0,0,32910205760,348490183,66989,1189,5,386,391637,0 48,2,2024-09-07 08:18:10:712,48929,48929,0,0,2401953,0,2083 48,3,2024-09-07 08:18:10:761,1,39,8,1,23,367,39,0 49,0,2024-09-07 08:18:11:719,11732,3.2,11592,1.8,22405,5.1,30898,2.50 49,1,2024-09-07 08:18:11:031,68135,68135,0,0,32071079059,343215962,66400,1026,709,382,391583,0 49,2,2024-09-07 08:18:11:809,52631,52631,0,0,3552280,0,3900 49,3,2024-09-07 08:18:11:424,1,39,8,0,274,674,39,0 50,0,2024-09-07 08:18:11:516,7577,0.3,7504,0.5,14954,0.2,19810,1.75 50,1,2024-09-07 08:18:11:016,68857,68857,0,0,33114539188,350410123,67194,1366,297,370,391530,0 50,2,2024-09-07 08:18:11:077,48817,48817,0,0,2625658,0,2253 50,3,2024-09-07 08:18:11:291,1,39,1,1,335,688,39,0 51,0,2024-09-07 08:18:11:696,6900,0.2,6703,0.4,13169,0.1,17216,1.50 51,1,2024-09-07 08:18:11:682,67815,67815,0,0,33292617451,353960899,66043,990,782,367,391520,0 51,2,2024-09-07 08:18:11:329,46446,46446,0,0,2134603,0,2448 51,3,2024-09-07 08:18:11:027,1,39,2,0,162,429,39,0 52,0,2024-09-07 08:18:11:453,10465,1.7,10458,1.4,21042,2.3,27935,3.50 52,1,2024-09-07 08:18:10:575,67781,67781,0,0,31938423138,346014617,65306,2011,464,368,391498,0 52,2,2024-09-07 08:18:11:767,48029,48029,0,0,3398638,0,4779 52,3,2024-09-07 08:18:10:685,1,39,16,2,1782,2254,39,0 53,0,2024-09-07 08:18:11:762,13224,3.5,12778,2.1,26349,5.0,34814,3.75 53,1,2024-09-07 08:18:10:775,67970,67970,0,0,32388929684,350143485,65379,2017,574,370,391617,0 53,2,2024-09-07 08:18:11:305,52260,52260,0,0,2803918,0,1795 53,3,2024-09-07 08:18:10:708,1,39,8,1,59,279,39,0 54,0,2024-09-07 08:18:11:625,8212,10.0,8232,6.8,16445,2.9,23127,5.50 54,1,2024-09-07 08:18:10:580,68120,68120,0,0,32348166753,344836819,66340,1486,294,367,391520,0 54,2,2024-09-07 08:18:10:876,50611,50605,6,0,3772412,0,5382 54,3,2024-09-07 08:18:10:770,1,39,0,0,676,1380,39,0 55,0,2024-09-07 08:18:11:792,7561,0.5,7734,0.8,15660,0.3,20511,2.25 55,1,2024-09-07 08:18:10:767,67445,67445,0,0,31924839401,345094894,64038,2705,702,369,391731,0 55,2,2024-09-07 08:18:10:735,45870,45870,0,0,3291668,0,3275 55,3,2024-09-07 08:18:10:685,1,39,0,0,136,607,39,0 56,0,2024-09-07 08:18:11:577,9106,3.2,8613,2.1,17743,4.2,23912,3.25 56,1,2024-09-07 08:18:10:599,67806,67806,0,0,33145177343,359114571,65283,1937,586,384,391536,0 56,2,2024-09-07 08:18:11:305,47882,47882,0,0,3445673,0,3567 56,3,2024-09-07 08:18:11:063,1,39,2,2,297,707,39,0 57,0,2024-09-07 08:18:10:987,14221,3.1,14036,2.0,28224,5.1,38611,4.75 57,1,2024-09-07 08:18:11:005,68437,68437,0,0,31419120847,333798376,67417,1006,14,368,391760,0 57,2,2024-09-07 08:18:11:319,50497,50497,0,0,3589819,0,2687 57,3,2024-09-07 08:18:11:743,1,39,74,1,359,1113,39,0 58,0,2024-09-07 08:18:10:592,8605,1.9,8406,1.6,17571,2.5,23618,3.50 58,1,2024-09-07 08:18:10:584,68587,68586,0,1,32754315167,346984032,67219,1210,157,371,391512,1 58,2,2024-09-07 08:18:11:085,51863,51863,0,0,3489495,0,2464 58,3,2024-09-07 08:18:11:073,1,39,1,1,219,674,39,0 59,0,2024-09-07 08:18:11:759,7855,1.0,7830,1.1,15880,1.0,20544,2.75 59,1,2024-09-07 08:18:10:818,68262,68262,0,0,32422325423,343676799,67142,1073,47,371,391515,0 59,2,2024-09-07 08:18:10:596,46533,46533,0,0,2878638,0,2604 59,3,2024-09-07 08:18:11:743,1,39,49,0,1015,1243,39,0 60,0,2024-09-07 08:18:11:828,7351,0.3,7301,0.5,14504,0.2,19347,1.75 60,1,2024-09-07 08:18:10:782,69214,69214,0,0,32669121477,343282761,68323,547,344,370,391672,0 60,2,2024-09-07 08:18:11:157,47968,47968,0,0,2230707,0,2142 60,3,2024-09-07 08:18:11:266,1,39,8,0,124,556,39,0 61,0,2024-09-07 08:18:11:511,13450,1.5,13483,1.2,26715,2.3,36223,2.00 61,1,2024-09-07 08:18:10:780,68268,68268,0,0,32388861027,345998143,66409,1388,471,383,391589,0 61,2,2024-09-07 08:18:11:122,49739,49739,0,0,2794333,0,1846 61,3,2024-09-07 08:18:11:694,1,39,14,1,124,513,39,0 62,0,2024-09-07 08:18:11:752,10706,3.1,11027,1.7,21244,4.8,28725,3.00 62,1,2024-09-07 08:18:11:119,68810,68806,0,4,33125131994,345890895,68068,736,2,366,391586,4 62,2,2024-09-07 08:18:11:645,52181,52181,0,0,3306815,0,2931 62,3,2024-09-07 08:18:11:143,1,39,1,0,287,575,39,0 63,0,2024-09-07 08:18:11:459,7591,0.5,7607,0.8,15245,0.5,19917,2.00 63,1,2024-09-07 08:18:10:804,68857,68854,0,3,32490724377,338314066,68602,251,1,382,391540,3 63,2,2024-09-07 08:18:10:763,47431,47431,0,0,2736990,0,2674 63,3,2024-09-07 08:18:11:743,1,39,41,0,216,746,39,0 64,0,2024-09-07 08:18:11:547,5974,0.5,6011,0.8,12121,0.3,15724,2.00 64,1,2024-09-07 08:18:10:760,68238,68238,0,0,32643939275,352999950,65811,1634,793,371,391604,0 64,2,2024-09-07 08:18:11:155,47341,47322,19,0,3381126,0,6121 64,3,2024-09-07 08:18:11:152,1,39,7,1,163,556,39,0 65,0,2024-09-07 08:18:11:765,10635,4.0,10771,2.3,21206,5.7,29178,5.00 65,1,2024-09-07 08:18:10:861,68136,68136,0,0,32223045359,344926241,66438,1480,218,382,391506,0 65,2,2024-09-07 08:18:11:727,48281,48281,0,0,3474350,0,3367 65,3,2024-09-07 08:18:11:695,1,39,3,1,163,626,39,0 66,0,2024-09-07 08:18:11:786,12326,2.6,12456,1.8,24997,4.7,33327,4.25 66,1,2024-09-07 08:18:11:294,69158,69158,0,0,32868111279,344267704,68518,621,19,381,391537,0 66,2,2024-09-07 08:18:11:147,52468,52468,0,0,3237264,0,3867 66,3,2024-09-07 08:18:11:086,1,39,1,1,291,681,39,0 67,0,2024-09-07 08:18:11:434,7580,0.8,7561,0.9,14919,0.8,19631,2.50 67,1,2024-09-07 08:18:10:768,68136,68135,0,1,32237135601,345307673,66471,1166,498,383,391787,1 67,2,2024-09-07 08:18:10:592,50288,50288,0,0,2983196,0,2889 67,3,2024-09-07 08:18:11:762,1,39,1,0,93,405,39,0 68,0,2024-09-07 08:18:10:602,7483,0.4,7373,0.8,14974,0.3,19659,2.00 68,1,2024-09-07 08:18:10:591,67880,67880,0,0,32656609148,349227717,66491,867,522,383,391953,0 68,2,2024-09-07 08:18:11:058,46430,46430,0,0,2945170,0,4883 68,3,2024-09-07 08:18:10:735,1,39,8,1,63,439,39,0 69,0,2024-09-07 08:18:11:771,9831,2.5,9711,1.7,19412,3.8,26728,3.25 69,1,2024-09-07 08:18:11:042,67349,67349,0,0,32020655904,347943540,65538,947,864,386,391530,0 69,2,2024-09-07 08:18:11:743,47947,47947,0,0,3158506,0,3153 69,3,2024-09-07 08:18:10:781,1,39,22,0,238,818,39,0 70,0,2024-09-07 08:18:11:625,14456,4.5,14444,3.4,29186,4.7,38483,5.50 70,1,2024-09-07 08:18:10:813,68434,68434,0,0,33049565141,347516559,67540,760,134,369,391527,0 70,2,2024-09-07 08:18:11:327,51493,51493,0,0,3631879,0,4044 70,3,2024-09-07 08:18:10:745,1,39,3,1,178,366,39,0 71,0,2024-09-07 08:18:11:366,8513,4.0,8451,6.5,16638,6.7,23643,5.00 71,1,2024-09-07 08:18:11:609,67824,67824,0,0,32260365688,345319512,65749,1761,314,368,391682,0 71,2,2024-09-07 08:18:11:075,50847,50847,0,0,3105412,0,2146 71,3,2024-09-07 08:18:11:753,1,39,1,1,174,420,39,0 72,0,2024-09-07 08:18:11:055,8227,0.4,7941,0.6,15841,0.3,21155,2.75 72,1,2024-09-07 08:18:11:449,68284,68284,0,0,31875215866,338511879,66784,1425,75,370,391514,0 72,2,2024-09-07 08:18:11:758,45338,45338,0,0,3836182,0,2570 72,3,2024-09-07 08:18:11:786,1,39,188,1,325,933,39,0 73,0,2024-09-07 08:18:11:153,8094,0.5,8334,0.7,16888,0.4,22550,2.50 73,1,2024-09-07 08:18:10:772,68431,68431,0,0,32042402492,336533586,67951,469,11,368,391533,0 73,2,2024-09-07 08:18:11:764,47791,47791,0,0,3561633,0,3482 73,3,2024-09-07 08:18:10:987,1,39,41,0,274,1076,39,0 74,0,2024-09-07 08:18:11:342,14500,0.6,14761,0.8,28520,0.6,38571,2.50 74,1,2024-09-07 08:18:10:637,68321,68321,0,0,32328733541,342557901,67110,880,331,382,391494,0 74,2,2024-09-07 08:18:11:002,49755,49755,0,0,4189043,0,4253 74,3,2024-09-07 08:18:11:441,1,39,1,0,192,901,39,0 75,0,2024-09-07 08:18:11:782,9718,4.2,9790,2.2,19381,5.7,26719,3.75 75,1,2024-09-07 08:18:11:588,68651,68651,0,0,32625908867,345803236,67553,1022,76,382,391514,0 75,2,2024-09-07 08:18:11:353,52024,52024,0,0,3897656,0,4766 75,3,2024-09-07 08:18:11:074,1,39,2,0,143,339,39,0 76,0,2024-09-07 08:18:10:649,7764,0.4,7720,0.7,15330,0.3,20213,2.25 76,1,2024-09-07 08:18:10:824,68289,68289,0,0,31645690577,337498796,66878,972,439,382,391530,0 76,2,2024-09-07 08:18:11:074,47316,47316,0,0,2306388,0,3064 76,3,2024-09-07 08:18:11:141,1,39,7,0,175,855,39,0 77,0,2024-09-07 08:18:11:719,6632,0.3,6654,0.6,13402,0.2,17965,2.00 77,1,2024-09-07 08:18:10:837,68611,68611,0,0,32183124676,338558740,67867,677,67,383,391512,0 77,2,2024-09-07 08:18:11:306,47781,47781,0,0,2217963,0,1695 77,3,2024-09-07 08:18:11:102,1,39,32,0,139,485,39,0 78,0,2024-09-07 08:18:11:733,11963,2.5,11807,1.5,23862,4.3,32214,2.75 78,1,2024-09-07 08:18:10:614,69165,69165,0,0,31912263699,335402698,68511,617,37,368,391589,0 78,2,2024-09-07 08:18:11:410,48854,48854,0,0,2393748,0,2114 78,3,2024-09-07 08:18:11:139,1,39,2,0,112,533,39,0 79,0,2024-09-07 08:18:11:354,11036,2.6,11366,1.6,23015,4.4,29946,4.50 79,1,2024-09-07 08:18:10:577,68475,68475,0,0,32448379717,339875204,67710,758,7,370,391494,0 79,2,2024-09-07 08:18:11:076,52569,52569,0,0,2681966,0,2679 79,3,2024-09-07 08:18:10:751,1,39,1,0,289,626,39,0 80,0,2024-09-07 08:18:11:083,7463,0.5,7685,0.8,14824,0.4,19715,2.25 80,1,2024-09-07 08:18:11:634,67813,67813,0,0,32420377956,343640807,66337,1361,115,371,391673,0 80,2,2024-09-07 08:18:11:111,48216,48216,0,0,3357189,0,4433 80,3,2024-09-07 08:18:10:590,1,39,9,1,148,839,39,0 81,0,2024-09-07 08:18:11:561,6745,0.5,6899,0.8,13164,0.4,17218,2.75 81,1,2024-09-07 08:18:11:650,68147,68147,0,0,31505704962,336847964,66430,1520,197,383,391646,0 81,2,2024-09-07 08:18:11:132,46073,46073,0,0,3334058,0,3993 81,3,2024-09-07 08:18:11:120,1,39,3,1,193,673,39,0 82,0,2024-09-07 08:18:11:550,10324,2.6,10247,1.7,20604,4.6,27993,2.75 82,1,2024-09-07 08:18:10:592,67853,67852,0,1,32533396591,347730079,65827,1453,572,384,391558,1 82,2,2024-09-07 08:18:11:692,48739,48739,0,0,2520282,0,2230 82,3,2024-09-07 08:18:11:753,1,39,1,0,211,670,39,0 83,0,2024-09-07 08:18:11:537,13299,2.6,13211,2.0,26094,3.8,34558,3.75 83,1,2024-09-07 08:18:10:558,68305,68305,0,0,32632327003,346783306,67075,1130,100,383,391553,0 83,2,2024-09-07 08:18:10:772,51196,51196,0,0,3458504,0,3119 83,3,2024-09-07 08:18:10:753,1,39,1,0,91,455,39,0 84,0,2024-09-07 08:18:12:085,8586,7.2,8489,7.0,16949,2.0,23718,4.00 84,1,2024-09-07 08:18:11:048,68192,68192,0,0,32337451910,345824230,66674,1274,244,369,391630,0 84,2,2024-09-07 08:18:10:578,50085,50085,0,0,3533722,0,3801 84,3,2024-09-07 08:18:11:153,1,39,43,1,43,413,39,0 85,0,2024-09-07 08:18:11:020,7494,0.4,7483,0.7,15885,0.3,20603,2.00 85,1,2024-09-07 08:18:10:576,67410,67410,0,0,32131598828,347979085,65179,1834,397,384,391505,0 85,2,2024-09-07 08:18:10:867,45825,45825,0,0,3251074,0,3656 85,3,2024-09-07 08:18:10:691,1,39,40,1,115,521,39,0 86,0,2024-09-07 08:18:10:892,8908,1.3,9226,1.1,17823,1.6,24049,2.25 86,1,2024-09-07 08:18:10:848,67708,67708,0,0,32442903701,346500679,65962,1533,213,367,391522,0 86,2,2024-09-07 08:18:10:859,47751,47750,1,0,3628359,0,5004 86,3,2024-09-07 08:18:10:591,1,39,1,1,199,706,39,0 87,0,2024-09-07 08:18:11:358,14532,3.0,14518,1.8,29066,4.0,39652,3.25 87,1,2024-09-07 08:18:10:558,67863,67863,0,0,32307449704,345573540,66327,1417,119,369,391671,0 87,2,2024-09-07 08:18:11:069,50807,50807,0,0,2939923,0,2859 87,3,2024-09-07 08:18:11:797,1,39,4,1,322,945,39,0 88,0,2024-09-07 08:18:11:483,9462,1.7,9481,1.4,18841,2.5,25507,2.25 88,1,2024-09-07 08:18:10:575,67946,67946,0,0,32420059207,344502482,66837,1016,93,367,391747,0 88,2,2024-09-07 08:18:10:695,51807,51807,0,0,3839128,0,3583 88,3,2024-09-07 08:18:11:271,1,39,13,1,77,603,39,0 89,0,2024-09-07 08:18:11:827,8158,0.4,7913,0.6,15657,0.3,20937,1.75 89,1,2024-09-07 08:18:10:611,67766,67766,0,0,32434391794,349577243,65867,1285,614,383,391866,0 89,2,2024-09-07 08:18:11:175,46140,46140,0,0,3130744,0,2726 89,3,2024-09-07 08:18:11:823,1,39,17,0,325,997,39,0 90,0,2024-09-07 08:18:11:684,7029,0.3,7160,0.5,14812,0.2,19321,1.75 90,1,2024-09-07 08:18:10:592,68082,68082,0,0,31940321588,344476932,66076,1868,138,382,391825,0 90,2,2024-09-07 08:18:11:409,46992,46992,0,0,3904075,0,2635 90,3,2024-09-07 08:18:10:938,1,39,5,1,200,571,39,0 91,0,2024-09-07 08:18:10:961,13662,0.5,13259,0.7,27436,0.6,36578,2.00 91,1,2024-09-07 08:18:10:572,68010,68010,0,0,32738903941,351315405,65995,1657,358,384,391914,0 91,2,2024-09-07 08:18:11:350,48863,48863,0,0,3126396,0,1997 91,3,2024-09-07 08:18:10:610,1,39,7,1,155,459,39,0 92,0,2024-09-07 08:18:11:467,10838,2.3,11162,1.5,21394,4.0,28854,2.00 92,1,2024-09-07 08:18:10:607,68376,68376,0,0,32249597732,338193849,67972,357,47,383,391569,0 92,2,2024-09-07 08:18:11:368,53679,53679,0,0,2963738,0,2279 92,3,2024-09-07 08:18:11:013,1,39,18,1,68,519,39,0 93,0,2024-09-07 08:18:11:013,7599,0.4,7762,0.6,14837,0.3,19808,1.75 93,1,2024-09-07 08:18:10:813,68028,68028,0,0,32229077132,342753044,66619,978,431,368,391689,0 93,2,2024-09-07 08:18:10:941,47450,47450,0,0,2465551,0,2509 93,3,2024-09-07 08:18:11:414,1,39,13,1,143,417,39,0 94,0,2024-09-07 08:18:11:626,6165,0.2,6094,0.4,12010,0.2,15834,1.50 94,1,2024-09-07 08:18:10:574,68087,68087,0,0,31992187957,339808802,66947,1082,58,381,391850,0 94,2,2024-09-07 08:18:10:768,47100,47100,0,0,2606946,0,2443 94,3,2024-09-07 08:18:11:699,1,39,2,1,231,978,39,0 95,0,2024-09-07 08:18:11:368,10873,0.6,10906,0.7,22307,0.6,29722,1.75 95,1,2024-09-07 08:18:10:850,68561,68561,0,0,32270887721,337854536,68218,339,4,368,391590,0 95,2,2024-09-07 08:18:11:022,48488,48488,0,0,2688550,0,3308 95,3,2024-09-07 08:18:11:715,1,39,4,0,307,801,39,0 96,0,2024-09-07 08:18:11:111,13010,2.1,12862,1.4,25878,3.2,33803,2.25 96,1,2024-09-07 08:18:11:598,68155,68155,0,0,32305330340,347328580,66347,1240,568,385,391551,0 96,2,2024-09-07 08:18:11:292,52319,52319,0,0,3742580,0,4038 96,3,2024-09-07 08:18:11:150,1,39,15,2,36,502,39,0 97,0,2024-09-07 08:18:11:364,7560,0.7,7503,0.8,14938,0.8,19657,2.00 97,1,2024-09-07 08:18:10:770,67989,67989,0,0,32561468656,347625023,65986,1487,516,367,391626,0 97,2,2024-09-07 08:18:10:638,49353,49353,0,0,3042141,0,3036 97,3,2024-09-07 08:18:10:582,1,39,5,1,165,559,39,0 98,0,2024-09-07 08:18:11:707,7424,0.2,7393,0.4,15035,0.2,19801,1.50 98,1,2024-09-07 08:18:10:577,68037,68037,0,0,32385971122,344288732,66979,1015,43,382,391588,0 98,2,2024-09-07 08:18:10:785,46492,46492,0,0,2886452,0,3080 98,3,2024-09-07 08:18:10:709,1,39,1,1,155,547,39,0 99,0,2024-09-07 08:18:11:536,9786,0.5,9664,0.7,19680,0.4,26722,1.75 99,1,2024-09-07 08:18:11:759,68700,68700,0,0,31666674970,336677592,67402,860,438,381,391602,0 99,2,2024-09-07 08:18:11:448,48439,48439,0,0,3021614,0,1858 99,3,2024-09-07 08:18:10:591,1,39,2,1,129,466,39,0 100,0,2024-09-07 08:18:11:514,14679,3.1,14682,3.4,29270,5.4,39316,3.00 100,1,2024-09-07 08:18:10:554,67893,67893,0,0,31752191956,346878112,65280,2270,343,382,391585,0 100,2,2024-09-07 08:18:11:888,50967,50956,11,0,4417352,0,5417 100,3,2024-09-07 08:18:11:750,1,39,41,1,443,1514,39,0 101,0,2024-09-07 08:18:11:789,8902,6.5,8602,3.9,17225,4.5,24416,3.50 101,1,2024-09-07 08:18:10:616,67730,67730,0,0,32663479604,349998088,66289,993,448,370,391531,0 101,2,2024-09-07 08:18:11:880,51118,51118,0,0,3699641,0,4644 101,3,2024-09-07 08:18:10:974,1,39,4,1,448,811,39,0 102,0,2024-09-07 08:18:10:969,7879,0.6,8116,0.7,16197,0.4,20943,2.00 102,1,2024-09-07 08:18:11:181,67955,67955,0,0,32537426406,349765446,65947,1568,440,369,391647,0 102,2,2024-09-07 08:18:11:741,46011,46011,0,0,2431982,0,1945 102,3,2024-09-07 08:18:11:624,1,39,2,0,410,689,39,0 103,0,2024-09-07 08:18:11:642,8519,0.5,8583,0.8,16174,0.4,22522,2.00 103,1,2024-09-07 08:18:11:653,68261,68261,0,0,32175840560,342175814,67182,938,141,381,391680,0 103,2,2024-09-07 08:18:10:593,48156,48156,0,0,2920296,0,1878 103,3,2024-09-07 08:18:10:761,1,39,1,1,486,902,39,0 104,0,2024-09-07 08:18:11:151,14575,1.0,14756,1.0,28299,1.1,38405,2.00 104,1,2024-09-07 08:18:11:618,68428,68428,0,0,31775585652,342091211,66637,1467,324,368,391948,0 104,2,2024-09-07 08:18:11:670,49453,49453,0,0,3681784,0,3941 104,3,2024-09-07 08:18:11:426,1,39,9,2,1245,3072,39,0 105,0,2024-09-07 08:18:11:088,9570,3.8,9197,2.4,19163,6.5,26417,4.50 105,1,2024-09-07 08:18:10:603,67934,67934,0,0,33003780974,354836472,65655,1680,599,367,391797,0 105,2,2024-09-07 08:18:11:328,51661,51661,0,0,3562131,0,3314 105,3,2024-09-07 08:18:11:306,1,39,1,1,169,790,39,0 106,0,2024-09-07 08:18:11:002,7516,0.4,7700,0.6,15609,0.2,20388,2.00 106,1,2024-09-07 08:18:11:756,68226,68226,0,0,32512453751,349058027,66188,1760,278,371,391767,0 106,2,2024-09-07 08:18:10:767,46519,46519,0,0,2979279,0,1818 106,3,2024-09-07 08:18:10:693,1,39,2,1,201,616,39,0 107,0,2024-09-07 08:18:11:149,6731,0.3,6665,0.5,13294,0.2,17820,1.75 107,1,2024-09-07 08:18:10:611,67994,67994,0,0,32156883184,345433454,66412,1414,168,382,392234,0 107,2,2024-09-07 08:18:11:298,47562,47561,1,0,2929507,0,5024 107,3,2024-09-07 08:18:11:764,1,39,3,0,353,828,39,0 108,0,2024-09-07 08:18:11:831,11999,1.2,12126,1.0,24030,1.7,32510,1.75 108,1,2024-09-07 08:18:11:315,68106,68106,0,0,32849363688,347205054,66902,1096,108,371,391857,0 108,2,2024-09-07 08:18:11:784,49015,49015,0,0,3031753,0,2647 108,3,2024-09-07 08:18:11:354,1,39,15,1,60,554,39,0 109,0,2024-09-07 08:18:12:011,11659,1.8,11743,1.2,22802,3.0,30965,2.25 109,1,2024-09-07 08:18:11:047,68412,68412,0,0,32697017281,347184263,67550,810,52,385,391664,0 109,2,2024-09-07 08:18:10:928,51727,51727,0,0,3323326,0,3617 109,3,2024-09-07 08:18:11:156,1,39,10,1,120,665,39,0 110,0,2024-09-07 08:18:11:826,7567,0.3,7375,0.6,15365,0.2,19764,1.75 110,1,2024-09-07 08:18:11:656,68284,68284,0,0,32402872211,340862283,67269,732,283,370,391564,0 110,2,2024-09-07 08:18:11:332,48831,48831,0,0,2638239,0,2915 110,3,2024-09-07 08:18:10:709,1,39,9,0,183,450,39,0 111,0,2024-09-07 08:18:11:431,6580,0.2,6742,0.4,13149,0.1,17110,1.50 111,1,2024-09-07 08:18:11:004,68997,68997,0,0,33265992532,345932981,68617,368,12,382,391690,0 111,2,2024-09-07 08:18:11:117,46858,46858,0,0,2596506,0,2763 111,3,2024-09-07 08:18:10:915,1,39,6,0,119,831,39,0 112,0,2024-09-07 08:18:10:933,10485,0.8,10514,0.8,20894,0.7,28284,2.00 112,1,2024-09-07 08:18:10:826,68243,68243,0,0,32244101961,343434747,66763,1134,346,382,391522,0 112,2,2024-09-07 08:18:11:132,48008,48007,1,0,3503180,0,5036 112,3,2024-09-07 08:18:10:591,1,39,8,1,282,638,39,0 113,0,2024-09-07 08:18:10:939,13572,1.0,13381,1.0,27141,1.5,35909,2.00 113,1,2024-09-07 08:18:11:686,68141,68141,0,0,32610263378,346585559,66280,1478,383,368,391661,0 113,2,2024-09-07 08:18:11:304,51462,51462,0,0,2799420,0,3021 113,3,2024-09-07 08:18:10:689,1,39,74,1,166,755,39,0 114,0,2024-09-07 08:18:10:894,8919,5.1,8986,3.6,17724,2.6,24170,2.25 114,1,2024-09-07 08:18:10:719,67977,67977,0,0,32219626042,348084768,65552,1565,860,381,391513,0 114,2,2024-09-07 08:18:10:874,50316,50316,0,0,3488748,0,3925 114,3,2024-09-07 08:18:11:278,1,39,1,1,159,668,39,0 115,0,2024-09-07 08:18:10:582,7740,0.2,7799,0.4,15502,0.1,20721,1.50 115,1,2024-09-07 08:18:10:583,68327,68327,0,0,32429491537,347613093,66123,1718,486,384,391502,0 115,2,2024-09-07 08:18:11:139,46689,46689,0,0,2475978,0,2152 115,3,2024-09-07 08:18:11:003,1,39,1,0,159,421,39,0 116,0,2024-09-07 08:18:11:855,8981,2.4,8848,1.6,17788,3.7,24042,2.50 116,1,2024-09-07 08:18:10:813,67412,67412,0,0,32231616367,348921803,65811,742,859,382,391573,0 116,2,2024-09-07 08:18:11:778,47453,47453,0,0,3292962,0,3529 116,3,2024-09-07 08:18:10:955,1,39,26,2,252,1036,39,0 117,0,2024-09-07 08:18:11:233,14659,2.3,14705,1.5,29242,3.4,39928,2.25 117,1,2024-09-07 08:18:11:602,68245,68245,0,0,32089540161,345020628,66329,1646,270,371,391601,0 117,2,2024-09-07 08:18:11:122,50434,50434,0,0,3266168,0,3700 117,3,2024-09-07 08:18:11:085,1,39,16,1,490,1374,39,0 118,0,2024-09-07 08:18:11:956,9106,1.6,9315,1.3,19100,2.1,25472,2.50 118,1,2024-09-07 08:18:10:590,67789,67789,0,0,32101484351,341845291,66843,871,75,368,391736,0 118,2,2024-09-07 08:18:11:607,52027,52027,0,0,3464373,0,2781 118,3,2024-09-07 08:18:11:785,1,39,20,1,229,713,39,0 119,0,2024-09-07 08:18:11:367,7699,0.3,7843,0.6,15992,0.2,20832,1.75 119,1,2024-09-07 08:18:10:568,68170,68170,0,0,32642423684,348940149,66584,1438,148,371,391576,0 119,2,2024-09-07 08:18:11:277,45838,45838,0,0,2992371,0,2532 119,3,2024-09-07 08:18:11:338,1,39,2,1,443,1537,39,0 120,0,2024-09-07 08:18:11:586,7360,0.4,7275,0.6,14573,0.2,19317,1.75 120,1,2024-09-07 08:18:10:864,68213,68213,0,0,32139914337,343861673,66993,1206,14,369,391702,0 120,2,2024-09-07 08:18:10:771,47130,47129,1,0,3876297,0,5281 120,3,2024-09-07 08:18:11:298,1,39,8,1,241,822,39,0 121,0,2024-09-07 08:18:11:764,13420,2.1,13446,1.5,26885,3.8,36555,2.50 121,1,2024-09-07 08:18:11:707,68223,68223,0,0,32243431509,343448440,66917,1067,239,370,391807,0 121,2,2024-09-07 08:18:11:172,48937,48937,0,0,4464473,0,4127 121,3,2024-09-07 08:18:10:740,1,39,3,1,101,440,39,0 122,0,2024-09-07 08:18:11:866,10623,3.4,10262,3.1,21391,3.8,28948,2.75 122,1,2024-09-07 08:18:10:865,67367,67367,0,0,32200476130,347391484,65227,1745,395,369,392130,0 122,2,2024-09-07 08:18:11:324,52446,52446,0,0,4616494,0,3364 122,3,2024-09-07 08:18:10:604,1,39,2,1,226,1160,39,0 123,0,2024-09-07 08:18:10:972,7629,0.4,7346,0.6,15282,0.2,19848,1.75 123,1,2024-09-07 08:18:10:559,67834,67834,0,0,32054895422,350171998,64506,2761,567,371,391823,0 123,2,2024-09-07 08:18:11:031,47060,47059,1,0,3240316,0,5215 123,3,2024-09-07 08:18:11:133,1,39,1,1,160,652,39,0 124,0,2024-09-07 08:18:10:967,6222,0.3,6210,0.5,11792,0.2,15875,1.75 124,1,2024-09-07 08:18:11:042,68772,68772,0,0,32136619032,334927879,68589,181,2,369,392129,0 124,2,2024-09-07 08:18:11:014,46839,46839,0,0,2253775,0,2477 124,3,2024-09-07 08:18:10:762,1,39,13,2,490,1278,39,0 125,0,2024-09-07 08:18:11:465,11067,0.8,10980,0.8,22008,0.9,29652,2.00 125,1,2024-09-07 08:18:10:861,68340,68340,0,0,32275041574,341625385,67275,1043,22,385,391702,0 125,2,2024-09-07 08:18:11:118,48496,48496,0,0,2844657,0,2180 125,3,2024-09-07 08:18:11:136,1,39,3,1,93,747,39,0 126,0,2024-09-07 08:18:11:457,12990,1.9,13241,1.3,25429,2.7,34219,2.25 126,1,2024-09-07 08:18:10:560,68908,68908,0,0,33100473974,345803323,68435,464,9,368,391719,0 126,2,2024-09-07 08:18:10:615,52440,52440,0,0,2965124,0,3186 126,3,2024-09-07 08:18:10:908,1,39,43,0,122,486,39,0 127,0,2024-09-07 08:18:11:618,7632,0.3,7557,0.5,15116,0.2,19619,1.75 127,1,2024-09-07 08:18:10:594,68415,68415,0,0,32048767703,335580844,67670,723,22,365,391614,0 127,2,2024-09-07 08:18:10:641,49996,49996,0,0,2601979,0,1803 127,3,2024-09-07 08:18:11:272,1,39,1,0,99,401,39,0 128,0,2024-09-07 08:18:11:565,7442,0.3,7566,0.5,14683,0.2,19749,1.75 128,1,2024-09-07 08:18:11:623,68465,68465,0,0,32526386956,341686792,67781,618,66,369,391605,0 128,2,2024-09-07 08:18:11:390,45581,45581,0,0,3185155,0,2107 128,3,2024-09-07 08:18:10:768,1,39,8,1,25,456,39,0 129,0,2024-09-07 08:18:11:019,9771,0.7,10031,0.8,19693,0.8,26642,2.00 129,1,2024-09-07 08:18:10:574,68245,68245,0,0,32650694977,348501495,66607,1366,272,379,391835,0 129,2,2024-09-07 08:18:10:707,47636,47636,0,0,2629218,0,2446 129,3,2024-09-07 08:18:10:694,1,39,4,1,173,826,39,0 130,0,2024-09-07 08:18:11:736,15026,1.9,14910,1.3,29750,2.4,39973,2.50 130,1,2024-09-07 08:18:10:596,68553,68553,0,0,32583262463,346129169,67308,1218,27,381,391520,0 130,2,2024-09-07 08:18:11:134,51677,51677,0,0,3530115,0,4067 130,3,2024-09-07 08:18:11:293,1,39,25,1,207,518,39,0 131,0,2024-09-07 08:18:11:937,9011,1.9,8870,1.5,18157,2.4,24560,2.25 131,1,2024-09-07 08:18:11:829,68477,68477,0,0,32819242063,347512849,67501,790,186,385,391865,0 131,2,2024-09-07 08:18:10:582,50683,50683,0,0,2920327,0,2415 131,3,2024-09-07 08:18:11:691,1,39,4,1,392,641,39,0 132,0,2024-09-07 08:18:11:456,7878,0.4,8109,0.7,16062,0.3,21023,2.00 132,1,2024-09-07 08:18:10:583,67398,67398,0,0,31785386579,344666602,65079,1615,704,383,391533,0 132,2,2024-09-07 08:18:10:709,45639,45639,0,0,4472130,0,4606 132,3,2024-09-07 08:18:11:716,1,39,21,1,356,780,39,0 133,0,2024-09-07 08:18:11:530,8196,0.5,8327,0.7,17078,0.5,22214,2.00 133,1,2024-09-07 08:18:10:592,67395,67395,0,0,32169830818,347066625,65364,1941,90,383,391513,0 133,2,2024-09-07 08:18:11:090,48326,48326,0,0,3553359,0,2444 133,3,2024-09-07 08:18:11:298,1,39,5,1,187,469,39,0 134,0,2024-09-07 08:18:10:957,14494,0.6,14405,0.8,28998,0.6,38302,2.00 134,1,2024-09-07 08:18:10:596,68000,68000,0,0,32580676132,352536374,65407,1614,979,366,391718,0 134,2,2024-09-07 08:18:11:800,49940,49940,0,0,3011506,0,2026 134,3,2024-09-07 08:18:10:759,1,39,18,1,245,732,39,0 135,0,2024-09-07 08:18:11:102,9563,2.8,9517,2.4,20281,3.1,26257,2.75 135,1,2024-09-07 08:18:11:630,67234,67234,0,0,32619522675,348117731,65751,1230,253,383,391591,0 135,2,2024-09-07 08:18:10:749,52392,52392,0,0,3507131,0,3981 135,3,2024-09-07 08:18:11:013,1,39,9,0,68,401,39,0 136,0,2024-09-07 08:18:11:810,7778,0.5,7737,0.8,15395,0.3,20407,2.25 136,1,2024-09-07 08:18:11:449,68134,68134,0,0,31921533954,341363812,66573,1420,141,384,391518,0 136,2,2024-09-07 08:18:11:139,47586,47586,0,0,2736611,0,2379 136,3,2024-09-07 08:18:11:106,1,39,38,1,108,628,39,0 137,0,2024-09-07 08:18:10:929,6852,0.4,6715,0.7,13260,0.3,17886,2.00 137,1,2024-09-07 08:18:10:580,68019,68019,0,0,32882539593,350799833,66324,1586,109,368,391608,0 137,2,2024-09-07 08:18:11:740,47059,47059,0,0,3494410,0,2294 137,3,2024-09-07 08:18:10:778,1,39,163,1,227,711,39,0 138,0,2024-09-07 08:18:11:763,11438,3.1,11810,1.8,23656,4.1,32123,3.25 138,1,2024-09-07 08:18:11:691,67702,67702,0,0,32288762955,345757255,65833,1629,240,371,391615,0 138,2,2024-09-07 08:18:10:606,49137,49137,0,0,2999607,0,3263 138,3,2024-09-07 08:18:10:614,1,39,24,1,68,352,39,0 139,0,2024-09-07 08:18:11:391,11109,5.7,11060,3.2,22468,6.1,30373,4.50 139,1,2024-09-07 08:18:10:601,67718,67718,0,0,31759728603,347993108,65075,1932,711,383,391892,0 139,2,2024-09-07 08:18:10:710,52253,52253,0,0,3584743,0,2425 139,3,2024-09-07 08:18:11:663,1,39,1,1,244,1063,39,0 140,0,2024-09-07 08:18:11:614,7530,0.4,7415,0.6,15070,0.2,19857,1.75 140,1,2024-09-07 08:18:11:549,68997,68997,0,0,33244675909,346602602,68380,426,191,365,391483,0 140,2,2024-09-07 08:18:10:692,48576,48576,0,0,3228730,0,3388 140,3,2024-09-07 08:18:10:770,1,39,16,1,25,377,39,0 141,0,2024-09-07 08:18:11:723,6528,0.2,6761,0.4,13098,0.1,17131,1.50 141,1,2024-09-07 08:18:10:861,68651,68651,0,0,32666246864,345029202,67289,1031,331,382,391538,0 141,2,2024-09-07 08:18:11:692,47130,47130,0,0,2443660,0,2342 141,3,2024-09-07 08:18:11:047,1,39,1,0,53,293,39,0 142,0,2024-09-07 08:18:11:326,10675,0.4,10549,0.6,20989,0.3,28133,1.75 142,1,2024-09-07 08:18:10:599,68454,68454,0,0,32411429786,339588479,68202,251,1,384,391649,0 142,2,2024-09-07 08:18:11:303,48102,48102,0,0,2768339,0,2293 142,3,2024-09-07 08:18:11:775,1,39,33,1,263,571,39,0 143,0,2024-09-07 08:18:11:420,13494,1.5,13468,1.2,27175,1.9,35774,2.25 143,1,2024-09-07 08:18:10:567,68465,68465,0,0,32446308041,339625960,67663,778,24,367,391608,0 143,2,2024-09-07 08:18:10:773,51557,51557,0,0,3104328,0,2669 143,3,2024-09-07 08:18:11:149,1,39,10,1,236,987,39,0 144,0,2024-09-07 08:18:11:523,8436,3.1,8771,8.0,17444,2.6,24022,2.75 144,1,2024-09-07 08:18:10:569,67912,67912,0,0,32060677082,343912309,66369,1355,188,383,391617,0 144,2,2024-09-07 08:18:11:767,50355,50355,0,0,3158776,0,3473 144,3,2024-09-07 08:18:11:756,1,39,18,1,112,656,39,0 145,0,2024-09-07 08:18:11:487,7438,0.4,7399,0.7,15643,0.3,20581,2.00 145,1,2024-09-07 08:18:10:556,67319,67319,0,0,32355342207,346244663,65691,1369,259,383,391615,0 145,2,2024-09-07 08:18:11:450,45860,45860,0,0,3620006,0,3903 145,3,2024-09-07 08:18:10:903,1,39,1,0,151,763,39,0 146,0,2024-09-07 08:18:11:787,8949,2.3,8877,1.6,17971,3.2,23862,2.75 146,1,2024-09-07 08:18:11:651,68086,68086,0,0,31668003926,340002028,65966,1821,299,370,391600,0 146,2,2024-09-07 08:18:11:723,47956,47956,0,0,2810465,0,2149 146,3,2024-09-07 08:18:11:276,1,39,3,0,1520,2847,39,0 147,0,2024-09-07 08:18:11:713,14606,3.1,14416,1.9,28575,4.3,39964,3.50 147,1,2024-09-07 08:18:11:375,68752,68752,0,0,32496617865,340365178,68026,599,127,369,391791,0 147,2,2024-09-07 08:18:11:016,51281,51281,0,0,3138372,0,2789 147,3,2024-09-07 08:18:10:926,1,39,1,1,141,636,39,0 0,0,2024-09-07 08:18:21:711,7345,0.5,7306,0.7,15365,0.4,20007,2.00 0,1,2024-09-07 08:18:20:816,70072,70072,0,0,33573906217,357861864,68894,1052,126,372,391673,0 0,2,2024-09-07 08:18:21:079,48537,48537,0,0,3320802,0,4480 0,3,2024-09-07 08:18:20:975,1,40,18,2,247,762,40,0 1,0,2024-09-07 08:18:21:806,13669,2.4,13673,1.8,27556,2.8,37419,2.75 1,1,2024-09-07 08:18:20:562,69620,69620,0,0,32725465972,350726776,67971,1124,525,372,391857,0 1,2,2024-09-07 08:18:20:651,50559,50559,0,0,3079487,0,3267 1,3,2024-09-07 08:18:21:304,1,40,3,1,167,486,40,0 2,0,2024-09-07 08:18:21:574,10612,3.5,10830,2.0,21303,5.5,28621,4.00 2,1,2024-09-07 08:18:20:861,69616,69616,0,0,33574574643,355120888,68594,819,203,382,391531,0 2,2,2024-09-07 08:18:21:267,53160,53160,0,0,3423259,0,3304 2,3,2024-09-07 08:18:20:691,1,40,1,1,87,409,40,0 3,0,2024-09-07 08:18:21:751,7793,0.4,7711,0.7,15470,0.3,20141,2.00 3,1,2024-09-07 08:18:21:626,69663,69663,0,0,33027595197,352931208,67722,1555,386,382,391494,0 3,2,2024-09-07 08:18:21:145,48460,48437,23,0,3740931,0,5851 3,3,2024-09-07 08:18:21:752,1,40,1,1,103,413,40,0 4,0,2024-09-07 08:18:21:832,5994,0.4,6263,0.6,12398,0.2,16257,1.75 4,1,2024-09-07 08:18:20:602,69753,69753,0,0,32423633631,348895285,68018,1319,416,372,391682,0 4,2,2024-09-07 08:18:21:027,48208,48208,0,0,4042568,0,4528 4,3,2024-09-07 08:18:21:029,1,40,1,1,287,846,40,0 5,0,2024-09-07 08:18:21:411,11490,1.2,11292,1.1,23042,1.7,31056,2.25 5,1,2024-09-07 08:18:20:756,69497,69497,0,0,33191920821,357259305,67362,1698,437,368,392005,0 5,2,2024-09-07 08:18:21:833,49680,49680,0,0,3200085,0,2259 5,3,2024-09-07 08:18:21:737,1,40,26,1,182,955,40,0 6,0,2024-09-07 08:18:20:937,12947,2.9,12813,1.9,25513,5.3,34170,4.25 6,1,2024-09-07 08:18:20:751,70221,70221,0,0,33638118040,355641288,69143,1009,69,382,391603,0 6,2,2024-09-07 08:18:21:128,53593,53593,0,0,3539894,0,2411 6,3,2024-09-07 08:18:21:278,1,40,1,1,277,755,40,0 7,0,2024-09-07 08:18:21:540,7490,0.4,7499,0.6,15208,0.2,19911,1.75 7,1,2024-09-07 08:18:20:853,69721,69721,0,0,33583072048,356223008,68747,910,64,383,391558,0 7,2,2024-09-07 08:18:20:770,50239,50239,0,0,3187550,0,2981 7,3,2024-09-07 08:18:20:851,1,40,1,0,83,472,40,0 8,0,2024-09-07 08:18:21:422,7854,0.4,7621,0.6,15107,0.2,20294,1.75 8,1,2024-09-07 08:18:21:019,69712,69712,0,0,33488779069,367145254,66427,2036,1249,368,391724,0 8,2,2024-09-07 08:18:20:792,46965,46965,0,0,3459896,0,2986 8,3,2024-09-07 08:18:20:599,1,40,0,0,229,782,40,0 9,0,2024-09-07 08:18:21:172,10363,0.5,10030,0.7,20934,0.4,27795,1.75 9,1,2024-09-07 08:18:20:560,69809,69809,0,0,33545687377,360548952,67621,1623,565,370,391559,0 9,2,2024-09-07 08:18:21:126,48925,48925,0,0,3419755,0,3360 9,3,2024-09-07 08:18:21:752,1,40,149,0,149,727,40,0 10,0,2024-09-07 08:18:21:615,14882,1.8,14927,1.3,29897,3.6,39582,3.75 10,1,2024-09-07 08:18:20:588,69310,69310,0,0,32874528455,357795880,66082,2706,522,383,391541,0 10,2,2024-09-07 08:18:20:767,52283,52283,0,0,3664665,0,2940 10,3,2024-09-07 08:18:20:874,1,40,1,0,118,328,40,0 11,0,2024-09-07 08:18:21:022,8938,3.9,8771,2.5,18283,6.1,25259,3.25 11,1,2024-09-07 08:18:20:572,69777,69777,0,0,33389399635,360345973,67232,1731,814,384,391537,0 11,2,2024-09-07 08:18:21:129,51913,51913,0,0,3672813,0,2635 11,3,2024-09-07 08:18:21:302,1,40,35,0,720,2126,40,0 12,0,2024-09-07 08:18:20:978,8315,0.3,8318,0.5,16565,0.2,21734,1.50 12,1,2024-09-07 08:18:20:934,69933,69933,0,0,32450814288,343860298,68911,998,24,372,391661,0 12,2,2024-09-07 08:18:21:544,48053,48053,0,0,2883293,0,2254 12,3,2024-09-07 08:18:21:077,1,40,11,1,358,1161,40,0 13,0,2024-09-07 08:18:21:377,8753,0.4,8911,0.6,17718,0.4,24000,1.75 13,1,2024-09-07 08:18:21:528,70240,70240,0,0,33112875538,350262639,69611,589,40,384,391563,0 13,2,2024-09-07 08:18:20:601,49596,49596,0,0,2399278,0,3287 13,3,2024-09-07 08:18:21:767,1,40,10,1,153,574,40,0 14,0,2024-09-07 08:18:20:570,14866,0.4,14833,0.6,29536,0.4,39142,1.75 14,1,2024-09-07 08:18:21:572,70541,70541,0,0,32806619279,342840000,69949,563,29,365,391546,0 14,2,2024-09-07 08:18:20:766,51022,51022,0,0,3386176,0,2793 14,3,2024-09-07 08:18:21:115,1,40,3,1,906,1207,40,0 15,0,2024-09-07 08:18:21:563,10006,4.1,9904,2.3,19811,6.3,27310,4.00 15,1,2024-09-07 08:18:21:611,70238,70238,0,0,33225973941,348803854,69708,528,2,381,391536,0 15,2,2024-09-07 08:18:20:998,53692,53692,0,0,2562227,0,3043 15,3,2024-09-07 08:18:21:407,1,40,55,0,538,1016,40,0 16,0,2024-09-07 08:18:20:969,7889,0.4,7996,0.6,15702,0.2,20875,2.00 16,1,2024-09-07 08:18:20:583,69981,69981,0,0,32409731878,345448349,68900,1060,21,373,391543,0 16,2,2024-09-07 08:18:21:434,47260,47260,0,0,3677258,0,4719 16,3,2024-09-07 08:18:21:147,1,40,85,1,231,1079,40,0 17,0,2024-09-07 08:18:21:867,7142,0.4,7135,0.7,13812,0.3,18827,2.00 17,1,2024-09-07 08:18:20:570,69631,69631,0,0,32673990250,351815252,67936,1176,519,369,391688,0 17,2,2024-09-07 08:18:21:670,49205,49205,0,0,2815385,0,2857 17,3,2024-09-07 08:18:20:599,1,40,5,0,268,1010,40,0 18,0,2024-09-07 08:18:20:948,12216,0.9,12412,1.0,25024,1.1,33423,2.25 18,1,2024-09-07 08:18:21:645,69788,69788,0,0,33055159323,350104245,68570,1000,218,369,391526,0 18,2,2024-09-07 08:18:21:758,49738,49738,0,0,3722886,0,3541 18,3,2024-09-07 08:18:20:907,1,40,8,0,163,719,40,0 19,0,2024-09-07 08:18:21:548,11244,3.4,11424,2.1,22469,6.5,29858,6.00 19,1,2024-09-07 08:18:20:571,69716,69716,0,0,33290787351,355036050,67961,1593,162,367,391696,0 19,2,2024-09-07 08:18:21:752,53984,53984,0,0,3585810,0,3988 19,3,2024-09-07 08:18:21:145,1,40,1,0,524,689,40,0 20,0,2024-09-07 08:18:21:396,7706,0.3,7746,0.5,15196,0.2,19771,2.00 20,1,2024-09-07 08:18:20:568,69544,69544,0,0,33142072718,354070347,67878,1506,160,370,391598,0 20,2,2024-09-07 08:18:20:932,48989,48989,0,0,2931073,0,2446 20,3,2024-09-07 08:18:20:600,1,40,15,1,99,672,40,0 21,0,2024-09-07 08:18:21:176,6846,0.4,6754,0.7,13401,0.3,17051,2.00 21,1,2024-09-07 08:18:21:537,69300,69300,0,0,32597734057,351975368,67159,1653,488,368,391962,0 21,2,2024-09-07 08:18:21:077,48002,48002,0,0,3142137,0,3747 21,3,2024-09-07 08:18:21:407,1,40,0,0,93,685,40,0 22,0,2024-09-07 08:18:21:727,10579,1.3,10550,1.3,20968,1.3,28487,2.75 22,1,2024-09-07 08:18:21:024,69339,69339,0,0,32428219208,352783935,66422,2192,725,382,391667,0 22,2,2024-09-07 08:18:20:761,49417,49417,0,0,2989929,0,3134 22,3,2024-09-07 08:18:21:069,1,40,4,0,62,262,40,0 23,0,2024-09-07 08:18:21:375,13325,2.6,13632,1.5,27060,4.0,35880,3.25 23,1,2024-09-07 08:18:21:004,70285,70285,0,0,33277632048,350688621,69188,862,235,368,391496,0 23,2,2024-09-07 08:18:21:092,53437,53437,0,0,3104062,0,2078 23,3,2024-09-07 08:18:21:755,1,40,52,0,645,1150,40,0 24,0,2024-09-07 08:18:20:875,9312,0.8,9362,1.0,18700,1.4,24777,1.75 24,1,2024-09-07 08:18:20:582,70297,70297,0,0,32742677765,345786610,69564,728,5,371,391640,0 24,2,2024-09-07 08:18:21:074,50807,50807,0,0,4201624,0,2942 24,3,2024-09-07 08:18:21:687,1,40,110,1,234,769,40,0 25,0,2024-09-07 08:18:21:418,8239,0.3,8137,0.5,15687,0.2,21505,1.75 25,1,2024-09-07 08:18:20:565,69818,69818,0,0,33223176863,352510636,68748,1023,47,373,391561,0 25,2,2024-09-07 08:18:21:610,47174,47174,0,0,3522533,0,3284 25,3,2024-09-07 08:18:21:004,1,40,1,1,158,509,40,0 26,0,2024-09-07 08:18:21:731,9128,0.6,8964,0.7,18855,0.5,24682,2.00 26,1,2024-09-07 08:18:21:544,69614,69614,0,0,33064892289,358948757,66659,2159,796,382,391542,0 26,2,2024-09-07 08:18:20:870,49210,49210,0,0,3441310,0,2809 26,3,2024-09-07 08:18:21:717,1,40,2,0,796,1114,40,0 27,0,2024-09-07 08:18:21:733,15043,1.2,15198,1.0,30377,1.9,41152,2.25 27,1,2024-09-07 08:18:21:677,70803,70803,0,0,33652744076,351315997,70533,269,1,381,391526,0 27,2,2024-09-07 08:18:20:870,51138,51138,0,0,4697761,0,3409 27,3,2024-09-07 08:18:21:028,1,40,8,1,86,358,40,0 28,0,2024-09-07 08:18:21:398,9799,1.2,9916,1.2,19564,1.4,26372,2.00 28,1,2024-09-07 08:18:20:798,70397,70397,0,0,33736916137,354550311,69883,505,9,383,391500,0 28,2,2024-09-07 08:18:21:768,52595,52595,0,0,2801135,0,2609 28,3,2024-09-07 08:18:21:792,1,40,19,1,502,1013,40,0 29,0,2024-09-07 08:18:21:378,8211,0.3,8080,0.5,15969,0.2,21277,1.75 29,1,2024-09-07 08:18:21:562,70796,70796,0,0,32900428786,342775230,70184,440,172,369,391621,0 29,2,2024-09-07 08:18:20:860,48010,48010,0,0,2116472,0,2026 29,3,2024-09-07 08:18:20:963,1,40,6,1,105,516,40,0 30,0,2024-09-07 08:18:21:477,7582,0.3,7324,0.5,15100,0.2,19949,1.75 30,1,2024-09-07 08:18:20:573,69865,69865,0,0,33634859544,353828192,69188,615,62,382,391524,0 30,2,2024-09-07 08:18:21:276,49367,49367,0,0,1981153,0,1567 30,3,2024-09-07 08:18:20:584,1,40,195,0,195,427,40,0 31,0,2024-09-07 08:18:21:765,14000,0.6,13986,0.7,28110,0.5,37486,2.00 31,1,2024-09-07 08:18:20:569,70173,70173,0,0,33913781866,349654076,70053,118,2,356,391553,0 31,2,2024-09-07 08:18:21:281,51057,51057,0,0,3461422,0,3525 31,3,2024-09-07 08:18:21:705,1,40,1,0,129,387,40,0 32,0,2024-09-07 08:18:21:425,10841,2.6,10966,1.5,22006,3.9,29181,2.00 32,1,2024-09-07 08:18:20:807,70050,70050,0,0,32967808323,348643339,69056,966,28,382,391595,0 32,2,2024-09-07 08:18:20:938,54025,54025,0,0,3332660,0,3155 32,3,2024-09-07 08:18:21:019,1,40,12,0,110,541,40,0 33,0,2024-09-07 08:18:21:543,7782,0.3,7727,0.5,15548,0.2,20114,1.75 33,1,2024-09-07 08:18:20:604,69688,69688,0,0,33379676875,353663025,68055,1510,123,369,391497,0 33,2,2024-09-07 08:18:20:759,47774,47741,33,0,4410496,0,7012 33,3,2024-09-07 08:18:20:908,1,40,7,1,51,218,40,0 34,0,2024-09-07 08:18:20:945,6201,0.2,6351,0.4,12186,0.1,16170,1.50 34,1,2024-09-07 08:18:21:045,70366,70366,0,0,33665250922,351018981,69928,435,3,368,391517,0 34,2,2024-09-07 08:18:20:766,47913,47913,0,0,3600060,0,3255 34,3,2024-09-07 08:18:21:689,1,40,1,0,58,362,40,0 35,0,2024-09-07 08:18:20:873,11273,1.4,11321,1.0,22808,2.0,30917,2.00 35,1,2024-09-07 08:18:21:069,69950,69950,0,0,33280542760,351455419,68523,1066,361,385,391587,0 35,2,2024-09-07 08:18:21:588,49633,49633,0,0,3118100,0,2542 35,3,2024-09-07 08:18:20:907,1,40,4,0,219,643,40,0 36,0,2024-09-07 08:18:21:526,12899,3.0,12741,1.9,26064,4.3,34246,3.25 36,1,2024-09-07 08:18:20:583,69364,69364,0,0,32802181478,355647852,66355,2078,931,369,391535,0 36,2,2024-09-07 08:18:21:753,53482,53482,0,0,3570303,0,3303 36,3,2024-09-07 08:18:20:863,1,40,2,0,378,893,40,0 37,0,2024-09-07 08:18:21:378,7662,0.3,7582,0.6,15352,0.2,19965,2.00 37,1,2024-09-07 08:18:20:569,69168,69161,0,7,33500088318,366140489,66326,1318,1517,365,391507,0 37,2,2024-09-07 08:18:21:149,50609,50609,0,0,2805495,0,2333 37,3,2024-09-07 08:18:21:766,1,40,1,0,724,1410,40,0 38,0,2024-09-07 08:18:21:456,7687,0.3,7442,0.6,15464,0.2,20307,1.75 38,1,2024-09-07 08:18:21:622,69945,69945,0,0,33195765741,356149696,67819,1679,447,370,391512,0 38,2,2024-09-07 08:18:20:761,47603,47603,0,0,3443437,0,3245 38,3,2024-09-07 08:18:20:997,1,40,6,0,603,964,40,0 39,0,2024-09-07 08:18:21:766,10667,0.7,10495,0.8,20465,0.9,27975,2.00 39,1,2024-09-07 08:18:20:717,69995,69995,0,0,32683581551,350153847,67574,1784,637,366,391524,0 39,2,2024-09-07 08:18:21:423,48946,48946,0,0,2928140,0,2381 39,3,2024-09-07 08:18:20:713,1,40,2,0,189,781,40,0 40,0,2024-09-07 08:18:21:497,14504,2.9,14752,2.8,29096,5.2,39204,4.00 40,1,2024-09-07 08:18:20:649,69991,69991,0,0,32306185175,347499771,67630,1748,613,371,391591,0 40,2,2024-09-07 08:18:21:303,52244,52243,1,0,4089246,0,5137 40,3,2024-09-07 08:18:21:145,1,40,13,1,63,436,40,0 41,0,2024-09-07 08:18:21:268,8661,3.6,8918,8.0,17206,7.3,24116,4.25 41,1,2024-09-07 08:18:20:768,69722,69722,0,0,33079972377,353584526,67881,1570,271,370,391483,0 41,2,2024-09-07 08:18:20:765,51496,51496,0,0,4373042,0,3356 41,3,2024-09-07 08:18:21:679,1,40,1,1,107,379,40,0 42,0,2024-09-07 08:18:21:489,8158,0.5,8269,0.8,16378,0.3,21710,2.25 42,1,2024-09-07 08:18:21:447,69796,69796,0,0,33357341390,360477905,67473,1621,702,381,391511,0 42,2,2024-09-07 08:18:21:139,47661,47661,0,0,3405079,0,3568 42,3,2024-09-07 08:18:21:017,1,40,1,0,100,461,40,0 43,0,2024-09-07 08:18:20:935,8694,2.1,8448,1.4,17642,3.2,23864,2.50 43,1,2024-09-07 08:18:20:583,69839,69839,0,0,33634356366,358562064,68088,1159,592,367,391604,0 43,2,2024-09-07 08:18:21:740,49163,49163,0,0,3521386,0,3812 43,3,2024-09-07 08:18:21:749,1,40,9,1,292,1110,40,0 44,0,2024-09-07 08:18:20:893,14708,0.4,14747,0.7,29316,0.4,39185,1.75 44,1,2024-09-07 08:18:20:563,70220,70220,0,0,32836421253,341933159,69777,434,9,357,391493,0 44,2,2024-09-07 08:18:21:280,51058,51058,0,0,2364862,0,1592 44,3,2024-09-07 08:18:21:101,1,40,12,1,817,1220,40,0 45,0,2024-09-07 08:18:21:767,9900,4.2,9763,2.3,20351,6.1,27563,2.25 45,1,2024-09-07 08:18:21:005,70209,70209,0,0,33700639548,352385667,69925,284,0,382,391917,0 45,2,2024-09-07 08:18:21:282,53742,53742,0,0,3216535,0,2628 45,3,2024-09-07 08:18:20:936,1,40,2,1,226,536,40,0 46,0,2024-09-07 08:18:20:964,7886,0.3,7950,0.5,16014,0.2,20922,1.75 46,1,2024-09-07 08:18:20:583,70634,70634,0,0,33339282598,346652436,70205,403,26,368,391514,0 46,2,2024-09-07 08:18:20:594,48132,48132,0,0,2660685,0,2920 46,3,2024-09-07 08:18:21:137,1,40,1,0,200,687,40,0 47,0,2024-09-07 08:18:21:127,6981,0.2,7101,0.4,14028,0.1,18790,1.50 47,1,2024-09-07 08:18:20:567,70516,70516,0,0,32996359534,341880830,70309,206,1,367,391489,0 47,2,2024-09-07 08:18:20:909,49276,49276,0,0,2786444,0,2558 47,3,2024-09-07 08:18:21:129,1,40,8,1,529,1009,40,0 48,0,2024-09-07 08:18:21:502,12550,0.5,12553,0.6,24818,0.5,33776,1.75 48,1,2024-09-07 08:18:21:022,69954,69954,0,0,33643950579,356096367,68760,1189,5,386,391637,0 48,2,2024-09-07 08:18:20:702,50485,50485,0,0,2449299,0,2083 48,3,2024-09-07 08:18:20:760,1,40,16,1,23,383,40,0 49,0,2024-09-07 08:18:21:719,11859,3.1,11697,1.8,22660,5.1,31227,2.50 49,1,2024-09-07 08:18:21:022,69795,69795,0,0,33029518700,352997998,68060,1026,709,382,391583,0 49,2,2024-09-07 08:18:21:802,54066,54066,0,0,3593468,0,3900 49,3,2024-09-07 08:18:21:416,1,40,2,0,274,676,40,0 50,0,2024-09-07 08:18:21:520,7718,0.3,7629,0.5,15192,0.2,20168,1.75 50,1,2024-09-07 08:18:21:014,70663,70663,0,0,33909814853,358608221,69000,1366,297,369,391530,0 50,2,2024-09-07 08:18:21:073,49497,49497,0,0,2648224,0,2253 50,3,2024-09-07 08:18:21:292,1,40,1,1,335,689,40,0 51,0,2024-09-07 08:18:21:709,6907,0.2,6711,0.4,13183,0.1,17261,1.50 51,1,2024-09-07 08:18:21:681,69553,69553,0,0,34021781949,361375677,67780,991,782,367,391520,0 51,2,2024-09-07 08:18:21:319,48003,48003,0,0,2183777,0,2448 51,3,2024-09-07 08:18:21:027,1,40,1,0,162,430,40,0 52,0,2024-09-07 08:18:21:434,10797,1.7,10807,1.4,21723,2.2,28796,3.50 52,1,2024-09-07 08:18:20:580,69482,69482,0,0,32823879191,355168541,67007,2011,464,368,391498,0 52,2,2024-09-07 08:18:21:756,49536,49536,0,0,3500198,0,4779 52,3,2024-09-07 08:18:20:674,1,40,18,2,1782,2272,40,0 53,0,2024-09-07 08:18:21:739,13334,3.5,12869,2.1,26523,5.0,35088,3.75 53,1,2024-09-07 08:18:20:773,69633,69633,0,0,33122248159,357825824,67041,2018,574,370,391617,0 53,2,2024-09-07 08:18:21:299,53514,53514,0,0,2881753,0,1795 53,3,2024-09-07 08:18:20:697,1,40,1,1,59,280,40,0 54,0,2024-09-07 08:18:21:635,8438,10.0,8440,6.6,16914,2.8,23670,5.50 54,1,2024-09-07 08:18:20:584,69562,69562,0,0,33103143611,352744961,67764,1504,294,367,391520,0 54,2,2024-09-07 08:18:20:875,51587,51581,6,0,3794094,0,5382 54,3,2024-09-07 08:18:20:764,1,40,2,0,676,1382,40,0 55,0,2024-09-07 08:18:21:770,7878,0.5,8038,0.8,16336,0.3,21385,2.25 55,1,2024-09-07 08:18:20:766,69256,69256,0,0,32634242134,352674264,65838,2716,702,369,391731,0 55,2,2024-09-07 08:18:20:736,47400,47400,0,0,3406302,0,3275 55,3,2024-09-07 08:18:20:674,1,40,13,0,136,620,40,0 56,0,2024-09-07 08:18:21:708,9384,3.2,8879,2.1,18283,4.1,24619,3.25 56,1,2024-09-07 08:18:20:580,69662,69662,0,0,33896346997,368073527,66892,2078,692,384,391536,0 56,2,2024-09-07 08:18:21:325,49294,49294,0,0,3507268,0,3567 56,3,2024-09-07 08:18:21:061,1,40,25,2,297,732,40,0 57,0,2024-09-07 08:18:21:051,14693,3.7,14460,2.2,29006,6.0,40071,5.00 57,1,2024-09-07 08:18:21:028,70082,70082,0,0,32253063897,342451676,69062,1006,14,368,391760,0 57,2,2024-09-07 08:18:21:319,51682,51682,0,0,3654519,0,2687 57,3,2024-09-07 08:18:21:747,1,40,8,1,359,1121,40,0 58,0,2024-09-07 08:18:20:571,8938,1.8,8708,1.5,18229,2.3,24429,3.50 58,1,2024-09-07 08:18:20:581,70284,70283,0,1,33515741495,354776611,68916,1210,157,371,391516,1 58,2,2024-09-07 08:18:21:074,53067,53067,0,0,3618935,0,2464 58,3,2024-09-07 08:18:21:075,1,40,1,1,219,675,40,0 59,0,2024-09-07 08:18:21:755,8029,1.0,7994,1.1,16190,1.0,20986,2.75 59,1,2024-09-07 08:18:20:807,69954,69954,0,0,33069375595,350584573,68834,1073,47,371,391515,0 59,2,2024-09-07 08:18:20:583,47808,47808,0,0,2978556,0,2604 59,3,2024-09-07 08:18:21:740,1,40,9,0,1015,1252,40,0 60,0,2024-09-07 08:18:21:735,7561,0.3,7553,0.5,14966,0.2,19996,1.75 60,1,2024-09-07 08:18:20:782,70931,70931,0,0,33708368143,354000894,70040,547,344,370,391672,0 60,2,2024-09-07 08:18:21:178,49287,49287,0,0,2278766,0,2142 60,3,2024-09-07 08:18:21:268,1,40,27,0,124,583,40,0 61,0,2024-09-07 08:18:21:688,13824,1.4,13833,1.2,27469,2.2,37215,2.00 61,1,2024-09-07 08:18:20:777,69778,69778,0,0,32944531041,351857002,67919,1388,471,383,391589,0 61,2,2024-09-07 08:18:21:119,51125,51125,0,0,2835759,0,1846 61,3,2024-09-07 08:18:21:687,1,40,14,1,124,527,40,0 62,0,2024-09-07 08:18:21:718,10894,3.0,11199,1.7,21542,4.8,29188,3.00 62,1,2024-09-07 08:18:21:113,70584,70580,0,4,33829984924,353104887,69842,736,2,366,391586,4 62,2,2024-09-07 08:18:21:649,53600,53600,0,0,3344693,0,2931 62,3,2024-09-07 08:18:21:147,1,40,2,0,287,577,40,0 63,0,2024-09-07 08:18:21:461,7699,0.5,7705,0.8,15416,0.4,20180,2.00 63,1,2024-09-07 08:18:20:807,70667,70664,0,3,33237312371,346029250,70412,251,1,382,391540,3 63,2,2024-09-07 08:18:20:762,48356,48356,0,0,2777675,0,2674 63,3,2024-09-07 08:18:21:747,1,40,1,0,216,747,40,0 64,0,2024-09-07 08:18:21:554,6107,0.5,6137,0.8,12376,0.3,16105,2.00 64,1,2024-09-07 08:18:20:750,69999,69999,0,0,33422577979,360937297,67572,1634,793,371,391604,0 64,2,2024-09-07 08:18:21:158,48758,48739,19,0,3407431,0,6121 64,3,2024-09-07 08:18:21:144,1,40,8,1,163,564,40,0 65,0,2024-09-07 08:18:21:745,11144,3.8,11260,2.3,22254,5.5,30484,4.75 65,1,2024-09-07 08:18:20:861,69793,69793,0,0,33039357454,353512328,68094,1480,219,382,391506,0 65,2,2024-09-07 08:18:21:697,49623,49623,0,0,3618007,0,3367 65,3,2024-09-07 08:18:21:693,1,40,6,1,163,632,40,0 66,0,2024-09-07 08:18:21:808,12444,2.6,12557,1.8,25218,4.6,33618,4.25 66,1,2024-09-07 08:18:21:299,70941,70941,0,0,33545630237,351269044,70301,621,19,381,391537,0 66,2,2024-09-07 08:18:21:136,53908,53908,0,0,3285411,0,3867 66,3,2024-09-07 08:18:21:084,1,40,2,1,291,683,40,0 67,0,2024-09-07 08:18:21:437,7653,0.8,7675,0.9,15113,0.7,19892,2.50 67,1,2024-09-07 08:18:20:767,69391,69390,0,1,32960835208,353191868,67622,1268,500,383,391787,1 67,2,2024-09-07 08:18:20:589,50970,50970,0,0,2996494,0,2889 67,3,2024-09-07 08:18:21:749,1,40,138,0,138,543,40,0 68,0,2024-09-07 08:18:20:630,7706,0.4,7609,0.8,15373,0.3,20180,2.00 68,1,2024-09-07 08:18:20:577,69725,69725,0,0,33347373486,356513688,68336,867,522,383,391953,0 68,2,2024-09-07 08:18:21:047,47862,47862,0,0,3040844,0,4883 68,3,2024-09-07 08:18:20:730,1,40,8,1,63,447,40,0 69,0,2024-09-07 08:18:21:991,10271,2.5,10171,1.7,20246,3.8,27802,3.25 69,1,2024-09-07 08:18:21:022,68924,68924,0,0,32907796921,357467199,67094,966,864,386,391530,0 69,2,2024-09-07 08:18:21:745,49329,49329,0,0,3219624,0,3153 69,3,2024-09-07 08:18:20:760,1,40,2,0,238,820,40,0 70,0,2024-09-07 08:18:21:547,14565,4.5,14551,3.4,29408,4.7,38802,5.50 70,1,2024-09-07 08:18:20:804,70207,70207,0,0,33810464450,355606094,69313,760,134,369,391527,0 70,2,2024-09-07 08:18:21:325,52491,52491,0,0,3690873,0,4044 70,3,2024-09-07 08:18:20:748,1,40,1,1,178,367,40,0 71,0,2024-09-07 08:18:21:374,8795,3.9,8738,6.3,17215,6.2,24402,5.00 71,1,2024-09-07 08:18:21:597,69506,69506,0,0,33158924856,354634569,67431,1761,314,368,391682,0 71,2,2024-09-07 08:18:21:186,51969,51969,0,0,3166971,0,2146 71,3,2024-09-07 08:18:21:750,1,40,2,1,174,422,40,0 72,0,2024-09-07 08:18:21:078,8431,0.4,8146,0.7,16257,0.3,21744,2.50 72,1,2024-09-07 08:18:21:029,70018,70018,0,0,32670814070,346947847,68517,1426,75,370,391514,0 72,2,2024-09-07 08:18:21:789,46740,46740,0,0,3967954,0,2570 72,3,2024-09-07 08:18:21:786,1,40,79,1,325,1012,40,0 73,0,2024-09-07 08:18:21:133,8565,0.6,8792,0.8,17799,0.6,23789,2.75 73,1,2024-09-07 08:18:20:766,70161,70161,0,0,32874436539,345322682,69680,470,11,368,391533,0 73,2,2024-09-07 08:18:21:791,49120,49120,0,0,3636193,0,3482 73,3,2024-09-07 08:18:20:976,1,40,2,0,274,1078,40,0 74,0,2024-09-07 08:18:21:334,14754,0.6,15065,0.8,29065,0.6,39283,2.50 74,1,2024-09-07 08:18:20:638,69993,69993,0,0,33266284184,352417530,68778,884,331,382,391497,0 74,2,2024-09-07 08:18:21:006,50766,50766,0,0,4243693,0,4253 74,3,2024-09-07 08:18:21:442,1,40,3,0,192,904,40,0 75,0,2024-09-07 08:18:21:788,10078,4.1,10138,2.2,20099,5.6,27750,3.50 75,1,2024-09-07 08:18:21:586,70250,70250,0,0,33316281114,353012939,69152,1022,76,382,391514,0 75,2,2024-09-07 08:18:21:359,53355,53355,0,0,3970734,0,4766 75,3,2024-09-07 08:18:21:073,1,40,1,0,143,340,40,0 76,0,2024-09-07 08:18:20:606,7946,0.4,7905,0.7,15698,0.3,20682,2.25 76,1,2024-09-07 08:18:20:809,70014,70014,0,0,32457633893,345867757,68602,973,439,382,391530,0 76,2,2024-09-07 08:18:21:074,48428,48428,0,0,2374558,0,3064 76,3,2024-09-07 08:18:21:147,1,40,11,0,175,866,40,0 77,0,2024-09-07 08:18:21:904,6981,0.3,6991,0.6,14104,0.2,18846,2.00 77,1,2024-09-07 08:18:20:837,70255,70255,0,0,32781341316,344821515,69511,677,67,383,391512,0 77,2,2024-09-07 08:18:21:307,48984,48984,0,0,2282161,0,1695 77,3,2024-09-07 08:18:21:100,1,40,16,0,139,501,40,0 78,0,2024-09-07 08:18:21:735,12415,2.3,12233,1.5,24682,4.2,33363,2.75 78,1,2024-09-07 08:18:20:616,70880,70880,0,0,32488420890,341681512,70189,654,37,368,391589,0 78,2,2024-09-07 08:18:21:407,50393,50393,0,0,2505072,0,2114 78,3,2024-09-07 08:18:21:138,1,40,181,0,181,714,40,0 79,0,2024-09-07 08:18:21:357,11141,2.6,11474,1.6,23292,4.4,30270,4.50 79,1,2024-09-07 08:18:20:587,70053,70053,0,0,33346575944,349135774,69283,763,7,370,391494,0 79,2,2024-09-07 08:18:21:082,53910,53910,0,0,2875910,0,2679 79,3,2024-09-07 08:18:20:755,1,40,3,0,289,629,40,0 80,0,2024-09-07 08:18:21:103,7597,0.5,7831,0.8,15052,0.4,20051,2.25 80,1,2024-09-07 08:18:21:631,69527,69527,0,0,33417219172,353950527,68051,1361,115,371,391673,0 80,2,2024-09-07 08:18:21:100,48914,48914,0,0,3373946,0,4433 80,3,2024-09-07 08:18:20:584,1,40,11,1,148,850,40,0 81,0,2024-09-07 08:18:21:582,6751,0.5,6913,0.8,13174,0.4,17251,2.75 81,1,2024-09-07 08:18:21:669,69930,69930,0,0,32250823878,344746836,68213,1520,197,383,391646,0 81,2,2024-09-07 08:18:21:140,47593,47593,0,0,3378806,0,3993 81,3,2024-09-07 08:18:21:126,1,40,1,1,193,674,40,0 82,0,2024-09-07 08:18:21:592,10710,2.4,10599,1.7,21322,4.3,28966,2.50 82,1,2024-09-07 08:18:20:587,69594,69593,0,1,33343574796,356033351,67568,1453,572,384,391558,1 82,2,2024-09-07 08:18:21:701,50293,50293,0,0,2551490,0,2230 82,3,2024-09-07 08:18:21:756,1,40,8,0,211,678,40,0 83,0,2024-09-07 08:18:21:552,13376,2.6,13314,2.0,26306,3.5,34804,3.75 83,1,2024-09-07 08:18:20:557,70019,70019,0,0,33410638453,355762606,68624,1295,100,383,391553,0 83,2,2024-09-07 08:18:20:765,52467,52467,0,0,3487529,0,3119 83,3,2024-09-07 08:18:20:749,1,40,1,0,91,456,40,0 84,0,2024-09-07 08:18:21:846,8796,7.1,8699,6.9,17397,2.0,24241,4.00 84,1,2024-09-07 08:18:21:040,69804,69804,0,0,33027886478,353287222,68254,1306,244,369,391630,0 84,2,2024-09-07 08:18:20:579,51010,51010,0,0,3574656,0,3801 84,3,2024-09-07 08:18:21:147,1,40,2,1,43,415,40,0 85,0,2024-09-07 08:18:21:073,7807,0.4,7850,0.7,16546,0.3,21402,2.00 85,1,2024-09-07 08:18:20:572,68943,68943,0,0,33234666116,359528666,66700,1844,399,384,391505,0 85,2,2024-09-07 08:18:20:874,47174,47174,0,0,3413202,0,3656 85,3,2024-09-07 08:18:20:687,1,40,3,1,115,524,40,0 86,0,2024-09-07 08:18:20:901,9163,1.3,9520,1.1,18370,1.5,24757,2.25 86,1,2024-09-07 08:18:20:828,69353,69353,0,0,33222394340,354717059,67607,1533,213,367,391522,0 86,2,2024-09-07 08:18:20:854,49141,49140,1,0,3764586,0,5004 86,3,2024-09-07 08:18:20:586,1,40,24,1,199,730,40,0 87,0,2024-09-07 08:18:21:337,14961,3.2,14968,2.0,29750,4.1,41208,3.75 87,1,2024-09-07 08:18:20:557,69762,69762,0,0,33217499951,355427823,68206,1437,119,369,391671,0 87,2,2024-09-07 08:18:21:078,51991,51991,0,0,3023930,0,2859 87,3,2024-09-07 08:18:21:809,1,40,11,1,322,956,40,0 88,0,2024-09-07 08:18:21:486,9775,1.6,9817,1.3,19481,2.3,26351,2.25 88,1,2024-09-07 08:18:20:584,69806,69806,0,0,33388006432,354795580,68693,1020,93,367,391747,0 88,2,2024-09-07 08:18:20:697,53010,53010,0,0,3990714,0,3583 88,3,2024-09-07 08:18:21:274,1,40,23,1,77,626,40,0 89,0,2024-09-07 08:18:21:807,8307,0.4,8073,0.6,15957,0.3,21372,1.75 89,1,2024-09-07 08:18:20:553,69317,69317,0,0,33231455083,358995909,67215,1446,656,383,391866,0 89,2,2024-09-07 08:18:21:139,47422,47422,0,0,3211025,0,2726 89,3,2024-09-07 08:18:21:792,1,40,1,0,325,998,40,0 90,0,2024-09-07 08:18:21:637,7256,0.3,7419,0.5,15272,0.2,20020,1.75 90,1,2024-09-07 08:18:20:597,69742,69742,0,0,32686676640,352278900,67736,1868,138,382,391825,0 90,2,2024-09-07 08:18:21:416,48326,48326,0,0,3997049,0,2635 90,3,2024-09-07 08:18:20:935,1,40,100,1,200,671,40,0 91,0,2024-09-07 08:18:20:945,14021,0.5,13652,0.7,28188,0.6,37596,2.00 91,1,2024-09-07 08:18:20:557,69815,69815,0,0,33511101141,359488664,67793,1664,358,384,391914,0 91,2,2024-09-07 08:18:21:351,50236,50236,0,0,3236725,0,1997 91,3,2024-09-07 08:18:20:614,1,40,126,1,155,585,40,0 92,0,2024-09-07 08:18:21:508,11023,2.3,11336,1.5,21743,4.0,29344,2.00 92,1,2024-09-07 08:18:20:596,70053,70053,0,0,33018617382,346164395,69648,358,47,383,391569,0 92,2,2024-09-07 08:18:21:358,54997,54997,0,0,3053552,0,2279 92,3,2024-09-07 08:18:21:016,1,40,15,1,68,534,40,0 93,0,2024-09-07 08:18:21:004,7689,0.4,7857,0.6,14996,0.3,20056,1.75 93,1,2024-09-07 08:18:20:813,69684,69684,0,0,33194595646,352824843,68272,981,431,368,391689,0 93,2,2024-09-07 08:18:20:951,48421,48421,0,0,2497363,0,2509 93,3,2024-09-07 08:18:21:409,1,40,6,1,143,423,40,0 94,0,2024-09-07 08:18:21:620,6286,0.2,6209,0.4,12293,0.2,16183,1.50 94,1,2024-09-07 08:18:20:565,69798,69798,0,0,32726928211,347541334,68657,1083,58,381,391850,0 94,2,2024-09-07 08:18:20:770,48418,48418,0,0,2671029,0,2443 94,3,2024-09-07 08:18:21:694,1,40,4,1,231,982,40,0 95,0,2024-09-07 08:18:21:370,11352,0.5,11378,0.7,23290,0.6,30998,1.75 95,1,2024-09-07 08:18:20:857,70259,70259,0,0,33160759569,347188473,69914,341,4,368,391590,0 95,2,2024-09-07 08:18:21:020,49962,49962,0,0,2746826,0,3308 95,3,2024-09-07 08:18:21:710,1,40,1,0,307,802,40,0 96,0,2024-09-07 08:18:21:040,13121,2.1,12970,1.4,26102,3.2,34094,2.25 96,1,2024-09-07 08:18:21:586,69944,69944,0,0,33221053462,356809797,68134,1242,568,385,391551,0 96,2,2024-09-07 08:18:21:272,53758,53758,0,0,3793597,0,4038 96,3,2024-09-07 08:18:21:162,1,40,8,2,36,510,40,0 97,0,2024-09-07 08:18:21:363,7656,0.6,7604,0.8,15141,0.8,19921,2.00 97,1,2024-09-07 08:18:20:766,69689,69689,0,0,33312949158,355391090,67685,1488,516,367,391626,0 97,2,2024-09-07 08:18:20:655,49988,49988,0,0,3063262,0,3036 97,3,2024-09-07 08:18:20:580,1,40,32,1,165,591,40,0 98,0,2024-09-07 08:18:21:699,7612,0.2,7577,0.4,15420,0.2,20229,1.50 98,1,2024-09-07 08:18:20:572,69807,69807,0,0,33006496058,350721352,68749,1015,43,382,391588,0 98,2,2024-09-07 08:18:20:771,48018,48018,0,0,2944971,0,3080 98,3,2024-09-07 08:18:20:701,1,40,2,1,155,549,40,0 99,0,2024-09-07 08:18:21:476,10241,0.5,10106,0.6,20576,0.4,27867,1.75 99,1,2024-09-07 08:18:21:739,70496,70496,0,0,32454862111,344822761,69194,864,438,381,391602,0 99,2,2024-09-07 08:18:21:428,49923,49923,0,0,3089685,0,1858 99,3,2024-09-07 08:18:20:585,1,40,1,1,129,467,40,0 100,0,2024-09-07 08:18:21:480,14815,3.1,14794,3.4,29516,5.4,39669,3.00 100,1,2024-09-07 08:18:20:551,69614,69614,0,0,32598702584,355811779,67001,2270,343,382,391585,0 100,2,2024-09-07 08:18:21:858,52044,52033,11,0,4466946,0,5417 100,3,2024-09-07 08:18:21:747,1,40,21,1,443,1535,40,0 101,0,2024-09-07 08:18:21:789,9192,6.4,8938,3.7,17823,4.2,25306,3.50 101,1,2024-09-07 08:18:20:566,69572,69572,0,0,33495598086,358818318,68129,995,448,370,391531,0 101,2,2024-09-07 08:18:21:779,52231,52231,0,0,3771705,0,4644 101,3,2024-09-07 08:18:20:943,1,40,2,1,448,813,40,0 102,0,2024-09-07 08:18:20:991,8103,0.6,8335,0.7,16623,0.4,21633,2.00 102,1,2024-09-07 08:18:21:165,69859,69859,0,0,33204436150,357630837,67716,1698,445,369,391647,0 102,2,2024-09-07 08:18:21:740,47537,47537,0,0,2491356,0,1945 102,3,2024-09-07 08:18:21:626,1,40,1,0,410,690,40,0 103,0,2024-09-07 08:18:21:608,8945,0.7,9025,0.9,17077,0.9,24000,2.25 103,1,2024-09-07 08:18:21:639,69953,69953,0,0,33194171895,352834579,68873,939,141,381,391680,0 103,2,2024-09-07 08:18:20:588,49408,49408,0,0,3131372,0,2104 103,3,2024-09-07 08:18:20:767,1,40,13,1,486,915,40,0 104,0,2024-09-07 08:18:21:049,14836,1.0,15041,1.0,28817,1.1,39068,2.00 104,1,2024-09-07 08:18:21:606,70040,70040,0,0,32489588261,349699144,68246,1469,325,368,391948,0 104,2,2024-09-07 08:18:21:675,50603,50603,0,0,3757882,0,3941 104,3,2024-09-07 08:18:21:420,1,40,19,2,1245,3091,40,0 105,0,2024-09-07 08:18:21:130,9935,3.7,9568,2.3,19848,6.2,27276,4.50 105,1,2024-09-07 08:18:20:569,69756,69756,0,0,33618210369,361723697,67468,1689,599,367,391797,0 105,2,2024-09-07 08:18:21:324,52980,52980,0,0,3611069,0,3314 105,3,2024-09-07 08:18:21:305,1,40,1,1,169,791,40,0 106,0,2024-09-07 08:18:20:960,7704,0.4,7880,0.7,15979,0.2,20847,2.00 106,1,2024-09-07 08:18:21:751,70055,70055,0,0,33379491246,358103093,68017,1760,278,371,391767,0 106,2,2024-09-07 08:18:20:764,47706,47706,0,0,3067361,0,1818 106,3,2024-09-07 08:18:20:683,1,40,3,1,201,619,40,0 107,0,2024-09-07 08:18:21:138,7055,0.3,7001,0.6,13978,0.2,18674,1.75 107,1,2024-09-07 08:18:20:587,69720,69720,0,0,32860844760,352769263,68137,1415,168,382,392234,0 107,2,2024-09-07 08:18:21:298,48816,48815,1,0,3066201,0,5024 107,3,2024-09-07 08:18:21:758,1,40,87,0,353,915,40,0 108,0,2024-09-07 08:18:21:818,12446,1.2,12544,1.0,24936,1.6,33623,1.75 108,1,2024-09-07 08:18:21:300,69858,69858,0,0,33493790677,354315790,68651,1099,108,371,391857,0 108,2,2024-09-07 08:18:21:758,50446,50446,0,0,3092269,0,2647 108,3,2024-09-07 08:18:21:342,1,40,18,1,60,572,40,0 109,0,2024-09-07 08:18:21:867,11794,1.8,11861,1.2,23020,3.0,31293,2.25 109,1,2024-09-07 08:18:20:839,70077,70077,0,0,33353813283,354443914,69196,827,54,385,391664,0 109,2,2024-09-07 08:18:20:959,53150,53150,0,0,3433406,0,3617 109,3,2024-09-07 08:18:21:147,1,40,7,1,120,672,40,0 110,0,2024-09-07 08:18:21:873,7700,0.3,7500,0.6,15617,0.2,20084,1.75 110,1,2024-09-07 08:18:21:651,70004,70004,0,0,33151100502,348694306,68989,732,283,370,391564,0 110,2,2024-09-07 08:18:21:307,49563,49563,0,0,2665817,0,2915 110,3,2024-09-07 08:18:20:694,1,40,1,0,183,451,40,0 111,0,2024-09-07 08:18:21:429,6587,0.2,6752,0.4,13167,0.1,17135,1.50 111,1,2024-09-07 08:18:21:001,70677,70677,0,0,34099558091,354511828,70297,368,12,382,391690,0 111,2,2024-09-07 08:18:21:146,48254,48254,0,0,2683922,0,2763 111,3,2024-09-07 08:18:20:916,1,40,29,0,119,860,40,0 112,0,2024-09-07 08:18:20:922,10847,0.8,10864,0.8,21615,0.7,29255,2.00 112,1,2024-09-07 08:18:20:827,70107,70107,0,0,33355669347,354900855,68614,1147,346,382,391522,0 112,2,2024-09-07 08:18:21:135,49464,49463,1,0,3547686,0,5036 112,3,2024-09-07 08:18:20:600,1,40,9,1,282,647,40,0 113,0,2024-09-07 08:18:20:875,13663,1.0,13483,1.0,27342,1.4,36153,2.00 113,1,2024-09-07 08:18:21:685,69885,69885,0,0,33363892589,354632088,67998,1504,383,368,391661,0 113,2,2024-09-07 08:18:21:306,52792,52792,0,0,2848836,0,3021 113,3,2024-09-07 08:18:20:685,1,40,1,1,166,756,40,0 114,0,2024-09-07 08:18:20:922,9150,5.0,9218,3.6,18156,2.6,24728,2.25 114,1,2024-09-07 08:18:20:716,69755,69755,0,0,32919989072,355311090,67330,1565,860,381,391513,0 114,2,2024-09-07 08:18:20:881,51264,51264,0,0,3515817,0,3925 114,3,2024-09-07 08:18:21:289,1,40,2,1,159,670,40,0 115,0,2024-09-07 08:18:20:566,8021,0.2,8111,0.4,16167,0.1,21577,1.50 115,1,2024-09-07 08:18:20:574,70009,70009,0,0,33246061180,356656728,67655,1867,487,384,391502,0 115,2,2024-09-07 08:18:21:127,48288,48288,0,0,2552518,0,2152 115,3,2024-09-07 08:18:21:006,1,40,1,0,159,422,40,0 116,0,2024-09-07 08:18:21:798,9248,2.4,9127,1.5,18317,3.7,24770,2.50 116,1,2024-09-07 08:18:20:818,69285,69285,0,0,32932493751,357388692,67469,950,866,382,391573,0 116,2,2024-09-07 08:18:21:763,48725,48725,0,0,3532887,0,3529 116,3,2024-09-07 08:18:20:919,1,40,23,2,252,1059,40,0 117,0,2024-09-07 08:18:21:025,15076,2.7,15180,1.7,30251,3.9,41268,2.25 117,1,2024-09-07 08:18:21:582,70006,70006,0,0,32862288834,353861936,67951,1768,287,371,392033,0 117,2,2024-09-07 08:18:21:119,51711,51711,0,0,3311421,0,3700 117,3,2024-09-07 08:18:21:062,1,40,3,1,490,1377,40,0 118,0,2024-09-07 08:18:21:803,9414,1.5,9599,1.3,19722,2.0,26312,2.25 118,1,2024-09-07 08:18:20:585,69704,69704,0,0,33187523267,353918585,68532,1097,75,368,391736,0 118,2,2024-09-07 08:18:21:599,53283,53283,0,0,3570216,0,2781 118,3,2024-09-07 08:18:21:767,1,40,14,1,229,727,40,0 119,0,2024-09-07 08:18:21:352,7862,0.3,7988,0.6,16315,0.2,21242,1.75 119,1,2024-09-07 08:18:20:557,69910,69910,0,0,33483597520,357726448,68324,1438,148,371,391617,0 119,2,2024-09-07 08:18:21:265,47155,47155,0,0,3064021,0,2532 119,3,2024-09-07 08:18:21:326,1,40,2,1,443,1539,40,0 120,0,2024-09-07 08:18:21:591,7594,0.4,7523,0.6,15042,0.2,20007,1.75 120,1,2024-09-07 08:18:20:864,69923,69923,0,0,32899967903,352198738,68664,1244,15,369,391702,0 120,2,2024-09-07 08:18:20:776,48373,48372,1,0,3981234,0,5281 120,3,2024-09-07 08:18:21:292,1,40,15,1,241,837,40,0 121,0,2024-09-07 08:18:21:723,13769,2.0,13831,1.5,27683,3.7,37573,2.50 121,1,2024-09-07 08:18:21:671,69978,69978,0,0,33071991291,352252161,68671,1068,239,370,391807,0 121,2,2024-09-07 08:18:21:130,50285,50285,0,0,4534743,0,4127 121,3,2024-09-07 08:18:20:733,1,40,8,1,101,448,40,0 122,0,2024-09-07 08:18:21:768,10820,3.4,10425,3.0,21708,3.8,29498,2.75 122,1,2024-09-07 08:18:20:862,69130,69130,0,0,33103841487,357472458,66865,1792,473,369,392130,0 122,2,2024-09-07 08:18:21:326,53783,53783,0,0,4732762,0,3364 122,3,2024-09-07 08:18:20:605,1,40,3,1,226,1163,40,0 123,0,2024-09-07 08:18:21:001,7730,0.4,7433,0.6,15474,0.2,20114,1.75 123,1,2024-09-07 08:18:20:563,69636,69636,0,0,32847954582,358470317,66308,2761,567,371,391823,0 123,2,2024-09-07 08:18:21:019,48042,48041,1,0,3281699,0,5215 123,3,2024-09-07 08:18:21:143,1,40,1,1,160,653,40,0 124,0,2024-09-07 08:18:20:939,6348,0.3,6326,0.5,12011,0.2,16226,1.75 124,1,2024-09-07 08:18:21:025,70533,70533,0,0,32909130086,342848522,70350,181,2,369,392129,0 124,2,2024-09-07 08:18:21:018,48145,48145,0,0,2354541,0,2477 124,3,2024-09-07 08:18:20:758,1,40,15,2,490,1293,40,0 125,0,2024-09-07 08:18:21:570,11552,0.7,11485,0.8,22976,0.9,30948,2.00 125,1,2024-09-07 08:18:20:857,69983,69983,0,0,32968700282,348828850,68917,1044,22,385,391702,0 125,2,2024-09-07 08:18:21:129,50016,50016,0,0,2904487,0,2180 125,3,2024-09-07 08:18:21:136,1,40,19,1,93,766,40,0 126,0,2024-09-07 08:18:21:431,13112,1.9,13352,1.3,25640,2.7,34522,2.25 126,1,2024-09-07 08:18:20:553,70588,70588,0,0,33966639681,354873742,70115,464,9,368,391719,0 126,2,2024-09-07 08:18:20:612,53905,53905,0,0,3050426,0,3186 126,3,2024-09-07 08:18:20:907,1,40,87,0,122,573,40,0 127,0,2024-09-07 08:18:21:603,7731,0.3,7650,0.5,15308,0.2,19884,1.75 127,1,2024-09-07 08:18:20:576,69938,69938,0,0,33042660258,346111981,69156,759,23,365,391614,0 127,2,2024-09-07 08:18:20:637,50698,50698,0,0,2636700,0,1803 127,3,2024-09-07 08:18:21:274,1,40,98,0,99,499,40,0 128,0,2024-09-07 08:18:21:563,7639,0.3,7753,0.5,15063,0.2,20203,1.75 128,1,2024-09-07 08:18:21:618,70247,70247,0,0,33533155880,352088790,69563,618,66,369,391605,0 128,2,2024-09-07 08:18:21:383,47099,47099,0,0,3246679,0,2107 128,3,2024-09-07 08:18:20:769,1,40,13,1,25,469,40,0 129,0,2024-09-07 08:18:21:026,10207,0.7,10481,0.8,20580,0.8,27850,2.00 129,1,2024-09-07 08:18:20:573,70027,70027,0,0,33428876194,357092146,68312,1443,272,379,391835,0 129,2,2024-09-07 08:18:20:686,49134,49134,0,0,2681568,0,2446 129,3,2024-09-07 08:18:20:692,1,40,3,1,173,829,40,0 130,0,2024-09-07 08:18:21:724,15132,1.9,15034,1.3,30018,2.4,40268,2.50 130,1,2024-09-07 08:18:20:586,70320,70320,0,0,33318786034,353727735,69074,1219,27,381,391520,0 130,2,2024-09-07 08:18:21:126,52682,52682,0,0,3581353,0,4067 130,3,2024-09-07 08:18:21:291,1,40,6,1,207,524,40,0 131,0,2024-09-07 08:18:21:939,9349,1.8,9184,1.4,18707,2.3,25302,2.25 131,1,2024-09-07 08:18:21:821,70311,70311,0,0,33771013521,357389577,69335,790,186,385,391865,0 131,2,2024-09-07 08:18:20:576,51799,51799,0,0,2954166,0,2415 131,3,2024-09-07 08:18:21:688,1,40,23,1,392,664,40,0 132,0,2024-09-07 08:18:21:432,8086,0.4,8325,0.7,16492,0.3,21711,2.00 132,1,2024-09-07 08:18:20:581,68983,68983,0,0,32458997849,352567446,66492,1787,704,383,391533,0 132,2,2024-09-07 08:18:20:698,47181,47181,0,0,4568925,0,4606 132,3,2024-09-07 08:18:21:692,1,40,11,1,356,791,40,0 133,0,2024-09-07 08:18:21:559,8601,0.7,8765,0.9,18011,0.8,23555,2.25 133,1,2024-09-07 08:18:20:595,69128,69128,0,0,32955473288,355743660,67065,1973,90,383,391513,0 133,2,2024-09-07 08:18:21:096,49687,49687,0,0,3683489,0,2444 133,3,2024-09-07 08:18:21:302,1,40,1,1,187,470,40,0 134,0,2024-09-07 08:18:20:949,14790,0.6,14683,0.8,29517,0.6,39149,2.00 134,1,2024-09-07 08:18:20:587,69730,69730,0,0,33350931383,360549533,67137,1614,979,366,391718,0 134,2,2024-09-07 08:18:21:760,50954,50954,0,0,3053975,0,2026 134,3,2024-09-07 08:18:20:749,1,40,45,1,245,777,40,0 135,0,2024-09-07 08:18:21:119,9901,2.8,9885,2.4,20921,3.0,27311,2.75 135,1,2024-09-07 08:18:21:600,69303,69303,0,0,33361042738,357227160,67505,1473,325,383,391591,0 135,2,2024-09-07 08:18:20:702,53597,53597,0,0,3585647,0,3981 135,3,2024-09-07 08:18:21:002,1,40,1,0,68,402,40,0 136,0,2024-09-07 08:18:21:646,7948,0.5,7902,0.8,15754,0.3,20864,2.25 136,1,2024-09-07 08:18:21:444,70042,70042,0,0,32772902626,350656243,68427,1474,141,384,391518,0 136,2,2024-09-07 08:18:21:140,48725,48725,0,0,2795205,0,2379 136,3,2024-09-07 08:18:21:111,1,40,9,1,108,637,40,0 137,0,2024-09-07 08:18:20:931,7213,0.4,7043,0.7,13922,0.3,18785,2.00 137,1,2024-09-07 08:18:20:589,69727,69727,0,0,33680166159,359345777,68026,1592,109,368,391608,0 137,2,2024-09-07 08:18:21:709,48159,48159,0,0,3584013,0,2294 137,3,2024-09-07 08:18:20:781,1,40,52,1,227,763,40,0 138,0,2024-09-07 08:18:21:760,11870,3.4,12237,1.9,24495,4.2,33281,3.50 138,1,2024-09-07 08:18:21:685,69566,69566,0,0,32992446131,353542427,67659,1667,240,371,391615,0 138,2,2024-09-07 08:18:20:602,50618,50618,0,0,3123094,0,3263 138,3,2024-09-07 08:18:20:625,1,40,6,1,68,358,40,0 139,0,2024-09-07 08:18:21:382,11257,5.7,11193,3.2,22703,6.1,30716,4.50 139,1,2024-09-07 08:18:20:578,69480,69480,0,0,32468455904,355657750,66835,1934,711,383,391892,0 139,2,2024-09-07 08:18:20:694,53587,53587,0,0,3703497,0,2425 139,3,2024-09-07 08:18:21:666,1,40,8,1,244,1071,40,0 140,0,2024-09-07 08:18:21:597,7660,0.4,7528,0.6,15318,0.2,20174,1.75 140,1,2024-09-07 08:18:21:537,70740,70740,0,0,34042311812,354840983,70122,427,191,365,391483,0 140,2,2024-09-07 08:18:20:688,49291,49291,0,0,3259962,0,3388 140,3,2024-09-07 08:18:20:768,1,40,8,1,25,385,40,0 141,0,2024-09-07 08:18:21:705,6534,0.2,6772,0.4,13119,0.1,17174,1.50 141,1,2024-09-07 08:18:20:861,70442,70442,0,0,33522336688,353911509,69080,1031,331,382,391538,0 141,2,2024-09-07 08:18:21:686,48613,48613,0,0,2491241,0,2342 141,3,2024-09-07 08:18:21:043,1,40,9,0,53,302,40,0 142,0,2024-09-07 08:18:21:329,11045,0.4,10929,0.6,21696,0.3,29085,1.75 142,1,2024-09-07 08:18:20:606,70233,70233,0,0,33300721879,348792900,69981,251,1,384,391649,0 142,2,2024-09-07 08:18:21:301,49680,49680,0,0,2871207,0,2293 142,3,2024-09-07 08:18:21:750,1,40,2,1,263,573,40,0 143,0,2024-09-07 08:18:21:387,13582,1.5,13556,1.2,27360,1.9,36024,2.25 143,1,2024-09-07 08:18:20:571,70244,70244,0,0,33292466806,348633468,69422,798,24,367,391608,0 143,2,2024-09-07 08:18:20:780,52800,52800,0,0,3139129,0,2669 143,3,2024-09-07 08:18:21:144,1,40,2,1,236,989,40,0 144,0,2024-09-07 08:18:21:509,8658,3.0,8983,7.9,17924,2.5,24557,2.75 144,1,2024-09-07 08:18:20:570,69711,69711,0,0,33054366850,354233217,68167,1356,188,383,391617,0 144,2,2024-09-07 08:18:21:755,51295,51295,0,0,3180159,0,3473 144,3,2024-09-07 08:18:21:738,1,40,18,1,112,674,40,0 145,0,2024-09-07 08:18:21:403,7782,0.4,7716,0.7,16363,0.3,21441,2.00 145,1,2024-09-07 08:18:20:556,68990,68990,0,0,33255957553,355688207,67362,1369,259,383,391615,0 145,2,2024-09-07 08:18:21:433,47453,47453,0,0,3673056,0,3903 145,3,2024-09-07 08:18:20:898,1,40,0,0,151,763,40,0 146,0,2024-09-07 08:18:21:672,9228,2.3,9112,1.6,18522,3.2,24497,2.75 146,1,2024-09-07 08:18:21:587,69755,69755,0,0,32639652985,350161811,67635,1821,299,370,391600,0 146,2,2024-09-07 08:18:21:696,49286,49286,0,0,2871862,0,2149 146,3,2024-09-07 08:18:21:273,1,40,1,0,1520,2848,40,0 147,0,2024-09-07 08:18:21:742,15097,3.5,14850,2.0,29392,4.8,41540,3.75 147,1,2024-09-07 08:18:21:373,70469,70469,0,0,33123175710,347013299,69739,602,128,369,391791,0 147,2,2024-09-07 08:18:21:014,52388,52388,0,0,3173387,0,2789 147,3,2024-09-07 08:18:20:912,1,40,8,1,141,644,40,0 0,0,2024-09-07 08:18:31:876,7680,0.5,7668,0.8,16121,0.5,21165,2.00 0,1,2024-09-07 08:18:30:821,71855,71855,0,0,34153949329,364102542,70676,1053,126,372,391673,0 0,2,2024-09-07 08:18:31:068,49897,49897,0,0,3374264,0,4480 0,3,2024-09-07 08:18:30:976,1,41,8,2,247,770,41,0 1,0,2024-09-07 08:18:31:853,13989,2.4,13998,1.8,28188,2.7,38074,2.75 1,1,2024-09-07 08:18:30:575,71290,71290,0,0,33385250048,357856927,69633,1132,525,372,391857,0 1,2,2024-09-07 08:18:30:640,51752,51752,0,0,3111526,0,3267 1,3,2024-09-07 08:18:31:303,1,41,14,1,167,500,41,0 2,0,2024-09-07 08:18:31:583,10860,3.4,11051,2.0,21820,5.4,29454,4.00 2,1,2024-09-07 08:18:30:860,71317,71317,0,0,34302589613,362683518,70294,820,203,382,391531,0 2,2,2024-09-07 08:18:31:266,54469,54469,0,0,3555728,0,3304 2,3,2024-09-07 08:18:30:693,1,41,2,1,87,411,41,0 3,0,2024-09-07 08:18:31:782,7923,0.4,7831,0.7,15724,0.3,20612,2.00 3,1,2024-09-07 08:18:31:619,71397,71397,0,0,33789865039,360907875,69456,1555,386,382,391494,0 3,2,2024-09-07 08:18:31:142,49484,49461,23,0,3802014,0,5851 3,3,2024-09-07 08:18:31:758,1,41,1,1,103,414,41,0 4,0,2024-09-07 08:18:31:835,6227,0.4,6485,0.6,12911,0.2,17197,1.75 4,1,2024-09-07 08:18:30:609,71515,71515,0,0,33124642959,356278893,69780,1319,416,372,391682,0 4,2,2024-09-07 08:18:31:018,49521,49521,0,0,4121305,0,4528 4,3,2024-09-07 08:18:31:026,1,41,12,1,287,858,41,0 5,0,2024-09-07 08:18:31:430,11965,1.2,11737,1.1,23999,1.7,32266,2.25 5,1,2024-09-07 08:18:30:764,71339,71339,0,0,34040725173,366098003,69202,1699,438,368,392005,0 5,2,2024-09-07 08:18:31:858,51103,51103,0,0,3285040,0,2259 5,3,2024-09-07 08:18:31:766,1,41,60,1,182,1015,41,0 6,0,2024-09-07 08:18:30:930,13067,2.9,12926,1.9,25747,5.2,34478,4.25 6,1,2024-09-07 08:18:30:749,71982,71982,0,0,34330334802,362944382,70904,1009,69,382,391603,0 6,2,2024-09-07 08:18:31:116,55093,55093,0,0,3586953,0,2411 6,3,2024-09-07 08:18:31:283,1,41,1,1,277,756,41,0 7,0,2024-09-07 08:18:31:538,7603,0.4,7608,0.6,15413,0.2,20253,1.75 7,1,2024-09-07 08:18:30:850,71534,71534,0,0,34328937268,364168683,70558,912,64,383,391558,0 7,2,2024-09-07 08:18:30:770,50904,50904,0,0,3206663,0,2981 7,3,2024-09-07 08:18:30:851,1,41,1,0,83,473,41,0 8,0,2024-09-07 08:18:31:359,7942,0.4,7719,0.6,15312,0.2,20296,1.75 8,1,2024-09-07 08:18:31:017,71305,71305,0,0,34109111280,374084345,67983,2072,1250,368,391724,0 8,2,2024-09-07 08:18:30:791,48533,48533,0,0,3539816,0,2986 8,3,2024-09-07 08:18:30:593,1,41,13,0,229,795,41,0 9,0,2024-09-07 08:18:31:116,10744,0.5,10450,0.7,21749,0.4,28712,1.75 9,1,2024-09-07 08:18:30:559,71528,71528,0,0,34717135993,372959119,69336,1627,565,370,391559,0 9,2,2024-09-07 08:18:31:083,50414,50414,0,0,3516251,0,3360 9,3,2024-09-07 08:18:31:757,1,41,7,0,149,734,41,0 10,0,2024-09-07 08:18:31:621,14987,1.8,15041,1.3,30112,3.5,39830,3.75 10,1,2024-09-07 08:18:30:598,71013,71013,0,0,33798471502,367567915,67773,2718,522,383,391541,0 10,2,2024-09-07 08:18:30:764,53406,53406,0,0,3715755,0,2940 10,3,2024-09-07 08:18:30:871,1,41,4,0,118,332,41,0 11,0,2024-09-07 08:18:31:013,9217,3.8,9004,2.4,18795,6.0,25856,3.00 11,1,2024-09-07 08:18:30:595,71588,71588,0,0,34038301682,367520954,69029,1745,814,384,391537,0 11,2,2024-09-07 08:18:31:126,52974,52974,0,0,3731686,0,2635 11,3,2024-09-07 08:18:31:303,1,41,5,0,720,2131,41,0 12,0,2024-09-07 08:18:30:986,8556,0.3,8614,0.5,17097,0.2,22581,1.75 12,1,2024-09-07 08:18:30:934,71690,71690,0,0,33070468936,350752435,70641,1025,24,372,391661,0 12,2,2024-09-07 08:18:31:549,49463,49463,0,0,2956152,0,2254 12,3,2024-09-07 08:18:31:058,1,41,9,1,358,1170,41,0 13,0,2024-09-07 08:18:31:386,9100,0.4,9295,0.6,18454,0.4,24689,1.75 13,1,2024-09-07 08:18:31:575,71987,71987,0,0,33932339979,358900871,71357,590,40,384,391563,0 13,2,2024-09-07 08:18:30:612,50855,50855,0,0,2498756,0,3287 13,3,2024-09-07 08:18:31:772,1,41,65,1,153,639,41,0 14,0,2024-09-07 08:18:30:570,15231,0.5,15249,0.7,30254,0.5,40361,1.75 14,1,2024-09-07 08:18:31:562,72398,72398,0,0,33662140838,351896386,71795,574,29,365,391546,0 14,2,2024-09-07 08:18:30:764,52161,52161,0,0,3449100,0,2793 14,3,2024-09-07 08:18:31:115,1,41,1,1,906,1208,41,0 15,0,2024-09-07 08:18:31:563,10357,3.9,10246,2.3,20479,6.3,28182,3.75 15,1,2024-09-07 08:18:31:614,72025,72025,0,0,34096356025,358018889,71479,544,2,381,391536,0 15,2,2024-09-07 08:18:31:000,54856,54856,0,0,2649625,0,3043 15,3,2024-09-07 08:18:31:408,1,41,2,0,538,1018,41,0 16,0,2024-09-07 08:18:30:970,8057,0.4,8144,0.6,16080,0.2,21290,2.00 16,1,2024-09-07 08:18:30:572,71710,71710,0,0,33249225820,354110917,70626,1062,22,372,391543,0 16,2,2024-09-07 08:18:31:462,48542,48542,0,0,3714070,0,4719 16,3,2024-09-07 08:18:31:151,1,41,16,1,231,1095,41,0 17,0,2024-09-07 08:18:31:833,7417,0.4,7402,0.7,14345,0.3,19476,2.00 17,1,2024-09-07 08:18:30:589,71396,71396,0,0,33391154716,359713028,69695,1182,519,369,391688,0 17,2,2024-09-07 08:18:31:711,50462,50462,0,0,2854961,0,2857 17,3,2024-09-07 08:18:30:599,1,41,192,0,268,1202,41,0 18,0,2024-09-07 08:18:30:960,12607,0.9,12817,1.0,25856,1.0,34466,2.25 18,1,2024-09-07 08:18:31:639,71517,71517,0,0,33885746637,358610354,70299,1000,218,369,391526,0 18,2,2024-09-07 08:18:31:757,51180,51180,0,0,3773060,0,3541 18,3,2024-09-07 08:18:30:897,1,41,2,0,163,721,41,0 19,0,2024-09-07 08:18:31:551,11426,3.3,11570,2.1,22726,6.2,30318,6.00 19,1,2024-09-07 08:18:30:585,71418,71418,0,0,34107718362,363737566,69662,1594,162,367,391696,0 19,2,2024-09-07 08:18:31:764,55278,55278,0,0,3616660,0,3988 19,3,2024-09-07 08:18:31:133,1,41,1,0,524,690,41,0 20,0,2024-09-07 08:18:31:400,7825,0.3,7846,0.5,15414,0.2,20017,2.00 20,1,2024-09-07 08:18:30:577,71379,71379,0,0,34037721405,363529717,69704,1515,160,370,391598,0 20,2,2024-09-07 08:18:30:932,49824,49824,0,0,2969675,0,2446 20,3,2024-09-07 08:18:30:590,1,41,26,1,99,698,41,0 21,0,2024-09-07 08:18:31:167,6906,0.4,6817,0.7,13517,0.3,17370,2.00 21,1,2024-09-07 08:18:31:550,71040,71040,0,0,33455643124,361128113,68899,1653,488,368,391962,0 21,2,2024-09-07 08:18:31:088,49448,49448,0,0,3214192,0,3747 21,3,2024-09-07 08:18:31:414,1,41,1,0,93,686,41,0 22,0,2024-09-07 08:18:31:763,10995,1.2,10955,1.3,21788,1.2,29809,2.50 22,1,2024-09-07 08:18:31:023,71063,71063,0,0,33219770888,361047195,68146,2192,725,382,391667,0 22,2,2024-09-07 08:18:30:760,51018,51018,0,0,3041950,0,3134 22,3,2024-09-07 08:18:31:065,1,41,1,0,62,263,41,0 23,0,2024-09-07 08:18:31:373,13428,2.6,13727,1.5,27252,3.9,36207,3.25 23,1,2024-09-07 08:18:31:007,72197,72197,0,0,34248731798,361670173,70855,1088,254,368,391496,0 23,2,2024-09-07 08:18:31:092,54837,54837,0,0,3188371,0,2078 23,3,2024-09-07 08:18:31:758,1,41,11,0,645,1161,41,0 24,0,2024-09-07 08:18:30:841,9471,0.8,9545,1.0,19003,1.4,25054,1.75 24,1,2024-09-07 08:18:30:622,72134,72134,0,0,33498582358,354026934,71347,782,5,371,391640,0 24,2,2024-09-07 08:18:31:078,51563,51563,0,0,4250773,0,2942 24,3,2024-09-07 08:18:31:700,1,41,10,1,234,779,41,0 25,0,2024-09-07 08:18:31:417,8520,0.3,8413,0.5,16211,0.2,22072,1.75 25,1,2024-09-07 08:18:30:577,71442,71442,0,0,34151398142,362322217,70369,1026,47,373,391561,0 25,2,2024-09-07 08:18:31:631,48787,48787,0,0,3644147,0,3284 25,3,2024-09-07 08:18:31:005,1,41,8,1,158,517,41,0 26,0,2024-09-07 08:18:31:781,9475,0.6,9291,0.8,19574,0.7,25978,2.00 26,1,2024-09-07 08:18:31:549,71326,71326,0,0,33770658147,366496525,68371,2159,796,382,391542,0 26,2,2024-09-07 08:18:30:862,50612,50612,0,0,3599498,0,2809 26,3,2024-09-07 08:18:31:738,1,41,17,0,796,1131,41,0 27,0,2024-09-07 08:18:31:739,15468,1.6,15517,1.2,31119,2.1,41921,2.50 27,1,2024-09-07 08:18:31:679,72512,72512,0,0,34383606236,358930230,72242,269,1,381,391526,0 27,2,2024-09-07 08:18:30:871,52384,52384,0,0,4776318,0,3409 27,3,2024-09-07 08:18:31:016,1,41,50,1,86,408,41,0 28,0,2024-09-07 08:18:31:400,10080,1.1,10203,1.1,20168,1.4,27139,2.00 28,1,2024-09-07 08:18:30:807,72174,72174,0,0,34495932347,362565028,71660,505,9,383,391500,0 28,2,2024-09-07 08:18:31:829,53745,53745,0,0,2906171,0,2609 28,3,2024-09-07 08:18:31:791,1,41,15,1,502,1028,41,0 29,0,2024-09-07 08:18:31:372,8405,0.3,8251,0.5,16341,0.2,21834,1.75 29,1,2024-09-07 08:18:31:582,72606,72606,0,0,34024624343,354543680,71994,440,172,369,391621,0 29,2,2024-09-07 08:18:30:862,49397,49397,0,0,2176354,0,2026 29,3,2024-09-07 08:18:30:964,1,41,1,1,105,517,41,0 30,0,2024-09-07 08:18:31:464,7901,0.3,7678,0.5,15807,0.2,21128,1.75 30,1,2024-09-07 08:18:30:589,71743,71743,0,0,34734606678,366261219,70834,844,65,382,391524,0 30,2,2024-09-07 08:18:31:285,50565,50565,0,0,2316019,0,2534 30,3,2024-09-07 08:18:30:599,1,41,1,0,195,428,41,0 31,0,2024-09-07 08:18:31:778,14337,0.6,14352,0.7,28781,0.5,38174,2.00 31,1,2024-09-07 08:18:30:565,72039,72039,0,0,34649982488,357697454,71896,141,2,356,391553,0 31,2,2024-09-07 08:18:31:289,52045,52045,0,0,3549676,0,3525 31,3,2024-09-07 08:18:31:711,1,41,1,0,129,388,41,0 32,0,2024-09-07 08:18:31:434,11124,2.6,11203,1.5,22515,3.8,30095,2.00 32,1,2024-09-07 08:18:30:808,71847,71847,0,0,33866986194,357863817,70853,966,28,382,391595,0 32,2,2024-09-07 08:18:30:937,55434,55434,0,0,3367659,0,3155 32,3,2024-09-07 08:18:31:015,1,41,12,0,110,553,41,0 33,0,2024-09-07 08:18:31:506,7919,0.3,7873,0.5,15844,0.2,20584,1.75 33,1,2024-09-07 08:18:30:592,71588,71588,0,0,34359764905,363970498,69938,1527,123,369,391497,0 33,2,2024-09-07 08:18:30:760,48818,48785,33,0,4436376,0,7012 33,3,2024-09-07 08:18:30:897,1,41,1,1,51,219,41,0 34,0,2024-09-07 08:18:30:937,6425,0.2,6561,0.4,12649,0.1,17076,1.50 34,1,2024-09-07 08:18:31:046,72151,72151,0,0,34500233698,359677626,71713,435,3,368,391517,0 34,2,2024-09-07 08:18:30:766,49126,49126,0,0,3628744,0,3255 34,3,2024-09-07 08:18:31:694,1,41,64,0,64,426,41,0 35,0,2024-09-07 08:18:30:879,11731,1.3,11820,1.0,23729,1.9,32062,2.00 35,1,2024-09-07 08:18:31:068,71706,71706,0,0,33881989893,357798308,70278,1067,361,384,391587,0 35,2,2024-09-07 08:18:31:586,51140,51140,0,0,3173434,0,2542 35,3,2024-09-07 08:18:30:913,1,41,1,0,219,644,41,0 36,0,2024-09-07 08:18:31:539,13032,3.0,12850,1.9,26282,4.2,34585,3.25 36,1,2024-09-07 08:18:30:595,71178,71178,0,0,33645653449,364294805,68169,2078,931,369,391535,0 36,2,2024-09-07 08:18:31:763,54857,54857,0,0,3687413,0,3303 36,3,2024-09-07 08:18:30:865,1,41,16,0,378,909,41,0 37,0,2024-09-07 08:18:31:382,7769,0.3,7698,0.6,15567,0.2,20299,2.00 37,1,2024-09-07 08:18:30:574,70916,70909,0,7,34070718192,372035008,68074,1318,1517,365,391507,0 37,2,2024-09-07 08:18:31:147,51278,51278,0,0,2835381,0,2333 37,3,2024-09-07 08:18:31:775,1,41,1,0,724,1411,41,0 38,0,2024-09-07 08:18:31:446,7807,0.3,7533,0.6,15645,0.2,20309,1.75 38,1,2024-09-07 08:18:31:613,71685,71685,0,0,33935230819,363772868,69558,1680,447,370,391512,0 38,2,2024-09-07 08:18:30:763,49198,49198,0,0,3502189,0,3245 38,3,2024-09-07 08:18:31:001,1,41,7,0,603,971,41,0 39,0,2024-09-07 08:18:31:781,11068,0.7,10893,0.8,21289,0.9,28921,2.00 39,1,2024-09-07 08:18:30:728,71557,71557,0,0,33659121116,360252509,69135,1785,637,366,391524,0 39,2,2024-09-07 08:18:31:428,50501,50501,0,0,3036393,0,2381 39,3,2024-09-07 08:18:30:724,1,41,5,0,189,786,41,0 40,0,2024-09-07 08:18:31:494,14618,2.9,14859,2.8,29270,5.2,39449,4.00 40,1,2024-09-07 08:18:30:589,71722,71722,0,0,33237951943,357259982,69351,1758,613,371,391591,0 40,2,2024-09-07 08:18:31:303,53210,53209,1,0,4122367,0,5137 40,3,2024-09-07 08:18:31:143,1,41,7,1,63,443,41,0 41,0,2024-09-07 08:18:31:030,8905,3.4,9202,7.8,17698,7.1,24702,4.25 41,1,2024-09-07 08:18:30:768,71513,71513,0,0,33984466304,363244910,69666,1576,271,370,391483,0 41,2,2024-09-07 08:18:30:766,52563,52563,0,0,4418130,0,3356 41,3,2024-09-07 08:18:31:677,1,41,4,1,107,383,41,0 42,0,2024-09-07 08:18:31:483,8434,0.5,8525,0.8,16980,0.3,22567,2.25 42,1,2024-09-07 08:18:31:440,71485,71485,0,0,34090172268,368576470,69089,1693,703,381,391511,0 42,2,2024-09-07 08:18:31:136,49245,49245,0,0,3558785,0,3568 42,3,2024-09-07 08:18:31:013,1,41,1,0,100,462,41,0 43,0,2024-09-07 08:18:30:923,9093,2.5,8853,1.6,18472,3.6,24656,2.50 43,1,2024-09-07 08:18:30:585,71574,71574,0,0,34486639779,367478518,69822,1160,592,367,391604,0 43,2,2024-09-07 08:18:31:764,50481,50481,0,0,3625212,0,3812 43,3,2024-09-07 08:18:31:757,1,41,1,1,292,1111,41,0 44,0,2024-09-07 08:18:30:877,15075,0.5,15129,0.7,30061,0.5,40345,1.75 44,1,2024-09-07 08:18:30:584,72116,72116,0,0,33687492256,351103532,71651,456,9,357,391493,0 44,2,2024-09-07 08:18:31:271,52125,52125,0,0,2420802,0,1592 44,3,2024-09-07 08:18:31:093,1,41,8,1,817,1228,41,0 45,0,2024-09-07 08:18:31:785,10232,4.1,10073,2.2,21018,6.1,28465,2.25 45,1,2024-09-07 08:18:31:005,71974,71974,0,0,34442015991,360126084,71690,284,0,382,391917,0 45,2,2024-09-07 08:18:31:279,55060,55060,0,0,3261354,0,2628 45,3,2024-09-07 08:18:30:934,1,41,1,1,226,537,41,0 46,0,2024-09-07 08:18:30:973,8047,0.3,8135,0.5,16350,0.2,21338,1.75 46,1,2024-09-07 08:18:30:578,72071,72071,0,0,34298355977,357363010,71502,543,26,368,391514,0 46,2,2024-09-07 08:18:30:600,49496,49496,0,0,2757049,0,2920 46,3,2024-09-07 08:18:31:131,1,41,2,0,200,689,41,0 47,0,2024-09-07 08:18:31:113,7257,0.2,7394,0.4,14619,0.1,19480,1.50 47,1,2024-09-07 08:18:30:591,72256,72256,0,0,33733987269,350484795,71885,368,3,367,391489,0 47,2,2024-09-07 08:18:30:908,50473,50473,0,0,2845608,0,2558 47,3,2024-09-07 08:18:31:115,1,41,2,1,529,1011,41,0 48,0,2024-09-07 08:18:31:497,13010,0.5,12950,0.6,25626,0.5,34790,1.75 48,1,2024-09-07 08:18:31:022,71771,71771,0,0,34553609294,365404755,70577,1189,5,386,391637,0 48,2,2024-09-07 08:18:30:723,51911,51911,0,0,2539096,0,2083 48,3,2024-09-07 08:18:30:753,1,41,22,1,23,405,41,0 49,0,2024-09-07 08:18:31:722,11995,3.1,11836,1.7,22953,5.1,31678,2.50 49,1,2024-09-07 08:18:31:021,71663,71663,0,0,33867378424,361937568,69928,1026,709,382,391583,0 49,2,2024-09-07 08:18:31:815,55350,55350,0,0,3636356,0,3900 49,3,2024-09-07 08:18:31:427,1,41,1,0,274,677,41,0 50,0,2024-09-07 08:18:31:517,7843,0.3,7729,0.5,15402,0.2,20404,1.75 50,1,2024-09-07 08:18:31:014,72438,72438,0,0,34734960561,367058261,70775,1366,297,369,391530,0 50,2,2024-09-07 08:18:31:066,50321,50321,0,0,2677095,0,2253 50,3,2024-09-07 08:18:31:297,1,41,2,1,335,691,41,0 51,0,2024-09-07 08:18:31:700,6977,0.2,6775,0.4,13328,0.1,17582,1.50 51,1,2024-09-07 08:18:31:683,71297,71297,0,0,35010261206,371522588,69522,993,782,367,391520,0 51,2,2024-09-07 08:18:31:315,49430,49430,0,0,2266710,0,2448 51,3,2024-09-07 08:18:31:026,1,41,1,0,162,431,41,0 52,0,2024-09-07 08:18:31:432,11209,1.6,11218,1.4,22546,2.1,30125,3.25 52,1,2024-09-07 08:18:30:578,71213,71213,0,0,33732629859,364580310,68738,2011,464,368,391498,0 52,2,2024-09-07 08:18:31:769,50930,50930,0,0,3531884,0,4779 52,3,2024-09-07 08:18:30:680,1,41,12,2,1782,2284,41,0 53,0,2024-09-07 08:18:31:741,13430,3.4,12971,2.1,26716,5.0,35392,3.75 53,1,2024-09-07 08:18:30:836,71410,71410,0,0,34020960772,367390922,68811,2025,574,370,391617,0 53,2,2024-09-07 08:18:31:303,54817,54817,0,0,3002920,0,1795 53,3,2024-09-07 08:18:30:706,1,41,1,1,59,281,41,0 54,0,2024-09-07 08:18:31:629,8594,10.0,8589,6.6,17219,2.8,23930,5.50 54,1,2024-09-07 08:18:30:580,71492,71492,0,0,33918464658,361693916,69593,1605,294,367,391520,0 54,2,2024-09-07 08:18:30:865,52407,52401,6,0,3847930,0,5382 54,3,2024-09-07 08:18:30:764,1,41,9,0,676,1391,41,0 55,0,2024-09-07 08:18:31:798,8135,0.5,8298,0.8,16892,0.3,21888,2.25 55,1,2024-09-07 08:18:30:765,70954,70954,0,0,33604490161,362772597,67533,2719,702,369,391731,0 55,2,2024-09-07 08:18:30:737,48992,48992,0,0,3446219,0,3275 55,3,2024-09-07 08:18:30:680,1,41,1,0,136,621,41,0 56,0,2024-09-07 08:18:31:620,9734,3.1,9211,2.0,18984,4.1,25785,3.25 56,1,2024-09-07 08:18:30:597,71315,71315,0,0,34603607243,376508114,68391,2229,695,384,391536,0 56,2,2024-09-07 08:18:31:313,50907,50907,0,0,3567638,0,3567 56,3,2024-09-07 08:18:31:062,1,41,22,2,297,754,41,0 57,0,2024-09-07 08:18:30:972,15051,4.1,14780,2.4,29779,6.2,40662,5.00 57,1,2024-09-07 08:18:30:991,71746,71746,0,0,33022619732,350753408,70722,1010,14,368,391760,0 57,2,2024-09-07 08:18:31:320,52889,52889,0,0,3784840,0,2687 57,3,2024-09-07 08:18:31:757,1,41,60,1,359,1181,41,0 58,0,2024-09-07 08:18:30:579,9236,1.6,9000,1.5,18824,2.1,25181,3.25 58,1,2024-09-07 08:18:30:582,72083,72082,0,1,34153487430,361677068,70715,1210,157,371,391516,1 58,2,2024-09-07 08:18:31:071,54247,54247,0,0,3682274,0,2464 58,3,2024-09-07 08:18:31:068,1,41,21,1,219,696,41,0 59,0,2024-09-07 08:18:31:772,8230,1.0,8205,1.1,16577,1.0,21555,2.50 59,1,2024-09-07 08:18:30:814,71448,71448,0,0,33638484912,357033601,70261,1139,48,371,391515,0 59,2,2024-09-07 08:18:30:593,49197,49197,0,0,3038690,0,2604 59,3,2024-09-07 08:18:31:757,1,41,1,0,1015,1253,41,0 60,0,2024-09-07 08:18:31:769,7886,0.3,7913,0.6,15681,0.2,21223,1.75 60,1,2024-09-07 08:18:30:783,72573,72573,0,0,34357469007,361151475,71643,586,344,370,391672,0 60,2,2024-09-07 08:18:31:150,50486,50486,0,0,2327117,0,2142 60,3,2024-09-07 08:18:31:260,1,41,9,0,124,592,41,0 61,0,2024-09-07 08:18:31:539,14180,1.3,14172,1.2,28114,2.1,37939,2.00 61,1,2024-09-07 08:18:30:771,71624,71624,0,0,33687792792,360145423,69674,1479,471,383,391589,0 61,2,2024-09-07 08:18:31:116,52272,52272,0,0,2918493,0,1846 61,3,2024-09-07 08:18:31:689,1,41,21,1,124,548,41,0 62,0,2024-09-07 08:18:31:716,11144,3.0,11490,1.7,22040,4.8,30144,3.00 62,1,2024-09-07 08:18:31:113,72163,72159,0,4,34566756969,360831291,71420,737,2,366,391586,4 62,2,2024-09-07 08:18:31:650,54754,54754,0,0,3511796,0,2931 62,3,2024-09-07 08:18:31:147,1,41,1,0,287,578,41,0 63,0,2024-09-07 08:18:31:458,7833,0.5,7830,0.8,15709,0.4,20668,2.00 63,1,2024-09-07 08:18:30:811,72458,72455,0,3,34201722198,356491061,72178,276,1,382,391540,3 63,2,2024-09-07 08:18:30:763,49417,49417,0,0,2844031,0,2674 63,3,2024-09-07 08:18:31:757,1,41,2,0,216,749,41,0 64,0,2024-09-07 08:18:31:578,6358,0.5,6376,0.8,12838,0.3,17028,2.00 64,1,2024-09-07 08:18:30:775,71656,71656,0,0,34211261841,369097125,69229,1634,793,371,391604,0 64,2,2024-09-07 08:18:31:143,50023,50004,19,0,3451403,0,6121 64,3,2024-09-07 08:18:31:141,1,41,9,1,163,573,41,0 65,0,2024-09-07 08:18:31:704,11598,3.8,11747,2.2,23178,5.4,31672,4.75 65,1,2024-09-07 08:18:30:889,71599,71599,0,0,33724251442,360732310,69900,1480,219,382,391506,0 65,2,2024-09-07 08:18:31:700,51198,51198,0,0,3655677,0,3367 65,3,2024-09-07 08:18:31:689,1,41,32,1,163,664,41,0 66,0,2024-09-07 08:18:31:796,12560,2.5,12666,1.7,25457,4.5,33973,4.25 66,1,2024-09-07 08:18:31:317,72752,72752,0,0,34478626718,361213947,72106,627,19,381,391537,0 66,2,2024-09-07 08:18:31:132,55394,55394,0,0,3352227,0,3867 66,3,2024-09-07 08:18:31:090,1,41,9,1,291,692,41,0 67,0,2024-09-07 08:18:31:428,7750,0.7,7781,0.9,15325,0.7,20205,2.50 67,1,2024-09-07 08:18:30:768,71446,71445,0,1,33556179214,360386831,69457,1463,525,383,391787,1 67,2,2024-09-07 08:18:30:589,51655,51655,0,0,3008189,0,2889 67,3,2024-09-07 08:18:31:768,1,41,1,0,138,544,41,0 68,0,2024-09-07 08:18:30:641,7806,0.4,7724,0.8,15590,0.3,20194,2.00 68,1,2024-09-07 08:18:30:578,71398,71398,0,0,34077423884,364248403,70009,867,522,383,391953,0 68,2,2024-09-07 08:18:31:048,49297,49297,0,0,3112654,0,4883 68,3,2024-09-07 08:18:30:727,1,41,8,1,63,455,41,0 69,0,2024-09-07 08:18:31:759,10646,2.4,10550,1.7,21015,3.7,28727,3.25 69,1,2024-09-07 08:18:31:019,70915,70915,0,0,33856512668,367762731,68997,1054,864,386,391530,0 69,2,2024-09-07 08:18:31:749,50971,50971,0,0,3334421,0,3153 69,3,2024-09-07 08:18:30:762,1,41,6,0,238,826,41,0 70,0,2024-09-07 08:18:31:548,14667,4.5,14648,3.4,29619,4.7,39040,5.50 70,1,2024-09-07 08:18:30:804,71987,71987,0,0,34672437252,364405680,71093,760,134,369,391527,0 70,2,2024-09-07 08:18:31:325,53574,53574,0,0,3752335,0,4044 70,3,2024-09-07 08:18:30:751,1,41,3,1,178,370,41,0 71,0,2024-09-07 08:18:31:366,9055,3.7,9009,6.1,17727,6.0,24981,5.00 71,1,2024-09-07 08:18:31:618,71286,71286,0,0,34046883635,363819950,69211,1761,314,368,391682,0 71,2,2024-09-07 08:18:31:067,53027,53027,0,0,3213743,0,2146 71,3,2024-09-07 08:18:31:762,1,41,3,1,174,425,41,0 72,0,2024-09-07 08:18:31:036,8711,0.4,8439,0.7,16785,0.3,22615,2.75 72,1,2024-09-07 08:18:31:024,71709,71709,0,0,33486854710,355556374,70208,1426,75,370,391514,0 72,2,2024-09-07 08:18:31:768,48374,48374,0,0,4106317,0,2570 72,3,2024-09-07 08:18:31:755,1,41,30,1,325,1042,41,0 73,0,2024-09-07 08:18:31:122,8915,0.7,9154,0.9,18548,0.8,24518,2.75 73,1,2024-09-07 08:18:30:772,71874,71874,0,0,33697616559,354038512,71392,471,11,368,391627,0 73,2,2024-09-07 08:18:31:768,50420,50420,0,0,3746011,0,3482 73,3,2024-09-07 08:18:30:970,1,41,1,0,274,1079,41,0 74,0,2024-09-07 08:18:31:336,15112,0.6,15447,0.9,29710,0.6,40258,2.75 74,1,2024-09-07 08:18:30:644,71706,71706,0,0,33854266566,359321998,70378,997,331,382,391497,0 74,2,2024-09-07 08:18:31:015,51835,51835,0,0,4400282,0,4253 74,3,2024-09-07 08:18:31:445,1,41,2,0,192,906,41,0 75,0,2024-09-07 08:18:31:791,10386,4.0,10477,2.2,20764,5.6,28499,3.50 75,1,2024-09-07 08:18:31:586,72141,72141,0,0,34036283365,360861576,71019,1046,76,382,391514,0 75,2,2024-09-07 08:18:31:355,54574,54574,0,0,4100959,0,4766 75,3,2024-09-07 08:18:31:067,1,41,2,0,143,342,41,0 76,0,2024-09-07 08:18:30:638,8101,0.4,8086,0.6,16030,0.3,21088,2.25 76,1,2024-09-07 08:18:30:811,71787,71787,0,0,33346452691,355096965,70375,973,439,382,391530,0 76,2,2024-09-07 08:18:31:065,49593,49593,0,0,2564387,0,3064 76,3,2024-09-07 08:18:31:142,1,41,8,0,175,874,41,0 77,0,2024-09-07 08:18:31:704,7276,0.3,7278,0.6,14645,0.2,19454,2.00 77,1,2024-09-07 08:18:30:827,71774,71774,0,0,33326776464,350692973,71030,677,67,383,391512,0 77,2,2024-09-07 08:18:31:288,50125,50125,0,0,2361548,0,1695 77,3,2024-09-07 08:18:31:099,1,41,1,0,139,502,41,0 78,0,2024-09-07 08:18:31:724,12808,2.2,12637,1.5,25499,4.1,34390,2.75 78,1,2024-09-07 08:18:30:613,72567,72567,0,0,33063892874,348484451,71683,841,43,368,391589,0 78,2,2024-09-07 08:18:31:414,51792,51792,0,0,2582691,0,2114 78,3,2024-09-07 08:18:31:133,1,41,77,0,181,791,41,0 79,0,2024-09-07 08:18:31:355,11287,2.5,11607,1.6,23575,4.4,30749,4.50 79,1,2024-09-07 08:18:30:595,72010,72010,0,0,34041044098,357139524,71128,875,7,370,391494,0 79,2,2024-09-07 08:18:31:071,55232,55232,0,0,2968002,0,2679 79,3,2024-09-07 08:18:30:758,1,41,3,0,289,632,41,0 80,0,2024-09-07 08:18:31:108,7702,0.5,7954,0.8,15270,0.4,20289,2.25 80,1,2024-09-07 08:18:31:619,71268,71268,0,0,34133364642,361873054,69705,1448,115,371,391673,0 80,2,2024-09-07 08:18:31:097,49781,49781,0,0,3391397,0,4433 80,3,2024-09-07 08:18:30:584,1,41,25,1,148,875,41,0 81,0,2024-09-07 08:18:31:543,6809,0.5,6972,0.8,13308,0.4,17571,2.75 81,1,2024-09-07 08:18:31:651,71729,71729,0,0,33247959076,355018599,70012,1520,197,383,391646,0 81,2,2024-09-07 08:18:31:127,49145,49145,0,0,3420271,0,3993 81,3,2024-09-07 08:18:31:117,1,41,5,1,193,679,41,0 82,0,2024-09-07 08:18:31:544,11125,2.3,11052,1.6,22198,3.7,30288,2.50 82,1,2024-09-07 08:18:30:593,71364,71363,0,1,34154701383,364540222,69337,1454,572,384,391558,1 82,2,2024-09-07 08:18:31:705,51840,51840,0,0,2614809,0,2230 82,3,2024-09-07 08:18:31:758,1,41,1,0,211,679,41,0 83,0,2024-09-07 08:18:31:537,13475,2.6,13421,2.0,26515,3.0,35106,3.75 83,1,2024-09-07 08:18:30:552,71788,71788,0,0,33949200790,361432974,70393,1295,100,383,391553,0 83,2,2024-09-07 08:18:30:765,53882,53882,0,0,3529460,0,3119 83,3,2024-09-07 08:18:30:749,1,41,1,0,91,457,41,0 84,0,2024-09-07 08:18:31:844,8952,7.0,8868,6.8,17764,2.0,24504,4.00 84,1,2024-09-07 08:18:31:139,71572,71572,0,0,33886036445,362628136,70013,1315,244,369,391630,0 84,2,2024-09-07 08:18:30:580,51830,51830,0,0,3608764,0,3801 84,3,2024-09-07 08:18:31:141,1,41,8,1,43,423,41,0 85,0,2024-09-07 08:18:31:040,8084,0.4,8113,0.7,17135,0.3,21982,2.00 85,1,2024-09-07 08:18:30:575,70977,70977,0,0,34303552249,371347278,68550,2004,423,384,391505,0 85,2,2024-09-07 08:18:30:871,48756,48756,0,0,3566688,0,3656 85,3,2024-09-07 08:18:30:696,1,41,5,1,115,529,41,0 86,0,2024-09-07 08:18:30:889,9486,1.3,9883,1.1,19062,1.5,25939,2.25 86,1,2024-09-07 08:18:30:839,71208,71208,0,0,34062735717,363590875,69461,1534,213,367,391522,0 86,2,2024-09-07 08:18:30:858,50575,50574,1,0,3816967,0,5004 86,3,2024-09-07 08:18:30:588,1,41,1,1,199,731,41,0 87,0,2024-09-07 08:18:31:343,15341,3.5,15266,2.1,30792,4.5,41683,3.75 87,1,2024-09-07 08:18:30:551,71461,71461,0,0,33924481275,362790789,69905,1437,119,369,391671,0 87,2,2024-09-07 08:18:31:069,53063,53063,0,0,3087800,0,2859 87,3,2024-09-07 08:18:31:815,1,41,5,1,322,961,41,0 88,0,2024-09-07 08:18:31:483,10092,1.5,10157,1.3,20101,2.1,27123,2.25 88,1,2024-09-07 08:18:30:589,71573,71573,0,0,34127151175,362748538,70460,1020,93,367,391747,0 88,2,2024-09-07 08:18:30:696,54163,54163,0,0,4049867,0,3583 88,3,2024-09-07 08:18:31:267,1,41,11,1,77,637,41,0 89,0,2024-09-07 08:18:31:940,8483,0.3,8255,0.6,16304,0.3,21949,1.75 89,1,2024-09-07 08:18:30:573,71194,71194,0,0,34427332171,371861156,69051,1487,656,383,391866,0 89,2,2024-09-07 08:18:31:136,48777,48777,0,0,3294254,0,2726 89,3,2024-09-07 08:18:31:827,1,41,230,0,325,1228,41,0 90,0,2024-09-07 08:18:31:637,7614,0.3,7742,0.5,15938,0.2,21147,1.75 90,1,2024-09-07 08:18:30:597,71446,71446,0,0,33650911270,362484874,69425,1883,138,382,391825,0 90,2,2024-09-07 08:18:31:415,49655,49655,0,0,4155193,0,2635 90,3,2024-09-07 08:18:30:945,1,41,1,1,200,672,41,0 91,0,2024-09-07 08:18:30:950,14366,0.5,13970,0.7,28870,0.6,38295,2.00 91,1,2024-09-07 08:18:30:556,71417,71417,0,0,34332873244,369036562,69135,1922,360,384,391914,0 91,2,2024-09-07 08:18:31:333,51356,51356,0,0,3315900,0,1997 91,3,2024-09-07 08:18:30:599,1,41,5,1,155,590,41,0 92,0,2024-09-07 08:18:31:465,11296,2.3,11594,1.5,22268,4.0,30252,2.00 92,1,2024-09-07 08:18:30:591,71845,71845,0,0,34010804466,356709786,71430,368,47,383,391569,0 92,2,2024-09-07 08:18:31:380,56278,56278,0,0,3229238,0,2279 92,3,2024-09-07 08:18:31:015,1,41,13,1,68,547,41,0 93,0,2024-09-07 08:18:30:982,7831,0.4,7991,0.6,15241,0.3,20530,1.75 93,1,2024-09-07 08:18:30:817,71319,71319,0,0,33926011693,360766935,69874,1014,431,368,391689,0 93,2,2024-09-07 08:18:30:938,49485,49485,0,0,2571123,0,2509 93,3,2024-09-07 08:18:31:414,1,41,125,1,143,548,41,0 94,0,2024-09-07 08:18:31:631,6496,0.2,6430,0.4,12773,0.2,17078,1.50 94,1,2024-09-07 08:18:30:571,71443,71443,0,0,33825398439,359292904,70290,1095,58,381,391850,0 94,2,2024-09-07 08:18:30:767,49636,49636,0,0,2735723,0,2443 94,3,2024-09-07 08:18:31:706,1,41,3,1,231,985,41,0 95,0,2024-09-07 08:18:31:419,11800,0.5,11841,0.7,24291,0.5,32073,1.75 95,1,2024-09-07 08:18:30:872,71968,71968,0,0,33984343123,356047888,71621,342,5,368,391590,0 95,2,2024-09-07 08:18:31:019,51397,51397,0,0,2863276,0,3308 95,3,2024-09-07 08:18:31:714,1,41,227,0,307,1029,41,0 96,0,2024-09-07 08:18:31:043,13245,2.0,13067,1.3,26351,3.1,34427,2.25 96,1,2024-09-07 08:18:31:978,71750,71750,0,0,33843867049,363301440,69940,1242,568,385,391551,0 96,2,2024-09-07 08:18:31:269,55198,55198,0,0,3842787,0,4038 96,3,2024-09-07 08:18:31:141,1,41,17,2,36,527,41,0 97,0,2024-09-07 08:18:31:379,7764,0.6,7717,0.8,15360,0.8,20242,2.00 97,1,2024-09-07 08:18:30:769,71471,71471,0,0,34106663452,364236867,69346,1609,516,367,391626,0 97,2,2024-09-07 08:18:30:615,50677,50677,0,0,3093437,0,3036 97,3,2024-09-07 08:18:30:578,1,41,7,1,165,598,41,0 98,0,2024-09-07 08:18:31:705,7722,0.2,7680,0.4,15619,0.2,20229,1.50 98,1,2024-09-07 08:18:30:582,71570,71570,0,0,33756001226,358465471,70512,1015,43,382,391588,0 98,2,2024-09-07 08:18:30:783,49604,49604,0,0,2990014,0,3080 98,3,2024-09-07 08:18:30:716,1,41,1,1,155,550,41,0 99,0,2024-09-07 08:18:31:513,10635,0.5,10512,0.6,21354,0.4,28800,1.75 99,1,2024-09-07 08:18:31:735,72158,72158,0,0,33312401564,353788072,70848,872,438,381,391602,0 99,2,2024-09-07 08:18:31:419,51439,51439,0,0,3152715,0,1858 99,3,2024-09-07 08:18:30:581,1,41,0,0,129,467,41,0 100,0,2024-09-07 08:18:31:497,14917,3.1,14888,3.4,29710,5.4,39901,3.00 100,1,2024-09-07 08:18:30:562,71230,71230,0,0,33333708640,363787805,68602,2285,343,382,391585,0 100,2,2024-09-07 08:18:31:824,53046,53035,11,0,4498383,0,5417 100,3,2024-09-07 08:18:31:757,1,41,18,1,443,1553,41,0 101,0,2024-09-07 08:18:31:831,9475,6.2,9212,3.5,18324,4.0,25904,3.50 101,1,2024-09-07 08:18:30:571,71263,71263,0,0,33980743398,363914120,69820,995,448,370,391531,0 101,2,2024-09-07 08:18:31:788,53310,53310,0,0,3809156,0,4644 101,3,2024-09-07 08:18:30:957,1,41,7,1,448,820,41,0 102,0,2024-09-07 08:18:30:981,8352,0.6,8564,0.7,17163,0.4,22494,2.00 102,1,2024-09-07 08:18:31:142,71620,71620,0,0,34224739435,368083876,69477,1698,445,369,391647,0 102,2,2024-09-07 08:18:31:739,49088,49088,0,0,2549308,0,1945 102,3,2024-09-07 08:18:31:621,1,41,9,0,410,699,41,0 103,0,2024-09-07 08:18:31:631,9345,0.9,9354,1.0,17802,1.2,24770,2.25 103,1,2024-09-07 08:18:31:636,71770,71770,0,0,33957266888,360798436,70689,940,141,381,391680,0 103,2,2024-09-07 08:18:30:589,50664,50664,0,0,3178197,0,2104 103,3,2024-09-07 08:18:30:758,1,41,15,1,486,930,41,0 104,0,2024-09-07 08:18:31:022,15187,1.1,15425,1.1,29447,1.2,40040,2.25 104,1,2024-09-07 08:18:31:627,71876,71876,0,0,33819208986,363831522,70019,1532,325,368,391948,0 104,2,2024-09-07 08:18:31:671,51751,51751,0,0,3803886,0,3941 104,3,2024-09-07 08:18:31:423,1,41,55,2,1245,3146,41,0 105,0,2024-09-07 08:18:31:080,10265,3.6,9883,2.3,20490,6.0,28183,4.25 105,1,2024-09-07 08:18:30:560,71403,71403,0,0,34299709496,369607338,68949,1855,599,367,391797,0 105,2,2024-09-07 08:18:31:328,54256,54256,0,0,3657762,0,3314 105,3,2024-09-07 08:18:31:307,1,41,182,1,182,973,41,0 106,0,2024-09-07 08:18:30:954,7869,0.4,8055,0.7,16309,0.2,21266,2.00 106,1,2024-09-07 08:18:31:755,71672,71672,0,0,34090286080,365832171,69627,1767,278,371,391767,0 106,2,2024-09-07 08:18:30:760,48993,48993,0,0,3161283,0,1818 106,3,2024-09-07 08:18:30:678,1,41,6,1,201,625,41,0 107,0,2024-09-07 08:18:31:169,7353,0.3,7310,0.6,14524,0.2,19322,1.75 107,1,2024-09-07 08:18:30:589,71410,71410,0,0,33724598844,362037269,69808,1434,168,382,392234,0 107,2,2024-09-07 08:18:31:296,49999,49998,1,0,3154732,0,5024 107,3,2024-09-07 08:18:31:758,1,41,2,0,353,917,41,0 108,0,2024-09-07 08:18:31:873,12858,1.1,12981,1.0,25762,1.5,34648,1.75 108,1,2024-09-07 08:18:31:330,71510,71510,0,0,34335056426,363964550,70167,1211,132,371,391857,0 108,2,2024-09-07 08:18:31:781,51872,51872,0,0,3205927,0,2647 108,3,2024-09-07 08:18:31:333,1,41,13,1,60,585,41,0 109,0,2024-09-07 08:18:31:829,11931,1.8,12016,1.2,23323,3.0,31729,2.25 109,1,2024-09-07 08:18:30:621,71863,71863,0,0,34247841666,363919355,70982,827,54,385,391664,0 109,2,2024-09-07 08:18:30:930,54466,54466,0,0,3534607,0,3617 109,3,2024-09-07 08:18:31:141,1,41,2,1,120,674,41,0 110,0,2024-09-07 08:18:31:790,7797,0.3,7593,0.5,15848,0.2,20325,1.75 110,1,2024-09-07 08:18:31:643,71724,71724,0,0,33990326106,357826877,70657,784,283,370,391564,0 110,2,2024-09-07 08:18:31:308,50445,50445,0,0,2709137,0,2915 110,3,2024-09-07 08:18:30:696,1,41,1,0,183,452,41,0 111,0,2024-09-07 08:18:31:421,6661,0.2,6819,0.4,13298,0.1,17473,1.50 111,1,2024-09-07 08:18:31:002,72346,72346,0,0,34876250690,362992329,71962,371,13,382,391690,0 111,2,2024-09-07 08:18:31:116,49573,49573,0,0,2918245,0,2763 111,3,2024-09-07 08:18:30:913,1,41,1,0,119,861,41,0 112,0,2024-09-07 08:18:30:938,11288,0.7,11301,0.7,22467,0.6,30577,2.00 112,1,2024-09-07 08:18:30:838,71827,71827,0,0,33895290400,360579977,70334,1147,346,382,391522,0 112,2,2024-09-07 08:18:31:134,51017,51016,1,0,3627142,0,5036 112,3,2024-09-07 08:18:30:591,1,41,8,1,282,655,41,0 113,0,2024-09-07 08:18:30:878,13763,1.0,13570,1.0,27542,1.3,36444,2.00 113,1,2024-09-07 08:18:31:691,71686,71686,0,0,34390853043,365064453,69799,1504,383,368,391661,0 113,2,2024-09-07 08:18:31:306,54163,54163,0,0,2883882,0,3021 113,3,2024-09-07 08:18:30:690,1,41,1,1,166,757,41,0 114,0,2024-09-07 08:18:30:896,9319,5.0,9372,3.6,18447,2.5,24975,2.25 114,1,2024-09-07 08:18:30:717,71437,71437,0,0,33718175700,363576836,69012,1565,860,381,391513,0 114,2,2024-09-07 08:18:30:873,52037,52037,0,0,3572141,0,3925 114,3,2024-09-07 08:18:31:282,1,41,2,1,159,672,41,0 115,0,2024-09-07 08:18:30:560,8294,0.2,8362,0.4,16682,0.1,22096,1.50 115,1,2024-09-07 08:18:30:578,71774,71774,0,0,34121343431,365654396,69420,1867,487,384,391502,0 115,2,2024-09-07 08:18:31:125,49820,49820,0,0,2609367,0,2152 115,3,2024-09-07 08:18:31:002,1,41,2,0,159,424,41,0 116,0,2024-09-07 08:18:31:745,9587,2.3,9496,1.5,19037,3.6,25921,2.50 116,1,2024-09-07 08:18:30:820,71064,71064,0,0,34000899099,368455193,69248,950,866,382,391573,0 116,2,2024-09-07 08:18:31:764,50246,50246,0,0,3605652,0,3529 116,3,2024-09-07 08:18:30:919,1,41,17,2,252,1076,41,0 117,0,2024-09-07 08:18:31:003,15498,3.0,15532,1.8,31017,4.1,41861,2.25 117,1,2024-09-07 08:18:31:580,71775,71775,0,0,33585553215,361518080,69720,1768,287,371,392033,0 117,2,2024-09-07 08:18:31:125,52767,52767,0,0,3374676,0,3700 117,3,2024-09-07 08:18:31:060,1,41,12,1,490,1389,41,0 118,0,2024-09-07 08:18:31:794,9675,1.5,9910,1.2,20318,1.9,27057,2.25 118,1,2024-09-07 08:18:30:592,71537,71537,0,0,33976280330,362202864,70358,1104,75,368,391736,0 118,2,2024-09-07 08:18:31:594,54458,54458,0,0,3624067,0,2781 118,3,2024-09-07 08:18:31:775,1,41,235,1,235,962,41,0 119,0,2024-09-07 08:18:31:343,8061,0.3,8156,0.6,16726,0.2,21844,1.75 119,1,2024-09-07 08:18:30:558,71741,71741,0,0,34385780728,367020673,70154,1439,148,371,391617,0 119,2,2024-09-07 08:18:31:267,48458,48458,0,0,3153643,0,2532 119,3,2024-09-07 08:18:31:325,1,41,9,1,443,1548,41,0 120,0,2024-09-07 08:18:31:604,7936,0.4,7877,0.7,15693,0.3,21014,1.75 120,1,2024-09-07 08:18:30:866,71571,71571,0,0,33754715799,361609690,70246,1310,15,369,391702,0 120,2,2024-09-07 08:18:30:777,49748,49747,1,0,4110083,0,5281 120,3,2024-09-07 08:18:31:300,1,41,34,1,241,871,41,0 121,0,2024-09-07 08:18:31:740,14135,1.9,14168,1.5,28313,3.6,38289,2.50 121,1,2024-09-07 08:18:31:669,71547,71547,0,0,33833030811,360258976,70240,1068,239,370,391807,0 121,2,2024-09-07 08:18:31:130,51410,51410,0,0,4628408,0,4127 121,3,2024-09-07 08:18:30:737,1,41,2,1,101,450,41,0 122,0,2024-09-07 08:18:31:779,11067,3.3,10659,3.0,22223,3.7,30350,2.75 122,1,2024-09-07 08:18:30:869,71081,71081,0,0,33981403129,367239186,68733,1874,474,369,392130,0 122,2,2024-09-07 08:18:31:321,55036,55036,0,0,4805123,0,3364 122,3,2024-09-07 08:18:30:599,1,41,19,1,226,1182,41,0 123,0,2024-09-07 08:18:30:961,7860,0.4,7568,0.6,15733,0.2,20559,1.75 123,1,2024-09-07 08:18:30:570,71352,71352,0,0,33727768733,368016869,68022,2763,567,371,391823,0 123,2,2024-09-07 08:18:31:020,49094,49093,1,0,3349766,0,5215 123,3,2024-09-07 08:18:31:132,1,41,1,1,160,654,41,0 124,0,2024-09-07 08:18:30:991,6591,0.3,6564,0.5,12458,0.2,17117,1.75 124,1,2024-09-07 08:18:31:030,72007,72007,0,0,33849735241,354487826,71393,475,139,369,392178,0 124,2,2024-09-07 08:18:31:026,49382,49382,0,0,2547429,0,2477 124,3,2024-09-07 08:18:30:766,1,41,9,2,490,1302,41,0 125,0,2024-09-07 08:18:31:458,12029,0.7,11968,0.8,23965,0.8,32173,2.00 125,1,2024-09-07 08:18:30:857,71438,71438,0,0,33727122651,358965702,69924,1299,215,385,391702,0 125,2,2024-09-07 08:18:31:128,51400,51400,0,0,3013713,0,2180 125,3,2024-09-07 08:18:31:126,1,41,31,1,93,797,41,0 126,0,2024-09-07 08:18:31:469,13225,1.9,13480,1.3,25870,2.7,34851,2.00 126,1,2024-09-07 08:18:30:555,72290,72290,0,0,34439749719,360415085,71810,471,9,368,391719,0 126,2,2024-09-07 08:18:30:624,55357,55357,0,0,3121451,0,3186 126,3,2024-09-07 08:18:30:913,1,41,2,0,122,575,41,0 127,0,2024-09-07 08:18:31:607,7848,0.3,7785,0.5,15512,0.2,20224,1.75 127,1,2024-09-07 08:18:30:589,71749,71749,0,0,33766326941,354014600,70909,817,23,365,391614,0 127,2,2024-09-07 08:18:30:652,51400,51400,0,0,2680008,0,1803 127,3,2024-09-07 08:18:31:268,1,41,6,0,99,505,41,0 128,0,2024-09-07 08:18:31:566,7759,0.3,7844,0.5,15252,0.2,20205,1.75 128,1,2024-09-07 08:18:31:627,71914,71914,0,0,34271313526,359743034,71230,618,66,369,391605,0 128,2,2024-09-07 08:18:31:384,48590,48590,0,0,3286152,0,2107 128,3,2024-09-07 08:18:30:767,1,41,8,1,25,477,41,0 129,0,2024-09-07 08:18:31:006,10588,0.6,10850,0.8,21372,0.8,28802,2.00 129,1,2024-09-07 08:18:30:579,71750,71750,0,0,34060544350,364218534,69954,1524,272,379,391835,0 129,2,2024-09-07 08:18:30:688,50690,50690,0,0,2769054,0,2446 129,3,2024-09-07 08:18:30:689,1,41,1,1,173,830,41,0 130,0,2024-09-07 08:18:31:751,15226,1.9,15137,1.3,30215,2.4,40510,2.50 130,1,2024-09-07 08:18:30:595,72097,72097,0,0,34033795950,361129724,70851,1219,27,381,391520,0 130,2,2024-09-07 08:18:31:127,53783,53783,0,0,3642120,0,4067 130,3,2024-09-07 08:18:31:291,1,41,1,1,207,525,41,0 131,0,2024-09-07 08:18:31:942,9589,1.8,9435,1.4,19261,2.3,25885,2.25 131,1,2024-09-07 08:18:31:820,72058,72058,0,0,34393996297,364007477,71081,791,186,385,391865,0 131,2,2024-09-07 08:18:30:573,52868,52868,0,0,2985801,0,2415 131,3,2024-09-07 08:18:31:689,1,41,2,1,392,666,41,0 132,0,2024-09-07 08:18:31:433,8360,0.4,8619,0.7,17001,0.3,22611,2.00 132,1,2024-09-07 08:18:30:591,70796,70796,0,0,33256916476,360892080,68305,1787,704,383,391533,0 132,2,2024-09-07 08:18:30:713,48733,48733,0,0,4667053,0,4606 132,3,2024-09-07 08:18:31:691,1,41,26,1,356,817,41,0 133,0,2024-09-07 08:18:31:568,8938,0.9,9100,1.0,18761,1.0,24328,2.25 133,1,2024-09-07 08:18:30:592,70914,70914,0,0,33780680828,364680671,68830,1994,90,383,391513,0 133,2,2024-09-07 08:18:31:090,51015,51015,0,0,3739249,0,2444 133,3,2024-09-07 08:18:31:303,1,41,2,1,187,472,41,0 134,0,2024-09-07 08:18:30:954,15200,0.6,15080,0.8,30260,0.6,40429,2.00 134,1,2024-09-07 08:18:30:609,71474,71474,0,0,33859159718,366052226,68872,1622,980,366,391718,0 134,2,2024-09-07 08:18:31:758,52027,52027,0,0,3107434,0,2026 134,3,2024-09-07 08:18:30:752,1,41,2,1,245,779,41,0 135,0,2024-09-07 08:18:31:109,10192,2.7,10245,2.3,21504,3.0,28153,2.75 135,1,2024-09-07 08:18:31:604,71077,71077,0,0,34111127519,365049781,69278,1474,325,383,391591,0 135,2,2024-09-07 08:18:30:693,54989,54989,0,0,3783193,0,3981 135,3,2024-09-07 08:18:31:003,1,41,1,0,68,403,41,0 136,0,2024-09-07 08:18:31:639,8123,0.5,8086,0.8,16090,0.3,21269,2.25 136,1,2024-09-07 08:18:31:486,71832,71832,0,0,33465919963,357898873,70216,1475,141,384,391518,0 136,2,2024-09-07 08:18:31:133,50017,50017,0,0,2888228,0,2379 136,3,2024-09-07 08:18:31:111,1,41,9,1,108,646,41,0 137,0,2024-09-07 08:18:30:992,7511,0.4,7336,0.7,14517,0.3,19409,2.00 137,1,2024-09-07 08:18:30:590,71552,71552,0,0,34488252819,368105817,69808,1634,110,368,391608,0 137,2,2024-09-07 08:18:31:719,49376,49376,0,0,3631181,0,2294 137,3,2024-09-07 08:18:30:783,1,41,3,1,227,766,41,0 138,0,2024-09-07 08:18:31:803,12249,3.5,12634,2.0,25309,4.3,34395,3.50 138,1,2024-09-07 08:18:31:692,71237,71237,0,0,33677586042,360831387,69330,1667,240,371,391615,0 138,2,2024-09-07 08:18:30:610,52109,52109,0,0,3249044,0,3263 138,3,2024-09-07 08:18:30:629,1,41,6,1,68,364,41,0 139,0,2024-09-07 08:18:31:400,11387,5.6,11348,3.2,22982,6.1,31142,4.50 139,1,2024-09-07 08:18:30:584,71156,71156,0,0,33378760092,365377911,68504,1941,711,383,391892,0 139,2,2024-09-07 08:18:30:696,54810,54810,0,0,3794674,0,2425 139,3,2024-09-07 08:18:31:662,1,41,2,1,244,1073,41,0 140,0,2024-09-07 08:18:31:594,7764,0.4,7639,0.6,15526,0.2,20408,1.75 140,1,2024-09-07 08:18:31:539,72443,72443,0,0,34714691747,361917676,71825,427,191,365,391483,0 140,2,2024-09-07 08:18:30:691,50044,50044,0,0,3304123,0,3388 140,3,2024-09-07 08:18:30:768,1,41,12,1,25,397,41,0 141,0,2024-09-07 08:18:31:724,6585,0.2,6829,0.4,13225,0.1,17500,1.50 141,1,2024-09-07 08:18:30:866,72141,72141,0,0,34374209285,363015007,70772,1038,331,382,391538,0 141,2,2024-09-07 08:18:31:715,50018,50018,0,0,2780088,0,2342 141,3,2024-09-07 08:18:31:044,1,41,6,0,53,308,41,0 142,0,2024-09-07 08:18:31:331,11448,0.4,11346,0.6,22512,0.3,30458,1.75 142,1,2024-09-07 08:18:30:592,71873,71873,0,0,34034487828,357238643,71561,311,1,384,391649,0 142,2,2024-09-07 08:18:31:305,51095,51095,0,0,3088978,0,2293 142,3,2024-09-07 08:18:31:768,1,41,7,1,263,580,41,0 143,0,2024-09-07 08:18:31:379,13702,1.5,13650,1.2,27550,1.9,36306,2.25 143,1,2024-09-07 08:18:30:587,71952,71952,0,0,34215619642,358518571,71106,822,24,367,391608,0 143,2,2024-09-07 08:18:30:770,54019,54019,0,0,3231561,0,2669 143,3,2024-09-07 08:18:31:140,1,41,1,1,236,990,41,0 144,0,2024-09-07 08:18:31:562,8823,3.0,9143,7.8,18257,2.5,24823,2.75 144,1,2024-09-07 08:18:30:584,71418,71418,0,0,33812279014,362136347,69871,1359,188,383,391638,0 144,2,2024-09-07 08:18:31:765,52096,52096,0,0,3207342,0,3473 144,3,2024-09-07 08:18:31:752,1,41,21,1,112,695,41,0 145,0,2024-09-07 08:18:31:376,8052,0.4,7989,0.7,16914,0.3,21961,2.00 145,1,2024-09-07 08:18:30:568,70764,70764,0,0,34165764303,365768188,69054,1449,261,383,391615,0 145,2,2024-09-07 08:18:31:439,49006,49006,0,0,3750384,0,3903 145,3,2024-09-07 08:18:30:917,1,41,32,0,151,795,41,0 146,0,2024-09-07 08:18:31:623,9573,2.2,9482,1.5,19219,3.1,25654,2.75 146,1,2024-09-07 08:18:31:594,71541,71541,0,0,33502865152,359157360,69421,1821,299,370,391600,0 146,2,2024-09-07 08:18:31:704,50611,50611,0,0,2934254,0,2149 146,3,2024-09-07 08:18:31:277,1,41,1,0,1520,2849,41,0 147,0,2024-09-07 08:18:31:706,15466,3.7,15147,2.1,30216,5.2,41863,3.75 147,1,2024-09-07 08:18:31:372,72135,72135,0,0,34049690433,356561220,71405,602,128,369,391791,0 147,2,2024-09-07 08:18:31:014,53478,53478,0,0,3244851,0,2789 147,3,2024-09-07 08:18:30:912,1,41,1,1,141,645,41,0 0,0,2024-09-07 08:18:41:737,8125,0.7,8051,0.9,17007,0.7,22395,2.00 0,1,2024-09-07 08:18:40:807,73568,73568,0,0,34910899544,372337772,72368,1074,126,372,391673,0 0,2,2024-09-07 08:18:41:072,51146,51146,0,0,3409216,0,4480 0,3,2024-09-07 08:18:40:974,1,42,9,2,247,779,42,0 1,0,2024-09-07 08:18:41:864,14275,2.4,14251,1.8,28802,2.7,38843,2.75 1,1,2024-09-07 08:18:40:565,72940,72940,0,0,34324442974,367570636,71283,1132,525,372,391857,0 1,2,2024-09-07 08:18:40:643,52772,52772,0,0,3142961,0,3267 1,3,2024-09-07 08:18:41:334,1,42,16,1,167,516,42,0 2,0,2024-09-07 08:18:41:581,11142,3.3,11396,2.0,22454,5.3,30359,4.00 2,1,2024-09-07 08:18:40:867,73035,73035,0,0,35045116205,370838644,71965,867,203,382,391531,0 2,2,2024-09-07 08:18:41:281,55768,55768,0,0,3609312,0,3304 2,3,2024-09-07 08:18:40:695,1,42,1,1,87,412,42,0 3,0,2024-09-07 08:18:41:751,8095,0.4,8000,0.7,16094,0.3,21109,2.00 3,1,2024-09-07 08:18:41:625,73143,73143,0,0,34629356178,369516273,71202,1555,386,382,391494,0 3,2,2024-09-07 08:18:41:150,50709,50686,23,0,3837471,0,5851 3,3,2024-09-07 08:18:41:752,1,42,0,0,103,414,42,0 4,0,2024-09-07 08:18:41:899,6519,0.4,6771,0.6,13478,0.2,18064,1.75 4,1,2024-09-07 08:18:40:594,73048,73048,0,0,34017749707,365743083,71290,1342,416,372,391682,0 4,2,2024-09-07 08:18:41:057,50792,50792,0,0,4161009,0,4528 4,3,2024-09-07 08:18:41:028,1,42,24,1,287,882,42,0 5,0,2024-09-07 08:18:41:519,12407,1.2,12201,1.0,24929,1.6,33403,2.25 5,1,2024-09-07 08:18:40:760,73120,73120,0,0,34817906506,374086202,70983,1699,438,368,392005,0 5,2,2024-09-07 08:18:41:846,52488,52488,0,0,3349738,0,2259 5,3,2024-09-07 08:18:41:734,1,42,3,1,182,1018,42,0 6,0,2024-09-07 08:18:40:923,13189,2.8,13055,1.9,25996,5.1,34813,4.25 6,1,2024-09-07 08:18:40:746,73710,73710,0,0,34947388500,369561048,72631,1010,69,382,391603,0 6,2,2024-09-07 08:18:41:118,56541,56541,0,0,3648377,0,2411 6,3,2024-09-07 08:18:41:279,1,42,13,1,277,769,42,0 7,0,2024-09-07 08:18:41:548,7719,0.4,7719,0.6,15654,0.2,20589,1.75 7,1,2024-09-07 08:18:40:853,73184,73184,0,0,35164004531,372884728,72206,914,64,383,391558,0 7,2,2024-09-07 08:18:40:770,51566,51566,0,0,3256767,0,2981 7,3,2024-09-07 08:18:40:863,1,42,1,0,83,474,42,0 8,0,2024-09-07 08:18:41:552,7978,0.4,7756,0.6,15381,0.2,20296,1.75 8,1,2024-09-07 08:18:41:024,73155,73155,0,0,34904044672,382890515,69721,2184,1250,368,391724,0 8,2,2024-09-07 08:18:40:796,50011,50011,0,0,3686162,0,2986 8,3,2024-09-07 08:18:40:590,1,42,10,0,229,805,42,0 9,0,2024-09-07 08:18:41:162,11132,0.5,10800,0.7,22491,0.4,29631,1.75 9,1,2024-09-07 08:18:40:551,73219,73219,0,0,35557357697,381858445,71019,1635,565,370,391559,0 9,2,2024-09-07 08:18:41:085,51884,51884,0,0,3726807,0,3360 9,3,2024-09-07 08:18:41:759,1,42,1,0,149,735,42,0 10,0,2024-09-07 08:18:41:609,15083,1.7,15147,1.3,30281,3.4,40066,3.75 10,1,2024-09-07 08:18:40:584,72708,72708,0,0,34746815199,377850720,69411,2775,522,383,391541,0 10,2,2024-09-07 08:18:40:766,54599,54599,0,0,3772382,0,2940 10,3,2024-09-07 08:18:40:871,1,42,3,0,118,335,42,0 11,0,2024-09-07 08:18:41:013,9455,3.8,9190,2.4,19253,5.9,26455,3.00 11,1,2024-09-07 08:18:40:571,73355,73355,0,0,34890009503,376618479,70796,1745,814,384,391537,0 11,2,2024-09-07 08:18:41:122,53829,53829,0,0,3871029,0,2635 11,3,2024-09-07 08:18:41:300,1,42,1,0,720,2132,42,0 12,0,2024-09-07 08:18:41:035,8864,0.3,8944,0.5,17710,0.2,23443,1.75 12,1,2024-09-07 08:18:40:946,73196,73196,0,0,33870683424,359465915,72086,1085,25,372,391661,0 12,2,2024-09-07 08:18:41:550,50955,50955,0,0,3088956,0,2254 12,3,2024-09-07 08:18:41:072,1,42,14,1,358,1184,42,0 13,0,2024-09-07 08:18:41:491,9375,0.4,9620,0.6,19084,0.4,25382,1.75 13,1,2024-09-07 08:18:41:542,73661,73661,0,0,34764628993,368066472,72972,649,40,384,391563,0 13,2,2024-09-07 08:18:40:595,52072,52072,0,0,2636510,0,3287 13,3,2024-09-07 08:18:41:763,1,42,2,1,153,641,42,0 14,0,2024-09-07 08:18:40:568,15631,0.6,15695,0.7,31052,0.6,41491,1.75 14,1,2024-09-07 08:18:41:565,74151,74151,0,0,34508265939,360950409,73545,577,29,365,391546,0 14,2,2024-09-07 08:18:40:770,53294,53294,0,0,3518040,0,2793 14,3,2024-09-07 08:18:41:128,1,42,1,1,906,1209,42,0 15,0,2024-09-07 08:18:41:564,10687,3.8,10582,2.2,21155,6.2,29010,3.75 15,1,2024-09-07 08:18:41:614,73734,73734,0,0,34786612687,365222771,73188,544,2,381,391536,0 15,2,2024-09-07 08:18:40:999,56064,56064,0,0,2728772,0,3043 15,3,2024-09-07 08:18:41:408,1,42,126,0,538,1144,42,0 16,0,2024-09-07 08:18:40:948,8216,0.4,8307,0.6,16390,0.2,21705,2.00 16,1,2024-09-07 08:18:40:565,73503,73503,0,0,34052420053,362379709,72419,1062,22,372,391543,0 16,2,2024-09-07 08:18:41:485,49903,49903,0,0,3758269,0,4719 16,3,2024-09-07 08:18:41:150,1,42,16,1,231,1111,42,0 17,0,2024-09-07 08:18:41:839,7684,0.4,7661,0.6,14870,0.3,20079,2.00 17,1,2024-09-07 08:18:40:569,73039,73039,0,0,34297296764,369022143,71338,1182,519,369,391688,0 17,2,2024-09-07 08:18:41:673,51747,51747,0,0,2887315,0,2857 17,3,2024-09-07 08:18:40:579,1,42,3,0,268,1205,42,0 18,0,2024-09-07 08:18:40:949,12986,0.9,13220,0.9,26686,1.0,35516,2.25 18,1,2024-09-07 08:18:41:640,73361,73361,0,0,34666833049,366971897,72119,1024,218,369,391526,0 18,2,2024-09-07 08:18:41:756,52517,52517,0,0,3828785,0,3541 18,3,2024-09-07 08:18:40:896,1,42,7,0,163,728,42,0 19,0,2024-09-07 08:18:41:570,11584,3.2,11718,2.1,23039,6.0,30753,6.00 19,1,2024-09-07 08:18:40:567,73189,73189,0,0,34953130234,372375709,71433,1594,162,367,391696,0 19,2,2024-09-07 08:18:41:751,56629,56629,0,0,3685954,0,3988 19,3,2024-09-07 08:18:41:129,1,42,27,0,524,717,42,0 20,0,2024-09-07 08:18:41:535,7924,0.3,7961,0.5,15620,0.2,20277,1.75 20,1,2024-09-07 08:18:40:568,73178,73178,0,0,34824980253,371589122,71503,1515,160,370,391598,0 20,2,2024-09-07 08:18:40:928,50772,50772,0,0,3005185,0,2446 20,3,2024-09-07 08:18:40:590,1,42,21,1,99,719,42,0 21,0,2024-09-07 08:18:41:148,7020,0.4,6910,0.7,13733,0.3,17717,2.00 21,1,2024-09-07 08:18:41:544,72726,72726,0,0,34074701504,367766631,70577,1661,488,368,391962,0 21,2,2024-09-07 08:18:41:072,50825,50825,0,0,3260876,0,3747 21,3,2024-09-07 08:18:41:419,1,42,1,0,93,687,42,0 22,0,2024-09-07 08:18:41:736,11458,1.1,11462,1.2,22773,1.1,31174,2.50 22,1,2024-09-07 08:18:41:026,72774,72774,0,0,33939683187,368473997,69857,2192,725,382,391667,0 22,2,2024-09-07 08:18:40:763,52524,52524,0,0,3096144,0,3134 22,3,2024-09-07 08:18:41:069,1,42,1,0,62,264,42,0 23,0,2024-09-07 08:18:41:397,13553,2.5,13826,1.5,27470,3.9,36521,3.25 23,1,2024-09-07 08:18:41:008,73927,73927,0,0,34849744284,368036529,72585,1088,254,368,391496,0 23,2,2024-09-07 08:18:41:091,56224,56224,0,0,3258513,0,2078 23,3,2024-09-07 08:18:41:754,1,42,1,0,645,1162,42,0 24,0,2024-09-07 08:18:40:858,9585,0.8,9664,0.9,19233,1.4,25321,1.75 24,1,2024-09-07 08:18:40:610,73870,73870,0,0,34529813371,364818488,73076,788,6,371,391640,0 24,2,2024-09-07 08:18:41:076,52267,52267,0,0,4315083,0,2942 24,3,2024-09-07 08:18:41:685,1,42,10,1,234,789,42,0 25,0,2024-09-07 08:18:41:538,8743,0.3,8631,0.5,16635,0.2,22568,1.75 25,1,2024-09-07 08:18:40:567,72939,72939,0,0,34933331608,371754243,71663,1213,63,373,391561,0 25,2,2024-09-07 08:18:41:639,50302,50302,0,0,3715538,0,3284 25,3,2024-09-07 08:18:41:004,1,42,11,1,158,528,42,0 26,0,2024-09-07 08:18:41:754,9866,0.7,9719,0.8,20397,0.8,27135,2.00 26,1,2024-09-07 08:18:41:547,72864,72864,0,0,34409638172,373577352,69870,2195,799,382,391542,0 26,2,2024-09-07 08:18:40:866,52132,52132,0,0,3692375,0,2809 26,3,2024-09-07 08:18:41:726,1,42,6,0,796,1137,42,0 27,0,2024-09-07 08:18:41:731,15654,1.6,15693,1.2,31467,2.1,42208,2.50 27,1,2024-09-07 08:18:41:681,74317,74317,0,0,35354785948,369328304,74029,287,1,381,391526,0 27,2,2024-09-07 08:18:40:867,53452,53452,0,0,4811611,0,3409 27,3,2024-09-07 08:18:41:016,1,42,1,1,86,409,42,0 28,0,2024-09-07 08:18:41:428,10365,1.1,10499,1.1,20771,1.3,27928,2.00 28,1,2024-09-07 08:18:40:799,73836,73836,0,0,35227922267,370364991,73322,505,9,383,391500,0 28,2,2024-09-07 08:18:41:763,54748,54748,0,0,3016379,0,2609 28,3,2024-09-07 08:18:41:776,1,42,8,1,502,1036,42,0 29,0,2024-09-07 08:18:41:389,8614,0.3,8469,0.5,16759,0.2,22439,1.75 29,1,2024-09-07 08:18:41:562,74245,74245,0,0,34879416735,363922819,73554,519,172,369,391621,0 29,2,2024-09-07 08:18:40:867,50890,50890,0,0,2339345,0,2026 29,3,2024-09-07 08:18:40:967,1,42,1,1,105,518,42,0 30,0,2024-09-07 08:18:41:477,8309,0.4,8083,0.6,16630,0.3,22376,2.00 30,1,2024-09-07 08:18:40:572,73483,73483,0,0,35687065233,376532692,72520,898,65,382,391524,0 30,2,2024-09-07 08:18:41:284,51805,51805,0,0,2400101,0,2534 30,3,2024-09-07 08:18:40:581,1,42,16,0,195,444,42,0 31,0,2024-09-07 08:18:41:795,14629,0.5,14657,0.7,29359,0.5,38907,2.00 31,1,2024-09-07 08:18:40:569,73626,73626,0,0,35346557676,365427950,73401,223,2,356,391553,0 31,2,2024-09-07 08:18:41:275,53088,53088,0,0,3826647,0,3525 31,3,2024-09-07 08:18:41:710,1,42,1,0,129,389,42,0 32,0,2024-09-07 08:18:41:437,11428,2.5,11494,1.5,23137,3.8,31019,2.00 32,1,2024-09-07 08:18:40:803,73552,73552,0,0,34582155884,365287097,72558,966,28,382,391595,0 32,2,2024-09-07 08:18:40:944,56707,56707,0,0,3406849,0,3155 32,3,2024-09-07 08:18:41:022,1,42,11,0,110,564,42,0 33,0,2024-09-07 08:18:41:517,8095,0.3,8035,0.5,16184,0.2,21055,1.75 33,1,2024-09-07 08:18:40:582,73344,73344,0,0,35310076951,373671063,71694,1527,123,369,391497,0 33,2,2024-09-07 08:18:40:769,50037,50004,33,0,4509324,0,7012 33,3,2024-09-07 08:18:40:896,1,42,3,1,51,222,42,0 34,0,2024-09-07 08:18:40:949,6716,0.2,6873,0.4,13260,0.1,17993,1.75 34,1,2024-09-07 08:18:41:044,73926,73926,0,0,35266395951,367480816,73488,435,3,368,391517,0 34,2,2024-09-07 08:18:40:766,50422,50422,0,0,3660865,0,3255 34,3,2024-09-07 08:18:41:688,1,42,3,0,64,429,42,0 35,0,2024-09-07 08:18:40:878,12190,1.3,12297,1.0,24613,1.9,33206,2.00 35,1,2024-09-07 08:18:41:071,73423,73423,0,0,34673537008,365916929,71995,1067,361,384,391587,0 35,2,2024-09-07 08:18:41:589,52490,52490,0,0,3251195,0,2542 35,3,2024-09-07 08:18:40:907,1,42,174,0,219,818,42,0 36,0,2024-09-07 08:18:41:528,13168,3.0,12981,1.9,26538,4.2,34926,3.25 36,1,2024-09-07 08:18:40:586,72926,72926,0,0,34599338692,374183679,69917,2078,931,369,391535,0 36,2,2024-09-07 08:18:41:753,56277,56277,0,0,3852591,0,3303 36,3,2024-09-07 08:18:40:862,1,42,5,0,378,914,42,0 37,0,2024-09-07 08:18:41:452,7906,0.3,7840,0.6,15787,0.2,20658,1.75 37,1,2024-09-07 08:18:40:572,72481,72474,0,7,34857096750,380169371,69638,1319,1517,365,391507,0 37,2,2024-09-07 08:18:41:147,51970,51970,0,0,2865455,0,2333 37,3,2024-09-07 08:18:41:768,1,42,114,0,724,1525,42,0 38,0,2024-09-07 08:18:41:509,7848,0.3,7587,0.6,15713,0.2,20309,1.75 38,1,2024-09-07 08:18:41:610,73395,73395,0,0,34665015157,371298850,71267,1681,447,370,391512,0 38,2,2024-09-07 08:18:40:774,50570,50570,0,0,3579674,0,3245 38,3,2024-09-07 08:18:41:007,1,42,14,0,603,985,42,0 39,0,2024-09-07 08:18:41:773,11456,0.7,11261,0.8,21992,0.9,29817,2.00 39,1,2024-09-07 08:18:40:716,73428,73428,0,0,34434047313,368578988,70977,1814,637,366,391524,0 39,2,2024-09-07 08:18:41:433,51934,51934,0,0,3101892,0,2381 39,3,2024-09-07 08:18:40:714,1,42,276,0,276,1062,42,0 40,0,2024-09-07 08:18:41:497,14724,2.8,14966,2.7,29434,5.2,39701,4.00 40,1,2024-09-07 08:18:40:584,73532,73532,0,0,34296385402,368360241,71159,1760,613,371,391591,0 40,2,2024-09-07 08:18:41:310,54450,54449,1,0,4286033,0,5137 40,3,2024-09-07 08:18:41:148,1,42,13,1,63,456,42,0 41,0,2024-09-07 08:18:41:038,9161,3.3,9417,7.7,18151,6.9,25312,4.25 41,1,2024-09-07 08:18:40:772,73232,73232,0,0,34710310460,370939876,71385,1576,271,370,391483,0 41,2,2024-09-07 08:18:40:772,53629,53629,0,0,4463915,0,3356 41,3,2024-09-07 08:18:41:679,1,42,43,1,107,426,42,0 42,0,2024-09-07 08:18:41:485,8749,0.4,8870,0.8,17596,0.3,23458,2.00 42,1,2024-09-07 08:18:41:476,73329,73329,0,0,34968767326,377904575,70893,1733,703,381,391511,0 42,2,2024-09-07 08:18:41:136,50713,50713,0,0,3677867,0,3568 42,3,2024-09-07 08:18:41:011,1,42,46,0,100,508,42,0 43,0,2024-09-07 08:18:40:924,9397,2.6,9144,1.7,19050,3.6,25352,2.25 43,1,2024-09-07 08:18:40:643,73252,73252,0,0,35204089615,375247731,71499,1161,592,367,391604,0 43,2,2024-09-07 08:18:41:737,51743,51743,0,0,3843415,0,3812 43,3,2024-09-07 08:18:41:749,1,42,1,1,292,1112,42,0 44,0,2024-09-07 08:18:40:894,15540,0.5,15589,0.8,30974,0.6,41950,2.00 44,1,2024-09-07 08:18:40:564,73634,73634,0,0,34542420457,359979985,73169,456,9,357,391493,0 44,2,2024-09-07 08:18:41:297,53222,53222,0,0,2519464,0,1592 44,3,2024-09-07 08:18:41:099,1,42,6,1,817,1234,42,0 45,0,2024-09-07 08:18:41:762,10565,4.0,10401,2.2,21670,6.0,29291,2.25 45,1,2024-09-07 08:18:41:007,73687,73687,0,0,35290078713,369189779,73400,287,0,382,391917,0 45,2,2024-09-07 08:18:41:292,56246,56246,0,0,3399158,0,2628 45,3,2024-09-07 08:18:40:945,1,42,13,1,226,550,42,0 46,0,2024-09-07 08:18:40:965,8211,0.3,8291,0.5,16661,0.2,21713,1.75 46,1,2024-09-07 08:18:40:579,74080,74080,0,0,35137526116,366550753,73420,634,26,368,391514,0 46,2,2024-09-07 08:18:40:594,50793,50793,0,0,2856475,0,2920 46,3,2024-09-07 08:18:41:138,1,42,315,0,315,1004,42,0 47,0,2024-09-07 08:18:41:116,7501,0.2,7638,0.4,15131,0.1,20067,1.50 47,1,2024-09-07 08:18:40:567,74035,74035,0,0,34719366112,360739526,73662,370,3,367,391489,0 47,2,2024-09-07 08:18:40:908,51807,51807,0,0,3055062,0,2558 47,3,2024-09-07 08:18:41:122,1,42,1,1,529,1012,42,0 48,0,2024-09-07 08:18:41:529,13399,0.4,13324,0.6,26430,0.5,35830,1.75 48,1,2024-09-07 08:18:41:025,73586,73586,0,0,35264017817,372682076,72392,1189,5,386,391637,0 48,2,2024-09-07 08:18:40:698,53230,53230,0,0,2576138,0,2083 48,3,2024-09-07 08:18:40:763,1,42,30,1,30,435,42,0 49,0,2024-09-07 08:18:41:725,12147,3.1,12000,1.7,23258,5.0,32141,2.50 49,1,2024-09-07 08:18:41:025,73367,73367,0,0,34635095848,369884305,71632,1026,709,382,391583,0 49,2,2024-09-07 08:18:41:803,56633,56633,0,0,3715994,0,3900 49,3,2024-09-07 08:18:41:429,1,42,1,0,274,678,42,0 50,0,2024-09-07 08:18:41:521,7960,0.3,7833,0.5,15620,0.2,20663,1.75 50,1,2024-09-07 08:18:41:017,74161,74161,0,0,35588798607,375935351,72498,1366,297,369,391530,0 50,2,2024-09-07 08:18:41:070,51271,51271,0,0,2700857,0,2253 50,3,2024-09-07 08:18:41:299,1,42,1,1,335,692,42,0 51,0,2024-09-07 08:18:41:697,7063,0.2,6876,0.4,13543,0.1,17906,1.50 51,1,2024-09-07 08:18:41:680,73133,73133,0,0,35715700545,378879062,71358,993,782,367,391520,0 51,2,2024-09-07 08:18:41:322,50931,50931,0,0,2302464,0,2448 51,3,2024-09-07 08:18:41:027,1,42,2,0,162,433,42,0 52,0,2024-09-07 08:18:41:440,11681,1.5,11722,1.3,23534,1.9,31528,3.25 52,1,2024-09-07 08:18:40:580,73159,73159,0,0,34619485699,373839002,70668,2027,464,368,391513,0 52,2,2024-09-07 08:18:41:755,52236,52236,0,0,3592616,0,4779 52,3,2024-09-07 08:18:40:674,1,42,13,2,1782,2297,42,0 53,0,2024-09-07 08:18:41:736,13534,3.4,13079,2.1,26912,4.9,35699,3.50 53,1,2024-09-07 08:18:40:771,73183,73183,0,0,34734276075,374845722,70584,2025,574,370,391617,0 53,2,2024-09-07 08:18:41:301,56349,56349,0,0,3102725,0,1795 53,3,2024-09-07 08:18:40:697,1,42,1,1,59,282,42,0 54,0,2024-09-07 08:18:41:625,8730,10.0,8723,6.5,17432,2.7,24184,5.50 54,1,2024-09-07 08:18:40:580,73218,73218,0,0,34937174454,372117305,71319,1605,294,367,391520,0 54,2,2024-09-07 08:18:40:866,53067,53061,6,0,3862970,0,5382 54,3,2024-09-07 08:18:40:764,1,42,1,0,676,1392,42,0 55,0,2024-09-07 08:18:41:767,8335,0.4,8521,0.8,17365,0.3,22415,2.25 55,1,2024-09-07 08:18:40:776,72739,72739,0,0,34512749350,372194888,69318,2719,702,369,391731,0 55,2,2024-09-07 08:18:40:735,50531,50531,0,0,3489535,0,3275 55,3,2024-09-07 08:18:40:682,1,42,1,0,136,622,42,0 56,0,2024-09-07 08:18:41:578,10152,3.0,9601,2.0,19755,4.1,26973,3.25 56,1,2024-09-07 08:18:40:585,73134,73134,0,0,35399314927,385418182,70110,2329,695,384,391536,0 56,2,2024-09-07 08:18:41:316,52302,52302,0,0,3898346,0,3567 56,3,2024-09-07 08:18:41:059,1,42,23,2,297,777,42,0 57,0,2024-09-07 08:18:41:027,15306,4.4,14983,2.4,30343,6.4,40952,5.00 57,1,2024-09-07 08:18:40:987,73405,73405,0,0,33838182351,360317825,72115,1271,19,368,391760,0 57,2,2024-09-07 08:18:41:328,53869,53869,0,0,3842953,0,2687 57,3,2024-09-07 08:18:41:747,1,42,10,1,359,1191,42,0 58,0,2024-09-07 08:18:40:594,9516,1.5,9294,1.5,19411,1.9,25964,3.25 58,1,2024-09-07 08:18:40:591,73768,73767,0,1,34824069112,369606905,72183,1425,159,371,391516,1 58,2,2024-09-07 08:18:41:078,55292,55292,0,0,3921807,0,2549 58,3,2024-09-07 08:18:41:068,1,42,1,1,219,697,42,0 59,0,2024-09-07 08:18:41:758,8429,0.9,8436,1.0,16977,0.9,22107,2.50 59,1,2024-09-07 08:18:40:804,72937,72937,0,0,34323526353,369716531,70775,1405,757,371,391515,0 59,2,2024-09-07 08:18:40:587,50743,50743,0,0,3133757,0,2604 59,3,2024-09-07 08:18:41:737,1,42,3,0,1015,1256,42,0 60,0,2024-09-07 08:18:41:769,8324,0.5,8322,0.7,16531,0.5,22494,1.75 60,1,2024-09-07 08:18:40:774,74458,74458,0,0,35199479418,370147388,73516,598,344,370,391672,0 60,2,2024-09-07 08:18:41:153,51726,51726,0,0,2495660,0,2142 60,3,2024-09-07 08:18:41:268,1,42,19,0,124,611,42,0 61,0,2024-09-07 08:18:41:587,14456,1.3,14445,1.1,28735,2.0,38665,2.00 61,1,2024-09-07 08:18:40:774,73126,73126,0,0,34547519524,369319163,71169,1486,471,383,391589,0 61,2,2024-09-07 08:18:41:123,53346,53346,0,0,2993191,0,1846 61,3,2024-09-07 08:18:41:698,1,42,1,1,124,549,42,0 62,0,2024-09-07 08:18:41:714,11442,2.9,11803,1.7,22626,4.8,31024,2.75 62,1,2024-09-07 08:18:41:128,74009,74005,0,4,35292273444,369601692,72959,1009,37,366,391586,4 62,2,2024-09-07 08:18:41:644,56103,56103,0,0,3613924,0,2931 62,3,2024-09-07 08:18:41:148,1,42,1,0,287,579,42,0 63,0,2024-09-07 08:18:41:498,7983,0.5,7989,0.8,16054,0.4,21128,2.00 63,1,2024-09-07 08:18:40:804,74273,74270,0,3,34940121300,364143764,73993,276,1,382,391540,3 63,2,2024-09-07 08:18:40:762,50615,50615,0,0,2950173,0,2674 63,3,2024-09-07 08:18:41:734,1,42,3,0,216,752,42,0 64,0,2024-09-07 08:18:41:527,6652,0.4,6673,0.7,13428,0.3,17930,2.00 64,1,2024-09-07 08:18:40:758,73521,73521,0,0,34967088845,377001691,71093,1635,793,371,391604,0 64,2,2024-09-07 08:18:41:164,51201,51182,19,0,3509444,0,6121 64,3,2024-09-07 08:18:41:146,1,42,13,1,163,586,42,0 65,0,2024-09-07 08:18:41:754,12038,3.7,12220,2.2,24079,5.3,32843,4.75 65,1,2024-09-07 08:18:40:861,73354,73354,0,0,34726919043,370996574,71655,1480,219,382,391506,0 65,2,2024-09-07 08:18:41:702,52631,52631,0,0,3705359,0,3367 65,3,2024-09-07 08:18:41:692,1,42,37,1,163,701,42,0 66,0,2024-09-07 08:18:41:775,12674,2.5,12788,1.7,25700,4.4,34283,4.00 66,1,2024-09-07 08:18:41:301,74442,74442,0,0,35125639781,368000203,73796,627,19,381,391537,0 66,2,2024-09-07 08:18:41:154,56868,56868,0,0,3394076,0,3867 66,3,2024-09-07 08:18:41:079,1,42,135,1,291,827,42,0 67,0,2024-09-07 08:18:41:437,7880,0.7,7918,0.9,15557,0.7,20545,2.50 67,1,2024-09-07 08:18:40:769,73119,73118,0,1,34349861021,368600540,71130,1463,525,383,391787,1 67,2,2024-09-07 08:18:40:583,52343,52343,0,0,3022946,0,2889 67,3,2024-09-07 08:18:41:750,1,42,2,0,138,546,42,0 68,0,2024-09-07 08:18:40:619,7836,0.4,7757,0.8,15667,0.3,20194,2.00 68,1,2024-09-07 08:18:40:578,73187,73187,0,0,34938935546,373152080,71798,867,522,383,391953,0 68,2,2024-09-07 08:18:41:067,50823,50823,0,0,3203620,0,4883 68,3,2024-09-07 08:18:40:735,1,42,8,1,63,463,42,0 69,0,2024-09-07 08:18:41:780,11028,2.3,10927,1.6,21750,3.7,29675,3.25 69,1,2024-09-07 08:18:41:044,72657,72657,0,0,34561783464,375131825,70739,1054,864,386,391530,0 69,2,2024-09-07 08:18:41:750,52327,52327,0,0,3391294,0,3153 69,3,2024-09-07 08:18:40:766,1,42,2,0,238,828,42,0 70,0,2024-09-07 08:18:41:562,14754,4.4,14730,3.4,29808,4.6,39286,5.50 70,1,2024-09-07 08:18:40:801,73717,73717,0,0,35489364231,372823385,72823,760,134,369,391527,0 70,2,2024-09-07 08:18:41:409,54890,54890,0,0,3801075,0,4044 70,3,2024-09-07 08:18:40:748,1,42,1,1,178,371,42,0 71,0,2024-09-07 08:18:41:416,9273,3.6,9222,5.9,18172,5.7,25593,5.00 71,1,2024-09-07 08:18:41:596,72850,72850,0,0,34874956987,372596497,70767,1769,314,368,391682,0 71,2,2024-09-07 08:18:41:069,53964,53964,0,0,3235153,0,2146 71,3,2024-09-07 08:18:41:762,1,42,1,1,174,426,42,0 72,0,2024-09-07 08:18:41:035,9050,0.4,8758,0.7,17429,0.3,23512,2.75 72,1,2024-09-07 08:18:41:026,73473,73473,0,0,34376198435,364973268,71965,1433,75,370,391514,0 72,2,2024-09-07 08:18:41:758,49915,49915,0,0,4236770,0,2570 72,3,2024-09-07 08:18:41:753,1,42,29,1,325,1071,42,0 73,0,2024-09-07 08:18:41:115,9206,0.8,9441,0.9,19137,0.8,25239,2.75 73,1,2024-09-07 08:18:40:773,73526,73526,0,0,34491814130,363346949,72866,628,32,368,391627,0 73,2,2024-09-07 08:18:41:760,51689,51689,0,0,3996962,0,3482 73,3,2024-09-07 08:18:40:969,1,42,0,0,274,1079,42,0 74,0,2024-09-07 08:18:41:349,15541,0.8,15908,1.0,30566,0.9,41488,3.00 74,1,2024-09-07 08:18:40:639,73354,73354,0,0,34490841570,366056079,72022,1001,331,382,391497,0 74,2,2024-09-07 08:18:41:004,53035,53035,0,0,4451998,0,4253 74,3,2024-09-07 08:18:41:483,1,42,246,0,246,1152,42,0 75,0,2024-09-07 08:18:41:793,10728,3.9,10805,2.1,21430,5.6,29330,3.50 75,1,2024-09-07 08:18:41:597,73975,73975,0,0,34939520388,370289972,72853,1046,76,382,391514,0 75,2,2024-09-07 08:18:41:392,55784,55784,0,0,4185378,0,4766 75,3,2024-09-07 08:18:41:068,1,42,5,0,143,347,42,0 76,0,2024-09-07 08:18:40:609,8252,0.4,8249,0.6,16328,0.3,21495,2.25 76,1,2024-09-07 08:18:40:811,73432,73432,0,0,34113538617,364513060,71673,1253,506,382,391530,0 76,2,2024-09-07 08:18:41:064,50962,50962,0,0,2860813,0,3064 76,3,2024-09-07 08:18:41:150,1,42,10,0,175,884,42,0 77,0,2024-09-07 08:18:41:720,7528,0.3,7548,0.6,15137,0.2,20045,2.00 77,1,2024-09-07 08:18:40:833,73571,73571,0,0,34072233336,359183775,72696,807,68,383,391512,0 77,2,2024-09-07 08:18:41:297,51391,51391,0,0,2419298,0,1695 77,3,2024-09-07 08:18:41:099,1,42,4,0,139,506,42,0 78,0,2024-09-07 08:18:41:726,13199,2.1,13056,1.4,26313,4.0,35405,2.50 78,1,2024-09-07 08:18:40:611,74158,74158,0,0,33769478083,357622528,72844,1110,204,368,391589,0 78,2,2024-09-07 08:18:41:411,53116,53116,0,0,2694148,0,2114 78,3,2024-09-07 08:18:41:139,1,42,1,0,181,792,42,0 79,0,2024-09-07 08:18:41:393,11438,2.5,11761,1.6,23904,4.3,31194,4.50 79,1,2024-09-07 08:18:40:571,73737,73737,0,0,34927469546,366731100,72807,923,7,370,391494,0 79,2,2024-09-07 08:18:41:088,56451,56451,0,0,3099584,0,2679 79,3,2024-09-07 08:18:40:751,1,42,6,0,289,638,42,0 80,0,2024-09-07 08:18:41:136,7808,0.5,8059,0.8,15473,0.4,20541,2.25 80,1,2024-09-07 08:18:41:632,73010,73010,0,0,34863207266,369568060,71444,1451,115,371,391673,0 80,2,2024-09-07 08:18:41:105,50703,50703,0,0,3479608,0,4433 80,3,2024-09-07 08:18:40:582,1,42,15,1,148,890,42,0 81,0,2024-09-07 08:18:41:558,6902,0.5,7082,0.8,13522,0.4,17893,2.75 81,1,2024-09-07 08:18:41:655,73514,73514,0,0,34252125708,365542514,71797,1520,197,383,391646,0 81,2,2024-09-07 08:18:41:131,50476,50476,0,0,3489898,0,3993 81,3,2024-09-07 08:18:41:122,1,42,10,1,193,689,42,0 82,0,2024-09-07 08:18:41:551,11597,2.1,11557,1.6,23182,3.0,31615,2.50 82,1,2024-09-07 08:18:40:583,73110,73109,0,1,34673403969,370303175,71075,1462,572,384,391558,1 82,2,2024-09-07 08:18:41:691,53330,53330,0,0,2669372,0,2230 82,3,2024-09-07 08:18:41:762,1,42,6,0,211,685,42,0 83,0,2024-09-07 08:18:41:537,13610,2.5,13517,1.9,26748,3.0,35412,3.75 83,1,2024-09-07 08:18:40:554,73542,73542,0,0,34744898564,369676881,72147,1295,100,383,391553,0 83,2,2024-09-07 08:18:40:764,55274,55274,0,0,3635115,0,3119 83,3,2024-09-07 08:18:40:753,1,42,1,0,91,458,42,0 84,0,2024-09-07 08:18:41:882,9056,6.9,8972,6.7,17997,1.9,24756,4.00 84,1,2024-09-07 08:18:41:112,73391,73391,0,0,34686197952,370864495,71831,1316,244,369,391630,0 84,2,2024-09-07 08:18:40:571,52526,52526,0,0,3622319,0,3801 84,3,2024-09-07 08:18:41:153,1,42,10,1,43,433,42,0 85,0,2024-09-07 08:18:41:015,8307,0.4,8306,0.7,17587,0.3,22510,2.00 85,1,2024-09-07 08:18:40:565,72703,72703,0,0,35073841195,379472524,70276,2004,423,384,391626,0 85,2,2024-09-07 08:18:40:866,49948,49948,0,0,3673657,0,3656 85,3,2024-09-07 08:18:40:684,1,42,2,1,115,531,42,0 86,0,2024-09-07 08:18:40:894,9864,1.2,10303,1.1,19867,1.5,27107,2.25 86,1,2024-09-07 08:18:40:835,73057,73057,0,0,34920624020,372429280,71309,1535,213,367,391547,0 86,2,2024-09-07 08:18:40:859,52042,52041,1,0,3902550,0,5004 86,3,2024-09-07 08:18:40:592,1,42,5,1,199,736,42,0 87,0,2024-09-07 08:18:41:310,15514,3.6,15444,2.1,31124,4.5,41975,3.50 87,1,2024-09-07 08:18:40:561,73165,73165,0,0,34628341847,370171043,71609,1437,119,369,391671,0 87,2,2024-09-07 08:18:41:076,54138,54138,0,0,3116308,0,2859 87,3,2024-09-07 08:18:41:798,1,42,3,1,322,964,42,0 88,0,2024-09-07 08:18:41:448,10362,1.4,10444,1.3,20683,2.0,27885,2.00 88,1,2024-09-07 08:18:40:570,73355,73355,0,0,34921663529,371161861,72230,1032,93,367,391747,0 88,2,2024-09-07 08:18:40:689,55220,55220,0,0,4149430,0,3583 88,3,2024-09-07 08:18:41:290,1,42,16,1,77,653,42,0 89,0,2024-09-07 08:18:41:769,8667,0.4,8466,0.6,16751,0.3,22511,1.75 89,1,2024-09-07 08:18:40:550,72781,72781,0,0,35043391543,379489173,70365,1741,675,383,391866,0 89,2,2024-09-07 08:18:41:168,50250,50250,0,0,3430190,0,2726 89,3,2024-09-07 08:18:41:794,1,42,15,0,325,1243,42,0 90,0,2024-09-07 08:18:41:711,8053,0.4,8155,0.6,16814,0.3,22479,2.00 90,1,2024-09-07 08:18:40:611,73266,73266,0,0,34344794464,370167913,71241,1887,138,382,391825,0 90,2,2024-09-07 08:18:41:478,50900,50900,0,0,4225799,0,2635 90,3,2024-09-07 08:18:40:937,1,42,17,1,200,689,42,0 91,0,2024-09-07 08:18:40:994,14660,0.5,14233,0.7,29448,0.6,39008,2.00 91,1,2024-09-07 08:18:40:575,73256,73256,0,0,35110678657,377471145,70974,1922,360,384,391914,0 91,2,2024-09-07 08:18:41:387,52344,52344,0,0,3380499,0,1997 91,3,2024-09-07 08:18:40:624,1,42,36,1,155,626,42,0 92,0,2024-09-07 08:18:41:488,11594,2.2,11919,1.4,22909,3.9,31096,2.00 92,1,2024-09-07 08:18:40:581,73571,73571,0,0,34867621150,365964830,73147,375,49,383,391569,0 92,2,2024-09-07 08:18:41:420,57489,57489,0,0,3318240,0,2279 92,3,2024-09-07 08:18:41:013,1,42,8,1,68,555,42,0 93,0,2024-09-07 08:18:40:961,7994,0.4,8158,0.6,15603,0.3,20987,1.75 93,1,2024-09-07 08:18:40:806,73092,73092,0,0,34887264753,370957656,71609,1052,431,367,391689,0 93,2,2024-09-07 08:18:40:928,50674,50674,0,0,2720422,0,2509 93,3,2024-09-07 08:18:41:416,1,42,2,1,143,550,42,0 94,0,2024-09-07 08:18:41:679,6814,0.3,6729,0.5,13365,0.2,17977,1.50 94,1,2024-09-07 08:18:40:568,73299,73299,0,0,34662410998,368620118,72063,1178,58,381,391850,0 94,2,2024-09-07 08:18:40:774,50876,50876,0,0,2853340,0,2443 94,3,2024-09-07 08:18:41:689,1,42,4,1,231,989,42,0 95,0,2024-09-07 08:18:41:374,12233,0.5,12262,0.7,25167,0.5,33222,1.75 95,1,2024-09-07 08:18:40:861,73693,73693,0,0,34812200323,366102305,73032,597,64,368,391590,0 95,2,2024-09-07 08:18:41:018,52865,52865,0,0,3083134,0,3308 95,3,2024-09-07 08:18:41:713,1,42,1,0,307,1030,42,0 96,0,2024-09-07 08:18:41:060,13377,2.0,13194,1.3,26586,3.1,34755,2.25 96,1,2024-09-07 08:18:41:586,73427,73427,0,0,34815721282,373353416,71617,1242,568,385,391551,0 96,2,2024-09-07 08:18:41:299,56677,56677,0,0,3959727,0,4038 96,3,2024-09-07 08:18:41:153,1,42,9,2,36,536,42,0 97,0,2024-09-07 08:18:41:329,7880,0.6,7841,0.8,15551,0.7,20584,2.00 97,1,2024-09-07 08:18:40:765,73135,73135,0,0,34971865898,373404888,70994,1625,516,367,391626,0 97,2,2024-09-07 08:18:40:608,51376,51376,0,0,3132799,0,3036 97,3,2024-09-07 08:18:40:575,1,42,4,1,165,602,42,0 98,0,2024-09-07 08:18:41:732,7747,0.2,7705,0.4,15690,0.2,20229,1.50 98,1,2024-09-07 08:18:40:582,73351,73351,0,0,34781728571,368977917,72292,1016,43,382,391588,0 98,2,2024-09-07 08:18:40:768,50997,50997,0,0,3076864,0,3080 98,3,2024-09-07 08:18:40:699,1,42,9,1,155,559,42,0 99,0,2024-09-07 08:18:41:489,10982,0.4,10893,0.6,22112,0.4,29748,1.75 99,1,2024-09-07 08:18:41:726,73953,73953,0,0,34187118189,362916169,72639,876,438,381,391744,0 99,2,2024-09-07 08:18:41:438,52911,52911,0,0,3220542,0,1858 99,3,2024-09-07 08:18:40:586,1,42,11,0,129,478,42,0 100,0,2024-09-07 08:18:41:496,15020,3.1,14985,3.4,29892,5.4,40143,3.00 100,1,2024-09-07 08:18:40:568,73043,73043,0,0,34019801662,371059481,70406,2294,343,382,391585,0 100,2,2024-09-07 08:18:41:829,54400,54389,11,0,4538610,0,5417 100,3,2024-09-07 08:18:41:733,1,42,12,1,443,1565,42,0 101,0,2024-09-07 08:18:41:716,9707,6.2,9448,3.5,18777,3.9,26493,3.50 101,1,2024-09-07 08:18:40:562,73066,73066,0,0,34791713421,372280356,71622,996,448,370,391531,0 101,2,2024-09-07 08:18:41:757,54173,54173,0,0,3874556,0,4644 101,3,2024-09-07 08:18:40:954,1,42,3,1,448,823,42,0 102,0,2024-09-07 08:18:40:969,8627,0.6,8871,0.7,17804,0.4,23361,2.00 102,1,2024-09-07 08:18:41:173,73273,73273,0,0,35028565368,376501247,71130,1698,445,369,391647,0 102,2,2024-09-07 08:18:41:739,50572,50572,0,0,2672783,0,1945 102,3,2024-09-07 08:18:41:627,1,42,11,0,410,710,42,0 103,0,2024-09-07 08:18:41:666,9668,1.0,9628,1.1,18404,1.2,25454,2.25 103,1,2024-09-07 08:18:41:647,73528,73528,0,0,34839142038,370221268,72440,947,141,381,391680,0 103,2,2024-09-07 08:18:40:597,51993,51993,0,0,3221734,0,2104 103,3,2024-09-07 08:18:40:765,1,42,20,1,486,950,42,0 104,0,2024-09-07 08:18:41:057,15533,1.2,15837,1.2,29938,1.3,41419,2.25 104,1,2024-09-07 08:18:41:600,73669,73669,0,0,34490469551,370995720,71812,1532,325,368,391948,0 104,2,2024-09-07 08:18:41:685,52811,52811,0,0,3853752,0,3941 104,3,2024-09-07 08:18:41:427,1,42,15,2,1245,3161,42,0 105,0,2024-09-07 08:18:41:126,10597,3.5,10169,2.2,21131,5.8,29026,4.25 105,1,2024-09-07 08:18:40:554,73122,73122,0,0,35343911735,382227394,70272,2106,744,367,391797,0 105,2,2024-09-07 08:18:41:323,55583,55583,0,0,3714717,0,3314 105,3,2024-09-07 08:18:41:313,1,42,88,1,182,1061,42,0 106,0,2024-09-07 08:18:40:966,8041,0.4,8228,0.7,16652,0.2,21670,2.00 106,1,2024-09-07 08:18:41:766,73406,73406,0,0,34838347136,375181473,71021,2060,325,371,391767,0 106,2,2024-09-07 08:18:40:762,50337,50337,0,0,3331649,0,1818 106,3,2024-09-07 08:18:40:679,1,42,1,1,201,626,42,0 107,0,2024-09-07 08:18:41:123,7568,0.3,7578,0.6,14989,0.2,19934,1.75 107,1,2024-09-07 08:18:40:619,73059,73059,0,0,34451732595,370190748,71391,1500,168,382,392234,0 107,2,2024-09-07 08:18:41:319,51268,51267,1,0,3394763,0,5024 107,3,2024-09-07 08:18:41:762,1,42,1,0,353,918,42,0 108,0,2024-09-07 08:18:41:918,13238,1.1,13378,0.9,26599,1.5,35672,1.75 108,1,2024-09-07 08:18:41:303,73319,73319,0,0,35099551296,372034978,71976,1211,132,371,391857,0 108,2,2024-09-07 08:18:41:773,53211,53211,0,0,3321167,0,2647 108,3,2024-09-07 08:18:41:414,1,42,8,1,60,593,42,0 109,0,2024-09-07 08:18:41:816,12085,1.7,12171,1.2,23665,2.9,32218,2.00 109,1,2024-09-07 08:18:40:597,73216,73216,0,0,34787095803,372287116,71756,1078,382,385,391664,0 109,2,2024-09-07 08:18:40:924,55721,55721,0,0,3623885,0,3617 109,3,2024-09-07 08:18:41:140,1,42,1,1,120,675,42,0 110,0,2024-09-07 08:18:41:871,7894,0.3,7690,0.5,16046,0.2,20568,1.75 110,1,2024-09-07 08:18:41:669,73328,73328,0,0,34849889489,366751611,72260,785,283,370,391588,0 110,2,2024-09-07 08:18:41:317,51424,51424,0,0,2760819,0,2915 110,3,2024-09-07 08:18:40:712,1,42,5,0,183,457,42,0 111,0,2024-09-07 08:18:41:497,6750,0.2,6926,0.4,13506,0.1,17797,1.50 111,1,2024-09-07 08:18:41:004,74094,74094,0,0,35562758536,370456508,73709,372,13,382,391690,0 111,2,2024-09-07 08:18:41:137,50972,50972,0,0,2980164,0,2763 111,3,2024-09-07 08:18:40:913,1,42,0,0,119,861,42,0 112,0,2024-09-07 08:18:40:919,11769,0.7,11768,0.7,23384,0.6,31914,1.75 112,1,2024-09-07 08:18:40:830,73594,73594,0,0,34776715638,369791058,72101,1147,346,382,391522,0 112,2,2024-09-07 08:18:41:151,52444,52443,1,0,3668651,0,5036 112,3,2024-09-07 08:18:40:599,1,42,9,1,282,664,42,0 113,0,2024-09-07 08:18:40:882,13888,1.0,13689,1.0,27766,1.2,36739,2.00 113,1,2024-09-07 08:18:41:685,73421,73421,0,0,35077553727,372155625,71534,1504,383,368,391661,0 113,2,2024-09-07 08:18:41:314,55687,55687,0,0,2961661,0,3021 113,3,2024-09-07 08:18:40:684,1,42,1,1,166,758,42,0 114,0,2024-09-07 08:18:40:880,9428,4.9,9481,3.6,18707,2.3,25217,2.25 114,1,2024-09-07 08:18:40:720,73193,73193,0,0,34494444534,371605894,70768,1565,860,381,391513,0 114,2,2024-09-07 08:18:40:873,52661,52661,0,0,3583306,0,3925 114,3,2024-09-07 08:18:41:279,1,42,1,1,159,673,42,0 115,0,2024-09-07 08:18:40:572,8528,0.2,8593,0.4,17156,0.1,22617,1.50 115,1,2024-09-07 08:18:40:572,73515,73515,0,0,34739419720,372048243,71161,1867,487,384,391502,0 115,2,2024-09-07 08:18:41:132,51291,51291,0,0,2658635,0,2152 115,3,2024-09-07 08:18:41:004,1,42,1,0,159,425,42,0 116,0,2024-09-07 08:18:41:710,9986,2.2,9890,1.5,19866,3.6,27104,2.50 116,1,2024-09-07 08:18:40:804,72791,72791,0,0,34741114795,376290927,70974,950,867,382,391573,0 116,2,2024-09-07 08:18:41:759,51814,51814,0,0,3685094,0,3529 116,3,2024-09-07 08:18:40:911,1,42,8,2,252,1084,42,0 117,0,2024-09-07 08:18:40:974,15656,3.1,15722,1.8,31373,4.2,42151,2.25 117,1,2024-09-07 08:18:41:605,73416,73416,0,0,34313570517,369079458,71360,1769,287,371,392033,0 117,2,2024-09-07 08:18:41:119,53951,53951,0,0,3431658,0,3700 117,3,2024-09-07 08:18:41:059,1,42,4,1,490,1393,42,0 118,0,2024-09-07 08:18:41:887,9960,1.4,10195,1.2,20937,1.9,27846,2.25 118,1,2024-09-07 08:18:40:611,73381,73381,0,0,34870682878,371522094,72201,1104,76,368,391736,0 118,2,2024-09-07 08:18:41:597,55520,55520,0,0,3679747,0,2781 118,3,2024-09-07 08:18:41:782,1,42,16,1,235,978,42,0 119,0,2024-09-07 08:18:41:351,8256,0.3,8364,0.6,17139,0.2,22420,1.75 119,1,2024-09-07 08:18:40:573,73453,73453,0,0,35227669462,375872478,71865,1440,148,371,391617,0 119,2,2024-09-07 08:18:41:264,50049,50049,0,0,3224540,0,2532 119,3,2024-09-07 08:18:41:325,1,42,1,1,443,1549,42,0 120,0,2024-09-07 08:18:41:616,8332,0.4,8253,0.8,16428,0.3,21972,2.00 120,1,2024-09-07 08:18:40:868,73449,73449,0,0,34427463707,368979014,72122,1312,15,368,391702,0 120,2,2024-09-07 08:18:40:779,51001,51000,1,0,4231907,0,5281 120,3,2024-09-07 08:18:41:299,1,42,10,1,241,881,42,0 121,0,2024-09-07 08:18:41:700,14410,1.9,14476,1.5,28879,3.5,39012,2.50 121,1,2024-09-07 08:18:41:656,73361,73361,0,0,34696956651,370199776,71900,1218,243,370,391807,0 121,2,2024-09-07 08:18:41:138,52510,52510,0,0,4709816,0,4127 121,3,2024-09-07 08:18:40:727,1,42,0,0,101,450,42,0 122,0,2024-09-07 08:18:41:850,11395,3.3,10985,2.9,22844,3.6,31222,2.75 122,1,2024-09-07 08:18:40:886,72507,72507,0,0,34659674854,374953089,70034,1999,474,369,392130,0 122,2,2024-09-07 08:18:41:323,56323,56323,0,0,4914671,0,3364 122,3,2024-09-07 08:18:40:596,1,42,1,1,226,1183,42,0 123,0,2024-09-07 08:18:40:988,8028,0.3,7731,0.6,16100,0.2,21009,1.75 123,1,2024-09-07 08:18:40:577,73103,73103,0,0,34542151473,377010606,69714,2822,567,371,391823,0 123,2,2024-09-07 08:18:41:027,50302,50301,1,0,3425919,0,5215 123,3,2024-09-07 08:18:41:145,1,42,125,1,160,779,42,0 124,0,2024-09-07 08:18:40:940,6852,0.3,6894,0.5,12999,0.2,17994,1.75 124,1,2024-09-07 08:18:41:031,73718,73718,0,0,34715358536,363805129,73069,510,139,369,392178,0 124,2,2024-09-07 08:18:41:015,50568,50568,0,0,2631725,0,2477 124,3,2024-09-07 08:18:40:763,1,42,8,2,490,1310,42,0 125,0,2024-09-07 08:18:41:486,12484,0.7,12389,0.8,24851,0.9,33245,2.00 125,1,2024-09-07 08:18:40:856,73325,73325,0,0,34809668463,370984293,71699,1355,271,385,391702,0 125,2,2024-09-07 08:18:41:126,52851,52851,0,0,3079784,0,2180 125,3,2024-09-07 08:18:41:127,1,42,1,1,93,798,42,0 126,0,2024-09-07 08:18:41:442,13336,1.9,13602,1.3,26121,2.7,35162,2.00 126,1,2024-09-07 08:18:40:568,74035,74035,0,0,35000183671,366670884,73528,498,9,368,391719,0 126,2,2024-09-07 08:18:40:619,56788,56788,0,0,3283367,0,3186 126,3,2024-09-07 08:18:40:908,1,42,54,0,122,629,42,0 127,0,2024-09-07 08:18:41:660,7985,0.3,7901,0.5,15757,0.2,20548,1.75 127,1,2024-09-07 08:18:40:573,73296,73296,0,0,34566414948,363047999,72328,945,23,365,391614,0 127,2,2024-09-07 08:18:40:639,52055,52055,0,0,2715189,0,1803 127,3,2024-09-07 08:18:41:269,1,42,6,0,99,511,42,0 128,0,2024-09-07 08:18:41:552,7797,0.3,7874,0.5,15316,0.2,20205,1.75 128,1,2024-09-07 08:18:41:613,73774,73774,0,0,35153405511,368860703,73089,619,66,369,391605,0 128,2,2024-09-07 08:18:41:424,50094,50094,0,0,3358101,0,2107 128,3,2024-09-07 08:18:40:768,1,42,112,1,112,589,42,0 129,0,2024-09-07 08:18:41:027,10986,0.6,11203,0.8,22050,0.7,29733,2.00 129,1,2024-09-07 08:18:40:571,73411,73411,0,0,34684370020,370919303,71606,1533,272,379,391835,0 129,2,2024-09-07 08:18:40:692,52157,52157,0,0,2874568,0,2446 129,3,2024-09-07 08:18:40:692,1,42,3,1,173,833,42,0 130,0,2024-09-07 08:18:41:763,15337,1.8,15221,1.3,30389,2.4,40739,2.50 130,1,2024-09-07 08:18:40:586,73898,73898,0,0,34721414617,368294224,72650,1221,27,381,391520,0 130,2,2024-09-07 08:18:41:135,54972,54972,0,0,3694628,0,4067 130,3,2024-09-07 08:18:41:298,1,42,8,1,207,533,42,0 131,0,2024-09-07 08:18:41:939,9840,1.7,9646,1.4,19710,2.3,26492,2.25 131,1,2024-09-07 08:18:41:835,73757,73757,0,0,34974938155,370103794,72779,792,186,385,391865,0 131,2,2024-09-07 08:18:40:586,53833,53833,0,0,3021535,0,2415 131,3,2024-09-07 08:18:41:692,1,42,4,1,392,670,42,0 132,0,2024-09-07 08:18:41:444,8685,0.4,8924,0.8,17570,0.3,23494,1.75 132,1,2024-09-07 08:18:40:581,72573,72573,0,0,34082820672,369503066,70082,1787,704,383,391533,0 132,2,2024-09-07 08:18:40:698,50293,50293,0,0,4746173,0,4606 132,3,2024-09-07 08:18:41:694,1,42,43,1,356,860,42,0 133,0,2024-09-07 08:18:41:550,9192,0.9,9383,1.0,19366,1.1,25010,2.25 133,1,2024-09-07 08:18:40:587,72659,72659,0,0,34641112360,373785525,70567,2001,91,383,391755,0 133,2,2024-09-07 08:18:41:090,52264,52264,0,0,3856566,0,2444 133,3,2024-09-07 08:18:41:301,1,42,5,1,187,477,42,0 134,0,2024-09-07 08:18:40:958,15663,0.7,15538,0.9,31183,0.7,41956,2.25 134,1,2024-09-07 08:18:40:600,73183,73183,0,0,34453823878,372419677,70580,1623,980,366,391718,0 134,2,2024-09-07 08:18:41:765,53154,53154,0,0,3130958,0,2026 134,3,2024-09-07 08:18:40:752,1,42,1,1,245,780,42,0 135,0,2024-09-07 08:18:41:127,10503,2.7,10564,2.3,22145,3.0,29038,2.75 135,1,2024-09-07 08:18:41:588,72804,72804,0,0,34944505360,374166272,70919,1560,325,383,391591,0 135,2,2024-09-07 08:18:40:697,56276,56276,0,0,3844842,0,3981 135,3,2024-09-07 08:18:41:008,1,42,1,0,68,404,42,0 136,0,2024-09-07 08:18:41:635,8273,0.5,8243,0.8,16441,0.3,21710,2.25 136,1,2024-09-07 08:18:41:452,73573,73573,0,0,34394960513,367947735,71905,1526,142,384,391518,0 136,2,2024-09-07 08:18:41:136,51226,51226,0,0,2947535,0,2379 136,3,2024-09-07 08:18:41:111,1,42,8,1,108,654,42,0 137,0,2024-09-07 08:18:40:929,7759,0.4,7596,0.7,15043,0.3,20026,2.00 137,1,2024-09-07 08:18:40:579,73319,73319,0,0,35132268385,375106490,71563,1646,110,368,391608,0 137,2,2024-09-07 08:18:41:708,50680,50680,0,0,3818908,0,2632 137,3,2024-09-07 08:18:40:772,1,42,2,1,227,768,42,0 138,0,2024-09-07 08:18:41:770,12648,3.6,13007,2.0,26090,4.3,35458,3.50 138,1,2024-09-07 08:18:41:720,72838,72838,0,0,34543868288,370890324,70648,1931,259,371,391615,0 138,2,2024-09-07 08:18:40:598,53523,53523,0,0,3325644,0,3263 138,3,2024-09-07 08:18:40:619,1,42,9,1,68,373,42,0 139,0,2024-09-07 08:18:41:410,11552,5.6,11505,3.2,23317,6.1,31600,4.50 139,1,2024-09-07 08:18:40:581,72863,72863,0,0,34413977002,376144796,70210,1942,711,383,391892,0 139,2,2024-09-07 08:18:40:697,56015,56015,0,0,4182111,0,2425 139,3,2024-09-07 08:18:41:668,1,42,9,1,244,1082,42,0 140,0,2024-09-07 08:18:41:605,7868,0.4,7744,0.6,15717,0.2,20650,1.75 140,1,2024-09-07 08:18:41:545,74279,74279,0,0,35546593600,370766756,73659,429,191,365,391483,0 140,2,2024-09-07 08:18:40:687,51079,51079,0,0,3392198,0,3388 140,3,2024-09-07 08:18:40:768,1,42,8,1,25,405,42,0 141,0,2024-09-07 08:18:41:707,6688,0.2,6948,0.4,13427,0.1,17844,1.50 141,1,2024-09-07 08:18:40:867,73975,73975,0,0,35281234869,372657060,72592,1052,331,382,391538,0 141,2,2024-09-07 08:18:41:687,51333,51333,0,0,2909476,0,2342 141,3,2024-09-07 08:18:41:043,1,42,1,0,53,309,42,0 142,0,2024-09-07 08:18:41:322,11932,0.4,11810,0.6,23394,0.3,31767,1.75 142,1,2024-09-07 08:18:40:583,73700,73700,0,0,34858746383,365981569,73385,313,2,384,391649,0 142,2,2024-09-07 08:18:41:300,52461,52461,0,0,3273024,0,2293 142,3,2024-09-07 08:18:41:751,1,42,1,1,263,581,42,0 143,0,2024-09-07 08:18:41:487,13801,1.5,13759,1.2,27775,1.9,36607,2.25 143,1,2024-09-07 08:18:40:560,73571,73571,0,0,34972896323,367198998,72635,912,24,367,391608,0 143,2,2024-09-07 08:18:40:782,55312,55312,0,0,3539138,0,2669 143,3,2024-09-07 08:18:41:149,1,42,4,1,236,994,42,0 144,0,2024-09-07 08:18:41:522,8947,2.9,9268,7.8,18519,2.5,25070,2.75 144,1,2024-09-07 08:18:40:591,73166,73166,0,0,34539974228,369774672,71619,1359,188,383,391638,0 144,2,2024-09-07 08:18:41:771,52778,52778,0,0,3234232,0,3473 144,3,2024-09-07 08:18:41:743,1,42,22,1,112,717,42,0 145,0,2024-09-07 08:18:41:384,8255,0.4,8217,0.7,17351,0.3,22491,2.00 145,1,2024-09-07 08:18:40:565,72628,72628,0,0,35039400176,374948681,70918,1449,261,383,391615,0 145,2,2024-09-07 08:18:41:479,50560,50560,0,0,3850218,0,3903 145,3,2024-09-07 08:18:40:896,1,42,1,0,151,796,42,0 146,0,2024-09-07 08:18:41:617,9978,2.1,9945,1.5,20020,3.0,26953,2.50 146,1,2024-09-07 08:18:41:591,73319,73319,0,0,34453559758,369186829,71195,1825,299,370,391600,0 146,2,2024-09-07 08:18:41:698,52140,52140,0,0,3119556,0,2149 146,3,2024-09-07 08:18:41:282,1,42,2,0,1520,2851,42,0 147,0,2024-09-07 08:18:41:751,15638,3.7,15340,2.1,30554,5.2,42172,3.75 147,1,2024-09-07 08:18:41:409,73562,73562,0,0,34860390639,366248784,72541,885,136,369,391791,0 147,2,2024-09-07 08:18:41:013,54466,54466,0,0,3345807,0,2789 147,3,2024-09-07 08:18:40:921,1,42,3,1,141,648,42,0 0,0,2024-09-07 08:18:51:755,8558,0.9,8477,1.0,17896,1.1,23660,2.00 0,1,2024-09-07 08:18:50:808,75342,75342,0,0,35713871633,380927183,74142,1074,126,372,391673,0 0,2,2024-09-07 08:18:51:080,52388,52388,0,0,3509381,0,4480 0,3,2024-09-07 08:18:50:974,1,43,8,2,247,787,43,0 1,0,2024-09-07 08:18:51:820,14548,2.3,14523,1.8,29385,2.6,39539,2.75 1,1,2024-09-07 08:18:50:559,74826,74826,0,0,35094938280,375764294,73169,1132,525,372,391857,0 1,2,2024-09-07 08:18:50:642,53887,53887,0,0,3202322,0,3267 1,3,2024-09-07 08:18:51:315,1,43,5,1,167,521,43,0 2,0,2024-09-07 08:18:51:573,11515,3.1,11763,1.9,23179,5.0,31356,3.75 2,1,2024-09-07 08:18:50:867,74882,74882,0,0,35997990377,380624780,73812,867,203,382,391531,0 2,2,2024-09-07 08:18:51:278,57043,57043,0,0,3678728,0,3304 2,3,2024-09-07 08:18:50:697,1,43,1,1,87,413,43,0 3,0,2024-09-07 08:18:51:789,8257,0.4,8180,0.7,16420,0.3,21574,2.00 3,1,2024-09-07 08:18:51:621,74865,74865,0,0,35462461498,378235101,72923,1556,386,382,391494,0 3,2,2024-09-07 08:18:51:150,51965,51942,23,0,3873358,0,5851 3,3,2024-09-07 08:18:51:765,1,43,22,0,103,436,43,0 4,0,2024-09-07 08:18:51:842,6796,0.4,7104,0.6,14153,0.2,19000,1.75 4,1,2024-09-07 08:18:50:592,74939,74939,0,0,34856971750,374996272,73122,1401,416,372,391846,0 4,2,2024-09-07 08:18:51:018,51944,51944,0,0,4226532,0,4528 4,3,2024-09-07 08:18:51:031,1,43,9,1,287,891,43,0 5,0,2024-09-07 08:18:51:435,12841,1.1,12628,1.0,25797,1.6,34554,2.25 5,1,2024-09-07 08:18:50:766,74819,74819,0,0,35638692288,382718947,72681,1700,438,368,392005,0 5,2,2024-09-07 08:18:51:842,53864,53864,0,0,3397624,0,2259 5,3,2024-09-07 08:18:51:752,1,43,5,1,182,1023,43,0 6,0,2024-09-07 08:18:50:934,13315,2.8,13166,1.9,26224,4.8,35149,4.25 6,1,2024-09-07 08:18:50:750,75470,75470,0,0,35604651120,376484041,74391,1010,69,382,391603,0 6,2,2024-09-07 08:18:51:117,57970,57970,0,0,3700050,0,2411 6,3,2024-09-07 08:18:51:279,1,43,340,1,340,1109,43,0 7,0,2024-09-07 08:18:51:541,7844,0.4,7861,0.6,15888,0.2,20930,1.75 7,1,2024-09-07 08:18:50:860,74987,74987,0,0,35958704543,381200290,74009,914,64,383,391558,0 7,2,2024-09-07 08:18:50:771,52310,52310,0,0,3298634,0,2981 7,3,2024-09-07 08:18:50:857,1,43,12,0,83,486,43,0 8,0,2024-09-07 08:18:51:356,7988,0.4,7773,0.6,15427,0.2,20296,1.75 8,1,2024-09-07 08:18:51:017,74988,74988,0,0,35743418608,391645973,71553,2185,1250,368,391724,0 8,2,2024-09-07 08:18:50:800,51239,51239,0,0,4019924,0,2986 8,3,2024-09-07 08:18:50:588,1,43,15,0,229,820,43,0 9,0,2024-09-07 08:18:51:245,11490,0.5,11116,0.7,23225,0.4,30575,1.75 9,1,2024-09-07 08:18:50:571,74802,74802,0,0,36291166265,389933286,72579,1658,565,370,391559,0 9,2,2024-09-07 08:18:51:112,53370,53370,0,0,3819433,0,3360 9,3,2024-09-07 08:18:51:765,1,43,1,0,149,736,43,0 10,0,2024-09-07 08:18:51:608,15173,1.7,15249,1.3,30459,3.2,40298,3.75 10,1,2024-09-07 08:18:50:589,74441,74441,0,0,35392256507,385082055,71137,2782,522,383,391541,0 10,2,2024-09-07 08:18:50:767,55928,55928,0,0,4012830,0,2940 10,3,2024-09-07 08:18:50:883,1,43,136,0,136,471,43,0 11,0,2024-09-07 08:18:51:028,9659,3.7,9405,2.4,19710,5.8,27041,3.00 11,1,2024-09-07 08:18:50:575,75008,75008,0,0,35385634469,382395366,72401,1793,814,384,391537,0 11,2,2024-09-07 08:18:51:132,54590,54590,0,0,3897085,0,2635 11,3,2024-09-07 08:18:51:310,1,43,6,0,720,2138,43,0 12,0,2024-09-07 08:18:51:076,9159,0.3,9276,0.5,18385,0.2,24298,1.75 12,1,2024-09-07 08:18:50:950,75004,75004,0,0,34619146620,368284923,73737,1231,36,372,391790,0 12,2,2024-09-07 08:18:51:561,52524,52524,0,0,3255005,0,2254 12,3,2024-09-07 08:18:51:066,1,43,8,1,358,1192,43,0 13,0,2024-09-07 08:18:51:423,9693,0.4,9895,0.6,19656,0.4,26074,1.75 13,1,2024-09-07 08:18:51:538,75400,75400,0,0,35508459398,376390417,74649,711,40,384,391563,0 13,2,2024-09-07 08:18:50:609,53500,53500,0,0,2729409,0,3287 13,3,2024-09-07 08:18:51:771,1,43,21,1,153,662,43,0 14,0,2024-09-07 08:18:50:569,16049,0.7,16146,0.8,31900,0.8,42868,2.00 14,1,2024-09-07 08:18:51:563,75907,75907,0,0,35370034116,370720770,75177,700,30,365,391546,0 14,2,2024-09-07 08:18:50:781,54481,54481,0,0,3626366,0,2793 14,3,2024-09-07 08:18:51:117,1,43,1,1,906,1210,43,0 15,0,2024-09-07 08:18:51:582,10997,3.7,10903,2.2,21777,6.2,29826,3.75 15,1,2024-09-07 08:18:51:615,75307,75307,0,0,35497630280,372772836,74760,545,2,381,391536,0 15,2,2024-09-07 08:18:51:010,57256,57256,0,0,2784662,0,3043 15,3,2024-09-07 08:18:51:415,1,43,1,0,538,1145,43,0 16,0,2024-09-07 08:18:51:007,8374,0.4,8466,0.6,16674,0.2,22122,2.00 16,1,2024-09-07 08:18:50:570,75244,75244,0,0,35071305579,372867602,74160,1062,22,372,391543,0 16,2,2024-09-07 08:18:51:456,51272,51272,0,0,3800954,0,4719 16,3,2024-09-07 08:18:51:158,1,43,7,1,231,1118,43,0 17,0,2024-09-07 08:18:51:835,7934,0.4,7906,0.6,15358,0.3,20724,2.00 17,1,2024-09-07 08:18:50:592,74722,74722,0,0,35366123007,380462146,72936,1267,519,369,391688,0 17,2,2024-09-07 08:18:51:671,53049,53049,0,0,2923891,0,2857 17,3,2024-09-07 08:18:50:590,1,43,46,0,268,1251,43,0 18,0,2024-09-07 08:18:50:955,13410,0.9,13638,0.9,27450,1.0,36530,2.25 18,1,2024-09-07 08:18:51:638,75122,75122,0,0,35474115837,375442390,73880,1024,218,369,391526,0 18,2,2024-09-07 08:18:51:755,53619,53619,0,0,3857694,0,3541 18,3,2024-09-07 08:18:50:911,1,43,2,0,163,730,43,0 19,0,2024-09-07 08:18:51:546,11753,3.1,11879,2.1,23394,5.9,31205,5.75 19,1,2024-09-07 08:18:50:572,75011,75011,0,0,35555028810,378729604,73255,1594,162,367,391696,0 19,2,2024-09-07 08:18:51:766,57829,57829,0,0,3725574,0,3988 19,3,2024-09-07 08:18:51:132,1,43,1,0,524,718,43,0 20,0,2024-09-07 08:18:51:402,8015,0.3,8072,0.5,15804,0.2,20520,1.75 20,1,2024-09-07 08:18:50:581,74922,74922,0,0,35730217481,380834818,73247,1515,160,370,391598,0 20,2,2024-09-07 08:18:50:937,51895,51895,0,0,3041551,0,2446 20,3,2024-09-07 08:18:50:590,1,43,42,1,99,761,43,0 21,0,2024-09-07 08:18:51:185,7125,0.4,7029,0.7,13953,0.3,18037,2.00 21,1,2024-09-07 08:18:51:568,74459,74459,0,0,35003580094,377775081,72231,1740,488,368,391962,0 21,2,2024-09-07 08:18:51:081,52079,52079,0,0,3422509,0,3747 21,3,2024-09-07 08:18:51:406,1,43,21,0,93,708,43,0 22,0,2024-09-07 08:18:51:734,11952,1.0,11963,1.2,23741,1.1,32391,2.50 22,1,2024-09-07 08:18:51:028,74496,74496,0,0,34985879975,379180599,71579,2192,725,382,391667,0 22,2,2024-09-07 08:18:50:775,53794,53794,0,0,3200083,0,3134 22,3,2024-09-07 08:18:51:071,1,43,1,0,62,265,43,0 23,0,2024-09-07 08:18:51:383,13674,2.5,13934,1.5,27683,3.9,36814,3.25 23,1,2024-09-07 08:18:51:004,75700,75700,0,0,35596645128,375876115,74358,1088,254,368,391496,0 23,2,2024-09-07 08:18:51:097,57563,57563,0,0,3318270,0,2078 23,3,2024-09-07 08:18:51:766,1,43,2,0,645,1164,43,0 24,0,2024-09-07 08:18:50:876,9677,0.8,9762,0.9,19438,1.3,25580,1.75 24,1,2024-09-07 08:18:50:584,75646,75646,0,0,35359070487,373583949,74852,788,6,371,391640,0 24,2,2024-09-07 08:18:51:089,53026,53026,0,0,4358815,0,2942 24,3,2024-09-07 08:18:51:686,1,43,21,1,234,810,43,0 25,0,2024-09-07 08:18:51:367,8963,0.3,8859,0.5,17048,0.2,23100,1.75 25,1,2024-09-07 08:18:50:559,74579,74579,0,0,35713207047,381650050,72897,1505,177,373,391579,0 25,2,2024-09-07 08:18:51:683,51736,51736,0,0,3841948,0,3284 25,3,2024-09-07 08:18:51:007,1,43,1,1,158,529,43,0 26,0,2024-09-07 08:18:51:736,10285,0.7,10135,0.8,21278,0.8,28307,2.00 26,1,2024-09-07 08:18:51:550,74677,74677,0,0,35212787500,382376542,71547,2331,799,382,391542,0 26,2,2024-09-07 08:18:50:863,53601,53601,0,0,3798479,0,2809 26,3,2024-09-07 08:18:51:712,1,43,1,0,796,1138,43,0 27,0,2024-09-07 08:18:51:763,15769,1.7,15846,1.2,31728,2.2,42509,2.50 27,1,2024-09-07 08:18:51:691,75527,75527,0,0,36059465624,379195083,74663,581,283,381,391526,0 27,2,2024-09-07 08:18:50:871,54501,54501,0,0,5016733,0,3409 27,3,2024-09-07 08:18:51:015,1,43,564,1,564,973,43,0 28,0,2024-09-07 08:18:51:395,10636,1.0,10769,1.1,21316,1.3,28697,2.00 28,1,2024-09-07 08:18:50:800,75590,75590,0,0,36346620908,382149400,75071,510,9,383,391500,0 28,2,2024-09-07 08:18:51:766,55760,55760,0,0,3088326,0,2609 28,3,2024-09-07 08:18:51:776,1,43,26,1,502,1062,43,0 29,0,2024-09-07 08:18:51:370,8821,0.3,8685,0.5,17162,0.2,23022,1.75 29,1,2024-09-07 08:18:51:569,76184,76184,0,0,35555075015,371224203,75493,519,172,369,391621,0 29,2,2024-09-07 08:18:50:863,52414,52414,0,0,2442579,0,2026 29,3,2024-09-07 08:18:50:968,1,43,15,1,105,533,43,0 30,0,2024-09-07 08:18:51:473,8769,0.6,8534,0.8,17520,0.4,23642,2.50 30,1,2024-09-07 08:18:50:581,75072,75072,0,0,36456462106,384634852,74103,904,65,382,391524,0 30,2,2024-09-07 08:18:51:281,53061,53061,0,0,2491082,0,2534 30,3,2024-09-07 08:18:50:585,1,43,84,0,195,528,43,0 31,0,2024-09-07 08:18:51:783,14926,0.5,14946,0.7,29925,0.5,39647,2.00 31,1,2024-09-07 08:18:50:573,75559,75559,0,0,36261872784,375029948,75329,228,2,356,391553,0 31,2,2024-09-07 08:18:51:281,54250,54250,0,0,3925178,0,3525 31,3,2024-09-07 08:18:51:707,1,43,6,0,129,395,43,0 32,0,2024-09-07 08:18:51:431,11759,2.5,11866,1.4,23831,3.8,31943,2.00 32,1,2024-09-07 08:18:50:805,75285,75285,0,0,35476941125,374483285,74291,966,28,382,391595,0 32,2,2024-09-07 08:18:50:940,58049,58049,0,0,3447038,0,3155 32,3,2024-09-07 08:18:51:016,1,43,8,0,110,572,43,0 33,0,2024-09-07 08:18:51:500,8266,0.3,8214,0.5,16539,0.2,21509,1.75 33,1,2024-09-07 08:18:50:586,75152,75152,0,0,36258785011,383391288,73502,1527,123,369,391497,0 33,2,2024-09-07 08:18:50:769,51268,51235,33,0,4619070,0,7012 33,3,2024-09-07 08:18:50:903,1,43,10,1,51,232,43,0 34,0,2024-09-07 08:18:50:948,7043,0.2,7211,0.4,13951,0.1,18877,1.50 34,1,2024-09-07 08:18:51:051,75699,75699,0,0,36014027051,375187773,75261,435,3,368,391517,0 34,2,2024-09-07 08:18:50:771,51668,51668,0,0,3742745,0,3255 34,3,2024-09-07 08:18:51:688,1,43,148,0,148,577,43,0 35,0,2024-09-07 08:18:50:867,12648,1.2,12726,1.0,25551,1.8,34343,2.00 35,1,2024-09-07 08:18:51:081,75215,75215,0,0,35464655028,374170920,73787,1067,361,384,391587,0 35,2,2024-09-07 08:18:51:692,53981,53981,0,0,3311740,0,2542 35,3,2024-09-07 08:18:50:907,1,43,133,0,219,951,43,0 36,0,2024-09-07 08:18:51:525,13290,3.0,13090,1.9,26777,4.2,35255,3.25 36,1,2024-09-07 08:18:50:584,74735,74735,0,0,35475066403,383219086,71725,2079,931,369,391535,0 36,2,2024-09-07 08:18:51:767,57645,57645,0,0,3935757,0,3303 36,3,2024-09-07 08:18:50:865,1,43,20,0,378,934,43,0 37,0,2024-09-07 08:18:51:400,8009,0.3,7962,0.5,16039,0.2,20976,1.75 37,1,2024-09-07 08:18:50:580,74406,74399,0,7,35794589237,389990069,71562,1320,1517,365,391507,0 37,2,2024-09-07 08:18:51:149,52815,52815,0,0,2919570,0,2333 37,3,2024-09-07 08:18:51:766,1,43,111,0,724,1636,43,0 38,0,2024-09-07 08:18:51:459,7870,0.3,7601,0.6,15735,0.2,20309,1.75 38,1,2024-09-07 08:18:51:616,75165,75165,0,0,35514288338,380116754,73037,1681,447,370,391512,0 38,2,2024-09-07 08:18:50:768,52063,52063,0,0,3611833,0,3245 38,3,2024-09-07 08:18:51:003,1,43,3,0,603,988,43,0 39,0,2024-09-07 08:18:51:785,11831,0.7,11615,0.8,22681,0.8,30730,2.00 39,1,2024-09-07 08:18:50:729,74928,74928,0,0,35163716566,376638299,72422,1868,638,366,391524,0 39,2,2024-09-07 08:18:51:444,53448,53448,0,0,3169240,0,2381 39,3,2024-09-07 08:18:50:716,1,43,1,0,276,1063,43,0 40,0,2024-09-07 08:18:51:494,14844,2.8,15057,2.7,29626,5.2,39955,4.00 40,1,2024-09-07 08:18:50:579,75152,75152,0,0,35099341161,378121944,72475,1966,711,371,391591,0 40,2,2024-09-07 08:18:51:316,55855,55854,1,0,4357737,0,5137 40,3,2024-09-07 08:18:51:156,1,43,17,1,63,473,43,0 41,0,2024-09-07 08:18:51:029,9387,3.2,9650,7.5,18579,6.8,25899,4.25 41,1,2024-09-07 08:18:50:778,74687,74687,0,0,35506762283,379500210,72796,1619,272,370,391483,0 41,2,2024-09-07 08:18:50:766,54351,54351,0,0,4545914,0,3356 41,3,2024-09-07 08:18:51:680,1,43,1,1,107,427,43,0 42,0,2024-09-07 08:18:51:501,9045,0.4,9192,0.8,18222,0.3,24320,2.00 42,1,2024-09-07 08:18:51:441,74959,74959,0,0,35610503978,386336198,72095,2034,830,381,391511,0 42,2,2024-09-07 08:18:51:137,52161,52161,0,0,3824019,0,3568 42,3,2024-09-07 08:18:51:019,1,43,9,0,100,517,43,0 43,0,2024-09-07 08:18:50:928,9668,2.6,9434,1.7,19626,3.6,26035,2.25 43,1,2024-09-07 08:18:50:580,74832,74832,0,0,35660306808,380289480,73076,1164,592,367,391604,0 43,2,2024-09-07 08:18:51:767,53164,53164,0,0,3928977,0,3812 43,3,2024-09-07 08:18:51:750,1,43,1,1,292,1113,43,0 44,0,2024-09-07 08:18:50:890,16004,0.6,16091,0.9,31855,0.7,43513,2.00 44,1,2024-09-07 08:18:50:569,75513,75513,0,0,35390602167,369703240,74861,641,11,357,391505,0 44,2,2024-09-07 08:18:51:282,54287,54287,0,0,2651120,0,1865 44,3,2024-09-07 08:18:51:103,1,43,11,1,817,1245,43,0 45,0,2024-09-07 08:18:51:779,10866,3.9,10691,2.2,22307,6.0,30067,2.25 45,1,2024-09-07 08:18:51:007,75395,75395,0,0,36272153168,379726713,75045,350,0,382,391917,0 45,2,2024-09-07 08:18:51:277,57426,57426,0,0,3473848,0,2628 45,3,2024-09-07 08:18:50:939,1,43,99,1,226,649,43,0 46,0,2024-09-07 08:18:50:968,8380,0.3,8440,0.5,16965,0.2,22123,1.75 46,1,2024-09-07 08:18:50:584,75736,75736,0,0,35846806459,374161121,75072,638,26,368,391514,0 46,2,2024-09-07 08:18:50:598,52070,52070,0,0,2974361,0,2920 46,3,2024-09-07 08:18:51:130,1,43,6,0,315,1010,43,0 47,0,2024-09-07 08:18:51:112,7752,0.2,7907,0.4,15642,0.1,20698,1.50 47,1,2024-09-07 08:18:50:576,75827,75827,0,0,35581132460,369946132,75448,376,3,367,391489,0 47,2,2024-09-07 08:18:50:911,53209,53209,0,0,3142339,0,2558 47,3,2024-09-07 08:18:51:127,1,43,1,1,529,1013,43,0 48,0,2024-09-07 08:18:51:540,13807,0.4,13714,0.6,27189,0.4,36867,1.75 48,1,2024-09-07 08:18:51:023,75365,75365,0,0,35957873722,379821374,74171,1189,5,386,391637,0 48,2,2024-09-07 08:18:50:699,54361,54361,0,0,2633625,0,2083 48,3,2024-09-07 08:18:50:756,1,43,14,1,30,449,43,0 49,0,2024-09-07 08:18:51:738,12311,3.0,12186,1.7,23598,5.0,32598,2.50 49,1,2024-09-07 08:18:51:024,75221,75221,0,0,35598629523,379845952,73486,1026,709,382,391583,0 49,2,2024-09-07 08:18:51:820,57990,57990,0,0,3802808,0,3900 49,3,2024-09-07 08:18:51:416,1,43,2,0,274,680,43,0 50,0,2024-09-07 08:18:51:518,8051,0.3,7926,0.5,15816,0.2,20910,1.75 50,1,2024-09-07 08:18:51:010,75905,75905,0,0,36138502999,381794730,74242,1366,297,369,391530,0 50,2,2024-09-07 08:18:51:072,52345,52345,0,0,2723817,0,2253 50,3,2024-09-07 08:18:51:310,1,43,1,1,335,693,43,0 51,0,2024-09-07 08:18:51:692,7193,0.2,6979,0.4,13759,0.1,18224,1.50 51,1,2024-09-07 08:18:51:680,74900,74900,0,0,36663705774,388592518,73125,993,782,367,391520,0 51,2,2024-09-07 08:18:51:320,52097,52097,0,0,2334126,0,2448 51,3,2024-09-07 08:18:51:031,1,43,1,0,162,434,43,0 52,0,2024-09-07 08:18:51:421,12197,1.4,12219,1.3,24480,1.8,32866,3.25 52,1,2024-09-07 08:18:50:577,74932,74932,0,0,35329634415,381097320,72441,2027,464,368,391513,0 52,2,2024-09-07 08:18:51:767,53718,53718,0,0,3646513,0,4779 52,3,2024-09-07 08:18:50:680,1,43,12,2,1782,2309,43,0 53,0,2024-09-07 08:18:51:745,13638,3.4,13192,2.1,27131,4.9,35992,3.50 53,1,2024-09-07 08:18:50:783,74877,74877,0,0,35534446892,383118583,72276,2027,574,370,391617,0 53,2,2024-09-07 08:18:51:324,57695,57695,0,0,3143909,0,1795 53,3,2024-09-07 08:18:50:697,1,43,11,1,59,293,43,0 54,0,2024-09-07 08:18:51:631,8821,10.0,8835,6.5,17661,2.7,24432,5.50 54,1,2024-09-07 08:18:50:586,74727,74727,0,0,35671501927,380263931,72718,1693,316,367,391520,0 54,2,2024-09-07 08:18:50:872,53746,53740,6,0,3881313,0,5382 54,3,2024-09-07 08:18:50:769,1,43,19,0,676,1411,43,0 55,0,2024-09-07 08:18:51:807,8535,0.4,8711,0.8,17792,0.3,22951,2.25 55,1,2024-09-07 08:18:50:771,74593,74593,0,0,35230027821,379757352,71168,2723,702,369,391731,0 55,2,2024-09-07 08:18:50:738,52054,52054,0,0,3564139,0,3275 55,3,2024-09-07 08:18:50:678,1,43,1,0,136,623,43,0 56,0,2024-09-07 08:18:51:569,10599,3.0,10020,1.9,20602,4.1,28156,3.00 56,1,2024-09-07 08:18:50:591,74733,74733,0,0,36144167944,394261379,71482,2505,746,384,391536,0 56,2,2024-09-07 08:18:51:303,53966,53966,0,0,3982891,0,3567 56,3,2024-09-07 08:18:51:065,1,43,22,2,297,799,43,0 57,0,2024-09-07 08:18:50:958,15440,4.4,15101,2.4,30590,6.4,41248,4.75 57,1,2024-09-07 08:18:50:988,75159,75159,0,0,34769090717,370147340,73869,1271,19,368,391760,0 57,2,2024-09-07 08:18:51:326,54965,54965,0,0,3964656,0,2687 57,3,2024-09-07 08:18:51:747,1,43,137,1,359,1328,43,0 58,0,2024-09-07 08:18:50:589,9803,1.4,9575,1.4,20038,1.8,26767,3.25 58,1,2024-09-07 08:18:50:582,75558,75557,0,1,35677843140,378468675,73973,1425,159,371,391516,1 58,2,2024-09-07 08:18:51:087,56129,56129,0,0,3956861,0,2549 58,3,2024-09-07 08:18:51:069,1,43,5,1,219,702,43,0 59,0,2024-09-07 08:18:51:749,8651,0.9,8658,1.0,17421,0.9,22696,2.50 59,1,2024-09-07 08:18:50:810,74755,74755,0,0,35333105520,380631515,72521,1467,767,371,391515,0 59,2,2024-09-07 08:18:50:585,52250,52250,0,0,3211282,0,2604 59,3,2024-09-07 08:18:51:764,1,43,1,0,1015,1257,43,0 60,0,2024-09-07 08:18:51:718,8789,0.7,8769,0.8,17476,0.9,23737,1.75 60,1,2024-09-07 08:18:50:825,76054,76054,0,0,36067213255,379225085,75105,605,344,370,391672,0 60,2,2024-09-07 08:18:51:157,52889,52889,0,0,2670617,0,2142 60,3,2024-09-07 08:18:51:262,1,43,13,0,124,624,43,0 61,0,2024-09-07 08:18:51:506,14746,1.2,14733,1.1,29324,1.9,39386,2.00 61,1,2024-09-07 08:18:50:771,75106,75106,0,0,35463054077,380447999,72824,1748,534,383,391589,0 61,2,2024-09-07 08:18:51:128,54529,54529,0,0,3046130,0,1846 61,3,2024-09-07 08:18:51:687,1,43,199,1,199,748,43,0 62,0,2024-09-07 08:18:51:742,11755,2.8,12124,1.6,23244,4.7,31842,2.75 62,1,2024-09-07 08:18:51:114,75749,75744,0,5,35971921163,377355523,74580,1127,37,366,391586,5 62,2,2024-09-07 08:18:51:643,57364,57364,0,0,3692335,0,2931 62,3,2024-09-07 08:18:51:163,1,43,11,0,287,590,43,0 63,0,2024-09-07 08:18:51:457,8162,0.5,8150,0.8,16435,0.4,21600,2.00 63,1,2024-09-07 08:18:50:810,76076,76073,0,3,35977764993,374883033,75796,276,1,382,391540,3 63,2,2024-09-07 08:18:50:778,51814,51814,0,0,3058961,0,2674 63,3,2024-09-07 08:18:51:734,1,43,304,0,304,1056,43,0 64,0,2024-09-07 08:18:51:539,6963,0.4,6977,0.7,14067,0.3,18846,2.00 64,1,2024-09-07 08:18:50:780,75320,75320,0,0,35786016081,385444444,72892,1635,793,371,391604,0 64,2,2024-09-07 08:18:51:141,52416,52397,19,0,3581570,0,6121 64,3,2024-09-07 08:18:51:148,1,43,12,1,163,598,43,0 65,0,2024-09-07 08:18:51:696,12486,3.6,12643,2.1,24944,5.2,33928,4.75 65,1,2024-09-07 08:18:50:867,75169,75169,0,0,35565312703,379855267,73469,1481,219,382,391506,0 65,2,2024-09-07 08:18:51:693,54108,54108,0,0,3749922,0,3367 65,3,2024-09-07 08:18:51:683,1,43,1,1,163,702,43,0 66,0,2024-09-07 08:18:51:785,12798,2.4,12914,1.7,25938,4.4,34588,4.00 66,1,2024-09-07 08:18:51:304,76221,76221,0,0,35781906919,374807640,75575,627,19,381,391537,0 66,2,2024-09-07 08:18:51:138,58215,58215,0,0,3515246,0,3867 66,3,2024-09-07 08:18:51:097,1,43,5,1,291,832,43,0 67,0,2024-09-07 08:18:51:423,7993,0.7,8043,0.9,15826,0.7,20873,2.50 67,1,2024-09-07 08:18:50:782,75024,75023,0,1,35359599581,379120640,73035,1463,525,383,391787,1 67,2,2024-09-07 08:18:50:597,53167,53167,0,0,3044045,0,2889 67,3,2024-09-07 08:18:51:770,1,43,12,0,138,558,43,0 68,0,2024-09-07 08:18:50:627,7847,0.4,7771,0.8,15702,0.3,20194,2.00 68,1,2024-09-07 08:18:50:606,74927,74927,0,0,35710991077,381214981,73538,867,522,383,391953,0 68,2,2024-09-07 08:18:51:052,52175,52175,0,0,3235271,0,4883 68,3,2024-09-07 08:18:50:743,1,43,15,1,63,478,43,0 69,0,2024-09-07 08:18:51:740,11376,2.3,11289,1.6,22485,3.6,30599,3.25 69,1,2024-09-07 08:18:51:019,74408,74408,0,0,35539705520,385156331,72490,1054,864,386,391530,0 69,2,2024-09-07 08:18:51:736,53674,53674,0,0,3453022,0,3153 69,3,2024-09-07 08:18:50:766,1,43,1,0,238,829,43,0 70,0,2024-09-07 08:18:51:549,14842,4.4,14823,3.3,29990,4.6,39523,5.50 70,1,2024-09-07 08:18:50:800,75499,75499,0,0,36247028901,380543000,74605,760,134,369,391527,0 70,2,2024-09-07 08:18:51:331,56205,56205,0,0,3832348,0,4044 70,3,2024-09-07 08:18:50:749,1,43,39,1,178,410,43,0 71,0,2024-09-07 08:18:51:368,9529,3.4,9461,5.7,18611,5.5,26178,4.75 71,1,2024-09-07 08:18:51:597,74726,74726,0,0,35689721518,381417934,72546,1866,314,368,391682,0 71,2,2024-09-07 08:18:51:072,54745,54745,0,0,3268005,0,2146 71,3,2024-09-07 08:18:51:767,1,43,0,0,174,426,43,0 72,0,2024-09-07 08:18:51:031,9399,0.4,9068,0.7,18026,0.3,24445,2.75 72,1,2024-09-07 08:18:51:060,75158,75158,0,0,35672270113,378302683,73649,1434,75,370,391519,0 72,2,2024-09-07 08:18:51:769,51343,51343,0,0,4419299,0,2570 72,3,2024-09-07 08:18:51:766,1,43,26,1,325,1097,43,0 73,0,2024-09-07 08:18:51:120,9484,0.8,9688,0.9,19692,0.8,25949,2.75 73,1,2024-09-07 08:18:50:772,75233,75233,0,0,35243861323,371377292,74563,638,32,368,391627,0 73,2,2024-09-07 08:18:51:767,53169,53169,0,0,4131602,0,3482 73,3,2024-09-07 08:18:50:971,1,43,73,0,274,1152,43,0 74,0,2024-09-07 08:18:51:332,16000,1.2,16403,1.2,31500,1.8,43031,3.25 74,1,2024-09-07 08:18:50:650,74800,74800,0,0,35184846106,374983264,73071,1247,482,382,391497,0 74,2,2024-09-07 08:18:51:004,54097,54097,0,0,4496687,0,4253 74,3,2024-09-07 08:18:51:443,1,43,1,0,246,1153,43,0 75,0,2024-09-07 08:18:51:862,11007,3.8,11136,2.1,22061,5.5,30132,3.50 75,1,2024-09-07 08:18:51:594,75524,75524,0,0,35656230393,378260703,74337,1111,76,382,391514,0 75,2,2024-09-07 08:18:51:365,56766,56766,0,0,4246276,0,4766 75,3,2024-09-07 08:18:51:071,1,43,1,0,143,348,43,0 76,0,2024-09-07 08:18:50:606,8397,0.4,8393,0.6,16644,0.3,21867,2.25 76,1,2024-09-07 08:18:50:821,75231,75231,0,0,35041374040,374538521,73467,1258,506,382,391530,0 76,2,2024-09-07 08:18:51:074,52269,52269,0,0,2994997,0,3064 76,3,2024-09-07 08:18:51:166,1,43,36,0,175,920,43,0 77,0,2024-09-07 08:18:51:700,7765,0.3,7770,0.6,15631,0.2,20675,2.00 77,1,2024-09-07 08:18:50:854,75286,75286,0,0,34831705405,367488284,74392,826,68,383,391512,0 77,2,2024-09-07 08:18:51:283,52874,52874,0,0,2529704,0,1695 77,3,2024-09-07 08:18:51:098,1,43,1,0,139,507,43,0 78,0,2024-09-07 08:18:51:741,13557,2.0,13469,1.4,27102,3.7,36422,2.75 78,1,2024-09-07 08:18:50:610,75864,75864,0,0,34577210110,366669369,74493,1167,204,368,391589,0 78,2,2024-09-07 08:18:51:412,54205,54205,0,0,2771814,0,2114 78,3,2024-09-07 08:18:51:141,1,43,20,0,181,812,43,0 79,0,2024-09-07 08:18:51:361,11603,2.4,11938,1.6,24271,4.3,31647,4.50 79,1,2024-09-07 08:18:50:575,75338,75338,0,0,35553017812,373284271,74408,923,7,370,391494,0 79,2,2024-09-07 08:18:51:086,57774,57774,0,0,3154614,0,2679 79,3,2024-09-07 08:18:50:749,1,43,1,0,289,639,43,0 80,0,2024-09-07 08:18:51:154,7900,0.5,8156,0.8,15682,0.4,20795,2.25 80,1,2024-09-07 08:18:51:632,74781,74781,0,0,35709193344,378491736,73204,1462,115,371,391673,0 80,2,2024-09-07 08:18:51:104,51810,51810,0,0,3532378,0,4433 80,3,2024-09-07 08:18:50:582,1,43,8,1,148,898,43,0 81,0,2024-09-07 08:18:51:555,7020,0.5,7210,0.8,13727,0.4,18213,2.75 81,1,2024-09-07 08:18:51:657,75317,75317,0,0,34903997521,372592755,73600,1520,197,383,391646,0 81,2,2024-09-07 08:18:51:140,51735,51735,0,0,3553153,0,3993 81,3,2024-09-07 08:18:51:128,1,43,25,1,193,714,43,0 82,0,2024-09-07 08:18:51:549,12089,2.0,12039,1.5,24156,2.3,32911,2.50 82,1,2024-09-07 08:18:50:585,74807,74806,0,1,35449588348,378299637,72772,1462,572,384,391558,1 82,2,2024-09-07 08:18:51:693,54827,54827,0,0,2712616,0,2230 82,3,2024-09-07 08:18:51:765,1,43,1,0,211,686,43,0 83,0,2024-09-07 08:18:51:548,13707,2.5,13628,1.9,26968,2.9,35701,3.75 83,1,2024-09-07 08:18:50:553,75291,75291,0,0,35591662403,378428028,73896,1295,100,383,391553,0 83,2,2024-09-07 08:18:50:770,56734,56734,0,0,3704371,0,3119 83,3,2024-09-07 08:18:50:750,1,43,1,0,91,459,43,0 84,0,2024-09-07 08:18:51:800,9157,6.9,9060,6.7,18204,1.9,25007,4.00 84,1,2024-09-07 08:18:51:043,75088,75088,0,0,35502451717,379391707,73525,1318,245,369,391630,0 84,2,2024-09-07 08:18:50:577,53214,53214,0,0,3640340,0,3801 84,3,2024-09-07 08:18:51:194,1,43,9,1,43,442,43,0 85,0,2024-09-07 08:18:51:022,8521,0.4,8510,0.7,18010,0.3,23034,2.00 85,1,2024-09-07 08:18:50:574,74365,74365,0,0,35811920699,387177203,71938,2004,423,384,391626,0 85,2,2024-09-07 08:18:50:871,51711,51711,0,0,3975484,0,3656 85,3,2024-09-07 08:18:50:697,1,43,3,1,115,534,43,0 86,0,2024-09-07 08:18:50:897,10314,1.2,10740,1.1,20757,1.4,28254,2.25 86,1,2024-09-07 08:18:50:844,74848,74848,0,0,35586888399,379440852,73100,1535,213,367,391622,0 86,2,2024-09-07 08:18:50:865,53490,53489,1,0,3985934,0,5004 86,3,2024-09-07 08:18:50:588,1,43,3,1,199,739,43,0 87,0,2024-09-07 08:18:51:317,15631,3.6,15571,2.1,31406,4.5,42264,3.50 87,1,2024-09-07 08:18:50:570,74935,74935,0,0,35252289806,376670336,73379,1437,119,369,391671,0 87,2,2024-09-07 08:18:51:092,55154,55154,0,0,3176324,0,2859 87,3,2024-09-07 08:18:51:802,1,43,18,1,322,982,43,0 88,0,2024-09-07 08:18:51:464,10643,1.4,10730,1.2,21265,1.9,28680,2.00 88,1,2024-09-07 08:18:50:594,75100,75100,0,0,35796170832,380201788,73975,1032,93,367,391747,0 88,2,2024-09-07 08:18:50:693,56212,56212,0,0,4303305,0,3583 88,3,2024-09-07 08:18:51:283,1,43,13,1,77,666,43,0 89,0,2024-09-07 08:18:51:822,8898,0.4,8689,0.6,17176,0.3,23081,1.75 89,1,2024-09-07 08:18:50:561,74316,74316,0,0,35842743284,388802239,71658,1968,690,383,391866,0 89,2,2024-09-07 08:18:51:137,51600,51600,0,0,3618033,0,2726 89,3,2024-09-07 08:18:51:805,1,43,99,0,325,1342,43,0 90,0,2024-09-07 08:18:51:673,8514,0.6,8631,0.8,17725,0.6,23733,2.00 90,1,2024-09-07 08:18:50:592,75003,75003,0,0,34988304385,377212799,72978,1887,138,382,391825,0 90,2,2024-09-07 08:18:51:406,52093,52093,0,0,4343283,0,2635 90,3,2024-09-07 08:18:50:942,1,43,2,1,200,691,43,0 91,0,2024-09-07 08:18:50:944,14924,0.5,14514,0.7,29994,0.6,39718,2.00 91,1,2024-09-07 08:18:50:558,74974,74974,0,0,35914633473,385839072,72692,1922,360,384,391914,0 91,2,2024-09-07 08:18:51:353,53472,53472,0,0,3510726,0,1997 91,3,2024-09-07 08:18:50:609,1,43,6,1,155,632,43,0 92,0,2024-09-07 08:18:51:454,11924,2.2,12283,1.4,23592,3.9,32092,2.00 92,1,2024-09-07 08:18:50:606,75354,75354,0,0,35589138731,373720900,74930,375,49,383,391569,0 92,2,2024-09-07 08:18:51:384,58715,58715,0,0,3516458,0,2279 92,3,2024-09-07 08:18:51:014,1,43,8,1,68,563,43,0 93,0,2024-09-07 08:18:50:970,8151,0.4,8353,0.6,15945,0.3,21492,1.75 93,1,2024-09-07 08:18:50:827,74891,74891,0,0,35572021917,378602922,73373,1087,431,367,391689,0 93,2,2024-09-07 08:18:50:947,51933,51933,0,0,2813199,0,2509 93,3,2024-09-07 08:18:51:406,1,43,6,1,143,556,43,0 94,0,2024-09-07 08:18:51:619,7154,0.3,7087,0.5,14027,0.2,18903,1.75 94,1,2024-09-07 08:18:50:578,74826,74826,0,0,35506607424,377692671,73566,1202,58,381,391850,0 94,2,2024-09-07 08:18:50:772,52014,52014,0,0,2915446,0,2443 94,3,2024-09-07 08:18:51:689,1,43,1,1,231,990,43,0 95,0,2024-09-07 08:18:51:357,12680,0.5,12647,0.7,26072,0.5,34464,1.75 95,1,2024-09-07 08:18:50:865,75303,75303,0,0,35533289364,374339011,74533,706,64,368,391590,0 95,2,2024-09-07 08:18:51:023,54279,54279,0,0,3143620,0,3308 95,3,2024-09-07 08:18:51:711,1,43,4,0,307,1034,43,0 96,0,2024-09-07 08:18:51:072,13484,2.0,13301,1.3,26845,3.0,35061,2.25 96,1,2024-09-07 08:18:51:606,75161,75161,0,0,35521256422,380917204,73348,1245,568,385,391551,0 96,2,2024-09-07 08:18:51:308,58035,58035,0,0,4011645,0,4038 96,3,2024-09-07 08:18:51:173,1,43,9,2,36,545,43,0 97,0,2024-09-07 08:18:51:332,8017,0.6,7990,0.8,15778,0.7,20923,2.00 97,1,2024-09-07 08:18:50:773,74861,74861,0,0,35827351538,382695350,72691,1654,516,367,391626,0 97,2,2024-09-07 08:18:50:635,52276,52276,0,0,3178655,0,3036 97,3,2024-09-07 08:18:50:582,1,43,1,1,165,603,43,0 98,0,2024-09-07 08:18:51:707,7758,0.2,7718,0.4,15716,0.2,20229,1.50 98,1,2024-09-07 08:18:50:589,75104,75104,0,0,35589702646,377342883,74045,1016,43,382,391588,0 98,2,2024-09-07 08:18:50:772,52415,52415,0,0,3124152,0,3080 98,3,2024-09-07 08:18:50:698,1,43,1,1,155,560,43,0 99,0,2024-09-07 08:18:51:463,11334,0.4,11259,0.6,22753,0.4,30666,1.75 99,1,2024-09-07 08:18:51:731,75692,75692,0,0,34916768523,370486664,74378,876,438,381,391744,0 99,2,2024-09-07 08:18:51:427,54504,54504,0,0,3288241,0,1858 99,3,2024-09-07 08:18:50:588,1,43,1,0,129,479,43,0 100,0,2024-09-07 08:18:51:478,15099,3.0,15085,3.3,30088,5.4,40389,3.00 100,1,2024-09-07 08:18:50:580,74648,74648,0,0,34895473561,380280553,72007,2298,343,382,391585,0 100,2,2024-09-07 08:18:51:834,55610,55599,11,0,4623016,0,5417 100,3,2024-09-07 08:18:51:735,1,43,12,1,443,1577,43,0 101,0,2024-09-07 08:18:51:732,9964,6.0,9681,3.4,19217,3.7,27076,3.50 101,1,2024-09-07 08:18:50:555,74831,74831,0,0,35570664570,380293340,73387,996,448,370,391531,0 101,2,2024-09-07 08:18:51:759,54976,54976,0,0,3903060,0,4644 101,3,2024-09-07 08:18:50:948,1,43,3,1,448,826,43,0 102,0,2024-09-07 08:18:50:965,8952,0.6,9195,0.7,18440,0.4,24253,2.00 102,1,2024-09-07 08:18:51:164,75053,75053,0,0,35685317257,383495120,72903,1705,445,369,391647,0 102,2,2024-09-07 08:18:51:766,52077,52077,0,0,2708386,0,1945 102,3,2024-09-07 08:18:51:619,1,43,27,0,410,737,43,0 103,0,2024-09-07 08:18:51:620,9935,1.0,9910,1.1,18946,1.2,26158,2.25 103,1,2024-09-07 08:18:51:635,75020,75020,0,0,35651615419,378596222,73932,947,141,381,391680,0 103,2,2024-09-07 08:18:50:586,53479,53479,0,0,3342145,0,2104 103,3,2024-09-07 08:18:50:757,1,43,1,1,486,951,43,0 104,0,2024-09-07 08:18:51:099,15920,1.5,16185,1.4,30982,2.0,42679,2.50 104,1,2024-09-07 08:18:51:615,75329,75329,0,0,35462163491,381242878,73467,1537,325,368,391948,0 104,2,2024-09-07 08:18:51:684,53917,53917,0,0,3947683,0,3941 104,3,2024-09-07 08:18:51:415,1,43,12,2,1245,3173,43,0 105,0,2024-09-07 08:18:51:068,10914,3.3,10459,2.2,21787,5.7,29861,4.25 105,1,2024-09-07 08:18:50:554,74840,74840,0,0,36048506090,389849316,71981,2114,745,367,391797,0 105,2,2024-09-07 08:18:51:343,56752,56752,0,0,3812932,0,3314 105,3,2024-09-07 08:18:51:322,1,43,2,1,182,1063,43,0 106,0,2024-09-07 08:18:50:948,8179,0.4,8386,0.7,16977,0.2,22060,2.00 106,1,2024-09-07 08:18:51:754,75227,75227,0,0,35646153177,383696352,72842,2060,325,371,391767,0 106,2,2024-09-07 08:18:50:767,51626,51626,0,0,3513892,0,1927 106,3,2024-09-07 08:18:50:686,1,43,1,1,201,627,43,0 107,0,2024-09-07 08:18:51:144,7816,0.3,7814,0.6,15485,0.2,20578,1.75 107,1,2024-09-07 08:18:50:590,74953,74953,0,0,35221480780,378519172,73277,1508,168,382,392234,0 107,2,2024-09-07 08:18:51:354,52579,52578,1,0,3498643,0,5024 107,3,2024-09-07 08:18:51:783,1,43,24,0,353,942,43,0 108,0,2024-09-07 08:18:51:891,13605,1.0,13733,0.9,27376,1.4,36683,1.75 108,1,2024-09-07 08:18:51:340,75000,75000,0,0,35846177048,379916481,73657,1211,132,371,391857,0 108,2,2024-09-07 08:18:51:807,54365,54365,0,0,3385908,0,2647 108,3,2024-09-07 08:18:51:339,1,43,8,1,60,601,43,0 109,0,2024-09-07 08:18:51:765,12239,1.7,12314,1.2,24009,2.9,32682,2.00 109,1,2024-09-07 08:18:50:614,75060,75060,0,0,35919833298,384383421,73548,1130,382,385,391664,0 109,2,2024-09-07 08:18:50:946,57032,57032,0,0,3750288,0,3617 109,3,2024-09-07 08:18:51:148,1,43,249,1,249,924,43,0 110,0,2024-09-07 08:18:51:772,7987,0.3,7784,0.5,16285,0.2,20813,1.75 110,1,2024-09-07 08:18:51:648,75176,75176,0,0,35869348270,377474789,74099,794,283,370,391588,0 110,2,2024-09-07 08:18:51:303,52503,52503,0,0,2819585,0,2915 110,3,2024-09-07 08:18:50:696,1,43,2,0,183,459,43,0 111,0,2024-09-07 08:18:51:433,6869,0.2,7034,0.4,13765,0.1,18134,1.50 111,1,2024-09-07 08:18:51:008,75875,75875,0,0,36456111268,380149756,75416,446,13,382,391690,0 111,2,2024-09-07 08:18:51:127,52155,52155,0,0,3086688,0,2763 111,3,2024-09-07 08:18:50:918,1,43,2,0,119,863,43,0 112,0,2024-09-07 08:18:50:957,12269,0.7,12288,0.7,24365,0.6,33236,1.75 112,1,2024-09-07 08:18:50:842,75259,75259,0,0,35574493297,378387641,73753,1160,346,382,391522,0 112,2,2024-09-07 08:18:51:229,53908,53907,1,0,3727070,0,5036 112,3,2024-09-07 08:18:50:594,1,43,8,1,282,672,43,0 113,0,2024-09-07 08:18:50:874,14010,1.0,13799,1.0,28000,1.2,37021,2.00 113,1,2024-09-07 08:18:51:688,75152,75152,0,0,35993622032,381462706,73265,1504,383,368,391661,0 113,2,2024-09-07 08:18:51:303,57105,57105,0,0,2999714,0,3021 113,3,2024-09-07 08:18:50:686,1,43,1,1,166,759,43,0 114,0,2024-09-07 08:18:50:895,9524,4.9,9575,3.6,18900,2.2,25474,2.25 114,1,2024-09-07 08:18:50:738,74938,74938,0,0,35122920391,378266350,72513,1565,860,381,391513,0 114,2,2024-09-07 08:18:50:873,53359,53359,0,0,3598004,0,3925 114,3,2024-09-07 08:18:51:282,1,43,2,1,159,675,43,0 115,0,2024-09-07 08:18:50:564,8734,0.2,8807,0.4,17557,0.1,23148,1.50 115,1,2024-09-07 08:18:50:575,75241,75241,0,0,35541170000,380333417,72887,1867,487,384,391502,0 115,2,2024-09-07 08:18:51:141,52772,52772,0,0,2722315,0,2152 115,3,2024-09-07 08:18:51:004,1,43,1,0,159,426,43,0 116,0,2024-09-07 08:18:51:726,10397,2.1,10291,1.5,20712,3.5,28205,2.50 116,1,2024-09-07 08:18:50:816,74532,74532,0,0,35537101975,384528706,72715,950,867,382,391573,0 116,2,2024-09-07 08:18:51:755,53427,53427,0,0,3753663,0,3529 116,3,2024-09-07 08:18:50:920,1,43,21,2,252,1105,43,0 117,0,2024-09-07 08:18:51:026,15780,3.1,15854,1.8,31639,4.2,42444,2.25 117,1,2024-09-07 08:18:51:582,75323,75323,0,0,35093369133,377439670,73264,1772,287,371,392033,0 117,2,2024-09-07 08:18:51:119,55089,55089,0,0,3480086,0,3700 117,3,2024-09-07 08:18:51:066,1,43,12,1,490,1405,43,0 118,0,2024-09-07 08:18:51:787,10276,1.4,10480,1.2,21505,1.8,28558,2.25 118,1,2024-09-07 08:18:50:586,75103,75103,0,0,35690468338,379995534,73923,1104,76,368,391736,0 118,2,2024-09-07 08:18:51:598,56543,56543,0,0,3730431,0,2781 118,3,2024-09-07 08:18:51:764,1,43,2,1,235,980,43,0 119,0,2024-09-07 08:18:51:341,8439,0.3,8569,0.6,17560,0.2,22993,1.75 119,1,2024-09-07 08:18:50:562,75033,75033,0,0,36061263722,384678583,73444,1441,148,371,391617,0 119,2,2024-09-07 08:18:51:267,51617,51617,0,0,3261472,0,2532 119,3,2024-09-07 08:18:51:336,1,43,3,1,443,1552,43,0 120,0,2024-09-07 08:18:51:564,8696,0.6,8641,0.9,17220,0.5,23403,2.75 120,1,2024-09-07 08:18:50:867,75052,75052,0,0,34963300041,375233067,73619,1418,15,368,391702,0 120,2,2024-09-07 08:18:50:770,52201,52200,1,0,4295017,0,5281 120,3,2024-09-07 08:18:51:303,1,43,9,1,241,890,43,0 121,0,2024-09-07 08:18:51:837,14684,1.8,14753,1.4,29488,3.4,39769,2.25 121,1,2024-09-07 08:18:51:662,75118,75118,0,0,35480180561,378248526,73655,1220,243,370,391807,0 121,2,2024-09-07 08:18:51:137,53538,53538,0,0,4752009,0,4127 121,3,2024-09-07 08:18:50:728,1,43,1,0,101,451,43,0 122,0,2024-09-07 08:18:51:850,11711,3.2,11344,2.8,23542,3.6,32246,2.75 122,1,2024-09-07 08:18:50:867,74259,74259,0,0,35330345542,383853127,71311,2426,522,369,392130,0 122,2,2024-09-07 08:18:51:324,57570,57570,0,0,5003522,0,3364 122,3,2024-09-07 08:18:50:602,1,43,2,1,226,1185,43,0 123,0,2024-09-07 08:18:50:957,8206,0.3,7884,0.6,16444,0.2,21482,1.75 123,1,2024-09-07 08:18:50:563,74820,74820,0,0,35462910102,386571487,71430,2823,567,371,391823,0 123,2,2024-09-07 08:18:51:027,51607,51606,1,0,3470642,0,5215 123,3,2024-09-07 08:18:51:134,1,43,19,1,160,798,43,0 124,0,2024-09-07 08:18:50:952,7186,0.3,7200,0.5,13657,0.2,18858,1.75 124,1,2024-09-07 08:18:51:043,75585,75585,0,0,35562162908,372796193,74934,512,139,369,392178,0 124,2,2024-09-07 08:18:51:010,51767,51767,0,0,2708076,0,2477 124,3,2024-09-07 08:18:50:765,1,43,8,2,490,1318,43,0 125,0,2024-09-07 08:18:51:457,12892,0.8,12837,0.8,25743,0.9,34372,2.00 125,1,2024-09-07 08:18:50:858,75024,75024,0,0,35555313315,378716882,73398,1355,271,385,391702,0 125,2,2024-09-07 08:18:51:136,54325,54325,0,0,3203787,0,2180 125,3,2024-09-07 08:18:51:132,1,43,5,1,93,803,43,0 126,0,2024-09-07 08:18:51:437,13451,1.9,13728,1.3,26356,2.7,35445,2.00 126,1,2024-09-07 08:18:50:554,75566,75566,0,0,35832520089,375353443,75059,498,9,368,391719,0 126,2,2024-09-07 08:18:50:610,58158,58158,0,0,3387826,0,3186 126,3,2024-09-07 08:18:50:916,1,43,1,0,122,630,43,0 127,0,2024-09-07 08:18:51:625,8118,0.3,8018,0.5,15990,0.2,20890,1.75 127,1,2024-09-07 08:18:50:573,75227,75227,0,0,35390271479,372101960,74139,1065,23,365,391614,0 127,2,2024-09-07 08:18:50:637,52878,52878,0,0,2745575,0,1803 127,3,2024-09-07 08:18:51:278,1,43,5,0,99,516,43,0 128,0,2024-09-07 08:18:51:614,7808,0.3,7885,0.5,15344,0.2,20205,1.75 128,1,2024-09-07 08:18:51:621,75443,75443,0,0,35803501335,375594451,74758,619,66,369,391605,0 128,2,2024-09-07 08:18:51:387,51495,51495,0,0,3456243,0,2107 128,3,2024-09-07 08:18:50:775,1,43,19,1,112,608,43,0 129,0,2024-09-07 08:18:51:055,11333,0.6,11538,0.7,22827,0.7,30651,2.00 129,1,2024-09-07 08:18:50:633,75083,75083,0,0,35183969012,376293439,73278,1533,272,379,391835,0 129,2,2024-09-07 08:18:50:688,53586,53586,0,0,2956336,0,2446 129,3,2024-09-07 08:18:50:692,1,43,1,1,173,834,43,0 130,0,2024-09-07 08:18:51:747,15426,1.8,15312,1.3,30571,2.4,40977,2.50 130,1,2024-09-07 08:18:50:583,75723,75723,0,0,35498145475,376326495,74475,1221,27,381,391520,0 130,2,2024-09-07 08:18:51:131,56305,56305,0,0,3747518,0,4067 130,3,2024-09-07 08:18:51:311,1,43,1,1,207,534,43,0 131,0,2024-09-07 08:18:51:959,10046,1.7,9845,1.3,20156,2.3,27051,2.00 131,1,2024-09-07 08:18:51:853,75455,75455,0,0,35787731417,378480991,74476,793,186,385,391865,0 131,2,2024-09-07 08:18:50:580,54603,54603,0,0,3047485,0,2415 131,3,2024-09-07 08:18:51:689,1,43,2,1,392,672,43,0 132,0,2024-09-07 08:18:51:445,9010,0.4,9262,0.7,18246,0.3,24427,1.75 132,1,2024-09-07 08:18:50:589,74299,74299,0,0,34824480025,377177114,71808,1787,704,383,391533,0 132,2,2024-09-07 08:18:50:699,51695,51695,0,0,4800885,0,4606 132,3,2024-09-07 08:18:51:694,1,43,16,1,356,876,43,0 133,0,2024-09-07 08:18:51:533,9478,0.9,9645,1.0,19927,1.1,25708,2.00 133,1,2024-09-07 08:18:50:593,74410,74410,0,0,35285840121,380633422,72317,2002,91,383,391755,0 133,2,2024-09-07 08:18:51:098,53704,53704,0,0,3919911,0,2444 133,3,2024-09-07 08:18:51:309,1,43,5,1,187,482,43,0 134,0,2024-09-07 08:18:51:024,16151,0.9,16082,1.0,32200,0.9,43603,2.25 134,1,2024-09-07 08:18:50:587,74952,74952,0,0,35324481964,381449731,72349,1623,980,366,391718,0 134,2,2024-09-07 08:18:51:762,54295,54295,0,0,3226190,0,2026 134,3,2024-09-07 08:18:50:762,1,43,739,1,739,1519,43,0 135,0,2024-09-07 08:18:51:119,10830,2.6,10847,2.2,22809,2.9,29926,2.75 135,1,2024-09-07 08:18:51:599,74351,74351,0,0,35661133769,381552594,72466,1560,325,383,391591,0 135,2,2024-09-07 08:18:50:693,57438,57438,0,0,3905994,0,3981 135,3,2024-09-07 08:18:51:003,1,43,2,0,68,406,43,0 136,0,2024-09-07 08:18:51:650,8412,0.5,8403,0.8,16756,0.3,22136,2.25 136,1,2024-09-07 08:18:51:448,75280,75280,0,0,35119106388,376166494,73511,1627,142,384,391518,0 136,2,2024-09-07 08:18:51:135,52559,52559,0,0,3298337,0,2379 136,3,2024-09-07 08:18:51:107,1,43,8,1,108,662,43,0 137,0,2024-09-07 08:18:50:945,8016,0.4,7808,0.8,15483,0.3,20662,2.00 137,1,2024-09-07 08:18:50:583,74916,74916,0,0,35932350230,383536375,73156,1649,111,368,391608,0 137,2,2024-09-07 08:18:51:713,51768,51768,0,0,3987509,0,2632 137,3,2024-09-07 08:18:50:769,1,43,1,1,227,769,43,0 138,0,2024-09-07 08:18:51:833,13035,3.6,13364,2.0,26896,4.2,36523,3.50 138,1,2024-09-07 08:18:51:690,74552,74552,0,0,35287364031,378975965,72350,1943,259,371,391615,0 138,2,2024-09-07 08:18:50:586,54598,54598,0,0,3539831,0,3263 138,3,2024-09-07 08:18:50:614,1,43,1160,1,1160,1533,43,0 139,0,2024-09-07 08:18:51:451,11729,5.6,11684,3.1,23637,6.1,32063,4.50 139,1,2024-09-07 08:18:50:581,74727,74727,0,0,35199484082,384713762,72059,1957,711,381,391892,0 139,2,2024-09-07 08:18:50:699,57332,57332,0,0,4231420,0,2425 139,3,2024-09-07 08:18:51:670,1,43,11,1,244,1093,43,0 140,0,2024-09-07 08:18:51:596,7983,0.4,7830,0.6,15924,0.2,20893,1.75 140,1,2024-09-07 08:18:51:537,75938,75938,0,0,36348667633,379000478,75318,429,191,365,391483,0 140,2,2024-09-07 08:18:50:689,51988,51988,0,0,3508133,0,3388 140,3,2024-09-07 08:18:50:767,1,43,17,1,25,422,43,0 141,0,2024-09-07 08:18:51:718,6783,0.2,7049,0.4,13670,0.1,18168,1.50 141,1,2024-09-07 08:18:50:871,75541,75541,0,0,36008945266,380269919,74158,1052,331,382,391538,0 141,2,2024-09-07 08:18:51:689,52606,52606,0,0,3018295,0,2342 141,3,2024-09-07 08:18:51:051,1,43,18,0,53,327,43,0 142,0,2024-09-07 08:18:51:357,12424,0.4,12342,0.6,24397,0.4,33093,1.75 142,1,2024-09-07 08:18:50:593,75502,75502,0,0,35921947183,377093795,75186,314,2,384,391649,0 142,2,2024-09-07 08:18:51:319,53902,53902,0,0,3377490,0,2293 142,3,2024-09-07 08:18:51:791,1,43,29,1,263,610,43,0 143,0,2024-09-07 08:18:51:414,13919,1.5,13868,1.2,28001,1.9,36897,2.25 143,1,2024-09-07 08:18:50:560,75368,75368,0,0,36031961441,378296766,74431,913,24,367,391608,0 143,2,2024-09-07 08:18:50:789,56784,56784,0,0,3740430,0,2669 143,3,2024-09-07 08:18:51:150,1,43,4,1,236,998,43,0 144,0,2024-09-07 08:18:51:527,9034,2.9,9379,7.8,18719,2.4,25318,2.75 144,1,2024-09-07 08:18:50:572,74976,74976,0,0,35359981122,378465594,73429,1359,188,383,391638,0 144,2,2024-09-07 08:18:51:777,53417,53417,0,0,3266656,0,3473 144,3,2024-09-07 08:18:51:806,1,43,22,1,112,739,43,0 145,0,2024-09-07 08:18:51:366,8483,0.4,8422,0.7,17774,0.3,23013,2.00 145,1,2024-09-07 08:18:50:553,74243,74243,0,0,35662626036,382090520,72407,1575,261,383,391615,0 145,2,2024-09-07 08:18:51:437,51837,51837,0,0,3892070,0,3903 145,3,2024-09-07 08:18:50:906,1,43,2,0,151,798,43,0 146,0,2024-09-07 08:18:51:636,10393,2.0,10403,1.4,20879,2.9,28101,2.50 146,1,2024-09-07 08:18:51:597,74986,74986,0,0,35198625153,377345575,72854,1833,299,370,391600,0 146,2,2024-09-07 08:18:51:698,53658,53658,0,0,3262222,0,2149 146,3,2024-09-07 08:18:51:281,1,43,15,0,1520,2866,43,0 147,0,2024-09-07 08:18:51:764,15755,3.7,15486,2.1,30791,5.2,42462,3.75 147,1,2024-09-07 08:18:51:404,75618,75618,0,0,35552921972,375773793,74116,1173,329,369,391791,0 147,2,2024-09-07 08:18:51:009,55552,55552,0,0,3424672,0,2789 147,3,2024-09-07 08:18:50:915,1,43,9,1,141,657,43,0 0,0,2024-09-07 08:19:01:763,8986,1.2,8930,1.1,18860,1.6,24889,2.00 0,1,2024-09-07 08:19:00:812,77021,77021,0,0,36514230293,389433270,75820,1075,126,372,391673,0 0,2,2024-09-07 08:19:01:068,53729,53729,0,0,3607534,0,4480 0,3,2024-09-07 08:19:00:974,1,44,8,2,247,795,44,0 1,0,2024-09-07 08:19:01:785,14835,2.3,14788,1.7,29943,2.6,40131,2.75 1,1,2024-09-07 08:19:00:557,76557,76557,0,0,35889718698,384026302,74900,1132,525,372,391857,0 1,2,2024-09-07 08:19:00:656,55025,55025,0,0,3238627,0,3267 1,3,2024-09-07 08:19:01:312,1,44,10,1,167,531,44,0 2,0,2024-09-07 08:19:01:595,11859,3.0,12116,1.9,23855,4.9,32289,3.50 2,1,2024-09-07 08:19:00:859,76660,76660,0,0,36639122706,387359917,75589,868,203,382,391531,0 2,2,2024-09-07 08:19:01:266,58333,58333,0,0,3732942,0,3304 2,3,2024-09-07 08:19:00:693,1,44,214,1,214,627,44,0 3,0,2024-09-07 08:19:01:758,8418,0.4,8358,0.7,16778,0.3,22046,2.00 3,1,2024-09-07 08:19:01:626,76613,76613,0,0,36258397063,386598915,74671,1556,386,382,391514,0 3,2,2024-09-07 08:19:01:151,53312,53289,23,0,3914901,0,5851 3,3,2024-09-07 08:19:01:755,1,44,2,0,103,438,44,0 4,0,2024-09-07 08:19:01:827,7134,0.3,7410,0.6,14790,0.2,19901,1.75 4,1,2024-09-07 08:19:00:610,76739,76739,0,0,35402170978,380785811,74922,1401,416,372,391846,0 4,2,2024-09-07 08:19:01:018,53254,53254,0,0,4326113,0,4528 4,3,2024-09-07 08:19:01:027,1,44,13,1,287,904,44,0 5,0,2024-09-07 08:19:01:380,13298,1.1,13041,1.0,26696,1.6,35724,2.25 5,1,2024-09-07 08:19:00:760,76638,76638,0,0,36210527154,388674310,74500,1700,438,368,392005,0 5,2,2024-09-07 08:19:01:834,55081,55081,0,0,3450156,0,2259 5,3,2024-09-07 08:19:01:737,1,44,11,1,182,1034,44,0 6,0,2024-09-07 08:19:00:933,13455,2.8,13268,1.8,26466,4.6,35491,4.25 6,1,2024-09-07 08:19:00:749,77049,77049,0,0,36668955757,387341001,75970,1010,69,382,391603,0 6,2,2024-09-07 08:19:01:131,59324,59324,0,0,3729950,0,2411 6,3,2024-09-07 08:19:01:274,1,44,1,1,340,1110,44,0 7,0,2024-09-07 08:19:01:540,7983,0.4,7975,0.6,16118,0.2,21237,1.75 7,1,2024-09-07 08:19:00:850,76759,76759,0,0,36826440526,390128774,75781,914,64,383,391558,0 7,2,2024-09-07 08:19:00:773,53352,53352,0,0,3381344,0,2981 7,3,2024-09-07 08:19:00:852,1,44,7,0,83,493,44,0 8,0,2024-09-07 08:19:01:349,7992,0.4,7779,0.6,15439,0.2,20323,1.75 8,1,2024-09-07 08:19:01:018,76707,76707,0,0,36487918867,399515644,73272,2185,1250,368,391724,0 8,2,2024-09-07 08:19:00:790,52579,52579,0,0,4249859,0,2986 8,3,2024-09-07 08:19:00:593,1,44,9,0,229,829,44,0 9,0,2024-09-07 08:19:01:193,11817,0.5,11483,0.7,23924,0.4,31523,1.75 9,1,2024-09-07 08:19:00:550,76641,76641,0,0,36968913908,397375270,74373,1702,566,370,391559,0 9,2,2024-09-07 08:19:01:108,54834,54834,0,0,3898087,0,3360 9,3,2024-09-07 08:19:01:764,1,44,4,0,149,740,44,0 10,0,2024-09-07 08:19:01:615,15260,1.7,15336,1.3,30636,3.1,40537,3.75 10,1,2024-09-07 08:19:00:582,76139,76139,0,0,36331299251,394875941,72828,2789,522,383,391541,0 10,2,2024-09-07 08:19:00:765,57273,57273,0,0,4197910,0,2940 10,3,2024-09-07 08:19:00:871,1,44,1,0,136,472,44,0 11,0,2024-09-07 08:19:01:014,9898,3.6,9631,2.3,20163,5.8,27585,3.00 11,1,2024-09-07 08:19:00:578,76675,76675,0,0,36063223127,389751719,74040,1821,814,384,391537,0 11,2,2024-09-07 08:19:01:126,55334,55334,0,0,3963600,0,2635 11,3,2024-09-07 08:19:01:297,1,44,1,0,720,2139,44,0 12,0,2024-09-07 08:19:00:948,9492,0.3,9597,0.5,19023,0.2,25119,1.75 12,1,2024-09-07 08:19:00:939,76586,76586,0,0,35583262463,378427744,75319,1231,36,372,391790,0 12,2,2024-09-07 08:19:01:541,53972,53972,0,0,3326196,0,2254 12,3,2024-09-07 08:19:01:059,1,44,10,1,358,1202,44,0 13,0,2024-09-07 08:19:01:381,9929,0.4,10135,0.6,20131,0.4,26664,1.75 13,1,2024-09-07 08:19:01:528,76580,76580,0,0,36202707418,384243093,75696,825,59,384,391563,0 13,2,2024-09-07 08:19:00:600,54949,54949,0,0,2843994,0,3287 13,3,2024-09-07 08:19:01:772,1,44,373,1,373,1035,44,0 14,0,2024-09-07 08:19:00:575,16478,0.9,16632,1.0,32465,1.0,44022,2.50 14,1,2024-09-07 08:19:01:564,77685,77685,0,0,36411190225,381789575,76948,707,30,365,391546,0 14,2,2024-09-07 08:19:00:765,55507,55507,0,0,3725385,0,2793 14,3,2024-09-07 08:19:01:115,1,44,1,1,906,1211,44,0 15,0,2024-09-07 08:19:01:564,11303,3.6,11191,2.1,22419,6.1,30555,3.75 15,1,2024-09-07 08:19:01:614,76931,76931,0,0,36313032918,381790111,76321,608,2,381,391536,0 15,2,2024-09-07 08:19:01:005,58268,58268,0,0,2864652,0,3043 15,3,2024-09-07 08:19:01:406,1,44,184,0,538,1329,44,0 16,0,2024-09-07 08:19:00:987,8525,0.4,8621,0.6,16988,0.2,22517,2.00 16,1,2024-09-07 08:19:00:577,77023,77023,0,0,35887717972,381398034,75938,1063,22,372,391543,0 16,2,2024-09-07 08:19:01:453,52646,52646,0,0,3845434,0,4719 16,3,2024-09-07 08:19:01:147,1,44,12,1,231,1130,44,0 17,0,2024-09-07 08:19:01:787,8166,0.4,8161,0.6,15808,0.3,21386,2.00 17,1,2024-09-07 08:19:00:578,76462,76462,0,0,36213645200,389392917,74676,1267,519,369,391688,0 17,2,2024-09-07 08:19:01:669,54289,54289,0,0,2965461,0,2857 17,3,2024-09-07 08:19:00:575,1,44,1,0,268,1252,44,0 18,0,2024-09-07 08:19:00:957,13780,0.9,14012,0.9,28213,1.0,37533,2.25 18,1,2024-09-07 08:19:01:652,76865,76865,0,0,36103156194,382025688,75623,1024,218,369,391526,0 18,2,2024-09-07 08:19:01:763,54634,54634,0,0,3878701,0,3541 18,3,2024-09-07 08:19:00:903,1,44,8,0,163,738,44,0 19,0,2024-09-07 08:19:01:554,11933,3.1,12076,2.0,23694,5.8,31675,5.75 19,1,2024-09-07 08:19:00:568,76790,76790,0,0,36401266654,387421359,75034,1594,162,367,391696,0 19,2,2024-09-07 08:19:01:751,59197,59197,0,0,3804206,0,3988 19,3,2024-09-07 08:19:01:129,1,44,154,0,524,872,44,0 20,0,2024-09-07 08:19:01:396,8100,0.3,8168,0.5,15993,0.2,20786,1.75 20,1,2024-09-07 08:19:00:581,76672,76672,0,0,36574577761,389505475,74996,1516,160,370,391598,0 20,2,2024-09-07 08:19:00:928,53143,53143,0,0,3096706,0,2446 20,3,2024-09-07 08:19:00:597,1,44,8,1,99,769,44,0 21,0,2024-09-07 08:19:01:168,7239,0.4,7144,0.6,14199,0.3,18366,2.00 21,1,2024-09-07 08:19:01:562,76161,76161,0,0,35603882352,384175812,73933,1740,488,368,391962,0 21,2,2024-09-07 08:19:01:068,53363,53363,0,0,3468381,0,3747 21,3,2024-09-07 08:19:01:426,1,44,31,0,93,739,44,0 22,0,2024-09-07 08:19:01:747,12486,1.0,12468,1.1,24739,1.0,33801,2.50 22,1,2024-09-07 08:19:01:030,76299,76299,0,0,36004750529,390039840,73359,2215,725,382,391667,0 22,2,2024-09-07 08:19:00:766,55303,55303,0,0,3263200,0,3134 22,3,2024-09-07 08:19:01:067,1,44,1,0,62,266,44,0 23,0,2024-09-07 08:19:01:377,13786,2.4,14032,1.4,27892,3.9,37114,3.00 23,1,2024-09-07 08:19:01:006,77439,77439,0,0,36520649912,385323028,76097,1088,254,368,391496,0 23,2,2024-09-07 08:19:01:095,58989,58989,0,0,3374854,0,2078 23,3,2024-09-07 08:19:01:754,1,44,3,0,645,1167,44,0 24,0,2024-09-07 08:19:00:827,9769,0.8,9861,0.9,19646,1.3,25843,1.75 24,1,2024-09-07 08:19:00:593,77338,77338,0,0,36256307224,382911075,76544,788,6,371,391640,0 24,2,2024-09-07 08:19:01:078,53771,53771,0,0,4398448,0,2942 24,3,2024-09-07 08:19:01:690,1,44,9,1,234,819,44,0 25,0,2024-09-07 08:19:01:349,9199,0.3,9035,0.5,17412,0.2,23598,1.75 25,1,2024-09-07 08:19:00:557,76525,76525,0,0,36558059639,392256290,74481,1734,310,373,391579,0 25,2,2024-09-07 08:19:01:610,53163,53163,0,0,3937226,0,3284 25,3,2024-09-07 08:19:01:010,1,44,1,1,158,530,44,0 26,0,2024-09-07 08:19:01:737,10718,0.7,10520,0.8,22178,0.8,29437,2.00 26,1,2024-09-07 08:19:01:543,76639,76639,0,0,36154809124,393444962,73188,2616,835,382,391542,0 26,2,2024-09-07 08:19:00:861,54998,54998,0,0,4076682,0,2809 26,3,2024-09-07 08:19:01:712,1,44,7,0,796,1145,44,0 27,0,2024-09-07 08:19:01:749,15885,1.7,15965,1.2,31963,2.1,42788,2.50 27,1,2024-09-07 08:19:01:678,77485,77485,0,0,36914353481,389047643,76419,771,295,381,391526,0 27,2,2024-09-07 08:19:00:867,55708,55708,0,0,5127216,0,3409 27,3,2024-09-07 08:19:01:017,1,44,1,1,564,974,44,0 28,0,2024-09-07 08:19:01:402,10896,1.0,11060,1.1,21876,1.3,29472,2.00 28,1,2024-09-07 08:19:00:801,77223,77223,0,0,37166890719,391161092,76641,572,10,383,391500,0 28,2,2024-09-07 08:19:01:773,56708,56708,0,0,3131509,0,2609 28,3,2024-09-07 08:19:01:776,1,44,9,1,502,1071,44,0 29,0,2024-09-07 08:19:01:384,9048,0.3,8879,0.5,17562,0.2,23585,1.75 29,1,2024-09-07 08:19:01:567,77917,77917,0,0,36580390136,381895462,77226,519,172,369,391621,0 29,2,2024-09-07 08:19:00:861,53903,53903,0,0,2571783,0,2026 29,3,2024-09-07 08:19:00:967,1,44,2,1,105,535,44,0 30,0,2024-09-07 08:19:01:464,9235,1.0,8956,0.9,18403,1.2,24706,2.75 30,1,2024-09-07 08:19:00:571,76866,76866,0,0,37347932597,394124444,75896,905,65,382,391524,0 30,2,2024-09-07 08:19:01:273,54533,54533,0,0,2546625,0,2534 30,3,2024-09-07 08:19:00:581,1,44,8,0,195,536,44,0 31,0,2024-09-07 08:19:01:769,15211,0.5,15207,0.7,30489,0.5,40093,2.00 31,1,2024-09-07 08:19:00:564,77325,77325,0,0,37141591261,384221872,77082,241,2,356,391553,0 31,2,2024-09-07 08:19:01:275,55300,55300,0,0,4069148,0,3525 31,3,2024-09-07 08:19:01:715,1,44,8,0,129,403,44,0 32,0,2024-09-07 08:19:01:451,12114,2.4,12208,1.4,24504,3.7,32858,2.00 32,1,2024-09-07 08:19:00:812,76999,76999,0,0,36186642907,381787454,76005,966,28,382,391595,0 32,2,2024-09-07 08:19:00:941,59439,59439,0,0,3490908,0,3155 32,3,2024-09-07 08:19:01:017,1,44,7,0,110,579,44,0 33,0,2024-09-07 08:19:01:513,8459,0.3,8378,0.5,16904,0.2,21994,1.75 33,1,2024-09-07 08:19:00:575,76944,76944,0,0,37125540770,392483073,75288,1533,123,369,391526,0 33,2,2024-09-07 08:19:00:766,52642,52609,33,0,4655636,0,7012 33,3,2024-09-07 08:19:00:910,1,44,6,1,51,238,44,0 34,0,2024-09-07 08:19:00:940,7361,0.2,7579,0.5,14606,0.2,19812,1.75 34,1,2024-09-07 08:19:01:043,77501,77501,0,0,37075403138,386032475,77063,435,3,368,391517,0 34,2,2024-09-07 08:19:00:773,52944,52944,0,0,3786508,0,3255 34,3,2024-09-07 08:19:01:690,1,44,4,0,148,581,44,0 35,0,2024-09-07 08:19:00:879,13104,1.2,13143,1.0,26408,1.8,35329,2.25 35,1,2024-09-07 08:19:01:067,76873,76873,0,0,36264716974,382714550,75443,1069,361,384,391587,0 35,2,2024-09-07 08:19:01:586,55281,55281,0,0,3348313,0,2542 35,3,2024-09-07 08:19:00:912,1,44,2,0,219,953,44,0 36,0,2024-09-07 08:19:01:547,13404,2.9,13205,1.8,27018,4.2,35577,3.25 36,1,2024-09-07 08:19:00:583,76457,76457,0,0,36385569482,392570179,73447,2079,931,369,391535,0 36,2,2024-09-07 08:19:01:751,58914,58914,0,0,3980031,0,3303 36,3,2024-09-07 08:19:00:874,1,44,13,0,378,947,44,0 37,0,2024-09-07 08:19:01:415,8118,0.3,8083,0.5,16274,0.2,21306,1.75 37,1,2024-09-07 08:19:00:577,76112,76105,0,7,36483001972,397093401,73268,1320,1517,365,391507,0 37,2,2024-09-07 08:19:01:152,53758,53758,0,0,2939186,0,2333 37,3,2024-09-07 08:19:01:766,1,44,11,0,724,1647,44,0 38,0,2024-09-07 08:19:01:456,7878,0.3,7607,0.6,15749,0.2,20341,1.75 38,1,2024-09-07 08:19:01:609,76853,76853,0,0,36564498710,391201037,74713,1693,447,370,391512,0 38,2,2024-09-07 08:19:00:763,53315,53315,0,0,3645818,0,3245 38,3,2024-09-07 08:19:00:997,1,44,1,0,603,989,44,0 39,0,2024-09-07 08:19:01:779,12231,0.7,11956,0.8,23340,0.8,31781,2.00 39,1,2024-09-07 08:19:00:721,76717,76717,0,0,35935847609,384938966,74171,1908,638,366,391524,0 39,2,2024-09-07 08:19:01:419,54954,54954,0,0,3254630,0,2381 39,3,2024-09-07 08:19:00:717,1,44,13,0,276,1076,44,0 40,0,2024-09-07 08:19:01:529,14941,2.8,15137,2.7,29820,5.2,40191,4.00 40,1,2024-09-07 08:19:00:577,76779,76779,0,0,35961414902,388115155,73803,2228,748,371,391591,0 40,2,2024-09-07 08:19:01:303,57331,57330,1,0,4460651,0,5137 40,3,2024-09-07 08:19:01:146,1,44,110,1,110,583,44,0 41,0,2024-09-07 08:19:01:041,9622,3.1,9889,7.4,18986,6.6,26457,4.25 41,1,2024-09-07 08:19:00:771,76526,76526,0,0,36153869869,387755582,74320,1895,311,370,391483,0 41,2,2024-09-07 08:19:00:766,55093,55093,0,0,4593292,0,3356 41,3,2024-09-07 08:19:01:676,1,44,7,1,107,434,44,0 42,0,2024-09-07 08:19:01:485,9368,0.4,9541,0.8,18890,0.3,25176,2.00 42,1,2024-09-07 08:19:01:440,76709,76709,0,0,36278257946,393321934,73845,2034,830,381,391511,0 42,2,2024-09-07 08:19:01:134,53711,53711,0,0,3908331,0,3568 42,3,2024-09-07 08:19:01:009,1,44,6,0,100,523,44,0 43,0,2024-09-07 08:19:00:922,9915,2.5,9687,1.6,20153,3.6,26655,2.25 43,1,2024-09-07 08:19:00:576,76687,76687,0,0,36376890159,389579682,74498,1437,752,367,391604,0 43,2,2024-09-07 08:19:01:738,54636,54636,0,0,4096474,0,3812 43,3,2024-09-07 08:19:01:755,1,44,1,1,292,1114,44,0 44,0,2024-09-07 08:19:00:897,16471,0.9,16579,1.1,32708,1.0,44883,2.25 44,1,2024-09-07 08:19:00:563,77147,77147,0,0,36089394115,377245869,76491,645,11,357,391505,0 44,2,2024-09-07 08:19:01:267,55341,55341,0,0,2752036,0,1865 44,3,2024-09-07 08:19:01:094,1,44,10,1,817,1255,44,0 45,0,2024-09-07 08:19:01:777,11186,3.9,10988,2.2,22926,6.0,30976,2.25 45,1,2024-09-07 08:19:01:014,77121,77121,0,0,37094125023,388594358,76732,389,0,382,391917,0 45,2,2024-09-07 08:19:01:268,58563,58563,0,0,3557178,0,2628 45,3,2024-09-07 08:19:00:940,1,44,2,1,226,651,44,0 46,0,2024-09-07 08:19:00:982,8547,0.3,8596,0.5,17289,0.2,22527,1.75 46,1,2024-09-07 08:19:00:576,77572,77572,0,0,36672308701,383209228,76891,655,26,368,391514,0 46,2,2024-09-07 08:19:00:593,53670,53670,0,0,3079205,0,2920 46,3,2024-09-07 08:19:01:131,1,44,1,0,315,1011,44,0 47,0,2024-09-07 08:19:01:115,7968,0.2,8152,0.4,16145,0.2,21290,1.50 47,1,2024-09-07 08:19:00:567,77533,77533,0,0,36194584476,376586209,77152,378,3,367,391489,0 47,2,2024-09-07 08:19:00:910,54494,54494,0,0,3230728,0,2558 47,3,2024-09-07 08:19:01:115,1,44,4,1,529,1017,44,0 48,0,2024-09-07 08:19:01:531,14205,0.4,14134,0.6,27960,0.4,37853,1.75 48,1,2024-09-07 08:19:01:030,77113,77113,0,0,36911306121,389632586,75919,1189,5,386,391637,0 48,2,2024-09-07 08:19:00:707,55356,55356,0,0,2655316,0,2083 48,3,2024-09-07 08:19:00:754,1,44,22,1,30,471,44,0 49,0,2024-09-07 08:19:01:747,12495,2.9,12359,1.7,23981,4.9,33112,2.50 49,1,2024-09-07 08:19:01:053,76909,76909,0,0,36341193901,387574161,75174,1026,709,382,391583,0 49,2,2024-09-07 08:19:01:802,59299,59299,0,0,3898076,0,3900 49,3,2024-09-07 08:19:01:417,1,44,18,0,274,698,44,0 50,0,2024-09-07 08:19:01:519,8162,0.3,8001,0.5,16021,0.2,21180,1.75 50,1,2024-09-07 08:19:01:011,77615,77615,0,0,36724217768,388054728,75952,1366,297,369,391530,0 50,2,2024-09-07 08:19:01:067,53556,53556,0,0,2820667,0,2253 50,3,2024-09-07 08:19:01:297,1,44,1,1,335,694,44,0 51,0,2024-09-07 08:19:01:690,7307,0.2,7103,0.4,14007,0.1,18604,1.50 51,1,2024-09-07 08:19:01:693,76614,76614,0,0,37475430164,396921217,74839,993,782,367,391520,0 51,2,2024-09-07 08:19:01:319,53186,53186,0,0,2429710,0,2448 51,3,2024-09-07 08:19:01:027,1,44,1,0,162,435,44,0 52,0,2024-09-07 08:19:01:424,12688,1.4,12723,1.3,25518,1.7,34190,3.00 52,1,2024-09-07 08:19:00:575,76685,76685,0,0,36209475878,390197512,74194,2027,464,368,391513,0 52,2,2024-09-07 08:19:01:755,55070,55070,0,0,3730222,0,4779 52,3,2024-09-07 08:19:00:674,1,44,29,2,1782,2338,44,0 53,0,2024-09-07 08:19:01:751,13749,3.4,13297,2.1,27348,4.9,36295,3.50 53,1,2024-09-07 08:19:00:771,76565,76565,0,0,36288624452,390836435,73963,2028,574,370,391617,0 53,2,2024-09-07 08:19:01:298,59247,59247,0,0,3298916,0,1902 53,3,2024-09-07 08:19:00:697,1,44,1,1,59,294,44,0 54,0,2024-09-07 08:19:01:628,8926,10.0,8945,6.4,17830,2.7,24707,5.50 54,1,2024-09-07 08:19:00:597,76595,76595,0,0,36410437022,388412874,74487,1792,316,367,391520,0 54,2,2024-09-07 08:19:00:867,54491,54485,6,0,3896839,0,5382 54,3,2024-09-07 08:19:00:764,1,44,1,0,676,1412,44,0 55,0,2024-09-07 08:19:01:777,8697,0.4,8903,0.7,18195,0.3,23431,2.25 55,1,2024-09-07 08:19:00:765,76301,76301,0,0,36114401927,388808479,72876,2723,702,369,391731,0 55,2,2024-09-07 08:19:00:740,53539,53539,0,0,3636133,0,3275 55,3,2024-09-07 08:19:00:674,1,44,3,0,136,626,44,0 56,0,2024-09-07 08:19:01:570,11030,2.9,10445,1.9,21464,4.0,29290,3.00 56,1,2024-09-07 08:19:00:581,76512,76512,0,0,36725491776,400535399,73241,2525,746,384,391536,0 56,2,2024-09-07 08:19:01:303,55246,55246,0,0,4263687,0,3567 56,3,2024-09-07 08:19:01:059,1,44,8,2,297,807,44,0 57,0,2024-09-07 08:19:00:983,15574,4.4,15226,2.4,30831,6.3,41544,4.75 57,1,2024-09-07 08:19:00:993,76913,76913,0,0,35710308288,379960735,75623,1271,19,368,391760,0 57,2,2024-09-07 08:19:01:320,55949,55949,0,0,4089514,0,2755 57,3,2024-09-07 08:19:01:739,1,44,1,1,359,1329,44,0 58,0,2024-09-07 08:19:00:566,10132,1.3,9839,1.4,20649,1.6,27536,3.00 58,1,2024-09-07 08:19:00:575,77251,77249,0,2,36495019819,386943048,75665,1425,159,371,391516,2 58,2,2024-09-07 08:19:01:071,57151,57151,0,0,4152716,0,2549 58,3,2024-09-07 08:19:01:067,1,44,20,1,219,722,44,0 59,0,2024-09-07 08:19:01:750,8893,0.9,8887,1.0,17889,0.9,23324,2.50 59,1,2024-09-07 08:19:00:808,76516,76516,0,0,36272108490,390666278,74276,1473,767,371,391515,0 59,2,2024-09-07 08:19:00:583,53740,53740,0,0,3411153,0,2604 59,3,2024-09-07 08:19:01:738,1,44,28,0,1015,1285,44,0 60,0,2024-09-07 08:19:01:718,9228,0.9,9241,0.9,18422,1.1,24871,2.00 60,1,2024-09-07 08:19:00:788,77843,77843,0,0,36882110727,387878679,76886,613,344,370,391672,0 60,2,2024-09-07 08:19:01:146,54308,54308,0,0,2778166,0,2142 60,3,2024-09-07 08:19:01:259,1,44,27,0,124,651,44,0 61,0,2024-09-07 08:19:01:513,15048,1.2,15018,1.1,29877,1.9,40052,2.00 61,1,2024-09-07 08:19:00:770,76791,76791,0,0,36260977297,389234879,74451,1806,534,383,391589,0 61,2,2024-09-07 08:19:01:118,55706,55706,0,0,3113892,0,1846 61,3,2024-09-07 08:19:01:688,1,44,2,1,199,750,44,0 62,0,2024-09-07 08:19:01:734,12122,2.7,12467,1.6,23925,4.7,32822,2.75 62,1,2024-09-07 08:19:01:117,77534,77529,0,5,36677248054,385045140,76352,1140,37,366,391586,5 62,2,2024-09-07 08:19:01:645,58490,58490,0,0,3792491,0,2931 62,3,2024-09-07 08:19:01:146,1,44,1,0,287,591,44,0 63,0,2024-09-07 08:19:01:475,8332,0.5,8336,0.8,16829,0.4,22051,2.00 63,1,2024-09-07 08:19:00:808,77824,77821,0,3,36774337967,383277371,77544,276,1,382,391540,3 63,2,2024-09-07 08:19:00:762,53148,53148,0,0,3194360,0,2674 63,3,2024-09-07 08:19:01:737,1,44,1,0,304,1057,44,0 64,0,2024-09-07 08:19:01:530,7289,0.4,7324,0.7,14684,0.3,19764,2.00 64,1,2024-09-07 08:19:00:762,77024,77024,0,0,36497919695,392785889,74595,1636,793,371,391604,0 64,2,2024-09-07 08:19:01:146,53741,53722,19,0,3619759,0,6121 64,3,2024-09-07 08:19:01:157,1,44,8,1,163,606,44,0 65,0,2024-09-07 08:19:01:715,12898,3.5,13069,2.1,25798,5.1,35091,4.50 65,1,2024-09-07 08:19:00:860,76861,76861,0,0,36124640786,385670649,75161,1481,219,382,391506,0 65,2,2024-09-07 08:19:01:702,55358,55358,0,0,3780123,0,3367 65,3,2024-09-07 08:19:01:700,1,44,4,1,163,706,44,0 66,0,2024-09-07 08:19:01:773,12906,2.4,13021,1.7,26192,4.3,34923,4.00 66,1,2024-09-07 08:19:01:298,77987,77987,0,0,36415003430,381357587,77340,628,19,381,391537,0 66,2,2024-09-07 08:19:01:132,59511,59511,0,0,3605274,0,3867 66,3,2024-09-07 08:19:01:089,1,44,25,1,291,857,44,0 67,0,2024-09-07 08:19:01:425,8107,0.7,8176,0.9,16076,0.7,21176,2.50 67,1,2024-09-07 08:19:00:766,76710,76709,0,1,36152435335,387366926,74721,1463,525,383,391787,1 67,2,2024-09-07 08:19:00:588,54117,54117,0,0,3118517,0,2889 67,3,2024-09-07 08:19:01:750,1,44,2,0,138,560,44,0 68,0,2024-09-07 08:19:00:585,7850,0.4,7778,0.8,15719,0.3,20197,2.00 68,1,2024-09-07 08:19:00:583,76695,76695,0,0,36710116373,391518603,75306,867,522,383,391953,0 68,2,2024-09-07 08:19:01:043,53538,53538,0,0,3261779,0,4883 68,3,2024-09-07 08:19:00:737,1,44,26,1,63,504,44,0 69,0,2024-09-07 08:19:01:757,11725,2.2,11669,1.6,23155,3.5,31471,3.25 69,1,2024-09-07 08:19:01:030,76141,76141,0,0,36386582117,394141276,74208,1069,864,386,391530,0 69,2,2024-09-07 08:19:01:750,55366,55366,0,0,3640541,0,3153 69,3,2024-09-07 08:19:00:766,1,44,3,0,238,832,44,0 70,0,2024-09-07 08:19:01:539,14929,4.4,14912,3.3,30170,4.6,39767,5.50 70,1,2024-09-07 08:19:00:801,77311,77311,0,0,36995491593,388232760,76415,762,134,369,391527,0 70,2,2024-09-07 08:19:01:324,57530,57530,0,0,3878577,0,4044 70,3,2024-09-07 08:19:00:747,1,44,2,1,178,412,44,0 71,0,2024-09-07 08:19:01:370,9755,3.2,9707,5.6,19067,5.3,26711,4.75 71,1,2024-09-07 08:19:01:602,76585,76585,0,0,36507653866,390072496,74403,1867,315,368,391682,0 71,2,2024-09-07 08:19:01:067,55408,55408,0,0,3306837,0,2146 71,3,2024-09-07 08:19:01:749,1,44,22,0,174,448,44,0 72,0,2024-09-07 08:19:01:088,9705,0.4,9385,0.7,18707,0.3,25293,2.75 72,1,2024-09-07 08:19:01:043,76990,76990,0,0,36624916067,388430882,75453,1461,76,370,391521,0 72,2,2024-09-07 08:19:01:777,52954,52954,0,0,4589620,0,2570 72,3,2024-09-07 08:19:01:755,1,44,16,1,325,1113,44,0 73,0,2024-09-07 08:19:01:167,9752,0.8,9959,1.0,20236,0.8,26610,2.75 73,1,2024-09-07 08:19:00:777,76843,76843,0,0,36026001500,380564254,75917,883,43,368,391627,0 73,2,2024-09-07 08:19:01:739,54846,54846,0,0,4258119,0,3482 73,3,2024-09-07 08:19:00:976,1,44,9,0,274,1161,44,0 74,0,2024-09-07 08:19:01:335,16444,1.8,16861,1.4,32262,2.4,44430,4.25 74,1,2024-09-07 08:19:00:636,76710,76710,0,0,36064279012,384784691,74839,1299,572,382,391497,0 74,2,2024-09-07 08:19:01:007,55224,55224,0,0,4558202,0,4253 74,3,2024-09-07 08:19:01:442,1,44,6,0,246,1159,44,0 75,0,2024-09-07 08:19:01:783,11301,3.7,11440,2.1,22663,5.5,31093,3.50 75,1,2024-09-07 08:19:01:589,77154,77154,0,0,36448620406,387632122,75701,1361,92,382,391514,0 75,2,2024-09-07 08:19:01:350,57872,57872,0,0,4377748,0,4766 75,3,2024-09-07 08:19:01:066,1,44,1,0,143,349,44,0 76,0,2024-09-07 08:19:00:588,8572,0.4,8537,0.6,16933,0.3,22290,2.25 76,1,2024-09-07 08:19:00:809,76920,76920,0,0,35845982723,382985825,75156,1258,506,382,391530,0 76,2,2024-09-07 08:19:01:061,53697,53697,0,0,3109271,0,3064 76,3,2024-09-07 08:19:01:147,1,44,7,0,175,927,44,0 77,0,2024-09-07 08:19:01:725,8033,0.3,8010,0.6,16106,0.2,21324,2.00 77,1,2024-09-07 08:19:00:829,76897,76897,0,0,35665866092,376536979,75977,852,68,383,391512,0 77,2,2024-09-07 08:19:01:288,54194,54194,0,0,2658224,0,1695 77,3,2024-09-07 08:19:01:101,1,44,9,0,139,516,44,0 78,0,2024-09-07 08:19:01:728,13932,1.9,13863,1.4,27879,3.1,37459,2.75 78,1,2024-09-07 08:19:00:616,77533,77533,0,0,35591303684,377354098,76152,1177,204,368,391589,0 78,2,2024-09-07 08:19:01:407,55199,55199,0,0,2838522,0,2114 78,3,2024-09-07 08:19:01:137,1,44,0,0,181,812,44,0 79,0,2024-09-07 08:19:01:352,11792,2.4,12116,1.6,24634,4.3,32189,4.50 79,1,2024-09-07 08:19:00:571,77216,77216,0,0,36092383454,379561441,76214,995,7,370,391494,0 79,2,2024-09-07 08:19:01:082,59106,59106,0,0,3330952,0,2679 79,3,2024-09-07 08:19:00:749,1,44,1,0,289,640,44,0 80,0,2024-09-07 08:19:01:088,8001,0.5,8246,0.8,15847,0.4,21058,2.25 80,1,2024-09-07 08:19:01:637,76546,76546,0,0,36598538814,387640473,74969,1462,115,369,391673,0 80,2,2024-09-07 08:19:01:092,53007,53007,0,0,3563819,0,4433 80,3,2024-09-07 08:19:00:575,1,44,7,1,148,905,44,0 81,0,2024-09-07 08:19:01:646,7154,0.5,7322,0.8,13974,0.4,18580,2.50 81,1,2024-09-07 08:19:01:660,76902,76902,0,0,35666070323,380965999,75080,1625,197,383,391646,0 81,2,2024-09-07 08:19:01:145,52920,52920,0,0,3597591,0,3993 81,3,2024-09-07 08:19:01:122,1,44,1,1,193,715,44,0 82,0,2024-09-07 08:19:01:544,12573,1.9,12559,1.5,25140,2.1,34269,2.50 82,1,2024-09-07 08:19:00:592,76523,76522,0,1,36092969199,384952587,74488,1462,572,384,391558,1 82,2,2024-09-07 08:19:01:694,56274,56274,0,0,2790409,0,2230 82,3,2024-09-07 08:19:01:751,1,44,199,0,211,885,44,0 83,0,2024-09-07 08:19:01:559,13811,2.5,13762,1.9,27196,2.9,36005,3.75 83,1,2024-09-07 08:19:00:551,77054,77054,0,0,36305035399,386133815,75651,1303,100,383,391553,0 83,2,2024-09-07 08:19:00:769,58175,58175,0,0,3787921,0,3119 83,3,2024-09-07 08:19:00:749,1,44,31,0,91,490,44,0 84,0,2024-09-07 08:19:01:824,9252,6.8,9155,6.6,18402,1.9,25267,4.00 84,1,2024-09-07 08:19:01:043,76866,76866,0,0,36524278808,389836578,75303,1318,245,369,391630,0 84,2,2024-09-07 08:19:00:581,53915,53915,0,0,3669093,0,3801 84,3,2024-09-07 08:19:01:140,1,44,22,1,43,464,44,0 85,0,2024-09-07 08:19:01:041,8717,0.4,8694,0.7,18438,0.3,23540,2.00 85,1,2024-09-07 08:19:00:559,76128,76128,0,0,36729063338,396711409,73701,2004,423,384,391626,0 85,2,2024-09-07 08:19:00:869,53211,53211,0,0,4005198,0,3656 85,3,2024-09-07 08:19:00:702,1,44,1,1,115,535,44,0 86,0,2024-09-07 08:19:00:909,10721,1.2,11166,1.0,21601,1.4,29384,2.00 86,1,2024-09-07 08:19:00:826,76577,76577,0,0,36378574197,387709059,74829,1535,213,367,391622,0 86,2,2024-09-07 08:19:00:876,55136,55135,1,0,4195646,0,5004 86,3,2024-09-07 08:19:00:589,1,44,0,0,199,739,44,0 87,0,2024-09-07 08:19:01:311,15758,3.6,15704,2.1,31646,4.5,42565,3.50 87,1,2024-09-07 08:19:00:556,76663,76663,0,0,36063486932,384981315,75107,1437,119,369,391671,0 87,2,2024-09-07 08:19:01:091,56479,56479,0,0,3222069,0,2859 87,3,2024-09-07 08:19:01:802,1,44,6,1,322,988,44,0 88,0,2024-09-07 08:19:01:508,10923,1.3,11030,1.2,21804,1.8,29449,2.25 88,1,2024-09-07 08:19:00:582,76856,76856,0,0,36604318281,388910438,75701,1062,93,367,391747,0 88,2,2024-09-07 08:19:00:709,57202,57202,0,0,4347973,0,3583 88,3,2024-09-07 08:19:01:267,1,44,12,1,77,678,44,0 89,0,2024-09-07 08:19:01:933,9121,0.4,8917,0.6,17619,0.3,23692,1.75 89,1,2024-09-07 08:19:00:552,76064,76064,0,0,36512917879,397242107,73108,2225,731,383,391866,0 89,2,2024-09-07 08:19:01:145,53202,53202,0,0,3730198,0,2726 89,3,2024-09-07 08:19:01:794,1,44,5,0,325,1347,44,0 90,0,2024-09-07 08:19:01:657,8931,0.8,9064,0.9,18730,1.0,24779,2.00 90,1,2024-09-07 08:19:00:591,76763,76763,0,0,35763212784,385323611,74738,1887,138,382,391825,0 90,2,2024-09-07 08:19:01:418,53435,53435,0,0,4503131,0,2635 90,3,2024-09-07 08:19:00:945,1,44,137,1,200,828,44,0 91,0,2024-09-07 08:19:01:017,15223,0.5,14746,0.7,30548,0.6,40399,2.00 91,1,2024-09-07 08:19:00:561,76748,76748,0,0,36699907794,394140016,74466,1922,360,384,391914,0 91,2,2024-09-07 08:19:01:338,54546,54546,0,0,3589925,0,1997 91,3,2024-09-07 08:19:00:598,1,44,11,1,155,643,44,0 92,0,2024-09-07 08:19:01:506,12301,2.1,12659,1.4,24222,3.8,33004,2.00 92,1,2024-09-07 08:19:00:587,77073,77073,0,0,36378242027,382626577,76525,485,63,383,391569,0 92,2,2024-09-07 08:19:01:378,60054,60054,0,0,3624920,0,2279 92,3,2024-09-07 08:19:01:010,1,44,26,1,68,589,44,0 93,0,2024-09-07 08:19:00:962,8323,0.4,8539,0.6,16312,0.3,21976,1.75 93,1,2024-09-07 08:19:00:812,76656,76656,0,0,36497109308,388717750,75053,1171,432,367,391689,0 93,2,2024-09-07 08:19:00:928,53198,53198,0,0,2918879,0,2509 93,3,2024-09-07 08:19:01:411,1,44,5,1,143,561,44,0 94,0,2024-09-07 08:19:01:648,7466,0.3,7430,0.5,14678,0.2,19805,1.75 94,1,2024-09-07 08:19:00:564,76552,76552,0,0,36290970099,386195082,75255,1238,59,381,391850,0 94,2,2024-09-07 08:19:00:770,53283,53283,0,0,3003062,0,2443 94,3,2024-09-07 08:19:01:687,1,44,48,1,231,1038,44,0 95,0,2024-09-07 08:19:01:408,13106,0.5,13099,0.7,26983,0.5,35688,1.75 95,1,2024-09-07 08:19:00:876,77140,77140,0,0,36165540865,381130389,76365,711,64,368,391590,0 95,2,2024-09-07 08:19:01:016,55649,55649,0,0,3268062,0,3308 95,3,2024-09-07 08:19:01:713,1,44,17,0,307,1051,44,0 96,0,2024-09-07 08:19:01:044,13602,2.0,13429,1.3,27103,3.0,35403,2.25 96,1,2024-09-07 08:19:01:600,77020,77020,0,0,36386470749,389944070,75205,1246,569,385,391551,0 96,2,2024-09-07 08:19:01:271,59408,59408,0,0,4085821,0,4038 96,3,2024-09-07 08:19:01:141,1,44,14,2,36,559,44,0 97,0,2024-09-07 08:19:01:342,8161,0.6,8140,0.7,16052,0.7,21259,2.00 97,1,2024-09-07 08:19:00:764,76573,76573,0,0,36638669664,390975257,74403,1654,516,367,391626,0 97,2,2024-09-07 08:19:00:615,53208,53208,0,0,3210635,0,3036 97,3,2024-09-07 08:19:00:571,1,44,2,1,165,605,44,0 98,0,2024-09-07 08:19:01:714,7766,0.2,7725,0.4,15730,0.2,20275,1.50 98,1,2024-09-07 08:19:00:591,76866,76866,0,0,36498574027,386892301,75807,1016,43,382,391588,0 98,2,2024-09-07 08:19:00:772,53906,53906,0,0,3202715,0,3080 98,3,2024-09-07 08:19:00:704,1,44,12,1,155,572,44,0 99,0,2024-09-07 08:19:01:521,11696,0.4,11633,0.6,23473,0.4,31606,1.75 99,1,2024-09-07 08:19:01:731,77410,77410,0,0,35684266931,378388946,76096,876,438,381,391744,0 99,2,2024-09-07 08:19:01:418,55903,55903,0,0,3335239,0,1858 99,3,2024-09-07 08:19:00:581,1,44,2,0,129,481,44,0 100,0,2024-09-07 08:19:01:559,15185,3.0,15177,3.3,30291,5.4,40616,3.00 100,1,2024-09-07 08:19:00:549,76382,76382,0,0,35833156017,390116979,73718,2321,343,382,391585,0 100,2,2024-09-07 08:19:01:843,57018,57007,11,0,4699118,0,5417 100,3,2024-09-07 08:19:01:761,1,44,13,1,443,1590,44,0 101,0,2024-09-07 08:19:01:707,10181,5.9,9916,3.4,19623,3.5,27654,3.50 101,1,2024-09-07 08:19:00:550,76540,76540,0,0,36166886228,386623278,75092,999,449,370,391531,0 101,2,2024-09-07 08:19:01:773,55642,55642,0,0,3929787,0,4644 101,3,2024-09-07 08:19:00:941,1,44,1,1,448,827,44,0 102,0,2024-09-07 08:19:00:980,9274,0.5,9520,0.7,19137,0.3,25105,2.00 102,1,2024-09-07 08:19:01:154,76694,76694,0,0,36576646419,392898535,74531,1718,445,369,391647,0 102,2,2024-09-07 08:19:01:739,53358,53358,0,0,2783451,0,1945 102,3,2024-09-07 08:19:01:614,1,44,1,0,410,738,44,0 103,0,2024-09-07 08:19:01:734,10225,1.0,10194,1.0,19443,1.2,26857,2.25 103,1,2024-09-07 08:19:01:626,76946,76946,0,0,36417795802,387098192,75763,1042,141,381,391680,0 103,2,2024-09-07 08:19:00:584,55020,55020,0,0,3388351,0,2104 103,3,2024-09-07 08:19:00:760,1,44,1,1,486,952,44,0 104,0,2024-09-07 08:19:01:049,16382,2.2,16574,1.6,31375,2.4,44116,2.75 104,1,2024-09-07 08:19:01:605,77183,77183,0,0,36285665788,390183350,75293,1565,325,368,391948,0 104,2,2024-09-07 08:19:01:667,54953,54953,0,0,4009797,0,3941 104,3,2024-09-07 08:19:01:427,1,44,70,2,1245,3243,44,0 105,0,2024-09-07 08:19:01:076,11249,3.1,10809,2.2,22396,5.6,30549,4.25 105,1,2024-09-07 08:19:00:570,76598,76598,0,0,36560732656,395607186,73729,2124,745,367,391797,0 105,2,2024-09-07 08:19:01:322,57756,57756,0,0,3863245,0,3314 105,3,2024-09-07 08:19:01:313,1,44,13,1,182,1076,44,0 106,0,2024-09-07 08:19:00:965,8329,0.4,8545,0.7,17254,0.2,22418,2.00 106,1,2024-09-07 08:19:01:774,76991,76991,0,0,36442182666,392187895,74604,2062,325,371,391767,0 106,2,2024-09-07 08:19:00:770,52889,52889,0,0,3620481,0,2191 106,3,2024-09-07 08:19:00:682,1,44,65,1,201,692,44,0 107,0,2024-09-07 08:19:01:290,8043,0.3,8065,0.6,15967,0.2,21111,1.75 107,1,2024-09-07 08:19:00:587,76516,76516,0,0,36051820447,387105814,74839,1509,168,382,392234,0 107,2,2024-09-07 08:19:01:335,53877,53876,1,0,3656462,0,5024 107,3,2024-09-07 08:19:01:767,1,44,184,0,353,1126,44,0 108,0,2024-09-07 08:19:01:899,14033,1.0,14113,0.9,28147,1.4,37669,1.75 108,1,2024-09-07 08:19:01:298,76699,76699,0,0,36623359108,388212876,75356,1211,132,371,391857,0 108,2,2024-09-07 08:19:01:786,55410,55410,0,0,3450950,0,2647 108,3,2024-09-07 08:19:01:334,1,44,16,1,60,617,44,0 109,0,2024-09-07 08:19:01:768,12396,1.6,12485,1.2,24330,2.9,33162,2.00 109,1,2024-09-07 08:19:00:583,76743,76743,0,0,36658290972,392454491,75176,1185,382,385,391664,0 109,2,2024-09-07 08:19:00:928,58373,58373,0,0,3843262,0,3617 109,3,2024-09-07 08:19:01:140,1,44,2,1,249,926,44,0 110,0,2024-09-07 08:19:01:824,8060,0.3,7863,0.5,16493,0.2,21068,1.75 110,1,2024-09-07 08:19:01:677,76784,76784,0,0,36730623445,386839789,75651,850,283,370,391588,0 110,2,2024-09-07 08:19:01:312,53739,53739,0,0,2881025,0,2915 110,3,2024-09-07 08:19:00:689,1,44,14,0,183,473,44,0 111,0,2024-09-07 08:19:01:426,6996,0.2,7148,0.4,14011,0.1,18482,1.50 111,1,2024-09-07 08:19:01:018,77629,77629,0,0,37014729887,386025133,77168,448,13,382,391690,0 111,2,2024-09-07 08:19:01:124,53352,53352,0,0,3221111,0,2763 111,3,2024-09-07 08:19:00:913,1,44,1,0,119,864,44,0 112,0,2024-09-07 08:19:00:958,12781,0.7,12776,0.7,25376,0.6,34581,1.75 112,1,2024-09-07 08:19:00:831,76997,76997,0,0,36341153990,386394938,75491,1160,346,382,391522,0 112,2,2024-09-07 08:19:01:133,55427,55426,1,0,3786942,0,5036 112,3,2024-09-07 08:19:00:592,1,44,1,1,282,673,44,0 113,0,2024-09-07 08:19:00:882,14107,1.0,13919,1.0,28222,1.2,37341,2.00 113,1,2024-09-07 08:19:01:686,76899,76899,0,0,36834694186,390157364,75012,1504,383,368,391661,0 113,2,2024-09-07 08:19:01:303,58627,58627,0,0,3069896,0,3021 113,3,2024-09-07 08:19:00:684,1,44,3,1,166,762,44,0 114,0,2024-09-07 08:19:00:882,9631,4.9,9694,3.5,19106,2.1,25726,2.25 114,1,2024-09-07 08:19:00:727,76745,76745,0,0,35874232175,386090183,74320,1565,860,381,391513,0 114,2,2024-09-07 08:19:00:872,54035,54035,0,0,3614996,0,3925 114,3,2024-09-07 08:19:01:278,1,44,1,1,159,676,44,0 115,0,2024-09-07 08:19:00:563,8909,0.2,9006,0.4,17938,0.1,23679,1.50 115,1,2024-09-07 08:19:00:573,77006,77006,0,0,36423393267,389398233,74652,1867,487,384,391502,0 115,2,2024-09-07 08:19:01:131,54278,54278,0,0,2766326,0,2152 115,3,2024-09-07 08:19:01:005,1,44,5,0,159,431,44,0 116,0,2024-09-07 08:19:01:758,10817,2.0,10722,1.4,21578,3.5,29310,2.25 116,1,2024-09-07 08:19:00:810,76208,76208,0,0,36269200630,392667918,74353,987,868,382,391573,0 116,2,2024-09-07 08:19:01:790,54874,54874,0,0,3861238,0,3529 116,3,2024-09-07 08:19:00:912,1,44,8,2,252,1113,44,0 117,0,2024-09-07 08:19:00:966,15896,3.1,15984,1.8,31894,4.2,42735,2.25 117,1,2024-09-07 08:19:01:664,77076,77076,0,0,35879514240,385617763,75017,1772,287,371,392033,0 117,2,2024-09-07 08:19:01:123,56319,56319,0,0,3518924,0,3700 117,3,2024-09-07 08:19:01:059,1,44,1,1,490,1406,44,0 118,0,2024-09-07 08:19:01:785,10573,1.3,10754,1.2,22090,1.8,29348,2.25 118,1,2024-09-07 08:19:00:589,76919,76919,0,0,36388837053,387786814,75711,1132,76,368,391736,0 118,2,2024-09-07 08:19:01:592,57463,57463,0,0,3752183,0,2781 118,3,2024-09-07 08:19:01:776,1,44,1,1,235,981,44,0 119,0,2024-09-07 08:19:01:373,8670,0.3,8782,0.6,17999,0.2,23577,1.75 119,1,2024-09-07 08:19:00:576,76961,76961,0,0,37085548932,395330278,75365,1448,148,371,391617,0 119,2,2024-09-07 08:19:01:267,53110,53110,0,0,3305622,0,2532 119,3,2024-09-07 08:19:01:326,1,44,357,1,443,1909,44,0 120,0,2024-09-07 08:19:01:676,9069,1.3,9014,1.3,17851,1.9,24704,3.00 120,1,2024-09-07 08:19:00:862,76791,76791,0,0,35842409498,384853090,75305,1471,15,368,391702,0 120,2,2024-09-07 08:19:00:791,53634,53633,1,0,4427456,0,5281 120,3,2024-09-07 08:19:01:291,1,44,1,1,241,891,44,0 121,0,2024-09-07 08:19:01:721,14941,1.7,15033,1.4,30044,3.3,40439,2.25 121,1,2024-09-07 08:19:01:674,76697,76697,0,0,36146121281,385803399,75097,1357,243,370,391807,0 121,2,2024-09-07 08:19:01:132,54627,54627,0,0,4809468,0,4127 121,3,2024-09-07 08:19:00:743,1,44,68,0,101,519,44,0 122,0,2024-09-07 08:19:01:804,12065,3.1,11668,2.8,24231,3.5,33113,2.75 122,1,2024-09-07 08:19:00:860,76014,76014,0,0,35972779357,390797863,73050,2442,522,369,392130,0 122,2,2024-09-07 08:19:01:330,58760,58760,0,0,5086935,0,3364 122,3,2024-09-07 08:19:00:601,1,44,59,1,226,1244,44,0 123,0,2024-09-07 08:19:00:979,8362,0.3,8073,0.6,16790,0.2,21971,1.75 123,1,2024-09-07 08:19:00:559,76617,76617,0,0,36334959609,395764027,73213,2837,567,370,391823,0 123,2,2024-09-07 08:19:01:031,52989,52988,1,0,3636178,0,5215 123,3,2024-09-07 08:19:01:134,1,44,5,1,160,803,44,0 124,0,2024-09-07 08:19:00:939,7507,0.3,7561,0.6,14281,0.2,19868,1.75 124,1,2024-09-07 08:19:01:026,77284,77284,0,0,36310023452,381135760,76571,573,140,369,392178,0 124,2,2024-09-07 08:19:01:010,53044,53044,0,0,2795992,0,2477 124,3,2024-09-07 08:19:00:780,1,44,8,2,490,1326,44,0 125,0,2024-09-07 08:19:01:458,13301,0.8,13285,0.9,26564,1.0,35666,2.00 125,1,2024-09-07 08:19:00:858,76784,76784,0,0,36361864317,387177896,75157,1356,271,384,391702,0 125,2,2024-09-07 08:19:01:120,55661,55661,0,0,3306510,0,2180 125,3,2024-09-07 08:19:01:131,1,44,2,1,93,805,44,0 126,0,2024-09-07 08:19:01:446,13566,1.9,13859,1.3,26566,2.7,35790,2.00 126,1,2024-09-07 08:19:00:550,77357,77357,0,0,36740624314,386025893,76546,788,23,368,391719,0 126,2,2024-09-07 08:19:00:614,59402,59402,0,0,3473969,0,3186 126,3,2024-09-07 08:19:00:914,1,44,113,0,122,743,44,0 127,0,2024-09-07 08:19:01:626,8253,0.3,8147,0.5,16246,0.2,21238,1.75 127,1,2024-09-07 08:19:00:577,77002,77002,0,0,36246432758,381198869,75896,1083,23,365,391614,0 127,2,2024-09-07 08:19:00:638,53816,53816,0,0,2805936,0,1803 127,3,2024-09-07 08:19:01:267,1,44,5,0,99,521,44,0 128,0,2024-09-07 08:19:01:593,7816,0.3,7895,0.5,15362,0.2,20236,1.75 128,1,2024-09-07 08:19:01:617,77210,77210,0,0,36675642138,384667843,76525,619,66,369,391605,0 128,2,2024-09-07 08:19:01:390,52831,52831,0,0,3499785,0,2107 128,3,2024-09-07 08:19:00:769,1,44,8,1,112,616,44,0 129,0,2024-09-07 08:19:01:044,11688,0.6,11935,0.7,23570,0.7,31577,2.00 129,1,2024-09-07 08:19:00:578,76914,76914,0,0,36028382632,385063965,75106,1536,272,379,391835,0 129,2,2024-09-07 08:19:00:689,55083,55083,0,0,3003669,0,2446 129,3,2024-09-07 08:19:00:695,1,44,2,1,173,836,44,0 130,0,2024-09-07 08:19:01:746,15493,1.8,15401,1.3,30768,2.4,41231,2.50 130,1,2024-09-07 08:19:00:583,77448,77448,0,0,36119840879,382953278,76200,1221,27,381,391520,0 130,2,2024-09-07 08:19:01:134,57756,57756,0,0,3836353,0,4067 130,3,2024-09-07 08:19:01:297,1,44,5,1,207,539,44,0 131,0,2024-09-07 08:19:01:951,10251,1.6,10072,1.3,20612,2.2,27620,2.00 131,1,2024-09-07 08:19:01:823,77303,77303,0,0,36565638501,386527081,76324,793,186,385,391865,0 131,2,2024-09-07 08:19:00:577,55319,55319,0,0,3061451,0,2415 131,3,2024-09-07 08:19:01:690,1,44,18,1,392,690,44,0 132,0,2024-09-07 08:19:01:434,9346,0.4,9627,0.8,18869,0.3,25285,2.00 132,1,2024-09-07 08:19:00:579,76065,76065,0,0,35550166262,384678908,73574,1787,704,382,391533,0 132,2,2024-09-07 08:19:00:709,53322,53322,0,0,4987905,0,4606 132,3,2024-09-07 08:19:01:690,1,44,20,1,356,896,44,0 133,0,2024-09-07 08:19:01:535,9740,0.9,9928,1.0,20468,1.1,26449,2.00 133,1,2024-09-07 08:19:00:584,76127,76127,0,0,36167889189,390024675,74020,2016,91,383,391755,0 133,2,2024-09-07 08:19:01:092,55239,55239,0,0,3963737,0,2444 133,3,2024-09-07 08:19:01:309,1,44,1,1,187,483,44,0 134,0,2024-09-07 08:19:00:971,16626,1.1,16526,1.2,32965,1.2,44703,2.50 134,1,2024-09-07 08:19:00:584,76675,76675,0,0,36177244931,390394563,74069,1626,980,366,391718,0 134,2,2024-09-07 08:19:01:780,55347,55347,0,0,3253624,0,2026 134,3,2024-09-07 08:19:00:749,1,44,5,1,739,1524,44,0 135,0,2024-09-07 08:19:01:130,11132,2.6,11139,2.2,23479,2.9,30751,2.75 135,1,2024-09-07 08:19:01:621,76287,76287,0,0,36310178368,388724837,74386,1576,325,383,391591,0 135,2,2024-09-07 08:19:00:706,58497,58497,0,0,3951198,0,3981 135,3,2024-09-07 08:19:01:004,1,44,3,0,68,409,44,0 136,0,2024-09-07 08:19:01:660,8556,0.5,8561,0.8,17058,0.3,22536,2.25 136,1,2024-09-07 08:19:01:459,77012,77012,0,0,35818497838,384050146,75133,1736,143,384,391518,0 136,2,2024-09-07 08:19:01:135,53975,53975,0,0,3381096,0,2379 136,3,2024-09-07 08:19:01:108,1,44,8,1,108,670,44,0 137,0,2024-09-07 08:19:00:931,8263,0.4,8041,0.8,15975,0.3,21229,2.00 137,1,2024-09-07 08:19:00:661,76813,76813,0,0,36917546877,394396126,74896,1805,112,368,391608,0 137,2,2024-09-07 08:19:01:714,53113,53113,0,0,4235390,0,2632 137,3,2024-09-07 08:19:00:774,1,44,11,1,227,780,44,0 138,0,2024-09-07 08:19:01:753,13409,3.5,13729,2.0,27669,4.2,37397,3.50 138,1,2024-09-07 08:19:01:703,76242,76242,0,0,36115654666,388113268,73942,2040,260,371,391615,0 138,2,2024-09-07 08:19:00:592,55572,55572,0,0,3665649,0,3263 138,3,2024-09-07 08:19:00:613,1,44,4,1,1160,1537,44,0 139,0,2024-09-07 08:19:01:422,11905,5.5,11879,3.1,23951,6.0,32466,4.25 139,1,2024-09-07 08:19:00:573,76466,76466,0,0,35951072298,392734949,73798,1957,711,381,391892,0 139,2,2024-09-07 08:19:00:694,58469,58469,0,0,4345273,0,2425 139,3,2024-09-07 08:19:01:664,1,44,11,1,244,1104,44,0 140,0,2024-09-07 08:19:01:628,8061,0.3,7928,0.6,16098,0.2,21163,1.75 140,1,2024-09-07 08:19:01:536,77626,77626,0,0,37047250251,386550827,76997,438,191,365,391483,0 140,2,2024-09-07 08:19:00:705,53135,53135,0,0,3638748,0,3388 140,3,2024-09-07 08:19:00:767,1,44,9,1,25,431,44,0 141,0,2024-09-07 08:19:01:715,6916,0.2,7165,0.4,13925,0.1,18572,1.50 141,1,2024-09-07 08:19:00:859,77342,77342,0,0,36798994356,389115973,75934,1077,331,382,391538,0 141,2,2024-09-07 08:19:01:687,53807,53807,0,0,3128249,0,2342 141,3,2024-09-07 08:19:01:045,1,44,0,0,53,327,44,0 142,0,2024-09-07 08:19:01:325,12934,0.4,12867,0.6,25404,0.4,34405,1.75 142,1,2024-09-07 08:19:00:588,77320,77320,0,0,36598564159,384309543,77003,315,2,384,391649,0 142,2,2024-09-07 08:19:01:312,55264,55264,0,0,3492102,0,2293 142,3,2024-09-07 08:19:01:796,1,44,484,1,484,1094,44,0 143,0,2024-09-07 08:19:01:383,14022,1.5,13982,1.2,28199,1.8,37192,2.25 143,1,2024-09-07 08:19:00:556,77175,77175,0,0,36785196050,386091247,76238,913,24,367,391608,0 143,2,2024-09-07 08:19:00:790,58199,58199,0,0,3928120,0,2669 143,3,2024-09-07 08:19:01:155,1,44,4,1,236,1002,44,0 144,0,2024-09-07 08:19:01:524,9119,2.8,9475,7.8,18933,2.4,25574,2.75 144,1,2024-09-07 08:19:00:567,76623,76623,0,0,36054212421,385651170,75074,1360,189,383,391638,0 144,2,2024-09-07 08:19:01:761,54113,54113,0,0,3320567,0,3473 144,3,2024-09-07 08:19:01:740,1,44,8,1,112,747,44,0 145,0,2024-09-07 08:19:01:389,8667,0.4,8630,0.7,18177,0.3,23475,2.00 145,1,2024-09-07 08:19:00:555,75986,75986,0,0,36201210905,388229537,74075,1650,261,383,391615,0 145,2,2024-09-07 08:19:01:456,53467,53467,0,0,4111656,0,3903 145,3,2024-09-07 08:19:00:900,1,44,1,0,151,799,44,0 146,0,2024-09-07 08:19:01:624,10777,1.9,10826,1.4,21772,2.8,29243,2.50 146,1,2024-09-07 08:19:01:592,76680,76680,0,0,36095989994,387183172,74496,1885,299,370,391600,0 146,2,2024-09-07 08:19:01:705,55017,55017,0,0,3538137,0,2379 146,3,2024-09-07 08:19:01:274,1,44,0,0,1520,2866,44,0 147,0,2024-09-07 08:19:01:731,15883,3.7,15604,2.1,31027,5.2,42749,3.50 147,1,2024-09-07 08:19:01:381,77182,77182,0,0,36276698712,383307992,75678,1175,329,369,391791,0 147,2,2024-09-07 08:19:01:011,56742,56742,0,0,3509595,0,2789 147,3,2024-09-07 08:19:00:914,1,44,4,1,141,661,44,0 0,0,2024-09-07 08:19:11:752,9316,1.3,9274,1.2,19617,1.8,25601,2.25 0,1,2024-09-07 08:19:10:833,78813,78813,0,0,37304243716,397893546,77611,1076,126,372,391673,0 0,2,2024-09-07 08:19:11:097,55288,55288,0,0,3706810,0,4480 0,3,2024-09-07 08:19:10:984,1,45,6,2,247,801,45,0 1,0,2024-09-07 08:19:11:891,15157,2.4,15182,1.8,30596,2.8,41425,3.00 1,1,2024-09-07 08:19:10:565,78292,78292,0,0,36929460405,394960316,76632,1135,525,372,391857,0 1,2,2024-09-07 08:19:10:660,56023,56023,0,0,3329212,0,3267 1,3,2024-09-07 08:19:11:302,1,45,19,1,167,550,45,0 2,0,2024-09-07 08:19:11:604,12159,2.9,12456,1.9,24505,4.8,33152,3.50 2,1,2024-09-07 08:19:10:877,78422,78422,0,0,37385422988,395122337,77351,868,203,382,391531,0 2,2,2024-09-07 08:19:11:267,59508,59508,0,0,3761633,0,3304 2,3,2024-09-07 08:19:10:691,1,45,3,1,214,630,45,0 3,0,2024-09-07 08:19:11:752,8593,0.4,8522,0.7,17106,0.3,22469,2.00 3,1,2024-09-07 08:19:11:618,78415,78415,0,0,37181901026,396072731,76473,1556,386,382,391514,0 3,2,2024-09-07 08:19:11:150,54610,54587,23,0,3969383,0,5851 3,3,2024-09-07 08:19:11:754,1,45,1,0,103,439,45,0 4,0,2024-09-07 08:19:11:808,7446,0.3,7695,0.6,15361,0.2,20519,1.75 4,1,2024-09-07 08:19:10:597,78517,78517,0,0,36317635152,390295317,76699,1402,416,372,391846,0 4,2,2024-09-07 08:19:11:035,54472,54472,0,0,4374011,0,4528 4,3,2024-09-07 08:19:11:263,1,45,14,1,287,918,45,0 5,0,2024-09-07 08:19:11:415,13710,1.1,13451,1.0,27526,1.5,36701,2.00 5,1,2024-09-07 08:19:10:755,78415,78415,0,0,36906343530,396192745,76244,1733,438,368,392005,0 5,2,2024-09-07 08:19:11:833,56293,56293,0,0,3492415,0,2259 5,3,2024-09-07 08:19:11:733,1,45,1,1,182,1035,45,0 6,0,2024-09-07 08:19:10:933,13626,2.7,13420,1.8,26777,4.4,35942,4.25 6,1,2024-09-07 08:19:10:748,78999,78999,0,0,37489206020,395969673,77920,1010,69,382,391603,0 6,2,2024-09-07 08:19:11:135,60574,60574,0,0,3756140,0,2411 6,3,2024-09-07 08:19:11:275,1,45,234,1,340,1344,45,0 7,0,2024-09-07 08:19:11:539,8105,0.4,8087,0.6,16334,0.2,21479,1.75 7,1,2024-09-07 08:19:10:856,78442,78442,0,0,37472775698,396758273,77464,914,64,383,391558,0 7,2,2024-09-07 08:19:10:771,54485,54485,0,0,3454227,0,2981 7,3,2024-09-07 08:19:10:854,1,45,2,0,83,495,45,0 8,0,2024-09-07 08:19:11:358,8059,0.4,7834,0.6,15543,0.2,20647,1.75 8,1,2024-09-07 08:19:11:074,78348,78348,0,0,37214334021,407515850,74895,2203,1250,368,391724,0 8,2,2024-09-07 08:19:10:791,53853,53853,0,0,4330095,0,2986 8,3,2024-09-07 08:19:10:584,1,45,23,0,229,852,45,0 9,0,2024-09-07 08:19:11:192,12209,0.5,11875,0.7,24812,0.4,32856,1.75 9,1,2024-09-07 08:19:10:551,78514,78514,0,0,37542465973,403665969,76246,1702,566,370,391559,0 9,2,2024-09-07 08:19:11:133,56285,56285,0,0,3975623,0,3360 9,3,2024-09-07 08:19:11:761,1,45,1,0,149,741,45,0 10,0,2024-09-07 08:19:11:614,15363,1.7,15439,1.3,30852,3.0,40842,3.75 10,1,2024-09-07 08:19:10:588,77947,77947,0,0,37278728596,405115842,74621,2804,522,383,391541,0 10,2,2024-09-07 08:19:10:765,58715,58715,0,0,4260691,0,2940 10,3,2024-09-07 08:19:10:875,1,45,2,0,136,474,45,0 11,0,2024-09-07 08:19:11:015,10055,3.5,9779,2.3,20494,5.7,27828,3.00 11,1,2024-09-07 08:19:10:585,78379,78379,0,0,36925193323,398778541,75744,1821,814,384,391537,0 11,2,2024-09-07 08:19:11:129,56005,56005,0,0,3992260,0,2635 11,3,2024-09-07 08:19:11:300,1,45,2,0,720,2141,45,0 12,0,2024-09-07 08:19:11:109,9781,0.3,9856,0.5,19565,0.2,25690,1.75 12,1,2024-09-07 08:19:10:941,78460,78460,0,0,36465840574,388501465,77071,1347,42,372,391790,0 12,2,2024-09-07 08:19:11:541,55391,55391,0,0,3485298,0,2254 12,3,2024-09-07 08:19:11:079,1,45,16,1,358,1218,45,0 13,0,2024-09-07 08:19:11:411,10267,0.5,10465,0.7,20818,0.5,27890,1.75 13,1,2024-09-07 08:19:11:533,78675,78675,0,0,37000114633,396146482,77140,1170,365,384,391568,0 13,2,2024-09-07 08:19:10:599,56230,56230,0,0,2970470,0,3287 13,3,2024-09-07 08:19:11:768,1,45,3,1,373,1038,45,0 14,0,2024-09-07 08:19:10:594,16838,1.2,16909,1.2,33671,1.6,44962,2.75 14,1,2024-09-07 08:19:11:561,79408,79408,0,0,37148674613,390068426,78532,846,30,365,391546,0 14,2,2024-09-07 08:19:10:765,56517,56517,0,0,3839732,0,2793 14,3,2024-09-07 08:19:11:118,1,45,2,1,906,1213,45,0 15,0,2024-09-07 08:19:11:563,11592,3.6,11506,2.1,23022,6.0,31406,3.75 15,1,2024-09-07 08:19:11:614,78810,78810,0,0,37042716377,390536832,78029,746,35,381,391536,0 15,2,2024-09-07 08:19:11:002,59315,59315,0,0,2926219,0,3043 15,3,2024-09-07 08:19:11:405,1,45,289,0,538,1618,45,0 16,0,2024-09-07 08:19:11:069,8678,0.4,8805,0.6,17407,0.2,23119,2.00 16,1,2024-09-07 08:19:10:570,78729,78729,0,0,36558434295,388887883,77623,1084,22,372,391543,0 16,2,2024-09-07 08:19:11:463,54188,54188,0,0,3946592,0,4719 16,3,2024-09-07 08:19:11:142,1,45,11,1,231,1141,45,0 17,0,2024-09-07 08:19:11:825,8529,0.4,8471,0.7,16441,0.3,22603,2.25 17,1,2024-09-07 08:19:10:617,78205,78205,0,0,36795430447,395774031,76418,1268,519,369,391688,0 17,2,2024-09-07 08:19:11:670,55588,55588,0,0,3025365,0,2857 17,3,2024-09-07 08:19:10:584,1,45,18,0,268,1270,45,0 18,0,2024-09-07 08:19:10:951,14111,0.8,14344,0.9,28852,0.9,38266,2.25 18,1,2024-09-07 08:19:11:640,78576,78576,0,0,37043929391,391925179,77330,1028,218,369,391526,0 18,2,2024-09-07 08:19:11:756,55717,55717,0,0,3928125,0,3541 18,3,2024-09-07 08:19:10:904,1,45,6,0,163,744,45,0 19,0,2024-09-07 08:19:11:563,12188,3.0,12327,2.0,24187,5.5,32585,5.75 19,1,2024-09-07 08:19:10:567,78650,78650,0,0,37229001719,396128462,76894,1594,162,367,391696,0 19,2,2024-09-07 08:19:11:755,60502,60502,0,0,3836761,0,3988 19,3,2024-09-07 08:19:11:131,1,45,0,0,524,872,45,0 20,0,2024-09-07 08:19:11:430,8240,0.3,8304,0.5,16255,0.2,21259,1.75 20,1,2024-09-07 08:19:10:570,78471,78471,0,0,37522462960,399583825,76794,1517,160,370,391598,0 20,2,2024-09-07 08:19:10:934,54376,54376,0,0,3122592,0,2446 20,3,2024-09-07 08:19:10:612,1,45,8,1,99,777,45,0 21,0,2024-09-07 08:19:11:181,7467,0.4,7393,0.6,14631,0.3,19295,2.00 21,1,2024-09-07 08:19:11:552,77957,77957,0,0,36399994152,392441295,75727,1742,488,368,391962,0 21,2,2024-09-07 08:19:11:091,54503,54503,0,0,3517541,0,3747 21,3,2024-09-07 08:19:11:409,1,45,2,0,93,741,45,0 22,0,2024-09-07 08:19:11:737,12946,1.0,12912,1.1,25682,1.0,34967,2.50 22,1,2024-09-07 08:19:11:027,78035,78035,0,0,36712699663,397320616,75094,2216,725,382,391667,0 22,2,2024-09-07 08:19:10:765,56856,56856,0,0,3303720,0,3134 22,3,2024-09-07 08:19:11:070,1,45,6,0,62,272,45,0 23,0,2024-09-07 08:19:11:374,13912,2.4,14137,1.4,28138,3.8,37444,3.00 23,1,2024-09-07 08:19:11:007,79139,79139,0,0,37429557897,394683439,77797,1088,254,368,391496,0 23,2,2024-09-07 08:19:11:096,60312,60312,0,0,3414508,0,2078 23,3,2024-09-07 08:19:11:755,1,45,1,0,645,1168,45,0 24,0,2024-09-07 08:19:10:915,9884,0.8,9971,0.9,19886,1.3,26185,1.75 24,1,2024-09-07 08:19:10:585,79111,79111,0,0,37006919853,390799131,78316,789,6,371,391640,0 24,2,2024-09-07 08:19:11:081,54505,54505,0,0,4457855,0,2942 24,3,2024-09-07 08:19:11:687,1,45,9,1,234,828,45,0 25,0,2024-09-07 08:19:11:502,9306,0.3,9130,0.5,17596,0.2,23603,1.75 25,1,2024-09-07 08:19:10:575,78249,78249,0,0,37244427421,399959293,76145,1793,311,373,391579,0 25,2,2024-09-07 08:19:11:624,54605,54605,0,0,4000348,0,3284 25,3,2024-09-07 08:19:11:006,1,45,1,1,158,531,45,0 26,0,2024-09-07 08:19:11:729,11143,0.7,10921,0.8,22979,0.8,30385,2.00 26,1,2024-09-07 08:19:11:541,78480,78480,0,0,37024601027,402632259,75020,2625,835,382,391542,0 26,2,2024-09-07 08:19:10:866,56613,56613,0,0,4152721,0,2809 26,3,2024-09-07 08:19:11:713,1,45,30,0,796,1175,45,0 27,0,2024-09-07 08:19:11:748,15984,1.7,16061,1.2,32177,2.1,43042,2.25 27,1,2024-09-07 08:19:11:679,79240,79240,0,0,37638945723,396643822,78174,771,295,381,391526,0 27,2,2024-09-07 08:19:10:877,57041,57041,0,0,5181802,0,3409 27,3,2024-09-07 08:19:11:034,1,45,7,1,564,981,45,0 28,0,2024-09-07 08:19:11:411,11127,1.0,11317,1.1,22391,1.3,30051,2.00 28,1,2024-09-07 08:19:10:799,78722,78722,0,0,37967382937,401590414,77667,855,200,383,391500,0 28,2,2024-09-07 08:19:11:766,57517,57517,0,0,3218404,0,2609 28,3,2024-09-07 08:19:11:776,1,45,11,1,502,1082,45,0 29,0,2024-09-07 08:19:11:363,9295,0.3,9129,0.5,18087,0.2,24508,1.75 29,1,2024-09-07 08:19:11:561,79681,79681,0,0,37507289330,391653114,78990,519,172,369,391621,0 29,2,2024-09-07 08:19:10:866,55330,55330,0,0,2649620,0,2026 29,3,2024-09-07 08:19:10:966,1,45,13,1,105,548,45,0 30,0,2024-09-07 08:19:11:468,9619,1.2,9342,1.0,19215,1.6,25566,2.75 30,1,2024-09-07 08:19:10:574,78513,78513,0,0,37998600970,400960438,77543,905,65,382,391524,0 30,2,2024-09-07 08:19:11:285,55943,55943,0,0,2660007,0,2534 30,3,2024-09-07 08:19:10:586,1,45,4,0,195,540,45,0 31,0,2024-09-07 08:19:11:765,15567,0.6,15574,0.8,31187,0.6,41207,2.00 31,1,2024-09-07 08:19:10:566,79079,79079,0,0,37694422925,389981969,78836,241,2,356,391553,0 31,2,2024-09-07 08:19:11:280,56422,56422,0,0,4175722,0,3525 31,3,2024-09-07 08:19:11:705,1,45,1,0,129,404,45,0 32,0,2024-09-07 08:19:11:433,12450,2.3,12526,1.4,25188,3.7,33708,2.00 32,1,2024-09-07 08:19:10:805,78756,78756,0,0,37108625232,391286982,77761,967,28,382,391595,0 32,2,2024-09-07 08:19:10:950,60555,60555,0,0,3538506,0,3155 32,3,2024-09-07 08:19:11:018,1,45,2,0,110,581,45,0 33,0,2024-09-07 08:19:11:503,8634,0.3,8521,0.5,17252,0.2,22409,1.75 33,1,2024-09-07 08:19:10:601,78721,78721,0,0,37941558430,400876038,77065,1533,123,369,391526,0 33,2,2024-09-07 08:19:10:758,54172,54139,33,0,4727946,0,7012 33,3,2024-09-07 08:19:10:894,1,45,6,1,51,244,45,0 34,0,2024-09-07 08:19:10:941,7663,0.3,7864,0.5,15176,0.2,20461,1.75 34,1,2024-09-07 08:19:11:065,79276,79276,0,0,37874582235,394200047,78838,435,3,368,391517,0 34,2,2024-09-07 08:19:10:768,54370,54370,0,0,3822757,0,3255 34,3,2024-09-07 08:19:11:693,1,45,0,0,148,581,45,0 35,0,2024-09-07 08:19:10:880,13530,1.3,13546,1.0,27252,1.8,36555,2.25 35,1,2024-09-07 08:19:11:086,78642,78642,0,0,37087749698,391263179,77212,1069,361,384,391587,0 35,2,2024-09-07 08:19:11:583,56416,56416,0,0,3399127,0,2542 35,3,2024-09-07 08:19:10:907,1,45,1,0,219,954,45,0 36,0,2024-09-07 08:19:11:550,13551,2.9,13348,1.8,27309,4.1,36050,3.25 36,1,2024-09-07 08:19:10:585,78226,78226,0,0,37283372046,401840047,75215,2080,931,369,391535,0 36,2,2024-09-07 08:19:11:765,60225,60225,0,0,4043573,0,3303 36,3,2024-09-07 08:19:10:865,1,45,8,0,378,955,45,0 37,0,2024-09-07 08:19:11:411,8227,0.3,8196,0.5,16489,0.2,21546,1.75 37,1,2024-09-07 08:19:10:569,77921,77914,0,7,37223912077,404714254,75077,1320,1517,365,391507,0 37,2,2024-09-07 08:19:11:142,54864,54864,0,0,2986831,0,2333 37,3,2024-09-07 08:19:11:766,1,45,1,0,724,1648,45,0 38,0,2024-09-07 08:19:11:447,7928,0.3,7666,0.6,15876,0.2,20651,1.75 38,1,2024-09-07 08:19:11:607,78735,78735,0,0,37500807908,400855234,76595,1693,447,370,391512,0 38,2,2024-09-07 08:19:10:765,54704,54704,0,0,3726999,0,3245 38,3,2024-09-07 08:19:11:000,1,45,1,0,603,990,45,0 39,0,2024-09-07 08:19:11:775,12690,0.7,12409,0.8,24143,0.8,33103,2.00 39,1,2024-09-07 08:19:10:716,78658,78658,0,0,36755794431,393515398,76101,1919,638,366,391524,0 39,2,2024-09-07 08:19:11:418,56338,56338,0,0,3402996,0,2381 39,3,2024-09-07 08:19:10:713,1,45,0,0,276,1076,45,0 40,0,2024-09-07 08:19:11:505,15055,2.8,15235,2.7,30042,5.2,40512,4.00 40,1,2024-09-07 08:19:10:579,78453,78453,0,0,36780704434,397228333,75331,2372,750,371,391591,0 40,2,2024-09-07 08:19:11:303,58800,58799,1,0,4556509,0,5137 40,3,2024-09-07 08:19:11:156,1,45,56,1,110,639,45,0 41,0,2024-09-07 08:19:11:046,9792,2.9,10053,7.3,19283,6.6,26717,4.25 41,1,2024-09-07 08:19:10:773,78414,78414,0,0,37041335529,397225719,76186,1917,311,370,391483,0 41,2,2024-09-07 08:19:10:765,55786,55786,0,0,4617780,0,3356 41,3,2024-09-07 08:19:11:677,1,45,3,1,107,437,45,0 42,0,2024-09-07 08:19:11:486,9644,0.4,9792,0.8,19424,0.3,25695,2.00 42,1,2024-09-07 08:19:11:454,78302,78302,0,0,37037869266,401831629,75314,2158,830,381,391511,0 42,2,2024-09-07 08:19:11:134,55153,55153,0,0,3975322,0,3568 42,3,2024-09-07 08:19:11:035,1,45,5,0,100,528,45,0 43,0,2024-09-07 08:19:10:930,10245,2.5,10034,1.6,20828,3.5,27873,2.25 43,1,2024-09-07 08:19:10:585,78411,78411,0,0,37232317032,398479914,76222,1437,752,367,391604,0 43,2,2024-09-07 08:19:11:736,56235,56235,0,0,4193087,0,3812 43,3,2024-09-07 08:19:11:754,1,45,1,1,292,1115,45,0 44,0,2024-09-07 08:19:10:917,16908,1.2,16913,1.3,33541,1.4,45401,2.25 44,1,2024-09-07 08:19:10:565,78622,78622,0,0,36683548767,384334291,77791,813,18,357,391505,0 44,2,2024-09-07 08:19:11:267,56385,56385,0,0,2875155,0,1865 44,3,2024-09-07 08:19:11:095,1,45,8,1,817,1263,45,0 45,0,2024-09-07 08:19:11:765,11473,3.8,11266,2.1,23543,5.9,31732,2.25 45,1,2024-09-07 08:19:11:025,78817,78817,0,0,38046955017,399103515,78353,464,0,382,391917,0 45,2,2024-09-07 08:19:11:268,59546,59546,0,0,3623962,0,2628 45,3,2024-09-07 08:19:10:938,1,45,12,1,226,663,45,0 46,0,2024-09-07 08:19:10:964,8730,0.3,8772,0.5,17657,0.2,23093,1.75 46,1,2024-09-07 08:19:10:584,79060,79060,0,0,37429988490,391135807,78379,655,26,368,391514,0 46,2,2024-09-07 08:19:10:596,55025,55025,0,0,3198019,0,2920 46,3,2024-09-07 08:19:11:135,1,45,1,0,315,1012,45,0 47,0,2024-09-07 08:19:11:118,8312,0.3,8485,0.5,16806,0.2,22504,1.75 47,1,2024-09-07 08:19:10:567,79237,79237,0,0,36897210052,384362743,78836,398,3,367,391489,0 47,2,2024-09-07 08:19:10:908,55627,55627,0,0,3308032,0,2558 47,3,2024-09-07 08:19:11:118,1,45,1,1,529,1018,45,0 48,0,2024-09-07 08:19:11:501,14534,0.4,14457,0.6,28561,0.4,38540,1.75 48,1,2024-09-07 08:19:11:025,78865,78865,0,0,37568396472,396707974,77670,1190,5,386,391637,0 48,2,2024-09-07 08:19:10:704,56420,56420,0,0,2730384,0,2083 48,3,2024-09-07 08:19:10:763,1,45,9,1,30,480,45,0 49,0,2024-09-07 08:19:11:737,12768,2.8,12618,1.7,24468,4.8,34000,2.50 49,1,2024-09-07 08:19:11:025,78745,78745,0,0,37254944715,397060689,77010,1026,709,382,391583,0 49,2,2024-09-07 08:19:11:798,60581,60581,0,0,3981437,0,3900 49,3,2024-09-07 08:19:11:416,1,45,10,0,274,708,45,0 50,0,2024-09-07 08:19:11:515,8299,0.3,8132,0.5,16283,0.2,21666,1.75 50,1,2024-09-07 08:19:11:036,79469,79469,0,0,37440594725,395590577,77804,1368,297,369,391530,0 50,2,2024-09-07 08:19:11:070,54774,54774,0,0,2934832,0,2253 50,3,2024-09-07 08:19:11:291,1,45,5,1,335,699,45,0 51,0,2024-09-07 08:19:11:692,7533,0.2,7340,0.4,14461,0.1,19538,1.75 51,1,2024-09-07 08:19:11:680,78472,78472,0,0,38336407915,405850451,76697,993,782,367,391520,0 51,2,2024-09-07 08:19:11:316,54447,54447,0,0,2500691,0,2448 51,3,2024-09-07 08:19:11:049,1,45,1,0,162,436,45,0 52,0,2024-09-07 08:19:11:440,13140,1.3,13178,1.2,26443,1.6,35417,3.00 52,1,2024-09-07 08:19:10:580,78508,78508,0,0,36921340066,397521290,76017,2027,464,368,391513,0 52,2,2024-09-07 08:19:11:769,56562,56562,0,0,3769284,0,4779 52,3,2024-09-07 08:19:10:675,1,45,9,2,1782,2347,45,0 53,0,2024-09-07 08:19:11:736,13867,3.3,13401,2.1,27584,4.8,36622,3.50 53,1,2024-09-07 08:19:10:775,78360,78360,0,0,37073403544,398893506,75758,2028,574,370,391617,0 53,2,2024-09-07 08:19:11:300,60663,60663,0,0,3323252,0,1902 53,3,2024-09-07 08:19:10:703,1,45,3,1,59,297,45,0 54,0,2024-09-07 08:19:11:627,9034,10.0,9044,6.4,18078,2.7,25050,5.50 54,1,2024-09-07 08:19:10:588,78360,78360,0,0,37393656831,398524226,76252,1792,316,367,391520,0 54,2,2024-09-07 08:19:10:866,55269,55263,6,0,3909866,0,5382 54,3,2024-09-07 08:19:10:764,1,45,1,0,676,1413,45,0 55,0,2024-09-07 08:19:11:771,8782,0.4,9005,0.7,18372,0.3,23432,2.25 55,1,2024-09-07 08:19:10:765,78014,78014,0,0,36813535241,395959682,74589,2723,702,369,391731,0 55,2,2024-09-07 08:19:10:729,54960,54960,0,0,3717680,0,3275 55,3,2024-09-07 08:19:10:681,1,45,0,0,136,626,45,0 56,0,2024-09-07 08:19:11:585,11404,2.8,10844,1.9,22221,4.0,30254,3.00 56,1,2024-09-07 08:19:10:575,78124,78124,0,0,37395684847,407711222,74850,2528,746,384,391536,0 56,2,2024-09-07 08:19:11:303,56811,56811,0,0,4413686,0,3567 56,3,2024-09-07 08:19:11:065,1,45,9,2,297,816,45,0 57,0,2024-09-07 08:19:10:952,15666,4.4,15335,2.4,31025,6.3,41771,4.75 57,1,2024-09-07 08:19:10:992,78606,78606,0,0,36339096441,386692456,77316,1271,19,368,391760,0 57,2,2024-09-07 08:19:11:324,57602,57602,0,0,4390514,0,3178 57,3,2024-09-07 08:19:11:750,1,45,6,1,359,1335,45,0 58,0,2024-09-07 08:19:10:564,10393,1.2,10113,1.3,21164,1.3,28128,3.00 58,1,2024-09-07 08:19:10:582,79117,79115,0,2,37409343325,396572092,77521,1435,159,371,391516,2 58,2,2024-09-07 08:19:11:070,57892,57892,0,0,4197008,0,2549 58,3,2024-09-07 08:19:11:069,1,45,1,1,219,723,45,0 59,0,2024-09-07 08:19:11:752,9176,0.9,9192,1.0,18459,0.9,24228,2.50 59,1,2024-09-07 08:19:10:813,78248,78248,0,0,37003041251,398388064,76006,1475,767,371,391515,0 59,2,2024-09-07 08:19:10:584,55173,55173,0,0,3549220,0,2604 59,3,2024-09-07 08:19:11:738,1,45,1,0,1015,1286,45,0 60,0,2024-09-07 08:19:11:737,9584,0.9,9605,0.9,19147,1.1,25632,2.00 60,1,2024-09-07 08:19:10:777,79666,79666,0,0,37526143380,395126107,78679,643,344,370,391672,0 60,2,2024-09-07 08:19:11:158,55688,55688,0,0,2916706,0,2142 60,3,2024-09-07 08:19:11:260,1,45,12,0,124,663,45,0 61,0,2024-09-07 08:19:11:499,15387,1.4,15385,1.2,30455,2.1,41110,2.25 61,1,2024-09-07 08:19:10:774,78400,78400,0,0,37304435477,400470851,76011,1855,534,383,391589,0 61,2,2024-09-07 08:19:11:120,56885,56885,0,0,3158529,0,1846 61,3,2024-09-07 08:19:11:728,1,45,6,1,199,756,45,0 62,0,2024-09-07 08:19:11:780,12449,2.6,12813,1.6,24569,4.6,33647,2.75 62,1,2024-09-07 08:19:11:112,79018,79013,0,5,37367121929,392398727,77826,1150,37,366,391586,5 62,2,2024-09-07 08:19:11:665,59636,59636,0,0,3879303,0,2931 62,3,2024-09-07 08:19:11:152,1,45,1,0,287,592,45,0 63,0,2024-09-07 08:19:11:460,8510,0.5,8518,0.8,17131,0.4,22459,2.00 63,1,2024-09-07 08:19:10:805,79603,79600,0,3,37620911839,392036203,79323,276,1,382,391540,3 63,2,2024-09-07 08:19:10:765,54601,54601,0,0,3322165,0,2674 63,3,2024-09-07 08:19:11:732,1,45,1,0,304,1058,45,0 64,0,2024-09-07 08:19:11:543,7581,0.4,7609,0.7,15278,0.3,20389,2.00 64,1,2024-09-07 08:19:10:756,78765,78765,0,0,37158320593,399876326,76334,1638,793,371,391604,0 64,2,2024-09-07 08:19:11:155,55139,55120,19,0,3666834,0,6121 64,3,2024-09-07 08:19:11:150,1,45,9,1,163,615,45,0 65,0,2024-09-07 08:19:11:717,13299,3.4,13455,2.0,26632,5.0,36181,4.50 65,1,2024-09-07 08:19:10:869,78622,78622,0,0,36955804640,394226438,76922,1481,219,382,391506,0 65,2,2024-09-07 08:19:11:699,56537,56537,0,0,3816712,0,3367 65,3,2024-09-07 08:19:11:693,1,45,5,1,163,711,45,0 66,0,2024-09-07 08:19:11:801,13059,2.4,13166,1.7,26460,4.2,35408,4.00 66,1,2024-09-07 08:19:11:303,79791,79791,0,0,37677029589,394554164,79124,647,20,381,391537,0 66,2,2024-09-07 08:19:11:144,60898,60898,0,0,3647825,0,3867 66,3,2024-09-07 08:19:11:081,1,45,1,1,291,858,45,0 67,0,2024-09-07 08:19:11:432,8223,0.7,8277,0.9,16300,0.7,21439,2.50 67,1,2024-09-07 08:19:10:766,78506,78505,0,1,36969923438,395926909,76510,1470,525,382,391787,1 67,2,2024-09-07 08:19:10:585,55169,55169,0,0,3167093,0,2889 67,3,2024-09-07 08:19:11:750,1,45,2,0,138,562,45,0 68,0,2024-09-07 08:19:10:607,7901,0.4,7824,0.8,15820,0.3,20508,2.00 68,1,2024-09-07 08:19:10:595,78496,78496,0,0,37297549809,397616158,77107,867,522,383,391953,0 68,2,2024-09-07 08:19:11:066,54761,54761,0,0,3287914,0,4883 68,3,2024-09-07 08:19:10:727,1,45,1,1,63,505,45,0 69,0,2024-09-07 08:19:11:790,12130,2.1,12113,1.5,23957,3.5,32861,3.25 69,1,2024-09-07 08:19:11:019,77919,77919,0,0,37367568567,404166471,75986,1069,864,386,391530,0 69,2,2024-09-07 08:19:11:742,56787,56787,0,0,3771712,0,3153 69,3,2024-09-07 08:19:10:764,1,45,4,0,238,836,45,0 70,0,2024-09-07 08:19:11:550,15038,4.4,15012,3.3,30368,4.5,40074,5.50 70,1,2024-09-07 08:19:10:805,78986,78986,0,0,37829475571,397059052,78077,775,134,369,391527,0 70,2,2024-09-07 08:19:11:337,59059,59059,0,0,3932029,0,4044 70,3,2024-09-07 08:19:10:749,1,45,2,1,178,414,45,0 71,0,2024-09-07 08:19:11:391,9916,3.1,9888,5.5,19410,5.1,26960,4.75 71,1,2024-09-07 08:19:11:596,78363,78363,0,0,37366996323,398850571,76181,1867,315,368,391682,0 71,2,2024-09-07 08:19:11:068,56090,56090,0,0,3317464,0,2146 71,3,2024-09-07 08:19:11:758,1,45,7,0,174,455,45,0 72,0,2024-09-07 08:19:11:062,9996,0.4,9625,0.7,19221,0.3,25810,2.75 72,1,2024-09-07 08:19:11:028,78798,78798,0,0,37395439677,396431345,77260,1462,76,370,391521,0 72,2,2024-09-07 08:19:11:767,54384,54384,0,0,4666735,0,2570 72,3,2024-09-07 08:19:11:756,1,45,17,1,325,1130,45,0 73,0,2024-09-07 08:19:11:186,10104,0.8,10313,1.0,20935,0.8,27823,2.75 73,1,2024-09-07 08:19:10:775,78783,78783,0,0,36875840132,389778164,77827,913,43,368,391627,0 73,2,2024-09-07 08:19:11:755,56409,56409,0,0,4315029,0,3482 73,3,2024-09-07 08:19:10:986,1,45,8,0,274,1169,45,0 74,0,2024-09-07 08:19:11:334,16834,2.2,17170,1.6,33142,3.7,45041,4.25 74,1,2024-09-07 08:19:10:647,78531,78531,0,0,37060685425,395013655,76660,1299,572,382,391497,0 74,2,2024-09-07 08:19:11:025,56398,56398,0,0,4606176,0,4253 74,3,2024-09-07 08:19:11:443,1,45,1,0,246,1160,45,0 75,0,2024-09-07 08:19:11:805,11651,3.7,11725,2.0,23240,5.5,31865,3.50 75,1,2024-09-07 08:19:11:611,78873,78873,0,0,37247546412,396790912,77248,1521,104,382,391514,0 75,2,2024-09-07 08:19:11:350,58923,58923,0,0,4420790,0,4766 75,3,2024-09-07 08:19:11:086,1,45,1,0,143,350,45,0 76,0,2024-09-07 08:19:10:636,8758,0.4,8722,0.6,17349,0.3,22861,2.25 76,1,2024-09-07 08:19:10:822,78723,78723,0,0,36753400137,392643673,76957,1260,506,382,391530,0 76,2,2024-09-07 08:19:11:070,55115,55115,0,0,3293483,0,3064 76,3,2024-09-07 08:19:11:158,1,45,9,0,175,936,45,0 77,0,2024-09-07 08:19:11:742,8363,0.4,8337,0.7,16741,0.3,22435,2.25 77,1,2024-09-07 08:19:10:854,78526,78526,0,0,36626951772,386872987,77573,885,68,383,391512,0 77,2,2024-09-07 08:19:11:287,55477,55477,0,0,2763844,0,1695 77,3,2024-09-07 08:19:11:109,1,45,1,0,139,517,45,0 78,0,2024-09-07 08:19:11:746,14291,1.9,14167,1.4,28574,3.0,38163,2.75 78,1,2024-09-07 08:19:10:613,79282,79282,0,0,36469518303,386776137,77873,1205,204,368,391589,0 78,2,2024-09-07 08:19:11:409,56371,56371,0,0,2916890,0,2114 78,3,2024-09-07 08:19:11:134,1,45,57,0,181,869,45,0 79,0,2024-09-07 08:19:11:354,12036,2.3,12348,1.6,25191,4.2,32896,4.50 79,1,2024-09-07 08:19:10:580,78864,78864,0,0,37083796718,390285126,77805,1052,7,370,391494,0 79,2,2024-09-07 08:19:11:068,60423,60423,0,0,3469501,0,2679 79,3,2024-09-07 08:19:10:754,1,45,3,0,289,643,45,0 80,0,2024-09-07 08:19:11:105,8129,0.5,8378,0.8,16131,0.4,21568,2.00 80,1,2024-09-07 08:19:11:874,78262,78262,0,0,37602220430,397935419,76684,1463,115,369,391673,0 80,2,2024-09-07 08:19:11:098,54248,54248,0,0,3604916,0,4433 80,3,2024-09-07 08:19:10:592,1,45,10,1,148,915,45,0 81,0,2024-09-07 08:19:11:559,7377,0.5,7551,0.8,14440,0.4,19494,2.50 81,1,2024-09-07 08:19:11:651,78730,78730,0,0,36384261087,388678895,76877,1656,197,383,391646,0 81,2,2024-09-07 08:19:11:128,54091,54091,0,0,3636911,0,3993 81,3,2024-09-07 08:19:11:128,1,45,2,1,193,717,45,0 82,0,2024-09-07 08:19:11:542,13016,1.8,13044,1.4,26093,2.0,35445,2.50 82,1,2024-09-07 08:19:10:585,78280,78279,0,1,36832614572,392638756,76245,1462,572,384,391558,1 82,2,2024-09-07 08:19:11:698,57795,57795,0,0,2847380,0,2230 82,3,2024-09-07 08:19:11:752,1,45,1,0,211,886,45,0 83,0,2024-09-07 08:19:11:533,13930,2.4,13884,1.9,27446,2.8,36336,3.75 83,1,2024-09-07 08:19:10:553,78832,78832,0,0,37037404803,393719584,77428,1304,100,383,391553,0 83,2,2024-09-07 08:19:10:775,59472,59472,0,0,3875623,0,3119 83,3,2024-09-07 08:19:10:753,1,45,2,0,91,492,45,0 84,0,2024-09-07 08:19:11:771,9345,6.8,9274,6.6,18650,1.9,25602,4.00 84,1,2024-09-07 08:19:11:070,78699,78699,0,0,37323244439,398229150,77136,1318,245,369,391630,0 84,2,2024-09-07 08:19:10:602,54662,54662,0,0,3699623,0,3801 84,3,2024-09-07 08:19:11:142,1,45,41,1,43,505,45,0 85,0,2024-09-07 08:19:11:058,8808,0.4,8815,0.7,18641,0.3,23542,2.00 85,1,2024-09-07 08:19:10:565,77835,77835,0,0,37510978297,404822485,75406,2005,424,384,391626,0 85,2,2024-09-07 08:19:10:891,54589,54589,0,0,4054391,0,3656 85,3,2024-09-07 08:19:10:689,1,45,0,0,115,535,45,0 86,0,2024-09-07 08:19:10:925,11124,1.1,11562,1.0,22388,1.4,30295,2.00 86,1,2024-09-07 08:19:10:846,78324,78324,0,0,37193286871,396050626,76576,1535,213,367,391622,0 86,2,2024-09-07 08:19:10:880,56581,56580,1,0,4240980,0,5004 86,3,2024-09-07 08:19:10:587,1,45,5,0,199,744,45,0 87,0,2024-09-07 08:19:11:316,15857,3.5,15788,2.1,31871,4.5,42814,3.50 87,1,2024-09-07 08:19:10:551,78393,78393,0,0,36762424536,392200121,76836,1438,119,369,391671,0 87,2,2024-09-07 08:19:11:069,57817,57817,0,0,3260962,0,2859 87,3,2024-09-07 08:19:11:804,1,45,2,1,322,990,45,0 88,0,2024-09-07 08:19:11:460,11173,1.3,11297,1.2,22335,1.7,30050,2.00 88,1,2024-09-07 08:19:10:575,78584,78584,0,0,37204724859,395273798,77429,1062,93,367,391747,0 88,2,2024-09-07 08:19:10:690,57939,57939,0,0,4417431,0,3583 88,3,2024-09-07 08:19:11:287,1,45,5,1,77,683,45,0 89,0,2024-09-07 08:19:11:782,9422,0.4,9178,0.6,18167,0.3,24584,1.75 89,1,2024-09-07 08:19:10:552,77956,77956,0,0,37211558223,405027823,74923,2302,731,383,391866,0 89,2,2024-09-07 08:19:11:133,54591,54591,0,0,3952953,0,2726 89,3,2024-09-07 08:19:11:791,1,45,1,0,325,1348,45,0 90,0,2024-09-07 08:19:11:622,9257,0.9,9437,0.9,19482,1.3,25617,2.00 90,1,2024-09-07 08:19:10:599,78495,78495,0,0,36571193609,393801858,76469,1888,138,382,391825,0 90,2,2024-09-07 08:19:11:410,54881,54881,0,0,4606667,0,2635 90,3,2024-09-07 08:19:10:944,1,45,3,1,200,831,45,0 91,0,2024-09-07 08:19:10:961,15576,0.6,15139,0.7,31345,0.6,41751,2.00 91,1,2024-09-07 08:19:10:560,78430,78430,0,0,37380114936,401703541,76097,1973,360,384,391914,0 91,2,2024-09-07 08:19:11:336,55667,55667,0,0,3644138,0,1997 91,3,2024-09-07 08:19:10:599,1,45,36,1,155,679,45,0 92,0,2024-09-07 08:19:11:457,12639,2.0,13006,1.4,24859,3.7,33769,2.00 92,1,2024-09-07 08:19:10:612,78748,78748,0,0,37144749642,390999714,78192,493,63,383,391652,0 92,2,2024-09-07 08:19:11:368,61228,61228,0,0,3709044,0,2279 92,3,2024-09-07 08:19:11:024,1,45,27,1,68,616,45,0 93,0,2024-09-07 08:19:11:023,8513,0.4,8690,0.6,16623,0.3,22372,1.75 93,1,2024-09-07 08:19:10:815,78325,78325,0,0,37241389120,397159611,76607,1284,434,367,391689,0 93,2,2024-09-07 08:19:10:989,54489,54489,0,0,3093629,0,2509 93,3,2024-09-07 08:19:11:426,1,45,2,1,143,563,45,0 94,0,2024-09-07 08:19:11:634,7726,0.3,7751,0.5,15198,0.2,20404,1.75 94,1,2024-09-07 08:19:10:567,78485,78485,0,0,37010892468,394164249,77148,1278,59,381,391850,0 94,2,2024-09-07 08:19:10:767,54524,54524,0,0,3106620,0,2443 94,3,2024-09-07 08:19:11:692,1,45,2,1,231,1040,45,0 95,0,2024-09-07 08:19:11:355,13522,0.5,13560,0.7,27785,0.5,36687,1.75 95,1,2024-09-07 08:19:10:871,78850,78850,0,0,37047172611,390433637,78074,712,64,368,391590,0 95,2,2024-09-07 08:19:11:019,56682,56682,0,0,3399671,0,3308 95,3,2024-09-07 08:19:11:713,1,45,2,0,307,1053,45,0 96,0,2024-09-07 08:19:11:068,13758,2.0,13569,1.3,27381,2.9,35842,2.25 96,1,2024-09-07 08:19:11:643,78824,78824,0,0,37393881767,400532677,76999,1256,569,385,391551,0 96,2,2024-09-07 08:19:11:268,60660,60660,0,0,4127322,0,4038 96,3,2024-09-07 08:19:11:148,1,45,4,2,36,563,45,0 97,0,2024-09-07 08:19:11:323,8263,0.6,8219,0.7,16295,0.7,21507,2.00 97,1,2024-09-07 08:19:10:772,78319,78319,0,0,37194077571,396770169,76148,1655,516,367,391626,0 97,2,2024-09-07 08:19:10:619,54321,54321,0,0,3250162,0,3036 97,3,2024-09-07 08:19:10:573,1,45,17,1,165,622,45,0 98,0,2024-09-07 08:19:11:729,7826,0.2,7794,0.4,15861,0.2,20596,1.50 98,1,2024-09-07 08:19:10:574,78604,78604,0,0,37044340603,392728303,77544,1016,44,382,391588,0 98,2,2024-09-07 08:19:10:773,55232,55232,0,0,3274635,0,3080 98,3,2024-09-07 08:19:10:706,1,45,6,1,155,578,45,0 99,0,2024-09-07 08:19:11:488,12113,0.4,12032,0.6,24314,0.4,32891,1.75 99,1,2024-09-07 08:19:11:733,79147,79147,0,0,36516443773,387258291,77833,876,438,381,391744,0 99,2,2024-09-07 08:19:11:416,57475,57475,0,0,3448351,0,1858 99,3,2024-09-07 08:19:10:584,1,45,30,0,129,511,45,0 100,0,2024-09-07 08:19:11:477,15284,3.0,15266,3.3,30497,5.4,40901,3.00 100,1,2024-09-07 08:19:10:557,78222,78222,0,0,36688691660,399125394,75552,2327,343,382,391585,0 100,2,2024-09-07 08:19:11:826,58517,58506,11,0,4758248,0,5417 100,3,2024-09-07 08:19:11:734,1,45,8,1,443,1598,45,0 101,0,2024-09-07 08:19:11:729,10348,5.9,10083,3.3,19924,3.4,27910,3.50 101,1,2024-09-07 08:19:10:553,78267,78267,0,0,37007053064,395433375,76814,1004,449,370,391531,0 101,2,2024-09-07 08:19:11:756,56304,56304,0,0,3950853,0,4644 101,3,2024-09-07 08:19:10:980,1,45,8,1,448,835,45,0 102,0,2024-09-07 08:19:10:982,9524,0.5,9799,0.7,19738,0.3,25652,2.00 102,1,2024-09-07 08:19:11:219,78460,78460,0,0,37225351916,399846203,76288,1727,445,369,391647,0 102,2,2024-09-07 08:19:11:740,55124,55124,0,0,2956862,0,1945 102,3,2024-09-07 08:19:11:622,1,45,5,0,410,743,45,0 103,0,2024-09-07 08:19:11:610,10589,0.9,10560,1.0,20098,1.2,28017,2.00 103,1,2024-09-07 08:19:11:643,78700,78700,0,0,37246826105,395806138,77517,1042,141,381,391680,0 103,2,2024-09-07 08:19:10:582,56500,56500,0,0,3428282,0,2104 103,3,2024-09-07 08:19:10:754,1,45,1,1,486,953,45,0 104,0,2024-09-07 08:19:11:028,16737,2.7,16840,1.7,32674,4.3,45056,3.25 104,1,2024-09-07 08:19:11:648,78889,78889,0,0,37227504533,400013787,76999,1565,325,368,391948,0 104,2,2024-09-07 08:19:11:680,56031,56031,0,0,4090116,0,3941 104,3,2024-09-07 08:19:11:417,1,45,75,2,1245,3318,45,0 105,0,2024-09-07 08:19:11:210,11539,3.0,11126,2.1,23021,5.5,31474,4.25 105,1,2024-09-07 08:19:10:619,78352,78352,0,0,37246430486,403210075,75427,2180,745,367,391797,0 105,2,2024-09-07 08:19:11:331,58911,58911,0,0,3921809,0,3314 105,3,2024-09-07 08:19:11:310,1,45,5,1,182,1081,45,0 106,0,2024-09-07 08:19:10:972,8531,0.4,8710,0.7,17623,0.2,23028,2.00 106,1,2024-09-07 08:19:11:754,78708,78708,0,0,37057277417,398680052,76321,2062,325,371,391767,0 106,2,2024-09-07 08:19:10:776,54568,54568,0,0,3886267,0,2795 106,3,2024-09-07 08:19:10:682,1,45,9,1,201,701,45,0 107,0,2024-09-07 08:19:11:110,8368,0.4,8374,0.7,16594,0.3,22379,2.00 107,1,2024-09-07 08:19:10:587,78436,78436,0,0,36863237037,396147558,76662,1605,169,382,392234,0 107,2,2024-09-07 08:19:11:292,55216,55215,1,0,3731612,0,5024 107,3,2024-09-07 08:19:11:754,1,45,0,0,353,1126,45,0 108,0,2024-09-07 08:19:11:792,14345,1.0,14433,0.9,28758,1.4,38335,1.75 108,1,2024-09-07 08:19:11:303,78516,78516,0,0,37535304796,398121714,77156,1228,132,371,391857,0 108,2,2024-09-07 08:19:11:756,56525,56525,0,0,3544992,0,2647 108,3,2024-09-07 08:19:11:336,1,45,50,1,60,667,45,0 109,0,2024-09-07 08:19:11:784,12654,1.6,12750,1.2,24857,2.8,34081,2.00 109,1,2024-09-07 08:19:10:612,78349,78349,0,0,37489560692,401294850,76780,1187,382,385,391664,0 109,2,2024-09-07 08:19:10:921,59563,59563,0,0,3983585,0,3617 109,3,2024-09-07 08:19:11:141,1,45,33,1,249,959,45,0 110,0,2024-09-07 08:19:11:797,8208,0.3,8004,0.5,16770,0.2,21537,1.75 110,1,2024-09-07 08:19:11:665,78343,78343,0,0,37433947821,394721998,77138,922,283,370,391588,0 110,2,2024-09-07 08:19:11:309,55041,55041,0,0,2995199,0,2915 110,3,2024-09-07 08:19:10:696,1,45,1,0,183,474,45,0 111,0,2024-09-07 08:19:11:425,7235,0.2,7381,0.4,14441,0.1,19440,1.50 111,1,2024-09-07 08:19:11:015,79337,79337,0,0,38022225722,397250399,78715,609,13,382,391690,0 111,2,2024-09-07 08:19:11:119,54523,54523,0,0,3305462,0,2763 111,3,2024-09-07 08:19:10:929,1,45,39,0,119,903,45,0 112,0,2024-09-07 08:19:10:936,13233,0.6,13271,0.7,26289,0.5,35728,1.75 112,1,2024-09-07 08:19:10:832,78738,78738,0,0,37179122575,394995059,77232,1160,346,382,391522,0 112,2,2024-09-07 08:19:11:133,56982,56981,1,0,3837492,0,5036 112,3,2024-09-07 08:19:10:592,1,45,1,1,282,674,45,0 113,0,2024-09-07 08:19:10:892,14217,0.9,14011,1.0,28463,1.1,37663,2.00 113,1,2024-09-07 08:19:11:688,78695,78695,0,0,37485539408,397003704,76808,1504,383,368,391661,0 113,2,2024-09-07 08:19:11:303,60131,60131,0,0,3182613,0,3021 113,3,2024-09-07 08:19:10:692,1,45,3,1,166,765,45,0 114,0,2024-09-07 08:19:10:884,9733,4.9,9834,3.5,19313,2.1,26067,2.25 114,1,2024-09-07 08:19:10:717,78425,78425,0,0,36604289264,394648070,75819,1725,881,381,391513,0 114,2,2024-09-07 08:19:10:877,54854,54854,0,0,3646095,0,3925 114,3,2024-09-07 08:19:11:286,1,45,7,1,159,683,45,0 115,0,2024-09-07 08:19:10:577,9023,0.2,9102,0.4,18160,0.1,23684,1.50 115,1,2024-09-07 08:19:10:593,78708,78708,0,0,37242263785,397813071,76354,1867,487,384,391502,0 115,2,2024-09-07 08:19:11:129,55668,55668,0,0,2820466,0,2152 115,3,2024-09-07 08:19:11:024,1,45,1,0,159,432,45,0 116,0,2024-09-07 08:19:11:718,11207,1.9,11132,1.4,22340,3.4,30366,2.25 116,1,2024-09-07 08:19:10:810,77901,77901,0,0,37103319813,401279349,76046,987,868,382,391573,0 116,2,2024-09-07 08:19:11:752,56301,56301,0,0,3899651,0,3529 116,3,2024-09-07 08:19:10:912,1,45,18,2,252,1131,45,0 117,0,2024-09-07 08:19:11:020,16013,3.1,16090,1.8,32098,4.2,42991,2.25 117,1,2024-09-07 08:19:11:586,78946,78946,0,0,36676315107,393931359,76886,1773,287,371,392033,0 117,2,2024-09-07 08:19:11:121,57688,57688,0,0,3558799,0,3700 117,3,2024-09-07 08:19:11:073,1,45,165,1,490,1571,45,0 118,0,2024-09-07 08:19:11:781,10812,1.3,11045,1.2,22579,1.8,29967,2.25 118,1,2024-09-07 08:19:10:585,78726,78726,0,0,37174331968,395919558,77518,1132,76,368,391736,0 118,2,2024-09-07 08:19:11:585,58209,58209,0,0,3843680,0,2781 118,3,2024-09-07 08:19:11:764,1,45,1,1,235,982,45,0 119,0,2024-09-07 08:19:11:348,8952,0.3,9065,0.6,18557,0.2,24507,1.75 119,1,2024-09-07 08:19:10:574,78666,78666,0,0,37604926721,400848842,77070,1448,148,371,391617,0 119,2,2024-09-07 08:19:11:271,54639,54639,0,0,3396086,0,2532 119,3,2024-09-07 08:19:11:329,1,45,1,1,443,1910,45,0 120,0,2024-09-07 08:19:11:565,9486,2.4,9361,1.5,18811,3.7,25554,3.00 120,1,2024-09-07 08:19:10:890,78620,78620,0,0,36693392463,393798370,77134,1471,15,368,391702,0 120,2,2024-09-07 08:19:10:775,55110,55109,1,0,4538029,0,5281 120,3,2024-09-07 08:19:11:298,1,45,10,1,241,901,45,0 121,0,2024-09-07 08:19:11:701,15285,1.9,15399,1.5,30759,3.5,41700,2.50 121,1,2024-09-07 08:19:11:662,78452,78452,0,0,36931654340,394927945,76609,1579,264,370,391807,0 121,2,2024-09-07 08:19:11:133,55723,55723,0,0,4939737,0,4127 121,3,2024-09-07 08:19:10:728,1,45,2,0,101,521,45,0 122,0,2024-09-07 08:19:11:787,12390,3.1,11960,2.7,24927,3.5,33915,2.75 122,1,2024-09-07 08:19:10:885,77732,77732,0,0,36754150323,399133747,74766,2444,522,369,392130,0 122,2,2024-09-07 08:19:11:333,59985,59985,0,0,5144345,0,3364 122,3,2024-09-07 08:19:10:593,1,45,3,1,226,1247,45,0 123,0,2024-09-07 08:19:10:966,8519,0.3,8237,0.6,17123,0.2,22385,1.75 123,1,2024-09-07 08:19:10:564,78370,78370,0,0,37282208779,405687417,74965,2838,567,370,391823,0 123,2,2024-09-07 08:19:11:051,54458,54457,1,0,3733789,0,5215 123,3,2024-09-07 08:19:11:132,1,45,9,1,160,812,45,0 124,0,2024-09-07 08:19:10:954,7802,0.3,7830,0.6,14830,0.2,20463,1.75 124,1,2024-09-07 08:19:11:054,79072,79072,0,0,37293062843,391818049,78289,643,140,369,392178,0 124,2,2024-09-07 08:19:11:012,54377,54377,0,0,2940005,0,2477 124,3,2024-09-07 08:19:10:765,1,45,15,2,490,1341,45,0 125,0,2024-09-07 08:19:11:518,13708,0.8,13663,0.9,27402,1.0,36712,2.00 125,1,2024-09-07 08:19:10:857,78608,78608,0,0,37324682993,397532052,76919,1418,271,384,391702,0 125,2,2024-09-07 08:19:11:132,56790,56790,0,0,3433141,0,2180 125,3,2024-09-07 08:19:11:132,1,45,90,1,93,895,45,0 126,0,2024-09-07 08:19:11:423,13706,1.8,14016,1.3,26880,2.6,36243,2.00 126,1,2024-09-07 08:19:10:552,79075,79075,0,0,37765985578,397763749,78062,961,52,368,391719,0 126,2,2024-09-07 08:19:10:616,60729,60729,0,0,3617623,0,3186 126,3,2024-09-07 08:19:10:912,1,45,58,0,122,801,45,0 127,0,2024-09-07 08:19:11:621,8357,0.3,8260,0.5,16465,0.2,21487,1.75 127,1,2024-09-07 08:19:10:585,78698,78698,0,0,36836485515,387438238,77592,1083,23,365,391614,0 127,2,2024-09-07 08:19:10:653,54815,54815,0,0,2863710,0,1803 127,3,2024-09-07 08:19:11:281,1,45,5,0,99,526,45,0 128,0,2024-09-07 08:19:11:563,7876,0.3,7957,0.5,15476,0.2,20560,1.75 128,1,2024-09-07 08:19:11:622,78911,78911,0,0,37355242475,391728394,78226,619,66,369,391605,0 128,2,2024-09-07 08:19:11:388,54122,54122,0,0,3579191,0,2107 128,3,2024-09-07 08:19:10:772,1,45,11,1,112,627,45,0 129,0,2024-09-07 08:19:11:045,12144,0.6,12363,0.7,24359,0.7,32897,2.00 129,1,2024-09-07 08:19:10:569,78679,78679,0,0,36728601434,392368430,76870,1537,272,379,391835,0 129,2,2024-09-07 08:19:10:690,56534,56534,0,0,3129734,0,2446 129,3,2024-09-07 08:19:10:692,1,45,1,1,173,837,45,0 130,0,2024-09-07 08:19:11:722,15590,1.8,15482,1.3,30988,2.4,41526,2.50 130,1,2024-09-07 08:19:10:620,79165,79165,0,0,36929006020,391772531,77867,1270,28,381,391520,0 130,2,2024-09-07 08:19:11:129,59184,59184,0,0,3892841,0,4067 130,3,2024-09-07 08:19:11:291,1,45,2,1,207,541,45,0 131,0,2024-09-07 08:19:12:192,10410,1.6,10226,1.3,20921,2.2,27871,2.00 131,1,2024-09-07 08:19:11:826,79140,79140,0,0,37652843317,397665277,78160,794,186,385,391865,0 131,2,2024-09-07 08:19:10:579,55999,55999,0,0,3091152,0,2415 131,3,2024-09-07 08:19:11:694,1,45,278,1,392,968,45,0 132,0,2024-09-07 08:19:11:428,9601,0.4,9883,0.8,19404,0.3,25786,2.00 132,1,2024-09-07 08:19:10:585,77877,77877,0,0,36546251850,394896472,75385,1788,704,382,391533,0 132,2,2024-09-07 08:19:10:710,54839,54839,0,0,5037525,0,4606 132,3,2024-09-07 08:19:11:692,1,45,6,1,356,902,45,0 133,0,2024-09-07 08:19:11:610,10089,0.9,10251,1.0,21198,1.1,27598,2.00 133,1,2024-09-07 08:19:10:592,77893,77893,0,0,36820162329,397005136,75785,2016,92,383,391755,0 133,2,2024-09-07 08:19:11:096,56720,56720,0,0,4014093,0,2444 133,3,2024-09-07 08:19:11:301,1,45,5,1,187,488,45,0 134,0,2024-09-07 08:19:10:953,16969,1.4,16851,1.2,33942,2.2,45219,2.50 134,1,2024-09-07 08:19:10:585,78520,78520,0,0,37149922144,400528607,75913,1627,980,366,391718,0 134,2,2024-09-07 08:19:11:757,56462,56462,0,0,3293994,0,2026 134,3,2024-09-07 08:19:10:749,1,45,2,1,739,1526,45,0 135,0,2024-09-07 08:19:11:122,11454,2.5,11469,2.1,24104,2.8,31500,2.75 135,1,2024-09-07 08:19:11:588,78046,78046,0,0,37130685413,397166069,76144,1577,325,383,391645,0 135,2,2024-09-07 08:19:10:695,59499,59499,0,0,3973432,0,3981 135,3,2024-09-07 08:19:11:005,1,45,3,0,68,412,45,0 136,0,2024-09-07 08:19:11:697,8744,0.5,8737,0.8,17432,0.3,23131,2.25 136,1,2024-09-07 08:19:11:442,78696,78696,0,0,36818544074,394443160,76817,1736,143,384,391518,0 136,2,2024-09-07 08:19:11:134,55498,55498,0,0,3438272,0,2379 136,3,2024-09-07 08:19:11:106,1,45,5,1,108,675,45,0 137,0,2024-09-07 08:19:10:947,8558,0.5,8348,0.8,16566,0.4,22494,2.25 137,1,2024-09-07 08:19:10:577,78564,78564,0,0,37814171133,403828290,76631,1820,113,368,391608,0 137,2,2024-09-07 08:19:11:740,54410,54410,0,0,4268609,0,2632 137,3,2024-09-07 08:19:10:770,1,45,11,1,227,791,45,0 138,0,2024-09-07 08:19:11:764,13750,3.5,14047,2.0,28304,4.2,38234,3.50 138,1,2024-09-07 08:19:11:701,77989,77989,0,0,36667032569,394165871,75679,2050,260,371,391615,0 138,2,2024-09-07 08:19:10:596,56604,56604,0,0,3710398,0,3263 138,3,2024-09-07 08:19:10:631,1,45,10,1,1160,1547,45,0 139,0,2024-09-07 08:19:11:368,12157,5.5,12120,3.1,24433,6.0,33467,4.25 139,1,2024-09-07 08:19:10:577,78076,78076,0,0,36681928905,401357253,75214,2136,726,381,391892,0 139,2,2024-09-07 08:19:10:697,59921,59921,0,0,4540709,0,3097 139,3,2024-09-07 08:19:11:666,1,45,2,1,244,1106,45,0 140,0,2024-09-07 08:19:11:595,8217,0.3,8063,0.6,16383,0.2,21646,1.75 140,1,2024-09-07 08:19:11:536,79411,79411,0,0,37912486327,396777465,78567,647,197,365,391483,0 140,2,2024-09-07 08:19:10:701,54557,54557,0,0,3751229,0,3388 140,3,2024-09-07 08:19:10:768,1,45,12,1,25,443,45,0 141,0,2024-09-07 08:19:11:715,7156,0.2,7396,0.4,14382,0.1,19467,1.50 141,1,2024-09-07 08:19:10:877,79111,79111,0,0,37835799507,400097907,77692,1088,331,382,391538,0 141,2,2024-09-07 08:19:11:689,54948,54948,0,0,3272966,0,2342 141,3,2024-09-07 08:19:11:053,1,45,2,0,53,329,45,0 142,0,2024-09-07 08:19:11:321,13406,0.4,13320,0.7,26324,0.4,35591,1.75 142,1,2024-09-07 08:19:10:590,78906,78906,0,0,37489065378,393557861,78589,315,2,384,391649,0 142,2,2024-09-07 08:19:11:302,56705,56705,0,0,3625868,0,2293 142,3,2024-09-07 08:19:11:745,1,45,3,1,484,1097,45,0 143,0,2024-09-07 08:19:11:382,14131,1.5,14099,1.2,28419,1.8,37498,2.25 143,1,2024-09-07 08:19:10:560,78893,78893,0,0,37601023924,394704242,77955,914,24,367,391608,0 143,2,2024-09-07 08:19:10:769,59581,59581,0,0,4046363,0,2669 143,3,2024-09-07 08:19:11:148,1,45,1,1,236,1003,45,0 144,0,2024-09-07 08:19:11:498,9212,2.8,9571,7.7,19169,2.4,25896,2.75 144,1,2024-09-07 08:19:10:571,78267,78267,0,0,36774075947,393276825,76718,1360,189,383,391638,0 144,2,2024-09-07 08:19:11:761,54891,54891,0,0,3357980,0,3473 144,3,2024-09-07 08:19:11:747,1,45,29,1,112,776,45,0 145,0,2024-09-07 08:19:11:404,8773,0.4,8718,0.7,18398,0.3,23477,2.00 145,1,2024-09-07 08:19:10:557,77741,77741,0,0,37027086870,396842043,75828,1652,261,383,391615,0 145,2,2024-09-07 08:19:11:430,54860,54860,0,0,4137576,0,3903 145,3,2024-09-07 08:19:10:921,1,45,4,0,151,803,45,0 146,0,2024-09-07 08:19:11:704,11139,1.8,11205,1.4,22574,2.7,30136,2.50 146,1,2024-09-07 08:19:11:590,78506,78506,0,0,36948070391,396584522,76271,1935,300,370,391600,0 146,2,2024-09-07 08:19:11:708,56434,56434,0,0,3779902,0,2379 146,3,2024-09-07 08:19:11:274,1,45,1,0,1520,2867,45,0 147,0,2024-09-07 08:19:11:725,15978,3.7,15707,2.1,31224,5.1,42986,3.50 147,1,2024-09-07 08:19:11:372,78957,78957,0,0,37175489811,392841089,77448,1180,329,369,391791,0 147,2,2024-09-07 08:19:11:023,58229,58229,0,0,3575393,0,2789 147,3,2024-09-07 08:19:10:913,1,45,21,1,141,682,45,0 0,0,2024-09-07 08:19:21:744,9627,1.3,9564,1.2,20237,1.8,26291,2.00 0,1,2024-09-07 08:19:20:858,80532,80532,0,0,38146184668,406533077,79330,1076,126,372,391673,0 0,2,2024-09-07 08:19:21:172,56853,56853,0,0,3756135,0,4480 0,3,2024-09-07 08:19:20:976,1,46,4,2,247,805,46,0 1,0,2024-09-07 08:19:21:856,15547,2.7,15632,1.9,31443,4.5,43042,3.25 1,1,2024-09-07 08:19:20:563,80079,80079,0,0,37772245331,403626358,78419,1135,525,372,391857,0 1,2,2024-09-07 08:19:20:708,57133,57133,0,0,3364473,0,3267 1,3,2024-09-07 08:19:21:316,1,46,21,1,167,571,46,0 2,0,2024-09-07 08:19:21:578,12491,2.8,12745,1.8,25096,4.8,33975,3.25 2,1,2024-09-07 08:19:20:875,80170,80170,0,0,38330860122,404805073,79099,868,203,382,391531,0 2,2,2024-09-07 08:19:21:266,60514,60514,0,0,3787692,0,3304 2,3,2024-09-07 08:19:20:690,1,46,0,0,214,630,46,0 3,0,2024-09-07 08:19:21:782,8731,0.4,8688,0.7,17463,0.3,22867,2.00 3,1,2024-09-07 08:19:21:630,80163,80163,0,0,37970706894,404171740,78221,1556,386,382,391514,0 3,2,2024-09-07 08:19:21:152,56065,56042,23,0,4010274,0,5851 3,3,2024-09-07 08:19:21:756,1,46,13,0,103,452,46,0 4,0,2024-09-07 08:19:21:964,7674,0.3,7953,0.6,15934,0.2,21144,1.75 4,1,2024-09-07 08:19:20:621,80308,80308,0,0,37100544636,398299572,78490,1402,416,372,391846,0 4,2,2024-09-07 08:19:21:032,55864,55864,0,0,4408073,0,4528 4,3,2024-09-07 08:19:21:079,1,46,14,1,287,932,46,0 5,0,2024-09-07 08:19:21:488,14131,1.1,13844,1.0,28315,1.4,37728,2.00 5,1,2024-09-07 08:19:20:761,80242,80242,0,0,37772322370,405110726,78070,1734,438,368,392005,0 5,2,2024-09-07 08:19:21:851,57393,57393,0,0,3504301,0,2259 5,3,2024-09-07 08:19:21:751,1,46,2,1,182,1037,46,0 6,0,2024-09-07 08:19:21:000,13793,2.7,13569,1.8,27092,4.3,36409,4.25 6,1,2024-09-07 08:19:20:751,80812,80812,0,0,38196447250,403254199,79733,1010,69,382,391603,0 6,2,2024-09-07 08:19:21:126,61984,61984,0,0,3777181,0,2411 6,3,2024-09-07 08:19:21:274,1,46,1,1,340,1345,46,0 7,0,2024-09-07 08:19:21:568,8188,0.4,8184,0.6,16523,0.2,21721,1.75 7,1,2024-09-07 08:19:20:880,80272,80272,0,0,38679903648,409070304,79294,914,64,383,391558,0 7,2,2024-09-07 08:19:20:776,55638,55638,0,0,3473282,0,2981 7,3,2024-09-07 08:19:20:867,1,46,1,0,83,496,46,0 8,0,2024-09-07 08:19:21:407,8159,0.4,7923,0.6,15713,0.2,20990,1.75 8,1,2024-09-07 08:19:21:052,80235,80235,0,0,38033521295,416298213,76778,2207,1250,368,391724,0 8,2,2024-09-07 08:19:20:811,55078,55078,0,0,4403643,0,2986 8,3,2024-09-07 08:19:20:603,1,46,9,0,229,861,46,0 9,0,2024-09-07 08:19:21:189,12667,0.5,12341,0.7,25786,0.4,34196,1.75 9,1,2024-09-07 08:19:20:577,80224,80224,0,0,38205071602,410568284,77956,1702,566,370,391559,0 9,2,2024-09-07 08:19:21:112,57812,57812,0,0,4053267,0,3360 9,3,2024-09-07 08:19:21:772,1,46,6,0,149,747,46,0 10,0,2024-09-07 08:19:21:618,15469,1.7,15533,1.3,31069,3.0,41158,3.75 10,1,2024-09-07 08:19:20:811,79739,79739,0,0,38121539850,413937652,76405,2812,522,383,391541,0 10,2,2024-09-07 08:19:20:765,60092,60092,0,0,4314172,0,2940 10,3,2024-09-07 08:19:20:876,1,46,3,0,136,477,46,0 11,0,2024-09-07 08:19:21:018,10170,3.5,9902,2.3,20729,5.7,28090,3.00 11,1,2024-09-07 08:19:20:592,80129,80129,0,0,37601578311,405986779,77494,1821,814,384,391537,0 11,2,2024-09-07 08:19:21:127,56683,56683,0,0,4022063,0,2635 11,3,2024-09-07 08:19:21:306,1,46,1,0,720,2142,46,0 12,0,2024-09-07 08:19:21:168,10007,0.3,10079,0.5,20007,0.2,26199,1.75 12,1,2024-09-07 08:19:20:946,79996,79996,0,0,37368710211,398472659,78489,1464,43,372,391790,0 12,2,2024-09-07 08:19:21:547,56850,56850,0,0,3574480,0,2254 12,3,2024-09-07 08:19:21:081,1,46,8,1,358,1226,46,0 13,0,2024-09-07 08:19:21:370,10668,0.5,10889,0.7,21581,0.6,29054,1.75 13,1,2024-09-07 08:19:21:548,80449,80449,0,0,37895449903,405570565,78914,1170,365,384,391710,0 13,2,2024-09-07 08:19:20:621,57911,57911,0,0,3338735,0,3287 13,3,2024-09-07 08:19:21:788,1,46,22,1,373,1060,46,0 14,0,2024-09-07 08:19:20:629,17069,1.4,17117,1.3,33959,1.6,45275,2.75 14,1,2024-09-07 08:19:21:566,81163,81163,0,0,37997359339,399187089,80286,847,30,365,391546,0 14,2,2024-09-07 08:19:20:774,57758,57758,0,0,3925632,0,2793 14,3,2024-09-07 08:19:21:120,1,46,18,1,906,1231,46,0 15,0,2024-09-07 08:19:21:573,11934,3.4,11771,2.1,23603,6.0,32206,3.75 15,1,2024-09-07 08:19:21:609,80479,80479,0,0,37738422912,397931422,79698,746,35,381,391536,0 15,2,2024-09-07 08:19:21:023,60281,60281,0,0,2986097,0,3043 15,3,2024-09-07 08:19:21:410,1,46,90,0,538,1708,46,0 16,0,2024-09-07 08:19:21:067,8891,0.4,9006,0.6,17824,0.2,23716,2.00 16,1,2024-09-07 08:19:20:568,80506,80506,0,0,37431182537,397870503,79400,1084,22,372,391543,0 16,2,2024-09-07 08:19:21:440,55772,55772,0,0,4042580,0,4719 16,3,2024-09-07 08:19:21:150,1,46,2,1,231,1143,46,0 17,0,2024-09-07 08:19:21:831,8983,0.5,8868,0.8,17331,0.5,23890,2.25 17,1,2024-09-07 08:19:20:589,79975,79975,0,0,37587202174,404001635,78187,1268,520,369,391688,0 17,2,2024-09-07 08:19:21:671,56979,56979,0,0,3071451,0,2857 17,3,2024-09-07 08:19:20:589,1,46,2,0,268,1272,46,0 18,0,2024-09-07 08:19:20:981,14436,0.8,14630,0.9,29464,0.9,38975,2.25 18,1,2024-09-07 08:19:21:638,80363,80363,0,0,37946002662,401137951,79117,1028,218,368,391526,0 18,2,2024-09-07 08:19:21:759,56847,56847,0,0,3957393,0,3541 18,3,2024-09-07 08:19:20:920,1,46,0,0,163,744,46,0 19,0,2024-09-07 08:19:21:561,12506,2.8,12630,2.0,24796,5.4,33519,5.75 19,1,2024-09-07 08:19:20:577,80415,80415,0,0,37951762716,403626768,78659,1594,162,367,391696,0 19,2,2024-09-07 08:19:21:759,61775,61775,0,0,3864027,0,3988 19,3,2024-09-07 08:19:21:129,1,46,2,0,524,874,46,0 20,0,2024-09-07 08:19:21:416,8410,0.3,8479,0.5,16583,0.2,21720,1.75 20,1,2024-09-07 08:19:20:588,80304,80304,0,0,38693921845,411639830,78627,1517,160,370,391598,0 20,2,2024-09-07 08:19:20:953,55677,55677,0,0,3286094,0,2446 20,3,2024-09-07 08:19:20:627,1,46,2,1,99,779,46,0 21,0,2024-09-07 08:19:21:179,7792,0.4,7702,0.6,15225,0.3,20231,2.00 21,1,2024-09-07 08:19:21:564,79806,79806,0,0,37161304450,400236970,77575,1743,488,368,391962,0 21,2,2024-09-07 08:19:21:115,55751,55751,0,0,3551524,0,3747 21,3,2024-09-07 08:19:21:417,1,46,9,0,93,750,46,0 22,0,2024-09-07 08:19:21:728,13379,0.9,13354,1.1,26588,0.9,36118,2.25 22,1,2024-09-07 08:19:21:027,79848,79848,0,0,37468330826,405126576,76907,2216,725,382,391667,0 22,2,2024-09-07 08:19:20:765,58185,58185,0,0,3320342,0,3134 22,3,2024-09-07 08:19:21:072,1,46,1,0,62,273,46,0 23,0,2024-09-07 08:19:21:389,14036,2.4,14250,1.4,28367,3.8,37764,3.00 23,1,2024-09-07 08:19:21:010,80952,80952,0,0,38204934255,402755388,79607,1091,254,368,391496,0 23,2,2024-09-07 08:19:21:106,61706,61706,0,0,3466125,0,2078 23,3,2024-09-07 08:19:21:754,1,46,2,0,645,1170,46,0 24,0,2024-09-07 08:19:20:928,10000,0.8,10090,0.9,20104,1.2,26515,1.75 24,1,2024-09-07 08:19:20:609,80840,80840,0,0,37597075392,396971588,80045,789,6,371,391640,0 24,2,2024-09-07 08:19:21:083,55535,55535,0,0,4513451,0,2942 24,3,2024-09-07 08:19:21:686,1,46,1,1,234,829,46,0 25,0,2024-09-07 08:19:21:389,9355,0.3,9163,0.5,17661,0.2,23603,1.75 25,1,2024-09-07 08:19:20:592,80039,80039,0,0,37837528036,406580843,77911,1817,311,373,391579,0 25,2,2024-09-07 08:19:21:609,56026,56026,0,0,4068544,0,3284 25,3,2024-09-07 08:19:21:010,1,46,1,1,158,532,46,0 26,0,2024-09-07 08:19:21:728,11504,0.7,11328,0.8,23731,0.7,31335,2.00 26,1,2024-09-07 08:19:21:552,80280,80280,0,0,37659196875,409411801,76820,2625,835,382,391542,0 26,2,2024-09-07 08:19:20:871,58116,58116,0,0,4222730,0,2809 26,3,2024-09-07 08:19:21:712,1,46,1,0,796,1176,46,0 27,0,2024-09-07 08:19:21:738,16095,1.6,16148,1.2,32379,2.1,43276,2.25 27,1,2024-09-07 08:19:21:677,81010,81010,0,0,38394783629,404484503,79944,771,295,381,391526,0 27,2,2024-09-07 08:19:20:876,58401,58401,0,0,5221503,0,3409 27,3,2024-09-07 08:19:21:017,1,46,0,0,564,981,46,0 28,0,2024-09-07 08:19:21:424,11366,0.9,11525,1.1,22898,1.3,30665,2.00 28,1,2024-09-07 08:19:20:809,80572,80572,0,0,38975170487,411978402,79516,856,200,383,391500,0 28,2,2024-09-07 08:19:21:767,58209,58209,0,0,3264837,0,2609 28,3,2024-09-07 08:19:21:781,1,46,16,1,502,1098,46,0 29,0,2024-09-07 08:19:21:379,9623,0.3,9437,0.5,18686,0.2,25421,1.75 29,1,2024-09-07 08:19:21:566,81356,81356,0,0,38135546040,398726847,80615,569,172,369,391621,0 29,2,2024-09-07 08:19:20:868,56886,56886,0,0,2768727,0,2026 29,3,2024-09-07 08:19:20:976,1,46,59,1,105,607,46,0 30,0,2024-09-07 08:19:21:504,9935,1.2,9639,1.0,19800,1.6,26262,2.75 30,1,2024-09-07 08:19:20:574,80372,80372,0,0,38586964139,407483084,79401,906,65,382,391524,0 30,2,2024-09-07 08:19:21:274,57437,57437,0,0,2762224,0,2534 30,3,2024-09-07 08:19:20:811,1,46,14,0,195,554,46,0 31,0,2024-09-07 08:19:21:786,15977,0.7,15963,0.9,31987,0.7,42587,2.25 31,1,2024-09-07 08:19:20:564,80846,80846,0,0,38658825670,399889292,80603,241,2,356,391553,0 31,2,2024-09-07 08:19:21:275,57559,57559,0,0,4226600,0,3525 31,3,2024-09-07 08:19:21:707,1,46,1,0,129,405,46,0 32,0,2024-09-07 08:19:21:446,12777,2.3,12878,1.4,25840,3.6,34551,2.00 32,1,2024-09-07 08:19:20:806,80488,80488,0,0,38003889744,400541210,79493,967,28,382,391595,0 32,2,2024-09-07 08:19:20:947,61631,61631,0,0,3563436,0,3155 32,3,2024-09-07 08:19:21:024,1,46,1,0,110,582,46,0 33,0,2024-09-07 08:19:21:551,8806,0.3,8671,0.5,17556,0.2,22813,1.75 33,1,2024-09-07 08:19:20:577,80485,80485,0,0,38620934628,407988947,78828,1534,123,369,391526,0 33,2,2024-09-07 08:19:20:764,55605,55572,33,0,4870993,0,7012 33,3,2024-09-07 08:19:20:895,1,46,1,1,51,245,46,0 34,0,2024-09-07 08:19:21:000,7913,0.3,8148,0.5,15687,0.2,21091,1.75 34,1,2024-09-07 08:19:21:075,81085,81085,0,0,38550659010,401144560,80647,435,3,368,391517,0 34,2,2024-09-07 08:19:20:783,55630,55630,0,0,3852840,0,3255 34,3,2024-09-07 08:19:21:688,1,46,5,0,148,586,46,0 35,0,2024-09-07 08:19:20:905,13913,1.2,13956,1.0,28006,1.8,37605,2.00 35,1,2024-09-07 08:19:21:076,80387,80387,0,0,37946264521,400389441,78922,1104,361,384,391587,0 35,2,2024-09-07 08:19:21:585,57444,57444,0,0,3423456,0,2542 35,3,2024-09-07 08:19:20:913,1,46,3,0,219,957,46,0 36,0,2024-09-07 08:19:21:540,13729,2.9,13509,1.8,27632,4.1,36509,3.25 36,1,2024-09-07 08:19:20:588,79928,79928,0,0,37886875060,408069400,76917,2080,931,366,391535,0 36,2,2024-09-07 08:19:21:756,61517,61517,0,0,4079040,0,3303 36,3,2024-09-07 08:19:20:867,1,46,16,0,378,971,46,0 37,0,2024-09-07 08:19:21:412,8351,0.3,8317,0.5,16678,0.2,21785,1.75 37,1,2024-09-07 08:19:20:590,79679,79672,0,7,38024472795,412858787,76835,1320,1517,365,391507,0 37,2,2024-09-07 08:19:21:146,56056,56056,0,0,3002116,0,2333 37,3,2024-09-07 08:19:21:767,1,46,1,0,724,1649,46,0 38,0,2024-09-07 08:19:21:467,8028,0.3,7750,0.6,16090,0.2,20984,1.75 38,1,2024-09-07 08:19:21:609,80535,80535,0,0,38047921474,406453563,78395,1693,447,370,391512,0 38,2,2024-09-07 08:19:20:764,55910,55910,0,0,3737768,0,3245 38,3,2024-09-07 08:19:21:002,1,46,2,0,603,992,46,0 39,0,2024-09-07 08:19:21:772,13124,0.7,12915,0.8,25036,0.8,34420,2.00 39,1,2024-09-07 08:19:20:738,80398,80398,0,0,37559869005,401898501,77840,1920,638,366,391524,0 39,2,2024-09-07 08:19:21:422,57848,57848,0,0,3449449,0,2381 39,3,2024-09-07 08:19:20:732,1,46,12,0,276,1088,46,0 40,0,2024-09-07 08:19:21:527,15165,2.7,15334,2.7,30248,5.2,40809,4.00 40,1,2024-09-07 08:19:20:817,80223,80223,0,0,37491898354,405218310,76976,2494,753,371,391591,0 40,2,2024-09-07 08:19:21:312,60274,60273,1,0,4623070,0,5137 40,3,2024-09-07 08:19:21:149,1,46,23,1,110,662,46,0 41,0,2024-09-07 08:19:21:053,9907,2.9,10186,7.2,19510,6.5,26973,4.25 41,1,2024-09-07 08:19:20:827,80144,80144,0,0,37980687809,407267662,77841,1992,311,370,391483,0 41,2,2024-09-07 08:19:20:764,56482,56482,0,0,4644112,0,3356 41,3,2024-09-07 08:19:21:676,1,46,1,1,107,438,46,0 42,0,2024-09-07 08:19:21:494,9853,0.4,9992,0.8,19870,0.3,26228,2.00 42,1,2024-09-07 08:19:21:454,80157,80157,0,0,37858484286,410398044,77166,2161,830,381,391511,0 42,2,2024-09-07 08:19:21:149,56562,56562,0,0,4028829,0,3568 42,3,2024-09-07 08:19:21:027,1,46,1,0,100,529,46,0 43,0,2024-09-07 08:19:20:987,10638,2.4,10428,1.6,21707,3.5,29068,2.25 43,1,2024-09-07 08:19:20:591,80191,80191,0,0,38242219461,408739774,78002,1437,752,367,391604,0 43,2,2024-09-07 08:19:21:747,57724,57724,0,0,4234264,0,3812 43,3,2024-09-07 08:19:21:767,1,46,16,1,292,1131,46,0 44,0,2024-09-07 08:19:20:920,17097,1.3,17097,1.3,33892,1.5,45713,2.25 44,1,2024-09-07 08:19:20:564,80590,80590,0,0,37608591171,395021779,79575,908,107,357,391505,0 44,2,2024-09-07 08:19:21:276,57617,57617,0,0,2989966,0,1865 44,3,2024-09-07 08:19:21:116,1,46,12,1,817,1275,46,0 45,0,2024-09-07 08:19:21:792,11764,3.8,11563,2.1,24132,5.9,32521,2.25 45,1,2024-09-07 08:19:21:015,80464,80464,0,0,38782410625,406839817,80000,464,0,382,391917,0 45,2,2024-09-07 08:19:21:276,60460,60460,0,0,3671453,0,2628 45,3,2024-09-07 08:19:20:942,1,46,2,1,226,665,46,0 46,0,2024-09-07 08:19:20:985,8962,0.3,8976,0.5,18063,0.2,23689,1.75 46,1,2024-09-07 08:19:20:589,80970,80970,0,0,38135955625,399544508,80140,794,36,368,391514,0 46,2,2024-09-07 08:19:20:864,56738,56738,0,0,3491703,0,2920 46,3,2024-09-07 08:19:21:170,1,46,2,0,315,1014,46,0 47,0,2024-09-07 08:19:21:132,8754,0.4,8879,0.6,17623,0.3,23694,1.75 47,1,2024-09-07 08:19:20:589,81042,81042,0,0,37947974180,395824316,80536,502,4,367,391489,0 47,2,2024-09-07 08:19:20:910,57153,57153,0,0,3468427,0,2558 47,3,2024-09-07 08:19:21:119,1,46,8,1,529,1026,46,0 48,0,2024-09-07 08:19:21:505,14842,0.4,14731,0.6,29116,0.4,39253,1.75 48,1,2024-09-07 08:19:21:027,80617,80617,0,0,38276333495,403985870,79422,1190,5,386,391637,0 48,2,2024-09-07 08:19:20:704,57642,57642,0,0,2753878,0,2083 48,3,2024-09-07 08:19:20:759,1,46,12,1,30,492,46,0 49,0,2024-09-07 08:19:21:747,13078,2.7,12905,1.6,25076,4.6,34915,2.25 49,1,2024-09-07 08:19:21:033,80479,80479,0,0,38105562115,405823022,78744,1026,709,382,391583,0 49,2,2024-09-07 08:19:21:808,61850,61850,0,0,4001222,0,3900 49,3,2024-09-07 08:19:21:421,1,46,1,0,274,709,46,0 50,0,2024-09-07 08:19:21:532,8470,0.3,8288,0.5,16625,0.2,22167,1.75 50,1,2024-09-07 08:19:21:022,81091,81091,0,0,38251588832,404063979,79426,1368,297,369,391530,0 50,2,2024-09-07 08:19:21:072,56117,56117,0,0,2963788,0,2253 50,3,2024-09-07 08:19:21:295,1,46,14,1,335,713,46,0 51,0,2024-09-07 08:19:21:694,7858,0.2,7649,0.4,15026,0.1,20451,1.50 51,1,2024-09-07 08:19:21:680,80229,80229,0,0,39061185306,413631294,78433,1014,782,367,391520,0 51,2,2024-09-07 08:19:21:316,55701,55701,0,0,2530471,0,2448 51,3,2024-09-07 08:19:21:027,1,46,1,0,162,437,46,0 52,0,2024-09-07 08:19:21:456,13589,1.3,13635,1.2,27404,1.6,36511,3.00 52,1,2024-09-07 08:19:20:576,80233,80233,0,0,37623304575,404753394,77742,2027,464,368,391513,0 52,2,2024-09-07 08:19:21:755,57918,57918,0,0,3786634,0,4779 52,3,2024-09-07 08:19:20:676,1,46,1,1,1782,2348,46,0 53,0,2024-09-07 08:19:21:743,13981,3.3,13511,2.1,27805,4.8,36956,3.50 53,1,2024-09-07 08:19:20:782,80098,80098,0,0,38017841204,408599178,77496,2028,574,370,391617,0 53,2,2024-09-07 08:19:21:303,61999,61999,0,0,3341750,0,1902 53,3,2024-09-07 08:19:20:697,1,46,11,1,59,308,46,0 54,0,2024-09-07 08:19:21:626,9148,10.0,9158,6.3,18312,2.7,25384,5.50 54,1,2024-09-07 08:19:20:624,80161,80161,0,0,38165943650,406367143,78053,1792,316,367,391520,0 54,2,2024-09-07 08:19:20:868,56216,56210,6,0,3918942,0,5382 54,3,2024-09-07 08:19:20:784,1,46,1,0,676,1414,46,0 55,0,2024-09-07 08:19:21:772,8805,0.4,9049,0.7,18438,0.3,23432,2.25 55,1,2024-09-07 08:19:20:771,79884,79884,0,0,37923960343,407347799,76459,2723,702,369,391731,0 55,2,2024-09-07 08:19:20:742,56280,56280,0,0,3742294,0,3275 55,3,2024-09-07 08:19:20:674,1,46,1,0,136,627,46,0 56,0,2024-09-07 08:19:21:582,11799,2.7,11180,1.9,22923,4.0,31208,3.00 56,1,2024-09-07 08:19:20:581,79904,79904,0,0,38203188746,416660017,76534,2624,746,384,391536,0 56,2,2024-09-07 08:19:21:321,58207,58207,0,0,4446716,0,3567 56,3,2024-09-07 08:19:21:068,1,46,8,2,297,824,46,0 57,0,2024-09-07 08:19:20:987,15763,4.4,15429,2.4,31222,6.3,42032,4.75 57,1,2024-09-07 08:19:20:993,80266,80266,0,0,37125382180,394920501,78974,1273,19,368,391760,0 57,2,2024-09-07 08:19:21:340,59056,59056,0,0,4437598,0,3178 57,3,2024-09-07 08:19:21:739,1,46,3,1,359,1338,46,0 58,0,2024-09-07 08:19:20:562,10649,1.2,10366,1.3,21660,1.1,28681,3.00 58,1,2024-09-07 08:19:20:575,80903,80901,0,2,38191006894,404670478,79307,1435,159,371,391516,2 58,2,2024-09-07 08:19:21:081,58575,58575,0,0,4215509,0,2549 58,3,2024-09-07 08:19:21:071,1,46,4,1,219,727,46,0 59,0,2024-09-07 08:19:21:751,9514,0.8,9497,1.0,19073,0.8,25103,2.50 59,1,2024-09-07 08:19:20:806,79962,79962,0,0,37690501973,405468866,77720,1475,767,371,391515,0 59,2,2024-09-07 08:19:20:588,56621,56621,0,0,3581850,0,2604 59,3,2024-09-07 08:19:21:741,1,46,108,0,1015,1394,46,0 60,0,2024-09-07 08:19:21:741,9853,0.9,9922,0.9,19745,1.1,26313,2.00 60,1,2024-09-07 08:19:20:793,81413,81413,0,0,38461758136,405351094,80346,723,344,370,391672,0 60,2,2024-09-07 08:19:21:146,57219,57219,0,0,3058863,0,2142 60,3,2024-09-07 08:19:21:264,1,46,58,0,124,721,46,0 61,0,2024-09-07 08:19:21:564,15748,1.9,15816,1.4,31304,3.2,42630,2.50 61,1,2024-09-07 08:19:20:771,80258,80258,0,0,37881276009,407166279,77804,1920,534,383,391589,0 61,2,2024-09-07 08:19:21:121,57903,57903,0,0,3224006,0,1846 61,3,2024-09-07 08:19:21:700,1,46,18,1,199,774,46,0 62,0,2024-09-07 08:19:21:714,12756,2.6,13139,1.6,25163,4.5,34500,2.50 62,1,2024-09-07 08:19:21:114,80951,80946,0,5,38273435147,402111328,79698,1211,37,366,391586,5 62,2,2024-09-07 08:19:21:655,60697,60697,0,0,3974938,0,2931 62,3,2024-09-07 08:19:21:149,1,46,1,0,287,593,46,0 63,0,2024-09-07 08:19:21:466,8658,0.5,8679,0.8,17410,0.4,22863,2.00 63,1,2024-09-07 08:19:20:809,81383,81380,0,3,38406993036,400176367,81103,276,1,382,391540,3 63,2,2024-09-07 08:19:20:768,55993,55993,0,0,3399701,0,2674 63,3,2024-09-07 08:19:21:732,1,46,1,0,304,1059,46,0 64,0,2024-09-07 08:19:21:516,7857,0.4,7857,0.7,15772,0.3,21020,2.00 64,1,2024-09-07 08:19:20:767,80481,80481,0,0,37762300985,406102083,78050,1638,793,371,391604,0 64,2,2024-09-07 08:19:21:153,56325,56306,19,0,3731778,0,6121 64,3,2024-09-07 08:19:21:145,1,46,11,1,163,626,46,0 65,0,2024-09-07 08:19:21:729,13672,3.3,13866,2.0,27457,4.9,37217,4.50 65,1,2024-09-07 08:19:20:895,80353,80353,0,0,37627599587,401337648,78653,1481,219,382,391506,0 65,2,2024-09-07 08:19:21:702,57626,57626,0,0,3838976,0,3367 65,3,2024-09-07 08:19:21:690,1,46,9,1,163,720,46,0 66,0,2024-09-07 08:19:21:789,13222,2.4,13310,1.6,26771,4.2,35868,4.00 66,1,2024-09-07 08:19:21:300,81567,81567,0,0,38527958450,403552890,80882,665,20,381,391537,0 66,2,2024-09-07 08:19:21:136,62190,62190,0,0,3791269,0,3867 66,3,2024-09-07 08:19:21:081,1,46,1,1,291,859,46,0 67,0,2024-09-07 08:19:21:448,8339,0.7,8391,0.9,16491,0.7,21676,2.50 67,1,2024-09-07 08:19:20:771,80274,80273,0,1,37472590927,401254025,78278,1470,525,382,391787,1 67,2,2024-09-07 08:19:20:588,56287,56287,0,0,3191737,0,2889 67,3,2024-09-07 08:19:21:750,1,46,1,0,138,563,46,0 68,0,2024-09-07 08:19:20:600,7995,0.4,7914,0.8,16021,0.3,20835,2.00 68,1,2024-09-07 08:19:20:610,80241,80241,0,0,38133004532,406239250,78850,869,522,383,391953,0 68,2,2024-09-07 08:19:21:084,56054,56054,0,0,3306806,0,4883 68,3,2024-09-07 08:19:20:751,1,46,1,1,63,506,46,0 69,0,2024-09-07 08:19:21:796,12627,2.0,12603,1.5,24883,3.4,34300,3.00 69,1,2024-09-07 08:19:21:023,79654,79654,0,0,38277982349,413489546,77721,1069,864,386,391530,0 69,2,2024-09-07 08:19:21:748,58183,58183,0,0,3813270,0,3153 69,3,2024-09-07 08:19:20:794,1,46,30,0,238,866,46,0 70,0,2024-09-07 08:19:21:556,15132,4.4,15119,3.3,30595,4.5,40369,5.50 70,1,2024-09-07 08:19:20:806,80778,80778,0,0,38546663228,404401019,79869,775,134,369,391527,0 70,2,2024-09-07 08:19:21:330,60466,60466,0,0,3945313,0,4044 70,3,2024-09-07 08:19:20:750,1,46,8,1,178,422,46,0 71,0,2024-09-07 08:19:21:369,10026,3.0,9997,5.4,19649,5.0,27211,4.75 71,1,2024-09-07 08:19:21:596,80089,80089,0,0,38176256627,407055425,77907,1867,315,368,391682,0 71,2,2024-09-07 08:19:21:072,56852,56852,0,0,3327542,0,2146 71,3,2024-09-07 08:19:21:750,1,46,2,0,174,457,46,0 72,0,2024-09-07 08:19:21:073,10223,0.4,9843,0.7,19618,0.3,26329,2.75 72,1,2024-09-07 08:19:21:044,80561,80561,0,0,38120311772,403927322,79023,1462,76,370,391521,0 72,2,2024-09-07 08:19:21:780,55910,55910,0,0,4707435,0,2570 72,3,2024-09-07 08:19:21:775,1,46,51,1,325,1181,46,0 73,0,2024-09-07 08:19:21:135,10514,0.8,10720,0.9,21746,0.8,28962,2.75 73,1,2024-09-07 08:19:20:777,80552,80552,0,0,37643793738,397843749,79596,913,43,368,391627,0 73,2,2024-09-07 08:19:21:748,58028,58028,0,0,4349157,0,3482 73,3,2024-09-07 08:19:20:980,1,46,4,0,274,1173,46,0 74,0,2024-09-07 08:19:21:335,16973,2.3,17357,1.6,33435,3.8,45327,4.25 74,1,2024-09-07 08:19:20:695,80252,80252,0,0,37712491719,402073452,78361,1319,572,382,391497,0 74,2,2024-09-07 08:19:21:010,57569,57569,0,0,4651758,0,4253 74,3,2024-09-07 08:19:21:449,1,46,1,0,246,1161,46,0 75,0,2024-09-07 08:19:21:783,11965,3.6,11991,2.0,23842,5.4,32679,3.50 75,1,2024-09-07 08:19:21:599,80696,80696,0,0,38050091378,405499476,79015,1577,104,382,391514,0 75,2,2024-09-07 08:19:21:356,59765,59765,0,0,4486948,0,4766 75,3,2024-09-07 08:19:21:067,1,46,1,0,143,351,46,0 76,0,2024-09-07 08:19:20:595,8983,0.4,8916,0.6,17758,0.2,23450,2.25 76,1,2024-09-07 08:19:20:808,80522,80522,0,0,37679068043,402653979,78753,1263,506,382,391530,0 76,2,2024-09-07 08:19:21:073,56552,56552,0,0,3375582,0,3064 76,3,2024-09-07 08:19:21:149,1,46,1,0,175,937,46,0 77,0,2024-09-07 08:19:21:769,8801,0.5,8769,0.9,17558,0.4,23709,2.25 77,1,2024-09-07 08:19:20:837,80385,80385,0,0,37617138208,397968932,79361,956,68,383,391512,0 77,2,2024-09-07 08:19:21:315,56777,56777,0,0,2878054,0,1695 77,3,2024-09-07 08:19:21:113,1,46,1,0,139,518,46,0 78,0,2024-09-07 08:19:21:731,14568,1.8,14473,1.4,29138,2.9,38878,2.75 78,1,2024-09-07 08:19:20:626,81063,81063,0,0,37679990166,399332603,79649,1210,204,368,391589,0 78,2,2024-09-07 08:19:21:428,57553,57553,0,0,3013004,0,2114 78,3,2024-09-07 08:19:21:148,1,46,1,0,181,870,46,0 79,0,2024-09-07 08:19:21:367,12378,2.3,12650,1.5,25841,4.2,33860,4.50 79,1,2024-09-07 08:19:20:576,80647,80647,0,0,37754479427,397884771,79491,1149,7,370,391494,0 79,2,2024-09-07 08:19:21:072,61616,61616,0,0,3538778,0,2679 79,3,2024-09-07 08:19:20:981,1,46,1,0,289,644,46,0 80,0,2024-09-07 08:19:21:122,8315,0.5,8517,0.8,16464,0.4,22056,2.00 80,1,2024-09-07 08:19:21:631,80037,80037,0,0,38478301147,406968519,78458,1464,115,369,391673,0 80,2,2024-09-07 08:19:21:100,55528,55528,0,0,3639259,0,4433 80,3,2024-09-07 08:19:20:603,1,46,8,1,148,923,46,0 81,0,2024-09-07 08:19:21:575,7698,0.5,7857,0.7,15045,0.4,20427,2.50 81,1,2024-09-07 08:19:21:654,80441,80441,0,0,37014569332,395230217,78588,1656,197,383,391646,0 81,2,2024-09-07 08:19:21:167,55509,55509,0,0,3817328,0,3993 81,3,2024-09-07 08:19:21:175,1,46,3,1,193,720,46,0 82,0,2024-09-07 08:19:21:578,13454,1.7,13495,1.4,26977,1.9,36592,2.25 82,1,2024-09-07 08:19:20:588,79997,79996,0,1,37501557065,399854309,77962,1462,572,383,391558,1 82,2,2024-09-07 08:19:21:691,59116,59116,0,0,2897598,0,2230 82,3,2024-09-07 08:19:21:760,1,46,10,0,211,896,46,0 83,0,2024-09-07 08:19:21:550,14049,2.4,13994,1.9,27677,2.8,36649,3.75 83,1,2024-09-07 08:19:20:574,80570,80570,0,0,37805394987,401782359,79166,1304,100,383,391553,0 83,2,2024-09-07 08:19:20:776,60788,60788,0,0,3981877,0,3119 83,3,2024-09-07 08:19:20:802,1,46,1,0,91,493,46,0 84,0,2024-09-07 08:19:21:837,9458,6.7,9402,6.5,18891,1.9,25944,3.75 84,1,2024-09-07 08:19:21:048,80464,80464,0,0,38051246900,405726506,78901,1318,245,369,391630,0 84,2,2024-09-07 08:19:20:573,55683,55683,0,0,3713050,0,3801 84,3,2024-09-07 08:19:21:146,1,46,20,1,43,525,46,0 85,0,2024-09-07 08:19:21:049,8844,0.4,8856,0.7,18713,0.3,23542,2.00 85,1,2024-09-07 08:19:20:586,79686,79686,0,0,38416346661,414124515,77257,2005,424,384,391626,0 85,2,2024-09-07 08:19:20:904,55942,55942,0,0,4074309,0,3656 85,3,2024-09-07 08:19:20:693,1,46,5,0,115,540,46,0 86,0,2024-09-07 08:19:20:938,11486,1.1,11943,1.0,23087,1.3,31250,2.00 86,1,2024-09-07 08:19:20:845,80144,80144,0,0,37942335776,403736408,78395,1536,213,367,391622,0 86,2,2024-09-07 08:19:20:930,57960,57959,1,0,4264744,0,5004 86,3,2024-09-07 08:19:20:818,1,46,4,0,199,748,46,0 87,0,2024-09-07 08:19:21:331,15947,3.5,15886,2.1,32070,4.5,43053,3.50 87,1,2024-09-07 08:19:20:562,80176,80176,0,0,37505935131,399813948,78618,1439,119,369,391671,0 87,2,2024-09-07 08:19:21:070,59411,59411,0,0,3303078,0,2859 87,3,2024-09-07 08:19:21:796,1,46,2,1,322,992,46,0 88,0,2024-09-07 08:19:21:481,11428,1.3,11501,1.2,22806,1.6,30607,2.00 88,1,2024-09-07 08:19:20:575,80333,80333,0,0,38018840565,404047933,79141,1098,94,367,391747,0 88,2,2024-09-07 08:19:20:693,58649,58649,0,0,4448941,0,3583 88,3,2024-09-07 08:19:21:272,1,46,2,1,77,685,46,0 89,0,2024-09-07 08:19:21:822,9807,0.4,9506,0.6,18818,0.3,25431,1.75 89,1,2024-09-07 08:19:20:567,79487,79487,0,0,37888452773,412282960,76448,2307,732,383,391866,0 89,2,2024-09-07 08:19:21:153,56135,56135,0,0,4028875,0,2726 89,3,2024-09-07 08:19:21:804,1,46,140,0,325,1488,46,0 90,0,2024-09-07 08:19:21:732,9526,0.9,9729,0.9,20094,1.3,26310,2.00 90,1,2024-09-07 08:19:20:867,80312,80312,0,0,37473155569,403207960,78283,1890,139,382,391825,0 90,2,2024-09-07 08:19:21:414,56353,56353,0,0,4641800,0,2635 90,3,2024-09-07 08:19:20:937,1,46,2,1,200,833,46,0 91,0,2024-09-07 08:19:20:943,16117,0.7,15608,0.8,32407,0.7,43403,2.00 91,1,2024-09-07 08:19:20:598,80202,80202,0,0,38346276035,411850851,77869,1973,360,384,391914,0 91,2,2024-09-07 08:19:21:331,56727,56727,0,0,3740024,0,1997 91,3,2024-09-07 08:19:20:665,1,46,9,1,155,688,46,0 92,0,2024-09-07 08:19:21:490,12965,2.0,13295,1.3,25527,3.7,34652,2.00 92,1,2024-09-07 08:19:20:585,80592,80592,0,0,38187801157,402066909,80006,523,63,383,391652,0 92,2,2024-09-07 08:19:21:354,62193,62193,0,0,3758172,0,2279 92,3,2024-09-07 08:19:21:028,1,46,8,1,68,624,46,0 93,0,2024-09-07 08:19:21:046,8675,0.4,8856,0.6,16905,0.3,22782,1.75 93,1,2024-09-07 08:19:20:840,80103,80103,0,0,38211123231,407609425,78355,1314,434,367,391689,0 93,2,2024-09-07 08:19:20:958,56080,56080,0,0,3227686,0,2509 93,3,2024-09-07 08:19:21:421,1,46,1,1,143,564,46,0 94,0,2024-09-07 08:19:21:669,7980,0.3,7986,0.5,15767,0.2,21046,1.75 94,1,2024-09-07 08:19:20:564,80010,80010,0,0,37761934255,402647053,78569,1381,60,381,391850,0 94,2,2024-09-07 08:19:20:771,55964,55964,0,0,3248493,0,2443 94,3,2024-09-07 08:19:21:725,1,46,2,1,231,1042,46,0 95,0,2024-09-07 08:19:21:358,13923,0.5,13974,0.7,28549,0.5,37752,1.75 95,1,2024-09-07 08:19:20:937,80558,80558,0,0,37714937091,397500793,79782,712,64,368,391590,0 95,2,2024-09-07 08:19:21:025,57839,57839,0,0,3454914,0,3308 95,3,2024-09-07 08:19:21:725,1,46,1,0,307,1054,46,0 96,0,2024-09-07 08:19:21:091,13910,1.9,13730,1.3,27680,2.9,36310,2.25 96,1,2024-09-07 08:19:21:593,80517,80517,0,0,38194475704,408878597,78691,1257,569,385,391551,0 96,2,2024-09-07 08:19:21:276,61993,61993,0,0,4162234,0,4038 96,3,2024-09-07 08:19:21:149,1,46,8,2,36,571,46,0 97,0,2024-09-07 08:19:21:356,8369,0.6,8324,0.7,16493,0.7,21758,2.00 97,1,2024-09-07 08:19:20:773,80069,80069,0,0,38018331478,405521720,77897,1655,517,367,391626,0 97,2,2024-09-07 08:19:20:688,55544,55544,0,0,3281044,0,3036 97,3,2024-09-07 08:19:20:575,1,46,5,1,165,627,46,0 98,0,2024-09-07 08:19:21:707,7919,0.2,7877,0.4,16029,0.2,20918,1.50 98,1,2024-09-07 08:19:20:577,80362,80362,0,0,37933662930,401800460,79302,1016,44,382,391588,0 98,2,2024-09-07 08:19:20:829,56417,56417,0,0,3304070,0,3080 98,3,2024-09-07 08:19:20:708,1,46,38,1,155,616,46,0 99,0,2024-09-07 08:19:21:490,12608,0.4,12513,0.6,25217,0.4,34261,1.75 99,1,2024-09-07 08:19:21:731,80932,80932,0,0,37312491167,396135047,79465,1028,439,381,391744,0 99,2,2024-09-07 08:19:21:423,59008,59008,0,0,3476343,0,1858 99,3,2024-09-07 08:19:20:604,1,46,3,0,129,514,46,0 100,0,2024-09-07 08:19:21:485,15393,3.0,15381,3.3,30734,5.4,41180,3.00 100,1,2024-09-07 08:19:20:549,79909,79909,0,0,37281757233,405323777,77239,2327,343,382,391585,0 100,2,2024-09-07 08:19:21:817,59948,59937,11,0,4785024,0,5417 100,3,2024-09-07 08:19:21:734,1,46,1,1,443,1599,46,0 101,0,2024-09-07 08:19:21:714,10478,5.8,10212,3.3,20149,3.3,28185,3.50 101,1,2024-09-07 08:19:20:578,79971,79971,0,0,37944876932,405111425,78518,1004,449,370,391531,0 101,2,2024-09-07 08:19:21:761,57004,57004,0,0,3961837,0,4644 101,3,2024-09-07 08:19:20:955,1,46,14,1,448,849,46,0 102,0,2024-09-07 08:19:20:992,9730,0.5,10025,0.7,20188,0.3,26160,2.00 102,1,2024-09-07 08:19:21:148,80229,80229,0,0,38217102706,409980198,78057,1727,445,369,391647,0 102,2,2024-09-07 08:19:21:751,56564,56564,0,0,2980087,0,1945 102,3,2024-09-07 08:19:21:613,1,46,4,0,410,747,46,0 103,0,2024-09-07 08:19:21:638,10992,0.9,10964,1.0,20854,1.1,29226,2.00 103,1,2024-09-07 08:19:21:644,80425,80425,0,0,37964394017,403283601,79240,1044,141,381,391680,0 103,2,2024-09-07 08:19:20:589,58054,58054,0,0,3459623,0,2104 103,3,2024-09-07 08:19:20:766,1,46,2,1,486,955,46,0 104,0,2024-09-07 08:19:21:038,16971,2.9,17008,1.7,32919,4.3,45345,3.25 104,1,2024-09-07 08:19:21:601,80754,80754,0,0,38040005776,408561182,78863,1566,325,368,391948,0 104,2,2024-09-07 08:19:21:679,57254,57254,0,0,4170188,0,3941 104,3,2024-09-07 08:19:21:426,1,46,10,2,1245,3328,46,0 105,0,2024-09-07 08:19:21:072,11825,2.9,11411,2.1,23620,5.5,32255,4.25 105,1,2024-09-07 08:19:20:587,80117,80117,0,0,37946056567,410551896,77192,2180,745,367,391797,0 105,2,2024-09-07 08:19:21:323,59819,59819,0,0,3943619,0,3314 105,3,2024-09-07 08:19:21:316,1,46,2,1,182,1083,46,0 106,0,2024-09-07 08:19:20:989,8743,0.4,8912,0.7,18026,0.2,23619,2.00 106,1,2024-09-07 08:19:21:791,80545,80545,0,0,37824241280,406630098,78157,2063,325,371,391767,0 106,2,2024-09-07 08:19:20:783,56132,56132,0,0,3944031,0,2795 106,3,2024-09-07 08:19:20:696,1,46,18,1,201,719,46,0 107,0,2024-09-07 08:19:21:116,8843,0.6,8833,0.8,17462,0.5,23704,2.00 107,1,2024-09-07 08:19:20:600,80130,80130,0,0,37597462233,403855852,78356,1605,169,382,392234,0 107,2,2024-09-07 08:19:21:304,56523,56522,1,0,3789731,0,5024 107,3,2024-09-07 08:19:21:766,1,46,1,0,353,1127,46,0 108,0,2024-09-07 08:19:21:854,14648,1.0,14745,0.9,29290,1.3,39066,1.75 108,1,2024-09-07 08:19:21:296,80190,80190,0,0,38122349205,404877707,78793,1265,132,371,391857,0 108,2,2024-09-07 08:19:21:787,57675,57675,0,0,3625519,0,2647 108,3,2024-09-07 08:19:21:354,1,46,5,1,60,672,46,0 109,0,2024-09-07 08:19:21:815,12942,1.5,13069,1.2,25473,2.6,34989,2.00 109,1,2024-09-07 08:19:20:632,80133,80133,0,0,38202013106,409167483,78538,1213,382,385,391664,0 109,2,2024-09-07 08:19:20:925,60895,60895,0,0,4103977,0,3617 109,3,2024-09-07 08:19:21:141,1,46,12,1,249,971,46,0 110,0,2024-09-07 08:19:21:789,8381,0.3,8183,0.5,17111,0.2,22026,1.75 110,1,2024-09-07 08:19:21:648,80363,80363,0,0,38312042573,404614951,79057,1023,283,370,391588,0 110,2,2024-09-07 08:19:21:308,56307,56307,0,0,3065037,0,2915 110,3,2024-09-07 08:19:20:708,1,46,1,0,183,475,46,0 111,0,2024-09-07 08:19:21:425,7548,0.2,7689,0.4,15050,0.1,20333,1.50 111,1,2024-09-07 08:19:21:009,81201,81201,0,0,38828186572,405740533,80564,624,13,382,391690,0 111,2,2024-09-07 08:19:21:122,55768,55768,0,0,3423571,0,2763 111,3,2024-09-07 08:19:20:969,1,46,103,0,119,1006,46,0 112,0,2024-09-07 08:19:20:956,13690,0.6,13731,0.7,27210,0.5,36875,1.75 112,1,2024-09-07 08:19:20:844,80449,80449,0,0,37770823513,401235179,78943,1160,346,382,391522,0 112,2,2024-09-07 08:19:21:137,58397,58396,1,0,3878364,0,5036 112,3,2024-09-07 08:19:20:595,1,46,4,1,282,678,46,0 113,0,2024-09-07 08:19:20:888,14340,0.9,14115,0.9,28705,1.1,37978,2.00 113,1,2024-09-07 08:19:21:693,80559,80559,0,0,38428708586,406733637,78672,1504,383,368,391661,0 113,2,2024-09-07 08:19:21:317,61416,61416,0,0,3212899,0,3021 113,3,2024-09-07 08:19:20:701,1,46,1,1,166,766,46,0 114,0,2024-09-07 08:19:20:934,9852,4.9,9956,3.5,19553,2.1,26402,2.25 114,1,2024-09-07 08:19:20:733,80211,80211,0,0,37622802535,405075535,77605,1725,881,381,391513,0 114,2,2024-09-07 08:19:20:879,55829,55829,0,0,3660837,0,3925 114,3,2024-09-07 08:19:21:278,1,46,1,1,159,684,46,0 115,0,2024-09-07 08:19:20:569,9061,0.2,9134,0.4,18255,0.1,23684,1.50 115,1,2024-09-07 08:19:20:574,80425,80425,0,0,38097569252,406845431,78055,1883,487,384,391502,0 115,2,2024-09-07 08:19:21:126,56926,56926,0,0,2952004,0,2152 115,3,2024-09-07 08:19:21:029,1,46,1,0,159,433,46,0 116,0,2024-09-07 08:19:21:714,11615,1.8,11511,1.4,23063,3.1,31300,2.25 116,1,2024-09-07 08:19:20:807,79696,79696,0,0,37823246284,408876173,77839,989,868,382,391573,0 116,2,2024-09-07 08:19:21:758,57818,57818,0,0,3920294,0,3529 116,3,2024-09-07 08:19:20:949,1,46,1,1,252,1132,46,0 117,0,2024-09-07 08:19:21:008,16086,3.1,16185,1.8,32300,4.2,43230,2.25 117,1,2024-09-07 08:19:21:600,80647,80647,0,0,37581655626,403244415,78586,1774,287,371,392033,0 117,2,2024-09-07 08:19:21:136,59219,59219,0,0,3588910,0,3700 117,3,2024-09-07 08:19:21:066,1,46,10,1,490,1581,46,0 118,0,2024-09-07 08:19:21:822,11024,1.3,11263,1.1,23093,1.7,30577,2.25 118,1,2024-09-07 08:19:20:591,80378,80378,0,0,37982530537,404219314,79170,1132,76,368,391736,0 118,2,2024-09-07 08:19:21:595,58788,58788,0,0,3849951,0,2781 118,3,2024-09-07 08:19:21:806,1,46,8,1,235,990,46,0 119,0,2024-09-07 08:19:21:350,9258,0.3,9373,0.6,19183,0.2,25387,1.75 119,1,2024-09-07 08:19:20:566,80470,80470,0,0,38321809289,408180777,78874,1448,148,371,391617,0 119,2,2024-09-07 08:19:21:267,56142,56142,0,0,3438213,0,2532 119,3,2024-09-07 08:19:21:337,1,46,3,1,443,1913,46,0 120,0,2024-09-07 08:19:21:631,9804,2.6,9682,1.6,19402,3.8,26247,3.00 120,1,2024-09-07 08:19:20:879,80286,80286,0,0,37517360928,402306924,78799,1472,15,368,391702,0 120,2,2024-09-07 08:19:20:777,56681,56680,1,0,4602622,0,5281 120,3,2024-09-07 08:19:21:305,1,46,1,1,241,902,46,0 121,0,2024-09-07 08:19:21:702,15696,2.3,15831,1.6,31510,4.0,43327,2.75 121,1,2024-09-07 08:19:21:655,80225,80225,0,0,37669510836,402608012,78380,1581,264,370,391807,0 121,2,2024-09-07 08:19:21:142,56793,56793,0,0,4976130,0,4127 121,3,2024-09-07 08:19:20:742,1,46,1,0,101,522,46,0 122,0,2024-09-07 08:19:21:816,12716,3.0,12262,2.6,25532,3.4,34797,2.75 122,1,2024-09-07 08:19:20:862,79448,79448,0,0,37671034008,408845654,76459,2467,522,369,392130,0 122,2,2024-09-07 08:19:21:321,61081,61081,0,0,5172339,0,3364 122,3,2024-09-07 08:19:20:636,1,46,16,1,226,1263,46,0 123,0,2024-09-07 08:19:21:003,8676,0.3,8396,0.6,17444,0.2,22783,1.75 123,1,2024-09-07 08:19:20:561,80094,80094,0,0,38017134379,413322638,76689,2838,567,370,391823,0 123,2,2024-09-07 08:19:21:025,55838,55837,1,0,3799303,0,5215 123,3,2024-09-07 08:19:21:152,1,46,4,1,160,816,46,0 124,0,2024-09-07 08:19:21:019,8078,0.3,8064,0.6,15355,0.2,21087,1.75 124,1,2024-09-07 08:19:21:037,80829,80829,0,0,37907904824,398352241,80045,643,141,369,392178,0 124,2,2024-09-07 08:19:21:052,55705,55705,0,0,3039825,0,2477 124,3,2024-09-07 08:19:20:828,1,46,85,2,490,1426,46,0 125,0,2024-09-07 08:19:21:449,14106,0.8,14103,0.9,28217,1.0,37730,2.00 125,1,2024-09-07 08:19:20:873,80320,80320,0,0,38081730859,405814071,78593,1455,272,384,391702,0 125,2,2024-09-07 08:19:21:131,57891,57891,0,0,3479435,0,2180 125,3,2024-09-07 08:19:21:171,1,46,1,1,93,896,46,0 126,0,2024-09-07 08:19:21:465,13864,1.8,14185,1.2,27182,2.6,36698,2.00 126,1,2024-09-07 08:19:20:563,80832,80832,0,0,38554837618,406195852,79796,984,52,368,391719,0 126,2,2024-09-07 08:19:20:636,62112,62112,0,0,3713864,0,3186 126,3,2024-09-07 08:19:20:913,1,46,1,0,122,802,46,0 127,0,2024-09-07 08:19:21:625,8454,0.3,8359,0.5,16654,0.2,21723,1.75 127,1,2024-09-07 08:19:20:589,80508,80508,0,0,37711892465,396561905,79402,1083,23,365,391614,0 127,2,2024-09-07 08:19:20:661,56046,56046,0,0,2915525,0,1803 127,3,2024-09-07 08:19:21:280,1,46,10,0,99,536,46,0 128,0,2024-09-07 08:19:21:555,7971,0.3,8056,0.5,15676,0.2,20876,1.75 128,1,2024-09-07 08:19:21:607,80657,80657,0,0,38257474597,401098357,79970,621,66,369,391605,0 128,2,2024-09-07 08:19:21:389,55325,55325,0,0,3617965,0,2107 128,3,2024-09-07 08:19:20:825,1,46,2,1,112,629,46,0 129,0,2024-09-07 08:19:21:023,12619,0.6,12812,0.7,25356,0.7,34196,2.00 129,1,2024-09-07 08:19:20:597,80434,80434,0,0,37900262190,404330902,78624,1538,272,379,391835,0 129,2,2024-09-07 08:19:20:698,57977,57977,0,0,3172346,0,2446 129,3,2024-09-07 08:19:20:702,1,46,13,1,173,850,46,0 130,0,2024-09-07 08:19:21:778,15689,1.8,15584,1.3,31216,2.4,41816,2.50 130,1,2024-09-07 08:19:20:823,81001,81001,0,0,37972327076,402546962,79701,1271,29,381,391520,0 130,2,2024-09-07 08:19:21:137,60669,60669,0,0,3922755,0,4067 130,3,2024-09-07 08:19:21:298,1,46,1,1,207,542,46,0 131,0,2024-09-07 08:19:21:964,10530,1.6,10344,1.3,21142,2.2,28113,2.00 131,1,2024-09-07 08:19:21:826,80890,80890,0,0,38431238084,406066600,79889,815,186,385,391865,0 131,2,2024-09-07 08:19:20:577,56755,56755,0,0,3106802,0,2415 131,3,2024-09-07 08:19:21:724,1,46,3,1,392,971,46,0 132,0,2024-09-07 08:19:21:426,9810,0.4,10113,0.8,19858,0.3,26324,2.00 132,1,2024-09-07 08:19:20:590,79634,79634,0,0,37618978004,405852744,77141,1789,704,382,391545,0 132,2,2024-09-07 08:19:20:712,56181,56181,0,0,5075812,0,4606 132,3,2024-09-07 08:19:21:688,1,46,1,1,356,903,46,0 133,0,2024-09-07 08:19:21:539,10499,0.9,10656,1.0,22068,1.0,28752,2.00 133,1,2024-09-07 08:19:20:652,79709,79709,0,0,37751789476,406533240,77600,2017,92,383,391755,0 133,2,2024-09-07 08:19:21:118,58167,58167,0,0,4040186,0,2444 133,3,2024-09-07 08:19:21:305,1,46,1,1,187,489,46,0 134,0,2024-09-07 08:19:20:995,17110,1.5,17039,1.3,34324,2.5,45507,2.50 134,1,2024-09-07 08:19:20:628,80294,80294,0,0,38071169833,409930379,77687,1627,980,366,391718,0 134,2,2024-09-07 08:19:21:781,57774,57774,0,0,3310787,0,2026 134,3,2024-09-07 08:19:20:753,1,46,63,1,739,1589,46,0 135,0,2024-09-07 08:19:21:179,11723,2.5,11800,2.1,24738,2.7,32305,2.75 135,1,2024-09-07 08:19:21:589,79785,79785,0,0,38044689289,406507105,77883,1577,325,383,391645,0 135,2,2024-09-07 08:19:20:691,60494,60494,0,0,3986466,0,3981 135,3,2024-09-07 08:19:21:015,1,46,2,0,68,414,46,0 136,0,2024-09-07 08:19:21:638,8951,0.5,8937,0.8,17845,0.3,23707,2.25 136,1,2024-09-07 08:19:21:460,80503,80503,0,0,37752804271,404129332,78624,1736,143,384,391518,0 136,2,2024-09-07 08:19:21:152,57043,57043,0,0,3517424,0,2379 136,3,2024-09-07 08:19:21:116,1,46,70,1,108,745,46,0 137,0,2024-09-07 08:19:20:970,9069,0.7,8752,1.0,17392,0.5,23813,2.25 137,1,2024-09-07 08:19:20:630,80324,80324,0,0,38602934815,412360639,78365,1846,113,368,391608,0 137,2,2024-09-07 08:19:21:706,55865,55865,0,0,4347756,0,2632 137,3,2024-09-07 08:19:20:792,1,46,7,1,227,798,46,0 138,0,2024-09-07 08:19:21:763,14066,3.4,14343,2.0,28857,4.2,38938,3.25 138,1,2024-09-07 08:19:21:688,79769,79769,0,0,37742619149,405224630,77458,2051,260,371,391615,0 138,2,2024-09-07 08:19:20:594,57770,57770,0,0,3775687,0,3263 138,3,2024-09-07 08:19:20:631,1,46,99,1,1160,1646,46,0 139,0,2024-09-07 08:19:21:381,12476,5.4,12438,3.1,25095,6.0,34390,4.25 139,1,2024-09-07 08:19:20:587,79723,79723,0,0,37360517474,408659036,76860,2137,726,381,391892,0 139,2,2024-09-07 08:19:20:707,61026,61026,0,0,4603038,0,3097 139,3,2024-09-07 08:19:21:675,1,46,2,1,244,1108,46,0 140,0,2024-09-07 08:19:21:599,8405,0.3,8237,0.6,16724,0.2,22096,1.75 140,1,2024-09-07 08:19:21:555,81228,81228,0,0,38722982362,405459959,80344,687,197,365,391483,0 140,2,2024-09-07 08:19:20:689,55900,55900,0,0,3851004,0,3388 140,3,2024-09-07 08:19:20:775,1,46,9,1,25,452,46,0 141,0,2024-09-07 08:19:21:710,7429,0.2,7700,0.4,14992,0.1,20435,1.50 141,1,2024-09-07 08:19:20:863,80975,80975,0,0,38343811105,405549720,79556,1088,331,382,391538,0 141,2,2024-09-07 08:19:21:685,56165,56165,0,0,3386882,0,2342 141,3,2024-09-07 08:19:21:050,1,46,1,0,53,330,46,0 142,0,2024-09-07 08:19:21:338,13865,0.5,13788,0.7,27203,0.5,36784,1.75 142,1,2024-09-07 08:19:20:633,80754,80754,0,0,38128247850,401449634,80212,527,15,384,391649,0 142,2,2024-09-07 08:19:21:311,57912,57912,0,0,3715990,0,2293 142,3,2024-09-07 08:19:21:757,1,46,5,1,484,1102,46,0 143,0,2024-09-07 08:19:21:385,14242,1.5,14219,1.2,28651,1.8,37829,2.25 143,1,2024-09-07 08:19:20:570,80656,80656,0,0,38252387532,401715056,79702,930,24,367,391608,0 143,2,2024-09-07 08:19:20:774,60893,60893,0,0,4120658,0,2669 143,3,2024-09-07 08:19:21:165,1,46,2,1,236,1005,46,0 144,0,2024-09-07 08:19:21:517,9317,2.8,9687,7.7,19416,2.4,26228,2.75 144,1,2024-09-07 08:19:20:599,80115,80115,0,0,37644244588,402430456,78562,1364,189,383,391638,0 144,2,2024-09-07 08:19:21:779,55941,55941,0,0,3381489,0,3473 144,3,2024-09-07 08:19:21:744,1,46,12,1,112,788,46,0 145,0,2024-09-07 08:19:21:382,8813,0.4,8752,0.7,18458,0.3,23477,2.00 145,1,2024-09-07 08:19:20:586,79515,79515,0,0,37789153837,404692927,77602,1652,261,383,391615,0 145,2,2024-09-07 08:19:21:463,56331,56331,0,0,4173091,0,3903 145,3,2024-09-07 08:19:20:927,1,46,5,0,151,808,46,0 146,0,2024-09-07 08:19:21:603,11510,1.8,11570,1.3,23300,2.6,31073,2.50 146,1,2024-09-07 08:19:21:585,80204,80204,0,0,37804291331,405503893,77969,1935,300,370,391600,0 146,2,2024-09-07 08:19:21:697,57953,57953,0,0,3881720,0,2379 146,3,2024-09-07 08:19:21:280,1,46,2,0,1520,2869,46,0 147,0,2024-09-07 08:19:21:758,16076,3.7,15801,2.1,31411,5.1,43231,3.50 147,1,2024-09-07 08:19:21:382,80608,80608,0,0,38002957650,402245234,78912,1343,353,369,391791,0 147,2,2024-09-07 08:19:21:017,59604,59604,0,0,3678035,0,2789 147,3,2024-09-07 08:19:20:946,1,46,10,1,141,692,46,0 0,0,2024-09-07 08:19:31:915,9867,1.3,9822,1.2,20803,1.8,26987,2.00 0,1,2024-09-07 08:19:30:837,82288,82288,0,0,39132400037,416687840,81085,1077,126,372,391673,0 0,2,2024-09-07 08:19:31:105,58360,58360,0,0,3790985,0,4480 0,3,2024-09-07 08:19:30:982,1,47,13,2,247,818,47,0 1,0,2024-09-07 08:19:31:848,16107,3.1,16178,2.0,32599,4.9,44675,3.25 1,1,2024-09-07 08:19:30:558,81853,81853,0,0,38530542241,411479210,80192,1136,525,372,391857,0 1,2,2024-09-07 08:19:30:647,58256,58256,0,0,3411953,0,3267 1,3,2024-09-07 08:19:31:328,1,47,3,1,167,574,47,0 2,0,2024-09-07 08:19:31:603,12795,2.7,13073,1.8,25712,4.7,34784,3.25 2,1,2024-09-07 08:19:30:860,81891,81891,0,0,39150518266,413219798,80820,868,203,382,391531,0 2,2,2024-09-07 08:19:31:276,61460,61460,0,0,3815420,0,3304 2,3,2024-09-07 08:19:30:703,1,47,1,0,214,631,47,0 3,0,2024-09-07 08:19:31:759,8868,0.4,8839,0.7,17793,0.3,23266,2.00 3,1,2024-09-07 08:19:31:624,81887,81887,0,0,38789926165,412545259,79945,1556,386,382,391514,0 3,2,2024-09-07 08:19:31:164,57574,57551,23,0,4070510,0,5851 3,3,2024-09-07 08:19:31:755,1,47,10,0,103,462,47,0 4,0,2024-09-07 08:19:31:859,7915,0.3,8197,0.6,16465,0.2,21749,1.75 4,1,2024-09-07 08:19:30:612,82044,82044,0,0,37913153010,406615609,80226,1402,416,372,391846,0 4,2,2024-09-07 08:19:31:026,57153,57153,0,0,4420718,0,4528 4,3,2024-09-07 08:19:31:039,1,47,14,1,287,946,47,0 5,0,2024-09-07 08:19:31:498,14554,1.0,14221,1.0,29039,1.4,38720,2.00 5,1,2024-09-07 08:19:30:772,81918,81918,0,0,38655327353,414174872,79746,1734,438,368,392005,0 5,2,2024-09-07 08:19:31:849,58500,58500,0,0,3522833,0,2259 5,3,2024-09-07 08:19:31:756,1,47,5,1,182,1042,47,0 6,0,2024-09-07 08:19:30:931,13983,2.6,13739,1.8,27413,4.0,36860,4.25 6,1,2024-09-07 08:19:30:763,82575,82575,0,0,38767314706,409111365,81496,1010,69,382,391603,0 6,2,2024-09-07 08:19:31:119,63223,63223,0,0,3879632,0,2411 6,3,2024-09-07 08:19:31:294,1,47,1,1,340,1346,47,0 7,0,2024-09-07 08:19:31:537,8277,0.4,8274,0.6,16718,0.2,21965,1.75 7,1,2024-09-07 08:19:30:856,82029,82029,0,0,39538696581,417852183,81051,914,64,383,391664,0 7,2,2024-09-07 08:19:30:775,56872,56872,0,0,3482822,0,2981 7,3,2024-09-07 08:19:30:851,1,47,1,0,83,497,47,0 8,0,2024-09-07 08:19:31:400,8265,0.3,8035,0.6,15944,0.2,21326,1.75 8,1,2024-09-07 08:19:31:016,82011,82011,0,0,38950549145,425712127,78554,2207,1250,368,391724,0 8,2,2024-09-07 08:19:30:809,56319,56319,0,0,4468367,0,2986 8,3,2024-09-07 08:19:30:589,1,47,8,0,229,869,47,0 9,0,2024-09-07 08:19:31:232,13155,0.5,12777,0.7,26760,0.4,35499,1.75 9,1,2024-09-07 08:19:30:559,82015,82015,0,0,38967740504,418384998,79747,1702,566,370,391559,0 9,2,2024-09-07 08:19:31:095,59261,59261,0,0,4091457,0,3360 9,3,2024-09-07 08:19:31:760,1,47,32,0,149,779,47,0 10,0,2024-09-07 08:19:31:618,15578,1.7,15633,1.3,31270,2.9,41462,3.50 10,1,2024-09-07 08:19:30:592,81447,81447,0,0,38862649214,421510359,78113,2812,522,383,391541,0 10,2,2024-09-07 08:19:30:772,61479,61479,0,0,4357463,0,2940 10,3,2024-09-07 08:19:30:876,1,47,3,0,136,480,47,0 11,0,2024-09-07 08:19:31:046,10273,3.4,10011,2.2,20961,5.7,28327,2.75 11,1,2024-09-07 08:19:30:574,81934,81934,0,0,38527297450,415415418,79299,1821,814,384,391537,0 11,2,2024-09-07 08:19:31:129,57540,57540,0,0,4039656,0,2635 11,3,2024-09-07 08:19:31:307,1,47,1,0,720,2143,47,0 12,0,2024-09-07 08:19:31:095,10197,0.3,10284,0.5,20417,0.2,26730,1.75 12,1,2024-09-07 08:19:30:934,81895,81895,0,0,38121093348,406768116,80269,1527,99,372,391790,0 12,2,2024-09-07 08:19:31:557,58201,58201,0,0,3651706,0,2254 12,3,2024-09-07 08:19:31:074,1,47,32,1,358,1258,47,0 13,0,2024-09-07 08:19:31:373,11096,0.5,11319,0.7,22467,0.6,30181,1.75 13,1,2024-09-07 08:19:31:526,82148,82148,0,0,38715028775,414025584,80613,1170,365,384,391710,0 13,2,2024-09-07 08:19:30:595,59373,59373,0,0,3387846,0,3287 13,3,2024-09-07 08:19:31:762,1,47,1,1,373,1061,47,0 14,0,2024-09-07 08:19:30:584,17190,1.4,17251,1.3,34206,1.7,45556,2.75 14,1,2024-09-07 08:19:31:568,82951,82951,0,0,38891906923,408455863,82074,847,30,365,391546,0 14,2,2024-09-07 08:19:30:764,59169,59169,0,0,4004648,0,2793 14,3,2024-09-07 08:19:31:128,1,47,1,1,906,1232,47,0 15,0,2024-09-07 08:19:31:569,12184,3.3,12063,2.0,24209,5.8,32916,3.75 15,1,2024-09-07 08:19:31:615,82151,82151,0,0,38557845267,406598055,81370,746,35,381,391536,0 15,2,2024-09-07 08:19:30:999,61131,61131,0,0,3012531,0,3043 15,3,2024-09-07 08:19:31:405,1,47,43,0,538,1751,47,0 16,0,2024-09-07 08:19:30:961,9102,0.4,9228,0.6,18257,0.2,24286,2.00 16,1,2024-09-07 08:19:30:567,82275,82275,0,0,38105599680,404828690,81169,1084,22,372,391543,0 16,2,2024-09-07 08:19:31:457,57218,57218,0,0,4086576,0,4719 16,3,2024-09-07 08:19:31:174,1,47,2,1,231,1145,47,0 17,0,2024-09-07 08:19:31:878,9464,0.7,9304,0.9,18264,0.7,25207,2.25 17,1,2024-09-07 08:19:30:590,81881,81881,0,0,38614611311,414624298,80088,1271,522,369,391688,0 17,2,2024-09-07 08:19:31:669,58427,58427,0,0,3119141,0,2857 17,3,2024-09-07 08:19:30:588,1,47,9,0,268,1281,47,0 18,0,2024-09-07 08:19:30:953,14688,0.8,14892,0.9,29983,0.9,39702,2.25 18,1,2024-09-07 08:19:31:656,82138,82138,0,0,38601205143,408036008,80891,1029,218,368,391526,0 18,2,2024-09-07 08:19:31:758,57966,57966,0,0,3986515,0,3541 18,3,2024-09-07 08:19:30:899,1,47,1,0,163,745,47,0 19,0,2024-09-07 08:19:31:553,12851,2.7,12962,2.0,25429,5.2,34436,5.75 19,1,2024-09-07 08:19:30:572,82212,82212,0,0,38644394580,410813599,80456,1594,162,367,391696,0 19,2,2024-09-07 08:19:31:758,62879,62879,0,0,3882993,0,3988 19,3,2024-09-07 08:19:31:129,1,47,2,0,524,876,47,0 20,0,2024-09-07 08:19:31:516,8590,0.3,8647,0.5,16915,0.2,22199,1.75 20,1,2024-09-07 08:19:30:591,82055,82055,0,0,39490826635,419770249,80378,1517,160,370,391598,0 20,2,2024-09-07 08:19:30:959,56954,56954,0,0,3301487,0,2446 20,3,2024-09-07 08:19:30:595,1,47,3,1,99,782,47,0 21,0,2024-09-07 08:19:31:241,8144,0.4,8014,0.6,15858,0.3,21135,2.00 21,1,2024-09-07 08:19:31:547,81569,81569,0,0,38025519981,409045653,79338,1743,488,368,391962,0 21,2,2024-09-07 08:19:31:083,57073,57073,0,0,3573888,0,3747 21,3,2024-09-07 08:19:31:430,1,47,5,0,93,755,47,0 22,0,2024-09-07 08:19:31:734,13832,0.9,13807,1.1,27467,0.9,37304,2.25 22,1,2024-09-07 08:19:31:040,81603,81603,0,0,38254534331,413256623,78662,2216,725,382,391667,0 22,2,2024-09-07 08:19:30:772,59346,59346,0,0,3337526,0,3134 22,3,2024-09-07 08:19:31:073,1,47,1,0,62,274,47,0 23,0,2024-09-07 08:19:31:396,14152,2.3,14382,1.4,28605,3.8,38074,3.00 23,1,2024-09-07 08:19:31:009,82684,82684,0,0,38963389485,410481043,81338,1092,254,368,391496,0 23,2,2024-09-07 08:19:31:101,63057,63057,0,0,3478562,0,2078 23,3,2024-09-07 08:19:31:761,1,47,31,0,645,1201,47,0 24,0,2024-09-07 08:19:30:959,10114,0.8,10206,0.9,20317,1.2,26850,1.75 24,1,2024-09-07 08:19:30:601,82646,82646,0,0,38511768650,406356344,81851,789,6,371,391640,0 24,2,2024-09-07 08:19:31:105,56641,56641,0,0,4559830,0,2942 24,3,2024-09-07 08:19:31:700,1,47,6,1,234,835,47,0 25,0,2024-09-07 08:19:31:437,9376,0.3,9176,0.5,17698,0.2,23603,1.75 25,1,2024-09-07 08:19:30:572,81764,81764,0,0,38688815042,415522640,79636,1817,311,373,391579,0 25,2,2024-09-07 08:19:31:638,57278,57278,0,0,4118988,0,3284 25,3,2024-09-07 08:19:31:008,1,47,1,1,158,533,47,0 26,0,2024-09-07 08:19:31:736,11843,0.7,11631,0.8,24467,0.7,32281,2.00 26,1,2024-09-07 08:19:31:540,82075,82075,0,0,38414258415,417297691,78615,2625,835,382,391542,0 26,2,2024-09-07 08:19:30:862,59508,59508,0,0,4292424,0,2809 26,3,2024-09-07 08:19:31:728,1,47,2,0,796,1178,47,0 27,0,2024-09-07 08:19:31:756,16173,1.6,16250,1.2,32571,2.1,43520,2.25 27,1,2024-09-07 08:19:31:700,82707,82707,0,0,39105930410,411790979,81641,771,295,381,391526,0 27,2,2024-09-07 08:19:30:873,59876,59876,0,0,5273036,0,3409 27,3,2024-09-07 08:19:31:021,1,47,1,0,564,982,47,0 28,0,2024-09-07 08:19:31:471,11584,0.9,11766,1.1,23345,1.2,31265,2.00 28,1,2024-09-07 08:19:30:807,82267,82267,0,0,39619779763,418812780,81211,856,200,383,391500,0 28,2,2024-09-07 08:19:31:772,58904,58904,0,0,3295258,0,2609 28,3,2024-09-07 08:19:31:786,1,47,9,1,502,1107,47,0 29,0,2024-09-07 08:19:31:411,9965,0.3,9731,0.6,19356,0.2,26294,1.75 29,1,2024-09-07 08:19:31:570,83054,83054,0,0,39030353300,408085554,82313,569,172,369,391621,0 29,2,2024-09-07 08:19:30:861,58321,58321,0,0,2810720,0,2026 29,3,2024-09-07 08:19:30:983,1,47,1,1,105,608,47,0 30,0,2024-09-07 08:19:31:476,10219,1.2,9905,1.0,20325,1.6,26959,2.75 30,1,2024-09-07 08:19:30:592,82009,82009,0,0,39313765945,415139214,81037,907,65,382,391524,0 30,2,2024-09-07 08:19:31:281,58886,58886,0,0,2843982,0,2534 30,3,2024-09-07 08:19:30:584,1,47,0,0,195,554,47,0 31,0,2024-09-07 08:19:31:772,16488,1.0,16412,1.0,33007,1.1,44128,3.25 31,1,2024-09-07 08:19:30:564,82646,82646,0,0,39693578227,410437487,82403,241,2,356,391553,0 31,2,2024-09-07 08:19:31:276,58614,58614,0,0,4255817,0,3525 31,3,2024-09-07 08:19:31:728,1,47,63,0,129,468,47,0 32,0,2024-09-07 08:19:31:426,13117,2.2,13225,1.4,26493,3.5,35388,2.00 32,1,2024-09-07 08:19:30:816,82258,82258,0,0,38795725163,408651438,81263,967,28,382,391595,0 32,2,2024-09-07 08:19:30:946,62711,62711,0,0,3576859,0,3155 32,3,2024-09-07 08:19:31:019,1,47,2,0,110,584,47,0 33,0,2024-09-07 08:19:31:546,8928,0.3,8817,0.5,17871,0.2,23200,1.75 33,1,2024-09-07 08:19:30:587,82251,82251,0,0,39426662002,416185016,80593,1535,123,369,391526,0 33,2,2024-09-07 08:19:30:768,57123,57090,33,0,4908882,0,7012 33,3,2024-09-07 08:19:30:908,1,47,1,1,51,246,47,0 34,0,2024-09-07 08:19:30:943,8172,0.3,8398,0.5,16161,0.2,21723,1.75 34,1,2024-09-07 08:19:31:049,82858,82858,0,0,39528760090,411051045,82420,435,3,368,391517,0 34,2,2024-09-07 08:19:30:767,56913,56913,0,0,3886169,0,3255 34,3,2024-09-07 08:19:31:696,1,47,12,0,148,598,47,0 35,0,2024-09-07 08:19:30:868,14295,1.2,14334,1.0,28766,1.7,38620,2.00 35,1,2024-09-07 08:19:31:083,82158,82158,0,0,38984084003,410958633,80693,1104,361,384,391587,0 35,2,2024-09-07 08:19:31:583,58586,58586,0,0,3441071,0,2542 35,3,2024-09-07 08:19:30:907,1,47,1,0,219,958,47,0 36,0,2024-09-07 08:19:31:552,13904,2.8,13683,1.8,27964,4.1,36952,3.25 36,1,2024-09-07 08:19:30:583,81715,81715,0,0,38813377677,417461880,78704,2080,931,366,391535,0 36,2,2024-09-07 08:19:31:758,62779,62779,0,0,4104687,0,3303 36,3,2024-09-07 08:19:30:867,1,47,14,0,378,985,47,0 37,0,2024-09-07 08:19:31:400,8451,0.3,8414,0.5,16858,0.2,22045,1.75 37,1,2024-09-07 08:19:30:578,81394,81387,0,7,38648053402,419240434,78550,1320,1517,365,391507,0 37,2,2024-09-07 08:19:31:154,57351,57351,0,0,3016866,0,2333 37,3,2024-09-07 08:19:31:767,1,47,1,0,724,1650,47,0 38,0,2024-09-07 08:19:31:452,8145,0.3,7857,0.6,16316,0.2,21322,1.75 38,1,2024-09-07 08:19:31:609,82365,82365,0,0,38946077257,415581268,80225,1693,447,370,391512,0 38,2,2024-09-07 08:19:30:772,57203,57203,0,0,3748707,0,3245 38,3,2024-09-07 08:19:30:999,1,47,1,0,603,993,47,0 39,0,2024-09-07 08:19:31:778,13599,0.6,13426,0.7,26016,0.8,35750,2.00 39,1,2024-09-07 08:19:30:722,82191,82191,0,0,38376066385,410200749,79633,1920,638,366,391524,0 39,2,2024-09-07 08:19:31:426,59314,59314,0,0,3474095,0,2381 39,3,2024-09-07 08:19:30:717,1,47,1,0,276,1089,47,0 40,0,2024-09-07 08:19:31:499,15266,2.7,15448,2.7,30457,5.2,41117,4.00 40,1,2024-09-07 08:19:30:591,81924,81924,0,0,38387216312,414494588,78676,2495,753,371,391591,0 40,2,2024-09-07 08:19:31:309,61672,61671,1,0,4655888,0,5137 40,3,2024-09-07 08:19:31:142,1,47,47,1,110,709,47,0 41,0,2024-09-07 08:19:31:046,10013,2.8,10283,7.2,19706,6.4,27222,4.00 41,1,2024-09-07 08:19:30:779,81886,81886,0,0,38660369085,414282689,79582,1992,312,370,391483,0 41,2,2024-09-07 08:19:30:765,57210,57210,0,0,4672393,0,3356 41,3,2024-09-07 08:19:31:706,1,47,168,1,168,606,47,0 42,0,2024-09-07 08:19:31:489,10055,0.4,10210,0.8,20262,0.3,26752,2.00 42,1,2024-09-07 08:19:31:447,81901,81901,0,0,38482609557,416866834,78910,2161,830,381,391511,0 42,2,2024-09-07 08:19:31:170,58000,58000,0,0,4090338,0,3568 42,3,2024-09-07 08:19:31:009,1,47,1,0,100,530,47,0 43,0,2024-09-07 08:19:30:927,11058,2.3,10833,1.5,22569,3.5,30234,2.25 43,1,2024-09-07 08:19:30:586,82012,82012,0,0,39067925673,417148604,79823,1437,752,367,391604,0 43,2,2024-09-07 08:19:31:736,59255,59255,0,0,4305165,0,3812 43,3,2024-09-07 08:19:31:753,1,47,5,1,292,1136,47,0 44,0,2024-09-07 08:19:30:873,17226,1.4,17226,1.3,34169,1.5,46007,2.25 44,1,2024-09-07 08:19:30:573,82267,82267,0,0,38543204276,404593875,81252,908,107,357,391505,0 44,2,2024-09-07 08:19:31:276,59029,59029,0,0,3021786,0,1865 44,3,2024-09-07 08:19:31:104,1,47,7,1,817,1282,47,0 45,0,2024-09-07 08:19:31:778,12060,3.7,11826,2.1,24754,5.8,33308,2.25 45,1,2024-09-07 08:19:31:024,82315,82315,0,0,39579828667,415171310,81851,464,0,382,391917,0 45,2,2024-09-07 08:19:31:306,61166,61166,0,0,3710236,0,2628 45,3,2024-09-07 08:19:30:945,1,47,48,1,226,713,47,0 46,0,2024-09-07 08:19:30:973,9180,0.3,9184,0.5,18471,0.2,24289,1.75 46,1,2024-09-07 08:19:30:576,82704,82704,0,0,38887997130,407340208,81874,794,36,368,391514,0 46,2,2024-09-07 08:19:30:593,58197,58197,0,0,3557812,0,2920 46,3,2024-09-07 08:19:31:155,1,47,1,0,315,1015,47,0 47,0,2024-09-07 08:19:31:115,9206,0.5,9344,0.7,18547,0.5,25031,1.75 47,1,2024-09-07 08:19:30:586,82790,82790,0,0,38489682143,401418850,82284,502,4,367,391489,0 47,2,2024-09-07 08:19:30:915,58556,58556,0,0,3542710,0,2558 47,3,2024-09-07 08:19:31:128,1,47,4,1,529,1030,47,0 48,0,2024-09-07 08:19:31:522,15082,0.4,15020,0.6,29664,0.4,39950,1.75 48,1,2024-09-07 08:19:31:026,82398,82398,0,0,38996292042,411427140,81203,1190,5,386,391637,0 48,2,2024-09-07 08:19:30:704,58706,58706,0,0,2772886,0,2083 48,3,2024-09-07 08:19:30:763,1,47,14,1,30,506,47,0 49,0,2024-09-07 08:19:31:764,13401,2.7,13211,1.6,25778,4.5,35850,2.25 49,1,2024-09-07 08:19:31:040,82152,82152,0,0,38896357709,413980900,80417,1026,709,382,391583,0 49,2,2024-09-07 08:19:31:802,62981,62981,0,0,4028595,0,3900 49,3,2024-09-07 08:19:31:422,1,47,1,0,274,710,47,0 50,0,2024-09-07 08:19:31:530,8651,0.3,8441,0.5,16981,0.2,22652,1.75 50,1,2024-09-07 08:19:31:010,82919,82919,0,0,39211513458,413930128,81253,1369,297,369,391530,0 50,2,2024-09-07 08:19:31:069,57600,57600,0,0,3009797,0,2253 50,3,2024-09-07 08:19:31:305,1,47,1,1,335,714,47,0 51,0,2024-09-07 08:19:31:698,8167,0.2,7949,0.4,15651,0.1,21370,1.50 51,1,2024-09-07 08:19:31:699,81977,81977,0,0,39714792692,420312876,80181,1014,782,367,391520,0 51,2,2024-09-07 08:19:31:327,57055,57055,0,0,2564555,0,2448 51,3,2024-09-07 08:19:31:043,1,47,1,0,162,438,47,0 52,0,2024-09-07 08:19:31:467,14043,1.3,14065,1.2,28301,1.5,37693,2.75 52,1,2024-09-07 08:19:30:584,82059,82059,0,0,38454063956,413184731,79568,2027,464,368,391513,0 52,2,2024-09-07 08:19:31:759,59087,59087,0,0,3796230,0,4779 52,3,2024-09-07 08:19:30:686,1,47,1,1,1782,2349,47,0 53,0,2024-09-07 08:19:31:748,14119,3.3,13632,2.0,28050,4.8,37273,3.50 53,1,2024-09-07 08:19:30:779,81906,81906,0,0,39078281978,419327730,79304,2028,574,370,391617,0 53,2,2024-09-07 08:19:31:311,63258,63258,0,0,3353364,0,1902 53,3,2024-09-07 08:19:30:703,1,47,2,1,59,310,47,0 54,0,2024-09-07 08:19:31:699,9288,10.0,9304,6.2,18578,2.6,25717,5.50 54,1,2024-09-07 08:19:30:581,81949,81949,0,0,39176648179,416598562,79841,1792,316,367,391520,0 54,2,2024-09-07 08:19:30:866,57369,57363,6,0,3928067,0,5382 54,3,2024-09-07 08:19:30:763,1,47,1,0,676,1415,47,0 55,0,2024-09-07 08:19:31:782,8818,0.4,9067,0.7,18469,0.3,23432,2.25 55,1,2024-09-07 08:19:30:770,81690,81690,0,0,38568703894,413911562,78265,2723,702,369,391731,0 55,2,2024-09-07 08:19:30:734,57470,57470,0,0,3767104,0,3275 55,3,2024-09-07 08:19:30:675,1,47,1,0,136,628,47,0 56,0,2024-09-07 08:19:31:588,12183,2.6,11514,1.8,23660,3.9,32006,3.00 56,1,2024-09-07 08:19:30:598,81677,81677,0,0,38952560285,424655270,78303,2628,746,384,391536,0 56,2,2024-09-07 08:19:31:315,59736,59736,0,0,4495090,0,3567 56,3,2024-09-07 08:19:31:073,1,47,8,2,297,832,47,0 57,0,2024-09-07 08:19:30:979,15864,4.4,15535,2.4,31420,6.3,42263,4.75 57,1,2024-09-07 08:19:30:999,81680,81680,0,0,38047669348,404565244,80377,1284,19,368,391760,0 57,2,2024-09-07 08:19:31:355,60507,60507,0,0,4467304,0,3178 57,3,2024-09-07 08:19:31:737,1,47,40,1,359,1378,47,0 58,0,2024-09-07 08:19:30:577,10870,1.1,10595,1.3,22105,1.1,29262,3.00 58,1,2024-09-07 08:19:30:592,82711,82709,0,2,38895597898,411992290,81114,1436,159,371,391516,2 58,2,2024-09-07 08:19:31:078,59267,59267,0,0,4231927,0,2549 58,3,2024-09-07 08:19:31:083,1,47,5,1,219,732,47,0 59,0,2024-09-07 08:19:31:756,9830,0.8,9802,1.0,19729,0.8,25918,2.50 59,1,2024-09-07 08:19:30:812,81686,81686,0,0,38500753279,414122908,79441,1478,767,371,391515,0 59,2,2024-09-07 08:19:30:598,58151,58151,0,0,3650402,0,2604 59,3,2024-09-07 08:19:31:737,1,47,199,0,1015,1593,47,0 60,0,2024-09-07 08:19:31:802,10099,0.9,10194,0.9,20300,1.1,27003,2.00 60,1,2024-09-07 08:19:30:787,83238,83238,0,0,39234816608,413373537,82171,723,344,370,391672,0 60,2,2024-09-07 08:19:31:164,58820,58820,0,0,3201802,0,2142 60,3,2024-09-07 08:19:31:259,1,47,6,0,124,727,47,0 61,0,2024-09-07 08:19:31:530,16227,2.5,16283,1.7,32366,4.0,44439,3.00 61,1,2024-09-07 08:19:30:773,81982,81982,0,0,38591795155,414757491,79528,1920,534,383,391589,0 61,2,2024-09-07 08:19:31:143,59043,59043,0,0,3280446,0,1846 61,3,2024-09-07 08:19:31:701,1,47,145,1,199,919,47,0 62,0,2024-09-07 08:19:31:722,13091,2.5,13489,1.5,25839,4.4,35331,2.50 62,1,2024-09-07 08:19:31:128,82817,82812,0,5,39255337855,412284686,81561,1214,37,366,391586,5 62,2,2024-09-07 08:19:31:666,61815,61815,0,0,4057962,0,2931 62,3,2024-09-07 08:19:31:147,1,47,1,0,287,594,47,0 63,0,2024-09-07 08:19:31:508,8818,0.5,8819,0.8,17727,0.3,23260,2.00 63,1,2024-09-07 08:19:30:812,83111,83108,0,3,39010429026,407119321,82711,396,1,382,391540,3 63,2,2024-09-07 08:19:30:770,57493,57493,0,0,3467523,0,2674 63,3,2024-09-07 08:19:31:738,1,47,667,0,667,1726,47,0 64,0,2024-09-07 08:19:31:565,8090,0.4,8100,0.7,16234,0.3,21672,2.00 64,1,2024-09-07 08:19:30:769,82283,82283,0,0,38536563582,414066876,79852,1638,793,371,391604,0 64,2,2024-09-07 08:19:31:183,57635,57616,19,0,3800757,0,6121 64,3,2024-09-07 08:19:31:145,1,47,4,1,163,630,47,0 65,0,2024-09-07 08:19:31:761,14086,3.2,14224,2.0,28247,4.7,38215,4.25 65,1,2024-09-07 08:19:30:865,82125,82125,0,0,38465058631,410019530,80424,1482,219,382,391506,0 65,2,2024-09-07 08:19:31:736,58814,58814,0,0,3864626,0,3367 65,3,2024-09-07 08:19:31:699,1,47,14,1,163,734,47,0 66,0,2024-09-07 08:19:31:781,13407,2.3,13490,1.6,27118,4.1,36321,4.00 66,1,2024-09-07 08:19:31:305,83262,83262,0,0,39062015527,409155877,82577,665,20,381,391537,0 66,2,2024-09-07 08:19:31:159,63574,63574,0,0,3812975,0,3867 66,3,2024-09-07 08:19:31:095,1,47,3,1,291,862,47,0 67,0,2024-09-07 08:19:31:422,8438,0.7,8497,0.9,16670,0.7,21931,2.50 67,1,2024-09-07 08:19:30:773,82040,82039,0,1,38219963056,408940111,80044,1470,525,382,391787,1 67,2,2024-09-07 08:19:30:586,57632,57632,0,0,3216712,0,2889 67,3,2024-09-07 08:19:31:758,1,47,1,0,138,564,47,0 68,0,2024-09-07 08:19:30:583,8112,0.4,8032,0.8,16225,0.3,21152,2.00 68,1,2024-09-07 08:19:30:579,81975,81975,0,0,39109863733,416191725,80584,869,522,383,391953,0 68,2,2024-09-07 08:19:31:060,57280,57280,0,0,3324446,0,4883 68,3,2024-09-07 08:19:30:738,1,47,22,1,63,528,47,0 69,0,2024-09-07 08:19:31:756,13090,1.9,13035,1.4,25946,3.3,35674,3.00 69,1,2024-09-07 08:19:31:037,81488,81488,0,0,38929946079,420267238,79554,1070,864,386,391530,0 69,2,2024-09-07 08:19:31:739,59618,59618,0,0,3839301,0,3153 69,3,2024-09-07 08:19:30:786,1,47,10,0,238,876,47,0 70,0,2024-09-07 08:19:31:555,15241,4.3,15230,3.3,30799,4.5,40663,5.50 70,1,2024-09-07 08:19:30:808,82540,82540,0,0,39327408465,412467928,81630,776,134,369,391527,0 70,2,2024-09-07 08:19:31:327,61860,61860,0,0,3965632,0,4044 70,3,2024-09-07 08:19:30:750,1,47,0,0,178,422,47,0 71,0,2024-09-07 08:19:31:371,10111,2.9,10097,5.4,19878,4.9,27457,4.75 71,1,2024-09-07 08:19:31:599,81743,81743,0,0,39027292977,415687776,79560,1868,315,368,391682,0 71,2,2024-09-07 08:19:31:075,57662,57662,0,0,3335413,0,2146 71,3,2024-09-07 08:19:31:761,1,47,2,0,174,459,47,0 72,0,2024-09-07 08:19:31:056,10412,0.4,10064,0.7,20014,0.3,26835,2.75 72,1,2024-09-07 08:19:31:030,82372,82372,0,0,38991938517,412857117,80834,1462,76,370,391521,0 72,2,2024-09-07 08:19:31:771,57311,57311,0,0,4855533,0,2570 72,3,2024-09-07 08:19:31:774,1,47,2,1,325,1183,47,0 73,0,2024-09-07 08:19:31:125,10927,0.7,11189,0.9,22659,0.7,30151,2.75 73,1,2024-09-07 08:19:30:778,82314,82314,0,0,38556465762,407197650,81358,913,43,368,391627,0 73,2,2024-09-07 08:19:31:739,59604,59604,0,0,4406801,0,3482 73,3,2024-09-07 08:19:30:976,1,47,1,0,274,1174,47,0 74,0,2024-09-07 08:19:31:335,17088,2.3,17489,1.6,33693,3.8,45620,4.00 74,1,2024-09-07 08:19:30:645,82088,82088,0,0,38484468859,410052440,80197,1319,572,382,391497,0 74,2,2024-09-07 08:19:31:009,58891,58891,0,0,4696951,0,4253 74,3,2024-09-07 08:19:31:451,1,47,2,0,246,1163,47,0 75,0,2024-09-07 08:19:31:806,12256,3.5,12301,2.0,24399,5.4,33450,3.50 75,1,2024-09-07 08:19:31:589,82577,82577,0,0,38810553347,413567518,80896,1577,104,382,391514,0 75,2,2024-09-07 08:19:31:361,60623,60623,0,0,4549482,0,4766 75,3,2024-09-07 08:19:31:081,1,47,8,0,143,359,47,0 76,0,2024-09-07 08:19:30:609,9197,0.4,9145,0.6,18161,0.2,24058,2.25 76,1,2024-09-07 08:19:30:806,82173,82173,0,0,38433335462,410797594,80370,1297,506,382,391530,0 76,2,2024-09-07 08:19:31:072,58017,58017,0,0,3462143,0,3064 76,3,2024-09-07 08:19:31:142,1,47,1,0,175,938,47,0 77,0,2024-09-07 08:19:31:784,9289,1.2,9190,1.1,18470,1.8,25112,2.25 77,1,2024-09-07 08:19:30:836,82112,82112,0,0,38714723208,409199529,81088,956,68,383,391516,0 77,2,2024-09-07 08:19:31:302,58236,58236,0,0,2935505,0,1695 77,3,2024-09-07 08:19:31:111,1,47,8,0,139,526,47,0 78,0,2024-09-07 08:19:31:755,14864,1.7,14742,1.4,29703,2.8,39588,2.75 78,1,2024-09-07 08:19:30:611,82723,82723,0,0,38603991748,409111064,81309,1210,204,368,391589,0 78,2,2024-09-07 08:19:31:408,58755,58755,0,0,3088612,0,2114 78,3,2024-09-07 08:19:31:164,1,47,43,0,181,913,47,0 79,0,2024-09-07 08:19:31:359,12675,2.2,12971,1.5,26563,4.1,34916,4.50 79,1,2024-09-07 08:19:30:596,82267,82267,0,0,38859412111,410173595,80937,1262,68,370,391494,0 79,2,2024-09-07 08:19:31:095,62758,62758,0,0,3594303,0,2679 79,3,2024-09-07 08:19:30:766,1,47,10,0,289,654,47,0 80,0,2024-09-07 08:19:31:188,8473,0.5,8705,0.7,16798,0.3,22535,2.00 80,1,2024-09-07 08:19:31:627,81768,81768,0,0,39166188449,414003645,80189,1464,115,369,391673,0 80,2,2024-09-07 08:19:31:100,56995,56995,0,0,3680718,0,4433 80,3,2024-09-07 08:19:30:594,1,47,7,1,148,930,47,0 81,0,2024-09-07 08:19:31:607,8034,0.5,8212,0.7,15696,0.4,21386,2.50 81,1,2024-09-07 08:19:31:687,82206,82206,0,0,37923658420,404590010,80352,1657,197,383,391646,0 81,2,2024-09-07 08:19:31:125,56814,56814,0,0,3849255,0,3993 81,3,2024-09-07 08:19:31:118,1,47,1,1,193,721,47,0 82,0,2024-09-07 08:19:31:549,13880,1.5,13946,1.4,27836,1.9,37758,2.25 82,1,2024-09-07 08:19:30:596,81820,81819,0,1,38457538835,409646724,79785,1462,572,383,391558,1 82,2,2024-09-07 08:19:31:701,60287,60287,0,0,2931195,0,2230 82,3,2024-09-07 08:19:31:753,1,47,3,0,211,899,47,0 83,0,2024-09-07 08:19:31:537,14177,2.4,14106,1.9,27908,2.7,36992,3.50 83,1,2024-09-07 08:19:30:552,82354,82354,0,0,38497903256,408935251,80950,1304,100,383,391553,0 83,2,2024-09-07 08:19:30:771,62088,62088,0,0,4002754,0,3119 83,3,2024-09-07 08:19:30:750,1,47,1,0,91,494,47,0 84,0,2024-09-07 08:19:31:837,9589,6.6,9515,6.4,19126,1.9,26274,3.75 84,1,2024-09-07 08:19:31:046,82227,82227,0,0,38937092852,414760619,80664,1318,245,369,391630,0 84,2,2024-09-07 08:19:30:597,56810,56810,0,0,3729134,0,3801 84,3,2024-09-07 08:19:31:170,1,47,12,1,43,537,47,0 85,0,2024-09-07 08:19:31:054,8854,0.4,8871,0.7,18743,0.3,23542,2.00 85,1,2024-09-07 08:19:30:563,81497,81497,0,0,39153704840,421767314,79066,2006,425,384,391626,0 85,2,2024-09-07 08:19:30:884,57248,57248,0,0,4091366,0,3656 85,3,2024-09-07 08:19:30:700,1,47,4,0,115,544,47,0 86,0,2024-09-07 08:19:30:918,11836,1.1,12302,1.0,23761,1.3,32186,2.00 86,1,2024-09-07 08:19:30:837,81943,81943,0,0,38956314721,414047257,80194,1536,213,367,391622,0 86,2,2024-09-07 08:19:30:882,59496,59495,1,0,4285522,0,5004 86,3,2024-09-07 08:19:30:591,1,47,4,0,199,752,47,0 87,0,2024-09-07 08:19:31:337,16030,3.5,15990,2.1,32241,4.5,43298,3.50 87,1,2024-09-07 08:19:30:552,81915,81915,0,0,38606884332,410975664,80356,1440,119,369,391671,0 87,2,2024-09-07 08:19:31:119,60868,60868,0,0,3318098,0,2859 87,3,2024-09-07 08:19:31:798,1,47,2,1,322,994,47,0 88,0,2024-09-07 08:19:31:488,11626,1.2,11729,1.2,23244,1.5,31215,2.00 88,1,2024-09-07 08:19:30:587,81987,81987,0,0,38860699664,412660526,80795,1098,94,367,391747,0 88,2,2024-09-07 08:19:30:690,59272,59272,0,0,4461646,0,3583 88,3,2024-09-07 08:19:31:275,1,47,9,1,77,694,47,0 89,0,2024-09-07 08:19:31:974,10120,0.4,9787,0.6,19501,0.3,26356,1.75 89,1,2024-09-07 08:19:30:550,81173,81173,0,0,38494142972,419133424,78028,2413,732,383,391866,0 89,2,2024-09-07 08:19:31:179,57603,57603,0,0,4105724,0,2726 89,3,2024-09-07 08:19:31:814,1,47,83,0,325,1571,47,0 90,0,2024-09-07 08:19:31:643,9829,0.9,10004,0.9,20664,1.3,27044,2.00 90,1,2024-09-07 08:19:30:593,81964,81964,0,0,38211953567,410924589,79933,1892,139,382,391825,0 90,2,2024-09-07 08:19:31:409,57931,57931,0,0,4745729,0,2635 90,3,2024-09-07 08:19:30:948,1,47,13,1,200,846,47,0 91,0,2024-09-07 08:19:30:959,16712,0.8,16108,0.9,33546,0.9,44947,2.00 91,1,2024-09-07 08:19:30:558,81899,81899,0,0,38993765088,418603384,79566,1973,360,384,391914,0 91,2,2024-09-07 08:19:31:342,57870,57870,0,0,3798280,0,1997 91,3,2024-09-07 08:19:30:597,1,47,21,1,155,709,47,0 92,0,2024-09-07 08:19:31:607,13276,1.9,13630,1.3,26114,3.6,35446,2.00 92,1,2024-09-07 08:19:30:583,82263,82263,0,0,39053083216,411131716,81676,523,64,383,391652,0 92,2,2024-09-07 08:19:31:419,63236,63236,0,0,3794887,0,2279 92,3,2024-09-07 08:19:31:016,1,47,4,1,68,628,47,0 93,0,2024-09-07 08:19:31:054,8827,0.4,9002,0.6,17211,0.3,23181,1.75 93,1,2024-09-07 08:19:30:815,81966,81966,0,0,38991926288,415866253,80218,1314,434,367,391689,0 93,2,2024-09-07 08:19:30:941,57602,57602,0,0,3344661,0,2509 93,3,2024-09-07 08:19:31:408,1,47,2,1,143,566,47,0 94,0,2024-09-07 08:19:31:713,8221,0.3,8217,0.5,16248,0.2,21664,1.75 94,1,2024-09-07 08:19:30:565,81903,81903,0,0,38450376240,410295564,80423,1420,60,381,391850,0 94,2,2024-09-07 08:19:30:776,57256,57256,0,0,3313993,0,2443 94,3,2024-09-07 08:19:31:703,1,47,3,1,231,1045,47,0 95,0,2024-09-07 08:19:31:367,14326,0.5,14353,0.7,29264,0.5,38780,1.75 95,1,2024-09-07 08:19:30:876,82311,82311,0,0,38593539360,406601654,81535,712,64,368,391590,0 95,2,2024-09-07 08:19:31:016,59024,59024,0,0,3505594,0,3308 95,3,2024-09-07 08:19:31:728,1,47,2,0,307,1056,47,0 96,0,2024-09-07 08:19:31:082,14088,1.9,13904,1.3,28011,2.9,36776,2.25 96,1,2024-09-07 08:19:31:593,82306,82306,0,0,39154360892,418731934,80480,1257,569,385,391551,0 96,2,2024-09-07 08:19:31:299,63294,63294,0,0,4195055,0,4038 96,3,2024-09-07 08:19:31:140,1,47,3,2,36,574,47,0 97,0,2024-09-07 08:19:31:339,8455,0.6,8435,0.7,16710,0.7,22001,2.00 97,1,2024-09-07 08:19:30:774,81829,81829,0,0,38695695427,412474828,79657,1655,517,367,391626,0 97,2,2024-09-07 08:19:30:611,56755,56755,0,0,3296450,0,3036 97,3,2024-09-07 08:19:30:570,1,47,1,1,165,628,47,0 98,0,2024-09-07 08:19:31:715,8039,0.2,7977,0.4,16243,0.2,21266,1.50 98,1,2024-09-07 08:19:30:606,82115,82115,0,0,38756273604,410269827,81055,1016,44,382,391588,0 98,2,2024-09-07 08:19:30:867,57680,57680,0,0,3337169,0,3080 98,3,2024-09-07 08:19:30:705,1,47,2,1,155,618,47,0 99,0,2024-09-07 08:19:31:461,13112,0.4,13039,0.6,26247,0.4,35550,1.75 99,1,2024-09-07 08:19:31:740,82664,82664,0,0,38126712254,404525668,81196,1029,439,381,391744,0 99,2,2024-09-07 08:19:31:425,60495,60495,0,0,3517063,0,1858 99,3,2024-09-07 08:19:30:595,1,47,2,0,129,516,47,0 100,0,2024-09-07 08:19:31:535,15508,3.0,15502,3.3,30974,5.4,41474,3.00 100,1,2024-09-07 08:19:30:558,81608,81608,0,0,37953383785,412213267,78938,2327,343,382,391585,0 100,2,2024-09-07 08:19:31:844,61302,61291,11,0,4800808,0,5417 100,3,2024-09-07 08:19:31:739,1,47,8,1,443,1607,47,0 101,0,2024-09-07 08:19:31:760,10577,5.8,10326,3.3,20348,3.3,28439,3.50 101,1,2024-09-07 08:19:30:551,81807,81807,0,0,38785709448,413742740,80353,1005,449,370,391531,0 101,2,2024-09-07 08:19:31:787,57803,57803,0,0,3972149,0,4644 101,3,2024-09-07 08:19:30:950,1,47,7,1,448,856,47,0 102,0,2024-09-07 08:19:30:999,9922,0.5,10228,0.6,20596,0.3,26686,2.00 102,1,2024-09-07 08:19:31:178,82032,82032,0,0,39012712360,418103427,79860,1727,445,369,391647,0 102,2,2024-09-07 08:19:31:769,57902,57902,0,0,3082878,0,1945 102,3,2024-09-07 08:19:31:621,1,47,2,0,410,749,47,0 103,0,2024-09-07 08:19:31:628,11445,0.8,11421,1.0,21631,1.0,30385,2.00 103,1,2024-09-07 08:19:31:660,82227,82227,0,0,38650477455,410371422,81041,1045,141,381,391680,0 103,2,2024-09-07 08:19:30:602,59519,59519,0,0,3478355,0,2104 103,3,2024-09-07 08:19:30:768,1,47,2,1,486,957,47,0 104,0,2024-09-07 08:19:31:066,17103,2.9,17149,1.7,33127,4.3,45640,3.25 104,1,2024-09-07 08:19:31:616,82535,82535,0,0,38884000582,417274587,80643,1567,325,368,391948,0 104,2,2024-09-07 08:19:31:685,58581,58581,0,0,4216685,0,3941 104,3,2024-09-07 08:19:31:418,1,47,143,2,1245,3471,47,0 105,0,2024-09-07 08:19:31:083,12141,2.8,11680,2.1,24186,5.4,33043,4.25 105,1,2024-09-07 08:19:30:560,81798,81798,0,0,38683876129,418087762,78873,2180,745,367,391797,0 105,2,2024-09-07 08:19:31:349,60620,60620,0,0,3977910,0,3314 105,3,2024-09-07 08:19:31:322,1,47,6,1,182,1089,47,0 106,0,2024-09-07 08:19:30:957,8943,0.4,9124,0.7,18467,0.2,24209,2.00 106,1,2024-09-07 08:19:31:756,82369,82369,0,0,38614318990,414851775,79980,2064,325,371,391767,0 106,2,2024-09-07 08:19:30:773,57613,57613,0,0,4022346,0,2795 106,3,2024-09-07 08:19:30:682,1,47,13,1,201,732,47,0 107,0,2024-09-07 08:19:31:116,9311,0.8,9310,0.9,18367,0.8,24980,2.00 107,1,2024-09-07 08:19:30:598,81829,81829,0,0,38270121425,410833673,80054,1605,170,382,392234,0 107,2,2024-09-07 08:19:31:312,57911,57910,1,0,3857401,0,5024 107,3,2024-09-07 08:19:31:774,1,47,2,0,353,1129,47,0 108,0,2024-09-07 08:19:31:866,14909,1.0,15068,0.9,29859,1.3,39792,1.75 108,1,2024-09-07 08:19:31:333,81982,81982,0,0,39065854940,414788539,80574,1276,132,371,391857,0 108,2,2024-09-07 08:19:31:778,58753,58753,0,0,3678665,0,2647 108,3,2024-09-07 08:19:31:338,1,47,11,1,60,683,47,0 109,0,2024-09-07 08:19:31:848,13294,1.5,13410,1.1,26176,2.5,35965,2.00 109,1,2024-09-07 08:19:30:599,81864,81864,0,0,39056684775,417994243,80269,1213,382,385,391664,0 109,2,2024-09-07 08:19:30:922,62202,62202,0,0,4152120,0,3617 109,3,2024-09-07 08:19:31:154,1,47,37,1,249,1008,47,0 110,0,2024-09-07 08:19:31:815,8548,0.3,8351,0.5,17480,0.2,22521,1.75 110,1,2024-09-07 08:19:31:656,82113,82113,0,0,38834638540,410149478,80807,1023,283,370,391588,0 110,2,2024-09-07 08:19:31:310,57760,57760,0,0,3149810,0,2915 110,3,2024-09-07 08:19:30:707,1,47,147,0,183,622,47,0 111,0,2024-09-07 08:19:31:418,7878,0.2,8027,0.4,15696,0.1,21251,1.50 111,1,2024-09-07 08:19:31:020,82927,82927,0,0,39630336534,414035278,82290,624,13,382,391690,0 111,2,2024-09-07 08:19:31:129,57166,57166,0,0,3495704,0,2763 111,3,2024-09-07 08:19:30:922,1,47,2,0,119,1008,47,0 112,0,2024-09-07 08:19:30:935,14109,0.6,14161,0.7,28088,0.5,38013,1.75 112,1,2024-09-07 08:19:30:827,82248,82248,0,0,38669270547,410525014,80741,1161,346,382,391522,0 112,2,2024-09-07 08:19:31:138,59522,59521,1,0,3900688,0,5036 112,3,2024-09-07 08:19:30:596,1,47,1,1,282,679,47,0 113,0,2024-09-07 08:19:30:916,14454,0.9,14223,0.9,28936,1.1,38303,2.00 113,1,2024-09-07 08:19:31:701,82372,82372,0,0,39423755259,416839441,80485,1504,383,368,391661,0 113,2,2024-09-07 08:19:31:309,62756,62756,0,0,3235312,0,3021 113,3,2024-09-07 08:19:30:688,1,47,5,1,166,771,47,0 114,0,2024-09-07 08:19:30:886,9977,4.8,10082,3.4,19794,2.0,26731,2.25 114,1,2024-09-07 08:19:30:725,81995,81995,0,0,38540409938,414466130,79389,1725,881,381,391513,0 114,2,2024-09-07 08:19:30:877,56972,56972,0,0,3680991,0,3925 114,3,2024-09-07 08:19:31:278,1,47,1,1,159,685,47,0 115,0,2024-09-07 08:19:30:565,9069,0.2,9147,0.4,18289,0.1,23684,1.50 115,1,2024-09-07 08:19:30:572,82213,82213,0,0,38770165007,413789521,79843,1883,487,384,391506,0 115,2,2024-09-07 08:19:31:128,58194,58194,0,0,3010798,0,2152 115,3,2024-09-07 08:19:31:016,1,47,1,0,159,434,47,0 116,0,2024-09-07 08:19:31:804,11966,1.7,11836,1.4,23815,2.9,32221,2.25 116,1,2024-09-07 08:19:30:813,81410,81410,0,0,38570140044,416567598,79553,989,868,382,391573,0 116,2,2024-09-07 08:19:31:804,59251,59251,0,0,3939997,0,3529 116,3,2024-09-07 08:19:30:920,1,47,3,1,252,1135,47,0 117,0,2024-09-07 08:19:31:012,16170,3.0,16277,1.8,32487,4.2,43470,2.25 117,1,2024-09-07 08:19:32:216,82426,82426,0,0,38284008872,410442984,80363,1776,287,371,392033,0 117,2,2024-09-07 08:19:31:151,60811,60811,0,0,3603167,0,3700 117,3,2024-09-07 08:19:31:063,1,47,3,1,490,1584,47,0 118,0,2024-09-07 08:19:31:781,11246,1.2,11479,1.1,23585,1.6,31171,2.25 118,1,2024-09-07 08:19:30:592,82174,82174,0,0,38919437871,413821502,80966,1132,76,368,391736,0 118,2,2024-09-07 08:19:31:594,59451,59451,0,0,3861638,0,2781 118,3,2024-09-07 08:19:31:775,1,47,2,1,235,992,47,0 119,0,2024-09-07 08:19:31:427,9597,0.3,9679,0.6,19838,0.2,26278,1.75 119,1,2024-09-07 08:19:30:560,82208,82208,0,0,38996555100,415188192,80612,1448,148,371,391617,0 119,2,2024-09-07 08:19:31:282,57666,57666,0,0,3458985,0,2532 119,3,2024-09-07 08:19:31:346,1,47,2,1,443,1915,47,0 120,0,2024-09-07 08:19:31:608,10050,2.5,9962,1.6,19956,3.8,26970,2.75 120,1,2024-09-07 08:19:30:863,82103,82103,0,0,38285719785,410222896,80615,1473,15,368,391702,0 120,2,2024-09-07 08:19:30:773,58263,58262,1,0,4678742,0,5281 120,3,2024-09-07 08:19:31:306,1,47,4,1,241,906,47,0 121,0,2024-09-07 08:19:31:759,16220,2.7,16340,1.7,32740,4.2,44920,2.75 121,1,2024-09-07 08:19:31:692,81909,81909,0,0,38368612662,410024332,80061,1584,264,370,391807,0 121,2,2024-09-07 08:19:31:133,57879,57879,0,0,5027028,0,4127 121,3,2024-09-07 08:19:30:736,1,47,5,0,101,527,47,0 122,0,2024-09-07 08:19:31:871,13032,3.0,12535,2.6,26180,3.4,35649,2.75 122,1,2024-09-07 08:19:30:874,81208,81208,0,0,38458876991,417098820,78219,2467,522,369,392130,0 122,2,2024-09-07 08:19:31:370,62120,62120,0,0,5201165,0,3364 122,3,2024-09-07 08:19:30:604,1,47,5,1,226,1268,47,0 123,0,2024-09-07 08:19:31:030,8857,0.3,8548,0.6,17789,0.2,23200,1.75 123,1,2024-09-07 08:19:30:564,81749,81749,0,0,38729779950,420800433,78343,2839,567,370,391823,0 123,2,2024-09-07 08:19:31:049,57517,57516,1,0,4056295,0,5215 123,3,2024-09-07 08:19:31:146,1,47,13,1,160,829,47,0 124,0,2024-09-07 08:19:30:989,8365,0.3,8280,0.6,15845,0.2,21704,1.75 124,1,2024-09-07 08:19:31:029,82541,82541,0,0,38512804914,404904398,81756,644,141,369,392178,0 124,2,2024-09-07 08:19:31:022,56886,56886,0,0,3106298,0,2477 124,3,2024-09-07 08:19:30:783,1,47,12,2,490,1438,47,0 125,0,2024-09-07 08:19:31:459,14514,0.8,14477,0.9,29029,1.0,38785,2.00 125,1,2024-09-07 08:19:30:857,82076,82076,0,0,38825792748,413628269,80348,1456,272,384,391702,0 125,2,2024-09-07 08:19:31:169,59016,59016,0,0,3529678,0,2180 125,3,2024-09-07 08:19:31:155,1,47,1,1,93,897,47,0 126,0,2024-09-07 08:19:31:461,14043,1.8,14362,1.2,27547,2.6,37152,2.00 126,1,2024-09-07 08:19:30:552,82541,82541,0,0,39231481971,413185841,81505,984,52,368,391719,0 126,2,2024-09-07 08:19:30:617,63316,63316,0,0,3792860,0,3186 126,3,2024-09-07 08:19:30:908,1,47,6,0,122,808,47,0 127,0,2024-09-07 08:19:31:629,8553,0.3,8451,0.5,16838,0.2,21967,1.75 127,1,2024-09-07 08:19:30:570,82208,82208,0,0,38303400345,402792068,81102,1083,23,365,391614,0 127,2,2024-09-07 08:19:30:646,57298,57298,0,0,2981072,0,1803 127,3,2024-09-07 08:19:31:282,1,47,4,0,99,540,47,0 128,0,2024-09-07 08:19:31:538,8102,0.3,8173,0.5,15924,0.2,21205,1.75 128,1,2024-09-07 08:19:31:624,82456,82456,0,0,39113841606,409839018,81768,621,67,369,391605,0 128,2,2024-09-07 08:19:31:418,56587,56587,0,0,3650067,0,2107 128,3,2024-09-07 08:19:30:778,1,47,4,1,112,633,47,0 129,0,2024-09-07 08:19:31:060,13138,0.6,13312,0.7,26367,0.6,35569,2.00 129,1,2024-09-07 08:19:30:587,82157,82157,0,0,38781951234,413404149,80347,1538,272,379,391835,0 129,2,2024-09-07 08:19:30:724,59394,59394,0,0,3233174,0,2446 129,3,2024-09-07 08:19:30:708,1,47,2,1,173,852,47,0 130,0,2024-09-07 08:19:31:742,15794,1.8,15704,1.3,31455,2.4,42116,2.50 130,1,2024-09-07 08:19:30:593,82801,82801,0,0,38744106018,410602032,81501,1271,29,381,391520,0 130,2,2024-09-07 08:19:31:129,62029,62029,0,0,3951477,0,4067 130,3,2024-09-07 08:19:31:322,1,47,13,1,207,555,47,0 131,0,2024-09-07 08:19:31:985,10631,1.6,10462,1.3,21378,2.1,28365,2.00 131,1,2024-09-07 08:19:31:833,82654,82654,0,0,39177495653,413825296,81652,816,186,385,391865,0 131,2,2024-09-07 08:19:30:595,57626,57626,0,0,3132144,0,2415 131,3,2024-09-07 08:19:31:704,1,47,3,1,392,974,47,0 132,0,2024-09-07 08:19:31:482,10003,0.4,10313,0.7,20285,0.3,26841,2.00 132,1,2024-09-07 08:19:30:614,81408,81408,0,0,38423798053,414604067,78853,1851,704,382,391558,0 132,2,2024-09-07 08:19:30:706,57643,57643,0,0,5096624,0,4606 132,3,2024-09-07 08:19:31:700,1,47,9,1,356,912,47,0 133,0,2024-09-07 08:19:31:563,10929,0.9,11098,1.0,22973,1.0,29948,2.00 133,1,2024-09-07 08:19:30:597,81493,81493,0,0,38716104897,416412245,79383,2017,93,383,391755,0 133,2,2024-09-07 08:19:31:105,59608,59608,0,0,4057536,0,2444 133,3,2024-09-07 08:19:31:325,1,47,12,1,187,501,47,0 134,0,2024-09-07 08:19:31:133,17259,1.5,17173,1.3,34603,2.6,45813,2.50 134,1,2024-09-07 08:19:30:591,82118,82118,0,0,38921128834,418598272,79511,1627,980,366,391718,0 134,2,2024-09-07 08:19:31:762,59079,59079,0,0,3331736,0,2026 134,3,2024-09-07 08:19:30:752,1,47,4,1,739,1593,47,0 135,0,2024-09-07 08:19:31:141,11989,2.4,12068,2.0,25320,2.6,33059,2.75 135,1,2024-09-07 08:19:31:612,81560,81560,0,0,38914449596,415423845,79656,1579,325,383,391645,0 135,2,2024-09-07 08:19:30:703,61312,61312,0,0,3994267,0,3981 135,3,2024-09-07 08:19:31:010,1,47,2,0,68,416,47,0 136,0,2024-09-07 08:19:31:731,9164,0.5,9135,0.8,18244,0.3,24302,2.25 136,1,2024-09-07 08:19:31:460,82218,82218,0,0,38720662815,414124077,80334,1739,145,384,391518,0 136,2,2024-09-07 08:19:31:165,58460,58460,0,0,3625912,0,2379 136,3,2024-09-07 08:19:31:124,1,47,1,1,108,746,47,0 137,0,2024-09-07 08:19:31:043,9518,1.1,9218,1.1,18292,1.2,25072,2.25 137,1,2024-09-07 08:19:30:586,81897,81897,0,0,39238157541,418923035,79937,1847,113,368,391608,0 137,2,2024-09-07 08:19:31:722,57284,57284,0,0,4515718,0,2632 137,3,2024-09-07 08:19:30:779,1,47,11,1,227,809,47,0 138,0,2024-09-07 08:19:31:876,14323,3.4,14596,2.0,29381,4.2,39654,3.25 138,1,2024-09-07 08:19:31:702,81447,81447,0,0,38603319284,414133012,79136,2051,260,371,391615,0 138,2,2024-09-07 08:19:30:623,58980,58980,0,0,3804399,0,3263 138,3,2024-09-07 08:19:30:612,1,47,4,1,1160,1650,47,0 139,0,2024-09-07 08:19:31:446,12804,5.3,12770,3.0,25804,6.0,35318,4.25 139,1,2024-09-07 08:19:30:588,81592,81592,0,0,38194079473,417448928,78725,2141,726,381,391892,0 139,2,2024-09-07 08:19:30:707,62211,62211,0,0,4806333,0,3097 139,3,2024-09-07 08:19:31:683,1,47,7,1,244,1115,47,0 140,0,2024-09-07 08:19:31:605,8592,0.3,8397,0.6,17062,0.2,22583,1.75 140,1,2024-09-07 08:19:31:539,82967,82967,0,0,39657036984,415449972,82041,729,197,365,391483,0 140,2,2024-09-07 08:19:30:690,57307,57307,0,0,3926514,0,3388 140,3,2024-09-07 08:19:30:772,1,47,11,1,25,463,47,0 141,0,2024-09-07 08:19:31:725,7754,0.2,8015,0.5,15651,0.1,21351,1.50 141,1,2024-09-07 08:19:30:865,82777,82777,0,0,39304909768,415527133,81358,1088,331,382,391538,0 141,2,2024-09-07 08:19:31:702,57452,57452,0,0,3457202,0,2342 141,3,2024-09-07 08:19:31:053,1,47,0,0,53,330,47,0 142,0,2024-09-07 08:19:31:349,14312,0.5,14194,0.7,28097,0.5,37943,1.75 142,1,2024-09-07 08:19:30:595,82516,82516,0,0,38890620325,409482135,81972,529,15,384,391649,0 142,2,2024-09-07 08:19:31:319,59115,59115,0,0,3765794,0,2293 142,3,2024-09-07 08:19:31:747,1,47,2,1,484,1104,47,0 143,0,2024-09-07 08:19:31:415,14367,1.5,14368,1.2,28885,1.8,38137,2.25 143,1,2024-09-07 08:19:30:557,82478,82478,0,0,39064398932,410536242,81515,938,25,367,391608,0 143,2,2024-09-07 08:19:30:862,62271,62271,0,0,4179906,0,2669 143,3,2024-09-07 08:19:31:163,1,47,4,1,236,1009,47,0 144,0,2024-09-07 08:19:31:591,9439,2.8,9825,7.6,19642,2.4,26568,2.75 144,1,2024-09-07 08:19:30:570,81842,81842,0,0,38706907228,413561559,80287,1366,189,383,391638,0 144,2,2024-09-07 08:19:31:762,56997,56997,0,0,3395773,0,3473 144,3,2024-09-07 08:19:31:751,1,47,7,1,112,795,47,0 145,0,2024-09-07 08:19:31:463,8827,0.4,8766,0.7,18488,0.3,23477,2.00 145,1,2024-09-07 08:19:30:551,81110,81110,0,0,38870991183,415707008,79197,1652,261,383,391615,0 145,2,2024-09-07 08:19:31:464,57559,57559,0,0,4191628,0,3903 145,3,2024-09-07 08:19:30:911,1,47,2,0,151,810,47,0 146,0,2024-09-07 08:19:31:655,11853,1.7,11881,1.3,24014,2.6,32004,2.50 146,1,2024-09-07 08:19:31:594,82151,82151,0,0,38891236516,416947501,79886,1965,300,370,391600,0 146,2,2024-09-07 08:19:31:706,59373,59373,0,0,3967785,0,2379 146,3,2024-09-07 08:19:31:296,1,47,2,0,1520,2871,47,0 147,0,2024-09-07 08:19:31:737,16160,3.7,15892,2.1,31586,5.1,43470,3.50 147,1,2024-09-07 08:19:31:433,82366,82366,0,0,38791524975,410389019,80669,1344,353,369,391791,0 147,2,2024-09-07 08:19:31:048,61065,61065,0,0,3747116,0,2789 147,3,2024-09-07 08:19:30:924,1,47,14,1,141,706,47,0 0,0,2024-09-07 08:19:41:724,10129,1.3,10073,1.2,21328,1.8,27712,2.00 0,1,2024-09-07 08:19:40:806,84055,84055,0,0,39921456525,424850907,82852,1077,126,372,391673,0 0,2,2024-09-07 08:19:41:067,59897,59897,0,0,3848862,0,4480 0,3,2024-09-07 08:19:40:976,1,48,22,2,247,840,48,0 1,0,2024-09-07 08:19:41:772,16667,3.3,16714,2.1,33657,4.9,46151,3.25 1,1,2024-09-07 08:19:40:558,83576,83576,0,0,39387294945,420265431,81915,1136,525,372,391857,0 1,2,2024-09-07 08:19:40:639,59506,59506,0,0,3431064,0,3267 1,3,2024-09-07 08:19:41:304,1,48,9,1,167,583,48,0 2,0,2024-09-07 08:19:41:580,13087,2.6,13363,1.8,26292,4.7,35596,3.00 2,1,2024-09-07 08:19:40:866,83706,83706,0,0,39993163784,421824786,82635,868,203,382,391531,0 2,2,2024-09-07 08:19:41:279,62436,62436,0,0,3828036,0,3304 2,3,2024-09-07 08:19:40:694,1,48,2,0,214,633,48,0 3,0,2024-09-07 08:19:41:751,9000,0.4,8995,0.7,18134,0.3,23705,2.00 3,1,2024-09-07 08:19:41:622,83609,83609,0,0,39415885727,419005278,81667,1556,386,382,391514,0 3,2,2024-09-07 08:19:41:143,59161,59138,23,0,4095542,0,5851 3,3,2024-09-07 08:19:41:752,1,48,3,0,103,465,48,0 4,0,2024-09-07 08:19:41:771,8137,0.3,8437,0.6,16970,0.2,22437,1.75 4,1,2024-09-07 08:19:40:604,83839,83839,0,0,38753715901,415337957,82021,1402,416,372,391846,0 4,2,2024-09-07 08:19:41:021,58503,58503,0,0,4445373,0,4528 4,3,2024-09-07 08:19:41:037,1,48,12,1,287,958,48,0 5,0,2024-09-07 08:19:41:380,14954,1.0,14573,1.0,29792,1.3,39786,2.00 5,1,2024-09-07 08:19:40:758,83628,83628,0,0,39394482499,421778246,81456,1734,438,368,392005,0 5,2,2024-09-07 08:19:41:876,59715,59715,0,0,3538747,0,2259 5,3,2024-09-07 08:19:41:737,1,48,238,1,238,1280,48,0 6,0,2024-09-07 08:19:40:932,14139,2.5,13908,1.8,27775,3.8,37338,4.25 6,1,2024-09-07 08:19:40:746,84248,84248,0,0,39443450417,416014440,83169,1010,69,382,391603,0 6,2,2024-09-07 08:19:41:121,64524,64524,0,0,3893783,0,2411 6,3,2024-09-07 08:19:41:276,1,48,1,1,340,1347,48,0 7,0,2024-09-07 08:19:41:535,8371,0.4,8364,0.6,16913,0.2,22248,1.75 7,1,2024-09-07 08:19:40:850,83833,83833,0,0,40144048705,424070440,82855,914,64,383,391664,0 7,2,2024-09-07 08:19:40:777,58205,58205,0,0,3498344,0,2981 7,3,2024-09-07 08:19:40:851,1,48,7,0,83,504,48,0 8,0,2024-09-07 08:19:41:365,8397,0.3,8155,0.6,16195,0.2,21680,1.75 8,1,2024-09-07 08:19:41:016,83813,83813,0,0,39792800485,434473036,80355,2208,1250,368,391724,0 8,2,2024-09-07 08:19:40:798,57528,57528,0,0,4523680,0,2986 8,3,2024-09-07 08:19:40:585,1,48,16,0,229,885,48,0 9,0,2024-09-07 08:19:41:130,13617,0.5,13266,0.7,27762,0.4,36839,1.75 9,1,2024-09-07 08:19:40:554,83833,83833,0,0,39840748758,427438172,81563,1704,566,370,391559,0 9,2,2024-09-07 08:19:41:083,60716,60716,0,0,4167149,0,3360 9,3,2024-09-07 08:19:41:762,1,48,57,0,149,836,48,0 10,0,2024-09-07 08:19:41:607,15672,1.6,15757,1.3,31474,2.9,41772,3.50 10,1,2024-09-07 08:19:40:602,83226,83226,0,0,39608477565,429161747,79892,2812,522,383,391541,0 10,2,2024-09-07 08:19:40:766,62786,62786,0,0,4403894,0,2940 10,3,2024-09-07 08:19:40:872,1,48,2,0,136,482,48,0 11,0,2024-09-07 08:19:41:017,10377,3.4,10098,2.2,21177,5.7,28601,2.75 11,1,2024-09-07 08:19:40:582,83687,83687,0,0,39283753016,423251719,81050,1822,815,384,391537,0 11,2,2024-09-07 08:19:41:122,58501,58501,0,0,4092491,0,2635 11,3,2024-09-07 08:19:41:308,1,48,8,0,720,2151,48,0 12,0,2024-09-07 08:19:40:963,10386,0.3,10501,0.5,20799,0.2,27236,1.75 12,1,2024-09-07 08:19:40:945,83646,83646,0,0,39072609051,416689199,82019,1528,99,372,391790,0 12,2,2024-09-07 08:19:41:544,59583,59583,0,0,3692300,0,2254 12,3,2024-09-07 08:19:41:059,1,48,1,1,358,1259,48,0 13,0,2024-09-07 08:19:41:383,11507,0.5,11725,0.7,23310,0.6,31343,1.75 13,1,2024-09-07 08:19:41:533,83975,83975,0,0,39540609279,422983644,82400,1210,365,384,391710,0 13,2,2024-09-07 08:19:40:626,60903,60903,0,0,3436353,0,3287 13,3,2024-09-07 08:19:41:761,1,48,1,1,373,1062,48,0 14,0,2024-09-07 08:19:40:589,17318,1.4,17375,1.3,34425,1.6,45858,2.75 14,1,2024-09-07 08:19:41:563,84731,84731,0,0,39573338798,415647928,83854,847,30,365,391546,0 14,2,2024-09-07 08:19:40:766,60608,60608,0,0,4072761,0,2793 14,3,2024-09-07 08:19:41:118,1,48,8,1,906,1240,48,0 15,0,2024-09-07 08:19:41:561,12478,3.2,12332,2.0,24824,5.6,33717,3.75 15,1,2024-09-07 08:19:41:609,83958,83958,0,0,39353978693,414886926,83176,747,35,381,391536,0 15,2,2024-09-07 08:19:40:999,61798,61798,0,0,3026523,0,3043 15,3,2024-09-07 08:19:41:405,1,48,8,0,538,1759,48,0 16,0,2024-09-07 08:19:40:972,9330,0.4,9434,0.6,18676,0.2,24870,2.00 16,1,2024-09-07 08:19:40:573,83940,83940,0,0,39084063148,414885828,82834,1084,22,372,391543,0 16,2,2024-09-07 08:19:41:444,58572,58572,0,0,4111834,0,4719 16,3,2024-09-07 08:19:41:148,1,48,3,1,231,1148,48,0 17,0,2024-09-07 08:19:41:783,9919,0.7,9741,0.9,19168,0.8,26382,2.25 17,1,2024-09-07 08:19:40:569,83643,83643,0,0,39429566336,422989101,81850,1271,522,369,391688,0 17,2,2024-09-07 08:19:41:666,59858,59858,0,0,3144744,0,2857 17,3,2024-09-07 08:19:40:580,1,48,1,0,268,1282,48,0 18,0,2024-09-07 08:19:40:956,14953,0.8,15169,0.9,30547,0.9,40429,2.25 18,1,2024-09-07 08:19:41:638,83944,83944,0,0,39373880339,415903178,82696,1030,218,368,391526,0 18,2,2024-09-07 08:19:41:754,58909,58909,0,0,4007685,0,3541 18,3,2024-09-07 08:19:40:895,1,48,122,0,163,867,48,0 19,0,2024-09-07 08:19:41:547,13195,2.7,13280,1.9,26145,5.0,35360,5.75 19,1,2024-09-07 08:19:40:568,84083,84083,0,0,39672931773,421235963,82327,1594,162,367,391696,0 19,2,2024-09-07 08:19:41:751,63988,63988,0,0,3898157,0,3988 19,3,2024-09-07 08:19:41:129,1,48,3,0,524,879,48,0 20,0,2024-09-07 08:19:41:354,8773,0.3,8827,0.5,17280,0.2,22692,1.75 20,1,2024-09-07 08:19:40:568,83824,83824,0,0,40444779965,429507295,82147,1517,160,370,391598,0 20,2,2024-09-07 08:19:40:928,58381,58381,0,0,3320044,0,2446 20,3,2024-09-07 08:19:40:591,1,48,1,1,99,783,48,0 21,0,2024-09-07 08:19:41:150,8458,0.4,8407,0.6,16589,0.3,22032,2.00 21,1,2024-09-07 08:19:41:537,83292,83292,0,0,38629688937,415318897,81061,1743,488,368,391962,0 21,2,2024-09-07 08:19:41:073,58329,58329,0,0,3595921,0,3747 21,3,2024-09-07 08:19:41:406,1,48,1,0,93,756,48,0 22,0,2024-09-07 08:19:41:728,14298,0.9,14212,1.0,28375,0.9,38445,2.25 22,1,2024-09-07 08:19:41:025,83302,83302,0,0,38920223424,420069976,80361,2216,725,382,391667,0 22,2,2024-09-07 08:19:40:761,60316,60316,0,0,3351592,0,3134 22,3,2024-09-07 08:19:41:072,1,48,1,0,62,275,48,0 23,0,2024-09-07 08:19:41:378,14263,2.3,14497,1.4,28819,3.8,38391,3.00 23,1,2024-09-07 08:19:41:014,84486,84486,0,0,39840352785,419390369,83140,1092,254,368,391496,0 23,2,2024-09-07 08:19:41:096,64346,64346,0,0,3495917,0,2078 23,3,2024-09-07 08:19:41:757,1,48,1,0,645,1202,48,0 24,0,2024-09-07 08:19:40:850,10235,0.8,10323,0.9,20565,1.2,27166,1.75 24,1,2024-09-07 08:19:40:610,84424,84424,0,0,39472394223,416224461,83627,791,6,371,391640,0 24,2,2024-09-07 08:19:41:070,57927,57927,0,0,4586876,0,2942 24,3,2024-09-07 08:19:41:689,1,48,31,1,234,866,48,0 25,0,2024-09-07 08:19:41:363,9380,0.3,9182,0.5,17718,0.2,23621,1.75 25,1,2024-09-07 08:19:40:582,83508,83508,0,0,39454034483,423499512,81378,1819,311,373,391788,0 25,2,2024-09-07 08:19:41:609,58452,58452,0,0,4145891,0,3284 25,3,2024-09-07 08:19:41:007,1,48,2,1,158,535,48,0 26,0,2024-09-07 08:19:41:726,12190,0.6,11979,0.8,25196,0.7,33194,2.00 26,1,2024-09-07 08:19:41:541,83824,83824,0,0,39184236235,425160090,80364,2625,835,382,391542,0 26,2,2024-09-07 08:19:40:861,60935,60935,0,0,4345421,0,2809 26,3,2024-09-07 08:19:41:711,1,48,1,0,796,1179,48,0 27,0,2024-09-07 08:19:41:738,16252,1.6,16337,1.2,32747,2.1,43775,2.25 27,1,2024-09-07 08:19:41:679,84547,84547,0,0,39955662418,420642325,83481,771,295,381,391526,0 27,2,2024-09-07 08:19:40:871,61326,61326,0,0,5308064,0,3409 27,3,2024-09-07 08:19:41:015,1,48,1,0,564,983,48,0 28,0,2024-09-07 08:19:41:421,11804,0.9,11973,1.0,23775,1.2,31863,2.00 28,1,2024-09-07 08:19:40:799,84086,84086,0,0,40302061329,425941109,83030,856,200,383,391500,0 28,2,2024-09-07 08:19:41:763,59685,59685,0,0,3317163,0,2609 28,3,2024-09-07 08:19:41:776,1,48,8,1,502,1115,48,0 29,0,2024-09-07 08:19:41:381,10286,0.3,10073,0.6,19933,0.2,27186,1.75 29,1,2024-09-07 08:19:41:562,84807,84807,0,0,39893620936,416978426,84066,569,172,369,391621,0 29,2,2024-09-07 08:19:40:862,59824,59824,0,0,2870876,0,2026 29,3,2024-09-07 08:19:40:967,1,48,1,1,105,609,48,0 30,0,2024-09-07 08:19:41:463,10468,1.2,10178,1.0,20906,1.6,27670,2.75 30,1,2024-09-07 08:19:40:577,83871,83871,0,0,40310009757,425335493,82899,907,65,382,391524,0 30,2,2024-09-07 08:19:41:274,60398,60398,0,0,2911763,0,2534 30,3,2024-09-07 08:19:40:583,1,48,5,0,195,559,48,0 31,0,2024-09-07 08:19:41:767,17025,1.5,16853,1.2,34114,2.1,45856,3.50 31,1,2024-09-07 08:19:40:568,84438,84438,0,0,40636382447,420040770,84195,241,2,356,391553,0 31,2,2024-09-07 08:19:41:276,59927,59927,0,0,4296995,0,3525 31,3,2024-09-07 08:19:41:706,1,48,1,0,129,469,48,0 32,0,2024-09-07 08:19:41:428,13424,2.2,13540,1.4,27130,3.4,36232,2.00 32,1,2024-09-07 08:19:40:810,84029,84029,0,0,39526194356,416133577,83034,967,28,382,391595,0 32,2,2024-09-07 08:19:40:943,63659,63659,0,0,3592400,0,3155 32,3,2024-09-07 08:19:41:015,1,48,1,0,110,585,48,0 33,0,2024-09-07 08:19:41:499,9086,0.3,8981,0.5,18191,0.2,23641,1.75 33,1,2024-09-07 08:19:40:603,83920,83920,0,0,40314204017,425180628,82262,1535,123,369,391526,0 33,2,2024-09-07 08:19:40:761,58537,58504,33,0,4929588,0,7012 33,3,2024-09-07 08:19:40:895,1,48,23,1,51,269,48,0 34,0,2024-09-07 08:19:40:941,8396,0.3,8672,0.5,16624,0.2,22355,1.75 34,1,2024-09-07 08:19:41:044,84642,84642,0,0,40361243146,419523482,84204,435,3,368,391517,0 34,2,2024-09-07 08:19:40:766,58298,58298,0,0,3913103,0,3255 34,3,2024-09-07 08:19:41:688,1,48,9,0,148,607,48,0 35,0,2024-09-07 08:19:40:866,14649,1.2,14702,1.0,29537,1.7,39610,2.00 35,1,2024-09-07 08:19:41:067,83889,83889,0,0,39624495080,417540417,82424,1104,361,384,391587,0 35,2,2024-09-07 08:19:41:583,59709,59709,0,0,3467443,0,2542 35,3,2024-09-07 08:19:40:910,1,48,2,0,219,960,48,0 36,0,2024-09-07 08:19:41:526,14065,2.8,13849,1.8,28320,4.0,37443,3.00 36,1,2024-09-07 08:19:40:603,83490,83490,0,0,39753451825,426999319,80479,2080,931,366,391535,0 36,2,2024-09-07 08:19:41:757,64000,64000,0,0,4134780,0,3303 36,3,2024-09-07 08:19:40:866,1,48,13,0,378,998,48,0 37,0,2024-09-07 08:19:41:380,8547,0.3,8506,0.5,17028,0.2,22304,1.75 37,1,2024-09-07 08:19:40:570,83289,83282,0,7,39415862235,427139036,80445,1320,1517,365,391507,0 37,2,2024-09-07 08:19:41:147,58648,58648,0,0,3029001,0,2333 37,3,2024-09-07 08:19:41:766,1,48,6,0,724,1656,48,0 38,0,2024-09-07 08:19:41:443,8277,0.3,7976,0.6,16564,0.2,21711,1.75 38,1,2024-09-07 08:19:41:607,84047,84047,0,0,39600865562,422247176,81906,1694,447,370,391512,0 38,2,2024-09-07 08:19:40:761,58401,58401,0,0,3763785,0,3245 38,3,2024-09-07 08:19:40:997,1,48,1,0,603,994,48,0 39,0,2024-09-07 08:19:41:773,14096,0.6,13974,0.7,27023,0.7,37041,2.00 39,1,2024-09-07 08:19:40:719,84031,84031,0,0,39228235353,418824594,81473,1920,638,366,391524,0 39,2,2024-09-07 08:19:41:422,60581,60581,0,0,3487332,0,2381 39,3,2024-09-07 08:19:40:716,1,48,4,0,276,1093,48,0 40,0,2024-09-07 08:19:41:495,15385,2.7,15573,2.6,30699,5.1,41395,4.00 40,1,2024-09-07 08:19:40:584,83756,83756,0,0,39239467791,423169103,80507,2496,753,370,391591,0 40,2,2024-09-07 08:19:41:303,63068,63067,1,0,4679491,0,5137 40,3,2024-09-07 08:19:41:142,1,48,1,1,110,710,48,0 41,0,2024-09-07 08:19:41:032,10132,2.7,10367,7.2,19907,6.3,27495,4.00 41,1,2024-09-07 08:19:40:768,83705,83705,0,0,39436365560,422389221,81401,1992,312,370,391484,0 41,2,2024-09-07 08:19:40:761,58170,58170,0,0,4693196,0,3356 41,3,2024-09-07 08:19:41:676,1,48,2,1,168,608,48,0 42,0,2024-09-07 08:19:41:483,10241,0.4,10430,0.8,20611,0.3,27218,2.00 42,1,2024-09-07 08:19:41:439,83656,83656,0,0,39229181275,424536489,80665,2161,830,381,391511,0 42,2,2024-09-07 08:19:41:141,59366,59366,0,0,4118496,0,3568 42,3,2024-09-07 08:19:41:010,1,48,1,0,100,531,48,0 43,0,2024-09-07 08:19:40:937,11522,2.2,11274,1.5,23448,3.4,31392,2.25 43,1,2024-09-07 08:19:40:576,83776,83776,0,0,39818811051,424912608,81587,1437,752,367,391604,0 43,2,2024-09-07 08:19:41:738,60732,60732,0,0,4340358,0,3812 43,3,2024-09-07 08:19:41:751,1,48,2,1,292,1138,48,0 44,0,2024-09-07 08:19:40:865,17347,1.4,17339,1.3,34409,1.5,46323,2.25 44,1,2024-09-07 08:19:40:563,84195,84195,0,0,39420388658,413669191,83180,908,107,357,391505,0 44,2,2024-09-07 08:19:41:274,60541,60541,0,0,3091516,0,1865 44,3,2024-09-07 08:19:41:093,1,48,1,1,817,1283,48,0 45,0,2024-09-07 08:19:41:777,12355,3.6,12085,2.1,25402,5.8,34005,2.25 45,1,2024-09-07 08:19:41:007,84177,84177,0,0,40397264487,423592095,83711,465,1,382,391917,0 45,2,2024-09-07 08:19:41:277,61877,61877,0,0,3731553,0,2628 45,3,2024-09-07 08:19:40:949,1,48,6,1,226,719,48,0 46,0,2024-09-07 08:19:40:958,9417,0.3,9401,0.5,18910,0.2,24879,1.75 46,1,2024-09-07 08:19:40:576,84425,84425,0,0,39546944500,414167623,83595,794,36,368,391514,0 46,2,2024-09-07 08:19:40:604,59621,59621,0,0,3612708,0,2920 46,3,2024-09-07 08:19:41:131,1,48,1,0,315,1016,48,0 47,0,2024-09-07 08:19:41:113,9679,0.6,9805,0.7,19500,0.6,26270,1.75 47,1,2024-09-07 08:19:40:588,84523,84523,0,0,39244160579,409136045,84017,502,4,367,391489,0 47,2,2024-09-07 08:19:40:913,60082,60082,0,0,3605998,0,2558 47,3,2024-09-07 08:19:41:117,1,48,1,1,529,1031,48,0 48,0,2024-09-07 08:19:41:509,15351,0.4,15296,0.6,30207,0.4,40737,1.75 48,1,2024-09-07 08:19:41:026,84124,84124,0,0,39565533372,417316455,82929,1190,5,386,391637,0 48,2,2024-09-07 08:19:40:716,59890,59890,0,0,2812506,0,2083 48,3,2024-09-07 08:19:40:759,1,48,24,1,30,530,48,0 49,0,2024-09-07 08:19:41:751,13732,2.6,13561,1.6,26459,4.3,36776,2.25 49,1,2024-09-07 08:19:41:027,83922,83922,0,0,39681222895,422096959,82187,1026,709,382,391583,0 49,2,2024-09-07 08:19:41:802,64085,64085,0,0,4045027,0,3900 49,3,2024-09-07 08:19:41:421,1,48,1,0,274,711,48,0 50,0,2024-09-07 08:19:41:515,8816,0.3,8615,0.5,17336,0.2,23108,1.75 50,1,2024-09-07 08:19:41:011,84696,84696,0,0,39996757198,421934548,83030,1369,297,369,391530,0 50,2,2024-09-07 08:19:41:073,59078,59078,0,0,3030792,0,2253 50,3,2024-09-07 08:19:41:291,1,48,28,1,335,742,48,0 51,0,2024-09-07 08:19:41:694,8477,0.2,8308,0.4,16328,0.1,22237,1.50 51,1,2024-09-07 08:19:41:688,83768,83768,0,0,40440864494,427697269,81972,1014,782,367,391520,0 51,2,2024-09-07 08:19:41:326,58413,58413,0,0,2596053,0,2448 51,3,2024-09-07 08:19:41:027,1,48,3,0,162,441,48,0 52,0,2024-09-07 08:19:41:428,14478,1.2,14529,1.2,29178,1.5,38848,2.75 52,1,2024-09-07 08:19:40:583,83868,83868,0,0,39312199802,421891207,81377,2027,464,368,391513,0 52,2,2024-09-07 08:19:41:764,60102,60102,0,0,3809039,0,4779 52,3,2024-09-07 08:19:40:678,1,48,1,1,1782,2350,48,0 53,0,2024-09-07 08:19:41:740,14238,3.3,13749,2.0,28279,4.7,37649,3.50 53,1,2024-09-07 08:19:40:780,83718,83718,0,0,39855792548,427239810,81116,2028,574,370,391617,0 53,2,2024-09-07 08:19:41:304,64696,64696,0,0,3368156,0,1902 53,3,2024-09-07 08:19:40:697,1,48,9,1,59,319,48,0 54,0,2024-09-07 08:19:41:634,9407,10.0,9430,6.0,18810,2.6,26062,5.50 54,1,2024-09-07 08:19:40:585,83751,83751,0,0,39998547198,424923075,81643,1792,316,367,391520,0 54,2,2024-09-07 08:19:40:866,58597,58591,6,0,3936848,0,5382 54,3,2024-09-07 08:19:40:763,1,48,2,0,676,1417,48,0 55,0,2024-09-07 08:19:41:767,8826,0.4,9076,0.7,18483,0.3,23453,2.25 55,1,2024-09-07 08:19:40:770,83434,83434,0,0,39372972340,422126089,80009,2723,702,369,391731,0 55,2,2024-09-07 08:19:40:730,58656,58656,0,0,3780225,0,3275 55,3,2024-09-07 08:19:40:678,1,48,1,0,136,629,48,0 56,0,2024-09-07 08:19:41:564,12588,2.6,11895,1.8,24390,3.9,33109,3.00 56,1,2024-09-07 08:19:40:573,83482,83482,0,0,39739813240,432783690,80105,2631,746,384,391536,0 56,2,2024-09-07 08:19:41:304,61315,61315,0,0,4538045,0,3567 56,3,2024-09-07 08:19:41:062,1,48,1,1,297,833,48,0 57,0,2024-09-07 08:19:40:982,15942,4.3,15620,2.4,31597,6.3,42508,4.75 57,1,2024-09-07 08:19:40:997,83630,83630,0,0,38801860640,413133540,82163,1448,19,368,391760,0 57,2,2024-09-07 08:19:41:319,61955,61955,0,0,4513237,0,3178 57,3,2024-09-07 08:19:41:738,1,48,1,1,359,1379,48,0 58,0,2024-09-07 08:19:40:573,11102,1.1,10799,1.3,22564,1.0,29864,3.00 58,1,2024-09-07 08:19:40:577,84505,84503,0,2,39773415476,420968194,82908,1436,159,371,391516,2 58,2,2024-09-07 08:19:41:071,59929,59929,0,0,4255415,0,2549 58,3,2024-09-07 08:19:41:068,1,48,10,1,219,742,48,0 59,0,2024-09-07 08:19:41:748,10163,0.8,10098,1.0,20343,0.7,26836,2.50 59,1,2024-09-07 08:19:40:810,83444,83444,0,0,39274049709,422043704,81199,1478,767,370,391515,0 59,2,2024-09-07 08:19:40:583,59592,59592,0,0,3716510,0,2604 59,3,2024-09-07 08:19:41:737,1,48,2,0,1015,1595,48,0 60,0,2024-09-07 08:19:41:738,10363,0.9,10463,0.9,20831,1.1,27739,2.00 60,1,2024-09-07 08:19:40:781,85031,85031,0,0,39851203049,419929255,83964,723,344,370,391672,0 60,2,2024-09-07 08:19:41:160,60234,60234,0,0,3288382,0,2142 60,3,2024-09-07 08:19:41:258,1,48,26,0,124,753,48,0 61,0,2024-09-07 08:19:41:513,16813,3.1,16828,1.8,33526,4.6,45957,2.75 61,1,2024-09-07 08:19:40:782,83786,83786,0,0,39416747153,423325297,81331,1921,534,383,391589,0 61,2,2024-09-07 08:19:41:133,60341,60341,0,0,3331276,0,1846 61,3,2024-09-07 08:19:41:687,1,48,38,1,199,957,48,0 62,0,2024-09-07 08:19:41:722,13403,2.4,13829,1.5,26486,4.3,36154,2.50 62,1,2024-09-07 08:19:41:110,84593,84587,0,6,40157129644,421438357,83336,1214,37,366,391586,6 62,2,2024-09-07 08:19:41:651,62701,62701,0,0,4114950,0,2931 62,3,2024-09-07 08:19:41:150,1,48,1,0,287,595,48,0 63,0,2024-09-07 08:19:41:459,8988,0.5,8960,0.8,18027,0.3,23702,2.00 63,1,2024-09-07 08:19:40:811,84822,84818,0,4,39706843793,414399835,84421,396,1,382,391540,4 63,2,2024-09-07 08:19:40:780,59032,59032,0,0,3504571,0,2674 63,3,2024-09-07 08:19:41:731,1,48,1,0,667,1727,48,0 64,0,2024-09-07 08:19:41:529,8354,0.4,8341,0.7,16683,0.3,22354,2.00 64,1,2024-09-07 08:19:40:760,84024,84024,0,0,39409273907,422957139,81593,1638,793,371,391604,0 64,2,2024-09-07 08:19:41:148,59065,59046,19,0,3823282,0,6121 64,3,2024-09-07 08:19:41:147,1,48,1,1,163,631,48,0 65,0,2024-09-07 08:19:41:710,14443,3.1,14613,1.9,29015,4.6,39135,4.25 65,1,2024-09-07 08:19:40:881,83902,83902,0,0,39108998909,416725897,82201,1482,219,382,391506,0 65,2,2024-09-07 08:19:41:706,60078,60078,0,0,3886331,0,3367 65,3,2024-09-07 08:19:41:691,1,48,9,1,163,743,48,0 66,0,2024-09-07 08:19:41:782,13578,2.3,13680,1.6,27482,4.1,36808,3.75 66,1,2024-09-07 08:19:41:295,84999,84999,0,0,39767470987,416412375,84314,665,20,381,391537,0 66,2,2024-09-07 08:19:41:141,64851,64851,0,0,3835154,0,3867 66,3,2024-09-07 08:19:41:080,1,48,3,1,291,865,48,0 67,0,2024-09-07 08:19:41:445,8537,0.7,8603,0.9,16867,0.7,22198,2.50 67,1,2024-09-07 08:19:40:766,83765,83764,0,1,39096748335,417878191,81769,1470,525,382,391787,1 67,2,2024-09-07 08:19:40:583,58948,58948,0,0,3235801,0,2889 67,3,2024-09-07 08:19:41:751,1,48,1,0,138,565,48,0 68,0,2024-09-07 08:19:40:609,8229,0.4,8133,0.7,16476,0.3,21483,2.00 68,1,2024-09-07 08:19:40:587,83765,83765,0,0,39814060590,423422321,82374,869,522,383,391953,0 68,2,2024-09-07 08:19:41:044,58590,58590,0,0,3343860,0,4883 68,3,2024-09-07 08:19:40:730,1,48,1,1,63,529,48,0 69,0,2024-09-07 08:19:41:747,13581,1.8,13540,1.4,26927,3.2,36963,3.00 69,1,2024-09-07 08:19:41:018,83279,83279,0,0,39750011251,428780321,81345,1070,864,386,391530,0 69,2,2024-09-07 08:19:41:745,61115,61115,0,0,3854846,0,3153 69,3,2024-09-07 08:19:40:761,1,48,1,0,238,877,48,0 70,0,2024-09-07 08:19:41:539,15365,4.3,15352,3.2,30995,4.4,40969,5.50 70,1,2024-09-07 08:19:40:802,84340,84340,0,0,40209648839,421423122,83430,776,134,369,391527,0 70,2,2024-09-07 08:19:41:326,63162,63162,0,0,3981325,0,4044 70,3,2024-09-07 08:19:40:745,1,48,1,0,178,423,48,0 71,0,2024-09-07 08:19:41:378,10218,2.9,10188,5.3,20062,4.8,27726,4.75 71,1,2024-09-07 08:19:41:617,83627,83627,0,0,39769943315,423318289,81444,1868,315,368,391682,0 71,2,2024-09-07 08:19:41:067,58618,58618,0,0,3345347,0,2146 71,3,2024-09-07 08:19:41:751,1,48,18,0,174,477,48,0 72,0,2024-09-07 08:19:41:044,10624,0.4,10264,0.7,20414,0.3,27338,2.75 72,1,2024-09-07 08:19:41:043,84234,84234,0,0,40003807246,423126297,82696,1462,76,370,391521,0 72,2,2024-09-07 08:19:41:757,58661,58661,0,0,4891894,0,2570 72,3,2024-09-07 08:19:41:753,1,48,1,1,325,1184,48,0 73,0,2024-09-07 08:19:41:146,11324,0.7,11595,0.9,23529,0.7,31315,2.75 73,1,2024-09-07 08:19:40:766,84090,84090,0,0,39427372785,416113681,83134,913,43,368,391627,0 73,2,2024-09-07 08:19:41:740,61010,61010,0,0,4445686,0,3482 73,3,2024-09-07 08:19:40:979,1,48,2,0,274,1176,48,0 74,0,2024-09-07 08:19:41:338,17221,2.3,17604,1.6,33923,3.8,45913,4.00 74,1,2024-09-07 08:19:40:636,83894,83894,0,0,39268223703,418081651,82002,1320,572,382,391497,0 74,2,2024-09-07 08:19:41:013,60274,60274,0,0,4748179,0,4253 74,3,2024-09-07 08:19:41:442,1,48,91,0,246,1254,48,0 75,0,2024-09-07 08:19:41:801,12560,3.4,12586,2.0,24986,5.3,34199,3.50 75,1,2024-09-07 08:19:41:587,84282,84282,0,0,39547732950,421120187,82601,1577,104,382,391514,0 75,2,2024-09-07 08:19:41:350,61292,61292,0,0,4572301,0,4766 75,3,2024-09-07 08:19:41:067,1,48,7,0,143,366,48,0 76,0,2024-09-07 08:19:40:602,9408,0.4,9348,0.6,18608,0.2,24624,2.25 76,1,2024-09-07 08:19:40:814,83950,83950,0,0,39053631522,417430580,82147,1297,506,382,391530,0 76,2,2024-09-07 08:19:41:345,59492,59492,0,0,3532034,0,3064 76,3,2024-09-07 08:19:41:151,1,48,1,0,175,939,48,0 77,0,2024-09-07 08:19:41:759,9708,1.5,9701,1.2,19383,2.3,26339,2.25 77,1,2024-09-07 08:19:40:836,83894,83894,0,0,39558081395,417904103,82870,956,68,383,391516,0 77,2,2024-09-07 08:19:41:287,59759,59759,0,0,2987133,0,1695 77,3,2024-09-07 08:19:41:096,1,48,1,0,139,527,48,0 78,0,2024-09-07 08:19:41:727,15154,1.7,15039,1.4,30297,2.7,40335,2.50 78,1,2024-09-07 08:19:40:612,84619,84619,0,0,39471964850,418113586,83205,1210,204,368,391589,0 78,2,2024-09-07 08:19:41:405,59865,59865,0,0,3120709,0,2114 78,3,2024-09-07 08:19:41:140,1,48,2,0,181,915,48,0 79,0,2024-09-07 08:19:41:354,13017,2.0,13319,1.5,27235,3.9,35844,4.50 79,1,2024-09-07 08:19:40:571,84095,84095,0,0,39893493248,420801832,82761,1265,69,370,391540,0 79,2,2024-09-07 08:19:41:068,63821,63821,0,0,3629236,0,2679 79,3,2024-09-07 08:19:40:751,1,48,4,0,289,658,48,0 80,0,2024-09-07 08:19:41:098,8637,0.5,8875,0.7,17188,0.3,22981,2.00 80,1,2024-09-07 08:19:41:622,83598,83598,0,0,40134328442,423887919,82017,1466,115,369,391673,0 80,2,2024-09-07 08:19:41:093,58460,58460,0,0,3706465,0,4433 80,3,2024-09-07 08:19:40:583,1,48,8,1,148,938,48,0 81,0,2024-09-07 08:19:41:546,8362,0.5,8585,0.7,16391,0.3,22272,2.25 81,1,2024-09-07 08:19:41:661,83876,83876,0,0,38691059696,412491152,82021,1658,197,383,391646,0 81,2,2024-09-07 08:19:41:132,58156,58156,0,0,3869800,0,3993 81,3,2024-09-07 08:19:41:118,1,48,1,1,193,722,48,0 82,0,2024-09-07 08:19:41:543,14284,1.5,14358,1.3,28701,1.8,38869,2.25 82,1,2024-09-07 08:19:40:584,83638,83637,0,1,39305570737,418304975,81603,1462,572,383,391558,1 82,2,2024-09-07 08:19:41:691,61384,61384,0,0,2948117,0,2230 82,3,2024-09-07 08:19:41:755,1,48,1,0,211,900,48,0 83,0,2024-09-07 08:19:41:533,14305,2.4,14243,1.9,28150,2.7,37350,3.50 83,1,2024-09-07 08:19:40:554,84132,84132,0,0,39091252507,415049612,82728,1304,100,383,391553,0 83,2,2024-09-07 08:19:40:770,63341,63341,0,0,4019835,0,3119 83,3,2024-09-07 08:19:40:749,1,48,12,0,91,506,48,0 84,0,2024-09-07 08:19:41:845,9731,6.6,9637,6.3,19401,1.8,26618,3.75 84,1,2024-09-07 08:19:41:046,84004,84004,0,0,39714891361,422754254,82440,1318,246,369,391630,0 84,2,2024-09-07 08:19:40:573,58032,58032,0,0,3747738,0,3801 84,3,2024-09-07 08:19:41:157,1,48,12,1,43,549,48,0 85,0,2024-09-07 08:19:41:073,8859,0.4,8878,0.7,18758,0.3,23558,2.00 85,1,2024-09-07 08:19:40:575,83296,83296,0,0,39821181658,428702643,80865,2006,425,384,391626,0 85,2,2024-09-07 08:19:40:901,58460,58460,0,0,4105086,0,3656 85,3,2024-09-07 08:19:40:690,1,48,12,0,115,556,48,0 86,0,2024-09-07 08:19:41:028,12209,1.1,12680,1.0,24530,1.3,33134,2.00 86,1,2024-09-07 08:19:40:844,83662,83662,0,0,39748746181,422111676,81912,1537,213,367,391622,0 86,2,2024-09-07 08:19:40:856,61006,61005,1,0,4316758,0,5004 86,3,2024-09-07 08:19:40:600,1,48,2,0,199,754,48,0 87,0,2024-09-07 08:19:41:338,16116,3.5,16081,2.1,32429,4.5,43539,3.50 87,1,2024-09-07 08:19:40:551,83628,83628,0,0,39387262977,418949423,82068,1441,119,368,391671,0 87,2,2024-09-07 08:19:41:071,62325,62325,0,0,3333851,0,2859 87,3,2024-09-07 08:19:41:799,1,48,18,1,322,1012,48,0 88,0,2024-09-07 08:19:41:521,11847,1.2,11986,1.2,23682,1.4,31784,2.00 88,1,2024-09-07 08:19:40:571,83714,83714,0,0,39418623706,418432324,82522,1098,94,367,391747,0 88,2,2024-09-07 08:19:40:691,59955,59955,0,0,4475465,0,3583 88,3,2024-09-07 08:19:41:267,1,48,2,1,77,696,48,0 89,0,2024-09-07 08:19:41:777,10444,0.4,10117,0.6,20159,0.3,27189,1.75 89,1,2024-09-07 08:19:40:551,83061,83061,0,0,39563372252,430709932,79851,2477,733,383,391866,0 89,2,2024-09-07 08:19:41:182,59257,59257,0,0,4171496,0,2726 89,3,2024-09-07 08:19:41:791,1,48,2,0,325,1573,48,0 90,0,2024-09-07 08:19:41:676,10086,0.9,10279,0.9,21218,1.2,27779,2.00 90,1,2024-09-07 08:19:40:616,83790,83790,0,0,39234853530,421394883,81758,1893,139,382,391825,0 90,2,2024-09-07 08:19:41:414,59398,59398,0,0,4821217,0,2635 90,3,2024-09-07 08:19:40:970,1,48,5,1,200,851,48,0 91,0,2024-09-07 08:19:40:998,17250,0.8,16655,0.9,34668,0.9,46359,2.00 91,1,2024-09-07 08:19:40:560,83727,83727,0,0,39765264164,426595131,81394,1973,360,384,391914,0 91,2,2024-09-07 08:19:41:343,59072,59072,0,0,3835648,0,1997 91,3,2024-09-07 08:19:40:602,1,48,1,1,155,710,48,0 92,0,2024-09-07 08:19:41:466,13580,1.8,13956,1.3,26746,3.5,36284,2.00 92,1,2024-09-07 08:19:40:605,84203,84203,0,0,39912719731,420362294,83570,569,64,383,391717,0 92,2,2024-09-07 08:19:41:364,64191,64191,0,0,3855715,0,2279 92,3,2024-09-07 08:19:41:010,1,48,50,1,68,678,48,0 93,0,2024-09-07 08:19:41:008,8980,0.4,9156,0.6,17511,0.3,23638,1.75 93,1,2024-09-07 08:19:40:821,83749,83749,0,0,39939597234,425545419,82000,1315,434,367,391689,0 93,2,2024-09-07 08:19:40:931,59202,59202,0,0,3405517,0,2509 93,3,2024-09-07 08:19:41:406,1,48,3,1,143,569,48,0 94,0,2024-09-07 08:19:41:655,8462,0.3,8472,0.5,16723,0.2,22331,1.75 94,1,2024-09-07 08:19:40:564,83694,83694,0,0,39434613470,420649758,82213,1421,60,381,391850,0 94,2,2024-09-07 08:19:40:762,58475,58475,0,0,3365707,0,2443 94,3,2024-09-07 08:19:41:689,1,48,1,1,231,1046,48,0 95,0,2024-09-07 08:19:41:469,14700,0.5,14719,0.7,30085,0.5,39786,1.75 95,1,2024-09-07 08:19:40:858,84085,84085,0,0,39484325555,415842943,83309,712,64,368,391590,0 95,2,2024-09-07 08:19:41:017,60205,60205,0,0,3586762,0,3308 95,3,2024-09-07 08:19:41:710,1,48,110,0,307,1166,48,0 96,0,2024-09-07 08:19:41:038,14243,1.9,14086,1.3,28358,2.8,37233,2.25 96,1,2024-09-07 08:19:41:596,84102,84102,0,0,40005412133,427439311,82276,1257,569,385,391551,0 96,2,2024-09-07 08:19:41:284,64544,64544,0,0,4219337,0,4038 96,3,2024-09-07 08:19:41:150,1,48,2,2,36,576,48,0 97,0,2024-09-07 08:19:41:328,8558,0.5,8514,0.7,16903,0.6,22258,2.00 97,1,2024-09-07 08:19:40:805,83560,83560,0,0,39571826002,421383880,81388,1655,517,367,391626,0 97,2,2024-09-07 08:19:40:624,58071,58071,0,0,3313595,0,3036 97,3,2024-09-07 08:19:40:569,1,48,13,1,165,641,48,0 98,0,2024-09-07 08:19:41:795,8186,0.2,8106,0.4,16522,0.2,21661,1.50 98,1,2024-09-07 08:19:40:576,83862,83862,0,0,39559961270,418554764,82801,1016,45,382,391588,0 98,2,2024-09-07 08:19:40:781,59037,59037,0,0,3384100,0,3080 98,3,2024-09-07 08:19:40:712,1,48,10,1,155,628,48,0 99,0,2024-09-07 08:19:41:598,13609,0.4,13536,0.6,27300,0.4,36889,1.75 99,1,2024-09-07 08:19:41:726,84416,84416,0,0,39123834984,414734413,82947,1030,439,381,391744,0 99,2,2024-09-07 08:19:41:516,61677,61677,0,0,3540754,0,1858 99,3,2024-09-07 08:19:40:582,1,48,14,0,129,530,48,0 100,0,2024-09-07 08:19:41:474,15619,3.0,15605,3.3,31180,5.4,41789,3.00 100,1,2024-09-07 08:19:40:554,83374,83374,0,0,38683629582,419712943,80703,2328,343,382,391585,0 100,2,2024-09-07 08:19:41:819,62641,62630,11,0,4819062,0,5417 100,3,2024-09-07 08:19:41:731,1,48,9,1,443,1616,48,0 101,0,2024-09-07 08:19:41:721,10671,5.7,10421,3.2,20524,3.2,28688,3.50 101,1,2024-09-07 08:19:40:558,83569,83569,0,0,39492336175,420971404,82115,1005,449,370,391531,0 101,2,2024-09-07 08:19:41:758,58853,58853,0,0,3985364,0,4644 101,3,2024-09-07 08:19:40:949,1,48,14,1,448,870,48,0 102,0,2024-09-07 08:19:40:994,10095,0.5,10420,0.6,20997,0.3,27169,2.00 102,1,2024-09-07 08:19:41:172,83832,83832,0,0,39630816015,424468365,81660,1727,445,369,391647,0 102,2,2024-09-07 08:19:41:746,59418,59418,0,0,3104502,0,1945 102,3,2024-09-07 08:19:41:616,1,48,3,0,410,752,48,0 103,0,2024-09-07 08:19:41:641,11869,0.8,11862,0.9,22458,1.0,31525,2.00 103,1,2024-09-07 08:19:41:629,83989,83989,0,0,39456445118,418645286,82803,1045,141,381,391680,0 103,2,2024-09-07 08:19:40:612,60928,60928,0,0,3493387,0,2104 103,3,2024-09-07 08:19:40:787,1,48,16,1,486,973,48,0 104,0,2024-09-07 08:19:41:028,17214,2.9,17259,1.7,33368,4.3,45926,3.25 104,1,2024-09-07 08:19:41:606,84338,84338,0,0,39612273282,424780157,82446,1567,325,368,391948,0 104,2,2024-09-07 08:19:41:672,59999,59999,0,0,4299666,0,3941 104,3,2024-09-07 08:19:41:427,1,48,9,2,1245,3480,48,0 105,0,2024-09-07 08:19:41:060,12424,2.7,11962,2.0,24776,5.2,33797,4.00 105,1,2024-09-07 08:19:40:568,83522,83522,0,0,39294856328,424440221,80597,2180,745,367,391797,0 105,2,2024-09-07 08:19:41:346,61323,61323,0,0,4001314,0,3314 105,3,2024-09-07 08:19:41:327,1,48,1,1,182,1090,48,0 106,0,2024-09-07 08:19:40:968,9146,0.4,9336,0.7,18915,0.2,24785,1.75 106,1,2024-09-07 08:19:41:766,84134,84134,0,0,39374342178,422731057,81745,2064,325,371,391767,0 106,2,2024-09-07 08:19:40:770,59153,59153,0,0,4074551,0,2795 106,3,2024-09-07 08:19:40:681,1,48,1,1,201,733,48,0 107,0,2024-09-07 08:19:41:172,9769,0.8,9734,0.9,19343,1.0,26220,2.00 107,1,2024-09-07 08:19:40:636,83603,83603,0,0,38978385931,418218970,81827,1606,170,382,392234,0 107,2,2024-09-07 08:19:41:292,59447,59446,1,0,3887544,0,5024 107,3,2024-09-07 08:19:41:786,1,48,2,0,353,1131,48,0 108,0,2024-09-07 08:19:41:808,15154,0.9,15366,0.9,30432,1.3,40593,1.75 108,1,2024-09-07 08:19:41:294,83735,83735,0,0,39950823332,424083922,82319,1284,132,371,391857,0 108,2,2024-09-07 08:19:41:757,59831,59831,0,0,3720459,0,2647 108,3,2024-09-07 08:19:41:329,1,48,1,1,60,684,48,0 109,0,2024-09-07 08:19:41:794,13660,1.4,13743,1.1,26901,2.4,36908,2.00 109,1,2024-09-07 08:19:40:611,83639,83639,0,0,39956127523,427495550,82044,1213,382,385,391664,0 109,2,2024-09-07 08:19:40:943,63239,63239,0,0,4199672,0,3617 109,3,2024-09-07 08:19:41:146,1,48,5,1,249,1013,48,0 110,0,2024-09-07 08:19:41:785,8728,0.3,8534,0.5,17845,0.2,23010,1.75 110,1,2024-09-07 08:19:41:658,83876,83876,0,0,39544022868,417547933,82570,1023,283,370,391588,0 110,2,2024-09-07 08:19:41:309,59187,59187,0,0,3267205,0,2915 110,3,2024-09-07 08:19:40:696,1,48,5,0,183,627,48,0 111,0,2024-09-07 08:19:41:452,8211,0.2,8343,0.4,16352,0.1,22161,1.50 111,1,2024-09-07 08:19:40:999,84687,84687,0,0,40278284238,420724817,84050,624,13,382,391690,0 111,2,2024-09-07 08:19:41:123,58494,58494,0,0,3552561,0,2763 111,3,2024-09-07 08:19:40:923,1,48,1,0,119,1009,48,0 112,0,2024-09-07 08:19:40:922,14536,0.6,14628,0.7,28980,0.5,39166,1.75 112,1,2024-09-07 08:19:40:824,84053,84053,0,0,39659048613,420589914,82546,1161,346,382,391522,0 112,2,2024-09-07 08:19:41:138,60724,60723,1,0,3930165,0,5036 112,3,2024-09-07 08:19:40:592,1,48,1,1,282,680,48,0 113,0,2024-09-07 08:19:40:883,14583,0.9,14346,0.9,29173,1.0,38625,1.75 113,1,2024-09-07 08:19:41:690,84140,84140,0,0,40111387262,423851159,82253,1504,383,368,391661,0 113,2,2024-09-07 08:19:41:311,64158,64158,0,0,3261052,0,3021 113,3,2024-09-07 08:19:40:692,1,48,6,1,166,777,48,0 114,0,2024-09-07 08:19:40:886,10104,4.8,10219,3.4,20031,1.9,27065,2.25 114,1,2024-09-07 08:19:40:719,83836,83836,0,0,39768463745,426893991,81230,1725,881,381,391513,0 114,2,2024-09-07 08:19:40:878,58200,58200,0,0,3705249,0,3925 114,3,2024-09-07 08:19:41:278,1,48,2,1,159,687,48,0 115,0,2024-09-07 08:19:40:570,9077,0.2,9157,0.4,18302,0.1,23696,1.50 115,1,2024-09-07 08:19:40:572,84053,84053,0,0,39612357326,422408050,81682,1884,487,384,391506,0 115,2,2024-09-07 08:19:41:127,59375,59375,0,0,3033937,0,2152 115,3,2024-09-07 08:19:41:007,1,48,1,0,159,435,48,0 116,0,2024-09-07 08:19:41:725,12324,1.7,12205,1.3,24513,2.8,33131,2.25 116,1,2024-09-07 08:19:40:817,83141,83141,0,0,39246893007,423567204,81284,989,868,382,391573,0 116,2,2024-09-07 08:19:41:757,60716,60716,0,0,3959447,0,3529 116,3,2024-09-07 08:19:40:930,1,48,5,1,252,1140,48,0 117,0,2024-09-07 08:19:40:999,16281,3.0,16381,1.8,32685,4.1,43708,2.25 117,1,2024-09-07 08:19:41:613,84242,84242,0,0,39025015715,418130485,82178,1776,288,371,392033,0 117,2,2024-09-07 08:19:41:129,62362,62362,0,0,3620434,0,3700 117,3,2024-09-07 08:19:41:059,1,48,1,1,490,1585,48,0 118,0,2024-09-07 08:19:41:802,11462,1.2,11706,1.1,23984,1.6,31689,2.25 118,1,2024-09-07 08:19:40:588,83928,83928,0,0,39715148860,421934947,82720,1132,76,368,391736,0 118,2,2024-09-07 08:19:41:587,60169,60169,0,0,3868344,0,2781 118,3,2024-09-07 08:19:41:769,1,48,5,1,235,997,48,0 119,0,2024-09-07 08:19:41:364,9944,0.3,9992,0.6,20525,0.2,27125,1.75 119,1,2024-09-07 08:19:40:569,84026,84026,0,0,39902827308,424391516,82430,1448,148,371,391617,0 119,2,2024-09-07 08:19:41:277,59099,59099,0,0,3477901,0,2532 119,3,2024-09-07 08:19:41:337,1,48,2,1,443,1917,48,0 120,0,2024-09-07 08:19:41:569,10324,2.5,10239,1.6,20483,3.7,27684,2.75 120,1,2024-09-07 08:19:40:940,83902,83902,0,0,39144580367,419050577,82413,1474,15,368,391702,0 120,2,2024-09-07 08:19:40:782,59808,59807,1,0,4737092,0,5281 120,3,2024-09-07 08:19:41:296,1,48,5,1,241,911,48,0 121,0,2024-09-07 08:19:41:782,16792,2.9,16895,1.7,33906,4.2,46379,2.75 121,1,2024-09-07 08:19:41:656,83776,83776,0,0,39223541391,418873489,81927,1585,264,369,391807,0 121,2,2024-09-07 08:19:41:142,59069,59069,0,0,5077727,0,4127 121,3,2024-09-07 08:19:40:748,1,48,34,0,101,561,48,0 122,0,2024-09-07 08:19:41:771,13330,3.0,12867,2.5,26780,3.3,36466,2.75 122,1,2024-09-07 08:19:40:873,83021,83021,0,0,39293916835,425692721,80032,2467,522,368,392130,0 122,2,2024-09-07 08:19:41:321,63040,63040,0,0,5229551,0,3364 122,3,2024-09-07 08:19:40:615,1,48,5,1,226,1273,48,0 123,0,2024-09-07 08:19:40:975,8995,0.3,8691,0.6,18141,0.2,23596,1.75 123,1,2024-09-07 08:19:40:619,83601,83601,0,0,39190189394,425817415,80194,2840,567,370,391823,0 123,2,2024-09-07 08:19:41:026,58987,58986,1,0,4097018,0,5215 123,3,2024-09-07 08:19:41:141,1,48,4,1,160,833,48,0 124,0,2024-09-07 08:19:40:996,8622,0.3,8523,0.6,16328,0.2,22342,1.75 124,1,2024-09-07 08:19:41:024,84259,84259,0,0,39157254421,411595683,83474,644,141,369,392178,0 124,2,2024-09-07 08:19:41:012,58270,58270,0,0,3156348,0,2477 124,3,2024-09-07 08:19:40:759,1,48,5,2,490,1443,48,0 125,0,2024-09-07 08:19:41:460,14884,0.8,14884,0.9,29841,1.0,39777,2.00 125,1,2024-09-07 08:19:40:863,83814,83814,0,0,39621360383,422006524,82086,1456,272,384,391702,0 125,2,2024-09-07 08:19:41:121,60216,60216,0,0,3571930,0,2180 125,3,2024-09-07 08:19:41:132,1,48,1,1,93,898,48,0 126,0,2024-09-07 08:19:41:451,14210,1.8,14528,1.2,27890,2.6,37677,2.00 126,1,2024-09-07 08:19:40:555,84363,84363,0,0,39821311632,419321522,83327,984,52,368,391719,0 126,2,2024-09-07 08:19:40:621,64512,64512,0,0,3841446,0,3186 126,3,2024-09-07 08:19:40:919,1,48,7,0,122,815,48,0 127,0,2024-09-07 08:19:41:629,8640,0.3,8563,0.5,17018,0.2,22227,1.75 127,1,2024-09-07 08:19:40:577,83941,83941,0,0,39166197691,411734602,82835,1083,23,365,391614,0 127,2,2024-09-07 08:19:40:637,58529,58529,0,0,3015209,0,1803 127,3,2024-09-07 08:19:41:272,1,48,9,0,99,549,48,0 128,0,2024-09-07 08:19:41:543,8219,0.3,8284,0.5,16183,0.2,21632,1.75 128,1,2024-09-07 08:19:41:612,84220,84220,0,0,39847000106,417314098,83530,622,68,369,391605,0 128,2,2024-09-07 08:19:41:384,58017,58017,0,0,3674081,0,2107 128,3,2024-09-07 08:19:40:771,1,48,2,1,112,635,48,0 129,0,2024-09-07 08:19:41:029,13623,0.6,13820,0.7,27291,0.6,36846,1.75 129,1,2024-09-07 08:19:40:675,83939,83939,0,0,39642222910,422189972,82129,1538,272,379,391835,0 129,2,2024-09-07 08:19:40:692,60789,60789,0,0,3269023,0,2446 129,3,2024-09-07 08:19:40:699,1,48,2,1,173,854,48,0 130,0,2024-09-07 08:19:41:727,15906,1.8,15809,1.3,31644,2.4,42416,2.50 130,1,2024-09-07 08:19:40:605,84500,84500,0,0,39548163031,418860165,83199,1272,29,381,391520,0 130,2,2024-09-07 08:19:41:131,63345,63345,0,0,3971294,0,4067 130,3,2024-09-07 08:19:41:292,1,48,2,1,207,557,48,0 131,0,2024-09-07 08:19:41:946,10725,1.5,10557,1.3,21589,2.1,28634,2.00 131,1,2024-09-07 08:19:41:820,84512,84512,0,0,39806843521,420332809,83510,816,186,385,391865,0 131,2,2024-09-07 08:19:40:572,58612,58612,0,0,3150945,0,2415 131,3,2024-09-07 08:19:41:688,1,48,0,0,392,974,48,0 132,0,2024-09-07 08:19:41:536,10194,0.4,10520,0.7,20651,0.3,27302,1.75 132,1,2024-09-07 08:19:40:591,83191,83191,0,0,39267433674,423215522,80635,1852,704,382,391558,0 132,2,2024-09-07 08:19:40:711,58995,58995,0,0,5114013,0,4606 132,3,2024-09-07 08:19:41:695,1,48,1,1,356,913,48,0 133,0,2024-09-07 08:19:41:527,11395,0.8,11507,0.9,23925,0.9,31087,2.00 133,1,2024-09-07 08:19:40:587,83268,83268,0,0,39539319263,424844528,81158,2017,93,383,391755,0 133,2,2024-09-07 08:19:41:090,61043,61043,0,0,4080366,0,2444 133,3,2024-09-07 08:19:41:300,1,48,2,1,187,503,48,0 134,0,2024-09-07 08:19:40:972,17385,1.5,17319,1.3,34835,2.6,46115,2.50 134,1,2024-09-07 08:19:40:614,83947,83947,0,0,39693583694,426518159,81339,1628,980,366,391718,0 134,2,2024-09-07 08:19:41:757,60590,60590,0,0,3348440,0,2026 134,3,2024-09-07 08:19:40:749,1,48,19,1,739,1612,48,0 135,0,2024-09-07 08:19:41:127,12264,2.3,12332,2.0,25928,2.6,33779,2.50 135,1,2024-09-07 08:19:41:589,83371,83371,0,0,39846304427,424933594,81467,1579,325,383,391645,0 135,2,2024-09-07 08:19:40:687,62047,62047,0,0,4005384,0,3981 135,3,2024-09-07 08:19:41:006,1,48,1,0,68,417,48,0 136,0,2024-09-07 08:19:41:683,9389,0.4,9372,0.8,18666,0.3,24919,2.25 136,1,2024-09-07 08:19:41:442,84037,84037,0,0,39569883582,423002777,82153,1739,145,384,391518,0 136,2,2024-09-07 08:19:41:143,59982,59982,0,0,3657315,0,2379 136,3,2024-09-07 08:19:41:116,1,48,1,1,108,747,48,0 137,0,2024-09-07 08:19:40:951,9978,1.4,9721,1.2,19226,1.6,26340,2.25 137,1,2024-09-07 08:19:40:588,83773,83773,0,0,39931945744,426241313,81812,1848,113,368,391608,0 137,2,2024-09-07 08:19:41:726,58764,58764,0,0,4545547,0,2632 137,3,2024-09-07 08:19:40:769,1,48,19,1,227,828,48,0 138,0,2024-09-07 08:19:41:764,14606,3.4,14909,2.0,29961,4.2,40444,3.25 138,1,2024-09-07 08:19:41:696,83258,83258,0,0,39334916230,421679570,80947,2051,260,371,391615,0 138,2,2024-09-07 08:19:40:588,60052,60052,0,0,3839743,0,3263 138,3,2024-09-07 08:19:40:615,1,48,1,1,1160,1651,48,0 139,0,2024-09-07 08:19:41:450,13129,5.3,13110,3.0,26446,6.0,36198,4.25 139,1,2024-09-07 08:19:40:629,83298,83298,0,0,39008249895,426029654,80425,2146,727,381,391892,0 139,2,2024-09-07 08:19:40:705,63264,63264,0,0,4856429,0,3097 139,3,2024-09-07 08:19:41:663,1,48,62,1,244,1177,48,0 140,0,2024-09-07 08:19:41:596,8757,0.3,8572,0.6,17413,0.2,23093,1.75 140,1,2024-09-07 08:19:41:536,84792,84792,0,0,40421480452,423269036,83866,729,197,365,391483,0 140,2,2024-09-07 08:19:40:692,58817,58817,0,0,3972130,0,3388 140,3,2024-09-07 08:19:40:770,1,48,1,1,25,464,48,0 141,0,2024-09-07 08:19:41:706,8079,0.2,8375,0.5,16343,0.2,22256,1.50 141,1,2024-09-07 08:19:40:866,84623,84623,0,0,39953410975,422199676,83204,1088,331,382,391538,0 141,2,2024-09-07 08:19:41:686,58816,58816,0,0,3525656,0,2342 141,3,2024-09-07 08:19:41:045,1,48,1,0,53,331,48,0 142,0,2024-09-07 08:19:41:338,14793,0.5,14634,0.7,28961,0.5,39080,1.75 142,1,2024-09-07 08:19:40:598,84314,84314,0,0,39571511846,416618079,83770,529,15,384,391649,0 142,2,2024-09-07 08:19:41:301,60248,60248,0,0,3804980,0,2293 142,3,2024-09-07 08:19:41:746,1,48,1,1,484,1105,48,0 143,0,2024-09-07 08:19:41:424,14486,1.4,14497,1.2,29129,1.8,38470,2.25 143,1,2024-09-07 08:19:40:564,84253,84253,0,0,39728396079,417395620,83290,938,25,367,391608,0 143,2,2024-09-07 08:19:40:791,63586,63586,0,0,4223701,0,2669 143,3,2024-09-07 08:19:41:142,1,48,2,1,236,1011,48,0 144,0,2024-09-07 08:19:41:508,9564,2.7,9952,7.6,19889,2.4,26910,2.50 144,1,2024-09-07 08:19:40:569,83585,83585,0,0,39373973663,420470634,82030,1366,189,383,391638,0 144,2,2024-09-07 08:19:41:755,58273,58273,0,0,3417696,0,3473 144,3,2024-09-07 08:19:41:739,1,48,1,1,112,796,48,0 145,0,2024-09-07 08:19:41:441,8835,0.4,8770,0.7,18506,0.3,23509,2.00 145,1,2024-09-07 08:19:40:554,83028,83028,0,0,39666026674,424085619,81114,1653,261,383,391615,0 145,2,2024-09-07 08:19:41:445,58800,58800,0,0,4208355,0,3903 145,3,2024-09-07 08:19:40:897,1,48,3,0,151,813,48,0 146,0,2024-09-07 08:19:41:662,12233,1.6,12232,1.3,24742,2.5,32941,2.25 146,1,2024-09-07 08:19:41:587,83941,83941,0,0,39569654786,424256731,81656,1985,300,370,391600,0 146,2,2024-09-07 08:19:41:703,60692,60692,0,0,3996878,0,2379 146,3,2024-09-07 08:19:41:275,1,48,1,0,1520,2872,48,0 147,0,2024-09-07 08:19:41:705,16257,3.7,15979,2.1,31775,5.1,43719,3.50 147,1,2024-09-07 08:19:41:385,84132,84132,0,0,39437101671,417071202,82435,1344,353,369,391791,0 147,2,2024-09-07 08:19:41:011,62566,62566,0,0,3829150,0,2789 147,3,2024-09-07 08:19:40:918,1,48,2,1,141,708,48,0 0,0,2024-09-07 08:19:51:751,10452,1.3,10431,1.1,22072,1.7,28832,2.00 0,1,2024-09-07 08:19:50:820,85840,85840,0,0,40642299872,432552725,84636,1078,126,372,391673,0 0,2,2024-09-07 08:19:51:075,61366,61366,0,0,3882143,0,4480 0,3,2024-09-07 08:19:50:977,1,49,13,2,247,853,49,0 1,0,2024-09-07 08:19:51:948,17007,3.4,17074,2.1,34314,4.9,46453,3.25 1,1,2024-09-07 08:19:50:590,85365,85365,0,0,40117512730,427757771,83704,1136,525,372,391857,0 1,2,2024-09-07 08:19:50:658,60975,60975,0,0,3508265,0,3267 1,3,2024-09-07 08:19:51:311,1,49,10,1,167,593,49,0 2,0,2024-09-07 08:19:51:664,13384,2.5,13650,1.8,26904,4.6,36351,3.00 2,1,2024-09-07 08:19:50:871,85328,85328,0,0,40875398715,430830854,84257,868,203,382,391558,0 2,2,2024-09-07 08:19:51:281,63242,63242,0,0,3843082,0,3304 2,3,2024-09-07 08:19:50:694,1,49,3,0,214,636,49,0 3,0,2024-09-07 08:19:51:753,9194,0.4,9195,0.7,18494,0.3,24273,2.00 3,1,2024-09-07 08:19:51:631,85200,85200,0,0,40187200644,426943069,83258,1556,386,382,391514,0 3,2,2024-09-07 08:19:51:159,60582,60559,23,0,4155257,0,5851 3,3,2024-09-07 08:19:51:752,1,49,4,0,103,469,49,0 4,0,2024-09-07 08:19:51:846,8499,0.3,8785,0.5,17684,0.2,23682,1.75 4,1,2024-09-07 08:19:50:618,85697,85697,0,0,39790550421,425973326,83879,1402,416,372,391846,0 4,2,2024-09-07 08:19:51:041,59956,59956,0,0,4468364,0,4528 4,3,2024-09-07 08:19:51:040,1,49,2,1,287,960,49,0 5,0,2024-09-07 08:19:51:401,15295,1.0,14904,0.9,30447,1.3,40490,2.00 5,1,2024-09-07 08:19:50:783,85361,85361,0,0,40196705136,430010151,83189,1734,438,368,392005,0 5,2,2024-09-07 08:19:51:830,60867,60867,0,0,3560621,0,2259 5,3,2024-09-07 08:19:51:735,1,49,4,1,238,1284,49,0 6,0,2024-09-07 08:19:50:975,14370,2.5,14144,1.8,28292,3.5,38227,4.00 6,1,2024-09-07 08:19:50:746,86033,86033,0,0,40101941542,422766631,84953,1011,69,382,391603,0 6,2,2024-09-07 08:19:51:126,65612,65612,0,0,3903721,0,2411 6,3,2024-09-07 08:19:51:279,1,49,2,1,340,1349,49,0 7,0,2024-09-07 08:19:51:549,8497,0.3,8486,0.6,17183,0.2,22716,1.75 7,1,2024-09-07 08:19:50:856,85639,85639,0,0,40879289340,431594279,84661,914,64,383,391664,0 7,2,2024-09-07 08:19:50:778,59633,59633,0,0,3508972,0,2981 7,3,2024-09-07 08:19:50:860,1,49,1,0,83,505,49,0 8,0,2024-09-07 08:19:51:451,8618,0.3,8401,0.6,16646,0.2,22575,1.75 8,1,2024-09-07 08:19:51:029,85554,85554,0,0,40486568102,441632804,82096,2208,1250,368,391724,0 8,2,2024-09-07 08:19:50:809,58836,58836,0,0,4553184,0,2986 8,3,2024-09-07 08:19:50:605,1,49,32,0,229,917,49,0 9,0,2024-09-07 08:19:51:194,14060,0.5,13719,0.7,28752,0.4,37971,1.75 9,1,2024-09-07 08:19:50:594,85630,85630,0,0,40704868657,436257506,83359,1705,566,370,391559,0 9,2,2024-09-07 08:19:51:127,61902,61902,0,0,4187515,0,3360 9,3,2024-09-07 08:19:51:755,1,49,13,0,149,849,49,0 10,0,2024-09-07 08:19:51:622,15778,1.6,15883,1.2,31694,2.9,42099,3.50 10,1,2024-09-07 08:19:50:584,84937,84937,0,0,40517270087,438392813,81603,2812,522,383,391541,0 10,2,2024-09-07 08:19:50:763,64187,64187,0,0,4435290,0,2940 10,3,2024-09-07 08:19:50:885,1,49,16,0,136,498,49,0 11,0,2024-09-07 08:19:51:018,10495,3.4,10197,2.2,21391,5.7,28933,2.75 11,1,2024-09-07 08:19:50:584,85458,85458,0,0,40010593897,430688704,82821,1822,815,384,391537,0 11,2,2024-09-07 08:19:51:129,59646,59646,0,0,4108628,0,2635 11,3,2024-09-07 08:19:51:313,1,49,2,0,720,2153,49,0 12,0,2024-09-07 08:19:51:014,10500,0.3,10601,0.5,21025,0.2,27240,1.75 12,1,2024-09-07 08:19:50:955,85416,85416,0,0,39732217396,423517112,83789,1528,99,372,391790,0 12,2,2024-09-07 08:19:51:579,60855,60855,0,0,3730933,0,2254 12,3,2024-09-07 08:19:51:074,1,49,2,1,358,1261,49,0 13,0,2024-09-07 08:19:51:387,11906,0.5,12124,0.7,24087,0.6,32309,1.75 13,1,2024-09-07 08:19:51:562,85784,85784,0,0,40289686086,430721876,84208,1210,366,384,391710,0 13,2,2024-09-07 08:19:50:607,62279,62279,0,0,3480872,0,3287 13,3,2024-09-07 08:19:51:765,1,49,7,1,373,1069,49,0 14,0,2024-09-07 08:19:50:588,17424,1.4,17487,1.3,34612,1.6,46090,2.75 14,1,2024-09-07 08:19:51:563,86552,86552,0,0,40430814727,424394424,85675,847,30,365,391546,0 14,2,2024-09-07 08:19:50:771,61996,61996,0,0,4100795,0,2793 14,3,2024-09-07 08:19:51:122,1,49,3,1,906,1243,49,0 15,0,2024-09-07 08:19:51:581,12740,3.1,12582,1.9,25322,5.4,34296,3.50 15,1,2024-09-07 08:19:51:611,85644,85644,0,0,39954734518,421089460,84862,747,35,381,391536,0 15,2,2024-09-07 08:19:51:025,62467,62467,0,0,3053811,0,3043 15,3,2024-09-07 08:19:51:412,1,49,2,0,538,1761,49,0 16,0,2024-09-07 08:19:51:000,9581,0.4,9691,0.6,19199,0.2,25768,2.00 16,1,2024-09-07 08:19:50:613,85724,85724,0,0,39963566860,423940024,84618,1084,22,372,391543,0 16,2,2024-09-07 08:19:51:466,60201,60201,0,0,4236491,0,4719 16,3,2024-09-07 08:19:51:152,1,49,1,1,231,1149,49,0 17,0,2024-09-07 08:19:51:786,10318,0.7,10091,0.9,19960,0.8,27111,2.25 17,1,2024-09-07 08:19:50:606,85399,85399,0,0,40262710777,431830290,83606,1271,522,369,391688,0 17,2,2024-09-07 08:19:51:676,61386,61386,0,0,3189133,0,2857 17,3,2024-09-07 08:19:50:838,1,49,13,0,268,1295,49,0 18,0,2024-09-07 08:19:50:982,15335,0.9,15551,0.9,31236,1.0,41656,2.25 18,1,2024-09-07 08:19:51:650,85706,85706,0,0,40235693127,424689999,84458,1030,218,368,391526,0 18,2,2024-09-07 08:19:51:759,60108,60108,0,0,4068071,0,3541 18,3,2024-09-07 08:19:50:919,1,49,1,0,163,868,49,0 19,0,2024-09-07 08:19:51:572,13519,2.6,13609,1.9,26816,4.4,36260,5.75 19,1,2024-09-07 08:19:50:579,85768,85768,0,0,40495452738,429745556,84012,1594,162,367,391696,0 19,2,2024-09-07 08:19:51:751,65054,65054,0,0,3922033,0,3988 19,3,2024-09-07 08:19:51:131,1,49,1,0,524,880,49,0 20,0,2024-09-07 08:19:51:440,8953,0.3,8979,0.5,17604,0.2,23103,1.75 20,1,2024-09-07 08:19:50:594,85625,85625,0,0,41089400790,436177780,83947,1517,161,370,391598,0 20,2,2024-09-07 08:19:50:950,59950,59950,0,0,3341874,0,2446 20,3,2024-09-07 08:19:50:601,1,49,13,1,99,796,49,0 21,0,2024-09-07 08:19:51:241,8755,0.3,8700,0.6,17185,0.2,22690,2.00 21,1,2024-09-07 08:19:51:578,85081,85081,0,0,39435813030,423582069,82849,1744,488,368,391962,0 21,2,2024-09-07 08:19:51:123,59588,59588,0,0,3612585,0,3747 21,3,2024-09-07 08:19:51:413,1,49,5,0,93,761,49,0 22,0,2024-09-07 08:19:51:738,14696,0.8,14589,1.0,29179,0.8,39464,2.25 22,1,2024-09-07 08:19:51:041,85100,85100,0,0,39841771867,429487141,82159,2216,725,382,391667,0 22,2,2024-09-07 08:19:50:771,61539,61539,0,0,3363233,0,3134 22,3,2024-09-07 08:19:51:082,1,49,1,0,62,276,49,0 23,0,2024-09-07 08:19:51:384,14433,2.3,14651,1.4,29139,3.7,38842,3.00 23,1,2024-09-07 08:19:51:013,86218,86218,0,0,40638394211,427508126,84872,1092,254,368,391496,0 23,2,2024-09-07 08:19:51:104,65596,65596,0,0,3510760,0,2078 23,3,2024-09-07 08:19:51:758,1,49,3,0,645,1205,49,0 24,0,2024-09-07 08:19:50:909,10359,0.8,10443,0.9,20804,1.2,27407,1.75 24,1,2024-09-07 08:19:50:600,86130,86130,0,0,40207887826,423767543,85333,791,6,371,391640,0 24,2,2024-09-07 08:19:51:089,59231,59231,0,0,4618220,0,2942 24,3,2024-09-07 08:19:51:717,1,49,9,1,234,875,49,0 25,0,2024-09-07 08:19:51:398,9431,0.3,9248,0.5,17858,0.2,23960,1.75 25,1,2024-09-07 08:19:50:602,85305,85305,0,0,40176023490,430992419,83175,1819,311,373,391788,0 25,2,2024-09-07 08:19:51:611,59647,59647,0,0,4168465,0,3284 25,3,2024-09-07 08:19:51:001,1,49,29,1,158,564,49,0 26,0,2024-09-07 08:19:51:738,12557,0.6,12413,0.8,26025,0.7,34615,2.00 26,1,2024-09-07 08:19:51:561,85573,85573,0,0,40015729623,433856420,82113,2625,835,382,391564,0 26,2,2024-09-07 08:19:50:868,62391,62391,0,0,4380414,0,2809 26,3,2024-09-07 08:19:51:718,1,49,9,0,796,1188,49,0 27,0,2024-09-07 08:19:51:734,16363,1.6,16441,1.2,32941,2.1,44077,2.25 27,1,2024-09-07 08:19:51:681,86325,86325,0,0,40653465001,427773432,85259,771,295,381,391526,0 27,2,2024-09-07 08:19:50:893,62718,62718,0,0,5334367,0,3409 27,3,2024-09-07 08:19:51:023,1,49,1,0,564,984,49,0 28,0,2024-09-07 08:19:51:407,11961,0.9,12145,1.0,24099,1.2,32112,2.00 28,1,2024-09-07 08:19:50:798,85896,85896,0,0,41102679540,434150514,84839,857,200,383,391500,0 28,2,2024-09-07 08:19:51:764,60494,60494,0,0,3340256,0,2609 28,3,2024-09-07 08:19:51:788,1,49,1,1,502,1116,49,0 29,0,2024-09-07 08:19:51:380,10549,0.3,10330,0.6,20408,0.2,27704,1.75 29,1,2024-09-07 08:19:51:563,86581,86581,0,0,40724954729,425427821,85840,569,172,369,391621,0 29,2,2024-09-07 08:19:50:870,61232,61232,0,0,2926754,0,2026 29,3,2024-09-07 08:19:50:964,1,49,1,1,105,610,49,0 30,0,2024-09-07 08:19:51:478,10793,1.1,10494,1.0,21651,1.5,28791,2.75 30,1,2024-09-07 08:19:50:583,85685,85685,0,0,40988749164,432299683,84713,907,65,382,391524,0 30,2,2024-09-07 08:19:51:281,61882,61882,0,0,2978021,0,2534 30,3,2024-09-07 08:19:50:583,1,49,1,0,195,560,49,0 31,0,2024-09-07 08:19:51:774,17354,1.9,17157,1.3,34756,3.3,46206,3.50 31,1,2024-09-07 08:19:50:566,86209,86209,0,0,41321512932,426986799,85966,241,2,356,391553,0 31,2,2024-09-07 08:19:51:279,61296,61296,0,0,4332096,0,3525 31,3,2024-09-07 08:19:51:710,1,49,0,0,129,469,49,0 32,0,2024-09-07 08:19:51:464,13720,2.1,13821,1.3,27748,3.4,37011,2.00 32,1,2024-09-07 08:19:50:810,85763,85763,0,0,40183612408,422860696,84768,967,28,382,391595,0 32,2,2024-09-07 08:19:50:935,64405,64405,0,0,3611564,0,3155 32,3,2024-09-07 08:19:51:028,1,49,1,0,110,586,49,0 33,0,2024-09-07 08:19:51:513,9271,0.3,9158,0.5,18583,0.2,24210,1.75 33,1,2024-09-07 08:19:50:583,85762,85762,0,0,41367167197,435897604,84104,1535,123,369,391526,0 33,2,2024-09-07 08:19:50:771,60094,60061,33,0,4999130,0,7012 33,3,2024-09-07 08:19:50:913,1,49,1,1,51,270,49,0 34,0,2024-09-07 08:19:50:965,8752,0.2,9034,0.4,17326,0.2,23587,1.75 34,1,2024-09-07 08:19:51:050,86270,86270,0,0,41075390491,426814049,85830,436,4,368,391517,0 34,2,2024-09-07 08:19:50:772,59709,59709,0,0,3988016,0,3255 34,3,2024-09-07 08:19:51:688,1,49,1,0,148,608,49,0 35,0,2024-09-07 08:19:50:867,14982,1.1,15031,0.9,30185,1.7,40321,2.00 35,1,2024-09-07 08:19:51:075,85718,85718,0,0,40471862184,426195770,84253,1104,361,384,391587,0 35,2,2024-09-07 08:19:51:584,60975,60975,0,0,3487813,0,2542 35,3,2024-09-07 08:19:50:915,1,49,4,0,219,964,49,0 36,0,2024-09-07 08:19:51:547,14336,2.8,14092,1.8,28843,4.0,38369,3.00 36,1,2024-09-07 08:19:50:584,85289,85289,0,0,40549440658,435094515,82278,2080,931,366,391535,0 36,2,2024-09-07 08:19:51:753,65268,65268,0,0,4157198,0,3303 36,3,2024-09-07 08:19:50:877,1,49,9,0,378,1007,49,0 37,0,2024-09-07 08:19:51:430,8688,0.3,8644,0.5,17304,0.2,22786,1.75 37,1,2024-09-07 08:19:50:584,85053,85046,0,7,40166486505,434768977,82209,1320,1517,365,391507,0 37,2,2024-09-07 08:19:51:160,59973,59973,0,0,3047193,0,2333 37,3,2024-09-07 08:19:51:772,1,49,21,0,724,1677,49,0 38,0,2024-09-07 08:19:51:452,8518,0.3,8207,0.5,17036,0.2,22604,1.75 38,1,2024-09-07 08:19:51:606,85754,85754,0,0,40371136741,430056157,83613,1694,447,370,391512,0 38,2,2024-09-07 08:19:50:763,59737,59737,0,0,3779772,0,3245 38,3,2024-09-07 08:19:50:998,1,49,1,0,603,995,49,0 39,0,2024-09-07 08:19:51:768,14561,0.6,14495,0.7,27921,0.7,38218,2.00 39,1,2024-09-07 08:19:50:735,85826,85826,0,0,39946364500,426119686,83266,1922,638,366,391524,0 39,2,2024-09-07 08:19:51:424,61739,61739,0,0,3507549,0,2381 39,3,2024-09-07 08:19:50:714,1,49,1,0,276,1094,49,0 40,0,2024-09-07 08:19:51:566,15505,2.7,15692,2.6,30953,5.1,41721,4.00 40,1,2024-09-07 08:19:50:602,85516,85516,0,0,40044136174,431367599,82267,2496,753,370,391591,0 40,2,2024-09-07 08:19:51:312,64330,64329,1,0,4697524,0,5137 40,3,2024-09-07 08:19:51:143,1,49,1,1,110,711,49,0 41,0,2024-09-07 08:19:51:044,10252,2.6,10484,7.2,20094,6.3,27861,4.00 41,1,2024-09-07 08:19:50:797,85433,85433,0,0,40258786822,430740944,83129,1992,312,370,391484,0 41,2,2024-09-07 08:19:50:771,59258,59258,0,0,4706433,0,3356 41,3,2024-09-07 08:19:51:683,1,49,2,1,168,610,49,0 42,0,2024-09-07 08:19:51:494,10336,0.4,10538,0.8,20831,0.3,27218,2.00 42,1,2024-09-07 08:19:51:458,85421,85421,0,0,40149792290,433889559,82430,2161,830,381,391511,0 42,2,2024-09-07 08:19:51:172,60622,60622,0,0,4135169,0,3568 42,3,2024-09-07 08:19:51:012,1,49,1,0,100,532,49,0 43,0,2024-09-07 08:19:50:973,11915,2.2,11642,1.4,24267,3.3,32328,2.25 43,1,2024-09-07 08:19:50:584,85573,85573,0,0,40689083227,433753700,83384,1437,752,367,391604,0 43,2,2024-09-07 08:19:51:742,62210,62210,0,0,4365045,0,3812 43,3,2024-09-07 08:19:51:750,1,49,1,1,292,1139,49,0 44,0,2024-09-07 08:19:50:875,17442,1.4,17433,1.3,34619,1.5,46564,2.25 44,1,2024-09-07 08:19:50:568,86005,86005,0,0,40390858064,423488403,84990,908,107,357,391505,0 44,2,2024-09-07 08:19:51:276,62018,62018,0,0,3127462,0,1865 44,3,2024-09-07 08:19:51:144,1,49,13,1,817,1296,49,0 45,0,2024-09-07 08:19:51:770,12629,3.5,12319,2.0,25898,5.8,34617,2.25 45,1,2024-09-07 08:19:51:013,85924,85924,0,0,41226561671,432078646,85458,465,1,382,391917,0 45,2,2024-09-07 08:19:51:282,62467,62467,0,0,3748001,0,2628 45,3,2024-09-07 08:19:50:951,1,49,12,1,226,731,49,0 46,0,2024-09-07 08:19:50:964,9678,0.3,9655,0.5,19460,0.2,25779,1.75 46,1,2024-09-07 08:19:50:584,86131,86131,0,0,40293926869,421765669,85301,794,36,368,391514,0 46,2,2024-09-07 08:19:50:597,61109,61109,0,0,3645035,0,2920 46,3,2024-09-07 08:19:51:171,1,49,2,0,315,1018,49,0 47,0,2024-09-07 08:19:51:123,10023,0.6,10166,0.7,20245,0.6,27012,1.75 47,1,2024-09-07 08:19:50:584,86293,86293,0,0,39860718787,415588614,85787,502,4,367,391548,0 47,2,2024-09-07 08:19:50:911,61579,61579,0,0,3644402,0,2558 47,3,2024-09-07 08:19:51:122,1,49,2,1,529,1033,49,0 48,0,2024-09-07 08:19:51:522,15781,0.4,15708,0.6,31049,0.4,42212,1.75 48,1,2024-09-07 08:19:51:026,85951,85951,0,0,40388988207,425742495,84756,1190,5,386,391637,0 48,2,2024-09-07 08:19:50:712,60953,60953,0,0,2842042,0,2083 48,3,2024-09-07 08:19:50:770,1,49,8,1,30,538,49,0 49,0,2024-09-07 08:19:51:734,14110,2.5,13885,1.6,27116,4.2,37610,2.25 49,1,2024-09-07 08:19:51:021,85682,85682,0,0,40565045198,431313459,83947,1026,709,382,391583,0 49,2,2024-09-07 08:19:51:800,65100,65100,0,0,4067053,0,3900 49,3,2024-09-07 08:19:51:429,1,49,1,0,274,712,49,0 50,0,2024-09-07 08:19:51:535,8982,0.2,8758,0.5,17681,0.2,23517,1.75 50,1,2024-09-07 08:19:51:015,86513,86513,0,0,40792139949,430053173,84847,1369,297,369,391530,0 50,2,2024-09-07 08:19:51:083,60718,60718,0,0,3082188,0,2253 50,3,2024-09-07 08:19:51:306,1,49,78,1,335,820,49,0 51,0,2024-09-07 08:19:51:694,8759,0.2,8596,0.4,16864,0.1,22870,1.50 51,1,2024-09-07 08:19:51:722,85556,85556,0,0,41490422239,438370359,83760,1014,782,367,391520,0 51,2,2024-09-07 08:19:51:319,59688,59688,0,0,2621600,0,2448 51,3,2024-09-07 08:19:51:035,1,49,1,0,162,442,49,0 52,0,2024-09-07 08:19:51:462,14918,1.2,15011,1.1,29966,1.4,39934,2.75 52,1,2024-09-07 08:19:50:600,85649,85649,0,0,40094176565,429843173,83156,2029,464,368,391513,0 52,2,2024-09-07 08:19:51:774,61165,61165,0,0,3821606,0,4779 52,3,2024-09-07 08:19:50:678,1,49,5,1,1782,2355,49,0 53,0,2024-09-07 08:19:51:771,14378,3.3,13905,2.0,28579,4.7,38093,3.50 53,1,2024-09-07 08:19:50:792,85499,85499,0,0,40684239063,435648019,82897,2028,574,370,391617,0 53,2,2024-09-07 08:19:51:312,66111,66111,0,0,3383175,0,1902 53,3,2024-09-07 08:19:50:705,1,49,27,1,59,346,49,0 54,0,2024-09-07 08:19:51:631,9520,10.0,9552,5.9,19001,2.6,26299,5.50 54,1,2024-09-07 08:19:50:589,85524,85524,0,0,40871981174,433783921,83416,1792,316,367,391520,0 54,2,2024-09-07 08:19:50:871,59935,59929,6,0,3949268,0,5382 54,3,2024-09-07 08:19:50:769,1,49,2,0,676,1419,49,0 55,0,2024-09-07 08:19:51:783,8888,0.4,9143,0.7,18621,0.3,23769,2.25 55,1,2024-09-07 08:19:50:770,85229,85229,0,0,40048843467,429037383,81804,2723,702,367,391731,0 55,2,2024-09-07 08:19:50:737,59847,59847,0,0,3800100,0,3275 55,3,2024-09-07 08:19:50:675,1,49,1,0,136,630,49,0 56,0,2024-09-07 08:19:51:581,13002,2.5,12326,1.7,25238,3.8,34438,3.00 56,1,2024-09-07 08:19:50:574,85258,85258,0,0,40617183674,441774501,81881,2631,746,384,391536,0 56,2,2024-09-07 08:19:51:305,62735,62735,0,0,4563176,0,3567 56,3,2024-09-07 08:19:51:080,1,49,2,1,297,835,49,0 57,0,2024-09-07 08:19:51:000,16040,4.3,15715,2.3,31815,6.3,42815,4.75 57,1,2024-09-07 08:19:50:994,85382,85382,0,0,39564348683,420909056,83915,1448,19,368,391760,0 57,2,2024-09-07 08:19:51:329,63356,63356,0,0,4542633,0,3178 57,3,2024-09-07 08:19:51:742,1,49,1,1,359,1380,49,0 58,0,2024-09-07 08:19:50:630,11273,1.0,10945,1.3,22905,0.9,30114,3.00 58,1,2024-09-07 08:19:50:583,86236,86234,0,2,40770283732,431073107,84639,1436,159,371,391516,2 58,2,2024-09-07 08:19:51:098,60788,60788,0,0,4265912,0,2549 58,3,2024-09-07 08:19:51:074,1,49,3,1,219,745,49,0 59,0,2024-09-07 08:19:51:757,10440,0.8,10369,0.9,20865,0.7,27380,2.50 59,1,2024-09-07 08:19:50:818,85253,85253,0,0,40109265124,430556061,83008,1478,767,370,391515,0 59,2,2024-09-07 08:19:50:586,60949,60949,0,0,3739215,0,2604 59,3,2024-09-07 08:19:51:741,1,49,1,0,1015,1596,49,0 60,0,2024-09-07 08:19:51:732,10709,0.9,10835,0.9,21525,1.1,28911,2.00 60,1,2024-09-07 08:19:50:781,86806,86806,0,0,40761138721,429306596,85739,723,344,370,391672,0 60,2,2024-09-07 08:19:51:146,61717,61717,0,0,3336385,0,2142 60,3,2024-09-07 08:19:51:282,1,49,0,0,124,753,49,0 61,0,2024-09-07 08:19:51:578,17162,3.2,17151,1.8,34204,4.7,46289,2.75 61,1,2024-09-07 08:19:50:805,85517,85517,0,0,40176647456,431150165,83061,1922,534,383,391589,0 61,2,2024-09-07 08:19:51:126,61657,61657,0,0,3375225,0,1846 61,3,2024-09-07 08:19:51:689,1,49,7,1,199,964,49,0 62,0,2024-09-07 08:19:51:741,13718,2.4,14117,1.5,27130,4.2,36927,2.50 62,1,2024-09-07 08:19:51:111,86422,86416,0,6,41140661592,431427492,85165,1214,37,366,391586,6 62,2,2024-09-07 08:19:51:655,63483,63483,0,0,4125333,0,2931 62,3,2024-09-07 08:19:51:157,1,49,1,0,287,596,49,0 63,0,2024-09-07 08:19:51:472,9154,0.4,9134,0.7,18412,0.3,24289,2.00 63,1,2024-09-07 08:19:50:810,86684,86680,0,4,40673102368,424243871,86283,396,1,381,391540,4 63,2,2024-09-07 08:19:50:768,60534,60534,0,0,3538519,0,2674 63,3,2024-09-07 08:19:51:734,1,49,1,0,667,1728,49,0 64,0,2024-09-07 08:19:51:544,8648,0.4,8697,0.7,17387,0.3,23572,2.00 64,1,2024-09-07 08:19:50:760,85833,85833,0,0,40281132511,431965068,83402,1638,793,371,391604,0 64,2,2024-09-07 08:19:51:167,60441,60422,19,0,3903555,0,6121 64,3,2024-09-07 08:19:51:163,1,49,1,1,163,632,49,0 65,0,2024-09-07 08:19:51:734,14804,3.0,14954,1.9,29698,4.6,39846,4.25 65,1,2024-09-07 08:19:50:888,85673,85673,0,0,39883314667,424691677,83972,1482,219,382,391569,0 65,2,2024-09-07 08:19:51:719,61209,61209,0,0,3922901,0,3367 65,3,2024-09-07 08:19:51:688,1,49,10,1,163,753,49,0 66,0,2024-09-07 08:19:51:779,13825,2.2,13950,1.6,28067,4.0,37775,3.75 66,1,2024-09-07 08:19:51:294,86711,86711,0,0,40457167552,423543893,86026,665,20,381,391537,0 66,2,2024-09-07 08:19:51:147,66015,66015,0,0,3862991,0,3867 66,3,2024-09-07 08:19:51:110,1,49,1,1,291,866,49,0 67,0,2024-09-07 08:19:51:459,8670,0.7,8741,0.9,17135,0.7,22675,2.50 67,1,2024-09-07 08:19:50:773,85601,85600,0,1,40099511063,428306455,83605,1470,525,382,391787,1 67,2,2024-09-07 08:19:50:585,60301,60301,0,0,3303850,0,2889 67,3,2024-09-07 08:19:51:750,1,49,10,0,138,575,49,0 68,0,2024-09-07 08:19:50:585,8431,0.4,8362,0.7,16902,0.3,22381,2.00 68,1,2024-09-07 08:19:50:578,85524,85524,0,0,40381390938,429295048,84133,869,522,383,391953,0 68,2,2024-09-07 08:19:51:057,59977,59977,0,0,3361658,0,4883 68,3,2024-09-07 08:19:50:748,1,49,5,1,63,534,49,0 69,0,2024-09-07 08:19:51:846,14070,1.7,14004,1.4,27885,3.1,38095,3.00 69,1,2024-09-07 08:19:51:039,85013,85013,0,0,40366308958,435133464,83078,1071,864,386,391530,0 69,2,2024-09-07 08:19:51:773,62260,62260,0,0,3866886,0,3153 69,3,2024-09-07 08:19:50:773,1,49,7,0,238,884,49,0 70,0,2024-09-07 08:19:51:557,15491,4.3,15469,3.2,31235,4.4,41289,5.50 70,1,2024-09-07 08:19:50:812,86072,86072,0,0,40927731877,428724220,85161,777,134,369,391527,0 70,2,2024-09-07 08:19:51:360,64409,64409,0,0,3992320,0,4044 70,3,2024-09-07 08:19:50:745,1,49,1,0,178,424,49,0 71,0,2024-09-07 08:19:51:376,10333,2.8,10307,5.3,20274,4.7,28050,4.75 71,1,2024-09-07 08:19:51:602,85443,85443,0,0,40392504322,429685550,83260,1868,315,368,391682,0 71,2,2024-09-07 08:19:51:093,59814,59814,0,0,3365613,0,2146 71,3,2024-09-07 08:19:51:757,1,49,1,0,174,478,49,0 72,0,2024-09-07 08:19:51:092,10721,0.4,10369,0.7,20631,0.3,27338,2.75 72,1,2024-09-07 08:19:51:044,86025,86025,0,0,40855639108,431845460,84487,1462,76,370,391521,0 72,2,2024-09-07 08:19:51:778,59937,59937,0,0,4916066,0,2570 72,3,2024-09-07 08:19:51:776,1,49,18,1,325,1202,49,0 73,0,2024-09-07 08:19:51:167,11692,0.7,12008,0.9,24319,0.7,32244,2.50 73,1,2024-09-07 08:19:50:788,85872,85872,0,0,40199121681,423978618,84916,913,43,368,391627,0 73,2,2024-09-07 08:19:51:746,62442,62442,0,0,4465089,0,3482 73,3,2024-09-07 08:19:50:978,1,49,4,0,274,1180,49,0 74,0,2024-09-07 08:19:51:340,17341,2.3,17713,1.6,34122,3.8,46151,4.00 74,1,2024-09-07 08:19:50:644,85683,85683,0,0,40276010750,428307733,83791,1320,572,382,391497,0 74,2,2024-09-07 08:19:51:012,61761,61761,0,0,4767896,0,4253 74,3,2024-09-07 08:19:51:458,1,49,4,0,246,1258,49,0 75,0,2024-09-07 08:19:51:840,12834,3.4,12838,1.9,25512,5.3,34792,3.50 75,1,2024-09-07 08:19:51:596,86050,86050,0,0,40375010238,429547900,84369,1577,104,382,391514,0 75,2,2024-09-07 08:19:51:355,62042,62042,0,0,4586855,0,4766 75,3,2024-09-07 08:19:51:074,1,49,2,0,143,368,49,0 76,0,2024-09-07 08:19:50:613,9675,0.4,9591,0.6,19154,0.2,25514,2.25 76,1,2024-09-07 08:19:50:804,85662,85662,0,0,39705459202,424236240,83859,1297,506,382,391530,0 76,2,2024-09-07 08:19:51:070,60966,60966,0,0,3564629,0,3064 76,3,2024-09-07 08:19:51:172,1,49,5,0,175,944,49,0 77,0,2024-09-07 08:19:51:733,10051,1.5,10072,1.2,20123,2.3,27035,2.25 77,1,2024-09-07 08:19:50:833,85691,85691,0,0,40358990512,426199697,84667,956,68,383,391516,0 77,2,2024-09-07 08:19:51:291,61457,61457,0,0,3018733,0,1695 77,3,2024-09-07 08:19:51:108,1,49,6,0,139,533,49,0 78,0,2024-09-07 08:19:51:734,15497,1.7,15492,1.4,31189,2.7,41775,2.75 78,1,2024-09-07 08:19:50:620,86390,86390,0,0,40142294411,424976345,84976,1210,204,368,391589,0 78,2,2024-09-07 08:19:51:418,60917,60917,0,0,3138395,0,2114 78,3,2024-09-07 08:19:51:171,1,49,14,0,181,929,49,0 79,0,2024-09-07 08:19:51:377,13314,1.9,13657,1.4,27867,3.7,36734,4.25 79,1,2024-09-07 08:19:50:592,85840,85840,0,0,40588010527,428120612,84506,1265,69,370,391540,0 79,2,2024-09-07 08:19:51:078,64897,64897,0,0,3657088,0,2679 79,3,2024-09-07 08:19:50:750,1,49,1,0,289,659,49,0 80,0,2024-09-07 08:19:51:104,8806,0.5,9072,0.7,17526,0.3,23392,2.00 80,1,2024-09-07 08:19:51:635,85378,85378,0,0,40983452150,432609159,83797,1466,115,369,391673,0 80,2,2024-09-07 08:19:51:106,59997,59997,0,0,3747188,0,4433 80,3,2024-09-07 08:19:50:584,1,49,3,1,148,941,49,0 81,0,2024-09-07 08:19:51:620,8652,0.5,8881,0.7,16936,0.3,22888,2.25 81,1,2024-09-07 08:19:51:661,85739,85739,0,0,39823296908,424457856,83858,1684,197,383,391646,0 81,2,2024-09-07 08:19:51:134,59446,59446,0,0,3921122,0,3993 81,3,2024-09-07 08:19:51:153,1,49,8,1,193,730,49,0 82,0,2024-09-07 08:19:51:567,14685,1.4,14754,1.3,29516,1.8,39855,2.25 82,1,2024-09-07 08:19:50:585,85408,85407,0,1,40260344047,428058903,83373,1462,572,383,391558,1 82,2,2024-09-07 08:19:51:696,62533,62533,0,0,3035778,0,2230 82,3,2024-09-07 08:19:51:758,1,49,1,0,211,901,49,0 83,0,2024-09-07 08:19:51:562,14448,2.4,14377,1.8,28448,2.7,37819,3.50 83,1,2024-09-07 08:19:50:562,85951,85951,0,0,39974732085,424077750,84547,1304,100,383,391553,0 83,2,2024-09-07 08:19:50:771,64784,64784,0,0,4062730,0,3119 83,3,2024-09-07 08:19:50:758,1,49,1,0,91,507,49,0 84,0,2024-09-07 08:19:51:821,9846,6.5,9751,6.2,19625,1.8,26861,3.75 84,1,2024-09-07 08:19:51:060,85757,85757,0,0,40338849988,429226407,84192,1319,246,369,391630,0 84,2,2024-09-07 08:19:50:587,59384,59384,0,0,3772383,0,3801 84,3,2024-09-07 08:19:51:143,1,49,2,1,43,551,49,0 85,0,2024-09-07 08:19:51:061,8925,0.4,8951,0.7,18881,0.3,23891,2.00 85,1,2024-09-07 08:19:50:580,85062,85062,0,0,40550386937,436268919,82630,2007,425,384,391626,0 85,2,2024-09-07 08:19:50:873,59629,59629,0,0,4123294,0,3656 85,3,2024-09-07 08:19:50:691,1,49,3,0,115,559,49,0 86,0,2024-09-07 08:19:50:988,12602,1.0,13130,0.9,25348,1.3,34461,2.00 86,1,2024-09-07 08:19:50:834,85437,85437,0,0,40544437711,430278949,83684,1539,214,367,391622,0 86,2,2024-09-07 08:19:50:863,62461,62460,1,0,4336741,0,5004 86,3,2024-09-07 08:19:50:601,1,49,1,0,199,755,49,0 87,0,2024-09-07 08:19:51:452,16226,3.5,16163,2.1,32622,4.5,43842,3.50 87,1,2024-09-07 08:19:50:567,85332,85332,0,0,40139076345,426655627,83771,1442,119,368,391671,0 87,2,2024-09-07 08:19:51:138,63668,63668,0,0,3358010,0,2859 87,3,2024-09-07 08:19:51:863,1,49,11,1,322,1023,49,0 88,0,2024-09-07 08:19:51:470,11998,1.2,12149,1.1,23992,1.4,32045,2.00 88,1,2024-09-07 08:19:50:582,85510,85510,0,0,40075019967,425179567,84318,1098,94,367,391747,0 88,2,2024-09-07 08:19:50:694,60837,60837,0,0,4489926,0,3583 88,3,2024-09-07 08:19:51:279,1,49,1,1,77,697,49,0 89,0,2024-09-07 08:19:51:791,10696,0.4,10361,0.6,20667,0.3,27721,1.75 89,1,2024-09-07 08:19:50:558,84812,84812,0,0,40261236211,437938919,81600,2479,733,383,391866,0 89,2,2024-09-07 08:19:51:146,60716,60716,0,0,4196911,0,2726 89,3,2024-09-07 08:19:51:794,1,49,1,0,325,1574,49,0 90,0,2024-09-07 08:19:51:882,10439,0.8,10625,0.9,21912,1.2,28929,2.00 90,1,2024-09-07 08:19:50:608,85618,85618,0,0,40161433826,430898783,83586,1893,139,382,391825,0 90,2,2024-09-07 08:19:51:426,60897,60897,0,0,4872537,0,2635 90,3,2024-09-07 08:19:50:999,1,49,7,1,200,858,49,0 91,0,2024-09-07 08:19:50:981,17569,0.8,16977,0.9,35438,0.9,46656,2.00 91,1,2024-09-07 08:19:50:578,85514,85514,0,0,40741484675,436675559,83180,1974,360,384,391914,0 91,2,2024-09-07 08:19:51:353,60528,60528,0,0,3864749,0,1997 91,3,2024-09-07 08:19:50:622,1,49,1,1,155,711,49,0 92,0,2024-09-07 08:19:51:466,13882,1.8,14283,1.3,27336,3.4,37037,2.00 92,1,2024-09-07 08:19:50:585,85934,85934,0,0,40619271930,427669939,85301,569,64,383,391717,0 92,2,2024-09-07 08:19:51:383,64989,64989,0,0,3868736,0,2279 92,3,2024-09-07 08:19:51:012,1,49,1,1,68,679,49,0 93,0,2024-09-07 08:19:51:007,9173,0.4,9355,0.6,17908,0.3,24236,1.75 93,1,2024-09-07 08:19:50:817,85471,85471,0,0,40604233096,432446995,83722,1315,434,367,391689,0 93,2,2024-09-07 08:19:50:948,60812,60812,0,0,3440542,0,2509 93,3,2024-09-07 08:19:51:423,1,49,4,1,143,573,49,0 94,0,2024-09-07 08:19:51:692,8783,0.3,8822,0.5,17399,0.2,23554,1.75 94,1,2024-09-07 08:19:50:568,85384,85384,0,0,40223917826,429338407,83818,1503,63,381,391850,0 94,2,2024-09-07 08:19:50:770,59885,59885,0,0,3413066,0,2443 94,3,2024-09-07 08:19:51:699,1,49,15,1,231,1061,49,0 95,0,2024-09-07 08:19:51:426,15038,0.5,15032,0.7,30712,0.5,40513,1.75 95,1,2024-09-07 08:19:50:871,85850,85850,0,0,40337614876,424775026,85070,716,64,368,391590,0 95,2,2024-09-07 08:19:51:026,61342,61342,0,0,3619190,0,3308 95,3,2024-09-07 08:19:51:717,1,49,2,0,307,1168,49,0 96,0,2024-09-07 08:19:51:117,14480,1.8,14340,1.2,28877,2.7,38214,2.00 96,1,2024-09-07 08:19:51:592,85845,85845,0,0,40948097445,437270488,84018,1257,570,385,391551,0 96,2,2024-09-07 08:19:51:284,65609,65609,0,0,4280257,0,4038 96,3,2024-09-07 08:19:51:161,1,49,2,2,36,578,49,0 97,0,2024-09-07 08:19:51:395,8711,0.5,8651,0.7,17180,0.6,22734,2.00 97,1,2024-09-07 08:19:50:776,85359,85359,0,0,40613653722,432053303,83187,1655,517,367,391626,0 97,2,2024-09-07 08:19:50:619,59453,59453,0,0,3347327,0,3036 97,3,2024-09-07 08:19:50:595,1,49,10,1,165,651,49,0 98,0,2024-09-07 08:19:51:774,8460,0.2,8352,0.4,17027,0.2,22551,1.50 98,1,2024-09-07 08:19:50:594,85631,85631,0,0,40304867241,426297594,84570,1016,45,382,391588,0 98,2,2024-09-07 08:19:50:795,60269,60269,0,0,3468777,0,3080 98,3,2024-09-07 08:19:50:705,1,49,29,1,155,657,49,0 99,0,2024-09-07 08:19:51:523,14070,0.4,14022,0.6,28220,0.4,38044,1.75 99,1,2024-09-07 08:19:51:761,86203,86203,0,0,39830800699,422088330,84733,1031,439,381,391744,0 99,2,2024-09-07 08:19:51:433,62986,62986,0,0,3623290,0,1858 99,3,2024-09-07 08:19:50:591,1,49,8,0,129,538,49,0 100,0,2024-09-07 08:19:51:497,15745,2.9,15706,3.2,31406,5.4,42111,3.00 100,1,2024-09-07 08:19:50:558,85218,85218,0,0,39527595466,428413110,82546,2329,343,382,391585,0 100,2,2024-09-07 08:19:51:824,63979,63968,11,0,4834488,0,5417 100,3,2024-09-07 08:19:51:738,1,49,2,1,443,1618,49,0 101,0,2024-09-07 08:19:51:734,10775,5.7,10542,3.2,20749,3.2,29015,3.25 101,1,2024-09-07 08:19:50:556,85343,85343,0,0,40290545748,429142451,83888,1006,449,370,391531,0 101,2,2024-09-07 08:19:51:760,59949,59949,0,0,4002123,0,4644 101,3,2024-09-07 08:19:50:948,1,49,12,1,448,882,49,0 102,0,2024-09-07 08:19:51:071,10190,0.5,10510,0.6,21210,0.3,27172,2.00 102,1,2024-09-07 08:19:51:149,85564,85564,0,0,40371770682,432071867,83392,1727,445,369,391647,0 102,2,2024-09-07 08:19:51:742,60628,60628,0,0,3121164,0,1945 102,3,2024-09-07 08:19:51:620,1,49,8,0,410,760,49,0 103,0,2024-09-07 08:19:51:644,12264,0.8,12243,0.9,23210,0.9,32444,2.00 103,1,2024-09-07 08:19:51:631,85707,85707,0,0,40394019507,428256163,84521,1045,141,381,391680,0 103,2,2024-09-07 08:19:50:603,62344,62344,0,0,3522704,0,2104 103,3,2024-09-07 08:19:50:768,1,49,1,1,486,974,49,0 104,0,2024-09-07 08:19:51:085,17305,2.9,17368,1.7,33562,4.2,46170,3.00 104,1,2024-09-07 08:19:51:631,86109,86109,0,0,40339717105,432243959,84217,1567,325,368,391948,0 104,2,2024-09-07 08:19:51:674,61396,61396,0,0,4326357,0,3941 104,3,2024-09-07 08:19:51:442,1,49,1,1,1245,3481,49,0 105,0,2024-09-07 08:19:51:094,12685,2.7,12206,2.0,25308,5.0,34410,4.00 105,1,2024-09-07 08:19:50:614,85354,85354,0,0,40020795419,431944082,82429,2180,745,367,391797,0 105,2,2024-09-07 08:19:51:577,61947,61947,0,0,4015993,0,3314 105,3,2024-09-07 08:19:51:311,1,49,2,1,182,1092,49,0 106,0,2024-09-07 08:19:51:077,9404,0.4,9613,0.6,19457,0.2,25667,1.75 106,1,2024-09-07 08:19:51:790,85894,85894,0,0,39926343209,428490321,83503,2066,325,371,391767,0 106,2,2024-09-07 08:19:50:782,60663,60663,0,0,4103667,0,2795 106,3,2024-09-07 08:19:50:715,1,49,13,1,201,746,49,0 107,0,2024-09-07 08:19:51:172,10111,0.8,10075,0.9,20089,0.9,26919,2.00 107,1,2024-09-07 08:19:50:593,85311,85311,0,0,39759785910,426387586,83534,1607,170,382,392234,0 107,2,2024-09-07 08:19:51:298,61068,61067,1,0,3935671,0,5024 107,3,2024-09-07 08:19:51:782,1,49,6,0,353,1137,49,0 108,0,2024-09-07 08:19:51:831,15589,0.9,15778,0.9,31220,1.2,42083,1.75 108,1,2024-09-07 08:19:51:297,85433,85433,0,0,40683541493,431690932,84017,1284,132,371,391857,0 108,2,2024-09-07 08:19:51:761,60953,60953,0,0,3751296,0,2647 108,3,2024-09-07 08:19:51:342,1,49,16,1,60,700,49,0 109,0,2024-09-07 08:19:51:797,13997,1.3,14084,1.1,27578,2.4,37771,2.00 109,1,2024-09-07 08:19:50:605,85418,85418,0,0,40788837806,436126644,83823,1213,382,385,391664,0 109,2,2024-09-07 08:19:50:952,64271,64271,0,0,4222349,0,3617 109,3,2024-09-07 08:19:51:157,1,49,20,1,249,1033,49,0 110,0,2024-09-07 08:19:51:806,8899,0.3,8685,0.5,18190,0.2,23430,1.75 110,1,2024-09-07 08:19:51:646,85607,85607,0,0,40470190144,427092531,84300,1024,283,370,391588,0 110,2,2024-09-07 08:19:51:314,60676,60676,0,0,3316978,0,2915 110,3,2024-09-07 08:19:50:696,1,49,2,0,183,629,49,0 111,0,2024-09-07 08:19:51:462,8473,0.2,8624,0.4,16933,0.1,22818,1.50 111,1,2024-09-07 08:19:51:012,86432,86432,0,0,41174429700,429892443,85795,624,13,382,391690,0 111,2,2024-09-07 08:19:51:119,59817,59817,0,0,3587812,0,2763 111,3,2024-09-07 08:19:50:966,1,49,1,0,119,1010,49,0 112,0,2024-09-07 08:19:50:939,14916,0.6,15014,0.7,29825,0.5,40134,1.75 112,1,2024-09-07 08:19:50:832,85767,85767,0,0,40647629137,430725206,84260,1161,346,382,391522,0 112,2,2024-09-07 08:19:51:140,61718,61717,1,0,3946338,0,5036 112,3,2024-09-07 08:19:50:826,1,49,91,1,282,771,49,0 113,0,2024-09-07 08:19:50:883,14719,0.9,14510,0.9,29453,1.0,39087,1.75 113,1,2024-09-07 08:19:51:689,85867,85867,0,0,40919857278,432159233,83980,1504,383,368,391661,0 113,2,2024-09-07 08:19:51:314,65479,65479,0,0,3316901,0,3021 113,3,2024-09-07 08:19:50:696,1,49,2,1,166,779,49,0 114,0,2024-09-07 08:19:50:891,10208,4.8,10306,3.4,20257,1.9,27313,2.25 114,1,2024-09-07 08:19:50:723,85582,85582,0,0,40666589550,436192153,82976,1725,881,381,391513,0 114,2,2024-09-07 08:19:50:887,59462,59462,0,0,3733086,0,3925 114,3,2024-09-07 08:19:51:282,1,49,1,1,159,688,49,0 115,0,2024-09-07 08:19:50:564,9129,0.2,9211,0.4,18417,0.1,24016,1.50 115,1,2024-09-07 08:19:50:584,85850,85850,0,0,40300239161,429450742,83479,1884,487,384,391506,0 115,2,2024-09-07 08:19:51:129,60547,60547,0,0,3105449,0,2152 115,3,2024-09-07 08:19:51:003,1,49,1,0,159,436,49,0 116,0,2024-09-07 08:19:51:759,12767,1.6,12657,1.3,25382,2.7,34549,2.25 116,1,2024-09-07 08:19:50:833,85030,85030,0,0,40010031734,431479012,83173,989,868,382,391573,0 116,2,2024-09-07 08:19:51:768,62226,62226,0,0,3983677,0,3529 116,3,2024-09-07 08:19:50:934,1,49,2,1,252,1142,49,0 117,0,2024-09-07 08:19:50:998,16399,3.0,16460,1.8,32893,4.1,44002,2.25 117,1,2024-09-07 08:19:51:586,86007,86007,0,0,39849605331,426543617,83940,1779,288,371,392033,0 117,2,2024-09-07 08:19:51:130,63775,63775,0,0,3643146,0,3700 117,3,2024-09-07 08:19:51:075,1,49,0,0,490,1585,49,0 118,0,2024-09-07 08:19:51:874,11623,1.2,11858,1.1,24323,1.5,31950,2.25 118,1,2024-09-07 08:19:50:610,85789,85789,0,0,40567135340,430632732,84581,1132,76,368,391736,0 118,2,2024-09-07 08:19:51:598,60941,60941,0,0,3888831,0,2781 118,3,2024-09-07 08:19:51:767,1,49,12,1,235,1009,49,0 119,0,2024-09-07 08:19:51:413,10196,0.3,10267,0.5,21059,0.2,27621,1.75 119,1,2024-09-07 08:19:50:576,85793,85793,0,0,40837137804,433897271,84197,1448,148,371,391617,0 119,2,2024-09-07 08:19:51:291,60399,60399,0,0,3493738,0,2532 119,3,2024-09-07 08:19:51:327,1,49,9,1,443,1926,49,0 120,0,2024-09-07 08:19:51:577,10675,2.3,10575,1.5,21182,3.6,28912,2.75 120,1,2024-09-07 08:19:50:882,85717,85717,0,0,40105264100,428878589,84226,1476,15,368,391702,0 120,2,2024-09-07 08:19:50:780,61258,61257,1,0,4775020,0,5281 120,3,2024-09-07 08:19:51:290,1,49,10,1,241,921,49,0 121,0,2024-09-07 08:19:51:705,17116,2.9,17224,1.8,34562,4.1,46705,2.75 121,1,2024-09-07 08:19:51:667,85471,85471,0,0,39933412925,426142643,83621,1585,265,369,391807,0 121,2,2024-09-07 08:19:51:134,60326,60326,0,0,5104655,0,4127 121,3,2024-09-07 08:19:50:745,1,49,3,0,101,564,49,0 122,0,2024-09-07 08:19:51:832,13657,2.9,13195,2.5,27398,3.3,37258,2.75 122,1,2024-09-07 08:19:50:867,84823,84823,0,0,39989670173,432842469,81834,2467,522,368,392130,0 122,2,2024-09-07 08:19:51:324,63840,63840,0,0,5239875,0,3364 122,3,2024-09-07 08:19:50:601,1,49,1,1,226,1274,49,0 123,0,2024-09-07 08:19:50:987,9183,0.3,8892,0.6,18508,0.2,24188,1.75 123,1,2024-09-07 08:19:50:567,85419,85419,0,0,39987239557,433989121,82011,2841,567,369,391823,0 123,2,2024-09-07 08:19:51:027,60489,60488,1,0,4126866,0,5215 123,3,2024-09-07 08:19:51:138,1,49,1,1,160,834,49,0 124,0,2024-09-07 08:19:50:950,8943,0.3,8870,0.6,16966,0.2,23565,1.75 124,1,2024-09-07 08:19:51:039,86109,86109,0,0,40227827233,422504376,85324,644,141,369,392178,0 124,2,2024-09-07 08:19:51:027,59740,59740,0,0,3196091,0,2477 124,3,2024-09-07 08:19:50:757,1,49,2,2,490,1445,49,0 125,0,2024-09-07 08:19:51:545,15224,0.8,15208,0.8,30499,0.9,40484,2.00 125,1,2024-09-07 08:19:50:861,85558,85558,0,0,40445600210,430419283,83830,1456,272,384,391702,0 125,2,2024-09-07 08:19:51:125,61423,61423,0,0,3594029,0,2180 125,3,2024-09-07 08:19:51:136,1,49,3,1,93,901,49,0 126,0,2024-09-07 08:19:51:469,14446,1.8,14779,1.2,28402,2.6,38557,2.00 126,1,2024-09-07 08:19:50:573,86126,86126,0,0,40653887738,427861195,85090,984,52,368,391719,0 126,2,2024-09-07 08:19:50:622,65726,65726,0,0,3869447,0,3186 126,3,2024-09-07 08:19:50:918,1,49,2,0,122,817,49,0 127,0,2024-09-07 08:19:51:624,8777,0.3,8698,0.5,17302,0.2,22699,1.75 127,1,2024-09-07 08:19:50:575,85794,85794,0,0,40163867449,421893508,84688,1083,23,365,391614,0 127,2,2024-09-07 08:19:50:646,59906,59906,0,0,3066488,0,1803 127,3,2024-09-07 08:19:51:523,1,49,3,0,99,552,49,0 128,0,2024-09-07 08:19:51:570,8460,0.3,8516,0.5,16653,0.2,22546,1.75 128,1,2024-09-07 08:19:51:618,85986,85986,0,0,40659883876,425598881,85295,622,69,369,391605,0 128,2,2024-09-07 08:19:51:416,59415,59415,0,0,3789912,0,2107 128,3,2024-09-07 08:19:50:786,1,49,1,1,112,636,49,0 129,0,2024-09-07 08:19:51:014,14119,0.6,14287,0.7,28248,0.6,38087,1.75 129,1,2024-09-07 08:19:50:577,85633,85633,0,0,40394473081,429905037,83823,1538,272,379,391835,0 129,2,2024-09-07 08:19:50:693,61990,61990,0,0,3337209,0,2446 129,3,2024-09-07 08:19:50:692,1,49,1,1,173,855,49,0 130,0,2024-09-07 08:19:52:038,16025,1.8,15942,1.3,31874,2.4,42728,2.50 130,1,2024-09-07 08:19:50:595,86178,86178,0,0,40269012497,426513150,84875,1273,30,381,391520,0 130,2,2024-09-07 08:19:51:192,64692,64692,0,0,4032971,0,4067 130,3,2024-09-07 08:19:51:299,1,49,5,1,207,562,49,0 131,0,2024-09-07 08:19:51:952,10846,1.5,10682,1.3,21800,2.1,28966,2.00 131,1,2024-09-07 08:19:51:825,86227,86227,0,0,40532693134,427835663,85225,816,186,385,391865,0 131,2,2024-09-07 08:19:50:574,59719,59719,0,0,3175797,0,2415 131,3,2024-09-07 08:19:51:704,1,49,2,0,392,976,49,0 132,0,2024-09-07 08:19:51:428,10291,0.4,10635,0.7,20833,0.3,27302,1.75 132,1,2024-09-07 08:19:50:585,84936,84936,0,0,40088381095,431631103,82380,1852,704,382,391558,0 132,2,2024-09-07 08:19:50:719,60280,60280,0,0,5128824,0,4606 132,3,2024-09-07 08:19:51:730,1,49,1,1,356,914,49,0 133,0,2024-09-07 08:19:51:588,11777,0.8,11876,0.9,24707,0.9,32030,2.00 133,1,2024-09-07 08:19:50:589,85031,85031,0,0,40266548427,432312150,82921,2017,93,383,391755,0 133,2,2024-09-07 08:19:51:099,62494,62494,0,0,4100641,0,2444 133,3,2024-09-07 08:19:51:302,1,49,3,1,187,506,49,0 134,0,2024-09-07 08:19:51:002,17497,1.5,17419,1.3,35040,2.5,46360,2.50 134,1,2024-09-07 08:19:50:587,85757,85757,0,0,40281816428,432580572,83149,1628,980,366,391718,0 134,2,2024-09-07 08:19:51:769,62070,62070,0,0,3366777,0,2026 134,3,2024-09-07 08:19:50:781,1,49,11,1,739,1623,49,0 135,0,2024-09-07 08:19:51:151,12506,2.2,12583,2.0,26423,2.6,34384,2.50 135,1,2024-09-07 08:19:51:586,85126,85126,0,0,40534997807,432015538,83221,1580,325,383,391645,0 135,2,2024-09-07 08:19:50:693,62720,62720,0,0,4018258,0,3981 135,3,2024-09-07 08:19:51:012,1,49,4,0,68,421,49,0 136,0,2024-09-07 08:19:51:652,9690,0.4,9660,0.8,19239,0.3,25867,2.25 136,1,2024-09-07 08:19:51:462,85833,85833,0,0,40555218003,433031246,83949,1739,145,384,391518,0 136,2,2024-09-07 08:19:51:174,61522,61522,0,0,3684182,0,2379 136,3,2024-09-07 08:19:51:114,1,49,2,1,108,749,49,0 137,0,2024-09-07 08:19:50:970,10367,1.4,10107,1.3,20000,1.7,27049,2.25 137,1,2024-09-07 08:19:50:586,85547,85547,0,0,40915004788,436256134,83586,1848,113,368,391608,0 137,2,2024-09-07 08:19:51:714,60253,60253,0,0,4576873,0,2632 137,3,2024-09-07 08:19:50:774,1,49,1,1,227,829,49,0 138,0,2024-09-07 08:19:51:821,15039,3.3,15311,2.0,30850,4.2,41972,3.25 138,1,2024-09-07 08:19:51:694,84991,84991,0,0,40186071597,430367662,82680,2051,260,371,391615,0 138,2,2024-09-07 08:19:50:589,61041,61041,0,0,3865074,0,3263 138,3,2024-09-07 08:19:50:614,1,49,63,1,1160,1714,49,0 139,0,2024-09-07 08:19:51:395,13447,5.2,13472,2.9,27192,5.9,37043,4.25 139,1,2024-09-07 08:19:50:585,85014,85014,0,0,39956681077,435750847,82140,2147,727,381,391892,0 139,2,2024-09-07 08:19:50:704,64367,64367,0,0,4883494,0,3097 139,3,2024-09-07 08:19:51:667,1,49,1,1,244,1178,49,0 140,0,2024-09-07 08:19:51:607,8925,0.3,8746,0.6,17779,0.2,23513,1.75 140,1,2024-09-07 08:19:51:555,86605,86605,0,0,41089755336,430076319,85678,730,197,365,391483,0 140,2,2024-09-07 08:19:50:692,60431,60431,0,0,4003396,0,3388 140,3,2024-09-07 08:19:50:784,1,49,1,1,25,465,49,0 141,0,2024-09-07 08:19:51:762,8362,0.2,8666,0.5,16953,0.2,22869,1.50 141,1,2024-09-07 08:19:50:871,86373,86373,0,0,40621187931,429062197,84954,1088,331,382,391538,0 141,2,2024-09-07 08:19:51:692,60102,60102,0,0,3549930,0,2342 141,3,2024-09-07 08:19:51:045,1,49,1,0,53,332,49,0 142,0,2024-09-07 08:19:51:363,15194,0.5,15037,0.7,29765,0.5,40117,1.75 142,1,2024-09-07 08:19:50:589,86031,86031,0,0,40524472118,426363867,85487,529,15,384,391649,0 142,2,2024-09-07 08:19:51:307,61412,61412,0,0,3849448,0,2293 142,3,2024-09-07 08:19:51:747,1,49,11,1,484,1116,49,0 143,0,2024-09-07 08:19:51:434,14627,1.4,14639,1.2,29412,1.8,38902,2.25 143,1,2024-09-07 08:19:50:567,86082,86082,0,0,40458873106,424868346,85119,938,25,367,391608,0 143,2,2024-09-07 08:19:50:786,64946,64946,0,0,4273409,0,2669 143,3,2024-09-07 08:19:51:144,1,49,3,1,236,1014,49,0 144,0,2024-09-07 08:19:51:543,9661,2.7,10043,7.6,20089,2.4,27165,2.50 144,1,2024-09-07 08:19:50:566,85368,85368,0,0,40252751993,429489303,83812,1367,189,383,391638,0 144,2,2024-09-07 08:19:51:790,59492,59492,0,0,3468986,0,3473 144,3,2024-09-07 08:19:51:742,1,49,140,1,140,936,49,0 145,0,2024-09-07 08:19:51:430,8891,0.4,8819,0.7,18611,0.3,23824,2.00 145,1,2024-09-07 08:19:50:561,84864,84864,0,0,40707679858,434693184,82950,1653,261,383,391615,0 145,2,2024-09-07 08:19:51:460,60028,60028,0,0,4220692,0,3903 145,3,2024-09-07 08:19:50:909,1,49,17,0,151,830,49,0 146,0,2024-09-07 08:19:51:631,12646,1.5,12664,1.2,25603,2.4,34302,2.25 146,1,2024-09-07 08:19:51:609,85708,85708,0,0,40309719395,431841907,83423,1985,300,370,391600,0 146,2,2024-09-07 08:19:51:737,62166,62166,0,0,4019974,0,2379 146,3,2024-09-07 08:19:51:311,1,49,3,0,1520,2875,49,0 147,0,2024-09-07 08:19:51:767,16355,3.6,16082,2.1,31978,5.1,44011,3.50 147,1,2024-09-07 08:19:51:383,85892,85892,0,0,40410618998,427011697,84195,1344,353,369,391791,0 147,2,2024-09-07 08:19:51:017,63928,63928,0,0,3883650,0,2789 147,3,2024-09-07 08:19:50:920,1,49,1,1,141,709,49,0 0,0,2024-09-07 08:20:01:804,10799,1.2,10813,1.1,22899,1.7,30038,2.00 0,1,2024-09-07 08:20:00:827,87591,87591,0,0,41525307934,441670519,86387,1078,126,372,391673,0 0,2,2024-09-07 08:20:01:067,62856,62856,0,0,3927129,0,4480 0,3,2024-09-07 08:20:00:977,1,50,10,2,247,863,50,0 1,0,2024-09-07 08:20:01:763,17191,3.4,17253,2.1,34669,4.9,46752,3.25 1,1,2024-09-07 08:20:00:565,87093,87093,0,0,40867397089,435682699,85431,1137,525,372,391857,0 1,2,2024-09-07 08:20:00:639,62461,62461,0,0,3545824,0,3267 1,3,2024-09-07 08:20:01:308,1,50,1,1,167,594,50,0 2,0,2024-09-07 08:20:01:578,13680,2.4,13935,1.7,27520,4.5,37159,3.00 2,1,2024-09-07 08:20:00:860,87280,87280,0,0,41661872739,439223847,86203,874,203,382,391558,0 2,2,2024-09-07 08:20:01:274,63889,63889,0,0,3855332,0,3304 2,3,2024-09-07 08:20:00:690,1,50,1,0,214,637,50,0 3,0,2024-09-07 08:20:01:755,9401,0.4,9422,0.7,18902,0.3,24852,2.00 3,1,2024-09-07 08:20:01:625,87061,87061,0,0,40910230136,434648670,85083,1592,386,382,391514,0 3,2,2024-09-07 08:20:01:149,62100,62077,23,0,4198641,0,5851 3,3,2024-09-07 08:20:01:753,1,50,1,0,103,470,50,0 4,0,2024-09-07 08:20:01:800,8901,0.3,9194,0.5,18564,0.2,24914,1.75 4,1,2024-09-07 08:20:00:598,87465,87465,0,0,40736440705,435621704,85647,1402,416,372,391846,0 4,2,2024-09-07 08:20:01:025,61516,61516,0,0,4494610,0,4528 4,3,2024-09-07 08:20:01:037,1,50,8,1,287,968,50,0 5,0,2024-09-07 08:20:01:417,15591,1.0,15214,0.9,31018,1.3,41233,2.00 5,1,2024-09-07 08:20:00:772,87139,87139,0,0,41235220985,440580745,84966,1735,438,368,392005,0 5,2,2024-09-07 08:20:01:831,61884,61884,0,0,3597825,0,2259 5,3,2024-09-07 08:20:01:733,1,50,3,1,238,1287,50,0 6,0,2024-09-07 08:20:00:939,14713,2.4,14434,1.7,28900,3.4,39148,4.00 6,1,2024-09-07 08:20:00:749,87762,87762,0,0,40863194729,430520989,86682,1011,69,382,391603,0 6,2,2024-09-07 08:20:01:119,66706,66706,0,0,3912555,0,2411 6,3,2024-09-07 08:20:01:280,1,50,1,1,340,1350,50,0 7,0,2024-09-07 08:20:01:544,8684,0.3,8656,0.6,17512,0.2,23214,1.75 7,1,2024-09-07 08:20:00:855,87433,87433,0,0,41738946099,440348796,86455,914,64,383,391664,0 7,2,2024-09-07 08:20:00:773,61157,61157,0,0,3535255,0,2981 7,3,2024-09-07 08:20:00:860,1,50,2,0,83,507,50,0 8,0,2024-09-07 08:20:01:344,8915,0.3,8708,0.6,17262,0.2,23500,1.75 8,1,2024-09-07 08:20:01:033,87222,87222,0,0,41426541841,451205342,83763,2209,1250,368,391724,0 8,2,2024-09-07 08:20:00:790,60169,60169,0,0,4572002,0,2986 8,3,2024-09-07 08:20:00:584,1,50,29,0,229,946,50,0 9,0,2024-09-07 08:20:01:126,14486,0.5,14173,0.7,29665,0.4,39145,1.75 9,1,2024-09-07 08:20:00:574,87353,87353,0,0,41375870927,443135774,85082,1705,566,370,391559,0 9,2,2024-09-07 08:20:01:085,63036,63036,0,0,4202184,0,3360 9,3,2024-09-07 08:20:01:762,1,50,2,0,149,851,50,0 10,0,2024-09-07 08:20:01:615,15901,1.6,16001,1.2,31955,2.9,42408,3.50 10,1,2024-09-07 08:20:00:584,86685,86685,0,0,41083138023,444183597,83351,2812,522,383,391541,0 10,2,2024-09-07 08:20:00:763,65525,65525,0,0,4447659,0,2940 10,3,2024-09-07 08:20:00:872,1,50,1,0,136,499,50,0 11,0,2024-09-07 08:20:01:022,10601,3.3,10327,2.2,21631,5.6,29241,2.75 11,1,2024-09-07 08:20:00:575,87269,87269,0,0,40850787072,439240454,84632,1822,815,384,391537,0 11,2,2024-09-07 08:20:01:141,60847,60847,0,0,4120503,0,2635 11,3,2024-09-07 08:20:01:308,1,50,1,0,720,2154,50,0 12,0,2024-09-07 08:20:01:031,10539,0.3,10637,0.5,21096,0.2,27240,1.75 12,1,2024-09-07 08:20:00:940,87171,87171,0,0,40513649931,431527341,85542,1530,99,372,391790,0 12,2,2024-09-07 08:20:01:552,62054,62054,0,0,3761493,0,2254 12,3,2024-09-07 08:20:01:070,1,50,1,1,358,1262,50,0 13,0,2024-09-07 08:20:01:428,12291,0.5,12490,0.7,24819,0.6,33240,1.75 13,1,2024-09-07 08:20:01:540,87595,87595,0,0,41049267549,438611890,86019,1210,366,384,391710,0 13,2,2024-09-07 08:20:00:610,63776,63776,0,0,3523772,0,3287 13,3,2024-09-07 08:20:01:768,1,50,18,1,373,1087,50,0 14,0,2024-09-07 08:20:00:576,17531,1.4,17591,1.3,34784,1.6,46341,2.75 14,1,2024-09-07 08:20:01:562,88342,88342,0,0,41084383149,431081819,87465,847,30,365,391546,0 14,2,2024-09-07 08:20:00:769,63481,63481,0,0,4129911,0,2793 14,3,2024-09-07 08:20:01:116,1,50,1,1,906,1244,50,0 15,0,2024-09-07 08:20:01:575,12960,3.0,12828,1.9,25738,5.3,34889,3.50 15,1,2024-09-07 08:20:01:612,87410,87410,0,0,40814130677,429873146,86628,747,35,381,391536,0 15,2,2024-09-07 08:20:01:002,63205,63205,0,0,3065275,0,3043 15,3,2024-09-07 08:20:01:420,1,50,3,0,538,1764,50,0 16,0,2024-09-07 08:20:00:982,9899,0.4,10011,0.6,19794,0.2,26590,2.00 16,1,2024-09-07 08:20:00:569,87501,87501,0,0,40915802424,433778246,86395,1084,22,372,391543,0 16,2,2024-09-07 08:20:01:459,61663,61663,0,0,4319183,0,4719 16,3,2024-09-07 08:20:01:142,1,50,5,1,231,1154,50,0 17,0,2024-09-07 08:20:01:813,10597,0.7,10387,0.9,20519,0.8,27809,2.00 17,1,2024-09-07 08:20:00:573,87119,87119,0,0,41144002335,440909028,85326,1271,522,369,391688,0 17,2,2024-09-07 08:20:01:686,62858,62858,0,0,3241437,0,2857 17,3,2024-09-07 08:20:00:574,1,50,1,0,268,1296,50,0 18,0,2024-09-07 08:20:00:993,15778,1.0,16070,1.0,32177,1.2,43229,2.50 18,1,2024-09-07 08:20:01:653,87496,87496,0,0,41162570187,434166265,86248,1030,218,368,391526,0 18,2,2024-09-07 08:20:01:759,61349,61349,0,0,4091704,0,3541 18,3,2024-09-07 08:20:00:896,1,50,21,0,163,889,50,0 19,0,2024-09-07 08:20:01:559,13834,2.5,13919,1.9,27439,3.9,37121,5.75 19,1,2024-09-07 08:20:00:567,87657,87657,0,0,41302113807,438200503,85897,1598,162,367,391696,0 19,2,2024-09-07 08:20:01:752,65955,65955,0,0,3937187,0,3988 19,3,2024-09-07 08:20:01:138,1,50,6,0,524,886,50,0 20,0,2024-09-07 08:20:01:361,9120,0.3,9124,0.5,17927,0.2,23535,1.75 20,1,2024-09-07 08:20:00:569,87477,87477,0,0,41842556414,443914675,85799,1517,161,370,391598,0 20,2,2024-09-07 08:20:00:963,61487,61487,0,0,3362362,0,2446 20,3,2024-09-07 08:20:00:590,1,50,1,1,99,797,50,0 21,0,2024-09-07 08:20:01:179,9022,0.3,8956,0.6,17722,0.2,23327,2.00 21,1,2024-09-07 08:20:01:551,86833,86833,0,0,40317596647,432574782,84601,1744,488,368,391962,0 21,2,2024-09-07 08:20:01:088,60923,60923,0,0,3628567,0,3747 21,3,2024-09-07 08:20:01:421,1,50,10,0,93,771,50,0 22,0,2024-09-07 08:20:01:742,15085,0.8,14964,1.0,29902,0.8,40466,2.25 22,1,2024-09-07 08:20:01:023,86882,86882,0,0,40579146177,437010241,83941,2216,725,382,391667,0 22,2,2024-09-07 08:20:00:765,62719,62719,0,0,3375009,0,3134 22,3,2024-09-07 08:20:01:066,1,50,1,0,62,277,50,0 23,0,2024-09-07 08:20:01:404,14592,2.2,14807,1.4,29471,3.7,39317,3.00 23,1,2024-09-07 08:20:01:007,87979,87979,0,0,41405228995,435319626,86633,1092,254,368,391496,0 23,2,2024-09-07 08:20:01:092,66868,66868,0,0,3527973,0,2078 23,3,2024-09-07 08:20:01:764,1,50,0,0,645,1205,50,0 24,0,2024-09-07 08:20:00:842,10447,0.8,10546,0.9,21008,1.1,27658,1.75 24,1,2024-09-07 08:20:00:586,87989,87989,0,0,41326753948,435149997,87192,791,6,371,391640,0 24,2,2024-09-07 08:20:01:077,60571,60571,0,0,4635603,0,2942 24,3,2024-09-07 08:20:01:916,1,50,1,1,234,876,50,0 25,0,2024-09-07 08:20:01:407,9510,0.3,9352,0.5,18072,0.2,24268,1.75 25,1,2024-09-07 08:20:00:567,87149,87149,0,0,41001297195,439412654,85019,1819,311,373,391788,0 25,2,2024-09-07 08:20:01:615,60925,60925,0,0,4186167,0,3284 25,3,2024-09-07 08:20:01:003,1,50,1,1,158,565,50,0 26,0,2024-09-07 08:20:01:744,13054,0.6,12882,0.7,26998,0.6,35931,2.00 26,1,2024-09-07 08:20:01:546,87381,87381,0,0,40697366875,440821294,83921,2625,835,382,391564,0 26,2,2024-09-07 08:20:00:862,63791,63791,0,0,4396558,0,2809 26,3,2024-09-07 08:20:01:713,1,50,1,0,796,1189,50,0 27,0,2024-09-07 08:20:01:746,16449,1.6,16561,1.2,33154,2.1,44349,2.25 27,1,2024-09-07 08:20:01:678,88127,88127,0,0,41629204060,437675012,87061,771,295,381,391526,0 27,2,2024-09-07 08:20:00:867,63991,63991,0,0,5349114,0,3409 27,3,2024-09-07 08:20:01:020,1,50,1,0,564,985,50,0 28,0,2024-09-07 08:20:01:407,12092,0.9,12270,1.0,24308,1.2,32371,2.00 28,1,2024-09-07 08:20:00:807,87577,87577,0,0,41675276445,440054214,86520,857,200,383,391500,0 28,2,2024-09-07 08:20:01:769,61413,61413,0,0,3356570,0,2609 28,3,2024-09-07 08:20:01:778,1,50,1,1,502,1117,50,0 29,0,2024-09-07 08:20:01:377,10757,0.3,10529,0.6,20832,0.2,28213,1.75 29,1,2024-09-07 08:20:01:560,88413,88413,0,0,41471090911,433024461,87672,569,172,369,391621,0 29,2,2024-09-07 08:20:00:861,62619,62619,0,0,2946607,0,2026 29,3,2024-09-07 08:20:00:965,1,50,1,1,105,611,50,0 30,0,2024-09-07 08:20:01:466,11157,1.1,10869,1.0,22459,1.4,29942,2.75 30,1,2024-09-07 08:20:00:570,87449,87449,0,0,41861457025,441232968,86477,907,65,382,391524,0 30,2,2024-09-07 08:20:01:274,63393,63393,0,0,3012823,0,2534 30,3,2024-09-07 08:20:00:587,1,50,1,0,195,561,50,0 31,0,2024-09-07 08:20:01:766,17524,2.0,17335,1.3,35120,3.5,46540,3.50 31,1,2024-09-07 08:20:00:567,87983,87983,0,0,42222517609,436358823,87736,245,2,356,391553,0 31,2,2024-09-07 08:20:01:284,62843,62843,0,0,4357903,0,3525 31,3,2024-09-07 08:20:01:715,1,50,1,0,129,470,50,0 32,0,2024-09-07 08:20:01:433,14035,2.1,14131,1.3,28337,3.3,37743,2.00 32,1,2024-09-07 08:20:00:821,87507,87507,0,0,40975113500,431074417,86512,967,28,382,391595,0 32,2,2024-09-07 08:20:00:945,65095,65095,0,0,3631516,0,3155 32,3,2024-09-07 08:20:01:019,1,50,1,0,110,587,50,0 33,0,2024-09-07 08:20:01:515,9480,0.3,9361,0.5,19009,0.2,24786,1.75 33,1,2024-09-07 08:20:00:575,87569,87569,0,0,42185480703,444288992,85911,1535,123,369,391526,0 33,2,2024-09-07 08:20:00:765,61635,61602,33,0,5039221,0,7012 33,3,2024-09-07 08:20:00:902,1,50,1,1,51,271,50,0 34,0,2024-09-07 08:20:00:943,9180,0.2,9475,0.4,18174,0.2,24800,1.75 34,1,2024-09-07 08:20:01:052,88117,88117,0,0,41828559816,435228067,87525,588,4,368,391517,0 34,2,2024-09-07 08:20:00:771,61222,61222,0,0,4025122,0,3255 34,3,2024-09-07 08:20:01:921,1,50,14,0,148,622,50,0 35,0,2024-09-07 08:20:00:868,15251,1.1,15335,0.9,30797,1.6,41038,2.00 35,1,2024-09-07 08:20:01:067,87440,87440,0,0,41419615336,435864607,85975,1104,361,384,391587,0 35,2,2024-09-07 08:20:01:585,62045,62045,0,0,3506546,0,2542 35,3,2024-09-07 08:20:00:909,1,50,2,0,219,966,50,0 36,0,2024-09-07 08:20:01:527,14651,2.7,14405,1.7,29490,4.0,39266,3.00 36,1,2024-09-07 08:20:00:584,87193,87193,0,0,41708178740,446820327,84182,2080,931,366,391535,0 36,2,2024-09-07 08:20:01:753,66357,66357,0,0,4172826,0,3303 36,3,2024-09-07 08:20:00:865,1,50,9,0,378,1016,50,0 37,0,2024-09-07 08:20:01:380,8837,0.3,8794,0.5,17631,0.2,23239,1.75 37,1,2024-09-07 08:20:00:573,86836,86829,0,7,40867533733,441895149,83991,1321,1517,365,391507,0 37,2,2024-09-07 08:20:01:142,61417,61417,0,0,3060419,0,2333 37,3,2024-09-07 08:20:01:778,1,50,2,0,724,1679,50,0 38,0,2024-09-07 08:20:01:450,8800,0.3,8501,0.5,17653,0.2,23493,1.75 38,1,2024-09-07 08:20:01:612,87507,87507,0,0,41115950257,437615335,85366,1694,447,370,391512,0 38,2,2024-09-07 08:20:00:765,61103,61103,0,0,3794805,0,3245 38,3,2024-09-07 08:20:00:998,1,50,1,0,603,996,50,0 39,0,2024-09-07 08:20:01:796,15041,0.6,14949,0.7,28754,0.7,39398,2.00 39,1,2024-09-07 08:20:00:717,87549,87549,0,0,40813541617,434892190,84989,1922,638,366,391524,0 39,2,2024-09-07 08:20:01:450,62774,62774,0,0,3517656,0,2381 39,3,2024-09-07 08:20:00:713,1,50,13,0,276,1107,50,0 40,0,2024-09-07 08:20:01:515,15616,2.6,15809,2.6,31174,5.1,42028,4.00 40,1,2024-09-07 08:20:00:581,87226,87226,0,0,40517939812,436221277,83977,2496,753,370,391591,0 40,2,2024-09-07 08:20:01:314,65716,65715,1,0,4714257,0,5137 40,3,2024-09-07 08:20:01:142,1,50,1,1,110,712,50,0 41,0,2024-09-07 08:20:01:054,10360,2.5,10600,7.1,20330,6.2,28193,4.00 41,1,2024-09-07 08:20:00:768,87193,87193,0,0,40978466587,438061183,84889,1992,312,370,391484,0 41,2,2024-09-07 08:20:00:761,60499,60499,0,0,4719138,0,3356 41,3,2024-09-07 08:20:01:676,1,50,1,1,168,611,50,0 42,0,2024-09-07 08:20:01:483,10379,0.4,10574,0.8,20899,0.3,27218,2.00 42,1,2024-09-07 08:20:01:441,87233,87233,0,0,40868142644,441222964,84242,2161,830,381,391511,0 42,2,2024-09-07 08:20:01:145,61847,61847,0,0,4146882,0,3568 42,3,2024-09-07 08:20:01:009,1,50,4,0,100,536,50,0 43,0,2024-09-07 08:20:00:933,12264,2.1,11998,1.4,25005,3.2,33243,2.25 43,1,2024-09-07 08:20:00:577,87334,87334,0,0,41368943826,440665638,85145,1437,752,367,391604,0 43,2,2024-09-07 08:20:01:736,63789,63789,0,0,4379331,0,3812 43,3,2024-09-07 08:20:01:751,1,50,5,1,292,1144,50,0 44,0,2024-09-07 08:20:00:868,17524,1.3,17526,1.3,34800,1.5,46794,2.25 44,1,2024-09-07 08:20:00:564,87781,87781,0,0,41098678728,430651917,86766,908,107,357,391505,0 44,2,2024-09-07 08:20:01:274,63446,63446,0,0,3158736,0,1865 44,3,2024-09-07 08:20:01:096,1,50,1,1,817,1297,50,0 45,0,2024-09-07 08:20:01:871,12831,3.4,12584,2.0,26354,5.7,35207,2.25 45,1,2024-09-07 08:20:01:005,87700,87700,0,0,41817827601,438158070,87234,465,1,382,391917,0 45,2,2024-09-07 08:20:01:280,63211,63211,0,0,3760735,0,2628 45,3,2024-09-07 08:20:00:941,1,50,1,1,226,732,50,0 46,0,2024-09-07 08:20:00:968,10006,0.3,9983,0.5,20087,0.2,26643,1.75 46,1,2024-09-07 08:20:00:582,87842,87842,0,0,41042551009,429380801,87012,794,36,368,391514,0 46,2,2024-09-07 08:20:00:598,62506,62506,0,0,3674821,0,2920 46,3,2024-09-07 08:20:01:133,1,50,1,0,315,1019,50,0 47,0,2024-09-07 08:20:01:116,10323,0.6,10476,0.7,20831,0.6,27703,1.75 47,1,2024-09-07 08:20:00:566,88084,88084,0,0,40905984195,426174676,87578,502,4,367,391548,0 47,2,2024-09-07 08:20:00:922,63199,63199,0,0,3674868,0,2558 47,3,2024-09-07 08:20:01:116,1,50,1,1,529,1034,50,0 48,0,2024-09-07 08:20:01:502,16258,0.4,16221,0.6,32037,0.4,43701,1.75 48,1,2024-09-07 08:20:01:023,87682,87682,0,0,41087914950,433000763,86487,1190,5,386,391637,0 48,2,2024-09-07 08:20:00:707,62212,62212,0,0,2873380,0,2083 48,3,2024-09-07 08:20:00:753,1,50,2,1,30,540,50,0 49,0,2024-09-07 08:20:01:725,14444,2.4,14192,1.5,27784,4.1,38478,2.25 49,1,2024-09-07 08:20:01:022,87411,87411,0,0,41437465949,440328192,85676,1026,709,382,391583,0 49,2,2024-09-07 08:20:01:808,66069,66069,0,0,4089220,0,3900 49,3,2024-09-07 08:20:01:422,1,50,28,0,274,740,50,0 50,0,2024-09-07 08:20:01:527,9145,0.2,8925,0.5,17974,0.2,23921,1.75 50,1,2024-09-07 08:20:01:018,88315,88315,0,0,41554799364,437825568,86649,1369,297,369,391530,0 50,2,2024-09-07 08:20:01:069,62303,62303,0,0,3114457,0,2253 50,3,2024-09-07 08:20:01:300,1,50,1,1,335,821,50,0 51,0,2024-09-07 08:20:01:688,9019,0.2,8861,0.4,17346,0.1,23500,1.50 51,1,2024-09-07 08:20:01:917,87360,87360,0,0,42339615928,447035989,85564,1014,782,367,391520,0 51,2,2024-09-07 08:20:01:321,61099,61099,0,0,2645939,0,2448 51,3,2024-09-07 08:20:01:031,1,50,1,0,162,443,50,0 52,0,2024-09-07 08:20:01:429,15296,1.1,15388,1.1,30748,1.4,40967,2.75 52,1,2024-09-07 08:20:00:580,87433,87433,0,0,40804444745,437091630,84940,2029,464,368,391513,0 52,2,2024-09-07 08:20:01:766,62352,62352,0,0,3833732,0,4779 52,3,2024-09-07 08:20:00:680,1,50,3,1,1782,2358,50,0 53,0,2024-09-07 08:20:01:747,14545,3.2,14055,2.0,28910,4.6,38541,3.50 53,1,2024-09-07 08:20:00:775,87245,87245,0,0,41314532052,442082565,84643,2028,574,370,391617,0 53,2,2024-09-07 08:20:01:299,67382,67382,0,0,3394152,0,1902 53,3,2024-09-07 08:20:00:703,1,50,4,1,59,350,50,0 54,0,2024-09-07 08:20:01:620,9614,10.0,9669,5.8,19205,2.6,26550,5.50 54,1,2024-09-07 08:20:00:580,87290,87290,0,0,41658607428,441808777,85182,1792,316,367,391520,0 54,2,2024-09-07 08:20:00:865,61325,61319,6,0,3963734,0,5382 54,3,2024-09-07 08:20:00:770,1,50,1,0,676,1420,50,0 55,0,2024-09-07 08:20:01:773,8987,0.4,9253,0.7,18810,0.3,24101,2.25 55,1,2024-09-07 08:20:00:763,87019,87019,0,0,41104414321,439744514,83594,2723,702,367,391731,0 55,2,2024-09-07 08:20:00:738,61114,61114,0,0,3814172,0,3275 55,3,2024-09-07 08:20:00:678,1,50,20,0,136,650,50,0 56,0,2024-09-07 08:20:01:576,13469,2.5,12764,1.7,26175,3.8,35750,2.75 56,1,2024-09-07 08:20:00:576,87055,87055,0,0,41567069661,451456621,83677,2632,746,383,391536,0 56,2,2024-09-07 08:20:01:310,64061,64061,0,0,4578551,0,3567 56,3,2024-09-07 08:20:01:067,1,50,2,1,297,837,50,0 57,0,2024-09-07 08:20:00:957,16148,4.3,15840,2.3,32050,6.3,43096,4.75 57,1,2024-09-07 08:20:01:001,87126,87126,0,0,40581038180,431205050,85659,1448,19,368,391760,0 57,2,2024-09-07 08:20:01:318,64704,64704,0,0,4561071,0,3178 57,3,2024-09-07 08:20:01:741,1,50,3,1,359,1383,50,0 58,0,2024-09-07 08:20:00:595,11394,1.0,11067,1.3,23146,0.9,30357,3.00 58,1,2024-09-07 08:20:00:577,88055,88053,0,2,41475890998,438259535,86458,1436,159,369,391516,2 58,2,2024-09-07 08:20:01:072,61721,61721,0,0,4274006,0,2549 58,3,2024-09-07 08:20:01:068,1,50,1,1,219,746,50,0 59,0,2024-09-07 08:20:01:752,10655,0.8,10574,0.9,21285,0.7,27902,2.50 59,1,2024-09-07 08:20:00:821,87062,87062,0,0,41019761980,439775974,84817,1478,767,369,391515,0 59,2,2024-09-07 08:20:00:583,62429,62429,0,0,3751500,0,2604 59,3,2024-09-07 08:20:01:738,1,50,4,0,1015,1600,50,0 60,0,2024-09-07 08:20:01:742,11125,0.8,11251,0.9,22352,1.0,30116,2.00 60,1,2024-09-07 08:20:00:786,88561,88561,0,0,41426564250,436164696,87494,723,344,370,391672,0 60,2,2024-09-07 08:20:01:157,63142,63142,0,0,3382241,0,2142 60,3,2024-09-07 08:20:01:262,1,50,1,0,124,754,50,0 61,0,2024-09-07 08:20:01:538,17366,3.2,17358,1.9,34602,4.7,46608,2.75 61,1,2024-09-07 08:20:00:773,87151,87151,0,0,40997046586,440099647,84606,2011,534,383,391589,0 61,2,2024-09-07 08:20:01:124,63018,63018,0,0,3516095,0,1846 61,3,2024-09-07 08:20:01:687,1,50,1,1,199,965,50,0 62,0,2024-09-07 08:20:01:728,14031,2.3,14397,1.5,27727,4.2,37713,2.50 62,1,2024-09-07 08:20:01:121,88176,88170,0,6,41951978436,439667487,86919,1214,37,366,391586,6 62,2,2024-09-07 08:20:01:647,64285,64285,0,0,4138694,0,2931 62,3,2024-09-07 08:20:01:149,1,50,1,0,287,597,50,0 63,0,2024-09-07 08:20:01:463,9381,0.4,9360,0.7,18855,0.3,24874,2.00 63,1,2024-09-07 08:20:00:821,88419,88415,0,4,41559592239,433365577,88018,396,1,381,391540,4 63,2,2024-09-07 08:20:00:761,62063,62063,0,0,3585785,0,2674 63,3,2024-09-07 08:20:01:733,1,50,2,0,667,1730,50,0 64,0,2024-09-07 08:20:01:545,9055,0.4,9111,0.7,18246,0.3,24807,1.75 64,1,2024-09-07 08:20:00:749,87643,87643,0,0,40920992562,438674420,85212,1638,793,371,391604,0 64,2,2024-09-07 08:20:01:157,61941,61922,19,0,3943186,0,6121 64,3,2024-09-07 08:20:01:141,1,50,41,1,163,673,50,0 65,0,2024-09-07 08:20:01:725,15096,3.0,15260,1.8,30293,4.5,40564,4.25 65,1,2024-09-07 08:20:00:869,87470,87470,0,0,40626982024,432426544,85768,1483,219,382,391569,0 65,2,2024-09-07 08:20:01:932,62357,62357,0,0,3942572,0,3367 65,3,2024-09-07 08:20:01:927,1,50,6,1,163,759,50,0 66,0,2024-09-07 08:20:01:806,14119,2.1,14299,1.5,28693,3.9,38653,3.75 66,1,2024-09-07 08:20:01:295,88477,88477,0,0,41230862675,431516714,87791,666,20,381,391537,0 66,2,2024-09-07 08:20:01:140,67109,67109,0,0,3893456,0,3867 66,3,2024-09-07 08:20:01:082,1,50,4,1,291,870,50,0 67,0,2024-09-07 08:20:01:468,8847,0.7,8905,0.9,17471,0.6,23170,2.50 67,1,2024-09-07 08:20:00:767,87309,87308,0,1,41005900314,437605763,85313,1470,525,382,391787,1 67,2,2024-09-07 08:20:00:583,61772,61772,0,0,3340968,0,2889 67,3,2024-09-07 08:20:01:760,1,50,9,0,138,584,50,0 68,0,2024-09-07 08:20:00:612,8712,0.4,8649,0.7,17482,0.2,23291,2.00 68,1,2024-09-07 08:20:00:575,87226,87226,0,0,41219088751,437853359,85835,869,522,383,391953,0 68,2,2024-09-07 08:20:01:054,61305,61305,0,0,3375626,0,4883 68,3,2024-09-07 08:20:00:731,1,50,3,1,63,537,50,0 69,0,2024-09-07 08:20:01:788,14502,1.7,14435,1.4,28839,2.9,39282,3.00 69,1,2024-09-07 08:20:01:041,86830,86830,0,0,41058541890,442288755,84895,1071,864,386,391530,0 69,2,2024-09-07 08:20:01:736,63440,63440,0,0,3877789,0,3153 69,3,2024-09-07 08:20:00:780,1,50,9,0,238,893,50,0 70,0,2024-09-07 08:20:01:553,15619,4.3,15574,3.2,31473,4.3,41621,5.50 70,1,2024-09-07 08:20:00:822,87832,87832,0,0,41717273807,436744863,86921,777,134,369,391527,0 70,2,2024-09-07 08:20:01:326,65771,65771,0,0,4005790,0,4044 70,3,2024-09-07 08:20:00:745,1,50,1,0,178,425,50,0 71,0,2024-09-07 08:20:01:385,10440,2.8,10417,5.2,20510,4.5,28381,4.75 71,1,2024-09-07 08:20:01:600,87167,87167,0,0,41285936037,438749614,84984,1868,315,368,391682,0 71,2,2024-09-07 08:20:01:067,61010,61010,0,0,3376480,0,2146 71,3,2024-09-07 08:20:01:753,1,50,2,0,174,480,50,0 72,0,2024-09-07 08:20:01:047,10762,0.4,10401,0.7,20711,0.3,27338,2.75 72,1,2024-09-07 08:20:01:039,87789,87789,0,0,41559735332,439020134,86251,1462,76,370,391521,0 72,2,2024-09-07 08:20:01:763,61122,61122,0,0,4927847,0,2570 72,3,2024-09-07 08:20:01:761,1,50,8,1,325,1210,50,0 73,0,2024-09-07 08:20:01:147,12025,0.7,12379,0.9,25015,0.7,33135,2.50 73,1,2024-09-07 08:20:00:765,87705,87705,0,0,41184319267,433952118,86749,913,43,368,391627,0 73,2,2024-09-07 08:20:01:750,63973,63973,0,0,4479446,0,3482 73,3,2024-09-07 08:20:00:970,1,50,1,0,274,1181,50,0 74,0,2024-09-07 08:20:01:345,17424,2.3,17806,1.6,34305,3.7,46387,4.00 74,1,2024-09-07 08:20:00:635,87402,87402,0,0,41173658170,437434550,85510,1320,572,382,391497,0 74,2,2024-09-07 08:20:01:004,63224,63224,0,0,4782016,0,4253 74,3,2024-09-07 08:20:01:448,1,50,1,0,246,1259,50,0 75,0,2024-09-07 08:20:01:791,13054,3.3,13061,1.9,25993,5.3,35384,3.50 75,1,2024-09-07 08:20:01:588,87821,87821,0,0,41093655221,436913232,86140,1577,104,382,391514,0 75,2,2024-09-07 08:20:01:349,62737,62737,0,0,4595830,0,4766 75,3,2024-09-07 08:20:01:068,1,50,3,0,143,371,50,0 76,0,2024-09-07 08:20:00:594,9983,0.4,9889,0.6,19740,0.2,26415,2.00 76,1,2024-09-07 08:20:00:826,87419,87419,0,0,40356664356,430965282,85616,1297,506,382,391530,0 76,2,2024-09-07 08:20:01:068,62445,62445,0,0,3609621,0,3064 76,3,2024-09-07 08:20:01:142,1,50,3,0,175,947,50,0 77,0,2024-09-07 08:20:01:728,10385,1.5,10376,1.2,20766,2.3,27731,2.25 77,1,2024-09-07 08:20:00:829,87437,87437,0,0,41219561988,435032672,86413,956,68,383,391516,0 77,2,2024-09-07 08:20:01:294,62930,62930,0,0,3055746,0,1695 77,3,2024-09-07 08:20:01:099,1,50,3,0,139,536,50,0 78,0,2024-09-07 08:20:01:733,16018,1.8,15987,1.4,32200,2.7,43320,2.75 78,1,2024-09-07 08:20:00:610,88178,88178,0,0,40944336323,433131035,86764,1210,204,368,391589,0 78,2,2024-09-07 08:20:01:434,62162,62162,0,0,3156553,0,2114 78,3,2024-09-07 08:20:01:133,1,50,5,0,181,934,50,0 79,0,2024-09-07 08:20:01:360,13600,1.9,13981,1.4,28517,3.6,37480,4.25 79,1,2024-09-07 08:20:00:580,87589,87589,0,0,41414111775,436543209,86255,1265,69,370,391540,0 79,2,2024-09-07 08:20:01:068,65831,65831,0,0,3681285,0,2679 79,3,2024-09-07 08:20:00:754,1,50,1,0,289,660,50,0 80,0,2024-09-07 08:20:01:167,8973,0.5,9236,0.7,17849,0.3,23819,2.00 80,1,2024-09-07 08:20:01:632,87074,87074,0,0,41478178138,437721385,85493,1466,115,369,391673,0 80,2,2024-09-07 08:20:01:120,61619,61619,0,0,3808227,0,4433 80,3,2024-09-07 08:20:00:576,1,50,1,1,148,942,50,0 81,0,2024-09-07 08:20:01:560,8913,0.5,9101,0.7,17487,0.3,23518,2.25 81,1,2024-09-07 08:20:01:650,87522,87522,0,0,40829071822,434751796,85641,1684,197,383,391646,0 81,2,2024-09-07 08:20:01:144,60806,60806,0,0,3963046,0,3993 81,3,2024-09-07 08:20:01:142,1,50,2,1,193,732,50,0 82,0,2024-09-07 08:20:01:539,15088,1.3,15166,1.3,30317,1.7,40877,2.25 82,1,2024-09-07 08:20:00:583,87134,87133,0,1,41044116037,436062870,85099,1462,572,383,391558,1 82,2,2024-09-07 08:20:01:698,63598,63598,0,0,3055197,0,2230 82,3,2024-09-07 08:20:01:996,1,50,6,0,211,907,50,0 83,0,2024-09-07 08:20:01:539,14605,2.3,14562,1.8,28767,2.6,38280,3.50 83,1,2024-09-07 08:20:00:567,87658,87658,0,0,40783304312,432341233,86254,1304,100,383,391553,0 83,2,2024-09-07 08:20:00:764,66059,66059,0,0,4094319,0,3119 83,3,2024-09-07 08:20:00:754,1,50,1,0,91,508,50,0 84,0,2024-09-07 08:20:01:802,9963,6.4,9842,6.2,19834,1.8,27121,3.75 84,1,2024-09-07 08:20:01:061,87468,87468,0,0,40976122903,435796298,85902,1320,246,369,391630,0 84,2,2024-09-07 08:20:00:578,60725,60725,0,0,3791481,0,3801 84,3,2024-09-07 08:20:01:141,1,50,37,1,43,588,50,0 85,0,2024-09-07 08:20:01:058,9015,0.4,9059,0.7,19090,0.3,24230,2.00 85,1,2024-09-07 08:20:00:567,86818,86818,0,0,41407503658,445034048,84385,2008,425,384,391626,0 85,2,2024-09-07 08:20:00:878,60932,60932,0,0,4139245,0,3656 85,3,2024-09-07 08:20:00:689,1,50,15,0,115,574,50,0 86,0,2024-09-07 08:20:00:924,13100,1.0,13621,0.9,26315,1.3,35805,2.00 86,1,2024-09-07 08:20:00:836,87170,87170,0,0,41204862178,437174607,85417,1539,214,367,391622,0 86,2,2024-09-07 08:20:00:870,63856,63855,1,0,4357206,0,5004 86,3,2024-09-07 08:20:00:602,1,50,7,0,199,762,50,0 87,0,2024-09-07 08:20:01:324,16337,3.5,16261,2.1,32827,4.4,44128,3.50 87,1,2024-09-07 08:20:00:558,87030,87030,0,0,41085133923,436397310,85468,1442,120,368,391671,0 87,2,2024-09-07 08:20:01:074,65005,65005,0,0,3379245,0,2859 87,3,2024-09-07 08:20:01:798,1,50,11,1,322,1034,50,0 88,0,2024-09-07 08:20:01:543,12124,1.1,12269,1.1,24206,1.3,32283,2.00 88,1,2024-09-07 08:20:00:580,87268,87268,0,0,41009326194,434702696,86075,1099,94,367,391747,0 88,2,2024-09-07 08:20:00:694,61860,61860,0,0,4503504,0,3583 88,3,2024-09-07 08:20:01:276,1,50,1,1,77,698,50,0 89,0,2024-09-07 08:20:01:811,10945,0.3,10559,0.6,21083,0.3,28238,1.75 89,1,2024-09-07 08:20:00:566,86506,86506,0,0,41149758720,447092617,83294,2479,733,383,391866,0 89,2,2024-09-07 08:20:01:138,62047,62047,0,0,4218666,0,2726 89,3,2024-09-07 08:20:01:798,1,50,12,0,325,1586,50,0 90,0,2024-09-07 08:20:01:659,10818,0.8,11059,0.9,22700,1.1,30037,2.00 90,1,2024-09-07 08:20:00:635,87395,87395,0,0,41096062769,440637039,85359,1897,139,382,391825,0 90,2,2024-09-07 08:20:01:406,62407,62407,0,0,4904845,0,2635 90,3,2024-09-07 08:20:00:931,1,50,5,1,200,863,50,0 91,0,2024-09-07 08:20:01:011,17783,0.8,17174,0.9,35851,0.9,46962,2.00 91,1,2024-09-07 08:20:00:607,87281,87281,0,0,41447942668,443971285,84947,1974,360,384,391914,0 91,2,2024-09-07 08:20:01:344,62056,62056,0,0,3889838,0,1997 91,3,2024-09-07 08:20:00:649,1,50,3,1,155,714,50,0 92,0,2024-09-07 08:20:01:499,14164,1.7,14581,1.3,27933,3.4,37802,2.00 92,1,2024-09-07 08:20:00:598,87623,87623,0,0,41220232293,433939489,86990,569,64,383,391717,0 92,2,2024-09-07 08:20:01:372,65678,65678,0,0,3884018,0,2279 92,3,2024-09-07 08:20:01:014,1,50,5,1,68,684,50,0 93,0,2024-09-07 08:20:01:012,9412,0.4,9594,0.6,18310,0.2,24840,1.75 93,1,2024-09-07 08:20:00:812,87226,87226,0,0,41493131379,441506166,85477,1315,434,367,391689,0 93,2,2024-09-07 08:20:00:930,62300,62300,0,0,3484805,0,2509 93,3,2024-09-07 08:20:01:406,1,50,8,1,143,581,50,0 94,0,2024-09-07 08:20:01:655,9200,0.3,9232,0.5,18245,0.2,24812,1.75 94,1,2024-09-07 08:20:00:572,87197,87197,0,0,41154588552,438962630,85630,1503,64,381,391850,0 94,2,2024-09-07 08:20:00:767,61482,61482,0,0,3450220,0,2443 94,3,2024-09-07 08:20:01:688,1,50,44,1,231,1105,50,0 95,0,2024-09-07 08:20:01:369,15324,0.5,15300,0.7,31296,0.5,41236,1.75 95,1,2024-09-07 08:20:00:859,87636,87636,0,0,41211045230,433770379,86852,720,64,368,391590,0 95,2,2024-09-07 08:20:01:028,62488,62488,0,0,3667182,0,3308 95,3,2024-09-07 08:20:01:722,1,50,1,0,307,1169,50,0 96,0,2024-09-07 08:20:01:101,14797,1.8,14683,1.2,29492,2.6,39115,2.00 96,1,2024-09-07 08:20:01:587,87546,87546,0,0,41656023167,444595064,85719,1257,570,385,391551,0 96,2,2024-09-07 08:20:01:290,66711,66711,0,0,4339363,0,4038 96,3,2024-09-07 08:20:01:147,1,50,1,1,36,579,50,0 97,0,2024-09-07 08:20:01:331,8882,0.5,8826,0.7,17503,0.6,23219,2.00 97,1,2024-09-07 08:20:00:763,87108,87108,0,0,41449534012,440657777,84933,1658,517,367,391626,0 97,2,2024-09-07 08:20:00:612,61046,61046,0,0,3403179,0,3036 97,3,2024-09-07 08:20:00:577,1,50,7,1,165,658,50,0 98,0,2024-09-07 08:20:01:812,8758,0.2,8668,0.4,17665,0.2,23462,1.50 98,1,2024-09-07 08:20:00:570,87319,87319,0,0,41411584214,437600704,86258,1016,45,382,391588,0 98,2,2024-09-07 08:20:00:935,61577,61577,0,0,3542203,0,3080 98,3,2024-09-07 08:20:00:699,1,50,2,1,155,659,50,0 99,0,2024-09-07 08:20:01:462,14529,0.4,14493,0.6,29111,0.4,39200,1.75 99,1,2024-09-07 08:20:01:732,87943,87943,0,0,40652054538,430580259,86473,1031,439,381,391744,0 99,2,2024-09-07 08:20:01:420,64126,64126,0,0,3657495,0,1858 99,3,2024-09-07 08:20:00:582,1,50,42,0,129,580,50,0 100,0,2024-09-07 08:20:01:509,15873,2.9,15825,3.2,31643,5.3,42428,3.00 100,1,2024-09-07 08:20:00:574,87046,87046,0,0,40355591138,436913900,84374,2329,343,382,391585,0 100,2,2024-09-07 08:20:01:822,65245,65234,11,0,4856129,0,5417 100,3,2024-09-07 08:20:01:738,1,50,4,1,443,1622,50,0 101,0,2024-09-07 08:20:02:009,10908,5.6,10667,3.2,20997,3.1,29347,3.25 101,1,2024-09-07 08:20:00:578,87170,87170,0,0,40954191816,435982645,85714,1007,449,370,391709,0 101,2,2024-09-07 08:20:01:779,61091,61091,0,0,4016164,0,4644 101,3,2024-09-07 08:20:00:953,1,50,9,1,448,891,50,0 102,0,2024-09-07 08:20:01:005,10238,0.5,10558,0.6,21289,0.3,27172,2.00 102,1,2024-09-07 08:20:01:159,87384,87384,0,0,41171062715,440254001,85210,1729,445,369,391647,0 102,2,2024-09-07 08:20:01:738,61816,61816,0,0,3140955,0,1945 102,3,2024-09-07 08:20:01:616,1,50,1,0,410,761,50,0 103,0,2024-09-07 08:20:01:644,12665,0.7,12614,0.9,23890,0.8,33388,2.00 103,1,2024-09-07 08:20:01:637,87464,87464,0,0,41019698741,434735979,86277,1046,141,381,391680,0 103,2,2024-09-07 08:20:00:622,63680,63680,0,0,3540515,0,2104 103,3,2024-09-07 08:20:00:766,1,50,4,1,486,978,50,0 104,0,2024-09-07 08:20:01:087,17388,2.9,17451,1.7,33766,4.2,46416,3.00 104,1,2024-09-07 08:20:01:625,87811,87811,0,0,41136330567,440377678,85918,1568,325,368,391948,0 104,2,2024-09-07 08:20:01:670,62816,62816,0,0,4350897,0,3941 104,3,2024-09-07 08:20:01:421,1,50,10,1,1245,3491,50,0 105,0,2024-09-07 08:20:01:125,12933,2.6,12439,2.0,25798,4.6,35008,4.00 105,1,2024-09-07 08:20:00:563,87107,87107,0,0,40883599492,440733609,84180,2182,745,367,391797,0 105,2,2024-09-07 08:20:01:327,62606,62606,0,0,4026921,0,3314 105,3,2024-09-07 08:20:01:315,1,50,11,1,182,1103,50,0 106,0,2024-09-07 08:20:01:019,9675,0.3,9917,0.6,20042,0.2,26536,1.75 106,1,2024-09-07 08:20:01:781,87670,87670,0,0,40894707607,438396527,85278,2066,326,371,391767,0 106,2,2024-09-07 08:20:00:834,62127,62127,0,0,4126315,0,2795 106,3,2024-09-07 08:20:00:690,1,50,7,1,201,753,50,0 107,0,2024-09-07 08:20:01:139,10411,0.8,10391,0.9,20660,0.9,27609,2.00 107,1,2024-09-07 08:20:00:687,87204,87204,0,0,40855899006,437613628,85425,1608,171,382,392234,0 107,2,2024-09-07 08:20:01:306,62514,62513,1,0,3954479,0,5024 107,3,2024-09-07 08:20:01:760,1,50,11,0,353,1148,50,0 108,0,2024-09-07 08:20:02:033,16089,0.8,16309,0.9,32261,1.2,43586,1.75 108,1,2024-09-07 08:20:01:320,87232,87232,0,0,41267867300,437773094,85816,1284,132,371,391857,0 108,2,2024-09-07 08:20:01:776,62158,62158,0,0,3796997,0,2647 108,3,2024-09-07 08:20:01:338,1,50,2,1,60,702,50,0 109,0,2024-09-07 08:20:01:805,14313,1.3,14417,1.1,28243,2.3,38588,2.00 109,1,2024-09-07 08:20:00:588,87191,87191,0,0,41571399962,444227489,85594,1215,382,385,391664,0 109,2,2024-09-07 08:20:00:922,65190,65190,0,0,4242359,0,3617 109,3,2024-09-07 08:20:01:148,1,50,13,1,249,1046,50,0 110,0,2024-09-07 08:20:01:790,9071,0.3,8845,0.5,18487,0.2,23840,1.75 110,1,2024-09-07 08:20:01:655,87389,87389,0,0,41133727024,434092354,86082,1024,283,370,391588,0 110,2,2024-09-07 08:20:01:320,62211,62211,0,0,3420838,0,2915 110,3,2024-09-07 08:20:00:691,1,50,6,0,183,635,50,0 111,0,2024-09-07 08:20:01:425,8708,0.2,8895,0.4,17428,0.1,23432,1.50 111,1,2024-09-07 08:20:01:002,88153,88153,0,0,41798716385,436512670,87512,628,13,382,391690,0 111,2,2024-09-07 08:20:01:121,61222,61222,0,0,3612339,0,2763 111,3,2024-09-07 08:20:00:918,1,50,6,0,119,1016,50,0 112,0,2024-09-07 08:20:00:961,15304,0.6,15401,0.7,30605,0.5,41191,1.75 112,1,2024-09-07 08:20:00:824,87461,87461,0,0,41412608250,438571962,85954,1161,346,382,391580,0 112,2,2024-09-07 08:20:01:139,63017,63016,1,0,4039776,0,5036 112,3,2024-09-07 08:20:00:592,1,50,1,1,282,772,50,0 113,0,2024-09-07 08:20:00:876,14892,0.9,14661,0.9,29777,1.0,39534,1.75 113,1,2024-09-07 08:20:01:695,87727,87727,0,0,41625470595,439491559,85840,1504,383,368,391661,0 113,2,2024-09-07 08:20:01:321,66735,66735,0,0,3353758,0,3021 113,3,2024-09-07 08:20:00:691,1,50,288,1,288,1067,50,0 114,0,2024-09-07 08:20:00:890,10292,4.7,10400,3.4,20474,1.9,27578,2.25 114,1,2024-09-07 08:20:00:716,87422,87422,0,0,41529625540,445004153,84816,1725,881,381,391513,0 114,2,2024-09-07 08:20:00:873,60780,60780,0,0,3770187,0,3925 114,3,2024-09-07 08:20:01:283,1,50,1,1,159,689,50,0 115,0,2024-09-07 08:20:00:563,9236,0.2,9311,0.4,18621,0.1,24335,1.50 115,1,2024-09-07 08:20:00:573,87603,87603,0,0,41245865496,439152273,85231,1885,487,384,391506,0 115,2,2024-09-07 08:20:01:125,61832,61832,0,0,3134865,0,2152 115,3,2024-09-07 08:20:01:003,1,50,7,0,159,443,50,0 116,0,2024-09-07 08:20:01:827,13212,1.5,13143,1.3,26336,2.6,35853,2.25 116,1,2024-09-07 08:20:00:821,86752,86752,0,0,40619888431,437844145,84895,989,868,382,391573,0 116,2,2024-09-07 08:20:01:781,63651,63651,0,0,4004272,0,3529 116,3,2024-09-07 08:20:00:925,1,50,13,1,252,1155,50,0 117,0,2024-09-07 08:20:00:999,16496,3.0,16567,1.8,33134,4.1,44302,2.25 117,1,2024-09-07 08:20:01:595,87728,87728,0,0,40654011963,434769977,85658,1781,289,371,392033,0 117,2,2024-09-07 08:20:01:160,65128,65128,0,0,3660854,0,3700 117,3,2024-09-07 08:20:01:069,1,50,1,0,490,1586,50,0 118,0,2024-09-07 08:20:01:798,11732,1.1,11986,1.1,24579,1.5,32196,2.25 118,1,2024-09-07 08:20:00:605,87606,87606,0,0,41486703407,440062166,86398,1132,76,368,391736,0 118,2,2024-09-07 08:20:01:588,61935,61935,0,0,3899588,0,2781 118,3,2024-09-07 08:20:01:778,1,50,4,1,235,1013,50,0 119,0,2024-09-07 08:20:01:355,10423,0.3,10467,0.5,21482,0.2,28131,1.75 119,1,2024-09-07 08:20:00:574,87552,87552,0,0,41616458404,441871682,85956,1448,148,371,391617,0 119,2,2024-09-07 08:20:01:274,61823,61823,0,0,3526505,0,2532 119,3,2024-09-07 08:20:01:337,1,50,5,1,443,1931,50,0 120,0,2024-09-07 08:20:01:608,11080,2.2,10962,1.5,22008,3.5,30072,2.75 120,1,2024-09-07 08:20:00:874,87437,87437,0,0,40949116333,437501881,85946,1476,15,368,391702,0 120,2,2024-09-07 08:20:00:783,62624,62623,1,0,4798631,0,5281 120,3,2024-09-07 08:20:01:299,1,50,9,1,241,930,50,0 121,0,2024-09-07 08:20:01:788,17324,3.0,17433,1.8,34929,4.1,47007,2.75 121,1,2024-09-07 08:20:01:758,87289,87289,0,0,41125406177,438329505,85437,1587,265,369,391807,0 121,2,2024-09-07 08:20:01:159,61735,61735,0,0,5127587,0,4127 121,3,2024-09-07 08:20:00:770,1,50,12,0,101,576,50,0 122,0,2024-09-07 08:20:01:858,13942,2.9,13465,2.5,28050,3.2,38027,2.50 122,1,2024-09-07 08:20:00:860,86541,86541,0,0,40696264980,440057699,83552,2467,522,368,392130,0 122,2,2024-09-07 08:20:01:342,64543,64543,0,0,5247327,0,3364 122,3,2024-09-07 08:20:00:594,1,50,6,1,226,1280,50,0 123,0,2024-09-07 08:20:00:976,9396,0.3,9078,0.6,18905,0.2,24752,1.75 123,1,2024-09-07 08:20:00:558,87206,87206,0,0,40763749329,441901677,83798,2841,567,369,391823,0 123,2,2024-09-07 08:20:01:020,61940,61939,1,0,4148049,0,5215 123,3,2024-09-07 08:20:01:138,1,50,1,1,160,835,50,0 124,0,2024-09-07 08:20:00:984,9351,0.3,9349,0.6,17757,0.2,24830,1.75 124,1,2024-09-07 08:20:01:046,87884,87884,0,0,40841272814,428809991,87098,645,141,369,392178,0 124,2,2024-09-07 08:20:01:033,61201,61201,0,0,3227122,0,2477 124,3,2024-09-07 08:20:00:758,1,50,1,1,490,1446,50,0 125,0,2024-09-07 08:20:01:440,15485,0.8,15499,0.8,31061,0.9,41166,2.00 125,1,2024-09-07 08:20:00:871,87329,87329,0,0,41055023724,436777936,85601,1456,272,384,391702,0 125,2,2024-09-07 08:20:01:121,62451,62451,0,0,3615139,0,2180 125,3,2024-09-07 08:20:01:126,1,50,8,1,93,909,50,0 126,0,2024-09-07 08:20:01:439,14751,1.7,15138,1.2,29038,2.6,39505,2.00 126,1,2024-09-07 08:20:00:583,87889,87889,0,0,41424714701,435743850,86852,985,52,368,391719,0 126,2,2024-09-07 08:20:00:614,66763,66763,0,0,3997795,0,3186 126,3,2024-09-07 08:20:00:913,1,50,2,0,122,819,50,0 127,0,2024-09-07 08:20:01:611,8949,0.3,8860,0.5,17644,0.2,23192,1.75 127,1,2024-09-07 08:20:00:572,87484,87484,0,0,40987608351,430615595,86354,1107,23,365,391614,0 127,2,2024-09-07 08:20:00:638,61426,61426,0,0,3112752,0,1803 127,3,2024-09-07 08:20:01:281,1,50,2,0,99,554,50,0 128,0,2024-09-07 08:20:01:543,8759,0.3,8812,0.5,17274,0.2,23441,1.75 128,1,2024-09-07 08:20:01:610,87748,87748,0,0,41589886359,435146002,87057,622,69,369,391605,0 128,2,2024-09-07 08:20:01:389,60749,60749,0,0,3821652,0,2107 128,3,2024-09-07 08:20:00:772,1,50,2,1,112,638,50,0 129,0,2024-09-07 08:20:01:055,14592,0.5,14749,0.7,29119,0.6,39240,1.75 129,1,2024-09-07 08:20:00:575,87433,87433,0,0,41213734524,438430547,85622,1539,272,379,391835,0 129,2,2024-09-07 08:20:00:691,63033,63033,0,0,3359593,0,2446 129,3,2024-09-07 08:20:00:703,1,50,11,1,173,866,50,0 130,0,2024-09-07 08:20:01:735,16145,1.8,16049,1.3,32122,2.4,43050,2.50 130,1,2024-09-07 08:20:00:585,87945,87945,0,0,41199210668,436045912,86641,1274,30,381,391520,0 130,2,2024-09-07 08:20:01:125,66044,66044,0,0,4076079,0,4067 130,3,2024-09-07 08:20:01:298,1,50,2,1,207,564,50,0 131,0,2024-09-07 08:20:01:996,10971,1.5,10803,1.2,22033,2.1,29300,2.00 131,1,2024-09-07 08:20:01:826,87999,87999,0,0,41182028755,434554572,86997,816,186,385,391865,0 131,2,2024-09-07 08:20:00:580,60868,60868,0,0,3202060,0,2415 131,3,2024-09-07 08:20:01:937,1,50,3,0,392,979,50,0 132,0,2024-09-07 08:20:01:427,10325,0.4,10677,0.7,20902,0.3,27302,1.75 132,1,2024-09-07 08:20:00:577,86751,86751,0,0,40884602708,439770214,84194,1852,705,382,391558,0 132,2,2024-09-07 08:20:00:712,61492,61492,0,0,5142287,0,4606 132,3,2024-09-07 08:20:01:698,1,50,2,1,356,916,50,0 133,0,2024-09-07 08:20:01:536,12126,0.8,12234,0.9,25413,0.9,32899,2.00 133,1,2024-09-07 08:20:00:595,86800,86800,0,0,41193150973,441777946,84690,2017,93,383,391755,0 133,2,2024-09-07 08:20:01:118,63997,63997,0,0,4123828,0,2444 133,3,2024-09-07 08:20:01:298,1,50,1,1,187,507,50,0 134,0,2024-09-07 08:20:00:953,17592,1.5,17529,1.3,35246,2.5,46590,2.50 134,1,2024-09-07 08:20:00:586,87463,87463,0,0,40923914850,439147442,84855,1628,980,366,391718,0 134,2,2024-09-07 08:20:01:765,63502,63502,0,0,3388425,0,2026 134,3,2024-09-07 08:20:00:767,1,50,14,1,739,1637,50,0 135,0,2024-09-07 08:20:01:120,12737,2.2,12804,2.0,26876,2.5,34966,2.50 135,1,2024-09-07 08:20:01:585,86854,86854,0,0,41492223793,441783701,84949,1580,325,383,391645,0 135,2,2024-09-07 08:20:00:690,63465,63465,0,0,4032052,0,3981 135,3,2024-09-07 08:20:01:003,1,50,1,0,68,422,50,0 136,0,2024-09-07 08:20:01:638,10006,0.4,9966,0.7,19861,0.3,26774,2.25 136,1,2024-09-07 08:20:01:443,87625,87625,0,0,41292611039,440593062,85741,1739,145,384,391518,0 136,2,2024-09-07 08:20:01:134,63044,63044,0,0,3704226,0,2379 136,3,2024-09-07 08:20:01:105,1,50,1,1,108,750,50,0 137,0,2024-09-07 08:20:01:011,10714,1.4,10429,1.2,20612,1.7,27759,2.25 137,1,2024-09-07 08:20:00:604,87335,87335,0,0,41656456370,443795747,85374,1848,113,368,391608,0 137,2,2024-09-07 08:20:01:716,61836,61836,0,0,4597156,0,2632 137,3,2024-09-07 08:20:00:776,1,50,2,1,227,831,50,0 138,0,2024-09-07 08:20:01:756,15545,3.2,15820,1.9,31874,4.1,43492,3.25 138,1,2024-09-07 08:20:01:697,86790,86790,0,0,41179215174,440447944,84479,2051,260,371,391615,0 138,2,2024-09-07 08:20:00:589,62386,62386,0,0,3888507,0,3263 138,3,2024-09-07 08:20:00:611,1,50,3,1,1160,1717,50,0 139,0,2024-09-07 08:20:01:371,13763,5.2,13789,2.9,27830,5.9,37920,4.25 139,1,2024-09-07 08:20:00:591,86848,86848,0,0,40976770825,446187125,83973,2148,727,381,391892,0 139,2,2024-09-07 08:20:00:704,65381,65381,0,0,4897260,0,3097 139,3,2024-09-07 08:20:01:664,1,50,1,1,244,1179,50,0 140,0,2024-09-07 08:20:01:608,9064,0.3,8900,0.6,18101,0.2,23918,1.75 140,1,2024-09-07 08:20:01:542,88416,88416,0,0,42180323811,441182789,87489,730,197,365,391483,0 140,2,2024-09-07 08:20:00:691,62098,62098,0,0,4045041,0,3388 140,3,2024-09-07 08:20:00:768,1,50,2,1,25,467,50,0 141,0,2024-09-07 08:20:01:731,8589,0.2,8946,0.5,17442,0.2,23483,1.50 141,1,2024-09-07 08:20:00:860,88107,88107,0,0,41156119685,434588998,86688,1088,331,382,391538,0 141,2,2024-09-07 08:20:01:697,61482,61482,0,0,3576995,0,2342 141,3,2024-09-07 08:20:01:054,1,50,1,0,53,333,50,0 142,0,2024-09-07 08:20:01:319,15635,0.5,15398,0.7,30523,0.5,41133,1.75 142,1,2024-09-07 08:20:00:596,87825,87825,0,0,41318270988,434526199,87280,530,15,384,391649,0 142,2,2024-09-07 08:20:01:304,62474,62474,0,0,3873612,0,2293 142,3,2024-09-07 08:20:01:746,1,50,1,1,484,1117,50,0 143,0,2024-09-07 08:20:01:391,14778,1.4,14808,1.2,29776,1.8,39361,2.25 143,1,2024-09-07 08:20:00:562,87907,87907,0,0,41318840157,433617300,86944,938,25,367,391608,0 143,2,2024-09-07 08:20:00:776,66226,66226,0,0,4302803,0,2669 143,3,2024-09-07 08:20:01:139,1,50,10,1,236,1024,50,0 144,0,2024-09-07 08:20:01:601,9766,2.7,10132,7.5,20266,2.3,27415,2.50 144,1,2024-09-07 08:20:00:577,87192,87192,0,0,41158880301,438762027,85636,1367,189,383,391638,0 144,2,2024-09-07 08:20:01:766,60702,60702,0,0,3500230,0,3473 144,3,2024-09-07 08:20:01:747,1,50,169,1,169,1105,50,0 145,0,2024-09-07 08:20:01:376,8995,0.4,8916,0.7,18794,0.3,24147,2.00 145,1,2024-09-07 08:20:00:570,86639,86639,0,0,41637830973,444164344,84725,1653,261,383,391615,0 145,2,2024-09-07 08:20:01:444,61348,61348,0,0,4235053,0,3903 145,3,2024-09-07 08:20:00:901,1,50,2,0,151,832,50,0 146,0,2024-09-07 08:20:01:598,13126,1.5,13114,1.2,26523,2.2,35642,2.25 146,1,2024-09-07 08:20:01:586,87451,87451,0,0,41077192346,439657380,85166,1985,300,370,391600,0 146,2,2024-09-07 08:20:01:699,63449,63449,0,0,4036076,0,2379 146,3,2024-09-07 08:20:01:280,1,50,4,0,1520,2879,50,0 147,0,2024-09-07 08:20:01:705,16451,3.6,16193,2.1,32189,5.1,44325,3.50 147,1,2024-09-07 08:20:01:382,87703,87703,0,0,41110665463,434179415,86004,1346,353,369,391791,0 147,2,2024-09-07 08:20:01:015,65143,65143,0,0,4020268,0,2789 147,3,2024-09-07 08:20:00:918,1,50,4,1,141,713,50,0 0,0,2024-09-07 08:20:11:762,11193,1.2,11208,1.1,23717,1.7,31191,2.00 0,1,2024-09-07 08:20:10:820,89365,89365,0,0,42172261031,448427200,88161,1078,126,372,391673,0 0,2,2024-09-07 08:20:11:074,64295,64295,0,0,3986393,0,4480 0,3,2024-09-07 08:20:10:987,1,51,0,0,247,863,51,0 1,0,2024-09-07 08:20:11:877,17350,3.3,17382,2.1,34978,4.9,47043,3.25 1,1,2024-09-07 08:20:10:610,88893,88893,0,0,41657568408,443762022,87230,1138,525,372,391857,0 1,2,2024-09-07 08:20:10:641,63901,63901,0,0,3593903,0,3267 1,3,2024-09-07 08:20:11:307,1,51,2,1,167,596,51,0 2,0,2024-09-07 08:20:11:579,13974,2.3,14250,1.7,28101,4.5,37920,3.00 2,1,2024-09-07 08:20:10:864,89041,89041,0,0,42428648845,447138141,87964,874,203,382,391558,0 2,2,2024-09-07 08:20:11:275,64502,64502,0,0,3868195,0,3304 2,3,2024-09-07 08:20:10:696,1,51,22,0,214,659,51,0 3,0,2024-09-07 08:20:11:760,9629,0.4,9673,0.6,19331,0.3,25409,2.00 3,1,2024-09-07 08:20:11:619,88816,88816,0,0,41674573887,442452355,86838,1592,386,382,391514,0 3,2,2024-09-07 08:20:11:149,63581,63558,23,0,4236794,0,5851 3,3,2024-09-07 08:20:11:751,1,51,45,0,103,515,51,0 4,0,2024-09-07 08:20:11:909,9314,0.3,9633,0.5,19504,0.2,26182,1.75 4,1,2024-09-07 08:20:10:747,89233,89233,0,0,41358703335,442068288,87414,1403,416,372,391846,0 4,2,2024-09-07 08:20:11:023,63183,63183,0,0,4516105,0,4528 4,3,2024-09-07 08:20:11:272,1,51,24,1,287,992,51,0 5,0,2024-09-07 08:20:11:460,15844,1.0,15471,0.9,31576,1.2,41935,2.00 5,1,2024-09-07 08:20:10:771,88897,88897,0,0,42111044418,449513372,86723,1736,438,368,392005,0 5,2,2024-09-07 08:20:11:837,62867,62867,0,0,3609093,0,2259 5,3,2024-09-07 08:20:11:741,1,51,2,1,238,1289,51,0 6,0,2024-09-07 08:20:10:923,15046,2.3,14799,1.7,29541,3.3,40064,4.00 6,1,2024-09-07 08:20:10:747,89514,89514,0,0,41635826309,438386399,88434,1011,69,382,391603,0 6,2,2024-09-07 08:20:11:115,67790,67790,0,0,3920059,0,2411 6,3,2024-09-07 08:20:11:279,1,51,1,1,340,1351,51,0 7,0,2024-09-07 08:20:11:538,8849,0.3,8807,0.6,17908,0.2,23701,1.75 7,1,2024-09-07 08:20:10:864,89252,89252,0,0,42590581249,449012660,88274,914,64,383,391664,0 7,2,2024-09-07 08:20:10:770,62667,62667,0,0,3546312,0,2981 7,3,2024-09-07 08:20:10:855,1,51,2,0,83,509,51,0 8,0,2024-09-07 08:20:11:365,9247,0.3,9032,0.5,17935,0.2,24444,1.75 8,1,2024-09-07 08:20:11:028,89058,89058,0,0,42220510150,459342207,85598,2210,1250,368,391724,0 8,2,2024-09-07 08:20:10:795,61393,61393,0,0,4589933,0,2986 8,3,2024-09-07 08:20:10:618,1,51,11,0,229,957,51,0 9,0,2024-09-07 08:20:11:284,14960,0.5,14608,0.7,30533,0.4,40356,1.75 9,1,2024-09-07 08:20:10:572,89145,89145,0,0,42259474204,452140317,86873,1706,566,370,391559,0 9,2,2024-09-07 08:20:11:095,64171,64171,0,0,4216449,0,3360 9,3,2024-09-07 08:20:11:777,1,51,35,0,149,886,51,0 10,0,2024-09-07 08:20:11:614,16006,1.6,16118,1.2,32200,2.8,42732,3.50 10,1,2024-09-07 08:20:10:584,88465,88465,0,0,41937583519,452880430,85131,2812,522,383,391541,0 10,2,2024-09-07 08:20:10:762,66840,66840,0,0,4463159,0,2940 10,3,2024-09-07 08:20:10:879,1,51,2,0,136,501,51,0 11,0,2024-09-07 08:20:11:023,10717,3.2,10452,2.1,21883,5.6,29595,2.75 11,1,2024-09-07 08:20:10:572,88979,88979,0,0,41573730848,446606182,86342,1822,815,384,391537,0 11,2,2024-09-07 08:20:11:123,62106,62106,0,0,4137569,0,2635 11,3,2024-09-07 08:20:11:317,1,51,1,0,720,2155,51,0 12,0,2024-09-07 08:20:11:040,10555,0.3,10652,0.5,21113,0.2,27240,1.75 12,1,2024-09-07 08:20:10:943,88905,88905,0,0,41307235671,439661178,87276,1530,99,372,391790,0 12,2,2024-09-07 08:20:11:549,63255,63255,0,0,3785153,0,2254 12,3,2024-09-07 08:20:11:064,1,51,22,1,358,1284,51,0 13,0,2024-09-07 08:20:11:365,12605,0.5,12811,0.7,25519,0.5,34124,1.75 13,1,2024-09-07 08:20:11:526,89377,89377,0,0,41924086574,447573556,87800,1211,366,384,391710,0 13,2,2024-09-07 08:20:10:599,65119,65119,0,0,3543681,0,3287 13,3,2024-09-07 08:20:11:775,1,51,29,1,373,1116,51,0 14,0,2024-09-07 08:20:10:585,17610,1.4,17687,1.3,34970,1.6,46579,2.75 14,1,2024-09-07 08:20:11:561,90055,90055,0,0,41628324765,436656901,89178,847,30,365,391546,0 14,2,2024-09-07 08:20:10:771,64756,64756,0,0,4145609,0,2793 14,3,2024-09-07 08:20:11:115,1,51,1,1,906,1245,51,0 15,0,2024-09-07 08:20:11:567,13185,3.0,13052,1.9,26192,5.0,35479,3.50 15,1,2024-09-07 08:20:11:618,89120,89120,0,0,41555790884,437439862,88338,747,35,381,391536,0 15,2,2024-09-07 08:20:11:001,63962,63962,0,0,3084857,0,3043 15,3,2024-09-07 08:20:11:425,1,51,1,0,538,1765,51,0 16,0,2024-09-07 08:20:11:034,10212,0.4,10352,0.6,20402,0.2,27254,2.00 16,1,2024-09-07 08:20:10:573,89281,89281,0,0,41602561834,440839196,88175,1084,22,372,391543,0 16,2,2024-09-07 08:20:11:439,63119,63119,0,0,4362210,0,4719 16,3,2024-09-07 08:20:11:148,1,51,3,1,231,1157,51,0 17,0,2024-09-07 08:20:11:841,10876,0.7,10675,0.9,21072,0.7,28290,2.00 17,1,2024-09-07 08:20:10:577,88963,88963,0,0,42036492392,450031075,87170,1271,522,369,391688,0 17,2,2024-09-07 08:20:11:677,64320,64320,0,0,3279825,0,2857 17,3,2024-09-07 08:20:10:574,1,51,2,0,268,1298,51,0 18,0,2024-09-07 08:20:10:953,16257,1.2,16567,1.2,33073,1.5,44341,2.50 18,1,2024-09-07 08:20:11:639,89260,89260,0,0,41893512878,441598491,88012,1030,218,368,391526,0 18,2,2024-09-07 08:20:11:757,62774,62774,0,0,4136147,0,3541 18,3,2024-09-07 08:20:10:915,1,51,1,0,163,890,51,0 19,0,2024-09-07 08:20:11:549,14154,2.4,14212,1.8,28107,3.1,37616,5.75 19,1,2024-09-07 08:20:10:566,89451,89451,0,0,42090468402,446237740,87690,1599,162,367,391696,0 19,2,2024-09-07 08:20:11:756,66694,66694,0,0,3947340,0,3988 19,3,2024-09-07 08:20:11:141,1,51,0,0,524,886,51,0 20,0,2024-09-07 08:20:11:417,9274,0.3,9295,0.5,18240,0.2,23939,1.75 20,1,2024-09-07 08:20:10:571,89255,89255,0,0,42704433366,452723348,87576,1518,161,370,391598,0 20,2,2024-09-07 08:20:10:931,63137,63137,0,0,3380918,0,2446 20,3,2024-09-07 08:20:10:618,1,51,7,1,99,804,51,0 21,0,2024-09-07 08:20:11:218,9276,0.3,9210,0.6,18181,0.2,23931,1.75 21,1,2024-09-07 08:20:11:673,88542,88542,0,0,41075711874,440319746,86308,1746,488,368,391962,0 21,2,2024-09-07 08:20:11:149,62322,62322,0,0,3643327,0,3747 21,3,2024-09-07 08:20:11:406,1,51,3,0,93,774,51,0 22,0,2024-09-07 08:20:11:740,15464,0.8,15356,1.0,30674,0.8,41518,2.25 22,1,2024-09-07 08:20:11:023,88677,88677,0,0,41440933702,445780807,85735,2217,725,382,391667,0 22,2,2024-09-07 08:20:10:764,63778,63778,0,0,3383178,0,3134 22,3,2024-09-07 08:20:11:075,1,51,1,0,62,278,51,0 23,0,2024-09-07 08:20:11:379,14762,2.2,14959,1.4,29808,3.7,39784,3.00 23,1,2024-09-07 08:20:11:011,89664,89664,0,0,42062319383,442005560,88318,1092,254,368,391496,0 23,2,2024-09-07 08:20:11:101,67891,67891,0,0,3562773,0,2078 23,3,2024-09-07 08:20:11:756,1,51,1,0,645,1206,51,0 24,0,2024-09-07 08:20:10:870,10544,0.8,10632,0.9,21199,1.1,27906,1.75 24,1,2024-09-07 08:20:10:585,89738,89738,0,0,42063797070,442732701,88940,791,7,371,391640,0 24,2,2024-09-07 08:20:11:081,61853,61853,0,0,4662682,0,2942 24,3,2024-09-07 08:20:11:688,1,51,24,1,234,900,51,0 25,0,2024-09-07 08:20:11:385,9615,0.3,9483,0.5,18296,0.2,24590,1.75 25,1,2024-09-07 08:20:10:569,88914,88914,0,0,42114396094,450697379,86784,1819,311,373,391788,0 25,2,2024-09-07 08:20:11:622,62359,62359,0,0,4215600,0,3284 25,3,2024-09-07 08:20:11:018,1,51,17,1,158,582,51,0 26,0,2024-09-07 08:20:11:735,13562,0.6,13381,0.7,28062,0.6,37264,2.00 26,1,2024-09-07 08:20:11:549,89185,89185,0,0,41463273945,448631523,85725,2625,835,382,391564,0 26,2,2024-09-07 08:20:10:863,64908,64908,0,0,4407310,0,2809 26,3,2024-09-07 08:20:11:721,1,51,9,0,796,1198,51,0 27,0,2024-09-07 08:20:11:743,16567,1.6,16673,1.2,33358,2.1,44654,2.25 27,1,2024-09-07 08:20:11:687,89851,89851,0,0,42401763354,445535920,88785,771,295,381,391526,0 27,2,2024-09-07 08:20:10:868,65267,65267,0,0,5367051,0,3409 27,3,2024-09-07 08:20:11:021,1,51,1,0,564,986,51,0 28,0,2024-09-07 08:20:11:422,12200,0.9,12369,1.0,24537,1.2,32621,2.00 28,1,2024-09-07 08:20:10:797,89347,89347,0,0,42447482496,447943601,88290,857,200,383,391500,0 28,2,2024-09-07 08:20:11:764,62532,62532,0,0,3371878,0,2609 28,3,2024-09-07 08:20:11:784,1,51,1,1,502,1118,51,0 29,0,2024-09-07 08:20:11:364,10962,0.3,10727,0.6,21215,0.2,28714,1.75 29,1,2024-09-07 08:20:11:573,90199,90199,0,0,42226644408,440696096,89457,570,172,369,391621,0 29,2,2024-09-07 08:20:10:866,63898,63898,0,0,2968963,0,2026 29,3,2024-09-07 08:20:10:966,1,51,1,1,105,612,51,0 30,0,2024-09-07 08:20:11:471,11597,1.1,11245,0.9,23338,1.4,31120,2.50 30,1,2024-09-07 08:20:10:572,89281,89281,0,0,42636200393,449120829,88309,907,65,382,391524,0 30,2,2024-09-07 08:20:11:289,64840,64840,0,0,3040046,0,2534 30,3,2024-09-07 08:20:10:581,1,51,21,0,195,582,51,0 31,0,2024-09-07 08:20:11:782,17647,2.0,17461,1.3,35422,3.5,46826,3.50 31,1,2024-09-07 08:20:10:565,89734,89734,0,0,42817039175,442408860,89487,245,2,356,391553,0 31,2,2024-09-07 08:20:11:285,64313,64313,0,0,4376978,0,3525 31,3,2024-09-07 08:20:11:715,1,51,42,0,129,512,51,0 32,0,2024-09-07 08:20:11:431,14334,2.0,14431,1.3,28939,3.3,38580,2.00 32,1,2024-09-07 08:20:10:813,89285,89285,0,0,41600031307,437589819,88290,967,28,382,391595,0 32,2,2024-09-07 08:20:10:938,65776,65776,0,0,3642445,0,3155 32,3,2024-09-07 08:20:11:023,1,51,3,0,110,590,51,0 33,0,2024-09-07 08:20:11:523,9707,0.3,9563,0.5,19461,0.2,25348,1.75 33,1,2024-09-07 08:20:10:575,89480,89480,0,0,42908350171,451695092,87822,1535,123,369,391526,0 33,2,2024-09-07 08:20:10:761,63021,62988,33,0,5061494,0,7012 33,3,2024-09-07 08:20:10:895,1,51,1,1,51,272,51,0 34,0,2024-09-07 08:20:10:952,9639,0.3,9957,0.5,19064,0.2,26097,1.75 34,1,2024-09-07 08:20:11:047,89850,89850,0,0,42542212159,442504772,89258,588,4,368,391517,0 34,2,2024-09-07 08:20:10:766,62765,62765,0,0,4080906,0,3255 34,3,2024-09-07 08:20:11:688,1,51,4,0,148,626,51,0 35,0,2024-09-07 08:20:10:877,15500,1.1,15575,0.9,31348,1.6,41754,2.00 35,1,2024-09-07 08:20:11:066,89217,89217,0,0,42092849728,442916597,87752,1104,361,384,391587,0 35,2,2024-09-07 08:20:11:584,63118,63118,0,0,3539977,0,2542 35,3,2024-09-07 08:20:10:913,1,51,1,0,219,967,51,0 36,0,2024-09-07 08:20:11:535,14976,2.7,14760,1.7,30172,3.9,40198,3.00 36,1,2024-09-07 08:20:10:583,89003,89003,0,0,42525602031,455115250,85992,2080,931,366,391535,0 36,2,2024-09-07 08:20:11:753,67368,67368,0,0,4183503,0,3303 36,3,2024-09-07 08:20:10:869,1,51,3,0,378,1019,51,0 37,0,2024-09-07 08:20:11:382,9013,0.3,8967,0.5,18011,0.2,23716,1.75 37,1,2024-09-07 08:20:10:574,88591,88584,0,7,41470330998,448041032,85746,1321,1517,365,391507,0 37,2,2024-09-07 08:20:11:142,63034,63034,0,0,3075950,0,2333 37,3,2024-09-07 08:20:11:774,1,51,1,0,724,1680,51,0 38,0,2024-09-07 08:20:11:458,9115,0.3,8832,0.5,18305,0.2,24409,1.75 38,1,2024-09-07 08:20:11:611,89251,89251,0,0,41869359752,445259817,87110,1694,447,370,391512,0 38,2,2024-09-07 08:20:10:762,62412,62412,0,0,3807425,0,3245 38,3,2024-09-07 08:20:11:007,1,51,0,0,603,996,51,0 39,0,2024-09-07 08:20:11:774,15511,0.6,15343,0.7,29615,0.7,40569,2.00 39,1,2024-09-07 08:20:10:724,89318,89318,0,0,41424546155,441142229,86758,1922,638,366,391524,0 39,2,2024-09-07 08:20:11:425,63862,63862,0,0,3525821,0,2381 39,3,2024-09-07 08:20:10:715,1,51,0,0,276,1107,51,0 40,0,2024-09-07 08:20:11:530,15733,2.6,15933,2.6,31436,5.1,42351,4.00 40,1,2024-09-07 08:20:10:576,89050,89050,0,0,41345370127,444633478,85801,2496,753,370,391591,0 40,2,2024-09-07 08:20:11:308,67016,67015,1,0,4734252,0,5137 40,3,2024-09-07 08:20:11:151,1,51,1,1,110,713,51,0 41,0,2024-09-07 08:20:11:037,10474,2.4,10736,7.1,20553,6.0,28526,4.00 41,1,2024-09-07 08:20:10:770,88995,88995,0,0,41905996442,447466595,86691,1992,312,370,391484,0 41,2,2024-09-07 08:20:10:768,61753,61753,0,0,4731256,0,3356 41,3,2024-09-07 08:20:11:690,1,51,1,1,168,612,51,0 42,0,2024-09-07 08:20:11:487,10385,0.4,10587,0.8,20931,0.3,27218,2.00 42,1,2024-09-07 08:20:11:441,88981,88981,0,0,41813014439,450821239,85990,2161,830,381,391511,0 42,2,2024-09-07 08:20:11:141,63085,63085,0,0,4168997,0,3568 42,3,2024-09-07 08:20:11:010,1,51,1,0,100,537,51,0 43,0,2024-09-07 08:20:10:956,12627,2.0,12339,1.4,25728,3.1,34141,2.25 43,1,2024-09-07 08:20:10:578,89040,89040,0,0,42115631306,448240968,86851,1437,752,367,391604,0 43,2,2024-09-07 08:20:11:742,65215,65215,0,0,4395186,0,3812 43,3,2024-09-07 08:20:11:751,1,51,1,1,292,1145,51,0 44,0,2024-09-07 08:20:10:879,17627,1.3,17615,1.3,35001,1.5,47051,2.25 44,1,2024-09-07 08:20:10:564,89506,89506,0,0,41909074950,438865975,88491,908,107,357,391505,0 44,2,2024-09-07 08:20:11:276,64823,64823,0,0,3174143,0,1865 44,3,2024-09-07 08:20:11:098,1,51,2,1,817,1299,51,0 45,0,2024-09-07 08:20:11:781,13040,3.4,12790,2.0,26803,5.7,35784,2.25 45,1,2024-09-07 08:20:11:006,89477,89477,0,0,42581322762,445952402,89011,465,1,382,391917,0 45,2,2024-09-07 08:20:11:281,64015,64015,0,0,3771221,0,2628 45,3,2024-09-07 08:20:10:934,1,51,9,1,226,741,51,0 46,0,2024-09-07 08:20:10:974,10353,0.3,10324,0.5,20736,0.2,27529,1.75 46,1,2024-09-07 08:20:10:576,89592,89592,0,0,41933483735,438410656,88762,794,36,368,391514,0 46,2,2024-09-07 08:20:10:593,63948,63948,0,0,3704307,0,2920 46,3,2024-09-07 08:20:11:132,1,51,2,0,315,1021,51,0 47,0,2024-09-07 08:20:11:122,10600,0.6,10784,0.7,21415,0.6,28402,1.75 47,1,2024-09-07 08:20:10:568,89847,89847,0,0,41762698363,434875583,89341,502,4,367,391548,0 47,2,2024-09-07 08:20:10:918,64728,64728,0,0,3702534,0,2558 47,3,2024-09-07 08:20:11:124,1,51,1,1,529,1035,51,0 48,0,2024-09-07 08:20:11:545,16844,0.4,16768,0.6,33145,0.4,45209,1.75 48,1,2024-09-07 08:20:11:027,89515,89515,0,0,42030849522,442623650,88320,1190,5,386,391637,0 48,2,2024-09-07 08:20:10:711,63623,63623,0,0,2900116,0,2083 48,3,2024-09-07 08:20:10:761,1,51,2,1,30,542,51,0 49,0,2024-09-07 08:20:11:726,14793,2.3,14489,1.5,28394,4.0,39318,2.25 49,1,2024-09-07 08:20:11:028,89200,89200,0,0,42247134938,448625688,87465,1026,709,382,391583,0 49,2,2024-09-07 08:20:11:801,66824,66824,0,0,4099284,0,3900 49,3,2024-09-07 08:20:11:424,1,51,1,0,274,741,51,0 50,0,2024-09-07 08:20:11:527,9299,0.2,9071,0.5,18276,0.2,24349,1.75 50,1,2024-09-07 08:20:11:020,90109,90109,0,0,42365527868,446056922,88443,1369,297,368,391530,0 50,2,2024-09-07 08:20:11:076,63705,63705,0,0,3139424,0,2253 50,3,2024-09-07 08:20:11:304,1,51,13,1,335,834,51,0 51,0,2024-09-07 08:20:11:715,9267,0.2,9088,0.4,17851,0.1,24136,1.50 51,1,2024-09-07 08:20:11:689,89138,89138,0,0,43165431707,455424165,87342,1014,782,367,391520,0 51,2,2024-09-07 08:20:11:318,62619,62619,0,0,2676809,0,2448 51,3,2024-09-07 08:20:11:027,1,51,1,0,162,444,51,0 52,0,2024-09-07 08:20:11:422,15678,1.1,15799,1.1,31487,1.4,42009,2.50 52,1,2024-09-07 08:20:10:575,89127,89127,0,0,41678154328,445952842,86634,2029,464,368,391513,0 52,2,2024-09-07 08:20:11:755,63446,63446,0,0,3845491,0,4779 52,3,2024-09-07 08:20:10:678,1,51,2,1,1782,2360,51,0 53,0,2024-09-07 08:20:11:740,14724,3.2,14219,2.0,29230,4.5,38992,3.50 53,1,2024-09-07 08:20:10:770,89001,89001,0,0,42050219066,449572511,86399,2028,574,370,391617,0 53,2,2024-09-07 08:20:11:306,68504,68504,0,0,3402667,0,1902 53,3,2024-09-07 08:20:10:697,1,51,1,1,59,351,51,0 54,0,2024-09-07 08:20:11:631,9719,10.0,9760,5.8,19382,2.5,26786,5.50 54,1,2024-09-07 08:20:10:582,89068,89068,0,0,42329386705,448638333,86960,1792,316,367,391520,0 54,2,2024-09-07 08:20:10:870,62673,62667,6,0,3978979,0,5382 54,3,2024-09-07 08:20:10:767,1,51,3,0,676,1423,51,0 55,0,2024-09-07 08:20:11:773,9108,0.4,9373,0.7,19025,0.3,24422,2.25 55,1,2024-09-07 08:20:10:765,88829,88829,0,0,41883999910,447657829,85404,2723,702,367,391731,0 55,2,2024-09-07 08:20:10:729,62461,62461,0,0,3824242,0,3275 55,3,2024-09-07 08:20:10:674,1,51,0,0,136,650,51,0 56,0,2024-09-07 08:20:11:574,13963,2.4,13230,1.7,27137,3.7,37098,2.75 56,1,2024-09-07 08:20:10:578,88803,88803,0,0,42359046343,459563817,85425,2632,746,383,391536,0 56,2,2024-09-07 08:20:11:308,65292,65292,0,0,4590724,0,3567 56,3,2024-09-07 08:20:11:064,1,51,5,1,297,842,51,0 57,0,2024-09-07 08:20:11:029,16247,4.2,15926,2.3,32264,6.3,43383,4.75 57,1,2024-09-07 08:20:11:005,88864,88864,0,0,41286053477,438414638,87397,1448,19,368,391760,0 57,2,2024-09-07 08:20:11:317,66087,66087,0,0,4580876,0,3178 57,3,2024-09-07 08:20:11:741,1,51,1,1,359,1384,51,0 58,0,2024-09-07 08:20:10:563,11493,1.0,11174,1.2,23341,0.9,30615,3.00 58,1,2024-09-07 08:20:10:576,89933,89931,0,2,42480420432,448424887,88336,1436,159,369,391516,2 58,2,2024-09-07 08:20:11:073,62790,62790,0,0,4289452,0,2549 58,3,2024-09-07 08:20:11:075,1,51,2,1,219,748,51,0 59,0,2024-09-07 08:20:11:774,10873,0.7,10772,0.9,21720,0.7,28397,2.50 59,1,2024-09-07 08:20:10:813,88799,88799,0,0,41680863060,446495321,86554,1478,767,369,391515,0 59,2,2024-09-07 08:20:10:596,63696,63696,0,0,3764896,0,2604 59,3,2024-09-07 08:20:11:746,1,51,2,0,1015,1602,51,0 60,0,2024-09-07 08:20:11:746,11609,0.8,11693,0.9,23176,1.0,31334,2.00 60,1,2024-09-07 08:20:10:773,90331,90331,0,0,42223527482,444283868,89264,723,344,370,391672,0 60,2,2024-09-07 08:20:11:163,64575,64575,0,0,3417206,0,2142 60,3,2024-09-07 08:20:11:268,1,51,0,0,124,754,51,0 61,0,2024-09-07 08:20:11:529,17502,3.2,17488,1.9,34907,4.7,46890,2.75 61,1,2024-09-07 08:20:10:796,89009,89009,0,0,41885922840,449227882,86462,2013,534,383,391589,0 61,2,2024-09-07 08:20:11:132,64506,64506,0,0,3544645,0,1846 61,3,2024-09-07 08:20:11:711,1,51,1,1,199,966,51,0 62,0,2024-09-07 08:20:11:719,14360,2.3,14714,1.4,28305,4.2,38510,2.50 62,1,2024-09-07 08:20:11:121,89947,89941,0,6,42870394183,449000099,88690,1214,37,366,391586,6 62,2,2024-09-07 08:20:11:645,64930,64930,0,0,4147342,0,2931 62,3,2024-09-07 08:20:11:148,1,51,1,0,287,598,51,0 63,0,2024-09-07 08:20:11:465,9602,0.4,9587,0.7,19253,0.3,25454,2.00 63,1,2024-09-07 08:20:10:813,90216,90212,0,4,42400367045,441915622,89815,396,1,381,391540,4 63,2,2024-09-07 08:20:10:764,63566,63566,0,0,3615557,0,2674 63,3,2024-09-07 08:20:11:741,1,51,1,0,667,1731,51,0 64,0,2024-09-07 08:20:11:556,9516,0.4,9559,0.7,19160,0.3,26109,1.75 64,1,2024-09-07 08:20:10:755,89388,89388,0,0,41741340921,447125339,86957,1638,793,371,391604,0 64,2,2024-09-07 08:20:11:166,63463,63444,19,0,3979165,0,6121 64,3,2024-09-07 08:20:11:149,1,51,1,1,163,674,51,0 65,0,2024-09-07 08:20:11:734,15371,2.9,15544,1.8,30857,4.5,41306,4.25 65,1,2024-09-07 08:20:10:873,89278,89278,0,0,41446467060,440930076,87576,1483,219,382,391569,0 65,2,2024-09-07 08:20:11:726,63441,63441,0,0,3967948,0,3367 65,3,2024-09-07 08:20:11:703,1,51,2,1,163,761,51,0 66,0,2024-09-07 08:20:11:789,14452,2.0,14629,1.5,29386,3.6,39632,3.75 66,1,2024-09-07 08:20:11:297,90227,90227,0,0,42127706939,440678316,89541,666,20,381,391537,0 66,2,2024-09-07 08:20:11:135,68164,68164,0,0,3915780,0,3867 66,3,2024-09-07 08:20:11:084,1,51,1,1,291,871,51,0 67,0,2024-09-07 08:20:11:427,9017,0.7,9078,0.8,17835,0.6,23655,2.50 67,1,2024-09-07 08:20:10:773,89076,89075,0,1,41700086271,444737970,87080,1470,525,382,391787,1 67,2,2024-09-07 08:20:10:590,63245,63245,0,0,3420510,0,2889 67,3,2024-09-07 08:20:11:763,1,51,18,0,138,602,51,0 68,0,2024-09-07 08:20:10:606,9036,0.4,8993,0.7,18074,0.2,24198,2.00 68,1,2024-09-07 08:20:10:577,88988,88988,0,0,42036895899,446237792,87597,869,522,383,391953,0 68,2,2024-09-07 08:20:11:047,62561,62561,0,0,3387021,0,4883 68,3,2024-09-07 08:20:10:731,1,51,5,1,63,542,51,0 69,0,2024-09-07 08:20:11:747,14913,1.6,14865,1.3,29734,2.8,40456,2.75 69,1,2024-09-07 08:20:11:025,88636,88636,0,0,41867147728,450580700,86700,1072,864,386,391530,0 69,2,2024-09-07 08:20:11:742,64588,64588,0,0,3887637,0,3153 69,3,2024-09-07 08:20:10:767,1,51,6,0,238,899,51,0 70,0,2024-09-07 08:20:11:548,15734,4.2,15686,3.2,31704,4.3,41938,5.50 70,1,2024-09-07 08:20:10:813,89644,89644,0,0,42280966072,442490940,88733,777,134,369,391527,0 70,2,2024-09-07 08:20:11:325,67066,67066,0,0,4017287,0,4044 70,3,2024-09-07 08:20:10:746,1,51,1,0,178,426,51,0 71,0,2024-09-07 08:20:11:371,10562,2.8,10540,5.2,20755,4.4,28725,4.75 71,1,2024-09-07 08:20:11:598,88952,88952,0,0,42179854587,447808990,86768,1869,315,368,391682,0 71,2,2024-09-07 08:20:11:076,62398,62398,0,0,3386341,0,2146 71,3,2024-09-07 08:20:11:752,1,51,1,0,174,481,51,0 72,0,2024-09-07 08:20:11:043,10772,0.4,10413,0.7,20737,0.3,27338,2.75 72,1,2024-09-07 08:20:11:029,89580,89580,0,0,42537679739,448928833,88042,1462,76,370,391521,0 72,2,2024-09-07 08:20:11:762,62387,62387,0,0,4944930,0,2570 72,3,2024-09-07 08:20:11:755,1,51,1,1,325,1211,51,0 73,0,2024-09-07 08:20:11:165,12389,0.7,12741,0.8,25757,0.7,34069,2.50 73,1,2024-09-07 08:20:10:772,89480,89480,0,0,42185133376,444107928,88524,913,43,368,391627,0 73,2,2024-09-07 08:20:11:738,65463,65463,0,0,4495756,0,3482 73,3,2024-09-07 08:20:10:976,1,51,2,0,274,1183,51,0 74,0,2024-09-07 08:20:11:333,17513,2.3,17896,1.6,34486,3.7,46624,4.00 74,1,2024-09-07 08:20:10:651,89170,89170,0,0,41837474223,444223601,87277,1321,572,382,391497,0 74,2,2024-09-07 08:20:11:010,64620,64620,0,0,4798733,0,4253 74,3,2024-09-07 08:20:11:443,1,51,1,0,246,1260,51,0 75,0,2024-09-07 08:20:11:778,13277,3.3,13297,1.9,26478,5.3,35955,3.50 75,1,2024-09-07 08:20:11:588,89573,89573,0,0,41786694937,443997553,87892,1577,104,382,391514,0 75,2,2024-09-07 08:20:11:351,63529,63529,0,0,4604351,0,4766 75,3,2024-09-07 08:20:11:072,1,51,1,0,143,372,51,0 76,0,2024-09-07 08:20:10:598,10306,0.4,10224,0.6,20407,0.2,27288,2.00 76,1,2024-09-07 08:20:10:819,89173,89173,0,0,41217129786,439853388,87370,1297,506,382,391530,0 76,2,2024-09-07 08:20:11:076,63841,63841,0,0,3634826,0,3064 76,3,2024-09-07 08:20:11:142,1,51,3,0,175,950,51,0 77,0,2024-09-07 08:20:11:748,10623,1.4,10661,1.2,21310,2.3,28418,2.25 77,1,2024-09-07 08:20:10:827,89191,89191,0,0,41868723554,441755210,88166,957,68,383,391516,0 77,2,2024-09-07 08:20:11:290,64371,64371,0,0,3093708,0,1695 77,3,2024-09-07 08:20:11:105,1,51,1,0,139,537,51,0 78,0,2024-09-07 08:20:11:745,16583,1.8,16561,1.3,33263,2.7,44849,2.50 78,1,2024-09-07 08:20:10:625,89889,89889,0,0,41781228639,441654642,88475,1210,204,368,391589,0 78,2,2024-09-07 08:20:11:407,63494,63494,0,0,3172713,0,2114 78,3,2024-09-07 08:20:11:134,1,51,0,0,181,934,51,0 79,0,2024-09-07 08:20:11:361,13949,1.7,14257,1.4,29139,3.5,38433,4.25 79,1,2024-09-07 08:20:10:572,89290,89290,0,0,42257944445,445100467,87956,1265,69,370,391540,0 79,2,2024-09-07 08:20:11:076,66612,66612,0,0,3691332,0,2679 79,3,2024-09-07 08:20:10:749,1,51,3,0,289,663,51,0 80,0,2024-09-07 08:20:11:125,9138,0.5,9419,0.7,18165,0.3,24223,2.00 80,1,2024-09-07 08:20:11:621,88803,88803,0,0,42188300992,445008869,87222,1466,115,369,391673,0 80,2,2024-09-07 08:20:11:106,63163,63163,0,0,3840875,0,4433 80,3,2024-09-07 08:20:10:575,1,51,1,1,148,943,51,0 81,0,2024-09-07 08:20:11:563,9148,0.4,9347,0.7,17993,0.3,24132,2.25 81,1,2024-09-07 08:20:11:663,89254,89254,0,0,41827813893,444962499,87373,1684,197,383,391646,0 81,2,2024-09-07 08:20:11:132,62226,62226,0,0,4000622,0,3993 81,3,2024-09-07 08:20:11:126,1,51,6,1,193,738,51,0 82,0,2024-09-07 08:20:11:547,15485,1.2,15607,1.2,31062,1.7,41913,2.25 82,1,2024-09-07 08:20:10:592,88895,88894,0,1,41798731822,443767818,86860,1462,572,383,391558,1 82,2,2024-09-07 08:20:11:714,64796,64796,0,0,3075672,0,2230 82,3,2024-09-07 08:20:11:753,1,51,1,0,211,908,51,0 83,0,2024-09-07 08:20:11:625,14789,2.3,14746,1.8,29114,2.6,38728,3.50 83,1,2024-09-07 08:20:10:572,89412,89412,0,0,41658633879,441308224,88008,1304,100,383,391553,0 83,2,2024-09-07 08:20:10:772,67309,67309,0,0,4114945,0,3119 83,3,2024-09-07 08:20:10:749,1,51,4,0,91,512,51,0 84,0,2024-09-07 08:20:11:814,10057,6.4,9946,6.1,20026,1.8,27362,3.75 84,1,2024-09-07 08:20:11:055,89210,89210,0,0,41659984469,442784554,87644,1320,246,369,391630,0 84,2,2024-09-07 08:20:10:589,62126,62126,0,0,3806330,0,3801 84,3,2024-09-07 08:20:11:151,1,51,1,1,43,589,51,0 85,0,2024-09-07 08:20:11:049,9120,0.4,9150,0.7,19296,0.3,24554,2.00 85,1,2024-09-07 08:20:10:581,88632,88632,0,0,42152111018,452660964,86199,2008,425,384,391626,0 85,2,2024-09-07 08:20:10:878,62429,62429,0,0,4154723,0,3656 85,3,2024-09-07 08:20:10:687,1,51,3,0,115,577,51,0 86,0,2024-09-07 08:20:10:922,13551,1.0,14137,0.9,27326,1.2,37167,2.00 86,1,2024-09-07 08:20:10:830,88994,88994,0,0,41987890325,445145207,87240,1540,214,367,391622,0 86,2,2024-09-07 08:20:10:865,64982,64981,1,0,4366249,0,5004 86,3,2024-09-07 08:20:10:597,1,51,1,0,199,763,51,0 87,0,2024-09-07 08:20:11:405,16441,3.4,16387,2.1,33065,4.4,44426,3.50 87,1,2024-09-07 08:20:10:552,88765,88765,0,0,41827898213,443969924,87199,1446,120,368,391671,0 87,2,2024-09-07 08:20:11:072,66344,66344,0,0,3391907,0,2859 87,3,2024-09-07 08:20:11:801,1,51,10,1,322,1044,51,0 88,0,2024-09-07 08:20:11:692,12232,1.1,12370,1.1,24412,1.3,32541,2.00 88,1,2024-09-07 08:20:10:582,88986,88986,0,0,41698807865,441736122,87793,1099,94,367,391747,0 88,2,2024-09-07 08:20:10:704,63018,63018,0,0,4514749,0,3583 88,3,2024-09-07 08:20:11:319,1,51,22,1,77,720,51,0 89,0,2024-09-07 08:20:11:803,11182,0.3,10784,0.6,21462,0.3,28761,1.75 89,1,2024-09-07 08:20:10:579,88347,88347,0,0,42092853521,456793639,85134,2480,733,383,391866,0 89,2,2024-09-07 08:20:11:145,63286,63286,0,0,4233862,0,2726 89,3,2024-09-07 08:20:11:825,1,51,6,0,325,1592,51,0 90,0,2024-09-07 08:20:11:652,11290,0.7,11478,0.9,23588,1.0,31230,2.00 90,1,2024-09-07 08:20:10:593,89202,89202,0,0,42035079841,450231856,87165,1898,139,382,391825,0 90,2,2024-09-07 08:20:11:406,63818,63818,0,0,4922730,0,2635 90,3,2024-09-07 08:20:10:942,1,51,1,1,200,864,51,0 91,0,2024-09-07 08:20:10:951,17944,0.8,17320,0.9,36135,0.9,47255,2.00 91,1,2024-09-07 08:20:10:565,89065,89065,0,0,42388337528,453561118,86730,1975,360,384,391914,0 91,2,2024-09-07 08:20:11:336,63574,63574,0,0,3923706,0,1997 91,3,2024-09-07 08:20:10:600,1,51,3,1,155,717,51,0 92,0,2024-09-07 08:20:11:580,14457,1.7,14876,1.3,28518,3.2,38578,2.00 92,1,2024-09-07 08:20:10:582,89462,89462,0,0,41861143473,440612958,88829,569,64,383,391717,0 92,2,2024-09-07 08:20:11:353,66317,66317,0,0,3895399,0,2279 92,3,2024-09-07 08:20:11:019,1,51,6,1,68,690,51,0 93,0,2024-09-07 08:20:10:984,9619,0.4,9793,0.6,18713,0.2,25407,1.75 93,1,2024-09-07 08:20:10:820,89032,89032,0,0,42534349817,452134765,87282,1316,434,367,391689,0 93,2,2024-09-07 08:20:10:933,63854,63854,0,0,3512088,0,2509 93,3,2024-09-07 08:20:11:411,1,51,14,1,143,595,51,0 94,0,2024-09-07 08:20:11:662,9657,0.3,9723,0.5,19106,0.2,26065,1.75 94,1,2024-09-07 08:20:10:592,89020,89020,0,0,42022832000,447836604,87452,1504,64,381,391850,0 94,2,2024-09-07 08:20:10:772,63036,63036,0,0,3491785,0,2443 94,3,2024-09-07 08:20:11:701,1,51,12,1,231,1117,51,0 95,0,2024-09-07 08:20:11:358,15609,0.5,15571,0.7,31785,0.5,41950,1.75 95,1,2024-09-07 08:20:10:864,89445,89445,0,0,42035019025,442168935,88660,721,64,367,391590,0 95,2,2024-09-07 08:20:11:016,63590,63590,0,0,3685839,0,3308 95,3,2024-09-07 08:20:11:712,1,51,1,0,307,1170,51,0 96,0,2024-09-07 08:20:11:108,15116,1.7,15042,1.2,30137,2.5,40007,2.00 96,1,2024-09-07 08:20:11:619,89329,89329,0,0,42331816776,451565609,87502,1257,570,385,391551,0 96,2,2024-09-07 08:20:11:300,67652,67652,0,0,4361179,0,4038 96,3,2024-09-07 08:20:11:183,1,51,34,1,36,613,51,0 97,0,2024-09-07 08:20:11:400,9058,0.5,8986,0.7,17871,0.6,23717,2.00 97,1,2024-09-07 08:20:10:777,88947,88947,0,0,42409571061,450536281,86770,1660,517,367,391626,0 97,2,2024-09-07 08:20:10:627,62533,62533,0,0,3441499,0,3036 97,3,2024-09-07 08:20:10:580,1,51,30,1,165,688,51,0 98,0,2024-09-07 08:20:11:773,9087,0.2,9041,0.4,18341,0.2,24392,1.50 98,1,2024-09-07 08:20:10:588,89198,89198,0,0,42204055595,445847598,88137,1016,45,382,391588,0 98,2,2024-09-07 08:20:10:768,62887,62887,0,0,3572403,0,3080 98,3,2024-09-07 08:20:10:701,1,51,1,1,155,660,51,0 99,0,2024-09-07 08:20:11:466,14951,0.4,14945,0.6,29977,0.4,40361,1.75 99,1,2024-09-07 08:20:11:728,89687,89687,0,0,41570426962,439979691,88217,1031,439,381,391744,0 99,2,2024-09-07 08:20:11:425,65242,65242,0,0,3682214,0,1858 99,3,2024-09-07 08:20:10:599,1,51,12,0,129,592,51,0 100,0,2024-09-07 08:20:11:798,15987,2.9,15937,3.2,31861,5.3,42750,3.00 100,1,2024-09-07 08:20:10:558,88777,88777,0,0,40841963876,441954138,86105,2329,343,382,391585,0 100,2,2024-09-07 08:20:11:840,66583,66572,11,0,4868139,0,5417 100,3,2024-09-07 08:20:11:752,1,51,5,1,443,1627,51,0 101,0,2024-09-07 08:20:11:765,11037,5.6,10772,3.1,21225,3.0,29669,3.25 101,1,2024-09-07 08:20:10:574,88969,88969,0,0,41785763794,444453124,87513,1007,449,370,391709,0 101,2,2024-09-07 08:20:11:766,62336,62336,0,0,4027838,0,4644 101,3,2024-09-07 08:20:10:954,1,51,2,1,448,893,51,0 102,0,2024-09-07 08:20:10:993,10250,0.5,10573,0.6,21316,0.3,27172,2.00 102,1,2024-09-07 08:20:11:143,89121,89121,0,0,41968243474,448356828,86946,1730,445,369,391647,0 102,2,2024-09-07 08:20:11:750,63057,63057,0,0,3153892,0,1945 102,3,2024-09-07 08:20:11:627,1,51,3,0,410,764,51,0 103,0,2024-09-07 08:20:11:654,13012,0.7,13042,0.9,24575,0.8,34310,2.00 103,1,2024-09-07 08:20:11:629,89206,89206,0,0,41884409098,443623457,88017,1047,142,381,391680,0 103,2,2024-09-07 08:20:10:583,65078,65078,0,0,3560568,0,2104 103,3,2024-09-07 08:20:10:762,1,51,9,1,486,987,51,0 104,0,2024-09-07 08:20:11:045,17476,2.9,17553,1.7,33937,4.2,46651,3.00 104,1,2024-09-07 08:20:11:611,89668,89668,0,0,41950504325,448682558,87775,1568,325,368,391948,0 104,2,2024-09-07 08:20:11:676,64167,64167,0,0,4368972,0,3941 104,3,2024-09-07 08:20:11:430,1,51,10,1,1245,3501,51,0 105,0,2024-09-07 08:20:11:097,13145,2.5,12643,2.0,26261,4.4,35586,4.00 105,1,2024-09-07 08:20:10:582,88931,88931,0,0,41898205049,451027366,86001,2185,745,367,391797,0 105,2,2024-09-07 08:20:11:372,63412,63412,0,0,4039459,0,3314 105,3,2024-09-07 08:20:11:321,1,51,19,1,182,1122,51,0 106,0,2024-09-07 08:20:10:967,9992,0.3,10241,0.6,20679,0.2,27390,1.75 106,1,2024-09-07 08:20:11:754,89444,89444,0,0,42052293404,450131083,87051,2067,326,371,391767,0 106,2,2024-09-07 08:20:10:764,63471,63471,0,0,4143199,0,2795 106,3,2024-09-07 08:20:10:678,1,51,2,1,201,755,51,0 107,0,2024-09-07 08:20:11:120,10706,0.8,10650,0.9,21240,0.9,28333,2.00 107,1,2024-09-07 08:20:10:585,88983,88983,0,0,41733836303,446577500,87204,1608,171,382,392234,0 107,2,2024-09-07 08:20:11:294,64108,64107,1,0,3985641,0,5024 107,3,2024-09-07 08:20:11:754,1,51,3,0,353,1151,51,0 108,0,2024-09-07 08:20:11:854,16606,0.8,16837,0.9,33359,1.1,45056,1.75 108,1,2024-09-07 08:20:11:309,89026,89026,0,0,42381781515,449093035,87610,1284,132,371,391857,0 108,2,2024-09-07 08:20:11:755,63508,63508,0,0,3818529,0,2647 108,3,2024-09-07 08:20:11:332,1,51,32,1,60,734,51,0 109,0,2024-09-07 08:20:11:850,14630,1.2,14732,1.1,28880,2.1,39403,2.00 109,1,2024-09-07 08:20:10:594,88913,88913,0,0,42405427563,452802220,87313,1218,382,385,391664,0 109,2,2024-09-07 08:20:10:933,66057,66057,0,0,4256961,0,3617 109,3,2024-09-07 08:20:11:140,1,51,8,1,249,1054,51,0 110,0,2024-09-07 08:20:11:772,9223,0.3,8977,0.5,18783,0.2,24226,1.75 110,1,2024-09-07 08:20:11:644,89212,89212,0,0,42017911840,443085587,87905,1024,283,370,391588,0 110,2,2024-09-07 08:20:11:318,63715,63715,0,0,3445465,0,2915 110,3,2024-09-07 08:20:10:697,1,51,21,0,183,656,51,0 111,0,2024-09-07 08:20:11:431,8943,0.2,9118,0.4,17898,0.1,24052,1.50 111,1,2024-09-07 08:20:11:003,89940,89940,0,0,42595568124,444674413,89299,628,13,382,391690,0 111,2,2024-09-07 08:20:11:117,62630,62630,0,0,3633982,0,2763 111,3,2024-09-07 08:20:10:917,1,51,2,0,119,1018,51,0 112,0,2024-09-07 08:20:10:933,15699,0.6,15793,0.7,31361,0.5,42229,1.75 112,1,2024-09-07 08:20:10:823,89234,89234,0,0,42159678876,446218301,87727,1161,346,382,391580,0 112,2,2024-09-07 08:20:11:135,64087,64086,1,0,4065714,0,5036 112,3,2024-09-07 08:20:10:600,1,51,1,1,282,773,51,0 113,0,2024-09-07 08:20:10:879,15061,0.9,14820,0.9,30109,0.9,39983,1.75 113,1,2024-09-07 08:20:11:690,89519,89519,0,0,42494645358,448344592,87632,1504,383,368,391661,0 113,2,2024-09-07 08:20:11:327,67899,67899,0,0,3380001,0,3021 113,3,2024-09-07 08:20:10:684,1,51,8,1,288,1075,51,0 114,0,2024-09-07 08:20:10:900,10379,4.7,10489,3.4,20655,1.9,27827,2.25 114,1,2024-09-07 08:20:10:722,89172,89172,0,0,42394195590,453832136,86566,1725,881,381,391513,0 114,2,2024-09-07 08:20:10:880,62194,62194,0,0,3795001,0,3925 114,3,2024-09-07 08:20:11:286,1,51,4,1,159,693,51,0 115,0,2024-09-07 08:20:10:565,9349,0.2,9421,0.4,18838,0.1,24670,1.50 115,1,2024-09-07 08:20:10:572,89426,89426,0,0,42183697845,448743850,87054,1885,487,383,391506,0 115,2,2024-09-07 08:20:11:125,63182,63182,0,0,3159271,0,2152 115,3,2024-09-07 08:20:11:009,1,51,1,0,159,444,51,0 116,0,2024-09-07 08:20:11:797,13716,1.4,13623,1.2,27334,2.5,37209,2.25 116,1,2024-09-07 08:20:10:809,88526,88526,0,0,41599290056,447819034,86667,991,868,382,391573,0 116,2,2024-09-07 08:20:11:770,64874,64874,0,0,4020143,0,3529 116,3,2024-09-07 08:20:10:945,1,51,3,1,252,1158,51,0 117,0,2024-09-07 08:20:11:027,16604,3.0,16677,1.8,33329,4.1,44593,2.25 117,1,2024-09-07 08:20:11:596,89482,89482,0,0,41584375245,444229175,87412,1781,289,371,392033,0 117,2,2024-09-07 08:20:11:126,66478,66478,0,0,3673598,0,3700 117,3,2024-09-07 08:20:11:080,1,51,5,0,490,1591,51,0 118,0,2024-09-07 08:20:11:785,11842,1.1,12085,1.1,24790,1.5,32448,2.25 118,1,2024-09-07 08:20:10:596,89391,89391,0,0,42290213345,448262893,88182,1133,76,368,391736,0 118,2,2024-09-07 08:20:11:588,63062,63062,0,0,3909970,0,2781 118,3,2024-09-07 08:20:11:771,1,51,45,1,235,1058,51,0 119,0,2024-09-07 08:20:11:369,10622,0.3,10695,0.5,21871,0.2,28664,1.75 119,1,2024-09-07 08:20:10:550,89330,89330,0,0,42417525331,450017271,87733,1449,148,371,391617,0 119,2,2024-09-07 08:20:11:271,63114,63114,0,0,3539623,0,2532 119,3,2024-09-07 08:20:11:329,1,51,79,1,443,2010,51,0 120,0,2024-09-07 08:20:11:903,11528,2.0,11408,1.4,22915,3.4,31288,2.75 120,1,2024-09-07 08:20:10:878,89260,89260,0,0,41757341108,445733435,87769,1476,15,368,391702,0 120,2,2024-09-07 08:20:10:786,64154,64153,1,0,4817234,0,5281 120,3,2024-09-07 08:20:11:293,1,51,4,1,241,934,51,0 121,0,2024-09-07 08:20:11:767,17450,2.9,17562,1.8,35193,4.1,47282,2.75 121,1,2024-09-07 08:20:11:702,89062,89062,0,0,41837553582,445607702,87210,1587,265,368,391807,0 121,2,2024-09-07 08:20:11:139,63276,63276,0,0,5145983,0,4127 121,3,2024-09-07 08:20:10:752,1,51,5,0,101,581,51,0 122,0,2024-09-07 08:20:11:796,14245,2.8,13739,2.4,28665,3.2,38849,2.50 122,1,2024-09-07 08:20:10:864,88326,88326,0,0,41479165465,448035177,85337,2467,522,368,392130,0 122,2,2024-09-07 08:20:11:355,65245,65245,0,0,5255980,0,3364 122,3,2024-09-07 08:20:10:595,1,51,2,1,226,1282,51,0 123,0,2024-09-07 08:20:11:044,9630,0.3,9286,0.6,19350,0.2,25332,1.75 123,1,2024-09-07 08:20:10:568,88954,88954,0,0,41671342999,451133525,85546,2841,567,369,391823,0 123,2,2024-09-07 08:20:11:032,63404,63403,1,0,4169564,0,5215 123,3,2024-09-07 08:20:11:143,1,51,7,1,160,842,51,0 124,0,2024-09-07 08:20:10:968,9817,0.3,9832,0.6,18612,0.2,26073,1.75 124,1,2024-09-07 08:20:11:042,89708,89708,0,0,41801456086,438613598,88922,645,141,367,392178,0 124,2,2024-09-07 08:20:11:022,62779,62779,0,0,3265316,0,2477 124,3,2024-09-07 08:20:10:771,1,51,15,1,490,1461,51,0 125,0,2024-09-07 08:20:11:538,15758,0.8,15796,0.8,31629,0.9,41909,2.00 125,1,2024-09-07 08:20:10:863,89130,89130,0,0,41787147111,444288082,87402,1456,272,384,391702,0 125,2,2024-09-07 08:20:11:130,63514,63514,0,0,3626892,0,2180 125,3,2024-09-07 08:20:11:129,1,51,1,1,93,910,51,0 126,0,2024-09-07 08:20:11:542,15104,1.7,15512,1.2,29722,2.6,40425,2.00 126,1,2024-09-07 08:20:10:580,89620,89620,0,0,42120983021,442864997,88583,985,52,367,391719,0 126,2,2024-09-07 08:20:10:613,67744,67744,0,0,4015538,0,3186 126,3,2024-09-07 08:20:10:917,1,51,2,0,122,821,51,0 127,0,2024-09-07 08:20:11:653,9125,0.3,9017,0.5,17945,0.2,23673,1.75 127,1,2024-09-07 08:20:10:570,89231,89231,0,0,42015425666,441027283,88101,1107,23,365,391614,0 127,2,2024-09-07 08:20:10:637,62953,62953,0,0,3148962,0,1803 127,3,2024-09-07 08:20:11:285,1,51,1,0,99,555,51,0 128,0,2024-09-07 08:20:11:562,9083,0.3,9165,0.5,17952,0.2,24328,1.75 128,1,2024-09-07 08:20:11:615,89450,89450,0,0,42346679361,442870993,88758,623,69,369,391605,0 128,2,2024-09-07 08:20:11:417,62017,62017,0,0,3843786,0,2107 128,3,2024-09-07 08:20:10:767,1,51,4,1,112,642,51,0 129,0,2024-09-07 08:20:11:072,15053,0.5,15157,0.7,29979,0.6,40383,1.75 129,1,2024-09-07 08:20:10:571,89129,89129,0,0,41873334831,445343052,87318,1539,272,379,391835,0 129,2,2024-09-07 08:20:10:737,64119,64119,0,0,3383599,0,2446 129,3,2024-09-07 08:20:10:707,1,51,1,1,173,867,51,0 130,0,2024-09-07 08:20:11:756,16254,1.8,16163,1.3,32365,2.4,43362,2.50 130,1,2024-09-07 08:20:10:585,89653,89653,0,0,41898321172,443334006,88349,1274,30,381,391520,0 130,2,2024-09-07 08:20:11:125,67383,67383,0,0,4127452,0,4067 130,3,2024-09-07 08:20:11:298,1,51,6,1,207,570,51,0 131,0,2024-09-07 08:20:12:032,11090,1.5,10935,1.2,22292,2.1,29634,2.00 131,1,2024-09-07 08:20:11:839,89692,89692,0,0,41852043263,441531328,88690,816,186,385,391865,0 131,2,2024-09-07 08:20:10:602,62211,62211,0,0,3229801,0,2415 131,3,2024-09-07 08:20:11:702,1,51,3,0,392,982,51,0 132,0,2024-09-07 08:20:11:492,10343,0.4,10690,0.7,20928,0.3,27302,1.75 132,1,2024-09-07 08:20:10:579,88521,88521,0,0,41698595225,448079278,85964,1852,705,382,391558,0 132,2,2024-09-07 08:20:10:708,62698,62698,0,0,5153676,0,4606 132,3,2024-09-07 08:20:11:700,1,51,20,1,356,936,51,0 133,0,2024-09-07 08:20:11:555,12448,0.7,12573,0.9,26151,0.8,33864,2.00 133,1,2024-09-07 08:20:10:592,88562,88562,0,0,42048732711,450525363,86451,2018,93,383,391755,0 133,2,2024-09-07 08:20:11:105,65457,65457,0,0,4140915,0,2444 133,3,2024-09-07 08:20:11:310,1,51,1,1,187,508,51,0 134,0,2024-09-07 08:20:11:041,17667,1.5,17619,1.3,35429,2.5,46830,2.50 134,1,2024-09-07 08:20:10:585,89231,89231,0,0,42050139882,450541704,86622,1629,980,366,391718,0 134,2,2024-09-07 08:20:11:758,64875,64875,0,0,3400960,0,2026 134,3,2024-09-07 08:20:10:749,1,51,1,1,739,1638,51,0 135,0,2024-09-07 08:20:11:143,12956,2.2,13013,1.9,27372,2.5,35542,2.50 135,1,2024-09-07 08:20:11:602,88623,88623,0,0,42320271772,450241625,86718,1580,325,383,391645,0 135,2,2024-09-07 08:20:10:687,64280,64280,0,0,4040270,0,3981 135,3,2024-09-07 08:20:11:031,1,51,2,0,68,424,51,0 136,0,2024-09-07 08:20:11:720,10341,0.4,10285,0.7,20524,0.3,27654,2.00 136,1,2024-09-07 08:20:11:463,89407,89407,0,0,42137162174,449224449,87523,1739,145,384,391518,0 136,2,2024-09-07 08:20:11:135,64454,64454,0,0,3718154,0,2379 136,3,2024-09-07 08:20:11:114,1,51,3,1,108,753,51,0 137,0,2024-09-07 08:20:11:020,10989,1.4,10713,1.2,21183,1.7,28446,2.25 137,1,2024-09-07 08:20:10:581,89064,89064,0,0,42446832045,451825308,87102,1849,113,368,391608,0 137,2,2024-09-07 08:20:11:715,63226,63226,0,0,4612721,0,2632 137,3,2024-09-07 08:20:10:776,1,51,2,1,227,833,51,0 138,0,2024-09-07 08:20:11:815,16084,3.2,16325,1.9,32978,4.1,44930,3.25 138,1,2024-09-07 08:20:11:704,88632,88632,0,0,42098022497,449799581,86321,2051,260,371,391615,0 138,2,2024-09-07 08:20:10:609,63831,63831,0,0,3905181,0,3263 138,3,2024-09-07 08:20:10:612,1,51,1,1,1160,1718,51,0 139,0,2024-09-07 08:20:11:399,14053,5.1,14100,2.9,28475,5.9,38758,4.25 139,1,2024-09-07 08:20:10:590,88668,88668,0,0,41843515476,455035799,85793,2148,727,381,391892,0 139,2,2024-09-07 08:20:10:695,66173,66173,0,0,4908868,0,3097 139,3,2024-09-07 08:20:11:721,1,51,3,1,244,1182,51,0 140,0,2024-09-07 08:20:11:615,9228,0.3,9059,0.6,18415,0.2,24313,1.75 140,1,2024-09-07 08:20:11:537,90217,90217,0,0,42846041384,447943484,89290,730,197,365,391483,0 140,2,2024-09-07 08:20:10:687,63613,63613,0,0,4080280,0,3388 140,3,2024-09-07 08:20:10:767,1,51,10,1,25,477,51,0 141,0,2024-09-07 08:20:11:711,8808,0.2,9215,0.4,17884,0.1,24100,1.50 141,1,2024-09-07 08:20:10:867,89915,89915,0,0,41847679872,441655631,88496,1088,331,382,391538,0 141,2,2024-09-07 08:20:11:701,63023,63023,0,0,3596536,0,2342 141,3,2024-09-07 08:20:11:043,1,51,147,0,147,480,51,0 142,0,2024-09-07 08:20:11:367,16014,0.5,15759,0.7,31259,0.5,42173,1.75 142,1,2024-09-07 08:20:10:634,89544,89544,0,0,42327713221,444824890,88999,530,15,384,391649,0 142,2,2024-09-07 08:20:11:309,63506,63506,0,0,3900624,0,2293 142,3,2024-09-07 08:20:11:752,1,51,3,1,484,1120,51,0 143,0,2024-09-07 08:20:11:407,14941,1.4,14973,1.2,30134,1.8,39811,2.25 143,1,2024-09-07 08:20:10:679,89739,89739,0,0,42001954792,440606817,88776,938,25,367,391608,0 143,2,2024-09-07 08:20:10:774,67333,67333,0,0,4333870,0,2669 143,3,2024-09-07 08:20:11:151,1,51,4,1,236,1028,51,0 144,0,2024-09-07 08:20:11:591,9853,2.6,10233,7.5,20459,2.3,27656,2.50 144,1,2024-09-07 08:20:10:572,88951,88951,0,0,41887222895,446304580,87393,1369,189,383,391638,0 144,2,2024-09-07 08:20:11:776,62151,62151,0,0,3546160,0,3473 144,3,2024-09-07 08:20:11:757,1,51,6,1,169,1111,51,0 145,0,2024-09-07 08:20:11:423,9105,0.4,9017,0.7,19038,0.3,24488,2.00 145,1,2024-09-07 08:20:10:574,88374,88374,0,0,42401295710,451971708,86460,1653,261,383,391615,0 145,2,2024-09-07 08:20:11:438,62740,62740,0,0,4249581,0,3903 145,3,2024-09-07 08:20:10:895,1,51,2,0,151,834,51,0 146,0,2024-09-07 08:20:11:700,13595,1.4,13632,1.2,27488,2.1,36941,2.25 146,1,2024-09-07 08:20:11:598,89297,89297,0,0,42042566432,449436526,87012,1985,300,370,391600,0 146,2,2024-09-07 08:20:11:709,64549,64549,0,0,4049533,0,2379 146,3,2024-09-07 08:20:11:285,1,51,6,0,1520,2885,51,0 147,0,2024-09-07 08:20:11:732,16561,3.6,16297,2.1,32430,5.1,44614,3.50 147,1,2024-09-07 08:20:11:404,89534,89534,0,0,41915692302,442399814,87835,1346,353,369,391791,0 147,2,2024-09-07 08:20:11:016,66489,66489,0,0,4038227,0,2789 147,3,2024-09-07 08:20:10:927,1,51,3,1,141,716,51,0 0,0,2024-09-07 08:20:21:728,11628,1.1,11605,1.1,24547,1.6,32319,2.00 0,1,2024-09-07 08:20:20:801,91093,91093,0,0,42990467628,456880261,89888,1079,126,372,391673,0 0,2,2024-09-07 08:20:21:073,65790,65790,0,0,4027708,0,4480 0,3,2024-09-07 08:20:20:980,1,52,106,0,247,969,52,0 1,0,2024-09-07 08:20:21:807,17474,3.3,17501,2.1,35230,4.9,47332,3.25 1,1,2024-09-07 08:20:20:568,90614,90614,0,0,42376153839,451424908,88940,1149,525,372,391857,0 1,2,2024-09-07 08:20:20:645,65371,65371,0,0,3663924,0,3267 1,3,2024-09-07 08:20:21:302,1,52,1,1,167,597,52,0 2,0,2024-09-07 08:20:21:582,14286,2.3,14523,1.7,28709,4.5,38676,3.00 2,1,2024-09-07 08:20:20:859,90786,90786,0,0,43137958338,454464626,89709,874,203,382,391558,0 2,2,2024-09-07 08:20:21:269,65253,65253,0,0,3884830,0,3304 2,3,2024-09-07 08:20:20:694,1,52,1,0,214,660,52,0 3,0,2024-09-07 08:20:21:763,9869,0.4,9880,0.6,19786,0.3,25995,2.00 3,1,2024-09-07 08:20:21:628,90540,90540,0,0,42443370748,450307825,88562,1592,386,382,391514,0 3,2,2024-09-07 08:20:21:143,64961,64938,23,0,4297351,0,5851 3,3,2024-09-07 08:20:21:752,1,52,2,0,103,517,52,0 4,0,2024-09-07 08:20:21:785,9800,0.3,10079,0.5,20448,0.2,27353,1.75 4,1,2024-09-07 08:20:20:592,91039,91039,0,0,42234572352,451075605,89220,1403,416,372,391846,0 4,2,2024-09-07 08:20:21:019,64624,64624,0,0,4541751,0,4528 4,3,2024-09-07 08:20:21:035,1,52,1,1,287,993,52,0 5,0,2024-09-07 08:20:21:398,16112,0.9,15760,0.9,32145,1.2,42676,2.00 5,1,2024-09-07 08:20:20:761,90629,90629,0,0,42869038011,457294385,88453,1738,438,368,392005,0 5,2,2024-09-07 08:20:21:844,64122,64122,0,0,3623536,0,2259 5,3,2024-09-07 08:20:21:739,1,52,1,1,238,1290,52,0 6,0,2024-09-07 08:20:20:925,15396,2.2,15142,1.7,30267,3.2,40990,4.00 6,1,2024-09-07 08:20:20:753,91313,91313,0,0,42375255845,445933148,90233,1011,69,382,391603,0 6,2,2024-09-07 08:20:21:116,68866,68866,0,0,3939349,0,2411 6,3,2024-09-07 08:20:21:278,1,52,18,1,340,1369,52,0 7,0,2024-09-07 08:20:21:545,9036,0.3,8993,0.6,18286,0.2,24174,1.75 7,1,2024-09-07 08:20:20:850,91044,91044,0,0,43340068547,456677421,90066,914,64,383,391664,0 7,2,2024-09-07 08:20:20:771,64242,64242,0,0,3559040,0,2981 7,3,2024-09-07 08:20:20:860,1,52,5,0,83,514,52,0 8,0,2024-09-07 08:20:21:417,9572,0.3,9376,0.5,18613,0.2,25341,1.75 8,1,2024-09-07 08:20:21:019,90852,90852,0,0,42948300969,466782233,87387,2214,1251,368,391724,0 8,2,2024-09-07 08:20:20:790,62692,62692,0,0,4615990,0,2986 8,3,2024-09-07 08:20:20:585,1,52,1,0,229,958,52,0 9,0,2024-09-07 08:20:21:141,15399,0.4,15023,0.7,31392,0.4,41506,1.75 9,1,2024-09-07 08:20:20:561,90973,90973,0,0,43059107442,460332380,88700,1707,566,370,391559,0 9,2,2024-09-07 08:20:21:082,65318,65318,0,0,4231083,0,3360 9,3,2024-09-07 08:20:21:770,1,52,2,0,149,888,52,0 10,0,2024-09-07 08:20:21:620,16124,1.5,16218,1.2,32447,2.8,43058,3.50 10,1,2024-09-07 08:20:20:587,90258,90258,0,0,42917169260,462831606,86924,2812,522,383,391541,0 10,2,2024-09-07 08:20:20:762,68121,68121,0,0,4479504,0,2940 10,3,2024-09-07 08:20:20:876,1,52,9,0,136,510,52,0 11,0,2024-09-07 08:20:21:046,10809,3.2,10581,2.1,22139,5.6,29943,2.75 11,1,2024-09-07 08:20:20:578,90762,90762,0,0,42266432224,453696380,88125,1822,815,384,391537,0 11,2,2024-09-07 08:20:21:123,63454,63454,0,0,4150799,0,2635 11,3,2024-09-07 08:20:21:308,1,52,1,0,720,2156,52,0 12,0,2024-09-07 08:20:21:046,10562,0.3,10656,0.5,21125,0.2,27253,1.75 12,1,2024-09-07 08:20:20:950,90666,90666,0,0,42117613580,447991492,89037,1530,99,372,391790,0 12,2,2024-09-07 08:20:21:548,64541,64541,0,0,3811521,0,2254 12,3,2024-09-07 08:20:21:076,1,52,8,1,358,1292,52,0 13,0,2024-09-07 08:20:21:384,12935,0.5,13146,0.7,26197,0.5,35065,1.75 13,1,2024-09-07 08:20:21:525,91175,91175,0,0,42823766464,456827228,89597,1212,366,384,391710,0 13,2,2024-09-07 08:20:20:605,66517,66517,0,0,3606373,0,3287 13,3,2024-09-07 08:20:21:763,1,52,13,1,373,1129,52,0 14,0,2024-09-07 08:20:20:578,17703,1.4,17789,1.3,35170,1.6,46818,2.75 14,1,2024-09-07 08:20:21:563,91771,91771,0,0,42467757079,445268967,90894,847,30,365,391546,0 14,2,2024-09-07 08:20:20:772,66130,66130,0,0,4167570,0,2793 14,3,2024-09-07 08:20:21:118,1,52,1,1,906,1246,52,0 15,0,2024-09-07 08:20:21:576,13411,2.9,13281,1.8,26632,4.9,36015,3.50 15,1,2024-09-07 08:20:21:618,90861,90861,0,0,42355603805,445644809,90079,747,35,381,391536,0 15,2,2024-09-07 08:20:20:998,64910,64910,0,0,3105459,0,3043 15,3,2024-09-07 08:20:21:416,1,52,7,0,538,1772,52,0 16,0,2024-09-07 08:20:20:954,10552,0.4,10698,0.6,21026,0.2,28237,2.25 16,1,2024-09-07 08:20:20:577,91004,91004,0,0,42273488026,448073404,89894,1088,22,372,391543,0 16,2,2024-09-07 08:20:21:443,64456,64456,0,0,4399119,0,4719 16,3,2024-09-07 08:20:21:152,1,52,2,1,231,1159,52,0 17,0,2024-09-07 08:20:21:787,11164,0.7,10954,0.9,21596,0.7,29174,2.25 17,1,2024-09-07 08:20:20:587,90763,90763,0,0,42998943859,459940398,88968,1273,522,369,391688,0 17,2,2024-09-07 08:20:21:679,65890,65890,0,0,3326929,0,2857 17,3,2024-09-07 08:20:20:575,1,52,1,0,268,1299,52,0 18,0,2024-09-07 08:20:20:948,16656,1.4,16994,1.3,33740,1.7,45251,2.75 18,1,2024-09-07 08:20:21:641,91069,91069,0,0,42671953826,449565257,89821,1030,218,368,391526,0 18,2,2024-09-07 08:20:21:761,64234,64234,0,0,4166979,0,3541 18,3,2024-09-07 08:20:20:898,1,52,3,0,163,893,52,0 19,0,2024-09-07 08:20:21:552,14471,2.3,14530,1.8,28740,2.8,38656,5.75 19,1,2024-09-07 08:20:20:586,91013,91013,0,0,43053383966,456557664,89197,1653,163,367,391696,0 19,2,2024-09-07 08:20:21:761,67416,67416,0,0,3968785,0,3988 19,3,2024-09-07 08:20:21:130,1,52,30,0,524,916,52,0 20,0,2024-09-07 08:20:21:379,9431,0.3,9457,0.5,18562,0.2,24344,1.75 20,1,2024-09-07 08:20:20:577,91035,91035,0,0,43382302903,459713530,89356,1518,161,370,391598,0 20,2,2024-09-07 08:20:20:927,64665,64665,0,0,3398089,0,2446 20,3,2024-09-07 08:20:20:593,1,52,1,1,99,805,52,0 21,0,2024-09-07 08:20:21:142,9503,0.3,9463,0.6,18660,0.2,24567,1.75 21,1,2024-09-07 08:20:21:541,90310,90310,0,0,41899152903,448853534,88076,1746,488,368,391962,0 21,2,2024-09-07 08:20:21:076,63863,63863,0,0,3665349,0,3747 21,3,2024-09-07 08:20:21:407,1,52,3,0,93,777,52,0 22,0,2024-09-07 08:20:21:735,15856,0.8,15766,0.9,31480,0.8,42492,2.25 22,1,2024-09-07 08:20:21:024,90444,90444,0,0,42135288286,452883763,87502,2217,725,382,391667,0 22,2,2024-09-07 08:20:20:760,64859,64859,0,0,3392071,0,3134 22,3,2024-09-07 08:20:21:081,1,52,1,0,62,279,52,0 23,0,2024-09-07 08:20:21:399,14936,2.2,15129,1.4,30182,3.6,40246,3.00 23,1,2024-09-07 08:20:21:011,91406,91406,0,0,42810729620,449592419,90060,1092,254,368,391496,0 23,2,2024-09-07 08:20:21:092,68916,68916,0,0,3571686,0,2078 23,3,2024-09-07 08:20:21:760,1,52,1,0,645,1207,52,0 24,0,2024-09-07 08:20:20:890,10634,0.8,10730,0.9,21392,1.1,28169,1.75 24,1,2024-09-07 08:20:20:587,91448,91448,0,0,42779790919,450068567,90649,792,7,371,391640,0 24,2,2024-09-07 08:20:21:081,63405,63405,0,0,4684557,0,2942 24,3,2024-09-07 08:20:21:691,1,52,1,1,234,901,52,0 25,0,2024-09-07 08:20:21:366,9753,0.3,9598,0.5,18546,0.2,24992,1.75 25,1,2024-09-07 08:20:20:571,90665,90665,0,0,42766596023,457449714,88533,1821,311,371,391788,0 25,2,2024-09-07 08:20:21:629,63685,63685,0,0,4232967,0,3284 25,3,2024-09-07 08:20:20:999,1,52,1,1,158,583,52,0 26,0,2024-09-07 08:20:21:771,14088,0.6,13892,0.7,29026,0.6,38615,2.00 26,1,2024-09-07 08:20:21:548,90928,90928,0,0,42331006872,457446711,87468,2625,835,382,391564,0 26,2,2024-09-07 08:20:20:867,66064,66064,0,0,4427017,0,2809 26,3,2024-09-07 08:20:21:719,1,52,7,0,796,1205,52,0 27,0,2024-09-07 08:20:21:744,16684,1.6,16783,1.1,33590,2.1,44944,2.25 27,1,2024-09-07 08:20:21:682,91624,91624,0,0,43357875312,455245762,90557,772,295,381,391526,0 27,2,2024-09-07 08:20:20:877,66671,66671,0,0,5383914,0,3409 27,3,2024-09-07 08:20:21:017,1,52,1,0,564,987,52,0 28,0,2024-09-07 08:20:21:412,12304,0.9,12491,1.0,24735,1.2,32879,2.00 28,1,2024-09-07 08:20:20:797,91153,91153,0,0,43346760831,457100904,90096,857,200,383,391500,0 28,2,2024-09-07 08:20:21:765,63809,63809,0,0,3414115,0,2609 28,3,2024-09-07 08:20:21:782,1,52,1,1,502,1119,52,0 29,0,2024-09-07 08:20:21:391,11167,0.3,10914,0.5,21577,0.2,29212,1.75 29,1,2024-09-07 08:20:21:562,92016,92016,0,0,43056870732,449130501,91273,571,172,369,391621,0 29,2,2024-09-07 08:20:20:867,65160,65160,0,0,2988882,0,2026 29,3,2024-09-07 08:20:20:968,1,52,5,1,105,617,52,0 30,0,2024-09-07 08:20:21:476,12012,1.0,11647,0.9,24240,1.3,32242,2.50 30,1,2024-09-07 08:20:20:589,90974,90974,0,0,43344634819,456428278,90002,907,65,382,391524,0 30,2,2024-09-07 08:20:21:273,66240,66240,0,0,3069284,0,2534 30,3,2024-09-07 08:20:20:587,1,52,1,0,195,583,52,0 31,0,2024-09-07 08:20:21:784,17755,2.0,17572,1.3,35644,3.5,47101,3.50 31,1,2024-09-07 08:20:20:575,91484,91484,0,0,43565291938,450175754,91237,245,2,356,391553,0 31,2,2024-09-07 08:20:21:284,65774,65774,0,0,4416330,0,3525 31,3,2024-09-07 08:20:21:710,1,52,1,0,129,513,52,0 32,0,2024-09-07 08:20:21:445,14625,2.0,14697,1.3,29569,3.3,39355,2.00 32,1,2024-09-07 08:20:20:804,90983,90983,0,0,42439851589,446148631,89988,967,28,382,391595,0 32,2,2024-09-07 08:20:20:941,66502,66502,0,0,3667735,0,3155 32,3,2024-09-07 08:20:21:017,1,52,4,0,110,594,52,0 33,0,2024-09-07 08:20:21:543,9916,0.3,9776,0.5,19956,0.2,25965,1.75 33,1,2024-09-07 08:20:20:579,91218,91218,0,0,43607091814,458856456,89560,1535,123,369,391526,0 33,2,2024-09-07 08:20:20:760,64661,64628,33,0,5103470,0,7012 33,3,2024-09-07 08:20:20:896,1,52,63,1,63,335,52,0 34,0,2024-09-07 08:20:20:948,10090,0.3,10375,0.5,19986,0.2,27316,1.75 34,1,2024-09-07 08:20:21:045,91673,91673,0,0,43259774333,449868019,91081,588,4,368,391517,0 34,2,2024-09-07 08:20:20:769,64291,64291,0,0,4158417,0,3255 34,3,2024-09-07 08:20:21:691,1,52,1,0,148,627,52,0 35,0,2024-09-07 08:20:20:886,15785,1.1,15852,0.9,31915,1.5,42499,2.00 35,1,2024-09-07 08:20:21:074,91022,91022,0,0,43110322783,453296680,89557,1104,361,384,391587,0 35,2,2024-09-07 08:20:21:589,64378,64378,0,0,3575766,0,2542 35,3,2024-09-07 08:20:20:907,1,52,6,0,219,973,52,0 36,0,2024-09-07 08:20:21:529,15324,2.6,15148,1.7,30880,3.9,41108,3.00 36,1,2024-09-07 08:20:20:583,90807,90807,0,0,43136316652,461342895,87796,2080,931,366,391535,0 36,2,2024-09-07 08:20:21:762,68228,68228,0,0,4198489,0,3303 36,3,2024-09-07 08:20:20:867,1,52,5,0,378,1024,52,0 37,0,2024-09-07 08:20:21:397,9173,0.3,9133,0.5,18359,0.2,24195,1.75 37,1,2024-09-07 08:20:20:577,90384,90377,0,7,42501306354,458463122,87539,1321,1517,365,391507,0 37,2,2024-09-07 08:20:21:142,64538,64538,0,0,3095734,0,2333 37,3,2024-09-07 08:20:21:779,1,52,11,0,724,1691,52,0 38,0,2024-09-07 08:20:21:465,9469,0.3,9125,0.5,19028,0.2,25285,1.75 38,1,2024-09-07 08:20:21:614,90952,90952,0,0,42524940223,451926773,88811,1694,447,370,391512,0 38,2,2024-09-07 08:20:20:760,63726,63726,0,0,3822280,0,3245 38,3,2024-09-07 08:20:20:997,1,52,3,0,603,999,52,0 39,0,2024-09-07 08:20:21:785,15943,0.6,15751,0.7,30480,0.6,41705,1.75 39,1,2024-09-07 08:20:20:719,91031,91031,0,0,42096680057,447960582,88471,1922,638,366,391524,0 39,2,2024-09-07 08:20:21:426,65111,65111,0,0,3534365,0,2381 39,3,2024-09-07 08:20:20:713,1,52,1,0,276,1108,52,0 40,0,2024-09-07 08:20:21:513,15861,2.6,16053,2.6,31678,5.1,42708,4.00 40,1,2024-09-07 08:20:20:576,90777,90777,0,0,42108310662,452401199,87528,2496,753,370,391591,0 40,2,2024-09-07 08:20:21:304,68216,68215,1,0,4745744,0,5137 40,3,2024-09-07 08:20:21:142,1,52,1,1,110,714,52,0 41,0,2024-09-07 08:20:21:050,10593,2.4,10884,6.9,20811,5.8,28854,4.00 41,1,2024-09-07 08:20:20:769,90761,90761,0,0,42745835101,456000503,88457,1992,312,370,391484,0 41,2,2024-09-07 08:20:20:759,63184,63184,0,0,4744117,0,3356 41,3,2024-09-07 08:20:21:678,1,52,1,1,168,613,52,0 42,0,2024-09-07 08:20:21:486,10390,0.4,10597,0.7,20947,0.3,27252,2.00 42,1,2024-09-07 08:20:21:448,90738,90738,0,0,42594247468,458767639,87747,2161,830,381,391511,0 42,2,2024-09-07 08:20:21:133,64361,64361,0,0,4179772,0,3568 42,3,2024-09-07 08:20:21:009,1,52,5,0,100,542,52,0 43,0,2024-09-07 08:20:20:935,12936,2.0,12702,1.4,26451,3.1,35073,2.25 43,1,2024-09-07 08:20:20:577,90884,90884,0,0,42856075718,455758302,88695,1437,752,367,391604,0 43,2,2024-09-07 08:20:21:739,66571,66571,0,0,4411811,0,3812 43,3,2024-09-07 08:20:21:753,1,52,1,1,292,1146,52,0 44,0,2024-09-07 08:20:20:864,17725,1.3,17704,1.3,35189,1.5,47323,2.25 44,1,2024-09-07 08:20:20:577,91263,91263,0,0,42594513708,445808926,90248,908,107,357,391505,0 44,2,2024-09-07 08:20:21:273,66048,66048,0,0,3194013,0,1865 44,3,2024-09-07 08:20:21:100,1,52,3,1,817,1302,52,0 45,0,2024-09-07 08:20:21:782,13280,3.3,12975,2.0,27223,5.7,36336,2.25 45,1,2024-09-07 08:20:21:009,91308,91308,0,0,43319059532,453511577,90842,465,1,382,391917,0 45,2,2024-09-07 08:20:21:268,64973,64973,0,0,3787466,0,2628 45,3,2024-09-07 08:20:20:938,1,52,4,1,226,745,52,0 46,0,2024-09-07 08:20:21:007,10667,0.3,10676,0.5,21375,0.2,28378,1.75 46,1,2024-09-07 08:20:20:586,91378,91378,0,0,42836082545,447572231,90548,794,36,368,391514,0 46,2,2024-09-07 08:20:20:594,65321,65321,0,0,3724218,0,2920 46,3,2024-09-07 08:20:21:133,1,52,1,0,315,1022,52,0 47,0,2024-09-07 08:20:21:114,10860,0.5,11054,0.7,21968,0.6,29126,1.75 47,1,2024-09-07 08:20:20:578,91599,91599,0,0,42616419397,443596767,91093,502,4,367,391548,0 47,2,2024-09-07 08:20:20:908,66316,66316,0,0,3725360,0,2558 47,3,2024-09-07 08:20:21:116,1,52,9,1,529,1044,52,0 48,0,2024-09-07 08:20:21:501,17433,0.4,17269,0.6,34249,0.4,46634,1.75 48,1,2024-09-07 08:20:21:028,91264,91264,0,0,42686450145,449416049,90069,1190,5,386,391637,0 48,2,2024-09-07 08:20:20:703,65020,65020,0,0,2962864,0,2083 48,3,2024-09-07 08:20:20:754,1,52,1,1,30,543,52,0 49,0,2024-09-07 08:20:21:739,15118,2.2,14791,1.5,29036,3.8,40086,2.25 49,1,2024-09-07 08:20:21:026,90930,90930,0,0,43086256034,457236923,89195,1026,709,382,391583,0 49,2,2024-09-07 08:20:21:797,67436,67436,0,0,4112472,0,3900 49,3,2024-09-07 08:20:21:425,1,52,1,0,274,742,52,0 50,0,2024-09-07 08:20:21:559,9467,0.2,9223,0.5,18595,0.1,24769,1.75 50,1,2024-09-07 08:20:21:010,91889,91889,0,0,43226486554,454819087,90223,1369,297,368,391530,0 50,2,2024-09-07 08:20:21:085,65235,65235,0,0,3176291,0,2253 50,3,2024-09-07 08:20:21:290,1,52,1,1,335,835,52,0 51,0,2024-09-07 08:20:21:713,9517,0.2,9325,0.4,18267,0.1,24827,1.50 51,1,2024-09-07 08:20:21:686,90877,90877,0,0,43894071830,462886551,89081,1014,782,367,391520,0 51,2,2024-09-07 08:20:21:320,64037,64037,0,0,2754851,0,2448 51,3,2024-09-07 08:20:21:026,1,52,2,0,162,446,52,0 52,0,2024-09-07 08:20:21:440,16056,1.1,16179,1.1,32219,1.3,42917,2.50 52,1,2024-09-07 08:20:20:575,90864,90864,0,0,42446419404,453756227,88371,2029,464,368,391513,0 52,2,2024-09-07 08:20:21:765,64536,64536,0,0,3853898,0,4779 52,3,2024-09-07 08:20:20:683,1,52,1,1,1782,2361,52,0 53,0,2024-09-07 08:20:21:787,14889,3.1,14388,2.0,29577,4.5,39536,3.25 53,1,2024-09-07 08:20:20:774,90729,90729,0,0,42923521576,458418397,88127,2028,574,370,391617,0 53,2,2024-09-07 08:20:21:303,69630,69630,0,0,3423660,0,1902 53,3,2024-09-07 08:20:20:700,1,52,1,1,59,352,52,0 54,0,2024-09-07 08:20:21:632,9806,10.0,9857,5.8,19552,2.5,27090,5.50 54,1,2024-09-07 08:20:20:580,90814,90814,0,0,42967687957,455131363,88706,1792,316,367,391520,0 54,2,2024-09-07 08:20:20:865,64226,64220,6,0,3992032,0,5382 54,3,2024-09-07 08:20:20:773,1,52,1,0,676,1424,52,0 55,0,2024-09-07 08:20:21:782,9231,0.4,9486,0.7,19294,0.3,24789,2.25 55,1,2024-09-07 08:20:20:771,90576,90576,0,0,42660584011,455545420,87151,2723,702,367,391731,0 55,2,2024-09-07 08:20:20:736,63828,63828,0,0,3834405,0,3275 55,3,2024-09-07 08:20:20:681,1,52,6,0,136,656,52,0 56,0,2024-09-07 08:20:21:569,14504,2.3,13718,1.6,28082,3.7,38375,2.75 56,1,2024-09-07 08:20:20:572,90519,90519,0,0,43123439666,467418302,87141,2632,746,383,391536,0 56,2,2024-09-07 08:20:21:305,66391,66391,0,0,4604569,0,3567 56,3,2024-09-07 08:20:21:076,1,52,1,1,297,843,52,0 57,0,2024-09-07 08:20:20:955,16374,4.2,16035,2.3,32487,6.3,43680,4.75 57,1,2024-09-07 08:20:21:002,90669,90669,0,0,42152324245,447250406,89201,1449,19,368,391760,0 57,2,2024-09-07 08:20:21:330,67476,67476,0,0,4606962,0,3178 57,3,2024-09-07 08:20:21:743,1,52,13,1,359,1397,52,0 58,0,2024-09-07 08:20:20:570,11574,1.0,11280,1.2,23535,0.9,30859,3.00 58,1,2024-09-07 08:20:20:594,91696,91694,0,2,43217821777,455921936,90099,1436,159,369,391516,2 58,2,2024-09-07 08:20:21:080,64024,64024,0,0,4305775,0,2549 58,3,2024-09-07 08:20:21:072,1,52,1,1,219,749,52,0 59,0,2024-09-07 08:20:21:763,11090,0.7,10953,0.9,22116,0.7,28860,2.25 59,1,2024-09-07 08:20:20:804,90498,90498,0,0,42315995824,452953877,88253,1478,767,369,391515,0 59,2,2024-09-07 08:20:20:592,64935,64935,0,0,3780070,0,2604 59,3,2024-09-07 08:20:21:739,1,52,1,0,1015,1603,52,0 60,0,2024-09-07 08:20:21:730,12061,0.8,12145,0.8,24020,1.0,32469,1.75 60,1,2024-09-07 08:20:20:774,92049,92049,0,0,42949145952,451695754,90982,723,344,370,391672,0 60,2,2024-09-07 08:20:21:143,66029,66029,0,0,3458019,0,2142 60,3,2024-09-07 08:20:21:259,1,52,5,0,124,759,52,0 61,0,2024-09-07 08:20:21:593,17616,3.2,17602,1.8,35145,4.7,47193,2.75 61,1,2024-09-07 08:20:20:771,90827,90827,0,0,42821904824,458864498,88278,2015,534,383,391589,0 61,2,2024-09-07 08:20:21:117,65954,65954,0,0,3572927,0,1846 61,3,2024-09-07 08:20:21:690,1,52,5,1,199,971,52,0 62,0,2024-09-07 08:20:21:728,14683,2.2,15018,1.4,28843,4.1,39292,2.25 62,1,2024-09-07 08:20:21:117,91678,91672,0,6,43558584173,455999264,90421,1214,37,366,391586,6 62,2,2024-09-07 08:20:21:645,65586,65586,0,0,4161308,0,2931 62,3,2024-09-07 08:20:21:143,1,52,1,0,287,599,52,0 63,0,2024-09-07 08:20:21:468,9823,0.4,9830,0.7,19719,0.3,26065,2.00 63,1,2024-09-07 08:20:20:819,91935,91931,0,4,43358529218,451653742,91534,396,1,381,391540,4 63,2,2024-09-07 08:20:20:775,65013,65013,0,0,3659977,0,2674 63,3,2024-09-07 08:20:21:732,1,52,20,0,667,1751,52,0 64,0,2024-09-07 08:20:21:643,10025,0.4,10010,0.7,20078,0.3,27278,1.75 64,1,2024-09-07 08:20:20:751,91088,91088,0,0,42623732155,456256762,88657,1638,793,371,391604,0 64,2,2024-09-07 08:20:21:152,64976,64957,19,0,4055262,0,6121 64,3,2024-09-07 08:20:21:146,1,52,9,1,163,683,52,0 65,0,2024-09-07 08:20:21:708,15623,2.9,15804,1.8,31426,4.5,42112,4.25 65,1,2024-09-07 08:20:20:860,91012,91012,0,0,41969680311,446473432,89310,1483,219,382,391569,0 65,2,2024-09-07 08:20:21:698,64822,64822,0,0,4007833,0,3367 65,3,2024-09-07 08:20:21:696,1,52,2,1,163,763,52,0 66,0,2024-09-07 08:20:21:782,14768,1.9,15024,1.4,30078,3.4,40525,3.75 66,1,2024-09-07 08:20:21:292,91920,91920,0,0,42961834626,449294421,91234,666,20,381,391537,0 66,2,2024-09-07 08:20:21:133,69100,69100,0,0,3931605,0,3867 66,3,2024-09-07 08:20:21:080,1,52,1,1,291,872,52,0 67,0,2024-09-07 08:20:21:445,9212,0.6,9264,0.8,18207,0.6,24138,2.25 67,1,2024-09-07 08:20:20:772,90834,90833,0,1,42639677227,454336654,88838,1470,525,382,391787,1 67,2,2024-09-07 08:20:20:583,64749,64749,0,0,3450002,0,2889 67,3,2024-09-07 08:20:21:763,1,52,0,0,138,602,52,0 68,0,2024-09-07 08:20:20:587,9365,0.4,9358,0.7,18746,0.2,25097,2.00 68,1,2024-09-07 08:20:20:571,90753,90753,0,0,42929717004,455362698,89362,869,522,383,391953,0 68,2,2024-09-07 08:20:21:045,63925,63925,0,0,3403162,0,4883 68,3,2024-09-07 08:20:20:735,1,52,5,1,63,547,52,0 69,0,2024-09-07 08:20:21:769,15344,1.5,15322,1.3,30559,2.3,41622,2.75 69,1,2024-09-07 08:20:21:020,90440,90440,0,0,42544451500,457669233,88497,1078,865,386,391530,0 69,2,2024-09-07 08:20:21:736,65706,65706,0,0,3901260,0,3153 69,3,2024-09-07 08:20:20:777,1,52,23,0,238,922,52,0 70,0,2024-09-07 08:20:21:556,15852,4.2,15806,3.1,31948,4.2,42272,5.50 70,1,2024-09-07 08:20:20:802,91423,91423,0,0,43399202277,453778004,90512,777,134,369,391527,0 70,2,2024-09-07 08:20:21:325,68316,68316,0,0,4025390,0,4044 70,3,2024-09-07 08:20:20:745,1,52,1,0,178,427,52,0 71,0,2024-09-07 08:20:21:378,10682,2.7,10678,5.2,20984,4.3,29051,4.75 71,1,2024-09-07 08:20:21:606,90779,90779,0,0,43033797283,456459511,88595,1869,315,368,391682,0 71,2,2024-09-07 08:20:21:072,63737,63737,0,0,3396367,0,2146 71,3,2024-09-07 08:20:21:763,1,52,1,0,174,482,52,0 72,0,2024-09-07 08:20:21:071,10777,0.4,10420,0.7,20753,0.3,27355,2.75 72,1,2024-09-07 08:20:21:029,91434,91434,0,0,43198921938,455720311,89895,1463,76,370,391521,0 72,2,2024-09-07 08:20:21:775,63727,63727,0,0,4961245,0,2570 72,3,2024-09-07 08:20:21:754,1,52,2,1,325,1213,52,0 73,0,2024-09-07 08:20:21:123,12775,0.6,13046,0.8,26464,0.6,35034,2.50 73,1,2024-09-07 08:20:20:774,91245,91245,0,0,42925585242,451659994,90289,913,43,368,391627,0 73,2,2024-09-07 08:20:21:749,66868,66868,0,0,4512406,0,3482 73,3,2024-09-07 08:20:20:975,1,52,4,0,274,1187,52,0 74,0,2024-09-07 08:20:21:342,17611,2.3,17986,1.6,34687,3.7,46871,4.00 74,1,2024-09-07 08:20:20:639,90970,90970,0,0,42525076303,451236593,89077,1321,572,382,391497,0 74,2,2024-09-07 08:20:21:006,65952,65952,0,0,4814730,0,4253 74,3,2024-09-07 08:20:21:442,1,52,5,0,246,1265,52,0 75,0,2024-09-07 08:20:21:793,13503,3.2,13536,1.9,26888,5.2,36489,3.50 75,1,2024-09-07 08:20:21:585,91397,91397,0,0,42532431633,451595266,89716,1577,104,382,391514,0 75,2,2024-09-07 08:20:21:355,64474,64474,0,0,4613332,0,4766 75,3,2024-09-07 08:20:21:072,1,52,1,0,143,373,52,0 76,0,2024-09-07 08:20:20:662,10628,0.4,10538,0.6,21098,0.2,28168,2.00 76,1,2024-09-07 08:20:20:814,90895,90895,0,0,42208071844,449975774,89092,1297,506,382,391530,0 76,2,2024-09-07 08:20:21:072,65302,65302,0,0,3664887,0,3064 76,3,2024-09-07 08:20:21:151,1,52,2,0,175,952,52,0 77,0,2024-09-07 08:20:21:797,10906,1.4,10922,1.1,21855,2.2,29180,2.25 77,1,2024-09-07 08:20:20:833,91009,91009,0,0,42724028643,450566869,89984,957,68,383,391516,0 77,2,2024-09-07 08:20:21:285,65870,65870,0,0,3127045,0,1695 77,3,2024-09-07 08:20:21:101,1,52,68,0,139,605,52,0 78,0,2024-09-07 08:20:21:731,17144,1.7,17078,1.3,34332,2.5,46348,2.50 78,1,2024-09-07 08:20:20:624,91725,91725,0,0,42718947223,451236500,90311,1210,204,368,391589,0 78,2,2024-09-07 08:20:21:407,65099,65099,0,0,3206255,0,2114 78,3,2024-09-07 08:20:21:133,1,52,40,0,181,974,52,0 79,0,2024-09-07 08:20:21:355,14244,1.6,14595,1.4,29730,3.2,39295,4.00 79,1,2024-09-07 08:20:20:578,91198,91198,0,0,43017992794,452872308,89862,1267,69,370,391609,0 79,2,2024-09-07 08:20:21:072,67334,67334,0,0,3705957,0,2679 79,3,2024-09-07 08:20:20:749,1,52,135,0,289,798,52,0 80,0,2024-09-07 08:20:21:093,9296,0.4,9561,0.7,18497,0.3,24633,2.00 80,1,2024-09-07 08:20:21:630,90623,90623,0,0,42962535523,453118448,89041,1467,115,369,391673,0 80,2,2024-09-07 08:20:21:090,64704,64704,0,0,3882468,0,4433 80,3,2024-09-07 08:20:20:586,1,52,190,1,190,1133,52,0 81,0,2024-09-07 08:20:21:563,9428,0.4,9600,0.7,18465,0.3,24789,2.25 81,1,2024-09-07 08:20:21:662,91002,91002,0,0,42494281553,451980629,89121,1684,197,383,391646,0 81,2,2024-09-07 08:20:21:125,63667,63667,0,0,4094210,0,3993 81,3,2024-09-07 08:20:21:117,1,52,0,0,193,738,52,0 82,0,2024-09-07 08:20:21:551,15889,1.2,15988,1.2,31825,1.6,42886,2.25 82,1,2024-09-07 08:20:20:584,90672,90671,0,1,42651820913,452519494,88637,1462,572,383,391558,1 82,2,2024-09-07 08:20:21:693,65829,65829,0,0,3104589,0,2230 82,3,2024-09-07 08:20:21:759,1,52,1,0,211,909,52,0 83,0,2024-09-07 08:20:21:562,14951,2.2,14927,1.8,29496,2.6,39221,3.50 83,1,2024-09-07 08:20:20:563,91124,91124,0,0,42361878065,448697369,89720,1304,100,383,391553,0 83,2,2024-09-07 08:20:20:774,68313,68313,0,0,4134133,0,3119 83,3,2024-09-07 08:20:20:756,1,52,2,0,91,514,52,0 84,0,2024-09-07 08:20:21:986,10146,6.3,10034,6.1,20236,1.8,27637,3.75 84,1,2024-09-07 08:20:21:065,90930,90930,0,0,42491044349,451316064,89364,1320,246,369,391630,0 84,2,2024-09-07 08:20:20:593,63553,63553,0,0,3827168,0,3801 84,3,2024-09-07 08:20:21:141,1,52,2,1,43,591,52,0 85,0,2024-09-07 08:20:21:304,9255,0.4,9264,0.7,19544,0.3,24894,2.00 85,1,2024-09-07 08:20:20:576,90426,90426,0,0,42952128236,460900245,87991,2008,427,384,391626,0 85,2,2024-09-07 08:20:20:903,63761,63761,0,0,4173366,0,3656 85,3,2024-09-07 08:20:20:692,1,52,2,0,115,579,52,0 86,0,2024-09-07 08:20:20:926,14077,0.9,14631,0.9,28302,1.2,38481,2.00 86,1,2024-09-07 08:20:20:831,90742,90742,0,0,42895740674,454356674,88988,1540,214,367,391622,0 86,2,2024-09-07 08:20:20:867,65990,65989,1,0,4375052,0,5004 86,3,2024-09-07 08:20:20:587,1,52,1,0,199,764,52,0 87,0,2024-09-07 08:20:21:441,16541,3.4,16520,2.0,33302,4.4,44729,3.50 87,1,2024-09-07 08:20:20:557,90528,90528,0,0,42906120289,454870526,88962,1446,120,368,391671,0 87,2,2024-09-07 08:20:21:094,67645,67645,0,0,3404049,0,2859 87,3,2024-09-07 08:20:21:805,1,52,10,1,322,1054,52,0 88,0,2024-09-07 08:20:21:475,12333,1.1,12466,1.1,24602,1.3,32798,2.00 88,1,2024-09-07 08:20:20:579,90636,90636,0,0,42359175992,448568769,89443,1099,94,367,391747,0 88,2,2024-09-07 08:20:20:708,64250,64250,0,0,4533045,0,3583 88,3,2024-09-07 08:20:21:267,1,52,17,1,77,737,52,0 89,0,2024-09-07 08:20:21:882,11398,0.3,10979,0.6,21816,0.3,29213,1.75 89,1,2024-09-07 08:20:20:558,90115,90115,0,0,42765436497,463739188,86902,2480,733,383,391866,0 89,2,2024-09-07 08:20:21:133,64389,64389,0,0,4249351,0,2726 89,3,2024-09-07 08:20:21:794,1,52,6,0,325,1598,52,0 90,0,2024-09-07 08:20:22:063,11693,0.7,11904,0.8,24510,0.9,32378,2.00 90,1,2024-09-07 08:20:20:598,90963,90963,0,0,42721587641,457259989,88925,1899,139,382,391825,0 90,2,2024-09-07 08:20:21:414,65288,65288,0,0,4945516,0,2635 90,3,2024-09-07 08:20:20:967,1,52,10,1,200,874,52,0 91,0,2024-09-07 08:20:21:510,18054,0.8,17446,0.9,36369,0.9,47540,2.00 91,1,2024-09-07 08:20:20:618,90830,90830,0,0,43161839186,461475805,88495,1975,360,384,391914,0 91,2,2024-09-07 08:20:21:362,65112,65112,0,0,3946225,0,1997 91,3,2024-09-07 08:20:20:619,1,52,8,1,155,725,52,0 92,0,2024-09-07 08:20:21:517,14731,1.6,15153,1.2,29101,3.0,39285,2.00 92,1,2024-09-07 08:20:20:596,91161,91161,0,0,42666064222,449024908,90525,570,66,383,391717,0 92,2,2024-09-07 08:20:21:358,67059,67059,0,0,3911055,0,2279 92,3,2024-09-07 08:20:21:011,1,52,8,1,68,698,52,0 93,0,2024-09-07 08:20:21:027,9845,0.4,10031,0.6,19145,0.2,25969,1.75 93,1,2024-09-07 08:20:20:805,90747,90747,0,0,43246523701,459421293,88997,1316,434,367,391689,0 93,2,2024-09-07 08:20:20:958,65340,65340,0,0,3541275,0,2509 93,3,2024-09-07 08:20:21:420,1,52,4,1,143,599,52,0 94,0,2024-09-07 08:20:21:702,10138,0.3,10239,0.5,19999,0.2,27229,1.75 94,1,2024-09-07 08:20:20:585,90761,90761,0,0,42799695920,455857438,89193,1504,64,381,391850,0 94,2,2024-09-07 08:20:20:802,64462,64462,0,0,3558451,0,2443 94,3,2024-09-07 08:20:21:703,1,52,2,1,231,1119,52,0 95,0,2024-09-07 08:20:21:436,15924,0.5,15892,0.7,32350,0.5,42696,1.75 95,1,2024-09-07 08:20:20:858,91207,91207,0,0,42713547970,449238537,90422,721,64,367,391590,0 95,2,2024-09-07 08:20:21:027,64849,64849,0,0,3725808,0,3308 95,3,2024-09-07 08:20:21:718,1,52,2,0,307,1172,52,0 96,0,2024-09-07 08:20:21:151,15417,1.7,15372,1.2,30831,2.4,40910,2.00 96,1,2024-09-07 08:20:21:615,91092,91092,0,0,43381481776,462381915,89264,1258,570,385,391596,0 96,2,2024-09-07 08:20:21:284,68593,68593,0,0,4391448,0,4038 96,3,2024-09-07 08:20:21:154,1,52,13,1,36,626,52,0 97,0,2024-09-07 08:20:21:341,9265,0.5,9137,0.7,18235,0.5,24193,2.00 97,1,2024-09-07 08:20:20:779,90660,90660,0,0,43124378221,457898163,88482,1661,517,367,391626,0 97,2,2024-09-07 08:20:20:611,64005,64005,0,0,3482467,0,3036 97,3,2024-09-07 08:20:20:576,1,52,8,1,165,696,52,0 98,0,2024-09-07 08:20:21:756,9406,0.2,9345,0.4,19022,0.2,25248,1.50 98,1,2024-09-07 08:20:20:578,90913,90913,0,0,42968358697,453737837,89852,1016,45,382,391588,0 98,2,2024-09-07 08:20:20:779,64297,64297,0,0,3682851,0,3080 98,3,2024-09-07 08:20:20:702,1,52,3,1,155,663,52,0 99,0,2024-09-07 08:20:21:616,15406,0.4,15389,0.6,30864,0.4,41481,1.75 99,1,2024-09-07 08:20:21:777,91429,91429,0,0,42296211629,447558113,89959,1031,439,381,391744,0 99,2,2024-09-07 08:20:21:458,66434,66434,0,0,3709561,0,1858 99,3,2024-09-07 08:20:20:586,1,52,16,0,129,608,52,0 100,0,2024-09-07 08:20:21:523,16104,2.9,16067,3.2,32110,5.3,43080,3.00 100,1,2024-09-07 08:20:20:571,90423,90423,0,0,41916848031,452986029,87747,2333,343,382,391585,0 100,2,2024-09-07 08:20:21:828,67882,67871,11,0,4893274,0,5417 100,3,2024-09-07 08:20:21:744,1,52,3,1,443,1630,52,0 101,0,2024-09-07 08:20:22:070,11165,5.5,10884,3.1,21468,2.9,29992,3.25 101,1,2024-09-07 08:20:20:571,90621,90621,0,0,42652004586,453296700,89164,1008,449,369,391709,0 101,2,2024-09-07 08:20:21:805,63679,63679,0,0,4046783,0,4644 101,3,2024-09-07 08:20:20:945,1,52,22,1,448,915,52,0 102,0,2024-09-07 08:20:21:150,10254,0.5,10578,0.6,21332,0.3,27184,2.00 102,1,2024-09-07 08:20:21:189,90902,90902,0,0,42866715747,457508115,88727,1730,445,369,391647,0 102,2,2024-09-07 08:20:21:742,64398,64398,0,0,3172215,0,1945 102,3,2024-09-07 08:20:21:629,1,52,2,0,410,766,52,0 103,0,2024-09-07 08:20:21:640,13352,0.7,13400,0.8,25228,0.7,35285,2.00 103,1,2024-09-07 08:20:21:642,90913,90913,0,0,42701088407,451985060,89724,1047,142,381,391680,0 103,2,2024-09-07 08:20:20:607,66554,66554,0,0,3574257,0,2104 103,3,2024-09-07 08:20:20:769,1,52,3,1,486,990,52,0 104,0,2024-09-07 08:20:21:051,17567,2.9,17629,1.7,34118,4.2,46904,3.00 104,1,2024-09-07 08:20:21:612,91394,91394,0,0,42860396660,457943483,89501,1568,325,368,391948,0 104,2,2024-09-07 08:20:21:674,65476,65476,0,0,4390291,0,3941 104,3,2024-09-07 08:20:21:416,1,52,2,1,1245,3503,52,0 105,0,2024-09-07 08:20:21:133,13372,2.4,12837,2.0,26715,4.2,36178,4.00 105,1,2024-09-07 08:20:20:571,90766,90766,0,0,42614355161,458367061,87833,2188,745,367,391797,0 105,2,2024-09-07 08:20:21:334,64355,64355,0,0,4051637,0,3314 105,3,2024-09-07 08:20:21:331,1,52,1,1,182,1123,52,0 106,0,2024-09-07 08:20:20:977,10292,0.3,10574,0.6,21390,0.2,28288,1.75 106,1,2024-09-07 08:20:21:790,91197,91197,0,0,42714921737,456922626,88803,2068,326,371,391767,0 106,2,2024-09-07 08:20:20:762,64778,64778,0,0,4161102,0,2795 106,3,2024-09-07 08:20:20:683,1,52,12,1,201,767,52,0 107,0,2024-09-07 08:20:21:162,10986,0.8,10923,0.9,21768,0.8,29043,2.00 107,1,2024-09-07 08:20:20:595,90705,90705,0,0,42619608114,455628999,88926,1608,171,382,392234,0 107,2,2024-09-07 08:20:21:293,65551,65550,1,0,4007830,0,5024 107,3,2024-09-07 08:20:21:763,1,52,14,0,353,1165,52,0 108,0,2024-09-07 08:20:21:792,17173,0.8,17386,0.9,34469,1.0,46516,1.75 108,1,2024-09-07 08:20:21:302,90856,90856,0,0,43166519941,457251547,89439,1285,132,371,391857,0 108,2,2024-09-07 08:20:21:763,64909,64909,0,0,3871147,0,2647 108,3,2024-09-07 08:20:21:330,1,52,1,1,60,735,52,0 109,0,2024-09-07 08:20:21:894,14948,1.2,15033,1.1,29504,1.8,40215,2.00 109,1,2024-09-07 08:20:20:585,90762,90762,0,0,43213087354,461150024,89162,1218,382,385,391664,0 109,2,2024-09-07 08:20:20:943,66735,66735,0,0,4268624,0,3617 109,3,2024-09-07 08:20:21:140,1,52,8,1,249,1062,52,0 110,0,2024-09-07 08:20:21:785,9389,0.3,9132,0.5,19106,0.2,24652,1.75 110,1,2024-09-07 08:20:21:656,91056,91056,0,0,42884224348,451987378,89748,1025,283,370,391588,0 110,2,2024-09-07 08:20:21:310,65210,65210,0,0,3486537,0,2915 110,3,2024-09-07 08:20:20:699,1,52,1,0,183,657,52,0 111,0,2024-09-07 08:20:21:431,9165,0.2,9348,0.4,18366,0.1,24713,1.50 111,1,2024-09-07 08:20:21:003,91660,91660,0,0,43271521732,451671726,91018,629,13,382,391690,0 111,2,2024-09-07 08:20:21:116,64159,64159,0,0,3709373,0,2763 111,3,2024-09-07 08:20:20:918,1,52,1,0,119,1019,52,0 112,0,2024-09-07 08:20:20:982,16089,0.6,16196,0.7,32130,0.5,43218,1.75 112,1,2024-09-07 08:20:20:834,90967,90967,0,0,43134280885,456116612,89460,1161,346,382,391580,0 112,2,2024-09-07 08:20:21:132,65209,65208,1,0,4096817,0,5036 112,3,2024-09-07 08:20:20:596,1,52,11,1,282,784,52,0 113,0,2024-09-07 08:20:20:901,15228,0.9,15025,0.9,30476,0.9,40458,1.75 113,1,2024-09-07 08:20:21:689,91244,91244,0,0,43325840570,456871962,89357,1504,383,368,391661,0 113,2,2024-09-07 08:20:21:309,68957,68957,0,0,3401242,0,3021 113,3,2024-09-07 08:20:20:683,1,52,3,1,288,1078,52,0 114,0,2024-09-07 08:20:20:899,10472,4.7,10585,3.3,20844,1.8,28075,2.25 114,1,2024-09-07 08:20:20:719,90934,90934,0,0,43142421693,461553550,88328,1725,881,381,391513,0 114,2,2024-09-07 08:20:20:881,63702,63702,0,0,3824840,0,3925 114,3,2024-09-07 08:20:21:281,1,52,4,1,159,697,52,0 115,0,2024-09-07 08:20:20:589,9473,0.2,9537,0.4,19088,0.1,24996,1.50 115,1,2024-09-07 08:20:20:588,91160,91160,0,0,43009858599,457270866,88788,1885,487,383,391506,0 115,2,2024-09-07 08:20:21:126,64472,64472,0,0,3189938,0,2152 115,3,2024-09-07 08:20:21:004,1,52,4,0,159,448,52,0 116,0,2024-09-07 08:20:21:870,14209,1.3,14120,1.2,28354,2.3,38532,2.00 116,1,2024-09-07 08:20:20:870,90252,90252,0,0,42605262247,458114734,88392,992,868,382,391573,0 116,2,2024-09-07 08:20:21:843,65920,65920,0,0,4038646,0,3529 116,3,2024-09-07 08:20:20:928,1,52,2,1,252,1160,52,0 117,0,2024-09-07 08:20:21:180,16727,3.0,16785,1.7,33548,4.1,44897,2.25 117,1,2024-09-07 08:20:21:591,91201,91201,0,0,42366586768,452268750,89131,1781,289,371,392033,0 117,2,2024-09-07 08:20:21:150,67710,67710,0,0,3690659,0,3700 117,3,2024-09-07 08:20:21:096,1,52,0,0,490,1591,52,0 118,0,2024-09-07 08:20:21:850,11934,1.1,12180,1.1,25002,1.4,32712,2.25 118,1,2024-09-07 08:20:20:612,91088,91088,0,0,42919851328,454690403,89878,1134,76,368,391736,0 118,2,2024-09-07 08:20:21:602,64302,64302,0,0,3924860,0,2781 118,3,2024-09-07 08:20:21:796,1,52,5,1,235,1063,52,0 119,0,2024-09-07 08:20:21:417,10818,0.3,10878,0.5,22273,0.2,29145,1.75 119,1,2024-09-07 08:20:20:580,91142,91142,0,0,43247480339,458482628,89545,1449,148,371,391617,0 119,2,2024-09-07 08:20:21:278,64266,64266,0,0,3551347,0,2532 119,3,2024-09-07 08:20:21:344,1,52,10,1,443,2020,52,0 120,0,2024-09-07 08:20:21:615,11947,1.9,11832,1.4,23732,3.4,32286,2.75 120,1,2024-09-07 08:20:20:883,91044,91044,0,0,42596569220,454352094,89553,1476,15,368,391702,0 120,2,2024-09-07 08:20:20:778,65637,65636,1,0,4846912,0,5281 120,3,2024-09-07 08:20:21:321,1,52,4,1,241,938,52,0 121,0,2024-09-07 08:20:21:725,17589,2.9,17697,1.8,35423,4.1,47576,2.75 121,1,2024-09-07 08:20:21:667,90895,90895,0,0,42761865849,455103639,89037,1592,266,368,391807,0 121,2,2024-09-07 08:20:21:145,64553,64553,0,0,5164125,0,4127 121,3,2024-09-07 08:20:20:737,1,52,3,0,101,584,52,0 122,0,2024-09-07 08:20:21:787,14548,2.8,14038,2.4,29271,3.2,39618,2.50 122,1,2024-09-07 08:20:20:866,90050,90050,0,0,42171672475,455104329,87061,2467,522,368,392130,0 122,2,2024-09-07 08:20:21:342,65986,65986,0,0,5264545,0,3364 122,3,2024-09-07 08:20:20:617,1,52,8,1,226,1290,52,0 123,0,2024-09-07 08:20:21:037,9832,0.3,9511,0.6,19839,0.2,25918,1.75 123,1,2024-09-07 08:20:20:578,90763,90763,0,0,42469750293,459329647,87354,2842,567,369,391823,0 123,2,2024-09-07 08:20:21:059,64909,64908,1,0,4193581,0,5215 123,3,2024-09-07 08:20:21:132,1,52,4,1,160,846,52,0 124,0,2024-09-07 08:20:20:959,10300,0.3,10306,0.6,19484,0.2,27313,1.75 124,1,2024-09-07 08:20:21:032,91557,91557,0,0,42735874014,448119066,90771,645,141,367,392178,0 124,2,2024-09-07 08:20:21:014,64282,64282,0,0,3314485,0,2477 124,3,2024-09-07 08:20:20:758,1,52,3,1,490,1464,52,0 125,0,2024-09-07 08:20:21:458,16026,0.8,16060,0.8,32198,0.9,42711,2.00 125,1,2024-09-07 08:20:20:859,90911,90911,0,0,42531175543,451939553,89182,1457,272,384,391702,0 125,2,2024-09-07 08:20:21:120,64741,64741,0,0,3664910,0,2180 125,3,2024-09-07 08:20:21:126,1,52,3,1,93,913,52,0 126,0,2024-09-07 08:20:21:454,15439,1.6,15876,1.2,30412,2.5,41321,2.00 126,1,2024-09-07 08:20:20:567,91487,91487,0,0,42890328731,450809566,90448,987,52,365,391719,0 126,2,2024-09-07 08:20:20:610,68795,68795,0,0,4038240,0,3186 126,3,2024-09-07 08:20:20:911,1,52,5,0,122,826,52,0 127,0,2024-09-07 08:20:21:627,9290,0.3,9197,0.5,18313,0.2,24149,1.75 127,1,2024-09-07 08:20:20:577,91048,91048,0,0,42850659103,449605351,89917,1108,23,365,391614,0 127,2,2024-09-07 08:20:20:639,64497,64497,0,0,3189248,0,1803 127,3,2024-09-07 08:20:21:271,1,52,6,0,99,561,52,0 128,0,2024-09-07 08:20:21:539,9408,0.3,9501,0.5,18617,0.2,25181,1.50 128,1,2024-09-07 08:20:21:605,91201,91201,0,0,43061136903,450560532,90498,634,69,369,391605,0 128,2,2024-09-07 08:20:21:415,63429,63429,0,0,3882204,0,2107 128,3,2024-09-07 08:20:20:771,1,52,89,1,112,731,52,0 129,0,2024-09-07 08:20:21:041,15514,0.5,15577,0.7,30879,0.6,41508,1.75 129,1,2024-09-07 08:20:20:571,90889,90889,0,0,42645947658,453389239,89078,1539,272,379,391835,0 129,2,2024-09-07 08:20:20:706,65358,65358,0,0,3421939,0,2446 129,3,2024-09-07 08:20:20:701,1,52,8,1,173,875,52,0 130,0,2024-09-07 08:20:21:728,16371,1.8,16284,1.3,32626,2.4,43698,2.25 130,1,2024-09-07 08:20:20:597,91367,91367,0,0,42736684615,452019070,90063,1274,30,381,391520,0 130,2,2024-09-07 08:20:21:127,68606,68606,0,0,4158100,0,4067 130,3,2024-09-07 08:20:21:291,1,52,3,1,207,573,52,0 131,0,2024-09-07 08:20:21:960,11214,1.5,11054,1.2,22557,2.1,29965,2.00 131,1,2024-09-07 08:20:21:830,91493,91493,0,0,42667413223,450099654,90490,817,186,385,391865,0 131,2,2024-09-07 08:20:20:577,63549,63549,0,0,3275161,0,2415 131,3,2024-09-07 08:20:21:688,1,52,2,0,392,984,52,0 132,0,2024-09-07 08:20:21:485,10354,0.4,10697,0.7,20948,0.3,27334,1.75 132,1,2024-09-07 08:20:20:581,90336,90336,0,0,42588626513,457179399,87779,1852,705,382,391558,0 132,2,2024-09-07 08:20:20:704,64011,64011,0,0,5169936,0,4606 132,3,2024-09-07 08:20:21:697,1,52,2,1,356,938,52,0 133,0,2024-09-07 08:20:21:624,12797,0.7,12911,0.8,26887,0.8,34825,2.00 133,1,2024-09-07 08:20:20:590,90296,90296,0,0,42787044735,458136278,88184,2019,93,383,391755,0 133,2,2024-09-07 08:20:21:091,66831,66831,0,0,4162448,0,2444 133,3,2024-09-07 08:20:21:304,1,52,4,1,187,512,52,0 134,0,2024-09-07 08:20:20:958,17750,1.5,17713,1.3,35590,2.5,47067,2.50 134,1,2024-09-07 08:20:20:603,91004,91004,0,0,42825685821,458470181,88393,1631,980,366,391718,0 134,2,2024-09-07 08:20:21:755,66193,66193,0,0,3416767,0,2026 134,3,2024-09-07 08:20:20:758,1,52,2,1,739,1640,52,0 135,0,2024-09-07 08:20:21:164,13175,2.2,13210,1.9,27829,2.4,36120,2.50 135,1,2024-09-07 08:20:21:603,90364,90364,0,0,43215673269,459394269,88459,1580,325,383,391645,0 135,2,2024-09-07 08:20:20:692,65224,65224,0,0,4048597,0,3981 135,3,2024-09-07 08:20:21:008,1,52,1,0,68,425,52,0 136,0,2024-09-07 08:20:21:708,10717,0.4,10634,0.7,21169,0.3,28527,2.00 136,1,2024-09-07 08:20:21:446,91182,91182,0,0,42714364926,455216639,89297,1740,145,384,391518,0 136,2,2024-09-07 08:20:21:141,65764,65764,0,0,3742428,0,2379 136,3,2024-09-07 08:20:21:107,1,52,5,1,108,758,52,0 137,0,2024-09-07 08:20:20:992,11219,1.4,10956,1.2,21701,1.6,29141,2.25 137,1,2024-09-07 08:20:20:591,90804,90804,0,0,43200109084,459495214,88842,1849,113,368,391608,0 137,2,2024-09-07 08:20:21:711,64731,64731,0,0,4635459,0,2632 137,3,2024-09-07 08:20:20:772,1,52,6,1,227,839,52,0 138,0,2024-09-07 08:20:21:783,16619,3.1,16837,1.9,34147,4.1,46370,3.25 138,1,2024-09-07 08:20:21:841,90387,90387,0,0,42881399670,457783710,88075,2052,260,371,391615,0 138,2,2024-09-07 08:20:20:599,65323,65323,0,0,3923961,0,3263 138,3,2024-09-07 08:20:20:612,1,52,2,1,1160,1720,52,0 139,0,2024-09-07 08:20:21:430,14370,5.1,14425,2.8,29059,5.9,39589,4.25 139,1,2024-09-07 08:20:20:579,90443,90443,0,0,42646919297,463272131,87567,2149,727,381,391892,0 139,2,2024-09-07 08:20:20:699,66849,66849,0,0,4921449,0,3097 139,3,2024-09-07 08:20:21:672,1,52,3,1,244,1185,52,0 140,0,2024-09-07 08:20:21:604,9375,0.3,9201,0.6,18707,0.2,24736,1.75 140,1,2024-09-07 08:20:21:540,91936,91936,0,0,43462314639,454241579,91009,730,197,365,391483,0 140,2,2024-09-07 08:20:20:691,65113,65113,0,0,4107206,0,3388 140,3,2024-09-07 08:20:20:772,1,52,1,1,25,478,52,0 141,0,2024-09-07 08:20:21:718,9053,0.2,9485,0.4,18355,0.1,24800,1.50 141,1,2024-09-07 08:20:20:859,91679,91679,0,0,42925291825,452603764,90260,1088,331,382,391538,0 141,2,2024-09-07 08:20:21:691,64592,64592,0,0,3655076,0,2342 141,3,2024-09-07 08:20:21:043,1,52,1,0,147,481,52,0 142,0,2024-09-07 08:20:21:342,16408,0.5,16169,0.7,32016,0.5,43152,1.75 142,1,2024-09-07 08:20:20:593,91372,91372,0,0,43240835054,454198409,90826,531,15,384,391649,0 142,2,2024-09-07 08:20:21:299,64469,64469,0,0,3936927,0,2293 142,3,2024-09-07 08:20:21:756,1,52,10,1,484,1130,52,0 143,0,2024-09-07 08:20:21:412,15109,1.4,15131,1.1,30489,1.8,40293,2.25 143,1,2024-09-07 08:20:20:582,91500,91500,0,0,42993973085,450750262,90536,939,25,367,391608,0 143,2,2024-09-07 08:20:20:771,68330,68330,0,0,4360085,0,2669 143,3,2024-09-07 08:20:21:143,1,52,2,1,236,1030,52,0 144,0,2024-09-07 08:20:21:557,9960,2.6,10326,7.5,20667,2.3,27913,2.50 144,1,2024-09-07 08:20:20:589,90673,90673,0,0,42628238434,454036925,89112,1372,189,383,391638,0 144,2,2024-09-07 08:20:21:765,63714,63714,0,0,3587923,0,3473 144,3,2024-09-07 08:20:21:740,1,52,2,1,169,1113,52,0 145,0,2024-09-07 08:20:21:418,9225,0.4,9118,0.7,19285,0.3,24864,2.00 145,1,2024-09-07 08:20:20:562,90112,90112,0,0,43186962046,460008755,88196,1655,261,383,391615,0 145,2,2024-09-07 08:20:21:438,63993,63993,0,0,4264823,0,3903 145,3,2024-09-07 08:20:20:895,1,52,1,0,151,835,52,0 146,0,2024-09-07 08:20:21:621,14077,1.3,14124,1.1,28481,2.0,38202,2.25 146,1,2024-09-07 08:20:21:597,91088,91088,0,0,42784545239,457001381,88802,1985,301,370,391600,0 146,2,2024-09-07 08:20:21:702,65701,65701,0,0,4062192,0,2379 146,3,2024-09-07 08:20:21:283,1,52,4,0,1520,2889,52,0 147,0,2024-09-07 08:20:21:726,16674,3.6,16412,2.1,32657,5.0,44903,3.50 147,1,2024-09-07 08:20:21:385,91251,91251,0,0,42802015955,451463719,89552,1346,353,369,391791,0 147,2,2024-09-07 08:20:21:024,67866,67866,0,0,4070114,0,2789 147,3,2024-09-07 08:20:20:914,1,52,5,1,141,721,52,0 0,0,2024-09-07 08:20:31:942,11984,1.1,11989,1.1,25352,1.6,33272,2.00 0,1,2024-09-07 08:20:30:863,92919,92919,0,0,43915104672,466494769,91710,1083,126,372,391673,0 0,2,2024-09-07 08:20:31:109,67221,67221,0,0,4068239,0,4480 0,3,2024-09-07 08:20:30:987,1,53,20,0,247,989,53,0 1,0,2024-09-07 08:20:31:854,17577,3.3,17610,2.1,35421,4.9,47575,3.25 1,1,2024-09-07 08:20:30:586,92403,92403,0,0,43186502674,459833627,90728,1150,525,372,391857,0 1,2,2024-09-07 08:20:30:640,66727,66727,0,0,3725837,0,3267 1,3,2024-09-07 08:20:31:315,1,53,21,1,167,618,53,0 2,0,2024-09-07 08:20:31:621,14534,2.2,14786,1.6,29221,4.4,39249,2.75 2,1,2024-09-07 08:20:30:860,92530,92530,0,0,43835130791,461722028,91453,874,203,382,391558,0 2,2,2024-09-07 08:20:31:283,66118,66118,0,0,3906910,0,3304 2,3,2024-09-07 08:20:30:701,1,53,9,0,214,669,53,0 3,0,2024-09-07 08:20:31:765,10162,0.4,10165,0.6,20359,0.3,26932,2.00 3,1,2024-09-07 08:20:31:646,92370,92370,0,0,43220356112,458331343,90392,1592,386,382,391514,0 3,2,2024-09-07 08:20:31:157,66460,66437,23,0,4343918,0,5851 3,3,2024-09-07 08:20:31:760,1,53,1,0,103,518,53,0 4,0,2024-09-07 08:20:31:842,10122,0.3,10439,0.5,21152,0.2,28026,1.75 4,1,2024-09-07 08:20:30:628,92786,92786,0,0,43119841669,460340698,90966,1404,416,372,391846,0 4,2,2024-09-07 08:20:31:044,66079,66079,0,0,4617052,0,4528 4,3,2024-09-07 08:20:31:043,1,53,9,1,287,1002,53,0 5,0,2024-09-07 08:20:31:512,16531,0.9,16208,0.9,32985,1.2,44228,2.00 5,1,2024-09-07 08:20:30:761,92370,92370,0,0,43567929211,464672218,90191,1740,439,368,392005,0 5,2,2024-09-07 08:20:31:890,65578,65578,0,0,3655736,0,2259 5,3,2024-09-07 08:20:31:741,1,53,21,1,238,1311,53,0 6,0,2024-09-07 08:20:30:944,15720,2.1,15494,1.6,30936,3.2,41852,3.75 6,1,2024-09-07 08:20:30:759,93060,93060,0,0,43095599950,453288479,91978,1013,69,382,391603,0 6,2,2024-09-07 08:20:31:118,69630,69630,0,0,3949013,0,2411 6,3,2024-09-07 08:20:31:278,1,53,2,1,340,1371,53,0 7,0,2024-09-07 08:20:31:548,9207,0.3,9157,0.6,18627,0.2,24579,1.75 7,1,2024-09-07 08:20:30:870,92878,92878,0,0,44209077393,465648256,91900,914,64,383,391664,0 7,2,2024-09-07 08:20:30:783,65848,65848,0,0,3585458,0,2981 7,3,2024-09-07 08:20:30:857,1,53,2,0,83,516,53,0 8,0,2024-09-07 08:20:31:564,9895,0.3,9677,0.5,19176,0.2,25948,1.75 8,1,2024-09-07 08:20:31:031,92623,92623,0,0,43626838076,473786601,89158,2214,1251,368,391724,0 8,2,2024-09-07 08:20:30:809,64117,64117,0,0,4637151,0,2986 8,3,2024-09-07 08:20:30:604,1,53,4,0,229,962,53,0 9,0,2024-09-07 08:20:31:338,15817,0.4,15393,0.7,32209,0.4,42540,1.75 9,1,2024-09-07 08:20:30:569,92768,92768,0,0,43874842044,468698697,90495,1707,566,370,391559,0 9,2,2024-09-07 08:20:31:143,66435,66435,0,0,4249108,0,3360 9,3,2024-09-07 08:20:31:766,1,53,2,0,149,890,53,0 10,0,2024-09-07 08:20:31:620,16272,1.5,16346,1.2,32771,2.7,43521,3.50 10,1,2024-09-07 08:20:30:584,92009,92009,0,0,43669102288,470493738,88675,2812,522,383,391541,0 10,2,2024-09-07 08:20:30:762,69255,69255,0,0,4487375,0,2940 10,3,2024-09-07 08:20:30:874,1,53,2,0,136,512,53,0 11,0,2024-09-07 08:20:31:015,10931,3.1,10684,2.1,22352,5.5,30194,2.75 11,1,2024-09-07 08:20:30:583,92480,92480,0,0,42918273535,460359662,89843,1822,815,384,391537,0 11,2,2024-09-07 08:20:31:131,64883,64883,0,0,4164220,0,2635 11,3,2024-09-07 08:20:31:304,1,53,1,0,720,2157,53,0 12,0,2024-09-07 08:20:31:274,10624,0.3,10709,0.5,21270,0.2,27585,1.75 12,1,2024-09-07 08:20:30:980,92447,92447,0,0,42807029955,455130792,90817,1531,99,372,391790,0 12,2,2024-09-07 08:20:31:560,65937,65937,0,0,3842125,0,2254 12,3,2024-09-07 08:20:31:109,1,53,1,1,358,1293,53,0 13,0,2024-09-07 08:20:31:452,13353,0.5,13581,0.7,27028,0.5,36340,1.75 13,1,2024-09-07 08:20:31:607,92985,92985,0,0,43545058302,464453574,91404,1215,366,384,391710,0 13,2,2024-09-07 08:20:30:615,67684,67684,0,0,3627745,0,3287 13,3,2024-09-07 08:20:31:778,1,53,4,1,373,1133,53,0 14,0,2024-09-07 08:20:30:587,17818,1.3,17887,1.2,35365,1.6,47120,2.75 14,1,2024-09-07 08:20:31:587,93574,93574,0,0,43457611667,455369992,92697,847,30,365,391546,0 14,2,2024-09-07 08:20:30:764,67454,67454,0,0,4190921,0,2793 14,3,2024-09-07 08:20:31:123,1,53,1,1,906,1247,53,0 15,0,2024-09-07 08:20:31:582,13568,2.8,13440,1.8,26943,4.9,36291,3.50 15,1,2024-09-07 08:20:31:626,92717,92717,0,0,43363062968,455890296,91935,747,35,381,391536,0 15,2,2024-09-07 08:20:31:004,66066,66066,0,0,3247754,0,3043 15,3,2024-09-07 08:20:31:416,1,53,1,0,538,1773,53,0 16,0,2024-09-07 08:20:31:059,10832,0.4,10971,0.6,21556,0.3,28820,2.25 16,1,2024-09-07 08:20:30:613,92731,92731,0,0,43201329331,457628965,91617,1091,23,372,391543,0 16,2,2024-09-07 08:20:31:466,65738,65738,0,0,4431954,0,4719 16,3,2024-09-07 08:20:31:163,1,53,5,1,231,1164,53,0 17,0,2024-09-07 08:20:31:927,11545,0.7,11283,0.9,22286,0.7,30383,2.25 17,1,2024-09-07 08:20:30:598,92491,92491,0,0,43641711877,467081090,90633,1336,522,369,391688,0 17,2,2024-09-07 08:20:31:717,67251,67251,0,0,3366599,0,2857 17,3,2024-09-07 08:20:30:590,1,53,3,0,268,1302,53,0 18,0,2024-09-07 08:20:30:972,17056,1.7,17266,1.4,34711,2.1,46167,2.75 18,1,2024-09-07 08:20:31:678,92857,92857,0,0,43457371058,457652423,91609,1030,218,368,391526,0 18,2,2024-09-07 08:20:31:761,65725,65725,0,0,4210761,0,3541 18,3,2024-09-07 08:20:30:924,1,53,1,0,163,894,53,0 19,0,2024-09-07 08:20:31:557,14783,2.3,14836,1.8,29405,2.6,39442,5.75 19,1,2024-09-07 08:20:30:574,92816,92816,0,0,43916641038,465600516,90952,1701,163,367,391696,0 19,2,2024-09-07 08:20:31:761,68172,68172,0,0,3983561,0,3988 19,3,2024-09-07 08:20:31:136,1,53,1,0,524,917,53,0 20,0,2024-09-07 08:20:31:473,9615,0.3,9676,0.5,18927,0.2,24922,1.75 20,1,2024-09-07 08:20:30:575,92836,92836,0,0,44170245130,467923455,91155,1520,161,370,391598,0 20,2,2024-09-07 08:20:30:954,66166,66166,0,0,3437563,0,2446 20,3,2024-09-07 08:20:30:603,1,53,4,1,99,809,53,0 21,0,2024-09-07 08:20:31:714,9884,0.3,9809,0.6,19326,0.3,25907,1.75 21,1,2024-09-07 08:20:31:638,92073,92073,0,0,42729662076,457476796,89837,1747,489,368,391962,0 21,2,2024-09-07 08:20:31:094,65405,65405,0,0,3699721,0,3747 21,3,2024-09-07 08:20:31:416,1,53,13,0,93,790,53,0 22,0,2024-09-07 08:20:31:748,16172,0.7,16111,0.9,32144,0.8,43233,2.25 22,1,2024-09-07 08:20:31:034,92277,92277,0,0,42959437199,461303187,89335,2217,725,382,391667,0 22,2,2024-09-07 08:20:30:778,66017,66017,0,0,3409005,0,3134 22,3,2024-09-07 08:20:31:107,1,53,1,0,62,280,53,0 23,0,2024-09-07 08:20:31:382,15219,2.1,15385,1.3,30694,3.5,41166,3.00 23,1,2024-09-07 08:20:31:019,93179,93179,0,0,43858647321,460223217,91833,1092,254,368,391496,0 23,2,2024-09-07 08:20:31:107,70020,70020,0,0,3589264,0,2078 23,3,2024-09-07 08:20:31:789,1,53,1,0,645,1208,53,0 24,0,2024-09-07 08:20:30:928,10772,0.8,10865,0.9,21670,1.1,28656,1.75 24,1,2024-09-07 08:20:30:583,93230,93230,0,0,43429753400,456782554,92429,794,7,371,391640,0 24,2,2024-09-07 08:20:31:181,64964,64964,0,0,4707680,0,2942 24,3,2024-09-07 08:20:31:709,1,53,3,1,234,904,53,0 25,0,2024-09-07 08:20:31:436,9980,0.3,9838,0.5,19008,0.2,25943,1.75 25,1,2024-09-07 08:20:30:887,92469,92469,0,0,43609965333,466138210,90337,1821,311,371,391788,0 25,2,2024-09-07 08:20:31:656,64941,64941,0,0,4252231,0,3284 25,3,2024-09-07 08:20:31:027,1,53,6,1,158,589,53,0 26,0,2024-09-07 08:20:31:732,14586,0.6,14319,0.7,29961,0.5,39750,2.00 26,1,2024-09-07 08:20:31:549,92767,92767,0,0,43191791636,466191605,89307,2625,835,382,391564,0 26,2,2024-09-07 08:20:30:876,67165,67165,0,0,4439114,0,2809 26,3,2024-09-07 08:20:31:730,1,53,2,0,796,1207,53,0 27,0,2024-09-07 08:20:31:777,16801,1.5,16895,1.1,33831,2.0,45262,2.25 27,1,2024-09-07 08:20:31:694,93401,93401,0,0,44204707694,463847102,92334,772,295,381,391539,0 27,2,2024-09-07 08:20:30:923,68078,68078,0,0,5401191,0,3409 27,3,2024-09-07 08:20:31:018,1,53,5,0,564,992,53,0 28,0,2024-09-07 08:20:31:419,12412,0.9,12599,1.0,24951,1.2,33217,2.00 28,1,2024-09-07 08:20:30:850,93002,93002,0,0,44366174677,467484152,91944,858,200,383,391500,0 28,2,2024-09-07 08:20:31:766,65111,65111,0,0,3441264,0,2609 28,3,2024-09-07 08:20:31:791,1,53,2,1,502,1121,53,0 29,0,2024-09-07 08:20:31:370,11270,0.3,11020,0.5,21778,0.2,29213,1.75 29,1,2024-09-07 08:20:31:587,93818,93818,0,0,43902149515,457706081,93075,571,172,369,391621,0 29,2,2024-09-07 08:20:30:884,66307,66307,0,0,3016748,0,2026 29,3,2024-09-07 08:20:30:964,1,53,2,1,105,619,53,0 30,0,2024-09-07 08:20:31:479,12390,1.0,12040,0.9,25031,1.2,33191,2.50 30,1,2024-09-07 08:20:30:573,92773,92773,0,0,44155247282,464768165,91801,907,65,382,391524,0 30,2,2024-09-07 08:20:31:289,67783,67783,0,0,3096512,0,2534 30,3,2024-09-07 08:20:30:581,1,53,2,0,195,585,53,0 31,0,2024-09-07 08:20:31:784,17859,2.0,17659,1.3,35862,3.5,47339,3.50 31,1,2024-09-07 08:20:30:568,93277,93277,0,0,44014182885,454810999,93030,245,2,356,391553,0 31,2,2024-09-07 08:20:31:275,67168,67168,0,0,4479475,0,3525 31,3,2024-09-07 08:20:31:706,1,53,0,0,129,513,53,0 32,0,2024-09-07 08:20:31:439,14868,1.9,14965,1.3,30083,3.2,39933,2.00 32,1,2024-09-07 08:20:30:814,92842,92842,0,0,43234545499,454379503,91847,967,28,382,391595,0 32,2,2024-09-07 08:20:30:956,67234,67234,0,0,3683396,0,3155 32,3,2024-09-07 08:20:31:017,1,53,0,0,110,594,53,0 33,0,2024-09-07 08:20:31:573,10202,0.3,10027,0.5,20482,0.2,26855,1.75 33,1,2024-09-07 08:20:30:580,93093,93093,0,0,44402672516,466962532,91435,1535,123,369,391526,0 33,2,2024-09-07 08:20:30:762,66213,66180,33,0,5158382,0,7012 33,3,2024-09-07 08:20:30:959,1,53,4,1,63,339,53,0 34,0,2024-09-07 08:20:30:969,10466,0.3,10763,0.5,20741,0.2,27999,1.75 34,1,2024-09-07 08:20:31:077,93443,93443,0,0,44436583894,461826252,92851,588,4,368,391517,0 34,2,2024-09-07 08:20:30:769,65807,65807,0,0,4202218,0,3255 34,3,2024-09-07 08:20:31:704,1,53,2,0,148,629,53,0 35,0,2024-09-07 08:20:30:874,16162,1.0,16224,0.9,32708,1.5,43980,2.00 35,1,2024-09-07 08:20:31:074,92810,92810,0,0,44013164406,462542774,91345,1104,361,384,391587,0 35,2,2024-09-07 08:20:31:600,65777,65777,0,0,3606108,0,2542 35,3,2024-09-07 08:20:31:139,1,53,1,0,219,974,53,0 36,0,2024-09-07 08:20:31:613,15640,2.6,15489,1.6,31539,3.9,41931,3.00 36,1,2024-09-07 08:20:30:602,92558,92558,0,0,43705935369,467230137,89547,2080,931,366,391535,0 36,2,2024-09-07 08:20:31:766,69012,69012,0,0,4221361,0,3303 36,3,2024-09-07 08:20:30:874,1,53,2,0,378,1026,53,0 37,0,2024-09-07 08:20:31:384,9348,0.3,9280,0.5,18687,0.2,24610,1.75 37,1,2024-09-07 08:20:30:590,92164,92157,0,7,43256924503,466156708,89319,1321,1517,365,391507,0 37,2,2024-09-07 08:20:31:143,65979,65979,0,0,3116439,0,2333 37,3,2024-09-07 08:20:31:789,1,53,18,0,724,1709,53,0 38,0,2024-09-07 08:20:31:459,9738,0.3,9405,0.5,19626,0.2,25900,1.75 38,1,2024-09-07 08:20:31:636,92756,92756,0,0,43482883713,461661868,90615,1694,447,370,391512,0 38,2,2024-09-07 08:20:30:769,65136,65136,0,0,3846039,0,3245 38,3,2024-09-07 08:20:31:017,1,53,1,0,603,1000,53,0 39,0,2024-09-07 08:20:31:784,16336,0.6,16174,0.7,31269,0.6,42735,1.75 39,1,2024-09-07 08:20:30:727,92858,92858,0,0,42985618826,457008257,90298,1922,638,366,391524,0 39,2,2024-09-07 08:20:31:429,66315,66315,0,0,3550970,0,2381 39,3,2024-09-07 08:20:30:723,1,53,4,0,276,1112,53,0 40,0,2024-09-07 08:20:31:529,15987,2.6,16219,2.6,31983,5.1,43158,4.00 40,1,2024-09-07 08:20:30:605,92483,92483,0,0,42666196182,458106442,89233,2497,753,370,391591,0 40,2,2024-09-07 08:20:31:315,69404,69403,1,0,4758285,0,5137 40,3,2024-09-07 08:20:31:145,1,53,1,1,110,715,53,0 41,0,2024-09-07 08:20:31:045,10686,2.3,10996,6.8,21006,5.7,29098,4.00 41,1,2024-09-07 08:20:30:777,92547,92547,0,0,43911702645,467785508,90243,1992,312,370,391484,0 41,2,2024-09-07 08:20:30:793,64670,64670,0,0,4758904,0,3356 41,3,2024-09-07 08:20:31:718,1,53,4,1,168,617,53,0 42,0,2024-09-07 08:20:31:483,10448,0.4,10654,0.7,21067,0.3,27570,2.00 42,1,2024-09-07 08:20:31:443,92476,92476,0,0,43334395280,466301796,89484,2162,830,381,391511,0 42,2,2024-09-07 08:20:31:231,65699,65699,0,0,4191993,0,3568 42,3,2024-09-07 08:20:31:018,1,53,1,0,100,543,53,0 43,0,2024-09-07 08:20:30:972,13390,1.9,13154,1.3,27329,3.0,36426,2.00 43,1,2024-09-07 08:20:30:590,92668,92668,0,0,43461539817,461908085,90479,1437,752,367,391604,0 43,2,2024-09-07 08:20:31:745,67699,67699,0,0,4419419,0,3812 43,3,2024-09-07 08:20:31:749,1,53,0,0,292,1146,53,0 44,0,2024-09-07 08:20:30:885,17817,1.3,17801,1.3,35403,1.5,47608,2.25 44,1,2024-09-07 08:20:30:568,93049,93049,0,0,43334451715,453378085,92034,908,107,357,391505,0 44,2,2024-09-07 08:20:31:276,67476,67476,0,0,3215057,0,1865 44,3,2024-09-07 08:20:31:117,1,53,3,1,817,1305,53,0 45,0,2024-09-07 08:20:31:801,13428,3.2,13122,1.9,27533,5.7,36596,2.25 45,1,2024-09-07 08:20:31:022,93081,93081,0,0,44028156890,460763940,92615,465,1,382,391917,0 45,2,2024-09-07 08:20:31:285,66105,66105,0,0,3829510,0,2628 45,3,2024-09-07 08:20:30:952,1,53,3,1,226,748,53,0 46,0,2024-09-07 08:20:31:210,10932,0.3,10933,0.5,21906,0.2,28905,1.75 46,1,2024-09-07 08:20:30:585,93117,93117,0,0,43498991555,454320938,92287,794,36,368,391514,0 46,2,2024-09-07 08:20:30:602,66657,66657,0,0,3749511,0,2920 46,3,2024-09-07 08:20:31:149,1,53,1,0,315,1023,53,0 47,0,2024-09-07 08:20:31:115,11222,0.5,11440,0.7,22720,0.5,30283,1.75 47,1,2024-09-07 08:20:30:568,93380,93380,0,0,43349358444,451042689,92874,502,4,367,391548,0 47,2,2024-09-07 08:20:30:945,67742,67742,0,0,3744229,0,2558 47,3,2024-09-07 08:20:31:116,1,53,1,1,529,1045,53,0 48,0,2024-09-07 08:20:31:516,17809,0.4,17600,0.6,34866,0.4,46939,1.75 48,1,2024-09-07 08:20:31:033,93082,93082,0,0,43607436783,458858880,91887,1190,5,386,391637,0 48,2,2024-09-07 08:20:30:703,66527,66527,0,0,2996152,0,2083 48,3,2024-09-07 08:20:30:756,1,53,5,1,30,548,53,0 49,0,2024-09-07 08:20:31:745,15412,2.1,15104,1.4,29592,3.8,40922,2.00 49,1,2024-09-07 08:20:31:021,92741,92741,0,0,43841262139,464990300,91006,1026,709,382,391583,0 49,2,2024-09-07 08:20:31:799,68185,68185,0,0,4137111,0,3900 49,3,2024-09-07 08:20:31:425,1,53,11,0,274,753,53,0 50,0,2024-09-07 08:20:31:529,9662,0.2,9438,0.5,18975,0.1,25365,1.75 50,1,2024-09-07 08:20:31:019,93623,93623,0,0,43865402135,461382324,91957,1369,297,368,391530,0 50,2,2024-09-07 08:20:31:108,66654,66654,0,0,3221126,0,2253 50,3,2024-09-07 08:20:31:304,1,53,1,1,335,836,53,0 51,0,2024-09-07 08:20:31:729,9882,0.2,9660,0.4,18970,0.1,26031,1.50 51,1,2024-09-07 08:20:31:693,92748,92748,0,0,44806692251,472249528,90950,1016,782,367,391547,0 51,2,2024-09-07 08:20:31:347,65477,65477,0,0,2792500,0,2448 51,3,2024-09-07 08:20:31:031,1,53,0,0,162,446,53,0 52,0,2024-09-07 08:20:31:474,16352,1.1,16502,1.1,32855,1.3,43639,2.50 52,1,2024-09-07 08:20:30:603,92633,92633,0,0,43146215839,460907486,90139,2030,464,368,391513,0 52,2,2024-09-07 08:20:31:770,65660,65660,0,0,3868682,0,4779 52,3,2024-09-07 08:20:30:709,1,53,2,1,1782,2363,53,0 53,0,2024-09-07 08:20:31:743,15138,3.1,14643,1.9,30142,4.3,40436,3.25 53,1,2024-09-07 08:20:30:795,92561,92561,0,0,43905191615,468422162,89959,2028,574,370,391617,0 53,2,2024-09-07 08:20:31:305,70685,70685,0,0,3435376,0,1902 53,3,2024-09-07 08:20:30:702,1,53,0,0,59,352,53,0 54,0,2024-09-07 08:20:31:662,9940,10.0,10022,5.7,19810,2.5,27562,5.50 54,1,2024-09-07 08:20:30:603,92622,92622,0,0,43633572996,461934766,90514,1792,316,367,391520,0 54,2,2024-09-07 08:20:30:874,65748,65742,6,0,4015213,0,5382 54,3,2024-09-07 08:20:30:764,1,53,1,0,676,1425,53,0 55,0,2024-09-07 08:20:31:782,9448,0.4,9715,0.7,19792,0.3,25694,2.25 55,1,2024-09-07 08:20:30:767,92387,92387,0,0,43347659678,462553490,88962,2723,702,367,391731,0 55,2,2024-09-07 08:20:30:734,65110,65110,0,0,3863437,0,3275 55,3,2024-09-07 08:20:30:675,1,53,4,0,136,660,53,0 56,0,2024-09-07 08:20:31:597,14953,2.3,14169,1.6,29063,3.6,39562,2.75 56,1,2024-09-07 08:20:30:600,92304,92304,0,0,43952316409,475900926,88926,2632,746,383,391536,0 56,2,2024-09-07 08:20:31:309,67411,67411,0,0,4615014,0,3567 56,3,2024-09-07 08:20:31:096,1,53,1,1,297,844,53,0 57,0,2024-09-07 08:20:31:251,16504,4.1,16157,2.3,32722,6.2,44010,4.75 57,1,2024-09-07 08:20:31:007,92379,92379,0,0,42855314788,454406411,90911,1449,19,368,391760,0 57,2,2024-09-07 08:20:31:326,68870,68870,0,0,4619671,0,3178 57,3,2024-09-07 08:20:31:768,1,53,7,1,359,1404,53,0 58,0,2024-09-07 08:20:30:622,11683,1.0,11389,1.2,23757,0.9,31178,3.00 58,1,2024-09-07 08:20:30:594,93469,93467,0,2,43981081166,463692871,91872,1436,159,369,391516,2 58,2,2024-09-07 08:20:31:103,65406,65406,0,0,4322527,0,2549 58,3,2024-09-07 08:20:31:115,1,53,2,1,219,751,53,0 59,0,2024-09-07 08:20:31:768,11191,0.7,11035,0.9,22313,0.7,28860,2.25 59,1,2024-09-07 08:20:30:823,92269,92269,0,0,43177470958,461681520,90024,1478,767,369,391515,0 59,2,2024-09-07 08:20:30:590,66185,66185,0,0,3793593,0,2604 59,3,2024-09-07 08:20:31:742,1,53,2,0,1015,1605,53,0 60,0,2024-09-07 08:20:31:733,12440,0.8,12548,0.8,24793,1.0,33404,1.75 60,1,2024-09-07 08:20:30:778,93743,93743,0,0,43540840565,457839034,92676,723,344,370,391712,0 60,2,2024-09-07 08:20:31:149,67401,67401,0,0,3488983,0,2142 60,3,2024-09-07 08:20:31:282,1,53,10,0,124,769,53,0 61,0,2024-09-07 08:20:31:613,17713,3.2,17708,1.8,35378,4.6,47457,2.50 61,1,2024-09-07 08:20:30:775,92554,92554,0,0,43683430399,467756588,90005,2015,534,383,391589,0 61,2,2024-09-07 08:20:31:116,67323,67323,0,0,3593857,0,1846 61,3,2024-09-07 08:20:31:711,1,53,17,1,199,988,53,0 62,0,2024-09-07 08:20:31:728,14939,2.2,15289,1.4,29373,4.1,39889,2.25 62,1,2024-09-07 08:20:31:112,93386,93380,0,6,44233870499,462886067,92129,1214,37,366,391586,6 62,2,2024-09-07 08:20:31:656,66412,66412,0,0,4179470,0,2931 62,3,2024-09-07 08:20:31:159,1,53,1,0,287,600,53,0 63,0,2024-09-07 08:20:31:481,10098,0.4,10089,0.7,20255,0.3,26980,2.00 63,1,2024-09-07 08:20:30:845,93749,93745,0,4,44008513026,458330419,93347,397,1,381,391540,4 63,2,2024-09-07 08:20:30:762,66339,66339,0,0,3689491,0,2674 63,3,2024-09-07 08:20:31:741,1,53,2,0,667,1753,53,0 64,0,2024-09-07 08:20:31:540,10375,0.4,10389,0.7,20775,0.3,27955,1.75 64,1,2024-09-07 08:20:30:793,92968,92968,0,0,43396719208,464378084,90536,1639,793,371,391604,0 64,2,2024-09-07 08:20:31:176,66407,66388,19,0,4095943,0,6121 64,3,2024-09-07 08:20:31:155,1,53,2,1,163,685,53,0 65,0,2024-09-07 08:20:31:808,16018,2.8,16201,1.8,32281,4.4,43359,4.00 65,1,2024-09-07 08:20:30:881,92654,92654,0,0,42601318169,453032278,90952,1483,219,382,391569,0 65,2,2024-09-07 08:20:31:731,66164,66164,0,0,4083184,0,3367 65,3,2024-09-07 08:20:31:718,1,53,22,1,163,785,53,0 66,0,2024-09-07 08:20:31:787,15110,1.8,15337,1.4,30738,3.3,41302,3.50 66,1,2024-09-07 08:20:31:301,93657,93657,0,0,43856832721,458547163,92971,666,20,381,391537,0 66,2,2024-09-07 08:20:31:140,69879,69879,0,0,3948703,0,3867 66,3,2024-09-07 08:20:31:104,1,53,7,1,291,879,53,0 67,0,2024-09-07 08:20:31:456,9381,0.6,9414,0.8,18558,0.6,24535,2.25 67,1,2024-09-07 08:20:30:769,92568,92567,0,1,43523431621,463394396,90572,1470,525,382,391787,1 67,2,2024-09-07 08:20:30:601,66273,66273,0,0,3499146,0,2889 67,3,2024-09-07 08:20:31:764,1,53,0,0,138,602,53,0 68,0,2024-09-07 08:20:30:612,9683,0.4,9660,0.7,19330,0.2,25734,2.00 68,1,2024-09-07 08:20:30:608,92575,92575,0,0,43779832502,464170819,91182,871,522,383,391953,0 68,2,2024-09-07 08:20:31:068,65379,65379,0,0,3435319,0,4883 68,3,2024-09-07 08:20:30:735,1,53,2,1,63,549,53,0 69,0,2024-09-07 08:20:31:782,15729,1.5,15752,1.3,31412,2.1,42617,2.75 69,1,2024-09-07 08:20:31:088,92202,92202,0,0,43540340576,467943903,90259,1078,865,386,391530,0 69,2,2024-09-07 08:20:31:755,66819,66819,0,0,3916977,0,3153 69,3,2024-09-07 08:20:30:763,1,53,1,0,238,923,53,0 70,0,2024-09-07 08:20:31:543,16005,4.2,15955,3.1,32250,4.2,42707,5.50 70,1,2024-09-07 08:20:30:822,93199,93199,0,0,43957568863,459475245,92288,777,134,369,391527,0 70,2,2024-09-07 08:20:31:333,69443,69443,0,0,4044408,0,4044 70,3,2024-09-07 08:20:30:756,1,53,1,0,178,428,53,0 71,0,2024-09-07 08:20:31:382,10783,2.7,10777,5.2,21212,4.1,29288,4.75 71,1,2024-09-07 08:20:31:616,92627,92627,0,0,43790748199,464162544,90442,1869,316,368,391682,0 71,2,2024-09-07 08:20:31:108,65138,65138,0,0,3416621,0,2146 71,3,2024-09-07 08:20:31:764,1,53,20,0,174,502,53,0 72,0,2024-09-07 08:20:31:074,10835,0.4,10469,0.7,20833,0.3,27667,2.75 72,1,2024-09-07 08:20:31:032,93203,93203,0,0,43930303313,463173581,91664,1463,76,370,391521,0 72,2,2024-09-07 08:20:31:770,65055,65055,0,0,4975063,0,2570 72,3,2024-09-07 08:20:31:761,1,53,1,1,325,1214,53,0 73,0,2024-09-07 08:20:31:169,13184,0.6,13447,0.8,27320,0.6,36359,2.50 73,1,2024-09-07 08:20:30:827,93004,93004,0,0,43758820682,460124230,92048,913,43,368,391627,0 73,2,2024-09-07 08:20:31:763,68022,68022,0,0,4522363,0,3482 73,3,2024-09-07 08:20:30:981,1,53,5,0,274,1192,53,0 74,0,2024-09-07 08:20:31:414,17728,2.2,18078,1.6,34841,3.7,47154,4.00 74,1,2024-09-07 08:20:30:669,92774,92774,0,0,43409492745,460226866,90881,1321,572,382,391497,0 74,2,2024-09-07 08:20:31:019,67332,67332,0,0,4828723,0,4253 74,3,2024-09-07 08:20:31:452,1,53,0,0,246,1265,53,0 75,0,2024-09-07 08:20:31:800,13710,3.2,13693,1.9,27202,5.2,36740,3.50 75,1,2024-09-07 08:20:31:642,93183,93183,0,0,43358392359,460006098,91500,1579,104,382,391514,0 75,2,2024-09-07 08:20:31:357,65570,65570,0,0,4622098,0,4766 75,3,2024-09-07 08:20:31:073,1,53,12,0,143,385,53,0 76,0,2024-09-07 08:20:30:600,10899,0.3,10794,0.6,21660,0.2,28669,2.00 76,1,2024-09-07 08:20:30:852,92713,92713,0,0,42959853224,457774461,90910,1297,506,382,391530,0 76,2,2024-09-07 08:20:31:127,66533,66533,0,0,3701172,0,3064 76,3,2024-09-07 08:20:31:159,1,53,0,0,175,952,53,0 77,0,2024-09-07 08:20:31:829,11263,1.3,11246,1.1,22541,2.2,30331,2.25 77,1,2024-09-07 08:20:30:889,92681,92681,0,0,43589251024,459434207,91656,957,68,383,391516,0 77,2,2024-09-07 08:20:31:310,67303,67303,0,0,3152845,0,1695 77,3,2024-09-07 08:20:31:098,1,53,5,0,139,610,53,0 78,0,2024-09-07 08:20:31:734,17483,1.7,17404,1.3,35011,2.4,46643,2.50 78,1,2024-09-07 08:20:30:642,93490,93490,0,0,43301364449,457297983,92076,1210,204,368,391589,0 78,2,2024-09-07 08:20:31:406,66596,66596,0,0,3229031,0,2114 78,3,2024-09-07 08:20:31:153,1,53,1,0,181,975,53,0 79,0,2024-09-07 08:20:31:381,14519,1.6,14873,1.3,30329,2.9,40063,4.00 79,1,2024-09-07 08:20:30:584,92968,92968,0,0,43804167864,460865508,91632,1267,69,370,391609,0 79,2,2024-09-07 08:20:31:108,68014,68014,0,0,3714335,0,2679 79,3,2024-09-07 08:20:30:748,1,53,2,0,289,800,53,0 80,0,2024-09-07 08:20:31:254,9460,0.4,9760,0.7,18873,0.3,25205,2.00 80,1,2024-09-07 08:20:31:659,92412,92412,0,0,43778116884,461473658,90830,1467,115,369,391673,0 80,2,2024-09-07 08:20:31:117,66276,66276,0,0,3926964,0,4433 80,3,2024-09-07 08:20:30:575,1,53,19,1,190,1152,53,0 81,0,2024-09-07 08:20:31:596,9766,0.4,9986,0.7,19223,0.3,26094,2.00 81,1,2024-09-07 08:20:31:830,92703,92703,0,0,43105520447,458332087,90822,1684,197,383,391646,0 81,2,2024-09-07 08:20:31:139,65214,65214,0,0,4127584,0,3993 81,3,2024-09-07 08:20:31:117,1,53,1,0,193,739,53,0 82,0,2024-09-07 08:20:31:546,16196,1.1,16297,1.2,32477,1.5,43612,2.25 82,1,2024-09-07 08:20:30:602,92386,92385,0,1,43392144740,460136859,90350,1463,572,383,391558,1 82,2,2024-09-07 08:20:31:706,66867,66867,0,0,3128446,0,2230 82,3,2024-09-07 08:20:31:763,1,53,1,0,211,910,53,0 83,0,2024-09-07 08:20:31:583,15231,2.2,15158,1.8,30033,2.5,40195,3.50 83,1,2024-09-07 08:20:30:559,92884,92884,0,0,43331291400,458813548,91475,1309,100,383,391553,0 83,2,2024-09-07 08:20:30:765,69300,69300,0,0,4169078,0,3119 83,3,2024-09-07 08:20:30:758,1,53,0,0,91,514,53,0 84,0,2024-09-07 08:20:31:801,10288,6.3,10160,6.0,20493,1.8,28127,3.75 84,1,2024-09-07 08:20:31:070,92700,92700,0,0,43103105704,457697711,91130,1324,246,369,391630,0 84,2,2024-09-07 08:20:30:574,65172,65172,0,0,3858168,0,3801 84,3,2024-09-07 08:20:31:153,1,53,9,1,43,600,53,0 85,0,2024-09-07 08:20:31:119,9478,0.4,9470,0.7,20016,0.3,25801,2.00 85,1,2024-09-07 08:20:30:572,92182,92182,0,0,43805461903,469809769,89747,2008,427,384,391626,0 85,2,2024-09-07 08:20:30:878,64981,64981,0,0,4193793,0,3656 85,3,2024-09-07 08:20:30:714,1,53,1,0,115,580,53,0 86,0,2024-09-07 08:20:31:080,14542,0.9,15118,0.9,29227,1.2,39664,2.00 86,1,2024-09-07 08:20:30:974,92385,92385,0,0,43967769613,465299731,90629,1541,215,367,391622,0 86,2,2024-09-07 08:20:30:962,67092,67091,1,0,4408963,0,5004 86,3,2024-09-07 08:20:30:669,1,53,26,0,199,790,53,0 87,0,2024-09-07 08:20:31:439,16666,3.4,16618,2.0,33543,4.4,45052,3.50 87,1,2024-09-07 08:20:30:600,92215,92215,0,0,43554004057,461669222,90647,1448,120,368,391671,0 87,2,2024-09-07 08:20:31:123,68866,68866,0,0,3436231,0,2859 87,3,2024-09-07 08:20:31:832,1,53,12,1,322,1066,53,0 88,0,2024-09-07 08:20:31:547,12455,1.1,12570,1.1,24826,1.2,33127,2.00 88,1,2024-09-07 08:20:30:570,92350,92350,0,0,42954636507,454812525,91153,1102,95,367,391747,0 88,2,2024-09-07 08:20:30:778,65589,65589,0,0,4551246,0,3583 88,3,2024-09-07 08:20:31:330,1,53,4,1,77,741,53,0 89,0,2024-09-07 08:20:31:789,11494,0.3,11072,0.6,22028,0.3,29213,1.75 89,1,2024-09-07 08:20:30:570,91901,91901,0,0,43513479261,471451656,88687,2481,733,383,391866,0 89,2,2024-09-07 08:20:31:160,65711,65711,0,0,4269529,0,2726 89,3,2024-09-07 08:20:31:806,1,53,1,0,325,1599,53,0 90,0,2024-09-07 08:20:31:699,12050,0.7,12291,0.8,25278,0.9,33279,2.00 90,1,2024-09-07 08:20:30:608,92705,92705,0,0,43548778050,466006831,90666,1900,139,382,391825,0 90,2,2024-09-07 08:20:31:409,66706,66706,0,0,4974140,0,2635 90,3,2024-09-07 08:20:31:164,1,53,2,1,200,876,53,0 91,0,2024-09-07 08:20:30:979,18151,0.8,17542,0.9,36557,0.9,47767,2.00 91,1,2024-09-07 08:20:30:599,92559,92559,0,0,43892882474,469214656,90221,1977,361,384,391914,0 91,2,2024-09-07 08:20:31:356,66507,66507,0,0,3969845,0,1997 91,3,2024-09-07 08:20:30:623,1,53,13,1,155,738,53,0 92,0,2024-09-07 08:20:31:509,14992,1.6,15403,1.2,29627,2.9,39911,2.00 92,1,2024-09-07 08:20:30:616,92972,92972,0,0,43628812017,459070471,92335,571,66,383,391717,0 92,2,2024-09-07 08:20:31:407,67855,67855,0,0,3934303,0,2279 92,3,2024-09-07 08:20:31:051,1,53,1,1,68,699,53,0 93,0,2024-09-07 08:20:31:014,10126,0.3,10299,0.6,19646,0.2,26849,1.75 93,1,2024-09-07 08:20:30:829,92583,92583,0,0,43927701288,466455425,90832,1317,434,367,391689,0 93,2,2024-09-07 08:20:30:978,66855,66855,0,0,3598570,0,2509 93,3,2024-09-07 08:20:31:411,1,53,8,1,143,607,53,0 94,0,2024-09-07 08:20:31:694,10482,0.3,10654,0.5,20714,0.3,27963,1.75 94,1,2024-09-07 08:20:30:588,92570,92570,0,0,43802208719,466188092,91001,1505,64,381,391850,0 94,2,2024-09-07 08:20:30:764,65954,65954,0,0,3599281,0,2443 94,3,2024-09-07 08:20:31:711,1,53,66,1,231,1185,53,0 95,0,2024-09-07 08:20:31:474,16333,0.5,16291,0.7,33161,0.5,44226,1.75 95,1,2024-09-07 08:20:30:870,92928,92928,0,0,43613710128,458484799,92143,721,64,367,391590,0 95,2,2024-09-07 08:20:31:018,66108,66108,0,0,3776993,0,3308 95,3,2024-09-07 08:20:31:722,1,53,8,0,307,1180,53,0 96,0,2024-09-07 08:20:31:070,15754,1.6,15693,1.1,31458,2.4,41783,2.00 96,1,2024-09-07 08:20:31:613,92861,92861,0,0,44069772918,469560973,91031,1260,570,385,391596,0 96,2,2024-09-07 08:20:31:307,69328,69328,0,0,4424267,0,4038 96,3,2024-09-07 08:20:31:155,1,53,12,1,36,638,53,0 97,0,2024-09-07 08:20:31:355,9427,0.5,9301,0.7,18582,0.5,24605,1.75 97,1,2024-09-07 08:20:30:771,92377,92377,0,0,43975078799,466583108,90199,1661,517,367,391626,0 97,2,2024-09-07 08:20:30:646,65576,65576,0,0,3518938,0,3036 97,3,2024-09-07 08:20:30:583,1,53,14,1,165,710,53,0 98,0,2024-09-07 08:20:31:759,9714,0.2,9624,0.4,19602,0.2,25868,1.50 98,1,2024-09-07 08:20:30:594,92611,92611,0,0,43779963019,462263547,91548,1018,45,382,391588,0 98,2,2024-09-07 08:20:30:800,65695,65695,0,0,3730943,0,3080 98,3,2024-09-07 08:20:30:710,1,53,6,1,155,669,53,0 99,0,2024-09-07 08:20:31:481,15819,0.4,15775,0.6,31692,0.4,42537,1.75 99,1,2024-09-07 08:20:31:749,93121,93121,0,0,43120893798,456243287,91650,1031,440,381,391744,0 99,2,2024-09-07 08:20:31:427,67609,67609,0,0,3737513,0,1858 99,3,2024-09-07 08:20:30:612,1,53,1,0,129,609,53,0 100,0,2024-09-07 08:20:31:495,16251,2.8,16206,3.1,32398,5.3,43520,3.00 100,1,2024-09-07 08:20:30:568,92224,92224,0,0,42747987441,461687391,89547,2333,344,382,391585,0 100,2,2024-09-07 08:20:31:832,68982,68971,11,0,4914084,0,5417 100,3,2024-09-07 08:20:31:731,1,53,1,1,443,1631,53,0 101,0,2024-09-07 08:20:31:758,11284,5.5,10975,3.0,21653,2.8,30231,3.25 101,1,2024-09-07 08:20:30:577,92436,92436,0,0,43597049607,463055161,90977,1010,449,369,391709,0 101,2,2024-09-07 08:20:31:769,65085,65085,0,0,4077085,0,4644 101,3,2024-09-07 08:20:31:184,1,53,2,1,448,917,53,0 102,0,2024-09-07 08:20:31:028,10310,0.5,10632,0.6,21449,0.3,27499,2.00 102,1,2024-09-07 08:20:31:160,92770,92770,0,0,43638510277,465536248,90594,1731,445,369,391647,0 102,2,2024-09-07 08:20:31:742,65802,65802,0,0,3196581,0,1945 102,3,2024-09-07 08:20:31:616,1,53,1,0,410,767,53,0 103,0,2024-09-07 08:20:31:668,13806,0.6,13787,0.8,26042,0.7,36636,2.00 103,1,2024-09-07 08:20:31:653,92618,92618,0,0,43425379109,459512439,91427,1049,142,381,391680,0 103,2,2024-09-07 08:20:30:646,67667,67667,0,0,3601620,0,2104 103,3,2024-09-07 08:20:30:759,1,53,2,1,486,992,53,0 104,0,2024-09-07 08:20:31:104,17657,2.8,17718,1.7,34311,4.2,47198,3.00 104,1,2024-09-07 08:20:31:617,93234,93234,0,0,43609061908,465617890,91338,1571,325,368,391948,0 104,2,2024-09-07 08:20:31:702,66688,66688,0,0,4406440,0,3941 104,3,2024-09-07 08:20:31:434,1,53,8,1,1245,3511,53,0 105,0,2024-09-07 08:20:31:056,13542,2.3,12984,1.9,27023,4.1,36438,4.00 105,1,2024-09-07 08:20:30:563,92534,92534,0,0,43295910992,465423754,89595,2194,745,367,391797,0 105,2,2024-09-07 08:20:31:349,65470,65470,0,0,4065150,0,3314 105,3,2024-09-07 08:20:31:318,1,53,2,1,182,1125,53,0 106,0,2024-09-07 08:20:31:025,10556,0.3,10865,0.6,21933,0.2,28832,1.75 106,1,2024-09-07 08:20:31:797,92980,92980,0,0,43583680895,465946993,90582,2072,326,371,391767,0 106,2,2024-09-07 08:20:30:760,66011,66011,0,0,4180174,0,2795 106,3,2024-09-07 08:20:30:680,1,53,12,1,201,779,53,0 107,0,2024-09-07 08:20:31:179,11385,0.7,11267,0.9,22484,0.8,30221,2.00 107,1,2024-09-07 08:20:30:602,92459,92459,0,0,43498622015,464719652,90679,1609,171,382,392234,0 107,2,2024-09-07 08:20:31:310,67007,67006,1,0,4033116,0,5024 107,3,2024-09-07 08:20:31:760,1,53,2,0,353,1167,53,0 108,0,2024-09-07 08:20:31:834,17501,0.8,17743,0.9,35141,0.9,46825,1.75 108,1,2024-09-07 08:20:31:400,92535,92535,0,0,44024786348,466122069,91115,1287,133,371,391857,0 108,2,2024-09-07 08:20:31:775,66281,66281,0,0,3904296,0,2647 108,3,2024-09-07 08:20:31:366,1,53,27,1,60,762,53,0 109,0,2024-09-07 08:20:31:889,15256,1.2,15357,1.0,30102,1.6,40977,2.00 109,1,2024-09-07 08:20:30:633,92436,92436,0,0,43883473050,468187922,90835,1218,383,385,391664,0 109,2,2024-09-07 08:20:31:185,67379,67379,0,0,4280909,0,3617 109,3,2024-09-07 08:20:31:156,1,53,26,1,249,1088,53,0 110,0,2024-09-07 08:20:31:812,9614,0.3,9313,0.5,19481,0.2,25232,1.50 110,1,2024-09-07 08:20:31:709,92873,92873,0,0,43699321979,460353293,91563,1027,283,370,391588,0 110,2,2024-09-07 08:20:31:307,66704,66704,0,0,3567885,0,2915 110,3,2024-09-07 08:20:30:712,1,53,7,0,183,664,53,0 111,0,2024-09-07 08:20:31:422,9576,0.2,9661,0.4,19067,0.1,26010,1.50 111,1,2024-09-07 08:20:31:009,93417,93417,0,0,44083610547,459968700,92775,629,13,382,391690,0 111,2,2024-09-07 08:20:31:123,65752,65752,0,0,3735404,0,2763 111,3,2024-09-07 08:20:30:945,1,53,11,0,119,1030,53,0 112,0,2024-09-07 08:20:30:969,16401,0.5,16525,0.6,32770,0.4,43924,1.75 112,1,2024-09-07 08:20:30:844,92791,92791,0,0,43909449381,464072290,91284,1161,346,382,391580,0 112,2,2024-09-07 08:20:31:141,66277,66276,1,0,4120346,0,5036 112,3,2024-09-07 08:20:30:600,1,53,1,1,282,785,53,0 113,0,2024-09-07 08:20:30:902,15452,0.9,15277,0.9,31000,0.9,41356,1.75 113,1,2024-09-07 08:20:31:706,92972,92972,0,0,44102279651,464790302,91085,1504,383,368,391661,0 113,2,2024-09-07 08:20:31:306,69942,69942,0,0,3423611,0,3021 113,3,2024-09-07 08:20:30:705,1,53,2,1,288,1080,53,0 114,0,2024-09-07 08:20:30:890,10615,4.6,10707,3.3,21107,1.8,28556,2.25 114,1,2024-09-07 08:20:30:724,92631,92631,0,0,43966977234,469974847,90025,1725,881,381,391513,0 114,2,2024-09-07 08:20:30:884,65288,65288,0,0,3871416,0,3925 114,3,2024-09-07 08:20:31:295,1,53,3,1,159,700,53,0 115,0,2024-09-07 08:20:30:562,9697,0.2,9771,0.4,19525,0.1,25853,1.50 115,1,2024-09-07 08:20:30:573,92989,92989,0,0,43757665552,465067355,90617,1885,487,383,391506,0 115,2,2024-09-07 08:20:31:146,65726,65726,0,0,3219196,0,2152 115,3,2024-09-07 08:20:31:018,1,53,4,0,159,452,53,0 116,0,2024-09-07 08:20:31:749,14659,1.2,14597,1.1,29283,2.0,39661,2.00 116,1,2024-09-07 08:20:30:826,91993,91993,0,0,43326464996,465689317,90126,997,870,382,391580,0 116,2,2024-09-07 08:20:31:765,67100,67100,0,0,4069767,0,3529 116,3,2024-09-07 08:20:31:140,1,53,4,1,252,1164,53,0 117,0,2024-09-07 08:20:31:020,16832,2.9,16888,1.7,33769,4.1,45185,2.25 117,1,2024-09-07 08:20:31:633,92994,92994,0,0,43004418878,458881414,90923,1782,289,371,392033,0 117,2,2024-09-07 08:20:31:127,69034,69034,0,0,3712465,0,3700 117,3,2024-09-07 08:20:31:098,1,53,1,0,490,1592,53,0 118,0,2024-09-07 08:20:31:801,12045,1.1,12286,1.1,25242,1.4,33028,2.25 118,1,2024-09-07 08:20:30:610,92798,92798,0,0,43530065395,461093321,91585,1136,77,368,391736,0 118,2,2024-09-07 08:20:31:599,65576,65576,0,0,3957100,0,2781 118,3,2024-09-07 08:20:31:792,1,53,5,1,235,1068,53,0 119,0,2024-09-07 08:20:31:402,10908,0.3,10967,0.5,22476,0.2,29147,1.75 119,1,2024-09-07 08:20:30:571,92929,92929,0,0,44081046760,467137688,91331,1450,148,371,391617,0 119,2,2024-09-07 08:20:31:275,65487,65487,0,0,3589873,0,2532 119,3,2024-09-07 08:20:31:343,1,53,2,1,443,2022,53,0 120,0,2024-09-07 08:20:31:584,12372,1.7,12194,1.3,24497,3.3,33283,2.75 120,1,2024-09-07 08:20:30:885,92815,92815,0,0,43317884771,461810309,91323,1477,15,368,391702,0 120,2,2024-09-07 08:20:30:816,66942,66941,1,0,4864725,0,5281 120,3,2024-09-07 08:20:31:335,1,53,2,1,241,940,53,0 121,0,2024-09-07 08:20:31:731,17699,2.9,17810,1.8,35649,4.1,47817,2.75 121,1,2024-09-07 08:20:31:681,92604,92604,0,0,43387716636,461544484,90745,1593,266,368,391807,0 121,2,2024-09-07 08:20:31:138,65969,65969,0,0,5180561,0,4127 121,3,2024-09-07 08:20:30:755,1,53,13,0,101,597,53,0 122,0,2024-09-07 08:20:31:781,14801,2.8,14275,2.4,29792,3.1,40219,2.50 122,1,2024-09-07 08:20:30:897,91858,91858,0,0,43001645078,463595475,88866,2469,523,368,392130,0 122,2,2024-09-07 08:20:31:335,66852,66852,0,0,5271170,0,3364 122,3,2024-09-07 08:20:30:608,1,53,41,1,226,1331,53,0 123,0,2024-09-07 08:20:31:037,10149,0.3,9780,0.6,20397,0.2,26853,1.75 123,1,2024-09-07 08:20:30:577,92502,92502,0,0,43192111296,466718337,89093,2842,567,369,391823,0 123,2,2024-09-07 08:20:31:021,66331,66330,1,0,4209258,0,5215 123,3,2024-09-07 08:20:31:139,1,53,1,1,160,847,53,0 124,0,2024-09-07 08:20:30:989,10680,0.3,10683,0.6,20199,0.2,28033,1.75 124,1,2024-09-07 08:20:31:026,93319,93319,0,0,43691049035,457836944,92533,645,141,367,392178,0 124,2,2024-09-07 08:20:31:019,65743,65743,0,0,3343064,0,2477 124,3,2024-09-07 08:20:30:768,1,53,2,1,490,1466,53,0 125,0,2024-09-07 08:20:31:448,16439,0.8,16476,0.8,33046,0.9,44241,2.00 125,1,2024-09-07 08:20:30:859,92646,92646,0,0,43184584022,458669914,90917,1457,272,384,391702,0 125,2,2024-09-07 08:20:31:123,66023,66023,0,0,3712466,0,2180 125,3,2024-09-07 08:20:31:139,1,53,1,1,93,914,53,0 126,0,2024-09-07 08:20:31:441,15783,1.6,16228,1.2,31053,2.5,42159,2.00 126,1,2024-09-07 08:20:30:561,93230,93230,0,0,43563241507,457689453,92191,987,52,365,391719,0 126,2,2024-09-07 08:20:30:640,69607,69607,0,0,4050258,0,3186 126,3,2024-09-07 08:20:30:948,1,53,20,0,122,846,53,0 127,0,2024-09-07 08:20:31:608,9451,0.3,9362,0.5,18665,0.2,24551,1.75 127,1,2024-09-07 08:20:30:599,92815,92815,0,0,43643053497,457687992,91684,1108,23,365,391614,0 127,2,2024-09-07 08:20:30:669,66037,66037,0,0,3210174,0,1803 127,3,2024-09-07 08:20:31:267,1,53,1,0,99,562,53,0 128,0,2024-09-07 08:20:31:562,9692,0.3,9811,0.5,19161,0.2,25809,1.50 128,1,2024-09-07 08:20:31:657,93012,93012,0,0,43695737483,457077119,92308,635,69,369,391605,0 128,2,2024-09-07 08:20:31:385,64902,64902,0,0,3953857,0,2107 128,3,2024-09-07 08:20:30:792,1,53,4,1,112,735,53,0 129,0,2024-09-07 08:20:31:008,15925,0.5,15987,0.7,31736,0.6,42584,1.75 129,1,2024-09-07 08:20:30:577,92516,92516,0,0,43322838188,460370159,90704,1540,272,379,391835,0 129,2,2024-09-07 08:20:30:719,66474,66474,0,0,3457663,0,2446 129,3,2024-09-07 08:20:30:701,1,53,10,1,173,885,53,0 130,0,2024-09-07 08:20:31:750,16517,1.8,16443,1.3,32924,2.4,44152,2.25 130,1,2024-09-07 08:20:30:615,93101,93101,0,0,43683723541,461666941,91797,1274,30,381,391520,0 130,2,2024-09-07 08:20:31:131,69664,69664,0,0,4178264,0,4067 130,3,2024-09-07 08:20:31:298,1,53,6,1,207,579,53,0 131,0,2024-09-07 08:20:31:955,11343,1.5,11159,1.2,22781,2.1,30215,2.00 131,1,2024-09-07 08:20:31:847,93281,93281,0,0,43521414414,458862855,92278,817,186,385,391865,0 131,2,2024-09-07 08:20:30:575,64931,64931,0,0,3303190,0,2415 131,3,2024-09-07 08:20:31:705,1,53,6,0,392,990,53,0 132,0,2024-09-07 08:20:31:440,10407,0.4,10761,0.7,21069,0.3,27664,1.75 132,1,2024-09-07 08:20:30:637,92071,92071,0,0,43529186554,466785661,89511,1855,705,382,391574,0 132,2,2024-09-07 08:20:30:707,65366,65366,0,0,5194633,0,4606 132,3,2024-09-07 08:20:31:721,1,53,1,1,356,939,53,0 133,0,2024-09-07 08:20:31:578,13181,0.7,13336,0.8,27713,0.8,36140,2.00 133,1,2024-09-07 08:20:30:621,92081,92081,0,0,43648088026,467109583,89969,2019,93,383,391755,0 133,2,2024-09-07 08:20:31:124,68055,68055,0,0,4212298,0,2444 133,3,2024-09-07 08:20:31:312,1,53,2,1,187,514,53,0 134,0,2024-09-07 08:20:30:983,17848,1.4,17815,1.3,35781,2.4,47352,2.50 134,1,2024-09-07 08:20:30:590,92788,92788,0,0,43659507461,466991555,90177,1631,980,366,391718,0 134,2,2024-09-07 08:20:31:769,67427,67427,0,0,3433926,0,2026 134,3,2024-09-07 08:20:30:768,1,53,3,1,739,1643,53,0 135,0,2024-09-07 08:20:31:110,13320,2.1,13358,1.9,28182,2.4,36382,2.50 135,1,2024-09-07 08:20:31:588,92076,92076,0,0,43998670678,467402542,90171,1580,325,383,391645,0 135,2,2024-09-07 08:20:30:710,66335,66335,0,0,4065877,0,3981 135,3,2024-09-07 08:20:31:021,1,53,1,0,68,426,53,0 136,0,2024-09-07 08:20:31:691,10973,0.4,10893,0.7,21701,0.3,29040,2.00 136,1,2024-09-07 08:20:31:456,92991,92991,0,0,43508869528,463325934,91106,1740,145,384,391518,0 136,2,2024-09-07 08:20:31:146,67011,67011,0,0,3753528,0,2379 136,3,2024-09-07 08:20:31:107,1,53,1,1,108,759,53,0 137,0,2024-09-07 08:20:30:953,11548,1.3,11294,1.2,22409,1.5,30333,2.25 137,1,2024-09-07 08:20:30:612,92595,92595,0,0,43952074478,467152448,90633,1849,113,368,391608,0 137,2,2024-09-07 08:20:31:709,66197,66197,0,0,4650645,0,2632 137,3,2024-09-07 08:20:30:809,1,53,19,1,227,858,53,0 138,0,2024-09-07 08:20:31:773,16955,3.1,17167,1.9,34780,4.1,46646,3.25 138,1,2024-09-07 08:20:31:707,92102,92102,0,0,43752391049,466630509,89790,2052,260,371,391615,0 138,2,2024-09-07 08:20:30:617,66918,66918,0,0,3942832,0,3263 138,3,2024-09-07 08:20:30:667,1,53,1,1,1160,1721,53,0 139,0,2024-09-07 08:20:31:376,14660,5.1,14718,2.8,29645,5.8,40378,4.25 139,1,2024-09-07 08:20:30:573,92175,92175,0,0,43392385061,470905993,89299,2149,727,381,391892,0 139,2,2024-09-07 08:20:30:706,67602,67602,0,0,4932696,0,3097 139,3,2024-09-07 08:20:31:672,1,53,1,1,244,1186,53,0 140,0,2024-09-07 08:20:31:622,9568,0.3,9382,0.6,19074,0.2,25315,1.75 140,1,2024-09-07 08:20:31:543,93716,93716,0,0,44225536268,461990814,92789,730,197,365,391483,0 140,2,2024-09-07 08:20:30:710,66573,66573,0,0,4133674,0,3388 140,3,2024-09-07 08:20:30:770,1,53,16,1,25,494,53,0 141,0,2024-09-07 08:20:31:721,9385,0.2,9840,0.4,19055,0.1,26020,1.50 141,1,2024-09-07 08:20:30:885,93510,93510,0,0,43782147258,461397914,92091,1088,331,382,391538,0 141,2,2024-09-07 08:20:31:719,66182,66182,0,0,3674441,0,2342 141,3,2024-09-07 08:20:31:049,1,53,1,0,147,482,53,0 142,0,2024-09-07 08:20:31:359,16708,0.5,16493,0.7,32644,0.5,43868,1.75 142,1,2024-09-07 08:20:30:639,93172,93172,0,0,44023069156,462210205,92626,531,15,384,391649,0 142,2,2024-09-07 08:20:31:337,65614,65614,0,0,3958399,0,2293 142,3,2024-09-07 08:20:31:763,1,53,1,1,484,1131,53,0 143,0,2024-09-07 08:20:31:416,15362,1.4,15398,1.1,31028,1.8,41211,2.25 143,1,2024-09-07 08:20:30:579,93279,93279,0,0,43686372415,457812748,92315,939,25,367,391608,0 143,2,2024-09-07 08:20:30:773,69390,69390,0,0,4378026,0,2669 143,3,2024-09-07 08:20:31:155,1,53,1,1,236,1031,53,0 144,0,2024-09-07 08:20:31:535,10064,2.5,10483,7.4,20963,2.3,28407,2.50 144,1,2024-09-07 08:20:30:590,92431,92431,0,0,43310906207,461170363,90869,1373,189,383,391638,0 144,2,2024-09-07 08:20:31:766,65305,65305,0,0,3642140,0,3473 144,3,2024-09-07 08:20:31:741,1,53,2,1,169,1115,53,0 145,0,2024-09-07 08:20:31:378,9416,0.4,9361,0.7,19786,0.3,25750,2.00 145,1,2024-09-07 08:20:30:561,91917,91917,0,0,44159362878,469936382,90001,1655,261,383,391615,0 145,2,2024-09-07 08:20:31:431,65213,65213,0,0,4298761,0,3903 145,3,2024-09-07 08:20:30:949,1,53,3,0,151,838,53,0 146,0,2024-09-07 08:20:31:663,14530,1.2,14627,1.1,29423,1.8,39438,2.25 146,1,2024-09-07 08:20:31:604,92865,92865,0,0,43915562844,468458289,90579,1985,301,370,391600,0 146,2,2024-09-07 08:20:31:707,66835,66835,0,0,4074592,0,2379 146,3,2024-09-07 08:20:31:286,1,53,2,0,1520,2891,53,0 147,0,2024-09-07 08:20:31:895,16789,3.6,16540,2.0,32880,5.0,45239,3.50 147,1,2024-09-07 08:20:31:393,92964,92964,0,0,43609117950,459662213,91263,1348,353,369,391791,0 147,2,2024-09-07 08:20:31:014,69213,69213,0,0,4090519,0,2789 147,3,2024-09-07 08:20:30:950,1,53,11,1,141,732,53,0 0,0,2024-09-07 08:20:41:809,12369,1.1,12334,1.0,26107,1.6,34225,2.00 0,1,2024-09-07 08:20:40:802,94704,94704,0,0,44824503073,475841655,93495,1083,126,372,391673,0 0,2,2024-09-07 08:20:41:080,68521,68521,0,0,4116430,0,4480 0,3,2024-09-07 08:20:40:994,1,54,7,0,247,996,54,0 1,0,2024-09-07 08:20:41:781,17669,3.3,17705,2.1,35621,4.9,47809,3.25 1,1,2024-09-07 08:20:40:560,94169,94169,0,0,43843041275,466634732,92494,1150,525,372,391857,0 1,2,2024-09-07 08:20:40:689,68091,68091,0,0,3757659,0,3267 1,3,2024-09-07 08:20:41:314,1,54,9,1,167,627,54,0 2,0,2024-09-07 08:20:41:616,14790,2.1,15022,1.6,29697,4.4,39899,2.75 2,1,2024-09-07 08:20:40:864,94339,94339,0,0,44517615783,468754124,93262,874,203,382,391558,0 2,2,2024-09-07 08:20:41:266,67090,67090,0,0,3931177,0,3304 2,3,2024-09-07 08:20:40:689,1,54,7,0,214,676,54,0 3,0,2024-09-07 08:20:41:770,10457,0.4,10460,0.6,20993,0.3,27811,2.00 3,1,2024-09-07 08:20:41:625,94206,94206,0,0,43959955369,465950987,92228,1592,386,382,391514,0 3,2,2024-09-07 08:20:41:143,67815,67792,23,0,4375869,0,5851 3,3,2024-09-07 08:20:41:760,1,54,2,0,103,520,54,0 4,0,2024-09-07 08:20:41:906,10418,0.3,10726,0.5,21771,0.2,28715,1.75 4,1,2024-09-07 08:20:40:690,94542,94542,0,0,44085944618,470273496,92721,1405,416,372,391846,0 4,2,2024-09-07 08:20:41:024,67648,67648,0,0,4654467,0,4528 4,3,2024-09-07 08:20:41:036,1,54,20,1,287,1022,54,0 5,0,2024-09-07 08:20:41:401,17021,0.9,16725,0.9,34024,1.2,45714,2.00 5,1,2024-09-07 08:20:40:757,94143,94143,0,0,44339980435,472622415,91963,1741,439,368,392005,0 5,2,2024-09-07 08:20:41:852,66938,66938,0,0,3675932,0,2259 5,3,2024-09-07 08:20:41:747,1,54,9,1,238,1320,54,0 6,0,2024-09-07 08:20:40:929,16020,2.0,15802,1.6,31576,3.2,42625,3.75 6,1,2024-09-07 08:20:40:747,94814,94814,0,0,43959300853,462118134,93732,1013,69,382,391603,0 6,2,2024-09-07 08:20:41:119,70338,70338,0,0,3962656,0,2411 6,3,2024-09-07 08:20:41:274,1,54,5,1,340,1376,54,0 7,0,2024-09-07 08:20:41:541,9379,0.3,9319,0.6,18930,0.2,24972,1.75 7,1,2024-09-07 08:20:40:851,94691,94691,0,0,44866188227,472412278,93713,914,64,383,391664,0 7,2,2024-09-07 08:20:40:771,67395,67395,0,0,3607696,0,2981 7,3,2024-09-07 08:20:40:856,1,54,42,0,83,558,54,0 8,0,2024-09-07 08:20:41:374,10132,0.3,9941,0.5,19680,0.2,26582,1.75 8,1,2024-09-07 08:20:41:021,94442,94442,0,0,44384915595,481519823,90977,2214,1251,368,391724,0 8,2,2024-09-07 08:20:40:799,65660,65660,0,0,4653623,0,2986 8,3,2024-09-07 08:20:40:679,1,54,2,0,229,964,54,0 9,0,2024-09-07 08:20:41:242,16161,0.4,15792,0.6,32951,0.4,43471,1.75 9,1,2024-09-07 08:20:40:562,94535,94535,0,0,44822110670,478334448,92261,1708,566,370,391576,0 9,2,2024-09-07 08:20:41:104,67527,67527,0,0,4265463,0,3360 9,3,2024-09-07 08:20:41:764,1,54,13,0,149,903,54,0 10,0,2024-09-07 08:20:41:632,16421,1.5,16518,1.2,33112,2.6,44002,3.50 10,1,2024-09-07 08:20:40:687,93836,93836,0,0,44429922446,478250853,90502,2812,522,383,391541,0 10,2,2024-09-07 08:20:40:762,70315,70315,0,0,4495517,0,2940 10,3,2024-09-07 08:20:40:874,1,54,1,0,136,513,54,0 11,0,2024-09-07 08:20:41:022,11028,3.1,10771,2.1,22576,5.5,30443,2.75 11,1,2024-09-07 08:20:40:580,94225,94225,0,0,43744565390,468773636,91588,1822,815,384,391537,0 11,2,2024-09-07 08:20:41:126,66349,66349,0,0,4174570,0,2635 11,3,2024-09-07 08:20:41:299,1,54,1,0,720,2158,54,0 12,0,2024-09-07 08:20:41:043,10725,0.3,10799,0.5,21461,0.2,27903,1.75 12,1,2024-09-07 08:20:40:951,94335,94335,0,0,43761205555,464875704,92705,1531,99,371,391790,0 12,2,2024-09-07 08:20:41:565,67278,67278,0,0,3874125,0,2254 12,3,2024-09-07 08:20:41:070,1,54,1,1,358,1294,54,0 13,0,2024-09-07 08:20:41:403,13865,0.5,14047,0.7,28023,0.5,37683,1.75 13,1,2024-09-07 08:20:41:540,94703,94703,0,0,44211393335,471335974,93122,1215,366,384,391710,0 13,2,2024-09-07 08:20:40:675,68803,68803,0,0,3646552,0,3287 13,3,2024-09-07 08:20:41:773,1,54,2,1,373,1135,54,0 14,0,2024-09-07 08:20:40:574,17917,1.3,18008,1.2,35585,1.5,47409,2.75 14,1,2024-09-07 08:20:41:567,95372,95372,0,0,44516471030,466074584,94495,847,30,365,391546,0 14,2,2024-09-07 08:20:40:764,68736,68736,0,0,4211888,0,2793 14,3,2024-09-07 08:20:41:120,1,54,1,1,906,1248,54,0 15,0,2024-09-07 08:20:41:577,13683,2.8,13539,1.8,27196,4.8,36546,3.50 15,1,2024-09-07 08:20:41:614,94508,94508,0,0,44221787741,464668545,93726,747,35,381,391536,0 15,2,2024-09-07 08:20:41:006,67340,67340,0,0,3271975,0,3043 15,3,2024-09-07 08:20:41:410,1,54,8,0,538,1781,54,0 16,0,2024-09-07 08:20:40:975,11042,0.4,11215,0.6,21975,0.3,29298,2.25 16,1,2024-09-07 08:20:40:563,94391,94391,0,0,44084944005,466788613,93277,1091,23,372,391543,0 16,2,2024-09-07 08:20:41:447,66885,66885,0,0,4458047,0,4719 16,3,2024-09-07 08:20:41:142,1,54,1,1,231,1165,54,0 17,0,2024-09-07 08:20:41:924,11958,0.7,11676,0.9,23036,0.7,31603,2.25 17,1,2024-09-07 08:20:40:673,94238,94238,0,0,44323873983,474260636,92379,1337,522,369,391688,0 17,2,2024-09-07 08:20:41:681,68757,68757,0,0,3416528,0,2857 17,3,2024-09-07 08:20:40:712,1,54,2,0,268,1304,54,0 18,0,2024-09-07 08:20:40:961,17236,1.8,17446,1.4,35044,2.2,46463,2.75 18,1,2024-09-07 08:20:41:651,94521,94521,0,0,44006140987,463416011,93273,1030,218,368,391526,0 18,2,2024-09-07 08:20:41:760,67265,67265,0,0,4250832,0,3541 18,3,2024-09-07 08:20:40:895,1,54,1,0,163,895,54,0 19,0,2024-09-07 08:20:41:568,15069,2.2,15136,1.7,29985,2.4,40214,5.75 19,1,2024-09-07 08:20:40:573,94719,94719,0,0,44840522398,475106473,92855,1701,163,367,391696,0 19,2,2024-09-07 08:20:41:760,68907,68907,0,0,4001175,0,3988 19,3,2024-09-07 08:20:41:129,1,54,1,0,524,918,54,0 20,0,2024-09-07 08:20:41:375,9795,0.3,9864,0.5,19314,0.2,25511,1.75 20,1,2024-09-07 08:20:40:674,94706,94706,0,0,44932304250,475755380,93025,1520,161,370,391598,0 20,2,2024-09-07 08:20:40:933,67611,67611,0,0,3460419,0,2446 20,3,2024-09-07 08:20:40:674,1,54,4,1,99,813,54,0 21,0,2024-09-07 08:20:41:186,10285,0.4,10247,0.6,20251,0.3,26979,1.75 21,1,2024-09-07 08:20:41:548,93919,93919,0,0,43540089205,465786842,91683,1747,489,368,391962,0 21,2,2024-09-07 08:20:41:092,66930,66930,0,0,3728207,0,3747 21,3,2024-09-07 08:20:41:404,1,54,1,0,93,791,54,0 22,0,2024-09-07 08:20:41:739,16476,0.7,16384,0.9,32740,0.7,43772,2.25 22,1,2024-09-07 08:20:41:024,94018,94018,0,0,43835560363,470253089,91076,2217,725,382,391667,0 22,2,2024-09-07 08:20:40:761,67267,67267,0,0,3425921,0,3134 22,3,2024-09-07 08:20:41:070,1,54,31,0,62,311,54,0 23,0,2024-09-07 08:20:41:401,15527,2.1,15699,1.3,31336,3.1,42055,2.75 23,1,2024-09-07 08:20:41:006,94893,94893,0,0,44740883293,469151839,93547,1092,254,368,391496,0 23,2,2024-09-07 08:20:41:099,70963,70963,0,0,3600114,0,2078 23,3,2024-09-07 08:20:41:756,1,54,2,0,645,1210,54,0 24,0,2024-09-07 08:20:40:856,10932,0.8,11052,0.9,21992,1.0,29143,1.75 24,1,2024-09-07 08:20:40:695,95036,95036,0,0,44442234284,467092360,94235,794,7,371,391640,0 24,2,2024-09-07 08:20:41:081,66516,66516,0,0,4729977,0,2942 24,3,2024-09-07 08:20:41:700,1,54,2,1,234,906,54,0 25,0,2024-09-07 08:20:41:373,10313,0.3,10121,0.5,19560,0.2,26852,1.75 25,1,2024-09-07 08:20:40:566,94266,94266,0,0,44328966861,473522244,92133,1822,311,371,391788,0 25,2,2024-09-07 08:20:41:624,66231,66231,0,0,4266667,0,3284 25,3,2024-09-07 08:20:41:006,1,54,1,1,158,590,54,0 26,0,2024-09-07 08:20:41:737,15013,0.5,14747,0.7,30857,0.5,40886,1.75 26,1,2024-09-07 08:20:41:544,94489,94489,0,0,44024163136,474641938,91029,2625,835,382,391564,0 26,2,2024-09-07 08:20:40:866,68340,68340,0,0,4454124,0,2809 26,3,2024-09-07 08:20:41:712,1,54,6,0,796,1213,54,0 27,0,2024-09-07 08:20:41:745,16910,1.5,17021,1.1,34065,2.0,45595,2.25 27,1,2024-09-07 08:20:41:679,95095,95095,0,0,45141554621,473337215,94028,772,295,381,391539,0 27,2,2024-09-07 08:20:40:867,69299,69299,0,0,5430006,0,3409 27,3,2024-09-07 08:20:41:016,1,54,2,0,564,994,54,0 28,0,2024-09-07 08:20:41:435,12533,0.8,12728,1.0,25188,1.1,33558,2.00 28,1,2024-09-07 08:20:40:796,94797,94797,0,0,45042635044,474423383,93739,858,200,383,391500,0 28,2,2024-09-07 08:20:41:771,66435,66435,0,0,3463017,0,2609 28,3,2024-09-07 08:20:41:788,1,54,2,1,502,1123,54,0 29,0,2024-09-07 08:20:41:383,11298,0.3,11051,0.5,21856,0.2,29213,1.75 29,1,2024-09-07 08:20:41:566,95673,95673,0,0,44607640282,464890918,94930,571,172,369,391621,0 29,2,2024-09-07 08:20:40:870,67719,67719,0,0,3037782,0,2026 29,3,2024-09-07 08:20:40:963,1,54,2,1,105,621,54,0 30,0,2024-09-07 08:20:41:474,12766,0.9,12385,0.9,25773,1.1,34124,2.50 30,1,2024-09-07 08:20:40:673,94562,94562,0,0,45026572257,473632751,93590,907,65,382,391524,0 30,2,2024-09-07 08:20:41:274,69188,69188,0,0,3112477,0,2534 30,3,2024-09-07 08:20:40:693,1,54,1,0,195,586,54,0 31,0,2024-09-07 08:20:41:807,17941,2.0,17757,1.3,36057,3.5,47580,3.25 31,1,2024-09-07 08:20:40:564,95029,95029,0,0,44938541888,464121272,94782,245,2,356,391553,0 31,2,2024-09-07 08:20:41:275,68505,68505,0,0,4499875,0,3525 31,3,2024-09-07 08:20:41:710,1,54,1,0,129,514,54,0 32,0,2024-09-07 08:20:41:471,15119,1.9,15192,1.3,30536,3.2,40499,2.00 32,1,2024-09-07 08:20:40:807,94600,94600,0,0,43988333636,462097629,93605,967,28,382,391595,0 32,2,2024-09-07 08:20:40:939,68220,68220,0,0,3699079,0,3155 32,3,2024-09-07 08:20:41:016,1,54,2,0,110,596,54,0 33,0,2024-09-07 08:20:41:534,10489,0.3,10330,0.5,21102,0.2,27719,1.75 33,1,2024-09-07 08:20:40:700,94835,94835,0,0,45106525987,474224230,93177,1535,123,369,391526,0 33,2,2024-09-07 08:20:40:759,67594,67561,33,0,5202207,0,7012 33,3,2024-09-07 08:20:40:895,1,54,5,1,63,344,54,0 34,0,2024-09-07 08:20:40:966,10752,0.3,11074,0.5,21357,0.2,28690,1.75 34,1,2024-09-07 08:20:41:048,95339,95339,0,0,45274038694,470420535,94747,588,4,368,391517,0 34,2,2024-09-07 08:20:40:781,67264,67264,0,0,4233493,0,3255 34,3,2024-09-07 08:20:41:700,1,54,1,0,148,630,54,0 35,0,2024-09-07 08:20:40:894,16687,1.0,16775,0.9,33727,1.4,45481,2.00 35,1,2024-09-07 08:20:41:071,94604,94604,0,0,44840709601,471043100,93139,1104,361,384,391587,0 35,2,2024-09-07 08:20:41:582,67138,67138,0,0,3625151,0,2542 35,3,2024-09-07 08:20:40:917,1,54,35,0,219,1009,54,0 36,0,2024-09-07 08:20:41:546,15984,2.6,15827,1.6,32198,3.8,42756,2.75 36,1,2024-09-07 08:20:40:695,94358,94358,0,0,44746017339,477784211,91347,2080,931,366,391535,0 36,2,2024-09-07 08:20:41:761,69758,69758,0,0,4237625,0,3303 36,3,2024-09-07 08:20:40:867,1,54,10,0,378,1036,54,0 37,0,2024-09-07 08:20:41:382,9489,0.3,9442,0.5,19007,0.2,24995,1.75 37,1,2024-09-07 08:20:40:673,94005,93998,0,7,44188138616,475644382,91160,1321,1517,365,391518,0 37,2,2024-09-07 08:20:41:144,67484,67484,0,0,3138613,0,2333 37,3,2024-09-07 08:20:41:769,1,54,1,0,724,1710,54,0 38,0,2024-09-07 08:20:41:488,9973,0.3,9656,0.5,20146,0.2,26523,1.75 38,1,2024-09-07 08:20:41:615,94535,94535,0,0,44549862506,472470332,92394,1694,447,370,391513,0 38,2,2024-09-07 08:20:40:760,66760,66760,0,0,3877532,0,3245 38,3,2024-09-07 08:20:40:998,1,54,1,0,603,1001,54,0 39,0,2024-09-07 08:20:41:772,16735,0.5,16540,0.7,32026,0.6,43761,1.75 39,1,2024-09-07 08:20:40:716,94641,94641,0,0,43703960586,464308227,92081,1922,638,366,391524,0 39,2,2024-09-07 08:20:41:419,67366,67366,0,0,3564407,0,2381 39,3,2024-09-07 08:20:40:712,1,54,36,0,276,1148,54,0 40,0,2024-09-07 08:20:41:500,16141,2.5,16392,2.5,32323,5.0,43601,4.00 40,1,2024-09-07 08:20:40:703,94254,94254,0,0,43589441490,467457214,91004,2497,753,370,391591,0 40,2,2024-09-07 08:20:41:307,70485,70484,1,0,4767007,0,5137 40,3,2024-09-07 08:20:41:141,1,54,4,1,110,719,54,0 41,0,2024-09-07 08:20:41:049,10785,2.2,11075,6.8,21211,5.5,29340,4.00 41,1,2024-09-07 08:20:40:768,94316,94316,0,0,44613419099,474916892,92011,1993,312,370,391484,0 41,2,2024-09-07 08:20:40:760,66169,66169,0,0,4776247,0,3356 41,3,2024-09-07 08:20:41:676,1,54,0,0,168,617,54,0 42,0,2024-09-07 08:20:41:499,10563,0.4,10757,0.7,21287,0.3,27909,2.00 42,1,2024-09-07 08:20:41:444,94238,94238,0,0,44210907652,475206283,91246,2162,830,381,391511,0 42,2,2024-09-07 08:20:41:134,67072,67072,0,0,4204383,0,3568 42,3,2024-09-07 08:20:41:013,1,54,2,0,100,545,54,0 43,0,2024-09-07 08:20:40:928,13830,1.8,13635,1.3,28277,2.9,37752,2.00 43,1,2024-09-07 08:20:40:700,94494,94494,0,0,44472152837,472117969,92305,1437,752,367,391604,0 43,2,2024-09-07 08:20:41:736,68817,68817,0,0,4427965,0,3812 43,3,2024-09-07 08:20:41:758,1,54,1,0,292,1147,54,0 44,0,2024-09-07 08:20:40:902,17930,1.3,17910,1.3,35622,1.4,47900,2.25 44,1,2024-09-07 08:20:40:563,94878,94878,0,0,44287115111,463008792,93862,909,107,357,391505,0 44,2,2024-09-07 08:20:41:272,68818,68818,0,0,3241880,0,1865 44,3,2024-09-07 08:20:41:103,1,54,1,1,817,1306,54,0 45,0,2024-09-07 08:20:41:812,13546,3.2,13234,1.9,27774,5.6,36854,2.25 45,1,2024-09-07 08:20:41:005,94884,94884,0,0,44870077217,469351582,94418,465,1,382,391917,0 45,2,2024-09-07 08:20:41:268,67302,67302,0,0,3845495,0,2628 45,3,2024-09-07 08:20:40:944,1,54,1,1,226,749,54,0 46,0,2024-09-07 08:20:40:963,11124,0.2,11124,0.5,22312,0.2,29402,1.75 46,1,2024-09-07 08:20:40:700,94895,94895,0,0,44206358230,461508179,94065,794,36,368,391514,0 46,2,2024-09-07 08:20:40:680,67835,67835,0,0,3761783,0,2920 46,3,2024-09-07 08:20:41:131,1,54,3,0,315,1026,54,0 47,0,2024-09-07 08:20:41:122,11638,0.5,11855,0.7,23560,0.5,31436,1.75 47,1,2024-09-07 08:20:40:673,95228,95228,0,0,44166834801,459332681,94722,502,4,367,391605,0 47,2,2024-09-07 08:20:40:908,69216,69216,0,0,3767150,0,2558 47,3,2024-09-07 08:20:41:120,1,54,2,1,529,1047,54,0 48,0,2024-09-07 08:20:41:517,17997,0.4,17770,0.6,35278,0.4,47234,1.75 48,1,2024-09-07 08:20:41:024,94812,94812,0,0,44351644866,466539563,93617,1190,5,386,391637,0 48,2,2024-09-07 08:20:40:711,68037,68037,0,0,3029323,0,2083 48,3,2024-09-07 08:20:40:753,1,54,5,1,30,553,54,0 49,0,2024-09-07 08:20:41:722,15723,2.1,15380,1.4,30163,3.7,41685,2.00 49,1,2024-09-07 08:20:41:024,94545,94545,0,0,44728373017,474044890,92810,1026,709,382,391583,0 49,2,2024-09-07 08:20:41:809,68903,68903,0,0,4147308,0,3900 49,3,2024-09-07 08:20:41:418,1,54,1,0,274,754,54,0 50,0,2024-09-07 08:20:41:534,9856,0.2,9677,0.5,19398,0.1,25951,1.75 50,1,2024-09-07 08:20:41:009,95290,95290,0,0,44577828918,468669049,93624,1369,297,368,391530,0 50,2,2024-09-07 08:20:41:072,68097,68097,0,0,3264669,0,2253 50,3,2024-09-07 08:20:41:295,1,54,2,1,335,838,54,0 51,0,2024-09-07 08:20:41:743,10300,0.2,10092,0.4,19837,0.1,27316,1.50 51,1,2024-09-07 08:20:41:703,94495,94495,0,0,45578160558,480146723,92697,1016,782,367,391547,0 51,2,2024-09-07 08:20:41:324,67056,67056,0,0,2850842,0,2448 51,3,2024-09-07 08:20:41:034,1,54,1,0,162,447,54,0 52,0,2024-09-07 08:20:41:506,16640,1.0,16800,1.0,33437,1.3,44369,2.50 52,1,2024-09-07 08:20:40:700,94428,94428,0,0,43909841165,468691226,91934,2030,464,368,391529,0 52,2,2024-09-07 08:20:41:764,66946,66946,0,0,3898934,0,4779 52,3,2024-09-07 08:20:40:680,1,54,1,1,1782,2364,54,0 53,0,2024-09-07 08:20:41:745,15493,3.0,14940,1.9,30846,4.1,41414,3.25 53,1,2024-09-07 08:20:40:771,94279,94279,0,0,44622434510,475746148,91677,2028,574,370,391617,0 53,2,2024-09-07 08:20:41:313,71597,71597,0,0,3444050,0,1902 53,3,2024-09-07 08:20:40:702,1,54,1,0,59,353,54,0 54,0,2024-09-07 08:20:41:635,10097,10.0,10199,5.6,20142,2.5,28049,5.25 54,1,2024-09-07 08:20:40:590,94389,94389,0,0,44338734243,469133048,92281,1792,316,367,391520,0 54,2,2024-09-07 08:20:40:880,67312,67306,6,0,4036201,0,5382 54,3,2024-09-07 08:20:40:763,1,54,47,0,676,1472,54,0 55,0,2024-09-07 08:20:41:779,9722,0.4,10022,0.7,20429,0.2,26606,2.00 55,1,2024-09-07 08:20:40:765,94122,94122,0,0,44190010889,471127217,90697,2723,702,367,391731,0 55,2,2024-09-07 08:20:40:733,66487,66487,0,0,3879381,0,3275 55,3,2024-09-07 08:20:40:673,1,54,3,0,136,663,54,0 56,0,2024-09-07 08:20:41:594,15426,2.2,14622,1.6,29951,3.5,40738,2.75 56,1,2024-09-07 08:20:40:675,94029,94029,0,0,44787140674,484416130,90651,2632,746,383,391536,0 56,2,2024-09-07 08:20:41:317,68705,68705,0,0,4630323,0,3567 56,3,2024-09-07 08:20:41:062,1,54,2,1,297,846,54,0 57,0,2024-09-07 08:20:40:976,16620,4.1,16287,2.3,32954,6.2,44325,4.75 57,1,2024-09-07 08:20:41:011,94136,94136,0,0,43721299156,463202780,92667,1450,19,368,391760,0 57,2,2024-09-07 08:20:41:322,70152,70152,0,0,4631299,0,3178 57,3,2024-09-07 08:20:41:738,1,54,2,1,359,1406,54,0 58,0,2024-09-07 08:20:40:568,11809,1.0,11484,1.2,24007,0.9,31540,3.00 58,1,2024-09-07 08:20:40:700,95308,95306,0,2,44816962246,472174405,93711,1436,159,369,391516,2 58,2,2024-09-07 08:20:41:080,66658,66658,0,0,4339983,0,2549 58,3,2024-09-07 08:20:41:070,1,54,1,1,219,752,54,0 59,0,2024-09-07 08:20:41:752,11230,0.7,11081,0.9,22378,0.6,28860,2.25 59,1,2024-09-07 08:20:40:804,94073,94073,0,0,44088196030,470896645,91828,1478,767,369,391515,0 59,2,2024-09-07 08:20:40:694,67441,67441,0,0,3804276,0,2604 59,3,2024-09-07 08:20:41:739,1,54,4,0,1015,1609,54,0 60,0,2024-09-07 08:20:41:817,12761,0.7,12906,0.8,25486,0.9,34264,1.75 60,1,2024-09-07 08:20:40:796,95455,95455,0,0,44313012065,465714718,94386,725,344,370,391712,0 60,2,2024-09-07 08:20:41:154,68724,68724,0,0,3526402,0,2142 60,3,2024-09-07 08:20:41:268,1,54,11,0,124,780,54,0 61,0,2024-09-07 08:20:41:517,17828,3.2,17795,1.8,35554,4.6,47708,2.50 61,1,2024-09-07 08:20:40:770,94289,94289,0,0,44482852485,475933341,91740,2015,534,383,391589,0 61,2,2024-09-07 08:20:41:120,68736,68736,0,0,3616416,0,1846 61,3,2024-09-07 08:20:41:708,1,54,10,1,199,998,54,0 62,0,2024-09-07 08:20:41:718,15206,2.1,15497,1.4,29806,4.1,40473,2.25 62,1,2024-09-07 08:20:41:112,95195,95189,0,6,45073628717,471401754,93938,1214,37,366,391586,6 62,2,2024-09-07 08:20:41:646,67488,67488,0,0,4192725,0,2931 62,3,2024-09-07 08:20:41:147,1,54,1,0,287,601,54,0 63,0,2024-09-07 08:20:41:494,10404,0.4,10405,0.7,20893,0.3,27865,2.00 63,1,2024-09-07 08:20:40:805,95472,95468,0,4,44836925328,466758582,95070,397,1,381,391540,4 63,2,2024-09-07 08:20:40:765,67671,67671,0,0,3707213,0,2674 63,3,2024-09-07 08:20:41:732,1,54,0,0,667,1753,54,0 64,0,2024-09-07 08:20:41:533,10675,0.4,10680,0.7,21369,0.3,28657,1.75 64,1,2024-09-07 08:20:40:756,94750,94750,0,0,44231597065,473052051,92318,1639,793,371,391604,0 64,2,2024-09-07 08:20:41:143,67874,67855,19,0,4128796,0,6121 64,3,2024-09-07 08:20:41:145,1,54,1,1,163,686,54,0 65,0,2024-09-07 08:20:41:710,16483,2.8,16716,1.7,33282,4.3,44993,4.00 65,1,2024-09-07 08:20:40:864,94423,94423,0,0,43331550368,460569989,92720,1484,219,382,391569,0 65,2,2024-09-07 08:20:41:701,67563,67563,0,0,4119653,0,3367 65,3,2024-09-07 08:20:41:688,1,54,5,1,163,790,54,0 66,0,2024-09-07 08:20:41:828,15430,1.7,15625,1.4,31426,3.1,42186,3.50 66,1,2024-09-07 08:20:41:299,95402,95402,0,0,44697023502,467164823,94716,666,20,381,391537,0 66,2,2024-09-07 08:20:41:134,70576,70576,0,0,3962017,0,3867 66,3,2024-09-07 08:20:41:086,1,54,1,1,291,880,54,0 67,0,2024-09-07 08:20:41:449,9538,0.6,9569,0.8,18866,0.6,24935,2.25 67,1,2024-09-07 08:20:40:766,94351,94350,0,1,44188342436,470323419,92355,1470,525,382,391787,1 67,2,2024-09-07 08:20:40:695,67746,67746,0,0,3540290,0,2889 67,3,2024-09-07 08:20:41:754,1,54,1,0,138,603,54,0 68,0,2024-09-07 08:20:40:608,9956,0.4,9892,0.7,19862,0.2,26345,2.00 68,1,2024-09-07 08:20:40:682,94298,94298,0,0,44487734949,471446277,92905,871,522,383,391953,0 68,2,2024-09-07 08:20:41:059,66863,66863,0,0,3458560,0,4883 68,3,2024-09-07 08:20:40:738,1,54,3,1,63,552,54,0 69,0,2024-09-07 08:20:41:785,16123,1.4,16161,1.2,32186,1.9,43620,2.75 69,1,2024-09-07 08:20:41:028,93980,93980,0,0,44254739024,475294414,92037,1078,865,386,391530,0 69,2,2024-09-07 08:20:41:779,67881,67881,0,0,3931673,0,3153 69,3,2024-09-07 08:20:40:760,1,54,1,0,238,924,54,0 70,0,2024-09-07 08:20:41:549,16168,4.2,16110,3.1,32553,4.1,43147,5.50 70,1,2024-09-07 08:20:40:800,94962,94962,0,0,44791450203,467977974,94051,777,134,369,391527,0 70,2,2024-09-07 08:20:41:338,70474,70474,0,0,4055957,0,4044 70,3,2024-09-07 08:20:40:749,1,54,1,0,178,429,54,0 71,0,2024-09-07 08:20:41:408,10894,2.6,10861,5.1,21400,4.1,29533,4.75 71,1,2024-09-07 08:20:41:608,94381,94381,0,0,44527174109,471676561,92196,1869,316,368,391682,0 71,2,2024-09-07 08:20:41:071,66686,66686,0,0,3450278,0,2146 71,3,2024-09-07 08:20:41:756,1,54,43,0,174,545,54,0 72,0,2024-09-07 08:20:41:081,10947,0.4,10563,0.7,21037,0.3,27990,2.75 72,1,2024-09-07 08:20:41:043,95026,95026,0,0,44505867023,469071910,93487,1463,76,370,391556,0 72,2,2024-09-07 08:20:41:778,66327,66327,0,0,4987898,0,2570 72,3,2024-09-07 08:20:41:758,1,54,9,1,325,1223,54,0 73,0,2024-09-07 08:20:41:204,13630,0.6,13922,0.8,28269,0.6,37669,2.50 73,1,2024-09-07 08:20:40:787,94735,94735,0,0,44567451517,468338958,93777,915,43,368,391627,0 73,2,2024-09-07 08:20:41:761,69116,69116,0,0,4533693,0,3482 73,3,2024-09-07 08:20:40:977,1,54,16,0,274,1208,54,0 74,0,2024-09-07 08:20:41:333,17850,2.2,18190,1.6,35055,3.7,47454,4.00 74,1,2024-09-07 08:20:40:675,94544,94544,0,0,44089553997,467185212,92651,1321,572,382,391497,0 74,2,2024-09-07 08:20:41:005,68669,68669,0,0,4839352,0,4253 74,3,2024-09-07 08:20:41:458,1,54,2,0,246,1267,54,0 75,0,2024-09-07 08:20:41:789,13814,3.2,13797,1.9,27479,5.2,36982,3.50 75,1,2024-09-07 08:20:41:587,94912,94912,0,0,43933596691,465907287,93229,1579,104,382,391514,0 75,2,2024-09-07 08:20:41:355,66784,66784,0,0,4633592,0,4766 75,3,2024-09-07 08:20:41:070,1,54,4,0,143,389,54,0 76,0,2024-09-07 08:20:40:788,11106,0.3,11008,0.6,22082,0.2,29201,2.00 76,1,2024-09-07 08:20:40:808,94472,94472,0,0,43805658794,466406140,92669,1297,506,382,391530,0 76,2,2024-09-07 08:20:41:062,67705,67705,0,0,3718763,0,3064 76,3,2024-09-07 08:20:41:141,1,54,1,0,175,953,54,0 77,0,2024-09-07 08:20:41:784,11629,1.3,11660,1.1,23364,2.1,31482,2.25 77,1,2024-09-07 08:20:40:831,94455,94455,0,0,44285979926,466627112,93426,960,69,383,391516,0 77,2,2024-09-07 08:20:41:285,68794,68794,0,0,3184577,0,1695 77,3,2024-09-07 08:20:41:101,1,54,1,0,139,611,54,0 78,0,2024-09-07 08:20:41:781,17671,1.6,17611,1.3,35397,2.4,46945,2.50 78,1,2024-09-07 08:20:40:675,95239,95239,0,0,44065488569,465085425,93823,1212,204,368,391589,0 78,2,2024-09-07 08:20:41:409,67998,67998,0,0,3254813,0,2114 78,3,2024-09-07 08:20:41:133,1,54,1,0,181,976,54,0 79,0,2024-09-07 08:20:41:356,14812,1.5,15180,1.3,30918,2.8,40838,3.75 79,1,2024-09-07 08:20:40:573,94821,94821,0,0,44625075636,469256918,93485,1267,69,370,391609,0 79,2,2024-09-07 08:20:41:071,68733,68733,0,0,3723741,0,2679 79,3,2024-09-07 08:20:40:749,1,54,2,0,289,802,54,0 80,0,2024-09-07 08:20:41:155,9678,0.4,9985,0.7,19282,0.3,25795,2.00 80,1,2024-09-07 08:20:41:633,94166,94166,0,0,44524120314,469214261,92583,1468,115,369,391673,0 80,2,2024-09-07 08:20:41:105,67722,67722,0,0,3969946,0,4433 80,3,2024-09-07 08:20:40:705,1,54,11,1,190,1163,54,0 81,0,2024-09-07 08:20:41:582,10213,0.5,10432,0.7,20058,0.3,27302,2.00 81,1,2024-09-07 08:20:41:666,94465,94465,0,0,44066057327,468162362,92584,1684,197,383,391646,0 81,2,2024-09-07 08:20:41:148,66781,66781,0,0,4173632,0,3993 81,3,2024-09-07 08:20:41:122,1,54,2,0,193,741,54,0 82,0,2024-09-07 08:20:41:566,16476,1.1,16589,1.2,33029,1.4,44292,2.25 82,1,2024-09-07 08:20:40:695,94249,94248,0,1,44394688296,470496349,92213,1463,572,383,391558,1 82,2,2024-09-07 08:20:41:702,68135,68135,0,0,3153990,0,2230 82,3,2024-09-07 08:20:41:758,1,54,2,0,211,912,54,0 83,0,2024-09-07 08:20:41:602,15547,2.2,15502,1.7,30681,2.5,41090,3.50 83,1,2024-09-07 08:20:40:553,94607,94607,0,0,44026588609,465989046,93198,1309,100,383,391553,0 83,2,2024-09-07 08:20:40:765,70249,70249,0,0,4212061,0,3119 83,3,2024-09-07 08:20:40:749,1,54,0,0,91,514,54,0 84,0,2024-09-07 08:20:41:792,10467,6.2,10332,5.9,20811,1.8,28622,3.50 84,1,2024-09-07 08:20:41:072,94460,94460,0,0,43884231963,465723194,92889,1324,247,369,391630,0 84,2,2024-09-07 08:20:40:676,66651,66651,0,0,3884614,0,3801 84,3,2024-09-07 08:20:41:141,1,54,4,1,43,604,54,0 85,0,2024-09-07 08:20:41:058,9754,0.4,9761,0.6,20651,0.3,26691,2.00 85,1,2024-09-07 08:20:40:561,94009,94009,0,0,44798745607,480004399,91574,2008,427,384,391626,0 85,2,2024-09-07 08:20:40:872,66347,66347,0,0,4215367,0,3656 85,3,2024-09-07 08:20:40:696,1,54,11,0,115,591,54,0 86,0,2024-09-07 08:20:40:884,14962,0.9,15587,0.8,30152,1.1,40762,2.00 86,1,2024-09-07 08:20:40:829,94270,94270,0,0,44748169357,473499067,92496,1559,215,367,391622,0 86,2,2024-09-07 08:20:40:863,68197,68196,1,0,4425519,0,5004 86,3,2024-09-07 08:20:40:680,1,54,2,0,199,792,54,0 87,0,2024-09-07 08:20:41:303,16791,3.4,16738,2.0,33781,4.4,45366,3.50 87,1,2024-09-07 08:20:40:550,93985,93985,0,0,44415698830,470463930,92416,1449,120,368,391671,0 87,2,2024-09-07 08:20:41:081,70236,70236,0,0,3456378,0,2859 87,3,2024-09-07 08:20:41:803,1,54,1,1,322,1067,54,0 88,0,2024-09-07 08:20:41:466,12569,1.1,12701,1.1,25061,1.2,33452,2.00 88,1,2024-09-07 08:20:40:685,94112,94112,0,0,43970658152,465098375,92915,1102,95,367,391747,0 88,2,2024-09-07 08:20:40:694,66902,66902,0,0,4567496,0,3583 88,3,2024-09-07 08:20:41:270,1,54,2,1,77,743,54,0 89,0,2024-09-07 08:20:41:804,11529,0.3,11107,0.6,22102,0.3,29213,1.75 89,1,2024-09-07 08:20:40:562,93609,93609,0,0,44297557864,479471103,90395,2481,733,383,391866,0 89,2,2024-09-07 08:20:41:153,67012,67012,0,0,4287386,0,2726 89,3,2024-09-07 08:20:41:800,1,54,1,0,325,1600,54,0 90,0,2024-09-07 08:20:41:653,12409,0.7,12642,0.8,26048,0.8,34215,2.00 90,1,2024-09-07 08:20:40:680,94482,94482,0,0,44283152627,473534629,92443,1900,139,382,391825,0 90,2,2024-09-07 08:20:41:407,68037,68037,0,0,4988424,0,2635 90,3,2024-09-07 08:20:40:930,1,54,1,1,200,877,54,0 91,0,2024-09-07 08:20:40:934,18260,0.8,17622,0.9,36758,0.9,48005,2.00 91,1,2024-09-07 08:20:40:558,94396,94396,0,0,44856355539,479027898,92058,1977,361,384,391914,0 91,2,2024-09-07 08:20:41:338,67890,67890,0,0,3991785,0,1997 91,3,2024-09-07 08:20:40:678,1,54,2,1,155,740,54,0 92,0,2024-09-07 08:20:41:476,15221,1.5,15639,1.2,30072,2.9,40509,2.00 92,1,2024-09-07 08:20:40:619,94684,94684,0,0,44575207057,468764548,94046,572,66,383,391717,0 92,2,2024-09-07 08:20:41:370,68823,68823,0,0,3949587,0,2279 92,3,2024-09-07 08:20:41:015,1,54,7,1,68,706,54,0 93,0,2024-09-07 08:20:41:056,10423,0.3,10642,0.6,20255,0.2,27734,1.75 93,1,2024-09-07 08:20:40:806,94354,94354,0,0,44638095467,473702032,92603,1317,434,367,391689,0 93,2,2024-09-07 08:20:40:945,68145,68145,0,0,3618488,0,2509 93,3,2024-09-07 08:20:41:418,1,54,5,1,143,612,54,0 94,0,2024-09-07 08:20:41:640,10778,0.3,10925,0.5,21297,0.3,28659,1.75 94,1,2024-09-07 08:20:40:578,94277,94277,0,0,44616938125,474542298,92707,1506,64,381,391850,0 94,2,2024-09-07 08:20:40:766,67313,67313,0,0,3625984,0,2443 94,3,2024-09-07 08:20:41:694,1,54,8,1,231,1193,54,0 95,0,2024-09-07 08:20:41:357,16849,0.5,16762,0.7,34147,0.5,45719,1.75 95,1,2024-09-07 08:20:40:864,94666,94666,0,0,44256937496,465151857,93878,724,64,367,391590,0 95,2,2024-09-07 08:20:41:016,67503,67503,0,0,3809447,0,3308 95,3,2024-09-07 08:20:41:715,1,54,3,0,307,1183,54,0 96,0,2024-09-07 08:20:41:124,16080,1.5,16013,1.1,32088,2.3,42624,2.00 96,1,2024-09-07 08:20:41:603,94639,94639,0,0,44885358921,478012770,92809,1260,570,385,391596,0 96,2,2024-09-07 08:20:41:298,70020,70020,0,0,4437316,0,4038 96,3,2024-09-07 08:20:41:141,1,54,1,1,36,639,54,0 97,0,2024-09-07 08:20:41:324,9565,0.5,9467,0.7,18920,0.5,25046,1.75 97,1,2024-09-07 08:20:40:767,94189,94189,0,0,44936642582,476400481,92010,1662,517,367,391626,0 97,2,2024-09-07 08:20:40:696,67068,67068,0,0,3573832,0,3036 97,3,2024-09-07 08:20:40:673,1,54,14,1,165,724,54,0 98,0,2024-09-07 08:20:41:740,9956,0.2,9859,0.4,20090,0.2,26471,1.50 98,1,2024-09-07 08:20:40:674,94480,94480,0,0,44441968160,469150999,93417,1018,45,382,391588,0 98,2,2024-09-07 08:20:40:771,67227,67227,0,0,3774527,0,3080 98,3,2024-09-07 08:20:40:697,1,54,6,1,155,675,54,0 99,0,2024-09-07 08:20:41:459,16234,0.4,16150,0.6,32504,0.4,43558,1.75 99,1,2024-09-07 08:20:41:894,94906,94906,0,0,44234031240,467590735,93435,1031,440,381,391744,0 99,2,2024-09-07 08:20:41:418,68692,68692,0,0,3776090,0,1858 99,3,2024-09-07 08:20:40:699,1,54,1,0,129,610,54,0 100,0,2024-09-07 08:20:41:477,16400,2.8,16373,3.1,32701,5.3,43973,3.00 100,1,2024-09-07 08:20:40:605,93995,93995,0,0,43586915467,470323007,91317,2334,344,382,391585,0 100,2,2024-09-07 08:20:41:820,70094,70083,11,0,4935768,0,5417 100,3,2024-09-07 08:20:41:732,1,54,1,1,443,1632,54,0 101,0,2024-09-07 08:20:41:732,11401,5.5,11060,3.0,21860,2.8,30498,3.25 101,1,2024-09-07 08:20:40:728,94187,94187,0,0,44473018231,471987838,92728,1010,449,369,391709,0 101,2,2024-09-07 08:20:41:758,66613,66613,0,0,4109675,0,4644 101,3,2024-09-07 08:20:40:950,1,54,2,1,448,919,54,0 102,0,2024-09-07 08:20:40:950,10397,0.5,10733,0.6,21638,0.3,27815,2.00 102,1,2024-09-07 08:20:41:143,94548,94548,0,0,44319697085,472525353,92372,1731,445,369,391647,0 102,2,2024-09-07 08:20:41:747,67188,67188,0,0,3222391,0,1945 102,3,2024-09-07 08:20:41:614,1,54,1,0,410,768,54,0 103,0,2024-09-07 08:20:41:665,14292,0.6,14237,0.8,26962,0.7,37987,2.00 103,1,2024-09-07 08:20:41:630,94321,94321,0,0,44355919846,469074666,93129,1050,142,381,391680,0 103,2,2024-09-07 08:20:40:698,68789,68789,0,0,3618005,0,2104 103,3,2024-09-07 08:20:40:756,1,54,2,1,486,994,54,0 104,0,2024-09-07 08:20:41:086,17779,2.8,17811,1.7,34538,4.1,47483,3.00 104,1,2024-09-07 08:20:41:600,95064,95064,0,0,44428970958,473979531,93168,1571,325,368,391948,0 104,2,2024-09-07 08:20:41:675,67979,67979,0,0,4419230,0,3941 104,3,2024-09-07 08:20:41:418,1,54,2,1,1245,3513,54,0 105,0,2024-09-07 08:20:41:074,13674,2.3,13121,1.9,27259,4.0,36676,4.00 105,1,2024-09-07 08:20:40:558,94412,94412,0,0,44274866618,475368972,91473,2194,745,367,391797,0 105,2,2024-09-07 08:20:41:338,66684,66684,0,0,4080563,0,3314 105,3,2024-09-07 08:20:41:304,1,54,2,1,182,1127,54,0 106,0,2024-09-07 08:20:40:948,10772,0.3,11069,0.6,22360,0.2,29355,1.75 106,1,2024-09-07 08:20:41:753,94743,94743,0,0,44682990891,477071662,92345,2072,326,371,391767,0 106,2,2024-09-07 08:20:40:756,67232,67232,0,0,4191788,0,2795 106,3,2024-09-07 08:20:40:677,1,54,31,1,201,810,54,0 107,0,2024-09-07 08:20:41:122,11805,0.7,11693,0.8,23304,0.8,31410,2.00 107,1,2024-09-07 08:20:40:686,94218,94218,0,0,44243677127,472392388,92437,1610,171,382,392234,0 107,2,2024-09-07 08:20:41:292,68508,68507,1,0,4048794,0,5024 107,3,2024-09-07 08:20:41:762,1,54,6,0,353,1173,54,0 108,0,2024-09-07 08:20:41:919,17683,0.8,17917,0.8,35544,0.9,47106,1.75 108,1,2024-09-07 08:20:41:294,94331,94331,0,0,45013245915,476251207,92911,1287,133,371,391857,0 108,2,2024-09-07 08:20:41:792,67698,67698,0,0,3926375,0,2647 108,3,2024-09-07 08:20:41:343,1,54,5,1,60,767,54,0 109,0,2024-09-07 08:20:41:779,15564,1.1,15665,1.0,30721,1.5,41751,2.00 109,1,2024-09-07 08:20:40:681,94230,94230,0,0,44640739058,476034010,92629,1218,383,385,391664,0 109,2,2024-09-07 08:20:40:937,68087,68087,0,0,4296756,0,3617 109,3,2024-09-07 08:20:41:140,1,54,1,1,249,1089,54,0 110,0,2024-09-07 08:20:41:826,9814,0.3,9532,0.5,19889,0.2,25827,1.50 110,1,2024-09-07 08:20:41:731,94656,94656,0,0,44381426077,467356468,93346,1027,283,370,391588,0 110,2,2024-09-07 08:20:41:303,68257,68257,0,0,3596181,0,2915 110,3,2024-09-07 08:20:40:694,1,54,1,0,183,665,54,0 111,0,2024-09-07 08:20:41:437,10005,0.2,10095,0.4,19946,0.1,27272,1.50 111,1,2024-09-07 08:20:41:007,95202,95202,0,0,45135413589,470658891,94559,630,13,382,391690,0 111,2,2024-09-07 08:20:41:128,67250,67250,0,0,3763642,0,2763 111,3,2024-09-07 08:20:40:931,1,54,1,0,119,1031,54,0 112,0,2024-09-07 08:20:40:944,16674,0.5,16794,0.6,33336,0.4,44641,1.75 112,1,2024-09-07 08:20:40:833,94461,94461,0,0,44782942684,472997598,92954,1161,346,382,391580,0 112,2,2024-09-07 08:20:41:138,67534,67533,1,0,4157069,0,5036 112,3,2024-09-07 08:20:40:682,1,54,1,1,282,786,54,0 113,0,2024-09-07 08:20:40:895,15726,0.8,15576,0.9,31647,0.8,42297,1.75 113,1,2024-09-07 08:20:41:696,94741,94741,0,0,44922138434,473185797,92853,1504,384,368,391661,0 113,2,2024-09-07 08:20:41:303,70857,70857,0,0,3449030,0,3021 113,3,2024-09-07 08:20:40:685,1,54,1,1,288,1081,54,0 114,0,2024-09-07 08:20:40:917,10799,4.6,10883,3.2,21448,1.7,29047,2.25 114,1,2024-09-07 08:20:40:716,94426,94426,0,0,44778601364,478306065,91820,1725,881,381,391513,0 114,2,2024-09-07 08:20:40:881,66813,66813,0,0,3951834,0,3925 114,3,2024-09-07 08:20:41:278,1,54,1,1,159,701,54,0 115,0,2024-09-07 08:20:40:569,9984,0.2,10092,0.4,20139,0.1,26802,1.50 115,1,2024-09-07 08:20:40:673,94741,94741,0,0,44593540483,473649853,92369,1885,487,383,391506,0 115,2,2024-09-07 08:20:41:126,67136,67136,0,0,3258407,0,2152 115,3,2024-09-07 08:20:41:007,1,54,1,0,159,453,54,0 116,0,2024-09-07 08:20:41:768,15090,1.2,15038,1.1,30187,1.7,40751,2.00 116,1,2024-09-07 08:20:40:810,93782,93782,0,0,44090235354,473590112,91915,997,870,382,391580,0 116,2,2024-09-07 08:20:41:774,68242,68242,0,0,4097656,0,3529 116,3,2024-09-07 08:20:40:932,1,54,32,1,252,1196,54,0 117,0,2024-09-07 08:20:40:998,16947,2.9,17013,1.7,33983,4.1,45487,2.25 117,1,2024-09-07 08:20:41:579,94720,94720,0,0,43829295655,467328777,92648,1782,290,371,392033,0 117,2,2024-09-07 08:20:41:122,70278,70278,0,0,3731511,0,3700 117,3,2024-09-07 08:20:41:081,1,54,1,0,490,1593,54,0 118,0,2024-09-07 08:20:41:808,12161,1.1,12411,1.1,25473,1.4,33362,2.25 118,1,2024-09-07 08:20:40:691,94699,94699,0,0,44234305224,468413118,93485,1136,78,368,391736,0 118,2,2024-09-07 08:20:41:598,66898,66898,0,0,3979428,0,2781 118,3,2024-09-07 08:20:41:780,1,54,4,1,235,1072,54,0 119,0,2024-09-07 08:20:41:361,10955,0.3,11006,0.5,22565,0.2,29147,1.75 119,1,2024-09-07 08:20:40:552,94714,94714,0,0,44725030684,473762422,93115,1451,148,371,391617,0 119,2,2024-09-07 08:20:41:265,66723,66723,0,0,3620921,0,2532 119,3,2024-09-07 08:20:41:337,1,54,2,1,443,2024,54,0 120,0,2024-09-07 08:20:41:561,12749,1.6,12542,1.3,25225,3.2,34220,2.50 120,1,2024-09-07 08:20:40:877,94546,94546,0,0,44075769124,469549387,93054,1477,15,368,391702,0 120,2,2024-09-07 08:20:40:772,68198,68197,1,0,4878821,0,5281 120,3,2024-09-07 08:20:41:291,1,54,3,1,241,943,54,0 121,0,2024-09-07 08:20:41:738,17797,2.9,17903,1.7,35836,4.1,48062,2.75 121,1,2024-09-07 08:20:41:663,94399,94399,0,0,44285652151,470679948,92540,1593,266,368,391807,0 121,2,2024-09-07 08:20:41:132,67247,67247,0,0,5205150,0,4127 121,3,2024-09-07 08:20:40:730,1,54,10,0,101,607,54,0 122,0,2024-09-07 08:20:41:837,15060,2.7,14517,2.3,30244,3.1,40808,2.50 122,1,2024-09-07 08:20:40:898,93476,93476,0,0,43878296552,472522496,90484,2469,523,368,392130,0 122,2,2024-09-07 08:20:41:334,67809,67809,0,0,5279385,0,3364 122,3,2024-09-07 08:20:40:711,1,54,9,1,226,1340,54,0 123,0,2024-09-07 08:20:40:989,10452,0.3,10134,0.6,21010,0.2,27737,1.75 123,1,2024-09-07 08:20:40:584,94296,94296,0,0,44128832849,476215091,90887,2842,567,369,391823,0 123,2,2024-09-07 08:20:41:023,67675,67674,1,0,4220623,0,5215 123,3,2024-09-07 08:20:41:170,1,54,1,1,160,848,54,0 124,0,2024-09-07 08:20:40:970,11003,0.3,11010,0.6,20740,0.2,28734,1.75 124,1,2024-09-07 08:20:41:036,95147,95147,0,0,44586901908,466985267,94358,646,143,367,392178,0 124,2,2024-09-07 08:20:41:013,67184,67184,0,0,3366038,0,2477 124,3,2024-09-07 08:20:40:758,1,54,13,1,490,1479,54,0 125,0,2024-09-07 08:20:41:495,16957,0.8,16999,0.8,34076,0.9,45721,2.00 125,1,2024-09-07 08:20:40:874,94381,94381,0,0,44078148144,467794346,92652,1457,272,384,391702,0 125,2,2024-09-07 08:20:41:125,67383,67383,0,0,3740086,0,2180 125,3,2024-09-07 08:20:41:133,1,54,11,1,93,925,54,0 126,0,2024-09-07 08:20:41:471,16090,1.6,16539,1.2,31641,2.5,42960,2.00 126,1,2024-09-07 08:20:40:572,94975,94975,0,0,44609091803,468316288,93935,988,52,365,391719,0 126,2,2024-09-07 08:20:40:798,70271,70271,0,0,4061755,0,3186 126,3,2024-09-07 08:20:40:949,1,54,6,0,122,852,54,0 127,0,2024-09-07 08:20:41:637,9641,0.3,9535,0.5,18982,0.2,24971,1.75 127,1,2024-09-07 08:20:40:689,94630,94630,0,0,44642310072,467894410,93498,1109,23,365,391614,0 127,2,2024-09-07 08:20:40:682,67543,67543,0,0,3245042,0,1803 127,3,2024-09-07 08:20:41:286,1,54,3,0,99,565,54,0 128,0,2024-09-07 08:20:41:561,9941,0.3,10057,0.5,19666,0.2,26432,1.50 128,1,2024-09-07 08:20:41:615,94757,94757,0,0,44651701360,466907687,94052,636,69,369,391605,0 128,2,2024-09-07 08:20:41:394,66399,66399,0,0,3993684,0,2107 128,3,2024-09-07 08:20:40:766,1,54,1,1,112,736,54,0 129,0,2024-09-07 08:20:41:089,16359,0.5,16374,0.7,32483,0.6,43608,1.75 129,1,2024-09-07 08:20:40:675,94340,94340,0,0,44124647556,468657783,92527,1541,272,379,391835,0 129,2,2024-09-07 08:20:40:689,67466,67466,0,0,3489153,0,2446 129,3,2024-09-07 08:20:40:694,1,54,60,1,173,945,54,0 130,0,2024-09-07 08:20:41:769,16702,1.7,16596,1.3,33267,2.4,44600,2.25 130,1,2024-09-07 08:20:40:707,94902,94902,0,0,44349122014,468603554,93598,1274,30,381,391520,0 130,2,2024-09-07 08:20:41:138,70721,70721,0,0,4209780,0,4067 130,3,2024-09-07 08:20:41:294,1,54,18,1,207,597,54,0 131,0,2024-09-07 08:20:41:993,11442,1.5,11260,1.2,22976,2.1,30465,2.00 131,1,2024-09-07 08:20:41:821,95047,95047,0,0,44518828193,469071776,94041,820,186,385,391865,0 131,2,2024-09-07 08:20:40:680,66421,66421,0,0,3340390,0,2415 131,3,2024-09-07 08:20:41:714,1,54,1,0,392,991,54,0 132,0,2024-09-07 08:20:41:507,10516,0.4,10859,0.7,21278,0.3,27998,1.75 132,1,2024-09-07 08:20:40:721,93902,93902,0,0,44446172813,476159395,91342,1855,705,382,391574,0 132,2,2024-09-07 08:20:40:701,66774,66774,0,0,5224253,0,4606 132,3,2024-09-07 08:20:41:708,1,54,2,1,356,941,54,0 133,0,2024-09-07 08:20:41:559,13606,0.7,13807,0.8,28660,0.7,37439,2.00 133,1,2024-09-07 08:20:40:693,93852,93852,0,0,44474460037,475587943,91739,2020,93,383,391755,0 133,2,2024-09-07 08:20:41:102,69150,69150,0,0,4239518,0,2444 133,3,2024-09-07 08:20:41:300,1,54,2,1,187,516,54,0 134,0,2024-09-07 08:20:41:008,17954,1.4,17915,1.3,35995,2.3,47649,2.25 134,1,2024-09-07 08:20:40:720,94528,94528,0,0,44395699460,474529975,91917,1631,980,366,391718,0 134,2,2024-09-07 08:20:41:764,68731,68731,0,0,3455297,0,2026 134,3,2024-09-07 08:20:40:760,1,54,50,1,739,1693,54,0 135,0,2024-09-07 08:20:41:167,13443,2.1,13488,1.9,28429,2.4,36629,2.50 135,1,2024-09-07 08:20:41:671,93758,93758,0,0,44657881128,474238598,91849,1584,325,383,391645,0 135,2,2024-09-07 08:20:40:750,67659,67659,0,0,4115412,0,3981 135,3,2024-09-07 08:20:41:031,1,54,1,0,68,427,54,0 136,0,2024-09-07 08:20:41:629,11190,0.4,11103,0.7,22107,0.3,29536,2.00 136,1,2024-09-07 08:20:41:464,94793,94793,0,0,44114043354,469548019,92907,1741,145,384,391518,0 136,2,2024-09-07 08:20:41:134,68213,68213,0,0,3764814,0,2379 136,3,2024-09-07 08:20:41:115,1,54,4,1,108,763,54,0 137,0,2024-09-07 08:20:40:998,11948,1.3,11694,1.2,23152,1.5,31491,2.25 137,1,2024-09-07 08:20:40:721,94406,94406,0,0,44863416899,476416917,92442,1851,113,368,391608,0 137,2,2024-09-07 08:20:41:708,67683,67683,0,0,4666186,0,2632 137,3,2024-09-07 08:20:40:793,1,54,9,1,227,867,54,0 138,0,2024-09-07 08:20:41:842,17182,3.1,17367,1.8,35180,4.0,46942,3.25 138,1,2024-09-07 08:20:41:690,93884,93884,0,0,44773120296,477011553,91572,2052,260,371,391615,0 138,2,2024-09-07 08:20:40:701,68453,68453,0,0,3962347,0,3263 138,3,2024-09-07 08:20:40:687,1,54,2,1,1160,1723,54,0 139,0,2024-09-07 08:20:41:514,14949,5.0,15009,2.8,30229,5.8,41149,4.25 139,1,2024-09-07 08:20:40:676,93962,93962,0,0,44321629659,480361895,91086,2149,727,381,391892,0 139,2,2024-09-07 08:20:40:698,68242,68242,0,0,4941169,0,3097 139,3,2024-09-07 08:20:41:678,1,54,13,1,244,1199,54,0 140,0,2024-09-07 08:20:41:601,9766,0.3,9614,0.6,19473,0.2,25879,1.75 140,1,2024-09-07 08:20:41:541,95408,95408,0,0,44987925334,469756184,94481,730,197,365,391483,0 140,2,2024-09-07 08:20:40:690,68073,68073,0,0,4163598,0,3388 140,3,2024-09-07 08:20:40:767,1,54,1,1,25,495,54,0 141,0,2024-09-07 08:20:41:733,9790,0.2,10275,0.4,19864,0.1,27240,1.50 141,1,2024-09-07 08:20:40:864,95297,95297,0,0,44576360519,469497483,93878,1088,331,382,391538,0 141,2,2024-09-07 08:20:41:686,67652,67652,0,0,3696834,0,2342 141,3,2024-09-07 08:20:41:044,1,54,2,0,147,484,54,0 142,0,2024-09-07 08:20:41:375,17002,0.5,16768,0.7,33222,0.5,44593,1.75 142,1,2024-09-07 08:20:40:705,94989,94989,0,0,44848139123,470636833,94443,531,15,384,391649,0 142,2,2024-09-07 08:20:41:304,66823,66823,0,0,3972828,0,2293 142,3,2024-09-07 08:20:41:760,1,54,3,1,484,1134,54,0 143,0,2024-09-07 08:20:41:393,15670,1.4,15727,1.1,31679,1.8,42125,2.25 143,1,2024-09-07 08:20:40:559,95060,95060,0,0,44636474761,467515484,94095,940,25,367,391608,0 143,2,2024-09-07 08:20:40:803,70381,70381,0,0,4396717,0,2669 143,3,2024-09-07 08:20:41:150,1,54,1,1,236,1032,54,0 144,0,2024-09-07 08:20:41:534,10241,2.5,10671,7.4,21301,2.3,28899,2.50 144,1,2024-09-07 08:20:40:604,94218,94218,0,0,44145274888,469744434,92656,1373,189,383,391638,0 144,2,2024-09-07 08:20:41:764,66873,66873,0,0,3692515,0,3473 144,3,2024-09-07 08:20:41:746,1,54,3,1,169,1118,54,0 145,0,2024-09-07 08:20:41:488,9721,0.4,9638,0.7,20416,0.3,26705,2.00 145,1,2024-09-07 08:20:40:565,93724,93724,0,0,45089274206,479460165,91808,1655,261,383,391615,0 145,2,2024-09-07 08:20:41:473,66473,66473,0,0,4318162,0,3903 145,3,2024-09-07 08:20:40:916,1,54,3,0,151,841,54,0 146,0,2024-09-07 08:20:41:622,15010,1.1,15051,1.1,30362,1.7,40625,2.25 146,1,2024-09-07 08:20:41:602,94716,94716,0,0,44653638126,475995178,92429,1986,301,370,391600,0 146,2,2024-09-07 08:20:41:705,68041,68041,0,0,4094160,0,2379 146,3,2024-09-07 08:20:41:276,1,54,31,0,1520,2922,54,0 147,0,2024-09-07 08:20:41:832,16922,3.5,16660,2.0,33123,5.0,45573,3.50 147,1,2024-09-07 08:20:41:388,94739,94739,0,0,44457055475,468283103,93038,1348,353,369,391791,0 147,2,2024-09-07 08:20:41:025,70509,70509,0,0,4106798,0,2789 147,3,2024-09-07 08:20:40:922,1,54,4,1,141,736,54,0 0,0,2024-09-07 08:20:51:766,12722,1.0,12677,1.0,26824,1.5,35141,2.00 0,1,2024-09-07 08:20:50:816,96482,96482,0,0,45525724992,483210110,95270,1086,126,372,391673,0 0,2,2024-09-07 08:20:51:085,69676,69676,0,0,4164215,0,4480 0,3,2024-09-07 08:20:50:983,1,55,2,0,247,998,55,0 1,0,2024-09-07 08:20:51:765,17753,3.3,17776,2.1,35806,4.8,48047,3.25 1,1,2024-09-07 08:20:50:576,95929,95929,0,0,44775391798,476198387,94254,1150,525,372,391857,0 1,2,2024-09-07 08:20:50:655,69486,69486,0,0,3791815,0,3267 1,3,2024-09-07 08:20:51:315,1,55,3,1,167,630,55,0 2,0,2024-09-07 08:20:51:599,15021,2.1,15267,1.6,30147,4.3,40479,2.75 2,1,2024-09-07 08:20:50:861,96148,96148,0,0,45487969355,478636669,95071,874,203,382,391558,0 2,2,2024-09-07 08:20:51:268,68069,68069,0,0,3953943,0,3304 2,3,2024-09-07 08:20:50:699,1,55,1,0,214,677,55,0 3,0,2024-09-07 08:20:51:793,10784,0.4,10787,0.6,21671,0.2,28713,2.00 3,1,2024-09-07 08:20:51:624,95961,95961,0,0,44681910076,473406682,93983,1592,386,382,391514,0 3,2,2024-09-07 08:20:51:162,69061,69038,23,0,4425396,0,5851 3,3,2024-09-07 08:20:51:753,1,55,5,0,103,525,55,0 4,0,2024-09-07 08:20:51:874,10700,0.3,10991,0.5,22348,0.2,29413,1.75 4,1,2024-09-07 08:20:50:611,96293,96293,0,0,44943109575,479036940,94472,1405,416,372,391846,0 4,2,2024-09-07 08:20:51:029,69115,69115,0,0,4686462,0,4528 4,3,2024-09-07 08:20:51:035,1,55,5,1,287,1027,55,0 5,0,2024-09-07 08:20:51:427,17526,0.9,17293,0.9,35075,1.2,47181,2.00 5,1,2024-09-07 08:20:50:993,95860,95860,0,0,45287852705,482282706,93680,1741,439,368,392005,0 5,2,2024-09-07 08:20:51:854,68507,68507,0,0,3703717,0,2259 5,3,2024-09-07 08:20:51:745,1,55,6,1,238,1326,55,0 6,0,2024-09-07 08:20:50:939,16337,1.9,16120,1.6,32215,3.1,43522,3.75 6,1,2024-09-07 08:20:50:755,96664,96664,0,0,44924484680,472183766,95578,1017,69,382,391603,0 6,2,2024-09-07 08:20:51:120,70990,70990,0,0,3971154,0,2411 6,3,2024-09-07 08:20:51:280,1,55,1,1,340,1377,55,0 7,0,2024-09-07 08:20:51:557,9540,0.3,9475,0.6,19255,0.2,25378,1.75 7,1,2024-09-07 08:20:50:860,96446,96446,0,0,45592348533,479870153,95468,914,64,383,391664,0 7,2,2024-09-07 08:20:50:771,68914,68914,0,0,3669159,0,2981 7,3,2024-09-07 08:20:50:855,1,55,1,0,83,559,55,0 8,0,2024-09-07 08:20:51:422,10358,0.3,10189,0.5,20168,0.2,27190,1.75 8,1,2024-09-07 08:20:51:033,96192,96192,0,0,45092611059,488736514,92727,2214,1251,368,391724,0 8,2,2024-09-07 08:20:50:817,67189,67189,0,0,4667976,0,2986 8,3,2024-09-07 08:20:50:601,1,55,14,0,229,978,55,0 9,0,2024-09-07 08:20:51:139,16576,0.4,16162,0.6,33756,0.4,44503,1.75 9,1,2024-09-07 08:20:50:558,96374,96374,0,0,45618042370,486472957,94099,1709,566,370,391576,0 9,2,2024-09-07 08:20:51:114,68623,68623,0,0,4276433,0,3360 9,3,2024-09-07 08:20:51:753,1,55,6,0,149,909,55,0 10,0,2024-09-07 08:20:51:650,16585,1.5,16683,1.2,33414,2.5,44454,3.50 10,1,2024-09-07 08:20:50:585,95585,95585,0,0,45137066312,485457794,92251,2812,522,383,391541,0 10,2,2024-09-07 08:20:50:764,71348,71348,0,0,4502154,0,2940 10,3,2024-09-07 08:20:50:873,1,55,3,0,136,516,55,0 11,0,2024-09-07 08:20:51:021,11122,3.1,10874,2.1,22779,5.5,30686,2.75 11,1,2024-09-07 08:20:50:572,95928,95928,0,0,44679197077,478260009,93291,1822,815,384,391537,0 11,2,2024-09-07 08:20:51:123,67846,67846,0,0,4187535,0,2635 11,3,2024-09-07 08:20:51:310,1,55,1,0,720,2159,55,0 12,0,2024-09-07 08:20:51:078,10839,0.3,10901,0.5,21663,0.2,28235,1.75 12,1,2024-09-07 08:20:50:935,96039,96039,0,0,44568833916,473181026,94409,1531,99,371,391790,0 12,2,2024-09-07 08:20:51:573,68665,68665,0,0,3911970,0,2254 12,3,2024-09-07 08:20:51:077,1,55,19,1,358,1313,55,0 13,0,2024-09-07 08:20:51:424,14375,0.5,14533,0.7,29001,0.5,39026,1.75 13,1,2024-09-07 08:20:51:560,96455,96455,0,0,44909873407,478521972,94873,1216,366,384,391710,0 13,2,2024-09-07 08:20:50:654,69928,69928,0,0,3668234,0,3287 13,3,2024-09-07 08:20:51:764,1,55,3,1,373,1138,55,0 14,0,2024-09-07 08:20:50:598,18023,1.3,18114,1.2,35805,1.5,47706,2.75 14,1,2024-09-07 08:20:51:562,97156,97156,0,0,45249773119,473558927,96278,848,30,365,391546,0 14,2,2024-09-07 08:20:50:766,70009,70009,0,0,4235154,0,2793 14,3,2024-09-07 08:20:51:119,1,55,4,1,906,1252,55,0 15,0,2024-09-07 08:20:51:574,13801,2.7,13655,1.8,27405,4.8,36804,3.50 15,1,2024-09-07 08:20:51:623,96254,96254,0,0,45000720261,472615134,95471,748,35,381,391536,0 15,2,2024-09-07 08:20:51:001,68559,68559,0,0,3285908,0,3043 15,3,2024-09-07 08:20:51:408,1,55,1,0,538,1782,55,0 16,0,2024-09-07 08:20:50:978,11245,0.4,11432,0.6,22368,0.3,29832,2.25 16,1,2024-09-07 08:20:50:590,96151,96151,0,0,44767877509,474001322,95036,1091,24,372,391543,0 16,2,2024-09-07 08:20:51:449,68037,68037,0,0,4492334,0,4719 16,3,2024-09-07 08:20:51:145,1,55,3,1,231,1168,55,0 17,0,2024-09-07 08:20:51:821,12414,0.7,12127,0.9,23861,0.7,32733,2.25 17,1,2024-09-07 08:20:50:575,95961,95961,0,0,45119517048,482557912,94101,1338,522,369,391688,0 17,2,2024-09-07 08:20:51:677,70211,70211,0,0,3480581,0,2857 17,3,2024-09-07 08:20:50:574,1,55,1,0,268,1305,55,0 18,0,2024-09-07 08:20:50:977,17359,1.8,17563,1.4,35317,2.2,46754,2.75 18,1,2024-09-07 08:20:51:674,96226,96226,0,0,44751293122,471138139,94978,1030,218,368,391555,0 18,2,2024-09-07 08:20:51:757,68556,68556,0,0,4338985,0,3541 18,3,2024-09-07 08:20:50:901,1,55,1,0,163,896,55,0 19,0,2024-09-07 08:20:51:572,15361,2.2,15437,1.7,30544,2.3,40980,5.75 19,1,2024-09-07 08:20:50:570,96552,96552,0,0,45686259249,483723571,94688,1701,163,367,391696,0 19,2,2024-09-07 08:20:51:756,69742,69742,0,0,4018973,0,3988 19,3,2024-09-07 08:20:51:138,1,55,1,0,524,919,55,0 20,0,2024-09-07 08:20:51:395,10030,0.3,10091,0.5,19723,0.2,26083,1.75 20,1,2024-09-07 08:20:50:589,96433,96433,0,0,45687403927,483767541,94752,1520,161,370,391598,0 20,2,2024-09-07 08:20:50:942,69080,69080,0,0,3482443,0,2446 20,3,2024-09-07 08:20:50:600,1,55,10,1,99,823,55,0 21,0,2024-09-07 08:20:51:240,10740,0.4,10746,0.6,21130,0.3,28370,2.00 21,1,2024-09-07 08:20:51:560,95715,95715,0,0,44300386596,473583226,93479,1747,489,368,391962,0 21,2,2024-09-07 08:20:51:091,68501,68501,0,0,3777528,0,3747 21,3,2024-09-07 08:20:51:411,1,55,73,0,93,864,55,0 22,0,2024-09-07 08:20:51:739,16741,0.7,16644,0.9,33281,0.7,44685,2.25 22,1,2024-09-07 08:20:51:024,95848,95848,0,0,44779043584,479864247,92906,2217,725,382,391667,0 22,2,2024-09-07 08:20:50:777,68644,68644,0,0,3450507,0,3134 22,3,2024-09-07 08:20:51:068,1,55,1,0,62,312,55,0 23,0,2024-09-07 08:20:51:381,15867,2.0,16041,1.3,31928,2.9,43034,2.75 23,1,2024-09-07 08:20:51:008,96686,96686,0,0,45575333074,477626145,95340,1092,254,368,391496,0 23,2,2024-09-07 08:20:51:098,71767,71767,0,0,3611435,0,2078 23,3,2024-09-07 08:20:51:764,1,55,1,0,645,1211,55,0 24,0,2024-09-07 08:20:50:943,11084,0.7,11241,0.9,22318,1.0,29606,1.75 24,1,2024-09-07 08:20:50:601,96801,96801,0,0,45228169913,475084182,96000,794,7,371,391640,0 24,2,2024-09-07 08:20:51:080,67930,67930,0,0,4742492,0,2942 24,3,2024-09-07 08:20:51:702,1,55,2,1,234,908,55,0 25,0,2024-09-07 08:20:51:442,10627,0.3,10424,0.5,20198,0.2,27748,1.75 25,1,2024-09-07 08:20:50:558,96092,96092,0,0,45072670081,481107340,93959,1822,311,371,391788,0 25,2,2024-09-07 08:20:51:634,67786,67786,0,0,4283208,0,3284 25,3,2024-09-07 08:20:51:016,1,55,10,1,158,600,55,0 26,0,2024-09-07 08:20:51:761,15438,0.5,15160,0.7,31780,0.5,42094,1.75 26,1,2024-09-07 08:20:51:572,96199,96199,0,0,44597640184,480496851,92739,2625,835,382,391564,0 26,2,2024-09-07 08:20:50:864,69413,69413,0,0,4462422,0,2809 26,3,2024-09-07 08:20:51:728,1,55,6,0,796,1219,55,0 27,0,2024-09-07 08:20:51:787,17048,1.5,17142,1.1,34302,2.0,45923,2.25 27,1,2024-09-07 08:20:51:685,96830,96830,0,0,45915029122,481197477,95763,772,295,381,391539,0 27,2,2024-09-07 08:20:50:870,70474,70474,0,0,5439294,0,3409 27,3,2024-09-07 08:20:51:016,1,55,1,0,564,995,55,0 28,0,2024-09-07 08:20:51:401,12667,0.8,12839,1.0,25434,1.1,33897,2.00 28,1,2024-09-07 08:20:50:815,96606,96606,0,0,45781697402,481978748,95548,858,200,383,391500,0 28,2,2024-09-07 08:20:51:764,67857,67857,0,0,3498980,0,2609 28,3,2024-09-07 08:20:51:779,1,55,6,1,502,1129,55,0 29,0,2024-09-07 08:20:51:362,11320,0.3,11067,0.5,21881,0.2,29213,1.75 29,1,2024-09-07 08:20:51:562,97476,97476,0,0,45167177454,470614745,96733,571,172,369,391621,0 29,2,2024-09-07 08:20:50:875,69064,69064,0,0,3057605,0,2026 29,3,2024-09-07 08:20:50:971,1,55,3,1,105,624,55,0 30,0,2024-09-07 08:20:51:478,13114,0.9,12704,0.9,26494,1.0,35059,2.50 30,1,2024-09-07 08:20:50:574,96243,96243,0,0,45680878163,480323712,95270,908,65,382,391524,0 30,2,2024-09-07 08:20:51:274,70387,70387,0,0,3126197,0,2534 30,3,2024-09-07 08:20:50:584,1,55,3,0,195,589,55,0 31,0,2024-09-07 08:20:51:787,18038,1.9,17865,1.3,36263,3.4,47818,3.25 31,1,2024-09-07 08:20:50:564,96787,96787,0,0,45697092008,471853839,96540,245,2,356,391553,0 31,2,2024-09-07 08:20:51:281,69869,69869,0,0,4513940,0,3525 31,3,2024-09-07 08:20:51:711,1,55,1,0,129,515,55,0 32,0,2024-09-07 08:20:51:442,15325,1.8,15425,1.3,31010,3.2,41105,2.00 32,1,2024-09-07 08:20:50:814,96270,96270,0,0,44918542084,471738323,95274,968,28,382,391595,0 32,2,2024-09-07 08:20:50:955,69269,69269,0,0,3714962,0,3155 32,3,2024-09-07 08:20:51:016,1,55,1,0,110,597,55,0 33,0,2024-09-07 08:20:51:515,10804,0.3,10655,0.5,21760,0.2,28606,1.75 33,1,2024-09-07 08:20:50:575,96551,96551,0,0,46194472477,485375646,94893,1535,123,369,391526,0 33,2,2024-09-07 08:20:50:761,68880,68847,33,0,5271713,0,7012 33,3,2024-09-07 08:20:50:894,1,55,2,1,63,346,55,0 34,0,2024-09-07 08:20:50:956,11025,0.3,11379,0.5,21885,0.2,29368,1.75 34,1,2024-09-07 08:20:51:044,97066,97066,0,0,46099606992,478872129,96474,588,4,367,391517,0 34,2,2024-09-07 08:20:50:766,68648,68648,0,0,4301604,0,3255 34,3,2024-09-07 08:20:51:691,1,55,17,0,148,647,55,0 35,0,2024-09-07 08:20:50:884,17226,1.0,17314,0.9,34819,1.4,47019,2.00 35,1,2024-09-07 08:20:51:081,96360,96360,0,0,45694039742,479822722,94895,1104,361,384,391587,0 35,2,2024-09-07 08:20:51:588,68635,68635,0,0,3660842,0,2542 35,3,2024-09-07 08:20:50:907,1,55,0,0,219,1009,55,0 36,0,2024-09-07 08:20:51:532,16340,2.5,16150,1.6,32814,3.7,43616,2.75 36,1,2024-09-07 08:20:50:583,96112,96112,0,0,45460170688,485129480,93101,2080,931,366,391535,0 36,2,2024-09-07 08:20:51:752,70383,70383,0,0,4251768,0,3303 36,3,2024-09-07 08:20:50:868,1,55,3,0,378,1039,55,0 37,0,2024-09-07 08:20:51:376,9639,0.3,9603,0.5,19319,0.2,25414,1.75 37,1,2024-09-07 08:20:50:584,95741,95734,0,7,44905219418,482950911,92896,1321,1517,365,391518,0 37,2,2024-09-07 08:20:51:150,68941,68941,0,0,3167667,0,2333 37,3,2024-09-07 08:20:51:776,1,55,1,0,724,1711,55,0 38,0,2024-09-07 08:20:51:457,10234,0.3,9883,0.5,20649,0.2,27132,1.75 38,1,2024-09-07 08:20:51:621,96334,96334,0,0,45111084148,478254431,94193,1694,447,370,391513,0 38,2,2024-09-07 08:20:50:774,68259,68259,0,0,3918046,0,3245 38,3,2024-09-07 08:20:51:002,1,55,1,0,603,1002,55,0 39,0,2024-09-07 08:20:51:778,17130,0.5,16935,0.7,32805,0.6,44770,1.75 39,1,2024-09-07 08:20:50:719,96443,96443,0,0,44849382927,476021190,93883,1922,638,366,391524,0 39,2,2024-09-07 08:20:51:423,68635,68635,0,0,3586736,0,2381 39,3,2024-09-07 08:20:50:718,1,55,1,0,276,1149,55,0 40,0,2024-09-07 08:20:51:512,16304,2.5,16623,2.5,32670,5.0,44050,4.00 40,1,2024-09-07 08:20:50:578,96045,96045,0,0,44367945217,475355591,92795,2497,753,370,391591,0 40,2,2024-09-07 08:20:51:309,71472,71471,1,0,4773220,0,5137 40,3,2024-09-07 08:20:51:147,1,55,7,1,110,726,55,0 41,0,2024-09-07 08:20:51:049,10881,2.1,11163,6.7,21388,5.4,29587,4.00 41,1,2024-09-07 08:20:50:791,96078,96078,0,0,45647770411,485378054,93772,1993,313,370,391484,0 41,2,2024-09-07 08:20:50:766,67772,67772,0,0,4788504,0,3356 41,3,2024-09-07 08:20:51:683,1,55,19,0,168,636,55,0 42,0,2024-09-07 08:20:51:492,10674,0.4,10893,0.7,21504,0.3,28239,2.00 42,1,2024-09-07 08:20:51:454,95971,95971,0,0,44847680639,481711942,92979,2162,830,381,391511,0 42,2,2024-09-07 08:20:51:141,68367,68367,0,0,4213065,0,3568 42,3,2024-09-07 08:20:51:015,1,55,1,0,100,546,55,0 43,0,2024-09-07 08:20:50:943,14349,1.8,14097,1.3,29321,2.9,39046,2.00 43,1,2024-09-07 08:20:50:576,96242,96242,0,0,45332507489,480822895,94053,1437,752,367,391604,0 43,2,2024-09-07 08:20:51:747,69998,69998,0,0,4436349,0,3812 43,3,2024-09-07 08:20:51:753,1,55,3,0,292,1150,55,0 44,0,2024-09-07 08:20:50:909,18037,1.3,18030,1.3,35847,1.4,48205,2.25 44,1,2024-09-07 08:20:50:583,96645,96645,0,0,44999511230,470244753,95629,909,107,357,391505,0 44,2,2024-09-07 08:20:51:268,70170,70170,0,0,3267027,0,1865 44,3,2024-09-07 08:20:51:111,1,55,1,1,817,1307,55,0 45,0,2024-09-07 08:20:51:768,13639,3.2,13352,1.9,27980,5.6,37096,2.25 45,1,2024-09-07 08:20:51:005,96651,96651,0,0,45723652570,478042832,96185,465,1,382,391917,0 45,2,2024-09-07 08:20:51:269,68655,68655,0,0,3861569,0,2628 45,3,2024-09-07 08:20:50:943,1,55,2,1,226,751,55,0 46,0,2024-09-07 08:20:50:980,11353,0.2,11314,0.5,22727,0.2,29878,1.75 46,1,2024-09-07 08:20:50:599,96658,96658,0,0,45133023947,470903229,95828,794,36,368,391514,0 46,2,2024-09-07 08:20:50:614,69030,69030,0,0,3779449,0,2920 46,3,2024-09-07 08:20:51:138,1,55,2,0,315,1028,55,0 47,0,2024-09-07 08:20:51:115,12066,0.5,12288,0.6,24426,0.5,32620,1.75 47,1,2024-09-07 08:20:50:570,96970,96970,0,0,44900449821,466763805,96464,502,4,367,391605,0 47,2,2024-09-07 08:20:50:910,70838,70838,0,0,3789010,0,2558 47,3,2024-09-07 08:20:51:122,1,55,1,1,529,1048,55,0 48,0,2024-09-07 08:20:51:505,18149,0.4,17932,0.6,35598,0.4,47512,1.75 48,1,2024-09-07 08:20:51:024,96569,96569,0,0,45333454108,476554888,95374,1190,5,386,391637,0 48,2,2024-09-07 08:20:50:703,69401,69401,0,0,3058356,0,2083 48,3,2024-09-07 08:20:50:767,1,55,1,1,30,554,55,0 49,0,2024-09-07 08:20:51:736,16021,2.0,15666,1.4,30718,3.6,42446,2.00 49,1,2024-09-07 08:20:51:037,96327,96327,0,0,45716357095,484251384,94592,1026,709,382,391583,0 49,2,2024-09-07 08:20:51:799,69711,69711,0,0,4162091,0,3900 49,3,2024-09-07 08:20:51:423,1,55,1,0,274,755,55,0 50,0,2024-09-07 08:20:51:528,10100,0.2,9887,0.5,19829,0.1,26548,1.75 50,1,2024-09-07 08:20:51:016,97130,97130,0,0,45524777416,478490227,95464,1369,297,368,391530,0 50,2,2024-09-07 08:20:51:079,69541,69541,0,0,3326596,0,2253 50,3,2024-09-07 08:20:51:300,1,55,24,1,335,862,55,0 51,0,2024-09-07 08:20:51:700,10790,0.2,10574,0.4,20666,0.1,28523,1.50 51,1,2024-09-07 08:20:51:692,96205,96205,0,0,46243480613,486957970,94407,1016,782,367,391547,0 51,2,2024-09-07 08:20:51:318,68527,68527,0,0,2897787,0,2448 51,3,2024-09-07 08:20:51:030,1,55,1,0,162,448,55,0 52,0,2024-09-07 08:20:51:436,16930,1.0,17078,1.0,33998,1.3,45068,2.50 52,1,2024-09-07 08:20:50:576,96243,96243,0,0,44751215341,477273472,93749,2030,464,368,391529,0 52,2,2024-09-07 08:20:51:765,68309,68309,0,0,3920098,0,4779 52,3,2024-09-07 08:20:50:674,1,55,1,1,1782,2365,55,0 53,0,2024-09-07 08:20:51:743,15829,2.9,15250,1.9,31563,4.0,42338,3.25 53,1,2024-09-07 08:20:50:772,96055,96055,0,0,45377994963,483545835,93453,2028,574,370,391617,0 53,2,2024-09-07 08:20:51:300,72396,72396,0,0,3453137,0,1902 53,3,2024-09-07 08:20:50:925,1,55,3,0,59,356,55,0 54,0,2024-09-07 08:20:51:662,10261,10.0,10382,5.5,20509,2.4,28510,5.25 54,1,2024-09-07 08:20:50:583,96079,96079,0,0,45141446939,477348429,93971,1792,316,367,391520,0 54,2,2024-09-07 08:20:50:869,68788,68782,6,0,4062182,0,5382 54,3,2024-09-07 08:20:50:762,1,55,0,0,676,1472,55,0 55,0,2024-09-07 08:20:51:774,10094,0.4,10345,0.7,21086,0.2,27525,2.00 55,1,2024-09-07 08:20:50:766,95867,95867,0,0,44966921886,479096419,92442,2723,702,367,391731,0 55,2,2024-09-07 08:20:50:733,67857,67857,0,0,3973780,0,3275 55,3,2024-09-07 08:20:50:674,1,55,3,0,136,666,55,0 56,0,2024-09-07 08:20:51:588,15890,2.1,15046,1.5,30862,3.4,41888,2.75 56,1,2024-09-07 08:20:50:574,95842,95842,0,0,45443202357,491164153,92464,2632,746,383,391536,0 56,2,2024-09-07 08:20:51:313,69886,69886,0,0,4639863,0,3567 56,3,2024-09-07 08:20:51:077,1,55,15,1,297,861,55,0 57,0,2024-09-07 08:20:50:957,16721,4.1,16417,2.3,33194,6.2,44622,4.75 57,1,2024-09-07 08:20:50:999,95928,95928,0,0,44551456710,471629942,94459,1450,19,368,391760,0 57,2,2024-09-07 08:20:51:319,71270,71270,0,0,4641071,0,3178 57,3,2024-09-07 08:20:51:757,1,55,7,1,359,1413,55,0 58,0,2024-09-07 08:20:50:561,11952,1.0,11602,1.2,24281,0.8,31880,3.00 58,1,2024-09-07 08:20:50:574,96989,96987,0,2,45528211805,479392494,95392,1436,159,369,391516,2 58,2,2024-09-07 08:20:51:078,68052,68052,0,0,4351075,0,2549 58,3,2024-09-07 08:20:51:083,1,55,2,1,219,754,55,0 59,0,2024-09-07 08:20:51:766,11246,0.7,11094,0.9,22397,0.6,28860,2.25 59,1,2024-09-07 08:20:50:809,95850,95850,0,0,44954492093,479673427,93605,1478,767,369,391515,0 59,2,2024-09-07 08:20:50:591,68795,68795,0,0,3813593,0,2604 59,3,2024-09-07 08:20:51:748,1,55,13,0,1015,1622,55,0 60,0,2024-09-07 08:20:51:812,13132,0.7,13265,0.8,26170,0.9,35207,1.75 60,1,2024-09-07 08:20:50:773,97210,97210,0,0,45131880659,474033712,96141,725,344,370,391712,0 60,2,2024-09-07 08:20:51:162,69977,69977,0,0,3554974,0,2142 60,3,2024-09-07 08:20:51:258,1,55,11,0,124,791,55,0 61,0,2024-09-07 08:20:51:558,17908,3.2,17892,1.8,35741,4.6,47952,2.50 61,1,2024-09-07 08:20:50:784,96071,96071,0,0,45196021285,483336222,93520,2017,534,383,391589,0 61,2,2024-09-07 08:20:51:127,69994,69994,0,0,3638968,0,1846 61,3,2024-09-07 08:20:51:687,1,55,40,1,199,1038,55,0 62,0,2024-09-07 08:20:51:725,15423,2.0,15723,1.4,30239,4.0,41058,2.25 62,1,2024-09-07 08:20:51:114,96910,96904,0,6,45887958979,479661204,95653,1214,37,366,391586,6 62,2,2024-09-07 08:20:51:656,68538,68538,0,0,4204874,0,2931 62,3,2024-09-07 08:20:51:155,1,55,1,0,287,602,55,0 63,0,2024-09-07 08:20:51:472,10695,0.4,10709,0.7,21539,0.3,28692,1.75 63,1,2024-09-07 08:20:50:820,97224,97220,0,4,45863683421,477193234,96822,397,1,381,391540,4 63,2,2024-09-07 08:20:50:762,68949,68949,0,0,3726126,0,2674 63,3,2024-09-07 08:20:51:736,1,55,5,0,667,1758,55,0 64,0,2024-09-07 08:20:51:556,10940,0.4,10956,0.7,21914,0.3,29351,1.75 64,1,2024-09-07 08:20:50:755,96459,96459,0,0,45005274455,480956041,94027,1639,793,371,391604,0 64,2,2024-09-07 08:20:51:159,69417,69398,19,0,4153556,0,6121 64,3,2024-09-07 08:20:51:147,1,55,4,1,163,690,55,0 65,0,2024-09-07 08:20:51:713,16977,2.8,17240,1.7,34382,4.2,46522,4.00 65,1,2024-09-07 08:20:50:912,96108,96108,0,0,43970238267,467290539,94404,1485,219,382,391569,0 65,2,2024-09-07 08:20:51:707,69074,69074,0,0,4199492,0,3367 65,3,2024-09-07 08:20:51:700,1,55,3,1,163,793,55,0 66,0,2024-09-07 08:20:51:783,15755,1.6,15961,1.3,32095,2.6,43054,3.50 66,1,2024-09-07 08:20:51:296,97079,97079,0,0,45382534530,474230034,96393,666,20,381,391537,0 66,2,2024-09-07 08:20:51:139,71240,71240,0,0,3973966,0,3867 66,3,2024-09-07 08:20:51:079,1,55,1,1,291,881,55,0 67,0,2024-09-07 08:20:51:436,9693,0.6,9721,0.8,19196,0.6,25350,2.25 67,1,2024-09-07 08:20:50:769,96092,96091,0,1,45160930215,480292046,94096,1470,525,382,391787,1 67,2,2024-09-07 08:20:50:583,69231,69231,0,0,3584772,0,2889 67,3,2024-09-07 08:20:51:754,1,55,5,0,138,608,55,0 68,0,2024-09-07 08:20:50:628,10194,0.4,10155,0.7,20335,0.2,26964,2.00 68,1,2024-09-07 08:20:50:574,96032,96032,0,0,45399375381,480758445,94639,871,522,382,391953,0 68,2,2024-09-07 08:20:51:048,68477,68477,0,0,3481483,0,4883 68,3,2024-09-07 08:20:50:733,1,55,1,1,63,553,55,0 69,0,2024-09-07 08:20:51:768,16535,1.3,16561,1.2,32956,1.6,44626,2.75 69,1,2024-09-07 08:20:51:021,95714,95714,0,0,44890519668,481875915,93771,1078,865,386,391530,0 69,2,2024-09-07 08:20:51:734,69010,69010,0,0,3954797,0,3153 69,3,2024-09-07 08:20:50:761,1,55,4,0,238,928,55,0 70,0,2024-09-07 08:20:51:571,16343,4.1,16287,3.1,32903,4.1,43605,5.25 70,1,2024-09-07 08:20:50:815,96691,96691,0,0,45690356871,477093851,95780,777,134,369,391527,0 70,2,2024-09-07 08:20:51:357,71492,71492,0,0,4078771,0,4044 70,3,2024-09-07 08:20:50:754,1,55,1,0,178,430,55,0 71,0,2024-09-07 08:20:51:369,10980,2.6,10955,5.1,21580,3.9,29773,4.75 71,1,2024-09-07 08:20:51:603,96160,96160,0,0,45632925901,482901219,93975,1869,316,368,391682,0 71,2,2024-09-07 08:20:51:077,68184,68184,0,0,3520771,0,2146 71,3,2024-09-07 08:20:51:764,1,55,4,0,174,549,55,0 72,0,2024-09-07 08:20:51:047,11069,0.4,10672,0.7,21267,0.3,28320,2.75 72,1,2024-09-07 08:20:51:022,96885,96885,0,0,45119859871,475359719,95346,1463,76,370,391556,0 72,2,2024-09-07 08:20:51:769,67645,67645,0,0,4998032,0,2570 72,3,2024-09-07 08:20:51:755,1,55,13,1,325,1236,55,0 73,0,2024-09-07 08:20:51:232,14080,0.6,14421,0.8,29319,0.6,39022,2.50 73,1,2024-09-07 08:20:50:800,96479,96479,0,0,45484155272,477631311,95521,915,43,368,391627,0 73,2,2024-09-07 08:20:51:754,70256,70256,0,0,4544086,0,3482 73,3,2024-09-07 08:20:50:970,1,55,1,0,274,1209,55,0 74,0,2024-09-07 08:20:51:363,17970,2.2,18293,1.6,35256,3.6,47750,4.00 74,1,2024-09-07 08:20:50:643,96285,96285,0,0,44924052139,475678897,94390,1323,572,382,391497,0 74,2,2024-09-07 08:20:51:005,69982,69982,0,0,4848430,0,4253 74,3,2024-09-07 08:20:51:443,1,55,1,0,246,1268,55,0 75,0,2024-09-07 08:20:51:780,13911,3.1,13888,1.9,27685,5.1,37221,3.50 75,1,2024-09-07 08:20:51:588,96643,96643,0,0,44678858724,473488260,94960,1579,104,382,391514,0 75,2,2024-09-07 08:20:51:351,68041,68041,0,0,4641884,0,4766 75,3,2024-09-07 08:20:51:077,1,55,3,0,143,392,55,0 76,0,2024-09-07 08:20:50:617,11318,0.3,11212,0.6,22509,0.2,29712,2.00 76,1,2024-09-07 08:20:50:806,96251,96251,0,0,44642660376,475003901,94448,1297,506,382,391530,0 76,2,2024-09-07 08:20:51:112,68957,68957,0,0,3737344,0,3064 76,3,2024-09-07 08:20:51:150,1,55,11,0,175,964,55,0 77,0,2024-09-07 08:20:51:728,12056,1.2,12100,1.1,24183,2.0,32644,2.00 77,1,2024-09-07 08:20:50:824,96245,96245,0,0,44852031049,472512137,95216,960,69,383,391516,0 77,2,2024-09-07 08:20:51:300,70272,70272,0,0,3206296,0,1695 77,3,2024-09-07 08:20:51:100,1,55,2,0,139,613,55,0 78,0,2024-09-07 08:20:51:748,17816,1.6,17755,1.3,35663,2.3,47227,2.50 78,1,2024-09-07 08:20:50:619,97035,97035,0,0,44696392145,471538858,95619,1212,204,368,391589,0 78,2,2024-09-07 08:20:51:412,69415,69415,0,0,3275976,0,2114 78,3,2024-09-07 08:20:51:142,1,55,1,0,181,977,55,0 79,0,2024-09-07 08:20:51:376,15072,1.4,15435,1.3,31533,2.6,41603,3.75 79,1,2024-09-07 08:20:50:572,96585,96585,0,0,45355916576,476724338,95249,1267,69,370,391609,0 79,2,2024-09-07 08:20:51:078,69580,69580,0,0,3735510,0,2679 79,3,2024-09-07 08:20:50:753,1,55,7,0,289,809,55,0 80,0,2024-09-07 08:20:51:172,9898,0.4,10229,0.7,19751,0.3,26384,2.00 80,1,2024-09-07 08:20:51:626,95897,95897,0,0,45234506116,476554187,94312,1470,115,369,391673,0 80,2,2024-09-07 08:20:51:137,69119,69119,0,0,4000588,0,4433 80,3,2024-09-07 08:20:50:579,1,55,20,1,190,1183,55,0 81,0,2024-09-07 08:20:51:604,10662,0.5,10908,0.7,20978,0.4,28597,2.00 81,1,2024-09-07 08:20:51:659,96213,96213,0,0,45068994010,478497383,94330,1685,198,383,391680,0 81,2,2024-09-07 08:20:51:150,68110,68110,0,0,4219971,0,3993 81,3,2024-09-07 08:20:51:124,1,55,3,0,193,744,55,0 82,0,2024-09-07 08:20:51:559,16754,1.0,16855,1.2,33610,1.4,44999,2.25 82,1,2024-09-07 08:20:50:583,96027,96026,0,1,45180352312,478692876,93991,1463,572,383,391558,1 82,2,2024-09-07 08:20:51:702,69546,69546,0,0,3187063,0,2230 82,3,2024-09-07 08:20:51:754,1,55,1,0,211,913,55,0 83,0,2024-09-07 08:20:51:598,15888,2.1,15828,1.7,31404,2.4,42040,3.50 83,1,2024-09-07 08:20:50:552,96335,96335,0,0,44943086110,475350450,94926,1309,100,383,391553,0 83,2,2024-09-07 08:20:50:769,71048,71048,0,0,4224379,0,3119 83,3,2024-09-07 08:20:50:754,1,55,12,0,91,526,55,0 84,0,2024-09-07 08:20:51:881,10658,6.1,10510,5.7,21196,1.7,29093,3.50 84,1,2024-09-07 08:20:51:054,96201,96201,0,0,44764947917,474751902,94630,1324,247,369,391630,0 84,2,2024-09-07 08:20:50:577,68240,68240,0,0,3909941,0,3801 84,3,2024-09-07 08:20:51:175,1,55,1,1,43,605,55,0 85,0,2024-09-07 08:20:51:065,10113,0.4,10072,0.6,21316,0.3,27662,2.00 85,1,2024-09-07 08:20:50:591,95823,95823,0,0,45545112435,487720479,93387,2008,428,384,391626,0 85,2,2024-09-07 08:20:50:876,67851,67851,0,0,4244297,0,3656 85,3,2024-09-07 08:20:50:688,1,55,54,0,115,645,55,0 86,0,2024-09-07 08:20:50:923,15398,0.9,16070,0.8,30952,1.1,41922,2.00 86,1,2024-09-07 08:20:51:098,96048,96048,0,0,45588829247,482110649,94274,1559,215,367,391622,0 86,2,2024-09-07 08:20:50:869,69317,69316,1,0,4443432,0,5004 86,3,2024-09-07 08:20:50:588,1,55,2,0,199,794,55,0 87,0,2024-09-07 08:20:51:333,16907,3.4,16875,2.0,34003,4.4,45675,3.50 87,1,2024-09-07 08:20:50:555,95788,95788,0,0,45162560158,478094688,94219,1449,120,368,391671,0 87,2,2024-09-07 08:20:51:082,71438,71438,0,0,3470107,0,2859 87,3,2024-09-07 08:20:51:825,1,55,2,1,322,1069,55,0 88,0,2024-09-07 08:20:51:538,12687,1.0,12829,1.1,25326,1.2,33799,2.00 88,1,2024-09-07 08:20:50:587,95824,95824,0,0,44722868422,472756889,94626,1103,95,367,391747,0 88,2,2024-09-07 08:20:50:707,68255,68255,0,0,4580350,0,3583 88,3,2024-09-07 08:20:51:272,1,55,4,1,77,747,55,0 89,0,2024-09-07 08:20:51:868,11544,0.3,11122,0.6,22134,0.3,29213,1.75 89,1,2024-09-07 08:20:50:562,95410,95410,0,0,44981844275,486498429,92196,2481,733,383,391866,0 89,2,2024-09-07 08:20:51:143,68402,68402,0,0,4302519,0,2726 89,3,2024-09-07 08:20:51:801,1,55,41,0,325,1641,55,0 90,0,2024-09-07 08:20:51:678,12731,0.6,12991,0.8,26752,0.8,35156,1.75 90,1,2024-09-07 08:20:50:589,96199,96199,0,0,44965713468,480541233,94160,1900,139,382,391825,0 90,2,2024-09-07 08:20:51:417,69147,69147,0,0,4998744,0,2635 90,3,2024-09-07 08:20:50:939,1,55,4,1,200,881,55,0 91,0,2024-09-07 08:20:51:010,18366,0.8,17714,0.9,36966,0.9,48268,2.00 91,1,2024-09-07 08:20:50:560,96163,96163,0,0,45616285810,486800491,93824,1977,362,384,391914,0 91,2,2024-09-07 08:20:51:351,69244,69244,0,0,4004684,0,1997 91,3,2024-09-07 08:20:50:613,1,55,4,1,155,744,55,0 92,0,2024-09-07 08:20:51:493,15451,1.5,15883,1.2,30479,2.8,41091,2.00 92,1,2024-09-07 08:20:50:602,96422,96422,0,0,45196121923,475205838,95783,573,66,383,391717,0 92,2,2024-09-07 08:20:51:354,70013,70013,0,0,3974511,0,2279 92,3,2024-09-07 08:20:51:016,1,55,1,1,68,707,55,0 93,0,2024-09-07 08:20:50:977,10774,0.3,10953,0.6,20877,0.2,28630,1.75 93,1,2024-09-07 08:20:50:816,96159,96159,0,0,45610060483,483566879,94408,1317,434,367,391689,0 93,2,2024-09-07 08:20:50:938,69469,69469,0,0,3636081,0,2509 93,3,2024-09-07 08:20:51:426,1,55,5,1,143,617,55,0 94,0,2024-09-07 08:20:51:647,11084,0.3,11207,0.5,21856,0.3,29345,1.75 94,1,2024-09-07 08:20:50:564,95992,95992,0,0,45467628693,483262387,94422,1506,64,381,391850,0 94,2,2024-09-07 08:20:50:763,68766,68766,0,0,3671121,0,2443 94,3,2024-09-07 08:20:51:699,1,55,3,1,231,1196,55,0 95,0,2024-09-07 08:20:51:367,17380,0.5,17311,0.7,35189,0.5,47255,1.75 95,1,2024-09-07 08:20:50:872,96471,96471,0,0,45131925367,474090565,95682,725,64,367,391590,0 95,2,2024-09-07 08:20:51:021,68904,68904,0,0,3869490,0,3308 95,3,2024-09-07 08:20:51:735,1,55,7,0,307,1190,55,0 96,0,2024-09-07 08:20:51:075,16425,1.5,16323,1.1,32694,2.3,43539,2.00 96,1,2024-09-07 08:20:51:777,96427,96427,0,0,45698924416,486370741,94597,1260,570,385,391596,0 96,2,2024-09-07 08:20:51:301,70742,70742,0,0,4457655,0,4038 96,3,2024-09-07 08:20:51:152,1,55,4,1,36,643,55,0 97,0,2024-09-07 08:20:51:362,9691,0.5,9623,0.7,19242,0.5,25440,1.75 97,1,2024-09-07 08:20:50:782,96021,96021,0,0,45741931450,484634794,93842,1662,517,367,391626,0 97,2,2024-09-07 08:20:50:639,68597,68597,0,0,3628274,0,3036 97,3,2024-09-07 08:20:50:584,1,55,3,1,165,727,55,0 98,0,2024-09-07 08:20:51:730,10186,0.2,10108,0.4,20568,0.2,27123,1.50 98,1,2024-09-07 08:20:50:574,96215,96215,0,0,45328533637,478233859,95152,1018,45,382,391588,0 98,2,2024-09-07 08:20:50:770,68814,68814,0,0,3818381,0,3080 98,3,2024-09-07 08:20:50:709,1,55,4,1,155,679,55,0 99,0,2024-09-07 08:20:51:458,16602,0.4,16515,0.6,33274,0.4,44584,1.75 99,1,2024-09-07 08:20:51:742,96741,96741,0,0,44917013172,474751165,95270,1031,440,381,391744,0 99,2,2024-09-07 08:20:51:421,69786,69786,0,0,3809665,0,1858 99,3,2024-09-07 08:20:50:582,1,55,11,0,129,621,55,0 100,0,2024-09-07 08:20:51:555,16577,2.8,16535,3.1,33043,5.3,44452,2.75 100,1,2024-09-07 08:20:50:596,95740,95740,0,0,44343455354,478198765,93060,2336,344,382,391585,0 100,2,2024-09-07 08:20:51:835,71166,71155,11,0,4956949,0,5417 100,3,2024-09-07 08:20:51:739,1,55,27,1,443,1659,55,0 101,0,2024-09-07 08:20:51:838,11496,5.4,11135,3.0,22067,2.7,30759,3.25 101,1,2024-09-07 08:20:50:558,96004,96004,0,0,45089459097,478452216,94544,1011,449,369,391709,0 101,2,2024-09-07 08:20:51:790,68220,68220,0,0,4136109,0,4644 101,3,2024-09-07 08:20:50:947,1,55,1,1,448,920,55,0 102,0,2024-09-07 08:20:50:987,10506,0.5,10863,0.6,21865,0.3,28133,2.00 102,1,2024-09-07 08:20:51:162,96304,96304,0,0,44985480377,479423707,94127,1732,445,369,391647,0 102,2,2024-09-07 08:20:51:770,68448,68448,0,0,3247079,0,1945 102,3,2024-09-07 08:20:51:619,1,55,3,0,410,771,55,0 103,0,2024-09-07 08:20:51:606,14799,0.6,14757,0.8,27923,0.6,39294,2.00 103,1,2024-09-07 08:20:51:627,96143,96143,0,0,45207103336,477917433,94950,1051,142,381,391680,0 103,2,2024-09-07 08:20:50:592,69878,69878,0,0,3642361,0,2104 103,3,2024-09-07 08:20:50:773,1,55,8,1,486,1002,55,0 104,0,2024-09-07 08:20:51:054,17884,2.8,17917,1.7,34763,4.1,47790,3.00 104,1,2024-09-07 08:20:51:622,96888,96888,0,0,45081923327,480696344,94990,1573,325,368,391948,0 104,2,2024-09-07 08:20:51:700,69330,69330,0,0,4432712,0,3941 104,3,2024-09-07 08:20:51:417,1,55,3,1,1245,3516,55,0 105,0,2024-09-07 08:20:51:056,13766,2.3,13231,1.9,27484,3.9,36923,4.00 105,1,2024-09-07 08:20:50:555,96192,96192,0,0,45042553325,483179914,93253,2194,745,367,391797,0 105,2,2024-09-07 08:20:51:370,68017,68017,0,0,4097034,0,3314 105,3,2024-09-07 08:20:51:315,1,55,3,1,182,1130,55,0 106,0,2024-09-07 08:20:50:974,10953,0.3,11264,0.6,22823,0.2,29866,1.75 106,1,2024-09-07 08:20:51:758,96510,96510,0,0,45305595035,483429679,94112,2072,326,371,391767,0 106,2,2024-09-07 08:20:50:766,68406,68406,0,0,4202356,0,2795 106,3,2024-09-07 08:20:50:676,1,55,1,1,201,811,55,0 107,0,2024-09-07 08:20:51:155,12238,0.7,12107,0.8,24163,0.7,32572,2.00 107,1,2024-09-07 08:20:50:593,95898,95898,0,0,45079477650,480942403,94117,1610,171,382,392234,0 107,2,2024-09-07 08:20:51:310,69983,69982,1,0,4066956,0,5024 107,3,2024-09-07 08:20:51:764,1,55,2,0,353,1175,55,0 108,0,2024-09-07 08:20:51:827,17811,0.7,18080,0.8,35800,0.9,47390,1.75 108,1,2024-09-07 08:20:51:305,96147,96147,0,0,45832629440,484795350,94726,1288,133,371,391857,0 108,2,2024-09-07 08:20:51:758,69043,69043,0,0,3956175,0,2647 108,3,2024-09-07 08:20:51:353,1,55,2,1,60,769,55,0 109,0,2024-09-07 08:20:51:907,15852,1.1,15972,1.0,31282,1.4,42534,2.00 109,1,2024-09-07 08:20:50:600,95975,95975,0,0,45530261771,485164639,94374,1218,383,385,391664,0 109,2,2024-09-07 08:20:50:984,68852,68852,0,0,4309503,0,3617 109,3,2024-09-07 08:20:51:147,1,55,27,1,249,1116,55,0 110,0,2024-09-07 08:20:51:814,10028,0.3,9722,0.5,20307,0.2,26424,1.50 110,1,2024-09-07 08:20:51:664,96423,96423,0,0,45197569021,475666910,95113,1027,283,370,391588,0 110,2,2024-09-07 08:20:51:314,69651,69651,0,0,3621989,0,2915 110,3,2024-09-07 08:20:50:701,1,55,1,0,183,666,55,0 111,0,2024-09-07 08:20:51:508,10441,0.2,10500,0.4,20905,0.1,28509,1.50 111,1,2024-09-07 08:20:51:023,96947,96947,0,0,45936363798,478825165,96304,630,13,382,391690,0 111,2,2024-09-07 08:20:51:121,68865,68865,0,0,3792810,0,2763 111,3,2024-09-07 08:20:50:915,1,55,12,0,119,1043,55,0 112,0,2024-09-07 08:20:50:938,16965,0.5,17072,0.6,33866,0.4,45333,1.75 112,1,2024-09-07 08:20:50:840,96218,96218,0,0,45620869771,481666882,94711,1161,346,382,391580,0 112,2,2024-09-07 08:20:51:148,68893,68892,1,0,4189628,0,5036 112,3,2024-09-07 08:20:50:604,1,55,1,1,282,787,55,0 113,0,2024-09-07 08:20:50:882,16072,0.8,15905,0.9,32320,0.8,43197,1.75 113,1,2024-09-07 08:20:51:688,96532,96532,0,0,45670316877,480845115,94644,1504,384,368,391661,0 113,2,2024-09-07 08:20:51:309,71706,71706,0,0,3480665,0,3021 113,3,2024-09-07 08:20:50:699,1,55,2,1,288,1083,55,0 114,0,2024-09-07 08:20:50:886,10952,4.5,11049,3.1,21803,1.7,29547,2.25 114,1,2024-09-07 08:20:50:728,96181,96181,0,0,45446715886,485192985,93575,1725,881,381,391513,0 114,2,2024-09-07 08:20:50:882,68365,68365,0,0,4025812,0,3925 114,3,2024-09-07 08:20:51:281,1,55,0,0,159,701,55,0 115,0,2024-09-07 08:20:50:577,10310,0.2,10387,0.4,20825,0.1,27722,1.50 115,1,2024-09-07 08:20:50:573,96455,96455,0,0,45322834394,481189563,94083,1885,487,383,391506,0 115,2,2024-09-07 08:20:51:126,68563,68563,0,0,3283766,0,2152 115,3,2024-09-07 08:20:51:004,1,55,0,0,159,453,55,0 116,0,2024-09-07 08:20:51:754,15519,1.1,15469,1.1,31034,1.6,41942,2.00 116,1,2024-09-07 08:20:50:861,95583,95583,0,0,44974077591,482660018,93716,997,870,382,391580,0 116,2,2024-09-07 08:20:51:773,69398,69398,0,0,4128867,0,3529 116,3,2024-09-07 08:20:50:925,1,55,14,1,252,1210,55,0 117,0,2024-09-07 08:20:50:975,17050,2.9,17136,1.7,34228,4.1,45798,2.25 117,1,2024-09-07 08:20:51:584,96457,96457,0,0,44672917432,475949775,94385,1782,290,371,392033,0 117,2,2024-09-07 08:20:51:120,71446,71446,0,0,3748068,0,3700 117,3,2024-09-07 08:20:51:065,1,55,68,0,490,1661,55,0 118,0,2024-09-07 08:20:51:812,12275,1.1,12528,1.0,25737,1.4,33681,2.25 118,1,2024-09-07 08:20:50:585,96436,96436,0,0,44971222483,475981821,95222,1136,78,368,391736,0 118,2,2024-09-07 08:20:51:596,68388,68388,0,0,3999940,0,2781 118,3,2024-09-07 08:20:51:791,1,55,1,1,235,1073,55,0 119,0,2024-09-07 08:20:51:371,10971,0.3,11018,0.5,22587,0.2,29147,1.75 119,1,2024-09-07 08:20:50:668,96487,96487,0,0,45409178092,480783266,94888,1451,148,371,391617,0 119,2,2024-09-07 08:20:51:281,68101,68101,0,0,3683286,0,2532 119,3,2024-09-07 08:20:51:341,1,55,9,1,443,2033,55,0 120,0,2024-09-07 08:20:51:614,13076,1.5,12929,1.3,25923,3.1,35111,2.50 120,1,2024-09-07 08:20:50:872,96325,96325,0,0,44971514832,478679260,94828,1482,15,368,391702,0 120,2,2024-09-07 08:20:50:774,69312,69311,1,0,4889059,0,5281 120,3,2024-09-07 08:20:51:295,1,55,1,1,241,944,55,0 121,0,2024-09-07 08:20:51:761,17887,2.9,18004,1.7,36015,4.1,48298,2.75 121,1,2024-09-07 08:20:51:686,96246,96246,0,0,45243631329,480447777,94387,1593,266,368,391807,0 121,2,2024-09-07 08:20:51:137,68589,68589,0,0,5220330,0,4127 121,3,2024-09-07 08:20:50:740,1,55,6,0,101,613,55,0 122,0,2024-09-07 08:20:51:788,15279,2.7,14745,2.3,30705,3.1,41410,2.50 122,1,2024-09-07 08:20:50:861,95376,95376,0,0,44962280698,483677694,92381,2471,524,368,392130,0 122,2,2024-09-07 08:20:51:323,68946,68946,0,0,5290667,0,3364 122,3,2024-09-07 08:20:50:602,1,55,1,1,226,1341,55,0 123,0,2024-09-07 08:20:51:031,10778,0.3,10428,0.6,21621,0.2,28609,1.75 123,1,2024-09-07 08:20:50:560,96095,96095,0,0,44806911166,483131997,92686,2842,567,369,391823,0 123,2,2024-09-07 08:20:51:020,68927,68926,1,0,4238469,0,5215 123,3,2024-09-07 08:20:51:146,1,55,12,1,160,860,55,0 124,0,2024-09-07 08:20:51:021,11290,0.3,11305,0.6,21270,0.2,29444,1.75 124,1,2024-09-07 08:20:51:024,96859,96859,0,0,45297721197,474228619,96070,646,143,367,392178,0 124,2,2024-09-07 08:20:51:027,68652,68652,0,0,3392173,0,2477 124,3,2024-09-07 08:20:50:774,1,55,3,1,490,1482,55,0 125,0,2024-09-07 08:20:51:512,17471,0.7,17589,0.8,35187,0.9,47221,2.00 125,1,2024-09-07 08:20:50:876,96238,96238,0,0,44951330534,476756487,94508,1458,272,384,391702,0 125,2,2024-09-07 08:20:51:153,68847,68847,0,0,3761391,0,2180 125,3,2024-09-07 08:20:51:133,1,55,13,1,93,938,55,0 126,0,2024-09-07 08:20:51:461,16418,1.6,16857,1.1,32278,2.5,43797,2.00 126,1,2024-09-07 08:20:50:556,96661,96661,0,0,45255833697,474947596,95621,988,52,365,391719,0 126,2,2024-09-07 08:20:50:632,70931,70931,0,0,4079379,0,3186 126,3,2024-09-07 08:20:50:911,1,55,1,0,122,853,55,0 127,0,2024-09-07 08:20:51:663,9801,0.3,9699,0.5,19280,0.2,25406,1.50 127,1,2024-09-07 08:20:50:569,96396,96396,0,0,45255143694,474160890,95264,1109,23,365,391614,0 127,2,2024-09-07 08:20:50:662,69132,69132,0,0,3276495,0,1803 127,3,2024-09-07 08:20:51:268,1,55,2,0,99,567,55,0 128,0,2024-09-07 08:20:51:683,10184,0.3,10325,0.5,20159,0.2,27049,1.50 128,1,2024-09-07 08:20:51:613,96426,96426,0,0,45348085559,474024800,95721,636,69,369,391605,0 128,2,2024-09-07 08:20:51:393,68035,68035,0,0,4042410,0,2107 128,3,2024-09-07 08:20:50:780,1,55,62,1,112,798,55,0 129,0,2024-09-07 08:20:51:114,16756,0.5,16754,0.7,33301,0.6,44614,1.75 129,1,2024-09-07 08:20:50:579,96024,96024,0,0,45009928328,477962043,94211,1541,272,379,391835,0 129,2,2024-09-07 08:20:50:705,68549,68549,0,0,3507633,0,2446 129,3,2024-09-07 08:20:50:706,1,55,11,1,173,956,55,0 130,0,2024-09-07 08:20:51:822,16871,1.7,16742,1.3,33589,2.4,45042,2.25 130,1,2024-09-07 08:20:50:585,96638,96638,0,0,45388369307,479193097,95334,1274,30,381,391520,0 130,2,2024-09-07 08:20:51:126,71690,71690,0,0,4226735,0,4067 130,3,2024-09-07 08:20:51:298,1,55,1,1,207,598,55,0 131,0,2024-09-07 08:20:51:990,11569,1.4,11357,1.2,23170,2.0,30711,2.00 131,1,2024-09-07 08:20:51:826,96782,96782,0,0,45281197356,476922996,95775,821,186,385,391865,0 131,2,2024-09-07 08:20:50:570,67913,67913,0,0,3369001,0,2415 131,3,2024-09-07 08:20:51:695,1,55,2,0,392,993,55,0 132,0,2024-09-07 08:20:51:470,10624,0.4,10967,0.7,21511,0.3,28336,1.75 132,1,2024-09-07 08:20:50:578,95641,95641,0,0,44972434028,481624497,93081,1855,705,382,391574,0 132,2,2024-09-07 08:20:50:711,68011,68011,0,0,5246612,0,4606 132,3,2024-09-07 08:20:51:709,1,55,7,1,356,948,55,0 133,0,2024-09-07 08:20:51:618,14106,0.7,14328,0.8,29693,0.7,38787,2.00 133,1,2024-09-07 08:20:50:609,95555,95555,0,0,45251795137,483561661,93442,2020,93,383,391755,0 133,2,2024-09-07 08:20:51:113,70226,70226,0,0,4276236,0,2444 133,3,2024-09-07 08:20:51:297,1,55,18,1,187,534,55,0 134,0,2024-09-07 08:20:50:961,18059,1.4,18042,1.3,36181,2.2,47932,2.25 134,1,2024-09-07 08:20:50:586,96313,96313,0,0,45182621678,482558724,93702,1631,980,366,391718,0 134,2,2024-09-07 08:20:51:758,70002,70002,0,0,3477831,0,2026 134,3,2024-09-07 08:20:50:758,1,55,11,1,739,1704,55,0 135,0,2024-09-07 08:20:51:116,13552,2.1,13579,1.9,28631,2.4,36872,2.50 135,1,2024-09-07 08:20:51:602,95649,95649,0,0,45321510052,481237151,93739,1585,325,383,391645,0 135,2,2024-09-07 08:20:50:693,68979,68979,0,0,4141755,0,3981 135,3,2024-09-07 08:20:51:002,1,55,1,0,68,428,55,0 136,0,2024-09-07 08:20:51:643,11407,0.4,11330,0.7,22554,0.3,30067,2.00 136,1,2024-09-07 08:20:51:462,96511,96511,0,0,45043070114,478988838,94624,1742,145,384,391518,0 136,2,2024-09-07 08:20:51:137,69484,69484,0,0,3776891,0,2379 136,3,2024-09-07 08:20:51:119,1,55,19,1,108,782,55,0 137,0,2024-09-07 08:20:50:976,12367,1.3,12088,1.2,23951,1.5,32663,2.25 137,1,2024-09-07 08:20:50:591,96134,96134,0,0,45580168229,483702672,94169,1852,113,368,391608,0 137,2,2024-09-07 08:20:51:736,69189,69189,0,0,4678791,0,2632 137,3,2024-09-07 08:20:50:772,1,55,3,1,227,870,55,0 138,0,2024-09-07 08:20:51:900,17335,3.1,17523,1.8,35444,4.0,47256,3.25 138,1,2024-09-07 08:20:51:706,95669,95669,0,0,45638312253,485806420,93357,2052,260,371,391615,0 138,2,2024-09-07 08:20:50:586,69853,69853,0,0,3975845,0,3263 138,3,2024-09-07 08:20:50:617,1,55,12,1,1160,1735,55,0 139,0,2024-09-07 08:20:51:420,15231,5.0,15277,2.7,30825,5.8,41939,4.00 139,1,2024-09-07 08:20:50:574,95741,95741,0,0,44940706543,486765023,92864,2150,727,381,391892,0 139,2,2024-09-07 08:20:50:693,69040,69040,0,0,4948581,0,3097 139,3,2024-09-07 08:20:51:683,1,55,17,1,244,1216,55,0 140,0,2024-09-07 08:20:51:661,9959,0.3,9821,0.6,19946,0.2,26465,1.75 140,1,2024-09-07 08:20:51:563,97219,97219,0,0,45787739203,477891139,96292,730,197,365,391483,0 140,2,2024-09-07 08:20:50:689,69395,69395,0,0,4193683,0,3388 140,3,2024-09-07 08:20:50:769,1,55,1,1,25,496,55,0 141,0,2024-09-07 08:20:51:736,10241,0.2,10746,0.4,20740,0.1,28505,1.50 141,1,2024-09-07 08:20:50:859,97094,97094,0,0,45339020515,477312209,95675,1088,331,382,391538,0 141,2,2024-09-07 08:20:51:699,69120,69120,0,0,3721469,0,2342 141,3,2024-09-07 08:20:51:044,1,55,4,0,147,488,55,0 142,0,2024-09-07 08:20:51:323,17293,0.5,17020,0.7,33761,0.4,45315,1.75 142,1,2024-09-07 08:20:50:589,96803,96803,0,0,45545492017,477798509,96257,531,15,384,391649,0 142,2,2024-09-07 08:20:51:310,68171,68171,0,0,3989454,0,2293 142,3,2024-09-07 08:20:51:758,1,55,4,1,484,1138,55,0 143,0,2024-09-07 08:20:51:485,16031,1.4,16047,1.1,32368,1.8,43047,2.25 143,1,2024-09-07 08:20:50:557,96775,96775,0,0,45327211436,474603813,95809,941,25,367,391608,0 143,2,2024-09-07 08:20:50:775,71183,71183,0,0,4408160,0,2669 143,3,2024-09-07 08:20:51:149,1,55,6,1,236,1038,55,0 144,0,2024-09-07 08:20:51:515,10415,2.4,10874,7.3,21639,2.2,29374,2.50 144,1,2024-09-07 08:20:50:572,95941,95941,0,0,44998151764,478671830,94379,1373,189,383,391638,0 144,2,2024-09-07 08:20:51:758,68514,68514,0,0,3732544,0,3473 144,3,2024-09-07 08:20:51:747,1,55,7,1,169,1125,55,0 145,0,2024-09-07 08:20:51:409,10040,0.4,9976,0.6,21052,0.3,27577,2.00 145,1,2024-09-07 08:20:50:566,95481,95481,0,0,46012306288,488881743,93564,1656,261,383,391615,0 145,2,2024-09-07 08:20:51:444,68000,68000,0,0,4389831,0,3903 145,3,2024-09-07 08:20:50:903,1,55,2,0,151,843,55,0 146,0,2024-09-07 08:20:51:706,15482,1.0,15500,1.0,31219,1.6,41768,2.25 146,1,2024-09-07 08:20:51:612,96511,96511,0,0,45528216035,484865982,94223,1987,301,370,391600,0 146,2,2024-09-07 08:20:51:746,69050,69050,0,0,4105010,0,2379 146,3,2024-09-07 08:20:51:284,1,55,1,0,1520,2923,55,0 147,0,2024-09-07 08:20:51:806,17041,3.5,16791,2.0,33345,5.0,45896,3.50 147,1,2024-09-07 08:20:51:385,96442,96442,0,0,45186923860,475756717,94739,1349,354,369,391791,0 147,2,2024-09-07 08:20:51:017,71688,71688,0,0,4126457,0,2789 147,3,2024-09-07 08:20:50:925,1,55,1,1,141,737,55,0 0,0,2024-09-07 08:21:01:738,13060,1.0,12985,1.0,27541,1.5,36117,2.00 0,1,2024-09-07 08:21:00:822,98223,98223,0,0,46192315667,490104249,97011,1086,126,372,391673,0 0,2,2024-09-07 08:21:01:077,70759,70759,0,0,4185454,0,4480 0,3,2024-09-07 08:21:00:974,1,56,8,0,247,1006,56,0 1,0,2024-09-07 08:21:01:762,17871,3.3,17882,2.0,35992,4.8,48303,3.25 1,1,2024-09-07 08:21:00:565,97648,97648,0,0,45492622185,483644594,95971,1151,526,372,391857,0 1,2,2024-09-07 08:21:00:645,70774,70774,0,0,3832126,0,3267 1,3,2024-09-07 08:21:01:309,1,56,1,1,167,631,56,0 2,0,2024-09-07 08:21:01:576,15246,2.0,15474,1.6,30552,4.1,41046,2.75 2,1,2024-09-07 08:21:00:861,97924,97924,0,0,46247479891,486438561,96847,874,203,382,391558,0 2,2,2024-09-07 08:21:01:266,69269,69269,0,0,3983106,0,3304 2,3,2024-09-07 08:21:00:691,1,56,1,0,214,678,56,0 3,0,2024-09-07 08:21:01:751,11127,0.4,11116,0.6,22353,0.2,29567,2.00 3,1,2024-09-07 08:21:01:618,97650,97650,0,0,45399021207,480861517,95672,1592,386,382,391514,0 3,2,2024-09-07 08:21:01:147,70258,70235,23,0,4458766,0,5851 3,3,2024-09-07 08:21:01:752,1,56,3,0,103,528,56,0 4,0,2024-09-07 08:21:01:803,10958,0.3,11258,0.5,22854,0.2,30142,1.75 4,1,2024-09-07 08:21:00:595,98065,98065,0,0,45640405994,486395982,96243,1406,416,372,391846,0 4,2,2024-09-07 08:21:01:033,70607,70607,0,0,4717832,0,4528 4,3,2024-09-07 08:21:01:033,1,56,8,1,287,1035,56,0 5,0,2024-09-07 08:21:01:391,18066,0.9,17848,0.9,36210,1.1,48553,2.00 5,1,2024-09-07 08:21:00:830,97573,97573,0,0,46062190276,490207247,95392,1742,439,368,392005,0 5,2,2024-09-07 08:21:01:838,70097,70097,0,0,3735501,0,2259 5,3,2024-09-07 08:21:01:733,1,56,2,1,238,1328,56,0 6,0,2024-09-07 08:21:00:924,16640,1.8,16463,1.6,32813,3.0,44344,3.75 6,1,2024-09-07 08:21:00:747,98438,98438,0,0,45723176489,480362406,97352,1017,69,382,391603,0 6,2,2024-09-07 08:21:01:117,71726,71726,0,0,3980561,0,2411 6,3,2024-09-07 08:21:01:275,1,56,2,1,340,1379,56,0 7,0,2024-09-07 08:21:01:550,9719,0.3,9652,0.5,19568,0.2,25812,1.75 7,1,2024-09-07 08:21:00:849,98149,98149,0,0,46296625759,487169462,97171,914,64,383,391664,0 7,2,2024-09-07 08:21:00:772,70342,70342,0,0,3718755,0,2981 7,3,2024-09-07 08:21:00:855,1,56,1,0,83,560,56,0 8,0,2024-09-07 08:21:01:412,10589,0.3,10402,0.5,20628,0.2,27874,1.75 8,1,2024-09-07 08:21:01:042,97995,97995,0,0,45742150972,495479105,94527,2216,1252,368,391724,0 8,2,2024-09-07 08:21:00:797,68693,68693,0,0,4689931,0,2986 8,3,2024-09-07 08:21:00:589,1,56,1,0,229,979,56,0 9,0,2024-09-07 08:21:01:245,16952,0.4,16545,0.6,34515,0.4,45487,1.75 9,1,2024-09-07 08:21:00:585,98076,98076,0,0,46386183440,494331211,95801,1709,566,370,391576,0 9,2,2024-09-07 08:21:01:094,69976,69976,0,0,4292279,0,3360 9,3,2024-09-07 08:21:01:755,1,56,1,0,149,910,56,0 10,0,2024-09-07 08:21:01:625,16754,1.4,16835,1.2,33788,2.4,44989,3.25 10,1,2024-09-07 08:21:00:585,97357,97357,0,0,45829356374,492528520,94023,2812,522,383,391541,0 10,2,2024-09-07 08:21:00:762,72286,72286,0,0,4508580,0,2940 10,3,2024-09-07 08:21:00:871,1,56,1,0,136,517,56,0 11,0,2024-09-07 08:21:01:012,11218,3.0,10956,2.1,22966,5.4,30953,2.75 11,1,2024-09-07 08:21:00:574,97637,97637,0,0,45353959117,485142041,95000,1822,815,384,391537,0 11,2,2024-09-07 08:21:01:124,69402,69402,0,0,4197294,0,2635 11,3,2024-09-07 08:21:01:308,1,56,1,0,720,2160,56,0 12,0,2024-09-07 08:21:01:008,10936,0.3,11034,0.5,21902,0.2,28599,1.75 12,1,2024-09-07 08:21:00:962,97802,97802,0,0,45194931288,479676583,96171,1532,99,371,391790,0 12,2,2024-09-07 08:21:01:548,70081,70081,0,0,3934832,0,2254 12,3,2024-09-07 08:21:01:064,1,56,3,1,358,1316,56,0 13,0,2024-09-07 08:21:01:369,14937,0.5,15060,0.6,29916,0.5,40325,1.75 13,1,2024-09-07 08:21:01:526,98223,98223,0,0,45753639834,487246742,96641,1216,366,384,391710,0 13,2,2024-09-07 08:21:00:603,71086,71086,0,0,3691049,0,3287 13,3,2024-09-07 08:21:01:762,1,56,10,1,373,1148,56,0 14,0,2024-09-07 08:21:00:579,18135,1.3,18217,1.2,36028,1.5,47993,2.75 14,1,2024-09-07 08:21:01:562,98917,98917,0,0,46025969980,481453117,98039,848,30,365,391546,0 14,2,2024-09-07 08:21:00:765,71271,71271,0,0,4248871,0,2793 14,3,2024-09-07 08:21:01:115,1,56,1,1,906,1253,56,0 15,0,2024-09-07 08:21:01:562,13914,2.7,13762,1.8,27638,4.7,37068,3.50 15,1,2024-09-07 08:21:01:617,98105,98105,0,0,45809390418,480889565,97322,748,35,381,391536,0 15,2,2024-09-07 08:21:00:998,69998,69998,0,0,3315686,0,3043 15,3,2024-09-07 08:21:01:406,1,56,1,0,538,1783,56,0 16,0,2024-09-07 08:21:00:974,11419,0.4,11642,0.6,22770,0.3,30340,2.00 16,1,2024-09-07 08:21:00:565,97882,97882,0,0,45558586974,482304964,96764,1094,24,372,391543,0 16,2,2024-09-07 08:21:01:436,69356,69356,0,0,4535191,0,4719 16,3,2024-09-07 08:21:01:150,1,56,7,1,231,1175,56,0 17,0,2024-09-07 08:21:01:844,12847,0.7,12571,0.9,24683,0.7,33911,2.25 17,1,2024-09-07 08:21:00:585,97735,97735,0,0,45831929509,489926581,95875,1338,522,369,391688,0 17,2,2024-09-07 08:21:01:668,71571,71571,0,0,3511630,0,2857 17,3,2024-09-07 08:21:00:581,1,56,4,0,268,1309,56,0 18,0,2024-09-07 08:21:00:951,17472,1.8,17682,1.4,35557,2.2,47055,2.75 18,1,2024-09-07 08:21:01:644,98008,98008,0,0,45508459924,478909302,96760,1030,218,368,391555,0 18,2,2024-09-07 08:21:01:755,69935,69935,0,0,4373672,0,3541 18,3,2024-09-07 08:21:00:897,1,56,2,0,163,898,56,0 19,0,2024-09-07 08:21:01:548,15642,2.1,15717,1.7,31112,2.2,41761,5.75 19,1,2024-09-07 08:21:00:573,98259,98259,0,0,46362820860,490691629,96395,1701,163,367,391696,0 19,2,2024-09-07 08:21:01:752,70782,70782,0,0,4039597,0,3988 19,3,2024-09-07 08:21:01:129,1,56,7,0,524,926,56,0 20,0,2024-09-07 08:21:01:399,10236,0.3,10304,0.5,20174,0.2,26669,1.75 20,1,2024-09-07 08:21:00:593,98201,98201,0,0,46632320293,493546724,96520,1520,161,370,391598,0 20,2,2024-09-07 08:21:00:931,70438,70438,0,0,3510217,0,2446 20,3,2024-09-07 08:21:00:589,1,56,6,1,99,829,56,0 21,0,2024-09-07 08:21:01:167,11228,0.4,11182,0.6,22074,0.3,29575,2.00 21,1,2024-09-07 08:21:01:543,97467,97467,0,0,45169675727,482518785,95230,1748,489,368,391962,0 21,2,2024-09-07 08:21:01:099,69974,69974,0,0,3819131,0,3747 21,3,2024-09-07 08:21:01:421,1,56,5,0,93,869,56,0 22,0,2024-09-07 08:21:01:725,17020,0.7,16935,0.9,33842,0.7,45603,2.25 22,1,2024-09-07 08:21:01:034,97630,97630,0,0,45588440742,488121017,94688,2217,725,382,391667,0 22,2,2024-09-07 08:21:00:760,70030,70030,0,0,3475445,0,3134 22,3,2024-09-07 08:21:01:079,1,56,10,0,62,322,56,0 23,0,2024-09-07 08:21:01:375,16195,1.9,16384,1.3,32593,2.8,43940,2.75 23,1,2024-09-07 08:21:01:003,98470,98470,0,0,46430160461,486334701,97124,1092,254,368,391496,0 23,2,2024-09-07 08:21:01:093,72456,72456,0,0,3622763,0,2078 23,3,2024-09-07 08:21:01:754,1,56,1,0,645,1212,56,0 24,0,2024-09-07 08:21:00:832,11276,0.7,11415,0.8,22650,0.9,30065,1.75 24,1,2024-09-07 08:21:00:609,98540,98540,0,0,45839454243,481382017,97739,794,7,371,391640,0 24,2,2024-09-07 08:21:01:082,69481,69481,0,0,4760745,0,2942 24,3,2024-09-07 08:21:01:688,1,56,1,1,234,909,56,0 25,0,2024-09-07 08:21:01:380,10958,0.3,10754,0.5,20849,0.2,28665,1.75 25,1,2024-09-07 08:21:00:573,97890,97890,0,0,45773567199,488345363,95752,1825,313,371,391788,0 25,2,2024-09-07 08:21:01:624,69409,69409,0,0,4308701,0,3284 25,3,2024-09-07 08:21:01:005,1,56,2,1,158,602,56,0 26,0,2024-09-07 08:21:01:732,15878,0.5,15584,0.7,32678,0.5,43212,1.75 26,1,2024-09-07 08:21:01:542,98024,98024,0,0,45633679651,490987849,94564,2625,835,382,391564,0 26,2,2024-09-07 08:21:00:861,70486,70486,0,0,4470797,0,2809 26,3,2024-09-07 08:21:01:722,1,56,1,0,796,1220,56,0 27,0,2024-09-07 08:21:01:738,17158,1.5,17257,1.1,34507,2.0,46269,2.25 27,1,2024-09-07 08:21:01:677,98595,98595,0,0,46778245462,489970094,97527,773,295,381,391539,0 27,2,2024-09-07 08:21:00:872,71503,71503,0,0,5447784,0,3409 27,3,2024-09-07 08:21:01:017,1,56,1,0,564,996,56,0 28,0,2024-09-07 08:21:01:410,12794,0.8,12975,1.0,25687,1.1,34228,2.00 28,1,2024-09-07 08:21:00:823,98375,98375,0,0,46935782803,493696914,97317,858,200,383,391646,0 28,2,2024-09-07 08:21:01:764,69323,69323,0,0,3516535,0,2609 28,3,2024-09-07 08:21:01:778,1,56,3,1,502,1132,56,0 29,0,2024-09-07 08:21:01:382,11326,0.3,11080,0.5,21890,0.2,29228,1.75 29,1,2024-09-07 08:21:01:562,99254,99254,0,0,45973985131,478917582,98511,571,172,369,391621,0 29,2,2024-09-07 08:21:00:880,70316,70316,0,0,3078063,0,2026 29,3,2024-09-07 08:21:00:963,1,56,2,1,105,626,56,0 30,0,2024-09-07 08:21:01:467,13464,0.9,13036,0.9,27244,0.9,36063,2.50 30,1,2024-09-07 08:21:00:575,98029,98029,0,0,46393315172,487608603,97056,908,65,382,391524,0 30,2,2024-09-07 08:21:01:276,71450,71450,0,0,3138990,0,2534 30,3,2024-09-07 08:21:00:581,1,56,3,0,195,592,56,0 31,0,2024-09-07 08:21:01:767,18123,1.9,17945,1.3,36464,3.4,48059,3.25 31,1,2024-09-07 08:21:00:564,98511,98511,0,0,46490976609,479847735,98264,245,2,356,391553,0 31,2,2024-09-07 08:21:01:276,71208,71208,0,0,4528971,0,3525 31,3,2024-09-07 08:21:01:708,1,56,1,0,129,516,56,0 32,0,2024-09-07 08:21:01:422,15547,1.8,15667,1.3,31434,3.1,41654,2.00 32,1,2024-09-07 08:21:00:808,98088,98088,0,0,45994088647,482747908,97092,968,28,382,391595,0 32,2,2024-09-07 08:21:00:956,70429,70429,0,0,3741746,0,3155 32,3,2024-09-07 08:21:01:014,1,56,1,0,110,598,56,0 33,0,2024-09-07 08:21:01:548,11163,0.3,10984,0.5,22413,0.2,29451,1.75 33,1,2024-09-07 08:21:00:577,98310,98310,0,0,47010665921,493678514,96652,1535,123,369,391526,0 33,2,2024-09-07 08:21:00:759,70114,70081,33,0,5305725,0,7012 33,3,2024-09-07 08:21:00:903,1,56,1,1,63,347,56,0 34,0,2024-09-07 08:21:00:942,11284,0.3,11657,0.5,22441,0.2,30094,1.75 34,1,2024-09-07 08:21:01:044,98803,98803,0,0,46703772330,485092271,98211,588,4,367,391517,0 34,2,2024-09-07 08:21:00:765,70185,70185,0,0,4327936,0,3255 34,3,2024-09-07 08:21:01:690,1,56,1,0,148,648,56,0 35,0,2024-09-07 08:21:00:894,17773,1.0,17914,0.9,35875,1.4,48429,2.00 35,1,2024-09-07 08:21:01:077,98166,98166,0,0,46600336887,489065814,96701,1104,361,384,391587,0 35,2,2024-09-07 08:21:01:583,70049,70049,0,0,3688141,0,2542 35,3,2024-09-07 08:21:00:907,1,56,14,0,219,1023,56,0 36,0,2024-09-07 08:21:01:528,16662,2.4,16489,1.6,33416,3.6,44471,2.75 36,1,2024-09-07 08:21:00:584,97922,97922,0,0,46381772227,494479713,94911,2080,931,366,391535,0 36,2,2024-09-07 08:21:01:755,71154,71154,0,0,4266708,0,3303 36,3,2024-09-07 08:21:00:863,1,56,1,0,378,1040,56,0 37,0,2024-09-07 08:21:01:402,9801,0.3,9751,0.5,19636,0.2,25838,1.75 37,1,2024-09-07 08:21:00:583,97506,97499,0,7,45587239584,489968046,94661,1321,1517,365,391518,0 37,2,2024-09-07 08:21:01:161,70412,70412,0,0,3190214,0,2333 37,3,2024-09-07 08:21:01:765,1,56,17,0,724,1728,56,0 38,0,2024-09-07 08:21:01:452,10467,0.3,10116,0.5,21146,0.2,27800,1.75 38,1,2024-09-07 08:21:01:606,98087,98087,0,0,45992931309,487211209,95945,1695,447,370,391513,0 38,2,2024-09-07 08:21:00:760,69757,69757,0,0,3946652,0,3245 38,3,2024-09-07 08:21:00:997,1,56,1,0,603,1003,56,0 39,0,2024-09-07 08:21:01:767,17513,0.5,17318,0.6,33585,0.6,45797,1.75 39,1,2024-09-07 08:21:00:717,98249,98249,0,0,45633480438,484032289,95689,1922,638,366,391524,0 39,2,2024-09-07 08:21:01:417,69883,69883,0,0,3600745,0,2381 39,3,2024-09-07 08:21:00:719,1,56,1,0,276,1150,56,0 40,0,2024-09-07 08:21:01:507,16451,2.5,16793,2.5,32999,5.0,44540,4.00 40,1,2024-09-07 08:21:00:584,97753,97753,0,0,45255243325,484341395,94503,2497,753,370,391591,0 40,2,2024-09-07 08:21:01:305,72403,72402,1,0,4779664,0,5137 40,3,2024-09-07 08:21:01:148,1,56,2,1,110,728,56,0 41,0,2024-09-07 08:21:01:057,10963,2.0,11281,6.6,21579,5.3,29834,4.00 41,1,2024-09-07 08:21:00:776,97892,97892,0,0,46506824416,494090907,95586,1993,313,370,391484,0 41,2,2024-09-07 08:21:00:759,69341,69341,0,0,4805220,0,3356 41,3,2024-09-07 08:21:01:676,1,56,1,0,168,637,56,0 42,0,2024-09-07 08:21:01:498,10792,0.4,11017,0.7,21771,0.3,28620,2.00 42,1,2024-09-07 08:21:01:440,97704,97704,0,0,45434873735,487722878,94712,2162,830,381,391511,0 42,2,2024-09-07 08:21:01:137,69788,69788,0,0,4224716,0,3568 42,3,2024-09-07 08:21:01:016,1,56,1,0,100,547,56,0 43,0,2024-09-07 08:21:00:947,14836,1.7,14593,1.2,30313,2.8,40384,2.00 43,1,2024-09-07 08:21:00:595,97964,97964,0,0,45991362425,487508574,95775,1437,752,367,391604,0 43,2,2024-09-07 08:21:01:737,71181,71181,0,0,4446842,0,3812 43,3,2024-09-07 08:21:01:749,1,56,8,0,292,1158,56,0 44,0,2024-09-07 08:21:00:884,18143,1.2,18138,1.3,36071,1.4,48509,2.25 44,1,2024-09-07 08:21:00:564,98394,98394,0,0,45701832816,477378858,97377,910,107,357,391523,0 44,2,2024-09-07 08:21:01:267,71478,71478,0,0,3285926,0,1865 44,3,2024-09-07 08:21:01:094,1,56,1,1,817,1308,56,0 45,0,2024-09-07 08:21:01:770,13747,3.2,13447,1.9,28179,5.6,37365,2.25 45,1,2024-09-07 08:21:01:012,98381,98381,0,0,46642073073,487374607,97915,465,1,382,391917,0 45,2,2024-09-07 08:21:01:268,69966,69966,0,0,3875987,0,2628 45,3,2024-09-07 08:21:00:939,1,56,3,1,226,754,56,0 46,0,2024-09-07 08:21:00:976,11540,0.2,11485,0.5,23114,0.2,30374,1.50 46,1,2024-09-07 08:21:00:575,98401,98401,0,0,46018695531,479876106,97571,794,36,368,391514,0 46,2,2024-09-07 08:21:00:593,70314,70314,0,0,3800061,0,2920 46,3,2024-09-07 08:21:01:131,1,56,1,0,315,1029,56,0 47,0,2024-09-07 08:21:01:124,12511,0.5,12721,0.6,25304,0.4,33745,1.75 47,1,2024-09-07 08:21:00:567,98731,98731,0,0,45863291538,476552846,98225,502,4,367,391605,0 47,2,2024-09-07 08:21:00:907,72199,72199,0,0,3806744,0,2558 47,3,2024-09-07 08:21:01:115,1,56,2,1,529,1050,56,0 48,0,2024-09-07 08:21:01:507,18292,0.4,18064,0.6,35828,0.4,47813,1.75 48,1,2024-09-07 08:21:01:050,98408,98408,0,0,46063414576,484052504,97213,1190,5,386,391637,0 48,2,2024-09-07 08:21:00:699,70813,70813,0,0,3088328,0,2083 48,3,2024-09-07 08:21:00:753,1,56,2,1,30,556,56,0 49,0,2024-09-07 08:21:01:743,16324,1.9,15930,1.4,31288,3.4,43191,2.00 49,1,2024-09-07 08:21:01:050,98096,98096,0,0,46390284715,491202402,96360,1027,709,382,391583,0 49,2,2024-09-07 08:21:01:807,70636,70636,0,0,4174734,0,3900 49,3,2024-09-07 08:21:01:417,1,56,5,0,274,760,56,0 50,0,2024-09-07 08:21:01:539,10323,0.2,10101,0.4,20299,0.1,27163,1.75 50,1,2024-09-07 08:21:01:012,98891,98891,0,0,46485944986,488253527,97225,1369,297,368,391530,0 50,2,2024-09-07 08:21:01:077,70897,70897,0,0,3365772,0,2253 50,3,2024-09-07 08:21:01:293,1,56,1,1,335,863,56,0 51,0,2024-09-07 08:21:01:694,11254,0.2,11019,0.4,21586,0.1,29696,1.50 51,1,2024-09-07 08:21:01:682,97971,97971,0,0,47025795827,494915179,96173,1016,782,367,391547,0 51,2,2024-09-07 08:21:01:320,70035,70035,0,0,2946243,0,2448 51,3,2024-09-07 08:21:01:029,1,56,0,0,162,448,56,0 52,0,2024-09-07 08:21:01:425,17207,1.0,17326,1.0,34542,1.2,45832,2.50 52,1,2024-09-07 08:21:00:575,98037,98037,0,0,45500417980,484957586,95541,2032,464,368,391529,0 52,2,2024-09-07 08:21:01:755,69686,69686,0,0,3949362,0,4779 52,3,2024-09-07 08:21:00:675,1,56,5,1,1782,2370,56,0 53,0,2024-09-07 08:21:01:766,16164,2.9,15595,1.8,32255,3.9,43212,3.25 53,1,2024-09-07 08:21:00:790,97820,97820,0,0,46015977451,490077391,95218,2028,574,370,391617,0 53,2,2024-09-07 08:21:01:306,73094,73094,0,0,3467398,0,1902 53,3,2024-09-07 08:21:00:697,1,56,1,0,59,357,56,0 54,0,2024-09-07 08:21:01:641,10426,10.0,10537,5.4,20853,2.4,28977,5.25 54,1,2024-09-07 08:21:00:581,97873,97873,0,0,46133930961,487445691,95765,1792,316,367,391520,0 54,2,2024-09-07 08:21:00:872,70375,70369,6,0,4089914,0,5382 54,3,2024-09-07 08:21:00:785,1,56,38,0,676,1510,56,0 55,0,2024-09-07 08:21:01:781,10419,0.4,10678,0.7,21784,0.2,28424,2.00 55,1,2024-09-07 08:21:00:787,97598,97598,0,0,45705498486,486671533,94173,2723,702,367,391731,0 55,2,2024-09-07 08:21:00:735,69345,69345,0,0,4008631,0,3275 55,3,2024-09-07 08:21:00:680,1,56,3,0,136,669,56,0 56,0,2024-09-07 08:21:01:627,16374,2.0,15506,1.5,31757,3.2,43024,2.50 56,1,2024-09-07 08:21:00:574,97588,97588,0,0,46148839537,498396796,94210,2632,746,383,391536,0 56,2,2024-09-07 08:21:01:305,71016,71016,0,0,4649082,0,3567 56,3,2024-09-07 08:21:01:064,1,56,2,1,297,863,56,0 57,0,2024-09-07 08:21:00:951,16840,4.1,16533,2.3,33425,6.2,44953,4.75 57,1,2024-09-07 08:21:00:998,97629,97629,0,0,45465558425,480896037,96160,1450,19,368,391760,0 57,2,2024-09-07 08:21:01:323,72391,72391,0,0,4651354,0,3178 57,3,2024-09-07 08:21:01:747,1,56,1,1,359,1414,56,0 58,0,2024-09-07 08:21:00:575,12077,0.9,11719,1.2,24538,0.8,32230,3.00 58,1,2024-09-07 08:21:00:580,98793,98791,0,2,46383703280,488077564,97195,1437,159,369,391516,2 58,2,2024-09-07 08:21:01:076,69508,69508,0,0,4363935,0,2549 58,3,2024-09-07 08:21:01:076,1,56,2,1,219,756,56,0 59,0,2024-09-07 08:21:01:748,11253,0.7,11105,0.9,22415,0.6,28900,2.25 59,1,2024-09-07 08:21:00:807,97649,97649,0,0,45946151156,489698337,95404,1478,767,369,391515,0 59,2,2024-09-07 08:21:00:586,70135,70135,0,0,3823796,0,2604 59,3,2024-09-07 08:21:01:744,1,56,1,0,1015,1623,56,0 60,0,2024-09-07 08:21:01:747,13491,0.7,13623,0.8,26938,0.9,36215,1.75 60,1,2024-09-07 08:21:00:798,98941,98941,0,0,45872290930,481560454,97871,726,344,370,391712,0 60,2,2024-09-07 08:21:01:144,71012,71012,0,0,3575895,0,2142 60,3,2024-09-07 08:21:01:259,1,56,1,0,124,792,56,0 61,0,2024-09-07 08:21:01:538,18003,3.2,17984,1.8,35932,4.6,48212,2.50 61,1,2024-09-07 08:21:00:771,97878,97878,0,0,45915643969,490732816,95327,2017,534,383,391589,0 61,2,2024-09-07 08:21:01:121,71204,71204,0,0,3660793,0,1846 61,3,2024-09-07 08:21:01:691,1,56,4,1,199,1042,56,0 62,0,2024-09-07 08:21:01:716,15652,2.0,15947,1.3,30664,4.0,41594,2.25 62,1,2024-09-07 08:21:01:112,98678,98672,0,6,46715310151,488050056,97421,1214,37,366,391586,6 62,2,2024-09-07 08:21:01:645,69730,69730,0,0,4215822,0,2931 62,3,2024-09-07 08:21:01:143,1,56,1,0,287,603,56,0 63,0,2024-09-07 08:21:01:479,11041,0.4,11025,0.7,22147,0.3,29576,1.75 63,1,2024-09-07 08:21:00:807,98965,98961,0,4,46512078773,483841962,98562,398,1,381,391540,4 63,2,2024-09-07 08:21:00:763,70138,70138,0,0,3742375,0,2674 63,3,2024-09-07 08:21:01:733,1,56,1,0,667,1759,56,0 64,0,2024-09-07 08:21:01:520,11198,0.4,11221,0.7,22424,0.3,30096,1.75 64,1,2024-09-07 08:21:00:750,98214,98214,0,0,45819782761,489375693,95782,1639,793,371,391604,0 64,2,2024-09-07 08:21:01:148,70941,70922,19,0,4197695,0,6121 64,3,2024-09-07 08:21:01:146,1,56,1,1,163,691,56,0 65,0,2024-09-07 08:21:01:720,17511,2.7,17771,1.7,35442,3.9,47931,4.00 65,1,2024-09-07 08:21:00:860,97843,97843,0,0,44784681589,475718159,96138,1486,219,382,391569,0 65,2,2024-09-07 08:21:01:723,70552,70552,0,0,4257947,0,3367 65,3,2024-09-07 08:21:01:690,1,56,14,1,163,807,56,0 66,0,2024-09-07 08:21:01:774,16099,1.5,16301,1.3,32744,2.2,43868,3.25 66,1,2024-09-07 08:21:01:293,98925,98925,0,0,46256097501,483293816,98238,666,21,381,391537,0 66,2,2024-09-07 08:21:01:132,71928,71928,0,0,3997307,0,3867 66,3,2024-09-07 08:21:01:080,1,56,27,1,291,908,56,0 67,0,2024-09-07 08:21:01:428,9843,0.6,9878,0.8,19520,0.6,25771,2.25 67,1,2024-09-07 08:21:00:765,97853,97852,0,1,45957786889,488496944,95857,1470,525,382,391787,1 67,2,2024-09-07 08:21:00:583,70900,70900,0,0,3626676,0,2889 67,3,2024-09-07 08:21:01:750,1,56,1,0,138,609,56,0 68,0,2024-09-07 08:21:00:651,10444,0.3,10402,0.6,20834,0.2,27602,2.00 68,1,2024-09-07 08:21:00:574,97793,97793,0,0,46014407607,487124727,96400,871,522,382,391953,0 68,2,2024-09-07 08:21:01:048,69939,69939,0,0,3528151,0,4883 68,3,2024-09-07 08:21:00:731,1,56,5,1,63,558,56,0 69,0,2024-09-07 08:21:01:790,16933,1.3,16974,1.2,33685,1.6,45590,2.75 69,1,2024-09-07 08:21:01:021,97512,97512,0,0,45717448422,490469148,95568,1079,865,386,391530,0 69,2,2024-09-07 08:21:01:744,70300,70300,0,0,3979240,0,3153 69,3,2024-09-07 08:21:00:760,1,56,1,0,238,929,56,0 70,0,2024-09-07 08:21:01:559,16517,4.1,16461,3.0,33221,4.0,44091,5.25 70,1,2024-09-07 08:21:00:800,98454,98454,0,0,46574962397,486110258,97543,777,134,369,391527,0 70,2,2024-09-07 08:21:01:331,72444,72444,0,0,4098890,0,4044 70,3,2024-09-07 08:21:00:746,1,56,2,0,178,432,56,0 71,0,2024-09-07 08:21:01:372,11070,2.5,11062,5.0,21762,3.9,30040,4.50 71,1,2024-09-07 08:21:01:607,97968,97968,0,0,46453759819,491246093,95783,1869,316,368,391682,0 71,2,2024-09-07 08:21:01:076,69679,69679,0,0,3557779,0,2146 71,3,2024-09-07 08:21:01:750,1,56,6,0,174,555,56,0 72,0,2024-09-07 08:21:01:066,11206,0.4,10805,0.7,21517,0.3,28660,2.75 72,1,2024-09-07 08:21:01:030,98614,98614,0,0,46074901408,485033477,97075,1463,76,370,391556,0 72,2,2024-09-07 08:21:01:766,68940,68940,0,0,5010147,0,2570 72,3,2024-09-07 08:21:01:765,1,56,4,1,325,1240,56,0 73,0,2024-09-07 08:21:01:142,14575,0.6,14941,0.8,30332,0.6,40335,2.50 73,1,2024-09-07 08:21:00:774,98283,98283,0,0,46189293440,484874061,97323,917,43,368,391627,0 73,2,2024-09-07 08:21:01:749,71538,71538,0,0,4555754,0,3482 73,3,2024-09-07 08:21:00:973,1,56,1,0,274,1210,56,0 74,0,2024-09-07 08:21:01:348,18092,2.2,18393,1.5,35465,3.6,48033,4.00 74,1,2024-09-07 08:21:00:636,98055,98055,0,0,45634736916,482929586,96159,1324,572,382,391497,0 74,2,2024-09-07 08:21:01:002,71238,71238,0,0,4857581,0,4253 74,3,2024-09-07 08:21:01:442,1,56,1,0,246,1269,56,0 75,0,2024-09-07 08:21:01:775,14003,3.1,13986,1.8,27890,5.1,37476,3.50 75,1,2024-09-07 08:21:01:588,98362,98362,0,0,45628409153,483112557,96678,1580,104,382,391514,0 75,2,2024-09-07 08:21:01:359,69365,69365,0,0,4652404,0,4766 75,3,2024-09-07 08:21:01:075,1,56,2,0,143,394,56,0 76,0,2024-09-07 08:21:00:609,11516,0.3,11400,0.6,22898,0.2,30226,2.00 76,1,2024-09-07 08:21:00:809,97937,97937,0,0,45409755829,482854677,96134,1297,506,382,391530,0 76,2,2024-09-07 08:21:01:077,70358,70358,0,0,3773504,0,3064 76,3,2024-09-07 08:21:01:147,1,56,1,0,175,965,56,0 77,0,2024-09-07 08:21:01:792,12494,1.1,12540,1.1,25108,1.6,33755,2.00 77,1,2024-09-07 08:21:00:835,98045,98045,0,0,45676500752,480976340,97016,960,69,383,391516,0 77,2,2024-09-07 08:21:01:284,71633,71633,0,0,3227566,0,1695 77,3,2024-09-07 08:21:01:094,1,56,7,0,139,620,56,0 78,0,2024-09-07 08:21:01:762,17938,1.6,17856,1.3,35915,2.3,47531,2.50 78,1,2024-09-07 08:21:00:612,98758,98758,0,0,45425208414,478982496,97341,1213,204,367,391589,0 78,2,2024-09-07 08:21:01:405,70775,70775,0,0,3290540,0,2114 78,3,2024-09-07 08:21:01:133,1,56,3,0,181,980,56,0 79,0,2024-09-07 08:21:01:365,15361,1.3,15729,1.3,32153,2.3,42317,3.75 79,1,2024-09-07 08:21:00:575,98333,98333,0,0,46165544849,484956948,96997,1267,69,370,391609,0 79,2,2024-09-07 08:21:01:077,70535,70535,0,0,3744529,0,2679 79,3,2024-09-07 08:21:00:749,1,56,1,0,289,810,56,0 80,0,2024-09-07 08:21:01:108,10092,0.4,10450,0.7,20148,0.3,26988,2.00 80,1,2024-09-07 08:21:01:622,97636,97636,0,0,45908713595,483536051,96051,1470,115,369,391673,0 80,2,2024-09-07 08:21:01:098,70464,70464,0,0,4039945,0,4433 80,3,2024-09-07 08:21:00:575,1,56,2,1,190,1185,56,0 81,0,2024-09-07 08:21:01:551,11114,0.5,11386,0.7,21847,0.4,29842,2.00 81,1,2024-09-07 08:21:01:651,97998,97998,0,0,45740923941,485465083,96115,1685,198,383,391680,0 81,2,2024-09-07 08:21:01:131,69582,69582,0,0,4258086,0,3993 81,3,2024-09-07 08:21:01:120,1,56,2,0,193,746,56,0 82,0,2024-09-07 08:21:01:542,17052,1.0,17114,1.1,34183,1.3,45768,2.25 82,1,2024-09-07 08:21:00:583,97755,97753,0,2,45842658552,485600521,95718,1463,572,383,391558,2 82,2,2024-09-07 08:21:01:692,71006,71006,0,0,3239709,0,2230 82,3,2024-09-07 08:21:01:753,1,56,139,0,211,1052,56,0 83,0,2024-09-07 08:21:01:542,16218,2.0,16150,1.7,32083,2.4,42929,3.25 83,1,2024-09-07 08:21:00:562,98046,98046,0,0,45633043194,482460741,96636,1310,100,383,391553,0 83,2,2024-09-07 08:21:00:774,71737,71737,0,0,4250958,0,3119 83,3,2024-09-07 08:21:00:757,1,56,19,0,91,545,56,0 84,0,2024-09-07 08:21:01:792,10840,6.0,10681,5.6,21525,1.7,29567,3.50 84,1,2024-09-07 08:21:01:047,97954,97954,0,0,45822982733,485534943,96382,1325,247,369,391638,0 84,2,2024-09-07 08:21:00:574,69671,69671,0,0,3939281,0,3801 84,3,2024-09-07 08:21:01:146,1,56,1,1,43,606,56,0 85,0,2024-09-07 08:21:01:007,10428,0.4,10395,0.6,22069,0.3,28555,2.00 85,1,2024-09-07 08:21:00:568,97557,97557,0,0,46396108697,496530477,95121,2008,428,384,391652,0 85,2,2024-09-07 08:21:00:878,69318,69318,0,0,4303681,0,3656 85,3,2024-09-07 08:21:00:688,1,56,38,0,115,683,56,0 86,0,2024-09-07 08:21:00:901,15841,0.9,16505,0.8,31812,1.1,43114,2.00 86,1,2024-09-07 08:21:00:825,97764,97764,0,0,46283841692,489266863,95990,1559,215,367,391622,0 86,2,2024-09-07 08:21:00:859,70357,70356,1,0,4470752,0,5004 86,3,2024-09-07 08:21:00:590,1,56,8,0,199,802,56,0 87,0,2024-09-07 08:21:01:336,17043,3.4,17014,2.0,34253,4.4,46034,3.50 87,1,2024-09-07 08:21:00:556,97556,97556,0,0,45787452147,484611053,95987,1449,120,368,391671,0 87,2,2024-09-07 08:21:01:077,72488,72488,0,0,3495157,0,2859 87,3,2024-09-07 08:21:01:794,1,56,2,1,322,1071,56,0 88,0,2024-09-07 08:21:01:445,12820,1.0,12964,1.1,25586,1.2,34115,2.00 88,1,2024-09-07 08:21:00:573,97577,97577,0,0,45531934102,480991556,96377,1105,95,367,391747,0 88,2,2024-09-07 08:21:00:690,69651,69651,0,0,4607955,0,3583 88,3,2024-09-07 08:21:01:267,1,56,1,1,77,748,56,0 89,0,2024-09-07 08:21:01:786,11550,0.3,11128,0.6,22155,0.3,29256,1.75 89,1,2024-09-07 08:21:00:556,97155,97155,0,0,45810241416,495028386,93938,2482,735,383,391866,0 89,2,2024-09-07 08:21:01:132,69673,69673,0,0,4320195,0,2726 89,3,2024-09-07 08:21:01:794,1,56,1,0,325,1642,56,0 90,0,2024-09-07 08:21:01:648,13087,0.6,13311,0.8,27452,0.7,36121,1.75 90,1,2024-09-07 08:21:00:600,97860,97860,0,0,45847336022,489560219,95820,1900,140,382,391825,0 90,2,2024-09-07 08:21:01:407,70191,70191,0,0,5014610,0,2635 90,3,2024-09-07 08:21:00:930,1,56,1,1,200,882,56,0 91,0,2024-09-07 08:21:00:965,18473,0.8,17803,0.9,37132,0.9,48519,2.00 91,1,2024-09-07 08:21:00:558,97915,97915,0,0,46353723697,494363336,95574,1979,362,384,391914,0 91,2,2024-09-07 08:21:01:337,70652,70652,0,0,4023861,0,1997 91,3,2024-09-07 08:21:00:603,1,56,8,1,155,752,56,0 92,0,2024-09-07 08:21:01:451,15668,1.4,16131,1.2,30888,2.8,41669,2.00 92,1,2024-09-07 08:21:00:588,98210,98210,0,0,46171275865,485198493,97571,573,66,383,391717,0 92,2,2024-09-07 08:21:01:354,71178,71178,0,0,3996877,0,2279 92,3,2024-09-07 08:21:01:009,1,56,1,1,68,708,56,0 93,0,2024-09-07 08:21:00:967,11100,0.3,11284,0.6,21494,0.2,29487,1.75 93,1,2024-09-07 08:21:00:822,98017,98017,0,0,46378285614,491484974,96264,1319,434,367,391689,0 93,2,2024-09-07 08:21:00:930,70601,70601,0,0,3653966,0,2509 93,3,2024-09-07 08:21:01:405,1,56,1,1,143,618,56,0 94,0,2024-09-07 08:21:01:628,11359,0.3,11486,0.5,22364,0.3,30073,1.75 94,1,2024-09-07 08:21:00:590,97725,97725,0,0,46072056164,489511469,96154,1507,64,381,391850,0 94,2,2024-09-07 08:21:00:763,70244,70244,0,0,3692857,0,2443 94,3,2024-09-07 08:21:01:690,1,56,1,1,231,1197,56,0 95,0,2024-09-07 08:21:01:374,17954,0.5,17833,0.7,36240,0.5,48657,1.75 95,1,2024-09-07 08:21:00:856,98230,98230,0,0,46024776922,483205769,97441,725,64,367,391590,0 95,2,2024-09-07 08:21:01:017,70417,70417,0,0,3898684,0,3308 95,3,2024-09-07 08:21:01:712,1,56,1,0,307,1191,56,0 96,0,2024-09-07 08:21:01:028,16734,1.4,16630,1.1,33306,2.3,44318,2.00 96,1,2024-09-07 08:21:01:584,98230,98230,0,0,46503726071,494671572,96400,1260,570,385,391596,0 96,2,2024-09-07 08:21:01:273,71460,71460,0,0,4475875,0,4038 96,3,2024-09-07 08:21:01:144,1,56,1,1,36,644,56,0 97,0,2024-09-07 08:21:01:326,9842,0.5,9783,0.6,19558,0.5,25854,1.75 97,1,2024-09-07 08:21:00:763,97800,97800,0,0,46466952990,492139349,95619,1664,517,367,391626,0 97,2,2024-09-07 08:21:00:608,70100,70100,0,0,3678212,0,3036 97,3,2024-09-07 08:21:00:574,1,56,127,1,165,854,56,0 98,0,2024-09-07 08:21:01:773,10446,0.2,10337,0.4,21054,0.2,27814,1.50 98,1,2024-09-07 08:21:00:577,97907,97907,0,0,46066250819,485951773,96842,1020,45,382,391588,0 98,2,2024-09-07 08:21:00:774,70292,70292,0,0,3886014,0,3080 98,3,2024-09-07 08:21:00:699,1,56,1,1,155,680,56,0 99,0,2024-09-07 08:21:01:484,17006,0.4,16897,0.6,34009,0.4,45616,1.75 99,1,2024-09-07 08:21:01:726,98461,98461,0,0,45849830548,484348672,96989,1032,440,381,391744,0 99,2,2024-09-07 08:21:01:423,70944,70944,0,0,3845336,0,1858 99,3,2024-09-07 08:21:00:581,1,56,9,0,129,630,56,0 100,0,2024-09-07 08:21:01:464,16757,2.7,16715,3.1,33420,5.3,45002,2.75 100,1,2024-09-07 08:21:00:562,97496,97496,0,0,45182523015,486924130,94815,2337,344,382,391585,0 100,2,2024-09-07 08:21:01:819,72107,72096,11,0,4985007,0,5417 100,3,2024-09-07 08:21:01:732,1,56,3,1,443,1662,56,0 101,0,2024-09-07 08:21:01:715,11605,5.4,11237,3.0,22240,2.6,31030,3.25 101,1,2024-09-07 08:21:00:560,97720,97720,0,0,45720309539,484982135,96259,1012,449,369,391709,0 101,2,2024-09-07 08:21:01:757,69800,69800,0,0,4171488,0,4644 101,3,2024-09-07 08:21:00:942,1,56,0,0,448,920,56,0 102,0,2024-09-07 08:21:00:972,10640,0.5,10997,0.6,22106,0.3,28500,2.00 102,1,2024-09-07 08:21:01:148,98009,98009,0,0,45915987798,488932383,95831,1733,445,369,391647,0 102,2,2024-09-07 08:21:01:737,69858,69858,0,0,3273952,0,1945 102,3,2024-09-07 08:21:01:625,1,56,2,0,410,773,56,0 103,0,2024-09-07 08:21:01:605,15276,0.6,15276,0.8,28922,0.6,40619,2.00 103,1,2024-09-07 08:21:01:628,97858,97858,0,0,45941812213,485512038,96664,1052,142,381,391680,0 103,2,2024-09-07 08:21:00:592,71035,71035,0,0,3661961,0,2104 103,3,2024-09-07 08:21:00:755,1,56,12,1,486,1014,56,0 104,0,2024-09-07 08:21:01:014,17978,2.8,18019,1.7,34989,4.1,48077,3.00 104,1,2024-09-07 08:21:01:603,98576,98576,0,0,45736586494,487390591,96674,1576,326,368,391948,0 104,2,2024-09-07 08:21:01:669,70595,70595,0,0,4445801,0,3941 104,3,2024-09-07 08:21:01:416,1,56,1,1,1245,3517,56,0 105,0,2024-09-07 08:21:01:042,13863,2.2,13319,1.9,27679,3.8,37193,4.00 105,1,2024-09-07 08:21:00:575,97980,97980,0,0,45936721131,492423023,95040,2195,745,367,391797,0 105,2,2024-09-07 08:21:01:322,69410,69410,0,0,4115726,0,3314 105,3,2024-09-07 08:21:01:308,1,56,3,1,182,1133,56,0 106,0,2024-09-07 08:21:00:958,11134,0.3,11447,0.6,23238,0.2,30324,1.75 106,1,2024-09-07 08:21:01:758,98223,98223,0,0,45947395570,489997778,95825,2072,326,371,391767,0 106,2,2024-09-07 08:21:00:756,69698,69698,0,0,4217781,0,2795 106,3,2024-09-07 08:21:00:678,1,56,6,1,201,817,56,0 107,0,2024-09-07 08:21:01:142,12675,0.6,12560,0.8,25015,0.7,33728,1.75 107,1,2024-09-07 08:21:00:615,97742,97742,0,0,45974474453,490101393,95961,1610,171,382,392234,0 107,2,2024-09-07 08:21:01:307,71244,71243,1,0,4078002,0,5024 107,3,2024-09-07 08:21:01:764,1,56,4,0,353,1179,56,0 108,0,2024-09-07 08:21:01:781,17924,0.7,18203,0.8,36032,0.9,47667,1.75 108,1,2024-09-07 08:21:01:306,97875,97875,0,0,46508694281,491804482,96454,1288,133,371,391857,0 108,2,2024-09-07 08:21:01:756,70449,70449,0,0,3976858,0,2647 108,3,2024-09-07 08:21:01:333,1,56,9,1,60,778,56,0 109,0,2024-09-07 08:21:01:757,16104,1.1,16275,1.0,31830,1.4,43311,2.00 109,1,2024-09-07 08:21:00:605,97685,97685,0,0,46248699041,492573989,96084,1218,383,385,391664,0 109,2,2024-09-07 08:21:00:921,69778,69778,0,0,4322588,0,3617 109,3,2024-09-07 08:21:01:148,1,56,9,1,249,1125,56,0 110,0,2024-09-07 08:21:01:778,10235,0.3,9927,0.5,20763,0.2,27029,1.50 110,1,2024-09-07 08:21:01:646,98230,98230,0,0,45961810689,483472187,96920,1027,283,370,391588,0 110,2,2024-09-07 08:21:01:308,71082,71082,0,0,3650962,0,2915 110,3,2024-09-07 08:21:00:690,1,56,1,0,183,667,56,0 111,0,2024-09-07 08:21:01:426,10930,0.2,10949,0.4,21829,0.1,29696,1.50 111,1,2024-09-07 08:21:01:000,98690,98690,0,0,46805051442,487660973,98047,630,13,382,391690,0 111,2,2024-09-07 08:21:01:118,70389,70389,0,0,3812856,0,2763 111,3,2024-09-07 08:21:00:912,1,56,2,0,119,1045,56,0 112,0,2024-09-07 08:21:00:978,17231,0.5,17328,0.6,34447,0.4,46085,1.75 112,1,2024-09-07 08:21:00:842,97898,97898,0,0,46398264648,489605752,96391,1161,346,381,391580,0 112,2,2024-09-07 08:21:01:134,70347,70346,1,0,4213380,0,5036 112,3,2024-09-07 08:21:00:599,1,56,8,1,282,795,56,0 113,0,2024-09-07 08:21:00:910,16419,0.8,16230,0.9,33017,0.8,44110,1.75 113,1,2024-09-07 08:21:01:688,98259,98259,0,0,46244120541,486760315,96371,1504,384,368,391661,0 113,2,2024-09-07 08:21:01:305,72373,72373,0,0,3489910,0,3021 113,3,2024-09-07 08:21:00:690,1,56,1,1,288,1084,56,0 114,0,2024-09-07 08:21:00:910,11124,4.5,11235,3.0,22156,1.6,30019,2.25 114,1,2024-09-07 08:21:00:720,97945,97945,0,0,46132780014,492251821,95339,1725,881,381,391513,0 114,2,2024-09-07 08:21:00:877,69866,69866,0,0,4052654,0,3925 114,3,2024-09-07 08:21:01:278,1,56,1,0,159,702,56,0 115,0,2024-09-07 08:21:00:569,10631,0.2,10734,0.4,21543,0.1,28625,1.50 115,1,2024-09-07 08:21:00:575,98210,98210,0,0,46040039373,488563678,95838,1885,487,383,391506,0 115,2,2024-09-07 08:21:01:126,70038,70038,0,0,3363169,0,2152 115,3,2024-09-07 08:21:01:002,1,56,1,0,159,454,56,0 116,0,2024-09-07 08:21:01:704,15959,1.0,15902,1.1,31887,1.4,43071,2.00 116,1,2024-09-07 08:21:00:836,97401,97401,0,0,46036311605,493539313,95533,998,870,382,391605,0 116,2,2024-09-07 08:21:01:762,70511,70511,0,0,4151178,0,3529 116,3,2024-09-07 08:21:00:912,1,56,4,1,252,1214,56,0 117,0,2024-09-07 08:21:00:958,17164,2.9,17252,1.7,34461,4.1,46121,2.25 117,1,2024-09-07 08:21:01:579,98286,98286,0,0,45564634931,485055592,96213,1783,290,371,392033,0 117,2,2024-09-07 08:21:01:118,72534,72534,0,0,3763539,0,3700 117,3,2024-09-07 08:21:01:062,1,56,1,0,490,1662,56,0 118,0,2024-09-07 08:21:01:783,12394,1.0,12661,1.0,26004,1.3,33986,2.25 118,1,2024-09-07 08:21:00:584,98259,98259,0,0,45929834181,485806029,97045,1136,78,368,391736,0 118,2,2024-09-07 08:21:01:594,69922,69922,0,0,4026965,0,2781 118,3,2024-09-07 08:21:01:771,1,56,3,1,235,1076,56,0 119,0,2024-09-07 08:21:01:361,10979,0.3,11021,0.5,22602,0.2,29179,1.75 119,1,2024-09-07 08:21:00:564,98284,98284,0,0,46250340920,489447958,96682,1453,149,371,391617,0 119,2,2024-09-07 08:21:01:271,69385,69385,0,0,3711735,0,2532 119,3,2024-09-07 08:21:01:324,1,56,14,1,443,2047,56,0 120,0,2024-09-07 08:21:01:560,13406,1.4,13275,1.2,26622,2.7,36006,2.50 120,1,2024-09-07 08:21:00:859,98009,98009,0,0,45736114953,486485594,96512,1482,15,368,391702,0 120,2,2024-09-07 08:21:00:770,70406,70405,1,0,4900706,0,5281 120,3,2024-09-07 08:21:01:290,1,56,70,1,241,1014,56,0 121,0,2024-09-07 08:21:01:752,17975,2.9,18091,1.7,36219,4.1,48550,2.75 121,1,2024-09-07 08:21:01:660,97993,97993,0,0,45979942531,487976087,96132,1595,266,368,391807,0 121,2,2024-09-07 08:21:01:125,69843,69843,0,0,5233986,0,4127 121,3,2024-09-07 08:21:00:734,1,56,2,0,101,615,56,0 122,0,2024-09-07 08:21:01:779,15523,2.6,14937,2.3,31167,3.1,41930,2.50 122,1,2024-09-07 08:21:00:888,97159,97159,0,0,45727282186,491471641,94164,2471,524,368,392130,0 122,2,2024-09-07 08:21:01:330,70130,70130,0,0,5302597,0,3364 122,3,2024-09-07 08:21:00:596,1,56,7,1,226,1348,56,0 123,0,2024-09-07 08:21:00:984,11097,0.3,10746,0.6,22279,0.2,29456,1.75 123,1,2024-09-07 08:21:00:579,97879,97879,0,0,45686002735,492085963,94465,2847,567,369,391823,0 123,2,2024-09-07 08:21:01:030,70201,70200,1,0,4252182,0,5215 123,3,2024-09-07 08:21:01:132,1,56,1,1,160,861,56,0 124,0,2024-09-07 08:21:00:951,11565,0.3,11539,0.6,21801,0.2,30150,1.75 124,1,2024-09-07 08:21:01:022,98650,98650,0,0,46052010387,481958298,97861,646,143,367,392178,0 124,2,2024-09-07 08:21:01:012,70141,70141,0,0,3428971,0,2477 124,3,2024-09-07 08:21:00:758,1,56,4,1,490,1486,56,0 125,0,2024-09-07 08:21:01:438,18031,0.7,18097,0.8,36226,0.8,48625,2.00 125,1,2024-09-07 08:21:00:856,98070,98070,0,0,45595880165,483397111,96340,1458,272,384,391702,0 125,2,2024-09-07 08:21:01:116,70305,70305,0,0,3787516,0,2180 125,3,2024-09-07 08:21:01:128,1,56,1,1,93,939,56,0 126,0,2024-09-07 08:21:01:432,16701,1.5,17175,1.1,32849,2.5,44638,2.00 126,1,2024-09-07 08:21:00:558,98448,98448,0,0,46089801760,483408468,97408,988,52,365,391719,0 126,2,2024-09-07 08:21:00:629,71653,71653,0,0,4087651,0,3186 126,3,2024-09-07 08:21:00:907,1,56,1,0,122,854,56,0 127,0,2024-09-07 08:21:01:623,9946,0.3,9816,0.5,19590,0.2,25811,1.50 127,1,2024-09-07 08:21:00:608,98143,98143,0,0,46007820411,481816486,97011,1109,23,365,391614,0 127,2,2024-09-07 08:21:00:637,70610,70610,0,0,3303543,0,1803 127,3,2024-09-07 08:21:01:270,1,56,4,0,99,571,56,0 128,0,2024-09-07 08:21:01:527,10414,0.3,10560,0.5,20683,0.2,27690,1.50 128,1,2024-09-07 08:21:01:609,98231,98231,0,0,46130665792,482106898,97526,636,69,369,391605,0 128,2,2024-09-07 08:21:01:385,69478,69478,0,0,4074243,0,2107 128,3,2024-09-07 08:21:00:775,1,56,1,1,112,799,56,0 129,0,2024-09-07 08:21:01:002,17107,0.5,17138,0.6,34075,0.6,45631,1.75 129,1,2024-09-07 08:21:00:573,97808,97808,0,0,46035347454,488582633,95993,1543,272,379,391835,0 129,2,2024-09-07 08:21:00:698,69761,69761,0,0,3533151,0,2446 129,3,2024-09-07 08:21:00:688,1,56,3,1,173,959,56,0 130,0,2024-09-07 08:21:01:727,17035,1.7,16910,1.3,33939,2.4,45537,2.25 130,1,2024-09-07 08:21:00:585,98390,98390,0,0,45992602022,485481037,97085,1274,31,381,391520,0 130,2,2024-09-07 08:21:01:126,72652,72652,0,0,4263079,0,4067 130,3,2024-09-07 08:21:01:291,1,56,2,1,207,600,56,0 131,0,2024-09-07 08:21:01:995,11658,1.4,11461,1.2,23348,2.0,30999,2.00 131,1,2024-09-07 08:21:01:820,98533,98533,0,0,45807862847,482374117,97526,821,186,385,391865,0 131,2,2024-09-07 08:21:00:582,69406,69406,0,0,3396794,0,2415 131,3,2024-09-07 08:21:01:692,1,56,24,0,392,1017,56,0 132,0,2024-09-07 08:21:01:419,10764,0.4,11097,0.7,21729,0.3,28711,1.75 132,1,2024-09-07 08:21:00:577,97383,97383,0,0,45727403124,489410978,94822,1856,705,382,391574,0 132,2,2024-09-07 08:21:00:706,69364,69364,0,0,5282273,0,4606 132,3,2024-09-07 08:21:01:690,1,56,2,1,356,950,56,0 133,0,2024-09-07 08:21:01:549,14598,0.6,14778,0.8,30676,0.6,40082,2.00 133,1,2024-09-07 08:21:00:590,97298,97298,0,0,46160476495,492972209,95184,2021,93,383,391755,0 133,2,2024-09-07 08:21:01:100,71376,71376,0,0,4306792,0,2444 133,3,2024-09-07 08:21:01:304,1,56,1,1,187,535,56,0 134,0,2024-09-07 08:21:00:959,18158,1.4,18160,1.3,36386,2.2,48230,2.25 134,1,2024-09-07 08:21:00:608,98078,98078,0,0,45930308805,490189236,95467,1631,980,366,391718,0 134,2,2024-09-07 08:21:01:766,71182,71182,0,0,3494128,0,2026 134,3,2024-09-07 08:21:00:751,1,56,52,1,739,1756,56,0 135,0,2024-09-07 08:21:01:116,13642,2.1,13674,1.9,28822,2.3,37142,2.50 135,1,2024-09-07 08:21:01:594,97443,97443,0,0,46349393382,491721448,95533,1585,325,383,391645,0 135,2,2024-09-07 08:21:00:687,70292,70292,0,0,4160404,0,3981 135,3,2024-09-07 08:21:01:011,1,56,2,0,68,430,56,0 136,0,2024-09-07 08:21:01:620,11566,0.4,11528,0.7,22928,0.3,30498,2.00 136,1,2024-09-07 08:21:01:441,98293,98293,0,0,45880411537,487542689,96406,1742,145,384,391518,0 136,2,2024-09-07 08:21:01:133,70751,70751,0,0,3790350,0,2379 136,3,2024-09-07 08:21:01:106,1,56,2,1,108,784,56,0 137,0,2024-09-07 08:21:00:931,12823,1.2,12472,1.1,24822,1.4,33829,2.25 137,1,2024-09-07 08:21:00:578,97934,97934,0,0,46446268919,492503798,95969,1852,113,368,391608,0 137,2,2024-09-07 08:21:01:728,70583,70583,0,0,4693488,0,2632 137,3,2024-09-07 08:21:00:771,1,56,38,1,227,908,56,0 138,0,2024-09-07 08:21:01:763,17454,3.0,17640,1.8,35687,4.0,47536,3.25 138,1,2024-09-07 08:21:01:693,97553,97553,0,0,46443523834,494022925,95240,2052,261,371,391615,0 138,2,2024-09-07 08:21:00:586,71221,71221,0,0,3991193,0,3263 138,3,2024-09-07 08:21:00:610,1,56,1,1,1160,1736,56,0 139,0,2024-09-07 08:21:01:376,15499,4.9,15543,2.7,31369,5.8,42669,4.00 139,1,2024-09-07 08:21:00:576,97488,97488,0,0,45769106534,495235270,94611,2150,727,381,391892,0 139,2,2024-09-07 08:21:00:693,70019,70019,0,0,4957473,0,3097 139,3,2024-09-07 08:21:01:663,1,56,1,1,244,1217,56,0 140,0,2024-09-07 08:21:01:601,10182,0.3,10025,0.6,20417,0.2,27096,1.75 140,1,2024-09-07 08:21:01:548,99020,99020,0,0,46571691623,485837805,98093,730,197,365,391483,0 140,2,2024-09-07 08:21:00:687,70809,70809,0,0,4216809,0,3388 140,3,2024-09-07 08:21:00:767,1,56,0,0,25,496,56,0 141,0,2024-09-07 08:21:01:720,10725,0.2,11199,0.4,21628,0.1,29702,1.50 141,1,2024-09-07 08:21:00:863,98893,98893,0,0,46317321416,487239971,97474,1088,331,382,391538,0 141,2,2024-09-07 08:21:01:688,70592,70592,0,0,3743260,0,2342 141,3,2024-09-07 08:21:01:045,1,56,2,0,147,490,56,0 142,0,2024-09-07 08:21:01:333,17576,0.5,17284,0.6,34327,0.4,46084,1.75 142,1,2024-09-07 08:21:00:585,98532,98532,0,0,46173455499,484350457,97986,531,15,384,391649,0 142,2,2024-09-07 08:21:01:304,69612,69612,0,0,4017936,0,2293 142,3,2024-09-07 08:21:01:747,1,56,6,1,484,1144,56,0 143,0,2024-09-07 08:21:01:400,16322,1.3,16405,1.1,33021,1.7,43965,2.25 143,1,2024-09-07 08:21:00:557,98539,98539,0,0,46297770462,484508135,97572,942,25,367,391608,0 143,2,2024-09-07 08:21:00:794,71982,71982,0,0,4417747,0,2669 143,3,2024-09-07 08:21:01:147,1,56,1,1,236,1039,56,0 144,0,2024-09-07 08:21:01:512,10600,2.3,11030,7.2,21984,2.2,29831,2.50 144,1,2024-09-07 08:21:00:566,97689,97689,0,0,45808859856,487000476,96127,1373,189,383,391638,0 144,2,2024-09-07 08:21:01:755,70060,70060,0,0,3757288,0,3473 144,3,2024-09-07 08:21:01:738,1,56,1,1,169,1126,56,0 145,0,2024-09-07 08:21:01:392,10351,0.4,10294,0.6,21730,0.3,28463,2.00 145,1,2024-09-07 08:21:00:561,97347,97347,0,0,46710839749,496159638,95430,1656,261,383,391615,0 145,2,2024-09-07 08:21:01:437,69516,69516,0,0,4415598,0,3903 145,3,2024-09-07 08:21:00:897,1,56,11,0,151,854,56,0 146,0,2024-09-07 08:21:01:610,15898,1.0,15935,1.0,32068,1.4,42815,2.25 146,1,2024-09-07 08:21:01:587,98283,98283,0,0,46065884934,490383810,95995,1987,301,370,391600,0 146,2,2024-09-07 08:21:01:696,70047,70047,0,0,4115355,0,2379 146,3,2024-09-07 08:21:01:273,1,56,1,0,1520,2924,56,0 147,0,2024-09-07 08:21:01:793,17146,3.5,16917,2.0,33581,5.0,46242,3.50 147,1,2024-09-07 08:21:01:374,98177,98177,0,0,46017647498,484203353,96473,1350,354,369,391791,0 147,2,2024-09-07 08:21:01:010,72774,72774,0,0,4143712,0,2789 147,3,2024-09-07 08:21:00:913,1,56,6,1,141,743,56,0 0,0,2024-09-07 08:21:11:853,13475,1.0,13456,1.0,28381,1.4,37420,2.00 0,1,2024-09-07 08:21:10:816,99970,99970,0,0,46858921341,496956596,98758,1086,126,372,391673,0 0,2,2024-09-07 08:21:11:067,71922,71922,0,0,4213327,0,4480 0,3,2024-09-07 08:21:10:975,1,57,7,0,247,1013,57,0 1,0,2024-09-07 08:21:11:776,17962,3.3,17987,2.0,36191,4.8,48600,3.25 1,1,2024-09-07 08:21:10:563,99461,99461,0,0,46055586005,489533215,97784,1151,526,372,391857,0 1,2,2024-09-07 08:21:10:655,72145,72145,0,0,3864391,0,3267 1,3,2024-09-07 08:21:11:302,1,57,7,1,167,638,57,0 2,0,2024-09-07 08:21:11:582,15409,1.9,15626,1.6,30896,3.9,41285,2.50 2,1,2024-09-07 08:21:10:868,99694,99694,0,0,47040999816,494538606,98617,874,203,382,391558,0 2,2,2024-09-07 08:21:11:267,70515,70515,0,0,4003035,0,3304 2,3,2024-09-07 08:21:10:694,1,57,1,0,214,679,57,0 3,0,2024-09-07 08:21:11:753,11387,0.4,11385,0.6,22895,0.2,30047,1.75 3,1,2024-09-07 08:21:11:619,99466,99466,0,0,46420899745,491270958,97488,1592,386,382,391514,0 3,2,2024-09-07 08:21:11:144,71459,71436,23,0,4477949,0,5851 3,3,2024-09-07 08:21:11:758,1,57,1,0,103,529,57,0 4,0,2024-09-07 08:21:11:793,11306,0.3,11575,0.5,23587,0.2,31301,1.75 4,1,2024-09-07 08:21:10:606,99843,99843,0,0,46672646886,497210669,97998,1429,416,372,391846,0 4,2,2024-09-07 08:21:11:020,72116,72116,0,0,4761217,0,4528 4,3,2024-09-07 08:21:11:026,1,57,40,1,287,1075,57,0 5,0,2024-09-07 08:21:11:405,18435,0.9,18165,0.9,36894,1.1,48852,2.00 5,1,2024-09-07 08:21:10:755,99421,99421,0,0,46896341547,498973800,97240,1742,439,368,392005,0 5,2,2024-09-07 08:21:11:842,71399,71399,0,0,3781863,0,2259 5,3,2024-09-07 08:21:11:746,1,57,2,1,238,1330,57,0 6,0,2024-09-07 08:21:10:929,16972,1.7,16733,1.5,33435,3.0,45135,3.75 6,1,2024-09-07 08:21:10:763,100029,100029,0,0,46449603776,487917016,98943,1017,69,381,391603,0 6,2,2024-09-07 08:21:11:118,72530,72530,0,0,3997996,0,2411 6,3,2024-09-07 08:21:11:279,1,57,11,1,340,1390,57,0 7,0,2024-09-07 08:21:11:545,9931,0.3,9843,0.5,19923,0.2,26390,1.75 7,1,2024-09-07 08:21:10:851,99905,99905,0,0,46989579584,494438362,98926,915,64,383,391664,0 7,2,2024-09-07 08:21:10:776,71712,71712,0,0,3760478,0,2981 7,3,2024-09-07 08:21:10:858,1,57,5,0,83,565,57,0 8,0,2024-09-07 08:21:11:368,10973,0.3,10750,0.5,21350,0.2,29123,1.75 8,1,2024-09-07 08:21:11:019,99765,99765,0,0,46488453043,503109390,96296,2217,1252,368,391724,0 8,2,2024-09-07 08:21:10:802,70227,70227,0,0,4710287,0,2986 8,3,2024-09-07 08:21:10:591,1,57,3,0,229,982,57,0 9,0,2024-09-07 08:21:11:175,17273,0.4,16879,0.6,35240,0.4,46188,1.75 9,1,2024-09-07 08:21:10:562,99835,99835,0,0,47168605954,502329964,97560,1709,566,370,391576,0 9,2,2024-09-07 08:21:11:083,71271,71271,0,0,4311497,0,3360 9,3,2024-09-07 08:21:11:760,1,57,2,0,149,912,57,0 10,0,2024-09-07 08:21:11:643,17043,1.4,17092,1.2,34284,2.3,45886,3.25 10,1,2024-09-07 08:21:10:586,99193,99193,0,0,46614061373,500529356,95859,2812,522,383,391541,0 10,2,2024-09-07 08:21:10:765,73090,73090,0,0,4515463,0,2940 10,3,2024-09-07 08:21:10:871,1,57,5,0,136,522,57,0 11,0,2024-09-07 08:21:11:019,11365,2.9,11084,2.0,23283,5.4,31436,2.75 11,1,2024-09-07 08:21:10:574,99381,99381,0,0,46068512218,492454041,96744,1822,815,384,391537,0 11,2,2024-09-07 08:21:11:122,70982,70982,0,0,4209239,0,2635 11,3,2024-09-07 08:21:11:298,1,57,1,0,720,2161,57,0 12,0,2024-09-07 08:21:10:982,11174,0.3,11252,0.5,22330,0.2,29551,1.75 12,1,2024-09-07 08:21:10:936,99538,99538,0,0,46061561761,488473783,97907,1532,99,371,391790,0 12,2,2024-09-07 08:21:11:571,71623,71623,0,0,3953509,0,2254 12,3,2024-09-07 08:21:11:067,1,57,1,1,358,1317,57,0 13,0,2024-09-07 08:21:11:365,15416,0.5,15496,0.6,30830,0.5,41488,1.75 13,1,2024-09-07 08:21:11:541,100023,100023,0,0,46753025543,497489001,98440,1217,366,384,391717,0 13,2,2024-09-07 08:21:10:600,72177,72177,0,0,3701177,0,3287 13,3,2024-09-07 08:21:11:784,1,57,23,1,373,1171,57,0 14,0,2024-09-07 08:21:10:593,18241,1.3,18328,1.2,36277,1.5,48300,2.50 14,1,2024-09-07 08:21:11:562,100683,100683,0,0,46800203025,489294682,99805,848,30,365,391546,0 14,2,2024-09-07 08:21:10:765,72429,72429,0,0,4261146,0,2793 14,3,2024-09-07 08:21:11:114,1,57,1,1,906,1254,57,0 15,0,2024-09-07 08:21:11:571,14011,2.6,13876,1.8,27868,4.7,37403,3.50 15,1,2024-09-07 08:21:11:611,99927,99927,0,0,46604764654,489003156,99144,748,35,381,391536,0 15,2,2024-09-07 08:21:11:000,71349,71349,0,0,3326336,0,3043 15,3,2024-09-07 08:21:11:406,1,57,2,0,538,1785,57,0 16,0,2024-09-07 08:21:10:955,11510,0.4,11737,0.6,22997,0.3,30344,2.00 16,1,2024-09-07 08:21:10:575,99659,99659,0,0,46280040218,489737157,98541,1094,24,372,391543,0 16,2,2024-09-07 08:21:11:435,70668,70668,0,0,4595495,0,4719 16,3,2024-09-07 08:21:11:142,1,57,2,1,231,1177,57,0 17,0,2024-09-07 08:21:11:779,13244,0.7,13009,0.9,25465,0.6,34819,2.00 17,1,2024-09-07 08:21:10:575,99461,99461,0,0,46788025350,499666741,97601,1338,522,369,391688,0 17,2,2024-09-07 08:21:11:674,72673,72673,0,0,3530860,0,2857 17,3,2024-09-07 08:21:10:574,1,57,1,0,268,1310,57,0 18,0,2024-09-07 08:21:10:952,17585,1.8,17768,1.4,35763,2.2,47289,2.75 18,1,2024-09-07 08:21:11:639,99727,99727,0,0,46265311543,486616891,98479,1030,218,368,391555,0 18,2,2024-09-07 08:21:11:758,71264,71264,0,0,4400576,0,3541 18,3,2024-09-07 08:21:10:896,1,57,2,0,163,900,57,0 19,0,2024-09-07 08:21:11:560,15905,2.1,15992,1.7,31655,2.2,42333,5.75 19,1,2024-09-07 08:21:10:582,100000,100000,0,0,47153419552,498754758,98136,1701,163,367,391696,0 19,2,2024-09-07 08:21:11:758,71905,71905,0,0,4053755,0,3988 19,3,2024-09-07 08:21:11:129,1,57,35,0,524,961,57,0 20,0,2024-09-07 08:21:11:388,10500,0.3,10572,0.5,20702,0.2,27514,1.75 20,1,2024-09-07 08:21:10:572,99968,99968,0,0,47398990353,501467453,98286,1521,161,370,391598,0 20,2,2024-09-07 08:21:10:934,71761,71761,0,0,3543832,0,2446 20,3,2024-09-07 08:21:10:599,1,57,1,1,99,830,57,0 21,0,2024-09-07 08:21:11:170,11584,0.4,11558,0.6,22733,0.3,30226,2.00 21,1,2024-09-07 08:21:11:543,99101,99101,0,0,46091914307,492037021,96863,1749,489,368,391962,0 21,2,2024-09-07 08:21:11:073,71403,71403,0,0,3862509,0,3747 21,3,2024-09-07 08:21:11:404,1,57,9,0,93,878,57,0 22,0,2024-09-07 08:21:11:729,17432,0.7,17374,0.9,34638,0.7,46559,2.25 22,1,2024-09-07 08:21:11:024,99333,99333,0,0,46243385541,495408244,96295,2313,725,382,391667,0 22,2,2024-09-07 08:21:10:764,71398,71398,0,0,3503002,0,3134 22,3,2024-09-07 08:21:11:066,1,57,5,0,62,327,57,0 23,0,2024-09-07 08:21:11:375,16526,1.8,16746,1.3,33255,2.6,44787,2.75 23,1,2024-09-07 08:21:11:007,100269,100269,0,0,47253752077,494796759,98923,1092,254,368,391496,0 23,2,2024-09-07 08:21:11:092,73140,73140,0,0,3645837,0,2078 23,3,2024-09-07 08:21:11:758,1,57,1,0,645,1213,57,0 24,0,2024-09-07 08:21:10:820,11484,0.7,11583,0.8,22971,0.9,30494,1.75 24,1,2024-09-07 08:21:10:584,100368,100368,0,0,46625326140,489399406,99567,794,7,371,391640,0 24,2,2024-09-07 08:21:11:074,70968,70968,0,0,4776498,0,2942 24,3,2024-09-07 08:21:11:686,1,57,3,1,234,912,57,0 25,0,2024-09-07 08:21:11:394,11242,0.3,11038,0.5,21430,0.2,29289,1.75 25,1,2024-09-07 08:21:10:563,99646,99646,0,0,46502846335,495806212,97507,1826,313,371,391788,0 25,2,2024-09-07 08:21:11:618,70920,70920,0,0,4335663,0,3284 25,3,2024-09-07 08:21:11:000,1,57,6,1,158,608,57,0 26,0,2024-09-07 08:21:11:742,16306,0.5,16002,0.7,33487,0.5,44235,1.75 26,1,2024-09-07 08:21:11:549,99752,99752,0,0,46411209329,498904742,96292,2625,835,382,391564,0 26,2,2024-09-07 08:21:10:868,71593,71593,0,0,4480911,0,2809 26,3,2024-09-07 08:21:11:748,1,57,1,0,796,1221,57,0 27,0,2024-09-07 08:21:11:735,17310,1.5,17397,1.1,34813,2.0,46734,2.25 27,1,2024-09-07 08:21:11:683,100448,100448,0,0,47648109188,498809668,99380,773,295,381,391539,0 27,2,2024-09-07 08:21:10:868,72537,72537,0,0,5457512,0,3409 27,3,2024-09-07 08:21:11:018,1,57,1,0,564,997,57,0 28,0,2024-09-07 08:21:11:409,12904,0.8,13073,1.0,25911,1.1,34470,2.00 28,1,2024-09-07 08:21:10:804,100192,100192,0,0,47659613573,501104456,99134,858,200,383,391646,0 28,2,2024-09-07 08:21:11:764,70858,70858,0,0,3545107,0,2609 28,3,2024-09-07 08:21:11:779,1,57,1,1,502,1133,57,0 29,0,2024-09-07 08:21:11:371,11406,0.3,11140,0.5,22025,0.2,29545,1.75 29,1,2024-09-07 08:21:11:563,100994,100994,0,0,46524655309,484564399,100251,571,172,369,391621,0 29,2,2024-09-07 08:21:10:867,71598,71598,0,0,3090747,0,2026 29,3,2024-09-07 08:21:10:970,1,57,11,1,105,637,57,0 30,0,2024-09-07 08:21:11:489,13898,0.8,13438,0.8,28110,0.9,37358,2.25 30,1,2024-09-07 08:21:10:575,99893,99893,0,0,47441831160,498245906,98920,908,65,382,391524,0 30,2,2024-09-07 08:21:11:274,72578,72578,0,0,3149409,0,2534 30,3,2024-09-07 08:21:10:583,1,57,3,0,195,595,57,0 31,0,2024-09-07 08:21:11:801,18207,1.9,18059,1.3,36661,3.3,48345,3.25 31,1,2024-09-07 08:21:10:573,100289,100289,0,0,47301487702,487996696,100042,245,2,356,391553,0 31,2,2024-09-07 08:21:11:275,72480,72480,0,0,4539614,0,3525 31,3,2024-09-07 08:21:11:712,1,57,1,0,129,517,57,0 32,0,2024-09-07 08:21:11:431,15705,1.8,15830,1.2,31749,3.1,41898,2.00 32,1,2024-09-07 08:21:10:809,99872,99872,0,0,46842330670,491387646,98876,968,28,382,391595,0 32,2,2024-09-07 08:21:10:935,71735,71735,0,0,3761713,0,3155 32,3,2024-09-07 08:21:11:017,1,57,7,0,110,605,57,0 33,0,2024-09-07 08:21:11:563,11426,0.3,11257,0.5,22956,0.2,29954,1.75 33,1,2024-09-07 08:21:10:588,99978,99978,0,0,47795435449,501819224,98318,1537,123,369,391526,0 33,2,2024-09-07 08:21:10:765,71362,71329,33,0,5329572,0,7012 33,3,2024-09-07 08:21:10:901,1,57,6,1,63,353,57,0 34,0,2024-09-07 08:21:10:939,11628,0.3,11997,0.5,23132,0.2,31225,1.75 34,1,2024-09-07 08:21:11:050,100485,100485,0,0,47242893321,490588529,99893,588,4,367,391517,0 34,2,2024-09-07 08:21:10:765,71678,71678,0,0,4359713,0,3255 34,3,2024-09-07 08:21:11:690,1,57,1,0,148,649,57,0 35,0,2024-09-07 08:21:10:867,18141,1.0,18276,0.9,36609,1.4,48734,2.00 35,1,2024-09-07 08:21:11:068,99955,99955,0,0,47551201773,498738746,98490,1104,361,384,391587,0 35,2,2024-09-07 08:21:11:583,71388,71388,0,0,3751897,0,2542 35,3,2024-09-07 08:21:10:907,1,57,1,0,219,1024,57,0 36,0,2024-09-07 08:21:11:556,16972,2.4,16791,1.5,34024,3.5,45254,2.75 36,1,2024-09-07 08:21:10:589,99726,99726,0,0,47195420249,502813890,96715,2080,931,366,391535,0 36,2,2024-09-07 08:21:11:751,71969,71969,0,0,4289506,0,3303 36,3,2024-09-07 08:21:10:863,1,57,3,0,378,1043,57,0 37,0,2024-09-07 08:21:11:404,9989,0.3,9934,0.5,19986,0.2,26446,1.75 37,1,2024-09-07 08:21:10:578,99268,99261,0,7,46599280490,500329506,96423,1321,1517,365,391518,0 37,2,2024-09-07 08:21:11:142,71811,71811,0,0,3240170,0,2333 37,3,2024-09-07 08:21:11:771,1,57,2,0,724,1730,57,0 38,0,2024-09-07 08:21:11:457,10831,0.3,10474,0.5,21846,0.2,29043,1.75 38,1,2024-09-07 08:21:11:616,99798,99798,0,0,46598227063,493519884,97656,1695,447,370,391513,0 38,2,2024-09-07 08:21:10:774,71330,71330,0,0,4001323,0,3245 38,3,2024-09-07 08:21:10:997,1,57,3,0,603,1006,57,0 39,0,2024-09-07 08:21:11:800,17855,0.5,17637,0.6,34224,0.6,46507,1.75 39,1,2024-09-07 08:21:10:716,99946,99946,0,0,46426334938,492190888,97386,1922,638,366,391524,0 39,2,2024-09-07 08:21:11:425,71352,71352,0,0,3626438,0,2381 39,3,2024-09-07 08:21:10:713,1,57,5,0,276,1155,57,0 40,0,2024-09-07 08:21:11:503,16734,2.5,17040,2.5,33505,4.9,45484,4.00 40,1,2024-09-07 08:21:10:589,99529,99529,0,0,45802146174,489934001,96279,2497,753,370,391591,0 40,2,2024-09-07 08:21:11:314,73153,73152,1,0,4788686,0,5137 40,3,2024-09-07 08:21:11:143,1,57,1,1,110,729,57,0 41,0,2024-09-07 08:21:11:041,11089,1.9,11430,6.6,21855,5.2,30314,4.00 41,1,2024-09-07 08:21:10:785,99645,99645,0,0,47204115957,501191269,97338,1994,313,370,391484,0 41,2,2024-09-07 08:21:10:771,70964,70964,0,0,4828309,0,3356 41,3,2024-09-07 08:21:11:687,1,57,1,0,168,638,57,0 42,0,2024-09-07 08:21:11:483,11029,0.4,11228,0.7,22258,0.3,29547,2.00 42,1,2024-09-07 08:21:11:439,99420,99420,0,0,46152446664,495060313,96427,2163,830,381,391511,0 42,2,2024-09-07 08:21:11:133,71228,71228,0,0,4239138,0,3568 42,3,2024-09-07 08:21:11:009,1,57,1,0,100,548,57,0 43,0,2024-09-07 08:21:10:926,15328,1.6,15036,1.2,31230,2.8,41605,2.00 43,1,2024-09-07 08:21:10:578,99713,99713,0,0,46717049295,494895214,97524,1437,752,367,391604,0 43,2,2024-09-07 08:21:11:744,72284,72284,0,0,4455971,0,3812 43,3,2024-09-07 08:21:11:749,1,57,0,0,292,1158,57,0 44,0,2024-09-07 08:21:10:876,18266,1.2,18237,1.3,36308,1.4,48831,2.25 44,1,2024-09-07 08:21:10:565,100169,100169,0,0,46365826430,484084259,99152,910,107,357,391523,0 44,2,2024-09-07 08:21:11:272,72688,72688,0,0,3296640,0,1865 44,3,2024-09-07 08:21:11:096,1,57,1,1,817,1309,57,0 45,0,2024-09-07 08:21:11:776,13860,3.1,13560,1.9,28414,5.6,37694,2.25 45,1,2024-09-07 08:21:11:006,100129,100129,0,0,47239124649,493502525,99663,465,1,382,391917,0 45,2,2024-09-07 08:21:11:281,71400,71400,0,0,3889993,0,2628 45,3,2024-09-07 08:21:10:934,1,57,5,1,226,759,57,0 46,0,2024-09-07 08:21:10:972,11630,0.2,11599,0.5,23313,0.1,30374,1.50 46,1,2024-09-07 08:21:10:582,100085,100085,0,0,46887284838,488688333,99255,794,36,368,391514,0 46,2,2024-09-07 08:21:10:594,71651,71651,0,0,3810342,0,2920 46,3,2024-09-07 08:21:11:131,1,57,1,0,315,1030,57,0 47,0,2024-09-07 08:21:11:118,12866,0.5,13132,0.6,26096,0.4,34668,1.75 47,1,2024-09-07 08:21:10:568,100464,100464,0,0,46660792982,484618374,99958,502,4,367,391605,0 47,2,2024-09-07 08:21:10:911,73345,73345,0,0,3817247,0,2558 47,3,2024-09-07 08:21:11:114,1,57,0,0,529,1050,57,0 48,0,2024-09-07 08:21:11:512,18402,0.4,18169,0.6,36040,0.4,48048,1.75 48,1,2024-09-07 08:21:11:028,100163,100163,0,0,46916257853,492758103,98968,1190,5,386,391637,0 48,2,2024-09-07 08:21:10:703,72149,72149,0,0,3109223,0,2083 48,3,2024-09-07 08:21:10:764,1,57,19,1,30,575,57,0 49,0,2024-09-07 08:21:11:744,16577,1.8,16202,1.3,31808,3.3,43763,2.00 49,1,2024-09-07 08:21:11:021,99859,99859,0,0,47114826595,498612367,98123,1027,709,382,391583,0 49,2,2024-09-07 08:21:11:799,71765,71765,0,0,4201626,0,3900 49,3,2024-09-07 08:21:11:424,1,57,3,0,274,763,57,0 50,0,2024-09-07 08:21:11:520,10615,0.2,10382,0.4,20864,0.1,28097,1.75 50,1,2024-09-07 08:21:11:010,100652,100652,0,0,47444386007,497955790,98986,1369,297,368,391530,0 50,2,2024-09-07 08:21:11:067,72199,72199,0,0,3432883,0,2253 50,3,2024-09-07 08:21:11:290,1,57,1,1,335,864,57,0 51,0,2024-09-07 08:21:11:703,11629,0.2,11354,0.4,22259,0.2,30449,1.50 51,1,2024-09-07 08:21:11:684,99820,99820,0,0,47720472452,501977787,98022,1016,782,367,391547,0 51,2,2024-09-07 08:21:11:316,71481,71481,0,0,2962394,0,2448 51,3,2024-09-07 08:21:11:032,1,57,1,0,162,449,57,0 52,0,2024-09-07 08:21:11:433,17616,1.0,17743,1.0,35366,1.2,47316,2.50 52,1,2024-09-07 08:21:10:584,99849,99849,0,0,46381382025,493969454,97353,2032,464,368,391529,0 52,2,2024-09-07 08:21:11:779,71131,71131,0,0,3983546,0,4779 52,3,2024-09-07 08:21:10:691,1,57,1,1,1782,2371,57,0 53,0,2024-09-07 08:21:11:772,16511,2.8,15903,1.8,32923,3.9,44116,3.25 53,1,2024-09-07 08:21:10:784,99550,99550,0,0,47119731782,501272939,96948,2028,574,370,391617,0 53,2,2024-09-07 08:21:11:310,73764,73764,0,0,3489935,0,1902 53,3,2024-09-07 08:21:10:704,1,57,11,0,59,368,57,0 54,0,2024-09-07 08:21:11:626,10596,10.0,10698,5.3,21175,2.4,29404,5.25 54,1,2024-09-07 08:21:10:586,99606,99606,0,0,47022783025,496678156,97498,1792,316,367,391520,0 54,2,2024-09-07 08:21:10:867,71851,71845,6,0,4131937,0,5382 54,3,2024-09-07 08:21:10:763,1,57,1,0,676,1511,57,0 55,0,2024-09-07 08:21:11:804,10737,0.4,10981,0.6,22396,0.2,29019,2.00 55,1,2024-09-07 08:21:10:764,99369,99369,0,0,46303657067,492792749,95944,2723,702,367,391731,0 55,2,2024-09-07 08:21:10:730,70888,70888,0,0,4138838,0,3275 55,3,2024-09-07 08:21:10:674,1,57,2,0,136,671,57,0 56,0,2024-09-07 08:21:11:627,16814,2.0,15899,1.5,32560,3.1,44052,2.50 56,1,2024-09-07 08:21:10:595,99293,99293,0,0,46873976737,505827864,95915,2632,746,383,391536,0 56,2,2024-09-07 08:21:11:309,72118,72118,0,0,4660448,0,3567 56,3,2024-09-07 08:21:11:072,1,57,45,1,297,908,57,0 57,0,2024-09-07 08:21:10:971,16968,4.0,16658,2.2,33728,6.2,45408,4.75 57,1,2024-09-07 08:21:11:006,99389,99389,0,0,46141015899,487841195,97920,1450,19,368,391760,0 57,2,2024-09-07 08:21:11:325,73457,73457,0,0,4662182,0,3178 57,3,2024-09-07 08:21:11:755,1,57,11,1,359,1425,57,0 58,0,2024-09-07 08:21:10:612,12195,0.9,11814,1.2,24782,0.8,32480,3.00 58,1,2024-09-07 08:21:10:587,100543,100541,0,2,47045666568,494804266,98945,1437,159,369,391516,2 58,2,2024-09-07 08:21:11:078,71055,71055,0,0,4381164,0,2549 58,3,2024-09-07 08:21:11:068,1,57,1,1,219,757,57,0 59,0,2024-09-07 08:21:11:775,11316,0.7,11170,0.9,22543,0.6,29228,2.25 59,1,2024-09-07 08:21:10:804,99380,99380,0,0,46643995075,496795667,97134,1479,767,369,391515,0 59,2,2024-09-07 08:21:10:586,71417,71417,0,0,3840672,0,2604 59,3,2024-09-07 08:21:11:749,1,57,1,0,1015,1624,57,0 60,0,2024-09-07 08:21:11:794,13946,0.7,14034,0.8,27797,0.9,37594,1.75 60,1,2024-09-07 08:21:10:789,100693,100693,0,0,46733977330,490311567,99622,727,344,370,391712,0 60,2,2024-09-07 08:21:11:147,72154,72154,0,0,3590294,0,2142 60,3,2024-09-07 08:21:11:260,1,57,15,0,124,807,57,0 61,0,2024-09-07 08:21:11:562,18102,3.2,18083,1.8,36152,4.6,48487,2.50 61,1,2024-09-07 08:21:10:774,99648,99648,0,0,46634005685,498093865,97097,2017,534,383,391589,0 61,2,2024-09-07 08:21:11:141,72535,72535,0,0,3676870,0,1846 61,3,2024-09-07 08:21:11:691,1,57,13,1,199,1055,57,0 62,0,2024-09-07 08:21:11:716,15816,2.0,16115,1.3,30961,3.8,41852,2.25 62,1,2024-09-07 08:21:11:116,100418,100412,0,6,47504967134,496034359,99161,1214,37,366,391586,6 62,2,2024-09-07 08:21:11:644,70971,70971,0,0,4224853,0,2931 62,3,2024-09-07 08:21:11:143,1,57,1,0,287,604,57,0 63,0,2024-09-07 08:21:11:472,11323,0.4,11298,0.6,22643,0.3,30095,1.75 63,1,2024-09-07 08:21:10:804,100869,100865,0,4,47465580709,493531396,100466,398,1,381,391540,4 63,2,2024-09-07 08:21:10:764,71265,71265,0,0,3753737,0,2674 63,3,2024-09-07 08:21:11:731,1,57,1,0,667,1760,57,0 64,0,2024-09-07 08:21:11:755,11543,0.4,11580,0.7,23147,0.3,31287,1.75 64,1,2024-09-07 08:21:10:756,99992,99992,0,0,46560968404,496972927,97560,1639,793,371,391604,0 64,2,2024-09-07 08:21:11:142,72357,72338,19,0,4250144,0,6121 64,3,2024-09-07 08:21:11:140,1,57,265,1,265,956,57,0 65,0,2024-09-07 08:21:11:724,17834,2.7,18117,1.7,36094,3.6,48226,4.00 65,1,2024-09-07 08:21:10:862,99649,99649,0,0,45806956447,486253599,97943,1487,219,382,391569,0 65,2,2024-09-07 08:21:11:718,71975,71975,0,0,4325993,0,3367 65,3,2024-09-07 08:21:11:683,1,57,0,0,163,807,57,0 66,0,2024-09-07 08:21:11:822,16437,1.3,16580,1.3,33341,1.8,44655,3.25 66,1,2024-09-07 08:21:11:292,100671,100671,0,0,47132942895,492224862,99983,667,21,381,391537,0 66,2,2024-09-07 08:21:11:132,72741,72741,0,0,4007778,0,3867 66,3,2024-09-07 08:21:11:078,1,57,1,1,291,909,57,0 67,0,2024-09-07 08:21:11:420,10021,0.6,10054,0.8,19882,0.5,26348,2.25 67,1,2024-09-07 08:21:10:765,99602,99601,0,1,46772303166,497116327,97583,1493,525,382,391787,1 67,2,2024-09-07 08:21:10:591,72272,72272,0,0,3653628,0,2889 67,3,2024-09-07 08:21:11:750,1,57,1,0,138,610,57,0 68,0,2024-09-07 08:21:10:586,10799,0.4,10724,0.6,21524,0.2,28763,2.00 68,1,2024-09-07 08:21:10:585,99546,99546,0,0,46710920233,494310188,98153,871,522,382,391953,0 68,2,2024-09-07 08:21:11:057,71479,71479,0,0,3557877,0,4883 68,3,2024-09-07 08:21:10:738,1,57,37,1,63,595,57,0 69,0,2024-09-07 08:21:11:835,17253,1.2,17309,1.2,34333,1.5,46306,2.50 69,1,2024-09-07 08:21:11:019,99211,99211,0,0,46560527720,499297160,97267,1079,865,386,391532,0 69,2,2024-09-07 08:21:11:765,71569,71569,0,0,4006313,0,3153 69,3,2024-09-07 08:21:10:764,1,57,4,0,238,933,57,0 70,0,2024-09-07 08:21:11:545,16758,4.1,16722,3.0,33750,4.0,44990,5.00 70,1,2024-09-07 08:21:10:804,100243,100243,0,0,47634441171,496960919,99332,777,134,369,391527,0 70,2,2024-09-07 08:21:11:325,73276,73276,0,0,4118107,0,4044 70,3,2024-09-07 08:21:10:745,1,57,7,0,178,439,57,0 71,0,2024-09-07 08:21:11:368,11204,2.4,11185,5.0,22053,3.7,30530,4.50 71,1,2024-09-07 08:21:11:598,99785,99785,0,0,47297953377,499850336,97600,1869,316,368,391682,0 71,2,2024-09-07 08:21:11:069,71270,71270,0,0,3588834,0,2146 71,3,2024-09-07 08:21:11:784,1,57,2,0,174,557,57,0 72,0,2024-09-07 08:21:11:044,11436,0.4,11027,0.7,21968,0.3,29595,2.75 72,1,2024-09-07 08:21:11:022,100415,100415,0,0,46905923742,493513985,98876,1463,76,370,391556,0 72,2,2024-09-07 08:21:11:798,70425,70425,0,0,5030011,0,2570 72,3,2024-09-07 08:21:11:767,1,57,7,1,325,1247,57,0 73,0,2024-09-07 08:21:11:156,15027,0.6,15404,0.7,31294,0.5,41472,2.50 73,1,2024-09-07 08:21:10:792,99996,99996,0,0,47092166482,494041971,99036,917,43,368,391627,0 73,2,2024-09-07 08:21:11:755,72719,72719,0,0,4570767,0,3482 73,3,2024-09-07 08:21:10:970,1,57,1,0,274,1211,57,0 74,0,2024-09-07 08:21:11:362,18203,2.2,18517,1.5,35697,3.6,48353,4.00 74,1,2024-09-07 08:21:10:638,99835,99835,0,0,46495942096,491683156,97939,1324,572,382,391497,0 74,2,2024-09-07 08:21:11:008,72441,72441,0,0,4866665,0,4253 74,3,2024-09-07 08:21:11:446,1,57,1,0,246,1270,57,0 75,0,2024-09-07 08:21:11:783,14093,3.1,14091,1.8,28101,5.1,37793,3.50 75,1,2024-09-07 08:21:11:601,100161,100161,0,0,46338428334,490375897,98477,1580,104,382,391514,0 75,2,2024-09-07 08:21:11:357,70740,70740,0,0,4665750,0,4766 75,3,2024-09-07 08:21:11:068,1,57,1,0,143,395,57,0 76,0,2024-09-07 08:21:10:628,11627,0.3,11514,0.6,23115,0.2,30235,2.00 76,1,2024-09-07 08:21:10:821,99748,99748,0,0,46156711260,490522198,97945,1297,506,382,391530,0 76,2,2024-09-07 08:21:11:071,71768,71768,0,0,3789630,0,3064 76,3,2024-09-07 08:21:11:142,1,57,3,0,175,968,57,0 77,0,2024-09-07 08:21:11:825,12870,1.1,12938,1.0,25921,1.4,34630,2.00 77,1,2024-09-07 08:21:10:859,99807,99807,0,0,46490883684,489319937,98777,961,69,383,391516,0 77,2,2024-09-07 08:21:11:281,72825,72825,0,0,3251135,0,1695 77,3,2024-09-07 08:21:11:104,1,57,42,0,139,662,57,0 78,0,2024-09-07 08:21:11:769,18043,1.5,17953,1.3,36132,2.3,47764,2.50 78,1,2024-09-07 08:21:10:614,100482,100482,0,0,46138999054,486229943,99065,1213,204,367,391589,0 78,2,2024-09-07 08:21:11:408,72169,72169,0,0,3301340,0,2114 78,3,2024-09-07 08:21:11:133,1,57,17,0,181,997,57,0 79,0,2024-09-07 08:21:11:361,15614,1.2,15987,1.3,32682,1.8,42892,3.50 79,1,2024-09-07 08:21:10:574,100096,100096,0,0,46911920592,492534416,98760,1267,69,370,391609,0 79,2,2024-09-07 08:21:11:076,71679,71679,0,0,3753415,0,2679 79,3,2024-09-07 08:21:10:755,1,57,1,0,289,811,57,0 80,0,2024-09-07 08:21:11:164,10370,0.4,10727,0.7,20715,0.3,27879,2.00 80,1,2024-09-07 08:21:11:634,99341,99341,0,0,46827100970,492893782,97756,1470,115,369,391673,0 80,2,2024-09-07 08:21:11:098,71691,71691,0,0,4056524,0,4433 80,3,2024-09-07 08:21:10:575,1,57,1,1,190,1186,57,0 81,0,2024-09-07 08:21:11:602,11467,0.5,11795,0.7,22522,0.4,30509,2.00 81,1,2024-09-07 08:21:11:706,99710,99710,0,0,46716506178,495520560,97827,1685,198,383,391680,0 81,2,2024-09-07 08:21:11:134,71046,71046,0,0,4295241,0,3993 81,3,2024-09-07 08:21:11:131,1,57,3,0,193,749,57,0 82,0,2024-09-07 08:21:11:545,17469,1.0,17531,1.1,35012,1.2,47255,2.25 82,1,2024-09-07 08:21:10:587,99552,99550,0,2,46793100596,495270907,97515,1463,572,383,391558,2 82,2,2024-09-07 08:21:11:692,72533,72533,0,0,3279317,0,2230 82,3,2024-09-07 08:21:11:757,1,57,3,0,211,1055,57,0 83,0,2024-09-07 08:21:11:541,16536,2.0,16487,1.6,32764,2.3,43747,3.25 83,1,2024-09-07 08:21:10:553,99885,99885,0,0,46741448738,493731686,98475,1310,100,383,391553,0 83,2,2024-09-07 08:21:10:765,72422,72422,0,0,4304182,0,3119 83,3,2024-09-07 08:21:10:752,1,57,17,0,91,562,57,0 84,0,2024-09-07 08:21:11:793,10981,5.9,10816,5.5,21861,1.7,29973,3.50 84,1,2024-09-07 08:21:11:057,99833,99833,0,0,46661558096,494187305,98261,1325,247,369,391638,0 84,2,2024-09-07 08:21:10:586,71130,71130,0,0,3971697,0,3801 84,3,2024-09-07 08:21:11:145,1,57,9,1,43,615,57,0 85,0,2024-09-07 08:21:11:022,10722,0.4,10683,0.6,22668,0.3,29172,2.00 85,1,2024-09-07 08:21:10:644,99334,99334,0,0,47054483939,503371936,96898,2008,428,384,391652,0 85,2,2024-09-07 08:21:10:868,70816,70816,0,0,4340767,0,3656 85,3,2024-09-07 08:21:10:717,1,57,3,0,115,686,57,0 86,0,2024-09-07 08:21:10:893,16282,0.8,16967,0.8,32636,1.1,44102,2.00 86,1,2024-09-07 08:21:10:855,99558,99558,0,0,47142025194,498045783,97784,1559,215,367,391622,0 86,2,2024-09-07 08:21:10:865,71451,71450,1,0,4516656,0,5004 86,3,2024-09-07 08:21:10:590,1,57,1,0,199,803,57,0 87,0,2024-09-07 08:21:11:309,17187,3.3,17177,2.0,34534,4.4,46489,3.50 87,1,2024-09-07 08:21:10:561,99338,99338,0,0,46569189456,492634152,97769,1449,120,368,391671,0 87,2,2024-09-07 08:21:11:067,73531,73531,0,0,3542907,0,2859 87,3,2024-09-07 08:21:11:798,1,57,2,1,322,1073,57,0 88,0,2024-09-07 08:21:11:479,12927,1.0,13067,1.1,25812,1.1,34357,2.00 88,1,2024-09-07 08:21:10:586,99316,99316,0,0,46379103093,489600692,98115,1106,95,367,391747,0 88,2,2024-09-07 08:21:10:693,71173,71173,0,0,4624215,0,3583 88,3,2024-09-07 08:21:11:283,1,57,17,1,77,765,57,0 89,0,2024-09-07 08:21:11:814,11609,0.3,11197,0.6,22284,0.3,29613,1.75 89,1,2024-09-07 08:21:10:571,98821,98821,0,0,46572683539,502807575,95604,2482,735,383,391866,0 89,2,2024-09-07 08:21:11:139,70921,70921,0,0,4335673,0,2726 89,3,2024-09-07 08:21:11:795,1,57,13,0,325,1655,57,0 90,0,2024-09-07 08:21:11:656,13483,0.6,13761,0.8,28340,0.7,37433,1.75 90,1,2024-09-07 08:21:10:598,99627,99627,0,0,46323839380,494512129,97586,1901,140,382,391825,0 90,2,2024-09-07 08:21:11:414,71351,71351,0,0,5026179,0,2635 90,3,2024-09-07 08:21:10:931,1,57,9,1,200,891,57,0 91,0,2024-09-07 08:21:10:950,18584,0.8,17908,0.8,37327,0.9,48816,2.00 91,1,2024-09-07 08:21:10:564,99623,99623,0,0,47010142784,501128356,97281,1980,362,384,391914,0 91,2,2024-09-07 08:21:11:351,71927,71927,0,0,4040765,0,1997 91,3,2024-09-07 08:21:10:604,1,57,1,1,155,753,57,0 92,0,2024-09-07 08:21:11:493,15856,1.4,16295,1.2,31178,2.7,41957,2.00 92,1,2024-09-07 08:21:10:584,99993,99993,0,0,46877441822,492420513,99354,573,66,383,391717,0 92,2,2024-09-07 08:21:11:352,72491,72491,0,0,4010053,0,2279 92,3,2024-09-07 08:21:11:009,1,57,5,1,68,713,57,0 93,0,2024-09-07 08:21:10:968,11381,0.3,11530,0.6,22060,0.2,30005,1.75 93,1,2024-09-07 08:21:10:823,99831,99831,0,0,47009682362,497964138,98077,1320,434,367,391689,0 93,2,2024-09-07 08:21:10:939,71893,71893,0,0,3672047,0,2509 93,3,2024-09-07 08:21:11:405,1,57,4,1,143,622,57,0 94,0,2024-09-07 08:21:11:629,11707,0.3,11851,0.5,23055,0.2,31246,1.75 94,1,2024-09-07 08:21:10:567,99476,99476,0,0,46822662867,497195672,97905,1507,64,381,391850,0 94,2,2024-09-07 08:21:10:765,71689,71689,0,0,3710722,0,2443 94,3,2024-09-07 08:21:11:692,1,57,3,1,231,1200,57,0 95,0,2024-09-07 08:21:11:362,18328,0.5,18167,0.7,36919,0.5,48966,1.75 95,1,2024-09-07 08:21:10:863,99976,99976,0,0,46742849939,490498932,99186,726,64,367,391590,0 95,2,2024-09-07 08:21:11:018,71708,71708,0,0,3915419,0,3308 95,3,2024-09-07 08:21:11:715,1,57,2,0,307,1193,57,0 96,0,2024-09-07 08:21:11:069,17026,1.4,16924,1.1,33916,2.2,45121,2.00 96,1,2024-09-07 08:21:11:590,99991,99991,0,0,47104066314,500903970,98158,1263,570,385,391596,0 96,2,2024-09-07 08:21:11:284,72327,72327,0,0,4487997,0,4038 96,3,2024-09-07 08:21:11:140,1,57,2,1,36,646,57,0 97,0,2024-09-07 08:21:11:359,10023,0.4,9972,0.6,19925,0.4,26470,1.75 97,1,2024-09-07 08:21:10:770,99590,99590,0,0,47345613006,501065407,97409,1664,517,367,391626,0 97,2,2024-09-07 08:21:10:620,71529,71529,0,0,3700234,0,3036 97,3,2024-09-07 08:21:10:574,1,57,1,1,165,855,57,0 98,0,2024-09-07 08:21:11:739,10810,0.2,10690,0.4,21780,0.2,28947,1.50 98,1,2024-09-07 08:21:10:577,99682,99682,0,0,46925100378,494752088,98617,1020,45,382,391588,0 98,2,2024-09-07 08:21:10:777,71762,71762,0,0,3943799,0,3080 98,3,2024-09-07 08:21:10:702,1,57,91,1,155,771,57,0 99,0,2024-09-07 08:21:11:520,17338,0.4,17236,0.6,34689,0.4,46294,1.75 99,1,2024-09-07 08:21:11:747,100231,100231,0,0,46477417060,490826597,98758,1033,440,381,391744,0 99,2,2024-09-07 08:21:11:533,72323,72323,0,0,3877817,0,1858 99,3,2024-09-07 08:21:10:589,1,57,2,0,129,632,57,0 100,0,2024-09-07 08:21:11:495,17013,2.7,16967,3.0,33889,5.3,45920,2.75 100,1,2024-09-07 08:21:10:562,99196,99196,0,0,46068162904,496028822,96515,2337,344,382,391585,0 100,2,2024-09-07 08:21:11:832,72889,72878,11,0,5000082,0,5417 100,3,2024-09-07 08:21:11:754,1,57,1,1,443,1663,57,0 101,0,2024-09-07 08:21:11:728,11738,5.3,11345,2.9,22509,2.5,31510,3.25 101,1,2024-09-07 08:21:10:556,99567,99567,0,0,46534489090,493333619,98106,1012,449,369,391709,0 101,2,2024-09-07 08:21:11:772,71280,71280,0,0,4204315,0,4644 101,3,2024-09-07 08:21:10:942,1,57,2,0,448,922,57,0 102,0,2024-09-07 08:21:10:949,10833,0.5,11231,0.6,22576,0.3,29406,1.75 102,1,2024-09-07 08:21:11:143,99721,99721,0,0,46909519651,499118355,97542,1734,445,369,391831,0 102,2,2024-09-07 08:21:11:745,71302,71302,0,0,3308025,0,1945 102,3,2024-09-07 08:21:11:615,1,57,1,0,410,774,57,0 103,0,2024-09-07 08:21:11:644,15781,0.6,15757,0.7,29760,0.6,41759,2.00 103,1,2024-09-07 08:21:11:639,99606,99606,0,0,46956216320,496025560,98412,1052,142,381,391680,0 103,2,2024-09-07 08:21:10:583,72133,72133,0,0,3689837,0,2104 103,3,2024-09-07 08:21:10:757,1,57,3,1,486,1017,57,0 104,0,2024-09-07 08:21:11:036,18103,2.7,18140,1.7,35249,4.1,48402,3.00 104,1,2024-09-07 08:21:11:600,100324,100324,0,0,46422665748,494388238,98422,1576,326,368,391948,0 104,2,2024-09-07 08:21:11:672,71916,71916,0,0,4461671,0,3941 104,3,2024-09-07 08:21:11:417,1,57,1,1,1245,3518,57,0 105,0,2024-09-07 08:21:11:076,13965,2.2,13442,1.9,27907,3.8,37532,4.00 105,1,2024-09-07 08:21:10:562,99637,99637,0,0,46852277197,501695612,96697,2195,745,367,391797,0 105,2,2024-09-07 08:21:11:325,70815,70815,0,0,4131379,0,3314 105,3,2024-09-07 08:21:11:306,1,57,1,1,182,1134,57,0 106,0,2024-09-07 08:21:10:978,11226,0.3,11554,0.6,23469,0.2,30325,1.75 106,1,2024-09-07 08:21:11:750,99927,99927,0,0,46623086418,496917344,97529,2072,326,371,391767,0 106,2,2024-09-07 08:21:10:759,71013,71013,0,0,4232264,0,2795 106,3,2024-09-07 08:21:10:685,1,57,1,1,201,818,57,0 107,0,2024-09-07 08:21:11:135,13070,0.6,12950,0.8,25793,0.6,34631,1.75 107,1,2024-09-07 08:21:10:584,99452,99452,0,0,46756493119,498124482,97671,1610,171,382,392234,0 107,2,2024-09-07 08:21:11:293,72394,72393,1,0,4092403,0,5024 107,3,2024-09-07 08:21:11:755,1,57,1,0,353,1180,57,0 108,0,2024-09-07 08:21:11:778,18032,0.7,18305,0.8,36239,0.9,47908,1.75 108,1,2024-09-07 08:21:11:300,99662,99662,0,0,47195495603,498827216,98241,1288,133,371,391857,0 108,2,2024-09-07 08:21:11:785,71771,71771,0,0,3991559,0,2647 108,3,2024-09-07 08:21:11:330,1,57,1,1,60,779,57,0 109,0,2024-09-07 08:21:11:854,16348,1.1,16504,1.0,32361,1.3,43884,2.00 109,1,2024-09-07 08:21:10:585,99427,99427,0,0,46836589526,498647949,97826,1218,383,383,391664,0 109,2,2024-09-07 08:21:10:924,70795,70795,0,0,4333854,0,3617 109,3,2024-09-07 08:21:11:140,1,57,5,1,249,1130,57,0 110,0,2024-09-07 08:21:11:770,10488,0.3,10222,0.5,21316,0.2,27897,1.50 110,1,2024-09-07 08:21:11:659,100001,100001,0,0,46623766258,490235749,98688,1029,284,370,391588,0 110,2,2024-09-07 08:21:11:308,72329,72329,0,0,3662974,0,2915 110,3,2024-09-07 08:21:10:694,1,57,1,0,183,668,57,0 111,0,2024-09-07 08:21:11:423,11280,0.2,11296,0.4,22548,0.1,30380,1.50 111,1,2024-09-07 08:21:11:000,100343,100343,0,0,47483248373,494575301,99700,630,13,382,391690,0 111,2,2024-09-07 08:21:11:117,71854,71854,0,0,3829925,0,2763 111,3,2024-09-07 08:21:10:913,1,57,300,0,300,1345,57,0 112,0,2024-09-07 08:21:10:917,17643,0.5,17746,0.6,35275,0.4,47570,1.75 112,1,2024-09-07 08:21:10:826,99717,99717,0,0,47291176101,498733931,98210,1161,346,381,391580,0 112,2,2024-09-07 08:21:11:139,71779,71778,1,0,4239054,0,5036 112,3,2024-09-07 08:21:10:600,1,57,21,1,282,816,57,0 113,0,2024-09-07 08:21:10:920,16750,0.8,16551,0.8,33666,0.7,44928,1.75 113,1,2024-09-07 08:21:11:690,100057,100057,0,0,47083401233,495283422,98169,1504,384,368,391661,0 113,2,2024-09-07 08:21:11:310,73062,73062,0,0,3500322,0,3021 113,3,2024-09-07 08:21:10:684,1,57,11,1,288,1095,57,0 114,0,2024-09-07 08:21:10:893,11288,4.4,11372,2.9,22504,1.6,30426,2.25 114,1,2024-09-07 08:21:10:717,99705,99705,0,0,47110490384,502185432,97099,1725,881,381,391513,0 114,2,2024-09-07 08:21:10:875,71366,71366,0,0,4072569,0,3925 114,3,2024-09-07 08:21:11:278,1,57,4,0,159,706,57,0 115,0,2024-09-07 08:21:10:571,10903,0.2,11042,0.4,22101,0.1,29241,1.50 115,1,2024-09-07 08:21:10:574,100021,100021,0,0,47047349880,498819385,97649,1885,487,383,391506,0 115,2,2024-09-07 08:21:11:125,71623,71623,0,0,3404484,0,2152 115,3,2024-09-07 08:21:11:005,1,57,1,0,159,455,57,0 116,0,2024-09-07 08:21:11:772,16373,1.0,16295,1.0,32708,1.4,44103,2.00 116,1,2024-09-07 08:21:10:872,99202,99202,0,0,47154301799,505037640,97333,999,870,382,391605,0 116,2,2024-09-07 08:21:11:758,71570,71570,0,0,4177450,0,3529 116,3,2024-09-07 08:21:10:914,1,57,1,1,252,1215,57,0 117,0,2024-09-07 08:21:11:006,17321,2.9,17395,1.7,34747,4.0,46596,2.25 117,1,2024-09-07 08:21:11:585,100043,100043,0,0,46443715788,494043849,97967,1786,290,371,392033,0 117,2,2024-09-07 08:21:11:117,73605,73605,0,0,3795366,0,3700 117,3,2024-09-07 08:21:11:068,1,57,3,0,490,1665,57,0 118,0,2024-09-07 08:21:11:826,12494,1.0,12767,1.0,26250,1.3,34234,2.25 118,1,2024-09-07 08:21:10:602,100068,100068,0,0,46779474786,494511593,98853,1137,78,368,391736,0 118,2,2024-09-07 08:21:11:601,71464,71464,0,0,4061962,0,2781 118,3,2024-09-07 08:21:11:781,1,57,4,1,235,1080,57,0 119,0,2024-09-07 08:21:11:349,11045,0.3,11082,0.5,22739,0.2,29511,1.75 119,1,2024-09-07 08:21:10:568,100022,100022,0,0,46991186556,497118869,98417,1456,149,371,391617,0 119,2,2024-09-07 08:21:11:262,70625,70625,0,0,3743709,0,2532 119,3,2024-09-07 08:21:11:330,1,57,5,1,443,2052,57,0 120,0,2024-09-07 08:21:11:562,13803,1.2,13683,1.2,27461,2.1,37263,2.50 120,1,2024-09-07 08:21:10:865,99748,99748,0,0,46655132644,495830580,98249,1484,15,368,391702,0 120,2,2024-09-07 08:21:10:785,71578,71577,1,0,4914713,0,5281 120,3,2024-09-07 08:21:11:290,1,57,31,1,241,1045,57,0 121,0,2024-09-07 08:21:11:737,18079,2.9,18196,1.7,36425,4.1,48835,2.75 121,1,2024-09-07 08:21:11:674,99824,99824,0,0,46622405376,494556008,97963,1595,266,368,391807,0 121,2,2024-09-07 08:21:11:128,71230,71230,0,0,5251481,0,4127 121,3,2024-09-07 08:21:10:731,1,57,1,0,101,616,57,0 122,0,2024-09-07 08:21:11:831,15673,2.6,15090,2.3,31481,3.0,42193,2.50 122,1,2024-09-07 08:21:10:860,98899,98899,0,0,46601822438,500355636,95903,2472,524,368,392130,0 122,2,2024-09-07 08:21:11:326,71382,71382,0,0,5317662,0,3364 122,3,2024-09-07 08:21:10:599,1,57,2,1,226,1350,57,0 123,0,2024-09-07 08:21:10:960,11376,0.3,10995,0.6,22867,0.2,29989,1.75 123,1,2024-09-07 08:21:10:563,99655,99655,0,0,46779391120,503188529,96241,2847,567,369,391823,0 123,2,2024-09-07 08:21:11:021,71412,71411,1,0,4267078,0,5215 123,3,2024-09-07 08:21:11:133,1,57,9,1,160,870,57,0 124,0,2024-09-07 08:21:10:931,11896,0.3,11887,0.6,22483,0.2,31314,1.75 124,1,2024-09-07 08:21:11:028,100421,100421,0,0,46728223346,488837843,99632,646,143,367,392178,0 124,2,2024-09-07 08:21:11:012,71567,71567,0,0,3445969,0,2477 124,3,2024-09-07 08:21:10:759,1,57,27,1,490,1513,57,0 125,0,2024-09-07 08:21:11:443,18393,0.7,18444,0.8,36922,0.8,48941,2.00 125,1,2024-09-07 08:21:10:855,99749,99749,0,0,46451265367,492119179,98019,1458,272,384,391702,0 125,2,2024-09-07 08:21:11:123,71727,71727,0,0,3806337,0,2180 125,3,2024-09-07 08:21:11:126,1,57,2,1,93,941,57,0 126,0,2024-09-07 08:21:11:477,17023,1.5,17507,1.1,33452,2.4,45429,2.00 126,1,2024-09-07 08:21:10:554,100184,100184,0,0,47015706494,492775231,99143,989,52,365,391719,0 126,2,2024-09-07 08:21:10:615,72488,72488,0,0,4095214,0,3186 126,3,2024-09-07 08:21:10:907,1,57,2,0,122,856,57,0 127,0,2024-09-07 08:21:11:618,10131,0.3,10011,0.5,19968,0.2,26385,1.50 127,1,2024-09-07 08:21:10:590,99950,99950,0,0,46798683371,489845615,98818,1109,23,365,391614,0 127,2,2024-09-07 08:21:10:637,72008,72008,0,0,3320360,0,1803 127,3,2024-09-07 08:21:11:266,1,57,1,0,99,572,57,0 128,0,2024-09-07 08:21:11:568,10774,0.3,10901,0.5,21391,0.2,28929,1.50 128,1,2024-09-07 08:21:11:613,99983,99983,0,0,46857444110,489627966,99278,636,69,369,391605,0 128,2,2024-09-07 08:21:11:383,70951,70951,0,0,4155341,0,2107 128,3,2024-09-07 08:21:10:767,1,57,2,1,112,801,57,0 129,0,2024-09-07 08:21:11:033,17431,0.5,17493,0.6,34710,0.5,46361,1.75 129,1,2024-09-07 08:21:10:589,99619,99619,0,0,46956885289,498047430,97804,1543,272,379,391835,0 129,2,2024-09-07 08:21:10:692,71084,71084,0,0,3572336,0,2446 129,3,2024-09-07 08:21:10:694,1,57,1,1,173,960,57,0 130,0,2024-09-07 08:21:11:767,17289,1.7,17181,1.3,34427,2.4,46445,2.25 130,1,2024-09-07 08:21:10:595,100215,100215,0,0,46781504065,493724506,98910,1274,31,381,391541,0 130,2,2024-09-07 08:21:11:128,73422,73422,0,0,4277048,0,4067 130,3,2024-09-07 08:21:11:291,1,57,6,1,207,606,57,0 131,0,2024-09-07 08:21:11:935,11806,1.4,11596,1.2,23626,2.0,31459,2.00 131,1,2024-09-07 08:21:11:824,100276,100276,0,0,46704744005,491501795,99268,822,186,385,391865,0 131,2,2024-09-07 08:21:10:567,70970,70970,0,0,3423077,0,2415 131,3,2024-09-07 08:21:11:693,1,57,2,0,392,1019,57,0 132,0,2024-09-07 08:21:11:427,11013,0.4,11317,0.7,22189,0.3,29582,1.75 132,1,2024-09-07 08:21:10:598,99110,99110,0,0,46510828121,497638812,96549,1856,705,382,391574,0 132,2,2024-09-07 08:21:10:705,70824,70824,0,0,5322857,0,4606 132,3,2024-09-07 08:21:11:692,1,57,1,1,356,951,57,0 133,0,2024-09-07 08:21:11:566,15081,0.6,15217,0.8,31666,0.6,41225,2.00 133,1,2024-09-07 08:21:10:612,98927,98927,0,0,46714665869,498781426,96813,2021,93,383,391755,0 133,2,2024-09-07 08:21:11:096,72474,72474,0,0,4361786,0,2444 133,3,2024-09-07 08:21:11:307,1,57,4,1,187,539,57,0 134,0,2024-09-07 08:21:10:953,18280,1.4,18298,1.2,36606,2.2,48537,2.25 134,1,2024-09-07 08:21:10:587,99845,99845,0,0,46695161137,498076319,97234,1631,980,366,391718,0 134,2,2024-09-07 08:21:11:759,72274,72274,0,0,3529001,0,2026 134,3,2024-09-07 08:21:10:755,1,57,45,1,739,1801,57,0 135,0,2024-09-07 08:21:11:109,13748,2.1,13768,1.8,29049,2.3,37465,2.50 135,1,2024-09-07 08:21:11:596,99260,99260,0,0,47080063578,499312176,97350,1585,325,383,391645,0 135,2,2024-09-07 08:21:10:695,71511,71511,0,0,4185746,0,3981 135,3,2024-09-07 08:21:11:005,1,57,1,0,68,431,57,0 136,0,2024-09-07 08:21:11:638,11655,0.4,11619,0.7,23147,0.3,30501,2.00 136,1,2024-09-07 08:21:11:446,100056,100056,0,0,46804377364,496967173,98168,1743,145,384,391518,0 136,2,2024-09-07 08:21:11:148,72033,72033,0,0,3804925,0,2379 136,3,2024-09-07 08:21:11:116,1,57,13,1,108,797,57,0 137,0,2024-09-07 08:21:10:930,13233,1.2,12902,1.1,25634,1.4,34746,2.25 137,1,2024-09-07 08:21:10:587,99729,99729,0,0,47347743133,501668602,97764,1852,113,368,391608,0 137,2,2024-09-07 08:21:11:704,71831,71831,0,0,4711471,0,2632 137,3,2024-09-07 08:21:10:773,1,57,1,1,227,909,57,0 138,0,2024-09-07 08:21:11:775,17546,3.0,17732,1.8,35900,4.0,47783,3.25 138,1,2024-09-07 08:21:11:685,99361,99361,0,0,47191392315,501664542,97047,2053,261,371,391615,0 138,2,2024-09-07 08:21:10:586,72539,72539,0,0,4005741,0,3263 138,3,2024-09-07 08:21:10:612,1,57,2,1,1160,1738,57,0 139,0,2024-09-07 08:21:11:376,15774,4.9,15781,2.7,31855,5.8,43277,4.00 139,1,2024-09-07 08:21:10:575,99289,99289,0,0,46619208065,503915891,96411,2151,727,381,391892,0 139,2,2024-09-07 08:21:10:693,71197,71197,0,0,4969250,0,3097 139,3,2024-09-07 08:21:11:666,1,57,0,0,244,1217,57,0 140,0,2024-09-07 08:21:11:615,10451,0.3,10296,0.5,20906,0.2,27968,1.75 140,1,2024-09-07 08:21:11:540,100767,100767,0,0,47173731366,491949404,99840,730,197,365,391483,0 140,2,2024-09-07 08:21:10:692,72106,72106,0,0,4228996,0,3388 140,3,2024-09-07 08:21:10:773,1,57,2,0,25,498,57,0 141,0,2024-09-07 08:21:11:707,11078,0.2,11562,0.4,22396,0.1,30397,1.50 141,1,2024-09-07 08:21:10:879,100556,100556,0,0,47103451155,495232112,99137,1088,331,382,391538,0 141,2,2024-09-07 08:21:11:691,72044,72044,0,0,3759126,0,2342 141,3,2024-09-07 08:21:11:043,1,57,2,0,147,492,57,0 142,0,2024-09-07 08:21:11:320,17957,0.5,17711,0.6,35116,0.4,47563,1.75 142,1,2024-09-07 08:21:10:621,100366,100366,0,0,46901989768,491810087,99819,532,15,384,391649,0 142,2,2024-09-07 08:21:11:300,71077,71077,0,0,4031643,0,2293 142,3,2024-09-07 08:21:11:753,1,57,5,1,484,1149,57,0 143,0,2024-09-07 08:21:11:394,16658,1.3,16712,1.1,33664,1.7,44795,2.25 143,1,2024-09-07 08:21:10:561,100273,100273,0,0,46983203222,491510709,99305,943,25,367,391608,0 143,2,2024-09-07 08:21:10:773,72618,72618,0,0,4423556,0,2669 143,3,2024-09-07 08:21:11:141,1,57,4,1,236,1043,57,0 144,0,2024-09-07 08:21:11:557,10750,2.3,11200,7.2,22303,2.2,30239,2.50 144,1,2024-09-07 08:21:10:574,99476,99476,0,0,46418935711,493336098,97914,1373,189,383,391638,0 144,2,2024-09-07 08:21:11:779,71615,71615,0,0,3776071,0,3473 144,3,2024-09-07 08:21:11:759,1,57,2,1,169,1128,57,0 145,0,2024-09-07 08:21:11:371,10609,0.4,10573,0.6,22362,0.2,29152,2.00 145,1,2024-09-07 08:21:10:555,99057,99057,0,0,47669506424,505939601,97138,1657,262,383,391615,0 145,2,2024-09-07 08:21:11:434,71121,71121,0,0,4479206,0,3903 145,3,2024-09-07 08:21:10:895,1,57,1,0,151,855,57,0 146,0,2024-09-07 08:21:11:653,16302,1.0,16343,1.0,32862,1.3,43878,2.25 146,1,2024-09-07 08:21:11:606,100076,100076,0,0,46923204742,499102770,97788,1987,301,370,391600,0 146,2,2024-09-07 08:21:11:714,71120,71120,0,0,4128449,0,2379 146,3,2024-09-07 08:21:11:276,1,57,1,0,1520,2925,57,0 147,0,2024-09-07 08:21:11:749,17309,3.5,17024,2.0,33863,5.0,46700,3.50 147,1,2024-09-07 08:21:11:375,99949,99949,0,0,46752245881,491654676,98244,1351,354,369,391791,0 147,2,2024-09-07 08:21:11:010,73905,73905,0,0,4156077,0,2789 147,3,2024-09-07 08:21:10:913,1,57,1,1,141,744,57,0 0,0,2024-09-07 08:21:21:841,13922,1.0,13893,1.0,29359,1.4,38764,2.00 0,1,2024-09-07 08:21:20:812,101791,101791,0,0,47526351417,503940167,100579,1086,126,372,391673,0 0,2,2024-09-07 08:21:21:069,73155,73155,0,0,4238275,0,4480 0,3,2024-09-07 08:21:20:974,1,58,1,0,247,1014,58,0 1,0,2024-09-07 08:21:21:857,18103,3.3,18106,2.0,36426,4.8,48895,3.25 1,1,2024-09-07 08:21:20:585,101168,101168,0,0,47046608178,499642423,99490,1152,526,372,391857,0 1,2,2024-09-07 08:21:20:668,73382,73382,0,0,3895838,0,3267 1,3,2024-09-07 08:21:21:307,1,58,15,1,167,653,58,0 2,0,2024-09-07 08:21:21:585,15522,1.9,15750,1.6,31103,3.9,41547,2.50 2,1,2024-09-07 08:21:20:859,101447,101447,0,0,47786236127,502222905,100370,874,203,382,391558,0 2,2,2024-09-07 08:21:21:275,71889,71889,0,0,4032590,0,3304 2,3,2024-09-07 08:21:20:694,1,58,1,0,214,680,58,0 3,0,2024-09-07 08:21:21:756,11609,0.4,11612,0.6,23324,0.2,30553,1.75 3,1,2024-09-07 08:21:21:618,101284,101284,0,0,47284232888,500074389,99306,1592,386,382,391514,0 3,2,2024-09-07 08:21:21:142,72669,72646,23,0,4493726,0,5851 3,3,2024-09-07 08:21:21:790,1,58,15,0,103,544,58,0 4,0,2024-09-07 08:21:21:882,11681,0.3,11997,0.5,24413,0.2,32489,1.75 4,1,2024-09-07 08:21:20:611,101551,101551,0,0,47400842965,504909843,99706,1429,416,372,391846,0 4,2,2024-09-07 08:21:21:039,73386,73386,0,0,4840780,0,4528 4,3,2024-09-07 08:21:21:027,1,58,1,1,287,1076,58,0 5,0,2024-09-07 08:21:21:437,18626,0.9,18367,0.9,37261,1.1,49152,2.00 5,1,2024-09-07 08:21:20:755,101323,101323,0,0,47858452332,508895986,99142,1742,439,368,392005,0 5,2,2024-09-07 08:21:21:929,72725,72725,0,0,3828118,0,2259 5,3,2024-09-07 08:21:21:756,1,58,8,1,238,1338,58,0 6,0,2024-09-07 08:21:20:932,17297,1.7,17026,1.5,34053,2.7,45902,3.50 6,1,2024-09-07 08:21:20:750,101959,101959,0,0,47462383076,498480193,100856,1034,69,381,391603,0 6,2,2024-09-07 08:21:21:116,73463,73463,0,0,4024111,0,2411 6,3,2024-09-07 08:21:21:275,1,58,8,1,340,1398,58,0 7,0,2024-09-07 08:21:21:538,10119,0.3,10061,0.5,20315,0.2,26946,1.75 7,1,2024-09-07 08:21:20:851,101684,101684,0,0,47655719437,501419304,100704,916,64,383,391664,0 7,2,2024-09-07 08:21:20:771,73104,73104,0,0,3794603,0,2981 7,3,2024-09-07 08:21:20:852,1,58,6,0,83,571,58,0 8,0,2024-09-07 08:21:21:405,11395,0.3,11194,0.5,22223,0.2,30358,1.75 8,1,2024-09-07 08:21:21:022,101510,101510,0,0,47356775107,511929693,98041,2217,1252,368,391724,0 8,2,2024-09-07 08:21:20:790,71697,71697,0,0,4728488,0,2986 8,3,2024-09-07 08:21:20:586,1,58,2,0,229,984,58,0 9,0,2024-09-07 08:21:21:127,17595,0.4,17156,0.6,35875,0.4,46917,1.75 9,1,2024-09-07 08:21:20:552,101628,101628,0,0,47736849615,508165819,99353,1709,566,370,391576,0 9,2,2024-09-07 08:21:21:091,72715,72715,0,0,4328496,0,3360 9,3,2024-09-07 08:21:21:783,1,58,28,0,149,940,58,0 10,0,2024-09-07 08:21:21:615,17354,1.3,17396,1.1,34891,2.2,46817,3.00 10,1,2024-09-07 08:21:20:584,100935,100935,0,0,47371822848,508267884,97601,2812,522,383,391541,0 10,2,2024-09-07 08:21:20:769,73789,73789,0,0,4522024,0,2940 10,3,2024-09-07 08:21:20:876,1,58,1,0,136,523,58,0 11,0,2024-09-07 08:21:21:037,11532,2.9,11265,2.0,23606,5.4,31913,2.75 11,1,2024-09-07 08:21:20:586,101163,101163,0,0,47018020077,502107922,98526,1822,815,384,391537,0 11,2,2024-09-07 08:21:21:126,72426,72426,0,0,4228594,0,2635 11,3,2024-09-07 08:21:21:298,1,58,1,0,720,2162,58,0 12,0,2024-09-07 08:21:21:014,11465,0.3,11552,0.5,22919,0.2,30452,1.75 12,1,2024-09-07 08:21:20:949,101288,101288,0,0,46852263292,496569700,99654,1535,99,371,391790,0 12,2,2024-09-07 08:21:21:583,73078,73078,0,0,3977945,0,2254 12,3,2024-09-07 08:21:21:066,1,58,3,1,358,1320,58,0 13,0,2024-09-07 08:21:21:372,15847,0.5,15961,0.6,31776,0.5,42694,1.75 13,1,2024-09-07 08:21:21:543,101796,101796,0,0,47774932875,507904460,100213,1217,366,384,391717,0 13,2,2024-09-07 08:21:20:650,73195,73195,0,0,3724892,0,3287 13,3,2024-09-07 08:21:21:781,1,58,13,1,373,1184,58,0 14,0,2024-09-07 08:21:20:592,18345,1.3,18454,1.2,36516,1.5,48614,2.50 14,1,2024-09-07 08:21:21:570,102506,102506,0,0,47795575814,499364704,101628,848,30,365,391546,0 14,2,2024-09-07 08:21:20:768,73610,73610,0,0,4271490,0,2793 14,3,2024-09-07 08:21:21:125,1,58,1,1,906,1255,58,0 15,0,2024-09-07 08:21:21:565,14126,2.6,13998,1.8,28113,4.7,37743,3.50 15,1,2024-09-07 08:21:21:609,101688,101688,0,0,47482860795,497922275,100905,748,35,381,391536,0 15,2,2024-09-07 08:21:21:003,72843,72843,0,0,3341895,0,3043 15,3,2024-09-07 08:21:21:410,1,58,1,0,538,1786,58,0 16,0,2024-09-07 08:21:21:016,11555,0.4,11779,0.6,23096,0.3,30344,2.00 16,1,2024-09-07 08:21:20:564,101415,101415,0,0,46922366356,496404875,100297,1094,24,372,391543,0 16,2,2024-09-07 08:21:21:475,71938,71938,0,0,4618148,0,4719 16,3,2024-09-07 08:21:21:143,1,58,11,1,231,1188,58,0 17,0,2024-09-07 08:21:21:848,13628,0.6,13394,0.9,26197,0.6,35736,2.00 17,1,2024-09-07 08:21:20:596,101287,101287,0,0,47652891252,508613301,99424,1341,522,369,391688,0 17,2,2024-09-07 08:21:21:689,73718,73718,0,0,3548430,0,2857 17,3,2024-09-07 08:21:20:591,1,58,1,0,268,1311,58,0 18,0,2024-09-07 08:21:20:951,17674,1.8,17867,1.4,35999,2.1,47539,2.75 18,1,2024-09-07 08:21:21:639,101380,101380,0,0,46896028183,493079608,100132,1030,218,368,391555,0 18,2,2024-09-07 08:21:21:776,72654,72654,0,0,4416131,0,3541 18,3,2024-09-07 08:21:20:896,1,58,1,0,163,901,58,0 19,0,2024-09-07 08:21:21:569,16144,2.0,16225,1.6,32121,2.1,42915,5.50 19,1,2024-09-07 08:21:20:576,101806,101806,0,0,48103774245,508427925,99942,1701,163,367,391696,0 19,2,2024-09-07 08:21:21:778,73156,73156,0,0,4065415,0,3988 19,3,2024-09-07 08:21:21:130,1,58,81,0,524,1042,58,0 20,0,2024-09-07 08:21:21:383,10816,0.3,10880,0.5,21310,0.2,28448,2.00 20,1,2024-09-07 08:21:20:577,101711,101711,0,0,48132914292,509101293,100029,1521,161,370,391598,0 20,2,2024-09-07 08:21:20:957,72969,72969,0,0,3620928,0,2446 20,3,2024-09-07 08:21:20:596,1,58,3,1,99,833,58,0 21,0,2024-09-07 08:21:21:187,11874,0.4,11835,0.6,23366,0.3,30913,2.00 21,1,2024-09-07 08:21:21:580,100993,100993,0,0,47067931071,502092805,98752,1752,489,368,391962,0 21,2,2024-09-07 08:21:21:069,72872,72872,0,0,3934585,0,3747 21,3,2024-09-07 08:21:21:411,1,58,76,0,93,954,58,0 22,0,2024-09-07 08:21:21:738,17864,0.9,17831,1.0,35539,0.9,47801,2.75 22,1,2024-09-07 08:21:21:024,101094,101094,0,0,47011048191,503289326,98055,2314,725,382,391667,0 22,2,2024-09-07 08:21:20:760,72846,72846,0,0,3551148,0,3134 22,3,2024-09-07 08:21:21:066,1,58,17,0,62,344,58,0 23,0,2024-09-07 08:21:21:379,16895,1.8,17057,1.3,33898,2.6,45634,2.75 23,1,2024-09-07 08:21:21:009,102103,102103,0,0,48092633004,503525213,100757,1092,254,368,391496,0 23,2,2024-09-07 08:21:21:093,73734,73734,0,0,3673622,0,2078 23,3,2024-09-07 08:21:21:760,1,58,1,0,645,1214,58,0 24,0,2024-09-07 08:21:20:865,11652,0.7,11746,0.8,23295,0.9,30895,1.75 24,1,2024-09-07 08:21:20:594,102149,102149,0,0,47337309464,496672357,101348,794,7,369,391640,0 24,2,2024-09-07 08:21:21:070,72468,72468,0,0,4794410,0,2942 24,3,2024-09-07 08:21:21:686,1,58,1,1,234,913,58,0 25,0,2024-09-07 08:21:21:398,11525,0.3,11299,0.5,21948,0.2,29929,1.75 25,1,2024-09-07 08:21:20:563,101353,101353,0,0,47129812146,502214649,99213,1827,313,371,391788,0 25,2,2024-09-07 08:21:21:613,72432,72432,0,0,4353287,0,3284 25,3,2024-09-07 08:21:21:006,1,58,1,1,158,609,58,0 26,0,2024-09-07 08:21:21:778,16716,0.5,16374,0.6,34222,0.5,45263,1.75 26,1,2024-09-07 08:21:21:549,101517,101517,0,0,46983336782,504780655,98057,2625,835,382,391564,0 26,2,2024-09-07 08:21:20:861,72948,72948,0,0,4493075,0,2809 26,3,2024-09-07 08:21:21:721,1,58,1,0,796,1222,58,0 27,0,2024-09-07 08:21:21:752,17481,1.5,17573,1.1,35134,2.0,47188,2.25 27,1,2024-09-07 08:21:21:687,102199,102199,0,0,48365419950,506124088,101131,773,295,381,391539,0 27,2,2024-09-07 08:21:20:878,73443,73443,0,0,5464908,0,3409 27,3,2024-09-07 08:21:21:016,1,58,6,0,564,1003,58,0 28,0,2024-09-07 08:21:21:434,13004,0.8,13178,1.0,26094,1.1,34718,2.00 28,1,2024-09-07 08:21:20:821,101925,101925,0,0,48483295800,509498278,100867,858,200,383,391646,0 28,2,2024-09-07 08:21:21:775,72304,72304,0,0,3559834,0,2609 28,3,2024-09-07 08:21:21:788,1,58,1,1,502,1134,58,0 29,0,2024-09-07 08:21:21:383,11524,0.3,11237,0.5,22231,0.2,29863,1.75 29,1,2024-09-07 08:21:21:571,102748,102748,0,0,47441276464,493852315,102005,571,172,369,391621,0 29,2,2024-09-07 08:21:20:873,72970,72970,0,0,3102667,0,2026 29,3,2024-09-07 08:21:20:965,1,58,1,1,105,638,58,0 30,0,2024-09-07 08:21:21:471,14336,0.8,13912,0.8,29096,0.8,38713,2.25 30,1,2024-09-07 08:21:20:589,101723,101723,0,0,48483333424,508810085,100750,908,65,382,391524,0 30,2,2024-09-07 08:21:21:275,73769,73769,0,0,3162756,0,2534 30,3,2024-09-07 08:21:20:586,1,58,1,0,195,596,58,0 31,0,2024-09-07 08:21:21:775,18302,1.9,18147,1.3,36863,3.3,48633,3.25 31,1,2024-09-07 08:21:20:564,102029,102029,0,0,48110425806,496190613,101782,245,2,356,391553,0 31,2,2024-09-07 08:21:21:279,73762,73762,0,0,4552305,0,3525 31,3,2024-09-07 08:21:21:717,1,58,1,0,129,518,58,0 32,0,2024-09-07 08:21:21:426,15823,1.8,15951,1.2,31984,3.1,42147,2.00 32,1,2024-09-07 08:21:20:810,101655,101655,0,0,47614675308,499316504,100657,969,29,382,391595,0 32,2,2024-09-07 08:21:20:936,73025,73025,0,0,3780488,0,3155 32,3,2024-09-07 08:21:21:019,1,58,3,0,110,608,58,0 33,0,2024-09-07 08:21:21:522,11622,0.3,11467,0.5,23393,0.2,30493,1.75 33,1,2024-09-07 08:21:20:586,101875,101875,0,0,48621019869,510267816,100215,1537,123,369,391526,0 33,2,2024-09-07 08:21:20:762,72569,72536,33,0,5357962,0,7012 33,3,2024-09-07 08:21:20:895,1,58,2,1,63,355,58,0 34,0,2024-09-07 08:21:20:946,12034,0.3,12408,0.5,23917,0.2,32352,1.75 34,1,2024-09-07 08:21:21:048,102251,102251,0,0,47945839667,497727887,101659,588,4,367,391517,0 34,2,2024-09-07 08:21:20:778,73072,73072,0,0,4384400,0,3255 34,3,2024-09-07 08:21:21:689,1,58,1,0,148,650,58,0 35,0,2024-09-07 08:21:20:865,18344,1.0,18472,0.9,36980,1.4,49032,2.00 35,1,2024-09-07 08:21:21:068,101727,101727,0,0,48500513902,508373723,100262,1104,361,384,391587,0 35,2,2024-09-07 08:21:21:593,72728,72728,0,0,3785504,0,2542 35,3,2024-09-07 08:21:20:908,1,58,0,0,219,1024,58,0 36,0,2024-09-07 08:21:21:540,17263,2.3,17102,1.5,34629,3.5,46026,2.75 36,1,2024-09-07 08:21:20:588,101500,101500,0,0,47992683276,511141707,98489,2080,931,366,391535,0 36,2,2024-09-07 08:21:21:751,72967,72967,0,0,4342100,0,3303 36,3,2024-09-07 08:21:20:862,1,58,1,0,378,1044,58,0 37,0,2024-09-07 08:21:21:376,10203,0.3,10132,0.5,20397,0.2,27016,1.75 37,1,2024-09-07 08:21:20:580,101021,101014,0,7,47359081209,508179387,98176,1321,1517,365,391518,0 37,2,2024-09-07 08:21:21:142,73209,73209,0,0,3291544,0,2333 37,3,2024-09-07 08:21:21:774,1,58,11,0,724,1741,58,0 38,0,2024-09-07 08:21:21:456,11246,0.3,10905,0.5,22698,0.2,30299,1.75 38,1,2024-09-07 08:21:21:616,101580,101580,0,0,47651488380,504238557,99438,1695,447,370,391513,0 38,2,2024-09-07 08:21:20:769,72803,72803,0,0,4057540,0,3245 38,3,2024-09-07 08:21:20:998,1,58,1,0,603,1007,58,0 39,0,2024-09-07 08:21:21:792,18156,0.5,17909,0.6,34815,0.5,47210,1.75 39,1,2024-09-07 08:21:20:715,101686,101686,0,0,47164602070,500066134,99105,1943,638,366,391524,0 39,2,2024-09-07 08:21:21:421,72865,72865,0,0,3687179,0,2381 39,3,2024-09-07 08:21:20:725,1,58,11,0,276,1166,58,0 40,0,2024-09-07 08:21:21:547,17057,2.4,17386,2.4,34156,4.9,46378,4.00 40,1,2024-09-07 08:21:20:577,101265,101265,0,0,46734410081,499433660,98015,2497,753,370,391591,0 40,2,2024-09-07 08:21:21:303,73806,73805,1,0,4795886,0,5137 40,3,2024-09-07 08:21:21:159,1,58,1,1,110,730,58,0 41,0,2024-09-07 08:21:21:030,11275,1.7,11597,6.5,22200,5.1,30793,3.75 41,1,2024-09-07 08:21:20:782,101436,101436,0,0,47837808669,507667903,99129,1994,313,370,391484,0 41,2,2024-09-07 08:21:20:759,72557,72557,0,0,4871440,0,3356 41,3,2024-09-07 08:21:21:682,1,58,5,0,168,643,58,0 42,0,2024-09-07 08:21:21:484,11318,0.4,11509,0.7,22894,0.3,30463,2.00 42,1,2024-09-07 08:21:21:439,101168,101168,0,0,47015598439,503835146,98175,2163,830,381,391511,0 42,2,2024-09-07 08:21:21:137,72633,72633,0,0,4254355,0,3568 42,3,2024-09-07 08:21:21:009,1,58,0,0,100,548,58,0 43,0,2024-09-07 08:21:20:926,15783,1.5,15447,1.2,32151,2.7,42770,2.00 43,1,2024-09-07 08:21:20:586,101548,101548,0,0,47503997829,502863714,99359,1437,752,367,391604,0 43,2,2024-09-07 08:21:21:740,73433,73433,0,0,4497972,0,3812 43,3,2024-09-07 08:21:21:777,1,58,3,0,292,1161,58,0 44,0,2024-09-07 08:21:20:866,18380,1.2,18388,1.2,36565,1.3,49144,2.25 44,1,2024-09-07 08:21:20:571,101929,101929,0,0,47329464168,493789625,100912,910,107,357,391523,0 44,2,2024-09-07 08:21:21:274,73794,73794,0,0,3305190,0,1865 44,3,2024-09-07 08:21:21:094,1,58,1,1,817,1310,58,0 45,0,2024-09-07 08:21:21:789,13949,3.1,13667,1.9,28678,5.6,38024,2.25 45,1,2024-09-07 08:21:21:009,101917,101917,0,0,48185514556,503120432,101451,465,1,382,391917,0 45,2,2024-09-07 08:21:21:269,72828,72828,0,0,3905038,0,2628 45,3,2024-09-07 08:21:20:938,1,58,1,1,226,760,58,0 46,0,2024-09-07 08:21:20:957,11676,0.2,11634,0.5,23396,0.1,30374,1.50 46,1,2024-09-07 08:21:20:595,101804,101804,0,0,47657929829,496489678,100974,794,36,368,391514,0 46,2,2024-09-07 08:21:20:598,72853,72853,0,0,3843123,0,2920 46,3,2024-09-07 08:21:21:135,1,58,1,0,315,1031,58,0 47,0,2024-09-07 08:21:21:114,13217,0.4,13485,0.6,26830,0.4,35568,1.75 47,1,2024-09-07 08:21:20:582,102261,102261,0,0,47617481226,494275058,101755,502,4,367,391605,0 47,2,2024-09-07 08:21:20:913,74349,74349,0,0,3825967,0,2558 47,3,2024-09-07 08:21:21:115,1,58,1,0,529,1051,58,0 48,0,2024-09-07 08:21:21:505,18493,0.4,18257,0.6,36217,0.4,48297,1.75 48,1,2024-09-07 08:21:21:022,101955,101955,0,0,47784416884,501614806,100760,1190,5,386,391637,0 48,2,2024-09-07 08:21:20:699,73523,73523,0,0,3135501,0,2083 48,3,2024-09-07 08:21:20:753,1,58,2,1,30,577,58,0 49,0,2024-09-07 08:21:21:762,16835,1.8,16431,1.3,32281,3.3,44344,2.00 49,1,2024-09-07 08:21:21:021,101632,101632,0,0,47828507549,505926313,99895,1028,709,382,391583,0 49,2,2024-09-07 08:21:21:801,72954,72954,0,0,4217686,0,3900 49,3,2024-09-07 08:21:21:435,1,58,21,0,274,784,58,0 50,0,2024-09-07 08:21:21:516,10918,0.2,10681,0.4,21505,0.1,28959,1.75 50,1,2024-09-07 08:21:21:011,102427,102427,0,0,48193625258,505547568,100761,1369,297,368,391530,0 50,2,2024-09-07 08:21:21:068,73365,73365,0,0,3451212,0,2253 50,3,2024-09-07 08:21:21:293,1,58,1,1,335,865,58,0 51,0,2024-09-07 08:21:21:696,11949,0.2,11641,0.4,22850,0.2,31155,1.50 51,1,2024-09-07 08:21:21:690,101562,101562,0,0,48466095465,509539160,99764,1016,782,367,391547,0 51,2,2024-09-07 08:21:21:316,72966,72966,0,0,2982848,0,2448 51,3,2024-09-07 08:21:21:028,1,58,5,0,162,454,58,0 52,0,2024-09-07 08:21:21:442,18150,0.9,18230,1.0,36473,1.2,48840,2.50 52,1,2024-09-07 08:21:20:586,101570,101570,0,0,47292610421,503381137,99074,2032,464,368,391529,0 52,2,2024-09-07 08:21:21:760,72640,72640,0,0,4007644,0,4779 52,3,2024-09-07 08:21:20:677,1,58,5,1,1782,2376,58,0 53,0,2024-09-07 08:21:21:747,16854,2.7,16211,1.8,33577,3.8,44967,3.25 53,1,2024-09-07 08:21:20:771,101301,101301,0,0,47774792341,508127184,98699,2028,574,370,391617,0 53,2,2024-09-07 08:21:21:299,74479,74479,0,0,3499754,0,1902 53,3,2024-09-07 08:21:20:698,1,58,1,0,59,369,58,0 54,0,2024-09-07 08:21:21:624,10726,10.0,10865,5.2,21497,2.3,29806,5.25 54,1,2024-09-07 08:21:20:587,101420,101420,0,0,47732941614,503986290,99312,1792,316,367,391520,0 54,2,2024-09-07 08:21:20:865,73429,73423,6,0,4165201,0,5382 54,3,2024-09-07 08:21:20:763,1,58,1,0,676,1512,58,0 55,0,2024-09-07 08:21:21:796,10968,0.4,11219,0.6,22939,0.2,29625,2.00 55,1,2024-09-07 08:21:20:764,101082,101082,0,0,47309954355,503223764,97657,2723,702,367,391731,0 55,2,2024-09-07 08:21:20:734,72382,72382,0,0,4219255,0,3275 55,3,2024-09-07 08:21:20:684,1,58,0,0,136,671,58,0 56,0,2024-09-07 08:21:21:608,17224,1.9,16267,1.4,33421,3.0,45086,2.50 56,1,2024-09-07 08:21:20:596,101079,101079,0,0,47684430716,514135017,97701,2632,746,383,391536,0 56,2,2024-09-07 08:21:21:307,73366,73366,0,0,4676053,0,3567 56,3,2024-09-07 08:21:21:066,1,58,6,1,297,914,58,0 57,0,2024-09-07 08:21:20:989,17137,4.0,16845,2.2,34057,6.2,45859,4.75 57,1,2024-09-07 08:21:20:987,101142,101142,0,0,46996999556,496567630,99673,1450,19,368,391760,0 57,2,2024-09-07 08:21:21:326,74395,74395,0,0,4676383,0,3178 57,3,2024-09-07 08:21:21:749,1,58,2,1,359,1427,58,0 58,0,2024-09-07 08:21:20:585,12297,0.9,11913,1.2,24981,0.8,32736,3.00 58,1,2024-09-07 08:21:20:587,102313,102311,0,2,47928762817,503754168,100715,1437,159,369,391516,2 58,2,2024-09-07 08:21:21:071,72509,72509,0,0,4395599,0,2549 58,3,2024-09-07 08:21:21:081,1,58,9,1,219,766,58,0 59,0,2024-09-07 08:21:21:762,11419,0.7,11273,0.9,22752,0.6,29567,2.25 59,1,2024-09-07 08:21:20:811,101150,101150,0,0,47417795705,504648851,98904,1479,767,369,391515,0 59,2,2024-09-07 08:21:20:587,72717,72717,0,0,3851235,0,2604 59,3,2024-09-07 08:21:21:745,1,58,6,0,1015,1630,58,0 60,0,2024-09-07 08:21:21:726,14439,0.7,14506,0.8,28730,0.8,38915,1.75 60,1,2024-09-07 08:21:20:784,102509,102509,0,0,47621662920,499339400,101438,727,344,370,391712,0 60,2,2024-09-07 08:21:21:142,73311,73311,0,0,3603421,0,2142 60,3,2024-09-07 08:21:21:267,1,58,1,0,124,808,58,0 61,0,2024-09-07 08:21:21:579,18188,3.1,18204,1.8,36362,4.6,48778,2.50 61,1,2024-09-07 08:21:20:769,101367,101367,0,0,47573256048,507670083,98816,2017,534,383,391589,0 61,2,2024-09-07 08:21:21:123,73784,73784,0,0,3688899,0,1846 61,3,2024-09-07 08:21:21:693,1,58,0,0,199,1055,58,0 62,0,2024-09-07 08:21:21:720,15945,1.9,16245,1.3,31178,3.8,42109,2.25 62,1,2024-09-07 08:21:21:111,102265,102259,0,6,48300288913,504080865,101008,1214,37,366,391586,6 62,2,2024-09-07 08:21:21:645,72255,72255,0,0,4235246,0,2931 62,3,2024-09-07 08:21:21:143,1,58,1,0,287,605,58,0 63,0,2024-09-07 08:21:21:462,11553,0.4,11506,0.6,23101,0.3,30602,1.75 63,1,2024-09-07 08:21:20:806,102711,102707,0,4,48352804037,502544647,102308,398,1,381,391540,4 63,2,2024-09-07 08:21:20:767,72533,72533,0,0,3764758,0,2674 63,3,2024-09-07 08:21:21:740,1,58,1,0,667,1761,58,0 64,0,2024-09-07 08:21:21:536,11920,0.4,11956,0.7,23941,0.3,32444,1.75 64,1,2024-09-07 08:21:20:751,101722,101722,0,0,47442924966,505962397,99290,1639,793,371,391604,0 64,2,2024-09-07 08:21:21:143,73736,73717,19,0,4278130,0,6121 64,3,2024-09-07 08:21:21:144,1,58,1,1,265,957,58,0 65,0,2024-09-07 08:21:21:688,18007,2.6,18310,1.7,36430,3.5,48515,4.00 65,1,2024-09-07 08:21:20:862,101370,101370,0,0,46527999108,493669930,99664,1487,219,382,391569,0 65,2,2024-09-07 08:21:21:695,73292,73292,0,0,4352788,0,3367 65,3,2024-09-07 08:21:21:686,1,58,1,0,163,808,58,0 66,0,2024-09-07 08:21:21:787,16720,1.3,16882,1.3,33950,1.5,45417,3.25 66,1,2024-09-07 08:21:21:295,102503,102503,0,0,48209820403,503193346,101814,668,21,381,391537,0 66,2,2024-09-07 08:21:21:140,73643,73643,0,0,4023340,0,3867 66,3,2024-09-07 08:21:21:089,1,58,2,1,291,911,58,0 67,0,2024-09-07 08:21:21:431,10219,0.6,10262,0.8,20282,0.5,26914,2.25 67,1,2024-09-07 08:21:20:768,101375,101374,0,1,47613986185,505871482,99356,1493,525,382,391787,1 67,2,2024-09-07 08:21:20:587,73610,73610,0,0,3677928,0,2889 67,3,2024-09-07 08:21:21:750,1,58,11,0,138,621,58,0 68,0,2024-09-07 08:21:20:675,11217,0.4,11158,0.7,22352,0.3,29999,2.00 68,1,2024-09-07 08:21:20:587,101326,101326,0,0,47503012347,502607401,99931,873,522,382,391953,0 68,2,2024-09-07 08:21:21:048,72829,72829,0,0,3664928,0,4883 68,3,2024-09-07 08:21:20:738,1,58,3,1,63,598,58,0 69,0,2024-09-07 08:21:21:734,17542,1.2,17599,1.2,34966,1.4,46859,2.50 69,1,2024-09-07 08:21:21:016,101006,101006,0,0,47467977511,508704780,99062,1079,865,386,391532,0 69,2,2024-09-07 08:21:21:734,73026,73026,0,0,4084476,0,3153 69,3,2024-09-07 08:21:20:760,1,58,152,0,238,1085,58,0 70,0,2024-09-07 08:21:21:570,17060,4.1,17022,2.9,34431,3.8,45880,5.00 70,1,2024-09-07 08:21:20:805,101960,101960,0,0,48475355261,505512789,101049,777,134,369,391527,0 70,2,2024-09-07 08:21:21:325,74001,74001,0,0,4151515,0,4044 70,3,2024-09-07 08:21:20:749,1,58,1,0,178,440,58,0 71,0,2024-09-07 08:21:21:397,11372,2.4,11362,4.9,22387,3.6,30976,4.50 71,1,2024-09-07 08:21:21:602,101536,101536,0,0,48052083076,507696146,99351,1869,316,368,391682,0 71,2,2024-09-07 08:21:21:069,72659,72659,0,0,3660467,0,2146 71,3,2024-09-07 08:21:21:759,1,58,1,0,174,558,58,0 72,0,2024-09-07 08:21:21:037,11728,0.4,11323,0.7,22592,0.3,30531,2.50 72,1,2024-09-07 08:21:21:023,102208,102208,0,0,47674998789,501334634,100666,1465,77,370,391556,0 72,2,2024-09-07 08:21:21:766,71940,71940,0,0,5047557,0,2570 72,3,2024-09-07 08:21:21:789,1,58,0,0,325,1247,58,0 73,0,2024-09-07 08:21:21:126,15449,0.5,15832,0.7,32208,0.5,42648,2.50 73,1,2024-09-07 08:21:20:768,101727,101727,0,0,47763922208,500927452,100767,917,43,368,391627,0 73,2,2024-09-07 08:21:21:750,73837,73837,0,0,4581738,0,3482 73,3,2024-09-07 08:21:20:971,1,58,3,0,274,1214,58,0 74,0,2024-09-07 08:21:21:338,18313,2.1,18632,1.5,35922,3.6,48674,4.00 74,1,2024-09-07 08:21:20:635,101573,101573,0,0,47182064321,498687485,99677,1324,572,382,391497,0 74,2,2024-09-07 08:21:21:008,73476,73476,0,0,4874236,0,4253 74,3,2024-09-07 08:21:21:446,1,58,48,0,246,1318,58,0 75,0,2024-09-07 08:21:21:805,14215,3.0,14233,1.8,28344,5.1,38132,3.50 75,1,2024-09-07 08:21:21:602,101913,101913,0,0,47404803895,501180368,100229,1580,104,382,391514,0 75,2,2024-09-07 08:21:21:352,72213,72213,0,0,4687136,0,4766 75,3,2024-09-07 08:21:21:077,1,58,2,0,143,397,58,0 76,0,2024-09-07 08:21:20:622,11663,0.3,11550,0.6,23202,0.2,30235,2.00 76,1,2024-09-07 08:21:20:815,101400,101400,0,0,46641641224,495533889,99597,1297,506,382,391530,0 76,2,2024-09-07 08:21:21:067,73205,73205,0,0,3811073,0,3064 76,3,2024-09-07 08:21:21:142,1,58,1,0,175,969,58,0 77,0,2024-09-07 08:21:21:729,13233,1.0,13310,1.0,26642,1.3,35570,2.00 77,1,2024-09-07 08:21:20:824,101574,101574,0,0,47357876670,498158278,100542,963,69,383,391516,0 77,2,2024-09-07 08:21:21:289,73928,73928,0,0,3261875,0,1695 77,3,2024-09-07 08:21:21:094,1,58,1,0,139,663,58,0 78,0,2024-09-07 08:21:21:731,18132,1.5,18044,1.3,36329,2.3,48004,2.50 78,1,2024-09-07 08:21:20:615,102217,102217,0,0,46810191313,493099772,100800,1213,204,367,391589,0 78,2,2024-09-07 08:21:21:408,73543,73543,0,0,3313925,0,2114 78,3,2024-09-07 08:21:21:139,1,58,1,0,181,998,58,0 79,0,2024-09-07 08:21:21:402,15818,1.2,16231,1.2,33172,1.5,43482,3.50 79,1,2024-09-07 08:21:20:606,101812,101812,0,0,47689588393,500427117,100475,1268,69,370,391609,0 79,2,2024-09-07 08:21:21:068,72814,72814,0,0,3763003,0,2679 79,3,2024-09-07 08:21:20:758,1,58,14,0,289,825,58,0 80,0,2024-09-07 08:21:21:095,10685,0.4,11046,0.7,21334,0.3,28760,2.00 80,1,2024-09-07 08:21:21:619,101069,101069,0,0,47547625077,500261219,99484,1470,115,369,391673,0 80,2,2024-09-07 08:21:21:091,72986,72986,0,0,4087205,0,4433 80,3,2024-09-07 08:21:20:584,1,58,2,1,190,1188,58,0 81,0,2024-09-07 08:21:21:615,11757,0.5,12078,0.7,23134,0.4,31197,2.00 81,1,2024-09-07 08:21:21:666,101471,101471,0,0,47733897144,505923980,99588,1685,198,383,391680,0 81,2,2024-09-07 08:21:21:127,72604,72604,0,0,4325256,0,3993 81,3,2024-09-07 08:21:21:125,1,58,5,0,193,754,58,0 82,0,2024-09-07 08:21:21:547,17963,1.0,18052,1.1,36056,1.1,48733,2.25 82,1,2024-09-07 08:21:20:587,101304,101302,0,2,47648338710,504003233,99267,1463,572,383,391558,2 82,2,2024-09-07 08:21:21:691,73976,73976,0,0,3319721,0,2230 82,3,2024-09-07 08:21:21:775,1,58,2,0,211,1057,58,0 83,0,2024-09-07 08:21:21:536,16820,2.0,16821,1.6,33382,2.3,44623,3.25 83,1,2024-09-07 08:21:20:554,101715,101715,0,0,47797812515,504503199,100304,1311,100,383,391553,0 83,2,2024-09-07 08:21:20:764,73128,73128,0,0,4314238,0,3119 83,3,2024-09-07 08:21:20:757,1,58,2,0,91,564,58,0 84,0,2024-09-07 08:21:21:802,11126,5.8,10985,5.4,22175,1.6,30362,3.50 84,1,2024-09-07 08:21:21:066,101588,101588,0,0,47400864802,502174536,99986,1355,247,369,391638,0 84,2,2024-09-07 08:21:20:622,72678,72678,0,0,4037164,0,3801 84,3,2024-09-07 08:21:21:141,1,58,8,1,43,623,58,0 85,0,2024-09-07 08:21:21:052,10937,0.4,10927,0.6,23161,0.3,29784,2.00 85,1,2024-09-07 08:21:20:593,101087,101087,0,0,47939186638,512580721,98650,2009,428,384,391652,0 85,2,2024-09-07 08:21:20:879,72288,72288,0,0,4376430,0,3656 85,3,2024-09-07 08:21:20:690,1,58,2,0,115,688,58,0 86,0,2024-09-07 08:21:20:920,16660,0.8,17358,0.8,33406,1.1,45110,2.00 86,1,2024-09-07 08:21:20:830,101232,101232,0,0,47916114371,506218911,99446,1571,215,367,391622,0 86,2,2024-09-07 08:21:20:855,72678,72677,1,0,4568397,0,5004 86,3,2024-09-07 08:21:20:597,1,58,28,0,199,831,58,0 87,0,2024-09-07 08:21:21:298,17362,3.3,17344,2.0,34834,4.3,46935,3.50 87,1,2024-09-07 08:21:20:562,101071,101071,0,0,47498238607,502237105,99502,1449,120,368,391671,0 87,2,2024-09-07 08:21:21:069,74437,74437,0,0,3563535,0,2859 87,3,2024-09-07 08:21:21:803,1,58,2,1,322,1075,58,0 88,0,2024-09-07 08:21:21:461,13005,1.0,13172,1.1,25989,1.1,34597,2.00 88,1,2024-09-07 08:21:20:576,101117,101117,0,0,47642174044,502393208,99916,1106,95,367,391747,0 88,2,2024-09-07 08:21:20:696,72832,72832,0,0,4641555,0,3583 88,3,2024-09-07 08:21:21:282,1,58,3,1,77,768,58,0 89,0,2024-09-07 08:21:21:790,11709,0.3,11289,0.6,22491,0.3,29941,1.75 89,1,2024-09-07 08:21:20:590,100571,100571,0,0,47631715803,513595318,97354,2482,735,383,391866,0 89,2,2024-09-07 08:21:21:158,72328,72328,0,0,4353044,0,2726 89,3,2024-09-07 08:21:21:798,1,58,1,0,325,1656,58,0 90,0,2024-09-07 08:21:21:630,13956,0.6,14222,0.7,29358,0.7,38795,1.75 90,1,2024-09-07 08:21:20:599,101349,101349,0,0,47166020649,503109464,99308,1901,140,382,391825,0 90,2,2024-09-07 08:21:21:408,72569,72569,0,0,5041842,0,2635 90,3,2024-09-07 08:21:20:933,1,58,1,1,200,892,58,0 91,0,2024-09-07 08:21:21:007,18707,0.8,18022,0.8,37570,0.9,49126,2.00 91,1,2024-09-07 08:21:20:590,101375,101375,0,0,47824762095,509481310,99032,1981,362,384,391914,0 91,2,2024-09-07 08:21:21:341,73215,73215,0,0,4059336,0,1997 91,3,2024-09-07 08:21:20:609,1,58,11,1,155,764,58,0 92,0,2024-09-07 08:21:21:455,15987,1.4,16412,1.2,31386,2.7,42203,2.00 92,1,2024-09-07 08:21:20:609,101795,101795,0,0,47813969903,501958716,101153,576,66,383,391717,0 92,2,2024-09-07 08:21:21:353,73792,73792,0,0,4024435,0,2279 92,3,2024-09-07 08:21:21:014,1,58,3,1,68,716,58,0 93,0,2024-09-07 08:21:20:987,11610,0.3,11763,0.5,22487,0.2,30518,1.75 93,1,2024-09-07 08:21:20:811,101603,101603,0,0,47687336858,504855517,99849,1320,434,367,391689,0 93,2,2024-09-07 08:21:20:931,73169,73169,0,0,3690207,0,2509 93,3,2024-09-07 08:21:21:407,1,58,15,1,143,637,58,0 94,0,2024-09-07 08:21:21:632,12132,0.3,12253,0.5,23882,0.2,32397,1.75 94,1,2024-09-07 08:21:20:563,101212,101212,0,0,47559804354,504833443,99640,1508,64,381,391850,0 94,2,2024-09-07 08:21:20:776,73019,73019,0,0,3729035,0,2443 94,3,2024-09-07 08:21:21:689,1,58,1,1,231,1201,58,0 95,0,2024-09-07 08:21:21:355,18536,0.5,18349,0.7,37317,0.5,49258,1.75 95,1,2024-09-07 08:21:20:856,101797,101797,0,0,47531943138,498532252,101007,726,64,367,391590,0 95,2,2024-09-07 08:21:21:031,73106,73106,0,0,3949965,0,3308 95,3,2024-09-07 08:21:21:717,1,58,1,0,307,1194,58,0 96,0,2024-09-07 08:21:21:084,17325,1.3,17229,1.0,34551,2.2,45891,2.00 96,1,2024-09-07 08:21:21:583,101793,101793,0,0,47992905005,509988968,99960,1263,570,385,391596,0 96,2,2024-09-07 08:21:21:288,73324,73324,0,0,4503510,0,4038 96,3,2024-09-07 08:21:21:154,1,58,3,1,36,649,58,0 97,0,2024-09-07 08:21:21:344,10209,0.4,10178,0.6,20330,0.4,27049,1.75 97,1,2024-09-07 08:21:20:775,101383,101383,0,0,48107957830,508836827,99201,1665,517,367,391626,0 97,2,2024-09-07 08:21:20:616,72963,72963,0,0,3727661,0,3036 97,3,2024-09-07 08:21:20:591,1,58,1,1,165,856,58,0 98,0,2024-09-07 08:21:21:712,11193,0.2,11116,0.4,22639,0.2,30329,1.50 98,1,2024-09-07 08:21:20:587,101464,101464,0,0,47860146572,504318772,100396,1023,45,382,391588,0 98,2,2024-09-07 08:21:20:839,73340,73340,0,0,3973894,0,3080 98,3,2024-09-07 08:21:20:698,1,58,7,1,155,778,58,0 99,0,2024-09-07 08:21:21:465,17655,0.4,17571,0.6,35257,0.4,47032,1.75 99,1,2024-09-07 08:21:21:734,101969,101969,0,0,47170186304,497957068,100495,1034,440,381,391744,0 99,2,2024-09-07 08:21:21:421,73817,73817,0,0,3906851,0,1858 99,3,2024-09-07 08:21:20:586,1,58,22,0,129,654,58,0 100,0,2024-09-07 08:21:21:498,17344,2.6,17275,3.0,34506,5.3,46821,2.75 100,1,2024-09-07 08:21:20:563,100922,100922,0,0,46889257819,504507274,98240,2338,344,382,391585,0 100,2,2024-09-07 08:21:21:825,73596,73585,11,0,5020045,0,5417 100,3,2024-09-07 08:21:21:733,1,58,14,1,443,1677,58,0 101,0,2024-09-07 08:21:21:705,11907,5.3,11512,2.9,22836,2.4,31982,3.25 101,1,2024-09-07 08:21:20:566,101327,101327,0,0,47327767925,501600532,99865,1013,449,369,391709,0 101,2,2024-09-07 08:21:21:765,72802,72802,0,0,4273134,0,4644 101,3,2024-09-07 08:21:20:960,1,58,3,0,448,925,58,0 102,0,2024-09-07 08:21:20:980,11127,0.5,11566,0.6,23178,0.3,30283,2.00 102,1,2024-09-07 08:21:21:161,101502,101502,0,0,47791533278,508505478,99323,1734,445,369,391831,0 102,2,2024-09-07 08:21:21:747,72796,72796,0,0,3340641,0,1945 102,3,2024-09-07 08:21:21:613,1,58,10,0,410,784,58,0 103,0,2024-09-07 08:21:21:599,16199,0.6,16225,0.8,30593,0.6,42779,2.00 103,1,2024-09-07 08:21:21:630,101376,101376,0,0,47683051134,503967811,100159,1075,142,381,391680,0 103,2,2024-09-07 08:21:20:594,73204,73204,0,0,3755014,0,2104 103,3,2024-09-07 08:21:20:766,1,58,5,1,486,1022,58,0 104,0,2024-09-07 08:21:21:031,18235,2.7,18265,1.6,35482,4.0,48723,3.00 104,1,2024-09-07 08:21:21:609,102062,102062,0,0,47116258850,501459212,100158,1578,326,368,391948,0 104,2,2024-09-07 08:21:21:668,72972,72972,0,0,4472902,0,3941 104,3,2024-09-07 08:21:21:419,1,58,1,1,1245,3519,58,0 105,0,2024-09-07 08:21:21:146,14068,2.1,13555,1.9,28191,3.7,37861,4.00 105,1,2024-09-07 08:21:20:580,101350,101350,0,0,47732964842,510641465,98410,2195,745,367,391797,0 105,2,2024-09-07 08:21:21:332,72417,72417,0,0,4147745,0,3314 105,3,2024-09-07 08:21:21:313,1,58,3,1,182,1137,58,0 106,0,2024-09-07 08:21:21:026,11258,0.3,11587,0.6,23544,0.2,30325,1.75 106,1,2024-09-07 08:21:21:761,101697,101697,0,0,47311785503,503945993,99298,2073,326,371,391767,0 106,2,2024-09-07 08:21:20:767,72321,72321,0,0,4245695,0,2795 106,3,2024-09-07 08:21:20:698,1,58,4,1,201,822,58,0 107,0,2024-09-07 08:21:21:115,13465,0.6,13317,0.8,26492,0.6,35541,1.75 107,1,2024-09-07 08:21:20:587,101136,101136,0,0,47394455692,504747577,99348,1617,171,382,392234,0 107,2,2024-09-07 08:21:21:291,73361,73360,1,0,4105924,0,5024 107,3,2024-09-07 08:21:21:789,1,58,11,0,353,1191,58,0 108,0,2024-09-07 08:21:21:903,18121,0.7,18408,0.8,36415,0.9,48151,1.75 108,1,2024-09-07 08:21:21:294,101396,101396,0,0,47905360226,506081162,99975,1288,133,371,391857,0 108,2,2024-09-07 08:21:21:776,73080,73080,0,0,4007283,0,2647 108,3,2024-09-07 08:21:21:335,1,58,1,1,60,780,58,0 109,0,2024-09-07 08:21:21:820,16579,1.0,16737,1.0,32833,1.3,44501,2.00 109,1,2024-09-07 08:21:20:595,101224,101224,0,0,47668743225,507165697,99623,1218,383,383,391664,0 109,2,2024-09-07 08:21:20:941,72035,72035,0,0,4354594,0,3617 109,3,2024-09-07 08:21:21:142,1,58,11,1,249,1141,58,0 110,0,2024-09-07 08:21:21:844,10808,0.3,10521,0.5,21951,0.2,28810,1.50 110,1,2024-09-07 08:21:21:664,101799,101799,0,0,47631729562,500443062,100486,1029,284,370,391588,0 110,2,2024-09-07 08:21:21:308,73575,73575,0,0,3676301,0,2915 110,3,2024-09-07 08:21:20:698,1,58,2,0,183,670,58,0 111,0,2024-09-07 08:21:21:429,11588,0.2,11571,0.4,23143,0.1,31092,1.50 111,1,2024-09-07 08:21:21:001,102098,102098,0,0,48465297996,504540332,101455,630,13,382,391690,0 111,2,2024-09-07 08:21:21:117,73421,73421,0,0,3845861,0,2763 111,3,2024-09-07 08:21:20:914,1,58,1,0,300,1346,58,0 112,0,2024-09-07 08:21:20:917,18151,0.5,18224,0.6,36278,0.4,49120,1.75 112,1,2024-09-07 08:21:20:826,101456,101456,0,0,47921052306,505186954,99949,1161,346,381,391580,0 112,2,2024-09-07 08:21:21:142,73366,73365,1,0,4270276,0,5036 112,3,2024-09-07 08:21:20:596,1,58,1,1,282,817,58,0 113,0,2024-09-07 08:21:20:881,17041,0.7,16885,0.8,34298,0.7,45808,1.75 113,1,2024-09-07 08:21:21:688,101879,101879,0,0,47966593560,504247634,99991,1504,384,368,391661,0 113,2,2024-09-07 08:21:21:303,73808,73808,0,0,3512758,0,3021 113,3,2024-09-07 08:21:20:689,1,58,0,0,288,1095,58,0 114,0,2024-09-07 08:21:20:891,11478,4.4,11558,2.8,22857,1.5,30853,2.25 114,1,2024-09-07 08:21:20:733,101472,101472,0,0,47849311939,509732003,98866,1725,881,381,391513,0 114,2,2024-09-07 08:21:20:877,72835,72835,0,0,4094656,0,3925 114,3,2024-09-07 08:21:21:278,1,58,1,0,159,707,58,0 115,0,2024-09-07 08:21:20:567,11160,0.2,11278,0.4,22637,0.1,29890,1.50 115,1,2024-09-07 08:21:20:592,101761,101761,0,0,47994827346,508466865,99389,1885,487,383,391506,0 115,2,2024-09-07 08:21:21:127,73208,73208,0,0,3431817,0,2152 115,3,2024-09-07 08:21:21:007,1,58,1,0,159,456,58,0 116,0,2024-09-07 08:21:21:706,16776,0.9,16721,1.0,33521,1.3,45134,2.00 116,1,2024-09-07 08:21:20:811,100958,100958,0,0,48061194666,514341644,99088,1000,870,382,391605,0 116,2,2024-09-07 08:21:21:796,72867,72867,0,0,4218633,0,3529 116,3,2024-09-07 08:21:20:913,1,58,3,1,252,1218,58,0 117,0,2024-09-07 08:21:21:014,17475,2.9,17581,1.7,35060,4.0,47043,2.25 117,1,2024-09-07 08:21:21:583,101853,101853,0,0,47458266983,504507953,99773,1790,290,371,392033,0 117,2,2024-09-07 08:21:21:127,74523,74523,0,0,3814332,0,3700 117,3,2024-09-07 08:21:21:068,1,58,11,0,490,1676,58,0 118,0,2024-09-07 08:21:21:775,12601,1.0,12875,1.0,26452,1.3,34477,2.25 118,1,2024-09-07 08:21:20:596,101885,101885,0,0,47528649603,502374620,100670,1137,78,368,391736,0 118,2,2024-09-07 08:21:21:593,72899,72899,0,0,4121657,0,2781 118,3,2024-09-07 08:21:21:771,1,58,3,1,235,1083,58,0 119,0,2024-09-07 08:21:21:363,11143,0.3,11181,0.5,22940,0.2,29858,1.75 119,1,2024-09-07 08:21:20:570,101681,101681,0,0,47680948388,504408125,100075,1457,149,371,391617,0 119,2,2024-09-07 08:21:21:269,71945,71945,0,0,3769722,0,2532 119,3,2024-09-07 08:21:21:333,1,58,1,1,443,2053,58,0 120,0,2024-09-07 08:21:21:639,14276,1.1,14145,1.1,28399,1.5,38662,2.50 120,1,2024-09-07 08:21:20:862,101453,101453,0,0,47180044632,501204637,99952,1486,15,368,391702,0 120,2,2024-09-07 08:21:20:792,72773,72772,1,0,4928081,0,5281 120,3,2024-09-07 08:21:21:290,1,58,4,1,241,1049,58,0 121,0,2024-09-07 08:21:21:706,18191,2.9,18305,1.7,36625,4.0,49151,2.75 121,1,2024-09-07 08:21:21:667,101613,101613,0,0,47485055769,503330846,99751,1595,267,368,391807,0 121,2,2024-09-07 08:21:21:131,72562,72562,0,0,5268476,0,4127 121,3,2024-09-07 08:21:20:733,1,58,8,0,101,624,58,0 122,0,2024-09-07 08:21:21:778,15790,2.6,15217,2.3,31722,3.0,42442,2.50 122,1,2024-09-07 08:21:20:862,100671,100671,0,0,47275281088,507231469,97675,2472,524,368,392130,0 122,2,2024-09-07 08:21:21:320,72747,72747,0,0,5331100,0,3364 122,3,2024-09-07 08:21:20:595,1,58,1,1,226,1351,58,0 123,0,2024-09-07 08:21:20:964,11599,0.3,11217,0.6,23320,0.2,30501,1.75 123,1,2024-09-07 08:21:20:562,101369,101369,0,0,47800741665,513536295,97954,2848,567,369,391823,0 123,2,2024-09-07 08:21:21:020,72695,72694,1,0,4281480,0,5215 123,3,2024-09-07 08:21:21:135,1,58,1,1,160,871,58,0 124,0,2024-09-07 08:21:20:939,12333,0.3,12323,0.5,23274,0.2,32470,1.75 124,1,2024-09-07 08:21:21:023,102191,102191,0,0,47661531516,498303641,101402,646,143,367,392178,0 124,2,2024-09-07 08:21:21:019,72913,72913,0,0,3459229,0,2477 124,3,2024-09-07 08:21:20:759,1,58,5,1,490,1518,58,0 125,0,2024-09-07 08:21:21:466,18581,0.7,18652,0.8,37316,0.8,49237,2.00 125,1,2024-09-07 08:21:20:863,101467,101467,0,0,47240835934,500166226,99737,1458,272,384,391702,0 125,2,2024-09-07 08:21:21:118,73044,73044,0,0,3820722,0,2180 125,3,2024-09-07 08:21:21:131,1,58,1,1,93,942,58,0 126,0,2024-09-07 08:21:21:423,17286,1.5,17796,1.1,34043,2.4,46235,2.00 126,1,2024-09-07 08:21:20:553,102016,102016,0,0,47821110283,500944447,100975,989,52,365,391719,0 126,2,2024-09-07 08:21:20:614,73506,73506,0,0,4104163,0,3186 126,3,2024-09-07 08:21:20:907,1,58,10,0,122,866,58,0 127,0,2024-09-07 08:21:21:600,10352,0.3,10193,0.5,20395,0.2,26962,1.50 127,1,2024-09-07 08:21:20:587,101680,101680,0,0,47583053104,497810323,100548,1109,23,365,391614,0 127,2,2024-09-07 08:21:20:639,73358,73358,0,0,3335516,0,1803 127,3,2024-09-07 08:21:21:273,1,58,2,0,99,574,58,0 128,0,2024-09-07 08:21:21:530,11192,0.3,11307,0.5,22244,0.2,30179,1.50 128,1,2024-09-07 08:21:21:607,101677,101677,0,0,47736607823,498594582,100972,636,69,369,391605,0 128,2,2024-09-07 08:21:21:388,72506,72506,0,0,4181363,0,2107 128,3,2024-09-07 08:21:20:767,1,58,5,1,112,806,58,0 129,0,2024-09-07 08:21:21:008,17728,0.5,17782,0.6,35277,0.5,47063,1.75 129,1,2024-09-07 08:21:20:602,101409,101409,0,0,47802292907,506725135,99594,1543,272,379,391835,0 129,2,2024-09-07 08:21:20:697,72532,72532,0,0,3599384,0,2446 129,3,2024-09-07 08:21:20:694,1,58,111,1,173,1071,58,0 130,0,2024-09-07 08:21:21:731,17583,1.7,17502,1.2,35049,2.3,47369,2.25 130,1,2024-09-07 08:21:20:585,101942,101942,0,0,47646354177,502562572,100637,1274,31,381,391609,0 130,2,2024-09-07 08:21:21:129,74097,74097,0,0,4283462,0,4067 130,3,2024-09-07 08:21:21:291,1,58,1,1,207,607,58,0 131,0,2024-09-07 08:21:21:951,11972,1.4,11760,1.2,23957,2.0,31944,2.00 131,1,2024-09-07 08:21:21:826,102038,102038,0,0,47502521058,499654622,101030,822,186,385,391865,0 131,2,2024-09-07 08:21:20:585,72558,72558,0,0,3453289,0,2415 131,3,2024-09-07 08:21:21:688,1,58,2,0,392,1021,58,0 132,0,2024-09-07 08:21:21:524,11324,0.4,11629,0.7,22798,0.3,30529,1.75 132,1,2024-09-07 08:21:20:600,100859,100859,0,0,47347918222,506204307,98297,1857,705,382,391574,0 132,2,2024-09-07 08:21:20:719,72389,72389,0,0,5367623,0,4606 132,3,2024-09-07 08:21:21:692,1,58,6,1,356,957,58,0 133,0,2024-09-07 08:21:21:590,15519,0.6,15662,0.8,32548,0.6,42381,2.00 133,1,2024-09-07 08:21:20:591,100723,100723,0,0,47819844129,510219079,98605,2024,94,383,391755,0 133,2,2024-09-07 08:21:21:095,73470,73470,0,0,4424742,0,2444 133,3,2024-09-07 08:21:21:298,1,58,2,1,187,541,58,0 134,0,2024-09-07 08:21:20:989,18412,1.4,18403,1.2,36855,2.1,48842,2.25 134,1,2024-09-07 08:21:20:587,101663,101663,0,0,47560933219,507034786,99050,1633,980,366,391718,0 134,2,2024-09-07 08:21:21:765,73347,73347,0,0,3547685,0,2026 134,3,2024-09-07 08:21:20:749,1,58,4,1,739,1805,58,0 135,0,2024-09-07 08:21:21:117,13862,2.0,13877,1.8,29301,2.3,37787,2.50 135,1,2024-09-07 08:21:21:593,101019,101019,0,0,47948382407,508258140,99106,1587,326,383,391645,0 135,2,2024-09-07 08:21:20:694,73108,73108,0,0,4276634,0,3981 135,3,2024-09-07 08:21:21:008,1,58,1,0,68,432,58,0 136,0,2024-09-07 08:21:21:641,11677,0.4,11652,0.7,23236,0.3,30501,2.00 136,1,2024-09-07 08:21:21:504,101746,101746,0,0,47558553246,504706599,99853,1746,147,384,391518,0 136,2,2024-09-07 08:21:21:163,73331,73331,0,0,3826202,0,2379 136,3,2024-09-07 08:21:21:114,1,58,7,1,108,804,58,0 137,0,2024-09-07 08:21:20:931,13620,1.2,13261,1.1,26398,1.4,35670,2.25 137,1,2024-09-07 08:21:20:580,101458,101458,0,0,48037092501,508672028,99493,1852,113,368,391608,0 137,2,2024-09-07 08:21:21:738,72857,72857,0,0,4721091,0,2632 137,3,2024-09-07 08:21:20:774,1,58,2,1,227,911,58,0 138,0,2024-09-07 08:21:21:815,17659,3.0,17836,1.8,36104,4.0,48052,3.25 138,1,2024-09-07 08:21:21:687,101102,101102,0,0,48016897065,510051070,98788,2053,261,371,391615,0 138,2,2024-09-07 08:21:20:586,73925,73925,0,0,4020465,0,3263 138,3,2024-09-07 08:21:20:621,1,58,1,1,1160,1739,58,0 139,0,2024-09-07 08:21:21:369,16002,4.8,16014,2.7,32297,5.7,43857,4.00 139,1,2024-09-07 08:21:20:592,101111,101111,0,0,47422629425,512210526,98233,2151,727,381,391892,0 139,2,2024-09-07 08:21:20:695,72426,72426,0,0,4983798,0,3097 139,3,2024-09-07 08:21:21:664,1,58,18,0,244,1235,58,0 140,0,2024-09-07 08:21:21:616,10772,0.3,10601,0.5,21542,0.2,28852,1.75 140,1,2024-09-07 08:21:21:547,102518,102518,0,0,48202639003,502342441,101591,730,197,365,391483,0 140,2,2024-09-07 08:21:20:691,73394,73394,0,0,4243136,0,3388 140,3,2024-09-07 08:21:20:775,1,58,7,0,25,505,58,0 141,0,2024-09-07 08:21:21:772,11361,0.2,11872,0.4,23019,0.1,31066,1.50 141,1,2024-09-07 08:21:20:861,102320,102320,0,0,47806587283,502407808,100901,1088,331,382,391538,0 141,2,2024-09-07 08:21:21:734,73577,73577,0,0,3771265,0,2342 141,3,2024-09-07 08:21:21:047,1,58,0,0,147,492,58,0 142,0,2024-09-07 08:21:21:331,18437,0.4,18251,0.6,36142,0.4,49102,1.75 142,1,2024-09-07 08:21:20:595,102139,102139,0,0,48030081897,503300531,101592,532,15,384,391649,0 142,2,2024-09-07 08:21:21:301,72603,72603,0,0,4056075,0,2293 142,3,2024-09-07 08:21:21:765,1,58,2,1,484,1151,58,0 143,0,2024-09-07 08:21:21:384,16978,1.3,17051,1.1,34310,1.7,45614,2.00 143,1,2024-09-07 08:21:20:567,101921,101921,0,0,47687570010,498669630,100952,944,25,367,391608,0 143,2,2024-09-07 08:21:20:781,73308,73308,0,0,4433167,0,2669 143,3,2024-09-07 08:21:21:143,1,58,8,1,236,1051,58,0 144,0,2024-09-07 08:21:21:504,10922,2.2,11350,7.1,22656,2.2,30651,2.50 144,1,2024-09-07 08:21:20:576,101214,101214,0,0,47169766655,501042458,99652,1373,189,383,391638,0 144,2,2024-09-07 08:21:21:767,73105,73105,0,0,3807054,0,3473 144,3,2024-09-07 08:21:21:794,1,58,9,1,169,1137,58,0 145,0,2024-09-07 08:21:21:379,10833,0.4,10787,0.6,22922,0.2,29805,2.00 145,1,2024-09-07 08:21:20:563,100912,100912,0,0,48345066070,513231651,98993,1657,262,383,391615,0 145,2,2024-09-07 08:21:21:440,72558,72558,0,0,4521971,0,3903 145,3,2024-09-07 08:21:20:895,1,58,1,0,151,856,58,0 146,0,2024-09-07 08:21:21:645,16679,0.9,16741,1.0,33626,1.2,44881,2.25 146,1,2024-09-07 08:21:21:594,101867,101867,0,0,47825769612,508305414,99577,1989,301,370,391600,0 146,2,2024-09-07 08:21:21:698,72303,72303,0,0,4142101,0,2379 146,3,2024-09-07 08:21:21:290,1,58,2,0,1520,2927,58,0 147,0,2024-09-07 08:21:21:734,17456,3.5,17200,2.0,34194,4.9,47142,3.50 147,1,2024-09-07 08:21:21:439,101712,101712,0,0,47620705914,500483712,100006,1352,354,369,391791,0 147,2,2024-09-07 08:21:21:014,74870,74870,0,0,4165363,0,2789 147,3,2024-09-07 08:21:20:914,1,58,12,1,141,756,58,0 0,0,2024-09-07 08:21:31:881,14353,0.9,14349,1.0,30399,1.3,40093,2.00 0,1,2024-09-07 08:21:30:809,103530,103530,0,0,48346985343,512482067,102316,1088,126,372,391673,0 0,2,2024-09-07 08:21:31:096,74226,74226,0,0,4278161,0,4480 0,3,2024-09-07 08:21:30:984,1,59,23,0,247,1037,59,0 1,0,2024-09-07 08:21:31:817,18219,3.2,18206,2.0,36648,4.8,49193,3.25 1,1,2024-09-07 08:21:30:589,102924,102924,0,0,47754570144,507053335,101244,1153,527,372,391857,0 1,2,2024-09-07 08:21:30:707,74473,74473,0,0,3919678,0,3267 1,3,2024-09-07 08:21:31:311,1,59,8,1,167,661,59,0 2,0,2024-09-07 08:21:31:607,15633,1.8,15849,1.5,31326,3.8,41803,2.50 2,1,2024-09-07 08:21:30:860,103295,103295,0,0,48628556078,510841356,102218,874,203,382,391558,0 2,2,2024-09-07 08:21:31:277,73273,73273,0,0,4065316,0,3304 2,3,2024-09-07 08:21:30:695,1,59,1,0,214,681,59,0 3,0,2024-09-07 08:21:31:750,11828,0.3,11823,0.6,23695,0.2,31070,1.75 3,1,2024-09-07 08:21:31:635,102974,102974,0,0,48124839374,508672705,100996,1592,386,382,391514,0 3,2,2024-09-07 08:21:31:144,74005,73982,23,0,4528550,0,5851 3,3,2024-09-07 08:21:31:764,1,59,1,0,103,545,59,0 4,0,2024-09-07 08:21:31:864,12112,0.3,12428,0.5,25269,0.2,33623,1.75 4,1,2024-09-07 08:21:30:599,103308,103308,0,0,48231335933,513794067,101462,1430,416,371,391846,0 4,2,2024-09-07 08:21:31:167,74585,74585,0,0,4939297,0,4528 4,3,2024-09-07 08:21:31:053,1,59,89,1,287,1165,59,0 5,0,2024-09-07 08:21:31:438,18751,0.9,18529,0.9,37541,1.1,49443,2.00 5,1,2024-09-07 08:21:30:799,102981,102981,0,0,48709885809,517887359,100787,1755,439,368,392005,0 5,2,2024-09-07 08:21:31:834,73965,73965,0,0,3864362,0,2259 5,3,2024-09-07 08:21:31:732,1,59,2,1,238,1340,59,0 6,0,2024-09-07 08:21:30:931,17560,1.6,17318,1.5,34612,2.5,46695,3.25 6,1,2024-09-07 08:21:30:761,103734,103734,0,0,48345894707,507496159,102631,1034,69,381,391603,0 6,2,2024-09-07 08:21:31:123,74566,74566,0,0,4055702,0,2411 6,3,2024-09-07 08:21:31:277,1,59,5,1,340,1403,59,0 7,0,2024-09-07 08:21:31:537,10340,0.3,10267,0.5,20734,0.2,27517,1.75 7,1,2024-09-07 08:21:30:854,103393,103393,0,0,48471767670,509837284,102413,916,64,383,391664,0 7,2,2024-09-07 08:21:30:774,74316,74316,0,0,3820140,0,2981 7,3,2024-09-07 08:21:30:858,1,59,2,0,83,573,59,0 8,0,2024-09-07 08:21:31:349,11878,0.3,11670,0.5,23099,0.2,31615,1.75 8,1,2024-09-07 08:21:31:020,103268,103268,0,0,48300585686,521555626,99797,2219,1252,368,391724,0 8,2,2024-09-07 08:21:30:790,73160,73160,0,0,4748817,0,2986 8,3,2024-09-07 08:21:30:587,1,59,1,0,229,985,59,0 9,0,2024-09-07 08:21:31:328,17870,0.4,17419,0.6,36403,0.4,47627,1.75 9,1,2024-09-07 08:21:30:583,103426,103426,0,0,48617891639,517188128,101149,1711,566,370,391576,0 9,2,2024-09-07 08:21:31:094,74234,74234,0,0,4359216,0,3360 9,3,2024-09-07 08:21:31:752,1,59,8,0,149,948,59,0 10,0,2024-09-07 08:21:31:628,17692,1.2,17732,1.1,35516,1.7,47704,3.00 10,1,2024-09-07 08:21:30:585,102705,102705,0,0,47979869511,514488924,99371,2812,522,383,391541,0 10,2,2024-09-07 08:21:30:768,74470,74470,0,0,4537607,0,2940 10,3,2024-09-07 08:21:30:874,1,59,1,0,136,524,59,0 11,0,2024-09-07 08:21:31:027,11697,2.8,11442,1.9,23960,5.3,32400,2.50 11,1,2024-09-07 08:21:30:573,102924,102924,0,0,47874771687,510819451,100287,1822,815,384,391537,0 11,2,2024-09-07 08:21:31:123,73895,73895,0,0,4242025,0,2635 11,3,2024-09-07 08:21:31:300,1,59,2,0,720,2164,59,0 12,0,2024-09-07 08:21:31:011,11785,0.3,11894,0.5,23583,0.2,31353,1.75 12,1,2024-09-07 08:21:30:953,103058,103058,0,0,47618864344,504422821,101424,1535,99,371,391790,0 12,2,2024-09-07 08:21:31:613,74749,74749,0,0,3997619,0,2254 12,3,2024-09-07 08:21:31:072,1,59,2,1,358,1322,59,0 13,0,2024-09-07 08:21:31:508,16293,0.5,16383,0.6,32662,0.5,43823,1.75 13,1,2024-09-07 08:21:31:584,103559,103559,0,0,48618767357,516647858,101973,1220,366,384,391717,0 13,2,2024-09-07 08:21:30:612,74330,74330,0,0,3740334,0,3287 13,3,2024-09-07 08:21:31:770,1,59,1,1,373,1185,59,0 14,0,2024-09-07 08:21:30:572,18476,1.2,18557,1.2,36787,1.5,48959,2.50 14,1,2024-09-07 08:21:31:563,104302,104302,0,0,48504172774,506543622,103424,848,30,365,391546,0 14,2,2024-09-07 08:21:30:767,74640,74640,0,0,4279608,0,2793 14,3,2024-09-07 08:21:31:141,1,59,1,1,906,1256,59,0 15,0,2024-09-07 08:21:31:568,14264,2.5,14118,1.7,28356,4.6,38092,3.50 15,1,2024-09-07 08:21:31:646,103430,103430,0,0,48174662741,504977244,102647,748,35,381,391536,0 15,2,2024-09-07 08:21:31:009,74358,74358,0,0,3363627,0,3043 15,3,2024-09-07 08:21:31:408,1,59,2,0,538,1788,59,0 16,0,2024-09-07 08:21:31:007,11566,0.4,11793,0.6,23119,0.3,30344,2.00 16,1,2024-09-07 08:21:30:580,103110,103110,0,0,47795695540,505337122,101992,1094,24,372,391543,0 16,2,2024-09-07 08:21:31:439,73221,73221,0,0,4643734,0,4719 16,3,2024-09-07 08:21:31:145,1,59,9,1,231,1197,59,0 17,0,2024-09-07 08:21:31:894,13968,0.6,13771,0.9,26914,0.6,36634,2.00 17,1,2024-09-07 08:21:30:582,103065,103065,0,0,48402488357,516310216,101201,1342,522,369,391688,0 17,2,2024-09-07 08:21:31:689,74863,74863,0,0,3564473,0,2857 17,3,2024-09-07 08:21:30:575,1,59,4,0,268,1315,59,0 18,0,2024-09-07 08:21:30:953,17754,1.8,17958,1.4,36186,2.1,47793,2.75 18,1,2024-09-07 08:21:31:664,103189,103189,0,0,47840012270,502712931,101941,1030,218,368,391555,0 18,2,2024-09-07 08:21:31:755,74102,74102,0,0,4457415,0,3541 18,3,2024-09-07 08:21:30:896,1,59,5,0,163,906,59,0 19,0,2024-09-07 08:21:31:575,16369,2.0,16463,1.6,32585,2.1,43519,5.50 19,1,2024-09-07 08:21:30:567,103602,103602,0,0,48993182829,517456282,101738,1701,163,367,391696,0 19,2,2024-09-07 08:21:31:753,74399,74399,0,0,4082308,0,3988 19,3,2024-09-07 08:21:31:143,1,59,2,0,524,1044,59,0 20,0,2024-09-07 08:21:31:416,11102,0.3,11154,0.5,21935,0.2,29339,2.00 20,1,2024-09-07 08:21:30:618,103430,103430,0,0,48822958972,516220351,101747,1522,161,370,391598,0 20,2,2024-09-07 08:21:30:985,74193,74193,0,0,3645826,0,2446 20,3,2024-09-07 08:21:30:589,1,59,2,1,99,835,59,0 21,0,2024-09-07 08:21:31:269,12151,0.4,12114,0.6,23908,0.3,31620,2.00 21,1,2024-09-07 08:21:31:559,102805,102805,0,0,48043379285,512108741,100564,1752,489,368,391962,0 21,2,2024-09-07 08:21:31:118,74347,74347,0,0,4006248,0,3747 21,3,2024-09-07 08:21:31:434,1,59,5,0,93,959,59,0 22,0,2024-09-07 08:21:31:726,18364,1.2,18304,1.2,36543,1.4,49333,3.25 22,1,2024-09-07 08:21:31:024,102893,102893,0,0,47999617442,513400500,99854,2314,725,382,391667,0 22,2,2024-09-07 08:21:30:769,74275,74275,0,0,3578174,0,3134 22,3,2024-09-07 08:21:31:071,1,59,1,0,62,345,59,0 23,0,2024-09-07 08:21:31:381,17218,1.8,17367,1.3,34537,2.5,46473,2.75 23,1,2024-09-07 08:21:31:003,103851,103851,0,0,48753948120,510302252,102505,1092,254,368,391496,0 23,2,2024-09-07 08:21:31:094,74613,74613,0,0,3745857,0,2078 23,3,2024-09-07 08:21:31:754,1,59,41,0,645,1255,59,0 24,0,2024-09-07 08:21:31:197,11820,0.7,11921,0.8,23584,0.8,31303,1.75 24,1,2024-09-07 08:21:30:601,103942,103942,0,0,48157813256,505084842,103141,794,7,369,391640,0 24,2,2024-09-07 08:21:31:123,73875,73875,0,0,4815528,0,2942 24,3,2024-09-07 08:21:31:698,1,59,11,1,234,924,59,0 25,0,2024-09-07 08:21:31:432,11769,0.3,11562,0.5,22427,0.2,30548,1.75 25,1,2024-09-07 08:21:30:563,103141,103141,0,0,47900153191,510075053,101000,1827,314,371,391788,0 25,2,2024-09-07 08:21:31:620,73842,73842,0,0,4371441,0,3284 25,3,2024-09-07 08:21:31:003,1,59,11,1,158,620,59,0 26,0,2024-09-07 08:21:31:736,17124,0.5,16762,0.6,34962,0.5,46294,1.75 26,1,2024-09-07 08:21:31:592,103243,103243,0,0,48029113133,515364484,99783,2625,835,382,391564,0 26,2,2024-09-07 08:21:30:864,74290,74290,0,0,4503718,0,2809 26,3,2024-09-07 08:21:31:713,1,59,1,0,796,1223,59,0 27,0,2024-09-07 08:21:31:731,17667,1.4,17752,1.1,35468,2.0,47641,2.25 27,1,2024-09-07 08:21:31:688,103983,103983,0,0,49025860802,512874471,102915,773,295,381,391539,0 27,2,2024-09-07 08:21:30:875,74200,74200,0,0,5472564,0,3409 27,3,2024-09-07 08:21:31:021,1,59,1,0,564,1004,59,0 28,0,2024-09-07 08:21:31:396,13093,0.8,13262,1.0,26296,1.1,34964,2.00 28,1,2024-09-07 08:21:30:799,103709,103709,0,0,49340527586,518220650,102651,858,200,383,391646,0 28,2,2024-09-07 08:21:31:765,73809,73809,0,0,3573143,0,2609 28,3,2024-09-07 08:21:31:800,1,59,0,0,502,1134,59,0 29,0,2024-09-07 08:21:31:372,11640,0.3,11370,0.5,22469,0.2,30201,1.75 29,1,2024-09-07 08:21:31:564,104486,104486,0,0,48163460758,501196208,103743,571,172,369,391621,0 29,2,2024-09-07 08:21:30:864,74408,74408,0,0,3120263,0,2026 29,3,2024-09-07 08:21:30:984,1,59,0,0,105,638,59,0 30,0,2024-09-07 08:21:31:465,14836,0.7,14400,0.8,30080,0.7,40038,2.25 30,1,2024-09-07 08:21:30:572,103472,103472,0,0,49188478500,516020361,102499,908,65,382,391524,0 30,2,2024-09-07 08:21:31:277,74831,74831,0,0,3172378,0,2534 30,3,2024-09-07 08:21:30:581,1,59,3,0,195,599,59,0 31,0,2024-09-07 08:21:31:765,18418,1.8,18252,1.3,37088,3.2,48914,3.25 31,1,2024-09-07 08:21:30:570,103800,103800,0,0,48898778601,504143914,103552,246,2,356,391553,0 31,2,2024-09-07 08:21:31:277,74850,74850,0,0,4564520,0,3525 31,3,2024-09-07 08:21:31:709,1,59,16,0,129,534,59,0 32,0,2024-09-07 08:21:31:432,15931,1.8,16059,1.2,32224,3.1,42409,2.00 32,1,2024-09-07 08:21:30:815,103394,103394,0,0,48411838633,507453783,102396,969,29,382,391595,0 32,2,2024-09-07 08:21:30:947,74395,74395,0,0,3809175,0,3155 32,3,2024-09-07 08:21:31:026,1,59,6,0,110,614,59,0 33,0,2024-09-07 08:21:31:534,11815,0.3,11658,0.5,23776,0.2,30989,1.75 33,1,2024-09-07 08:21:30:575,103632,103632,0,0,49447492786,518646246,101971,1538,123,369,391526,0 33,2,2024-09-07 08:21:30:765,74016,73983,33,0,5381799,0,7012 33,3,2024-09-07 08:21:30:895,1,59,1,1,63,356,59,0 34,0,2024-09-07 08:21:30:973,12432,0.3,12843,0.5,24724,0.2,33515,1.75 34,1,2024-09-07 08:21:31:047,103978,103978,0,0,48714055917,505523968,103386,588,4,367,391517,0 34,2,2024-09-07 08:21:30:766,74240,74240,0,0,4397409,0,3255 34,3,2024-09-07 08:21:31:688,1,59,9,0,148,659,59,0 35,0,2024-09-07 08:21:30:872,18476,1.0,18618,0.9,37263,1.4,49328,2.00 35,1,2024-09-07 08:21:31:088,103585,103585,0,0,49301381708,516556060,102120,1104,361,384,391587,0 35,2,2024-09-07 08:21:31:591,74118,74118,0,0,3802834,0,2542 35,3,2024-09-07 08:21:30:909,1,59,3,0,219,1027,59,0 36,0,2024-09-07 08:21:31:528,17546,2.3,17401,1.5,35183,3.4,46778,2.75 36,1,2024-09-07 08:21:30:584,103254,103254,0,0,48597133404,517451728,100243,2080,931,366,391535,0 36,2,2024-09-07 08:21:31:754,74043,74043,0,0,4423430,0,3303 36,3,2024-09-07 08:21:30:869,1,59,2,0,378,1046,59,0 37,0,2024-09-07 08:21:31:382,10420,0.3,10349,0.5,20810,0.2,27590,1.75 37,1,2024-09-07 08:21:30:580,102782,102775,0,7,48306746161,517935193,99937,1321,1517,365,391518,0 37,2,2024-09-07 08:21:31:152,74469,74469,0,0,3319362,0,2333 37,3,2024-09-07 08:21:31:766,1,59,2,0,724,1743,59,0 38,0,2024-09-07 08:21:31:448,11721,0.3,11333,0.5,23581,0.2,31417,1.75 38,1,2024-09-07 08:21:31:620,103251,103251,0,0,48432054502,512322452,101109,1695,447,370,391513,0 38,2,2024-09-07 08:21:30:773,74282,74282,0,0,4099621,0,3245 38,3,2024-09-07 08:21:31:005,1,59,1,0,603,1008,59,0 39,0,2024-09-07 08:21:31:768,18418,0.5,18210,0.6,35340,0.5,47906,1.75 39,1,2024-09-07 08:21:30:720,103491,103491,0,0,47786762206,506832349,100902,1951,638,366,391524,0 39,2,2024-09-07 08:21:31:432,74318,74318,0,0,3743217,0,2381 39,3,2024-09-07 08:21:30:713,1,59,1,0,276,1167,59,0 40,0,2024-09-07 08:21:31:511,17372,2.3,17681,2.4,34806,4.8,47290,4.00 40,1,2024-09-07 08:21:30:576,103087,103087,0,0,47715798445,509364931,99837,2497,753,370,391591,0 40,2,2024-09-07 08:21:31:306,74584,74583,1,0,4802332,0,5137 40,3,2024-09-07 08:21:31:144,1,59,3,1,110,733,59,0 41,0,2024-09-07 08:21:31:041,11440,1.5,11757,6.4,22534,5.0,31279,3.75 41,1,2024-09-07 08:21:30:774,103222,103222,0,0,48736374486,516769356,100915,1994,313,370,391484,0 41,2,2024-09-07 08:21:30:759,74167,74167,0,0,4891916,0,3356 41,3,2024-09-07 08:21:31:680,1,59,3,0,168,646,59,0 42,0,2024-09-07 08:21:31:516,11662,0.4,11840,0.7,23539,0.3,31367,2.00 42,1,2024-09-07 08:21:31:449,102990,102990,0,0,47950225947,513330035,99997,2163,830,381,391511,0 42,2,2024-09-07 08:21:31:146,74143,74143,0,0,4287848,0,3568 42,3,2024-09-07 08:21:31:020,1,59,1,0,100,549,59,0 43,0,2024-09-07 08:21:30:934,16227,1.5,15865,1.2,33067,2.5,43977,2.00 43,1,2024-09-07 08:21:30:584,103274,103274,0,0,48157347935,509529369,101085,1437,752,367,391604,0 43,2,2024-09-07 08:21:31:736,74526,74526,0,0,4508927,0,3812 43,3,2024-09-07 08:21:31:758,1,59,1,0,292,1162,59,0 44,0,2024-09-07 08:21:30:906,18526,1.2,18500,1.2,36820,1.3,49471,2.25 44,1,2024-09-07 08:21:30:566,103650,103650,0,0,47926853110,499860399,102633,910,107,357,391523,0 44,2,2024-09-07 08:21:31:272,74831,74831,0,0,3314969,0,1865 44,3,2024-09-07 08:21:31:151,1,59,1,1,817,1311,59,0 45,0,2024-09-07 08:21:31:769,14076,3.1,13789,1.8,28969,5.6,38348,2.25 45,1,2024-09-07 08:21:31:005,103648,103648,0,0,49001546548,511445269,103182,465,1,382,391917,0 45,2,2024-09-07 08:21:31:270,74354,74354,0,0,3920917,0,2628 45,3,2024-09-07 08:21:30:957,1,59,1,1,226,761,59,0 46,0,2024-09-07 08:21:30:969,11690,0.2,11653,0.5,23432,0.1,30374,1.50 46,1,2024-09-07 08:21:30:595,103648,103648,0,0,48812699848,508131001,102818,794,36,368,391514,0 46,2,2024-09-07 08:21:30:593,74124,74124,0,0,3856490,0,2920 46,3,2024-09-07 08:21:31:141,1,59,1,0,315,1032,59,0 47,0,2024-09-07 08:21:31:179,13599,0.4,13847,0.6,27575,0.4,36500,1.75 47,1,2024-09-07 08:21:30:570,103992,103992,0,0,48237619588,500567579,103486,502,4,366,391605,0 47,2,2024-09-07 08:21:30:910,75492,75492,0,0,3834909,0,2558 47,3,2024-09-07 08:21:31:151,1,59,5,0,529,1056,59,0 48,0,2024-09-07 08:21:31:521,18585,0.4,18342,0.6,36402,0.4,48542,1.75 48,1,2024-09-07 08:21:31:040,103746,103746,0,0,48717017995,511119578,102550,1191,5,386,391637,0 48,2,2024-09-07 08:21:30:706,74844,74844,0,0,3150966,0,2083 48,3,2024-09-07 08:21:30:760,1,59,0,0,30,577,59,0 49,0,2024-09-07 08:21:31:752,17085,1.7,16647,1.3,32720,3.2,44975,2.00 49,1,2024-09-07 08:21:31:024,103401,103401,0,0,48617670518,514001703,101664,1028,709,382,391583,0 49,2,2024-09-07 08:21:31:809,74141,74141,0,0,4236518,0,3900 49,3,2024-09-07 08:21:31:431,1,59,1,0,274,785,59,0 50,0,2024-09-07 08:21:31:516,11257,0.2,10993,0.4,22158,0.1,29852,1.50 50,1,2024-09-07 08:21:31:027,104212,104212,0,0,49015106684,513961667,102546,1369,297,368,391530,0 50,2,2024-09-07 08:21:31:074,74553,74553,0,0,3468408,0,2253 50,3,2024-09-07 08:21:31:291,1,59,1,1,335,866,59,0 51,0,2024-09-07 08:21:31:692,12220,0.2,11920,0.4,23374,0.2,31845,1.50 51,1,2024-09-07 08:21:31:687,103411,103411,0,0,49196359063,516978150,101613,1016,782,367,391637,0 51,2,2024-09-07 08:21:31:321,74355,74355,0,0,3007416,0,2448 51,3,2024-09-07 08:21:31:037,1,59,1,0,162,455,59,0 52,0,2024-09-07 08:21:31:439,18692,0.9,18773,1.0,37552,1.1,50293,2.25 52,1,2024-09-07 08:21:30:575,103291,103291,0,0,47884876726,509486779,100795,2032,464,368,391529,0 52,2,2024-09-07 08:21:31:765,74098,74098,0,0,4057061,0,4779 52,3,2024-09-07 08:21:30:680,1,59,1,1,1782,2377,59,0 53,0,2024-09-07 08:21:31:749,17194,2.6,16507,1.7,34216,3.8,45642,3.25 53,1,2024-09-07 08:21:30:773,103088,103088,0,0,48513752952,515705076,100486,2028,574,370,391617,0 53,2,2024-09-07 08:21:31:311,75278,75278,0,0,3525087,0,1902 53,3,2024-09-07 08:21:30:707,1,59,14,0,59,383,59,0 54,0,2024-09-07 08:21:31:636,10889,10.0,11022,5.0,21846,2.3,30167,5.25 54,1,2024-09-07 08:21:30:581,103220,103220,0,0,48506783793,511962569,101112,1792,316,367,391520,0 54,2,2024-09-07 08:21:30:870,74829,74823,6,0,4209217,0,5382 54,3,2024-09-07 08:21:30:767,1,59,1,0,676,1513,59,0 55,0,2024-09-07 08:21:31:771,11198,0.4,11479,0.6,23428,0.2,30219,2.00 55,1,2024-09-07 08:21:30:767,102871,102871,0,0,48066431401,510992983,99446,2723,702,367,391731,0 55,2,2024-09-07 08:21:30:735,73925,73925,0,0,4287356,0,3275 55,3,2024-09-07 08:21:30:693,1,59,1,0,136,672,59,0 56,0,2024-09-07 08:21:31:595,17587,1.9,16637,1.4,34222,2.8,46098,2.50 56,1,2024-09-07 08:21:30:576,102831,102831,0,0,48575221638,523262474,99453,2632,746,383,391678,0 56,2,2024-09-07 08:21:31:310,74827,74827,0,0,4692536,0,3567 56,3,2024-09-07 08:21:31:068,1,59,0,0,297,914,59,0 57,0,2024-09-07 08:21:30:983,17328,4.0,17012,2.2,34376,6.2,46312,4.75 57,1,2024-09-07 08:21:31:001,102933,102933,0,0,47952637944,506273647,101464,1450,19,368,391760,0 57,2,2024-09-07 08:21:31:321,75230,75230,0,0,4683366,0,3178 57,3,2024-09-07 08:21:31:737,1,59,1,1,359,1428,59,0 58,0,2024-09-07 08:21:30:714,12384,0.9,12007,1.2,25164,0.8,32973,3.00 58,1,2024-09-07 08:21:30:578,104121,104119,0,2,48956594923,514205782,102523,1437,159,369,391516,2 58,2,2024-09-07 08:21:31:073,74130,74130,0,0,4430760,0,2549 58,3,2024-09-07 08:21:31:080,1,59,2,1,219,768,59,0 59,0,2024-09-07 08:21:31:751,11545,0.7,11385,0.9,22977,0.6,29875,2.25 59,1,2024-09-07 08:21:30:815,102889,102889,0,0,48264555674,513248377,100643,1479,767,369,391515,0 59,2,2024-09-07 08:21:30:604,74234,74234,0,0,3870938,0,2604 59,3,2024-09-07 08:21:31:738,1,59,4,0,1015,1634,59,0 60,0,2024-09-07 08:21:31:737,14926,0.6,15044,0.7,29694,0.8,40237,1.75 60,1,2024-09-07 08:21:30:776,104300,104300,0,0,48312578014,506393646,103229,727,344,370,391712,0 60,2,2024-09-07 08:21:31:144,74456,74456,0,0,3617080,0,2142 60,3,2024-09-07 08:21:31:262,1,59,2,0,124,810,59,0 61,0,2024-09-07 08:21:31:513,18288,3.1,18300,1.8,36599,4.6,49079,2.50 61,1,2024-09-07 08:21:30:795,103172,103172,0,0,48361158773,515717366,100621,2017,534,383,391589,0 61,2,2024-09-07 08:21:31:126,74947,74947,0,0,3701968,0,1846 61,3,2024-09-07 08:21:31:688,1,59,2,0,199,1057,59,0 62,0,2024-09-07 08:21:31:743,16040,1.9,16360,1.3,31348,3.8,42368,2.25 62,1,2024-09-07 08:21:31:153,104064,104058,0,6,49120285681,512388120,102807,1214,37,366,391586,6 62,2,2024-09-07 08:21:31:645,73700,73700,0,0,4248478,0,2931 62,3,2024-09-07 08:21:31:144,1,59,1,0,287,606,59,0 63,0,2024-09-07 08:21:31:457,11744,0.4,11718,0.6,23513,0.2,31107,1.75 63,1,2024-09-07 08:21:30:812,104465,104461,0,4,49044700883,509597093,104062,398,1,381,391540,4 63,2,2024-09-07 08:21:30:775,73866,73866,0,0,3778727,0,2674 63,3,2024-09-07 08:21:31:733,1,59,3,0,667,1764,59,0 64,0,2024-09-07 08:21:31:540,12341,0.4,12374,0.6,24806,0.3,33592,1.75 64,1,2024-09-07 08:21:30:755,103516,103516,0,0,48297649325,514689394,101084,1639,793,371,391604,0 64,2,2024-09-07 08:21:31:162,74898,74879,19,0,4296285,0,6121 64,3,2024-09-07 08:21:31:152,1,59,9,1,265,966,59,0 65,0,2024-09-07 08:21:31:701,18135,2.6,18448,1.7,36709,3.5,48805,4.00 65,1,2024-09-07 08:21:30:863,103133,103133,0,0,47642502085,504990274,101426,1488,219,382,391569,0 65,2,2024-09-07 08:21:31:705,74631,74631,0,0,4377666,0,3367 65,3,2024-09-07 08:21:31:691,1,59,1,0,163,809,59,0 66,0,2024-09-07 08:21:31:813,16997,1.2,17191,1.2,34557,1.4,46203,3.00 66,1,2024-09-07 08:21:31:293,104286,104286,0,0,48807148607,509342451,103597,668,21,381,391537,0 66,2,2024-09-07 08:21:31:132,74847,74847,0,0,4040903,0,3867 66,3,2024-09-07 08:21:31:088,1,59,2,1,291,913,59,0 67,0,2024-09-07 08:21:31:438,10424,0.6,10471,0.8,20720,0.5,27528,2.25 67,1,2024-09-07 08:21:30:768,103161,103160,0,1,48364008298,513600001,101142,1493,525,382,391787,1 67,2,2024-09-07 08:21:30:583,74942,74942,0,0,3698829,0,2889 67,3,2024-09-07 08:21:31:750,1,59,9,0,138,630,59,0 68,0,2024-09-07 08:21:30:729,11661,0.4,11613,0.7,23197,0.3,31290,2.00 68,1,2024-09-07 08:21:30:578,103146,103146,0,0,48236491786,510168321,101750,874,522,382,391953,0 68,2,2024-09-07 08:21:31:052,74292,74292,0,0,3715769,0,4883 68,3,2024-09-07 08:21:30:742,1,59,17,1,63,615,59,0 69,0,2024-09-07 08:21:31:779,17835,1.1,17879,1.2,35529,1.3,47724,2.50 69,1,2024-09-07 08:21:31:032,102730,102730,0,0,48172986458,516066452,100786,1079,865,386,391532,0 69,2,2024-09-07 08:21:31:737,74487,74487,0,0,4135213,0,3153 69,3,2024-09-07 08:21:30:767,1,59,5,0,238,1090,59,0 70,0,2024-09-07 08:21:31:581,17397,4.0,17351,2.9,35114,3.6,46836,5.00 70,1,2024-09-07 08:21:30:820,103655,103655,0,0,49265243789,513609005,102744,777,134,369,391527,0 70,2,2024-09-07 08:21:31:327,74753,74753,0,0,4181767,0,4044 70,3,2024-09-07 08:21:30:757,1,59,1,0,178,441,59,0 71,0,2024-09-07 08:21:31:373,11569,2.3,11548,4.8,22726,3.4,31491,4.50 71,1,2024-09-07 08:21:31:602,103243,103243,0,0,48662098347,514323396,101041,1886,316,368,391682,0 71,2,2024-09-07 08:21:31:080,74183,74183,0,0,3765628,0,2146 71,3,2024-09-07 08:21:31:753,1,59,0,0,174,558,59,0 72,0,2024-09-07 08:21:31:051,12043,0.4,11647,0.6,23290,0.3,31428,2.50 72,1,2024-09-07 08:21:31:025,104018,104018,0,0,48291604591,507700602,102475,1465,78,370,391556,0 72,2,2024-09-07 08:21:31:790,73551,73551,0,0,5064658,0,2570 72,3,2024-09-07 08:21:31:765,1,59,8,0,325,1255,59,0 73,0,2024-09-07 08:21:31:166,15873,0.5,16301,0.7,33138,0.5,43762,2.50 73,1,2024-09-07 08:21:30:820,103505,103505,0,0,48583829902,509265510,102544,918,43,368,391627,0 73,2,2024-09-07 08:21:31:752,75089,75089,0,0,4594849,0,3482 73,3,2024-09-07 08:21:31:012,1,59,1,0,274,1215,59,0 74,0,2024-09-07 08:21:31:354,18438,2.1,18763,1.5,36111,3.6,48974,4.00 74,1,2024-09-07 08:21:30:643,103363,103363,0,0,48002381842,507043293,101467,1324,572,382,391497,0 74,2,2024-09-07 08:21:31:010,74500,74500,0,0,4882737,0,4253 74,3,2024-09-07 08:21:31:442,1,59,6,0,246,1324,59,0 75,0,2024-09-07 08:21:31:786,14323,3.0,14335,1.8,28626,5.1,38467,3.50 75,1,2024-09-07 08:21:31:585,103803,103803,0,0,48558114816,512893036,102119,1580,104,382,391514,0 75,2,2024-09-07 08:21:31:353,73828,73828,0,0,4704798,0,4766 75,3,2024-09-07 08:21:31:083,1,59,2,0,143,399,59,0 76,0,2024-09-07 08:21:30:617,11679,0.3,11566,0.6,23232,0.2,30235,2.00 76,1,2024-09-07 08:21:30:835,103118,103118,0,0,47385760597,503156692,101315,1297,506,382,391530,0 76,2,2024-09-07 08:21:31:066,74472,74472,0,0,3824681,0,3064 76,3,2024-09-07 08:21:31:144,1,59,2,0,175,971,59,0 77,0,2024-09-07 08:21:31:753,13593,1.0,13706,1.0,27337,1.2,36513,2.00 77,1,2024-09-07 08:21:30:844,103290,103290,0,0,48308600477,507852102,102258,963,69,383,391516,0 77,2,2024-09-07 08:21:31:284,75067,75067,0,0,3271707,0,1695 77,3,2024-09-07 08:21:31:142,1,59,1,0,139,664,59,0 78,0,2024-09-07 08:21:31:741,18204,1.5,18141,1.2,36508,2.2,48250,2.50 78,1,2024-09-07 08:21:30:616,103962,103962,0,0,47630710760,501431792,102545,1213,204,367,391589,0 78,2,2024-09-07 08:21:31:407,74848,74848,0,0,3328950,0,2114 78,3,2024-09-07 08:21:31:142,1,59,1,0,181,999,59,0 79,0,2024-09-07 08:21:31:369,16040,1.1,16441,1.2,33631,1.4,44042,3.25 79,1,2024-09-07 08:21:30:574,103533,103533,0,0,48464823529,508285906,102196,1268,69,370,391609,0 79,2,2024-09-07 08:21:31:084,74082,74082,0,0,3781072,0,2679 79,3,2024-09-07 08:21:30:756,1,59,1,0,289,826,59,0 80,0,2024-09-07 08:21:31:127,10999,0.4,11359,0.7,21970,0.3,29638,2.00 80,1,2024-09-07 08:21:31:627,102885,102885,0,0,48525391481,510189243,101300,1470,115,369,391673,0 80,2,2024-09-07 08:21:31:106,74188,74188,0,0,4104667,0,4433 80,3,2024-09-07 08:21:30:577,1,59,3,1,190,1191,59,0 81,0,2024-09-07 08:21:31:567,12060,0.5,12375,0.7,23680,0.4,31896,2.00 81,1,2024-09-07 08:21:31:679,103170,103170,0,0,48423743647,513050062,101287,1685,198,383,391680,0 81,2,2024-09-07 08:21:31:161,74120,74120,0,0,4356655,0,3993 81,3,2024-09-07 08:21:31:130,1,59,1,0,193,755,59,0 82,0,2024-09-07 08:21:31:542,18537,0.9,18575,1.1,37109,1.0,50232,2.25 82,1,2024-09-07 08:21:30:586,103054,103052,0,2,48466293366,512354604,101017,1463,572,383,391558,2 82,2,2024-09-07 08:21:31:701,75318,75318,0,0,3339242,0,2230 82,3,2024-09-07 08:21:31:752,1,59,227,0,227,1284,59,0 83,0,2024-09-07 08:21:31:545,17137,1.9,17141,1.6,34002,2.2,45519,3.25 83,1,2024-09-07 08:21:30:556,103465,103465,0,0,48461295547,511370154,102054,1311,100,383,391553,0 83,2,2024-09-07 08:21:30:772,73924,73924,0,0,4325176,0,3119 83,3,2024-09-07 08:21:30:750,1,59,3,0,91,567,59,0 84,0,2024-09-07 08:21:31:787,11277,5.8,11145,5.3,22474,1.6,30759,3.50 84,1,2024-09-07 08:21:31:044,103307,103307,0,0,48204196400,510404837,101705,1355,247,369,391638,0 84,2,2024-09-07 08:21:30:620,74103,74103,0,0,4081298,0,3801 84,3,2024-09-07 08:21:31:145,1,59,2,1,43,625,59,0 85,0,2024-09-07 08:21:31:017,11187,0.4,11168,0.6,23642,0.3,30405,2.00 85,1,2024-09-07 08:21:30:585,102883,102883,0,0,48742178552,520839445,100444,2011,428,384,391652,0 85,2,2024-09-07 08:21:30:870,73780,73780,0,0,4427039,0,3656 85,3,2024-09-07 08:21:30:689,1,59,19,0,115,707,59,0 86,0,2024-09-07 08:21:30:893,17059,0.8,17776,0.8,34206,1.0,46127,2.00 86,1,2024-09-07 08:21:30:839,103018,103018,0,0,48686442951,514097946,101232,1571,215,367,391622,0 86,2,2024-09-07 08:21:30:857,74080,74079,1,0,4651123,0,5004 86,3,2024-09-07 08:21:30:592,1,59,1,0,199,832,59,0 87,0,2024-09-07 08:21:31:308,17549,3.3,17505,2.0,35221,4.3,47371,3.50 87,1,2024-09-07 08:21:30:595,102860,102860,0,0,48229144920,509743836,101291,1449,120,368,391671,0 87,2,2024-09-07 08:21:31:080,75248,75248,0,0,3579817,0,2859 87,3,2024-09-07 08:21:31:805,1,59,24,1,322,1099,59,0 88,0,2024-09-07 08:21:31:529,13088,1.0,13267,1.0,26197,1.1,34843,2.00 88,1,2024-09-07 08:21:30:583,102843,102843,0,0,48439275656,510495026,101642,1106,95,367,391747,0 88,2,2024-09-07 08:21:30:697,74255,74255,0,0,4658812,0,3583 88,3,2024-09-07 08:21:31:268,1,59,3,1,77,771,59,0 89,0,2024-09-07 08:21:31:802,11832,0.3,11406,0.6,22711,0.3,30273,1.75 89,1,2024-09-07 08:21:30:582,102349,102349,0,0,48371758138,521160868,99130,2484,735,383,391866,0 89,2,2024-09-07 08:21:31:146,73722,73722,0,0,4372219,0,2726 89,3,2024-09-07 08:21:31:818,1,59,2,0,325,1658,59,0 90,0,2024-09-07 08:21:31:662,14456,0.6,14697,0.7,30387,0.6,40123,1.75 90,1,2024-09-07 08:21:30:596,103071,103071,0,0,47962501089,511256139,101029,1902,140,382,391825,0 90,2,2024-09-07 08:21:31:430,73709,73709,0,0,5055447,0,2635 90,3,2024-09-07 08:21:30:952,1,59,3,1,200,895,59,0 91,0,2024-09-07 08:21:31:142,18812,0.8,18142,0.8,37780,0.9,49435,2.00 91,1,2024-09-07 08:21:30:804,103135,103135,0,0,48786913425,519293221,100792,1981,362,384,391914,0 91,2,2024-09-07 08:21:31:345,74266,74266,0,0,4072044,0,1997 91,3,2024-09-07 08:21:30:624,1,59,83,1,155,847,59,0 92,0,2024-09-07 08:21:31:481,16092,1.4,16520,1.2,31600,2.6,42460,2.00 92,1,2024-09-07 08:21:30:599,103544,103544,0,0,48818146080,512198876,102901,577,66,383,391717,0 92,2,2024-09-07 08:21:31:353,75224,75224,0,0,4040392,0,2279 92,3,2024-09-07 08:21:31:009,1,59,13,1,68,729,59,0 93,0,2024-09-07 08:21:31:005,11818,0.3,11997,0.5,22895,0.2,31042,1.75 93,1,2024-09-07 08:21:30:814,103377,103377,0,0,48269816778,510803771,101622,1321,434,367,391689,0 93,2,2024-09-07 08:21:30:947,74524,74524,0,0,3712652,0,2509 93,3,2024-09-07 08:21:31:412,1,59,2,1,143,639,59,0 94,0,2024-09-07 08:21:31:650,12524,0.3,12668,0.5,24751,0.2,33591,1.50 94,1,2024-09-07 08:21:30:578,102906,102906,0,0,48290660620,512324073,101334,1508,64,381,391850,0 94,2,2024-09-07 08:21:30:768,74223,74223,0,0,3756949,0,2443 94,3,2024-09-07 08:21:31:691,1,59,3,1,231,1204,59,0 95,0,2024-09-07 08:21:31:386,18680,0.5,18475,0.7,37563,0.5,49568,1.75 95,1,2024-09-07 08:21:30:868,103564,103564,0,0,48440440822,507740028,102773,727,64,367,391590,0 95,2,2024-09-07 08:21:31:019,74434,74434,0,0,3965392,0,3308 95,3,2024-09-07 08:21:31:726,1,59,4,0,307,1198,59,0 96,0,2024-09-07 08:21:31:037,17622,1.3,17525,1.0,35119,2.2,46662,2.00 96,1,2024-09-07 08:21:31:592,103582,103582,0,0,48570537436,515956745,101749,1263,570,385,391596,0 96,2,2024-09-07 08:21:31:273,74455,74455,0,0,4518319,0,4038 96,3,2024-09-07 08:21:31:153,1,59,1,1,36,650,59,0 97,0,2024-09-07 08:21:31:325,10435,0.4,10368,0.6,20754,0.4,27632,1.75 97,1,2024-09-07 08:21:30:791,103169,103169,0,0,48856567117,516460702,100987,1665,517,367,391626,0 97,2,2024-09-07 08:21:30:628,74227,74227,0,0,3753793,0,3036 97,3,2024-09-07 08:21:30:573,1,59,8,1,165,864,59,0 98,0,2024-09-07 08:21:31:722,11674,0.2,11568,0.4,23536,0.2,31594,1.50 98,1,2024-09-07 08:21:30:571,103188,103188,0,0,48648489409,512386659,102120,1023,45,382,391588,0 98,2,2024-09-07 08:21:30:772,74816,74816,0,0,4003965,0,3080 98,3,2024-09-07 08:21:30:711,1,59,3,1,155,781,59,0 99,0,2024-09-07 08:21:31:490,17938,0.4,17839,0.5,35807,0.4,47765,1.75 99,1,2024-09-07 08:21:31:726,103706,103706,0,0,48101133813,507446679,102232,1034,440,381,391744,0 99,2,2024-09-07 08:21:31:431,75350,75350,0,0,3954353,0,1858 99,3,2024-09-07 08:21:30:581,1,59,5,0,129,659,59,0 100,0,2024-09-07 08:21:31:561,17690,2.6,17610,2.9,35141,5.3,47782,2.75 100,1,2024-09-07 08:21:30:561,102638,102638,0,0,47902085221,514906284,99956,2338,344,382,391585,0 100,2,2024-09-07 08:21:31:822,74250,74239,11,0,5033419,0,5417 100,3,2024-09-07 08:21:31:731,1,59,3,1,443,1680,59,0 101,0,2024-09-07 08:21:31:732,12057,5.2,11673,2.8,23171,2.3,32454,3.25 101,1,2024-09-07 08:21:30:584,102971,102971,0,0,48029674792,509010046,101508,1014,449,369,391709,0 101,2,2024-09-07 08:21:31:768,74262,74262,0,0,4330283,0,4644 101,3,2024-09-07 08:21:30:973,1,59,1,0,448,926,59,0 102,0,2024-09-07 08:21:31:045,11452,0.5,11920,0.6,23875,0.3,31277,2.00 102,1,2024-09-07 08:21:31:148,103019,103019,0,0,48440913811,515296144,100840,1734,445,369,391831,0 102,2,2024-09-07 08:21:31:744,74283,74283,0,0,3430689,0,1945 102,3,2024-09-07 08:21:31:644,1,59,2,0,410,786,59,0 103,0,2024-09-07 08:21:31:652,16629,0.7,16678,0.8,31477,0.7,44113,2.00 103,1,2024-09-07 08:21:31:650,103095,103095,0,0,48546613086,512865530,101877,1075,143,381,391680,0 103,2,2024-09-07 08:21:30:597,74244,74244,0,0,3779986,0,2104 103,3,2024-09-07 08:21:30:769,1,59,7,1,486,1029,59,0 104,0,2024-09-07 08:21:31:071,18354,2.7,18405,1.6,35708,4.0,49044,3.00 104,1,2024-09-07 08:21:31:611,103831,103831,0,0,47672674886,507140650,101927,1578,326,368,391948,0 104,2,2024-09-07 08:21:31:673,73951,73951,0,0,4482947,0,3941 104,3,2024-09-07 08:21:31:421,1,59,3,1,1245,3522,59,0 105,0,2024-09-07 08:21:31:043,14189,2.1,13688,1.9,28440,3.6,38191,4.00 105,1,2024-09-07 08:21:30:563,103099,103099,0,0,48252580579,515965970,100159,2195,745,367,391797,0 105,2,2024-09-07 08:21:31:333,73957,73957,0,0,4168729,0,3314 105,3,2024-09-07 08:21:31:306,1,59,2,1,182,1139,59,0 106,0,2024-09-07 08:21:31:017,11275,0.3,11602,0.6,23570,0.2,30325,1.75 106,1,2024-09-07 08:21:31:751,103408,103408,0,0,48256367473,513650364,101009,2073,326,371,391767,0 106,2,2024-09-07 08:21:30:758,73669,73669,0,0,4265399,0,2795 106,3,2024-09-07 08:21:30:682,1,59,12,1,201,834,59,0 107,0,2024-09-07 08:21:31:261,13805,0.6,13671,0.7,27216,0.5,36498,1.75 107,1,2024-09-07 08:21:30:598,102940,102940,0,0,48069785257,511706700,101152,1617,171,382,392234,0 107,2,2024-09-07 08:21:31:331,74408,74407,1,0,4117269,0,5024 107,3,2024-09-07 08:21:31:793,1,59,48,0,353,1239,59,0 108,0,2024-09-07 08:21:31:888,18207,0.7,18512,0.8,36600,0.9,48388,1.75 108,1,2024-09-07 08:21:31:489,103176,103176,0,0,48836065200,515615652,101753,1290,133,371,391857,0 108,2,2024-09-07 08:21:31:769,74470,74470,0,0,4026020,0,2647 108,3,2024-09-07 08:21:31:335,1,59,2,1,60,782,59,0 109,0,2024-09-07 08:21:32:013,16803,1.0,16968,1.0,33298,1.2,45091,2.00 109,1,2024-09-07 08:21:30:618,102964,102964,0,0,48280731451,513535193,101361,1220,383,383,391664,0 109,2,2024-09-07 08:21:30:983,73321,73321,0,0,4370681,0,3617 109,3,2024-09-07 08:21:31:156,1,59,3,1,249,1144,59,0 110,0,2024-09-07 08:21:31:795,11124,0.3,10832,0.5,22583,0.2,29676,1.50 110,1,2024-09-07 08:21:31:682,103541,103541,0,0,48401530752,508296803,102228,1029,284,370,391588,0 110,2,2024-09-07 08:21:31:310,74761,74761,0,0,3689564,0,2915 110,3,2024-09-07 08:21:30:695,1,59,2,0,183,672,59,0 111,0,2024-09-07 08:21:31:437,11846,0.2,11832,0.4,23706,0.1,31773,1.50 111,1,2024-09-07 08:21:31:006,103824,103824,0,0,49287820468,512931245,103181,630,13,382,391690,0 111,2,2024-09-07 08:21:31:152,74927,74927,0,0,3859967,0,2763 111,3,2024-09-07 08:21:30:914,1,59,1,0,300,1347,59,0 112,0,2024-09-07 08:21:30:929,18703,0.5,18815,0.6,37337,0.4,50569,1.75 112,1,2024-09-07 08:21:30:829,103222,103222,0,0,48637136556,512575148,101714,1162,346,381,391580,0 112,2,2024-09-07 08:21:31:139,74790,74789,1,0,4292411,0,5036 112,3,2024-09-07 08:21:30:600,1,59,6,1,282,823,59,0 113,0,2024-09-07 08:21:30:875,17352,0.7,17186,0.8,34906,0.7,46632,1.75 113,1,2024-09-07 08:21:31:686,103634,103634,0,0,48948735982,514194824,101746,1504,384,368,391661,0 113,2,2024-09-07 08:21:31:311,74528,74528,0,0,3536643,0,3021 113,3,2024-09-07 08:21:30:694,1,59,1,0,288,1096,59,0 114,0,2024-09-07 08:21:30:887,11642,4.3,11718,2.8,23176,1.5,31260,2.25 114,1,2024-09-07 08:21:30:716,103253,103253,0,0,48875510180,520161152,100647,1725,881,381,391519,0 114,2,2024-09-07 08:21:30:881,74134,74134,0,0,4123999,0,3925 114,3,2024-09-07 08:21:31:278,1,59,1,0,159,708,59,0 115,0,2024-09-07 08:21:30:583,11411,0.2,11513,0.4,23097,0.1,30519,1.50 115,1,2024-09-07 08:21:30:572,103504,103504,0,0,48717758231,515883032,101132,1885,487,383,391506,0 115,2,2024-09-07 08:21:31:125,74720,74720,0,0,3463129,0,2152 115,3,2024-09-07 08:21:31:004,1,59,0,0,159,456,59,0 116,0,2024-09-07 08:21:31:715,17203,0.9,17105,1.0,34309,1.2,46165,2.00 116,1,2024-09-07 08:21:30:814,102663,102663,0,0,49097491849,524894533,100793,1000,870,382,391605,0 116,2,2024-09-07 08:21:31:766,74239,74239,0,0,4287862,0,3529 116,3,2024-09-07 08:21:30:915,1,59,5,1,252,1223,59,0 117,0,2024-09-07 08:21:30:972,17636,2.8,17747,1.7,35390,4.0,47490,2.25 117,1,2024-09-07 08:21:31:583,103686,103686,0,0,48514907033,515331331,101605,1790,291,371,392033,0 117,2,2024-09-07 08:21:31:151,75400,75400,0,0,3827456,0,3700 117,3,2024-09-07 08:21:31:063,1,59,1,0,490,1677,59,0 118,0,2024-09-07 08:21:31:802,12696,1.0,12970,1.0,26657,1.3,34724,2.25 118,1,2024-09-07 08:21:30:588,103660,103660,0,0,48483346952,512419738,102433,1149,78,368,391736,0 118,2,2024-09-07 08:21:31:594,74436,74436,0,0,4151655,0,2781 118,3,2024-09-07 08:21:31:787,1,59,3,1,235,1086,59,0 119,0,2024-09-07 08:21:31:372,11250,0.3,11310,0.5,23179,0.2,30192,1.75 119,1,2024-09-07 08:21:30:562,103492,103492,0,0,48480364432,512596610,101886,1457,149,371,391617,0 119,2,2024-09-07 08:21:31:269,73451,73451,0,0,3943381,0,2532 119,3,2024-09-07 08:21:31:330,1,59,10,1,443,2063,59,0 120,0,2024-09-07 08:21:31:560,14738,1.0,14654,1.1,29380,1.0,39957,2.50 120,1,2024-09-07 08:21:30:859,103194,103194,0,0,47825931169,507789039,101693,1486,15,368,391702,0 120,2,2024-09-07 08:21:30:776,73825,73824,1,0,4943927,0,5281 120,3,2024-09-07 08:21:31:292,1,59,2,1,241,1051,59,0 121,0,2024-09-07 08:21:31:711,18287,2.8,18411,1.7,36826,4.0,49449,2.75 121,1,2024-09-07 08:21:31:678,103410,103410,0,0,48459708715,513236391,101547,1596,267,368,391807,0 121,2,2024-09-07 08:21:31:148,73770,73770,0,0,5281518,0,4127 121,3,2024-09-07 08:21:30:742,1,59,8,0,101,632,59,0 122,0,2024-09-07 08:21:31:799,15896,2.6,15298,2.3,31935,3.0,42688,2.50 122,1,2024-09-07 08:21:30:870,102371,102371,0,0,47908829549,513702382,99374,2473,524,368,392130,0 122,2,2024-09-07 08:21:31:322,74157,74157,0,0,5363305,0,3364 122,3,2024-09-07 08:21:30:598,1,59,1,1,226,1352,59,0 123,0,2024-09-07 08:21:30:981,11803,0.3,11435,0.6,23747,0.2,31000,1.75 123,1,2024-09-07 08:21:30:572,103214,103214,0,0,48579474696,521474902,99798,2849,567,369,391823,0 123,2,2024-09-07 08:21:31:023,74088,74087,1,0,4297989,0,5215 123,3,2024-09-07 08:21:31:152,1,59,2,1,160,873,59,0 124,0,2024-09-07 08:21:30:968,12787,0.3,12786,0.5,24099,0.2,33640,1.75 124,1,2024-09-07 08:21:31:027,103921,103921,0,0,48568327638,507519891,103131,647,143,367,392178,0 124,2,2024-09-07 08:21:31:023,74062,74062,0,0,3472149,0,2477 124,3,2024-09-07 08:21:30:760,1,59,5,1,490,1523,59,0 125,0,2024-09-07 08:21:31:484,18730,0.7,18785,0.8,37630,0.8,49530,2.00 125,1,2024-09-07 08:21:30:860,103254,103254,0,0,48214555900,510062153,101523,1459,272,384,391702,0 125,2,2024-09-07 08:21:31:124,74362,74362,0,0,3836830,0,2180 125,3,2024-09-07 08:21:31:156,1,59,1,1,93,943,59,0 126,0,2024-09-07 08:21:31:504,17615,1.4,18103,1.1,34590,2.4,47041,2.00 126,1,2024-09-07 08:21:30:555,103843,103843,0,0,48894504620,511798087,102802,989,52,365,391719,0 126,2,2024-09-07 08:21:30:617,74521,74521,0,0,4117425,0,3186 126,3,2024-09-07 08:21:30:910,1,59,1,0,122,867,59,0 127,0,2024-09-07 08:21:31:611,10568,0.3,10414,0.5,20828,0.2,27558,1.50 127,1,2024-09-07 08:21:30:573,103482,103482,0,0,48343187628,505551008,102350,1109,23,365,391614,0 127,2,2024-09-07 08:21:30:645,74603,74603,0,0,3348813,0,1803 127,3,2024-09-07 08:21:31:270,1,59,2,0,99,576,59,0 128,0,2024-09-07 08:21:31:545,11670,0.3,11753,0.5,23142,0.2,31496,1.50 128,1,2024-09-07 08:21:31:613,103451,103451,0,0,48506716999,506487325,102745,637,69,369,391605,0 128,2,2024-09-07 08:21:31:388,73933,73933,0,0,4207141,0,2107 128,3,2024-09-07 08:21:30:772,1,59,11,1,112,817,59,0 129,0,2024-09-07 08:21:31:048,18007,0.5,18069,0.6,35794,0.5,47783,1.75 129,1,2024-09-07 08:21:30:571,103203,103203,0,0,48516176084,514060990,101388,1543,272,379,391835,0 129,2,2024-09-07 08:21:30:707,74008,74008,0,0,3624656,0,2446 129,3,2024-09-07 08:21:30:694,1,59,1,1,173,1072,59,0 130,0,2024-09-07 08:21:31:746,17931,1.7,17799,1.2,35688,2.3,48327,2.25 130,1,2024-09-07 08:21:30:625,103666,103666,0,0,48223853911,508521020,102361,1274,31,381,391609,0 130,2,2024-09-07 08:21:31:131,74843,74843,0,0,4293613,0,4067 130,3,2024-09-07 08:21:31:292,1,59,1,1,207,608,59,0 131,0,2024-09-07 08:21:31:934,12141,1.4,11929,1.2,24320,1.9,32421,2.00 131,1,2024-09-07 08:21:31:822,103821,103821,0,0,48478535105,509594130,102813,822,186,385,391865,0 131,2,2024-09-07 08:21:30:578,73961,73961,0,0,3486980,0,2415 131,3,2024-09-07 08:21:31:705,1,59,3,0,392,1024,59,0 132,0,2024-09-07 08:21:31:541,11663,0.4,11944,0.7,23421,0.3,31446,1.75 132,1,2024-09-07 08:21:30:580,102651,102651,0,0,48003906112,513038486,100088,1858,705,382,391574,0 132,2,2024-09-07 08:21:30:725,73926,73926,0,0,5451444,0,4606 132,3,2024-09-07 08:21:31:688,1,59,2,1,356,959,59,0 133,0,2024-09-07 08:21:31:585,15913,0.6,16112,0.8,33440,0.6,43526,2.00 133,1,2024-09-07 08:21:30:595,102456,102456,0,0,48656667974,518896128,100337,2025,94,383,391755,0 133,2,2024-09-07 08:21:31:096,74583,74583,0,0,4473067,0,2444 133,3,2024-09-07 08:21:31:299,1,59,3,1,187,544,59,0 134,0,2024-09-07 08:21:31:008,18544,1.4,18536,1.2,37092,2.1,49181,2.25 134,1,2024-09-07 08:21:30:587,103455,103455,0,0,48366379626,515333063,100842,1633,980,366,391718,0 134,2,2024-09-07 08:21:31:760,74420,74420,0,0,3583749,0,2026 134,3,2024-09-07 08:21:30:754,1,59,1,1,739,1806,59,0 135,0,2024-09-07 08:21:31:131,13971,2.0,13987,1.8,29543,2.3,38130,2.50 135,1,2024-09-07 08:21:31:585,102773,102773,0,0,48850916359,517593487,100859,1588,326,383,391645,0 135,2,2024-09-07 08:21:30:688,74648,74648,0,0,4348833,0,3981 135,3,2024-09-07 08:21:31:006,1,59,1,0,68,433,59,0 136,0,2024-09-07 08:21:31:664,11686,0.4,11663,0.7,23258,0.3,30501,2.00 136,1,2024-09-07 08:21:31:443,103483,103483,0,0,48281620599,512109403,101588,1748,147,384,391621,0 136,2,2024-09-07 08:21:31:160,74612,74612,0,0,3839287,0,2379 136,3,2024-09-07 08:21:31:155,1,59,2,1,108,806,59,0 137,0,2024-09-07 08:21:30:942,13989,1.1,13617,1.1,27102,1.4,36582,2.25 137,1,2024-09-07 08:21:30:577,103200,103200,0,0,48976727846,518189078,101235,1852,113,368,391608,0 137,2,2024-09-07 08:21:31:717,73964,73964,0,0,4731537,0,2632 137,3,2024-09-07 08:21:30:772,1,59,4,1,227,915,59,0 138,0,2024-09-07 08:21:31:763,17751,3.0,17920,1.8,36289,4.0,48292,3.25 138,1,2024-09-07 08:21:31:686,102980,102980,0,0,49096043343,521017836,100664,2055,261,371,391615,0 138,2,2024-09-07 08:21:30:592,75191,75191,0,0,4035158,0,3263 138,3,2024-09-07 08:21:30:621,1,59,4,1,1160,1743,59,0 139,0,2024-09-07 08:21:31:376,16253,4.8,16219,2.6,32755,5.7,44452,4.00 139,1,2024-09-07 08:21:30:608,102850,102850,0,0,48220913574,520377454,99971,2152,727,381,391892,0 139,2,2024-09-07 08:21:30:699,73683,73683,0,0,5000578,0,3097 139,3,2024-09-07 08:21:31:671,1,59,32,0,244,1267,59,0 140,0,2024-09-07 08:21:31:602,11121,0.3,10937,0.5,22196,0.2,29761,1.75 140,1,2024-09-07 08:21:31:546,104299,104299,0,0,49170582883,512121138,103372,730,197,365,391483,0 140,2,2024-09-07 08:21:30:689,74575,74575,0,0,4255337,0,3388 140,3,2024-09-07 08:21:30:771,1,59,1,0,25,506,59,0 141,0,2024-09-07 08:21:31:717,11640,0.2,12159,0.4,23555,0.1,31762,1.50 141,1,2024-09-07 08:21:30:861,104121,104121,0,0,48778676335,512266794,102702,1088,331,382,391538,0 141,2,2024-09-07 08:21:31:687,75057,75057,0,0,3786118,0,2342 141,3,2024-09-07 08:21:31:045,1,59,2,0,147,494,59,0 142,0,2024-09-07 08:21:31:350,18985,0.4,18749,0.6,37225,0.4,50617,1.75 142,1,2024-09-07 08:21:30:586,103916,103916,0,0,48763927507,510825081,103369,532,15,384,391649,0 142,2,2024-09-07 08:21:31:304,73962,73962,0,0,4069671,0,2293 142,3,2024-09-07 08:21:31:774,1,59,3,1,484,1154,59,0 143,0,2024-09-07 08:21:31:396,17259,1.3,17344,1.0,34984,1.7,46459,2.00 143,1,2024-09-07 08:21:30:568,103639,103639,0,0,48540281083,507304132,102670,944,25,367,391608,0 143,2,2024-09-07 08:21:30:774,74112,74112,0,0,4439872,0,2669 143,3,2024-09-07 08:21:31:153,1,59,15,1,236,1066,59,0 144,0,2024-09-07 08:21:31:516,11095,2.1,11521,7.0,22952,2.2,31057,2.50 144,1,2024-09-07 08:21:30:571,102922,102922,0,0,48089776132,510428477,101360,1373,189,383,391638,0 144,2,2024-09-07 08:21:31:764,74570,74570,0,0,3841353,0,3473 144,3,2024-09-07 08:21:31:747,1,59,2,1,169,1139,59,0 145,0,2024-09-07 08:21:31:372,11073,0.4,11022,0.6,23423,0.2,30407,2.00 145,1,2024-09-07 08:21:30:567,102669,102669,0,0,48894793192,518924516,100750,1657,262,383,391615,0 145,2,2024-09-07 08:21:31:438,74064,74064,0,0,4580422,0,3903 145,3,2024-09-07 08:21:30:895,1,59,1,0,151,857,59,0 146,0,2024-09-07 08:21:31:624,17097,0.9,17128,1.0,34395,1.1,45913,2.25 146,1,2024-09-07 08:21:31:596,103671,103671,0,0,48814304346,518373294,101381,1989,301,370,391600,0 146,2,2024-09-07 08:21:31:702,73586,73586,0,0,4153745,0,2379 146,3,2024-09-07 08:21:31:277,1,59,2,0,1520,2929,59,0 147,0,2024-09-07 08:21:31:708,17621,3.4,17353,2.0,34494,4.9,47585,3.50 147,1,2024-09-07 08:21:31:385,103434,103434,0,0,48404750434,508445450,101728,1352,354,369,391791,0 147,2,2024-09-07 08:21:31:013,75713,75713,0,0,4172950,0,2789 147,3,2024-09-07 08:21:30:913,1,59,2,1,141,758,59,0 0,0,2024-09-07 08:21:41:808,14817,0.9,14828,1.0,31393,1.2,41398,2.00 0,1,2024-09-07 08:21:40:827,105337,105337,0,0,49278568701,522011589,104122,1089,126,372,391673,0 0,2,2024-09-07 08:21:41:073,75374,75374,0,0,4336609,0,4480 0,3,2024-09-07 08:21:40:974,1,60,12,0,247,1049,60,0 1,0,2024-09-07 08:21:41:775,18322,3.2,18326,2.0,36866,4.8,49487,3.25 1,1,2024-09-07 08:21:40:570,104638,104638,0,0,48456525819,514263095,102957,1154,527,372,391857,0 1,2,2024-09-07 08:21:40:651,75444,75444,0,0,3937813,0,3267 1,3,2024-09-07 08:21:41:315,1,60,0,0,167,661,60,0 2,0,2024-09-07 08:21:41:576,15738,1.8,15933,1.5,31528,3.8,42060,2.50 2,1,2024-09-07 08:21:40:860,105098,105098,0,0,49451866159,519253645,104021,874,203,382,391558,0 2,2,2024-09-07 08:21:41:266,74792,74792,0,0,4106774,0,3304 2,3,2024-09-07 08:21:40:700,1,60,1,0,214,682,60,0 3,0,2024-09-07 08:21:41:762,12039,0.3,11998,0.6,24075,0.2,31553,1.75 3,1,2024-09-07 08:21:41:625,104737,104737,0,0,48865522147,516348432,102759,1592,386,382,391514,0 3,2,2024-09-07 08:21:41:246,75268,75245,23,0,4577717,0,5851 3,3,2024-09-07 08:21:41:752,1,60,0,0,103,545,60,0 4,0,2024-09-07 08:21:41:796,12542,0.3,12846,0.5,26164,0.2,34784,1.75 4,1,2024-09-07 08:21:40:643,105025,105025,0,0,49249796721,524289411,103178,1431,416,371,391846,0 4,2,2024-09-07 08:21:41:047,75547,75547,0,0,4972028,0,4528 4,3,2024-09-07 08:21:41:052,1,60,11,1,287,1176,60,0 5,0,2024-09-07 08:21:41:422,18892,0.9,18645,0.9,37739,1.1,49736,2.00 5,1,2024-09-07 08:21:40:822,104731,104731,0,0,49473097726,525907575,102535,1757,439,368,392005,0 5,2,2024-09-07 08:21:41:836,75330,75330,0,0,3922089,0,2259 5,3,2024-09-07 08:21:41:745,1,60,18,1,238,1358,60,0 6,0,2024-09-07 08:21:40:943,17855,1.6,17584,1.5,35171,2.4,47477,3.25 6,1,2024-09-07 08:21:40:747,105466,105466,0,0,49039930689,514714966,104363,1034,69,381,391603,0 6,2,2024-09-07 08:21:41:119,75771,75771,0,0,4114658,0,2411 6,3,2024-09-07 08:21:41:274,1,60,2,1,340,1405,60,0 7,0,2024-09-07 08:21:41:565,10540,0.3,10490,0.5,21144,0.2,28098,1.75 7,1,2024-09-07 08:21:40:857,105236,105236,0,0,49392092725,519255147,104256,916,64,383,391664,0 7,2,2024-09-07 08:21:40:769,75396,75396,0,0,3865681,0,2981 7,3,2024-09-07 08:21:40:864,1,60,6,0,83,579,60,0 8,0,2024-09-07 08:21:41:375,12357,0.3,12169,0.5,24001,0.2,32829,1.75 8,1,2024-09-07 08:21:41:067,105059,105059,0,0,49153572748,530265260,101587,2220,1252,368,391724,0 8,2,2024-09-07 08:21:40:808,74615,74615,0,0,4773722,0,2986 8,3,2024-09-07 08:21:40:597,1,60,4,0,229,989,60,0 9,0,2024-09-07 08:21:41:210,18130,0.4,17692,0.6,36969,0.4,48380,1.75 9,1,2024-09-07 08:21:40:576,105231,105231,0,0,49463609972,525864499,102954,1711,566,370,391576,0 9,2,2024-09-07 08:21:41:084,75724,75724,0,0,4378709,0,3360 9,3,2024-09-07 08:21:41:752,1,60,4,0,149,952,60,0 10,0,2024-09-07 08:21:41:618,18029,1.2,18072,1.1,36202,1.4,48663,2.75 10,1,2024-09-07 08:21:40:603,104500,104500,0,0,48719283528,522040573,101166,2812,522,383,391541,0 10,2,2024-09-07 08:21:40:762,75197,75197,0,0,4546680,0,2940 10,3,2024-09-07 08:21:40:875,1,60,15,0,136,539,60,0 11,0,2024-09-07 08:21:41:039,11865,2.8,11606,1.9,24332,5.3,32863,2.50 11,1,2024-09-07 08:21:40:572,104693,104693,0,0,48676415107,518972488,102056,1822,815,384,391537,0 11,2,2024-09-07 08:21:41:123,75488,75488,0,0,4255019,0,2635 11,3,2024-09-07 08:21:41:298,1,60,2,0,720,2166,60,0 12,0,2024-09-07 08:21:40:980,12138,0.3,12232,0.5,24247,0.2,32220,1.75 12,1,2024-09-07 08:21:40:947,104879,104879,0,0,48569763247,514091536,103245,1535,99,371,391790,0 12,2,2024-09-07 08:21:41:564,76277,76277,0,0,4013909,0,2254 12,3,2024-09-07 08:21:41:067,1,60,7,1,358,1329,60,0 13,0,2024-09-07 08:21:41:434,16733,0.4,16826,0.6,33577,0.4,44991,1.75 13,1,2024-09-07 08:21:41:549,105237,105237,0,0,49242770524,523085037,103651,1220,366,384,391717,0 13,2,2024-09-07 08:21:40:598,75622,75622,0,0,3758648,0,3287 13,3,2024-09-07 08:21:41:764,1,60,1,1,373,1186,60,0 14,0,2024-09-07 08:21:40:600,18610,1.2,18676,1.2,37039,1.5,49285,2.50 14,1,2024-09-07 08:21:41:566,106025,106025,0,0,49322335989,514817828,105147,848,30,365,391546,0 14,2,2024-09-07 08:21:40:765,75566,75566,0,0,4285468,0,2793 14,3,2024-09-07 08:21:41:120,1,60,0,0,906,1256,60,0 15,0,2024-09-07 08:21:41:581,14396,2.5,14255,1.7,28601,4.6,38394,3.25 15,1,2024-09-07 08:21:41:612,105197,105197,0,0,49103707271,514443305,104414,748,35,381,391536,0 15,2,2024-09-07 08:21:41:000,75873,75873,0,0,3376658,0,3043 15,3,2024-09-07 08:21:41:410,1,60,44,0,538,1832,60,0 16,0,2024-09-07 08:21:41:021,11574,0.4,11798,0.6,23131,0.3,30373,2.00 16,1,2024-09-07 08:21:40:586,104848,104848,0,0,48476941393,512445463,103729,1095,24,372,391543,0 16,2,2024-09-07 08:21:41:443,74606,74606,0,0,4682219,0,4719 16,3,2024-09-07 08:21:41:153,1,60,5,1,231,1202,60,0 17,0,2024-09-07 08:21:41:818,14363,0.6,14124,0.8,27638,0.6,37632,2.00 17,1,2024-09-07 08:21:40:616,104830,104830,0,0,49302841416,525499258,102963,1345,522,369,391688,0 17,2,2024-09-07 08:21:41:678,76074,76074,0,0,3598278,0,2857 17,3,2024-09-07 08:21:40:601,1,60,1,0,268,1316,60,0 18,0,2024-09-07 08:21:40:975,17845,1.8,18053,1.4,36360,2.1,48033,2.75 18,1,2024-09-07 08:21:41:638,104867,104867,0,0,48519579255,509688674,103619,1030,218,368,391555,0 18,2,2024-09-07 08:21:41:757,75329,75329,0,0,4476236,0,3541 18,3,2024-09-07 08:21:40:899,1,60,1,0,163,907,60,0 19,0,2024-09-07 08:21:41:553,16609,1.9,16694,1.6,33024,2.1,44079,5.50 19,1,2024-09-07 08:21:40:569,105339,105339,0,0,49890332770,526725957,103475,1701,163,367,391696,0 19,2,2024-09-07 08:21:41:752,75758,75758,0,0,4100891,0,3988 19,3,2024-09-07 08:21:41:131,1,60,8,0,524,1052,60,0 20,0,2024-09-07 08:21:41:580,11459,0.3,11479,0.5,22627,0.2,30238,2.00 20,1,2024-09-07 08:21:40:589,105287,105287,0,0,49725211448,525688246,103597,1528,162,370,391598,0 20,2,2024-09-07 08:21:40:948,75428,75428,0,0,3728927,0,2446 20,3,2024-09-07 08:21:40:590,1,60,3,1,99,838,60,0 21,0,2024-09-07 08:21:41:241,12420,0.4,12388,0.6,24429,0.3,32345,2.00 21,1,2024-09-07 08:21:41:564,104585,104585,0,0,48827740126,520256251,102344,1752,489,368,391962,0 21,2,2024-09-07 08:21:41:300,75712,75712,0,0,4066263,0,3747 21,3,2024-09-07 08:21:41:406,1,60,6,0,93,965,60,0 22,0,2024-09-07 08:21:41:725,18930,2.0,18840,1.4,37647,3.5,51066,3.25 22,1,2024-09-07 08:21:41:041,104725,104725,0,0,48734273644,520989256,101686,2314,725,382,391667,0 22,2,2024-09-07 08:21:40:761,75591,75591,0,0,3612888,0,3134 22,3,2024-09-07 08:21:41:068,1,60,2,0,62,347,60,0 23,0,2024-09-07 08:21:41:408,17515,1.7,17666,1.2,35187,2.5,47292,2.75 23,1,2024-09-07 08:21:41:011,105593,105593,0,0,49361468616,516553712,104247,1092,254,368,391496,0 23,2,2024-09-07 08:21:41:094,75561,75561,0,0,3813192,0,2078 23,3,2024-09-07 08:21:41:756,1,60,21,0,645,1276,60,0 24,0,2024-09-07 08:21:40:868,11985,0.7,12063,0.8,23913,0.8,31711,1.75 24,1,2024-09-07 08:21:40:630,105650,105650,0,0,48788080232,511582047,104848,795,7,369,391640,0 24,2,2024-09-07 08:21:41:077,75260,75260,0,0,4834375,0,2942 24,3,2024-09-07 08:21:41:694,1,60,16,1,234,940,60,0 25,0,2024-09-07 08:21:41:445,12029,0.3,11817,0.5,22879,0.2,31215,1.75 25,1,2024-09-07 08:21:40:596,104910,104910,0,0,48639482215,517639302,102769,1827,314,371,391788,0 25,2,2024-09-07 08:21:41:608,75251,75251,0,0,4387788,0,3284 25,3,2024-09-07 08:21:41:054,1,60,7,1,158,627,60,0 26,0,2024-09-07 08:21:41:729,17487,0.5,17142,0.6,35696,0.5,47236,1.75 26,1,2024-09-07 08:21:41:546,104994,104994,0,0,48945438471,524672573,101534,2625,835,381,391564,0 26,2,2024-09-07 08:21:40:862,75723,75723,0,0,4518887,0,2809 26,3,2024-09-07 08:21:41:727,1,60,1,0,796,1224,60,0 27,0,2024-09-07 08:21:41:736,17841,1.4,17933,1.1,35816,2.0,48154,2.25 27,1,2024-09-07 08:21:41:686,105802,105802,0,0,49982728709,522592700,104733,774,295,381,391539,0 27,2,2024-09-07 08:21:40:868,74940,74940,0,0,5480177,0,3409 27,3,2024-09-07 08:21:41:039,1,60,1,0,564,1005,60,0 28,0,2024-09-07 08:21:41:409,13184,0.8,13350,0.9,26486,1.1,35202,2.00 28,1,2024-09-07 08:21:40:807,105549,105549,0,0,50120135252,526171978,104491,858,200,383,391646,0 28,2,2024-09-07 08:21:41:770,75409,75409,0,0,3589915,0,2609 28,3,2024-09-07 08:21:41:782,1,60,1,0,502,1135,60,0 29,0,2024-09-07 08:21:41:367,11778,0.3,11525,0.5,22720,0.2,30598,1.75 29,1,2024-09-07 08:21:41:568,106323,106323,0,0,49206799133,511764451,105580,571,172,369,391621,0 29,2,2024-09-07 08:21:40:862,75886,75886,0,0,3134235,0,2026 29,3,2024-09-07 08:21:40:963,1,60,4,0,105,642,60,0 30,0,2024-09-07 08:21:41:468,15305,0.7,14912,0.8,31075,0.7,41390,2.25 30,1,2024-09-07 08:21:40:579,105240,105240,0,0,50012614412,524413036,104267,908,65,382,391524,0 30,2,2024-09-07 08:21:41:276,75939,75939,0,0,3181354,0,2534 30,3,2024-09-07 08:21:40:602,1,60,4,0,195,603,60,0 31,0,2024-09-07 08:21:41:770,18527,1.8,18374,1.3,37306,3.2,49212,3.25 31,1,2024-09-07 08:21:40:569,105614,105614,0,0,49666175076,511877289,105365,247,2,356,391553,0 31,2,2024-09-07 08:21:41:276,75940,75940,0,0,4575684,0,3525 31,3,2024-09-07 08:21:41:712,1,60,0,0,129,534,60,0 32,0,2024-09-07 08:21:41:438,16031,1.7,16163,1.2,32416,3.1,42696,2.00 32,1,2024-09-07 08:21:40:809,105126,105126,0,0,49428857920,517959832,104127,970,29,382,391595,0 32,2,2024-09-07 08:21:40:936,75890,75890,0,0,3835941,0,3155 32,3,2024-09-07 08:21:41:038,1,60,1,0,110,615,60,0 33,0,2024-09-07 08:21:41:540,12025,0.2,11873,0.4,24167,0.2,31461,1.75 33,1,2024-09-07 08:21:40:601,105400,105400,0,0,50143038347,525729495,103739,1538,123,369,391526,0 33,2,2024-09-07 08:21:40:760,75254,75221,33,0,5405052,0,7012 33,3,2024-09-07 08:21:40:898,1,60,3,1,63,359,60,0 34,0,2024-09-07 08:21:40:940,12851,0.2,13268,0.4,25541,0.2,34675,1.50 34,1,2024-09-07 08:21:41:046,105668,105668,0,0,49667852637,515179155,105076,588,4,367,391517,0 34,2,2024-09-07 08:21:40:774,75401,75401,0,0,4421012,0,3255 34,3,2024-09-07 08:21:41:700,1,60,4,0,148,663,60,0 35,0,2024-09-07 08:21:40:874,18600,1.0,18740,0.9,37535,1.4,49633,2.00 35,1,2024-09-07 08:21:41:074,105413,105413,0,0,50145237697,525141588,103948,1104,361,384,391587,0 35,2,2024-09-07 08:21:41:584,75405,75405,0,0,3833765,0,2542 35,3,2024-09-07 08:21:40:910,1,60,2,0,219,1029,60,0 36,0,2024-09-07 08:21:41:528,17831,2.2,17683,1.5,35782,3.4,47528,2.75 36,1,2024-09-07 08:21:40:612,105024,105024,0,0,49558249605,527288461,102013,2080,931,366,391535,0 36,2,2024-09-07 08:21:41:751,75272,75272,0,0,4456990,0,3303 36,3,2024-09-07 08:21:40:864,1,60,1,0,378,1047,60,0 37,0,2024-09-07 08:21:41:429,10592,0.3,10550,0.5,21246,0.2,28225,1.75 37,1,2024-09-07 08:21:40:587,104543,104536,0,7,49316371651,528277813,101698,1321,1517,365,391518,0 37,2,2024-09-07 08:21:41:142,75647,75647,0,0,3391120,0,2333 37,3,2024-09-07 08:21:41:767,1,60,1,0,724,1744,60,0 38,0,2024-09-07 08:21:41:463,12165,0.3,11779,0.5,24515,0.2,32700,1.75 38,1,2024-09-07 08:21:41:607,105118,105118,0,0,49173314494,520111053,102976,1695,447,370,391513,0 38,2,2024-09-07 08:21:40:765,75795,75795,0,0,4146448,0,3245 38,3,2024-09-07 08:21:40:997,1,60,1,0,603,1009,60,0 39,0,2024-09-07 08:21:41:775,18708,0.5,18497,0.6,35890,0.5,48693,1.75 39,1,2024-09-07 08:21:40:724,105228,105228,0,0,48832501812,517537337,102639,1951,638,366,391524,0 39,2,2024-09-07 08:21:41:422,75764,75764,0,0,3791939,0,2381 39,3,2024-09-07 08:21:40:722,1,60,3,0,276,1170,60,0 40,0,2024-09-07 08:21:41:504,17687,2.3,18017,2.4,35471,4.8,48203,3.75 40,1,2024-09-07 08:21:40:708,104850,104850,0,0,48355868203,515877905,101600,2497,753,370,391591,0 40,2,2024-09-07 08:21:41:303,75286,75285,1,0,4810768,0,5137 40,3,2024-09-07 08:21:41:149,1,60,2,1,110,735,60,0 41,0,2024-09-07 08:21:41:053,11626,1.4,11937,6.3,22861,4.8,31751,3.50 41,1,2024-09-07 08:21:40:770,105028,105028,0,0,49579047189,525327900,102721,1994,313,370,391484,0 41,2,2024-09-07 08:21:40:760,75746,75746,0,0,4915209,0,3356 41,3,2024-09-07 08:21:41:676,1,60,1,0,168,647,60,0 42,0,2024-09-07 08:21:41:494,11980,0.4,12173,0.7,24218,0.3,32273,2.00 42,1,2024-09-07 08:21:41:446,104725,104725,0,0,48561542052,519603330,101732,2163,830,381,391511,0 42,2,2024-09-07 08:21:41:141,75739,75739,0,0,4305348,0,3568 42,3,2024-09-07 08:21:41:011,1,60,2,0,100,551,60,0 43,0,2024-09-07 08:21:40:930,16694,1.4,16278,1.1,33973,2.2,45105,2.00 43,1,2024-09-07 08:21:40:581,105032,105032,0,0,48909486100,517196005,102842,1438,752,367,391604,0 43,2,2024-09-07 08:21:41:743,75801,75801,0,0,4531161,0,3812 43,3,2024-09-07 08:21:41:753,1,60,1,0,292,1163,60,0 44,0,2024-09-07 08:21:40:872,18656,1.2,18616,1.2,37021,1.3,49801,2.25 44,1,2024-09-07 08:21:40:571,105403,105403,0,0,48622262919,506869549,104386,910,107,356,391523,0 44,2,2024-09-07 08:21:41:267,75855,75855,0,0,3323677,0,1865 44,3,2024-09-07 08:21:41:105,1,60,1,1,817,1312,60,0 45,0,2024-09-07 08:21:41:765,14192,3.0,13918,1.8,29217,5.6,38684,2.25 45,1,2024-09-07 08:21:41:024,105399,105399,0,0,49839340181,519962573,104933,465,1,382,391917,0 45,2,2024-09-07 08:21:41:276,75910,75910,0,0,3932520,0,2628 45,3,2024-09-07 08:21:40:934,1,60,1,1,226,762,60,0 46,0,2024-09-07 08:21:40:967,11694,0.2,11657,0.5,23446,0.1,30395,1.50 46,1,2024-09-07 08:21:40:580,105408,105408,0,0,49634636748,516438527,104578,794,36,368,391514,0 46,2,2024-09-07 08:21:40:603,75480,75480,0,0,3867475,0,2920 46,3,2024-09-07 08:21:41:131,1,60,1,0,315,1033,60,0 47,0,2024-09-07 08:21:41:111,13970,0.4,14209,0.6,28251,0.4,37456,1.75 47,1,2024-09-07 08:21:40:573,105780,105780,0,0,49139081414,509684822,105272,504,4,366,391605,0 47,2,2024-09-07 08:21:40:913,76647,76647,0,0,3845661,0,2558 47,3,2024-09-07 08:21:41:118,1,60,0,0,529,1056,60,0 48,0,2024-09-07 08:21:41:501,18680,0.4,18447,0.6,36581,0.4,48791,1.75 48,1,2024-09-07 08:21:41:043,105442,105442,0,0,49607792203,520181824,104246,1191,5,386,391637,0 48,2,2024-09-07 08:21:40:701,76095,76095,0,0,3184116,0,2083 48,3,2024-09-07 08:21:40:759,1,60,1,0,30,578,60,0 49,0,2024-09-07 08:21:41:739,17318,1.7,16837,1.3,33157,3.2,45533,2.00 49,1,2024-09-07 08:21:41:042,105094,105094,0,0,49095309541,518978437,103357,1028,709,382,391583,0 49,2,2024-09-07 08:21:41:805,75574,75574,0,0,4281007,0,3900 49,3,2024-09-07 08:21:41:421,1,60,5,0,274,790,60,0 50,0,2024-09-07 08:21:41:527,11555,0.2,11289,0.4,22853,0.1,30734,1.50 50,1,2024-09-07 08:21:41:012,105889,105889,0,0,49627939307,520246755,104223,1369,297,368,391530,0 50,2,2024-09-07 08:21:41:068,75852,75852,0,0,3505376,0,2253 50,3,2024-09-07 08:21:41:299,1,60,1,1,335,867,60,0 51,0,2024-09-07 08:21:41:705,12472,0.2,12192,0.4,23948,0.2,32590,1.50 51,1,2024-09-07 08:21:41:687,105125,105125,0,0,49721433192,522410171,103327,1016,782,367,391637,0 51,2,2024-09-07 08:21:41:332,75752,75752,0,0,3037554,0,2448 51,3,2024-09-07 08:21:41:028,1,60,11,0,162,466,60,0 52,0,2024-09-07 08:21:41:438,19228,0.9,19313,1.0,38599,1.1,51706,2.25 52,1,2024-09-07 08:21:40:590,105059,105059,0,0,48768374294,518520100,102563,2032,464,368,391529,0 52,2,2024-09-07 08:21:41:757,75406,75406,0,0,4114072,0,4779 52,3,2024-09-07 08:21:40:679,1,60,1,1,1782,2378,60,0 53,0,2024-09-07 08:21:41:744,17506,2.6,16804,1.7,34831,3.6,46601,3.00 53,1,2024-09-07 08:21:40:791,104802,104802,0,0,49194401992,522879197,102199,2029,574,370,391617,0 53,2,2024-09-07 08:21:41:298,76200,76200,0,0,3540246,0,1902 53,3,2024-09-07 08:21:40:706,1,60,1,0,59,384,60,0 54,0,2024-09-07 08:21:41:634,11057,10.0,11192,4.9,22156,2.2,30653,5.25 54,1,2024-09-07 08:21:40:580,104976,104976,0,0,49500915543,522083022,102868,1792,316,367,391520,0 54,2,2024-09-07 08:21:40:866,76250,76244,6,0,4251671,0,5382 54,3,2024-09-07 08:21:40:763,1,60,13,0,676,1526,60,0 55,0,2024-09-07 08:21:41:773,11436,0.3,11740,0.6,23972,0.2,30904,2.00 55,1,2024-09-07 08:21:40:765,104693,104693,0,0,48698978813,517611571,101268,2723,702,367,391731,0 55,2,2024-09-07 08:21:40:739,75385,75385,0,0,4363488,0,3275 55,3,2024-09-07 08:21:40:687,1,60,93,0,136,765,60,0 56,0,2024-09-07 08:21:41:591,17970,1.8,17009,1.4,35015,2.7,47107,2.50 56,1,2024-09-07 08:21:40:580,104637,104637,0,0,49404310701,531759067,101258,2633,746,383,391678,0 56,2,2024-09-07 08:21:41:303,76293,76293,0,0,4710228,0,3567 56,3,2024-09-07 08:21:41:067,1,60,1,0,297,915,60,0 57,0,2024-09-07 08:21:40:974,17508,3.9,17186,2.2,34718,6.2,46793,4.75 57,1,2024-09-07 08:21:40:988,104724,104724,0,0,48833604185,515249228,103251,1453,20,368,391760,0 57,2,2024-09-07 08:21:41:324,76002,76002,0,0,4692565,0,3178 57,3,2024-09-07 08:21:41:739,1,60,1,1,359,1429,60,0 58,0,2024-09-07 08:21:40:564,12471,0.9,12121,1.2,25346,0.8,33215,3.00 58,1,2024-09-07 08:21:40:590,105876,105874,0,2,49777991315,522554575,104278,1437,159,369,391516,2 58,2,2024-09-07 08:21:41:074,75649,75649,0,0,4454552,0,2549 58,3,2024-09-07 08:21:41:068,1,60,1,1,219,769,60,0 59,0,2024-09-07 08:21:41:770,11675,0.7,11506,0.9,23213,0.6,30273,2.25 59,1,2024-09-07 08:21:40:807,104721,104721,0,0,49025207720,521011003,102475,1479,767,369,391515,0 59,2,2024-09-07 08:21:40:597,75815,75815,0,0,3888010,0,2604 59,3,2024-09-07 08:21:41:745,1,60,20,0,1015,1654,60,0 60,0,2024-09-07 08:21:41:749,15414,0.6,15527,0.7,30709,0.8,41522,1.75 60,1,2024-09-07 08:21:40:776,105995,105995,0,0,48968080116,513086297,104924,727,344,370,391712,0 60,2,2024-09-07 08:21:41:149,75501,75501,0,0,3631663,0,2142 60,3,2024-09-07 08:21:41:266,1,60,4,0,124,814,60,0 61,0,2024-09-07 08:21:41:508,18400,3.1,18414,1.8,36820,4.6,49373,2.50 61,1,2024-09-07 08:21:40:774,104971,104971,0,0,49338329465,525657110,102420,2017,534,383,391589,0 61,2,2024-09-07 08:21:41:126,76020,76020,0,0,3709960,0,1846 61,3,2024-09-07 08:21:41:694,1,60,2,0,199,1059,60,0 62,0,2024-09-07 08:21:41:724,16141,1.9,16453,1.3,31530,3.7,42622,2.25 62,1,2024-09-07 08:21:41:114,105861,105855,0,6,49972399549,521013324,104604,1214,37,366,391586,6 62,2,2024-09-07 08:21:41:650,75252,75252,0,0,4262537,0,2931 62,3,2024-09-07 08:21:41:143,1,60,1,0,287,607,60,0 63,0,2024-09-07 08:21:41:477,11937,0.4,11903,0.6,23912,0.2,31561,1.75 63,1,2024-09-07 08:21:40:807,106268,106264,0,4,49958365824,518881634,105865,398,1,381,391540,4 63,2,2024-09-07 08:21:40:763,75228,75228,0,0,3789891,0,2674 63,3,2024-09-07 08:21:41:732,1,60,15,0,667,1779,60,0 64,0,2024-09-07 08:21:41:543,12775,0.4,12801,0.6,25664,0.3,34663,1.75 64,1,2024-09-07 08:21:40:750,105356,105356,0,0,49249261537,524427103,102922,1641,793,371,391783,0 64,2,2024-09-07 08:21:41:147,75986,75967,19,0,4314803,0,6121 64,3,2024-09-07 08:21:41:148,1,60,1,1,265,967,60,0 65,0,2024-09-07 08:21:41:728,18251,2.6,18557,1.7,36939,3.5,49103,3.75 65,1,2024-09-07 08:21:40:863,104919,104919,0,0,48633803489,515152384,103212,1488,219,382,391569,0 65,2,2024-09-07 08:21:41:703,75956,75956,0,0,4398828,0,3367 65,3,2024-09-07 08:21:41:684,1,60,1,0,163,810,60,0 66,0,2024-09-07 08:21:41:778,17301,1.1,17486,1.2,35140,1.3,46943,3.00 66,1,2024-09-07 08:21:41:293,106014,106014,0,0,49491537492,516355974,105325,668,21,381,391537,0 66,2,2024-09-07 08:21:41:135,76111,76111,0,0,4056945,0,3867 66,3,2024-09-07 08:21:41:081,1,60,1,1,291,914,60,0 67,0,2024-09-07 08:21:41:431,10654,0.6,10691,0.8,21125,0.5,28143,2.25 67,1,2024-09-07 08:21:40:767,104926,104925,0,1,49084644208,520996524,102907,1493,525,382,391787,1 67,2,2024-09-07 08:21:40:596,76293,76293,0,0,3717663,0,2889 67,3,2024-09-07 08:21:41:750,1,60,1,0,138,631,60,0 68,0,2024-09-07 08:21:40:738,12138,0.5,12067,0.8,24133,0.4,32532,2.00 68,1,2024-09-07 08:21:40:581,104870,104870,0,0,48958745639,517660574,103470,878,522,382,391953,0 68,2,2024-09-07 08:21:41:050,75761,75761,0,0,3750601,0,4883 68,3,2024-09-07 08:21:40:736,1,60,34,1,63,649,60,0 69,0,2024-09-07 08:21:41:761,18130,1.1,18144,1.1,36080,1.3,48284,2.50 69,1,2024-09-07 08:21:41:059,104533,104533,0,0,49035990770,524953628,102589,1079,865,386,391532,0 69,2,2024-09-07 08:21:41:741,75967,75967,0,0,4209481,0,3153 69,3,2024-09-07 08:21:40:767,1,60,22,0,238,1112,60,0 70,0,2024-09-07 08:21:41:567,17747,3.9,17694,2.8,35797,3.4,47755,5.00 70,1,2024-09-07 08:21:40:804,105514,105514,0,0,49964851790,520938060,104603,777,134,369,391527,0 70,2,2024-09-07 08:21:41:333,75467,75467,0,0,4195906,0,4044 70,3,2024-09-07 08:21:40:746,1,60,21,0,178,462,60,0 71,0,2024-09-07 08:21:41:379,11766,2.3,11737,4.6,23091,3.3,31970,4.50 71,1,2024-09-07 08:21:41:600,105032,105032,0,0,49571906120,523601290,102830,1886,316,368,391682,0 71,2,2024-09-07 08:21:41:069,75672,75672,0,0,3803332,0,2146 71,3,2024-09-07 08:21:41:750,1,60,25,0,174,583,60,0 72,0,2024-09-07 08:21:41:039,12395,0.4,11966,0.6,23963,0.3,32326,2.50 72,1,2024-09-07 08:21:41:049,105762,105762,0,0,49079746348,515751205,104219,1465,78,370,391556,0 72,2,2024-09-07 08:21:41:758,75107,75107,0,0,5082966,0,2570 72,3,2024-09-07 08:21:41:757,1,60,1,0,325,1256,60,0 73,0,2024-09-07 08:21:41:109,16302,0.5,16757,0.7,33993,0.5,44870,2.50 73,1,2024-09-07 08:21:40:786,105237,105237,0,0,49331917268,516885957,104275,919,43,368,391627,0 73,2,2024-09-07 08:21:41:761,76427,76427,0,0,4614183,0,3482 73,3,2024-09-07 08:21:40:969,1,60,0,0,274,1215,60,0 74,0,2024-09-07 08:21:41:344,18563,2.1,18899,1.5,36365,3.5,49330,3.75 74,1,2024-09-07 08:21:40:647,105154,105154,0,0,48736376229,514535201,103258,1324,572,382,391497,0 74,2,2024-09-07 08:21:41:039,75478,75478,0,0,4900158,0,4253 74,3,2024-09-07 08:21:41:442,1,60,8,0,246,1332,60,0 75,0,2024-09-07 08:21:41:784,14442,2.9,14461,1.8,28885,5.1,38772,3.50 75,1,2024-09-07 08:21:41:585,105536,105536,0,0,49192479647,519409298,103852,1580,104,382,391514,0 75,2,2024-09-07 08:21:41:352,75325,75325,0,0,4723099,0,4766 75,3,2024-09-07 08:21:41:067,1,60,2,0,143,401,60,0 76,0,2024-09-07 08:21:40:609,11686,0.3,11570,0.6,23245,0.2,30236,2.00 76,1,2024-09-07 08:21:40:839,104921,104921,0,0,48407780389,513543269,103118,1297,506,382,391530,0 76,2,2024-09-07 08:21:41:061,75867,75867,0,0,3839565,0,3064 76,3,2024-09-07 08:21:41:148,1,60,1,0,175,972,60,0 77,0,2024-09-07 08:21:41:726,13941,0.9,14065,1.0,28052,1.2,37499,2.00 77,1,2024-09-07 08:21:40:937,105072,105072,0,0,49211959510,517052848,104040,963,69,383,391516,0 77,2,2024-09-07 08:21:41:294,76268,76268,0,0,3282857,0,1695 77,3,2024-09-07 08:21:41:095,1,60,1,0,139,665,60,0 78,0,2024-09-07 08:21:41:735,18287,1.5,18235,1.2,36681,2.2,48482,2.50 78,1,2024-09-07 08:21:40:622,105696,105696,0,0,48146530702,506691169,104279,1213,204,367,391589,0 78,2,2024-09-07 08:21:41:407,76108,76108,0,0,3337548,0,2114 78,3,2024-09-07 08:21:41:134,1,60,2,0,181,1001,60,0 79,0,2024-09-07 08:21:41:428,16283,1.1,16658,1.2,34094,1.4,44517,3.25 79,1,2024-09-07 08:21:40:589,105337,105337,0,0,49311673368,516861704,104000,1268,69,370,391609,0 79,2,2024-09-07 08:21:41:069,75346,75346,0,0,3790076,0,2679 79,3,2024-09-07 08:21:40:748,1,60,2,0,289,828,60,0 80,0,2024-09-07 08:21:41:110,11356,0.4,11684,0.7,22605,0.3,30513,2.00 80,1,2024-09-07 08:21:41:629,104715,104715,0,0,49518497660,520303012,103130,1470,115,369,391673,0 80,2,2024-09-07 08:21:41:105,75560,75560,0,0,4126390,0,4433 80,3,2024-09-07 08:21:40:586,1,60,10,1,190,1201,60,0 81,0,2024-09-07 08:21:41:557,12325,0.5,12649,0.7,24215,0.4,32596,2.00 81,1,2024-09-07 08:21:41:656,104900,104900,0,0,49236925464,521520974,103017,1685,198,383,391680,0 81,2,2024-09-07 08:21:41:136,75430,75430,0,0,4387827,0,3993 81,3,2024-09-07 08:21:41:131,1,60,7,0,193,762,60,0 82,0,2024-09-07 08:21:41:567,19067,1.0,19122,1.1,38216,1.3,51652,2.25 82,1,2024-09-07 08:21:40:595,104801,104799,0,2,49251834511,520361759,102764,1463,572,383,391558,2 82,2,2024-09-07 08:21:41:694,76651,76651,0,0,3367710,0,2230 82,3,2024-09-07 08:21:41:755,1,60,1,0,227,1285,60,0 83,0,2024-09-07 08:21:41:551,17471,1.9,17447,1.6,34622,2.2,46372,3.25 83,1,2024-09-07 08:21:40:552,105230,105230,0,0,49249299320,519612246,103815,1315,100,383,391553,0 83,2,2024-09-07 08:21:40:765,74837,74837,0,0,4352011,0,3119 83,3,2024-09-07 08:21:40:751,1,60,1,0,91,568,60,0 84,0,2024-09-07 08:21:41:974,11432,5.7,11320,5.2,22792,1.5,31201,3.25 84,1,2024-09-07 08:21:41:042,104993,104993,0,0,49150491059,520131591,103391,1355,247,369,391638,0 84,2,2024-09-07 08:21:40:578,75462,75462,0,0,4110124,0,3801 84,3,2024-09-07 08:21:41:183,1,60,3,1,43,628,60,0 85,0,2024-09-07 08:21:41:039,11414,0.4,11408,0.6,24154,0.3,31032,2.00 85,1,2024-09-07 08:21:40:585,104610,104610,0,0,49292401483,526789037,102166,2016,428,384,391652,0 85,2,2024-09-07 08:21:40:869,75269,75269,0,0,4467189,0,3656 85,3,2024-09-07 08:21:40:694,1,60,3,0,115,710,60,0 86,0,2024-09-07 08:21:40:941,17395,0.8,18152,0.8,34988,1.0,47100,2.00 86,1,2024-09-07 08:21:40:872,104705,104705,0,0,49351546360,520983538,102916,1573,216,367,391622,0 86,2,2024-09-07 08:21:40:860,75565,75564,1,0,4714247,0,5004 86,3,2024-09-07 08:21:40:607,1,60,9,0,199,841,60,0 87,0,2024-09-07 08:21:41:371,17733,3.3,17668,2.0,35540,4.3,47837,3.50 87,1,2024-09-07 08:21:40:560,104652,104652,0,0,49178334454,519601239,103083,1449,120,368,391671,0 87,2,2024-09-07 08:21:41:351,75979,75979,0,0,3597703,0,2859 87,3,2024-09-07 08:21:41:827,1,60,8,1,322,1107,60,0 88,0,2024-09-07 08:21:41:491,13184,1.0,13358,1.0,26381,1.1,35115,2.00 88,1,2024-09-07 08:21:40:620,104612,104612,0,0,49552203365,521784971,103409,1108,95,367,391747,0 88,2,2024-09-07 08:21:40:703,75709,75709,0,0,4677683,0,3583 88,3,2024-09-07 08:21:41:269,1,60,22,1,77,793,60,0 89,0,2024-09-07 08:21:41:807,11938,0.3,11542,0.6,22963,0.3,30650,1.75 89,1,2024-09-07 08:21:40:586,104110,104110,0,0,49069472552,528362086,100891,2484,735,383,391866,0 89,2,2024-09-07 08:21:41:137,75325,75325,0,0,4392131,0,2726 89,3,2024-09-07 08:21:41:805,1,60,27,0,325,1685,60,0 90,0,2024-09-07 08:21:41:731,14962,0.5,15209,0.7,31400,0.6,41421,1.75 90,1,2024-09-07 08:21:40:602,104849,104849,0,0,48634785094,518217118,102806,1903,140,382,391825,0 90,2,2024-09-07 08:21:41:444,74736,74736,0,0,5065982,0,2635 90,3,2024-09-07 08:21:40:932,1,60,1,1,200,896,60,0 91,0,2024-09-07 08:21:40:987,18918,0.8,18236,0.8,38014,0.9,49717,2.00 91,1,2024-09-07 08:21:40:574,104871,104871,0,0,49567243215,527356575,102526,1983,362,384,391914,0 91,2,2024-09-07 08:21:41:352,75328,75328,0,0,4086333,0,1997 91,3,2024-09-07 08:21:40:601,1,60,3,1,155,850,60,0 92,0,2024-09-07 08:21:41:483,16170,1.4,16629,1.2,31788,2.6,42732,2.00 92,1,2024-09-07 08:21:40:615,105328,105328,0,0,49747449523,521684564,104685,577,66,382,391717,0 92,2,2024-09-07 08:21:41:376,76732,76732,0,0,4056042,0,2279 92,3,2024-09-07 08:21:41:042,1,60,4,1,68,733,60,0 93,0,2024-09-07 08:21:40:987,12006,0.3,12204,0.5,23257,0.2,31518,1.75 93,1,2024-09-07 08:21:40:810,105209,105209,0,0,49184500330,520110885,103454,1321,434,367,391689,0 93,2,2024-09-07 08:21:40:935,75816,75816,0,0,3725282,0,2509 93,3,2024-09-07 08:21:41:418,1,60,5,1,143,644,60,0 94,0,2024-09-07 08:21:41:701,12943,0.3,13118,0.5,25670,0.2,34721,1.50 94,1,2024-09-07 08:21:40:576,104620,104620,0,0,49318826099,522801850,103048,1508,64,381,391850,0 94,2,2024-09-07 08:21:40:763,75278,75278,0,0,3769224,0,2443 94,3,2024-09-07 08:21:41:694,1,60,5,1,231,1209,60,0 95,0,2024-09-07 08:21:41:373,18801,0.5,18586,0.7,37799,0.5,49866,1.75 95,1,2024-09-07 08:21:40:871,105358,105358,0,0,49038419782,513853342,104565,729,64,367,391590,0 95,2,2024-09-07 08:21:41:056,75727,75727,0,0,3986677,0,3308 95,3,2024-09-07 08:21:41:721,1,60,10,0,307,1208,60,0 96,0,2024-09-07 08:21:41:179,17929,1.2,17837,1.0,35704,2.1,47433,2.00 96,1,2024-09-07 08:21:41:615,105303,105303,0,0,49309092215,523556520,103469,1264,570,385,391596,0 96,2,2024-09-07 08:21:41:307,75681,75681,0,0,4542034,0,4038 96,3,2024-09-07 08:21:41:153,1,60,8,1,36,658,60,0 97,0,2024-09-07 08:21:41:379,10663,0.4,10629,0.6,21178,0.4,28245,1.75 97,1,2024-09-07 08:21:40:765,104998,104998,0,0,49687261712,525043563,102814,1667,517,367,392140,0 97,2,2024-09-07 08:21:40:621,75471,75471,0,0,3773285,0,3036 97,3,2024-09-07 08:21:40:579,1,60,15,1,165,879,60,0 98,0,2024-09-07 08:21:41:737,12140,0.2,12007,0.4,24487,0.2,32796,1.50 98,1,2024-09-07 08:21:40:581,105017,105017,0,0,49473120141,520833052,103948,1024,45,382,391588,0 98,2,2024-09-07 08:21:40:769,76270,76270,0,0,4028831,0,3080 98,3,2024-09-07 08:21:40:715,1,60,16,1,155,797,60,0 99,0,2024-09-07 08:21:41:534,18231,0.4,18131,0.5,36361,0.4,48563,1.75 99,1,2024-09-07 08:21:41:726,105502,105502,0,0,48888978788,515555192,104027,1035,440,381,391744,0 99,2,2024-09-07 08:21:41:427,76856,76856,0,0,3988474,0,1858 99,3,2024-09-07 08:21:40:593,1,60,3,0,129,662,60,0 100,0,2024-09-07 08:21:41:692,18025,2.5,17943,2.8,35845,5.2,48730,2.75 100,1,2024-09-07 08:21:40:550,104345,104345,0,0,48817147528,524557619,101663,2338,344,381,391585,0 100,2,2024-09-07 08:21:41:823,74988,74977,11,0,5048923,0,5417 100,3,2024-09-07 08:21:41:733,1,60,33,1,443,1713,60,0 101,0,2024-09-07 08:21:41:750,12241,5.2,11855,2.8,23534,2.3,32953,3.25 101,1,2024-09-07 08:21:40:562,104804,104804,0,0,48908019513,518142222,103338,1017,449,369,391709,0 101,2,2024-09-07 08:21:41:803,75802,75802,0,0,4365950,0,4644 101,3,2024-09-07 08:21:40:951,1,60,2,0,448,928,60,0 102,0,2024-09-07 08:21:41:078,11846,0.5,12237,0.6,24521,0.3,32185,1.75 102,1,2024-09-07 08:21:41:186,104637,104637,0,0,49432037977,526045479,102397,1794,446,369,391831,0 102,2,2024-09-07 08:21:41:739,75705,75705,0,0,3542507,0,1945 102,3,2024-09-07 08:21:41:632,1,60,1,0,410,787,60,0 103,0,2024-09-07 08:21:41:638,17072,0.7,17094,0.8,32322,0.7,45161,2.00 103,1,2024-09-07 08:21:41:626,104931,104931,0,0,49383899173,521472031,103713,1075,143,381,391680,0 103,2,2024-09-07 08:21:40:593,75498,75498,0,0,3848077,0,2104 103,3,2024-09-07 08:21:40:759,1,60,2,1,486,1031,60,0 104,0,2024-09-07 08:21:41:069,18483,2.7,18523,1.6,35962,3.9,49369,3.00 104,1,2024-09-07 08:21:41:642,105654,105654,0,0,48605003105,516629686,103750,1578,326,368,391948,0 104,2,2024-09-07 08:21:41:672,74954,74954,0,0,4499402,0,3941 104,3,2024-09-07 08:21:41:443,1,60,5,1,1245,3527,60,0 105,0,2024-09-07 08:21:41:137,14317,2.1,13802,1.8,28687,3.4,38525,4.00 105,1,2024-09-07 08:21:40:574,104957,104957,0,0,49079994670,524403190,102017,2195,745,367,391797,0 105,2,2024-09-07 08:21:41:332,75441,75441,0,0,4189340,0,3314 105,3,2024-09-07 08:21:41:327,1,60,14,1,182,1153,60,0 106,0,2024-09-07 08:21:40:983,11279,0.3,11610,0.6,23584,0.2,30344,1.75 106,1,2024-09-07 08:21:41:760,105168,105168,0,0,49098244124,522200665,102769,2073,326,371,391767,0 106,2,2024-09-07 08:21:40:770,74999,74999,0,0,4282771,0,2795 106,3,2024-09-07 08:21:40:696,1,60,4,1,201,838,60,0 107,0,2024-09-07 08:21:41:260,14131,0.6,14019,0.7,27933,0.5,37418,1.75 107,1,2024-09-07 08:21:40:594,104624,104624,0,0,48983307188,521014882,102836,1617,171,382,392234,0 107,2,2024-09-07 08:21:41:297,75547,75546,1,0,4131791,0,5024 107,3,2024-09-07 08:21:41:768,1,60,7,0,353,1246,60,0 108,0,2024-09-07 08:21:41:824,18317,0.7,18588,0.8,36786,0.9,48653,1.75 108,1,2024-09-07 08:21:41:313,105002,105002,0,0,49659251108,524028072,103579,1290,133,371,391857,0 108,2,2024-09-07 08:21:41:759,75730,75730,0,0,4038719,0,2647 108,3,2024-09-07 08:21:41:344,1,60,14,1,60,796,60,0 109,0,2024-09-07 08:21:41:787,17013,1.0,17193,1.0,33738,1.2,45664,2.00 109,1,2024-09-07 08:21:40:604,104669,104669,0,0,49272714064,523743356,103064,1222,383,383,391664,0 109,2,2024-09-07 08:21:40:937,74671,74671,0,0,4388089,0,3617 109,3,2024-09-07 08:21:41:143,1,60,9,1,249,1153,60,0 110,0,2024-09-07 08:21:41:857,11463,0.3,11187,0.5,23260,0.2,30535,1.50 110,1,2024-09-07 08:21:41:654,105336,105336,0,0,49428481705,518712635,104021,1030,285,370,391588,0 110,2,2024-09-07 08:21:41:303,76132,76132,0,0,3702993,0,2915 110,3,2024-09-07 08:21:40:698,1,60,3,0,183,675,60,0 111,0,2024-09-07 08:21:41:438,12114,0.2,12091,0.4,24204,0.1,32500,1.50 111,1,2024-09-07 08:21:41:013,105622,105622,0,0,49948368813,519697994,104979,630,13,382,391690,0 111,2,2024-09-07 08:21:41:119,76268,76268,0,0,3869010,0,2763 111,3,2024-09-07 08:21:40:920,1,60,10,0,300,1357,60,0 112,0,2024-09-07 08:21:40:919,19271,0.5,19373,0.6,38442,0.4,52013,1.75 112,1,2024-09-07 08:21:40:827,105069,105069,0,0,49337454676,519742458,103561,1162,346,381,391580,0 112,2,2024-09-07 08:21:41:141,76127,76126,1,0,4310784,0,5036 112,3,2024-09-07 08:21:40:594,1,60,2,1,282,825,60,0 113,0,2024-09-07 08:21:40:883,17644,0.7,17503,0.8,35527,0.7,47436,1.75 113,1,2024-09-07 08:21:41:811,105356,105356,0,0,49788588182,522783139,103468,1504,384,368,391661,0 113,2,2024-09-07 08:21:41:303,75518,75518,0,0,3552137,0,3021 113,3,2024-09-07 08:21:40:693,1,60,10,0,288,1106,60,0 114,0,2024-09-07 08:21:40:896,11777,4.3,11902,2.7,23479,1.5,31683,2.25 114,1,2024-09-07 08:21:40:738,104985,104985,0,0,49608114258,527726499,102379,1725,881,381,391519,0 114,2,2024-09-07 08:21:40:882,75469,75469,0,0,4140181,0,3925 114,3,2024-09-07 08:21:41:278,1,60,3,0,159,711,60,0 115,0,2024-09-07 08:21:40:560,11645,0.2,11735,0.4,23597,0.1,31173,1.50 115,1,2024-09-07 08:21:40:579,105309,105309,0,0,49729952710,526213169,102937,1885,487,383,391510,0 115,2,2024-09-07 08:21:41:132,76144,76144,0,0,3479190,0,2152 115,3,2024-09-07 08:21:41:014,1,60,1,0,159,457,60,0 116,0,2024-09-07 08:21:41:890,17561,0.9,17500,1.0,35104,1.1,47149,2.00 116,1,2024-09-07 08:21:40:808,104410,104410,0,0,49800862741,532178347,102539,1001,870,382,391605,0 116,2,2024-09-07 08:21:41:762,75661,75661,0,0,4359393,0,3529 116,3,2024-09-07 08:21:40:919,1,60,1,1,252,1224,60,0 117,0,2024-09-07 08:21:41:000,17813,2.8,17917,1.7,35723,4.0,47939,2.25 117,1,2024-09-07 08:21:41:631,105252,105252,0,0,49126026169,521756649,103170,1790,292,371,392033,0 117,2,2024-09-07 08:21:41:126,76103,76103,0,0,3873366,0,3700 117,3,2024-09-07 08:21:41:069,1,60,44,0,490,1721,60,0 118,0,2024-09-07 08:21:41:819,12798,1.0,13069,1.0,26837,1.3,35002,2.25 118,1,2024-09-07 08:21:40:602,105418,105418,0,0,48981847950,517600955,104190,1150,78,368,391736,0 118,2,2024-09-07 08:21:41:590,75926,75926,0,0,4186175,0,2781 118,3,2024-09-07 08:21:41:803,1,60,7,1,235,1093,60,0 119,0,2024-09-07 08:21:41:431,11354,0.3,11420,0.5,23438,0.2,30522,1.75 119,1,2024-09-07 08:21:40:552,105241,105241,0,0,49207228271,520159513,103635,1457,149,371,391617,0 119,2,2024-09-07 08:21:41:271,74958,74958,0,0,3985515,0,2532 119,3,2024-09-07 08:21:41:335,1,60,4,1,443,2067,60,0 120,0,2024-09-07 08:21:41:663,15240,0.9,15176,1.0,30328,0.8,41355,2.25 120,1,2024-09-07 08:21:40:859,104948,104948,0,0,48671200817,516399940,103446,1487,15,368,391702,0 120,2,2024-09-07 08:21:40:773,74903,74902,1,0,4961863,0,5281 120,3,2024-09-07 08:21:41:292,1,60,2,1,241,1053,60,0 121,0,2024-09-07 08:21:41:912,18407,2.8,18532,1.7,37073,4.0,49723,2.75 121,1,2024-09-07 08:21:41:714,105196,105196,0,0,49427502639,523076434,103333,1596,267,368,391807,0 121,2,2024-09-07 08:21:41:171,74764,74764,0,0,5293784,0,4127 121,3,2024-09-07 08:21:40:735,1,60,2,0,101,634,60,0 122,0,2024-09-07 08:21:41:782,16003,2.6,15403,2.2,32125,3.0,42956,2.50 122,1,2024-09-07 08:21:40:859,104144,104144,0,0,48764241633,522389526,101147,2473,524,368,392130,0 122,2,2024-09-07 08:21:41:335,75720,75720,0,0,5377979,0,3364 122,3,2024-09-07 08:21:40:605,1,60,1,1,226,1353,60,0 123,0,2024-09-07 08:21:40:978,11991,0.3,11608,0.6,24168,0.2,31511,1.75 123,1,2024-09-07 08:21:40:566,105036,105036,0,0,49266330640,528493541,101619,2850,567,369,391823,0 123,2,2024-09-07 08:21:41:039,75415,75414,1,0,4312933,0,5215 123,3,2024-09-07 08:21:41:143,1,60,10,1,160,883,60,0 124,0,2024-09-07 08:21:40:965,13215,0.3,13194,0.5,24975,0.2,34741,1.75 124,1,2024-09-07 08:21:41:023,105723,105723,0,0,49236838099,514327273,104933,647,143,367,392178,0 124,2,2024-09-07 08:21:41:013,75126,75126,0,0,3481589,0,2477 124,3,2024-09-07 08:21:40:763,1,60,2,1,490,1525,60,0 125,0,2024-09-07 08:21:41:433,18854,0.7,18897,0.8,37887,0.8,49816,2.00 125,1,2024-09-07 08:21:40:864,105028,105028,0,0,48931259007,517402999,103297,1459,272,384,391702,0 125,2,2024-09-07 08:21:41:118,75771,75771,0,0,3847597,0,2180 125,3,2024-09-07 08:21:41:132,1,60,1,1,93,944,60,0 126,0,2024-09-07 08:21:41:515,17931,1.4,18357,1.1,35150,2.4,47805,2.00 126,1,2024-09-07 08:21:40:571,105635,105635,0,0,49696339319,519975204,104592,991,52,365,391719,0 126,2,2024-09-07 08:21:40:621,75683,75683,0,0,4127515,0,3186 126,3,2024-09-07 08:21:40:910,1,60,5,0,122,872,60,0 127,0,2024-09-07 08:21:41:663,10777,0.3,10630,0.5,21269,0.2,28180,1.50 127,1,2024-09-07 08:21:40:572,105276,105276,0,0,49209474320,514329302,104144,1109,23,365,391614,0 127,2,2024-09-07 08:21:40:647,75818,75818,0,0,3359490,0,1803 127,3,2024-09-07 08:21:41:272,1,60,1,0,99,577,60,0 128,0,2024-09-07 08:21:41:546,12145,0.3,12221,0.5,24042,0.2,32716,1.50 128,1,2024-09-07 08:21:41:613,105208,105208,0,0,49240972693,514171024,104495,643,70,369,391605,0 128,2,2024-09-07 08:21:41:384,75322,75322,0,0,4230908,0,2107 128,3,2024-09-07 08:21:40:769,1,60,2,1,112,819,60,0 129,0,2024-09-07 08:21:41:027,18310,0.5,18351,0.6,36316,0.5,48540,1.75 129,1,2024-09-07 08:21:40:594,104959,104959,0,0,49596899288,525214481,103143,1544,272,379,391835,0 129,2,2024-09-07 08:21:40:695,75474,75474,0,0,3642070,0,2446 129,3,2024-09-07 08:21:40:688,1,60,1,1,173,1073,60,0 130,0,2024-09-07 08:21:41:792,18281,1.7,18122,1.2,36392,2.3,49224,2.25 130,1,2024-09-07 08:21:40:609,105400,105400,0,0,48946593831,516006826,104095,1274,31,381,391609,0 130,2,2024-09-07 08:21:41:132,75576,75576,0,0,4306293,0,4067 130,3,2024-09-07 08:21:41:303,1,60,1,1,207,609,60,0 131,0,2024-09-07 08:21:41:982,12319,1.3,12118,1.1,24672,1.9,32906,2.00 131,1,2024-09-07 08:21:41:827,105637,105637,0,0,49221455274,517233561,104628,823,186,385,391865,0 131,2,2024-09-07 08:21:40:579,75526,75526,0,0,3512227,0,2415 131,3,2024-09-07 08:21:41:700,1,60,3,0,392,1027,60,0 132,0,2024-09-07 08:21:41:430,12012,0.4,12272,0.7,24094,0.3,32301,1.75 132,1,2024-09-07 08:21:40:653,104414,104414,0,0,48636713059,519618016,101851,1858,705,382,391574,0 132,2,2024-09-07 08:21:40:701,75394,75394,0,0,5520644,0,4606 132,3,2024-09-07 08:21:41:694,1,60,1,1,356,960,60,0 133,0,2024-09-07 08:21:41:630,16360,0.6,16558,0.7,34290,0.6,44631,2.00 133,1,2024-09-07 08:21:40:602,104237,104237,0,0,49399559480,526640007,102117,2026,94,383,391755,0 133,2,2024-09-07 08:21:41:097,75818,75818,0,0,4513101,0,2444 133,3,2024-09-07 08:21:41:311,1,60,16,1,187,560,60,0 134,0,2024-09-07 08:21:40:956,18665,1.3,18664,1.2,37321,2.0,49494,2.25 134,1,2024-09-07 08:21:40:594,105202,105202,0,0,48987725659,521778744,102588,1634,980,366,391718,0 134,2,2024-09-07 08:21:41:763,75347,75347,0,0,3609154,0,2026 134,3,2024-09-07 08:21:40:750,1,60,2,1,739,1808,60,0 135,0,2024-09-07 08:21:41:110,14071,2.0,14098,1.8,29782,2.3,38444,2.50 135,1,2024-09-07 08:21:41:603,104623,104623,0,0,49863945697,527982811,102709,1588,326,383,391645,0 135,2,2024-09-07 08:21:40:699,76268,76268,0,0,4423066,0,3981 135,3,2024-09-07 08:21:41:012,1,60,2,0,68,435,60,0 136,0,2024-09-07 08:21:41:663,11698,0.4,11672,0.7,23275,0.3,30552,2.00 136,1,2024-09-07 08:21:41:456,105183,105183,0,0,48871965644,518172350,103288,1748,147,384,391621,0 136,2,2024-09-07 08:21:41:141,75983,75983,0,0,3853965,0,2379 136,3,2024-09-07 08:21:41:106,1,60,4,1,108,810,60,0 137,0,2024-09-07 08:21:40:953,14339,1.1,13964,1.0,27770,1.3,37535,2.25 137,1,2024-09-07 08:21:40:601,104978,104978,0,0,49764068001,526178459,103013,1852,113,368,391608,0 137,2,2024-09-07 08:21:41:720,75094,75094,0,0,4744682,0,2632 137,3,2024-09-07 08:21:40:769,1,60,1,1,227,916,60,0 138,0,2024-09-07 08:21:41:769,17840,3.0,18011,1.8,36451,4.0,48530,3.25 138,1,2024-09-07 08:21:41:728,104759,104759,0,0,49821050151,528404803,102443,2055,261,371,391615,0 138,2,2024-09-07 08:21:40:599,76383,76383,0,0,4049759,0,3263 138,3,2024-09-07 08:21:40:619,1,60,7,1,1160,1750,60,0 139,0,2024-09-07 08:21:41:443,16468,4.8,16451,2.6,33166,5.7,45003,4.00 139,1,2024-09-07 08:21:40:579,104623,104623,0,0,48793603332,526339736,101744,2152,727,381,391892,0 139,2,2024-09-07 08:21:40:695,75020,75020,0,0,5018612,0,3097 139,3,2024-09-07 08:21:41:676,1,60,3,0,244,1270,60,0 140,0,2024-09-07 08:21:41:645,11446,0.3,11262,0.5,22886,0.2,30565,1.75 140,1,2024-09-07 08:21:41:550,106099,106099,0,0,49918652393,519702167,105172,730,197,365,391483,0 140,2,2024-09-07 08:21:40:689,75840,75840,0,0,4268851,0,3388 140,3,2024-09-07 08:21:40:769,1,60,4,0,25,510,60,0 141,0,2024-09-07 08:21:41:711,11930,0.2,12424,0.4,24105,0.1,32494,1.50 141,1,2024-09-07 08:21:40:866,105844,105844,0,0,49595358468,520562721,104425,1088,331,382,391538,0 141,2,2024-09-07 08:21:41:686,76493,76493,0,0,3798100,0,2342 141,3,2024-09-07 08:21:41:043,1,60,1,0,147,495,60,0 142,0,2024-09-07 08:21:41:336,19499,0.4,19272,0.6,38323,0.4,52032,1.75 142,1,2024-09-07 08:21:40:590,105707,105707,0,0,49488479983,518237811,105160,532,15,384,391649,0 142,2,2024-09-07 08:21:41:304,75276,75276,0,0,4082277,0,2293 142,3,2024-09-07 08:21:41:748,1,60,3,1,484,1157,60,0 143,0,2024-09-07 08:21:41:390,17579,1.3,17657,1.0,35624,1.7,47297,2.00 143,1,2024-09-07 08:21:40:565,105394,105394,0,0,49195906579,513985939,104424,945,25,367,391608,0 143,2,2024-09-07 08:21:40:781,75069,75069,0,0,4447397,0,2669 143,3,2024-09-07 08:21:41:163,1,60,1,1,236,1067,60,0 144,0,2024-09-07 08:21:41:576,11244,2.0,11689,7.0,23289,2.1,31457,2.50 144,1,2024-09-07 08:21:40:572,104701,104701,0,0,48865195581,518426585,103139,1373,189,383,391638,0 144,2,2024-09-07 08:21:41:757,76022,76022,0,0,3860934,0,3473 144,3,2024-09-07 08:21:41:740,1,60,7,1,169,1146,60,0 145,0,2024-09-07 08:21:41:481,11291,0.4,11289,0.6,23929,0.2,31030,1.75 145,1,2024-09-07 08:21:40:567,104447,104447,0,0,49603547234,526289017,102528,1657,262,383,391615,0 145,2,2024-09-07 08:21:41:441,75522,75522,0,0,4628124,0,3903 145,3,2024-09-07 08:21:40:899,1,60,4,0,151,861,60,0 146,0,2024-09-07 08:21:41:654,17515,0.8,17498,1.0,35127,1.0,46835,2.25 146,1,2024-09-07 08:21:41:616,105394,105394,0,0,49533868219,525722931,103104,1989,301,370,391600,0 146,2,2024-09-07 08:21:41:719,75095,75095,0,0,4174407,0,2379 146,3,2024-09-07 08:21:41:286,1,60,4,0,1520,2933,60,0 147,0,2024-09-07 08:21:41:749,17811,3.4,17517,2.0,34831,4.9,48079,3.50 147,1,2024-09-07 08:21:41:386,105212,105212,0,0,49387079341,518387061,103505,1353,354,369,391791,0 147,2,2024-09-07 08:21:41:025,76401,76401,0,0,4178423,0,2789 147,3,2024-09-07 08:21:40:914,1,60,4,1,141,762,60,0 0,0,2024-09-07 08:21:51:761,15315,0.9,15274,0.9,32388,1.2,42529,2.00 0,1,2024-09-07 08:21:50:802,107090,107090,0,0,49879464532,528225684,105874,1090,126,372,391673,0 0,2,2024-09-07 08:21:51:096,76469,76469,0,0,4351530,0,4480 0,3,2024-09-07 08:21:50:984,1,61,2,0,247,1051,61,0 1,0,2024-09-07 08:21:51:787,18452,3.2,18430,2.0,37069,4.8,49813,3.00 1,1,2024-09-07 08:21:50:619,106351,106351,0,0,49334801056,523268052,104668,1155,528,372,391857,0 1,2,2024-09-07 08:21:50:640,76556,76556,0,0,3954958,0,3267 1,3,2024-09-07 08:21:51:301,1,61,0,0,167,661,61,0 2,0,2024-09-07 08:21:51:576,15841,1.8,16025,1.5,31748,3.8,42397,2.50 2,1,2024-09-07 08:21:50:860,106868,106868,0,0,50297600708,527859557,105790,875,203,382,391558,0 2,2,2024-09-07 08:21:51:266,76324,76324,0,0,4128065,0,3304 2,3,2024-09-07 08:21:50:693,1,61,4,0,214,686,61,0 3,0,2024-09-07 08:21:51:754,12129,0.3,12090,0.6,24258,0.2,31553,1.75 3,1,2024-09-07 08:21:51:619,106445,106445,0,0,49785529548,525705552,104467,1592,386,382,391514,0 3,2,2024-09-07 08:21:51:156,76668,76645,23,0,4593760,0,5851 3,3,2024-09-07 08:21:51:763,1,61,1,0,103,546,61,0 4,0,2024-09-07 08:21:51:841,12901,0.3,13248,0.5,26971,0.2,35690,1.75 4,1,2024-09-07 08:21:50:604,106765,106765,0,0,50092498348,533114282,104918,1431,416,371,391846,0 4,2,2024-09-07 08:21:51:065,76642,76642,0,0,5016543,0,4528 4,3,2024-09-07 08:21:51:035,1,61,11,1,287,1187,61,0 5,0,2024-09-07 08:21:51:416,18986,0.9,18754,0.9,37955,1.1,49985,2.00 5,1,2024-09-07 08:21:50:788,106552,106552,0,0,50106256850,532786839,104356,1757,439,368,392005,0 5,2,2024-09-07 08:21:51:831,76624,76624,0,0,3972022,0,2259 5,3,2024-09-07 08:21:51:759,1,61,11,1,238,1369,61,0 6,0,2024-09-07 08:21:50:923,18118,1.5,17857,1.5,35692,2.3,48083,3.25 6,1,2024-09-07 08:21:50:755,107190,107190,0,0,49681911679,521315417,106087,1034,69,381,391603,0 6,2,2024-09-07 08:21:51:118,77041,77041,0,0,4146308,0,2411 6,3,2024-09-07 08:21:51:274,1,61,1,1,340,1406,61,0 7,0,2024-09-07 08:21:51:537,10819,0.3,10787,0.5,21646,0.2,28982,1.75 7,1,2024-09-07 08:21:50:849,107038,107038,0,0,50231672640,527881468,106058,916,64,383,391664,0 7,2,2024-09-07 08:21:50:771,76520,76520,0,0,3905997,0,2981 7,3,2024-09-07 08:21:50:851,1,61,2,0,83,581,61,0 8,0,2024-09-07 08:21:51:392,12740,0.3,12562,0.5,24710,0.2,33514,1.75 8,1,2024-09-07 08:21:51:070,106817,106817,0,0,49876306131,537671125,103344,2221,1252,368,391724,0 8,2,2024-09-07 08:21:50:792,76042,76042,0,0,4806199,0,2986 8,3,2024-09-07 08:21:50:590,1,61,12,0,229,1001,61,0 9,0,2024-09-07 08:21:51:193,18526,0.4,18081,0.6,37812,0.4,49878,1.75 9,1,2024-09-07 08:21:50:575,106958,106958,0,0,50331242651,534826591,104680,1712,566,370,391576,0 9,2,2024-09-07 08:21:51:101,77171,77171,0,0,4401029,0,3360 9,3,2024-09-07 08:21:51:770,1,61,9,0,149,961,61,0 10,0,2024-09-07 08:21:51:617,18357,1.1,18400,1.1,36830,1.2,49476,2.75 10,1,2024-09-07 08:21:50:598,106262,106262,0,0,49515060864,530153952,102928,2812,522,383,391541,0 10,2,2024-09-07 08:21:50:770,76021,76021,0,0,4559751,0,2940 10,3,2024-09-07 08:21:50:872,1,61,2,0,136,541,61,0 11,0,2024-09-07 08:21:51:035,12040,2.7,11777,1.9,24651,5.3,33279,2.50 11,1,2024-09-07 08:21:50:571,106414,106414,0,0,49587952895,528270144,103775,1824,815,384,391537,0 11,2,2024-09-07 08:21:51:128,76908,76908,0,0,4273692,0,2635 11,3,2024-09-07 08:21:51:298,1,61,1,0,720,2167,61,0 12,0,2024-09-07 08:21:51:004,12452,0.3,12505,0.5,24854,0.2,32847,1.75 12,1,2024-09-07 08:21:50:952,106659,106659,0,0,49367534339,522254112,105024,1536,99,371,391790,0 12,2,2024-09-07 08:21:51:563,77815,77815,0,0,4030874,0,2254 12,3,2024-09-07 08:21:51:081,1,61,2,1,358,1331,61,0 13,0,2024-09-07 08:21:51:344,17131,0.4,17223,0.6,34362,0.4,46042,1.75 13,1,2024-09-07 08:21:51:563,106992,106992,0,0,50177597999,532634829,105406,1220,366,384,391717,0 13,2,2024-09-07 08:21:50:603,76931,76931,0,0,3771468,0,3287 13,3,2024-09-07 08:21:51:779,1,61,2,1,373,1188,61,0 14,0,2024-09-07 08:21:50:579,18758,1.2,18821,1.2,37327,1.5,49754,2.50 14,1,2024-09-07 08:21:51:563,107823,107823,0,0,50144260835,523139798,106945,848,30,365,391546,0 14,2,2024-09-07 08:21:50:772,76404,76404,0,0,4291445,0,2793 14,3,2024-09-07 08:21:51:127,1,61,1,0,906,1257,61,0 15,0,2024-09-07 08:21:51:569,14501,2.4,14370,1.7,28830,4.6,38640,3.25 15,1,2024-09-07 08:21:51:613,106941,106941,0,0,49879239491,522341393,106158,748,35,381,391536,0 15,2,2024-09-07 08:21:50:998,77541,77541,0,0,3392348,0,3043 15,3,2024-09-07 08:21:51:406,1,61,0,0,538,1832,61,0 16,0,2024-09-07 08:21:51:048,11629,0.4,11862,0.6,23241,0.3,30692,2.00 16,1,2024-09-07 08:21:50:566,106563,106563,0,0,49167613466,519533962,105443,1095,25,372,391543,0 16,2,2024-09-07 08:21:51:435,76002,76002,0,0,4703919,0,4719 16,3,2024-09-07 08:21:51:158,1,61,15,1,231,1217,61,0 17,0,2024-09-07 08:21:51:825,14852,0.6,14548,0.8,28496,0.6,39002,2.00 17,1,2024-09-07 08:21:50:585,106657,106657,0,0,50163900106,534412210,104789,1346,522,369,391688,0 17,2,2024-09-07 08:21:51:682,77227,77227,0,0,3616996,0,2857 17,3,2024-09-07 08:21:50:589,1,61,50,0,268,1366,61,0 18,0,2024-09-07 08:21:50:978,17962,1.8,18163,1.4,36561,2.1,48339,2.75 18,1,2024-09-07 08:21:51:640,106654,106654,0,0,49493712176,519575578,105406,1030,218,368,391555,0 18,2,2024-09-07 08:21:51:758,76460,76460,0,0,4490166,0,3541 18,3,2024-09-07 08:21:50:904,1,61,11,0,163,918,61,0 19,0,2024-09-07 08:21:51:584,16754,1.9,16868,1.6,33344,2.1,44331,5.50 19,1,2024-09-07 08:21:50:568,107090,107090,0,0,50711723745,535083181,105225,1702,163,367,391696,0 19,2,2024-09-07 08:21:51:752,77146,77146,0,0,4114510,0,3988 19,3,2024-09-07 08:21:51:130,1,61,5,0,524,1057,61,0 20,0,2024-09-07 08:21:51:384,11720,0.3,11753,0.5,23192,0.2,30764,2.00 20,1,2024-09-07 08:21:50:618,107011,107011,0,0,50580623540,534637007,105320,1529,162,370,391598,0 20,2,2024-09-07 08:21:50:950,76825,76825,0,0,3803309,0,2446 20,3,2024-09-07 08:21:50:605,1,61,19,1,99,857,61,0 21,0,2024-09-07 08:21:51:220,12782,0.4,12715,0.6,25105,0.3,33486,2.00 21,1,2024-09-07 08:21:51:594,106313,106313,0,0,49729555044,530090455,104018,1805,490,368,391962,0 21,2,2024-09-07 08:21:51:113,76900,76900,0,0,4104220,0,3747 21,3,2024-09-07 08:21:51:404,1,61,4,0,93,969,61,0 22,0,2024-09-07 08:21:51:725,19247,2.2,19177,1.4,38308,4.0,51382,3.25 22,1,2024-09-07 08:21:51:029,106510,106510,0,0,49680762167,530669092,103471,2314,725,382,391667,0 22,2,2024-09-07 08:21:50:769,76909,76909,0,0,3683899,0,3134 22,3,2024-09-07 08:21:51:068,1,61,1,0,62,348,61,0 23,0,2024-09-07 08:21:51:390,17804,1.7,18028,1.2,35770,2.4,48062,2.75 23,1,2024-09-07 08:21:51:019,107373,107373,0,0,50024495048,523416091,106027,1092,254,368,391496,0 23,2,2024-09-07 08:21:51:094,76605,76605,0,0,3830806,0,2078 23,3,2024-09-07 08:21:51:757,1,61,1,0,645,1277,61,0 24,0,2024-09-07 08:21:50:870,12155,0.7,12237,0.8,24261,0.8,32289,1.75 24,1,2024-09-07 08:21:50:609,107340,107340,0,0,49657044902,520443102,106537,796,7,369,391640,0 24,2,2024-09-07 08:21:51:084,76503,76503,0,0,4851822,0,2942 24,3,2024-09-07 08:21:51:704,1,61,11,1,234,951,61,0 25,0,2024-09-07 08:21:51:390,12398,0.3,12162,0.5,23580,0.2,32471,1.75 25,1,2024-09-07 08:21:50:595,106602,106602,0,0,49648230006,527957058,104458,1829,315,371,391788,0 25,2,2024-09-07 08:21:51:613,76718,76718,0,0,4409444,0,3284 25,3,2024-09-07 08:21:51:022,1,61,27,1,158,654,61,0 26,0,2024-09-07 08:21:51:730,17792,0.5,17426,0.6,36340,0.4,47911,1.75 26,1,2024-09-07 08:21:51:555,106734,106734,0,0,49701490130,532368116,103274,2625,835,381,391564,0 26,2,2024-09-07 08:21:50:873,77183,77183,0,0,4538832,0,2809 26,3,2024-09-07 08:21:51:712,1,61,0,0,796,1224,61,0 27,0,2024-09-07 08:21:51:743,18099,1.4,18198,1.1,36339,2.0,49068,2.25 27,1,2024-09-07 08:21:51:685,107596,107596,0,0,50879577690,531708699,106527,774,295,381,391539,0 27,2,2024-09-07 08:21:50:872,75562,75562,0,0,5487454,0,3409 27,3,2024-09-07 08:21:51:017,1,61,1,0,564,1006,61,0 28,0,2024-09-07 08:21:51:515,13311,0.8,13496,0.9,26766,1.1,35686,2.00 28,1,2024-09-07 08:21:50:796,107314,107314,0,0,50869431752,533820818,106256,858,200,383,391646,0 28,2,2024-09-07 08:21:51:776,76935,76935,0,0,3603542,0,2609 28,3,2024-09-07 08:21:51:791,1,61,6,0,502,1141,61,0 29,0,2024-09-07 08:21:51:365,12034,0.3,11747,0.5,23180,0.2,31504,1.75 29,1,2024-09-07 08:21:51:563,108004,108004,0,0,50066992908,520468877,107261,571,172,369,391621,0 29,2,2024-09-07 08:21:50:860,77420,77420,0,0,3145983,0,2026 29,3,2024-09-07 08:21:50:971,1,61,2,0,105,644,61,0 30,0,2024-09-07 08:21:51:464,15798,0.7,15362,0.8,32033,0.7,42579,2.25 30,1,2024-09-07 08:21:50:575,106992,106992,0,0,50834322542,532772269,106019,908,65,382,391524,0 30,2,2024-09-07 08:21:51:274,77100,77100,0,0,3192392,0,2534 30,3,2024-09-07 08:21:50:596,1,61,2,0,195,605,61,0 31,0,2024-09-07 08:21:51:792,18643,1.8,18489,1.3,37546,3.1,49531,3.25 31,1,2024-09-07 08:21:50:573,107457,107457,0,0,50529232402,520554163,107208,247,2,356,391553,0 31,2,2024-09-07 08:21:51:275,76993,76993,0,0,4584647,0,3525 31,3,2024-09-07 08:21:51:706,1,61,1,0,129,535,61,0 32,0,2024-09-07 08:21:51:473,16157,1.7,16269,1.2,32634,3.0,43038,2.00 32,1,2024-09-07 08:21:50:806,106869,106869,0,0,50038342306,524213480,105870,970,29,382,391595,0 32,2,2024-09-07 08:21:50:947,77394,77394,0,0,3855627,0,3155 32,3,2024-09-07 08:21:51:026,1,61,1,0,110,616,61,0 33,0,2024-09-07 08:21:51:506,12104,0.2,11972,0.4,24360,0.2,31461,1.75 33,1,2024-09-07 08:21:50:576,107243,107243,0,0,50872019775,533150890,105582,1538,123,369,391526,0 33,2,2024-09-07 08:21:50:797,76600,76567,33,0,5418603,0,7012 33,3,2024-09-07 08:21:50:905,1,61,0,0,63,359,61,0 34,0,2024-09-07 08:21:50:958,13259,0.2,13698,0.4,26280,0.2,35566,1.50 34,1,2024-09-07 08:21:51:062,107427,107427,0,0,50511654498,523721493,106835,588,4,367,391517,0 34,2,2024-09-07 08:21:50:783,76460,76460,0,0,4431051,0,3255 34,3,2024-09-07 08:21:51:699,1,61,1,0,148,664,61,0 35,0,2024-09-07 08:21:50:873,18701,1.0,18877,0.9,37750,1.3,49867,2.00 35,1,2024-09-07 08:21:51:104,107188,107188,0,0,50923143929,533060968,105723,1104,361,384,391587,0 35,2,2024-09-07 08:21:51:596,76672,76672,0,0,3846174,0,2542 35,3,2024-09-07 08:21:50:907,1,61,1,0,219,1030,61,0 36,0,2024-09-07 08:21:51:536,18065,2.2,17956,1.5,36305,3.3,48120,2.75 36,1,2024-09-07 08:21:50:589,106875,106875,0,0,50154535676,533500055,103864,2080,931,366,391535,0 36,2,2024-09-07 08:21:51:755,76360,76360,0,0,4569832,0,3303 36,3,2024-09-07 08:21:50:872,1,61,1,0,378,1048,61,0 37,0,2024-09-07 08:21:51:400,10861,0.3,10839,0.5,21804,0.2,29108,1.75 37,1,2024-09-07 08:21:50:572,106298,106291,0,7,50256640646,537932031,103453,1321,1517,365,391518,0 37,2,2024-09-07 08:21:51:144,76802,76802,0,0,3420687,0,2333 37,3,2024-09-07 08:21:51:778,1,61,10,0,724,1754,61,0 38,0,2024-09-07 08:21:51:449,12536,0.3,12111,0.5,25244,0.2,33449,1.75 38,1,2024-09-07 08:21:51:611,106854,106854,0,0,50042247570,529003322,104712,1695,447,370,391513,0 38,2,2024-09-07 08:21:50:765,77267,77267,0,0,4216250,0,3245 38,3,2024-09-07 08:21:51:000,1,61,2,0,603,1011,61,0 39,0,2024-09-07 08:21:51:798,19161,0.5,18917,0.6,36690,0.6,50200,2.00 39,1,2024-09-07 08:21:50:729,106839,106839,0,0,49583019561,525204749,104250,1951,638,366,391524,0 39,2,2024-09-07 08:21:51:427,77163,77163,0,0,3864324,0,2381 39,3,2024-09-07 08:21:50:713,1,61,0,0,276,1170,61,0 40,0,2024-09-07 08:21:51:525,17999,2.3,18313,2.4,36159,4.7,49032,3.75 40,1,2024-09-07 08:21:50:589,106666,106666,0,0,48949414677,521966076,103415,2498,753,370,391591,0 40,2,2024-09-07 08:21:51:302,76053,76052,1,0,4828722,0,5137 40,3,2024-09-07 08:21:51:144,1,61,1,1,110,736,61,0 41,0,2024-09-07 08:21:51:037,11780,1.2,12112,6.2,23177,4.7,32139,3.50 41,1,2024-09-07 08:21:50:779,106798,106798,0,0,50295962109,532688304,104491,1994,313,370,391484,0 41,2,2024-09-07 08:21:50:769,77214,77214,0,0,4933412,0,3356 41,3,2024-09-07 08:21:51:679,1,61,2,0,168,649,61,0 42,0,2024-09-07 08:21:51:482,12275,0.4,12423,0.7,24791,0.3,32904,2.00 42,1,2024-09-07 08:21:51:439,106395,106395,0,0,49606610466,530197098,103402,2163,830,381,391511,0 42,2,2024-09-07 08:21:51:135,77254,77254,0,0,4337407,0,3568 42,3,2024-09-07 08:21:51:016,1,61,1,0,100,552,61,0 43,0,2024-09-07 08:21:50:932,17143,1.3,16657,1.1,34786,1.9,46164,2.00 43,1,2024-09-07 08:21:50:591,106835,106835,0,0,49571808857,523998070,104645,1438,752,367,391604,0 43,2,2024-09-07 08:21:51:738,77106,77106,0,0,4550859,0,3812 43,3,2024-09-07 08:21:51:749,1,61,1,0,292,1164,61,0 44,0,2024-09-07 08:21:50:872,18816,1.1,18764,1.2,37328,1.3,50236,2.25 44,1,2024-09-07 08:21:50:564,107115,107115,0,0,49267793741,513390698,106098,910,107,356,391523,0 44,2,2024-09-07 08:21:51:278,76539,76539,0,0,3329060,0,1865 44,3,2024-09-07 08:21:51:100,1,61,1,1,817,1313,61,0 45,0,2024-09-07 08:21:51:785,14304,3.0,14022,1.8,29455,5.5,38930,2.25 45,1,2024-09-07 08:21:51:011,107168,107168,0,0,50647793756,528191052,106702,465,1,382,391917,0 45,2,2024-09-07 08:21:51:277,77503,77503,0,0,3944228,0,2628 45,3,2024-09-07 08:21:50:946,1,61,3,1,226,765,61,0 46,0,2024-09-07 08:21:50:985,11750,0.2,11716,0.5,23567,0.1,30703,1.50 46,1,2024-09-07 08:21:50:575,107240,107240,0,0,50369023088,523872632,106410,794,36,368,391514,0 46,2,2024-09-07 08:21:50:605,77018,77018,0,0,3879915,0,2920 46,3,2024-09-07 08:21:51:134,1,61,4,0,315,1037,61,0 47,0,2024-09-07 08:21:51:123,14344,0.4,14625,0.6,29067,0.3,38752,1.75 47,1,2024-09-07 08:21:50:567,107522,107522,0,0,49899002254,517427391,107014,504,4,366,391605,0 47,2,2024-09-07 08:21:50:912,77772,77772,0,0,3856259,0,2558 47,3,2024-09-07 08:21:51:133,1,61,1,0,529,1057,61,0 48,0,2024-09-07 08:21:51:536,18785,0.4,18541,0.6,36768,0.4,49092,1.75 48,1,2024-09-07 08:21:51:028,107206,107206,0,0,50335561552,527624354,106010,1191,5,386,391637,0 48,2,2024-09-07 08:21:50:699,77190,77190,0,0,3194722,0,2083 48,3,2024-09-07 08:21:50:754,1,61,1,0,30,579,61,0 49,0,2024-09-07 08:21:51:746,17490,1.6,17008,1.3,33477,3.1,45769,2.00 49,1,2024-09-07 08:21:51:043,106782,106782,0,0,49785730944,526047278,105045,1028,709,382,391583,0 49,2,2024-09-07 08:21:51:814,76983,76983,0,0,4298199,0,3900 49,3,2024-09-07 08:21:51:427,1,61,2,0,274,792,61,0 50,0,2024-09-07 08:21:51:517,11814,0.2,11547,0.4,23359,0.1,31226,1.50 50,1,2024-09-07 08:21:51:015,107666,107666,0,0,50399131570,528139907,106000,1369,297,368,391530,0 50,2,2024-09-07 08:21:51:069,77236,77236,0,0,3527721,0,2253 50,3,2024-09-07 08:21:51:290,1,61,1,1,335,868,61,0 51,0,2024-09-07 08:21:51:700,12842,0.2,12557,0.4,24645,0.1,33766,1.50 51,1,2024-09-07 08:21:51:687,106832,106832,0,0,50611136220,531416981,105034,1016,782,367,391637,0 51,2,2024-09-07 08:21:51:316,76908,76908,0,0,3055260,0,2448 51,3,2024-09-07 08:21:51:058,1,61,5,0,162,471,61,0 52,0,2024-09-07 08:21:51:424,19566,0.9,19664,1.0,39277,1.1,51998,2.25 52,1,2024-09-07 08:21:50:575,106834,106834,0,0,49413547217,525139114,104338,2032,464,368,391529,0 52,2,2024-09-07 08:21:51:757,76714,76714,0,0,4151309,0,4779 52,3,2024-09-07 08:21:50:676,1,61,0,0,1782,2378,61,0 53,0,2024-09-07 08:21:51:741,17823,2.5,17084,1.7,35416,3.5,47418,3.00 53,1,2024-09-07 08:21:50:779,106509,106509,0,0,50180213741,533164692,103889,2046,574,370,391617,0 53,2,2024-09-07 08:21:51:300,77224,77224,0,0,3560571,0,1902 53,3,2024-09-07 08:21:50:711,1,61,1,0,59,385,61,0 54,0,2024-09-07 08:21:51:650,11255,10.0,11380,4.8,22526,2.1,31233,5.25 54,1,2024-09-07 08:21:50:589,106809,106809,0,0,50278088477,530048747,104701,1792,316,367,391520,0 54,2,2024-09-07 08:21:50:873,77541,77535,6,0,4286926,0,5382 54,3,2024-09-07 08:21:50:770,1,61,1,0,676,1527,61,0 55,0,2024-09-07 08:21:51:770,11788,0.3,12098,0.6,24658,0.2,32127,2.25 55,1,2024-09-07 08:21:50:771,106468,106468,0,0,49394595836,524982997,103043,2723,702,367,391731,0 55,2,2024-09-07 08:21:50:735,76767,76767,0,0,4445663,0,3275 55,3,2024-09-07 08:21:50:684,1,61,1,0,136,766,61,0 56,0,2024-09-07 08:21:51:580,18321,1.8,17336,1.4,35659,2.6,47820,2.50 56,1,2024-09-07 08:21:50:587,106338,106338,0,0,50065747421,538557141,102958,2633,747,383,391678,0 56,2,2024-09-07 08:21:51:305,77855,77855,0,0,4738211,0,3567 56,3,2024-09-07 08:21:51:069,1,61,2,0,297,917,61,0 57,0,2024-09-07 08:21:50:974,17757,3.9,17449,2.2,35212,6.1,47709,4.75 57,1,2024-09-07 08:21:50:989,106471,106471,0,0,49626936318,523334753,104998,1453,20,368,391760,0 57,2,2024-09-07 08:21:51:331,76670,76670,0,0,4704047,0,3178 57,3,2024-09-07 08:21:51:754,1,61,13,1,359,1442,61,0 58,0,2024-09-07 08:21:50:573,12562,0.9,12240,1.1,25599,0.8,33686,3.00 58,1,2024-09-07 08:21:50:591,107668,107666,0,2,50317268055,528093651,106070,1437,159,369,391516,2 58,2,2024-09-07 08:21:51:080,77127,77127,0,0,4496085,0,2549 58,3,2024-09-07 08:21:51:069,1,61,27,1,219,796,61,0 59,0,2024-09-07 08:21:51:774,11893,0.7,11732,0.9,23638,0.6,31222,2.25 59,1,2024-09-07 08:21:50:804,106447,106447,0,0,49808778431,529027931,104201,1479,767,369,391515,0 59,2,2024-09-07 08:21:50:589,77382,77382,0,0,3906365,0,2604 59,3,2024-09-07 08:21:51:750,1,61,0,0,1015,1654,61,0 60,0,2024-09-07 08:21:51:719,15871,0.6,15995,0.7,31653,0.7,42722,1.75 60,1,2024-09-07 08:21:50:779,107682,107682,0,0,49679642502,520320699,106611,727,344,370,391712,0 60,2,2024-09-07 08:21:51:144,76581,76581,0,0,3641210,0,2142 60,3,2024-09-07 08:21:51:259,1,61,69,0,124,883,61,0 61,0,2024-09-07 08:21:51:525,18521,3.1,18523,1.8,37046,4.5,49700,2.50 61,1,2024-09-07 08:21:50:788,106691,106691,0,0,50065491143,533108588,104140,2017,534,383,391589,0 61,2,2024-09-07 08:21:51:133,77177,77177,0,0,3721765,0,1846 61,3,2024-09-07 08:21:51:691,1,61,1,0,199,1060,61,0 62,0,2024-09-07 08:21:51:721,16243,1.8,16585,1.3,31751,3.7,42957,2.25 62,1,2024-09-07 08:21:51:111,107706,107700,0,6,50894445093,530334107,106449,1214,37,366,391586,6 62,2,2024-09-07 08:21:51:643,76761,76761,0,0,4275241,0,2931 62,3,2024-09-07 08:21:51:144,1,61,1,0,287,608,61,0 63,0,2024-09-07 08:21:51:459,12045,0.4,11982,0.6,24129,0.2,31561,1.75 63,1,2024-09-07 08:21:50:803,108074,108070,0,4,50691147265,526347904,107671,398,1,381,391540,4 63,2,2024-09-07 08:21:50:769,76561,76561,0,0,3799739,0,2674 63,3,2024-09-07 08:21:51:742,1,61,1,0,667,1780,61,0 64,0,2024-09-07 08:21:51:523,13163,0.4,13192,0.6,26426,0.3,35648,1.75 64,1,2024-09-07 08:21:50:754,107153,107153,0,0,50117355426,533342901,104718,1642,793,371,391783,0 64,2,2024-09-07 08:21:51:148,77117,77098,19,0,4326722,0,6121 64,3,2024-09-07 08:21:51:144,1,61,9,1,265,976,61,0 65,0,2024-09-07 08:21:51:685,18343,2.6,18676,1.7,37163,3.5,49343,3.75 65,1,2024-09-07 08:21:50:867,106741,106741,0,0,49542363256,524444806,105034,1488,219,382,391569,0 65,2,2024-09-07 08:21:51:700,77211,77211,0,0,4416419,0,3367 65,3,2024-09-07 08:21:51:685,1,61,1,0,163,811,61,0 66,0,2024-09-07 08:21:51:812,17561,1.1,17758,1.2,35635,1.2,47531,3.00 66,1,2024-09-07 08:21:51:296,107776,107776,0,0,50233139146,523944787,107087,668,21,381,391537,0 66,2,2024-09-07 08:21:51:136,77417,77417,0,0,4068393,0,3867 66,3,2024-09-07 08:21:51:081,1,61,1,1,291,915,61,0 67,0,2024-09-07 08:21:51:439,10913,0.5,10973,0.7,21694,0.5,29016,2.25 67,1,2024-09-07 08:21:50:769,106689,106688,0,1,49904994970,529373730,104670,1493,525,382,391787,1 67,2,2024-09-07 08:21:50:589,77509,77509,0,0,3742393,0,2889 67,3,2024-09-07 08:21:51:750,1,61,1,0,138,632,61,0 68,0,2024-09-07 08:21:50:617,12519,0.5,12442,0.8,24843,0.5,33304,2.00 68,1,2024-09-07 08:21:50:574,106583,106583,0,0,49579351550,524224436,105183,878,522,382,391953,0 68,2,2024-09-07 08:21:51:066,77167,77167,0,0,3797835,0,4883 68,3,2024-09-07 08:21:50:735,1,61,1,1,63,650,61,0 69,0,2024-09-07 08:21:51:761,18504,1.2,18584,1.2,36900,1.6,49736,2.75 69,1,2024-09-07 08:21:51:030,106101,106101,0,0,49664302210,531514518,104157,1079,865,386,391532,0 69,2,2024-09-07 08:21:51:767,77396,77396,0,0,4299844,0,3153 69,3,2024-09-07 08:21:50:768,1,61,1,0,238,1113,61,0 70,0,2024-09-07 08:21:51:566,18078,3.9,18000,2.8,36472,3.2,48673,4.75 70,1,2024-09-07 08:21:50:802,107319,107319,0,0,50610520125,527627180,106407,777,135,369,391527,0 70,2,2024-09-07 08:21:51:327,76365,76365,0,0,4230380,0,4044 70,3,2024-09-07 08:21:50:753,1,61,0,0,178,462,61,0 71,0,2024-09-07 08:21:51:365,11945,2.2,11901,4.5,23409,3.2,32373,4.50 71,1,2024-09-07 08:21:51:604,106774,106774,0,0,50278246235,530869492,104572,1886,316,368,391682,0 71,2,2024-09-07 08:21:51:067,77065,77065,0,0,3915519,0,2146 71,3,2024-09-07 08:21:51:750,1,61,2,0,174,585,61,0 72,0,2024-09-07 08:21:51:144,12689,0.4,12280,0.6,24554,0.3,32977,2.50 72,1,2024-09-07 08:21:51:048,107382,107382,0,0,49789873976,523003880,105839,1465,78,370,391556,0 72,2,2024-09-07 08:21:51:769,76625,76625,0,0,5113186,0,2570 72,3,2024-09-07 08:21:51:765,1,61,1,0,325,1257,61,0 73,0,2024-09-07 08:21:51:146,16683,0.5,17211,0.7,34884,0.5,45901,2.25 73,1,2024-09-07 08:21:50:778,106969,106969,0,0,50242432744,526121870,106007,919,43,368,391627,0 73,2,2024-09-07 08:21:51:756,77817,77817,0,0,4638017,0,3482 73,3,2024-09-07 08:21:50:971,1,61,1,0,274,1216,61,0 74,0,2024-09-07 08:21:51:334,18705,2.1,19039,1.5,36660,3.5,49783,3.75 74,1,2024-09-07 08:21:50:635,106926,106926,0,0,49452783776,521856501,105030,1324,572,382,391497,0 74,2,2024-09-07 08:21:51:018,76264,76264,0,0,4916549,0,4253 74,3,2024-09-07 08:21:51:443,1,61,1,0,246,1333,61,0 75,0,2024-09-07 08:21:51:796,14537,2.9,14567,1.8,29097,5.0,39021,3.50 75,1,2024-09-07 08:21:51:588,107349,107349,0,0,49897187255,526643819,105665,1580,104,382,391514,0 75,2,2024-09-07 08:21:51:352,76899,76899,0,0,4740975,0,4766 75,3,2024-09-07 08:21:51:079,1,61,1,0,143,402,61,0 76,0,2024-09-07 08:21:50:592,11751,0.3,11631,0.6,23371,0.2,30554,2.00 76,1,2024-09-07 08:21:50:806,106680,106680,0,0,49261558661,522247716,104876,1298,506,382,391530,0 76,2,2024-09-07 08:21:51:069,77417,77417,0,0,3854026,0,3064 76,3,2024-09-07 08:21:51:144,1,61,1,0,175,973,61,0 77,0,2024-09-07 08:21:51:707,14361,0.9,14506,0.9,28907,1.0,38796,2.00 77,1,2024-09-07 08:21:50:825,106810,106810,0,0,49962926970,524727459,105778,963,69,383,391516,0 77,2,2024-09-07 08:21:51:292,77386,77386,0,0,3291801,0,1695 77,3,2024-09-07 08:21:51:102,1,61,1,0,139,666,61,0 78,0,2024-09-07 08:21:51:733,18392,1.5,18315,1.2,36876,2.2,48773,2.50 78,1,2024-09-07 08:21:50:613,107504,107504,0,0,49070586337,516052833,106087,1213,204,367,391589,0 78,2,2024-09-07 08:21:51:409,77219,77219,0,0,3346150,0,2114 78,3,2024-09-07 08:21:51:134,1,61,1,0,181,1002,61,0 79,0,2024-09-07 08:21:51:355,16453,1.0,16834,1.2,34408,1.3,44760,3.25 79,1,2024-09-07 08:21:50:590,107101,107101,0,0,50245060088,526299165,105764,1268,69,370,391609,0 79,2,2024-09-07 08:21:51:070,76742,76742,0,0,3800547,0,2679 79,3,2024-09-07 08:21:50:758,1,61,1,0,289,829,61,0 80,0,2024-09-07 08:21:51:089,11615,0.4,11947,0.7,23112,0.3,31020,2.00 80,1,2024-09-07 08:21:51:631,106528,106528,0,0,50252605074,527794408,104942,1471,115,369,391673,0 80,2,2024-09-07 08:21:51:099,76875,76875,0,0,4142367,0,4433 80,3,2024-09-07 08:21:50:587,1,61,1,1,190,1202,61,0 81,0,2024-09-07 08:21:51:604,12688,0.5,13029,0.7,24918,0.4,33770,2.00 81,1,2024-09-07 08:21:51:657,106679,106679,0,0,49902146100,528374059,104796,1685,198,383,391680,0 81,2,2024-09-07 08:21:51:134,76574,76574,0,0,4400318,0,3993 81,3,2024-09-07 08:21:51:128,1,61,2,0,193,764,61,0 82,0,2024-09-07 08:21:51:553,19408,1.1,19467,1.1,38878,1.4,51973,2.25 82,1,2024-09-07 08:21:50:590,106588,106586,0,2,50260197508,530613920,104551,1463,572,383,391558,2 82,2,2024-09-07 08:21:51:692,77968,77968,0,0,3379965,0,2230 82,3,2024-09-07 08:21:51:753,1,61,1,0,227,1286,61,0 83,0,2024-09-07 08:21:51:537,17794,1.8,17756,1.5,35186,2.1,47142,3.25 83,1,2024-09-07 08:21:50:555,107013,107013,0,0,50003333483,527336277,105598,1315,100,383,391553,0 83,2,2024-09-07 08:21:50:779,75970,75970,0,0,4363348,0,3119 83,3,2024-09-07 08:21:50:754,1,61,1,0,91,569,61,0 84,0,2024-09-07 08:21:51:800,11625,5.6,11488,5.2,23123,1.4,31774,3.25 84,1,2024-09-07 08:21:51:041,106798,106798,0,0,49908544116,528021811,105194,1357,247,369,391638,0 84,2,2024-09-07 08:21:50:592,76826,76826,0,0,4165918,0,3801 84,3,2024-09-07 08:21:51:145,1,61,2,1,43,630,61,0 85,0,2024-09-07 08:21:51:020,11745,0.4,11744,0.6,24874,0.3,32286,2.00 85,1,2024-09-07 08:21:50:572,106386,106386,0,0,50046219771,534703894,103940,2018,428,384,391652,0 85,2,2024-09-07 08:21:50:873,76697,76697,0,0,4534001,0,3656 85,3,2024-09-07 08:21:50:728,1,61,7,0,115,717,61,0 86,0,2024-09-07 08:21:50:889,17744,0.8,18474,0.8,35727,1.0,47813,2.00 86,1,2024-09-07 08:21:50:826,106471,106471,0,0,50257729105,530262600,104682,1573,216,367,391622,0 86,2,2024-09-07 08:21:50:862,76950,76949,1,0,4808792,0,5004 86,3,2024-09-07 08:21:50:603,1,61,3,0,199,844,61,0 87,0,2024-09-07 08:21:51:307,17995,3.2,17946,1.9,36058,4.3,48753,3.50 87,1,2024-09-07 08:21:50:554,106501,106501,0,0,50039822689,528645547,104930,1450,121,368,391671,0 87,2,2024-09-07 08:21:51:070,76637,76637,0,0,3609727,0,2859 87,3,2024-09-07 08:21:51:795,1,61,1,1,322,1108,61,0 88,0,2024-09-07 08:21:51:478,13302,1.0,13508,1.0,26670,1.1,35605,2.00 88,1,2024-09-07 08:21:50:570,106379,106379,0,0,50246867909,528894109,105175,1109,95,367,391747,0 88,2,2024-09-07 08:21:50:741,77256,77256,0,0,4712928,0,3583 88,3,2024-09-07 08:21:51:276,1,61,5,1,77,798,61,0 89,0,2024-09-07 08:21:51:811,12167,0.3,11767,0.6,23411,0.3,31623,1.75 89,1,2024-09-07 08:21:50:555,105863,105863,0,0,49711964574,534997471,102644,2484,735,383,391866,0 89,2,2024-09-07 08:21:51:148,76884,76884,0,0,4421420,0,2726 89,3,2024-09-07 08:21:51:813,1,61,62,0,325,1747,61,0 90,0,2024-09-07 08:21:51:654,15451,0.5,15654,0.7,32382,0.6,42597,1.75 90,1,2024-09-07 08:21:50:611,106656,106656,0,0,49508341930,527182206,104613,1903,140,382,391825,0 90,2,2024-09-07 08:21:51:409,75860,75860,0,0,5081235,0,2635 90,3,2024-09-07 08:21:50:948,1,61,1,1,200,897,61,0 91,0,2024-09-07 08:21:50:972,19036,0.8,18346,0.8,38255,0.9,50038,2.00 91,1,2024-09-07 08:21:50:563,106633,106633,0,0,50287971293,534788321,104288,1983,362,384,391914,0 91,2,2024-09-07 08:21:51:341,76314,76314,0,0,4102281,0,1997 91,3,2024-09-07 08:21:50:612,1,61,1,1,155,851,61,0 92,0,2024-09-07 08:21:51:464,16273,1.4,16734,1.2,32008,2.5,43064,2.00 92,1,2024-09-07 08:21:50:603,107041,107041,0,0,50675059373,531156814,106397,578,66,382,391717,0 92,2,2024-09-07 08:21:51:354,78243,78243,0,0,4077729,0,2279 92,3,2024-09-07 08:21:51:016,1,61,1,1,68,734,61,0 93,0,2024-09-07 08:21:51:015,12105,0.3,12314,0.5,23449,0.2,31518,1.75 93,1,2024-09-07 08:21:50:818,106927,106927,0,0,50077139074,529235244,105171,1322,434,367,391689,0 93,2,2024-09-07 08:21:50:950,77030,77030,0,0,3736226,0,2509 93,3,2024-09-07 08:21:51:445,1,61,2,1,143,646,61,0 94,0,2024-09-07 08:21:51:658,13331,0.3,13515,0.5,26448,0.2,35647,1.50 94,1,2024-09-07 08:21:50:563,106414,106414,0,0,50098784679,530811331,104838,1511,65,381,391850,0 94,2,2024-09-07 08:21:50:789,76508,76508,0,0,3783323,0,2443 94,3,2024-09-07 08:21:51:701,1,61,1,1,231,1210,61,0 95,0,2024-09-07 08:21:51:388,18895,0.5,18677,0.7,38024,0.5,50102,1.75 95,1,2024-09-07 08:21:50:851,107144,107144,0,0,49939325985,523016757,106348,732,64,367,391590,0 95,2,2024-09-07 08:21:51:018,77046,77046,0,0,4001944,0,3308 95,3,2024-09-07 08:21:51:715,1,61,29,0,307,1237,61,0 96,0,2024-09-07 08:21:51:061,18201,1.2,18090,1.0,36225,2.1,48010,2.00 96,1,2024-09-07 08:21:51:614,107060,107060,0,0,50179411436,532481240,105224,1265,571,385,391596,0 96,2,2024-09-07 08:21:51:272,76978,76978,0,0,4557440,0,4038 96,3,2024-09-07 08:21:51:145,1,61,3,1,36,661,61,0 97,0,2024-09-07 08:21:51:324,10957,0.4,10907,0.6,21750,0.4,29118,1.75 97,1,2024-09-07 08:21:50:781,106803,106803,0,0,50585906434,534168542,104619,1667,517,367,392140,0 97,2,2024-09-07 08:21:50:626,76699,76699,0,0,3790584,0,3036 97,3,2024-09-07 08:21:50:578,1,61,5,1,165,884,61,0 98,0,2024-09-07 08:21:51:742,12520,0.2,12368,0.4,25203,0.2,33501,1.50 98,1,2024-09-07 08:21:50:574,106801,106801,0,0,50136143804,527640286,105732,1024,45,382,391588,0 98,2,2024-09-07 08:21:50:771,77789,77789,0,0,4046952,0,3080 98,3,2024-09-07 08:21:50:702,1,61,2,1,155,799,61,0 99,0,2024-09-07 08:21:51:639,18636,0.4,18544,0.5,37157,0.4,50063,1.75 99,1,2024-09-07 08:21:51:734,107269,107269,0,0,49766887577,524529650,105794,1035,440,381,391744,0 99,2,2024-09-07 08:21:51:432,78276,78276,0,0,4012299,0,1858 99,3,2024-09-07 08:21:50:589,1,61,1,0,129,663,61,0 100,0,2024-09-07 08:21:51:519,18389,2.5,18254,2.8,36499,5.2,49592,2.75 100,1,2024-09-07 08:21:50:566,106162,106162,0,0,49472143443,531739286,103424,2394,344,381,391585,0 100,2,2024-09-07 08:21:51:827,75878,75867,11,0,5074133,0,5417 100,3,2024-09-07 08:21:51:767,1,61,6,1,443,1719,61,0 101,0,2024-09-07 08:21:51:763,12412,5.1,12030,2.7,23860,2.2,33365,3.00 101,1,2024-09-07 08:21:50:554,106631,106631,0,0,49746095825,526901452,105164,1018,449,369,391709,0 101,2,2024-09-07 08:21:51:759,77286,77286,0,0,4402702,0,4644 101,3,2024-09-07 08:21:50:948,1,61,3,0,448,931,61,0 102,0,2024-09-07 08:21:50:961,12149,0.4,12540,0.6,25082,0.3,32798,1.75 102,1,2024-09-07 08:21:51:144,106612,106612,0,0,50224551373,535017033,104185,1959,468,369,391831,0 102,2,2024-09-07 08:21:51:758,77176,77176,0,0,3607551,0,1945 102,3,2024-09-07 08:21:51:615,1,61,2,0,410,789,61,0 103,0,2024-09-07 08:21:51:703,17494,0.7,17541,0.8,33148,0.7,46223,2.00 103,1,2024-09-07 08:21:51:660,106706,106706,0,0,50442029473,532339538,105487,1075,144,381,391680,0 103,2,2024-09-07 08:21:50:584,76810,76810,0,0,3910257,0,2104 103,3,2024-09-07 08:21:50:755,1,61,2,1,486,1033,61,0 104,0,2024-09-07 08:21:51:058,18608,2.6,18671,1.6,36231,3.9,49819,2.75 104,1,2024-09-07 08:21:51:609,107421,107421,0,0,49384572469,524654980,105516,1579,326,368,391948,0 104,2,2024-09-07 08:21:51:675,75747,75747,0,0,4513864,0,3941 104,3,2024-09-07 08:21:51:426,1,61,24,1,1245,3551,61,0 105,0,2024-09-07 08:21:51:050,14444,2.0,13915,1.8,28956,3.2,38780,3.75 105,1,2024-09-07 08:21:50:577,106684,106684,0,0,49907505340,532965592,103743,2196,745,367,391797,0 105,2,2024-09-07 08:21:51:356,77065,77065,0,0,4228630,0,3314 105,3,2024-09-07 08:21:51:326,1,61,13,1,182,1166,61,0 106,0,2024-09-07 08:21:50:998,11342,0.3,11672,0.6,23688,0.2,30653,1.75 106,1,2024-09-07 08:21:51:757,106931,106931,0,0,49885038386,530267908,104532,2073,326,370,391767,0 106,2,2024-09-07 08:21:50:787,76439,76439,0,0,4303075,0,2795 106,3,2024-09-07 08:21:50:683,1,61,9,1,201,847,61,0 107,0,2024-09-07 08:21:51:170,14521,0.5,14431,0.7,28765,0.5,38797,1.75 107,1,2024-09-07 08:21:50:588,106336,106336,0,0,49796790500,529381012,104548,1617,171,382,392234,0 107,2,2024-09-07 08:21:51:298,76707,76706,1,0,4146175,0,5024 107,3,2024-09-07 08:21:51:774,1,61,11,0,353,1257,61,0 108,0,2024-09-07 08:21:51:890,18394,0.7,18682,0.8,37005,0.9,48960,1.75 108,1,2024-09-07 08:21:51:296,106823,106823,0,0,50519443870,532897333,105396,1294,133,371,391857,0 108,2,2024-09-07 08:21:51:794,76777,76777,0,0,4054623,0,2647 108,3,2024-09-07 08:21:51:336,1,61,6,1,60,802,61,0 109,0,2024-09-07 08:21:51:816,17188,1.0,17340,1.0,34086,1.2,45931,2.00 109,1,2024-09-07 08:21:50:620,106314,106314,0,0,49934455301,530553071,104708,1223,383,383,391664,0 109,2,2024-09-07 08:21:50:935,76071,76071,0,0,4403580,0,3617 109,3,2024-09-07 08:21:51:149,1,61,10,1,249,1163,61,0 110,0,2024-09-07 08:21:51:791,11728,0.3,11434,0.5,23801,0.2,31047,1.50 110,1,2024-09-07 08:21:51:657,107116,107116,0,0,50601807103,530578914,105800,1031,285,370,391588,0 110,2,2024-09-07 08:21:51:316,77430,77430,0,0,3716438,0,2915 110,3,2024-09-07 08:21:50:690,1,61,1,0,183,676,61,0 111,0,2024-09-07 08:21:51:420,12478,0.2,12462,0.4,24890,0.1,33684,1.50 111,1,2024-09-07 08:21:51:009,107360,107360,0,0,50753288300,527892471,106717,630,13,382,391690,0 111,2,2024-09-07 08:21:51:126,77401,77401,0,0,3880696,0,2763 111,3,2024-09-07 08:21:50:913,1,61,0,0,300,1357,61,0 112,0,2024-09-07 08:21:50:928,19642,0.5,19758,0.6,39109,0.4,52323,1.75 112,1,2024-09-07 08:21:50:831,106790,106790,0,0,49997251012,526493487,105282,1162,346,381,391580,0 112,2,2024-09-07 08:21:51:135,77441,77440,1,0,4325268,0,5036 112,3,2024-09-07 08:21:50:595,1,61,0,0,282,825,61,0 113,0,2024-09-07 08:21:50:936,17940,0.7,17803,0.8,36171,0.7,48204,1.75 113,1,2024-09-07 08:21:51:690,107141,107141,0,0,50445018635,529477532,105253,1504,384,368,391661,0 113,2,2024-09-07 08:21:51:306,76557,76557,0,0,3561204,0,3021 113,3,2024-09-07 08:21:50:690,1,61,1,0,288,1107,61,0 114,0,2024-09-07 08:21:50:885,11967,4.2,12089,2.7,23830,1.4,32243,2.25 114,1,2024-09-07 08:21:50:719,106745,106745,0,0,50305961003,534859843,104139,1725,881,381,391519,0 114,2,2024-09-07 08:21:50:873,76746,76746,0,0,4155036,0,3925 114,3,2024-09-07 08:21:51:278,1,61,22,0,159,733,61,0 115,0,2024-09-07 08:21:50:558,12014,0.2,12068,0.4,24251,0.1,32442,1.50 115,1,2024-09-07 08:21:50:572,107054,107054,0,0,50408729637,533151002,104681,1886,487,383,391510,0 115,2,2024-09-07 08:21:51:129,77590,77590,0,0,3498228,0,2152 115,3,2024-09-07 08:21:51:008,1,61,1,0,159,458,61,0 116,0,2024-09-07 08:21:51:762,17922,0.8,17801,1.0,35722,1.0,47838,2.00 116,1,2024-09-07 08:21:50:812,106164,106164,0,0,50602038612,540554497,104292,1002,870,382,391605,0 116,2,2024-09-07 08:21:51:786,77173,77173,0,0,4492998,0,3529 116,3,2024-09-07 08:21:50:926,1,61,11,1,252,1235,61,0 117,0,2024-09-07 08:21:51:010,18078,2.8,18173,1.6,36224,4.0,48802,2.25 117,1,2024-09-07 08:21:51:585,107084,107084,0,0,50056731567,531917503,104920,1872,292,371,392033,0 117,2,2024-09-07 08:21:51:128,76765,76765,0,0,3916651,0,3700 117,3,2024-09-07 08:21:51:069,1,61,1,0,490,1722,61,0 118,0,2024-09-07 08:21:51:815,12918,1.0,13224,1.0,27151,1.2,35471,2.25 118,1,2024-09-07 08:21:50:598,107219,107219,0,0,49724867835,525419879,105989,1152,78,368,391736,0 118,2,2024-09-07 08:21:51:598,77396,77396,0,0,4285000,0,2781 118,3,2024-09-07 08:21:51:786,1,61,10,1,235,1103,61,0 119,0,2024-09-07 08:21:51:352,11592,0.3,11643,0.5,23885,0.2,31365,1.75 119,1,2024-09-07 08:21:50:558,107022,107022,0,0,50069477245,529066072,105416,1457,149,371,391617,0 119,2,2024-09-07 08:21:51:262,76548,76548,0,0,4029543,0,2532 119,3,2024-09-07 08:21:51:328,1,61,2,1,443,2069,61,0 120,0,2024-09-07 08:21:51:624,15696,0.8,15653,1.0,31306,0.7,42376,2.25 120,1,2024-09-07 08:21:50:875,106641,106641,0,0,49436341773,524207830,105138,1488,15,368,391702,0 120,2,2024-09-07 08:21:50:782,76021,76020,1,0,4985246,0,5281 120,3,2024-09-07 08:21:51:296,1,61,7,1,241,1060,61,0 121,0,2024-09-07 08:21:51:792,18502,2.8,18650,1.7,37300,4.0,50037,2.75 121,1,2024-09-07 08:21:51:666,106869,106869,0,0,50205413892,531104402,105005,1597,267,368,391807,0 121,2,2024-09-07 08:21:51:130,75873,75873,0,0,5311546,0,4127 121,3,2024-09-07 08:21:50:732,1,61,65,0,101,699,61,0 122,0,2024-09-07 08:21:51:831,16100,2.6,15520,2.2,32347,2.9,43284,2.50 122,1,2024-09-07 08:21:50:861,105891,105891,0,0,49528844627,530195941,102894,2473,524,368,392130,0 122,2,2024-09-07 08:21:51:333,77236,77236,0,0,5398353,0,3364 122,3,2024-09-07 08:21:50:609,1,61,4,1,226,1357,61,0 123,0,2024-09-07 08:21:50:962,12090,0.3,11723,0.5,24377,0.2,31514,1.75 123,1,2024-09-07 08:21:50:564,106866,106866,0,0,50279151953,538765585,103448,2850,568,369,391823,0 123,2,2024-09-07 08:21:51:026,76719,76718,1,0,4332158,0,5215 123,3,2024-09-07 08:21:51:136,1,61,2,1,160,885,61,0 124,0,2024-09-07 08:21:50:942,13561,0.3,13571,0.5,25827,0.2,35654,1.75 124,1,2024-09-07 08:21:51:027,107516,107516,0,0,50008239494,522188941,106725,648,143,367,392178,0 124,2,2024-09-07 08:21:51:016,76205,76205,0,0,3491958,0,2477 124,3,2024-09-07 08:21:50:778,1,61,1,1,490,1526,61,0 125,0,2024-09-07 08:21:51:461,18959,0.7,19009,0.8,38086,0.8,50052,2.00 125,1,2024-09-07 08:21:50:856,106839,106839,0,0,49726735852,525560377,105108,1459,272,384,391702,0 125,2,2024-09-07 08:21:51:118,77201,77201,0,0,3858596,0,2180 125,3,2024-09-07 08:21:51:128,1,61,1,1,93,945,61,0 126,0,2024-09-07 08:21:51:464,18198,1.4,18599,1.1,35666,2.3,48368,2.00 126,1,2024-09-07 08:21:50:559,107399,107399,0,0,50398219430,527123430,106356,991,52,365,391719,0 126,2,2024-09-07 08:21:50:612,77005,77005,0,0,4143134,0,3186 126,3,2024-09-07 08:21:50:907,1,61,1,0,122,873,61,0 127,0,2024-09-07 08:21:51:618,11033,0.2,10883,0.4,21832,0.2,29044,1.50 127,1,2024-09-07 08:21:50:570,107090,107090,0,0,49782374830,520229013,105957,1110,23,365,391614,0 127,2,2024-09-07 08:21:50:638,77007,77007,0,0,3371483,0,1803 127,3,2024-09-07 08:21:51:271,1,61,2,0,99,579,61,0 128,0,2024-09-07 08:21:51:548,12533,0.3,12602,0.5,24765,0.2,33433,1.50 128,1,2024-09-07 08:21:51:627,107007,107007,0,0,50101642342,522939649,106294,643,70,369,391605,0 128,2,2024-09-07 08:21:51:387,76819,76819,0,0,4248770,0,2107 128,3,2024-09-07 08:21:50:780,1,61,1,1,112,820,61,0 129,0,2024-09-07 08:21:51:005,18674,0.5,18768,0.6,37120,0.5,50063,1.75 129,1,2024-09-07 08:21:50:570,106721,106721,0,0,50684598606,536275916,104905,1544,272,379,391835,0 129,2,2024-09-07 08:21:50:694,76929,76929,0,0,3661052,0,2446 129,3,2024-09-07 08:21:50:727,1,61,1,1,173,1074,61,0 130,0,2024-09-07 08:21:51:812,18599,1.6,18438,1.2,37038,2.3,50022,2.25 130,1,2024-09-07 08:21:50:589,107095,107095,0,0,49564206377,522354361,105790,1274,31,381,391609,0 130,2,2024-09-07 08:21:51:130,76348,76348,0,0,4317964,0,4067 130,3,2024-09-07 08:21:51:295,1,61,1,1,207,610,61,0 131,0,2024-09-07 08:21:51:940,12458,1.3,12295,1.1,24969,1.9,33314,2.00 131,1,2024-09-07 08:21:51:841,107439,107439,0,0,50043927935,525624738,106430,823,186,384,391865,0 131,2,2024-09-07 08:21:50:573,76953,76953,0,0,3534686,0,2415 131,3,2024-09-07 08:21:51:690,1,61,2,0,392,1029,61,0 132,0,2024-09-07 08:21:51:486,12293,0.4,12536,0.7,24704,0.3,32912,1.75 132,1,2024-09-07 08:21:50:589,106157,106157,0,0,49390112095,527511568,103594,1858,705,382,391574,0 132,2,2024-09-07 08:21:50:699,76884,76884,0,0,5588107,0,4606 132,3,2024-09-07 08:21:51:692,1,61,1,1,356,961,61,0 133,0,2024-09-07 08:21:51:588,16752,0.6,16982,0.7,35141,0.6,45645,2.00 133,1,2024-09-07 08:21:50:612,105921,105921,0,0,50160755472,534555598,103800,2027,94,383,391755,0 133,2,2024-09-07 08:21:51:108,77220,77220,0,0,4573913,0,2444 133,3,2024-09-07 08:21:51:303,1,61,2,1,187,562,61,0 134,0,2024-09-07 08:21:50:970,18808,1.3,18808,1.2,37602,1.9,49964,2.25 134,1,2024-09-07 08:21:50:597,106959,106959,0,0,49742346451,529610584,104344,1635,980,366,391718,0 134,2,2024-09-07 08:21:51:772,76123,76123,0,0,3629836,0,2026 134,3,2024-09-07 08:21:50:754,1,61,2,1,739,1810,61,0 135,0,2024-09-07 08:21:51:132,14176,2.0,14208,1.8,29999,2.2,38687,2.50 135,1,2024-09-07 08:21:51:595,106363,106363,0,0,50479134624,534718529,104421,1616,326,383,391703,0 135,2,2024-09-07 08:21:50:691,77793,77793,0,0,4496681,0,3981 135,3,2024-09-07 08:21:51:008,1,61,0,0,68,435,61,0 136,0,2024-09-07 08:21:51:644,11758,0.4,11731,0.7,23400,0.3,30864,2.00 136,1,2024-09-07 08:21:51:446,106936,106936,0,0,49812132009,527813288,105040,1749,147,384,391621,0 136,2,2024-09-07 08:21:51:144,77466,77466,0,0,3882571,0,2379 136,3,2024-09-07 08:21:51:137,1,61,1,1,108,811,61,0 137,0,2024-09-07 08:21:50:947,14800,1.1,14391,1.0,28565,1.3,38897,2.00 137,1,2024-09-07 08:21:50:576,106710,106710,0,0,50452261402,533234257,104745,1852,113,368,391608,0 137,2,2024-09-07 08:21:51:714,76247,76247,0,0,4761287,0,2632 137,3,2024-09-07 08:21:50:770,1,61,1,1,227,917,61,0 138,0,2024-09-07 08:21:51:892,17951,3.0,18124,1.8,36654,4.0,48829,3.25 138,1,2024-09-07 08:21:51:700,106404,106404,0,0,50651637035,536889177,104088,2055,261,370,391615,0 138,2,2024-09-07 08:21:50:590,77512,77512,0,0,4066787,0,3263 138,3,2024-09-07 08:21:50:611,1,61,1,1,1160,1751,61,0 139,0,2024-09-07 08:21:51:428,16632,4.7,16597,2.6,33504,5.7,45254,4.00 139,1,2024-09-07 08:21:50:593,106360,106360,0,0,49337065439,531985209,103478,2154,728,381,391892,0 139,2,2024-09-07 08:21:50:707,76386,76386,0,0,5039723,0,3097 139,3,2024-09-07 08:21:51:669,1,61,10,0,244,1280,61,0 140,0,2024-09-07 08:21:51:599,11701,0.3,11533,0.5,23423,0.2,31064,1.75 140,1,2024-09-07 08:21:51:546,107842,107842,0,0,50682999293,527441016,106915,730,197,365,391483,0 140,2,2024-09-07 08:21:50:729,77162,77162,0,0,4284075,0,3388 140,3,2024-09-07 08:21:50:777,1,61,0,0,25,510,61,0 141,0,2024-09-07 08:21:51:707,12256,0.2,12835,0.4,24803,0.1,33640,1.50 141,1,2024-09-07 08:21:50:861,107519,107519,0,0,50261921350,527356485,106100,1088,331,382,391538,0 141,2,2024-09-07 08:21:51:693,77667,77667,0,0,3805798,0,2342 141,3,2024-09-07 08:21:51:049,1,61,31,0,147,526,61,0 142,0,2024-09-07 08:21:51:334,19864,0.4,19625,0.6,38977,0.4,52335,1.75 142,1,2024-09-07 08:21:50:588,107532,107532,0,0,50712058485,530629398,106985,532,15,384,391649,0 142,2,2024-09-07 08:21:51:299,76595,76595,0,0,4093210,0,2293 142,3,2024-09-07 08:21:51:751,1,61,0,0,484,1157,61,0 143,0,2024-09-07 08:21:51:418,17907,1.3,17968,1.0,36206,1.7,48070,2.00 143,1,2024-09-07 08:21:50:556,107228,107228,0,0,50176701652,523921590,106258,945,25,367,391608,0 143,2,2024-09-07 08:21:50:787,76125,76125,0,0,4458630,0,2669 143,3,2024-09-07 08:21:51:162,1,61,1,1,236,1068,61,0 144,0,2024-09-07 08:21:51:560,11396,2.0,11863,6.9,23675,2.1,32051,2.50 144,1,2024-09-07 08:21:50:567,106444,106444,0,0,49671243132,526752187,104881,1374,189,383,391638,0 144,2,2024-09-07 08:21:51:759,77295,77295,0,0,3883543,0,3473 144,3,2024-09-07 08:21:51:762,1,61,2,1,169,1148,61,0 145,0,2024-09-07 08:21:51:537,11632,0.4,11621,0.6,24650,0.2,32282,2.00 145,1,2024-09-07 08:21:50:562,106246,106246,0,0,50545781328,536060797,104327,1657,262,383,391615,0 145,2,2024-09-07 08:21:51:439,76917,76917,0,0,4673818,0,3903 145,3,2024-09-07 08:21:50:906,1,61,1,0,151,862,61,0 146,0,2024-09-07 08:21:51:631,17835,0.8,17844,0.9,35742,1.0,47593,2.25 146,1,2024-09-07 08:21:51:587,107148,107148,0,0,50427920603,534886795,104857,1990,301,370,391600,0 146,2,2024-09-07 08:21:51:707,76521,76521,0,0,4195475,0,2379 146,3,2024-09-07 08:21:51:276,1,61,1,0,1520,2934,61,0 147,0,2024-09-07 08:21:51:725,18078,3.4,17777,2.0,35326,4.9,49028,3.50 147,1,2024-09-07 08:21:51:387,106958,106958,0,0,50170870962,526402556,105250,1354,354,369,391791,0 147,2,2024-09-07 08:21:51:017,77108,77108,0,0,4185069,0,2789 147,3,2024-09-07 08:21:50:916,1,61,2,1,141,764,61,0 0,0,2024-09-07 08:22:01:883,15748,0.9,15694,0.9,33349,1.1,43676,2.00 0,1,2024-09-07 08:22:00:810,108791,108791,0,0,50646533209,536097451,107575,1090,126,372,391673,0 0,2,2024-09-07 08:22:01:078,77807,77807,0,0,4368021,0,4480 0,3,2024-09-07 08:22:00:974,1,62,0,0,247,1051,62,0 1,0,2024-09-07 08:22:01:811,18570,3.2,18544,2.0,37287,4.8,50141,3.00 1,1,2024-09-07 08:22:00:564,108132,108132,0,0,50191446365,532020246,106449,1155,528,372,391857,0 1,2,2024-09-07 08:22:00:665,77459,77459,0,0,3973522,0,3267 1,3,2024-09-07 08:22:01:310,1,62,24,0,167,685,62,0 2,0,2024-09-07 08:22:01:592,15961,1.8,16141,1.5,31984,3.7,42712,2.50 2,1,2024-09-07 08:22:00:885,108628,108628,0,0,51117546318,536209380,107550,875,203,382,391558,0 2,2,2024-09-07 08:22:01:280,77846,77846,0,0,4151364,0,3304 2,3,2024-09-07 08:22:00:691,1,62,0,0,214,686,62,0 3,0,2024-09-07 08:22:01:757,12173,0.3,12128,0.6,24323,0.2,31553,1.75 3,1,2024-09-07 08:22:01:623,108186,108186,0,0,50580544283,533798571,106208,1592,386,382,391514,0 3,2,2024-09-07 08:22:01:162,78016,77993,23,0,4616286,0,5851 3,3,2024-09-07 08:22:01:754,1,62,6,0,103,552,62,0 4,0,2024-09-07 08:22:01:781,13228,0.3,13616,0.5,27699,0.2,36619,1.75 4,1,2024-09-07 08:22:00:617,108445,108445,0,0,50766397722,540178339,106597,1432,416,371,391846,0 4,2,2024-09-07 08:22:01:024,77780,77780,0,0,5064367,0,4528 4,3,2024-09-07 08:22:01:035,1,62,7,1,287,1194,62,0 5,0,2024-09-07 08:22:01:411,19083,0.9,18852,0.9,38149,1.1,50222,2.00 5,1,2024-09-07 08:22:00:783,108273,108273,0,0,50908843882,541239241,106077,1757,439,368,392005,0 5,2,2024-09-07 08:22:01:844,77961,77961,0,0,4020939,0,2259 5,3,2024-09-07 08:22:01:742,1,62,52,1,238,1421,62,0 6,0,2024-09-07 08:22:00:936,18338,1.5,18095,1.4,36150,2.2,48711,3.00 6,1,2024-09-07 08:22:00:747,108965,108965,0,0,50528274612,530051681,107862,1034,69,381,391603,0 6,2,2024-09-07 08:22:01:116,78311,78311,0,0,4187674,0,2411 6,3,2024-09-07 08:22:01:297,1,62,1,1,340,1407,62,0 7,0,2024-09-07 08:22:01:545,11084,0.3,11072,0.5,22290,0.2,29853,1.75 7,1,2024-09-07 08:22:00:852,108856,108856,0,0,51090845741,536723825,107876,916,64,383,391664,0 7,2,2024-09-07 08:22:00:775,77819,77819,0,0,3978352,0,2981 7,3,2024-09-07 08:22:00:851,1,62,0,0,83,581,62,0 8,0,2024-09-07 08:22:01:407,13013,0.3,12855,0.5,25314,0.2,34207,1.50 8,1,2024-09-07 08:22:01:024,108527,108527,0,0,50570727111,544792303,105053,2221,1253,368,391724,0 8,2,2024-09-07 08:22:00:796,77490,77490,0,0,4842960,0,2986 8,3,2024-09-07 08:22:00:597,1,62,2,0,229,1003,62,0 9,0,2024-09-07 08:22:01:160,19034,0.4,18609,0.6,38796,0.4,51289,1.75 9,1,2024-09-07 08:22:00:559,108722,108722,0,0,51073908724,542474800,106442,1714,566,370,391576,0 9,2,2024-09-07 08:22:01:086,78497,78497,0,0,4430816,0,3360 9,3,2024-09-07 08:22:01:759,1,62,1,0,149,962,62,0 10,0,2024-09-07 08:22:01:623,18667,1.1,18740,1.0,37482,1.1,50269,2.50 10,1,2024-09-07 08:22:00:601,108048,108048,0,0,50680047521,541957910,104714,2812,522,383,391541,0 10,2,2024-09-07 08:22:00:771,77053,77053,0,0,4572553,0,2940 10,3,2024-09-07 08:22:00:871,1,62,1,0,136,542,62,0 11,0,2024-09-07 08:22:01:021,12167,2.7,11923,1.8,24960,5.2,33666,2.50 11,1,2024-09-07 08:22:00:576,108182,108182,0,0,50581891127,538377194,105543,1824,815,384,391537,0 11,2,2024-09-07 08:22:01:123,78281,78281,0,0,4296448,0,2635 11,3,2024-09-07 08:22:01:298,1,62,1,0,720,2168,62,0 12,0,2024-09-07 08:22:00:977,12730,0.3,12757,0.5,25376,0.2,33457,1.75 12,1,2024-09-07 08:22:00:956,108393,108393,0,0,50195501933,530683722,106757,1537,99,371,391790,0 12,2,2024-09-07 08:22:01:574,79310,79310,0,0,4048553,0,2254 12,3,2024-09-07 08:22:01:068,1,62,2,1,358,1333,62,0 13,0,2024-09-07 08:22:01:366,17534,0.4,17626,0.6,35169,0.4,47127,1.75 13,1,2024-09-07 08:22:01:536,108775,108775,0,0,51033374761,541411271,107189,1220,366,384,391717,0 13,2,2024-09-07 08:22:00:602,78320,78320,0,0,3785902,0,3287 13,3,2024-09-07 08:22:01:765,1,62,1,1,373,1189,62,0 14,0,2024-09-07 08:22:00:575,18924,1.2,18988,1.2,37642,1.5,50212,2.50 14,1,2024-09-07 08:22:01:567,109555,109555,0,0,50732967960,529123570,108677,848,30,365,391546,0 14,2,2024-09-07 08:22:00:776,77070,77070,0,0,4296122,0,2793 14,3,2024-09-07 08:22:01:115,1,62,1,0,906,1258,62,0 15,0,2024-09-07 08:22:01:563,14595,2.4,14476,1.7,29035,4.5,38882,3.25 15,1,2024-09-07 08:22:01:612,108642,108642,0,0,50698473463,530699119,107858,749,35,381,391536,0 15,2,2024-09-07 08:22:00:998,79060,79060,0,0,3403555,0,3043 15,3,2024-09-07 08:22:01:405,1,62,1,0,538,1833,62,0 16,0,2024-09-07 08:22:00:992,11727,0.4,11950,0.6,23432,0.3,31006,2.00 16,1,2024-09-07 08:22:00:576,108332,108332,0,0,50240169368,530469108,107212,1095,25,372,391543,0 16,2,2024-09-07 08:22:01:446,77528,77528,0,0,4723409,0,4719 16,3,2024-09-07 08:22:01:146,1,62,1,1,231,1218,62,0 17,0,2024-09-07 08:22:01:906,15356,0.6,15031,0.8,29418,0.6,40358,2.00 17,1,2024-09-07 08:22:00:573,108421,108421,0,0,51015412713,543102259,106553,1346,522,369,391688,0 17,2,2024-09-07 08:22:01:672,78233,78233,0,0,3630608,0,2857 17,3,2024-09-07 08:22:00:597,1,62,7,0,268,1373,62,0 18,0,2024-09-07 08:22:00:948,18087,1.7,18286,1.4,36767,2.1,48641,2.75 18,1,2024-09-07 08:22:01:641,108460,108460,0,0,50308940088,527866504,107212,1030,218,368,391555,0 18,2,2024-09-07 08:22:01:770,77553,77553,0,0,4499852,0,3541 18,3,2024-09-07 08:22:00:896,1,62,3,0,163,921,62,0 19,0,2024-09-07 08:22:01:568,16843,1.9,16986,1.6,33548,2.0,44571,5.50 19,1,2024-09-07 08:22:00:569,108890,108890,0,0,51547121036,543557803,107025,1702,163,367,391696,0 19,2,2024-09-07 08:22:01:759,78638,78638,0,0,4129497,0,3988 19,3,2024-09-07 08:22:01:136,1,62,1,0,524,1058,62,0 20,0,2024-09-07 08:22:01:433,11954,0.3,11984,0.5,23642,0.2,31283,2.00 20,1,2024-09-07 08:22:00:582,108841,108841,0,0,51530293451,544358825,107150,1529,162,370,391598,0 20,2,2024-09-07 08:22:00:931,78068,78068,0,0,3840950,0,2446 20,3,2024-09-07 08:22:00:606,1,62,14,1,99,871,62,0 21,0,2024-09-07 08:22:01:281,13209,0.4,13140,0.6,25954,0.3,34662,2.00 21,1,2024-09-07 08:22:01:557,108093,108093,0,0,50525420663,538341959,105798,1805,490,368,391962,0 21,2,2024-09-07 08:22:01:072,77970,77970,0,0,4129428,0,3747 21,3,2024-09-07 08:22:01:411,1,62,5,0,93,974,62,0 22,0,2024-09-07 08:22:01:727,19420,2.2,19370,1.5,38704,4.0,51671,3.25 22,1,2024-09-07 08:22:01:024,108223,108223,0,0,50557792568,539783705,105184,2314,725,382,391667,0 22,2,2024-09-07 08:22:00:767,78166,78166,0,0,3713920,0,3134 22,3,2024-09-07 08:22:01:069,1,62,1,0,62,349,62,0 23,0,2024-09-07 08:22:01:388,18112,1.6,18328,1.2,36393,2.4,48803,2.75 23,1,2024-09-07 08:22:01:009,109093,109093,0,0,51011183410,533578408,107747,1092,254,368,391496,0 23,2,2024-09-07 08:22:01:092,77790,77790,0,0,3851529,0,2078 23,3,2024-09-07 08:22:01:769,1,62,3,0,645,1280,62,0 24,0,2024-09-07 08:22:00:866,12366,0.7,12442,0.8,24668,0.8,32851,1.75 24,1,2024-09-07 08:22:00:598,109092,109092,0,0,50448381455,528565610,108287,798,7,369,391640,0 24,2,2024-09-07 08:22:01:069,77667,77667,0,0,4878561,0,2942 24,3,2024-09-07 08:22:01:695,1,62,2,1,234,953,62,0 25,0,2024-09-07 08:22:01:381,12786,0.3,12606,0.5,24433,0.2,33739,1.75 25,1,2024-09-07 08:22:00:559,108380,108380,0,0,50349109667,535260137,106236,1829,315,371,391788,0 25,2,2024-09-07 08:22:01:621,78277,78277,0,0,4443825,0,3284 25,3,2024-09-07 08:22:01:007,1,62,1,1,158,655,62,0 26,0,2024-09-07 08:22:01:735,18058,0.5,17714,0.6,36941,0.4,48670,1.75 26,1,2024-09-07 08:22:01:545,108537,108537,0,0,50563818126,541177748,105076,2626,835,381,391564,0 26,2,2024-09-07 08:22:00:864,78684,78684,0,0,4591639,0,2809 26,3,2024-09-07 08:22:01:721,1,62,1,0,796,1225,62,0 27,0,2024-09-07 08:22:01:743,18419,1.4,18508,1.0,36980,2.0,50002,2.00 27,1,2024-09-07 08:22:01:694,109415,109415,0,0,51677224203,539854268,108346,774,295,381,391539,0 27,2,2024-09-07 08:22:00:868,76219,76219,0,0,5496380,0,3409 27,3,2024-09-07 08:22:01:015,1,62,3,0,564,1009,62,0 28,0,2024-09-07 08:22:01:417,13476,0.8,13676,0.9,27089,1.0,36156,2.00 28,1,2024-09-07 08:22:00:804,109079,109079,0,0,51783819137,543107362,108021,858,200,383,391646,0 28,2,2024-09-07 08:22:01:771,78476,78476,0,0,3618243,0,2609 28,3,2024-09-07 08:22:01:809,1,62,8,0,502,1149,62,0 29,0,2024-09-07 08:22:01:430,12333,0.3,12063,0.5,23731,0.2,32449,1.75 29,1,2024-09-07 08:22:01:566,109763,109763,0,0,50895587271,528874308,109020,571,172,369,391621,0 29,2,2024-09-07 08:22:00:877,78994,78994,0,0,3159665,0,2026 29,3,2024-09-07 08:22:00:962,1,62,1,0,105,645,62,0 30,0,2024-09-07 08:22:01:470,16206,0.7,15788,0.8,32930,0.7,43742,2.00 30,1,2024-09-07 08:22:00:572,108843,108843,0,0,51526716033,539839396,107870,908,65,382,391524,0 30,2,2024-09-07 08:22:01:293,78319,78319,0,0,3201129,0,2534 30,3,2024-09-07 08:22:00:591,1,62,2,0,195,607,62,0 31,0,2024-09-07 08:22:01:773,18749,1.8,18604,1.3,37774,3.0,49844,3.25 31,1,2024-09-07 08:22:00:570,109276,109276,0,0,51494427700,530255153,109027,247,2,356,391553,0 31,2,2024-09-07 08:22:01:294,77924,77924,0,0,4591862,0,3525 31,3,2024-09-07 08:22:01:711,1,62,4,0,129,539,62,0 32,0,2024-09-07 08:22:01:435,16288,1.7,16396,1.2,32885,3.0,43367,2.00 32,1,2024-09-07 08:22:00:817,108686,108686,0,0,50889840724,532879882,107687,970,29,382,391595,0 32,2,2024-09-07 08:22:00:946,78801,78801,0,0,3884831,0,3155 32,3,2024-09-07 08:22:01:019,1,62,1,0,110,617,62,0 33,0,2024-09-07 08:22:01:505,12143,0.2,12010,0.4,24436,0.2,31461,1.75 33,1,2024-09-07 08:22:00:591,109034,109034,0,0,51721105798,541747841,107373,1538,123,369,391526,0 33,2,2024-09-07 08:22:00:767,77913,77880,33,0,5430280,0,7012 33,3,2024-09-07 08:22:00:896,1,62,129,0,129,488,62,0 34,0,2024-09-07 08:22:00:939,13606,0.2,14059,0.4,26981,0.2,36469,1.50 34,1,2024-09-07 08:22:01:044,109211,109211,0,0,51332790720,532037884,108619,588,4,367,391517,0 34,2,2024-09-07 08:22:00:767,77762,77762,0,0,4445449,0,3255 34,3,2024-09-07 08:22:01:687,1,62,1,0,148,665,62,0 35,0,2024-09-07 08:22:00:870,18794,1.0,18969,0.9,37907,1.3,50129,2.00 35,1,2024-09-07 08:22:01:068,108951,108951,0,0,51651714169,540495340,107486,1104,361,384,391587,0 35,2,2024-09-07 08:22:01:584,77961,77961,0,0,3858400,0,2542 35,3,2024-09-07 08:22:00:918,1,62,1,0,219,1031,62,0 36,0,2024-09-07 08:22:01:528,18335,2.1,18182,1.4,36755,3.2,48732,2.75 36,1,2024-09-07 08:22:00:601,108629,108629,0,0,51039267331,542555405,105618,2080,931,366,391535,0 36,2,2024-09-07 08:22:01:759,77750,77750,0,0,4667772,0,3303 36,3,2024-09-07 08:22:00:863,1,62,3,0,378,1051,62,0 37,0,2024-09-07 08:22:01:389,11160,0.3,11139,0.5,22396,0.2,30009,1.75 37,1,2024-09-07 08:22:00:569,108012,108005,0,7,50859499373,544158881,105166,1322,1517,365,391518,0 37,2,2024-09-07 08:22:01:155,78120,78120,0,0,3462506,0,2333 37,3,2024-09-07 08:22:01:766,1,62,1,0,724,1755,62,0 38,0,2024-09-07 08:22:01:455,12817,0.3,12387,0.5,25796,0.2,34123,1.75 38,1,2024-09-07 08:22:01:622,108632,108632,0,0,50967028158,538463063,106490,1695,447,370,391513,0 38,2,2024-09-07 08:22:00:772,78631,78631,0,0,4271574,0,3245 38,3,2024-09-07 08:22:00:997,1,62,1,0,603,1012,62,0 39,0,2024-09-07 08:22:01:781,19741,0.6,19433,0.7,37675,0.8,51725,2.00 39,1,2024-09-07 08:22:00:723,108667,108667,0,0,50264188014,532518898,106053,1976,638,366,391524,0 39,2,2024-09-07 08:22:01:422,78463,78463,0,0,3935681,0,2381 39,3,2024-09-07 08:22:00:714,1,62,0,0,276,1170,62,0 40,0,2024-09-07 08:22:01:514,18333,2.2,18611,2.4,36774,4.5,49876,3.75 40,1,2024-09-07 08:22:00:580,108343,108343,0,0,49842680574,531029902,105092,2498,753,370,391591,0 40,2,2024-09-07 08:22:01:310,76950,76949,1,0,4844101,0,5137 40,3,2024-09-07 08:22:01:146,1,62,1,1,110,737,62,0 41,0,2024-09-07 08:22:01:031,11929,1.1,12276,6.1,23473,4.5,32546,3.50 41,1,2024-09-07 08:22:00:768,108587,108587,0,0,51142868506,541328292,106279,1995,313,370,391484,0 41,2,2024-09-07 08:22:00:767,78638,78638,0,0,4968890,0,3356 41,3,2024-09-07 08:22:01:692,1,62,1,0,168,650,62,0 42,0,2024-09-07 08:22:01:522,12536,0.4,12665,0.7,25253,0.3,33529,2.00 42,1,2024-09-07 08:22:01:442,108182,108182,0,0,50313232298,537478144,105189,2163,830,381,391511,0 42,2,2024-09-07 08:22:01:137,78668,78668,0,0,4368647,0,3568 42,3,2024-09-07 08:22:01:009,1,62,81,0,100,633,62,0 43,0,2024-09-07 08:22:00:945,17519,1.2,17062,1.1,35560,1.5,47196,2.00 43,1,2024-09-07 08:22:00:578,108565,108565,0,0,50381438335,532232017,106375,1438,752,367,391604,0 43,2,2024-09-07 08:22:01:736,78672,78672,0,0,4573702,0,3812 43,3,2024-09-07 08:22:01:757,1,62,123,0,292,1287,62,0 44,0,2024-09-07 08:22:00:873,18967,1.1,18917,1.2,37647,1.3,50687,2.25 44,1,2024-09-07 08:22:00:565,108935,108935,0,0,50153993433,522315661,107918,910,107,356,391523,0 44,2,2024-09-07 08:22:01:298,77244,77244,0,0,3333505,0,1865 44,3,2024-09-07 08:22:01:094,1,62,1,1,817,1314,62,0 45,0,2024-09-07 08:22:01:769,14411,3.0,14098,1.8,29647,5.5,39189,2.25 45,1,2024-09-07 08:22:01:023,109000,109000,0,0,51464160295,536492972,108534,465,1,382,391917,0 45,2,2024-09-07 08:22:01:267,79200,79200,0,0,3955039,0,2628 45,3,2024-09-07 08:22:00:938,1,62,1,1,226,766,62,0 46,0,2024-09-07 08:22:00:965,11837,0.2,11821,0.5,23762,0.1,31024,1.50 46,1,2024-09-07 08:22:00:577,109031,109031,0,0,51273129107,533010962,108201,794,36,368,391514,0 46,2,2024-09-07 08:22:00:606,78618,78618,0,0,3892080,0,2920 46,3,2024-09-07 08:22:01:138,1,62,0,0,315,1037,62,0 47,0,2024-09-07 08:22:01:108,14813,0.4,15049,0.6,30030,0.3,40133,1.75 47,1,2024-09-07 08:22:00:572,109307,109307,0,0,50912334746,527658507,108799,504,4,366,391605,0 47,2,2024-09-07 08:22:00:908,78838,78838,0,0,3864050,0,2558 47,3,2024-09-07 08:22:01:114,1,62,1,0,529,1058,62,0 48,0,2024-09-07 08:22:01:513,18905,0.4,18649,0.6,36947,0.4,49373,1.75 48,1,2024-09-07 08:22:01:022,108950,108950,0,0,50894266081,533356993,107754,1191,5,386,391637,0 48,2,2024-09-07 08:22:00:709,78224,78224,0,0,3203257,0,2083 48,3,2024-09-07 08:22:00:760,1,62,2,0,30,581,62,0 49,0,2024-09-07 08:22:01:733,17619,1.6,17127,1.2,33720,3.1,46010,2.00 49,1,2024-09-07 08:22:01:022,108511,108511,0,0,50603086503,534379031,106774,1028,709,382,391583,0 49,2,2024-09-07 08:22:01:821,78445,78445,0,0,4313122,0,3900 49,3,2024-09-07 08:22:01:422,1,62,3,0,274,795,62,0 50,0,2024-09-07 08:22:01:521,12036,0.2,11771,0.4,23796,0.1,31754,1.50 50,1,2024-09-07 08:22:01:010,109468,109468,0,0,51163383511,535913354,107802,1369,297,368,391530,0 50,2,2024-09-07 08:22:01:067,78537,78537,0,0,3544338,0,2253 50,3,2024-09-07 08:22:01:295,1,62,1,1,335,869,62,0 51,0,2024-09-07 08:22:01:694,13257,0.2,12995,0.4,25409,0.1,34948,1.50 51,1,2024-09-07 08:22:01:689,108698,108698,0,0,51540842545,540833440,106900,1016,782,367,391637,0 51,2,2024-09-07 08:22:01:316,77903,77903,0,0,3062716,0,2448 51,3,2024-09-07 08:22:01:032,1,62,1,0,162,472,62,0 52,0,2024-09-07 08:22:01:426,19746,0.9,19872,1.0,39655,1.1,52271,2.25 52,1,2024-09-07 08:22:00:575,108605,108605,0,0,50204155893,533263079,106108,2033,464,368,391529,0 52,2,2024-09-07 08:22:01:765,78021,78021,0,0,4179565,0,4779 52,3,2024-09-07 08:22:00:681,1,62,3,0,1782,2381,62,0 53,0,2024-09-07 08:22:01:740,18098,2.5,17359,1.7,36028,3.4,47975,3.00 53,1,2024-09-07 08:22:00:772,108189,108189,0,0,51070788894,542228726,105569,2046,574,370,391617,0 53,2,2024-09-07 08:22:01:298,78484,78484,0,0,3605813,0,1902 53,3,2024-09-07 08:22:00:716,1,62,31,0,59,416,62,0 54,0,2024-09-07 08:22:01:637,11452,10.0,11577,4.6,22942,2.0,31713,5.25 54,1,2024-09-07 08:22:00:593,108557,108557,0,0,51036328794,537775354,106449,1792,316,367,391520,0 54,2,2024-09-07 08:22:00:868,78865,78859,6,0,4363822,0,5382 54,3,2024-09-07 08:22:00:770,1,62,1,0,676,1528,62,0 55,0,2024-09-07 08:22:01:771,12216,0.4,12512,0.6,25538,0.2,33302,2.25 55,1,2024-09-07 08:22:00:772,108252,108252,0,0,50281818211,534102930,104827,2723,702,367,391731,0 55,2,2024-09-07 08:22:00:731,78287,78287,0,0,4510010,0,3275 55,3,2024-09-07 08:22:00:681,1,62,4,0,136,770,62,0 56,0,2024-09-07 08:22:01:603,18637,1.7,17626,1.3,36290,2.5,48544,2.50 56,1,2024-09-07 08:22:00:630,108085,108085,0,0,50773603272,545892511,104704,2634,747,383,391678,0 56,2,2024-09-07 08:22:01:303,79277,79277,0,0,4761842,0,3567 56,3,2024-09-07 08:22:01:062,1,62,2,0,297,919,62,0 57,0,2024-09-07 08:22:00:963,18086,3.8,17767,2.1,35803,6.1,48611,4.75 57,1,2024-09-07 08:22:00:992,108092,108092,0,0,50165865398,528937752,106619,1453,20,368,391760,0 57,2,2024-09-07 08:22:01:329,77339,77339,0,0,4714608,0,3178 57,3,2024-09-07 08:22:01:761,1,62,31,1,359,1473,62,0 58,0,2024-09-07 08:22:00:591,12736,0.9,12413,1.1,25940,0.8,34179,3.00 58,1,2024-09-07 08:22:00:576,109428,109426,0,2,51192494691,536991715,107830,1437,159,369,391516,2 58,2,2024-09-07 08:22:01:071,78595,78595,0,0,4523337,0,2549 58,3,2024-09-07 08:22:01:068,1,62,10,1,219,806,62,0 59,0,2024-09-07 08:22:01:764,12167,0.6,12007,0.9,24257,0.6,32133,2.25 59,1,2024-09-07 08:22:00:811,108224,108224,0,0,50708414409,538323699,105978,1479,767,369,391515,0 59,2,2024-09-07 08:22:00:592,78913,78913,0,0,3931607,0,2604 59,3,2024-09-07 08:22:01:742,1,62,1,0,1015,1655,62,0 60,0,2024-09-07 08:22:01:742,16380,0.6,16416,0.7,32583,0.7,43887,1.75 60,1,2024-09-07 08:22:00:787,109459,109459,0,0,50517383763,528824911,108388,727,344,370,391712,0 60,2,2024-09-07 08:22:01:161,77883,77883,0,0,3653273,0,2142 60,3,2024-09-07 08:22:01:261,1,62,1,0,124,884,62,0 61,0,2024-09-07 08:22:01:512,18636,3.1,18654,1.8,37266,4.5,50024,2.50 61,1,2024-09-07 08:22:00:776,108483,108483,0,0,51077812881,543410436,105931,2017,535,383,391589,0 61,2,2024-09-07 08:22:01:116,78168,78168,0,0,3731240,0,1846 61,3,2024-09-07 08:22:01:687,1,62,1,0,199,1061,62,0 62,0,2024-09-07 08:22:01:717,16353,1.8,16712,1.3,31985,3.7,43314,2.25 62,1,2024-09-07 08:22:01:128,109486,109480,0,6,51758602415,539079144,108229,1214,37,366,391586,6 62,2,2024-09-07 08:22:01:656,78267,78267,0,0,4287021,0,2931 62,3,2024-09-07 08:22:01:156,1,62,10,0,287,618,62,0 63,0,2024-09-07 08:22:01:507,12074,0.4,12017,0.6,24206,0.2,31561,1.75 63,1,2024-09-07 08:22:00:804,109817,109813,0,4,51432275576,533897542,109413,399,1,381,391540,4 63,2,2024-09-07 08:22:00:772,77927,77927,0,0,3810105,0,2674 63,3,2024-09-07 08:22:01:736,1,62,8,0,667,1788,62,0 64,0,2024-09-07 08:22:01:550,13540,0.4,13554,0.6,27165,0.3,36584,1.75 64,1,2024-09-07 08:22:00:766,108976,108976,0,0,50879003158,541155971,106540,1643,793,371,391783,0 64,2,2024-09-07 08:22:01:159,78318,78299,19,0,4343144,0,6121 64,3,2024-09-07 08:22:01:144,1,62,1,1,265,977,62,0 65,0,2024-09-07 08:22:01:695,18429,2.6,18765,1.6,37357,3.5,49578,3.75 65,1,2024-09-07 08:22:00:883,108515,108515,0,0,50212420257,531339015,106808,1488,219,382,391569,0 65,2,2024-09-07 08:22:01:697,78532,78532,0,0,4434578,0,3367 65,3,2024-09-07 08:22:01:692,1,62,1,0,163,812,62,0 66,0,2024-09-07 08:22:01:797,17790,1.0,18018,1.2,36110,1.1,48102,2.75 66,1,2024-09-07 08:22:01:298,109569,109569,0,0,50965585677,531426295,108880,668,21,381,391588,0 66,2,2024-09-07 08:22:01:146,78799,78799,0,0,4084997,0,3867 66,3,2024-09-07 08:22:01:081,1,62,2,1,291,917,62,0 67,0,2024-09-07 08:22:01:430,11247,0.5,11305,0.7,22318,0.4,29912,2.25 67,1,2024-09-07 08:22:00:772,108420,108419,0,1,50733641974,537819116,106401,1493,525,382,391787,1 67,2,2024-09-07 08:22:00:592,78835,78835,0,0,3755868,0,2889 67,3,2024-09-07 08:22:01:755,1,62,3,0,138,635,62,0 68,0,2024-09-07 08:22:00:592,12824,0.5,12774,0.8,25447,0.5,33969,2.00 68,1,2024-09-07 08:22:00:581,108271,108271,0,0,50328746199,531992035,106871,878,522,382,391953,0 68,2,2024-09-07 08:22:01:065,78545,78545,0,0,3852054,0,4883 68,3,2024-09-07 08:22:00:746,1,62,8,1,63,658,62,0 69,0,2024-09-07 08:22:01:795,19050,1.5,19090,1.2,37915,2.0,51310,2.75 69,1,2024-09-07 08:22:01:028,107945,107945,0,0,50418209618,539790334,105954,1126,865,386,391532,0 69,2,2024-09-07 08:22:01:736,78735,78735,0,0,4333038,0,3153 69,3,2024-09-07 08:22:00:766,1,62,2,0,238,1115,62,0 70,0,2024-09-07 08:22:01:570,18378,3.8,18333,2.8,37103,3.1,49504,4.75 70,1,2024-09-07 08:22:00:801,109060,109060,0,0,51412401666,535839896,108148,777,135,369,391527,0 70,2,2024-09-07 08:22:01:328,77379,77379,0,0,4261154,0,4044 70,3,2024-09-07 08:22:00:745,1,62,1,0,178,463,62,0 71,0,2024-09-07 08:22:01:390,12093,2.1,12067,4.4,23719,3.2,32769,4.50 71,1,2024-09-07 08:22:01:615,108566,108566,0,0,51078993005,539092908,106364,1886,316,368,391682,0 71,2,2024-09-07 08:22:01:076,78458,78458,0,0,4018669,0,2146 71,3,2024-09-07 08:22:01:756,1,62,0,0,174,585,62,0 72,0,2024-09-07 08:22:01:057,12951,0.4,12541,0.6,25068,0.3,33587,2.50 72,1,2024-09-07 08:22:01:027,109297,109297,0,0,50639964926,531719189,107753,1466,78,370,391556,0 72,2,2024-09-07 08:22:01:771,78035,78035,0,0,5132311,0,2570 72,3,2024-09-07 08:22:01:767,1,62,28,0,325,1285,62,0 73,0,2024-09-07 08:22:01:119,17074,0.5,17597,0.7,35705,0.5,46924,2.25 73,1,2024-09-07 08:22:00:779,108723,108723,0,0,51128120498,535103926,107760,919,44,368,391627,0 73,2,2024-09-07 08:22:01:739,79274,79274,0,0,4659237,0,3482 73,3,2024-09-07 08:22:00:971,1,62,5,0,274,1221,62,0 74,0,2024-09-07 08:22:01:327,18873,2.0,19191,1.5,36981,3.4,50236,3.50 74,1,2024-09-07 08:22:00:638,108641,108641,0,0,50333932138,530877619,106744,1325,572,382,391497,0 74,2,2024-09-07 08:22:01:005,76946,76946,0,0,4926231,0,4253 74,3,2024-09-07 08:22:01:442,1,62,1,0,246,1334,62,0 75,0,2024-09-07 08:22:01:784,14622,2.9,14656,1.8,29283,5.0,39258,3.50 75,1,2024-09-07 08:22:01:596,109112,109112,0,0,50754448075,535372672,107428,1580,104,382,391514,0 75,2,2024-09-07 08:22:01:354,78404,78404,0,0,4763638,0,4766 75,3,2024-09-07 08:22:01:075,1,62,5,0,143,407,62,0 76,0,2024-09-07 08:22:00:604,11841,0.3,11715,0.6,23546,0.2,30876,2.00 76,1,2024-09-07 08:22:00:807,108459,108459,0,0,50157296343,531369866,106655,1298,506,382,391530,0 76,2,2024-09-07 08:22:01:065,78915,78915,0,0,3866470,0,3064 76,3,2024-09-07 08:22:01:146,1,62,1,0,175,974,62,0 77,0,2024-09-07 08:22:01:707,14815,0.8,14982,0.9,29866,0.9,40141,2.00 77,1,2024-09-07 08:22:00:829,108596,108596,0,0,50750850800,532787394,107564,963,69,383,391516,0 77,2,2024-09-07 08:22:01:303,78429,78429,0,0,3302731,0,1695 77,3,2024-09-07 08:22:01:099,1,62,7,0,139,673,62,0 78,0,2024-09-07 08:22:01:746,18506,1.5,18421,1.2,37066,2.2,49094,2.50 78,1,2024-09-07 08:22:00:613,109249,109249,0,0,49976657624,525237972,107832,1213,204,367,391589,0 78,2,2024-09-07 08:22:01:405,78224,78224,0,0,3352379,0,2114 78,3,2024-09-07 08:22:01:135,1,62,1,0,181,1003,62,0 79,0,2024-09-07 08:22:01:352,16556,1.0,16952,1.2,34644,1.3,45010,3.25 79,1,2024-09-07 08:22:00:588,108894,108894,0,0,51192451615,535876165,107557,1268,69,370,391609,0 79,2,2024-09-07 08:22:01:070,78290,78290,0,0,3811661,0,2679 79,3,2024-09-07 08:22:00:752,1,62,1,0,289,830,62,0 80,0,2024-09-07 08:22:01:107,11825,0.4,12159,0.7,23568,0.3,31541,2.00 80,1,2024-09-07 08:22:01:626,108268,108268,0,0,51153690616,536957209,106681,1472,115,369,391673,0 80,2,2024-09-07 08:22:01:091,78137,78137,0,0,4157113,0,4433 80,3,2024-09-07 08:22:00:580,1,62,3,1,190,1205,62,0 81,0,2024-09-07 08:22:01:559,13101,0.5,13434,0.7,25682,0.4,34982,2.00 81,1,2024-09-07 08:22:01:672,108440,108440,0,0,50874480464,538279901,106556,1686,198,383,391680,0 81,2,2024-09-07 08:22:01:133,77681,77681,0,0,4414160,0,3993 81,3,2024-09-07 08:22:01:126,1,62,2,0,193,766,62,0 82,0,2024-09-07 08:22:01:552,19580,1.1,19639,1.1,39255,1.4,52257,2.25 82,1,2024-09-07 08:22:00:592,108424,108422,0,2,51326403230,541463984,106387,1463,572,383,391558,2 82,2,2024-09-07 08:22:01:694,79416,79416,0,0,3396163,0,2230 82,3,2024-09-07 08:22:01:764,1,62,9,0,227,1295,62,0 83,0,2024-09-07 08:22:01:552,18104,1.8,18042,1.5,35751,2.1,47928,3.25 83,1,2024-09-07 08:22:00:554,108770,108770,0,0,50779691233,535264165,107355,1315,100,383,391553,0 83,2,2024-09-07 08:22:00:767,77167,77167,0,0,4381845,0,3119 83,3,2024-09-07 08:22:00:771,1,62,12,0,91,581,62,0 84,0,2024-09-07 08:22:01:811,11815,5.5,11699,5.0,23537,1.3,32351,3.25 84,1,2024-09-07 08:22:01:047,108544,108544,0,0,50560440896,534742726,106940,1357,247,369,391638,0 84,2,2024-09-07 08:22:00:587,78015,78015,0,0,4218305,0,3801 84,3,2024-09-07 08:22:01:149,1,62,6,1,43,636,62,0 85,0,2024-09-07 08:22:01:040,12158,0.4,12118,0.6,25773,0.3,33527,1.75 85,1,2024-09-07 08:22:00:571,108108,108108,0,0,50780452773,542435273,105662,2018,428,384,391652,0 85,2,2024-09-07 08:22:00:877,78156,78156,0,0,4606284,0,3656 85,3,2024-09-07 08:22:00:691,1,62,3,0,115,720,62,0 86,0,2024-09-07 08:22:00:939,18004,0.8,18748,0.8,36346,1.0,48524,2.00 86,1,2024-09-07 08:22:00:841,108224,108224,0,0,51004292629,537985791,106435,1573,216,367,391622,0 86,2,2024-09-07 08:22:00:858,78367,78366,1,0,4843193,0,5004 86,3,2024-09-07 08:22:00:603,1,62,1,0,199,845,62,0 87,0,2024-09-07 08:22:01:301,18302,3.2,18287,1.9,36680,4.3,49666,3.50 87,1,2024-09-07 08:22:00:565,108243,108243,0,0,50634301788,534839934,106671,1451,121,368,391671,0 87,2,2024-09-07 08:22:01:071,77333,77333,0,0,3626596,0,2859 87,3,2024-09-07 08:22:01:794,1,62,13,1,322,1121,62,0 88,0,2024-09-07 08:22:01:527,13448,0.9,13670,1.0,26993,1.0,36086,2.00 88,1,2024-09-07 08:22:00:577,108094,108094,0,0,50935960983,535956162,106890,1109,95,367,391747,0 88,2,2024-09-07 08:22:00:725,78693,78693,0,0,4748209,0,3583 88,3,2024-09-07 08:22:01:278,1,62,4,1,77,802,62,0 89,0,2024-09-07 08:22:01:880,12479,0.3,12081,0.5,24028,0.3,32537,1.75 89,1,2024-09-07 08:22:00:568,107644,107644,0,0,50671621271,544821288,104424,2485,735,383,391866,0 89,2,2024-09-07 08:22:01:144,78350,78350,0,0,4462603,0,2726 89,3,2024-09-07 08:22:01:805,1,62,19,0,325,1766,62,0 90,0,2024-09-07 08:22:01:654,15859,0.5,16099,0.7,33240,0.6,43715,1.75 90,1,2024-09-07 08:22:00:607,108405,108405,0,0,50336986069,535654968,106361,1904,140,382,391825,0 90,2,2024-09-07 08:22:01:414,77139,77139,0,0,5100681,0,2635 90,3,2024-09-07 08:22:00:937,1,62,4,1,200,901,62,0 91,0,2024-09-07 08:22:00:972,19142,0.7,18455,0.8,38497,0.9,50357,2.00 91,1,2024-09-07 08:22:00:559,108388,108388,0,0,51123046203,543377762,106043,1983,362,384,391914,0 91,2,2024-09-07 08:22:01:337,77275,77275,0,0,4122023,0,1997 91,3,2024-09-07 08:22:00:606,1,62,1,1,155,852,62,0 92,0,2024-09-07 08:22:01:480,16390,1.3,16848,1.2,32236,2.5,43395,2.00 92,1,2024-09-07 08:22:00:598,108866,108866,0,0,51588566942,540470627,108219,581,66,382,391717,0 92,2,2024-09-07 08:22:01:355,79794,79794,0,0,4092617,0,2279 92,3,2024-09-07 08:22:01:010,1,62,2,1,68,736,62,0 93,0,2024-09-07 08:22:00:978,12150,0.3,12365,0.5,23539,0.2,31518,1.75 93,1,2024-09-07 08:22:00:816,108744,108744,0,0,50908075125,537690401,106988,1322,434,367,391689,0 93,2,2024-09-07 08:22:00:931,78438,78438,0,0,3747584,0,2509 93,3,2024-09-07 08:22:01:413,1,62,1,1,143,647,62,0 94,0,2024-09-07 08:22:01:633,13708,0.3,13879,0.5,27224,0.2,36581,1.50 94,1,2024-09-07 08:22:00:573,108141,108141,0,0,51035517057,540332406,106565,1511,65,381,391850,0 94,2,2024-09-07 08:22:00:771,77680,77680,0,0,3794014,0,2443 94,3,2024-09-07 08:22:01:687,1,62,5,1,231,1215,62,0 95,0,2024-09-07 08:22:01:364,18995,0.5,18760,0.7,38220,0.5,50334,1.75 95,1,2024-09-07 08:22:00:940,108962,108962,0,0,50899036660,532725296,108166,732,64,367,391590,0 95,2,2024-09-07 08:22:01:016,78254,78254,0,0,4013989,0,3308 95,3,2024-09-07 08:22:01:721,1,62,2,0,307,1239,62,0 96,0,2024-09-07 08:22:01:177,18427,1.2,18306,1.0,36656,2.0,48612,1.75 96,1,2024-09-07 08:22:01:591,108806,108806,0,0,50975451157,540631883,106970,1265,571,385,391596,0 96,2,2024-09-07 08:22:01:276,78362,78362,0,0,4573569,0,4038 96,3,2024-09-07 08:22:01:149,1,62,7,1,36,668,62,0 97,0,2024-09-07 08:22:01:407,11240,0.4,11210,0.6,22402,0.4,30000,1.75 97,1,2024-09-07 08:22:00:781,108645,108645,0,0,51384625227,542306511,106461,1667,517,367,392140,0 97,2,2024-09-07 08:22:00:613,78060,78060,0,0,3805690,0,3036 97,3,2024-09-07 08:22:00:598,1,62,22,1,165,906,62,0 98,0,2024-09-07 08:22:01:720,12825,0.2,12654,0.4,25789,0.2,34199,1.50 98,1,2024-09-07 08:22:00:590,108588,108588,0,0,50952172462,535974091,107518,1025,45,382,391588,0 98,2,2024-09-07 08:22:00:792,79136,79136,0,0,4066073,0,3080 98,3,2024-09-07 08:22:00:705,1,62,4,1,155,803,62,0 99,0,2024-09-07 08:22:01:478,19125,0.4,19066,0.5,38187,0.4,51587,1.75 99,1,2024-09-07 08:22:01:728,109056,109056,0,0,50557569501,532637843,107581,1035,440,381,391744,0 99,2,2024-09-07 08:22:01:428,79591,79591,0,0,4037296,0,1858 99,3,2024-09-07 08:22:00:591,1,62,16,0,129,679,62,0 100,0,2024-09-07 08:22:01:616,18737,2.4,18559,2.7,37133,5.2,50442,2.75 100,1,2024-09-07 08:22:00:554,107953,107953,0,0,50338848616,540731317,105213,2396,344,381,391585,0 100,2,2024-09-07 08:22:01:840,76879,76868,11,0,5123234,0,5417 100,3,2024-09-07 08:22:01:754,1,62,20,1,443,1739,62,0 101,0,2024-09-07 08:22:01:808,12560,5.0,12190,2.7,24206,2.2,33778,3.00 101,1,2024-09-07 08:22:00:558,108358,108358,0,0,50474809525,534421944,106891,1018,449,369,391709,0 101,2,2024-09-07 08:22:01:775,78688,78688,0,0,4450161,0,4644 101,3,2024-09-07 08:22:00:948,1,62,15,0,448,946,62,0 102,0,2024-09-07 08:22:00:981,12406,0.4,12798,0.6,25597,0.3,33405,1.75 102,1,2024-09-07 08:22:01:153,108387,108387,0,0,50791810268,541093921,105960,1959,468,369,391831,0 102,2,2024-09-07 08:22:01:737,78762,78762,0,0,3659497,0,1945 102,3,2024-09-07 08:22:01:630,1,62,8,0,410,797,62,0 103,0,2024-09-07 08:22:01:644,17907,0.6,17950,0.8,33913,0.7,47207,2.00 103,1,2024-09-07 08:22:01:661,108453,108453,0,0,51176712077,539924654,107234,1075,144,381,391680,0 103,2,2024-09-07 08:22:00:584,78225,78225,0,0,3954699,0,2104 103,3,2024-09-07 08:22:00:761,1,62,16,1,486,1049,62,0 104,0,2024-09-07 08:22:01:032,18771,2.6,18852,1.6,36539,3.8,50270,2.75 104,1,2024-09-07 08:22:01:656,109169,109169,0,0,50365498574,534621798,107261,1582,326,368,391948,0 104,2,2024-09-07 08:22:01:675,76384,76384,0,0,4525237,0,3941 104,3,2024-09-07 08:22:01:424,1,62,1,1,1245,3552,62,0 105,0,2024-09-07 08:22:01:076,14550,2.0,14005,1.8,29149,3.1,39031,3.75 105,1,2024-09-07 08:22:00:554,108433,108433,0,0,50613035500,540226320,105492,2196,745,367,391797,0 105,2,2024-09-07 08:22:01:340,78526,78526,0,0,4281959,0,3314 105,3,2024-09-07 08:22:01:322,1,62,1,1,182,1167,62,0 106,0,2024-09-07 08:22:01:014,11425,0.3,11762,0.6,23882,0.2,30978,1.75 106,1,2024-09-07 08:22:01:759,108703,108703,0,0,50785075668,539527043,106303,2073,327,370,391767,0 106,2,2024-09-07 08:22:00:803,77995,77995,0,0,4330435,0,2795 106,3,2024-09-07 08:22:00:703,1,62,8,1,201,855,62,0 107,0,2024-09-07 08:22:01:234,14982,0.5,14883,0.7,29715,0.5,40191,1.75 107,1,2024-09-07 08:22:00:601,108141,108141,0,0,50715491376,538779756,106353,1617,171,382,392234,0 107,2,2024-09-07 08:22:01:312,77720,77719,1,0,4178185,0,5024 107,3,2024-09-07 08:22:01:765,1,62,1,0,353,1258,62,0 108,0,2024-09-07 08:22:01:831,18516,0.7,18794,0.8,37247,0.8,49251,1.75 108,1,2024-09-07 08:22:01:296,108570,108570,0,0,51291453313,540809202,107141,1296,133,371,391857,0 108,2,2024-09-07 08:22:01:763,77855,77855,0,0,4064903,0,2647 108,3,2024-09-07 08:22:01:358,1,62,10,1,60,812,62,0 109,0,2024-09-07 08:22:01:807,17328,1.0,17448,1.0,34327,1.2,46183,2.00 109,1,2024-09-07 08:22:00:606,108088,108088,0,0,51009331152,541513609,106482,1223,383,383,391664,0 109,2,2024-09-07 08:22:00:930,77648,77648,0,0,4419517,0,3617 109,3,2024-09-07 08:22:01:158,1,62,18,1,249,1181,62,0 110,0,2024-09-07 08:22:01:785,11958,0.3,11650,0.5,24226,0.2,31562,1.50 110,1,2024-09-07 08:22:01:645,108882,108882,0,0,51210839575,536790335,107566,1031,285,370,391588,0 110,2,2024-09-07 08:22:01:304,78762,78762,0,0,3727110,0,2915 110,3,2024-09-07 08:22:00:698,1,62,3,0,183,679,62,0 111,0,2024-09-07 08:22:01:428,12889,0.2,12874,0.4,25665,0.1,34850,1.50 111,1,2024-09-07 08:22:01:004,109140,109140,0,0,51744866591,537958187,108497,630,13,382,391690,0 111,2,2024-09-07 08:22:01:115,78480,78480,0,0,3888879,0,2763 111,3,2024-09-07 08:22:00:919,1,62,1,0,300,1358,62,0 112,0,2024-09-07 08:22:00:944,19846,0.5,19954,0.6,39502,0.4,52619,1.75 112,1,2024-09-07 08:22:00:841,108571,108571,0,0,50808530283,534753861,107063,1162,346,381,391580,0 112,2,2024-09-07 08:22:01:137,78698,78697,1,0,4337177,0,5036 112,3,2024-09-07 08:22:00:610,1,62,1,0,282,826,62,0 113,0,2024-09-07 08:22:00:890,18238,0.7,18094,0.8,36750,0.7,48987,1.75 113,1,2024-09-07 08:22:01:693,108930,108930,0,0,51089801548,536051870,107042,1504,384,368,391661,0 113,2,2024-09-07 08:22:01:303,77698,77698,0,0,3571419,0,3021 113,3,2024-09-07 08:22:00:691,1,62,1,0,288,1108,62,0 114,0,2024-09-07 08:22:00:894,12171,4.2,12336,2.6,24276,1.4,32829,2.25 114,1,2024-09-07 08:22:00:718,108556,108556,0,0,51069385593,542630344,105950,1725,881,381,391519,0 114,2,2024-09-07 08:22:00:884,77998,77998,0,0,4167532,0,3925 114,3,2024-09-07 08:22:01:283,1,62,1,0,159,734,62,0 115,0,2024-09-07 08:22:00:569,12423,0.2,12499,0.4,25046,0.1,33691,1.50 115,1,2024-09-07 08:22:00:610,108876,108876,0,0,51244105670,541646081,106503,1886,487,383,391510,0 115,2,2024-09-07 08:22:01:125,79078,79078,0,0,3529982,0,2152 115,3,2024-09-07 08:22:01:005,1,62,1,0,159,459,62,0 116,0,2024-09-07 08:22:01:797,18218,0.8,18075,1.0,36295,1.0,48648,2.00 116,1,2024-09-07 08:22:00:804,107883,107883,0,0,51245138496,547372515,106010,1003,870,382,391605,0 116,2,2024-09-07 08:22:01:787,78530,78530,0,0,4540571,0,3529 116,3,2024-09-07 08:22:00:917,1,62,1,1,252,1236,62,0 117,0,2024-09-07 08:22:01:096,18404,2.7,18491,1.6,36839,3.9,49818,2.25 117,1,2024-09-07 08:22:01:608,108779,108779,0,0,50788221544,539557059,106614,1873,292,371,392033,0 117,2,2024-09-07 08:22:01:130,77535,77535,0,0,3940231,0,3700 117,3,2024-09-07 08:22:01:102,1,62,39,0,490,1761,62,0 118,0,2024-09-07 08:22:01:805,13116,0.9,13388,1.0,27510,1.2,35989,2.25 118,1,2024-09-07 08:22:00:622,108964,108964,0,0,50573461925,534143979,107733,1153,78,368,391736,0 118,2,2024-09-07 08:22:01:598,78873,78873,0,0,4334187,0,2781 118,3,2024-09-07 08:22:01:769,1,62,5,1,235,1108,62,0 119,0,2024-09-07 08:22:01:351,11891,0.3,11937,0.5,24469,0.2,32340,1.75 119,1,2024-09-07 08:22:00:602,108781,108781,0,0,50797490523,536515131,107175,1457,149,371,391617,0 119,2,2024-09-07 08:22:01:288,78091,78091,0,0,4069336,0,2532 119,3,2024-09-07 08:22:01:330,1,62,2,1,443,2071,62,0 120,0,2024-09-07 08:22:01:666,16142,0.8,16115,1.0,32248,0.7,43652,2.25 120,1,2024-09-07 08:22:00:868,108399,108399,0,0,50388869137,533934197,106894,1490,15,368,391702,0 120,2,2024-09-07 08:22:00:776,77255,77254,1,0,5014897,0,5281 120,3,2024-09-07 08:22:01:297,1,62,2,1,241,1062,62,0 121,0,2024-09-07 08:22:01:779,18618,2.8,18773,1.7,37539,4.0,50360,2.75 121,1,2024-09-07 08:22:01:661,108688,108688,0,0,50918422223,538497052,106823,1598,267,368,391807,0 121,2,2024-09-07 08:22:01:127,76794,76794,0,0,5330578,0,4127 121,3,2024-09-07 08:22:00:739,1,62,4,0,101,703,62,0 122,0,2024-09-07 08:22:01:808,16204,2.5,15633,2.2,32568,2.9,43604,2.50 122,1,2024-09-07 08:22:00:862,107623,107623,0,0,50361770818,538761200,104626,2473,524,368,392130,0 122,2,2024-09-07 08:22:01:320,78741,78741,0,0,5422254,0,3364 122,3,2024-09-07 08:22:00:594,1,62,1,1,226,1358,62,0 123,0,2024-09-07 08:22:00:957,12125,0.3,11756,0.5,24466,0.2,31514,1.75 123,1,2024-09-07 08:22:00:561,108753,108753,0,0,51014557171,546296102,105335,2850,568,369,391823,0 123,2,2024-09-07 08:22:01:020,77977,77976,1,0,4351795,0,5215 123,3,2024-09-07 08:22:01:134,1,62,1,1,160,886,62,0 124,0,2024-09-07 08:22:00:954,13966,0.3,13942,0.5,26577,0.2,36550,1.75 124,1,2024-09-07 08:22:01:023,109227,109227,0,0,50615026534,528375305,108436,648,143,367,392178,0 124,2,2024-09-07 08:22:01:010,77393,77393,0,0,3502980,0,2477 124,3,2024-09-07 08:22:00:776,1,62,2,1,490,1528,62,0 125,0,2024-09-07 08:22:01:454,19064,0.7,19100,0.8,38282,0.8,50287,2.00 125,1,2024-09-07 08:22:00:864,108674,108674,0,0,50694672057,535416827,106943,1459,272,384,391702,0 125,2,2024-09-07 08:22:01:115,78458,78458,0,0,3869593,0,2180 125,3,2024-09-07 08:22:01:127,1,62,2,1,93,947,62,0 126,0,2024-09-07 08:22:01:427,18443,1.4,18829,1.1,36123,2.3,48945,2.00 126,1,2024-09-07 08:22:00:558,109191,109191,0,0,51279229976,536043987,108148,991,52,365,391719,0 126,2,2024-09-07 08:22:00:618,78368,78368,0,0,4154084,0,3186 126,3,2024-09-07 08:22:00:917,1,62,1,0,122,874,62,0 127,0,2024-09-07 08:22:01:612,11315,0.2,11180,0.4,22467,0.2,29898,1.50 127,1,2024-09-07 08:22:00:571,108864,108864,0,0,50568504139,528212430,107731,1110,23,365,391614,0 127,2,2024-09-07 08:22:00:637,78343,78343,0,0,3382941,0,1803 127,3,2024-09-07 08:22:01:275,1,62,1,0,99,580,62,0 128,0,2024-09-07 08:22:01:555,12814,0.3,12920,0.5,25306,0.2,34110,1.50 128,1,2024-09-07 08:22:01:612,108745,108745,0,0,50865613932,530715446,108030,645,70,369,391605,0 128,2,2024-09-07 08:22:01:392,78158,78158,0,0,4265007,0,2107 128,3,2024-09-07 08:22:00:774,1,62,7,1,112,827,62,0 129,0,2024-09-07 08:22:01:038,19206,0.5,19245,0.6,38189,0.5,51555,1.75 129,1,2024-09-07 08:22:00:576,108433,108433,0,0,51290591699,542494631,106617,1544,272,379,391835,0 129,2,2024-09-07 08:22:00:697,78228,78228,0,0,3680726,0,2446 129,3,2024-09-07 08:22:00:697,1,62,9,1,173,1083,62,0 130,0,2024-09-07 08:22:01:742,18907,1.6,18776,1.2,37672,2.3,50885,2.25 130,1,2024-09-07 08:22:00:583,108888,108888,0,0,50462160973,531517391,107583,1274,31,381,391609,0 130,2,2024-09-07 08:22:01:124,77256,77256,0,0,4326414,0,4067 130,3,2024-09-07 08:22:01:291,1,62,3,1,207,613,62,0 131,0,2024-09-07 08:22:01:938,12588,1.3,12448,1.1,25268,1.8,33707,2.00 131,1,2024-09-07 08:22:01:835,109296,109296,0,0,50935497977,534701816,108287,823,186,384,391865,0 131,2,2024-09-07 08:22:00:570,78369,78369,0,0,3555025,0,2415 131,3,2024-09-07 08:22:01:693,1,62,1,0,392,1030,62,0 132,0,2024-09-07 08:22:01:430,12535,0.4,12757,0.7,25200,0.3,33535,1.75 132,1,2024-09-07 08:22:00:582,107879,107879,0,0,50465472252,538733504,105313,1861,705,382,391574,0 132,2,2024-09-07 08:22:00:708,78270,78270,0,0,5664553,0,4606 132,3,2024-09-07 08:22:01:692,1,62,3,1,356,964,62,0 133,0,2024-09-07 08:22:01:603,17115,0.6,17355,0.7,35911,0.6,46733,2.00 133,1,2024-09-07 08:22:00:594,107634,107634,0,0,50757144707,540909999,105512,2028,94,383,391755,0 133,2,2024-09-07 08:22:01:093,78666,78666,0,0,4663509,0,2444 133,3,2024-09-07 08:22:01:297,1,62,14,1,187,576,62,0 134,0,2024-09-07 08:22:00:943,18961,1.3,18966,1.2,37914,1.9,50421,2.25 134,1,2024-09-07 08:22:00:593,108657,108657,0,0,50533159050,537826603,106040,1637,980,366,391718,0 134,2,2024-09-07 08:22:01:762,76764,76764,0,0,3646925,0,2026 134,3,2024-09-07 08:22:00:756,1,62,1,1,739,1811,62,0 135,0,2024-09-07 08:22:01:104,14257,2.0,14314,1.8,30221,2.2,38918,2.50 135,1,2024-09-07 08:22:01:587,108103,108103,0,0,51476503952,544978968,106161,1616,326,383,391703,0 135,2,2024-09-07 08:22:00:692,79291,79291,0,0,4556118,0,3981 135,3,2024-09-07 08:22:01:008,1,62,14,0,68,449,62,0 136,0,2024-09-07 08:22:01:639,11847,0.4,11833,0.7,23598,0.3,31184,2.00 136,1,2024-09-07 08:22:01:445,108761,108761,0,0,50714675712,537057776,106865,1749,147,384,391621,0 136,2,2024-09-07 08:22:01:153,79017,79017,0,0,3910091,0,2379 136,3,2024-09-07 08:22:01:134,1,62,1,1,108,812,62,0 137,0,2024-09-07 08:22:00:977,15329,1.0,14839,1.0,29528,1.3,40287,2.00 137,1,2024-09-07 08:22:00:587,108485,108485,0,0,51275007623,541667194,106520,1852,113,368,391608,0 137,2,2024-09-07 08:22:01:722,77412,77412,0,0,4776143,0,2632 137,3,2024-09-07 08:22:00:775,1,62,2,1,227,919,62,0 138,0,2024-09-07 08:22:01:766,18040,3.0,18231,1.8,36877,4.0,49117,3.25 138,1,2024-09-07 08:22:01:690,108307,108307,0,0,51675832006,547451357,105983,2063,261,370,391615,0 138,2,2024-09-07 08:22:00:598,78610,78610,0,0,4121253,0,3263 138,3,2024-09-07 08:22:00:617,1,62,23,1,1160,1774,62,0 139,0,2024-09-07 08:22:01:367,16722,4.7,16737,2.6,33763,5.7,45505,4.00 139,1,2024-09-07 08:22:00:580,108046,108046,0,0,50046672682,539296418,105164,2154,728,381,391892,0 139,2,2024-09-07 08:22:00:692,77859,77859,0,0,5068003,0,3097 139,3,2024-09-07 08:22:01:678,1,62,1,0,244,1281,62,0 140,0,2024-09-07 08:22:01:596,11939,0.3,11744,0.5,23831,0.2,31586,1.75 140,1,2024-09-07 08:22:01:539,109638,109638,0,0,51459174253,535306052,108711,730,197,365,391483,0 140,2,2024-09-07 08:22:00:694,78490,78490,0,0,4294522,0,3388 140,3,2024-09-07 08:22:00:774,1,62,1,0,25,511,62,0 141,0,2024-09-07 08:22:01:719,12691,0.2,13264,0.4,25615,0.1,34783,1.50 141,1,2024-09-07 08:22:00:859,109317,109317,0,0,51215189720,537021264,107898,1088,331,382,391538,0 141,2,2024-09-07 08:22:01:697,78712,78712,0,0,3813566,0,2342 141,3,2024-09-07 08:22:01:045,1,62,1,0,147,527,62,0 142,0,2024-09-07 08:22:01:322,20066,0.4,19806,0.6,39377,0.4,52635,1.75 142,1,2024-09-07 08:22:00:599,109304,109304,0,0,51415502568,537831782,108757,532,15,384,391649,0 142,2,2024-09-07 08:22:01:302,77939,77939,0,0,4103956,0,2293 142,3,2024-09-07 08:22:01:761,1,62,8,0,484,1165,62,0 143,0,2024-09-07 08:22:01:386,18198,1.3,18251,1.0,36776,1.6,48846,2.00 143,1,2024-09-07 08:22:00:557,108970,108970,0,0,51099665462,533318771,107997,947,26,367,391608,0 143,2,2024-09-07 08:22:00:771,77365,77365,0,0,4473007,0,2669 143,3,2024-09-07 08:22:01:155,1,62,4,1,236,1072,62,0 144,0,2024-09-07 08:22:01:517,11597,1.9,12048,6.8,24094,2.1,32622,2.50 144,1,2024-09-07 08:22:00:570,108173,108173,0,0,50579463122,536004164,106610,1374,189,383,391638,0 144,2,2024-09-07 08:22:01:763,78492,78492,0,0,3898719,0,3473 144,3,2024-09-07 08:22:01:749,1,62,6,1,169,1154,62,0 145,0,2024-09-07 08:22:01:429,12067,0.4,12032,0.6,25493,0.3,33472,2.00 145,1,2024-09-07 08:22:00:554,107973,107973,0,0,51458263255,545436181,106054,1657,262,383,391615,0 145,2,2024-09-07 08:22:01:430,78538,78538,0,0,4721514,0,3903 145,3,2024-09-07 08:22:00:897,1,62,2,0,151,864,62,0 146,0,2024-09-07 08:22:01:622,18127,0.8,18138,0.9,36374,0.9,48293,2.25 146,1,2024-09-07 08:22:01:648,108773,108773,0,0,51206628010,542856283,106482,1990,301,370,391600,0 146,2,2024-09-07 08:22:01:696,77923,77923,0,0,4219416,0,2379 146,3,2024-09-07 08:22:01:275,1,62,1,0,1520,2935,62,0 147,0,2024-09-07 08:22:01:705,18373,3.4,18133,1.9,35936,4.8,49907,3.50 147,1,2024-09-07 08:22:01:378,108753,108753,0,0,51069019778,535504096,107045,1354,354,368,391791,0 147,2,2024-09-07 08:22:01:022,77817,77817,0,0,4191869,0,2789 147,3,2024-09-07 08:22:00:917,1,62,1,1,141,765,62,0 0,0,2024-09-07 08:22:11:765,16158,0.8,16160,0.9,34233,1.1,44818,2.00 0,1,2024-09-07 08:22:10:807,110567,110567,0,0,51746537120,547292719,109347,1094,126,372,391673,0 0,2,2024-09-07 08:22:11:076,79125,79125,0,0,4384452,0,4480 0,3,2024-09-07 08:22:10:973,1,63,4,0,247,1055,63,0 1,0,2024-09-07 08:22:11:833,18702,3.2,18672,2.0,37516,4.7,50464,3.00 1,1,2024-09-07 08:22:10:575,109919,109919,0,0,51062745943,540951989,108235,1156,528,372,391857,0 1,2,2024-09-07 08:22:10:662,78241,78241,0,0,3987784,0,3267 1,3,2024-09-07 08:22:11:306,1,63,11,0,167,696,63,0 2,0,2024-09-07 08:22:11:582,16071,1.7,16269,1.5,32240,3.5,43052,2.50 2,1,2024-09-07 08:22:10:861,110442,110442,0,0,51964930459,544850870,109364,875,203,382,391558,0 2,2,2024-09-07 08:22:11:266,79362,79362,0,0,4167532,0,3304 2,3,2024-09-07 08:22:10:691,1,63,4,0,214,690,63,0 3,0,2024-09-07 08:22:11:752,12189,0.3,12146,0.6,24349,0.2,31553,1.75 3,1,2024-09-07 08:22:11:623,109901,109901,0,0,51448548550,542617777,107923,1592,386,382,391514,0 3,2,2024-09-07 08:22:11:141,79550,79527,23,0,4636255,0,5851 3,3,2024-09-07 08:22:11:757,1,63,1,0,103,553,63,0 4,0,2024-09-07 08:22:11:813,13578,0.3,13967,0.5,28377,0.2,37525,1.75 4,1,2024-09-07 08:22:10:592,110237,110237,0,0,51609364099,548935676,108388,1433,416,371,391846,0 4,2,2024-09-07 08:22:11:046,78882,78882,0,0,5125313,0,4528 4,3,2024-09-07 08:22:11:056,1,63,6,1,287,1200,63,0 5,0,2024-09-07 08:22:11:428,19169,0.9,18941,0.9,38357,1.1,50468,2.00 5,1,2024-09-07 08:22:10:759,110073,110073,0,0,51721013925,549714874,107876,1758,439,368,392005,0 5,2,2024-09-07 08:22:11:836,79082,79082,0,0,4059163,0,2259 5,3,2024-09-07 08:22:11:743,1,63,6,1,238,1427,63,0 6,0,2024-09-07 08:22:10:941,18577,1.4,18331,1.4,36574,2.1,49306,3.00 6,1,2024-09-07 08:22:10:763,110695,110695,0,0,51337024447,538359195,109592,1034,69,381,391603,0 6,2,2024-09-07 08:22:11:120,79794,79794,0,0,4221897,0,2411 6,3,2024-09-07 08:22:11:274,1,63,2,1,340,1409,63,0 7,0,2024-09-07 08:22:11:543,11401,0.3,11362,0.5,22898,0.2,30729,1.75 7,1,2024-09-07 08:22:10:857,110597,110597,0,0,51962581724,545722366,109617,916,64,383,391664,0 7,2,2024-09-07 08:22:10:770,79238,79238,0,0,4008036,0,2981 7,3,2024-09-07 08:22:10:853,1,63,64,0,83,645,63,0 8,0,2024-09-07 08:22:11:349,13271,0.3,13096,0.5,25843,0.2,34908,1.50 8,1,2024-09-07 08:22:11:017,110342,110342,0,0,51325334723,552633855,106867,2222,1253,368,391724,0 8,2,2024-09-07 08:22:10:821,78647,78647,0,0,4871203,0,2986 8,3,2024-09-07 08:22:10:594,1,63,3,0,229,1006,63,0 9,0,2024-09-07 08:22:11:122,19554,0.4,19081,0.6,39943,0.4,52850,1.75 9,1,2024-09-07 08:22:10:551,110556,110556,0,0,52127042312,553342764,108275,1714,567,370,391576,0 9,2,2024-09-07 08:22:11:115,79773,79773,0,0,4466814,0,3360 9,3,2024-09-07 08:22:11:760,1,63,9,0,149,971,63,0 10,0,2024-09-07 08:22:11:606,18977,1.0,19043,1.0,38143,1.1,51150,2.50 10,1,2024-09-07 08:22:10:585,109879,109879,0,0,51327075568,548629626,106545,2812,522,383,391541,0 10,2,2024-09-07 08:22:10:765,78209,78209,0,0,4592408,0,2940 10,3,2024-09-07 08:22:10:871,1,63,1,0,136,543,63,0 11,0,2024-09-07 08:22:11:013,12323,2.6,12062,1.8,25267,5.2,34088,2.50 11,1,2024-09-07 08:22:10:571,110003,110003,0,0,51455985172,547310400,107364,1824,815,384,391537,0 11,2,2024-09-07 08:22:11:126,79663,79663,0,0,4327969,0,2635 11,3,2024-09-07 08:22:11:315,1,63,1,0,720,2169,63,0 12,0,2024-09-07 08:22:10:985,12951,0.3,13000,0.5,25879,0.2,34069,1.75 12,1,2024-09-07 08:22:10:933,110175,110175,0,0,51003030497,538936842,108539,1537,99,371,391790,0 12,2,2024-09-07 08:22:11:578,80734,80734,0,0,4066783,0,2254 12,3,2024-09-07 08:22:11:070,1,63,2,1,358,1335,63,0 13,0,2024-09-07 08:22:11:349,17890,0.4,18010,0.6,35955,0.4,48126,1.75 13,1,2024-09-07 08:22:11:524,110535,110535,0,0,51875359463,550070780,108949,1220,366,384,391717,0 13,2,2024-09-07 08:22:10:611,79857,79857,0,0,3802434,0,3287 13,3,2024-09-07 08:22:11:769,1,63,5,1,373,1194,63,0 14,0,2024-09-07 08:22:10:570,19099,1.1,19137,1.2,37983,1.5,50677,2.50 14,1,2024-09-07 08:22:11:565,111348,111348,0,0,51530486411,537183498,110470,848,30,365,391546,0 14,2,2024-09-07 08:22:10:765,77760,77760,0,0,4301058,0,2793 14,3,2024-09-07 08:22:11:119,1,63,1,0,906,1259,63,0 15,0,2024-09-07 08:22:11:566,14695,2.4,14571,1.7,29231,4.5,39128,3.25 15,1,2024-09-07 08:22:11:608,110392,110392,0,0,51471987547,538560879,109608,749,35,381,391536,0 15,2,2024-09-07 08:22:10:997,80688,80688,0,0,3419502,0,3043 15,3,2024-09-07 08:22:11:405,1,63,1,0,538,1834,63,0 16,0,2024-09-07 08:22:10:964,11849,0.4,12055,0.6,23681,0.3,31311,2.00 16,1,2024-09-07 08:22:10:589,110129,110129,0,0,51099943220,539269265,109009,1095,25,372,391543,0 16,2,2024-09-07 08:22:11:474,79028,79028,0,0,4744354,0,4719 16,3,2024-09-07 08:22:11:142,1,63,1,1,231,1219,63,0 17,0,2024-09-07 08:22:11:876,15849,0.6,15510,0.8,30366,0.6,41650,2.00 17,1,2024-09-07 08:22:10:586,110204,110204,0,0,51819618813,551420699,108336,1346,522,369,391688,0 17,2,2024-09-07 08:22:11:701,79338,79338,0,0,3644171,0,2857 17,3,2024-09-07 08:22:10:579,1,63,8,0,268,1381,63,0 18,0,2024-09-07 08:22:10:960,18186,1.7,18400,1.4,36997,2.1,48953,2.75 18,1,2024-09-07 08:22:11:653,110236,110236,0,0,51357440642,538509436,108987,1031,218,368,391555,0 18,2,2024-09-07 08:22:11:768,78555,78555,0,0,4507750,0,3541 18,3,2024-09-07 08:22:10:899,1,63,5,0,163,926,63,0 19,0,2024-09-07 08:22:11:554,16942,1.9,17087,1.6,33747,2.0,44815,5.50 19,1,2024-09-07 08:22:10:572,110686,110686,0,0,52245620963,550671429,108821,1702,163,367,391696,0 19,2,2024-09-07 08:22:11:768,80171,80171,0,0,4144386,0,3988 19,3,2024-09-07 08:22:11:134,1,63,1,0,524,1059,63,0 20,0,2024-09-07 08:22:11:431,12166,0.3,12195,0.5,24068,0.2,31838,2.00 20,1,2024-09-07 08:22:10:588,110599,110599,0,0,52054753512,549951414,108908,1529,162,370,391598,0 20,2,2024-09-07 08:22:10:927,79346,79346,0,0,3866575,0,2446 20,3,2024-09-07 08:22:10:589,1,63,2,1,99,873,63,0 21,0,2024-09-07 08:22:11:160,13657,0.4,13527,0.6,26800,0.3,35834,2.00 21,1,2024-09-07 08:22:11:570,109863,109863,0,0,51275787314,546186730,107563,1808,492,368,391962,0 21,2,2024-09-07 08:22:11:079,79044,79044,0,0,4172878,0,3747 21,3,2024-09-07 08:22:11:407,1,63,9,0,93,983,63,0 22,0,2024-09-07 08:22:11:728,19568,2.2,19512,1.5,38981,4.0,51975,3.25 22,1,2024-09-07 08:22:11:024,110030,110030,0,0,51302828837,547999707,106892,2412,726,382,391667,0 22,2,2024-09-07 08:22:10:766,79445,79445,0,0,3732197,0,3134 22,3,2024-09-07 08:22:11:077,1,63,1,0,62,350,63,0 23,0,2024-09-07 08:22:11:398,18390,1.6,18609,1.2,36984,2.3,49574,2.75 23,1,2024-09-07 08:22:11:003,110778,110778,0,0,51658284824,540194800,109432,1092,254,368,391496,0 23,2,2024-09-07 08:22:11:092,78972,78972,0,0,3871279,0,2078 23,3,2024-09-07 08:22:11:759,1,63,1,0,645,1281,63,0 24,0,2024-09-07 08:22:10:897,12576,0.7,12652,0.8,25116,0.7,33431,1.75 24,1,2024-09-07 08:22:10:586,110864,110864,0,0,51440204336,538706285,110058,799,7,369,391640,0 24,2,2024-09-07 08:22:11:074,78820,78820,0,0,4901076,0,2942 24,3,2024-09-07 08:22:11:687,1,63,1,1,234,954,63,0 25,0,2024-09-07 08:22:11:420,13215,0.3,13046,0.5,25396,0.2,35009,1.75 25,1,2024-09-07 08:22:10:623,110193,110193,0,0,51388175812,545885393,108048,1830,315,371,391788,0 25,2,2024-09-07 08:22:11:622,79728,79728,0,0,4471080,0,3284 25,3,2024-09-07 08:22:11:000,1,63,14,1,158,669,63,0 26,0,2024-09-07 08:22:11:727,18362,0.5,17955,0.6,37490,0.4,49363,1.75 26,1,2024-09-07 08:22:11:544,110281,110281,0,0,51421823469,550012253,106820,2626,835,381,391564,0 26,2,2024-09-07 08:22:10:874,80110,80110,0,0,4613796,0,2809 26,3,2024-09-07 08:22:11:712,1,63,3,0,796,1228,63,0 27,0,2024-09-07 08:22:11:735,18739,1.3,18856,1.0,37692,1.9,50921,2.00 27,1,2024-09-07 08:22:11:679,111219,111219,0,0,52401931379,547263023,110150,774,295,381,391539,0 27,2,2024-09-07 08:22:10:869,77036,77036,0,0,5509385,0,3409 27,3,2024-09-07 08:22:11:017,1,63,1,0,564,1010,63,0 28,0,2024-09-07 08:22:11:396,13657,0.8,13836,0.9,27449,1.0,36648,2.00 28,1,2024-09-07 08:22:10:804,110877,110877,0,0,52493699590,550378285,109819,858,200,383,391646,0 28,2,2024-09-07 08:22:11:765,79892,79892,0,0,3630506,0,2609 28,3,2024-09-07 08:22:11:776,1,63,1,0,502,1150,63,0 29,0,2024-09-07 08:22:11:369,12660,0.3,12388,0.5,24344,0.2,33353,1.75 29,1,2024-09-07 08:22:11:563,111482,111482,0,0,51610640443,536127837,110739,571,172,369,391621,0 29,2,2024-09-07 08:22:10:863,80500,80500,0,0,3171842,0,2026 29,3,2024-09-07 08:22:10:976,1,63,1,0,105,646,63,0 30,0,2024-09-07 08:22:11:467,16648,0.6,16163,0.7,33854,0.6,44888,2.00 30,1,2024-09-07 08:22:10:573,110625,110625,0,0,52348772986,548192800,109652,908,65,382,391524,0 30,2,2024-09-07 08:22:11:281,79696,79696,0,0,3210866,0,2534 30,3,2024-09-07 08:22:10:583,1,63,1,0,195,608,63,0 31,0,2024-09-07 08:22:11:789,18884,1.7,18734,1.3,38040,3.0,50169,3.25 31,1,2024-09-07 08:22:10:567,111102,111102,0,0,52273542996,538085441,110853,247,2,356,391553,0 31,2,2024-09-07 08:22:11:275,78671,78671,0,0,4597215,0,3525 31,3,2024-09-07 08:22:11:710,1,63,1,0,129,540,63,0 32,0,2024-09-07 08:22:11:438,16398,1.7,16526,1.2,33129,3.0,43696,2.00 32,1,2024-09-07 08:22:10:817,110460,110460,0,0,51671199465,540845085,109461,970,29,382,391595,0 32,2,2024-09-07 08:22:10:936,80306,80306,0,0,3898107,0,3155 32,3,2024-09-07 08:22:11:015,1,63,1,0,110,618,63,0 33,0,2024-09-07 08:22:11:513,12162,0.2,12021,0.4,24456,0.2,31461,1.75 33,1,2024-09-07 08:22:10:582,110796,110796,0,0,52445573606,549107195,109135,1538,123,369,391526,0 33,2,2024-09-07 08:22:10:758,79437,79404,33,0,5445926,0,7012 33,3,2024-09-07 08:22:10:898,1,63,1,0,129,489,63,0 34,0,2024-09-07 08:22:10:947,13973,0.2,14433,0.4,27718,0.2,37372,1.50 34,1,2024-09-07 08:22:11:047,110969,110969,0,0,52014461740,538979023,110377,588,4,367,391517,0 34,2,2024-09-07 08:22:10:766,78878,78878,0,0,4457459,0,3255 34,3,2024-09-07 08:22:11:688,1,63,2,0,148,667,63,0 35,0,2024-09-07 08:22:10:876,18892,1.0,19082,0.9,38086,1.3,50371,2.00 35,1,2024-09-07 08:22:11:068,110726,110726,0,0,52425958093,548437088,109261,1104,361,384,391587,0 35,2,2024-09-07 08:22:11:584,79096,79096,0,0,3867804,0,2542 35,3,2024-09-07 08:22:10:908,1,63,1,0,219,1032,63,0 36,0,2024-09-07 08:22:11:531,18563,2.1,18420,1.4,37224,3.1,49253,2.75 36,1,2024-09-07 08:22:10:586,110377,110377,0,0,51827536209,550611068,107366,2080,931,366,391535,0 36,2,2024-09-07 08:22:11:778,79272,79272,0,0,4712795,0,3303 36,3,2024-09-07 08:22:10:866,1,63,1,0,378,1052,63,0 37,0,2024-09-07 08:22:11:398,11456,0.3,11464,0.5,23036,0.2,30694,1.75 37,1,2024-09-07 08:22:10:570,109819,109812,0,7,51659873039,552354174,106973,1322,1517,365,391518,0 37,2,2024-09-07 08:22:11:142,79494,79494,0,0,3485703,0,2333 37,3,2024-09-07 08:22:11:766,1,63,2,0,724,1757,63,0 38,0,2024-09-07 08:22:11:455,13085,0.3,12645,0.5,26384,0.2,34735,1.75 38,1,2024-09-07 08:22:11:606,110457,110457,0,0,51941284619,548364572,108315,1695,447,370,391513,0 38,2,2024-09-07 08:22:10:761,79814,79814,0,0,4322098,0,3245 38,3,2024-09-07 08:22:10:999,1,63,2,0,603,1014,63,0 39,0,2024-09-07 08:22:11:772,20232,0.8,19913,0.7,38545,0.9,52708,2.00 39,1,2024-09-07 08:22:10:718,110452,110452,0,0,51367072320,543702837,107838,1976,638,366,391524,0 39,2,2024-09-07 08:22:11:429,79792,79792,0,0,3970008,0,2381 39,3,2024-09-07 08:22:10:716,1,63,17,0,276,1187,63,0 40,0,2024-09-07 08:22:11:494,18632,2.1,18938,2.3,37416,4.5,50694,3.75 40,1,2024-09-07 08:22:10:576,110114,110114,0,0,50761323012,540394475,106863,2498,753,370,391591,0 40,2,2024-09-07 08:22:11:303,78095,78094,1,0,4865497,0,5137 40,3,2024-09-07 08:22:11:142,1,63,3,1,110,740,63,0 41,0,2024-09-07 08:22:11:056,12083,1.0,12435,6.0,23794,4.5,32954,3.25 41,1,2024-09-07 08:22:10:779,110332,110332,0,0,52045987069,550515151,108023,1996,313,370,391484,0 41,2,2024-09-07 08:22:10:766,79861,79861,0,0,4999781,0,3356 41,3,2024-09-07 08:22:11:676,1,63,1,0,168,651,63,0 42,0,2024-09-07 08:22:11:499,12778,0.4,12905,0.7,25741,0.2,34151,2.00 42,1,2024-09-07 08:22:11:452,109913,109913,0,0,51085947211,545364646,106920,2163,830,381,391511,0 42,2,2024-09-07 08:22:11:139,80068,80068,0,0,4390229,0,3568 42,3,2024-09-07 08:22:11:010,1,63,5,0,100,638,63,0 43,0,2024-09-07 08:22:10:965,17898,1.1,17410,1.1,36312,1.3,48202,2.00 43,1,2024-09-07 08:22:10:583,110324,110324,0,0,51349498893,542040304,108134,1438,752,367,391604,0 43,2,2024-09-07 08:22:11:736,80070,80070,0,0,4595292,0,3812 43,3,2024-09-07 08:22:11:756,1,63,14,0,292,1301,63,0 44,0,2024-09-07 08:22:10:868,19127,1.1,19072,1.2,37975,1.2,51138,2.25 44,1,2024-09-07 08:22:10:581,110724,110724,0,0,51243320210,533267614,109707,910,107,356,391523,0 44,2,2024-09-07 08:22:11:268,77860,77860,0,0,3337872,0,1865 44,3,2024-09-07 08:22:11:095,1,63,2,1,817,1316,63,0 45,0,2024-09-07 08:22:11:776,14505,2.9,14188,1.8,29843,5.5,39435,2.25 45,1,2024-09-07 08:22:11:007,110806,110806,0,0,52143426831,543431504,110340,465,1,382,391917,0 45,2,2024-09-07 08:22:11:279,80812,80812,0,0,3971816,0,2628 45,3,2024-09-07 08:22:10:946,1,63,0,0,226,766,63,0 46,0,2024-09-07 08:22:10:973,11945,0.2,11937,0.5,23999,0.1,31363,1.50 46,1,2024-09-07 08:22:10:574,110820,110820,0,0,52092813813,541305638,109990,794,36,368,391514,0 46,2,2024-09-07 08:22:10:602,80130,80130,0,0,3905274,0,2920 46,3,2024-09-07 08:22:11:134,1,63,1,0,315,1038,63,0 47,0,2024-09-07 08:22:11:115,15315,0.4,15577,0.5,31009,0.3,41457,1.75 47,1,2024-09-07 08:22:10:573,111117,111117,0,0,51695769833,535583234,110609,504,4,366,391605,0 47,2,2024-09-07 08:22:10:935,79995,79995,0,0,3878600,0,2558 47,3,2024-09-07 08:22:11:115,1,63,1,0,529,1059,63,0 48,0,2024-09-07 08:22:11:504,19029,0.4,18757,0.6,37141,0.4,49673,1.75 48,1,2024-09-07 08:22:11:022,110774,110774,0,0,51876489234,543363769,109578,1191,5,386,391637,0 48,2,2024-09-07 08:22:10:706,79273,79273,0,0,3212550,0,2083 48,3,2024-09-07 08:22:10:753,1,63,3,0,30,584,63,0 49,0,2024-09-07 08:22:11:734,17733,1.6,17240,1.2,33890,3.1,46270,2.00 49,1,2024-09-07 08:22:11:021,110340,110340,0,0,51402799713,542551212,108603,1028,709,382,391583,0 49,2,2024-09-07 08:22:11:806,79998,79998,0,0,4327797,0,3900 49,3,2024-09-07 08:22:11:416,1,63,1,0,274,796,63,0 50,0,2024-09-07 08:22:11:522,12229,0.2,11974,0.4,24204,0.1,32247,1.50 50,1,2024-09-07 08:22:11:011,111208,111208,0,0,51970772975,544153220,109542,1369,297,368,391530,0 50,2,2024-09-07 08:22:11:068,79813,79813,0,0,3559475,0,2253 50,3,2024-09-07 08:22:11:317,1,63,0,0,335,869,63,0 51,0,2024-09-07 08:22:11:713,13694,0.2,13418,0.4,26300,0.1,36127,1.50 51,1,2024-09-07 08:22:11:690,110485,110485,0,0,52331179422,548835264,108687,1016,782,367,391637,0 51,2,2024-09-07 08:22:11:338,79093,79093,0,0,3073213,0,2448 51,3,2024-09-07 08:22:11:035,1,63,3,0,162,475,63,0 52,0,2024-09-07 08:22:11:446,19876,0.9,20004,1.0,39921,1.1,52579,2.25 52,1,2024-09-07 08:22:10:580,110318,110318,0,0,50877085485,540229608,107821,2033,464,368,391529,0 52,2,2024-09-07 08:22:11:757,79411,79411,0,0,4213070,0,4779 52,3,2024-09-07 08:22:10:687,1,63,5,0,1782,2386,63,0 53,0,2024-09-07 08:22:11:746,18388,2.4,17645,1.6,36602,3.3,48902,3.00 53,1,2024-09-07 08:22:10:778,109915,109915,0,0,52085004112,552573879,107295,2046,574,370,391617,0 53,2,2024-09-07 08:22:11:348,79763,79763,0,0,3638242,0,1902 53,3,2024-09-07 08:22:10:698,1,63,36,0,59,452,63,0 54,0,2024-09-07 08:22:11:637,11664,10.0,11810,4.4,23356,2.0,32353,5.25 54,1,2024-09-07 08:22:10:581,110318,110318,0,0,51907705135,546686104,108210,1792,316,367,391520,0 54,2,2024-09-07 08:22:10:867,79964,79958,6,0,4529855,0,5382 54,3,2024-09-07 08:22:10:763,1,63,3,0,676,1531,63,0 55,0,2024-09-07 08:22:11:770,12684,0.4,12954,0.6,26478,0.3,34627,2.25 55,1,2024-09-07 08:22:10:765,109999,109999,0,0,51197224692,543514358,106574,2723,702,367,391731,0 55,2,2024-09-07 08:22:10:731,79765,79765,0,0,4550005,0,3275 55,3,2024-09-07 08:22:10:675,1,63,2,0,136,772,63,0 56,0,2024-09-07 08:22:11:575,18926,1.7,17885,1.3,36856,2.4,49229,2.50 56,1,2024-09-07 08:22:10:576,109907,109907,0,0,51693017517,555289930,106525,2635,747,383,391678,0 56,2,2024-09-07 08:22:11:344,80679,80679,0,0,4788192,0,3567 56,3,2024-09-07 08:22:11:069,1,63,15,0,297,934,63,0 57,0,2024-09-07 08:22:10:980,18417,3.8,18096,2.1,36487,6.0,49568,4.75 57,1,2024-09-07 08:22:10:994,109808,109808,0,0,50900766219,536454548,108335,1453,20,368,391760,0 57,2,2024-09-07 08:22:11:323,78184,78184,0,0,4726971,0,3178 57,3,2024-09-07 08:22:11:746,1,63,9,1,359,1482,63,0 58,0,2024-09-07 08:22:10:602,12909,0.9,12600,1.1,26334,0.7,34675,3.00 58,1,2024-09-07 08:22:10:584,111188,111186,0,2,52245380711,547649137,109590,1437,159,369,391516,2 58,2,2024-09-07 08:22:11:075,80015,80015,0,0,4545624,0,2549 58,3,2024-09-07 08:22:11:086,1,63,18,1,219,824,63,0 59,0,2024-09-07 08:22:11:762,12497,0.6,12346,0.9,24910,0.5,33086,2.25 59,1,2024-09-07 08:22:10:816,110053,110053,0,0,51308569223,544498018,107807,1479,767,369,391515,0 59,2,2024-09-07 08:22:10:587,80412,80412,0,0,3963540,0,2604 59,3,2024-09-07 08:22:11:743,1,63,2,0,1015,1657,63,0 60,0,2024-09-07 08:22:11:719,16836,0.6,16836,0.7,33472,0.7,45080,1.75 60,1,2024-09-07 08:22:10:776,111235,111235,0,0,51422060205,537986588,110164,727,344,370,391712,0 60,2,2024-09-07 08:22:11:141,79312,79312,0,0,3670433,0,2142 60,3,2024-09-07 08:22:11:263,1,63,1,0,124,885,63,0 61,0,2024-09-07 08:22:11:544,18763,3.1,18766,1.8,37502,4.5,50363,2.50 61,1,2024-09-07 08:22:10:790,110274,110274,0,0,51784534399,550659719,107721,2018,535,383,391589,0 61,2,2024-09-07 08:22:11:139,78942,78942,0,0,3739022,0,1846 61,3,2024-09-07 08:22:11:696,1,63,4,0,199,1065,63,0 62,0,2024-09-07 08:22:11:716,16479,1.8,16852,1.3,32220,3.6,43647,2.25 62,1,2024-09-07 08:22:11:118,111221,111215,0,6,52294427303,544534449,109964,1214,37,366,391586,6 62,2,2024-09-07 08:22:11:664,79808,79808,0,0,4297741,0,2931 62,3,2024-09-07 08:22:11:143,1,63,2,0,287,620,63,0 63,0,2024-09-07 08:22:11:458,12093,0.4,12030,0.6,24229,0.2,31561,1.75 63,1,2024-09-07 08:22:10:809,111534,111530,0,4,52191056446,541614970,111130,399,1,381,391540,4 63,2,2024-09-07 08:22:10:763,79332,79332,0,0,3819496,0,2674 63,3,2024-09-07 08:22:11:743,1,63,1,0,667,1789,63,0 64,0,2024-09-07 08:22:11:543,13882,0.4,13894,0.6,27869,0.3,37518,1.75 64,1,2024-09-07 08:22:10:751,110731,110731,0,0,51642427340,548951616,108294,1644,793,371,391783,0 64,2,2024-09-07 08:22:11:141,79489,79470,19,0,4354199,0,6121 64,3,2024-09-07 08:22:11:141,1,63,2,1,265,979,63,0 65,0,2024-09-07 08:22:11:715,18514,2.6,18881,1.6,37540,3.5,49816,3.75 65,1,2024-09-07 08:22:10:870,110287,110287,0,0,51025952357,539673282,108580,1488,219,382,391569,0 65,2,2024-09-07 08:22:11:708,79628,79628,0,0,4445918,0,3367 65,3,2024-09-07 08:22:11:686,1,63,4,0,163,816,63,0 66,0,2024-09-07 08:22:11:773,18036,1.0,18224,1.2,36567,1.1,48692,2.75 66,1,2024-09-07 08:22:11:301,111269,111269,0,0,51649941537,538433318,110580,668,21,381,391588,0 66,2,2024-09-07 08:22:11:144,80227,80227,0,0,4101222,0,3867 66,3,2024-09-07 08:22:11:079,1,63,1,1,291,918,63,0 67,0,2024-09-07 08:22:11:424,11561,0.5,11630,0.7,22994,0.4,30822,2.25 67,1,2024-09-07 08:22:10:766,110164,110163,0,1,51525852108,545891761,108144,1494,525,382,391787,1 67,2,2024-09-07 08:22:10:584,80101,80101,0,0,3768393,0,2889 67,3,2024-09-07 08:22:11:756,1,63,1,0,138,636,63,0 68,0,2024-09-07 08:22:10:607,13060,0.5,13019,0.8,25974,0.5,34664,2.00 68,1,2024-09-07 08:22:10:571,109964,109964,0,0,51203453707,540935143,108564,878,522,382,391953,0 68,2,2024-09-07 08:22:11:079,79704,79704,0,0,3875418,0,4883 68,3,2024-09-07 08:22:10:733,1,63,5,1,63,663,63,0 69,0,2024-09-07 08:22:11:809,19607,1.6,19620,1.2,39023,2.1,52933,2.75 69,1,2024-09-07 08:22:11:022,109728,109728,0,0,51327262086,549081622,107737,1126,865,386,391532,0 69,2,2024-09-07 08:22:11:751,80089,80089,0,0,4362765,0,3153 69,3,2024-09-07 08:22:10:767,1,63,2,0,238,1117,63,0 70,0,2024-09-07 08:22:11:554,18681,3.7,18656,2.7,37732,3.0,50331,4.75 70,1,2024-09-07 08:22:10:803,110805,110805,0,0,52323936772,545129986,109893,777,135,369,391527,0 70,2,2024-09-07 08:22:11:328,78446,78446,0,0,4303049,0,4044 70,3,2024-09-07 08:22:10:748,1,63,12,0,178,475,63,0 71,0,2024-09-07 08:22:11:366,12255,2.1,12244,4.4,24025,3.1,33169,4.50 71,1,2024-09-07 08:22:11:596,110321,110321,0,0,52004445167,548544579,108119,1886,316,368,391682,0 71,2,2024-09-07 08:22:11:077,79664,79664,0,0,4056086,0,2146 71,3,2024-09-07 08:22:11:757,1,63,1,0,174,586,63,0 72,0,2024-09-07 08:22:11:058,13217,0.4,12784,0.6,25500,0.3,34235,2.50 72,1,2024-09-07 08:22:11:022,111071,111071,0,0,51583134401,541296227,109526,1467,78,370,391556,0 72,2,2024-09-07 08:22:11:758,79533,79533,0,0,5165700,0,2570 72,3,2024-09-07 08:22:11:758,1,63,1,0,325,1286,63,0 73,0,2024-09-07 08:22:11:168,17447,0.5,17975,0.7,36476,0.5,47946,2.25 73,1,2024-09-07 08:22:10:780,110472,110472,0,0,51939062622,543368784,109509,919,44,368,391627,0 73,2,2024-09-07 08:22:11:760,80758,80758,0,0,4684679,0,3482 73,3,2024-09-07 08:22:10:971,1,63,7,0,274,1228,63,0 74,0,2024-09-07 08:22:11:337,19029,2.0,19362,1.5,37305,3.4,50678,3.50 74,1,2024-09-07 08:22:10:638,110409,110409,0,0,51280945862,540512600,108512,1325,572,382,391497,0 74,2,2024-09-07 08:22:11:002,77611,77611,0,0,4933190,0,4253 74,3,2024-09-07 08:22:11:446,1,63,2,0,246,1336,63,0 75,0,2024-09-07 08:22:11:772,14725,2.9,14757,1.8,29472,5.0,39514,3.50 75,1,2024-09-07 08:22:11:585,110794,110794,0,0,51747808276,545461005,109110,1580,104,382,391514,0 75,2,2024-09-07 08:22:11:349,79914,79914,0,0,4794231,0,4766 75,3,2024-09-07 08:22:11:069,1,63,7,0,143,414,63,0 76,0,2024-09-07 08:22:10:601,11954,0.3,11832,0.6,23761,0.2,31204,2.00 76,1,2024-09-07 08:22:10:816,110173,110173,0,0,50974067218,539695892,108369,1298,506,382,391530,0 76,2,2024-09-07 08:22:11:071,80447,80447,0,0,3884456,0,3064 76,3,2024-09-07 08:22:11:148,1,63,6,0,175,980,63,0 77,0,2024-09-07 08:22:11:757,15328,0.8,15490,0.9,30855,0.8,41457,2.00 77,1,2024-09-07 08:22:10:824,110468,110468,0,0,51687098565,542357158,109434,965,69,383,391516,0 77,2,2024-09-07 08:22:11:280,79585,79585,0,0,3312936,0,1695 77,3,2024-09-07 08:22:11:107,1,63,1,0,139,674,63,0 78,0,2024-09-07 08:22:11:730,18622,1.4,18544,1.2,37272,2.1,49392,2.50 78,1,2024-09-07 08:22:10:613,111070,111070,0,0,50866467576,534245961,109653,1213,204,367,391589,0 78,2,2024-09-07 08:22:11:410,79209,79209,0,0,3359812,0,2114 78,3,2024-09-07 08:22:11:134,1,63,0,0,181,1003,63,0 79,0,2024-09-07 08:22:11:362,16643,1.0,17049,1.2,34843,1.3,45266,3.25 79,1,2024-09-07 08:22:10:579,110616,110616,0,0,51795204475,542000103,109279,1268,69,370,391609,0 79,2,2024-09-07 08:22:11:077,79802,79802,0,0,3824892,0,2679 79,3,2024-09-07 08:22:10:750,1,63,1,0,289,831,63,0 80,0,2024-09-07 08:22:11:089,12056,0.4,12356,0.7,23982,0.3,32074,2.00 80,1,2024-09-07 08:22:11:623,110056,110056,0,0,51957377151,545167521,108469,1472,115,369,391673,0 80,2,2024-09-07 08:22:11:099,79407,79407,0,0,4171021,0,4433 80,3,2024-09-07 08:22:10:577,1,63,1,1,190,1206,63,0 81,0,2024-09-07 08:22:11:592,13543,0.5,13826,0.7,26489,0.4,36138,2.00 81,1,2024-09-07 08:22:11:654,110244,110244,0,0,51657411889,546321402,108358,1688,198,383,391680,0 81,2,2024-09-07 08:22:11:173,78856,78856,0,0,4429475,0,3993 81,3,2024-09-07 08:22:11:124,1,63,4,0,193,770,63,0 82,0,2024-09-07 08:22:11:560,19713,1.1,19788,1.1,39545,1.4,52558,2.25 82,1,2024-09-07 08:22:10:592,110233,110231,0,2,51899250441,547347725,108196,1463,572,383,391558,2 82,2,2024-09-07 08:22:11:702,80644,80644,0,0,3406490,0,2230 82,3,2024-09-07 08:22:11:757,1,63,1,0,227,1296,63,0 83,0,2024-09-07 08:22:11:542,18396,1.8,18315,1.5,36333,2.1,48720,3.25 83,1,2024-09-07 08:22:10:562,110500,110500,0,0,51657409063,544190393,109085,1315,100,383,391553,0 83,2,2024-09-07 08:22:10:781,78554,78554,0,0,4394336,0,3119 83,3,2024-09-07 08:22:10:749,1,63,8,0,91,589,63,0 84,0,2024-09-07 08:22:11:798,12030,5.4,11928,4.8,23956,1.2,32926,3.25 84,1,2024-09-07 08:22:11:048,110323,110323,0,0,51552768770,544854457,108719,1357,247,369,391638,0 84,2,2024-09-07 08:22:10:585,79226,79226,0,0,4274867,0,3801 84,3,2024-09-07 08:22:11:154,1,63,9,1,43,645,63,0 85,0,2024-09-07 08:22:11:027,12582,0.4,12588,0.6,26643,0.3,34753,1.75 85,1,2024-09-07 08:22:10:613,109888,109888,0,0,51569757069,550607364,107441,2019,428,384,391652,0 85,2,2024-09-07 08:22:10:885,79588,79588,0,0,4640710,0,3656 85,3,2024-09-07 08:22:10:722,1,63,2,0,115,722,63,0 86,0,2024-09-07 08:22:10:898,18287,0.7,19037,0.8,36872,0.9,49228,2.00 86,1,2024-09-07 08:22:10:823,110004,110004,0,0,51806488684,546224570,108215,1573,216,367,391622,0 86,2,2024-09-07 08:22:10:855,79676,79675,1,0,4899257,0,5004 86,3,2024-09-07 08:22:10:601,1,63,1,0,199,846,63,0 87,0,2024-09-07 08:22:11:292,18629,3.2,18589,1.9,37371,4.2,50597,3.50 87,1,2024-09-07 08:22:10:557,110038,110038,0,0,51579892504,544558120,108466,1451,121,368,391671,0 87,2,2024-09-07 08:22:11:083,78155,78155,0,0,3654583,0,2859 87,3,2024-09-07 08:22:11:800,1,63,13,1,322,1134,63,0 88,0,2024-09-07 08:22:11:573,13630,0.9,13821,1.0,27353,1.0,36571,2.00 88,1,2024-09-07 08:22:10:572,109887,109887,0,0,51847384033,545291532,108682,1110,95,367,391747,0 88,2,2024-09-07 08:22:10:689,80135,80135,0,0,4790733,0,3583 88,3,2024-09-07 08:22:11:270,1,63,10,1,77,812,63,0 89,0,2024-09-07 08:22:11:855,12823,0.3,12435,0.5,24676,0.3,33458,1.75 89,1,2024-09-07 08:22:10:550,109432,109432,0,0,51540150099,553782342,106212,2485,735,383,391866,0 89,2,2024-09-07 08:22:11:423,79858,79858,0,0,4493687,0,2726 89,3,2024-09-07 08:22:11:907,1,63,10,0,325,1776,63,0 90,0,2024-09-07 08:22:11:662,16290,0.5,16551,0.7,34099,0.5,44835,1.75 90,1,2024-09-07 08:22:10:614,110185,110185,0,0,51285570067,545364876,108139,1906,140,382,391825,0 90,2,2024-09-07 08:22:11:431,78525,78525,0,0,5127832,0,2635 90,3,2024-09-07 08:22:10:940,1,63,1,1,200,902,63,0 91,0,2024-09-07 08:22:10:957,19257,0.7,18583,0.8,38737,0.9,50666,2.00 91,1,2024-09-07 08:22:10:565,110159,110159,0,0,51795510885,550298937,107813,1984,362,384,391914,0 91,2,2024-09-07 08:22:11:345,78088,78088,0,0,4152975,0,1997 91,3,2024-09-07 08:22:10:620,1,63,8,1,155,860,63,0 92,0,2024-09-07 08:22:11:473,16501,1.3,16987,1.1,32470,2.3,43711,2.00 92,1,2024-09-07 08:22:10:583,110646,110646,0,0,52249234399,547330456,109997,582,67,382,391717,0 92,2,2024-09-07 08:22:11:379,81222,81222,0,0,4108397,0,2279 92,3,2024-09-07 08:22:11:009,1,63,1,1,68,737,63,0 93,0,2024-09-07 08:22:11:051,12165,0.3,12381,0.5,23564,0.2,31518,1.75 93,1,2024-09-07 08:22:10:820,110497,110497,0,0,51802415348,546776552,108741,1322,434,367,391689,0 93,2,2024-09-07 08:22:11:017,79763,79763,0,0,3762498,0,2509 93,3,2024-09-07 08:22:11:411,1,63,10,1,143,657,63,0 94,0,2024-09-07 08:22:11:675,14013,0.3,14201,0.5,27969,0.2,37472,1.50 94,1,2024-09-07 08:22:10:574,109880,109880,0,0,51832739296,548506649,108303,1512,65,381,391850,0 94,2,2024-09-07 08:22:10:781,78853,78853,0,0,3807707,0,2443 94,3,2024-09-07 08:22:11:688,1,63,5,1,231,1220,63,0 95,0,2024-09-07 08:22:11:377,19068,0.5,18840,0.7,38402,0.5,50585,1.75 95,1,2024-09-07 08:22:10:851,110758,110758,0,0,51663157455,540510710,109962,732,64,367,391590,0 95,2,2024-09-07 08:22:11:022,79419,79419,0,0,4027338,0,3308 95,3,2024-09-07 08:22:11:713,1,63,2,0,307,1241,63,0 96,0,2024-09-07 08:22:11:057,18649,1.1,18529,1.0,37145,1.9,49192,1.75 96,1,2024-09-07 08:22:11:586,110590,110590,0,0,51730007237,548491344,108752,1267,571,385,391596,0 96,2,2024-09-07 08:22:11:276,79746,79746,0,0,4595038,0,4038 96,3,2024-09-07 08:22:11:140,1,63,3,1,36,671,63,0 97,0,2024-09-07 08:22:11:393,11555,0.4,11525,0.6,23102,0.3,30861,1.75 97,1,2024-09-07 08:22:10:810,110357,110357,0,0,52179600393,550411732,108172,1668,517,367,392140,0 97,2,2024-09-07 08:22:10:617,79330,79330,0,0,3825718,0,3036 97,3,2024-09-07 08:22:10:587,1,63,38,1,165,944,63,0 98,0,2024-09-07 08:22:11:711,13108,0.2,12930,0.4,26296,0.2,34876,1.50 98,1,2024-09-07 08:22:10:575,110386,110386,0,0,51953124437,546187233,109316,1025,45,382,391588,0 98,2,2024-09-07 08:22:10:813,80350,80350,0,0,4080781,0,3080 98,3,2024-09-07 08:22:10:714,1,63,35,1,155,838,63,0 99,0,2024-09-07 08:22:11:522,19688,0.4,19645,0.5,39246,0.4,53107,1.75 99,1,2024-09-07 08:22:11:867,110817,110817,0,0,51436178697,541633030,109340,1037,440,381,391744,0 99,2,2024-09-07 08:22:11:467,80875,80875,0,0,4059717,0,1858 99,3,2024-09-07 08:22:10:583,1,63,3,0,129,682,63,0 100,0,2024-09-07 08:22:11:645,19031,2.3,18840,2.7,37740,5.2,51307,2.75 100,1,2024-09-07 08:22:10:550,109715,109715,0,0,51089880041,548542752,106975,2396,344,381,391585,0 100,2,2024-09-07 08:22:11:824,77978,77967,11,0,5143325,0,5417 100,3,2024-09-07 08:22:11:743,1,63,47,1,443,1786,63,0 101,0,2024-09-07 08:22:11:839,12754,5.0,12360,2.6,24510,2.2,34206,3.00 101,1,2024-09-07 08:22:10:572,110155,110155,0,0,51405261239,544023954,108688,1018,449,369,391709,0 101,2,2024-09-07 08:22:11:804,79982,79982,0,0,4489918,0,4644 101,3,2024-09-07 08:22:10:947,1,63,6,0,448,952,63,0 102,0,2024-09-07 08:22:10:982,12634,0.4,13036,0.6,26098,0.3,34099,1.75 102,1,2024-09-07 08:22:11:151,110101,110101,0,0,51358695430,547082530,107674,1959,468,369,391831,0 102,2,2024-09-07 08:22:11:743,80236,80236,0,0,3688411,0,1945 102,3,2024-09-07 08:22:11:613,1,63,1,0,410,798,63,0 103,0,2024-09-07 08:22:11:628,18305,0.6,18352,0.8,34685,0.7,48317,2.00 103,1,2024-09-07 08:22:11:626,110180,110180,0,0,51898672541,547441097,108961,1075,144,381,391680,0 103,2,2024-09-07 08:22:10:599,79740,79740,0,0,3993622,0,2104 103,3,2024-09-07 08:22:10:755,1,63,3,1,486,1052,63,0 104,0,2024-09-07 08:22:11:052,18936,2.5,19012,1.6,36882,3.6,50723,2.75 104,1,2024-09-07 08:22:11:607,110989,110989,0,0,51115646979,542327985,109080,1583,326,368,391948,0 104,2,2024-09-07 08:22:11:685,77029,77029,0,0,4538980,0,3941 104,3,2024-09-07 08:22:11:428,1,63,198,1,1245,3750,63,0 105,0,2024-09-07 08:22:11:053,14659,2.0,14101,1.8,29330,3.1,39286,3.75 105,1,2024-09-07 08:22:10:555,110139,110139,0,0,51494861530,549312759,107192,2201,746,367,391797,0 105,2,2024-09-07 08:22:11:343,79992,79992,0,0,4310839,0,3314 105,3,2024-09-07 08:22:11:317,1,63,399,1,399,1566,63,0 106,0,2024-09-07 08:22:10:953,11529,0.3,11893,0.6,24120,0.2,31316,1.75 106,1,2024-09-07 08:22:11:758,110510,110510,0,0,51516288490,547041207,108110,2073,327,370,391767,0 106,2,2024-09-07 08:22:10:756,79423,79423,0,0,4356371,0,2795 106,3,2024-09-07 08:22:10:684,1,63,2,1,201,857,63,0 107,0,2024-09-07 08:22:11:129,15464,0.5,15399,0.7,30688,0.5,41541,1.75 107,1,2024-09-07 08:22:10:602,109879,109879,0,0,51603785400,547936760,108089,1619,171,382,392234,0 107,2,2024-09-07 08:22:11:328,78734,78733,1,0,4192091,0,5024 107,3,2024-09-07 08:22:11:762,1,63,2,0,353,1260,63,0 108,0,2024-09-07 08:22:11:833,18607,0.7,18899,0.8,37474,0.8,49547,1.75 108,1,2024-09-07 08:22:11:305,110347,110347,0,0,52203336476,550133806,108918,1296,133,371,391857,0 108,2,2024-09-07 08:22:11:775,78850,78850,0,0,4075462,0,2647 108,3,2024-09-07 08:22:11:336,1,63,13,1,60,825,63,0 109,0,2024-09-07 08:22:11:788,17428,0.9,17555,1.0,34532,1.1,46449,2.00 109,1,2024-09-07 08:22:10:585,109769,109769,0,0,51917135297,550835797,108162,1224,383,383,391664,0 109,2,2024-09-07 08:22:10:935,79177,79177,0,0,4439883,0,3617 109,3,2024-09-07 08:22:11:140,1,63,7,1,249,1188,63,0 110,0,2024-09-07 08:22:11:791,12177,0.2,11854,0.5,24652,0.2,32087,1.50 110,1,2024-09-07 08:22:11:648,110703,110703,0,0,51974716411,544559507,109387,1031,285,370,391588,0 110,2,2024-09-07 08:22:11:312,80097,80097,0,0,3743828,0,2915 110,3,2024-09-07 08:22:10:700,1,63,2,0,183,681,63,0 111,0,2024-09-07 08:22:11:422,13329,0.2,13304,0.4,26493,0.1,36035,1.50 111,1,2024-09-07 08:22:11:001,110924,110924,0,0,52448325504,545134529,110281,630,13,382,391690,0 111,2,2024-09-07 08:22:11:132,79578,79578,0,0,3897294,0,2763 111,3,2024-09-07 08:22:10:917,1,63,1,0,300,1359,63,0 112,0,2024-09-07 08:22:10:927,19996,0.5,20084,0.6,39767,0.4,52900,1.75 112,1,2024-09-07 08:22:10:824,110296,110296,0,0,51639487251,543205485,108788,1162,346,381,391580,0 112,2,2024-09-07 08:22:11:140,79989,79988,1,0,4351573,0,5036 112,3,2024-09-07 08:22:10:593,1,63,0,0,282,826,63,0 113,0,2024-09-07 08:22:10:886,18524,0.7,18405,0.8,37379,0.6,49790,1.75 113,1,2024-09-07 08:22:11:687,110604,110604,0,0,51842167889,543694067,108716,1504,384,368,391661,0 113,2,2024-09-07 08:22:11:307,79071,79071,0,0,3582670,0,3021 113,3,2024-09-07 08:22:10:684,1,63,1,0,288,1109,63,0 114,0,2024-09-07 08:22:10:887,12374,4.1,12538,2.6,24702,1.3,33412,2.25 114,1,2024-09-07 08:22:10:717,110277,110277,0,0,51936434754,551436269,107670,1726,881,381,391519,0 114,2,2024-09-07 08:22:10:882,79257,79257,0,0,4178614,0,3925 114,3,2024-09-07 08:22:11:278,1,63,2,0,159,736,63,0 115,0,2024-09-07 08:22:10:611,12841,0.2,12966,0.4,25924,0.1,34915,1.50 115,1,2024-09-07 08:22:10:572,110677,110677,0,0,51997589168,549351961,108304,1886,487,383,391510,0 115,2,2024-09-07 08:22:11:144,80534,80534,0,0,3547896,0,2152 115,3,2024-09-07 08:22:11:001,1,63,1,0,159,460,63,0 116,0,2024-09-07 08:22:11:765,18500,0.8,18346,0.9,36846,0.9,49388,2.00 116,1,2024-09-07 08:22:10:804,109672,109672,0,0,51942140778,554621120,107798,1004,870,382,391605,0 116,2,2024-09-07 08:22:11:767,79930,79930,0,0,4588609,0,3529 116,3,2024-09-07 08:22:10:917,1,63,5,1,252,1241,63,0 117,0,2024-09-07 08:22:11:025,18764,2.7,18807,1.6,37489,3.9,50752,2.25 117,1,2024-09-07 08:22:11:606,110366,110366,0,0,51490544912,547005971,108187,1886,293,371,392033,0 117,2,2024-09-07 08:22:11:205,78326,78326,0,0,3962970,0,3700 117,3,2024-09-07 08:22:11:061,1,63,1,0,490,1762,63,0 118,0,2024-09-07 08:22:11:787,13302,0.9,13559,1.0,27884,1.2,36485,2.25 118,1,2024-09-07 08:22:10:593,110710,110710,0,0,51319591285,541908212,109478,1154,78,368,391736,0 118,2,2024-09-07 08:22:11:586,80288,80288,0,0,4366549,0,2781 118,3,2024-09-07 08:22:11:765,1,63,4,1,235,1112,63,0 119,0,2024-09-07 08:22:11:359,12191,0.3,12255,0.5,25124,0.2,33269,1.75 119,1,2024-09-07 08:22:10:571,110426,110426,0,0,51317525860,541925589,108819,1458,149,370,391617,0 119,2,2024-09-07 08:22:11:272,79578,79578,0,0,4110458,0,2532 119,3,2024-09-07 08:22:11:336,1,63,6,1,443,2077,63,0 120,0,2024-09-07 08:22:11:596,16576,0.7,16560,1.0,33141,0.7,44787,2.25 120,1,2024-09-07 08:22:10:860,110166,110166,0,0,51387120023,544167572,108661,1490,15,368,391702,0 120,2,2024-09-07 08:22:10:780,78689,78688,1,0,5062044,0,5281 120,3,2024-09-07 08:22:11:304,1,63,4,1,241,1066,63,0 121,0,2024-09-07 08:22:11:816,18730,2.8,18892,1.7,37823,4.0,50688,2.75 121,1,2024-09-07 08:22:11:669,110503,110503,0,0,51793707247,547524448,108635,1600,268,368,391807,0 121,2,2024-09-07 08:22:11:200,77572,77572,0,0,5344984,0,4127 121,3,2024-09-07 08:22:10:741,1,63,3,0,101,706,63,0 122,0,2024-09-07 08:22:11:775,16315,2.5,15746,2.2,32807,2.9,43934,2.50 122,1,2024-09-07 08:22:10:868,109355,109355,0,0,51378028796,549172927,106358,2473,524,368,392130,0 122,2,2024-09-07 08:22:11:329,80234,80234,0,0,5452171,0,3364 122,3,2024-09-07 08:22:10:595,1,63,14,1,226,1372,63,0 123,0,2024-09-07 08:22:11:045,12139,0.3,11766,0.5,24504,0.2,31514,1.75 123,1,2024-09-07 08:22:10:572,110507,110507,0,0,52040806940,556746179,107089,2850,568,369,391823,0 123,2,2024-09-07 08:22:11:020,79471,79470,1,0,4377481,0,5215 123,3,2024-09-07 08:22:11:135,1,63,1,1,160,887,63,0 124,0,2024-09-07 08:22:10:946,14321,0.3,14288,0.5,27234,0.2,37496,1.50 124,1,2024-09-07 08:22:11:036,110908,110908,0,0,51451953783,536887545,110116,648,144,367,392178,0 124,2,2024-09-07 08:22:11:045,78575,78575,0,0,3517564,0,2477 124,3,2024-09-07 08:22:10:773,1,63,5,1,490,1533,63,0 125,0,2024-09-07 08:22:11:434,19171,0.7,19185,0.8,38469,0.8,50524,2.00 125,1,2024-09-07 08:22:10:865,110434,110434,0,0,51507554383,543733455,108703,1459,272,384,391702,0 125,2,2024-09-07 08:22:11:139,79553,79553,0,0,3879072,0,2180 125,3,2024-09-07 08:22:11:144,1,63,3,1,93,950,63,0 126,0,2024-09-07 08:22:11:486,18686,1.4,19076,1.1,36615,2.3,49556,2.00 126,1,2024-09-07 08:22:10:563,110956,110956,0,0,52060660232,543975256,109913,991,52,365,391719,0 126,2,2024-09-07 08:22:10:664,79720,79720,0,0,4166414,0,3186 126,3,2024-09-07 08:22:10:917,1,63,3,0,122,877,63,0 127,0,2024-09-07 08:22:11:608,11652,0.2,11527,0.4,23056,0.2,30780,1.50 127,1,2024-09-07 08:22:10:581,110630,110630,0,0,51277529531,535415048,109496,1111,23,365,391614,0 127,2,2024-09-07 08:22:10:665,79734,79734,0,0,3396962,0,1803 127,3,2024-09-07 08:22:11:271,1,63,1,0,99,581,63,0 128,0,2024-09-07 08:22:11:622,13104,0.3,13202,0.5,25842,0.2,34803,1.50 128,1,2024-09-07 08:22:11:636,110541,110541,0,0,51683002973,539049955,109826,645,70,369,391605,0 128,2,2024-09-07 08:22:11:422,79394,79394,0,0,4279413,0,2107 128,3,2024-09-07 08:22:10:778,1,63,1,1,112,828,63,0 129,0,2024-09-07 08:22:11:011,19779,0.5,19762,0.6,39258,0.5,53081,1.75 129,1,2024-09-07 08:22:10:592,110309,110309,0,0,52099913606,550843584,108491,1545,273,379,391835,0 129,2,2024-09-07 08:22:10:727,79480,79480,0,0,3695922,0,2446 129,3,2024-09-07 08:22:10:706,1,63,4,1,173,1087,63,0 130,0,2024-09-07 08:22:11:729,19235,1.6,19110,1.2,38322,2.2,51759,2.25 130,1,2024-09-07 08:22:10:598,110658,110658,0,0,51325172125,540353412,109353,1274,31,381,391609,0 130,2,2024-09-07 08:22:11:129,78398,78398,0,0,4337279,0,4067 130,3,2024-09-07 08:22:11:297,1,63,1,1,207,614,63,0 131,0,2024-09-07 08:22:11:940,12742,1.3,12593,1.1,25605,1.8,34109,2.00 131,1,2024-09-07 08:22:11:841,111077,111077,0,0,51782953443,543390779,110066,825,186,384,391865,0 131,2,2024-09-07 08:22:10:606,79586,79586,0,0,3573867,0,2415 131,3,2024-09-07 08:22:11:687,1,63,1,0,392,1031,63,0 132,0,2024-09-07 08:22:11:428,12758,0.4,13011,0.6,25699,0.3,34140,1.75 132,1,2024-09-07 08:22:10:583,109684,109684,0,0,51369735957,548153261,107117,1862,705,382,391574,0 132,2,2024-09-07 08:22:10:732,79604,79604,0,0,5787708,0,4606 132,3,2024-09-07 08:22:11:719,1,63,12,1,356,976,63,0 133,0,2024-09-07 08:22:11:569,17511,0.6,17752,0.7,36668,0.6,47720,2.00 133,1,2024-09-07 08:22:10:591,109328,109328,0,0,51475045045,548496997,107204,2029,95,383,391755,0 133,2,2024-09-07 08:22:11:225,80078,80078,0,0,4856058,0,2444 133,3,2024-09-07 08:22:11:303,1,63,11,1,187,587,63,0 134,0,2024-09-07 08:22:10:973,19143,1.3,19121,1.2,38267,1.8,50866,2.25 134,1,2024-09-07 08:22:10:588,110268,110268,0,0,51262769283,545470260,107648,1639,981,366,391718,0 134,2,2024-09-07 08:22:11:774,77468,77468,0,0,3669942,0,2026 134,3,2024-09-07 08:22:10:753,1,63,7,1,739,1818,63,0 135,0,2024-09-07 08:22:11:153,14358,2.0,14391,1.8,30418,2.2,39161,2.50 135,1,2024-09-07 08:22:11:596,109866,109866,0,0,52214997596,552703697,107923,1616,327,383,391703,0 135,2,2024-09-07 08:22:10:688,80744,80744,0,0,4586058,0,3981 135,3,2024-09-07 08:22:11:002,1,63,4,0,68,453,63,0 136,0,2024-09-07 08:22:11:660,11968,0.4,11971,0.7,23817,0.3,31500,2.00 136,1,2024-09-07 08:22:11:457,110480,110480,0,0,51589395910,546038733,108584,1749,147,384,391621,0 136,2,2024-09-07 08:22:11:208,80525,80525,0,0,3938669,0,2379 136,3,2024-09-07 08:22:11:108,1,63,1,1,108,813,63,0 137,0,2024-09-07 08:22:10:949,15821,1.0,15307,1.0,30518,1.2,41621,2.00 137,1,2024-09-07 08:22:10:589,110338,110338,0,0,52360089519,552750872,108373,1852,113,368,391608,0 137,2,2024-09-07 08:22:11:712,78510,78510,0,0,4794293,0,2632 137,3,2024-09-07 08:22:10:769,1,63,1,1,227,920,63,0 138,0,2024-09-07 08:22:11:753,18152,3.0,18342,1.8,37064,4.0,49411,3.00 138,1,2024-09-07 08:22:11:700,110086,110086,0,0,52638321151,557256884,107762,2063,261,370,391615,0 138,2,2024-09-07 08:22:10:615,79666,79666,0,0,4138985,0,3263 138,3,2024-09-07 08:22:10:613,1,63,51,1,1160,1825,63,0 139,0,2024-09-07 08:22:11:392,16830,4.7,16823,2.6,33980,5.7,45756,4.00 139,1,2024-09-07 08:22:10:577,109777,109777,0,0,50950084324,548574347,106894,2155,728,381,391892,0 139,2,2024-09-07 08:22:10:722,79491,79491,0,0,5100316,0,3097 139,3,2024-09-07 08:22:11:664,1,63,6,0,244,1287,63,0 140,0,2024-09-07 08:22:11:598,12145,0.3,11970,0.5,24285,0.2,32110,1.75 140,1,2024-09-07 08:22:11:538,111383,111383,0,0,52126181215,542063136,110456,730,197,365,391497,0 140,2,2024-09-07 08:22:10:692,79833,79833,0,0,4305842,0,3388 140,3,2024-09-07 08:22:10:767,1,63,2,0,25,513,63,0 141,0,2024-09-07 08:22:11:716,13097,0.2,13673,0.4,26457,0.1,35955,1.50 141,1,2024-09-07 08:22:10:862,111096,111096,0,0,51933233384,544332979,109677,1088,331,382,391538,0 141,2,2024-09-07 08:22:11:688,79816,79816,0,0,3820941,0,2342 141,3,2024-09-07 08:22:11:047,1,63,1,0,147,528,63,0 142,0,2024-09-07 08:22:11:362,20220,0.4,19954,0.6,39668,0.4,52945,1.75 142,1,2024-09-07 08:22:10:596,111141,111141,0,0,52201753690,545881237,110594,532,15,384,391649,0 142,2,2024-09-07 08:22:11:315,79370,79370,0,0,4119163,0,2293 142,3,2024-09-07 08:22:11:748,1,63,1,0,484,1166,63,0 143,0,2024-09-07 08:22:11:431,18476,1.2,18507,1.0,37408,1.6,49622,2.00 143,1,2024-09-07 08:22:10:570,110740,110740,0,0,52001364756,542479797,109765,949,26,367,391608,0 143,2,2024-09-07 08:22:10:775,78661,78661,0,0,4489123,0,2669 143,3,2024-09-07 08:22:11:155,1,63,2,1,236,1074,63,0 144,0,2024-09-07 08:22:11:582,11810,1.9,12251,6.7,24538,2.0,33214,2.50 144,1,2024-09-07 08:22:10:572,109994,109994,0,0,51388187815,544269305,108431,1374,189,383,391638,0 144,2,2024-09-07 08:22:11:758,79798,79798,0,0,3911989,0,3473 144,3,2024-09-07 08:22:11:746,1,63,25,1,169,1179,63,0 145,0,2024-09-07 08:22:11:380,12475,0.4,12507,0.6,26437,0.3,34712,2.00 145,1,2024-09-07 08:22:10:604,109803,109803,0,0,52217428709,553428672,107881,1660,262,383,391615,0 145,2,2024-09-07 08:22:11:434,80012,80012,0,0,4772196,0,3903 145,3,2024-09-07 08:22:10:896,1,63,21,0,151,885,63,0 146,0,2024-09-07 08:22:11:630,18414,0.8,18415,0.9,36940,0.9,49034,2.25 146,1,2024-09-07 08:22:11:588,110722,110722,0,0,51842382112,549512978,108431,1990,301,370,391600,0 146,2,2024-09-07 08:22:11:702,79271,79271,0,0,4255945,0,2379 146,3,2024-09-07 08:22:11:284,1,63,9,0,1520,2944,63,0 147,0,2024-09-07 08:22:11:722,18692,3.3,18493,1.9,36595,4.7,50836,3.50 147,1,2024-09-07 08:22:11:380,110501,110501,0,0,51797868349,542926078,108793,1354,354,368,391791,0 147,2,2024-09-07 08:22:11:014,78630,78630,0,0,4199390,0,2789 147,3,2024-09-07 08:22:10:916,1,63,3,1,141,768,63,0 0,0,2024-09-07 08:22:21:733,16562,0.8,16565,0.9,35186,1.1,45950,2.00 0,1,2024-09-07 08:22:20:811,112386,112386,0,0,52697798694,557012848,111166,1094,126,372,391673,0 0,2,2024-09-07 08:22:21:116,80503,80503,0,0,4403304,0,4480 0,3,2024-09-07 08:22:20:975,1,64,6,0,247,1061,64,0 1,0,2024-09-07 08:22:21:862,18838,3.1,18790,2.0,37780,4.7,50822,3.00 1,1,2024-09-07 08:22:20:583,111635,111635,0,0,51708043537,547590625,109951,1156,528,372,391857,0 1,2,2024-09-07 08:22:20:651,78947,78947,0,0,3998238,0,3267 1,3,2024-09-07 08:22:21:339,1,64,10,0,167,706,64,0 2,0,2024-09-07 08:22:21:592,16193,1.7,16387,1.5,32472,3.4,43371,2.50 2,1,2024-09-07 08:22:20:864,112248,112248,0,0,52857320342,553952670,111168,877,203,382,391558,0 2,2,2024-09-07 08:22:21:266,80866,80866,0,0,4183778,0,3304 2,3,2024-09-07 08:22:20:693,1,64,107,0,214,797,64,0 3,0,2024-09-07 08:22:21:766,12195,0.3,12159,0.6,24376,0.2,31595,1.75 3,1,2024-09-07 08:22:21:621,111639,111639,0,0,52344077751,551718494,109661,1592,386,382,391514,0 3,2,2024-09-07 08:22:21:144,81120,81097,23,0,4654620,0,5851 3,3,2024-09-07 08:22:21:770,1,64,1,0,103,554,64,0 4,0,2024-09-07 08:22:21:901,13928,0.3,14310,0.5,29101,0.2,38470,1.75 4,1,2024-09-07 08:22:20:643,111999,111999,0,0,52408559286,557276662,110150,1433,416,371,391846,0 4,2,2024-09-07 08:22:21:063,79982,79982,0,0,5166973,0,4528 4,3,2024-09-07 08:22:21:042,1,64,63,1,287,1263,64,0 5,0,2024-09-07 08:22:21:478,19259,0.9,19044,0.9,38543,1.1,50710,2.00 5,1,2024-09-07 08:22:20:772,111872,111872,0,0,52756765335,560407237,109674,1758,440,368,392005,0 5,2,2024-09-07 08:22:21:834,80102,80102,0,0,4089983,0,2259 5,3,2024-09-07 08:22:21:732,1,64,2,1,238,1429,64,0 6,0,2024-09-07 08:22:20:934,18782,1.4,18552,1.4,37045,2.0,49868,2.75 6,1,2024-09-07 08:22:20:747,112463,112463,0,0,52447666854,549781878,111359,1035,69,381,391603,0 6,2,2024-09-07 08:22:21:118,81218,81218,0,0,4322450,0,2411 6,3,2024-09-07 08:22:21:284,1,64,1,1,340,1410,64,0 7,0,2024-09-07 08:22:21:540,11699,0.3,11744,0.5,23563,0.2,31572,1.75 7,1,2024-09-07 08:22:20:879,112363,112363,0,0,52798712374,554385959,111383,916,64,383,391664,0 7,2,2024-09-07 08:22:20:770,80489,80489,0,0,4093241,0,2981 7,3,2024-09-07 08:22:20:852,1,64,1,0,83,646,64,0 8,0,2024-09-07 08:22:21:432,13547,0.3,13365,0.5,26353,0.2,35620,1.50 8,1,2024-09-07 08:22:21:051,112118,112118,0,0,52265056893,562249993,108643,2222,1253,368,391724,0 8,2,2024-09-07 08:22:20:803,79775,79775,0,0,4893742,0,2986 8,3,2024-09-07 08:22:20:585,1,64,9,0,229,1015,64,0 9,0,2024-09-07 08:22:21:233,20103,0.4,19601,0.6,41058,0.4,54288,1.75 9,1,2024-09-07 08:22:20:584,112332,112332,0,0,52914455618,561438833,110050,1715,567,370,391576,0 9,2,2024-09-07 08:22:21:132,81188,81188,0,0,4516297,0,3360 9,3,2024-09-07 08:22:21:765,1,64,6,0,149,977,64,0 10,0,2024-09-07 08:22:21:620,19279,1.0,19382,1.0,38766,1.0,52018,2.50 10,1,2024-09-07 08:22:20:584,111655,111655,0,0,52059114949,556117717,108321,2812,522,383,391541,0 10,2,2024-09-07 08:22:20:766,79492,79492,0,0,4609848,0,2940 10,3,2024-09-07 08:22:20:871,1,64,1,0,136,544,64,0 11,0,2024-09-07 08:22:21:026,12475,2.6,12226,1.8,25574,5.2,34512,2.50 11,1,2024-09-07 08:22:20:576,111772,111772,0,0,52235330417,555274467,109133,1824,815,384,391537,0 11,2,2024-09-07 08:22:21:125,80873,80873,0,0,4343549,0,2635 11,3,2024-09-07 08:22:21:306,1,64,0,0,720,2169,64,0 12,0,2024-09-07 08:22:21:039,13177,0.3,13221,0.5,26365,0.2,34718,1.75 12,1,2024-09-07 08:22:20:949,111831,111831,0,0,51768184611,546733230,110194,1538,99,371,391790,0 12,2,2024-09-07 08:22:21:560,82253,82253,0,0,4087799,0,2254 12,3,2024-09-07 08:22:21:078,1,64,15,1,358,1350,64,0 13,0,2024-09-07 08:22:21:451,18259,0.4,18383,0.6,36729,0.4,49134,1.75 13,1,2024-09-07 08:22:21:538,112282,112282,0,0,52469774965,556225204,110694,1221,367,384,391717,0 13,2,2024-09-07 08:22:20:630,81365,81365,0,0,3820818,0,3287 13,3,2024-09-07 08:22:21:784,1,64,5,1,373,1199,64,0 14,0,2024-09-07 08:22:20:603,19273,1.1,19310,1.1,38287,1.4,51126,2.50 14,1,2024-09-07 08:22:21:567,113121,113121,0,0,52324676643,545211660,112243,848,30,365,391546,0 14,2,2024-09-07 08:22:20:766,78475,78475,0,0,4306496,0,2793 14,3,2024-09-07 08:22:21:123,1,64,8,0,906,1267,64,0 15,0,2024-09-07 08:22:21:563,14803,2.4,14653,1.7,29417,4.5,39415,3.25 15,1,2024-09-07 08:22:21:613,112088,112088,0,0,52135836392,545347564,111304,749,35,381,391536,0 15,2,2024-09-07 08:22:21:006,82189,82189,0,0,3433688,0,3043 15,3,2024-09-07 08:22:21:406,1,64,1,0,538,1835,64,0 16,0,2024-09-07 08:22:21:030,11968,0.4,12168,0.6,23928,0.3,31710,2.00 16,1,2024-09-07 08:22:20:588,111861,111861,0,0,51921064030,547662625,110741,1095,25,372,391666,0 16,2,2024-09-07 08:22:21:465,80646,80646,0,0,4766704,0,4719 16,3,2024-09-07 08:22:21:153,1,64,14,1,231,1233,64,0 17,0,2024-09-07 08:22:21:839,16364,0.6,16024,0.8,31324,0.6,42954,2.00 17,1,2024-09-07 08:22:20:586,112009,112009,0,0,52608600249,559484500,110141,1346,522,369,391688,0 17,2,2024-09-07 08:22:21:704,80649,80649,0,0,3657687,0,2857 17,3,2024-09-07 08:22:20:585,1,64,18,0,268,1399,64,0 18,0,2024-09-07 08:22:20:960,18303,1.7,18520,1.4,37225,2.1,49234,2.75 18,1,2024-09-07 08:22:21:646,112088,112088,0,0,52351868639,548613460,110839,1031,218,368,391555,0 18,2,2024-09-07 08:22:21:764,79568,79568,0,0,4519545,0,3541 18,3,2024-09-07 08:22:20:897,1,64,2,0,163,928,64,0 19,0,2024-09-07 08:22:21:562,17067,1.9,17171,1.6,33942,2.0,45082,5.50 19,1,2024-09-07 08:22:20:583,112456,112456,0,0,53035109928,558695429,110591,1702,163,367,391696,0 19,2,2024-09-07 08:22:21:766,81689,81689,0,0,4162488,0,3988 19,3,2024-09-07 08:22:21:132,1,64,1,0,524,1060,64,0 20,0,2024-09-07 08:22:21:537,12394,0.3,12390,0.5,24449,0.2,32358,2.00 20,1,2024-09-07 08:22:20:595,112270,112270,0,0,52712226884,556873714,110576,1532,162,370,391598,0 20,2,2024-09-07 08:22:20:942,80693,80693,0,0,3907479,0,2446 20,3,2024-09-07 08:22:20:594,1,64,3,1,99,876,64,0 21,0,2024-09-07 08:22:21:234,14078,0.4,13945,0.6,27701,0.3,37002,2.00 21,1,2024-09-07 08:22:21:578,111620,111620,0,0,52015277788,553842615,109320,1808,492,368,391962,0 21,2,2024-09-07 08:22:21:124,80230,80230,0,0,4227168,0,3747 21,3,2024-09-07 08:22:21:432,1,64,32,0,93,1015,64,0 22,0,2024-09-07 08:22:21:736,19676,2.2,19643,1.5,39240,4.0,52272,3.25 22,1,2024-09-07 08:22:21:023,111850,111850,0,0,52035982765,555722704,108711,2413,726,382,391667,0 22,2,2024-09-07 08:22:20:760,80664,80664,0,0,3783739,0,3134 22,3,2024-09-07 08:22:21:077,1,64,28,0,62,378,64,0 23,0,2024-09-07 08:22:21:374,18703,1.5,18876,1.2,37586,2.2,50349,2.75 23,1,2024-09-07 08:22:21:004,112662,112662,0,0,52681253583,550713236,111316,1092,254,368,391496,0 23,2,2024-09-07 08:22:21:103,80340,80340,0,0,3913522,0,2078 23,3,2024-09-07 08:22:21:768,1,64,1,0,645,1282,64,0 24,0,2024-09-07 08:22:20:892,12823,0.7,12874,0.8,25599,0.7,34002,1.75 24,1,2024-09-07 08:22:20:589,112558,112558,0,0,52217278574,546637813,111752,799,7,369,391640,0 24,2,2024-09-07 08:22:21:083,80175,80175,0,0,4931672,0,2942 24,3,2024-09-07 08:22:21:723,1,64,25,1,234,979,64,0 25,0,2024-09-07 08:22:21:427,13707,0.3,13511,0.5,26304,0.2,36198,1.50 25,1,2024-09-07 08:22:20:583,111936,111936,0,0,52451648648,556690738,109790,1831,315,371,391788,0 25,2,2024-09-07 08:22:21:615,81098,81098,0,0,4500297,0,3284 25,3,2024-09-07 08:22:21:008,1,64,11,1,158,680,64,0 26,0,2024-09-07 08:22:21:733,18625,0.5,18220,0.6,38029,0.4,50165,1.75 26,1,2024-09-07 08:22:21:544,112028,112028,0,0,52183976986,557780666,108567,2626,835,381,391564,0 26,2,2024-09-07 08:22:20:877,81303,81303,0,0,4628860,0,2809 26,3,2024-09-07 08:22:21:713,1,64,15,0,796,1243,64,0 27,0,2024-09-07 08:22:21:744,19070,1.3,19185,1.0,38375,1.9,51777,2.00 27,1,2024-09-07 08:22:21:677,112943,112943,0,0,53175488056,555153636,111874,774,295,381,391539,0 27,2,2024-09-07 08:22:20:879,78022,78022,0,0,5531326,0,3409 27,3,2024-09-07 08:22:21:018,1,64,1,0,564,1011,64,0 28,0,2024-09-07 08:22:21:396,13823,0.7,14019,0.9,27843,1.0,37120,2.00 28,1,2024-09-07 08:22:20:814,112675,112675,0,0,53317187877,558787152,111617,858,200,383,391646,0 28,2,2024-09-07 08:22:21:773,81389,81389,0,0,3644061,0,2609 28,3,2024-09-07 08:22:21:782,1,64,7,0,502,1157,64,0 29,0,2024-09-07 08:22:21:375,13039,0.3,12695,0.5,24973,0.2,34270,1.75 29,1,2024-09-07 08:22:21:562,113273,113273,0,0,52688610374,547010379,112530,571,172,369,391621,0 29,2,2024-09-07 08:22:20:882,81999,81999,0,0,3184817,0,2026 29,3,2024-09-07 08:22:20:963,1,64,1,0,105,647,64,0 30,0,2024-09-07 08:22:21:472,17054,0.6,16594,0.7,34738,0.6,46017,2.00 30,1,2024-09-07 08:22:20:576,112374,112374,0,0,53041762247,555255317,111401,908,65,382,391524,0 30,2,2024-09-07 08:22:21:277,81065,81065,0,0,3222701,0,2534 30,3,2024-09-07 08:22:20:581,1,64,1,0,195,609,64,0 31,0,2024-09-07 08:22:21:784,19008,1.7,18855,1.3,38276,2.9,50525,3.25 31,1,2024-09-07 08:22:20:582,112917,112917,0,0,53208630332,547500311,112668,247,2,356,391553,0 31,2,2024-09-07 08:22:21:276,79338,79338,0,0,4601016,0,3525 31,3,2024-09-07 08:22:21:706,1,64,1,0,129,541,64,0 32,0,2024-09-07 08:22:21:463,16533,1.6,16656,1.2,33384,3.0,44045,2.00 32,1,2024-09-07 08:22:20:807,112287,112287,0,0,52478886255,549113048,111288,970,29,382,391595,0 32,2,2024-09-07 08:22:20:937,81807,81807,0,0,3912213,0,3155 32,3,2024-09-07 08:22:21:020,1,64,0,0,110,618,64,0 33,0,2024-09-07 08:22:21:527,12169,0.2,12029,0.4,24466,0.2,31492,1.75 33,1,2024-09-07 08:22:20:583,112593,112593,0,0,53147253470,556244976,110932,1538,123,369,391526,0 33,2,2024-09-07 08:22:20:770,80963,80930,33,0,5462120,0,7012 33,3,2024-09-07 08:22:20:897,1,64,1,0,129,490,64,0 34,0,2024-09-07 08:22:20:960,14323,0.2,14799,0.4,28418,0.2,38343,1.50 34,1,2024-09-07 08:22:21:044,112718,112718,0,0,52927293775,548223666,112126,588,4,367,391517,0 34,2,2024-09-07 08:22:20:768,79956,79956,0,0,4467568,0,3255 34,3,2024-09-07 08:22:21:697,1,64,1,0,148,668,64,0 35,0,2024-09-07 08:22:20:887,18976,1.0,19182,0.9,38259,1.3,50607,2.00 35,1,2024-09-07 08:22:21:070,112482,112482,0,0,53160982695,555940305,111017,1104,361,384,391587,0 35,2,2024-09-07 08:22:21:584,80154,80154,0,0,3879491,0,2542 35,3,2024-09-07 08:22:20:909,1,64,2,0,219,1034,64,0 36,0,2024-09-07 08:22:21:530,18759,2.1,18646,1.4,37662,3.0,49883,2.75 36,1,2024-09-07 08:22:20:584,112148,112148,0,0,52674541553,559300905,109137,2080,931,366,391535,0 36,2,2024-09-07 08:22:21:766,80706,80706,0,0,4833413,0,3303 36,3,2024-09-07 08:22:20:864,1,64,19,0,378,1071,64,0 37,0,2024-09-07 08:22:21:405,11804,0.3,11786,0.5,23716,0.2,31721,1.75 37,1,2024-09-07 08:22:20:578,111570,111563,0,7,52626196771,562248613,108724,1322,1517,365,391518,0 37,2,2024-09-07 08:22:21:167,80780,80780,0,0,3528503,0,2333 37,3,2024-09-07 08:22:21:769,1,64,3,0,724,1760,64,0 38,0,2024-09-07 08:22:21:458,13354,0.3,12895,0.5,26901,0.2,35560,1.75 38,1,2024-09-07 08:22:21:635,112132,112132,0,0,52917967184,558346259,109990,1695,447,370,391513,0 38,2,2024-09-07 08:22:20:760,80854,80854,0,0,4361743,0,3245 38,3,2024-09-07 08:22:21:004,1,64,371,0,603,1385,64,0 39,0,2024-09-07 08:22:21:781,20756,0.9,20400,0.8,39429,1.1,54398,2.00 39,1,2024-09-07 08:22:20:721,112204,112204,0,0,52126723304,551827413,109572,1994,638,366,391524,0 39,2,2024-09-07 08:22:21:417,81067,81067,0,0,4009054,0,2381 39,3,2024-09-07 08:22:20:713,1,64,2,0,276,1189,64,0 40,0,2024-09-07 08:22:21:513,18928,2.1,19250,2.3,38050,4.4,51493,3.75 40,1,2024-09-07 08:22:20:594,111900,111900,0,0,51673997329,549859886,108649,2498,753,370,391591,0 40,2,2024-09-07 08:22:21:317,79361,79360,1,0,4896329,0,5137 40,3,2024-09-07 08:22:21:171,1,64,2,1,110,742,64,0 41,0,2024-09-07 08:22:21:060,12247,1.0,12597,6.0,24078,4.4,33376,3.00 41,1,2024-09-07 08:22:20:769,112107,112107,0,0,52872549879,558923763,109798,1996,313,370,391484,0 41,2,2024-09-07 08:22:20:763,81018,81018,0,0,5026638,0,3356 41,3,2024-09-07 08:22:21:699,1,64,21,0,168,672,64,0 42,0,2024-09-07 08:22:21:512,13031,0.4,13137,0.7,26226,0.2,34817,2.00 42,1,2024-09-07 08:22:21:439,111757,111757,0,0,52202732033,556756344,108764,2163,830,381,391511,0 42,2,2024-09-07 08:22:21:146,81629,81629,0,0,4440176,0,3568 42,3,2024-09-07 08:22:21:008,1,64,3,0,100,641,64,0 43,0,2024-09-07 08:22:20:932,18281,1.1,17795,1.1,37064,1.2,49205,2.00 43,1,2024-09-07 08:22:20:583,112129,112129,0,0,52377913353,552556784,109939,1438,752,367,391604,0 43,2,2024-09-07 08:22:21:737,81506,81506,0,0,4616847,0,3812 43,3,2024-09-07 08:22:21:749,1,64,2,0,292,1303,64,0 44,0,2024-09-07 08:22:20:873,19286,1.1,19228,1.2,38342,1.2,51615,2.25 44,1,2024-09-07 08:22:20:582,112539,112539,0,0,52012886980,541083297,111522,910,107,356,391523,0 44,2,2024-09-07 08:22:21:267,78576,78576,0,0,3343076,0,1865 44,3,2024-09-07 08:22:21:135,1,64,1,1,817,1317,64,0 45,0,2024-09-07 08:22:21:781,14604,2.9,14297,1.8,30038,5.5,39723,2.25 45,1,2024-09-07 08:22:21:010,112589,112589,0,0,52915020369,551294493,112123,465,1,382,391917,0 45,2,2024-09-07 08:22:21:268,82225,82225,0,0,3982470,0,2628 45,3,2024-09-07 08:22:20:949,1,64,6,0,226,772,64,0 46,0,2024-09-07 08:22:20:963,12082,0.2,12061,0.5,24258,0.1,31753,1.50 46,1,2024-09-07 08:22:20:576,112562,112562,0,0,52809707446,548568069,111732,794,36,368,391514,0 46,2,2024-09-07 08:22:20:596,81654,81654,0,0,3919736,0,2920 46,3,2024-09-07 08:22:21:145,1,64,9,0,315,1047,64,0 47,0,2024-09-07 08:22:21:169,15836,0.4,16121,0.5,32022,0.3,42716,1.75 47,1,2024-09-07 08:22:20:584,112908,112908,0,0,52581853847,544542946,112400,504,4,366,391605,0 47,2,2024-09-07 08:22:20:909,81184,81184,0,0,3888886,0,2558 47,3,2024-09-07 08:22:21:141,1,64,13,0,529,1072,64,0 48,0,2024-09-07 08:22:21:529,19130,0.4,18885,0.6,37364,0.4,49966,1.75 48,1,2024-09-07 08:22:21:030,112557,112557,0,0,52783680085,552586549,111361,1191,5,386,391637,0 48,2,2024-09-07 08:22:20:706,80187,80187,0,0,3221040,0,2083 48,3,2024-09-07 08:22:20:757,1,64,11,0,30,595,64,0 49,0,2024-09-07 08:22:21:731,17845,1.5,17351,1.2,34091,3.1,46547,2.00 49,1,2024-09-07 08:22:21:026,112043,112043,0,0,51909612551,547765982,110306,1028,709,382,391583,0 49,2,2024-09-07 08:22:21:800,81538,81538,0,0,4347636,0,3900 49,3,2024-09-07 08:22:21:416,1,64,2,0,274,798,64,0 50,0,2024-09-07 08:22:21:531,12441,0.2,12157,0.4,24628,0.1,32677,1.50 50,1,2024-09-07 08:22:21:011,113024,113024,0,0,53073667217,555307399,111358,1369,297,368,391530,0 50,2,2024-09-07 08:22:21:069,81125,81125,0,0,3581745,0,2253 50,3,2024-09-07 08:22:21:295,1,64,1,0,335,870,64,0 51,0,2024-09-07 08:22:21:712,14157,0.2,13816,0.4,27121,0.1,37256,1.50 51,1,2024-09-07 08:22:21:688,112298,112298,0,0,53246355877,558123963,110500,1016,782,367,391637,0 51,2,2024-09-07 08:22:21:323,80281,80281,0,0,3083655,0,2448 51,3,2024-09-07 08:22:21:027,1,64,2,0,162,477,64,0 52,0,2024-09-07 08:22:21:449,19969,0.9,20128,1.0,40173,1.1,52844,2.25 52,1,2024-09-07 08:22:20:576,112092,112092,0,0,51829824533,550291572,109583,2045,464,368,391529,0 52,2,2024-09-07 08:22:21:762,80695,80695,0,0,4248563,0,4779 52,3,2024-09-07 08:22:20:683,1,64,22,0,1782,2408,64,0 53,0,2024-09-07 08:22:21:768,18662,2.4,17935,1.6,37224,3.3,49705,3.00 53,1,2024-09-07 08:22:20:772,111773,111773,0,0,53038689854,562441988,109153,2046,574,370,391617,0 53,2,2024-09-07 08:22:21:306,81199,81199,0,0,3707480,0,1902 53,3,2024-09-07 08:22:20:701,1,64,1,0,59,453,64,0 54,0,2024-09-07 08:22:21:631,11843,10.0,12071,4.3,23798,1.9,33053,5.25 54,1,2024-09-07 08:22:20:582,112125,112125,0,0,53026843214,558061826,110017,1792,316,367,391520,0 54,2,2024-09-07 08:22:20:866,81226,81220,6,0,4629289,0,5382 54,3,2024-09-07 08:22:20:767,1,64,131,0,676,1662,64,0 55,0,2024-09-07 08:22:21:779,13121,0.4,13422,0.6,27434,0.3,35879,2.25 55,1,2024-09-07 08:22:20:766,111732,111732,0,0,52097624109,553171461,108253,2777,702,367,391731,0 55,2,2024-09-07 08:22:20:729,81179,81179,0,0,4608345,0,3275 55,3,2024-09-07 08:22:20:675,1,64,18,0,136,790,64,0 56,0,2024-09-07 08:22:21:609,19211,1.6,18145,1.3,37418,2.3,49987,2.50 56,1,2024-09-07 08:22:20:578,111674,111674,0,0,52486718319,563479934,108292,2635,747,383,391678,0 56,2,2024-09-07 08:22:21:324,81903,81903,0,0,4811849,0,3567 56,3,2024-09-07 08:22:21:060,1,64,9,0,297,943,64,0 57,0,2024-09-07 08:22:20:963,18735,3.7,18423,2.1,37173,6.0,50494,4.50 57,1,2024-09-07 08:22:21:002,111625,111625,0,0,51766677452,545331606,110152,1453,20,368,391760,0 57,2,2024-09-07 08:22:21:323,79210,79210,0,0,4748639,0,3178 57,3,2024-09-07 08:22:21:745,1,64,39,1,359,1521,64,0 58,0,2024-09-07 08:22:20:595,13094,0.8,12782,1.1,26717,0.7,35135,2.75 58,1,2024-09-07 08:22:20:583,112944,112942,0,2,52978133019,555131812,111346,1437,159,369,391516,2 58,2,2024-09-07 08:22:21:073,81298,81298,0,0,4564091,0,2549 58,3,2024-09-07 08:22:21:077,1,64,2,1,219,826,64,0 59,0,2024-09-07 08:22:21:748,12831,0.6,12672,0.8,25564,0.5,33950,2.25 59,1,2024-09-07 08:22:20:836,111832,111832,0,0,52128249482,552849589,109586,1479,767,369,391515,0 59,2,2024-09-07 08:22:20:588,81902,81902,0,0,4001281,0,2604 59,3,2024-09-07 08:22:21:745,1,64,1,0,1015,1658,64,0 60,0,2024-09-07 08:22:21:755,17265,0.6,17277,0.7,34355,0.7,46198,1.75 60,1,2024-09-07 08:22:20:773,113074,113074,0,0,52407878956,547972397,112001,728,345,370,391712,0 60,2,2024-09-07 08:22:21:143,80805,80805,0,0,3688980,0,2142 60,3,2024-09-07 08:22:21:266,1,64,1,0,124,886,64,0 61,0,2024-09-07 08:22:21:509,18885,3.0,18887,1.8,37725,4.5,50685,2.50 61,1,2024-09-07 08:22:20:770,112058,112058,0,0,52674073779,559744757,109505,2018,535,383,391589,0 61,2,2024-09-07 08:22:21:117,79625,79625,0,0,3744545,0,1846 61,3,2024-09-07 08:22:21:704,1,64,3,0,199,1068,64,0 62,0,2024-09-07 08:22:21:749,16604,1.8,16976,1.3,32485,3.6,43970,2.25 62,1,2024-09-07 08:22:21:136,112951,112945,0,6,52830366112,549993980,111694,1214,37,366,391586,6 62,2,2024-09-07 08:22:21:676,81421,81421,0,0,4311807,0,2931 62,3,2024-09-07 08:22:21:158,1,64,1,0,287,621,64,0 63,0,2024-09-07 08:22:21:462,12095,0.4,12034,0.6,24241,0.2,31605,1.75 63,1,2024-09-07 08:22:20:839,113247,113243,0,4,52792138481,547753565,112843,399,1,381,391540,4 63,2,2024-09-07 08:22:20:762,80988,80988,0,0,3831772,0,2674 63,3,2024-09-07 08:22:21:734,1,64,1,0,667,1790,64,0 64,0,2024-09-07 08:22:21:516,14242,0.4,14230,0.6,28572,0.3,38457,1.75 64,1,2024-09-07 08:22:20:750,112465,112465,0,0,52357944251,556270478,110028,1644,793,371,391783,0 64,2,2024-09-07 08:22:21:156,80635,80616,19,0,4365737,0,6121 64,3,2024-09-07 08:22:21:153,1,64,1,1,265,980,64,0 65,0,2024-09-07 08:22:21:692,18595,2.6,18959,1.6,37739,3.4,50083,3.75 65,1,2024-09-07 08:22:20:877,112077,112077,0,0,52015698685,549774811,110370,1488,219,382,391569,0 65,2,2024-09-07 08:22:21:694,80683,80683,0,0,4457791,0,3367 65,3,2024-09-07 08:22:21:696,1,64,2,0,163,818,64,0 66,0,2024-09-07 08:22:21:804,18235,1.0,18465,1.1,36956,1.0,49232,2.75 66,1,2024-09-07 08:22:21:311,113058,113058,0,0,52468753257,546816081,112367,670,21,380,391588,0 66,2,2024-09-07 08:22:21:155,81712,81712,0,0,4114200,0,3867 66,3,2024-09-07 08:22:21:082,1,64,2,1,291,920,64,0 67,0,2024-09-07 08:22:21:439,11908,0.5,11951,0.7,23633,0.4,31664,2.25 67,1,2024-09-07 08:22:20:765,111933,111932,0,1,52338815331,554187070,109913,1494,525,382,391787,1 67,2,2024-09-07 08:22:20:588,81472,81472,0,0,3783885,0,2889 67,3,2024-09-07 08:22:21:765,1,64,1,0,138,637,64,0 68,0,2024-09-07 08:22:20:678,13314,0.5,13286,0.8,26547,0.5,35366,2.00 68,1,2024-09-07 08:22:20:588,111684,111684,0,0,51903715488,548571878,110273,889,522,382,391953,0 68,2,2024-09-07 08:22:21:051,80735,80735,0,0,3910002,0,4883 68,3,2024-09-07 08:22:20:738,1,64,34,1,63,697,64,0 69,0,2024-09-07 08:22:21:788,20199,1.6,20180,1.2,40104,2.1,54423,2.75 69,1,2024-09-07 08:22:21:027,111582,111582,0,0,52440988787,560601567,109590,1127,865,385,391532,0 69,2,2024-09-07 08:22:21:738,81485,81485,0,0,4438326,0,3153 69,3,2024-09-07 08:22:20:762,1,64,3,0,238,1120,64,0 70,0,2024-09-07 08:22:21:556,18975,3.6,18978,2.7,38329,2.9,51187,4.75 70,1,2024-09-07 08:22:20:807,112541,112541,0,0,53023322703,552345884,111629,777,135,369,391527,0 70,2,2024-09-07 08:22:21:345,79616,79616,0,0,4340165,0,4044 70,3,2024-09-07 08:22:20:746,1,64,2,0,178,477,64,0 71,0,2024-09-07 08:22:21:373,12406,2.0,12401,4.2,24333,3.1,33604,4.50 71,1,2024-09-07 08:22:21:604,112074,112074,0,0,52900178720,557730281,109872,1886,316,368,391682,0 71,2,2024-09-07 08:22:21:108,80846,80846,0,0,4086879,0,2146 71,3,2024-09-07 08:22:21:762,1,64,4,0,174,590,64,0 72,0,2024-09-07 08:22:21:042,13434,0.4,13066,0.6,25990,0.3,34875,2.50 72,1,2024-09-07 08:22:21:021,112843,112843,0,0,52258221707,548230751,111298,1467,78,370,391556,0 72,2,2024-09-07 08:22:21:771,81009,81009,0,0,5188994,0,2570 72,3,2024-09-07 08:22:21:771,1,64,1,0,325,1287,64,0 73,0,2024-09-07 08:22:21:124,17866,0.5,18364,0.7,37249,0.5,48937,2.25 73,1,2024-09-07 08:22:20:768,112270,112270,0,0,52685660234,550989947,111307,919,44,368,391627,0 73,2,2024-09-07 08:22:21:744,82136,82136,0,0,4704600,0,3482 73,3,2024-09-07 08:22:20:970,1,64,2,0,274,1230,64,0 74,0,2024-09-07 08:22:21:331,19184,2.0,19546,1.5,37650,3.2,51214,3.50 74,1,2024-09-07 08:22:20:653,112154,112154,0,0,52025812148,548128651,110257,1325,572,382,391497,0 74,2,2024-09-07 08:22:21:008,78433,78433,0,0,4943156,0,4253 74,3,2024-09-07 08:22:21:463,1,64,7,0,246,1343,64,0 75,0,2024-09-07 08:22:21:775,14830,2.8,14861,1.8,29659,5.0,39808,3.50 75,1,2024-09-07 08:22:21:586,112569,112569,0,0,52615761213,554328651,110884,1581,104,382,391514,0 75,2,2024-09-07 08:22:21:360,81355,81355,0,0,4812699,0,4766 75,3,2024-09-07 08:22:21:071,1,64,1,0,143,415,64,0 76,0,2024-09-07 08:22:20:635,12059,0.3,11954,0.6,24013,0.2,31555,2.00 76,1,2024-09-07 08:22:20:832,111951,111951,0,0,51874199502,548867840,110145,1300,506,382,391530,0 76,2,2024-09-07 08:22:21:069,81842,81842,0,0,3897637,0,3064 76,3,2024-09-07 08:22:21:162,1,64,1,0,175,981,64,0 77,0,2024-09-07 08:22:21:703,15814,0.7,15951,0.9,31950,0.7,42793,2.00 77,1,2024-09-07 08:22:20:843,112202,112202,0,0,52328174031,548943993,111168,965,69,383,391516,0 77,2,2024-09-07 08:22:21:292,80760,80760,0,0,3327063,0,1695 77,3,2024-09-07 08:22:21:132,1,64,1,0,139,675,64,0 78,0,2024-09-07 08:22:21:735,18764,1.4,18654,1.2,37475,2.1,49693,2.50 78,1,2024-09-07 08:22:20:614,112850,112850,0,0,51837380264,544076313,111433,1213,204,367,391589,0 78,2,2024-09-07 08:22:21:407,80145,80145,0,0,3366202,0,2114 78,3,2024-09-07 08:22:21:145,1,64,1,0,181,1004,64,0 79,0,2024-09-07 08:22:21:381,16729,1.0,17145,1.2,35033,1.3,45528,3.25 79,1,2024-09-07 08:22:20:578,112428,112428,0,0,52690868870,551068503,111091,1268,69,370,391609,0 79,2,2024-09-07 08:22:21:108,81398,81398,0,0,3854314,0,2679 79,3,2024-09-07 08:22:20:749,1,64,2,0,289,833,64,0 80,0,2024-09-07 08:22:21:100,12253,0.4,12566,0.7,24364,0.3,32573,2.00 80,1,2024-09-07 08:22:21:619,111862,111862,0,0,52865032327,554408471,110275,1472,115,369,391673,0 80,2,2024-09-07 08:22:21:116,80773,80773,0,0,4186977,0,4433 80,3,2024-09-07 08:22:20:575,1,64,1,1,190,1207,64,0 81,0,2024-09-07 08:22:21:551,13967,0.5,14286,0.7,27294,0.4,37259,2.00 81,1,2024-09-07 08:22:21:650,111986,111986,0,0,52446684277,554406175,110100,1688,198,383,391680,0 81,2,2024-09-07 08:22:21:142,80037,80037,0,0,4443760,0,3993 81,3,2024-09-07 08:22:21:132,1,64,4,0,193,774,64,0 82,0,2024-09-07 08:22:21:537,19838,1.1,19925,1.1,39778,1.4,52839,2.25 82,1,2024-09-07 08:22:20:583,112051,112049,0,2,52641035234,554940693,110014,1463,572,383,391558,2 82,2,2024-09-07 08:22:21:701,81823,81823,0,0,3420277,0,2230 82,3,2024-09-07 08:22:21:754,1,64,2,0,227,1298,64,0 83,0,2024-09-07 08:22:21:568,18659,1.8,18603,1.5,36915,2.1,49456,3.25 83,1,2024-09-07 08:22:20:582,112247,112247,0,0,52402538794,551853083,110832,1315,100,383,391553,0 83,2,2024-09-07 08:22:20:769,79908,79908,0,0,4409656,0,3119 83,3,2024-09-07 08:22:20:749,1,64,2,0,91,591,64,0 84,0,2024-09-07 08:22:22:028,12303,5.3,12150,4.7,24410,1.2,33553,3.25 84,1,2024-09-07 08:22:21:041,112076,112076,0,0,52438736384,554156946,110468,1361,247,369,391638,0 84,2,2024-09-07 08:22:20:587,80492,80492,0,0,4324200,0,3801 84,3,2024-09-07 08:22:21:152,1,64,15,1,43,660,64,0 85,0,2024-09-07 08:22:21:026,13027,0.4,13111,0.6,27550,0.3,35992,1.75 85,1,2024-09-07 08:22:20:583,111674,111674,0,0,52598787154,561152302,109226,2020,428,384,391652,0 85,2,2024-09-07 08:22:20:875,80919,80919,0,0,4722948,0,3656 85,3,2024-09-07 08:22:20:687,1,64,15,0,115,737,64,0 86,0,2024-09-07 08:22:20:956,18610,0.7,19334,0.8,37409,0.9,50002,2.00 86,1,2024-09-07 08:22:20:844,111740,111740,0,0,52645319945,554904628,109950,1574,216,367,391622,0 86,2,2024-09-07 08:22:20:855,80923,80922,1,0,4944335,0,5004 86,3,2024-09-07 08:22:20:586,1,64,2,0,199,848,64,0 87,0,2024-09-07 08:22:21:328,18978,3.1,18904,1.9,38054,4.2,51560,3.25 87,1,2024-09-07 08:22:20:781,111829,111829,0,0,52331643831,552386558,110257,1451,121,368,391671,0 87,2,2024-09-07 08:22:21:085,79127,79127,0,0,3680543,0,2859 87,3,2024-09-07 08:22:21:804,1,64,2,1,322,1136,64,0 88,0,2024-09-07 08:22:21:473,13797,0.9,13980,1.0,27722,1.0,37046,2.00 88,1,2024-09-07 08:22:20:585,111593,111593,0,0,52606585258,553062458,110387,1110,96,367,391747,0 88,2,2024-09-07 08:22:20:689,81555,81555,0,0,4829866,0,3583 88,3,2024-09-07 08:22:21:278,1,64,2,1,77,814,64,0 89,0,2024-09-07 08:22:21:808,13177,0.3,12760,0.5,25306,0.2,34309,1.75 89,1,2024-09-07 08:22:20:585,111245,111245,0,0,52285945360,561484998,108025,2485,735,383,391866,0 89,2,2024-09-07 08:22:21:167,81324,81324,0,0,4524357,0,2726 89,3,2024-09-07 08:22:21:797,1,64,6,0,325,1782,64,0 90,0,2024-09-07 08:22:21:716,16696,0.5,16966,0.7,34982,0.5,45956,1.75 90,1,2024-09-07 08:22:20:597,111923,111923,0,0,52039855741,553101266,109877,1906,140,382,391825,0 90,2,2024-09-07 08:22:21:547,79936,79936,0,0,5157832,0,2635 90,3,2024-09-07 08:22:20:963,1,64,4,1,200,906,64,0 91,0,2024-09-07 08:22:20:977,19398,0.7,18712,0.8,38976,0.9,51014,2.00 91,1,2024-09-07 08:22:20:588,111982,111982,0,0,52807671658,560751467,109635,1985,362,384,391914,0 91,2,2024-09-07 08:22:21:334,78784,78784,0,0,4167564,0,1997 91,3,2024-09-07 08:22:20:610,1,64,4,1,155,864,64,0 92,0,2024-09-07 08:22:21:502,16628,1.3,17113,1.1,32731,2.2,44059,2.00 92,1,2024-09-07 08:22:20:589,112431,112431,0,0,53001275065,555020460,111782,582,67,382,391717,0 92,2,2024-09-07 08:22:21:358,82728,82728,0,0,4126669,0,2279 92,3,2024-09-07 08:22:21:027,1,64,4,1,68,741,64,0 93,0,2024-09-07 08:22:21:052,12172,0.3,12397,0.5,23576,0.2,31537,1.75 93,1,2024-09-07 08:22:20:808,112310,112310,0,0,52688188872,555794790,110554,1322,434,367,391689,0 93,2,2024-09-07 08:22:20:933,81310,81310,0,0,3777585,0,2509 93,3,2024-09-07 08:22:21:415,1,64,1,1,143,658,64,0 94,0,2024-09-07 08:22:21:640,14367,0.3,14557,0.5,28657,0.2,38425,1.50 94,1,2024-09-07 08:22:20:586,111724,111724,0,0,52832317405,558692120,110147,1512,65,381,391850,0 94,2,2024-09-07 08:22:20:763,79958,79958,0,0,3817909,0,2443 94,3,2024-09-07 08:22:21:701,1,64,14,1,231,1234,64,0 95,0,2024-09-07 08:22:21:429,19161,0.5,18941,0.7,38563,0.5,50827,1.75 95,1,2024-09-07 08:22:20:853,112497,112497,0,0,52505742270,549052069,111701,732,64,367,391590,0 95,2,2024-09-07 08:22:21:018,80607,80607,0,0,4039568,0,3308 95,3,2024-09-07 08:22:21:716,1,64,2,0,307,1243,64,0 96,0,2024-09-07 08:22:21:047,18885,1.1,18754,1.0,37561,1.9,49757,1.75 96,1,2024-09-07 08:22:21:588,112368,112368,0,0,52498616820,556370228,110530,1267,571,385,391596,0 96,2,2024-09-07 08:22:21:275,81190,81190,0,0,4618020,0,4038 96,3,2024-09-07 08:22:21:152,1,64,1,1,36,672,64,0 97,0,2024-09-07 08:22:21:339,11869,0.4,11826,0.6,23731,0.3,31725,1.75 97,1,2024-09-07 08:22:20:769,112074,112074,0,0,52811906537,556893851,109888,1669,517,367,392140,0 97,2,2024-09-07 08:22:20:611,80621,80621,0,0,3843493,0,3036 97,3,2024-09-07 08:22:20:576,1,64,6,1,165,950,64,0 98,0,2024-09-07 08:22:21:912,13375,0.2,13205,0.4,26862,0.2,35630,1.50 98,1,2024-09-07 08:22:20:587,112213,112213,0,0,52845553026,555292182,111143,1025,45,382,391588,0 98,2,2024-09-07 08:22:20:770,81548,81548,0,0,4094767,0,3080 98,3,2024-09-07 08:22:20:702,1,64,125,1,155,963,64,0 99,0,2024-09-07 08:22:21:569,20256,0.4,20221,0.5,40340,0.4,54546,1.75 99,1,2024-09-07 08:22:21:723,112580,112580,0,0,52410134731,551561300,111102,1038,440,381,391744,0 99,2,2024-09-07 08:22:21:435,82188,82188,0,0,4079019,0,1858 99,3,2024-09-07 08:22:20:582,1,64,0,0,129,682,64,0 100,0,2024-09-07 08:22:21:520,19339,2.3,19132,2.6,38372,5.2,52065,2.75 100,1,2024-09-07 08:22:20:585,111492,111492,0,0,51868332458,556612876,108752,2396,344,381,391585,0 100,2,2024-09-07 08:22:21:829,79107,79096,11,0,5170152,0,5417 100,3,2024-09-07 08:22:21:734,1,64,5,1,443,1791,64,0 101,0,2024-09-07 08:22:21:780,12910,4.9,12508,2.6,24779,2.2,34610,3.00 101,1,2024-09-07 08:22:20:583,111888,111888,0,0,52085444233,551116260,110420,1019,449,369,391709,0 101,2,2024-09-07 08:22:21:788,81159,81159,0,0,4523595,0,4644 101,3,2024-09-07 08:22:20:951,1,64,2,0,448,954,64,0 102,0,2024-09-07 08:22:20:997,12842,0.4,13271,0.6,26592,0.3,34702,1.75 102,1,2024-09-07 08:22:21:169,111855,111855,0,0,52292497364,557144852,109398,1989,468,369,391831,0 102,2,2024-09-07 08:22:21:741,81683,81683,0,0,3730061,0,1945 102,3,2024-09-07 08:22:21:620,1,64,9,0,410,807,64,0 103,0,2024-09-07 08:22:21:616,18690,0.6,18747,0.8,35436,0.6,49251,2.00 103,1,2024-09-07 08:22:21:629,111957,111957,0,0,52746954581,556158926,110738,1075,144,381,391680,0 103,2,2024-09-07 08:22:20:591,81179,81179,0,0,4044276,0,2104 103,3,2024-09-07 08:22:20:793,1,64,7,1,486,1059,64,0 104,0,2024-09-07 08:22:21:064,19107,2.5,19186,1.6,37220,3.5,51182,2.75 104,1,2024-09-07 08:22:21:607,112774,112774,0,0,52068735244,552010559,110865,1583,326,368,391948,0 104,2,2024-09-07 08:22:21:700,77718,77718,0,0,4554202,0,3941 104,3,2024-09-07 08:22:21:424,1,64,2,1,1245,3752,64,0 105,0,2024-09-07 08:22:21:057,14735,1.9,14202,1.8,29541,2.9,39527,3.75 105,1,2024-09-07 08:22:20:584,111816,111816,0,0,51916596139,553707174,108868,2202,746,367,391797,0 105,2,2024-09-07 08:22:21:333,81541,81541,0,0,4332657,0,3314 105,3,2024-09-07 08:22:21:309,1,64,2,1,399,1568,64,0 106,0,2024-09-07 08:22:20:955,11647,0.3,11997,0.6,24340,0.2,31617,1.75 106,1,2024-09-07 08:22:21:758,112307,112307,0,0,52423488896,556297309,109907,2073,327,370,391767,0 106,2,2024-09-07 08:22:20:759,80900,80900,0,0,4388353,0,2795 106,3,2024-09-07 08:22:20:685,1,64,1,1,201,858,64,0 107,0,2024-09-07 08:22:21:239,15992,0.5,15913,0.7,31684,0.4,42821,1.75 107,1,2024-09-07 08:22:20:618,111676,111676,0,0,52466455182,556849679,109886,1619,171,382,392234,0 107,2,2024-09-07 08:22:21:346,80082,80081,1,0,4221506,0,5024 107,3,2024-09-07 08:22:21:772,1,64,10,0,353,1270,64,0 108,0,2024-09-07 08:22:22:025,18719,0.7,19010,0.8,37686,0.8,49841,1.75 108,1,2024-09-07 08:22:21:325,112137,112137,0,0,52998455338,558265307,110708,1296,133,371,391857,0 108,2,2024-09-07 08:22:21:802,79722,79722,0,0,4085870,0,2647 108,3,2024-09-07 08:22:21:349,1,64,7,1,60,832,64,0 109,0,2024-09-07 08:22:21:888,17517,0.9,17667,1.0,34728,1.1,46726,2.00 109,1,2024-09-07 08:22:20:584,111562,111562,0,0,52839684720,560318951,109950,1229,383,383,391664,0 109,2,2024-09-07 08:22:20:937,80598,80598,0,0,4457722,0,3617 109,3,2024-09-07 08:22:21:172,1,64,1,1,249,1189,64,0 110,0,2024-09-07 08:22:21:777,12376,0.2,12025,0.5,25026,0.2,32530,1.50 110,1,2024-09-07 08:22:21:646,112450,112450,0,0,52732462585,552288616,111134,1031,285,370,391588,0 110,2,2024-09-07 08:22:21:310,81458,81458,0,0,3760813,0,2915 110,3,2024-09-07 08:22:20:704,1,64,7,0,183,688,64,0 111,0,2024-09-07 08:22:21:422,13744,0.2,13710,0.4,27372,0.1,37167,1.50 111,1,2024-09-07 08:22:21:010,112690,112690,0,0,53316523443,553951349,112047,630,13,382,391690,0 111,2,2024-09-07 08:22:21:142,80754,80754,0,0,3908493,0,2763 111,3,2024-09-07 08:22:20:924,1,64,1,0,300,1360,64,0 112,0,2024-09-07 08:22:20:933,20122,0.5,20218,0.6,40006,0.4,53188,1.75 112,1,2024-09-07 08:22:20:844,112002,112002,0,0,52649037657,553434185,110494,1162,346,381,391580,0 112,2,2024-09-07 08:22:21:141,81268,81267,1,0,4368015,0,5036 112,3,2024-09-07 08:22:20:594,1,64,2,0,282,828,64,0 113,0,2024-09-07 08:22:20:879,18804,0.7,18669,0.8,37932,0.6,50545,1.75 113,1,2024-09-07 08:22:21:704,112421,112421,0,0,52683872721,552225120,110533,1504,384,368,391661,0 113,2,2024-09-07 08:22:21:308,80465,80465,0,0,3593258,0,3021 113,3,2024-09-07 08:22:20:684,1,64,2,0,288,1111,64,0 114,0,2024-09-07 08:22:20:893,12585,4.0,12741,2.5,25131,1.3,34018,2.25 114,1,2024-09-07 08:22:20:716,112056,112056,0,0,52726661440,559498264,109449,1726,881,381,391519,0 114,2,2024-09-07 08:22:20:889,80596,80596,0,0,4188731,0,3925 114,3,2024-09-07 08:22:21:288,1,64,3,0,159,739,64,0 115,0,2024-09-07 08:22:20:596,13307,0.2,13430,0.4,26820,0.1,36131,1.50 115,1,2024-09-07 08:22:20:576,112364,112364,0,0,52663489626,556142329,109991,1886,487,383,391510,0 115,2,2024-09-07 08:22:21:133,81887,81887,0,0,3558244,0,2152 115,3,2024-09-07 08:22:21:006,1,64,1,0,159,461,64,0 116,0,2024-09-07 08:22:21:789,18768,0.8,18614,0.9,37389,0.9,50109,2.00 116,1,2024-09-07 08:22:20:843,111471,111471,0,0,52671392159,562169444,109597,1004,870,382,391605,0 116,2,2024-09-07 08:22:21:777,81332,81332,0,0,4621031,0,3529 116,3,2024-09-07 08:22:20:925,1,64,4,1,252,1245,64,0 117,0,2024-09-07 08:22:21:014,19139,2.6,19132,1.6,38177,3.9,51614,2.25 117,1,2024-09-07 08:22:21:588,112344,112344,0,0,52217379643,555338056,110033,2018,293,371,392033,0 117,2,2024-09-07 08:22:21:169,79325,79325,0,0,3999925,0,3700 117,3,2024-09-07 08:22:21:060,1,64,21,0,490,1783,64,0 118,0,2024-09-07 08:22:21:921,13476,0.9,13742,1.0,28257,1.1,36948,2.25 118,1,2024-09-07 08:22:20:586,112507,112507,0,0,52236856550,551275793,111274,1155,78,368,391736,0 118,2,2024-09-07 08:22:21:607,81599,81599,0,0,4444389,0,2781 118,3,2024-09-07 08:22:21:775,1,64,19,1,235,1131,64,0 119,0,2024-09-07 08:22:21:423,12510,0.3,12585,0.5,25814,0.2,34143,1.75 119,1,2024-09-07 08:22:20:584,112166,112166,0,0,52149161556,550499637,110559,1458,149,370,391617,0 119,2,2024-09-07 08:22:21:287,81183,81183,0,0,4177982,0,2532 119,3,2024-09-07 08:22:21:345,1,64,15,1,443,2092,64,0 120,0,2024-09-07 08:22:21:637,16998,0.7,17018,0.9,33981,0.7,45937,2.25 120,1,2024-09-07 08:22:20:868,111935,111935,0,0,52156511340,552032495,110429,1491,15,368,391702,0 120,2,2024-09-07 08:22:20:774,80110,80109,1,0,5093702,0,5281 120,3,2024-09-07 08:22:21:311,1,64,18,1,241,1084,64,0 121,0,2024-09-07 08:22:21:751,18845,2.8,19011,1.7,38084,4.0,50996,2.75 121,1,2024-09-07 08:22:21:676,112252,112252,0,0,52583960939,555596575,110384,1600,268,368,391807,0 121,2,2024-09-07 08:22:21:138,78252,78252,0,0,5356237,0,4127 121,3,2024-09-07 08:22:20:727,1,64,1,0,101,707,64,0 122,0,2024-09-07 08:22:21:782,16436,2.5,15865,2.2,33038,2.9,44250,2.50 122,1,2024-09-07 08:22:20:865,111137,111137,0,0,52350513948,559076047,108140,2473,524,368,392130,0 122,2,2024-09-07 08:22:21:318,81820,81820,0,0,5487775,0,3364 122,3,2024-09-07 08:22:20:605,1,64,298,1,298,1670,64,0 123,0,2024-09-07 08:22:20:955,12144,0.3,11771,0.5,24514,0.2,31518,1.75 123,1,2024-09-07 08:22:20:585,112321,112321,0,0,52869604746,565253264,108903,2850,568,369,391823,0 123,2,2024-09-07 08:22:21:019,81006,81005,1,0,4405701,0,5215 123,3,2024-09-07 08:22:21:133,1,64,6,1,160,893,64,0 124,0,2024-09-07 08:22:20:944,14678,0.3,14642,0.5,27982,0.2,38450,1.50 124,1,2024-09-07 08:22:21:033,112619,112619,0,0,52312483146,545607345,111827,648,144,367,392178,0 124,2,2024-09-07 08:22:21:010,79693,79693,0,0,3528158,0,2477 124,3,2024-09-07 08:22:20:758,1,64,5,1,490,1538,64,0 125,0,2024-09-07 08:22:21:475,19265,0.7,19291,0.8,38651,0.8,50776,2.00 125,1,2024-09-07 08:22:20:873,112164,112164,0,0,52333283417,552160240,110433,1459,272,384,391702,0 125,2,2024-09-07 08:22:21:117,80612,80612,0,0,3888006,0,2180 125,3,2024-09-07 08:22:21:154,1,64,1,1,93,951,64,0 126,0,2024-09-07 08:22:21:432,18888,1.3,19309,1.1,37014,2.3,50082,2.00 126,1,2024-09-07 08:22:20:591,112795,112795,0,0,53070713483,554223579,111751,992,52,365,391719,0 126,2,2024-09-07 08:22:20:627,81118,81118,0,0,4179140,0,3186 126,3,2024-09-07 08:22:20:909,1,64,7,0,122,884,64,0 127,0,2024-09-07 08:22:21:603,11957,0.2,11859,0.4,23724,0.2,31603,1.50 127,1,2024-09-07 08:22:20:589,112394,112394,0,0,52233119387,545110057,111260,1111,23,365,391614,0 127,2,2024-09-07 08:22:20:649,81042,81042,0,0,3409339,0,1803 127,3,2024-09-07 08:22:21:275,1,64,1,0,99,582,64,0 128,0,2024-09-07 08:22:21:557,13358,0.3,13471,0.5,26359,0.2,35535,1.50 128,1,2024-09-07 08:22:21:609,112234,112234,0,0,52411884228,546449041,111519,645,70,369,391605,0 128,2,2024-09-07 08:22:21:386,80583,80583,0,0,4290349,0,2107 128,3,2024-09-07 08:22:20:788,1,64,4,1,112,832,64,0 129,0,2024-09-07 08:22:21:029,20305,0.5,20318,0.6,40370,0.5,54491,1.75 129,1,2024-09-07 08:22:20:592,112068,112068,0,0,52917153381,559203019,110249,1545,274,379,391835,0 129,2,2024-09-07 08:22:20:701,80788,80788,0,0,3712163,0,2446 129,3,2024-09-07 08:22:20:690,1,64,2,1,173,1089,64,0 130,0,2024-09-07 08:22:21:734,19562,1.6,19422,1.2,38935,2.2,52554,2.25 130,1,2024-09-07 08:22:20:606,112449,112449,0,0,52062855385,547905544,111143,1274,32,381,391609,0 130,2,2024-09-07 08:22:21:132,79603,79603,0,0,4351934,0,4067 130,3,2024-09-07 08:22:21:305,1,64,1,1,207,615,64,0 131,0,2024-09-07 08:22:21:977,12928,1.3,12748,1.1,25925,1.8,34543,2.00 131,1,2024-09-07 08:22:21:830,112817,112817,0,0,52601869431,551788195,111805,826,186,384,391865,0 131,2,2024-09-07 08:22:20:597,80863,80863,0,0,3586518,0,2415 131,3,2024-09-07 08:22:21:696,1,64,15,0,392,1046,64,0 132,0,2024-09-07 08:22:21:462,12989,0.4,13244,0.6,26185,0.3,34801,1.75 132,1,2024-09-07 08:22:20:597,111334,111334,0,0,51942608365,554563561,108754,1875,705,382,391574,0 132,2,2024-09-07 08:22:20:714,81062,81062,0,0,5860109,0,4606 132,3,2024-09-07 08:22:21:701,1,64,21,1,356,997,64,0 133,0,2024-09-07 08:22:21:551,17856,0.5,18110,0.7,37440,0.6,48701,1.75 133,1,2024-09-07 08:22:20:583,110980,110980,0,0,52418506080,558716271,108774,2111,95,383,391755,0 133,2,2024-09-07 08:22:21:087,81469,81469,0,0,4925877,0,2444 133,3,2024-09-07 08:22:21:304,1,64,1,1,187,588,64,0 134,0,2024-09-07 08:22:20:967,19320,1.3,19304,1.2,38638,1.7,51337,2.25 134,1,2024-09-07 08:22:20:598,111998,111998,0,0,51988092671,553203832,109368,1649,981,366,391718,0 134,2,2024-09-07 08:22:21:757,78172,78172,0,0,3685280,0,2026 134,3,2024-09-07 08:22:20:764,1,64,19,1,739,1837,64,0 135,0,2024-09-07 08:22:21:124,14460,2.0,14494,1.8,30610,2.2,39446,2.50 135,1,2024-09-07 08:22:21:595,111674,111674,0,0,53106848427,562039893,109731,1616,327,383,391703,0 135,2,2024-09-07 08:22:20:688,82167,82167,0,0,4633847,0,3981 135,3,2024-09-07 08:22:21:005,1,64,2,0,68,455,64,0 136,0,2024-09-07 08:22:21:636,12101,0.4,12104,0.7,24053,0.3,31894,2.00 136,1,2024-09-07 08:22:21:481,112333,112333,0,0,52622992627,556628277,110433,1753,147,384,391621,0 136,2,2024-09-07 08:22:21:141,81986,81986,0,0,3967114,0,2379 136,3,2024-09-07 08:22:21:132,1,64,1,1,108,814,64,0 137,0,2024-09-07 08:22:20:960,16343,0.9,15819,1.0,31500,1.2,42965,2.00 137,1,2024-09-07 08:22:20:602,112178,112178,0,0,53014632273,559487721,110213,1852,113,368,391608,0 137,2,2024-09-07 08:22:21:707,79821,79821,0,0,4842036,0,2632 137,3,2024-09-07 08:22:20:769,1,64,87,1,227,1007,64,0 138,0,2024-09-07 08:22:21:804,18274,3.0,18468,1.8,37305,4.0,49722,3.00 138,1,2024-09-07 08:22:21:686,111820,111820,0,0,53218335257,563208338,109496,2063,261,370,391615,0 138,2,2024-09-07 08:22:20:588,80622,80622,0,0,4154717,0,3263 138,3,2024-09-07 08:22:20:624,1,64,8,1,1160,1833,64,0 139,0,2024-09-07 08:22:21:370,16928,4.7,16919,2.6,34166,5.6,46017,4.00 139,1,2024-09-07 08:22:20:586,111477,111477,0,0,51788508224,557175553,108593,2156,728,381,391892,0 139,2,2024-09-07 08:22:20:694,80984,80984,0,0,5122414,0,3097 139,3,2024-09-07 08:22:21:676,1,64,1,0,244,1288,64,0 140,0,2024-09-07 08:22:21:601,12319,0.3,12174,0.5,24644,0.2,32560,1.75 140,1,2024-09-07 08:22:21:537,113117,113117,0,0,52893386503,549853052,112190,730,197,365,391497,0 140,2,2024-09-07 08:22:20:688,81235,81235,0,0,4317664,0,3388 140,3,2024-09-07 08:22:20:768,1,64,6,0,25,519,64,0 141,0,2024-09-07 08:22:21:723,13506,0.2,14092,0.4,27335,0.1,37088,1.50 141,1,2024-09-07 08:22:20:882,112850,112850,0,0,52868389789,553815234,111431,1088,331,382,391538,0 141,2,2024-09-07 08:22:21:686,81042,81042,0,0,3833234,0,2342 141,3,2024-09-07 08:22:21:046,1,64,1,0,147,529,64,0 142,0,2024-09-07 08:22:21:334,20350,0.4,20072,0.6,39897,0.4,53245,1.75 142,1,2024-09-07 08:22:20:584,112879,112879,0,0,53004740094,554069810,112332,532,15,384,391649,0 142,2,2024-09-07 08:22:21:313,80638,80638,0,0,4137117,0,2293 142,3,2024-09-07 08:22:21:746,1,64,10,0,484,1176,64,0 143,0,2024-09-07 08:22:21:427,18767,1.2,18804,1.0,37970,1.6,50356,2.00 143,1,2024-09-07 08:22:20:583,112531,112531,0,0,52754700305,550134737,111556,949,26,367,391608,0 143,2,2024-09-07 08:22:20:771,79915,79915,0,0,4501529,0,2669 143,3,2024-09-07 08:22:21:159,1,64,1,1,236,1075,64,0 144,0,2024-09-07 08:22:21:530,11999,1.8,12466,6.6,24991,2.0,33807,2.50 144,1,2024-09-07 08:22:20:588,111892,111892,0,0,52304526441,553621301,110328,1375,189,383,391638,0 144,2,2024-09-07 08:22:21:761,81060,81060,0,0,3924661,0,3473 144,3,2024-09-07 08:22:21:747,1,64,8,1,169,1187,64,0 145,0,2024-09-07 08:22:21:370,12935,0.4,12939,0.6,27434,0.3,35912,2.00 145,1,2024-09-07 08:22:20:594,111509,111509,0,0,53140245711,563056675,109587,1660,262,383,391615,0 145,2,2024-09-07 08:22:21:450,81366,81366,0,0,4820177,0,3903 145,3,2024-09-07 08:22:20:896,1,64,6,0,151,891,64,0 146,0,2024-09-07 08:22:21:599,18704,0.7,18682,0.9,37509,0.9,49691,2.25 146,1,2024-09-07 08:22:21:585,112488,112488,0,0,52655309939,557781718,110197,1990,301,370,391600,0 146,2,2024-09-07 08:22:21:702,80612,80612,0,0,4277648,0,2379 146,3,2024-09-07 08:22:21:283,1,64,3,0,1520,2947,64,0 147,0,2024-09-07 08:22:21:723,19023,3.3,18826,1.9,37321,4.7,51734,3.50 147,1,2024-09-07 08:22:21:384,112257,112257,0,0,52529655440,550375173,110549,1354,354,368,391791,0 147,2,2024-09-07 08:22:21:021,79527,79527,0,0,4206039,0,2789 147,3,2024-09-07 08:22:20:932,1,64,3,1,141,771,64,0 0,0,2024-09-07 08:22:31:775,16970,0.8,16972,0.9,35959,1.0,46988,2.00 0,1,2024-09-07 08:22:30:823,114154,114154,0,0,53599808158,566182617,112934,1094,126,372,391673,0 0,2,2024-09-07 08:22:31:079,82001,82001,0,0,4418335,0,4480 0,3,2024-09-07 08:22:30:975,1,65,3,0,247,1064,65,0 1,0,2024-09-07 08:22:31:814,18998,3.1,18941,2.0,38032,4.7,51257,3.00 1,1,2024-09-07 08:22:30:562,113425,113425,0,0,52575346869,556467420,111740,1157,528,372,391857,0 1,2,2024-09-07 08:22:30:646,79599,79599,0,0,4005520,0,3267 1,3,2024-09-07 08:22:31:317,1,65,1,0,167,707,65,0 2,0,2024-09-07 08:22:31:575,16321,1.7,16492,1.5,32696,3.3,43623,2.50 2,1,2024-09-07 08:22:30:861,113996,113996,0,0,53708493732,562596944,112916,877,203,382,391558,0 2,2,2024-09-07 08:22:31:275,82405,82405,0,0,4197113,0,3304 2,3,2024-09-07 08:22:30:692,1,65,2,0,214,799,65,0 3,0,2024-09-07 08:22:31:772,12266,0.3,12221,0.6,24514,0.2,31943,1.75 3,1,2024-09-07 08:22:31:628,113401,113401,0,0,52964238122,558065193,111423,1592,386,382,391516,0 3,2,2024-09-07 08:22:31:152,82665,82642,23,0,4669087,0,5851 3,3,2024-09-07 08:22:31:762,1,65,1,0,103,555,65,0 4,0,2024-09-07 08:22:31:814,14367,0.3,14707,0.5,29975,0.2,39807,1.75 4,1,2024-09-07 08:22:30:599,113795,113795,0,0,53452647598,568000934,111946,1433,416,371,391846,0 4,2,2024-09-07 08:22:31:021,81053,81053,0,0,5188574,0,4528 4,3,2024-09-07 08:22:31:032,1,65,1,1,287,1264,65,0 5,0,2024-09-07 08:22:31:380,19382,0.9,19145,0.8,38744,1.1,51006,2.00 5,1,2024-09-07 08:22:30:789,113604,113604,0,0,53483325563,567894006,111403,1760,441,368,392005,0 5,2,2024-09-07 08:22:31:852,81113,81113,0,0,4111980,0,2259 5,3,2024-09-07 08:22:31:744,1,65,8,1,238,1437,65,0 6,0,2024-09-07 08:22:30:955,18949,1.3,18735,1.4,37363,1.9,50124,2.75 6,1,2024-09-07 08:22:30:751,114277,114277,0,0,53350754438,559061229,113173,1035,69,381,391603,0 6,2,2024-09-07 08:22:31:123,82772,82772,0,0,4358594,0,2411 6,3,2024-09-07 08:22:31:274,1,65,1,1,340,1411,65,0 7,0,2024-09-07 08:22:31:546,11977,0.3,11971,0.5,24063,0.2,32086,1.75 7,1,2024-09-07 08:22:30:856,114172,114172,0,0,53610743648,562853386,113192,916,64,383,391664,0 7,2,2024-09-07 08:22:30:796,81816,81816,0,0,4134655,0,2981 7,3,2024-09-07 08:22:30:861,1,65,1,0,83,647,65,0 8,0,2024-09-07 08:22:31:366,13879,0.3,13715,0.5,27099,0.2,36774,1.50 8,1,2024-09-07 08:22:31:142,113791,113791,0,0,52837329638,568222352,110315,2223,1253,368,391724,0 8,2,2024-09-07 08:22:30:853,80931,80931,0,0,4926088,0,2986 8,3,2024-09-07 08:22:30:587,1,65,6,0,229,1021,65,0 9,0,2024-09-07 08:22:31:132,20464,0.4,19928,0.6,41728,0.4,54591,1.75 9,1,2024-09-07 08:22:30:551,114090,114090,0,0,53672740754,569579677,111794,1729,567,370,391738,0 9,2,2024-09-07 08:22:31:115,82565,82565,0,0,4562211,0,3360 9,3,2024-09-07 08:22:31:764,1,65,4,0,149,981,65,0 10,0,2024-09-07 08:22:31:624,19578,1.0,19705,1.0,39356,1.0,52792,2.50 10,1,2024-09-07 08:22:30:588,113378,113378,0,0,52893437474,564720465,110044,2812,522,383,391541,0 10,2,2024-09-07 08:22:30:781,80839,80839,0,0,4633647,0,2940 10,3,2024-09-07 08:22:30:876,1,65,1,0,136,545,65,0 11,0,2024-09-07 08:22:31:021,12669,2.5,12423,1.7,25962,5.2,35107,2.50 11,1,2024-09-07 08:22:30:575,113542,113542,0,0,52980348089,562921160,110902,1825,815,384,391537,0 11,2,2024-09-07 08:22:31:129,82144,82144,0,0,4388391,0,2635 11,3,2024-09-07 08:22:31:302,1,65,1,0,720,2170,65,0 12,0,2024-09-07 08:22:31:073,13550,0.3,13601,0.5,27034,0.2,35965,1.75 12,1,2024-09-07 08:22:30:947,113591,113591,0,0,52570421581,554929247,111954,1538,99,371,391790,0 12,2,2024-09-07 08:22:31:589,83682,83682,0,0,4106271,0,2254 12,3,2024-09-07 08:22:31:074,1,65,7,1,358,1357,65,0 13,0,2024-09-07 08:22:31:494,18570,0.4,18722,0.6,37347,0.4,49870,1.75 13,1,2024-09-07 08:22:31:527,114021,114021,0,0,53276172173,564503614,112433,1221,367,384,391717,0 13,2,2024-09-07 08:22:30:602,82622,82622,0,0,3841479,0,3287 13,3,2024-09-07 08:22:31:812,1,65,9,1,373,1208,65,0 14,0,2024-09-07 08:22:30:578,19531,1.1,19581,1.1,38798,1.4,52000,2.25 14,1,2024-09-07 08:22:31:562,114955,114955,0,0,53102419622,553098707,114076,849,30,365,391546,0 14,2,2024-09-07 08:22:30:765,79330,79330,0,0,4314694,0,2793 14,3,2024-09-07 08:22:31:114,1,65,2,0,906,1269,65,0 15,0,2024-09-07 08:22:31:588,14960,2.3,14801,1.7,29704,4.4,39908,3.25 15,1,2024-09-07 08:22:31:619,113842,113842,0,0,53090592840,555023402,113058,749,35,381,391536,0 15,2,2024-09-07 08:22:31:006,83688,83688,0,0,3448351,0,3043 15,3,2024-09-07 08:22:31:411,1,65,1,0,538,1836,65,0 16,0,2024-09-07 08:22:31:001,12201,0.4,12390,0.6,24386,0.2,32582,2.00 16,1,2024-09-07 08:22:30:566,113571,113571,0,0,52751250140,556220806,112447,1099,25,372,391666,0 16,2,2024-09-07 08:22:31:436,82137,82137,0,0,4780390,0,4719 16,3,2024-09-07 08:22:31:142,1,65,23,1,231,1256,65,0 17,0,2024-09-07 08:22:31:900,16837,0.6,16497,0.8,32225,0.6,44141,2.00 17,1,2024-09-07 08:22:30:611,113743,113743,0,0,53305510917,566647898,111874,1347,522,369,391688,0 17,2,2024-09-07 08:22:31:676,82073,82073,0,0,3673649,0,2857 17,3,2024-09-07 08:22:30:594,1,65,11,0,268,1410,65,0 18,0,2024-09-07 08:22:31:005,18434,1.7,18645,1.4,37434,2.0,49539,2.75 18,1,2024-09-07 08:22:31:644,113905,113905,0,0,53179025267,556999645,112656,1031,218,368,391555,0 18,2,2024-09-07 08:22:31:758,80410,80410,0,0,4527258,0,3541 18,3,2024-09-07 08:22:30:900,1,65,2,0,163,930,65,0 19,0,2024-09-07 08:22:31:551,17190,1.8,17261,1.6,34137,2.0,45421,5.50 19,1,2024-09-07 08:22:30:571,114237,114237,0,0,53750276429,565954460,112372,1702,163,367,391696,0 19,2,2024-09-07 08:22:31:757,83195,83195,0,0,4173516,0,3988 19,3,2024-09-07 08:22:31:129,1,65,5,0,524,1065,65,0 20,0,2024-09-07 08:22:31:400,12496,0.3,12488,0.5,24666,0.2,32358,2.00 20,1,2024-09-07 08:22:30:568,114048,114048,0,0,53515866916,565183771,112354,1532,162,370,391598,0 20,2,2024-09-07 08:22:31:085,82095,82095,0,0,3966101,0,2446 20,3,2024-09-07 08:22:30:602,1,65,8,1,99,884,65,0 21,0,2024-09-07 08:22:31:182,14465,0.4,14347,0.6,28514,0.3,37906,2.00 21,1,2024-09-07 08:22:31:551,113331,113331,0,0,52798649054,561917239,111029,1809,493,368,391962,0 21,2,2024-09-07 08:22:31:077,81434,81434,0,0,4284406,0,3747 21,3,2024-09-07 08:22:31:409,1,65,1,0,93,1016,65,0 22,0,2024-09-07 08:22:31:742,19804,2.2,19766,1.5,39479,4.0,52537,3.25 22,1,2024-09-07 08:22:31:034,113673,113673,0,0,53120416608,566893122,110534,2413,726,382,391667,0 22,2,2024-09-07 08:22:30:782,81841,81841,0,0,3804538,0,3134 22,3,2024-09-07 08:22:31:066,1,65,29,0,62,407,65,0 23,0,2024-09-07 08:22:31:385,18970,1.5,19125,1.2,38120,2.2,50953,2.75 23,1,2024-09-07 08:22:31:012,114361,114361,0,0,53424466461,558357445,113015,1092,254,368,391496,0 23,2,2024-09-07 08:22:31:095,81711,81711,0,0,3945117,0,2078 23,3,2024-09-07 08:22:31:762,1,65,2,0,645,1284,65,0 24,0,2024-09-07 08:22:31:033,13099,0.7,13112,0.8,26172,0.7,34885,1.75 24,1,2024-09-07 08:22:30:629,114332,114332,0,0,53105079517,555794823,113526,799,7,369,391640,0 24,2,2024-09-07 08:22:31:092,81527,81527,0,0,4987104,0,2942 24,3,2024-09-07 08:22:31:686,1,65,69,1,234,1048,65,0 25,0,2024-09-07 08:22:31:400,14104,0.3,13862,0.5,27047,0.2,36895,1.50 25,1,2024-09-07 08:22:30:565,113680,113680,0,0,53385747247,566256164,111534,1831,315,371,391788,0 25,2,2024-09-07 08:22:31:614,82208,82208,0,0,4541884,0,3284 25,3,2024-09-07 08:22:31:013,1,65,11,1,158,691,65,0 26,0,2024-09-07 08:22:31:761,19069,0.4,18630,0.6,38875,0.4,51675,1.75 26,1,2024-09-07 08:22:31:546,113760,113760,0,0,52820871458,564344245,110299,2626,835,381,391564,0 26,2,2024-09-07 08:22:30:862,82587,82587,0,0,4666054,0,2809 26,3,2024-09-07 08:22:31:741,1,65,17,0,796,1260,65,0 27,0,2024-09-07 08:22:31:737,19416,1.3,19557,1.0,39019,1.9,52639,2.00 27,1,2024-09-07 08:22:31:683,114654,114654,0,0,53833333126,561954376,113584,775,295,381,391539,0 27,2,2024-09-07 08:22:30:897,79149,79149,0,0,5567290,0,3409 27,3,2024-09-07 08:22:31:016,1,65,14,0,564,1025,65,0 28,0,2024-09-07 08:22:31:481,13976,0.7,14180,0.9,28146,1.0,37508,2.00 28,1,2024-09-07 08:22:30:797,114425,114425,0,0,53971581688,565495052,113367,858,200,383,391646,0 28,2,2024-09-07 08:22:31:767,82661,82661,0,0,3656973,0,2609 28,3,2024-09-07 08:22:31:777,1,65,1,0,502,1158,65,0 29,0,2024-09-07 08:22:31:371,13344,0.3,12974,0.5,25541,0.2,34914,1.75 29,1,2024-09-07 08:22:31:561,115005,115005,0,0,53420523605,554428745,114262,571,172,369,391621,0 29,2,2024-09-07 08:22:30:876,83532,83532,0,0,3200172,0,2026 29,3,2024-09-07 08:22:30:963,1,65,1,0,105,648,65,0 30,0,2024-09-07 08:22:31:472,17475,0.6,17027,0.7,35559,0.6,47038,2.00 30,1,2024-09-07 08:22:30:574,114160,114160,0,0,53882820246,563797642,113187,908,65,382,391524,0 30,2,2024-09-07 08:22:31:275,82534,82534,0,0,3236172,0,2534 30,3,2024-09-07 08:22:30:584,1,65,5,0,195,614,65,0 31,0,2024-09-07 08:22:31:793,19150,1.7,18998,1.3,38520,2.8,50973,3.25 31,1,2024-09-07 08:22:30:565,114702,114702,0,0,54231061146,557779726,114453,247,2,356,391553,0 31,2,2024-09-07 08:22:31:275,80007,80007,0,0,4606346,0,3525 31,3,2024-09-07 08:22:31:714,1,65,1,0,129,542,65,0 32,0,2024-09-07 08:22:31:464,16636,1.6,16747,1.2,33613,3.0,44297,1.75 32,1,2024-09-07 08:22:30:812,114030,114030,0,0,53341737645,557897290,113030,971,29,382,391595,0 32,2,2024-09-07 08:22:30:971,83405,83405,0,0,3923138,0,3155 32,3,2024-09-07 08:22:31:016,1,65,1,0,110,619,65,0 33,0,2024-09-07 08:22:31:504,12231,0.2,12096,0.4,24587,0.2,31829,1.75 33,1,2024-09-07 08:22:30:585,114401,114401,0,0,54188719597,566786358,112740,1538,123,369,391526,0 33,2,2024-09-07 08:22:30:765,82498,82465,33,0,5472990,0,7012 33,3,2024-09-07 08:22:30:895,1,65,1,0,129,491,65,0 34,0,2024-09-07 08:22:30:996,14774,0.2,15269,0.4,29303,0.1,39653,1.50 34,1,2024-09-07 08:22:31:044,114469,114469,0,0,53671204703,555770729,113876,589,4,367,391517,0 34,2,2024-09-07 08:22:30:775,81053,81053,0,0,4475364,0,3255 34,3,2024-09-07 08:22:31:697,1,65,1,0,148,669,65,0 35,0,2024-09-07 08:22:30:876,19070,1.0,19297,0.9,38454,1.3,50896,2.00 35,1,2024-09-07 08:22:31:105,114219,114219,0,0,53884823937,563314320,112754,1104,361,384,391587,0 35,2,2024-09-07 08:22:31:588,81232,81232,0,0,3885573,0,2542 35,3,2024-09-07 08:22:30:916,1,65,1,0,219,1035,65,0 36,0,2024-09-07 08:22:31:522,18899,2.0,18800,1.4,37976,2.9,50121,2.75 36,1,2024-09-07 08:22:30:584,113903,113903,0,0,53581938400,568525185,110892,2080,931,366,391535,0 36,2,2024-09-07 08:22:31:766,82202,82202,0,0,4887292,0,3303 36,3,2024-09-07 08:22:30:870,1,65,1,0,378,1072,65,0 37,0,2024-09-07 08:22:31:394,12068,0.3,12051,0.5,24218,0.2,32237,1.75 37,1,2024-09-07 08:22:30:570,113457,113450,0,7,53600754761,572258949,110611,1322,1517,365,391518,0 37,2,2024-09-07 08:22:31:143,82006,82006,0,0,3571525,0,2333 37,3,2024-09-07 08:22:31:767,1,65,4,0,724,1764,65,0 38,0,2024-09-07 08:22:31:457,13660,0.3,13233,0.5,27611,0.2,36763,1.75 38,1,2024-09-07 08:22:31:610,114106,114106,0,0,53861360091,568113830,111953,1706,447,370,391821,0 38,2,2024-09-07 08:22:30:763,81963,81963,0,0,4412122,0,3245 38,3,2024-09-07 08:22:30:997,1,65,5,0,603,1390,65,0 39,0,2024-09-07 08:22:31:794,21144,1.0,20704,0.9,40183,1.2,54798,2.00 39,1,2024-09-07 08:22:30:716,114106,114106,0,0,52998051022,560729601,111474,1994,638,366,391524,0 39,2,2024-09-07 08:22:31:418,82357,82357,0,0,4039802,0,2381 39,3,2024-09-07 08:22:30:713,1,65,0,0,276,1189,65,0 40,0,2024-09-07 08:22:31:535,19211,2.0,19556,2.3,38695,4.2,52289,3.75 40,1,2024-09-07 08:22:30:586,113698,113698,0,0,52447063369,557788893,110447,2498,753,370,391591,0 40,2,2024-09-07 08:22:31:302,80755,80754,1,0,4940234,0,5137 40,3,2024-09-07 08:22:31:142,1,65,1,1,110,743,65,0 41,0,2024-09-07 08:22:31:034,12443,0.9,12784,5.9,24448,4.3,33956,3.00 41,1,2024-09-07 08:22:30:780,113871,113871,0,0,53607614769,566471876,111562,1996,313,370,391484,0 41,2,2024-09-07 08:22:30:782,82179,82179,0,0,5080671,0,3356 41,3,2024-09-07 08:22:31:676,1,65,81,0,168,753,65,0 42,0,2024-09-07 08:22:31:492,13384,0.4,13494,0.7,26887,0.3,36027,2.00 42,1,2024-09-07 08:22:31:449,113494,113494,0,0,52993321352,564922291,110501,2163,830,381,391511,0 42,2,2024-09-07 08:22:31:133,83012,83012,0,0,4503881,0,3568 42,3,2024-09-07 08:22:31:008,1,65,16,0,100,657,65,0 43,0,2024-09-07 08:22:30:943,18581,1.0,18146,1.0,37723,1.1,49886,2.00 43,1,2024-09-07 08:22:30:576,113812,113812,0,0,53141840701,560495517,111622,1438,752,367,391604,0 43,2,2024-09-07 08:22:31:739,82916,82916,0,0,4659075,0,3812 43,3,2024-09-07 08:22:31:749,1,65,66,0,292,1369,65,0 44,0,2024-09-07 08:22:30:871,19549,1.1,19464,1.2,38889,1.2,52519,2.00 44,1,2024-09-07 08:22:30:562,114296,114296,0,0,52674806843,547756799,113279,910,107,356,391523,0 44,2,2024-09-07 08:22:31:279,79329,79329,0,0,3348604,0,1865 44,3,2024-09-07 08:22:31:094,1,65,1,1,817,1318,65,0 45,0,2024-09-07 08:22:31:774,14759,2.9,14438,1.8,30328,5.5,40200,2.25 45,1,2024-09-07 08:22:31:009,114323,114323,0,0,53966716558,561973750,113857,465,1,382,391917,0 45,2,2024-09-07 08:22:31:268,83717,83717,0,0,3994386,0,2628 45,3,2024-09-07 08:22:30:946,1,65,0,0,226,772,65,0 46,0,2024-09-07 08:22:30:964,12301,0.2,12298,0.5,24726,0.1,32656,1.50 46,1,2024-09-07 08:22:30:579,114329,114329,0,0,53773316839,558310467,113499,794,36,368,391514,0 46,2,2024-09-07 08:22:30:593,83125,83125,0,0,3934701,0,2920 46,3,2024-09-07 08:22:31:132,1,65,1,0,315,1048,65,0 47,0,2024-09-07 08:22:31:119,16310,0.4,16570,0.5,32976,0.3,43974,1.75 47,1,2024-09-07 08:22:30:568,114728,114728,0,0,53421965405,553057635,114220,504,4,366,391605,0 47,2,2024-09-07 08:22:30:911,82580,82580,0,0,3906603,0,2558 47,3,2024-09-07 08:22:31:114,1,65,1,0,529,1073,65,0 48,0,2024-09-07 08:22:31:519,19248,0.4,19010,0.6,37577,0.4,50275,1.75 48,1,2024-09-07 08:22:31:034,114326,114326,0,0,53642741425,561325876,113130,1191,5,386,391637,0 48,2,2024-09-07 08:22:30:700,81024,81024,0,0,3226448,0,2083 48,3,2024-09-07 08:22:30:771,1,65,0,0,30,595,65,0 49,0,2024-09-07 08:22:31:726,17956,1.5,17480,1.2,34290,3.0,46862,2.00 49,1,2024-09-07 08:22:31:022,113804,113804,0,0,52782954127,556638586,112067,1028,709,382,391583,0 49,2,2024-09-07 08:22:31:797,83165,83165,0,0,4358942,0,3900 49,3,2024-09-07 08:22:31:416,1,65,6,0,274,804,65,0 50,0,2024-09-07 08:22:31:517,12531,0.2,12251,0.4,24847,0.1,32677,1.50 50,1,2024-09-07 08:22:31:010,114801,114801,0,0,53703605449,561711260,113135,1369,297,368,391530,0 50,2,2024-09-07 08:22:31:081,82551,82551,0,0,3592557,0,2253 50,3,2024-09-07 08:22:31:302,1,65,1,0,335,871,65,0 51,0,2024-09-07 08:22:31:699,14536,0.2,14231,0.4,27848,0.1,38174,1.50 51,1,2024-09-07 08:22:31:687,114096,114096,0,0,54174101836,567512537,112298,1016,782,367,391637,0 51,2,2024-09-07 08:22:31:320,81356,81356,0,0,3090967,0,2448 51,3,2024-09-07 08:22:31:027,1,65,0,0,162,477,65,0 52,0,2024-09-07 08:22:31:422,20060,0.9,20232,1.0,40397,1.0,53071,2.25 52,1,2024-09-07 08:22:30:585,113833,113833,0,0,52697667512,559124783,111324,2045,464,368,391529,0 52,2,2024-09-07 08:22:31:771,81885,81885,0,0,4271388,0,4779 52,3,2024-09-07 08:22:30:686,1,65,1,0,1782,2409,65,0 53,0,2024-09-07 08:22:31:750,18932,2.3,18189,1.6,37758,3.3,50270,3.00 53,1,2024-09-07 08:22:30:776,113578,113578,0,0,53711567882,569309016,110958,2046,574,370,391617,0 53,2,2024-09-07 08:22:31:308,82618,82618,0,0,3735529,0,1902 53,3,2024-09-07 08:22:30:702,1,65,1,0,59,454,65,0 54,0,2024-09-07 08:22:31:625,12117,10.0,12341,4.1,24387,1.8,33930,5.00 54,1,2024-09-07 08:22:30:585,113840,113840,0,0,53948979845,567434931,111732,1792,316,367,391520,0 54,2,2024-09-07 08:22:30:876,82667,82661,6,0,4679130,0,5382 54,3,2024-09-07 08:22:30:783,1,65,3,0,676,1665,65,0 55,0,2024-09-07 08:22:31:786,13473,0.4,13784,0.6,28148,0.3,36566,2.25 55,1,2024-09-07 08:22:30:782,113535,113535,0,0,53041383229,562791024,110056,2777,702,367,391731,0 55,2,2024-09-07 08:22:30:759,82325,82325,0,0,4628508,0,3275 55,3,2024-09-07 08:22:30:687,1,65,1,0,136,791,65,0 56,0,2024-09-07 08:22:31:593,19651,1.6,18502,1.3,38175,2.3,51305,2.50 56,1,2024-09-07 08:22:30:587,113392,113392,0,0,53189307658,570864356,110009,2636,747,383,391678,0 56,2,2024-09-07 08:22:31:304,83135,83135,0,0,4848370,0,3567 56,3,2024-09-07 08:22:31:062,1,65,1,0,297,944,65,0 57,0,2024-09-07 08:22:30:973,19053,3.7,18779,2.1,37823,6.0,51300,4.50 57,1,2024-09-07 08:22:31:002,113330,113330,0,0,52443708802,552501714,111855,1455,20,368,391760,0 57,2,2024-09-07 08:22:31:333,80343,80343,0,0,4787783,0,3178 57,3,2024-09-07 08:22:31:741,1,65,1,1,359,1522,65,0 58,0,2024-09-07 08:22:30:576,13268,0.8,12942,1.1,27046,0.7,35562,2.75 58,1,2024-09-07 08:22:30:576,114658,114656,0,2,53794319924,563487242,113060,1437,159,369,391516,2 58,2,2024-09-07 08:22:31:074,82520,82520,0,0,4599166,0,2549 58,3,2024-09-07 08:22:31:067,1,65,11,1,219,837,65,0 59,0,2024-09-07 08:22:31:781,13122,0.6,12949,0.8,26136,0.5,34531,2.25 59,1,2024-09-07 08:22:30:821,113523,113523,0,0,52829006998,560025811,111277,1479,767,369,391515,0 59,2,2024-09-07 08:22:30:583,83310,83310,0,0,4035272,0,2604 59,3,2024-09-07 08:22:31:743,1,65,5,0,1015,1663,65,0 60,0,2024-09-07 08:22:31:744,17691,0.5,17714,0.7,35170,0.7,47235,1.75 60,1,2024-09-07 08:22:30:785,114880,114880,0,0,53612674476,560200104,113804,730,346,370,391758,0 60,2,2024-09-07 08:22:31:141,82265,82265,0,0,3711405,0,2142 60,3,2024-09-07 08:22:31:258,1,65,1,0,124,887,65,0 61,0,2024-09-07 08:22:31:514,19032,3.0,19019,1.7,38026,4.5,51134,2.50 61,1,2024-09-07 08:22:30:803,113871,113871,0,0,53372347833,566916762,111317,2019,535,383,391589,0 61,2,2024-09-07 08:22:31:116,80453,80453,0,0,3751365,0,1846 61,3,2024-09-07 08:22:31:697,1,65,1,0,199,1069,65,0 62,0,2024-09-07 08:22:31:730,16708,1.8,17086,1.3,32717,3.6,44214,2.25 62,1,2024-09-07 08:22:31:113,114700,114694,0,6,53856816022,560356110,113443,1214,37,365,391586,6 62,2,2024-09-07 08:22:31:645,82952,82952,0,0,4324699,0,2931 62,3,2024-09-07 08:22:31:144,1,65,34,0,287,655,65,0 63,0,2024-09-07 08:22:31:505,12142,0.4,12089,0.6,24361,0.2,31923,1.75 63,1,2024-09-07 08:22:30:815,115057,115053,0,4,53581535207,555789770,114652,400,1,381,391540,4 63,2,2024-09-07 08:22:30:785,82537,82537,0,0,3845102,0,2674 63,3,2024-09-07 08:22:31:743,1,65,5,0,667,1795,65,0 64,0,2024-09-07 08:22:31:547,14706,0.4,14652,0.6,29425,0.3,39792,1.75 64,1,2024-09-07 08:22:30:756,114258,114258,0,0,52982740299,562671617,111821,1644,793,371,391783,0 64,2,2024-09-07 08:22:31:150,81712,81693,19,0,4375333,0,6121 64,3,2024-09-07 08:22:31:140,1,65,6,1,265,986,65,0 65,0,2024-09-07 08:22:31:701,18672,2.6,19066,1.6,37934,3.4,50378,3.75 65,1,2024-09-07 08:22:30:861,113861,113861,0,0,52975305964,559556227,112154,1488,219,382,391569,0 65,2,2024-09-07 08:22:31:702,81656,81656,0,0,4467987,0,3367 65,3,2024-09-07 08:22:31:707,1,65,1,0,163,819,65,0 66,0,2024-09-07 08:22:31:801,18377,0.9,18625,1.1,37283,1.0,49482,2.75 66,1,2024-09-07 08:22:31:302,114856,114856,0,0,53565999419,557924367,114165,670,21,380,391588,0 66,2,2024-09-07 08:22:31:145,83211,83211,0,0,4123770,0,3867 66,3,2024-09-07 08:22:31:084,1,65,1,1,291,921,65,0 67,0,2024-09-07 08:22:31:424,12198,0.5,12215,0.7,24150,0.4,32177,2.25 67,1,2024-09-07 08:22:30:785,113682,113681,0,1,53116795301,562111928,111662,1494,525,382,391787,1 67,2,2024-09-07 08:22:30:583,82836,82836,0,0,3796105,0,2889 67,3,2024-09-07 08:22:31:756,1,65,1,0,138,638,65,0 68,0,2024-09-07 08:22:30:577,13634,0.5,13642,0.8,27222,0.4,36536,2.00 68,1,2024-09-07 08:22:30:592,113425,113425,0,0,52567495404,555513797,112012,891,522,382,391953,0 68,2,2024-09-07 08:22:31:057,81917,81917,0,0,3936279,0,4883 68,3,2024-09-07 08:22:30:745,1,65,6,1,63,703,65,0 69,0,2024-09-07 08:22:31:771,20519,1.6,20501,1.2,40801,2.0,54725,2.75 69,1,2024-09-07 08:22:31:019,113325,113325,0,0,53406578888,570487819,111333,1127,865,385,391532,0 69,2,2024-09-07 08:22:31:755,82785,82785,0,0,4463209,0,3153 69,3,2024-09-07 08:22:30:762,1,65,1,0,238,1121,65,0 70,0,2024-09-07 08:22:31:541,19292,3.6,19249,2.6,38924,2.7,51994,4.75 70,1,2024-09-07 08:22:30:815,114305,114305,0,0,54255255944,564830078,113392,778,135,369,391527,0 70,2,2024-09-07 08:22:31:325,80825,80825,0,0,4381985,0,4044 70,3,2024-09-07 08:22:30:762,1,65,1,0,178,478,65,0 71,0,2024-09-07 08:22:31:367,12584,2.0,12577,4.1,24700,3.0,34209,4.25 71,1,2024-09-07 08:22:31:608,113888,113888,0,0,53987770595,568778359,111686,1886,316,368,391682,0 71,2,2024-09-07 08:22:31:070,82048,82048,0,0,4123032,0,2146 71,3,2024-09-07 08:22:31:761,1,65,1,0,174,591,65,0 72,0,2024-09-07 08:22:31:040,13797,0.4,13409,0.6,26671,0.3,36095,2.50 72,1,2024-09-07 08:22:31:049,114522,114522,0,0,53215581684,558134112,112976,1468,78,370,391556,0 72,2,2024-09-07 08:22:31:772,82438,82438,0,0,5235538,0,2570 72,3,2024-09-07 08:22:31:762,1,65,2,0,325,1289,65,0 73,0,2024-09-07 08:22:31:128,18186,0.5,18690,0.7,37986,0.5,49600,2.25 73,1,2024-09-07 08:22:30:777,114086,114086,0,0,53581250820,560268344,113123,919,44,368,391627,0 73,2,2024-09-07 08:22:31:751,83575,83575,0,0,4736304,0,3482 73,3,2024-09-07 08:22:30:975,1,65,4,0,274,1234,65,0 74,0,2024-09-07 08:22:31:347,19420,2.0,19830,1.4,38155,3.1,52092,3.50 74,1,2024-09-07 08:22:30:637,113938,113938,0,0,52986201790,557944467,112041,1325,572,382,391497,0 74,2,2024-09-07 08:22:31:002,79298,79298,0,0,4972281,0,4253 74,3,2024-09-07 08:22:31:444,1,65,0,0,246,1343,65,0 75,0,2024-09-07 08:22:31:783,14959,2.8,14995,1.8,29938,5.0,40279,3.25 75,1,2024-09-07 08:22:31:591,114221,114221,0,0,53641586228,564827572,112536,1581,104,382,391514,0 75,2,2024-09-07 08:22:31:354,82741,82741,0,0,4857805,0,4766 75,3,2024-09-07 08:22:31:068,1,65,6,0,143,421,65,0 76,0,2024-09-07 08:22:30:620,12281,0.3,12179,0.6,24463,0.2,32484,2.00 76,1,2024-09-07 08:22:30:806,113735,113735,0,0,52782268611,558119827,111928,1301,506,382,391530,0 76,2,2024-09-07 08:22:31:068,83263,83263,0,0,3912865,0,3064 76,3,2024-09-07 08:22:31:141,1,65,2,0,175,983,65,0 77,0,2024-09-07 08:22:31:713,16298,0.7,16423,0.9,32898,0.7,43966,2.00 77,1,2024-09-07 08:22:30:842,114002,114002,0,0,53395576475,559795097,112968,965,69,383,391516,0 77,2,2024-09-07 08:22:31:286,82153,82153,0,0,3343469,0,1695 77,3,2024-09-07 08:22:31:095,1,65,1,0,139,676,65,0 78,0,2024-09-07 08:22:31:736,18886,1.4,18756,1.2,37727,2.1,50008,2.50 78,1,2024-09-07 08:22:30:610,114566,114566,0,0,52587988340,551689875,113149,1213,204,367,391589,0 78,2,2024-09-07 08:22:31:408,80909,80909,0,0,3371857,0,2114 78,3,2024-09-07 08:22:31:133,1,65,2,0,181,1006,65,0 79,0,2024-09-07 08:22:31:361,16839,1.0,17253,1.2,35258,1.2,45865,3.25 79,1,2024-09-07 08:22:30:584,114156,114156,0,0,53548513119,559769656,112819,1268,69,370,391609,0 79,2,2024-09-07 08:22:31:068,82927,82927,0,0,3865212,0,2679 79,3,2024-09-07 08:22:30:752,1,65,3,0,289,836,65,0 80,0,2024-09-07 08:22:31:127,12362,0.4,12664,0.6,24563,0.3,32573,2.00 80,1,2024-09-07 08:22:31:625,113649,113649,0,0,53465607669,560582421,112061,1473,115,369,391673,0 80,2,2024-09-07 08:22:31:093,82259,82259,0,0,4197774,0,4433 80,3,2024-09-07 08:22:30:587,1,65,4,1,190,1211,65,0 81,0,2024-09-07 08:22:31:554,14356,0.4,14697,0.7,28034,0.4,38214,2.00 81,1,2024-09-07 08:22:31:656,113768,113768,0,0,53297397486,563109386,111882,1688,198,383,391680,0 81,2,2024-09-07 08:22:31:130,81146,81146,0,0,4453506,0,3993 81,3,2024-09-07 08:22:31:117,1,65,0,0,193,774,65,0 82,0,2024-09-07 08:22:31:552,19938,1.1,20002,1.1,39982,1.4,53090,2.25 82,1,2024-09-07 08:22:30:608,113842,113840,0,2,53506106840,563747039,111805,1463,572,383,391558,2 82,2,2024-09-07 08:22:31:705,82981,82981,0,0,3427929,0,2230 82,3,2024-09-07 08:22:31:774,1,65,2,0,227,1300,65,0 83,0,2024-09-07 08:22:31:561,18904,1.7,18854,1.4,37426,2.0,50030,3.25 83,1,2024-09-07 08:22:30:554,114002,114002,0,0,53096787724,558954109,112586,1316,100,383,391553,0 83,2,2024-09-07 08:22:30:774,81316,81316,0,0,4419722,0,3119 83,3,2024-09-07 08:22:30:755,1,65,2,0,91,593,65,0 84,0,2024-09-07 08:22:31:783,12583,5.1,12425,4.5,24938,1.1,34420,3.00 84,1,2024-09-07 08:22:31:059,113810,113810,0,0,53253634167,562505008,112202,1361,247,369,391638,0 84,2,2024-09-07 08:22:30:591,81826,81826,0,0,4365972,0,3801 84,3,2024-09-07 08:22:31:151,1,65,11,1,43,671,65,0 85,0,2024-09-07 08:22:31:037,13393,0.4,13447,0.6,28289,0.3,36726,1.75 85,1,2024-09-07 08:22:30:568,113529,113529,0,0,53520329565,570684786,111081,2020,428,384,391652,0 85,2,2024-09-07 08:22:30:874,82124,82124,0,0,4756125,0,3656 85,3,2024-09-07 08:22:30:691,1,65,8,0,115,745,65,0 86,0,2024-09-07 08:22:30:929,18996,0.7,19761,0.8,38172,0.9,51353,2.00 86,1,2024-09-07 08:22:30:848,113524,113524,0,0,53573447979,564398787,111734,1574,216,367,391622,0 86,2,2024-09-07 08:22:30:861,82208,82207,1,0,4966253,0,5004 86,3,2024-09-07 08:22:30:586,1,65,6,0,199,854,65,0 87,0,2024-09-07 08:22:31:294,19313,3.1,19238,1.8,38707,4.2,52393,3.25 87,1,2024-09-07 08:22:30:554,113614,113614,0,0,53220909271,561450660,112041,1452,121,368,391671,0 87,2,2024-09-07 08:22:31:067,80261,80261,0,0,3705782,0,2859 87,3,2024-09-07 08:22:31:793,1,65,2,1,322,1138,65,0 88,0,2024-09-07 08:22:31:477,13964,0.9,14145,1.0,28087,1.0,37461,2.00 88,1,2024-09-07 08:22:30:586,113403,113403,0,0,53371704830,561194274,112196,1111,96,367,391747,0 88,2,2024-09-07 08:22:30:693,82839,82839,0,0,4881947,0,3583 88,3,2024-09-07 08:22:31:266,1,65,1,1,77,815,65,0 89,0,2024-09-07 08:22:31:788,13465,0.3,13064,0.5,25816,0.2,34940,1.75 89,1,2024-09-07 08:22:30:718,113067,113067,0,0,53161952168,570757968,109847,2485,735,383,391866,0 89,2,2024-09-07 08:22:31:141,82774,82774,0,0,4564889,0,2726 89,3,2024-09-07 08:22:31:791,1,65,1,0,325,1783,65,0 90,0,2024-09-07 08:22:31:624,17118,0.5,17390,0.7,35829,0.5,46974,1.75 90,1,2024-09-07 08:22:30:597,113703,113703,0,0,52804230688,561131954,111657,1906,140,382,391825,0 90,2,2024-09-07 08:22:31:414,81346,81346,0,0,5220097,0,2635 90,3,2024-09-07 08:22:30:930,1,65,2,1,200,908,65,0 91,0,2024-09-07 08:22:30:939,19560,0.7,18850,0.8,39256,0.9,51470,2.00 91,1,2024-09-07 08:22:30:569,113681,113681,0,0,53551128797,568485510,111334,1985,362,384,391914,0 91,2,2024-09-07 08:22:31:349,79499,79499,0,0,4194254,0,1997 91,3,2024-09-07 08:22:30:603,1,65,6,1,155,870,65,0 92,0,2024-09-07 08:22:31:466,16736,1.3,17191,1.1,32964,2.1,44308,2.00 92,1,2024-09-07 08:22:30:595,114154,114154,0,0,53797458298,563203758,113505,582,67,382,391717,0 92,2,2024-09-07 08:22:31:392,84163,84163,0,0,4140230,0,2279 92,3,2024-09-07 08:22:31:008,1,65,15,1,68,756,65,0 93,0,2024-09-07 08:22:31:006,12224,0.3,12453,0.5,23696,0.2,31867,1.75 93,1,2024-09-07 08:22:30:821,114163,114163,0,0,53635908617,565408356,112407,1322,434,367,391689,0 93,2,2024-09-07 08:22:30:936,82861,82861,0,0,3797225,0,2509 93,3,2024-09-07 08:22:31:413,1,65,2,1,143,660,65,0 94,0,2024-09-07 08:22:31:614,14786,0.3,15019,0.5,29515,0.2,39782,1.50 94,1,2024-09-07 08:22:30:571,113532,113532,0,0,53778613935,568328676,111953,1514,65,381,391850,0 94,2,2024-09-07 08:22:30:785,81163,81163,0,0,3829714,0,2443 94,3,2024-09-07 08:22:31:704,1,65,2,1,231,1236,65,0 95,0,2024-09-07 08:22:31:372,19257,0.5,19056,0.7,38759,0.5,51117,1.75 95,1,2024-09-07 08:22:30:875,114290,114290,0,0,53495780778,559088866,113493,733,64,367,391590,0 95,2,2024-09-07 08:22:31:018,81582,81582,0,0,4049159,0,3308 95,3,2024-09-07 08:22:31:715,1,65,3,0,307,1246,65,0 96,0,2024-09-07 08:22:31:165,19048,1.1,18921,1.0,37875,1.8,50013,1.75 96,1,2024-09-07 08:22:31:592,114145,114145,0,0,53234865073,563902675,112307,1267,571,385,391596,0 96,2,2024-09-07 08:22:31:276,82710,82710,0,0,4636265,0,4038 96,3,2024-09-07 08:22:31:151,1,65,3,1,36,675,65,0 97,0,2024-09-07 08:22:31:337,12141,0.4,12106,0.6,24245,0.3,32214,1.75 97,1,2024-09-07 08:22:30:769,113873,113873,0,0,53588089070,564776758,111686,1670,517,367,392140,0 97,2,2024-09-07 08:22:30:623,81965,81965,0,0,3854278,0,3036 97,3,2024-09-07 08:22:30:586,1,65,2,1,165,952,65,0 98,0,2024-09-07 08:22:31:717,13709,0.2,13565,0.4,27544,0.2,36803,1.50 98,1,2024-09-07 08:22:30:584,113968,113968,0,0,53690862015,563940113,112898,1025,45,382,391588,0 98,2,2024-09-07 08:22:30:773,82681,82681,0,0,4103731,0,3080 98,3,2024-09-07 08:22:30:702,1,65,12,1,155,975,65,0 99,0,2024-09-07 08:22:31:469,20605,0.4,20569,0.5,41066,0.4,54862,1.75 99,1,2024-09-07 08:22:31:745,114307,114307,0,0,53263204114,560249155,112829,1038,440,381,391744,0 99,2,2024-09-07 08:22:31:417,83525,83525,0,0,4093327,0,1858 99,3,2024-09-07 08:22:30:584,1,65,1,0,129,683,65,0 100,0,2024-09-07 08:22:31:580,19643,2.2,19435,2.6,38939,5.1,52891,2.75 100,1,2024-09-07 08:22:30:557,113244,113244,0,0,52645223311,564622421,110504,2396,344,381,391585,0 100,2,2024-09-07 08:22:31:816,80368,80357,11,0,5192857,0,5417 100,3,2024-09-07 08:22:31:744,1,65,5,1,443,1796,65,0 101,0,2024-09-07 08:22:31:746,13085,4.8,12689,2.5,25117,2.1,35211,3.00 101,1,2024-09-07 08:22:30:551,113708,113708,0,0,53285393434,563375151,112238,1021,449,369,391709,0 101,2,2024-09-07 08:22:31:768,82349,82349,0,0,4566278,0,4644 101,3,2024-09-07 08:22:30:987,1,65,10,0,448,964,65,0 102,0,2024-09-07 08:22:30:955,13142,0.4,13589,0.6,27309,0.3,35939,1.75 102,1,2024-09-07 08:22:31:143,113614,113614,0,0,52874889131,563202778,111154,1992,468,369,391831,0 102,2,2024-09-07 08:22:31:749,83173,83173,0,0,3772616,0,1945 102,3,2024-09-07 08:22:31:622,1,65,1,0,410,808,65,0 103,0,2024-09-07 08:22:31:621,19015,0.6,19069,0.8,36087,0.6,50008,2.00 103,1,2024-09-07 08:22:31:626,113708,113708,0,0,53550670334,564486737,112489,1075,144,381,391680,0 103,2,2024-09-07 08:22:30:609,82636,82636,0,0,4085347,0,2104 103,3,2024-09-07 08:22:30:777,1,65,11,1,486,1070,65,0 104,0,2024-09-07 08:22:31:036,19385,2.4,19439,1.6,37744,3.3,52034,2.75 104,1,2024-09-07 08:22:31:611,114526,114526,0,0,52940119021,560957516,112617,1583,326,368,391948,0 104,2,2024-09-07 08:22:31:670,78557,78557,0,0,4590323,0,3941 104,3,2024-09-07 08:22:31:416,1,65,12,1,1245,3764,65,0 105,0,2024-09-07 08:22:31:062,14871,1.9,14331,1.8,29800,2.8,40007,3.75 105,1,2024-09-07 08:22:30:569,113644,113644,0,0,52701763188,561732501,110696,2202,746,367,391797,0 105,2,2024-09-07 08:22:31:342,83021,83021,0,0,4406470,0,3314 105,3,2024-09-07 08:22:31:310,1,65,8,1,399,1576,65,0 106,0,2024-09-07 08:22:30:958,11872,0.3,12205,0.6,24805,0.2,32528,1.75 106,1,2024-09-07 08:22:31:752,114075,114075,0,0,53144611349,563679637,111675,2073,327,370,391767,0 106,2,2024-09-07 08:22:30:763,82341,82341,0,0,4434990,0,2795 106,3,2024-09-07 08:22:30:686,1,65,1,1,201,859,65,0 107,0,2024-09-07 08:22:31:116,16458,0.5,16372,0.7,32602,0.5,43994,1.75 107,1,2024-09-07 08:22:30:591,113390,113390,0,0,53148673853,564128376,111600,1619,171,382,392234,0 107,2,2024-09-07 08:22:31:315,81350,81349,1,0,4261065,0,5024 107,3,2024-09-07 08:22:31:762,1,65,4,0,353,1274,65,0 108,0,2024-09-07 08:22:31:863,18838,0.7,19127,0.8,37922,0.8,50155,1.75 108,1,2024-09-07 08:22:31:296,113868,113868,0,0,53716900960,565643512,112438,1297,133,371,391857,0 108,2,2024-09-07 08:22:31:798,80506,80506,0,0,4094553,0,2647 108,3,2024-09-07 08:22:31:339,1,65,73,1,73,905,65,0 109,0,2024-09-07 08:22:31:880,17634,0.9,17796,1.0,34942,1.1,47066,2.00 109,1,2024-09-07 08:22:30:593,113298,113298,0,0,53694911126,569070264,111685,1230,383,383,391664,0 109,2,2024-09-07 08:22:31:011,82147,82147,0,0,4488658,0,3617 109,3,2024-09-07 08:22:31:168,1,65,18,1,249,1207,65,0 110,0,2024-09-07 08:22:31:780,12471,0.2,12110,0.5,25235,0.2,32535,1.50 110,1,2024-09-07 08:22:31:648,114158,114158,0,0,53500450281,560103013,112842,1031,285,370,391588,0 110,2,2024-09-07 08:22:31:309,82888,82888,0,0,3775341,0,2915 110,3,2024-09-07 08:22:30:692,1,65,1,0,183,689,65,0 111,0,2024-09-07 08:22:31:455,14162,0.2,14089,0.4,28159,0.1,38073,1.50 111,1,2024-09-07 08:22:31:000,114505,114505,0,0,54114721325,562080910,113861,631,13,382,391690,0 111,2,2024-09-07 08:22:31:116,81886,81886,0,0,3919503,0,2763 111,3,2024-09-07 08:22:30:924,1,65,2,0,300,1362,65,0 112,0,2024-09-07 08:22:30:934,20226,0.5,20325,0.6,40216,0.4,53414,1.75 112,1,2024-09-07 08:22:30:828,113746,113746,0,0,53575292757,562842501,112238,1162,346,381,391580,0 112,2,2024-09-07 08:22:31:134,82401,82400,1,0,4375908,0,5036 112,3,2024-09-07 08:22:30:600,1,65,1,0,282,829,65,0 113,0,2024-09-07 08:22:30:877,19043,0.6,18903,0.8,38449,0.6,51133,1.75 113,1,2024-09-07 08:22:31:698,114256,114256,0,0,53420631860,559691044,112368,1504,384,368,391661,0 113,2,2024-09-07 08:22:31:303,81867,81867,0,0,3601689,0,3021 113,3,2024-09-07 08:22:30:686,1,65,1,0,288,1112,65,0 114,0,2024-09-07 08:22:30:885,12868,4.0,13042,2.4,25604,1.2,34894,2.25 114,1,2024-09-07 08:22:30:716,113892,113892,0,0,53492845254,567301198,111285,1726,881,381,391519,0 114,2,2024-09-07 08:22:30:877,81978,81978,0,0,4196974,0,3925 114,3,2024-09-07 08:22:31:285,1,65,1,0,159,740,65,0 115,0,2024-09-07 08:22:30:561,13687,0.2,13814,0.4,27589,0.1,36844,1.50 115,1,2024-09-07 08:22:30:595,114078,114078,0,0,53305356400,562696920,111705,1886,487,383,391510,0 115,2,2024-09-07 08:22:31:128,83032,83032,0,0,3564345,0,2152 115,3,2024-09-07 08:22:31:007,1,65,1,0,159,462,65,0 116,0,2024-09-07 08:22:31:747,19200,0.8,19003,0.9,38177,0.9,51661,2.00 116,1,2024-09-07 08:22:30:812,113164,113164,0,0,53482876361,570524807,111290,1004,870,382,391605,0 116,2,2024-09-07 08:22:31:765,82607,82607,0,0,4647491,0,3529 116,3,2024-09-07 08:22:30:918,1,65,6,1,252,1251,65,0 117,0,2024-09-07 08:22:31:026,19440,2.6,19440,1.6,38836,3.9,52532,2.25 117,1,2024-09-07 08:22:31:584,114076,114076,0,0,53188927080,565222966,111765,2018,293,371,392033,0 117,2,2024-09-07 08:22:31:117,80435,80435,0,0,4035522,0,3700 117,3,2024-09-07 08:22:31:067,1,65,4,0,490,1787,65,0 118,0,2024-09-07 08:22:31:811,13631,0.9,13911,1.0,28589,1.1,37339,2.00 118,1,2024-09-07 08:22:30:593,114187,114187,0,0,53014927412,559391408,112951,1158,78,368,391736,0 118,2,2024-09-07 08:22:31:592,82881,82881,0,0,4472332,0,2781 118,3,2024-09-07 08:22:31:764,1,65,3,1,235,1134,65,0 119,0,2024-09-07 08:22:31:347,12777,0.3,12849,0.5,26376,0.2,34769,1.75 119,1,2024-09-07 08:22:30:550,113984,113984,0,0,52891188792,558229366,112377,1458,149,370,391617,0 119,2,2024-09-07 08:22:31:261,82584,82584,0,0,4213456,0,2532 119,3,2024-09-07 08:22:31:325,1,65,2,1,443,2094,65,0 120,0,2024-09-07 08:22:31:567,17398,0.7,17389,0.9,34769,0.6,46994,2.25 120,1,2024-09-07 08:22:30:862,113629,113629,0,0,53029811701,560999413,112123,1491,15,368,391702,0 120,2,2024-09-07 08:22:30:776,81546,81545,1,0,5139919,0,5281 120,3,2024-09-07 08:22:31:296,1,65,4,1,241,1088,65,0 121,0,2024-09-07 08:22:31:706,19003,2.8,19149,1.7,38381,4.0,51452,2.75 121,1,2024-09-07 08:22:31:674,114034,114034,0,0,53254800780,562646244,112163,1602,269,368,391807,0 121,2,2024-09-07 08:22:31:130,78965,78965,0,0,5375942,0,4127 121,3,2024-09-07 08:22:30:754,1,65,10,0,101,717,65,0 122,0,2024-09-07 08:22:31:820,16549,2.5,15975,2.2,33249,2.9,44500,2.50 122,1,2024-09-07 08:22:30:867,112925,112925,0,0,53121136751,567046905,109923,2478,524,368,392130,0 122,2,2024-09-07 08:22:31:327,83281,83281,0,0,5537397,0,3364 122,3,2024-09-07 08:22:30:596,1,65,78,1,298,1748,65,0 123,0,2024-09-07 08:22:30:979,12201,0.3,11830,0.5,24620,0.2,31849,1.75 123,1,2024-09-07 08:22:30:567,114032,114032,0,0,53658030376,573491945,110614,2850,568,369,391823,0 123,2,2024-09-07 08:22:31:030,82521,82520,1,0,4461439,0,5215 123,3,2024-09-07 08:22:31:132,1,65,1,1,160,894,65,0 124,0,2024-09-07 08:22:30:957,15145,0.3,15077,0.5,28776,0.2,39834,1.50 124,1,2024-09-07 08:22:31:197,114407,114407,0,0,53024228897,552839294,113613,650,144,367,392178,0 124,2,2024-09-07 08:22:31:012,80871,80871,0,0,3540164,0,2477 124,3,2024-09-07 08:22:30:762,1,65,1,1,490,1539,65,0 125,0,2024-09-07 08:22:31:463,19368,0.7,19390,0.8,38853,0.8,51061,2.00 125,1,2024-09-07 08:22:30:872,113968,113968,0,0,53330530189,562293762,112237,1459,272,384,391702,0 125,2,2024-09-07 08:22:31:116,81585,81585,0,0,3897515,0,2180 125,3,2024-09-07 08:22:31:126,1,65,2,1,93,953,65,0 126,0,2024-09-07 08:22:31:481,19043,1.3,19492,1.1,37327,2.3,50354,2.00 126,1,2024-09-07 08:22:30:551,114530,114530,0,0,53645996460,560093299,113486,992,52,365,391719,0 126,2,2024-09-07 08:22:30:610,82658,82658,0,0,4195479,0,3186 126,3,2024-09-07 08:22:30:911,1,65,4,0,122,888,65,0 127,0,2024-09-07 08:22:31:668,12222,0.2,12142,0.4,24254,0.2,32121,1.50 127,1,2024-09-07 08:22:30:594,114146,114146,0,0,53039650302,553296997,113011,1112,23,365,391614,0 127,2,2024-09-07 08:22:30:651,82381,82381,0,0,3422499,0,1803 127,3,2024-09-07 08:22:31:273,1,65,1,0,99,583,65,0 128,0,2024-09-07 08:22:31:535,13705,0.3,13833,0.5,27054,0.2,36687,1.50 128,1,2024-09-07 08:22:31:609,114093,114093,0,0,53573139226,558178514,113377,646,70,369,391605,0 128,2,2024-09-07 08:22:31:384,81674,81674,0,0,4299513,0,2107 128,3,2024-09-07 08:22:30:786,1,65,4,1,112,836,65,0 129,0,2024-09-07 08:22:31:005,20651,0.4,20637,0.6,41072,0.5,54783,1.75 129,1,2024-09-07 08:22:30:611,113857,113857,0,0,53849407886,568687982,112038,1545,274,379,391835,0 129,2,2024-09-07 08:22:30:689,82064,82064,0,0,3722351,0,2446 129,3,2024-09-07 08:22:30:692,1,65,3,1,173,1092,65,0 130,0,2024-09-07 08:22:31:823,19865,1.5,19763,1.2,39583,2.2,53354,2.25 130,1,2024-09-07 08:22:30:597,114129,114129,0,0,52930296561,556756028,112822,1275,32,381,391609,0 130,2,2024-09-07 08:22:31:128,80892,80892,0,0,4361598,0,4067 130,3,2024-09-07 08:22:31:301,1,65,3,1,207,618,65,0 131,0,2024-09-07 08:22:32:028,13098,1.2,12935,1.1,26318,1.7,35115,2.00 131,1,2024-09-07 08:22:31:834,114656,114656,0,0,53278194527,558717561,113641,829,186,384,391865,0 131,2,2024-09-07 08:22:30:570,82068,82068,0,0,3596153,0,2415 131,3,2024-09-07 08:22:31:698,1,65,5,0,392,1051,65,0 132,0,2024-09-07 08:22:31:660,13318,0.4,13572,0.6,26909,0.3,36016,1.75 132,1,2024-09-07 08:22:30:597,113107,113107,0,0,52902444680,564389402,110527,1875,705,382,391574,0 132,2,2024-09-07 08:22:30:703,82506,82506,0,0,5885689,0,4606 132,3,2024-09-07 08:22:31:733,1,65,1,1,356,998,65,0 133,0,2024-09-07 08:22:31:545,18189,0.5,18459,0.7,38123,0.6,49452,1.75 133,1,2024-09-07 08:22:30:613,112701,112701,0,0,53023071201,565109577,110495,2111,95,383,391755,0 133,2,2024-09-07 08:22:31:087,82865,82865,0,0,4984194,0,2444 133,3,2024-09-07 08:22:31:303,1,65,1,1,187,589,65,0 134,0,2024-09-07 08:22:30:954,19574,1.3,19540,1.2,39115,1.6,52262,2.25 134,1,2024-09-07 08:22:30:587,113824,113824,0,0,52901903023,562647023,111192,1651,981,366,391718,0 134,2,2024-09-07 08:22:31:773,79095,79095,0,0,3701887,0,2026 134,3,2024-09-07 08:22:30:751,1,65,51,1,739,1888,65,0 135,0,2024-09-07 08:22:31:117,14597,1.9,14611,1.8,30897,2.2,39923,2.50 135,1,2024-09-07 08:22:31:589,113436,113436,0,0,53787562123,569074161,111491,1618,327,383,391703,0 135,2,2024-09-07 08:22:30:689,83606,83606,0,0,4658746,0,3981 135,3,2024-09-07 08:22:31:002,1,65,2,0,68,457,65,0 136,0,2024-09-07 08:22:31:678,12353,0.4,12339,0.7,24526,0.3,32782,2.00 136,1,2024-09-07 08:22:31:467,114082,114082,0,0,53401303061,564637607,112182,1753,147,384,391621,0 136,2,2024-09-07 08:22:31:133,83527,83527,0,0,4011508,0,2379 136,3,2024-09-07 08:22:31:112,1,65,2,1,108,816,65,0 137,0,2024-09-07 08:22:30:957,16846,0.9,16296,1.0,32413,1.2,44130,2.00 137,1,2024-09-07 08:22:30:680,113933,113933,0,0,53706798560,566934301,111964,1856,113,368,391608,0 137,2,2024-09-07 08:22:31:720,81042,81042,0,0,4880647,0,2632 137,3,2024-09-07 08:22:30:784,1,65,21,1,227,1028,65,0 138,0,2024-09-07 08:22:31:862,18388,3.0,18569,1.8,37526,4.0,50038,3.00 138,1,2024-09-07 08:22:31:706,113572,113572,0,0,53943036432,570699409,111248,2063,261,370,391615,0 138,2,2024-09-07 08:22:30:588,81343,81343,0,0,4187154,0,3263 138,3,2024-09-07 08:22:30:610,1,65,2,1,1160,1835,65,0 139,0,2024-09-07 08:22:31:376,17048,4.7,17028,2.6,34394,5.6,46337,4.00 139,1,2024-09-07 08:22:30:588,113240,113240,0,0,52697950852,566565995,110356,2156,728,381,391892,0 139,2,2024-09-07 08:22:30:693,82447,82447,0,0,5170891,0,3097 139,3,2024-09-07 08:22:31:691,1,65,9,0,244,1297,65,0 140,0,2024-09-07 08:22:31:597,12410,0.3,12288,0.5,24833,0.2,32560,1.75 140,1,2024-09-07 08:22:31:537,114832,114832,0,0,53734031785,558353490,113905,730,197,365,391497,0 140,2,2024-09-07 08:22:30:688,82689,82689,0,0,4329721,0,3388 140,3,2024-09-07 08:22:30:784,1,65,1,0,25,520,65,0 141,0,2024-09-07 08:22:31:721,13894,0.2,14475,0.4,28110,0.1,38032,1.50 141,1,2024-09-07 08:22:30:925,114623,114623,0,0,53608718215,561352435,113204,1088,331,382,391538,0 141,2,2024-09-07 08:22:31:699,82119,82119,0,0,3840121,0,2342 141,3,2024-09-07 08:22:31:054,1,65,1,0,147,530,65,0 142,0,2024-09-07 08:22:31:337,20449,0.4,20174,0.6,40107,0.4,53482,1.75 142,1,2024-09-07 08:22:30:588,114633,114633,0,0,53749555458,561697066,114086,532,15,384,391649,0 142,2,2024-09-07 08:22:31:313,81709,81709,0,0,4147278,0,2293 142,3,2024-09-07 08:22:31:756,1,65,8,0,484,1184,65,0 143,0,2024-09-07 08:22:31:458,19023,1.2,19064,1.0,38484,1.6,50965,2.00 143,1,2024-09-07 08:22:30:561,114225,114225,0,0,53554353213,558298402,113250,949,26,367,391608,0 143,2,2024-09-07 08:22:30:777,81364,81364,0,0,4526921,0,2669 143,3,2024-09-07 08:22:31:140,1,65,4,1,236,1079,65,0 144,0,2024-09-07 08:22:31:517,12308,1.7,12753,6.5,25570,2.0,34695,2.50 144,1,2024-09-07 08:22:30:569,113704,113704,0,0,53145390480,562197224,112138,1376,190,383,391638,0 144,2,2024-09-07 08:22:31:774,82537,82537,0,0,3935174,0,3473 144,3,2024-09-07 08:22:31:765,1,65,18,1,169,1205,65,0 145,0,2024-09-07 08:22:31:396,13280,0.4,13290,0.7,28186,0.3,36643,2.00 145,1,2024-09-07 08:22:30:603,113329,113329,0,0,54009505684,572118684,111407,1660,262,383,391615,0 145,2,2024-09-07 08:22:31:443,82569,82569,0,0,4844841,0,3903 145,3,2024-09-07 08:22:30:906,1,65,72,0,151,963,65,0 146,0,2024-09-07 08:22:31:650,19142,0.7,19112,0.9,38343,0.8,51282,2.25 146,1,2024-09-07 08:22:31:595,114230,114230,0,0,53516916849,566738185,111939,1990,301,369,391600,0 146,2,2024-09-07 08:22:31:713,81894,81894,0,0,4323796,0,2379 146,3,2024-09-07 08:22:31:275,1,65,4,0,1520,2951,65,0 147,0,2024-09-07 08:22:31:745,19343,3.2,19156,1.9,37973,4.6,52573,3.50 147,1,2024-09-07 08:22:31:385,114005,114005,0,0,53265873812,557869555,112297,1354,354,368,391791,0 147,2,2024-09-07 08:22:31:011,80538,80538,0,0,4214914,0,2789 147,3,2024-09-07 08:22:30:928,1,65,1,1,141,772,65,0 0,0,2024-09-07 08:22:41:838,17378,0.8,17381,0.9,36707,1.0,47958,2.00 0,1,2024-09-07 08:22:40:821,115898,115898,0,0,54566173560,576030684,114677,1095,126,372,391673,0 0,2,2024-09-07 08:22:41:069,83501,83501,0,0,4433165,0,4480 0,3,2024-09-07 08:22:40:982,1,66,15,0,247,1079,66,0 1,0,2024-09-07 08:22:41:847,19157,3.1,19100,2.0,38353,4.7,51738,3.00 1,1,2024-09-07 08:22:40:563,115181,115181,0,0,53292004362,563825251,113495,1158,528,372,391857,0 1,2,2024-09-07 08:22:40:649,80328,80328,0,0,4015324,0,3267 1,3,2024-09-07 08:22:41:305,1,66,9,0,167,716,66,0 2,0,2024-09-07 08:22:41:599,16427,1.7,16583,1.5,32878,3.3,43874,2.50 2,1,2024-09-07 08:22:40:874,115777,115777,0,0,54716709000,572819359,114697,877,203,382,391558,0 2,2,2024-09-07 08:22:41:265,83955,83955,0,0,4209377,0,3304 2,3,2024-09-07 08:22:40:691,1,66,1,0,214,800,66,0 3,0,2024-09-07 08:22:41:755,12364,0.3,12334,0.6,24730,0.2,32272,1.75 3,1,2024-09-07 08:22:41:619,115189,115189,0,0,53928563329,567843433,113211,1592,386,382,391516,0 3,2,2024-09-07 08:22:41:145,84182,84159,23,0,4685227,0,5851 3,3,2024-09-07 08:22:41:755,1,66,0,0,103,555,66,0 4,0,2024-09-07 08:22:41:852,14799,0.3,15172,0.5,30857,0.2,41118,1.75 4,1,2024-09-07 08:22:40:631,115501,115501,0,0,54324587828,577316879,113610,1473,418,371,391846,0 4,2,2024-09-07 08:22:41:042,82325,82325,0,0,5217089,0,4528 4,3,2024-09-07 08:22:41:032,1,66,14,1,287,1278,66,0 5,0,2024-09-07 08:22:41:417,19495,0.9,19255,0.8,38942,1.1,51303,2.00 5,1,2024-09-07 08:22:40:764,115351,115351,0,0,54145993641,574777598,113149,1761,441,368,392005,0 5,2,2024-09-07 08:22:41:836,81988,81988,0,0,4141785,0,2259 5,3,2024-09-07 08:22:41:737,1,66,1,1,238,1438,66,0 6,0,2024-09-07 08:22:40:936,19062,1.3,18867,1.4,37620,1.9,50384,2.75 6,1,2024-09-07 08:22:40:747,116077,116077,0,0,54089900152,566641839,114973,1035,69,381,391603,0 6,2,2024-09-07 08:22:41:116,84195,84195,0,0,4391846,0,2411 6,3,2024-09-07 08:22:41:277,1,66,2,1,340,1413,66,0 7,0,2024-09-07 08:22:41:543,12190,0.3,12160,0.5,24496,0.2,32595,1.75 7,1,2024-09-07 08:22:40:857,115992,115992,0,0,54445450080,571392284,115012,916,64,383,391664,0 7,2,2024-09-07 08:22:40:773,83098,83098,0,0,4160775,0,2981 7,3,2024-09-07 08:22:40:874,1,66,2,0,83,649,66,0 8,0,2024-09-07 08:22:41:431,14273,0.3,14124,0.5,27876,0.2,37903,1.50 8,1,2024-09-07 08:22:41:022,115559,115559,0,0,53594868008,576067263,112083,2223,1253,368,391724,0 8,2,2024-09-07 08:22:40:802,82100,82100,0,0,5011874,0,2986 8,3,2024-09-07 08:22:40:585,1,66,23,0,229,1044,66,0 9,0,2024-09-07 08:22:41:212,20644,0.4,20130,0.6,42146,0.4,54897,1.75 9,1,2024-09-07 08:22:40:551,115804,115804,0,0,54685494398,580477433,113459,1777,568,370,391738,0 9,2,2024-09-07 08:22:41:085,83863,83863,0,0,4607694,0,3360 9,3,2024-09-07 08:22:41:761,1,66,2,0,149,983,66,0 10,0,2024-09-07 08:22:41:609,19861,0.9,19992,1.0,39990,0.9,53554,2.25 10,1,2024-09-07 08:22:40:584,115124,115124,0,0,53674351585,572786152,111789,2813,522,383,391541,0 10,2,2024-09-07 08:22:40:774,82169,82169,0,0,4670426,0,2940 10,3,2024-09-07 08:22:40:874,1,66,26,0,136,571,66,0 11,0,2024-09-07 08:22:41:018,12858,2.4,12588,1.7,26372,5.1,35689,2.50 11,1,2024-09-07 08:22:40:572,115277,115277,0,0,53911715473,572604603,112637,1825,815,384,391537,0 11,2,2024-09-07 08:22:41:134,83459,83459,0,0,4428037,0,2635 11,3,2024-09-07 08:22:41:302,1,66,2,0,720,2172,66,0 12,0,2024-09-07 08:22:41:033,14018,0.3,14032,0.5,27868,0.2,37216,1.75 12,1,2024-09-07 08:22:40:955,115349,115349,0,0,53390405956,563322325,113708,1542,99,371,391790,0 12,2,2024-09-07 08:22:41:545,84886,84886,0,0,4121682,0,2254 12,3,2024-09-07 08:22:41:069,1,66,11,1,358,1368,66,0 13,0,2024-09-07 08:22:41:445,18830,0.4,19000,0.6,37873,0.4,50579,1.75 13,1,2024-09-07 08:22:41:548,115711,115711,0,0,53918115230,571121911,114121,1223,367,384,391717,0 13,2,2024-09-07 08:22:40:605,83858,83858,0,0,3857123,0,3287 13,3,2024-09-07 08:22:41:772,1,66,1,1,373,1209,66,0 14,0,2024-09-07 08:22:40:573,19855,1.1,19888,1.1,39370,1.4,52914,2.25 14,1,2024-09-07 08:22:41:563,116723,116723,0,0,53993097385,562102380,115844,849,30,365,391546,0 14,2,2024-09-07 08:22:40:767,80257,80257,0,0,4324019,0,2793 14,3,2024-09-07 08:22:41:115,1,66,2,0,906,1271,66,0 15,0,2024-09-07 08:22:41:559,15139,2.3,14957,1.6,30035,4.4,40389,3.25 15,1,2024-09-07 08:22:41:610,115593,115593,0,0,53769404660,561948304,114809,749,35,381,391536,0 15,2,2024-09-07 08:22:40:998,85110,85110,0,0,3460340,0,3043 15,3,2024-09-07 08:22:41:405,1,66,1,0,538,1837,66,0 16,0,2024-09-07 08:22:41:034,12459,0.4,12674,0.6,24972,0.2,33496,2.00 16,1,2024-09-07 08:22:40:591,115337,115337,0,0,53719219539,566112629,114211,1100,26,372,391666,0 16,2,2024-09-07 08:22:41:442,83589,83589,0,0,4795707,0,4719 16,3,2024-09-07 08:22:41:147,1,66,1,1,231,1257,66,0 17,0,2024-09-07 08:22:41:891,17292,0.6,16927,0.8,33155,0.5,45307,2.00 17,1,2024-09-07 08:22:40:572,115513,115513,0,0,54049906499,574296121,113642,1349,522,369,391688,0 17,2,2024-09-07 08:22:41:670,83629,83629,0,0,3688462,0,2857 17,3,2024-09-07 08:22:40:573,1,66,1,0,268,1411,66,0 18,0,2024-09-07 08:22:40:969,18554,1.7,18773,1.4,37651,2.0,49868,2.75 18,1,2024-09-07 08:22:41:649,115689,115689,0,0,54157772713,566891114,114440,1031,218,368,391555,0 18,2,2024-09-07 08:22:41:758,81060,81060,0,0,4532187,0,3541 18,3,2024-09-07 08:22:40:898,1,66,1,0,163,931,66,0 19,0,2024-09-07 08:22:41:554,17325,1.8,17389,1.5,34394,2.0,45770,5.25 19,1,2024-09-07 08:22:40:573,116034,116034,0,0,54645207985,575022485,114169,1702,163,367,391696,0 19,2,2024-09-07 08:22:41:759,84779,84779,0,0,4185482,0,3988 19,3,2024-09-07 08:22:41:133,1,66,1,0,524,1066,66,0 20,0,2024-09-07 08:22:41:387,12533,0.3,12531,0.5,24733,0.2,32358,2.00 20,1,2024-09-07 08:22:40:580,115798,115798,0,0,54440194989,574892037,114104,1532,162,370,391598,0 20,2,2024-09-07 08:22:40:951,83607,83607,0,0,4051910,0,2446 20,3,2024-09-07 08:22:40:590,1,66,1,1,99,885,66,0 21,0,2024-09-07 08:22:41:289,14850,0.4,14685,0.6,29214,0.3,38877,2.00 21,1,2024-09-07 08:22:41:580,115161,115161,0,0,53679008520,571028389,112858,1809,494,368,391962,0 21,2,2024-09-07 08:22:41:097,82653,82653,0,0,4306773,0,3747 21,3,2024-09-07 08:22:41:405,1,66,2,0,93,1018,66,0 22,0,2024-09-07 08:22:41:723,19908,2.2,19850,1.5,39641,4.0,52779,3.25 22,1,2024-09-07 08:22:41:037,115425,115425,0,0,53817357882,574302300,112285,2414,726,382,391667,0 22,2,2024-09-07 08:22:40:774,82983,82983,0,0,3819271,0,3134 22,3,2024-09-07 08:22:41:073,1,66,2,0,62,409,66,0 23,0,2024-09-07 08:22:41:393,19201,1.4,19345,1.1,38629,2.1,51538,2.75 23,1,2024-09-07 08:22:41:004,116179,116179,0,0,54154943521,565886638,114832,1093,254,367,391496,0 23,2,2024-09-07 08:22:41:103,83213,83213,0,0,3980374,0,2078 23,3,2024-09-07 08:22:41:755,1,66,1,0,645,1285,66,0 24,0,2024-09-07 08:22:40:836,13400,0.6,13400,0.8,26799,0.7,35776,1.75 24,1,2024-09-07 08:22:40:593,116034,116034,0,0,53975583070,564833546,115226,801,7,369,391640,0 24,2,2024-09-07 08:22:41:088,82861,82861,0,0,5025940,0,2942 24,3,2024-09-07 08:22:41:688,1,66,14,1,234,1062,66,0 25,0,2024-09-07 08:22:41:399,14412,0.3,14145,0.5,27642,0.2,37609,1.50 25,1,2024-09-07 08:22:40:582,115390,115390,0,0,54210062864,574929464,113244,1831,315,371,391788,0 25,2,2024-09-07 08:22:41:627,83277,83277,0,0,4572226,0,3284 25,3,2024-09-07 08:22:41:000,1,66,22,1,158,713,66,0 26,0,2024-09-07 08:22:41:735,19564,0.4,19144,0.6,39912,0.4,53233,1.75 26,1,2024-09-07 08:22:41:552,115629,115629,0,0,53676925141,573354938,112168,2626,835,381,391564,0 26,2,2024-09-07 08:22:40:873,83912,83912,0,0,4686915,0,2809 26,3,2024-09-07 08:22:41:714,1,66,1,0,796,1261,66,0 27,0,2024-09-07 08:22:41:752,19744,1.2,19855,1.0,39636,1.9,53457,2.00 27,1,2024-09-07 08:22:41:685,116367,116367,0,0,54673019147,570545273,115297,775,295,381,391539,0 27,2,2024-09-07 08:22:40:875,80413,80413,0,0,5595093,0,3409 27,3,2024-09-07 08:22:41:025,1,66,1,0,564,1026,66,0 28,0,2024-09-07 08:22:41:415,14141,0.7,14340,0.9,28473,1.0,37914,2.00 28,1,2024-09-07 08:22:40:814,116243,116243,0,0,54757295434,573518479,115185,858,200,383,391646,0 28,2,2024-09-07 08:22:41:782,83832,83832,0,0,3672411,0,2609 28,3,2024-09-07 08:22:41:792,1,66,2,0,502,1160,66,0 29,0,2024-09-07 08:22:41:369,13620,0.3,13221,0.5,26003,0.2,35571,1.75 29,1,2024-09-07 08:22:41:563,116789,116789,0,0,54195966240,562287039,116046,571,172,369,391621,0 29,2,2024-09-07 08:22:40:875,85036,85036,0,0,3212452,0,2026 29,3,2024-09-07 08:22:40:982,1,66,2,0,105,650,66,0 30,0,2024-09-07 08:22:41:472,17867,0.6,17417,0.7,36430,0.5,48030,2.00 30,1,2024-09-07 08:22:40:588,115918,115918,0,0,54591697103,571025827,114943,910,65,382,391524,0 30,2,2024-09-07 08:22:41:288,84073,84073,0,0,3249787,0,2534 30,3,2024-09-07 08:22:40:589,1,66,4,0,195,618,66,0 31,0,2024-09-07 08:22:41:768,19296,1.7,19175,1.3,38850,2.7,51442,3.25 31,1,2024-09-07 08:22:40:564,116535,116535,0,0,55100255785,566521396,116286,247,2,356,391553,0 31,2,2024-09-07 08:22:41:289,80700,80700,0,0,4612388,0,3525 31,3,2024-09-07 08:22:41:706,1,66,1,0,129,543,66,0 32,0,2024-09-07 08:22:41:476,16737,1.6,16836,1.2,33815,3.0,44561,1.75 32,1,2024-09-07 08:22:40:815,115858,115858,0,0,54314908895,567776196,114858,971,29,382,391595,0 32,2,2024-09-07 08:22:40:949,84901,84901,0,0,3933476,0,3155 32,3,2024-09-07 08:22:41:021,1,66,1,0,110,620,66,0 33,0,2024-09-07 08:22:41:525,12330,0.2,12192,0.4,24790,0.2,32167,1.75 33,1,2024-09-07 08:22:40:585,116237,116237,0,0,54914054964,574140984,114576,1538,123,369,391526,0 33,2,2024-09-07 08:22:40:772,83981,83948,33,0,5484275,0,7012 33,3,2024-09-07 08:22:40:912,1,66,1,0,129,492,66,0 34,0,2024-09-07 08:22:40:961,15269,0.2,15745,0.4,30209,0.1,40992,1.50 34,1,2024-09-07 08:22:41:044,116269,116269,0,0,54481487115,563975383,115675,590,4,367,391517,0 34,2,2024-09-07 08:22:40:886,82330,82330,0,0,4485313,0,3255 34,3,2024-09-07 08:22:41:688,1,66,1,0,148,670,66,0 35,0,2024-09-07 08:22:40:890,19177,1.0,19406,0.9,38664,1.3,51185,2.00 35,1,2024-09-07 08:22:41:069,115992,115992,0,0,54610922987,570706502,114527,1104,361,384,391587,0 35,2,2024-09-07 08:22:41:583,82231,82231,0,0,3890408,0,2542 35,3,2024-09-07 08:22:40:917,1,66,1,0,219,1036,66,0 36,0,2024-09-07 08:22:41:531,19024,2.0,18924,1.4,38226,2.9,50382,2.75 36,1,2024-09-07 08:22:40:583,115649,115649,0,0,54285732102,575756343,112638,2080,931,366,391535,0 36,2,2024-09-07 08:22:41:756,83767,83767,0,0,4955402,0,3303 36,3,2024-09-07 08:22:40:870,1,66,2,0,378,1074,66,0 37,0,2024-09-07 08:22:41:401,12294,0.3,12272,0.5,24657,0.2,32781,1.75 37,1,2024-09-07 08:22:40:569,115212,115205,0,7,54273138145,579199324,112366,1322,1517,365,391518,0 37,2,2024-09-07 08:22:41:147,83467,83467,0,0,3655978,0,2333 37,3,2024-09-07 08:22:41:771,1,66,9,0,724,1773,66,0 38,0,2024-09-07 08:22:41:453,14068,0.3,13632,0.5,28408,0.2,37953,1.75 38,1,2024-09-07 08:22:41:605,115888,115888,0,0,54630202267,576217296,113735,1706,447,370,391821,0 38,2,2024-09-07 08:22:40:762,83143,83143,0,0,4436217,0,3245 38,3,2024-09-07 08:22:41:008,1,66,2,0,603,1392,66,0 39,0,2024-09-07 08:22:41:796,21322,1.0,20889,0.9,40509,1.2,55074,2.00 39,1,2024-09-07 08:22:40:721,115913,115913,0,0,53876262128,569695115,113281,1994,638,366,391524,0 39,2,2024-09-07 08:22:41:417,83711,83711,0,0,4066726,0,2381 39,3,2024-09-07 08:22:40:713,1,66,2,0,276,1191,66,0 40,0,2024-09-07 08:22:41:512,19496,2.0,19869,2.2,39312,4.1,53082,3.75 40,1,2024-09-07 08:22:40:589,115448,115448,0,0,53313581501,566663767,112197,2498,753,370,391591,0 40,2,2024-09-07 08:22:41:303,82010,82009,1,0,4981106,0,5137 40,3,2024-09-07 08:22:41:145,1,66,9,1,110,752,66,0 41,0,2024-09-07 08:22:41:067,12633,0.9,12988,5.8,24873,4.2,34557,2.75 41,1,2024-09-07 08:22:40:778,115484,115484,0,0,54149731576,572100759,113175,1996,313,370,391484,0 41,2,2024-09-07 08:22:40:761,83434,83434,0,0,5206707,0,3356 41,3,2024-09-07 08:22:41:690,1,66,7,0,168,760,66,0 42,0,2024-09-07 08:22:41:511,13807,0.4,13941,0.7,27757,0.3,37273,2.00 42,1,2024-09-07 08:22:41:445,115236,115236,0,0,53740224950,572656817,112243,2163,830,381,391511,0 42,2,2024-09-07 08:22:41:135,84279,84279,0,0,4527954,0,3568 42,3,2024-09-07 08:22:41:020,1,66,1,0,100,658,66,0 43,0,2024-09-07 08:22:40:955,18882,1.0,18456,1.0,38290,1.0,50635,2.00 43,1,2024-09-07 08:22:40:575,115575,115575,0,0,53991079290,569233382,113384,1439,752,367,391604,0 43,2,2024-09-07 08:22:41:737,84173,84173,0,0,4689928,0,3812 43,3,2024-09-07 08:22:41:749,1,66,325,0,325,1694,66,0 44,0,2024-09-07 08:22:40:865,19836,1.0,19778,1.2,39520,1.1,53446,2.00 44,1,2024-09-07 08:22:40:564,116058,116058,0,0,53693920611,558007530,115041,910,107,356,391523,0 44,2,2024-09-07 08:22:41:267,80325,80325,0,0,3355956,0,1865 44,3,2024-09-07 08:22:41:104,1,66,1,1,817,1319,66,0 45,0,2024-09-07 08:22:41:791,14925,2.8,14620,1.7,30650,5.5,40706,2.25 45,1,2024-09-07 08:22:41:005,116060,116060,0,0,54657279853,569037907,115594,465,1,382,391917,0 45,2,2024-09-07 08:22:41:267,85164,85164,0,0,4005966,0,2628 45,3,2024-09-07 08:22:40:938,1,66,4,0,226,776,66,0 46,0,2024-09-07 08:22:40:968,12601,0.2,12592,0.4,25290,0.1,33572,1.50 46,1,2024-09-07 08:22:40:580,116149,116149,0,0,54627561353,566961497,115319,794,36,368,391514,0 46,2,2024-09-07 08:22:40:593,84531,84531,0,0,3947669,0,2920 46,3,2024-09-07 08:22:41:137,1,66,2,0,315,1050,66,0 47,0,2024-09-07 08:22:41:129,16799,0.4,17029,0.5,33878,0.3,45139,1.75 47,1,2024-09-07 08:22:40:571,116480,116480,0,0,54177807896,560718014,115972,504,4,366,391605,0 47,2,2024-09-07 08:22:40:914,84018,84018,0,0,3925442,0,2558 47,3,2024-09-07 08:22:41:115,1,66,1,0,529,1074,66,0 48,0,2024-09-07 08:22:41:520,19382,0.4,19114,0.6,37794,0.4,50615,1.75 48,1,2024-09-07 08:22:41:031,116118,116118,0,0,54473006180,569788345,114922,1191,5,386,391637,0 48,2,2024-09-07 08:22:40:704,81703,81703,0,0,3230305,0,2083 48,3,2024-09-07 08:22:40:753,1,66,1,0,30,596,66,0 49,0,2024-09-07 08:22:41:766,18076,1.5,17612,1.2,34537,3.0,47187,2.00 49,1,2024-09-07 08:22:41:026,115586,115586,0,0,53741322888,566364345,113849,1028,709,382,391583,0 49,2,2024-09-07 08:22:41:797,84673,84673,0,0,4371085,0,3900 49,3,2024-09-07 08:22:41:422,1,66,1,0,274,805,66,0 50,0,2024-09-07 08:22:41:517,12566,0.2,12278,0.4,24922,0.1,32677,1.50 50,1,2024-09-07 08:22:41:014,116637,116637,0,0,54465063008,569431282,114971,1369,297,368,391530,0 50,2,2024-09-07 08:22:41:077,83963,83963,0,0,3602440,0,2253 50,3,2024-09-07 08:22:41:291,1,66,1,0,335,872,66,0 51,0,2024-09-07 08:22:41:705,14953,0.2,14597,0.4,28584,0.1,39117,1.50 51,1,2024-09-07 08:22:41:689,115900,115900,0,0,54896035471,574834644,114101,1016,783,367,391637,0 51,2,2024-09-07 08:22:41:317,82366,82366,0,0,3097645,0,2448 51,3,2024-09-07 08:22:41:028,1,66,1,0,162,478,66,0 52,0,2024-09-07 08:22:41:425,20141,0.9,20330,1.0,40603,1.0,53297,2.25 52,1,2024-09-07 08:22:40:580,115566,115566,0,0,53543509922,567748867,113057,2045,464,368,391529,0 52,2,2024-09-07 08:22:41:755,82903,82903,0,0,4309520,0,4779 52,3,2024-09-07 08:22:40:678,1,66,1,0,1782,2410,66,0 53,0,2024-09-07 08:22:41:803,19136,2.3,18410,1.6,38220,3.3,50868,3.00 53,1,2024-09-07 08:22:40:775,115410,115410,0,0,54480493529,577211316,112789,2047,574,370,391617,0 53,2,2024-09-07 08:22:41:303,83975,83975,0,0,3853151,0,1902 53,3,2024-09-07 08:22:40:703,1,66,10,0,59,464,66,0 54,0,2024-09-07 08:22:41:624,12456,9.9,12620,3.9,25004,1.8,34836,5.00 54,1,2024-09-07 08:22:40:598,115573,115573,0,0,54616729382,574301600,113465,1792,316,367,391520,0 54,2,2024-09-07 08:22:40:873,83916,83910,6,0,4701262,0,5382 54,3,2024-09-07 08:22:40:772,1,66,27,0,676,1692,66,0 55,0,2024-09-07 08:22:41:792,13796,0.4,14093,0.6,28784,0.3,37236,2.25 55,1,2024-09-07 08:22:40:770,115255,115255,0,0,53649924324,569101631,111775,2778,702,367,391731,0 55,2,2024-09-07 08:22:40:729,83370,83370,0,0,4641692,0,3275 55,3,2024-09-07 08:22:40:679,1,66,2,0,136,793,66,0 56,0,2024-09-07 08:22:41:629,20150,1.7,19025,1.3,39152,2.3,52668,2.50 56,1,2024-09-07 08:22:40:604,115143,115143,0,0,54066379024,580113807,111754,2642,747,383,391678,0 56,2,2024-09-07 08:22:41:307,84457,84457,0,0,4879781,0,3567 56,3,2024-09-07 08:22:41:059,1,66,5,0,297,949,66,0 57,0,2024-09-07 08:22:41:008,19361,3.6,19132,2.0,38474,5.9,52128,4.50 57,1,2024-09-07 08:22:41:002,115124,115124,0,0,53329034715,561738526,113649,1455,20,368,391760,0 57,2,2024-09-07 08:22:41:329,81588,81588,0,0,4825120,0,3178 57,3,2024-09-07 08:22:41:738,1,66,2,1,359,1524,66,0 58,0,2024-09-07 08:22:40:577,13410,0.8,13084,1.1,27384,0.7,35947,2.75 58,1,2024-09-07 08:22:40:581,116445,116443,0,2,54592371001,571724786,114847,1437,159,369,391516,2 58,2,2024-09-07 08:22:41:079,83765,83765,0,0,4628668,0,2549 58,3,2024-09-07 08:22:41:078,1,66,1,1,219,838,66,0 59,0,2024-09-07 08:22:41:762,13377,0.6,13220,0.8,26673,0.5,35184,2.25 59,1,2024-09-07 08:22:40:812,115232,115232,0,0,53760435724,569578314,112986,1479,767,369,391515,0 59,2,2024-09-07 08:22:40:598,84622,84622,0,0,4071316,0,2604 59,3,2024-09-07 08:22:41:737,1,66,1,0,1015,1664,66,0 60,0,2024-09-07 08:22:41:742,18083,0.5,18161,0.7,35957,0.6,48242,1.75 60,1,2024-09-07 08:22:40:804,116707,116707,0,0,54403574796,568243747,115631,730,346,370,391758,0 60,2,2024-09-07 08:22:41:143,83680,83680,0,0,3727911,0,2142 60,3,2024-09-07 08:22:41:258,1,66,2,0,124,889,66,0 61,0,2024-09-07 08:22:41:537,19213,3.0,19174,1.7,38361,4.5,51582,2.50 61,1,2024-09-07 08:22:40:775,115660,115660,0,0,54440043369,577758783,113106,2019,535,383,391589,0 61,2,2024-09-07 08:22:41:119,81177,81177,0,0,3758100,0,1846 61,3,2024-09-07 08:22:41:692,1,66,2,0,199,1071,66,0 62,0,2024-09-07 08:22:41:721,16817,1.7,17201,1.3,32905,3.5,44461,2.25 62,1,2024-09-07 08:22:41:135,116462,116456,0,6,54793141345,569820229,115205,1214,37,365,391586,6 62,2,2024-09-07 08:22:41:644,84474,84474,0,0,4338435,0,2931 62,3,2024-09-07 08:22:41:150,1,66,1,0,287,656,66,0 63,0,2024-09-07 08:22:41:462,12237,0.4,12189,0.6,24591,0.2,32242,1.75 63,1,2024-09-07 08:22:40:810,116806,116802,0,4,54075799489,560867035,116401,400,1,381,391540,4 63,2,2024-09-07 08:22:40:764,83978,83978,0,0,3856992,0,2674 63,3,2024-09-07 08:22:41:737,1,66,3,0,667,1798,66,0 64,0,2024-09-07 08:22:41:536,15150,0.4,15149,0.6,30373,0.3,41169,1.75 64,1,2024-09-07 08:22:40:753,116049,116049,0,0,53957126945,572572732,113612,1644,793,371,391783,0 64,2,2024-09-07 08:22:41:150,82965,82946,19,0,4385309,0,6121 64,3,2024-09-07 08:22:41:146,1,66,4,1,265,990,66,0 65,0,2024-09-07 08:22:41:711,18785,2.5,19170,1.6,38167,3.4,50688,3.75 65,1,2024-09-07 08:22:40:883,115641,115641,0,0,53680600144,566781157,113934,1488,219,382,391569,0 65,2,2024-09-07 08:22:41:715,82602,82602,0,0,4475081,0,3367 65,3,2024-09-07 08:22:41:705,1,66,1,0,163,820,66,0 66,0,2024-09-07 08:22:41:797,18489,0.9,18754,1.1,37497,1.0,49732,2.75 66,1,2024-09-07 08:22:41:302,116731,116731,0,0,54431862050,566727982,116040,670,21,380,391588,0 66,2,2024-09-07 08:22:41:149,84705,84705,0,0,4135043,0,3867 66,3,2024-09-07 08:22:41:087,1,66,2,1,291,923,66,0 67,0,2024-09-07 08:22:41:420,12397,0.5,12459,0.7,24585,0.4,32663,2.25 67,1,2024-09-07 08:22:40:773,115400,115399,0,1,53981975231,570890467,113380,1494,525,382,391787,1 67,2,2024-09-07 08:22:40:596,84107,84107,0,0,3805807,0,2889 67,3,2024-09-07 08:22:41:754,1,66,2,0,138,640,66,0 68,0,2024-09-07 08:22:40:586,14049,0.5,14043,0.8,28045,0.4,37707,2.00 68,1,2024-09-07 08:22:40:572,115187,115187,0,0,53341100092,563454833,113774,891,522,382,391953,0 68,2,2024-09-07 08:22:41:050,83064,83064,0,0,3954739,0,4883 68,3,2024-09-07 08:22:40:728,1,66,1,1,63,704,66,0 69,0,2024-09-07 08:22:41:751,20711,1.6,20704,1.2,41216,2.0,55013,2.75 69,1,2024-09-07 08:22:41:029,115140,115140,0,0,54303580082,579711165,113148,1127,865,385,391532,0 69,2,2024-09-07 08:22:41:743,83969,83969,0,0,4487242,0,3153 69,3,2024-09-07 08:22:40:760,1,66,3,0,238,1124,66,0 70,0,2024-09-07 08:22:41:537,19592,3.5,19573,2.6,39511,2.7,52772,4.75 70,1,2024-09-07 08:22:40:807,116155,116155,0,0,55097114378,573404217,115241,779,135,369,391527,0 70,2,2024-09-07 08:22:41:331,82232,82232,0,0,4426470,0,4044 70,3,2024-09-07 08:22:40:750,1,66,2,0,178,480,66,0 71,0,2024-09-07 08:22:41:371,12801,1.9,12770,3.9,25121,2.9,34780,4.25 71,1,2024-09-07 08:22:41:597,115693,115693,0,0,54920162127,578289306,113491,1886,316,368,391682,0 71,2,2024-09-07 08:22:41:068,83361,83361,0,0,4158663,0,2146 71,3,2024-09-07 08:22:41:753,1,66,1,0,174,592,66,0 72,0,2024-09-07 08:22:41:100,14244,0.4,13835,0.6,27500,0.3,37335,2.50 72,1,2024-09-07 08:22:41:029,116379,116379,0,0,54074529833,567068525,114833,1468,78,370,391556,0 72,2,2024-09-07 08:22:41:771,83775,83775,0,0,5292443,0,2570 72,3,2024-09-07 08:22:41:759,1,66,1,0,325,1290,66,0 73,0,2024-09-07 08:22:41:176,18464,0.5,19009,0.7,38602,0.5,50340,2.25 73,1,2024-09-07 08:22:40:772,115876,115876,0,0,54321698864,567866397,114913,919,44,368,391627,0 73,2,2024-09-07 08:22:41:754,84731,84731,0,0,4778835,0,3482 73,3,2024-09-07 08:22:40:983,1,66,58,0,274,1292,66,0 74,0,2024-09-07 08:22:41:331,19719,1.9,20141,1.4,38792,3.0,52989,3.50 74,1,2024-09-07 08:22:40:634,115731,115731,0,0,53722124677,565605352,113834,1325,572,382,391497,0 74,2,2024-09-07 08:22:41:003,80230,80230,0,0,4993411,0,4253 74,3,2024-09-07 08:22:41:451,1,66,3,0,246,1346,66,0 75,0,2024-09-07 08:22:41:771,15101,2.8,15156,1.7,30254,4.9,40724,3.25 75,1,2024-09-07 08:22:41:594,116083,116083,0,0,54397763724,572818186,114398,1581,104,382,391514,0 75,2,2024-09-07 08:22:41:353,84069,84069,0,0,4897003,0,4766 75,3,2024-09-07 08:22:41:067,1,66,6,0,143,427,66,0 76,0,2024-09-07 08:22:40:674,12562,0.3,12481,0.6,25047,0.2,33419,2.00 76,1,2024-09-07 08:22:40:827,115500,115500,0,0,53746101254,567963625,113690,1304,506,382,391530,0 76,2,2024-09-07 08:22:41:065,84769,84769,0,0,3930821,0,3064 76,3,2024-09-07 08:22:41:143,1,66,2,0,175,985,66,0 77,0,2024-09-07 08:22:41:718,16737,0.7,16873,0.8,33780,0.6,45104,2.00 77,1,2024-09-07 08:22:40:849,115753,115753,0,0,54185521612,567871208,114719,965,69,383,391516,0 77,2,2024-09-07 08:22:41:297,83585,83585,0,0,3358981,0,1695 77,3,2024-09-07 08:22:41:098,1,66,0,0,139,676,66,0 78,0,2024-09-07 08:22:41:722,19022,1.4,18896,1.2,37964,2.1,50316,2.50 78,1,2024-09-07 08:22:40:612,116342,116342,0,0,53380901901,559719820,114925,1213,204,367,391589,0 78,2,2024-09-07 08:22:41:405,81600,81600,0,0,3377055,0,2114 78,3,2024-09-07 08:22:41:141,1,66,1,0,181,1007,66,0 79,0,2024-09-07 08:22:41:350,16956,1.0,17360,1.2,35493,1.2,46190,3.25 79,1,2024-09-07 08:22:40:575,115983,115983,0,0,54318710020,567576264,114646,1268,69,370,391609,0 79,2,2024-09-07 08:22:41:074,84444,84444,0,0,3879663,0,2679 79,3,2024-09-07 08:22:40:754,1,66,3,0,289,839,66,0 80,0,2024-09-07 08:22:41:098,12397,0.4,12704,0.6,24643,0.3,32573,2.00 80,1,2024-09-07 08:22:41:622,115454,115454,0,0,54207124224,568130083,113866,1473,115,369,391673,0 80,2,2024-09-07 08:22:41:095,83750,83750,0,0,4211147,0,4433 80,3,2024-09-07 08:22:40:581,1,66,1,1,190,1212,66,0 81,0,2024-09-07 08:22:41:615,14718,0.4,15048,0.7,28748,0.4,39131,2.00 81,1,2024-09-07 08:22:41:666,115583,115583,0,0,53972019934,570063333,113697,1688,198,383,391680,0 81,2,2024-09-07 08:22:41:136,82284,82284,0,0,4462547,0,3993 81,3,2024-09-07 08:22:41:125,1,66,9,0,193,783,66,0 82,0,2024-09-07 08:22:41:548,20044,1.1,20091,1.1,40170,1.4,53335,2.25 82,1,2024-09-07 08:22:40:595,115610,115608,0,2,54198931385,570819587,113573,1463,572,383,391558,2 82,2,2024-09-07 08:22:41:700,84099,84099,0,0,3435641,0,2230 82,3,2024-09-07 08:22:41:773,1,66,4,0,227,1304,66,0 83,0,2024-09-07 08:22:41:533,19166,1.7,19096,1.4,37902,2.0,50619,3.25 83,1,2024-09-07 08:22:40:556,115778,115778,0,0,53916580552,567312621,114361,1317,100,383,391553,0 83,2,2024-09-07 08:22:40:779,82758,82758,0,0,4429164,0,3119 83,3,2024-09-07 08:22:40:756,1,66,1,0,91,594,66,0 84,0,2024-09-07 08:22:41:823,12876,5.0,12749,4.4,25543,1.1,35306,3.00 84,1,2024-09-07 08:22:41:067,115604,115604,0,0,54239828773,572534890,113995,1362,247,369,391638,0 84,2,2024-09-07 08:22:40:580,83196,83196,0,0,4403314,0,3801 84,3,2024-09-07 08:22:41:149,1,66,58,1,58,729,66,0 85,0,2024-09-07 08:22:41:075,13684,0.4,13737,0.6,28893,0.3,37412,1.75 85,1,2024-09-07 08:22:40:572,115311,115311,0,0,54241857631,578126324,112862,2021,428,384,391652,0 85,2,2024-09-07 08:22:40:886,83210,83210,0,0,4789803,0,3656 85,3,2024-09-07 08:22:40:692,1,66,4,0,115,749,66,0 86,0,2024-09-07 08:22:41:015,19513,0.8,20282,0.8,39143,1.0,53017,2.00 86,1,2024-09-07 08:22:40:831,115268,115268,0,0,54250991731,571496689,113478,1574,216,367,391622,0 86,2,2024-09-07 08:22:40:879,83575,83574,1,0,5004945,0,5004 86,3,2024-09-07 08:22:40:591,1,66,6,0,199,860,66,0 87,0,2024-09-07 08:22:41:315,19630,3.1,19560,1.8,39368,4.1,53236,3.25 87,1,2024-09-07 08:22:40:575,115415,115415,0,0,53948196525,568909805,113841,1453,121,368,391671,0 87,2,2024-09-07 08:22:41:082,81465,81465,0,0,3732478,0,2859 87,3,2024-09-07 08:22:41:794,1,66,2,1,322,1140,66,0 88,0,2024-09-07 08:22:41:472,14110,0.9,14323,1.0,28431,0.9,37877,2.00 88,1,2024-09-07 08:22:40:575,115120,115120,0,0,54054774511,568288559,113913,1111,96,367,391747,0 88,2,2024-09-07 08:22:40:697,84085,84085,0,0,4965760,0,3583 88,3,2024-09-07 08:22:41:270,1,66,1,1,77,816,66,0 89,0,2024-09-07 08:22:41:862,13758,0.3,13315,0.5,26289,0.2,35591,1.75 89,1,2024-09-07 08:22:40:551,114838,114838,0,0,54205242319,581473033,111618,2485,735,383,391866,0 89,2,2024-09-07 08:22:41:144,84175,84175,0,0,4622740,0,2726 89,3,2024-09-07 08:22:41:793,1,66,14,0,325,1797,66,0 90,0,2024-09-07 08:22:41:650,17509,0.5,17809,0.6,36647,0.5,48023,1.75 90,1,2024-09-07 08:22:40:593,115435,115435,0,0,53805914721,571376442,113389,1906,140,382,391825,0 90,2,2024-09-07 08:22:41:409,82762,82762,0,0,5278130,0,2635 90,3,2024-09-07 08:22:40:931,1,66,10,1,200,918,66,0 91,0,2024-09-07 08:22:41:000,19738,0.7,19016,0.8,39557,0.8,51940,2.00 91,1,2024-09-07 08:22:40:593,115536,115536,0,0,54159286701,575049572,113176,1998,362,384,391914,0 91,2,2024-09-07 08:22:41:333,80279,80279,0,0,4224769,0,1997 91,3,2024-09-07 08:22:40:631,1,66,97,1,155,967,66,0 92,0,2024-09-07 08:22:41:521,16845,1.3,17280,1.1,33141,2.0,44562,2.00 92,1,2024-09-07 08:22:40:581,115901,115901,0,0,54476504020,570161178,115249,585,67,382,391717,0 92,2,2024-09-07 08:22:41:354,85682,85682,0,0,4157932,0,2279 92,3,2024-09-07 08:22:41:020,1,66,1,1,68,757,66,0 93,0,2024-09-07 08:22:40:982,12325,0.3,12550,0.5,23879,0.2,32197,1.75 93,1,2024-09-07 08:22:40:812,115954,115954,0,0,54423484455,573467291,114195,1323,436,367,391689,0 93,2,2024-09-07 08:22:40:941,84315,84315,0,0,3817519,0,2509 93,3,2024-09-07 08:22:41:415,1,66,3,1,143,663,66,0 94,0,2024-09-07 08:22:41:617,15240,0.3,15535,0.5,30389,0.2,41128,1.50 94,1,2024-09-07 08:22:40:599,115347,115347,0,0,54365623625,574359552,113768,1514,65,381,391850,0 94,2,2024-09-07 08:22:40:777,82299,82299,0,0,3839662,0,2443 94,3,2024-09-07 08:22:41:697,1,66,5,1,231,1241,66,0 95,0,2024-09-07 08:22:41:385,19352,0.5,19161,0.7,39009,0.5,51411,1.75 95,1,2024-09-07 08:22:40:878,116098,116098,0,0,54219117423,566444925,115301,733,64,367,391590,0 95,2,2024-09-07 08:22:41:026,82529,82529,0,0,4059525,0,3308 95,3,2024-09-07 08:22:41:714,1,66,1,0,307,1247,66,0 96,0,2024-09-07 08:22:41:044,19181,1.0,19033,1.0,38137,1.8,50262,1.75 96,1,2024-09-07 08:22:41:586,115860,115860,0,0,53822340618,569932608,114022,1267,571,385,391596,0 96,2,2024-09-07 08:22:41:267,84218,84218,0,0,4648734,0,4038 96,3,2024-09-07 08:22:41:142,1,66,1,1,36,676,66,0 97,0,2024-09-07 08:22:41:388,12336,0.4,12316,0.6,24685,0.3,32718,1.75 97,1,2024-09-07 08:22:40:770,115731,115731,0,0,54399738206,573018734,113544,1670,517,367,392140,0 97,2,2024-09-07 08:22:40:621,83287,83287,0,0,3863935,0,3036 97,3,2024-09-07 08:22:40:572,1,66,8,1,165,960,66,0 98,0,2024-09-07 08:22:41:732,14071,0.2,13949,0.4,28369,0.2,37966,1.50 98,1,2024-09-07 08:22:40:577,115769,115769,0,0,54416252282,571359188,114699,1025,45,382,391588,0 98,2,2024-09-07 08:22:40:774,83840,83840,0,0,4112704,0,3080 98,3,2024-09-07 08:22:40:712,1,66,1,1,155,976,66,0 99,0,2024-09-07 08:22:41:472,20793,0.4,20760,0.5,41434,0.4,55160,1.75 99,1,2024-09-07 08:22:41:732,116091,116091,0,0,54052124365,568301353,114613,1038,440,381,391744,0 99,2,2024-09-07 08:22:41:420,84759,84759,0,0,4106471,0,1858 99,3,2024-09-07 08:22:40:587,1,66,1,0,129,684,66,0 100,0,2024-09-07 08:22:41:642,19970,2.2,19742,2.6,39524,5.1,53675,2.75 100,1,2024-09-07 08:22:40:553,114979,114979,0,0,53456516675,573050033,112235,2399,345,381,391989,0 100,2,2024-09-07 08:22:41:848,81674,81663,11,0,5228610,0,5417 100,3,2024-09-07 08:22:41:739,1,66,6,1,443,1802,66,0 101,0,2024-09-07 08:22:41:728,13321,4.7,12895,2.5,25531,2.1,35798,3.00 101,1,2024-09-07 08:22:40:562,115424,115424,0,0,53911686529,569826668,113954,1021,449,369,391709,0 101,2,2024-09-07 08:22:41:756,83588,83588,0,0,4590073,0,4644 101,3,2024-09-07 08:22:40:949,1,66,3,0,448,967,66,0 102,0,2024-09-07 08:22:41:117,13546,0.4,14001,0.6,28171,0.3,37223,1.75 102,1,2024-09-07 08:22:41:187,115410,115410,0,0,53750435974,572187772,112949,1993,468,369,391831,0 102,2,2024-09-07 08:22:41:745,84508,84508,0,0,3820142,0,1945 102,3,2024-09-07 08:22:41:618,1,66,4,0,410,812,66,0 103,0,2024-09-07 08:22:41:608,19346,0.6,19374,0.8,36663,0.6,50746,2.00 103,1,2024-09-07 08:22:41:630,115477,115477,0,0,54380384790,573026542,114257,1076,144,381,391680,0 103,2,2024-09-07 08:22:40:597,83893,83893,0,0,4113881,0,2104 103,3,2024-09-07 08:22:40:791,1,66,1,1,486,1071,66,0 104,0,2024-09-07 08:22:41:214,19718,2.4,19781,1.6,38383,3.1,53136,2.75 104,1,2024-09-07 08:22:41:636,116173,116173,0,0,53763528275,569731795,114253,1594,326,368,391948,0 104,2,2024-09-07 08:22:41:666,79479,79479,0,0,4653215,0,3941 104,3,2024-09-07 08:22:41:416,1,66,5,1,1245,3769,66,0 105,0,2024-09-07 08:22:41:095,15019,1.9,14486,1.8,30097,2.7,40501,3.75 105,1,2024-09-07 08:22:40:556,115465,115465,0,0,53651899993,571506383,112517,2202,746,367,391797,0 105,2,2024-09-07 08:22:41:336,84461,84461,0,0,4450658,0,3314 105,3,2024-09-07 08:22:41:312,1,66,2,1,399,1578,66,0 106,0,2024-09-07 08:22:40:978,12175,0.3,12500,0.6,25431,0.2,33453,1.75 106,1,2024-09-07 08:22:41:779,115804,115804,0,0,53777888064,570275514,113404,2073,327,370,391767,0 106,2,2024-09-07 08:22:40:766,83732,83732,0,0,4474848,0,2795 106,3,2024-09-07 08:22:40:678,1,66,1,1,201,860,66,0 107,0,2024-09-07 08:22:41:132,16935,0.6,16814,0.7,33520,0.5,45193,1.75 107,1,2024-09-07 08:22:40:590,115148,115148,0,0,54040103970,573367580,113358,1619,171,382,392234,0 107,2,2024-09-07 08:22:41:293,82910,82909,1,0,4309870,0,5024 107,3,2024-09-07 08:22:41:759,1,66,5,0,353,1279,66,0 108,0,2024-09-07 08:22:41:969,18980,0.7,19232,0.8,38163,0.8,50472,1.75 108,1,2024-09-07 08:22:41:303,115657,115657,0,0,54654741759,575218870,114223,1300,134,371,391857,0 108,2,2024-09-07 08:22:41:782,81170,81170,0,0,4105748,0,2647 108,3,2024-09-07 08:22:41:338,1,66,4,1,73,909,66,0 109,0,2024-09-07 08:22:41:762,17750,0.9,17916,0.9,35173,1.1,47397,2.00 109,1,2024-09-07 08:22:40:596,115068,115068,0,0,54707946253,579438100,113455,1230,383,383,391664,0 109,2,2024-09-07 08:22:40:949,83619,83619,0,0,4505376,0,3617 109,3,2024-09-07 08:22:41:144,1,66,7,1,249,1214,66,0 110,0,2024-09-07 08:22:41:816,12496,0.2,12147,0.5,25308,0.2,32535,1.50 110,1,2024-09-07 08:22:41:661,115960,115960,0,0,54259911467,567858350,114642,1033,285,370,391588,0 110,2,2024-09-07 08:22:41:312,84396,84396,0,0,3792726,0,2915 110,3,2024-09-07 08:22:40:692,1,66,1,0,183,690,66,0 111,0,2024-09-07 08:22:41:422,14535,0.2,14438,0.4,28865,0.1,39009,1.50 111,1,2024-09-07 08:22:41:003,116212,116212,0,0,54885031805,569915610,115568,631,13,382,391690,0 111,2,2024-09-07 08:22:41:116,82954,82954,0,0,3927726,0,2763 111,3,2024-09-07 08:22:40:917,1,66,1,0,300,1363,66,0 112,0,2024-09-07 08:22:40:956,20311,0.5,20414,0.6,40413,0.4,53664,1.75 112,1,2024-09-07 08:22:40:839,115481,115481,0,0,54273305785,569988255,113973,1162,346,381,391580,0 112,2,2024-09-07 08:22:41:139,83437,83436,1,0,4382111,0,5036 112,3,2024-09-07 08:22:40:593,1,66,1,0,282,830,66,0 113,0,2024-09-07 08:22:40:887,19279,0.6,19149,0.8,38918,0.6,51725,1.75 113,1,2024-09-07 08:22:41:689,116026,116026,0,0,54445259971,570045789,114138,1504,384,368,391661,0 113,2,2024-09-07 08:22:41:305,83306,83306,0,0,3612372,0,3021 113,3,2024-09-07 08:22:40:690,1,66,1,0,288,1113,66,0 114,0,2024-09-07 08:22:40:898,13206,3.8,13361,2.3,26231,1.2,35817,2.25 114,1,2024-09-07 08:22:40:722,115689,115689,0,0,54305877279,575569097,113082,1726,881,381,391519,0 114,2,2024-09-07 08:22:40:875,83241,83241,0,0,4205781,0,3925 114,3,2024-09-07 08:22:41:278,1,66,0,0,159,740,66,0 115,0,2024-09-07 08:22:40:562,14034,0.2,14136,0.4,28238,0.1,37538,1.50 115,1,2024-09-07 08:22:40:573,115742,115742,0,0,54171038226,571476802,113369,1886,487,383,391510,0 115,2,2024-09-07 08:22:41:134,84179,84179,0,0,3572137,0,2152 115,3,2024-09-07 08:22:41:002,1,66,2,0,159,464,66,0 116,0,2024-09-07 08:22:41:825,19722,0.8,19482,0.9,39216,0.9,53171,2.00 116,1,2024-09-07 08:22:40:826,114939,114939,0,0,54265521331,578763429,113063,1005,871,382,391605,0 116,2,2024-09-07 08:22:41:762,83894,83894,0,0,4677629,0,3529 116,3,2024-09-07 08:22:40:920,1,66,2,1,252,1253,66,0 117,0,2024-09-07 08:22:40:988,19742,2.6,19794,1.6,39423,3.8,53329,2.25 117,1,2024-09-07 08:22:41:615,115889,115889,0,0,53776918817,571400298,113578,2018,293,371,392033,0 117,2,2024-09-07 08:22:41:128,81690,81690,0,0,4068188,0,3700 117,3,2024-09-07 08:22:41:065,1,66,4,0,490,1791,66,0 118,0,2024-09-07 08:22:41:796,13782,0.9,14088,1.0,28954,1.1,37749,2.00 118,1,2024-09-07 08:22:40:590,115981,115981,0,0,53768658703,567111177,114745,1158,78,368,391736,0 118,2,2024-09-07 08:22:41:603,84182,84182,0,0,4504621,0,2781 118,3,2024-09-07 08:22:41:775,1,66,2,1,235,1136,66,0 119,0,2024-09-07 08:22:41:369,13034,0.3,13117,0.5,26910,0.2,35379,1.75 119,1,2024-09-07 08:22:40:568,115623,115623,0,0,53805649654,567582378,114016,1458,149,370,391617,0 119,2,2024-09-07 08:22:41:269,84067,84067,0,0,4240558,0,2532 119,3,2024-09-07 08:22:41:329,1,66,3,1,443,2097,66,0 120,0,2024-09-07 08:22:41:583,17783,0.7,17825,0.9,35552,0.6,48011,2.25 120,1,2024-09-07 08:22:41:059,115359,115359,0,0,53865281026,569594568,113851,1493,15,368,391702,0 120,2,2024-09-07 08:22:40:778,83062,83061,1,0,5177531,0,5281 120,3,2024-09-07 08:22:41:290,1,66,20,1,241,1108,66,0 121,0,2024-09-07 08:22:41:769,19167,2.7,19309,1.7,38697,3.9,51928,2.50 121,1,2024-09-07 08:22:41:658,115779,115779,0,0,54074109351,571117940,113908,1602,269,368,391807,0 121,2,2024-09-07 08:22:41:135,79719,79719,0,0,5391298,0,4127 121,3,2024-09-07 08:22:40:734,1,66,8,0,101,725,66,0 122,0,2024-09-07 08:22:42:022,16668,2.5,16080,2.2,33447,2.8,44737,2.50 122,1,2024-09-07 08:22:40:875,114671,114671,0,0,53724316028,573297425,111668,2479,524,368,392130,0 122,2,2024-09-07 08:22:41:348,84698,84698,0,0,5576235,0,3364 122,3,2024-09-07 08:22:40:594,1,66,2,1,298,1750,66,0 123,0,2024-09-07 08:22:40:990,12287,0.3,11921,0.5,24837,0.2,32168,1.75 123,1,2024-09-07 08:22:40:580,115771,115771,0,0,54398308002,581293848,112351,2852,568,369,391823,0 123,2,2024-09-07 08:22:41:023,83997,83996,1,0,4517832,0,5215 123,3,2024-09-07 08:22:41:137,1,66,1,1,160,895,66,0 124,0,2024-09-07 08:22:40:973,15649,0.3,15564,0.5,29610,0.2,41202,1.50 124,1,2024-09-07 08:22:41:026,116243,116243,0,0,53771831155,560462076,115446,653,144,367,392178,0 124,2,2024-09-07 08:22:41:010,82235,82235,0,0,3557483,0,2477 124,3,2024-09-07 08:22:40:766,1,66,3,1,490,1542,66,0 125,0,2024-09-07 08:22:41:461,19489,0.7,19506,0.8,39081,0.8,51366,2.00 125,1,2024-09-07 08:22:40:895,115818,115818,0,0,54359715399,572747694,114087,1459,272,384,391702,0 125,2,2024-09-07 08:22:41:116,82499,82499,0,0,3905958,0,2180 125,3,2024-09-07 08:22:41:139,1,66,1,1,93,954,66,0 126,0,2024-09-07 08:22:41:476,19169,1.3,19625,1.1,37565,2.2,50601,2.00 126,1,2024-09-07 08:22:40:570,116319,116319,0,0,54439803640,568175402,115273,993,53,365,391719,0 126,2,2024-09-07 08:22:40:647,84245,84245,0,0,4217971,0,3186 126,3,2024-09-07 08:22:40:919,1,66,2,0,122,890,66,0 127,0,2024-09-07 08:22:41:673,12422,0.2,12347,0.4,24733,0.2,32665,1.50 127,1,2024-09-07 08:22:40:594,115872,115872,0,0,54024108789,563271862,114737,1112,23,365,391614,0 127,2,2024-09-07 08:22:40:665,83755,83755,0,0,3440507,0,1803 127,3,2024-09-07 08:22:41:278,1,66,5,0,99,588,66,0 128,0,2024-09-07 08:22:41:537,14107,0.2,14253,0.4,27892,0.2,37828,1.50 128,1,2024-09-07 08:22:41:632,115881,115881,0,0,54326735632,565832684,115165,646,70,369,391605,0 128,2,2024-09-07 08:22:41:383,82775,82775,0,0,4307549,0,2107 128,3,2024-09-07 08:22:40:771,1,66,4,1,112,840,66,0 129,0,2024-09-07 08:22:41:050,20844,0.4,20840,0.6,41499,0.5,55059,1.75 129,1,2024-09-07 08:22:40:575,115660,115660,0,0,54604776897,576405313,113841,1545,274,379,391835,0 129,2,2024-09-07 08:22:40:698,83377,83377,0,0,3739159,0,2446 129,3,2024-09-07 08:22:40:691,1,66,3,1,173,1095,66,0 130,0,2024-09-07 08:22:41:798,20172,1.5,20050,1.1,40163,2.2,54127,2.25 130,1,2024-09-07 08:22:40:629,115843,115843,0,0,53796857678,565604131,114535,1276,32,381,391609,0 130,2,2024-09-07 08:22:41:137,82337,82337,0,0,4374648,0,4067 130,3,2024-09-07 08:22:41:296,1,66,1,1,207,619,66,0 131,0,2024-09-07 08:22:42:015,13304,1.2,13139,1.1,26744,1.7,35694,2.00 131,1,2024-09-07 08:22:41:845,116433,116433,0,0,54168658563,567804577,115417,830,186,384,391865,0 131,2,2024-09-07 08:22:40:572,83372,83372,0,0,3607364,0,2415 131,3,2024-09-07 08:22:41:700,1,66,31,0,392,1082,66,0 132,0,2024-09-07 08:22:41:449,13770,0.4,13947,0.6,27769,0.3,37278,1.75 132,1,2024-09-07 08:22:40:578,114803,114803,0,0,53906736155,574651761,112220,1878,705,382,391574,0 132,2,2024-09-07 08:22:40:703,83740,83740,0,0,5931717,0,4606 132,3,2024-09-07 08:22:41:697,1,66,1,1,356,999,66,0 133,0,2024-09-07 08:22:41:544,18483,0.5,18751,0.7,38728,0.5,50149,1.75 133,1,2024-09-07 08:22:40:597,114482,114482,0,0,53925996924,574468359,112275,2112,95,383,391755,0 133,2,2024-09-07 08:22:41:089,84168,84168,0,0,5053747,0,2444 133,3,2024-09-07 08:22:41:305,1,66,4,1,187,593,66,0 134,0,2024-09-07 08:22:40:998,19882,1.2,19853,1.2,39655,1.6,53166,2.25 134,1,2024-09-07 08:22:40:598,115571,115571,0,0,53735141520,571200574,112939,1651,981,366,391718,0 134,2,2024-09-07 08:22:41:778,80219,80219,0,0,3732477,0,2026 134,3,2024-09-07 08:22:40:751,1,66,4,1,739,1892,66,0 135,0,2024-09-07 08:22:41:120,14773,1.9,14761,1.7,31216,2.2,40374,2.50 135,1,2024-09-07 08:22:41:594,115225,115225,0,0,54426383837,575700374,113280,1618,327,383,391703,0 135,2,2024-09-07 08:22:40:693,84947,84947,0,0,4713043,0,3981 135,3,2024-09-07 08:22:41:006,1,66,2,0,68,459,66,0 136,0,2024-09-07 08:22:41:620,12661,0.4,12657,0.7,25131,0.3,33691,2.00 136,1,2024-09-07 08:22:41:454,115746,115746,0,0,53977041233,570611725,113845,1754,147,384,391621,0 136,2,2024-09-07 08:22:41:135,85028,85028,0,0,4053071,0,2379 136,3,2024-09-07 08:22:41:115,1,66,1,1,108,817,66,0 137,0,2024-09-07 08:22:41:036,17342,0.9,16751,0.9,33293,1.1,45297,2.00 137,1,2024-09-07 08:22:40:587,115712,115712,0,0,54333444306,573515229,113743,1856,113,368,391608,0 137,2,2024-09-07 08:22:41:709,82645,82645,0,0,5009756,0,2632 137,3,2024-09-07 08:22:40:772,1,66,5,1,227,1033,66,0 138,0,2024-09-07 08:22:41:880,18501,2.9,18690,1.8,37757,4.0,50356,3.00 138,1,2024-09-07 08:22:41:698,115256,115256,0,0,54766103128,579224433,112931,2064,261,370,391615,0 138,2,2024-09-07 08:22:40:596,82063,82063,0,0,4224292,0,3263 138,3,2024-09-07 08:22:40:624,1,66,79,1,1160,1914,66,0 139,0,2024-09-07 08:22:41:415,17163,4.7,17153,2.6,34647,5.6,46668,4.00 139,1,2024-09-07 08:22:40:575,114917,114917,0,0,53351259618,573496382,112033,2156,728,381,391892,0 139,2,2024-09-07 08:22:40:695,83798,83798,0,0,5271104,0,3097 139,3,2024-09-07 08:22:41:665,1,66,2,0,244,1299,66,0 140,0,2024-09-07 08:22:41:600,12448,0.3,12336,0.5,24900,0.2,32560,1.75 140,1,2024-09-07 08:22:41:536,116617,116617,0,0,54529123926,566404844,115689,731,197,365,391497,0 140,2,2024-09-07 08:22:40:692,84291,84291,0,0,4345634,0,3388 140,3,2024-09-07 08:22:40:772,1,66,1,0,25,521,66,0 141,0,2024-09-07 08:22:41:720,14242,0.2,14854,0.4,28823,0.1,38962,1.50 141,1,2024-09-07 08:22:40:860,116342,116342,0,0,54367321340,569076163,114923,1088,331,382,391538,0 141,2,2024-09-07 08:22:41:691,83131,83131,0,0,3846970,0,2342 141,3,2024-09-07 08:22:41:068,1,66,1,0,147,531,66,0 142,0,2024-09-07 08:22:41:326,20531,0.4,20274,0.6,40303,0.4,53725,1.75 142,1,2024-09-07 08:22:40:590,116417,116417,0,0,54516773776,569573786,115870,532,15,384,391649,0 142,2,2024-09-07 08:22:41:302,82855,82855,0,0,4157621,0,2293 142,3,2024-09-07 08:22:41:753,1,66,1,0,484,1185,66,0 143,0,2024-09-07 08:22:41:385,19263,1.2,19322,1.0,38938,1.6,51573,2.00 143,1,2024-09-07 08:22:40:569,116054,116054,0,0,54266725312,565543438,115079,949,26,367,391608,0 143,2,2024-09-07 08:22:40:783,82917,82917,0,0,4541564,0,2669 143,3,2024-09-07 08:22:41:151,1,66,0,0,236,1079,66,0 144,0,2024-09-07 08:22:41:596,12601,1.7,13052,6.3,26159,1.8,35582,2.50 144,1,2024-09-07 08:22:40:567,115493,115493,0,0,53931857799,570258145,113927,1376,190,383,391638,0 144,2,2024-09-07 08:22:41:784,83851,83851,0,0,3946839,0,3473 144,3,2024-09-07 08:22:41:758,1,66,9,1,169,1214,66,0 145,0,2024-09-07 08:22:41:453,13588,0.4,13575,0.7,28778,0.3,37343,2.00 145,1,2024-09-07 08:22:40:846,115016,115016,0,0,54894511401,581193234,113093,1660,263,383,391615,0 145,2,2024-09-07 08:22:41:442,83642,83642,0,0,4868927,0,3903 145,3,2024-09-07 08:22:40:906,1,66,2,0,151,965,66,0 146,0,2024-09-07 08:22:41:643,19618,0.7,19647,0.9,39315,0.8,52743,2.25 146,1,2024-09-07 08:22:41:601,115956,115956,0,0,54263218376,574488626,113664,1991,301,369,391600,0 146,2,2024-09-07 08:22:41:715,83230,83230,0,0,4392783,0,2379 146,3,2024-09-07 08:22:41:278,1,66,12,0,1520,2963,66,0 147,0,2024-09-07 08:22:41:761,19658,3.2,19490,1.8,38555,4.6,53431,3.25 147,1,2024-09-07 08:22:41:389,115792,115792,0,0,54290189164,568285486,114083,1355,354,368,391791,0 147,2,2024-09-07 08:22:41:027,81836,81836,0,0,4225093,0,2789 147,3,2024-09-07 08:22:40:919,1,66,1,1,141,773,66,0 0,0,2024-09-07 08:22:51:741,17774,0.8,17752,0.9,37534,1.0,48996,2.00 0,1,2024-09-07 08:22:50:805,117661,117661,0,0,55550591109,586040065,116440,1095,126,372,391673,0 0,2,2024-09-07 08:22:51:098,84900,84900,0,0,4446053,0,4480 0,3,2024-09-07 08:22:50:979,1,67,2,0,247,1081,67,0 1,0,2024-09-07 08:22:51:828,19325,3.1,19263,2.0,38686,4.7,52191,3.00 1,1,2024-09-07 08:22:50:567,116891,116891,0,0,54123708982,572328757,115204,1159,528,372,391857,0 1,2,2024-09-07 08:22:50:646,81132,81132,0,0,4024204,0,3267 1,3,2024-09-07 08:22:51:311,1,67,1,0,167,717,67,0 2,0,2024-09-07 08:22:51:594,16533,1.6,16677,1.5,33081,3.2,44125,2.50 2,1,2024-09-07 08:22:50:861,117565,117565,0,0,55652391436,582320693,116485,877,203,382,391558,0 2,2,2024-09-07 08:22:51:275,85438,85438,0,0,4220082,0,3304 2,3,2024-09-07 08:22:50:695,1,67,1,0,214,801,67,0 3,0,2024-09-07 08:22:51:761,12481,0.3,12439,0.6,24965,0.2,32598,1.75 3,1,2024-09-07 08:22:51:626,116965,116965,0,0,54763409901,576328807,114987,1592,386,382,391516,0 3,2,2024-09-07 08:22:51:142,85687,85664,23,0,4695758,0,5851 3,3,2024-09-07 08:22:51:754,1,67,1,0,103,556,67,0 4,0,2024-09-07 08:22:51:800,15260,0.3,15647,0.5,31875,0.3,42451,1.75 4,1,2024-09-07 08:22:50:596,117299,117299,0,0,54958586385,583995516,115407,1474,418,371,391846,0 4,2,2024-09-07 08:22:51:018,83757,83757,0,0,5243529,0,4528 4,3,2024-09-07 08:22:51:036,1,67,1,1,287,1279,67,0 5,0,2024-09-07 08:22:51:442,19591,0.8,19342,0.8,39170,1.1,51590,2.00 5,1,2024-09-07 08:22:50:769,117222,117222,0,0,55028344476,583789597,115019,1762,441,368,392005,0 5,2,2024-09-07 08:22:51:830,82801,82801,0,0,4187536,0,2259 5,3,2024-09-07 08:22:51:744,1,67,14,1,238,1452,67,0 6,0,2024-09-07 08:22:50:925,19171,1.3,18974,1.4,37829,1.9,50632,2.75 6,1,2024-09-07 08:22:50:753,117770,117770,0,0,54904455273,575061505,116666,1035,69,381,391603,0 6,2,2024-09-07 08:22:51:120,85714,85714,0,0,4448539,0,2411 6,3,2024-09-07 08:22:51:287,1,67,1,1,340,1414,67,0 7,0,2024-09-07 08:22:51:544,12371,0.3,12361,0.5,24900,0.2,33085,1.75 7,1,2024-09-07 08:22:50:850,117734,117734,0,0,55113120338,578304013,116754,916,64,383,391664,0 7,2,2024-09-07 08:22:50:773,84611,84611,0,0,4195841,0,2981 7,3,2024-09-07 08:22:50:856,1,67,1,0,83,650,67,0 8,0,2024-09-07 08:22:51:364,14669,0.3,14539,0.5,28700,0.2,38953,1.50 8,1,2024-09-07 08:22:51:018,117261,117261,0,0,54298541312,583435926,113785,2223,1253,368,391724,0 8,2,2024-09-07 08:22:50:802,83313,83313,0,0,5041668,0,2986 8,3,2024-09-07 08:22:50:602,1,67,1,0,229,1045,67,0 9,0,2024-09-07 08:22:51:164,20800,0.4,20272,0.6,42433,0.4,55172,1.75 9,1,2024-09-07 08:22:50:551,117509,117509,0,0,55386166411,587795666,115164,1777,568,370,391738,0 9,2,2024-09-07 08:22:51:098,85010,85010,0,0,4646943,0,3360 9,3,2024-09-07 08:22:51:772,1,67,14,0,149,997,67,0 10,0,2024-09-07 08:22:51:620,20171,0.9,20263,1.0,40548,0.9,54330,2.25 10,1,2024-09-07 08:22:50:583,116842,116842,0,0,54297559119,579212682,113507,2813,522,382,391541,0 10,2,2024-09-07 08:22:50:764,83469,83469,0,0,4726735,0,2940 10,3,2024-09-07 08:22:50:877,1,67,1,0,136,572,67,0 11,0,2024-09-07 08:22:51:022,13078,2.3,12798,1.7,26797,5.1,36265,2.50 11,1,2024-09-07 08:22:50:588,117091,117091,0,0,54744509554,581161249,114451,1825,815,384,391537,0 11,2,2024-09-07 08:22:51:123,84739,84739,0,0,4479306,0,2635 11,3,2024-09-07 08:22:51:298,1,67,1,0,720,2173,67,0 12,0,2024-09-07 08:22:50:969,14451,0.3,14497,0.5,28774,0.2,38468,1.50 12,1,2024-09-07 08:22:50:947,117107,117107,0,0,54115891669,570751980,115464,1544,99,371,391790,0 12,2,2024-09-07 08:22:51:542,86012,86012,0,0,4136949,0,2254 12,3,2024-09-07 08:22:51:080,1,67,14,1,358,1382,67,0 13,0,2024-09-07 08:22:51:405,19111,0.4,19275,0.6,38425,0.4,51277,1.75 13,1,2024-09-07 08:22:51:530,117488,117488,0,0,54583476474,578006177,115897,1224,367,384,391717,0 13,2,2024-09-07 08:22:50:609,85213,85213,0,0,3874906,0,3287 13,3,2024-09-07 08:22:51:844,1,67,18,1,373,1227,67,0 14,0,2024-09-07 08:22:50:578,20213,1.0,20255,1.1,40091,1.3,53838,2.25 14,1,2024-09-07 08:22:51:572,118454,118454,0,0,54698866056,569257362,117575,849,30,365,391546,0 14,2,2024-09-07 08:22:50:787,81326,81326,0,0,4334759,0,2793 14,3,2024-09-07 08:22:51:119,1,67,1,0,906,1272,67,0 15,0,2024-09-07 08:22:51:569,15301,2.2,15147,1.6,30374,4.2,40879,3.25 15,1,2024-09-07 08:22:51:615,117322,117322,0,0,54641126121,570813377,116538,749,35,381,391536,0 15,2,2024-09-07 08:22:51:007,86357,86357,0,0,3471462,0,3043 15,3,2024-09-07 08:22:51:405,1,67,1,0,538,1838,67,0 16,0,2024-09-07 08:22:51:057,12784,0.4,13009,0.6,25646,0.2,34434,2.00 16,1,2024-09-07 08:22:50:590,117070,117070,0,0,54509524544,574168445,115943,1101,26,372,391666,0 16,2,2024-09-07 08:22:51:441,85066,85066,0,0,4811025,0,4719 16,3,2024-09-07 08:22:51:153,1,67,10,1,231,1267,67,0 17,0,2024-09-07 08:22:51:786,17723,0.6,17358,0.8,34029,0.5,46435,2.00 17,1,2024-09-07 08:22:50:581,117257,117257,0,0,54638452727,580352693,115386,1349,522,369,391688,0 17,2,2024-09-07 08:22:51:665,85146,85146,0,0,3701500,0,2857 17,3,2024-09-07 08:22:50:580,1,67,1,0,268,1412,67,0 18,0,2024-09-07 08:22:50:949,18669,1.7,18895,1.4,37876,2.0,50201,2.75 18,1,2024-09-07 08:22:51:638,117432,117432,0,0,55086270908,576280687,116183,1031,218,368,391555,0 18,2,2024-09-07 08:22:51:755,81755,81755,0,0,4536947,0,3541 18,3,2024-09-07 08:22:50:896,1,67,2,0,163,933,67,0 19,0,2024-09-07 08:22:51:566,17441,1.8,17530,1.5,34654,2.0,46100,5.25 19,1,2024-09-07 08:22:50:572,117777,117777,0,0,55546731587,584133402,115912,1702,163,367,391696,0 19,2,2024-09-07 08:22:51:752,86292,86292,0,0,4195518,0,3988 19,3,2024-09-07 08:22:51:129,1,67,1,0,524,1067,67,0 20,0,2024-09-07 08:22:51:430,12545,0.3,12545,0.5,24757,0.2,32358,2.00 20,1,2024-09-07 08:22:50:569,117560,117560,0,0,55521440214,585913641,115866,1532,162,370,391598,0 20,2,2024-09-07 08:22:50:940,85194,85194,0,0,4106924,0,2446 20,3,2024-09-07 08:22:50:590,1,67,1,1,99,886,67,0 21,0,2024-09-07 08:22:51:163,15167,0.4,15052,0.6,29926,0.3,39775,2.00 21,1,2024-09-07 08:22:51:536,116889,116889,0,0,54509033228,579523809,114586,1809,494,368,391962,0 21,2,2024-09-07 08:22:51:083,83757,83757,0,0,4354915,0,3747 21,3,2024-09-07 08:22:51:404,1,67,1,0,93,1019,67,0 22,0,2024-09-07 08:22:51:736,20001,2.2,19946,1.4,39793,4.0,53008,3.25 22,1,2024-09-07 08:22:51:038,117091,117091,0,0,54586468063,582193495,113951,2414,726,382,391667,0 22,2,2024-09-07 08:22:50:773,84037,84037,0,0,3844606,0,3134 22,3,2024-09-07 08:22:51:074,1,67,1,0,62,410,67,0 23,0,2024-09-07 08:22:51:377,19405,1.4,19553,1.1,39100,2.1,52112,2.75 23,1,2024-09-07 08:22:51:008,117964,117964,0,0,54986340611,574386266,116616,1094,254,367,391496,0 23,2,2024-09-07 08:22:51:091,84751,84751,0,0,4023426,0,2078 23,3,2024-09-07 08:22:51:755,1,67,3,0,645,1288,67,0 24,0,2024-09-07 08:22:50:840,13713,0.6,13718,0.8,27449,0.7,36655,1.75 24,1,2024-09-07 08:22:50:584,117777,117777,0,0,54876859224,574198812,116966,804,7,369,391640,0 24,2,2024-09-07 08:22:51:086,84160,84160,0,0,5084373,0,2942 24,3,2024-09-07 08:22:51:697,1,67,8,1,234,1070,67,0 25,0,2024-09-07 08:22:51:391,14703,0.3,14424,0.5,28180,0.2,38304,1.50 25,1,2024-09-07 08:22:50:569,117085,117085,0,0,54843470555,581611525,114935,1834,316,371,391788,0 25,2,2024-09-07 08:22:51:625,84393,84393,0,0,4675362,0,3284 25,3,2024-09-07 08:22:51:003,1,67,1,1,158,714,67,0 26,0,2024-09-07 08:22:51:736,20117,0.4,19626,0.6,41013,0.4,54740,1.75 26,1,2024-09-07 08:22:51:548,117421,117421,0,0,54663507872,583527847,113960,2626,835,381,391564,0 26,2,2024-09-07 08:22:50:861,85202,85202,0,0,4724927,0,2809 26,3,2024-09-07 08:22:51:715,1,67,3,0,796,1264,67,0 27,0,2024-09-07 08:22:51:739,20079,1.2,20170,1.0,40246,1.8,54289,2.00 27,1,2024-09-07 08:22:51:677,118170,118170,0,0,55571300997,579792790,117100,775,295,381,391539,0 27,2,2024-09-07 08:22:50:879,81693,81693,0,0,5638126,0,3409 27,3,2024-09-07 08:22:51:018,1,67,5,0,564,1031,67,0 28,0,2024-09-07 08:22:51:419,14292,0.7,14488,0.9,28801,1.0,38311,2.00 28,1,2024-09-07 08:22:50:806,118075,118075,0,0,55578197274,581897047,117016,859,200,383,391646,0 28,2,2024-09-07 08:22:51:775,85037,85037,0,0,3683151,0,2609 28,3,2024-09-07 08:22:51:788,1,67,9,0,502,1169,67,0 29,0,2024-09-07 08:22:51:371,13841,0.3,13466,0.5,26443,0.2,36180,1.75 29,1,2024-09-07 08:22:51:568,118604,118604,0,0,54946464628,569904070,117861,571,172,369,391621,0 29,2,2024-09-07 08:22:50:865,86573,86573,0,0,3230822,0,2026 29,3,2024-09-07 08:22:50:973,1,67,1,0,105,651,67,0 30,0,2024-09-07 08:22:51:465,18252,0.6,17812,0.7,37227,0.5,49024,2.00 30,1,2024-09-07 08:22:50:581,117748,117748,0,0,55299702904,578255528,116773,910,65,382,391524,0 30,2,2024-09-07 08:22:51:275,85512,85512,0,0,3261375,0,2534 30,3,2024-09-07 08:22:50:582,1,67,1,0,195,619,67,0 31,0,2024-09-07 08:22:51:771,19446,1.6,19323,1.2,39160,2.6,51889,3.25 31,1,2024-09-07 08:22:50:571,118283,118283,0,0,55758296073,573155617,118034,247,2,356,391553,0 31,2,2024-09-07 08:22:51:275,81520,81520,0,0,4619697,0,3525 31,3,2024-09-07 08:22:51:706,1,67,2,0,129,545,67,0 32,0,2024-09-07 08:22:51:464,16825,1.6,16914,1.2,34002,2.9,44808,1.75 32,1,2024-09-07 08:22:50:813,117660,117660,0,0,55320689421,577979058,116660,971,29,382,391595,0 32,2,2024-09-07 08:22:50:942,86303,86303,0,0,3942739,0,3155 32,3,2024-09-07 08:22:51:026,1,67,5,0,110,625,67,0 33,0,2024-09-07 08:22:51:548,12432,0.2,12297,0.4,25011,0.2,32510,1.75 33,1,2024-09-07 08:22:50:595,118015,118015,0,0,55718151818,582288952,116354,1538,123,369,391526,0 33,2,2024-09-07 08:22:50:771,85514,85481,33,0,5495933,0,7012 33,3,2024-09-07 08:22:50:896,1,67,1,0,129,493,67,0 34,0,2024-09-07 08:22:50:941,15753,0.2,16256,0.4,31194,0.1,42351,1.50 34,1,2024-09-07 08:22:51:053,118037,118037,0,0,55421413149,573467292,117443,590,4,367,391517,0 34,2,2024-09-07 08:22:50:773,83622,83622,0,0,4492995,0,3255 34,3,2024-09-07 08:22:51:690,1,67,1,0,148,671,67,0 35,0,2024-09-07 08:22:50:871,19294,1.0,19511,0.9,38885,1.3,51473,2.00 35,1,2024-09-07 08:22:51:074,117719,117719,0,0,55127266367,576005431,116254,1104,361,384,391587,0 35,2,2024-09-07 08:22:51:598,83027,83027,0,0,3894948,0,2542 35,3,2024-09-07 08:22:50:913,1,67,1,0,219,1037,67,0 36,0,2024-09-07 08:22:51:533,19113,2.0,19047,1.4,38450,2.8,50639,2.75 36,1,2024-09-07 08:22:50:585,117333,117333,0,0,55150889451,584528811,114322,2080,931,366,391535,0 36,2,2024-09-07 08:22:51:752,85276,85276,0,0,5033779,0,3303 36,3,2024-09-07 08:22:50:873,1,67,7,0,378,1081,67,0 37,0,2024-09-07 08:22:51:385,12491,0.3,12464,0.5,25094,0.2,33266,1.75 37,1,2024-09-07 08:22:50:571,117100,117093,0,7,55158402821,588256716,114254,1322,1517,365,391518,0 37,2,2024-09-07 08:22:51:146,84896,84896,0,0,3679264,0,2333 37,3,2024-09-07 08:22:51:779,1,67,1,0,724,1774,67,0 38,0,2024-09-07 08:22:51:444,14520,0.3,14037,0.5,29247,0.2,39079,1.75 38,1,2024-09-07 08:22:51:608,117656,117656,0,0,55184886528,582003133,115503,1706,447,370,391821,0 38,2,2024-09-07 08:22:50:767,84340,84340,0,0,4455627,0,3245 38,3,2024-09-07 08:22:51:005,1,67,1,0,603,1393,67,0 39,0,2024-09-07 08:22:51:804,21424,1.1,21037,0.9,40762,1.3,55337,2.00 39,1,2024-09-07 08:22:50:725,117747,117747,0,0,54943347209,580518704,115115,1994,638,366,391524,0 39,2,2024-09-07 08:22:51:418,84843,84843,0,0,4102457,0,2381 39,3,2024-09-07 08:22:50:721,1,67,1,0,276,1192,67,0 40,0,2024-09-07 08:22:51:504,19775,2.0,20147,2.2,39929,4.1,53863,3.75 40,1,2024-09-07 08:22:50:586,117250,117250,0,0,53996265328,573793573,113998,2499,753,370,391591,0 40,2,2024-09-07 08:22:51:312,83500,83499,1,0,5018997,0,5137 40,3,2024-09-07 08:22:51:142,1,67,4,1,110,756,67,0 41,0,2024-09-07 08:22:51:037,12864,0.8,13219,5.7,25288,4.0,35150,2.75 41,1,2024-09-07 08:22:50:775,117409,117409,0,0,55090889737,581956443,115089,2007,313,370,391484,0 41,2,2024-09-07 08:22:50:767,84832,84832,0,0,5234885,0,3356 41,3,2024-09-07 08:22:51:677,1,67,1,0,168,761,67,0 42,0,2024-09-07 08:22:51:484,14226,0.4,14409,0.7,28657,0.3,38440,2.00 42,1,2024-09-07 08:22:51:440,117013,117013,0,0,54705566596,582602087,114020,2163,830,381,391511,0 42,2,2024-09-07 08:22:51:142,85476,85476,0,0,4592583,0,3568 42,3,2024-09-07 08:22:51:018,1,67,1,0,100,659,67,0 43,0,2024-09-07 08:22:50:932,19140,0.9,18719,1.0,38811,0.9,51362,2.00 43,1,2024-09-07 08:22:50:587,117343,117343,0,0,54896202028,578460395,115152,1439,752,367,391604,0 43,2,2024-09-07 08:22:51:737,85485,85485,0,0,4739488,0,3812 43,3,2024-09-07 08:22:51:751,1,67,1,0,325,1695,67,0 44,0,2024-09-07 08:22:50:877,20178,1.0,20115,1.1,40186,1.1,54366,2.00 44,1,2024-09-07 08:22:50:569,117751,117751,0,0,54675698817,567924313,116733,911,107,356,391523,0 44,2,2024-09-07 08:22:51:276,81384,81384,0,0,3363581,0,1865 44,3,2024-09-07 08:22:51:092,1,67,1,1,817,1320,67,0 45,0,2024-09-07 08:22:51:766,15082,2.8,14778,1.7,31005,5.5,41203,2.25 45,1,2024-09-07 08:22:51:006,117856,117856,0,0,55253664891,575155266,117390,465,1,382,391917,0 45,2,2024-09-07 08:22:51:269,86453,86453,0,0,4017327,0,2628 45,3,2024-09-07 08:22:50:939,1,67,1,0,226,777,67,0 46,0,2024-09-07 08:22:50:959,12914,0.2,12927,0.4,25934,0.1,34478,1.50 46,1,2024-09-07 08:22:50:575,117946,117946,0,0,55290694748,573703322,117116,794,36,368,391514,0 46,2,2024-09-07 08:22:50:594,85951,85951,0,0,3958779,0,2920 46,3,2024-09-07 08:22:51:131,1,67,1,0,315,1051,67,0 47,0,2024-09-07 08:22:51:115,17247,0.4,17445,0.5,34807,0.3,46282,1.75 47,1,2024-09-07 08:22:50:571,118258,118258,0,0,55240673805,571441952,117750,504,4,366,391605,0 47,2,2024-09-07 08:22:50:912,85510,85510,0,0,3940240,0,2558 47,3,2024-09-07 08:22:51:122,1,67,1,0,529,1075,67,0 48,0,2024-09-07 08:22:51:562,19500,0.4,19227,0.6,38050,0.4,50945,1.75 48,1,2024-09-07 08:22:51:036,117896,117896,0,0,55210930496,577312630,116700,1191,5,386,391637,0 48,2,2024-09-07 08:22:50:720,82424,82424,0,0,3234676,0,2083 48,3,2024-09-07 08:22:50:768,1,67,7,0,30,603,67,0 49,0,2024-09-07 08:22:51:757,18211,1.4,17737,1.2,34805,2.9,47532,2.00 49,1,2024-09-07 08:22:51:028,117312,117312,0,0,54588813775,575002564,115575,1028,709,382,391583,0 49,2,2024-09-07 08:22:51:818,86251,86251,0,0,4381615,0,3900 49,3,2024-09-07 08:22:51:417,1,67,1,0,274,806,67,0 50,0,2024-09-07 08:22:51:512,12580,0.2,12290,0.4,24945,0.1,32677,1.50 50,1,2024-09-07 08:22:51:010,118499,118499,0,0,55223647726,577122040,116833,1369,297,368,391530,0 50,2,2024-09-07 08:22:51:075,85654,85654,0,0,3616533,0,2253 50,3,2024-09-07 08:22:51:293,1,67,0,0,335,872,67,0 51,0,2024-09-07 08:22:51:697,15318,0.2,14948,0.4,29240,0.1,40068,1.50 51,1,2024-09-07 08:22:51:682,117687,117687,0,0,55797113365,583954345,115888,1016,783,367,391637,0 51,2,2024-09-07 08:22:51:316,83403,83403,0,0,3104102,0,2448 51,3,2024-09-07 08:22:51:028,1,67,2,0,162,480,67,0 52,0,2024-09-07 08:22:51:432,20225,0.9,20435,1.0,40781,1.0,53522,2.25 52,1,2024-09-07 08:22:50:724,117324,117324,0,0,54417247742,576669987,114815,2045,464,368,391529,0 52,2,2024-09-07 08:22:51:756,83931,83931,0,0,4331105,0,4779 52,3,2024-09-07 08:22:50:688,1,67,14,0,1782,2424,67,0 53,0,2024-09-07 08:22:51:757,19366,2.2,18626,1.5,38687,3.2,51447,3.00 53,1,2024-09-07 08:22:50:786,117188,117188,0,0,55371763912,586295557,114567,2047,574,370,391617,0 53,2,2024-09-07 08:22:51:301,85556,85556,0,0,3882346,0,1902 53,3,2024-09-07 08:22:50:707,1,67,1,0,59,465,67,0 54,0,2024-09-07 08:22:51:624,12782,9.6,12958,3.6,25625,1.7,35706,5.00 54,1,2024-09-07 08:22:50:583,117282,117282,0,0,55369717517,582007452,115174,1792,316,367,391520,0 54,2,2024-09-07 08:22:50:869,85223,85217,6,0,4725404,0,5382 54,3,2024-09-07 08:22:50:781,1,67,1,0,676,1693,67,0 55,0,2024-09-07 08:22:51:778,14086,0.4,14344,0.6,29392,0.3,37928,2.25 55,1,2024-09-07 08:22:50:773,117082,117082,0,0,54611627348,578919184,113600,2780,702,367,391731,0 55,2,2024-09-07 08:22:50:745,84492,84492,0,0,4671717,0,3275 55,3,2024-09-07 08:22:50:680,1,67,6,0,136,799,67,0 56,0,2024-09-07 08:22:51:654,20718,1.8,19526,1.4,40202,2.4,54457,2.50 56,1,2024-09-07 08:22:50:582,116773,116773,0,0,55033633406,590217172,113384,2642,747,383,391678,0 56,2,2024-09-07 08:22:51:305,85856,85856,0,0,5027183,0,3567 56,3,2024-09-07 08:22:51:058,1,67,2,0,297,951,67,0 57,0,2024-09-07 08:22:50:984,19702,3.5,19438,2.0,39081,5.9,52982,4.50 57,1,2024-09-07 08:22:50:991,116847,116847,0,0,54251099499,571192938,115372,1455,20,368,391760,0 57,2,2024-09-07 08:22:51:316,82899,82899,0,0,4862110,0,3178 57,3,2024-09-07 08:22:51:748,1,67,1,1,359,1525,67,0 58,0,2024-09-07 08:22:50:578,13555,0.8,13209,1.1,27673,0.7,36352,2.75 58,1,2024-09-07 08:22:50:583,118187,118185,0,2,55581915131,581755071,116588,1437,160,369,391516,2 58,2,2024-09-07 08:22:51:072,85049,85049,0,0,4659511,0,2549 58,3,2024-09-07 08:22:51:074,1,67,1,1,219,839,67,0 59,0,2024-09-07 08:22:51:755,13629,0.6,13458,0.8,27176,0.4,35810,2.25 59,1,2024-09-07 08:22:50:804,116993,116993,0,0,54482280613,577028207,114747,1479,767,369,391515,0 59,2,2024-09-07 08:22:50:584,86166,86166,0,0,4112049,0,2604 59,3,2024-09-07 08:22:51:739,1,67,10,0,1015,1674,67,0 60,0,2024-09-07 08:22:51:744,18439,0.5,18545,0.6,36761,0.6,49234,1.75 60,1,2024-09-07 08:22:50:772,118468,118468,0,0,55284026817,577175232,117391,731,346,370,391758,0 60,2,2024-09-07 08:22:51:151,85084,85084,0,0,3744185,0,2142 60,3,2024-09-07 08:22:51:273,1,67,15,0,124,904,67,0 61,0,2024-09-07 08:22:51:512,19337,3.0,19341,1.7,38707,4.4,52017,2.50 61,1,2024-09-07 08:22:50:833,117426,117426,0,0,55354025231,587070985,114872,2019,535,383,391589,0 61,2,2024-09-07 08:22:51:122,82006,82006,0,0,3766353,0,1846 61,3,2024-09-07 08:22:51:703,1,67,6,0,199,1077,67,0 62,0,2024-09-07 08:22:51:729,16906,1.7,17314,1.3,33087,3.5,44696,2.25 62,1,2024-09-07 08:22:51:112,118225,118219,0,6,55518895854,577190896,116968,1214,37,365,391586,6 62,2,2024-09-07 08:22:51:651,85910,85910,0,0,4351137,0,2931 62,3,2024-09-07 08:22:51:144,1,67,1,0,287,657,67,0 63,0,2024-09-07 08:22:51:461,12352,0.4,12291,0.6,24799,0.2,32565,1.75 63,1,2024-09-07 08:22:50:803,118634,118630,0,4,54830077245,568549611,118229,400,1,381,391540,4 63,2,2024-09-07 08:22:50:776,85491,85491,0,0,3871880,0,2674 63,3,2024-09-07 08:22:51:739,1,67,1,0,667,1799,67,0 64,0,2024-09-07 08:22:51:524,15660,0.3,15600,0.6,31365,0.3,42474,1.75 64,1,2024-09-07 08:22:50:760,117805,117805,0,0,54713725653,580274865,115368,1644,793,371,391783,0 64,2,2024-09-07 08:22:51:151,84333,84314,19,0,4395637,0,6121 64,3,2024-09-07 08:22:51:150,1,67,1,1,265,991,67,0 65,0,2024-09-07 08:22:51:690,18898,2.5,19268,1.6,38401,3.4,50967,3.75 65,1,2024-09-07 08:22:50:866,117431,117431,0,0,54668798025,576829967,115724,1488,219,382,391569,0 65,2,2024-09-07 08:22:51:704,83315,83315,0,0,4480071,0,3367 65,3,2024-09-07 08:22:51:691,1,67,2,0,163,822,67,0 66,0,2024-09-07 08:22:51:770,18600,0.9,18853,1.1,37709,0.9,49973,2.75 66,1,2024-09-07 08:22:51:295,118466,118466,0,0,55203146049,574578207,117775,670,21,380,391588,0 66,2,2024-09-07 08:22:51:141,86219,86219,0,0,4147288,0,3867 66,3,2024-09-07 08:22:51:079,1,67,1,1,291,924,67,0 67,0,2024-09-07 08:22:51:425,12627,0.5,12659,0.7,24998,0.3,33206,2.25 67,1,2024-09-07 08:22:50:782,117160,117159,0,1,54740726079,578626345,115140,1494,525,382,391787,1 67,2,2024-09-07 08:22:50:587,85559,85559,0,0,3816090,0,2889 67,3,2024-09-07 08:22:51:756,1,67,2,0,138,642,67,0 68,0,2024-09-07 08:22:50:624,14474,0.5,14478,0.8,28933,0.4,38857,2.00 68,1,2024-09-07 08:22:50:572,116888,116888,0,0,54019931695,570530937,115475,891,522,382,391953,0 68,2,2024-09-07 08:22:51:057,84196,84196,0,0,3982351,0,4883 68,3,2024-09-07 08:22:50:728,1,67,37,1,63,741,67,0 69,0,2024-09-07 08:22:51:747,20851,1.6,20852,1.2,41489,2.0,55292,2.75 69,1,2024-09-07 08:22:51:022,116900,116900,0,0,55325453357,590257461,114907,1128,865,385,391532,0 69,2,2024-09-07 08:22:51:745,85020,85020,0,0,4535374,0,3153 69,3,2024-09-07 08:22:50:780,1,67,10,0,238,1134,67,0 70,0,2024-09-07 08:22:51:540,19897,3.5,19891,2.5,40126,2.5,53589,4.75 70,1,2024-09-07 08:22:50:818,117919,117919,0,0,55799397461,580596611,117005,779,135,368,391527,0 70,2,2024-09-07 08:22:51:326,83610,83610,0,0,4441254,0,4044 70,3,2024-09-07 08:22:50:745,1,67,2,0,178,482,67,0 71,0,2024-09-07 08:22:51:377,13043,1.8,12979,3.8,25552,2.8,35378,4.25 71,1,2024-09-07 08:22:51:599,117482,117482,0,0,55814302659,587404968,115280,1886,316,368,391682,0 71,2,2024-09-07 08:22:51:074,84734,84734,0,0,4184015,0,2146 71,3,2024-09-07 08:22:51:750,1,67,1,0,174,593,67,0 72,0,2024-09-07 08:22:51:080,14715,0.4,14288,0.6,28352,0.3,38593,2.50 72,1,2024-09-07 08:22:51:055,118067,118067,0,0,54890419595,575446994,116521,1468,78,370,391556,0 72,2,2024-09-07 08:22:51:774,84877,84877,0,0,5344900,0,2570 72,3,2024-09-07 08:22:51:761,1,67,13,0,325,1303,67,0 73,0,2024-09-07 08:22:51:154,18731,0.5,19279,0.7,39188,0.4,51052,2.25 73,1,2024-09-07 08:22:50:785,117509,117509,0,0,55275941116,577762217,116546,919,44,368,391627,0 73,2,2024-09-07 08:22:51:754,86095,86095,0,0,4857507,0,3482 73,3,2024-09-07 08:22:50:978,1,67,10,0,274,1302,67,0 74,0,2024-09-07 08:22:51:333,20032,1.9,20510,1.4,39469,2.8,53918,3.25 74,1,2024-09-07 08:22:50:646,117541,117541,0,0,54597643969,574660557,115644,1325,572,382,391511,0 74,2,2024-09-07 08:22:51:007,81371,81371,0,0,5062193,0,4253 74,3,2024-09-07 08:22:51:441,1,67,1,0,246,1347,67,0 75,0,2024-09-07 08:22:51:793,15297,2.7,15314,1.7,30625,4.9,41232,3.25 75,1,2024-09-07 08:22:51:598,117795,117795,0,0,55039024417,579600798,116110,1581,104,382,391514,0 75,2,2024-09-07 08:22:51:350,85371,85371,0,0,4966201,0,4766 75,3,2024-09-07 08:22:51:066,1,67,2,0,143,429,67,0 76,0,2024-09-07 08:22:50:598,12913,0.3,12811,0.6,25734,0.2,34350,2.00 76,1,2024-09-07 08:22:50:806,117308,117308,0,0,54626347935,576946174,115498,1304,506,382,391530,0 76,2,2024-09-07 08:22:51:073,86209,86209,0,0,3948964,0,3064 76,3,2024-09-07 08:22:51:144,1,67,8,0,175,993,67,0 77,0,2024-09-07 08:22:51:769,17187,0.6,17357,0.8,34695,0.6,46251,2.00 77,1,2024-09-07 08:22:50:856,117528,117528,0,0,55058136614,576778428,116494,965,69,383,391516,0 77,2,2024-09-07 08:22:51:292,84959,84959,0,0,3372753,0,1695 77,3,2024-09-07 08:22:51:093,1,67,27,0,139,703,67,0 78,0,2024-09-07 08:22:51:734,19157,1.4,19024,1.2,38182,2.0,50627,2.50 78,1,2024-09-07 08:22:50:617,118088,118088,0,0,54316516124,569172198,116671,1213,204,367,391589,0 78,2,2024-09-07 08:22:51:410,82232,82232,0,0,3383154,0,2114 78,3,2024-09-07 08:22:51:142,1,67,1,0,181,1008,67,0 79,0,2024-09-07 08:22:51:357,17088,1.0,17481,1.2,35711,1.2,46515,3.25 79,1,2024-09-07 08:22:50:571,117824,117824,0,0,55461639395,579119644,116487,1268,69,370,391609,0 79,2,2024-09-07 08:22:51:077,86188,86188,0,0,3896022,0,2679 79,3,2024-09-07 08:22:50:759,1,67,2,0,289,841,67,0 80,0,2024-09-07 08:22:51:121,12412,0.4,12721,0.6,24672,0.3,32573,2.00 80,1,2024-09-07 08:22:51:628,117269,117269,0,0,55127008846,577472680,115681,1473,115,369,391673,0 80,2,2024-09-07 08:22:51:095,85402,85402,0,0,4226903,0,4433 80,3,2024-09-07 08:22:50:580,1,67,6,1,190,1218,67,0 81,0,2024-09-07 08:22:51:548,15052,0.4,15416,0.7,29477,0.4,40037,2.00 81,1,2024-09-07 08:22:51:649,117398,117398,0,0,54754113614,578064460,115512,1688,198,383,391680,0 81,2,2024-09-07 08:22:51:139,83402,83402,0,0,4470564,0,3993 81,3,2024-09-07 08:22:51:123,1,67,3,0,193,786,67,0 82,0,2024-09-07 08:22:51:558,20139,1.1,20190,1.1,40361,1.4,53576,2.25 82,1,2024-09-07 08:22:50:584,117424,117422,0,2,55209043416,581103804,115384,1466,572,383,391558,2 82,2,2024-09-07 08:22:51:703,85178,85178,0,0,3442419,0,2230 82,3,2024-09-07 08:22:51:752,1,67,2,0,227,1306,67,0 83,0,2024-09-07 08:22:51:542,19376,1.7,19350,1.4,38359,2.0,51195,3.00 83,1,2024-09-07 08:22:50:554,117536,117536,0,0,54507055626,573373757,116119,1317,100,383,391553,0 83,2,2024-09-07 08:22:50:778,84367,84367,0,0,4440902,0,3119 83,3,2024-09-07 08:22:50:759,1,67,0,0,91,594,67,0 84,0,2024-09-07 08:22:51:867,13224,4.8,13065,4.1,26196,1.0,36205,3.00 84,1,2024-09-07 08:22:51:046,117331,117331,0,0,55168960635,582086879,115722,1362,247,369,391638,0 84,2,2024-09-07 08:22:50:573,84577,84577,0,0,4438745,0,3801 84,3,2024-09-07 08:22:51:141,1,67,1,1,58,730,67,0 85,0,2024-09-07 08:22:51:035,13931,0.4,14005,0.6,29444,0.3,38085,1.75 85,1,2024-09-07 08:22:50:569,117032,117032,0,0,54977110834,585839964,114583,2021,428,384,391652,0 85,2,2024-09-07 08:22:50:865,84323,84323,0,0,4824145,0,3656 85,3,2024-09-07 08:22:50:694,1,67,2,0,115,751,67,0 86,0,2024-09-07 08:22:50:900,20031,0.8,20882,0.8,40205,1.0,54482,2.00 86,1,2024-09-07 08:22:50:834,117035,117035,0,0,55037530222,579591207,115245,1574,216,367,391622,0 86,2,2024-09-07 08:22:50:869,84907,84906,1,0,5042309,0,5004 86,3,2024-09-07 08:22:50:593,1,67,4,0,199,864,67,0 87,0,2024-09-07 08:22:51:350,19974,3.0,19879,1.8,39975,4.1,54022,3.25 87,1,2024-09-07 08:22:50:552,117242,117242,0,0,54890451024,578624936,115666,1455,121,368,391671,0 87,2,2024-09-07 08:22:51:067,82753,82753,0,0,3766080,0,2859 87,3,2024-09-07 08:22:51:798,1,67,12,1,322,1152,67,0 88,0,2024-09-07 08:22:51:536,14257,0.8,14459,1.0,28739,0.9,38293,2.00 88,1,2024-09-07 08:22:50:603,116959,116959,0,0,55137289605,579562975,115752,1111,96,367,391747,0 88,2,2024-09-07 08:22:50:688,85356,85356,0,0,5047459,0,3583 88,3,2024-09-07 08:22:51:269,1,67,39,1,77,855,67,0 89,0,2024-09-07 08:22:51:828,13979,0.3,13563,0.5,26797,0.2,36209,1.75 89,1,2024-09-07 08:22:50:557,116546,116546,0,0,55013727399,590312962,113228,2582,736,383,391866,0 89,2,2024-09-07 08:22:51:147,85605,85605,0,0,4663148,0,2726 89,3,2024-09-07 08:22:51:820,1,67,1,0,325,1798,67,0 90,0,2024-09-07 08:22:51:670,17875,0.5,18212,0.6,37429,0.5,49021,1.75 90,1,2024-09-07 08:22:50:611,117250,117250,0,0,54837099224,581955617,115204,1906,140,382,391825,0 90,2,2024-09-07 08:22:51:413,84121,84121,0,0,5312407,0,2635 90,3,2024-09-07 08:22:50:939,1,67,6,1,200,924,67,0 91,0,2024-09-07 08:22:50:962,19904,0.7,19180,0.8,39900,0.8,52358,2.00 91,1,2024-09-07 08:22:50:572,117147,117147,0,0,55050466362,584274659,114785,1999,363,384,391914,0 91,2,2024-09-07 08:22:51:331,81055,81055,0,0,4263524,0,1997 91,3,2024-09-07 08:22:50:609,1,67,15,1,155,982,67,0 92,0,2024-09-07 08:22:51:510,16953,1.3,17371,1.1,33314,1.9,44808,2.00 92,1,2024-09-07 08:22:50:584,117701,117701,0,0,55207363606,577661771,117048,586,67,382,391717,0 92,2,2024-09-07 08:22:51:365,87141,87141,0,0,4175179,0,2279 92,3,2024-09-07 08:22:51:018,1,67,1,1,68,758,67,0 93,0,2024-09-07 08:22:50:958,12454,0.3,12671,0.5,24119,0.2,32527,1.75 93,1,2024-09-07 08:22:50:809,117735,117735,0,0,55273140339,582120149,115975,1324,436,367,391689,0 93,2,2024-09-07 08:22:50:940,85709,85709,0,0,3838405,0,2509 93,3,2024-09-07 08:22:51:411,1,67,1,1,143,664,67,0 94,0,2024-09-07 08:22:51:655,15727,0.3,16039,0.4,31376,0.2,42473,1.50 94,1,2024-09-07 08:22:50:572,117127,117127,0,0,55173196381,582681285,115546,1516,65,381,391850,0 94,2,2024-09-07 08:22:50:764,83687,83687,0,0,3855621,0,2443 94,3,2024-09-07 08:22:51:695,1,67,2,1,231,1243,67,0 95,0,2024-09-07 08:22:51:379,19477,0.5,19283,0.7,39239,0.5,51704,1.75 95,1,2024-09-07 08:22:50:923,117867,117867,0,0,55208171349,576470497,117069,734,64,367,391590,0 95,2,2024-09-07 08:22:51:019,83356,83356,0,0,4067248,0,3308 95,3,2024-09-07 08:22:51:713,1,67,10,0,307,1257,67,0 96,0,2024-09-07 08:22:51:038,19296,1.0,19149,0.9,38365,1.8,50512,1.75 96,1,2024-09-07 08:22:51:603,117631,117631,0,0,54443355508,576375684,115792,1268,571,385,391596,0 96,2,2024-09-07 08:22:51:270,85791,85791,0,0,4665113,0,4038 96,3,2024-09-07 08:22:51:141,1,67,1,1,36,677,67,0 97,0,2024-09-07 08:22:51:317,12522,0.4,12511,0.5,25108,0.3,33216,1.75 97,1,2024-09-07 08:22:50:782,117478,117478,0,0,55194521276,581100086,115291,1670,517,367,392140,0 97,2,2024-09-07 08:22:50:638,84681,84681,0,0,3876114,0,3036 97,3,2024-09-07 08:22:50:587,1,67,3,1,165,963,67,0 98,0,2024-09-07 08:22:51:720,14468,0.2,14322,0.4,29225,0.2,39154,1.50 98,1,2024-09-07 08:22:50:581,117585,117585,0,0,55303775296,580427058,116515,1025,45,382,391588,0 98,2,2024-09-07 08:22:50:783,85154,85154,0,0,4123362,0,3080 98,3,2024-09-07 08:22:50:699,1,67,4,1,155,980,67,0 99,0,2024-09-07 08:22:51:477,20945,0.4,20903,0.5,41730,0.4,55457,1.75 99,1,2024-09-07 08:22:51:728,117872,117872,0,0,54827569073,576243012,116394,1038,440,381,391744,0 99,2,2024-09-07 08:22:51:435,85945,85945,0,0,4118415,0,1858 99,3,2024-09-07 08:22:50:583,1,67,2,0,129,686,67,0 100,0,2024-09-07 08:22:51:491,20273,2.1,20038,2.5,40063,5.1,54461,2.75 100,1,2024-09-07 08:22:50:564,116813,116813,0,0,54375959627,582550653,114069,2399,345,381,391989,0 100,2,2024-09-07 08:22:51:836,83102,83091,11,0,5268871,0,5417 100,3,2024-09-07 08:22:51:738,1,67,12,1,443,1814,67,0 101,0,2024-09-07 08:22:51:801,13523,4.6,13096,2.4,25971,2.0,36359,3.00 101,1,2024-09-07 08:22:50:551,117124,117124,0,0,54859916585,579583326,115652,1023,449,369,391709,0 101,2,2024-09-07 08:22:51:762,84935,84935,0,0,4641220,0,4644 101,3,2024-09-07 08:22:50:944,1,67,46,0,448,1013,67,0 102,0,2024-09-07 08:22:50:971,13963,0.4,14453,0.6,29101,0.3,38418,1.75 102,1,2024-09-07 08:22:51:149,117127,117127,0,0,54560937683,580579849,114665,1994,468,369,391831,0 102,2,2024-09-07 08:22:51:741,85681,85681,0,0,3861832,0,1945 102,3,2024-09-07 08:22:51:632,1,67,1,0,410,813,67,0 103,0,2024-09-07 08:22:51:611,19653,0.6,19669,0.7,37182,0.6,51465,2.00 103,1,2024-09-07 08:22:51:632,117226,117226,0,0,55109089789,580533238,116006,1076,144,381,391680,0 103,2,2024-09-07 08:22:50:588,85240,85240,0,0,4149835,0,2104 103,3,2024-09-07 08:22:50:756,1,67,1,1,486,1072,67,0 104,0,2024-09-07 08:22:51:018,20025,2.3,20088,1.5,39009,2.9,54037,2.75 104,1,2024-09-07 08:22:51:620,118010,118010,0,0,54772262225,580398121,116070,1614,326,368,391948,0 104,2,2024-09-07 08:22:51:667,80616,80616,0,0,4713537,0,3941 104,3,2024-09-07 08:22:51:435,1,67,3,1,1245,3772,67,0 105,0,2024-09-07 08:22:51:051,15189,1.8,14643,1.8,30439,2.6,40987,3.75 105,1,2024-09-07 08:22:50:570,117200,117200,0,0,54352523583,578715618,114251,2203,746,367,391797,0 105,2,2024-09-07 08:22:51:326,85776,85776,0,0,4517908,0,3314 105,3,2024-09-07 08:22:51:315,1,67,14,1,399,1592,67,0 106,0,2024-09-07 08:22:50:971,12482,0.3,12837,0.6,26119,0.2,34364,1.75 106,1,2024-09-07 08:22:51:765,117605,117605,0,0,54636684539,579094835,115205,2073,327,370,391767,0 106,2,2024-09-07 08:22:50:756,85209,85209,0,0,4543885,0,2795 106,3,2024-09-07 08:22:50:686,1,67,21,1,201,881,67,0 107,0,2024-09-07 08:22:51:107,17391,0.6,17287,0.7,34358,0.5,46344,1.75 107,1,2024-09-07 08:22:50:597,116847,116847,0,0,54785303689,581983440,114914,1743,190,382,392234,0 107,2,2024-09-07 08:22:51:297,84329,84328,1,0,4365242,0,5024 107,3,2024-09-07 08:22:51:755,1,67,2,0,353,1281,67,0 108,0,2024-09-07 08:22:51:886,19101,0.7,19368,0.8,38402,0.8,50795,1.75 108,1,2024-09-07 08:22:51:299,117376,117376,0,0,55108920814,579917044,115942,1300,134,371,391857,0 108,2,2024-09-07 08:22:51:763,81856,81856,0,0,4115338,0,2647 108,3,2024-09-07 08:22:51:330,1,67,7,1,73,916,67,0 109,0,2024-09-07 08:22:51:847,17885,0.9,18048,0.9,35431,1.1,47741,2.00 109,1,2024-09-07 08:22:50:589,116792,116792,0,0,55573736464,588288398,115178,1231,383,383,391664,0 109,2,2024-09-07 08:22:50:953,85070,85070,0,0,4528451,0,3617 109,3,2024-09-07 08:22:51:150,1,67,1,1,249,1215,67,0 110,0,2024-09-07 08:22:51:803,12505,0.2,12159,0.5,25333,0.2,32535,1.50 110,1,2024-09-07 08:22:51:643,117687,117687,0,0,55087465407,576261969,116369,1033,285,370,391588,0 110,2,2024-09-07 08:22:51:310,85979,85979,0,0,3816445,0,2915 110,3,2024-09-07 08:22:50:702,1,67,8,0,183,698,67,0 111,0,2024-09-07 08:22:51:429,14892,0.2,14811,0.4,29602,0.1,39934,1.50 111,1,2024-09-07 08:22:51:004,118038,118038,0,0,55864687412,579871585,117394,631,13,382,391690,0 111,2,2024-09-07 08:22:51:115,84060,84060,0,0,3937452,0,2763 111,3,2024-09-07 08:22:50:929,1,67,1,0,300,1364,67,0 112,0,2024-09-07 08:22:51:015,20403,0.5,20496,0.6,40585,0.4,53891,1.75 112,1,2024-09-07 08:22:50:844,117245,117245,0,0,55154292456,578938549,115736,1163,346,381,391580,0 112,2,2024-09-07 08:22:51:149,84477,84476,1,0,4388699,0,5036 112,3,2024-09-07 08:22:50:602,1,67,7,0,282,837,67,0 113,0,2024-09-07 08:22:50:883,19513,0.6,19357,0.8,39353,0.6,52329,1.75 113,1,2024-09-07 08:22:51:695,117857,117857,0,0,55255499755,578268854,115969,1504,384,368,391661,0 113,2,2024-09-07 08:22:51:306,84999,84999,0,0,3623131,0,3021 113,3,2024-09-07 08:22:50:684,1,67,1,0,288,1114,67,0 114,0,2024-09-07 08:22:50:895,13539,3.8,13705,2.2,26891,1.1,36714,2.00 114,1,2024-09-07 08:22:50:716,117451,117451,0,0,54930094836,581943457,114844,1726,881,381,391519,0 114,2,2024-09-07 08:22:50:879,84489,84489,0,0,4213262,0,3925 114,3,2024-09-07 08:22:51:288,1,67,1,0,159,741,67,0 115,0,2024-09-07 08:22:50:568,14334,0.2,14412,0.4,28795,0.1,38201,1.50 115,1,2024-09-07 08:22:50:571,117513,117513,0,0,55122083893,581142715,115140,1886,487,383,391510,0 115,2,2024-09-07 08:22:51:125,85283,85283,0,0,3580657,0,2152 115,3,2024-09-07 08:22:51:002,1,67,1,0,159,465,67,0 116,0,2024-09-07 08:22:51:784,20309,0.8,20012,0.9,40269,1.0,54623,2.00 116,1,2024-09-07 08:22:50:804,116726,116726,0,0,55238127820,588838822,114849,1006,871,382,391605,0 116,2,2024-09-07 08:22:51:779,85233,85233,0,0,4737934,0,3529 116,3,2024-09-07 08:22:50:917,1,67,1,1,252,1254,67,0 117,0,2024-09-07 08:22:50:966,20064,2.5,20101,1.6,40019,3.8,54132,2.25 117,1,2024-09-07 08:22:51:587,117739,117739,0,0,54696454807,580872648,115426,2020,293,371,392033,0 117,2,2024-09-07 08:22:51:123,82984,82984,0,0,4092518,0,3700 117,3,2024-09-07 08:22:51:068,1,67,1,0,490,1792,67,0 118,0,2024-09-07 08:22:51:847,13940,0.9,14223,1.0,29259,1.1,38154,2.00 118,1,2024-09-07 08:22:50:604,117739,117739,0,0,54539345942,575048116,116501,1160,78,368,391736,0 118,2,2024-09-07 08:22:51:596,85247,85247,0,0,4540821,0,2781 118,3,2024-09-07 08:22:51:769,1,67,2,1,235,1138,67,0 119,0,2024-09-07 08:22:51:413,13290,0.3,13357,0.5,27407,0.2,35999,1.75 119,1,2024-09-07 08:22:50:563,117448,117448,0,0,54564932518,575342018,115841,1458,149,370,391617,0 119,2,2024-09-07 08:22:51:272,85585,85585,0,0,4300158,0,2532 119,3,2024-09-07 08:22:51:338,1,67,9,1,443,2106,67,0 120,0,2024-09-07 08:22:51:625,18172,0.6,18239,0.9,36308,0.6,48929,2.25 120,1,2024-09-07 08:22:50:876,116939,116939,0,0,54676738533,578003861,115430,1494,15,368,391702,0 120,2,2024-09-07 08:22:50:784,84393,84392,1,0,5250826,0,5281 120,3,2024-09-07 08:22:51:293,1,67,1,1,241,1109,67,0 121,0,2024-09-07 08:22:51:726,19333,2.7,19472,1.7,38998,3.9,52403,2.50 121,1,2024-09-07 08:22:51:669,117606,117606,0,0,54930167366,579917430,115735,1602,269,368,391807,0 121,2,2024-09-07 08:22:51:125,80551,80551,0,0,5415863,0,4127 121,3,2024-09-07 08:22:50:734,1,67,113,0,113,838,67,0 122,0,2024-09-07 08:22:51:792,16748,2.5,16172,2.1,33625,2.8,44969,2.50 122,1,2024-09-07 08:22:50:860,116276,116276,0,0,54615596012,582555228,113271,2481,524,368,392130,0 122,2,2024-09-07 08:22:51:324,86089,86089,0,0,5639162,0,3364 122,3,2024-09-07 08:22:50:610,1,67,3,1,298,1753,67,0 123,0,2024-09-07 08:22:51:037,12400,0.3,12020,0.5,25080,0.2,32485,1.75 123,1,2024-09-07 08:22:50:571,117520,117520,0,0,55293187664,590537884,114097,2855,568,369,391823,0 123,2,2024-09-07 08:22:51:020,85500,85499,1,0,4578063,0,5215 123,3,2024-09-07 08:22:51:139,1,67,2,1,160,897,67,0 124,0,2024-09-07 08:22:50:930,16147,0.3,16065,0.5,30564,0.2,42590,1.50 124,1,2024-09-07 08:22:51:027,117999,117999,0,0,54678406045,569665798,117202,653,144,367,392178,0 124,2,2024-09-07 08:22:51:009,83666,83666,0,0,3574152,0,2477 124,3,2024-09-07 08:22:50:759,1,67,1,1,490,1543,67,0 125,0,2024-09-07 08:22:51:430,19597,0.7,19610,0.8,39280,0.8,51652,2.00 125,1,2024-09-07 08:22:50:856,117576,117576,0,0,55251027533,581831624,115845,1459,272,384,391702,0 125,2,2024-09-07 08:22:51:120,83240,83240,0,0,3913097,0,2180 125,3,2024-09-07 08:22:51:139,1,67,2,1,93,956,67,0 126,0,2024-09-07 08:22:51:451,19271,1.3,19732,1.0,37759,2.2,50852,2.00 126,1,2024-09-07 08:22:50:562,118113,118113,0,0,55178141046,575692621,117067,993,53,365,391719,0 126,2,2024-09-07 08:22:50:629,85721,85721,0,0,4235701,0,3186 126,3,2024-09-07 08:22:50:914,1,67,2,0,122,892,67,0 127,0,2024-09-07 08:22:51:623,12629,0.2,12571,0.4,25159,0.2,33207,1.50 127,1,2024-09-07 08:22:50:579,117608,117608,0,0,54533684714,568512988,116471,1114,23,365,391614,0 127,2,2024-09-07 08:22:50:656,85196,85196,0,0,3458148,0,1803 127,3,2024-09-07 08:22:51:269,1,67,2,0,99,590,67,0 128,0,2024-09-07 08:22:51:585,14524,0.2,14653,0.4,28732,0.2,39083,1.50 128,1,2024-09-07 08:22:51:617,117642,117642,0,0,55249293795,575199325,116926,646,70,369,391605,0 128,2,2024-09-07 08:22:51:385,83871,83871,0,0,4318544,0,2107 128,3,2024-09-07 08:22:50:770,1,67,1,1,112,841,67,0 129,0,2024-09-07 08:22:51:002,20980,0.4,20979,0.6,41803,0.5,55350,1.75 129,1,2024-09-07 08:22:50:576,117470,117470,0,0,55295807341,583482558,115651,1545,274,379,391835,0 129,2,2024-09-07 08:22:50:697,84505,84505,0,0,3746708,0,2446 129,3,2024-09-07 08:22:50:688,1,67,2,1,173,1097,67,0 130,0,2024-09-07 08:22:51:740,20470,1.5,20382,1.1,40733,2.2,54902,2.25 130,1,2024-09-07 08:22:50:584,117600,117600,0,0,54740746617,575214674,116291,1277,32,381,391609,0 130,2,2024-09-07 08:22:51:125,83787,83787,0,0,4384773,0,4067 130,3,2024-09-07 08:22:51:296,1,67,2,1,207,621,67,0 131,0,2024-09-07 08:22:51:952,13506,1.2,13374,1.1,27208,1.6,36261,2.00 131,1,2024-09-07 08:22:51:829,118226,118226,0,0,55023887351,576525574,117209,831,186,384,391865,0 131,2,2024-09-07 08:22:50:576,84735,84735,0,0,3618086,0,2415 131,3,2024-09-07 08:22:51:691,1,67,3,0,392,1085,67,0 132,0,2024-09-07 08:22:51:423,14247,0.4,14406,0.6,28674,0.3,38550,1.75 132,1,2024-09-07 08:22:50:583,116592,116592,0,0,54840337002,584202840,114009,1878,705,382,391574,0 132,2,2024-09-07 08:22:50:699,84926,84926,0,0,5955563,0,4606 132,3,2024-09-07 08:22:51:689,1,67,1,1,356,1000,67,0 133,0,2024-09-07 08:22:51:533,18768,0.5,19019,0.7,39297,0.5,50838,1.75 133,1,2024-09-07 08:22:50:591,116254,116254,0,0,54589243573,581428166,114044,2115,95,383,391755,0 133,2,2024-09-07 08:22:51:099,85586,85586,0,0,5107358,0,2444 133,3,2024-09-07 08:22:51:309,1,67,3,1,187,596,67,0 134,0,2024-09-07 08:22:50:984,20253,1.2,20157,1.2,40349,1.5,54100,2.25 134,1,2024-09-07 08:22:50:597,117377,117377,0,0,54726200730,581325343,114744,1652,981,366,391718,0 134,2,2024-09-07 08:22:51:760,81343,81343,0,0,3752568,0,2026 134,3,2024-09-07 08:22:50:759,1,67,2,1,739,1894,67,0 135,0,2024-09-07 08:22:51:117,14932,1.9,14944,1.7,31613,2.1,40842,2.50 135,1,2024-09-07 08:22:51:589,117040,117040,0,0,55407369525,585823974,115094,1619,327,383,391703,0 135,2,2024-09-07 08:22:50:691,86206,86206,0,0,4741182,0,3981 135,3,2024-09-07 08:22:51:003,1,67,2,0,68,461,67,0 136,0,2024-09-07 08:22:51:632,13017,0.4,13002,0.7,25776,0.2,34626,2.00 136,1,2024-09-07 08:22:51:444,117454,117454,0,0,54652443562,577730055,115553,1754,147,384,391621,0 136,2,2024-09-07 08:22:51:142,86477,86477,0,0,4104465,0,2379 136,3,2024-09-07 08:22:51:123,1,67,7,1,108,824,67,0 137,0,2024-09-07 08:22:50:979,17771,0.9,17184,0.9,34155,1.1,46475,2.00 137,1,2024-09-07 08:22:50:583,117462,117462,0,0,55020262395,580592608,115492,1857,113,368,391608,0 137,2,2024-09-07 08:22:51:712,84141,84141,0,0,5084931,0,2632 137,3,2024-09-07 08:22:50:773,1,67,2,1,227,1035,67,0 138,0,2024-09-07 08:22:51:750,18597,2.9,18809,1.8,37995,4.0,50665,3.00 138,1,2024-09-07 08:22:51:695,117054,117054,0,0,55690269239,588873307,114729,2064,261,370,391615,0 138,2,2024-09-07 08:22:50:593,82731,82731,0,0,4246563,0,3263 138,3,2024-09-07 08:22:50:639,1,67,3,1,1160,1917,67,0 139,0,2024-09-07 08:22:51:381,17297,4.7,17287,2.5,34911,5.6,46994,4.00 139,1,2024-09-07 08:22:50:583,116751,116751,0,0,54167528563,582072024,113867,2156,728,381,391892,0 139,2,2024-09-07 08:22:50:704,85147,85147,0,0,5478106,0,3097 139,3,2024-09-07 08:22:51:664,1,67,6,0,244,1305,67,0 140,0,2024-09-07 08:22:51:615,12461,0.3,12349,0.5,24927,0.2,32560,1.75 140,1,2024-09-07 08:22:51:537,118372,118372,0,0,55346165160,574675664,117444,731,197,365,391497,0 140,2,2024-09-07 08:22:50:692,85809,85809,0,0,4360297,0,3388 140,3,2024-09-07 08:22:50:772,1,67,2,0,25,523,67,0 141,0,2024-09-07 08:22:51:725,14606,0.2,15189,0.4,29513,0.1,39883,1.50 141,1,2024-09-07 08:22:50:860,118144,118144,0,0,55282599296,578366858,116725,1088,331,382,391538,0 141,2,2024-09-07 08:22:51:695,84310,84310,0,0,3856523,0,2342 141,3,2024-09-07 08:22:51:045,1,67,1,0,147,532,67,0 142,0,2024-09-07 08:22:51:324,20622,0.4,20367,0.6,40471,0.4,53966,1.75 142,1,2024-09-07 08:22:50:596,118224,118224,0,0,55465716525,579248104,117677,532,15,384,391649,0 142,2,2024-09-07 08:22:51:317,83889,83889,0,0,4168918,0,2293 142,3,2024-09-07 08:22:51:747,1,67,4,0,484,1189,67,0 143,0,2024-09-07 08:22:51:385,19537,1.2,19546,1.0,39399,1.6,52163,2.00 143,1,2024-09-07 08:22:50:567,117817,117817,0,0,55132606627,574343762,116842,949,26,367,391608,0 143,2,2024-09-07 08:22:50:785,84451,84451,0,0,4558161,0,2669 143,3,2024-09-07 08:22:51:141,1,67,1,0,236,1080,67,0 144,0,2024-09-07 08:22:51:506,12921,1.5,13360,6.3,26808,1.8,36452,2.25 144,1,2024-09-07 08:22:50:573,117286,117286,0,0,54820128777,579321154,115719,1377,190,383,391638,0 144,2,2024-09-07 08:22:51:756,85180,85180,0,0,3958184,0,3473 144,3,2024-09-07 08:22:51:741,1,67,1,1,169,1215,67,0 145,0,2024-09-07 08:22:51:371,13861,0.4,13849,0.6,29313,0.3,38056,2.00 145,1,2024-09-07 08:22:50:570,116860,116860,0,0,55574184880,588367486,114935,1661,264,383,391615,0 145,2,2024-09-07 08:22:51:432,84765,84765,0,0,4895552,0,3903 145,3,2024-09-07 08:22:50:906,1,67,2,0,151,967,67,0 146,0,2024-09-07 08:22:51:629,20190,0.7,20187,0.9,40427,0.9,54240,2.25 146,1,2024-09-07 08:22:51:594,117754,117754,0,0,54988436042,581957398,115462,1991,301,369,391600,0 146,2,2024-09-07 08:22:51:700,84526,84526,0,0,4430530,0,2379 146,3,2024-09-07 08:22:51:285,1,67,23,0,1520,2986,67,0 147,0,2024-09-07 08:22:51:718,19971,3.2,19794,1.8,39224,4.6,54229,3.25 147,1,2024-09-07 08:22:51:375,117565,117565,0,0,55101966032,576545092,115856,1355,354,368,391791,0 147,2,2024-09-07 08:22:51:045,83213,83213,0,0,4238029,0,2789 147,3,2024-09-07 08:22:50:914,1,67,6,1,141,779,67,0 0,0,2024-09-07 08:23:01:732,18170,0.7,18133,0.9,38368,0.9,49993,2.00 0,1,2024-09-07 08:23:00:804,119436,119436,0,0,56161848020,592415383,118213,1096,127,372,391673,0 0,2,2024-09-07 08:23:01:090,86182,86182,0,0,4459231,0,4480 0,3,2024-09-07 08:23:00:977,1,68,9,0,247,1090,68,0 1,0,2024-09-07 08:23:01:792,19514,3.0,19430,2.0,39045,4.6,52757,3.00 1,1,2024-09-07 08:23:00:567,118679,118679,0,0,55149590863,582764804,116990,1161,528,372,391857,0 1,2,2024-09-07 08:23:00:654,82144,82144,0,0,4035864,0,3267 1,3,2024-09-07 08:23:01:302,1,68,1,0,167,718,68,0 2,0,2024-09-07 08:23:01:573,16632,1.6,16777,1.5,33260,3.2,44403,2.50 2,1,2024-09-07 08:23:00:866,119309,119309,0,0,56586852363,591805912,118229,877,203,381,391558,0 2,2,2024-09-07 08:23:01:279,86863,86863,0,0,4231676,0,3304 2,3,2024-09-07 08:23:00:694,1,68,0,0,214,801,68,0 3,0,2024-09-07 08:23:01:754,12594,0.3,12580,0.6,25209,0.2,32995,1.75 3,1,2024-09-07 08:23:01:618,118754,118754,0,0,55937334399,588214501,116776,1592,386,382,391516,0 3,2,2024-09-07 08:23:01:151,87174,87151,23,0,4709607,0,5851 3,3,2024-09-07 08:23:01:753,1,68,1,0,103,557,68,0 4,0,2024-09-07 08:23:01:802,15746,0.3,16125,0.5,32893,0.3,43705,1.75 4,1,2024-09-07 08:23:00:595,119074,119074,0,0,55666688005,591274693,117181,1475,418,371,391846,0 4,2,2024-09-07 08:23:01:046,85100,85100,0,0,5286574,0,4528 4,3,2024-09-07 08:23:01:032,1,68,47,1,287,1326,68,0 5,0,2024-09-07 08:23:01:409,19714,0.8,19437,0.8,39394,1.1,51877,2.00 5,1,2024-09-07 08:23:00:769,118957,118957,0,0,55679270614,590496749,116753,1763,441,368,392005,0 5,2,2024-09-07 08:23:01:829,83516,83516,0,0,4211989,0,2259 5,3,2024-09-07 08:23:01:732,1,68,0,0,238,1452,68,0 6,0,2024-09-07 08:23:00:943,19278,1.3,19062,1.4,38041,1.8,50901,2.75 6,1,2024-09-07 08:23:00:752,119532,119532,0,0,55773010197,584280862,118414,1049,69,381,391603,0 6,2,2024-09-07 08:23:01:123,87312,87312,0,0,4491947,0,2411 6,3,2024-09-07 08:23:01:284,1,68,4,1,340,1418,68,0 7,0,2024-09-07 08:23:01:544,12553,0.3,12567,0.5,25294,0.2,33567,1.75 7,1,2024-09-07 08:23:00:855,119542,119542,0,0,55947609224,586909387,118562,916,64,383,391664,0 7,2,2024-09-07 08:23:00:780,86190,86190,0,0,4237728,0,2981 7,3,2024-09-07 08:23:00:861,1,68,1,0,83,651,68,0 8,0,2024-09-07 08:23:01:364,15103,0.3,14969,0.5,29564,0.2,40173,1.50 8,1,2024-09-07 08:23:01:021,119000,119000,0,0,55194445563,592576825,115524,2223,1253,368,391724,0 8,2,2024-09-07 08:23:00:799,84319,84319,0,0,5102747,0,2986 8,3,2024-09-07 08:23:00:585,1,68,2,0,229,1047,68,0 9,0,2024-09-07 08:23:01:154,20926,0.4,20391,0.6,42696,0.4,55469,1.75 9,1,2024-09-07 08:23:00:564,119287,119287,0,0,56109675984,595967572,116861,1858,568,370,391738,0 9,2,2024-09-07 08:23:01:103,86034,86034,0,0,4692068,0,3360 9,3,2024-09-07 08:23:01:752,1,68,1,0,149,998,68,0 10,0,2024-09-07 08:23:01:614,20484,0.9,20573,0.9,41087,0.9,55065,2.25 10,1,2024-09-07 08:23:00:588,118572,118572,0,0,55221707109,588757283,115236,2814,522,382,391541,0 10,2,2024-09-07 08:23:00:765,85010,85010,0,0,4766967,0,2940 10,3,2024-09-07 08:23:00:876,1,68,2,0,136,574,68,0 11,0,2024-09-07 08:23:01:019,13286,2.2,13031,1.6,27222,5.0,36861,2.50 11,1,2024-09-07 08:23:00:572,118875,118875,0,0,55424788611,588248770,116234,1826,815,384,391537,0 11,2,2024-09-07 08:23:01:131,86065,86065,0,0,4528370,0,2635 11,3,2024-09-07 08:23:01:297,1,68,69,0,720,2242,68,0 12,0,2024-09-07 08:23:01:074,14909,0.3,14924,0.5,29637,0.2,39665,1.50 12,1,2024-09-07 08:23:00:960,118900,118900,0,0,54804810901,577835624,117257,1544,99,371,391790,0 12,2,2024-09-07 08:23:01:548,87222,87222,0,0,4154564,0,2254 12,3,2024-09-07 08:23:01:066,1,68,7,1,358,1389,68,0 13,0,2024-09-07 08:23:01:366,19375,0.4,19569,0.6,38986,0.4,51950,1.75 13,1,2024-09-07 08:23:01:531,119136,119136,0,0,55454916275,586928073,117545,1224,367,384,391717,0 13,2,2024-09-07 08:23:00:595,86622,86622,0,0,3899020,0,3287 13,3,2024-09-07 08:23:01:775,1,68,1,1,373,1228,68,0 14,0,2024-09-07 08:23:00:578,20556,1.0,20613,1.1,40804,1.2,54784,2.25 14,1,2024-09-07 08:23:01:562,120094,120094,0,0,55489675945,577258338,119214,850,30,365,391546,0 14,2,2024-09-07 08:23:00:766,82545,82545,0,0,4349058,0,2793 14,3,2024-09-07 08:23:01:120,1,68,0,0,906,1272,68,0 15,0,2024-09-07 08:23:01:561,15478,2.1,15321,1.6,30737,4.1,41322,3.25 15,1,2024-09-07 08:23:01:611,119011,119011,0,0,55434149125,578893145,118227,749,35,381,391536,0 15,2,2024-09-07 08:23:01:006,87586,87586,0,0,3490034,0,3043 15,3,2024-09-07 08:23:01:408,1,68,1,0,538,1839,68,0 16,0,2024-09-07 08:23:00:956,13098,0.3,13345,0.6,26277,0.2,35329,2.00 16,1,2024-09-07 08:23:00:566,118863,118863,0,0,55412614267,583353210,117735,1101,27,372,391666,0 16,2,2024-09-07 08:23:01:435,86562,86562,0,0,4827390,0,4719 16,3,2024-09-07 08:23:01:148,1,68,1,1,231,1268,68,0 17,0,2024-09-07 08:23:01:848,18154,0.5,17773,0.7,34923,0.5,47564,2.00 17,1,2024-09-07 08:23:00:591,119062,119062,0,0,55585403746,590019924,117190,1350,522,369,391688,0 17,2,2024-09-07 08:23:01:686,86651,86651,0,0,3717822,0,2857 17,3,2024-09-07 08:23:00:585,1,68,10,0,268,1422,68,0 18,0,2024-09-07 08:23:00:951,18812,1.6,19025,1.3,38118,2.0,50518,2.75 18,1,2024-09-07 08:23:01:639,119127,119127,0,0,55856693745,584091617,117878,1031,218,368,391555,0 18,2,2024-09-07 08:23:01:761,82465,82465,0,0,4541920,0,3541 18,3,2024-09-07 08:23:00:896,1,68,1,0,163,934,68,0 19,0,2024-09-07 08:23:01:547,17570,1.8,17664,1.5,34901,1.9,46437,5.25 19,1,2024-09-07 08:23:00:566,119550,119550,0,0,56211299140,590888525,117685,1702,163,367,391696,0 19,2,2024-09-07 08:23:01:752,87702,87702,0,0,4204714,0,3988 19,3,2024-09-07 08:23:01:129,1,68,94,0,524,1161,68,0 20,0,2024-09-07 08:23:01:365,12553,0.3,12553,0.5,24774,0.2,32371,2.00 20,1,2024-09-07 08:23:00:579,119332,119332,0,0,56322491022,594137384,117637,1533,162,370,391598,0 20,2,2024-09-07 08:23:00:940,86741,86741,0,0,4164631,0,2446 20,3,2024-09-07 08:23:00:593,1,68,1,1,99,887,68,0 21,0,2024-09-07 08:23:01:215,15534,0.4,15431,0.6,30647,0.3,40761,1.75 21,1,2024-09-07 08:23:01:540,118641,118641,0,0,55486589507,589921878,116312,1835,494,368,391962,0 21,2,2024-09-07 08:23:01:147,84979,84979,0,0,4388372,0,3747 21,3,2024-09-07 08:23:01:408,1,68,8,0,93,1027,68,0 22,0,2024-09-07 08:23:01:745,20089,2.2,20049,1.4,40007,4.0,53263,3.25 22,1,2024-09-07 08:23:01:027,118913,118913,0,0,55582690055,592363484,115773,2414,726,382,391667,0 22,2,2024-09-07 08:23:00:765,85019,85019,0,0,3868331,0,3134 22,3,2024-09-07 08:23:01:075,1,68,1,0,62,411,68,0 23,0,2024-09-07 08:23:01:398,19625,1.4,19769,1.1,39527,2.1,52712,2.50 23,1,2024-09-07 08:23:01:012,119703,119703,0,0,56054902415,585218106,118355,1094,254,367,391496,0 23,2,2024-09-07 08:23:01:102,86260,86260,0,0,4054825,0,2078 23,3,2024-09-07 08:23:01:754,1,68,1,0,645,1289,68,0 24,0,2024-09-07 08:23:00:829,14043,0.6,14019,0.7,28102,0.7,37472,1.75 24,1,2024-09-07 08:23:00:585,119508,119508,0,0,55863092041,584364498,118696,805,7,369,391640,0 24,2,2024-09-07 08:23:01:120,85497,85497,0,0,5148317,0,2942 24,3,2024-09-07 08:23:01:690,1,68,9,1,234,1079,68,0 25,0,2024-09-07 08:23:01:358,14999,0.3,14704,0.5,28667,0.2,39022,1.75 25,1,2024-09-07 08:23:00:567,118854,118854,0,0,55640844004,589816593,116704,1834,316,371,391788,0 25,2,2024-09-07 08:23:01:621,85556,85556,0,0,4726757,0,3284 25,3,2024-09-07 08:23:01:005,1,68,1,1,158,715,68,0 26,0,2024-09-07 08:23:01:749,20678,0.5,20144,0.6,42142,0.4,55934,1.75 26,1,2024-09-07 08:23:01:547,119194,119194,0,0,55337817520,590532353,115733,2626,835,381,391564,0 26,2,2024-09-07 08:23:01:069,86449,86449,0,0,4795959,0,2809 26,3,2024-09-07 08:23:01:730,1,68,1,0,796,1265,68,0 27,0,2024-09-07 08:23:01:740,20396,1.2,20492,1.0,40917,1.8,55094,2.00 27,1,2024-09-07 08:23:01:678,119985,119985,0,0,56701282844,591344436,118915,775,295,381,391539,0 27,2,2024-09-07 08:23:00:867,83022,83022,0,0,5683649,0,3409 27,3,2024-09-07 08:23:01:015,1,68,1,0,564,1032,68,0 28,0,2024-09-07 08:23:01:421,14416,0.7,14658,0.9,29098,1.0,38713,2.00 28,1,2024-09-07 08:23:00:801,119831,119831,0,0,56449302692,590764929,118772,859,200,383,391646,0 28,2,2024-09-07 08:23:01:782,86314,86314,0,0,3696535,0,2609 28,3,2024-09-07 08:23:01:786,1,68,1,0,502,1170,68,0 29,0,2024-09-07 08:23:01:376,14075,0.3,13732,0.5,26935,0.2,36838,1.75 29,1,2024-09-07 08:23:01:563,120377,120377,0,0,55812179567,578682746,119634,571,172,369,391621,0 29,2,2024-09-07 08:23:00:866,87894,87894,0,0,3250821,0,2026 29,3,2024-09-07 08:23:00:969,1,68,1,0,105,652,68,0 30,0,2024-09-07 08:23:01:473,18635,0.5,18153,0.7,38005,0.5,50036,2.00 30,1,2024-09-07 08:23:00:571,119568,119568,0,0,56360200172,588997072,118593,910,65,382,391524,0 30,2,2024-09-07 08:23:01:274,86916,86916,0,0,3276909,0,2534 30,3,2024-09-07 08:23:00:581,1,68,1,0,195,620,68,0 31,0,2024-09-07 08:23:01:769,19608,1.6,19508,1.2,39514,2.5,52462,3.00 31,1,2024-09-07 08:23:00:566,120043,120043,0,0,56687423922,582491693,119794,247,2,356,391553,0 31,2,2024-09-07 08:23:01:278,82532,82532,0,0,4633267,0,3525 31,3,2024-09-07 08:23:01:707,1,68,1,0,129,546,68,0 32,0,2024-09-07 08:23:01:456,16936,1.6,17005,1.2,34178,2.9,45064,1.75 32,1,2024-09-07 08:23:00:805,119412,119412,0,0,56171311860,586626285,118412,971,29,382,391595,0 32,2,2024-09-07 08:23:00:941,87697,87697,0,0,3953410,0,3155 32,3,2024-09-07 08:23:01:015,1,68,1,0,110,626,68,0 33,0,2024-09-07 08:23:01:519,12550,0.2,12405,0.4,25250,0.2,32897,1.75 33,1,2024-09-07 08:23:00:580,119806,119806,0,0,56478232421,590008698,118145,1538,123,369,391526,0 33,2,2024-09-07 08:23:00:769,87036,87003,33,0,5511874,0,7012 33,3,2024-09-07 08:23:00:896,1,68,0,0,129,493,68,0 34,0,2024-09-07 08:23:00:952,16242,0.2,16777,0.4,32208,0.1,43701,1.50 34,1,2024-09-07 08:23:01:049,119788,119788,0,0,56264541528,581993280,119194,590,4,367,391517,0 34,2,2024-09-07 08:23:00:768,84987,84987,0,0,4503620,0,3255 34,3,2024-09-07 08:23:01:689,1,68,5,0,148,676,68,0 35,0,2024-09-07 08:23:00:888,19391,0.9,19612,0.9,39109,1.3,51763,2.00 35,1,2024-09-07 08:23:01:080,119431,119431,0,0,55981013631,584672744,117966,1104,361,384,391587,0 35,2,2024-09-07 08:23:01:590,83700,83700,0,0,3898478,0,2542 35,3,2024-09-07 08:23:00:909,1,68,2,0,219,1039,68,0 36,0,2024-09-07 08:23:01:546,19216,2.0,19144,1.4,38652,2.8,50901,2.75 36,1,2024-09-07 08:23:00:589,119214,119214,0,0,56056270799,593794668,116203,2080,931,366,391535,0 36,2,2024-09-07 08:23:01:753,86762,86762,0,0,5058127,0,3303 36,3,2024-09-07 08:23:00:869,1,68,1,0,378,1082,68,0 37,0,2024-09-07 08:23:01:389,12680,0.3,12656,0.5,25442,0.2,33748,1.75 37,1,2024-09-07 08:23:00:579,118853,118846,0,7,55935189571,596212064,116007,1322,1517,365,391518,0 37,2,2024-09-07 08:23:01:155,86383,86383,0,0,3707936,0,2333 37,3,2024-09-07 08:23:01:765,1,68,1,0,724,1775,68,0 38,0,2024-09-07 08:23:01:447,14910,0.3,14475,0.5,30055,0.2,40238,1.75 38,1,2024-09-07 08:23:01:606,119493,119493,0,0,56123288580,591527109,117340,1706,447,370,391821,0 38,2,2024-09-07 08:23:00:760,85330,85330,0,0,4476907,0,3245 38,3,2024-09-07 08:23:01:005,1,68,4,0,603,1397,68,0 39,0,2024-09-07 08:23:01:771,21528,1.0,21158,0.9,40990,1.3,55634,2.00 39,1,2024-09-07 08:23:00:720,119547,119547,0,0,55736419780,588608030,116915,1994,638,366,391524,0 39,2,2024-09-07 08:23:01:423,85897,85897,0,0,4116831,0,2381 39,3,2024-09-07 08:23:00:735,1,68,1,0,276,1193,68,0 40,0,2024-09-07 08:23:01:498,20082,1.9,20450,2.2,40473,4.0,54648,3.75 40,1,2024-09-07 08:23:00:587,119003,119003,0,0,54874276760,582936853,115751,2499,753,370,391591,0 40,2,2024-09-07 08:23:01:309,85044,85043,1,0,5067064,0,5137 40,3,2024-09-07 08:23:01:146,1,68,12,1,110,768,68,0 41,0,2024-09-07 08:23:01:095,13088,0.8,13442,5.5,25699,3.7,35767,2.75 41,1,2024-09-07 08:23:00:768,118879,118879,0,0,56171044006,593006413,116559,2007,313,370,391742,0 41,2,2024-09-07 08:23:00:765,86065,86065,0,0,5288804,0,3356 41,3,2024-09-07 08:23:01:678,1,68,26,0,168,787,68,0 42,0,2024-09-07 08:23:01:484,14669,0.5,14863,0.7,29577,0.4,39713,2.00 42,1,2024-09-07 08:23:01:440,118737,118737,0,0,55422021557,590019342,115744,2163,830,381,391511,0 42,2,2024-09-07 08:23:01:133,86588,86588,0,0,4614560,0,3568 42,3,2024-09-07 08:23:01:011,1,68,1,0,100,660,68,0 43,0,2024-09-07 08:23:00:947,19422,0.9,18987,1.0,39337,0.9,52062,2.00 43,1,2024-09-07 08:23:00:580,118838,118838,0,0,55933597908,589169076,116647,1439,752,367,391604,0 43,2,2024-09-07 08:23:01:743,86830,86830,0,0,4793919,0,3812 43,3,2024-09-07 08:23:01:757,1,68,1,0,325,1696,68,0 44,0,2024-09-07 08:23:00:898,20559,1.0,20479,1.1,40907,1.0,55254,2.00 44,1,2024-09-07 08:23:00:571,119472,119472,0,0,55563334421,576866100,118454,911,107,356,391523,0 44,2,2024-09-07 08:23:01:286,82601,82601,0,0,3374999,0,1865 44,3,2024-09-07 08:23:01:107,1,68,2,1,817,1322,68,0 45,0,2024-09-07 08:23:01:811,15247,2.7,14946,1.7,31351,5.5,41660,2.00 45,1,2024-09-07 08:23:01:005,119613,119613,0,0,56165682551,584417298,119147,465,1,382,391917,0 45,2,2024-09-07 08:23:01:286,87653,87653,0,0,4029864,0,2628 45,3,2024-09-07 08:23:00:941,1,68,1,0,226,778,68,0 46,0,2024-09-07 08:23:00:995,13236,0.2,13284,0.4,26596,0.1,35373,1.50 46,1,2024-09-07 08:23:00:575,119703,119703,0,0,56072858843,581636764,118873,794,36,368,391514,0 46,2,2024-09-07 08:23:00:593,87468,87468,0,0,3971684,0,2920 46,3,2024-09-07 08:23:01:137,1,68,1,0,315,1052,68,0 47,0,2024-09-07 08:23:01:117,17728,0.3,17887,0.5,35672,0.3,47428,1.75 47,1,2024-09-07 08:23:00:567,120084,120084,0,0,56147581981,580610390,119576,504,4,366,391605,0 47,2,2024-09-07 08:23:00:924,87036,87036,0,0,3958370,0,2558 47,3,2024-09-07 08:23:01:120,1,68,1,0,529,1076,68,0 48,0,2024-09-07 08:23:01:528,19614,0.4,19352,0.6,38289,0.4,51282,1.75 48,1,2024-09-07 08:23:01:032,119672,119672,0,0,56081657582,586168032,118476,1191,5,386,391637,0 48,2,2024-09-07 08:23:00:711,83170,83170,0,0,3238748,0,2083 48,3,2024-09-07 08:23:00:755,1,68,1,0,30,604,68,0 49,0,2024-09-07 08:23:01:752,18327,1.4,17861,1.2,35089,2.8,47849,2.00 49,1,2024-09-07 08:23:01:035,119030,119030,0,0,55261407392,581860299,117293,1028,709,382,391583,0 49,2,2024-09-07 08:23:01:804,87633,87633,0,0,4389719,0,3900 49,3,2024-09-07 08:23:01:421,1,68,1,0,274,807,68,0 50,0,2024-09-07 08:23:01:515,12588,0.2,12298,0.4,24967,0.1,32734,1.50 50,1,2024-09-07 08:23:01:019,120324,120324,0,0,56113377456,586123035,118658,1369,297,368,391530,0 50,2,2024-09-07 08:23:01:076,87144,87144,0,0,3627900,0,2253 50,3,2024-09-07 08:23:01:292,1,68,1,0,335,873,68,0 51,0,2024-09-07 08:23:01:691,15662,0.2,15289,0.4,29926,0.1,41031,1.50 51,1,2024-09-07 08:23:01:680,119450,119450,0,0,56629110016,592365337,117651,1016,783,367,391637,0 51,2,2024-09-07 08:23:01:316,84638,84638,0,0,3112311,0,2448 51,3,2024-09-07 08:23:01:027,1,68,2,0,162,482,68,0 52,0,2024-09-07 08:23:01:432,20323,0.9,20525,1.0,40986,1.0,53768,2.25 52,1,2024-09-07 08:23:00:579,119098,119098,0,0,55174281583,584454117,116588,2046,464,368,391529,0 52,2,2024-09-07 08:23:01:776,84878,84878,0,0,4349421,0,4779 52,3,2024-09-07 08:23:00:675,1,68,1,0,1782,2425,68,0 53,0,2024-09-07 08:23:01:772,19569,2.2,18845,1.5,39131,3.2,51948,3.00 53,1,2024-09-07 08:23:00:771,118985,118985,0,0,56195706756,594788964,116364,2047,574,370,391617,0 53,2,2024-09-07 08:23:01:309,87064,87064,0,0,3908298,0,1902 53,3,2024-09-07 08:23:00:705,1,68,0,0,59,465,68,0 54,0,2024-09-07 08:23:01:645,13131,9.3,13295,3.3,26256,1.6,36494,5.00 54,1,2024-09-07 08:23:00:595,119041,119041,0,0,56111265993,589541403,116933,1792,316,367,391520,0 54,2,2024-09-07 08:23:00:866,86576,86570,6,0,4753905,0,5382 54,3,2024-09-07 08:23:00:764,1,68,1,0,676,1694,68,0 55,0,2024-09-07 08:23:01:776,14347,0.4,14642,0.6,29959,0.3,38622,2.25 55,1,2024-09-07 08:23:00:771,118784,118784,0,0,55241039089,585434142,115302,2780,702,367,391731,0 55,2,2024-09-07 08:23:00:737,85682,85682,0,0,4685312,0,3275 55,3,2024-09-07 08:23:00:677,1,68,28,0,136,827,68,0 56,0,2024-09-07 08:23:01:602,21281,2.0,20087,1.4,41323,2.4,55761,2.50 56,1,2024-09-07 08:23:00:575,118497,118497,0,0,55805596882,598285881,115106,2644,747,383,391678,0 56,2,2024-09-07 08:23:01:311,87029,87029,0,0,5059027,0,3567 56,3,2024-09-07 08:23:01:069,1,68,2,0,297,953,68,0 57,0,2024-09-07 08:23:00:980,20016,3.5,19750,2.0,39723,5.8,53769,4.50 57,1,2024-09-07 08:23:01:003,118601,118601,0,0,55008257352,579083686,117126,1455,20,368,391760,0 57,2,2024-09-07 08:23:01:326,84244,84244,0,0,4919547,0,3178 57,3,2024-09-07 08:23:01:741,1,68,46,1,359,1571,68,0 58,0,2024-09-07 08:23:00:563,13694,0.8,13352,1.1,27993,0.7,36768,2.75 58,1,2024-09-07 08:23:00:579,119920,119917,0,3,56543545918,591789393,118320,1437,160,369,391516,3 58,2,2024-09-07 08:23:01:080,86400,86400,0,0,4682736,0,2549 58,3,2024-09-07 08:23:01:076,1,68,1,1,219,840,68,0 59,0,2024-09-07 08:23:01:755,13854,0.6,13687,0.8,27661,0.4,36382,2.25 59,1,2024-09-07 08:23:00:808,118847,118847,0,0,55489879863,587368371,116601,1479,767,369,391515,0 59,2,2024-09-07 08:23:00:583,87415,87415,0,0,4165398,0,2604 59,3,2024-09-07 08:23:01:740,1,68,245,0,1015,1919,68,0 60,0,2024-09-07 08:23:01:826,18831,0.5,18934,0.6,37527,0.6,50215,1.75 60,1,2024-09-07 08:23:00:785,120273,120273,0,0,56138423808,585878922,119196,731,346,370,391758,0 60,2,2024-09-07 08:23:01:147,86421,86421,0,0,3758126,0,2142 60,3,2024-09-07 08:23:01:282,1,68,11,0,124,915,68,0 61,0,2024-09-07 08:23:01:571,19503,3.0,19507,1.7,39054,4.4,52474,2.50 61,1,2024-09-07 08:23:00:786,119160,119160,0,0,56001801018,593715889,116606,2019,535,383,391589,0 61,2,2024-09-07 08:23:01:133,82856,82856,0,0,3777547,0,1846 61,3,2024-09-07 08:23:01:695,1,68,24,0,199,1101,68,0 62,0,2024-09-07 08:23:01:729,16995,1.7,17410,1.3,33282,3.4,44991,2.25 62,1,2024-09-07 08:23:01:128,120067,120061,0,6,56137836928,583490344,118810,1214,37,365,391586,6 62,2,2024-09-07 08:23:01:651,87285,87285,0,0,4366117,0,2931 62,3,2024-09-07 08:23:01:142,1,68,1,0,287,658,68,0 63,0,2024-09-07 08:23:01:469,12475,0.4,12418,0.6,25045,0.2,32936,1.75 63,1,2024-09-07 08:23:00:814,120340,120336,0,4,55779834606,578188291,119934,400,2,381,391540,4 63,2,2024-09-07 08:23:00:774,86998,86998,0,0,3887051,0,2674 63,3,2024-09-07 08:23:01:733,1,68,35,0,667,1834,68,0 64,0,2024-09-07 08:23:01:555,16153,0.3,16133,0.6,32330,0.3,43806,1.75 64,1,2024-09-07 08:23:00:764,119592,119592,0,0,55679968341,590072660,117155,1644,793,371,391783,0 64,2,2024-09-07 08:23:01:150,85714,85695,19,0,4406250,0,6121 64,3,2024-09-07 08:23:01:146,1,68,2,1,265,993,68,0 65,0,2024-09-07 08:23:01:820,19002,2.5,19377,1.6,38635,3.4,51255,3.75 65,1,2024-09-07 08:23:00:883,119152,119152,0,0,55331589555,583649097,117444,1489,219,382,391701,0 65,2,2024-09-07 08:23:01:713,84052,84052,0,0,4487638,0,3367 65,3,2024-09-07 08:23:01:689,1,68,10,0,163,832,68,0 66,0,2024-09-07 08:23:01:772,18718,0.9,18964,1.1,37885,0.9,50236,2.75 66,1,2024-09-07 08:23:01:301,120213,120213,0,0,56166926133,584358163,119522,670,21,380,391588,0 66,2,2024-09-07 08:23:01:143,87674,87674,0,0,4155375,0,3867 66,3,2024-09-07 08:23:01:094,1,68,1,1,291,925,68,0 67,0,2024-09-07 08:23:01:436,12837,0.5,12871,0.7,25376,0.3,33667,2.25 67,1,2024-09-07 08:23:00:766,118950,118949,0,1,55574601393,587117601,116929,1495,525,382,391787,1 67,2,2024-09-07 08:23:00:583,87112,87112,0,0,3829219,0,2889 67,3,2024-09-07 08:23:01:753,1,68,1,0,138,643,68,0 68,0,2024-09-07 08:23:00:586,14918,0.5,14877,0.8,29806,0.4,39972,2.00 68,1,2024-09-07 08:23:00:570,118627,118627,0,0,54800471408,578588251,117213,892,522,382,391953,0 68,2,2024-09-07 08:23:01:086,85294,85294,0,0,3996855,0,4883 68,3,2024-09-07 08:23:00:727,1,68,2,1,63,743,68,0 69,0,2024-09-07 08:23:01:746,20988,1.6,20971,1.2,41722,2.0,55606,2.75 69,1,2024-09-07 08:23:01:016,118702,118702,0,0,56181896237,599030155,116709,1128,865,385,391532,0 69,2,2024-09-07 08:23:01:733,86121,86121,0,0,4574124,0,3153 69,3,2024-09-07 08:23:00:764,1,68,1,0,238,1135,68,0 70,0,2024-09-07 08:23:01:551,20185,3.5,20171,2.5,40723,2.4,54332,4.75 70,1,2024-09-07 08:23:00:801,119746,119746,0,0,56921197293,591993166,118832,779,135,368,391527,0 70,2,2024-09-07 08:23:01:328,85170,85170,0,0,4468210,0,4044 70,3,2024-09-07 08:23:00:746,1,68,2,0,178,484,68,0 71,0,2024-09-07 08:23:01:365,13292,1.7,13219,3.7,26041,2.7,36032,4.25 71,1,2024-09-07 08:23:01:604,119357,119357,0,0,56684937901,596292786,117155,1886,316,368,391682,0 71,2,2024-09-07 08:23:01:082,86120,86120,0,0,4209487,0,2146 71,3,2024-09-07 08:23:01:750,1,68,219,0,219,812,68,0 72,0,2024-09-07 08:23:01:110,15178,0.4,14808,0.6,29242,0.3,39826,2.50 72,1,2024-09-07 08:23:01:029,119812,119812,0,0,55689542975,583645703,118264,1470,78,370,391556,0 72,2,2024-09-07 08:23:01:763,85947,85947,0,0,5379560,0,2570 72,3,2024-09-07 08:23:01:755,1,68,1,0,325,1304,68,0 73,0,2024-09-07 08:23:01:248,18981,0.5,19550,0.7,39760,0.4,51766,2.25 73,1,2024-09-07 08:23:00:779,119418,119418,0,0,55955052316,584826309,118455,919,44,368,391627,0 73,2,2024-09-07 08:23:01:738,87415,87415,0,0,4920874,0,3482 73,3,2024-09-07 08:23:00:969,1,68,1,0,274,1303,68,0 74,0,2024-09-07 08:23:01:337,20365,1.8,20873,1.4,40108,2.7,54795,3.25 74,1,2024-09-07 08:23:00:645,119314,119314,0,0,55476680614,583700642,117417,1325,572,382,391511,0 74,2,2024-09-07 08:23:01:006,82543,82543,0,0,5082793,0,4253 74,3,2024-09-07 08:23:01:442,1,68,1,0,246,1348,68,0 75,0,2024-09-07 08:23:01:800,15464,2.7,15506,1.7,30969,4.9,41697,3.25 75,1,2024-09-07 08:23:01:584,119505,119505,0,0,55733651631,586809504,117820,1581,104,382,391514,0 75,2,2024-09-07 08:23:01:351,86623,86623,0,0,5003527,0,4766 75,3,2024-09-07 08:23:01:081,1,68,12,0,143,441,68,0 76,0,2024-09-07 08:23:00:601,13276,0.3,13129,0.6,26360,0.2,35249,2.00 76,1,2024-09-07 08:23:00:807,119048,119048,0,0,55665095984,587525202,117238,1304,506,382,391530,0 76,2,2024-09-07 08:23:01:079,87607,87607,0,0,3966332,0,3064 76,3,2024-09-07 08:23:01:154,1,68,4,0,175,997,68,0 77,0,2024-09-07 08:23:01:734,17630,0.6,17792,0.8,35576,0.6,47400,2.00 77,1,2024-09-07 08:23:00:833,119371,119371,0,0,55896258967,585354031,118336,966,69,383,391516,0 77,2,2024-09-07 08:23:01:282,86446,86446,0,0,3389406,0,1695 77,3,2024-09-07 08:23:01:104,1,68,2,0,139,705,68,0 78,0,2024-09-07 08:23:01:725,19287,1.3,19139,1.2,38404,2.0,50955,2.50 78,1,2024-09-07 08:23:00:626,119892,119892,0,0,55100529712,577121384,118474,1213,205,367,391589,0 78,2,2024-09-07 08:23:01:408,82967,82967,0,0,3390126,0,2114 78,3,2024-09-07 08:23:01:138,1,68,1,0,181,1009,68,0 79,0,2024-09-07 08:23:01:353,17203,1.0,17611,1.1,35949,1.2,46846,3.25 79,1,2024-09-07 08:23:00:583,119646,119646,0,0,56394149524,588551746,118309,1268,69,370,391609,0 79,2,2024-09-07 08:23:01:080,87671,87671,0,0,3910887,0,2679 79,3,2024-09-07 08:23:00:763,1,68,1,0,289,842,68,0 80,0,2024-09-07 08:23:01:091,12417,0.4,12725,0.6,24681,0.3,32594,2.00 80,1,2024-09-07 08:23:01:618,119024,119024,0,0,55878101246,585115530,117436,1473,115,369,391673,0 80,2,2024-09-07 08:23:01:108,87023,87023,0,0,4240454,0,4433 80,3,2024-09-07 08:23:00:595,1,68,2,1,190,1220,68,0 81,0,2024-09-07 08:23:01:561,15394,0.4,15762,0.7,30141,0.4,41006,2.00 81,1,2024-09-07 08:23:01:653,119132,119132,0,0,55580036628,586504286,117246,1688,198,383,391680,0 81,2,2024-09-07 08:23:01:158,84670,84670,0,0,4479469,0,3993 81,3,2024-09-07 08:23:01:131,1,68,48,0,193,834,68,0 82,0,2024-09-07 08:23:01:539,20228,1.1,20287,1.1,40555,1.4,53831,2.25 82,1,2024-09-07 08:23:00:583,119199,119197,0,2,56342837180,592581739,117159,1466,572,383,391558,2 82,2,2024-09-07 08:23:01:704,86085,86085,0,0,3449941,0,2230 82,3,2024-09-07 08:23:01:755,1,68,1,0,227,1307,68,0 83,0,2024-09-07 08:23:01:553,19588,1.7,19546,1.4,38801,2.0,51708,3.00 83,1,2024-09-07 08:23:00:551,119265,119265,0,0,55291755382,581369227,117848,1317,100,383,391553,0 83,2,2024-09-07 08:23:00:772,85875,85875,0,0,4452448,0,3119 83,3,2024-09-07 08:23:00:760,1,68,1,0,91,595,68,0 84,0,2024-09-07 08:23:01:850,13559,4.6,13374,4.0,26827,1.0,37039,3.00 84,1,2024-09-07 08:23:01:049,119094,119094,0,0,55966703348,590381481,117484,1363,247,369,391638,0 84,2,2024-09-07 08:23:00:576,85901,85901,0,0,4473751,0,3801 84,3,2024-09-07 08:23:01:152,1,68,16,1,58,746,68,0 85,0,2024-09-07 08:23:01:042,14167,0.4,14228,0.6,30004,0.3,38812,1.75 85,1,2024-09-07 08:23:00:568,118839,118839,0,0,55735349323,593681731,116390,2021,428,384,391652,0 85,2,2024-09-07 08:23:00:871,85488,85488,0,0,4841948,0,3656 85,3,2024-09-07 08:23:00:690,1,68,1,0,115,752,68,0 86,0,2024-09-07 08:23:00:883,20610,0.8,21432,0.8,41299,1.0,55978,2.00 86,1,2024-09-07 08:23:00:833,118800,118800,0,0,55843606987,587885673,117010,1574,216,367,391622,0 86,2,2024-09-07 08:23:00:867,86153,86152,1,0,5063781,0,5004 86,3,2024-09-07 08:23:00:586,1,68,8,0,199,872,68,0 87,0,2024-09-07 08:23:01:297,20307,3.0,20160,1.8,40565,4.1,54911,3.25 87,1,2024-09-07 08:23:00:562,119023,119023,0,0,55726969875,587314651,117446,1456,121,368,391671,0 87,2,2024-09-07 08:23:01:070,84042,84042,0,0,3787110,0,2859 87,3,2024-09-07 08:23:01:800,1,68,1,1,322,1153,68,0 88,0,2024-09-07 08:23:01:463,14397,0.8,14613,1.0,29046,0.9,38678,2.00 88,1,2024-09-07 08:23:00:587,118656,118656,0,0,56038944069,588967952,117448,1112,96,367,391784,0 88,2,2024-09-07 08:23:00:691,86615,86615,0,0,5100918,0,3583 88,3,2024-09-07 08:23:01:280,1,68,2,1,77,857,68,0 89,0,2024-09-07 08:23:01:884,14211,0.3,13804,0.5,27283,0.2,36831,1.75 89,1,2024-09-07 08:23:00:550,118355,118355,0,0,55853577334,599109282,115037,2582,736,383,391866,0 89,2,2024-09-07 08:23:01:154,86963,86963,0,0,4720725,0,2726 89,3,2024-09-07 08:23:01:799,1,68,52,0,325,1850,68,0 90,0,2024-09-07 08:23:01:726,18229,0.5,18604,0.6,38206,0.5,50016,1.75 90,1,2024-09-07 08:23:00:595,119037,119037,0,0,55635964915,590199977,116990,1907,140,382,391825,0 90,2,2024-09-07 08:23:01:415,85479,85479,0,0,5343873,0,2635 90,3,2024-09-07 08:23:00:953,1,68,12,1,200,936,68,0 91,0,2024-09-07 08:23:00:945,20039,0.7,19338,0.8,40220,0.8,52838,2.00 91,1,2024-09-07 08:23:00:555,119001,119001,0,0,55808456871,592515673,116619,2019,363,384,391914,0 91,2,2024-09-07 08:23:01:353,81999,81999,0,0,4298318,0,1997 91,3,2024-09-07 08:23:00:609,1,68,4,1,155,986,68,0 92,0,2024-09-07 08:23:01:462,17038,1.2,17466,1.1,33502,1.6,45086,2.00 92,1,2024-09-07 08:23:00:603,119508,119508,0,0,56044616586,586213312,118855,586,67,382,391717,0 92,2,2024-09-07 08:23:01:375,88580,88580,0,0,4196219,0,2279 92,3,2024-09-07 08:23:01:014,1,68,64,1,68,822,68,0 93,0,2024-09-07 08:23:01:044,12574,0.3,12800,0.5,24360,0.2,32880,1.75 93,1,2024-09-07 08:23:00:814,119482,119482,0,0,55978916050,589339794,117722,1324,436,367,391689,0 93,2,2024-09-07 08:23:00:943,87208,87208,0,0,3856997,0,2509 93,3,2024-09-07 08:23:01:407,1,68,3,1,143,667,68,0 94,0,2024-09-07 08:23:01:636,16238,0.3,16513,0.4,32388,0.2,43801,1.50 94,1,2024-09-07 08:23:00:568,118886,118886,0,0,55609463333,587220476,117305,1516,65,381,391850,0 94,2,2024-09-07 08:23:00:765,85068,85068,0,0,3869061,0,2443 94,3,2024-09-07 08:23:01:691,1,68,6,1,231,1249,68,0 95,0,2024-09-07 08:23:01:402,19606,0.5,19390,0.7,39451,0.5,52011,1.75 95,1,2024-09-07 08:23:00:860,119557,119557,0,0,55890038073,583428277,118758,735,64,367,391590,0 95,2,2024-09-07 08:23:01:038,84090,84090,0,0,4074861,0,3308 95,3,2024-09-07 08:23:01:731,1,68,1,0,307,1258,68,0 96,0,2024-09-07 08:23:01:083,19384,1.0,19251,0.9,38574,1.7,50770,1.75 96,1,2024-09-07 08:23:01:591,119345,119345,0,0,55319972008,585318691,117506,1268,571,385,391596,0 96,2,2024-09-07 08:23:01:286,87332,87332,0,0,4679466,0,4038 96,3,2024-09-07 08:23:01:149,1,68,6,1,36,683,68,0 97,0,2024-09-07 08:23:01:324,12715,0.4,12722,0.5,25493,0.3,33694,1.75 97,1,2024-09-07 08:23:00:767,119194,119194,0,0,55965672199,588946094,117007,1670,517,367,392140,0 97,2,2024-09-07 08:23:00:607,86248,86248,0,0,3890542,0,3036 97,3,2024-09-07 08:23:00:569,1,68,1,1,165,964,68,0 98,0,2024-09-07 08:23:01:728,14887,0.2,14747,0.4,30058,0.2,40267,1.50 98,1,2024-09-07 08:23:00:622,119373,119373,0,0,56049497537,588061886,118302,1026,45,382,391588,0 98,2,2024-09-07 08:23:00:779,86225,86225,0,0,4133824,0,3080 98,3,2024-09-07 08:23:00:721,1,68,1,1,155,981,68,0 99,0,2024-09-07 08:23:01:472,21086,0.4,21011,0.5,41979,0.4,55744,1.75 99,1,2024-09-07 08:23:01:727,119599,119599,0,0,55416299413,582299426,118121,1038,440,381,391744,0 99,2,2024-09-07 08:23:01:482,87025,87025,0,0,4127645,0,1858 99,3,2024-09-07 08:23:00:581,1,68,1,0,129,687,68,0 100,0,2024-09-07 08:23:01:481,20581,2.1,20337,2.5,40657,5.0,55194,2.75 100,1,2024-09-07 08:23:00:561,118529,118529,0,0,55221239000,591343253,115785,2399,345,381,391989,0 100,2,2024-09-07 08:23:01:818,84592,84581,11,0,5307796,0,5417 100,3,2024-09-07 08:23:01:732,1,68,2,1,443,1816,68,0 101,0,2024-09-07 08:23:01:720,13738,4.4,13312,2.3,26394,1.9,36972,2.75 101,1,2024-09-07 08:23:00:550,118902,118902,0,0,55692584967,588170387,117430,1023,449,369,391709,0 101,2,2024-09-07 08:23:01:756,86134,86134,0,0,4684532,0,4644 101,3,2024-09-07 08:23:00:953,1,68,43,0,448,1056,68,0 102,0,2024-09-07 08:23:01:013,14415,0.4,14918,0.6,30006,0.3,39662,1.75 102,1,2024-09-07 08:23:01:175,118827,118827,0,0,55330069697,588480813,116363,1996,468,369,391831,0 102,2,2024-09-07 08:23:01:738,86852,86852,0,0,3875285,0,1945 102,3,2024-09-07 08:23:01:625,1,68,2,0,410,815,68,0 103,0,2024-09-07 08:23:01:602,19953,0.6,19956,0.7,37678,0.6,52284,2.00 103,1,2024-09-07 08:23:01:639,118978,118978,0,0,55961567427,589354346,117755,1079,144,381,391680,0 103,2,2024-09-07 08:23:00:592,86584,86584,0,0,4173965,0,2104 103,3,2024-09-07 08:23:00:758,1,68,14,1,486,1086,68,0 104,0,2024-09-07 08:23:01:042,20355,2.3,20407,1.5,39691,2.8,54705,2.75 104,1,2024-09-07 08:23:01:605,119698,119698,0,0,55556233507,588502777,117758,1614,326,368,391948,0 104,2,2024-09-07 08:23:01:671,81863,81863,0,0,4752498,0,3941 104,3,2024-09-07 08:23:01:417,1,68,54,1,1245,3826,68,0 105,0,2024-09-07 08:23:01:049,15356,1.8,14831,1.7,30775,2.5,41422,3.75 105,1,2024-09-07 08:23:00:562,118972,118972,0,0,55293767570,588435377,116023,2203,746,367,391797,0 105,2,2024-09-07 08:23:01:327,86955,86955,0,0,4560858,0,3314 105,3,2024-09-07 08:23:01:311,1,68,1,1,399,1593,68,0 106,0,2024-09-07 08:23:00:957,12804,0.3,13188,0.6,26783,0.2,35190,1.75 106,1,2024-09-07 08:23:01:769,119388,119388,0,0,55406244767,587243082,116985,2076,327,370,391767,0 106,2,2024-09-07 08:23:00:772,86677,86677,0,0,4621262,0,2795 106,3,2024-09-07 08:23:00:687,1,68,2,1,201,883,68,0 107,0,2024-09-07 08:23:01:153,17800,0.6,17740,0.7,35226,0.5,47383,1.75 107,1,2024-09-07 08:23:00:585,118554,118554,0,0,55620787046,590764022,116621,1743,190,382,392234,0 107,2,2024-09-07 08:23:01:297,85812,85811,1,0,4410898,0,5024 107,3,2024-09-07 08:23:01:756,1,68,1,0,353,1282,68,0 108,0,2024-09-07 08:23:01:836,19242,0.7,19481,0.8,38652,0.8,51151,1.75 108,1,2024-09-07 08:23:01:292,119106,119106,0,0,55788065499,586892832,117672,1300,134,371,391857,0 108,2,2024-09-07 08:23:01:756,82603,82603,0,0,4124235,0,2647 108,3,2024-09-07 08:23:01:338,1,68,8,1,73,924,68,0 109,0,2024-09-07 08:23:01:794,18014,0.9,18146,0.9,35682,1.1,48055,2.00 109,1,2024-09-07 08:23:00:594,118550,118550,0,0,56516960127,597966257,116935,1232,383,383,391664,0 109,2,2024-09-07 08:23:00:941,86550,86550,0,0,4550083,0,3617 109,3,2024-09-07 08:23:01:159,1,68,9,1,249,1224,68,0 110,0,2024-09-07 08:23:01:764,12510,0.2,12165,0.5,25353,0.2,32579,1.50 110,1,2024-09-07 08:23:01:650,119421,119421,0,0,55914336572,584650574,118103,1033,285,370,391588,0 110,2,2024-09-07 08:23:01:303,87481,87481,0,0,3836955,0,2915 110,3,2024-09-07 08:23:00:696,1,68,1,0,183,699,68,0 111,0,2024-09-07 08:23:01:433,15234,0.2,15178,0.4,30317,0.1,40891,1.50 111,1,2024-09-07 08:23:01:002,119798,119798,0,0,56731538319,588698730,119154,631,13,382,391690,0 111,2,2024-09-07 08:23:01:157,85288,85288,0,0,3952681,0,2763 111,3,2024-09-07 08:23:00:914,1,68,0,0,300,1364,68,0 112,0,2024-09-07 08:23:00:925,20493,0.5,20589,0.6,40764,0.4,54138,1.75 112,1,2024-09-07 08:23:00:830,119120,119120,0,0,56114277799,588703841,117611,1163,346,381,391580,0 112,2,2024-09-07 08:23:01:136,85417,85416,1,0,4394993,0,5036 112,3,2024-09-07 08:23:00:603,1,68,4,0,282,841,68,0 113,0,2024-09-07 08:23:00:877,19718,0.6,19563,0.7,39792,0.6,52876,1.75 113,1,2024-09-07 08:23:01:688,119604,119604,0,0,56209242112,587906171,117716,1504,384,368,391661,0 113,2,2024-09-07 08:23:01:302,86562,86562,0,0,3635453,0,3021 113,3,2024-09-07 08:23:00:687,1,68,1,0,288,1115,68,0 114,0,2024-09-07 08:23:00:889,13872,3.7,14045,2.0,27532,1.0,37603,2.00 114,1,2024-09-07 08:23:00:717,119234,119234,0,0,55799199692,590762390,116627,1726,881,381,391519,0 114,2,2024-09-07 08:23:00:897,85896,85896,0,0,4222456,0,3925 114,3,2024-09-07 08:23:01:279,1,68,6,0,159,747,68,0 115,0,2024-09-07 08:23:00:564,14605,0.2,14657,0.4,29296,0.1,38842,1.50 115,1,2024-09-07 08:23:00:572,119311,119311,0,0,56042390209,590474821,116938,1886,487,383,391510,0 115,2,2024-09-07 08:23:01:137,86412,86412,0,0,3586523,0,2152 115,3,2024-09-07 08:23:01:004,1,68,1,0,159,466,68,0 116,0,2024-09-07 08:23:01:714,20865,0.9,20552,0.9,41429,1.2,56154,2.00 116,1,2024-09-07 08:23:00:809,118526,118526,0,0,56051893153,597230053,116647,1008,871,382,391605,0 116,2,2024-09-07 08:23:01:752,86521,86521,0,0,4784984,0,3529 116,3,2024-09-07 08:23:00:924,1,68,1,1,252,1255,68,0 117,0,2024-09-07 08:23:01:005,20392,2.5,20396,1.5,40624,3.7,55025,2.25 117,1,2024-09-07 08:23:01:579,119470,119470,0,0,55299340997,587111863,117156,2021,293,371,392033,0 117,2,2024-09-07 08:23:01:121,84302,84302,0,0,4139200,0,3700 117,3,2024-09-07 08:23:01:060,1,68,1,0,490,1793,68,0 118,0,2024-09-07 08:23:01:799,14085,0.9,14389,1.0,29569,1.0,38569,2.00 118,1,2024-09-07 08:23:00:587,119507,119507,0,0,55233566875,582179606,118269,1160,78,368,391736,0 118,2,2024-09-07 08:23:01:637,86645,86645,0,0,4585946,0,2781 118,3,2024-09-07 08:23:01:767,1,68,2,1,235,1140,68,0 119,0,2024-09-07 08:23:01:375,13536,0.3,13612,0.5,27860,0.2,36673,1.75 119,1,2024-09-07 08:23:00:548,119175,119175,0,0,55339169391,583364420,117565,1461,149,370,391617,0 119,2,2024-09-07 08:23:01:282,86886,86886,0,0,4336113,0,2532 119,3,2024-09-07 08:23:01:327,1,68,2,1,443,2108,68,0 120,0,2024-09-07 08:23:01:579,18579,0.6,18600,0.9,37082,0.6,50043,2.00 120,1,2024-09-07 08:23:00:862,118917,118917,0,0,55696716360,588654450,117394,1507,16,368,391702,0 120,2,2024-09-07 08:23:00:784,85785,85784,1,0,5279424,0,5281 120,3,2024-09-07 08:23:01:295,1,68,215,1,241,1324,68,0 121,0,2024-09-07 08:23:01:698,19479,2.7,19640,1.6,39363,3.9,52876,2.50 121,1,2024-09-07 08:23:01:658,119283,119283,0,0,55972931868,590628652,117411,1603,269,368,391807,0 121,2,2024-09-07 08:23:01:170,81601,81601,0,0,5450168,0,4127 121,3,2024-09-07 08:23:00:739,1,68,4,0,113,842,68,0 122,0,2024-09-07 08:23:01:792,16845,2.5,16260,2.1,33823,2.8,45247,2.50 122,1,2024-09-07 08:23:00:862,118242,118242,0,0,55554246356,592364086,115235,2483,524,368,392130,0 122,2,2024-09-07 08:23:01:339,87472,87472,0,0,5676082,0,3364 122,3,2024-09-07 08:23:00:606,1,68,4,1,298,1757,68,0 123,0,2024-09-07 08:23:01:005,12525,0.3,12133,0.5,25359,0.2,32845,1.75 123,1,2024-09-07 08:23:00:575,119351,119351,0,0,56084564562,598978970,115892,2891,568,369,391823,0 123,2,2024-09-07 08:23:01:034,86885,86884,1,0,4619398,0,5215 123,3,2024-09-07 08:23:01:150,1,68,34,1,160,931,68,0 124,0,2024-09-07 08:23:00:942,16670,0.3,16579,0.5,31512,0.2,43931,1.50 124,1,2024-09-07 08:23:01:037,119692,119692,0,0,55473982978,577735046,118895,653,144,367,392178,0 124,2,2024-09-07 08:23:01:024,85093,85093,0,0,3591463,0,2477 124,3,2024-09-07 08:23:00:760,1,68,2,1,490,1545,68,0 125,0,2024-09-07 08:23:01:466,19702,0.7,19733,0.8,39491,0.8,51960,2.00 125,1,2024-09-07 08:23:00:861,119268,119268,0,0,55804920125,587517236,117537,1459,272,384,391702,0 125,2,2024-09-07 08:23:01:123,83977,83977,0,0,3923205,0,2180 125,3,2024-09-07 08:23:01:133,1,68,6,1,93,962,68,0 126,0,2024-09-07 08:23:01:468,19375,1.3,19835,1.0,37957,2.2,51100,2.00 126,1,2024-09-07 08:23:00:552,119959,119959,0,0,56189546115,585929445,118913,993,53,365,391719,0 126,2,2024-09-07 08:23:00:661,87292,87292,0,0,4254808,0,3186 126,3,2024-09-07 08:23:00:933,1,68,85,0,122,977,68,0 127,0,2024-09-07 08:23:01:633,12817,0.2,12781,0.4,25583,0.2,33681,1.50 127,1,2024-09-07 08:23:00:612,119291,119291,0,0,55213211162,575463242,118154,1114,23,365,391614,0 127,2,2024-09-07 08:23:00:645,86694,86694,0,0,3472707,0,1803 127,3,2024-09-07 08:23:01:285,1,68,2,0,99,592,68,0 128,0,2024-09-07 08:23:01:555,14990,0.2,15050,0.4,29593,0.2,40223,1.50 128,1,2024-09-07 08:23:01:613,119372,119372,0,0,55947992506,582324121,118656,646,70,369,391605,0 128,2,2024-09-07 08:23:01:382,84925,84925,0,0,4325913,0,2107 128,3,2024-09-07 08:23:00:805,1,68,1,1,112,842,68,0 129,0,2024-09-07 08:23:01:011,21108,0.4,21087,0.6,42054,0.5,55631,1.75 129,1,2024-09-07 08:23:00:568,119204,119204,0,0,56164269707,592328856,117385,1545,274,379,391835,0 129,2,2024-09-07 08:23:00:697,85651,85651,0,0,3754309,0,2446 129,3,2024-09-07 08:23:00:688,1,68,1,1,173,1098,68,0 130,0,2024-09-07 08:23:01:768,20761,1.5,20663,1.1,41347,2.1,55626,2.25 130,1,2024-09-07 08:23:00:591,119347,119347,0,0,55767934588,585667185,118037,1278,32,381,391609,0 130,2,2024-09-07 08:23:01:154,85214,85214,0,0,4398708,0,4067 130,3,2024-09-07 08:23:01:294,1,68,3,1,207,624,68,0 131,0,2024-09-07 08:23:01:965,13706,1.1,13583,1.0,27636,1.6,36880,2.00 131,1,2024-09-07 08:23:01:824,120017,120017,0,0,55810461620,584558744,119000,831,186,384,391865,0 131,2,2024-09-07 08:23:00:578,86090,86090,0,0,3629036,0,2415 131,3,2024-09-07 08:23:01:689,1,68,18,0,392,1103,68,0 132,0,2024-09-07 08:23:01:428,14761,0.4,14868,0.6,29576,0.3,39785,1.75 132,1,2024-09-07 08:23:00:580,118358,118358,0,0,55690888528,592931334,115772,1880,706,382,391719,0 132,2,2024-09-07 08:23:00:706,86033,86033,0,0,5973872,0,4606 132,3,2024-09-07 08:23:01:691,1,68,2,1,356,1002,68,0 133,0,2024-09-07 08:23:01:694,19055,0.5,19294,0.7,39829,0.5,51660,1.75 133,1,2024-09-07 08:23:00:583,118064,118064,0,0,55714536862,593104620,115853,2116,95,383,391755,0 133,2,2024-09-07 08:23:01:137,86893,86893,0,0,5138803,0,2444 133,3,2024-09-07 08:23:01:309,1,68,3,1,187,599,68,0 134,0,2024-09-07 08:23:01:056,20553,1.2,20488,1.1,41060,1.4,54998,2.25 134,1,2024-09-07 08:23:00:590,119208,119208,0,0,55582946883,590073266,116575,1652,981,366,391718,0 134,2,2024-09-07 08:23:01:771,82514,82514,0,0,3770273,0,2026 134,3,2024-09-07 08:23:00:761,1,68,9,1,739,1903,68,0 135,0,2024-09-07 08:23:01:192,15111,1.9,15134,1.7,31940,2.1,41320,2.50 135,1,2024-09-07 08:23:01:587,118796,118796,0,0,56061061862,592555074,116850,1619,327,383,391703,0 135,2,2024-09-07 08:23:00:782,87390,87390,0,0,4759976,0,3981 135,3,2024-09-07 08:23:01:005,1,68,1,0,68,462,68,0 136,0,2024-09-07 08:23:01:930,13364,0.4,13315,0.6,26449,0.2,35526,2.00 136,1,2024-09-07 08:23:01:441,119156,119156,0,0,55317630999,584606740,117254,1755,147,384,391621,0 136,2,2024-09-07 08:23:01:135,88055,88055,0,0,4170456,0,2379 136,3,2024-09-07 08:23:01:109,1,68,1,1,108,825,68,0 137,0,2024-09-07 08:23:00:947,18214,0.9,17645,0.9,35014,1.0,47600,2.00 137,1,2024-09-07 08:23:00:580,119243,119243,0,0,56036754758,590927132,117273,1857,113,368,391608,0 137,2,2024-09-07 08:23:01:711,85576,85576,0,0,5145653,0,2632 137,3,2024-09-07 08:23:00:778,1,68,6,1,227,1041,68,0 138,0,2024-09-07 08:23:01:798,18712,2.9,18925,1.8,38226,4.0,50980,3.00 138,1,2024-09-07 08:23:01:689,118810,118810,0,0,56725454534,599630475,116480,2066,264,370,391954,0 138,2,2024-09-07 08:23:00:635,83438,83438,0,0,4264081,0,3263 138,3,2024-09-07 08:23:00:632,1,68,5,1,1160,1922,68,0 139,0,2024-09-07 08:23:01:374,17424,4.6,17390,2.5,35157,5.6,47307,4.00 139,1,2024-09-07 08:23:00:617,118486,118486,0,0,54965660891,590464225,115602,2156,728,381,391892,0 139,2,2024-09-07 08:23:00:865,86766,86766,0,0,5566030,0,3097 139,3,2024-09-07 08:23:01:672,1,68,14,0,244,1319,68,0 140,0,2024-09-07 08:23:01:596,12467,0.3,12354,0.5,24938,0.2,32602,1.75 140,1,2024-09-07 08:23:01:541,120049,120049,0,0,56130369336,582606457,119121,731,197,365,391497,0 140,2,2024-09-07 08:23:00:691,87432,87432,0,0,4379628,0,3388 140,3,2024-09-07 08:23:00:767,1,68,19,0,25,542,68,0 141,0,2024-09-07 08:23:01:749,14963,0.2,15532,0.4,30224,0.1,40837,1.50 141,1,2024-09-07 08:23:00:876,119844,119844,0,0,55964922544,585337663,118425,1088,331,382,391538,0 141,2,2024-09-07 08:23:01:689,85553,85553,0,0,3867791,0,2342 141,3,2024-09-07 08:23:01:058,1,68,1,0,147,533,68,0 142,0,2024-09-07 08:23:01:356,20720,0.4,20457,0.6,40652,0.4,54204,1.75 142,1,2024-09-07 08:23:00:584,120065,120065,0,0,56293933389,587688341,119518,532,15,384,391649,0 142,2,2024-09-07 08:23:01:299,84807,84807,0,0,4178785,0,2293 142,3,2024-09-07 08:23:01:751,1,68,9,0,484,1198,68,0 143,0,2024-09-07 08:23:01:380,19747,1.2,19771,1.0,39842,1.6,52711,2.00 143,1,2024-09-07 08:23:00:556,119636,119636,0,0,55838001898,581514663,118661,949,26,367,391608,0 143,2,2024-09-07 08:23:00:880,86039,86039,0,0,4572192,0,2669 143,3,2024-09-07 08:23:01:158,1,68,2,0,236,1082,68,0 144,0,2024-09-07 08:23:01:531,13253,1.5,13667,6.1,27461,1.5,37283,2.25 144,1,2024-09-07 08:23:00:564,119019,119019,0,0,55622892758,587518527,117452,1377,190,383,391638,0 144,2,2024-09-07 08:23:01:772,86493,86493,0,0,3968620,0,3473 144,3,2024-09-07 08:23:01:740,1,68,3,1,169,1218,68,0 145,0,2024-09-07 08:23:01:407,14129,0.4,14099,0.6,29810,0.3,38715,2.00 145,1,2024-09-07 08:23:00:554,118663,118663,0,0,56100510871,593917654,116738,1661,264,383,391615,0 145,2,2024-09-07 08:23:01:435,85947,85947,0,0,4917892,0,3903 145,3,2024-09-07 08:23:00:923,1,68,1,0,151,968,68,0 146,0,2024-09-07 08:23:01:620,20704,0.7,20711,0.9,41538,0.8,55648,2.25 146,1,2024-09-07 08:23:01:590,119550,119550,0,0,55919544743,591593281,117258,1991,301,369,391600,0 146,2,2024-09-07 08:23:01:716,85735,85735,0,0,4455096,0,2379 146,3,2024-09-07 08:23:01:274,1,68,1,0,1520,2987,68,0 147,0,2024-09-07 08:23:01:725,20261,3.1,20118,1.8,39865,4.5,54996,3.25 147,1,2024-09-07 08:23:01:377,119475,119475,0,0,56292265891,588597730,117764,1357,354,368,391791,0 147,2,2024-09-07 08:23:01:021,84541,84541,0,0,4250838,0,2789 147,3,2024-09-07 08:23:00:928,1,68,1,1,141,780,68,0 0,0,2024-09-07 08:23:11:738,18508,0.7,18454,0.9,39033,0.9,50720,1.75 0,1,2024-09-07 08:23:10:807,121170,121170,0,0,57035151525,601302369,119946,1097,127,372,391673,0 0,2,2024-09-07 08:23:11:078,87472,87472,0,0,4473835,0,4480 0,3,2024-09-07 08:23:10:974,1,69,1,0,247,1091,69,0 1,0,2024-09-07 08:23:11:773,19759,3.0,19690,1.9,39566,4.6,53730,3.00 1,1,2024-09-07 08:23:10:560,120504,120504,0,0,56038925271,591846169,118814,1162,528,372,391857,0 1,2,2024-09-07 08:23:10:639,83200,83200,0,0,4047146,0,3267 1,3,2024-09-07 08:23:11:306,1,69,1,0,167,719,69,0 2,0,2024-09-07 08:23:11:598,16767,1.6,16904,1.4,33533,3.1,44881,2.50 2,1,2024-09-07 08:23:10:860,121058,121058,0,0,57330409833,599375196,119978,877,203,381,391558,0 2,2,2024-09-07 08:23:11:266,88191,88191,0,0,4241988,0,3304 2,3,2024-09-07 08:23:10:690,1,69,2,0,214,803,69,0 3,0,2024-09-07 08:23:11:763,12823,0.3,12787,0.6,25640,0.2,33889,1.75 3,1,2024-09-07 08:23:11:638,120497,120497,0,0,56731890109,596300973,118519,1592,386,382,391516,0 3,2,2024-09-07 08:23:11:146,88651,88628,23,0,4720755,0,5851 3,3,2024-09-07 08:23:11:752,1,69,3,0,103,560,69,0 4,0,2024-09-07 08:23:11:795,16183,0.3,16599,0.5,33823,0.3,44881,1.75 4,1,2024-09-07 08:23:10:597,120858,120858,0,0,56442066620,599210136,118965,1475,418,371,391846,0 4,2,2024-09-07 08:23:11:020,86575,86575,0,0,5318116,0,4528 4,3,2024-09-07 08:23:11:037,1,69,1,1,287,1327,69,0 5,0,2024-09-07 08:23:11:490,19831,0.8,19568,0.8,39616,1.0,52201,2.00 5,1,2024-09-07 08:23:10:759,120697,120697,0,0,56801157034,601879737,118492,1764,441,368,392005,0 5,2,2024-09-07 08:23:11:834,84189,84189,0,0,4219948,0,2259 5,3,2024-09-07 08:23:11:745,1,69,5,0,238,1457,69,0 6,0,2024-09-07 08:23:10:936,19410,1.3,19164,1.4,38256,1.8,51224,2.75 6,1,2024-09-07 08:23:10:751,121324,121324,0,0,56705483401,593789305,120203,1052,69,381,391603,0 6,2,2024-09-07 08:23:11:117,88811,88811,0,0,4513709,0,2411 6,3,2024-09-07 08:23:11:276,1,69,12,1,340,1430,69,0 7,0,2024-09-07 08:23:11:549,12648,0.3,12666,0.5,25506,0.2,33567,1.75 7,1,2024-09-07 08:23:10:850,121338,121338,0,0,56723007760,594850702,120358,916,64,383,391664,0 7,2,2024-09-07 08:23:10:774,87800,87800,0,0,4261423,0,2981 7,3,2024-09-07 08:23:10:851,1,69,1,0,83,652,69,0 8,0,2024-09-07 08:23:11:350,15493,0.3,15341,0.5,30354,0.2,41134,1.50 8,1,2024-09-07 08:23:11:016,120785,120785,0,0,56031598078,601199094,117307,2225,1253,368,391724,0 8,2,2024-09-07 08:23:10:792,85429,85429,0,0,5167454,0,2986 8,3,2024-09-07 08:23:10:586,1,69,1,0,229,1048,69,0 9,0,2024-09-07 08:23:11:156,21033,0.4,20496,0.6,42907,0.4,55726,1.75 9,1,2024-09-07 08:23:10:550,121104,121104,0,0,57091179830,606241031,118664,1872,568,370,391738,0 9,2,2024-09-07 08:23:11:096,86975,86975,0,0,4716121,0,3360 9,3,2024-09-07 08:23:11:762,1,69,28,0,149,1026,69,0 10,0,2024-09-07 08:23:11:606,20754,0.8,20839,0.9,41591,0.8,55665,2.25 10,1,2024-09-07 08:23:10:584,120355,120355,0,0,55993200784,596947274,117019,2814,522,382,391541,0 10,2,2024-09-07 08:23:10:770,86579,86579,0,0,4837606,0,2940 10,3,2024-09-07 08:23:10:871,1,69,17,0,136,591,69,0 11,0,2024-09-07 08:23:11:013,13570,2.0,13308,1.6,27781,5.0,37781,2.50 11,1,2024-09-07 08:23:10:571,120644,120644,0,0,56343514760,597643251,118003,1826,815,384,391537,0 11,2,2024-09-07 08:23:11:123,87344,87344,0,0,4581226,0,2635 11,3,2024-09-07 08:23:11:306,1,69,3,0,720,2245,69,0 12,0,2024-09-07 08:23:10:989,15256,0.3,15315,0.5,30368,0.2,40340,1.50 12,1,2024-09-07 08:23:10:942,120620,120620,0,0,55619037296,586192779,118974,1547,99,371,391790,0 12,2,2024-09-07 08:23:11:542,88281,88281,0,0,4180272,0,2254 12,3,2024-09-07 08:23:11:060,1,69,0,0,358,1389,69,0 13,0,2024-09-07 08:23:11:358,19745,0.4,19955,0.6,39853,0.4,53509,1.75 13,1,2024-09-07 08:23:11:536,120912,120912,0,0,56268307669,595275857,119321,1224,367,384,391717,0 13,2,2024-09-07 08:23:10:603,87957,87957,0,0,3917445,0,3287 13,3,2024-09-07 08:23:11:769,1,69,3,1,373,1231,69,0 14,0,2024-09-07 08:23:10:573,20867,1.0,20938,1.0,41448,1.2,55625,2.25 14,1,2024-09-07 08:23:11:561,121999,121999,0,0,56504246610,587717726,121117,851,31,365,391546,0 14,2,2024-09-07 08:23:10:774,83870,83870,0,0,4375342,0,2793 14,3,2024-09-07 08:23:11:118,1,69,1,0,906,1273,69,0 15,0,2024-09-07 08:23:11:568,15649,2.0,15468,1.6,31072,4.0,41727,3.25 15,1,2024-09-07 08:23:11:616,120684,120684,0,0,56352119860,588219622,119900,749,35,381,391536,0 15,2,2024-09-07 08:23:10:999,88837,88837,0,0,3507503,0,3043 15,3,2024-09-07 08:23:11:405,1,69,9,0,538,1848,69,0 16,0,2024-09-07 08:23:10:958,13394,0.3,13601,0.6,26849,0.2,35961,2.00 16,1,2024-09-07 08:23:10:580,120644,120644,0,0,56157421868,590991535,119514,1103,27,372,391666,0 16,2,2024-09-07 08:23:11:439,88095,88095,0,0,4841709,0,4719 16,3,2024-09-07 08:23:11:145,1,69,3,1,231,1271,69,0 17,0,2024-09-07 08:23:11:786,18558,0.5,18168,0.7,35716,0.5,48567,2.00 17,1,2024-09-07 08:23:10:579,120883,120883,0,0,56228952039,596703984,119007,1353,523,369,391688,0 17,2,2024-09-07 08:23:11:672,88025,88025,0,0,3731586,0,2857 17,3,2024-09-07 08:23:10:596,1,69,12,0,268,1434,69,0 18,0,2024-09-07 08:23:10:956,18941,1.6,19174,1.3,38405,2.0,50976,2.75 18,1,2024-09-07 08:23:11:793,120909,120909,0,0,56845223509,594091273,119660,1031,218,368,391555,0 18,2,2024-09-07 08:23:11:763,83373,83373,0,0,4547630,0,3541 18,3,2024-09-07 08:23:10:898,1,69,1,0,163,935,69,0 19,0,2024-09-07 08:23:11:550,17684,1.8,17775,1.5,35127,1.9,46687,5.25 19,1,2024-09-07 08:23:10:574,121344,121344,0,0,57281881526,601697098,119479,1702,163,367,391696,0 19,2,2024-09-07 08:23:11:757,89247,89247,0,0,4215082,0,3988 19,3,2024-09-07 08:23:11:129,1,69,1,0,524,1162,69,0 20,0,2024-09-07 08:23:11:383,12618,0.3,12609,0.5,24892,0.2,32692,2.00 20,1,2024-09-07 08:23:10:578,121099,121099,0,0,57114809093,602227533,119404,1533,162,370,391598,0 20,2,2024-09-07 08:23:10:943,88200,88200,0,0,4187503,0,2446 20,3,2024-09-07 08:23:10:593,1,69,1,1,99,888,69,0 21,0,2024-09-07 08:23:11:135,15947,0.4,15859,0.6,31433,0.3,42025,1.75 21,1,2024-09-07 08:23:11:549,120405,120405,0,0,56211087407,597329166,118075,1836,494,368,391962,0 21,2,2024-09-07 08:23:11:075,86297,86297,0,0,4410916,0,3747 21,3,2024-09-07 08:23:11:417,1,69,5,0,93,1032,69,0 22,0,2024-09-07 08:23:11:728,20192,2.2,20150,1.4,40236,3.9,53555,3.25 22,1,2024-09-07 08:23:11:024,120678,120678,0,0,56279401944,599493103,117538,2414,726,382,391667,0 22,2,2024-09-07 08:23:10:760,85804,85804,0,0,3882147,0,3134 22,3,2024-09-07 08:23:11:065,1,69,9,0,62,420,69,0 23,0,2024-09-07 08:23:11:387,19775,1.3,19942,1.1,39848,2.0,52963,2.50 23,1,2024-09-07 08:23:11:003,121479,121479,0,0,57038830664,595193530,120131,1094,254,367,391496,0 23,2,2024-09-07 08:23:11:093,87847,87847,0,0,4069016,0,2078 23,3,2024-09-07 08:23:11:755,1,69,2,0,645,1291,69,0 24,0,2024-09-07 08:23:10:860,14314,0.6,14281,0.8,28615,0.7,38038,1.75 24,1,2024-09-07 08:23:10:593,121250,121250,0,0,56885081570,594777167,120438,805,7,369,391640,0 24,2,2024-09-07 08:23:11:076,86980,86980,0,0,5218947,0,2942 24,3,2024-09-07 08:23:11:686,1,69,2,1,234,1081,69,0 25,0,2024-09-07 08:23:11:358,15395,0.3,15044,0.5,29362,0.2,40211,1.50 25,1,2024-09-07 08:23:10:580,120642,120642,0,0,56543446979,599080381,118491,1835,316,371,391788,0 25,2,2024-09-07 08:23:11:615,86623,86623,0,0,4760820,0,3284 25,3,2024-09-07 08:23:11:000,1,69,1,1,158,716,69,0 26,0,2024-09-07 08:23:11:747,21002,0.5,20470,0.6,42808,0.4,56410,1.75 26,1,2024-09-07 08:23:11:541,120919,120919,0,0,56233877532,599669791,117458,2626,835,381,391564,0 26,2,2024-09-07 08:23:10:863,87672,87672,0,0,4820223,0,2809 26,3,2024-09-07 08:23:11:718,1,69,3,0,796,1268,69,0 27,0,2024-09-07 08:23:11:737,20723,1.1,20813,1.0,41514,1.8,55874,2.00 27,1,2024-09-07 08:23:11:675,121782,121782,0,0,57833925320,602858355,120712,775,295,381,391539,0 27,2,2024-09-07 08:23:10:872,84336,84336,0,0,5703779,0,3409 27,3,2024-09-07 08:23:11:021,1,69,1,0,564,1033,69,0 28,0,2024-09-07 08:23:11:406,14602,0.7,14844,0.9,29487,0.9,39282,2.00 28,1,2024-09-07 08:23:10:799,121568,121568,0,0,57241473540,598889454,120509,859,200,383,391646,0 28,2,2024-09-07 08:23:11:764,87711,87711,0,0,3714008,0,2609 28,3,2024-09-07 08:23:11:776,1,69,1,0,502,1171,69,0 29,0,2024-09-07 08:23:11:393,14388,0.3,14070,0.5,27616,0.2,38075,1.75 29,1,2024-09-07 08:23:11:566,122193,122193,0,0,56806532190,588763603,121450,571,172,369,391621,0 29,2,2024-09-07 08:23:10:861,88980,88980,0,0,3267416,0,2026 29,3,2024-09-07 08:23:10:963,1,69,1,0,105,653,69,0 30,0,2024-09-07 08:23:11:477,18971,0.5,18452,0.7,38655,0.5,50773,2.00 30,1,2024-09-07 08:23:10:571,121316,121316,0,0,57280038651,598395640,120341,910,65,382,391524,0 30,2,2024-09-07 08:23:11:282,88246,88246,0,0,3295072,0,2534 30,3,2024-09-07 08:23:10:580,1,69,0,0,195,620,69,0 31,0,2024-09-07 08:23:11:775,19868,1.6,19748,1.2,40015,2.4,53384,3.00 31,1,2024-09-07 08:23:10:564,121793,121793,0,0,57518326299,590880827,121544,247,2,356,391553,0 31,2,2024-09-07 08:23:11:281,83635,83635,0,0,4654796,0,3525 31,3,2024-09-07 08:23:11:706,1,69,1,0,129,547,69,0 32,0,2024-09-07 08:23:11:439,17066,1.6,17154,1.2,34457,2.9,45560,1.75 32,1,2024-09-07 08:23:10:807,121239,121239,0,0,56991258247,594987067,120239,971,29,382,391595,0 32,2,2024-09-07 08:23:10:947,88979,88979,0,0,3963307,0,3155 32,3,2024-09-07 08:23:11:022,1,69,1,0,110,627,69,0 33,0,2024-09-07 08:23:11:507,12782,0.2,12627,0.4,25684,0.2,33811,1.50 33,1,2024-09-07 08:23:10:575,121583,121583,0,0,57295725983,598287065,119922,1538,123,369,391526,0 33,2,2024-09-07 08:23:10:759,88414,88381,33,0,5521890,0,7012 33,3,2024-09-07 08:23:10:897,1,69,1,0,129,494,69,0 34,0,2024-09-07 08:23:10:946,16715,0.2,17262,0.4,33120,0.1,44872,1.50 34,1,2024-09-07 08:23:11:050,121625,121625,0,0,57051222304,589969010,121031,590,4,367,391517,0 34,2,2024-09-07 08:23:10:781,86407,86407,0,0,4512367,0,3255 34,3,2024-09-07 08:23:11:700,1,69,0,0,148,676,69,0 35,0,2024-09-07 08:23:10:888,19496,0.9,19714,0.9,39336,1.3,52081,2.00 35,1,2024-09-07 08:23:11:425,121128,121128,0,0,56568031559,590701860,119662,1104,362,384,391587,0 35,2,2024-09-07 08:23:11:588,84436,84436,0,0,3902859,0,2542 35,3,2024-09-07 08:23:10:909,1,69,1,0,219,1040,69,0 36,0,2024-09-07 08:23:11:539,19332,1.9,19257,1.4,38868,2.7,51243,2.75 36,1,2024-09-07 08:23:10:583,120991,120991,0,0,56704836582,600435694,117980,2080,931,366,391535,0 36,2,2024-09-07 08:23:11:755,88228,88228,0,0,5086956,0,3303 36,3,2024-09-07 08:23:10:862,1,69,6,0,378,1088,69,0 37,0,2024-09-07 08:23:11:417,12782,0.3,12754,0.5,25646,0.2,33751,1.75 37,1,2024-09-07 08:23:10:573,120648,120641,0,7,56601740843,603029726,117802,1322,1517,365,391518,0 37,2,2024-09-07 08:23:11:150,87872,87872,0,0,3727438,0,2333 37,3,2024-09-07 08:23:11:769,1,69,1,0,724,1776,69,0 38,0,2024-09-07 08:23:11:448,15325,0.3,14853,0.5,30826,0.2,41146,1.75 38,1,2024-09-07 08:23:11:606,121337,121337,0,0,57102474340,601475692,119184,1706,447,370,391821,0 38,2,2024-09-07 08:23:10:766,86337,86337,0,0,4494058,0,3245 38,3,2024-09-07 08:23:10:998,1,69,1,0,603,1398,69,0 39,0,2024-09-07 08:23:11:779,21635,1.0,21266,0.9,41179,1.2,55855,2.00 39,1,2024-09-07 08:23:10:718,121287,121287,0,0,56469737527,596055335,118655,1994,638,366,391524,0 39,2,2024-09-07 08:23:11:426,86935,86935,0,0,4129908,0,2381 39,3,2024-09-07 08:23:10:713,1,69,1,0,276,1194,69,0 40,0,2024-09-07 08:23:11:496,20327,1.9,20726,2.1,41006,3.9,55220,3.75 40,1,2024-09-07 08:23:10:584,120757,120757,0,0,55690274103,591337557,117505,2499,753,370,391591,0 40,2,2024-09-07 08:23:11:316,86550,86549,1,0,5121679,0,5137 40,3,2024-09-07 08:23:11:144,1,69,1,1,110,769,69,0 41,0,2024-09-07 08:23:11:032,13332,0.8,13721,5.4,26216,2.4,36608,2.75 41,1,2024-09-07 08:23:10:770,120885,120885,0,0,57027509850,602306453,118462,2110,313,370,391742,0 41,2,2024-09-07 08:23:10:759,87280,87280,0,0,5365069,0,3356 41,3,2024-09-07 08:23:11:678,1,69,2,0,168,789,69,0 42,0,2024-09-07 08:23:11:530,15041,0.5,15198,0.7,30302,0.4,40340,2.00 42,1,2024-09-07 08:23:11:443,120553,120553,0,0,56390460528,599903545,117560,2163,830,381,391511,0 42,2,2024-09-07 08:23:11:134,87610,87610,0,0,4639095,0,3568 42,3,2024-09-07 08:23:11:009,1,69,2,0,100,662,69,0 43,0,2024-09-07 08:23:10:948,19816,0.9,19353,1.0,40147,0.9,53526,2.00 43,1,2024-09-07 08:23:10:582,120702,120702,0,0,56734975673,597795098,118436,1514,752,367,391604,0 43,2,2024-09-07 08:23:11:738,88103,88103,0,0,4820001,0,3812 43,3,2024-09-07 08:23:11:749,1,69,2,0,325,1698,69,0 44,0,2024-09-07 08:23:10:862,20897,0.9,20796,1.1,41582,1.0,56139,2.00 44,1,2024-09-07 08:23:10:563,121244,121244,0,0,56472110164,586047253,120226,911,107,356,391523,0 44,2,2024-09-07 08:23:11:267,84000,84000,0,0,3412637,0,1865 44,3,2024-09-07 08:23:11:093,1,69,3,1,817,1325,69,0 45,0,2024-09-07 08:23:11:783,15440,2.6,15116,1.7,31648,5.4,42080,2.00 45,1,2024-09-07 08:23:11:009,121427,121427,0,0,57063591178,593611062,120961,465,1,382,391917,0 45,2,2024-09-07 08:23:11:268,88794,88794,0,0,4064216,0,2628 45,3,2024-09-07 08:23:10:934,1,69,1,0,226,779,69,0 46,0,2024-09-07 08:23:10:981,13551,0.2,13573,0.4,27233,0.1,36003,1.50 46,1,2024-09-07 08:23:10:581,121435,121435,0,0,56733982486,588389813,120605,794,36,368,391514,0 46,2,2024-09-07 08:23:10:594,88943,88943,0,0,3987689,0,2920 46,3,2024-09-07 08:23:11:131,1,69,1,0,315,1053,69,0 47,0,2024-09-07 08:23:11:118,18149,0.3,18319,0.5,36484,0.3,48440,1.75 47,1,2024-09-07 08:23:10:571,121852,121852,0,0,56980387940,589107729,121344,504,4,366,391605,0 47,2,2024-09-07 08:23:10:918,88394,88394,0,0,3973733,0,2558 47,3,2024-09-07 08:23:11:123,1,69,1,0,529,1077,69,0 48,0,2024-09-07 08:23:11:498,19762,0.4,19491,0.6,38595,0.4,51721,1.75 48,1,2024-09-07 08:23:11:024,121423,121423,0,0,56832223475,593824266,120227,1191,5,386,391637,0 48,2,2024-09-07 08:23:10:711,83945,83945,0,0,3244601,0,2083 48,3,2024-09-07 08:23:10:753,1,69,1,0,30,605,69,0 49,0,2024-09-07 08:23:11:739,18436,1.4,17981,1.2,35304,2.7,48107,2.00 49,1,2024-09-07 08:23:11:021,120747,120747,0,0,56096653135,590349579,119010,1028,709,382,391583,0 49,2,2024-09-07 08:23:11:804,89065,89065,0,0,4401904,0,3900 49,3,2024-09-07 08:23:11:420,1,69,0,0,274,807,69,0 50,0,2024-09-07 08:23:11:521,12653,0.2,12366,0.4,25091,0.1,33065,1.50 50,1,2024-09-07 08:23:11:009,122071,122071,0,0,57100494304,596091951,120405,1369,297,368,391530,0 50,2,2024-09-07 08:23:11:071,88600,88600,0,0,3637917,0,2253 50,3,2024-09-07 08:23:11:291,1,69,1,0,335,874,69,0 51,0,2024-09-07 08:23:11:713,16104,0.2,15717,0.4,30798,0.1,42401,1.50 51,1,2024-09-07 08:23:11:690,121177,121177,0,0,57357056612,599751834,119378,1016,783,367,391637,0 51,2,2024-09-07 08:23:11:346,85985,85985,0,0,3122437,0,2448 51,3,2024-09-07 08:23:11:027,1,69,3,0,162,485,69,0 52,0,2024-09-07 08:23:11:435,20416,0.9,20605,1.0,41201,1.0,54052,2.25 52,1,2024-09-07 08:23:10:575,120863,120863,0,0,55904399870,591888778,118353,2046,464,368,391529,0 52,2,2024-09-07 08:23:11:755,85611,85611,0,0,4361933,0,4779 52,3,2024-09-07 08:23:10:674,1,69,1,0,1782,2426,69,0 53,0,2024-09-07 08:23:11:741,19728,2.2,19016,1.5,39484,3.2,52206,3.00 53,1,2024-09-07 08:23:10:773,120701,120701,0,0,57042404252,603404195,118079,2048,574,370,391617,0 53,2,2024-09-07 08:23:11:315,88595,88595,0,0,3926112,0,1902 53,3,2024-09-07 08:23:10:705,1,69,1,0,59,466,69,0 54,0,2024-09-07 08:23:11:641,13380,9.0,13585,3.0,26821,1.5,37016,5.00 54,1,2024-09-07 08:23:10:581,120751,120751,0,0,56835620047,596901235,118643,1792,316,367,391520,0 54,2,2024-09-07 08:23:10:866,88021,88015,6,0,4766092,0,5382 54,3,2024-09-07 08:23:10:765,1,69,0,0,676,1694,69,0 55,0,2024-09-07 08:23:11:774,14675,0.4,14978,0.6,30664,0.3,39797,2.25 55,1,2024-09-07 08:23:10:765,120553,120553,0,0,56230115242,595468733,117071,2780,702,367,391731,0 55,2,2024-09-07 08:23:10:729,86910,86910,0,0,4698193,0,3275 55,3,2024-09-07 08:23:10:675,1,69,0,0,136,827,69,0 56,0,2024-09-07 08:23:11:569,21607,2.0,20381,1.4,42031,2.4,56357,2.75 56,1,2024-09-07 08:23:10:580,120264,120264,0,0,56721912267,607738462,116871,2646,747,383,391678,0 56,2,2024-09-07 08:23:11:322,88103,88103,0,0,5089371,0,3567 56,3,2024-09-07 08:23:11:062,1,69,5,0,297,958,69,0 57,0,2024-09-07 08:23:10:968,20296,3.4,20043,2.0,40319,5.8,54573,4.50 57,1,2024-09-07 08:23:10:986,120371,120371,0,0,55802433858,587348531,118896,1455,20,368,391760,0 57,2,2024-09-07 08:23:11:336,85626,85626,0,0,4957023,0,3178 57,3,2024-09-07 08:23:11:741,1,69,2,1,359,1573,69,0 58,0,2024-09-07 08:23:10:571,13876,0.8,13524,1.1,28383,0.6,37354,2.75 58,1,2024-09-07 08:23:10:575,121735,121732,0,3,57209022003,598599449,120135,1437,160,369,391516,3 58,2,2024-09-07 08:23:11:078,87757,87757,0,0,4713065,0,2549 58,3,2024-09-07 08:23:11:067,1,69,1,1,219,841,69,0 59,0,2024-09-07 08:23:11:751,14210,0.6,14045,0.8,28378,0.5,37814,2.25 59,1,2024-09-07 08:23:10:809,120578,120578,0,0,56368382759,596339466,118332,1479,767,369,391515,0 59,2,2024-09-07 08:23:10:587,88662,88662,0,0,4212480,0,2604 59,3,2024-09-07 08:23:11:737,1,69,1,0,1015,1920,69,0 60,0,2024-09-07 08:23:11:757,19146,0.5,19238,0.6,38183,0.6,50933,1.75 60,1,2024-09-07 08:23:10:784,121966,121966,0,0,56909650246,593712486,120889,731,346,370,391758,0 60,2,2024-09-07 08:23:11:143,87736,87736,0,0,3779718,0,2142 60,3,2024-09-07 08:23:11:262,1,69,0,0,124,915,69,0 61,0,2024-09-07 08:23:11:513,19766,2.9,19788,1.7,39586,4.4,53413,2.50 61,1,2024-09-07 08:23:10:771,120941,120941,0,0,56724837671,601135384,118387,2019,535,383,391589,0 61,2,2024-09-07 08:23:11:116,83977,83977,0,0,3796568,0,1846 61,3,2024-09-07 08:23:11:688,1,69,1,0,199,1102,69,0 62,0,2024-09-07 08:23:11:715,17104,1.7,17550,1.2,33561,3.3,45459,2.25 62,1,2024-09-07 08:23:11:111,121834,121828,0,6,56951433178,591754589,120577,1214,37,365,391586,6 62,2,2024-09-07 08:23:11:644,88502,88502,0,0,4393395,0,2931 62,3,2024-09-07 08:23:11:143,1,69,1,0,287,659,69,0 63,0,2024-09-07 08:23:11:464,12700,0.3,12635,0.6,25540,0.2,33811,1.75 63,1,2024-09-07 08:23:10:806,122123,122119,0,4,56857013102,589112788,121717,400,2,381,391540,4 63,2,2024-09-07 08:23:10:773,88402,88402,0,0,3911588,0,2674 63,3,2024-09-07 08:23:11:736,1,69,1,0,667,1835,69,0 64,0,2024-09-07 08:23:11:520,16599,0.3,16579,0.6,33296,0.3,44899,1.75 64,1,2024-09-07 08:23:10:750,121422,121422,0,0,56492037483,598344746,118984,1645,793,371,391783,0 64,2,2024-09-07 08:23:11:145,87209,87190,19,0,4419820,0,6121 64,3,2024-09-07 08:23:11:144,1,69,1,1,265,994,69,0 65,0,2024-09-07 08:23:11:708,19123,2.5,19486,1.6,38878,3.4,51576,3.75 65,1,2024-09-07 08:23:10:869,120895,120895,0,0,56066745788,591174071,119186,1490,219,382,391701,0 65,2,2024-09-07 08:23:11:693,84801,84801,0,0,4493072,0,3367 65,3,2024-09-07 08:23:11:688,1,69,1,0,163,833,69,0 66,0,2024-09-07 08:23:11:777,18823,0.9,19066,1.1,38113,0.9,50587,2.75 66,1,2024-09-07 08:23:11:300,122014,122014,0,0,56930291297,592133015,121323,670,21,380,391588,0 66,2,2024-09-07 08:23:11:136,89186,89186,0,0,4165737,0,3867 66,3,2024-09-07 08:23:11:080,1,69,1,1,291,926,69,0 67,0,2024-09-07 08:23:11:420,12934,0.5,12970,0.7,25579,0.3,33667,2.25 67,1,2024-09-07 08:23:10:769,120698,120697,0,1,56628899951,597815440,118677,1495,525,382,391787,1 67,2,2024-09-07 08:23:10:583,88623,88623,0,0,3848214,0,2889 67,3,2024-09-07 08:23:11:753,1,69,3,0,138,646,69,0 68,0,2024-09-07 08:23:10:619,15293,0.5,15294,0.7,30618,0.4,41001,2.00 68,1,2024-09-07 08:23:10:581,120395,120395,0,0,55644115040,587231510,118981,892,522,382,391953,0 68,2,2024-09-07 08:23:11:049,86369,86369,0,0,4010692,0,4883 68,3,2024-09-07 08:23:10:728,1,69,1,1,63,744,69,0 69,0,2024-09-07 08:23:11:747,21102,1.6,21062,1.2,41912,2.0,55838,2.75 69,1,2024-09-07 08:23:11:022,120456,120456,0,0,56939188653,606787710,118463,1128,865,385,391532,0 69,2,2024-09-07 08:23:11:738,87134,87134,0,0,4598612,0,3153 69,3,2024-09-07 08:23:10:765,1,69,7,0,238,1142,69,0 70,0,2024-09-07 08:23:11:542,20432,3.4,20409,2.4,41266,2.3,54924,4.50 70,1,2024-09-07 08:23:10:803,121456,121456,0,0,57668387296,599620534,120541,780,135,368,391527,0 70,2,2024-09-07 08:23:11:325,86678,86678,0,0,4491087,0,4044 70,3,2024-09-07 08:23:10:750,1,69,8,0,178,492,69,0 71,0,2024-09-07 08:23:11:365,13557,1.7,13482,3.6,26589,2.6,36898,4.25 71,1,2024-09-07 08:23:11:609,121113,121113,0,0,57454619697,604109814,118911,1886,316,368,391682,0 71,2,2024-09-07 08:23:11:068,87551,87551,0,0,4232929,0,2146 71,3,2024-09-07 08:23:11:755,1,69,187,0,219,999,69,0 72,0,2024-09-07 08:23:11:053,15620,0.4,15157,0.6,29943,0.3,40521,2.50 72,1,2024-09-07 08:23:11:021,121564,121564,0,0,56664298957,593774982,120016,1470,78,370,391556,0 72,2,2024-09-07 08:23:11:755,87041,87041,0,0,5399550,0,2570 72,3,2024-09-07 08:23:11:754,1,69,1,0,325,1305,69,0 73,0,2024-09-07 08:23:11:130,19395,0.5,19999,0.7,40585,0.4,53277,2.25 73,1,2024-09-07 08:23:10:773,121216,121216,0,0,56954305496,595000590,120252,920,44,368,391627,0 73,2,2024-09-07 08:23:11:756,88759,88759,0,0,4955885,0,3482 73,3,2024-09-07 08:23:10:970,1,69,43,0,274,1346,69,0 74,0,2024-09-07 08:23:11:343,20725,1.8,21247,1.4,40744,2.6,55619,3.25 74,1,2024-09-07 08:23:10:644,121062,121062,0,0,56336157339,592526171,119165,1325,572,382,391511,0 74,2,2024-09-07 08:23:11:002,83835,83835,0,0,5108148,0,4253 74,3,2024-09-07 08:23:11:443,1,69,92,0,246,1440,69,0 75,0,2024-09-07 08:23:11:804,15612,2.7,15676,1.7,31308,4.9,42110,3.25 75,1,2024-09-07 08:23:11:595,121340,121340,0,0,56702413076,596749862,119655,1581,104,382,391514,0 75,2,2024-09-07 08:23:11:358,87915,87915,0,0,5047489,0,4766 75,3,2024-09-07 08:23:11:067,1,69,9,0,143,450,69,0 76,0,2024-09-07 08:23:10:606,13576,0.3,13452,0.5,26866,0.2,35877,2.00 76,1,2024-09-07 08:23:10:809,120802,120802,0,0,56676854947,597815807,118991,1305,506,382,391530,0 76,2,2024-09-07 08:23:11:064,89124,89124,0,0,3989293,0,3064 76,3,2024-09-07 08:23:11:144,1,69,3,0,175,1000,69,0 77,0,2024-09-07 08:23:11:711,18055,0.6,18192,0.8,36408,0.6,48446,2.00 77,1,2024-09-07 08:23:10:829,121128,121128,0,0,56659374094,593152089,120093,966,69,383,391516,0 77,2,2024-09-07 08:23:11:287,87741,87741,0,0,3407584,0,1695 77,3,2024-09-07 08:23:11:095,1,69,0,0,139,705,69,0 78,0,2024-09-07 08:23:11:739,19434,1.3,19280,1.2,38689,2.0,51400,2.50 78,1,2024-09-07 08:23:10:610,121650,121650,0,0,55885942424,585096833,120232,1213,205,367,391589,0 78,2,2024-09-07 08:23:11:410,83852,83852,0,0,3398956,0,2114 78,3,2024-09-07 08:23:11:133,1,69,8,0,181,1017,69,0 79,0,2024-09-07 08:23:11:369,17309,0.9,17701,1.1,36196,1.1,47088,3.25 79,1,2024-09-07 08:23:10:571,121437,121437,0,0,57157173972,596297974,120100,1268,69,370,391609,0 79,2,2024-09-07 08:23:11:068,89066,89066,0,0,3928726,0,2679 79,3,2024-09-07 08:23:10:749,1,69,1,0,289,843,69,0 80,0,2024-09-07 08:23:11:086,12488,0.4,12785,0.6,24801,0.3,32925,2.00 80,1,2024-09-07 08:23:11:640,120782,120782,0,0,56735976369,593835481,119194,1473,115,369,391673,0 80,2,2024-09-07 08:23:11:096,88522,88522,0,0,4253431,0,4433 80,3,2024-09-07 08:23:10:582,1,69,1,1,190,1221,69,0 81,0,2024-09-07 08:23:11:550,15807,0.4,16186,0.6,30938,0.3,42358,1.75 81,1,2024-09-07 08:23:11:660,120949,120949,0,0,56430142675,595175840,119063,1688,198,383,391680,0 81,2,2024-09-07 08:23:11:126,85965,85965,0,0,4499533,0,3993 81,3,2024-09-07 08:23:11:117,1,69,1,0,193,835,69,0 82,0,2024-09-07 08:23:11:551,20332,1.0,20392,1.1,40749,1.4,54131,2.25 82,1,2024-09-07 08:23:10:590,120977,120974,0,3,57273914114,602034952,118936,1466,572,383,391558,3 82,2,2024-09-07 08:23:11:703,86883,86883,0,0,3455112,0,2230 82,3,2024-09-07 08:23:11:754,1,69,1,0,227,1308,69,0 83,0,2024-09-07 08:23:11:551,19741,1.7,19686,1.4,39160,2.0,51956,3.00 83,1,2024-09-07 08:23:10:551,121020,121020,0,0,56264538579,591252719,119603,1317,100,383,391553,0 83,2,2024-09-07 08:23:10:769,87347,87347,0,0,4469054,0,3119 83,3,2024-09-07 08:23:10:749,1,69,1,0,91,596,69,0 84,0,2024-09-07 08:23:11:785,13829,4.5,13641,3.9,27330,0.9,37572,3.00 84,1,2024-09-07 08:23:11:041,120836,120836,0,0,56958729075,600462926,119226,1363,247,369,391638,0 84,2,2024-09-07 08:23:10:573,87382,87382,0,0,4497731,0,3801 84,3,2024-09-07 08:23:11:144,1,69,2,1,58,748,69,0 85,0,2024-09-07 08:23:11:024,14516,0.4,14527,0.6,30708,0.3,39970,1.75 85,1,2024-09-07 08:23:10:564,120536,120536,0,0,56504903274,601655521,118086,2022,428,384,391652,0 85,2,2024-09-07 08:23:10:869,86611,86611,0,0,4865274,0,3656 85,3,2024-09-07 08:23:10:688,1,69,1,0,115,753,69,0 86,0,2024-09-07 08:23:10:884,20993,0.8,21780,0.8,41980,1.0,56318,2.00 86,1,2024-09-07 08:23:10:828,120537,120537,0,0,56688999793,596493293,118746,1575,216,367,391622,0 86,2,2024-09-07 08:23:10:857,87371,87370,1,0,5080567,0,5004 86,3,2024-09-07 08:23:10:586,1,69,2,0,199,874,69,0 87,0,2024-09-07 08:23:11:348,20614,3.0,20454,1.8,41201,4.1,55691,3.25 87,1,2024-09-07 08:23:10:550,120794,120794,0,0,56588800348,596070489,119216,1457,121,368,391671,0 87,2,2024-09-07 08:23:11:066,85551,85551,0,0,3810770,0,2859 87,3,2024-09-07 08:23:11:795,1,69,2,1,322,1155,69,0 88,0,2024-09-07 08:23:11:461,14570,0.8,14796,1.0,29416,0.9,39281,2.00 88,1,2024-09-07 08:23:10:573,120368,120368,0,0,56980289715,598630183,119160,1112,96,367,391784,0 88,2,2024-09-07 08:23:10:689,87997,87997,0,0,5151508,0,3583 88,3,2024-09-07 08:23:11:269,1,69,19,1,77,876,69,0 89,0,2024-09-07 08:23:11:828,14565,0.3,14119,0.5,28018,0.3,38154,1.75 89,1,2024-09-07 08:23:10:551,120063,120063,0,0,56632539769,607387599,116745,2582,736,383,391866,0 89,2,2024-09-07 08:23:11:136,88141,88141,0,0,4745539,0,2726 89,3,2024-09-07 08:23:11:793,1,69,11,0,325,1861,69,0 90,0,2024-09-07 08:23:11:630,18555,0.5,18922,0.6,38879,0.5,50772,1.75 90,1,2024-09-07 08:23:10:593,120765,120765,0,0,56436973409,598443550,118718,1907,140,382,391825,0 90,2,2024-09-07 08:23:11:407,86840,86840,0,0,5379933,0,2635 90,3,2024-09-07 08:23:10:936,1,69,3,1,200,939,69,0 91,0,2024-09-07 08:23:10:990,20289,0.7,19575,0.8,40705,0.8,53754,2.00 91,1,2024-09-07 08:23:10:561,120754,120754,0,0,56636551672,601158804,118372,2019,363,384,391914,0 91,2,2024-09-07 08:23:11:342,83106,83106,0,0,4340403,0,1997 91,3,2024-09-07 08:23:10:609,1,69,1,1,155,987,69,0 92,0,2024-09-07 08:23:11:473,17179,1.2,17629,1.1,33779,1.5,45548,2.00 92,1,2024-09-07 08:23:10:582,121260,121260,0,0,56962778542,595600203,120606,587,67,382,391717,0 92,2,2024-09-07 08:23:11:375,89840,89840,0,0,4222894,0,2279 92,3,2024-09-07 08:23:11:009,1,69,2,1,68,824,69,0 93,0,2024-09-07 08:23:10:991,12815,0.3,13023,0.5,24826,0.2,33766,1.75 93,1,2024-09-07 08:23:10:814,121263,121263,0,0,56776049794,597529005,119502,1325,436,367,391689,0 93,2,2024-09-07 08:23:10:946,88654,88654,0,0,3888040,0,2509 93,3,2024-09-07 08:23:11:410,1,69,2,1,143,669,69,0 94,0,2024-09-07 08:23:11:626,16709,0.3,17006,0.4,33387,0.2,44939,1.50 94,1,2024-09-07 08:23:10:563,120668,120668,0,0,56409456910,595414317,119087,1516,65,381,391850,0 94,2,2024-09-07 08:23:10:766,86523,86523,0,0,3896779,0,2443 94,3,2024-09-07 08:23:11:689,1,69,2,1,231,1251,69,0 95,0,2024-09-07 08:23:11:393,19707,0.5,19510,0.7,39685,0.5,52326,1.75 95,1,2024-09-07 08:23:10:851,121285,121285,0,0,56681167231,591483485,120486,735,64,367,391590,0 95,2,2024-09-07 08:23:11:033,84796,84796,0,0,4084457,0,3308 95,3,2024-09-07 08:23:11:709,1,69,0,0,307,1258,69,0 96,0,2024-09-07 08:23:11:034,19491,1.0,19373,0.9,38779,1.7,51110,1.75 96,1,2024-09-07 08:23:11:610,121061,121061,0,0,56196658137,594255367,119222,1268,571,385,391596,0 96,2,2024-09-07 08:23:11:271,88917,88917,0,0,4692931,0,4038 96,3,2024-09-07 08:23:11:144,1,69,1,1,36,684,69,0 97,0,2024-09-07 08:23:11:331,12808,0.3,12814,0.5,25707,0.3,33696,1.75 97,1,2024-09-07 08:23:10:771,120907,120907,0,0,56810620891,597523838,118720,1670,517,367,392140,0 97,2,2024-09-07 08:23:10:611,87768,87768,0,0,3904996,0,3036 97,3,2024-09-07 08:23:10:571,1,69,3,1,165,967,69,0 98,0,2024-09-07 08:23:11:700,15293,0.2,15162,0.4,30824,0.2,41198,1.50 98,1,2024-09-07 08:23:10:581,121144,121144,0,0,56943814023,597230248,120072,1027,45,382,391588,0 98,2,2024-09-07 08:23:10:769,87288,87288,0,0,4143305,0,3080 98,3,2024-09-07 08:23:10:701,1,69,2,1,155,983,69,0 99,0,2024-09-07 08:23:11:470,21199,0.4,21106,0.5,42187,0.4,55984,1.75 99,1,2024-09-07 08:23:11:726,121352,121352,0,0,56134626956,589635386,119873,1039,440,381,391744,0 99,2,2024-09-07 08:23:11:426,88046,88046,0,0,4136197,0,1858 99,3,2024-09-07 08:23:10:581,1,69,2,0,129,689,69,0 100,0,2024-09-07 08:23:11:497,20845,2.0,20600,2.5,41169,5.0,55772,2.75 100,1,2024-09-07 08:23:10:548,120207,120207,0,0,55792877642,597276350,117463,2399,345,381,391989,0 100,2,2024-09-07 08:23:11:817,86057,86046,11,0,5335599,0,5417 100,3,2024-09-07 08:23:11:739,1,69,4,1,443,1820,69,0 101,0,2024-09-07 08:23:11:718,14040,4.3,13585,2.3,26921,1.4,37865,2.75 101,1,2024-09-07 08:23:10:551,120671,120671,0,0,56476764701,596198047,119197,1025,449,369,391709,0 101,2,2024-09-07 08:23:11:755,87420,87420,0,0,4703976,0,4644 101,3,2024-09-07 08:23:10:948,1,69,1,0,448,1057,69,0 102,0,2024-09-07 08:23:11:020,14765,0.4,15343,0.6,30714,0.3,40379,1.75 102,1,2024-09-07 08:23:11:146,120649,120649,0,0,56114330954,596505307,118185,1996,468,369,391831,0 102,2,2024-09-07 08:23:11:740,87959,87959,0,0,3887808,0,1945 102,3,2024-09-07 08:23:11:613,1,69,3,0,410,818,69,0 103,0,2024-09-07 08:23:11:679,20411,0.6,20419,0.7,38467,0.6,53770,2.00 103,1,2024-09-07 08:23:11:640,120828,120828,0,0,56930469172,599267868,119603,1081,144,381,391680,0 103,2,2024-09-07 08:23:10:585,87910,87910,0,0,4190088,0,2104 103,3,2024-09-07 08:23:10:755,1,69,8,1,486,1094,69,0 104,0,2024-09-07 08:23:11:013,20672,2.2,20744,1.5,40393,2.7,55777,2.75 104,1,2024-09-07 08:23:11:601,121537,121537,0,0,56237737668,595803840,119594,1617,326,368,391948,0 104,2,2024-09-07 08:23:11:680,83148,83148,0,0,4792466,0,3941 104,3,2024-09-07 08:23:11:417,1,69,2,1,1245,3828,69,0 105,0,2024-09-07 08:23:11:055,15535,1.7,14996,1.7,31140,2.3,41854,3.75 105,1,2024-09-07 08:23:10:554,120729,120729,0,0,56084711050,596729290,117780,2203,746,367,391797,0 105,2,2024-09-07 08:23:11:329,88193,88193,0,0,4601808,0,3314 105,3,2024-09-07 08:23:11:309,1,69,1,1,399,1594,69,0 106,0,2024-09-07 08:23:11:028,13099,0.3,13479,0.6,27363,0.2,35910,1.75 106,1,2024-09-07 08:23:11:761,121099,121099,0,0,56155173108,595046005,118695,2077,327,370,391767,0 106,2,2024-09-07 08:23:10:762,88137,88137,0,0,4669053,0,2795 106,3,2024-09-07 08:23:10:679,1,69,1,1,201,884,69,0 107,0,2024-09-07 08:23:11:124,18212,0.6,18148,0.7,36061,0.5,48577,1.75 107,1,2024-09-07 08:23:10:587,120261,120261,0,0,56445455177,599469599,118326,1745,190,382,392234,0 107,2,2024-09-07 08:23:11:291,87208,87207,1,0,4459357,0,5024 107,3,2024-09-07 08:23:11:755,1,69,2,0,353,1284,69,0 108,0,2024-09-07 08:23:11:796,19382,0.7,19626,0.8,38963,0.8,51598,1.75 108,1,2024-09-07 08:23:11:307,120916,120916,0,0,56789205632,597057329,119482,1300,134,371,391857,0 108,2,2024-09-07 08:23:11:767,83425,83425,0,0,4136123,0,2647 108,3,2024-09-07 08:23:11:332,1,69,10,1,73,934,69,0 109,0,2024-09-07 08:23:11:814,18119,0.9,18254,0.9,35905,1.1,48291,2.00 109,1,2024-09-07 08:23:10:584,120298,120298,0,0,57154849044,604567059,118683,1232,383,383,391664,0 109,2,2024-09-07 08:23:10:925,88044,88044,0,0,4578287,0,3617 109,3,2024-09-07 08:23:11:144,1,69,1,1,249,1225,69,0 110,0,2024-09-07 08:23:11:797,12568,0.2,12228,0.5,25488,0.2,32909,1.50 110,1,2024-09-07 08:23:11:668,121191,121191,0,0,56551514589,591186474,119873,1033,285,370,391588,0 110,2,2024-09-07 08:23:11:309,89000,89000,0,0,3858018,0,2915 110,3,2024-09-07 08:23:10:690,1,69,2,0,183,701,69,0 111,0,2024-09-07 08:23:11:424,15672,0.2,15551,0.4,31151,0.1,42233,1.50 111,1,2024-09-07 08:23:11:000,121550,121550,0,0,57589245405,597437801,120906,631,13,382,391690,0 111,2,2024-09-07 08:23:11:122,86739,86739,0,0,3972849,0,2763 111,3,2024-09-07 08:23:10:918,1,69,15,0,300,1379,69,0 112,0,2024-09-07 08:23:10:932,20606,0.5,20676,0.6,40951,0.4,54430,1.75 112,1,2024-09-07 08:23:10:824,120912,120912,0,0,56930514914,597009353,119403,1163,346,381,391580,0 112,2,2024-09-07 08:23:11:143,86180,86179,1,0,4399444,0,5036 112,3,2024-09-07 08:23:10:592,1,69,0,0,282,841,69,0 113,0,2024-09-07 08:23:10:880,19898,0.6,19728,0.7,40116,0.6,53132,1.75 113,1,2024-09-07 08:23:11:685,121309,121309,0,0,56898427308,594905710,119421,1504,384,368,391661,0 113,2,2024-09-07 08:23:11:309,88045,88045,0,0,3645698,0,3021 113,3,2024-09-07 08:23:10:693,1,69,1,0,288,1116,69,0 114,0,2024-09-07 08:23:10:887,14135,3.6,14321,1.9,28088,1.0,38120,2.00 114,1,2024-09-07 08:23:10:716,121002,121002,0,0,56523028924,598128636,118395,1726,881,381,391519,0 114,2,2024-09-07 08:23:10:881,87350,87350,0,0,4233356,0,3925 114,3,2024-09-07 08:23:11:281,1,69,0,0,159,747,69,0 115,0,2024-09-07 08:23:10:561,14938,0.2,15028,0.4,29977,0.1,40054,1.50 115,1,2024-09-07 08:23:10:571,121175,121175,0,0,56947495252,599663639,118802,1886,487,383,391510,0 115,2,2024-09-07 08:23:11:126,87489,87489,0,0,3595055,0,2152 115,3,2024-09-07 08:23:11:010,1,69,2,0,159,468,69,0 116,0,2024-09-07 08:23:11:715,21227,0.9,20862,0.9,42117,1.2,56454,2.00 116,1,2024-09-07 08:23:10:811,120287,120287,0,0,56804167835,605008882,118408,1008,871,382,391605,0 116,2,2024-09-07 08:23:11:753,87707,87707,0,0,4799713,0,3529 116,3,2024-09-07 08:23:10:921,1,69,1,1,252,1256,69,0 117,0,2024-09-07 08:23:10:977,20692,2.4,20709,1.5,41239,3.7,55819,2.25 117,1,2024-09-07 08:23:11:580,121247,121247,0,0,55964962780,593932360,118933,2021,293,371,392033,0 117,2,2024-09-07 08:23:11:120,85804,85804,0,0,4171148,0,3700 117,3,2024-09-07 08:23:11:062,1,69,1,0,490,1794,69,0 118,0,2024-09-07 08:23:11:775,14254,0.8,14561,1.0,29940,1.0,39142,2.00 118,1,2024-09-07 08:23:10:585,121251,121251,0,0,56006431047,590058829,120013,1160,78,368,391736,0 118,2,2024-09-07 08:23:11:589,87964,87964,0,0,4610780,0,2781 118,3,2024-09-07 08:23:11:773,1,69,13,1,235,1153,69,0 119,0,2024-09-07 08:23:11:491,13895,0.3,13963,0.5,28570,0.2,37928,1.75 119,1,2024-09-07 08:23:10:554,120999,120999,0,0,56122493102,591355457,119387,1463,149,370,391617,0 119,2,2024-09-07 08:23:11:262,87973,87973,0,0,4352873,0,2532 119,3,2024-09-07 08:23:11:339,1,69,2,1,443,2110,69,0 120,0,2024-09-07 08:23:11:595,18894,0.6,18956,0.9,37752,0.6,50778,2.00 120,1,2024-09-07 08:23:10:882,120658,120658,0,0,56378066140,595728735,119134,1508,16,368,391702,0 120,2,2024-09-07 08:23:10:782,87103,87102,1,0,5311612,0,5281 120,3,2024-09-07 08:23:11:299,1,69,17,1,241,1341,69,0 121,0,2024-09-07 08:23:11:704,19719,2.7,19903,1.6,39871,3.9,53787,2.50 121,1,2024-09-07 08:23:11:654,121012,121012,0,0,56653936137,597617510,119140,1603,269,368,391807,0 121,2,2024-09-07 08:23:11:133,82707,82707,0,0,5488568,0,4127 121,3,2024-09-07 08:23:10:735,1,69,1,0,113,843,69,0 122,0,2024-09-07 08:23:11:797,16969,2.5,16379,2.1,34118,2.8,45714,2.50 122,1,2024-09-07 08:23:11:138,120006,120006,0,0,56398908615,600966101,116999,2483,524,368,392130,0 122,2,2024-09-07 08:23:11:332,88749,88749,0,0,5710346,0,3364 122,3,2024-09-07 08:23:10:594,1,69,5,1,298,1762,69,0 123,0,2024-09-07 08:23:10:996,12763,0.3,12341,0.5,25795,0.2,33767,1.75 123,1,2024-09-07 08:23:10:561,121050,121050,0,0,56670172662,605264556,117591,2891,568,369,391823,0 123,2,2024-09-07 08:23:11:020,88255,88254,1,0,4666862,0,5215 123,3,2024-09-07 08:23:11:147,1,69,10,1,160,941,69,0 124,0,2024-09-07 08:23:10:952,17149,0.3,17079,0.5,32451,0.2,45078,1.50 124,1,2024-09-07 08:23:11:026,121465,121465,0,0,56355986037,586715026,120667,654,144,367,392178,0 124,2,2024-09-07 08:23:11:010,86598,86598,0,0,3621500,0,2477 124,3,2024-09-07 08:23:10:765,1,69,2,1,490,1547,69,0 125,0,2024-09-07 08:23:11:437,19812,0.7,19855,0.7,39712,0.8,52269,2.00 125,1,2024-09-07 08:23:10:865,121030,121030,0,0,56828610557,597989302,119299,1459,272,384,391702,0 125,2,2024-09-07 08:23:11:117,84733,84733,0,0,3937748,0,2180 125,3,2024-09-07 08:23:11:126,1,69,2,1,93,964,69,0 126,0,2024-09-07 08:23:11:474,19485,1.3,19945,1.0,38173,2.2,51440,2.00 126,1,2024-09-07 08:23:10:552,121697,121697,0,0,57016845605,594409390,120650,993,54,365,391987,0 126,2,2024-09-07 08:23:10:614,88744,88744,0,0,4287163,0,3186 126,3,2024-09-07 08:23:10:917,1,69,13,0,122,990,69,0 127,0,2024-09-07 08:23:11:638,12931,0.2,12871,0.4,25775,0.2,33685,1.50 127,1,2024-09-07 08:23:10:571,121065,121065,0,0,56028585172,583857578,119928,1114,23,365,391614,0 127,2,2024-09-07 08:23:10:643,88279,88279,0,0,3502957,0,1803 127,3,2024-09-07 08:23:11:269,1,69,2,0,99,594,69,0 128,0,2024-09-07 08:23:11:550,15360,0.2,15459,0.4,30333,0.2,41145,1.50 128,1,2024-09-07 08:23:11:607,121137,121137,0,0,56814623711,591168336,120420,647,70,369,391605,0 128,2,2024-09-07 08:23:11:384,85990,85990,0,0,4336270,0,2107 128,3,2024-09-07 08:23:10:767,1,69,1,1,112,843,69,0 129,0,2024-09-07 08:23:11:003,21236,0.4,21179,0.6,42270,0.5,55876,1.75 129,1,2024-09-07 08:23:10:575,120975,120975,0,0,56769276206,598597833,119155,1546,274,379,391835,0 129,2,2024-09-07 08:23:10:693,86708,86708,0,0,3763124,0,2446 129,3,2024-09-07 08:23:10:688,1,69,3,1,173,1101,69,0 130,0,2024-09-07 08:23:11:757,20996,1.5,20897,1.1,41858,2.1,56225,2.25 130,1,2024-09-07 08:23:10:583,121124,121124,0,0,56548649496,593661803,119814,1278,32,381,391609,0 130,2,2024-09-07 08:23:11:149,86752,86752,0,0,4411857,0,4067 130,3,2024-09-07 08:23:11:291,1,69,2,1,207,626,69,0 131,0,2024-09-07 08:23:12:001,13957,1.1,13865,1.0,28218,1.6,37775,2.00 131,1,2024-09-07 08:23:11:820,121755,121755,0,0,56614020181,592813027,120737,832,186,384,391865,0 131,2,2024-09-07 08:23:10:570,87395,87395,0,0,3640465,0,2415 131,3,2024-09-07 08:23:11:689,1,69,3,0,392,1106,69,0 132,0,2024-09-07 08:23:11:423,15152,0.3,15217,0.6,30324,0.3,40459,1.75 132,1,2024-09-07 08:23:10:583,120197,120197,0,0,56557962004,601828065,117611,1880,706,382,391719,0 132,2,2024-09-07 08:23:10:705,87039,87039,0,0,5994944,0,4606 132,3,2024-09-07 08:23:11:688,1,69,2,1,356,1004,69,0 133,0,2024-09-07 08:23:11:547,19444,0.5,19716,0.7,40639,0.5,53177,1.75 133,1,2024-09-07 08:23:10:593,119770,119770,0,0,56517410651,601411454,117559,2116,95,383,391755,0 133,2,2024-09-07 08:23:11:091,88198,88198,0,0,5160238,0,2444 133,3,2024-09-07 08:23:11:305,1,69,1,1,187,600,69,0 134,0,2024-09-07 08:23:10:983,20849,1.1,20799,1.1,41754,1.4,55817,2.25 134,1,2024-09-07 08:23:10:589,121023,121023,0,0,56464701778,599061139,118390,1652,981,366,391718,0 134,2,2024-09-07 08:23:11:782,83793,83793,0,0,3792797,0,2026 134,3,2024-09-07 08:23:10:750,1,69,1,1,739,1904,69,0 135,0,2024-09-07 08:23:11:205,15291,1.9,15313,1.7,32294,2.1,41721,2.50 135,1,2024-09-07 08:23:11:608,120531,120531,0,0,56994202099,602164089,118585,1619,327,383,391703,0 135,2,2024-09-07 08:23:10:694,88497,88497,0,0,4774911,0,3981 135,3,2024-09-07 08:23:11:013,1,69,13,0,68,475,69,0 136,0,2024-09-07 08:23:11:684,13596,0.4,13610,0.6,27030,0.2,36163,2.00 136,1,2024-09-07 08:23:11:447,120958,120958,0,0,56059879342,592364335,119056,1755,147,384,391621,0 136,2,2024-09-07 08:23:11:134,89531,89531,0,0,4227815,0,2379 136,3,2024-09-07 08:23:11:111,1,69,3,1,108,828,69,0 137,0,2024-09-07 08:23:10:934,18618,0.8,18060,0.9,35809,1.0,48636,2.00 137,1,2024-09-07 08:23:10:579,121000,121000,0,0,56905888772,599888562,119029,1858,113,368,391608,0 137,2,2024-09-07 08:23:11:706,86959,86959,0,0,5188061,0,2632 137,3,2024-09-07 08:23:10:771,1,69,3,1,227,1044,69,0 138,0,2024-09-07 08:23:11:878,18858,2.9,19085,1.8,38531,4.0,51464,3.00 138,1,2024-09-07 08:23:11:688,120538,120538,0,0,57350835532,606173470,118208,2066,264,370,391954,0 138,2,2024-09-07 08:23:10:590,84233,84233,0,0,4296147,0,3263 138,3,2024-09-07 08:23:10:612,1,69,2,1,1160,1924,69,0 139,0,2024-09-07 08:23:11:416,17533,4.6,17500,2.5,35379,5.6,47550,4.00 139,1,2024-09-07 08:23:10:571,120345,120345,0,0,55724262004,598456753,117458,2158,729,381,391892,0 139,2,2024-09-07 08:23:10:702,88191,88191,0,0,5653860,0,3097 139,3,2024-09-07 08:23:11:662,1,69,12,0,244,1331,69,0 140,0,2024-09-07 08:23:11:596,12512,0.3,12415,0.5,25054,0.2,32928,1.75 140,1,2024-09-07 08:23:11:536,121792,121792,0,0,56958854671,591010414,120864,731,197,365,391497,0 140,2,2024-09-07 08:23:10:687,88889,88889,0,0,4402791,0,3388 140,3,2024-09-07 08:23:10:767,1,69,2,0,25,544,69,0 141,0,2024-09-07 08:23:11:705,15358,0.2,15980,0.4,31057,0.1,42117,1.50 141,1,2024-09-07 08:23:10:865,121564,121564,0,0,56903575094,594872637,120145,1088,331,382,391538,0 141,2,2024-09-07 08:23:11:686,86920,86920,0,0,3884880,0,2342 141,3,2024-09-07 08:23:11:049,1,69,0,0,147,533,69,0 142,0,2024-09-07 08:23:11:391,20814,0.4,20558,0.6,40851,0.4,54496,1.75 142,1,2024-09-07 08:23:10:605,121817,121817,0,0,56942176122,594377829,121269,533,15,384,391649,0 142,2,2024-09-07 08:23:11:302,85588,85588,0,0,4188219,0,2293 142,3,2024-09-07 08:23:11:749,1,69,1,0,484,1199,69,0 143,0,2024-09-07 08:23:11:394,19918,1.2,19959,1.0,40167,1.6,52976,2.00 143,1,2024-09-07 08:23:10:563,121372,121372,0,0,56440531326,587793574,120396,950,26,367,391608,0 143,2,2024-09-07 08:23:10:769,87569,87569,0,0,4598696,0,2669 143,3,2024-09-07 08:23:11:150,1,69,12,0,236,1094,69,0 144,0,2024-09-07 08:23:11:521,13489,1.4,13951,6.1,28024,1.3,37809,2.25 144,1,2024-09-07 08:23:10:591,120835,120835,0,0,56799981081,599505370,119267,1378,190,383,391638,0 144,2,2024-09-07 08:23:11:766,87961,87961,0,0,3981500,0,3473 144,3,2024-09-07 08:23:11:755,1,69,4,1,169,1222,69,0 145,0,2024-09-07 08:23:11:379,14425,0.4,14417,0.6,30519,0.3,39922,2.00 145,1,2024-09-07 08:23:10:564,120415,120415,0,0,56732735428,600451779,118490,1661,264,383,391615,0 145,2,2024-09-07 08:23:11:431,87127,87127,0,0,4933145,0,3903 145,3,2024-09-07 08:23:10:898,1,69,2,0,151,970,69,0 146,0,2024-09-07 08:23:11:612,21015,0.7,21052,0.9,42228,0.8,55983,2.25 146,1,2024-09-07 08:23:11:592,121389,121389,0,0,56679187698,599501269,119096,1992,301,369,391600,0 146,2,2024-09-07 08:23:11:698,86816,86816,0,0,4510834,0,2379 146,3,2024-09-07 08:23:11:277,1,69,1,0,1520,2988,69,0 147,0,2024-09-07 08:23:11:753,20571,3.1,20410,1.8,40447,4.5,55843,3.25 147,1,2024-09-07 08:23:11:374,121274,121274,0,0,57392243819,599836260,119561,1359,354,368,391791,0 147,2,2024-09-07 08:23:11:013,85932,85932,0,0,4275101,0,2789 147,3,2024-09-07 08:23:10:937,1,69,3,1,141,783,69,0 0,0,2024-09-07 08:23:21:715,18771,0.7,18733,0.9,39634,0.9,51428,1.75 0,1,2024-09-07 08:23:20:809,122962,122962,0,0,57852870108,609641160,121738,1097,127,372,391673,0 0,2,2024-09-07 08:23:21:068,88819,88819,0,0,4488579,0,4480 0,3,2024-09-07 08:23:20:977,1,70,3,0,247,1094,70,0 1,0,2024-09-07 08:23:21:767,20097,3.0,20008,1.9,40214,4.6,54637,3.00 1,1,2024-09-07 08:23:20:557,122249,122249,0,0,56805539173,599651358,120559,1162,528,372,391857,0 1,2,2024-09-07 08:23:20:649,84420,84420,0,0,4059478,0,3267 1,3,2024-09-07 08:23:21:302,1,70,3,0,167,722,70,0 2,0,2024-09-07 08:23:21:575,16941,1.5,17059,1.4,33842,3.1,45372,2.50 2,1,2024-09-07 08:23:20:860,122882,122882,0,0,58218762686,608407365,121802,877,203,381,391558,0 2,2,2024-09-07 08:23:21:273,89341,89341,0,0,4251019,0,3304 2,3,2024-09-07 08:23:20:699,1,70,1,0,214,804,70,0 3,0,2024-09-07 08:23:21:758,13119,0.3,13106,0.6,26237,0.2,34786,1.75 3,1,2024-09-07 08:23:21:627,122269,122269,0,0,57623607825,605351909,120291,1592,386,382,391516,0 3,2,2024-09-07 08:23:21:143,90191,90168,23,0,4733676,0,5851 3,3,2024-09-07 08:23:21:752,1,70,1,0,103,561,70,0 4,0,2024-09-07 08:23:21:789,16606,0.3,17049,0.5,34694,0.3,46039,1.75 4,1,2024-09-07 08:23:20:597,122618,122618,0,0,57316076915,608194165,120725,1475,418,371,391846,0 4,2,2024-09-07 08:23:21:020,88053,88053,0,0,5353941,0,4528 4,3,2024-09-07 08:23:21:027,1,70,1,1,287,1328,70,0 5,0,2024-09-07 08:23:21:391,19958,0.8,19701,0.8,39871,1.0,52506,2.00 5,1,2024-09-07 08:23:20:765,122481,122481,0,0,57625035860,610338812,120274,1766,441,368,392005,0 5,2,2024-09-07 08:23:21:845,84947,84947,0,0,4244484,0,2259 5,3,2024-09-07 08:23:21:738,1,70,14,0,238,1471,70,0 6,0,2024-09-07 08:23:20:923,19533,1.2,19289,1.3,38494,1.8,51556,2.75 6,1,2024-09-07 08:23:20:751,123120,123120,0,0,57760790878,604526495,121999,1052,69,381,391603,0 6,2,2024-09-07 08:23:21:119,90264,90264,0,0,4544111,0,2411 6,3,2024-09-07 08:23:21:300,1,70,1,1,340,1431,70,0 7,0,2024-09-07 08:23:21:547,12688,0.3,12706,0.5,25572,0.2,33567,1.75 7,1,2024-09-07 08:23:20:855,123066,123066,0,0,57531541723,603155759,122086,916,64,383,391664,0 7,2,2024-09-07 08:23:20:771,89221,89221,0,0,4282905,0,2981 7,3,2024-09-07 08:23:20:853,1,70,1,0,83,653,70,0 8,0,2024-09-07 08:23:21:366,15834,0.3,15733,0.5,31092,0.2,42040,1.50 8,1,2024-09-07 08:23:21:017,122539,122539,0,0,56968558195,610794171,119060,2226,1253,368,391724,0 8,2,2024-09-07 08:23:20:800,86688,86688,0,0,5245575,0,2986 8,3,2024-09-07 08:23:20:590,1,70,2,0,229,1050,70,0 9,0,2024-09-07 08:23:21:119,21138,0.4,20580,0.6,43090,0.4,55955,1.75 9,1,2024-09-07 08:23:20:554,122835,122835,0,0,57798444326,613564724,120395,1872,568,370,391738,0 9,2,2024-09-07 08:23:21:086,87790,87790,0,0,4750336,0,3360 9,3,2024-09-07 08:23:21:752,1,70,1,0,149,1027,70,0 10,0,2024-09-07 08:23:21:608,20992,0.8,21048,0.9,42051,0.8,56261,2.25 10,1,2024-09-07 08:23:20:584,122126,122126,0,0,56951748115,606726767,118790,2814,522,382,391541,0 10,2,2024-09-07 08:23:20:763,88093,88093,0,0,4889997,0,2940 10,3,2024-09-07 08:23:20:879,1,70,7,0,136,598,70,0 11,0,2024-09-07 08:23:21:022,13884,1.9,13602,1.5,28431,4.9,38649,2.50 11,1,2024-09-07 08:23:20:579,122371,122371,0,0,56997112134,604498384,119730,1826,815,384,391537,0 11,2,2024-09-07 08:23:21:129,88779,88779,0,0,4624817,0,2635 11,3,2024-09-07 08:23:21:301,1,70,1,0,720,2246,70,0 12,0,2024-09-07 08:23:21:031,15558,0.3,15613,0.5,30970,0.2,41023,1.50 12,1,2024-09-07 08:23:20:953,122192,122192,0,0,56456696483,594801686,120545,1548,99,371,391790,0 12,2,2024-09-07 08:23:21:545,89481,89481,0,0,4256369,0,2254 12,3,2024-09-07 08:23:21:067,1,70,1,0,358,1390,70,0 13,0,2024-09-07 08:23:21:402,20289,0.4,20477,0.6,40858,0.4,55006,1.75 13,1,2024-09-07 08:23:21:570,122701,122701,0,0,57094903003,603788776,121110,1224,367,384,391717,0 13,2,2024-09-07 08:23:20:597,89248,89248,0,0,3950850,0,3287 13,3,2024-09-07 08:23:21:766,1,70,1,1,373,1232,70,0 14,0,2024-09-07 08:23:20:568,21193,0.9,21261,1.0,42085,1.2,56469,2.25 14,1,2024-09-07 08:23:21:562,123780,123780,0,0,57410806270,597021578,122898,851,31,365,391546,0 14,2,2024-09-07 08:23:20:767,85285,85285,0,0,4407596,0,2793 14,3,2024-09-07 08:23:21:116,1,70,5,0,906,1278,70,0 15,0,2024-09-07 08:23:21:563,15805,2.0,15607,1.6,31400,4.0,42155,3.25 15,1,2024-09-07 08:23:21:613,122484,122484,0,0,57307111287,598326313,121682,767,35,381,391536,0 15,2,2024-09-07 08:23:21:001,90187,90187,0,0,3528850,0,3043 15,3,2024-09-07 08:23:21:405,1,70,1,0,538,1849,70,0 16,0,2024-09-07 08:23:21:122,13643,0.3,13844,0.6,27340,0.2,36590,2.00 16,1,2024-09-07 08:23:20:582,122339,122339,0,0,57136271668,600975964,121209,1103,27,372,391666,0 16,2,2024-09-07 08:23:21:447,89454,89454,0,0,4856481,0,4719 16,3,2024-09-07 08:23:21:151,1,70,10,1,231,1281,70,0 17,0,2024-09-07 08:23:21:824,18937,0.5,18585,0.7,36496,0.5,49558,2.00 17,1,2024-09-07 08:23:20:609,122602,122602,0,0,57077674541,605345177,120724,1355,523,369,391688,0 17,2,2024-09-07 08:23:21:667,89470,89470,0,0,3744865,0,2857 17,3,2024-09-07 08:23:20:577,1,70,3,0,268,1437,70,0 18,0,2024-09-07 08:23:20:950,19122,1.6,19325,1.3,38728,1.9,51431,2.75 18,1,2024-09-07 08:23:21:639,122658,122658,0,0,57697001954,602735277,121409,1031,218,368,391555,0 18,2,2024-09-07 08:23:21:755,84349,84349,0,0,4554057,0,3541 18,3,2024-09-07 08:23:20:895,1,70,1,0,163,936,70,0 19,0,2024-09-07 08:23:21:577,17793,1.7,17874,1.5,35330,1.9,46931,5.25 19,1,2024-09-07 08:23:20:566,123043,123043,0,0,58105146772,610036165,121178,1702,163,367,391696,0 19,2,2024-09-07 08:23:21:752,90615,90615,0,0,4225688,0,3988 19,3,2024-09-07 08:23:21:128,1,70,1,0,524,1163,70,0 20,0,2024-09-07 08:23:21:413,12724,0.3,12706,0.5,25088,0.2,33030,2.00 20,1,2024-09-07 08:23:20:572,122750,122750,0,0,57983668661,611233938,121054,1534,162,370,391598,0 20,2,2024-09-07 08:23:20:935,89564,89564,0,0,4215101,0,2446 20,3,2024-09-07 08:23:20:589,1,70,12,1,99,900,70,0 21,0,2024-09-07 08:23:21:148,16388,0.4,16351,0.6,32358,0.3,43352,1.75 21,1,2024-09-07 08:23:21:545,122207,122207,0,0,56952736033,604960231,119876,1837,494,368,391962,0 21,2,2024-09-07 08:23:21:070,87883,87883,0,0,4437732,0,3747 21,3,2024-09-07 08:23:21:414,1,70,1,0,93,1033,70,0 22,0,2024-09-07 08:23:21:737,20292,2.1,20265,1.4,40427,3.9,53850,3.25 22,1,2024-09-07 08:23:21:022,122379,122379,0,0,56993701786,606798989,119239,2414,726,382,391667,0 22,2,2024-09-07 08:23:20:772,86510,86510,0,0,3891303,0,3134 22,3,2024-09-07 08:23:21:069,1,70,1,0,62,421,70,0 23,0,2024-09-07 08:23:21:407,19909,1.3,20062,1.1,40089,2.0,53216,2.50 23,1,2024-09-07 08:23:21:008,123223,123223,0,0,57701311468,602010165,121874,1095,254,367,391496,0 23,2,2024-09-07 08:23:21:092,89271,89271,0,0,4086341,0,2078 23,3,2024-09-07 08:23:21:754,1,70,12,0,645,1303,70,0 24,0,2024-09-07 08:23:20:895,14525,0.6,14545,0.7,29047,0.7,38570,1.75 24,1,2024-09-07 08:23:20:586,122971,122971,0,0,57758292474,603715924,122159,805,7,369,391640,0 24,2,2024-09-07 08:23:21:070,88458,88458,0,0,5256886,0,2942 24,3,2024-09-07 08:23:21:690,1,70,5,1,234,1086,70,0 25,0,2024-09-07 08:23:21:388,15821,0.3,15435,0.5,30186,0.2,41376,1.50 25,1,2024-09-07 08:23:20:557,122439,122439,0,0,57405178985,607913035,120288,1835,316,371,391788,0 25,2,2024-09-07 08:23:21:606,87688,87688,0,0,4794593,0,3284 25,3,2024-09-07 08:23:21:013,1,70,30,1,158,746,70,0 26,0,2024-09-07 08:23:21:731,21213,0.5,20642,0.6,43204,0.5,56714,1.75 26,1,2024-09-07 08:23:21:541,122804,122804,0,0,57055648580,608198901,119343,2626,835,381,391564,0 26,2,2024-09-07 08:23:20:864,88754,88754,0,0,4848247,0,2809 26,3,2024-09-07 08:23:21:711,1,70,1,0,796,1269,70,0 27,0,2024-09-07 08:23:21:732,21064,1.1,21135,1.0,42170,1.7,56655,2.00 27,1,2024-09-07 08:23:21:682,123579,123579,0,0,58661577147,611351805,122509,775,295,381,391539,0 27,2,2024-09-07 08:23:20:874,85861,85861,0,0,5750412,0,3409 27,3,2024-09-07 08:23:21:014,1,70,2,0,564,1035,70,0 28,0,2024-09-07 08:23:21:406,14813,0.7,15018,0.9,29903,0.9,39848,2.00 28,1,2024-09-07 08:23:20:798,123264,123264,0,0,58195688418,608769862,122205,859,200,383,391646,0 28,2,2024-09-07 08:23:21:764,88991,88991,0,0,3735372,0,2609 28,3,2024-09-07 08:23:21:775,1,70,12,0,502,1183,70,0 29,0,2024-09-07 08:23:21:397,14857,0.3,14483,0.5,28442,0.2,39329,1.75 29,1,2024-09-07 08:23:21:581,124019,124019,0,0,57765405613,598540716,123276,571,172,369,391621,0 29,2,2024-09-07 08:23:20:870,90065,90065,0,0,3286861,0,2026 29,3,2024-09-07 08:23:20:988,1,70,4,0,105,657,70,0 30,0,2024-09-07 08:23:21:484,19253,0.5,18743,0.7,39237,0.4,51487,2.00 30,1,2024-09-07 08:23:20:578,123112,123112,0,0,58138523837,607147162,122137,910,65,382,391524,0 30,2,2024-09-07 08:23:21:277,89552,89552,0,0,3315311,0,2534 30,3,2024-09-07 08:23:20:581,1,70,5,0,195,625,70,0 31,0,2024-09-07 08:23:21:765,20177,1.5,20076,1.2,40665,2.2,54328,2.75 31,1,2024-09-07 08:23:20:565,123530,123530,0,0,58283986844,598606802,123281,247,2,356,391553,0 31,2,2024-09-07 08:23:21:280,84839,84839,0,0,4694884,0,3525 31,3,2024-09-07 08:23:21:710,1,70,1,0,129,548,70,0 32,0,2024-09-07 08:23:21:430,17232,1.5,17309,1.2,34774,2.9,46036,1.75 32,1,2024-09-07 08:23:20:812,122983,122983,0,0,57775337190,602974212,121983,971,29,382,391595,0 32,2,2024-09-07 08:23:20:941,90218,90218,0,0,3972403,0,3155 32,3,2024-09-07 08:23:21:015,1,70,1,0,110,628,70,0 33,0,2024-09-07 08:23:21:582,13099,0.2,12939,0.4,26301,0.1,34763,1.50 33,1,2024-09-07 08:23:20:602,123349,123349,0,0,57998442722,605423383,121688,1538,123,369,391526,0 33,2,2024-09-07 08:23:20:759,89918,89885,33,0,5531620,0,7012 33,3,2024-09-07 08:23:20:894,1,70,1,0,129,495,70,0 34,0,2024-09-07 08:23:20:941,17173,0.2,17683,0.4,33967,0.1,46043,1.50 34,1,2024-09-07 08:23:21:044,123346,123346,0,0,58030346821,599850262,122752,590,4,367,391517,0 34,2,2024-09-07 08:23:20:766,87787,87787,0,0,4522462,0,3255 34,3,2024-09-07 08:23:21:688,1,70,1,0,148,677,70,0 35,0,2024-09-07 08:23:20:875,19591,0.9,19817,0.9,39538,1.2,52405,2.00 35,1,2024-09-07 08:23:21:068,122876,122876,0,0,57408624275,599270583,121409,1105,362,384,391587,0 35,2,2024-09-07 08:23:21:582,85158,85158,0,0,3907534,0,2542 35,3,2024-09-07 08:23:20:910,1,70,4,0,219,1044,70,0 36,0,2024-09-07 08:23:21:530,19466,1.9,19384,1.4,39119,2.7,51577,2.75 36,1,2024-09-07 08:23:20:582,122732,122732,0,0,57465883583,608179792,119721,2080,931,366,391535,0 36,2,2024-09-07 08:23:21:755,89799,89799,0,0,5121473,0,3303 36,3,2024-09-07 08:23:20:877,1,70,1,0,378,1089,70,0 37,0,2024-09-07 08:23:21:382,12822,0.3,12788,0.5,25721,0.2,33751,1.75 37,1,2024-09-07 08:23:20:569,122371,122364,0,7,57279819607,609924911,119525,1322,1517,365,391518,0 37,2,2024-09-07 08:23:21:142,89388,89388,0,0,3747602,0,2333 37,3,2024-09-07 08:23:21:766,1,70,17,0,724,1793,70,0 38,0,2024-09-07 08:23:21:460,15670,0.3,15200,0.5,31594,0.2,42074,1.75 38,1,2024-09-07 08:23:21:605,123090,123090,0,0,57771315116,608311359,120936,1707,447,370,391821,0 38,2,2024-09-07 08:23:20:765,87636,87636,0,0,4510971,0,3245 38,3,2024-09-07 08:23:20:998,1,70,5,0,603,1403,70,0 39,0,2024-09-07 08:23:21:768,21735,1.0,21365,0.9,41354,1.2,56088,2.00 39,1,2024-09-07 08:23:20:719,123031,123031,0,0,57380389592,605375884,120399,1994,638,366,391524,0 39,2,2024-09-07 08:23:21:421,87867,87867,0,0,4142815,0,2381 39,3,2024-09-07 08:23:20:727,1,70,11,0,276,1205,70,0 40,0,2024-09-07 08:23:21:500,20542,1.9,20970,2.1,41530,3.8,55812,3.75 40,1,2024-09-07 08:23:20:579,122571,122571,0,0,56599281339,600687224,119319,2499,753,370,391591,0 40,2,2024-09-07 08:23:21:306,88015,88014,1,0,5187885,0,5137 40,3,2024-09-07 08:23:21:142,1,70,0,0,110,769,70,0 41,0,2024-09-07 08:23:21:035,13673,0.7,14030,5.1,26808,1.2,37492,2.50 41,1,2024-09-07 08:23:20:774,122618,122618,0,0,57716298443,609445133,120195,2110,313,370,391742,0 41,2,2024-09-07 08:23:20:772,88570,88570,0,0,5423344,0,3356 41,3,2024-09-07 08:23:21:681,1,70,1,0,168,790,70,0 42,0,2024-09-07 08:23:21:510,15336,0.5,15519,0.7,30897,0.4,41067,2.00 42,1,2024-09-07 08:23:21:444,122283,122283,0,0,57332888472,609558448,119290,2163,830,381,391511,0 42,2,2024-09-07 08:23:21:139,88770,88770,0,0,4663197,0,3568 42,3,2024-09-07 08:23:21:020,1,70,2,0,100,664,70,0 43,0,2024-09-07 08:23:20:934,20278,1.0,19848,1.0,41194,1.1,55077,2.00 43,1,2024-09-07 08:23:20:588,122536,122536,0,0,57569587663,606363160,120270,1514,752,367,391604,0 43,2,2024-09-07 08:23:21:737,89439,89439,0,0,4859919,0,3812 43,3,2024-09-07 08:23:21:750,1,70,4,0,325,1702,70,0 44,0,2024-09-07 08:23:20:876,21230,0.9,21126,1.1,42199,1.0,56969,2.00 44,1,2024-09-07 08:23:20:563,123019,123019,0,0,57429910059,595735816,122001,911,107,356,391523,0 44,2,2024-09-07 08:23:21:273,85392,85392,0,0,3428233,0,1865 44,3,2024-09-07 08:23:21:093,1,70,2,1,817,1327,70,0 45,0,2024-09-07 08:23:21:779,15596,2.6,15287,1.7,31945,5.4,42489,2.00 45,1,2024-09-07 08:23:21:005,123204,123204,0,0,57967505620,602844110,122736,467,1,382,391917,0 45,2,2024-09-07 08:23:21:269,90096,90096,0,0,4084255,0,2628 45,3,2024-09-07 08:23:20:937,1,70,2,0,226,781,70,0 46,0,2024-09-07 08:23:20:965,13827,0.2,13836,0.4,27766,0.1,36634,1.50 46,1,2024-09-07 08:23:20:581,123202,123202,0,0,57560835100,597231688,122354,811,37,368,391514,0 46,2,2024-09-07 08:23:20:593,90317,90317,0,0,4014643,0,2920 46,3,2024-09-07 08:23:21:136,1,70,1,0,315,1054,70,0 47,0,2024-09-07 08:23:21:158,18491,0.3,18748,0.5,37305,0.3,49498,1.75 47,1,2024-09-07 08:23:20:566,123546,123546,0,0,57722000289,596624883,123038,504,4,366,391605,0 47,2,2024-09-07 08:23:20:909,89709,89709,0,0,3986778,0,2558 47,3,2024-09-07 08:23:21:118,1,70,13,0,529,1090,70,0 48,0,2024-09-07 08:23:21:508,19923,0.4,19642,0.6,38923,0.4,52212,1.75 48,1,2024-09-07 08:23:21:021,123164,123164,0,0,57693050583,602574481,121968,1191,5,386,391637,0 48,2,2024-09-07 08:23:20:700,84965,84965,0,0,3250646,0,2083 48,3,2024-09-07 08:23:20:761,1,70,1,0,30,606,70,0 49,0,2024-09-07 08:23:21:733,18553,1.3,18083,1.2,35506,2.6,48362,2.00 49,1,2024-09-07 08:23:21:021,122518,122518,0,0,57116294155,600697992,120781,1028,709,382,391583,0 49,2,2024-09-07 08:23:21:797,90512,90512,0,0,4413418,0,3900 49,3,2024-09-07 08:23:21:419,1,70,1,0,274,808,70,0 50,0,2024-09-07 08:23:21:517,12756,0.2,12473,0.4,25306,0.1,33390,1.50 50,1,2024-09-07 08:23:21:021,123868,123868,0,0,57941686506,604603250,122202,1369,297,368,391530,0 50,2,2024-09-07 08:23:21:068,90063,90063,0,0,3649603,0,2253 50,3,2024-09-07 08:23:21:305,1,70,2,0,335,876,70,0 51,0,2024-09-07 08:23:21:693,16563,0.2,16145,0.4,31728,0.1,43732,1.50 51,1,2024-09-07 08:23:21:683,122990,122990,0,0,58411598211,610400760,121191,1016,783,367,391637,0 51,2,2024-09-07 08:23:21:317,87441,87441,0,0,3131630,0,2448 51,3,2024-09-07 08:23:21:027,1,70,1,0,162,486,70,0 52,0,2024-09-07 08:23:21:432,20512,0.9,20721,1.0,41425,1.0,54358,2.25 52,1,2024-09-07 08:23:20:579,122607,122607,0,0,56986551318,602857199,120097,2046,464,368,391529,0 52,2,2024-09-07 08:23:21:763,86217,86217,0,0,4369102,0,4779 52,3,2024-09-07 08:23:20:674,1,70,1,0,1782,2427,70,0 53,0,2024-09-07 08:23:21:748,19846,2.1,19132,1.5,39718,3.2,52461,3.00 53,1,2024-09-07 08:23:20:773,122510,122510,0,0,57783418785,610971007,119888,2048,574,370,391617,0 53,2,2024-09-07 08:23:21:299,90142,90142,0,0,3950567,0,1902 53,3,2024-09-07 08:23:20:699,1,70,1,0,59,467,70,0 54,0,2024-09-07 08:23:21:648,13602,8.8,13786,2.9,27253,1.4,37553,5.00 54,1,2024-09-07 08:23:20:580,122569,122569,0,0,57668355183,605360703,120461,1792,316,367,391520,0 54,2,2024-09-07 08:23:20:866,89452,89446,6,0,4788534,0,5382 54,3,2024-09-07 08:23:20:766,1,70,4,0,676,1698,70,0 55,0,2024-09-07 08:23:21:776,15073,0.4,15413,0.6,31463,0.3,40994,2.00 55,1,2024-09-07 08:23:20:775,122319,122319,0,0,56812337007,601427911,118837,2780,702,367,391731,0 55,2,2024-09-07 08:23:20:734,88048,88048,0,0,4714161,0,3275 55,3,2024-09-07 08:23:20:676,1,70,21,0,136,848,70,0 56,0,2024-09-07 08:23:21:567,21804,2.0,20567,1.4,42414,2.4,56644,2.75 56,1,2024-09-07 08:23:20:573,122054,122054,0,0,57666831313,617438784,118660,2646,748,383,391678,0 56,2,2024-09-07 08:23:21:304,89092,89092,0,0,5114704,0,3567 56,3,2024-09-07 08:23:21:066,1,70,1,0,297,959,70,0 57,0,2024-09-07 08:23:20:977,20598,3.4,20351,1.9,40889,5.7,55311,4.50 57,1,2024-09-07 08:23:21:002,122148,122148,0,0,56875542742,598298654,120673,1455,20,368,391760,0 57,2,2024-09-07 08:23:21:316,87159,87159,0,0,5011015,0,3178 57,3,2024-09-07 08:23:21:747,1,70,2,1,359,1575,70,0 58,0,2024-09-07 08:23:20:569,14071,0.8,13719,1.0,28788,0.6,37940,2.75 58,1,2024-09-07 08:23:20:578,123513,123510,0,3,57764929392,604342391,121913,1437,160,369,391516,3 58,2,2024-09-07 08:23:21:071,89036,89036,0,0,4757043,0,2549 58,3,2024-09-07 08:23:21:070,1,70,10,1,219,851,70,0 59,0,2024-09-07 08:23:21:753,14653,0.6,14471,0.8,29225,0.5,39041,2.25 59,1,2024-09-07 08:23:20:822,122423,122423,0,0,57113631482,604008431,120177,1479,767,369,391515,0 59,2,2024-09-07 08:23:20:590,89662,89662,0,0,4238111,0,2604 59,3,2024-09-07 08:23:21:739,1,70,1,0,1015,1921,70,0 60,0,2024-09-07 08:23:21:729,19460,0.5,19577,0.6,38763,0.6,51645,1.75 60,1,2024-09-07 08:23:20:786,123558,123558,0,0,57889132773,603699737,122480,732,346,370,391758,0 60,2,2024-09-07 08:23:21:147,89035,89035,0,0,3798379,0,2142 60,3,2024-09-07 08:23:21:263,1,70,1,0,124,916,70,0 61,0,2024-09-07 08:23:21:504,20087,2.9,20101,1.7,40203,4.3,54316,2.50 61,1,2024-09-07 08:23:20:775,122675,122675,0,0,57492973535,609057920,120121,2019,535,383,391589,0 61,2,2024-09-07 08:23:21:118,85076,85076,0,0,3877955,0,1846 61,3,2024-09-07 08:23:21:687,1,70,7,0,199,1109,70,0 62,0,2024-09-07 08:23:21:722,17272,1.7,17725,1.2,33865,3.0,45961,2.25 62,1,2024-09-07 08:23:21:117,123517,123511,0,6,57582407580,598169872,122260,1214,37,365,391586,6 62,2,2024-09-07 08:23:21:645,89751,89751,0,0,4408537,0,2931 62,3,2024-09-07 08:23:21:143,1,70,2,0,287,661,70,0 63,0,2024-09-07 08:23:21:468,12975,0.3,12937,0.6,26210,0.2,34714,1.75 63,1,2024-09-07 08:23:20:804,123860,123856,0,4,57787643450,598802704,123449,404,3,381,391540,4 63,2,2024-09-07 08:23:20:766,89844,89844,0,0,4025915,0,2674 63,3,2024-09-07 08:23:21:731,1,70,19,0,667,1854,70,0 64,0,2024-09-07 08:23:21:521,17059,0.3,17019,0.6,34182,0.3,46068,1.75 64,1,2024-09-07 08:23:20:751,123207,123207,0,0,57463649398,608194562,120769,1645,793,371,391783,0 64,2,2024-09-07 08:23:21:141,88631,88612,19,0,4431469,0,6121 64,3,2024-09-07 08:23:21:140,1,70,1,1,265,995,70,0 65,0,2024-09-07 08:23:21:695,19250,2.5,19598,1.6,39131,3.3,51908,3.75 65,1,2024-09-07 08:23:20:870,122697,122697,0,0,56799398299,598673483,120988,1490,219,382,391701,0 65,2,2024-09-07 08:23:21:694,85573,85573,0,0,4498548,0,3367 65,3,2024-09-07 08:23:21:685,1,70,1,0,163,834,70,0 66,0,2024-09-07 08:23:21:781,18957,0.9,19185,1.1,38350,0.9,50940,2.75 66,1,2024-09-07 08:23:21:302,123775,123775,0,0,57696029446,599937041,123084,670,21,380,391588,0 66,2,2024-09-07 08:23:21:138,90746,90746,0,0,4176613,0,3867 66,3,2024-09-07 08:23:21:080,1,70,1,1,291,927,70,0 67,0,2024-09-07 08:23:21:436,12974,0.5,13009,0.7,25647,0.3,33667,2.25 67,1,2024-09-07 08:23:20:767,122528,122527,0,1,57369302019,605378716,120507,1495,525,382,391787,1 67,2,2024-09-07 08:23:20:582,90264,90264,0,0,3859430,0,2889 67,3,2024-09-07 08:23:21:751,1,70,7,0,138,653,70,0 68,0,2024-09-07 08:23:20:595,15685,0.5,15683,0.7,31335,0.4,41946,2.00 68,1,2024-09-07 08:23:20:583,122144,122144,0,0,56571980427,596668438,120730,892,522,382,391953,0 68,2,2024-09-07 08:23:21:045,87535,87535,0,0,4025520,0,4883 68,3,2024-09-07 08:23:20:734,1,70,0,0,63,744,70,0 69,0,2024-09-07 08:23:21:746,21203,1.6,21159,1.2,42085,2.0,56087,2.75 69,1,2024-09-07 08:23:21:016,122288,122288,0,0,57869195654,616306049,120295,1128,865,385,391532,0 69,2,2024-09-07 08:23:21:746,88066,88066,0,0,4609719,0,3153 69,3,2024-09-07 08:23:20:785,1,70,2,0,238,1144,70,0 70,0,2024-09-07 08:23:21:557,20673,3.4,20637,2.4,41756,2.2,55525,4.50 70,1,2024-09-07 08:23:20:802,123137,123137,0,0,58366781177,606711502,122222,780,135,368,391527,0 70,2,2024-09-07 08:23:21:334,88179,88179,0,0,4537529,0,4044 70,3,2024-09-07 08:23:20:747,1,70,2,0,178,494,70,0 71,0,2024-09-07 08:23:21:381,13857,1.6,13805,3.5,27217,2.5,37766,4.25 71,1,2024-09-07 08:23:21:597,122908,122908,0,0,58141955957,611185426,120706,1886,316,368,391682,0 71,2,2024-09-07 08:23:21:070,88929,88929,0,0,4252520,0,2146 71,3,2024-09-07 08:23:21:753,1,70,1,0,219,1000,70,0 72,0,2024-09-07 08:23:21:051,15936,0.4,15470,0.6,30536,0.3,41224,2.25 72,1,2024-09-07 08:23:21:236,123302,123302,0,0,57347944552,600869239,121753,1471,78,370,391556,0 72,2,2024-09-07 08:23:21:755,88128,88128,0,0,5427293,0,2570 72,3,2024-09-07 08:23:21:763,1,70,1,0,325,1306,70,0 73,0,2024-09-07 08:23:21:104,19907,0.5,20500,0.7,41582,0.4,54761,2.25 73,1,2024-09-07 08:23:20:774,122943,122943,0,0,57627262716,601957993,121978,921,44,368,391627,0 73,2,2024-09-07 08:23:21:742,90016,90016,0,0,4986933,0,3482 73,3,2024-09-07 08:23:20:970,1,70,1,0,274,1347,70,0 74,0,2024-09-07 08:23:21:341,21036,1.7,21601,1.3,41358,2.5,56457,3.25 74,1,2024-09-07 08:23:20:636,122812,122812,0,0,57389561390,603325827,120914,1326,572,382,391511,0 74,2,2024-09-07 08:23:21:002,85293,85293,0,0,5134397,0,4253 74,3,2024-09-07 08:23:21:449,1,70,4,0,246,1444,70,0 75,0,2024-09-07 08:23:21:779,15763,2.6,15819,1.7,31638,4.9,42518,3.25 75,1,2024-09-07 08:23:21:587,122969,122969,0,0,57442869084,604357959,121284,1581,104,382,391514,0 75,2,2024-09-07 08:23:21:364,89276,89276,0,0,5079269,0,4766 75,3,2024-09-07 08:23:21:068,1,70,1,0,143,451,70,0 76,0,2024-09-07 08:23:20:604,13844,0.3,13696,0.5,27405,0.2,36525,2.00 76,1,2024-09-07 08:23:20:812,122596,122596,0,0,57516787029,606417840,120785,1305,506,382,391530,0 76,2,2024-09-07 08:23:21:061,90496,90496,0,0,4014851,0,3064 76,3,2024-09-07 08:23:21:145,1,70,1,0,175,1001,70,0 77,0,2024-09-07 08:23:21:713,18436,0.6,18607,0.8,37204,0.5,49458,1.75 77,1,2024-09-07 08:23:20:836,122810,122810,0,0,57168884070,598539066,121775,966,69,383,391516,0 77,2,2024-09-07 08:23:21:287,89075,89075,0,0,3428207,0,1695 77,3,2024-09-07 08:23:21:098,1,70,1,0,139,706,70,0 78,0,2024-09-07 08:23:21:762,19573,1.3,19437,1.2,39021,2.0,51853,2.50 78,1,2024-09-07 08:23:20:618,123391,123391,0,0,56934735800,595679222,121973,1213,205,367,391589,0 78,2,2024-09-07 08:23:21:407,84855,84855,0,0,3416024,0,2114 78,3,2024-09-07 08:23:21:136,1,70,7,0,181,1024,70,0 79,0,2024-09-07 08:23:21:360,17408,0.9,17806,1.1,36403,1.1,47325,3.25 79,1,2024-09-07 08:23:20:578,123014,123014,0,0,57867261530,603624257,121677,1268,69,370,391609,0 79,2,2024-09-07 08:23:21:069,90432,90432,0,0,4041558,0,2679 79,3,2024-09-07 08:23:20:750,1,70,21,0,289,864,70,0 80,0,2024-09-07 08:23:21:085,12568,0.4,12891,0.6,24993,0.2,33250,2.00 80,1,2024-09-07 08:23:21:621,122634,122634,0,0,57670753203,603322963,121046,1473,115,369,391673,0 80,2,2024-09-07 08:23:21:094,89928,89928,0,0,4265968,0,4433 80,3,2024-09-07 08:23:20:580,1,70,1,1,190,1222,70,0 81,0,2024-09-07 08:23:21:564,16278,0.4,16660,0.6,31907,0.3,43701,1.75 81,1,2024-09-07 08:23:21:657,122695,122695,0,0,57002299630,601053080,120809,1688,198,383,391680,0 81,2,2024-09-07 08:23:21:136,87370,87370,0,0,4509778,0,3993 81,3,2024-09-07 08:23:21:119,1,70,7,0,193,842,70,0 82,0,2024-09-07 08:23:21:566,20451,1.0,20497,1.1,40977,1.4,54422,2.25 82,1,2024-09-07 08:23:20:588,122795,122792,0,3,57991088860,609361711,120754,1466,572,383,391558,3 82,2,2024-09-07 08:23:21:692,87582,87582,0,0,3460321,0,2230 82,3,2024-09-07 08:23:21:754,1,70,2,0,227,1310,70,0 83,0,2024-09-07 08:23:21:546,19874,1.7,19810,1.4,39383,2.0,52219,3.00 83,1,2024-09-07 08:23:20:551,122705,122705,0,0,57014484346,598888255,121288,1317,100,383,391553,0 83,2,2024-09-07 08:23:20:772,88895,88895,0,0,4485362,0,3119 83,3,2024-09-07 08:23:20:752,1,70,1,0,91,597,70,0 84,0,2024-09-07 08:23:21:802,14042,4.4,13879,3.8,27766,0.9,38089,3.00 84,1,2024-09-07 08:23:21:040,122595,122595,0,0,57725706446,608305230,120985,1363,247,369,391638,0 84,2,2024-09-07 08:23:20:577,88809,88809,0,0,4544317,0,3801 84,3,2024-09-07 08:23:21:148,1,70,3,1,58,751,70,0 85,0,2024-09-07 08:23:21:024,14903,0.4,14884,0.6,31506,0.3,41132,1.75 85,1,2024-09-07 08:23:20:562,122330,122330,0,0,57516755708,612008794,119879,2023,428,384,391652,0 85,2,2024-09-07 08:23:20:877,87626,87626,0,0,4883167,0,3656 85,3,2024-09-07 08:23:20:694,1,70,1,0,115,754,70,0 86,0,2024-09-07 08:23:20:947,21220,0.8,21978,0.8,42344,1.0,56623,2.00 86,1,2024-09-07 08:23:20:837,122339,122339,0,0,57551138358,605289747,120547,1576,216,367,391622,0 86,2,2024-09-07 08:23:20:857,88469,88468,1,0,5098979,0,5004 86,3,2024-09-07 08:23:20:589,1,70,6,0,199,880,70,0 87,0,2024-09-07 08:23:21:354,20931,2.9,20769,1.7,41791,4.1,56492,3.25 87,1,2024-09-07 08:23:20:558,122550,122550,0,0,57553326340,605901236,120970,1459,121,368,391788,0 87,2,2024-09-07 08:23:21:077,87094,87094,0,0,3832361,0,2859 87,3,2024-09-07 08:23:21:794,1,70,8,1,322,1163,70,0 88,0,2024-09-07 08:23:21:529,14768,0.8,15009,0.9,29829,0.9,39822,2.00 88,1,2024-09-07 08:23:20:571,122051,122051,0,0,57733787086,606333378,120842,1113,96,367,391784,0 88,2,2024-09-07 08:23:20:763,89361,89361,0,0,5219197,0,3583 88,3,2024-09-07 08:23:21:286,1,70,6,1,77,882,70,0 89,0,2024-09-07 08:23:21:784,14994,0.3,14524,0.5,28822,0.3,39344,1.75 89,1,2024-09-07 08:23:20:723,121893,121893,0,0,57407208049,615488721,118574,2583,736,383,391866,0 89,2,2024-09-07 08:23:21:141,89186,89186,0,0,4778049,0,2726 89,3,2024-09-07 08:23:21:793,1,70,2,0,325,1863,70,0 90,0,2024-09-07 08:23:21:677,18826,0.5,19201,0.6,39491,0.5,51480,1.75 90,1,2024-09-07 08:23:20:592,122557,122557,0,0,57183237026,606201626,120510,1907,140,382,391825,0 90,2,2024-09-07 08:23:21:407,88165,88165,0,0,5426604,0,2635 90,3,2024-09-07 08:23:20:936,1,70,24,1,200,963,70,0 91,0,2024-09-07 08:23:20:972,20599,0.7,19871,0.8,41306,0.8,54642,2.00 91,1,2024-09-07 08:23:20:564,122483,122483,0,0,57332205171,608462947,120100,2020,363,384,391914,0 91,2,2024-09-07 08:23:21:343,84278,84278,0,0,4367617,0,1997 91,3,2024-09-07 08:23:20:614,1,70,106,1,155,1093,70,0 92,0,2024-09-07 08:23:21:508,17364,1.2,17804,1.1,34113,1.5,46035,2.00 92,1,2024-09-07 08:23:20:588,123055,123055,0,0,57918856059,605341124,122401,587,67,382,391717,0 92,2,2024-09-07 08:23:21:370,90990,90990,0,0,4290524,0,2279 92,3,2024-09-07 08:23:21:037,1,70,18,1,68,842,70,0 93,0,2024-09-07 08:23:21:025,13122,0.3,13332,0.5,25417,0.2,34717,1.75 93,1,2024-09-07 08:23:20:816,123002,123002,0,0,57609882823,606030042,121241,1325,436,367,391689,0 93,2,2024-09-07 08:23:20:933,90140,90140,0,0,3909777,0,2509 93,3,2024-09-07 08:23:21:409,1,70,4,1,143,673,70,0 94,0,2024-09-07 08:23:21:636,17184,0.3,17455,0.4,34256,0.2,46101,1.50 94,1,2024-09-07 08:23:20:564,122352,122352,0,0,57079269574,602411379,120770,1517,65,381,391850,0 94,2,2024-09-07 08:23:20:773,88045,88045,0,0,3922375,0,2443 94,3,2024-09-07 08:23:21:689,1,70,1,1,231,1252,70,0 95,0,2024-09-07 08:23:21:395,19830,0.5,19613,0.6,39934,0.5,52650,1.75 95,1,2024-09-07 08:23:20:855,123072,123072,0,0,57491508937,599735092,122273,735,64,367,391590,0 95,2,2024-09-07 08:23:21:019,85511,85511,0,0,4094239,0,3308 95,3,2024-09-07 08:23:21:711,1,70,2,0,307,1260,70,0 96,0,2024-09-07 08:23:21:062,19613,1.0,19483,0.9,39023,1.6,51438,1.75 96,1,2024-09-07 08:23:21:608,122815,122815,0,0,57128873851,603741489,120976,1268,571,385,391596,0 96,2,2024-09-07 08:23:21:277,90397,90397,0,0,4706383,0,4038 96,3,2024-09-07 08:23:21:142,1,70,1,1,36,685,70,0 97,0,2024-09-07 08:23:21:350,12842,0.3,12857,0.5,25765,0.3,33696,1.75 97,1,2024-09-07 08:23:20:772,122648,122648,0,0,57666268541,606246388,120459,1672,517,367,392140,0 97,2,2024-09-07 08:23:20:611,89321,89321,0,0,3917307,0,3036 97,3,2024-09-07 08:23:20:573,1,70,3,1,165,970,70,0 98,0,2024-09-07 08:23:21:743,15664,0.2,15517,0.4,31584,0.2,42101,1.50 98,1,2024-09-07 08:23:20:580,122943,122943,0,0,57850571184,606468642,121871,1027,45,382,391588,0 98,2,2024-09-07 08:23:20:773,88489,88489,0,0,4152396,0,3080 98,3,2024-09-07 08:23:20:701,1,70,2,1,155,985,70,0 99,0,2024-09-07 08:23:21:494,21282,0.4,21205,0.5,42374,0.4,56222,1.75 99,1,2024-09-07 08:23:21:724,123133,123133,0,0,56824221614,596694900,121654,1039,440,381,391744,0 99,2,2024-09-07 08:23:21:438,88969,88969,0,0,4144678,0,1858 99,3,2024-09-07 08:23:20:586,1,70,1,0,129,690,70,0 100,0,2024-09-07 08:23:21:500,21102,2.0,20832,2.4,41593,4.9,56366,2.75 100,1,2024-09-07 08:23:20:548,121986,121986,0,0,56468626794,604355484,119241,2400,345,381,391989,0 100,2,2024-09-07 08:23:21:834,87618,87607,11,0,5378937,0,5417 100,3,2024-09-07 08:23:21:731,1,70,66,1,443,1886,70,0 101,0,2024-09-07 08:23:21:715,14367,4.2,13896,2.2,27531,1.2,38729,2.75 101,1,2024-09-07 08:23:20:578,122398,122398,0,0,57163493313,603230860,120924,1025,449,369,391709,0 101,2,2024-09-07 08:23:21:756,88809,88809,0,0,4720933,0,4644 101,3,2024-09-07 08:23:20:942,1,70,11,0,448,1068,70,0 102,0,2024-09-07 08:23:20:970,15052,0.4,15661,0.6,31354,0.3,41066,1.75 102,1,2024-09-07 08:23:21:146,122408,122408,0,0,56986543503,605428499,119944,1996,468,369,391831,0 102,2,2024-09-07 08:23:21:743,89123,89123,0,0,3913150,0,1945 102,3,2024-09-07 08:23:21:618,1,70,5,0,410,823,70,0 103,0,2024-09-07 08:23:21:667,20948,0.6,20934,0.7,39479,0.6,55306,2.00 103,1,2024-09-07 08:23:21:647,122571,122571,0,0,57655274767,606771627,121346,1081,144,381,391680,0 103,2,2024-09-07 08:23:20:596,89170,89170,0,0,4214545,0,2104 103,3,2024-09-07 08:23:20:754,1,70,2,1,486,1096,70,0 104,0,2024-09-07 08:23:21:145,21018,2.2,21044,1.5,41015,2.6,56623,2.75 104,1,2024-09-07 08:23:21:615,123234,123234,0,0,56932467035,603003914,121291,1617,326,368,391948,0 104,2,2024-09-07 08:23:21:668,84600,84600,0,0,4835991,0,3941 104,3,2024-09-07 08:23:21:440,1,70,1,1,1245,3829,70,0 105,0,2024-09-07 08:23:21:058,15672,1.7,15150,1.7,31464,2.3,42254,3.75 105,1,2024-09-07 08:23:20:568,122496,122496,0,0,57057029868,606683462,119547,2203,746,367,391797,0 105,2,2024-09-07 08:23:21:322,89472,89472,0,0,4630493,0,3314 105,3,2024-09-07 08:23:21:304,1,70,3,1,399,1597,70,0 106,0,2024-09-07 08:23:20:976,13363,0.3,13747,0.6,27831,0.2,36551,1.75 106,1,2024-09-07 08:23:21:758,122835,122835,0,0,56729319840,601039087,120431,2077,327,370,391767,0 106,2,2024-09-07 08:23:20:757,89388,89388,0,0,4703446,0,2795 106,3,2024-09-07 08:23:20:682,1,70,8,1,201,892,70,0 107,0,2024-09-07 08:23:21:131,18576,0.6,18567,0.7,36803,0.5,49617,1.75 107,1,2024-09-07 08:23:20:601,121999,121999,0,0,57388045831,609264770,120063,1746,190,382,392234,0 107,2,2024-09-07 08:23:21:292,88414,88413,1,0,4486877,0,5024 107,3,2024-09-07 08:23:21:756,1,70,3,0,353,1287,70,0 108,0,2024-09-07 08:23:21:785,19535,0.7,19807,0.8,39276,0.8,52060,1.75 108,1,2024-09-07 08:23:21:299,122732,122732,0,0,57616456032,605568957,121297,1301,134,371,391857,0 108,2,2024-09-07 08:23:21:766,84375,84375,0,0,4151389,0,2647 108,3,2024-09-07 08:23:21:331,1,70,12,1,73,946,70,0 109,0,2024-09-07 08:23:21:766,18221,0.9,18345,0.9,36125,1.1,48520,2.00 109,1,2024-09-07 08:23:20:582,122120,122120,0,0,58161485532,614922170,120505,1232,383,383,391664,0 109,2,2024-09-07 08:23:20:921,89313,89313,0,0,4609429,0,3617 109,3,2024-09-07 08:23:21:141,1,70,1,1,249,1226,70,0 110,0,2024-09-07 08:23:21:761,12675,0.2,12325,0.5,25706,0.2,33241,1.50 110,1,2024-09-07 08:23:21:646,122925,122925,0,0,57359200415,599418156,121607,1033,285,370,391588,0 110,2,2024-09-07 08:23:21:321,90478,90478,0,0,3879666,0,2915 110,3,2024-09-07 08:23:20:699,1,70,1,0,183,702,70,0 111,0,2024-09-07 08:23:21:441,16168,0.2,16033,0.4,32135,0.1,43577,1.50 111,1,2024-09-07 08:23:21:016,123311,123311,0,0,58213388427,603845501,122667,631,13,382,391690,0 111,2,2024-09-07 08:23:21:130,88149,88149,0,0,4057237,0,2763 111,3,2024-09-07 08:23:20:914,1,70,2,0,300,1381,70,0 112,0,2024-09-07 08:23:20:920,20706,0.5,20775,0.6,41165,0.4,54732,1.75 112,1,2024-09-07 08:23:20:828,122740,122740,0,0,57874014225,606594012,121231,1163,346,381,391580,0 112,2,2024-09-07 08:23:21:138,86844,86843,1,0,4403906,0,5036 112,3,2024-09-07 08:23:20:597,1,70,1,0,282,842,70,0 113,0,2024-09-07 08:23:20:897,20008,0.6,19840,0.7,40352,0.6,53402,1.75 113,1,2024-09-07 08:23:21:688,123086,123086,0,0,57679262104,602815254,121198,1504,384,368,391661,0 113,2,2024-09-07 08:23:21:307,89625,89625,0,0,3655341,0,3021 113,3,2024-09-07 08:23:20:699,1,70,1,0,288,1117,70,0 114,0,2024-09-07 08:23:20:920,14348,3.6,14553,1.9,28515,0.9,38614,2.00 114,1,2024-09-07 08:23:20:734,122756,122756,0,0,57596527825,609000329,120149,1726,881,381,391519,0 114,2,2024-09-07 08:23:20:881,88881,88881,0,0,4244252,0,3925 114,3,2024-09-07 08:23:21:279,1,70,1,0,159,748,70,0 115,0,2024-09-07 08:23:20:586,15362,0.2,15431,0.4,30770,0.1,41234,1.50 115,1,2024-09-07 08:23:20:575,122888,122888,0,0,57986574771,610196311,120515,1886,487,383,391510,0 115,2,2024-09-07 08:23:21:142,88592,88592,0,0,3603212,0,2152 115,3,2024-09-07 08:23:21:002,1,70,1,0,159,469,70,0 116,0,2024-09-07 08:23:21:775,21422,0.9,21023,1.0,42507,1.2,56753,2.00 116,1,2024-09-07 08:23:20:811,122050,122050,0,0,57654089997,613713804,120171,1008,871,382,391605,0 116,2,2024-09-07 08:23:21:752,88711,88711,0,0,4820398,0,3529 116,3,2024-09-07 08:23:20:916,1,70,9,1,252,1265,70,0 117,0,2024-09-07 08:23:20:988,20974,2.4,21025,1.5,41829,3.6,56624,2.25 117,1,2024-09-07 08:23:21:584,123032,123032,0,0,56828741264,602781414,120717,2022,293,370,392033,0 117,2,2024-09-07 08:23:21:122,87262,87262,0,0,4191324,0,3700 117,3,2024-09-07 08:23:21:067,1,70,1,0,490,1795,70,0 118,0,2024-09-07 08:23:21:788,14451,0.8,14768,0.9,30359,0.9,39715,2.00 118,1,2024-09-07 08:23:20:591,123019,123019,0,0,56754059968,597715450,121780,1161,78,368,391736,0 118,2,2024-09-07 08:23:21:591,89250,89250,0,0,4631889,0,2781 118,3,2024-09-07 08:23:21:764,1,70,1,1,235,1154,70,0 119,0,2024-09-07 08:23:21:384,14322,0.3,14367,0.5,29426,0.2,39189,1.75 119,1,2024-09-07 08:23:20:574,122729,122729,0,0,57257699779,602935142,121117,1463,149,370,391617,0 119,2,2024-09-07 08:23:21:290,89021,89021,0,0,4366660,0,2532 119,3,2024-09-07 08:23:21:326,1,70,9,1,443,2119,70,0 120,0,2024-09-07 08:23:21:564,19173,0.6,19249,0.8,38329,0.6,51458,2.00 120,1,2024-09-07 08:23:20:860,122342,122342,0,0,57053035763,602712246,120817,1509,16,368,391702,0 120,2,2024-09-07 08:23:20:772,88462,88461,1,0,5349745,0,5281 120,3,2024-09-07 08:23:21:301,1,70,3,1,241,1344,70,0 121,0,2024-09-07 08:23:21:732,20028,2.7,20212,1.6,40500,3.9,54722,2.50 121,1,2024-09-07 08:23:21:657,122691,122691,0,0,57481176673,606079336,120819,1603,269,368,391807,0 121,2,2024-09-07 08:23:21:148,83915,83915,0,0,5528929,0,4127 121,3,2024-09-07 08:23:20:729,1,70,8,0,113,851,70,0 122,0,2024-09-07 08:23:21:774,17146,2.4,16545,2.1,34449,2.8,46218,2.50 122,1,2024-09-07 08:23:20:872,121723,121723,0,0,57155239513,608753476,118716,2483,524,368,392130,0 122,2,2024-09-07 08:23:21:325,89950,89950,0,0,5732635,0,3364 122,3,2024-09-07 08:23:20:594,1,70,10,1,298,1772,70,0 123,0,2024-09-07 08:23:21:004,13045,0.3,12675,0.5,26400,0.2,34672,1.75 123,1,2024-09-07 08:23:20:563,122812,122812,0,0,57489342932,613907056,119350,2894,568,369,391823,0 123,2,2024-09-07 08:23:21:023,89650,89649,1,0,4706754,0,5215 123,3,2024-09-07 08:23:21:145,1,70,4,1,160,945,70,0 124,0,2024-09-07 08:23:20:934,17613,0.3,17568,0.5,33325,0.2,46246,1.50 124,1,2024-09-07 08:23:21:026,123219,123219,0,0,57156095671,594878645,122421,654,144,367,392178,0 124,2,2024-09-07 08:23:21:014,88085,88085,0,0,3647351,0,2477 124,3,2024-09-07 08:23:20:764,1,70,3,1,490,1550,70,0 125,0,2024-09-07 08:23:21:426,19921,0.7,19966,0.7,39947,0.8,52575,2.00 125,1,2024-09-07 08:23:20:855,122796,122796,0,0,57667302151,606554295,121063,1461,272,384,391702,0 125,2,2024-09-07 08:23:21:119,85467,85467,0,0,3946185,0,2180 125,3,2024-09-07 08:23:21:132,1,70,5,1,93,969,70,0 126,0,2024-09-07 08:23:21:440,19601,1.3,20063,1.0,38433,2.2,51769,2.00 126,1,2024-09-07 08:23:20:552,123513,123513,0,0,57793861329,602308809,122466,993,54,365,391987,0 126,2,2024-09-07 08:23:20:610,90328,90328,0,0,4319561,0,3186 126,3,2024-09-07 08:23:20:914,1,70,22,0,122,1012,70,0 127,0,2024-09-07 08:23:21:619,12963,0.2,12909,0.4,25848,0.2,33685,1.50 127,1,2024-09-07 08:23:20:572,122905,122905,0,0,57023880776,593950149,121768,1114,23,365,391614,0 127,2,2024-09-07 08:23:20:637,89756,89756,0,0,3528593,0,1803 127,3,2024-09-07 08:23:21:272,1,70,2,0,99,596,70,0 128,0,2024-09-07 08:23:21:536,15753,0.2,15842,0.4,31044,0.2,42062,1.50 128,1,2024-09-07 08:23:21:617,122931,122931,0,0,57656284192,599723207,122214,647,70,367,391605,0 128,2,2024-09-07 08:23:21:395,87217,87217,0,0,4344713,0,2107 128,3,2024-09-07 08:23:20:772,1,70,27,1,112,870,70,0 129,0,2024-09-07 08:23:21:050,21324,0.4,21274,0.6,42449,0.4,56128,1.75 129,1,2024-09-07 08:23:20:568,122743,122743,0,0,57317090922,604247650,120922,1547,274,379,391835,0 129,2,2024-09-07 08:23:20:690,87702,87702,0,0,3771042,0,2446 129,3,2024-09-07 08:23:20:689,1,70,4,1,173,1105,70,0 130,0,2024-09-07 08:23:21:729,21226,1.5,21116,1.1,42331,2.1,56817,2.25 130,1,2024-09-07 08:23:20:582,122897,122897,0,0,57422255826,602551060,121587,1278,32,381,391609,0 130,2,2024-09-07 08:23:21:140,88264,88264,0,0,4422723,0,4067 130,3,2024-09-07 08:23:21:305,1,70,3,1,207,629,70,0 131,0,2024-09-07 08:23:21:966,14275,1.1,14220,1.0,28864,1.5,38640,1.75 131,1,2024-09-07 08:23:21:844,123582,123582,0,0,57270217251,599539988,122562,834,186,384,391865,0 131,2,2024-09-07 08:23:20:567,88774,88774,0,0,3652739,0,2415 131,3,2024-09-07 08:23:21:702,1,70,1,0,392,1107,70,0 132,0,2024-09-07 08:23:21:441,15420,0.3,15512,0.6,30901,0.3,41173,1.75 132,1,2024-09-07 08:23:20:579,121958,121958,0,0,57342907945,609855731,119372,1880,706,382,391719,0 132,2,2024-09-07 08:23:20:702,88254,88254,0,0,6014416,0,4606 132,3,2024-09-07 08:23:21:695,1,70,2,1,356,1006,70,0 133,0,2024-09-07 08:23:21:546,19958,0.5,20276,0.7,41738,0.5,54680,1.75 133,1,2024-09-07 08:23:20:591,121562,121562,0,0,57270384253,609209602,119351,2116,95,383,391755,0 133,2,2024-09-07 08:23:21:088,89508,89508,0,0,5185529,0,2444 133,3,2024-09-07 08:23:21:306,1,70,5,1,187,605,70,0 134,0,2024-09-07 08:23:20:992,21178,1.1,21123,1.1,42404,1.3,56654,2.25 134,1,2024-09-07 08:23:20:592,122824,122824,0,0,57267881292,607245169,120190,1653,981,366,391718,0 134,2,2024-09-07 08:23:21:755,85169,85169,0,0,3813457,0,2026 134,3,2024-09-07 08:23:20:750,1,70,29,1,739,1933,70,0 135,0,2024-09-07 08:23:21:154,15448,1.8,15477,1.7,32622,2.0,42131,2.50 135,1,2024-09-07 08:23:21:597,122275,122275,0,0,57742635244,609874876,120329,1619,327,380,391703,0 135,2,2024-09-07 08:23:20:689,89772,89772,0,0,4799297,0,3981 135,3,2024-09-07 08:23:21:002,1,70,1,0,68,476,70,0 136,0,2024-09-07 08:23:21:638,13833,0.4,13834,0.6,27531,0.2,36803,2.00 136,1,2024-09-07 08:23:21:457,122706,122706,0,0,57015181333,602217081,120803,1756,147,384,391621,0 136,2,2024-09-07 08:23:21:140,90942,90942,0,0,4255477,0,2379 136,3,2024-09-07 08:23:21:109,1,70,2,1,108,830,70,0 137,0,2024-09-07 08:23:20:962,19032,0.8,18443,0.9,36576,1.0,49686,2.00 137,1,2024-09-07 08:23:20:580,122726,122726,0,0,57714532944,608252144,120755,1858,113,368,391608,0 137,2,2024-09-07 08:23:21:705,88199,88199,0,0,5214282,0,2632 137,3,2024-09-07 08:23:20:770,1,70,1,1,227,1045,70,0 138,0,2024-09-07 08:23:21:764,19027,2.9,19217,1.7,38832,3.9,51950,3.00 138,1,2024-09-07 08:23:21:693,122349,122349,0,0,58341057530,616280407,120019,2066,264,370,391954,0 138,2,2024-09-07 08:23:20:586,85095,85095,0,0,4319403,0,3263 138,3,2024-09-07 08:23:20:610,1,70,1,1,1160,1925,70,0 139,0,2024-09-07 08:23:21:415,17627,4.6,17611,2.5,35562,5.6,47805,4.00 139,1,2024-09-07 08:23:20:599,122073,122073,0,0,56426073613,605727096,119185,2159,729,381,391892,0 139,2,2024-09-07 08:23:20:704,89557,89557,0,0,5707613,0,3097 139,3,2024-09-07 08:23:21:683,1,70,1,0,244,1332,70,0 140,0,2024-09-07 08:23:21:595,12603,0.3,12530,0.5,25233,0.2,33254,1.75 140,1,2024-09-07 08:23:21:540,123692,123692,0,0,57799311021,599663243,122764,731,197,365,391497,0 140,2,2024-09-07 08:23:20:690,90417,90417,0,0,4442324,0,3388 140,3,2024-09-07 08:23:20:767,1,70,1,0,25,545,70,0 141,0,2024-09-07 08:23:21:706,15868,0.2,16471,0.4,31978,0.1,43399,1.50 141,1,2024-09-07 08:23:20:861,123341,123341,0,0,57910955304,605223540,121922,1088,331,382,391538,0 141,2,2024-09-07 08:23:21:687,88278,88278,0,0,3902121,0,2342 141,3,2024-09-07 08:23:21:043,1,70,16,0,147,549,70,0 142,0,2024-09-07 08:23:21:337,20952,0.4,20680,0.6,41058,0.4,54800,1.75 142,1,2024-09-07 08:23:20:592,123590,123590,0,0,57598594284,601146925,123042,533,15,384,391649,0 142,2,2024-09-07 08:23:21:300,86340,86340,0,0,4207577,0,2293 142,3,2024-09-07 08:23:21:747,1,70,5,0,484,1204,70,0 143,0,2024-09-07 08:23:21:384,20034,1.2,20077,1.0,40405,1.5,53233,2.00 143,1,2024-09-07 08:23:20:559,123164,123164,0,0,57416505209,597697308,122187,950,27,367,391608,0 143,2,2024-09-07 08:23:20:770,89129,89129,0,0,4623044,0,2669 143,3,2024-09-07 08:23:21:146,1,70,1,0,236,1095,70,0 144,0,2024-09-07 08:23:21:518,13694,1.4,14158,6.0,28494,1.2,38319,2.25 144,1,2024-09-07 08:23:20:565,122567,122567,0,0,57378222095,605462071,120998,1379,190,383,391638,0 144,2,2024-09-07 08:23:21:755,89510,89510,0,0,3992660,0,3473 144,3,2024-09-07 08:23:21:742,1,70,2,1,169,1224,70,0 145,0,2024-09-07 08:23:21:400,14792,0.4,14791,0.6,31368,0.3,41047,1.75 145,1,2024-09-07 08:23:20:553,122170,122170,0,0,57599014776,609304595,120245,1661,264,383,391615,0 145,2,2024-09-07 08:23:21:432,88165,88165,0,0,4954348,0,3903 145,3,2024-09-07 08:23:20:896,1,70,2,0,151,972,70,0 146,0,2024-09-07 08:23:21:638,21198,0.7,21243,0.9,42623,0.8,56272,2.25 146,1,2024-09-07 08:23:21:592,123159,123159,0,0,57448800048,607351390,120866,1992,301,368,391600,0 146,2,2024-09-07 08:23:21:696,87894,87894,0,0,4541732,0,2379 146,3,2024-09-07 08:23:21:284,1,70,17,0,1520,3005,70,0 147,0,2024-09-07 08:23:21:776,20868,3.0,20687,1.7,41036,4.4,56601,3.25 147,1,2024-09-07 08:23:21:386,123054,123054,0,0,58244053665,608490837,121341,1359,354,368,391791,0 147,2,2024-09-07 08:23:21:021,87390,87390,0,0,4294027,0,2789 147,3,2024-09-07 08:23:20:913,1,70,1,1,141,784,70,0 0,0,2024-09-07 08:23:31:753,19048,0.7,18990,0.8,40229,0.9,52131,1.75 0,1,2024-09-07 08:23:30:804,124761,124761,0,0,58716197717,618449944,123537,1097,127,372,391673,0 0,2,2024-09-07 08:23:31:067,90179,90179,0,0,4500208,0,4480 0,3,2024-09-07 08:23:30:974,1,71,2,0,247,1096,71,0 1,0,2024-09-07 08:23:31:801,20432,3.0,20360,1.9,40927,4.5,55539,3.00 1,1,2024-09-07 08:23:30:575,124036,124036,0,0,57792073305,609679172,122346,1162,528,372,391857,0 1,2,2024-09-07 08:23:30:648,85758,85758,0,0,4070654,0,3267 1,3,2024-09-07 08:23:31:302,1,71,1,0,167,723,71,0 2,0,2024-09-07 08:23:31:586,17095,1.5,17254,1.4,34220,3.0,45854,2.50 2,1,2024-09-07 08:23:30:870,124723,124723,0,0,58915389989,615521970,123643,877,203,381,391558,0 2,2,2024-09-07 08:23:31:265,90606,90606,0,0,4261870,0,3304 2,3,2024-09-07 08:23:30:691,1,71,1,0,214,805,71,0 3,0,2024-09-07 08:23:31:754,13454,0.3,13454,0.5,26898,0.2,35695,1.75 3,1,2024-09-07 08:23:31:621,124043,124043,0,0,58450283261,613783509,122065,1592,386,382,391516,0 3,2,2024-09-07 08:23:31:145,91596,91573,23,0,4743533,0,5851 3,3,2024-09-07 08:23:31:752,1,71,1,0,103,562,71,0 4,0,2024-09-07 08:23:31:772,17076,0.3,17516,0.5,35558,0.3,47182,1.75 4,1,2024-09-07 08:23:30:595,124321,124321,0,0,57905423954,614303144,122428,1475,418,371,391846,0 4,2,2024-09-07 08:23:31:023,89464,89464,0,0,5374189,0,4528 4,3,2024-09-07 08:23:31:028,1,71,1,1,287,1329,71,0 5,0,2024-09-07 08:23:31:420,20070,0.8,19827,0.8,40118,1.0,52820,2.00 5,1,2024-09-07 08:23:30:755,124252,124252,0,0,58447747919,618786866,122044,1767,441,368,392005,0 5,2,2024-09-07 08:23:31:834,85778,85778,0,0,4255536,0,2259 5,3,2024-09-07 08:23:31:732,1,71,2,0,238,1473,71,0 6,0,2024-09-07 08:23:30:925,19657,1.2,19415,1.3,38744,1.8,51891,2.75 6,1,2024-09-07 08:23:30:750,124850,124850,0,0,58344324867,610526965,123729,1052,69,381,391603,0 6,2,2024-09-07 08:23:31:120,91718,91718,0,0,4572212,0,2411 6,3,2024-09-07 08:23:31:275,1,71,18,1,340,1449,71,0 7,0,2024-09-07 08:23:31:543,12701,0.3,12718,0.5,25595,0.2,33567,1.75 7,1,2024-09-07 08:23:30:908,124798,124798,0,0,58167950649,609727537,123818,916,64,383,391664,0 7,2,2024-09-07 08:23:30:774,90752,90752,0,0,4307588,0,2981 7,3,2024-09-07 08:23:30:853,1,71,1,0,83,654,71,0 8,0,2024-09-07 08:23:31:385,16161,0.3,16087,0.5,31791,0.2,42975,1.50 8,1,2024-09-07 08:23:31:037,124306,124306,0,0,57922437426,620605467,120827,2226,1253,368,391724,0 8,2,2024-09-07 08:23:30:802,88112,88112,0,0,5286751,0,2986 8,3,2024-09-07 08:23:30:593,1,71,7,0,229,1057,71,0 9,0,2024-09-07 08:23:31:185,21235,0.4,20673,0.6,43269,0.4,56189,1.75 9,1,2024-09-07 08:23:30:556,124604,124604,0,0,58716821181,623234939,122160,1876,568,370,391738,0 9,2,2024-09-07 08:23:31:093,88652,88652,0,0,4868239,0,3360 9,3,2024-09-07 08:23:31:753,1,71,6,0,149,1033,71,0 10,0,2024-09-07 08:23:31:606,21238,0.8,21287,0.9,42511,0.8,56886,2.25 10,1,2024-09-07 08:23:30:586,123875,123875,0,0,57733574767,614751917,120539,2814,522,382,391541,0 10,2,2024-09-07 08:23:30:762,89474,89474,0,0,4937079,0,2940 10,3,2024-09-07 08:23:30:874,1,71,1,0,136,599,71,0 11,0,2024-09-07 08:23:31:015,14215,1.7,13921,1.5,29098,4.8,39535,2.50 11,1,2024-09-07 08:23:30:572,124119,124119,0,0,57677043119,611543487,121478,1826,815,384,391537,0 11,2,2024-09-07 08:23:31:123,90170,90170,0,0,4655096,0,2635 11,3,2024-09-07 08:23:31:298,1,71,1,0,720,2247,71,0 12,0,2024-09-07 08:23:30:981,15830,0.3,15879,0.5,31541,0.2,41723,1.50 12,1,2024-09-07 08:23:30:941,124022,124022,0,0,57084100205,601397160,122365,1558,99,371,391790,0 12,2,2024-09-07 08:23:31:541,90617,90617,0,0,4274037,0,2254 12,3,2024-09-07 08:23:31:059,1,71,1,0,358,1391,71,0 13,0,2024-09-07 08:23:31:375,20832,0.4,21044,0.6,41925,0.4,56525,1.75 13,1,2024-09-07 08:23:31:526,124441,124441,0,0,58074272392,613874169,122850,1224,367,384,391717,0 13,2,2024-09-07 08:23:30:597,90388,90388,0,0,3966415,0,3287 13,3,2024-09-07 08:23:31:767,1,71,1,1,373,1233,71,0 14,0,2024-09-07 08:23:30:582,21503,0.9,21550,1.0,42710,1.1,57311,2.25 14,1,2024-09-07 08:23:31:576,125544,125544,0,0,58058357083,603602659,124662,851,31,365,391546,0 14,2,2024-09-07 08:23:30:780,86633,86633,0,0,4431201,0,2793 14,3,2024-09-07 08:23:31:120,1,71,1,0,906,1279,71,0 15,0,2024-09-07 08:23:31:561,15950,1.9,15750,1.6,31741,3.8,42552,3.25 15,1,2024-09-07 08:23:31:615,124235,124235,0,0,58242680585,607849733,123433,767,35,381,391536,0 15,2,2024-09-07 08:23:30:998,91563,91563,0,0,3556607,0,3043 15,3,2024-09-07 08:23:31:408,1,71,1,0,538,1850,71,0 16,0,2024-09-07 08:23:30:969,13896,0.3,14107,0.6,27850,0.2,37225,2.00 16,1,2024-09-07 08:23:30:564,124105,124105,0,0,58048435065,610246724,122974,1104,27,372,391666,0 16,2,2024-09-07 08:23:31:443,90625,90625,0,0,4867479,0,4719 16,3,2024-09-07 08:23:31:155,1,71,3,1,231,1284,71,0 17,0,2024-09-07 08:23:31:786,19344,0.5,18981,0.7,37226,0.5,50627,2.00 17,1,2024-09-07 08:23:30:583,124364,124364,0,0,57795459815,612693046,122486,1355,523,369,391688,0 17,2,2024-09-07 08:23:31:674,90909,90909,0,0,3757677,0,2857 17,3,2024-09-07 08:23:30:582,1,71,1,0,268,1438,71,0 18,0,2024-09-07 08:23:30:951,19264,1.6,19500,1.3,39049,1.9,51882,2.75 18,1,2024-09-07 08:23:31:641,124504,124504,0,0,58389022423,609771950,123255,1031,218,368,391555,0 18,2,2024-09-07 08:23:31:755,85405,85405,0,0,4561099,0,3541 18,3,2024-09-07 08:23:30:901,1,71,1,0,163,937,71,0 19,0,2024-09-07 08:23:31:546,17874,1.7,17973,1.5,35544,1.9,47176,5.25 19,1,2024-09-07 08:23:30:568,124877,124877,0,0,58868242577,617770945,123012,1702,163,367,391696,0 19,2,2024-09-07 08:23:31:752,91980,91980,0,0,4236028,0,3988 19,3,2024-09-07 08:23:31:129,1,71,0,0,524,1163,71,0 20,0,2024-09-07 08:23:31:375,12832,0.3,12821,0.5,25325,0.2,33369,2.00 20,1,2024-09-07 08:23:30:583,124534,124534,0,0,58682570850,618400792,122838,1534,162,370,391598,0 20,2,2024-09-07 08:23:30:929,91094,91094,0,0,4244697,0,2446 20,3,2024-09-07 08:23:30:592,1,71,6,1,99,906,71,0 21,0,2024-09-07 08:23:31:165,16877,0.4,16809,0.6,33304,0.3,44699,1.75 21,1,2024-09-07 08:23:31:536,123976,123976,0,0,57881424367,614444848,121645,1837,494,368,391962,0 21,2,2024-09-07 08:23:31:068,89367,89367,0,0,4459561,0,3747 21,3,2024-09-07 08:23:31:418,1,71,1,0,93,1034,71,0 22,0,2024-09-07 08:23:31:726,20386,2.1,20364,1.4,40645,3.9,54134,3.25 22,1,2024-09-07 08:23:31:024,124084,124084,0,0,57699959929,614034363,120944,2414,726,382,391667,0 22,2,2024-09-07 08:23:30:771,87167,87167,0,0,3898314,0,3134 22,3,2024-09-07 08:23:31:066,1,71,1,0,62,422,71,0 23,0,2024-09-07 08:23:31:378,20005,1.3,20175,1.1,40293,2.0,53463,2.50 23,1,2024-09-07 08:23:31:011,124967,124967,0,0,58343801262,608580656,123618,1095,254,367,391496,0 23,2,2024-09-07 08:23:31:091,90753,90753,0,0,4111313,0,2078 23,3,2024-09-07 08:23:31:754,1,71,6,0,645,1309,71,0 24,0,2024-09-07 08:23:30:868,14746,0.6,14730,0.7,29445,0.7,39094,1.75 24,1,2024-09-07 08:23:30:582,124721,124721,0,0,58401666475,610475078,123909,805,7,369,391640,0 24,2,2024-09-07 08:23:31:069,90013,90013,0,0,5315459,0,2942 24,3,2024-09-07 08:23:31:686,1,71,6,1,234,1092,71,0 25,0,2024-09-07 08:23:31:426,16265,0.3,15891,0.5,31048,0.2,42532,1.75 25,1,2024-09-07 08:23:30:575,124225,124225,0,0,58460542300,618783357,122074,1835,316,371,391788,0 25,2,2024-09-07 08:23:31:618,88818,88818,0,0,4845719,0,3284 25,3,2024-09-07 08:23:31:000,1,71,1,1,158,747,71,0 26,0,2024-09-07 08:23:31:725,21358,0.5,20768,0.6,43494,0.5,57002,1.75 26,1,2024-09-07 08:23:31:541,124558,124558,0,0,57744459877,615293468,121096,2627,835,381,391564,0 26,2,2024-09-07 08:23:30:867,89793,89793,0,0,4866065,0,2809 26,3,2024-09-07 08:23:31:713,1,71,3,0,796,1272,71,0 27,0,2024-09-07 08:23:31:793,21371,1.1,21442,0.9,42747,1.7,57419,2.00 27,1,2024-09-07 08:23:31:677,125104,125104,0,0,59330593081,618398523,124031,778,295,381,391539,0 27,2,2024-09-07 08:23:30:868,87351,87351,0,0,5783113,0,3409 27,3,2024-09-07 08:23:31:015,1,71,188,0,564,1223,71,0 28,0,2024-09-07 08:23:31:428,15024,0.7,15251,0.8,30347,0.9,40441,2.00 28,1,2024-09-07 08:23:30:804,125006,125006,0,0,59224055690,619256168,123947,859,200,383,391646,0 28,2,2024-09-07 08:23:31:764,90338,90338,0,0,3757363,0,2609 28,3,2024-09-07 08:23:31:776,1,71,2,0,502,1185,71,0 29,0,2024-09-07 08:23:31:364,15310,0.3,14904,0.5,29296,0.2,40602,1.75 29,1,2024-09-07 08:23:31:565,125760,125760,0,0,58500406276,606011949,125017,571,172,369,391621,0 29,2,2024-09-07 08:23:30:860,91159,91159,0,0,3302234,0,2026 29,3,2024-09-07 08:23:30:964,1,71,2,0,105,659,71,0 30,0,2024-09-07 08:23:31:486,19530,0.5,19037,0.7,39825,0.4,52224,2.00 30,1,2024-09-07 08:23:30:572,124920,124920,0,0,59086792748,616799480,123945,910,65,382,391524,0 30,2,2024-09-07 08:23:31:275,90899,90899,0,0,3338305,0,2534 30,3,2024-09-07 08:23:30:582,1,71,4,0,195,629,71,0 31,0,2024-09-07 08:23:31:769,20492,1.5,20403,1.2,41325,2.1,55249,2.75 31,1,2024-09-07 08:23:30:575,125300,125300,0,0,59137513221,607208844,125051,247,2,356,391553,0 31,2,2024-09-07 08:23:31:275,86183,86183,0,0,4715861,0,3525 31,3,2024-09-07 08:23:31:706,1,71,1,0,129,549,71,0 32,0,2024-09-07 08:23:31:426,17396,1.5,17486,1.2,35142,2.9,46526,1.75 32,1,2024-09-07 08:23:30:804,124724,124724,0,0,58393180002,609299909,123721,974,29,382,391595,0 32,2,2024-09-07 08:23:30:938,91481,91481,0,0,3982818,0,3155 32,3,2024-09-07 08:23:31:015,1,71,1,0,110,629,71,0 33,0,2024-09-07 08:23:31:729,13430,0.2,13255,0.4,26957,0.1,35704,1.50 33,1,2024-09-07 08:23:30:586,125106,125106,0,0,58987159759,615424768,123445,1538,123,369,391526,0 33,2,2024-09-07 08:23:30:763,91427,91394,33,0,5542342,0,7012 33,3,2024-09-07 08:23:30:898,1,71,1,0,129,496,71,0 34,0,2024-09-07 08:23:30:939,17582,0.2,18120,0.4,34837,0.1,47222,1.50 34,1,2024-09-07 08:23:31:044,125114,125114,0,0,58885032877,608484692,124520,590,4,367,391517,0 34,2,2024-09-07 08:23:30:767,89154,89154,0,0,4530841,0,3255 34,3,2024-09-07 08:23:31:689,1,71,1,0,148,678,71,0 35,0,2024-09-07 08:23:30:869,19713,0.9,19945,0.9,39802,1.2,52745,2.00 35,1,2024-09-07 08:23:31:067,124679,124679,0,0,58355143805,608875534,123212,1105,362,384,391587,0 35,2,2024-09-07 08:23:31:587,86018,86018,0,0,3915709,0,2542 35,3,2024-09-07 08:23:30:910,1,71,1,0,219,1045,71,0 36,0,2024-09-07 08:23:31:527,19588,1.9,19506,1.4,39347,2.6,51896,2.75 36,1,2024-09-07 08:23:30:583,124558,124558,0,0,58133083555,615001931,121547,2080,931,366,391535,0 36,2,2024-09-07 08:23:31:756,91182,91182,0,0,5137810,0,3303 36,3,2024-09-07 08:23:30:869,1,71,1,0,378,1090,71,0 37,0,2024-09-07 08:23:31:403,12835,0.3,12800,0.5,25746,0.2,33751,1.75 37,1,2024-09-07 08:23:30:575,124137,124130,0,7,57815598039,615470926,121291,1322,1517,365,391518,0 37,2,2024-09-07 08:23:31:151,90789,90789,0,0,3768892,0,2333 37,3,2024-09-07 08:23:31:776,1,71,2,0,724,1795,71,0 38,0,2024-09-07 08:23:31:454,16038,0.3,15515,0.5,32338,0.2,42997,1.75 38,1,2024-09-07 08:23:31:615,124812,124812,0,0,58579276671,616504666,122658,1707,447,370,391821,0 38,2,2024-09-07 08:23:30:767,89020,89020,0,0,4526633,0,3245 38,3,2024-09-07 08:23:30:997,1,71,6,0,603,1409,71,0 39,0,2024-09-07 08:23:31:770,21834,1.0,21467,0.9,41504,1.2,56314,2.00 39,1,2024-09-07 08:23:30:719,124772,124772,0,0,58080502542,612511616,122140,1994,638,366,391524,0 39,2,2024-09-07 08:23:31:417,88675,88675,0,0,4151519,0,2381 39,3,2024-09-07 08:23:30:713,1,71,1,0,276,1206,71,0 40,0,2024-09-07 08:23:31:522,20785,1.9,21188,2.1,42017,3.7,56396,3.75 40,1,2024-09-07 08:23:30:577,124352,124352,0,0,57482901519,609867717,121098,2501,753,370,391591,0 40,2,2024-09-07 08:23:31:303,89555,89554,1,0,5250333,0,5137 40,3,2024-09-07 08:23:31:142,1,71,20,0,110,789,71,0 41,0,2024-09-07 08:23:31:112,14000,0.7,14377,4.9,27413,1.0,38392,2.50 41,1,2024-09-07 08:23:30:777,124283,124283,0,0,58451274007,617028810,121860,2110,313,370,391742,0 41,2,2024-09-07 08:23:30:768,89986,89986,0,0,5472302,0,3356 41,3,2024-09-07 08:23:31:678,1,71,1,0,168,791,71,0 42,0,2024-09-07 08:23:31:488,15584,0.5,15770,0.7,31423,0.4,41729,2.00 42,1,2024-09-07 08:23:31:439,124044,124044,0,0,57980309901,616268347,121051,2163,830,381,391511,0 42,2,2024-09-07 08:23:31:132,89806,89806,0,0,4705990,0,3568 42,3,2024-09-07 08:23:31:009,1,71,1,0,100,665,71,0 43,0,2024-09-07 08:23:30:934,20832,1.1,20333,1.0,42342,1.5,56555,2.00 43,1,2024-09-07 08:23:30:579,124320,124320,0,0,58454361999,615577266,122054,1514,752,367,391604,0 43,2,2024-09-07 08:23:31:737,90637,90637,0,0,4883241,0,3812 43,3,2024-09-07 08:23:31:753,1,71,1,0,325,1703,71,0 44,0,2024-09-07 08:23:30:872,21520,0.9,21435,1.1,42830,1.0,57817,2.00 44,1,2024-09-07 08:23:30:563,124808,124808,0,0,58407919644,605617432,123790,911,107,356,391523,0 44,2,2024-09-07 08:23:31:272,86915,86915,0,0,3448197,0,1865 44,3,2024-09-07 08:23:31:095,1,71,1,1,817,1328,71,0 45,0,2024-09-07 08:23:31:767,15751,2.5,15455,1.7,32277,5.4,42882,2.00 45,1,2024-09-07 08:23:31:011,124909,124909,0,0,58684281303,610174713,124441,467,1,382,391917,0 45,2,2024-09-07 08:23:31:267,91399,91399,0,0,4103750,0,2628 45,3,2024-09-07 08:23:30:936,1,71,10,0,226,791,71,0 46,0,2024-09-07 08:23:30:960,14079,0.2,14090,0.4,28258,0.1,37225,1.50 46,1,2024-09-07 08:23:30:577,124996,124996,0,0,58367647619,605449567,124148,811,37,368,391514,0 46,2,2024-09-07 08:23:30:593,91502,91502,0,0,4034169,0,2920 46,3,2024-09-07 08:23:31:132,1,71,1,0,315,1055,71,0 47,0,2024-09-07 08:23:31:116,18866,0.3,19139,0.5,38137,0.3,50556,1.50 47,1,2024-09-07 08:23:30:567,125366,125366,0,0,58368913050,603380285,124858,504,4,366,391605,0 47,2,2024-09-07 08:23:30:914,91017,91017,0,0,4008172,0,2558 47,3,2024-09-07 08:23:31:120,1,71,1,0,529,1091,71,0 48,0,2024-09-07 08:23:31:528,20083,0.4,19806,0.6,39270,0.4,52673,1.75 48,1,2024-09-07 08:23:31:022,124930,124930,0,0,58608678058,611880225,123734,1191,5,384,391637,0 48,2,2024-09-07 08:23:30:711,86068,86068,0,0,3257795,0,2083 48,3,2024-09-07 08:23:30:752,1,71,1,0,30,607,71,0 49,0,2024-09-07 08:23:31:791,18661,1.3,18183,1.1,35687,2.5,48603,2.00 49,1,2024-09-07 08:23:31:023,124284,124284,0,0,57849615274,608201594,122547,1028,709,382,391583,0 49,2,2024-09-07 08:23:31:796,91620,91620,0,0,4421000,0,3900 49,3,2024-09-07 08:23:31:415,1,71,11,0,274,819,71,0 50,0,2024-09-07 08:23:31:518,12865,0.2,12570,0.4,25533,0.1,33717,1.50 50,1,2024-09-07 08:23:31:011,125602,125602,0,0,58626239686,611565620,123933,1372,297,368,391530,0 50,2,2024-09-07 08:23:31:067,91487,91487,0,0,3659415,0,2253 50,3,2024-09-07 08:23:31:291,1,71,0,0,335,876,71,0 51,0,2024-09-07 08:23:31:688,17096,0.2,16654,0.4,32689,0.1,45124,1.50 51,1,2024-09-07 08:23:31:680,124788,124788,0,0,59370176096,620131474,122989,1016,783,367,391637,0 51,2,2024-09-07 08:23:31:316,89012,89012,0,0,3142192,0,2448 51,3,2024-09-07 08:23:31:029,1,71,1,0,162,487,71,0 52,0,2024-09-07 08:23:31:433,20605,0.8,20832,1.0,41612,1.0,54645,2.25 52,1,2024-09-07 08:23:30:581,124341,124341,0,0,57827444127,611383026,121831,2046,464,368,391529,0 52,2,2024-09-07 08:23:31:755,86914,86914,0,0,4382212,0,4779 52,3,2024-09-07 08:23:30:682,1,71,1,0,1782,2428,71,0 53,0,2024-09-07 08:23:31:754,19964,2.1,19221,1.5,39938,3.1,52722,3.00 53,1,2024-09-07 08:23:30:774,124335,124335,0,0,58655946317,619815842,121713,2048,574,370,391617,0 53,2,2024-09-07 08:23:31:299,91637,91637,0,0,3966426,0,1902 53,3,2024-09-07 08:23:30:700,1,71,2,0,59,469,71,0 54,0,2024-09-07 08:23:31:632,13787,8.6,13990,2.7,27642,1.3,38059,4.75 54,1,2024-09-07 08:23:30:580,124348,124348,0,0,58591967355,614753406,122238,1794,316,367,391520,0 54,2,2024-09-07 08:23:30:866,90898,90892,6,0,4808459,0,5382 54,3,2024-09-07 08:23:30:766,1,71,1,0,676,1699,71,0 55,0,2024-09-07 08:23:31:775,15472,0.4,15847,0.6,32332,0.2,42143,2.00 55,1,2024-09-07 08:23:30:766,124055,124055,0,0,57739889935,610845068,120573,2780,702,367,391731,0 55,2,2024-09-07 08:23:30:737,89099,89099,0,0,4722672,0,3275 55,3,2024-09-07 08:23:30:678,1,71,1,0,136,849,71,0 56,0,2024-09-07 08:23:31:568,21956,2.0,20704,1.4,42716,2.4,56942,2.75 56,1,2024-09-07 08:23:30:574,123804,123804,0,0,58638414652,627432501,120410,2646,748,383,391678,0 56,2,2024-09-07 08:23:31:305,90070,90070,0,0,5157715,0,3567 56,3,2024-09-07 08:23:31:070,1,71,5,0,297,964,71,0 57,0,2024-09-07 08:23:30:966,20923,3.3,20663,1.9,41479,5.6,56088,4.50 57,1,2024-09-07 08:23:31:004,123850,123850,0,0,57536431125,605064790,122375,1455,20,368,391760,0 57,2,2024-09-07 08:23:31:316,88755,88755,0,0,5049921,0,3178 57,3,2024-09-07 08:23:31:744,1,71,1,1,359,1576,71,0 58,0,2024-09-07 08:23:30:563,14289,0.7,13939,1.0,29223,0.6,38500,2.75 58,1,2024-09-07 08:23:30:576,125226,125223,0,3,59035777195,617205871,123626,1437,160,369,391516,3 58,2,2024-09-07 08:23:31:071,90345,90345,0,0,4789680,0,2549 58,3,2024-09-07 08:23:31:068,1,71,2,1,219,853,71,0 59,0,2024-09-07 08:23:31:757,15143,0.6,14936,0.8,30100,0.5,40274,2.25 59,1,2024-09-07 08:23:30:813,124126,124126,0,0,57773017672,610796810,121880,1479,767,369,391515,0 59,2,2024-09-07 08:23:30:594,90775,90775,0,0,4265043,0,2604 59,3,2024-09-07 08:23:31:739,1,71,7,0,1015,1928,71,0 60,0,2024-09-07 08:23:31:726,19721,0.5,19861,0.6,39292,0.5,52345,1.75 60,1,2024-09-07 08:23:30:772,125523,125523,0,0,58799967771,613156435,124443,734,346,370,391758,0 60,2,2024-09-07 08:23:31:154,90326,90326,0,0,3817112,0,2142 60,3,2024-09-07 08:23:31:259,1,71,0,0,124,916,71,0 61,0,2024-09-07 08:23:31:518,20398,2.8,20501,1.7,40858,4.2,55247,2.25 61,1,2024-09-07 08:23:30:774,124471,124471,0,0,58317320486,617503440,121915,2021,535,383,391589,0 61,2,2024-09-07 08:23:31:122,86362,86362,0,0,3897340,0,1846 61,3,2024-09-07 08:23:31:687,1,71,1,0,199,1110,71,0 62,0,2024-09-07 08:23:31:723,17459,1.6,17909,1.2,34179,2.9,46439,2.25 62,1,2024-09-07 08:23:31:111,125279,125273,0,6,58451519607,606977827,124022,1214,37,365,391586,6 62,2,2024-09-07 08:23:31:644,90945,90945,0,0,4426051,0,2931 62,3,2024-09-07 08:23:31:143,1,71,1,0,287,662,71,0 63,0,2024-09-07 08:23:31:530,13333,0.3,13231,0.6,26827,0.2,35643,1.75 63,1,2024-09-07 08:23:30:804,125650,125645,0,5,58581554783,606881673,125238,404,3,381,391540,5 63,2,2024-09-07 08:23:30:763,91380,91380,0,0,4045019,0,2674 63,3,2024-09-07 08:23:31:736,1,71,1,0,667,1855,71,0 64,0,2024-09-07 08:23:31:520,17531,0.3,17446,0.5,35049,0.2,47196,1.75 64,1,2024-09-07 08:23:30:761,124963,124963,0,0,58354748435,617243716,122525,1645,793,371,391783,0 64,2,2024-09-07 08:23:31:149,90024,90005,19,0,4451217,0,6121 64,3,2024-09-07 08:23:31:143,1,71,1,1,265,996,71,0 65,0,2024-09-07 08:23:31:718,19368,2.4,19708,1.6,39346,3.3,52223,3.75 65,1,2024-09-07 08:23:30:876,124455,124455,0,0,57681616876,607685868,122746,1490,219,382,391701,0 65,2,2024-09-07 08:23:31:694,86316,86316,0,0,4503959,0,3367 65,3,2024-09-07 08:23:31:683,1,71,1,0,163,835,71,0 66,0,2024-09-07 08:23:31:771,19080,0.9,19301,1.1,38614,0.8,51274,2.75 66,1,2024-09-07 08:23:31:293,125484,125484,0,0,58453079648,607670123,124793,670,21,380,391588,0 66,2,2024-09-07 08:23:31:132,92196,92196,0,0,4188432,0,3867 66,3,2024-09-07 08:23:31:081,1,71,0,0,291,927,71,0 67,0,2024-09-07 08:23:31:426,12982,0.5,13019,0.7,25681,0.3,33667,2.25 67,1,2024-09-07 08:23:30:771,124286,124285,0,1,58023118627,612060928,122265,1495,525,382,391787,1 67,2,2024-09-07 08:23:30:583,91782,91782,0,0,3869824,0,2889 67,3,2024-09-07 08:23:31:751,1,71,0,0,138,653,71,0 68,0,2024-09-07 08:23:30:618,16038,0.5,16033,0.7,32042,0.4,42862,2.00 68,1,2024-09-07 08:23:30:582,123927,123927,0,0,57380972833,604978776,122513,892,522,382,391953,0 68,2,2024-09-07 08:23:31:070,88950,88950,0,0,4045133,0,4883 68,3,2024-09-07 08:23:30:735,1,71,6,0,63,750,71,0 69,0,2024-09-07 08:23:31:780,21294,1.6,21238,1.2,42277,2.0,56329,2.75 69,1,2024-09-07 08:23:31:016,124095,124095,0,0,58814365567,625946877,122101,1129,865,385,391532,0 69,2,2024-09-07 08:23:31:737,88882,88882,0,0,4621098,0,3153 69,3,2024-09-07 08:23:30:777,1,71,2,0,238,1146,71,0 70,0,2024-09-07 08:23:31:540,20875,3.3,20861,2.4,42220,2.2,56117,4.50 70,1,2024-09-07 08:23:30:802,124959,124959,0,0,59295539671,616136177,124044,780,135,368,391527,0 70,2,2024-09-07 08:23:31:327,89746,89746,0,0,4555428,0,4044 70,3,2024-09-07 08:23:30:754,1,71,9,0,178,503,71,0 71,0,2024-09-07 08:23:31:364,14179,1.5,14126,3.3,27879,2.4,38640,4.25 71,1,2024-09-07 08:23:31:599,124673,124673,0,0,59241756623,622311849,122471,1886,316,368,391682,0 71,2,2024-09-07 08:23:31:066,90389,90389,0,0,4270354,0,2146 71,3,2024-09-07 08:23:31:751,1,71,1,0,219,1001,71,0 72,0,2024-09-07 08:23:31:041,16212,0.4,15733,0.6,31098,0.3,41917,2.25 72,1,2024-09-07 08:23:31:020,125100,125100,0,0,58378885603,611338935,123550,1472,78,370,391556,0 72,2,2024-09-07 08:23:31:765,89282,89282,0,0,5482982,0,2570 72,3,2024-09-07 08:23:31:761,1,71,1,0,325,1307,71,0 73,0,2024-09-07 08:23:31:124,20397,0.5,21054,0.7,42689,0.5,56222,2.25 73,1,2024-09-07 08:23:30:768,124737,124737,0,0,58293524213,608973568,123772,921,44,368,391627,0 73,2,2024-09-07 08:23:31:744,91144,91144,0,0,5009263,0,3482 73,3,2024-09-07 08:23:30:975,1,71,1,0,274,1348,71,0 74,0,2024-09-07 08:23:31:344,21379,1.7,21917,1.3,41957,2.3,57281,3.25 74,1,2024-09-07 08:23:30:640,124568,124568,0,0,58303184846,612815341,122670,1326,572,382,391511,0 74,2,2024-09-07 08:23:31:002,86557,86557,0,0,5173964,0,4253 74,3,2024-09-07 08:23:31:443,1,71,1,0,246,1445,71,0 75,0,2024-09-07 08:23:31:774,15922,2.6,15992,1.7,31959,4.8,42893,3.25 75,1,2024-09-07 08:23:31:584,124801,124801,0,0,58117246795,611703553,123103,1594,104,382,391514,0 75,2,2024-09-07 08:23:31:354,90631,90631,0,0,5111171,0,4766 75,3,2024-09-07 08:23:31:067,1,71,23,0,143,474,71,0 76,0,2024-09-07 08:23:30:650,14100,0.3,13938,0.5,27874,0.2,37157,2.00 76,1,2024-09-07 08:23:30:822,124436,124436,0,0,58247222435,613915496,122625,1305,506,382,391530,0 76,2,2024-09-07 08:23:31:061,91682,91682,0,0,4042032,0,3064 76,3,2024-09-07 08:23:31:141,1,71,1,0,175,1002,71,0 77,0,2024-09-07 08:23:31:703,18854,0.6,19023,0.8,38020,0.5,50493,1.75 77,1,2024-09-07 08:23:30:825,124609,124609,0,0,57801677382,605050565,123573,967,69,383,391516,0 77,2,2024-09-07 08:23:31:281,90416,90416,0,0,3449921,0,1695 77,3,2024-09-07 08:23:31:106,1,71,1,0,139,707,71,0 78,0,2024-09-07 08:23:31:727,19720,1.3,19585,1.2,39386,1.9,52298,2.50 78,1,2024-09-07 08:23:30:609,125183,125183,0,0,57609301213,602555628,123765,1213,205,367,391589,0 78,2,2024-09-07 08:23:31:410,85936,85936,0,0,3428950,0,2114 78,3,2024-09-07 08:23:31:141,1,71,0,0,181,1024,71,0 79,0,2024-09-07 08:23:31:352,17490,0.9,17902,1.1,36588,1.1,47571,3.00 79,1,2024-09-07 08:23:30:571,125022,125022,0,0,58734068046,612595865,123684,1269,69,370,391682,0 79,2,2024-09-07 08:23:31:067,91794,91794,0,0,4071738,0,2679 79,3,2024-09-07 08:23:30:757,1,71,3,0,289,867,71,0 80,0,2024-09-07 08:23:31:120,12681,0.4,13029,0.6,25217,0.2,33575,2.00 80,1,2024-09-07 08:23:31:625,124390,124390,0,0,58766276691,614413544,122802,1473,115,369,391673,0 80,2,2024-09-07 08:23:31:091,91394,91394,0,0,4275943,0,4433 80,3,2024-09-07 08:23:30:578,1,71,1,1,190,1223,71,0 81,0,2024-09-07 08:23:31:551,16761,0.4,17164,0.6,32872,0.3,45033,1.75 81,1,2024-09-07 08:23:31:660,124468,124468,0,0,57760630140,608812099,122582,1688,198,383,391680,0 81,2,2024-09-07 08:23:31:124,88936,88936,0,0,4522537,0,3993 81,3,2024-09-07 08:23:31:119,1,71,1,0,193,843,71,0 82,0,2024-09-07 08:23:31:540,20553,1.0,20610,1.1,41226,1.4,54718,2.25 82,1,2024-09-07 08:23:30:592,124572,124569,0,3,58727459922,616886174,122531,1466,572,383,391558,3 82,2,2024-09-07 08:23:31:691,88274,88274,0,0,3465069,0,2230 82,3,2024-09-07 08:23:31:753,1,71,1,0,227,1311,71,0 83,0,2024-09-07 08:23:31:534,19975,1.6,19921,1.4,39584,2.0,52479,3.00 83,1,2024-09-07 08:23:30:559,124455,124455,0,0,57941995678,608303922,123038,1317,100,383,391553,0 83,2,2024-09-07 08:23:30:763,90389,90389,0,0,4494954,0,3119 83,3,2024-09-07 08:23:30:757,1,71,1,0,91,598,71,0 84,0,2024-09-07 08:23:31:801,14261,4.3,14055,3.7,28148,0.9,38623,3.00 84,1,2024-09-07 08:23:31:040,124351,124351,0,0,58482572540,616082302,122740,1364,247,369,391638,0 84,2,2024-09-07 08:23:30:581,90379,90379,0,0,4569230,0,3801 84,3,2024-09-07 08:23:31:159,1,71,2,1,58,753,71,0 85,0,2024-09-07 08:23:31:008,15321,0.4,15271,0.6,32366,0.3,42208,1.75 85,1,2024-09-07 08:23:30:568,124064,124064,0,0,58241410110,619462671,121611,2025,428,384,391652,0 85,2,2024-09-07 08:23:30:869,88727,88727,0,0,4896331,0,3656 85,3,2024-09-07 08:23:30:701,1,71,3,0,115,757,71,0 86,0,2024-09-07 08:23:30:953,21347,0.8,22118,0.8,42605,1.0,56930,2.00 86,1,2024-09-07 08:23:30:826,124107,124107,0,0,58365485087,613694979,122314,1577,216,367,391622,0 86,2,2024-09-07 08:23:30:864,89498,89497,1,0,5114929,0,5004 86,3,2024-09-07 08:23:30:601,1,71,2,0,199,882,71,0 87,0,2024-09-07 08:23:31:422,21237,2.9,21071,1.7,42384,4.0,57273,3.25 87,1,2024-09-07 08:23:30:560,124362,124362,0,0,58313600405,613664884,122782,1459,121,368,391788,0 87,2,2024-09-07 08:23:31:072,88594,88594,0,0,3853020,0,2859 87,3,2024-09-07 08:23:31:797,1,71,4,1,322,1167,71,0 88,0,2024-09-07 08:23:31:485,14990,0.8,15213,0.9,30275,0.8,40425,2.00 88,1,2024-09-07 08:23:30:570,123871,123871,0,0,58735438844,616544896,122662,1113,96,367,391784,0 88,2,2024-09-07 08:23:30:703,90641,90641,0,0,5267916,0,3583 88,3,2024-09-07 08:23:31:271,1,71,6,1,77,888,71,0 89,0,2024-09-07 08:23:31:819,15485,0.3,14985,0.5,29729,0.3,40691,1.75 89,1,2024-09-07 08:23:30:555,123664,123664,0,0,58458144748,626289353,120344,2584,736,383,391866,0 89,2,2024-09-07 08:23:31:146,90319,90319,0,0,4843215,0,2726 89,3,2024-09-07 08:23:31:793,1,71,16,0,325,1879,71,0 90,0,2024-09-07 08:23:31:804,19075,0.5,19504,0.6,40079,0.5,52229,1.75 90,1,2024-09-07 08:23:30:593,124282,124282,0,0,57885426829,613451874,122235,1907,140,382,391825,0 90,2,2024-09-07 08:23:31:418,89493,89493,0,0,5475670,0,2635 90,3,2024-09-07 08:23:30:948,1,71,1,1,200,964,71,0 91,0,2024-09-07 08:23:31:004,20961,0.7,20204,0.8,42055,0.8,55620,2.00 91,1,2024-09-07 08:23:30:560,124167,124167,0,0,58603501207,621656365,121768,2036,363,383,391914,0 91,2,2024-09-07 08:23:31:357,85614,85614,0,0,4416916,0,1997 91,3,2024-09-07 08:23:30:600,1,71,2,1,155,1095,71,0 92,0,2024-09-07 08:23:31:554,17535,1.2,18002,1.1,34456,1.4,46511,1.75 92,1,2024-09-07 08:23:30:585,124764,124764,0,0,58642411679,612762127,124110,587,67,382,391717,0 92,2,2024-09-07 08:23:31:354,92289,92289,0,0,4326693,0,2279 92,3,2024-09-07 08:23:31:010,1,71,1,1,68,843,71,0 93,0,2024-09-07 08:23:30:971,13486,0.3,13664,0.5,26106,0.2,35640,1.75 93,1,2024-09-07 08:23:30:805,124811,124811,0,0,58474598499,614854032,123050,1325,436,367,391689,0 93,2,2024-09-07 08:23:30:930,91702,91702,0,0,3959613,0,2509 93,3,2024-09-07 08:23:31:427,1,71,2,1,143,675,71,0 94,0,2024-09-07 08:23:31:664,17597,0.3,17892,0.4,35151,0.2,47280,1.50 94,1,2024-09-07 08:23:30:569,124115,124115,0,0,57664662945,608447200,122532,1518,65,381,391850,0 94,2,2024-09-07 08:23:30:789,89478,89478,0,0,3943351,0,2443 94,3,2024-09-07 08:23:31:710,1,71,4,1,231,1256,71,0 95,0,2024-09-07 08:23:31:441,19956,0.5,19728,0.6,40202,0.5,52985,1.75 95,1,2024-09-07 08:23:30:855,124839,124839,0,0,58338392770,608382753,124040,735,64,367,391590,0 95,2,2024-09-07 08:23:31:057,86283,86283,0,0,4108547,0,3308 95,3,2024-09-07 08:23:31:709,1,71,8,0,307,1268,71,0 96,0,2024-09-07 08:23:31:029,19725,1.0,19599,0.9,39283,1.5,51775,1.75 96,1,2024-09-07 08:23:31:611,124643,124643,0,0,57983318396,612449484,122803,1269,571,385,391596,0 96,2,2024-09-07 08:23:31:269,91873,91873,0,0,4717694,0,4038 96,3,2024-09-07 08:23:31:147,1,71,1,1,36,686,71,0 97,0,2024-09-07 08:23:31:347,12856,0.3,12876,0.5,25794,0.3,33696,1.75 97,1,2024-09-07 08:23:30:768,124436,124436,0,0,58377850804,613506734,122245,1674,517,367,392140,0 97,2,2024-09-07 08:23:30:607,90789,90789,0,0,3928636,0,3036 97,3,2024-09-07 08:23:30:582,1,71,3,1,165,973,71,0 98,0,2024-09-07 08:23:31:736,16033,0.2,15842,0.4,32294,0.2,42994,1.50 98,1,2024-09-07 08:23:30:572,124681,124681,0,0,58545492134,613618414,123608,1028,45,382,391588,0 98,2,2024-09-07 08:23:30:776,89864,89864,0,0,4163755,0,3080 98,3,2024-09-07 08:23:30:702,1,71,1,1,155,986,71,0 99,0,2024-09-07 08:23:31:516,21371,0.4,21277,0.5,42541,0.4,56442,1.75 99,1,2024-09-07 08:23:31:760,124875,124875,0,0,57710451863,605726276,123395,1040,440,381,391744,0 99,2,2024-09-07 08:23:31:424,89746,89746,0,0,4151877,0,1858 99,3,2024-09-07 08:23:30:582,1,71,2,0,129,692,71,0 100,0,2024-09-07 08:23:31:556,21280,2.0,21054,2.4,42017,4.9,56959,2.75 100,1,2024-09-07 08:23:30:550,123814,123814,0,0,57492280048,614826472,121069,2400,345,381,391989,0 100,2,2024-09-07 08:23:31:846,89143,89132,11,0,5396434,0,5417 100,3,2024-09-07 08:23:31:734,1,71,5,1,443,1891,71,0 101,0,2024-09-07 08:23:31:844,14691,4.1,14217,2.1,28165,1.1,39627,2.75 101,1,2024-09-07 08:23:30:562,124152,124152,0,0,57930094172,611159588,122677,1026,449,369,391709,0 101,2,2024-09-07 08:23:31:767,90286,90286,0,0,4737963,0,4644 101,3,2024-09-07 08:23:30:951,1,71,3,0,448,1071,71,0 102,0,2024-09-07 08:23:30:985,15307,0.4,15914,0.6,31893,0.3,41784,1.75 102,1,2024-09-07 08:23:31:166,124157,124157,0,0,57918983804,614929793,121693,1996,468,369,391831,0 102,2,2024-09-07 08:23:31:780,90265,90265,0,0,3928919,0,1945 102,3,2024-09-07 08:23:31:641,1,71,21,0,410,844,71,0 103,0,2024-09-07 08:23:31:641,21496,0.6,21501,0.7,40590,0.5,56846,2.00 103,1,2024-09-07 08:23:31:628,124348,124348,0,0,58327956315,613734009,123123,1081,144,381,391680,0 103,2,2024-09-07 08:23:30:585,90355,90355,0,0,4230834,0,2104 103,3,2024-09-07 08:23:30:761,1,71,3,1,486,1099,71,0 104,0,2024-09-07 08:23:31:021,21345,2.1,21359,1.5,41629,2.6,57298,2.75 104,1,2024-09-07 08:23:31:605,125063,125063,0,0,57968139165,613958095,123117,1620,326,368,391948,0 104,2,2024-09-07 08:23:31:668,85908,85908,0,0,4918030,0,3941 104,3,2024-09-07 08:23:31:431,1,71,10,1,1245,3839,71,0 105,0,2024-09-07 08:23:31:041,15835,1.6,15276,1.7,31778,2.2,42667,3.75 105,1,2024-09-07 08:23:30:578,124070,124070,0,0,57648224877,612776908,121121,2203,746,367,391797,0 105,2,2024-09-07 08:23:31:333,90769,90769,0,0,4665828,0,3314 105,3,2024-09-07 08:23:31:320,1,71,5,1,399,1602,71,0 106,0,2024-09-07 08:23:31:046,13575,0.3,13964,0.6,28358,0.2,37137,1.75 106,1,2024-09-07 08:23:31:749,124628,124628,0,0,57687021993,610846269,122224,2077,327,370,391767,0 106,2,2024-09-07 08:23:30:758,90611,90611,0,0,4724698,0,2795 106,3,2024-09-07 08:23:30:686,1,71,1,1,201,893,71,0 107,0,2024-09-07 08:23:31:294,18972,0.6,18949,0.7,37588,0.5,50555,1.75 107,1,2024-09-07 08:23:30:600,123790,123790,0,0,58253861514,618241134,121854,1746,190,382,392234,0 107,2,2024-09-07 08:23:31:322,89727,89726,1,0,4518855,0,5024 107,3,2024-09-07 08:23:31:764,1,71,1,0,353,1288,71,0 108,0,2024-09-07 08:23:31:789,19711,0.7,19983,0.8,39603,0.7,52515,1.75 108,1,2024-09-07 08:23:31:298,124466,124466,0,0,58410774259,613665654,123029,1303,134,371,391857,0 108,2,2024-09-07 08:23:31:773,85474,85474,0,0,4165611,0,2647 108,3,2024-09-07 08:23:31:334,1,71,7,1,73,953,71,0 109,0,2024-09-07 08:23:31:771,18302,0.9,18441,0.9,36311,1.0,48775,2.00 109,1,2024-09-07 08:23:30:591,123933,123933,0,0,58873466511,622276582,122318,1232,383,383,391664,0 109,2,2024-09-07 08:23:30:926,90584,90584,0,0,4628791,0,3617 109,3,2024-09-07 08:23:31:147,1,71,6,1,249,1232,71,0 110,0,2024-09-07 08:23:31:768,12794,0.2,12441,0.4,25966,0.2,33560,1.50 110,1,2024-09-07 08:23:31:647,124716,124716,0,0,58295975327,608938345,123398,1033,285,370,391588,0 110,2,2024-09-07 08:23:31:303,91906,91906,0,0,3900178,0,2915 110,3,2024-09-07 08:23:30:693,1,71,3,0,183,705,71,0 111,0,2024-09-07 08:23:31:426,16667,0.2,16509,0.4,33140,0.1,44888,1.50 111,1,2024-09-07 08:23:31:000,125154,125154,0,0,59455328182,616424191,124510,631,13,382,391690,0 111,2,2024-09-07 08:23:31:121,89625,89625,0,0,4077049,0,2763 111,3,2024-09-07 08:23:30:913,1,71,2,0,300,1383,71,0 112,0,2024-09-07 08:23:30:929,20834,0.5,20859,0.6,41374,0.4,55031,1.75 112,1,2024-09-07 08:23:30:825,124545,124545,0,0,58925938353,617249979,123036,1163,346,381,391580,0 112,2,2024-09-07 08:23:31:134,87624,87623,1,0,4408944,0,5036 112,3,2024-09-07 08:23:30:592,1,71,1,0,282,843,71,0 113,0,2024-09-07 08:23:30:888,20123,0.6,19939,0.7,40560,0.6,53661,1.75 113,1,2024-09-07 08:23:31:685,124897,124897,0,0,58589896623,612022794,123009,1504,384,368,391661,0 113,2,2024-09-07 08:23:31:303,91144,91144,0,0,3668332,0,3021 113,3,2024-09-07 08:23:30:692,1,71,3,0,288,1120,71,0 114,0,2024-09-07 08:23:30:884,14558,3.5,14745,1.9,28954,0.9,39138,2.00 114,1,2024-09-07 08:23:30:718,124523,124523,0,0,58426062331,617422164,121916,1726,881,381,391519,0 114,2,2024-09-07 08:23:30:875,90543,90543,0,0,4254337,0,3925 114,3,2024-09-07 08:23:31:278,1,71,4,0,159,752,71,0 115,0,2024-09-07 08:23:30:573,15798,0.2,15857,0.4,31621,0.1,42401,1.50 115,1,2024-09-07 08:23:30:571,124599,124599,0,0,58771382328,618173423,122226,1886,487,383,391510,0 115,2,2024-09-07 08:23:31:134,89682,89682,0,0,3610391,0,2152 115,3,2024-09-07 08:23:31:002,1,71,1,0,159,470,71,0 116,0,2024-09-07 08:23:31:709,21545,0.9,21158,0.9,42832,1.2,57034,2.00 116,1,2024-09-07 08:23:30:810,123834,123834,0,0,58609788015,623485241,121955,1008,871,382,391605,0 116,2,2024-09-07 08:23:31:751,89755,89755,0,0,4830400,0,3529 116,3,2024-09-07 08:23:30:914,1,71,7,1,252,1272,71,0 117,0,2024-09-07 08:23:30:971,21273,2.4,21323,1.5,42422,3.6,57399,2.25 117,1,2024-09-07 08:23:31:582,124835,124835,0,0,57631338053,611001511,122520,2022,293,370,392033,0 117,2,2024-09-07 08:23:31:137,88774,88774,0,0,4218601,0,3700 117,3,2024-09-07 08:23:31:059,1,71,3,0,490,1798,71,0 118,0,2024-09-07 08:23:31:825,14693,0.8,14995,0.9,30789,0.9,40300,2.00 118,1,2024-09-07 08:23:30:585,124749,124749,0,0,57576700551,606096820,123509,1162,78,368,391736,0 118,2,2024-09-07 08:23:31:595,90490,90490,0,0,4647314,0,2781 118,3,2024-09-07 08:23:31:769,1,71,33,1,235,1187,71,0 119,0,2024-09-07 08:23:31:471,14785,0.3,14819,0.5,30339,0.2,40441,1.75 119,1,2024-09-07 08:23:30:590,124487,124487,0,0,58100941663,611535118,122874,1464,149,370,391617,0 119,2,2024-09-07 08:23:31:269,90180,90180,0,0,4389151,0,2532 119,3,2024-09-07 08:23:31:341,1,71,11,1,443,2130,71,0 120,0,2024-09-07 08:23:31:566,19442,0.6,19530,0.8,38848,0.5,52182,2.00 120,1,2024-09-07 08:23:30:859,124133,124133,0,0,57968628685,612168872,122608,1509,16,368,391702,0 120,2,2024-09-07 08:23:30:839,89818,89817,1,0,5383640,0,5281 120,3,2024-09-07 08:23:31:296,1,71,18,1,241,1362,71,0 121,0,2024-09-07 08:23:31:747,20356,2.6,20539,1.6,41130,3.8,55636,2.50 121,1,2024-09-07 08:23:31:664,124374,124374,0,0,58241715419,613851165,122501,1604,269,368,391807,0 121,2,2024-09-07 08:23:31:131,85284,85284,0,0,5663166,0,4127 121,3,2024-09-07 08:23:30:730,1,71,3,0,113,854,71,0 122,0,2024-09-07 08:23:31:769,17332,2.4,16722,2.1,34827,2.8,46680,2.50 122,1,2024-09-07 08:23:30:875,123516,123516,0,0,57786125247,615325140,120508,2484,524,368,392130,0 122,2,2024-09-07 08:23:31:320,91155,91155,0,0,5761589,0,3364 122,3,2024-09-07 08:23:30:594,1,71,1,1,298,1773,71,0 123,0,2024-09-07 08:23:30:965,13367,0.3,13003,0.5,27071,0.2,35563,1.75 123,1,2024-09-07 08:23:30:563,124548,124548,0,0,58356196361,622922763,121086,2894,568,369,391823,0 123,2,2024-09-07 08:23:31:024,91094,91093,1,0,4758244,0,5215 123,3,2024-09-07 08:23:31:133,1,71,9,1,160,954,71,0 124,0,2024-09-07 08:23:31:006,18093,0.3,18019,0.5,34209,0.2,47424,1.50 124,1,2024-09-07 08:23:31:026,124946,124946,0,0,58014781676,603608678,124148,654,144,367,392178,0 124,2,2024-09-07 08:23:31:017,89440,89440,0,0,3668981,0,2477 124,3,2024-09-07 08:23:30:807,1,71,11,1,490,1561,71,0 125,0,2024-09-07 08:23:31:438,20058,0.7,20099,0.7,40185,0.8,52885,2.00 125,1,2024-09-07 08:23:30:862,124599,124599,0,0,58473234785,614839140,122865,1462,272,384,391702,0 125,2,2024-09-07 08:23:31:130,86298,86298,0,0,3959247,0,2180 125,3,2024-09-07 08:23:31:147,1,71,2,1,93,971,71,0 126,0,2024-09-07 08:23:31:443,19715,1.3,20193,1.0,38696,2.2,52108,2.00 126,1,2024-09-07 08:23:30:556,125316,125316,0,0,58651734749,611039278,124269,993,54,365,391987,0 126,2,2024-09-07 08:23:30:615,91731,91731,0,0,4339661,0,3186 126,3,2024-09-07 08:23:30:911,1,71,5,0,122,1017,71,0 127,0,2024-09-07 08:23:31:637,12978,0.2,12921,0.4,25875,0.2,33685,1.50 127,1,2024-09-07 08:23:30:569,124592,124592,0,0,57744068249,601309992,123455,1114,23,365,391614,0 127,2,2024-09-07 08:23:30:638,91230,91230,0,0,3548793,0,1803 127,3,2024-09-07 08:23:31:268,1,71,7,0,99,603,71,0 128,0,2024-09-07 08:23:31:536,16142,0.2,16202,0.4,31749,0.2,42993,1.50 128,1,2024-09-07 08:23:31:611,124673,124673,0,0,58738990244,610694286,123955,648,70,367,391605,0 128,2,2024-09-07 08:23:31:385,88584,88584,0,0,4354633,0,2107 128,3,2024-09-07 08:23:30:768,1,71,4,1,112,874,71,0 129,0,2024-09-07 08:23:31:040,21419,0.4,21373,0.6,42635,0.4,56363,1.75 129,1,2024-09-07 08:23:30:582,124482,124482,0,0,58100196274,612241459,122661,1547,274,379,391835,0 129,2,2024-09-07 08:23:30:699,88483,88483,0,0,3776261,0,2446 129,3,2024-09-07 08:23:30:703,1,71,7,1,173,1112,71,0 130,0,2024-09-07 08:23:31:730,21446,1.5,21331,1.1,42804,2.1,57404,2.25 130,1,2024-09-07 08:23:30:585,124635,124635,0,0,58260182207,611115233,123323,1279,33,381,391609,0 130,2,2024-09-07 08:23:31:124,89794,89794,0,0,4434991,0,4067 130,3,2024-09-07 08:23:31:291,1,71,1,1,207,630,71,0 131,0,2024-09-07 08:23:31:941,14605,1.0,14541,1.0,29461,1.5,39545,1.75 131,1,2024-09-07 08:23:31:825,125303,125303,0,0,58053166124,607551288,124283,834,186,384,391865,0 131,2,2024-09-07 08:23:30:571,90174,90174,0,0,3665934,0,2415 131,3,2024-09-07 08:23:31:688,1,71,2,0,392,1109,71,0 132,0,2024-09-07 08:23:31:455,15684,0.3,15797,0.6,31512,0.3,41880,1.75 132,1,2024-09-07 08:23:30:603,123733,123733,0,0,58038790977,617027433,121146,1881,706,382,391719,0 132,2,2024-09-07 08:23:30:709,89424,89424,0,0,6028782,0,4606 132,3,2024-09-07 08:23:31:692,1,71,11,1,356,1017,71,0 133,0,2024-09-07 08:23:31:537,20481,0.5,20828,0.7,42789,0.5,56138,1.75 133,1,2024-09-07 08:23:30:586,123310,123310,0,0,57997247554,616705196,121098,2117,95,383,391755,0 133,2,2024-09-07 08:23:31:090,90692,90692,0,0,5201008,0,2444 133,3,2024-09-07 08:23:31:298,1,71,5,1,187,610,71,0 134,0,2024-09-07 08:23:30:986,21487,1.1,21422,1.1,43058,1.3,57465,2.25 134,1,2024-09-07 08:23:30:584,124596,124596,0,0,58024656608,614981942,121961,1654,981,366,391718,0 134,2,2024-09-07 08:23:31:766,86596,86596,0,0,3828798,0,2026 134,3,2024-09-07 08:23:30:757,1,71,4,1,739,1937,71,0 135,0,2024-09-07 08:23:31:131,15593,1.8,15640,1.7,32951,2.0,42524,2.50 135,1,2024-09-07 08:23:31:591,124152,124152,0,0,58646288300,619122835,122205,1620,327,380,391703,0 135,2,2024-09-07 08:23:30:694,91187,91187,0,0,4817316,0,3981 135,3,2024-09-07 08:23:31:002,1,71,2,0,68,478,71,0 136,0,2024-09-07 08:23:31:634,14086,0.4,14060,0.6,28014,0.2,37412,2.00 136,1,2024-09-07 08:23:31:441,124507,124507,0,0,57938938308,611701329,122604,1756,147,384,391621,0 136,2,2024-09-07 08:23:31:134,92043,92043,0,0,4285962,0,2379 136,3,2024-09-07 08:23:31:107,1,71,13,1,108,843,71,0 137,0,2024-09-07 08:23:30:964,19449,0.8,18859,0.9,37319,0.9,50738,2.00 137,1,2024-09-07 08:23:30:580,124431,124431,0,0,58573888424,617063715,122460,1858,113,368,391608,0 137,2,2024-09-07 08:23:31:708,89504,89504,0,0,5256633,0,2632 137,3,2024-09-07 08:23:30:774,1,71,3,1,227,1048,71,0 138,0,2024-09-07 08:23:31:755,19185,2.9,19387,1.7,39159,3.9,52396,3.00 138,1,2024-09-07 08:23:31:702,124120,124120,0,0,59059936994,623662581,121790,2066,264,370,391954,0 138,2,2024-09-07 08:23:30:592,86230,86230,0,0,4347789,0,3263 138,3,2024-09-07 08:23:30:618,1,71,3,1,1160,1928,71,0 139,0,2024-09-07 08:23:31:378,17721,4.6,17686,2.5,35767,5.6,48049,4.00 139,1,2024-09-07 08:23:30:578,123839,123839,0,0,57401279699,615820059,120951,2159,729,381,391892,0 139,2,2024-09-07 08:23:30:704,90766,90766,0,0,5747607,0,3097 139,3,2024-09-07 08:23:31:664,1,71,1,0,244,1333,71,0 140,0,2024-09-07 08:23:31:599,12705,0.3,12632,0.5,25457,0.2,33575,1.50 140,1,2024-09-07 08:23:31:535,125366,125366,0,0,58437855417,606173445,124438,731,197,365,391497,0 140,2,2024-09-07 08:23:30:693,91936,91936,0,0,4465038,0,3388 140,3,2024-09-07 08:23:30:776,1,71,2,0,25,547,71,0 141,0,2024-09-07 08:23:31:722,16356,0.2,16982,0.4,32931,0.1,44734,1.50 141,1,2024-09-07 08:23:30:859,125154,125154,0,0,59102164698,617301445,123735,1088,331,382,391538,0 141,2,2024-09-07 08:23:31:689,89752,89752,0,0,3928881,0,2342 141,3,2024-09-07 08:23:31:044,1,71,2,0,147,551,71,0 142,0,2024-09-07 08:23:31:324,21069,0.4,20802,0.6,41306,0.4,55082,1.75 142,1,2024-09-07 08:23:30:614,125370,125370,0,0,58507648839,610460431,124822,533,15,384,391649,0 142,2,2024-09-07 08:23:31:302,87031,87031,0,0,4218386,0,2293 142,3,2024-09-07 08:23:31:747,1,71,114,0,484,1318,71,0 143,0,2024-09-07 08:23:31:401,20147,1.2,20174,1.0,40623,1.5,53486,2.00 143,1,2024-09-07 08:23:30:565,124835,124835,0,0,58173535490,605411603,123857,951,27,367,391608,0 143,2,2024-09-07 08:23:30:958,90716,90716,0,0,4641013,0,2669 143,3,2024-09-07 08:23:31:170,1,71,1,0,236,1096,71,0 144,0,2024-09-07 08:23:31:545,13903,1.3,14329,6.0,28916,1.1,38833,2.25 144,1,2024-09-07 08:23:30:568,124325,124325,0,0,57926417529,611150972,122754,1380,191,381,391638,0 144,2,2024-09-07 08:23:31:756,91119,91119,0,0,4006005,0,3473 144,3,2024-09-07 08:23:31:744,1,71,1,1,169,1225,71,0 145,0,2024-09-07 08:23:31:400,15180,0.4,15197,0.6,32216,0.3,42264,1.75 145,1,2024-09-07 08:23:30:561,123905,123905,0,0,58282012997,616339072,121980,1661,264,383,391615,0 145,2,2024-09-07 08:23:31:436,89277,89277,0,0,4979064,0,3903 145,3,2024-09-07 08:23:30:908,1,71,4,0,151,976,71,0 146,0,2024-09-07 08:23:31:611,21322,0.7,21385,0.9,42923,0.8,56586,2.25 146,1,2024-09-07 08:23:31:590,124924,124924,0,0,58208974405,615286383,122630,1993,301,368,391600,0 146,2,2024-09-07 08:23:31:694,88913,88913,0,0,4590144,0,2379 146,3,2024-09-07 08:23:31:279,1,71,7,0,1520,3012,71,0 147,0,2024-09-07 08:23:31:719,21169,2.9,20957,1.7,41627,4.3,57369,3.25 147,1,2024-09-07 08:23:31:377,124806,124806,0,0,59179901534,618038720,123092,1360,354,368,391791,0 147,2,2024-09-07 08:23:31:031,89003,89003,0,0,4321705,0,2789 147,3,2024-09-07 08:23:30:915,1,71,5,1,141,789,71,0 0,0,2024-09-07 08:23:41:869,19332,0.7,19252,0.8,40785,0.9,52919,1.75 0,1,2024-09-07 08:23:40:808,126504,126504,0,0,59273664827,624213153,125280,1097,127,372,391673,0 0,2,2024-09-07 08:23:41:070,91416,91416,0,0,4511892,0,4480 0,3,2024-09-07 08:23:40:974,1,72,6,0,247,1102,72,0 1,0,2024-09-07 08:23:41:817,20762,2.9,20670,1.9,41645,4.5,56454,3.00 1,1,2024-09-07 08:23:40:566,125743,125743,0,0,58564243875,617557663,124053,1162,528,372,391857,0 1,2,2024-09-07 08:23:40:663,87053,87053,0,0,4084552,0,3267 1,3,2024-09-07 08:23:41:301,1,72,1,0,167,724,72,0 2,0,2024-09-07 08:23:41:594,17282,1.5,17403,1.4,34560,3.0,46317,2.25 2,1,2024-09-07 08:23:40:859,126465,126465,0,0,59727426834,623813958,125384,877,204,381,391558,0 2,2,2024-09-07 08:23:41:273,91883,91883,0,0,4270091,0,3304 2,3,2024-09-07 08:23:40:689,1,72,1,0,214,806,72,0 3,0,2024-09-07 08:23:41:767,13785,0.3,13763,0.5,27555,0.2,36577,1.75 3,1,2024-09-07 08:23:41:634,125889,125889,0,0,59243524572,621891249,123910,1593,386,382,391516,0 3,2,2024-09-07 08:23:41:146,92938,92915,23,0,4758479,0,5851 3,3,2024-09-07 08:23:41:769,1,72,1,0,103,563,72,0 4,0,2024-09-07 08:23:41:846,17515,0.3,17968,0.5,36382,0.3,48328,1.50 4,1,2024-09-07 08:23:40:647,126088,126088,0,0,58657944088,622004496,124195,1475,418,371,391846,0 4,2,2024-09-07 08:23:41:019,90755,90755,0,0,5387931,0,4528 4,3,2024-09-07 08:23:41:037,1,72,8,1,287,1337,72,0 5,0,2024-09-07 08:23:41:544,20189,0.8,19951,0.8,40365,1.0,53182,2.00 5,1,2024-09-07 08:23:40:756,126001,126001,0,0,59001882690,624504428,123793,1767,441,368,392005,0 5,2,2024-09-07 08:23:41:850,86712,86712,0,0,4269118,0,2259 5,3,2024-09-07 08:23:41:743,1,72,2,0,238,1475,72,0 6,0,2024-09-07 08:23:40:928,19791,1.2,19528,1.3,38968,1.7,52214,2.75 6,1,2024-09-07 08:23:40:757,126672,126672,0,0,59245321278,619688610,125550,1053,69,381,391603,0 6,2,2024-09-07 08:23:41:116,93084,93084,0,0,4585832,0,2411 6,3,2024-09-07 08:23:41:280,1,72,2,1,340,1451,72,0 7,0,2024-09-07 08:23:41:559,12710,0.3,12722,0.5,25610,0.2,33598,1.75 7,1,2024-09-07 08:23:40:856,126537,126537,0,0,59237541733,620576035,125557,916,64,383,391664,0 7,2,2024-09-07 08:23:40:774,92164,92164,0,0,4323891,0,2981 7,3,2024-09-07 08:23:40:854,1,72,2,0,83,656,72,0 8,0,2024-09-07 08:23:41:556,16500,0.3,16441,0.5,32466,0.2,43951,1.50 8,1,2024-09-07 08:23:41:026,126057,126057,0,0,58789317441,629576064,122576,2228,1253,368,391724,0 8,2,2024-09-07 08:23:40:790,89528,89528,0,0,5330170,0,2986 8,3,2024-09-07 08:23:40:631,1,72,13,0,229,1070,72,0 9,0,2024-09-07 08:23:41:209,21329,0.4,20755,0.6,43468,0.4,56427,1.75 9,1,2024-09-07 08:23:40:558,126437,126437,0,0,59819738066,634570494,123993,1876,568,370,391738,0 9,2,2024-09-07 08:23:41:089,89387,89387,0,0,4883567,0,3360 9,3,2024-09-07 08:23:41:751,1,72,1,0,149,1034,72,0 10,0,2024-09-07 08:23:41:883,21456,0.8,21510,0.9,42928,0.8,57478,2.25 10,1,2024-09-07 08:23:40:589,125638,125638,0,0,58419165110,621837284,122302,2814,522,382,391541,0 10,2,2024-09-07 08:23:40:764,91000,91000,0,0,5005281,0,2940 10,3,2024-09-07 08:23:40:876,1,72,1,0,136,600,72,0 11,0,2024-09-07 08:23:41:071,14535,1.5,14230,1.4,29738,4.7,40420,2.50 11,1,2024-09-07 08:23:40:578,125832,125832,0,0,58558490943,620561568,123191,1826,815,384,391537,0 11,2,2024-09-07 08:23:41:126,91635,91635,0,0,4705684,0,2635 11,3,2024-09-07 08:23:41:298,1,72,1,0,720,2248,72,0 12,0,2024-09-07 08:23:40:997,16107,0.3,16142,0.5,32083,0.2,42437,1.50 12,1,2024-09-07 08:23:40:982,125791,125791,0,0,58112125346,611878973,124134,1558,99,371,391790,0 12,2,2024-09-07 08:23:41:544,91697,91697,0,0,4296940,0,2254 12,3,2024-09-07 08:23:41:066,1,72,34,0,358,1425,72,0 13,0,2024-09-07 08:23:41:586,21406,0.4,21619,0.6,43032,0.4,57975,1.75 13,1,2024-09-07 08:23:41:575,126178,126178,0,0,59131617978,624693809,124586,1225,367,384,391717,0 13,2,2024-09-07 08:23:40:622,91454,91454,0,0,3984642,0,3287 13,3,2024-09-07 08:23:41:771,1,72,4,1,373,1237,72,0 14,0,2024-09-07 08:23:40:571,21801,0.9,21845,1.0,43354,1.1,58138,2.25 14,1,2024-09-07 08:23:41:579,127374,127374,0,0,59005299159,613209369,126492,851,31,365,391546,0 14,2,2024-09-07 08:23:40:764,88059,88059,0,0,4460685,0,2793 14,3,2024-09-07 08:23:41:114,1,72,0,0,906,1279,72,0 15,0,2024-09-07 08:23:41:582,16105,1.8,15919,1.5,32038,3.7,42986,3.25 15,1,2024-09-07 08:23:41:877,126004,126004,0,0,59169766225,617302823,125202,767,35,381,391536,0 15,2,2024-09-07 08:23:41:000,92883,92883,0,0,3577175,0,3043 15,3,2024-09-07 08:23:41:412,1,72,1,0,538,1851,72,0 16,0,2024-09-07 08:23:41:107,14172,0.3,14338,0.6,28350,0.2,37881,2.00 16,1,2024-09-07 08:23:40:617,125897,125897,0,0,58743927649,617376874,124766,1104,27,372,391666,0 16,2,2024-09-07 08:23:41:440,91686,91686,0,0,4881990,0,4719 16,3,2024-09-07 08:23:41:148,1,72,43,1,231,1327,72,0 17,0,2024-09-07 08:23:41:872,19796,0.5,19355,0.7,37993,0.5,51639,2.00 17,1,2024-09-07 08:23:40:575,126111,126111,0,0,58599721765,620907009,124232,1356,523,369,391688,0 17,2,2024-09-07 08:23:41:683,92233,92233,0,0,3772171,0,2857 17,3,2024-09-07 08:23:40:589,1,72,8,0,268,1446,72,0 18,0,2024-09-07 08:23:40:956,19437,1.6,19668,1.3,39419,1.9,52372,2.50 18,1,2024-09-07 08:23:41:648,126298,126298,0,0,59125180245,617249128,125049,1031,218,368,391555,0 18,2,2024-09-07 08:23:41:771,86699,86699,0,0,4573121,0,3541 18,3,2024-09-07 08:23:40:903,1,72,11,0,163,948,72,0 19,0,2024-09-07 08:23:41:563,17979,1.7,18092,1.5,35750,1.9,47426,5.25 19,1,2024-09-07 08:23:40:567,126638,126638,0,0,59519316562,624396086,124773,1702,163,367,391696,0 19,2,2024-09-07 08:23:41:752,93167,93167,0,0,4245416,0,3988 19,3,2024-09-07 08:23:41:128,1,72,1,0,524,1164,72,0 20,0,2024-09-07 08:23:41:512,12957,0.3,12933,0.5,25580,0.2,33690,1.75 20,1,2024-09-07 08:23:40:682,126334,126334,0,0,59448475194,626398173,124638,1534,162,370,391598,0 20,2,2024-09-07 08:23:40:944,92585,92585,0,0,4265756,0,2446 20,3,2024-09-07 08:23:40:589,1,72,4,1,99,910,72,0 21,0,2024-09-07 08:23:41:150,17340,0.4,17276,0.6,34310,0.3,46032,1.75 21,1,2024-09-07 08:23:41:580,125780,125780,0,0,58741332331,623251690,123448,1838,494,368,391962,0 21,2,2024-09-07 08:23:41:071,90749,90749,0,0,4482194,0,3747 21,3,2024-09-07 08:23:41:436,1,72,23,0,93,1057,72,0 22,0,2024-09-07 08:23:41:738,20489,2.1,20465,1.4,40820,3.9,54429,3.25 22,1,2024-09-07 08:23:41:023,125862,125862,0,0,58562157309,622815957,122721,2415,726,382,391667,0 22,2,2024-09-07 08:23:40:762,87908,87908,0,0,3908572,0,3134 22,3,2024-09-07 08:23:41:067,1,72,1,0,62,423,72,0 23,0,2024-09-07 08:23:41:398,20109,1.3,20284,1.1,40491,1.9,53712,2.50 23,1,2024-09-07 08:23:41:016,126710,126710,0,0,59374891225,619006443,125361,1095,254,367,391496,0 23,2,2024-09-07 08:23:41:092,92157,92157,0,0,4179592,0,2078 23,3,2024-09-07 08:23:41:767,1,72,3,0,645,1312,72,0 24,0,2024-09-07 08:23:40:965,14958,0.6,14915,0.7,29828,0.6,39578,1.75 24,1,2024-09-07 08:23:40:633,126536,126536,0,0,59006614011,616830643,125722,807,7,369,391640,0 24,2,2024-09-07 08:23:41:091,91555,91555,0,0,5358519,0,2942 24,3,2024-09-07 08:23:41:687,1,72,1,1,234,1093,72,0 25,0,2024-09-07 08:23:41:401,16697,0.3,16326,0.5,31880,0.2,43692,1.50 25,1,2024-09-07 08:23:40:562,126004,126004,0,0,59128706214,625675825,123853,1835,316,371,391788,0 25,2,2024-09-07 08:23:41:866,90106,90106,0,0,4875525,0,3284 25,3,2024-09-07 08:23:41:009,1,72,1,1,158,748,72,0 26,0,2024-09-07 08:23:41:735,21477,0.5,20886,0.6,43749,0.5,57274,1.75 26,1,2024-09-07 08:23:41:553,126322,126322,0,0,58641381549,624469878,122860,2627,835,381,391564,0 26,2,2024-09-07 08:23:40:864,90707,90707,0,0,4892446,0,2809 26,3,2024-09-07 08:23:41:735,1,72,1,0,796,1273,72,0 27,0,2024-09-07 08:23:41:770,21659,1.1,21767,0.9,43335,1.6,58152,2.00 27,1,2024-09-07 08:23:41:691,127086,127086,0,0,60135413298,626978615,125947,844,295,381,391539,0 27,2,2024-09-07 08:23:40:867,88888,88888,0,0,5831697,0,3409 27,3,2024-09-07 08:23:41:016,1,72,1,0,564,1224,72,0 28,0,2024-09-07 08:23:41:403,15237,0.6,15483,0.8,30795,0.9,41009,2.00 28,1,2024-09-07 08:23:40:797,126794,126794,0,0,60064105016,627831848,125735,859,200,383,391646,0 28,2,2024-09-07 08:23:41:770,91582,91582,0,0,3798391,0,2609 28,3,2024-09-07 08:23:41:778,1,72,27,0,502,1212,72,0 29,0,2024-09-07 08:23:41:366,15785,0.3,15395,0.5,30175,0.2,41801,1.75 29,1,2024-09-07 08:23:41:572,127562,127562,0,0,59200954964,613155097,126819,571,172,369,391621,0 29,2,2024-09-07 08:23:40:869,92329,92329,0,0,3317089,0,2026 29,3,2024-09-07 08:23:40:975,1,72,1,0,105,660,72,0 30,0,2024-09-07 08:23:41:470,19809,0.5,19303,0.6,40397,0.4,53006,2.00 30,1,2024-09-07 08:23:40:620,126673,126673,0,0,59733514103,623419675,125698,910,65,382,391524,0 30,2,2024-09-07 08:23:41:279,92205,92205,0,0,3355666,0,2534 30,3,2024-09-07 08:23:40:588,1,72,0,0,195,629,72,0 31,0,2024-09-07 08:23:41:788,20838,1.4,20755,1.2,42049,2.0,56162,2.50 31,1,2024-09-07 08:23:40:570,127069,127069,0,0,59691863279,612836124,126820,247,2,356,391553,0 31,2,2024-09-07 08:23:41:275,87627,87627,0,0,4740944,0,3525 31,3,2024-09-07 08:23:41:717,1,72,3,0,129,552,72,0 32,0,2024-09-07 08:23:41:441,17586,1.5,17656,1.2,35487,2.8,46995,1.75 32,1,2024-09-07 08:23:40:806,126467,126467,0,0,59305669053,618573182,125464,974,29,382,391595,0 32,2,2024-09-07 08:23:40:950,92765,92765,0,0,3993124,0,3155 32,3,2024-09-07 08:23:41:017,1,72,9,0,110,638,72,0 33,0,2024-09-07 08:23:41:531,13790,0.2,13610,0.4,27650,0.1,36562,1.50 33,1,2024-09-07 08:23:40:592,126901,126901,0,0,59690556967,622573695,125240,1538,123,369,391526,0 33,2,2024-09-07 08:23:40:769,92775,92742,33,0,5551776,0,7012 33,3,2024-09-07 08:23:40:917,1,72,9,0,129,505,72,0 34,0,2024-09-07 08:23:40:940,18018,0.2,18545,0.4,35748,0.1,48329,1.50 34,1,2024-09-07 08:23:41:044,126983,126983,0,0,59830228736,618032457,126389,590,4,367,391517,0 34,2,2024-09-07 08:23:40:772,90501,90501,0,0,4539542,0,3255 34,3,2024-09-07 08:23:41:691,1,72,1,0,148,679,72,0 35,0,2024-09-07 08:23:40:871,19831,0.9,20061,0.9,40067,1.2,53071,2.00 35,1,2024-09-07 08:23:41:067,126476,126476,0,0,59325409050,618717675,125008,1106,362,384,391587,0 35,2,2024-09-07 08:23:41:598,87074,87074,0,0,3925676,0,2542 35,3,2024-09-07 08:23:40:913,1,72,1,0,219,1046,72,0 36,0,2024-09-07 08:23:41:546,19703,1.9,19627,1.4,39619,2.6,52218,2.75 36,1,2024-09-07 08:23:40:596,126326,126326,0,0,58921672334,623024402,123315,2080,931,366,391535,0 36,2,2024-09-07 08:23:41:762,92601,92601,0,0,5155412,0,3303 36,3,2024-09-07 08:23:40:875,1,72,2,0,378,1092,72,0 37,0,2024-09-07 08:23:41:432,12842,0.3,12807,0.5,25762,0.2,33779,1.75 37,1,2024-09-07 08:23:40:572,125911,125904,0,7,58627983522,623763326,123065,1322,1517,365,391518,0 37,2,2024-09-07 08:23:41:149,92302,92302,0,0,3782784,0,2333 37,3,2024-09-07 08:23:41:769,1,72,1,0,724,1796,72,0 38,0,2024-09-07 08:23:41:446,16412,0.3,15840,0.5,33073,0.2,43961,1.75 38,1,2024-09-07 08:23:41:644,126610,126610,0,0,59193902123,622772357,124456,1707,447,370,391821,0 38,2,2024-09-07 08:23:40:765,90491,90491,0,0,4545982,0,3245 38,3,2024-09-07 08:23:40:998,1,72,1,0,603,1410,72,0 39,0,2024-09-07 08:23:41:776,21913,1.0,21546,0.9,41707,1.2,56555,2.00 39,1,2024-09-07 08:23:40:729,126579,126579,0,0,58771457521,619530945,123947,1994,638,366,391524,0 39,2,2024-09-07 08:23:41:423,89357,89357,0,0,4158617,0,2381 39,3,2024-09-07 08:23:40:718,1,72,1,0,276,1207,72,0 40,0,2024-09-07 08:23:41:533,20993,1.8,21401,2.1,42444,3.7,56945,3.75 40,1,2024-09-07 08:23:40:590,126056,126056,0,0,58341960317,618691542,122802,2501,753,370,391591,0 40,2,2024-09-07 08:23:41:310,91096,91095,1,0,5295308,0,5137 40,3,2024-09-07 08:23:41:149,1,72,4,0,110,793,72,0 41,0,2024-09-07 08:23:41:051,14354,0.6,14690,4.7,28097,0.9,39252,2.50 41,1,2024-09-07 08:23:40:774,126025,126025,0,0,59231522432,625071187,123602,2110,313,370,391742,0 41,2,2024-09-07 08:23:40:764,91452,91452,0,0,5521811,0,3356 41,3,2024-09-07 08:23:41:690,1,72,5,0,168,796,72,0 42,0,2024-09-07 08:23:41:553,15861,0.5,16032,0.7,31991,0.4,42473,2.00 42,1,2024-09-07 08:23:41:520,125759,125759,0,0,58688654181,623586237,122766,2163,830,381,391511,0 42,2,2024-09-07 08:23:41:132,90877,90877,0,0,4789261,0,3568 42,3,2024-09-07 08:23:41:011,1,72,10,0,100,675,72,0 43,0,2024-09-07 08:23:40:935,21356,1.1,20820,1.1,43447,1.5,58086,2.00 43,1,2024-09-07 08:23:40:589,126040,126040,0,0,59290860193,624159827,123774,1514,752,367,391604,0 43,2,2024-09-07 08:23:41:736,91722,91722,0,0,4910746,0,3812 43,3,2024-09-07 08:23:41:752,1,72,1,0,325,1704,72,0 44,0,2024-09-07 08:23:40:874,21816,0.9,21722,1.1,43460,0.9,58636,2.00 44,1,2024-09-07 08:23:40:563,126606,126606,0,0,59122295783,612904186,125588,911,107,356,391523,0 44,2,2024-09-07 08:23:41:281,88386,88386,0,0,3477400,0,1865 44,3,2024-09-07 08:23:41:093,1,72,0,0,817,1328,72,0 45,0,2024-09-07 08:23:41:782,15909,2.5,15607,1.6,32602,5.4,43325,2.00 45,1,2024-09-07 08:23:41:006,126676,126676,0,0,59503462938,618539594,126208,467,1,382,391917,0 45,2,2024-09-07 08:23:41:280,92659,92659,0,0,4127032,0,2628 45,3,2024-09-07 08:23:40:935,1,72,11,0,226,802,72,0 46,0,2024-09-07 08:23:40:971,14312,0.2,14327,0.4,28755,0.1,37878,1.50 46,1,2024-09-07 08:23:40:577,126728,126728,0,0,59293165296,614811257,125880,811,37,368,391514,0 46,2,2024-09-07 08:23:40:612,92474,92474,0,0,4050730,0,2920 46,3,2024-09-07 08:23:41:131,1,72,2,0,315,1057,72,0 47,0,2024-09-07 08:23:41:110,19269,0.3,19531,0.5,38921,0.3,51556,1.50 47,1,2024-09-07 08:23:40:568,127114,127114,0,0,59306580657,612868542,126606,504,4,366,391605,0 47,2,2024-09-07 08:23:40:915,92296,92296,0,0,4025695,0,2558 47,3,2024-09-07 08:23:41:115,1,72,43,0,529,1134,72,0 48,0,2024-09-07 08:23:41:528,20241,0.4,19991,0.6,39617,0.4,53147,1.75 48,1,2024-09-07 08:23:41:022,126674,126674,0,0,59505303794,620998567,125478,1191,5,384,391637,0 48,2,2024-09-07 08:23:40:701,87262,87262,0,0,3265646,0,2083 48,3,2024-09-07 08:23:40:761,1,72,11,0,30,618,72,0 49,0,2024-09-07 08:23:41:742,18739,1.3,18275,1.1,35863,2.4,48876,2.00 49,1,2024-09-07 08:23:41:021,126025,126025,0,0,58799695140,617855191,124288,1028,709,382,391583,0 49,2,2024-09-07 08:23:41:806,92825,92825,0,0,4429766,0,3900 49,3,2024-09-07 08:23:41:423,1,72,5,0,274,824,72,0 50,0,2024-09-07 08:23:41:572,12978,0.2,12694,0.4,25784,0.1,34105,1.50 50,1,2024-09-07 08:23:41:018,127306,127306,0,0,59458372416,619980625,125637,1372,297,368,391530,0 50,2,2024-09-07 08:23:41:067,93072,93072,0,0,3670027,0,2253 50,3,2024-09-07 08:23:41:292,1,72,2,0,335,878,72,0 51,0,2024-09-07 08:23:41:717,17626,0.2,17170,0.4,33706,0.1,46414,1.50 51,1,2024-09-07 08:23:41:686,126599,126599,0,0,60322130403,629747480,124800,1016,783,367,391637,0 51,2,2024-09-07 08:23:41:317,90567,90567,0,0,3151346,0,2448 51,3,2024-09-07 08:23:41:027,1,72,1,0,162,488,72,0 52,0,2024-09-07 08:23:41:440,20726,0.8,20945,1.0,41826,1.0,54945,2.25 52,1,2024-09-07 08:23:40:593,126061,126061,0,0,58611612314,619333940,123551,2046,464,368,391529,0 52,2,2024-09-07 08:23:41:757,87728,87728,0,0,4388748,0,4779 52,3,2024-09-07 08:23:40:674,1,72,9,0,1782,2437,72,0 53,0,2024-09-07 08:23:41:749,20049,2.1,19311,1.5,40138,3.1,52984,3.00 53,1,2024-09-07 08:23:40:777,126073,126073,0,0,59440216862,627783131,123451,2048,574,370,391617,0 53,2,2024-09-07 08:23:41:312,93078,93078,0,0,3979615,0,1902 53,3,2024-09-07 08:23:40:698,1,72,0,0,59,469,72,0 54,0,2024-09-07 08:23:41:646,13967,8.5,14174,2.6,28056,1.2,38439,4.75 54,1,2024-09-07 08:23:40:580,126087,126087,0,0,59365602117,622595031,123977,1794,316,367,391520,0 54,2,2024-09-07 08:23:40:871,92427,92421,6,0,4823443,0,5382 54,3,2024-09-07 08:23:40:779,1,72,1,0,676,1700,72,0 55,0,2024-09-07 08:23:41:780,15907,0.4,16275,0.6,33162,0.2,43283,2.00 55,1,2024-09-07 08:23:40:764,125859,125859,0,0,58476157135,618321235,122377,2780,702,367,391731,0 55,2,2024-09-07 08:23:40:729,90357,90357,0,0,4740761,0,3275 55,3,2024-09-07 08:23:40:674,1,72,1,0,136,850,72,0 56,0,2024-09-07 08:23:41:578,22077,2.0,20823,1.4,42927,2.4,57239,2.50 56,1,2024-09-07 08:23:40:599,125582,125582,0,0,59377223863,635065690,122188,2646,748,383,391678,0 56,2,2024-09-07 08:23:41:310,90920,90920,0,0,5201670,0,3567 56,3,2024-09-07 08:23:41:081,1,72,21,0,297,985,72,0 57,0,2024-09-07 08:23:40:990,21222,3.3,20952,1.9,42088,5.5,56879,4.50 57,1,2024-09-07 08:23:40:989,125646,125646,0,0,58387973786,613904292,124169,1457,20,368,391760,0 57,2,2024-09-07 08:23:41:322,90281,90281,0,0,5099456,0,3178 57,3,2024-09-07 08:23:41:745,1,72,77,1,359,1653,72,0 58,0,2024-09-07 08:23:40:561,14502,0.7,14162,1.0,29671,0.6,39083,2.75 58,1,2024-09-07 08:23:40:619,127043,127040,0,3,59781895457,624993705,125443,1437,160,369,391516,3 58,2,2024-09-07 08:23:41:071,91659,91659,0,0,4839184,0,2549 58,3,2024-09-07 08:23:41:068,1,72,4,1,219,857,72,0 59,0,2024-09-07 08:23:41:749,15580,0.6,15413,0.8,30953,0.5,41474,2.25 59,1,2024-09-07 08:23:40:804,125856,125856,0,0,58692146906,620129523,123610,1479,767,369,391515,0 59,2,2024-09-07 08:23:40:611,91924,91924,0,0,4286416,0,2604 59,3,2024-09-07 08:23:41:743,1,72,13,0,1015,1941,72,0 60,0,2024-09-07 08:23:41:754,20015,0.5,20139,0.6,39827,0.5,53166,1.75 60,1,2024-09-07 08:23:40:779,127323,127323,0,0,59749101939,622797024,126243,734,346,370,391758,0 60,2,2024-09-07 08:23:41:159,91554,91554,0,0,3836080,0,2142 60,3,2024-09-07 08:23:41:273,1,72,3,0,124,919,72,0 61,0,2024-09-07 08:23:41:538,20768,2.8,20840,1.6,41546,4.2,56154,2.25 61,1,2024-09-07 08:23:40:790,126189,126189,0,0,59072182105,625245214,123633,2021,535,383,391589,0 61,2,2024-09-07 08:23:41:116,87735,87735,0,0,3920127,0,1846 61,3,2024-09-07 08:23:41:692,1,72,11,0,199,1121,72,0 62,0,2024-09-07 08:23:41:714,17643,1.6,18062,1.2,34521,2.9,46896,2.25 62,1,2024-09-07 08:23:41:111,127048,127042,0,6,59181480904,614453712,125789,1216,37,365,391586,6 62,2,2024-09-07 08:23:41:645,92193,92193,0,0,4446724,0,2931 62,3,2024-09-07 08:23:41:144,1,72,1,0,287,663,72,0 63,0,2024-09-07 08:23:41:534,13682,0.3,13588,0.6,27503,0.2,36535,1.75 63,1,2024-09-07 08:23:40:804,127394,127389,0,5,59376853196,614980923,126982,404,3,381,391540,5 63,2,2024-09-07 08:23:40:763,92834,92834,0,0,4062285,0,2674 63,3,2024-09-07 08:23:41:733,1,72,3,0,667,1858,72,0 64,0,2024-09-07 08:23:41:562,17932,0.3,17846,0.5,35887,0.2,48330,1.75 64,1,2024-09-07 08:23:40:756,126700,126700,0,0,59146399880,625309136,124262,1645,793,371,391783,0 64,2,2024-09-07 08:23:41:161,91367,91348,19,0,4463089,0,6121 64,3,2024-09-07 08:23:41:149,1,72,14,1,265,1010,72,0 65,0,2024-09-07 08:23:41:714,19487,2.4,19837,1.6,39584,3.3,52570,3.75 65,1,2024-09-07 08:23:40:859,126180,126180,0,0,58458912869,615627984,124471,1490,219,382,391701,0 65,2,2024-09-07 08:23:41:700,87305,87305,0,0,4511425,0,3367 65,3,2024-09-07 08:23:41:686,1,72,13,0,163,848,72,0 66,0,2024-09-07 08:23:41:791,19211,0.8,19424,1.1,38886,0.8,51601,2.75 66,1,2024-09-07 08:23:41:293,127259,127259,0,0,59213448888,615429648,126568,670,21,380,391588,0 66,2,2024-09-07 08:23:41:132,93587,93587,0,0,4199437,0,3867 66,3,2024-09-07 08:23:41:081,1,72,1,0,291,928,72,0 67,0,2024-09-07 08:23:41:425,12990,0.5,13025,0.7,25696,0.3,33709,2.25 67,1,2024-09-07 08:23:40:780,125999,125998,0,1,58758009069,619551085,123978,1495,525,382,391787,1 67,2,2024-09-07 08:23:40:599,93189,93189,0,0,3879317,0,2889 67,3,2024-09-07 08:23:41:754,1,72,1,0,138,654,72,0 68,0,2024-09-07 08:23:40:599,16366,0.5,16403,0.7,32713,0.4,43768,2.00 68,1,2024-09-07 08:23:40:585,125756,125756,0,0,58044080451,611826851,124340,894,522,382,391953,0 68,2,2024-09-07 08:23:41:052,90465,90465,0,0,4061138,0,4883 68,3,2024-09-07 08:23:40:738,1,72,1,0,63,751,72,0 69,0,2024-09-07 08:23:41:758,21374,1.6,21324,1.2,42462,2.0,56574,2.75 69,1,2024-09-07 08:23:41:021,125877,125877,0,0,59611382497,634150416,123883,1129,865,385,391532,0 69,2,2024-09-07 08:23:41:737,89604,89604,0,0,4628500,0,3153 69,3,2024-09-07 08:23:40:764,1,72,10,0,238,1156,72,0 70,0,2024-09-07 08:23:41:560,21081,3.3,21097,2.4,42657,2.2,56654,4.50 70,1,2024-09-07 08:23:40:818,126737,126737,0,0,59894234556,622232887,125821,781,135,368,391527,0 70,2,2024-09-07 08:23:41:326,91200,91200,0,0,4569692,0,4044 70,3,2024-09-07 08:23:40:755,1,72,0,0,178,503,72,0 71,0,2024-09-07 08:23:41:416,14510,1.4,14484,3.1,28522,2.3,39442,4.00 71,1,2024-09-07 08:23:41:855,126561,126561,0,0,60056552462,630584211,124359,1886,316,368,391682,0 71,2,2024-09-07 08:23:41:067,91949,91949,0,0,4288442,0,2146 71,3,2024-09-07 08:23:41:751,1,72,1,0,219,1002,72,0 72,0,2024-09-07 08:23:41:044,16487,0.4,15979,0.6,31645,0.3,42602,2.25 72,1,2024-09-07 08:23:41:023,126943,126943,0,0,59301140882,620724939,125392,1473,78,370,391556,0 72,2,2024-09-07 08:23:41:757,90399,90399,0,0,5506759,0,2570 72,3,2024-09-07 08:23:41:762,1,72,2,0,325,1309,72,0 73,0,2024-09-07 08:23:41:114,20985,0.5,21550,0.7,43768,0.5,57745,2.25 73,1,2024-09-07 08:23:40:785,126485,126485,0,0,59161884110,617828178,125520,921,44,368,391627,0 73,2,2024-09-07 08:23:41:754,92232,92232,0,0,5037781,0,3482 73,3,2024-09-07 08:23:40:985,1,72,18,0,274,1366,72,0 74,0,2024-09-07 08:23:41:355,21685,1.6,22233,1.3,42583,2.3,58144,3.25 74,1,2024-09-07 08:23:40:634,126341,126341,0,0,59272728743,622667866,124442,1327,572,382,391511,0 74,2,2024-09-07 08:23:41:005,88196,88196,0,0,5268139,0,4253 74,3,2024-09-07 08:23:41:444,1,72,1,0,246,1446,72,0 75,0,2024-09-07 08:23:41:788,16079,2.6,16139,1.7,32259,4.8,43347,3.25 75,1,2024-09-07 08:23:41:590,126548,126548,0,0,58878166840,619607841,124850,1594,104,382,391514,0 75,2,2024-09-07 08:23:41:366,91858,91858,0,0,5143400,0,4766 75,3,2024-09-07 08:23:41:078,1,72,5,0,143,479,72,0 76,0,2024-09-07 08:23:40:610,14343,0.3,14179,0.5,28354,0.2,37773,2.00 76,1,2024-09-07 08:23:40:804,126220,126220,0,0,58952946586,621184920,124409,1305,506,382,391530,0 76,2,2024-09-07 08:23:41:072,92863,92863,0,0,4062218,0,3064 76,3,2024-09-07 08:23:41:144,1,72,1,0,175,1003,72,0 77,0,2024-09-07 08:23:41:729,19211,0.5,19448,0.8,38796,0.5,51448,1.75 77,1,2024-09-07 08:23:40:833,126352,126352,0,0,58715055824,614436929,125316,967,69,383,391516,0 77,2,2024-09-07 08:23:41:281,91733,91733,0,0,3472483,0,1695 77,3,2024-09-07 08:23:41:094,1,72,42,0,139,749,72,0 78,0,2024-09-07 08:23:41:738,19878,1.3,19768,1.1,39763,1.9,52738,2.50 78,1,2024-09-07 08:23:40:619,126912,126912,0,0,58684107258,613433658,125494,1213,205,367,391589,0 78,2,2024-09-07 08:23:41:405,87177,87177,0,0,3446794,0,2114 78,3,2024-09-07 08:23:41:133,1,72,142,0,181,1166,72,0 79,0,2024-09-07 08:23:41:359,17592,0.9,17994,1.1,36765,1.1,47859,3.00 79,1,2024-09-07 08:23:40:598,126793,126793,0,0,59406355081,619487642,125455,1269,69,370,391682,0 79,2,2024-09-07 08:23:41:069,92988,92988,0,0,4094381,0,2679 79,3,2024-09-07 08:23:40:755,1,72,3,0,289,870,72,0 80,0,2024-09-07 08:23:41:102,12777,0.4,13170,0.6,25471,0.2,33915,2.00 80,1,2024-09-07 08:23:41:651,126152,126152,0,0,59628002637,623175104,124564,1473,115,369,391673,0 80,2,2024-09-07 08:23:41:093,92903,92903,0,0,4291829,0,4433 80,3,2024-09-07 08:23:40:610,1,72,3,1,190,1226,72,0 81,0,2024-09-07 08:23:41:570,17267,0.4,17695,0.6,33833,0.3,46387,1.75 81,1,2024-09-07 08:23:41:662,126287,126287,0,0,58543660849,616836571,124401,1688,198,383,391680,0 81,2,2024-09-07 08:23:41:126,90344,90344,0,0,4534670,0,3993 81,3,2024-09-07 08:23:41:118,1,72,1,0,193,844,72,0 82,0,2024-09-07 08:23:41:538,20651,1.0,20701,1.1,41443,1.3,55007,2.25 82,1,2024-09-07 08:23:40:590,126332,126329,0,3,59416205530,623920060,124291,1466,572,383,391558,3 82,2,2024-09-07 08:23:41:697,89059,89059,0,0,3471472,0,2230 82,3,2024-09-07 08:23:41:755,1,72,1,0,227,1312,72,0 83,0,2024-09-07 08:23:41:528,20078,1.6,20033,1.4,39782,2.0,52753,3.00 83,1,2024-09-07 08:23:40:604,126290,126290,0,0,58618881818,615239044,124873,1317,100,383,391553,0 83,2,2024-09-07 08:23:40:769,91984,91984,0,0,4506064,0,3119 83,3,2024-09-07 08:23:40:755,1,72,1,0,91,599,72,0 84,0,2024-09-07 08:23:41:789,14461,4.1,14226,3.6,28514,0.8,39060,3.00 84,1,2024-09-07 08:23:41:040,126137,126137,0,0,59474123525,626157178,124525,1365,247,369,391638,0 84,2,2024-09-07 08:23:40:587,91881,91881,0,0,4621538,0,3801 84,3,2024-09-07 08:23:41:149,1,72,2,1,58,755,72,0 85,0,2024-09-07 08:23:41:064,15756,0.4,15687,0.6,33258,0.3,43411,1.75 85,1,2024-09-07 08:23:40:559,125754,125754,0,0,58938154956,626608533,123300,2026,428,384,391652,0 85,2,2024-09-07 08:23:40:868,89939,89939,0,0,4911638,0,3656 85,3,2024-09-07 08:23:40:688,1,72,3,0,115,760,72,0 86,0,2024-09-07 08:23:40:911,21492,0.8,22255,0.8,42813,1.0,57228,2.00 86,1,2024-09-07 08:23:40:840,125874,125874,0,0,59179140207,621980741,124079,1579,216,367,391622,0 86,2,2024-09-07 08:23:40:857,90504,90503,1,0,5135995,0,5004 86,3,2024-09-07 08:23:40:588,1,72,2,0,199,884,72,0 87,0,2024-09-07 08:23:41:301,21544,2.9,21362,1.7,42943,4.0,58033,3.25 87,1,2024-09-07 08:23:40:559,126188,126188,0,0,59319518875,623908259,124608,1459,121,368,391788,0 87,2,2024-09-07 08:23:41:072,90128,90128,0,0,3879917,0,2859 87,3,2024-09-07 08:23:41:799,1,72,1,1,322,1168,72,0 88,0,2024-09-07 08:23:41:674,15201,0.8,15415,0.9,30700,0.8,41043,2.00 88,1,2024-09-07 08:23:40:594,125664,125664,0,0,59734678310,626852589,124453,1114,97,367,391787,0 88,2,2024-09-07 08:23:40:691,91945,91945,0,0,5320814,0,3583 88,3,2024-09-07 08:23:41:273,1,72,4,1,77,892,72,0 89,0,2024-09-07 08:23:41:798,15973,0.3,15414,0.6,30653,0.3,41880,1.75 89,1,2024-09-07 08:23:40:555,125352,125352,0,0,59290174627,634865380,122031,2585,736,383,391866,0 89,2,2024-09-07 08:23:41:136,91541,91541,0,0,4890311,0,2726 89,3,2024-09-07 08:23:41:803,1,72,18,0,325,1897,72,0 90,0,2024-09-07 08:23:41:738,19350,0.5,19736,0.6,40638,0.5,53049,1.75 90,1,2024-09-07 08:23:40:612,126042,126042,0,0,58822283946,623192324,123991,1909,142,382,391825,0 90,2,2024-09-07 08:23:41:420,90717,90717,0,0,5532461,0,2635 90,3,2024-09-07 08:23:40:935,1,72,7,1,200,971,72,0 91,0,2024-09-07 08:23:40:967,21331,0.7,20515,0.8,42754,0.8,56534,2.00 91,1,2024-09-07 08:23:40:569,125922,125922,0,0,59440327367,630220946,123523,2036,363,383,391914,0 91,2,2024-09-07 08:23:41:349,86951,86951,0,0,4495363,0,1997 91,3,2024-09-07 08:23:40:601,1,72,9,1,155,1104,72,0 92,0,2024-09-07 08:23:41:482,17715,1.2,18219,1.1,34801,1.4,46976,1.75 92,1,2024-09-07 08:23:40:581,126517,126517,0,0,59374691990,620338328,125861,589,67,382,391717,0 92,2,2024-09-07 08:23:41:352,93473,93473,0,0,4353843,0,2279 92,3,2024-09-07 08:23:41:071,1,72,23,1,68,866,72,0 93,0,2024-09-07 08:23:41:038,13832,0.3,14031,0.5,26751,0.2,36540,1.75 93,1,2024-09-07 08:23:40:893,126528,126528,0,0,59186959116,622158474,124766,1326,436,367,391689,0 93,2,2024-09-07 08:23:40:952,93060,93060,0,0,3983464,0,2509 93,3,2024-09-07 08:23:41:417,1,72,9,1,143,684,72,0 94,0,2024-09-07 08:23:41:670,18025,0.3,18384,0.4,35990,0.2,48374,1.50 94,1,2024-09-07 08:23:40:623,125850,125850,0,0,58366058177,615775356,124266,1519,65,381,391850,0 94,2,2024-09-07 08:23:40:768,90854,90854,0,0,3966153,0,2443 94,3,2024-09-07 08:23:41:698,1,72,3,1,231,1259,72,0 95,0,2024-09-07 08:23:41:438,20080,0.5,19852,0.6,40425,0.5,53321,1.75 95,1,2024-09-07 08:23:40:866,126666,126666,0,0,59294225723,618150356,125867,735,64,367,391590,0 95,2,2024-09-07 08:23:41:020,87296,87296,0,0,4127218,0,3308 95,3,2024-09-07 08:23:41:722,1,72,5,0,307,1273,72,0 96,0,2024-09-07 08:23:41:062,19880,0.9,19717,0.9,39547,1.4,52089,1.75 96,1,2024-09-07 08:23:41:639,126472,126472,0,0,58971757806,622519882,124631,1270,571,385,391596,0 96,2,2024-09-07 08:23:41:275,93243,93243,0,0,4731133,0,4038 96,3,2024-09-07 08:23:41:146,1,72,1,1,36,687,72,0 97,0,2024-09-07 08:23:41:386,12863,0.3,12884,0.5,25813,0.3,33718,1.75 97,1,2024-09-07 08:23:40:771,126191,126191,0,0,59154296842,621390026,124000,1674,517,367,392140,0 97,2,2024-09-07 08:23:40:606,92269,92269,0,0,3942337,0,3036 97,3,2024-09-07 08:23:40:571,1,72,1,1,165,974,72,0 98,0,2024-09-07 08:23:41:796,16364,0.2,16183,0.4,33013,0.2,43982,1.50 98,1,2024-09-07 08:23:40:622,126459,126459,0,0,59251635870,620861088,125385,1029,45,382,391588,0 98,2,2024-09-07 08:23:40:777,91236,91236,0,0,4176692,0,3080 98,3,2024-09-07 08:23:40:700,1,72,1,1,155,987,72,0 99,0,2024-09-07 08:23:41:549,21457,0.4,21355,0.5,42711,0.4,56671,1.75 99,1,2024-09-07 08:23:41:727,126641,126641,0,0,58478673452,613601338,125161,1040,440,381,391744,0 99,2,2024-09-07 08:23:41:429,90441,90441,0,0,4160191,0,1858 99,3,2024-09-07 08:23:40:589,1,72,1,0,129,693,72,0 100,0,2024-09-07 08:23:41:547,21509,1.9,21275,2.4,42444,4.9,57487,2.75 100,1,2024-09-07 08:23:40:556,125649,125649,0,0,58396501494,624129758,122904,2400,345,381,391989,0 100,2,2024-09-07 08:23:41:819,90702,90691,11,0,5412562,0,5417 100,3,2024-09-07 08:23:41:749,1,72,3,1,443,1894,72,0 101,0,2024-09-07 08:23:41:736,15018,3.9,14574,2.0,28779,1.0,40496,2.75 101,1,2024-09-07 08:23:40:550,125904,125904,0,0,58797465629,620096955,124429,1026,449,369,391709,0 101,2,2024-09-07 08:23:41:763,91801,91801,0,0,4759373,0,4644 101,3,2024-09-07 08:23:40:949,1,72,1,0,448,1072,72,0 102,0,2024-09-07 08:23:40:982,15592,0.4,16208,0.6,32418,0.3,42536,1.75 102,1,2024-09-07 08:23:41:201,125969,125969,0,0,58832433478,624613507,123499,2002,468,369,391831,0 102,2,2024-09-07 08:23:41:750,91410,91410,0,0,3946931,0,1945 102,3,2024-09-07 08:23:41:637,1,72,4,0,410,848,72,0 103,0,2024-09-07 08:23:41:599,22041,0.6,22043,0.7,41735,0.5,58208,2.00 103,1,2024-09-07 08:23:41:707,126137,126137,0,0,59218398061,622868439,124911,1082,144,381,391680,0 103,2,2024-09-07 08:23:40:622,91436,91436,0,0,4244325,0,2104 103,3,2024-09-07 08:23:40:756,1,72,4,1,486,1103,72,0 104,0,2024-09-07 08:23:41:052,21654,2.1,21660,1.5,42221,2.5,58234,2.75 104,1,2024-09-07 08:23:41:667,126832,126832,0,0,58951357673,624052182,124886,1620,326,368,391948,0 104,2,2024-09-07 08:23:41:703,87379,87379,0,0,4952927,0,3941 104,3,2024-09-07 08:23:41:452,1,72,6,1,1245,3845,72,0 105,0,2024-09-07 08:23:41:103,16002,1.6,15425,1.7,32097,2.1,43092,3.50 105,1,2024-09-07 08:23:40:558,125917,125917,0,0,58530188467,621897071,122968,2203,746,367,391797,0 105,2,2024-09-07 08:23:41:330,92074,92074,0,0,4709486,0,3314 105,3,2024-09-07 08:23:41:305,1,72,12,1,399,1614,72,0 106,0,2024-09-07 08:23:41:003,13801,0.3,14190,0.6,28843,0.2,37835,1.75 106,1,2024-09-07 08:23:41:754,126409,126409,0,0,58742657732,621696317,124005,2077,327,370,391767,0 106,2,2024-09-07 08:23:40:782,91718,91718,0,0,4755248,0,2795 106,3,2024-09-07 08:23:40:698,1,72,1,1,201,894,72,0 107,0,2024-09-07 08:23:41:156,19346,0.6,19339,0.7,38349,0.5,51651,1.75 107,1,2024-09-07 08:23:40:658,125591,125591,0,0,59155295157,627641579,123655,1746,190,382,392234,0 107,2,2024-09-07 08:23:41:327,91052,91051,1,0,4558722,0,5024 107,3,2024-09-07 08:23:41:764,1,72,6,0,353,1294,72,0 108,0,2024-09-07 08:23:41:817,19902,0.7,20155,0.8,39923,0.7,53004,1.75 108,1,2024-09-07 08:23:41:295,126206,126206,0,0,59397132884,623752514,124767,1305,134,371,391857,0 108,2,2024-09-07 08:23:41:769,86715,86715,0,0,4191467,0,2647 108,3,2024-09-07 08:23:41:340,1,72,0,0,73,953,72,0 109,0,2024-09-07 08:23:41:846,18409,0.9,18541,0.9,36482,1.0,49037,2.00 109,1,2024-09-07 08:23:40:607,125732,125732,0,0,59915244139,632941701,124117,1232,383,383,391664,0 109,2,2024-09-07 08:23:40:948,91693,91693,0,0,4652719,0,3617 109,3,2024-09-07 08:23:41:157,1,72,10,1,249,1242,72,0 110,0,2024-09-07 08:23:41:791,12912,0.2,12557,0.4,26203,0.2,33937,1.50 110,1,2024-09-07 08:23:41:643,126428,126428,0,0,59250326545,618662306,125109,1033,286,370,391588,0 110,2,2024-09-07 08:23:41:307,93316,93316,0,0,3930118,0,2915 110,3,2024-09-07 08:23:40:690,1,72,1,0,183,706,72,0 111,0,2024-09-07 08:23:41:461,17185,0.2,17003,0.4,34061,0.1,46220,1.50 111,1,2024-09-07 08:23:41:006,126936,126936,0,0,60193921405,624014939,126291,632,13,382,391690,0 111,2,2024-09-07 08:23:41:116,91087,91087,0,0,4104905,0,2763 111,3,2024-09-07 08:23:40:913,1,72,2,0,300,1385,72,0 112,0,2024-09-07 08:23:40:919,20953,0.5,20942,0.6,41592,0.4,55312,1.75 112,1,2024-09-07 08:23:40:833,126328,126328,0,0,59501807545,623160865,124818,1164,346,381,391580,0 112,2,2024-09-07 08:23:41:133,88333,88332,1,0,4414263,0,5036 112,3,2024-09-07 08:23:40:644,1,72,1,0,282,844,72,0 113,0,2024-09-07 08:23:40:889,20222,0.6,20031,0.7,40750,0.6,53907,1.75 113,1,2024-09-07 08:23:41:697,126641,126641,0,0,59471264939,620948430,124753,1504,384,368,391661,0 113,2,2024-09-07 08:23:41:307,92584,92584,0,0,3681087,0,3021 113,3,2024-09-07 08:23:40:684,1,72,1,0,288,1121,72,0 114,0,2024-09-07 08:23:40:892,14777,3.5,14956,1.8,29354,0.9,39631,2.00 114,1,2024-09-07 08:23:40:730,126276,126276,0,0,59228424114,625580198,123669,1726,881,381,391519,0 114,2,2024-09-07 08:23:40:878,92008,92008,0,0,4267170,0,3925 114,3,2024-09-07 08:23:41:294,1,72,1,0,159,753,72,0 115,0,2024-09-07 08:23:40:563,16200,0.2,16263,0.3,32457,0.1,43554,1.50 115,1,2024-09-07 08:23:40:576,126367,126367,0,0,59439763451,625001563,123994,1886,487,383,391510,0 115,2,2024-09-07 08:23:41:124,90962,90962,0,0,3624318,0,2152 115,3,2024-09-07 08:23:41:002,1,72,6,0,159,476,72,0 116,0,2024-09-07 08:23:41:721,21659,0.9,21288,0.9,43082,1.2,57314,2.00 116,1,2024-09-07 08:23:40:803,125678,125678,0,0,59554541501,633132400,123799,1008,871,382,391605,0 116,2,2024-09-07 08:23:41:769,90744,90744,0,0,4839523,0,3529 116,3,2024-09-07 08:23:40:925,1,72,3,1,252,1275,72,0 117,0,2024-09-07 08:23:41:005,21565,2.3,21604,1.5,43007,3.6,58188,2.25 117,1,2024-09-07 08:23:41:601,126633,126633,0,0,58375368498,618601671,124318,2022,293,370,392033,0 117,2,2024-09-07 08:23:41:125,90333,90333,0,0,4237438,0,3700 117,3,2024-09-07 08:23:41:066,1,72,2,0,490,1800,72,0 118,0,2024-09-07 08:23:41:800,14910,0.8,15218,0.9,31236,0.9,40947,2.00 118,1,2024-09-07 08:23:40:612,126497,126497,0,0,58690056170,617487521,125257,1162,78,368,391736,0 118,2,2024-09-07 08:23:41:594,91797,91797,0,0,4660800,0,2781 118,3,2024-09-07 08:23:41:766,1,72,33,1,235,1220,72,0 119,0,2024-09-07 08:23:41:431,15223,0.3,15267,0.5,31258,0.2,41604,1.75 119,1,2024-09-07 08:23:40:562,126265,126265,0,0,59102472928,621754548,124650,1466,149,370,391617,0 119,2,2024-09-07 08:23:41:287,91277,91277,0,0,4404419,0,2532 119,3,2024-09-07 08:23:41:407,1,72,0,0,443,2130,72,0 120,0,2024-09-07 08:23:41:693,19729,0.6,19806,0.8,39413,0.5,52912,2.00 120,1,2024-09-07 08:23:40:861,125974,125974,0,0,58940944926,622093831,124448,1510,16,368,391702,0 120,2,2024-09-07 08:23:40:776,91005,91004,1,0,5415806,0,5281 120,3,2024-09-07 08:23:41:295,1,72,10,1,241,1372,72,0 121,0,2024-09-07 08:23:41:715,20684,2.6,20897,1.6,41843,3.8,56550,2.50 121,1,2024-09-07 08:23:41:665,126165,126165,0,0,58975787450,621402056,124291,1605,269,368,391807,0 121,2,2024-09-07 08:23:41:198,86534,86534,0,0,5699042,0,4127 121,3,2024-09-07 08:23:40:736,1,72,1,0,113,855,72,0 122,0,2024-09-07 08:23:41:854,17513,2.4,16897,2.0,35201,2.7,47141,2.50 122,1,2024-09-07 08:23:40:873,125201,125201,0,0,58496261611,622604532,122193,2484,524,368,392130,0 122,2,2024-09-07 08:23:41:413,92409,92409,0,0,5799088,0,3364 122,3,2024-09-07 08:23:40:613,1,72,3,1,298,1776,72,0 123,0,2024-09-07 08:23:41:008,13717,0.3,13350,0.5,27741,0.2,36487,1.75 123,1,2024-09-07 08:23:40:613,126356,126356,0,0,59121754528,630904030,122894,2894,568,369,391823,0 123,2,2024-09-07 08:23:41:019,92493,92492,1,0,4799605,0,5215 123,3,2024-09-07 08:23:41:159,1,72,2,1,160,956,72,0 124,0,2024-09-07 08:23:40:944,18556,0.3,18476,0.5,35085,0.2,48560,1.50 124,1,2024-09-07 08:23:41:055,126630,126630,0,0,58712869218,610752937,125831,655,144,367,392178,0 124,2,2024-09-07 08:23:41:027,90783,90783,0,0,3689933,0,2477 124,3,2024-09-07 08:23:40:764,1,72,46,1,490,1607,72,0 125,0,2024-09-07 08:23:41:487,20184,0.7,20242,0.7,40407,0.8,53240,2.00 125,1,2024-09-07 08:23:40:858,126362,126362,0,0,59174165391,622076906,124628,1462,272,384,391702,0 125,2,2024-09-07 08:23:41:119,87234,87234,0,0,3976210,0,2180 125,3,2024-09-07 08:23:41:128,1,72,1,1,93,972,72,0 126,0,2024-09-07 08:23:41:444,19833,1.3,20337,1.0,38947,2.1,52446,2.00 126,1,2024-09-07 08:23:40:560,127098,127098,0,0,59642341070,621100249,126051,993,54,365,391987,0 126,2,2024-09-07 08:23:40:656,93096,93096,0,0,4377272,0,3186 126,3,2024-09-07 08:23:40:913,1,72,22,0,122,1039,72,0 127,0,2024-09-07 08:23:41:706,12986,0.2,12924,0.4,25890,0.2,33721,1.50 127,1,2024-09-07 08:23:40:640,126386,126386,0,0,58677471223,610800484,125249,1114,23,365,391614,0 127,2,2024-09-07 08:23:40:644,92772,92772,0,0,3578864,0,1803 127,3,2024-09-07 08:23:41:271,1,72,12,0,99,615,72,0 128,0,2024-09-07 08:23:41:578,16482,0.2,16521,0.4,32471,0.2,43976,1.50 128,1,2024-09-07 08:23:41:648,126478,126478,0,0,59726429461,620709516,125759,649,70,367,391605,0 128,2,2024-09-07 08:23:41:392,90044,90044,0,0,4370799,0,2107 128,3,2024-09-07 08:23:40:773,1,72,9,1,112,883,72,0 129,0,2024-09-07 08:23:41:013,21516,0.4,21477,0.6,42820,0.4,56592,1.75 129,1,2024-09-07 08:23:40:594,126212,126212,0,0,58810195636,619510635,124391,1547,274,379,391835,0 129,2,2024-09-07 08:23:40:687,89149,89149,0,0,3781335,0,2446 129,3,2024-09-07 08:23:40:695,1,72,9,1,173,1121,72,0 130,0,2024-09-07 08:23:41:754,21660,1.4,21544,1.1,43207,2.1,57939,2.25 130,1,2024-09-07 08:23:40:598,126339,126339,0,0,58927491030,617976895,125026,1280,33,381,391609,0 130,2,2024-09-07 08:23:41:181,91292,91292,0,0,4448435,0,4067 130,3,2024-09-07 08:23:41:294,1,72,1,1,207,631,72,0 131,0,2024-09-07 08:23:42:010,14960,1.0,14866,1.0,30096,1.4,40335,1.75 131,1,2024-09-07 08:23:41:842,127088,127088,0,0,58993312549,617110305,126068,834,186,384,391865,0 131,2,2024-09-07 08:23:40:614,91765,91765,0,0,3679166,0,2415 131,3,2024-09-07 08:23:41:699,1,72,5,0,392,1114,72,0 132,0,2024-09-07 08:23:41:513,15936,0.3,16087,0.6,32029,0.3,42626,1.75 132,1,2024-09-07 08:23:40:603,125527,125527,0,0,58877442860,625607851,122940,1881,706,382,391719,0 132,2,2024-09-07 08:23:40:704,90472,90472,0,0,6041223,0,4606 132,3,2024-09-07 08:23:41:692,1,72,1,1,356,1018,72,0 133,0,2024-09-07 08:23:41:592,20997,0.5,21348,0.7,43864,0.5,57527,1.75 133,1,2024-09-07 08:23:40:664,125103,125103,0,0,58711550947,624042632,122891,2117,95,383,391755,0 133,2,2024-09-07 08:23:41:118,91721,91721,0,0,5210454,0,2444 133,3,2024-09-07 08:23:41:301,1,72,22,1,187,632,72,0 134,0,2024-09-07 08:23:40:973,21790,1.1,21730,1.1,43725,1.2,58312,2.25 134,1,2024-09-07 08:23:40:599,126381,126381,0,0,58812103614,622993313,123746,1654,981,366,391718,0 134,2,2024-09-07 08:23:41:773,88184,88184,0,0,3846511,0,2026 134,3,2024-09-07 08:23:40:762,1,72,15,1,739,1952,72,0 135,0,2024-09-07 08:23:41:168,15732,1.8,15780,1.7,33260,2.0,42960,2.50 135,1,2024-09-07 08:23:41:648,125851,125851,0,0,59523025215,628083869,123904,1620,327,380,391703,0 135,2,2024-09-07 08:23:40:687,92512,92512,0,0,4833216,0,3981 135,3,2024-09-07 08:23:41:005,1,72,2,0,68,480,72,0 136,0,2024-09-07 08:23:41:678,14340,0.4,14298,0.6,28506,0.2,38078,2.00 136,1,2024-09-07 08:23:41:453,126309,126309,0,0,58722158585,619781604,124406,1756,147,384,391621,0 136,2,2024-09-07 08:23:41:156,93159,93159,0,0,4309594,0,2379 136,3,2024-09-07 08:23:41:110,1,72,3,1,108,846,72,0 137,0,2024-09-07 08:23:40:953,19848,0.8,19233,0.9,38095,0.9,51756,2.00 137,1,2024-09-07 08:23:40:594,126115,126115,0,0,59237154474,623853016,124144,1858,113,368,391608,0 137,2,2024-09-07 08:23:41:728,90858,90858,0,0,5289474,0,2632 137,3,2024-09-07 08:23:40:780,1,72,3,1,227,1051,72,0 138,0,2024-09-07 08:23:41:781,19364,2.9,19542,1.7,39517,3.9,52845,3.00 138,1,2024-09-07 08:23:41:707,125933,125933,0,0,59641100706,629715432,123603,2066,264,370,391954,0 138,2,2024-09-07 08:23:40:604,87410,87410,0,0,4384805,0,3263 138,3,2024-09-07 08:23:40:636,1,72,3,1,1160,1931,72,0 139,0,2024-09-07 08:23:41:452,17804,4.6,17790,2.5,35969,5.6,48307,4.00 139,1,2024-09-07 08:23:40:597,125607,125607,0,0,58309030686,625164595,122718,2160,729,381,391892,0 139,2,2024-09-07 08:23:40:715,92056,92056,0,0,5824232,0,3097 139,3,2024-09-07 08:23:41:671,1,72,4,0,244,1337,72,0 140,0,2024-09-07 08:23:41:606,12820,0.3,12772,0.5,25737,0.2,33983,1.50 140,1,2024-09-07 08:23:41:543,127112,127112,0,0,59078554188,612693978,126184,731,197,365,391497,0 140,2,2024-09-07 08:23:40:690,93437,93437,0,0,4502609,0,3388 140,3,2024-09-07 08:23:40:774,1,72,19,0,25,566,72,0 141,0,2024-09-07 08:23:41:713,16856,0.2,17477,0.4,33837,0.1,46121,1.50 141,1,2024-09-07 08:23:40:861,126853,126853,0,0,59805600370,624477897,125434,1088,331,382,391538,0 141,2,2024-09-07 08:23:41:689,91146,91146,0,0,3980006,0,2342 141,3,2024-09-07 08:23:41:044,1,72,1,0,147,552,72,0 142,0,2024-09-07 08:23:41:374,21180,0.4,20899,0.6,41542,0.4,55360,1.75 142,1,2024-09-07 08:23:40:659,127189,127189,0,0,59298419999,618634615,126640,534,15,384,391649,0 142,2,2024-09-07 08:23:41:302,87763,87763,0,0,4232191,0,2293 142,3,2024-09-07 08:23:41:751,1,72,3,0,484,1321,72,0 143,0,2024-09-07 08:23:41:495,20263,1.2,20275,1.0,40844,1.5,53752,2.00 143,1,2024-09-07 08:23:40:557,126621,126621,0,0,58922916161,613119003,125643,951,27,367,391608,0 143,2,2024-09-07 08:23:40:769,92208,92208,0,0,4712988,0,2669 143,3,2024-09-07 08:23:41:145,1,72,1,0,236,1097,72,0 144,0,2024-09-07 08:23:41:630,14090,1.3,14501,5.9,29299,1.0,39267,2.25 144,1,2024-09-07 08:23:40:579,126078,126078,0,0,58844675711,620514943,124505,1381,192,381,391638,0 144,2,2024-09-07 08:23:41:770,92668,92668,0,0,4022212,0,3473 144,3,2024-09-07 08:23:41:745,1,72,6,1,169,1231,72,0 145,0,2024-09-07 08:23:41:437,15595,0.4,15625,0.6,33067,0.3,43414,1.75 145,1,2024-09-07 08:23:40:563,125633,125633,0,0,58774722218,621440216,123708,1661,264,383,391615,0 145,2,2024-09-07 08:23:41:448,90572,90572,0,0,4997678,0,3903 145,3,2024-09-07 08:23:40:928,1,72,13,0,151,989,72,0 146,0,2024-09-07 08:23:41:673,21466,0.7,21506,0.9,43164,0.8,56886,2.25 146,1,2024-09-07 08:23:41:641,126694,126694,0,0,59026821040,623732698,124400,1993,301,368,391600,0 146,2,2024-09-07 08:23:41:699,89876,89876,0,0,4612200,0,2379 146,3,2024-09-07 08:23:41:276,1,72,1,0,1520,3013,72,0 147,0,2024-09-07 08:23:41:779,21436,2.9,21233,1.7,42214,4.2,58125,3.25 147,1,2024-09-07 08:23:41:390,126575,126575,0,0,59755992712,623965878,124861,1360,354,368,391791,0 147,2,2024-09-07 08:23:41:020,90501,90501,0,0,4345502,0,2789 147,3,2024-09-07 08:23:40:936,1,72,2,1,141,791,72,0 0,0,2024-09-07 08:23:51:746,19728,0.7,19635,0.8,41617,0.8,54353,1.75 0,1,2024-09-07 08:23:50:823,128295,128295,0,0,60112665832,632828682,127070,1098,127,372,391673,0 0,2,2024-09-07 08:23:51:072,92503,92503,0,0,4525980,0,4480 0,3,2024-09-07 08:23:50:976,1,73,15,0,247,1117,73,0 1,0,2024-09-07 08:23:51:783,21123,2.9,20994,1.9,42384,4.5,57271,3.00 1,1,2024-09-07 08:23:50:568,127519,127519,0,0,59231603140,624455057,125828,1162,529,372,391857,0 1,2,2024-09-07 08:23:50:652,88419,88419,0,0,4100906,0,3267 1,3,2024-09-07 08:23:51:313,1,73,19,0,167,743,73,0 2,0,2024-09-07 08:23:51:619,17461,1.4,17577,1.4,34921,2.9,46743,2.25 2,1,2024-09-07 08:23:50:861,128238,128238,0,0,60343455176,630125174,127157,877,204,381,391558,0 2,2,2024-09-07 08:23:51:266,93209,93209,0,0,4284489,0,3304 2,3,2024-09-07 08:23:50:690,1,73,1,0,214,807,73,0 3,0,2024-09-07 08:23:51:752,14069,0.3,14037,0.5,28106,0.2,37193,1.75 3,1,2024-09-07 08:23:51:622,127643,127643,0,0,59984026728,629461484,125664,1593,386,382,391516,0 3,2,2024-09-07 08:23:51:142,94171,94148,23,0,4776038,0,5851 3,3,2024-09-07 08:23:51:752,1,73,5,0,103,568,73,0 4,0,2024-09-07 08:23:51:869,17920,0.3,18365,0.5,37213,0.2,49431,1.50 4,1,2024-09-07 08:23:50:592,127877,127877,0,0,59584359476,631444136,125984,1475,418,371,391846,0 4,2,2024-09-07 08:23:51:020,92109,92109,0,0,5401052,0,4528 4,3,2024-09-07 08:23:51:037,1,73,1,1,287,1338,73,0 5,0,2024-09-07 08:23:51:424,20340,0.8,20107,0.8,40670,1.0,53626,2.00 5,1,2024-09-07 08:23:50:759,127786,127786,0,0,59686254510,631545637,125576,1769,441,368,392005,0 5,2,2024-09-07 08:23:51:830,87847,87847,0,0,4279376,0,2259 5,3,2024-09-07 08:23:51:755,1,73,1,0,238,1476,73,0 6,0,2024-09-07 08:23:50:925,19911,1.2,19625,1.3,39188,1.7,52464,2.75 6,1,2024-09-07 08:23:50:752,128424,128424,0,0,60128933127,628683387,127302,1053,69,381,391603,0 6,2,2024-09-07 08:23:51:116,94367,94367,0,0,4602697,0,2411 6,3,2024-09-07 08:23:51:274,1,73,0,0,340,1451,73,0 7,0,2024-09-07 08:23:51:544,12766,0.3,12775,0.5,25746,0.2,33926,1.75 7,1,2024-09-07 08:23:50:860,128281,128281,0,0,60097906798,629319524,127301,916,64,383,391664,0 7,2,2024-09-07 08:23:50:778,93661,93661,0,0,4339461,0,2981 7,3,2024-09-07 08:23:50:856,1,73,0,0,83,656,73,0 8,0,2024-09-07 08:23:51:411,16897,0.3,16853,0.5,33286,0.2,45271,1.50 8,1,2024-09-07 08:23:51:025,127841,127841,0,0,59691313428,638806213,124360,2228,1253,368,391724,0 8,2,2024-09-07 08:23:50:822,90986,90986,0,0,5372285,0,2986 8,3,2024-09-07 08:23:50:604,1,73,1,0,229,1071,73,0 9,0,2024-09-07 08:23:51:152,21435,0.4,20865,0.6,43681,0.4,56726,1.75 9,1,2024-09-07 08:23:50:553,128230,128230,0,0,60704880793,643603942,125786,1876,568,370,391738,0 9,2,2024-09-07 08:23:51:094,90032,90032,0,0,4893793,0,3360 9,3,2024-09-07 08:23:51:752,1,73,1,0,149,1035,73,0 10,0,2024-09-07 08:23:51:619,21605,0.8,21651,0.9,43239,0.8,57706,2.25 10,1,2024-09-07 08:23:50:583,127361,127361,0,0,59530983623,633192125,124025,2814,522,381,391541,0 10,2,2024-09-07 08:23:50:762,92608,92608,0,0,5030194,0,2940 10,3,2024-09-07 08:23:50:873,1,73,0,0,136,600,73,0 11,0,2024-09-07 08:23:51:018,14816,1.3,14477,1.4,30285,4.6,40927,2.25 11,1,2024-09-07 08:23:50:572,127715,127715,0,0,59442310971,629625541,125074,1826,815,384,391537,0 11,2,2024-09-07 08:23:51:126,93134,93134,0,0,4762105,0,2635 11,3,2024-09-07 08:23:51:297,1,73,0,0,720,2248,73,0 12,0,2024-09-07 08:23:51:075,16461,0.3,16489,0.5,32779,0.2,43605,1.50 12,1,2024-09-07 08:23:50:947,127525,127525,0,0,58965914940,620755456,125866,1559,100,371,391790,0 12,2,2024-09-07 08:23:51:544,92796,92796,0,0,4332271,0,2254 12,3,2024-09-07 08:23:51:066,1,73,2,0,358,1427,73,0 13,0,2024-09-07 08:23:51:391,21765,0.4,21974,0.6,43709,0.4,58259,1.75 13,1,2024-09-07 08:23:51:537,127913,127913,0,0,59755447467,631223862,126321,1225,367,384,391717,0 13,2,2024-09-07 08:23:50:603,92479,92479,0,0,4010110,0,3287 13,3,2024-09-07 08:23:51:772,1,73,1,1,373,1238,73,0 14,0,2024-09-07 08:23:50:590,22098,0.9,22150,1.0,43982,1.1,58889,2.00 14,1,2024-09-07 08:23:51:562,129166,129166,0,0,59783946847,621134171,128284,851,31,365,391546,0 14,2,2024-09-07 08:23:50:790,89622,89622,0,0,4489915,0,2793 14,3,2024-09-07 08:23:51:115,1,73,1,0,906,1280,73,0 15,0,2024-09-07 08:23:51:569,16295,1.7,16110,1.5,32408,3.5,43543,3.00 15,1,2024-09-07 08:23:51:608,127673,127673,0,0,59990843882,625670421,126871,767,35,381,391536,0 15,2,2024-09-07 08:23:50:998,94170,94170,0,0,3608969,0,3043 15,3,2024-09-07 08:23:51:418,1,73,1,0,538,1852,73,0 16,0,2024-09-07 08:23:50:953,14521,0.3,14676,0.6,29007,0.2,39147,2.00 16,1,2024-09-07 08:23:50:570,127656,127656,0,0,59544435539,625558050,126524,1104,28,372,391666,0 16,2,2024-09-07 08:23:51:434,92767,92767,0,0,4901566,0,4719 16,3,2024-09-07 08:23:51:142,1,73,11,1,231,1338,73,0 17,0,2024-09-07 08:23:51:781,20145,0.5,19692,0.7,38614,0.5,52340,2.00 17,1,2024-09-07 08:23:50:571,127882,127882,0,0,59429365905,629375799,126003,1356,523,369,391688,0 17,2,2024-09-07 08:23:51:665,93668,93668,0,0,3793331,0,2857 17,3,2024-09-07 08:23:50:574,1,73,1,0,268,1447,73,0 18,0,2024-09-07 08:23:50:959,19718,1.6,19920,1.3,39934,1.9,53256,2.50 18,1,2024-09-07 08:23:51:639,128108,128108,0,0,59938628183,625505304,126859,1031,218,368,391555,0 18,2,2024-09-07 08:23:51:756,87931,87931,0,0,4589533,0,3541 18,3,2024-09-07 08:23:50:896,1,73,1,0,163,949,73,0 19,0,2024-09-07 08:23:51:560,18116,1.7,18229,1.5,36065,1.8,47922,5.00 19,1,2024-09-07 08:23:50:566,128426,128426,0,0,60156201470,630875340,126561,1702,163,367,391696,0 19,2,2024-09-07 08:23:51:752,94404,94404,0,0,4258595,0,3988 19,3,2024-09-07 08:23:51:137,1,73,1,0,524,1165,73,0 20,0,2024-09-07 08:23:51:394,13186,0.3,13170,0.5,26057,0.2,34612,1.75 20,1,2024-09-07 08:23:50:580,128074,128074,0,0,60281437967,634927750,126378,1534,162,370,391598,0 20,2,2024-09-07 08:23:50:928,94097,94097,0,0,4283478,0,2446 20,3,2024-09-07 08:23:50:589,1,73,0,0,99,910,73,0 21,0,2024-09-07 08:23:51:136,17811,0.4,17713,0.6,35198,0.3,47168,1.75 21,1,2024-09-07 08:23:51:537,127575,127575,0,0,59706437107,633073958,125242,1839,494,368,391962,0 21,2,2024-09-07 08:23:51:067,92121,92121,0,0,4495836,0,3747 21,3,2024-09-07 08:23:51:416,1,73,1,0,93,1058,73,0 22,0,2024-09-07 08:23:51:729,20599,2.1,20578,1.4,41054,3.9,54746,3.00 22,1,2024-09-07 08:23:51:031,127635,127635,0,0,59430323724,631647764,124494,2415,726,382,391667,0 22,2,2024-09-07 08:23:50:763,88812,88812,0,0,3915299,0,3134 22,3,2024-09-07 08:23:51:085,1,73,1,0,62,424,73,0 23,0,2024-09-07 08:23:51:380,20219,1.3,20394,1.1,40720,1.9,54059,2.50 23,1,2024-09-07 08:23:51:014,128435,128435,0,0,60201702975,627378685,127086,1095,254,367,391496,0 23,2,2024-09-07 08:23:51:099,93494,93494,0,0,4191518,0,2078 23,3,2024-09-07 08:23:51:755,1,73,26,0,645,1338,73,0 24,0,2024-09-07 08:23:50:873,15077,0.6,15023,0.7,30038,0.6,39580,1.75 24,1,2024-09-07 08:23:50:581,128317,128317,0,0,59980682113,626841694,127503,807,7,369,391640,0 24,2,2024-09-07 08:23:51:094,93080,93080,0,0,5454581,0,2942 24,3,2024-09-07 08:23:51:687,1,73,2,1,234,1095,73,0 25,0,2024-09-07 08:23:51:405,17121,0.3,16684,0.5,32624,0.2,44590,1.50 25,1,2024-09-07 08:23:50:575,127766,127766,0,0,59948777752,634088674,125613,1837,316,371,391788,0 25,2,2024-09-07 08:23:51:606,91360,91360,0,0,4910039,0,3284 25,3,2024-09-07 08:23:51:008,1,73,1,1,158,749,73,0 26,0,2024-09-07 08:23:51:730,21574,0.5,20980,0.6,43981,0.5,57512,1.75 26,1,2024-09-07 08:23:51:554,128126,128126,0,0,59822792192,636460387,124664,2627,835,381,391564,0 26,2,2024-09-07 08:23:50:862,91511,91511,0,0,4910022,0,2809 26,3,2024-09-07 08:23:51:715,1,73,0,0,796,1273,73,0 27,0,2024-09-07 08:23:51:733,21897,1.0,22060,0.9,43840,1.6,58752,2.00 27,1,2024-09-07 08:23:51:677,128868,128868,0,0,60926738073,635037293,127729,844,295,381,391539,0 27,2,2024-09-07 08:23:50:869,90370,90370,0,0,5857381,0,3409 27,3,2024-09-07 08:23:51:018,1,73,1,0,564,1225,73,0 28,0,2024-09-07 08:23:51:398,15485,0.6,15765,0.8,31327,0.8,41870,2.00 28,1,2024-09-07 08:23:50:808,128571,128571,0,0,60728027480,634672171,127512,859,200,383,391646,0 28,2,2024-09-07 08:23:51:765,93016,93016,0,0,3836228,0,2609 28,3,2024-09-07 08:23:51:775,1,73,1,0,502,1213,73,0 29,0,2024-09-07 08:23:51:376,16151,0.3,15735,0.5,30889,0.2,42506,1.75 29,1,2024-09-07 08:23:51:562,129301,129301,0,0,60137051349,622743657,128558,571,172,369,391621,0 29,2,2024-09-07 08:23:50:860,93421,93421,0,0,3336937,0,2026 29,3,2024-09-07 08:23:50:995,1,73,12,0,105,672,73,0 30,0,2024-09-07 08:23:51:467,20235,0.5,19698,0.6,41281,0.4,54558,2.00 30,1,2024-09-07 08:23:50:580,128414,128414,0,0,60475926486,631047213,127439,910,65,382,391524,0 30,2,2024-09-07 08:23:51:275,93318,93318,0,0,3387146,0,2534 30,3,2024-09-07 08:23:50:584,1,73,6,0,195,635,73,0 31,0,2024-09-07 08:23:51:766,21150,1.4,21075,1.1,42689,2.0,56996,2.50 31,1,2024-09-07 08:23:50:565,128806,128806,0,0,60423236004,620272535,128557,247,2,356,391553,0 31,2,2024-09-07 08:23:51:275,88991,88991,0,0,4764454,0,3525 31,3,2024-09-07 08:23:51:711,1,73,1,0,129,553,73,0 32,0,2024-09-07 08:23:51:430,17744,1.5,17825,1.1,35803,2.8,47403,1.75 32,1,2024-09-07 08:23:50:823,128242,128242,0,0,59995754681,625633201,127239,974,29,382,391595,0 32,2,2024-09-07 08:23:50:945,94080,94080,0,0,4013276,0,3155 32,3,2024-09-07 08:23:51:019,1,73,4,0,110,642,73,0 33,0,2024-09-07 08:23:51:506,14102,0.2,13900,0.4,28216,0.1,37174,1.50 33,1,2024-09-07 08:23:50:578,128578,128578,0,0,60363158889,629416882,126917,1538,123,369,391526,0 33,2,2024-09-07 08:23:50:764,93925,93892,33,0,5560815,0,7012 33,3,2024-09-07 08:23:50:895,1,73,1,0,129,506,73,0 34,0,2024-09-07 08:23:50:942,18426,0.2,18976,0.4,36583,0.1,49365,1.50 34,1,2024-09-07 08:23:51:051,128732,128732,0,0,60512133602,624962603,128138,590,4,367,391517,0 34,2,2024-09-07 08:23:50:766,91779,91779,0,0,4552844,0,3255 34,3,2024-09-07 08:23:51:692,1,73,10,0,148,689,73,0 35,0,2024-09-07 08:23:50:871,19980,0.9,20199,0.8,40344,1.2,53550,2.00 35,1,2024-09-07 08:23:51:067,128315,128315,0,0,60313310469,628743981,126847,1106,362,384,391587,0 35,2,2024-09-07 08:23:51:582,88115,88115,0,0,3934702,0,2542 35,3,2024-09-07 08:23:50:908,1,73,1,0,219,1047,73,0 36,0,2024-09-07 08:23:51:539,19805,1.8,19730,1.4,39838,2.5,52458,2.75 36,1,2024-09-07 08:23:50:586,128085,128085,0,0,59823234441,632153663,125074,2080,931,366,391535,0 36,2,2024-09-07 08:23:51:758,93883,93883,0,0,5164793,0,3303 36,3,2024-09-07 08:23:50:863,1,73,1,0,378,1093,73,0 37,0,2024-09-07 08:23:51:385,12908,0.3,12885,0.5,25898,0.2,34110,1.75 37,1,2024-09-07 08:23:50:639,127720,127713,0,7,59539085482,632986014,124874,1322,1517,365,391518,0 37,2,2024-09-07 08:23:51:143,93776,93776,0,0,3794901,0,2333 37,3,2024-09-07 08:23:51:766,1,73,0,0,724,1796,73,0 38,0,2024-09-07 08:23:51:447,16812,0.3,16289,0.5,33945,0.2,45256,1.75 38,1,2024-09-07 08:23:51:606,128400,128400,0,0,60086150048,631820086,126246,1707,447,370,391821,0 38,2,2024-09-07 08:23:50:762,92066,92066,0,0,4555095,0,3245 38,3,2024-09-07 08:23:51:008,1,73,1,0,603,1411,73,0 39,0,2024-09-07 08:23:51:786,22030,1.0,21636,0.9,41918,1.2,56859,2.00 39,1,2024-09-07 08:23:50:723,128327,128327,0,0,59705766797,629001168,125695,1994,638,366,391524,0 39,2,2024-09-07 08:23:51:424,90049,90049,0,0,4163534,0,2381 39,3,2024-09-07 08:23:50:724,1,73,1,0,276,1208,73,0 40,0,2024-09-07 08:23:51:503,21158,1.8,21563,2.1,42745,3.6,57212,3.75 40,1,2024-09-07 08:23:50:576,127800,127800,0,0,59212325026,627560991,124546,2501,753,369,391591,0 40,2,2024-09-07 08:23:51:306,92571,92570,1,0,5328872,0,5137 40,3,2024-09-07 08:23:51:142,1,73,1,0,110,794,73,0 41,0,2024-09-07 08:23:51:044,14642,0.6,14975,4.6,28645,0.8,39776,2.50 41,1,2024-09-07 08:23:50:792,127775,127775,0,0,59981967019,632718383,125352,2110,313,370,391742,0 41,2,2024-09-07 08:23:50:759,92933,92933,0,0,5546380,0,3356 41,3,2024-09-07 08:23:51:676,1,73,1,0,168,797,73,0 42,0,2024-09-07 08:23:51:491,16220,0.5,16369,0.7,32698,0.4,43646,2.00 42,1,2024-09-07 08:23:51:440,127554,127554,0,0,59528233930,632155544,124561,2163,830,381,391511,0 42,2,2024-09-07 08:23:51:139,91951,91951,0,0,4846454,0,3568 42,3,2024-09-07 08:23:51:008,1,73,1,0,100,676,73,0 43,0,2024-09-07 08:23:50:938,21709,1.1,21162,1.1,44164,1.5,58400,2.00 43,1,2024-09-07 08:23:50:576,127784,127784,0,0,59996599402,631364991,125518,1514,752,367,391604,0 43,2,2024-09-07 08:23:51:735,92727,92727,0,0,4929416,0,3812 43,3,2024-09-07 08:23:51:749,1,73,1,0,325,1705,73,0 44,0,2024-09-07 08:23:50:888,22144,0.8,22008,1.0,44100,0.9,59414,2.00 44,1,2024-09-07 08:23:50:564,128375,128375,0,0,59990384712,621712380,127357,911,107,356,391523,0 44,2,2024-09-07 08:23:51:268,89961,89961,0,0,3520776,0,1865 44,3,2024-09-07 08:23:51:098,1,73,1,0,817,1329,73,0 45,0,2024-09-07 08:23:51:762,16101,2.4,15766,1.6,32976,5.3,43927,2.00 45,1,2024-09-07 08:23:51:014,128451,128451,0,0,60338360729,627096178,127983,467,1,382,391917,0 45,2,2024-09-07 08:23:51:268,93939,93939,0,0,4164550,0,2628 45,3,2024-09-07 08:23:50:934,1,73,0,0,226,802,73,0 46,0,2024-09-07 08:23:50:970,14675,0.2,14655,0.4,29393,0.1,39157,1.50 46,1,2024-09-07 08:23:50:581,128559,128559,0,0,60423211318,626311223,127711,811,37,368,391514,0 46,2,2024-09-07 08:23:50:603,93575,93575,0,0,4078329,0,2920 46,3,2024-09-07 08:23:51:137,1,73,1,0,315,1058,73,0 47,0,2024-09-07 08:23:51:112,19549,0.3,19852,0.5,39602,0.2,52268,1.50 47,1,2024-09-07 08:23:50:569,128894,128894,0,0,60194648709,621989366,128386,504,4,366,391605,0 47,2,2024-09-07 08:23:50:909,93577,93577,0,0,4069519,0,2558 47,3,2024-09-07 08:23:51:115,1,73,1,0,529,1135,73,0 48,0,2024-09-07 08:23:51:510,20495,0.4,20228,0.5,40169,0.4,54089,1.75 48,1,2024-09-07 08:23:51:021,128407,128407,0,0,60224562016,628361307,127208,1193,6,384,391637,0 48,2,2024-09-07 08:23:50:711,88435,88435,0,0,3276625,0,2083 48,3,2024-09-07 08:23:50:757,1,73,7,0,30,625,73,0 49,0,2024-09-07 08:23:51:737,18872,1.3,18401,1.1,36147,2.3,49344,2.00 49,1,2024-09-07 08:23:51:022,127807,127807,0,0,59702912142,627032239,126070,1028,709,382,391583,0 49,2,2024-09-07 08:23:51:798,94068,94068,0,0,4442025,0,3900 49,3,2024-09-07 08:23:51:422,1,73,1,0,274,825,73,0 50,0,2024-09-07 08:23:51:516,13234,0.2,12926,0.4,26214,0.1,35044,1.50 50,1,2024-09-07 08:23:51:014,129013,129013,0,0,60387623230,629390299,127344,1372,297,368,391530,0 50,2,2024-09-07 08:23:51:067,94536,94536,0,0,3691178,0,2253 50,3,2024-09-07 08:23:51:293,1,73,1,0,335,879,73,0 51,0,2024-09-07 08:23:51:694,18103,0.2,17610,0.4,34647,0.1,47570,1.50 51,1,2024-09-07 08:23:51:689,128365,128365,0,0,61224568770,638885689,126566,1016,783,367,391637,0 51,2,2024-09-07 08:23:51:315,91920,91920,0,0,3162104,0,2448 51,3,2024-09-07 08:23:51:032,1,73,39,0,162,527,73,0 52,0,2024-09-07 08:23:51:424,20869,0.8,21055,0.9,42030,1.0,55278,2.25 52,1,2024-09-07 08:23:50:575,127766,127766,0,0,59590430594,629229384,125255,2047,464,368,391529,0 52,2,2024-09-07 08:23:51:755,88512,88512,0,0,4394491,0,4779 52,3,2024-09-07 08:23:50:675,1,73,2,0,1782,2439,73,0 53,0,2024-09-07 08:23:51:747,20153,2.1,19427,1.5,40392,3.1,53309,3.00 53,1,2024-09-07 08:23:50:778,127728,127728,0,0,60304457480,636541924,125106,2048,574,370,391617,0 53,2,2024-09-07 08:23:51:301,94574,94574,0,0,3990336,0,1902 53,3,2024-09-07 08:23:50:704,1,73,1,0,59,470,73,0 54,0,2024-09-07 08:23:51:622,14051,8.4,14273,2.5,28243,1.1,38439,4.75 54,1,2024-09-07 08:23:50:581,127852,127852,0,0,60145121481,630493108,125742,1794,316,367,391520,0 54,2,2024-09-07 08:23:50:869,93956,93950,6,0,4832898,0,5382 54,3,2024-09-07 08:23:50:766,1,73,1,0,676,1701,73,0 55,0,2024-09-07 08:23:51:789,16265,0.4,16687,0.6,33957,0.2,44200,2.00 55,1,2024-09-07 08:23:50:790,127619,127619,0,0,59266515625,626323897,124137,2780,702,367,391731,0 55,2,2024-09-07 08:23:50:729,91688,91688,0,0,4748948,0,3275 55,3,2024-09-07 08:23:50:674,1,73,1,0,136,851,73,0 56,0,2024-09-07 08:23:51:616,22195,2.0,20913,1.4,43125,2.4,57484,2.50 56,1,2024-09-07 08:23:50:575,127311,127311,0,0,60332132645,644825059,123917,2646,748,383,391678,0 56,2,2024-09-07 08:23:51:305,91685,91685,0,0,5217148,0,3567 56,3,2024-09-07 08:23:51:072,1,73,4,0,297,989,73,0 57,0,2024-09-07 08:23:51:016,21484,3.2,21180,1.9,42624,5.5,57486,4.50 57,1,2024-09-07 08:23:50:996,127361,127361,0,0,59605253281,626247248,125884,1457,20,368,391760,0 57,2,2024-09-07 08:23:51:339,91765,91765,0,0,5140783,0,3178 57,3,2024-09-07 08:23:51:738,1,73,13,1,359,1666,73,0 58,0,2024-09-07 08:23:50:571,14753,0.7,14446,1.0,30260,0.6,39976,2.75 58,1,2024-09-07 08:23:50:575,128768,128765,0,3,60551333576,632866237,127168,1437,160,369,391516,3 58,2,2024-09-07 08:23:51:071,93047,93047,0,0,4860320,0,2549 58,3,2024-09-07 08:23:51:073,1,73,13,1,219,870,73,0 59,0,2024-09-07 08:23:51:766,15913,0.6,15753,0.8,31690,0.5,42180,2.00 59,1,2024-09-07 08:23:50:812,127632,127632,0,0,59497512311,628379214,125383,1482,767,369,391515,0 59,2,2024-09-07 08:23:50:583,93060,93060,0,0,4306559,0,2604 59,3,2024-09-07 08:23:51:737,1,73,34,0,1015,1975,73,0 60,0,2024-09-07 08:23:51:735,20428,0.5,20539,0.6,40613,0.5,54636,1.75 60,1,2024-09-07 08:23:50:777,129035,129035,0,0,60354124159,629007312,127953,736,346,370,391758,0 60,2,2024-09-07 08:23:51:157,92739,92739,0,0,3860963,0,2142 60,3,2024-09-07 08:23:51:270,1,73,6,0,124,925,73,0 61,0,2024-09-07 08:23:51:559,21085,2.7,21174,1.6,42199,4.1,56983,2.25 61,1,2024-09-07 08:23:50:805,127898,127898,0,0,59774297272,632504600,125342,2021,535,383,391589,0 61,2,2024-09-07 08:23:51:116,89100,89100,0,0,3948131,0,1846 61,3,2024-09-07 08:23:51:687,1,73,1,0,199,1122,73,0 62,0,2024-09-07 08:23:51:724,17801,1.5,18219,1.2,34877,2.8,47315,2.25 62,1,2024-09-07 08:23:51:111,128760,128754,0,6,60152985610,624355694,127501,1216,37,365,391586,6 62,2,2024-09-07 08:23:51:646,93480,93480,0,0,4474847,0,2931 62,3,2024-09-07 08:23:51:149,1,73,2,0,287,665,73,0 63,0,2024-09-07 08:23:51:496,13958,0.3,13871,0.6,28094,0.2,37159,1.75 63,1,2024-09-07 08:23:50:816,129132,129127,0,5,60149675299,622905887,128720,404,3,381,391540,5 63,2,2024-09-07 08:23:50:766,94023,94023,0,0,4091479,0,2674 63,3,2024-09-07 08:23:51:736,1,73,1,0,667,1859,73,0 64,0,2024-09-07 08:23:51:543,18353,0.3,18239,0.5,36760,0.2,49356,1.75 64,1,2024-09-07 08:23:50:753,128468,128468,0,0,59907498998,633053607,126029,1645,794,371,391783,0 64,2,2024-09-07 08:23:51:155,92677,92658,19,0,4479772,0,6121 64,3,2024-09-07 08:23:51:141,1,73,4,1,265,1014,73,0 65,0,2024-09-07 08:23:51:710,19648,2.4,19982,1.6,39867,3.3,53035,3.75 65,1,2024-09-07 08:23:50:870,127906,127906,0,0,59355375638,624791715,126197,1490,219,382,391701,0 65,2,2024-09-07 08:23:51:693,88472,88472,0,0,4529242,0,3367 65,3,2024-09-07 08:23:51:687,1,73,1,0,163,849,73,0 66,0,2024-09-07 08:23:51:781,19310,0.8,19536,1.1,39126,0.8,51844,2.50 66,1,2024-09-07 08:23:51:294,129065,129065,0,0,60059166162,624056463,128374,670,21,380,391588,0 66,2,2024-09-07 08:23:51:134,94856,94856,0,0,4210675,0,3867 66,3,2024-09-07 08:23:51:089,1,73,6,0,291,934,73,0 67,0,2024-09-07 08:23:51:439,13046,0.5,13084,0.7,25801,0.3,34042,2.00 67,1,2024-09-07 08:23:50:767,127741,127740,0,1,59523438447,627361218,125720,1495,525,382,391787,1 67,2,2024-09-07 08:23:50:584,94700,94700,0,0,3899123,0,2889 67,3,2024-09-07 08:23:51:751,1,73,1,0,138,655,73,0 68,0,2024-09-07 08:23:50:605,16814,0.5,16784,0.7,33570,0.4,45102,2.00 68,1,2024-09-07 08:23:50:583,127501,127501,0,0,59071779609,622268417,126085,894,522,382,391953,0 68,2,2024-09-07 08:23:51:045,92010,92010,0,0,4074924,0,4883 68,3,2024-09-07 08:23:50:737,1,73,0,0,63,751,73,0 69,0,2024-09-07 08:23:51:780,21474,1.6,21412,1.2,42676,1.9,56879,2.75 69,1,2024-09-07 08:23:51:024,127691,127691,0,0,60562613489,643838198,125697,1129,865,384,391532,0 69,2,2024-09-07 08:23:51:748,90213,90213,0,0,4632878,0,3153 69,3,2024-09-07 08:23:50:789,1,73,1,0,238,1157,73,0 70,0,2024-09-07 08:23:51:544,21249,3.3,21264,2.4,42964,2.1,56913,4.50 70,1,2024-09-07 08:23:50:813,128568,128568,0,0,60616680313,629565406,127652,781,135,368,391527,0 70,2,2024-09-07 08:23:51:338,92656,92656,0,0,4578933,0,4044 70,3,2024-09-07 08:23:50:751,1,73,2,0,178,505,73,0 71,0,2024-09-07 08:23:51:388,14767,1.3,14752,3.0,29050,2.1,39974,4.00 71,1,2024-09-07 08:23:51:633,128318,128318,0,0,60717830171,637313446,126116,1886,316,368,391682,0 71,2,2024-09-07 08:23:51:070,93564,93564,0,0,4300913,0,2146 71,3,2024-09-07 08:23:51:751,1,73,10,0,219,1012,73,0 72,0,2024-09-07 08:23:51:066,16845,0.4,16314,0.6,32288,0.3,43791,2.25 72,1,2024-09-07 08:23:51:025,128677,128677,0,0,60069393307,628611881,127126,1473,78,370,391556,0 72,2,2024-09-07 08:23:51:765,91536,91536,0,0,5526729,0,2570 72,3,2024-09-07 08:23:51:766,1,73,1,0,325,1310,73,0 73,0,2024-09-07 08:23:51:105,21333,0.5,21915,0.7,44464,0.5,58037,2.25 73,1,2024-09-07 08:23:50:775,128246,128246,0,0,59932893162,625648836,127281,921,44,368,391627,0 73,2,2024-09-07 08:23:51:739,93307,93307,0,0,5057814,0,3482 73,3,2024-09-07 08:23:50:977,1,73,8,0,274,1374,73,0 74,0,2024-09-07 08:23:51:338,21993,1.6,22560,1.3,43164,2.2,58905,3.25 74,1,2024-09-07 08:23:50:637,128131,128131,0,0,59961987759,629783190,126231,1328,572,382,391511,0 74,2,2024-09-07 08:23:51:002,89762,89762,0,0,5295946,0,4253 74,3,2024-09-07 08:23:51:444,1,73,2,0,246,1448,73,0 75,0,2024-09-07 08:23:51:786,16265,2.5,16321,1.7,32633,4.7,43955,3.25 75,1,2024-09-07 08:23:51:589,128311,128311,0,0,59632915595,627364976,126611,1596,104,382,391579,0 75,2,2024-09-07 08:23:51:362,93222,93222,0,0,5169531,0,4766 75,3,2024-09-07 08:23:51:067,1,73,1,0,143,480,73,0 76,0,2024-09-07 08:23:50:621,14667,0.3,14512,0.5,29042,0.2,38984,2.00 76,1,2024-09-07 08:23:50:813,128078,128078,0,0,59742698003,629304509,126267,1305,506,382,391530,0 76,2,2024-09-07 08:23:51:064,93998,93998,0,0,4092845,0,3064 76,3,2024-09-07 08:23:51:142,1,73,1,0,175,1004,73,0 77,0,2024-09-07 08:23:51:703,19519,0.5,19751,0.7,39495,0.5,52179,1.75 77,1,2024-09-07 08:23:50:839,128049,128049,0,0,59688487522,624376766,127013,967,69,383,391516,0 77,2,2024-09-07 08:23:51:282,93166,93166,0,0,3501534,0,1695 77,3,2024-09-07 08:23:51:094,1,73,16,0,139,765,73,0 78,0,2024-09-07 08:23:51:736,20152,1.2,20014,1.1,40255,1.9,53642,2.50 78,1,2024-09-07 08:23:50:610,128628,128628,0,0,59363940156,620559031,127210,1213,205,367,391589,0 78,2,2024-09-07 08:23:51:406,88492,88492,0,0,3496233,0,2114 78,3,2024-09-07 08:23:51:136,1,73,10,0,181,1176,73,0 79,0,2024-09-07 08:23:51:368,17714,0.9,18135,1.1,37035,1.1,48377,3.00 79,1,2024-09-07 08:23:50:573,128600,128600,0,0,60148596067,627064889,127262,1269,69,370,391682,0 79,2,2024-09-07 08:23:51:080,94170,94170,0,0,4130586,0,2679 79,3,2024-09-07 08:23:50:748,1,73,4,0,289,874,73,0 80,0,2024-09-07 08:23:51:108,12962,0.4,13380,0.6,25941,0.2,34835,2.00 80,1,2024-09-07 08:23:51:631,127862,127862,0,0,60300402840,630037853,126274,1473,115,369,391673,0 80,2,2024-09-07 08:23:51:098,94357,94357,0,0,4305473,0,4433 80,3,2024-09-07 08:23:50:582,1,73,9,1,190,1235,73,0 81,0,2024-09-07 08:23:51:595,17730,0.4,18188,0.6,34774,0.3,47525,1.75 81,1,2024-09-07 08:23:51:661,128068,128068,0,0,59353559971,625138148,126181,1689,198,383,391680,0 81,2,2024-09-07 08:23:51:139,91737,91737,0,0,4549858,0,3993 81,3,2024-09-07 08:23:51:122,1,73,16,0,193,860,73,0 82,0,2024-09-07 08:23:51:538,20784,1.0,20830,1.1,41686,1.3,55337,2.25 82,1,2024-09-07 08:23:50:583,128094,128091,0,3,60190439829,631853181,126053,1466,572,382,391558,3 82,2,2024-09-07 08:23:51:691,89928,89928,0,0,3480054,0,2230 82,3,2024-09-07 08:23:51:752,1,73,1,0,227,1313,73,0 83,0,2024-09-07 08:23:51:548,20206,1.6,20145,1.4,40013,1.9,53098,3.00 83,1,2024-09-07 08:23:50:552,128021,128021,0,0,59353169784,622732067,126604,1317,100,383,391553,0 83,2,2024-09-07 08:23:50:766,93388,93388,0,0,4522710,0,3119 83,3,2024-09-07 08:23:50:756,1,73,1,0,91,600,73,0 84,0,2024-09-07 08:23:51:777,14556,4.1,14308,3.6,28719,0.8,39060,3.00 84,1,2024-09-07 08:23:51:040,127915,127915,0,0,60427262996,635819261,126301,1367,247,369,391638,0 84,2,2024-09-07 08:23:50:578,93358,93358,0,0,4635035,0,3801 84,3,2024-09-07 08:23:51:149,1,73,1,1,58,756,73,0 85,0,2024-09-07 08:23:51:090,16112,0.3,16096,0.6,34061,0.3,44328,1.75 85,1,2024-09-07 08:23:50:565,127558,127558,0,0,59950679413,636925488,125104,2026,428,384,391652,0 85,2,2024-09-07 08:23:50:864,91330,91330,0,0,4923087,0,3656 85,3,2024-09-07 08:23:50:691,1,73,2,0,115,762,73,0 86,0,2024-09-07 08:23:50:917,21603,0.8,22377,0.8,43029,1.0,57484,2.00 86,1,2024-09-07 08:23:50:849,127704,127704,0,0,60123473122,631556632,125909,1579,216,367,391622,0 86,2,2024-09-07 08:23:50:862,91342,91341,1,0,5142005,0,5004 86,3,2024-09-07 08:23:50:586,1,73,2,0,199,886,73,0 87,0,2024-09-07 08:23:51:306,21797,2.8,21630,1.7,43476,4.0,58612,3.25 87,1,2024-09-07 08:23:50:552,127934,127934,0,0,60117860312,632062098,126354,1459,121,368,391788,0 87,2,2024-09-07 08:23:51:079,91645,91645,0,0,3892516,0,2859 87,3,2024-09-07 08:23:51:802,1,73,3,1,322,1171,73,0 88,0,2024-09-07 08:23:51:505,15482,0.8,15711,0.9,31246,0.8,41927,2.00 88,1,2024-09-07 08:23:50:593,127467,127467,0,0,60562449692,635328517,126256,1114,97,367,391787,0 88,2,2024-09-07 08:23:50:695,93395,93395,0,0,5367977,0,3583 88,3,2024-09-07 08:23:51:270,1,73,9,1,77,901,73,0 89,0,2024-09-07 08:23:51:791,16329,0.4,15797,0.6,31359,0.3,42570,1.75 89,1,2024-09-07 08:23:50:556,127085,127085,0,0,60026250222,642451160,123764,2585,736,383,391866,0 89,2,2024-09-07 08:23:51:138,92723,92723,0,0,4912153,0,2726 89,3,2024-09-07 08:23:51:802,1,73,5,0,325,1902,73,0 90,0,2024-09-07 08:23:51:695,19748,0.5,20137,0.6,41467,0.5,54533,1.75 90,1,2024-09-07 08:23:50:605,127783,127783,0,0,59533972520,630533544,125732,1909,142,382,391825,0 90,2,2024-09-07 08:23:51:408,91878,91878,0,0,5557809,0,2635 90,3,2024-09-07 08:23:50:948,1,73,2,1,200,973,73,0 91,0,2024-09-07 08:23:51:004,21633,0.7,20879,0.8,43452,0.8,57340,2.00 91,1,2024-09-07 08:23:50:580,127655,127655,0,0,60129690284,637322340,125255,2036,364,383,391914,0 91,2,2024-09-07 08:23:51:335,88239,88239,0,0,4529114,0,1997 91,3,2024-09-07 08:23:50:618,1,73,19,1,155,1123,73,0 92,0,2024-09-07 08:23:51:476,17876,1.1,18395,1.1,35137,1.4,47398,1.75 92,1,2024-09-07 08:23:50:580,128235,128235,0,0,60019875910,627020915,127579,589,67,382,391717,0 92,2,2024-09-07 08:23:51:366,94914,94914,0,0,4386632,0,2279 92,3,2024-09-07 08:23:51:009,1,73,4,1,68,870,73,0 93,0,2024-09-07 08:23:51:016,14106,0.3,14331,0.5,27321,0.2,37200,1.50 93,1,2024-09-07 08:23:50:810,128331,128331,0,0,60320595470,633769754,126569,1326,436,367,391689,0 93,2,2024-09-07 08:23:50:928,94264,94264,0,0,4017594,0,2509 93,3,2024-09-07 08:23:51:411,1,73,0,0,143,684,73,0 94,0,2024-09-07 08:23:51:677,18462,0.3,18785,0.4,36825,0.2,49442,1.50 94,1,2024-09-07 08:23:50:563,127616,127616,0,0,59495218770,627301331,126032,1519,65,381,391850,0 94,2,2024-09-07 08:23:50:800,92206,92206,0,0,3997644,0,2443 94,3,2024-09-07 08:23:51:691,1,73,15,1,231,1274,73,0 95,0,2024-09-07 08:23:51:380,20240,0.5,20017,0.6,40747,0.5,53768,1.75 95,1,2024-09-07 08:23:50:865,128476,128476,0,0,60216418593,627626565,127677,735,64,367,391590,0 95,2,2024-09-07 08:23:51:087,88381,88381,0,0,4161118,0,3308 95,3,2024-09-07 08:23:51:715,1,73,16,0,307,1289,73,0 96,0,2024-09-07 08:23:51:037,19990,0.9,19826,0.9,39748,1.3,52331,1.75 96,1,2024-09-07 08:23:51:608,128240,128240,0,0,59946119723,632436879,126399,1270,571,385,391596,0 96,2,2024-09-07 08:23:51:294,94579,94579,0,0,4744104,0,4038 96,3,2024-09-07 08:23:51:141,1,73,0,0,36,687,73,0 97,0,2024-09-07 08:23:51:324,12932,0.3,12952,0.5,25955,0.3,34055,1.75 97,1,2024-09-07 08:23:50:791,128008,128008,0,0,60214867471,632140835,125817,1674,517,367,392140,0 97,2,2024-09-07 08:23:50:607,93644,93644,0,0,3959883,0,3036 97,3,2024-09-07 08:23:50:571,1,73,1,1,165,975,73,0 98,0,2024-09-07 08:23:51:815,16796,0.2,16631,0.4,33887,0.2,45301,1.50 98,1,2024-09-07 08:23:50:577,128284,128284,0,0,60222410334,630755878,127210,1029,45,382,391588,0 98,2,2024-09-07 08:23:50:778,92640,92640,0,0,4191012,0,3080 98,3,2024-09-07 08:23:50:712,1,73,1,1,155,988,73,0 99,0,2024-09-07 08:23:51:489,21529,0.4,21446,0.5,42900,0.4,56936,1.75 99,1,2024-09-07 08:23:51:727,128398,128398,0,0,59457087512,623560464,126917,1041,440,381,391744,0 99,2,2024-09-07 08:23:51:427,91127,91127,0,0,4169380,0,1858 99,3,2024-09-07 08:23:50:586,1,73,16,0,129,709,73,0 100,0,2024-09-07 08:23:51:498,21642,1.9,21446,2.3,42762,4.9,57741,2.75 100,1,2024-09-07 08:23:50:553,127317,127317,0,0,59086387250,631222559,124572,2400,345,381,391989,0 100,2,2024-09-07 08:23:51:819,92281,92270,11,0,5426328,0,5417 100,3,2024-09-07 08:23:51:733,1,73,2,1,443,1896,73,0 101,0,2024-09-07 08:23:51:744,15277,3.8,14838,1.9,29264,1.0,41013,2.75 101,1,2024-09-07 08:23:50:556,127633,127633,0,0,59698061795,629243282,126158,1026,449,369,391709,0 101,2,2024-09-07 08:23:51:757,93335,93335,0,0,4772430,0,4644 101,3,2024-09-07 08:23:50:945,1,73,2,0,448,1074,73,0 102,0,2024-09-07 08:23:50:978,15930,0.4,16556,0.6,33085,0.3,43656,1.75 102,1,2024-09-07 08:23:51:148,127728,127728,0,0,59589105041,632378324,125258,2002,468,369,391831,0 102,2,2024-09-07 08:23:51:739,92565,92565,0,0,3957253,0,1945 102,3,2024-09-07 08:23:51:616,1,73,27,0,410,875,73,0 103,0,2024-09-07 08:23:51:608,22398,0.5,22396,0.7,42376,0.5,58492,2.00 103,1,2024-09-07 08:23:51:651,127800,127800,0,0,60001719549,630863139,126574,1082,144,381,391680,0 103,2,2024-09-07 08:23:50:582,92435,92435,0,0,4252321,0,2104 103,3,2024-09-07 08:23:50:756,1,73,2,1,486,1105,73,0 104,0,2024-09-07 08:23:51:046,21948,2.0,21964,1.5,42875,2.5,58994,2.75 104,1,2024-09-07 08:23:51:603,128567,128567,0,0,59735678307,632051639,126621,1620,326,368,391948,0 104,2,2024-09-07 08:23:51:675,88909,88909,0,0,4990139,0,3941 104,3,2024-09-07 08:23:51:422,1,73,3,1,1245,3848,73,0 105,0,2024-09-07 08:23:51:062,16201,1.5,15599,1.7,32447,2.1,43676,3.50 105,1,2024-09-07 08:23:50:563,127654,127654,0,0,59292423637,629686983,124705,2203,746,367,391797,0 105,2,2024-09-07 08:23:51:355,93447,93447,0,0,4758544,0,3314 105,3,2024-09-07 08:23:51:310,1,73,3,1,399,1617,73,0 106,0,2024-09-07 08:23:50:988,14153,0.3,14519,0.6,29558,0.2,39089,1.75 106,1,2024-09-07 08:23:51:810,128152,128152,0,0,59670828360,631213507,125744,2081,327,370,391767,0 106,2,2024-09-07 08:23:50:765,92803,92803,0,0,4788780,0,2795 106,3,2024-09-07 08:23:50:683,1,73,8,1,201,902,73,0 107,0,2024-09-07 08:23:51:127,19706,0.6,19677,0.7,39040,0.5,52348,1.75 107,1,2024-09-07 08:23:50:588,127365,127365,0,0,59975720803,636042343,125429,1746,190,382,392234,0 107,2,2024-09-07 08:23:51:294,92396,92395,1,0,4586193,0,5024 107,3,2024-09-07 08:23:51:767,1,73,4,0,353,1298,73,0 108,0,2024-09-07 08:23:51:819,20190,0.7,20425,0.8,40423,0.7,53926,1.75 108,1,2024-09-07 08:23:51:312,127933,127933,0,0,60232886569,632367962,126493,1306,134,371,391857,0 108,2,2024-09-07 08:23:51:770,88033,88033,0,0,4243398,0,2647 108,3,2024-09-07 08:23:51:351,1,73,16,0,73,969,73,0 109,0,2024-09-07 08:23:51:775,18553,0.9,18680,0.9,36762,1.0,49499,2.00 109,1,2024-09-07 08:23:50:592,127465,127465,0,0,60801394803,642148014,125850,1232,383,383,391812,0 109,2,2024-09-07 08:23:50:925,92885,92885,0,0,4689883,0,3617 109,3,2024-09-07 08:23:51:140,1,73,42,1,249,1284,73,0 110,0,2024-09-07 08:23:51:819,13136,0.2,12788,0.4,26693,0.2,34822,1.50 110,1,2024-09-07 08:23:51:671,128287,128287,0,0,60058293839,626944472,126968,1033,286,370,391588,0 110,2,2024-09-07 08:23:51:323,94740,94740,0,0,3960341,0,2915 110,3,2024-09-07 08:23:50:694,1,73,18,0,183,724,73,0 111,0,2024-09-07 08:23:51:488,17650,0.2,17499,0.4,34996,0.1,47373,1.50 111,1,2024-09-07 08:23:51:003,128686,128686,0,0,60962412626,631935689,128041,632,13,382,391690,0 111,2,2024-09-07 08:23:51:117,92435,92435,0,0,4131838,0,2763 111,3,2024-09-07 08:23:50:913,1,73,1,0,300,1386,73,0 112,0,2024-09-07 08:23:50:932,21070,0.5,21068,0.6,41845,0.4,55643,1.75 112,1,2024-09-07 08:23:50:837,128084,128084,0,0,60264306042,630955771,126573,1165,346,381,391580,0 112,2,2024-09-07 08:23:51:140,89195,89194,1,0,4424900,0,5036 112,3,2024-09-07 08:23:50:595,1,73,3,0,282,847,73,0 113,0,2024-09-07 08:23:50:904,20319,0.6,20127,0.7,40991,0.6,54227,1.75 113,1,2024-09-07 08:23:51:685,128385,128385,0,0,60066559070,627022381,126494,1507,384,368,391661,0 113,2,2024-09-07 08:23:51:303,94084,94084,0,0,3694968,0,3021 113,3,2024-09-07 08:23:50:691,1,73,13,0,288,1134,73,0 114,0,2024-09-07 08:23:50:922,14869,3.5,15065,1.8,29573,0.9,39631,2.00 114,1,2024-09-07 08:23:50:717,128096,128096,0,0,60041580006,633880419,125489,1726,881,381,391531,0 114,2,2024-09-07 08:23:50:876,93535,93535,0,0,4281847,0,3925 114,3,2024-09-07 08:23:51:278,1,73,11,0,159,764,73,0 115,0,2024-09-07 08:23:50:573,16594,0.2,16680,0.3,33252,0.1,44466,1.50 115,1,2024-09-07 08:23:50:571,128083,128083,0,0,60257719850,633333093,125709,1887,487,383,391510,0 115,2,2024-09-07 08:23:51:126,92425,92425,0,0,3636808,0,2152 115,3,2024-09-07 08:23:51:007,1,73,0,0,159,476,73,0 116,0,2024-09-07 08:23:51:727,21776,0.9,21405,0.9,43317,1.2,57566,2.00 116,1,2024-09-07 08:23:50:817,127491,127491,0,0,60283049496,640624039,125611,1009,871,382,391605,0 116,2,2024-09-07 08:23:51:757,91516,91516,0,0,4846710,0,3529 116,3,2024-09-07 08:23:50:920,1,73,1,1,252,1276,73,0 117,0,2024-09-07 08:23:50:975,21818,2.3,21848,1.5,43513,3.5,58763,2.25 117,1,2024-09-07 08:23:51:578,128429,128429,0,0,58975526592,624758608,126113,2023,293,370,392033,0 117,2,2024-09-07 08:23:51:118,91857,91857,0,0,4252656,0,3700 117,3,2024-09-07 08:23:51:063,1,73,1,0,490,1801,73,0 118,0,2024-09-07 08:23:51:802,15158,0.8,15493,0.9,31817,0.8,41821,2.00 118,1,2024-09-07 08:23:50:588,128314,128314,0,0,59698498030,627723158,127074,1162,78,368,391736,0 118,2,2024-09-07 08:23:51:590,93342,93342,0,0,4675255,0,2781 118,3,2024-09-07 08:23:51:768,1,73,1,1,235,1221,73,0 119,0,2024-09-07 08:23:51:428,15573,0.3,15647,0.5,32060,0.2,42280,1.75 119,1,2024-09-07 08:23:50:574,128138,128138,0,0,60142399537,632315552,126522,1467,149,370,391641,0 119,2,2024-09-07 08:23:51:285,92313,92313,0,0,4413627,0,2532 119,3,2024-09-07 08:23:51:345,1,73,1,0,443,2131,73,0 120,0,2024-09-07 08:23:51:677,20167,0.6,20235,0.8,40231,0.5,54325,2.00 120,1,2024-09-07 08:23:50:862,127745,127745,0,0,59724618478,630106242,126219,1510,16,368,391702,0 120,2,2024-09-07 08:23:50:792,92244,92243,1,0,5446734,0,5281 120,3,2024-09-07 08:23:51:293,1,73,9,1,241,1381,73,0 121,0,2024-09-07 08:23:51:707,21024,2.5,21201,1.6,42529,3.8,57380,2.50 121,1,2024-09-07 08:23:51:656,127991,127991,0,0,59820444209,630105014,126115,1607,269,368,391840,0 121,2,2024-09-07 08:23:51:142,87907,87907,0,0,5749309,0,4127 121,3,2024-09-07 08:23:50:742,1,73,1,0,113,856,73,0 122,0,2024-09-07 08:23:51:777,17689,2.4,17064,2.0,35569,2.7,47538,2.50 122,1,2024-09-07 08:23:50:865,126967,126967,0,0,59285871858,630686954,123959,2484,524,368,392130,0 122,2,2024-09-07 08:23:51:329,93728,93728,0,0,5819012,0,3364 122,3,2024-09-07 08:23:50:607,1,73,7,1,298,1783,73,0 123,0,2024-09-07 08:23:51:034,14007,0.3,13629,0.5,28360,0.2,37088,1.75 123,1,2024-09-07 08:23:50:578,128057,128057,0,0,60020556842,640106995,124595,2894,568,369,391823,0 123,2,2024-09-07 08:23:51:026,93626,93625,1,0,4821609,0,5215 123,3,2024-09-07 08:23:51:133,1,73,6,1,160,962,73,0 124,0,2024-09-07 08:23:50:926,18990,0.3,18918,0.5,35889,0.2,49594,1.50 124,1,2024-09-07 08:23:51:024,128390,128390,0,0,59564073168,619513454,127591,655,144,367,392178,0 124,2,2024-09-07 08:23:51:014,92181,92181,0,0,3725077,0,2477 124,3,2024-09-07 08:23:50:758,1,73,11,1,490,1618,73,0 125,0,2024-09-07 08:23:51:437,20333,0.7,20389,0.7,40697,0.8,53691,2.00 125,1,2024-09-07 08:23:50:862,128187,128187,0,0,59878436836,629585234,126451,1464,272,384,391702,0 125,2,2024-09-07 08:23:51:119,88376,88376,0,0,4017350,0,2180 125,3,2024-09-07 08:23:51:131,1,73,19,1,93,991,73,0 126,0,2024-09-07 08:23:51:461,19940,1.3,20454,1.0,39153,2.1,52707,2.00 126,1,2024-09-07 08:23:50:552,128790,128790,0,0,60293448989,627793262,127743,993,54,365,391987,0 126,2,2024-09-07 08:23:50:618,94371,94371,0,0,4407819,0,3186 126,3,2024-09-07 08:23:50:911,1,73,30,0,122,1069,73,0 127,0,2024-09-07 08:23:51:644,13061,0.2,12986,0.4,26004,0.2,34052,1.50 127,1,2024-09-07 08:23:50:576,128197,128197,0,0,59749539574,621692911,127060,1114,23,365,391614,0 127,2,2024-09-07 08:23:50:694,94176,94176,0,0,3618973,0,1803 127,3,2024-09-07 08:23:51:271,1,73,5,0,99,620,73,0 128,0,2024-09-07 08:23:51:560,16932,0.2,16935,0.4,33283,0.2,45321,1.50 128,1,2024-09-07 08:23:51:608,128199,128199,0,0,60763657480,631238006,127479,650,70,367,391680,0 128,2,2024-09-07 08:23:51:391,91560,91560,0,0,4387328,0,2107 128,3,2024-09-07 08:23:50:778,1,73,1,1,112,884,73,0 129,0,2024-09-07 08:23:51:015,21607,0.4,21573,0.6,43012,0.4,56880,1.75 129,1,2024-09-07 08:23:50:573,128011,128011,0,0,59769934132,629286046,126189,1548,274,379,391835,0 129,2,2024-09-07 08:23:50:692,89839,89839,0,0,3788679,0,2446 129,3,2024-09-07 08:23:50:691,1,73,2,1,173,1123,73,0 130,0,2024-09-07 08:23:51:740,21824,1.4,21718,1.1,43509,2.0,58222,2.25 130,1,2024-09-07 08:23:50:592,128074,128074,0,0,59810369035,626971163,126761,1280,33,381,391609,0 130,2,2024-09-07 08:23:51:134,92876,92876,0,0,4470437,0,4067 130,3,2024-09-07 08:23:51:298,1,73,3,1,207,634,73,0 131,0,2024-09-07 08:23:51:952,15203,1.0,15150,0.9,30625,1.3,40838,1.75 131,1,2024-09-07 08:23:51:833,128826,128826,0,0,59759925607,624956979,127805,835,186,384,391865,0 131,2,2024-09-07 08:23:50:583,93392,93392,0,0,3695169,0,2415 131,3,2024-09-07 08:23:51:692,1,73,4,0,392,1118,73,0 132,0,2024-09-07 08:23:51:438,16308,0.3,16458,0.6,32743,0.2,43798,1.75 132,1,2024-09-07 08:23:50:576,127411,127411,0,0,59657705839,633599569,124824,1881,706,382,391719,0 132,2,2024-09-07 08:23:50:713,91507,91507,0,0,6048914,0,4606 132,3,2024-09-07 08:23:51:689,1,73,1,1,356,1019,73,0 133,0,2024-09-07 08:23:51:539,21325,0.5,21647,0.7,44631,0.5,57817,1.75 133,1,2024-09-07 08:23:50:591,126819,126819,0,0,59304480200,630152143,124607,2117,95,383,391755,0 133,2,2024-09-07 08:23:51:093,92767,92767,0,0,5218997,0,2444 133,3,2024-09-07 08:23:51:301,1,73,4,1,187,636,73,0 134,0,2024-09-07 08:23:50:977,22103,1.1,22043,1.1,44310,1.2,59107,2.25 134,1,2024-09-07 08:23:50:584,128141,128141,0,0,59726330386,632293016,125506,1654,981,366,391718,0 134,2,2024-09-07 08:23:51:777,89718,89718,0,0,3859243,0,2026 134,3,2024-09-07 08:23:50:759,1,73,11,1,739,1963,73,0 135,0,2024-09-07 08:23:51:181,15924,1.8,15928,1.6,33635,2.0,43541,2.50 135,1,2024-09-07 08:23:51:645,127657,127657,0,0,60435761674,637404896,125710,1620,327,380,391703,0 135,2,2024-09-07 08:23:50:701,93794,93794,0,0,4843782,0,3981 135,3,2024-09-07 08:23:51:017,1,73,6,0,68,486,73,0 136,0,2024-09-07 08:23:51:645,14678,0.4,14648,0.6,29220,0.2,39279,2.00 136,1,2024-09-07 08:23:51:443,128005,128005,0,0,59569424680,628460624,126101,1757,147,384,391621,0 136,2,2024-09-07 08:23:51:138,94207,94207,0,0,4334610,0,2379 136,3,2024-09-07 08:23:51:108,1,73,26,1,108,872,73,0 137,0,2024-09-07 08:23:50:936,20182,0.8,19559,0.9,38705,0.9,52442,2.00 137,1,2024-09-07 08:23:50:576,127888,127888,0,0,59946055374,631123305,125915,1860,113,368,391608,0 137,2,2024-09-07 08:23:51:727,92161,92161,0,0,5358924,0,2632 137,3,2024-09-07 08:23:50:777,1,73,43,1,227,1094,73,0 138,0,2024-09-07 08:23:51:772,19619,2.8,19791,1.7,40048,3.9,53825,3.00 138,1,2024-09-07 08:23:51:697,127716,127716,0,0,60312722356,636594308,125385,2067,264,370,391954,0 138,2,2024-09-07 08:23:50:587,88758,88758,0,0,4420039,0,3263 138,3,2024-09-07 08:23:50:631,1,73,0,0,1160,1931,73,0 139,0,2024-09-07 08:23:51:444,17919,4.6,17904,2.5,36238,5.6,48779,4.00 139,1,2024-09-07 08:23:50:593,127364,127364,0,0,59174598850,634069706,124475,2160,729,381,391892,0 139,2,2024-09-07 08:23:50:700,93301,93301,0,0,5844822,0,3097 139,3,2024-09-07 08:23:51:673,1,73,3,0,244,1340,73,0 140,0,2024-09-07 08:23:51:621,13056,0.3,12992,0.5,26174,0.2,34877,1.50 140,1,2024-09-07 08:23:51:537,128899,128899,0,0,59840939870,620455225,127971,731,197,365,391497,0 140,2,2024-09-07 08:23:50:690,94799,94799,0,0,4526407,0,3388 140,3,2024-09-07 08:23:50:792,1,73,1,0,25,567,73,0 141,0,2024-09-07 08:23:51:723,17313,0.2,17931,0.4,34780,0.1,47289,1.50 141,1,2024-09-07 08:23:50:860,128561,128561,0,0,60463196109,631280307,127142,1088,331,382,391538,0 141,2,2024-09-07 08:23:51:694,92467,92467,0,0,4011668,0,2342 141,3,2024-09-07 08:23:51:050,1,73,3,0,147,555,73,0 142,0,2024-09-07 08:23:51:322,21296,0.4,20998,0.6,41779,0.4,55672,1.75 142,1,2024-09-07 08:23:50:584,128838,128838,0,0,60130753539,627188308,128288,535,15,384,391649,0 142,2,2024-09-07 08:23:51:304,88463,88463,0,0,4253815,0,2293 142,3,2024-09-07 08:23:51:766,1,73,3,0,484,1324,73,0 143,0,2024-09-07 08:23:51:393,20357,1.1,20382,1.0,41067,1.5,54074,2.00 143,1,2024-09-07 08:23:50:556,128385,128385,0,0,59798287721,622037235,127407,951,27,367,391608,0 143,2,2024-09-07 08:23:50:792,93666,93666,0,0,4760612,0,2669 143,3,2024-09-07 08:23:51:149,1,73,2,0,236,1099,73,0 144,0,2024-09-07 08:23:51:573,14168,1.3,14606,5.9,29502,1.0,39268,2.25 144,1,2024-09-07 08:23:50:589,127850,127850,0,0,59504079820,627306736,126277,1381,192,381,391638,0 144,2,2024-09-07 08:23:51:778,94102,94102,0,0,4044478,0,3473 144,3,2024-09-07 08:23:51:741,1,73,2,1,169,1233,73,0 145,0,2024-09-07 08:23:51:389,15996,0.4,16019,0.6,33820,0.3,44360,1.75 145,1,2024-09-07 08:23:50:564,127345,127345,0,0,59585606292,629727336,125419,1662,264,383,391615,0 145,2,2024-09-07 08:23:51:429,91869,91869,0,0,5007604,0,3903 145,3,2024-09-07 08:23:50:904,1,73,11,0,151,1000,73,0 146,0,2024-09-07 08:23:51:660,21577,0.7,21615,0.9,43365,0.8,57123,2.25 146,1,2024-09-07 08:23:51:614,128486,128486,0,0,59819809776,631819370,126192,1993,301,368,391600,0 146,2,2024-09-07 08:23:51:736,90604,90604,0,0,4624137,0,2379 146,3,2024-09-07 08:23:51:276,1,73,2,0,1520,3015,73,0 147,0,2024-09-07 08:23:51:753,21711,2.9,21490,1.7,42754,4.2,58716,3.25 147,1,2024-09-07 08:23:51:383,128368,128368,0,0,60664483261,633264373,126653,1361,354,368,391791,0 147,2,2024-09-07 08:23:51:033,92093,92093,0,0,4435688,0,2789 147,3,2024-09-07 08:23:50:934,1,73,12,1,141,803,73,0 0,0,2024-09-07 08:24:01:712,20217,0.7,20166,0.8,42645,0.8,55881,1.75 0,1,2024-09-07 08:24:00:812,130103,130103,0,0,61044767399,642344368,128878,1098,127,372,391673,0 0,2,2024-09-07 08:24:01:069,93622,93622,0,0,4539519,0,4480 0,3,2024-09-07 08:24:00:978,1,74,7,0,247,1124,74,0 1,0,2024-09-07 08:24:01:807,21430,2.8,21349,1.9,43035,4.4,58116,2.75 1,1,2024-09-07 08:24:00:575,129273,129273,0,0,60083668986,633142241,127582,1162,529,372,391857,0 1,2,2024-09-07 08:24:00:643,89945,89945,0,0,4123915,0,3267 1,3,2024-09-07 08:24:01:310,1,74,5,0,167,748,74,0 2,0,2024-09-07 08:24:01:575,17612,1.4,17737,1.4,35227,2.9,47125,2.25 2,1,2024-09-07 08:24:00:859,130033,130033,0,0,61221574479,639070885,128952,877,204,381,391558,0 2,2,2024-09-07 08:24:01:265,94478,94478,0,0,4297612,0,3304 2,3,2024-09-07 08:24:00:691,1,74,1,0,214,808,74,0 3,0,2024-09-07 08:24:01:776,14293,0.3,14306,0.5,28623,0.2,37792,1.75 3,1,2024-09-07 08:24:01:618,129333,129333,0,0,60623197735,635992133,127354,1593,386,382,391516,0 3,2,2024-09-07 08:24:01:148,95230,95207,23,0,4786958,0,5851 3,3,2024-09-07 08:24:01:760,1,74,7,0,103,575,74,0 4,0,2024-09-07 08:24:01:780,18320,0.3,18735,0.5,38017,0.2,50447,1.50 4,1,2024-09-07 08:24:00:596,129631,129631,0,0,60550641340,641268955,127738,1475,418,371,391846,0 4,2,2024-09-07 08:24:01:021,93400,93400,0,0,5411226,0,4528 4,3,2024-09-07 08:24:01:028,1,74,5,1,287,1343,74,0 5,0,2024-09-07 08:24:01:423,20488,0.8,20286,0.8,41001,1.0,54072,2.00 5,1,2024-09-07 08:24:00:793,129549,129549,0,0,60534384644,640174171,127339,1769,441,368,392005,0 5,2,2024-09-07 08:24:01:829,89088,89088,0,0,4291491,0,2259 5,3,2024-09-07 08:24:01:732,1,74,54,0,238,1530,74,0 6,0,2024-09-07 08:24:00:935,20001,1.2,19735,1.3,39423,1.7,52711,2.75 6,1,2024-09-07 08:24:00:747,130193,130193,0,0,60832271858,635865347,129071,1053,69,381,391603,0 6,2,2024-09-07 08:24:01:117,95678,95678,0,0,4611356,0,2411 6,3,2024-09-07 08:24:01:276,1,74,1,0,340,1452,74,0 7,0,2024-09-07 08:24:01:537,12874,0.3,12890,0.5,25933,0.2,34252,1.75 7,1,2024-09-07 08:24:00:851,130025,130025,0,0,60895400106,637443544,129045,916,64,383,391664,0 7,2,2024-09-07 08:24:00:797,95060,95060,0,0,4347799,0,2981 7,3,2024-09-07 08:24:00:851,1,74,0,0,83,656,74,0 8,0,2024-09-07 08:24:01:371,17371,0.3,17354,0.5,34289,0.2,46598,1.50 8,1,2024-09-07 08:24:01:018,129598,129598,0,0,60569172441,647767139,126114,2231,1253,368,391724,0 8,2,2024-09-07 08:24:00:824,92519,92519,0,0,5411244,0,2986 8,3,2024-09-07 08:24:00:596,1,74,11,0,229,1082,74,0 9,0,2024-09-07 08:24:01:147,21549,0.4,20969,0.6,43903,0.4,57002,1.75 9,1,2024-09-07 08:24:00:562,129941,129941,0,0,61519591375,651993549,127497,1876,568,370,391738,0 9,2,2024-09-07 08:24:01:105,90763,90763,0,0,4909038,0,3360 9,3,2024-09-07 08:24:01:758,1,74,9,0,149,1044,74,0 10,0,2024-09-07 08:24:01:610,21726,0.8,21777,0.9,43490,0.8,57964,2.25 10,1,2024-09-07 08:24:00:589,129152,129152,0,0,60448130451,642595158,125816,2814,522,381,391541,0 10,2,2024-09-07 08:24:00:765,94057,94057,0,0,5062741,0,2940 10,3,2024-09-07 08:24:00:871,1,74,8,0,136,608,74,0 11,0,2024-09-07 08:24:01:015,15067,1.2,14681,1.4,30740,4.4,41462,2.25 11,1,2024-09-07 08:24:00:577,129506,129506,0,0,60466129708,640026107,126865,1826,815,384,391537,0 11,2,2024-09-07 08:24:01:137,94750,94750,0,0,4788997,0,2635 11,3,2024-09-07 08:24:01:298,1,74,123,0,720,2371,74,0 12,0,2024-09-07 08:24:01:006,16872,0.3,16924,0.5,33637,0.2,44730,1.50 12,1,2024-09-07 08:24:00:968,129220,129220,0,0,59769604035,629047287,127560,1560,100,371,391790,0 12,2,2024-09-07 08:24:01:541,94143,94143,0,0,4391474,0,2254 12,3,2024-09-07 08:24:01:059,1,74,22,0,358,1449,74,0 13,0,2024-09-07 08:24:01:375,22001,0.4,22177,0.6,44086,0.4,58565,1.75 13,1,2024-09-07 08:24:01:541,129720,129720,0,0,60601855675,639929445,128128,1225,367,384,391717,0 13,2,2024-09-07 08:24:00:596,93449,93449,0,0,4043848,0,3287 13,3,2024-09-07 08:24:01:762,1,74,1,1,373,1239,74,0 14,0,2024-09-07 08:24:00:579,22403,0.9,22435,1.0,44542,1.0,59659,2.00 14,1,2024-09-07 08:24:01:563,130932,130932,0,0,60547837101,628981513,130050,851,31,365,391546,0 14,2,2024-09-07 08:24:00:764,91262,91262,0,0,4532613,0,2793 14,3,2024-09-07 08:24:01:117,1,74,2,0,906,1282,74,0 15,0,2024-09-07 08:24:01:563,16512,1.6,16337,1.5,32822,3.0,44134,3.00 15,1,2024-09-07 08:24:01:608,129415,129415,0,0,60650595165,632487581,128613,767,35,381,391536,0 15,2,2024-09-07 08:24:00:997,95526,95526,0,0,3653986,0,3043 15,3,2024-09-07 08:24:01:410,1,74,0,0,538,1852,74,0 16,0,2024-09-07 08:24:01:069,14963,0.3,15088,0.6,29859,0.2,40420,2.00 16,1,2024-09-07 08:24:00:578,129490,129490,0,0,60380697741,634142386,128357,1105,28,372,391756,0 16,2,2024-09-07 08:24:01:441,93978,93978,0,0,4923241,0,4719 16,3,2024-09-07 08:24:01:142,1,74,7,1,231,1345,74,0 17,0,2024-09-07 08:24:01:797,20443,0.5,20003,0.7,39177,0.5,53101,2.00 17,1,2024-09-07 08:24:00:580,129628,129628,0,0,60245677164,637705174,127749,1356,523,369,391688,0 17,2,2024-09-07 08:24:01:670,94915,94915,0,0,3809623,0,2857 17,3,2024-09-07 08:24:00:576,1,74,1,0,268,1448,74,0 18,0,2024-09-07 08:24:00:958,20013,1.5,20236,1.3,40588,1.8,54171,2.50 18,1,2024-09-07 08:24:01:639,129920,129920,0,0,60813542710,634387706,128671,1031,218,368,391555,0 18,2,2024-09-07 08:24:01:758,89237,89237,0,0,4609760,0,3541 18,3,2024-09-07 08:24:00:904,1,74,0,0,163,949,74,0 19,0,2024-09-07 08:24:01:551,18279,1.6,18397,1.5,36424,1.8,48402,5.00 19,1,2024-09-07 08:24:00:570,130197,130197,0,0,60928351163,638743502,128332,1702,163,367,391696,0 19,2,2024-09-07 08:24:01:756,95815,95815,0,0,4272875,0,3988 19,3,2024-09-07 08:24:01:163,1,74,21,0,524,1186,74,0 20,0,2024-09-07 08:24:01:397,13469,0.3,13500,0.5,26681,0.2,35524,1.75 20,1,2024-09-07 08:24:00:572,129945,129945,0,0,61071532114,642996558,128249,1534,162,370,391598,0 20,2,2024-09-07 08:24:00:939,95441,95441,0,0,4295068,0,2446 20,3,2024-09-07 08:24:00:594,1,74,17,0,99,927,74,0 21,0,2024-09-07 08:24:01:160,18274,0.4,18119,0.6,36101,0.3,48287,1.75 21,1,2024-09-07 08:24:01:554,129343,129343,0,0,60506386661,641231085,127010,1839,494,368,391962,0 21,2,2024-09-07 08:24:01:070,93515,93515,0,0,4508570,0,3747 21,3,2024-09-07 08:24:01:404,1,74,1,0,93,1059,74,0 22,0,2024-09-07 08:24:01:728,20725,2.1,20694,1.4,41296,3.9,55062,3.00 22,1,2024-09-07 08:24:01:022,129410,129410,0,0,60419244018,641671702,126269,2415,726,382,391667,0 22,2,2024-09-07 08:24:00:760,89826,89826,0,0,3920557,0,3134 22,3,2024-09-07 08:24:01:075,1,74,1,0,62,425,74,0 23,0,2024-09-07 08:24:01:377,20357,1.3,20495,1.1,40973,1.8,54412,2.50 23,1,2024-09-07 08:24:01:003,130179,130179,0,0,61213567588,637604246,128830,1095,254,367,391496,0 23,2,2024-09-07 08:24:01:103,94879,94879,0,0,4201804,0,2078 23,3,2024-09-07 08:24:01:758,1,74,1,0,645,1339,74,0 24,0,2024-09-07 08:24:00:900,15103,0.6,15057,0.7,30114,0.6,39580,1.75 24,1,2024-09-07 08:24:00:582,130068,130068,0,0,60754263902,634826712,129254,807,7,369,391640,0 24,2,2024-09-07 08:24:01:069,94526,94526,0,0,5485124,0,2942 24,3,2024-09-07 08:24:01:686,1,74,9,1,234,1104,74,0 25,0,2024-09-07 08:24:01:388,17483,0.3,17041,0.5,33362,0.2,45515,1.50 25,1,2024-09-07 08:24:00:572,129432,129432,0,0,60626636028,641025442,127279,1837,316,371,391788,0 25,2,2024-09-07 08:24:01:617,92812,92812,0,0,4948001,0,3284 25,3,2024-09-07 08:24:01:002,1,74,1,1,158,750,74,0 26,0,2024-09-07 08:24:01:732,21665,0.5,21080,0.6,44182,0.4,57764,1.75 26,1,2024-09-07 08:24:01:542,129913,129913,0,0,60494289154,643330202,126451,2627,835,381,391564,0 26,2,2024-09-07 08:24:00:867,92146,92146,0,0,4918863,0,2809 26,3,2024-09-07 08:24:01:712,1,74,0,0,796,1273,74,0 27,0,2024-09-07 08:24:01:731,22128,1.0,22312,0.9,44337,1.5,59365,2.00 27,1,2024-09-07 08:24:01:678,130674,130674,0,0,61849463198,644432722,129535,844,295,381,391539,0 27,2,2024-09-07 08:24:00:868,91864,91864,0,0,5893874,0,3409 27,3,2024-09-07 08:24:01:016,1,74,1,0,564,1226,74,0 28,0,2024-09-07 08:24:01:441,15797,0.6,16076,0.8,31921,0.8,42545,2.00 28,1,2024-09-07 08:24:00:808,130330,130330,0,0,61581160581,643459795,129271,859,200,383,391646,0 28,2,2024-09-07 08:24:01:763,94676,94676,0,0,3873516,0,2609 28,3,2024-09-07 08:24:01:776,1,74,12,0,502,1225,74,0 29,0,2024-09-07 08:24:01:395,16432,0.3,16013,0.5,31550,0.2,43151,1.75 29,1,2024-09-07 08:24:01:565,131099,131099,0,0,61011435411,631614022,130356,571,172,369,391621,0 29,2,2024-09-07 08:24:00:861,94490,94490,0,0,3379079,0,2026 29,3,2024-09-07 08:24:00:968,1,74,0,0,105,672,74,0 30,0,2024-09-07 08:24:01:480,20690,0.5,20189,0.7,42261,0.4,55480,2.00 30,1,2024-09-07 08:24:00:577,130243,130243,0,0,61406434704,640527274,129268,910,65,382,391524,0 30,2,2024-09-07 08:24:01:275,94349,94349,0,0,3408377,0,2534 30,3,2024-09-07 08:24:00:582,1,74,4,0,195,639,74,0 31,0,2024-09-07 08:24:01:817,21435,1.4,21399,1.1,43337,1.9,57542,2.50 31,1,2024-09-07 08:24:00:566,130695,130695,0,0,61420240067,630354217,130446,247,2,356,391553,0 31,2,2024-09-07 08:24:01:275,90507,90507,0,0,4796187,0,3525 31,3,2024-09-07 08:24:01:706,1,74,6,0,129,559,74,0 32,0,2024-09-07 08:24:01:452,17904,1.4,18000,1.1,36089,2.8,47793,1.75 32,1,2024-09-07 08:24:00:848,130025,130025,0,0,61052775774,636347173,129022,974,29,382,391595,0 32,2,2024-09-07 08:24:00:942,95268,95268,0,0,4025452,0,3155 32,3,2024-09-07 08:24:01:016,1,74,5,0,110,647,74,0 33,0,2024-09-07 08:24:01:528,14354,0.2,14159,0.4,28687,0.1,37808,1.50 33,1,2024-09-07 08:24:00:582,130422,130422,0,0,61370213157,639597536,128761,1538,123,369,391526,0 33,2,2024-09-07 08:24:00:764,95068,95035,33,0,5569792,0,7012 33,3,2024-09-07 08:24:00:896,1,74,1,0,129,507,74,0 34,0,2024-09-07 08:24:00:949,18855,0.2,19388,0.4,37356,0.1,50418,1.50 34,1,2024-09-07 08:24:01:044,130439,130439,0,0,61324224266,633217824,129843,592,4,367,391517,0 34,2,2024-09-07 08:24:00:769,93092,93092,0,0,4567774,0,3255 34,3,2024-09-07 08:24:01:689,1,74,0,0,148,689,74,0 35,0,2024-09-07 08:24:00:867,20170,0.9,20374,0.8,40647,1.2,54011,2.00 35,1,2024-09-07 08:24:01:069,130103,130103,0,0,61409573880,639850712,128635,1106,362,384,391587,0 35,2,2024-09-07 08:24:01:584,89298,89298,0,0,3955035,0,2542 35,3,2024-09-07 08:24:00:910,1,74,1,0,219,1048,74,0 36,0,2024-09-07 08:24:01:546,19890,1.8,19830,1.4,40044,2.5,52704,2.75 36,1,2024-09-07 08:24:00:594,129883,129883,0,0,60442051822,638458529,126872,2080,931,366,391535,0 36,2,2024-09-07 08:24:01:751,95126,95126,0,0,5175994,0,3303 36,3,2024-09-07 08:24:00:863,1,74,0,0,378,1093,74,0 37,0,2024-09-07 08:24:01:381,13023,0.3,12983,0.5,26092,0.2,34434,1.75 37,1,2024-09-07 08:24:00:570,129459,129452,0,7,60399139442,641709619,126613,1322,1517,365,391518,0 37,2,2024-09-07 08:24:01:163,95234,95234,0,0,3803826,0,2333 37,3,2024-09-07 08:24:01:767,1,74,5,0,724,1801,74,0 38,0,2024-09-07 08:24:01:452,17259,0.3,16751,0.5,34902,0.2,46557,1.75 38,1,2024-09-07 08:24:01:609,130227,130227,0,0,60958760226,640664899,128073,1707,447,370,391821,0 38,2,2024-09-07 08:24:00:761,93629,93629,0,0,4566814,0,3245 38,3,2024-09-07 08:24:00:998,1,74,1,0,603,1412,74,0 39,0,2024-09-07 08:24:01:782,22144,1.0,21739,0.9,42137,1.2,57154,2.00 39,1,2024-09-07 08:24:00:716,130032,130032,0,0,60402385873,636068815,127400,1994,638,366,391524,0 39,2,2024-09-07 08:24:01:419,90713,90713,0,0,4167024,0,2381 39,3,2024-09-07 08:24:00:714,1,74,1,0,276,1209,74,0 40,0,2024-09-07 08:24:01:522,21283,1.8,21690,2.1,42990,3.6,57480,3.50 40,1,2024-09-07 08:24:00:577,129601,129601,0,0,59989931182,635622715,126347,2501,753,369,391591,0 40,2,2024-09-07 08:24:01:303,94166,94165,1,0,5389298,0,5137 40,3,2024-09-07 08:24:01:142,1,74,1,0,110,795,74,0 41,0,2024-09-07 08:24:01:040,14850,0.6,15189,4.4,29140,0.7,40294,2.50 41,1,2024-09-07 08:24:00:848,129551,129551,0,0,60724817199,640296377,127128,2110,313,370,391742,0 41,2,2024-09-07 08:24:00:764,94435,94435,0,0,5586632,0,3356 41,3,2024-09-07 08:24:01:676,1,74,6,0,168,803,74,0 42,0,2024-09-07 08:24:01:495,16601,0.5,16814,0.7,33470,0.4,44813,2.00 42,1,2024-09-07 08:24:01:441,129271,129271,0,0,60387794407,640899924,126278,2163,830,381,391511,0 42,2,2024-09-07 08:24:01:139,93158,93158,0,0,4868670,0,3568 42,3,2024-09-07 08:24:01:009,1,74,0,0,100,676,74,0 43,0,2024-09-07 08:24:00:924,21899,1.1,21377,1.1,44552,1.4,58691,2.00 43,1,2024-09-07 08:24:00:577,129542,129542,0,0,60809976488,639625278,127276,1514,752,366,391604,0 43,2,2024-09-07 08:24:01:749,93696,93696,0,0,4947467,0,3812 43,3,2024-09-07 08:24:01:749,1,74,0,0,325,1705,74,0 44,0,2024-09-07 08:24:00:888,22432,0.8,22302,1.0,44663,0.9,60174,2.00 44,1,2024-09-07 08:24:00:563,130011,130011,0,0,60938625464,631293446,128993,911,107,356,391523,0 44,2,2024-09-07 08:24:01:276,91477,91477,0,0,3549265,0,1865 44,3,2024-09-07 08:24:01:093,1,74,1,0,817,1330,74,0 45,0,2024-09-07 08:24:01:770,16288,2.3,15956,1.6,33373,5.3,44502,2.00 45,1,2024-09-07 08:24:01:008,130227,130227,0,0,61113737760,635025734,129759,467,1,382,391917,0 45,2,2024-09-07 08:24:01:276,95273,95273,0,0,4195098,0,2628 45,3,2024-09-07 08:24:00:934,1,74,6,0,226,808,74,0 46,0,2024-09-07 08:24:00:989,15078,0.2,15079,0.4,30231,0.1,40389,1.50 46,1,2024-09-07 08:24:00:593,130332,130332,0,0,61503006257,637242637,129484,811,37,368,391514,0 46,2,2024-09-07 08:24:00:598,94797,94797,0,0,4106382,0,2920 46,3,2024-09-07 08:24:01:137,1,74,8,0,315,1066,74,0 47,0,2024-09-07 08:24:01:117,19862,0.3,20128,0.5,40153,0.2,52962,1.50 47,1,2024-09-07 08:24:00:575,130683,130683,0,0,61153389030,631725412,130175,504,4,366,391605,0 47,2,2024-09-07 08:24:00:914,94827,94827,0,0,4114311,0,2558 47,3,2024-09-07 08:24:01:117,1,74,2,0,529,1137,74,0 48,0,2024-09-07 08:24:01:510,20807,0.4,20520,0.5,40854,0.3,54997,1.75 48,1,2024-09-07 08:24:01:022,130188,130188,0,0,60916899666,635477373,128989,1193,6,384,391637,0 48,2,2024-09-07 08:24:00:700,89781,89781,0,0,3288584,0,2083 48,3,2024-09-07 08:24:00:764,1,74,4,0,30,629,74,0 49,0,2024-09-07 08:24:01:751,19010,1.2,18564,1.1,36457,2.1,49819,2.00 49,1,2024-09-07 08:24:01:100,129568,129568,0,0,60438648775,634536836,127831,1028,709,382,391583,0 49,2,2024-09-07 08:24:01:800,95345,95345,0,0,4457364,0,3900 49,3,2024-09-07 08:24:01:418,1,74,8,0,274,833,74,0 50,0,2024-09-07 08:24:01:522,13529,0.2,13222,0.4,26828,0.1,35949,1.50 50,1,2024-09-07 08:24:01:010,130706,130706,0,0,61247353415,638118694,129037,1372,297,368,391530,0 50,2,2024-09-07 08:24:01:076,95941,95941,0,0,3710416,0,2253 50,3,2024-09-07 08:24:01:296,1,74,3,0,335,882,74,0 51,0,2024-09-07 08:24:01:695,18576,0.2,18032,0.4,35501,0.1,48722,1.50 51,1,2024-09-07 08:24:01:687,130058,130058,0,0,62060687551,647344935,128259,1016,783,367,391637,0 51,2,2024-09-07 08:24:01:323,93259,93259,0,0,3173186,0,2448 51,3,2024-09-07 08:24:01:027,1,74,3,0,162,530,74,0 52,0,2024-09-07 08:24:01:425,20999,0.8,21156,0.9,42252,1.0,55587,2.25 52,1,2024-09-07 08:24:00:577,129506,129506,0,0,60430754811,637755306,126994,2048,464,368,391529,0 52,2,2024-09-07 08:24:01:759,89447,89447,0,0,4401162,0,4779 52,3,2024-09-07 08:24:00:675,1,74,1,0,1782,2440,74,0 53,0,2024-09-07 08:24:01:756,20265,2.0,19544,1.5,40665,3.1,53644,2.75 53,1,2024-09-07 08:24:00:836,129499,129499,0,0,61278406476,646396215,126876,2048,575,370,391617,0 53,2,2024-09-07 08:24:01:299,96046,96046,0,0,4003089,0,1902 53,3,2024-09-07 08:24:00:697,1,74,1,0,59,471,74,0 54,0,2024-09-07 08:24:01:631,14083,8.3,14314,2.5,28321,1.1,38439,4.75 54,1,2024-09-07 08:24:00:580,129695,129695,0,0,61274647113,641886313,127585,1794,316,367,391520,0 54,2,2024-09-07 08:24:00:865,95421,95415,6,0,4841314,0,5382 54,3,2024-09-07 08:24:00:763,1,74,1,0,676,1702,74,0 55,0,2024-09-07 08:24:01:782,16565,0.3,17066,0.6,34684,0.2,45097,2.00 55,1,2024-09-07 08:24:00:767,129354,129354,0,0,60181418624,635567692,125872,2780,702,367,391731,0 55,2,2024-09-07 08:24:00:729,93130,93130,0,0,4756850,0,3275 55,3,2024-09-07 08:24:00:678,1,74,1,0,136,852,74,0 56,0,2024-09-07 08:24:01:573,22293,2.0,21010,1.4,43306,2.4,57731,2.50 56,1,2024-09-07 08:24:00:570,129096,129096,0,0,61103742518,652757497,125699,2649,748,383,391678,0 56,2,2024-09-07 08:24:01:303,92353,92353,0,0,5235754,0,3567 56,3,2024-09-07 08:24:01:059,1,74,3,0,297,992,74,0 57,0,2024-09-07 08:24:00:948,21709,3.2,21412,1.9,43103,5.4,58070,4.25 57,1,2024-09-07 08:24:00:990,129139,129139,0,0,60510705984,635528670,127661,1458,20,368,391760,0 57,2,2024-09-07 08:24:01:326,93269,93269,0,0,5171549,0,3178 57,3,2024-09-07 08:24:01:747,1,74,1,1,359,1667,74,0 58,0,2024-09-07 08:24:00:587,15082,0.7,14761,1.0,30888,0.6,40877,2.75 58,1,2024-09-07 08:24:00:580,130625,130622,0,3,61420429089,641802147,129025,1437,160,369,391516,3 58,2,2024-09-07 08:24:01:071,94516,94516,0,0,4887739,0,2549 58,3,2024-09-07 08:24:01:076,1,74,0,0,219,870,74,0 59,0,2024-09-07 08:24:01:824,16191,0.5,16067,0.8,32295,0.4,42882,2.00 59,1,2024-09-07 08:24:00:825,129420,129420,0,0,60389339303,637433948,127171,1482,767,369,391515,0 59,2,2024-09-07 08:24:00:583,94088,94088,0,0,4361324,0,2604 59,3,2024-09-07 08:24:01:744,1,74,11,0,1015,1986,74,0 60,0,2024-09-07 08:24:01:712,20932,0.5,21068,0.6,41620,0.5,56093,1.75 60,1,2024-09-07 08:24:00:798,130867,130867,0,0,61045751787,636183960,129783,737,347,370,391758,0 60,2,2024-09-07 08:24:01:166,93781,93781,0,0,3881440,0,2142 60,3,2024-09-07 08:24:01:263,1,74,1,0,124,926,74,0 61,0,2024-09-07 08:24:01:504,21401,2.7,21477,1.6,42880,4.0,57835,2.25 61,1,2024-09-07 08:24:00:793,129647,129647,0,0,60582008433,640947015,127089,2023,535,383,391589,0 61,2,2024-09-07 08:24:01:117,90516,90516,0,0,3988315,0,1846 61,3,2024-09-07 08:24:01:697,1,74,1,0,199,1123,74,0 62,0,2024-09-07 08:24:01:726,17974,1.5,18391,1.2,35159,2.8,47702,2.25 62,1,2024-09-07 08:24:01:117,130491,130485,0,6,60988274714,632974326,129232,1216,37,365,391586,6 62,2,2024-09-07 08:24:01:657,94843,94843,0,0,4504466,0,2931 62,3,2024-09-07 08:24:01:164,1,74,2,0,287,667,74,0 63,0,2024-09-07 08:24:01:500,14214,0.3,14127,0.6,28602,0.2,37754,1.75 63,1,2024-09-07 08:24:00:819,130889,130884,0,5,61091996466,632655217,130477,404,3,381,391540,5 63,2,2024-09-07 08:24:00:767,95126,95126,0,0,4111336,0,2674 63,3,2024-09-07 08:24:01:745,1,74,6,0,667,1865,74,0 64,0,2024-09-07 08:24:01:537,18721,0.3,18629,0.5,37561,0.2,50380,1.75 64,1,2024-09-07 08:24:00:768,130197,130197,0,0,60949384074,643621984,127758,1645,794,371,391783,0 64,2,2024-09-07 08:24:01:177,94049,94030,19,0,4493743,0,6121 64,3,2024-09-07 08:24:01:163,1,74,1,1,265,1015,74,0 65,0,2024-09-07 08:24:01:700,19819,2.3,20150,1.5,40159,3.3,53476,3.75 65,1,2024-09-07 08:24:00:863,129610,129610,0,0,60369791385,635139875,127901,1490,219,382,391701,0 65,2,2024-09-07 08:24:01:694,89688,89688,0,0,4542166,0,3367 65,3,2024-09-07 08:24:01:684,1,74,3,0,163,852,74,0 66,0,2024-09-07 08:24:01:793,19400,0.8,19636,1.1,39324,0.8,52078,2.50 66,1,2024-09-07 08:24:01:300,130770,130770,0,0,60878490938,632438330,130079,670,21,380,391588,0 66,2,2024-09-07 08:24:01:138,96166,96166,0,0,4221002,0,3867 66,3,2024-09-07 08:24:01:097,1,74,18,0,291,952,74,0 67,0,2024-09-07 08:24:01:480,13153,0.5,13180,0.6,26037,0.3,34358,2.00 67,1,2024-09-07 08:24:00:792,129499,129498,0,1,60562985886,637932965,127478,1495,525,382,391787,1 67,2,2024-09-07 08:24:00:592,96268,96268,0,0,3919359,0,2889 67,3,2024-09-07 08:24:01:758,1,74,1,0,138,656,74,0 68,0,2024-09-07 08:24:00:669,17332,0.5,17260,0.7,34506,0.4,46445,2.00 68,1,2024-09-07 08:24:00:582,129320,129320,0,0,60048080580,632223405,127903,895,522,382,391953,0 68,2,2024-09-07 08:24:01:051,93488,93488,0,0,4087303,0,4883 68,3,2024-09-07 08:24:00:736,1,74,6,0,63,757,74,0 69,0,2024-09-07 08:24:01:751,21555,1.5,21516,1.2,42857,1.9,57178,2.75 69,1,2024-09-07 08:24:01:028,129498,129498,0,0,61294855270,651371097,127504,1129,865,384,391532,0 69,2,2024-09-07 08:24:01:739,90941,90941,0,0,4641283,0,3153 69,3,2024-09-07 08:24:00:769,1,74,0,0,238,1157,74,0 70,0,2024-09-07 08:24:01:568,21356,3.3,21385,2.3,43216,2.1,57154,4.50 70,1,2024-09-07 08:24:00:836,130314,130314,0,0,61416445341,637675795,129398,781,135,368,391527,0 70,2,2024-09-07 08:24:01:328,94109,94109,0,0,4588233,0,4044 70,3,2024-09-07 08:24:00:746,1,74,5,0,178,510,74,0 71,0,2024-09-07 08:24:01:374,14975,1.3,14955,2.9,29486,1.9,40491,4.00 71,1,2024-09-07 08:24:01:600,130101,130101,0,0,61431054189,644549896,127899,1886,316,368,391682,0 71,2,2024-09-07 08:24:01:077,95085,95085,0,0,4311794,0,2146 71,3,2024-09-07 08:24:01:764,1,74,9,0,219,1021,74,0 72,0,2024-09-07 08:24:01:049,17235,0.4,16718,0.6,33079,0.3,44915,2.25 72,1,2024-09-07 08:24:01:032,130415,130415,0,0,61050790563,638618546,128864,1473,78,370,391556,0 72,2,2024-09-07 08:24:01:766,92804,92804,0,0,5553383,0,2570 72,3,2024-09-07 08:24:01:765,1,74,20,0,325,1330,74,0 73,0,2024-09-07 08:24:01:148,21531,0.5,22097,0.6,44859,0.5,58332,2.25 73,1,2024-09-07 08:24:00:793,129973,129973,0,0,60687534669,633463795,129007,922,44,368,391627,0 73,2,2024-09-07 08:24:01:751,94326,94326,0,0,5069243,0,3482 73,3,2024-09-07 08:24:00:978,1,74,10,0,274,1384,74,0 74,0,2024-09-07 08:24:01:351,22324,1.5,22849,1.3,43752,2.1,59705,3.25 74,1,2024-09-07 08:24:00:656,129760,129760,0,0,60694899381,637326268,127860,1328,572,382,391511,0 74,2,2024-09-07 08:24:01:001,91301,91301,0,0,5332144,0,4253 74,3,2024-09-07 08:24:01:444,1,74,17,0,246,1465,74,0 75,0,2024-09-07 08:24:01:813,16473,2.4,16537,1.6,33050,4.6,44549,3.25 75,1,2024-09-07 08:24:01:585,130051,130051,0,0,60387366609,635087447,128351,1596,104,382,391579,0 75,2,2024-09-07 08:24:01:356,94528,94528,0,0,5189679,0,4766 75,3,2024-09-07 08:24:01:068,1,74,1,0,143,481,74,0 76,0,2024-09-07 08:24:00:654,15113,0.3,14923,0.5,29857,0.2,40220,2.00 76,1,2024-09-07 08:24:00:826,129894,129894,0,0,60471413567,636861852,128083,1305,506,382,391530,0 76,2,2024-09-07 08:24:01:070,95307,95307,0,0,4122593,0,3064 76,3,2024-09-07 08:24:01:165,1,74,2,0,175,1006,74,0 77,0,2024-09-07 08:24:01:701,19807,0.5,20030,0.7,40064,0.5,52883,1.75 77,1,2024-09-07 08:24:00:828,129773,129773,0,0,60591944866,633684419,128737,967,69,383,391516,0 77,2,2024-09-07 08:24:01:282,94399,94399,0,0,3533986,0,1695 77,3,2024-09-07 08:24:01:098,1,74,4,0,139,769,74,0 78,0,2024-09-07 08:24:01:741,20469,1.2,20359,1.1,40926,1.8,54586,2.50 78,1,2024-09-07 08:24:00:618,130422,130422,0,0,60280154679,629957959,129004,1213,205,367,391589,0 78,2,2024-09-07 08:24:01:405,89808,89808,0,0,3530666,0,2114 78,3,2024-09-07 08:24:01:137,1,74,1,0,181,1177,74,0 79,0,2024-09-07 08:24:01:361,17888,0.9,18326,1.1,37346,1.0,48839,3.00 79,1,2024-09-07 08:24:00:578,130342,130342,0,0,60786109564,633592547,129004,1269,69,370,391682,0 79,2,2024-09-07 08:24:01:076,95467,95467,0,0,4160832,0,2679 79,3,2024-09-07 08:24:00:753,1,74,1,0,289,875,74,0 80,0,2024-09-07 08:24:01:130,13257,0.4,13722,0.6,26509,0.2,35746,2.00 80,1,2024-09-07 08:24:01:629,129634,129634,0,0,61133198320,638526781,128045,1474,115,369,391673,0 80,2,2024-09-07 08:24:01:099,95680,95680,0,0,4322465,0,4433 80,3,2024-09-07 08:24:00:580,1,74,1,1,190,1236,74,0 81,0,2024-09-07 08:24:01:552,18163,0.4,18619,0.6,35669,0.3,48700,1.75 81,1,2024-09-07 08:24:01:656,129836,129836,0,0,60414254235,635993766,127948,1690,198,383,391680,0 81,2,2024-09-07 08:24:01:142,93007,93007,0,0,4565135,0,3993 81,3,2024-09-07 08:24:01:120,1,74,1,0,193,861,74,0 82,0,2024-09-07 08:24:01:552,20908,1.0,20934,1.1,41949,1.3,55661,2.25 82,1,2024-09-07 08:24:00:582,129810,129807,0,3,61037166396,640503160,127769,1466,572,382,391558,3 82,2,2024-09-07 08:24:01:695,90957,90957,0,0,3495052,0,2230 82,3,2024-09-07 08:24:01:758,1,74,4,0,227,1317,74,0 83,0,2024-09-07 08:24:01:537,20341,1.6,20253,1.4,40248,1.9,53460,3.00 83,1,2024-09-07 08:24:00:559,129729,129729,0,0,59999396162,629445408,128312,1317,100,383,391553,0 83,2,2024-09-07 08:24:00:792,94820,94820,0,0,4539174,0,3119 83,3,2024-09-07 08:24:00:751,1,74,1,0,91,601,74,0 84,0,2024-09-07 08:24:01:824,14586,4.1,14341,3.5,28791,0.8,39060,3.00 84,1,2024-09-07 08:24:01:062,129628,129628,0,0,61030601169,641998855,128013,1367,248,369,391638,0 84,2,2024-09-07 08:24:00:600,94881,94881,0,0,4648809,0,3801 84,3,2024-09-07 08:24:01:171,1,74,1,1,58,757,74,0 85,0,2024-09-07 08:24:01:027,16465,0.3,16461,0.6,34805,0.3,45265,1.75 85,1,2024-09-07 08:24:00:576,129309,129309,0,0,60680802261,644417542,126854,2027,428,384,391652,0 85,2,2024-09-07 08:24:00:866,92750,92750,0,0,4936800,0,3656 85,3,2024-09-07 08:24:00:695,1,74,2,0,115,764,74,0 86,0,2024-09-07 08:24:00:947,21700,0.8,22470,0.8,43211,1.0,57726,2.00 86,1,2024-09-07 08:24:00:837,129440,129440,0,0,60894375704,639414149,127645,1579,216,367,391622,0 86,2,2024-09-07 08:24:00:854,92020,92019,1,0,5149551,0,5004 86,3,2024-09-07 08:24:00:592,1,74,1,0,199,887,74,0 87,0,2024-09-07 08:24:01:300,22040,2.8,21895,1.7,43925,4.0,59213,3.25 87,1,2024-09-07 08:24:00:558,129751,129751,0,0,61058060947,641609581,128171,1459,121,366,391788,0 87,2,2024-09-07 08:24:01:076,93161,93161,0,0,3908635,0,2859 87,3,2024-09-07 08:24:01:797,1,74,3,1,322,1174,74,0 88,0,2024-09-07 08:24:01:508,15770,0.7,16081,0.9,31877,0.8,42783,2.00 88,1,2024-09-07 08:24:00:583,129174,129174,0,0,61101087489,640984873,127961,1116,97,367,391787,0 88,2,2024-09-07 08:24:00:692,94931,94931,0,0,5421389,0,3583 88,3,2024-09-07 08:24:01:281,1,74,1,1,77,902,74,0 89,0,2024-09-07 08:24:01:843,16660,0.3,16080,0.6,31941,0.3,43297,1.75 89,1,2024-09-07 08:24:00:605,128857,128857,0,0,60706551506,649571661,125536,2585,736,383,391866,0 89,2,2024-09-07 08:24:01:140,93799,93799,0,0,4944946,0,2726 89,3,2024-09-07 08:24:01:810,1,74,5,0,325,1907,74,0 90,0,2024-09-07 08:24:01:637,20234,0.5,20647,0.6,42511,0.5,56007,1.75 90,1,2024-09-07 08:24:00:609,129521,129521,0,0,60343246223,638934143,127470,1909,142,382,391825,0 90,2,2024-09-07 08:24:01:406,92969,92969,0,0,5577774,0,2635 90,3,2024-09-07 08:24:00:930,1,74,5,1,200,978,74,0 91,0,2024-09-07 08:24:01:000,21958,0.7,21216,0.8,44053,0.8,58213,2.00 91,1,2024-09-07 08:24:00:575,129449,129449,0,0,61123416604,647616467,127048,2037,364,383,391914,0 91,2,2024-09-07 08:24:01:348,89717,89717,0,0,4565430,0,1997 91,3,2024-09-07 08:24:00:598,1,74,3,1,155,1126,74,0 92,0,2024-09-07 08:24:01:488,18056,1.1,18548,1.1,35464,1.4,47830,1.75 92,1,2024-09-07 08:24:00:583,129992,129992,0,0,60867439484,635796201,129336,589,67,382,391717,0 92,2,2024-09-07 08:24:01:356,96216,96216,0,0,4418385,0,2279 92,3,2024-09-07 08:24:01:023,1,74,21,1,68,891,74,0 93,0,2024-09-07 08:24:01:043,14351,0.3,14572,0.5,27833,0.2,37840,1.50 93,1,2024-09-07 08:24:00:847,130040,130040,0,0,61186319994,642675872,128277,1327,436,367,391689,0 93,2,2024-09-07 08:24:00:955,95241,95241,0,0,4043290,0,2509 93,3,2024-09-07 08:24:01:418,1,74,1,0,143,685,74,0 94,0,2024-09-07 08:24:01:612,18860,0.3,19189,0.4,37617,0.2,50503,1.50 94,1,2024-09-07 08:24:00:563,129324,129324,0,0,60111399762,633693950,127740,1519,65,381,391850,0 94,2,2024-09-07 08:24:00:777,93545,93545,0,0,4041430,0,2443 94,3,2024-09-07 08:24:01:689,1,74,15,1,231,1289,74,0 95,0,2024-09-07 08:24:01:374,20401,0.5,20182,0.6,41067,0.5,54240,1.75 95,1,2024-09-07 08:24:00:857,130269,130269,0,0,60952946436,635225461,129470,735,64,367,391590,0 95,2,2024-09-07 08:24:01:015,89688,89688,0,0,4201237,0,3308 95,3,2024-09-07 08:24:01:709,1,74,2,0,307,1291,74,0 96,0,2024-09-07 08:24:01:070,20077,0.9,19938,0.9,39931,1.2,52583,1.75 96,1,2024-09-07 08:24:01:584,129939,129939,0,0,60624071535,639398893,128098,1270,571,385,391596,0 96,2,2024-09-07 08:24:01:275,95818,95818,0,0,4760735,0,4038 96,3,2024-09-07 08:24:01:163,1,74,2,0,36,689,74,0 97,0,2024-09-07 08:24:01:325,13043,0.3,13055,0.5,26174,0.3,34394,1.75 97,1,2024-09-07 08:24:00:766,129864,129864,0,0,61279054658,642953583,127673,1674,517,367,392140,0 97,2,2024-09-07 08:24:00:613,95157,95157,0,0,3980203,0,3036 97,3,2024-09-07 08:24:00:575,1,74,1,1,165,976,74,0 98,0,2024-09-07 08:24:01:707,17303,0.2,17078,0.4,34848,0.2,46621,1.50 98,1,2024-09-07 08:24:00:573,129992,129992,0,0,60825870603,636999466,128918,1029,45,382,391997,0 98,2,2024-09-07 08:24:00:792,94147,94147,0,0,4216332,0,3080 98,3,2024-09-07 08:24:00:697,1,74,1,1,155,989,74,0 99,0,2024-09-07 08:24:01:501,21647,0.4,21557,0.5,43115,0.4,57231,1.75 99,1,2024-09-07 08:24:01:723,130187,130187,0,0,60360143368,632790319,128704,1042,441,381,391744,0 99,2,2024-09-07 08:24:01:417,91840,91840,0,0,4180300,0,1858 99,3,2024-09-07 08:24:00:589,1,74,16,0,129,725,74,0 100,0,2024-09-07 08:24:01:521,21756,1.9,21568,2.3,43002,4.9,58000,2.75 100,1,2024-09-07 08:24:00:598,129025,129025,0,0,59955628494,640121255,126278,2402,345,381,391989,0 100,2,2024-09-07 08:24:01:829,93797,93786,11,0,5439972,0,5417 100,3,2024-09-07 08:24:01:733,1,74,2,1,443,1898,74,0 101,0,2024-09-07 08:24:01:725,15498,3.7,15073,1.8,29686,0.9,41529,2.75 101,1,2024-09-07 08:24:00:551,129437,129437,0,0,60801959747,640415093,127961,1027,449,369,391709,0 101,2,2024-09-07 08:24:01:759,94936,94936,0,0,4785597,0,4644 101,3,2024-09-07 08:24:00:958,1,74,3,0,448,1077,74,0 102,0,2024-09-07 08:24:00:966,16339,0.4,16947,0.6,33935,0.3,44779,1.75 102,1,2024-09-07 08:24:01:167,129483,129483,0,0,60591261338,642551112,127013,2002,468,369,391831,0 102,2,2024-09-07 08:24:01:746,93938,93938,0,0,3967860,0,1945 102,3,2024-09-07 08:24:01:614,1,74,1,0,410,876,74,0 103,0,2024-09-07 08:24:01:611,22616,0.5,22585,0.7,42744,0.5,58783,2.00 103,1,2024-09-07 08:24:01:625,129540,129540,0,0,60941459173,640451526,128313,1083,144,381,391680,0 103,2,2024-09-07 08:24:00:584,93407,93407,0,0,4260187,0,2104 103,3,2024-09-07 08:24:00:792,1,74,5,1,486,1110,74,0 104,0,2024-09-07 08:24:01:038,22266,2.0,22259,1.4,43459,2.5,59771,2.50 104,1,2024-09-07 08:24:01:600,130334,130334,0,0,60455289549,639440830,128388,1620,326,368,391948,0 104,2,2024-09-07 08:24:01:666,90413,90413,0,0,5023172,0,3941 104,3,2024-09-07 08:24:01:417,1,74,3,1,1245,3851,74,0 105,0,2024-09-07 08:24:01:083,16409,1.4,15797,1.6,32906,2.0,44249,3.50 105,1,2024-09-07 08:24:00:596,129431,129431,0,0,60233843343,639303080,126482,2203,746,367,391797,0 105,2,2024-09-07 08:24:01:324,94770,94770,0,0,4781538,0,3314 105,3,2024-09-07 08:24:01:305,1,74,5,1,399,1622,74,0 106,0,2024-09-07 08:24:00:963,14564,0.3,14943,0.6,30374,0.2,40289,1.75 106,1,2024-09-07 08:24:01:750,129879,129879,0,0,60394392289,638645978,127471,2081,327,370,391767,0 106,2,2024-09-07 08:24:00:793,93904,93904,0,0,4847948,0,2795 106,3,2024-09-07 08:24:00:678,1,74,7,1,201,909,74,0 107,0,2024-09-07 08:24:01:120,20014,0.5,19957,0.7,39602,0.5,53065,1.75 107,1,2024-09-07 08:24:00:585,129187,129187,0,0,60791916656,644448938,127251,1746,190,382,392234,0 107,2,2024-09-07 08:24:01:291,93614,93613,1,0,4605342,0,5024 107,3,2024-09-07 08:24:01:761,1,74,1,0,353,1299,74,0 108,0,2024-09-07 08:24:01:789,20516,0.6,20754,0.8,41076,0.7,54881,1.75 108,1,2024-09-07 08:24:01:312,129607,129607,0,0,60995256306,640290099,128167,1306,134,371,391857,0 108,2,2024-09-07 08:24:01:760,89359,89359,0,0,4287661,0,2647 108,3,2024-09-07 08:24:01:346,1,74,14,0,73,983,74,0 109,0,2024-09-07 08:24:01:769,18708,0.9,18841,0.9,37094,1.0,49976,2.00 109,1,2024-09-07 08:24:00:595,129278,129278,0,0,61622625279,651027674,127610,1285,383,383,391812,0 109,2,2024-09-07 08:24:00:923,94204,94204,0,0,4733683,0,3617 109,3,2024-09-07 08:24:01:142,1,74,13,1,249,1297,74,0 110,0,2024-09-07 08:24:01:786,13462,0.2,13098,0.4,27349,0.2,35726,1.50 110,1,2024-09-07 08:24:01:668,130066,130066,0,0,60786028926,634399373,128747,1033,286,370,391588,0 110,2,2024-09-07 08:24:01:303,95993,95993,0,0,3990495,0,2915 110,3,2024-09-07 08:24:00:690,1,74,3,0,183,727,74,0 111,0,2024-09-07 08:24:01:446,18108,0.2,17943,0.4,35928,0.1,48532,1.50 111,1,2024-09-07 08:24:00:999,130464,130464,0,0,61946239290,641969517,129818,633,13,382,391690,0 111,2,2024-09-07 08:24:01:117,93816,93816,0,0,4165563,0,2763 111,3,2024-09-07 08:24:00:913,1,74,12,0,300,1398,74,0 112,0,2024-09-07 08:24:00:925,21207,0.5,21186,0.6,42086,0.4,55969,1.75 112,1,2024-09-07 08:24:00:837,129877,129877,0,0,61175423961,640210733,128366,1165,346,381,391580,0 112,2,2024-09-07 08:24:01:166,90148,90147,1,0,4439883,0,5036 112,3,2024-09-07 08:24:00:597,1,74,1,0,282,848,74,0 113,0,2024-09-07 08:24:00:890,20434,0.6,20246,0.7,41255,0.6,54574,1.75 113,1,2024-09-07 08:24:01:688,130116,130116,0,0,60918126007,635658442,128225,1507,384,368,391661,0 113,2,2024-09-07 08:24:01:305,95449,95449,0,0,3708755,0,3021 113,3,2024-09-07 08:24:00:691,1,74,12,0,288,1146,74,0 114,0,2024-09-07 08:24:00:886,14901,3.5,15098,1.8,29649,0.8,39631,2.00 114,1,2024-09-07 08:24:00:715,129853,129853,0,0,60872815085,642371541,127246,1726,881,381,391531,0 114,2,2024-09-07 08:24:00:874,95023,95023,0,0,4294704,0,3925 114,3,2024-09-07 08:24:01:282,1,74,1,0,159,765,74,0 115,0,2024-09-07 08:24:00:568,16947,0.2,17070,0.3,34016,0.1,45363,1.50 115,1,2024-09-07 08:24:00:577,129906,129906,0,0,61395780581,644902906,127532,1887,487,383,391510,0 115,2,2024-09-07 08:24:01:137,93827,93827,0,0,3655830,0,2152 115,3,2024-09-07 08:24:01:002,1,74,0,0,159,476,74,0 116,0,2024-09-07 08:24:01:721,21871,0.9,21497,0.9,43496,1.2,57795,2.00 116,1,2024-09-07 08:24:00:832,129306,129306,0,0,61434181328,652393554,127422,1013,871,382,391605,0 116,2,2024-09-07 08:24:01:751,92216,92216,0,0,4853695,0,3529 116,3,2024-09-07 08:24:00:917,1,74,9,1,252,1285,74,0 117,0,2024-09-07 08:24:01:052,22059,2.3,22089,1.5,43987,3.5,59346,2.25 117,1,2024-09-07 08:24:01:584,130168,130168,0,0,60016651293,635342741,127852,2023,293,370,392033,0 117,2,2024-09-07 08:24:01:126,93405,93405,0,0,4269497,0,3700 117,3,2024-09-07 08:24:01:059,1,74,2,0,490,1803,74,0 118,0,2024-09-07 08:24:01:790,15474,0.8,15793,0.9,32473,0.8,42712,2.00 118,1,2024-09-07 08:24:00:587,130082,130082,0,0,60279121426,633673626,128842,1162,78,368,391736,0 118,2,2024-09-07 08:24:01:602,94953,94953,0,0,4690473,0,2781 118,3,2024-09-07 08:24:01:772,1,74,7,1,235,1228,74,0 119,0,2024-09-07 08:24:01:348,15876,0.3,15948,0.5,32643,0.2,42953,1.75 119,1,2024-09-07 08:24:00:548,129967,129967,0,0,60844479990,639485213,128351,1467,149,370,391641,0 119,2,2024-09-07 08:24:01:263,93376,93376,0,0,4422719,0,2532 119,3,2024-09-07 08:24:01:329,1,74,1,0,443,2132,74,0 120,0,2024-09-07 08:24:01:568,20611,0.6,20712,0.8,41252,0.6,55932,2.00 120,1,2024-09-07 08:24:00:862,129539,129539,0,0,60702942575,640132511,128012,1511,16,368,391702,0 120,2,2024-09-07 08:24:00:791,93278,93277,1,0,5555302,0,5281 120,3,2024-09-07 08:24:01:290,1,74,0,0,241,1381,74,0 121,0,2024-09-07 08:24:01:777,21337,2.5,21513,1.6,43169,3.7,58224,2.50 121,1,2024-09-07 08:24:01:667,129721,129721,0,0,60718878527,639284506,127845,1607,269,368,391840,0 121,2,2024-09-07 08:24:01:138,89531,89531,0,0,5808649,0,4127 121,3,2024-09-07 08:24:00:733,1,74,2,0,113,858,74,0 122,0,2024-09-07 08:24:01:870,17847,2.3,17224,2.0,35899,2.7,47962,2.50 122,1,2024-09-07 08:24:00:861,128770,128770,0,0,60184014061,639862589,125762,2484,524,368,392130,0 122,2,2024-09-07 08:24:01:328,95039,95039,0,0,5894220,0,3364 122,3,2024-09-07 08:24:00:595,1,74,2,1,298,1785,74,0 123,0,2024-09-07 08:24:00:968,14215,0.3,13848,0.5,28828,0.2,37696,1.75 123,1,2024-09-07 08:24:00:559,129883,129883,0,0,60949827150,649696493,126421,2894,568,369,391823,0 123,2,2024-09-07 08:24:01:018,94736,94735,1,0,4839993,0,5215 123,3,2024-09-07 08:24:01:139,1,74,1,1,160,963,74,0 124,0,2024-09-07 08:24:00:934,19400,0.3,19299,0.5,36646,0.2,50627,1.50 124,1,2024-09-07 08:24:01:031,130166,130166,0,0,60597591900,630141144,129366,656,144,367,392178,0 124,2,2024-09-07 08:24:01:012,93473,93473,0,0,3757125,0,2477 124,3,2024-09-07 08:24:00:783,1,74,6,1,490,1624,74,0 125,0,2024-09-07 08:24:01:453,20491,0.7,20549,0.7,41021,0.8,54167,2.00 125,1,2024-09-07 08:24:00:869,129962,129962,0,0,60727707182,638319207,128225,1465,272,384,391702,0 125,2,2024-09-07 08:24:01:117,89719,89719,0,0,4046447,0,2180 125,3,2024-09-07 08:24:01:137,1,74,92,1,93,1083,74,0 126,0,2024-09-07 08:24:01:435,20042,1.2,20569,1.0,39345,2.1,52951,2.00 126,1,2024-09-07 08:24:00:560,130596,130596,0,0,61245159526,637570243,129548,993,55,365,391987,0 126,2,2024-09-07 08:24:00:613,95582,95582,0,0,4455853,0,3186 126,3,2024-09-07 08:24:00:911,1,74,2,0,122,1071,74,0 127,0,2024-09-07 08:24:01:629,13174,0.2,13103,0.4,26208,0.2,34392,1.50 127,1,2024-09-07 08:24:00:571,129980,129980,0,0,60648234564,631018485,128842,1115,23,365,391614,0 127,2,2024-09-07 08:24:00:637,95643,95643,0,0,3670070,0,1803 127,3,2024-09-07 08:24:01:277,1,74,2,0,99,622,74,0 128,0,2024-09-07 08:24:01:569,17412,0.2,17426,0.4,34223,0.2,46670,1.50 128,1,2024-09-07 08:24:01:608,129966,129966,0,0,61455466127,638305223,129246,650,70,367,391680,0 128,2,2024-09-07 08:24:01:385,92931,92931,0,0,4406100,0,2107 128,3,2024-09-07 08:24:00:835,1,74,2,1,112,886,74,0 129,0,2024-09-07 08:24:01:007,21715,0.4,21676,0.6,43221,0.4,57179,1.75 129,1,2024-09-07 08:24:00:567,129829,129829,0,0,60422110886,636015304,128006,1549,274,379,391835,0 129,2,2024-09-07 08:24:00:686,90590,90590,0,0,3797082,0,2446 129,3,2024-09-07 08:24:00:691,1,74,1,1,173,1124,74,0 130,0,2024-09-07 08:24:01:743,21946,1.4,21868,1.1,43765,2.0,58490,2.25 130,1,2024-09-07 08:24:00:583,129833,129833,0,0,60664419444,635687131,128520,1280,33,381,391609,0 130,2,2024-09-07 08:24:01:162,94364,94364,0,0,4496337,0,4067 130,3,2024-09-07 08:24:01:295,1,74,1,1,207,635,74,0 131,0,2024-09-07 08:24:01:935,15435,1.0,15374,0.9,31086,1.3,41354,1.75 131,1,2024-09-07 08:24:01:820,130680,130680,0,0,60573931930,633278693,129659,835,186,384,391865,0 131,2,2024-09-07 08:24:00:566,95087,95087,0,0,3717163,0,2415 131,3,2024-09-07 08:24:01:688,1,74,2,0,392,1120,74,0 132,0,2024-09-07 08:24:01:472,16670,0.3,16908,0.6,33539,0.2,44958,1.75 132,1,2024-09-07 08:24:00:587,129142,129142,0,0,60420590400,641397303,126553,1883,706,381,391719,0 132,2,2024-09-07 08:24:00:708,92765,92765,0,0,6058332,0,4606 132,3,2024-09-07 08:24:01:695,1,74,2,1,356,1021,74,0 133,0,2024-09-07 08:24:01:588,21489,0.5,21835,0.7,44988,0.5,58108,1.75 133,1,2024-09-07 08:24:00:600,128599,128599,0,0,59856926933,635841289,126387,2117,95,383,391755,0 133,2,2024-09-07 08:24:01:108,93712,93712,0,0,5225489,0,2444 133,3,2024-09-07 08:24:01:297,1,74,1,1,187,637,74,0 134,0,2024-09-07 08:24:01:056,22363,1.0,22358,1.1,44847,1.1,59866,2.25 134,1,2024-09-07 08:24:00:585,129917,129917,0,0,60421978101,639428666,127279,1657,981,366,391718,0 134,2,2024-09-07 08:24:01:766,91192,91192,0,0,3873605,0,2026 134,3,2024-09-07 08:24:00:749,1,74,2,1,739,1965,74,0 135,0,2024-09-07 08:24:01:120,16132,1.7,16121,1.6,34091,1.9,44117,2.50 135,1,2024-09-07 08:24:01:588,129470,129470,0,0,61151946797,644746573,127523,1620,327,380,391703,0 135,2,2024-09-07 08:24:00:700,95108,95108,0,0,4854359,0,3981 135,3,2024-09-07 08:24:01:003,1,74,1,0,68,487,74,0 136,0,2024-09-07 08:24:01:626,15104,0.4,15100,0.6,30059,0.3,40575,2.00 136,1,2024-09-07 08:24:01:446,129673,129673,0,0,60285720380,635830742,127769,1757,147,384,391621,0 136,2,2024-09-07 08:24:01:145,95374,95374,0,0,4362390,0,2379 136,3,2024-09-07 08:24:01:116,1,74,1,1,108,873,74,0 137,0,2024-09-07 08:24:00:978,20446,0.8,19823,0.9,39272,0.8,53144,2.00 137,1,2024-09-07 08:24:00:578,129646,129646,0,0,60684444955,638692236,127673,1860,113,368,391608,0 137,2,2024-09-07 08:24:01:720,93338,93338,0,0,5390591,0,2632 137,3,2024-09-07 08:24:00:797,1,74,13,1,227,1107,74,0 138,0,2024-09-07 08:24:01:815,19925,2.8,20081,1.7,40682,3.9,54755,3.00 138,1,2024-09-07 08:24:01:702,129571,129571,0,0,61143332242,645089273,127240,2067,264,370,391954,0 138,2,2024-09-07 08:24:00:599,90142,90142,0,0,4537264,0,3263 138,3,2024-09-07 08:24:00:612,1,74,2,0,1160,1933,74,0 139,0,2024-09-07 08:24:01:397,18093,4.6,18061,2.5,36560,5.6,49257,4.00 139,1,2024-09-07 08:24:00:577,129084,129084,0,0,59902231223,641594114,126195,2160,729,381,391892,0 139,2,2024-09-07 08:24:00:695,94610,94610,0,0,5876197,0,3097 139,3,2024-09-07 08:24:01:663,1,74,1,0,244,1341,74,0 140,0,2024-09-07 08:24:01:598,13353,0.3,13286,0.5,26825,0.2,35792,1.50 140,1,2024-09-07 08:24:01:536,130613,130613,0,0,61018947951,632471744,129685,731,197,365,391606,0 140,2,2024-09-07 08:24:00:690,96091,96091,0,0,4555897,0,3388 140,3,2024-09-07 08:24:00:833,1,74,5,0,25,572,74,0 141,0,2024-09-07 08:24:01:706,17772,0.2,18374,0.4,35680,0.1,48518,1.50 141,1,2024-09-07 08:24:00:861,130340,130340,0,0,61356917859,640412789,128921,1088,331,382,391538,0 141,2,2024-09-07 08:24:01:686,93697,93697,0,0,4042478,0,2342 141,3,2024-09-07 08:24:01:044,1,74,0,0,147,555,74,0 142,0,2024-09-07 08:24:01:323,21388,0.4,21115,0.6,42034,0.4,55997,1.75 142,1,2024-09-07 08:24:00:611,130590,130590,0,0,61005662483,636228434,130039,536,15,384,391649,0 142,2,2024-09-07 08:24:01:303,89402,89402,0,0,4277282,0,2293 142,3,2024-09-07 08:24:01:747,1,74,1,0,484,1325,74,0 143,0,2024-09-07 08:24:01:444,20479,1.1,20521,1.0,41283,1.5,54410,2.00 143,1,2024-09-07 08:24:00:561,130110,130110,0,0,60648295211,630705674,129132,951,27,367,391619,0 143,2,2024-09-07 08:24:00:794,95019,95019,0,0,4819294,0,2669 143,3,2024-09-07 08:24:01:173,1,74,19,0,236,1118,74,0 144,0,2024-09-07 08:24:01:511,14194,1.3,14641,5.9,29586,1.0,39268,2.25 144,1,2024-09-07 08:24:00:565,129657,129657,0,0,60417026017,636672394,128084,1381,192,381,391638,0 144,2,2024-09-07 08:24:01:766,95576,95576,0,0,4072861,0,3473 144,3,2024-09-07 08:24:01:745,1,74,2,1,169,1235,74,0 145,0,2024-09-07 08:24:01:396,16353,0.4,16382,0.6,34578,0.3,45273,1.75 145,1,2024-09-07 08:24:00:560,129109,129109,0,0,60365471907,637709636,127183,1662,264,383,391615,0 145,2,2024-09-07 08:24:01:431,93449,93449,0,0,5019557,0,3903 145,3,2024-09-07 08:24:00:897,1,74,1,0,151,1001,74,0 146,0,2024-09-07 08:24:01:616,21667,0.7,21706,0.9,43565,0.8,57373,2.25 146,1,2024-09-07 08:24:01:595,130216,130216,0,0,60675752781,640547457,127922,1993,301,368,391600,0 146,2,2024-09-07 08:24:01:703,91258,91258,0,0,4638466,0,2379 146,3,2024-09-07 08:24:01:281,1,74,53,0,1520,3068,74,0 147,0,2024-09-07 08:24:01:749,21941,2.8,21711,1.7,43229,4.2,59331,3.25 147,1,2024-09-07 08:24:01:373,130154,130154,0,0,61399273362,640861242,128437,1363,354,368,391791,0 147,2,2024-09-07 08:24:01:009,93577,93577,0,0,4512845,0,2789 147,3,2024-09-07 08:24:00:913,1,74,3,1,141,806,74,0 0,0,2024-09-07 08:24:11:822,20721,0.7,20693,0.8,43697,0.8,57424,1.75 0,1,2024-09-07 08:24:10:815,131862,131862,0,0,61759731823,649698430,130637,1098,127,372,391673,0 0,2,2024-09-07 08:24:11:069,94665,94665,0,0,4553453,0,4480 0,3,2024-09-07 08:24:10:977,1,75,15,0,247,1139,75,0 1,0,2024-09-07 08:24:11:808,21776,2.8,21652,1.8,43702,4.4,59004,2.75 1,1,2024-09-07 08:24:10:567,131108,131108,0,0,60900590363,641497560,129417,1162,529,372,391857,0 1,2,2024-09-07 08:24:10:642,91594,91594,0,0,4146205,0,3267 1,3,2024-09-07 08:24:11:319,1,75,1,0,167,749,75,0 2,0,2024-09-07 08:24:11:591,17782,1.4,17912,1.3,35521,2.8,47562,2.25 2,1,2024-09-07 08:24:10:860,131838,131838,0,0,62213937518,649171359,130757,877,204,381,391558,0 2,2,2024-09-07 08:24:11:267,95767,95767,0,0,4317319,0,3304 2,3,2024-09-07 08:24:10:690,1,75,0,0,214,808,75,0 3,0,2024-09-07 08:24:11:772,14525,0.3,14547,0.5,29108,0.2,38453,1.75 3,1,2024-09-07 08:24:11:626,131104,131104,0,0,61430256650,644218754,129125,1593,386,382,391516,0 3,2,2024-09-07 08:24:11:141,96330,96307,23,0,4801796,0,5851 3,3,2024-09-07 08:24:11:759,1,75,2,0,103,577,75,0 4,0,2024-09-07 08:24:11:913,18714,0.3,19119,0.5,38787,0.2,51488,1.50 4,1,2024-09-07 08:24:10:619,131457,131457,0,0,61398011086,649903259,129562,1477,418,371,391846,0 4,2,2024-09-07 08:24:11:024,94701,94701,0,0,5421011,0,4528 4,3,2024-09-07 08:24:11:032,1,75,1,1,287,1344,75,0 5,0,2024-09-07 08:24:11:402,20652,0.8,20478,0.8,41346,1.0,54521,2.00 5,1,2024-09-07 08:24:10:779,131408,131408,0,0,61619203499,651210776,129198,1769,441,368,392005,0 5,2,2024-09-07 08:24:11:847,90325,90325,0,0,4301450,0,2259 5,3,2024-09-07 08:24:11:735,1,75,6,0,238,1536,75,0 6,0,2024-09-07 08:24:10:938,20078,1.1,19833,1.3,39624,1.6,52960,2.75 6,1,2024-09-07 08:24:10:745,131952,131952,0,0,61697612279,644643743,130830,1053,69,381,391603,0 6,2,2024-09-07 08:24:11:122,96966,96966,0,0,4619077,0,2411 6,3,2024-09-07 08:24:11:274,1,75,1,0,340,1453,75,0 7,0,2024-09-07 08:24:11:539,12982,0.3,13000,0.5,26151,0.2,34568,1.75 7,1,2024-09-07 08:24:10:868,131754,131754,0,0,61648442846,645125095,130774,916,64,383,391664,0 7,2,2024-09-07 08:24:10:777,96573,96573,0,0,4355554,0,2981 7,3,2024-09-07 08:24:10:862,1,75,1,0,83,657,75,0 8,0,2024-09-07 08:24:11:396,17885,0.3,17855,0.5,35242,0.2,47898,1.50 8,1,2024-09-07 08:24:11:022,131371,131371,0,0,61449290065,656822863,127886,2232,1253,368,391724,0 8,2,2024-09-07 08:24:10:814,93863,93863,0,0,5439744,0,2986 8,3,2024-09-07 08:24:10:594,1,75,16,0,229,1098,75,0 9,0,2024-09-07 08:24:11:247,21655,0.4,21057,0.6,44142,0.4,57305,1.75 9,1,2024-09-07 08:24:10:564,131710,131710,0,0,62325340126,660312108,129266,1876,568,370,391738,0 9,2,2024-09-07 08:24:11:127,91581,91581,0,0,4925912,0,3360 9,3,2024-09-07 08:24:11:986,1,75,3,0,149,1047,75,0 10,0,2024-09-07 08:24:11:621,21841,0.8,21891,0.9,43722,0.7,58222,2.00 10,1,2024-09-07 08:24:10:588,130940,130940,0,0,61244976844,650734475,127604,2814,522,381,391541,0 10,2,2024-09-07 08:24:10:765,95493,95493,0,0,5102363,0,2940 10,3,2024-09-07 08:24:10:871,1,75,0,0,136,608,75,0 11,0,2024-09-07 08:24:11:033,15258,1.1,14868,1.3,31164,4.0,41982,2.25 11,1,2024-09-07 08:24:10:578,131248,131248,0,0,61528183102,650960063,128607,1826,815,384,391537,0 11,2,2024-09-07 08:24:11:130,96216,96216,0,0,4820507,0,2635 11,3,2024-09-07 08:24:11:299,1,75,0,0,720,2371,75,0 12,0,2024-09-07 08:24:11:007,17302,0.3,17363,0.5,34484,0.2,45929,1.50 12,1,2024-09-07 08:24:10:936,130948,130948,0,0,60509318641,636757024,129288,1560,100,371,391790,0 12,2,2024-09-07 08:24:11:574,95548,95548,0,0,4440670,0,2254 12,3,2024-09-07 08:24:11:064,1,75,1,0,358,1450,75,0 13,0,2024-09-07 08:24:11:435,22145,0.4,22336,0.6,44380,0.4,58861,1.75 13,1,2024-09-07 08:24:11:568,131490,131490,0,0,61351102507,647778816,129896,1227,367,384,391717,0 13,2,2024-09-07 08:24:10:613,94224,94224,0,0,4061407,0,3287 13,3,2024-09-07 08:24:11:788,1,75,8,1,373,1247,75,0 14,0,2024-09-07 08:24:10:579,22659,0.8,22732,0.9,45140,1.0,60422,2.00 14,1,2024-09-07 08:24:11:574,132695,132695,0,0,61341889797,637122925,131812,852,31,365,391546,0 14,2,2024-09-07 08:24:10:771,92626,92626,0,0,4575084,0,2793 14,3,2024-09-07 08:24:11:120,1,75,2,0,906,1284,75,0 15,0,2024-09-07 08:24:11:561,16736,1.6,16551,1.4,33288,2.5,44751,3.00 15,1,2024-09-07 08:24:11:614,131180,131180,0,0,61325887067,639467162,130378,767,35,381,391536,0 15,2,2024-09-07 08:24:11:007,96986,96986,0,0,3686124,0,3043 15,3,2024-09-07 08:24:11:417,1,75,2,0,538,1854,75,0 16,0,2024-09-07 08:24:11:086,15405,0.3,15527,0.6,30769,0.2,41683,2.00 16,1,2024-09-07 08:24:10:575,131201,131201,0,0,61272019191,643227610,130067,1106,28,372,391756,0 16,2,2024-09-07 08:24:11:458,95134,95134,0,0,4938454,0,4719 16,3,2024-09-07 08:24:11:148,1,75,1,1,231,1346,75,0 17,0,2024-09-07 08:24:11:857,20733,0.5,20276,0.7,39765,0.5,53826,2.00 17,1,2024-09-07 08:24:10:575,131418,131418,0,0,61104081154,646493366,129539,1356,523,369,391688,0 17,2,2024-09-07 08:24:11:675,96133,96133,0,0,3830278,0,2857 17,3,2024-09-07 08:24:10:574,1,75,3,0,268,1451,75,0 18,0,2024-09-07 08:24:10:959,20335,1.5,20566,1.3,41263,1.8,55117,2.50 18,1,2024-09-07 08:24:11:642,131692,131692,0,0,61664218466,643034543,130442,1032,218,368,391555,0 18,2,2024-09-07 08:24:11:759,90659,90659,0,0,4625066,0,3541 18,3,2024-09-07 08:24:10:921,1,75,12,0,163,961,75,0 19,0,2024-09-07 08:24:11:554,18425,1.6,18588,1.4,36784,1.8,48893,4.75 19,1,2024-09-07 08:24:10:567,131933,131933,0,0,61926195804,648870808,130065,1704,164,367,391777,0 19,2,2024-09-07 08:24:11:759,97137,97137,0,0,4286465,0,3988 19,3,2024-09-07 08:24:11:130,1,75,1,0,524,1187,75,0 20,0,2024-09-07 08:24:11:430,13819,0.3,13783,0.5,27324,0.2,36448,1.75 20,1,2024-09-07 08:24:10:586,131720,131720,0,0,62025904116,652702348,130024,1534,162,370,391598,0 20,2,2024-09-07 08:24:10:941,96585,96585,0,0,4306557,0,2446 20,3,2024-09-07 08:24:10:589,1,75,2,0,99,929,75,0 21,0,2024-09-07 08:24:11:351,18702,0.4,18591,0.6,37021,0.3,49444,1.75 21,1,2024-09-07 08:24:11:574,131161,131161,0,0,61453699999,650906741,128824,1842,495,368,391962,0 21,2,2024-09-07 08:24:11:076,94927,94927,0,0,4522226,0,3747 21,3,2024-09-07 08:24:11:413,1,75,3,0,93,1062,75,0 22,0,2024-09-07 08:24:11:725,20843,2.0,20813,1.4,41537,3.8,55378,3.00 22,1,2024-09-07 08:24:11:029,131198,131198,0,0,61277539531,650401760,128057,2415,726,382,391667,0 22,2,2024-09-07 08:24:10:771,90976,90976,0,0,3926934,0,3134 22,3,2024-09-07 08:24:11:071,1,75,1,0,62,426,75,0 23,0,2024-09-07 08:24:11:379,20469,1.3,20615,1.1,41214,1.8,54750,2.50 23,1,2024-09-07 08:24:11:007,131904,131904,0,0,61865462361,644234479,130555,1095,254,367,391496,0 23,2,2024-09-07 08:24:11:099,96234,96234,0,0,4212491,0,2078 23,3,2024-09-07 08:24:11:788,1,75,2,0,645,1341,75,0 24,0,2024-09-07 08:24:10:897,15119,0.6,15072,0.7,30142,0.6,39580,1.75 24,1,2024-09-07 08:24:10:581,131822,131822,0,0,61705620039,644537068,131008,807,7,369,391640,0 24,2,2024-09-07 08:24:11:097,96022,96022,0,0,5514997,0,2942 24,3,2024-09-07 08:24:11:700,1,75,2,1,234,1106,75,0 25,0,2024-09-07 08:24:11:604,17815,0.3,17371,0.5,34084,0.2,46447,1.50 25,1,2024-09-07 08:24:10:580,131318,131318,0,0,61454404355,649514560,129164,1838,316,371,391788,0 25,2,2024-09-07 08:24:11:621,94337,94337,0,0,4992469,0,3284 25,3,2024-09-07 08:24:11:013,1,75,1,1,158,751,75,0 26,0,2024-09-07 08:24:11:737,21756,0.5,21191,0.6,44342,0.4,57996,1.75 26,1,2024-09-07 08:24:11:573,131714,131714,0,0,61589522444,654460632,128252,2627,835,381,391564,0 26,2,2024-09-07 08:24:10:881,92846,92846,0,0,4935912,0,2809 26,3,2024-09-07 08:24:11:718,1,75,4,0,796,1277,75,0 27,0,2024-09-07 08:24:11:739,22387,1.0,22538,0.9,44748,1.5,59954,2.00 27,1,2024-09-07 08:24:11:684,132383,132383,0,0,62490097425,651006938,131244,844,295,381,391539,0 27,2,2024-09-07 08:24:10:869,93390,93390,0,0,5938167,0,3409 27,3,2024-09-07 08:24:11:022,1,75,1,0,564,1227,75,0 28,0,2024-09-07 08:24:11:442,16128,0.6,16380,0.8,32555,0.8,43642,2.00 28,1,2024-09-07 08:24:10:814,132135,132135,0,0,62556440577,653396032,131075,860,200,383,391646,0 28,2,2024-09-07 08:24:11:768,96196,96196,0,0,3918751,0,2609 28,3,2024-09-07 08:24:11:808,1,75,2,0,502,1227,75,0 29,0,2024-09-07 08:24:11:394,16703,0.3,16299,0.5,32111,0.2,43874,1.75 29,1,2024-09-07 08:24:11:809,132854,132854,0,0,61827388303,640216302,132091,591,172,369,391621,0 29,2,2024-09-07 08:24:10:862,95600,95600,0,0,3405273,0,2026 29,3,2024-09-07 08:24:10:975,1,75,1,0,105,673,75,0 30,0,2024-09-07 08:24:11:472,21180,0.6,20701,0.7,43316,0.6,57097,2.25 30,1,2024-09-07 08:24:10:577,132032,132032,0,0,61954751504,646322772,131056,911,65,382,391524,0 30,2,2024-09-07 08:24:11:275,95471,95471,0,0,3436560,0,2534 30,3,2024-09-07 08:24:10:582,1,75,4,0,195,643,75,0 31,0,2024-09-07 08:24:11:802,21742,1.4,21717,1.1,43979,1.8,58678,2.75 31,1,2024-09-07 08:24:10:569,132467,132467,0,0,62173954168,638044726,132217,248,2,356,391553,0 31,2,2024-09-07 08:24:11:276,92012,92012,0,0,4844006,0,3525 31,3,2024-09-07 08:24:11:706,1,75,4,0,129,563,75,0 32,0,2024-09-07 08:24:11:433,18032,1.4,18156,1.1,36392,2.8,48188,1.75 32,1,2024-09-07 08:24:10:812,131865,131865,0,0,62162006931,647614449,130862,974,29,382,391595,0 32,2,2024-09-07 08:24:10:958,96584,96584,0,0,4044145,0,3155 32,3,2024-09-07 08:24:11:031,1,75,1,0,110,648,75,0 33,0,2024-09-07 08:24:11:503,14591,0.2,14388,0.4,29151,0.1,38417,1.50 33,1,2024-09-07 08:24:10:590,132272,132272,0,0,62335182049,649367048,130611,1538,123,369,391526,0 33,2,2024-09-07 08:24:10:764,96181,96148,33,0,5580097,0,7012 33,3,2024-09-07 08:24:10:901,1,75,6,0,129,513,75,0 34,0,2024-09-07 08:24:10:948,19198,0.2,19782,0.4,38143,0.1,51420,1.50 34,1,2024-09-07 08:24:11:044,132277,132277,0,0,62037791145,640504716,131681,592,4,367,391517,0 34,2,2024-09-07 08:24:10:778,94505,94505,0,0,4580882,0,3255 34,3,2024-09-07 08:24:11:697,1,75,3,0,148,692,75,0 35,0,2024-09-07 08:24:10:876,20333,0.9,20554,0.8,40999,1.1,54464,2.00 35,1,2024-09-07 08:24:11:076,131916,131916,0,0,62232230135,648228059,130448,1106,362,384,391587,0 35,2,2024-09-07 08:24:11:586,90610,90610,0,0,3968187,0,2542 35,3,2024-09-07 08:24:10:921,1,75,25,0,219,1073,75,0 36,0,2024-09-07 08:24:11:536,19987,1.8,19915,1.4,40243,2.4,52937,2.50 36,1,2024-09-07 08:24:10:599,131700,131700,0,0,61300187864,647162375,128689,2080,931,366,391535,0 36,2,2024-09-07 08:24:11:794,96322,96322,0,0,5183011,0,3303 36,3,2024-09-07 08:24:10:870,1,75,1,0,378,1094,75,0 37,0,2024-09-07 08:24:11:382,13160,0.3,13118,0.5,26303,0.2,34766,1.75 37,1,2024-09-07 08:24:10:574,131230,131223,0,7,61203832745,649854825,128383,1323,1517,365,391518,0 37,2,2024-09-07 08:24:11:150,96690,96690,0,0,3812687,0,2333 37,3,2024-09-07 08:24:11:767,1,75,1,0,724,1802,75,0 38,0,2024-09-07 08:24:11:458,17756,0.3,17233,0.5,35903,0.2,47834,1.75 38,1,2024-09-07 08:24:11:606,131989,131989,0,0,62110575976,652289914,129835,1707,447,370,391821,0 38,2,2024-09-07 08:24:10:762,95035,95035,0,0,4575386,0,3245 38,3,2024-09-07 08:24:10:997,1,75,1,0,603,1413,75,0 39,0,2024-09-07 08:24:11:802,22260,1.0,21854,0.9,42333,1.2,57448,2.00 39,1,2024-09-07 08:24:10:718,131761,131761,0,0,61245803096,644597511,129129,1994,638,366,391524,0 39,2,2024-09-07 08:24:11:420,91527,91527,0,0,4171710,0,2381 39,3,2024-09-07 08:24:10:724,1,75,8,0,276,1217,75,0 40,0,2024-09-07 08:24:11:502,21401,1.8,21805,2.0,43214,3.6,57745,3.50 40,1,2024-09-07 08:24:10:578,131380,131380,0,0,60864105367,644527510,128126,2501,753,369,391591,0 40,2,2024-09-07 08:24:11:312,95543,95542,1,0,5421302,0,5137 40,3,2024-09-07 08:24:11:142,1,75,3,0,110,798,75,0 41,0,2024-09-07 08:24:11:047,15063,0.6,15383,4.2,29519,0.7,40812,2.50 41,1,2024-09-07 08:24:10:790,131257,131257,0,0,61756006782,650790063,128834,2110,313,370,391742,0 41,2,2024-09-07 08:24:10:764,95881,95881,0,0,5614344,0,3356 41,3,2024-09-07 08:24:11:684,1,75,39,0,168,842,75,0 42,0,2024-09-07 08:24:11:484,17024,0.5,17238,0.7,34323,0.4,45969,2.00 42,1,2024-09-07 08:24:11:441,131002,131002,0,0,61134884848,648543825,128009,2163,830,381,391511,0 42,2,2024-09-07 08:24:11:132,94535,94535,0,0,4901230,0,3568 42,3,2024-09-07 08:24:11:009,1,75,1,0,100,677,75,0 43,0,2024-09-07 08:24:10:939,22047,1.1,21520,1.0,44841,1.4,58995,2.00 43,1,2024-09-07 08:24:10:581,131318,131318,0,0,61623735399,647890545,129052,1514,752,366,391604,0 43,2,2024-09-07 08:24:11:742,94492,94492,0,0,4957271,0,3812 43,3,2024-09-07 08:24:11:757,1,75,8,0,325,1713,75,0 44,0,2024-09-07 08:24:10:886,22728,0.8,22596,1.0,45254,0.9,60974,2.00 44,1,2024-09-07 08:24:10:571,131813,131813,0,0,61634093571,638604887,130793,913,107,356,391523,0 44,2,2024-09-07 08:24:11:273,93129,93129,0,0,3578405,0,1865 44,3,2024-09-07 08:24:11:098,1,75,1,0,817,1331,75,0 45,0,2024-09-07 08:24:11:767,16505,2.2,16158,1.6,33839,5.3,45079,2.00 45,1,2024-09-07 08:24:11:012,131991,131991,0,0,62045231132,644549192,131523,467,1,382,391917,0 45,2,2024-09-07 08:24:11:271,96680,96680,0,0,4221730,0,2628 45,3,2024-09-07 08:24:10:934,1,75,0,0,226,808,75,0 46,0,2024-09-07 08:24:10:966,15550,0.2,15579,0.4,31151,0.2,41673,1.75 46,1,2024-09-07 08:24:10:582,132161,132161,0,0,62431133600,646725296,131313,811,37,368,391514,0 46,2,2024-09-07 08:24:10:594,95940,95940,0,0,4151240,0,2920 46,3,2024-09-07 08:24:11:131,1,75,1,0,315,1067,75,0 47,0,2024-09-07 08:24:11:114,20118,0.3,20416,0.5,40701,0.2,53681,1.50 47,1,2024-09-07 08:24:10:576,132542,132542,0,0,62094454199,641331758,132034,504,4,366,391605,0 47,2,2024-09-07 08:24:10:908,96082,96082,0,0,4155353,0,2558 47,3,2024-09-07 08:24:11:124,1,75,1,0,529,1138,75,0 48,0,2024-09-07 08:24:11:547,21133,0.4,20899,0.5,41501,0.3,55887,1.75 48,1,2024-09-07 08:24:11:025,131976,131976,0,0,61815294537,644617172,130777,1193,6,384,391637,0 48,2,2024-09-07 08:24:10:706,91173,91173,0,0,3303464,0,2083 48,3,2024-09-07 08:24:10:754,1,75,3,0,30,632,75,0 49,0,2024-09-07 08:24:11:731,19172,1.2,18769,1.1,36780,2.0,50305,2.00 49,1,2024-09-07 08:24:11:032,131358,131358,0,0,61416765330,644500104,129619,1029,710,382,391583,0 49,2,2024-09-07 08:24:11:806,96668,96668,0,0,4468959,0,3900 49,3,2024-09-07 08:24:11:421,1,75,4,0,274,837,75,0 50,0,2024-09-07 08:24:11:519,13809,0.2,13557,0.4,27500,0.1,36878,1.50 50,1,2024-09-07 08:24:11:019,132474,132474,0,0,61941635565,645180918,130805,1372,297,368,391530,0 50,2,2024-09-07 08:24:11:074,97094,97094,0,0,3732886,0,2253 50,3,2024-09-07 08:24:11:292,1,75,1,0,335,883,75,0 51,0,2024-09-07 08:24:11:702,19004,0.2,18502,0.4,36363,0.1,49839,1.50 51,1,2024-09-07 08:24:11:695,131817,131817,0,0,62949176303,656425846,130018,1016,783,367,391637,0 51,2,2024-09-07 08:24:11:339,94662,94662,0,0,3190520,0,2448 51,3,2024-09-07 08:24:11:036,1,75,2,0,162,532,75,0 52,0,2024-09-07 08:24:11:445,21119,0.8,21281,0.9,42511,1.0,55913,2.25 52,1,2024-09-07 08:24:10:584,131278,131278,0,0,61468980651,648239328,128766,2048,464,368,391529,0 52,2,2024-09-07 08:24:11:793,90530,90530,0,0,4407802,0,4779 52,3,2024-09-07 08:24:10:675,1,75,1,0,1782,2441,75,0 53,0,2024-09-07 08:24:11:751,20395,2.0,19668,1.5,40932,3.1,53983,2.75 53,1,2024-09-07 08:24:10:777,131273,131273,0,0,62062181082,654342249,128650,2048,575,370,391617,0 53,2,2024-09-07 08:24:11:302,97291,97291,0,0,4010663,0,1902 53,3,2024-09-07 08:24:10:702,1,75,1,0,59,472,75,0 54,0,2024-09-07 08:24:11:638,14092,8.3,14329,2.5,28349,1.1,38439,4.75 54,1,2024-09-07 08:24:10:601,131448,131448,0,0,62161017915,650854006,129338,1794,316,367,391520,0 54,2,2024-09-07 08:24:10:871,96905,96899,6,0,4849574,0,5382 54,3,2024-09-07 08:24:10:770,1,75,0,0,676,1702,75,0 55,0,2024-09-07 08:24:11:816,16917,0.3,17451,0.6,35396,0.2,46025,2.00 55,1,2024-09-07 08:24:10:771,131110,131110,0,0,61120336658,645067952,127628,2780,702,367,391731,0 55,2,2024-09-07 08:24:10:730,94574,94574,0,0,4764744,0,3275 55,3,2024-09-07 08:24:10:675,1,75,0,0,136,852,75,0 56,0,2024-09-07 08:24:11:629,22383,2.0,21087,1.4,43486,2.4,57975,2.50 56,1,2024-09-07 08:24:10:597,130939,130939,0,0,62102523818,662984626,127542,2649,748,382,391678,0 56,2,2024-09-07 08:24:11:319,93042,93042,0,0,5248052,0,3567 56,3,2024-09-07 08:24:11:074,1,75,8,0,297,1000,75,0 57,0,2024-09-07 08:24:11:014,21906,3.2,21646,1.9,43575,5.4,58681,4.25 57,1,2024-09-07 08:24:10:992,130850,130850,0,0,61307896588,643692177,129372,1458,20,368,391760,0 57,2,2024-09-07 08:24:11:330,94783,94783,0,0,5210442,0,3178 57,3,2024-09-07 08:24:11:748,1,75,1,1,359,1668,75,0 58,0,2024-09-07 08:24:10:562,15396,0.7,15076,1.0,31557,0.5,41808,2.75 58,1,2024-09-07 08:24:10:575,132403,132400,0,3,62306053520,650818655,130803,1437,160,369,391516,3 58,2,2024-09-07 08:24:11:071,95996,95996,0,0,4913343,0,2549 58,3,2024-09-07 08:24:11:077,1,75,1,0,219,871,75,0 59,0,2024-09-07 08:24:11:749,16440,0.5,16320,0.8,32874,0.4,43549,2.00 59,1,2024-09-07 08:24:10:805,131222,131222,0,0,61275383836,646454499,128973,1482,767,369,391515,0 59,2,2024-09-07 08:24:10:583,95204,95204,0,0,4382331,0,2604 59,3,2024-09-07 08:24:11:748,1,75,2,0,1015,1988,75,0 60,0,2024-09-07 08:24:11:794,21473,0.5,21596,0.6,42718,0.5,57519,1.75 60,1,2024-09-07 08:24:10:806,132677,132677,0,0,62075977129,646819676,131592,738,347,370,391758,0 60,2,2024-09-07 08:24:11:152,94817,94817,0,0,3903787,0,2142 60,3,2024-09-07 08:24:11:269,1,75,2,0,124,928,75,0 61,0,2024-09-07 08:24:11:537,21718,2.6,21834,1.6,43510,3.9,58697,2.25 61,1,2024-09-07 08:24:10:785,131373,131373,0,0,61386820847,649215711,128812,2025,536,383,391589,0 61,2,2024-09-07 08:24:11:116,92006,92006,0,0,4035000,0,1846 61,3,2024-09-07 08:24:11:698,1,75,1,0,199,1124,75,0 62,0,2024-09-07 08:24:11:725,18136,1.5,18581,1.2,35473,2.7,48133,2.25 62,1,2024-09-07 08:24:11:127,132219,132213,0,6,62048640543,643720406,130960,1216,37,365,391586,6 62,2,2024-09-07 08:24:11:643,96061,96061,0,0,4547809,0,2931 62,3,2024-09-07 08:24:11:147,1,75,2,0,287,669,75,0 63,0,2024-09-07 08:24:11:459,14446,0.3,14392,0.6,29068,0.2,38414,1.75 63,1,2024-09-07 08:24:10:847,132592,132587,0,5,61965078433,641807533,132180,404,3,381,391540,5 63,2,2024-09-07 08:24:10:775,96178,96178,0,0,4138050,0,2674 63,3,2024-09-07 08:24:11:733,1,75,1,0,667,1866,75,0 64,0,2024-09-07 08:24:11:536,19144,0.3,19018,0.5,38296,0.2,51435,1.75 64,1,2024-09-07 08:24:10:816,131926,131926,0,0,61679810389,651066804,129487,1645,794,371,391783,0 64,2,2024-09-07 08:24:11:170,95372,95353,19,0,4511607,0,6121 64,3,2024-09-07 08:24:11:148,1,75,5,1,265,1020,75,0 65,0,2024-09-07 08:24:11:738,19992,2.3,20303,1.5,40463,3.3,53900,3.75 65,1,2024-09-07 08:24:10:888,131416,131416,0,0,61276854845,644403388,129707,1490,219,382,391701,0 65,2,2024-09-07 08:24:11:714,91072,91072,0,0,4557178,0,3367 65,3,2024-09-07 08:24:11:689,1,75,10,0,163,862,75,0 66,0,2024-09-07 08:24:11:802,19509,0.8,19731,1.0,39518,0.8,52341,2.50 66,1,2024-09-07 08:24:11:297,132609,132609,0,0,61697182933,640800448,131918,670,21,380,391588,0 66,2,2024-09-07 08:24:11:135,97455,97455,0,0,4235615,0,3867 66,3,2024-09-07 08:24:11:096,1,75,1,0,291,953,75,0 67,0,2024-09-07 08:24:11:424,13262,0.5,13312,0.6,26268,0.3,34710,2.00 67,1,2024-09-07 08:24:10:780,131283,131282,0,1,61654608331,649009992,129262,1495,525,382,391787,1 67,2,2024-09-07 08:24:10:591,97706,97706,0,0,3937067,0,2889 67,3,2024-09-07 08:24:11:787,1,75,0,0,138,656,75,0 68,0,2024-09-07 08:24:10:597,17834,0.4,17770,0.7,35468,0.4,47770,2.00 68,1,2024-09-07 08:24:10:574,131130,131130,0,0,61045879192,642379954,129713,895,522,382,391953,0 68,2,2024-09-07 08:24:11:047,94866,94866,0,0,4097178,0,4883 68,3,2024-09-07 08:24:10:741,1,75,9,0,63,766,75,0 69,0,2024-09-07 08:24:11:744,21657,1.5,21607,1.2,43082,1.9,57467,2.75 69,1,2024-09-07 08:24:11:037,131276,131276,0,0,61773016979,656352923,129282,1129,865,384,391532,0 69,2,2024-09-07 08:24:11:748,91728,91728,0,0,4648933,0,3153 69,3,2024-09-07 08:24:10:762,1,75,20,0,238,1177,75,0 70,0,2024-09-07 08:24:11:557,21455,3.2,21499,2.3,43420,2.1,57410,4.50 70,1,2024-09-07 08:24:10:804,132145,132145,0,0,62271969041,646353620,131229,781,135,368,391527,0 70,2,2024-09-07 08:24:11:331,95543,95543,0,0,4598103,0,4044 70,3,2024-09-07 08:24:10:747,1,75,8,0,178,518,75,0 71,0,2024-09-07 08:24:11:388,15150,1.3,15150,2.8,29877,1.8,41017,4.00 71,1,2024-09-07 08:24:11:600,131867,131867,0,0,62033177290,650677379,129665,1886,316,368,391682,0 71,2,2024-09-07 08:24:11:077,96588,96588,0,0,4322736,0,2146 71,3,2024-09-07 08:24:11:796,1,75,1,0,219,1022,75,0 72,0,2024-09-07 08:24:11:060,17668,0.4,17147,0.6,33918,0.3,46092,2.25 72,1,2024-09-07 08:24:11:045,132162,132162,0,0,61588111885,644130385,130611,1473,78,370,391556,0 72,2,2024-09-07 08:24:11:807,94092,94092,0,0,5582194,0,2570 72,3,2024-09-07 08:24:11:783,1,75,22,0,325,1352,75,0 73,0,2024-09-07 08:24:11:141,21680,0.5,22244,0.6,45143,0.4,58627,2.25 73,1,2024-09-07 08:24:10:776,131713,131713,0,0,61516458764,641908822,130747,922,44,368,391627,0 73,2,2024-09-07 08:24:11:804,95039,95039,0,0,5082165,0,3482 73,3,2024-09-07 08:24:10:980,1,75,3,0,274,1387,75,0 74,0,2024-09-07 08:24:11:359,22643,1.5,23139,1.3,44345,2.1,60528,3.25 74,1,2024-09-07 08:24:10:663,131564,131564,0,0,61347960037,644142733,129664,1328,572,382,391511,0 74,2,2024-09-07 08:24:11:007,92804,92804,0,0,5367817,0,4253 74,3,2024-09-07 08:24:11:456,1,75,1,0,246,1466,75,0 75,0,2024-09-07 08:24:11:795,16654,2.4,16757,1.6,33451,4.3,45134,3.25 75,1,2024-09-07 08:24:11:589,131712,131712,0,0,61127039726,642661458,130012,1596,104,381,391579,0 75,2,2024-09-07 08:24:11:365,95996,95996,0,0,5221436,0,4766 75,3,2024-09-07 08:24:11:074,1,75,101,0,143,582,75,0 76,0,2024-09-07 08:24:10:630,15544,0.3,15360,0.5,30766,0.2,41376,2.00 76,1,2024-09-07 08:24:11:034,131662,131662,0,0,60963068462,642069166,129851,1305,506,382,391530,0 76,2,2024-09-07 08:24:11:078,96465,96465,0,0,4144649,0,3064 76,3,2024-09-07 08:24:11:148,1,75,3,0,175,1009,75,0 77,0,2024-09-07 08:24:11:723,20076,0.5,20308,0.7,40610,0.5,53535,1.75 77,1,2024-09-07 08:24:10:847,131578,131578,0,0,61433349544,642356692,130541,968,69,383,391516,0 77,2,2024-09-07 08:24:11:291,95574,95574,0,0,3568088,0,1695 77,3,2024-09-07 08:24:11:096,1,75,1,0,139,770,75,0 78,0,2024-09-07 08:24:11:749,20817,1.2,20678,1.1,41584,1.7,55488,2.50 78,1,2024-09-07 08:24:10:614,132236,132236,0,0,61186852441,639214942,130817,1214,205,367,391589,0 78,2,2024-09-07 08:24:11:410,91236,91236,0,0,3564795,0,2114 78,3,2024-09-07 08:24:11:133,1,75,67,0,181,1244,75,0 79,0,2024-09-07 08:24:11:366,18036,0.8,18500,1.1,37690,1.0,49210,3.00 79,1,2024-09-07 08:24:10:575,132135,132135,0,0,61444241609,640325096,130796,1270,69,370,391682,0 79,2,2024-09-07 08:24:11:075,96845,96845,0,0,4211314,0,2679 79,3,2024-09-07 08:24:10:761,1,75,2,0,289,877,75,0 80,0,2024-09-07 08:24:11:094,13618,0.4,14023,0.6,27174,0.2,36672,2.00 80,1,2024-09-07 08:24:11:623,131442,131442,0,0,62117655927,648558770,129851,1476,115,369,391673,0 80,2,2024-09-07 08:24:11:099,96818,96818,0,0,4332720,0,4433 80,3,2024-09-07 08:24:10:582,1,75,1,1,190,1237,75,0 81,0,2024-09-07 08:24:11:641,18617,0.4,19081,0.6,36562,0.3,49836,1.75 81,1,2024-09-07 08:24:11:679,131602,131602,0,0,61278687244,644878371,129713,1691,198,383,391680,0 81,2,2024-09-07 08:24:11:136,94345,94345,0,0,4580531,0,3993 81,3,2024-09-07 08:24:11:117,1,75,1,0,193,862,75,0 82,0,2024-09-07 08:24:11:561,21025,1.0,21063,1.1,42206,1.3,55987,2.25 82,1,2024-09-07 08:24:10:602,131575,131572,0,3,61741392612,647715336,129534,1466,572,382,391558,3 82,2,2024-09-07 08:24:11:695,92111,92111,0,0,3509006,0,2230 82,3,2024-09-07 08:24:11:756,1,75,1,0,227,1318,75,0 83,0,2024-09-07 08:24:11:545,20457,1.6,20376,1.4,40478,1.9,53796,3.00 83,1,2024-09-07 08:24:10:554,131399,131399,0,0,60719078031,636802476,129982,1317,100,383,391553,0 83,2,2024-09-07 08:24:10:775,96032,96032,0,0,4557303,0,3119 83,3,2024-09-07 08:24:10:752,1,75,1,0,91,602,75,0 84,0,2024-09-07 08:24:11:800,14600,4.1,14356,3.5,28822,0.8,39060,3.00 84,1,2024-09-07 08:24:11:065,131429,131429,0,0,61955236215,651384974,129813,1368,248,369,391638,0 84,2,2024-09-07 08:24:10:578,96334,96334,0,0,4658511,0,3801 84,3,2024-09-07 08:24:11:147,1,75,1,1,58,758,75,0 85,0,2024-09-07 08:24:11:067,16801,0.3,16831,0.5,35513,0.3,46185,1.75 85,1,2024-09-07 08:24:10:569,131097,131097,0,0,61355045358,651334931,128642,2027,428,384,391652,0 85,2,2024-09-07 08:24:10:872,94209,94209,0,0,4950008,0,3656 85,3,2024-09-07 08:24:10:688,1,75,4,0,115,768,75,0 86,0,2024-09-07 08:24:10:914,21771,0.8,22562,0.8,43382,1.0,57963,2.00 86,1,2024-09-07 08:24:10:828,131188,131188,0,0,61610183223,646702037,129393,1579,216,367,391622,0 86,2,2024-09-07 08:24:10:863,92739,92738,1,0,5155852,0,5004 86,3,2024-09-07 08:24:10:595,1,75,7,0,199,894,75,0 87,0,2024-09-07 08:24:11:315,22282,2.8,22116,1.7,44353,4.0,59819,3.25 87,1,2024-09-07 08:24:10:571,131496,131496,0,0,61803276859,649191462,129916,1459,121,366,391788,0 87,2,2024-09-07 08:24:11:070,94721,94721,0,0,3920862,0,2859 87,3,2024-09-07 08:24:11:797,1,75,1,1,322,1175,75,0 88,0,2024-09-07 08:24:11:509,16089,0.7,16400,0.9,32532,0.8,43628,2.00 88,1,2024-09-07 08:24:10:575,130954,130954,0,0,61971367602,649848823,129741,1116,97,367,391787,0 88,2,2024-09-07 08:24:10:707,96515,96515,0,0,5468993,0,3583 88,3,2024-09-07 08:24:11:269,1,75,16,1,77,918,75,0 89,0,2024-09-07 08:24:11:823,16939,0.3,16316,0.6,32502,0.3,43955,1.75 89,1,2024-09-07 08:24:10:566,130653,130653,0,0,61654695949,659285080,127332,2585,736,383,391866,0 89,2,2024-09-07 08:24:11:133,94857,94857,0,0,4965175,0,2726 89,3,2024-09-07 08:24:11:797,1,75,4,0,325,1911,75,0 90,0,2024-09-07 08:24:11:650,20747,0.5,21212,0.6,43586,0.5,57372,1.75 90,1,2024-09-07 08:24:10:590,131278,131278,0,0,61238762332,648077751,129227,1909,142,382,391825,0 90,2,2024-09-07 08:24:11:412,93981,93981,0,0,5597261,0,2635 90,3,2024-09-07 08:24:10:935,1,75,3,1,200,981,75,0 91,0,2024-09-07 08:24:10:960,22299,0.7,21557,0.8,44684,0.8,59038,2.00 91,1,2024-09-07 08:24:10:568,131205,131205,0,0,61941496345,656052915,128802,2039,364,383,391914,0 91,2,2024-09-07 08:24:11:339,91263,91263,0,0,4618363,0,1997 91,3,2024-09-07 08:24:10:613,1,75,3,1,155,1129,75,0 92,0,2024-09-07 08:24:11:469,18238,1.1,18686,1.1,35769,1.3,48243,1.75 92,1,2024-09-07 08:24:10:594,131798,131798,0,0,61639307219,643849951,131142,589,67,382,391717,0 92,2,2024-09-07 08:24:11:365,97565,97565,0,0,4445683,0,2279 92,3,2024-09-07 08:24:11:021,1,75,5,1,68,896,75,0 93,0,2024-09-07 08:24:10:968,14584,0.3,14821,0.5,28307,0.2,38457,1.50 93,1,2024-09-07 08:24:10:810,131795,131795,0,0,62138832091,652410366,130032,1327,436,367,391689,0 93,2,2024-09-07 08:24:10:936,96293,96293,0,0,4081990,0,2509 93,3,2024-09-07 08:24:11:412,1,75,2,0,143,687,75,0 94,0,2024-09-07 08:24:11:649,19295,0.3,19606,0.4,38387,0.2,51502,1.50 94,1,2024-09-07 08:24:10:645,131061,131061,0,0,61155558605,644342594,129477,1519,65,381,391850,0 94,2,2024-09-07 08:24:10:773,94859,94859,0,0,4080408,0,2443 94,3,2024-09-07 08:24:11:697,1,75,15,1,231,1304,75,0 95,0,2024-09-07 08:24:11:409,20570,0.5,20369,0.6,41398,0.5,54682,1.75 95,1,2024-09-07 08:24:10:876,132032,132032,0,0,61724478787,643233199,131233,735,64,367,391590,0 95,2,2024-09-07 08:24:11:019,90909,90909,0,0,4239107,0,3308 95,3,2024-09-07 08:24:11:718,1,75,3,0,307,1294,75,0 96,0,2024-09-07 08:24:11:059,20174,0.9,20049,0.9,40125,1.2,52828,1.75 96,1,2024-09-07 08:24:11:596,131708,131708,0,0,61599582119,649326569,129866,1271,571,385,391596,0 96,2,2024-09-07 08:24:11:270,97119,97119,0,0,4771409,0,4038 96,3,2024-09-07 08:24:11:148,1,75,2,0,36,691,75,0 97,0,2024-09-07 08:24:11:374,13172,0.3,13158,0.5,26409,0.3,34724,1.75 97,1,2024-09-07 08:24:10:777,131608,131608,0,0,62055609193,650925822,129417,1674,517,367,392140,0 97,2,2024-09-07 08:24:10:620,96681,96681,0,0,3994538,0,3036 97,3,2024-09-07 08:24:10:571,1,75,5,1,165,981,75,0 98,0,2024-09-07 08:24:11:793,17780,0.2,17556,0.4,35825,0.2,47918,1.50 98,1,2024-09-07 08:24:10:573,131748,131748,0,0,61671952155,645650716,130674,1029,45,382,391997,0 98,2,2024-09-07 08:24:10:777,95519,95519,0,0,4235959,0,3080 98,3,2024-09-07 08:24:10:728,1,75,6,1,155,995,75,0 99,0,2024-09-07 08:24:11:473,21743,0.4,21676,0.5,43335,0.4,57524,1.75 99,1,2024-09-07 08:24:11:744,132016,132016,0,0,61269644335,642103105,130532,1043,441,381,391744,0 99,2,2024-09-07 08:24:11:428,92648,92648,0,0,4191914,0,1858 99,3,2024-09-07 08:24:10:582,1,75,0,0,129,725,75,0 100,0,2024-09-07 08:24:11:508,21846,1.9,21679,2.3,43220,4.9,58256,2.75 100,1,2024-09-07 08:24:10:559,130790,130790,0,0,60860911017,649344575,128043,2402,345,381,391989,0 100,2,2024-09-07 08:24:11:824,95269,95258,11,0,5453429,0,5417 100,3,2024-09-07 08:24:11:754,1,75,3,1,443,1901,75,0 101,0,2024-09-07 08:24:11:719,15683,3.6,15294,1.8,30102,0.9,42042,2.75 101,1,2024-09-07 08:24:10:560,131257,131257,0,0,61851778729,651083512,129781,1027,449,369,391709,0 101,2,2024-09-07 08:24:11:760,96435,96435,0,0,4798306,0,4644 101,3,2024-09-07 08:24:10:955,1,75,7,0,448,1084,75,0 102,0,2024-09-07 08:24:10:992,16726,0.4,17359,0.6,34815,0.3,45927,1.75 102,1,2024-09-07 08:24:11:153,131249,131249,0,0,61339767308,650157019,128779,2002,468,369,391831,0 102,2,2024-09-07 08:24:11:746,95234,95234,0,0,3978570,0,1945 102,3,2024-09-07 08:24:11:621,1,75,1,0,410,877,75,0 103,0,2024-09-07 08:24:11:606,22755,0.5,22724,0.7,43034,0.5,59086,1.75 103,1,2024-09-07 08:24:11:634,131276,131276,0,0,61593996914,647148319,130049,1083,144,381,391680,0 103,2,2024-09-07 08:24:10:585,94214,94214,0,0,4265523,0,2104 103,3,2024-09-07 08:24:10:764,1,75,1,1,486,1111,75,0 104,0,2024-09-07 08:24:11:127,22572,1.9,22553,1.4,44003,2.4,60567,2.50 104,1,2024-09-07 08:24:11:740,132069,132069,0,0,61160316935,646641916,130119,1624,326,366,391948,0 104,2,2024-09-07 08:24:11:669,92032,92032,0,0,5050731,0,3941 104,3,2024-09-07 08:24:11:445,1,75,7,1,1245,3858,75,0 105,0,2024-09-07 08:24:11:079,16614,1.4,15998,1.6,33344,1.9,44822,3.50 105,1,2024-09-07 08:24:10:569,131236,131236,0,0,61307858659,650258195,128284,2206,746,367,391797,0 105,2,2024-09-07 08:24:11:333,96176,96176,0,0,4823105,0,3314 105,3,2024-09-07 08:24:11:310,1,75,1,1,399,1623,75,0 106,0,2024-09-07 08:24:10:973,15027,0.3,15371,0.6,31310,0.2,41503,1.75 106,1,2024-09-07 08:24:11:894,131611,131611,0,0,61120617612,646047683,129203,2081,327,370,391767,0 106,2,2024-09-07 08:24:10:766,95028,95028,0,0,4865598,0,2795 106,3,2024-09-07 08:24:10:680,1,75,1,1,201,910,75,0 107,0,2024-09-07 08:24:11:128,20278,0.5,20216,0.7,40175,0.5,53753,1.75 107,1,2024-09-07 08:24:10:602,130900,130900,0,0,61528083760,652029511,128964,1746,190,382,392234,0 107,2,2024-09-07 08:24:11:296,94772,94771,1,0,4622076,0,5024 107,3,2024-09-07 08:24:11:804,1,75,6,0,353,1305,75,0 108,0,2024-09-07 08:24:12:029,20888,0.6,21116,0.8,41744,0.7,55794,1.75 108,1,2024-09-07 08:24:11:307,131419,131419,0,0,61830396493,648919405,129978,1307,134,371,391857,0 108,2,2024-09-07 08:24:11:803,90741,90741,0,0,4330436,0,2647 108,3,2024-09-07 08:24:11:361,1,75,7,0,73,990,75,0 109,0,2024-09-07 08:24:11:839,18886,0.8,19011,0.9,37425,1.0,50449,2.00 109,1,2024-09-07 08:24:10:595,130877,130877,0,0,62681845777,661949768,129209,1285,383,383,391812,0 109,2,2024-09-07 08:24:10:927,95598,95598,0,0,4798006,0,3617 109,3,2024-09-07 08:24:11:148,1,75,7,1,249,1304,75,0 110,0,2024-09-07 08:24:11:810,13783,0.2,13436,0.4,28015,0.2,36630,1.50 110,1,2024-09-07 08:24:11:655,131837,131837,0,0,61485476915,641607296,130517,1034,286,370,391588,0 110,2,2024-09-07 08:24:11:352,97140,97140,0,0,4037864,0,2915 110,3,2024-09-07 08:24:10:696,1,75,10,0,183,737,75,0 111,0,2024-09-07 08:24:11:436,18539,0.2,18366,0.4,36757,0.1,49660,1.50 111,1,2024-09-07 08:24:11:007,132243,132243,0,0,62739438546,650082495,131597,633,13,382,391690,0 111,2,2024-09-07 08:24:11:135,95143,95143,0,0,4214165,0,2763 111,3,2024-09-07 08:24:10:921,1,75,8,0,300,1406,75,0 112,0,2024-09-07 08:24:10:939,21322,0.5,21321,0.6,42311,0.4,56288,1.75 112,1,2024-09-07 08:24:10:842,131670,131670,0,0,62045293061,649049943,130158,1166,346,381,391580,0 112,2,2024-09-07 08:24:11:135,91227,91226,1,0,4449183,0,5036 112,3,2024-09-07 08:24:10:594,1,75,1,0,282,849,75,0 113,0,2024-09-07 08:24:10:886,20561,0.6,20384,0.7,41489,0.6,54931,1.75 113,1,2024-09-07 08:24:11:689,131893,131893,0,0,61877903416,645368411,130002,1507,384,368,391661,0 113,2,2024-09-07 08:24:11:328,96680,96680,0,0,3720442,0,3021 113,3,2024-09-07 08:24:10:684,1,75,1,0,288,1147,75,0 114,0,2024-09-07 08:24:10:885,14907,3.5,15116,1.8,29677,0.8,39631,2.00 114,1,2024-09-07 08:24:10:725,131629,131629,0,0,61631928681,650134324,129022,1726,881,381,391531,0 114,2,2024-09-07 08:24:10:874,96488,96488,0,0,4307085,0,3925 114,3,2024-09-07 08:24:11:284,1,75,1,0,159,766,75,0 115,0,2024-09-07 08:24:10:585,17293,0.2,17424,0.3,34700,0.1,46310,1.50 115,1,2024-09-07 08:24:10:580,131705,131705,0,0,62258200814,653671057,129331,1887,487,383,391510,0 115,2,2024-09-07 08:24:11:126,95354,95354,0,0,3670055,0,2152 115,3,2024-09-07 08:24:11:004,1,75,2,0,159,478,75,0 116,0,2024-09-07 08:24:11:739,21970,0.9,21573,0.9,43690,1.2,58040,2.00 116,1,2024-09-07 08:24:10:857,131155,131155,0,0,62358265993,661809107,129271,1013,871,382,391605,0 116,2,2024-09-07 08:24:11:804,92926,92926,0,0,4858902,0,3529 116,3,2024-09-07 08:24:10:920,1,75,8,1,252,1293,75,0 117,0,2024-09-07 08:24:11:044,22268,2.3,22306,1.4,44433,3.5,59916,2.25 117,1,2024-09-07 08:24:11:588,131939,131939,0,0,60940020851,644702959,129623,2023,293,370,392033,0 117,2,2024-09-07 08:24:11:126,94948,94948,0,0,4282747,0,3700 117,3,2024-09-07 08:24:11:063,1,75,2,0,490,1805,75,0 118,0,2024-09-07 08:24:11:830,15788,0.7,16134,0.9,33115,0.8,43575,2.00 118,1,2024-09-07 08:24:10:596,131880,131880,0,0,61617578261,647223484,130640,1162,78,368,391736,0 118,2,2024-09-07 08:24:11:614,96493,96493,0,0,4706294,0,2781 118,3,2024-09-07 08:24:11:799,1,75,2,1,235,1230,75,0 119,0,2024-09-07 08:24:11:372,16151,0.3,16241,0.5,33221,0.2,43636,1.75 119,1,2024-09-07 08:24:10:559,131804,131804,0,0,61692245374,648106555,130188,1467,149,370,391641,0 119,2,2024-09-07 08:24:11:285,94425,94425,0,0,4430822,0,2532 119,3,2024-09-07 08:24:11:337,1,75,14,0,443,2146,75,0 120,0,2024-09-07 08:24:11:601,21147,0.6,21241,0.8,42247,0.6,57454,2.00 120,1,2024-09-07 08:24:10:865,131292,131292,0,0,61585513768,649115037,129765,1511,16,368,391702,0 120,2,2024-09-07 08:24:10:777,94337,94336,1,0,5574146,0,5281 120,3,2024-09-07 08:24:11:299,1,75,1,0,241,1382,75,0 121,0,2024-09-07 08:24:11:718,21653,2.5,21836,1.5,43762,3.7,59052,2.50 121,1,2024-09-07 08:24:11:668,131532,131532,0,0,61635573693,648611725,129656,1607,269,368,391840,0 121,2,2024-09-07 08:24:11:154,90984,90984,0,0,5838355,0,4127 121,3,2024-09-07 08:24:10:746,1,75,1,0,113,859,75,0 122,0,2024-09-07 08:24:11:778,17989,2.3,17360,2.0,36219,2.7,48352,2.50 122,1,2024-09-07 08:24:10:867,130588,130588,0,0,61198352282,650199456,127580,2484,524,368,392130,0 122,2,2024-09-07 08:24:11:335,96272,96272,0,0,5924687,0,3364 122,3,2024-09-07 08:24:10:624,1,75,13,1,298,1798,75,0 123,0,2024-09-07 08:24:10:978,14461,0.3,14080,0.5,29354,0.2,38325,1.75 123,1,2024-09-07 08:24:10:630,131517,131517,0,0,61756049308,657920812,128055,2894,568,369,391823,0 123,2,2024-09-07 08:24:11:029,95788,95787,1,0,4863897,0,5215 123,3,2024-09-07 08:24:11:132,1,75,48,1,160,1011,75,0 124,0,2024-09-07 08:24:10:946,19784,0.3,19716,0.5,37448,0.2,51633,1.50 124,1,2024-09-07 08:24:11:028,131879,131879,0,0,61203290130,636565770,131078,656,145,367,392178,0 124,2,2024-09-07 08:24:11:016,94761,94761,0,0,3789214,0,2477 124,3,2024-09-07 08:24:10:764,1,75,8,1,490,1632,75,0 125,0,2024-09-07 08:24:11:499,20660,0.7,20719,0.7,41356,0.7,54616,2.00 125,1,2024-09-07 08:24:10:857,131727,131727,0,0,61754081889,648791109,129990,1465,272,384,391702,0 125,2,2024-09-07 08:24:11:127,90981,90981,0,0,4086784,0,2180 125,3,2024-09-07 08:24:11:134,1,75,5,1,93,1088,75,0 126,0,2024-09-07 08:24:11:557,20138,1.2,20658,1.0,39535,2.1,53195,2.00 126,1,2024-09-07 08:24:10:577,132405,132405,0,0,62298737953,648336555,131355,995,55,365,391987,0 126,2,2024-09-07 08:24:10:626,96760,96760,0,0,4492034,0,3186 126,3,2024-09-07 08:24:10:915,1,75,5,0,122,1076,75,0 127,0,2024-09-07 08:24:11:664,13274,0.2,13219,0.4,26433,0.2,34719,1.50 127,1,2024-09-07 08:24:10:606,131719,131719,0,0,61660885864,641385225,130581,1115,23,365,391614,0 127,2,2024-09-07 08:24:10:640,97021,97021,0,0,3736783,0,1803 127,3,2024-09-07 08:24:11:272,1,75,4,0,99,626,75,0 128,0,2024-09-07 08:24:11:560,17879,0.2,17917,0.4,35190,0.2,47998,1.50 128,1,2024-09-07 08:24:11:636,131796,131796,0,0,62330105521,647197988,131076,650,70,367,391680,0 128,2,2024-09-07 08:24:11:382,94289,94289,0,0,4417995,0,2107 128,3,2024-09-07 08:24:10:776,1,75,8,1,112,894,75,0 129,0,2024-09-07 08:24:11:037,21827,0.4,21781,0.6,43454,0.4,57490,1.75 129,1,2024-09-07 08:24:10:581,131607,131607,0,0,61371701136,645726979,129782,1551,274,379,391835,0 129,2,2024-09-07 08:24:10:686,91448,91448,0,0,3808940,0,2446 129,3,2024-09-07 08:24:10:690,1,75,1,1,173,1125,75,0 130,0,2024-09-07 08:24:11:730,22056,1.4,21976,1.1,43969,2.0,58737,2.25 130,1,2024-09-07 08:24:10:589,131653,131653,0,0,61600664917,645272244,130340,1280,33,381,391609,0 130,2,2024-09-07 08:24:11:127,95821,95821,0,0,4518189,0,4067 130,3,2024-09-07 08:24:11:295,1,75,4,1,207,639,75,0 131,0,2024-09-07 08:24:11:985,15649,0.9,15588,0.9,31444,1.3,41888,1.75 131,1,2024-09-07 08:24:11:820,132398,132398,0,0,61090668564,638614706,131377,835,186,384,391865,0 131,2,2024-09-07 08:24:10:577,96641,96641,0,0,3738332,0,2415 131,3,2024-09-07 08:24:11:712,1,75,3,0,392,1123,75,0 132,0,2024-09-07 08:24:11:430,17083,0.3,17368,0.6,34389,0.2,46091,1.75 132,1,2024-09-07 08:24:10:577,130953,130953,0,0,61160588013,648978556,128363,1884,706,381,391719,0 132,2,2024-09-07 08:24:10:698,94114,94114,0,0,6068491,0,4606 132,3,2024-09-07 08:24:11:694,1,75,2,1,356,1023,75,0 133,0,2024-09-07 08:24:11:581,21651,0.5,22000,0.7,45298,0.5,58419,1.75 133,1,2024-09-07 08:24:10:587,130415,130415,0,0,60624100500,643675612,128203,2117,95,383,391755,0 133,2,2024-09-07 08:24:11:100,94557,94557,0,0,5233567,0,2444 133,3,2024-09-07 08:24:11:321,1,75,1,1,187,638,75,0 134,0,2024-09-07 08:24:10:995,22644,1.0,22644,1.1,45415,1.1,60637,2.25 134,1,2024-09-07 08:24:10:600,131734,131734,0,0,61350145949,648849033,129096,1657,981,366,391718,0 134,2,2024-09-07 08:24:11:767,92733,92733,0,0,3885615,0,2026 134,3,2024-09-07 08:24:10:769,1,75,9,1,739,1974,75,0 135,0,2024-09-07 08:24:11:132,16354,1.7,16331,1.6,34517,1.9,44711,2.50 135,1,2024-09-07 08:24:11:591,131226,131226,0,0,61821924958,651628348,129279,1620,327,380,391703,0 135,2,2024-09-07 08:24:10:697,96484,96484,0,0,4864956,0,3981 135,3,2024-09-07 08:24:11:011,1,75,0,0,68,487,75,0 136,0,2024-09-07 08:24:11:627,15551,0.4,15553,0.6,31012,0.3,41857,2.00 136,1,2024-09-07 08:24:11:448,131386,131386,0,0,61022164005,643361502,129482,1757,147,384,391621,0 136,2,2024-09-07 08:24:11:132,96480,96480,0,0,4387864,0,2379 136,3,2024-09-07 08:24:11:126,1,75,1,1,108,874,75,0 137,0,2024-09-07 08:24:10:952,20723,0.7,20086,0.8,39782,0.8,53886,2.00 137,1,2024-09-07 08:24:10:575,131413,131413,0,0,61480195477,646874344,129439,1861,113,368,391608,0 137,2,2024-09-07 08:24:11:937,94520,94520,0,0,5416216,0,2632 137,3,2024-09-07 08:24:10:779,1,75,1,1,227,1108,75,0 138,0,2024-09-07 08:24:11:774,20253,2.8,20419,1.7,41370,3.9,55642,3.00 138,1,2024-09-07 08:24:11:705,131351,131351,0,0,61869794670,652559666,129020,2067,264,370,391954,0 138,2,2024-09-07 08:24:10:591,91572,91572,0,0,4567780,0,3263 138,3,2024-09-07 08:24:10:623,1,75,3,0,1160,1936,75,0 139,0,2024-09-07 08:24:11:479,18253,4.6,18230,2.5,36915,5.5,49737,3.75 139,1,2024-09-07 08:24:10:574,130812,130812,0,0,60736640914,650132967,127923,2160,729,381,391892,0 139,2,2024-09-07 08:24:10:699,95966,95966,0,0,5908204,0,3097 139,3,2024-09-07 08:24:11:694,1,75,1,0,244,1342,75,0 140,0,2024-09-07 08:24:11:616,13666,0.3,13633,0.5,27499,0.2,36678,1.50 140,1,2024-09-07 08:24:11:538,132352,132352,0,0,61610922670,638528420,131424,731,197,365,391606,0 140,2,2024-09-07 08:24:10:687,97254,97254,0,0,4582358,0,3388 140,3,2024-09-07 08:24:10:775,1,75,6,0,25,578,75,0 141,0,2024-09-07 08:24:11:712,18214,0.2,18830,0.4,36520,0.1,49631,1.50 141,1,2024-09-07 08:24:10:875,132036,132036,0,0,62183187691,649035444,130614,1091,331,382,391538,0 141,2,2024-09-07 08:24:11:701,94961,94961,0,0,4067779,0,2342 141,3,2024-09-07 08:24:11:045,1,75,2,0,147,557,75,0 142,0,2024-09-07 08:24:11:357,21488,0.4,21229,0.6,42271,0.4,56319,1.75 142,1,2024-09-07 08:24:10:584,132278,132278,0,0,61758375895,644045133,131727,536,15,384,391649,0 142,2,2024-09-07 08:24:11:323,90456,90456,0,0,4307518,0,2293 142,3,2024-09-07 08:24:11:758,1,75,1,0,484,1326,75,0 143,0,2024-09-07 08:24:11:389,20607,1.1,20642,1.0,41557,1.5,54763,2.00 143,1,2024-09-07 08:24:10:557,131838,131838,0,0,61560072599,640132203,130860,951,27,367,391619,0 143,2,2024-09-07 08:24:10:786,96307,96307,0,0,4866170,0,2669 143,3,2024-09-07 08:24:11:146,1,75,5,0,236,1123,75,0 144,0,2024-09-07 08:24:11:538,14213,1.3,14656,5.9,29624,1.0,39268,2.25 144,1,2024-09-07 08:24:10:570,131392,131392,0,0,61338978162,646057900,129819,1381,192,381,391638,0 144,2,2024-09-07 08:24:11:798,97085,97085,0,0,4090763,0,3473 144,3,2024-09-07 08:24:11:746,1,75,1,1,169,1236,75,0 145,0,2024-09-07 08:24:11:404,16713,0.4,16720,0.6,35329,0.3,46202,1.75 145,1,2024-09-07 08:24:10:560,130877,130877,0,0,61458105643,648793836,128951,1662,264,383,391615,0 145,2,2024-09-07 08:24:11:471,94985,94985,0,0,5034133,0,3903 145,3,2024-09-07 08:24:10:928,1,75,2,0,151,1003,75,0 146,0,2024-09-07 08:24:11:630,21757,0.7,21800,0.9,43750,0.8,57624,2.25 146,1,2024-09-07 08:24:11:587,131918,131918,0,0,61368752653,647639631,129623,1994,301,368,391600,0 146,2,2024-09-07 08:24:11:703,91914,91914,0,0,4648924,0,2379 146,3,2024-09-07 08:24:11:275,1,75,14,0,1520,3082,75,0 147,0,2024-09-07 08:24:11:722,22159,2.8,21923,1.6,43666,4.2,59904,3.25 147,1,2024-09-07 08:24:11:380,131927,131927,0,0,62214996253,649192313,130209,1363,355,368,391791,0 147,2,2024-09-07 08:24:11:018,95080,95080,0,0,4558097,0,2789 147,3,2024-09-07 08:24:10:925,1,75,2,1,141,808,75,0 0,0,2024-09-07 08:24:21:734,21225,0.7,21219,0.8,44844,0.7,58799,1.75 0,1,2024-09-07 08:24:20:807,133671,133671,0,0,62602372292,658360145,132446,1098,127,372,391673,0 0,2,2024-09-07 08:24:21:069,95614,95614,0,0,4565321,0,4480 0,3,2024-09-07 08:24:20:974,1,76,2,0,247,1141,76,0 1,0,2024-09-07 08:24:21:837,22113,2.7,21948,1.8,44335,4.3,59840,2.75 1,1,2024-09-07 08:24:20:559,132851,132851,0,0,61654141472,649239928,131159,1163,529,372,391857,0 1,2,2024-09-07 08:24:20:639,93090,93090,0,0,4170593,0,3267 1,3,2024-09-07 08:24:21:331,1,76,5,0,167,754,76,0 2,0,2024-09-07 08:24:21:582,17917,1.4,18077,1.3,35811,2.8,47971,2.25 2,1,2024-09-07 08:24:20:863,133625,133625,0,0,62930201477,656518680,132544,877,204,381,391558,0 2,2,2024-09-07 08:24:21:281,97062,97062,0,0,4332536,0,3304 2,3,2024-09-07 08:24:20:695,1,76,2,0,214,810,76,0 3,0,2024-09-07 08:24:21:755,14781,0.3,14776,0.5,29600,0.2,39124,1.75 3,1,2024-09-07 08:24:21:631,132879,132879,0,0,62195270374,652027689,130900,1593,386,382,391516,0 3,2,2024-09-07 08:24:21:144,97473,97450,23,0,4827464,0,5851 3,3,2024-09-07 08:24:21:754,1,76,9,0,103,586,76,0 4,0,2024-09-07 08:24:21:874,19081,0.3,19501,0.5,39569,0.2,52476,1.50 4,1,2024-09-07 08:24:20:594,133180,133180,0,0,62240567767,658566367,131285,1477,418,371,391846,0 4,2,2024-09-07 08:24:21:018,95966,95966,0,0,5432075,0,4528 4,3,2024-09-07 08:24:21:027,1,76,5,1,287,1349,76,0 5,0,2024-09-07 08:24:21:486,20854,0.8,20624,0.8,41685,1.0,55025,2.00 5,1,2024-09-07 08:24:20:766,133152,133152,0,0,62394306506,659216955,130941,1769,442,368,392005,0 5,2,2024-09-07 08:24:21:863,91792,91792,0,0,4314861,0,2259 5,3,2024-09-07 08:24:21:732,1,76,15,0,238,1551,76,0 6,0,2024-09-07 08:24:20:925,20160,1.1,19929,1.3,39798,1.6,53232,2.75 6,1,2024-09-07 08:24:20:747,133715,133715,0,0,62441688985,652227489,132592,1054,69,381,391603,0 6,2,2024-09-07 08:24:21:118,98186,98186,0,0,4626864,0,2411 6,3,2024-09-07 08:24:21:280,1,76,1,0,340,1454,76,0 7,0,2024-09-07 08:24:21:542,13118,0.3,13122,0.5,26397,0.2,34962,1.75 7,1,2024-09-07 08:24:20:853,133521,133521,0,0,62545532741,654299913,132541,916,64,383,391664,0 7,2,2024-09-07 08:24:20:771,97932,97932,0,0,4362827,0,2981 7,3,2024-09-07 08:24:20:858,1,76,5,0,83,662,76,0 8,0,2024-09-07 08:24:21:372,18355,0.3,18357,0.5,36248,0.2,49333,1.50 8,1,2024-09-07 08:24:21:037,133207,133207,0,0,62443908719,666949396,129722,2232,1253,368,391724,0 8,2,2024-09-07 08:24:20:793,95107,95107,0,0,5493266,0,2986 8,3,2024-09-07 08:24:20:590,1,76,1,0,229,1099,76,0 9,0,2024-09-07 08:24:21:162,21747,0.4,21164,0.6,44347,0.4,57599,1.75 9,1,2024-09-07 08:24:20:554,133491,133491,0,0,63131154644,668602492,131047,1876,568,370,391738,0 9,2,2024-09-07 08:24:21:085,92569,92569,0,0,4942395,0,3360 9,3,2024-09-07 08:24:21:758,1,76,3,0,149,1050,76,0 10,0,2024-09-07 08:24:21:618,21949,0.7,22010,0.9,43921,0.7,58495,2.00 10,1,2024-09-07 08:24:20:583,132715,132715,0,0,62106558452,659513700,129378,2815,522,381,391541,0 10,2,2024-09-07 08:24:20:762,96734,96734,0,0,5129410,0,2940 10,3,2024-09-07 08:24:20:881,1,76,1,0,136,609,76,0 11,0,2024-09-07 08:24:21:014,15446,1.0,15048,1.3,31532,3.6,42477,2.25 11,1,2024-09-07 08:24:20:575,133024,133024,0,0,62500468651,660867813,130383,1826,815,384,391537,0 11,2,2024-09-07 08:24:21:123,97745,97745,0,0,4848688,0,2635 11,3,2024-09-07 08:24:21:325,1,76,1,0,720,2372,76,0 12,0,2024-09-07 08:24:20:976,17760,0.3,17783,0.5,35349,0.2,47068,1.50 12,1,2024-09-07 08:24:20:935,132746,132746,0,0,61603755773,647963243,131086,1560,100,371,391790,0 12,2,2024-09-07 08:24:21:542,96932,96932,0,0,4492208,0,2254 12,3,2024-09-07 08:24:21:060,1,76,6,0,358,1456,76,0 13,0,2024-09-07 08:24:21:383,22247,0.4,22462,0.6,44631,0.4,59156,1.75 13,1,2024-09-07 08:24:21:529,133281,133281,0,0,62117525902,655762648,131686,1228,367,384,391717,0 13,2,2024-09-07 08:24:20:597,94910,94910,0,0,4091277,0,3287 13,3,2024-09-07 08:24:21:768,1,76,416,1,416,1663,76,0 14,0,2024-09-07 08:24:20:584,22965,0.8,23038,0.9,45741,1.0,61186,2.00 14,1,2024-09-07 08:24:21:562,134437,134437,0,0,62289566451,646793331,133554,852,31,365,391546,0 14,2,2024-09-07 08:24:20:776,94145,94145,0,0,4644242,0,2793 14,3,2024-09-07 08:24:21:121,1,76,4,0,906,1288,76,0 15,0,2024-09-07 08:24:21:570,16974,1.5,16779,1.4,33742,2.3,45358,2.75 15,1,2024-09-07 08:24:21:620,132962,132962,0,0,62013571363,646979744,132139,788,35,381,391536,0 15,2,2024-09-07 08:24:21:000,98624,98624,0,0,3725601,0,3043 15,3,2024-09-07 08:24:21:405,1,76,1,0,538,1855,76,0 16,0,2024-09-07 08:24:21:010,15852,0.3,16001,0.5,31692,0.2,42929,2.00 16,1,2024-09-07 08:24:20:579,132946,132946,0,0,61932301234,650025647,131812,1106,28,372,391756,0 16,2,2024-09-07 08:24:21:443,96205,96205,0,0,4955571,0,4719 16,3,2024-09-07 08:24:21:144,1,76,9,1,231,1355,76,0 17,0,2024-09-07 08:24:21:819,21011,0.5,20554,0.7,40321,0.5,54601,2.00 17,1,2024-09-07 08:24:20:578,133225,133225,0,0,62220354381,657904897,131346,1356,523,369,391688,0 17,2,2024-09-07 08:24:21:672,97226,97226,0,0,3849341,0,2857 17,3,2024-09-07 08:24:20:581,1,76,113,0,268,1564,76,0 18,0,2024-09-07 08:24:20:951,20650,1.5,20898,1.3,41927,1.8,56030,2.50 18,1,2024-09-07 08:24:21:640,133440,133440,0,0,62426055073,650779452,132189,1033,218,368,391555,0 18,2,2024-09-07 08:24:21:760,92135,92135,0,0,4641032,0,3541 18,3,2024-09-07 08:24:20:896,1,76,1,0,163,962,76,0 19,0,2024-09-07 08:24:21:555,18603,1.6,18750,1.4,37160,1.8,49370,4.75 19,1,2024-09-07 08:24:20:568,133649,133649,0,0,62714898863,656902828,131781,1704,164,367,391777,0 19,2,2024-09-07 08:24:21:756,98449,98449,0,0,4301777,0,3988 19,3,2024-09-07 08:24:21:134,1,76,1,0,524,1188,76,0 20,0,2024-09-07 08:24:21:372,14159,0.3,14126,0.5,28004,0.2,37346,1.75 20,1,2024-09-07 08:24:20:568,133465,133465,0,0,62784561800,660450009,131769,1534,162,370,391598,0 20,2,2024-09-07 08:24:20:934,97657,97657,0,0,4315873,0,2446 20,3,2024-09-07 08:24:20:589,1,76,1,0,99,930,76,0 21,0,2024-09-07 08:24:21:157,19113,0.4,19025,0.5,37875,0.3,50555,1.75 21,1,2024-09-07 08:24:21:541,132946,132946,0,0,62190352186,658459365,130609,1842,495,368,391962,0 21,2,2024-09-07 08:24:21:082,96241,96241,0,0,4536020,0,3747 21,3,2024-09-07 08:24:21:405,1,76,1,0,93,1063,76,0 22,0,2024-09-07 08:24:21:726,20967,2.0,20920,1.4,41799,3.7,55734,3.00 22,1,2024-09-07 08:24:21:055,132978,132978,0,0,62237461135,660176521,129837,2415,726,382,391667,0 22,2,2024-09-07 08:24:20:762,92257,92257,0,0,3934850,0,3134 22,3,2024-09-07 08:24:21:078,1,76,0,0,62,426,76,0 23,0,2024-09-07 08:24:21:388,20576,1.3,20734,1.1,41451,1.7,55081,2.50 23,1,2024-09-07 08:24:21:004,133585,133585,0,0,62596471693,651643923,132236,1095,254,367,391496,0 23,2,2024-09-07 08:24:21:094,97421,97421,0,0,4220812,0,2078 23,3,2024-09-07 08:24:21:758,1,76,1,0,645,1342,76,0 24,0,2024-09-07 08:24:20:863,15127,0.6,15084,0.7,30162,0.6,39586,1.75 24,1,2024-09-07 08:24:20:595,133493,133493,0,0,62527083867,652931830,132677,809,7,369,391640,0 24,2,2024-09-07 08:24:21:073,97578,97578,0,0,5564556,0,2942 24,3,2024-09-07 08:24:21:693,1,76,5,1,234,1111,76,0 25,0,2024-09-07 08:24:21:408,18205,0.3,17681,0.5,34827,0.2,47473,1.50 25,1,2024-09-07 08:24:20:560,133049,133049,0,0,62345647897,658752826,130895,1838,316,371,391788,0 25,2,2024-09-07 08:24:21:606,95954,95954,0,0,5033535,0,3284 25,3,2024-09-07 08:24:21:003,1,76,1,1,158,752,76,0 26,0,2024-09-07 08:24:21:745,21851,0.5,21284,0.6,44516,0.4,58252,1.75 26,1,2024-09-07 08:24:21:541,133508,133508,0,0,62231276671,661033118,130046,2627,835,381,391564,0 26,2,2024-09-07 08:24:20:863,93548,93548,0,0,4948873,0,2809 26,3,2024-09-07 08:24:21:716,1,76,1,0,796,1278,76,0 27,0,2024-09-07 08:24:21:754,22589,0.9,22771,0.9,45156,1.5,60473,2.00 27,1,2024-09-07 08:24:21:681,134122,134122,0,0,63263904717,658901024,132982,845,295,381,391539,0 27,2,2024-09-07 08:24:20:867,94858,94858,0,0,5976693,0,3409 27,3,2024-09-07 08:24:21:016,1,76,2,0,564,1229,76,0 28,0,2024-09-07 08:24:21:418,16408,0.6,16691,0.8,33221,0.8,44501,2.00 28,1,2024-09-07 08:24:20:865,133833,133833,0,0,63295595917,660989921,132772,861,200,383,391646,0 28,2,2024-09-07 08:24:21:767,97700,97700,0,0,3969207,0,2609 28,3,2024-09-07 08:24:21:789,1,76,1,0,502,1228,76,0 29,0,2024-09-07 08:24:21:400,17000,0.3,16582,0.5,32636,0.2,44610,1.75 29,1,2024-09-07 08:24:21:562,134621,134621,0,0,62662188408,648711305,133858,591,172,369,391621,0 29,2,2024-09-07 08:24:20:869,96838,96838,0,0,3447172,0,2026 29,3,2024-09-07 08:24:20:965,1,76,1,0,105,674,76,0 30,0,2024-09-07 08:24:21:471,21681,0.8,21206,0.9,44406,0.9,58742,2.50 30,1,2024-09-07 08:24:20:575,133775,133775,0,0,62578624204,652758032,132799,911,65,382,391534,0 30,2,2024-09-07 08:24:21:280,96367,96367,0,0,3478509,0,2534 30,3,2024-09-07 08:24:20:590,1,76,0,0,195,643,76,0 31,0,2024-09-07 08:24:21:774,22044,1.4,22045,1.1,44557,1.8,59487,2.75 31,1,2024-09-07 08:24:20:564,134269,134269,0,0,62897923911,645388571,134019,248,2,356,391553,0 31,2,2024-09-07 08:24:21:294,93581,93581,0,0,4914650,0,3525 31,3,2024-09-07 08:24:21:706,1,76,1,0,129,564,76,0 32,0,2024-09-07 08:24:21:422,18177,1.4,18312,1.1,36713,2.7,48606,1.75 32,1,2024-09-07 08:24:20:813,133624,133624,0,0,62853308401,654683239,132620,975,29,382,391595,0 32,2,2024-09-07 08:24:20:948,97913,97913,0,0,4059597,0,3155 32,3,2024-09-07 08:24:21:015,1,76,1,0,110,649,76,0 33,0,2024-09-07 08:24:21:507,14834,0.2,14593,0.4,29646,0.1,39089,1.50 33,1,2024-09-07 08:24:20:580,134122,134122,0,0,63275848468,658901787,132461,1538,123,369,391526,0 33,2,2024-09-07 08:24:20:769,97328,97295,33,0,5594668,0,7012 33,3,2024-09-07 08:24:20:894,1,76,1,0,129,514,76,0 34,0,2024-09-07 08:24:20:942,19567,0.2,20182,0.4,38896,0.1,52413,1.50 34,1,2024-09-07 08:24:21:060,134065,134065,0,0,63085042378,651087735,133469,592,4,367,391517,0 34,2,2024-09-07 08:24:20:770,95765,95765,0,0,4598978,0,3255 34,3,2024-09-07 08:24:21:692,1,76,4,0,148,696,76,0 35,0,2024-09-07 08:24:20:868,20504,0.9,20726,0.8,41330,1.1,54979,2.00 35,1,2024-09-07 08:24:21:070,133720,133720,0,0,63056094020,656629746,132252,1106,362,384,391587,0 35,2,2024-09-07 08:24:21:582,92003,92003,0,0,3988729,0,2542 35,3,2024-09-07 08:24:20:913,1,76,1,0,219,1074,76,0 36,0,2024-09-07 08:24:21:546,20092,1.8,20011,1.4,40448,2.4,53185,2.50 36,1,2024-09-07 08:24:20:588,133367,133367,0,0,61952264834,653821263,130355,2081,931,366,391535,0 36,2,2024-09-07 08:24:21:756,97655,97655,0,0,5199169,0,3303 36,3,2024-09-07 08:24:20:865,1,76,1,0,378,1095,76,0 37,0,2024-09-07 08:24:21:399,13276,0.3,13233,0.5,26525,0.2,35144,1.75 37,1,2024-09-07 08:24:20:570,132999,132992,0,7,62043858187,658355451,130152,1323,1517,365,391518,0 37,2,2024-09-07 08:24:21:145,98009,98009,0,0,3820603,0,2333 37,3,2024-09-07 08:24:21:777,1,76,23,0,724,1825,76,0 38,0,2024-09-07 08:24:21:448,18184,0.3,17726,0.5,36952,0.2,49165,1.75 38,1,2024-09-07 08:24:21:606,133857,133857,0,0,62849037158,659801701,131702,1708,447,370,391821,0 38,2,2024-09-07 08:24:20:759,96399,96399,0,0,4584163,0,3245 38,3,2024-09-07 08:24:21:000,1,76,1,0,603,1414,76,0 39,0,2024-09-07 08:24:21:770,22371,1.0,21941,0.9,42556,1.2,57758,2.00 39,1,2024-09-07 08:24:20:717,133505,133505,0,0,62056608848,652805366,130873,1994,638,366,391524,0 39,2,2024-09-07 08:24:21:426,92442,92442,0,0,4176757,0,2381 39,3,2024-09-07 08:24:20:715,1,76,1,0,276,1218,76,0 40,0,2024-09-07 08:24:21:530,21513,1.7,21925,2.0,43387,3.6,58003,3.50 40,1,2024-09-07 08:24:20:589,133126,133126,0,0,61672515330,652829534,129872,2501,753,369,391591,0 40,2,2024-09-07 08:24:21:434,96907,96906,1,0,5452429,0,5137 40,3,2024-09-07 08:24:21:144,1,76,3,0,110,801,76,0 41,0,2024-09-07 08:24:21:060,15250,0.6,15583,4.1,29904,0.7,41318,2.50 41,1,2024-09-07 08:24:20:793,133141,133141,0,0,62507930371,658548766,130718,2110,313,370,391742,0 41,2,2024-09-07 08:24:20:761,97364,97364,0,0,5650195,0,3356 41,3,2024-09-07 08:24:21:691,1,76,4,0,168,846,76,0 42,0,2024-09-07 08:24:21:490,17414,0.5,17668,0.7,35214,0.4,47120,2.00 42,1,2024-09-07 08:24:21:469,132744,132744,0,0,62032492880,657718197,129751,2163,830,381,391511,0 42,2,2024-09-07 08:24:21:138,96037,96037,0,0,4929960,0,3568 42,3,2024-09-07 08:24:21:009,1,76,1,0,100,678,76,0 43,0,2024-09-07 08:24:20:931,22174,1.1,21639,1.0,45098,1.4,59269,2.00 43,1,2024-09-07 08:24:20:579,133064,133064,0,0,62461647679,656430487,130798,1514,752,366,391604,0 43,2,2024-09-07 08:24:21:740,95189,95189,0,0,4968637,0,3812 43,3,2024-09-07 08:24:21:757,1,76,2,0,325,1715,76,0 44,0,2024-09-07 08:24:20:874,22998,0.8,22882,1.0,45787,0.9,61725,2.00 44,1,2024-09-07 08:24:20:578,133609,133609,0,0,62356435624,646070202,132589,913,107,356,391523,0 44,2,2024-09-07 08:24:21:281,94612,94612,0,0,3610353,0,1865 44,3,2024-09-07 08:24:21:103,1,76,3,0,817,1334,76,0 45,0,2024-09-07 08:24:21:772,16720,2.2,16364,1.6,34303,5.2,45697,2.00 45,1,2024-09-07 08:24:21:008,133719,133719,0,0,63019024173,654530554,133251,467,1,382,391917,0 45,2,2024-09-07 08:24:21:276,98323,98323,0,0,4258245,0,2628 45,3,2024-09-07 08:24:20:934,1,76,6,0,226,814,76,0 46,0,2024-09-07 08:24:20:963,16064,0.3,16031,0.5,32084,0.2,42940,1.75 46,1,2024-09-07 08:24:20:575,133906,133906,0,0,63254173414,655111251,133058,811,37,368,391514,0 46,2,2024-09-07 08:24:20:598,96991,96991,0,0,4178153,0,2920 46,3,2024-09-07 08:24:21:133,1,76,1,0,315,1068,76,0 47,0,2024-09-07 08:24:21:119,20390,0.3,20672,0.5,41261,0.2,54424,1.50 47,1,2024-09-07 08:24:20:569,134255,134255,0,0,62945729949,650057671,133747,504,4,366,391605,0 47,2,2024-09-07 08:24:20:908,97101,97101,0,0,4174638,0,2558 47,3,2024-09-07 08:24:21:117,1,76,2,0,529,1140,76,0 48,0,2024-09-07 08:24:21:560,21469,0.4,21278,0.5,42191,0.3,56828,1.75 48,1,2024-09-07 08:24:21:022,133732,133732,0,0,62538978630,652010090,132533,1193,6,384,391637,0 48,2,2024-09-07 08:24:20:714,92640,92640,0,0,3317991,0,2083 48,3,2024-09-07 08:24:20:760,1,76,39,0,39,671,76,0 49,0,2024-09-07 08:24:21:733,19347,1.2,18938,1.1,37143,2.0,50776,2.00 49,1,2024-09-07 08:24:21:022,133135,133135,0,0,62403347614,654520003,131396,1029,710,382,391583,0 49,2,2024-09-07 08:24:21:808,98044,98044,0,0,4484696,0,3900 49,3,2024-09-07 08:24:21:425,1,76,2,0,274,839,76,0 50,0,2024-09-07 08:24:21:519,14142,0.2,13856,0.4,28175,0.1,37763,1.50 50,1,2024-09-07 08:24:21:015,134238,134238,0,0,62902947054,654918810,132569,1372,297,368,391530,0 50,2,2024-09-07 08:24:21:078,98116,98116,0,0,3744024,0,2253 50,3,2024-09-07 08:24:21:297,1,76,1,0,335,884,76,0 51,0,2024-09-07 08:24:21:738,19446,0.2,18922,0.4,37244,0.1,50983,1.50 51,1,2024-09-07 08:24:21:684,133606,133606,0,0,63586947063,662941715,131806,1017,783,367,391637,0 51,2,2024-09-07 08:24:21:326,95990,95990,0,0,3209385,0,2448 51,3,2024-09-07 08:24:21:029,1,76,1,0,162,533,76,0 52,0,2024-09-07 08:24:21:431,21258,0.8,21421,0.9,42750,1.0,56256,2.25 52,1,2024-09-07 08:24:20:587,133041,133041,0,0,62311773960,656780429,130528,2048,465,368,391529,0 52,2,2024-09-07 08:24:21:757,91689,91689,0,0,4417227,0,4779 52,3,2024-09-07 08:24:20:674,1,76,1,0,1782,2442,76,0 53,0,2024-09-07 08:24:21:746,20516,2.0,19781,1.4,41180,3.1,54306,2.75 53,1,2024-09-07 08:24:20:783,133025,133025,0,0,62723596840,661068231,130401,2049,575,370,391617,0 53,2,2024-09-07 08:24:21:303,98504,98504,0,0,4018159,0,1902 53,3,2024-09-07 08:24:20:718,1,76,6,0,59,478,76,0 54,0,2024-09-07 08:24:21:636,14100,8.3,14335,2.5,28365,1.1,38518,4.75 54,1,2024-09-07 08:24:20:581,133195,133195,0,0,62994407705,659287116,131085,1794,316,367,391520,0 54,2,2024-09-07 08:24:20:864,98354,98348,6,0,4857784,0,5382 54,3,2024-09-07 08:24:20:774,1,76,1,0,676,1703,76,0 55,0,2024-09-07 08:24:21:771,17289,0.3,17784,0.6,36108,0.2,46994,2.00 55,1,2024-09-07 08:24:20:775,132796,132796,0,0,61837144229,652324625,129314,2780,702,367,391731,0 55,2,2024-09-07 08:24:20:731,95976,95976,0,0,4772908,0,3275 55,3,2024-09-07 08:24:20:674,1,76,2,0,136,854,76,0 56,0,2024-09-07 08:24:21:573,22468,1.9,21182,1.4,43665,2.3,58218,2.50 56,1,2024-09-07 08:24:20:571,132673,132673,0,0,63138843685,673548849,129276,2649,748,382,391678,0 56,2,2024-09-07 08:24:21:316,93734,93734,0,0,5258788,0,3567 56,3,2024-09-07 08:24:21:063,1,76,1,0,297,1001,76,0 57,0,2024-09-07 08:24:20:952,22120,3.1,21851,1.8,44030,5.4,59262,4.25 57,1,2024-09-07 08:24:21:003,132643,132643,0,0,62002290260,650843403,131165,1458,20,368,391760,0 57,2,2024-09-07 08:24:21:339,96284,96284,0,0,5269313,0,3178 57,3,2024-09-07 08:24:21:741,1,76,2,1,359,1670,76,0 58,0,2024-09-07 08:24:20:584,15746,0.7,15398,1.0,32206,0.5,42676,2.75 58,1,2024-09-07 08:24:20:582,134215,134212,0,3,63113421559,659060423,132615,1437,160,369,391516,3 58,2,2024-09-07 08:24:21:080,97540,97540,0,0,4941051,0,2549 58,3,2024-09-07 08:24:21:084,1,76,10,0,219,881,76,0 59,0,2024-09-07 08:24:21:755,16701,0.5,16574,0.8,33414,0.4,44264,2.00 59,1,2024-09-07 08:24:20:804,132948,132948,0,0,62165679918,655553624,130698,1483,767,369,391515,0 59,2,2024-09-07 08:24:20:583,96407,96407,0,0,4411383,0,2604 59,3,2024-09-07 08:24:21:741,1,76,11,0,1015,1999,76,0 60,0,2024-09-07 08:24:21:753,22023,0.5,22203,0.6,43816,0.5,59013,1.75 60,1,2024-09-07 08:24:20:776,134308,134308,0,0,62663194947,652961358,133221,738,349,370,391758,0 60,2,2024-09-07 08:24:21:143,95717,95717,0,0,3923010,0,2142 60,3,2024-09-07 08:24:21:284,1,76,11,0,124,939,76,0 61,0,2024-09-07 08:24:21:515,22043,2.6,22181,1.6,44149,3.9,59538,2.25 61,1,2024-09-07 08:24:20:777,133136,133136,0,0,62148320495,657051365,130575,2025,536,383,391589,0 61,2,2024-09-07 08:24:21:115,93560,93560,0,0,4071383,0,1846 61,3,2024-09-07 08:24:21:703,1,76,8,0,199,1132,76,0 62,0,2024-09-07 08:24:21:723,18307,1.4,18731,1.2,35778,2.6,48544,2.25 62,1,2024-09-07 08:24:21:112,133945,133939,0,6,63050438903,654091119,132686,1216,37,365,391586,6 62,2,2024-09-07 08:24:21:648,97471,97471,0,0,4597418,0,2931 62,3,2024-09-07 08:24:21:144,1,76,2,0,287,671,76,0 63,0,2024-09-07 08:24:21:467,14726,0.3,14646,0.5,29534,0.2,39112,1.75 63,1,2024-09-07 08:24:20:808,134408,134403,0,5,62808171291,650525271,133996,404,3,381,391540,5 63,2,2024-09-07 08:24:20:768,97331,97331,0,0,4165688,0,2674 63,3,2024-09-07 08:24:21:761,1,76,9,0,667,1875,76,0 64,0,2024-09-07 08:24:21:522,19543,0.3,19376,0.5,39071,0.2,52362,1.75 64,1,2024-09-07 08:24:20:752,133711,133711,0,0,62520163576,659612521,131272,1645,794,371,391783,0 64,2,2024-09-07 08:24:21:143,96663,96644,19,0,4523848,0,6121 64,3,2024-09-07 08:24:21:146,1,76,3,1,265,1023,76,0 65,0,2024-09-07 08:24:21:698,20182,2.3,20485,1.5,40807,3.2,54421,3.75 65,1,2024-09-07 08:24:20:869,133124,133124,0,0,62089436075,652746235,131415,1490,219,382,391701,0 65,2,2024-09-07 08:24:21:702,92435,92435,0,0,4576437,0,3367 65,3,2024-09-07 08:24:21:683,1,76,1,0,163,863,76,0 66,0,2024-09-07 08:24:21:771,19615,0.8,19827,1.0,39709,0.8,52621,2.50 66,1,2024-09-07 08:24:21:299,134371,134371,0,0,62726562732,651325054,133680,670,21,380,391588,0 66,2,2024-09-07 08:24:21:132,98759,98759,0,0,4249098,0,3867 66,3,2024-09-07 08:24:21:079,1,76,0,0,291,953,76,0 67,0,2024-09-07 08:24:21:422,13386,0.4,13445,0.6,26535,0.3,35087,2.00 67,1,2024-09-07 08:24:20:778,133121,133120,0,1,62643004821,659056649,131100,1495,525,382,391787,1 67,2,2024-09-07 08:24:20:591,98978,98978,0,0,3958650,0,2889 67,3,2024-09-07 08:24:21:758,1,76,10,0,138,666,76,0 68,0,2024-09-07 08:24:20:596,18350,0.4,18293,0.7,36475,0.4,49126,2.00 68,1,2024-09-07 08:24:20:577,132852,132852,0,0,61780904037,649897152,131435,895,522,382,391953,0 68,2,2024-09-07 08:24:21:052,96201,96201,0,0,4107962,0,4883 68,3,2024-09-07 08:24:20:738,1,76,2,0,63,768,76,0 69,0,2024-09-07 08:24:21:766,21773,1.5,21710,1.2,43291,1.9,57765,2.75 69,1,2024-09-07 08:24:21:027,132932,132932,0,0,62425821865,663101621,130935,1131,866,384,391611,0 69,2,2024-09-07 08:24:21:736,92698,92698,0,0,4655314,0,3153 69,3,2024-09-07 08:24:20:760,1,76,1,0,238,1178,76,0 70,0,2024-09-07 08:24:21:544,21568,3.2,21596,2.3,43610,2.0,57681,4.50 70,1,2024-09-07 08:24:20:801,133932,133932,0,0,63206576050,655800935,133016,781,135,368,391527,0 70,2,2024-09-07 08:24:21:325,96888,96888,0,0,4607099,0,4044 70,3,2024-09-07 08:24:20:745,1,76,1,0,178,519,76,0 71,0,2024-09-07 08:24:21:382,15359,1.3,15336,2.7,30244,1.8,41454,4.00 71,1,2024-09-07 08:24:21:602,133659,133659,0,0,62831422300,658760264,131457,1886,316,368,391682,0 71,2,2024-09-07 08:24:21:078,98035,98035,0,0,4331866,0,2146 71,3,2024-09-07 08:24:21:752,1,76,1,0,219,1023,76,0 72,0,2024-09-07 08:24:21:041,18094,0.4,17593,0.6,34740,0.3,47265,2.25 72,1,2024-09-07 08:24:21:021,133879,133879,0,0,62357157917,652001044,132328,1473,78,370,391556,0 72,2,2024-09-07 08:24:21:764,95559,95559,0,0,5623211,0,2570 72,3,2024-09-07 08:24:21:754,1,76,1,0,325,1353,76,0 73,0,2024-09-07 08:24:21:127,21809,0.5,22378,0.6,45399,0.4,58936,2.25 73,1,2024-09-07 08:24:20:792,133493,133493,0,0,62475984881,651669266,132527,922,44,368,391627,0 73,2,2024-09-07 08:24:21:742,95824,95824,0,0,5099778,0,3482 73,3,2024-09-07 08:24:20:970,1,76,1,0,274,1388,76,0 74,0,2024-09-07 08:24:21:344,22922,1.5,23406,1.3,44924,2.0,61254,3.00 74,1,2024-09-07 08:24:20:637,133318,133318,0,0,62050672417,651351153,131418,1328,572,382,391511,0 74,2,2024-09-07 08:24:21:002,94364,94364,0,0,5403008,0,4253 74,3,2024-09-07 08:24:21:442,1,76,1,0,246,1467,76,0 75,0,2024-09-07 08:24:21:786,16876,2.3,16983,1.6,33891,4.2,45739,3.25 75,1,2024-09-07 08:24:21:602,133437,133437,0,0,61876237138,650335098,131737,1596,104,381,391579,0 75,2,2024-09-07 08:24:21:369,97627,97627,0,0,5273045,0,4766 75,3,2024-09-07 08:24:21:073,1,76,1,0,143,583,76,0 76,0,2024-09-07 08:24:20:683,15999,0.3,15783,0.6,31702,0.2,42682,2.00 76,1,2024-09-07 08:24:20:811,133352,133352,0,0,61990580202,652584254,131541,1305,506,382,391530,0 76,2,2024-09-07 08:24:21:069,97504,97504,0,0,4173410,0,3064 76,3,2024-09-07 08:24:21:143,1,76,28,0,175,1037,76,0 77,0,2024-09-07 08:24:21:742,20373,0.5,20599,0.7,41171,0.4,54400,1.75 77,1,2024-09-07 08:24:20:836,133281,133281,0,0,62203098000,650272115,132244,968,69,383,391516,0 77,2,2024-09-07 08:24:21:315,96675,96675,0,0,3597713,0,1695 77,3,2024-09-07 08:24:21:096,1,76,1,0,139,771,76,0 78,0,2024-09-07 08:24:21:725,21144,1.1,21034,1.1,42264,1.6,56459,2.50 78,1,2024-09-07 08:24:20:614,133953,133953,0,0,61945448859,647063825,132534,1214,205,367,391589,0 78,2,2024-09-07 08:24:21:405,92694,92694,0,0,3681058,0,2114 78,3,2024-09-07 08:24:21:133,1,76,8,0,181,1252,76,0 79,0,2024-09-07 08:24:21:360,18191,0.8,18675,1.1,38053,1.0,49775,3.00 79,1,2024-09-07 08:24:20:581,133902,133902,0,0,62313235030,649189593,132563,1270,69,370,391682,0 79,2,2024-09-07 08:24:21:078,98110,98110,0,0,4240577,0,2679 79,3,2024-09-07 08:24:20:751,1,76,5,0,289,882,76,0 80,0,2024-09-07 08:24:21:129,13968,0.4,14383,0.6,27824,0.2,37579,2.00 80,1,2024-09-07 08:24:21:637,133237,133237,0,0,62845529076,656065096,131645,1477,115,369,391673,0 80,2,2024-09-07 08:24:21:098,97899,97899,0,0,4350693,0,4433 80,3,2024-09-07 08:24:20:578,1,76,4,1,190,1241,76,0 81,0,2024-09-07 08:24:21:540,19035,0.4,19541,0.6,37410,0.3,50990,1.75 81,1,2024-09-07 08:24:21:663,133372,133372,0,0,62356890314,655846342,131483,1691,198,383,391680,0 81,2,2024-09-07 08:24:21:126,95678,95678,0,0,4598282,0,3993 81,3,2024-09-07 08:24:21:123,1,76,5,0,193,867,76,0 82,0,2024-09-07 08:24:21:585,21142,1.0,21186,1.1,42456,1.3,56321,2.25 82,1,2024-09-07 08:24:20:592,133307,133304,0,3,62471613444,655165184,131266,1466,572,382,391558,3 82,2,2024-09-07 08:24:21:693,93258,93258,0,0,3531343,0,2230 82,3,2024-09-07 08:24:21:758,1,76,6,0,227,1324,76,0 83,0,2024-09-07 08:24:21:541,20584,1.6,20513,1.3,40720,1.9,54124,3.00 83,1,2024-09-07 08:24:20:551,133219,133219,0,0,61793264892,647725657,131801,1318,100,383,391553,0 83,2,2024-09-07 08:24:20:770,97257,97257,0,0,4569837,0,3119 83,3,2024-09-07 08:24:20:748,1,76,0,0,91,602,76,0 84,0,2024-09-07 08:24:21:805,14605,4.1,14362,3.5,28839,0.8,39098,3.00 84,1,2024-09-07 08:24:21:071,133121,133121,0,0,62502523248,656987054,131503,1369,249,369,391638,0 84,2,2024-09-07 08:24:20:574,97765,97765,0,0,4670448,0,3801 84,3,2024-09-07 08:24:21:170,1,76,36,1,58,794,76,0 85,0,2024-09-07 08:24:21:122,17105,0.3,17180,0.5,36180,0.3,47141,1.75 85,1,2024-09-07 08:24:20:574,132851,132851,0,0,62125361578,659237219,130395,2027,429,384,391652,0 85,2,2024-09-07 08:24:20:891,95676,95676,0,0,4961738,0,3656 85,3,2024-09-07 08:24:20:695,1,76,1,0,115,769,76,0 86,0,2024-09-07 08:24:20:934,21866,0.8,22643,0.8,43576,1.0,58200,2.00 86,1,2024-09-07 08:24:20:826,132925,132925,0,0,62430223552,655030230,131129,1580,216,367,391622,0 86,2,2024-09-07 08:24:20:855,93414,93413,1,0,5160259,0,5004 86,3,2024-09-07 08:24:20:588,1,76,2,0,199,896,76,0 87,0,2024-09-07 08:24:21:314,22515,2.8,22338,1.6,44823,4.0,60387,3.25 87,1,2024-09-07 08:24:20:551,133334,133334,0,0,62856296909,659860823,131753,1460,121,366,391788,0 87,2,2024-09-07 08:24:21:071,96145,96145,0,0,3931506,0,2859 87,3,2024-09-07 08:24:21:803,1,76,3,1,322,1178,76,0 88,0,2024-09-07 08:24:21:516,16398,0.7,16693,0.9,33129,0.7,44507,2.00 88,1,2024-09-07 08:24:20:573,132774,132774,0,0,62919147602,659463547,131560,1117,97,367,391787,0 88,2,2024-09-07 08:24:20:715,98077,98077,0,0,5502596,0,3583 88,3,2024-09-07 08:24:21:280,1,76,15,1,77,933,76,0 89,0,2024-09-07 08:24:21:842,17188,0.3,16617,0.6,33037,0.3,44729,1.75 89,1,2024-09-07 08:24:20:550,132407,132407,0,0,62559063215,668579334,129083,2588,736,383,391866,0 89,2,2024-09-07 08:24:21:133,96098,96098,0,0,4986201,0,2726 89,3,2024-09-07 08:24:21:808,1,76,4,0,325,1915,76,0 90,0,2024-09-07 08:24:21:644,21285,0.5,21724,0.6,44661,0.5,58897,1.75 90,1,2024-09-07 08:24:20:590,133095,133095,0,0,62144354210,657389670,131044,1909,142,382,391825,0 90,2,2024-09-07 08:24:21:430,94968,94968,0,0,5610975,0,2635 90,3,2024-09-07 08:24:20:930,1,76,1,1,200,982,76,0 91,0,2024-09-07 08:24:20:973,22589,0.7,21861,0.8,45250,0.8,59913,2.00 91,1,2024-09-07 08:24:20:565,133080,133080,0,0,62896349826,665873179,130677,2039,364,383,391914,0 91,2,2024-09-07 08:24:21:332,92767,92767,0,0,4663051,0,1997 91,3,2024-09-07 08:24:20:598,1,76,2,1,155,1131,76,0 92,0,2024-09-07 08:24:21:490,18408,1.1,18845,1.0,36095,1.3,48648,1.75 92,1,2024-09-07 08:24:20:582,133571,133571,0,0,62464750383,652299105,132915,589,67,382,391717,0 92,2,2024-09-07 08:24:21:364,98915,98915,0,0,4482074,0,2279 92,3,2024-09-07 08:24:21:009,1,76,1,1,68,897,76,0 93,0,2024-09-07 08:24:20:996,14830,0.3,15081,0.5,28807,0.2,39038,1.50 93,1,2024-09-07 08:24:20:830,133491,133491,0,0,62973628160,661028333,131728,1327,436,367,391689,0 93,2,2024-09-07 08:24:20:928,97591,97591,0,0,4110666,0,2509 93,3,2024-09-07 08:24:21:407,1,76,35,0,143,722,76,0 94,0,2024-09-07 08:24:21:626,19647,0.3,19984,0.4,39148,0.2,52428,1.50 94,1,2024-09-07 08:24:20:566,132754,132754,0,0,61812607720,651145401,131169,1520,65,381,391850,0 94,2,2024-09-07 08:24:20:768,96132,96132,0,0,4111254,0,2443 94,3,2024-09-07 08:24:21:701,1,76,1,1,231,1305,76,0 95,0,2024-09-07 08:24:21:380,20737,0.5,20552,0.6,41714,0.5,55122,1.75 95,1,2024-09-07 08:24:20:866,133736,133736,0,0,62663047332,652896920,132935,737,64,367,391590,0 95,2,2024-09-07 08:24:21:020,92176,92176,0,0,4279238,0,3308 95,3,2024-09-07 08:24:21:710,1,76,17,0,307,1311,76,0 96,0,2024-09-07 08:24:21:072,20284,0.9,20149,0.9,40277,1.1,53089,1.75 96,1,2024-09-07 08:24:21:606,133480,133480,0,0,62415791699,657677864,131638,1271,571,385,391596,0 96,2,2024-09-07 08:24:21:284,98407,98407,0,0,4786317,0,4038 96,3,2024-09-07 08:24:21:145,1,76,8,0,36,699,76,0 97,0,2024-09-07 08:24:21:428,13310,0.3,13286,0.5,26654,0.3,35110,1.75 97,1,2024-09-07 08:24:20:778,133380,133380,0,0,62986944548,660388617,131189,1674,517,367,392140,0 97,2,2024-09-07 08:24:20:809,98000,98000,0,0,4011506,0,3036 97,3,2024-09-07 08:24:20:631,1,76,15,1,165,996,76,0 98,0,2024-09-07 08:24:21:705,18228,0.2,18035,0.4,36813,0.2,49269,1.50 98,1,2024-09-07 08:24:20:576,133415,133415,0,0,62306958183,652170516,132340,1030,45,382,391997,0 98,2,2024-09-07 08:24:20:768,96853,96853,0,0,4255785,0,3080 98,3,2024-09-07 08:24:20:698,1,76,3,1,155,998,76,0 99,0,2024-09-07 08:24:21:493,21855,0.4,21806,0.5,43531,0.4,57815,1.75 99,1,2024-09-07 08:24:21:722,133769,133769,0,0,62046085332,650044031,132284,1044,441,381,391744,0 99,2,2024-09-07 08:24:21:419,93702,93702,0,0,4206557,0,1858 99,3,2024-09-07 08:24:20:591,1,76,3,0,129,728,76,0 100,0,2024-09-07 08:24:21:546,21944,1.9,21781,2.3,43408,4.9,58509,2.75 100,1,2024-09-07 08:24:20:554,132550,132550,0,0,61802107613,658925005,129803,2402,345,381,391989,0 100,2,2024-09-07 08:24:21:822,96573,96562,11,0,5464041,0,5417 100,3,2024-09-07 08:24:21:740,1,76,1,1,443,1902,76,0 101,0,2024-09-07 08:24:21:721,15868,3.6,15478,1.7,30486,0.9,42525,2.75 101,1,2024-09-07 08:24:20:554,133007,133007,0,0,62587973618,658589281,131529,1029,449,369,391709,0 101,2,2024-09-07 08:24:21:787,97863,97863,0,0,4810977,0,4644 101,3,2024-09-07 08:24:20:949,1,76,5,0,448,1089,76,0 102,0,2024-09-07 08:24:20:956,17137,0.4,17808,0.6,35710,0.3,47097,1.75 102,1,2024-09-07 08:24:21:224,133047,133047,0,0,62599013638,662896518,130576,2003,468,369,391831,0 102,2,2024-09-07 08:24:21:752,96718,96718,0,0,3991835,0,1945 102,3,2024-09-07 08:24:21:620,1,76,10,0,410,887,76,0 103,0,2024-09-07 08:24:21:617,22878,0.5,22832,0.7,43267,0.5,59372,1.75 103,1,2024-09-07 08:24:21:632,133004,133004,0,0,62505426515,656413551,131777,1083,144,381,391680,0 103,2,2024-09-07 08:24:20:600,94938,94938,0,0,4271105,0,2104 103,3,2024-09-07 08:24:20:769,1,76,8,1,486,1119,76,0 104,0,2024-09-07 08:24:21:018,22854,1.9,22855,1.4,44599,2.4,61357,2.50 104,1,2024-09-07 08:24:21:634,133789,133789,0,0,62146091650,656772188,131836,1627,326,366,391948,0 104,2,2024-09-07 08:24:21:673,93509,93509,0,0,5078909,0,3941 104,3,2024-09-07 08:24:21:459,1,76,41,1,1245,3899,76,0 105,0,2024-09-07 08:24:21:125,16840,1.3,16226,1.6,33811,1.8,45432,3.50 105,1,2024-09-07 08:24:20:571,133035,133035,0,0,62044448077,657786326,130083,2206,746,367,391797,0 105,2,2024-09-07 08:24:21:336,97659,97659,0,0,4870716,0,3314 105,3,2024-09-07 08:24:21:329,1,76,28,1,399,1651,76,0 106,0,2024-09-07 08:24:20:952,15464,0.4,15827,0.6,32231,0.2,42738,1.75 106,1,2024-09-07 08:24:21:763,133319,133319,0,0,61834759948,653366615,130910,2082,327,370,391767,0 106,2,2024-09-07 08:24:20:760,96197,96197,0,0,4890266,0,2795 106,3,2024-09-07 08:24:20:679,1,76,2,1,201,912,76,0 107,0,2024-09-07 08:24:21:120,20571,0.5,20487,0.7,40696,0.5,54539,1.75 107,1,2024-09-07 08:24:20:591,132724,132724,0,0,62183179964,658778316,130786,1748,190,382,392234,0 107,2,2024-09-07 08:24:21:298,95871,95870,1,0,4665390,0,5024 107,3,2024-09-07 08:24:21:756,1,76,2,0,353,1307,76,0 108,0,2024-09-07 08:24:21:793,21214,0.6,21432,0.8,42430,0.7,56689,1.75 108,1,2024-09-07 08:24:21:302,133193,133193,0,0,62856453571,659492164,131752,1307,134,371,391857,0 108,2,2024-09-07 08:24:21:757,92221,92221,0,0,4371546,0,2647 108,3,2024-09-07 08:24:21:331,1,76,5,0,73,995,76,0 109,0,2024-09-07 08:24:21:839,19048,0.8,19179,0.9,37776,1.0,50932,2.00 109,1,2024-09-07 08:24:20:586,132720,132720,0,0,63584294287,671297441,131051,1286,383,383,391812,0 109,2,2024-09-07 08:24:20:932,96952,96952,0,0,4833533,0,3617 109,3,2024-09-07 08:24:21:144,1,76,18,1,249,1322,76,0 110,0,2024-09-07 08:24:21:759,14111,0.2,13764,0.4,28698,0.2,37489,1.50 110,1,2024-09-07 08:24:21:656,133614,133614,0,0,62343027529,650417316,132294,1034,286,370,391588,0 110,2,2024-09-07 08:24:21:313,98183,98183,0,0,4072276,0,2915 110,3,2024-09-07 08:24:20:694,1,76,1,0,183,738,76,0 111,0,2024-09-07 08:24:21:436,18997,0.2,18796,0.4,37605,0.1,50825,1.50 111,1,2024-09-07 08:24:21:000,133999,133999,0,0,63386410981,656845443,133353,633,13,382,391690,0 111,2,2024-09-07 08:24:21:120,96516,96516,0,0,4312659,0,2763 111,3,2024-09-07 08:24:20:913,1,76,1,0,300,1407,76,0 112,0,2024-09-07 08:24:20:918,21430,0.5,21449,0.6,42571,0.4,56619,1.75 112,1,2024-09-07 08:24:20:824,133429,133429,0,0,63045313496,659199561,131917,1166,346,381,391580,0 112,2,2024-09-07 08:24:21:133,92561,92560,1,0,4463431,0,5036 112,3,2024-09-07 08:24:20:593,1,76,3,0,282,852,76,0 113,0,2024-09-07 08:24:20:881,20694,0.6,20493,0.7,41743,0.6,55253,1.75 113,1,2024-09-07 08:24:21:697,133720,133720,0,0,62817611507,654918796,131829,1507,384,368,391661,0 113,2,2024-09-07 08:24:21:308,97924,97924,0,0,3735703,0,3021 113,3,2024-09-07 08:24:20:909,1,76,1,0,288,1148,76,0 114,0,2024-09-07 08:24:20:880,14912,3.5,15121,1.8,29694,0.8,39643,2.00 114,1,2024-09-07 08:24:20:718,133427,133427,0,0,62562483765,659600088,130820,1726,881,381,391531,0 114,2,2024-09-07 08:24:20:882,97955,97955,0,0,4323842,0,3925 114,3,2024-09-07 08:24:21:278,1,76,1,0,159,767,76,0 115,0,2024-09-07 08:24:20:560,17621,0.2,17735,0.3,35418,0.1,47251,1.50 115,1,2024-09-07 08:24:20:574,133475,133475,0,0,63308715608,664333363,131101,1887,487,383,391510,0 115,2,2024-09-07 08:24:21:125,96854,96854,0,0,3694554,0,2152 115,3,2024-09-07 08:24:21:002,1,76,2,0,159,480,76,0 116,0,2024-09-07 08:24:21:831,22063,0.9,21647,0.9,43876,1.2,58300,2.00 116,1,2024-09-07 08:24:20:818,132936,132936,0,0,63252141665,670929261,131052,1013,871,382,391605,0 116,2,2024-09-07 08:24:21:765,93601,93601,0,0,4863806,0,3529 116,3,2024-09-07 08:24:20:933,1,76,2,1,252,1295,76,0 117,0,2024-09-07 08:24:21:158,22481,2.2,22556,1.4,44888,3.5,60494,2.25 117,1,2024-09-07 08:24:21:582,133701,133701,0,0,61914166365,654616212,131385,2023,293,370,392033,0 117,2,2024-09-07 08:24:21:126,96482,96482,0,0,4296021,0,3700 117,3,2024-09-07 08:24:21:078,1,76,10,0,490,1815,76,0 118,0,2024-09-07 08:24:21:808,16118,0.7,16451,0.9,33807,0.7,44382,2.00 118,1,2024-09-07 08:24:20:593,133651,133651,0,0,62406627196,655280716,132410,1162,79,368,391736,0 118,2,2024-09-07 08:24:21:607,98026,98026,0,0,4717406,0,2781 118,3,2024-09-07 08:24:21:780,1,76,7,1,235,1237,76,0 119,0,2024-09-07 08:24:21:365,16411,0.3,16503,0.5,33776,0.2,44369,1.75 119,1,2024-09-07 08:24:20:569,133612,133612,0,0,62845469743,659797399,131995,1468,149,370,391641,0 119,2,2024-09-07 08:24:21:284,95585,95585,0,0,4441200,0,2532 119,3,2024-09-07 08:24:21:331,1,76,1,0,443,2147,76,0 120,0,2024-09-07 08:24:21:586,21700,0.6,21777,0.8,43323,0.6,58855,2.00 120,1,2024-09-07 08:24:20:871,133055,133055,0,0,62495863889,658413540,131527,1512,16,368,391702,0 120,2,2024-09-07 08:24:20:776,95340,95339,1,0,5614462,0,5281 120,3,2024-09-07 08:24:21:293,1,76,1,0,241,1383,76,0 121,0,2024-09-07 08:24:21:745,21974,2.4,22189,1.5,44390,3.7,59891,2.50 121,1,2024-09-07 08:24:21:663,133275,133275,0,0,62456459661,657029937,131398,1608,269,368,391840,0 121,2,2024-09-07 08:24:21:125,92572,92572,0,0,5879380,0,4127 121,3,2024-09-07 08:24:20:748,1,76,3,0,113,862,76,0 122,0,2024-09-07 08:24:21:807,18134,2.3,17511,2.0,36550,2.7,48787,2.50 122,1,2024-09-07 08:24:20:875,132333,132333,0,0,61751196766,656019528,129322,2487,524,368,392130,0 122,2,2024-09-07 08:24:21:327,97732,97732,0,0,5960276,0,3364 122,3,2024-09-07 08:24:20:596,1,76,1,1,298,1799,76,0 123,0,2024-09-07 08:24:21:009,14702,0.3,14298,0.5,29841,0.2,38967,1.75 123,1,2024-09-07 08:24:20:560,133408,133408,0,0,62709376375,667765955,129944,2896,568,369,391823,0 123,2,2024-09-07 08:24:21:025,96960,96959,1,0,4881579,0,5215 123,3,2024-09-07 08:24:21:137,1,76,1,1,160,1012,76,0 124,0,2024-09-07 08:24:20:941,20202,0.3,20145,0.5,38221,0.2,52675,1.50 124,1,2024-09-07 08:24:21:040,133610,133610,0,0,61948674558,644208083,132809,656,145,367,392178,0 124,2,2024-09-07 08:24:21:010,96050,96050,0,0,3826029,0,2477 124,3,2024-09-07 08:24:20:768,1,76,10,1,490,1642,76,0 125,0,2024-09-07 08:24:21:429,20819,0.7,20899,0.7,41718,0.7,55077,1.75 125,1,2024-09-07 08:24:20:857,133497,133497,0,0,62783791930,659366842,131758,1467,272,384,391702,0 125,2,2024-09-07 08:24:21:122,92260,92260,0,0,4114036,0,2180 125,3,2024-09-07 08:24:21:133,1,76,1,1,93,1089,76,0 126,0,2024-09-07 08:24:21:456,20226,1.2,20753,1.0,39720,2.1,53449,2.00 126,1,2024-09-07 08:24:20:575,134146,134146,0,0,63098919468,656534840,133096,995,55,365,391987,0 126,2,2024-09-07 08:24:20:618,97957,97957,0,0,4540303,0,3186 126,3,2024-09-07 08:24:20:906,1,76,11,0,122,1087,76,0 127,0,2024-09-07 08:24:21:617,13414,0.2,13339,0.4,26672,0.2,35061,1.50 127,1,2024-09-07 08:24:20:573,133466,133466,0,0,62422674470,649167111,132328,1115,23,365,391614,0 127,2,2024-09-07 08:24:20:637,98354,98354,0,0,3783974,0,1803 127,3,2024-09-07 08:24:21:277,1,76,6,0,99,632,76,0 128,0,2024-09-07 08:24:21:548,18369,0.2,18390,0.4,36201,0.2,49342,1.50 128,1,2024-09-07 08:24:21:622,133639,133639,0,0,63314037941,657210094,132919,650,70,367,391680,0 128,2,2024-09-07 08:24:21:383,95632,95632,0,0,4435979,0,2107 128,3,2024-09-07 08:24:20:779,1,76,2,1,112,896,76,0 129,0,2024-09-07 08:24:21:029,21943,0.4,21872,0.6,43667,0.4,57769,1.75 129,1,2024-09-07 08:24:20:597,133347,133347,0,0,62374918670,655975029,131520,1552,275,379,391835,0 129,2,2024-09-07 08:24:20:714,92386,92386,0,0,3822525,0,2446 129,3,2024-09-07 08:24:20:694,1,76,5,1,173,1130,76,0 130,0,2024-09-07 08:24:21:727,22150,1.4,22069,1.1,44170,2.0,59004,2.25 130,1,2024-09-07 08:24:20:613,133385,133385,0,0,62611541421,655548917,132072,1280,33,381,391609,0 130,2,2024-09-07 08:24:21:125,97279,97279,0,0,4535735,0,4067 130,3,2024-09-07 08:24:21:297,1,76,2,1,207,641,76,0 131,0,2024-09-07 08:24:21:936,15831,0.9,15787,0.9,31842,1.3,42325,1.75 131,1,2024-09-07 08:24:21:842,134226,134226,0,0,62286613160,650744999,133205,835,186,384,391865,0 131,2,2024-09-07 08:24:20:568,98110,98110,0,0,3757322,0,2415 131,3,2024-09-07 08:24:21:692,1,76,1,0,392,1124,76,0 132,0,2024-09-07 08:24:21:426,17503,0.3,17796,0.6,35287,0.2,47212,1.75 132,1,2024-09-07 08:24:20:582,132816,132816,0,0,61863276848,656203591,130226,1884,706,381,391719,0 132,2,2024-09-07 08:24:20:709,95590,95590,0,0,6080624,0,4606 132,3,2024-09-07 08:24:21:692,1,76,4,1,356,1027,76,0 133,0,2024-09-07 08:24:21:554,21759,0.5,22109,0.7,45564,0.5,58717,1.75 133,1,2024-09-07 08:24:20:583,132177,132177,0,0,61721632062,654829961,129964,2118,95,383,391755,0 133,2,2024-09-07 08:24:21:091,95271,95271,0,0,5238667,0,2444 133,3,2024-09-07 08:24:21:308,1,76,6,1,187,644,76,0 134,0,2024-09-07 08:24:20:980,22917,1.0,22928,1.1,45962,1.1,61378,2.25 134,1,2024-09-07 08:24:20:599,133545,133545,0,0,62167223212,657196958,130905,1659,981,366,391718,0 134,2,2024-09-07 08:24:21:759,94235,94235,0,0,3897251,0,2026 134,3,2024-09-07 08:24:20:751,1,76,1,1,739,1975,76,0 135,0,2024-09-07 08:24:21:112,16584,1.7,16568,1.6,34975,1.9,45321,2.50 135,1,2024-09-07 08:24:21:586,132951,132951,0,0,62850337160,662085003,131004,1620,327,380,391703,0 135,2,2024-09-07 08:24:20:697,98056,98056,0,0,4878524,0,3981 135,3,2024-09-07 08:24:21:002,1,76,1,0,68,488,76,0 136,0,2024-09-07 08:24:21:625,15996,0.4,16010,0.6,31903,0.3,43039,2.00 136,1,2024-09-07 08:24:21:441,133147,133147,0,0,61867123427,652070209,131243,1757,147,384,391621,0 136,2,2024-09-07 08:24:21:134,97649,97649,0,0,4413284,0,2379 136,3,2024-09-07 08:24:21:114,1,76,1,1,108,875,76,0 137,0,2024-09-07 08:24:20:929,21020,0.7,20329,0.8,40367,0.8,54607,2.00 137,1,2024-09-07 08:24:20:579,133156,133156,0,0,62131103082,653580196,131179,1863,114,368,391608,0 137,2,2024-09-07 08:24:21:706,95548,95548,0,0,5440481,0,2632 137,3,2024-09-07 08:24:20:776,1,76,1,1,227,1109,76,0 138,0,2024-09-07 08:24:21:754,20581,2.7,20744,1.7,42102,3.9,56564,3.00 138,1,2024-09-07 08:24:21:694,133119,133119,0,0,62758296850,661669593,130788,2067,264,370,391954,0 138,2,2024-09-07 08:24:20:588,93007,93007,0,0,4606336,0,3263 138,3,2024-09-07 08:24:20:620,1,76,4,0,1160,1940,76,0 139,0,2024-09-07 08:24:21:441,18428,4.5,18422,2.5,37265,5.5,50210,3.75 139,1,2024-09-07 08:24:20:571,132567,132567,0,0,61540263731,658442321,129678,2160,729,381,391892,0 139,2,2024-09-07 08:24:20:697,97340,97340,0,0,5937891,0,3097 139,3,2024-09-07 08:24:21:668,1,76,1,0,244,1343,76,0 140,0,2024-09-07 08:24:21:607,13996,0.3,13981,0.5,28175,0.2,37562,1.50 140,1,2024-09-07 08:24:21:539,134130,134130,0,0,62542429310,647992029,133202,731,197,365,391606,0 140,2,2024-09-07 08:24:20:688,98342,98342,0,0,4603903,0,3388 140,3,2024-09-07 08:24:20:775,1,76,1,0,25,579,76,0 141,0,2024-09-07 08:24:21:716,18660,0.2,19295,0.4,37389,0.1,50828,1.50 141,1,2024-09-07 08:24:20:864,133695,133695,0,0,62923403481,656629300,132273,1091,331,382,391538,0 141,2,2024-09-07 08:24:21:693,96195,96195,0,0,4102100,0,2342 141,3,2024-09-07 08:24:21:044,1,76,28,0,147,585,76,0 142,0,2024-09-07 08:24:21:322,21624,0.4,21361,0.6,42466,0.4,56669,1.75 142,1,2024-09-07 08:24:20:586,133993,133993,0,0,62443582778,651218115,133436,542,15,384,391649,0 142,2,2024-09-07 08:24:21:303,91650,91650,0,0,4350626,0,2293 142,3,2024-09-07 08:24:21:760,1,76,22,0,484,1348,76,0 143,0,2024-09-07 08:24:21:375,20741,1.1,20765,0.9,41847,1.5,55105,2.00 143,1,2024-09-07 08:24:20:597,133600,133600,0,0,62308935549,647844169,132622,951,27,367,391619,0 143,2,2024-09-07 08:24:20:790,97487,97487,0,0,4898842,0,2669 143,3,2024-09-07 08:24:21:142,1,76,1,0,236,1124,76,0 144,0,2024-09-07 08:24:21:512,14219,1.3,14661,5.9,29640,1.0,39307,2.25 144,1,2024-09-07 08:24:20:566,133143,133143,0,0,62256784263,655402506,131570,1381,192,381,391638,0 144,2,2024-09-07 08:24:21:759,98598,98598,0,0,4111931,0,3473 144,3,2024-09-07 08:24:21:740,1,76,1,1,169,1237,76,0 145,0,2024-09-07 08:24:21:391,17046,0.4,17051,0.6,36081,0.3,47130,1.75 145,1,2024-09-07 08:24:20:554,132652,132652,0,0,62231241369,656686778,130724,1663,265,383,391615,0 145,2,2024-09-07 08:24:21:436,96446,96446,0,0,5045529,0,3903 145,3,2024-09-07 08:24:20:898,1,76,0,0,151,1003,76,0 146,0,2024-09-07 08:24:21:625,21846,0.7,21878,0.9,43940,0.8,57859,2.25 146,1,2024-09-07 08:24:21:602,133659,133659,0,0,62284532212,656988332,131364,1994,301,368,391600,0 146,2,2024-09-07 08:24:21:707,92726,92726,0,0,4662035,0,2379 146,3,2024-09-07 08:24:21:289,1,76,20,0,1520,3102,76,0 147,0,2024-09-07 08:24:21:710,22379,2.8,22121,1.6,44100,4.1,60422,3.25 147,1,2024-09-07 08:24:21:371,133661,133661,0,0,62762131328,654945462,131943,1363,355,368,391791,0 147,2,2024-09-07 08:24:21:010,96543,96543,0,0,4584035,0,2789 147,3,2024-09-07 08:24:20:931,1,76,1,1,141,809,76,0 0,0,2024-09-07 08:24:31:725,21534,0.6,21560,0.8,45510,0.7,59098,1.75 0,1,2024-09-07 08:24:30:807,135445,135445,0,0,63368513224,666203058,134220,1098,127,372,391673,0 0,2,2024-09-07 08:24:31:072,96412,96412,0,0,4583829,0,4480 0,3,2024-09-07 08:24:30:974,1,77,6,0,247,1147,77,0 1,0,2024-09-07 08:24:31:763,22415,2.7,22267,1.8,44952,4.3,60617,2.75 1,1,2024-09-07 08:24:30:559,134700,134700,0,0,62362747000,656575715,133005,1166,529,372,391857,0 1,2,2024-09-07 08:24:30:648,94551,94551,0,0,4194799,0,3267 1,3,2024-09-07 08:24:31:301,1,77,11,0,167,765,77,0 2,0,2024-09-07 08:24:31:576,18103,1.3,18284,1.3,36234,2.7,48576,2.25 2,1,2024-09-07 08:24:30:864,135402,135402,0,0,63983848171,667248116,134321,877,204,381,391558,0 2,2,2024-09-07 08:24:31:269,98582,98582,0,0,4358008,0,3304 2,3,2024-09-07 08:24:30:690,1,77,2,0,214,812,77,0 3,0,2024-09-07 08:24:31:751,15108,0.3,15099,0.5,30309,0.2,40382,1.75 3,1,2024-09-07 08:24:31:621,134650,134650,0,0,63220543085,662468181,132671,1593,386,382,391516,0 3,2,2024-09-07 08:24:31:142,98578,98555,23,0,4846793,0,5851 3,3,2024-09-07 08:24:31:753,1,77,1,0,103,587,77,0 4,0,2024-09-07 08:24:31:814,19404,0.3,19814,0.5,40259,0.2,53198,1.50 4,1,2024-09-07 08:24:30:609,134924,134924,0,0,62976612423,666087021,133028,1478,418,371,391846,0 4,2,2024-09-07 08:24:31:018,97025,97025,0,0,5441439,0,4528 4,3,2024-09-07 08:24:31:027,1,77,1,1,287,1350,77,0 5,0,2024-09-07 08:24:31:397,21100,0.8,20872,0.8,42180,1.0,55918,2.00 5,1,2024-09-07 08:24:30:769,134955,134955,0,0,63463687522,670102432,132744,1769,442,368,392005,0 5,2,2024-09-07 08:24:31:832,93179,93179,0,0,4329300,0,2259 5,3,2024-09-07 08:24:31:735,1,77,1,0,238,1552,77,0 6,0,2024-09-07 08:24:30:922,20314,1.1,20074,1.3,40074,1.6,53714,2.50 6,1,2024-09-07 08:24:30:752,135557,135557,0,0,63328717586,661259757,134434,1054,69,381,391603,0 6,2,2024-09-07 08:24:31:118,99519,99519,0,0,4640114,0,2411 6,3,2024-09-07 08:24:31:280,1,77,2,0,340,1456,77,0 7,0,2024-09-07 08:24:31:541,13358,0.3,13362,0.5,26869,0.2,35902,1.75 7,1,2024-09-07 08:24:30:856,135324,135324,0,0,63319235027,662187578,134344,916,64,383,391664,0 7,2,2024-09-07 08:24:30:770,99021,99021,0,0,4371391,0,2981 7,3,2024-09-07 08:24:30:851,1,77,1,0,83,663,77,0 8,0,2024-09-07 08:24:31:343,18791,0.3,18828,0.5,37179,0.2,50451,1.50 8,1,2024-09-07 08:24:31:016,134965,134965,0,0,63165890322,674329900,131480,2232,1253,368,391724,0 8,2,2024-09-07 08:24:30:800,96353,96353,0,0,5517916,0,2986 8,3,2024-09-07 08:24:30:586,1,77,1,0,229,1100,77,0 9,0,2024-09-07 08:24:31:149,21871,0.4,21276,0.6,44575,0.4,57915,1.75 9,1,2024-09-07 08:24:30:668,135378,135378,0,0,64131884971,678779197,132934,1876,568,370,391738,0 9,2,2024-09-07 08:24:31:091,93596,93596,0,0,4959731,0,3360 9,3,2024-09-07 08:24:31:752,1,77,2,0,149,1052,77,0 10,0,2024-09-07 08:24:31:614,22070,0.7,22107,0.9,44144,0.7,58824,2.00 10,1,2024-09-07 08:24:30:583,134529,134529,0,0,63110895584,669732319,131192,2815,522,381,391741,0 10,2,2024-09-07 08:24:30:767,98067,98067,0,0,5148653,0,2940 10,3,2024-09-07 08:24:30:871,1,77,0,0,136,609,77,0 11,0,2024-09-07 08:24:31:013,15542,1.0,15150,1.3,31773,3.3,42483,2.25 11,1,2024-09-07 08:24:30:574,134782,134782,0,0,63068368273,666682608,132141,1826,815,384,391537,0 11,2,2024-09-07 08:24:31:137,99212,99212,0,0,4867697,0,2635 11,3,2024-09-07 08:24:31:313,1,77,1,0,720,2373,77,0 12,0,2024-09-07 08:24:30:958,18171,0.3,18142,0.5,36138,0.2,47992,1.50 12,1,2024-09-07 08:24:30:941,134515,134515,0,0,62475721828,656975197,132855,1560,100,371,391790,0 12,2,2024-09-07 08:24:31:557,98492,98492,0,0,4540872,0,2254 12,3,2024-09-07 08:24:31:065,1,77,11,0,358,1467,77,0 13,0,2024-09-07 08:24:31:352,22346,0.4,22539,0.6,44849,0.4,59396,1.75 13,1,2024-09-07 08:24:31:539,135006,135006,0,0,62896081672,663804137,133411,1228,367,384,391717,0 13,2,2024-09-07 08:24:30:609,95633,95633,0,0,4110294,0,3287 13,3,2024-09-07 08:24:31:762,1,77,1,1,416,1664,77,0 14,0,2024-09-07 08:24:30:575,23245,0.8,23304,0.9,46284,1.0,61781,2.00 14,1,2024-09-07 08:24:31:563,136016,136016,0,0,62942651080,653656748,135133,852,31,365,391546,0 14,2,2024-09-07 08:24:30:767,95616,95616,0,0,4684075,0,2793 14,3,2024-09-07 08:24:31:117,1,77,1,0,906,1289,77,0 15,0,2024-09-07 08:24:31:573,17265,1.4,17067,1.4,34263,2.1,46245,2.75 15,1,2024-09-07 08:24:31:609,134749,134749,0,0,62822582233,655300801,133926,788,35,381,391536,0 15,2,2024-09-07 08:24:31:001,100224,100224,0,0,3772375,0,3043 15,3,2024-09-07 08:24:31:407,1,77,509,0,538,2364,77,0 16,0,2024-09-07 08:24:30:963,16203,0.3,16404,0.5,32410,0.2,43604,2.00 16,1,2024-09-07 08:24:30:584,134750,134750,0,0,62657520921,657485647,133614,1108,28,372,391756,0 16,2,2024-09-07 08:24:31:433,97303,97303,0,0,4983187,0,4719 16,3,2024-09-07 08:24:31:142,1,77,1,1,231,1356,77,0 17,0,2024-09-07 08:24:31:772,21456,0.5,20983,0.7,41193,0.5,56125,1.75 17,1,2024-09-07 08:24:30:595,135109,135109,0,0,63130613273,667201541,133229,1357,523,369,391688,0 17,2,2024-09-07 08:24:31:669,98330,98330,0,0,3864658,0,2857 17,3,2024-09-07 08:24:30:589,1,77,5,0,268,1569,77,0 18,0,2024-09-07 08:24:30:963,20944,1.4,21245,1.3,42577,1.8,56837,2.50 18,1,2024-09-07 08:24:31:638,135257,135257,0,0,63093790803,657667742,134005,1034,218,368,391555,0 18,2,2024-09-07 08:24:31:755,93696,93696,0,0,4656112,0,3541 18,3,2024-09-07 08:24:30:896,1,77,0,0,163,962,77,0 19,0,2024-09-07 08:24:31:555,18768,1.6,18914,1.4,37493,1.7,49787,4.50 19,1,2024-09-07 08:24:30:566,135376,135376,0,0,63636380822,666258464,133508,1704,164,367,391777,0 19,2,2024-09-07 08:24:31:753,99783,99783,0,0,4321453,0,3988 19,3,2024-09-07 08:24:31:129,1,77,0,0,524,1188,77,0 20,0,2024-09-07 08:24:31:397,14444,0.3,14410,0.5,28583,0.2,37965,1.75 20,1,2024-09-07 08:24:30:587,135184,135184,0,0,63434506059,667108145,133486,1536,162,370,391598,0 20,2,2024-09-07 08:24:30:942,98812,98812,0,0,4327840,0,2446 20,3,2024-09-07 08:24:30:590,1,77,0,0,99,930,77,0 21,0,2024-09-07 08:24:31:170,19518,0.4,19447,0.5,38664,0.3,51578,1.75 21,1,2024-09-07 08:24:31:576,134765,134765,0,0,63088061750,667589827,132428,1842,495,368,391962,0 21,2,2024-09-07 08:24:31:072,97515,97515,0,0,4550000,0,3747 21,3,2024-09-07 08:24:31:417,1,77,2,0,93,1065,77,0 22,0,2024-09-07 08:24:31:725,21119,2.0,21077,1.4,42104,3.7,56189,3.00 22,1,2024-09-07 08:24:31:025,134753,134753,0,0,63027166666,668217905,131612,2415,726,382,391667,0 22,2,2024-09-07 08:24:30:763,93513,93513,0,0,3944466,0,3134 22,3,2024-09-07 08:24:31:070,1,77,1,0,62,427,77,0 23,0,2024-09-07 08:24:31:389,20688,1.2,20839,1.0,41669,1.7,55321,2.50 23,1,2024-09-07 08:24:31:006,135354,135354,0,0,63479072642,660609346,134005,1095,254,367,391496,0 23,2,2024-09-07 08:24:31:095,98625,98625,0,0,4231548,0,2078 23,3,2024-09-07 08:24:31:754,1,77,1,0,645,1343,77,0 24,0,2024-09-07 08:24:30:836,15186,0.6,15143,0.7,30266,0.6,39889,1.75 24,1,2024-09-07 08:24:30:583,135239,135239,0,0,63291716974,660736676,134423,809,7,369,391640,0 24,2,2024-09-07 08:24:31:078,99024,99024,0,0,5587763,0,2942 24,3,2024-09-07 08:24:31:702,1,77,10,1,234,1121,77,0 25,0,2024-09-07 08:24:31:406,18649,0.3,18116,0.5,35662,0.2,48841,1.50 25,1,2024-09-07 08:24:30:576,134774,134774,0,0,63154523450,666998088,132620,1838,316,371,391788,0 25,2,2024-09-07 08:24:31:606,97411,97411,0,0,5052875,0,3284 25,3,2024-09-07 08:24:31:000,1,77,1,1,158,753,77,0 26,0,2024-09-07 08:24:31:735,21948,0.5,21373,0.6,44703,0.4,58541,1.75 26,1,2024-09-07 08:24:31:541,135290,135290,0,0,62812366595,666996615,131828,2627,835,381,391564,0 26,2,2024-09-07 08:24:30:864,94342,94342,0,0,4960234,0,2809 26,3,2024-09-07 08:24:31:712,1,77,4,0,796,1282,77,0 27,0,2024-09-07 08:24:31:756,22756,0.9,22950,0.9,45444,1.5,60739,2.00 27,1,2024-09-07 08:24:31:676,135834,135834,0,0,63895109961,665338737,134694,845,295,381,391539,0 27,2,2024-09-07 08:24:30:867,96292,96292,0,0,5995003,0,3409 27,3,2024-09-07 08:24:31:015,1,77,1,0,564,1230,77,0 28,0,2024-09-07 08:24:31:412,16666,0.6,16959,0.8,33710,0.8,45010,2.00 28,1,2024-09-07 08:24:30:797,135551,135551,0,0,64034222608,668570767,134490,861,200,383,391646,0 28,2,2024-09-07 08:24:31:765,99233,99233,0,0,4008521,0,2609 28,3,2024-09-07 08:24:31:776,1,77,4,0,502,1232,77,0 29,0,2024-09-07 08:24:31:377,17346,0.3,16929,0.5,33350,0.2,45723,1.75 29,1,2024-09-07 08:24:31:561,136406,136406,0,0,63485970594,657101229,135643,591,172,369,391621,0 29,2,2024-09-07 08:24:30:864,98176,98176,0,0,3486586,0,2026 29,3,2024-09-07 08:24:30:968,1,77,1,0,105,675,77,0 30,0,2024-09-07 08:24:31:466,22049,1.0,21524,0.9,45087,1.3,59088,2.50 30,1,2024-09-07 08:24:30:570,135542,135542,0,0,63520358698,662405229,134566,911,65,382,391534,0 30,2,2024-09-07 08:24:31:279,97200,97200,0,0,3499436,0,2534 30,3,2024-09-07 08:24:30:582,1,77,1,0,195,644,77,0 31,0,2024-09-07 08:24:31:766,22347,1.3,22382,1.1,45149,1.8,60262,2.50 31,1,2024-09-07 08:24:30:576,136003,136003,0,0,63622912880,652736423,135753,248,2,356,391553,0 31,2,2024-09-07 08:24:31:278,95042,95042,0,0,4965314,0,3525 31,3,2024-09-07 08:24:31:708,1,77,1,0,129,565,77,0 32,0,2024-09-07 08:24:31:432,18350,1.4,18486,1.1,37073,2.7,49181,1.75 32,1,2024-09-07 08:24:30:806,135396,135396,0,0,63931044947,665624257,134392,975,29,382,391595,0 32,2,2024-09-07 08:24:30:939,99304,99304,0,0,4080855,0,3155 32,3,2024-09-07 08:24:31:015,1,77,1,0,110,650,77,0 33,0,2024-09-07 08:24:31:507,15213,0.2,14919,0.4,30372,0.1,40342,1.50 33,1,2024-09-07 08:24:30:576,135859,135859,0,0,63855165718,664816309,134198,1538,123,369,391526,0 33,2,2024-09-07 08:24:30:761,98478,98445,33,0,5608799,0,7012 33,3,2024-09-07 08:24:30:895,1,77,1,0,129,515,77,0 34,0,2024-09-07 08:24:30:938,19893,0.2,20506,0.4,39570,0.1,53144,1.50 34,1,2024-09-07 08:24:31:049,135829,135829,0,0,63748457240,657833891,135233,592,4,367,391517,0 34,2,2024-09-07 08:24:30:768,96989,96989,0,0,4616995,0,3255 34,3,2024-09-07 08:24:31:691,1,77,2,0,148,698,77,0 35,0,2024-09-07 08:24:30:868,20740,0.9,20972,0.8,41848,1.1,55886,2.00 35,1,2024-09-07 08:24:31:073,135453,135453,0,0,63693794128,663158148,133985,1106,362,384,391587,0 35,2,2024-09-07 08:24:31:583,93477,93477,0,0,4029949,0,2542 35,3,2024-09-07 08:24:30:909,1,77,3,0,219,1077,77,0 36,0,2024-09-07 08:24:31:526,20233,1.8,20138,1.3,40710,2.3,53668,2.50 36,1,2024-09-07 08:24:30:587,135078,135078,0,0,62783670340,662249484,132066,2081,931,366,391535,0 36,2,2024-09-07 08:24:31:751,98945,98945,0,0,5212308,0,3303 36,3,2024-09-07 08:24:30:864,1,77,39,0,378,1134,77,0 37,0,2024-09-07 08:24:31:383,13519,0.3,13462,0.5,26999,0.2,36029,1.75 37,1,2024-09-07 08:24:30:571,134767,134760,0,7,63035820962,668391267,131920,1323,1517,365,391518,0 37,2,2024-09-07 08:24:31:151,99185,99185,0,0,3830967,0,2333 37,3,2024-09-07 08:24:31:766,1,77,1,0,724,1826,77,0 38,0,2024-09-07 08:24:31:447,18660,0.3,18173,0.5,37968,0.2,50337,1.75 38,1,2024-09-07 08:24:31:610,135594,135594,0,0,63667252123,668089794,133439,1708,447,370,391821,0 38,2,2024-09-07 08:24:30:769,97710,97710,0,0,4594973,0,3245 38,3,2024-09-07 08:24:31:000,1,77,1,0,603,1415,77,0 39,0,2024-09-07 08:24:31:771,22488,1.0,22038,0.9,42801,1.2,58069,2.00 39,1,2024-09-07 08:24:30:724,135222,135222,0,0,62765873618,659989991,132590,1994,638,366,391524,0 39,2,2024-09-07 08:24:31:417,93557,93557,0,0,4191738,0,2381 39,3,2024-09-07 08:24:30:713,1,77,0,0,276,1218,77,0 40,0,2024-09-07 08:24:31:505,21641,1.7,22018,2.0,43602,3.6,58343,3.50 40,1,2024-09-07 08:24:30:576,134904,134904,0,0,62342707157,659648718,131650,2501,753,369,391591,0 40,2,2024-09-07 08:24:31:320,98147,98146,1,0,5470894,0,5137 40,3,2024-09-07 08:24:31:143,1,77,12,0,110,813,77,0 41,0,2024-09-07 08:24:31:034,15344,0.6,15694,4.0,30099,0.6,41321,2.50 41,1,2024-09-07 08:24:30:769,134945,134945,0,0,63292424325,666521683,132522,2110,313,370,391742,0 41,2,2024-09-07 08:24:30:761,98786,98786,0,0,5665119,0,3356 41,3,2024-09-07 08:24:31:680,1,77,1,0,168,847,77,0 42,0,2024-09-07 08:24:31:484,17813,0.5,18066,0.7,36014,0.4,47990,2.00 42,1,2024-09-07 08:24:31:440,134518,134518,0,0,63027138568,667823832,131525,2163,830,381,391511,0 42,2,2024-09-07 08:24:31:141,97367,97367,0,0,4965593,0,3568 42,3,2024-09-07 08:24:31:012,1,77,1,0,100,679,77,0 43,0,2024-09-07 08:24:30:929,22294,1.1,21737,1.0,45295,1.4,59519,2.00 43,1,2024-09-07 08:24:30:587,134838,134838,0,0,63355831201,665485547,132572,1514,752,366,391604,0 43,2,2024-09-07 08:24:31:736,95916,95916,0,0,4979420,0,3812 43,3,2024-09-07 08:24:31:753,1,77,1,0,325,1716,77,0 44,0,2024-09-07 08:24:30:864,23250,0.8,23161,1.0,46330,0.8,62307,2.00 44,1,2024-09-07 08:24:30:569,135390,135390,0,0,63126578427,653932855,134370,913,107,356,391523,0 44,2,2024-09-07 08:24:31:269,96186,96186,0,0,3657322,0,1865 44,3,2024-09-07 08:24:31:092,1,77,2,0,817,1336,77,0 45,0,2024-09-07 08:24:31:783,16994,2.1,16629,1.5,34852,4.8,46587,2.00 45,1,2024-09-07 08:24:31:006,135529,135529,0,0,63898506568,663503034,135061,467,1,382,391917,0 45,2,2024-09-07 08:24:31:269,99826,99826,0,0,4309687,0,2628 45,3,2024-09-07 08:24:30:937,1,77,1,0,226,815,77,0 46,0,2024-09-07 08:24:30:978,16436,0.3,16405,0.5,32843,0.2,43684,1.75 46,1,2024-09-07 08:24:30:585,135717,135717,0,0,64076642438,663533626,134868,812,37,368,391514,0 46,2,2024-09-07 08:24:30:595,98102,98102,0,0,4207352,0,2920 46,3,2024-09-07 08:24:31:131,1,77,1,0,315,1069,77,0 47,0,2024-09-07 08:24:31:121,20768,0.3,21061,0.5,42055,0.2,55876,1.75 47,1,2024-09-07 08:24:30:572,136050,136050,0,0,63567499742,656484317,135542,504,4,366,391605,0 47,2,2024-09-07 08:24:30:909,98186,98186,0,0,4206089,0,2558 47,3,2024-09-07 08:24:31:115,1,77,1,0,529,1141,77,0 48,0,2024-09-07 08:24:31:510,21778,0.4,21606,0.5,42828,0.3,57642,1.75 48,1,2024-09-07 08:24:31:032,135583,135583,0,0,63328640977,660068392,134383,1194,6,384,391637,0 48,2,2024-09-07 08:24:30:698,94181,94181,0,0,3343275,0,2083 48,3,2024-09-07 08:24:30:758,1,77,1,0,39,672,77,0 49,0,2024-09-07 08:24:31:735,19514,1.1,19103,1.1,37516,1.9,51169,2.00 49,1,2024-09-07 08:24:31:027,134888,134888,0,0,63176002817,662420623,133149,1029,710,382,391583,0 49,2,2024-09-07 08:24:31:798,99390,99390,0,0,4504321,0,3900 49,3,2024-09-07 08:24:31:416,1,77,1,0,274,840,77,0 50,0,2024-09-07 08:24:31:522,14409,0.2,14159,0.4,28745,0.1,38366,1.50 50,1,2024-09-07 08:24:31:011,136010,136010,0,0,63837213639,664405190,134341,1372,297,368,391530,0 50,2,2024-09-07 08:24:31:070,99248,99248,0,0,3766204,0,2253 50,3,2024-09-07 08:24:31:295,1,77,1,0,335,885,77,0 51,0,2024-09-07 08:24:31:698,19850,0.2,19362,0.3,38068,0.1,52033,1.50 51,1,2024-09-07 08:24:31:680,135406,135406,0,0,64348155720,670724947,133606,1017,783,367,391637,0 51,2,2024-09-07 08:24:31:320,97286,97286,0,0,3233883,0,2448 51,3,2024-09-07 08:24:31:029,1,77,5,0,162,538,77,0 52,0,2024-09-07 08:24:31:423,21413,0.8,21552,0.9,43049,1.0,56724,2.25 52,1,2024-09-07 08:24:30:577,134839,134839,0,0,63062545211,664409218,132326,2048,465,368,391529,0 52,2,2024-09-07 08:24:31:755,93028,93028,0,0,4427420,0,4779 52,3,2024-09-07 08:24:30:674,1,77,1,0,1782,2443,77,0 53,0,2024-09-07 08:24:31:741,20625,2.0,19876,1.4,41376,3.0,54555,2.75 53,1,2024-09-07 08:24:30:771,134779,134779,0,0,63465606297,668608114,132155,2049,575,370,391617,0 53,2,2024-09-07 08:24:31:310,99735,99735,0,0,4027992,0,1902 53,3,2024-09-07 08:24:30:703,1,77,1,0,59,479,77,0 54,0,2024-09-07 08:24:31:624,14175,8.2,14396,2.4,28498,1.1,38842,4.75 54,1,2024-09-07 08:24:30:587,134951,134951,0,0,63853363846,667974959,132841,1794,316,367,391520,0 54,2,2024-09-07 08:24:30:865,99782,99776,6,0,4866788,0,5382 54,3,2024-09-07 08:24:30:766,1,77,2,0,676,1705,77,0 55,0,2024-09-07 08:24:31:775,17759,0.3,18197,0.5,36956,0.2,48364,2.00 55,1,2024-09-07 08:24:30:767,134541,134541,0,0,62563307681,659686010,131059,2780,702,367,391731,0 55,2,2024-09-07 08:24:30:729,97402,97402,0,0,4783937,0,3275 55,3,2024-09-07 08:24:30:684,1,77,2,0,136,856,77,0 56,0,2024-09-07 08:24:31:573,22586,1.9,21288,1.4,43862,2.3,58514,2.50 56,1,2024-09-07 08:24:30:578,134418,134418,0,0,63961181884,681960869,131021,2649,748,382,391678,0 56,2,2024-09-07 08:24:31:309,94504,94504,0,0,5267415,0,3567 56,3,2024-09-07 08:24:31:059,1,77,4,0,297,1005,77,0 57,0,2024-09-07 08:24:30:965,22286,3.1,22013,1.8,44369,5.3,59503,4.25 57,1,2024-09-07 08:24:30:995,134411,134411,0,0,62836836056,659327559,132933,1458,20,368,391760,0 57,2,2024-09-07 08:24:31:324,97756,97756,0,0,5289889,0,3178 57,3,2024-09-07 08:24:31:742,1,77,2,1,359,1672,77,0 58,0,2024-09-07 08:24:30:571,16003,0.6,15662,1.0,32740,0.5,43189,2.75 58,1,2024-09-07 08:24:30:586,135960,135957,0,3,63834154349,666397501,134360,1437,160,369,391516,3 58,2,2024-09-07 08:24:31:081,98972,98972,0,0,4956989,0,2549 58,3,2024-09-07 08:24:31:078,1,77,1,0,219,882,77,0 59,0,2024-09-07 08:24:31:806,17076,0.5,16931,0.8,34144,0.4,45436,2.00 59,1,2024-09-07 08:24:30:804,134693,134693,0,0,63050870361,664519692,132443,1483,767,369,391515,0 59,2,2024-09-07 08:24:30:583,97793,97793,0,0,4434893,0,2604 59,3,2024-09-07 08:24:31:740,1,77,1,0,1015,2000,77,0 60,0,2024-09-07 08:24:31:770,22351,0.5,22563,0.6,44491,0.5,59311,1.75 60,1,2024-09-07 08:24:30:772,136153,136153,0,0,63592609488,662623937,135066,738,349,370,391758,0 60,2,2024-09-07 08:24:31:141,96451,96451,0,0,3939173,0,2142 60,3,2024-09-07 08:24:31:263,1,77,4,0,124,943,77,0 61,0,2024-09-07 08:24:31:528,22370,2.5,22497,1.5,44784,3.9,60286,2.25 61,1,2024-09-07 08:24:30:800,134837,134837,0,0,63060460762,666491909,132276,2025,536,383,391589,0 61,2,2024-09-07 08:24:31:120,95085,95085,0,0,4107999,0,1846 61,3,2024-09-07 08:24:31:692,1,77,1,0,199,1133,77,0 62,0,2024-09-07 08:24:31:727,18481,1.4,18940,1.2,36147,2.6,49115,2.25 62,1,2024-09-07 08:24:31:121,135741,135735,0,6,63805786953,661828535,134482,1216,37,365,391586,6 62,2,2024-09-07 08:24:31:644,98921,98921,0,0,4640204,0,2931 62,3,2024-09-07 08:24:31:148,1,77,2,0,287,673,77,0 63,0,2024-09-07 08:24:31:463,15079,0.3,14984,0.5,30250,0.2,40360,1.75 63,1,2024-09-07 08:24:30:805,136206,136201,0,5,63757577814,660234210,135794,404,3,381,391540,5 63,2,2024-09-07 08:24:30:769,98461,98461,0,0,4194700,0,2674 63,3,2024-09-07 08:24:31:734,1,77,4,0,667,1879,77,0 64,0,2024-09-07 08:24:31:515,19850,0.3,19719,0.5,39740,0.2,53091,1.75 64,1,2024-09-07 08:24:30:767,135506,135506,0,0,63387889818,668459083,133067,1645,794,371,391783,0 64,2,2024-09-07 08:24:31:141,97791,97772,19,0,4539654,0,6121 64,3,2024-09-07 08:24:31:140,1,77,31,1,265,1054,77,0 65,0,2024-09-07 08:24:31:692,20403,2.3,20734,1.5,41349,3.2,55337,3.50 65,1,2024-09-07 08:24:30:874,134889,134889,0,0,63001803902,662083355,133180,1490,219,382,391701,0 65,2,2024-09-07 08:24:31:701,93928,93928,0,0,4608945,0,3367 65,3,2024-09-07 08:24:31:698,1,77,6,0,163,869,77,0 66,0,2024-09-07 08:24:31:779,19745,0.8,19969,1.0,39967,0.8,53090,2.50 66,1,2024-09-07 08:24:31:293,136139,136139,0,0,63455743239,658815009,135448,670,21,380,391588,0 66,2,2024-09-07 08:24:31:138,100181,100181,0,0,4275875,0,3867 66,3,2024-09-07 08:24:31:079,1,77,2,0,291,955,77,0 67,0,2024-09-07 08:24:31:439,13622,0.4,13669,0.6,26996,0.3,35982,2.00 67,1,2024-09-07 08:24:30:771,134884,134883,0,1,63245671563,665425411,132863,1495,525,382,391787,1 67,2,2024-09-07 08:24:30:584,100157,100157,0,0,3983788,0,2889 67,3,2024-09-07 08:24:31:750,1,77,10,0,138,676,77,0 68,0,2024-09-07 08:24:30:601,18813,0.4,18755,0.7,37417,0.4,50232,2.00 68,1,2024-09-07 08:24:30:586,134588,134588,0,0,62643648568,658730264,133170,896,522,382,391953,0 68,2,2024-09-07 08:24:31:055,97530,97530,0,0,4119706,0,4883 68,3,2024-09-07 08:24:30:729,1,77,1,0,63,769,77,0 69,0,2024-09-07 08:24:31:796,21887,1.5,21838,1.2,43547,1.9,58105,2.75 69,1,2024-09-07 08:24:31:020,134716,134716,0,0,63071811138,669771752,132718,1131,867,384,391611,0 69,2,2024-09-07 08:24:31:735,93835,93835,0,0,4668133,0,3153 69,3,2024-09-07 08:24:30:767,1,77,2,0,238,1180,77,0 70,0,2024-09-07 08:24:31:546,21692,3.2,21708,2.3,43855,2.0,58001,4.50 70,1,2024-09-07 08:24:30:801,135721,135721,0,0,64059036165,664433564,134805,781,135,368,391527,0 70,2,2024-09-07 08:24:31:325,98192,98192,0,0,4617108,0,4044 70,3,2024-09-07 08:24:30:746,1,77,0,0,178,519,77,0 71,0,2024-09-07 08:24:31:376,15447,1.2,15441,2.7,30467,1.8,41463,4.00 71,1,2024-09-07 08:24:31:598,135426,135426,0,0,63668581087,667237498,133224,1886,316,368,391682,0 71,2,2024-09-07 08:24:31:072,99488,99488,0,0,4347527,0,2146 71,3,2024-09-07 08:24:31:762,1,77,1,0,219,1024,77,0 72,0,2024-09-07 08:24:31:103,18495,0.4,17949,0.6,35505,0.3,48208,2.25 72,1,2024-09-07 08:24:31:030,135641,135641,0,0,63206955400,660642328,134090,1473,78,370,391556,0 72,2,2024-09-07 08:24:31:764,97091,97091,0,0,5644455,0,2570 72,3,2024-09-07 08:24:31:757,1,77,16,0,325,1369,77,0 73,0,2024-09-07 08:24:31:129,21912,0.5,22473,0.6,45630,0.4,59174,2.25 73,1,2024-09-07 08:24:30:789,135256,135256,0,0,63483168367,661861252,134290,922,44,368,391627,0 73,2,2024-09-07 08:24:31:744,96510,96510,0,0,5107066,0,3482 73,3,2024-09-07 08:24:30:969,1,77,3,0,274,1391,77,0 74,0,2024-09-07 08:24:31:342,23210,1.4,23646,1.2,45424,2.0,61832,3.00 74,1,2024-09-07 08:24:30:635,135028,135028,0,0,62918468923,660218419,133128,1328,572,382,391511,0 74,2,2024-09-07 08:24:31:002,95896,95896,0,0,5416610,0,4253 74,3,2024-09-07 08:24:31:449,1,77,9,0,246,1476,77,0 75,0,2024-09-07 08:24:31:782,17183,2.2,17257,1.6,34446,4.2,46680,3.25 75,1,2024-09-07 08:24:31:604,135199,135199,0,0,62673628824,658474386,133499,1596,104,381,391579,0 75,2,2024-09-07 08:24:31:352,99209,99209,0,0,5290124,0,4766 75,3,2024-09-07 08:24:31:071,1,77,22,0,143,605,77,0 76,0,2024-09-07 08:24:30:612,16387,0.3,16178,0.6,32443,0.2,43351,2.00 76,1,2024-09-07 08:24:30:812,135182,135182,0,0,62939345910,662321411,133371,1305,506,382,391530,0 76,2,2024-09-07 08:24:31:062,98613,98613,0,0,4198735,0,3064 76,3,2024-09-07 08:24:31:142,1,77,1,0,175,1038,77,0 77,0,2024-09-07 08:24:31:698,20813,0.5,21024,0.7,41998,0.5,55867,1.75 77,1,2024-09-07 08:24:30:833,135023,135023,0,0,63148891815,659990248,133985,969,69,383,391516,0 77,2,2024-09-07 08:24:31:287,97762,97762,0,0,3635143,0,1695 77,3,2024-09-07 08:24:31:103,1,77,5,0,139,776,77,0 78,0,2024-09-07 08:24:31:763,21466,1.1,21399,1.1,42947,1.5,57278,2.50 78,1,2024-09-07 08:24:30:616,135763,135763,0,0,62873586963,656509033,134344,1214,205,367,391589,0 78,2,2024-09-07 08:24:31:406,94214,94214,0,0,3716387,0,2114 78,3,2024-09-07 08:24:31:138,1,77,4,0,181,1256,77,0 79,0,2024-09-07 08:24:31:371,18337,0.8,18838,1.1,38400,1.0,50177,3.00 79,1,2024-09-07 08:24:30:585,135703,135703,0,0,63332264008,659523953,134364,1270,69,370,391682,0 79,2,2024-09-07 08:24:31:072,99293,99293,0,0,4266133,0,2679 79,3,2024-09-07 08:24:30:751,1,77,3,0,289,885,77,0 80,0,2024-09-07 08:24:31:152,14258,0.3,14674,0.6,28414,0.2,38188,1.75 80,1,2024-09-07 08:24:31:635,134973,134973,0,0,63576070351,663585852,133381,1477,115,369,391673,0 80,2,2024-09-07 08:24:31:104,99108,99108,0,0,4370066,0,4433 80,3,2024-09-07 08:24:30:583,1,77,3,1,190,1244,77,0 81,0,2024-09-07 08:24:31:587,19462,0.4,19984,0.6,38178,0.3,51991,1.75 81,1,2024-09-07 08:24:31:669,135171,135171,0,0,63019509053,662732863,133280,1693,198,383,391680,0 81,2,2024-09-07 08:24:31:139,96997,96997,0,0,4622818,0,3993 81,3,2024-09-07 08:24:31:125,1,77,3,0,193,870,77,0 82,0,2024-09-07 08:24:31:545,21298,1.0,21294,1.1,42756,1.2,56748,2.25 82,1,2024-09-07 08:24:30:585,135097,135094,0,3,63124995087,661893929,133055,1467,572,382,391558,3 82,2,2024-09-07 08:24:31:692,94571,94571,0,0,3557955,0,2230 82,3,2024-09-07 08:24:31:752,1,77,1,0,227,1325,77,0 83,0,2024-09-07 08:24:31:558,20685,1.6,20636,1.3,40942,1.9,54374,3.00 83,1,2024-09-07 08:24:30:555,134960,134960,0,0,62676379126,656737293,133542,1318,100,383,391553,0 83,2,2024-09-07 08:24:30:769,98406,98406,0,0,4582915,0,3119 83,3,2024-09-07 08:24:30:751,1,77,1,0,91,603,77,0 84,0,2024-09-07 08:24:31:799,14660,4.0,14432,3.5,28970,0.8,39430,3.00 84,1,2024-09-07 08:24:31:044,134961,134961,0,0,63295260472,665077447,133343,1369,249,369,391638,0 84,2,2024-09-07 08:24:30:576,99269,99269,0,0,4688216,0,3801 84,3,2024-09-07 08:24:31:145,1,77,4,1,58,798,77,0 85,0,2024-09-07 08:24:31:025,17523,0.3,17594,0.5,37076,0.3,48481,1.75 85,1,2024-09-07 08:24:30:563,134616,134616,0,0,63171024245,669890741,132159,2028,429,384,391652,0 85,2,2024-09-07 08:24:30:866,97131,97131,0,0,4974216,0,3656 85,3,2024-09-07 08:24:30:698,1,77,1,0,115,770,77,0 86,0,2024-09-07 08:24:30:888,21969,0.8,22725,0.8,43775,1.0,58502,2.00 86,1,2024-09-07 08:24:30:828,134719,134719,0,0,63114392643,661998417,132922,1581,216,367,391622,0 86,2,2024-09-07 08:24:30:854,94221,94220,1,0,5170536,0,5004 86,3,2024-09-07 08:24:30:588,1,77,2,0,199,898,77,0 87,0,2024-09-07 08:24:31:333,22668,2.8,22493,1.6,45174,3.9,60640,3.00 87,1,2024-09-07 08:24:30:557,135074,135074,0,0,63561074702,667049693,133492,1461,121,366,391788,0 87,2,2024-09-07 08:24:31:071,97611,97611,0,0,3943315,0,2859 87,3,2024-09-07 08:24:31:800,1,77,3,1,322,1181,77,0 88,0,2024-09-07 08:24:31:529,16678,0.7,16934,0.9,33670,0.7,45025,2.00 88,1,2024-09-07 08:24:30:592,134576,134576,0,0,63600276321,666429112,133362,1117,97,367,391787,0 88,2,2024-09-07 08:24:30:688,99556,99556,0,0,5524536,0,3583 88,3,2024-09-07 08:24:31:270,1,77,2,1,77,935,77,0 89,0,2024-09-07 08:24:31:961,17563,0.3,16941,0.5,33699,0.3,45903,1.75 89,1,2024-09-07 08:24:30:564,134165,134165,0,0,63251358742,675688671,130841,2588,736,383,391866,0 89,2,2024-09-07 08:24:31:137,97489,97489,0,0,5006365,0,2726 89,3,2024-09-07 08:24:31:793,1,77,3,0,325,1918,77,0 90,0,2024-09-07 08:24:31:717,21652,0.5,22050,0.6,45353,0.5,59215,1.75 90,1,2024-09-07 08:24:30:590,134874,134874,0,0,62985075939,665972410,132822,1910,142,382,391825,0 90,2,2024-09-07 08:24:31:415,95796,95796,0,0,5618808,0,2635 90,3,2024-09-07 08:24:30:932,1,77,1,1,200,983,77,0 91,0,2024-09-07 08:24:30:970,22892,0.7,22189,0.8,45876,0.8,60694,2.00 91,1,2024-09-07 08:24:30:558,134829,134829,0,0,63629756132,673407692,132426,2039,364,383,391914,0 91,2,2024-09-07 08:24:31:334,94193,94193,0,0,4697405,0,1997 91,3,2024-09-07 08:24:30:609,1,77,2,1,155,1133,77,0 92,0,2024-09-07 08:24:31:558,18606,1.0,19017,1.0,36443,1.3,49291,1.75 92,1,2024-09-07 08:24:30:587,135364,135364,0,0,63288803969,660757588,134708,589,67,382,391717,0 92,2,2024-09-07 08:24:31:351,100353,100353,0,0,4553034,0,2279 92,3,2024-09-07 08:24:31:012,1,77,1,1,68,898,77,0 93,0,2024-09-07 08:24:30:979,15219,0.3,15419,0.5,29525,0.2,40351,1.75 93,1,2024-09-07 08:24:30:805,135235,135235,0,0,63735213751,668868995,133472,1327,436,367,391689,0 93,2,2024-09-07 08:24:30:939,98706,98706,0,0,4139118,0,2509 93,3,2024-09-07 08:24:31:407,1,77,17,0,143,739,77,0 94,0,2024-09-07 08:24:31:635,19966,0.3,20282,0.4,39792,0.2,53202,1.50 94,1,2024-09-07 08:24:30:576,134647,134647,0,0,62743115830,660694799,133062,1520,65,381,391850,0 94,2,2024-09-07 08:24:30:761,97202,97202,0,0,4136655,0,2443 94,3,2024-09-07 08:24:31:694,1,77,13,1,231,1318,77,0 95,0,2024-09-07 08:24:31:408,21004,0.5,20826,0.6,42210,0.5,56104,1.75 95,1,2024-09-07 08:24:30:852,135522,135522,0,0,63316781031,659597329,134720,738,64,367,391590,0 95,2,2024-09-07 08:24:31:026,93707,93707,0,0,4309907,0,3308 95,3,2024-09-07 08:24:31:715,1,77,12,0,307,1323,77,0 96,0,2024-09-07 08:24:31:038,20415,0.9,20287,0.9,40534,1.1,53555,1.75 96,1,2024-09-07 08:24:31:616,135248,135248,0,0,62997599127,663686125,133404,1273,571,385,391596,0 96,2,2024-09-07 08:24:31:268,99802,99802,0,0,4815655,0,4038 96,3,2024-09-07 08:24:31:140,1,77,2,0,36,701,77,0 97,0,2024-09-07 08:24:31:345,13537,0.3,13540,0.5,27164,0.3,36012,1.75 97,1,2024-09-07 08:24:30:766,135165,135165,0,0,64047377799,671272952,132973,1674,518,367,392140,0 97,2,2024-09-07 08:24:30:614,99239,99239,0,0,4029164,0,3036 97,3,2024-09-07 08:24:30:596,1,77,16,1,165,1012,77,0 98,0,2024-09-07 08:24:31:815,18664,0.2,18532,0.4,37776,0.2,50449,1.50 98,1,2024-09-07 08:24:30:591,135170,135170,0,0,63092029168,660187047,134095,1030,45,382,391997,0 98,2,2024-09-07 08:24:30:771,98231,98231,0,0,4273311,0,3080 98,3,2024-09-07 08:24:30:706,1,77,13,1,155,1011,77,0 99,0,2024-09-07 08:24:31:497,21958,0.4,21937,0.5,43765,0.4,58145,1.75 99,1,2024-09-07 08:24:31:730,135540,135540,0,0,62799933293,657778385,134054,1045,441,381,391744,0 99,2,2024-09-07 08:24:31:425,94765,94765,0,0,4226982,0,1858 99,3,2024-09-07 08:24:30:583,1,77,1,0,129,729,77,0 100,0,2024-09-07 08:24:31:507,22058,1.8,21889,2.3,43625,4.8,58832,2.50 100,1,2024-09-07 08:24:30:548,134317,134317,0,0,62804265585,669122542,131570,2402,345,381,391989,0 100,2,2024-09-07 08:24:31:818,97814,97803,11,0,5475048,0,5417 100,3,2024-09-07 08:24:31:737,1,77,19,1,443,1921,77,0 101,0,2024-09-07 08:24:31:713,15955,3.5,15580,1.7,30682,0.9,42528,2.75 101,1,2024-09-07 08:24:30:569,134791,134791,0,0,63530403773,668153911,133313,1029,449,369,391709,0 101,2,2024-09-07 08:24:31:758,99363,99363,0,0,4824346,0,4644 101,3,2024-09-07 08:24:30:942,1,77,3,0,448,1092,77,0 102,0,2024-09-07 08:24:30:993,17535,0.4,18196,0.6,36497,0.3,48011,1.75 102,1,2024-09-07 08:24:31:144,134892,134892,0,0,63553780824,672614202,132421,2003,468,369,391831,0 102,2,2024-09-07 08:24:31:745,98146,98146,0,0,4003070,0,1945 102,3,2024-09-07 08:24:31:622,1,77,4,0,410,891,77,0 103,0,2024-09-07 08:24:31:704,22982,0.5,22931,0.7,43470,0.5,59627,1.75 103,1,2024-09-07 08:24:31:669,134817,134817,0,0,63269687359,664214887,133590,1083,144,381,391680,0 103,2,2024-09-07 08:24:30:582,95659,95659,0,0,4277602,0,2104 103,3,2024-09-07 08:24:30:761,1,77,1,1,486,1120,77,0 104,0,2024-09-07 08:24:31:011,23101,1.8,23096,1.4,45123,2.3,62002,2.50 104,1,2024-09-07 08:24:31:598,135683,135683,0,0,63076335303,666285461,133728,1629,326,366,391948,0 104,2,2024-09-07 08:24:31:669,94939,94939,0,0,5106553,0,3941 104,3,2024-09-07 08:24:31:420,1,77,1,1,1245,3900,77,0 105,0,2024-09-07 08:24:31:037,17082,1.2,16467,1.6,34380,1.7,46301,3.50 105,1,2024-09-07 08:24:30:559,134799,134799,0,0,62842214319,666036441,131847,2206,746,367,391797,0 105,2,2024-09-07 08:24:31:324,99237,99237,0,0,4890685,0,3314 105,3,2024-09-07 08:24:31:307,1,77,1,1,399,1652,77,0 106,0,2024-09-07 08:24:30:954,15825,0.4,16238,0.6,33009,0.2,43449,1.75 106,1,2024-09-07 08:24:31:763,135116,135116,0,0,62583251030,660981288,132707,2082,327,370,391767,0 106,2,2024-09-07 08:24:30:761,97220,97220,0,0,4902555,0,2795 106,3,2024-09-07 08:24:30:677,1,77,1,1,201,913,77,0 107,0,2024-09-07 08:24:31:125,20970,0.5,20882,0.7,41538,0.5,56051,1.75 107,1,2024-09-07 08:24:30:590,134453,134453,0,0,62902204998,666166936,132510,1753,190,382,392234,0 107,2,2024-09-07 08:24:31:298,96833,96832,1,0,4676116,0,5024 107,3,2024-09-07 08:24:31:755,1,77,1,0,353,1308,77,0 108,0,2024-09-07 08:24:31:776,21545,0.6,21764,0.8,43082,0.6,57549,1.75 108,1,2024-09-07 08:24:31:299,134946,134946,0,0,63802415557,669147141,133505,1307,134,371,391857,0 108,2,2024-09-07 08:24:31:755,93669,93669,0,0,4427026,0,2647 108,3,2024-09-07 08:24:31:336,1,77,2,0,73,997,77,0 109,0,2024-09-07 08:24:31:762,19211,0.8,19330,0.9,38119,1.0,51345,2.00 109,1,2024-09-07 08:24:30:601,134582,134582,0,0,64176315622,677481611,132912,1287,383,383,391812,0 109,2,2024-09-07 08:24:30:941,98169,98169,0,0,4872624,0,3617 109,3,2024-09-07 08:24:31:141,1,77,2,1,249,1324,77,0 110,0,2024-09-07 08:24:31:769,14421,0.2,14050,0.4,29307,0.2,38141,1.50 110,1,2024-09-07 08:24:31:643,135426,135426,0,0,63208807341,659264233,134106,1034,286,370,391588,0 110,2,2024-09-07 08:24:31:318,99225,99225,0,0,4118077,0,2915 110,3,2024-09-07 08:24:30:690,1,77,1,0,183,739,77,0 111,0,2024-09-07 08:24:31:429,19403,0.2,19199,0.4,38428,0.1,51858,1.50 111,1,2024-09-07 08:24:31:002,135736,135736,0,0,64176825165,664978207,135090,633,13,382,391690,0 111,2,2024-09-07 08:24:31:117,97781,97781,0,0,4358232,0,2763 111,3,2024-09-07 08:24:30:921,1,77,0,0,300,1407,77,0 112,0,2024-09-07 08:24:30:918,21567,0.5,21583,0.6,42854,0.4,57057,1.75 112,1,2024-09-07 08:24:30:839,135255,135255,0,0,63903823012,667950838,133742,1167,346,381,391580,0 112,2,2024-09-07 08:24:31:146,93841,93840,1,0,4486178,0,5036 112,3,2024-09-07 08:24:30:609,1,77,3,0,282,855,77,0 113,0,2024-09-07 08:24:30:894,20794,0.6,20616,0.7,41979,0.5,55518,1.75 113,1,2024-09-07 08:24:31:690,135507,135507,0,0,63537143188,662245820,133616,1507,384,368,391661,0 113,2,2024-09-07 08:24:31:319,99272,99272,0,0,3755062,0,3021 113,3,2024-09-07 08:24:30:684,1,77,1,0,288,1149,77,0 114,0,2024-09-07 08:24:30:882,14971,3.5,15182,1.8,29823,0.8,39970,2.00 114,1,2024-09-07 08:24:30:730,135194,135194,0,0,63369305678,667870681,132587,1726,881,381,391531,0 114,2,2024-09-07 08:24:30:873,99387,99387,0,0,4351295,0,3925 114,3,2024-09-07 08:24:31:279,1,77,2,0,159,769,77,0 115,0,2024-09-07 08:24:30:570,18035,0.2,18137,0.3,36273,0.1,48572,1.50 115,1,2024-09-07 08:24:30:571,135267,135267,0,0,64073435236,672141133,132893,1887,487,383,391528,0 115,2,2024-09-07 08:24:31:136,98211,98211,0,0,3735575,0,2152 115,3,2024-09-07 08:24:31:005,1,77,2,0,159,482,77,0 116,0,2024-09-07 08:24:31:754,22178,0.9,21734,0.9,44084,1.2,58599,2.00 116,1,2024-09-07 08:24:30:895,134716,134716,0,0,64130340791,679931672,132832,1013,871,382,391605,0 116,2,2024-09-07 08:24:31:765,94378,94378,0,0,4871216,0,3529 116,3,2024-09-07 08:24:30:915,1,77,10,1,252,1305,77,0 117,0,2024-09-07 08:24:30:998,22661,2.2,22706,1.4,45221,3.4,60763,2.25 117,1,2024-09-07 08:24:31:582,135498,135498,0,0,62735448213,663003774,133180,2025,293,370,392033,0 117,2,2024-09-07 08:24:31:146,97879,97879,0,0,4309957,0,3700 117,3,2024-09-07 08:24:31:068,1,77,1,0,490,1816,77,0 118,0,2024-09-07 08:24:31:793,16349,0.7,16704,0.9,34321,0.7,44901,2.00 118,1,2024-09-07 08:24:30:587,135467,135467,0,0,63181432733,663169348,134225,1163,79,368,391736,0 118,2,2024-09-07 08:24:31:609,99525,99525,0,0,4728897,0,2781 118,3,2024-09-07 08:24:31:766,1,77,8,1,235,1245,77,0 119,0,2024-09-07 08:24:31:518,16763,0.3,16829,0.5,34477,0.2,45524,1.75 119,1,2024-09-07 08:24:30:554,135364,135364,0,0,63625662709,667749635,133747,1468,149,370,391641,0 119,2,2024-09-07 08:24:31:280,96941,96941,0,0,4454194,0,2532 119,3,2024-09-07 08:24:31:330,1,77,7,0,443,2154,77,0 120,0,2024-09-07 08:24:31:691,22022,0.6,22134,0.8,43985,0.6,59172,2.00 120,1,2024-09-07 08:24:30:864,134846,134846,0,0,63166672800,665330720,133317,1513,16,368,391702,0 120,2,2024-09-07 08:24:30:771,96105,96104,1,0,5624095,0,5281 120,3,2024-09-07 08:24:31:297,1,77,2,0,241,1385,77,0 121,0,2024-09-07 08:24:31:761,22293,2.4,22477,1.5,44993,3.6,60648,2.50 121,1,2024-09-07 08:24:31:662,135009,135009,0,0,63467138557,667304769,133131,1609,269,368,391840,0 121,2,2024-09-07 08:24:31:138,94074,94074,0,0,5899664,0,4127 121,3,2024-09-07 08:24:30:745,1,77,12,0,113,874,77,0 122,0,2024-09-07 08:24:31:775,18318,2.3,17684,1.9,36917,2.6,49349,2.50 122,1,2024-09-07 08:24:30:865,134092,134092,0,0,62246171050,661111668,131081,2487,524,368,392130,0 122,2,2024-09-07 08:24:31:324,99203,99203,0,0,5981002,0,3364 122,3,2024-09-07 08:24:30:598,1,77,1,1,298,1800,77,0 123,0,2024-09-07 08:24:30:992,15020,0.3,14647,0.5,30535,0.2,40213,1.75 123,1,2024-09-07 08:24:30:573,135184,135184,0,0,63467947195,675514408,131720,2896,568,369,391823,0 123,2,2024-09-07 08:24:31:020,98116,98115,1,0,4901815,0,5215 123,3,2024-09-07 08:24:31:145,1,77,1,1,160,1013,77,0 124,0,2024-09-07 08:24:30:943,20533,0.3,20500,0.5,38856,0.2,53369,1.50 124,1,2024-09-07 08:24:31:026,135295,135295,0,0,62802431535,652953545,134493,657,145,367,392178,0 124,2,2024-09-07 08:24:31:013,97237,97237,0,0,3865348,0,2477 124,3,2024-09-07 08:24:30:778,1,77,6,1,490,1648,77,0 125,0,2024-09-07 08:24:31:446,21056,0.6,21163,0.7,42221,0.7,56016,1.75 125,1,2024-09-07 08:24:30:871,135187,135187,0,0,63530299667,667279963,133435,1480,272,384,391702,0 125,2,2024-09-07 08:24:31:116,93726,93726,0,0,4146888,0,2180 125,3,2024-09-07 08:24:31:136,1,77,7,1,93,1096,77,0 126,0,2024-09-07 08:24:31:432,20343,1.2,20881,1.0,39998,2.0,53936,2.00 126,1,2024-09-07 08:24:30:558,135901,135901,0,0,63984878731,665617157,134851,995,55,365,391987,0 126,2,2024-09-07 08:24:30:610,99403,99403,0,0,4586029,0,3186 126,3,2024-09-07 08:24:30:908,1,77,1,0,122,1088,77,0 127,0,2024-09-07 08:24:31:597,13666,0.2,13554,0.4,27135,0.2,36034,1.50 127,1,2024-09-07 08:24:30:570,135248,135248,0,0,63156452688,656664944,134110,1115,23,365,391614,0 127,2,2024-09-07 08:24:30:639,99445,99445,0,0,3827810,0,1803 127,3,2024-09-07 08:24:31:270,1,77,2,0,99,634,77,0 128,0,2024-09-07 08:24:31:567,18854,0.2,18844,0.4,37154,0.2,50460,1.50 128,1,2024-09-07 08:24:31:618,135371,135371,0,0,64067247629,665107922,134651,650,70,367,391680,0 128,2,2024-09-07 08:24:31:385,96940,96940,0,0,4475128,0,2107 128,3,2024-09-07 08:24:30:773,1,77,1,1,112,897,77,0 129,0,2024-09-07 08:24:31:012,22063,0.4,21983,0.6,43883,0.4,58092,1.75 129,1,2024-09-07 08:24:30:571,135108,135108,0,0,63141662208,663839756,133281,1552,275,379,391835,0 129,2,2024-09-07 08:24:30:694,93497,93497,0,0,3835910,0,2446 129,3,2024-09-07 08:24:30:694,1,77,29,1,173,1159,77,0 130,0,2024-09-07 08:24:31:730,22255,1.4,22168,1.1,44379,2.0,59344,2.25 130,1,2024-09-07 08:24:30:584,135187,135187,0,0,63647877497,666112405,133873,1281,33,381,391609,0 130,2,2024-09-07 08:24:31:137,98497,98497,0,0,4553572,0,4067 130,3,2024-09-07 08:24:31:292,1,77,3,1,207,644,77,0 131,0,2024-09-07 08:24:31:940,15922,0.9,15881,0.9,32048,1.3,42325,1.75 131,1,2024-09-07 08:24:31:819,135969,135969,0,0,63169336240,659743452,134948,835,186,384,391865,0 131,2,2024-09-07 08:24:30:570,99590,99590,0,0,3783378,0,2415 131,3,2024-09-07 08:24:31:690,1,77,1,0,392,1125,77,0 132,0,2024-09-07 08:24:31:422,17868,0.3,18188,0.6,36053,0.2,48109,1.75 132,1,2024-09-07 08:24:30:592,134639,134639,0,0,62783762383,665598546,132049,1884,706,381,391719,0 132,2,2024-09-07 08:24:30:698,97182,97182,0,0,6099905,0,4606 132,3,2024-09-07 08:24:31:691,1,77,9,1,356,1036,77,0 133,0,2024-09-07 08:24:31:562,21865,0.5,22208,0.7,45763,0.5,58950,1.75 133,1,2024-09-07 08:24:30:589,133925,133925,0,0,62616181370,663946771,131712,2118,95,383,391755,0 133,2,2024-09-07 08:24:31:092,96014,96014,0,0,5249520,0,2444 133,3,2024-09-07 08:24:31:297,1,77,2,1,187,646,77,0 134,0,2024-09-07 08:24:30:965,23172,1.0,23183,1.0,46481,1.1,61959,2.25 134,1,2024-09-07 08:24:30:587,135295,135295,0,0,62919360347,664851219,132655,1659,981,366,391718,0 134,2,2024-09-07 08:24:31:760,95772,95772,0,0,3910191,0,2026 134,3,2024-09-07 08:24:30:750,1,77,1,1,739,1976,77,0 135,0,2024-09-07 08:24:31:161,16814,1.7,16825,1.6,35518,1.8,46192,2.50 135,1,2024-09-07 08:24:31:586,134728,134728,0,0,63604158953,669823011,132781,1620,327,380,391703,0 135,2,2024-09-07 08:24:30:697,99661,99661,0,0,4893503,0,3981 135,3,2024-09-07 08:24:31:005,1,77,1,0,68,489,77,0 136,0,2024-09-07 08:24:31:639,16340,0.4,16386,0.6,32641,0.3,43779,2.00 136,1,2024-09-07 08:24:31:441,134920,134920,0,0,62703849297,660594030,133016,1757,147,384,391621,0 136,2,2024-09-07 08:24:31:133,98830,98830,0,0,4425183,0,2379 136,3,2024-09-07 08:24:31:107,1,77,1,1,108,876,77,0 137,0,2024-09-07 08:24:30:940,21456,0.7,20742,0.8,41188,0.7,56143,2.00 137,1,2024-09-07 08:24:30:589,134886,134886,0,0,63217698699,664605476,132908,1864,114,368,391608,0 137,2,2024-09-07 08:24:31:711,96526,96526,0,0,5455305,0,2632 137,3,2024-09-07 08:24:30:769,1,77,1,1,227,1110,77,0 138,0,2024-09-07 08:24:31:760,20899,2.7,21090,1.7,42788,3.8,57411,3.00 138,1,2024-09-07 08:24:31:685,134800,134800,0,0,63507154204,669321628,132469,2067,264,370,391954,0 138,2,2024-09-07 08:24:30:587,94511,94511,0,0,4634112,0,3263 138,3,2024-09-07 08:24:30:610,1,77,1,0,1160,1941,77,0 139,0,2024-09-07 08:24:31:418,18594,4.5,18609,2.4,37605,5.5,50629,3.75 139,1,2024-09-07 08:24:30:570,134394,134394,0,0,62374795258,666974029,131505,2160,729,381,391892,0 139,2,2024-09-07 08:24:30:699,98621,98621,0,0,5959401,0,3097 139,3,2024-09-07 08:24:31:662,1,77,1,0,244,1344,77,0 140,0,2024-09-07 08:24:31:603,14281,0.3,14285,0.5,28764,0.2,38207,1.50 140,1,2024-09-07 08:24:31:537,135885,135885,0,0,63444653094,657155442,134957,731,197,365,391606,0 140,2,2024-09-07 08:24:30:696,99536,99536,0,0,4626445,0,3388 140,3,2024-09-07 08:24:30:769,1,77,1,0,25,580,77,0 141,0,2024-09-07 08:24:31:714,19092,0.2,19734,0.4,38188,0.1,51878,1.50 141,1,2024-09-07 08:24:30:869,135496,135496,0,0,63780053233,665420986,134074,1091,331,382,391538,0 141,2,2024-09-07 08:24:31:692,97437,97437,0,0,4137167,0,2342 141,3,2024-09-07 08:24:31:043,1,77,2,0,147,587,77,0 142,0,2024-09-07 08:24:31:343,21786,0.4,21473,0.6,42736,0.4,57130,1.75 142,1,2024-09-07 08:24:30:612,135754,135754,0,0,63334985378,660525717,135196,543,15,384,391649,0 142,2,2024-09-07 08:24:31:299,92884,92884,0,0,4403051,0,2293 142,3,2024-09-07 08:24:31:752,1,77,2,0,484,1350,77,0 143,0,2024-09-07 08:24:31:405,20841,1.1,20883,0.9,42099,1.5,55338,2.00 143,1,2024-09-07 08:24:30:595,135408,135408,0,0,63010909273,655014394,134430,951,27,367,391619,0 143,2,2024-09-07 08:24:30:775,98828,98828,0,0,4942038,0,2669 143,3,2024-09-07 08:24:31:140,1,77,2,0,236,1126,77,0 144,0,2024-09-07 08:24:31:503,14273,1.2,14734,5.8,29767,0.9,39638,2.25 144,1,2024-09-07 08:24:30:576,134890,134890,0,0,62987689847,662911201,133317,1381,192,381,391638,0 144,2,2024-09-07 08:24:31:762,99918,99918,0,0,4143797,0,3473 144,3,2024-09-07 08:24:31:739,1,77,1,1,169,1238,77,0 145,0,2024-09-07 08:24:31:373,17430,0.4,17458,0.6,36995,0.3,48473,1.75 145,1,2024-09-07 08:24:30:554,134374,134374,0,0,63013444916,664689636,132446,1663,265,383,391615,0 145,2,2024-09-07 08:24:31:437,97790,97790,0,0,5057952,0,3903 145,3,2024-09-07 08:24:30:895,1,77,2,0,151,1005,77,0 146,0,2024-09-07 08:24:31:663,21944,0.7,21963,0.9,44142,0.8,58171,2.25 146,1,2024-09-07 08:24:31:590,135398,135398,0,0,63059066127,664867125,133102,1995,301,368,391600,0 146,2,2024-09-07 08:24:31:697,93616,93616,0,0,4673742,0,2379 146,3,2024-09-07 08:24:31:277,1,77,1,0,1520,3103,77,0 147,0,2024-09-07 08:24:31:736,22515,2.8,22288,1.6,44426,4.1,60664,3.25 147,1,2024-09-07 08:24:31:379,135456,135456,0,0,63602257377,663538658,133738,1363,355,368,391791,0 147,2,2024-09-07 08:24:31:013,97949,97949,0,0,4620426,0,2789 147,3,2024-09-07 08:24:30:915,1,77,3,1,141,812,77,0 0,0,2024-09-07 08:24:41:799,21749,0.6,21754,0.8,45933,0.7,59392,1.75 0,1,2024-09-07 08:24:40:803,137211,137211,0,0,64223367535,674966031,135986,1098,127,372,391673,0 0,2,2024-09-07 08:24:41:082,97106,97106,0,0,4595515,0,4480 0,3,2024-09-07 08:24:40:976,1,78,6,0,247,1153,78,0 1,0,2024-09-07 08:24:41:855,22707,2.7,22564,1.8,45549,4.3,61303,2.75 1,1,2024-09-07 08:24:40:569,136379,136379,0,0,63147666451,664576860,134684,1166,529,372,391857,0 1,2,2024-09-07 08:24:40:917,96020,96020,0,0,4219950,0,3267 1,3,2024-09-07 08:24:41:334,1,78,1,0,167,766,78,0 2,0,2024-09-07 08:24:41:576,18318,1.3,18515,1.3,36643,2.7,49169,2.25 2,1,2024-09-07 08:24:40:863,137218,137218,0,0,64917867149,676759616,136137,877,204,381,391558,0 2,2,2024-09-07 08:24:41:269,100021,100021,0,0,4441699,0,3304 2,3,2024-09-07 08:24:40:689,1,78,1,0,214,813,78,0 3,0,2024-09-07 08:24:41:757,15546,0.3,15525,0.5,31163,0.2,41585,1.75 3,1,2024-09-07 08:24:41:623,136312,136312,0,0,63864398075,669055250,134333,1593,386,382,391516,0 3,2,2024-09-07 08:24:41:142,99594,99571,23,0,4858402,0,5851 3,3,2024-09-07 08:24:41:760,1,78,1,0,103,588,78,0 4,0,2024-09-07 08:24:41:809,19657,0.3,20110,0.4,40848,0.2,53883,1.50 4,1,2024-09-07 08:24:40:610,136658,136658,0,0,63788983697,674437840,134761,1479,418,371,391846,0 4,2,2024-09-07 08:24:41:030,98086,98086,0,0,5450754,0,4528 4,3,2024-09-07 08:24:41:032,1,78,1,1,287,1351,78,0 5,0,2024-09-07 08:24:41:528,21408,0.8,21171,0.8,42804,1.0,56874,2.00 5,1,2024-09-07 08:24:40:761,136797,136797,0,0,64344755628,679079839,134586,1769,442,368,392005,0 5,2,2024-09-07 08:24:41:832,94804,94804,0,0,4345685,0,2259 5,3,2024-09-07 08:24:41:742,1,78,6,0,238,1558,78,0 6,0,2024-09-07 08:24:40:925,20471,1.1,20237,1.3,40427,1.5,54194,2.50 6,1,2024-09-07 08:24:40:750,137401,137401,0,0,64285964411,670977966,136278,1054,69,381,391603,0 6,2,2024-09-07 08:24:41:120,100807,100807,0,0,4650064,0,2411 6,3,2024-09-07 08:24:41:281,1,78,0,0,340,1456,78,0 7,0,2024-09-07 08:24:41:551,13653,0.3,13658,0.5,27470,0.2,36876,1.75 7,1,2024-09-07 08:24:40:852,137058,137058,0,0,64058864435,669760893,136078,916,64,383,391664,0 7,2,2024-09-07 08:24:40:770,100099,100099,0,0,4378108,0,2981 7,3,2024-09-07 08:24:40:861,1,78,1,0,83,664,78,0 8,0,2024-09-07 08:24:41:390,19216,0.3,19277,0.5,38038,0.2,51590,1.50 8,1,2024-09-07 08:24:41:033,136737,136737,0,0,63909274277,681925010,133252,2232,1253,368,391724,0 8,2,2024-09-07 08:24:40:805,97648,97648,0,0,5543737,0,2986 8,3,2024-09-07 08:24:40:606,1,78,8,0,229,1108,78,0 9,0,2024-09-07 08:24:41:138,22003,0.4,21389,0.6,44784,0.4,58249,1.75 9,1,2024-09-07 08:24:40:579,137130,137130,0,0,65133168915,688953088,134686,1876,568,370,391738,0 9,2,2024-09-07 08:24:41:083,94717,94717,0,0,4973365,0,3360 9,3,2024-09-07 08:24:41:753,1,78,6,0,149,1058,78,0 10,0,2024-09-07 08:24:41:609,22188,0.7,22227,0.9,44375,0.7,59148,2.00 10,1,2024-09-07 08:24:40:587,136354,136354,0,0,64095524773,679725994,133017,2815,522,381,391741,0 10,2,2024-09-07 08:24:40:768,99287,99287,0,0,5161288,0,2940 10,3,2024-09-07 08:24:40:879,1,78,1,0,136,610,78,0 11,0,2024-09-07 08:24:41:012,15580,1.0,15186,1.3,31845,3.2,42483,2.25 11,1,2024-09-07 08:24:40:581,136560,136560,0,0,64091255347,677064136,133919,1826,815,384,391537,0 11,2,2024-09-07 08:24:41:124,100672,100672,0,0,4880459,0,2635 11,3,2024-09-07 08:24:41:306,1,78,0,0,720,2373,78,0 12,0,2024-09-07 08:24:41:038,18531,0.3,18490,0.5,36936,0.2,48927,1.50 12,1,2024-09-07 08:24:40:974,136282,136282,0,0,63283977966,665262386,134622,1560,100,371,391790,0 12,2,2024-09-07 08:24:41:542,99885,99885,0,0,4581526,0,2254 12,3,2024-09-07 08:24:41:058,1,78,1,0,358,1468,78,0 13,0,2024-09-07 08:24:41:386,22449,0.4,22624,0.6,45049,0.4,59636,1.75 13,1,2024-09-07 08:24:41:527,136814,136814,0,0,63760377529,672733611,135218,1229,367,384,391717,0 13,2,2024-09-07 08:24:40:609,96442,96442,0,0,4130447,0,3287 13,3,2024-09-07 08:24:41:769,1,78,22,1,416,1686,78,0 14,0,2024-09-07 08:24:40:572,23466,0.8,23578,0.9,46732,0.9,62374,2.00 14,1,2024-09-07 08:24:41:563,137771,137771,0,0,64038910611,664858908,136888,852,31,365,391546,0 14,2,2024-09-07 08:24:40:768,97111,97111,0,0,4726378,0,2793 14,3,2024-09-07 08:24:41:115,1,78,4,0,906,1293,78,0 15,0,2024-09-07 08:24:41:577,17584,1.3,17384,1.3,34888,1.8,47107,2.75 15,1,2024-09-07 08:24:41:610,136481,136481,0,0,63885728795,666102920,135658,788,35,381,391536,0 15,2,2024-09-07 08:24:41:000,101744,101744,0,0,3807251,0,3043 15,3,2024-09-07 08:24:41:406,1,78,1,0,538,2365,78,0 16,0,2024-09-07 08:24:40:965,16504,0.3,16687,0.5,33000,0.2,44272,2.00 16,1,2024-09-07 08:24:40:597,136571,136571,0,0,63588889129,666995862,135434,1109,28,372,391756,0 16,2,2024-09-07 08:24:41:437,98549,98549,0,0,5000454,0,4719 16,3,2024-09-07 08:24:41:142,1,78,13,1,231,1369,78,0 17,0,2024-09-07 08:24:41:846,21984,0.5,21474,0.7,42208,0.5,57618,1.75 17,1,2024-09-07 08:24:40:680,136825,136825,0,0,64237549205,678445576,134945,1357,523,369,391688,0 17,2,2024-09-07 08:24:41:677,99271,99271,0,0,3878705,0,2857 17,3,2024-09-07 08:24:41:032,1,78,1,0,268,1570,78,0 18,0,2024-09-07 08:24:40:974,21257,1.4,21556,1.2,43226,1.8,57670,2.50 18,1,2024-09-07 08:24:41:642,136952,136952,0,0,63961179913,666466710,135700,1034,218,368,391555,0 18,2,2024-09-07 08:24:41:760,95195,95195,0,0,4678909,0,3541 18,3,2024-09-07 08:24:40:896,1,78,1,0,163,963,78,0 19,0,2024-09-07 08:24:41:559,18925,1.5,19055,1.4,37851,1.7,50198,4.50 19,1,2024-09-07 08:24:40:577,136999,136999,0,0,64370378966,673717276,135131,1704,164,367,391777,0 19,2,2024-09-07 08:24:41:759,101119,101119,0,0,4341645,0,3988 19,3,2024-09-07 08:24:41:133,1,78,7,0,524,1195,78,0 20,0,2024-09-07 08:24:41:402,14676,0.3,14646,0.5,29133,0.2,38583,1.75 20,1,2024-09-07 08:24:40:586,136937,136937,0,0,64340445339,676325395,135238,1537,162,370,391598,0 20,2,2024-09-07 08:24:40:942,99955,99955,0,0,4339065,0,2446 20,3,2024-09-07 08:24:40:612,1,78,3,0,99,933,78,0 21,0,2024-09-07 08:24:41:188,19923,0.4,19862,0.5,39414,0.3,52627,1.75 21,1,2024-09-07 08:24:41:549,136528,136528,0,0,63869112803,675566096,134188,1845,495,368,391962,0 21,2,2024-09-07 08:24:41:081,98823,98823,0,0,4562103,0,3747 21,3,2024-09-07 08:24:41:417,1,78,19,0,93,1084,78,0 22,0,2024-09-07 08:24:41:730,21302,2.0,21217,1.4,42431,3.5,56667,3.00 22,1,2024-09-07 08:24:41:031,136592,136592,0,0,64020234947,678325000,133451,2415,726,382,391667,0 22,2,2024-09-07 08:24:40:765,94856,94856,0,0,3957697,0,3134 22,3,2024-09-07 08:24:41:066,1,78,1,0,62,428,78,0 23,0,2024-09-07 08:24:41:383,20801,1.2,20922,1.0,41853,1.6,55573,2.50 23,1,2024-09-07 08:24:41:010,137029,137029,0,0,64193888072,667856427,135680,1095,254,367,391496,0 23,2,2024-09-07 08:24:41:100,99938,99938,0,0,4241758,0,2078 23,3,2024-09-07 08:24:41:759,1,78,0,0,645,1343,78,0 24,0,2024-09-07 08:24:40:838,15281,0.6,15261,0.7,30479,0.6,40229,1.75 24,1,2024-09-07 08:24:40:602,136981,136981,0,0,64025875224,668212340,136165,809,7,369,391640,0 24,2,2024-09-07 08:24:41:069,100291,100291,0,0,5606600,0,2942 24,3,2024-09-07 08:24:41:691,1,78,15,1,234,1136,78,0 25,0,2024-09-07 08:24:41:426,19094,0.3,18610,0.5,36633,0.2,50141,1.50 25,1,2024-09-07 08:24:40:584,136539,136539,0,0,63787734944,673509700,134385,1838,316,371,391788,0 25,2,2024-09-07 08:24:41:622,98667,98667,0,0,5073738,0,3284 25,3,2024-09-07 08:24:41:002,1,78,1,1,158,754,78,0 26,0,2024-09-07 08:24:41:754,22046,0.5,21470,0.6,44920,0.4,58847,1.75 26,1,2024-09-07 08:24:41:541,137088,137088,0,0,63569103537,674693414,133625,2628,835,381,391564,0 26,2,2024-09-07 08:24:40:861,95283,95283,0,0,4969531,0,2809 26,3,2024-09-07 08:24:41:714,1,78,1,0,796,1283,78,0 27,0,2024-09-07 08:24:41:740,22867,0.9,23083,0.9,45675,1.4,61008,2.00 27,1,2024-09-07 08:24:41:676,137553,137553,0,0,64605345441,672574752,136413,845,295,381,391539,0 27,2,2024-09-07 08:24:40:871,97694,97694,0,0,6011574,0,3409 27,3,2024-09-07 08:24:41:020,1,78,2,0,564,1232,78,0 28,0,2024-09-07 08:24:41:403,16863,0.6,17191,0.8,34150,0.8,45511,2.00 28,1,2024-09-07 08:24:40:798,137301,137301,0,0,64852596293,676950715,136237,864,200,383,391646,0 28,2,2024-09-07 08:24:41:776,100717,100717,0,0,4050489,0,2609 28,3,2024-09-07 08:24:41:775,1,78,1,0,502,1233,78,0 29,0,2024-09-07 08:24:41:387,17772,0.3,17300,0.5,34177,0.2,46943,1.75 29,1,2024-09-07 08:24:41:561,138163,138163,0,0,64322321376,665634397,137400,591,172,369,391621,0 29,2,2024-09-07 08:24:40:871,99573,99573,0,0,3539199,0,2026 29,3,2024-09-07 08:24:40:967,1,78,4,0,105,679,78,0 30,0,2024-09-07 08:24:41:481,22228,1.1,21718,0.9,45454,1.4,59384,2.50 30,1,2024-09-07 08:24:40:580,137319,137319,0,0,64506573344,672524324,136342,912,65,382,391534,0 30,2,2024-09-07 08:24:41:299,97912,97912,0,0,3513990,0,2534 30,3,2024-09-07 08:24:40:596,1,78,1,0,195,645,78,0 31,0,2024-09-07 08:24:41:773,22618,1.3,22691,1.1,45688,1.7,61062,2.50 31,1,2024-09-07 08:24:40:570,137808,137808,0,0,64647547082,663053077,137557,249,2,356,391553,0 31,2,2024-09-07 08:24:41:283,96480,96480,0,0,4998615,0,3525 31,3,2024-09-07 08:24:41:730,1,78,0,0,129,565,78,0 32,0,2024-09-07 08:24:41:427,18557,1.3,18696,1.1,37506,2.6,49769,1.75 32,1,2024-09-07 08:24:40:812,137225,137225,0,0,64650380403,673009466,136221,975,29,382,391595,0 32,2,2024-09-07 08:24:40:958,100840,100840,0,0,4101581,0,3155 32,3,2024-09-07 08:24:41:015,1,78,1,0,110,651,78,0 33,0,2024-09-07 08:24:41:526,15632,0.2,15362,0.4,31258,0.1,41587,1.50 33,1,2024-09-07 08:24:40:610,137590,137590,0,0,64571361654,672198673,135929,1538,123,369,391526,0 33,2,2024-09-07 08:24:40:770,99652,99619,33,0,5627253,0,7012 33,3,2024-09-07 08:24:40:903,1,78,11,0,129,526,78,0 34,0,2024-09-07 08:24:40:948,20188,0.2,20833,0.4,40160,0.1,53853,1.50 34,1,2024-09-07 08:24:41:046,137666,137666,0,0,64605174055,666514280,137070,592,4,367,391517,0 34,2,2024-09-07 08:24:40:778,98027,98027,0,0,4630292,0,3255 34,3,2024-09-07 08:24:41:688,1,78,1,0,148,699,78,0 35,0,2024-09-07 08:24:40:878,21065,0.9,21303,0.8,42473,1.0,56810,2.00 35,1,2024-09-07 08:24:41:068,137283,137283,0,0,64380632068,670222457,135815,1106,362,384,391587,0 35,2,2024-09-07 08:24:41:583,94910,94910,0,0,4057927,0,2542 35,3,2024-09-07 08:24:40:907,1,78,1,0,219,1078,78,0 36,0,2024-09-07 08:24:41:543,20399,1.8,20311,1.3,41079,2.3,54152,2.50 36,1,2024-09-07 08:24:40:610,136813,136813,0,0,63603116867,670563341,133801,2081,931,366,391535,0 36,2,2024-09-07 08:24:41:759,100299,100299,0,0,5224952,0,3303 36,3,2024-09-07 08:24:40:870,1,78,0,0,378,1134,78,0 37,0,2024-09-07 08:24:41:379,13833,0.3,13723,0.5,27599,0.2,36970,1.75 37,1,2024-09-07 08:24:40:573,136599,136592,0,7,63843188496,676607462,133750,1323,1519,365,391518,0 37,2,2024-09-07 08:24:41:142,100236,100236,0,0,3839119,0,2333 37,3,2024-09-07 08:24:41:775,1,78,4,0,724,1830,78,0 38,0,2024-09-07 08:24:41:445,19106,0.3,18583,0.5,38868,0.2,51480,1.75 38,1,2024-09-07 08:24:41:610,137431,137431,0,0,64635796749,677884392,135276,1708,447,370,391821,0 38,2,2024-09-07 08:24:40:761,99081,99081,0,0,4606442,0,3245 38,3,2024-09-07 08:24:40:998,1,78,7,0,603,1422,78,0 39,0,2024-09-07 08:24:41:779,22601,1.0,22166,0.9,43040,1.2,58390,2.00 39,1,2024-09-07 08:24:40:972,137082,137082,0,0,63793718540,670373587,134450,1994,638,366,391524,0 39,2,2024-09-07 08:24:41:417,94736,94736,0,0,4201044,0,2381 39,3,2024-09-07 08:24:40:718,1,78,6,0,276,1224,78,0 40,0,2024-09-07 08:24:41:506,21761,1.7,22171,2.0,43866,3.5,58662,3.50 40,1,2024-09-07 08:24:40:586,136608,136608,0,0,63281505109,669151878,133354,2501,753,369,391591,0 40,2,2024-09-07 08:24:41:307,99343,99342,1,0,5483534,0,5137 40,3,2024-09-07 08:24:41:145,1,78,1,0,110,814,78,0 41,0,2024-09-07 08:24:41:035,15396,0.6,15737,4.0,30166,0.6,41321,2.50 41,1,2024-09-07 08:24:40:768,136693,136693,0,0,63999806920,673747872,134270,2110,313,370,391742,0 41,2,2024-09-07 08:24:40:768,100279,100279,0,0,5690811,0,3356 41,3,2024-09-07 08:24:41:681,1,78,9,0,168,856,78,0 42,0,2024-09-07 08:24:41:503,18143,0.4,18450,0.7,36771,0.4,48947,2.00 42,1,2024-09-07 08:24:41:450,136319,136319,0,0,63787905662,675579032,133326,2163,830,381,391511,0 42,2,2024-09-07 08:24:41:133,98675,98675,0,0,4982637,0,3568 42,3,2024-09-07 08:24:41:010,1,78,1,0,100,680,78,0 43,0,2024-09-07 08:24:40:934,22380,1.1,21823,1.0,45479,1.4,59753,2.00 43,1,2024-09-07 08:24:40:610,136667,136667,0,0,64330819477,675362907,134400,1515,752,366,391604,0 43,2,2024-09-07 08:24:41:740,96674,96674,0,0,4986043,0,3812 43,3,2024-09-07 08:24:41:753,1,78,9,0,325,1725,78,0 44,0,2024-09-07 08:24:40:868,23470,0.8,23405,1.0,46839,0.8,62893,2.00 44,1,2024-09-07 08:24:40:571,137143,137143,0,0,63993658264,662770399,136123,913,107,356,391523,0 44,2,2024-09-07 08:24:41:269,97639,97639,0,0,3686712,0,1865 44,3,2024-09-07 08:24:41:094,1,78,4,0,817,1340,78,0 45,0,2024-09-07 08:24:41:770,17288,2.0,16897,1.5,35436,4.5,47477,2.00 45,1,2024-09-07 08:24:41:011,137316,137316,0,0,64760212332,672371346,136847,468,1,382,391917,0 45,2,2024-09-07 08:24:41:297,101309,101309,0,0,4344255,0,2628 45,3,2024-09-07 08:24:40:944,1,78,23,0,226,838,78,0 46,0,2024-09-07 08:24:40:980,16720,0.3,16682,0.5,33476,0.2,44374,1.75 46,1,2024-09-07 08:24:40:583,137451,137451,0,0,64961702219,672526976,136602,812,37,368,391514,0 46,2,2024-09-07 08:24:40:595,99311,99311,0,0,4231055,0,2920 46,3,2024-09-07 08:24:41:133,1,78,10,0,315,1079,78,0 47,0,2024-09-07 08:24:41:110,21318,0.3,21573,0.5,43129,0.3,57418,1.75 47,1,2024-09-07 08:24:40:569,137832,137832,0,0,64440963290,665479296,137324,504,4,366,391605,0 47,2,2024-09-07 08:24:40:917,99111,99111,0,0,4226587,0,2558 47,3,2024-09-07 08:24:41:115,1,78,0,0,529,1141,78,0 48,0,2024-09-07 08:24:41:517,22067,0.4,21926,0.5,43449,0.3,58480,1.75 48,1,2024-09-07 08:24:41:026,137365,137365,0,0,64206269916,669000289,136164,1195,6,384,391637,0 48,2,2024-09-07 08:24:40:707,95680,95680,0,0,3364212,0,2083 48,3,2024-09-07 08:24:40:756,1,78,3,0,39,675,78,0 49,0,2024-09-07 08:24:41:761,19684,1.1,19280,1.1,37803,1.9,51570,2.00 49,1,2024-09-07 08:24:41:021,136562,136562,0,0,63982424331,670663262,134823,1029,710,382,391583,0 49,2,2024-09-07 08:24:41:799,100728,100728,0,0,4521412,0,3900 49,3,2024-09-07 08:24:41:425,1,78,3,0,274,843,78,0 50,0,2024-09-07 08:24:41:520,14687,0.2,14422,0.4,29251,0.1,38972,1.50 50,1,2024-09-07 08:24:41:010,137781,137781,0,0,64754009060,673709737,136112,1372,297,368,391530,0 50,2,2024-09-07 08:24:41:079,100405,100405,0,0,3796034,0,2253 50,3,2024-09-07 08:24:41:298,1,78,1,0,335,886,78,0 51,0,2024-09-07 08:24:41:695,20235,0.2,19787,0.3,38845,0.1,53050,1.50 51,1,2024-09-07 08:24:41:686,137177,137177,0,0,64977105372,677131333,135376,1017,784,367,391637,0 51,2,2024-09-07 08:24:41:324,98543,98543,0,0,3245626,0,2448 51,3,2024-09-07 08:24:41:028,1,78,1,0,162,539,78,0 52,0,2024-09-07 08:24:41:430,21553,0.8,21690,0.9,43354,1.0,57172,2.25 52,1,2024-09-07 08:24:40:594,136635,136635,0,0,63955627790,673470498,134122,2048,465,368,391529,0 52,2,2024-09-07 08:24:41:761,94382,94382,0,0,4436226,0,4779 52,3,2024-09-07 08:24:40:682,1,78,1,0,1782,2444,78,0 53,0,2024-09-07 08:24:41:759,20720,2.0,19968,1.4,41581,3.0,54803,2.75 53,1,2024-09-07 08:24:40:782,136594,136594,0,0,64303709186,677098513,133970,2049,575,370,391617,0 53,2,2024-09-07 08:24:41:309,101013,101013,0,0,4036652,0,1902 53,3,2024-09-07 08:24:40:697,1,78,2,0,59,481,78,0 54,0,2024-09-07 08:24:41:623,14283,8.1,14505,2.4,28708,1.0,39164,4.75 54,1,2024-09-07 08:24:40:610,136719,136719,0,0,64663990587,676220254,134609,1794,316,367,391520,0 54,2,2024-09-07 08:24:40:865,101150,101144,6,0,4874748,0,5382 54,3,2024-09-07 08:24:40:767,1,78,1,0,676,1706,78,0 55,0,2024-09-07 08:24:41:779,18219,0.3,18679,0.5,37938,0.2,49682,2.00 55,1,2024-09-07 08:24:40:770,136261,136261,0,0,63256653001,666730675,132778,2781,702,367,391731,0 55,2,2024-09-07 08:24:40:736,98649,98649,0,0,4791574,0,3275 55,3,2024-09-07 08:24:40:682,1,78,1,0,136,857,78,0 56,0,2024-09-07 08:24:41:566,22689,1.9,21397,1.4,44061,2.3,58795,2.50 56,1,2024-09-07 08:24:40:577,136175,136175,0,0,64792439975,690485281,132778,2649,748,382,391678,0 56,2,2024-09-07 08:24:41:307,95497,95497,0,0,5277481,0,3567 56,3,2024-09-07 08:24:41:059,1,78,1,0,297,1006,78,0 57,0,2024-09-07 08:24:41:037,22414,3.1,22117,1.8,44631,5.3,59767,4.25 57,1,2024-09-07 08:24:40:994,136197,136197,0,0,63652037372,667612152,134719,1458,20,368,391760,0 57,2,2024-09-07 08:24:41:318,99037,99037,0,0,5305297,0,3178 57,3,2024-09-07 08:24:41:740,1,78,0,0,359,1672,78,0 58,0,2024-09-07 08:24:40:574,16220,0.6,15872,0.9,33210,0.5,43694,2.75 58,1,2024-09-07 08:24:40:594,137696,137693,0,3,64809594982,676308458,136095,1438,160,369,391516,3 58,2,2024-09-07 08:24:41:071,100498,100498,0,0,4971053,0,2549 58,3,2024-09-07 08:24:41:069,1,78,1,0,219,883,78,0 59,0,2024-09-07 08:24:41:751,17459,0.5,17353,0.8,34936,0.4,46631,2.00 59,1,2024-09-07 08:24:40:806,136430,136430,0,0,63770726105,671841607,134180,1483,767,369,391515,0 59,2,2024-09-07 08:24:40:584,99270,99270,0,0,4462695,0,2604 59,3,2024-09-07 08:24:41:740,1,78,1,0,1015,2001,78,0 60,0,2024-09-07 08:24:41:770,22547,0.5,22763,0.6,44871,0.5,59617,1.75 60,1,2024-09-07 08:24:40:787,137832,137832,0,0,64366568428,670618798,136745,738,349,370,391758,0 60,2,2024-09-07 08:24:41:150,97173,97173,0,0,3951786,0,2142 60,3,2024-09-07 08:24:41:295,1,78,2,0,124,945,78,0 61,0,2024-09-07 08:24:41:507,22662,2.5,22764,1.5,45360,3.8,61078,2.25 61,1,2024-09-07 08:24:40:770,136599,136599,0,0,64011807981,676255812,134038,2025,536,383,391589,0 61,2,2024-09-07 08:24:41:116,96577,96577,0,0,4146107,0,1846 61,3,2024-09-07 08:24:41:688,1,78,1,0,199,1134,78,0 62,0,2024-09-07 08:24:41:755,18659,1.4,19157,1.2,36543,2.5,49678,2.00 62,1,2024-09-07 08:24:41:111,137563,137557,0,6,64695787180,670908689,136304,1216,37,365,391586,6 62,2,2024-09-07 08:24:41:644,100360,100360,0,0,4672154,0,2931 62,3,2024-09-07 08:24:41:143,1,78,1,0,287,674,78,0 63,0,2024-09-07 08:24:41:463,15507,0.3,15388,0.5,31107,0.2,41578,1.75 63,1,2024-09-07 08:24:40:806,137951,137946,0,5,64556502765,668452382,137539,404,3,381,391540,5 63,2,2024-09-07 08:24:40:764,99543,99543,0,0,4215271,0,2674 63,3,2024-09-07 08:24:41:732,1,78,1,0,667,1880,78,0 64,0,2024-09-07 08:24:41:523,20159,0.3,20038,0.5,40331,0.2,53835,1.75 64,1,2024-09-07 08:24:40:755,137289,137289,0,0,64182597582,676568509,134850,1645,794,371,391783,0 64,2,2024-09-07 08:24:41:144,98903,98884,19,0,4555575,0,6121 64,3,2024-09-07 08:24:41:154,1,78,2,1,265,1056,78,0 65,0,2024-09-07 08:24:41:719,20744,2.2,21020,1.5,41984,3.1,56260,3.50 65,1,2024-09-07 08:24:40:871,136602,136602,0,0,63734955045,669614834,134893,1490,219,382,391701,0 65,2,2024-09-07 08:24:41:693,95480,95480,0,0,4630907,0,3367 65,3,2024-09-07 08:24:41:690,1,78,1,0,163,870,78,0 66,0,2024-09-07 08:24:41:773,19881,0.8,20110,1.0,40295,0.8,53555,2.50 66,1,2024-09-07 08:24:41:304,137901,137901,0,0,64239430063,666819916,137210,670,21,380,391588,0 66,2,2024-09-07 08:24:41:132,101463,101463,0,0,4295206,0,3867 66,3,2024-09-07 08:24:41:079,1,78,3,0,291,958,78,0 67,0,2024-09-07 08:24:41:432,13925,0.4,13954,0.6,27602,0.3,36913,2.00 67,1,2024-09-07 08:24:40:773,136670,136669,0,1,64151496204,674643417,134649,1495,525,382,391787,1 67,2,2024-09-07 08:24:40:606,101142,101142,0,0,4032948,0,2889 67,3,2024-09-07 08:24:41:753,1,78,1,0,138,677,78,0 68,0,2024-09-07 08:24:40:606,19248,0.4,19240,0.7,38325,0.4,51402,2.00 68,1,2024-09-07 08:24:40:599,136376,136376,0,0,63624700315,668700024,134958,896,522,382,391953,0 68,2,2024-09-07 08:24:41:051,98842,98842,0,0,4132564,0,4883 68,3,2024-09-07 08:24:40:747,1,78,4,0,63,773,78,0 69,0,2024-09-07 08:24:41:751,22025,1.5,21970,1.2,43768,1.9,58423,2.75 69,1,2024-09-07 08:24:41:023,136475,136475,0,0,64065135532,679873346,134477,1131,867,384,391611,0 69,2,2024-09-07 08:24:41:741,95030,95030,0,0,4690547,0,3153 69,3,2024-09-07 08:24:40:760,1,78,14,0,238,1194,78,0 70,0,2024-09-07 08:24:41:539,21809,3.2,21817,2.3,44086,2.0,58324,4.50 70,1,2024-09-07 08:24:40:801,137489,137489,0,0,64860176909,672558740,136573,781,135,368,391527,0 70,2,2024-09-07 08:24:41:325,99504,99504,0,0,4626886,0,4044 70,3,2024-09-07 08:24:40:753,1,78,1,0,178,520,78,0 71,0,2024-09-07 08:24:41:375,15481,1.2,15469,2.7,30547,1.7,41463,4.00 71,1,2024-09-07 08:24:41:604,137168,137168,0,0,64437397510,675027084,134966,1886,316,368,391682,0 71,2,2024-09-07 08:24:41:070,101000,101000,0,0,4360756,0,2146 71,3,2024-09-07 08:24:41:750,1,78,1,0,219,1025,78,0 72,0,2024-09-07 08:24:41:091,18883,0.4,18303,0.6,36242,0.3,49104,2.25 72,1,2024-09-07 08:24:41:033,137381,137381,0,0,64022406547,668930620,135830,1473,78,370,391556,0 72,2,2024-09-07 08:24:41:761,98519,98519,0,0,5663796,0,2570 72,3,2024-09-07 08:24:41:764,1,78,7,0,325,1376,78,0 73,0,2024-09-07 08:24:41:153,22006,0.5,22572,0.6,45825,0.4,59425,2.25 73,1,2024-09-07 08:24:40:773,137037,137037,0,0,64387775480,671028949,136070,923,44,368,391627,0 73,2,2024-09-07 08:24:41:746,97267,97267,0,0,5114518,0,3482 73,3,2024-09-07 08:24:40:982,1,78,8,0,274,1399,78,0 74,0,2024-09-07 08:24:41:332,23441,1.4,23902,1.2,45899,1.9,62427,3.00 74,1,2024-09-07 08:24:40:864,136913,136913,0,0,63991871229,671110695,135013,1328,572,382,391511,0 74,2,2024-09-07 08:24:41:001,97319,97319,0,0,5430347,0,4253 74,3,2024-09-07 08:24:41:445,1,78,1,0,246,1477,78,0 75,0,2024-09-07 08:24:41:795,17559,2.1,17558,1.6,35066,4.1,47573,3.25 75,1,2024-09-07 08:24:41:587,137084,137084,0,0,63747297525,669365843,135384,1596,104,381,391579,0 75,2,2024-09-07 08:24:41:354,100692,100692,0,0,5308694,0,4766 75,3,2024-09-07 08:24:41:067,1,78,4,0,143,609,78,0 76,0,2024-09-07 08:24:40:611,16690,0.3,16493,0.6,33040,0.2,44064,2.00 76,1,2024-09-07 08:24:40:806,136914,136914,0,0,63628977592,669500719,135103,1305,506,382,391530,0 76,2,2024-09-07 08:24:41:062,99877,99877,0,0,4228802,0,3064 76,3,2024-09-07 08:24:41:143,1,78,5,0,175,1043,78,0 77,0,2024-09-07 08:24:41:772,21341,0.5,21513,0.7,43004,0.5,57391,1.75 77,1,2024-09-07 08:24:40:824,136853,136853,0,0,64003469746,668718843,135814,970,69,383,391516,0 77,2,2024-09-07 08:24:41:281,98700,98700,0,0,3660277,0,1695 77,3,2024-09-07 08:24:41:096,1,78,1,0,139,777,78,0 78,0,2024-09-07 08:24:41:752,21770,1.0,21720,1.1,43570,1.4,58078,2.25 78,1,2024-09-07 08:24:40:629,137527,137527,0,0,63465546121,662582398,136107,1215,205,367,391589,0 78,2,2024-09-07 08:24:41:410,95802,95802,0,0,3764806,0,2114 78,3,2024-09-07 08:24:41:133,1,78,1,0,181,1257,78,0 79,0,2024-09-07 08:24:41:388,18483,0.8,18984,1.1,38720,1.0,50572,3.00 79,1,2024-09-07 08:24:40:595,137480,137480,0,0,64140887528,667820476,136141,1270,69,370,391682,0 79,2,2024-09-07 08:24:41:070,100756,100756,0,0,4305987,0,2679 79,3,2024-09-07 08:24:40:755,1,78,1,0,289,886,78,0 80,0,2024-09-07 08:24:41:115,14513,0.3,14957,0.6,28927,0.2,38805,1.75 80,1,2024-09-07 08:24:41:630,136785,136785,0,0,64375536540,671720832,135193,1477,115,369,391673,0 80,2,2024-09-07 08:24:41:101,100177,100177,0,0,4395642,0,4433 80,3,2024-09-07 08:24:40:584,1,78,9,1,190,1253,78,0 81,0,2024-09-07 08:24:41:553,19826,0.4,20381,0.6,38950,0.3,53030,1.75 81,1,2024-09-07 08:24:41:677,136953,136953,0,0,63801031659,670738585,135062,1693,198,383,391680,0 81,2,2024-09-07 08:24:41:133,98166,98166,0,0,4645022,0,3993 81,3,2024-09-07 08:24:41:117,1,78,1,0,193,871,78,0 82,0,2024-09-07 08:24:41:541,21480,1.0,21445,1.1,43078,1.2,57238,2.25 82,1,2024-09-07 08:24:40:606,136942,136939,0,3,63977001608,670580262,134900,1467,572,382,391558,3 82,2,2024-09-07 08:24:41:691,95863,95863,0,0,3604632,0,2230 82,3,2024-09-07 08:24:41:758,1,78,1,0,227,1326,78,0 83,0,2024-09-07 08:24:41:529,20803,1.6,20735,1.3,41145,1.9,54643,3.00 83,1,2024-09-07 08:24:40:552,136736,136736,0,0,63643712106,666605263,135316,1319,101,383,391553,0 83,2,2024-09-07 08:24:40:766,99694,99694,0,0,4615763,0,3119 83,3,2024-09-07 08:24:40:751,1,78,1,0,91,604,78,0 84,0,2024-09-07 08:24:41:828,14766,4.0,14520,3.5,29166,0.8,39750,3.00 84,1,2024-09-07 08:24:41:046,136738,136738,0,0,64088977930,673158652,135120,1369,249,369,391638,0 84,2,2024-09-07 08:24:40:575,100666,100666,0,0,4700477,0,3801 84,3,2024-09-07 08:24:41:146,1,78,26,1,58,824,78,0 85,0,2024-09-07 08:24:41:052,17975,0.3,18035,0.5,38081,0.3,49806,1.75 85,1,2024-09-07 08:24:40:568,136439,136439,0,0,64190223213,680249587,133981,2029,429,384,391652,0 85,2,2024-09-07 08:24:40:871,98451,98451,0,0,4984713,0,3656 85,3,2024-09-07 08:24:40:690,1,78,1,0,115,771,78,0 86,0,2024-09-07 08:24:40:899,22067,0.8,22827,0.8,44004,1.0,58783,2.00 86,1,2024-09-07 08:24:40:830,136503,136503,0,0,63924712037,670242681,134705,1582,216,367,391622,0 86,2,2024-09-07 08:24:40:868,95159,95158,1,0,5179964,0,5004 86,3,2024-09-07 08:24:40:595,1,78,17,0,199,915,78,0 87,0,2024-09-07 08:24:41:330,22794,2.7,22604,1.6,45398,3.9,60905,3.00 87,1,2024-09-07 08:24:40:572,136811,136811,0,0,64256447755,674162604,135228,1462,121,366,391788,0 87,2,2024-09-07 08:24:41:077,98970,98970,0,0,3955766,0,2859 87,3,2024-09-07 08:24:41:801,1,78,1,1,322,1182,78,0 88,0,2024-09-07 08:24:41:576,16889,0.7,17165,0.8,34087,0.7,45539,2.00 88,1,2024-09-07 08:24:40:570,136396,136396,0,0,64507284003,675666043,135181,1118,97,367,391787,0 88,2,2024-09-07 08:24:40:690,101090,101090,0,0,5546147,0,3583 88,3,2024-09-07 08:24:41:268,1,78,55,1,77,990,78,0 89,0,2024-09-07 08:24:41:800,17967,0.3,17349,0.5,34482,0.3,47027,1.75 89,1,2024-09-07 08:24:40:599,135928,135928,0,0,64058165218,684012005,132604,2588,736,383,391866,0 89,2,2024-09-07 08:24:41:150,98905,98905,0,0,5022990,0,2726 89,3,2024-09-07 08:24:41:798,1,78,4,0,325,1922,78,0 90,0,2024-09-07 08:24:41:657,21824,0.5,22227,0.6,45737,0.5,59501,1.75 90,1,2024-09-07 08:24:40:600,136607,136607,0,0,63882257610,675122903,134554,1910,143,382,391825,0 90,2,2024-09-07 08:24:41:409,96489,96489,0,0,5626738,0,2635 90,3,2024-09-07 08:24:40:930,1,78,8,1,200,991,78,0 91,0,2024-09-07 08:24:40:979,23213,0.6,22468,0.7,46474,0.7,61487,2.00 91,1,2024-09-07 08:24:40:571,136636,136636,0,0,64295722908,680258084,134233,2039,364,383,391914,0 91,2,2024-09-07 08:24:41:335,95759,95759,0,0,4723928,0,1997 91,3,2024-09-07 08:24:40:653,1,78,2,1,155,1135,78,0 92,0,2024-09-07 08:24:41:472,18810,1.0,19227,1.0,36814,1.3,49868,1.75 92,1,2024-09-07 08:24:40:612,137088,137088,0,0,64235702518,670447152,136430,591,67,382,391717,0 92,2,2024-09-07 08:24:41:354,101825,101825,0,0,4600623,0,2279 92,3,2024-09-07 08:24:41:014,1,78,2,1,68,900,78,0 93,0,2024-09-07 08:24:40:988,15606,0.3,15873,0.5,30357,0.2,41604,1.75 93,1,2024-09-07 08:24:40:816,137001,137001,0,0,64638217436,678181574,135238,1327,436,367,391689,0 93,2,2024-09-07 08:24:40:944,99784,99784,0,0,4162176,0,2509 93,3,2024-09-07 08:24:41:410,1,78,10,0,143,749,78,0 94,0,2024-09-07 08:24:41:631,20247,0.3,20562,0.4,40408,0.2,53910,1.50 94,1,2024-09-07 08:24:40:570,136424,136424,0,0,63746078547,670950989,134834,1524,66,381,391850,0 94,2,2024-09-07 08:24:40:801,98255,98255,0,0,4155487,0,2443 94,3,2024-09-07 08:24:41:689,1,78,5,1,231,1323,78,0 95,0,2024-09-07 08:24:41:393,21313,0.5,21131,0.6,42828,0.4,56996,1.75 95,1,2024-09-07 08:24:40:863,137281,137281,0,0,64078661651,667428071,136479,738,64,367,391590,0 95,2,2024-09-07 08:24:41:017,95211,95211,0,0,4378540,0,3308 95,3,2024-09-07 08:24:41:730,1,78,6,0,307,1329,78,0 96,0,2024-09-07 08:24:41:038,20594,0.9,20450,0.9,40878,1.0,54048,1.75 96,1,2024-09-07 08:24:41:584,136986,136986,0,0,63938102148,673333571,135142,1273,571,385,391596,0 96,2,2024-09-07 08:24:41:277,101182,101182,0,0,4835291,0,4038 96,3,2024-09-07 08:24:41:141,1,78,2,0,36,703,78,0 97,0,2024-09-07 08:24:41:341,13823,0.3,13813,0.5,27742,0.3,36927,1.75 97,1,2024-09-07 08:24:40:769,136937,136937,0,0,64759475931,678640915,134744,1674,519,367,392140,0 97,2,2024-09-07 08:24:40:614,100192,100192,0,0,4080402,0,3036 97,3,2024-09-07 08:24:40:575,1,78,7,1,165,1019,78,0 98,0,2024-09-07 08:24:41:760,19122,0.2,19008,0.4,38673,0.2,51610,1.50 98,1,2024-09-07 08:24:40:570,137009,137009,0,0,64119767776,670711221,135934,1030,45,382,391997,0 98,2,2024-09-07 08:24:40:771,99608,99608,0,0,4292881,0,3080 98,3,2024-09-07 08:24:40:707,1,78,1,1,155,1012,78,0 99,0,2024-09-07 08:24:41:472,22089,0.4,22049,0.5,44023,0.4,58464,1.75 99,1,2024-09-07 08:24:41:723,137215,137215,0,0,63463171651,664611967,135727,1046,442,381,391744,0 99,2,2024-09-07 08:24:41:419,95894,95894,0,0,4243069,0,1858 99,3,2024-09-07 08:24:40:608,1,78,6,0,129,735,78,0 100,0,2024-09-07 08:24:41:522,22179,1.8,21997,2.3,43878,4.8,59151,2.50 100,1,2024-09-07 08:24:40:548,136109,136109,0,0,63611762682,677400938,133361,2403,345,381,391989,0 100,2,2024-09-07 08:24:41:818,99048,99037,11,0,5487826,0,5417 100,3,2024-09-07 08:24:41:732,1,78,8,1,443,1929,78,0 101,0,2024-09-07 08:24:41:716,15997,3.5,15612,1.7,30767,0.8,42528,2.75 101,1,2024-09-07 08:24:40:559,136601,136601,0,0,64179151119,674784738,135123,1029,449,369,391709,0 101,2,2024-09-07 08:24:41:760,100839,100839,0,0,4840898,0,4644 101,3,2024-09-07 08:24:40:965,1,78,15,0,448,1107,78,0 102,0,2024-09-07 08:24:40:984,17892,0.4,18572,0.5,37248,0.3,48914,1.75 102,1,2024-09-07 08:24:41:151,136610,136610,0,0,64293175089,680159253,134138,2004,468,369,391831,0 102,2,2024-09-07 08:24:41:743,99518,99518,0,0,4015604,0,1945 102,3,2024-09-07 08:24:41:616,1,78,1,0,410,892,78,0 103,0,2024-09-07 08:24:41:602,23085,0.5,23028,0.7,43655,0.5,59853,1.75 103,1,2024-09-07 08:24:41:627,136530,136530,0,0,64186681119,673616426,135301,1085,144,381,391680,0 103,2,2024-09-07 08:24:40:602,96349,96349,0,0,4284169,0,2104 103,3,2024-09-07 08:24:40:774,1,78,2,1,486,1122,78,0 104,0,2024-09-07 08:24:41:061,23336,1.8,23325,1.4,45598,2.2,62567,2.50 104,1,2024-09-07 08:24:41:601,137422,137422,0,0,63619429535,671894402,135466,1630,326,366,391948,0 104,2,2024-09-07 08:24:41:679,96450,96450,0,0,5130205,0,3941 104,3,2024-09-07 08:24:41:417,1,78,10,1,1245,3910,78,0 105,0,2024-09-07 08:24:41:071,17365,1.1,16777,1.6,34966,1.6,47158,3.25 105,1,2024-09-07 08:24:40:585,136530,136530,0,0,63565160431,673455532,133578,2206,746,367,391797,0 105,2,2024-09-07 08:24:41:329,100672,100672,0,0,4918134,0,3314 105,3,2024-09-07 08:24:41:305,1,78,1,1,399,1653,78,0 106,0,2024-09-07 08:24:40:968,16127,0.3,16542,0.6,33619,0.2,44160,1.75 106,1,2024-09-07 08:24:41:767,136871,136871,0,0,63315676140,668467743,134462,2082,327,370,391767,0 106,2,2024-09-07 08:24:40:757,98450,98450,0,0,4920604,0,2795 106,3,2024-09-07 08:24:40:687,1,78,2,1,201,915,78,0 107,0,2024-09-07 08:24:41:119,21479,0.5,21365,0.7,42568,0.5,57584,1.75 107,1,2024-09-07 08:24:40:628,136214,136214,0,0,63719487238,674553271,134271,1753,190,382,392234,0 107,2,2024-09-07 08:24:41:303,97808,97807,1,0,4689704,0,5024 107,3,2024-09-07 08:24:41:760,1,78,4,0,353,1312,78,0 108,0,2024-09-07 08:24:41:883,21870,0.6,22098,0.7,43730,0.6,58370,1.75 108,1,2024-09-07 08:24:41:305,136762,136762,0,0,64401833949,675312273,135321,1307,134,371,391857,0 108,2,2024-09-07 08:24:41:761,95155,95155,0,0,4469078,0,2647 108,3,2024-09-07 08:24:41:340,1,78,47,0,73,1044,78,0 109,0,2024-09-07 08:24:41:791,19358,0.8,19493,0.9,38453,1.0,51735,2.00 109,1,2024-09-07 08:24:40:628,136307,136307,0,0,64874408392,684730768,134637,1287,383,383,391812,0 109,2,2024-09-07 08:24:40:931,99488,99488,0,0,4910372,0,3617 109,3,2024-09-07 08:24:41:141,1,78,42,1,249,1366,78,0 110,0,2024-09-07 08:24:41:772,14683,0.2,14288,0.4,29799,0.2,38763,1.50 110,1,2024-09-07 08:24:41:644,137202,137202,0,0,63898621022,666342974,135882,1034,286,370,391588,0 110,2,2024-09-07 08:24:41:308,100461,100461,0,0,4159605,0,2915 110,3,2024-09-07 08:24:40:689,1,78,1,0,183,740,78,0 111,0,2024-09-07 08:24:41:425,19779,0.2,19575,0.4,39239,0.1,52869,1.50 111,1,2024-09-07 08:24:41:001,137555,137555,0,0,65146124546,675006606,136909,633,13,382,391690,0 111,2,2024-09-07 08:24:41:116,98995,98995,0,0,4384415,0,2763 111,3,2024-09-07 08:24:40:918,1,78,2,0,300,1409,78,0 112,0,2024-09-07 08:24:40:939,21736,0.4,21740,0.6,43170,0.4,57515,1.75 112,1,2024-09-07 08:24:40:831,136999,136999,0,0,64771264295,676796646,135486,1167,346,381,391580,0 112,2,2024-09-07 08:24:41:136,95153,95152,1,0,4547810,0,5036 112,3,2024-09-07 08:24:40:609,1,78,6,0,282,861,78,0 113,0,2024-09-07 08:24:40:896,20885,0.6,20714,0.7,42177,0.5,55764,1.75 113,1,2024-09-07 08:24:41:688,137276,137276,0,0,64462747186,671629759,135385,1507,384,368,391661,0 113,2,2024-09-07 08:24:41:310,100579,100579,0,0,3771206,0,3021 113,3,2024-09-07 08:24:40:690,1,78,7,0,288,1156,78,0 114,0,2024-09-07 08:24:40:891,15090,3.4,15276,1.7,30030,0.8,40309,2.00 114,1,2024-09-07 08:24:40:719,137016,137016,0,0,64365866397,677995793,134409,1726,881,381,391531,0 114,2,2024-09-07 08:24:40:880,100723,100723,0,0,4364842,0,3925 114,3,2024-09-07 08:24:41:286,1,78,141,0,159,910,78,0 115,0,2024-09-07 08:24:40:585,18482,0.2,18607,0.3,37256,0.1,49875,1.50 115,1,2024-09-07 08:24:40:582,137023,137023,0,0,64990082407,681471414,134649,1887,487,383,391528,0 115,2,2024-09-07 08:24:41:128,99469,99469,0,0,3748907,0,2152 115,3,2024-09-07 08:24:41:001,1,78,4,0,159,486,78,0 116,0,2024-09-07 08:24:41:723,22294,0.9,21853,0.9,44290,1.1,58897,2.00 116,1,2024-09-07 08:24:40:804,136522,136522,0,0,64855320138,687460634,134637,1014,871,382,391605,0 116,2,2024-09-07 08:24:41:770,95336,95336,0,0,4880552,0,3529 116,3,2024-09-07 08:24:40:912,1,78,1,1,252,1306,78,0 117,0,2024-09-07 08:24:41:012,22787,2.2,22817,1.4,45451,3.4,61015,2.25 117,1,2024-09-07 08:24:41:622,137339,137339,0,0,63696760183,672791948,135020,2026,293,370,392033,0 117,2,2024-09-07 08:24:41:125,99243,99243,0,0,4323943,0,3700 117,3,2024-09-07 08:24:41:060,1,78,2,0,490,1818,78,0 118,0,2024-09-07 08:24:41:786,16589,0.7,16912,0.9,34737,0.7,45411,2.00 118,1,2024-09-07 08:24:40:812,137249,137249,0,0,63699767079,668552806,136007,1163,79,368,391736,0 118,2,2024-09-07 08:24:41:609,100921,100921,0,0,4741546,0,2781 118,3,2024-09-07 08:24:41:780,1,78,2,1,235,1247,78,0 119,0,2024-09-07 08:24:41:377,17155,0.3,17263,0.5,35300,0.2,46680,1.75 119,1,2024-09-07 08:24:40:554,137143,137143,0,0,64463333507,676296121,135525,1469,149,370,391641,0 119,2,2024-09-07 08:24:41:270,98386,98386,0,0,4469957,0,2532 119,3,2024-09-07 08:24:41:325,1,78,3,0,443,2157,78,0 120,0,2024-09-07 08:24:41:593,22217,0.6,22333,0.8,44377,0.6,59480,2.00 120,1,2024-09-07 08:24:40:869,136704,136704,0,0,64180026953,675761154,135175,1513,16,368,391702,0 120,2,2024-09-07 08:24:40:771,96841,96840,1,0,5634283,0,5281 120,3,2024-09-07 08:24:41:296,1,78,1,0,241,1386,78,0 121,0,2024-09-07 08:24:41:734,22594,2.4,22769,1.5,45591,3.6,61414,2.50 121,1,2024-09-07 08:24:41:667,136789,136789,0,0,64135163469,674164999,134910,1609,270,368,391840,0 121,2,2024-09-07 08:24:41:129,95603,95603,0,0,5921659,0,4127 121,3,2024-09-07 08:24:40:751,1,78,2,0,113,876,78,0 122,0,2024-09-07 08:24:41:783,18535,2.3,17881,1.9,37342,2.6,49928,2.50 122,1,2024-09-07 08:24:40:863,135822,135822,0,0,63426514612,673072635,132811,2487,524,368,392130,0 122,2,2024-09-07 08:24:41:324,100762,100762,0,0,5997279,0,3364 122,3,2024-09-07 08:24:40:596,1,78,1,1,298,1801,78,0 123,0,2024-09-07 08:24:41:017,15444,0.3,15059,0.5,31430,0.2,41446,1.75 123,1,2024-09-07 08:24:40:569,137004,137004,0,0,64437287050,685370830,133540,2896,568,369,391823,0 123,2,2024-09-07 08:24:41:030,99123,99122,1,0,4914838,0,5215 123,3,2024-09-07 08:24:41:142,1,78,1,1,160,1014,78,0 124,0,2024-09-07 08:24:40:958,20822,0.3,20828,0.5,39421,0.2,54095,1.50 124,1,2024-09-07 08:24:41:029,136998,136998,0,0,63562389839,660741625,136195,658,145,367,392178,0 124,2,2024-09-07 08:24:41:011,98274,98274,0,0,3891354,0,2477 124,3,2024-09-07 08:24:40:760,1,78,3,1,490,1651,78,0 125,0,2024-09-07 08:24:41:552,21373,0.6,21490,0.7,42811,0.7,56958,1.75 125,1,2024-09-07 08:24:40:855,136905,136905,0,0,64307658431,675347052,135153,1480,272,384,391702,0 125,2,2024-09-07 08:24:41:117,95158,95158,0,0,4196628,0,2180 125,3,2024-09-07 08:24:41:128,1,78,7,1,93,1103,78,0 126,0,2024-09-07 08:24:41:424,20508,1.2,21045,1.0,40314,2.0,54443,2.00 126,1,2024-09-07 08:24:40:572,137583,137583,0,0,64832462175,674275672,136532,996,55,365,391987,0 126,2,2024-09-07 08:24:40:624,100729,100729,0,0,4618778,0,3186 126,3,2024-09-07 08:24:40:913,1,78,1,0,122,1089,78,0 127,0,2024-09-07 08:24:41:638,13962,0.2,13845,0.4,27723,0.2,36946,1.50 127,1,2024-09-07 08:24:40:576,137026,137026,0,0,64136819987,666696375,135888,1115,23,365,391614,0 127,2,2024-09-07 08:24:40:644,100491,100491,0,0,3847813,0,1803 127,3,2024-09-07 08:24:41:280,1,78,1,0,99,635,78,0 128,0,2024-09-07 08:24:41:655,19288,0.2,19291,0.4,38104,0.2,51625,1.50 128,1,2024-09-07 08:24:41:612,137141,137141,0,0,65139776088,675984582,136420,651,70,367,391680,0 128,2,2024-09-07 08:24:41:405,98318,98318,0,0,4495990,0,2107 128,3,2024-09-07 08:24:40:767,1,78,1,1,112,898,78,0 129,0,2024-09-07 08:24:41:005,22183,0.4,22094,0.6,44109,0.4,58395,1.75 129,1,2024-09-07 08:24:40:578,136874,136874,0,0,64008578780,672777177,135047,1552,275,379,391835,0 129,2,2024-09-07 08:24:40:691,94664,94664,0,0,3851185,0,2446 129,3,2024-09-07 08:24:40:707,1,78,5,1,173,1164,78,0 130,0,2024-09-07 08:24:41:731,22382,1.4,22265,1.1,44612,2.0,59688,2.25 130,1,2024-09-07 08:24:40:588,136979,136979,0,0,64250840122,672342187,135665,1281,33,381,391609,0 130,2,2024-09-07 08:24:41:128,99732,99732,0,0,4576889,0,4067 130,3,2024-09-07 08:24:41:291,1,78,1,1,207,645,78,0 131,0,2024-09-07 08:24:41:956,15956,0.9,15915,0.9,32125,1.2,42325,1.75 131,1,2024-09-07 08:24:41:826,137710,137710,0,0,63952471879,667753817,136689,835,186,384,391865,0 131,2,2024-09-07 08:24:40:581,101170,101170,0,0,3800804,0,2415 131,3,2024-09-07 08:24:41:723,1,78,8,0,392,1133,78,0 132,0,2024-09-07 08:24:41:506,18250,0.3,18534,0.5,36803,0.2,49062,1.75 132,1,2024-09-07 08:24:40:622,136381,136381,0,0,63580042652,673735345,133791,1884,706,381,391719,0 132,2,2024-09-07 08:24:40:699,98757,98757,0,0,6112493,0,4606 132,3,2024-09-07 08:24:41:721,1,78,3,1,356,1039,78,0 133,0,2024-09-07 08:24:41:613,21968,0.5,22303,0.7,45970,0.5,59179,1.75 133,1,2024-09-07 08:24:40:597,135674,135674,0,0,63439082457,672344936,133461,2118,95,383,391755,0 133,2,2024-09-07 08:24:41:121,96723,96723,0,0,5254573,0,2444 133,3,2024-09-07 08:24:41:303,1,78,9,1,187,655,78,0 134,0,2024-09-07 08:24:40:969,23428,1.0,23418,1.0,46954,1.1,62566,2.25 134,1,2024-09-07 08:24:40:597,137035,137035,0,0,63639114258,672197034,134394,1660,981,366,391718,0 134,2,2024-09-07 08:24:41:760,97184,97184,0,0,3922306,0,2026 134,3,2024-09-07 08:24:40:756,1,78,1,1,739,1977,78,0 135,0,2024-09-07 08:24:41:123,17103,1.6,17094,1.6,36098,1.8,47040,2.50 135,1,2024-09-07 08:24:41:585,136504,136504,0,0,64305965291,677017679,134557,1620,327,380,391703,0 135,2,2024-09-07 08:24:40:688,101244,101244,0,0,4906474,0,3981 135,3,2024-09-07 08:24:41:013,1,78,6,0,68,495,78,0 136,0,2024-09-07 08:24:41:657,16629,0.4,16668,0.6,33226,0.3,44432,2.00 136,1,2024-09-07 08:24:41:458,136666,136666,0,0,63617475991,669886197,134762,1757,147,384,391621,0 136,2,2024-09-07 08:24:41:133,99993,99993,0,0,4437477,0,2379 136,3,2024-09-07 08:24:41:107,1,78,1,1,108,877,78,0 137,0,2024-09-07 08:24:40:937,21955,0.7,21272,0.8,42169,0.7,57612,2.00 137,1,2024-09-07 08:24:40:595,136634,136634,0,0,64222655005,674758918,134656,1864,114,367,391608,0 137,2,2024-09-07 08:24:41:722,97491,97491,0,0,5464727,0,2632 137,3,2024-09-07 08:24:40:770,1,78,1,1,227,1111,78,0 138,0,2024-09-07 08:24:41:778,21223,2.7,21369,1.6,43431,3.8,58270,3.00 138,1,2024-09-07 08:24:41:726,136553,136553,0,0,64368389103,678066235,134222,2067,264,370,391954,0 138,2,2024-09-07 08:24:40:586,96047,96047,0,0,4665098,0,3263 138,3,2024-09-07 08:24:40:624,1,78,1,0,1160,1942,78,0 139,0,2024-09-07 08:24:41:378,18735,4.5,18765,2.4,37895,5.5,51053,3.75 139,1,2024-09-07 08:24:40:588,136114,136114,0,0,63173115686,675139457,133224,2161,729,381,391892,0 139,2,2024-09-07 08:24:40:706,99965,99965,0,0,5976428,0,3097 139,3,2024-09-07 08:24:41:668,1,78,6,0,244,1350,78,0 140,0,2024-09-07 08:24:41:604,14566,0.3,14545,0.5,29285,0.2,38809,1.50 140,1,2024-09-07 08:24:41:537,137624,137624,0,0,64221994136,665087719,136696,731,197,365,391606,0 140,2,2024-09-07 08:24:40:690,100698,100698,0,0,4644869,0,3388 140,3,2024-09-07 08:24:40:767,1,78,2,0,25,582,78,0 141,0,2024-09-07 08:24:41:707,19490,0.2,20131,0.4,39006,0.1,52924,1.50 141,1,2024-09-07 08:24:40:861,137232,137232,0,0,64611594113,673900976,135810,1091,331,382,391538,0 141,2,2024-09-07 08:24:41:722,98711,98711,0,0,4160268,0,2342 141,3,2024-09-07 08:24:41:043,1,78,0,0,147,587,78,0 142,0,2024-09-07 08:24:41:340,21944,0.4,21630,0.6,43034,0.4,57578,1.75 142,1,2024-09-07 08:24:40:597,137469,137469,0,0,64104989033,668419377,136911,543,15,384,391649,0 142,2,2024-09-07 08:24:41:301,94276,94276,0,0,4431773,0,2293 142,3,2024-09-07 08:24:41:754,1,78,2,0,484,1352,78,0 143,0,2024-09-07 08:24:41:413,20942,1.1,20981,0.9,42276,1.5,55605,2.00 143,1,2024-09-07 08:24:40:568,137165,137165,0,0,64123810956,666302188,136187,951,27,367,391619,0 143,2,2024-09-07 08:24:40:770,100106,100106,0,0,4985784,0,2669 143,3,2024-09-07 08:24:41:141,1,78,33,0,236,1159,78,0 144,0,2024-09-07 08:24:41:511,14368,1.2,14844,5.8,29979,0.9,39976,2.25 144,1,2024-09-07 08:24:40:567,136740,136740,0,0,63894016751,672279101,135167,1381,192,381,391638,0 144,2,2024-09-07 08:24:41:818,101319,101319,0,0,4171996,0,3473 144,3,2024-09-07 08:24:41:740,1,78,1,1,169,1239,78,0 145,0,2024-09-07 08:24:41:369,17901,0.4,17886,0.6,37958,0.3,49839,1.75 145,1,2024-09-07 08:24:40:553,136157,136157,0,0,63731444752,672083310,134228,1664,265,383,391615,0 145,2,2024-09-07 08:24:41:431,99153,99153,0,0,5068331,0,3903 145,3,2024-09-07 08:24:40:895,1,78,2,0,151,1007,78,0 146,0,2024-09-07 08:24:41:641,22060,0.7,22076,0.9,44361,0.8,58468,2.25 146,1,2024-09-07 08:24:41:595,137239,137239,0,0,63739241401,671803561,134943,1995,301,368,391600,0 146,2,2024-09-07 08:24:41:722,94648,94648,0,0,4684156,0,2379 146,3,2024-09-07 08:24:41:282,1,78,1,0,1520,3104,78,0 147,0,2024-09-07 08:24:41:707,22637,2.7,22406,1.6,44642,4.1,60912,3.00 147,1,2024-09-07 08:24:41:389,137194,137194,0,0,64507523906,672760476,135475,1364,355,368,391791,0 147,2,2024-09-07 08:24:41:010,99321,99321,0,0,4675887,0,2789 147,3,2024-09-07 08:24:40:913,1,78,16,1,141,828,78,0 0,0,2024-09-07 08:24:51:748,21896,0.6,21888,0.8,46234,0.7,59709,1.75 0,1,2024-09-07 08:24:50:808,138924,138924,0,0,64910413329,682173368,137698,1099,127,372,391673,0 0,2,2024-09-07 08:24:51:091,97787,97787,0,0,4607513,0,4480 0,3,2024-09-07 08:24:50:978,1,79,85,0,247,1238,79,0 1,0,2024-09-07 08:24:51:774,23010,2.7,22847,1.8,46138,4.2,62114,2.75 1,1,2024-09-07 08:24:50:558,138175,138175,0,0,64024399111,673567985,136479,1167,529,372,391857,0 1,2,2024-09-07 08:24:50:642,97475,97475,0,0,4253970,0,3267 1,3,2024-09-07 08:24:51:318,1,79,1,0,167,767,79,0 2,0,2024-09-07 08:24:51:577,18548,1.2,18716,1.3,37093,2.7,49763,2.25 2,1,2024-09-07 08:24:50:859,139013,139013,0,0,65474595241,682532429,137932,877,204,381,391558,0 2,2,2024-09-07 08:24:51:273,101601,101601,0,0,4463928,0,3304 2,3,2024-09-07 08:24:50:690,1,79,1,0,214,814,79,0 3,0,2024-09-07 08:24:51:750,15998,0.3,15972,0.5,32039,0.2,42867,1.75 3,1,2024-09-07 08:24:51:623,138084,138084,0,0,64423759630,674809620,136105,1593,386,382,391516,0 3,2,2024-09-07 08:24:51:144,100667,100644,23,0,4875029,0,5851 3,3,2024-09-07 08:24:51:752,1,79,2,0,103,590,79,0 4,0,2024-09-07 08:24:51:774,19906,0.3,20379,0.4,41416,0.2,54599,1.50 4,1,2024-09-07 08:24:50:600,138372,138372,0,0,64531941770,682056801,136475,1479,418,371,391846,0 4,2,2024-09-07 08:24:51:027,99178,99178,0,0,5459822,0,4528 4,3,2024-09-07 08:24:51:031,1,79,8,1,287,1359,79,0 5,0,2024-09-07 08:24:51:448,21711,0.8,21495,0.8,43481,1.0,57770,2.00 5,1,2024-09-07 08:24:50:769,138600,138600,0,0,65311023957,688914623,136388,1770,442,368,392005,0 5,2,2024-09-07 08:24:51:845,96376,96376,0,0,4361529,0,2259 5,3,2024-09-07 08:24:51:739,1,79,7,0,238,1565,79,0 6,0,2024-09-07 08:24:50:937,20671,1.1,20405,1.3,40794,1.5,54678,2.50 6,1,2024-09-07 08:24:50:760,139199,139199,0,0,64994160191,678210272,138076,1054,69,381,391603,0 6,2,2024-09-07 08:24:51:138,102111,102111,0,0,4660118,0,2411 6,3,2024-09-07 08:24:51:273,1,79,0,0,340,1456,79,0 7,0,2024-09-07 08:24:51:541,13979,0.3,14011,0.5,28111,0.2,37819,1.75 7,1,2024-09-07 08:24:50:852,138833,138833,0,0,65276848771,682081982,137853,916,64,383,391664,0 7,2,2024-09-07 08:24:50:772,101152,101152,0,0,4385014,0,2981 7,3,2024-09-07 08:24:50:851,1,79,2,0,83,666,79,0 8,0,2024-09-07 08:24:51:345,19682,0.3,19731,0.4,38874,0.2,52737,1.50 8,1,2024-09-07 08:24:51:016,138514,138514,0,0,64855559239,691537373,135029,2232,1253,368,391724,0 8,2,2024-09-07 08:24:50:810,98916,98916,0,0,5558991,0,2986 8,3,2024-09-07 08:24:50:586,1,79,5,0,229,1113,79,0 9,0,2024-09-07 08:24:51:137,22128,0.4,21493,0.6,45035,0.4,58573,1.75 9,1,2024-09-07 08:24:50:558,138991,138991,0,0,65765369750,695469754,136547,1876,568,370,391738,0 9,2,2024-09-07 08:24:51:083,96020,96020,0,0,4992816,0,3360 9,3,2024-09-07 08:24:51:766,1,79,0,0,149,1058,79,0 10,0,2024-09-07 08:24:51:608,22302,0.7,22333,0.9,44641,0.7,59482,2.00 10,1,2024-09-07 08:24:50:590,138121,138121,0,0,64959743848,688514056,134784,2815,522,381,391741,0 10,2,2024-09-07 08:24:50:762,100538,100538,0,0,5173222,0,2940 10,3,2024-09-07 08:24:50:870,1,79,2,0,136,612,79,0 11,0,2024-09-07 08:24:51:023,15589,1.0,15203,1.3,31864,3.1,42483,2.25 11,1,2024-09-07 08:24:50:575,138232,138232,0,0,65074329625,687059519,135591,1826,815,384,391537,0 11,2,2024-09-07 08:24:51:138,102125,102125,0,0,4896575,0,2635 11,3,2024-09-07 08:24:51:302,1,79,9,0,720,2382,79,0 12,0,2024-09-07 08:24:50:953,18881,0.3,18825,0.5,37612,0.2,49860,1.50 12,1,2024-09-07 08:24:50:969,138048,138048,0,0,64196044751,674580833,136388,1560,100,371,391790,0 12,2,2024-09-07 08:24:51:544,101240,101240,0,0,4611983,0,2254 12,3,2024-09-07 08:24:51:071,1,79,14,0,358,1482,79,0 13,0,2024-09-07 08:24:51:351,22551,0.4,22713,0.6,45227,0.4,59874,1.75 13,1,2024-09-07 08:24:51:524,138584,138584,0,0,64514976714,680558750,136988,1229,367,384,391717,0 13,2,2024-09-07 08:24:50:599,97256,97256,0,0,4149022,0,3287 13,3,2024-09-07 08:24:51:765,1,79,7,1,416,1693,79,0 14,0,2024-09-07 08:24:50:574,23679,0.8,23800,0.9,47163,0.9,62951,2.00 14,1,2024-09-07 08:24:51:562,139533,139533,0,0,65047879630,675107582,138650,852,31,365,391546,0 14,2,2024-09-07 08:24:50:764,98567,98567,0,0,4760393,0,2793 14,3,2024-09-07 08:24:51:118,1,79,7,0,906,1300,79,0 15,0,2024-09-07 08:24:51:561,17934,1.2,17701,1.3,35552,1.5,48043,2.50 15,1,2024-09-07 08:24:51:607,138215,138215,0,0,64885765997,676348568,137392,788,35,381,391536,0 15,2,2024-09-07 08:24:50:998,103227,103227,0,0,3845178,0,3043 15,3,2024-09-07 08:24:51:405,1,79,13,0,538,2378,79,0 16,0,2024-09-07 08:24:51:010,16772,0.3,16971,0.5,33543,0.2,44974,2.00 16,1,2024-09-07 08:24:50:563,138368,138368,0,0,64628744251,677579319,137231,1109,28,372,391756,0 16,2,2024-09-07 08:24:51:456,99904,99904,0,0,5029022,0,4719 16,3,2024-09-07 08:24:51:156,1,79,8,1,231,1377,79,0 17,0,2024-09-07 08:24:51:779,22539,0.5,22038,0.7,43295,0.5,59132,1.75 17,1,2024-09-07 08:24:50:569,138535,138535,0,0,64915140497,685456285,136654,1358,523,369,391688,0 17,2,2024-09-07 08:24:51:666,99961,99961,0,0,3892854,0,2857 17,3,2024-09-07 08:24:50:574,1,79,7,0,268,1577,79,0 18,0,2024-09-07 08:24:50:951,21552,1.4,21884,1.2,43881,1.7,58514,2.50 18,1,2024-09-07 08:24:51:640,138764,138764,0,0,64804218086,675049923,137512,1034,218,368,391555,0 18,2,2024-09-07 08:24:51:755,96735,96735,0,0,4732229,0,3541 18,3,2024-09-07 08:24:50:920,1,79,5,0,163,968,79,0 19,0,2024-09-07 08:24:51:545,19088,1.5,19213,1.4,38144,1.7,50625,4.25 19,1,2024-09-07 08:24:50:573,138727,138727,0,0,65288856673,683065104,136858,1705,164,367,391777,0 19,2,2024-09-07 08:24:51:752,102510,102510,0,0,4360939,0,3988 19,3,2024-09-07 08:24:51:139,1,79,1,0,524,1196,79,0 20,0,2024-09-07 08:24:51:361,14898,0.3,14878,0.5,29637,0.2,39204,1.75 20,1,2024-09-07 08:24:50:576,138738,138738,0,0,65169438409,684766319,137038,1538,162,370,391598,0 20,2,2024-09-07 08:24:50:940,101008,101008,0,0,4347693,0,2446 20,3,2024-09-07 08:24:50:589,1,79,1,0,99,934,79,0 21,0,2024-09-07 08:24:51:210,20309,0.3,20249,0.5,40205,0.3,53667,1.75 21,1,2024-09-07 08:24:51:558,138273,138273,0,0,64583508762,682890231,135933,1845,495,368,391962,0 21,2,2024-09-07 08:24:51:096,99988,99988,0,0,4573951,0,3747 21,3,2024-09-07 08:24:51:410,1,79,13,0,93,1097,79,0 22,0,2024-09-07 08:24:51:728,21458,1.9,21382,1.4,42753,3.4,57123,3.00 22,1,2024-09-07 08:24:51:027,138429,138429,0,0,64835076659,686638596,135288,2415,726,382,391667,0 22,2,2024-09-07 08:24:50:760,96200,96200,0,0,3967795,0,3134 22,3,2024-09-07 08:24:51:066,1,79,228,0,228,656,79,0 23,0,2024-09-07 08:24:51:376,20891,1.2,21008,1.0,42044,1.6,55818,2.50 23,1,2024-09-07 08:24:51:004,138823,138823,0,0,64932691258,675350245,137474,1095,254,367,391496,0 23,2,2024-09-07 08:24:51:092,101341,101341,0,0,4253340,0,2078 23,3,2024-09-07 08:24:51:771,1,79,1,0,645,1344,79,0 24,0,2024-09-07 08:24:50:840,15382,0.6,15378,0.7,30708,0.6,40539,1.75 24,1,2024-09-07 08:24:50:596,138733,138733,0,0,64699599780,675102147,137916,810,7,369,391640,0 24,2,2024-09-07 08:24:51:077,101496,101496,0,0,5627051,0,2942 24,3,2024-09-07 08:24:51:686,1,79,8,1,234,1144,79,0 25,0,2024-09-07 08:24:51:355,19593,0.3,19106,0.5,37648,0.2,51471,1.50 25,1,2024-09-07 08:24:50:565,138294,138294,0,0,64407523280,679942359,136138,1840,316,371,391788,0 25,2,2024-09-07 08:24:51:606,99907,99907,0,0,5092831,0,3284 25,3,2024-09-07 08:24:51:000,1,79,1,1,158,755,79,0 26,0,2024-09-07 08:24:51:728,22143,0.5,21577,0.6,45154,0.4,59159,1.75 26,1,2024-09-07 08:24:51:541,138822,138822,0,0,64282809404,682005566,135359,2628,835,381,391564,0 26,2,2024-09-07 08:24:50:862,96423,96423,0,0,4981862,0,2809 26,3,2024-09-07 08:24:51:712,1,79,2,0,796,1285,79,0 27,0,2024-09-07 08:24:51:730,22975,0.9,23191,0.9,45892,1.4,61270,2.00 27,1,2024-09-07 08:24:51:678,139358,139358,0,0,65558144195,682237083,138217,846,295,381,391539,0 27,2,2024-09-07 08:24:50:867,99003,99003,0,0,6025711,0,3409 27,3,2024-09-07 08:24:51:016,1,79,8,0,564,1240,79,0 28,0,2024-09-07 08:24:51:402,17055,0.6,17373,0.8,34552,0.8,46018,2.00 28,1,2024-09-07 08:24:50:810,139044,139044,0,0,65593726599,684601685,137980,864,200,383,391646,0 28,2,2024-09-07 08:24:51:764,102195,102195,0,0,4090614,0,2609 28,3,2024-09-07 08:24:51:777,1,79,34,0,502,1267,79,0 29,0,2024-09-07 08:24:51:362,18212,0.3,17762,0.5,35067,0.2,48097,1.75 29,1,2024-09-07 08:24:51:562,139936,139936,0,0,65226036991,674826422,139173,591,172,369,391621,0 29,2,2024-09-07 08:24:50:862,101002,101002,0,0,3588441,0,2026 29,3,2024-09-07 08:24:50:965,1,79,1,0,105,680,79,0 30,0,2024-09-07 08:24:51:465,22388,1.1,21852,1.0,45747,1.4,59674,2.50 30,1,2024-09-07 08:24:50:573,139114,139114,0,0,65249079509,680142716,138137,912,65,382,391534,0 30,2,2024-09-07 08:24:51:278,98620,98620,0,0,3526137,0,2534 30,3,2024-09-07 08:24:50:581,1,79,1,0,195,646,79,0 31,0,2024-09-07 08:24:51:771,22900,1.3,23001,1.1,46280,1.7,61802,2.50 31,1,2024-09-07 08:24:50:569,139564,139564,0,0,65386466372,670527116,139313,249,2,356,391553,0 31,2,2024-09-07 08:24:51:275,98091,98091,0,0,5046539,0,3525 31,3,2024-09-07 08:24:51:709,1,79,17,0,129,582,79,0 32,0,2024-09-07 08:24:51:419,18788,1.3,18924,1.1,37895,2.6,50339,1.75 32,1,2024-09-07 08:24:50:808,139045,139045,0,0,65625868819,682954961,138041,975,29,382,391595,0 32,2,2024-09-07 08:24:50:942,102387,102387,0,0,4137547,0,3155 32,3,2024-09-07 08:24:51:023,1,79,3,0,110,654,79,0 33,0,2024-09-07 08:24:51:509,16081,0.2,15803,0.4,32165,0.1,42839,1.50 33,1,2024-09-07 08:24:50:575,139451,139451,0,0,65499255654,681759930,137790,1538,123,369,391526,0 33,2,2024-09-07 08:24:50:759,100731,100698,33,0,5645140,0,7012 33,3,2024-09-07 08:24:50:895,1,79,1,0,129,527,79,0 34,0,2024-09-07 08:24:50:944,20466,0.2,21149,0.4,40726,0.1,54594,1.50 34,1,2024-09-07 08:24:51:051,139479,139479,0,0,65463321916,675217131,138883,592,4,367,391517,0 34,2,2024-09-07 08:24:50:765,99037,99037,0,0,4645559,0,3255 34,3,2024-09-07 08:24:51:688,1,79,1,0,148,700,79,0 35,0,2024-09-07 08:24:50:892,21394,0.8,21654,0.8,43212,1.0,57753,2.00 35,1,2024-09-07 08:24:51:075,139078,139078,0,0,65177584588,678359245,137610,1106,362,384,391587,0 35,2,2024-09-07 08:24:51:584,96342,96342,0,0,4078587,0,2542 35,3,2024-09-07 08:24:50:915,1,79,2,0,219,1080,79,0 36,0,2024-09-07 08:24:51:555,20572,1.7,20475,1.3,41419,2.2,54624,2.50 36,1,2024-09-07 08:24:50:593,138554,138554,0,0,64398862802,678626704,135542,2081,931,366,391535,0 36,2,2024-09-07 08:24:51:754,101555,101555,0,0,5238672,0,3303 36,3,2024-09-07 08:24:50:863,1,79,1,0,378,1135,79,0 37,0,2024-09-07 08:24:51:374,14147,0.3,14018,0.5,28216,0.2,37879,1.75 37,1,2024-09-07 08:24:50:569,138425,138418,0,7,64586181236,684141645,135576,1323,1519,365,391518,0 37,2,2024-09-07 08:24:51:142,101369,101369,0,0,3849825,0,2333 37,3,2024-09-07 08:24:51:766,1,79,1,0,724,1831,79,0 38,0,2024-09-07 08:24:51:451,19590,0.3,19001,0.5,39782,0.2,52655,1.75 38,1,2024-09-07 08:24:51:610,139223,139223,0,0,65498249917,686623642,137068,1708,447,368,391821,0 38,2,2024-09-07 08:24:50:765,100412,100412,0,0,4618532,0,3245 38,3,2024-09-07 08:24:50:998,1,79,0,0,603,1422,79,0 39,0,2024-09-07 08:24:51:772,22710,1.0,22293,0.9,43253,1.2,58707,2.00 39,1,2024-09-07 08:24:50:716,138825,138825,0,0,64633740243,678873552,136193,1994,638,366,391524,0 39,2,2024-09-07 08:24:51:417,95947,95947,0,0,4210716,0,2381 39,3,2024-09-07 08:24:50:721,1,79,1,0,276,1225,79,0 40,0,2024-09-07 08:24:51:494,21876,1.7,22296,2.0,44118,3.5,58987,3.50 40,1,2024-09-07 08:24:50:577,138409,138409,0,0,64233064869,678785268,135155,2501,753,369,391591,0 40,2,2024-09-07 08:24:51:305,100513,100512,1,0,5526002,0,5137 40,3,2024-09-07 08:24:51:143,1,79,1,0,110,815,79,0 41,0,2024-09-07 08:24:51:044,15420,0.6,15750,4.0,30191,0.6,41321,2.50 41,1,2024-09-07 08:24:50:793,138422,138422,0,0,64891653980,682831137,135999,2110,313,370,391742,0 41,2,2024-09-07 08:24:50:761,101628,101628,0,0,5708296,0,3356 41,3,2024-09-07 08:24:51:689,1,79,2,0,168,858,79,0 42,0,2024-09-07 08:24:51:490,18500,0.4,18829,0.7,37443,0.4,49837,2.00 42,1,2024-09-07 08:24:51:449,138048,138048,0,0,64599373084,683831211,135055,2163,830,381,391511,0 42,2,2024-09-07 08:24:51:152,100099,100099,0,0,5004012,0,3568 42,3,2024-09-07 08:24:51:015,1,79,1,0,100,681,79,0 43,0,2024-09-07 08:24:50:945,22467,1.1,21921,1.0,45667,1.3,59999,2.00 43,1,2024-09-07 08:24:50:576,138418,138418,0,0,65122515289,683380211,136151,1515,752,366,391604,0 43,2,2024-09-07 08:24:51:737,97519,97519,0,0,4998123,0,3812 43,3,2024-09-07 08:24:51:749,1,79,1,0,325,1726,79,0 44,0,2024-09-07 08:24:50:865,23683,0.7,23627,1.0,47343,0.8,63465,2.00 44,1,2024-09-07 08:24:50:563,138944,138944,0,0,64981165302,672760057,137924,913,107,356,391523,0 44,2,2024-09-07 08:24:51:271,98962,98962,0,0,3711500,0,1865 44,3,2024-09-07 08:24:51:093,1,79,4,0,817,1344,79,0 45,0,2024-09-07 08:24:51:776,17623,1.9,17203,1.5,36134,4.2,48327,2.00 45,1,2024-09-07 08:24:51:004,139051,139051,0,0,65556407445,680501514,138582,468,1,382,391917,0 45,2,2024-09-07 08:24:51:269,102770,102770,0,0,4378789,0,2628 45,3,2024-09-07 08:24:50:939,1,79,15,0,226,853,79,0 46,0,2024-09-07 08:24:50:970,17010,0.3,16970,0.5,34046,0.2,45033,1.75 46,1,2024-09-07 08:24:50:579,139304,139304,0,0,65924760965,682292157,138455,812,37,368,391514,0 46,2,2024-09-07 08:24:50:596,100655,100655,0,0,4255231,0,2920 46,3,2024-09-07 08:24:51:139,1,79,2,0,315,1081,79,0 47,0,2024-09-07 08:24:51:115,21852,0.3,22120,0.5,44209,0.3,58828,1.75 47,1,2024-09-07 08:24:50:569,139613,139613,0,0,65168001625,672898678,139105,504,4,366,391605,0 47,2,2024-09-07 08:24:50:921,99932,99932,0,0,4246047,0,2558 47,3,2024-09-07 08:24:51:118,1,79,1,0,529,1142,79,0 48,0,2024-09-07 08:24:51:505,22386,0.4,22227,0.5,44065,0.3,59295,1.75 48,1,2024-09-07 08:24:51:083,139192,139192,0,0,65042566422,677559623,137991,1195,6,384,391637,0 48,2,2024-09-07 08:24:50:708,97277,97277,0,0,3392287,0,2083 48,3,2024-09-07 08:24:50:764,1,79,1,0,39,676,79,0 49,0,2024-09-07 08:24:51:731,19857,1.1,19417,1.0,38122,1.7,51980,2.00 49,1,2024-09-07 08:24:51:026,138368,138368,0,0,64889584091,679925563,136629,1029,710,382,391583,0 49,2,2024-09-07 08:24:51:797,102169,102169,0,0,4561379,0,3900 49,3,2024-09-07 08:24:51:416,1,79,1,0,274,844,79,0 50,0,2024-09-07 08:24:51:517,14955,0.2,14673,0.4,29785,0.1,39600,1.50 50,1,2024-09-07 08:24:51:020,139614,139614,0,0,65573829585,682053107,137944,1373,297,368,391530,0 50,2,2024-09-07 08:24:51:067,101473,101473,0,0,3816574,0,2253 50,3,2024-09-07 08:24:51:291,1,79,1,0,335,887,79,0 51,0,2024-09-07 08:24:51:696,20629,0.2,20182,0.3,39595,0.1,54081,1.50 51,1,2024-09-07 08:24:51:680,138956,138956,0,0,65810831673,685601824,137155,1017,784,367,391637,0 51,2,2024-09-07 08:24:51:333,99713,99713,0,0,3260714,0,2448 51,3,2024-09-07 08:24:51:027,1,79,2,0,162,541,79,0 52,0,2024-09-07 08:24:51:425,21717,0.8,21841,0.9,43718,1.0,57628,2.25 52,1,2024-09-07 08:24:50:575,138423,138423,0,0,64696213535,681002586,135910,2048,465,368,391594,0 52,2,2024-09-07 08:24:51:772,95740,95740,0,0,4445399,0,4779 52,3,2024-09-07 08:24:50:674,1,79,0,0,1782,2444,79,0 53,0,2024-09-07 08:24:51:764,20834,2.0,20071,1.4,41781,3.0,55084,2.75 53,1,2024-09-07 08:24:50:782,138414,138414,0,0,65195170040,686144966,135790,2049,575,370,391617,0 53,2,2024-09-07 08:24:51:300,102400,102400,0,0,4047883,0,1902 53,3,2024-09-07 08:24:50:702,1,79,1,0,59,482,79,0 54,0,2024-09-07 08:24:51:628,14403,8.0,14616,2.3,28931,1.0,39484,4.75 54,1,2024-09-07 08:24:50:587,138445,138445,0,0,65359457668,683288339,136335,1794,316,367,391520,0 54,2,2024-09-07 08:24:50:869,102392,102386,6,0,4887916,0,5382 54,3,2024-09-07 08:24:50:763,1,79,1,0,676,1707,79,0 55,0,2024-09-07 08:24:51:771,18715,0.3,19154,0.5,38926,0.2,51050,2.00 55,1,2024-09-07 08:24:50:766,137979,137979,0,0,63925172890,673529201,134496,2781,702,367,391731,0 55,2,2024-09-07 08:24:50:741,99956,99956,0,0,4801532,0,3275 55,3,2024-09-07 08:24:50:674,1,79,1,0,136,858,79,0 56,0,2024-09-07 08:24:51:602,22810,1.9,21502,1.4,44280,2.3,59087,2.50 56,1,2024-09-07 08:24:50:582,137969,137969,0,0,65457814886,697378509,134570,2651,748,382,391678,0 56,2,2024-09-07 08:24:51:313,96606,96606,0,0,5294049,0,3567 56,3,2024-09-07 08:24:51:077,1,79,1,0,297,1007,79,0 57,0,2024-09-07 08:24:50:944,22500,3.0,22214,1.8,44799,5.3,60012,4.25 57,1,2024-09-07 08:24:50:986,138015,138015,0,0,64375062662,674992165,136537,1458,20,368,391760,0 57,2,2024-09-07 08:24:51:320,100337,100337,0,0,5325230,0,3178 57,3,2024-09-07 08:24:51:738,1,79,2,0,359,1674,79,0 58,0,2024-09-07 08:24:50:563,16424,0.6,16071,0.9,33624,0.5,44205,2.75 58,1,2024-09-07 08:24:50:583,139490,139487,0,3,65518323369,683521272,137888,1439,160,369,391516,3 58,2,2024-09-07 08:24:51:080,101929,101929,0,0,4985791,0,2549 58,3,2024-09-07 08:24:51:080,1,79,1,0,219,884,79,0 59,0,2024-09-07 08:24:51:750,17920,0.5,17762,0.7,35758,0.4,47782,2.00 59,1,2024-09-07 08:24:50:809,138248,138248,0,0,64658156625,680869173,135998,1483,767,369,391515,0 59,2,2024-09-07 08:24:50:596,100842,100842,0,0,4480452,0,2604 59,3,2024-09-07 08:24:51:738,1,79,1,0,1015,2002,79,0 60,0,2024-09-07 08:24:51:761,22697,0.5,22910,0.6,45141,0.5,59900,1.75 60,1,2024-09-07 08:24:50:800,139620,139620,0,0,65159372852,678762450,138533,738,349,370,391758,0 60,2,2024-09-07 08:24:51:156,97861,97861,0,0,3965053,0,2142 60,3,2024-09-07 08:24:51:264,1,79,1,0,124,946,79,0 61,0,2024-09-07 08:24:51:507,22966,2.5,23057,1.5,45931,3.8,61868,2.25 61,1,2024-09-07 08:24:50:795,138357,138357,0,0,64720495633,683582576,135796,2025,536,383,391589,0 61,2,2024-09-07 08:24:51:137,98197,98197,0,0,4181950,0,1846 61,3,2024-09-07 08:24:51:695,1,79,1,0,199,1135,79,0 62,0,2024-09-07 08:24:51:722,18871,1.3,19372,1.1,36994,2.4,50277,2.00 62,1,2024-09-07 08:24:51:119,139370,139364,0,6,65701904141,681140636,138110,1217,37,365,391586,6 62,2,2024-09-07 08:24:51:646,101958,101958,0,0,4699616,0,2931 62,3,2024-09-07 08:24:51:148,1,79,1,0,287,675,79,0 63,0,2024-09-07 08:24:51:458,15945,0.3,15830,0.5,31984,0.2,42831,1.75 63,1,2024-09-07 08:24:50:813,139737,139732,0,5,65168152452,674743461,139325,404,3,381,391540,5 63,2,2024-09-07 08:24:50:784,100583,100583,0,0,4233291,0,2674 63,3,2024-09-07 08:24:51:733,1,79,4,0,667,1884,79,0 64,0,2024-09-07 08:24:51:535,20465,0.3,20321,0.5,40874,0.2,54543,1.75 64,1,2024-09-07 08:24:50:753,139042,139042,0,0,64882312027,683750449,136603,1645,794,371,391783,0 64,2,2024-09-07 08:24:51:152,100020,100001,19,0,4569692,0,6121 64,3,2024-09-07 08:24:51:151,1,79,8,1,265,1064,79,0 65,0,2024-09-07 08:24:51:716,21036,2.2,21356,1.5,42658,2.9,57147,3.50 65,1,2024-09-07 08:24:50:863,138239,138239,0,0,64701840723,679530718,136530,1490,219,382,391770,0 65,2,2024-09-07 08:24:51:694,97074,97074,0,0,4673292,0,3367 65,3,2024-09-07 08:24:51:686,1,79,16,0,163,886,79,0 66,0,2024-09-07 08:24:51:786,20062,0.7,20300,1.0,40617,0.7,54065,2.50 66,1,2024-09-07 08:24:51:293,139660,139660,0,0,65133713323,675960395,138969,670,21,380,391588,0 66,2,2024-09-07 08:24:51:135,102804,102804,0,0,4322755,0,3867 66,3,2024-09-07 08:24:51:082,1,79,1,0,291,959,79,0 67,0,2024-09-07 08:24:51:424,14228,0.4,14282,0.6,28254,0.3,37799,2.00 67,1,2024-09-07 08:24:50:766,138470,138469,0,1,65156245774,684852886,136449,1495,525,382,391787,1 67,2,2024-09-07 08:24:50:592,102233,102233,0,0,4046205,0,2889 67,3,2024-09-07 08:24:51:764,1,79,10,0,138,687,79,0 68,0,2024-09-07 08:24:50:586,19678,0.4,19656,0.6,39165,0.3,52523,2.00 68,1,2024-09-07 08:24:50:570,138152,138152,0,0,64451880185,677134812,136733,897,522,382,391953,0 68,2,2024-09-07 08:24:51:070,100053,100053,0,0,4142098,0,4883 68,3,2024-09-07 08:24:50:734,1,79,1,0,63,774,79,0 69,0,2024-09-07 08:24:51:762,22156,1.5,22085,1.2,44006,1.9,58737,2.75 69,1,2024-09-07 08:24:51:016,138236,138236,0,0,64848347441,687909580,136238,1131,867,384,391611,0 69,2,2024-09-07 08:24:51:736,96296,96296,0,0,4699979,0,3153 69,3,2024-09-07 08:24:50:767,1,79,0,0,238,1194,79,0 70,0,2024-09-07 08:24:51:557,21920,3.2,21951,2.3,44334,2.0,58657,4.50 70,1,2024-09-07 08:24:50:806,139343,139343,0,0,65866967147,682741445,138427,781,135,368,391527,0 70,2,2024-09-07 08:24:51:326,100671,100671,0,0,4639202,0,4044 70,3,2024-09-07 08:24:50:745,1,79,1,0,178,521,79,0 71,0,2024-09-07 08:24:51:374,15488,1.2,15480,2.7,30579,1.7,41463,4.00 71,1,2024-09-07 08:24:51:597,138935,138935,0,0,65281460857,683581544,136733,1886,316,368,391682,0 71,2,2024-09-07 08:24:51:112,102446,102446,0,0,4371798,0,2146 71,3,2024-09-07 08:24:51:753,1,79,1,0,219,1026,79,0 72,0,2024-09-07 08:24:51:042,19237,0.4,18664,0.6,36916,0.3,50032,2.25 72,1,2024-09-07 08:24:51:026,139078,139078,0,0,64765415757,676489635,137527,1473,78,370,391556,0 72,2,2024-09-07 08:24:51:772,99833,99833,0,0,5678817,0,2570 72,3,2024-09-07 08:24:51:769,1,79,9,0,325,1385,79,0 73,0,2024-09-07 08:24:51:164,22082,0.5,22661,0.6,46010,0.4,59652,2.25 73,1,2024-09-07 08:24:50:781,138780,138780,0,0,65149298718,678765278,137813,923,44,368,391627,0 73,2,2024-09-07 08:24:51:749,98157,98157,0,0,5122900,0,3482 73,3,2024-09-07 08:24:50:980,1,79,4,0,274,1403,79,0 74,0,2024-09-07 08:24:51:365,23663,1.4,24130,1.2,46339,1.9,63009,3.00 74,1,2024-09-07 08:24:50:638,138647,138647,0,0,64621589482,677569100,136747,1328,572,382,391511,0 74,2,2024-09-07 08:24:51:005,98740,98740,0,0,5474261,0,4253 74,3,2024-09-07 08:24:51:445,1,79,0,0,246,1477,79,0 75,0,2024-09-07 08:24:51:797,17909,2.1,17888,1.5,35732,4.0,48422,3.25 75,1,2024-09-07 08:24:51:591,138895,138895,0,0,64420891680,676263816,137195,1596,104,381,391579,0 75,2,2024-09-07 08:24:51:352,102109,102109,0,0,5320471,0,4766 75,3,2024-09-07 08:24:51:067,1,79,2,0,143,611,79,0 76,0,2024-09-07 08:24:50:631,16955,0.3,16768,0.6,33573,0.2,44745,2.00 76,1,2024-09-07 08:24:50:808,138697,138697,0,0,64470847680,678132279,136886,1305,506,382,391530,0 76,2,2024-09-07 08:24:51:065,101283,101283,0,0,4272189,0,3064 76,3,2024-09-07 08:24:51:147,1,79,1,0,175,1044,79,0 77,0,2024-09-07 08:24:51:726,21895,0.5,22053,0.7,44128,0.5,58780,1.75 77,1,2024-09-07 08:24:50:828,138676,138676,0,0,64943859167,678327802,137637,970,69,383,391516,0 77,2,2024-09-07 08:24:51:297,99469,99469,0,0,3677385,0,1695 77,3,2024-09-07 08:24:51:097,1,79,2,0,139,779,79,0 78,0,2024-09-07 08:24:51:741,22066,1.0,22023,1.0,44193,1.3,58899,2.25 78,1,2024-09-07 08:24:50:620,139292,139292,0,0,64355980486,671670273,137872,1215,205,367,391589,0 78,2,2024-09-07 08:24:51:404,97315,97315,0,0,3798680,0,2114 78,3,2024-09-07 08:24:51:139,1,79,1,0,181,1258,79,0 79,0,2024-09-07 08:24:51:388,18628,0.8,19143,1.1,39032,0.9,50938,3.00 79,1,2024-09-07 08:24:50:572,139176,139176,0,0,64780704801,674384971,137837,1270,69,370,391682,0 79,2,2024-09-07 08:24:51:071,102140,102140,0,0,4330828,0,2679 79,3,2024-09-07 08:24:50:749,1,79,1,0,289,887,79,0 80,0,2024-09-07 08:24:51:092,14742,0.3,15226,0.6,29395,0.2,39428,1.75 80,1,2024-09-07 08:24:51:620,138556,138556,0,0,65119695654,679358418,136964,1477,115,369,391673,0 80,2,2024-09-07 08:24:51:098,101365,101365,0,0,4421403,0,4433 80,3,2024-09-07 08:24:50:575,1,79,1,1,190,1254,79,0 81,0,2024-09-07 08:24:51:580,20216,0.4,20775,0.6,39727,0.3,54061,1.75 81,1,2024-09-07 08:24:51:653,138716,138716,0,0,64526727465,678177503,136825,1693,198,383,391680,0 81,2,2024-09-07 08:24:51:136,99287,99287,0,0,4661159,0,3993 81,3,2024-09-07 08:24:51:147,1,79,0,0,193,871,79,0 82,0,2024-09-07 08:24:51:543,21646,0.9,21618,1.0,43432,1.2,57694,2.25 82,1,2024-09-07 08:24:50:583,138663,138660,0,3,64741445315,678401681,136621,1467,572,382,391558,3 82,2,2024-09-07 08:24:51:691,97275,97275,0,0,3629489,0,2230 82,3,2024-09-07 08:24:51:762,1,79,8,0,227,1334,79,0 83,0,2024-09-07 08:24:51:548,20905,1.6,20826,1.3,41321,1.9,54889,3.00 83,1,2024-09-07 08:24:50:553,138507,138507,0,0,64605965951,676421732,137087,1319,101,383,391553,0 83,2,2024-09-07 08:24:50:766,101084,101084,0,0,4642437,0,3119 83,3,2024-09-07 08:24:50:751,1,79,1,0,91,605,79,0 84,0,2024-09-07 08:24:51:874,14899,3.9,14644,3.4,29398,0.8,40097,3.00 84,1,2024-09-07 08:24:51:054,138499,138499,0,0,64986400315,682274429,136881,1369,249,369,391638,0 84,2,2024-09-07 08:24:50:575,101841,101841,0,0,4712867,0,3801 84,3,2024-09-07 08:24:51:148,1,79,5,1,58,829,79,0 85,0,2024-09-07 08:24:51:028,18465,0.3,18514,0.5,39080,0.3,51126,1.75 85,1,2024-09-07 08:24:50:560,138212,138212,0,0,64728719993,685821756,135754,2029,429,384,391652,0 85,2,2024-09-07 08:24:50:865,99786,99786,0,0,4996938,0,3656 85,3,2024-09-07 08:24:50:686,1,79,8,0,115,779,79,0 86,0,2024-09-07 08:24:50:886,22162,0.8,22951,0.8,44205,1.0,59075,2.00 86,1,2024-09-07 08:24:50:830,138389,138389,0,0,64905541261,680192630,136591,1582,216,367,391622,0 86,2,2024-09-07 08:24:50:854,96164,96163,1,0,5188222,0,5004 86,3,2024-09-07 08:24:50:594,1,79,1,0,199,916,79,0 87,0,2024-09-07 08:24:51:290,22889,2.7,22710,1.6,45595,3.9,61152,3.00 87,1,2024-09-07 08:24:50:558,138593,138593,0,0,65017443272,681919446,137009,1463,121,366,391788,0 87,2,2024-09-07 08:24:51:077,100241,100241,0,0,3966480,0,2859 87,3,2024-09-07 08:24:51:796,1,79,1,1,322,1183,79,0 88,0,2024-09-07 08:24:51:454,17109,0.7,17368,0.8,34457,0.7,46051,2.00 88,1,2024-09-07 08:24:50:572,138179,138179,0,0,65246006245,683173137,136964,1118,97,367,391787,0 88,2,2024-09-07 08:24:50:699,102517,102517,0,0,5588018,0,3583 88,3,2024-09-07 08:24:51:282,1,79,2,1,77,992,79,0 89,0,2024-09-07 08:24:51:799,18376,0.3,17768,0.5,35345,0.3,48203,1.75 89,1,2024-09-07 08:24:50:550,137677,137677,0,0,64971615450,693350461,134351,2590,736,383,391866,0 89,2,2024-09-07 08:24:51:141,100439,100439,0,0,5040052,0,2726 89,3,2024-09-07 08:24:51:806,1,79,1,0,325,1923,79,0 90,0,2024-09-07 08:24:51:643,21949,0.5,22366,0.6,46049,0.5,59777,1.75 90,1,2024-09-07 08:24:50:594,138422,138422,0,0,64776034652,684250138,136367,1912,143,382,391825,0 90,2,2024-09-07 08:24:51:421,97118,97118,0,0,5632694,0,2635 90,3,2024-09-07 08:24:50:941,1,79,1,1,200,992,79,0 91,0,2024-09-07 08:24:50:951,23469,0.6,22754,0.7,47058,0.7,62240,2.00 91,1,2024-09-07 08:24:50:556,138377,138377,0,0,65117532344,688701359,135973,2040,364,383,391914,0 91,2,2024-09-07 08:24:51:334,97236,97236,0,0,4765340,0,1997 91,3,2024-09-07 08:24:50:600,1,79,1,1,155,1136,79,0 92,0,2024-09-07 08:24:51:503,19011,1.0,19444,1.0,37239,1.2,50457,1.75 92,1,2024-09-07 08:24:50:595,138896,138896,0,0,65046439971,678782957,138237,592,67,382,391717,0 92,2,2024-09-07 08:24:51:369,103382,103382,0,0,4640528,0,2279 92,3,2024-09-07 08:24:51:029,1,79,1,1,68,901,79,0 93,0,2024-09-07 08:24:51:092,16071,0.3,16366,0.5,31241,0.2,42803,1.75 93,1,2024-09-07 08:24:50:808,138880,138880,0,0,65497466045,687079736,137117,1327,436,367,391689,0 93,2,2024-09-07 08:24:50:944,100949,100949,0,0,4201235,0,2509 93,3,2024-09-07 08:24:51:410,1,79,13,0,143,762,79,0 94,0,2024-09-07 08:24:51:624,20565,0.3,20857,0.4,41002,0.2,54661,1.50 94,1,2024-09-07 08:24:50:570,138202,138202,0,0,64538345106,679397463,136608,1528,66,381,391850,0 94,2,2024-09-07 08:24:50:764,99299,99299,0,0,4191832,0,2443 94,3,2024-09-07 08:24:51:690,1,79,6,1,231,1329,79,0 95,0,2024-09-07 08:24:51:351,21625,0.5,21459,0.6,43482,0.4,57888,1.75 95,1,2024-09-07 08:24:50:852,138969,138969,0,0,64922945941,676011928,138167,738,64,367,391590,0 95,2,2024-09-07 08:24:51:016,96795,96795,0,0,4417144,0,3308 95,3,2024-09-07 08:24:51:713,1,79,1,0,307,1330,79,0 96,0,2024-09-07 08:24:51:073,20787,0.9,20614,0.9,41220,1.0,54535,1.75 96,1,2024-09-07 08:24:51:587,138763,138763,0,0,65125920333,685425329,136919,1273,571,385,391596,0 96,2,2024-09-07 08:24:51:270,102529,102529,0,0,4860199,0,4038 96,3,2024-09-07 08:24:51:140,1,79,5,0,36,708,79,0 97,0,2024-09-07 08:24:51:345,14157,0.3,14103,0.5,28384,0.2,37846,1.75 97,1,2024-09-07 08:24:50:763,138747,138747,0,0,65558671330,686782997,136554,1674,519,367,392140,0 97,2,2024-09-07 08:24:50:607,101262,101262,0,0,4094166,0,3036 97,3,2024-09-07 08:24:50:571,1,79,2,1,165,1021,79,0 98,0,2024-09-07 08:24:51:702,19521,0.2,19455,0.4,39531,0.2,52753,1.50 98,1,2024-09-07 08:24:50:571,138767,138767,0,0,65004578901,679748289,137692,1030,45,382,391997,0 98,2,2024-09-07 08:24:50:781,100951,100951,0,0,4310493,0,3080 98,3,2024-09-07 08:24:50:704,1,79,7,1,155,1019,79,0 99,0,2024-09-07 08:24:51:465,22196,0.4,22159,0.5,44270,0.3,58787,1.75 99,1,2024-09-07 08:24:51:726,138994,138994,0,0,64321740960,673361714,137505,1046,443,381,391744,0 99,2,2024-09-07 08:24:51:417,97153,97153,0,0,4262150,0,1858 99,3,2024-09-07 08:24:50:586,1,79,3,0,129,738,79,0 100,0,2024-09-07 08:24:51:514,22301,1.8,22100,2.3,44127,4.8,59491,2.50 100,1,2024-09-07 08:24:50:549,137887,137887,0,0,64408701419,685560914,135139,2403,345,381,391989,0 100,2,2024-09-07 08:24:51:828,100228,100217,11,0,5497657,0,5417 100,3,2024-09-07 08:24:51:735,1,79,3,1,443,1932,79,0 101,0,2024-09-07 08:24:51:751,16013,3.5,15626,1.7,30795,0.8,42528,2.75 101,1,2024-09-07 08:24:50:551,138347,138347,0,0,65071459606,683884202,136869,1029,449,369,391709,0 101,2,2024-09-07 08:24:51:773,102341,102341,0,0,4854437,0,4644 101,3,2024-09-07 08:24:50:946,1,79,4,0,448,1111,79,0 102,0,2024-09-07 08:24:50:948,18194,0.4,18910,0.5,37951,0.3,49812,1.75 102,1,2024-09-07 08:24:51:146,138309,138309,0,0,64942838657,686836801,135836,2005,468,369,391831,0 102,2,2024-09-07 08:24:51:749,100813,100813,0,0,4027274,0,1945 102,3,2024-09-07 08:24:51:612,1,79,2,0,410,894,79,0 103,0,2024-09-07 08:24:51:605,23192,0.5,23111,0.7,43841,0.5,60082,1.75 103,1,2024-09-07 08:24:51:625,138338,138338,0,0,65035262514,682281131,137108,1086,144,381,391680,0 103,2,2024-09-07 08:24:50:582,97119,97119,0,0,4290467,0,2104 103,3,2024-09-07 08:24:50:764,1,79,1,1,486,1123,79,0 104,0,2024-09-07 08:24:51:096,23554,1.8,23535,1.4,46064,2.2,63159,2.50 104,1,2024-09-07 08:24:51:614,139162,139162,0,0,64574593368,681578663,137206,1630,326,366,391948,0 104,2,2024-09-07 08:24:51:681,98000,98000,0,0,5153926,0,3941 104,3,2024-09-07 08:24:51:423,1,79,11,1,1245,3921,79,0 105,0,2024-09-07 08:24:51:097,17694,1.1,17111,1.5,35573,1.6,48063,3.25 105,1,2024-09-07 08:24:50:554,138247,138247,0,0,64288263535,680857171,135295,2206,746,367,391797,0 105,2,2024-09-07 08:24:51:329,102190,102190,0,0,4937408,0,3314 105,3,2024-09-07 08:24:51:305,1,79,1,1,399,1654,79,0 106,0,2024-09-07 08:24:50:946,16372,0.3,16809,0.6,34199,0.2,44848,1.75 106,1,2024-09-07 08:24:51:752,138613,138613,0,0,64097225843,676440700,136203,2083,327,370,391767,0 106,2,2024-09-07 08:24:50:766,99770,99770,0,0,4941531,0,2795 106,3,2024-09-07 08:24:50:677,1,79,1,1,201,916,79,0 107,0,2024-09-07 08:24:51:113,22039,0.5,21902,0.7,43655,0.5,59091,1.75 107,1,2024-09-07 08:24:50:599,138005,138005,0,0,64423532136,681797493,136062,1753,190,382,392234,0 107,2,2024-09-07 08:24:51:291,98638,98637,1,0,4703453,0,5024 107,3,2024-09-07 08:24:51:771,1,79,2,0,353,1314,79,0 108,0,2024-09-07 08:24:51:846,22170,0.6,22418,0.7,44352,0.6,59214,1.75 108,1,2024-09-07 08:24:51:304,138491,138491,0,0,65298612997,684528776,137050,1307,134,371,391857,0 108,2,2024-09-07 08:24:51:772,96773,96773,0,0,4516443,0,2647 108,3,2024-09-07 08:24:51:344,1,79,2,0,73,1046,79,0 109,0,2024-09-07 08:24:51:778,19515,0.8,19661,0.9,38772,0.9,52130,2.00 109,1,2024-09-07 08:24:50:590,138020,138020,0,0,65553613982,691739457,136350,1287,383,383,391812,0 109,2,2024-09-07 08:24:50:926,100942,100942,0,0,4947989,0,3617 109,3,2024-09-07 08:24:51:140,1,79,1,1,249,1367,79,0 110,0,2024-09-07 08:24:51:783,14914,0.2,14536,0.4,30263,0.2,39396,1.50 110,1,2024-09-07 08:24:51:654,138899,138899,0,0,64905195545,676568615,137579,1034,286,370,391588,0 110,2,2024-09-07 08:24:51:319,101563,101563,0,0,4186900,0,2915 110,3,2024-09-07 08:24:50:691,1,79,3,0,183,743,79,0 111,0,2024-09-07 08:24:51:493,20224,0.2,19953,0.4,40011,0.1,53964,1.50 111,1,2024-09-07 08:24:51:000,139283,139283,0,0,65995249405,683704747,138637,633,13,382,391690,0 111,2,2024-09-07 08:24:51:145,100106,100106,0,0,4412494,0,2763 111,3,2024-09-07 08:24:50:923,1,79,12,0,300,1421,79,0 112,0,2024-09-07 08:24:50:935,21910,0.4,21890,0.6,43489,0.4,57974,1.75 112,1,2024-09-07 08:24:50:827,138731,138731,0,0,65689250689,686121874,137218,1167,346,381,391580,0 112,2,2024-09-07 08:24:51:152,96539,96538,1,0,4574286,0,5036 112,3,2024-09-07 08:24:50:598,1,79,17,0,282,878,79,0 113,0,2024-09-07 08:24:50:885,20996,0.6,20807,0.7,42361,0.5,56000,1.75 113,1,2024-09-07 08:24:51:685,139063,139063,0,0,65191581310,679046122,137172,1507,384,368,391661,0 113,2,2024-09-07 08:24:51:303,102001,102001,0,0,3793804,0,3021 113,3,2024-09-07 08:24:50:685,1,79,1,0,288,1157,79,0 114,0,2024-09-07 08:24:50:881,15215,3.4,15391,1.7,30244,0.8,40636,2.00 114,1,2024-09-07 08:24:50:721,138809,138809,0,0,65261385060,687142780,136200,1728,881,381,391531,0 114,2,2024-09-07 08:24:50:872,101896,101896,0,0,4388209,0,3925 114,3,2024-09-07 08:24:51:278,1,79,0,0,159,910,79,0 115,0,2024-09-07 08:24:50:561,18924,0.2,19127,0.3,38260,0.1,51192,1.50 115,1,2024-09-07 08:24:50:576,138788,138788,0,0,65762684487,689439545,136414,1887,487,383,391528,0 115,2,2024-09-07 08:24:51:138,100789,100789,0,0,3772245,0,2152 115,3,2024-09-07 08:24:51:007,1,79,0,0,159,486,79,0 116,0,2024-09-07 08:24:51:733,22400,0.9,21962,0.9,44510,1.1,59206,2.00 116,1,2024-09-07 08:24:50:809,138290,138290,0,0,65575606716,694855053,136405,1014,871,382,391605,0 116,2,2024-09-07 08:24:51:754,96359,96359,0,0,4888689,0,3529 116,3,2024-09-07 08:24:50:924,1,79,1,1,252,1307,79,0 117,0,2024-09-07 08:24:51:012,22878,2.2,22923,1.4,45671,3.4,61259,2.25 117,1,2024-09-07 08:24:51:585,139059,139059,0,0,64778013384,683731287,136740,2026,293,370,392033,0 117,2,2024-09-07 08:24:51:128,100464,100464,0,0,4335636,0,3700 117,3,2024-09-07 08:24:51:060,1,79,7,0,490,1825,79,0 118,0,2024-09-07 08:24:51:789,16772,0.7,17141,0.8,35113,0.7,45908,2.00 118,1,2024-09-07 08:24:50:587,138945,138945,0,0,64427843318,675971724,137702,1163,80,368,391736,0 118,2,2024-09-07 08:24:51:593,102360,102360,0,0,4753650,0,2781 118,3,2024-09-07 08:24:51:765,1,79,1,1,235,1248,79,0 119,0,2024-09-07 08:24:51:346,17590,0.3,17705,0.5,36136,0.2,47806,1.75 119,1,2024-09-07 08:24:50:551,138983,138983,0,0,65394240232,685764891,137365,1469,149,370,391641,0 119,2,2024-09-07 08:24:51:270,99863,99863,0,0,4483859,0,2532 119,3,2024-09-07 08:24:51:327,1,79,2,0,443,2159,79,0 120,0,2024-09-07 08:24:51:614,22372,0.6,22470,0.8,44683,0.6,59788,2.00 120,1,2024-09-07 08:24:50:863,138512,138512,0,0,64869187661,682812516,136983,1513,16,368,391702,0 120,2,2024-09-07 08:24:50:783,97502,97501,1,0,5641312,0,5281 120,3,2024-09-07 08:24:51:296,1,79,1,0,241,1387,79,0 121,0,2024-09-07 08:24:51:748,22867,2.3,23067,1.5,46165,3.6,62165,2.50 121,1,2024-09-07 08:24:51:664,138581,138581,0,0,65133886538,684324269,136702,1609,270,368,391840,0 121,2,2024-09-07 08:24:51:143,97125,97125,0,0,5941313,0,4127 121,3,2024-09-07 08:24:50:734,1,79,2,0,113,878,79,0 122,0,2024-09-07 08:24:51:770,18743,2.2,18118,1.9,37770,2.6,50510,2.50 122,1,2024-09-07 08:24:50:862,137594,137594,0,0,64287651920,681835439,134582,2488,524,368,392130,0 122,2,2024-09-07 08:24:51:322,102399,102399,0,0,6015670,0,3364 122,3,2024-09-07 08:24:50:606,1,79,1,1,298,1802,79,0 123,0,2024-09-07 08:24:50:972,15890,0.3,15492,0.5,32309,0.2,42733,1.75 123,1,2024-09-07 08:24:50:562,138824,138824,0,0,64983388538,690994630,135357,2899,568,369,391823,0 123,2,2024-09-07 08:24:51:030,100258,100257,1,0,4927246,0,5215 123,3,2024-09-07 08:24:51:133,1,79,1,1,160,1015,79,0 124,0,2024-09-07 08:24:50:954,21101,0.3,21133,0.4,39920,0.2,54800,1.50 124,1,2024-09-07 08:24:51:028,138685,138685,0,0,64340437328,668689601,137880,660,145,367,392178,0 124,2,2024-09-07 08:24:51:016,99252,99252,0,0,3908987,0,2477 124,3,2024-09-07 08:24:50:765,1,79,2,1,490,1653,79,0 125,0,2024-09-07 08:24:51:434,21704,0.6,21839,0.7,43495,0.7,57857,1.75 125,1,2024-09-07 08:24:50:862,138639,138639,0,0,65082212009,683311407,136887,1480,272,384,391702,0 125,2,2024-09-07 08:24:51:137,96657,96657,0,0,4231330,0,2180 125,3,2024-09-07 08:24:51:137,1,79,5,1,93,1108,79,0 126,0,2024-09-07 08:24:51:432,20684,1.2,21226,1.0,40660,1.8,54938,2.00 126,1,2024-09-07 08:24:50:585,139292,139292,0,0,65614904281,682243614,138241,996,55,365,391987,0 126,2,2024-09-07 08:24:50:615,102026,102026,0,0,4643748,0,3186 126,3,2024-09-07 08:24:50:920,1,79,1,0,122,1090,79,0 127,0,2024-09-07 08:24:51:602,14269,0.2,14220,0.4,28383,0.2,37839,1.50 127,1,2024-09-07 08:24:50:570,138823,138823,0,0,64908039396,674559381,137685,1115,23,365,391614,0 127,2,2024-09-07 08:24:50:638,101556,101556,0,0,3869478,0,1803 127,3,2024-09-07 08:24:51:273,1,79,1,0,99,636,79,0 128,0,2024-09-07 08:24:51:565,19715,0.2,19739,0.4,38999,0.2,52811,1.50 128,1,2024-09-07 08:24:51:612,138931,138931,0,0,65983976064,684635603,138209,652,70,367,391680,0 128,2,2024-09-07 08:24:51:389,99654,99654,0,0,4511370,0,2107 128,3,2024-09-07 08:24:50:768,1,79,2,1,112,900,79,0 129,0,2024-09-07 08:24:51:005,22283,0.4,22217,0.6,44340,0.4,58715,1.75 129,1,2024-09-07 08:24:50:567,138624,138624,0,0,64831335527,681255402,136796,1553,275,379,391835,0 129,2,2024-09-07 08:24:50:687,95891,95891,0,0,3871311,0,2446 129,3,2024-09-07 08:24:50:688,1,79,98,1,173,1262,79,0 130,0,2024-09-07 08:24:51:758,22512,1.4,22384,1.1,44873,2.0,60022,2.25 130,1,2024-09-07 08:24:50:591,138776,138776,0,0,65198508781,681983820,137462,1281,33,381,391609,0 130,2,2024-09-07 08:24:51:142,100878,100878,0,0,4591120,0,4067 130,3,2024-09-07 08:24:51:291,1,79,1,1,207,646,79,0 131,0,2024-09-07 08:24:51:962,15964,0.9,15928,0.9,32152,1.2,42325,1.75 131,1,2024-09-07 08:24:51:852,139489,139489,0,0,64695325874,675365679,138468,835,186,384,391865,0 131,2,2024-09-07 08:24:50:574,102575,102575,0,0,3835639,0,2415 131,3,2024-09-07 08:24:51:697,1,79,1,0,392,1134,79,0 132,0,2024-09-07 08:24:51:434,18579,0.3,18883,0.5,37452,0.2,49973,1.75 132,1,2024-09-07 08:24:50:587,138221,138221,0,0,64555514632,683658747,135631,1884,706,381,391719,0 132,2,2024-09-07 08:24:50:703,100210,100210,0,0,6124896,0,4606 132,3,2024-09-07 08:24:51:704,1,79,9,1,356,1048,79,0 133,0,2024-09-07 08:24:51:564,22062,0.5,22402,0.7,46141,0.5,59405,1.75 133,1,2024-09-07 08:24:50:584,137489,137489,0,0,64231139192,680434053,135276,2118,95,383,391755,0 133,2,2024-09-07 08:24:51:089,97552,97552,0,0,5264708,0,2444 133,3,2024-09-07 08:24:51:304,1,79,6,1,187,661,79,0 134,0,2024-09-07 08:24:50:951,23635,0.9,23648,1.0,47412,1.0,63148,2.25 134,1,2024-09-07 08:24:50:617,138795,138795,0,0,64367012270,679593424,136154,1660,981,366,391718,0 134,2,2024-09-07 08:24:51:773,98734,98734,0,0,3936196,0,2026 134,3,2024-09-07 08:24:50:751,1,79,1,1,739,1978,79,0 135,0,2024-09-07 08:24:51:103,17405,1.6,17395,1.5,36745,1.7,47926,2.25 135,1,2024-09-07 08:24:51:596,138218,138218,0,0,65057259544,684709681,136271,1620,327,380,391703,0 135,2,2024-09-07 08:24:50:691,102733,102733,0,0,4919096,0,3981 135,3,2024-09-07 08:24:51:004,1,79,1,0,68,496,79,0 136,0,2024-09-07 08:24:51:686,16908,0.4,16966,0.6,33801,0.3,45138,2.00 136,1,2024-09-07 08:24:51:446,138437,138437,0,0,64628730248,680165930,136533,1757,147,384,391621,0 136,2,2024-09-07 08:24:51:152,101445,101445,0,0,4451829,0,2379 136,3,2024-09-07 08:24:51:118,1,79,2,1,108,879,79,0 137,0,2024-09-07 08:24:50:952,22520,0.7,21818,0.8,43216,0.7,59145,2.00 137,1,2024-09-07 08:24:50:577,138431,138431,0,0,65273143899,685377304,136453,1864,114,367,391608,0 137,2,2024-09-07 08:24:51:712,98238,98238,0,0,5472661,0,2632 137,3,2024-09-07 08:24:50:770,1,79,14,1,227,1125,79,0 138,0,2024-09-07 08:24:51:811,21545,2.6,21682,1.6,44090,3.8,59125,3.00 138,1,2024-09-07 08:24:51:694,138304,138304,0,0,64957707002,684090573,135973,2067,264,370,391954,0 138,2,2024-09-07 08:24:50:594,97528,97528,0,0,4686340,0,3263 138,3,2024-09-07 08:24:50:612,1,79,1,0,1160,1943,79,0 139,0,2024-09-07 08:24:51:393,18890,4.5,18916,2.4,38190,5.5,51446,3.75 139,1,2024-09-07 08:24:50:573,137849,137849,0,0,64027347696,683855334,134959,2161,729,381,391892,0 139,2,2024-09-07 08:24:50:692,101410,101410,0,0,5991170,0,3097 139,3,2024-09-07 08:24:51:662,1,79,2,0,244,1352,79,0 140,0,2024-09-07 08:24:51:619,14798,0.3,14781,0.5,29761,0.2,39450,1.50 140,1,2024-09-07 08:24:51:536,139355,139355,0,0,65339802518,676421973,138427,731,197,365,391606,0 140,2,2024-09-07 08:24:50:687,101862,101862,0,0,4663792,0,3388 140,3,2024-09-07 08:24:50:767,1,79,1,0,25,583,79,0 141,0,2024-09-07 08:24:51:706,19859,0.2,20519,0.4,39760,0.1,53893,1.50 141,1,2024-09-07 08:24:50:862,138984,138984,0,0,65353851545,681493772,137561,1092,331,382,391538,0 141,2,2024-09-07 08:24:51:687,99861,99861,0,0,4188332,0,2342 141,3,2024-09-07 08:24:51:056,1,79,1,0,147,588,79,0 142,0,2024-09-07 08:24:51:330,22110,0.4,21802,0.6,43385,0.4,58029,1.75 142,1,2024-09-07 08:24:50:590,139186,139186,0,0,65027978811,677866970,138628,543,15,384,391649,0 142,2,2024-09-07 08:24:51:339,95685,95685,0,0,4460754,0,2293 142,3,2024-09-07 08:24:51:750,1,79,3,0,484,1355,79,0 143,0,2024-09-07 08:24:51:382,21041,1.1,21089,0.9,42445,1.5,55844,2.00 143,1,2024-09-07 08:24:50:571,138909,138909,0,0,64749081880,672755336,137929,952,28,367,391619,0 143,2,2024-09-07 08:24:50:777,101501,101501,0,0,5007571,0,2669 143,3,2024-09-07 08:24:51:151,1,79,20,0,236,1179,79,0 144,0,2024-09-07 08:24:51:534,14475,1.2,14961,5.8,30222,0.9,40308,2.25 144,1,2024-09-07 08:24:50:569,138510,138510,0,0,64739306507,681077880,136937,1381,192,381,391638,0 144,2,2024-09-07 08:24:51:766,102431,102431,0,0,4191816,0,3473 144,3,2024-09-07 08:24:51:742,1,79,5,1,169,1244,79,0 145,0,2024-09-07 08:24:51:382,18401,0.4,18376,0.6,38934,0.3,51178,1.75 145,1,2024-09-07 08:24:50:558,137937,137937,0,0,64512151018,680071100,136008,1664,265,383,391615,0 145,2,2024-09-07 08:24:51:436,100543,100543,0,0,5079220,0,3903 145,3,2024-09-07 08:24:50:897,1,79,2,0,151,1009,79,0 146,0,2024-09-07 08:24:51:741,22177,0.7,22179,0.9,44568,0.8,58762,2.25 146,1,2024-09-07 08:24:51:593,138994,138994,0,0,64707304640,681644891,136698,1995,301,368,391600,0 146,2,2024-09-07 08:24:51:736,95705,95705,0,0,4694109,0,2379 146,3,2024-09-07 08:24:51:276,1,79,38,0,1520,3142,79,0 147,0,2024-09-07 08:24:51:747,22757,2.7,22504,1.6,44840,4.0,61160,3.00 147,1,2024-09-07 08:24:51:376,138903,138903,0,0,65218194955,680014419,137184,1364,355,368,391791,0 147,2,2024-09-07 08:24:51:026,100519,100519,0,0,4707498,0,2789 147,3,2024-09-07 08:24:50:924,1,79,1,1,141,829,79,0 0,0,2024-09-07 08:25:01:760,22008,0.6,22008,0.8,46477,0.7,59991,1.75 0,1,2024-09-07 08:25:00:828,140619,140619,0,0,65597609062,689276806,139393,1099,127,372,391673,0 0,2,2024-09-07 08:25:01:069,98465,98465,0,0,4618889,0,4480 0,3,2024-09-07 08:25:00:976,1,80,5,0,247,1243,80,0 1,0,2024-09-07 08:25:01:788,23323,2.6,23128,1.8,46743,4.1,62837,2.75 1,1,2024-09-07 08:25:00:558,140018,140018,0,0,65136078255,684905070,138322,1167,529,372,391857,0 1,2,2024-09-07 08:25:00:638,98903,98903,0,0,4284841,0,3267 1,3,2024-09-07 08:25:01:307,1,80,32,0,167,799,80,0 2,0,2024-09-07 08:25:01:580,18788,1.2,18930,1.3,37548,2.7,50376,2.25 2,1,2024-09-07 08:25:00:869,140802,140802,0,0,66154635470,689517010,139721,877,204,381,391558,0 2,2,2024-09-07 08:25:01:266,103143,103143,0,0,4504953,0,3304 2,3,2024-09-07 08:25:00:693,1,80,46,0,214,860,80,0 3,0,2024-09-07 08:25:01:765,16415,0.3,16452,0.5,32954,0.2,44002,1.75 3,1,2024-09-07 08:25:01:618,139841,139841,0,0,65323545546,683993914,137862,1593,386,382,391516,0 3,2,2024-09-07 08:25:01:159,101907,101884,23,0,4902750,0,5851 3,3,2024-09-07 08:25:01:757,1,80,1,0,103,591,80,0 4,0,2024-09-07 08:25:01:787,20129,0.3,20695,0.4,41981,0.2,55319,1.50 4,1,2024-09-07 08:25:00:604,140161,140161,0,0,65320700717,690119162,138264,1479,418,371,391846,0 4,2,2024-09-07 08:25:01:023,100169,100169,0,0,5470767,0,4528 4,3,2024-09-07 08:25:01:042,1,80,1,1,287,1360,80,0 5,0,2024-09-07 08:25:01:407,22053,0.8,21855,0.8,44121,0.9,58676,2.00 5,1,2024-09-07 08:25:00:778,140339,140339,0,0,66040582173,696447019,138127,1770,442,368,392005,0 5,2,2024-09-07 08:25:01:839,97995,97995,0,0,4383412,0,2259 5,3,2024-09-07 08:25:01:757,1,80,1,0,238,1566,80,0 6,0,2024-09-07 08:25:00:926,20847,1.1,20565,1.2,41129,1.4,55164,2.50 6,1,2024-09-07 08:25:00:751,140954,140954,0,0,65980456746,688206052,139831,1054,69,381,391603,0 6,2,2024-09-07 08:25:01:124,103549,103549,0,0,4671173,0,2411 6,3,2024-09-07 08:25:01:284,1,80,1,0,340,1457,80,0 7,0,2024-09-07 08:25:01:546,14312,0.3,14351,0.4,28788,0.2,38702,1.75 7,1,2024-09-07 08:25:00:856,140641,140641,0,0,66116695240,690631902,139661,916,64,383,391664,0 7,2,2024-09-07 08:25:00:771,102305,102305,0,0,4392478,0,2981 7,3,2024-09-07 08:25:00:850,1,80,17,0,83,683,80,0 8,0,2024-09-07 08:25:01:419,20091,0.3,20168,0.4,39738,0.2,53879,1.50 8,1,2024-09-07 08:25:01:032,140246,140246,0,0,66015706753,703369968,136760,2233,1253,368,391724,0 8,2,2024-09-07 08:25:00:792,100153,100153,0,0,5577392,0,2986 8,3,2024-09-07 08:25:00:590,1,80,1,0,229,1114,80,0 9,0,2024-09-07 08:25:01:179,22238,0.4,21632,0.6,45280,0.4,58888,1.75 9,1,2024-09-07 08:25:00:557,140798,140798,0,0,66637341436,704420048,138353,1877,568,370,391738,0 9,2,2024-09-07 08:25:01:100,97451,97451,0,0,5011013,0,3360 9,3,2024-09-07 08:25:01:759,1,80,2,0,149,1060,80,0 10,0,2024-09-07 08:25:01:607,22445,0.7,22478,0.8,44914,0.7,59790,2.00 10,1,2024-09-07 08:25:00:584,139848,139848,0,0,65689437344,695952027,136510,2816,522,381,391741,0 10,2,2024-09-07 08:25:00:762,101774,101774,0,0,5185530,0,2940 10,3,2024-09-07 08:25:00:871,1,80,1,0,136,613,80,0 11,0,2024-09-07 08:25:01:020,15594,1.0,15212,1.2,31881,3.1,42497,2.25 11,1,2024-09-07 08:25:00:578,140125,140125,0,0,66150137022,698006127,137484,1826,815,384,391537,0 11,2,2024-09-07 08:25:01:123,103516,103516,0,0,4912214,0,2635 11,3,2024-09-07 08:25:01:298,1,80,2,0,720,2384,80,0 12,0,2024-09-07 08:25:00:975,19231,0.3,19151,0.5,38278,0.2,50799,1.50 12,1,2024-09-07 08:25:00:944,139802,139802,0,0,65041145841,683278090,138142,1560,100,371,391790,0 12,2,2024-09-07 08:25:01:562,102599,102599,0,0,4649978,0,2254 12,3,2024-09-07 08:25:01:061,1,80,5,0,358,1487,80,0 13,0,2024-09-07 08:25:01:356,22655,0.4,22797,0.6,45417,0.4,60136,1.75 13,1,2024-09-07 08:25:01:539,140365,140365,0,0,65682685499,692543519,138769,1229,367,384,391717,0 13,2,2024-09-07 08:25:00:598,98181,98181,0,0,4172563,0,3287 13,3,2024-09-07 08:25:01:778,1,80,4,1,416,1697,80,0 14,0,2024-09-07 08:25:00:582,23877,0.8,24024,0.9,47583,0.9,63513,2.00 14,1,2024-09-07 08:25:01:565,141271,141271,0,0,65771393353,682489269,140388,852,31,365,391546,0 14,2,2024-09-07 08:25:00:764,99957,99957,0,0,4839284,0,2793 14,3,2024-09-07 08:25:01:135,1,80,1,0,906,1301,80,0 15,0,2024-09-07 08:25:01:569,18269,1.1,18009,1.3,36210,1.4,48863,2.50 15,1,2024-09-07 08:25:01:612,139928,139928,0,0,65816645294,685839587,139105,788,35,381,391536,0 15,2,2024-09-07 08:25:01:000,104630,104630,0,0,3896738,0,3043 15,3,2024-09-07 08:25:01:408,1,80,1,0,538,2379,80,0 16,0,2024-09-07 08:25:00:973,17066,0.3,17222,0.5,34064,0.2,45559,2.00 16,1,2024-09-07 08:25:00:579,140162,140162,0,0,65406469961,685594001,139024,1110,28,372,391756,0 16,2,2024-09-07 08:25:01:436,101319,101319,0,0,5063558,0,4719 16,3,2024-09-07 08:25:01:168,1,80,48,1,231,1425,80,0 17,0,2024-09-07 08:25:01:824,23092,0.5,22583,0.7,44323,0.5,60466,2.00 17,1,2024-09-07 08:25:00:571,140264,140264,0,0,65574150684,692436601,138381,1360,523,369,391688,0 17,2,2024-09-07 08:25:01:698,100680,100680,0,0,3915098,0,2857 17,3,2024-09-07 08:25:00:580,1,80,8,0,268,1585,80,0 18,0,2024-09-07 08:25:00:965,21851,1.4,22200,1.2,44531,1.7,59260,2.50 18,1,2024-09-07 08:25:01:637,140495,140495,0,0,65570317721,682938176,139243,1034,218,368,391555,0 18,2,2024-09-07 08:25:01:759,98361,98361,0,0,4759123,0,3541 18,3,2024-09-07 08:25:00:896,1,80,50,0,163,1018,80,0 19,0,2024-09-07 08:25:01:580,19235,1.5,19353,1.4,38460,1.7,51022,4.25 19,1,2024-09-07 08:25:00:567,140452,140452,0,0,66036678773,690670923,138583,1705,164,367,391777,0 19,2,2024-09-07 08:25:01:759,104133,104133,0,0,4401912,0,3988 19,3,2024-09-07 08:25:01:136,1,80,1,0,524,1197,80,0 20,0,2024-09-07 08:25:01:364,15152,0.3,15111,0.5,30083,0.2,39847,1.75 20,1,2024-09-07 08:25:00:578,140463,140463,0,0,66116402348,694398928,138762,1539,162,370,391598,0 20,2,2024-09-07 08:25:00:938,102024,102024,0,0,4358648,0,2446 20,3,2024-09-07 08:25:00:589,1,80,1,0,99,935,80,0 21,0,2024-09-07 08:25:01:199,20703,0.3,20642,0.5,40966,0.3,54701,1.75 21,1,2024-09-07 08:25:01:551,140082,140082,0,0,65447240898,691731903,137742,1845,495,368,391962,0 21,2,2024-09-07 08:25:01:073,101097,101097,0,0,4586785,0,3747 21,3,2024-09-07 08:25:01:408,1,80,9,0,93,1106,80,0 22,0,2024-09-07 08:25:01:730,21629,1.9,21575,1.4,43081,3.3,57625,3.00 22,1,2024-09-07 08:25:01:031,140165,140165,0,0,65598673829,694408424,137024,2415,726,382,391667,0 22,2,2024-09-07 08:25:00:760,97565,97565,0,0,3977180,0,3134 22,3,2024-09-07 08:25:01:065,1,80,1,0,228,657,80,0 23,0,2024-09-07 08:25:01:384,20983,1.2,21104,1.0,42240,1.5,56084,2.50 23,1,2024-09-07 08:25:01:011,140654,140654,0,0,65836033291,684515298,139304,1096,254,367,391549,0 23,2,2024-09-07 08:25:01:100,102618,102618,0,0,4262929,0,2078 23,3,2024-09-07 08:25:01:757,1,80,1,0,645,1345,80,0 24,0,2024-09-07 08:25:00:903,15506,0.6,15487,0.7,30975,0.6,40888,1.75 24,1,2024-09-07 08:25:00:583,140427,140427,0,0,65322626746,681497776,139610,810,7,369,391640,0 24,2,2024-09-07 08:25:01:070,102533,102533,0,0,5660426,0,2942 24,3,2024-09-07 08:25:01:686,1,80,1,1,234,1145,80,0 25,0,2024-09-07 08:25:01:365,20114,0.3,19620,0.5,38643,0.2,52807,1.50 25,1,2024-09-07 08:25:00:565,140035,140035,0,0,65088592843,686943383,137879,1840,316,371,391788,0 25,2,2024-09-07 08:25:01:612,101242,101242,0,0,5115245,0,3284 25,3,2024-09-07 08:25:01:001,1,80,12,1,158,767,80,0 26,0,2024-09-07 08:25:01:743,22252,0.5,21690,0.6,45358,0.4,59441,1.75 26,1,2024-09-07 08:25:01:544,140617,140617,0,0,65032343471,689631792,137154,2628,835,381,391564,0 26,2,2024-09-07 08:25:00:873,97640,97640,0,0,5003772,0,2809 26,3,2024-09-07 08:25:01:712,1,80,2,0,796,1287,80,0 27,0,2024-09-07 08:25:01:740,23064,0.9,23295,0.9,46105,1.4,61545,2.00 27,1,2024-09-07 08:25:01:678,141180,141180,0,0,66424439845,691067712,140039,846,295,381,391539,0 27,2,2024-09-07 08:25:00:871,100208,100208,0,0,6047889,0,3409 27,3,2024-09-07 08:25:01:025,1,80,2,0,564,1242,80,0 28,0,2024-09-07 08:25:01:441,17277,0.6,17574,0.8,34930,0.8,46526,2.00 28,1,2024-09-07 08:25:00:800,140771,140771,0,0,66229012493,691139306,139707,864,200,383,391646,0 28,2,2024-09-07 08:25:01:773,103696,103696,0,0,4129787,0,2609 28,3,2024-09-07 08:25:01:783,1,80,0,0,502,1267,80,0 29,0,2024-09-07 08:25:01:361,18655,0.3,18169,0.5,35958,0.2,49241,1.75 29,1,2024-09-07 08:25:01:565,141661,141661,0,0,65801405511,681040489,140870,619,172,369,391621,0 29,2,2024-09-07 08:25:00:870,102510,102510,0,0,3633712,0,2026 29,3,2024-09-07 08:25:00:970,1,80,10,0,105,690,80,0 30,0,2024-09-07 08:25:01:472,22492,1.1,21977,1.0,45980,1.4,59966,2.50 30,1,2024-09-07 08:25:00:572,140895,140895,0,0,66116067150,689086475,139918,912,65,382,391534,0 30,2,2024-09-07 08:25:01:288,99390,99390,0,0,3546251,0,2534 30,3,2024-09-07 08:25:00:582,1,80,0,0,195,646,80,0 31,0,2024-09-07 08:25:01:777,23170,1.3,23304,1.1,46902,1.6,62528,2.50 31,1,2024-09-07 08:25:00:564,141337,141337,0,0,66353481369,680299896,141086,249,2,356,391553,0 31,2,2024-09-07 08:25:01:288,99568,99568,0,0,5082354,0,3525 31,3,2024-09-07 08:25:01:707,1,80,1,0,129,583,80,0 32,0,2024-09-07 08:25:01:437,19006,1.3,19134,1.1,38371,2.6,50919,1.75 32,1,2024-09-07 08:25:00:813,140900,140900,0,0,66537389559,692256939,139896,975,29,382,391595,0 32,2,2024-09-07 08:25:00:945,103896,103896,0,0,4163995,0,3155 32,3,2024-09-07 08:25:01:026,1,80,10,0,110,664,80,0 33,0,2024-09-07 08:25:01:502,16564,0.2,16289,0.4,33112,0.1,44021,1.50 33,1,2024-09-07 08:25:00:575,141219,141219,0,0,66254634912,689425921,139558,1538,123,369,391526,0 33,2,2024-09-07 08:25:00:759,102094,102061,33,0,5658846,0,7012 33,3,2024-09-07 08:25:00:905,1,80,3,0,129,530,80,0 34,0,2024-09-07 08:25:00:962,20756,0.2,21430,0.4,41273,0.1,55319,1.50 34,1,2024-09-07 08:25:01:043,141287,141287,0,0,66218837445,682896691,140691,592,4,367,391517,0 34,2,2024-09-07 08:25:00:776,99992,99992,0,0,4659655,0,3255 34,3,2024-09-07 08:25:01:688,1,80,2,0,148,702,80,0 35,0,2024-09-07 08:25:00:892,21741,0.8,21999,0.8,43879,1.0,58679,2.00 35,1,2024-09-07 08:25:01:068,140870,140870,0,0,65862281115,685352369,139402,1106,362,384,391587,0 35,2,2024-09-07 08:25:01:585,97838,97838,0,0,4114660,0,2542 35,3,2024-09-07 08:25:00:908,1,80,1,0,219,1081,80,0 36,0,2024-09-07 08:25:01:539,20756,1.7,20679,1.3,41739,2.1,55094,2.50 36,1,2024-09-07 08:25:00:584,140325,140325,0,0,65235865231,687101689,137313,2081,931,366,391535,0 36,2,2024-09-07 08:25:01:763,102905,102905,0,0,5251655,0,3303 36,3,2024-09-07 08:25:00:869,1,80,1,0,378,1136,80,0 37,0,2024-09-07 08:25:01:381,14498,0.3,14360,0.5,28906,0.2,38781,1.75 37,1,2024-09-07 08:25:00:569,140152,140145,0,7,65298737476,691366825,137303,1323,1519,365,391518,0 37,2,2024-09-07 08:25:01:159,102574,102574,0,0,3858482,0,2333 37,3,2024-09-07 08:25:01:772,1,80,1,0,724,1832,80,0 38,0,2024-09-07 08:25:01:459,20014,0.3,19439,0.5,40637,0.2,53769,1.75 38,1,2024-09-07 08:25:01:605,140989,140989,0,0,66340490539,695163115,138834,1708,447,368,391821,0 38,2,2024-09-07 08:25:00:760,101734,101734,0,0,4627004,0,3245 38,3,2024-09-07 08:25:00:999,1,80,1,0,603,1423,80,0 39,0,2024-09-07 08:25:01:776,22845,1.0,22415,0.9,43485,1.2,59049,2.00 39,1,2024-09-07 08:25:00:719,140589,140589,0,0,65366195395,686312294,137957,1994,638,366,391524,0 39,2,2024-09-07 08:25:01:428,97331,97331,0,0,4223402,0,2381 39,3,2024-09-07 08:25:00:713,1,80,9,0,276,1234,80,0 40,0,2024-09-07 08:25:01:496,21987,1.7,22420,2.0,44356,3.5,59306,3.50 40,1,2024-09-07 08:25:00:576,140152,140152,0,0,65070019128,687272973,136898,2501,753,369,391591,0 40,2,2024-09-07 08:25:01:312,101910,101909,1,0,5541873,0,5137 40,3,2024-09-07 08:25:01:149,1,80,1,0,110,816,80,0 41,0,2024-09-07 08:25:01:040,15428,0.6,15760,4.0,30201,0.6,41343,2.50 41,1,2024-09-07 08:25:00:768,140218,140218,0,0,65589447866,689925148,137795,2110,313,370,391742,0 41,2,2024-09-07 08:25:00:760,102990,102990,0,0,5742640,0,3356 41,3,2024-09-07 08:25:01:685,1,80,8,0,168,866,80,0 42,0,2024-09-07 08:25:01:481,18842,0.4,19210,0.7,38138,0.3,50770,2.00 42,1,2024-09-07 08:25:01:442,139746,139746,0,0,65263413949,690631674,136753,2163,830,381,391511,0 42,2,2024-09-07 08:25:01:134,101313,101313,0,0,5021565,0,3568 42,3,2024-09-07 08:25:01:009,1,80,0,0,100,681,80,0 43,0,2024-09-07 08:25:00:937,22559,1.1,22002,1.0,45849,1.3,60234,2.00 43,1,2024-09-07 08:25:00:584,140080,140080,0,0,65872466759,691004184,137813,1515,752,366,391604,0 43,2,2024-09-07 08:25:01:740,98473,98473,0,0,5010491,0,3812 43,3,2024-09-07 08:25:01:754,1,80,1,0,325,1727,80,0 44,0,2024-09-07 08:25:00:880,23903,0.7,23862,1.0,47791,0.8,64035,2.00 44,1,2024-09-07 08:25:00:572,140630,140630,0,0,65598147161,679052256,139610,913,107,356,391523,0 44,2,2024-09-07 08:25:01:270,100315,100315,0,0,3760949,0,1865 44,3,2024-09-07 08:25:01:096,1,80,1,0,817,1345,80,0 45,0,2024-09-07 08:25:01:768,17938,1.7,17518,1.4,36754,3.8,49202,2.00 45,1,2024-09-07 08:25:01:009,140814,140814,0,0,66346311678,688571490,140345,468,1,382,391917,0 45,2,2024-09-07 08:25:01:278,104199,104199,0,0,4433774,0,2628 45,3,2024-09-07 08:25:00:946,1,80,0,0,226,853,80,0 46,0,2024-09-07 08:25:01:005,17250,0.3,17245,0.5,34596,0.2,45720,1.75 46,1,2024-09-07 08:25:00:576,141058,141058,0,0,66788638139,691126334,140206,814,38,368,391514,0 46,2,2024-09-07 08:25:00:593,102274,102274,0,0,4299781,0,2920 46,3,2024-09-07 08:25:01:135,1,80,10,0,315,1091,80,0 47,0,2024-09-07 08:25:01:127,22379,0.3,22652,0.5,45311,0.3,60314,1.75 47,1,2024-09-07 08:25:00:577,141269,141269,0,0,65724691778,678761369,140761,504,4,366,391605,0 47,2,2024-09-07 08:25:00:919,100604,100604,0,0,4266712,0,2558 47,3,2024-09-07 08:25:01:124,1,80,3,0,529,1145,80,0 48,0,2024-09-07 08:25:01:507,22694,0.4,22508,0.5,44706,0.3,60114,1.75 48,1,2024-09-07 08:25:01:034,140942,140942,0,0,65833249309,685693733,139741,1195,6,384,391637,0 48,2,2024-09-07 08:25:00:699,98820,98820,0,0,3409885,0,2083 48,3,2024-09-07 08:25:00:753,1,80,1,0,39,677,80,0 49,0,2024-09-07 08:25:01:727,20019,1.1,19575,1.0,38446,1.6,52412,2.00 49,1,2024-09-07 08:25:01:026,140120,140120,0,0,65672290779,687971523,138381,1029,710,382,391583,0 49,2,2024-09-07 08:25:01:798,103722,103722,0,0,4597977,0,3900 49,3,2024-09-07 08:25:01:426,1,80,1,0,274,845,80,0 50,0,2024-09-07 08:25:01:525,15182,0.2,14907,0.4,30306,0.1,40302,1.50 50,1,2024-09-07 08:25:01:010,141333,141333,0,0,66698016658,693406960,139663,1373,297,368,391530,0 50,2,2024-09-07 08:25:01:067,102462,102462,0,0,3838481,0,2253 50,3,2024-09-07 08:25:01:294,1,80,1,0,335,888,80,0 51,0,2024-09-07 08:25:01:698,21021,0.2,20540,0.3,40350,0.1,55044,1.50 51,1,2024-09-07 08:25:01:683,140757,140757,0,0,66800436460,695615488,138956,1017,784,367,391637,0 51,2,2024-09-07 08:25:01:322,100715,100715,0,0,3270878,0,2448 51,3,2024-09-07 08:25:01:029,1,80,1,0,162,542,80,0 52,0,2024-09-07 08:25:01:439,21887,0.8,21994,0.9,44052,0.9,58155,2.25 52,1,2024-09-07 08:25:00:576,140170,140170,0,0,65350386438,687649641,137657,2048,465,368,391594,0 52,2,2024-09-07 08:25:01:760,97210,97210,0,0,4456812,0,4779 52,3,2024-09-07 08:25:00:673,1,80,5,0,1782,2449,80,0 53,0,2024-09-07 08:25:01:748,20927,1.9,20167,1.4,41998,3.0,55426,2.75 53,1,2024-09-07 08:25:00:781,140160,140160,0,0,66132167022,695645032,137536,2049,575,370,391617,0 53,2,2024-09-07 08:25:01:298,103727,103727,0,0,4058055,0,1902 53,3,2024-09-07 08:25:00:699,1,80,133,0,133,615,80,0 54,0,2024-09-07 08:25:01:647,14537,7.8,14745,2.3,29180,1.0,39952,4.75 54,1,2024-09-07 08:25:00:583,140244,140244,0,0,66180585064,691608075,138134,1794,316,367,391520,0 54,2,2024-09-07 08:25:00:869,103431,103425,6,0,4895100,0,5382 54,3,2024-09-07 08:25:00:763,1,80,1,0,676,1708,80,0 55,0,2024-09-07 08:25:01:785,19204,0.3,19633,0.5,39933,0.2,52374,2.00 55,1,2024-09-07 08:25:00:764,139720,139720,0,0,64773661371,682126769,136237,2781,702,367,391731,0 55,2,2024-09-07 08:25:00:730,101268,101268,0,0,4810037,0,3275 55,3,2024-09-07 08:25:00:673,1,80,1,0,136,859,80,0 56,0,2024-09-07 08:25:01:582,22927,1.9,21603,1.4,44491,2.3,59375,2.50 56,1,2024-09-07 08:25:00:571,139761,139761,0,0,66420515376,707265698,136362,2651,748,382,391678,0 56,2,2024-09-07 08:25:01:322,97801,97801,0,0,5310610,0,3567 56,3,2024-09-07 08:25:01:059,1,80,1,0,297,1008,80,0 57,0,2024-09-07 08:25:00:950,22603,3.0,22318,1.8,44999,5.3,60257,4.25 57,1,2024-09-07 08:25:00:990,139778,139778,0,0,65254206892,683930865,138300,1458,20,368,391760,0 57,2,2024-09-07 08:25:01:322,101537,101537,0,0,5356425,0,3178 57,3,2024-09-07 08:25:01:743,1,80,5,0,359,1679,80,0 58,0,2024-09-07 08:25:00:561,16629,0.6,16271,0.9,34026,0.5,44735,2.75 58,1,2024-09-07 08:25:00:620,141187,141184,0,3,66089455706,689338176,139585,1439,160,369,391516,3 58,2,2024-09-07 08:25:01:071,103515,103515,0,0,5001985,0,2549 58,3,2024-09-07 08:25:01:069,1,80,1,0,219,885,80,0 59,0,2024-09-07 08:25:01:749,18357,0.5,18232,0.7,36622,0.4,48905,2.00 59,1,2024-09-07 08:25:00:811,140001,140001,0,0,65544713977,689919749,137751,1483,767,369,391515,0 59,2,2024-09-07 08:25:00:590,102345,102345,0,0,4502788,0,2604 59,3,2024-09-07 08:25:01:743,1,80,5,0,1015,2007,80,0 60,0,2024-09-07 08:25:01:715,22803,0.5,23020,0.6,45411,0.5,60192,1.75 60,1,2024-09-07 08:25:00:773,141442,141442,0,0,65844476796,685801791,140354,739,349,370,391758,0 60,2,2024-09-07 08:25:01:151,98690,98690,0,0,3985396,0,2142 60,3,2024-09-07 08:25:01:263,1,80,1,0,124,947,80,0 61,0,2024-09-07 08:25:01:510,23272,2.4,23340,1.5,46561,3.8,62622,2.25 61,1,2024-09-07 08:25:00:799,140104,140104,0,0,65528061644,691981538,137543,2025,536,383,391589,0 61,2,2024-09-07 08:25:01:134,99606,99606,0,0,4217984,0,1846 61,3,2024-09-07 08:25:01:693,1,80,1,0,199,1136,80,0 62,0,2024-09-07 08:25:01:720,19084,1.3,19560,1.1,37414,2.2,50893,2.00 62,1,2024-09-07 08:25:01:129,141114,141108,0,6,66552747485,689952802,139854,1217,37,365,391586,6 62,2,2024-09-07 08:25:01:644,103482,103482,0,0,4773096,0,2931 62,3,2024-09-07 08:25:01:148,1,80,3,0,287,678,80,0 63,0,2024-09-07 08:25:01:474,16408,0.3,16290,0.5,32915,0.2,43999,1.75 63,1,2024-09-07 08:25:00:809,141514,141509,0,5,65829770650,681563635,141102,404,3,381,391540,5 63,2,2024-09-07 08:25:00:761,101813,101813,0,0,4255167,0,2674 63,3,2024-09-07 08:25:01:732,1,80,10,0,667,1894,80,0 64,0,2024-09-07 08:25:01:517,20738,0.3,20611,0.5,41423,0.2,55254,1.75 64,1,2024-09-07 08:25:00:749,140804,140804,0,0,65786087393,692933817,138365,1645,794,371,391783,0 64,2,2024-09-07 08:25:01:143,100935,100916,19,0,4587346,0,6121 64,3,2024-09-07 08:25:01:140,1,80,1,1,265,1065,80,0 65,0,2024-09-07 08:25:01:714,21335,2.1,21679,1.4,43348,2.7,58019,3.50 65,1,2024-09-07 08:25:00:874,140067,140067,0,0,65776564978,690488805,138358,1490,219,382,391770,0 65,2,2024-09-07 08:25:01:697,98616,98616,0,0,4710827,0,3367 65,3,2024-09-07 08:25:01:683,1,80,1,0,163,887,80,0 66,0,2024-09-07 08:25:01:772,20253,0.7,20475,1.0,41010,0.7,54536,2.50 66,1,2024-09-07 08:25:01:295,141478,141478,0,0,66208221095,686883582,140787,670,21,380,391588,0 66,2,2024-09-07 08:25:01:137,104163,104163,0,0,4358335,0,3867 66,3,2024-09-07 08:25:01:083,1,80,2,0,291,961,80,0 67,0,2024-09-07 08:25:01:421,14598,0.4,14622,0.6,28932,0.3,38720,2.00 67,1,2024-09-07 08:25:00:766,140317,140316,0,1,65841488886,691898282,138296,1495,525,382,391787,1 67,2,2024-09-07 08:25:00:583,103381,103381,0,0,4066531,0,2889 67,3,2024-09-07 08:25:01:758,1,80,5,0,138,692,80,0 68,0,2024-09-07 08:25:00:588,20115,0.4,20121,0.6,40064,0.3,53681,2.00 68,1,2024-09-07 08:25:00:578,139896,139896,0,0,65466107336,687437020,138477,897,522,382,391953,0 68,2,2024-09-07 08:25:01:044,101360,101360,0,0,4153519,0,4883 68,3,2024-09-07 08:25:00:732,1,80,3,0,63,777,80,0 69,0,2024-09-07 08:25:01:753,22295,1.5,22205,1.2,44238,1.9,59088,2.75 69,1,2024-09-07 08:25:01:021,140021,140021,0,0,65599909379,695601255,138023,1131,867,384,391611,0 69,2,2024-09-07 08:25:01:740,97594,97594,0,0,4712411,0,3153 69,3,2024-09-07 08:25:00:760,1,80,1,0,238,1195,80,0 70,0,2024-09-07 08:25:01:558,22052,3.1,22078,2.3,44576,2.0,58960,4.50 70,1,2024-09-07 08:25:00:817,141072,141072,0,0,66711207806,691307394,140153,784,135,368,391527,0 70,2,2024-09-07 08:25:01:330,101960,101960,0,0,4657272,0,4044 70,3,2024-09-07 08:25:00:745,1,80,1,0,178,522,80,0 71,0,2024-09-07 08:25:01:363,15497,1.2,15488,2.7,30598,1.7,41512,4.00 71,1,2024-09-07 08:25:01:596,140721,140721,0,0,66354844674,694437809,138519,1886,316,368,391682,0 71,2,2024-09-07 08:25:01:069,103836,103836,0,0,4384839,0,2146 71,3,2024-09-07 08:25:01:762,1,80,0,0,219,1026,80,0 72,0,2024-09-07 08:25:01:040,19585,0.4,19007,0.6,37610,0.3,50982,2.25 72,1,2024-09-07 08:25:01:027,140855,140855,0,0,65647028711,685434111,139304,1473,78,370,391556,0 72,2,2024-09-07 08:25:01:773,101121,101121,0,0,5696705,0,2570 72,3,2024-09-07 08:25:01:760,1,80,14,0,325,1399,80,0 73,0,2024-09-07 08:25:01:116,22181,0.5,22742,0.6,46207,0.4,59885,2.25 73,1,2024-09-07 08:25:00:767,140622,140622,0,0,66012300675,687529022,139655,923,44,368,391627,0 73,2,2024-09-07 08:25:01:744,99123,99123,0,0,5132919,0,3482 73,3,2024-09-07 08:25:00:974,1,80,1,0,274,1404,80,0 74,0,2024-09-07 08:25:01:345,23909,1.3,24350,1.2,46786,1.9,63534,3.00 74,1,2024-09-07 08:25:00:638,140372,140372,0,0,65518568414,686697594,138472,1328,572,382,391511,0 74,2,2024-09-07 08:25:01:002,100116,100116,0,0,5487199,0,4253 74,3,2024-09-07 08:25:01:447,1,80,5,0,246,1482,80,0 75,0,2024-09-07 08:25:01:781,18222,2.0,18171,1.5,36415,3.8,49289,3.25 75,1,2024-09-07 08:25:01:589,140611,140611,0,0,65383416752,686050443,138909,1598,104,381,391579,0 75,2,2024-09-07 08:25:01:358,103585,103585,0,0,5340026,0,4766 75,3,2024-09-07 08:25:01:068,1,80,1,0,143,612,80,0 76,0,2024-09-07 08:25:00:642,17215,0.3,17028,0.6,34132,0.2,45450,2.00 76,1,2024-09-07 08:25:00:811,140447,140447,0,0,65402958499,687856087,138634,1307,506,382,391530,0 76,2,2024-09-07 08:25:01:062,102740,102740,0,0,4305448,0,3064 76,3,2024-09-07 08:25:01:165,1,80,1,0,175,1045,80,0 77,0,2024-09-07 08:25:01:708,22432,0.6,22603,0.8,45266,0.6,60297,2.00 77,1,2024-09-07 08:25:00:832,140516,140516,0,0,65922387411,688343817,139477,970,69,383,391516,0 77,2,2024-09-07 08:25:01:297,100125,100125,0,0,3722440,0,1695 77,3,2024-09-07 08:25:01:105,1,80,2,0,139,781,80,0 78,0,2024-09-07 08:25:01:762,22355,1.0,22325,1.0,44827,1.2,59737,2.25 78,1,2024-09-07 08:25:00:612,141104,141104,0,0,65093236118,679431803,139683,1216,205,367,391589,0 78,2,2024-09-07 08:25:01:409,98848,98848,0,0,3847972,0,2114 78,3,2024-09-07 08:25:01:140,1,80,4,0,181,1262,80,0 79,0,2024-09-07 08:25:01:364,18778,0.8,19306,1.1,39355,0.9,51444,3.00 79,1,2024-09-07 08:25:00:571,140964,140964,0,0,65594628097,682707135,139625,1270,69,370,391682,0 79,2,2024-09-07 08:25:01:078,103711,103711,0,0,4372668,0,2679 79,3,2024-09-07 08:25:00:749,1,80,2,0,289,889,80,0 80,0,2024-09-07 08:25:01:095,14999,0.3,15501,0.6,29888,0.2,40072,1.75 80,1,2024-09-07 08:25:01:618,140380,140380,0,0,66019531154,688534604,138788,1477,115,369,391673,0 80,2,2024-09-07 08:25:01:094,102569,102569,0,0,4436394,0,4433 80,3,2024-09-07 08:25:00:577,1,80,14,1,190,1268,80,0 81,0,2024-09-07 08:25:01:549,20593,0.4,21137,0.6,40467,0.3,55060,1.75 81,1,2024-09-07 08:25:01:649,140455,140455,0,0,65306323118,686175157,138564,1693,198,383,391680,0 81,2,2024-09-07 08:25:01:124,100380,100380,0,0,4677628,0,3993 81,3,2024-09-07 08:25:01:122,1,80,16,0,193,887,80,0 82,0,2024-09-07 08:25:01:548,21815,0.9,21788,1.0,43758,1.2,58178,2.25 82,1,2024-09-07 08:25:00:582,140431,140428,0,3,65807552186,689243729,138389,1467,572,382,391558,3 82,2,2024-09-07 08:25:01:701,98693,98693,0,0,3668841,0,2230 82,3,2024-09-07 08:25:01:757,1,80,1,0,227,1335,80,0 83,0,2024-09-07 08:25:01:551,20975,1.6,20928,1.3,41520,1.9,55163,3.00 83,1,2024-09-07 08:25:00:551,140251,140251,0,0,65471425298,685257184,138831,1319,101,383,391553,0 83,2,2024-09-07 08:25:00:765,102351,102351,0,0,4669803,0,3119 83,3,2024-09-07 08:25:00:752,1,80,7,0,91,612,80,0 84,0,2024-09-07 08:25:01:809,15036,3.8,14790,3.2,29653,0.8,40476,3.00 84,1,2024-09-07 08:25:01:068,140307,140307,0,0,65784831482,690428146,138688,1370,249,369,391638,0 84,2,2024-09-07 08:25:00:592,102914,102914,0,0,4724258,0,3801 84,3,2024-09-07 08:25:01:164,1,80,5,1,58,834,80,0 85,0,2024-09-07 08:25:01:029,18920,0.3,18969,0.5,40119,0.3,52443,1.75 85,1,2024-09-07 08:25:00:565,140011,140011,0,0,65481292660,693539619,137553,2029,429,384,391652,0 85,2,2024-09-07 08:25:00:877,101094,101094,0,0,5007673,0,3656 85,3,2024-09-07 08:25:00:693,1,80,1,0,115,780,80,0 86,0,2024-09-07 08:25:00:888,22263,0.8,23071,0.8,44438,1.0,59367,2.00 86,1,2024-09-07 08:25:00:829,140182,140182,0,0,65788147939,689165141,138384,1582,216,367,391622,0 86,2,2024-09-07 08:25:00:859,97391,97390,1,0,5211329,0,5004 86,3,2024-09-07 08:25:00:586,1,80,2,0,199,918,80,0 87,0,2024-09-07 08:25:01:305,22981,2.7,22809,1.6,45781,3.9,61431,3.00 87,1,2024-09-07 08:25:00:559,140393,140393,0,0,65969575239,691565152,138809,1463,121,366,391788,0 87,2,2024-09-07 08:25:01:081,101475,101475,0,0,3977781,0,2859 87,3,2024-09-07 08:25:01:794,1,80,30,1,322,1213,80,0 88,0,2024-09-07 08:25:01:817,17308,0.7,17567,0.8,34850,0.7,46504,1.75 88,1,2024-09-07 08:25:01:008,140010,140010,0,0,66169937164,692604574,138794,1119,97,367,391787,0 88,2,2024-09-07 08:25:00:695,103984,103984,0,0,5606896,0,3583 88,3,2024-09-07 08:25:01:297,1,80,10,1,77,1002,80,0 89,0,2024-09-07 08:25:01:802,18828,0.3,18209,0.5,36238,0.3,49321,1.75 89,1,2024-09-07 08:25:00:609,139491,139491,0,0,65853232444,702417223,136165,2590,736,383,391866,0 89,2,2024-09-07 08:25:01:154,101871,101871,0,0,5072227,0,2726 89,3,2024-09-07 08:25:01:792,1,80,1,0,325,1924,80,0 90,0,2024-09-07 08:25:01:673,22078,0.5,22476,0.6,46308,0.5,60074,1.75 90,1,2024-09-07 08:25:00:590,140250,140250,0,0,65712521938,693812918,138195,1912,143,382,391825,0 90,2,2024-09-07 08:25:01:417,97883,97883,0,0,5640986,0,2635 90,3,2024-09-07 08:25:00:946,1,80,4,1,200,996,80,0 91,0,2024-09-07 08:25:00:992,23751,0.6,23012,0.7,47650,0.7,63014,2.00 91,1,2024-09-07 08:25:00:556,140091,140091,0,0,65903010993,696720900,137686,2040,365,383,391914,0 91,2,2024-09-07 08:25:01:345,98626,98626,0,0,4802260,0,1997 91,3,2024-09-07 08:25:00:598,1,80,1,1,155,1137,80,0 92,0,2024-09-07 08:25:01:508,19246,1.0,19691,1.0,37643,1.2,51058,1.75 92,1,2024-09-07 08:25:00:585,140703,140703,0,0,65770675840,686261529,140044,592,67,382,391717,0 92,2,2024-09-07 08:25:01:364,104948,104948,0,0,4675383,0,2279 92,3,2024-09-07 08:25:01:011,1,80,1,1,68,902,80,0 93,0,2024-09-07 08:25:01:019,16526,0.3,16826,0.5,32131,0.2,44062,1.75 93,1,2024-09-07 08:25:00:821,140581,140581,0,0,66327768395,695632634,138817,1328,436,367,391689,0 93,2,2024-09-07 08:25:00:950,102198,102198,0,0,4233225,0,2509 93,3,2024-09-07 08:25:01:427,1,80,7,0,143,769,80,0 94,0,2024-09-07 08:25:01:615,20880,0.3,21081,0.4,41567,0.2,55424,1.50 94,1,2024-09-07 08:25:00:563,139971,139971,0,0,65571378242,690000113,138377,1528,66,381,391850,0 94,2,2024-09-07 08:25:00:763,100233,100233,0,0,4210593,0,2443 94,3,2024-09-07 08:25:01:688,1,80,15,1,231,1344,80,0 95,0,2024-09-07 08:25:01:382,21964,0.5,21807,0.6,44139,0.4,58802,1.75 95,1,2024-09-07 08:25:00:851,140742,140742,0,0,65948156673,686571626,139937,741,64,367,391590,0 95,2,2024-09-07 08:25:01:026,98250,98250,0,0,4471005,0,3308 95,3,2024-09-07 08:25:01:712,1,80,3,0,307,1333,80,0 96,0,2024-09-07 08:25:01:046,20983,0.8,20784,0.9,41553,0.9,54992,1.75 96,1,2024-09-07 08:25:01:585,140527,140527,0,0,66001018225,694375781,138683,1273,571,385,391596,0 96,2,2024-09-07 08:25:01:280,103910,103910,0,0,4890816,0,4038 96,3,2024-09-07 08:25:01:156,1,80,9,0,36,717,80,0 97,0,2024-09-07 08:25:01:456,14476,0.3,14435,0.5,29131,0.2,38725,1.75 97,1,2024-09-07 08:25:00:791,140528,140528,0,0,66306541957,694439932,138335,1674,519,367,392140,0 97,2,2024-09-07 08:25:00:614,102504,102504,0,0,4113633,0,3036 97,3,2024-09-07 08:25:00:597,1,80,14,1,165,1035,80,0 98,0,2024-09-07 08:25:01:711,20004,0.2,19890,0.4,40459,0.2,53887,1.50 98,1,2024-09-07 08:25:00:572,140549,140549,0,0,65850842769,688440039,139473,1031,45,382,391997,0 98,2,2024-09-07 08:25:00:770,102282,102282,0,0,4330895,0,3080 98,3,2024-09-07 08:25:00:700,1,80,3,1,155,1022,80,0 99,0,2024-09-07 08:25:01:463,22305,0.4,22270,0.5,44488,0.3,59111,1.75 99,1,2024-09-07 08:25:01:723,140801,140801,0,0,65293924346,683291041,139311,1047,443,381,391744,0 99,2,2024-09-07 08:25:01:430,98528,98528,0,0,4302414,0,1858 99,3,2024-09-07 08:25:00:581,1,80,5,0,129,743,80,0 100,0,2024-09-07 08:25:01:517,22427,1.8,22233,2.2,44373,4.8,59791,2.50 100,1,2024-09-07 08:25:00:551,139649,139649,0,0,65187385409,693555869,136900,2404,345,381,391989,0 100,2,2024-09-07 08:25:01:818,101486,101475,11,0,5513387,0,5417 100,3,2024-09-07 08:25:01:736,1,80,2,1,443,1934,80,0 101,0,2024-09-07 08:25:01:710,16020,3.5,15630,1.7,30809,0.8,42554,2.75 101,1,2024-09-07 08:25:00:554,140140,140140,0,0,65865512590,692053835,138654,1036,450,369,391709,0 101,2,2024-09-07 08:25:01:758,103707,103707,0,0,4867694,0,4644 101,3,2024-09-07 08:25:00:968,1,80,4,0,448,1115,80,0 102,0,2024-09-07 08:25:00:972,18561,0.4,19248,0.5,38683,0.3,50759,1.75 102,1,2024-09-07 08:25:01:167,140092,140092,0,0,65759147285,695166269,137618,2006,468,369,391831,0 102,2,2024-09-07 08:25:01:749,102225,102225,0,0,4042134,0,1945 102,3,2024-09-07 08:25:01:612,1,80,16,0,410,910,80,0 103,0,2024-09-07 08:25:01:618,23282,0.5,23212,0.7,44041,0.5,60317,1.75 103,1,2024-09-07 08:25:01:627,140070,140070,0,0,65965481084,691756612,138840,1086,144,381,391680,0 103,2,2024-09-07 08:25:00:585,98091,98091,0,0,4297957,0,2104 103,3,2024-09-07 08:25:00:757,1,80,3,1,486,1126,80,0 104,0,2024-09-07 08:25:01:264,23779,1.7,23730,1.4,46518,2.1,63779,2.50 104,1,2024-09-07 08:25:01:603,140855,140855,0,0,65471121447,690695101,138899,1630,326,366,391948,0 104,2,2024-09-07 08:25:01:666,99380,99380,0,0,5178951,0,3941 104,3,2024-09-07 08:25:01:424,1,80,2,1,1245,3923,80,0 105,0,2024-09-07 08:25:01:097,18032,1.0,17409,1.5,36244,1.5,48945,3.25 105,1,2024-09-07 08:25:00:572,139991,139991,0,0,65238440804,690581580,137038,2207,746,367,391797,0 105,2,2024-09-07 08:25:01:351,103730,103730,0,0,4965628,0,3314 105,3,2024-09-07 08:25:01:325,1,80,12,1,399,1666,80,0 106,0,2024-09-07 08:25:01:083,16627,0.3,17080,0.6,34790,0.2,45552,1.75 106,1,2024-09-07 08:25:01:758,140459,140459,0,0,65034047218,686003520,138048,2084,327,370,391767,0 106,2,2024-09-07 08:25:00:763,101247,101247,0,0,4957256,0,2795 106,3,2024-09-07 08:25:00:697,1,80,2,1,201,918,80,0 107,0,2024-09-07 08:25:01:129,22621,0.5,22467,0.7,44722,0.4,60553,1.75 107,1,2024-09-07 08:25:00:591,139766,139766,0,0,65239915391,690180621,137823,1753,190,382,392234,0 107,2,2024-09-07 08:25:01:292,99425,99424,1,0,4711338,0,5024 107,3,2024-09-07 08:25:01:757,1,80,2,0,353,1316,80,0 108,0,2024-09-07 08:25:01:796,22502,0.6,22732,0.7,44932,0.6,60049,1.75 108,1,2024-09-07 08:25:01:310,140289,140289,0,0,66079061997,692947148,138818,1337,134,371,391857,0 108,2,2024-09-07 08:25:01:761,98351,98351,0,0,4575509,0,2647 108,3,2024-09-07 08:25:01:341,1,80,1,0,73,1047,80,0 109,0,2024-09-07 08:25:01:852,19669,0.8,19809,0.9,39116,0.9,52567,2.00 109,1,2024-09-07 08:25:00:584,139825,139825,0,0,66463394763,701138669,138155,1287,383,383,391812,0 109,2,2024-09-07 08:25:00:925,102424,102424,0,0,5039861,0,3617 109,3,2024-09-07 08:25:01:171,1,80,4,1,249,1371,80,0 110,0,2024-09-07 08:25:01:785,15133,0.2,14773,0.4,30757,0.2,40055,1.50 110,1,2024-09-07 08:25:01:647,140658,140658,0,0,65724376066,685166441,139336,1035,287,370,391588,0 110,2,2024-09-07 08:25:01:321,102647,102647,0,0,4217436,0,2915 110,3,2024-09-07 08:25:00:693,1,80,1,0,183,744,80,0 111,0,2024-09-07 08:25:01:420,20617,0.2,20343,0.4,40749,0.1,54999,1.50 111,1,2024-09-07 08:25:01:001,141117,141117,0,0,67034533430,694312627,140471,633,13,382,391690,0 111,2,2024-09-07 08:25:01:124,101163,101163,0,0,4440243,0,2763 111,3,2024-09-07 08:25:00:919,1,80,179,0,300,1600,80,0 112,0,2024-09-07 08:25:00:940,22073,0.4,22045,0.6,43848,0.4,58449,1.75 112,1,2024-09-07 08:25:00:830,140484,140484,0,0,66663823388,696010199,138971,1167,346,381,391580,0 112,2,2024-09-07 08:25:01:134,98033,98032,1,0,4595847,0,5036 112,3,2024-09-07 08:25:00:598,1,80,12,0,282,890,80,0 113,0,2024-09-07 08:25:00:887,21080,0.6,20894,0.7,42571,0.5,56272,1.75 113,1,2024-09-07 08:25:01:702,140841,140841,0,0,65982566418,687116874,138949,1508,384,368,391661,0 113,2,2024-09-07 08:25:01:311,103357,103357,0,0,3811498,0,3021 113,3,2024-09-07 08:25:00:684,1,80,113,0,288,1270,80,0 114,0,2024-09-07 08:25:00:892,15329,3.4,15499,1.7,30510,0.8,41014,2.00 114,1,2024-09-07 08:25:00:718,140636,140636,0,0,66225752849,696964914,138027,1728,881,381,391531,0 114,2,2024-09-07 08:25:00:873,103042,103042,0,0,4404008,0,3925 114,3,2024-09-07 08:25:01:285,1,80,1,0,159,911,80,0 115,0,2024-09-07 08:25:00:565,19413,0.2,19575,0.3,39264,0.1,52498,1.50 115,1,2024-09-07 08:25:00:571,140519,140519,0,0,66284554829,694826524,138145,1887,487,383,391528,0 115,2,2024-09-07 08:25:01:137,102186,102186,0,0,3792632,0,2152 115,3,2024-09-07 08:25:01:009,1,80,1,0,159,487,80,0 116,0,2024-09-07 08:25:01:722,22493,0.9,22071,0.9,44751,1.1,59488,2.00 116,1,2024-09-07 08:25:00:811,140034,140034,0,0,66243988623,701742103,138148,1015,871,382,391605,0 116,2,2024-09-07 08:25:01:755,97617,97617,0,0,4905512,0,3529 116,3,2024-09-07 08:25:00:924,1,80,1,1,252,1308,80,0 117,0,2024-09-07 08:25:01:012,22979,2.2,23033,1.4,45874,3.4,61515,2.25 117,1,2024-09-07 08:25:01:578,140795,140795,0,0,65604539270,692139051,138476,2026,293,370,392033,0 117,2,2024-09-07 08:25:01:141,101728,101728,0,0,4345687,0,3700 117,3,2024-09-07 08:25:01:069,1,80,1,0,490,1826,80,0 118,0,2024-09-07 08:25:01:773,16975,0.7,17336,0.8,35501,0.7,46350,2.00 118,1,2024-09-07 08:25:00:597,140754,140754,0,0,65252171952,684333250,139511,1163,80,368,391736,0 118,2,2024-09-07 08:25:01:599,103919,103919,0,0,4769030,0,2781 118,3,2024-09-07 08:25:01:772,1,80,1,1,235,1249,80,0 119,0,2024-09-07 08:25:01:375,18059,0.3,18141,0.5,37010,0.2,49004,1.75 119,1,2024-09-07 08:25:00:551,140838,140838,0,0,66272194457,694695467,139220,1469,149,370,391641,0 119,2,2024-09-07 08:25:01:271,101268,101268,0,0,4495135,0,2532 119,3,2024-09-07 08:25:01:329,1,80,2,0,443,2161,80,0 120,0,2024-09-07 08:25:01:580,22495,0.6,22615,0.8,44926,0.6,60074,2.00 120,1,2024-09-07 08:25:00:873,140268,140268,0,0,65709689597,691393592,138739,1513,16,368,391702,0 120,2,2024-09-07 08:25:00:772,98217,98216,1,0,5664677,0,5281 120,3,2024-09-07 08:25:01:297,1,80,15,0,241,1402,80,0 121,0,2024-09-07 08:25:01:735,23173,2.3,23367,1.5,46751,3.5,62920,2.50 121,1,2024-09-07 08:25:01:656,140316,140316,0,0,65626089407,689413373,138437,1609,270,368,391840,0 121,2,2024-09-07 08:25:01:164,98598,98598,0,0,5989011,0,4127 121,3,2024-09-07 08:25:00:730,1,80,1,0,113,879,80,0 122,0,2024-09-07 08:25:01:782,18976,2.2,18347,1.9,38238,2.6,51131,2.50 122,1,2024-09-07 08:25:00:872,139365,139365,0,0,65286597866,691974163,136353,2488,524,368,392130,0 122,2,2024-09-07 08:25:01:339,104043,104043,0,0,6038549,0,3364 122,3,2024-09-07 08:25:00:595,1,80,17,1,298,1819,80,0 123,0,2024-09-07 08:25:00:991,16343,0.3,15913,0.5,33255,0.2,43936,1.75 123,1,2024-09-07 08:25:00:560,140617,140617,0,0,65783350336,699213265,137150,2899,568,369,391823,0 123,2,2024-09-07 08:25:01:022,101408,101407,1,0,4954337,0,5215 123,3,2024-09-07 08:25:01:133,1,80,1,1,160,1016,80,0 124,0,2024-09-07 08:25:00:936,21395,0.3,21413,0.4,40449,0.2,55517,1.50 124,1,2024-09-07 08:25:01:022,140358,140358,0,0,65373087302,679245759,139552,661,145,367,392178,0 124,2,2024-09-07 08:25:01:014,100218,100218,0,0,3941257,0,2477 124,3,2024-09-07 08:25:00:775,1,80,6,1,490,1659,80,0 125,0,2024-09-07 08:25:01:475,22065,0.6,22147,0.7,44203,0.7,58806,1.75 125,1,2024-09-07 08:25:00:874,140427,140427,0,0,66029072374,693031826,138675,1480,272,384,391702,0 125,2,2024-09-07 08:25:01:119,98259,98259,0,0,4273965,0,2180 125,3,2024-09-07 08:25:01:139,1,80,2,1,93,1110,80,0 126,0,2024-09-07 08:25:01:439,20853,1.2,21414,1.0,40999,1.7,55429,2.00 126,1,2024-09-07 08:25:00:552,141110,141110,0,0,66403244439,690332496,140059,996,55,365,391987,0 126,2,2024-09-07 08:25:00:610,103342,103342,0,0,4700250,0,3186 126,3,2024-09-07 08:25:00:925,1,80,41,0,122,1131,80,0 127,0,2024-09-07 08:25:01:645,14600,0.2,14543,0.4,29052,0.2,38748,1.50 127,1,2024-09-07 08:25:00:568,140574,140574,0,0,65775353115,683378455,139436,1115,23,365,391614,0 127,2,2024-09-07 08:25:00:647,102747,102747,0,0,3915181,0,1803 127,3,2024-09-07 08:25:01:269,1,80,2,0,99,638,80,0 128,0,2024-09-07 08:25:01:539,20173,0.2,20163,0.4,39876,0.2,53960,1.50 128,1,2024-09-07 08:25:01:606,140748,140748,0,0,66644114140,691433411,140025,653,70,367,391680,0 128,2,2024-09-07 08:25:01:391,100884,100884,0,0,4535614,0,2107 128,3,2024-09-07 08:25:00:769,1,80,1,1,112,901,80,0 129,0,2024-09-07 08:25:01:005,22390,0.4,22340,0.6,44571,0.4,59021,1.75 129,1,2024-09-07 08:25:00:575,140384,140384,0,0,65893458059,692096337,138554,1555,275,379,391835,0 129,2,2024-09-07 08:25:00:686,97189,97189,0,0,3903725,0,2446 129,3,2024-09-07 08:25:00:688,1,80,1,1,173,1263,80,0 130,0,2024-09-07 08:25:01:748,22628,1.4,22507,1.1,45117,2.0,60350,2.25 130,1,2024-09-07 08:25:00:584,140508,140508,0,0,65864292695,688842777,139193,1282,33,381,391609,0 130,2,2024-09-07 08:25:01:137,102187,102187,0,0,4613653,0,4067 130,3,2024-09-07 08:25:01:296,1,80,1,1,207,647,80,0 131,0,2024-09-07 08:25:02:023,15977,0.9,15935,0.9,32168,1.2,42376,1.75 131,1,2024-09-07 08:25:01:830,141300,141300,0,0,65496885658,683601856,140279,835,186,384,391865,0 131,2,2024-09-07 08:25:00:567,104007,104007,0,0,3860962,0,2415 131,3,2024-09-07 08:25:01:688,1,80,2,0,392,1136,80,0 132,0,2024-09-07 08:25:01:437,18937,0.3,19205,0.5,38195,0.2,50928,1.75 132,1,2024-09-07 08:25:00:586,139928,139928,0,0,65406380859,692355660,137338,1884,706,381,391719,0 132,2,2024-09-07 08:25:00:698,101546,101546,0,0,6136956,0,4606 132,3,2024-09-07 08:25:01:701,1,80,14,1,356,1062,80,0 133,0,2024-09-07 08:25:01:531,22140,0.5,22507,0.7,46343,0.5,59648,1.75 133,1,2024-09-07 08:25:00:591,139203,139203,0,0,65123102875,689517305,136990,2118,95,383,391755,0 133,2,2024-09-07 08:25:01:100,98556,98556,0,0,5273155,0,2444 133,3,2024-09-07 08:25:01:300,1,80,1,1,187,662,80,0 134,0,2024-09-07 08:25:00:976,23889,0.9,23871,1.0,47895,1.0,63736,2.25 134,1,2024-09-07 08:25:00:585,140528,140528,0,0,65155658711,687606923,137886,1661,981,366,391718,0 134,2,2024-09-07 08:25:01:768,100079,100079,0,0,3947487,0,2026 134,3,2024-09-07 08:25:00:750,1,80,1,1,739,1979,80,0 135,0,2024-09-07 08:25:01:109,17714,1.6,17736,1.5,37446,1.7,48776,2.25 135,1,2024-09-07 08:25:01:593,139974,139974,0,0,65670386931,691037432,138027,1620,327,380,391703,0 135,2,2024-09-07 08:25:00:696,104197,104197,0,0,4931102,0,3981 135,3,2024-09-07 08:25:01:010,1,80,1,0,68,497,80,0 136,0,2024-09-07 08:25:01:640,17166,0.4,17222,0.6,34325,0.3,45901,2.00 136,1,2024-09-07 08:25:01:448,140207,140207,0,0,65533358013,689396662,138303,1757,147,384,391621,0 136,2,2024-09-07 08:25:01:134,102854,102854,0,0,4466729,0,2379 136,3,2024-09-07 08:25:01:117,1,80,1,1,108,880,80,0 137,0,2024-09-07 08:25:00:979,23085,0.6,22352,0.8,44284,0.6,60609,2.00 137,1,2024-09-07 08:25:00:595,140152,140152,0,0,66110456930,693947994,138173,1865,114,367,391608,0 137,2,2024-09-07 08:25:01:708,98907,98907,0,0,5480956,0,2632 137,3,2024-09-07 08:25:00:789,1,80,2,1,227,1127,80,0 138,0,2024-09-07 08:25:01:795,21876,2.6,22024,1.6,44700,3.8,59954,3.00 138,1,2024-09-07 08:25:01:704,140094,140094,0,0,65875111471,693430206,137762,2068,264,370,391954,0 138,2,2024-09-07 08:25:00:586,99051,99051,0,0,4705561,0,3263 138,3,2024-09-07 08:25:00:610,1,80,9,0,1160,1952,80,0 139,0,2024-09-07 08:25:01:371,19050,4.5,19068,2.4,38510,5.5,51862,3.75 139,1,2024-09-07 08:25:00:577,139656,139656,0,0,64870982891,692520756,136766,2161,729,381,391892,0 139,2,2024-09-07 08:25:00:696,102929,102929,0,0,6010087,0,3097 139,3,2024-09-07 08:25:01:666,1,80,1,0,244,1353,80,0 140,0,2024-09-07 08:25:01:596,15064,0.2,15025,0.5,30251,0.1,40107,1.50 140,1,2024-09-07 08:25:01:542,141101,141101,0,0,66307710834,686243708,140172,732,197,365,391606,0 140,2,2024-09-07 08:25:00:694,102878,102878,0,0,4699761,0,3388 140,3,2024-09-07 08:25:00:767,1,80,2,0,25,585,80,0 141,0,2024-09-07 08:25:01:709,20249,0.2,20940,0.4,40535,0.1,54918,1.50 141,1,2024-09-07 08:25:00:860,140748,140748,0,0,66197736626,690135153,139325,1092,331,382,391538,0 141,2,2024-09-07 08:25:01:686,100889,100889,0,0,4241041,0,2342 141,3,2024-09-07 08:25:01:042,1,80,6,0,147,594,80,0 142,0,2024-09-07 08:25:01:346,22293,0.4,21963,0.6,43724,0.4,58529,1.75 142,1,2024-09-07 08:25:00:592,140945,140945,0,0,65923058044,687080468,140387,543,15,384,391649,0 142,2,2024-09-07 08:25:01:336,97115,97115,0,0,4519576,0,2293 142,3,2024-09-07 08:25:01:746,1,80,2,0,484,1357,80,0 143,0,2024-09-07 08:25:01:395,21142,1.1,21199,0.9,42637,1.5,56117,2.00 143,1,2024-09-07 08:25:00:564,140599,140599,0,0,65695998811,682401968,139618,953,28,367,391619,0 143,2,2024-09-07 08:25:00:790,102846,102846,0,0,5038909,0,2669 143,3,2024-09-07 08:25:01:141,1,80,2,0,236,1181,80,0 144,0,2024-09-07 08:25:01:535,14619,1.2,15085,5.7,30452,0.9,40703,2.25 144,1,2024-09-07 08:25:00:565,140314,140314,0,0,65432494248,688223681,138741,1381,192,381,391638,0 144,2,2024-09-07 08:25:01:768,103436,103436,0,0,4204869,0,3473 144,3,2024-09-07 08:25:01:759,1,80,16,1,169,1260,80,0 145,0,2024-09-07 08:25:01:375,18878,0.4,18882,0.6,39948,0.3,52534,1.75 145,1,2024-09-07 08:25:00:551,139791,139791,0,0,65497402838,690089671,137862,1664,265,383,391615,0 145,2,2024-09-07 08:25:01:484,101855,101855,0,0,5091132,0,3903 145,3,2024-09-07 08:25:00:905,1,80,57,0,151,1066,80,0 146,0,2024-09-07 08:25:01:661,22285,0.7,22290,0.9,44817,0.7,59052,2.25 146,1,2024-09-07 08:25:01:585,140710,140710,0,0,65331139786,688092186,138414,1995,301,368,391600,0 146,2,2024-09-07 08:25:01:694,96934,96934,0,0,4705268,0,2379 146,3,2024-09-07 08:25:01:285,1,80,1,0,1520,3143,80,0 147,0,2024-09-07 08:25:01:771,22856,2.7,22599,1.6,45037,4.0,61412,3.00 147,1,2024-09-07 08:25:01:374,140673,140673,0,0,66368702999,691797825,138953,1365,355,368,391791,0 147,2,2024-09-07 08:25:01:012,101754,101754,0,0,4735400,0,2789 147,3,2024-09-07 08:25:00:922,1,80,4,1,141,833,80,0 0,0,2024-09-07 08:25:11:772,22105,0.6,22095,0.8,46706,0.7,60238,1.75 0,1,2024-09-07 08:25:10:801,142377,142377,0,0,66535221626,698979005,141149,1101,127,372,391673,0 0,2,2024-09-07 08:25:11:072,99231,99231,0,0,4643029,0,4480 0,3,2024-09-07 08:25:10:974,1,81,14,0,247,1257,81,0 1,0,2024-09-07 08:25:11:848,23625,2.6,23398,1.8,47273,4.1,63440,2.75 1,1,2024-09-07 08:25:10:560,141758,141758,0,0,65970170888,693484031,140062,1167,529,372,391857,0 1,2,2024-09-07 08:25:10:653,100402,100402,0,0,4334072,0,3267 1,3,2024-09-07 08:25:11:302,1,81,2,0,167,801,81,0 2,0,2024-09-07 08:25:11:586,19074,1.2,19201,1.3,38114,2.4,51235,2.25 2,1,2024-09-07 08:25:10:861,142625,142625,0,0,67082515465,699041360,141544,877,204,381,391558,0 2,2,2024-09-07 08:25:11:272,104594,104594,0,0,4580784,0,3304 2,3,2024-09-07 08:25:10:690,1,81,6,0,214,866,81,0 3,0,2024-09-07 08:25:11:762,16789,0.3,16847,0.5,33650,0.2,44716,1.75 3,1,2024-09-07 08:25:11:618,141585,141585,0,0,66093876100,691916139,139606,1593,386,382,391516,0 3,2,2024-09-07 08:25:11:145,103298,103275,23,0,4941590,0,5851 3,3,2024-09-07 08:25:11:756,1,81,1,0,103,592,81,0 4,0,2024-09-07 08:25:11:845,20536,0.3,21140,0.4,42808,0.2,56866,1.50 4,1,2024-09-07 08:25:10:609,141889,141889,0,0,66128925179,698425563,139991,1480,418,371,391846,0 4,2,2024-09-07 08:25:11:021,100919,100919,0,0,5481776,0,4528 4,3,2024-09-07 08:25:11:029,1,81,8,1,287,1368,81,0 5,0,2024-09-07 08:25:11:418,22394,0.7,22203,0.8,44797,0.9,59515,2.00 5,1,2024-09-07 08:25:10:763,142126,142126,0,0,66808054584,704368938,139912,1772,442,368,392005,0 5,2,2024-09-07 08:25:11:829,99517,99517,0,0,4403941,0,2259 5,3,2024-09-07 08:25:11:745,1,81,33,0,238,1599,81,0 6,0,2024-09-07 08:25:10:924,21000,1.0,20724,1.2,41474,1.4,55580,2.50 6,1,2024-09-07 08:25:10:752,142734,142734,0,0,66973598195,698289615,141611,1054,69,381,391603,0 6,2,2024-09-07 08:25:11:130,105053,105053,0,0,4686893,0,2411 6,3,2024-09-07 08:25:11:274,1,81,2,0,340,1459,81,0 7,0,2024-09-07 08:25:11:548,14581,0.3,14648,0.4,29396,0.2,39355,1.75 7,1,2024-09-07 08:25:10:850,142405,142405,0,0,66750206409,697148078,141425,916,64,383,391664,0 7,2,2024-09-07 08:25:10:776,103484,103484,0,0,4405584,0,2981 7,3,2024-09-07 08:25:10:853,1,81,0,0,83,683,81,0 8,0,2024-09-07 08:25:11:336,20469,0.3,20583,0.4,40549,0.2,54918,1.50 8,1,2024-09-07 08:25:11:021,142084,142084,0,0,66723624548,710613453,138597,2234,1253,368,391724,0 8,2,2024-09-07 08:25:10:799,101390,101390,0,0,5587946,0,2986 8,3,2024-09-07 08:25:10:585,1,81,2,0,229,1116,81,0 9,0,2024-09-07 08:25:11:128,22381,0.4,21762,0.6,45568,0.4,59334,1.75 9,1,2024-09-07 08:25:10:556,142558,142558,0,0,67354098583,711746391,140112,1878,568,370,391738,0 9,2,2024-09-07 08:25:11:096,98797,98797,0,0,5023424,0,3360 9,3,2024-09-07 08:25:11:757,1,81,6,0,149,1066,81,0 10,0,2024-09-07 08:25:11:615,22531,0.7,22592,0.8,45153,0.7,60027,2.00 10,1,2024-09-07 08:25:10:597,141632,141632,0,0,66541938264,704610930,138294,2816,522,381,391741,0 10,2,2024-09-07 08:25:10:762,103188,103188,0,0,5193145,0,2940 10,3,2024-09-07 08:25:10:871,1,81,2,0,136,615,81,0 11,0,2024-09-07 08:25:11:023,15664,0.9,15260,1.2,32020,2.9,42828,2.25 11,1,2024-09-07 08:25:10:586,141904,141904,0,0,66947971661,706136325,139263,1826,815,384,391537,0 11,2,2024-09-07 08:25:11:123,104624,104624,0,0,4919953,0,2635 11,3,2024-09-07 08:25:11:299,1,81,4,0,720,2388,81,0 12,0,2024-09-07 08:25:11:004,19667,0.3,19553,0.5,39084,0.2,52131,1.50 12,1,2024-09-07 08:25:10:937,141580,141580,0,0,65981135577,692904627,139920,1560,100,371,391790,0 12,2,2024-09-07 08:25:11:550,103858,103858,0,0,4675944,0,2254 12,3,2024-09-07 08:25:11:069,1,81,10,0,358,1497,81,0 13,0,2024-09-07 08:25:11:356,22768,0.4,22896,0.6,45588,0.4,60429,1.75 13,1,2024-09-07 08:25:11:535,142021,142021,0,0,66459308698,700540315,140425,1229,367,384,391717,0 13,2,2024-09-07 08:25:10:605,99193,99193,0,0,4213548,0,3287 13,3,2024-09-07 08:25:11:792,1,81,2,1,416,1699,81,0 14,0,2024-09-07 08:25:10:577,24037,0.7,24201,0.9,47928,0.9,63771,2.00 14,1,2024-09-07 08:25:11:570,142991,142991,0,0,66489124979,689875489,142108,852,31,365,391546,0 14,2,2024-09-07 08:25:10:767,101197,101197,0,0,4854164,0,2793 14,3,2024-09-07 08:25:11:122,1,81,1,0,906,1302,81,0 15,0,2024-09-07 08:25:11:563,18512,1.0,18271,1.3,36695,1.3,49361,2.50 15,1,2024-09-07 08:25:11:611,141601,141601,0,0,66520436709,693066514,140778,788,35,381,391536,0 15,2,2024-09-07 08:25:11:005,106033,106033,0,0,3920929,0,3043 15,3,2024-09-07 08:25:11:404,1,81,2,0,538,2381,81,0 16,0,2024-09-07 08:25:10:944,17378,0.3,17554,0.5,34744,0.2,46728,2.00 16,1,2024-09-07 08:25:10:565,141996,141996,0,0,66375489452,695546701,140858,1110,28,372,391756,0 16,2,2024-09-07 08:25:11:435,102670,102670,0,0,5111266,0,4719 16,3,2024-09-07 08:25:11:151,1,81,3,1,231,1428,81,0 17,0,2024-09-07 08:25:11:928,23453,0.5,22930,0.7,45019,0.5,60797,2.00 17,1,2024-09-07 08:25:10:570,142111,142111,0,0,66431127008,701257219,140226,1361,524,369,391688,0 17,2,2024-09-07 08:25:11:667,101328,101328,0,0,3937150,0,2857 17,3,2024-09-07 08:25:10:574,1,81,48,0,268,1633,81,0 18,0,2024-09-07 08:25:10:953,22135,1.4,22494,1.2,45122,1.7,60093,2.50 18,1,2024-09-07 08:25:11:644,142230,142230,0,0,66331651630,690785732,140978,1034,218,368,391555,0 18,2,2024-09-07 08:25:11:763,99823,99823,0,0,4820060,0,3541 18,3,2024-09-07 08:25:10:897,1,81,1,0,163,1019,81,0 19,0,2024-09-07 08:25:11:587,19392,1.5,19539,1.4,38820,1.7,51604,4.25 19,1,2024-09-07 08:25:10:567,142217,142217,0,0,66755283797,698194519,140348,1705,164,367,391777,0 19,2,2024-09-07 08:25:11:767,105553,105553,0,0,4463666,0,3988 19,3,2024-09-07 08:25:11:135,1,81,1,0,524,1198,81,0 20,0,2024-09-07 08:25:11:401,15487,0.3,15477,0.5,30767,0.2,41109,1.75 20,1,2024-09-07 08:25:10:567,142223,142223,0,0,66887381320,702290703,140522,1539,162,370,391598,0 20,2,2024-09-07 08:25:10:931,103214,103214,0,0,4372422,0,2446 20,3,2024-09-07 08:25:10:589,1,81,8,0,99,943,81,0 21,0,2024-09-07 08:25:11:237,21055,0.3,20992,0.5,41628,0.3,55393,1.75 21,1,2024-09-07 08:25:11:562,141838,141838,0,0,66223840200,699723238,139498,1845,495,368,391962,0 21,2,2024-09-07 08:25:11:085,102135,102135,0,0,4598584,0,3747 21,3,2024-09-07 08:25:11:415,1,81,10,0,93,1116,81,0 22,0,2024-09-07 08:25:11:784,21891,1.9,21833,1.3,43587,3.2,58569,3.00 22,1,2024-09-07 08:25:11:022,141923,141923,0,0,66404357227,702680115,138782,2415,726,382,391667,0 22,2,2024-09-07 08:25:10:762,99171,99171,0,0,3996186,0,3134 22,3,2024-09-07 08:25:11:075,1,81,0,0,228,657,81,0 23,0,2024-09-07 08:25:11:373,21123,1.2,21231,1.0,42517,1.5,56555,2.50 23,1,2024-09-07 08:25:11:007,142419,142419,0,0,66707276836,693359845,141069,1096,254,367,391549,0 23,2,2024-09-07 08:25:11:092,103985,103985,0,0,4281371,0,2078 23,3,2024-09-07 08:25:11:766,1,81,5,0,645,1350,81,0 24,0,2024-09-07 08:25:10:845,15709,0.6,15698,0.7,31415,0.6,41807,1.75 24,1,2024-09-07 08:25:10:581,142138,142138,0,0,66024413955,688661105,141320,811,7,369,391640,0 24,2,2024-09-07 08:25:11:072,103671,103671,0,0,5670931,0,2942 24,3,2024-09-07 08:25:11:702,1,81,0,0,234,1145,81,0 25,0,2024-09-07 08:25:11:355,20570,0.3,20055,0.4,39591,0.2,53981,1.50 25,1,2024-09-07 08:25:10:570,141799,141799,0,0,66081384523,697022230,139643,1840,316,371,391788,0 25,2,2024-09-07 08:25:11:618,102548,102548,0,0,5131730,0,3284 25,3,2024-09-07 08:25:11:006,1,81,1,1,158,768,81,0 26,0,2024-09-07 08:25:11:762,22362,0.5,21812,0.6,45594,0.4,59746,1.75 26,1,2024-09-07 08:25:11:544,142369,142369,0,0,65833386514,697767713,138906,2628,835,381,391564,0 26,2,2024-09-07 08:25:10:861,98888,98888,0,0,5013783,0,2809 26,3,2024-09-07 08:25:11:736,1,81,10,0,796,1297,81,0 27,0,2024-09-07 08:25:11:736,23178,0.9,23395,0.9,46324,1.4,61877,2.00 27,1,2024-09-07 08:25:11:689,142953,142953,0,0,67137863931,698335476,141812,846,295,381,391539,0 27,2,2024-09-07 08:25:10:867,101437,101437,0,0,6057678,0,3409 27,3,2024-09-07 08:25:11:020,1,81,1,0,564,1243,81,0 28,0,2024-09-07 08:25:11:434,17381,0.6,17664,0.8,35137,0.8,46531,2.00 28,1,2024-09-07 08:25:10:802,142504,142504,0,0,66992654958,698924406,141440,864,200,383,391646,0 28,2,2024-09-07 08:25:11:768,105136,105136,0,0,4157544,0,2609 28,3,2024-09-07 08:25:11:789,1,81,1,0,502,1268,81,0 29,0,2024-09-07 08:25:11:369,19070,0.3,18556,0.5,36768,0.2,50163,1.75 29,1,2024-09-07 08:25:11:571,143482,143482,0,0,66778142960,690968598,142691,619,172,369,391621,0 29,2,2024-09-07 08:25:10:861,103937,103937,0,0,3661096,0,2026 29,3,2024-09-07 08:25:10:971,1,81,2,0,105,692,81,0 30,0,2024-09-07 08:25:11:472,22583,1.1,22080,1.0,46190,1.4,60200,2.50 30,1,2024-09-07 08:25:10:572,142625,142625,0,0,66705454781,695134225,141648,912,65,382,391534,0 30,2,2024-09-07 08:25:11:274,100250,100250,0,0,3565858,0,2534 30,3,2024-09-07 08:25:10:580,1,81,4,0,195,650,81,0 31,0,2024-09-07 08:25:11:770,23424,1.2,23554,1.1,47399,1.5,63101,2.50 31,1,2024-09-07 08:25:10:568,143088,143088,0,0,67199158666,688853085,142837,249,2,356,391553,0 31,2,2024-09-07 08:25:11:276,100889,100889,0,0,5133246,0,3525 31,3,2024-09-07 08:25:11:708,1,81,1,0,129,584,81,0 32,0,2024-09-07 08:25:11:462,19278,1.3,19429,1.1,38944,2.5,51794,1.75 32,1,2024-09-07 08:25:11:040,142728,142728,0,0,67433093243,701466782,141724,975,29,382,391595,0 32,2,2024-09-07 08:25:10:939,105355,105355,0,0,4224680,0,3155 32,3,2024-09-07 08:25:11:022,1,81,7,0,110,671,81,0 33,0,2024-09-07 08:25:11:516,16934,0.2,16655,0.4,33900,0.1,44695,1.50 33,1,2024-09-07 08:25:10:575,143022,143022,0,0,67021200424,697252823,141361,1538,123,369,391526,0 33,2,2024-09-07 08:25:10:763,103444,103411,33,0,5698614,0,7012 33,3,2024-09-07 08:25:10:900,1,81,1,0,129,531,81,0 34,0,2024-09-07 08:25:10:937,21195,0.2,21876,0.4,42022,0.1,56802,1.50 34,1,2024-09-07 08:25:11:060,143085,143085,0,0,67027096972,691129157,142489,592,4,367,391517,0 34,2,2024-09-07 08:25:10:767,100823,100823,0,0,4682094,0,3255 34,3,2024-09-07 08:25:11:699,1,81,1,0,148,703,81,0 35,0,2024-09-07 08:25:10:873,22069,0.8,22311,0.8,44546,0.9,59553,2.00 35,1,2024-09-07 08:25:11:071,142608,142608,0,0,66632626445,693349150,141140,1106,362,384,391587,0 35,2,2024-09-07 08:25:11:587,99286,99286,0,0,4155402,0,2542 35,3,2024-09-07 08:25:10:916,1,81,1,0,219,1082,81,0 36,0,2024-09-07 08:25:11:529,20916,1.7,20842,1.3,42095,2.1,55509,2.50 36,1,2024-09-07 08:25:10:603,142101,142101,0,0,66158569108,696451184,139089,2081,931,366,391543,0 36,2,2024-09-07 08:25:11:757,104336,104336,0,0,5278415,0,3303 36,3,2024-09-07 08:25:10:865,1,81,1,0,378,1137,81,0 37,0,2024-09-07 08:25:11:379,14799,0.3,14653,0.5,29516,0.2,39426,1.75 37,1,2024-09-07 08:25:10:572,141967,141960,0,7,65958526915,698096231,139118,1323,1519,365,391518,0 37,2,2024-09-07 08:25:11:144,103740,103740,0,0,3874803,0,2333 37,3,2024-09-07 08:25:11:781,1,81,2,0,724,1834,81,0 38,0,2024-09-07 08:25:11:442,20419,0.3,19847,0.5,41460,0.2,54833,1.75 38,1,2024-09-07 08:25:11:609,142817,142817,0,0,67208818642,703966854,140662,1708,447,368,391821,0 38,2,2024-09-07 08:25:10:763,102877,102877,0,0,4643521,0,3245 38,3,2024-09-07 08:25:11:004,1,81,1,0,603,1424,81,0 39,0,2024-09-07 08:25:11:771,23005,1.0,22550,0.9,43772,1.2,59535,2.00 39,1,2024-09-07 08:25:10:724,142282,142282,0,0,66147653308,694258052,139650,1994,638,366,391524,0 39,2,2024-09-07 08:25:11:428,98692,98692,0,0,4241680,0,2381 39,3,2024-09-07 08:25:10:725,1,81,2,0,276,1236,81,0 40,0,2024-09-07 08:25:11:509,22092,1.7,22518,2.0,44592,3.5,59577,3.50 40,1,2024-09-07 08:25:10:595,141852,141852,0,0,65761695470,694310426,138598,2501,753,369,391591,0 40,2,2024-09-07 08:25:11:304,103335,103334,1,0,5551883,0,5137 40,3,2024-09-07 08:25:11:146,1,81,1,0,110,817,81,0 41,0,2024-09-07 08:25:11:039,15500,0.6,15827,3.9,30321,0.6,41675,2.50 41,1,2024-09-07 08:25:10:768,141979,141979,0,0,66343189358,697567000,139555,2111,313,370,391742,0 41,2,2024-09-07 08:25:10:762,104254,104254,0,0,5753925,0,3356 41,3,2024-09-07 08:25:11:687,1,81,1,0,168,867,81,0 42,0,2024-09-07 08:25:11:495,19266,0.4,19627,0.7,38999,0.3,52097,2.00 42,1,2024-09-07 08:25:11:439,141451,141451,0,0,66180100542,699933542,138458,2163,830,381,391511,0 42,2,2024-09-07 08:25:11:144,102567,102567,0,0,5032556,0,3568 42,3,2024-09-07 08:25:11:013,1,81,1,0,100,682,81,0 43,0,2024-09-07 08:25:10:927,22663,1.1,22094,1.0,46064,1.3,60526,2.00 43,1,2024-09-07 08:25:10:575,141852,141852,0,0,66724358448,699616450,139585,1515,752,366,391604,0 43,2,2024-09-07 08:25:11:750,99595,99595,0,0,5018319,0,3812 43,3,2024-09-07 08:25:11:752,1,81,2,0,325,1729,81,0 44,0,2024-09-07 08:25:10:864,24079,0.7,24020,1.0,48117,0.8,64302,2.00 44,1,2024-09-07 08:25:10:565,142439,142439,0,0,66581069609,689022459,141419,913,107,356,391523,0 44,2,2024-09-07 08:25:11:268,101562,101562,0,0,3781477,0,1865 44,3,2024-09-07 08:25:11:097,1,81,2,0,817,1347,81,0 45,0,2024-09-07 08:25:11:766,18185,1.6,17779,1.4,37266,3.4,49722,2.00 45,1,2024-09-07 08:25:11:008,142493,142493,0,0,66986762010,695150908,142024,468,1,382,391917,0 45,2,2024-09-07 08:25:11:268,105586,105586,0,0,4464781,0,2628 45,3,2024-09-07 08:25:10:935,1,81,1,0,226,854,81,0 46,0,2024-09-07 08:25:10:970,17572,0.3,17609,0.5,35257,0.2,46925,1.75 46,1,2024-09-07 08:25:10:580,142848,142848,0,0,67490321390,698274657,141996,814,38,368,391514,0 46,2,2024-09-07 08:25:10:598,103835,103835,0,0,4337446,0,2920 46,3,2024-09-07 08:25:11:136,1,81,21,0,315,1112,81,0 47,0,2024-09-07 08:25:11:116,22731,0.4,22989,0.5,45993,0.3,60619,1.75 47,1,2024-09-07 08:25:10:569,143086,143086,0,0,66465320686,686387391,142578,504,4,366,391605,0 47,2,2024-09-07 08:25:10:911,101271,101271,0,0,4286014,0,2558 47,3,2024-09-07 08:25:11:119,1,81,1,0,529,1146,81,0 48,0,2024-09-07 08:25:11:512,22993,0.3,22819,0.5,45360,0.3,60870,1.75 48,1,2024-09-07 08:25:11:024,142704,142704,0,0,66699486161,694565963,141503,1195,6,384,391637,0 48,2,2024-09-07 08:25:10:701,100273,100273,0,0,3466062,0,2083 48,3,2024-09-07 08:25:10:752,1,81,15,0,39,692,81,0 49,0,2024-09-07 08:25:11:725,20219,1.0,19756,1.0,38815,1.4,52994,2.00 49,1,2024-09-07 08:25:11:024,141898,141898,0,0,66698320552,698447783,140159,1029,710,382,391583,0 49,2,2024-09-07 08:25:11:802,105250,105250,0,0,4629584,0,3900 49,3,2024-09-07 08:25:11:421,1,81,1,0,274,846,81,0 50,0,2024-09-07 08:25:11:542,15524,0.2,15299,0.4,31014,0.1,41579,1.50 50,1,2024-09-07 08:25:11:015,143106,143106,0,0,67620189673,702809309,141436,1373,297,368,391530,0 50,2,2024-09-07 08:25:11:076,103482,103482,0,0,3869076,0,2253 50,3,2024-09-07 08:25:11:298,1,81,2,0,335,890,81,0 51,0,2024-09-07 08:25:11:702,21368,0.2,20856,0.3,40965,0.1,55764,1.50 51,1,2024-09-07 08:25:11:680,142508,142508,0,0,67635472961,704283348,140707,1017,784,367,391637,0 51,2,2024-09-07 08:25:11:316,101768,101768,0,0,3289445,0,2448 51,3,2024-09-07 08:25:11:029,1,81,2,0,162,544,81,0 52,0,2024-09-07 08:25:11:433,22134,0.8,22260,0.9,44553,0.9,59046,2.25 52,1,2024-09-07 08:25:10:576,141948,141948,0,0,66317347006,697489428,139435,2048,465,368,391594,0 52,2,2024-09-07 08:25:11:757,98785,98785,0,0,4475178,0,4779 52,3,2024-09-07 08:25:10:677,1,81,4,0,1782,2453,81,0 53,0,2024-09-07 08:25:11:761,21077,1.9,20270,1.4,42270,3.0,55902,2.75 53,1,2024-09-07 08:25:10:776,141972,141972,0,0,66961540961,704077205,139348,2049,575,370,391617,0 53,2,2024-09-07 08:25:11:302,105034,105034,0,0,4072128,0,1902 53,3,2024-09-07 08:25:10:702,1,81,13,0,133,628,81,0 54,0,2024-09-07 08:25:11:641,14758,7.6,14985,2.2,29634,0.9,40842,4.50 54,1,2024-09-07 08:25:10:580,142034,142034,0,0,66896108760,698934609,139924,1794,316,367,391520,0 54,2,2024-09-07 08:25:10:866,104472,104466,6,0,4906548,0,5382 54,3,2024-09-07 08:25:10:766,1,81,1,0,676,1709,81,0 55,0,2024-09-07 08:25:11:771,19699,0.3,20139,0.5,40868,0.2,53509,2.00 55,1,2024-09-07 08:25:10:767,141506,141506,0,0,65730585893,691843024,138023,2781,702,367,391731,0 55,2,2024-09-07 08:25:10:733,102687,102687,0,0,4823535,0,3275 55,3,2024-09-07 08:25:10:687,1,81,0,0,136,859,81,0 56,0,2024-09-07 08:25:11:588,23040,1.9,21712,1.4,44724,2.3,59712,2.50 56,1,2024-09-07 08:25:10:572,141553,141553,0,0,67284304780,716069922,138154,2651,748,382,391678,0 56,2,2024-09-07 08:25:11:304,99049,99049,0,0,5319279,0,3567 56,3,2024-09-07 08:25:11:064,1,81,5,0,297,1013,81,0 57,0,2024-09-07 08:25:10:947,22703,3.0,22421,1.8,45225,5.2,60597,4.25 57,1,2024-09-07 08:25:11:001,141537,141537,0,0,66108578731,692608319,140059,1458,20,368,391760,0 57,2,2024-09-07 08:25:11:332,102692,102692,0,0,5365040,0,3178 57,3,2024-09-07 08:25:11:745,1,81,1,0,359,1680,81,0 58,0,2024-09-07 08:25:10:558,16714,0.6,16395,0.9,34248,0.5,44747,2.75 58,1,2024-09-07 08:25:10:576,142995,142992,0,3,66956529480,698123141,141392,1440,160,369,391516,3 58,2,2024-09-07 08:25:11:071,104978,104978,0,0,5012108,0,2549 58,3,2024-09-07 08:25:11:078,1,81,0,0,219,885,81,0 59,0,2024-09-07 08:25:11:748,18756,0.5,18626,0.7,37381,0.4,49812,2.00 59,1,2024-09-07 08:25:10:830,141753,141753,0,0,66572365915,700305891,139503,1483,767,369,391515,0 59,2,2024-09-07 08:25:10:583,103791,103791,0,0,4513829,0,2604 59,3,2024-09-07 08:25:11:745,1,81,1,0,1015,2008,81,0 60,0,2024-09-07 08:25:11:778,22908,0.5,23117,0.6,45627,0.5,60430,1.75 60,1,2024-09-07 08:25:10:777,143241,143241,0,0,67023232615,697725390,142153,739,349,370,391758,0 60,2,2024-09-07 08:25:11:155,99560,99560,0,0,3999665,0,2142 60,3,2024-09-07 08:25:11:262,1,81,1,0,124,948,81,0 61,0,2024-09-07 08:25:11:561,23501,2.4,23605,1.5,47101,3.8,63213,2.25 61,1,2024-09-07 08:25:10:776,141918,141918,0,0,66277377319,699683161,139356,2026,536,383,391589,0 61,2,2024-09-07 08:25:11:126,100950,100950,0,0,4239831,0,1846 61,3,2024-09-07 08:25:11:691,1,81,1,0,199,1137,81,0 62,0,2024-09-07 08:25:11:722,19353,1.2,19828,1.1,37949,2.1,51789,2.00 62,1,2024-09-07 08:25:11:112,142793,142787,0,6,67263699417,697215407,141532,1217,38,365,391586,6 62,2,2024-09-07 08:25:11:660,104937,104937,0,0,4793054,0,2931 62,3,2024-09-07 08:25:11:149,1,81,1,0,287,679,81,0 63,0,2024-09-07 08:25:11:459,16782,0.3,16660,0.6,33675,0.2,44674,1.75 63,1,2024-09-07 08:25:10:818,143313,143308,0,5,66904921856,692483964,142900,405,3,381,391540,5 63,2,2024-09-07 08:25:10:763,103042,103042,0,0,4270023,0,2674 63,3,2024-09-07 08:25:11:739,1,81,1,0,667,1895,81,0 64,0,2024-09-07 08:25:11:550,21181,0.3,21055,0.5,42207,0.2,56756,1.75 64,1,2024-09-07 08:25:10:773,142541,142541,0,0,66515240328,700520760,140101,1646,794,371,391783,0 64,2,2024-09-07 08:25:11:147,101696,101677,19,0,4645422,0,6121 64,3,2024-09-07 08:25:11:149,1,81,2,1,265,1067,81,0 65,0,2024-09-07 08:25:11:689,21664,2.1,22010,1.4,43976,2.6,58906,3.50 65,1,2024-09-07 08:25:10:872,141877,141877,0,0,66447143926,697423234,140167,1491,219,382,391770,0 65,2,2024-09-07 08:25:11:693,100177,100177,0,0,4742947,0,3367 65,3,2024-09-07 08:25:11:692,1,81,5,0,163,892,81,0 66,0,2024-09-07 08:25:11:781,20420,0.7,20633,1.0,41362,0.7,54917,2.50 66,1,2024-09-07 08:25:11:301,143108,143108,0,0,67189974883,696984083,142417,670,21,380,391588,0 66,2,2024-09-07 08:25:11:146,105584,105584,0,0,4385621,0,3867 66,3,2024-09-07 08:25:11:084,1,81,1,0,291,962,81,0 67,0,2024-09-07 08:25:11:421,14906,0.4,14932,0.6,29494,0.3,39350,2.00 67,1,2024-09-07 08:25:10:768,141915,141914,0,1,66624938527,699963225,139894,1495,525,382,391787,1 67,2,2024-09-07 08:25:10:593,104579,104579,0,0,4093200,0,2889 67,3,2024-09-07 08:25:11:759,1,81,12,0,138,704,81,0 68,0,2024-09-07 08:25:10:599,20539,0.4,20515,0.6,40898,0.3,54701,2.00 68,1,2024-09-07 08:25:10:584,141579,141579,0,0,66195663555,694906570,140160,897,522,382,391953,0 68,2,2024-09-07 08:25:11:062,102417,102417,0,0,4164489,0,4883 68,3,2024-09-07 08:25:10:731,1,81,1,0,63,778,81,0 69,0,2024-09-07 08:25:11:791,22452,1.5,22330,1.2,44551,1.9,59549,2.75 69,1,2024-09-07 08:25:11:021,141766,141766,0,0,66330113329,703123410,139768,1131,867,384,391611,0 69,2,2024-09-07 08:25:11:749,98994,98994,0,0,4729921,0,3153 69,3,2024-09-07 08:25:10:762,1,81,2,0,238,1197,81,0 70,0,2024-09-07 08:25:11:543,22157,3.1,22182,2.2,44770,1.9,59197,4.50 70,1,2024-09-07 08:25:10:801,142830,142830,0,0,67499656845,699333380,141911,784,135,368,391527,0 70,2,2024-09-07 08:25:11:332,103282,103282,0,0,4671278,0,4044 70,3,2024-09-07 08:25:10:745,1,81,1,0,178,523,81,0 71,0,2024-09-07 08:25:11:363,15549,1.2,15556,2.7,30742,1.7,41844,4.00 71,1,2024-09-07 08:25:11:609,142512,142512,0,0,67149050303,702515278,140310,1886,316,368,391682,0 71,2,2024-09-07 08:25:11:079,105006,105006,0,0,4397140,0,2146 71,3,2024-09-07 08:25:11:756,1,81,1,0,219,1027,81,0 72,0,2024-09-07 08:25:11:046,19988,0.4,19420,0.6,38469,0.3,52313,2.25 72,1,2024-09-07 08:25:11:026,142630,142630,0,0,66532369411,694431913,141077,1475,78,370,391556,0 72,2,2024-09-07 08:25:11:770,102484,102484,0,0,5706282,0,2570 72,3,2024-09-07 08:25:11:770,1,81,0,0,325,1399,81,0 73,0,2024-09-07 08:25:11:107,22268,0.5,22830,0.6,46425,0.4,60179,2.25 73,1,2024-09-07 08:25:10:769,142351,142351,0,0,66714278520,694688172,141383,924,44,368,391627,0 73,2,2024-09-07 08:25:11:761,100249,100249,0,0,5140184,0,3482 73,3,2024-09-07 08:25:10:973,1,81,0,0,274,1404,81,0 74,0,2024-09-07 08:25:11:330,24075,1.3,24515,1.2,47069,1.8,63782,3.00 74,1,2024-09-07 08:25:10:642,142109,142109,0,0,66254017408,694196900,140209,1328,572,382,391511,0 74,2,2024-09-07 08:25:11:007,101352,101352,0,0,5497070,0,4253 74,3,2024-09-07 08:25:11:441,1,81,1,0,246,1483,81,0 75,0,2024-09-07 08:25:11:777,18484,2.0,18452,1.5,36897,3.7,49784,3.25 75,1,2024-09-07 08:25:11:600,142374,142374,0,0,66297597296,695323634,140672,1598,104,381,391579,0 75,2,2024-09-07 08:25:11:354,105019,105019,0,0,5349912,0,4766 75,3,2024-09-07 08:25:11:070,1,81,0,0,143,612,81,0 76,0,2024-09-07 08:25:10:596,17567,0.3,17364,0.6,34800,0.2,46624,2.00 76,1,2024-09-07 08:25:10:815,142263,142263,0,0,66238251151,696463636,140450,1307,506,382,391530,0 76,2,2024-09-07 08:25:11:070,104175,104175,0,0,4328796,0,3064 76,3,2024-09-07 08:25:11:158,1,81,1,0,175,1046,81,0 77,0,2024-09-07 08:25:11:715,22773,0.6,22924,0.8,45920,0.7,60584,2.00 77,1,2024-09-07 08:25:10:830,142280,142280,0,0,66429478031,693627425,141241,970,69,383,391516,0 77,2,2024-09-07 08:25:11:284,100873,100873,0,0,3731063,0,1695 77,3,2024-09-07 08:25:11:097,1,81,7,0,139,788,81,0 78,0,2024-09-07 08:25:11:724,22650,1.0,22645,1.0,45387,1.1,60524,2.25 78,1,2024-09-07 08:25:10:615,142796,142796,0,0,65980028362,688456649,141375,1216,205,367,391589,0 78,2,2024-09-07 08:25:11:405,100303,100303,0,0,3872512,0,2114 78,3,2024-09-07 08:25:11:136,1,81,1,0,181,1263,81,0 79,0,2024-09-07 08:25:11:359,18978,0.8,19494,1.0,39730,0.9,52026,3.00 79,1,2024-09-07 08:25:10:572,142773,142773,0,0,66587946047,692811522,141434,1270,69,370,391682,0 79,2,2024-09-07 08:25:11:078,105271,105271,0,0,4438372,0,2679 79,3,2024-09-07 08:25:10:750,1,81,1,0,289,890,81,0 80,0,2024-09-07 08:25:11:135,15354,0.4,15894,0.6,30573,0.2,41301,1.75 80,1,2024-09-07 08:25:11:629,142110,142110,0,0,66922034211,697758337,140518,1477,115,369,391673,0 80,2,2024-09-07 08:25:11:093,103711,103711,0,0,4468268,0,4433 80,3,2024-09-07 08:25:10:589,1,81,23,1,190,1291,81,0 81,0,2024-09-07 08:25:11:561,20935,0.4,21455,0.6,41154,0.3,55759,1.75 81,1,2024-09-07 08:25:11:658,142218,142218,0,0,66087261112,694236206,140327,1693,198,383,391680,0 81,2,2024-09-07 08:25:11:138,101553,101553,0,0,4698924,0,3993 81,3,2024-09-07 08:25:11:118,1,81,2,0,193,889,81,0 82,0,2024-09-07 08:25:11:544,22081,0.9,22022,1.0,44286,1.1,59146,2.25 82,1,2024-09-07 08:25:10:583,142181,142178,0,3,66683249501,698185787,140139,1467,572,382,391558,3 82,2,2024-09-07 08:25:11:703,100225,100225,0,0,3721876,0,2230 82,3,2024-09-07 08:25:11:766,1,81,10,0,227,1345,81,0 83,0,2024-09-07 08:25:11:541,21110,1.6,21063,1.3,41814,1.9,55657,3.00 83,1,2024-09-07 08:25:10:551,142063,142063,0,0,66405362068,694873543,140643,1319,101,383,391553,0 83,2,2024-09-07 08:25:10:770,103543,103543,0,0,4701200,0,3119 83,3,2024-09-07 08:25:10:755,1,81,2,0,91,614,81,0 84,0,2024-09-07 08:25:11:790,15278,3.6,15041,3.1,30108,0.7,41410,2.75 84,1,2024-09-07 08:25:11:044,142070,142070,0,0,66631817556,699031801,140451,1370,249,369,391638,0 84,2,2024-09-07 08:25:10:574,104002,104002,0,0,4736395,0,3801 84,3,2024-09-07 08:25:11:142,1,81,1,1,58,835,81,0 85,0,2024-09-07 08:25:11:019,19388,0.3,19433,0.5,41182,0.2,53595,1.75 85,1,2024-09-07 08:25:10:559,141769,141769,0,0,66474494707,703781419,139310,2030,429,384,391652,0 85,2,2024-09-07 08:25:10:865,102435,102435,0,0,5029973,0,3656 85,3,2024-09-07 08:25:10:688,1,81,8,0,115,788,81,0 86,0,2024-09-07 08:25:10:890,22377,0.8,23200,0.8,44634,1.0,59701,2.00 86,1,2024-09-07 08:25:10:830,141960,141960,0,0,66672744533,698184631,140162,1582,216,367,391622,0 86,2,2024-09-07 08:25:10:856,98615,98614,1,0,5228605,0,5004 86,3,2024-09-07 08:25:10:587,1,81,2,0,199,920,81,0 87,0,2024-09-07 08:25:11:297,23099,2.7,22920,1.6,46008,3.9,61751,3.00 87,1,2024-09-07 08:25:10:552,142134,142134,0,0,66766132902,699682413,140549,1464,121,366,391788,0 87,2,2024-09-07 08:25:11:072,102635,102635,0,0,3992827,0,2859 87,3,2024-09-07 08:25:11:817,1,81,8,1,322,1221,81,0 88,0,2024-09-07 08:25:11:452,17404,0.7,17660,0.8,35053,0.7,46504,1.75 88,1,2024-09-07 08:25:10:584,141794,141794,0,0,66767724136,698762832,140578,1119,97,367,391787,0 88,2,2024-09-07 08:25:10:688,105520,105520,0,0,5620268,0,3583 88,3,2024-09-07 08:25:11:267,1,81,9,1,77,1011,81,0 89,0,2024-09-07 08:25:11:779,19224,0.3,18622,0.5,36971,0.3,50254,1.75 89,1,2024-09-07 08:25:10:556,141281,141281,0,0,66643743347,710502403,137954,2591,736,383,391866,0 89,2,2024-09-07 08:25:11:136,103262,103262,0,0,5082297,0,2726 89,3,2024-09-07 08:25:11:808,1,81,1,0,325,1925,81,0 90,0,2024-09-07 08:25:11:652,22176,0.5,22593,0.6,46509,0.5,60306,1.75 90,1,2024-09-07 08:25:10:591,141990,141990,0,0,66269354116,699569932,139935,1912,143,382,391825,0 90,2,2024-09-07 08:25:11:424,98757,98757,0,0,5648165,0,2635 90,3,2024-09-07 08:25:10:932,1,81,1,1,200,997,81,0 91,0,2024-09-07 08:25:10:967,24009,0.6,23249,0.7,48177,0.7,63605,2.00 91,1,2024-09-07 08:25:10:557,141820,141820,0,0,67003722309,707889627,139415,2040,365,383,391914,0 91,2,2024-09-07 08:25:11:343,100175,100175,0,0,4816282,0,1997 91,3,2024-09-07 08:25:10:600,1,81,1,1,155,1138,81,0 92,0,2024-09-07 08:25:11:477,19520,0.9,19968,1.0,38139,1.2,51941,1.75 92,1,2024-09-07 08:25:10:624,142458,142458,0,0,66608844120,694866190,141799,592,67,382,391717,0 92,2,2024-09-07 08:25:11:350,106502,106502,0,0,4700842,0,2279 92,3,2024-09-07 08:25:11:014,1,81,1,1,68,903,81,0 93,0,2024-09-07 08:25:10:961,16887,0.3,17205,0.5,32843,0.2,44734,1.75 93,1,2024-09-07 08:25:11:040,142388,142388,0,0,67081862405,703327616,140624,1328,436,367,391689,0 93,2,2024-09-07 08:25:10:939,103605,103605,0,0,4252114,0,2509 93,3,2024-09-07 08:25:11:409,1,81,3,0,143,772,81,0 94,0,2024-09-07 08:25:11:665,21351,0.3,21508,0.4,42420,0.2,56906,1.50 94,1,2024-09-07 08:25:10:564,141690,141690,0,0,66256079104,697061136,140096,1528,66,381,391850,0 94,2,2024-09-07 08:25:10:766,100969,100969,0,0,4226578,0,2443 94,3,2024-09-07 08:25:11:699,1,81,2,1,231,1346,81,0 95,0,2024-09-07 08:25:11:387,22294,0.5,22172,0.6,44790,0.4,59656,1.75 95,1,2024-09-07 08:25:10:860,142470,142470,0,0,66897403491,696219518,141664,742,64,367,391590,0 95,2,2024-09-07 08:25:11:024,99694,99694,0,0,4501156,0,3308 95,3,2024-09-07 08:25:11:709,1,81,9,0,307,1342,81,0 96,0,2024-09-07 08:25:11:043,21145,0.8,20955,0.9,41910,0.9,55431,1.75 96,1,2024-09-07 08:25:11:621,142232,142232,0,0,66616269721,700788885,140388,1273,571,385,391596,0 96,2,2024-09-07 08:25:11:271,105270,105270,0,0,4920395,0,4038 96,3,2024-09-07 08:25:11:140,1,81,6,0,36,723,81,0 97,0,2024-09-07 08:25:11:326,14735,0.3,14706,0.5,29718,0.2,39339,1.75 97,1,2024-09-07 08:25:10:766,142271,142271,0,0,67293663657,704490778,140078,1674,519,367,392140,0 97,2,2024-09-07 08:25:10:615,103545,103545,0,0,4154020,0,3036 97,3,2024-09-07 08:25:10:569,1,81,2,1,165,1037,81,0 98,0,2024-09-07 08:25:11:731,20430,0.2,20286,0.4,41314,0.2,54896,1.50 98,1,2024-09-07 08:25:10:585,142351,142351,0,0,66479264148,694984861,141273,1033,45,382,391997,0 98,2,2024-09-07 08:25:10:772,103412,103412,0,0,4363069,0,3080 98,3,2024-09-07 08:25:10:699,1,81,9,1,155,1031,81,0 99,0,2024-09-07 08:25:11:521,22468,0.4,22407,0.5,44812,0.3,59555,1.75 99,1,2024-09-07 08:25:11:735,142604,142604,0,0,66226348536,692820054,141114,1047,443,381,391744,0 99,2,2024-09-07 08:25:11:429,99969,99969,0,0,4355412,0,1858 99,3,2024-09-07 08:25:10:599,1,81,6,0,129,749,81,0 100,0,2024-09-07 08:25:11:487,22553,1.8,22353,2.2,44589,4.8,60035,2.50 100,1,2024-09-07 08:25:10:549,141381,141381,0,0,65999465521,701859327,138632,2404,345,381,391989,0 100,2,2024-09-07 08:25:11:824,102848,102837,11,0,5530602,0,5417 100,3,2024-09-07 08:25:11:739,1,81,71,1,443,2005,81,0 101,0,2024-09-07 08:25:11:725,16070,3.4,15684,1.7,30950,0.8,42887,2.75 101,1,2024-09-07 08:25:10:555,141903,141903,0,0,66938230079,702960524,140417,1036,450,369,391709,0 101,2,2024-09-07 08:25:11:765,104824,104824,0,0,4880665,0,4644 101,3,2024-09-07 08:25:10:950,1,81,1,0,448,1116,81,0 102,0,2024-09-07 08:25:10:955,18990,0.4,19709,0.5,39560,0.3,52076,1.75 102,1,2024-09-07 08:25:11:143,141881,141881,0,0,66546534186,703181673,139407,2006,468,369,391831,0 102,2,2024-09-07 08:25:11:770,103546,103546,0,0,4059121,0,1945 102,3,2024-09-07 08:25:11:621,1,81,1,0,410,911,81,0 103,0,2024-09-07 08:25:11:610,23388,0.5,23314,0.7,44236,0.5,60615,1.75 103,1,2024-09-07 08:25:11:632,141902,141902,0,0,66795939377,700290689,140671,1087,144,381,391680,0 103,2,2024-09-07 08:25:10:584,99144,99144,0,0,4310822,0,2104 103,3,2024-09-07 08:25:10:761,1,81,2,1,486,1128,81,0 104,0,2024-09-07 08:25:11:038,23963,1.7,23884,1.4,46854,2.1,64037,2.50 104,1,2024-09-07 08:25:11:616,142678,142678,0,0,66292599700,699035907,140719,1633,326,366,391948,0 104,2,2024-09-07 08:25:11:689,100644,100644,0,0,5191542,0,3941 104,3,2024-09-07 08:25:11:435,1,81,14,1,1245,3937,81,0 105,0,2024-09-07 08:25:11:077,18310,1.0,17668,1.5,36784,1.4,49461,3.25 105,1,2024-09-07 08:25:10:566,141741,141741,0,0,66147911928,699803604,138788,2207,746,367,391797,0 105,2,2024-09-07 08:25:11:324,105220,105220,0,0,4977703,0,3314 105,3,2024-09-07 08:25:11:304,1,81,1,1,399,1667,81,0 106,0,2024-09-07 08:25:10:951,16915,0.3,17425,0.6,35480,0.2,46695,1.75 106,1,2024-09-07 08:25:11:768,142267,142267,0,0,66348566560,699284684,139856,2084,327,370,391767,0 106,2,2024-09-07 08:25:10:757,102717,102717,0,0,4969212,0,2795 106,3,2024-09-07 08:25:10:677,1,81,1,1,201,919,81,0 107,0,2024-09-07 08:25:11:110,22965,0.5,22837,0.7,45426,0.4,60855,1.75 107,1,2024-09-07 08:25:10:586,141495,141495,0,0,65877690314,696721036,139552,1753,190,382,392234,0 107,2,2024-09-07 08:25:11:292,100115,100114,1,0,4717170,0,5024 107,3,2024-09-07 08:25:11:764,1,81,4,0,353,1320,81,0 108,0,2024-09-07 08:25:11:848,22843,0.6,23053,0.7,45534,0.6,60816,1.75 108,1,2024-09-07 08:25:11:293,142074,142074,0,0,66806506427,700406063,140601,1339,134,371,391857,0 108,2,2024-09-07 08:25:11:785,99930,99930,0,0,4649807,0,2647 108,3,2024-09-07 08:25:11:334,1,81,0,0,73,1047,81,0 109,0,2024-09-07 08:25:11:802,19843,0.8,20030,0.9,39487,0.9,53151,2.00 109,1,2024-09-07 08:25:10:605,141675,141675,0,0,67360664461,710355524,140005,1287,383,383,391812,0 109,2,2024-09-07 08:25:10:926,103931,103931,0,0,5076029,0,3617 109,3,2024-09-07 08:25:11:140,1,81,1,1,249,1372,81,0 110,0,2024-09-07 08:25:11:786,15505,0.2,15142,0.4,31421,0.2,41265,1.50 110,1,2024-09-07 08:25:11:663,142462,142462,0,0,66446371905,692522567,141140,1035,287,370,391588,0 110,2,2024-09-07 08:25:11:318,103793,103793,0,0,4269745,0,2915 110,3,2024-09-07 08:25:10:690,1,81,1,0,183,745,81,0 111,0,2024-09-07 08:25:11:418,20960,0.2,20669,0.4,41369,0.1,55699,1.50 111,1,2024-09-07 08:25:11:007,142922,142922,0,0,67765376390,701809908,142275,634,13,382,391690,0 111,2,2024-09-07 08:25:11:120,102281,102281,0,0,4463656,0,2763 111,3,2024-09-07 08:25:10:918,1,81,1,0,300,1601,81,0 112,0,2024-09-07 08:25:10:939,22318,0.4,22309,0.6,44370,0.4,59353,1.75 112,1,2024-09-07 08:25:10:839,142249,142249,0,0,67522039974,704762538,140736,1167,346,381,391580,0 112,2,2024-09-07 08:25:11:147,99554,99553,1,0,4653246,0,5036 112,3,2024-09-07 08:25:10:600,1,81,1,0,282,891,81,0 113,0,2024-09-07 08:25:10:882,21218,0.6,21024,0.7,42820,0.5,56757,1.75 113,1,2024-09-07 08:25:11:690,142661,142661,0,0,66863559112,696116040,140768,1509,384,368,391661,0 113,2,2024-09-07 08:25:11:302,104551,104551,0,0,3834081,0,3021 113,3,2024-09-07 08:25:10:697,1,81,191,0,288,1461,81,0 114,0,2024-09-07 08:25:10:884,15559,3.3,15728,1.6,30945,0.7,41924,2.00 114,1,2024-09-07 08:25:10:726,142469,142469,0,0,67107717689,706006053,139860,1728,881,381,391531,0 114,2,2024-09-07 08:25:10:877,104162,104162,0,0,4427242,0,3925 114,3,2024-09-07 08:25:11:278,1,81,14,0,159,925,81,0 115,0,2024-09-07 08:25:10:565,19862,0.2,20021,0.3,40243,0.1,53623,1.50 115,1,2024-09-07 08:25:10:575,142237,142237,0,0,66957515638,701752313,139863,1887,487,383,391528,0 115,2,2024-09-07 08:25:11:131,103566,103566,0,0,3818853,0,2152 115,3,2024-09-07 08:25:11:006,1,81,24,0,159,511,81,0 116,0,2024-09-07 08:25:11:712,22604,0.9,22169,0.9,44983,1.1,59806,2.00 116,1,2024-09-07 08:25:10:836,141828,141828,0,0,67091077161,710447259,139940,1017,871,382,391605,0 116,2,2024-09-07 08:25:11:772,99000,99000,0,0,4924940,0,3529 116,3,2024-09-07 08:25:10:916,1,81,1,1,252,1309,81,0 117,0,2024-09-07 08:25:11:033,23103,2.2,23166,1.4,46091,3.4,61837,2.25 117,1,2024-09-07 08:25:11:582,142547,142547,0,0,66381126657,700104110,140224,2030,293,370,392033,0 117,2,2024-09-07 08:25:11:130,102889,102889,0,0,4360791,0,3700 117,3,2024-09-07 08:25:11:074,1,81,1,0,490,1827,81,0 118,0,2024-09-07 08:25:11:780,17071,0.7,17445,0.8,35711,0.7,46350,2.00 118,1,2024-09-07 08:25:10:584,142478,142478,0,0,66085376404,692783820,141235,1163,80,368,391736,0 118,2,2024-09-07 08:25:11:627,105354,105354,0,0,4784370,0,2781 118,3,2024-09-07 08:25:11:789,1,81,2,1,235,1251,81,0 119,0,2024-09-07 08:25:11:497,18439,0.3,18546,0.4,37819,0.2,49953,1.75 119,1,2024-09-07 08:25:10:550,142631,142631,0,0,67242524964,704545154,141013,1469,149,370,391641,0 119,2,2024-09-07 08:25:11:298,102632,102632,0,0,4515186,0,2532 119,3,2024-09-07 08:25:11:334,1,81,42,0,443,2203,81,0 120,0,2024-09-07 08:25:11:569,22608,0.6,22708,0.8,45118,0.6,60308,2.00 120,1,2024-09-07 08:25:10:863,142045,142045,0,0,66587347778,700298991,140515,1514,16,368,391702,0 120,2,2024-09-07 08:25:10:777,99063,99062,1,0,5670951,0,5281 120,3,2024-09-07 08:25:11:291,1,81,1,0,241,1403,81,0 121,0,2024-09-07 08:25:11:720,23425,2.3,23635,1.5,47277,3.5,63511,2.50 121,1,2024-09-07 08:25:11:670,142130,142130,0,0,66377674420,697061417,140250,1610,270,368,391840,0 121,2,2024-09-07 08:25:11:137,100063,100063,0,0,6000999,0,4127 121,3,2024-09-07 08:25:10:736,1,81,2,0,113,881,81,0 122,0,2024-09-07 08:25:11:806,19237,2.2,18617,1.8,38805,2.6,52049,2.50 122,1,2024-09-07 08:25:10:863,141131,141131,0,0,66294577259,702193215,138119,2488,524,368,392130,0 122,2,2024-09-07 08:25:11:336,105489,105489,0,0,6049615,0,3364 122,3,2024-09-07 08:25:10:604,1,81,11,1,298,1830,81,0 123,0,2024-09-07 08:25:10:977,16728,0.3,16266,0.5,34004,0.2,44625,1.75 123,1,2024-09-07 08:25:10:592,142334,142334,0,0,66589362004,707400639,138867,2899,568,369,391823,0 123,2,2024-09-07 08:25:11:021,102764,102763,1,0,4967597,0,5215 123,3,2024-09-07 08:25:11:137,1,81,25,1,160,1041,81,0 124,0,2024-09-07 08:25:10:953,21824,0.3,21818,0.4,41257,0.2,57026,1.50 124,1,2024-09-07 08:25:11:024,142100,142100,0,0,66160473253,687363251,141294,661,145,367,392178,0 124,2,2024-09-07 08:25:11:014,101099,101099,0,0,3958108,0,2477 124,3,2024-09-07 08:25:10:758,1,81,2,1,490,1661,81,0 125,0,2024-09-07 08:25:11:424,22427,0.6,22453,0.7,44852,0.7,59661,1.75 125,1,2024-09-07 08:25:10:858,142112,142112,0,0,66618477951,699118067,140359,1481,272,384,391702,0 125,2,2024-09-07 08:25:11:120,99831,99831,0,0,4321694,0,2180 125,3,2024-09-07 08:25:11:130,1,81,2,1,93,1112,81,0 126,0,2024-09-07 08:25:11:468,21016,1.2,21588,1.0,41313,1.7,55868,2.00 126,1,2024-09-07 08:25:10:606,142892,142892,0,0,67096510542,697422234,141841,996,55,365,391987,0 126,2,2024-09-07 08:25:10:630,104692,104692,0,0,4724084,0,3186 126,3,2024-09-07 08:25:10:908,1,81,7,0,122,1138,81,0 127,0,2024-09-07 08:25:11:625,14876,0.2,14841,0.4,29637,0.2,39371,1.50 127,1,2024-09-07 08:25:10:573,142318,142318,0,0,66566259946,691469640,141180,1115,23,365,391614,0 127,2,2024-09-07 08:25:10:649,104022,104022,0,0,3943812,0,1803 127,3,2024-09-07 08:25:11:272,1,81,1,0,99,639,81,0 128,0,2024-09-07 08:25:11:596,20617,0.2,20584,0.4,40736,0.2,54993,1.50 128,1,2024-09-07 08:25:11:620,142368,142368,0,0,67336765487,698631054,141645,653,70,367,391680,0 128,2,2024-09-07 08:25:11:383,102032,102032,0,0,4565576,0,2107 128,3,2024-09-07 08:25:10:775,1,81,1,1,112,902,81,0 129,0,2024-09-07 08:25:11:006,22538,0.4,22491,0.6,44841,0.4,59481,1.75 129,1,2024-09-07 08:25:10:567,142084,142084,0,0,66631820040,699747408,140254,1555,275,379,391835,0 129,2,2024-09-07 08:25:10:687,98604,98604,0,0,3933775,0,2446 129,3,2024-09-07 08:25:10:688,1,81,1,1,173,1264,81,0 130,0,2024-09-07 08:25:11:761,22727,1.4,22616,1.1,45346,2.0,60593,2.25 130,1,2024-09-07 08:25:10:593,142194,142194,0,0,66573474672,696254123,140879,1282,33,381,391609,0 130,2,2024-09-07 08:25:11:142,103532,103532,0,0,4652734,0,4067 130,3,2024-09-07 08:25:11:291,1,81,1,1,207,648,81,0 131,0,2024-09-07 08:25:11:963,16048,0.9,15981,0.9,32316,1.2,42725,1.75 131,1,2024-09-07 08:25:11:821,143062,143062,0,0,66308704212,691922709,142041,835,186,384,391865,0 131,2,2024-09-07 08:25:10:623,105199,105199,0,0,3885403,0,2415 131,3,2024-09-07 08:25:11:699,1,81,1,0,392,1137,81,0 132,0,2024-09-07 08:25:11:424,19349,0.3,19627,0.5,39065,0.2,52272,1.75 132,1,2024-09-07 08:25:10:581,141655,141655,0,0,66179674512,700269108,139065,1884,706,381,391719,0 132,2,2024-09-07 08:25:10:704,102888,102888,0,0,6154872,0,4606 132,3,2024-09-07 08:25:11:696,1,81,2,1,356,1064,81,0 133,0,2024-09-07 08:25:11:585,22241,0.5,22594,0.6,46550,0.5,59942,1.75 133,1,2024-09-07 08:25:10:592,140954,140954,0,0,65830268756,696795499,138740,2119,95,383,391755,0 133,2,2024-09-07 08:25:11:089,99712,99712,0,0,5284197,0,2444 133,3,2024-09-07 08:25:11:306,1,81,9,1,187,671,81,0 134,0,2024-09-07 08:25:10:978,24065,0.9,24019,1.0,48228,1.0,63980,2.25 134,1,2024-09-07 08:25:10:586,142307,142307,0,0,65950368791,695742255,139665,1661,981,366,391718,0 134,2,2024-09-07 08:25:11:772,101323,101323,0,0,3960568,0,2026 134,3,2024-09-07 08:25:10:753,1,81,0,0,739,1979,81,0 135,0,2024-09-07 08:25:11:130,17963,1.6,18002,1.5,38014,1.6,49288,2.25 135,1,2024-09-07 08:25:11:615,141794,141794,0,0,66586577896,700432498,139846,1621,327,380,391703,0 135,2,2024-09-07 08:25:10:721,105786,105786,0,0,4953038,0,3981 135,3,2024-09-07 08:25:11:006,1,81,2,0,68,499,81,0 136,0,2024-09-07 08:25:11:661,17507,0.4,17557,0.6,35033,0.3,47063,2.00 136,1,2024-09-07 08:25:11:440,142001,142001,0,0,66534413663,699566458,140096,1758,147,384,391621,0 136,2,2024-09-07 08:25:11:142,104347,104347,0,0,4477155,0,2379 136,3,2024-09-07 08:25:11:105,1,81,0,0,108,880,81,0 137,0,2024-09-07 08:25:10:939,23454,0.6,22710,0.8,45000,0.6,60939,2.00 137,1,2024-09-07 08:25:10:577,141902,141902,0,0,66795961311,700912085,139923,1865,114,367,391608,0 137,2,2024-09-07 08:25:11:715,99636,99636,0,0,5486037,0,2632 137,3,2024-09-07 08:25:10:773,1,81,3,1,227,1130,81,0 138,0,2024-09-07 08:25:11:783,22155,2.6,22315,1.6,45319,3.8,60719,3.00 138,1,2024-09-07 08:25:11:702,141890,141890,0,0,66820194954,703014681,139558,2068,264,370,391954,0 138,2,2024-09-07 08:25:10:592,100639,100639,0,0,4719994,0,3263 138,3,2024-09-07 08:25:10:613,1,81,9,0,1160,1961,81,0 139,0,2024-09-07 08:25:11:382,19237,4.5,19250,2.4,38913,5.5,52427,3.75 139,1,2024-09-07 08:25:10:576,141472,141472,0,0,65844805419,702443226,138582,2161,729,381,391892,0 139,2,2024-09-07 08:25:10:727,104472,104472,0,0,6022743,0,3097 139,3,2024-09-07 08:25:11:664,1,81,1,0,244,1354,81,0 140,0,2024-09-07 08:25:11:611,15475,0.2,15371,0.4,30931,0.2,41353,1.50 140,1,2024-09-07 08:25:11:539,142877,142877,0,0,67191047834,695267712,141948,732,197,365,391606,0 140,2,2024-09-07 08:25:10:687,104031,104031,0,0,4720747,0,3388 140,3,2024-09-07 08:25:10:772,1,81,2,0,25,587,81,0 141,0,2024-09-07 08:25:11:717,20581,0.2,21294,0.4,41177,0.1,55663,1.50 141,1,2024-09-07 08:25:10:861,142466,142466,0,0,66861577447,696937965,141043,1092,331,382,391538,0 141,2,2024-09-07 08:25:11:700,101806,101806,0,0,4251652,0,2342 141,3,2024-09-07 08:25:11:048,1,81,9,0,147,603,81,0 142,0,2024-09-07 08:25:11:320,22543,0.4,22241,0.6,44190,0.4,59450,1.75 142,1,2024-09-07 08:25:10:585,142763,142763,0,0,66786438718,695889540,142205,543,15,384,391649,0 142,2,2024-09-07 08:25:11:302,98685,98685,0,0,4566509,0,2293 142,3,2024-09-07 08:25:11:764,1,81,2,0,484,1359,81,0 143,0,2024-09-07 08:25:11:390,21280,1.1,21357,0.9,42918,1.5,56611,2.00 143,1,2024-09-07 08:25:10:560,142433,142433,0,0,66559020255,691190009,141452,953,28,367,391619,0 143,2,2024-09-07 08:25:10:773,104140,104140,0,0,5062606,0,2669 143,3,2024-09-07 08:25:11:141,1,81,1,0,236,1182,81,0 144,0,2024-09-07 08:25:11:547,14832,1.1,15305,5.6,30950,0.8,41591,2.25 144,1,2024-09-07 08:25:10:580,142066,142066,0,0,66281246029,697103546,140493,1381,192,381,391638,0 144,2,2024-09-07 08:25:11:784,104575,104575,0,0,4240883,0,3473 144,3,2024-09-07 08:25:11:773,1,81,25,1,169,1285,81,0 145,0,2024-09-07 08:25:11:387,19363,0.4,19349,0.5,40928,0.3,53658,1.75 145,1,2024-09-07 08:25:10:554,141517,141517,0,0,66294036822,698281002,139588,1664,265,383,391615,0 145,2,2024-09-07 08:25:11:444,103211,103211,0,0,5114526,0,3903 145,3,2024-09-07 08:25:10:903,1,81,10,0,151,1076,81,0 146,0,2024-09-07 08:25:11:622,22395,0.7,22396,0.8,45051,0.7,59387,2.25 146,1,2024-09-07 08:25:11:633,142437,142437,0,0,65964222263,694532930,140141,1995,301,368,391600,0 146,2,2024-09-07 08:25:11:712,98330,98330,0,0,4715465,0,2379 146,3,2024-09-07 08:25:11:274,1,81,1,0,1520,3144,81,0 147,0,2024-09-07 08:25:11:738,22970,2.7,22697,1.6,45252,4.0,61749,3.00 147,1,2024-09-07 08:25:11:374,142445,142445,0,0,67321816700,701501095,140725,1365,355,368,391791,0 147,2,2024-09-07 08:25:11:014,102871,102871,0,0,4751974,0,2789 147,3,2024-09-07 08:25:10:926,1,81,3,1,141,836,81,0 0,0,2024-09-07 08:25:21:787,22188,0.6,22174,0.8,46875,0.7,60499,1.75 0,1,2024-09-07 08:25:20:842,144115,144115,0,0,67406155255,708165052,142887,1101,127,372,391673,0 0,2,2024-09-07 08:25:21:070,100214,100214,0,0,4670986,0,4480 0,3,2024-09-07 08:25:20:976,1,82,3,0,247,1260,82,0 1,0,2024-09-07 08:25:21:782,23885,2.6,23667,1.7,47745,4.0,64015,2.75 1,1,2024-09-07 08:25:20:640,143474,143474,0,0,66871413089,702921780,141776,1169,529,372,391857,0 1,2,2024-09-07 08:25:20:682,101705,101705,0,0,4414812,0,3267 1,3,2024-09-07 08:25:21:316,1,82,4,0,167,805,82,0 2,0,2024-09-07 08:25:21:570,19388,1.1,19510,1.2,38692,2.1,52153,2.25 2,1,2024-09-07 08:25:20:864,144351,144351,0,0,68007704397,708582237,143270,877,204,381,391558,0 2,2,2024-09-07 08:25:21:271,106066,106066,0,0,4634842,0,3304 2,3,2024-09-07 08:25:20:707,1,82,1,0,214,867,82,0 3,0,2024-09-07 08:25:21:758,17072,0.3,17150,0.5,34233,0.2,45400,1.75 3,1,2024-09-07 08:25:21:622,143293,143293,0,0,67160891752,702879669,141314,1593,386,382,391516,0 3,2,2024-09-07 08:25:21:149,104729,104706,23,0,4971956,0,5851 3,3,2024-09-07 08:25:21:761,1,82,1,0,103,593,82,0 4,0,2024-09-07 08:25:21:931,21024,0.3,21695,0.4,43830,0.2,58402,1.50 4,1,2024-09-07 08:25:20:602,143646,143646,0,0,66943724505,706841581,141745,1483,418,371,391846,0 4,2,2024-09-07 08:25:21:023,101599,101599,0,0,5496106,0,4528 4,3,2024-09-07 08:25:21:038,1,82,18,1,287,1386,82,0 5,0,2024-09-07 08:25:21:413,22723,0.7,22535,0.8,45460,0.9,60344,1.75 5,1,2024-09-07 08:25:20:771,143952,143952,0,0,67618330659,712783095,141737,1773,442,368,392005,0 5,2,2024-09-07 08:25:21:845,101072,101072,0,0,4439202,0,2259 5,3,2024-09-07 08:25:21:737,1,82,1,0,238,1600,82,0 6,0,2024-09-07 08:25:20:925,21175,1.0,20866,1.2,41789,1.4,55998,2.50 6,1,2024-09-07 08:25:20:995,144505,144505,0,0,67672557489,705451889,143382,1054,69,381,391603,0 6,2,2024-09-07 08:25:21:127,106695,106695,0,0,4708682,0,2411 6,3,2024-09-07 08:25:21:280,1,82,10,0,340,1469,82,0 7,0,2024-09-07 08:25:21:569,14815,0.3,14932,0.4,29907,0.2,39969,1.75 7,1,2024-09-07 08:25:20:855,144263,144263,0,0,67593339318,705749285,143283,916,64,383,391664,0 7,2,2024-09-07 08:25:20:782,104528,104528,0,0,4418698,0,2981 7,3,2024-09-07 08:25:20:861,1,82,4,0,83,687,82,0 8,0,2024-09-07 08:25:21:383,20848,0.3,20991,0.4,41354,0.2,55929,1.50 8,1,2024-09-07 08:25:21:034,143860,143860,0,0,67468566922,718276527,140372,2235,1253,368,391724,0 8,2,2024-09-07 08:25:20:797,102472,102472,0,0,5600044,0,2986 8,3,2024-09-07 08:25:20:631,1,82,1,0,229,1117,82,0 9,0,2024-09-07 08:25:21:244,22538,0.4,21929,0.6,45879,0.4,59807,1.75 9,1,2024-09-07 08:25:20:566,144350,144350,0,0,67886923828,717323118,141902,1880,568,370,391738,0 9,2,2024-09-07 08:25:21:083,100330,100330,0,0,5041414,0,3360 9,3,2024-09-07 08:25:21:762,1,82,8,0,149,1074,82,0 10,0,2024-09-07 08:25:21:612,22624,0.7,22679,0.8,45341,0.7,60266,2.00 10,1,2024-09-07 08:25:20:582,143344,143344,0,0,67482911396,714154540,140006,2816,522,381,391741,0 10,2,2024-09-07 08:25:20:770,104542,104542,0,0,5202000,0,2940 10,3,2024-09-07 08:25:20:885,1,82,1,0,136,616,82,0 11,0,2024-09-07 08:25:21:036,15761,0.9,15350,1.2,32215,2.6,43144,2.25 11,1,2024-09-07 08:25:20:575,143683,143683,0,0,67859597700,715386216,141042,1826,815,384,391537,0 11,2,2024-09-07 08:25:21:127,105769,105769,0,0,4926141,0,2635 11,3,2024-09-07 08:25:21:299,1,82,1,0,720,2389,82,0 12,0,2024-09-07 08:25:20:988,20121,0.3,20023,0.5,39990,0.2,53461,1.50 12,1,2024-09-07 08:25:20:940,143373,143373,0,0,66986150355,703205996,141712,1561,100,371,391790,0 12,2,2024-09-07 08:25:21:576,105186,105186,0,0,4707271,0,2254 12,3,2024-09-07 08:25:21:071,1,82,8,0,358,1505,82,0 13,0,2024-09-07 08:25:21:350,22881,0.4,23010,0.6,45792,0.4,60722,1.75 13,1,2024-09-07 08:25:21:534,143805,143805,0,0,67379049585,710062635,142208,1230,367,384,391717,0 13,2,2024-09-07 08:25:20:625,100495,100495,0,0,4243460,0,3287 13,3,2024-09-07 08:25:21:764,1,82,2,1,416,1701,82,0 14,0,2024-09-07 08:25:20:580,24173,0.7,24333,0.9,48159,0.9,64013,2.00 14,1,2024-09-07 08:25:21:577,144723,144723,0,0,67228022371,697404942,143840,852,31,365,391546,0 14,2,2024-09-07 08:25:20:770,102446,102446,0,0,4875930,0,2793 14,3,2024-09-07 08:25:21:115,1,82,2,0,906,1304,82,0 15,0,2024-09-07 08:25:21:567,18740,1.0,18500,1.2,37153,1.2,49883,2.50 15,1,2024-09-07 08:25:21:636,143326,143326,0,0,67236045472,700371706,142503,788,35,381,391536,0 15,2,2024-09-07 08:25:21:024,107473,107473,0,0,3955898,0,3043 15,3,2024-09-07 08:25:21:409,1,82,1,0,538,2382,82,0 16,0,2024-09-07 08:25:20:989,17827,0.3,17962,0.5,35545,0.2,47964,2.00 16,1,2024-09-07 08:25:20:575,143706,143706,0,0,67045498414,702593898,142566,1112,28,372,391756,0 16,2,2024-09-07 08:25:21:466,104000,104000,0,0,5151213,0,4719 16,3,2024-09-07 08:25:21:144,1,82,26,1,231,1454,82,0 17,0,2024-09-07 08:25:21:893,23634,0.5,23135,0.7,45423,0.5,61123,2.00 17,1,2024-09-07 08:25:20:576,143804,143804,0,0,67218452992,709527691,141919,1361,524,369,391688,0 17,2,2024-09-07 08:25:21:678,102045,102045,0,0,3953327,0,2857 17,3,2024-09-07 08:25:20:581,1,82,2,0,268,1635,82,0 18,0,2024-09-07 08:25:20:945,22425,1.3,22776,1.2,45707,1.7,60877,2.50 18,1,2024-09-07 08:25:21:641,143992,143992,0,0,67147716371,699230625,142740,1034,218,368,391555,0 18,2,2024-09-07 08:25:21:770,101274,101274,0,0,4857825,0,3541 18,3,2024-09-07 08:25:20:896,1,82,2,0,163,1021,82,0 19,0,2024-09-07 08:25:21:552,19564,1.5,19756,1.4,39257,1.7,52185,4.25 19,1,2024-09-07 08:25:20:569,143975,143975,0,0,67645900194,707332330,142106,1705,164,367,391777,0 19,2,2024-09-07 08:25:21:761,107094,107094,0,0,4503254,0,3988 19,3,2024-09-07 08:25:21:130,1,82,1,0,524,1199,82,0 20,0,2024-09-07 08:25:21:434,15920,0.3,15906,0.5,31607,0.2,42328,1.75 20,1,2024-09-07 08:25:20:621,143984,143984,0,0,67565977500,709371975,142281,1541,162,370,391598,0 20,2,2024-09-07 08:25:20:936,104363,104363,0,0,4390995,0,2446 20,3,2024-09-07 08:25:20:607,1,82,12,0,99,955,82,0 21,0,2024-09-07 08:25:21:195,21357,0.3,21281,0.5,42187,0.3,56123,1.75 21,1,2024-09-07 08:25:21:566,143583,143583,0,0,67097273738,708784408,141240,1848,495,368,391962,0 21,2,2024-09-07 08:25:21:079,103121,103121,0,0,4614169,0,3747 21,3,2024-09-07 08:25:21:414,1,82,1,0,93,1117,82,0 22,0,2024-09-07 08:25:21:728,22207,1.8,22157,1.3,44234,3.0,59480,2.75 22,1,2024-09-07 08:25:21:023,143718,143718,0,0,67233759100,711151936,140577,2415,726,382,391667,0 22,2,2024-09-07 08:25:20:770,100647,100647,0,0,4015884,0,3134 22,3,2024-09-07 08:25:21:068,1,82,1,0,228,658,82,0 23,0,2024-09-07 08:25:21:375,21310,1.2,21395,1.0,42860,1.4,57034,2.50 23,1,2024-09-07 08:25:21:008,144154,144154,0,0,67579837210,702212741,142804,1096,254,367,391549,0 23,2,2024-09-07 08:25:21:106,105333,105333,0,0,4302125,0,2078 23,3,2024-09-07 08:25:21:761,1,82,2,0,645,1352,82,0 24,0,2024-09-07 08:25:20:886,15991,0.6,16016,0.7,32013,0.6,42719,1.75 24,1,2024-09-07 08:25:20:756,143857,143857,0,0,66948639492,698089683,143039,811,7,369,391640,0 24,2,2024-09-07 08:25:21:070,104901,104901,0,0,5686355,0,2942 24,3,2024-09-07 08:25:21:710,1,82,1,0,234,1146,82,0 25,0,2024-09-07 08:25:21:431,21041,0.3,20527,0.4,40462,0.2,55144,1.50 25,1,2024-09-07 08:25:20:580,143505,143505,0,0,66910864531,705515646,141348,1841,316,371,391788,0 25,2,2024-09-07 08:25:21:616,103779,103779,0,0,5150214,0,3284 25,3,2024-09-07 08:25:21:025,1,82,3,1,158,771,82,0 26,0,2024-09-07 08:25:21:739,22477,0.5,21936,0.6,45845,0.4,60065,1.75 26,1,2024-09-07 08:25:21:555,144178,144178,0,0,66749568396,707055916,140715,2628,835,381,391564,0 26,2,2024-09-07 08:25:20:860,100249,100249,0,0,5027043,0,2809 26,3,2024-09-07 08:25:21:719,1,82,1,0,796,1298,82,0 27,0,2024-09-07 08:25:21:749,23308,0.9,23514,0.9,46576,1.3,62236,2.00 27,1,2024-09-07 08:25:21:690,144739,144739,0,0,67664620819,703736190,143598,846,295,381,391539,0 27,2,2024-09-07 08:25:20:877,102662,102662,0,0,6066775,0,3409 27,3,2024-09-07 08:25:21:023,1,82,2,0,564,1245,82,0 28,0,2024-09-07 08:25:21:404,17417,0.6,17692,0.8,35202,0.8,46531,2.00 28,1,2024-09-07 08:25:20:812,144307,144307,0,0,67909718472,708286986,143243,864,200,383,391646,0 28,2,2024-09-07 08:25:21:775,106501,106501,0,0,4180615,0,2609 28,3,2024-09-07 08:25:21:779,1,82,1,0,502,1269,82,0 29,0,2024-09-07 08:25:21:388,19471,0.3,18948,0.5,37509,0.2,51121,1.75 29,1,2024-09-07 08:25:21:578,145242,145242,0,0,67511671988,698444393,144451,619,172,369,391621,0 29,2,2024-09-07 08:25:20:885,105191,105191,0,0,3695155,0,2026 29,3,2024-09-07 08:25:20:976,1,82,1,0,105,693,82,0 30,0,2024-09-07 08:25:21:485,22703,1.1,22169,0.9,46371,1.4,60448,2.50 30,1,2024-09-07 08:25:20:586,144413,144413,0,0,67657679382,704828891,143436,912,65,382,391534,0 30,2,2024-09-07 08:25:21:290,101223,101223,0,0,3582947,0,2534 30,3,2024-09-07 08:25:20:583,1,82,2,0,195,652,82,0 31,0,2024-09-07 08:25:21:785,23662,1.2,23783,1.1,47874,1.5,63671,2.25 31,1,2024-09-07 08:25:20:569,144897,144897,0,0,67991247949,696852903,144646,249,2,356,391553,0 31,2,2024-09-07 08:25:21:283,102282,102282,0,0,5160896,0,3525 31,3,2024-09-07 08:25:21:722,1,82,1,0,129,585,82,0 32,0,2024-09-07 08:25:21:424,19579,1.2,19787,1.1,39533,2.5,52692,1.75 32,1,2024-09-07 08:25:20:805,144479,144479,0,0,68461910713,711924783,143475,975,29,382,391595,0 32,2,2024-09-07 08:25:20:935,106763,106763,0,0,4262717,0,3155 32,3,2024-09-07 08:25:21:024,1,82,68,0,110,739,82,0 33,0,2024-09-07 08:25:21:503,17274,0.2,16931,0.4,34459,0.1,45391,1.50 33,1,2024-09-07 08:25:20:590,144828,144828,0,0,68236177167,709664829,143167,1538,123,369,391730,0 33,2,2024-09-07 08:25:20:784,104848,104815,33,0,5736145,0,7012 33,3,2024-09-07 08:25:20:911,1,82,3,0,129,534,82,0 34,0,2024-09-07 08:25:20:939,21768,0.2,22415,0.4,43005,0.2,58323,1.50 34,1,2024-09-07 08:25:21:045,144831,144831,0,0,67889818879,699951111,144235,592,4,367,391517,0 34,2,2024-09-07 08:25:20:769,101512,101512,0,0,4700178,0,3255 34,3,2024-09-07 08:25:21:709,1,82,0,0,148,703,82,0 35,0,2024-09-07 08:25:20:879,22374,0.8,22665,0.8,45157,0.9,60405,2.00 35,1,2024-09-07 08:25:21:068,144346,144346,0,0,67514204866,702410120,142878,1106,362,384,391587,0 35,2,2024-09-07 08:25:21:583,100876,100876,0,0,4194229,0,2542 35,3,2024-09-07 08:25:20:912,1,82,1,0,219,1083,82,0 36,0,2024-09-07 08:25:21:533,21086,1.7,20983,1.3,42412,2.0,55921,2.50 36,1,2024-09-07 08:25:20:585,143856,143856,0,0,66737789100,702420425,140844,2081,931,366,391543,0 36,2,2024-09-07 08:25:21:764,105813,105813,0,0,5302346,0,3303 36,3,2024-09-07 08:25:20:870,1,82,2,0,378,1139,82,0 37,0,2024-09-07 08:25:21:399,15052,0.3,14875,0.5,30046,0.2,40054,1.75 37,1,2024-09-07 08:25:20:576,143770,143763,0,7,66858860294,707221445,140921,1323,1519,365,391518,0 37,2,2024-09-07 08:25:21:150,104785,104785,0,0,3893310,0,2333 37,3,2024-09-07 08:25:21:781,1,82,1,0,724,1835,82,0 38,0,2024-09-07 08:25:21:444,20829,0.3,20201,0.5,42237,0.2,55873,1.75 38,1,2024-09-07 08:25:21:608,144603,144603,0,0,67986869523,711896777,142447,1709,447,368,391821,0 38,2,2024-09-07 08:25:20:775,103876,103876,0,0,4658698,0,3245 38,3,2024-09-07 08:25:21:023,1,82,2,0,603,1426,82,0 39,0,2024-09-07 08:25:21:783,23164,1.0,22715,0.9,44115,1.2,60015,2.00 39,1,2024-09-07 08:25:20:726,144106,144106,0,0,67226745100,705167890,141474,1994,638,365,391524,0 39,2,2024-09-07 08:25:21:421,100265,100265,0,0,4268491,0,2381 39,3,2024-09-07 08:25:20:716,1,82,0,0,276,1236,82,0 40,0,2024-09-07 08:25:21:531,22203,1.7,22611,2.0,44757,3.5,59820,3.50 40,1,2024-09-07 08:25:20:583,143652,143652,0,0,66398044674,700780518,140398,2501,753,369,391591,0 40,2,2024-09-07 08:25:21:303,104733,104732,1,0,5562619,0,5137 40,3,2024-09-07 08:25:21:152,1,82,2,0,110,819,82,0 41,0,2024-09-07 08:25:21:055,15595,0.5,15924,3.9,30498,0.6,41993,2.50 41,1,2024-09-07 08:25:20:820,143772,143772,0,0,67122705417,705468484,141348,2111,313,370,391742,0 41,2,2024-09-07 08:25:20:762,105354,105354,0,0,5763278,0,3356 41,3,2024-09-07 08:25:21:698,1,82,1,0,168,868,82,0 42,0,2024-09-07 08:25:21:484,19699,0.4,20102,0.7,39927,0.3,53425,2.00 42,1,2024-09-07 08:25:21:439,143197,143197,0,0,67070052406,708976746,140204,2163,830,381,391511,0 42,2,2024-09-07 08:25:21:147,103998,103998,0,0,5043874,0,3568 42,3,2024-09-07 08:25:21:022,1,82,29,0,100,711,82,0 43,0,2024-09-07 08:25:20:927,22772,1.1,22201,1.0,46290,1.3,60819,2.00 43,1,2024-09-07 08:25:20:588,143596,143596,0,0,67585088287,708311432,141329,1515,752,366,391604,0 43,2,2024-09-07 08:25:21:738,100771,100771,0,0,5027234,0,3812 43,3,2024-09-07 08:25:21:751,1,82,1,0,325,1730,82,0 44,0,2024-09-07 08:25:20:885,24190,0.7,24133,1.0,48374,0.8,64549,2.00 44,1,2024-09-07 08:25:20:570,144247,144247,0,0,67404572546,697370477,143226,914,107,356,391569,0 44,2,2024-09-07 08:25:21:271,102821,102821,0,0,3805197,0,1865 44,3,2024-09-07 08:25:21:108,1,82,2,0,817,1349,82,0 45,0,2024-09-07 08:25:21:765,18401,1.5,17964,1.4,37711,2.8,50240,2.00 45,1,2024-09-07 08:25:21:022,144255,144255,0,0,67840361053,703823835,143786,468,1,382,391917,0 45,2,2024-09-07 08:25:21:271,107156,107156,0,0,4487828,0,2628 45,3,2024-09-07 08:25:20:933,1,82,12,0,226,866,82,0 46,0,2024-09-07 08:25:20:967,17972,0.3,17985,0.5,36038,0.2,48121,1.50 46,1,2024-09-07 08:25:20:575,144687,144687,0,0,68371089853,707216760,143835,814,38,368,391514,0 46,2,2024-09-07 08:25:20:592,105358,105358,0,0,4361300,0,2920 46,3,2024-09-07 08:25:21:146,1,82,1,0,315,1113,82,0 47,0,2024-09-07 08:25:21:132,22923,0.4,23192,0.5,46447,0.3,60905,1.75 47,1,2024-09-07 08:25:20:570,144822,144822,0,0,67303674903,694893820,144314,504,4,366,391605,0 47,2,2024-09-07 08:25:20:913,102027,102027,0,0,4301937,0,2558 47,3,2024-09-07 08:25:21:115,1,82,13,0,529,1159,82,0 48,0,2024-09-07 08:25:21:525,23280,0.3,23072,0.5,46003,0.3,61628,1.75 48,1,2024-09-07 08:25:21:027,144454,144454,0,0,67491760986,702665718,143252,1196,6,384,391637,0 48,2,2024-09-07 08:25:20:699,101702,101702,0,0,3499481,0,2083 48,3,2024-09-07 08:25:20:762,1,82,1,0,39,693,82,0 49,0,2024-09-07 08:25:21:738,20415,1.0,19970,1.0,39205,1.3,53600,2.00 49,1,2024-09-07 08:25:21:027,143659,143659,0,0,67508160993,706792140,141920,1029,710,382,391583,0 49,2,2024-09-07 08:25:21:797,106785,106785,0,0,4670831,0,3900 49,3,2024-09-07 08:25:21:419,1,82,1,0,274,847,82,0 50,0,2024-09-07 08:25:21:547,15972,0.2,15713,0.4,31877,0.1,42781,1.50 50,1,2024-09-07 08:25:21:027,144856,144856,0,0,68327309551,710075934,143186,1373,297,368,391530,0 50,2,2024-09-07 08:25:21:072,104790,104790,0,0,3927901,0,2253 50,3,2024-09-07 08:25:21:294,1,82,1,0,335,891,82,0 51,0,2024-09-07 08:25:21:706,21677,0.2,21143,0.3,41557,0.1,56456,1.50 51,1,2024-09-07 08:25:21:696,144285,144285,0,0,68534689103,713479628,142484,1017,784,367,391637,0 51,2,2024-09-07 08:25:21:331,102755,102755,0,0,3306221,0,2448 51,3,2024-09-07 08:25:21:028,1,82,4,0,162,548,82,0 52,0,2024-09-07 08:25:21:424,22443,0.8,22570,0.9,45159,0.9,59927,2.25 52,1,2024-09-07 08:25:20:583,143769,143769,0,0,67271104962,707227741,141256,2048,465,368,391594,0 52,2,2024-09-07 08:25:21:763,100394,100394,0,0,4504637,0,4779 52,3,2024-09-07 08:25:20:685,1,82,1,0,1782,2454,82,0 53,0,2024-09-07 08:25:21:736,21223,1.9,20448,1.4,42595,2.8,56317,2.75 53,1,2024-09-07 08:25:20:773,143757,143757,0,0,67725194753,711851578,141133,2049,575,370,391617,0 53,2,2024-09-07 08:25:21:300,106380,106380,0,0,4099492,0,1902 53,3,2024-09-07 08:25:20:704,1,82,2,0,133,630,82,0 54,0,2024-09-07 08:25:21:656,15051,7.4,15312,2.1,30232,0.9,41652,4.50 54,1,2024-09-07 08:25:20:581,143841,143841,0,0,67878312417,708938002,141731,1794,316,367,391520,0 54,2,2024-09-07 08:25:20:879,105608,105602,6,0,4918721,0,5382 54,3,2024-09-07 08:25:20:768,1,82,1,0,676,1710,82,0 55,0,2024-09-07 08:25:21:778,20139,0.3,20578,0.5,41757,0.2,54681,2.00 55,1,2024-09-07 08:25:20:775,143248,143248,0,0,66592445075,700586067,139765,2781,702,367,391731,0 55,2,2024-09-07 08:25:20:740,104018,104018,0,0,4843491,0,3275 55,3,2024-09-07 08:25:20:684,1,82,2,0,136,861,82,0 56,0,2024-09-07 08:25:21:596,23161,1.9,21829,1.4,44969,2.3,60034,2.50 56,1,2024-09-07 08:25:20:575,143340,143340,0,0,68338758986,726793281,139941,2651,748,382,391678,0 56,2,2024-09-07 08:25:21:311,100473,100473,0,0,5331245,0,3567 56,3,2024-09-07 08:25:21:061,1,82,1,0,297,1014,82,0 57,0,2024-09-07 08:25:20:950,22822,3.0,22552,1.8,45452,5.2,60931,4.25 57,1,2024-09-07 08:25:21:008,143346,143346,0,0,67054544559,702188972,141868,1458,20,368,391760,0 57,2,2024-09-07 08:25:21:324,104063,104063,0,0,5379589,0,3178 57,3,2024-09-07 08:25:21:739,1,82,9,0,359,1689,82,0 58,0,2024-09-07 08:25:20:593,16761,0.6,16427,0.9,34332,0.5,44747,2.75 58,1,2024-09-07 08:25:20:585,144741,144738,0,3,67937821499,708043836,143138,1440,160,369,391516,3 58,2,2024-09-07 08:25:21:073,106366,106366,0,0,5020482,0,2549 58,3,2024-09-07 08:25:21:069,1,82,1,0,219,886,82,0 59,0,2024-09-07 08:25:21:748,19125,0.5,18980,0.7,38083,0.4,50726,2.00 59,1,2024-09-07 08:25:20:818,143573,143573,0,0,67577379327,710471229,141322,1483,768,369,391515,0 59,2,2024-09-07 08:25:20:585,105210,105210,0,0,4524964,0,2604 59,3,2024-09-07 08:25:21:739,1,82,1,0,1015,2009,82,0 60,0,2024-09-07 08:25:21:727,23005,0.5,23224,0.6,45828,0.5,60662,1.75 60,1,2024-09-07 08:25:20:777,145106,145106,0,0,68102149222,708694692,144018,739,349,370,391758,0 60,2,2024-09-07 08:25:21:148,100474,100474,0,0,4010605,0,2142 60,3,2024-09-07 08:25:21:280,1,82,1,0,124,949,82,0 61,0,2024-09-07 08:25:21:515,23718,2.4,23838,1.5,47576,3.7,63797,2.25 61,1,2024-09-07 08:25:20:782,143650,143650,0,0,67058950856,707679370,141088,2026,536,383,391589,0 61,2,2024-09-07 08:25:21:125,102253,102253,0,0,4257979,0,1846 61,3,2024-09-07 08:25:21:704,1,82,10,0,199,1147,82,0 62,0,2024-09-07 08:25:21:743,19681,1.1,20116,1.1,38580,1.9,52709,2.00 62,1,2024-09-07 08:25:21:119,144628,144622,0,6,68082868092,705548277,143367,1217,38,365,391586,6 62,2,2024-09-07 08:25:21:644,106430,106430,0,0,4817606,0,2931 62,3,2024-09-07 08:25:21:158,1,82,1,0,287,680,82,0 63,0,2024-09-07 08:25:21:467,17068,0.3,16955,0.6,34252,0.2,45373,1.75 63,1,2024-09-07 08:25:20:805,145030,145025,0,5,67731420877,700909640,144617,405,3,381,391540,5 63,2,2024-09-07 08:25:20:763,104486,104486,0,0,4306333,0,2674 63,3,2024-09-07 08:25:21:735,1,82,1,0,667,1896,82,0 64,0,2024-09-07 08:25:21:553,21674,0.4,21555,0.5,43214,0.3,58258,1.75 64,1,2024-09-07 08:25:20:758,144309,144309,0,0,67253884812,708105236,141868,1647,794,371,391783,0 64,2,2024-09-07 08:25:21:153,102462,102443,19,0,4663013,0,6121 64,3,2024-09-07 08:25:21:140,1,82,0,0,265,1067,82,0 65,0,2024-09-07 08:25:21:691,22000,2.1,22328,1.4,44616,2.6,59735,3.25 65,1,2024-09-07 08:25:20:870,143593,143593,0,0,67251419030,705716581,141883,1491,219,382,391770,0 65,2,2024-09-07 08:25:21:697,101630,101630,0,0,4796686,0,3367 65,3,2024-09-07 08:25:21:695,1,82,3,0,163,895,82,0 66,0,2024-09-07 08:25:21:776,20587,0.7,20785,1.0,41670,0.7,55362,2.50 66,1,2024-09-07 08:25:21:307,144988,144988,0,0,68042752817,705812096,144297,670,21,380,391588,0 66,2,2024-09-07 08:25:21:153,107087,107087,0,0,4444242,0,3867 66,3,2024-09-07 08:25:21:084,1,82,0,0,291,962,82,0 67,0,2024-09-07 08:25:21:428,15185,0.4,15218,0.6,30014,0.3,39960,2.00 67,1,2024-09-07 08:25:20:777,143813,143812,0,1,67594458745,710003904,141788,1499,525,382,391787,1 67,2,2024-09-07 08:25:20:599,105705,105705,0,0,4123773,0,2889 67,3,2024-09-07 08:25:21:759,1,82,1,0,138,705,82,0 68,0,2024-09-07 08:25:20:586,20951,0.4,20901,0.6,41717,0.3,55794,1.75 68,1,2024-09-07 08:25:20:570,143406,143406,0,0,67341405082,706552453,141987,897,522,382,391953,0 68,2,2024-09-07 08:25:21:046,103442,103442,0,0,4179736,0,4883 68,3,2024-09-07 08:25:20:732,1,82,25,0,63,803,82,0 69,0,2024-09-07 08:25:21:753,22601,1.5,22484,1.2,44899,1.9,59994,2.75 69,1,2024-09-07 08:25:21:027,143591,143591,0,0,66987194442,709895513,141592,1132,867,384,391611,0 69,2,2024-09-07 08:25:21:740,100579,100579,0,0,4747192,0,3153 69,3,2024-09-07 08:25:20:774,1,82,1,0,238,1198,82,0 70,0,2024-09-07 08:25:21:545,22278,3.1,22295,2.2,44973,1.9,59466,4.50 70,1,2024-09-07 08:25:20:806,144538,144538,0,0,68121527782,705693581,143619,784,135,368,391527,0 70,2,2024-09-07 08:25:21:325,104705,104705,0,0,4689795,0,4044 70,3,2024-09-07 08:25:20:745,1,82,2,0,178,525,82,0 71,0,2024-09-07 08:25:21:378,15647,1.2,15654,2.6,30940,1.7,42171,4.00 71,1,2024-09-07 08:25:21:604,144289,144289,0,0,67852676746,709689665,142087,1886,316,368,391682,0 71,2,2024-09-07 08:25:21:070,106066,106066,0,0,4407829,0,2146 71,3,2024-09-07 08:25:21:763,1,82,1,0,219,1028,82,0 72,0,2024-09-07 08:25:21:038,20498,0.3,19892,0.6,39339,0.3,53650,2.25 72,1,2024-09-07 08:25:21:023,144340,144340,0,0,67553303297,704760033,142787,1475,78,370,391556,0 72,2,2024-09-07 08:25:21:766,103738,103738,0,0,5716163,0,2570 72,3,2024-09-07 08:25:21:768,1,82,1,0,325,1400,82,0 73,0,2024-09-07 08:25:21:130,22364,0.5,22926,0.6,46643,0.4,60468,2.25 73,1,2024-09-07 08:25:20:782,144095,144095,0,0,67641532070,704080165,143126,925,44,368,391627,0 73,2,2024-09-07 08:25:21:740,101419,101419,0,0,5148694,0,3482 73,3,2024-09-07 08:25:20:970,1,82,2,0,274,1406,82,0 74,0,2024-09-07 08:25:21:344,24206,1.3,24630,1.2,47314,1.8,64030,3.00 74,1,2024-09-07 08:25:20:642,143859,143859,0,0,67077896914,702570428,141959,1328,572,382,391511,0 74,2,2024-09-07 08:25:21:030,102632,102632,0,0,5504721,0,4253 74,3,2024-09-07 08:25:21:443,1,82,0,0,246,1483,82,0 75,0,2024-09-07 08:25:21:782,18722,1.9,18688,1.5,37319,2.6,50318,3.25 75,1,2024-09-07 08:25:21:591,144066,144066,0,0,67109158354,703564387,142364,1598,104,381,391579,0 75,2,2024-09-07 08:25:21:363,106536,106536,0,0,5360852,0,4766 75,3,2024-09-07 08:25:21:068,1,82,8,0,143,620,82,0 76,0,2024-09-07 08:25:20:673,17976,0.3,17755,0.6,35561,0.2,47791,2.00 76,1,2024-09-07 08:25:20:816,143997,143997,0,0,66980296361,704103752,142184,1307,506,382,391530,0 76,2,2024-09-07 08:25:21:062,105664,105664,0,0,4352314,0,3064 76,3,2024-09-07 08:25:21:152,1,82,1,0,175,1047,82,0 77,0,2024-09-07 08:25:21:752,22950,0.7,23139,0.8,46348,0.7,60872,2.00 77,1,2024-09-07 08:25:20:843,143944,143944,0,0,67239583583,701945666,142903,972,69,383,391516,0 77,2,2024-09-07 08:25:21:282,101626,101626,0,0,3744579,0,1695 77,3,2024-09-07 08:25:21:110,1,82,75,0,139,863,82,0 78,0,2024-09-07 08:25:21:750,22985,0.9,22968,1.0,45964,1.1,61312,2.25 78,1,2024-09-07 08:25:20:841,144506,144506,0,0,66774902334,696576291,143084,1217,205,367,391589,0 78,2,2024-09-07 08:25:21:410,101771,101771,0,0,3900419,0,2114 78,3,2024-09-07 08:25:21:135,1,82,1,0,181,1264,82,0 79,0,2024-09-07 08:25:21:349,19190,0.8,19691,1.0,40166,0.9,52610,3.00 79,1,2024-09-07 08:25:20:586,144555,144555,0,0,67526154755,702321559,143216,1270,69,370,391682,0 79,2,2024-09-07 08:25:21:070,106771,106771,0,0,4460545,0,2679 79,3,2024-09-07 08:25:20:753,1,82,1,0,289,891,82,0 80,0,2024-09-07 08:25:21:118,15780,0.4,16334,0.6,31418,0.3,42624,1.75 80,1,2024-09-07 08:25:21:620,143831,143831,0,0,67535110757,704137000,142238,1478,115,369,391673,0 80,2,2024-09-07 08:25:21:111,105006,105006,0,0,4509470,0,4433 80,3,2024-09-07 08:25:20:589,1,82,1,1,190,1292,82,0 81,0,2024-09-07 08:25:21:547,21222,0.4,21736,0.6,41766,0.3,56475,1.75 81,1,2024-09-07 08:25:21:670,143966,143966,0,0,66938156315,702981582,142075,1693,198,383,391680,0 81,2,2024-09-07 08:25:21:131,102434,102434,0,0,4712639,0,3993 81,3,2024-09-07 08:25:21:131,1,82,3,0,193,892,82,0 82,0,2024-09-07 08:25:21:576,22395,0.9,22318,1.0,44900,1.1,60085,2.25 82,1,2024-09-07 08:25:20:599,144029,144025,0,4,67413710656,705876203,141985,1468,572,382,391558,4 82,2,2024-09-07 08:25:21:702,101771,101771,0,0,3777972,0,2230 82,3,2024-09-07 08:25:21:765,1,82,6,0,227,1351,82,0 83,0,2024-09-07 08:25:21:533,21285,1.5,21226,1.3,42119,1.9,56131,3.00 83,1,2024-09-07 08:25:20:566,143883,143883,0,0,67236677126,703356845,142463,1319,101,383,391553,0 83,2,2024-09-07 08:25:20:776,104963,104963,0,0,4759609,0,3119 83,3,2024-09-07 08:25:20:756,1,82,0,0,91,614,82,0 84,0,2024-09-07 08:25:21:792,15583,3.4,15335,2.9,30711,0.7,42335,2.75 84,1,2024-09-07 08:25:21:042,143900,143900,0,0,67580096383,708706229,142281,1370,249,369,391638,0 84,2,2024-09-07 08:25:20:575,105214,105214,0,0,4754410,0,3801 84,3,2024-09-07 08:25:21:152,1,82,3,1,58,838,82,0 85,0,2024-09-07 08:25:21:037,19845,0.3,19845,0.5,42033,0.2,54762,1.75 85,1,2024-09-07 08:25:20:566,143556,143556,0,0,67407105904,713304496,141095,2032,429,383,391652,0 85,2,2024-09-07 08:25:20:871,103820,103820,0,0,5048285,0,3656 85,3,2024-09-07 08:25:20:685,1,82,1,0,115,789,82,0 86,0,2024-09-07 08:25:21:097,22514,0.8,23330,0.8,44845,1.0,60024,2.00 86,1,2024-09-07 08:25:20:843,143601,143601,0,0,67415582005,705791510,141798,1586,217,367,391622,0 86,2,2024-09-07 08:25:20:884,99999,99998,1,0,5265963,0,5004 86,3,2024-09-07 08:25:20:619,1,82,4,0,199,924,82,0 87,0,2024-09-07 08:25:21:373,23224,2.7,23054,1.6,46252,3.9,62088,3.00 87,1,2024-09-07 08:25:20:560,143927,143927,0,0,67824495531,710423376,142342,1464,121,366,391788,0 87,2,2024-09-07 08:25:21:074,103891,103891,0,0,4024593,0,2859 87,3,2024-09-07 08:25:21:816,1,82,61,1,322,1282,82,0 88,0,2024-09-07 08:25:21:467,17452,0.6,17693,0.8,35133,0.7,46504,1.75 88,1,2024-09-07 08:25:20:575,143546,143546,0,0,67567515415,706852907,142330,1119,97,367,391787,0 88,2,2024-09-07 08:25:20:687,106899,106899,0,0,5630080,0,3583 88,3,2024-09-07 08:25:21:281,1,82,1,1,77,1012,82,0 89,0,2024-09-07 08:25:21:851,19602,0.3,19014,0.5,37727,0.3,51197,1.75 89,1,2024-09-07 08:25:20:559,143080,143080,0,0,67730272016,721518875,139753,2591,736,383,391866,0 89,2,2024-09-07 08:25:21:145,104588,104588,0,0,5091396,0,2726 89,3,2024-09-07 08:25:21:814,1,82,3,0,325,1928,82,0 90,0,2024-09-07 08:25:21:710,22271,0.5,22690,0.6,46675,0.5,60553,1.75 90,1,2024-09-07 08:25:20:590,143782,143782,0,0,67146976581,708503695,141727,1912,143,382,391825,0 90,2,2024-09-07 08:25:21:426,99716,99716,0,0,5657185,0,2635 90,3,2024-09-07 08:25:20:941,1,82,8,1,200,1005,82,0 91,0,2024-09-07 08:25:21:157,24236,0.6,23465,0.7,48693,0.7,64206,2.00 91,1,2024-09-07 08:25:20:754,143632,143632,0,0,67880001772,716813002,141227,2040,365,383,391914,0 91,2,2024-09-07 08:25:21:346,101591,101591,0,0,4828546,0,1997 91,3,2024-09-07 08:25:20:620,1,82,14,1,155,1152,82,0 92,0,2024-09-07 08:25:21:465,19848,0.9,20254,1.0,38746,1.1,52822,1.75 92,1,2024-09-07 08:25:20:599,144249,144249,0,0,67354264492,702501319,143590,592,67,382,391717,0 92,2,2024-09-07 08:25:21:370,108035,108035,0,0,4731820,0,2279 92,3,2024-09-07 08:25:21:024,1,82,0,0,68,903,82,0 93,0,2024-09-07 08:25:21:000,17210,0.3,17521,0.5,33396,0.2,45446,1.75 93,1,2024-09-07 08:25:20:812,144146,144146,0,0,67712219618,709780977,142382,1328,436,367,391689,0 93,2,2024-09-07 08:25:20:929,105030,105030,0,0,4283515,0,2509 93,3,2024-09-07 08:25:21:418,1,82,2,0,143,774,82,0 94,0,2024-09-07 08:25:21:660,21872,0.3,22029,0.5,43468,0.2,58412,1.50 94,1,2024-09-07 08:25:20:582,143427,143427,0,0,67113577579,705838008,141833,1528,66,381,391850,0 94,2,2024-09-07 08:25:20:771,101671,101671,0,0,4242749,0,2443 94,3,2024-09-07 08:25:21:699,1,82,3,1,231,1349,82,0 95,0,2024-09-07 08:25:21:408,22608,0.5,22500,0.6,45436,0.4,60495,1.75 95,1,2024-09-07 08:25:21:105,144183,144183,0,0,67637412189,703804140,143374,745,64,367,391590,0 95,2,2024-09-07 08:25:21:041,101269,101269,0,0,4536212,0,3308 95,3,2024-09-07 08:25:21:723,1,82,4,0,307,1346,82,0 96,0,2024-09-07 08:25:21:041,21292,0.8,21129,0.9,42218,0.9,55854,1.75 96,1,2024-09-07 08:25:21:588,144009,144009,0,0,67541487046,710314082,142165,1273,571,385,391596,0 96,2,2024-09-07 08:25:21:279,106801,106801,0,0,4969307,0,4038 96,3,2024-09-07 08:25:21:158,1,82,1,0,36,724,82,0 97,0,2024-09-07 08:25:21:329,14993,0.3,14971,0.5,30249,0.2,39958,1.50 97,1,2024-09-07 08:25:20:772,144043,144043,0,0,68186374192,713635392,141848,1676,519,367,392140,0 97,2,2024-09-07 08:25:20:841,104631,104631,0,0,4178506,0,3036 97,3,2024-09-07 08:25:20:570,1,82,2,1,165,1039,82,0 98,0,2024-09-07 08:25:21:840,20824,0.2,20700,0.4,42093,0.2,55980,1.50 98,1,2024-09-07 08:25:20:658,144072,144072,0,0,67194425719,702332365,142994,1033,45,382,391997,0 98,2,2024-09-07 08:25:20:784,104439,104439,0,0,4380224,0,3080 98,3,2024-09-07 08:25:20:717,1,82,12,1,155,1043,82,0 99,0,2024-09-07 08:25:21:761,22627,0.4,22587,0.5,45107,0.3,60014,1.75 99,1,2024-09-07 08:25:21:811,144334,144334,0,0,67057721668,701399976,142841,1049,444,381,391744,0 99,2,2024-09-07 08:25:21:460,101557,101557,0,0,4411980,0,1858 99,3,2024-09-07 08:25:20:619,1,82,1,0,129,750,82,0 100,0,2024-09-07 08:25:21:508,22644,1.8,22444,2.2,44788,4.8,60275,2.50 100,1,2024-09-07 08:25:20:567,143166,143166,0,0,66843363251,710490320,140417,2404,345,381,391989,0 100,2,2024-09-07 08:25:21:834,104175,104164,11,0,5550811,0,5417 100,3,2024-09-07 08:25:21:744,1,82,0,0,443,2005,82,0 101,0,2024-09-07 08:25:21:738,16178,3.4,15789,1.6,31156,0.8,43200,2.75 101,1,2024-09-07 08:25:20:562,143578,143578,0,0,67653410639,710344619,142092,1036,450,369,391709,0 101,2,2024-09-07 08:25:21:764,105833,105833,0,0,4891203,0,4644 101,3,2024-09-07 08:25:20:944,1,82,2,0,448,1118,82,0 102,0,2024-09-07 08:25:21:046,19438,0.4,20199,0.5,40512,0.3,53409,1.75 102,1,2024-09-07 08:25:21:250,143630,143630,0,0,67239623582,710311040,141154,2007,469,369,391831,0 102,2,2024-09-07 08:25:21:765,105036,105036,0,0,4077906,0,1945 102,3,2024-09-07 08:25:21:619,1,82,6,0,410,917,82,0 103,0,2024-09-07 08:25:21:869,23490,0.5,23419,0.7,44479,0.5,60918,1.75 103,1,2024-09-07 08:25:21:708,143655,143655,0,0,67397257322,706493210,142424,1087,144,381,391680,0 103,2,2024-09-07 08:25:20:587,100324,100324,0,0,4335526,0,2104 103,3,2024-09-07 08:25:20:763,1,82,4,1,486,1132,82,0 104,0,2024-09-07 08:25:21:025,24076,1.7,23992,1.3,47094,2.0,64291,2.50 104,1,2024-09-07 08:25:21:608,144463,144463,0,0,67174232943,707969262,142503,1634,326,366,391948,0 104,2,2024-09-07 08:25:21:667,101923,101923,0,0,5206843,0,3941 104,3,2024-09-07 08:25:21:424,1,82,1,1,1245,3938,82,0 105,0,2024-09-07 08:25:21:048,18492,1.0,17870,1.5,37206,1.4,49975,3.25 105,1,2024-09-07 08:25:20:563,143479,143479,0,0,66957184713,708027070,140526,2207,746,367,391797,0 105,2,2024-09-07 08:25:21:325,106679,106679,0,0,4990857,0,3314 105,3,2024-09-07 08:25:21:315,1,82,1,1,399,1668,82,0 106,0,2024-09-07 08:25:21:011,17328,0.3,17851,0.6,36262,0.2,47895,1.75 106,1,2024-09-07 08:25:21:786,144030,144030,0,0,67061047625,706572918,141617,2086,327,370,391767,0 106,2,2024-09-07 08:25:20:795,104209,104209,0,0,4981434,0,2795 106,3,2024-09-07 08:25:20:689,1,82,1,1,201,920,82,0 107,0,2024-09-07 08:25:21:180,23161,0.5,23019,0.7,45811,0.4,61142,1.75 107,1,2024-09-07 08:25:20:610,143214,143214,0,0,66604360487,704169020,141270,1754,190,382,392234,0 107,2,2024-09-07 08:25:21:304,100843,100842,1,0,4725828,0,5024 107,3,2024-09-07 08:25:21:763,1,82,84,0,353,1404,82,0 108,0,2024-09-07 08:25:21:808,23148,0.6,23373,0.7,46110,0.6,61576,1.75 108,1,2024-09-07 08:25:21:316,143865,143865,0,0,67711512390,709712548,142390,1341,134,371,391857,0 108,2,2024-09-07 08:25:21:774,101449,101449,0,0,4688771,0,2647 108,3,2024-09-07 08:25:21:334,1,82,1,0,73,1048,82,0 109,0,2024-09-07 08:25:21:827,20073,0.8,20221,0.9,39909,0.9,53716,2.00 109,1,2024-09-07 08:25:20:589,143441,143441,0,0,68018865360,717200739,141771,1287,383,383,391812,0 109,2,2024-09-07 08:25:20:921,105401,105401,0,0,5123629,0,3617 109,3,2024-09-07 08:25:21:158,1,82,33,1,249,1405,82,0 110,0,2024-09-07 08:25:21:758,15958,0.2,15529,0.4,32313,0.2,42496,1.50 110,1,2024-09-07 08:25:21:652,144226,144226,0,0,67280770449,701036739,142904,1035,287,370,391588,0 110,2,2024-09-07 08:25:21:318,104998,104998,0,0,4296181,0,2915 110,3,2024-09-07 08:25:20:709,1,82,4,0,183,749,82,0 111,0,2024-09-07 08:25:21:422,21236,0.2,20972,0.4,41929,0.1,56430,1.50 111,1,2024-09-07 08:25:21:024,144776,144776,0,0,68612581970,710488499,144129,634,13,382,391690,0 111,2,2024-09-07 08:25:21:116,103250,103250,0,0,4476535,0,2763 111,3,2024-09-07 08:25:20:913,1,82,15,0,300,1616,82,0 112,0,2024-09-07 08:25:20:917,22612,0.4,22624,0.6,44961,0.3,60290,1.75 112,1,2024-09-07 08:25:20:844,144045,144045,0,0,68343928016,713159863,142532,1167,346,381,391580,0 112,2,2024-09-07 08:25:21:141,101059,101058,1,0,4721022,0,5036 112,3,2024-09-07 08:25:20:607,1,82,1,0,282,892,82,0 113,0,2024-09-07 08:25:20:900,21379,0.6,21184,0.7,43132,0.5,57236,1.75 113,1,2024-09-07 08:25:21:695,144404,144404,0,0,67669830165,704351466,142511,1509,384,368,391661,0 113,2,2024-09-07 08:25:21:311,105902,105902,0,0,3869970,0,3021 113,3,2024-09-07 08:25:20:690,1,82,2,0,288,1463,82,0 114,0,2024-09-07 08:25:20:898,15884,3.2,16050,1.5,31532,0.7,42861,2.00 114,1,2024-09-07 08:25:20:722,144248,144248,0,0,67911412336,714244919,141638,1729,881,381,391531,0 114,2,2024-09-07 08:25:20:887,105369,105369,0,0,4463661,0,3925 114,3,2024-09-07 08:25:21:289,1,82,2,0,159,927,82,0 115,0,2024-09-07 08:25:20:582,20293,0.2,20487,0.3,41196,0.1,54791,1.50 115,1,2024-09-07 08:25:20:576,143939,143939,0,0,67894401491,711292831,141565,1887,487,383,391528,0 115,2,2024-09-07 08:25:21:125,104755,104755,0,0,3851382,0,2152 115,3,2024-09-07 08:25:21:007,1,82,2,0,159,513,82,0 116,0,2024-09-07 08:25:21:714,22725,0.9,22298,0.9,45233,1.1,60130,2.00 116,1,2024-09-07 08:25:20:811,143564,143564,0,0,67914372905,718915014,141674,1019,871,382,391605,0 116,2,2024-09-07 08:25:21:759,100249,100249,0,0,4937610,0,3529 116,3,2024-09-07 08:25:20:913,1,82,1,1,252,1310,82,0 117,0,2024-09-07 08:25:20:982,23219,2.2,23269,1.4,46319,3.4,62172,2.25 117,1,2024-09-07 08:25:21:586,144371,144371,0,0,67064786776,707092818,142048,2030,293,370,392033,0 117,2,2024-09-07 08:25:21:139,104203,104203,0,0,4379227,0,3700 117,3,2024-09-07 08:25:21:061,1,82,3,0,490,1830,82,0 118,0,2024-09-07 08:25:21:845,17103,0.7,17484,0.8,35779,0.7,46350,2.00 118,1,2024-09-07 08:25:20:601,144235,144235,0,0,66716127258,699252770,142992,1163,80,368,391736,0 118,2,2024-09-07 08:25:21:599,106740,106740,0,0,4809800,0,2781 118,3,2024-09-07 08:25:21:770,1,82,6,1,235,1257,82,0 119,0,2024-09-07 08:25:21:471,18795,0.3,18898,0.4,38517,0.2,50865,1.75 119,1,2024-09-07 08:25:20:650,144389,144389,0,0,68003112319,712309060,142770,1470,149,370,391641,0 119,2,2024-09-07 08:25:21:273,103976,103976,0,0,4533370,0,2532 119,3,2024-09-07 08:25:21:334,1,82,6,0,443,2209,82,0 120,0,2024-09-07 08:25:21:574,22711,0.6,22776,0.8,45302,0.6,60558,2.00 120,1,2024-09-07 08:25:20:869,143859,143859,0,0,67629016707,710834474,142329,1514,16,368,391702,0 120,2,2024-09-07 08:25:20:777,100012,100011,1,0,5677808,0,5281 120,3,2024-09-07 08:25:21:298,1,82,2,0,241,1405,82,0 121,0,2024-09-07 08:25:21:742,23632,2.3,23906,1.5,47739,3.5,64092,2.50 121,1,2024-09-07 08:25:21:662,143947,143947,0,0,67148702484,704892489,142067,1610,270,368,391840,0 121,2,2024-09-07 08:25:21:133,101474,101474,0,0,6013042,0,4127 121,3,2024-09-07 08:25:20:747,1,82,1,0,113,882,82,0 122,0,2024-09-07 08:25:21:787,19564,2.2,18930,1.8,39443,2.5,52922,2.25 122,1,2024-09-07 08:25:20:864,142881,142881,0,0,67113985430,710517860,139869,2488,524,368,392130,0 122,2,2024-09-07 08:25:21:336,106942,106942,0,0,6061454,0,3364 122,3,2024-09-07 08:25:20:647,1,82,2,1,298,1832,82,0 123,0,2024-09-07 08:25:20:990,17018,0.3,16550,0.5,34599,0.2,45319,1.75 123,1,2024-09-07 08:25:20:566,144137,144137,0,0,67317589341,714823043,140669,2900,568,369,391823,0 123,2,2024-09-07 08:25:21:023,104173,104172,1,0,4980475,0,5215 123,3,2024-09-07 08:25:21:135,1,82,1,1,160,1042,82,0 124,0,2024-09-07 08:25:20:955,22342,0.3,22327,0.4,42179,0.2,58539,1.50 124,1,2024-09-07 08:25:21:024,143864,143864,0,0,67023965538,696178326,143058,661,145,367,392178,0 124,2,2024-09-07 08:25:21:023,101740,101740,0,0,3970453,0,2477 124,3,2024-09-07 08:25:20:776,1,82,10,1,490,1671,82,0 125,0,2024-09-07 08:25:21:431,22747,0.6,22780,0.7,45506,0.7,60470,1.75 125,1,2024-09-07 08:25:20:855,143892,143892,0,0,67264288307,705802782,142139,1481,272,384,391702,0 125,2,2024-09-07 08:25:21:116,101368,101368,0,0,4346493,0,2180 125,3,2024-09-07 08:25:21:130,1,82,2,1,93,1114,82,0 126,0,2024-09-07 08:25:21:450,21175,1.2,21754,1.0,41658,1.6,56274,2.00 126,1,2024-09-07 08:25:20:559,144723,144723,0,0,67972006296,706344955,143672,996,55,365,391987,0 126,2,2024-09-07 08:25:20:622,106341,106341,0,0,4754109,0,3186 126,3,2024-09-07 08:25:20:912,1,82,54,0,122,1192,82,0 127,0,2024-09-07 08:25:21:639,15132,0.2,15080,0.4,30202,0.2,39981,1.50 127,1,2024-09-07 08:25:20:589,144152,144152,0,0,67585980781,701849945,143012,1117,23,365,391614,0 127,2,2024-09-07 08:25:20:671,105079,105079,0,0,3971241,0,1803 127,3,2024-09-07 08:25:21:274,1,82,7,0,99,646,82,0 128,0,2024-09-07 08:25:21:547,21038,0.2,20991,0.4,41547,0.2,56006,1.50 128,1,2024-09-07 08:25:21:608,144174,144174,0,0,68183331193,707453855,143441,663,70,367,391680,0 128,2,2024-09-07 08:25:21:393,103190,103190,0,0,4604185,0,2107 128,3,2024-09-07 08:25:20:791,1,82,3,1,112,905,82,0 129,0,2024-09-07 08:25:21:015,22684,0.4,22644,0.6,45174,0.4,59958,1.75 129,1,2024-09-07 08:25:20:577,143906,143906,0,0,67571332564,709558554,142076,1555,275,379,391835,0 129,2,2024-09-07 08:25:20:917,100134,100134,0,0,3966349,0,2446 129,3,2024-09-07 08:25:20:699,1,82,1,1,173,1265,82,0 130,0,2024-09-07 08:25:21:756,22829,1.4,22713,1.1,45534,2.0,60840,2.25 130,1,2024-09-07 08:25:20:585,143990,143990,0,0,67652204618,707299675,142674,1283,33,381,391609,0 130,2,2024-09-07 08:25:21:131,104782,104782,0,0,4695362,0,4067 130,3,2024-09-07 08:25:21:319,1,82,2,1,207,650,82,0 131,0,2024-09-07 08:25:21:945,16156,0.9,16067,0.9,32522,1.2,43054,1.75 131,1,2024-09-07 08:25:21:828,144880,144880,0,0,67228119948,701437456,143859,835,186,384,391865,0 131,2,2024-09-07 08:25:20:596,106349,106349,0,0,3923951,0,2415 131,3,2024-09-07 08:25:21:702,1,82,5,0,392,1142,82,0 132,0,2024-09-07 08:25:21:432,19781,0.3,20084,0.5,40016,0.2,53605,1.75 132,1,2024-09-07 08:25:20:630,143361,143361,0,0,67067297911,709403514,140771,1884,706,381,391719,0 132,2,2024-09-07 08:25:20:707,104317,104317,0,0,6168954,0,4606 132,3,2024-09-07 08:25:21:709,1,82,3,1,356,1067,82,0 133,0,2024-09-07 08:25:21:541,22353,0.5,22682,0.6,46775,0.5,60234,1.75 133,1,2024-09-07 08:25:20:586,142684,142684,0,0,66641809674,705117426,140469,2120,95,383,391755,0 133,2,2024-09-07 08:25:21:088,100924,100924,0,0,5313418,0,2444 133,3,2024-09-07 08:25:21:312,1,82,7,1,187,678,82,0 134,0,2024-09-07 08:25:20:951,24190,0.9,24145,1.0,48480,1.0,64234,2.25 134,1,2024-09-07 08:25:20:593,144058,144058,0,0,66656274619,702980781,141415,1662,981,366,391718,0 134,2,2024-09-07 08:25:21:783,102463,102463,0,0,3975258,0,2026 134,3,2024-09-07 08:25:20:757,1,82,1,0,739,1980,82,0 135,0,2024-09-07 08:25:21:196,18198,1.5,18233,1.5,38480,1.6,49797,2.25 135,1,2024-09-07 08:25:21:585,143593,143593,0,0,67157553282,706387168,141644,1621,328,380,391703,0 135,2,2024-09-07 08:25:20:694,107290,107290,0,0,4977194,0,3981 135,3,2024-09-07 08:25:21:022,1,82,3,0,68,502,82,0 136,0,2024-09-07 08:25:21:627,17947,0.4,17949,0.6,35875,0.3,48235,2.00 136,1,2024-09-07 08:25:21:453,143829,143829,0,0,67337157253,707757999,141923,1759,147,384,391621,0 136,2,2024-09-07 08:25:21:149,105881,105881,0,0,4489822,0,2379 136,3,2024-09-07 08:25:21:109,1,82,2,0,108,882,82,0 137,0,2024-09-07 08:25:20:932,23668,0.6,22919,0.8,45410,0.6,61219,2.00 137,1,2024-09-07 08:25:20:587,143681,143681,0,0,67695380125,710023592,141702,1865,114,367,391608,0 137,2,2024-09-07 08:25:21:721,100416,100416,0,0,5491301,0,2632 137,3,2024-09-07 08:25:20:774,1,82,1,1,227,1131,82,0 138,0,2024-09-07 08:25:21:800,22449,2.5,22641,1.6,45945,3.7,61470,3.00 138,1,2024-09-07 08:25:21:698,143681,143681,0,0,67620682185,711161653,141348,2069,264,370,391954,0 138,2,2024-09-07 08:25:20:604,102116,102116,0,0,4732984,0,3263 138,3,2024-09-07 08:25:20:614,1,82,7,0,1160,1968,82,0 139,0,2024-09-07 08:25:21:523,19421,4.4,19438,2.4,39333,5.5,53011,3.75 139,1,2024-09-07 08:25:20:590,143193,143193,0,0,66802131663,712218635,140302,2162,729,381,391892,0 139,2,2024-09-07 08:25:20:703,106002,106002,0,0,6036430,0,3097 139,3,2024-09-07 08:25:21:685,1,82,1,0,244,1355,82,0 140,0,2024-09-07 08:25:21:604,15878,0.2,15783,0.4,31780,0.2,42622,1.50 140,1,2024-09-07 08:25:21:554,144648,144648,0,0,68082417691,704295270,143719,732,197,365,391606,0 140,2,2024-09-07 08:25:20:686,105291,105291,0,0,4748232,0,3388 140,3,2024-09-07 08:25:20:774,1,82,1,0,25,588,82,0 141,0,2024-09-07 08:25:21:710,20871,0.2,21627,0.4,41704,0.1,56380,1.50 141,1,2024-09-07 08:25:20:862,144212,144212,0,0,67591671876,704429174,142789,1092,331,382,391538,0 141,2,2024-09-07 08:25:21:694,102806,102806,0,0,4263179,0,2342 141,3,2024-09-07 08:25:21:045,1,82,1,0,147,604,82,0 142,0,2024-09-07 08:25:21:320,22855,0.4,22576,0.6,44774,0.4,60380,1.75 142,1,2024-09-07 08:25:20:591,144464,144464,0,0,67631825875,704570405,143906,543,15,384,391649,0 142,2,2024-09-07 08:25:21:312,100218,100218,0,0,4605901,0,2293 142,3,2024-09-07 08:25:21:753,1,82,241,0,484,1600,82,0 143,0,2024-09-07 08:25:21:398,21423,1.1,21514,0.9,43248,1.4,57067,2.00 143,1,2024-09-07 08:25:20:563,144155,144155,0,0,67332703968,699079959,143173,953,29,367,391619,0 143,2,2024-09-07 08:25:20:782,105479,105479,0,0,5081338,0,2669 143,3,2024-09-07 08:25:21:147,1,82,1,0,236,1183,82,0 144,0,2024-09-07 08:25:21:532,15114,1.1,15606,5.5,31585,0.8,42515,2.25 144,1,2024-09-07 08:25:20:573,143798,143798,0,0,67233317032,706965855,142223,1382,193,381,391638,0 144,2,2024-09-07 08:25:21:770,105806,105806,0,0,4289369,0,3473 144,3,2024-09-07 08:25:21:740,1,82,4,1,169,1289,82,0 145,0,2024-09-07 08:25:21:386,19772,0.4,19797,0.5,41821,0.3,54801,1.75 145,1,2024-09-07 08:25:20:568,143242,143242,0,0,67051727842,706060671,141312,1665,265,383,391615,0 145,2,2024-09-07 08:25:21:440,104557,104557,0,0,5131292,0,3903 145,3,2024-09-07 08:25:20:906,1,82,3,0,151,1079,82,0 146,0,2024-09-07 08:25:21:606,22524,0.7,22503,0.8,45294,0.7,59720,2.25 146,1,2024-09-07 08:25:21:594,144134,144134,0,0,66794269506,702969565,141837,1996,301,368,391600,0 146,2,2024-09-07 08:25:21:722,99645,99645,0,0,4725866,0,2379 146,3,2024-09-07 08:25:21:282,1,82,1,0,1520,3145,82,0 147,0,2024-09-07 08:25:21:752,23093,2.7,22816,1.6,45479,4.0,62108,3.00 147,1,2024-09-07 08:25:21:393,144217,144217,0,0,67923575928,707691639,142497,1365,355,368,391791,0 147,2,2024-09-07 08:25:21:043,104180,104180,0,0,4770768,0,2789 147,3,2024-09-07 08:25:20:917,1,82,9,1,141,845,82,0 0,0,2024-09-07 08:25:31:847,22268,0.6,22258,0.8,47051,0.7,60738,1.75 0,1,2024-09-07 08:25:30:832,145870,145870,0,0,68389877582,718262345,144642,1101,127,372,391673,0 0,2,2024-09-07 08:25:31:081,101257,101257,0,0,4692070,0,4480 0,3,2024-09-07 08:25:30:977,1,83,14,0,247,1274,83,0 1,0,2024-09-07 08:25:31:775,24091,2.6,23877,1.7,48181,3.9,64592,2.75 1,1,2024-09-07 08:25:30:568,145352,145352,0,0,67799518745,712433980,143654,1169,529,372,391857,0 1,2,2024-09-07 08:25:30:641,102964,102964,0,0,4460885,0,3267 1,3,2024-09-07 08:25:31:316,1,83,4,0,167,809,83,0 2,0,2024-09-07 08:25:31:581,19719,1.1,19852,1.2,39359,1.8,53019,2.25 2,1,2024-09-07 08:25:30:872,146083,146083,0,0,68776606294,716492263,145002,877,204,381,391558,0 2,2,2024-09-07 08:25:31:267,107534,107534,0,0,4667867,0,3304 2,3,2024-09-07 08:25:30:694,1,83,2,0,214,869,83,0 3,0,2024-09-07 08:25:31:767,17345,0.3,17445,0.5,34796,0.2,46079,1.75 3,1,2024-09-07 08:25:31:626,145090,145090,0,0,68033639604,711960477,143111,1593,386,382,391516,0 3,2,2024-09-07 08:25:31:145,106143,106120,23,0,4990939,0,5851 3,3,2024-09-07 08:25:31:778,1,83,1,0,103,594,83,0 4,0,2024-09-07 08:25:31:809,21571,0.3,22275,0.4,44900,0.2,59916,1.50 4,1,2024-09-07 08:25:30:604,145424,145424,0,0,67843403908,716038829,143523,1483,418,371,391846,0 4,2,2024-09-07 08:25:31:020,102214,102214,0,0,5503679,0,4528 4,3,2024-09-07 08:25:31:035,1,83,1,1,287,1387,83,0 5,0,2024-09-07 08:25:31:412,23010,0.7,22865,0.8,46075,0.9,61210,1.75 5,1,2024-09-07 08:25:30:761,145738,145738,0,0,68856506973,725354476,143522,1773,443,368,392005,0 5,2,2024-09-07 08:25:31:841,102616,102616,0,0,4462078,0,2259 5,3,2024-09-07 08:25:31:738,1,83,1,0,238,1601,83,0 6,0,2024-09-07 08:25:30:963,21315,1.0,21013,1.2,42080,1.4,56384,2.50 6,1,2024-09-07 08:25:30:746,146227,146227,0,0,68341781876,712311612,145102,1055,70,381,391603,0 6,2,2024-09-07 08:25:31:126,108226,108226,0,0,4726022,0,2411 6,3,2024-09-07 08:25:31:273,1,83,1,0,340,1470,83,0 7,0,2024-09-07 08:25:31:548,15057,0.3,15194,0.4,30392,0.2,40611,1.75 7,1,2024-09-07 08:25:30:851,145992,145992,0,0,68525880991,715273160,145012,916,64,383,391664,0 7,2,2024-09-07 08:25:30:771,105642,105642,0,0,4432993,0,2981 7,3,2024-09-07 08:25:30:856,1,83,2,0,83,689,83,0 8,0,2024-09-07 08:25:31:417,21248,0.3,21408,0.4,42126,0.2,56961,1.50 8,1,2024-09-07 08:25:31:060,145577,145577,0,0,68184736877,725595514,142089,2235,1253,368,391724,0 8,2,2024-09-07 08:25:30:793,103509,103509,0,0,5612208,0,2986 8,3,2024-09-07 08:25:30:590,1,83,7,0,229,1124,83,0 9,0,2024-09-07 08:25:31:225,22720,0.4,22104,0.6,46199,0.4,60243,1.75 9,1,2024-09-07 08:25:30:555,146099,146099,0,0,68583725284,724478526,143649,1882,568,370,391738,0 9,2,2024-09-07 08:25:31:097,101864,101864,0,0,5056621,0,3360 9,3,2024-09-07 08:25:31:781,1,83,4,0,149,1078,83,0 10,0,2024-09-07 08:25:31:634,22719,0.7,22780,0.8,45562,0.7,60527,2.00 10,1,2024-09-07 08:25:30:589,145153,145153,0,0,68443674433,723903436,141815,2816,522,381,391741,0 10,2,2024-09-07 08:25:30:763,105844,105844,0,0,5212411,0,2940 10,3,2024-09-07 08:25:30:885,1,83,0,0,136,616,83,0 11,0,2024-09-07 08:25:31:043,15866,0.9,15476,1.2,32435,2.1,43480,2.25 11,1,2024-09-07 08:25:30:610,145424,145424,0,0,68467608727,721604550,142783,1826,815,384,391537,0 11,2,2024-09-07 08:25:31:127,106768,106768,0,0,4932543,0,2635 11,3,2024-09-07 08:25:31:298,1,83,12,0,720,2401,83,0 12,0,2024-09-07 08:25:31:003,20616,0.3,20515,0.5,40983,0.2,54811,1.50 12,1,2024-09-07 08:25:30:970,145166,145166,0,0,67923025385,712828741,143504,1561,101,371,391790,0 12,2,2024-09-07 08:25:31:547,106551,106551,0,0,4738169,0,2254 12,3,2024-09-07 08:25:31:068,1,83,28,0,358,1533,83,0 13,0,2024-09-07 08:25:31:394,22990,0.4,23120,0.6,45996,0.4,61035,1.75 13,1,2024-09-07 08:25:31:531,145583,145583,0,0,68220902760,718957480,143981,1235,367,384,391717,0 13,2,2024-09-07 08:25:30:615,101812,101812,0,0,4271549,0,3287 13,3,2024-09-07 08:25:31:779,1,83,18,1,416,1719,83,0 14,0,2024-09-07 08:25:30:573,24298,0.7,24473,0.9,48361,0.9,64260,2.00 14,1,2024-09-07 08:25:31:578,146521,146521,0,0,68134721113,706741471,145638,852,31,364,391546,0 14,2,2024-09-07 08:25:30:765,103717,103717,0,0,4893451,0,2793 14,3,2024-09-07 08:25:31:116,1,83,1,0,906,1305,83,0 15,0,2024-09-07 08:25:31:560,18952,0.9,18704,1.2,37567,1.1,50387,2.50 15,1,2024-09-07 08:25:31:614,145060,145060,0,0,68026478918,708440226,144237,788,35,381,391536,0 15,2,2024-09-07 08:25:31:005,108946,108946,0,0,3973946,0,3043 15,3,2024-09-07 08:25:31:406,1,83,1,0,538,2383,83,0 16,0,2024-09-07 08:25:31:111,18234,0.3,18410,0.5,36415,0.2,49107,2.00 16,1,2024-09-07 08:25:30:596,145476,145476,0,0,67927810159,711672196,144336,1112,28,372,391756,0 16,2,2024-09-07 08:25:31:456,105329,105329,0,0,5179870,0,4719 16,3,2024-09-07 08:25:31:141,1,83,2,1,231,1456,83,0 17,0,2024-09-07 08:25:31:789,23767,0.5,23250,0.7,45710,0.5,61404,2.00 17,1,2024-09-07 08:25:30:600,145570,145570,0,0,67834630904,716043454,143685,1361,524,369,391688,0 17,2,2024-09-07 08:25:31:695,102851,102851,0,0,3969057,0,2857 17,3,2024-09-07 08:25:30:590,1,83,4,0,268,1639,83,0 18,0,2024-09-07 08:25:30:969,22732,1.3,23070,1.2,46329,1.6,61624,2.50 18,1,2024-09-07 08:25:31:643,145747,145747,0,0,68087889648,708824023,144495,1034,218,368,391555,0 18,2,2024-09-07 08:25:31:764,102709,102709,0,0,4883271,0,3541 18,3,2024-09-07 08:25:30:928,1,83,5,0,163,1026,83,0 19,0,2024-09-07 08:25:31:551,19796,1.4,19993,1.3,39663,1.7,52752,4.00 19,1,2024-09-07 08:25:30:566,145792,145792,0,0,68445053161,715493388,143923,1705,164,367,391777,0 19,2,2024-09-07 08:25:31:753,108557,108557,0,0,4530857,0,3988 19,3,2024-09-07 08:25:31:130,1,83,1,0,524,1200,83,0 20,0,2024-09-07 08:25:31:412,16380,0.3,16366,0.5,32503,0.2,43576,1.75 20,1,2024-09-07 08:25:30:574,145703,145703,0,0,68163571632,715559876,144000,1541,162,370,391598,0 20,2,2024-09-07 08:25:30:929,105736,105736,0,0,4412179,0,2446 20,3,2024-09-07 08:25:30:590,1,83,2,0,99,957,83,0 21,0,2024-09-07 08:25:31:161,21623,0.3,21527,0.5,42746,0.3,56808,1.75 21,1,2024-09-07 08:25:31:536,145334,145334,0,0,68004824110,718069835,142990,1849,495,368,391962,0 21,2,2024-09-07 08:25:31:082,103919,103919,0,0,4626097,0,3747 21,3,2024-09-07 08:25:31:409,1,83,1,0,93,1118,83,0 22,0,2024-09-07 08:25:31:733,22556,1.8,22504,1.3,44956,2.9,60417,2.75 22,1,2024-09-07 08:25:31:022,145519,145519,0,0,68260614490,721621158,142378,2415,726,382,391667,0 22,2,2024-09-07 08:25:30:760,102159,102159,0,0,4030575,0,3134 22,3,2024-09-07 08:25:31:073,1,83,0,0,228,658,83,0 23,0,2024-09-07 08:25:31:389,21475,1.1,21569,1.0,43220,1.3,57519,2.50 23,1,2024-09-07 08:25:31:004,145949,145949,0,0,68600802728,712543766,144599,1096,254,367,391549,0 23,2,2024-09-07 08:25:31:102,106781,106781,0,0,4324285,0,2078 23,3,2024-09-07 08:25:31:763,1,83,1,0,645,1353,83,0 24,0,2024-09-07 08:25:31:023,16317,0.6,16313,0.7,32673,0.6,43646,1.75 24,1,2024-09-07 08:25:30:594,145623,145623,0,0,67925276049,708026518,144805,811,7,369,391640,0 24,2,2024-09-07 08:25:31:073,105998,105998,0,0,5701002,0,2942 24,3,2024-09-07 08:25:31:691,1,83,7,0,234,1153,83,0 25,0,2024-09-07 08:25:31:410,21517,0.3,20966,0.4,41313,0.2,56304,1.50 25,1,2024-09-07 08:25:30:620,145258,145258,0,0,67611537802,712714457,143100,1842,316,371,391788,0 25,2,2024-09-07 08:25:31:607,104874,104874,0,0,5163352,0,3284 25,3,2024-09-07 08:25:31:007,1,83,16,1,158,787,83,0 26,0,2024-09-07 08:25:31:743,22589,0.5,22059,0.6,46107,0.4,60378,1.75 26,1,2024-09-07 08:25:31:546,145929,145929,0,0,67596577542,715659818,142466,2628,835,381,391564,0 26,2,2024-09-07 08:25:30:870,101667,101667,0,0,5040008,0,2809 26,3,2024-09-07 08:25:31:713,1,83,1,0,796,1299,83,0 27,0,2024-09-07 08:25:31:750,23436,0.9,23637,0.9,46812,1.3,62580,2.00 27,1,2024-09-07 08:25:31:677,146514,146514,0,0,68577093364,713003000,145373,846,295,381,391539,0 27,2,2024-09-07 08:25:30:870,104002,104002,0,0,6077629,0,3409 27,3,2024-09-07 08:25:31:016,1,83,1,0,564,1246,83,0 28,0,2024-09-07 08:25:31:403,17433,0.6,17709,0.8,35223,0.8,46531,2.00 28,1,2024-09-07 08:25:30:814,146135,146135,0,0,68930147126,718670938,145071,864,200,383,391646,0 28,2,2024-09-07 08:25:31:769,107699,107699,0,0,4223280,0,2609 28,3,2024-09-07 08:25:31:784,1,83,2,0,502,1271,83,0 29,0,2024-09-07 08:25:31:372,19802,0.3,19289,0.5,38189,0.2,52070,1.75 29,1,2024-09-07 08:25:31:563,147071,147071,0,0,68227804570,705727058,146280,619,172,369,391621,0 29,2,2024-09-07 08:25:30:870,106491,106491,0,0,3731852,0,2026 29,3,2024-09-07 08:25:30:970,1,83,1,0,105,694,83,0 30,0,2024-09-07 08:25:31:470,22787,1.1,22258,0.9,46549,1.4,60678,2.50 30,1,2024-09-07 08:25:30:575,146159,146159,0,0,68592457753,714358182,145182,912,65,382,391584,0 30,2,2024-09-07 08:25:31:284,102253,102253,0,0,3603141,0,2534 30,3,2024-09-07 08:25:30:590,1,83,13,0,195,665,83,0 31,0,2024-09-07 08:25:31:771,23882,1.2,23996,1.0,48329,1.4,64286,2.25 31,1,2024-09-07 08:25:30:565,146627,146627,0,0,68693650003,703950179,146376,249,2,356,391553,0 31,2,2024-09-07 08:25:31:285,103652,103652,0,0,5191674,0,3525 31,3,2024-09-07 08:25:31:726,1,83,3,0,129,588,83,0 32,0,2024-09-07 08:25:31:436,19904,1.2,20113,1.0,40189,2.4,53558,1.75 32,1,2024-09-07 08:25:30:812,146303,146303,0,0,69100553474,718490953,145299,975,29,382,391595,0 32,2,2024-09-07 08:25:30:935,108250,108250,0,0,4301046,0,3155 32,3,2024-09-07 08:25:31:016,1,83,6,0,110,745,83,0 33,0,2024-09-07 08:25:31:507,17523,0.2,17195,0.4,35018,0.1,46065,1.50 33,1,2024-09-07 08:25:30:595,146669,146669,0,0,68932733373,716957937,145007,1539,123,369,391730,0 33,2,2024-09-07 08:25:30:861,106297,106264,33,0,5764549,0,7012 33,3,2024-09-07 08:25:30:896,1,83,31,0,129,565,83,0 34,0,2024-09-07 08:25:30:947,22289,0.3,23010,0.4,44111,0.2,59891,1.50 34,1,2024-09-07 08:25:31:055,146721,146721,0,0,68904391682,710352844,146125,592,4,367,391517,0 34,2,2024-09-07 08:25:30:768,102168,102168,0,0,4712426,0,3255 34,3,2024-09-07 08:25:31:695,1,83,1,0,148,704,83,0 35,0,2024-09-07 08:25:30:866,22708,0.8,22971,0.8,45779,0.9,61245,2.00 35,1,2024-09-07 08:25:31:067,146112,146112,0,0,68373535456,711218294,144644,1106,362,384,391587,0 35,2,2024-09-07 08:25:31:593,102387,102387,0,0,4226796,0,2542 35,3,2024-09-07 08:25:30:907,1,83,3,0,219,1086,83,0 36,0,2024-09-07 08:25:31:538,21208,1.7,21151,1.3,42746,2.0,56324,2.50 36,1,2024-09-07 08:25:30:591,145624,145624,0,0,67752787051,712680809,142612,2081,931,366,391543,0 36,2,2024-09-07 08:25:31:991,107471,107471,0,0,5334604,0,3303 36,3,2024-09-07 08:25:30:870,1,83,4,0,378,1143,83,0 37,0,2024-09-07 08:25:31:406,15297,0.3,15115,0.5,30533,0.2,40673,1.75 37,1,2024-09-07 08:25:30:575,145520,145513,0,7,67821031372,716980842,142671,1323,1519,365,391518,0 37,2,2024-09-07 08:25:31:143,105884,105884,0,0,3908655,0,2333 37,3,2024-09-07 08:25:31:778,1,83,1,0,724,1836,83,0 38,0,2024-09-07 08:25:31:453,21210,0.3,20573,0.5,43017,0.2,56882,1.75 38,1,2024-09-07 08:25:31:605,146466,146466,0,0,68896277141,721133651,144310,1709,447,368,391821,0 38,2,2024-09-07 08:25:30:761,104949,104949,0,0,4669191,0,3245 38,3,2024-09-07 08:25:31:002,1,83,1,0,603,1427,83,0 39,0,2024-09-07 08:25:31:784,23328,1.0,22877,0.9,44459,1.2,60455,2.00 39,1,2024-09-07 08:25:30:716,145836,145836,0,0,67901799340,712045611,143204,1994,638,365,391524,0 39,2,2024-09-07 08:25:31:418,101872,101872,0,0,4290644,0,2381 39,3,2024-09-07 08:25:30:715,1,83,1,0,276,1237,83,0 40,0,2024-09-07 08:25:31:500,22297,1.6,22699,2.0,44949,3.4,60071,3.50 40,1,2024-09-07 08:25:30:596,145454,145454,0,0,67420930884,711118625,142200,2501,753,369,391591,0 40,2,2024-09-07 08:25:31:302,106037,106036,1,0,5573110,0,5137 40,3,2024-09-07 08:25:31:142,1,83,3,0,110,822,83,0 41,0,2024-09-07 08:25:31:064,15720,0.5,16041,3.8,30709,0.6,42334,2.50 41,1,2024-09-07 08:25:30:771,145522,145522,0,0,67946247570,713804498,143098,2111,313,370,391742,0 41,2,2024-09-07 08:25:30:769,106453,106453,0,0,5770864,0,3356 41,3,2024-09-07 08:25:31:685,1,83,6,0,168,874,83,0 42,0,2024-09-07 08:25:31:480,20185,0.4,20602,0.7,40887,0.3,54745,2.00 42,1,2024-09-07 08:25:31:441,144998,144998,0,0,68011417260,718554345,142005,2163,830,381,391675,0 42,2,2024-09-07 08:25:31:142,105291,105291,0,0,5055068,0,3568 42,3,2024-09-07 08:25:31:012,1,83,0,0,100,711,83,0 43,0,2024-09-07 08:25:30:929,22876,1.1,22291,1.0,46522,1.3,61102,2.00 43,1,2024-09-07 08:25:30:576,145367,145367,0,0,68227514972,714831808,143100,1515,752,366,391604,0 43,2,2024-09-07 08:25:31:745,101987,101987,0,0,5037357,0,3812 43,3,2024-09-07 08:25:31:752,1,83,7,0,325,1737,83,0 44,0,2024-09-07 08:25:30:890,24308,0.7,24246,0.9,48548,0.8,64793,2.00 44,1,2024-09-07 08:25:30:566,145999,145999,0,0,68163045349,705165423,144978,914,107,356,391569,0 44,2,2024-09-07 08:25:31:288,104020,104020,0,0,3838593,0,1865 44,3,2024-09-07 08:25:31:092,1,83,7,0,817,1356,83,0 45,0,2024-09-07 08:25:31:781,18592,1.5,18177,1.3,38156,1.7,50753,2.00 45,1,2024-09-07 08:25:31:013,146050,146050,0,0,68654861629,712286279,145581,468,1,382,391917,0 45,2,2024-09-07 08:25:31:288,108651,108651,0,0,4516083,0,2628 45,3,2024-09-07 08:25:30:945,1,83,1,0,226,867,83,0 46,0,2024-09-07 08:25:30:959,18387,0.3,18425,0.5,36881,0.2,49291,1.50 46,1,2024-09-07 08:25:30:576,146454,146454,0,0,69045838164,714098247,145602,814,38,368,391514,0 46,2,2024-09-07 08:25:30:592,106770,106770,0,0,4384943,0,2920 46,3,2024-09-07 08:25:31:137,1,83,2,0,315,1115,83,0 47,0,2024-09-07 08:25:31:120,23071,0.4,23340,0.5,46728,0.3,61197,1.75 47,1,2024-09-07 08:25:30:567,146589,146589,0,0,68055598895,702611581,146080,505,4,366,391605,0 47,2,2024-09-07 08:25:30:918,102866,102866,0,0,4323656,0,2558 47,3,2024-09-07 08:25:31:116,1,83,2,0,529,1161,83,0 48,0,2024-09-07 08:25:31:506,23578,0.3,23385,0.5,46581,0.3,62393,1.75 48,1,2024-09-07 08:25:31:022,146233,146233,0,0,68463947776,712602685,145031,1196,6,384,391637,0 48,2,2024-09-07 08:25:30:719,103112,103112,0,0,3522115,0,2083 48,3,2024-09-07 08:25:30:757,1,83,7,0,39,700,83,0 49,0,2024-09-07 08:25:31:793,20664,1.0,20188,1.0,39640,1.2,54218,2.00 49,1,2024-09-07 08:25:31:023,145403,145403,0,0,68224801602,714138799,143664,1029,710,382,391583,0 49,2,2024-09-07 08:25:31:801,108310,108310,0,0,4708932,0,3900 49,3,2024-09-07 08:25:31:416,1,83,7,0,274,854,83,0 50,0,2024-09-07 08:25:31:516,16431,0.2,16175,0.4,32824,0.1,44075,1.50 50,1,2024-09-07 08:25:31:019,146646,146646,0,0,69137038781,718420551,144976,1373,297,368,391530,0 50,2,2024-09-07 08:25:31:074,106193,106193,0,0,3961148,0,2253 50,3,2024-09-07 08:25:31:297,1,83,1,0,335,892,83,0 51,0,2024-09-07 08:25:31:706,21979,0.2,21421,0.3,42108,0.1,57174,1.50 51,1,2024-09-07 08:25:31:697,146069,146069,0,0,69284399894,721216436,144268,1017,784,367,391637,0 51,2,2024-09-07 08:25:31:387,103496,103496,0,0,3320903,0,2448 51,3,2024-09-07 08:25:31:027,1,83,1,0,162,549,83,0 52,0,2024-09-07 08:25:31:426,22764,0.8,22912,0.9,45814,0.9,60850,2.25 52,1,2024-09-07 08:25:30:581,145572,145572,0,0,68126459696,715929477,143058,2049,465,368,391594,0 52,2,2024-09-07 08:25:31:768,101821,101821,0,0,4525950,0,4779 52,3,2024-09-07 08:25:30:690,1,83,2,0,1782,2456,83,0 53,0,2024-09-07 08:25:31:749,21392,1.9,20625,1.4,42932,2.7,56848,2.75 53,1,2024-09-07 08:25:30:778,145598,145598,0,0,68621759204,720951956,142974,2049,575,370,391617,0 53,2,2024-09-07 08:25:31:299,107909,107909,0,0,4117414,0,1902 53,3,2024-09-07 08:25:30:698,1,83,2,0,133,632,83,0 54,0,2024-09-07 08:25:31:633,15390,7.1,15654,2.0,30849,0.8,42634,4.50 54,1,2024-09-07 08:25:30:591,145636,145636,0,0,68810868894,718374930,143526,1794,316,367,391520,0 54,2,2024-09-07 08:25:30:870,106805,106799,6,0,4931845,0,5382 54,3,2024-09-07 08:25:30:763,1,83,1,0,676,1711,83,0 55,0,2024-09-07 08:25:31:783,20528,0.3,21041,0.5,42544,0.2,55795,2.00 55,1,2024-09-07 08:25:30:764,144991,144991,0,0,67460497009,709377751,141508,2781,702,367,391731,0 55,2,2024-09-07 08:25:30:737,105125,105125,0,0,4856164,0,3275 55,3,2024-09-07 08:25:30:687,1,83,1,0,136,862,83,0 56,0,2024-09-07 08:25:31:616,23284,1.9,21942,1.4,45204,2.3,60372,2.50 56,1,2024-09-07 08:25:30:598,145195,145195,0,0,69048725669,734080793,141795,2652,748,382,391678,0 56,2,2024-09-07 08:25:31:310,101841,101841,0,0,5342867,0,3567 56,3,2024-09-07 08:25:31:068,1,83,7,0,297,1021,83,0 57,0,2024-09-07 08:25:30:962,22939,2.9,22685,1.8,45723,5.1,61270,4.25 57,1,2024-09-07 08:25:30:987,145131,145131,0,0,67741510925,709184132,143652,1459,20,368,391760,0 57,2,2024-09-07 08:25:31:324,105448,105448,0,0,5392166,0,3178 57,3,2024-09-07 08:25:31:751,1,83,1,0,359,1690,83,0 58,0,2024-09-07 08:25:30:576,16778,0.6,16449,0.9,34351,0.5,44747,2.75 58,1,2024-09-07 08:25:30:577,146557,146554,0,3,68659008671,715365497,144953,1441,160,369,391516,3 58,2,2024-09-07 08:25:31:096,107518,107518,0,0,5029662,0,2549 58,3,2024-09-07 08:25:31:073,1,83,0,0,219,886,83,0 59,0,2024-09-07 08:25:31:763,19489,0.5,19357,0.7,38796,0.4,51660,2.00 59,1,2024-09-07 08:25:30:813,145358,145358,0,0,68330798314,718120172,143107,1483,768,369,391515,0 59,2,2024-09-07 08:25:30:590,106537,106537,0,0,4533772,0,2604 59,3,2024-09-07 08:25:31:762,1,83,2,0,1015,2011,83,0 60,0,2024-09-07 08:25:31:724,23101,0.5,23298,0.6,46019,0.5,60902,1.75 60,1,2024-09-07 08:25:30:778,146927,146927,0,0,68792372981,715917505,145838,740,349,370,391758,0 60,2,2024-09-07 08:25:31:150,101538,101538,0,0,4026105,0,2142 60,3,2024-09-07 08:25:31:259,1,83,1,0,124,950,83,0 61,0,2024-09-07 08:25:31:534,23933,2.3,24087,1.5,47995,3.7,64375,2.25 61,1,2024-09-07 08:25:30:774,145348,145348,0,0,67968967090,716976278,142786,2026,536,383,391589,0 61,2,2024-09-07 08:25:31:127,103605,103605,0,0,4283671,0,1846 61,3,2024-09-07 08:25:31:695,1,83,24,0,199,1171,83,0 62,0,2024-09-07 08:25:31:722,19999,1.1,20441,1.1,39231,1.7,53595,2.00 62,1,2024-09-07 08:25:31:116,146397,146391,0,6,69097026650,715843317,145136,1217,38,365,391586,6 62,2,2024-09-07 08:25:31:645,107874,107874,0,0,4858094,0,2931 62,3,2024-09-07 08:25:31:159,1,83,0,0,287,680,83,0 63,0,2024-09-07 08:25:31:473,17345,0.3,17220,0.6,34820,0.2,46063,1.75 63,1,2024-09-07 08:25:30:814,146820,146815,0,5,68591998189,709690831,146407,405,3,381,391540,5 63,2,2024-09-07 08:25:30:761,105959,105959,0,0,4364688,0,2674 63,3,2024-09-07 08:25:31:734,1,83,1,0,667,1897,83,0 64,0,2024-09-07 08:25:31:558,22203,0.4,22061,0.5,44279,0.3,59777,1.75 64,1,2024-09-07 08:25:30:754,146044,146044,0,0,68102066385,716772933,143603,1647,794,371,391783,0 64,2,2024-09-07 08:25:31:147,103118,103099,19,0,4684851,0,6121 64,3,2024-09-07 08:25:31:146,1,83,2,0,265,1069,83,0 65,0,2024-09-07 08:25:31:694,22309,2.0,22666,1.4,45228,2.5,60555,3.25 65,1,2024-09-07 08:25:30:858,145300,145300,0,0,68140034440,714860244,143590,1491,219,382,391770,0 65,2,2024-09-07 08:25:31:697,103179,103179,0,0,4831848,0,3367 65,3,2024-09-07 08:25:31:684,1,83,2,0,163,897,83,0 66,0,2024-09-07 08:25:31:790,20761,0.7,20936,1.0,41946,0.7,55778,2.50 66,1,2024-09-07 08:25:31:297,146711,146711,0,0,68925225958,714824424,146020,670,21,380,391588,0 66,2,2024-09-07 08:25:31:132,108711,108711,0,0,4498120,0,3867 66,3,2024-09-07 08:25:31:078,1,83,1,0,291,963,83,0 67,0,2024-09-07 08:25:31:438,15415,0.4,15440,0.6,30499,0.2,40580,2.00 67,1,2024-09-07 08:25:30:770,145587,145586,0,1,68435640779,718599364,143562,1499,525,382,391787,1 67,2,2024-09-07 08:25:30:591,106757,106757,0,0,4145394,0,2889 67,3,2024-09-07 08:25:31:752,1,83,2,0,138,707,83,0 68,0,2024-09-07 08:25:30:634,21344,0.4,21278,0.6,42471,0.3,56798,1.75 68,1,2024-09-07 08:25:30:589,145191,145191,0,0,68129344296,714634434,143771,898,522,381,391953,0 68,2,2024-09-07 08:25:31:044,104492,104492,0,0,4191781,0,4883 68,3,2024-09-07 08:25:30:729,1,83,151,0,151,954,83,0 69,0,2024-09-07 08:25:31:741,22748,1.5,22667,1.2,45283,1.8,60485,2.50 69,1,2024-09-07 08:25:31:017,145306,145306,0,0,67549366560,715712116,143307,1132,867,384,391611,0 69,2,2024-09-07 08:25:31:741,102085,102085,0,0,4772405,0,3153 69,3,2024-09-07 08:25:30:761,1,83,1,0,238,1199,83,0 70,0,2024-09-07 08:25:31:545,22365,3.1,22402,2.2,45154,1.9,59704,4.50 70,1,2024-09-07 08:25:30:810,146343,146343,0,0,69082864756,715411126,145424,784,135,368,391527,0 70,2,2024-09-07 08:25:31:324,105964,105964,0,0,4704228,0,4044 70,3,2024-09-07 08:25:30:745,1,83,1,0,178,526,83,0 71,0,2024-09-07 08:25:31:366,15751,1.2,15783,2.6,31179,1.7,42488,4.00 71,1,2024-09-07 08:25:31:596,146068,146068,0,0,68738457716,718729835,143866,1886,316,368,391682,0 71,2,2024-09-07 08:25:31:074,107111,107111,0,0,4421062,0,2146 71,3,2024-09-07 08:25:31:752,1,83,3,0,219,1031,83,0 72,0,2024-09-07 08:25:31:055,21005,0.3,20368,0.5,40309,0.2,55001,2.25 72,1,2024-09-07 08:25:31:020,146095,146095,0,0,68229967868,711639762,144542,1475,78,370,391556,0 72,2,2024-09-07 08:25:31:803,105040,105040,0,0,5726975,0,2570 72,3,2024-09-07 08:25:31:772,1,83,12,0,325,1412,83,0 73,0,2024-09-07 08:25:31:121,22467,0.5,23042,0.6,46857,0.4,60754,2.25 73,1,2024-09-07 08:25:30:766,145920,145920,0,0,68485344527,712641960,144951,925,44,368,391627,0 73,2,2024-09-07 08:25:31:762,102712,102712,0,0,5157734,0,3482 73,3,2024-09-07 08:25:30:969,1,83,1,0,274,1407,83,0 74,0,2024-09-07 08:25:31:334,24328,1.3,24725,1.2,47501,1.8,64280,3.00 74,1,2024-09-07 08:25:30:635,145589,145589,0,0,67853746667,710474965,143689,1328,572,382,391511,0 74,2,2024-09-07 08:25:31:015,103816,103816,0,0,5514898,0,4253 74,3,2024-09-07 08:25:31:451,1,83,1,0,246,1484,83,0 75,0,2024-09-07 08:25:31:771,18933,1.9,18879,1.5,37716,2.5,50826,3.00 75,1,2024-09-07 08:25:31:594,145783,145783,0,0,68211367273,714718151,144081,1598,104,381,391579,0 75,2,2024-09-07 08:25:31:351,108110,108110,0,0,5373159,0,4766 75,3,2024-09-07 08:25:31:068,1,83,1,0,143,621,83,0 76,0,2024-09-07 08:25:30:597,18456,0.3,18166,0.5,36392,0.2,48902,2.00 76,1,2024-09-07 08:25:30:837,145771,145771,0,0,67906290179,713624496,143958,1307,506,382,391530,0 76,2,2024-09-07 08:25:31:067,107006,107006,0,0,4382141,0,3064 76,3,2024-09-07 08:25:31:146,1,83,1,0,175,1048,83,0 77,0,2024-09-07 08:25:31:708,23083,0.7,23303,0.8,46653,0.7,61162,2.00 77,1,2024-09-07 08:25:30:827,145718,145718,0,0,68138779787,711133210,144677,972,69,383,391516,0 77,2,2024-09-07 08:25:31:293,102418,102418,0,0,3770250,0,1695 77,3,2024-09-07 08:25:31:110,1,83,7,0,139,870,83,0 78,0,2024-09-07 08:25:31:747,23270,0.9,23270,1.0,46570,1.0,62049,2.25 78,1,2024-09-07 08:25:30:612,146253,146253,0,0,67382273006,702808713,144831,1217,205,367,391589,0 78,2,2024-09-07 08:25:31:414,103184,103184,0,0,3953142,0,2114 78,3,2024-09-07 08:25:31:140,1,83,24,0,181,1288,83,0 79,0,2024-09-07 08:25:31:352,19405,0.7,19890,1.0,40620,0.8,53186,2.75 79,1,2024-09-07 08:25:30:597,146383,146383,0,0,68355764837,710772445,145044,1270,69,370,391682,0 79,2,2024-09-07 08:25:31:074,108145,108145,0,0,4501379,0,2679 79,3,2024-09-07 08:25:30:749,1,83,33,0,289,924,83,0 80,0,2024-09-07 08:25:31:110,16236,0.4,16826,0.6,32312,0.3,43844,1.75 80,1,2024-09-07 08:25:31:629,145625,145625,0,0,68266916820,711655692,144032,1478,115,369,391673,0 80,2,2024-09-07 08:25:31:090,106367,106367,0,0,4538190,0,4433 80,3,2024-09-07 08:25:30:577,1,83,118,1,190,1410,83,0 81,0,2024-09-07 08:25:31:562,21490,0.4,22021,0.6,42284,0.3,57195,1.75 81,1,2024-09-07 08:25:31:674,145726,145726,0,0,67560813656,709498964,143835,1693,198,383,391680,0 81,2,2024-09-07 08:25:31:128,103223,103223,0,0,4729601,0,3993 81,3,2024-09-07 08:25:31:126,1,83,5,0,193,897,83,0 82,0,2024-09-07 08:25:31:561,22704,0.9,22656,1.0,45547,1.0,60949,2.25 82,1,2024-09-07 08:25:30:591,145778,145774,0,4,68240572005,714379077,143734,1468,572,382,391558,4 82,2,2024-09-07 08:25:31:704,103243,103243,0,0,3813830,0,2230 82,3,2024-09-07 08:25:31:764,1,83,14,0,227,1365,83,0 83,0,2024-09-07 08:25:31:531,21471,1.5,21389,1.3,42442,1.8,56626,3.00 83,1,2024-09-07 08:25:30:554,145605,145605,0,0,68010006367,711293265,144185,1319,101,383,391553,0 83,2,2024-09-07 08:25:30:767,106382,106382,0,0,4789166,0,3119 83,3,2024-09-07 08:25:30:749,1,83,2,0,91,616,83,0 84,0,2024-09-07 08:25:31:805,15887,3.1,15663,2.7,31374,0.6,43243,2.75 84,1,2024-09-07 08:25:31:069,145634,145634,0,0,68321941418,716252246,144014,1371,249,369,391638,0 84,2,2024-09-07 08:25:30:600,106401,106401,0,0,4770354,0,3801 84,3,2024-09-07 08:25:31:143,1,83,2,1,58,840,83,0 85,0,2024-09-07 08:25:31:098,20270,0.3,20286,0.5,42919,0.2,55935,1.75 85,1,2024-09-07 08:25:30:569,145352,145352,0,0,68389854541,723303354,142891,2032,429,383,391652,0 85,2,2024-09-07 08:25:30:888,104994,104994,0,0,5060241,0,3656 85,3,2024-09-07 08:25:30:694,1,83,4,0,115,793,83,0 86,0,2024-09-07 08:25:30:982,22636,0.8,23462,0.8,45066,1.0,60375,2.00 86,1,2024-09-07 08:25:30:830,145422,145422,0,0,68278940468,714668316,143618,1587,217,367,391622,0 86,2,2024-09-07 08:25:30:868,101308,101307,1,0,5286501,0,5004 86,3,2024-09-07 08:25:30:593,1,83,1,0,199,925,83,0 87,0,2024-09-07 08:25:31:306,23352,2.7,23169,1.6,46495,3.9,62421,3.00 87,1,2024-09-07 08:25:30:556,145680,145680,0,0,68549385948,717841825,144095,1464,121,366,391788,0 87,2,2024-09-07 08:25:31:073,105231,105231,0,0,4043419,0,2859 87,3,2024-09-07 08:25:31:794,1,83,1,1,322,1283,83,0 88,0,2024-09-07 08:25:31:466,17460,0.6,17706,0.8,35163,0.7,46504,1.75 88,1,2024-09-07 08:25:30:579,145384,145384,0,0,68319763000,714499432,144168,1119,97,367,391787,0 88,2,2024-09-07 08:25:30:688,108049,108049,0,0,5638930,0,3583 88,3,2024-09-07 08:25:31:270,1,83,6,1,77,1018,83,0 89,0,2024-09-07 08:25:31:800,19983,0.3,19361,0.5,38432,0.3,52161,1.75 89,1,2024-09-07 08:25:30:563,144897,144897,0,0,68741855243,731800838,141570,2591,736,382,391866,0 89,2,2024-09-07 08:25:31:132,105937,105937,0,0,5102522,0,2726 89,3,2024-09-07 08:25:31:792,1,83,12,0,325,1940,83,0 90,0,2024-09-07 08:25:31:660,22339,0.4,22785,0.6,46871,0.4,60792,1.75 90,1,2024-09-07 08:25:30:827,145501,145501,0,0,68070135969,717896692,143445,1913,143,382,391825,0 90,2,2024-09-07 08:25:31:424,100804,100804,0,0,5665001,0,2635 90,3,2024-09-07 08:25:30:945,1,83,6,1,200,1011,83,0 91,0,2024-09-07 08:25:30:959,24454,0.6,23669,0.7,49155,0.7,64779,2.00 91,1,2024-09-07 08:25:30:557,145413,145413,0,0,68609589670,724320666,143008,2040,365,383,391914,0 91,2,2024-09-07 08:25:31:333,102851,102851,0,0,4845730,0,1997 91,3,2024-09-07 08:25:30:603,1,83,0,0,155,1152,83,0 92,0,2024-09-07 08:25:31:465,20162,0.9,20595,1.0,39387,1.1,53675,1.75 92,1,2024-09-07 08:25:30:595,146030,146030,0,0,68261749112,711833221,145371,592,67,382,391717,0 92,2,2024-09-07 08:25:31:351,109574,109574,0,0,4756455,0,2279 92,3,2024-09-07 08:25:31:013,1,83,1,0,68,904,83,0 93,0,2024-09-07 08:25:30:990,17472,0.3,17805,0.5,33938,0.2,46167,1.75 93,1,2024-09-07 08:25:30:848,145913,145913,0,0,68474488773,717587703,144149,1328,436,367,391689,0 93,2,2024-09-07 08:25:30:933,106463,106463,0,0,4309214,0,2509 93,3,2024-09-07 08:25:31:413,1,83,5,0,143,779,83,0 94,0,2024-09-07 08:25:31:621,22387,0.3,22551,0.5,44590,0.3,59875,1.50 94,1,2024-09-07 08:25:30:564,145252,145252,0,0,67848636348,713408340,143656,1530,66,381,391850,0 94,2,2024-09-07 08:25:30:764,102288,102288,0,0,4253739,0,2443 94,3,2024-09-07 08:25:31:703,1,83,2,1,231,1351,83,0 95,0,2024-09-07 08:25:31:392,22900,0.4,22844,0.6,46109,0.4,61340,1.75 95,1,2024-09-07 08:25:30:859,145973,145973,0,0,68457857243,712251523,145163,746,64,367,391590,0 95,2,2024-09-07 08:25:31:017,102681,102681,0,0,4576555,0,3308 95,3,2024-09-07 08:25:31:735,1,83,6,0,307,1352,83,0 96,0,2024-09-07 08:25:31:062,21451,0.8,21300,0.8,42510,0.9,56265,1.75 96,1,2024-09-07 08:25:31:597,145740,145740,0,0,68129725631,716442603,143896,1273,571,385,391596,0 96,2,2024-09-07 08:25:31:276,108250,108250,0,0,5006266,0,4038 96,3,2024-09-07 08:25:31:142,1,83,2,0,36,726,83,0 97,0,2024-09-07 08:25:31:329,15205,0.3,15230,0.5,30733,0.2,40580,1.50 97,1,2024-09-07 08:25:30:763,145801,145801,0,0,68900374556,720922844,143605,1677,519,367,392140,0 97,2,2024-09-07 08:25:30:612,105702,105702,0,0,4194948,0,3036 97,3,2024-09-07 08:25:30:577,1,83,1,1,165,1040,83,0 98,0,2024-09-07 08:25:31:718,21222,0.2,21084,0.4,42813,0.2,57024,1.50 98,1,2024-09-07 08:25:30:580,145796,145796,0,0,68014681895,710756735,144718,1033,45,382,391997,0 98,2,2024-09-07 08:25:30:778,105539,105539,0,0,4409452,0,3080 98,3,2024-09-07 08:25:30:705,1,83,1,1,155,1044,83,0 99,0,2024-09-07 08:25:31:488,22766,0.4,22733,0.5,45435,0.3,60471,1.75 99,1,2024-09-07 08:25:31:723,146191,146191,0,0,67725472032,708346085,144697,1050,444,381,391744,0 99,2,2024-09-07 08:25:31:431,103112,103112,0,0,4450490,0,1858 99,3,2024-09-07 08:25:30:591,1,83,1,0,129,751,83,0 100,0,2024-09-07 08:25:31:476,22736,1.8,22541,2.2,44983,4.8,60513,2.50 100,1,2024-09-07 08:25:30:551,144997,144997,0,0,67792955588,720191774,142248,2404,345,381,391989,0 100,2,2024-09-07 08:25:31:829,105514,105503,11,0,5566999,0,5417 100,3,2024-09-07 08:25:31:736,1,83,1,0,443,2006,83,0 101,0,2024-09-07 08:25:31:723,16283,3.3,15895,1.6,31371,0.8,43525,2.75 101,1,2024-09-07 08:25:30:555,145448,145448,0,0,68431357727,718370171,143962,1036,450,369,391709,0 101,2,2024-09-07 08:25:31:764,107008,107008,0,0,4905351,0,4644 101,3,2024-09-07 08:25:30:950,1,83,15,0,448,1133,83,0 102,0,2024-09-07 08:25:31:012,19925,0.4,20673,0.5,41497,0.3,54731,1.75 102,1,2024-09-07 08:25:31:143,145380,145380,0,0,68131957843,719439750,142904,2007,469,369,391831,0 102,2,2024-09-07 08:25:31:750,106457,106457,0,0,4096494,0,1945 102,3,2024-09-07 08:25:31:618,1,83,1,0,410,918,83,0 103,0,2024-09-07 08:25:31:733,23617,0.5,23522,0.7,44677,0.5,61210,1.75 103,1,2024-09-07 08:25:31:662,145458,145458,0,0,68182178093,714591461,144226,1088,144,381,391680,0 103,2,2024-09-07 08:25:30:592,101622,101622,0,0,4354825,0,2104 103,3,2024-09-07 08:25:30:755,1,83,3,1,486,1135,83,0 104,0,2024-09-07 08:25:31:018,24181,1.7,24102,1.3,47289,2.0,64553,2.50 104,1,2024-09-07 08:25:31:616,146223,146223,0,0,67789837292,714242210,144263,1634,326,366,391948,0 104,2,2024-09-07 08:25:31:687,103201,103201,0,0,5219689,0,3941 104,3,2024-09-07 08:25:31:416,1,83,1,1,1245,3939,83,0 105,0,2024-09-07 08:25:31:059,18683,1.0,18061,1.4,37613,1.4,50501,3.25 105,1,2024-09-07 08:25:30:556,145306,145306,0,0,67932789020,717899362,142352,2208,746,367,391797,0 105,2,2024-09-07 08:25:31:324,108114,108114,0,0,5003727,0,3314 105,3,2024-09-07 08:25:31:310,1,83,11,1,399,1679,83,0 106,0,2024-09-07 08:25:30:979,17769,0.3,18268,0.5,37061,0.2,49038,1.75 106,1,2024-09-07 08:25:31:792,145818,145818,0,0,67824525050,714350553,143404,2087,327,370,391767,0 106,2,2024-09-07 08:25:30:771,105592,105592,0,0,4993764,0,2795 106,3,2024-09-07 08:25:30:687,1,83,1,1,201,921,83,0 107,0,2024-09-07 08:25:31:133,23300,0.5,23155,0.7,46101,0.4,61431,1.75 107,1,2024-09-07 08:25:30:614,144983,144983,0,0,67608436669,714417619,143039,1754,190,382,392234,0 107,2,2024-09-07 08:25:31:292,101715,101714,1,0,4733272,0,5024 107,3,2024-09-07 08:25:31:766,1,83,1,0,353,1405,83,0 108,0,2024-09-07 08:25:31:838,23420,0.6,23670,0.7,46667,0.6,62372,1.75 108,1,2024-09-07 08:25:31:301,145619,145619,0,0,68500706205,717846131,144144,1341,134,371,391857,0 108,2,2024-09-07 08:25:31:809,102844,102844,0,0,4718636,0,2647 108,3,2024-09-07 08:25:31:337,1,83,22,0,73,1070,83,0 109,0,2024-09-07 08:25:31:860,20275,0.8,20421,0.8,40367,0.9,54285,2.00 109,1,2024-09-07 08:25:30:596,145260,145260,0,0,69034081031,727721640,143590,1287,383,383,391812,0 109,2,2024-09-07 08:25:30:942,106937,106937,0,0,5163729,0,3617 109,3,2024-09-07 08:25:31:144,1,83,14,1,249,1419,83,0 110,0,2024-09-07 08:25:31:969,16412,0.2,15948,0.4,33211,0.2,43784,1.50 110,1,2024-09-07 08:25:31:658,146025,146025,0,0,67950382923,707907956,144703,1035,287,370,391588,0 110,2,2024-09-07 08:25:31:312,106398,106398,0,0,4324468,0,2915 110,3,2024-09-07 08:25:30:695,1,83,1,0,183,750,83,0 111,0,2024-09-07 08:25:31:437,21506,0.2,21269,0.4,42469,0.1,57133,1.50 111,1,2024-09-07 08:25:31:007,146512,146512,0,0,69276407657,717307055,145865,634,13,382,391690,0 111,2,2024-09-07 08:25:31:115,104010,104010,0,0,4495795,0,2763 111,3,2024-09-07 08:25:30:918,1,83,1,0,300,1617,83,0 112,0,2024-09-07 08:25:30:962,22940,0.4,22937,0.6,45643,0.3,61205,1.75 112,1,2024-09-07 08:25:30:845,145837,145837,0,0,69386576236,723822100,144324,1167,346,381,391580,0 112,2,2024-09-07 08:25:31:137,102497,102496,1,0,4763396,0,5036 112,3,2024-09-07 08:25:30:591,1,83,11,0,282,903,83,0 113,0,2024-09-07 08:25:30:899,21520,0.6,21371,0.7,43485,0.5,57694,1.75 113,1,2024-09-07 08:25:31:693,146133,146133,0,0,68577214092,713569821,144240,1509,384,368,391661,0 113,2,2024-09-07 08:25:31:308,107505,107505,0,0,3918645,0,3021 113,3,2024-09-07 08:25:30:694,1,83,0,0,288,1463,83,0 114,0,2024-09-07 08:25:30:883,16202,3.1,16358,1.4,32197,0.7,43756,2.00 114,1,2024-09-07 08:25:30:716,146006,146006,0,0,68667151517,722022799,143396,1729,881,381,391531,0 114,2,2024-09-07 08:25:30:886,106492,106492,0,0,4489080,0,3925 114,3,2024-09-07 08:25:31:278,1,83,10,0,159,937,83,0 115,0,2024-09-07 08:25:30:571,20745,0.2,20928,0.3,42105,0.1,55923,1.50 115,1,2024-09-07 08:25:30:597,145807,145807,0,0,68823982458,720771254,143433,1887,487,383,391528,0 115,2,2024-09-07 08:25:31:125,105838,105838,0,0,3880194,0,2152 115,3,2024-09-07 08:25:31:003,1,83,0,0,159,513,83,0 116,0,2024-09-07 08:25:31:711,22851,0.8,22407,0.9,45473,1.1,60469,2.00 116,1,2024-09-07 08:25:30:837,145399,145399,0,0,68885781172,728815700,143509,1019,871,382,391605,0 116,2,2024-09-07 08:25:31:752,101613,101613,0,0,4954652,0,3529 116,3,2024-09-07 08:25:30:918,1,83,5,1,252,1315,83,0 117,0,2024-09-07 08:25:30:994,23338,2.2,23412,1.4,46553,3.4,62510,2.25 117,1,2024-09-07 08:25:31:594,146099,146099,0,0,68043457483,717016020,143776,2030,293,370,392033,0 117,2,2024-09-07 08:25:31:118,105605,105605,0,0,4398110,0,3700 117,3,2024-09-07 08:25:31:069,1,83,19,0,490,1849,83,0 118,0,2024-09-07 08:25:31:817,17110,0.7,17492,0.8,35803,0.7,46350,2.00 118,1,2024-09-07 08:25:30:591,145931,145931,0,0,67368076279,705931819,144686,1165,80,368,391736,0 118,2,2024-09-07 08:25:31:598,107943,107943,0,0,4830646,0,2781 118,3,2024-09-07 08:25:31:808,1,83,2,1,235,1259,83,0 119,0,2024-09-07 08:25:31:356,19162,0.3,19252,0.4,39187,0.2,51749,1.75 119,1,2024-09-07 08:25:30:557,146136,146136,0,0,68869518701,721157820,144517,1470,149,370,391641,0 119,2,2024-09-07 08:25:31:272,105406,105406,0,0,4550171,0,2532 119,3,2024-09-07 08:25:31:332,1,83,7,0,443,2216,83,0 120,0,2024-09-07 08:25:31:555,22811,0.6,22885,0.8,45474,0.6,60816,2.00 120,1,2024-09-07 08:25:30:893,145638,145638,0,0,68465656841,719339456,144107,1515,16,368,391702,0 120,2,2024-09-07 08:25:30:778,101182,101181,1,0,5687655,0,5281 120,3,2024-09-07 08:25:31:290,1,83,2,0,241,1407,83,0 121,0,2024-09-07 08:25:31:940,23878,2.2,24131,1.4,48233,3.5,64718,2.50 121,1,2024-09-07 08:25:31:662,145688,145688,0,0,67916643356,712699271,143808,1610,270,368,391840,0 121,2,2024-09-07 08:25:31:127,102786,102786,0,0,6029982,0,4127 121,3,2024-09-07 08:25:30:735,1,83,1,0,113,883,83,0 122,0,2024-09-07 08:25:31:792,19882,2.1,19234,1.8,40103,2.5,53791,2.25 122,1,2024-09-07 08:25:30:903,144706,144706,0,0,67944027515,718953498,141694,2488,524,368,392130,0 122,2,2024-09-07 08:25:31:331,108407,108407,0,0,6073049,0,3364 122,3,2024-09-07 08:25:30:594,1,83,8,1,298,1840,83,0 123,0,2024-09-07 08:25:31:007,17286,0.3,16814,0.5,35156,0.2,46023,1.75 123,1,2024-09-07 08:25:30:565,145993,145993,0,0,68258280005,724382604,142525,2900,568,369,391823,0 123,2,2024-09-07 08:25:31:020,105714,105713,1,0,4995445,0,5215 123,3,2024-09-07 08:25:31:133,1,83,1,1,160,1043,83,0 124,0,2024-09-07 08:25:30:950,22913,0.3,22895,0.5,43185,0.2,60066,1.50 124,1,2024-09-07 08:25:31:022,145625,145625,0,0,67821439271,704445780,144819,661,145,367,392178,0 124,2,2024-09-07 08:25:31:014,102459,102459,0,0,3978725,0,2477 124,3,2024-09-07 08:25:30:758,1,83,2,1,490,1673,83,0 125,0,2024-09-07 08:25:31:431,23063,0.6,23088,0.7,46164,0.7,61298,1.75 125,1,2024-09-07 08:25:30:864,145615,145615,0,0,68054339313,713915055,143861,1482,272,384,391702,0 125,2,2024-09-07 08:25:31:119,102857,102857,0,0,4368515,0,2180 125,3,2024-09-07 08:25:31:128,1,83,1,1,93,1115,83,0 126,0,2024-09-07 08:25:31:438,21322,1.2,21916,1.0,41941,1.5,56674,2.00 126,1,2024-09-07 08:25:30:559,146470,146470,0,0,68729567896,714134125,145418,997,55,365,391987,0 126,2,2024-09-07 08:25:30:632,107977,107977,0,0,4786124,0,3186 126,3,2024-09-07 08:25:30:931,1,83,7,0,122,1199,83,0 127,0,2024-09-07 08:25:31:618,15353,0.2,15322,0.4,30656,0.2,40607,1.50 127,1,2024-09-07 08:25:30:599,145822,145822,0,0,68252750238,708653061,144682,1117,23,365,391614,0 127,2,2024-09-07 08:25:30:643,106207,106207,0,0,3994951,0,1803 127,3,2024-09-07 08:25:31:284,1,83,12,0,99,658,83,0 128,0,2024-09-07 08:25:31:536,21441,0.2,21415,0.4,42321,0.2,57060,1.50 128,1,2024-09-07 08:25:31:617,145944,145944,0,0,68809945477,713961118,145211,663,70,367,391680,0 128,2,2024-09-07 08:25:31:394,104265,104265,0,0,4628500,0,2107 128,3,2024-09-07 08:25:30:767,1,83,7,1,112,912,83,0 129,0,2024-09-07 08:25:31:089,22847,0.4,22811,0.6,45480,0.4,60415,1.75 129,1,2024-09-07 08:25:30:576,145693,145693,0,0,68443932169,718513315,143863,1555,275,379,391835,0 129,2,2024-09-07 08:25:30:699,101739,101739,0,0,4011613,0,2446 129,3,2024-09-07 08:25:30:702,1,83,11,1,173,1276,83,0 130,0,2024-09-07 08:25:31:785,22922,1.4,22797,1.1,45720,2.0,61083,2.25 130,1,2024-09-07 08:25:30:593,145708,145708,0,0,68430384891,715261530,144392,1283,33,381,391609,0 130,2,2024-09-07 08:25:31:127,106032,106032,0,0,4721945,0,4067 130,3,2024-09-07 08:25:31:300,1,83,0,0,207,650,83,0 131,0,2024-09-07 08:25:31:951,16297,0.9,16182,0.9,32730,1.2,43385,1.75 131,1,2024-09-07 08:25:31:828,146634,146634,0,0,68250265420,711996560,145613,835,186,384,391865,0 131,2,2024-09-07 08:25:30:567,107386,107386,0,0,3951982,0,2415 131,3,2024-09-07 08:25:31:703,1,83,1,0,392,1143,83,0 132,0,2024-09-07 08:25:31:432,20234,0.3,20593,0.5,40938,0.2,54968,1.75 132,1,2024-09-07 08:25:30:580,145180,145180,0,0,67870356912,717630367,142590,1884,706,381,391719,0 132,2,2024-09-07 08:25:30:698,105647,105647,0,0,6187288,0,4606 132,3,2024-09-07 08:25:31:689,1,83,6,1,356,1073,83,0 133,0,2024-09-07 08:25:31:541,22453,0.5,22795,0.6,46985,0.5,60534,1.75 133,1,2024-09-07 08:25:30:590,144499,144499,0,0,67354333450,712412810,142284,2120,95,383,391755,0 133,2,2024-09-07 08:25:31:092,102109,102109,0,0,5327849,0,2444 133,3,2024-09-07 08:25:31:299,1,83,9,1,187,687,83,0 134,0,2024-09-07 08:25:30:973,24300,0.9,24243,1.0,48688,1.0,64516,2.25 134,1,2024-09-07 08:25:30:595,145858,145858,0,0,67757706569,714139395,143215,1662,981,366,391718,0 134,2,2024-09-07 08:25:31:758,103724,103724,0,0,3992864,0,2026 134,3,2024-09-07 08:25:30:750,1,83,1,0,739,1981,83,0 135,0,2024-09-07 08:25:31:119,18367,1.5,18431,1.5,38895,1.6,50308,2.25 135,1,2024-09-07 08:25:31:611,145256,145256,0,0,67703273998,712011903,143306,1622,328,380,391703,0 135,2,2024-09-07 08:25:30:717,108739,108739,0,0,4996234,0,3981 135,3,2024-09-07 08:25:31:003,1,83,2,0,68,504,83,0 136,0,2024-09-07 08:25:31:628,18398,0.4,18375,0.6,36738,0.3,49395,2.00 136,1,2024-09-07 08:25:31:441,145588,145588,0,0,68239932904,716939785,143681,1760,147,384,391621,0 136,2,2024-09-07 08:25:31:142,107284,107284,0,0,4502896,0,2379 136,3,2024-09-07 08:25:31:106,1,83,7,0,108,889,83,0 137,0,2024-09-07 08:25:30:944,23823,0.6,23067,0.8,45674,0.6,61522,2.00 137,1,2024-09-07 08:25:30:607,145438,145438,0,0,68526005059,718442716,143459,1865,114,367,391608,0 137,2,2024-09-07 08:25:31:712,101197,101197,0,0,5496685,0,2632 137,3,2024-09-07 08:25:30:769,1,83,10,1,227,1141,83,0 138,0,2024-09-07 08:25:31:759,22742,2.5,22940,1.6,46519,3.7,62263,3.00 138,1,2024-09-07 08:25:31:697,145466,145466,0,0,68389889065,718998666,143132,2070,264,370,391954,0 138,2,2024-09-07 08:25:30:591,103548,103548,0,0,4749759,0,3263 138,3,2024-09-07 08:25:30:613,1,83,4,0,1160,1972,83,0 139,0,2024-09-07 08:25:31:400,19623,4.4,19661,2.4,39761,5.4,53598,3.75 139,1,2024-09-07 08:25:30:578,145009,145009,0,0,67518956016,719609677,142117,2163,729,381,391892,0 139,2,2024-09-07 08:25:30:734,107509,107509,0,0,6049215,0,3097 139,3,2024-09-07 08:25:31:686,1,83,11,0,244,1366,83,0 140,0,2024-09-07 08:25:31:625,16314,0.2,16236,0.4,32693,0.2,43849,1.50 140,1,2024-09-07 08:25:31:544,146358,146358,0,0,68791554669,711564897,145429,732,197,365,391606,0 140,2,2024-09-07 08:25:30:688,106803,106803,0,0,4771336,0,3388 140,3,2024-09-07 08:25:30:767,1,83,1,0,25,589,83,0 141,0,2024-09-07 08:25:31:717,21176,0.2,21898,0.4,42254,0.1,57086,1.50 141,1,2024-09-07 08:25:30:859,146018,146018,0,0,68482153336,713568465,144595,1092,331,382,391538,0 141,2,2024-09-07 08:25:31:699,103609,103609,0,0,4274982,0,2342 141,3,2024-09-07 08:25:31:049,1,83,2,0,147,606,83,0 142,0,2024-09-07 08:25:31:325,23164,0.4,22914,0.6,45466,0.4,61305,1.75 142,1,2024-09-07 08:25:30:592,146237,146237,0,0,68501935940,713471145,145679,543,15,384,391649,0 142,2,2024-09-07 08:25:31:316,101672,101672,0,0,4636366,0,2293 142,3,2024-09-07 08:25:31:750,1,83,1,0,484,1601,83,0 143,0,2024-09-07 08:25:31:391,21574,1.1,21686,0.9,43584,1.4,57554,2.00 143,1,2024-09-07 08:25:30:557,145970,145970,0,0,68228945002,708188900,144988,953,29,367,391619,0 143,2,2024-09-07 08:25:30:769,106986,106986,0,0,5124435,0,2669 143,3,2024-09-07 08:25:31:141,1,83,1,0,236,1184,83,0 144,0,2024-09-07 08:25:31:505,15433,1.0,15943,5.5,32211,0.8,43427,2.25 144,1,2024-09-07 08:25:30:568,145548,145548,0,0,68296291124,717909851,143973,1382,193,381,391638,0 144,2,2024-09-07 08:25:31:765,106929,106929,0,0,4325826,0,3473 144,3,2024-09-07 08:25:31:755,1,83,4,1,169,1293,83,0 145,0,2024-09-07 08:25:31:388,20210,0.4,20251,0.5,42772,0.3,55976,1.75 145,1,2024-09-07 08:25:30:563,144978,144978,0,0,67971775066,715444798,143048,1665,265,383,391615,0 145,2,2024-09-07 08:25:31:430,105663,105663,0,0,5149180,0,3903 145,3,2024-09-07 08:25:30:914,1,83,5,0,151,1084,83,0 146,0,2024-09-07 08:25:31:622,22654,0.7,22624,0.8,45511,0.7,60034,2.25 146,1,2024-09-07 08:25:31:599,145874,145874,0,0,67689439551,712027288,143577,1996,301,368,391600,0 146,2,2024-09-07 08:25:31:696,100964,100964,0,0,4737039,0,2379 146,3,2024-09-07 08:25:31:280,1,83,11,0,1520,3156,83,0 147,0,2024-09-07 08:25:31:717,23197,2.6,22957,1.6,45717,4.0,62431,3.00 147,1,2024-09-07 08:25:31:374,146016,146016,0,0,68780510176,716469776,144295,1366,355,368,391791,0 147,2,2024-09-07 08:25:31:013,105513,105513,0,0,4801848,0,2789 147,3,2024-09-07 08:25:30:914,1,83,1,1,141,846,83,0 0,0,2024-09-07 08:25:41:755,22367,0.6,22334,0.8,47229,0.7,60989,1.75 0,1,2024-09-07 08:25:40:803,147698,147698,0,0,69273756187,727430122,146470,1101,127,372,391673,0 0,2,2024-09-07 08:25:41:079,102442,102442,0,0,4721539,0,4480 0,3,2024-09-07 08:25:40:986,1,84,7,0,247,1281,84,0 1,0,2024-09-07 08:25:41:895,24294,2.6,24074,1.7,48612,3.7,65093,2.75 1,1,2024-09-07 08:25:40:574,147202,147202,0,0,68708740414,721856236,145504,1169,529,372,391857,0 1,2,2024-09-07 08:25:40:692,104175,104175,0,0,4492026,0,3267 1,3,2024-09-07 08:25:41:302,1,84,1,0,167,810,84,0 2,0,2024-09-07 08:25:41:600,20038,1.1,20191,1.2,40040,1.6,53867,2.25 2,1,2024-09-07 08:25:40:862,147821,147821,0,0,69570605732,724656553,146740,877,204,381,391558,0 2,2,2024-09-07 08:25:41:292,109139,109139,0,0,4727115,0,3304 2,3,2024-09-07 08:25:40:690,1,84,0,0,214,869,84,0 3,0,2024-09-07 08:25:41:770,17608,0.3,17729,0.5,35313,0.2,46840,1.75 3,1,2024-09-07 08:25:41:631,146836,146836,0,0,68744839488,719294468,144857,1593,386,382,391516,0 3,2,2024-09-07 08:25:41:153,107605,107582,23,0,5018535,0,5851 3,3,2024-09-07 08:25:41:757,1,84,2,0,103,596,84,0 4,0,2024-09-07 08:25:41:779,22118,0.3,22817,0.4,46061,0.3,61307,1.50 4,1,2024-09-07 08:25:40:602,147219,147219,0,0,68777849938,725583843,145318,1483,418,371,391846,0 4,2,2024-09-07 08:25:41:025,102992,102992,0,0,5513423,0,4528 4,3,2024-09-07 08:25:41:042,1,84,13,1,287,1400,84,0 5,0,2024-09-07 08:25:41:451,23321,0.7,23166,0.8,46729,0.9,62057,1.75 5,1,2024-09-07 08:25:40:772,147488,147488,0,0,69612527772,733162138,145271,1774,443,368,392005,0 5,2,2024-09-07 08:25:41:844,104112,104112,0,0,4486193,0,2259 5,3,2024-09-07 08:25:41:736,1,84,22,0,238,1623,84,0 6,0,2024-09-07 08:25:40:926,21476,1.0,21174,1.2,42386,1.3,56824,2.50 6,1,2024-09-07 08:25:40:746,148069,148069,0,0,69339242582,722437651,146944,1055,70,381,391603,0 6,2,2024-09-07 08:25:41:128,109787,109787,0,0,4743180,0,2411 6,3,2024-09-07 08:25:41:279,1,84,23,0,340,1493,84,0 7,0,2024-09-07 08:25:41:551,15285,0.3,15401,0.4,30871,0.2,41289,1.75 7,1,2024-09-07 08:25:40:855,147776,147776,0,0,69240491178,722610870,146795,916,65,383,391664,0 7,2,2024-09-07 08:25:40:774,106915,106915,0,0,4446362,0,2981 7,3,2024-09-07 08:25:40:853,1,84,4,0,83,693,84,0 8,0,2024-09-07 08:25:41:353,21655,0.3,21811,0.4,42868,0.2,57932,1.50 8,1,2024-09-07 08:25:41:021,147413,147413,0,0,68910232612,733032764,143925,2235,1253,368,391724,0 8,2,2024-09-07 08:25:40:790,104522,104522,0,0,5622801,0,2986 8,3,2024-09-07 08:25:40:599,1,84,2,0,229,1126,84,0 9,0,2024-09-07 08:25:41:145,22884,0.4,22294,0.6,46543,0.4,60717,1.75 9,1,2024-09-07 08:25:40:556,147936,147936,0,0,69447494958,733296238,145486,1882,568,370,391738,0 9,2,2024-09-07 08:25:41:083,103323,103323,0,0,5070626,0,3360 9,3,2024-09-07 08:25:41:763,1,84,1,0,149,1079,84,0 10,0,2024-09-07 08:25:41:644,22816,0.7,22882,0.8,45746,0.7,60802,2.00 10,1,2024-09-07 08:25:40:599,146834,146834,0,0,69207361539,731664503,143496,2816,522,381,391741,0 10,2,2024-09-07 08:25:40:769,107202,107202,0,0,5221190,0,2940 10,3,2024-09-07 08:25:40:872,1,84,1,0,136,617,84,0 11,0,2024-09-07 08:25:41:041,15990,0.9,15599,1.2,32710,1.7,43844,2.25 11,1,2024-09-07 08:25:40:581,147169,147169,0,0,69134173790,728400955,144528,1826,815,384,391537,0 11,2,2024-09-07 08:25:41:125,107900,107900,0,0,4939036,0,2635 11,3,2024-09-07 08:25:41:302,1,84,0,0,720,2401,84,0 12,0,2024-09-07 08:25:40:988,21104,0.3,20979,0.5,41946,0.2,56110,1.50 12,1,2024-09-07 08:25:40:961,146992,146992,0,0,68836905729,722147488,145329,1562,101,371,391790,0 12,2,2024-09-07 08:25:41:555,107781,107781,0,0,4760972,0,2254 12,3,2024-09-07 08:25:41:059,1,84,2,0,358,1535,84,0 13,0,2024-09-07 08:25:41:372,23109,0.4,23216,0.6,46189,0.4,61330,1.75 13,1,2024-09-07 08:25:41:531,147355,147355,0,0,69014828967,727153880,145753,1235,367,384,391717,0 13,2,2024-09-07 08:25:40:612,103207,103207,0,0,4292451,0,3287 13,3,2024-09-07 08:25:41:786,1,84,34,1,416,1753,84,0 14,0,2024-09-07 08:25:40:597,24385,0.7,24576,0.9,48581,0.8,64522,2.00 14,1,2024-09-07 08:25:41:561,148258,148258,0,0,68933429766,714853227,147375,852,31,364,391546,0 14,2,2024-09-07 08:25:40:769,104956,104956,0,0,4912284,0,2793 14,3,2024-09-07 08:25:41:123,1,84,7,0,906,1312,84,0 15,0,2024-09-07 08:25:41:581,19130,0.9,18912,1.2,37961,1.1,50814,2.50 15,1,2024-09-07 08:25:41:615,146788,146788,0,0,68860198504,716949140,145965,788,35,381,391536,0 15,2,2024-09-07 08:25:41:002,110264,110264,0,0,3997743,0,3043 15,3,2024-09-07 08:25:41:405,1,84,4,0,538,2387,84,0 16,0,2024-09-07 08:25:40:950,18710,0.3,18857,0.5,37268,0.3,50192,2.00 16,1,2024-09-07 08:25:40:579,147171,147171,0,0,68783619139,720439306,146031,1112,28,372,391756,0 16,2,2024-09-07 08:25:41:443,106583,106583,0,0,5225610,0,4719 16,3,2024-09-07 08:25:41:143,1,84,4,1,231,1460,84,0 17,0,2024-09-07 08:25:41:852,23880,0.5,23378,0.7,45926,0.5,61671,2.00 17,1,2024-09-07 08:25:40:569,147321,147321,0,0,68771133826,725702672,145436,1361,524,369,391688,0 17,2,2024-09-07 08:25:41:688,103826,103826,0,0,3992998,0,2857 17,3,2024-09-07 08:25:40:623,1,84,2,0,268,1641,84,0 18,0,2024-09-07 08:25:40:975,23014,1.3,23374,1.2,46966,1.6,62399,2.50 18,1,2024-09-07 08:25:41:648,147541,147541,0,0,69020628563,718343850,146289,1034,218,368,391555,0 18,2,2024-09-07 08:25:41:756,104042,104042,0,0,4915398,0,3541 18,3,2024-09-07 08:25:40:898,1,84,11,0,163,1037,84,0 19,0,2024-09-07 08:25:41:569,19989,1.4,20246,1.3,40155,1.6,53372,4.00 19,1,2024-09-07 08:25:40:566,147591,147591,0,0,69229720317,723489238,145722,1705,164,367,391777,0 19,2,2024-09-07 08:25:41:756,110082,110082,0,0,4555980,0,3988 19,3,2024-09-07 08:25:41:139,1,84,2,0,524,1202,84,0 20,0,2024-09-07 08:25:41:429,16831,0.3,16814,0.5,33453,0.2,44835,1.75 20,1,2024-09-07 08:25:40:572,147438,147438,0,0,68991045766,724019287,145735,1541,162,370,391598,0 20,2,2024-09-07 08:25:40:948,107183,107183,0,0,4433764,0,2446 20,3,2024-09-07 08:25:40:598,1,84,1,0,99,958,84,0 21,0,2024-09-07 08:25:41:157,21882,0.3,21788,0.5,43292,0.3,57556,1.75 21,1,2024-09-07 08:25:41:559,147080,147080,0,0,68778821188,726018623,144732,1853,495,368,391962,0 21,2,2024-09-07 08:25:41:071,104595,104595,0,0,4636127,0,3747 21,3,2024-09-07 08:25:41:419,1,84,1,0,93,1119,84,0 22,0,2024-09-07 08:25:41:737,22917,1.7,22868,1.3,45688,2.8,61338,2.75 22,1,2024-09-07 08:25:41:023,147310,147310,0,0,68948931891,728657563,144169,2415,726,382,391667,0 22,2,2024-09-07 08:25:40:768,103610,103610,0,0,4051405,0,3134 22,3,2024-09-07 08:25:41:076,1,84,33,0,228,691,84,0 23,0,2024-09-07 08:25:41:379,21653,1.1,21751,1.0,43574,1.3,57989,2.50 23,1,2024-09-07 08:25:41:002,147668,147668,0,0,69616462405,722826567,146318,1096,254,367,391549,0 23,2,2024-09-07 08:25:41:094,108347,108347,0,0,4340307,0,2078 23,3,2024-09-07 08:25:41:754,1,84,41,0,645,1394,84,0 24,0,2024-09-07 08:25:40:900,16666,0.5,16647,0.7,33317,0.5,44542,1.75 24,1,2024-09-07 08:25:40:650,147457,147457,0,0,68657224426,715507637,146638,812,7,369,391640,0 24,2,2024-09-07 08:25:41:073,107018,107018,0,0,5711181,0,2942 24,3,2024-09-07 08:25:41:697,1,84,1,0,234,1154,84,0 25,0,2024-09-07 08:25:41:420,21935,0.3,21413,0.4,42182,0.2,57450,1.50 25,1,2024-09-07 08:25:40:577,146996,146996,0,0,68612924985,722851636,144838,1842,316,371,391788,0 25,2,2024-09-07 08:25:41:636,105903,105903,0,0,5173647,0,3284 25,3,2024-09-07 08:25:41:005,1,84,1,1,158,788,84,0 26,0,2024-09-07 08:25:41:758,22730,0.5,22163,0.6,46316,0.4,60707,1.75 26,1,2024-09-07 08:25:41:546,147769,147769,0,0,68520611508,725032629,144306,2628,835,381,391564,0 26,2,2024-09-07 08:25:40:861,103162,103162,0,0,5055144,0,2809 26,3,2024-09-07 08:25:41:719,1,84,1,0,796,1300,84,0 27,0,2024-09-07 08:25:41:753,23557,0.9,23773,0.9,47036,1.3,62903,2.00 27,1,2024-09-07 08:25:41:681,148312,148312,0,0,69838969121,725754304,147171,846,295,381,391539,0 27,2,2024-09-07 08:25:40:871,105315,105315,0,0,6088655,0,3409 27,3,2024-09-07 08:25:41:015,1,84,4,0,564,1250,84,0 28,0,2024-09-07 08:25:41:401,17440,0.6,17714,0.8,35236,0.8,46542,2.00 28,1,2024-09-07 08:25:40:797,147930,147930,0,0,69791492653,727528281,146866,864,200,383,391646,0 28,2,2024-09-07 08:25:41:764,108812,108812,0,0,4240713,0,2609 28,3,2024-09-07 08:25:41:776,1,84,3,0,502,1274,84,0 29,0,2024-09-07 08:25:41:378,20187,0.3,19647,0.5,38884,0.2,53043,1.75 29,1,2024-09-07 08:25:41:566,148805,148805,0,0,69020792859,713807865,148014,619,172,369,391621,0 29,2,2024-09-07 08:25:40:872,107746,107746,0,0,3747833,0,2026 29,3,2024-09-07 08:25:40:966,1,84,7,0,105,701,84,0 30,0,2024-09-07 08:25:41:462,22899,1.0,22357,0.9,46723,1.4,60921,2.50 30,1,2024-09-07 08:25:40:600,147949,147949,0,0,69445262976,723095863,146972,912,65,382,391584,0 30,2,2024-09-07 08:25:41:291,103492,103492,0,0,3625289,0,2534 30,3,2024-09-07 08:25:40:598,1,84,1,0,195,666,84,0 31,0,2024-09-07 08:25:41:778,24095,1.2,24210,1.0,48782,1.4,64812,2.25 31,1,2024-09-07 08:25:40:568,148356,148356,0,0,69432831082,711495962,148105,249,2,356,391553,0 31,2,2024-09-07 08:25:41:280,104754,104754,0,0,5214918,0,3525 31,3,2024-09-07 08:25:41:707,1,84,0,0,129,588,84,0 32,0,2024-09-07 08:25:41:466,20213,1.1,20427,1.0,40839,2.0,54400,1.75 32,1,2024-09-07 08:25:40:804,148051,148051,0,0,69762091567,725322342,147047,975,29,382,391595,0 32,2,2024-09-07 08:25:40:946,109738,109738,0,0,4349427,0,3155 32,3,2024-09-07 08:25:41:015,1,84,1,0,110,746,84,0 33,0,2024-09-07 08:25:41:504,17805,0.2,17463,0.4,35577,0.1,46808,1.50 33,1,2024-09-07 08:25:40:624,148425,148425,0,0,69649427843,724270986,146763,1539,123,369,391730,0 33,2,2024-09-07 08:25:40:764,107760,107727,33,0,5801200,0,7012 33,3,2024-09-07 08:25:40:895,1,84,2,0,129,567,84,0 34,0,2024-09-07 08:25:40:950,22818,0.3,23581,0.5,45229,0.2,61332,1.75 34,1,2024-09-07 08:25:41:044,148460,148460,0,0,69524582889,716710588,147864,592,4,367,391517,0 34,2,2024-09-07 08:25:40:778,102945,102945,0,0,4726424,0,3255 34,3,2024-09-07 08:25:41:697,1,84,1,0,148,705,84,0 35,0,2024-09-07 08:25:40:871,23031,0.8,23262,0.8,46408,0.9,62125,2.00 35,1,2024-09-07 08:25:41:070,147836,147836,0,0,69099825232,718694216,146367,1107,362,384,391587,0 35,2,2024-09-07 08:25:41:584,103825,103825,0,0,4260877,0,2542 35,3,2024-09-07 08:25:40:907,1,84,0,0,219,1086,84,0 36,0,2024-09-07 08:25:41:562,21373,1.7,21306,1.3,43080,1.9,56746,2.50 36,1,2024-09-07 08:25:40:614,147322,147322,0,0,68641592083,721704719,144310,2081,931,366,391543,0 36,2,2024-09-07 08:25:41:755,108882,108882,0,0,5363090,0,3303 36,3,2024-09-07 08:25:40:865,1,84,1,0,378,1144,84,0 37,0,2024-09-07 08:25:41:375,15513,0.3,15371,0.5,31026,0.2,41308,1.75 37,1,2024-09-07 08:25:40:600,147292,147285,0,7,68441895275,723340757,144443,1323,1519,365,391518,0 37,2,2024-09-07 08:25:41:156,107165,107165,0,0,3924786,0,2333 37,3,2024-09-07 08:25:41:766,1,84,8,0,724,1844,84,0 38,0,2024-09-07 08:25:41:441,21590,0.3,20962,0.5,43774,0.2,57895,1.75 38,1,2024-09-07 08:25:41:609,148282,148282,0,0,69611316173,728437991,146126,1709,447,368,391821,0 38,2,2024-09-07 08:25:40:760,105831,105831,0,0,4688321,0,3245 38,3,2024-09-07 08:25:41:001,1,84,1,0,603,1428,84,0 39,0,2024-09-07 08:25:41:766,23502,1.0,23053,0.8,44811,1.2,60907,2.00 39,1,2024-09-07 08:25:40:723,147633,147633,0,0,68659576012,719737966,144999,1996,638,365,391524,0 39,2,2024-09-07 08:25:41:417,103367,103367,0,0,4312363,0,2381 39,3,2024-09-07 08:25:40:722,1,84,1,0,276,1238,84,0 40,0,2024-09-07 08:25:41:500,22394,1.6,22785,2.0,45172,3.4,60337,3.50 40,1,2024-09-07 08:25:40:634,147278,147278,0,0,68420692212,721221625,144023,2502,753,369,391591,0 40,2,2024-09-07 08:25:41:303,107317,107316,1,0,5582950,0,5137 40,3,2024-09-07 08:25:41:143,1,84,1,0,110,823,84,0 41,0,2024-09-07 08:25:41:037,15839,0.5,16152,3.7,30982,0.6,42693,2.50 41,1,2024-09-07 08:25:40:774,147309,147309,0,0,68843417870,722884386,144885,2111,313,370,391742,0 41,2,2024-09-07 08:25:40:768,107673,107673,0,0,5781255,0,3356 41,3,2024-09-07 08:25:41:676,1,84,1,0,168,875,84,0 42,0,2024-09-07 08:25:41:489,20699,0.4,21102,0.7,41898,0.3,56088,2.00 42,1,2024-09-07 08:25:41:439,146785,146785,0,0,68734155987,725914591,143791,2164,830,381,391675,0 42,2,2024-09-07 08:25:41:133,106581,106581,0,0,5064692,0,3568 42,3,2024-09-07 08:25:41:013,1,84,1,0,100,712,84,0 43,0,2024-09-07 08:25:40:932,22993,1.1,22408,1.0,46779,1.3,61407,2.00 43,1,2024-09-07 08:25:40:625,147134,147134,0,0,69126765834,723917860,144867,1515,752,366,391604,0 43,2,2024-09-07 08:25:41:737,103390,103390,0,0,5046206,0,3812 43,3,2024-09-07 08:25:41:749,1,84,1,0,325,1738,84,0 44,0,2024-09-07 08:25:40:868,24413,0.7,24335,0.9,48754,0.8,65051,2.00 44,1,2024-09-07 08:25:40:562,147820,147820,0,0,69300551757,716730426,146799,914,107,356,391569,0 44,2,2024-09-07 08:25:41:280,105334,105334,0,0,3865552,0,1865 44,3,2024-09-07 08:25:41:093,1,84,1,0,817,1357,84,0 45,0,2024-09-07 08:25:41:776,18772,1.3,18376,1.3,38531,1.4,51175,2.00 45,1,2024-09-07 08:25:41:006,147807,147807,0,0,69520855507,721123598,147338,468,1,382,391917,0 45,2,2024-09-07 08:25:41:280,110021,110021,0,0,4535845,0,2628 45,3,2024-09-07 08:25:40:937,1,84,1,0,226,868,84,0 46,0,2024-09-07 08:25:40:968,18812,0.3,18874,0.5,37754,0.2,50446,1.50 46,1,2024-09-07 08:25:40:611,148199,148199,0,0,69626330942,720076627,147347,814,38,368,391514,0 46,2,2024-09-07 08:25:40:597,108195,108195,0,0,4404401,0,2920 46,3,2024-09-07 08:25:41:140,1,84,1,0,315,1116,84,0 47,0,2024-09-07 08:25:41:111,23177,0.4,23463,0.5,46961,0.3,61478,1.75 47,1,2024-09-07 08:25:40:566,148329,148329,0,0,68751362670,709693524,147820,505,4,366,391605,0 47,2,2024-09-07 08:25:40:908,103805,103805,0,0,4336358,0,2558 47,3,2024-09-07 08:25:41:120,1,84,1,0,529,1162,84,0 48,0,2024-09-07 08:25:41:503,23875,0.3,23645,0.5,47181,0.3,63129,1.75 48,1,2024-09-07 08:25:41:022,147953,147953,0,0,69283526245,720999579,146751,1196,6,384,391637,0 48,2,2024-09-07 08:25:40:702,104468,104468,0,0,3582606,0,2083 48,3,2024-09-07 08:25:40:763,1,84,1,0,39,701,84,0 49,0,2024-09-07 08:25:41:768,20870,0.9,20406,1.0,40104,1.1,54840,2.00 49,1,2024-09-07 08:25:41:022,147194,147194,0,0,69367602071,725856060,145455,1029,710,382,391583,0 49,2,2024-09-07 08:25:41:799,109786,109786,0,0,4746156,0,3900 49,3,2024-09-07 08:25:41:416,1,84,1,0,274,855,84,0 50,0,2024-09-07 08:25:41:511,16913,0.2,16650,0.4,33746,0.1,45303,1.50 50,1,2024-09-07 08:25:41:010,148319,148319,0,0,69829386337,725532773,146649,1373,297,368,391530,0 50,2,2024-09-07 08:25:41:066,107602,107602,0,0,4017390,0,2253 50,3,2024-09-07 08:25:41:291,1,84,1,0,335,893,84,0 51,0,2024-09-07 08:25:41:701,22280,0.2,21694,0.3,42641,0.1,58009,1.50 51,1,2024-09-07 08:25:41:680,147834,147834,0,0,70143130431,729997290,146033,1017,784,367,391637,0 51,2,2024-09-07 08:25:41:325,104225,104225,0,0,3335927,0,2448 51,3,2024-09-07 08:25:41:031,1,84,1,0,162,550,84,0 52,0,2024-09-07 08:25:41:426,23140,0.8,23239,0.9,46470,0.9,61748,2.25 52,1,2024-09-07 08:25:40:634,147377,147377,0,0,69007219421,724892583,144863,2049,465,368,391594,0 52,2,2024-09-07 08:25:41:760,103300,103300,0,0,4545683,0,4779 52,3,2024-09-07 08:25:40:682,1,84,1,0,1782,2457,84,0 53,0,2024-09-07 08:25:41:751,21546,1.9,20788,1.4,43308,2.6,57290,2.75 53,1,2024-09-07 08:25:40:771,147362,147362,0,0,69471675102,729573166,144738,2049,575,370,391617,0 53,2,2024-09-07 08:25:41:302,109373,109373,0,0,4134040,0,1902 53,3,2024-09-07 08:25:40:700,1,84,1,0,133,633,84,0 54,0,2024-09-07 08:25:41:640,15741,6.8,15994,1.9,31520,0.7,43519,4.50 54,1,2024-09-07 08:25:40:624,147413,147413,0,0,69707256495,727466834,145303,1794,316,367,391520,0 54,2,2024-09-07 08:25:40:867,107908,107902,6,0,4943823,0,5382 54,3,2024-09-07 08:25:40:776,1,84,1,0,676,1712,84,0 55,0,2024-09-07 08:25:41:765,20929,0.3,21480,0.5,43418,0.2,56911,2.00 55,1,2024-09-07 08:25:40:769,146827,146827,0,0,68576254441,720673774,143344,2781,702,367,391731,0 55,2,2024-09-07 08:25:40:733,106210,106210,0,0,4867078,0,3275 55,3,2024-09-07 08:25:40:682,1,84,6,0,136,868,84,0 56,0,2024-09-07 08:25:41:582,23389,1.9,22061,1.4,45443,2.3,60694,2.50 56,1,2024-09-07 08:25:40:598,146992,146992,0,0,70119050084,744970688,143592,2652,748,382,391678,0 56,2,2024-09-07 08:25:41:304,103389,103389,0,0,5354526,0,3567 56,3,2024-09-07 08:25:41:065,1,84,7,0,297,1028,84,0 57,0,2024-09-07 08:25:40:978,23058,2.9,22816,1.8,45956,5.0,61591,4.25 57,1,2024-09-07 08:25:40:988,146959,146959,0,0,68602236845,717927330,145480,1459,20,368,391760,0 57,2,2024-09-07 08:25:41:318,106807,106807,0,0,5408753,0,3178 57,3,2024-09-07 08:25:41:742,1,84,2,0,359,1692,84,0 58,0,2024-09-07 08:25:40:566,16786,0.6,16451,0.9,34364,0.5,44747,2.75 58,1,2024-09-07 08:25:40:625,148305,148302,0,3,69290977488,721802025,146701,1441,160,369,391516,3 58,2,2024-09-07 08:25:41:072,108651,108651,0,0,5037859,0,2549 58,3,2024-09-07 08:25:41:076,1,84,1,0,219,887,84,0 59,0,2024-09-07 08:25:41:758,19856,0.5,19735,0.7,39520,0.4,52644,2.00 59,1,2024-09-07 08:25:40:807,147127,147127,0,0,69467372031,729599125,144876,1483,768,369,391515,0 59,2,2024-09-07 08:25:40:610,107889,107889,0,0,4546508,0,2604 59,3,2024-09-07 08:25:41:743,1,84,1,0,1015,2012,84,0 60,0,2024-09-07 08:25:41:716,23203,0.5,23402,0.6,46195,0.5,61164,1.75 60,1,2024-09-07 08:25:40:783,148660,148660,0,0,69622792807,724372693,147571,740,349,370,391758,0 60,2,2024-09-07 08:25:41:151,102781,102781,0,0,4044571,0,2142 60,3,2024-09-07 08:25:41:273,1,84,2,0,124,952,84,0 61,0,2024-09-07 08:25:41:516,24138,2.3,24304,1.4,48443,3.7,64934,2.25 61,1,2024-09-07 08:25:40:783,147086,147086,0,0,68715147504,724604099,144524,2026,536,383,391589,0 61,2,2024-09-07 08:25:41:115,104792,104792,0,0,4305221,0,1846 61,3,2024-09-07 08:25:41:687,1,84,3,0,199,1174,84,0 62,0,2024-09-07 08:25:41:711,20315,1.0,20783,1.1,39879,1.6,54408,2.00 62,1,2024-09-07 08:25:41:111,148154,148148,0,6,69842628106,723412421,146893,1217,38,365,391586,6 62,2,2024-09-07 08:25:41:651,109369,109369,0,0,4890353,0,2931 62,3,2024-09-07 08:25:41:148,1,84,6,0,287,686,84,0 63,0,2024-09-07 08:25:41:477,17609,0.3,17501,0.5,35341,0.2,46834,1.75 63,1,2024-09-07 08:25:40:804,148591,148586,0,5,69241714582,716339132,148178,405,3,381,391540,5 63,2,2024-09-07 08:25:40:768,107443,107443,0,0,4389250,0,2674 63,3,2024-09-07 08:25:41:732,1,84,0,0,667,1897,84,0 64,0,2024-09-07 08:25:41:528,22741,0.4,22589,0.6,45338,0.3,61205,1.75 64,1,2024-09-07 08:25:40:759,147736,147736,0,0,69017748233,726267707,145289,1653,794,371,391783,0 64,2,2024-09-07 08:25:41:141,103900,103881,19,0,4702487,0,6121 64,3,2024-09-07 08:25:41:140,1,84,1,0,265,1070,84,0 65,0,2024-09-07 08:25:41:708,22649,2.0,22959,1.4,45848,2.5,61405,3.25 65,1,2024-09-07 08:25:40:864,147088,147088,0,0,68894920407,722668118,145377,1492,219,382,391770,0 65,2,2024-09-07 08:25:41:702,104629,104629,0,0,4934407,0,3367 65,3,2024-09-07 08:25:41:684,1,84,3,0,163,900,84,0 66,0,2024-09-07 08:25:41:769,20908,0.7,21101,1.0,42253,0.7,56224,2.50 66,1,2024-09-07 08:25:41:295,148422,148422,0,0,69651129802,722323097,147731,670,21,380,391588,0 66,2,2024-09-07 08:25:41:139,110328,110328,0,0,4552849,0,3867 66,3,2024-09-07 08:25:41:079,1,84,1,0,291,964,84,0 67,0,2024-09-07 08:25:41:427,15634,0.4,15670,0.6,31007,0.2,41255,2.00 67,1,2024-09-07 08:25:40:769,147378,147377,0,1,69267396960,727227237,145353,1499,525,382,391787,1 67,2,2024-09-07 08:25:40:600,107909,107909,0,0,4174572,0,2889 67,3,2024-09-07 08:25:41:756,1,84,1,0,138,708,84,0 68,0,2024-09-07 08:25:40:577,21707,0.4,21652,0.6,43206,0.3,57769,1.75 68,1,2024-09-07 08:25:40:601,147000,147000,0,0,68777222801,721304980,145580,898,522,381,391953,0 68,2,2024-09-07 08:25:41:050,105527,105527,0,0,4205161,0,4883 68,3,2024-09-07 08:25:40:728,1,84,0,0,151,954,84,0 69,0,2024-09-07 08:25:41:739,22931,1.4,22834,1.2,45680,1.8,60996,2.50 69,1,2024-09-07 08:25:41:022,146996,146996,0,0,68347290642,723879663,144996,1133,867,384,391611,0 69,2,2024-09-07 08:25:41:737,103608,103608,0,0,4793555,0,3153 69,3,2024-09-07 08:25:40:769,1,84,1,0,238,1200,84,0 70,0,2024-09-07 08:25:41:581,22462,3.1,22516,2.2,45333,1.9,59968,4.50 70,1,2024-09-07 08:25:40:806,148087,148087,0,0,69760353871,722309007,147168,784,135,368,391527,0 70,2,2024-09-07 08:25:41:324,107274,107274,0,0,4719138,0,4044 70,3,2024-09-07 08:25:40:746,1,84,4,0,178,530,84,0 71,0,2024-09-07 08:25:41:380,15868,1.2,15910,2.6,31428,1.7,42864,4.00 71,1,2024-09-07 08:25:41:604,147783,147783,0,0,69364188662,725094086,145581,1886,316,368,391682,0 71,2,2024-09-07 08:25:41:067,108409,108409,0,0,4447022,0,2146 71,3,2024-09-07 08:25:41:761,1,84,0,0,219,1031,84,0 72,0,2024-09-07 08:25:41:058,21497,0.3,20907,0.5,41298,0.2,56341,2.25 72,1,2024-09-07 08:25:41:053,147869,147869,0,0,69097468132,720443845,146316,1475,78,370,391556,0 72,2,2024-09-07 08:25:41:755,106324,106324,0,0,5736501,0,2570 72,3,2024-09-07 08:25:41:755,1,84,5,0,325,1417,84,0 73,0,2024-09-07 08:25:41:107,22578,0.5,23143,0.6,47079,0.4,61044,2.25 73,1,2024-09-07 08:25:40:769,147690,147690,0,0,69141384023,719312116,146721,925,44,368,391627,0 73,2,2024-09-07 08:25:41:746,104161,104161,0,0,5168553,0,3482 73,3,2024-09-07 08:25:40:970,1,84,1,0,274,1408,84,0 74,0,2024-09-07 08:25:41:337,24415,1.3,24821,1.2,47697,1.8,64543,3.00 74,1,2024-09-07 08:25:40:647,147317,147317,0,0,68680190316,718880003,145416,1329,572,382,391511,0 74,2,2024-09-07 08:25:41:007,105096,105096,0,0,5523108,0,4253 74,3,2024-09-07 08:25:41:443,1,84,1,0,246,1485,84,0 75,0,2024-09-07 08:25:41:775,19142,1.8,19106,1.5,38060,2.4,51252,3.00 75,1,2024-09-07 08:25:41:590,147552,147552,0,0,69085942759,723603094,145850,1598,104,381,391579,0 75,2,2024-09-07 08:25:41:365,109441,109441,0,0,5382882,0,4766 75,3,2024-09-07 08:25:41:072,1,84,1,0,143,622,84,0 76,0,2024-09-07 08:25:40:621,18882,0.3,18602,0.5,37285,0.2,50096,2.00 76,1,2024-09-07 08:25:40:807,147485,147485,0,0,68737100589,722111211,145672,1307,506,382,391530,0 76,2,2024-09-07 08:25:41:063,108332,108332,0,0,4413392,0,3064 76,3,2024-09-07 08:25:41:143,1,84,14,0,175,1062,84,0 77,0,2024-09-07 08:25:41:725,23204,0.7,23428,0.8,46857,0.7,61426,2.00 77,1,2024-09-07 08:25:40:824,147472,147472,0,0,69097330982,720971491,146430,973,69,383,391516,0 77,2,2024-09-07 08:25:41:287,103419,103419,0,0,3786068,0,1695 77,3,2024-09-07 08:25:41:094,1,84,2,0,139,872,84,0 78,0,2024-09-07 08:25:41:735,23593,0.9,23575,1.0,47174,1.0,62832,2.25 78,1,2024-09-07 08:25:40:613,148037,148037,0,0,68299811378,712136883,146615,1217,205,367,391589,0 78,2,2024-09-07 08:25:41:404,104531,104531,0,0,3987022,0,2114 78,3,2024-09-07 08:25:41:133,1,84,17,0,181,1305,84,0 79,0,2024-09-07 08:25:41:367,19636,0.7,20101,1.0,41093,0.8,53903,2.75 79,1,2024-09-07 08:25:40:574,148115,148115,0,0,68985843632,717207889,146776,1270,69,370,391682,0 79,2,2024-09-07 08:25:41:077,109657,109657,0,0,4523384,0,2679 79,3,2024-09-07 08:25:40:758,1,84,8,0,289,932,84,0 80,0,2024-09-07 08:25:41:132,16730,0.4,17290,0.6,33189,0.3,45120,2.00 80,1,2024-09-07 08:25:41:627,147368,147368,0,0,69286969145,722146462,145774,1479,115,369,391673,0 80,2,2024-09-07 08:25:41:101,107820,107820,0,0,4586499,0,4433 80,3,2024-09-07 08:25:40:625,1,84,15,1,190,1425,84,0 81,0,2024-09-07 08:25:41:560,21785,0.4,22328,0.6,42838,0.3,57999,1.75 81,1,2024-09-07 08:25:41:654,147519,147519,0,0,68611617874,720266475,145628,1693,198,383,391680,0 81,2,2024-09-07 08:25:41:129,103862,103862,0,0,4754600,0,3993 81,3,2024-09-07 08:25:41:120,1,84,2,0,193,899,84,0 82,0,2024-09-07 08:25:41:548,23036,0.9,22974,1.0,46244,1.0,61905,2.25 82,1,2024-09-07 08:25:40:601,147557,147553,0,4,69276281063,724979596,145513,1468,572,382,391558,4 82,2,2024-09-07 08:25:41:691,104694,104694,0,0,3890368,0,2230 82,3,2024-09-07 08:25:41:752,1,84,41,0,227,1406,84,0 83,0,2024-09-07 08:25:41:535,21652,1.5,21575,1.3,42810,1.8,57094,3.00 83,1,2024-09-07 08:25:40:551,147322,147322,0,0,68891992889,720402854,145902,1319,101,383,391553,0 83,2,2024-09-07 08:25:40:768,107860,107860,0,0,4873579,0,3119 83,3,2024-09-07 08:25:40:755,1,84,2,0,91,618,84,0 84,0,2024-09-07 08:25:41:858,16224,2.6,16002,2.5,32057,0.6,44165,2.75 84,1,2024-09-07 08:25:41:039,147417,147417,0,0,69043139599,723675026,145795,1373,249,369,391638,0 84,2,2024-09-07 08:25:40:600,107508,107508,0,0,4783333,0,3801 84,3,2024-09-07 08:25:41:148,1,84,3,1,58,843,84,0 85,0,2024-09-07 08:25:41:056,20694,0.3,20699,0.5,43830,0.2,57077,1.75 85,1,2024-09-07 08:25:40:571,147121,147121,0,0,69110358765,730743371,144658,2034,429,383,391652,0 85,2,2024-09-07 08:25:40:877,106142,106142,0,0,5080124,0,3656 85,3,2024-09-07 08:25:40:693,1,84,2,0,115,795,84,0 86,0,2024-09-07 08:25:40:898,22765,0.8,23582,0.8,45282,1.0,60711,2.00 86,1,2024-09-07 08:25:40:828,147165,147165,0,0,69057378418,722590855,145361,1587,217,367,391622,0 86,2,2024-09-07 08:25:40:862,102870,102869,1,0,5310704,0,5004 86,3,2024-09-07 08:25:40:598,1,84,2,0,199,927,84,0 87,0,2024-09-07 08:25:41:326,23492,2.7,23312,1.6,46772,3.9,62751,3.00 87,1,2024-09-07 08:25:40:555,147556,147556,0,0,69407172051,726619918,145969,1466,121,366,391788,0 87,2,2024-09-07 08:25:41:067,106511,106511,0,0,4062263,0,2859 87,3,2024-09-07 08:25:41:800,1,84,1,1,322,1284,84,0 88,0,2024-09-07 08:25:41:687,17464,0.6,17715,0.8,35177,0.7,46543,1.75 88,1,2024-09-07 08:25:40:600,147139,147139,0,0,69174817462,723199042,145923,1119,97,367,391787,0 88,2,2024-09-07 08:25:40:690,109138,109138,0,0,5647829,0,3583 88,3,2024-09-07 08:25:41:279,1,84,2,1,77,1020,84,0 89,0,2024-09-07 08:25:41:887,20355,0.3,19698,0.5,39114,0.3,53160,1.75 89,1,2024-09-07 08:25:40:555,146683,146683,0,0,69421933912,738796580,143356,2591,736,382,391866,0 89,2,2024-09-07 08:25:41:133,107322,107322,0,0,5115166,0,2726 89,3,2024-09-07 08:25:41:806,1,84,19,0,325,1959,84,0 90,0,2024-09-07 08:25:41:684,22432,0.4,22876,0.6,47047,0.4,61060,1.75 90,1,2024-09-07 08:25:40:599,147319,147319,0,0,68772281446,725115024,145263,1913,143,382,391825,0 90,2,2024-09-07 08:25:41:422,102040,102040,0,0,5674698,0,2635 90,3,2024-09-07 08:25:40:950,1,84,3,1,200,1014,84,0 91,0,2024-09-07 08:25:40:997,24671,0.6,23889,0.7,49628,0.7,65356,1.75 91,1,2024-09-07 08:25:40:567,147220,147220,0,0,69379213878,732196966,144815,2040,365,383,391914,0 91,2,2024-09-07 08:25:41:342,104004,104004,0,0,4856253,0,1997 91,3,2024-09-07 08:25:40:601,1,84,36,0,155,1188,84,0 92,0,2024-09-07 08:25:41:495,20481,0.8,20929,0.9,40037,1.1,54563,1.75 92,1,2024-09-07 08:25:40:626,147843,147843,0,0,69246617663,721926332,147183,592,68,382,391717,0 92,2,2024-09-07 08:25:41:375,111092,111092,0,0,4792302,0,2279 92,3,2024-09-07 08:25:41:041,1,84,1,0,68,905,84,0 93,0,2024-09-07 08:25:40:975,17752,0.3,18054,0.5,34486,0.2,46886,1.75 93,1,2024-09-07 08:25:40:811,147681,147681,0,0,69414037547,727198481,145917,1328,436,367,391689,0 93,2,2024-09-07 08:25:40:956,107896,107896,0,0,4333205,0,2509 93,3,2024-09-07 08:25:41:424,1,84,30,0,143,809,84,0 94,0,2024-09-07 08:25:41:672,22964,0.3,23099,0.5,45716,0.3,61243,1.50 94,1,2024-09-07 08:25:40:568,147052,147052,0,0,68686736490,721994818,145456,1530,66,381,391850,0 94,2,2024-09-07 08:25:40:779,103053,103053,0,0,4265179,0,2443 94,3,2024-09-07 08:25:41:689,1,84,3,1,231,1354,84,0 95,0,2024-09-07 08:25:41:387,23240,0.4,23165,0.6,46747,0.4,62185,1.75 95,1,2024-09-07 08:25:40:854,147745,147745,0,0,69291409730,720806735,146934,747,64,367,391590,0 95,2,2024-09-07 08:25:41:025,104192,104192,0,0,4607972,0,3308 95,3,2024-09-07 08:25:41:712,1,84,9,0,307,1361,84,0 96,0,2024-09-07 08:25:41:047,21598,0.8,21434,0.8,42819,0.8,56643,1.75 96,1,2024-09-07 08:25:41:584,147512,147512,0,0,69134581183,726789182,145668,1273,571,385,391596,0 96,2,2024-09-07 08:25:41:274,109771,109771,0,0,5039386,0,4038 96,3,2024-09-07 08:25:41:146,1,84,20,0,36,746,84,0 97,0,2024-09-07 08:25:41:379,15456,0.3,15472,0.5,31199,0.2,41248,1.50 97,1,2024-09-07 08:25:40:777,147608,147608,0,0,69740077736,729517433,145412,1677,519,367,392140,0 97,2,2024-09-07 08:25:40:611,106979,106979,0,0,4228822,0,3036 97,3,2024-09-07 08:25:40:600,1,84,1,1,165,1041,84,0 98,0,2024-09-07 08:25:41:802,21591,0.2,21472,0.4,43588,0.2,58029,1.50 98,1,2024-09-07 08:25:40:605,147569,147569,0,0,69071877652,721636846,146490,1034,45,382,391997,0 98,2,2024-09-07 08:25:40:783,106472,106472,0,0,4438490,0,3080 98,3,2024-09-07 08:25:40:706,1,84,12,1,155,1056,84,0 99,0,2024-09-07 08:25:41:541,22949,0.4,22924,0.5,45768,0.3,60925,1.75 99,1,2024-09-07 08:25:41:749,147991,147991,0,0,68709842076,718436003,146497,1050,444,381,391744,0 99,2,2024-09-07 08:25:41:425,104632,104632,0,0,4559452,0,1858 99,3,2024-09-07 08:25:40:600,1,84,2,0,129,753,84,0 100,0,2024-09-07 08:25:41:520,22825,1.8,22629,2.2,45171,4.8,60789,2.50 100,1,2024-09-07 08:25:40:548,146725,146725,0,0,68666212360,729114038,143976,2404,345,381,391989,0 100,2,2024-09-07 08:25:41:838,107006,106995,11,0,5592758,0,5417 100,3,2024-09-07 08:25:41:748,1,84,0,0,443,2006,84,0 101,0,2024-09-07 08:25:41:823,16422,3.2,16014,1.6,31597,0.8,43887,2.75 101,1,2024-09-07 08:25:40:555,147191,147191,0,0,69131316755,725574816,145704,1037,450,369,391709,0 101,2,2024-09-07 08:25:41:762,108038,108038,0,0,4924821,0,4644 101,3,2024-09-07 08:25:40:951,1,84,1,0,448,1134,84,0 102,0,2024-09-07 08:25:40:968,20382,0.4,21155,0.5,42521,0.3,56062,1.75 102,1,2024-09-07 08:25:41:156,147124,147124,0,0,68828827778,726629781,144648,2007,469,369,391831,0 102,2,2024-09-07 08:25:41:768,107770,107770,0,0,4111306,0,1945 102,3,2024-09-07 08:25:41:628,1,84,7,0,410,925,84,0 103,0,2024-09-07 08:25:41:658,23728,0.5,23628,0.7,44885,0.5,61506,1.75 103,1,2024-09-07 08:25:41:638,147261,147261,0,0,69059709957,723602530,146029,1088,144,381,391680,0 103,2,2024-09-07 08:25:40:604,102985,102985,0,0,4373537,0,2104 103,3,2024-09-07 08:25:40:761,1,84,1,1,486,1136,84,0 104,0,2024-09-07 08:25:41:050,24274,1.7,24202,1.3,47490,2.0,64799,2.50 104,1,2024-09-07 08:25:41:638,147911,147911,0,0,68627835364,722763537,145951,1634,326,366,391948,0 104,2,2024-09-07 08:25:41:676,104554,104554,0,0,5232946,0,3941 104,3,2024-09-07 08:25:41:421,1,84,12,1,1245,3951,84,0 105,0,2024-09-07 08:25:41:061,18904,0.9,18246,1.4,38011,1.3,51021,3.25 105,1,2024-09-07 08:25:40:555,147052,147052,0,0,68883518014,727536886,144097,2208,747,367,391797,0 105,2,2024-09-07 08:25:41:328,109439,109439,0,0,5017132,0,3314 105,3,2024-09-07 08:25:41:309,1,84,13,1,399,1692,84,0 106,0,2024-09-07 08:25:41:009,18186,0.3,18692,0.5,37951,0.2,50190,1.75 106,1,2024-09-07 08:25:41:762,147625,147625,0,0,68718864598,723440663,145210,2088,327,370,391767,0 106,2,2024-09-07 08:25:40:764,106884,106884,0,0,5003375,0,2795 106,3,2024-09-07 08:25:40:686,1,84,8,1,201,929,84,0 107,0,2024-09-07 08:25:41:175,23431,0.5,23280,0.7,46333,0.4,61730,1.75 107,1,2024-09-07 08:25:40:614,146852,146852,0,0,68878774431,727285983,144908,1754,190,382,392234,0 107,2,2024-09-07 08:25:41:292,102734,102733,1,0,4742079,0,5024 107,3,2024-09-07 08:25:41:756,1,84,5,0,353,1410,84,0 108,0,2024-09-07 08:25:41:833,23748,0.5,23977,0.7,47248,0.6,63157,1.75 108,1,2024-09-07 08:25:41:298,147314,147314,0,0,69303719244,726048878,145839,1341,134,371,391857,0 108,2,2024-09-07 08:25:41:781,104222,104222,0,0,4743398,0,2647 108,3,2024-09-07 08:25:41:346,1,84,4,0,73,1074,84,0 109,0,2024-09-07 08:25:41:823,20479,0.8,20642,0.8,40818,0.9,54898,1.75 109,1,2024-09-07 08:25:40:602,147073,147073,0,0,69710749902,734744341,145403,1287,383,383,391812,0 109,2,2024-09-07 08:25:40:925,108374,108374,0,0,5189994,0,3617 109,3,2024-09-07 08:25:41:140,1,84,1,1,249,1420,84,0 110,0,2024-09-07 08:25:41:846,16881,0.2,16380,0.4,34145,0.2,44989,1.50 110,1,2024-09-07 08:25:41:669,147756,147756,0,0,68797339305,716551991,146433,1036,287,370,391588,0 110,2,2024-09-07 08:25:41:310,107770,107770,0,0,4343888,0,2915 110,3,2024-09-07 08:25:40:690,1,84,3,0,183,753,84,0 111,0,2024-09-07 08:25:41:430,21775,0.2,21525,0.4,43031,0.1,57923,1.50 111,1,2024-09-07 08:25:41:006,148363,148363,0,0,70179182816,726638475,147716,634,13,382,391690,0 111,2,2024-09-07 08:25:41:121,104658,104658,0,0,4506345,0,2763 111,3,2024-09-07 08:25:40:914,1,84,1,0,300,1618,84,0 112,0,2024-09-07 08:25:40:915,23274,0.4,23307,0.6,46294,0.3,62136,1.75 112,1,2024-09-07 08:25:40:824,147629,147629,0,0,69961105350,729791372,146116,1167,346,381,391580,0 112,2,2024-09-07 08:25:41:133,104108,104107,1,0,4794215,0,5036 112,3,2024-09-07 08:25:40:599,1,84,1,0,282,904,84,0 113,0,2024-09-07 08:25:40:901,21689,0.5,21562,0.7,43847,0.5,58187,1.75 113,1,2024-09-07 08:25:41:700,147917,147917,0,0,69387881703,721853373,146024,1509,384,368,391661,0 113,2,2024-09-07 08:25:41:306,109082,109082,0,0,3960048,0,3021 113,3,2024-09-07 08:25:40:688,1,84,1,0,288,1464,84,0 114,0,2024-09-07 08:25:40:895,16551,3.0,16702,1.4,32841,0.6,44649,2.00 114,1,2024-09-07 08:25:40:723,147749,147749,0,0,69456485237,730177465,145139,1729,881,381,391531,0 114,2,2024-09-07 08:25:40:873,107519,107519,0,0,4511004,0,3925 114,3,2024-09-07 08:25:41:278,1,84,1,0,159,938,84,0 115,0,2024-09-07 08:25:40:563,21161,0.2,21334,0.3,42954,0.1,57093,1.50 115,1,2024-09-07 08:25:40:601,147533,147533,0,0,69452453512,727313268,145159,1887,487,383,391528,0 115,2,2024-09-07 08:25:41:133,106926,106926,0,0,3907052,0,2152 115,3,2024-09-07 08:25:41:005,1,84,0,0,159,513,84,0 116,0,2024-09-07 08:25:41:784,22984,0.8,22527,0.9,45731,1.1,60793,2.00 116,1,2024-09-07 08:25:40:806,147175,147175,0,0,69426126407,734469550,145285,1019,871,382,391605,0 116,2,2024-09-07 08:25:41:773,103027,103027,0,0,4976650,0,3529 116,3,2024-09-07 08:25:40:915,1,84,1,1,252,1316,84,0 117,0,2024-09-07 08:25:41:062,23475,2.1,23534,1.4,46783,3.4,62840,2.25 117,1,2024-09-07 08:25:41:612,147824,147824,0,0,68888107355,725628643,145500,2030,294,370,392033,0 117,2,2024-09-07 08:25:41:134,106941,106941,0,0,4422407,0,3700 117,3,2024-09-07 08:25:41:092,1,84,5,0,490,1854,84,0 118,0,2024-09-07 08:25:41:824,17116,0.7,17498,0.8,35825,0.7,46385,2.00 118,1,2024-09-07 08:25:40:602,147732,147732,0,0,68156745101,714013454,146486,1166,80,368,391736,0 118,2,2024-09-07 08:25:41:597,109058,109058,0,0,4858021,0,2781 118,3,2024-09-07 08:25:41:764,1,84,1,1,235,1260,84,0 119,0,2024-09-07 08:25:41:366,19497,0.3,19585,0.4,39889,0.2,52684,1.75 119,1,2024-09-07 08:25:40:563,147881,147881,0,0,69597805561,728701105,146261,1471,149,370,391641,0 119,2,2024-09-07 08:25:41:279,106756,106756,0,0,4572915,0,2532 119,3,2024-09-07 08:25:41:329,1,84,1,0,443,2217,84,0 120,0,2024-09-07 08:25:41:631,22898,0.6,22988,0.8,45650,0.6,61054,2.00 120,1,2024-09-07 08:25:40:861,147441,147441,0,0,69259158569,727415882,145910,1515,16,368,391702,0 120,2,2024-09-07 08:25:40:773,102422,102421,1,0,5699081,0,5281 120,3,2024-09-07 08:25:41:299,1,84,34,0,241,1441,84,0 121,0,2024-09-07 08:25:41:734,24118,2.2,24373,1.4,48687,3.5,65283,2.50 121,1,2024-09-07 08:25:41:665,147441,147441,0,0,68732673069,720983091,145561,1610,270,368,391840,0 121,2,2024-09-07 08:25:41:126,104024,104024,0,0,6039136,0,4127 121,3,2024-09-07 08:25:40:736,1,84,8,0,113,891,84,0 122,0,2024-09-07 08:25:41:777,20223,2.1,19534,1.8,40787,2.5,54646,2.25 122,1,2024-09-07 08:25:40:875,146511,146511,0,0,68926235773,728902961,143499,2488,524,368,392130,0 122,2,2024-09-07 08:25:41:327,109905,109905,0,0,6085434,0,3364 122,3,2024-09-07 08:25:40:598,1,84,7,1,298,1847,84,0 123,0,2024-09-07 08:25:40:969,17551,0.3,17053,0.5,35707,0.2,46699,1.75 123,1,2024-09-07 08:25:40:567,147791,147791,0,0,68939044330,731356660,144322,2901,568,369,391823,0 123,2,2024-09-07 08:25:41:027,107223,107222,1,0,5013468,0,5215 123,3,2024-09-07 08:25:41:132,1,84,1,1,160,1044,84,0 124,0,2024-09-07 08:25:40:959,23485,0.3,23434,0.5,44244,0.2,61532,1.50 124,1,2024-09-07 08:25:41:027,147372,147372,0,0,68560011354,711949982,146566,661,145,367,392178,0 124,2,2024-09-07 08:25:41:015,103177,103177,0,0,3987574,0,2477 124,3,2024-09-07 08:25:40:759,1,84,3,1,490,1676,84,0 125,0,2024-09-07 08:25:41:552,23379,0.6,23377,0.7,46772,0.7,62124,1.75 125,1,2024-09-07 08:25:40:867,147324,147324,0,0,68854550495,722096120,145570,1482,272,384,391702,0 125,2,2024-09-07 08:25:41:125,104450,104450,0,0,4403566,0,2180 125,3,2024-09-07 08:25:41:135,1,84,19,1,93,1134,84,0 126,0,2024-09-07 08:25:41:459,21473,1.1,22062,1.0,42238,1.5,57109,2.00 126,1,2024-09-07 08:25:40:577,148286,148286,0,0,69751683699,724530590,147234,997,55,365,391987,0 126,2,2024-09-07 08:25:40:625,109495,109495,0,0,4818702,0,3186 126,3,2024-09-07 08:25:40:929,1,84,2,0,122,1201,84,0 127,0,2024-09-07 08:25:41:604,15597,0.2,15580,0.4,31129,0.2,41290,1.50 127,1,2024-09-07 08:25:40:626,147597,147597,0,0,68774253390,714012608,146457,1117,23,365,391614,0 127,2,2024-09-07 08:25:40:656,107454,107454,0,0,4018512,0,1803 127,3,2024-09-07 08:25:41:279,1,84,2,0,99,660,84,0 128,0,2024-09-07 08:25:41:568,21832,0.2,21796,0.4,43053,0.2,58044,1.50 128,1,2024-09-07 08:25:41:635,147677,147677,0,0,69538374097,721516667,146944,663,70,367,391680,0 128,2,2024-09-07 08:25:41:454,105172,105172,0,0,4658305,0,2107 128,3,2024-09-07 08:25:40:773,1,84,83,1,112,995,84,0 129,0,2024-09-07 08:25:41:045,23007,0.4,22969,0.5,45791,0.4,60913,1.75 129,1,2024-09-07 08:25:40:567,147379,147379,0,0,69185136370,726460488,145521,1583,275,379,391835,0 129,2,2024-09-07 08:25:40:689,103182,103182,0,0,4033840,0,2446 129,3,2024-09-07 08:25:40:691,1,84,3,1,173,1279,84,0 130,0,2024-09-07 08:25:41:733,23017,1.4,22894,1.0,45911,2.0,61357,2.25 130,1,2024-09-07 08:25:40:617,147535,147535,0,0,69462327449,725814670,146219,1283,33,381,391609,0 130,2,2024-09-07 08:25:41:133,107406,107406,0,0,4773084,0,4067 130,3,2024-09-07 08:25:41:302,1,84,8,0,207,658,84,0 131,0,2024-09-07 08:25:41:946,16438,0.9,16318,0.9,33006,1.2,43775,1.75 131,1,2024-09-07 08:25:41:820,148432,148432,0,0,69351616058,723306430,147408,837,187,384,391865,0 131,2,2024-09-07 08:25:40:597,108638,108638,0,0,3995044,0,2415 131,3,2024-09-07 08:25:41:689,1,84,9,0,392,1152,84,0 132,0,2024-09-07 08:25:41:505,20752,0.3,21074,0.5,41908,0.2,56296,1.75 132,1,2024-09-07 08:25:40:626,146963,146963,0,0,68973798553,728832846,144372,1885,706,381,391719,0 132,2,2024-09-07 08:25:40:703,106973,106973,0,0,6199661,0,4606 132,3,2024-09-07 08:25:41:698,1,84,6,1,356,1079,84,0 133,0,2024-09-07 08:25:41:606,22548,0.5,22915,0.6,47225,0.5,60837,1.75 133,1,2024-09-07 08:25:40:599,146243,146243,0,0,68383903597,722898240,144026,2121,96,383,391755,0 133,2,2024-09-07 08:25:41:096,103405,103405,0,0,5346579,0,2444 133,3,2024-09-07 08:25:41:305,1,84,12,1,187,699,84,0 134,0,2024-09-07 08:25:40:951,24402,0.9,24335,1.0,48899,1.0,64775,2.00 134,1,2024-09-07 08:25:40:609,147677,147677,0,0,68491793850,721653260,145034,1662,981,366,391718,0 134,2,2024-09-07 08:25:41:759,105047,105047,0,0,4013874,0,2026 134,3,2024-09-07 08:25:40:760,1,84,1,0,739,1982,84,0 135,0,2024-09-07 08:25:41:140,18550,1.5,18629,1.5,39290,1.6,50734,2.25 135,1,2024-09-07 08:25:41:592,147049,147049,0,0,68661218300,721761229,145098,1623,328,380,391703,0 135,2,2024-09-07 08:25:40:689,110156,110156,0,0,5012277,0,3981 135,3,2024-09-07 08:25:41:006,1,84,2,0,68,506,84,0 136,0,2024-09-07 08:25:41:663,18827,0.4,18807,0.6,37625,0.2,50508,2.00 136,1,2024-09-07 08:25:41:467,147394,147394,0,0,69095511208,725680142,145487,1760,147,384,391621,0 136,2,2024-09-07 08:25:41:147,108523,108523,0,0,4512563,0,2379 136,3,2024-09-07 08:25:41:107,1,84,2,0,108,891,84,0 137,0,2024-09-07 08:25:40:956,23959,0.6,23188,0.8,45911,0.6,61808,2.00 137,1,2024-09-07 08:25:40:626,147279,147279,0,0,69300929517,726306160,145300,1865,114,367,391608,0 137,2,2024-09-07 08:25:41:712,102205,102205,0,0,5503348,0,2632 137,3,2024-09-07 08:25:40:771,1,84,1,1,227,1142,84,0 138,0,2024-09-07 08:25:41:755,23003,2.5,23210,1.6,47130,3.7,63041,2.75 138,1,2024-09-07 08:25:41:685,147307,147307,0,0,69470111635,729925627,144973,2070,264,370,391954,0 138,2,2024-09-07 08:25:40:600,104990,104990,0,0,4761112,0,3263 138,3,2024-09-07 08:25:40:616,1,84,5,0,1160,1977,84,0 139,0,2024-09-07 08:25:41:445,19844,4.4,19879,2.4,40187,5.4,54204,3.75 139,1,2024-09-07 08:25:40:606,146777,146777,0,0,68432227251,728925052,143884,2164,729,381,391892,0 139,2,2024-09-07 08:25:40:699,108881,108881,0,0,6061551,0,3097 139,3,2024-09-07 08:25:41:662,1,84,1,0,244,1367,84,0 140,0,2024-09-07 08:25:41:617,16755,0.2,16720,0.4,33588,0.2,45002,1.50 140,1,2024-09-07 08:25:41:537,148086,148086,0,0,69556832901,719311736,147157,732,197,365,391606,0 140,2,2024-09-07 08:25:40:689,108149,108149,0,0,4791865,0,3388 140,3,2024-09-07 08:25:40:773,1,84,1,0,25,590,84,0 141,0,2024-09-07 08:25:41:752,21480,0.2,22174,0.4,42791,0.1,57885,1.50 141,1,2024-09-07 08:25:40:862,147735,147735,0,0,69073588026,719636284,146312,1092,331,382,391538,0 141,2,2024-09-07 08:25:41:700,104238,104238,0,0,4282176,0,2342 141,3,2024-09-07 08:25:41:044,1,84,9,0,147,615,84,0 142,0,2024-09-07 08:25:41:401,23528,0.4,23263,0.6,46181,0.3,62204,1.75 142,1,2024-09-07 08:25:40:600,148032,148032,0,0,69395359602,722621641,147474,543,15,384,391649,0 142,2,2024-09-07 08:25:41:372,103183,103183,0,0,4661487,0,2293 142,3,2024-09-07 08:25:41:758,1,84,1,0,484,1602,84,0 143,0,2024-09-07 08:25:41:511,21748,1.1,21855,0.9,43909,1.4,58023,2.00 143,1,2024-09-07 08:25:40:558,147714,147714,0,0,69017254355,716257718,146732,953,29,367,391619,0 143,2,2024-09-07 08:25:40:775,108471,108471,0,0,5155589,0,2669 143,3,2024-09-07 08:25:41:141,1,84,6,0,236,1190,84,0 144,0,2024-09-07 08:25:41:510,15761,1.0,16267,5.3,32845,0.7,44300,2.25 144,1,2024-09-07 08:25:40:571,147306,147306,0,0,69009527860,725332849,145729,1384,193,381,391638,0 144,2,2024-09-07 08:25:41:756,108025,108025,0,0,4351807,0,3473 144,3,2024-09-07 08:25:41:745,1,84,1,1,169,1294,84,0 145,0,2024-09-07 08:25:41:366,20620,0.3,20681,0.5,43727,0.3,57128,1.75 145,1,2024-09-07 08:25:40:568,146714,146714,0,0,68813757371,724158299,144784,1665,265,383,391615,0 145,2,2024-09-07 08:25:41:430,106692,106692,0,0,5162963,0,3903 145,3,2024-09-07 08:25:40:900,1,84,2,0,151,1086,84,0 146,0,2024-09-07 08:25:41:829,22769,0.7,22743,0.8,45774,0.7,60391,2.25 146,1,2024-09-07 08:25:41:605,147638,147638,0,0,68594293902,721186792,145341,1996,301,368,391600,0 146,2,2024-09-07 08:25:41:729,102434,102434,0,0,4748298,0,2379 146,3,2024-09-07 08:25:41:283,1,84,1,0,1520,3157,84,0 147,0,2024-09-07 08:25:41:710,23309,2.6,23080,1.6,45949,3.9,62752,3.00 147,1,2024-09-07 08:25:41:385,147746,147746,0,0,69597507532,724860646,146025,1366,355,368,391791,0 147,2,2024-09-07 08:25:41:025,106803,106803,0,0,4827972,0,2789 147,3,2024-09-07 08:25:40:923,1,84,2,1,141,848,84,0 0,0,2024-09-07 08:25:51:764,22453,0.6,22433,0.8,47454,0.7,61265,1.75 0,1,2024-09-07 08:25:50:814,149416,149416,0,0,70154299833,736528941,148188,1101,127,372,391673,0 0,2,2024-09-07 08:25:51:077,103715,103715,0,0,4750454,0,4480 0,3,2024-09-07 08:25:50:976,1,85,16,0,247,1297,85,0 1,0,2024-09-07 08:25:51:757,24459,2.5,24236,1.7,48926,3.6,65350,2.75 1,1,2024-09-07 08:25:50:614,148865,148865,0,0,69613246823,731333492,147164,1172,529,372,391857,0 1,2,2024-09-07 08:25:50:667,105389,105389,0,0,4516086,0,3267 1,3,2024-09-07 08:25:51:302,1,85,2,0,167,812,85,0 2,0,2024-09-07 08:25:51:573,20288,1.0,20461,1.2,40569,1.5,54378,2.25 2,1,2024-09-07 08:25:50:860,149584,149584,0,0,70375969066,732960468,148503,877,204,381,391558,0 2,2,2024-09-07 08:25:51:276,110672,110672,0,0,4757999,0,3304 2,3,2024-09-07 08:25:50:696,1,85,1,0,214,870,85,0 3,0,2024-09-07 08:25:51:755,17972,0.3,18070,0.5,35997,0.2,48006,1.75 3,1,2024-09-07 08:25:51:619,148557,148557,0,0,69541671233,727431370,146578,1593,386,382,391516,0 3,2,2024-09-07 08:25:51:147,108974,108951,23,0,5056301,0,5851 3,3,2024-09-07 08:25:51:752,1,85,1,0,103,597,85,0 4,0,2024-09-07 08:25:51:947,22471,0.3,23161,0.4,46745,0.3,61613,1.50 4,1,2024-09-07 08:25:50:602,148872,148872,0,0,69376129939,731778374,146971,1483,418,371,391846,0 4,2,2024-09-07 08:25:51:021,103756,103756,0,0,5525229,0,4528 4,3,2024-09-07 08:25:51:030,1,85,7,1,287,1407,85,0 5,0,2024-09-07 08:25:51:460,23631,0.7,23503,0.7,47356,0.9,62801,1.75 5,1,2024-09-07 08:25:50:809,149191,149191,0,0,70330472724,740673335,146972,1775,444,368,392005,0 5,2,2024-09-07 08:25:51:842,105554,105554,0,0,4537205,0,2259 5,3,2024-09-07 08:25:51:740,1,85,4,0,238,1627,85,0 6,0,2024-09-07 08:25:50:924,21671,1.0,21359,1.2,42774,1.3,57410,2.50 6,1,2024-09-07 08:25:50:750,149822,149822,0,0,70089192977,730093883,148696,1056,70,381,391603,0 6,2,2024-09-07 08:25:51:131,111331,111331,0,0,4777625,0,2411 6,3,2024-09-07 08:25:51:279,1,85,1,0,340,1494,85,0 7,0,2024-09-07 08:25:51:542,15653,0.3,15765,0.4,31584,0.2,42553,1.75 7,1,2024-09-07 08:25:50:853,149573,149573,0,0,70066867194,731105282,148592,916,65,383,391664,0 7,2,2024-09-07 08:25:50:798,108301,108301,0,0,4462370,0,2981 7,3,2024-09-07 08:25:50:853,1,85,4,0,83,697,85,0 8,0,2024-09-07 08:25:51:357,21986,0.3,22171,0.4,43526,0.2,58677,1.50 8,1,2024-09-07 08:25:51:031,149134,149134,0,0,69720829720,741264608,145646,2235,1253,368,391724,0 8,2,2024-09-07 08:25:50:792,105247,105247,0,0,5629826,0,2986 8,3,2024-09-07 08:25:50:586,1,85,6,0,229,1132,85,0 9,0,2024-09-07 08:25:51:255,23135,0.4,22549,0.6,47117,0.4,61690,1.75 9,1,2024-09-07 08:25:50:558,149709,149709,0,0,70253263712,741507556,147259,1882,568,370,391738,0 9,2,2024-09-07 08:25:51:086,104807,104807,0,0,5086768,0,3360 9,3,2024-09-07 08:25:51:752,1,85,0,0,149,1079,85,0 10,0,2024-09-07 08:25:51:847,22953,0.7,23015,0.8,46025,0.7,61288,2.00 10,1,2024-09-07 08:25:50:594,148629,148629,0,0,70227465236,742024245,145291,2816,522,381,391741,0 10,2,2024-09-07 08:25:50:766,108662,108662,0,0,5232401,0,2940 10,3,2024-09-07 08:25:50:872,1,85,1,0,136,618,85,0 11,0,2024-09-07 08:25:51:021,16200,0.8,15831,1.2,33225,1.0,44748,2.25 11,1,2024-09-07 08:25:50:576,148933,148933,0,0,70004403352,737242121,146292,1826,815,384,391537,0 11,2,2024-09-07 08:25:51:123,109079,109079,0,0,4946643,0,2635 11,3,2024-09-07 08:25:51:303,1,85,2,0,720,2403,85,0 12,0,2024-09-07 08:25:51:019,21582,0.3,21426,0.5,42881,0.2,57268,1.50 12,1,2024-09-07 08:25:50:966,148743,148743,0,0,69617338737,730115373,147079,1562,102,371,391790,0 12,2,2024-09-07 08:25:51:554,108928,108928,0,0,4777684,0,2254 12,3,2024-09-07 08:25:51:059,1,85,7,0,358,1542,85,0 13,0,2024-09-07 08:25:51:383,23226,0.4,23345,0.6,46418,0.4,61654,1.75 13,1,2024-09-07 08:25:51:529,149112,149112,0,0,69911198405,736332695,147509,1236,367,384,391717,0 13,2,2024-09-07 08:25:50:599,104673,104673,0,0,4314151,0,3287 13,3,2024-09-07 08:25:51:764,1,85,2,1,416,1755,85,0 14,0,2024-09-07 08:25:50:581,24517,0.7,24695,0.9,48806,0.8,64836,2.00 14,1,2024-09-07 08:25:51:561,149989,149989,0,0,69767180787,723313414,149106,852,31,364,391546,0 14,2,2024-09-07 08:25:50:767,106331,106331,0,0,4924740,0,2793 14,3,2024-09-07 08:25:51:127,1,85,11,0,906,1323,85,0 15,0,2024-09-07 08:25:51:562,19225,0.9,19012,1.2,38157,1.0,50814,2.50 15,1,2024-09-07 08:25:51:613,148551,148551,0,0,69731522589,725831534,147728,788,35,381,391536,0 15,2,2024-09-07 08:25:51:007,111455,111455,0,0,4008695,0,3043 15,3,2024-09-07 08:25:51:406,1,85,1,0,538,2388,85,0 16,0,2024-09-07 08:25:51:015,19102,0.3,19222,0.5,38053,0.3,51172,2.00 16,1,2024-09-07 08:25:50:576,148889,148889,0,0,69647787849,729320359,147749,1112,28,372,391756,0 16,2,2024-09-07 08:25:51:437,107990,107990,0,0,5261481,0,4719 16,3,2024-09-07 08:25:51:143,1,85,1,1,231,1461,85,0 17,0,2024-09-07 08:25:51:995,23993,0.5,23495,0.7,46116,0.5,61944,1.75 17,1,2024-09-07 08:25:50:577,149053,149053,0,0,69632809825,734544926,147166,1363,524,369,391688,0 17,2,2024-09-07 08:25:51:674,104950,104950,0,0,4022072,0,2857 17,3,2024-09-07 08:25:50:582,1,85,16,0,268,1657,85,0 18,0,2024-09-07 08:25:50:964,23256,1.3,23618,1.2,47460,1.6,63010,2.50 18,1,2024-09-07 08:25:51:640,149278,149278,0,0,69787122689,726252837,148026,1034,218,368,391555,0 18,2,2024-09-07 08:25:51:755,105368,105368,0,0,4944228,0,3541 18,3,2024-09-07 08:25:50:896,1,85,1,0,163,1038,85,0 19,0,2024-09-07 08:25:51:547,20272,1.4,20550,1.3,40720,1.6,54255,4.00 19,1,2024-09-07 08:25:50:567,149310,149310,0,0,69972939135,731075093,147441,1705,164,367,391777,0 19,2,2024-09-07 08:25:51:753,111538,111538,0,0,4586520,0,3988 19,3,2024-09-07 08:25:51:137,1,85,1,0,524,1203,85,0 20,0,2024-09-07 08:25:51:455,17234,0.3,17159,0.5,34209,0.2,45549,1.75 20,1,2024-09-07 08:25:50:579,149174,149174,0,0,69788122623,732167164,147471,1541,162,370,391598,0 20,2,2024-09-07 08:25:50:943,108650,108650,0,0,4465437,0,2446 20,3,2024-09-07 08:25:50:596,1,85,2,0,99,960,85,0 21,0,2024-09-07 08:25:51:159,22247,0.3,22198,0.5,44163,0.3,59050,1.75 21,1,2024-09-07 08:25:51:535,148826,148826,0,0,69415098835,732575921,146478,1853,495,368,391962,0 21,2,2024-09-07 08:25:51:083,105257,105257,0,0,4646241,0,3747 21,3,2024-09-07 08:25:51:407,1,85,1,0,93,1120,85,0 22,0,2024-09-07 08:25:51:731,23228,1.7,23199,1.3,46354,2.8,62126,2.75 22,1,2024-09-07 08:25:51:023,149077,149077,0,0,69799010926,737359601,145936,2415,726,382,391667,0 22,2,2024-09-07 08:25:50:766,105198,105198,0,0,4087965,0,3134 22,3,2024-09-07 08:25:51:077,1,85,1,0,228,692,85,0 23,0,2024-09-07 08:25:51:385,21833,1.1,21906,1.0,43927,1.2,58417,2.50 23,1,2024-09-07 08:25:51:013,149474,149474,0,0,70354833637,730353247,148124,1096,254,365,391549,0 23,2,2024-09-07 08:25:51:095,109932,109932,0,0,4382898,0,2078 23,3,2024-09-07 08:25:51:754,1,85,1,0,645,1395,85,0 24,0,2024-09-07 08:25:50:898,16960,0.5,16983,0.7,33927,0.5,45165,1.75 24,1,2024-09-07 08:25:50:605,149218,149218,0,0,69513236894,724217991,148398,813,7,369,391640,0 24,2,2024-09-07 08:25:51:074,108135,108135,0,0,5723142,0,2942 24,3,2024-09-07 08:25:51:697,1,85,1,0,234,1155,85,0 25,0,2024-09-07 08:25:51:363,22379,0.3,21808,0.4,42963,0.2,58453,1.50 25,1,2024-09-07 08:25:50:575,148740,148740,0,0,69349837098,730360113,146581,1843,316,371,391788,0 25,2,2024-09-07 08:25:51:617,107009,107009,0,0,5183851,0,3284 25,3,2024-09-07 08:25:51:006,1,85,1,1,158,789,85,0 26,0,2024-09-07 08:25:51:727,22872,0.5,22281,0.6,46607,0.4,61168,1.75 26,1,2024-09-07 08:25:51:542,149536,149536,0,0,69172467682,731686123,146072,2629,835,381,391564,0 26,2,2024-09-07 08:25:50:867,104691,104691,0,0,5066810,0,2809 26,3,2024-09-07 08:25:51:723,1,85,1,0,796,1301,85,0 27,0,2024-09-07 08:25:51:732,23661,0.9,23873,0.9,47237,1.2,63135,2.00 27,1,2024-09-07 08:25:51:676,150123,150123,0,0,70669663833,734190079,148982,846,295,381,391539,0 27,2,2024-09-07 08:25:50:869,106610,106610,0,0,6102033,0,3409 27,3,2024-09-07 08:25:51:015,1,85,4,0,564,1254,85,0 28,0,2024-09-07 08:25:51:394,17489,0.6,17776,0.8,35364,0.8,46880,2.00 28,1,2024-09-07 08:25:50:880,149637,149637,0,0,70430556176,734051575,148573,864,200,383,391646,0 28,2,2024-09-07 08:25:51:766,109909,109909,0,0,4253167,0,2609 28,3,2024-09-07 08:25:51:788,1,85,1,0,502,1275,85,0 29,0,2024-09-07 08:25:51:369,20636,0.3,20076,0.5,39625,0.2,54373,1.75 29,1,2024-09-07 08:25:51:561,150590,150590,0,0,69865177947,722384225,149799,619,172,369,391621,0 29,2,2024-09-07 08:25:50:868,109004,109004,0,0,3762560,0,2026 29,3,2024-09-07 08:25:50:963,1,85,2,0,105,703,85,0 30,0,2024-09-07 08:25:51:467,22985,1.0,22443,0.9,46917,1.3,61216,2.50 30,1,2024-09-07 08:25:50:575,149728,149728,0,0,70219275596,730976155,148751,912,65,382,391584,0 30,2,2024-09-07 08:25:51:274,104808,104808,0,0,3638927,0,2534 30,3,2024-09-07 08:25:50:591,1,85,7,0,195,673,85,0 31,0,2024-09-07 08:25:51:770,24237,1.2,24363,1.0,49111,1.4,65065,2.25 31,1,2024-09-07 08:25:50:567,150097,150097,0,0,70195732524,719197138,149846,249,2,356,391553,0 31,2,2024-09-07 08:25:51:280,106030,106030,0,0,5232604,0,3525 31,3,2024-09-07 08:25:51:705,1,85,0,0,129,588,85,0 32,0,2024-09-07 08:25:51:428,20473,1.1,20697,1.0,41348,1.7,54910,1.75 32,1,2024-09-07 08:25:50:806,149869,149869,0,0,70561663575,733561810,148865,975,29,382,391595,0 32,2,2024-09-07 08:25:50:945,111241,111241,0,0,4400853,0,3155 32,3,2024-09-07 08:25:51:016,1,85,2,0,110,748,85,0 33,0,2024-09-07 08:25:51:508,18156,0.2,17804,0.4,36283,0.1,47990,1.50 33,1,2024-09-07 08:25:50:575,150228,150228,0,0,70535035277,733255264,148566,1539,123,369,391730,0 33,2,2024-09-07 08:25:50:759,109174,109141,33,0,5831842,0,7012 33,3,2024-09-07 08:25:50:903,1,85,1,0,129,568,85,0 34,0,2024-09-07 08:25:50:943,23183,0.3,23968,0.5,45932,0.2,61646,1.75 34,1,2024-09-07 08:25:51:044,150269,150269,0,0,70447004533,726074976,149673,592,4,367,391517,0 34,2,2024-09-07 08:25:50:768,103714,103714,0,0,4737291,0,3255 34,3,2024-09-07 08:25:51:688,1,85,2,0,148,707,85,0 35,0,2024-09-07 08:25:50:868,23327,0.7,23565,0.8,47002,0.8,62853,1.75 35,1,2024-09-07 08:25:51:074,149653,149653,0,0,70103247686,728958176,148184,1107,362,384,391587,0 35,2,2024-09-07 08:25:51:586,105186,105186,0,0,4289486,0,2542 35,3,2024-09-07 08:25:50:907,1,85,1,0,219,1087,85,0 36,0,2024-09-07 08:25:51:538,21564,1.6,21489,1.3,43447,1.9,57347,2.50 36,1,2024-09-07 08:25:50:634,149010,149010,0,0,69490149677,730363540,145997,2082,931,366,391543,0 36,2,2024-09-07 08:25:51:750,110325,110325,0,0,5400961,0,3303 36,3,2024-09-07 08:25:50:867,1,85,4,0,378,1148,85,0 37,0,2024-09-07 08:25:51:380,15860,0.3,15708,0.5,31688,0.2,42591,1.75 37,1,2024-09-07 08:25:50:575,149009,149002,0,7,69399291921,733064336,146160,1323,1519,365,391518,0 37,2,2024-09-07 08:25:51:142,108523,108523,0,0,3951286,0,2333 37,3,2024-09-07 08:25:51:766,1,85,4,0,724,1848,85,0 38,0,2024-09-07 08:25:51:458,21903,0.3,21273,0.4,44432,0.2,58591,1.75 38,1,2024-09-07 08:25:51:605,150015,150015,0,0,70217396701,734666538,147859,1709,447,368,391821,0 38,2,2024-09-07 08:25:50:773,106739,106739,0,0,4701499,0,3245 38,3,2024-09-07 08:25:51:006,1,85,1,0,603,1429,85,0 39,0,2024-09-07 08:25:51:768,23782,1.0,23308,0.8,45299,1.2,61945,2.00 39,1,2024-09-07 08:25:50:716,149328,149328,0,0,69661927801,729896672,146694,1996,638,365,391524,0 39,2,2024-09-07 08:25:51:419,104881,104881,0,0,4341815,0,2381 39,3,2024-09-07 08:25:50:715,1,85,1,0,276,1239,85,0 40,0,2024-09-07 08:25:51:502,22538,1.6,22911,2.0,45424,3.4,60799,3.50 40,1,2024-09-07 08:25:50:582,149081,149081,0,0,69519985798,732331640,145826,2502,753,369,391591,0 40,2,2024-09-07 08:25:51:310,108839,108838,1,0,5597200,0,5137 40,3,2024-09-07 08:25:51:143,1,85,1,0,110,824,85,0 41,0,2024-09-07 08:25:51:063,16060,0.5,16402,3.6,31449,0.6,43592,2.50 41,1,2024-09-07 08:25:50:783,149154,149154,0,0,69472436365,729285983,146730,2111,313,370,391742,0 41,2,2024-09-07 08:25:50:767,108713,108713,0,0,5790285,0,3356 41,3,2024-09-07 08:25:51:677,1,85,1,0,168,876,85,0 42,0,2024-09-07 08:25:51:482,21173,0.4,21564,0.6,42834,0.3,57269,2.00 42,1,2024-09-07 08:25:51:439,148525,148525,0,0,69536059128,734060399,145531,2164,830,381,391675,0 42,2,2024-09-07 08:25:51:135,107695,107695,0,0,5073624,0,3568 42,3,2024-09-07 08:25:51:012,1,85,1,0,100,713,85,0 43,0,2024-09-07 08:25:50:948,23101,1.1,22516,1.0,47036,1.2,61710,2.00 43,1,2024-09-07 08:25:50:575,148912,148912,0,0,69857631783,731325914,146645,1515,752,366,391604,0 43,2,2024-09-07 08:25:51:745,104900,104900,0,0,5057145,0,3812 43,3,2024-09-07 08:25:51:755,1,85,6,0,325,1744,85,0 44,0,2024-09-07 08:25:50:868,24524,0.7,24451,0.9,48986,0.8,65382,2.00 44,1,2024-09-07 08:25:50:568,149596,149596,0,0,70150097472,725289343,148575,914,107,356,391569,0 44,2,2024-09-07 08:25:51:268,106659,106659,0,0,3880918,0,1865 44,3,2024-09-07 08:25:51:096,1,85,1,0,817,1358,85,0 45,0,2024-09-07 08:25:51:765,18861,1.3,18460,1.3,38757,1.2,51176,2.00 45,1,2024-09-07 08:25:51:006,149559,149559,0,0,70414413814,730194864,149090,468,1,382,391917,0 45,2,2024-09-07 08:25:51:274,111134,111134,0,0,4547715,0,2628 45,3,2024-09-07 08:25:50:943,1,85,2,0,226,870,85,0 46,0,2024-09-07 08:25:50:979,19225,0.3,19258,0.5,38563,0.2,51392,1.50 46,1,2024-09-07 08:25:50:576,149945,149945,0,0,70327679006,727181419,149093,814,38,367,391514,0 46,2,2024-09-07 08:25:50:594,109562,109562,0,0,4419947,0,2920 46,3,2024-09-07 08:25:51:138,1,85,1,0,315,1117,85,0 47,0,2024-09-07 08:25:51:114,23288,0.4,23575,0.5,47166,0.3,61715,1.75 47,1,2024-09-07 08:25:50:576,150071,150071,0,0,69575914091,718033118,149561,506,4,366,391605,0 47,2,2024-09-07 08:25:50:908,104882,104882,0,0,4351464,0,2558 47,3,2024-09-07 08:25:51:122,1,85,1,0,529,1163,85,0 48,0,2024-09-07 08:25:51:516,24130,0.3,23908,0.5,47698,0.3,63727,1.75 48,1,2024-09-07 08:25:51:026,149681,149681,0,0,69894032537,727371361,148479,1196,6,384,391637,0 48,2,2024-09-07 08:25:50:702,105753,105753,0,0,3608703,0,2083 48,3,2024-09-07 08:25:50:758,1,85,1,0,39,702,85,0 49,0,2024-09-07 08:25:51:753,21170,0.9,20683,0.9,40643,1.1,55753,1.75 49,1,2024-09-07 08:25:51:030,148944,148944,0,0,70174600522,734296921,147205,1029,710,382,391583,0 49,2,2024-09-07 08:25:51:797,111210,111210,0,0,4782486,0,3900 49,3,2024-09-07 08:25:51:416,1,85,10,0,274,865,85,0 50,0,2024-09-07 08:25:51:530,17273,0.2,17017,0.4,34448,0.1,45998,1.50 50,1,2024-09-07 08:25:51:012,150094,150094,0,0,70506237918,732546887,148423,1374,297,368,391530,0 50,2,2024-09-07 08:25:51:078,109099,109099,0,0,4074847,0,2253 50,3,2024-09-07 08:25:51:293,1,85,8,0,335,901,85,0 51,0,2024-09-07 08:25:51:715,22765,0.2,22112,0.3,43460,0.1,59514,1.50 51,1,2024-09-07 08:25:51:680,149578,149578,0,0,71206834562,740902326,147776,1018,784,367,391637,0 51,2,2024-09-07 08:25:51:336,104890,104890,0,0,3347304,0,2448 51,3,2024-09-07 08:25:51:029,1,85,8,0,162,558,85,0 52,0,2024-09-07 08:25:51:468,23447,0.8,23572,0.9,47129,0.9,62610,2.25 52,1,2024-09-07 08:25:50:576,149150,149150,0,0,69700015474,732002997,146635,2050,465,368,391594,0 52,2,2024-09-07 08:25:51:757,104858,104858,0,0,4578551,0,4779 52,3,2024-09-07 08:25:50:674,1,85,3,0,1782,2460,85,0 53,0,2024-09-07 08:25:51:766,21731,1.8,20953,1.4,43660,2.5,57695,2.75 53,1,2024-09-07 08:25:50:772,149115,149115,0,0,70284389577,737877781,146491,2049,575,370,391617,0 53,2,2024-09-07 08:25:51:304,110871,110871,0,0,4155336,0,1902 53,3,2024-09-07 08:25:50:698,1,85,3,0,133,636,85,0 54,0,2024-09-07 08:25:51:624,16044,6.5,16282,1.8,32067,0.6,44146,4.50 54,1,2024-09-07 08:25:50:587,149196,149196,0,0,70538693092,735953661,147086,1794,316,367,391520,0 54,2,2024-09-07 08:25:50:872,108950,108944,6,0,4994270,0,5382 54,3,2024-09-07 08:25:50:771,1,85,5,0,676,1717,85,0 55,0,2024-09-07 08:25:51:776,21301,0.3,21894,0.5,44217,0.2,57949,2.00 55,1,2024-09-07 08:25:50:797,148611,148611,0,0,69427735852,729347707,145128,2781,702,367,391731,0 55,2,2024-09-07 08:25:50:732,107251,107251,0,0,4881958,0,3275 55,3,2024-09-07 08:25:50:680,1,85,1,0,136,869,85,0 56,0,2024-09-07 08:25:51:564,23554,1.9,22211,1.4,45709,2.3,61150,2.50 56,1,2024-09-07 08:25:50:574,148743,148743,0,0,70723634859,751170557,145343,2652,748,382,391678,0 56,2,2024-09-07 08:25:51:313,104947,104947,0,0,5367099,0,3567 56,3,2024-09-07 08:25:51:069,1,85,1,0,297,1029,85,0 57,0,2024-09-07 08:25:50:989,23173,2.9,22910,1.8,46167,5.0,61823,4.25 57,1,2024-09-07 08:25:50:996,148777,148777,0,0,69442659723,726482326,147296,1461,20,368,391760,0 57,2,2024-09-07 08:25:51:316,108123,108123,0,0,5419504,0,3178 57,3,2024-09-07 08:25:51:740,1,85,0,0,359,1692,85,0 58,0,2024-09-07 08:25:50:561,16838,0.6,16507,0.9,34481,0.5,45066,2.75 58,1,2024-09-07 08:25:50:576,150102,150099,0,3,70072414843,729722419,148497,1442,160,369,391516,3 58,2,2024-09-07 08:25:51:076,109850,109850,0,0,5045258,0,2549 58,3,2024-09-07 08:25:51:077,1,85,0,0,219,887,85,0 59,0,2024-09-07 08:25:51:755,20281,0.4,20125,0.7,40361,0.4,53973,2.00 59,1,2024-09-07 08:25:50:817,148973,148973,0,0,70366053352,738726090,146722,1483,768,369,391515,0 59,2,2024-09-07 08:25:50:586,109222,109222,0,0,4555335,0,2604 59,3,2024-09-07 08:25:51:741,1,85,25,0,1015,2037,85,0 60,0,2024-09-07 08:25:51:726,23296,0.5,23489,0.6,46387,0.5,61450,1.75 60,1,2024-09-07 08:25:50:803,150525,150525,0,0,70619161635,734466583,149436,740,349,370,391758,0 60,2,2024-09-07 08:25:51:145,104143,104143,0,0,4059707,0,2142 60,3,2024-09-07 08:25:51:270,1,85,11,0,124,963,85,0 61,0,2024-09-07 08:25:51:521,24308,2.3,24448,1.4,48777,3.6,65188,2.25 61,1,2024-09-07 08:25:50:773,148827,148827,0,0,69684544961,734474198,146265,2026,536,383,391589,0 61,2,2024-09-07 08:25:51:124,105974,105974,0,0,4324124,0,1846 61,3,2024-09-07 08:25:51:692,1,85,6,0,199,1180,85,0 62,0,2024-09-07 08:25:51:721,20578,1.0,21074,1.1,40379,1.5,54921,2.00 62,1,2024-09-07 08:25:51:123,149898,149892,0,6,70497899935,730083507,148637,1217,38,365,391586,6 62,2,2024-09-07 08:25:51:650,110850,110850,0,0,4910307,0,2931 62,3,2024-09-07 08:25:51:145,1,85,2,0,287,688,85,0 63,0,2024-09-07 08:25:51:465,17978,0.3,17866,0.5,36063,0.2,47989,1.75 63,1,2024-09-07 08:25:50:810,150414,150409,0,5,70067435981,724746012,150001,405,3,381,391540,5 63,2,2024-09-07 08:25:50:765,108804,108804,0,0,4407566,0,2674 63,3,2024-09-07 08:25:51:738,1,85,2,0,667,1899,85,0 64,0,2024-09-07 08:25:51:513,23086,0.4,22913,0.6,46013,0.4,61523,1.75 64,1,2024-09-07 08:25:50:755,149463,149463,0,0,69955279347,735923247,147013,1655,795,371,391783,0 64,2,2024-09-07 08:25:51:141,104771,104752,19,0,4727840,0,6121 64,3,2024-09-07 08:25:51:140,1,85,1,0,265,1071,85,0 65,0,2024-09-07 08:25:51:692,22949,2.0,23266,1.4,46482,2.4,62164,3.25 65,1,2024-09-07 08:25:50:874,148902,148902,0,0,69973046171,733721450,147191,1492,219,382,391770,0 65,2,2024-09-07 08:25:51:706,106086,106086,0,0,4969239,0,3367 65,3,2024-09-07 08:25:51:685,1,85,2,0,163,902,85,0 66,0,2024-09-07 08:25:51:777,21095,0.7,21327,1.0,42658,0.7,56784,2.50 66,1,2024-09-07 08:25:51:313,150225,150225,0,0,70255139833,728608987,149534,670,21,380,391588,0 66,2,2024-09-07 08:25:51:137,111867,111867,0,0,4589512,0,3867 66,3,2024-09-07 08:25:51:079,1,85,1,0,291,965,85,0 67,0,2024-09-07 08:25:51:427,16004,0.4,15987,0.6,31713,0.2,42479,2.00 67,1,2024-09-07 08:25:50:768,149113,149112,0,1,69996169288,734679707,147088,1499,525,382,391787,1 67,2,2024-09-07 08:25:50:587,109317,109317,0,0,4202369,0,2889 67,3,2024-09-07 08:25:51:751,1,85,1,0,138,709,85,0 68,0,2024-09-07 08:25:50:574,22049,0.4,21982,0.6,43892,0.3,58538,1.75 68,1,2024-09-07 08:25:50:576,148731,148731,0,0,69707585119,730835328,147310,899,522,381,391953,0 68,2,2024-09-07 08:25:51:044,106348,106348,0,0,4217957,0,4883 68,3,2024-09-07 08:25:50:733,1,85,2,0,151,956,85,0 69,0,2024-09-07 08:25:51:783,23189,1.4,23107,1.2,46179,1.8,61967,2.50 69,1,2024-09-07 08:25:51:017,148665,148665,0,0,69493991465,735750735,146665,1133,867,384,391611,0 69,2,2024-09-07 08:25:51:742,105073,105073,0,0,4841890,0,3153 69,3,2024-09-07 08:25:50:764,1,85,4,0,238,1204,85,0 70,0,2024-09-07 08:25:51:546,22617,3.0,22680,2.2,45650,1.9,60443,4.50 70,1,2024-09-07 08:25:50:811,149816,149816,0,0,70571331131,730567309,148897,784,135,368,391527,0 70,2,2024-09-07 08:25:51:330,108780,108780,0,0,4743683,0,4044 70,3,2024-09-07 08:25:50:745,1,85,0,0,178,530,85,0 71,0,2024-09-07 08:25:51:375,16095,1.1,16157,2.5,31902,1.6,43798,4.00 71,1,2024-09-07 08:25:51:834,149610,149610,0,0,70369421207,735412156,147408,1886,316,368,391682,0 71,2,2024-09-07 08:25:51:078,109622,109622,0,0,4471347,0,2146 71,3,2024-09-07 08:25:51:752,1,85,23,0,219,1054,85,0 72,0,2024-09-07 08:25:51:040,21995,0.3,21396,0.5,42234,0.2,57463,2.25 72,1,2024-09-07 08:25:51:043,149696,149696,0,0,70000945130,729595548,148143,1475,78,370,391556,0 72,2,2024-09-07 08:25:51:781,107565,107565,0,0,5746156,0,2570 72,3,2024-09-07 08:25:51:757,1,85,1,0,325,1418,85,0 73,0,2024-09-07 08:25:51:145,22703,0.4,23255,0.6,47319,0.4,61381,2.25 73,1,2024-09-07 08:25:50:774,149436,149436,0,0,69990982709,727915338,148467,925,44,368,391627,0 73,2,2024-09-07 08:25:51:745,105588,105588,0,0,5179166,0,3482 73,3,2024-09-07 08:25:50:969,1,85,1,0,274,1409,85,0 74,0,2024-09-07 08:25:51:345,24543,1.3,24945,1.2,47906,1.8,64862,3.00 74,1,2024-09-07 08:25:50:639,149051,149051,0,0,69786798004,730084972,147150,1329,572,382,391511,0 74,2,2024-09-07 08:25:51:007,106437,106437,0,0,5541334,0,4253 74,3,2024-09-07 08:25:51:443,1,85,1,0,246,1486,85,0 75,0,2024-09-07 08:25:51:798,19239,1.8,19214,1.4,38245,2.3,51253,3.00 75,1,2024-09-07 08:25:51:591,149338,149338,0,0,70070172395,733588952,147636,1598,104,381,391579,0 75,2,2024-09-07 08:25:51:351,110572,110572,0,0,5390578,0,4766 75,3,2024-09-07 08:25:51:075,1,85,0,0,143,622,85,0 76,0,2024-09-07 08:25:50:598,19308,0.3,18981,0.5,38103,0.2,51002,2.00 76,1,2024-09-07 08:25:50:816,149234,149234,0,0,69619732703,731128341,147421,1307,506,382,391530,0 76,2,2024-09-07 08:25:51:069,109662,109662,0,0,4432149,0,3064 76,3,2024-09-07 08:25:51:143,1,85,1,0,175,1063,85,0 77,0,2024-09-07 08:25:51:710,23304,0.7,23537,0.8,47072,0.7,61656,2.00 77,1,2024-09-07 08:25:50:827,149224,149224,0,0,69930322260,729489103,148182,973,69,383,391516,0 77,2,2024-09-07 08:25:51:281,104508,104508,0,0,3796223,0,1695 77,3,2024-09-07 08:25:51:106,1,85,1,0,139,873,85,0 78,0,2024-09-07 08:25:51:736,23867,0.9,23820,1.0,47667,1.0,63451,2.25 78,1,2024-09-07 08:25:50:610,149790,149790,0,0,69294577034,722195486,148368,1217,205,367,391589,0 78,2,2024-09-07 08:25:51:407,105787,105787,0,0,4003504,0,2114 78,3,2024-09-07 08:25:51:134,1,85,6,0,181,1311,85,0 79,0,2024-09-07 08:25:51:352,19885,0.7,20371,1.0,41656,0.8,54770,2.75 79,1,2024-09-07 08:25:50:575,149899,149899,0,0,69999587964,727474150,148559,1271,69,370,391682,0 79,2,2024-09-07 08:25:51:078,111065,111065,0,0,4538443,0,2679 79,3,2024-09-07 08:25:50:758,1,85,2,0,289,934,85,0 80,0,2024-09-07 08:25:51:099,17099,0.4,17651,0.6,33943,0.3,45805,2.00 80,1,2024-09-07 08:25:51:630,149135,149135,0,0,70030269670,729762144,147541,1479,115,369,391673,0 80,2,2024-09-07 08:25:51:099,109262,109262,0,0,4616738,0,4433 80,3,2024-09-07 08:25:50:575,1,85,2,1,190,1427,85,0 81,0,2024-09-07 08:25:51:557,22179,0.4,22752,0.6,43659,0.3,59442,1.75 81,1,2024-09-07 08:25:51:665,149393,149393,0,0,69728899439,731722482,147502,1693,198,383,391680,0 81,2,2024-09-07 08:25:51:132,104596,104596,0,0,4768884,0,3993 81,3,2024-09-07 08:25:51:122,1,85,2,0,193,901,85,0 82,0,2024-09-07 08:25:51:539,23339,0.9,23280,1.0,46880,1.0,62739,2.25 82,1,2024-09-07 08:25:50:601,149270,149266,0,4,70197241081,734401290,147226,1468,572,382,391558,4 82,2,2024-09-07 08:25:51:691,106207,106207,0,0,3925480,0,2230 82,3,2024-09-07 08:25:51:760,1,85,1,0,227,1407,85,0 83,0,2024-09-07 08:25:51:571,21827,1.5,21756,1.3,43167,1.8,57483,2.75 83,1,2024-09-07 08:25:50:557,149124,149124,0,0,69749251492,729175964,147704,1319,101,383,391553,0 83,2,2024-09-07 08:25:50:768,109378,109378,0,0,4907683,0,3119 83,3,2024-09-07 08:25:50:754,1,85,78,0,91,696,85,0 84,0,2024-09-07 08:25:51:964,16531,2.3,16306,2.4,32642,0.6,44762,2.75 84,1,2024-09-07 08:25:51:053,149160,149160,0,0,69824144770,731703696,147537,1374,249,369,391638,0 84,2,2024-09-07 08:25:50:596,108681,108681,0,0,4817042,0,3801 84,3,2024-09-07 08:25:51:145,1,85,1,1,58,844,85,0 85,0,2024-09-07 08:25:51:064,21096,0.3,21101,0.5,44683,0.2,58129,1.75 85,1,2024-09-07 08:25:50:561,148912,148912,0,0,69818925613,738111674,146449,2034,429,383,391679,0 85,2,2024-09-07 08:25:50:888,107213,107213,0,0,5095278,0,3656 85,3,2024-09-07 08:25:50:688,1,85,5,0,115,800,85,0 86,0,2024-09-07 08:25:50:896,22904,0.8,23725,0.8,45548,0.9,61179,2.00 86,1,2024-09-07 08:25:50:827,148985,148985,0,0,70099609455,733189940,147181,1587,217,367,391622,0 86,2,2024-09-07 08:25:50:868,104410,104409,1,0,5345044,0,5004 86,3,2024-09-07 08:25:50:593,1,85,1,0,199,928,85,0 87,0,2024-09-07 08:25:51:327,23605,2.7,23426,1.6,47004,3.9,63013,3.00 87,1,2024-09-07 08:25:50:551,149255,149255,0,0,70368173625,736462267,147667,1467,121,366,391788,0 87,2,2024-09-07 08:25:51:077,107813,107813,0,0,4099213,0,2859 87,3,2024-09-07 08:25:51:818,1,85,5,1,322,1289,85,0 88,0,2024-09-07 08:25:51:468,17525,0.6,17774,0.8,35268,0.7,46858,1.75 88,1,2024-09-07 08:25:50:587,148970,148970,0,0,70111995049,732725323,147754,1119,97,367,391787,0 88,2,2024-09-07 08:25:50:689,110186,110186,0,0,5660830,0,3583 88,3,2024-09-07 08:25:51:275,1,85,8,1,77,1028,85,0 89,0,2024-09-07 08:25:51:819,20826,0.3,20123,0.5,39958,0.3,54507,1.75 89,1,2024-09-07 08:25:50:604,148504,148504,0,0,70311944776,747913971,145176,2592,736,382,391866,0 89,2,2024-09-07 08:25:51:137,108670,108670,0,0,5130088,0,2726 89,3,2024-09-07 08:25:51:800,1,85,3,0,325,1962,85,0 90,0,2024-09-07 08:25:51:636,22533,0.4,22983,0.6,47252,0.4,61357,1.75 90,1,2024-09-07 08:25:50:597,149157,149157,0,0,69677461582,734349739,147097,1917,143,382,391825,0 90,2,2024-09-07 08:25:51:409,103378,103378,0,0,5688683,0,2635 90,3,2024-09-07 08:25:50:939,1,85,2,1,200,1016,85,0 91,0,2024-09-07 08:25:50:950,24831,0.6,24063,0.7,49941,0.7,65605,1.75 91,1,2024-09-07 08:25:50:560,148955,148955,0,0,70141832570,739996237,146550,2040,365,383,391914,0 91,2,2024-09-07 08:25:51:333,105212,105212,0,0,4869013,0,1997 91,3,2024-09-07 08:25:50:601,1,85,1,0,155,1189,85,0 92,0,2024-09-07 08:25:51:537,20745,0.8,21240,0.9,40556,1.0,55114,1.75 92,1,2024-09-07 08:25:50:590,149601,149601,0,0,70063479877,730274644,148940,593,68,382,391717,0 92,2,2024-09-07 08:25:51:389,112562,112562,0,0,4818775,0,2279 92,3,2024-09-07 08:25:51:012,1,85,1,0,68,906,85,0 93,0,2024-09-07 08:25:50:971,18104,0.3,18422,0.5,35155,0.2,48027,1.75 93,1,2024-09-07 08:25:50:809,149439,149439,0,0,70268254164,735901499,147675,1328,436,367,391689,0 93,2,2024-09-07 08:25:50:933,109253,109253,0,0,4353069,0,2509 93,3,2024-09-07 08:25:51:406,1,85,9,0,143,818,85,0 94,0,2024-09-07 08:25:51:632,23277,0.3,23464,0.5,46398,0.3,61552,1.50 94,1,2024-09-07 08:25:50:567,148834,148834,0,0,69527028042,730596344,147238,1530,66,381,391850,0 94,2,2024-09-07 08:25:50:768,103893,103893,0,0,4274694,0,2443 94,3,2024-09-07 08:25:51:701,1,85,13,1,231,1367,85,0 95,0,2024-09-07 08:25:51:366,23551,0.4,23457,0.6,47368,0.4,62945,1.75 95,1,2024-09-07 08:25:50:869,149516,149516,0,0,70001730038,728053934,148704,748,64,367,391590,0 95,2,2024-09-07 08:25:51:030,105638,105638,0,0,4630198,0,3308 95,3,2024-09-07 08:25:51:723,1,85,1,0,307,1362,85,0 96,0,2024-09-07 08:25:51:036,21775,0.8,21630,0.8,43195,0.8,57252,1.75 96,1,2024-09-07 08:25:51:592,149318,149318,0,0,70010284269,735769403,147474,1273,571,385,391596,0 96,2,2024-09-07 08:25:51:273,111203,111203,0,0,5064747,0,4038 96,3,2024-09-07 08:25:51:163,1,85,3,0,36,749,85,0 97,0,2024-09-07 08:25:51:386,15813,0.3,15841,0.5,31901,0.2,42502,1.50 97,1,2024-09-07 08:25:50:837,149346,149346,0,0,70405162142,736321012,147150,1677,519,367,392140,0 97,2,2024-09-07 08:25:50:614,108266,108266,0,0,4252436,0,3036 97,3,2024-09-07 08:25:50:579,1,85,6,1,165,1047,85,0 98,0,2024-09-07 08:25:51:709,21903,0.2,21783,0.4,44197,0.2,58735,1.50 98,1,2024-09-07 08:25:50:584,149269,149269,0,0,69856734218,729717872,148190,1034,45,382,391997,0 98,2,2024-09-07 08:25:50:772,107256,107256,0,0,4453604,0,3080 98,3,2024-09-07 08:25:50:701,1,85,1,1,155,1057,85,0 99,0,2024-09-07 08:25:51:470,23200,0.4,23159,0.5,46253,0.3,61856,1.75 99,1,2024-09-07 08:25:51:739,149805,149805,0,0,69727729756,728892565,148307,1052,446,381,391744,0 99,2,2024-09-07 08:25:51:418,106167,106167,0,0,4605821,0,1858 99,3,2024-09-07 08:25:50:585,1,85,1,0,129,754,85,0 100,0,2024-09-07 08:25:51:543,22963,1.7,22770,2.2,45469,4.8,61299,2.50 100,1,2024-09-07 08:25:50:568,148422,148422,0,0,69379435280,736538266,145672,2405,345,381,391989,0 100,2,2024-09-07 08:25:51:843,108456,108445,11,0,5639154,0,5417 100,3,2024-09-07 08:25:51:758,1,85,13,0,443,2019,85,0 101,0,2024-09-07 08:25:51:799,16651,3.1,16248,1.6,32047,0.7,44783,2.50 101,1,2024-09-07 08:25:50:568,148950,148950,0,0,70081946028,735293748,147463,1037,450,369,391709,0 101,2,2024-09-07 08:25:51:758,109093,109093,0,0,4952815,0,4644 101,3,2024-09-07 08:25:50:957,1,85,2,0,448,1136,85,0 102,0,2024-09-07 08:25:50:961,20863,0.4,21649,0.5,43500,0.3,57225,1.75 102,1,2024-09-07 08:25:51:144,148871,148871,0,0,69699325881,735532818,146394,2008,469,369,391831,0 102,2,2024-09-07 08:25:51:745,108919,108919,0,0,4140954,0,1945 102,3,2024-09-07 08:25:51:614,1,85,3,0,410,928,85,0 103,0,2024-09-07 08:25:51:658,23850,0.5,23737,0.7,45103,0.5,61812,1.75 103,1,2024-09-07 08:25:51:639,149021,149021,0,0,69949032844,732769118,147788,1089,144,381,391680,0 103,2,2024-09-07 08:25:50:587,104335,104335,0,0,4449637,0,2104 103,3,2024-09-07 08:25:50:756,1,85,18,1,486,1154,85,0 104,0,2024-09-07 08:25:51:051,24384,1.6,24307,1.3,47712,2.0,65144,2.50 104,1,2024-09-07 08:25:51:602,149623,149623,0,0,69596021396,732581671,147663,1634,326,366,391948,0 104,2,2024-09-07 08:25:51:682,105953,105953,0,0,5251417,0,3941 104,3,2024-09-07 08:25:51:416,1,85,1,1,1245,3952,85,0 105,0,2024-09-07 08:25:51:153,19003,0.9,18363,1.4,38204,1.3,51023,3.25 105,1,2024-09-07 08:25:50:590,148852,148852,0,0,69679562080,735627400,145897,2208,747,367,391797,0 105,2,2024-09-07 08:25:51:354,110586,110586,0,0,5029087,0,3314 105,3,2024-09-07 08:25:51:309,1,85,2,1,399,1694,85,0 106,0,2024-09-07 08:25:50:949,18562,0.3,19098,0.5,38738,0.2,51121,1.75 106,1,2024-09-07 08:25:51:750,149421,149421,0,0,69527167195,731639114,147006,2088,327,370,391767,0 106,2,2024-09-07 08:25:50:767,108214,108214,0,0,5015217,0,2795 106,3,2024-09-07 08:25:50:683,1,85,1,1,201,930,85,0 107,0,2024-09-07 08:25:51:124,23538,0.5,23381,0.7,46521,0.4,61952,1.75 107,1,2024-09-07 08:25:50:587,148600,148600,0,0,69823995829,736901619,146656,1754,190,382,392234,0 107,2,2024-09-07 08:25:51:294,103831,103830,1,0,4752568,0,5024 107,3,2024-09-07 08:25:51:755,1,85,1,0,353,1411,85,0 108,0,2024-09-07 08:25:51:789,24027,0.5,24255,0.7,47744,0.6,63711,1.75 108,1,2024-09-07 08:25:51:300,149092,149092,0,0,70022418334,733392133,147616,1342,134,371,391857,0 108,2,2024-09-07 08:25:51:771,105453,105453,0,0,4761380,0,2647 108,3,2024-09-07 08:25:51:332,1,85,15,0,73,1089,85,0 109,0,2024-09-07 08:25:51:764,20733,0.8,20903,0.8,41327,0.9,55767,1.75 109,1,2024-09-07 08:25:50:601,148870,148870,0,0,70547366290,743319782,147200,1287,383,383,391812,0 109,2,2024-09-07 08:25:50:939,109897,109897,0,0,5213135,0,3617 109,3,2024-09-07 08:25:51:144,1,85,1,1,249,1421,85,0 110,0,2024-09-07 08:25:51:761,17235,0.2,16760,0.4,34859,0.2,45658,1.50 110,1,2024-09-07 08:25:51:882,149636,149636,0,0,69785008015,726588166,148312,1037,287,370,391588,0 110,2,2024-09-07 08:25:51:318,109227,109227,0,0,4365673,0,2915 110,3,2024-09-07 08:25:50:695,1,85,9,0,183,762,85,0 111,0,2024-09-07 08:25:51:441,22216,0.2,21962,0.4,43876,0.1,59355,1.50 111,1,2024-09-07 08:25:51:007,150132,150132,0,0,71007453780,735069506,149485,634,13,382,391690,0 111,2,2024-09-07 08:25:51:123,105307,105307,0,0,4514011,0,2763 111,3,2024-09-07 08:25:50:918,1,85,3,0,300,1621,85,0 112,0,2024-09-07 08:25:50:921,23609,0.4,23641,0.5,46968,0.3,62961,1.75 112,1,2024-09-07 08:25:50:826,149362,149362,0,0,70437172097,734787174,147849,1167,346,381,391580,0 112,2,2024-09-07 08:25:51:133,105540,105539,1,0,4827529,0,5036 112,3,2024-09-07 08:25:50:593,1,85,1,0,282,905,85,0 113,0,2024-09-07 08:25:50:896,21839,0.5,21720,0.7,44177,0.5,58629,1.75 113,1,2024-09-07 08:25:51:692,149740,149740,0,0,70529556369,733443918,147847,1509,384,368,391661,0 113,2,2024-09-07 08:25:51:311,110636,110636,0,0,4002496,0,3021 113,3,2024-09-07 08:25:50:691,1,85,1,0,288,1465,85,0 114,0,2024-09-07 08:25:50:891,16834,2.9,16996,1.3,33430,0.6,45282,2.00 114,1,2024-09-07 08:25:50:716,149411,149411,0,0,70183561303,738016269,146783,1747,881,381,391531,0 114,2,2024-09-07 08:25:50:874,108583,108583,0,0,4532253,0,3925 114,3,2024-09-07 08:25:51:284,1,85,8,0,159,946,85,0 115,0,2024-09-07 08:25:50:575,21561,0.2,21775,0.3,43779,0.1,58124,1.50 115,1,2024-09-07 08:25:50:575,149319,149319,0,0,70136649353,734410811,146944,1888,487,383,391528,0 115,2,2024-09-07 08:25:51:132,107912,107912,0,0,3923697,0,2152 115,3,2024-09-07 08:25:51:006,1,85,48,0,159,561,85,0 116,0,2024-09-07 08:25:51:769,23132,0.8,22694,0.9,46040,1.1,61239,2.00 116,1,2024-09-07 08:25:50:831,148910,148910,0,0,70275776537,743339439,147017,1022,871,382,391605,0 116,2,2024-09-07 08:25:51:757,104559,104559,0,0,5029948,0,3529 116,3,2024-09-07 08:25:50:925,1,85,31,1,252,1347,85,0 117,0,2024-09-07 08:25:51:056,23584,2.1,23633,1.4,46996,3.3,63087,2.25 117,1,2024-09-07 08:25:51:582,149546,149546,0,0,69741110856,734340931,147222,2030,294,370,392033,0 117,2,2024-09-07 08:25:51:139,108194,108194,0,0,4452304,0,3700 117,3,2024-09-07 08:25:51:069,1,85,35,0,490,1889,85,0 118,0,2024-09-07 08:25:51:772,17157,0.7,17546,0.8,35942,0.7,46704,2.00 118,1,2024-09-07 08:25:50:586,149477,149477,0,0,68930606745,721992557,148230,1167,80,368,391736,0 118,2,2024-09-07 08:25:51:620,110273,110273,0,0,4881762,0,2781 118,3,2024-09-07 08:25:51:766,1,85,21,1,235,1281,85,0 119,0,2024-09-07 08:25:51:354,19953,0.3,19970,0.4,40686,0.2,54021,1.75 119,1,2024-09-07 08:25:50:548,149594,149594,0,0,70410131208,737012059,147974,1471,149,370,391641,0 119,2,2024-09-07 08:25:51:281,108083,108083,0,0,4611890,0,2532 119,3,2024-09-07 08:25:51:327,1,85,3,0,443,2220,85,0 120,0,2024-09-07 08:25:51:583,22974,0.6,23097,0.8,45833,0.6,61340,2.00 120,1,2024-09-07 08:25:50:879,149176,149176,0,0,70117649076,736150726,147644,1516,16,368,391702,0 120,2,2024-09-07 08:25:50:783,103794,103793,1,0,5712093,0,5281 120,3,2024-09-07 08:25:51:297,1,85,16,0,241,1457,85,0 121,0,2024-09-07 08:25:51:711,24280,2.2,24539,1.4,48971,3.5,65527,2.50 121,1,2024-09-07 08:25:51:667,149272,149272,0,0,69593915096,729787938,147389,1612,271,368,391840,0 121,2,2024-09-07 08:25:51:131,105242,105242,0,0,6050411,0,4127 121,3,2024-09-07 08:25:50:729,1,85,1,0,113,892,85,0 122,0,2024-09-07 08:25:51:766,20482,2.1,19798,1.8,41310,2.5,55193,2.25 122,1,2024-09-07 08:25:50:873,148295,148295,0,0,69756513096,737352258,145283,2488,524,368,392130,0 122,2,2024-09-07 08:25:51:333,111351,111351,0,0,6099546,0,3364 122,3,2024-09-07 08:25:50:596,1,85,9,1,298,1856,85,0 123,0,2024-09-07 08:25:50:971,17882,0.3,17406,0.5,36412,0.2,47841,1.75 123,1,2024-09-07 08:25:50:568,149653,149653,0,0,69982231805,741926594,146184,2901,568,369,391823,0 123,2,2024-09-07 08:25:51:037,108562,108561,1,0,5026515,0,5215 123,3,2024-09-07 08:25:51:139,1,85,94,1,160,1138,85,0 124,0,2024-09-07 08:25:50:974,23795,0.3,23807,0.5,44959,0.2,61837,1.50 124,1,2024-09-07 08:25:51:036,149133,149133,0,0,69271208058,719230290,148327,661,145,367,392178,0 124,2,2024-09-07 08:25:51:013,104030,104030,0,0,3996386,0,2477 124,3,2024-09-07 08:25:50:758,1,85,1,1,490,1677,85,0 125,0,2024-09-07 08:25:51:431,23689,0.6,23670,0.7,47334,0.7,62909,1.75 125,1,2024-09-07 08:25:50:864,149173,149173,0,0,69936887866,733127875,147418,1483,272,384,391702,0 125,2,2024-09-07 08:25:51:121,105946,105946,0,0,4428845,0,2180 125,3,2024-09-07 08:25:51:131,1,85,1,1,93,1135,85,0 126,0,2024-09-07 08:25:51:457,21667,1.1,22248,1.0,42636,1.5,57691,2.00 126,1,2024-09-07 08:25:50:563,150042,150042,0,0,70517505570,732346136,148990,997,55,365,391987,0 126,2,2024-09-07 08:25:50:611,110922,110922,0,0,4836772,0,3186 126,3,2024-09-07 08:25:50:906,1,85,1,0,122,1202,85,0 127,0,2024-09-07 08:25:51:609,15954,0.2,15921,0.4,31819,0.2,42547,1.50 127,1,2024-09-07 08:25:50:580,149409,149409,0,0,69720294324,723645048,148268,1118,23,365,391614,0 127,2,2024-09-07 08:25:50:649,108962,108962,0,0,4038708,0,1803 127,3,2024-09-07 08:25:51:275,1,85,0,0,99,660,85,0 128,0,2024-09-07 08:25:51:566,22128,0.2,22125,0.4,43728,0.2,58755,1.50 128,1,2024-09-07 08:25:51:625,149378,149378,0,0,70245212130,728798990,148645,663,70,367,391680,0 128,2,2024-09-07 08:25:51:390,106009,106009,0,0,4679517,0,2107 128,3,2024-09-07 08:25:50:772,1,85,2,1,112,997,85,0 129,0,2024-09-07 08:25:51:016,23252,0.4,23236,0.5,46301,0.4,61786,1.75 129,1,2024-09-07 08:25:50:583,149110,149110,0,0,70179739566,736686074,147252,1583,275,379,391835,0 129,2,2024-09-07 08:25:50:691,104731,104731,0,0,4094192,0,2446 129,3,2024-09-07 08:25:50:690,1,85,1,1,173,1280,85,0 130,0,2024-09-07 08:25:51:769,23154,1.4,23029,1.0,46158,2.0,61849,2.25 130,1,2024-09-07 08:25:50:592,149273,149273,0,0,70189804304,733368683,147957,1283,33,381,391609,0 130,2,2024-09-07 08:25:51:132,108912,108912,0,0,4815332,0,4067 130,3,2024-09-07 08:25:51:291,1,85,2,0,207,660,85,0 131,0,2024-09-07 08:25:51:945,16634,0.8,16565,0.9,33487,1.2,44683,1.75 131,1,2024-09-07 08:25:51:825,150269,150269,0,0,70284412135,732869486,149245,837,187,384,391865,0 131,2,2024-09-07 08:25:50:569,109808,109808,0,0,4020114,0,2415 131,3,2024-09-07 08:25:51:700,1,85,3,0,392,1155,85,0 132,0,2024-09-07 08:25:51:441,21199,0.3,21551,0.5,42784,0.2,57405,1.75 132,1,2024-09-07 08:25:50:576,148791,148791,0,0,69723598995,736524998,146200,1885,706,381,391719,0 132,2,2024-09-07 08:25:50:701,108098,108098,0,0,6262536,0,4606 132,3,2024-09-07 08:25:51:688,1,85,14,1,356,1093,85,0 133,0,2024-09-07 08:25:51:536,22661,0.5,23021,0.6,47450,0.5,61154,1.75 133,1,2024-09-07 08:25:50:593,148036,148036,0,0,69394831113,733240094,145818,2122,96,383,391755,0 133,2,2024-09-07 08:25:51:091,104773,104773,0,0,5370094,0,2444 133,3,2024-09-07 08:25:51:309,1,85,4,1,187,703,85,0 134,0,2024-09-07 08:25:50:954,24519,0.9,24447,1.0,49094,1.0,65108,2.00 134,1,2024-09-07 08:25:50:586,149438,149438,0,0,69438584480,731336292,146794,1663,981,366,391718,0 134,2,2024-09-07 08:25:51:764,106415,106415,0,0,4040092,0,2026 134,3,2024-09-07 08:25:50:754,1,85,55,0,739,2037,85,0 135,0,2024-09-07 08:25:51:143,18657,1.5,18727,1.5,39495,1.6,50737,2.25 135,1,2024-09-07 08:25:51:587,148834,148834,0,0,69489370451,730227788,146883,1623,328,380,391703,0 135,2,2024-09-07 08:25:50:688,111380,111380,0,0,5041975,0,3981 135,3,2024-09-07 08:25:51:006,1,85,2,0,68,508,85,0 136,0,2024-09-07 08:25:51:666,19201,0.4,19190,0.6,38408,0.2,51432,2.00 136,1,2024-09-07 08:25:51:455,149164,149164,0,0,69895429614,733843883,147257,1760,147,384,391621,0 136,2,2024-09-07 08:25:51:137,109882,109882,0,0,4524260,0,2379 136,3,2024-09-07 08:25:51:122,1,85,0,0,108,891,85,0 137,0,2024-09-07 08:25:50:939,24065,0.6,23285,0.8,46115,0.6,62075,2.00 137,1,2024-09-07 08:25:50:575,149017,149017,0,0,70105718287,734466503,147037,1866,114,367,391608,0 137,2,2024-09-07 08:25:51:708,103342,103342,0,0,5512541,0,2632 137,3,2024-09-07 08:25:50:796,1,85,1,1,227,1143,85,0 138,0,2024-09-07 08:25:51:756,23279,2.4,23455,1.6,47650,3.7,63636,2.75 138,1,2024-09-07 08:25:51:694,149104,149104,0,0,70000694303,735371372,146769,2071,264,369,391954,0 138,2,2024-09-07 08:25:50:598,106212,106212,0,0,4772483,0,3263 138,3,2024-09-07 08:25:50:617,1,85,2,0,1160,1979,85,0 139,0,2024-09-07 08:25:51:399,20126,4.4,20152,2.3,40743,5.4,55090,3.75 139,1,2024-09-07 08:25:50:578,148608,148608,0,0,69595219275,740760545,145715,2164,729,381,391892,0 139,2,2024-09-07 08:25:50:697,110244,110244,0,0,6075963,0,3097 139,3,2024-09-07 08:25:51:666,1,85,1,0,244,1368,85,0 140,0,2024-09-07 08:25:51:596,17140,0.2,17091,0.4,34335,0.1,45718,1.50 140,1,2024-09-07 08:25:51:542,149898,149898,0,0,70245460307,726298406,148968,733,197,365,391606,0 140,2,2024-09-07 08:25:50:690,109685,109685,0,0,4809344,0,3388 140,3,2024-09-07 08:25:50:782,1,85,1,0,25,591,85,0 141,0,2024-09-07 08:25:51:718,21886,0.2,22606,0.4,43623,0.1,59468,1.50 141,1,2024-09-07 08:25:50:873,149458,149458,0,0,69847068647,727506782,148035,1092,331,382,391538,0 141,2,2024-09-07 08:25:51:687,104925,104925,0,0,4287808,0,2342 141,3,2024-09-07 08:25:51:043,1,85,12,0,147,627,85,0 142,0,2024-09-07 08:25:51:333,23853,0.4,23575,0.6,46831,0.3,63029,1.75 142,1,2024-09-07 08:25:50:587,149829,149829,0,0,70265072243,731533775,149271,543,15,384,391649,0 142,2,2024-09-07 08:25:51:310,104711,104711,0,0,4682419,0,2293 142,3,2024-09-07 08:25:51:746,1,85,3,0,484,1605,85,0 143,0,2024-09-07 08:25:51:381,21899,1.1,22040,0.9,44262,1.4,58436,2.00 143,1,2024-09-07 08:25:50:557,149523,149523,0,0,69858962129,724809685,148541,953,29,367,391619,0 143,2,2024-09-07 08:25:50:775,110050,110050,0,0,5174286,0,2669 143,3,2024-09-07 08:25:51:141,1,85,4,0,236,1194,85,0 144,0,2024-09-07 08:25:51:512,16056,1.0,16541,5.2,33443,0.7,44951,2.25 144,1,2024-09-07 08:25:50:575,149088,149088,0,0,69741746129,732906155,147511,1384,193,381,391638,0 144,2,2024-09-07 08:25:51:757,109204,109204,0,0,4370304,0,3473 144,3,2024-09-07 08:25:51:742,1,85,1,1,169,1295,85,0 145,0,2024-09-07 08:25:51:377,21021,0.3,21064,0.5,44574,0.3,58195,1.75 145,1,2024-09-07 08:25:50:558,148478,148478,0,0,69455926897,730847793,146547,1666,265,383,391615,0 145,2,2024-09-07 08:25:51:433,107796,107796,0,0,5182153,0,3903 145,3,2024-09-07 08:25:50:896,1,85,1,0,151,1087,85,0 146,0,2024-09-07 08:25:51:637,22910,0.7,22881,0.8,46055,0.7,60828,2.25 146,1,2024-09-07 08:25:51:602,149423,149423,0,0,69516256740,730527472,147125,1996,302,368,391600,0 146,2,2024-09-07 08:25:51:712,104043,104043,0,0,4761385,0,2379 146,3,2024-09-07 08:25:51:281,1,85,1,0,1520,3158,85,0 147,0,2024-09-07 08:25:51:719,23432,2.6,23193,1.6,46188,3.9,62999,3.00 147,1,2024-09-07 08:25:51:385,149531,149531,0,0,70339369880,732447384,147809,1366,356,368,391791,0 147,2,2024-09-07 08:25:51:034,108111,108111,0,0,4845081,0,2789 147,3,2024-09-07 08:25:50:917,1,85,2,1,141,850,85,0 0,0,2024-09-07 08:26:01:772,22550,0.6,22532,0.8,47686,0.7,61551,1.75 0,1,2024-09-07 08:26:00:802,151175,151175,0,0,71184850056,747207322,149947,1101,127,372,391692,0 0,2,2024-09-07 08:26:01:074,105080,105080,0,0,4777619,0,4480 0,3,2024-09-07 08:26:00:977,1,86,138,0,247,1435,86,0 1,0,2024-09-07 08:26:01:833,24585,2.5,24371,1.7,49172,3.5,65604,2.75 1,1,2024-09-07 08:26:00:604,150740,150740,0,0,70333445579,738872507,149029,1182,529,372,391857,0 1,2,2024-09-07 08:26:00:639,106734,106734,0,0,4568359,0,3267 1,3,2024-09-07 08:26:01:309,1,86,10,0,167,822,86,0 2,0,2024-09-07 08:26:01:593,20508,1.0,20676,1.2,40997,1.5,54909,2.25 2,1,2024-09-07 08:26:00:862,151343,151343,0,0,71137694973,740756321,150262,877,204,381,391558,0 2,2,2024-09-07 08:26:01:273,111942,111942,0,0,4787851,0,3304 2,3,2024-09-07 08:26:00:690,1,86,1,0,214,871,86,0 3,0,2024-09-07 08:26:01:783,18348,0.3,18493,0.5,36852,0.2,49180,1.75 3,1,2024-09-07 08:26:01:632,150274,150274,0,0,70360856591,735802628,148295,1593,386,382,391516,0 3,2,2024-09-07 08:26:01:150,110430,110407,23,0,5082233,0,5851 3,3,2024-09-07 08:26:01:765,1,86,1,0,103,598,86,0 4,0,2024-09-07 08:26:01:881,22640,0.3,23354,0.4,47114,0.3,61907,1.50 4,1,2024-09-07 08:26:00:612,150670,150670,0,0,70181523787,740084137,148769,1483,418,371,391846,0 4,2,2024-09-07 08:26:01:049,104701,104701,0,0,5539957,0,4528 4,3,2024-09-07 08:26:01:035,1,86,15,1,287,1422,86,0 5,0,2024-09-07 08:26:01:434,23938,0.7,23770,0.7,47912,0.9,63591,1.75 5,1,2024-09-07 08:26:00:764,150925,150925,0,0,70934702112,746898308,148706,1775,444,368,392005,0 5,2,2024-09-07 08:26:01:831,106890,106890,0,0,4561042,0,2259 5,3,2024-09-07 08:26:01:738,1,86,4,0,238,1631,86,0 6,0,2024-09-07 08:26:00:938,21866,1.0,21554,1.2,43198,1.3,57993,2.50 6,1,2024-09-07 08:26:00:753,151523,151523,0,0,71077739267,740213799,150397,1056,70,381,391603,0 6,2,2024-09-07 08:26:01:119,112724,112724,0,0,4798929,0,2411 6,3,2024-09-07 08:26:01:275,1,86,1,0,340,1495,86,0 7,0,2024-09-07 08:26:01:541,16058,0.3,16186,0.4,32467,0.2,43779,1.75 7,1,2024-09-07 08:26:00:856,151365,151365,0,0,70837855343,738999616,150384,916,65,383,391664,0 7,2,2024-09-07 08:26:00:774,109635,109635,0,0,4497953,0,2981 7,3,2024-09-07 08:26:00:853,1,86,2,0,83,699,86,0 8,0,2024-09-07 08:26:01:476,22278,0.3,22450,0.4,44123,0.2,59376,1.50 8,1,2024-09-07 08:26:01:028,150887,150887,0,0,70456572152,748749525,147399,2235,1253,368,391724,0 8,2,2024-09-07 08:26:00:802,105940,105940,0,0,5636534,0,2986 8,3,2024-09-07 08:26:00:596,1,86,11,0,229,1143,86,0 9,0,2024-09-07 08:26:01:159,23431,0.4,22848,0.6,47764,0.4,62601,1.75 9,1,2024-09-07 08:26:00:566,151448,151448,0,0,70856022595,747719678,148998,1882,568,370,391738,0 9,2,2024-09-07 08:26:01:085,106230,106230,0,0,5103359,0,3360 9,3,2024-09-07 08:26:01:761,1,86,1,0,149,1080,86,0 10,0,2024-09-07 08:26:01:613,23122,0.7,23191,0.8,46323,0.7,61763,2.00 10,1,2024-09-07 08:26:00:594,150432,150432,0,0,71039432620,750280573,147094,2816,522,381,391741,0 10,2,2024-09-07 08:26:00:763,110199,110199,0,0,5244918,0,2940 10,3,2024-09-07 08:26:00:872,1,86,4,0,136,622,86,0 11,0,2024-09-07 08:26:01:024,16500,0.7,16112,1.1,33864,0.9,45627,2.25 11,1,2024-09-07 08:26:00:574,150726,150726,0,0,70915812205,746496279,148085,1826,815,384,391537,0 11,2,2024-09-07 08:26:01:132,110185,110185,0,0,4952873,0,2635 11,3,2024-09-07 08:26:01:300,1,86,1,0,720,2404,86,0 12,0,2024-09-07 08:26:00:964,22046,0.3,21890,0.5,43797,0.2,58403,1.50 12,1,2024-09-07 08:26:00:956,150550,150550,0,0,70547884464,739576650,148885,1563,102,371,391790,0 12,2,2024-09-07 08:26:01:564,110039,110039,0,0,4789663,0,2254 12,3,2024-09-07 08:26:01:068,1,86,2,0,358,1544,86,0 13,0,2024-09-07 08:26:01:389,23335,0.4,23451,0.6,46651,0.4,61962,1.75 13,1,2024-09-07 08:26:01:528,150947,150947,0,0,70776733465,745226725,149344,1236,367,384,391717,0 13,2,2024-09-07 08:26:00:642,106265,106265,0,0,4337976,0,3287 13,3,2024-09-07 08:26:01:777,1,86,32,1,416,1787,86,0 14,0,2024-09-07 08:26:00:567,24629,0.7,24818,0.9,49018,0.8,65176,2.00 14,1,2024-09-07 08:26:01:561,151782,151782,0,0,70539276754,731136922,150899,852,31,364,391546,0 14,2,2024-09-07 08:26:00:766,107743,107743,0,0,4944829,0,2793 14,3,2024-09-07 08:26:01:117,1,86,1,0,906,1324,86,0 15,0,2024-09-07 08:26:01:559,19266,0.9,19051,1.2,38230,1.0,50814,2.50 15,1,2024-09-07 08:26:01:612,150286,150286,0,0,70594747984,734591059,149463,788,35,381,391536,0 15,2,2024-09-07 08:26:00:999,112491,112491,0,0,4017657,0,3043 15,3,2024-09-07 08:26:01:404,1,86,37,0,538,2425,86,0 16,0,2024-09-07 08:26:00:968,19461,0.3,19585,0.5,38793,0.3,52032,2.00 16,1,2024-09-07 08:26:00:575,150628,150628,0,0,70662870866,739680619,149488,1112,28,372,391756,0 16,2,2024-09-07 08:26:01:437,109313,109313,0,0,5283666,0,4719 16,3,2024-09-07 08:26:01:147,1,86,2,1,231,1463,86,0 17,0,2024-09-07 08:26:01:800,24094,0.5,23606,0.7,46306,0.5,62172,1.75 17,1,2024-09-07 08:26:00:569,150799,150799,0,0,70229899700,740870839,148912,1363,524,369,391688,0 17,2,2024-09-07 08:26:01:691,106171,106171,0,0,4043934,0,2857 17,3,2024-09-07 08:26:00:585,1,86,17,0,268,1674,86,0 18,0,2024-09-07 08:26:00:951,23477,1.3,23856,1.2,47929,1.6,63598,2.50 18,1,2024-09-07 08:26:01:638,150986,150986,0,0,70497542065,733576617,149734,1034,218,368,391555,0 18,2,2024-09-07 08:26:01:759,106503,106503,0,0,4964573,0,3541 18,3,2024-09-07 08:26:00:901,1,86,1,0,163,1039,86,0 19,0,2024-09-07 08:26:01:549,20593,1.3,20862,1.3,41373,1.6,55150,4.00 19,1,2024-09-07 08:26:00:565,151075,151075,0,0,70728908001,738831173,149206,1705,164,367,391777,0 19,2,2024-09-07 08:26:01:753,113012,113012,0,0,4611572,0,3988 19,3,2024-09-07 08:26:01:129,1,86,31,0,524,1234,86,0 20,0,2024-09-07 08:26:01:410,17537,0.3,17472,0.5,34826,0.2,46244,1.75 20,1,2024-09-07 08:26:00:578,150965,150965,0,0,70694270101,741484690,149259,1542,164,370,391598,0 20,2,2024-09-07 08:26:00:958,110078,110078,0,0,4490117,0,2446 20,3,2024-09-07 08:26:00:596,1,86,2,0,99,962,86,0 21,0,2024-09-07 08:26:01:159,22767,0.3,22738,0.5,45166,0.3,60585,1.75 21,1,2024-09-07 08:26:01:580,150494,150494,0,0,70095086469,739584537,148146,1853,495,368,391962,0 21,2,2024-09-07 08:26:01:077,106013,106013,0,0,4665763,0,3747 21,3,2024-09-07 08:26:01:411,1,86,10,0,93,1130,86,0 22,0,2024-09-07 08:26:01:732,23555,1.6,23513,1.3,46951,2.7,63018,2.75 22,1,2024-09-07 08:26:01:024,150790,150790,0,0,70512942277,744682927,147649,2415,726,382,391667,0 22,2,2024-09-07 08:26:00:767,106580,106580,0,0,4135213,0,3134 22,3,2024-09-07 08:26:01:075,1,86,13,0,228,705,86,0 23,0,2024-09-07 08:26:01:390,21980,1.1,22047,1.0,44250,1.2,58807,2.50 23,1,2024-09-07 08:26:01:010,151297,151297,0,0,71356103027,740536157,149947,1096,254,365,391549,0 23,2,2024-09-07 08:26:01:101,111347,111347,0,0,4409741,0,2078 23,3,2024-09-07 08:26:01:754,1,86,0,0,645,1395,86,0 24,0,2024-09-07 08:26:00:936,17207,0.5,17235,0.7,34460,0.5,45802,1.75 24,1,2024-09-07 08:26:00:621,151067,151067,0,0,70494331938,734178075,150247,813,7,369,391640,0 24,2,2024-09-07 08:26:01:073,109331,109331,0,0,5740059,0,2942 24,3,2024-09-07 08:26:01:698,1,86,2,0,234,1157,86,0 25,0,2024-09-07 08:26:01:371,22756,0.3,22220,0.4,43689,0.2,59468,1.50 25,1,2024-09-07 08:26:00:563,150528,150528,0,0,70297188726,739969295,148369,1843,316,371,391788,0 25,2,2024-09-07 08:26:01:608,107919,107919,0,0,5192983,0,3284 25,3,2024-09-07 08:26:01:023,1,86,18,1,158,807,86,0 26,0,2024-09-07 08:26:01:742,23006,0.4,22439,0.6,46942,0.4,61639,1.75 26,1,2024-09-07 08:26:01:555,151320,151320,0,0,69933188792,739417723,147856,2629,835,381,391564,0 26,2,2024-09-07 08:26:00:863,106238,106238,0,0,5078197,0,2809 26,3,2024-09-07 08:26:01:717,1,86,1,0,796,1302,86,0 27,0,2024-09-07 08:26:01:744,23760,0.8,23973,0.9,47456,1.2,63374,2.00 27,1,2024-09-07 08:26:01:700,151855,151855,0,0,71354399083,741187628,150714,846,295,381,391539,0 27,2,2024-09-07 08:26:00:888,107917,107917,0,0,6113095,0,3409 27,3,2024-09-07 08:26:01:023,1,86,1,0,564,1255,86,0 28,0,2024-09-07 08:26:01:430,17572,0.6,17880,0.8,35558,0.7,47197,2.00 28,1,2024-09-07 08:26:00:802,151422,151422,0,0,71377346304,743667838,150358,864,200,383,391646,0 28,2,2024-09-07 08:26:01:773,111152,111152,0,0,4264763,0,2609 28,3,2024-09-07 08:26:01:792,1,86,5,0,502,1280,86,0 29,0,2024-09-07 08:26:01:380,21103,0.3,20514,0.5,40561,0.2,55709,1.75 29,1,2024-09-07 08:26:01:565,152323,152323,0,0,70819927336,732040519,151531,620,172,369,391621,0 29,2,2024-09-07 08:26:00:864,110343,110343,0,0,3778455,0,2026 29,3,2024-09-07 08:26:00:963,1,86,1,0,105,704,86,0 30,0,2024-09-07 08:26:01:497,23089,1.0,22560,0.9,47133,1.3,61518,2.50 30,1,2024-09-07 08:26:00:577,151503,151503,0,0,71007408360,739003300,150525,913,65,382,391584,0 30,2,2024-09-07 08:26:01:278,106182,106182,0,0,3653451,0,2534 30,3,2024-09-07 08:26:00:592,1,86,0,0,195,673,86,0 31,0,2024-09-07 08:26:01:771,24353,1.2,24486,1.0,49345,1.3,65316,2.25 31,1,2024-09-07 08:26:00:583,151916,151916,0,0,71060998749,727898064,151665,249,2,356,391553,0 31,2,2024-09-07 08:26:01:291,107270,107270,0,0,5246191,0,3525 31,3,2024-09-07 08:26:01:708,1,86,1,0,129,589,86,0 32,0,2024-09-07 08:26:01:424,20669,1.1,20915,1.0,41815,1.5,55426,1.75 32,1,2024-09-07 08:26:00:809,151619,151619,0,0,71350882782,741664546,150615,975,29,382,391595,0 32,2,2024-09-07 08:26:00:936,112564,112564,0,0,4420335,0,3155 32,3,2024-09-07 08:26:01:016,1,86,1,0,110,749,86,0 33,0,2024-09-07 08:26:01:501,18545,0.2,18178,0.4,37085,0.1,49134,1.50 33,1,2024-09-07 08:26:00:577,152010,152010,0,0,71453073792,742632607,150348,1539,123,369,391730,0 33,2,2024-09-07 08:26:00:768,110565,110532,33,0,5868469,0,7012 33,3,2024-09-07 08:26:00:896,1,86,32,0,129,600,86,0 34,0,2024-09-07 08:26:00:938,23389,0.3,24163,0.5,46332,0.3,61945,1.75 34,1,2024-09-07 08:26:01:055,152018,152018,0,0,71429199641,736049385,151422,592,4,367,391517,0 34,2,2024-09-07 08:26:00:766,104652,104652,0,0,4759522,0,3255 34,3,2024-09-07 08:26:01:690,1,86,0,0,148,707,86,0 35,0,2024-09-07 08:26:00:867,23648,0.7,23901,0.8,47581,0.8,63667,1.75 35,1,2024-09-07 08:26:01:068,151461,151461,0,0,71109317872,739222547,149992,1107,362,384,391587,0 35,2,2024-09-07 08:26:01:583,106492,106492,0,0,4318741,0,2542 35,3,2024-09-07 08:26:00:907,1,86,1,0,219,1088,86,0 36,0,2024-09-07 08:26:01:550,21744,1.6,21681,1.3,43865,1.9,57920,2.50 36,1,2024-09-07 08:26:00:589,150764,150764,0,0,70166384198,737300348,147751,2082,931,366,391543,0 36,2,2024-09-07 08:26:01:754,111867,111867,0,0,5458834,0,3303 36,3,2024-09-07 08:26:00:863,1,86,2,0,378,1150,86,0 37,0,2024-09-07 08:26:01:381,16279,0.3,16130,0.5,32527,0.2,43817,1.75 37,1,2024-09-07 08:26:00:585,150762,150755,0,7,70135989906,740576708,147913,1323,1519,365,391518,0 37,2,2024-09-07 08:26:01:152,110062,110062,0,0,3975718,0,2333 37,3,2024-09-07 08:26:01:770,1,86,91,0,724,1939,86,0 38,0,2024-09-07 08:26:01:450,22192,0.3,21542,0.4,45047,0.2,59312,1.75 38,1,2024-09-07 08:26:01:606,151791,151791,0,0,70985242841,742473876,149633,1711,447,368,391821,0 38,2,2024-09-07 08:26:00:764,107440,107440,0,0,4709020,0,3245 38,3,2024-09-07 08:26:00:998,1,86,1,0,603,1430,86,0 39,0,2024-09-07 08:26:01:765,24113,0.9,23627,0.8,45911,1.2,62857,2.00 39,1,2024-09-07 08:26:00:716,151087,151087,0,0,70476001355,738195420,148453,1996,638,365,391524,0 39,2,2024-09-07 08:26:01:421,106375,106375,0,0,4369185,0,2381 39,3,2024-09-07 08:26:00:715,1,86,1,0,276,1240,86,0 40,0,2024-09-07 08:26:01:515,22698,1.6,23057,1.9,45724,3.4,61274,3.50 40,1,2024-09-07 08:26:00:579,150863,150863,0,0,70251415599,739760552,147607,2502,754,369,391591,0 40,2,2024-09-07 08:26:01:317,110441,110440,1,0,5610147,0,5137 40,3,2024-09-07 08:26:01:155,1,86,1,0,110,825,86,0 41,0,2024-09-07 08:26:01:049,16352,0.5,16705,3.4,32002,0.5,44528,2.25 41,1,2024-09-07 08:26:00:774,150897,150897,0,0,70387086909,738537019,148473,2111,313,370,391742,0 41,2,2024-09-07 08:26:00:763,109705,109705,0,0,5798872,0,3356 41,3,2024-09-07 08:26:01:683,1,86,1,0,168,877,86,0 42,0,2024-09-07 08:26:01:490,21627,0.4,21995,0.6,43715,0.3,58402,2.00 42,1,2024-09-07 08:26:01:444,150327,150327,0,0,70541825293,744260671,147333,2164,830,380,391675,0 42,2,2024-09-07 08:26:01:137,108759,108759,0,0,5082905,0,3568 42,3,2024-09-07 08:26:01:011,1,86,1,0,100,714,86,0 43,0,2024-09-07 08:26:00:940,23195,1.0,22630,1.0,47281,1.2,62010,2.00 43,1,2024-09-07 08:26:00:577,150680,150680,0,0,70761733771,740466921,148413,1515,752,366,391604,0 43,2,2024-09-07 08:26:01:749,106456,106456,0,0,5082881,0,3812 43,3,2024-09-07 08:26:01:749,1,86,2,0,325,1746,86,0 44,0,2024-09-07 08:26:00:905,24650,0.7,24582,0.9,49230,0.8,65721,2.00 44,1,2024-09-07 08:26:00:593,151364,151364,0,0,70849241319,732354805,150343,914,107,356,391569,0 44,2,2024-09-07 08:26:01:275,107956,107956,0,0,3903798,0,1865 44,3,2024-09-07 08:26:01:119,1,86,2,0,817,1360,86,0 45,0,2024-09-07 08:26:01:762,18903,1.3,18504,1.3,38820,1.2,51176,2.00 45,1,2024-09-07 08:26:01:012,151422,151422,0,0,71225136165,738472183,150953,468,1,382,391917,0 45,2,2024-09-07 08:26:01:275,112129,112129,0,0,4558652,0,2628 45,3,2024-09-07 08:26:00:943,1,86,1,0,226,871,86,0 46,0,2024-09-07 08:26:00:965,19572,0.3,19630,0.5,39351,0.2,52307,1.50 46,1,2024-09-07 08:26:00:575,151770,151770,0,0,71156170840,735570244,150917,815,38,367,391514,0 46,2,2024-09-07 08:26:00:597,110939,110939,0,0,4434697,0,2920 46,3,2024-09-07 08:26:01:136,1,86,1,0,315,1118,86,0 47,0,2024-09-07 08:26:01:131,23405,0.4,23673,0.5,47377,0.3,61971,1.75 47,1,2024-09-07 08:26:00:574,151823,151823,0,0,70595882007,728350224,151313,506,4,366,391605,0 47,2,2024-09-07 08:26:00:908,106117,106117,0,0,4364070,0,2558 47,3,2024-09-07 08:26:01:117,1,86,7,0,529,1170,86,0 48,0,2024-09-07 08:26:01:498,24371,0.3,24133,0.5,48174,0.3,64302,1.75 48,1,2024-09-07 08:26:01:036,151433,151433,0,0,70557445661,734233839,150230,1197,6,384,391637,0 48,2,2024-09-07 08:26:00:702,106948,106948,0,0,3655751,0,2083 48,3,2024-09-07 08:26:00:758,1,86,1,0,39,703,86,0 49,0,2024-09-07 08:26:01:750,21490,0.9,20988,0.9,41218,1.0,56650,1.75 49,1,2024-09-07 08:26:01:024,150681,150681,0,0,70988553448,742749497,148942,1029,710,382,391583,0 49,2,2024-09-07 08:26:01:818,112559,112559,0,0,4836060,0,3900 49,3,2024-09-07 08:26:01:416,1,86,1,0,274,866,86,0 50,0,2024-09-07 08:26:01:513,17578,0.2,17338,0.4,35071,0.1,46694,1.50 50,1,2024-09-07 08:26:01:011,151843,151843,0,0,71276055553,740415394,150172,1374,297,368,391530,0 50,2,2024-09-07 08:26:01:076,110605,110605,0,0,4103787,0,2253 50,3,2024-09-07 08:26:01:292,1,86,1,0,335,902,86,0 51,0,2024-09-07 08:26:01:699,23257,0.2,22669,0.4,44483,0.1,60979,1.50 51,1,2024-09-07 08:26:01:685,151343,151343,0,0,71934745240,748338049,149541,1018,784,367,391637,0 51,2,2024-09-07 08:26:01:318,105562,105562,0,0,3369074,0,2448 51,3,2024-09-07 08:26:01:029,1,86,0,0,162,558,86,0 52,0,2024-09-07 08:26:01:425,23742,0.7,23875,0.9,47786,0.9,63478,2.25 52,1,2024-09-07 08:26:00:580,150900,150900,0,0,70238213358,737558912,148385,2050,465,368,391594,0 52,2,2024-09-07 08:26:01:755,106344,106344,0,0,4603567,0,4779 52,3,2024-09-07 08:26:00:677,1,86,0,0,1782,2460,86,0 53,0,2024-09-07 08:26:01:775,21888,1.8,21097,1.3,43991,2.5,58121,2.75 53,1,2024-09-07 08:26:00:778,150879,150879,0,0,71040675624,745579718,148255,2049,575,370,391617,0 53,2,2024-09-07 08:26:01:307,112458,112458,0,0,4189046,0,1902 53,3,2024-09-07 08:26:00:696,1,86,1,0,133,637,86,0 54,0,2024-09-07 08:26:01:620,16327,6.4,16565,1.7,32558,0.6,44732,4.25 54,1,2024-09-07 08:26:00:579,150971,150971,0,0,71153013648,742251346,148861,1794,316,367,391520,0 54,2,2024-09-07 08:26:00:870,110221,110215,6,0,5012722,0,5382 54,3,2024-09-07 08:26:00:765,1,86,1,0,676,1718,86,0 55,0,2024-09-07 08:26:01:770,21690,0.3,22279,0.5,45030,0.2,58942,2.00 55,1,2024-09-07 08:26:00:766,150307,150307,0,0,70301846399,738193925,146824,2781,702,367,391731,0 55,2,2024-09-07 08:26:00:734,108195,108195,0,0,4896891,0,3275 55,3,2024-09-07 08:26:00:676,1,86,1,0,136,870,86,0 56,0,2024-09-07 08:26:01:575,23718,1.9,22395,1.4,46009,2.3,61611,2.50 56,1,2024-09-07 08:26:00:577,150451,150451,0,0,71573026641,759814712,147050,2653,748,382,391678,0 56,2,2024-09-07 08:26:01:314,106517,106517,0,0,5387642,0,3567 56,3,2024-09-07 08:26:01:064,1,86,2,0,297,1031,86,0 57,0,2024-09-07 08:26:00:977,23279,2.9,23012,1.8,46351,4.9,62066,4.25 57,1,2024-09-07 08:26:00:987,150553,150553,0,0,70383271271,736007812,149072,1461,20,368,391760,0 57,2,2024-09-07 08:26:01:336,109416,109416,0,0,5430561,0,3178 57,3,2024-09-07 08:26:01:739,1,86,5,0,359,1697,86,0 58,0,2024-09-07 08:26:00:599,16943,0.6,16607,0.9,34681,0.5,45392,2.75 58,1,2024-09-07 08:26:00:578,151863,151860,0,3,70832110444,737435733,150258,1442,160,369,391516,3 58,2,2024-09-07 08:26:01:076,110948,110948,0,0,5053064,0,2549 58,3,2024-09-07 08:26:01:082,1,86,1,0,219,888,86,0 59,0,2024-09-07 08:26:01:781,20758,0.4,20622,0.7,41344,0.3,55286,2.00 59,1,2024-09-07 08:26:00:812,150773,150773,0,0,71231561535,747495575,148522,1483,768,369,391515,0 59,2,2024-09-07 08:26:00:587,110406,110406,0,0,4564095,0,2604 59,3,2024-09-07 08:26:01:742,1,86,30,0,1015,2067,86,0 60,0,2024-09-07 08:26:01:734,23394,0.5,23589,0.6,46584,0.5,61760,1.75 60,1,2024-09-07 08:26:00:782,152311,152311,0,0,71436261788,742804999,151222,740,349,370,391758,0 60,2,2024-09-07 08:26:01:150,105586,105586,0,0,4083415,0,2142 60,3,2024-09-07 08:26:01:260,1,86,11,0,124,974,86,0 61,0,2024-09-07 08:26:01:538,24429,2.3,24557,1.4,49033,3.6,65449,2.25 61,1,2024-09-07 08:26:00:780,150586,150586,0,0,70653912649,744362305,148024,2026,536,382,391589,0 61,2,2024-09-07 08:26:01:130,107289,107289,0,0,4338525,0,1846 61,3,2024-09-07 08:26:01:690,1,86,14,0,199,1194,86,0 62,0,2024-09-07 08:26:01:724,20815,1.0,21274,1.1,40804,1.4,55454,2.00 62,1,2024-09-07 08:26:01:126,151712,151706,0,6,71372307745,738942625,150451,1217,38,365,391586,6 62,2,2024-09-07 08:26:01:653,112089,112089,0,0,4923563,0,2931 62,3,2024-09-07 08:26:01:147,1,86,1,0,287,689,86,0 63,0,2024-09-07 08:26:01:480,18411,0.3,18280,0.5,36861,0.2,49129,1.75 63,1,2024-09-07 08:26:00:804,152126,152121,0,5,70833685455,732539090,151713,405,3,381,391540,5 63,2,2024-09-07 08:26:00:766,110211,110211,0,0,4425734,0,2674 63,3,2024-09-07 08:26:01:739,1,86,1,0,667,1900,86,0 64,0,2024-09-07 08:26:01:560,23268,0.4,23112,0.6,46367,0.4,61823,1.75 64,1,2024-09-07 08:26:00:763,151203,151203,0,0,70896463534,745573621,148753,1655,795,371,391783,0 64,2,2024-09-07 08:26:01:148,105758,105739,19,0,4742341,0,6121 64,3,2024-09-07 08:26:01:144,1,86,1,0,265,1072,86,0 65,0,2024-09-07 08:26:01:808,23217,1.9,23546,1.3,47071,2.4,62938,3.25 65,1,2024-09-07 08:26:00:880,150686,150686,0,0,71036074517,744645806,148975,1492,219,382,391770,0 65,2,2024-09-07 08:26:01:709,107454,107454,0,0,5020057,0,3367 65,3,2024-09-07 08:26:01:687,1,86,40,0,163,942,86,0 66,0,2024-09-07 08:26:01:781,21276,0.7,21541,0.9,43055,0.7,57354,2.25 66,1,2024-09-07 08:26:01:294,151938,151938,0,0,71064541000,736934623,151247,670,21,380,391588,0 66,2,2024-09-07 08:26:01:140,113325,113325,0,0,4615646,0,3867 66,3,2024-09-07 08:26:01:079,1,86,16,0,291,981,86,0 67,0,2024-09-07 08:26:01:436,16439,0.4,16409,0.6,32588,0.2,43764,2.00 67,1,2024-09-07 08:26:00:771,150838,150837,0,1,70613734307,741030290,148813,1499,525,382,391787,1 67,2,2024-09-07 08:26:00:598,110699,110699,0,0,4224485,0,2889 67,3,2024-09-07 08:26:01:750,1,86,2,0,138,711,86,0 68,0,2024-09-07 08:26:00:582,22366,0.4,22288,0.6,44525,0.3,59259,1.75 68,1,2024-09-07 08:26:00:585,150518,150518,0,0,70702714023,741004724,149095,901,522,381,391953,0 68,2,2024-09-07 08:26:01:050,107065,107065,0,0,4226784,0,4883 68,3,2024-09-07 08:26:00:746,1,86,3,0,151,959,86,0 69,0,2024-09-07 08:26:01:751,23515,1.4,23413,1.1,46806,1.8,62862,2.50 69,1,2024-09-07 08:26:01:017,150536,150536,0,0,70608491682,747129419,148536,1133,867,384,391611,0 69,2,2024-09-07 08:26:01:738,106519,106519,0,0,4863829,0,3153 69,3,2024-09-07 08:26:00:772,1,86,1,0,238,1205,86,0 70,0,2024-09-07 08:26:01:566,22772,3.0,22839,2.2,45987,1.9,60926,4.50 70,1,2024-09-07 08:26:00:812,151575,151575,0,0,71439026940,739422750,150656,784,135,368,391527,0 70,2,2024-09-07 08:26:01:333,110264,110264,0,0,4763337,0,4044 70,3,2024-09-07 08:26:00:752,1,86,1,0,178,531,86,0 71,0,2024-09-07 08:26:01:380,16375,1.1,16435,2.4,32540,1.5,44695,3.75 71,1,2024-09-07 08:26:01:598,151351,151351,0,0,70961477181,741504228,149149,1886,316,368,391682,0 71,2,2024-09-07 08:26:01:078,110730,110730,0,0,4496027,0,2146 71,3,2024-09-07 08:26:01:759,1,86,4,0,219,1058,86,0 72,0,2024-09-07 08:26:01:054,22459,0.3,21886,0.5,43141,0.2,58637,2.25 72,1,2024-09-07 08:26:01:032,151539,151539,0,0,70729076548,737002700,149986,1475,78,370,391556,0 72,2,2024-09-07 08:26:01:769,108592,108592,0,0,5755013,0,2570 72,3,2024-09-07 08:26:01:757,1,86,1,0,325,1419,86,0 73,0,2024-09-07 08:26:01:118,22824,0.4,23383,0.6,47550,0.4,61695,2.25 73,1,2024-09-07 08:26:00:771,151236,151236,0,0,70718683610,735316676,150267,925,44,368,391627,0 73,2,2024-09-07 08:26:01:744,107111,107111,0,0,5191752,0,3482 73,3,2024-09-07 08:26:00:969,1,86,2,0,274,1411,86,0 74,0,2024-09-07 08:26:01:382,24672,1.2,25082,1.2,48109,1.8,65196,3.00 74,1,2024-09-07 08:26:00:635,150848,150848,0,0,70610124670,738456005,148947,1329,572,382,391511,0 74,2,2024-09-07 08:26:01:024,107782,107782,0,0,5552585,0,4253 74,3,2024-09-07 08:26:01:444,1,86,1,0,246,1487,86,0 75,0,2024-09-07 08:26:01:780,19273,1.8,19243,1.4,38312,2.3,51253,3.00 75,1,2024-09-07 08:26:01:598,151104,151104,0,0,70903556610,742068741,149402,1598,104,381,391579,0 75,2,2024-09-07 08:26:01:357,111657,111657,0,0,5398978,0,4766 75,3,2024-09-07 08:26:01:068,1,86,1,0,143,623,86,0 76,0,2024-09-07 08:26:00:645,19701,0.3,19328,0.5,38774,0.2,51930,2.00 76,1,2024-09-07 08:26:00:822,151032,151032,0,0,70298676834,738098853,149219,1307,506,382,391530,0 76,2,2024-09-07 08:26:01:067,111082,111082,0,0,4458014,0,3064 76,3,2024-09-07 08:26:01:144,1,86,1,0,175,1064,86,0 77,0,2024-09-07 08:26:01:706,23416,0.6,23639,0.8,47262,0.7,61897,1.75 77,1,2024-09-07 08:26:00:861,150972,150972,0,0,70691032528,737243492,149930,973,69,383,391516,0 77,2,2024-09-07 08:26:01:285,105701,105701,0,0,3806926,0,1695 77,3,2024-09-07 08:26:01:116,1,86,1,0,139,874,86,0 78,0,2024-09-07 08:26:01:730,24129,0.8,24073,1.0,48135,1.0,64053,2.25 78,1,2024-09-07 08:26:00:611,151608,151608,0,0,70232719192,731689382,150186,1217,205,367,391589,0 78,2,2024-09-07 08:26:01:406,107074,107074,0,0,4023203,0,2114 78,3,2024-09-07 08:26:01:137,1,86,1,0,181,1312,86,0 79,0,2024-09-07 08:26:01:372,20192,0.7,20675,1.0,42312,0.8,55670,2.75 79,1,2024-09-07 08:26:00:575,151747,151747,0,0,71124019359,738848838,150407,1271,69,370,391682,0 79,2,2024-09-07 08:26:01:076,112760,112760,0,0,4555855,0,2679 79,3,2024-09-07 08:26:00:753,1,86,1,0,289,935,86,0 80,0,2024-09-07 08:26:01:089,17386,0.4,17931,0.6,34487,0.3,46485,1.75 80,1,2024-09-07 08:26:01:619,150848,150848,0,0,70679829077,736421489,149254,1479,115,369,391673,0 80,2,2024-09-07 08:26:01:108,110840,110840,0,0,4661722,0,4433 80,3,2024-09-07 08:26:00:582,1,86,2,1,190,1429,86,0 81,0,2024-09-07 08:26:01:579,22642,0.4,23303,0.6,44679,0.4,60882,1.75 81,1,2024-09-07 08:26:01:658,151218,151218,0,0,70316653838,737936381,149327,1693,198,383,391680,0 81,2,2024-09-07 08:26:01:130,105336,105336,0,0,4782139,0,3993 81,3,2024-09-07 08:26:01:117,1,86,2,0,193,903,86,0 82,0,2024-09-07 08:26:01:569,23673,0.8,23611,1.0,47534,1.0,63544,2.25 82,1,2024-09-07 08:26:00:596,151048,151044,0,4,70937994683,742091095,149004,1468,572,382,391558,4 82,2,2024-09-07 08:26:01:691,107646,107646,0,0,3974607,0,2230 82,3,2024-09-07 08:26:01:757,1,86,1,0,227,1408,86,0 83,0,2024-09-07 08:26:01:567,21981,1.5,21911,1.3,43475,1.8,57873,2.75 83,1,2024-09-07 08:26:00:566,150915,150915,0,0,70682604510,738777084,149494,1320,101,383,391553,0 83,2,2024-09-07 08:26:00:766,110793,110793,0,0,4949404,0,3119 83,3,2024-09-07 08:26:00:757,1,86,1,0,91,697,86,0 84,0,2024-09-07 08:26:01:817,16769,1.9,16550,2.3,33152,0.6,45382,2.75 84,1,2024-09-07 08:26:01:049,150918,150918,0,0,70628456507,740019580,149295,1374,249,369,391638,0 84,2,2024-09-07 08:26:00:590,109947,109947,0,0,4854035,0,3801 84,3,2024-09-07 08:26:01:147,1,86,10,1,58,854,86,0 85,0,2024-09-07 08:26:01:038,21456,0.3,21456,0.5,45514,0.2,59120,1.75 85,1,2024-09-07 08:26:00:564,150647,150647,0,0,70444829245,744619789,148184,2034,429,383,391679,0 85,2,2024-09-07 08:26:00:870,108178,108178,0,0,5110275,0,3656 85,3,2024-09-07 08:26:00:697,1,86,1,0,115,801,86,0 86,0,2024-09-07 08:26:00:903,23063,0.8,23875,0.8,45903,0.9,61653,2.00 86,1,2024-09-07 08:26:00:825,150758,150758,0,0,71039798169,742739332,148954,1587,217,367,391622,0 86,2,2024-09-07 08:26:00:855,105971,105970,1,0,5374734,0,5004 86,3,2024-09-07 08:26:00:588,1,86,2,0,199,930,86,0 87,0,2024-09-07 08:26:01:339,23702,2.7,23514,1.6,47222,3.9,63262,3.00 87,1,2024-09-07 08:26:00:568,151090,151090,0,0,71046810901,743495944,149502,1467,121,366,391788,0 87,2,2024-09-07 08:26:01:069,109152,109152,0,0,4128234,0,2859 87,3,2024-09-07 08:26:01:798,1,86,1,1,322,1290,86,0 88,0,2024-09-07 08:26:01:473,17601,0.6,17891,0.8,35455,0.7,47195,1.75 88,1,2024-09-07 08:26:00:586,150739,150739,0,0,70895944991,740686815,149523,1119,97,367,391787,0 88,2,2024-09-07 08:26:00:693,111415,111415,0,0,5675612,0,3583 88,3,2024-09-07 08:26:01:270,1,86,3,1,77,1031,86,0 89,0,2024-09-07 08:26:01:803,21311,0.3,20607,0.5,40875,0.3,55887,1.50 89,1,2024-09-07 08:26:00:567,150238,150238,0,0,71113676794,756155588,146909,2593,736,382,391866,0 89,2,2024-09-07 08:26:01:136,109955,109955,0,0,5141614,0,2726 89,3,2024-09-07 08:26:01:792,1,86,3,0,325,1965,86,0 90,0,2024-09-07 08:26:01:624,22644,0.4,23071,0.6,47469,0.4,61657,1.75 90,1,2024-09-07 08:26:00:597,150990,150990,0,0,70548201781,743217820,148929,1918,143,382,391825,0 90,2,2024-09-07 08:26:01:407,104686,104686,0,0,5698300,0,2635 90,3,2024-09-07 08:26:00:942,1,86,5,1,200,1021,86,0 91,0,2024-09-07 08:26:01:023,24956,0.6,24210,0.7,50173,0.7,65864,1.75 91,1,2024-09-07 08:26:00:566,150817,150817,0,0,70914744007,747880746,148412,2040,365,383,391914,0 91,2,2024-09-07 08:26:01:341,106609,106609,0,0,4882143,0,1997 91,3,2024-09-07 08:26:00:603,1,86,1,0,155,1190,86,0 92,0,2024-09-07 08:26:01:516,20996,0.8,21467,0.9,40991,1.0,55646,1.75 92,1,2024-09-07 08:26:00:580,151378,151378,0,0,70837481428,738276012,150714,595,69,382,391717,0 92,2,2024-09-07 08:26:01:354,113943,113943,0,0,4835153,0,2279 92,3,2024-09-07 08:26:01:013,1,86,2,0,68,908,86,0 93,0,2024-09-07 08:26:01:009,18503,0.3,18803,0.5,35956,0.2,49208,1.75 93,1,2024-09-07 08:26:00:812,151207,151207,0,0,71305849461,746483394,149442,1329,436,367,391689,0 93,2,2024-09-07 08:26:00:941,110535,110535,0,0,4373340,0,2509 93,3,2024-09-07 08:26:01:413,1,86,8,0,143,826,86,0 94,0,2024-09-07 08:26:01:621,23459,0.3,23653,0.5,46781,0.3,61837,1.50 94,1,2024-09-07 08:26:00:563,150557,150557,0,0,70139631705,736921280,148961,1530,66,381,391850,0 94,2,2024-09-07 08:26:00:769,104818,104818,0,0,4283275,0,2443 94,3,2024-09-07 08:26:01:694,1,86,4,1,231,1371,86,0 95,0,2024-09-07 08:26:01:382,23848,0.4,23760,0.6,47950,0.4,63722,1.75 95,1,2024-09-07 08:26:00:855,151305,151305,0,0,70791037008,736067030,150493,748,64,367,391590,0 95,2,2024-09-07 08:26:01:023,107034,107034,0,0,4651813,0,3308 95,3,2024-09-07 08:26:01:708,1,86,1,0,307,1363,86,0 96,0,2024-09-07 08:26:01:040,21971,0.8,21847,0.8,43613,0.8,57836,1.75 96,1,2024-09-07 08:26:01:692,151106,151106,0,0,70834616125,744223348,149262,1273,571,385,391596,0 96,2,2024-09-07 08:26:01:274,112672,112672,0,0,5092277,0,4038 96,3,2024-09-07 08:26:01:148,1,86,18,0,36,767,86,0 97,0,2024-09-07 08:26:01:363,16231,0.3,16249,0.5,32768,0.2,43782,1.50 97,1,2024-09-07 08:26:00:773,151098,151098,0,0,71078203509,743414584,148901,1678,519,367,392140,0 97,2,2024-09-07 08:26:00:623,109740,109740,0,0,4297316,0,3036 97,3,2024-09-07 08:26:00:590,1,86,19,1,165,1066,86,0 98,0,2024-09-07 08:26:01:730,22212,0.2,22070,0.4,44793,0.2,59435,1.50 98,1,2024-09-07 08:26:00:585,151110,151110,0,0,70634756941,737740876,150031,1034,45,382,391997,0 98,2,2024-09-07 08:26:00:773,108017,108017,0,0,4467726,0,3080 98,3,2024-09-07 08:26:00:702,1,86,2,1,155,1059,86,0 99,0,2024-09-07 08:26:01:469,23521,0.4,23472,0.5,46874,0.3,62776,1.75 99,1,2024-09-07 08:26:01:736,151504,151504,0,0,70473887303,736584130,150006,1052,446,381,391744,0 99,2,2024-09-07 08:26:01:418,107742,107742,0,0,4639877,0,1858 99,3,2024-09-07 08:26:00:589,1,86,3,0,129,757,86,0 100,0,2024-09-07 08:26:01:510,23131,1.7,22951,2.2,45814,4.7,61788,2.50 100,1,2024-09-07 08:26:00:559,150278,150278,0,0,70292126708,745954394,147528,2405,345,381,391989,0 100,2,2024-09-07 08:26:01:833,110021,110010,11,0,5680735,0,5417 100,3,2024-09-07 08:26:01:737,1,86,2,0,443,2021,86,0 101,0,2024-09-07 08:26:01:723,16965,2.9,16541,1.5,32671,0.7,45711,2.50 101,1,2024-09-07 08:26:00:566,150652,150652,0,0,71052496847,745195975,149165,1037,450,369,391709,0 101,2,2024-09-07 08:26:01:757,110153,110153,0,0,4970715,0,4644 101,3,2024-09-07 08:26:00:949,1,86,1,0,448,1137,86,0 102,0,2024-09-07 08:26:01:028,21322,0.3,22085,0.5,44350,0.3,58369,1.75 102,1,2024-09-07 08:26:01:153,150666,150666,0,0,70375046565,742470352,148189,2008,469,369,391831,0 102,2,2024-09-07 08:26:01:746,109905,109905,0,0,4155003,0,1945 102,3,2024-09-07 08:26:01:619,1,86,1,0,410,929,86,0 103,0,2024-09-07 08:26:01:616,23963,0.5,23830,0.7,45327,0.5,62125,1.75 103,1,2024-09-07 08:26:01:629,150767,150767,0,0,70837978448,741918728,149534,1089,144,381,391680,0 103,2,2024-09-07 08:26:00:588,105801,105801,0,0,4478726,0,2104 103,3,2024-09-07 08:26:00:757,1,86,1,1,486,1155,86,0 104,0,2024-09-07 08:26:01:031,24518,1.6,24447,1.3,47957,2.0,65480,2.50 104,1,2024-09-07 08:26:01:601,151400,151400,0,0,70439191828,741142522,149440,1634,326,366,391948,0 104,2,2024-09-07 08:26:01:686,107195,107195,0,0,5269298,0,3941 104,3,2024-09-07 08:26:01:418,1,86,7,1,1245,3959,86,0 105,0,2024-09-07 08:26:01:069,19043,0.9,18417,1.4,38284,1.3,51023,3.25 105,1,2024-09-07 08:26:00:569,150659,150659,0,0,70531664293,744336730,147702,2210,747,367,391797,0 105,2,2024-09-07 08:26:01:336,111646,111646,0,0,5039261,0,3314 105,3,2024-09-07 08:26:01:307,1,86,4,1,399,1698,86,0 106,0,2024-09-07 08:26:01:040,18903,0.3,19457,0.5,39470,0.2,52026,1.75 106,1,2024-09-07 08:26:01:752,151150,151150,0,0,70396154631,740469364,148732,2090,328,370,391767,0 106,2,2024-09-07 08:26:00:764,109500,109500,0,0,5024097,0,2795 106,3,2024-09-07 08:26:00:688,1,86,4,1,201,934,86,0 107,0,2024-09-07 08:26:01:161,23655,0.5,23485,0.7,46710,0.4,62191,1.75 107,1,2024-09-07 08:26:00:597,150384,150384,0,0,70681188785,745618782,148439,1755,190,382,392234,0 107,2,2024-09-07 08:26:01:292,105062,105061,1,0,4762884,0,5024 107,3,2024-09-07 08:26:01:755,1,86,1,0,353,1412,86,0 108,0,2024-09-07 08:26:01:874,24265,0.5,24493,0.7,48258,0.6,64310,1.75 108,1,2024-09-07 08:26:01:318,150904,150904,0,0,70763797200,740949522,149428,1342,134,371,391857,0 108,2,2024-09-07 08:26:01:767,106667,106667,0,0,4776229,0,2647 108,3,2024-09-07 08:26:01:342,1,86,13,0,73,1102,86,0 109,0,2024-09-07 08:26:01:812,21015,0.7,21218,0.8,41939,0.9,56663,1.75 109,1,2024-09-07 08:26:00:593,150701,150701,0,0,71206822241,750120620,149031,1287,383,383,391812,0 109,2,2024-09-07 08:26:00:937,111355,111355,0,0,5235284,0,3617 109,3,2024-09-07 08:26:01:144,1,86,1,1,249,1422,86,0 110,0,2024-09-07 08:26:01:761,17555,0.2,17022,0.4,35480,0.2,46370,1.50 110,1,2024-09-07 08:26:01:649,151338,151338,0,0,70834385038,737229480,150014,1037,287,370,391588,0 110,2,2024-09-07 08:26:01:329,110620,110620,0,0,4382447,0,2915 110,3,2024-09-07 08:26:00:704,1,86,13,0,183,775,86,0 111,0,2024-09-07 08:26:01:431,22739,0.2,22474,0.4,44904,0.1,60942,1.50 111,1,2024-09-07 08:26:01:012,151884,151884,0,0,71841935600,743625627,151236,634,14,382,391690,0 111,2,2024-09-07 08:26:01:132,105999,105999,0,0,4520069,0,2763 111,3,2024-09-07 08:26:00:915,1,86,1,0,300,1622,86,0 112,0,2024-09-07 08:26:00:936,23949,0.4,23960,0.5,47578,0.3,63812,1.75 112,1,2024-09-07 08:26:00:827,151111,151111,0,0,71379232878,744434936,149598,1167,346,381,391580,0 112,2,2024-09-07 08:26:01:140,107050,107049,1,0,4870932,0,5036 112,3,2024-09-07 08:26:00:596,1,86,3,0,282,908,86,0 113,0,2024-09-07 08:26:00:877,21988,0.5,21875,0.7,44459,0.5,59032,1.75 113,1,2024-09-07 08:26:01:700,151453,151453,0,0,71329066841,741555737,149560,1509,384,368,391661,0 113,2,2024-09-07 08:26:01:322,112104,112104,0,0,4068852,0,3021 113,3,2024-09-07 08:26:00:693,1,86,4,0,288,1469,86,0 114,0,2024-09-07 08:26:00:884,17083,2.9,17269,1.3,33886,0.6,45925,2.00 114,1,2024-09-07 08:26:00:730,151267,151267,0,0,70971665768,746090548,148639,1747,881,381,391531,0 114,2,2024-09-07 08:26:00:873,109901,109901,0,0,4564058,0,3925 114,3,2024-09-07 08:26:01:285,1,86,6,0,159,952,86,0 115,0,2024-09-07 08:26:00:576,21955,0.2,22188,0.3,44555,0.1,59174,1.50 115,1,2024-09-07 08:26:00:578,151084,151084,0,0,71035488462,743593782,148709,1888,487,383,391528,0 115,2,2024-09-07 08:26:01:128,108817,108817,0,0,3948689,0,2152 115,3,2024-09-07 08:26:01:011,1,86,1,0,159,562,86,0 116,0,2024-09-07 08:26:01:726,23295,0.8,22876,0.9,46355,1.0,61700,2.00 116,1,2024-09-07 08:26:00:815,150711,150711,0,0,70972593173,750592736,148818,1022,871,382,391605,0 116,2,2024-09-07 08:26:01:751,106114,106114,0,0,5056573,0,3529 116,3,2024-09-07 08:26:00:919,1,86,14,1,252,1361,86,0 117,0,2024-09-07 08:26:00:971,23680,2.1,23731,1.4,47185,3.3,63337,2.25 117,1,2024-09-07 08:26:01:578,151218,151218,0,0,70506456969,742167876,148893,2031,294,370,392033,0 117,2,2024-09-07 08:26:01:120,109496,109496,0,0,4490632,0,3700 117,3,2024-09-07 08:26:01:061,1,86,6,0,490,1895,86,0 118,0,2024-09-07 08:26:01:799,17253,0.7,17634,0.8,36134,0.7,47033,2.00 118,1,2024-09-07 08:26:00:586,151151,151151,0,0,69674136384,729598483,149904,1167,80,368,391736,0 118,2,2024-09-07 08:26:01:597,111389,111389,0,0,4903166,0,2781 118,3,2024-09-07 08:26:01:771,1,86,14,1,235,1295,86,0 119,0,2024-09-07 08:26:01:417,20433,0.3,20435,0.4,41638,0.2,55365,1.75 119,1,2024-09-07 08:26:00:565,151411,151411,0,0,71148963816,744587621,149790,1472,149,370,391641,0 119,2,2024-09-07 08:26:01:300,109441,109441,0,0,4646110,0,2532 119,3,2024-09-07 08:26:01:334,1,86,8,0,443,2228,86,0 120,0,2024-09-07 08:26:01:579,23082,0.6,23197,0.8,46053,0.6,61629,2.00 120,1,2024-09-07 08:26:00:869,150966,150966,0,0,71118806491,746305429,149434,1516,16,368,391702,0 120,2,2024-09-07 08:26:00:772,105089,105088,1,0,5727188,0,5281 120,3,2024-09-07 08:26:01:292,1,86,5,0,241,1462,86,0 121,0,2024-09-07 08:26:01:707,24394,2.2,24662,1.4,49242,3.5,65781,2.50 121,1,2024-09-07 08:26:01:668,151003,151003,0,0,70327844550,737271590,149119,1613,271,368,391840,0 121,2,2024-09-07 08:26:01:137,106478,106478,0,0,6063578,0,4127 121,3,2024-09-07 08:26:00:727,1,86,2,0,113,894,86,0 122,0,2024-09-07 08:26:01:914,20701,2.1,20011,1.7,41742,2.4,55693,2.25 122,1,2024-09-07 08:26:00:865,150131,150131,0,0,70803285790,747949616,147119,2488,524,368,392130,0 122,2,2024-09-07 08:26:01:358,112726,112726,0,0,6114108,0,3364 122,3,2024-09-07 08:26:00:596,1,86,5,1,298,1861,86,0 123,0,2024-09-07 08:26:01:009,18256,0.3,17801,0.5,37210,0.2,48980,1.75 123,1,2024-09-07 08:26:00:572,151452,151452,0,0,70866439309,750896960,147983,2901,568,369,391823,0 123,2,2024-09-07 08:26:01:023,109885,109884,1,0,5038843,0,5215 123,3,2024-09-07 08:26:01:137,1,86,3,1,160,1141,86,0 124,0,2024-09-07 08:26:00:930,23985,0.3,24016,0.5,45358,0.2,62115,1.50 124,1,2024-09-07 08:26:01:038,150884,150884,0,0,70133246090,727984689,150078,661,145,367,392178,0 124,2,2024-09-07 08:26:01:013,105022,105022,0,0,4006747,0,2477 124,3,2024-09-07 08:26:00:764,1,86,1,1,490,1678,86,0 125,0,2024-09-07 08:26:01:465,24021,0.6,23968,0.7,47904,0.7,63711,1.75 125,1,2024-09-07 08:26:00:860,150983,150983,0,0,70781965005,741771784,149228,1483,272,384,391702,0 125,2,2024-09-07 08:26:01:129,107311,107311,0,0,4445279,0,2180 125,3,2024-09-07 08:26:01:129,1,86,2,1,93,1137,86,0 126,0,2024-09-07 08:26:01:458,21884,1.1,22454,1.0,43028,1.4,58260,2.00 126,1,2024-09-07 08:26:00:572,151779,151779,0,0,71301269399,740321465,150727,997,55,365,391987,0 126,2,2024-09-07 08:26:00:619,112413,112413,0,0,4861363,0,3186 126,3,2024-09-07 08:26:00:921,1,86,0,0,122,1202,86,0 127,0,2024-09-07 08:26:01:702,16382,0.2,16294,0.4,32698,0.2,43787,1.50 127,1,2024-09-07 08:26:00:580,151161,151161,0,0,70383670015,730415333,150018,1120,23,365,391614,0 127,2,2024-09-07 08:26:00:642,110412,110412,0,0,4057443,0,1803 127,3,2024-09-07 08:26:01:276,1,86,9,0,99,669,86,0 128,0,2024-09-07 08:26:01:658,22435,0.2,22422,0.4,44354,0.2,59460,1.50 128,1,2024-09-07 08:26:01:671,151159,151159,0,0,70958282550,736100470,150426,663,70,367,391680,0 128,2,2024-09-07 08:26:01:435,106685,106685,0,0,4699114,0,2107 128,3,2024-09-07 08:26:00:767,1,86,2,1,112,999,86,0 129,0,2024-09-07 08:26:01:030,23581,0.4,23561,0.5,46922,0.4,62708,1.75 129,1,2024-09-07 08:26:00:580,150931,150931,0,0,71018382602,745379527,149072,1584,275,379,391835,0 129,2,2024-09-07 08:26:00:699,106305,106305,0,0,4138922,0,2446 129,3,2024-09-07 08:26:00:696,1,86,6,1,173,1286,86,0 130,0,2024-09-07 08:26:01:737,23326,1.4,23180,1.0,46497,1.9,62328,2.00 130,1,2024-09-07 08:26:00:601,151008,151008,0,0,70941561407,741082279,149692,1283,33,381,391609,0 130,2,2024-09-07 08:26:01:163,110458,110458,0,0,4859096,0,4067 130,3,2024-09-07 08:26:01:303,1,86,15,0,207,675,86,0 131,0,2024-09-07 08:26:02:009,16947,0.8,16857,0.8,34091,1.1,45590,1.75 131,1,2024-09-07 08:26:01:827,152042,152042,0,0,71027111833,740546889,151018,837,187,384,391865,0 131,2,2024-09-07 08:26:00:571,110871,110871,0,0,4043318,0,2415 131,3,2024-09-07 08:26:01:700,1,86,1,0,392,1156,86,0 132,0,2024-09-07 08:26:01:510,21638,0.3,21959,0.5,43734,0.2,58574,1.75 132,1,2024-09-07 08:26:00:615,150498,150498,0,0,70657345001,746067207,147907,1885,706,381,391719,0 132,2,2024-09-07 08:26:00:708,109101,109101,0,0,6280676,0,4606 132,3,2024-09-07 08:26:01:701,1,86,11,1,356,1104,86,0 133,0,2024-09-07 08:26:01:636,22779,0.5,23141,0.6,47695,0.5,61455,1.75 133,1,2024-09-07 08:26:00:596,149835,149835,0,0,70540992405,744909819,147616,2123,96,383,391755,0 133,2,2024-09-07 08:26:01:122,106214,106214,0,0,5392342,0,2444 133,3,2024-09-07 08:26:01:302,1,86,3,1,187,706,86,0 134,0,2024-09-07 08:26:00:957,24629,0.9,24556,1.0,49330,1.0,65430,2.00 134,1,2024-09-07 08:26:00:589,151177,151177,0,0,70145113918,738618235,148533,1663,981,366,391718,0 134,2,2024-09-07 08:26:01:765,107793,107793,0,0,4063525,0,2026 134,3,2024-09-07 08:26:00:749,1,86,1,0,739,2038,86,0 135,0,2024-09-07 08:26:01:138,18692,1.5,18763,1.5,39568,1.5,50737,2.25 135,1,2024-09-07 08:26:01:587,150623,150623,0,0,70358159374,739111595,148672,1623,328,380,391703,0 135,2,2024-09-07 08:26:00:699,112416,112416,0,0,5058316,0,3981 135,3,2024-09-07 08:26:01:014,1,86,5,0,68,513,86,0 136,0,2024-09-07 08:26:01:653,19600,0.3,19533,0.6,39150,0.2,52398,2.00 136,1,2024-09-07 08:26:01:463,150943,150943,0,0,70714905233,742212222,149036,1760,147,384,391621,0 136,2,2024-09-07 08:26:01:146,111163,111163,0,0,4535402,0,2379 136,3,2024-09-07 08:26:01:122,1,86,2,0,108,893,86,0 137,0,2024-09-07 08:26:00:957,24156,0.6,23372,0.8,46304,0.6,62335,2.00 137,1,2024-09-07 08:26:00:584,150763,150763,0,0,70705419447,740585492,148783,1866,114,367,391608,0 137,2,2024-09-07 08:26:01:712,104568,104568,0,0,5530476,0,2632 137,3,2024-09-07 08:26:00:774,1,86,2,1,227,1145,86,0 138,0,2024-09-07 08:26:01:771,23514,2.4,23677,1.6,48131,3.7,64226,2.75 138,1,2024-09-07 08:26:01:708,150834,150834,0,0,71011467356,745632540,148498,2072,264,369,391954,0 138,2,2024-09-07 08:26:00:600,107329,107329,0,0,4782744,0,3263 138,3,2024-09-07 08:26:00:622,1,86,4,0,1160,1983,86,0 139,0,2024-09-07 08:26:01:397,20459,4.3,20473,2.3,41401,5.4,55964,3.75 139,1,2024-09-07 08:26:00:581,150361,150361,0,0,70443283855,749422985,147468,2164,729,381,391892,0 139,2,2024-09-07 08:26:00:719,111689,111689,0,0,6089228,0,3097 139,3,2024-09-07 08:26:01:668,1,86,2,0,244,1370,86,0 140,0,2024-09-07 08:26:01:605,17446,0.2,17377,0.4,34949,0.1,46397,1.50 140,1,2024-09-07 08:26:01:555,151598,151598,0,0,70823047495,732157526,150668,733,197,365,391606,0 140,2,2024-09-07 08:26:00:689,111133,111133,0,0,4824666,0,3388 140,3,2024-09-07 08:26:00:767,1,86,1,0,25,592,86,0 141,0,2024-09-07 08:26:01:717,22389,0.2,23158,0.4,44680,0.1,60990,1.50 141,1,2024-09-07 08:26:00:864,151238,151238,0,0,70772382022,736896575,149815,1092,331,382,391538,0 141,2,2024-09-07 08:26:01:691,105646,105646,0,0,4295103,0,2342 141,3,2024-09-07 08:26:01:045,1,86,2,0,147,629,86,0 142,0,2024-09-07 08:26:01:383,24193,0.4,23872,0.6,47502,0.3,63871,1.75 142,1,2024-09-07 08:26:00:618,151574,151574,0,0,71103467787,740157693,151014,545,15,384,391649,0 142,2,2024-09-07 08:26:01:340,106169,106169,0,0,4703273,0,2293 142,3,2024-09-07 08:26:01:754,1,86,9,0,484,1614,86,0 143,0,2024-09-07 08:26:01:403,22064,1.1,22197,0.9,44579,1.4,58839,2.00 143,1,2024-09-07 08:26:00:563,151242,151242,0,0,70851167148,734859451,150260,953,29,367,391619,0 143,2,2024-09-07 08:26:00:775,111638,111638,0,0,5191252,0,2669 143,3,2024-09-07 08:26:01:149,1,86,2,0,236,1196,86,0 144,0,2024-09-07 08:26:01:540,16328,0.9,16805,5.1,33990,0.6,45553,2.25 144,1,2024-09-07 08:26:00:583,150877,150877,0,0,70730184477,743165263,149299,1385,193,381,391638,0 144,2,2024-09-07 08:26:01:771,110451,110451,0,0,4399248,0,3473 144,3,2024-09-07 08:26:01:764,1,86,2,1,169,1297,86,0 145,0,2024-09-07 08:26:01:414,21436,0.3,21463,0.5,45369,0.3,59241,1.75 145,1,2024-09-07 08:26:00:564,150245,150245,0,0,70623319517,742728797,148314,1666,265,383,391615,0 145,2,2024-09-07 08:26:01:431,108654,108654,0,0,5200811,0,3903 145,3,2024-09-07 08:26:00:897,1,86,2,0,151,1089,86,0 146,0,2024-09-07 08:26:01:684,23065,0.6,23030,0.8,46375,0.7,61274,2.25 146,1,2024-09-07 08:26:01:623,151198,151198,0,0,70346619176,738965593,148898,1997,303,368,391600,0 146,2,2024-09-07 08:26:01:725,105652,105652,0,0,4777826,0,2379 146,3,2024-09-07 08:26:01:286,1,86,16,0,1520,3174,86,0 147,0,2024-09-07 08:26:01:749,23531,2.6,23305,1.6,46380,3.9,63248,3.00 147,1,2024-09-07 08:26:01:376,151232,151232,0,0,71086444847,740052343,149509,1367,356,368,391791,0 147,2,2024-09-07 08:26:01:015,109413,109413,0,0,4868518,0,2789 147,3,2024-09-07 08:26:00:918,1,86,5,1,141,855,86,0 0,0,2024-09-07 08:26:11:840,22644,0.6,22642,0.8,47930,0.7,61858,1.75 0,1,2024-09-07 08:26:10:841,152969,152969,0,0,72035253470,756180151,151741,1101,127,372,391692,0 0,2,2024-09-07 08:26:11:069,106504,106504,0,0,4844018,0,4480 0,3,2024-09-07 08:26:11:010,1,87,30,0,247,1465,87,0 1,0,2024-09-07 08:26:11:840,24686,2.5,24471,1.7,49372,3.4,65877,2.75 1,1,2024-09-07 08:26:10:602,152457,152457,0,0,71192025455,748147005,150682,1246,529,372,391857,0 1,2,2024-09-07 08:26:10:655,108083,108083,0,0,4655961,0,3267 1,3,2024-09-07 08:26:11:314,1,87,2,0,167,824,87,0 2,0,2024-09-07 08:26:11:586,20699,1.0,20864,1.2,41412,1.4,55410,2.25 2,1,2024-09-07 08:26:10:868,153048,153048,0,0,71861369663,748239256,151966,878,204,381,391558,0 2,2,2024-09-07 08:26:11:410,113143,113143,0,0,4872964,0,3304 2,3,2024-09-07 08:26:10:690,1,87,1,0,214,872,87,0 3,0,2024-09-07 08:26:11:776,18802,0.3,18940,0.5,37708,0.2,50359,1.75 3,1,2024-09-07 08:26:11:624,152029,152029,0,0,71210230308,744510726,150050,1593,386,382,391516,0 3,2,2024-09-07 08:26:11:141,111809,111786,23,0,5111116,0,5851 3,3,2024-09-07 08:26:11:767,1,87,4,0,103,602,87,0 4,0,2024-09-07 08:26:11:844,22792,0.3,23503,0.4,47421,0.3,62202,1.50 4,1,2024-09-07 08:26:10:591,152448,152448,0,0,71248294387,751021730,150546,1483,419,371,391846,0 4,2,2024-09-07 08:26:11:033,105730,105730,0,0,5557582,0,4528 4,3,2024-09-07 08:26:11:038,1,87,15,1,287,1437,87,0 5,0,2024-09-07 08:26:11:480,24240,0.7,24038,0.7,48495,0.8,64389,1.75 5,1,2024-09-07 08:26:10:790,152603,152603,0,0,71547453400,753466585,150381,1778,444,368,392005,0 5,2,2024-09-07 08:26:11:841,108193,108193,0,0,4584648,0,2259 5,3,2024-09-07 08:26:11:740,1,87,8,0,238,1639,87,0 6,0,2024-09-07 08:26:10:936,22089,0.9,21751,1.2,43570,1.2,58585,2.50 6,1,2024-09-07 08:26:10:779,153340,153340,0,0,71931419893,749040235,152214,1056,70,381,391603,0 6,2,2024-09-07 08:26:11:116,114180,114180,0,0,4819061,0,2411 6,3,2024-09-07 08:26:11:414,1,87,1,0,340,1496,87,0 7,0,2024-09-07 08:26:11:547,16497,0.3,16612,0.4,33406,0.2,45002,1.75 7,1,2024-09-07 08:26:10:851,153192,153192,0,0,71613776936,746941230,152211,916,65,383,391664,0 7,2,2024-09-07 08:26:10:772,111041,111041,0,0,4515737,0,2981 7,3,2024-09-07 08:26:10:856,1,87,1,0,83,700,87,0 8,0,2024-09-07 08:26:11:343,22568,0.3,22719,0.4,44699,0.2,60104,1.50 8,1,2024-09-07 08:26:11:018,152651,152651,0,0,71456689748,758904309,149163,2235,1253,368,391724,0 8,2,2024-09-07 08:26:10:820,106544,106544,0,0,5642218,0,2986 8,3,2024-09-07 08:26:10:590,1,87,6,0,229,1149,87,0 9,0,2024-09-07 08:26:11:168,23756,0.4,23159,0.6,48461,0.4,63523,1.75 9,1,2024-09-07 08:26:10:576,153265,153265,0,0,71754463292,756852373,150814,1883,568,370,391738,0 9,2,2024-09-07 08:26:11:095,107782,107782,0,0,5118870,0,3360 9,3,2024-09-07 08:26:11:759,1,87,1,0,149,1081,87,0 10,0,2024-09-07 08:26:11:627,23300,0.7,23367,0.8,46674,0.7,62236,2.00 10,1,2024-09-07 08:26:10:591,152246,152246,0,0,71953209892,759578620,148908,2816,522,381,391741,0 10,2,2024-09-07 08:26:10:762,111747,111747,0,0,5257863,0,2940 10,3,2024-09-07 08:26:10:880,1,87,2,0,136,624,87,0 11,0,2024-09-07 08:26:11:047,16814,0.7,16459,1.1,34504,0.8,46548,2.25 11,1,2024-09-07 08:26:10:586,152549,152549,0,0,71877140448,756260826,149908,1826,815,384,391537,0 11,2,2024-09-07 08:26:11:127,111257,111257,0,0,4960609,0,2635 11,3,2024-09-07 08:26:11:299,1,87,4,0,720,2408,87,0 12,0,2024-09-07 08:26:11:158,22482,0.3,22305,0.5,44738,0.2,59555,1.50 12,1,2024-09-07 08:26:10:950,152257,152257,0,0,71224136329,746525074,150591,1564,102,371,391790,0 12,2,2024-09-07 08:26:11:540,111090,111090,0,0,4803190,0,2254 12,3,2024-09-07 08:26:11:067,1,87,23,0,358,1567,87,0 13,0,2024-09-07 08:26:11:351,23443,0.4,23557,0.6,46896,0.4,62278,1.75 13,1,2024-09-07 08:26:11:532,152696,152696,0,0,71583518790,753486249,151093,1236,367,384,391717,0 13,2,2024-09-07 08:26:10:597,107892,107892,0,0,4359134,0,3287 13,3,2024-09-07 08:26:11:766,1,87,11,1,416,1798,87,0 14,0,2024-09-07 08:26:10:583,24755,0.7,24946,0.9,49277,0.8,65503,2.00 14,1,2024-09-07 08:26:11:563,153573,153573,0,0,71398789487,739845370,152690,852,31,364,391546,0 14,2,2024-09-07 08:26:10:764,108987,108987,0,0,4957174,0,2793 14,3,2024-09-07 08:26:11:115,1,87,1,0,906,1325,87,0 15,0,2024-09-07 08:26:11:591,19280,0.9,19061,1.2,38246,1.0,50814,2.50 15,1,2024-09-07 08:26:11:613,152106,152106,0,0,71236772819,741168139,151283,788,35,381,391536,0 15,2,2024-09-07 08:26:11:054,113652,113652,0,0,4028714,0,3043 15,3,2024-09-07 08:26:11:415,1,87,2,0,538,2427,87,0 16,0,2024-09-07 08:26:10:952,19819,0.3,19990,0.5,39510,0.3,52929,2.00 16,1,2024-09-07 08:26:10:598,152492,152492,0,0,71594658035,749369446,151350,1114,28,372,391756,0 16,2,2024-09-07 08:26:11:442,110696,110696,0,0,5380823,0,4719 16,3,2024-09-07 08:26:11:147,1,87,22,1,231,1485,87,0 17,0,2024-09-07 08:26:11:790,24188,0.5,23699,0.7,46479,0.5,62413,1.75 17,1,2024-09-07 08:26:10:581,152554,152554,0,0,71289180963,751727497,150666,1364,524,369,391688,0 17,2,2024-09-07 08:26:11:678,107497,107497,0,0,4104816,0,2857 17,3,2024-09-07 08:26:10:585,1,87,2,0,268,1676,87,0 18,0,2024-09-07 08:26:10:951,23676,1.3,24094,1.2,48345,1.6,64216,2.50 18,1,2024-09-07 08:26:11:650,152744,152744,0,0,71180520726,740615039,151492,1034,218,368,391555,0 18,2,2024-09-07 08:26:11:766,107800,107800,0,0,5012511,0,3541 18,3,2024-09-07 08:26:10:907,1,87,1,0,163,1040,87,0 19,0,2024-09-07 08:26:11:580,20921,1.3,21195,1.2,42018,1.6,56004,4.00 19,1,2024-09-07 08:26:10:566,152867,152867,0,0,71679306105,748525407,150998,1705,164,367,391777,0 19,2,2024-09-07 08:26:11:762,114466,114466,0,0,4650932,0,3988 19,3,2024-09-07 08:26:11:138,1,87,1,0,524,1235,87,0 20,0,2024-09-07 08:26:11:415,17794,0.3,17761,0.5,35385,0.2,46907,1.75 20,1,2024-09-07 08:26:10:587,152680,152680,0,0,71532543076,750044325,150974,1542,164,370,391598,0 20,2,2024-09-07 08:26:10:952,111504,111504,0,0,4518730,0,2446 20,3,2024-09-07 08:26:10:597,1,87,16,0,99,978,87,0 21,0,2024-09-07 08:26:11:260,23332,0.3,23268,0.5,46307,0.3,62085,1.75 21,1,2024-09-07 08:26:11:537,152239,152239,0,0,70996617367,748842967,149891,1853,495,368,391962,0 21,2,2024-09-07 08:26:11:109,106831,106831,0,0,4686379,0,3747 21,3,2024-09-07 08:26:11:423,1,87,2,0,93,1132,87,0 22,0,2024-09-07 08:26:11:730,23866,1.6,23810,1.2,47578,2.6,63821,2.75 22,1,2024-09-07 08:26:11:046,152495,152495,0,0,71185472995,751646501,149353,2416,726,382,391667,0 22,2,2024-09-07 08:26:10:764,108016,108016,0,0,4157803,0,3134 22,3,2024-09-07 08:26:11:067,1,87,1,0,228,706,87,0 23,0,2024-09-07 08:26:11:432,22129,1.1,22185,1.0,44577,1.1,59213,2.50 23,1,2024-09-07 08:26:11:025,153121,153121,0,0,72084383166,747974670,151771,1096,254,365,391549,0 23,2,2024-09-07 08:26:11:095,112803,112803,0,0,4433767,0,2078 23,3,2024-09-07 08:26:11:759,1,87,1,0,645,1396,87,0 24,0,2024-09-07 08:26:10:920,17469,0.5,17450,0.7,34948,0.5,46451,1.75 24,1,2024-09-07 08:26:10:604,152831,152831,0,0,71255713854,741958116,152010,814,7,369,391640,0 24,2,2024-09-07 08:26:11:069,110676,110676,0,0,5757218,0,2942 24,3,2024-09-07 08:26:11:693,1,87,1,0,234,1158,87,0 25,0,2024-09-07 08:26:11:443,23163,0.3,22619,0.4,44469,0.2,60500,1.50 25,1,2024-09-07 08:26:10:576,152399,152399,0,0,71203302751,749169178,150240,1843,316,371,391788,0 25,2,2024-09-07 08:26:11:629,108660,108660,0,0,5200128,0,3284 25,3,2024-09-07 08:26:11:025,1,87,1,1,158,808,87,0 26,0,2024-09-07 08:26:11:751,23158,0.4,22632,0.6,47269,0.4,62076,1.75 26,1,2024-09-07 08:26:11:556,153100,153100,0,0,70883767063,749053315,149636,2629,835,381,391564,0 26,2,2024-09-07 08:26:10:864,107730,107730,0,0,5092169,0,2809 26,3,2024-09-07 08:26:11:720,1,87,5,0,796,1307,87,0 27,0,2024-09-07 08:26:11:749,23861,0.8,24066,0.9,47619,1.2,63617,2.00 27,1,2024-09-07 08:26:11:694,153579,153579,0,0,71993707834,747708336,152438,846,295,381,391539,0 27,2,2024-09-07 08:26:10:873,109436,109436,0,0,6124438,0,3409 27,3,2024-09-07 08:26:11:053,1,87,1,0,564,1256,87,0 28,0,2024-09-07 08:26:11:429,17678,0.6,17996,0.8,35801,0.7,47535,2.00 28,1,2024-09-07 08:26:10:799,153130,153130,0,0,72203960994,752085740,152066,864,200,383,391646,0 28,2,2024-09-07 08:26:11:767,112236,112236,0,0,4276824,0,2609 28,3,2024-09-07 08:26:11:790,1,87,1,0,502,1281,87,0 29,0,2024-09-07 08:26:11:406,21620,0.3,20992,0.5,41509,0.2,57086,1.75 29,1,2024-09-07 08:26:11:561,154153,154153,0,0,71816951970,742120889,153361,620,172,369,391621,0 29,2,2024-09-07 08:26:10:861,111521,111521,0,0,3791065,0,2026 29,3,2024-09-07 08:26:10:978,1,87,1,0,105,705,87,0 30,0,2024-09-07 08:26:11:470,23213,1.0,22671,0.9,47367,1.3,61823,2.50 30,1,2024-09-07 08:26:10:583,153196,153196,0,0,71681028313,745899394,152218,913,65,382,391584,0 30,2,2024-09-07 08:26:11:274,107626,107626,0,0,3667816,0,2534 30,3,2024-09-07 08:26:10:587,1,87,1,0,195,674,87,0 31,0,2024-09-07 08:26:11:780,24464,1.1,24594,1.0,49544,1.3,65570,2.25 31,1,2024-09-07 08:26:10:577,153680,153680,0,0,71827952774,735623815,153429,249,2,356,391553,0 31,2,2024-09-07 08:26:11:318,108700,108700,0,0,5269561,0,3525 31,3,2024-09-07 08:26:11:707,1,87,1,0,129,590,87,0 32,0,2024-09-07 08:26:11:484,20866,1.1,21122,1.0,42243,1.4,55945,1.75 32,1,2024-09-07 08:26:10:841,153406,153406,0,0,72083252821,749201389,152402,975,29,382,391595,0 32,2,2024-09-07 08:26:10:940,113788,113788,0,0,4440158,0,3155 32,3,2024-09-07 08:26:11:046,1,87,2,0,110,751,87,0 33,0,2024-09-07 08:26:11:535,18959,0.2,18608,0.4,37935,0.1,50334,1.50 33,1,2024-09-07 08:26:10:588,153783,153783,0,0,72283047987,751092758,152121,1539,123,369,391730,0 33,2,2024-09-07 08:26:10:760,111825,111792,33,0,5900343,0,7012 33,3,2024-09-07 08:26:10:898,1,87,2,0,129,602,87,0 34,0,2024-09-07 08:26:10:939,23522,0.3,24314,0.5,46631,0.3,62240,1.75 34,1,2024-09-07 08:26:11:044,153799,153799,0,0,72321749028,745099231,153203,592,4,367,391517,0 34,2,2024-09-07 08:26:10:766,105787,105787,0,0,4789563,0,3255 34,3,2024-09-07 08:26:11:696,1,87,1,0,148,708,87,0 35,0,2024-09-07 08:26:10:864,23943,0.7,24212,0.7,48119,0.8,64435,1.75 35,1,2024-09-07 08:26:11:068,153193,153193,0,0,71971089055,748230172,151717,1114,362,384,391587,0 35,2,2024-09-07 08:26:11:600,107807,107807,0,0,4347773,0,2542 35,3,2024-09-07 08:26:10:913,1,87,0,0,219,1088,87,0 36,0,2024-09-07 08:26:11:574,21945,1.6,21898,1.3,44322,1.8,58501,2.50 36,1,2024-09-07 08:26:10:594,152537,152537,0,0,71061703253,746462868,149524,2082,931,366,391543,0 36,2,2024-09-07 08:26:11:752,113253,113253,0,0,5496591,0,3303 36,3,2024-09-07 08:26:10:868,1,87,3,0,378,1153,87,0 37,0,2024-09-07 08:26:11:433,16674,0.3,16592,0.5,33448,0.2,45059,1.75 37,1,2024-09-07 08:26:10:584,152451,152444,0,7,70992240628,749305657,149602,1323,1519,365,391518,0 37,2,2024-09-07 08:26:11:147,111596,111596,0,0,3996535,0,2333 37,3,2024-09-07 08:26:11:766,1,87,2,0,724,1941,87,0 38,0,2024-09-07 08:26:11:457,22485,0.3,21828,0.4,45610,0.2,60033,1.75 38,1,2024-09-07 08:26:11:605,153566,153566,0,0,71687365621,749638210,151408,1711,447,368,391821,0 38,2,2024-09-07 08:26:10:766,108151,108151,0,0,4724478,0,3245 38,3,2024-09-07 08:26:11:025,1,87,1,0,603,1431,87,0 39,0,2024-09-07 08:26:11:820,24451,0.9,23954,0.8,46598,1.1,63796,2.00 39,1,2024-09-07 08:26:10:721,152834,152834,0,0,71398614711,747535938,150200,1996,638,365,391524,0 39,2,2024-09-07 08:26:11:425,107890,107890,0,0,4395437,0,2381 39,3,2024-09-07 08:26:10:713,1,87,1,0,276,1241,87,0 40,0,2024-09-07 08:26:11:520,22870,1.6,23227,1.9,46070,3.3,61743,3.50 40,1,2024-09-07 08:26:10:584,152631,152631,0,0,71109829989,748461985,149374,2503,754,369,391591,0 40,2,2024-09-07 08:26:11:307,111920,111919,1,0,5621523,0,5137 40,3,2024-09-07 08:26:11:146,1,87,2,0,110,827,87,0 41,0,2024-09-07 08:26:11:088,16680,0.5,17062,3.2,32574,0.5,45449,2.25 41,1,2024-09-07 08:26:10:776,152647,152647,0,0,71265895049,747426890,150223,2111,313,370,391742,0 41,2,2024-09-07 08:26:10:760,110745,110745,0,0,5806579,0,3356 41,3,2024-09-07 08:26:11:682,1,87,2,0,168,879,87,0 42,0,2024-09-07 08:26:11:491,22108,0.4,22445,0.6,44586,0.3,59542,2.00 42,1,2024-09-07 08:26:11:442,152178,152178,0,0,71239669728,751402512,149184,2164,830,380,391675,0 42,2,2024-09-07 08:26:11:136,109846,109846,0,0,5093650,0,3568 42,3,2024-09-07 08:26:11:046,1,87,7,0,100,721,87,0 43,0,2024-09-07 08:26:10:931,23297,1.0,22766,1.0,47501,1.2,62342,2.00 43,1,2024-09-07 08:26:10:589,152439,152439,0,0,71442860605,747378257,150172,1515,752,366,391604,0 43,2,2024-09-07 08:26:11:741,107990,107990,0,0,5094798,0,3812 43,3,2024-09-07 08:26:11:764,1,87,31,0,325,1777,87,0 44,0,2024-09-07 08:26:10:982,24804,0.7,24711,0.9,49466,0.8,66040,2.00 44,1,2024-09-07 08:26:10:577,153144,153144,0,0,71572281694,739677823,152123,914,107,356,391569,0 44,2,2024-09-07 08:26:11:421,109306,109306,0,0,3918723,0,1865 44,3,2024-09-07 08:26:11:094,1,87,3,0,817,1363,87,0 45,0,2024-09-07 08:26:11:773,18917,1.3,18515,1.3,38837,1.2,51176,2.00 45,1,2024-09-07 08:26:11:006,153282,153282,0,0,72101937528,747391072,152813,468,1,382,391917,0 45,2,2024-09-07 08:26:11:277,113254,113254,0,0,4573139,0,2628 45,3,2024-09-07 08:26:10:937,1,87,1,0,226,872,87,0 46,0,2024-09-07 08:26:10:958,19943,0.3,19976,0.5,40003,0.2,53241,1.50 46,1,2024-09-07 08:26:10:587,153589,153589,0,0,71950703336,743624123,152736,815,38,367,391514,0 46,2,2024-09-07 08:26:10:595,112266,112266,0,0,4447899,0,2920 46,3,2024-09-07 08:26:11:138,1,87,2,0,315,1120,87,0 47,0,2024-09-07 08:26:11:112,23501,0.4,23776,0.5,47551,0.3,62203,1.75 47,1,2024-09-07 08:26:10:572,153581,153581,0,0,71370895976,736217673,153071,506,4,366,391605,0 47,2,2024-09-07 08:26:10:915,107405,107405,0,0,4378133,0,2558 47,3,2024-09-07 08:26:11:115,1,87,1,0,529,1171,87,0 48,0,2024-09-07 08:26:11:559,24594,0.3,24377,0.5,48593,0.3,64906,1.75 48,1,2024-09-07 08:26:11:054,153134,153134,0,0,71361688959,742488589,151931,1197,6,384,391637,0 48,2,2024-09-07 08:26:10:699,108113,108113,0,0,3690889,0,2083 48,3,2024-09-07 08:26:10:763,1,87,2,0,39,705,87,0 49,0,2024-09-07 08:26:11:722,21840,0.8,21308,0.9,41882,1.0,57531,1.75 49,1,2024-09-07 08:26:11:054,152450,152450,0,0,71829195392,751425317,150711,1029,710,382,391583,0 49,2,2024-09-07 08:26:11:803,114123,114123,0,0,4920344,0,3900 49,3,2024-09-07 08:26:11:424,1,87,1,0,274,867,87,0 50,0,2024-09-07 08:26:11:521,17868,0.2,17583,0.4,35583,0.1,47381,1.50 50,1,2024-09-07 08:26:11:046,153651,153651,0,0,72059786241,748799926,151979,1375,297,368,391530,0 50,2,2024-09-07 08:26:11:068,111911,111911,0,0,4203699,0,2253 50,3,2024-09-07 08:26:11:327,1,87,3,0,335,905,87,0 51,0,2024-09-07 08:26:11:692,23770,0.2,23243,0.4,45569,0.2,62477,1.50 51,1,2024-09-07 08:26:11:680,153068,153068,0,0,72972518979,758843345,151266,1018,784,367,391637,0 51,2,2024-09-07 08:26:11:319,106424,106424,0,0,3388961,0,2448 51,3,2024-09-07 08:26:11:037,1,87,1,0,162,559,87,0 52,0,2024-09-07 08:26:11:493,24036,0.7,24216,0.9,48422,0.8,64280,2.25 52,1,2024-09-07 08:26:10:589,152719,152719,0,0,71308401180,748441919,150204,2050,465,368,391594,0 52,2,2024-09-07 08:26:11:758,107811,107811,0,0,4658660,0,4779 52,3,2024-09-07 08:26:10:681,1,87,1,0,1782,2461,87,0 53,0,2024-09-07 08:26:11:741,22029,1.8,21241,1.3,44355,2.4,58522,2.75 53,1,2024-09-07 08:26:10:776,152682,152682,0,0,71792714043,753271416,150058,2049,575,370,391617,0 53,2,2024-09-07 08:26:11:302,113883,113883,0,0,4220846,0,1902 53,3,2024-09-07 08:26:10:697,1,87,61,0,133,698,87,0 54,0,2024-09-07 08:26:11:627,16559,6.3,16786,1.6,33030,0.5,45321,4.25 54,1,2024-09-07 08:26:10:589,152807,152807,0,0,72169889548,752635486,150697,1794,316,367,391520,0 54,2,2024-09-07 08:26:10:869,111590,111584,6,0,5034631,0,5382 54,3,2024-09-07 08:26:10:771,1,87,32,0,676,1750,87,0 55,0,2024-09-07 08:26:11:783,22044,0.3,22711,0.5,45801,0.2,59952,2.00 55,1,2024-09-07 08:26:10:766,152065,152065,0,0,71234689366,747650566,148582,2781,702,367,391731,0 55,2,2024-09-07 08:26:10:729,108967,108967,0,0,4912899,0,3275 55,3,2024-09-07 08:26:10:679,1,87,1,0,136,871,87,0 56,0,2024-09-07 08:26:11:625,23873,1.8,22572,1.3,46341,2.3,62067,2.50 56,1,2024-09-07 08:26:10:600,152324,152324,0,0,72362179813,767890780,148923,2653,748,382,391678,0 56,2,2024-09-07 08:26:11:322,108114,108114,0,0,5400963,0,3567 56,3,2024-09-07 08:26:11:060,1,87,11,0,297,1042,87,0 57,0,2024-09-07 08:26:10:963,23372,2.9,23114,1.7,46565,4.9,62304,4.25 57,1,2024-09-07 08:26:11:011,152368,152368,0,0,70992521879,742220432,150887,1461,20,368,391760,0 57,2,2024-09-07 08:26:11:316,110856,110856,0,0,5442490,0,3178 57,3,2024-09-07 08:26:11:775,1,87,15,0,359,1712,87,0 58,0,2024-09-07 08:26:10:572,17064,0.6,16710,0.9,34903,0.5,45708,2.75 58,1,2024-09-07 08:26:10:598,153735,153732,0,3,71717565834,746420829,152130,1442,160,369,391516,3 58,2,2024-09-07 08:26:11:071,112030,112030,0,0,5061722,0,2549 58,3,2024-09-07 08:26:11:070,1,87,1,0,219,889,87,0 59,0,2024-09-07 08:26:11:784,21238,0.4,21111,0.7,42380,0.3,56652,2.00 59,1,2024-09-07 08:26:10:831,152519,152519,0,0,71934651918,754648930,150268,1483,768,369,391515,0 59,2,2024-09-07 08:26:10:589,111606,111606,0,0,4572883,0,2604 59,3,2024-09-07 08:26:11:748,1,87,2,0,1015,2069,87,0 60,0,2024-09-07 08:26:11:720,23521,0.5,23695,0.6,46791,0.5,62052,1.75 60,1,2024-09-07 08:26:10:772,154094,154094,0,0,72148894705,750073234,153005,740,349,370,391758,0 60,2,2024-09-07 08:26:11:150,106921,106921,0,0,4098716,0,2142 60,3,2024-09-07 08:26:11:263,1,87,0,0,124,974,87,0 61,0,2024-09-07 08:26:11:539,24521,2.3,24657,1.4,49233,3.6,65716,2.25 61,1,2024-09-07 08:26:10:772,152401,152401,0,0,71708181947,755075519,149838,2027,536,382,391589,0 61,2,2024-09-07 08:26:11:120,108611,108611,0,0,4353016,0,1846 61,3,2024-09-07 08:26:11:695,1,87,1,0,199,1195,87,0 62,0,2024-09-07 08:26:11:720,21033,0.9,21486,1.0,41199,1.4,55993,2.00 62,1,2024-09-07 08:26:11:114,153548,153542,0,6,72341088800,748752617,152287,1217,38,365,391586,6 62,2,2024-09-07 08:26:11:651,113258,113258,0,0,4935929,0,2931 62,3,2024-09-07 08:26:11:142,1,87,2,0,287,691,87,0 63,0,2024-09-07 08:26:11:462,18812,0.3,18698,0.5,37736,0.2,50329,1.75 63,1,2024-09-07 08:26:10:819,153877,153872,0,5,71665431681,741029527,153464,405,3,381,391540,5 63,2,2024-09-07 08:26:10:762,111522,111522,0,0,4442233,0,2674 63,3,2024-09-07 08:26:11:749,1,87,25,0,667,1925,87,0 64,0,2024-09-07 08:26:11:531,23414,0.4,23246,0.6,46682,0.4,62107,1.75 64,1,2024-09-07 08:26:10:764,152945,152945,0,0,71911962332,755969033,150495,1655,795,371,391783,0 64,2,2024-09-07 08:26:11:147,106897,106878,19,0,4790571,0,6121 64,3,2024-09-07 08:26:11:139,1,87,1,0,265,1073,87,0 65,0,2024-09-07 08:26:11:699,23492,1.9,23868,1.3,47681,2.4,63705,3.25 65,1,2024-09-07 08:26:10:863,152471,152471,0,0,71684349257,751425239,150760,1492,219,382,391770,0 65,2,2024-09-07 08:26:11:695,108604,108604,0,0,5052320,0,3367 65,3,2024-09-07 08:26:11:691,1,87,2,0,163,944,87,0 66,0,2024-09-07 08:26:11:789,21494,0.6,21741,0.9,43487,0.6,57925,2.25 66,1,2024-09-07 08:26:11:411,153761,153761,0,0,71940583848,746110345,153068,672,21,380,391588,0 66,2,2024-09-07 08:26:11:140,114751,114751,0,0,4662662,0,3867 66,3,2024-09-07 08:26:11:079,1,87,8,0,291,989,87,0 67,0,2024-09-07 08:26:11:425,16892,0.4,16838,0.6,33508,0.2,45007,2.00 67,1,2024-09-07 08:26:10:772,152561,152560,0,1,71342591720,748508821,150535,1500,525,382,391787,1 67,2,2024-09-07 08:26:10:588,112153,112153,0,0,4254435,0,2889 67,3,2024-09-07 08:26:11:760,1,87,4,0,138,715,87,0 68,0,2024-09-07 08:26:10:577,22652,0.4,22540,0.6,45085,0.3,59981,1.75 68,1,2024-09-07 08:26:10:593,152178,152178,0,0,71657934784,750937776,150748,908,522,381,391953,0 68,2,2024-09-07 08:26:11:060,107689,107689,0,0,4239421,0,4883 68,3,2024-09-07 08:26:10:730,1,87,9,0,151,968,87,0 69,0,2024-09-07 08:26:11:811,23798,1.4,23739,1.1,47491,1.8,63777,2.50 69,1,2024-09-07 08:26:11:019,152318,152318,0,0,71556282671,756922746,150318,1133,867,384,391611,0 69,2,2024-09-07 08:26:11:762,108031,108031,0,0,4895128,0,3153 69,3,2024-09-07 08:26:10:764,1,87,9,0,238,1214,87,0 70,0,2024-09-07 08:26:11:549,22940,3.0,23010,2.2,46351,1.8,61446,4.25 70,1,2024-09-07 08:26:10:821,153311,153311,0,0,72070731675,745876247,152392,784,135,368,391527,0 70,2,2024-09-07 08:26:11:413,111713,111713,0,0,4888222,0,4044 70,3,2024-09-07 08:26:10:757,1,87,1,0,178,532,87,0 71,0,2024-09-07 08:26:11:403,16686,1.0,16761,2.3,33214,1.3,45607,3.75 71,1,2024-09-07 08:26:11:607,153074,153074,0,0,71770359957,749743023,150872,1886,316,368,391682,0 71,2,2024-09-07 08:26:11:067,111833,111833,0,0,4522453,0,2146 71,3,2024-09-07 08:26:11:769,1,87,50,0,219,1108,87,0 72,0,2024-09-07 08:26:11:105,22939,0.3,22319,0.5,43957,0.2,59811,2.25 72,1,2024-09-07 08:26:11:080,153285,153285,0,0,71536150079,745189061,151732,1475,78,370,391556,0 72,2,2024-09-07 08:26:11:770,109589,109589,0,0,5762509,0,2570 72,3,2024-09-07 08:26:11:769,1,87,1,0,325,1420,87,0 73,0,2024-09-07 08:26:11:130,22945,0.4,23498,0.6,47817,0.4,62012,2.25 73,1,2024-09-07 08:26:10:780,152981,152981,0,0,71456811117,742814827,152011,925,45,368,391627,0 73,2,2024-09-07 08:26:11:795,108632,108632,0,0,5202930,0,3482 73,3,2024-09-07 08:26:10:992,1,87,2,0,274,1413,87,0 74,0,2024-09-07 08:26:11:414,24796,1.2,25202,1.2,48310,1.8,65524,3.00 74,1,2024-09-07 08:26:10:640,152608,152608,0,0,71492720933,747428944,150707,1329,572,382,391511,0 74,2,2024-09-07 08:26:11:007,109068,109068,0,0,5563598,0,4253 74,3,2024-09-07 08:26:11:500,1,87,21,0,246,1508,87,0 75,0,2024-09-07 08:26:11:783,19284,1.8,19251,1.4,38330,2.3,51253,3.00 75,1,2024-09-07 08:26:11:590,152876,152876,0,0,71704803108,750213083,151174,1598,104,381,391579,0 75,2,2024-09-07 08:26:11:350,112719,112719,0,0,5406435,0,4766 75,3,2024-09-07 08:26:11:068,1,87,1,0,143,624,87,0 76,0,2024-09-07 08:26:10:633,20030,0.3,19702,0.5,39464,0.2,52834,2.00 76,1,2024-09-07 08:26:10:828,152739,152739,0,0,71069616253,745973143,150926,1307,506,382,391530,0 76,2,2024-09-07 08:26:11:063,112436,112436,0,0,4485711,0,3064 76,3,2024-09-07 08:26:11:146,1,87,6,0,175,1070,87,0 77,0,2024-09-07 08:26:11:723,23512,0.6,23732,0.8,47441,0.7,62119,1.75 77,1,2024-09-07 08:26:10:829,152735,152735,0,0,71415141540,744646891,151693,973,69,383,391516,0 77,2,2024-09-07 08:26:11:308,107056,107056,0,0,3820513,0,1695 77,3,2024-09-07 08:26:11:097,1,87,6,0,139,880,87,0 78,0,2024-09-07 08:26:11:775,24370,0.8,24310,1.0,48611,0.9,64657,2.25 78,1,2024-09-07 08:26:10:613,153404,153404,0,0,71203030542,741522228,151981,1218,205,367,391589,0 78,2,2024-09-07 08:26:11:416,108272,108272,0,0,4039938,0,2114 78,3,2024-09-07 08:26:11:138,1,87,1,0,181,1313,87,0 79,0,2024-09-07 08:26:11:358,20521,0.7,21005,1.0,43010,0.8,56569,2.75 79,1,2024-09-07 08:26:10:598,153509,153509,0,0,71756272115,745298539,152169,1271,69,370,391682,0 79,2,2024-09-07 08:26:11:068,114239,114239,0,0,4570361,0,2679 79,3,2024-09-07 08:26:10:759,1,87,5,0,289,940,87,0 80,0,2024-09-07 08:26:11:083,17679,0.4,18222,0.6,35026,0.3,47166,1.75 80,1,2024-09-07 08:26:11:631,152655,152655,0,0,71323724567,743066432,151061,1479,115,369,391673,0 80,2,2024-09-07 08:26:11:098,112199,112199,0,0,4683315,0,4433 80,3,2024-09-07 08:26:10:592,1,87,1,1,190,1430,87,0 81,0,2024-09-07 08:26:11:569,23223,0.5,23913,0.6,45796,0.4,62379,1.75 81,1,2024-09-07 08:26:11:665,152938,152938,0,0,71179346385,746755362,151046,1694,198,383,391680,0 81,2,2024-09-07 08:26:11:126,106102,106102,0,0,4810786,0,3993 81,3,2024-09-07 08:26:11:117,1,87,1,0,193,904,87,0 82,0,2024-09-07 08:26:11:551,23981,0.8,23927,1.0,48136,0.9,64374,2.25 82,1,2024-09-07 08:26:10:588,152782,152778,0,4,71588682512,749179779,150724,1481,573,382,391558,4 82,2,2024-09-07 08:26:11:691,109131,109131,0,0,4025448,0,2230 82,3,2024-09-07 08:26:11:775,1,87,1,0,227,1409,87,0 83,0,2024-09-07 08:26:11:538,22149,1.5,22050,1.3,43805,1.8,58298,2.75 83,1,2024-09-07 08:26:10:569,152743,152743,0,0,71718406005,749483549,151322,1320,101,383,391553,0 83,2,2024-09-07 08:26:10:772,112290,112290,0,0,5036617,0,3119 83,3,2024-09-07 08:26:10:761,1,87,6,0,91,703,87,0 84,0,2024-09-07 08:26:11:789,16986,1.7,16801,2.2,33677,0.6,46004,2.75 84,1,2024-09-07 08:26:11:076,152675,152675,0,0,71640619858,750300316,151052,1374,249,369,391638,0 84,2,2024-09-07 08:26:10:585,111362,111362,0,0,4876124,0,3801 84,3,2024-09-07 08:26:11:146,1,87,3,1,58,857,87,0 85,0,2024-09-07 08:26:11:069,21830,0.3,21837,0.5,46330,0.2,60161,1.75 85,1,2024-09-07 08:26:10:560,152373,152373,0,0,71172699808,752141758,149910,2034,429,383,391679,0 85,2,2024-09-07 08:26:10:879,108937,108937,0,0,5123876,0,3656 85,3,2024-09-07 08:26:10:691,1,87,3,0,115,804,87,0 86,0,2024-09-07 08:26:10:981,23211,0.8,24040,0.7,46229,0.9,62120,2.00 86,1,2024-09-07 08:26:10:834,152488,152488,0,0,71854568690,751039991,150684,1587,217,367,391622,0 86,2,2024-09-07 08:26:10:865,107477,107476,1,0,5401731,0,5004 86,3,2024-09-07 08:26:10:592,1,87,37,0,199,967,87,0 87,0,2024-09-07 08:26:11:333,23807,2.6,23610,1.6,47410,3.9,63502,3.00 87,1,2024-09-07 08:26:10:560,152893,152893,0,0,71829689838,751518292,151305,1467,121,366,391788,0 87,2,2024-09-07 08:26:11:068,110490,110490,0,0,4149985,0,2859 87,3,2024-09-07 08:26:11:799,1,87,16,1,322,1306,87,0 88,0,2024-09-07 08:26:11:456,17735,0.6,18005,0.8,35674,0.7,47537,1.75 88,1,2024-09-07 08:26:10:575,152538,152538,0,0,71942018383,751298107,151321,1120,97,367,391787,0 88,2,2024-09-07 08:26:10:691,112572,112572,0,0,5687155,0,3583 88,3,2024-09-07 08:26:11:307,1,87,10,1,77,1041,87,0 89,0,2024-09-07 08:26:11:798,21827,0.3,21106,0.5,41823,0.3,57235,1.50 89,1,2024-09-07 08:26:10:561,151971,151971,0,0,72093642676,766123540,148642,2593,736,382,391866,0 89,2,2024-09-07 08:26:11:144,111123,111123,0,0,5152080,0,2726 89,3,2024-09-07 08:26:11:792,1,87,3,0,325,1968,87,0 90,0,2024-09-07 08:26:11:643,22731,0.4,23185,0.6,47678,0.4,61953,1.75 90,1,2024-09-07 08:26:10:612,152795,152795,0,0,71406582778,751964585,150734,1918,143,382,391825,0 90,2,2024-09-07 08:26:11:427,106127,106127,0,0,5724757,0,2635 90,3,2024-09-07 08:26:10:954,1,87,1,1,200,1022,87,0 91,0,2024-09-07 08:26:10:953,25046,0.6,24302,0.7,50387,0.7,66122,1.75 91,1,2024-09-07 08:26:10:571,152598,152598,0,0,71603634294,754960845,150192,2040,366,383,391914,0 91,2,2024-09-07 08:26:11:335,107941,107941,0,0,4895026,0,1997 91,3,2024-09-07 08:26:10:606,1,87,2,0,155,1192,87,0 92,0,2024-09-07 08:26:11:580,21209,0.8,21663,0.9,41418,1.0,56177,1.75 92,1,2024-09-07 08:26:10:591,153127,153127,0,0,71729250227,747372925,152462,596,69,382,391717,0 92,2,2024-09-07 08:26:11:503,115081,115081,0,0,4850577,0,2279 92,3,2024-09-07 08:26:11:046,1,87,2,0,68,910,87,0 93,0,2024-09-07 08:26:11:016,18910,0.3,19234,0.5,36781,0.2,50385,1.75 93,1,2024-09-07 08:26:10:834,152979,152979,0,0,72013452280,753693331,151214,1329,436,367,391689,0 93,2,2024-09-07 08:26:10:939,111890,111890,0,0,4390339,0,2509 93,3,2024-09-07 08:26:11:415,1,87,19,0,143,845,87,0 94,0,2024-09-07 08:26:11:633,23605,0.3,23790,0.5,47075,0.3,62145,1.50 94,1,2024-09-07 08:26:10:580,152340,152340,0,0,70943800030,745158710,150744,1530,66,381,391850,0 94,2,2024-09-07 08:26:10:767,105877,105877,0,0,4294797,0,2443 94,3,2024-09-07 08:26:11:691,1,87,1,1,231,1372,87,0 95,0,2024-09-07 08:26:11:385,24149,0.4,24057,0.6,48540,0.4,64503,1.75 95,1,2024-09-07 08:26:10:861,153041,153041,0,0,71618588855,744491930,152228,749,64,367,391590,0 95,2,2024-09-07 08:26:11:034,108356,108356,0,0,4674033,0,3308 95,3,2024-09-07 08:26:11:731,1,87,3,0,307,1366,87,0 96,0,2024-09-07 08:26:11:051,22165,0.8,22054,0.8,44032,0.8,58387,1.75 96,1,2024-09-07 08:26:11:594,152870,152870,0,0,71559951026,751734811,151024,1275,571,385,391596,0 96,2,2024-09-07 08:26:11:279,114102,114102,0,0,5160647,0,4038 96,3,2024-09-07 08:26:11:142,1,87,1,0,36,768,87,0 97,0,2024-09-07 08:26:11:339,16675,0.3,16713,0.5,33677,0.2,45078,1.50 97,1,2024-09-07 08:26:10:768,152992,152992,0,0,72111549363,753933227,150795,1678,519,367,392140,0 97,2,2024-09-07 08:26:10:624,111174,111174,0,0,4396951,0,3036 97,3,2024-09-07 08:26:10:573,1,87,3,1,165,1069,87,0 98,0,2024-09-07 08:26:11:738,22504,0.2,22332,0.4,45354,0.2,60127,1.50 98,1,2024-09-07 08:26:10:611,152866,152866,0,0,71532493733,746961123,151786,1035,45,382,391997,0 98,2,2024-09-07 08:26:10:777,108691,108691,0,0,4480619,0,3080 98,3,2024-09-07 08:26:10:698,1,87,1,1,155,1060,87,0 99,0,2024-09-07 08:26:11:477,23861,0.4,23801,0.5,47520,0.3,63719,1.75 99,1,2024-09-07 08:26:11:749,153226,153226,0,0,71402894342,746160902,151725,1055,446,381,391744,0 99,2,2024-09-07 08:26:11:426,109349,109349,0,0,4722725,0,1858 99,3,2024-09-07 08:26:10:589,1,87,8,0,129,765,87,0 100,0,2024-09-07 08:26:11:490,23294,1.7,23140,2.2,46179,4.7,62249,2.50 100,1,2024-09-07 08:26:10:559,151953,151953,0,0,70917490449,752449796,149203,2405,345,381,391989,0 100,2,2024-09-07 08:26:11:829,111499,111488,11,0,5712303,0,5417 100,3,2024-09-07 08:26:11:748,1,87,1,0,443,2022,87,0 101,0,2024-09-07 08:26:11:736,17281,2.8,16902,1.4,33307,0.6,46660,2.50 101,1,2024-09-07 08:26:10:559,152415,152415,0,0,71844280384,753347282,150926,1039,450,369,391709,0 101,2,2024-09-07 08:26:11:762,111335,111335,0,0,4994659,0,4644 101,3,2024-09-07 08:26:10:942,1,87,1,0,448,1138,87,0 102,0,2024-09-07 08:26:10:968,21751,0.3,22536,0.5,45237,0.2,59511,1.75 102,1,2024-09-07 08:26:11:151,152414,152414,0,0,71143175899,750366940,149937,2008,469,369,391831,0 102,2,2024-09-07 08:26:11:772,110944,110944,0,0,4174128,0,1945 102,3,2024-09-07 08:26:11:619,1,87,3,0,410,932,87,0 103,0,2024-09-07 08:26:11:616,24079,0.5,23960,0.7,45566,0.5,62444,1.75 103,1,2024-09-07 08:26:11:630,152531,152531,0,0,71730217667,751060448,151298,1089,144,381,391680,0 103,2,2024-09-07 08:26:10:589,107318,107318,0,0,4503804,0,2104 103,3,2024-09-07 08:26:10:763,1,87,6,1,486,1161,87,0 104,0,2024-09-07 08:26:11:019,24636,1.6,24584,1.3,48217,1.9,65815,2.50 104,1,2024-09-07 08:26:11:604,153138,153138,0,0,71180001028,748688983,151178,1634,326,366,391948,0 104,2,2024-09-07 08:26:11:670,108498,108498,0,0,5280296,0,3941 104,3,2024-09-07 08:26:11:427,1,87,3,1,1245,3962,87,0 105,0,2024-09-07 08:26:11:107,19064,0.9,18433,1.4,38311,1.3,51023,3.25 105,1,2024-09-07 08:26:10:558,152450,152450,0,0,71563146868,754794539,149492,2211,747,367,391797,0 105,2,2024-09-07 08:26:11:330,112650,112650,0,0,5049224,0,3314 105,3,2024-09-07 08:26:11:313,1,87,2,1,399,1700,87,0 106,0,2024-09-07 08:26:10:987,19244,0.3,19832,0.5,40220,0.2,52917,1.75 106,1,2024-09-07 08:26:11:758,152860,152860,0,0,71086308705,747500396,150442,2090,328,370,391767,0 106,2,2024-09-07 08:26:10:768,110861,110861,0,0,5036980,0,2795 106,3,2024-09-07 08:26:10:686,1,87,10,1,201,944,87,0 107,0,2024-09-07 08:26:11:160,23742,0.5,23587,0.7,46903,0.4,62424,1.75 107,1,2024-09-07 08:26:10:596,152178,152178,0,0,71472995243,753732418,150233,1755,190,382,392234,0 107,2,2024-09-07 08:26:11:416,106339,106338,1,0,4773825,0,5024 107,3,2024-09-07 08:26:11:761,1,87,2,0,353,1414,87,0 108,0,2024-09-07 08:26:11:836,24486,0.5,24723,0.7,48721,0.5,64919,1.75 108,1,2024-09-07 08:26:11:322,152715,152715,0,0,71603161357,749607420,151234,1345,136,371,391857,0 108,2,2024-09-07 08:26:11:766,107948,107948,0,0,4793644,0,2647 108,3,2024-09-07 08:26:11:423,1,87,2,0,73,1104,87,0 109,0,2024-09-07 08:26:11:846,21340,0.7,21543,0.8,42528,0.8,57544,1.75 109,1,2024-09-07 08:26:10:699,152498,152498,0,0,72150949266,759748695,150828,1287,383,383,391812,0 109,2,2024-09-07 08:26:10:945,112840,112840,0,0,5257159,0,3617 109,3,2024-09-07 08:26:11:159,1,87,2,1,249,1424,87,0 110,0,2024-09-07 08:26:11:875,17871,0.2,17302,0.4,35999,0.2,47078,1.50 110,1,2024-09-07 08:26:11:680,153146,153146,0,0,71615521234,745184403,151822,1037,287,370,391588,0 110,2,2024-09-07 08:26:11:414,112009,112009,0,0,4396409,0,2915 110,3,2024-09-07 08:26:10:702,1,87,8,0,183,783,87,0 111,0,2024-09-07 08:26:11:432,23320,0.2,23021,0.4,45981,0.1,62473,1.50 111,1,2024-09-07 08:26:11:047,153625,153625,0,0,72525991528,750625306,152977,634,14,382,391690,0 111,2,2024-09-07 08:26:11:121,106807,106807,0,0,4526132,0,2763 111,3,2024-09-07 08:26:10:913,1,87,8,0,300,1630,87,0 112,0,2024-09-07 08:26:10:964,24292,0.4,24265,0.5,48229,0.3,64678,1.75 112,1,2024-09-07 08:26:10:831,152882,152882,0,0,72295944249,753788992,151369,1167,346,381,391580,0 112,2,2024-09-07 08:26:11:138,108519,108518,1,0,4898509,0,5036 112,3,2024-09-07 08:26:10:597,1,87,6,0,282,914,87,0 113,0,2024-09-07 08:26:10:891,22157,0.5,22020,0.7,44803,0.5,59431,1.75 113,1,2024-09-07 08:26:11:694,153223,153223,0,0,72083270838,749238458,151330,1509,384,368,391661,0 113,2,2024-09-07 08:26:11:415,113644,113644,0,0,4123995,0,3021 113,3,2024-09-07 08:26:10:684,1,87,2,0,288,1471,87,0 114,0,2024-09-07 08:26:10:887,17324,2.8,17499,1.2,34374,0.6,46536,2.00 114,1,2024-09-07 08:26:10:747,153046,153046,0,0,72030068296,756925813,150418,1747,881,381,391531,0 114,2,2024-09-07 08:26:10:881,111157,111157,0,0,4589110,0,3925 114,3,2024-09-07 08:26:11:415,1,87,3,0,159,955,87,0 115,0,2024-09-07 08:26:10:561,22346,0.2,22561,0.3,45368,0.1,60229,1.50 115,1,2024-09-07 08:26:10:573,152874,152874,0,0,71829510864,751771957,150499,1888,487,383,391528,0 115,2,2024-09-07 08:26:11:136,109608,109608,0,0,3961233,0,2152 115,3,2024-09-07 08:26:11:005,1,87,2,0,159,564,87,0 116,0,2024-09-07 08:26:11:726,23467,0.8,23015,0.9,46704,1.0,62138,2.00 116,1,2024-09-07 08:26:10:843,152433,152433,0,0,71795737886,759143521,150539,1023,871,382,391605,0 116,2,2024-09-07 08:26:11:757,107527,107527,0,0,5099506,0,3529 116,3,2024-09-07 08:26:10:914,1,87,22,1,252,1383,87,0 117,0,2024-09-07 08:26:10:975,23768,2.1,23846,1.4,47373,3.3,63576,2.25 117,1,2024-09-07 08:26:11:595,153001,153001,0,0,71275672689,750312480,150675,2032,294,370,392033,0 117,2,2024-09-07 08:26:11:122,111015,111015,0,0,4524143,0,3700 117,3,2024-09-07 08:26:11:064,1,87,1,0,490,1896,87,0 118,0,2024-09-07 08:26:11:797,17368,0.7,17755,0.8,36380,0.6,47361,2.00 118,1,2024-09-07 08:26:10:588,153009,153009,0,0,70474637093,737873330,151760,1169,80,368,391736,0 118,2,2024-09-07 08:26:11:598,112625,112625,0,0,4922719,0,2781 118,3,2024-09-07 08:26:11:770,1,87,12,1,235,1307,87,0 119,0,2024-09-07 08:26:11:370,20926,0.3,20919,0.4,42607,0.2,56678,1.75 119,1,2024-09-07 08:26:10:558,153209,153209,0,0,71772309008,751024911,151587,1473,149,370,391641,0 119,2,2024-09-07 08:26:11:325,110618,110618,0,0,4664627,0,2532 119,3,2024-09-07 08:26:11:346,1,87,5,0,443,2233,87,0 120,0,2024-09-07 08:26:11:551,23192,0.6,23327,0.8,46269,0.6,61909,2.00 120,1,2024-09-07 08:26:10:870,152786,152786,0,0,72016587556,755436461,151253,1517,16,368,391702,0 120,2,2024-09-07 08:26:10:786,106496,106495,1,0,5739672,0,5281 120,3,2024-09-07 08:26:11:299,1,87,2,0,241,1464,87,0 121,0,2024-09-07 08:26:11:713,24509,2.2,24769,1.4,49451,3.5,66036,2.50 121,1,2024-09-07 08:26:11:668,152726,152726,0,0,71138469598,745507878,150841,1614,271,368,391840,0 121,2,2024-09-07 08:26:11:134,107778,107778,0,0,6076320,0,4127 121,3,2024-09-07 08:26:10:737,1,87,11,0,113,905,87,0 122,0,2024-09-07 08:26:11:801,20875,2.0,20202,1.7,42149,2.4,56212,2.25 122,1,2024-09-07 08:26:10:868,151884,151884,0,0,71660249279,756658494,148871,2489,524,368,392130,0 122,2,2024-09-07 08:26:11:335,113851,113851,0,0,6124489,0,3364 122,3,2024-09-07 08:26:10:597,1,87,5,1,298,1866,87,0 123,0,2024-09-07 08:26:10:989,18723,0.3,18226,0.5,38088,0.2,50152,1.75 123,1,2024-09-07 08:26:10:578,153261,153261,0,0,71809373542,760500335,149792,2901,568,369,391823,0 123,2,2024-09-07 08:26:11:025,111283,111282,1,0,5056402,0,5215 123,3,2024-09-07 08:26:11:134,1,87,3,1,160,1144,87,0 124,0,2024-09-07 08:26:10:966,24127,0.3,24167,0.5,45646,0.2,62420,1.50 124,1,2024-09-07 08:26:11:034,152730,152730,0,0,71171899796,738504526,151923,662,145,367,392178,0 124,2,2024-09-07 08:26:11:057,106143,106143,0,0,4017123,0,2477 124,3,2024-09-07 08:26:10:767,1,87,2,1,490,1680,87,0 125,0,2024-09-07 08:26:11:465,24317,0.6,24279,0.7,48485,0.7,64487,1.75 125,1,2024-09-07 08:26:10:855,152741,152741,0,0,71675457391,750854991,150986,1483,272,384,391702,0 125,2,2024-09-07 08:26:11:116,108554,108554,0,0,4457725,0,2180 125,3,2024-09-07 08:26:11:136,1,87,1,1,93,1138,87,0 126,0,2024-09-07 08:26:11:449,22106,1.1,22653,1.0,43430,1.4,58850,2.00 126,1,2024-09-07 08:26:10:576,153519,153519,0,0,72037769059,747810667,152467,997,55,365,391987,0 126,2,2024-09-07 08:26:10:611,113836,113836,0,0,4879103,0,3186 126,3,2024-09-07 08:26:10:916,1,87,1,0,122,1203,87,0 127,0,2024-09-07 08:26:11:633,16813,0.2,16752,0.4,33557,0.2,45018,1.50 127,1,2024-09-07 08:26:10:594,152928,152928,0,0,71215760777,738876961,151785,1120,23,365,391614,0 127,2,2024-09-07 08:26:10:662,111891,111891,0,0,4074739,0,1803 127,3,2024-09-07 08:26:11:306,1,87,10,0,99,679,87,0 128,0,2024-09-07 08:26:11:560,22707,0.2,22741,0.4,44936,0.2,60165,1.50 128,1,2024-09-07 08:26:11:621,152958,152958,0,0,71749390741,744186593,152225,663,70,367,391680,0 128,2,2024-09-07 08:26:11:426,107347,107347,0,0,4712266,0,2107 128,3,2024-09-07 08:26:10:775,1,87,2,1,112,1001,87,0 129,0,2024-09-07 08:26:11:052,23918,0.4,23878,0.5,47569,0.4,63634,1.75 129,1,2024-09-07 08:26:10:574,152689,152689,0,0,71708748881,752554598,150830,1584,275,379,391835,0 129,2,2024-09-07 08:26:10:685,107701,107701,0,0,4171390,0,2446 129,3,2024-09-07 08:26:10:689,1,87,9,1,173,1295,87,0 130,0,2024-09-07 08:26:11:741,23501,1.4,23372,1.0,46859,1.9,62821,2.00 130,1,2024-09-07 08:26:10:596,152807,152807,0,0,71883490288,750725285,151490,1284,33,381,391609,0 130,2,2024-09-07 08:26:11:139,112023,112023,0,0,4925308,0,4067 130,3,2024-09-07 08:26:11:316,1,87,3,0,207,678,87,0 131,0,2024-09-07 08:26:11:962,17216,0.8,17164,0.8,34733,1.1,46491,1.75 131,1,2024-09-07 08:26:11:821,153779,153779,0,0,71802719313,748541634,152755,837,187,384,391865,0 131,2,2024-09-07 08:26:10:584,111963,111963,0,0,4102254,0,2415 131,3,2024-09-07 08:26:11:691,1,87,3,0,392,1159,87,0 132,0,2024-09-07 08:26:11:458,22057,0.3,22365,0.5,44655,0.2,59773,1.75 132,1,2024-09-07 08:26:10:623,152246,152246,0,0,71320605506,752947348,149654,1886,706,381,391719,0 132,2,2024-09-07 08:26:10:698,110170,110170,0,0,6294667,0,4606 132,3,2024-09-07 08:26:11:692,1,87,5,1,356,1109,87,0 133,0,2024-09-07 08:26:11:563,22886,0.5,23284,0.6,47953,0.5,61779,1.75 133,1,2024-09-07 08:26:10:593,151609,151609,0,0,71261842796,752322206,149390,2123,96,383,391755,0 133,2,2024-09-07 08:26:11:088,107705,107705,0,0,5413246,0,2444 133,3,2024-09-07 08:26:11:298,1,87,14,1,187,720,87,0 134,0,2024-09-07 08:26:10:986,24760,0.9,24679,1.0,49577,1.0,65765,2.00 134,1,2024-09-07 08:26:10:614,152902,152902,0,0,70890864570,746311315,150256,1665,981,366,391718,0 134,2,2024-09-07 08:26:11:778,109130,109130,0,0,4082672,0,2026 134,3,2024-09-07 08:26:10:764,1,87,6,0,739,2044,87,0 135,0,2024-09-07 08:26:11:117,18704,1.5,18779,1.5,39596,1.5,50737,2.25 135,1,2024-09-07 08:26:11:607,152326,152326,0,0,71005913581,745894711,150375,1623,328,380,391703,0 135,2,2024-09-07 08:26:10:696,113563,113563,0,0,5089226,0,3981 135,3,2024-09-07 08:26:11:026,1,87,2,0,68,515,87,0 136,0,2024-09-07 08:26:11:655,19956,0.3,19856,0.6,39876,0.2,53352,2.00 136,1,2024-09-07 08:26:11:445,152743,152743,0,0,71701286855,752256648,150836,1760,147,384,391621,0 136,2,2024-09-07 08:26:11:146,112411,112411,0,0,4547834,0,2379 136,3,2024-09-07 08:26:11:106,1,87,10,0,108,903,87,0 137,0,2024-09-07 08:26:10:942,24245,0.6,23463,0.8,46488,0.6,62571,2.00 137,1,2024-09-07 08:26:10:585,152623,152623,0,0,71515076987,748813659,150643,1866,114,367,391608,0 137,2,2024-09-07 08:26:11:709,105849,105849,0,0,5552802,0,2632 137,3,2024-09-07 08:26:10:771,1,87,1,1,227,1146,87,0 138,0,2024-09-07 08:26:11:777,23730,2.4,23923,1.5,48572,3.7,64806,2.75 138,1,2024-09-07 08:26:11:696,152650,152650,0,0,71615989062,751810738,150313,2072,265,369,391954,0 138,2,2024-09-07 08:26:10:604,108544,108544,0,0,4794526,0,3263 138,3,2024-09-07 08:26:10:613,1,87,1,0,1160,1984,87,0 139,0,2024-09-07 08:26:11:370,20775,4.3,20780,2.3,42034,5.4,56862,3.75 139,1,2024-09-07 08:26:10:577,152064,152064,0,0,71314869105,758326422,149171,2164,729,381,391892,0 139,2,2024-09-07 08:26:10:694,113171,113171,0,0,6107039,0,3097 139,3,2024-09-07 08:26:11:663,1,87,1,0,244,1371,87,0 140,0,2024-09-07 08:26:11:606,17726,0.2,17660,0.4,35448,0.1,47095,1.50 140,1,2024-09-07 08:26:11:536,153406,153406,0,0,71786785015,741893133,152476,733,197,365,391606,0 140,2,2024-09-07 08:26:10:690,112439,112439,0,0,4837125,0,3388 140,3,2024-09-07 08:26:10:775,1,87,1,0,25,593,87,0 141,0,2024-09-07 08:26:11:711,22963,0.2,23732,0.4,45737,0.1,62509,1.50 141,1,2024-09-07 08:26:10:860,153022,153022,0,0,71650980673,745813979,151599,1092,331,382,391538,0 141,2,2024-09-07 08:26:11:693,106534,106534,0,0,4304802,0,2342 141,3,2024-09-07 08:26:11:052,1,87,1,0,147,630,87,0 142,0,2024-09-07 08:26:11:447,24504,0.4,24206,0.6,48135,0.3,64713,1.75 142,1,2024-09-07 08:26:10:606,153334,153334,0,0,71906247701,748366766,152774,545,15,384,391649,0 142,2,2024-09-07 08:26:11:308,107596,107596,0,0,4721085,0,2293 142,3,2024-09-07 08:26:11:766,1,87,2,0,484,1616,87,0 143,0,2024-09-07 08:26:11:453,22211,1.1,22351,0.9,44875,1.4,59237,2.00 143,1,2024-09-07 08:26:10:579,153008,153008,0,0,71632636755,742794874,152026,953,29,367,391619,0 143,2,2024-09-07 08:26:10:785,113133,113133,0,0,5210919,0,2669 143,3,2024-09-07 08:26:11:140,1,87,6,0,236,1202,87,0 144,0,2024-09-07 08:26:11:517,16560,0.9,17049,5.0,34528,0.6,46165,2.25 144,1,2024-09-07 08:26:10:583,152593,152593,0,0,71358998079,749988801,151001,1399,193,381,391638,0 144,2,2024-09-07 08:26:11:761,111802,111802,0,0,4456897,0,3473 144,3,2024-09-07 08:26:11:753,1,87,3,1,169,1300,87,0 145,0,2024-09-07 08:26:11:392,21796,0.3,21839,0.5,46195,0.3,60209,1.75 145,1,2024-09-07 08:26:10:622,152087,152087,0,0,71378204189,750529069,150156,1666,265,383,391615,0 145,2,2024-09-07 08:26:11:444,109525,109525,0,0,5213775,0,3903 145,3,2024-09-07 08:26:10:919,1,87,4,0,151,1093,87,0 146,0,2024-09-07 08:26:11:731,23231,0.6,23170,0.8,46720,0.7,61721,2.25 146,1,2024-09-07 08:26:11:608,153014,153014,0,0,71256347147,748193966,150712,1998,304,368,391600,0 146,2,2024-09-07 08:26:11:728,107167,107167,0,0,4791959,0,2379 146,3,2024-09-07 08:26:11:323,1,87,1,0,1520,3175,87,0 147,0,2024-09-07 08:26:11:711,23630,2.6,23398,1.6,46574,3.9,63504,3.00 147,1,2024-09-07 08:26:11:426,153008,153008,0,0,71864739104,748020677,151284,1368,356,368,391791,0 147,2,2024-09-07 08:26:11:029,110893,110893,0,0,4885778,0,2789 147,3,2024-09-07 08:26:10:914,1,87,1,1,141,856,87,0 0,0,2024-09-07 08:26:21:736,22767,0.6,22751,0.8,48185,0.7,62167,1.75 0,1,2024-09-07 08:26:20:808,154689,154689,0,0,72580218480,762276718,153423,1139,127,372,391692,0 0,2,2024-09-07 08:26:21:072,107946,107946,0,0,4951825,0,4480 0,3,2024-09-07 08:26:20:974,1,88,1,0,247,1466,88,0 1,0,2024-09-07 08:26:21:770,24797,2.5,24565,1.7,49586,3.3,66150,2.75 1,1,2024-09-07 08:26:20:563,154198,154198,0,0,71857523323,755196751,152423,1246,529,372,391857,0 1,2,2024-09-07 08:26:20:648,109389,109389,0,0,4687913,0,3267 1,3,2024-09-07 08:26:21:306,1,88,1,0,167,825,88,0 2,0,2024-09-07 08:26:21:581,20883,1.0,21050,1.2,41776,1.4,55894,2.00 2,1,2024-09-07 08:26:20:861,154816,154816,0,0,72610692729,755956486,153733,879,204,381,391558,0 2,2,2024-09-07 08:26:21:280,114272,114272,0,0,4889923,0,3304 2,3,2024-09-07 08:26:20:694,1,88,10,0,214,882,88,0 3,0,2024-09-07 08:26:21:761,19204,0.3,19394,0.5,38571,0.2,51451,1.75 3,1,2024-09-07 08:26:21:620,153763,153763,0,0,71849112546,751060599,151784,1593,386,382,391516,0 3,2,2024-09-07 08:26:21:156,113123,113100,23,0,5141445,0,5851 3,3,2024-09-07 08:26:21:764,1,88,2,0,103,604,88,0 4,0,2024-09-07 08:26:21:847,22929,0.3,23619,0.4,47674,0.3,62512,1.50 4,1,2024-09-07 08:26:20:622,154246,154246,0,0,71953853676,758346680,152343,1484,419,371,391846,0 4,2,2024-09-07 08:26:21:018,106887,106887,0,0,5577130,0,4528 4,3,2024-09-07 08:26:21:030,1,88,1,1,287,1438,88,0 5,0,2024-09-07 08:26:21:415,24513,0.7,24359,0.7,49089,0.8,65114,1.75 5,1,2024-09-07 08:26:20:776,154344,154344,0,0,72402426436,762289537,152119,1781,444,368,392005,0 5,2,2024-09-07 08:26:21:835,109346,109346,0,0,4626491,0,2259 5,3,2024-09-07 08:26:21:736,1,88,9,0,238,1648,88,0 6,0,2024-09-07 08:26:20:958,22302,0.9,21967,1.2,43973,1.2,59170,2.50 6,1,2024-09-07 08:26:20:758,155214,155214,0,0,72697746527,756920423,154088,1056,70,381,391603,0 6,2,2024-09-07 08:26:21:116,115713,115713,0,0,4838403,0,2411 6,3,2024-09-07 08:26:21:281,1,88,1,0,340,1497,88,0 7,0,2024-09-07 08:26:21:548,16933,0.3,17041,0.4,34299,0.2,46174,1.75 7,1,2024-09-07 08:26:20:857,154978,154978,0,0,72605357958,757034233,153997,916,65,383,391664,0 7,2,2024-09-07 08:26:20:774,112448,112448,0,0,4531753,0,2981 7,3,2024-09-07 08:26:20:851,1,88,1,0,83,701,88,0 8,0,2024-09-07 08:26:21:380,22840,0.3,23009,0.4,45193,0.2,60866,1.50 8,1,2024-09-07 08:26:21:018,154378,154378,0,0,72342443804,767941430,150890,2235,1253,368,391724,0 8,2,2024-09-07 08:26:20:790,107275,107275,0,0,5651669,0,2986 8,3,2024-09-07 08:26:20:603,1,88,6,0,229,1155,88,0 9,0,2024-09-07 08:26:21:116,24130,0.4,23481,0.6,49126,0.4,64430,1.75 9,1,2024-09-07 08:26:20:575,154944,154944,0,0,72574032042,765204825,152491,1884,569,370,391738,0 9,2,2024-09-07 08:26:21:085,109283,109283,0,0,5135507,0,3360 9,3,2024-09-07 08:26:21:758,1,88,4,0,149,1085,88,0 10,0,2024-09-07 08:26:21:609,23465,0.7,23528,0.8,47018,0.6,62716,2.00 10,1,2024-09-07 08:26:20:590,154020,154020,0,0,72755283933,767745244,150682,2816,522,381,391741,0 10,2,2024-09-07 08:26:20:762,113416,113416,0,0,5271323,0,2940 10,3,2024-09-07 08:26:20:875,1,88,1,0,136,625,88,0 11,0,2024-09-07 08:26:21:015,17107,0.6,16795,1.0,35124,0.8,47438,2.25 11,1,2024-09-07 08:26:20:576,154273,154273,0,0,72747899107,765121185,151632,1826,815,384,391537,0 11,2,2024-09-07 08:26:21:123,112430,112430,0,0,4969231,0,2635 11,3,2024-09-07 08:26:21:304,1,88,0,0,720,2408,88,0 12,0,2024-09-07 08:26:20:974,22900,0.3,22760,0.5,45632,0.2,60719,1.50 12,1,2024-09-07 08:26:20:937,153956,153956,0,0,72028631603,754751731,152290,1564,102,371,391790,0 12,2,2024-09-07 08:26:21:543,112029,112029,0,0,4813901,0,2254 12,3,2024-09-07 08:26:21:060,1,88,12,0,358,1579,88,0 13,0,2024-09-07 08:26:21:388,23549,0.4,23658,0.6,47123,0.4,62601,1.75 13,1,2024-09-07 08:26:21:532,154486,154486,0,0,72447222375,762355486,152882,1237,367,384,391717,0 13,2,2024-09-07 08:26:20:612,109475,109475,0,0,4377804,0,3287 13,3,2024-09-07 08:26:21:776,1,88,1,1,416,1799,88,0 14,0,2024-09-07 08:26:20:573,24892,0.7,25061,0.8,49514,0.8,65825,2.00 14,1,2024-09-07 08:26:21:562,155392,155392,0,0,72455648143,750532552,154509,852,31,364,391546,0 14,2,2024-09-07 08:26:20:767,110317,110317,0,0,4967782,0,2793 14,3,2024-09-07 08:26:21:115,1,88,39,0,906,1364,88,0 15,0,2024-09-07 08:26:21:560,19290,0.9,19068,1.2,38266,1.0,50861,2.25 15,1,2024-09-07 08:26:21:611,153862,153862,0,0,72070045613,749655874,153039,788,35,381,391536,0 15,2,2024-09-07 08:26:21:013,114872,114872,0,0,4039289,0,3043 15,3,2024-09-07 08:26:21:409,1,88,1,0,538,2428,88,0 16,0,2024-09-07 08:26:20:985,20159,0.3,20322,0.5,40214,0.3,53915,2.00 16,1,2024-09-07 08:26:20:601,154236,154236,0,0,72348661009,757203416,153094,1114,28,372,391756,0 16,2,2024-09-07 08:26:21:448,112003,112003,0,0,5411341,0,4719 16,3,2024-09-07 08:26:21:145,1,88,1,1,231,1486,88,0 17,0,2024-09-07 08:26:21:791,24276,0.5,23789,0.7,46651,0.5,62669,1.75 17,1,2024-09-07 08:26:20:579,154390,154390,0,0,72264929865,761758965,152501,1365,524,369,391688,0 17,2,2024-09-07 08:26:21:684,108774,108774,0,0,4142673,0,2857 17,3,2024-09-07 08:26:20:575,1,88,12,0,268,1688,88,0 18,0,2024-09-07 08:26:20:948,23883,1.2,24320,1.1,48772,1.5,64804,2.50 18,1,2024-09-07 08:26:21:642,154479,154479,0,0,72130659398,750270695,153227,1034,218,368,391555,0 18,2,2024-09-07 08:26:21:757,109135,109135,0,0,5061248,0,3541 18,3,2024-09-07 08:26:20:895,1,88,1,0,163,1041,88,0 19,0,2024-09-07 08:26:21:545,21272,1.3,21507,1.2,42661,1.6,56881,3.75 19,1,2024-09-07 08:26:20:566,154653,154653,0,0,72621887495,758115393,152784,1705,164,367,391777,0 19,2,2024-09-07 08:26:21:765,115818,115818,0,0,4680056,0,3988 19,3,2024-09-07 08:26:21:133,1,88,4,0,524,1239,88,0 20,0,2024-09-07 08:26:21:400,18046,0.3,18060,0.5,35918,0.2,47620,1.75 20,1,2024-09-07 08:26:20:596,154509,154509,0,0,72524026196,760155137,152803,1542,164,370,391598,0 20,2,2024-09-07 08:26:20:946,112847,112847,0,0,4542859,0,2446 20,3,2024-09-07 08:26:20:589,1,88,6,0,99,984,88,0 21,0,2024-09-07 08:26:21:163,23895,0.4,23809,0.5,47479,0.3,63580,1.75 21,1,2024-09-07 08:26:21:535,154006,154006,0,0,71653494118,755676858,151656,1855,495,368,391962,0 21,2,2024-09-07 08:26:21:074,107743,107743,0,0,4706426,0,3747 21,3,2024-09-07 08:26:21:409,1,88,22,0,93,1154,88,0 22,0,2024-09-07 08:26:21:731,24162,1.5,24147,1.2,48214,2.5,64654,2.75 22,1,2024-09-07 08:26:21:027,154265,154265,0,0,72092222135,760881259,151123,2416,726,382,391667,0 22,2,2024-09-07 08:26:20:766,109389,109389,0,0,4177697,0,3134 22,3,2024-09-07 08:26:21:071,1,88,163,0,228,869,88,0 23,0,2024-09-07 08:26:21:385,22282,1.0,22346,1.0,44856,1.1,59638,2.50 23,1,2024-09-07 08:26:21:006,154897,154897,0,0,73009243578,757412036,153547,1096,254,365,391549,0 23,2,2024-09-07 08:26:21:094,114290,114290,0,0,4456235,0,2078 23,3,2024-09-07 08:26:21:757,1,88,1,0,645,1397,88,0 24,0,2024-09-07 08:26:20:835,17697,0.5,17690,0.7,35437,0.5,47072,1.75 24,1,2024-09-07 08:26:20:618,154639,154639,0,0,72030905085,749891102,153817,815,7,369,391640,0 24,2,2024-09-07 08:26:21:072,112140,112140,0,0,5772366,0,2942 24,3,2024-09-07 08:26:21:698,1,88,2,0,234,1160,88,0 25,0,2024-09-07 08:26:21:369,23577,0.3,22995,0.4,45232,0.2,61469,1.50 25,1,2024-09-07 08:26:20:558,154204,154204,0,0,72053287568,757834797,152045,1843,316,371,391788,0 25,2,2024-09-07 08:26:21:617,109386,109386,0,0,5207375,0,3284 25,3,2024-09-07 08:26:21:000,1,88,0,0,158,808,88,0 26,0,2024-09-07 08:26:21:730,23325,0.4,22777,0.6,47662,0.4,62600,1.75 26,1,2024-09-07 08:26:21:543,154863,154863,0,0,71836135037,758713354,151399,2629,835,381,391564,0 26,2,2024-09-07 08:26:20:861,109336,109336,0,0,5107687,0,2809 26,3,2024-09-07 08:26:21:712,1,88,1,0,796,1308,88,0 27,0,2024-09-07 08:26:21:741,23965,0.8,24162,0.9,47800,1.1,63907,2.00 27,1,2024-09-07 08:26:21:686,155327,155327,0,0,72835984344,756260397,154186,846,295,381,391539,0 27,2,2024-09-07 08:26:20:867,111034,111034,0,0,6135083,0,3409 27,3,2024-09-07 08:26:21:018,1,88,0,0,564,1256,88,0 28,0,2024-09-07 08:26:21:398,17782,0.6,18097,0.8,36045,0.7,47895,2.00 28,1,2024-09-07 08:26:20:799,154904,154904,0,0,73113253118,761321641,153840,864,200,383,391646,0 28,2,2024-09-07 08:26:21:763,113261,113261,0,0,4287521,0,2609 28,3,2024-09-07 08:26:21:777,1,88,2,0,502,1283,88,0 29,0,2024-09-07 08:26:21:368,22143,0.3,21456,0.5,42464,0.2,58392,1.75 29,1,2024-09-07 08:26:21:566,155924,155924,0,0,72576647415,749825214,155132,620,172,369,391621,0 29,2,2024-09-07 08:26:20:867,112550,112550,0,0,3805514,0,2026 29,3,2024-09-07 08:26:20:966,1,88,1,0,105,706,88,0 30,0,2024-09-07 08:26:21:459,23321,1.0,22767,0.9,47568,1.3,62111,2.50 30,1,2024-09-07 08:26:20:578,154950,154950,0,0,72594275079,755164935,153972,913,65,382,391584,0 30,2,2024-09-07 08:26:21:275,109258,109258,0,0,3681554,0,2534 30,3,2024-09-07 08:26:20:589,1,88,1,0,195,675,88,0 31,0,2024-09-07 08:26:21:767,24550,1.1,24695,1.0,49736,1.3,65870,2.25 31,1,2024-09-07 08:26:20:579,155498,155498,0,0,72766748751,745062403,155247,249,2,356,391553,0 31,2,2024-09-07 08:26:21:282,109981,109981,0,0,5282975,0,3525 31,3,2024-09-07 08:26:21:707,1,88,1,0,129,591,88,0 32,0,2024-09-07 08:26:21:423,21082,1.0,21322,1.0,42606,1.3,56426,1.75 32,1,2024-09-07 08:26:20:808,155167,155167,0,0,73039561582,758931541,154163,975,29,382,391595,0 32,2,2024-09-07 08:26:20:943,114839,114839,0,0,4475284,0,3155 32,3,2024-09-07 08:26:21:020,1,88,1,0,110,752,88,0 33,0,2024-09-07 08:26:21:508,19359,0.2,19053,0.4,38832,0.1,51486,1.50 33,1,2024-09-07 08:26:20:576,155493,155493,0,0,72963416647,758038659,153831,1539,123,369,391730,0 33,2,2024-09-07 08:26:20:759,113214,113181,33,0,5921289,0,7012 33,3,2024-09-07 08:26:20:896,1,88,1,0,129,603,88,0 34,0,2024-09-07 08:26:20:947,23650,0.3,24452,0.5,46869,0.3,62536,1.75 34,1,2024-09-07 08:26:21:051,155488,155488,0,0,72986751051,752006908,154891,593,4,367,391517,0 34,2,2024-09-07 08:26:20:767,107022,107022,0,0,4810267,0,3255 34,3,2024-09-07 08:26:21:696,1,88,2,0,148,710,88,0 35,0,2024-09-07 08:26:20:885,24251,0.7,24491,0.7,48731,0.8,65193,1.75 35,1,2024-09-07 08:26:21:071,154896,154896,0,0,72513344542,753876912,153420,1114,362,384,391587,0 35,2,2024-09-07 08:26:21:582,108979,108979,0,0,4469832,0,2542 35,3,2024-09-07 08:26:20:906,1,88,0,0,219,1088,88,0 36,0,2024-09-07 08:26:21:523,22161,1.6,22132,1.3,44743,1.8,59094,2.50 36,1,2024-09-07 08:26:20:605,154300,154300,0,0,71797391976,753952561,151287,2082,931,366,391543,0 36,2,2024-09-07 08:26:21:765,114711,114711,0,0,5577838,0,3303 36,3,2024-09-07 08:26:20:865,1,88,1,0,378,1154,88,0 37,0,2024-09-07 08:26:21:399,17161,0.3,17059,0.5,34422,0.2,46287,1.75 37,1,2024-09-07 08:26:20:571,154324,154317,0,7,71737288005,756987492,151475,1323,1519,365,391518,0 37,2,2024-09-07 08:26:21:141,113021,113021,0,0,4031861,0,2333 37,3,2024-09-07 08:26:21:774,1,88,6,0,724,1947,88,0 38,0,2024-09-07 08:26:21:444,22763,0.3,22081,0.4,46191,0.2,60760,1.75 38,1,2024-09-07 08:26:21:605,155337,155337,0,0,72397129443,756858362,153179,1711,447,368,391821,0 38,2,2024-09-07 08:26:20:766,108903,108903,0,0,4733944,0,3245 38,3,2024-09-07 08:26:21:000,1,88,1,0,603,1432,88,0 39,0,2024-09-07 08:26:21:773,24801,0.9,24280,0.8,47229,1.1,64679,2.00 39,1,2024-09-07 08:26:20:715,154585,154585,0,0,72243746153,756139903,151951,1996,638,365,391524,0 39,2,2024-09-07 08:26:21:422,109402,109402,0,0,4424976,0,2381 39,3,2024-09-07 08:26:20:713,1,88,3,0,276,1244,88,0 40,0,2024-09-07 08:26:21:502,23056,1.6,23398,1.9,46418,3.3,62218,3.50 40,1,2024-09-07 08:26:20:583,154368,154368,0,0,71934915740,756819554,151111,2503,754,369,391591,0 40,2,2024-09-07 08:26:21:307,113494,113493,1,0,5635015,0,5137 40,3,2024-09-07 08:26:21:156,1,88,12,0,110,839,88,0 41,0,2024-09-07 08:26:21:031,17015,0.5,17400,3.1,33233,0.5,46347,2.25 41,1,2024-09-07 08:26:20:799,154460,154460,0,0,72130029154,756170482,152036,2111,313,370,391742,0 41,2,2024-09-07 08:26:20:759,112099,112099,0,0,5816913,0,3356 41,3,2024-09-07 08:26:21:677,1,88,2,0,168,881,88,0 42,0,2024-09-07 08:26:21:500,22588,0.4,22939,0.6,45492,0.3,60693,2.00 42,1,2024-09-07 08:26:21:475,153922,153922,0,0,71960478100,758748328,150928,2164,830,380,391675,0 42,2,2024-09-07 08:26:21:135,110779,110779,0,0,5101834,0,3568 42,3,2024-09-07 08:26:21:013,1,88,1,0,100,722,88,0 43,0,2024-09-07 08:26:20:928,23406,1.0,22871,1.0,47738,1.2,62674,2.00 43,1,2024-09-07 08:26:20:576,154161,154161,0,0,72007825127,753118178,151894,1515,752,366,391604,0 43,2,2024-09-07 08:26:21:743,109552,109552,0,0,5108763,0,3812 43,3,2024-09-07 08:26:21:758,1,88,1,0,325,1778,88,0 44,0,2024-09-07 08:26:20:865,24912,0.7,24820,0.9,49726,0.7,66387,2.00 44,1,2024-09-07 08:26:20:567,154879,154879,0,0,72439634632,748422210,153857,915,107,356,391569,0 44,2,2024-09-07 08:26:21:268,110619,110619,0,0,3931695,0,1865 44,3,2024-09-07 08:26:21:098,1,88,9,0,817,1372,88,0 45,0,2024-09-07 08:26:21:762,18927,1.3,18519,1.3,38856,1.2,51225,2.00 45,1,2024-09-07 08:26:21:004,155028,155028,0,0,72941930621,755940745,154559,468,1,382,391917,0 45,2,2024-09-07 08:26:21:277,114501,114501,0,0,4585119,0,2628 45,3,2024-09-07 08:26:20:936,1,88,1,0,226,873,88,0 46,0,2024-09-07 08:26:20:960,20301,0.3,20317,0.4,40774,0.2,54182,1.50 46,1,2024-09-07 08:26:20:577,155379,155379,0,0,72973494302,753971328,154526,815,38,367,391514,0 46,2,2024-09-07 08:26:20:600,113508,113508,0,0,4464830,0,2920 46,3,2024-09-07 08:26:21:132,1,88,3,0,315,1123,88,0 47,0,2024-09-07 08:26:21:120,23611,0.3,23880,0.5,47743,0.3,62446,1.75 47,1,2024-09-07 08:26:20:580,155343,155343,0,0,72026172109,742865230,154833,506,4,366,391605,0 47,2,2024-09-07 08:26:20:910,108791,108791,0,0,4395020,0,2558 47,3,2024-09-07 08:26:21:116,1,88,3,0,529,1174,88,0 48,0,2024-09-07 08:26:21:533,24827,0.3,24587,0.5,49027,0.3,65460,1.75 48,1,2024-09-07 08:26:21:021,154892,154892,0,0,72385714660,752945757,153689,1197,6,384,391637,0 48,2,2024-09-07 08:26:20:705,109379,109379,0,0,3724782,0,2083 48,3,2024-09-07 08:26:20:755,1,88,1,0,39,706,88,0 49,0,2024-09-07 08:26:21:735,22221,0.8,21624,0.9,42511,0.9,58391,1.75 49,1,2024-09-07 08:26:21:020,154318,154318,0,0,72812817170,761457075,152579,1029,710,382,391583,0 49,2,2024-09-07 08:26:21:799,115432,115432,0,0,4954055,0,3900 49,3,2024-09-07 08:26:21:422,1,88,1,0,274,868,88,0 50,0,2024-09-07 08:26:21:519,18135,0.2,17853,0.4,36068,0.1,48155,1.50 50,1,2024-09-07 08:26:21:013,155412,155412,0,0,73053625834,758956629,153740,1375,297,368,391530,0 50,2,2024-09-07 08:26:21:073,113209,113209,0,0,4230096,0,2253 50,3,2024-09-07 08:26:21:306,1,88,1,0,335,906,88,0 51,0,2024-09-07 08:26:21:695,24330,0.2,23791,0.4,46609,0.2,63878,1.50 51,1,2024-09-07 08:26:21:686,154827,154827,0,0,73956687749,768812195,153025,1018,784,367,391637,0 51,2,2024-09-07 08:26:21:316,107340,107340,0,0,3406632,0,2448 51,3,2024-09-07 08:26:21:027,1,88,1,0,162,560,88,0 52,0,2024-09-07 08:26:21:437,24375,0.7,24533,0.8,49045,0.8,65167,2.25 52,1,2024-09-07 08:26:20:581,154426,154426,0,0,72023135840,755721022,151911,2050,465,368,391594,0 52,2,2024-09-07 08:26:21:770,109225,109225,0,0,4685489,0,4779 52,3,2024-09-07 08:26:20:681,1,88,72,0,1782,2533,88,0 53,0,2024-09-07 08:26:21:740,22168,1.8,21413,1.3,44656,2.4,58959,2.75 53,1,2024-09-07 08:26:20:772,154353,154353,0,0,72406791072,759641683,151729,2049,575,370,391617,0 53,2,2024-09-07 08:26:21:302,115259,115259,0,0,4274154,0,1902 53,3,2024-09-07 08:26:20:704,1,88,0,0,133,698,88,0 54,0,2024-09-07 08:26:21:629,16790,6.2,17060,1.6,33537,0.5,46072,4.25 54,1,2024-09-07 08:26:20:580,154602,154602,0,0,72840516752,759589092,152492,1794,316,367,391520,0 54,2,2024-09-07 08:26:20:865,113092,113086,6,0,5062481,0,5382 54,3,2024-09-07 08:26:20:763,1,88,1,0,676,1751,88,0 55,0,2024-09-07 08:26:21:767,22383,0.3,23073,0.5,46621,0.2,60958,2.00 55,1,2024-09-07 08:26:20:766,153813,153813,0,0,72113872111,756582671,150330,2781,702,367,391731,0 55,2,2024-09-07 08:26:20:728,109611,109611,0,0,4920994,0,3275 55,3,2024-09-07 08:26:20:681,1,88,62,0,136,933,88,0 56,0,2024-09-07 08:26:21:564,24045,1.8,22758,1.3,46703,2.3,62544,2.50 56,1,2024-09-07 08:26:20:593,154034,154034,0,0,73143481664,775879912,150633,2653,748,382,391678,0 56,2,2024-09-07 08:26:21:309,109638,109638,0,0,5416316,0,3567 56,3,2024-09-07 08:26:21:061,1,88,1,0,297,1043,88,0 57,0,2024-09-07 08:26:20:949,23450,2.9,23214,1.7,46745,4.8,62544,4.25 57,1,2024-09-07 08:26:21:032,154120,154120,0,0,71753598258,749978298,152639,1461,20,368,391760,0 57,2,2024-09-07 08:26:21:327,112395,112395,0,0,5460551,0,3178 57,3,2024-09-07 08:26:21:752,1,88,1,0,359,1713,88,0 58,0,2024-09-07 08:26:20:579,17189,0.6,16816,0.9,35158,0.5,46049,2.50 58,1,2024-09-07 08:26:20:579,155544,155541,0,3,72730220544,756662784,153939,1442,160,369,391516,3 58,2,2024-09-07 08:26:21:072,113066,113066,0,0,5070991,0,2549 58,3,2024-09-07 08:26:21:071,1,88,13,0,219,902,88,0 59,0,2024-09-07 08:26:21:771,21731,0.4,21571,0.7,43355,0.3,57942,2.00 59,1,2024-09-07 08:26:20:813,154251,154251,0,0,72648597178,761899134,152000,1483,768,369,391515,0 59,2,2024-09-07 08:26:20:583,112650,112650,0,0,4579826,0,2604 59,3,2024-09-07 08:26:21:741,1,88,70,0,1015,2139,88,0 60,0,2024-09-07 08:26:21:710,23645,0.5,23802,0.6,47034,0.5,62340,1.75 60,1,2024-09-07 08:26:20:775,155897,155897,0,0,73076256649,759517109,154806,742,349,370,391758,0 60,2,2024-09-07 08:26:21:140,108438,108438,0,0,4119347,0,2142 60,3,2024-09-07 08:26:21:258,1,88,1,0,124,975,88,0 61,0,2024-09-07 08:26:21:523,24617,2.3,24746,1.4,49450,3.6,65970,2.25 61,1,2024-09-07 08:26:20:772,154158,154158,0,0,72615647290,764309122,151595,2027,536,382,391589,0 61,2,2024-09-07 08:26:21:116,109978,109978,0,0,4366831,0,1846 61,3,2024-09-07 08:26:21:700,1,88,1,0,199,1196,88,0 62,0,2024-09-07 08:26:21:718,21217,0.9,21697,1.0,41561,1.3,56430,2.00 62,1,2024-09-07 08:26:21:112,155279,155273,0,6,73034020312,755777122,154018,1217,38,365,391586,6 62,2,2024-09-07 08:26:21:644,114276,114276,0,0,4945422,0,2931 62,3,2024-09-07 08:26:21:157,1,88,2,0,287,693,88,0 63,0,2024-09-07 08:26:21:484,19260,0.3,19122,0.5,38628,0.2,51465,1.75 63,1,2024-09-07 08:26:20:870,155712,155707,0,5,72571787440,750265128,155298,406,3,381,391540,5 63,2,2024-09-07 08:26:20:772,112826,112826,0,0,4466913,0,2674 63,3,2024-09-07 08:26:21:734,1,88,5,0,667,1930,88,0 64,0,2024-09-07 08:26:21:521,23532,0.4,23350,0.6,46957,0.4,62421,1.75 64,1,2024-09-07 08:26:20:753,154719,154719,0,0,72588829629,762908658,152267,1657,795,371,391783,0 64,2,2024-09-07 08:26:21:143,108087,108068,19,0,4860512,0,6121 64,3,2024-09-07 08:26:21:141,1,88,1,0,265,1074,88,0 65,0,2024-09-07 08:26:21:711,23779,1.9,24126,1.3,48256,2.3,64448,3.25 65,1,2024-09-07 08:26:20:862,154210,154210,0,0,72502964090,759856553,152498,1493,219,382,391770,0 65,2,2024-09-07 08:26:21:697,109887,109887,0,0,5083295,0,3367 65,3,2024-09-07 08:26:21:693,1,88,1,0,163,945,88,0 66,0,2024-09-07 08:26:21:787,21728,0.6,21923,0.9,43944,0.6,58531,2.25 66,1,2024-09-07 08:26:21:300,155522,155522,0,0,72676520882,753649949,154829,672,21,380,391588,0 66,2,2024-09-07 08:26:21:133,116314,116314,0,0,4691812,0,3867 66,3,2024-09-07 08:26:21:082,1,88,0,0,291,989,88,0 67,0,2024-09-07 08:26:21:429,17363,0.4,17325,0.6,34421,0.2,46226,2.00 67,1,2024-09-07 08:26:20:774,154404,154403,0,1,72042229050,755718970,152378,1500,525,382,391787,1 67,2,2024-09-07 08:26:20:592,113585,113585,0,0,4281510,0,2889 67,3,2024-09-07 08:26:21:750,1,88,1,0,138,716,88,0 68,0,2024-09-07 08:26:20:648,22950,0.4,22799,0.6,45661,0.3,60693,1.75 68,1,2024-09-07 08:26:20:583,154031,154031,0,0,72712735776,761751011,152601,908,522,381,391953,0 68,2,2024-09-07 08:26:21:045,108415,108415,0,0,4250843,0,4883 68,3,2024-09-07 08:26:20:731,1,88,11,0,151,979,88,0 69,0,2024-09-07 08:26:21:754,24126,1.4,24117,1.1,48169,1.8,64652,2.50 69,1,2024-09-07 08:26:21:016,154090,154090,0,0,72362951686,765238426,152090,1133,867,384,391611,0 69,2,2024-09-07 08:26:21:733,109495,109495,0,0,4928199,0,3153 69,3,2024-09-07 08:26:20:769,1,88,1,0,238,1215,88,0 70,0,2024-09-07 08:26:21:564,23117,3.0,23178,2.1,46695,1.8,61906,4.25 70,1,2024-09-07 08:26:20:801,155071,155071,0,0,72969949490,755097166,154152,784,135,368,391527,0 70,2,2024-09-07 08:26:21:328,113130,113130,0,0,4918659,0,4044 70,3,2024-09-07 08:26:20:754,1,88,3,0,178,535,88,0 71,0,2024-09-07 08:26:21:396,17030,1.0,17100,2.1,33899,1.2,46506,3.75 71,1,2024-09-07 08:26:21:607,154845,154845,0,0,72682309734,759055176,152643,1886,316,368,391682,0 71,2,2024-09-07 08:26:21:073,113146,113146,0,0,4540792,0,2146 71,3,2024-09-07 08:26:21:755,1,88,1,0,219,1109,88,0 72,0,2024-09-07 08:26:21:043,23386,0.3,22795,0.5,44767,0.2,60965,2.25 72,1,2024-09-07 08:26:21:032,155001,155001,0,0,72265910376,752601220,153448,1475,78,370,391556,0 72,2,2024-09-07 08:26:21:769,110531,110531,0,0,5772471,0,2570 72,3,2024-09-07 08:26:21:756,1,88,0,0,325,1420,88,0 73,0,2024-09-07 08:26:21:119,23044,0.4,23607,0.6,48069,0.4,62340,2.25 73,1,2024-09-07 08:26:20:766,154735,154735,0,0,72155140079,749919324,153765,925,45,368,391627,0 73,2,2024-09-07 08:26:21:745,110175,110175,0,0,5217751,0,3482 73,3,2024-09-07 08:26:20:970,1,88,1,0,274,1414,88,0 74,0,2024-09-07 08:26:21:342,24942,1.2,25318,1.2,48563,1.8,65857,3.00 74,1,2024-09-07 08:26:20:635,154386,154386,0,0,72412764107,756775021,152485,1329,572,382,391511,0 74,2,2024-09-07 08:26:21:027,110472,110472,0,0,5577280,0,4253 74,3,2024-09-07 08:26:21:452,1,88,3,0,246,1511,88,0 75,0,2024-09-07 08:26:21:786,19295,1.8,19261,1.4,38346,2.3,51309,3.00 75,1,2024-09-07 08:26:21:585,154553,154553,0,0,72457765678,757890209,152850,1599,104,381,391579,0 75,2,2024-09-07 08:26:21:352,113893,113893,0,0,5415370,0,4766 75,3,2024-09-07 08:26:21:071,1,88,1,0,143,625,88,0 76,0,2024-09-07 08:26:20:651,20405,0.3,20022,0.5,40196,0.2,53764,2.00 76,1,2024-09-07 08:26:20:810,154511,154511,0,0,72109917355,756537235,152698,1307,506,382,391530,0 76,2,2024-09-07 08:26:21:069,113644,113644,0,0,4495638,0,3064 76,3,2024-09-07 08:26:21:145,1,88,1,0,175,1071,88,0 77,0,2024-09-07 08:26:21:725,23615,0.6,23828,0.8,47632,0.7,62365,1.75 77,1,2024-09-07 08:26:20:844,154461,154461,0,0,72328192260,753948404,153419,973,69,383,391555,0 77,2,2024-09-07 08:26:21:283,108341,108341,0,0,3835935,0,1695 77,3,2024-09-07 08:26:21:103,1,88,2,0,139,882,88,0 78,0,2024-09-07 08:26:21:728,24595,0.8,24518,1.0,49080,0.9,65224,2.25 78,1,2024-09-07 08:26:20:631,155171,155171,0,0,72195286441,751542572,153748,1218,205,367,391589,0 78,2,2024-09-07 08:26:21:410,109594,109594,0,0,4051844,0,2114 78,3,2024-09-07 08:26:21:147,1,88,2,0,181,1315,88,0 79,0,2024-09-07 08:26:21:352,20813,0.7,21328,0.9,43657,0.7,57320,2.75 79,1,2024-09-07 08:26:20:571,155247,155247,0,0,72588814847,753761533,153906,1272,69,370,391682,0 79,2,2024-09-07 08:26:21:080,115696,115696,0,0,4582711,0,2679 79,3,2024-09-07 08:26:20:751,1,88,3,0,289,943,88,0 80,0,2024-09-07 08:26:21:119,17906,0.4,18507,0.6,35552,0.3,47863,1.75 80,1,2024-09-07 08:26:21:619,154475,154475,0,0,72408360963,754102527,152881,1479,115,369,391673,0 80,2,2024-09-07 08:26:21:094,113496,113496,0,0,4703765,0,4433 80,3,2024-09-07 08:26:20:578,1,88,10,1,190,1440,88,0 81,0,2024-09-07 08:26:21:540,23775,0.5,24483,0.6,46891,0.5,63896,1.75 81,1,2024-09-07 08:26:21:661,154634,154634,0,0,71983809147,755109150,152742,1694,198,383,391680,0 81,2,2024-09-07 08:26:21:133,106997,106997,0,0,4830566,0,3993 81,3,2024-09-07 08:26:21:117,1,88,2,0,193,906,88,0 82,0,2024-09-07 08:26:21:543,24261,0.8,24268,1.0,48795,0.9,65220,2.25 82,1,2024-09-07 08:26:20:595,154547,154543,0,4,72351083614,757005548,152489,1481,573,382,391558,4 82,2,2024-09-07 08:26:21:691,110452,110452,0,0,4061053,0,2230 82,3,2024-09-07 08:26:21:758,1,88,10,0,227,1419,88,0 83,0,2024-09-07 08:26:21:557,22309,1.5,22200,1.2,44110,1.8,58759,2.75 83,1,2024-09-07 08:26:20:551,154584,154584,0,0,72475403466,757232040,153163,1320,101,383,391553,0 83,2,2024-09-07 08:26:20:770,113695,113695,0,0,5058137,0,3119 83,3,2024-09-07 08:26:20:757,1,88,1,0,91,704,88,0 84,0,2024-09-07 08:26:21:786,17254,1.5,17031,2.1,34166,0.5,46693,2.75 84,1,2024-09-07 08:26:21:047,154406,154406,0,0,72365018568,757723310,152783,1374,249,369,391638,0 84,2,2024-09-07 08:26:20:571,112854,112854,0,0,4910516,0,3801 84,3,2024-09-07 08:26:21:145,1,88,6,1,58,863,88,0 85,0,2024-09-07 08:26:21:014,22189,0.3,22190,0.5,47117,0.2,61183,1.75 85,1,2024-09-07 08:26:20:566,154115,154115,0,0,71925529565,759914345,151652,2034,429,383,391679,0 85,2,2024-09-07 08:26:20:867,109618,109618,0,0,5133703,0,3656 85,3,2024-09-07 08:26:20:688,1,88,0,0,115,804,88,0 86,0,2024-09-07 08:26:20:906,23375,0.7,24186,0.7,46551,0.9,62597,2.00 86,1,2024-09-07 08:26:20:828,154209,154209,0,0,72581181646,758442285,152405,1587,217,367,391622,0 86,2,2024-09-07 08:26:20:861,108991,108990,1,0,5429809,0,5004 86,3,2024-09-07 08:26:20:599,1,88,1,0,199,968,88,0 87,0,2024-09-07 08:26:21:316,23890,2.6,23697,1.6,47603,3.9,63759,3.00 87,1,2024-09-07 08:26:20:549,154704,154704,0,0,72628338676,759682961,153116,1467,121,366,391788,0 87,2,2024-09-07 08:26:21:072,112007,112007,0,0,4177985,0,2859 87,3,2024-09-07 08:26:21:795,1,88,2,1,322,1308,88,0 88,0,2024-09-07 08:26:21:486,17853,0.6,18131,0.8,35899,0.7,47919,1.75 88,1,2024-09-07 08:26:20:583,154349,154349,0,0,72759662685,759626060,153132,1120,97,367,391787,0 88,2,2024-09-07 08:26:20:689,113627,113627,0,0,5699801,0,3583 88,3,2024-09-07 08:26:21:267,1,88,1,1,77,1042,88,0 89,0,2024-09-07 08:26:21:901,22318,0.3,21610,0.5,42831,0.3,58512,1.50 89,1,2024-09-07 08:26:20:553,153729,153729,0,0,72967296452,775039651,150400,2593,736,382,391866,0 89,2,2024-09-07 08:26:21:145,112127,112127,0,0,5161378,0,2726 89,3,2024-09-07 08:26:21:809,1,88,1,0,325,1969,88,0 90,0,2024-09-07 08:26:21:663,22835,0.4,23310,0.6,47916,0.4,62247,1.75 90,1,2024-09-07 08:26:20:610,154594,154594,0,0,72426969547,762332033,152533,1918,143,382,391825,0 90,2,2024-09-07 08:26:21:420,107569,107569,0,0,5737287,0,2635 90,3,2024-09-07 08:26:20:932,1,88,2,1,200,1024,88,0 91,0,2024-09-07 08:26:20:937,25145,0.6,24398,0.7,50574,0.7,66393,1.75 91,1,2024-09-07 08:26:20:562,154320,154320,0,0,72372361865,762816616,151914,2040,366,383,391914,0 91,2,2024-09-07 08:26:21:334,109320,109320,0,0,4909426,0,1997 91,3,2024-09-07 08:26:20:609,1,88,1,0,155,1193,88,0 92,0,2024-09-07 08:26:21:467,21399,0.7,21883,0.9,41781,1.0,56642,1.75 92,1,2024-09-07 08:26:20:580,154916,154916,0,0,72475716095,755030946,154251,596,69,382,391717,0 92,2,2024-09-07 08:26:21:371,116147,116147,0,0,4859340,0,2279 92,3,2024-09-07 08:26:21:013,1,88,8,0,68,918,88,0 93,0,2024-09-07 08:26:21:000,19385,0.3,19646,0.5,37605,0.2,51522,1.50 93,1,2024-09-07 08:26:20:812,154796,154796,0,0,72766414632,761412684,153029,1330,437,367,391689,0 93,2,2024-09-07 08:26:20:934,113164,113164,0,0,4413676,0,2509 93,3,2024-09-07 08:26:21:424,1,88,7,0,143,852,88,0 94,0,2024-09-07 08:26:21:629,23739,0.3,23911,0.5,47318,0.3,62423,1.50 94,1,2024-09-07 08:26:20:579,154086,154086,0,0,71747289912,753376575,152490,1530,66,381,391850,0 94,2,2024-09-07 08:26:20:762,107060,107060,0,0,4304267,0,2443 94,3,2024-09-07 08:26:21:690,1,88,1,1,231,1373,88,0 95,0,2024-09-07 08:26:21:359,24466,0.4,24364,0.6,49136,0.4,65261,1.75 95,1,2024-09-07 08:26:20:851,154874,154874,0,0,72410418067,752534390,154061,749,64,367,391590,0 95,2,2024-09-07 08:26:21:017,109632,109632,0,0,4685405,0,3308 95,3,2024-09-07 08:26:21:712,1,88,16,0,307,1382,88,0 96,0,2024-09-07 08:26:21:069,22380,0.8,22276,0.8,44519,0.8,58994,1.75 96,1,2024-09-07 08:26:21:587,154638,154638,0,0,72363347286,759940243,152792,1275,571,385,391596,0 96,2,2024-09-07 08:26:21:278,115498,115498,0,0,5197341,0,4038 96,3,2024-09-07 08:26:21:148,1,88,69,0,69,837,88,0 97,0,2024-09-07 08:26:21:327,17115,0.3,17169,0.5,34575,0.2,46271,1.75 97,1,2024-09-07 08:26:20:768,154758,154758,0,0,72975294527,762732657,152560,1679,519,367,392140,0 97,2,2024-09-07 08:26:20:629,112608,112608,0,0,4422348,0,3036 97,3,2024-09-07 08:26:20:581,1,88,44,1,165,1113,88,0 98,0,2024-09-07 08:26:21:703,22792,0.2,22594,0.4,45931,0.2,60933,1.50 98,1,2024-09-07 08:26:20:578,154624,154624,0,0,72524261390,757084517,153543,1036,45,382,391997,0 98,2,2024-09-07 08:26:20:770,109400,109400,0,0,4544404,0,3080 98,3,2024-09-07 08:26:20:705,1,88,1,1,155,1061,88,0 99,0,2024-09-07 08:26:21:457,24180,0.3,24116,0.5,48221,0.3,64629,1.75 99,1,2024-09-07 08:26:21:724,154988,154988,0,0,72320730227,755540711,153487,1055,446,381,391744,0 99,2,2024-09-07 08:26:21:417,110775,110775,0,0,4748110,0,1858 99,3,2024-09-07 08:26:20:581,1,88,1,0,129,766,88,0 100,0,2024-09-07 08:26:21:479,23475,1.7,23306,2.1,46534,4.7,62725,2.50 100,1,2024-09-07 08:26:20:553,153809,153809,0,0,71607734761,759643129,151059,2405,345,381,391989,0 100,2,2024-09-07 08:26:21:820,113005,112994,11,0,5738434,0,5417 100,3,2024-09-07 08:26:21:735,1,88,3,0,443,2025,88,0 101,0,2024-09-07 08:26:21:739,17619,2.5,17216,1.4,33933,0.6,47568,2.50 101,1,2024-09-07 08:26:20:549,154167,154167,0,0,72727184010,762435691,152677,1040,450,369,391709,0 101,2,2024-09-07 08:26:21:776,112517,112517,0,0,5018532,0,4644 101,3,2024-09-07 08:26:20:942,1,88,1,0,448,1139,88,0 102,0,2024-09-07 08:26:20:963,22175,0.3,22986,0.5,46129,0.2,60647,1.75 102,1,2024-09-07 08:26:21:146,154225,154225,0,0,71977080688,758935197,151748,2008,469,369,391831,0 102,2,2024-09-07 08:26:21:741,111893,111893,0,0,4184423,0,1945 102,3,2024-09-07 08:26:21:623,1,88,0,0,410,932,88,0 103,0,2024-09-07 08:26:21:607,24215,0.5,24082,0.7,45813,0.5,62764,1.75 103,1,2024-09-07 08:26:21:639,154187,154187,0,0,72490917614,758876584,152954,1089,144,381,391680,0 103,2,2024-09-07 08:26:20:582,108957,108957,0,0,4572592,0,2104 103,3,2024-09-07 08:26:20:755,1,88,1,1,486,1162,88,0 104,0,2024-09-07 08:26:21:023,24769,1.6,24703,1.3,48471,1.9,66162,2.50 104,1,2024-09-07 08:26:21:601,154893,154893,0,0,72136458391,758397688,152933,1634,326,366,391948,0 104,2,2024-09-07 08:26:21:669,109792,109792,0,0,5294813,0,3941 104,3,2024-09-07 08:26:21:430,1,88,2,1,1245,3964,88,0 105,0,2024-09-07 08:26:21:051,19069,0.9,18445,1.4,38318,1.3,51033,3.25 105,1,2024-09-07 08:26:20:553,154245,154245,0,0,72523643800,764524143,151287,2211,747,367,391797,0 105,2,2024-09-07 08:26:21:322,113793,113793,0,0,5059712,0,3314 105,3,2024-09-07 08:26:21:306,1,88,2,1,399,1702,88,0 106,0,2024-09-07 08:26:20:953,19623,0.3,20186,0.5,40871,0.2,53799,1.75 106,1,2024-09-07 08:26:21:751,154640,154640,0,0,71992258991,756691997,152222,2090,328,370,391767,0 106,2,2024-09-07 08:26:20:756,112109,112109,0,0,5048719,0,2795 106,3,2024-09-07 08:26:20:692,1,88,19,1,201,963,88,0 107,0,2024-09-07 08:26:21:138,23829,0.5,23668,0.6,47092,0.4,62661,1.75 107,1,2024-09-07 08:26:20:590,153956,153956,0,0,72485468919,764031365,152011,1755,190,381,392234,0 107,2,2024-09-07 08:26:21:292,107665,107664,1,0,4787959,0,5024 107,3,2024-09-07 08:26:21:769,1,88,9,0,353,1423,88,0 108,0,2024-09-07 08:26:21:806,24705,0.5,24954,0.7,49157,0.5,65530,1.75 108,1,2024-09-07 08:26:21:311,154505,154505,0,0,72417252969,757952141,153023,1346,136,371,391857,0 108,2,2024-09-07 08:26:21:773,109155,109155,0,0,4808470,0,2647 108,3,2024-09-07 08:26:21:330,1,88,1,0,73,1105,88,0 109,0,2024-09-07 08:26:21:837,21671,0.7,21846,0.8,43183,0.8,58413,1.75 109,1,2024-09-07 08:26:20:589,154207,154207,0,0,72958517318,768030509,152535,1289,383,383,391812,0 109,2,2024-09-07 08:26:20:922,114160,114160,0,0,5278149,0,3617 109,3,2024-09-07 08:26:21:140,1,88,1,1,249,1425,88,0 110,0,2024-09-07 08:26:21:767,18145,0.2,17555,0.4,36594,0.2,47830,1.50 110,1,2024-09-07 08:26:21:645,154917,154917,0,0,72477352822,753931570,153593,1037,287,370,391588,0 110,2,2024-09-07 08:26:21:306,113328,113328,0,0,4417888,0,2915 110,3,2024-09-07 08:26:20:705,1,88,13,0,183,796,88,0 111,0,2024-09-07 08:26:21:426,23864,0.2,23554,0.4,47075,0.1,63896,1.50 111,1,2024-09-07 08:26:21:018,155412,155412,0,0,73312026847,758671892,154764,634,14,382,391690,0 111,2,2024-09-07 08:26:21:123,107796,107796,0,0,4534979,0,2763 111,3,2024-09-07 08:26:20:913,1,88,14,0,300,1644,88,0 112,0,2024-09-07 08:26:20:927,24626,0.4,24590,0.5,48908,0.3,65515,1.75 112,1,2024-09-07 08:26:20:827,154614,154614,0,0,73153274658,762515945,153101,1167,346,381,391580,0 112,2,2024-09-07 08:26:21:135,109902,109901,1,0,5018637,0,5036 112,3,2024-09-07 08:26:20:602,1,88,0,0,282,914,88,0 113,0,2024-09-07 08:26:20:897,22318,0.5,22171,0.7,45112,0.5,59839,1.75 113,1,2024-09-07 08:26:21:692,154989,154989,0,0,72928759910,757879186,153096,1509,384,368,391661,0 113,2,2024-09-07 08:26:21:305,115104,115104,0,0,4155428,0,3021 113,3,2024-09-07 08:26:20:685,1,88,2,0,288,1473,88,0 114,0,2024-09-07 08:26:20:883,17569,2.7,17755,1.2,34817,0.5,47177,2.00 114,1,2024-09-07 08:26:20:716,154779,154779,0,0,72728296869,764104885,152151,1747,881,381,391531,0 114,2,2024-09-07 08:26:20:874,112606,112606,0,0,4625307,0,3925 114,3,2024-09-07 08:26:21:291,1,88,4,0,159,959,88,0 115,0,2024-09-07 08:26:20:562,22719,0.2,22935,0.3,46140,0.1,61240,1.50 115,1,2024-09-07 08:26:20:581,154694,154694,0,0,72845607720,762089845,152319,1888,487,383,391528,0 115,2,2024-09-07 08:26:21:125,110292,110292,0,0,3971389,0,2152 115,3,2024-09-07 08:26:21:001,1,88,16,0,159,580,88,0 116,0,2024-09-07 08:26:21:756,23660,0.8,23190,0.9,47079,1.0,62632,2.00 116,1,2024-09-07 08:26:20:807,154197,154197,0,0,72655213812,768083373,152303,1023,871,382,391605,0 116,2,2024-09-07 08:26:21:766,108985,108985,0,0,5130026,0,3529 116,3,2024-09-07 08:26:20:912,1,88,21,1,252,1404,88,0 117,0,2024-09-07 08:26:20:972,23860,2.1,23944,1.4,47542,3.3,63821,2.25 117,1,2024-09-07 08:26:21:588,154771,154771,0,0,71934293345,757107777,152445,2032,294,370,392033,0 117,2,2024-09-07 08:26:21:128,112606,112606,0,0,4560205,0,3700 117,3,2024-09-07 08:26:21:063,1,88,6,0,490,1902,88,0 118,0,2024-09-07 08:26:21:787,17482,0.6,17874,0.8,36633,0.6,47734,2.00 118,1,2024-09-07 08:26:20:589,154728,154728,0,0,71267365963,745964267,153478,1170,80,368,391736,0 118,2,2024-09-07 08:26:21:589,113566,113566,0,0,4936744,0,2781 118,3,2024-09-07 08:26:21:773,1,88,4,1,235,1311,88,0 119,0,2024-09-07 08:26:21:360,21408,0.3,21386,0.4,43616,0.2,57978,1.75 119,1,2024-09-07 08:26:20:548,154946,154946,0,0,72554569873,758998007,153324,1473,149,370,391641,0 119,2,2024-09-07 08:26:21:282,111662,111662,0,0,4698099,0,2532 119,3,2024-09-07 08:26:21:329,1,88,1,0,443,2234,88,0 120,0,2024-09-07 08:26:21:595,23298,0.6,23433,0.8,46463,0.6,62230,2.00 120,1,2024-09-07 08:26:20:864,154619,154619,0,0,73104084835,766447476,153085,1518,16,368,391702,0 120,2,2024-09-07 08:26:20:771,107945,107944,1,0,5756220,0,5281 120,3,2024-09-07 08:26:21:301,1,88,2,0,241,1466,88,0 121,0,2024-09-07 08:26:21:732,24602,2.2,24876,1.4,49622,3.5,66293,2.50 121,1,2024-09-07 08:26:21:670,154585,154585,0,0,72095454475,755215867,152699,1615,271,367,391840,0 121,2,2024-09-07 08:26:21:131,109055,109055,0,0,6086445,0,4127 121,3,2024-09-07 08:26:20:733,1,88,1,0,113,906,88,0 122,0,2024-09-07 08:26:21:793,21098,2.0,20392,1.7,42555,2.4,56644,2.25 122,1,2024-09-07 08:26:20:860,153633,153633,0,0,72429320500,764511100,150620,2489,524,368,392130,0 122,2,2024-09-07 08:26:21:329,114995,114995,0,0,6134498,0,3364 122,3,2024-09-07 08:26:20:604,1,88,2,1,298,1868,88,0 123,0,2024-09-07 08:26:20:996,19132,0.3,18625,0.5,38989,0.2,51331,1.50 123,1,2024-09-07 08:26:20:560,155048,155048,0,0,72777423117,770311254,151578,2902,568,369,391823,0 123,2,2024-09-07 08:26:21:019,112524,112523,1,0,5067778,0,5215 123,3,2024-09-07 08:26:21:140,1,88,2,1,160,1146,88,0 124,0,2024-09-07 08:26:20:973,24282,0.3,24305,0.5,45874,0.2,62724,1.50 124,1,2024-09-07 08:26:21:397,154545,154545,0,0,72165152534,748569808,153735,665,145,367,392178,0 124,2,2024-09-07 08:26:21:024,107270,107270,0,0,4025797,0,2477 124,3,2024-09-07 08:26:20:762,1,88,1,1,490,1681,88,0 125,0,2024-09-07 08:26:21:432,24612,0.6,24562,0.7,49030,0.6,65223,1.75 125,1,2024-09-07 08:26:20:879,154449,154449,0,0,72354919685,757798943,152694,1483,272,384,391702,0 125,2,2024-09-07 08:26:21:123,109851,109851,0,0,4473487,0,2180 125,3,2024-09-07 08:26:21:132,1,88,9,1,93,1147,88,0 126,0,2024-09-07 08:26:21:456,22323,1.1,22869,0.9,43853,1.4,59447,2.00 126,1,2024-09-07 08:26:20:555,155254,155254,0,0,72842105710,755954685,154202,997,55,365,391987,0 126,2,2024-09-07 08:26:20:611,115347,115347,0,0,4911734,0,3186 126,3,2024-09-07 08:26:20:909,1,88,3,0,122,1206,88,0 127,0,2024-09-07 08:26:21:644,17270,0.2,17176,0.4,34465,0.2,46245,1.50 127,1,2024-09-07 08:26:20:575,154699,154699,0,0,72132570415,748175494,153556,1120,23,365,391614,0 127,2,2024-09-07 08:26:20:636,113302,113302,0,0,4089178,0,1803 127,3,2024-09-07 08:26:21:271,1,88,2,0,99,681,88,0 128,0,2024-09-07 08:26:21:664,23000,0.2,22979,0.4,45537,0.2,60947,1.50 128,1,2024-09-07 08:26:21:632,154723,154723,0,0,72475511472,751654295,153990,663,70,367,391680,0 128,2,2024-09-07 08:26:21:388,108061,108061,0,0,4727803,0,2107 128,3,2024-09-07 08:26:20:774,1,88,3,1,112,1004,88,0 129,0,2024-09-07 08:26:21:020,24266,0.4,24254,0.5,48235,0.4,64578,1.75 129,1,2024-09-07 08:26:20:585,154371,154371,0,0,72384816921,759775872,152503,1593,275,379,391835,0 129,2,2024-09-07 08:26:20:686,109199,109199,0,0,4202669,0,2446 129,3,2024-09-07 08:26:20:693,1,88,1,1,173,1296,88,0 130,0,2024-09-07 08:26:21:737,23689,1.3,23560,1.0,47207,1.9,63298,2.00 130,1,2024-09-07 08:26:20:583,154606,154606,0,0,72700124393,759060892,153288,1285,33,381,391609,0 130,2,2024-09-07 08:26:21:125,113535,113535,0,0,4959245,0,4067 130,3,2024-09-07 08:26:21:315,1,88,5,0,207,683,88,0 131,0,2024-09-07 08:26:21:961,17535,0.8,17514,0.8,35419,1.1,47378,1.75 131,1,2024-09-07 08:26:21:820,155459,155459,0,0,72539481983,756408306,154409,863,187,384,391865,0 131,2,2024-09-07 08:26:20:576,113265,113265,0,0,4138574,0,2415 131,3,2024-09-07 08:26:21:710,1,88,1,0,392,1160,88,0 132,0,2024-09-07 08:26:21:430,22436,0.3,22792,0.5,45524,0.2,60911,1.75 132,1,2024-09-07 08:26:20:584,154021,154021,0,0,72300744097,762968654,151428,1887,706,381,391719,0 132,2,2024-09-07 08:26:20:706,111059,111059,0,0,6303721,0,4606 132,3,2024-09-07 08:26:21:702,1,88,0,0,356,1109,88,0 133,0,2024-09-07 08:26:21:551,22996,0.5,23428,0.6,48202,0.5,62108,1.75 133,1,2024-09-07 08:26:20:593,153454,153454,0,0,72298873527,762921504,151235,2123,96,383,391755,0 133,2,2024-09-07 08:26:21:097,109259,109259,0,0,5446417,0,2444 133,3,2024-09-07 08:26:21:304,1,88,8,1,187,728,88,0 134,0,2024-09-07 08:26:20:950,24897,0.9,24798,1.0,49820,1.0,66099,2.00 134,1,2024-09-07 08:26:20:609,154683,154683,0,0,71760876821,755183656,152037,1665,981,366,391718,0 134,2,2024-09-07 08:26:21:758,110502,110502,0,0,4115735,0,2026 134,3,2024-09-07 08:26:20:759,1,88,1,0,739,2045,88,0 135,0,2024-09-07 08:26:21:115,18713,1.5,18784,1.5,39611,1.5,50770,2.25 135,1,2024-09-07 08:26:21:590,154147,154147,0,0,71984393422,755881060,152196,1623,328,380,391703,0 135,2,2024-09-07 08:26:20:689,114714,114714,0,0,5117713,0,3981 135,3,2024-09-07 08:26:21:011,1,88,2,0,68,517,88,0 136,0,2024-09-07 08:26:21:622,20320,0.3,20179,0.6,40583,0.2,54305,2.00 136,1,2024-09-07 08:26:21:444,154514,154514,0,0,72612426746,761527139,152607,1760,147,384,391621,0 136,2,2024-09-07 08:26:21:147,113607,113607,0,0,4559404,0,2379 136,3,2024-09-07 08:26:21:107,1,88,2,0,108,905,88,0 137,0,2024-09-07 08:26:20:949,24321,0.6,23549,0.8,46668,0.6,62825,2.00 137,1,2024-09-07 08:26:20:629,154448,154448,0,0,72794245445,761742633,152468,1866,114,367,391608,0 137,2,2024-09-07 08:26:21:709,107193,107193,0,0,5564916,0,2632 137,3,2024-09-07 08:26:20:778,1,88,1,1,227,1147,88,0 138,0,2024-09-07 08:26:21:755,23958,2.4,24166,1.5,49044,3.6,65369,2.75 138,1,2024-09-07 08:26:21:691,154398,154398,0,0,72445971194,760227333,152061,2072,265,369,391954,0 138,2,2024-09-07 08:26:20:590,109855,109855,0,0,4806887,0,3263 138,3,2024-09-07 08:26:20:610,1,88,1,0,1160,1985,88,0 139,0,2024-09-07 08:26:21:384,21090,4.2,21075,2.3,42665,5.4,57678,3.75 139,1,2024-09-07 08:26:20:585,153858,153858,0,0,71995150902,765335480,150965,2164,729,381,391892,0 139,2,2024-09-07 08:26:20:706,114494,114494,0,0,6119173,0,3097 139,3,2024-09-07 08:26:21:686,1,88,3,0,244,1374,88,0 140,0,2024-09-07 08:26:21:596,17990,0.2,17891,0.4,36036,0.1,47864,1.50 140,1,2024-09-07 08:26:21:536,155215,155215,0,0,72640392680,750526303,154285,733,197,365,391606,0 140,2,2024-09-07 08:26:20:690,113800,113800,0,0,4849062,0,3388 140,3,2024-09-07 08:26:20:767,1,88,2,0,25,595,88,0 141,0,2024-09-07 08:26:21:704,23522,0.2,24265,0.4,46834,0.1,63861,1.50 141,1,2024-09-07 08:26:20:862,154835,154835,0,0,72751023090,756952533,153412,1092,331,382,391538,0 141,2,2024-09-07 08:26:21:687,107504,107504,0,0,4312459,0,2342 141,3,2024-09-07 08:26:21:042,1,88,1,0,147,631,88,0 142,0,2024-09-07 08:26:21:332,24826,0.4,24511,0.6,48769,0.3,65530,1.75 142,1,2024-09-07 08:26:20:616,155090,155090,0,0,72673669496,756219381,154530,545,15,384,391649,0 142,2,2024-09-07 08:26:21:307,108856,108856,0,0,4737148,0,2293 142,3,2024-09-07 08:26:21:745,1,88,1,0,484,1617,88,0 143,0,2024-09-07 08:26:21:423,22352,1.1,22498,0.9,45197,1.4,59660,2.00 143,1,2024-09-07 08:26:20:562,154742,154742,0,0,72498765096,751559369,153760,953,29,367,391619,0 143,2,2024-09-07 08:26:20:771,114586,114586,0,0,5228152,0,2669 143,3,2024-09-07 08:26:21:144,1,88,1,0,236,1203,88,0 144,0,2024-09-07 08:26:21:518,16811,0.9,17334,4.9,35062,0.6,46803,2.25 144,1,2024-09-07 08:26:20:566,154407,154407,0,0,72358264782,760291623,152814,1400,193,381,391638,0 144,2,2024-09-07 08:26:21:780,113217,113217,0,0,4486793,0,3473 144,3,2024-09-07 08:26:21:743,1,88,2,1,169,1302,88,0 145,0,2024-09-07 08:26:21:408,22182,0.3,22222,0.5,47007,0.3,61198,1.75 145,1,2024-09-07 08:26:20:553,153870,153870,0,0,72103753418,758087813,151938,1667,265,383,391615,0 145,2,2024-09-07 08:26:21:439,110242,110242,0,0,5225594,0,3903 145,3,2024-09-07 08:26:20:895,1,88,57,0,151,1150,88,0 146,0,2024-09-07 08:26:21:614,23400,0.6,23366,0.8,47067,0.7,62212,2.25 146,1,2024-09-07 08:26:21:589,154760,154760,0,0,72047899695,756224784,152458,1998,304,368,391600,0 146,2,2024-09-07 08:26:21:704,108718,108718,0,0,4806103,0,2379 146,3,2024-09-07 08:26:21:279,1,88,4,0,1520,3179,88,0 147,0,2024-09-07 08:26:21:761,23722,2.6,23499,1.6,46789,3.9,63789,3.00 147,1,2024-09-07 08:26:21:391,154816,154816,0,0,72809832865,757586201,153092,1368,356,368,391791,0 147,2,2024-09-07 08:26:21:013,112403,112403,0,0,4900593,0,2789 147,3,2024-09-07 08:26:20:913,1,88,1,1,141,857,88,0 0,0,2024-09-07 08:26:31:732,22901,0.6,22866,0.8,48412,0.7,62491,1.75 0,1,2024-09-07 08:26:30:801,156411,156411,0,0,73580995771,772505909,155144,1140,127,372,391692,0 0,2,2024-09-07 08:26:31:072,109578,109578,0,0,4999865,0,4480 0,3,2024-09-07 08:26:30:975,1,89,1,0,247,1467,89,0 1,0,2024-09-07 08:26:31:784,24891,2.5,24681,1.7,49836,3.3,66478,2.75 1,1,2024-09-07 08:26:30:561,155929,155929,0,0,72852819445,765309736,154153,1247,529,372,391857,0 1,2,2024-09-07 08:26:30:639,110620,110620,0,0,4717766,0,3267 1,3,2024-09-07 08:26:31:306,1,89,0,0,167,825,89,0 2,0,2024-09-07 08:26:31:576,20981,1.0,21146,1.2,41963,1.4,55894,2.00 2,1,2024-09-07 08:26:30:865,156602,156602,0,0,73806491609,768073200,155519,879,204,381,391558,0 2,2,2024-09-07 08:26:31:266,115427,115427,0,0,4903097,0,3304 2,3,2024-09-07 08:26:30:692,1,89,1,0,214,883,89,0 3,0,2024-09-07 08:26:31:750,19567,0.3,19755,0.5,39362,0.2,52367,1.75 3,1,2024-09-07 08:26:31:618,155565,155565,0,0,72781135066,760539747,153586,1593,386,382,391516,0 3,2,2024-09-07 08:26:31:150,114464,114441,23,0,5157933,0,5851 3,3,2024-09-07 08:26:31:753,1,89,6,0,103,610,89,0 4,0,2024-09-07 08:26:31:779,23042,0.3,23732,0.4,47874,0.3,62751,1.50 4,1,2024-09-07 08:26:30:599,155943,155943,0,0,72636130347,765584359,154038,1486,419,371,391846,0 4,2,2024-09-07 08:26:31:019,108158,108158,0,0,5624383,0,4528 4,3,2024-09-07 08:26:31:027,1,89,1,1,287,1439,89,0 5,0,2024-09-07 08:26:31:399,24791,0.7,24638,0.7,49560,0.8,65700,1.75 5,1,2024-09-07 08:26:30:768,156084,156084,0,0,73054956691,769092916,153859,1781,444,368,392005,0 5,2,2024-09-07 08:26:31:831,110548,110548,0,0,4664546,0,2259 5,3,2024-09-07 08:26:31:746,1,89,1,0,238,1649,89,0 6,0,2024-09-07 08:26:30:920,22574,0.9,22237,1.1,44490,1.2,59996,2.25 6,1,2024-09-07 08:26:30:757,156957,156957,0,0,73680718732,766950336,155831,1056,70,381,391603,0 6,2,2024-09-07 08:26:31:115,117187,117187,0,0,4940558,0,2411 6,3,2024-09-07 08:26:31:274,1,89,5,0,340,1502,89,0 7,0,2024-09-07 08:26:31:540,17296,0.2,17436,0.4,35039,0.2,46884,1.75 7,1,2024-09-07 08:26:30:854,156783,156783,0,0,73236365402,763588027,155802,916,65,383,391664,0 7,2,2024-09-07 08:26:30:775,113868,113868,0,0,4569945,0,2981 7,3,2024-09-07 08:26:30:855,1,89,1,0,83,702,89,0 8,0,2024-09-07 08:26:31:419,23263,0.3,23402,0.4,45994,0.2,62323,1.50 8,1,2024-09-07 08:26:31:024,156075,156075,0,0,73053010966,775245870,152587,2235,1253,368,391724,0 8,2,2024-09-07 08:26:30:797,108050,108050,0,0,5664025,0,2986 8,3,2024-09-07 08:26:30:586,1,89,10,0,229,1165,89,0 9,0,2024-09-07 08:26:31:132,24452,0.4,23809,0.6,49794,0.4,65269,1.75 9,1,2024-09-07 08:26:30:560,156676,156676,0,0,73246218929,772171448,154223,1884,569,370,391738,0 9,2,2024-09-07 08:26:31:084,110698,110698,0,0,5157324,0,3360 9,3,2024-09-07 08:26:31:759,1,89,25,0,149,1110,89,0 10,0,2024-09-07 08:26:31:610,23659,0.6,23685,0.8,47377,0.6,63129,2.00 10,1,2024-09-07 08:26:30:583,155810,155810,0,0,73382310356,774189144,152472,2816,522,381,391741,0 10,2,2024-09-07 08:26:30:761,114949,114949,0,0,5290287,0,2940 10,3,2024-09-07 08:26:30:871,1,89,1,0,136,626,89,0 11,0,2024-09-07 08:26:31:014,17393,0.6,17100,1.0,35740,0.7,48049,2.25 11,1,2024-09-07 08:26:30:571,156111,156111,0,0,73377550304,771568140,153470,1826,815,384,391537,0 11,2,2024-09-07 08:26:31:122,113872,113872,0,0,4990390,0,2635 11,3,2024-09-07 08:26:31:301,1,89,1,0,720,2409,89,0 12,0,2024-09-07 08:26:30:976,23330,0.3,23170,0.4,46466,0.2,61749,1.50 12,1,2024-09-07 08:26:30:943,155738,155738,0,0,72732501138,761933934,154072,1564,102,371,391790,0 12,2,2024-09-07 08:26:31:542,112827,112827,0,0,4822024,0,2254 12,3,2024-09-07 08:26:31:069,1,89,3,0,358,1582,89,0 13,0,2024-09-07 08:26:31:401,23697,0.4,23806,0.6,47423,0.4,63087,1.75 13,1,2024-09-07 08:26:31:533,156333,156333,0,0,73522245942,773311946,154727,1239,367,384,391717,0 13,2,2024-09-07 08:26:30:607,110982,110982,0,0,4392009,0,3287 13,3,2024-09-07 08:26:31:771,1,89,14,1,416,1813,89,0 14,0,2024-09-07 08:26:30:578,24999,0.7,25179,0.8,49743,0.8,66084,2.00 14,1,2024-09-07 08:26:31:561,157170,157170,0,0,73357032846,759637770,156286,853,31,364,391546,0 14,2,2024-09-07 08:26:30:764,111782,111782,0,0,4978215,0,2793 14,3,2024-09-07 08:26:31:115,1,89,1,0,906,1365,89,0 15,0,2024-09-07 08:26:31:562,19369,0.9,19125,1.2,38393,1.0,51188,2.25 15,1,2024-09-07 08:26:31:617,155725,155725,0,0,73124450787,760346545,154902,788,35,381,391536,0 15,2,2024-09-07 08:26:30:998,115906,115906,0,0,4046443,0,3043 15,3,2024-09-07 08:26:31:405,1,89,1,0,538,2429,89,0 16,0,2024-09-07 08:26:30:968,20540,0.3,20744,0.5,41006,0.3,55243,2.00 16,1,2024-09-07 08:26:30:566,156029,156029,0,0,73320726196,767175004,154887,1114,28,372,391756,0 16,2,2024-09-07 08:26:31:438,113146,113146,0,0,5427376,0,4719 16,3,2024-09-07 08:26:31:150,1,89,0,0,231,1486,89,0 17,0,2024-09-07 08:26:31:771,24373,0.5,23894,0.7,46844,0.5,62965,1.75 17,1,2024-09-07 08:26:30:570,156122,156122,0,0,72967356432,768961657,154231,1367,524,369,391688,0 17,2,2024-09-07 08:26:31:668,110154,110154,0,0,4158397,0,2857 17,3,2024-09-07 08:26:30:574,1,89,6,0,268,1694,89,0 18,0,2024-09-07 08:26:30:953,24041,1.2,24465,1.1,49095,1.5,65058,2.50 18,1,2024-09-07 08:26:31:638,156226,156226,0,0,72960391258,758687951,154973,1035,218,368,391555,0 18,2,2024-09-07 08:26:31:764,110460,110460,0,0,5087264,0,3541 18,3,2024-09-07 08:26:30:896,1,89,1,0,163,1042,89,0 19,0,2024-09-07 08:26:31:546,21530,1.3,21773,1.2,43152,1.5,57384,3.75 19,1,2024-09-07 08:26:30:566,156387,156387,0,0,73562907020,767718498,154518,1705,164,367,391777,0 19,2,2024-09-07 08:26:31:751,116918,116918,0,0,4691529,0,3988 19,3,2024-09-07 08:26:31:129,1,89,0,0,524,1239,89,0 20,0,2024-09-07 08:26:31:482,18397,0.3,18383,0.5,36609,0.2,48796,1.75 20,1,2024-09-07 08:26:30:567,156307,156307,0,0,73266026092,767802813,154600,1543,164,370,391598,0 20,2,2024-09-07 08:26:30:929,114135,114135,0,0,4571010,0,2446 20,3,2024-09-07 08:26:30:593,1,89,1,0,99,985,89,0 21,0,2024-09-07 08:26:31:219,24246,0.4,24144,0.5,48181,0.3,63903,1.75 21,1,2024-09-07 08:26:31:539,155700,155700,0,0,72279393641,762285569,153350,1855,495,368,391962,0 21,2,2024-09-07 08:26:31:070,108846,108846,0,0,4738688,0,3747 21,3,2024-09-07 08:26:31:403,1,89,14,0,93,1168,89,0 22,0,2024-09-07 08:26:31:747,24463,1.5,24447,1.2,48799,2.5,65476,2.75 22,1,2024-09-07 08:26:31:023,156038,156038,0,0,72666979165,766843330,152896,2416,726,382,391667,0 22,2,2024-09-07 08:26:30:760,110677,110677,0,0,4207096,0,3134 22,3,2024-09-07 08:26:31:068,1,89,2,0,228,871,89,0 23,0,2024-09-07 08:26:31:381,22468,1.0,22561,1.0,45233,1.1,60194,2.50 23,1,2024-09-07 08:26:31:005,156697,156697,0,0,74183155380,769374056,155347,1096,254,365,391549,0 23,2,2024-09-07 08:26:31:092,115762,115762,0,0,4494274,0,2078 23,3,2024-09-07 08:26:31:753,1,89,24,0,645,1421,89,0 24,0,2024-09-07 08:26:30:872,18063,0.5,18037,0.7,36146,0.5,48316,1.75 24,1,2024-09-07 08:26:30:587,156356,156356,0,0,72890157132,758661368,155531,818,7,369,391640,0 24,2,2024-09-07 08:26:31:069,113606,113606,0,0,5793373,0,2942 24,3,2024-09-07 08:26:31:686,1,89,1,0,234,1161,89,0 25,0,2024-09-07 08:26:31:409,23940,0.3,23314,0.4,45840,0.2,62217,1.50 25,1,2024-09-07 08:26:30:567,155942,155942,0,0,72758104716,765061553,153783,1843,316,371,391788,0 25,2,2024-09-07 08:26:31:636,110067,110067,0,0,5221065,0,3284 25,3,2024-09-07 08:26:31:000,1,89,9,0,158,817,89,0 26,0,2024-09-07 08:26:31:739,23607,0.4,23032,0.6,48218,0.4,63511,1.75 26,1,2024-09-07 08:26:31:541,156628,156628,0,0,72673392231,767234368,153164,2629,835,381,391564,0 26,2,2024-09-07 08:26:30:862,110746,110746,0,0,5121647,0,2809 26,3,2024-09-07 08:26:31:713,1,89,3,0,796,1311,89,0 27,0,2024-09-07 08:26:31:732,24101,0.8,24298,0.9,48084,1.1,64399,2.00 27,1,2024-09-07 08:26:31:676,157051,157051,0,0,73539726091,763437511,155910,846,295,381,391539,0 27,2,2024-09-07 08:26:30:874,112586,112586,0,0,6168178,0,3409 27,3,2024-09-07 08:26:31:019,1,89,5,0,564,1261,89,0 28,0,2024-09-07 08:26:31:404,18040,0.6,18287,0.8,36534,0.7,48837,2.00 28,1,2024-09-07 08:26:30:797,156641,156641,0,0,74053323268,770872144,155577,864,200,383,391646,0 28,2,2024-09-07 08:26:31:767,114288,114288,0,0,4295705,0,2609 28,3,2024-09-07 08:26:31:780,1,89,1,0,502,1284,89,0 29,0,2024-09-07 08:26:31:373,22627,0.3,21914,0.5,43325,0.2,59515,1.75 29,1,2024-09-07 08:26:31:573,157625,157625,0,0,73401033658,758169794,156833,620,172,369,391621,0 29,2,2024-09-07 08:26:30:868,113682,113682,0,0,3813637,0,2026 29,3,2024-09-07 08:26:30:963,1,89,1,0,105,707,89,0 30,0,2024-09-07 08:26:31:485,23437,1.0,22859,0.9,47816,1.3,62440,2.50 30,1,2024-09-07 08:26:30:571,156622,156622,0,0,73423220351,763593822,155643,914,65,382,391584,0 30,2,2024-09-07 08:26:31:274,110825,110825,0,0,3696682,0,2534 30,3,2024-09-07 08:26:30:581,1,89,1,0,195,676,89,0 31,0,2024-09-07 08:26:31:768,24671,1.1,24813,1.0,49959,1.3,66192,2.25 31,1,2024-09-07 08:26:30:564,157286,157286,0,0,73723930143,754676034,157035,249,2,356,391553,0 31,2,2024-09-07 08:26:31:275,111287,111287,0,0,5291489,0,3525 31,3,2024-09-07 08:26:31:706,1,89,1,0,129,592,89,0 32,0,2024-09-07 08:26:31:444,21187,1.0,21430,1.0,42796,1.3,56426,1.75 32,1,2024-09-07 08:26:30:804,156959,156959,0,0,73675587554,765453622,155955,975,29,382,391595,0 32,2,2024-09-07 08:26:30:939,115921,115921,0,0,4492339,0,3155 32,3,2024-09-07 08:26:31:014,1,89,1,0,110,753,89,0 33,0,2024-09-07 08:26:31:500,19719,0.2,19480,0.4,39595,0.1,52418,1.50 33,1,2024-09-07 08:26:30:575,157231,157231,0,0,73751445451,766061303,155569,1539,123,369,391730,0 33,2,2024-09-07 08:26:30:760,114525,114492,33,0,5934869,0,7012 33,3,2024-09-07 08:26:30:895,1,89,1,0,129,604,89,0 34,0,2024-09-07 08:26:30:943,23744,0.3,24544,0.5,47059,0.3,62764,1.75 34,1,2024-09-07 08:26:31:047,157222,157222,0,0,73716028887,759399193,156624,594,4,367,391517,0 34,2,2024-09-07 08:26:30:767,108308,108308,0,0,4826916,0,3255 34,3,2024-09-07 08:26:31:688,1,89,1,0,148,711,89,0 35,0,2024-09-07 08:26:30:871,24519,0.7,24763,0.7,49225,0.7,65797,1.75 35,1,2024-09-07 08:26:31:069,156734,156734,0,0,73277280033,761684613,155258,1114,362,384,391587,0 35,2,2024-09-07 08:26:31:583,110200,110200,0,0,4486551,0,2542 35,3,2024-09-07 08:26:30:907,1,89,1,0,219,1089,89,0 36,0,2024-09-07 08:26:31:529,22445,1.6,22379,1.2,45336,1.8,59941,2.50 36,1,2024-09-07 08:26:30:587,156045,156045,0,0,72692610150,763062247,153031,2083,931,366,391543,0 36,2,2024-09-07 08:26:31:752,116124,116124,0,0,5637983,0,3303 36,3,2024-09-07 08:26:30:866,1,89,3,0,378,1157,89,0 37,0,2024-09-07 08:26:31:399,17531,0.3,17437,0.5,35158,0.2,46947,1.75 37,1,2024-09-07 08:26:30:569,156060,156053,0,7,72487376817,764748533,153211,1323,1519,365,391518,0 37,2,2024-09-07 08:26:31:151,114460,114460,0,0,4105959,0,2333 37,3,2024-09-07 08:26:31:767,1,89,1,0,724,1948,89,0 38,0,2024-09-07 08:26:31:467,23180,0.3,22496,0.5,46984,0.2,61986,1.75 38,1,2024-09-07 08:26:31:623,157086,157086,0,0,73215841632,765196954,154928,1711,447,368,391821,0 38,2,2024-09-07 08:26:30:760,109698,109698,0,0,4756037,0,3245 38,3,2024-09-07 08:26:30:998,1,89,1,0,603,1433,89,0 39,0,2024-09-07 08:26:31:772,25125,0.9,24592,0.8,47901,1.1,65379,2.00 39,1,2024-09-07 08:26:30:717,156368,156368,0,0,72963548400,763487942,153734,1996,638,365,391524,0 39,2,2024-09-07 08:26:31:420,110857,110857,0,0,4472754,0,2381 39,3,2024-09-07 08:26:30:717,1,89,48,0,276,1292,89,0 40,0,2024-09-07 08:26:31:517,23198,1.6,23598,1.9,46730,3.3,62624,3.50 40,1,2024-09-07 08:26:30:582,156097,156097,0,0,72651522671,764090479,152840,2503,754,369,391591,0 40,2,2024-09-07 08:26:31:307,114931,114930,1,0,5672957,0,5137 40,3,2024-09-07 08:26:31:144,1,89,1,0,110,840,89,0 41,0,2024-09-07 08:26:31:039,17309,0.5,17704,3.0,33824,0.5,46976,2.25 41,1,2024-09-07 08:26:30:773,156254,156254,0,0,72756887923,762580476,153829,2112,313,370,391742,0 41,2,2024-09-07 08:26:30:762,113491,113491,0,0,5844093,0,3356 41,3,2024-09-07 08:26:31:676,1,89,4,0,168,885,89,0 42,0,2024-09-07 08:26:31:487,23000,0.4,23344,0.6,46262,0.3,61715,1.75 42,1,2024-09-07 08:26:31:442,155721,155721,0,0,72790402863,767195367,152727,2164,830,380,391675,0 42,2,2024-09-07 08:26:31:133,111546,111546,0,0,5111975,0,3568 42,3,2024-09-07 08:26:31:011,1,89,15,0,100,737,89,0 43,0,2024-09-07 08:26:30:926,23549,1.0,23010,1.0,48047,1.1,63142,2.00 43,1,2024-09-07 08:26:30:580,155902,155902,0,0,72714615114,760379009,153635,1515,752,366,391604,0 43,2,2024-09-07 08:26:31:737,111079,111079,0,0,5130643,0,3812 43,3,2024-09-07 08:26:31:750,1,89,2,0,325,1780,89,0 44,0,2024-09-07 08:26:30:870,25021,0.7,24928,0.9,49939,0.7,66617,2.00 44,1,2024-09-07 08:26:30:563,156637,156637,0,0,73233996462,756420896,155615,915,107,356,391569,0 44,2,2024-09-07 08:26:31:268,112011,112011,0,0,3943149,0,1865 44,3,2024-09-07 08:26:31:093,1,89,1,0,817,1373,89,0 45,0,2024-09-07 08:26:31:774,18982,1.3,18592,1.3,38968,1.1,51540,2.00 45,1,2024-09-07 08:26:31:006,156836,156836,0,0,73749733363,764175616,156367,468,1,382,391917,0 45,2,2024-09-07 08:26:31:270,115713,115713,0,0,4593690,0,2628 45,3,2024-09-07 08:26:30:939,1,89,1,0,226,874,89,0 46,0,2024-09-07 08:26:30:957,20757,0.3,20720,0.4,41660,0.2,55510,1.50 46,1,2024-09-07 08:26:30:576,157217,157217,0,0,73744640026,761776233,156364,815,38,367,391514,0 46,2,2024-09-07 08:26:30:593,114706,114706,0,0,4472843,0,2920 46,3,2024-09-07 08:26:31:134,1,89,1,0,315,1124,89,0 47,0,2024-09-07 08:26:31:113,23702,0.3,23975,0.5,47966,0.3,62755,1.75 47,1,2024-09-07 08:26:30:566,157168,157168,0,0,72827981945,750978453,156658,506,4,366,391605,0 47,2,2024-09-07 08:26:30:908,110075,110075,0,0,4403870,0,2558 47,3,2024-09-07 08:26:31:115,1,89,1,0,529,1175,89,0 48,0,2024-09-07 08:26:31:518,24994,0.3,24757,0.5,49334,0.3,65731,1.75 48,1,2024-09-07 08:26:31:033,156580,156580,0,0,73172120093,760977980,155377,1197,6,384,391637,0 48,2,2024-09-07 08:26:30:699,110705,110705,0,0,3746250,0,2083 48,3,2024-09-07 08:26:30:756,1,89,1,0,39,707,89,0 49,0,2024-09-07 08:26:31:731,22485,0.8,21855,0.9,43028,0.9,58911,1.75 49,1,2024-09-07 08:26:31:022,156066,156066,0,0,73607686165,769593626,154327,1029,710,382,391583,0 49,2,2024-09-07 08:26:31:797,116521,116521,0,0,4981008,0,3900 49,3,2024-09-07 08:26:31:415,1,89,2,0,274,870,89,0 50,0,2024-09-07 08:26:31:525,18466,0.2,18178,0.4,36737,0.1,49297,1.50 50,1,2024-09-07 08:26:31:012,157159,157159,0,0,73844346111,767014952,155487,1375,297,368,391530,0 50,2,2024-09-07 08:26:31:069,114430,114430,0,0,4243867,0,2253 50,3,2024-09-07 08:26:31:290,1,89,2,0,335,908,89,0 51,0,2024-09-07 08:26:31:694,24670,0.2,24102,0.4,47300,0.2,64173,1.50 51,1,2024-09-07 08:26:31:683,156687,156687,0,0,74697223067,776352169,154885,1018,784,367,391637,0 51,2,2024-09-07 08:26:31:316,108455,108455,0,0,3419806,0,2448 51,3,2024-09-07 08:26:31:027,1,89,1,0,162,561,89,0 52,0,2024-09-07 08:26:31:424,24697,0.7,24863,0.8,49645,0.8,65934,2.25 52,1,2024-09-07 08:26:30:597,156164,156164,0,0,72901673972,764725240,153648,2051,465,368,391594,0 52,2,2024-09-07 08:26:31:779,110517,110517,0,0,4761226,0,4779 52,3,2024-09-07 08:26:30:675,1,89,23,0,1782,2556,89,0 53,0,2024-09-07 08:26:31:743,22341,1.7,21614,1.3,45034,2.3,59519,2.75 53,1,2024-09-07 08:26:30:787,156185,156185,0,0,73307360917,768846889,153561,2049,575,370,391617,0 53,2,2024-09-07 08:26:31:299,116583,116583,0,0,4302367,0,1902 53,3,2024-09-07 08:26:30:697,1,89,1,0,133,699,89,0 54,0,2024-09-07 08:26:31:635,17150,5.8,17450,1.5,34244,0.5,47235,4.25 54,1,2024-09-07 08:26:30:580,156312,156312,0,0,73830236584,769691756,154202,1794,316,367,391520,0 54,2,2024-09-07 08:26:30:867,114564,114558,6,0,5106092,0,5382 54,3,2024-09-07 08:26:30:765,1,89,1,0,676,1752,89,0 55,0,2024-09-07 08:26:31:764,22708,0.3,23411,0.5,47258,0.2,61679,2.00 55,1,2024-09-07 08:26:30:785,155559,155559,0,0,72822862019,763832595,152076,2781,702,367,391731,0 55,2,2024-09-07 08:26:30:738,110342,110342,0,0,4940909,0,3275 55,3,2024-09-07 08:26:30:674,1,89,1,0,136,934,89,0 56,0,2024-09-07 08:26:31:586,24298,1.8,23033,1.3,47257,2.3,63479,2.50 56,1,2024-09-07 08:26:30:584,155785,155785,0,0,74134590199,786027516,152384,2653,748,382,391678,0 56,2,2024-09-07 08:26:31:315,111140,111140,0,0,5439317,0,3567 56,3,2024-09-07 08:26:31:063,1,89,2,0,297,1045,89,0 57,0,2024-09-07 08:26:30:961,23566,2.8,23326,1.7,46995,4.8,63033,4.25 57,1,2024-09-07 08:26:30:995,155834,155834,0,0,72407779208,756674362,154353,1461,20,368,391760,0 57,2,2024-09-07 08:26:31:320,113906,113906,0,0,5481511,0,3178 57,3,2024-09-07 08:26:31:738,1,89,9,0,359,1722,89,0 58,0,2024-09-07 08:26:30:563,17385,0.6,17025,0.9,35633,0.5,46937,2.50 58,1,2024-09-07 08:26:30:575,157318,157315,0,3,73608341592,765593313,155713,1442,160,369,391516,3 58,2,2024-09-07 08:26:31:072,114211,114211,0,0,5082737,0,2549 58,3,2024-09-07 08:26:31:069,1,89,1,0,219,903,89,0 59,0,2024-09-07 08:26:31:756,22219,0.4,22056,0.6,44287,0.3,59110,2.00 59,1,2024-09-07 08:26:30:804,156069,156069,0,0,73608832611,771640034,153817,1484,768,369,391515,0 59,2,2024-09-07 08:26:30:587,113725,113725,0,0,4594336,0,2604 59,3,2024-09-07 08:26:31:737,1,89,1,0,1015,2140,89,0 60,0,2024-09-07 08:26:31:748,23763,0.5,23918,0.6,47226,0.5,62653,1.75 60,1,2024-09-07 08:26:30:776,157656,157656,0,0,73918033368,768061332,156565,742,349,370,391758,0 60,2,2024-09-07 08:26:31:144,109935,109935,0,0,4134874,0,2142 60,3,2024-09-07 08:26:31:259,1,89,5,0,124,980,89,0 61,0,2024-09-07 08:26:31:505,24740,2.2,24862,1.4,49674,3.6,66294,2.25 61,1,2024-09-07 08:26:30:775,156011,156011,0,0,73406059587,772375807,153448,2027,536,382,391589,0 61,2,2024-09-07 08:26:31:127,111350,111350,0,0,4376463,0,1846 61,3,2024-09-07 08:26:31:687,1,89,8,0,199,1204,89,0 62,0,2024-09-07 08:26:31:715,21304,0.9,21792,1.0,41734,1.3,56430,2.00 62,1,2024-09-07 08:26:31:111,156999,156993,0,6,74040781543,765930657,155738,1217,38,365,391586,6 62,2,2024-09-07 08:26:31:644,115453,115453,0,0,4954406,0,2931 62,3,2024-09-07 08:26:31:144,1,89,1,0,287,694,89,0 63,0,2024-09-07 08:26:31:456,19660,0.3,19546,0.5,39385,0.2,52371,1.75 63,1,2024-09-07 08:26:30:804,157468,157463,0,5,73249563897,757173248,157054,406,3,381,391540,5 63,2,2024-09-07 08:26:30:765,114125,114125,0,0,4476275,0,2674 63,3,2024-09-07 08:26:31:731,1,89,0,0,667,1930,89,0 64,0,2024-09-07 08:26:31:520,23640,0.4,23447,0.6,47150,0.4,62675,1.75 64,1,2024-09-07 08:26:30:753,156443,156443,0,0,73320662918,770366399,153991,1657,795,371,391783,0 64,2,2024-09-07 08:26:31:145,109415,109396,19,0,4879977,0,6121 64,3,2024-09-07 08:26:31:143,1,89,1,0,265,1075,89,0 65,0,2024-09-07 08:26:31:684,24030,1.9,24359,1.3,48751,2.3,65028,3.25 65,1,2024-09-07 08:26:30:866,155993,155993,0,0,73372466266,768744518,154281,1493,219,382,391770,0 65,2,2024-09-07 08:26:31:694,111047,111047,0,0,5097981,0,3367 65,3,2024-09-07 08:26:31:683,1,89,1,0,163,946,89,0 66,0,2024-09-07 08:26:31:778,22050,0.6,22204,0.9,44491,0.6,59443,2.25 66,1,2024-09-07 08:26:31:293,157245,157245,0,0,73338202377,760463400,156552,672,21,380,391588,0 66,2,2024-09-07 08:26:31:135,117688,117688,0,0,4712873,0,3867 66,3,2024-09-07 08:26:31:080,1,89,1,0,291,990,89,0 67,0,2024-09-07 08:26:31:423,17718,0.4,17702,0.6,35136,0.2,46924,2.00 67,1,2024-09-07 08:26:30:767,156155,156154,0,1,72975372086,765208236,154129,1500,525,382,391787,1 67,2,2024-09-07 08:26:30:582,115039,115039,0,0,4294721,0,2889 67,3,2024-09-07 08:26:31:750,1,89,1,0,138,717,89,0 68,0,2024-09-07 08:26:30:571,23377,0.4,23193,0.6,46354,0.3,62158,1.75 68,1,2024-09-07 08:26:30:570,155780,155780,0,0,73290524060,767879377,154350,908,522,381,391953,0 68,2,2024-09-07 08:26:31:065,109233,109233,0,0,4286515,0,4883 68,3,2024-09-07 08:26:30:735,1,89,9,0,151,988,89,0 69,0,2024-09-07 08:26:31:769,24424,1.3,24469,1.1,48812,1.7,65487,2.50 69,1,2024-09-07 08:26:31:018,155936,155936,0,0,73060683712,772496854,153936,1133,867,384,391611,0 69,2,2024-09-07 08:26:31:733,110932,110932,0,0,4982051,0,3153 69,3,2024-09-07 08:26:30:764,1,89,1,0,238,1216,89,0 70,0,2024-09-07 08:26:31:541,23273,3.0,23364,2.1,47028,1.8,62324,4.25 70,1,2024-09-07 08:26:30:801,156892,156892,0,0,73814530394,763837716,155973,784,135,368,391527,0 70,2,2024-09-07 08:26:31:325,114579,114579,0,0,4953484,0,4044 70,3,2024-09-07 08:26:30:756,1,89,1,0,178,536,89,0 71,0,2024-09-07 08:26:31:367,17324,0.9,17375,2.1,34451,1.0,47119,3.50 71,1,2024-09-07 08:26:31:603,156612,156612,0,0,73494084657,767425258,154410,1886,316,368,391682,0 71,2,2024-09-07 08:26:31:069,114472,114472,0,0,4576503,0,2146 71,3,2024-09-07 08:26:31:750,1,89,1,0,219,1110,89,0 72,0,2024-09-07 08:26:31:063,23837,0.3,23233,0.5,45538,0.2,61968,2.00 72,1,2024-09-07 08:26:31:027,156775,156775,0,0,73306276221,763148596,155222,1475,78,370,391556,0 72,2,2024-09-07 08:26:31:759,111281,111281,0,0,5788050,0,2570 72,3,2024-09-07 08:26:31:768,1,89,1,0,325,1421,89,0 73,0,2024-09-07 08:26:31:137,23189,0.4,23741,0.6,48374,0.4,62783,2.25 73,1,2024-09-07 08:26:30:767,156460,156460,0,0,73044949800,758970444,155490,925,45,368,391627,0 73,2,2024-09-07 08:26:31:739,111595,111595,0,0,5249676,0,3482 73,3,2024-09-07 08:26:30:968,1,89,2,0,274,1416,89,0 74,0,2024-09-07 08:26:31:326,25060,1.2,25428,1.1,48778,1.8,66096,3.00 74,1,2024-09-07 08:26:30:646,156199,156199,0,0,73280166019,765591066,154298,1329,572,382,391511,0 74,2,2024-09-07 08:26:31:017,111988,111988,0,0,5595232,0,4253 74,3,2024-09-07 08:26:31:443,1,89,2,0,246,1513,89,0 75,0,2024-09-07 08:26:31:775,19366,1.8,19336,1.4,38471,2.3,51638,3.00 75,1,2024-09-07 08:26:31:600,156354,156354,0,0,73278986520,766256851,154651,1599,104,381,391579,0 75,2,2024-09-07 08:26:31:351,115042,115042,0,0,5431935,0,4766 75,3,2024-09-07 08:26:31:070,1,89,67,0,143,692,89,0 76,0,2024-09-07 08:26:30:617,20806,0.3,20458,0.5,41013,0.2,55085,2.00 76,1,2024-09-07 08:26:30:805,156243,156243,0,0,72877574986,764376243,154430,1307,506,382,391530,0 76,2,2024-09-07 08:26:31:065,114774,114774,0,0,4503982,0,3064 76,3,2024-09-07 08:26:31:146,1,89,1,0,175,1072,89,0 77,0,2024-09-07 08:26:31:707,23716,0.6,23922,0.8,47842,0.7,62668,1.75 77,1,2024-09-07 08:26:30:835,156261,156261,0,0,73320717735,764056500,155219,973,69,383,391555,0 77,2,2024-09-07 08:26:31:284,109762,109762,0,0,3847299,0,1695 77,3,2024-09-07 08:26:31:104,1,89,1,0,139,883,89,0 78,0,2024-09-07 08:26:31:751,24749,0.8,24665,1.0,49398,0.9,65470,2.25 78,1,2024-09-07 08:26:30:610,156996,156996,0,0,73018218263,759894719,155571,1220,205,367,391589,0 78,2,2024-09-07 08:26:31:405,111008,111008,0,0,4062295,0,2114 78,3,2024-09-07 08:26:31:133,1,89,1,0,181,1316,89,0 79,0,2024-09-07 08:26:31:382,21088,0.7,21592,0.9,44156,0.7,57828,2.75 79,1,2024-09-07 08:26:30:571,157021,157021,0,0,73702513420,764997333,155680,1272,69,370,391682,0 79,2,2024-09-07 08:26:31:069,116886,116886,0,0,4589882,0,2679 79,3,2024-09-07 08:26:30:752,1,89,1,0,289,944,89,0 80,0,2024-09-07 08:26:31:139,18250,0.4,18875,0.6,36254,0.3,49024,1.75 80,1,2024-09-07 08:26:31:620,156245,156245,0,0,73312317328,763296300,154651,1479,115,369,391673,0 80,2,2024-09-07 08:26:31:098,114787,114787,0,0,4728723,0,4433 80,3,2024-09-07 08:26:30:578,1,89,1,1,190,1441,89,0 81,0,2024-09-07 08:26:31:551,24113,0.5,24846,0.6,47564,0.5,64185,1.75 81,1,2024-09-07 08:26:31:654,156394,156394,0,0,72665391553,762127234,154499,1697,198,383,391680,0 81,2,2024-09-07 08:26:31:128,108033,108033,0,0,4842154,0,3993 81,3,2024-09-07 08:26:31:117,1,89,3,0,193,909,89,0 82,0,2024-09-07 08:26:31:538,24562,0.8,24557,1.0,49378,0.9,65981,2.25 82,1,2024-09-07 08:26:30:582,156352,156348,0,4,73269446452,766372850,154294,1481,573,382,391558,4 82,2,2024-09-07 08:26:31:691,111772,111772,0,0,4086510,0,2230 82,3,2024-09-07 08:26:31:758,1,89,3,0,227,1422,89,0 83,0,2024-09-07 08:26:31:534,22505,1.5,22364,1.2,44467,1.8,59347,2.75 83,1,2024-09-07 08:26:30:561,156351,156351,0,0,73169507283,764335018,154930,1320,101,383,391553,0 83,2,2024-09-07 08:26:30:766,115168,115168,0,0,5070578,0,3119 83,3,2024-09-07 08:26:30:756,1,89,1,0,91,705,89,0 84,0,2024-09-07 08:26:31:785,17640,1.4,17410,2.0,34871,0.5,47940,2.75 84,1,2024-09-07 08:26:31:042,156226,156226,0,0,73388895853,768213492,154603,1374,249,369,391638,0 84,2,2024-09-07 08:26:30:579,114285,114285,0,0,4952402,0,3801 84,3,2024-09-07 08:26:31:146,1,89,21,1,58,884,89,0 85,0,2024-09-07 08:26:31:129,22517,0.3,22522,0.5,47817,0.2,61888,1.75 85,1,2024-09-07 08:26:30:664,155829,155829,0,0,72667896545,767668201,153366,2034,429,383,391679,0 85,2,2024-09-07 08:26:31:131,110266,110266,0,0,5150660,0,3656 85,3,2024-09-07 08:26:30:693,1,89,85,0,115,889,89,0 86,0,2024-09-07 08:26:30:884,23648,0.7,24450,0.7,47051,0.9,63522,2.00 86,1,2024-09-07 08:26:30:837,155969,155969,0,0,73587618517,768696605,154165,1587,217,367,391622,0 86,2,2024-09-07 08:26:30:857,110503,110502,1,0,5464813,0,5004 86,3,2024-09-07 08:26:30:586,1,89,5,0,199,973,89,0 87,0,2024-09-07 08:26:31:289,24029,2.6,23821,1.6,47901,3.9,64236,3.00 87,1,2024-09-07 08:26:30:567,156507,156507,0,0,73450750615,768365448,154919,1467,121,366,391788,0 87,2,2024-09-07 08:26:31:081,113509,113509,0,0,4213421,0,2859 87,3,2024-09-07 08:26:31:794,1,89,5,1,322,1313,89,0 88,0,2024-09-07 08:26:31:475,18069,0.6,18394,0.8,36359,0.6,48838,1.75 88,1,2024-09-07 08:26:30:573,156122,156122,0,0,73567275664,767867028,154905,1120,97,367,391787,0 88,2,2024-09-07 08:26:30:718,114706,114706,0,0,5723261,0,3583 88,3,2024-09-07 08:26:31:269,1,89,2,1,77,1044,89,0 89,0,2024-09-07 08:26:31:819,22772,0.3,22080,0.5,43803,0.3,59651,1.50 89,1,2024-09-07 08:26:30:576,155492,155492,0,0,73864185095,784214328,152160,2595,737,382,391866,0 89,2,2024-09-07 08:26:31:145,113128,113128,0,0,5180496,0,2726 89,3,2024-09-07 08:26:31:793,1,89,1,0,325,1970,89,0 90,0,2024-09-07 08:26:31:632,22946,0.4,23416,0.6,48149,0.4,62567,1.75 90,1,2024-09-07 08:26:30:595,156462,156462,0,0,73543953213,773720786,154399,1919,144,382,391825,0 90,2,2024-09-07 08:26:31:407,109077,109077,0,0,5756955,0,2635 90,3,2024-09-07 08:26:30:930,1,89,5,1,200,1029,89,0 91,0,2024-09-07 08:26:30:945,25269,0.6,24517,0.7,50791,0.7,66748,1.75 91,1,2024-09-07 08:26:30:564,156081,156081,0,0,73128959275,770586707,153674,2041,366,383,391914,0 91,2,2024-09-07 08:26:31:331,110707,110707,0,0,4928347,0,1997 91,3,2024-09-07 08:26:30:603,1,89,2,0,155,1195,89,0 92,0,2024-09-07 08:26:31:493,21526,0.7,21975,0.9,41952,0.9,56642,1.75 92,1,2024-09-07 08:26:30:581,156703,156703,0,0,73308056826,763515752,156038,596,69,382,391717,0 92,2,2024-09-07 08:26:31:358,117214,117214,0,0,4867409,0,2279 92,3,2024-09-07 08:26:31:017,1,89,1,0,68,919,89,0 93,0,2024-09-07 08:26:31:030,19776,0.3,20046,0.5,38381,0.2,52478,1.50 93,1,2024-09-07 08:26:30:828,156540,156540,0,0,73679445518,770694902,154773,1330,437,367,391689,0 93,2,2024-09-07 08:26:30:943,114488,114488,0,0,4426224,0,2509 93,3,2024-09-07 08:26:31:406,1,89,9,0,143,861,89,0 94,0,2024-09-07 08:26:31:610,23852,0.3,24023,0.5,47524,0.3,62653,1.50 94,1,2024-09-07 08:26:30:563,155846,155846,0,0,72732336772,763375424,154250,1530,66,381,391850,0 94,2,2024-09-07 08:26:30:761,108379,108379,0,0,4313568,0,2443 94,3,2024-09-07 08:26:31:688,1,89,1,1,231,1374,89,0 95,0,2024-09-07 08:26:31:362,24738,0.4,24626,0.6,49648,0.4,65824,1.75 95,1,2024-09-07 08:26:30:857,156667,156667,0,0,73131931154,759869706,155854,749,64,367,391590,0 95,2,2024-09-07 08:26:31:028,110782,110782,0,0,4694571,0,3308 95,3,2024-09-07 08:26:31:711,1,89,2,0,307,1384,89,0 96,0,2024-09-07 08:26:31:088,22638,0.7,22537,0.8,45063,0.8,59875,1.75 96,1,2024-09-07 08:26:31:587,156406,156406,0,0,73237927045,768881427,154560,1275,571,385,391596,0 96,2,2024-09-07 08:26:31:282,116996,116996,0,0,5214216,0,4038 96,3,2024-09-07 08:26:31:147,1,89,1,0,69,838,89,0 97,0,2024-09-07 08:26:31:357,17502,0.3,17598,0.5,35313,0.2,46951,1.75 97,1,2024-09-07 08:26:30:768,156526,156526,0,0,73841957398,771542913,154327,1680,519,367,392140,0 97,2,2024-09-07 08:26:30:610,113978,113978,0,0,4446536,0,3036 97,3,2024-09-07 08:26:30:569,1,89,7,1,165,1120,89,0 98,0,2024-09-07 08:26:31:756,23193,0.2,23007,0.4,46718,0.2,62438,1.50 98,1,2024-09-07 08:26:30:576,156355,156355,0,0,73148327069,763489147,155274,1036,45,382,391997,0 98,2,2024-09-07 08:26:30:770,110323,110323,0,0,4557081,0,3080 98,3,2024-09-07 08:26:30:704,1,89,2,1,155,1063,89,0 99,0,2024-09-07 08:26:31:452,24512,0.3,24455,0.5,48895,0.3,65464,1.75 99,1,2024-09-07 08:26:31:725,156813,156813,0,0,73127239841,763851427,155312,1055,446,381,391744,0 99,2,2024-09-07 08:26:31:424,112219,112219,0,0,4785140,0,1858 99,3,2024-09-07 08:26:30:581,1,89,5,0,129,771,89,0 100,0,2024-09-07 08:26:31:522,23644,1.7,23483,2.1,46853,4.6,63142,2.50 100,1,2024-09-07 08:26:30:567,155566,155566,0,0,72313814493,767197020,152813,2407,346,381,391989,0 100,2,2024-09-07 08:26:31:819,114471,114460,11,0,5795761,0,5417 100,3,2024-09-07 08:26:31:734,1,89,1,0,443,2026,89,0 101,0,2024-09-07 08:26:31:721,17895,2.4,17529,1.3,34457,0.6,48174,2.50 101,1,2024-09-07 08:26:30:580,155867,155867,0,0,73576565292,771130682,154377,1040,450,369,391709,0 101,2,2024-09-07 08:26:31:758,113881,113881,0,0,5088263,0,4644 101,3,2024-09-07 08:26:30:961,1,89,8,0,448,1147,89,0 102,0,2024-09-07 08:26:30:953,22595,0.3,23406,0.5,46982,0.2,61680,1.75 102,1,2024-09-07 08:26:31:181,156026,156026,0,0,72604607530,765424398,153549,2008,469,369,391831,0 102,2,2024-09-07 08:26:31:738,112650,112650,0,0,4207558,0,1945 102,3,2024-09-07 08:26:31:619,1,89,2,0,410,934,89,0 103,0,2024-09-07 08:26:31:620,24373,0.5,24240,0.7,46095,0.5,63221,1.75 103,1,2024-09-07 08:26:31:635,155939,155939,0,0,73303473585,767275806,154706,1089,144,381,391680,0 103,2,2024-09-07 08:26:30:591,110523,110523,0,0,4617561,0,2104 103,3,2024-09-07 08:26:30:757,1,89,10,1,486,1172,89,0 104,0,2024-09-07 08:26:31:090,24889,1.6,24829,1.3,48702,1.9,66410,2.50 104,1,2024-09-07 08:26:31:605,156669,156669,0,0,73080801219,767985907,154709,1634,326,366,391948,0 104,2,2024-09-07 08:26:31:673,111288,111288,0,0,5313598,0,3941 104,3,2024-09-07 08:26:31:415,1,89,1,1,1245,3965,89,0 105,0,2024-09-07 08:26:31:133,19122,0.9,18512,1.4,38445,1.3,51357,3.25 105,1,2024-09-07 08:26:30:566,156037,156037,0,0,73341598402,772868747,153079,2211,747,367,391797,0 105,2,2024-09-07 08:26:31:324,114846,114846,0,0,5085624,0,3314 105,3,2024-09-07 08:26:31:319,1,89,6,1,399,1708,89,0 106,0,2024-09-07 08:26:30:949,20047,0.3,20610,0.5,41716,0.2,55153,1.75 106,1,2024-09-07 08:26:31:750,156438,156438,0,0,72942526023,766385782,154020,2090,328,370,391767,0 106,2,2024-09-07 08:26:30:758,113249,113249,0,0,5062570,0,2795 106,3,2024-09-07 08:26:30:679,1,89,1,1,201,964,89,0 107,0,2024-09-07 08:26:31:131,23926,0.5,23768,0.6,47254,0.4,62947,1.75 107,1,2024-09-07 08:26:30:614,155727,155727,0,0,73224522946,771590283,153782,1755,190,381,392234,0 107,2,2024-09-07 08:26:31:297,109022,109021,1,0,4807255,0,5024 107,3,2024-09-07 08:26:31:778,1,89,9,0,353,1432,89,0 108,0,2024-09-07 08:26:31:791,24869,0.5,25089,0.7,49458,0.5,65785,1.75 108,1,2024-09-07 08:26:31:294,156227,156227,0,0,73196523519,765912579,154744,1347,136,371,391857,0 108,2,2024-09-07 08:26:31:759,110484,110484,0,0,4829730,0,2647 108,3,2024-09-07 08:26:31:335,1,89,5,0,73,1110,89,0 109,0,2024-09-07 08:26:31:771,21916,0.7,22119,0.8,43739,0.8,58925,1.75 109,1,2024-09-07 08:26:30:585,155954,155954,0,0,73625084351,774896346,154282,1289,383,383,391812,0 109,2,2024-09-07 08:26:30:944,115437,115437,0,0,5290929,0,3617 109,3,2024-09-07 08:26:31:145,1,89,13,1,249,1438,89,0 110,0,2024-09-07 08:26:31:766,18503,0.2,17935,0.4,37320,0.2,49010,1.50 110,1,2024-09-07 08:26:31:644,156675,156675,0,0,73422687620,763500546,155350,1038,287,370,391588,0 110,2,2024-09-07 08:26:31:302,114691,114691,0,0,4428335,0,2915 110,3,2024-09-07 08:26:30:694,1,89,48,0,183,844,89,0 111,0,2024-09-07 08:26:31:421,24246,0.2,23881,0.4,47761,0.1,64186,1.50 111,1,2024-09-07 08:26:31:007,157172,157172,0,0,74181858651,767510646,156524,634,14,382,391690,0 111,2,2024-09-07 08:26:31:116,108874,108874,0,0,4540950,0,2763 111,3,2024-09-07 08:26:30:913,1,89,1,0,300,1645,89,0 112,0,2024-09-07 08:26:30:915,24907,0.4,24882,0.5,49508,0.3,66294,1.75 112,1,2024-09-07 08:26:30:836,156360,156360,0,0,73731531165,768485840,154847,1167,346,381,391580,0 112,2,2024-09-07 08:26:31:134,111098,111097,1,0,5037070,0,5036 112,3,2024-09-07 08:26:30:598,1,89,1,0,282,915,89,0 113,0,2024-09-07 08:26:30:886,22492,0.5,22361,0.7,45493,0.5,60432,1.75 113,1,2024-09-07 08:26:31:685,156728,156728,0,0,73688365614,765596244,154834,1510,384,368,391661,0 113,2,2024-09-07 08:26:31:302,116628,116628,0,0,4195960,0,3021 113,3,2024-09-07 08:26:30:685,1,89,12,0,288,1485,89,0 114,0,2024-09-07 08:26:30:884,17911,2.6,18095,1.1,35479,0.5,48414,2.00 114,1,2024-09-07 08:26:30:718,156593,156593,0,0,73546449053,772436373,153965,1747,881,381,391531,0 114,2,2024-09-07 08:26:30:876,114145,114145,0,0,4645376,0,3925 114,3,2024-09-07 08:26:31:279,1,89,102,0,159,1061,89,0 115,0,2024-09-07 08:26:30:585,23035,0.2,23281,0.3,46799,0.1,61961,1.50 115,1,2024-09-07 08:26:30:579,156471,156471,0,0,73668140233,770463961,154096,1888,487,383,391528,0 115,2,2024-09-07 08:26:31:125,110901,110901,0,0,3978337,0,2152 115,3,2024-09-07 08:26:31:004,1,89,1,0,159,581,89,0 116,0,2024-09-07 08:26:31:763,23914,0.8,23443,0.9,47569,1.0,63550,2.00 116,1,2024-09-07 08:26:30:823,155952,155952,0,0,73359572675,775486991,154056,1025,871,382,391605,0 116,2,2024-09-07 08:26:31:770,110553,110553,0,0,5184291,0,3529 116,3,2024-09-07 08:26:30:918,1,89,4,1,252,1408,89,0 117,0,2024-09-07 08:26:31:012,23996,2.1,24074,1.4,47789,3.3,64321,2.25 117,1,2024-09-07 08:26:31:585,156552,156552,0,0,72889397688,766888550,154226,2032,294,370,392033,0 117,2,2024-09-07 08:26:31:119,114065,114065,0,0,4624951,0,3700 117,3,2024-09-07 08:26:31:067,1,89,7,0,490,1909,89,0 118,0,2024-09-07 08:26:31:782,17686,0.6,18100,0.8,37112,0.6,48677,2.00 118,1,2024-09-07 08:26:30:587,156453,156453,0,0,72148428254,755104479,155203,1170,80,368,391736,0 118,2,2024-09-07 08:26:31:590,114638,114638,0,0,4964865,0,2781 118,3,2024-09-07 08:26:31:764,1,89,1,1,235,1312,89,0 119,0,2024-09-07 08:26:31:346,21873,0.3,21820,0.4,44576,0.2,59163,1.75 119,1,2024-09-07 08:26:30:555,156731,156731,0,0,73427632023,767896666,155109,1473,149,370,391641,0 119,2,2024-09-07 08:26:31:262,112729,112729,0,0,4717919,0,2532 119,3,2024-09-07 08:26:31:325,1,89,3,0,443,2237,89,0 120,0,2024-09-07 08:26:31:598,23406,0.6,23535,0.8,46686,0.6,62534,2.00 120,1,2024-09-07 08:26:30:869,156420,156420,0,0,73917730946,774757967,154886,1518,16,368,391702,0 120,2,2024-09-07 08:26:30:782,109504,109503,1,0,5786182,0,5281 120,3,2024-09-07 08:26:31:294,1,89,12,0,241,1478,89,0 121,0,2024-09-07 08:26:31:757,24733,2.2,24989,1.4,49864,3.4,66664,2.50 121,1,2024-09-07 08:26:31:669,156243,156243,0,0,73041879337,764862061,154356,1616,271,367,391840,0 121,2,2024-09-07 08:26:31:165,110400,110400,0,0,6104406,0,4127 121,3,2024-09-07 08:26:30:732,1,89,6,0,113,912,89,0 122,0,2024-09-07 08:26:31:777,21185,2.0,20484,1.7,42728,2.4,56644,2.25 122,1,2024-09-07 08:26:30:858,155352,155352,0,0,73088562672,771247536,152339,2489,524,368,392130,0 122,2,2024-09-07 08:26:31:322,116170,116170,0,0,6156554,0,3364 122,3,2024-09-07 08:26:30:601,1,89,1,1,298,1869,89,0 123,0,2024-09-07 08:26:30:983,19527,0.3,19008,0.5,39818,0.2,52267,1.50 123,1,2024-09-07 08:26:30:567,156922,156922,0,0,73605208917,778746780,153452,2902,568,369,391823,0 123,2,2024-09-07 08:26:31:019,113762,113761,1,0,5087545,0,5215 123,3,2024-09-07 08:26:31:134,1,89,119,1,160,1265,89,0 124,0,2024-09-07 08:26:30:937,24424,0.3,24401,0.5,46077,0.2,62967,1.50 124,1,2024-09-07 08:26:31:045,156392,156392,0,0,73052486276,757592877,155581,666,145,367,392178,0 124,2,2024-09-07 08:26:31:024,108667,108667,0,0,4035393,0,2477 124,3,2024-09-07 08:26:30:763,1,89,6,1,490,1687,89,0 125,0,2024-09-07 08:26:31:471,24895,0.6,24821,0.7,49576,0.6,65813,1.75 125,1,2024-09-07 08:26:30:869,156221,156221,0,0,73289522881,767305674,154465,1483,273,384,391702,0 125,2,2024-09-07 08:26:31:129,111096,111096,0,0,4482086,0,2180 125,3,2024-09-07 08:26:31:128,1,89,1,1,93,1148,89,0 126,0,2024-09-07 08:26:31:453,22610,1.1,23097,0.9,44370,1.3,60334,2.00 126,1,2024-09-07 08:26:30:559,157002,157002,0,0,73906750606,766718118,155950,997,55,365,391987,0 126,2,2024-09-07 08:26:30:613,116761,116761,0,0,4923538,0,3186 126,3,2024-09-07 08:26:30:907,1,89,9,0,122,1215,89,0 127,0,2024-09-07 08:26:31:706,17657,0.2,17528,0.4,35187,0.2,46938,1.50 127,1,2024-09-07 08:26:30:574,156518,156518,0,0,72906326430,756028574,155375,1120,23,365,391614,0 127,2,2024-09-07 08:26:30:645,114726,114726,0,0,4100944,0,1803 127,3,2024-09-07 08:26:31:267,1,89,1,0,99,682,89,0 128,0,2024-09-07 08:26:31:562,23421,0.2,23362,0.4,46365,0.2,62449,1.50 128,1,2024-09-07 08:26:31:611,156563,156563,0,0,73636932252,763426029,155830,663,70,367,391680,0 128,2,2024-09-07 08:26:31:387,108813,108813,0,0,4736370,0,2107 128,3,2024-09-07 08:26:30:778,1,89,1,1,112,1005,89,0 129,0,2024-09-07 08:26:31:026,24617,0.4,24578,0.5,48911,0.4,65430,1.75 129,1,2024-09-07 08:26:30:567,156140,156140,0,0,73206981885,768172231,154272,1593,275,379,391835,0 129,2,2024-09-07 08:26:30:685,110644,110644,0,0,4223478,0,2446 129,3,2024-09-07 08:26:30:695,1,89,1,1,173,1297,89,0 130,0,2024-09-07 08:26:31:762,23861,1.3,23736,1.0,47535,1.9,63704,2.00 130,1,2024-09-07 08:26:30:586,156394,156394,0,0,73468979218,767034762,155071,1289,34,381,391825,0 130,2,2024-09-07 08:26:31:125,115086,115086,0,0,4978071,0,4067 130,3,2024-09-07 08:26:31:293,1,89,8,0,207,691,89,0 131,0,2024-09-07 08:26:31:931,17843,0.7,17780,0.8,35925,1.1,47995,1.75 131,1,2024-09-07 08:26:31:858,157272,157272,0,0,73715248560,768387485,156222,863,187,384,391865,0 131,2,2024-09-07 08:26:30:569,114629,114629,0,0,4154753,0,2415 131,3,2024-09-07 08:26:31:693,1,89,3,0,392,1163,89,0 132,0,2024-09-07 08:26:31:422,22822,0.3,23227,0.5,46397,0.2,61947,1.75 132,1,2024-09-07 08:26:30:586,155824,155824,0,0,73068507654,770864396,153231,1887,706,381,391719,0 132,2,2024-09-07 08:26:30:698,111865,111865,0,0,6327701,0,4606 132,3,2024-09-07 08:26:31:689,1,89,5,0,356,1114,89,0 133,0,2024-09-07 08:26:31:530,23148,0.5,23559,0.6,48487,0.5,62565,1.75 133,1,2024-09-07 08:26:30:595,155319,155319,0,0,73106521894,771268243,153100,2123,96,383,391755,0 133,2,2024-09-07 08:26:31:087,110760,110760,0,0,5476507,0,2444 133,3,2024-09-07 08:26:31:301,1,89,1,1,187,729,89,0 134,0,2024-09-07 08:26:30:949,25005,0.9,24901,1.0,50024,1.0,66337,2.00 134,1,2024-09-07 08:26:30:586,156411,156411,0,0,72557648563,763380399,153765,1665,981,366,391718,0 134,2,2024-09-07 08:26:31:760,111934,111934,0,0,4183399,0,2026 134,3,2024-09-07 08:26:30:760,1,89,1,0,739,2046,89,0 135,0,2024-09-07 08:26:31:113,18776,1.5,18838,1.5,39756,1.5,51104,2.25 135,1,2024-09-07 08:26:31:660,155930,155930,0,0,72846738803,764800532,153977,1625,328,380,391703,0 135,2,2024-09-07 08:26:30:688,115883,115883,0,0,5159139,0,3981 135,3,2024-09-07 08:26:31:004,1,89,2,0,68,519,89,0 136,0,2024-09-07 08:26:31:642,20744,0.3,20588,0.6,41421,0.2,55644,2.00 136,1,2024-09-07 08:26:31:459,156307,156307,0,0,73316589829,768760708,154400,1760,147,384,391621,0 136,2,2024-09-07 08:26:31:141,114740,114740,0,0,4588516,0,2379 136,3,2024-09-07 08:26:31:106,1,89,1,0,108,906,89,0 137,0,2024-09-07 08:26:30:937,24414,0.6,23643,0.8,46863,0.6,63120,2.00 137,1,2024-09-07 08:26:30:582,156217,156217,0,0,73650079669,770430814,154237,1866,114,367,391608,0 137,2,2024-09-07 08:26:31:715,108633,108633,0,0,5588797,0,2632 137,3,2024-09-07 08:26:30:775,1,89,2,1,227,1149,89,0 138,0,2024-09-07 08:26:31:770,24117,2.4,24307,1.5,49391,3.6,65632,2.75 138,1,2024-09-07 08:26:31:687,156140,156140,0,0,73193987518,767877743,153803,2072,265,369,391954,0 138,2,2024-09-07 08:26:30:597,111174,111174,0,0,4847900,0,3263 138,3,2024-09-07 08:26:30:611,1,89,2,0,1160,1987,89,0 139,0,2024-09-07 08:26:31:393,21323,4.2,21331,2.3,43231,5.4,58186,3.75 139,1,2024-09-07 08:26:30:572,155673,155673,0,0,72895625897,774543072,152780,2164,729,381,391892,0 139,2,2024-09-07 08:26:30:695,115746,115746,0,0,6135835,0,3097 139,3,2024-09-07 08:26:31:664,1,89,2,0,244,1376,89,0 140,0,2024-09-07 08:26:31:596,18358,0.2,18228,0.4,36726,0.1,49042,1.50 140,1,2024-09-07 08:26:31:536,157004,157004,0,0,73323217457,757442793,156074,733,197,365,391606,0 140,2,2024-09-07 08:26:30:687,115056,115056,0,0,4863286,0,3388 140,3,2024-09-07 08:26:30:768,1,89,3,0,25,598,89,0 141,0,2024-09-07 08:26:31:715,23853,0.2,24622,0.4,47452,0.1,64148,1.50 141,1,2024-09-07 08:26:30:865,156694,156694,0,0,73635181201,765935604,155271,1092,331,382,391538,0 141,2,2024-09-07 08:26:31:686,108738,108738,0,0,4320395,0,2342 141,3,2024-09-07 08:26:31:043,1,89,11,0,147,642,89,0 142,0,2024-09-07 08:26:31:330,25131,0.4,24798,0.5,49368,0.3,66317,1.75 142,1,2024-09-07 08:26:30:587,156870,156870,0,0,73650893380,766140198,156308,546,16,384,391649,0 142,2,2024-09-07 08:26:31:300,110058,110058,0,0,4747841,0,2293 142,3,2024-09-07 08:26:31:753,1,89,3,0,484,1620,89,0 143,0,2024-09-07 08:26:31:383,22536,1.1,22698,0.9,45585,1.4,60236,2.00 143,1,2024-09-07 08:26:30:580,156519,156519,0,0,73413421176,760830053,155537,953,29,367,391619,0 143,2,2024-09-07 08:26:30:775,116004,116004,0,0,5239721,0,2669 143,3,2024-09-07 08:26:31:145,1,89,1,0,236,1204,89,0 144,0,2024-09-07 08:26:31:518,17131,0.8,17694,4.7,35784,0.6,48088,2.00 144,1,2024-09-07 08:26:30:570,156182,156182,0,0,73019290714,767108660,154589,1400,193,381,391638,0 144,2,2024-09-07 08:26:31:768,114628,114628,0,0,4509196,0,3473 144,3,2024-09-07 08:26:31:762,1,89,1,1,169,1303,89,0 145,0,2024-09-07 08:26:31:378,22511,0.3,22539,0.5,47701,0.3,61930,1.75 145,1,2024-09-07 08:26:30:560,155622,155622,0,0,72851731644,765957401,153690,1667,265,383,391615,0 145,2,2024-09-07 08:26:31:428,110891,110891,0,0,5238127,0,3903 145,3,2024-09-07 08:26:30:902,1,89,1,0,151,1151,89,0 146,0,2024-09-07 08:26:31:628,23642,0.6,23597,0.8,47582,0.7,63157,2.25 146,1,2024-09-07 08:26:31:609,156530,156530,0,0,73152555328,767420847,154228,1998,304,368,391600,0 146,2,2024-09-07 08:26:31:696,110366,110366,0,0,4823706,0,2379 146,3,2024-09-07 08:26:31:276,1,89,12,0,1520,3191,89,0 147,0,2024-09-07 08:26:31:735,23856,2.6,23646,1.6,47080,3.9,64266,3.00 147,1,2024-09-07 08:26:31:387,156588,156588,0,0,73615093563,765766672,154864,1368,356,368,391791,0 147,2,2024-09-07 08:26:31:013,114043,114043,0,0,4912829,0,2789 147,3,2024-09-07 08:26:30:914,1,89,25,1,141,882,89,0 0,0,2024-09-07 08:26:41:720,23021,0.6,22974,0.8,48645,0.7,62830,1.75 0,1,2024-09-07 08:26:40:803,158204,158204,0,0,74375922510,780666410,156936,1141,127,372,391692,0 0,2,2024-09-07 08:26:41:078,111095,111095,0,0,5030071,0,4480 0,3,2024-09-07 08:26:40:973,1,90,1,0,247,1468,90,0 1,0,2024-09-07 08:26:41:893,25013,2.5,24810,1.7,50116,3.2,66811,2.75 1,1,2024-09-07 08:26:40:567,157773,157773,0,0,73610265844,773150392,155994,1250,529,372,391857,0 1,2,2024-09-07 08:26:40:652,112001,112001,0,0,4739008,0,3267 1,3,2024-09-07 08:26:41:312,1,90,5,0,167,830,90,0 2,0,2024-09-07 08:26:41:578,21017,1.0,21193,1.2,42042,1.4,55894,2.00 2,1,2024-09-07 08:26:40:862,158412,158412,0,0,74696823424,777143358,157329,879,204,381,391558,0 2,2,2024-09-07 08:26:41:266,116552,116552,0,0,4915494,0,3304 2,3,2024-09-07 08:26:40:691,1,90,0,0,214,883,90,0 3,0,2024-09-07 08:26:41:752,19940,0.3,20102,0.5,40072,0.2,53284,1.75 3,1,2024-09-07 08:26:41:619,157360,157360,0,0,73654487560,769440772,155381,1593,386,382,391516,0 3,2,2024-09-07 08:26:41:142,115726,115703,23,0,5172492,0,5851 3,3,2024-09-07 08:26:41:757,1,90,7,0,103,617,90,0 4,0,2024-09-07 08:26:41:862,23133,0.3,23823,0.4,48074,0.3,62978,1.50 4,1,2024-09-07 08:26:40:594,157597,157597,0,0,73396681442,773654557,155691,1487,419,371,391846,0 4,2,2024-09-07 08:26:41:033,109464,109464,0,0,5683812,0,4528 4,3,2024-09-07 08:26:41:034,1,90,3,1,287,1442,90,0 5,0,2024-09-07 08:26:41:386,25011,0.7,24898,0.7,50026,0.8,66277,1.75 5,1,2024-09-07 08:26:40:755,157839,157839,0,0,74115953001,779981866,155612,1783,444,368,392005,0 5,2,2024-09-07 08:26:41:846,111846,111846,0,0,4719751,0,2259 5,3,2024-09-07 08:26:41:737,1,90,5,0,238,1654,90,0 6,0,2024-09-07 08:26:40:931,22911,0.9,22567,1.1,45133,1.1,60908,2.25 6,1,2024-09-07 08:26:40:748,158686,158686,0,0,74382992572,774160851,157560,1056,70,381,391603,0 6,2,2024-09-07 08:26:41:115,118444,118444,0,0,4985569,0,2411 6,3,2024-09-07 08:26:41:274,1,90,17,0,340,1519,90,0 7,0,2024-09-07 08:26:41:559,17586,0.2,17717,0.4,35656,0.2,47590,1.75 7,1,2024-09-07 08:26:40:851,158508,158508,0,0,74008133846,771770498,157519,924,65,383,391664,0 7,2,2024-09-07 08:26:40:771,115137,115137,0,0,4600458,0,2981 7,3,2024-09-07 08:26:40:852,1,90,5,0,83,707,90,0 8,0,2024-09-07 08:26:41:404,23755,0.3,23932,0.4,46984,0.2,63865,1.50 8,1,2024-09-07 08:26:41:017,157868,157868,0,0,73948489269,784353144,154380,2235,1253,368,391724,0 8,2,2024-09-07 08:26:40:793,108903,108903,0,0,5679633,0,2986 8,3,2024-09-07 08:26:40:585,1,90,8,0,229,1173,90,0 9,0,2024-09-07 08:26:41:128,24783,0.4,24126,0.6,50452,0.4,66075,1.75 9,1,2024-09-07 08:26:40:554,158495,158495,0,0,74067457289,780634066,156042,1884,569,370,391738,0 9,2,2024-09-07 08:26:41:098,112048,112048,0,0,5182548,0,3360 9,3,2024-09-07 08:26:41:753,1,90,496,0,496,1606,90,0 10,0,2024-09-07 08:26:41:614,23828,0.6,23834,0.8,47656,0.6,63539,2.00 10,1,2024-09-07 08:26:40:582,157565,157565,0,0,74169537839,782214965,154227,2816,522,381,391741,0 10,2,2024-09-07 08:26:40:765,116437,116437,0,0,5322363,0,2940 10,3,2024-09-07 08:26:40:871,1,90,1,0,136,627,90,0 11,0,2024-09-07 08:26:41:019,17642,0.6,17359,0.9,36273,0.7,48660,2.25 11,1,2024-09-07 08:26:40:576,157915,157915,0,0,74073866031,778706002,155273,1827,815,384,391537,0 11,2,2024-09-07 08:26:41:132,115282,115282,0,0,5007368,0,2635 11,3,2024-09-07 08:26:41:300,1,90,2,0,720,2411,90,0 12,0,2024-09-07 08:26:41:027,23735,0.3,23558,0.4,47313,0.2,62760,1.50 12,1,2024-09-07 08:26:40:951,157572,157572,0,0,73698319491,771775911,155905,1564,103,371,391790,0 12,2,2024-09-07 08:26:41:547,113547,113547,0,0,4831044,0,2254 12,3,2024-09-07 08:26:41:060,1,90,20,0,358,1602,90,0 13,0,2024-09-07 08:26:41:445,23885,0.4,23954,0.6,47756,0.4,63549,1.75 13,1,2024-09-07 08:26:41:533,158109,158109,0,0,74200048196,780336689,156503,1239,367,384,391717,0 13,2,2024-09-07 08:26:40:599,112537,112537,0,0,4406749,0,3287 13,3,2024-09-07 08:26:41:771,1,90,6,1,416,1819,90,0 14,0,2024-09-07 08:26:40:581,25099,0.7,25267,0.8,49940,0.8,66337,2.00 14,1,2024-09-07 08:26:41:564,158954,158954,0,0,74117283818,767333670,158070,853,31,364,391546,0 14,2,2024-09-07 08:26:40:765,113345,113345,0,0,4988061,0,2793 14,3,2024-09-07 08:26:41:114,1,90,1,0,906,1366,90,0 15,0,2024-09-07 08:26:41:559,19459,0.8,19233,1.2,38611,1.0,51516,2.25 15,1,2024-09-07 08:26:41:615,157528,157528,0,0,73966328737,768906816,156705,788,35,381,391536,0 15,2,2024-09-07 08:26:41:003,117085,117085,0,0,4054750,0,3043 15,3,2024-09-07 08:26:41:422,1,90,6,0,538,2435,90,0 16,0,2024-09-07 08:26:40:975,20993,0.3,21225,0.5,41955,0.3,56536,2.00 16,1,2024-09-07 08:26:40:569,157885,157885,0,0,74119627583,775393495,156742,1115,28,372,391756,0 16,2,2024-09-07 08:26:41:434,114273,114273,0,0,5442247,0,4719 16,3,2024-09-07 08:26:41:147,1,90,2,0,231,1488,90,0 17,0,2024-09-07 08:26:41:802,24502,0.5,24010,0.7,47049,0.5,63241,1.75 17,1,2024-09-07 08:26:40:570,157951,157951,0,0,73735903594,776954250,156058,1369,524,369,391688,0 17,2,2024-09-07 08:26:41:670,111658,111658,0,0,4193116,0,2857 17,3,2024-09-07 08:26:40:573,1,90,2,0,268,1696,90,0 18,0,2024-09-07 08:26:40:946,24167,1.2,24590,1.1,49347,1.5,65301,2.50 18,1,2024-09-07 08:26:41:648,157982,157982,0,0,73740054083,766599593,156729,1035,218,368,391555,0 18,2,2024-09-07 08:26:41:755,111828,111828,0,0,5103341,0,3541 18,3,2024-09-07 08:26:40:899,1,90,1,0,163,1043,90,0 19,0,2024-09-07 08:26:41:555,21736,1.2,21984,1.2,43572,1.5,57898,3.75 19,1,2024-09-07 08:26:40:568,158150,158150,0,0,74340469953,775617779,156281,1705,164,367,391777,0 19,2,2024-09-07 08:26:41:754,118023,118023,0,0,4704176,0,3988 19,3,2024-09-07 08:26:41:130,1,90,1,0,524,1240,90,0 20,0,2024-09-07 08:26:41:382,18842,0.3,18794,0.5,37402,0.2,49949,1.75 20,1,2024-09-07 08:26:40:567,158088,158088,0,0,74000867831,775369417,156381,1543,164,370,391598,0 20,2,2024-09-07 08:26:40:940,115419,115419,0,0,4598098,0,2446 20,3,2024-09-07 08:26:40:589,1,90,1,0,99,986,90,0 21,0,2024-09-07 08:26:41:218,24449,0.4,24338,0.5,48598,0.3,64189,1.75 21,1,2024-09-07 08:26:41:542,157422,157422,0,0,72947578670,769235916,155072,1855,495,368,391962,0 21,2,2024-09-07 08:26:41:067,110090,110090,0,0,4764357,0,3747 21,3,2024-09-07 08:26:41:415,1,90,15,0,93,1183,90,0 22,0,2024-09-07 08:26:41:725,24779,1.5,24777,1.2,49396,2.4,66249,2.75 22,1,2024-09-07 08:26:41:023,157809,157809,0,0,73654448796,776989318,154667,2416,726,382,391667,0 22,2,2024-09-07 08:26:40:764,111925,111925,0,0,4247089,0,3134 22,3,2024-09-07 08:26:41:066,1,90,1,0,228,872,90,0 23,0,2024-09-07 08:26:41:373,22670,1.0,22741,1.0,45646,1.0,60783,2.50 23,1,2024-09-07 08:26:41:004,158420,158420,0,0,75029813307,777994775,157070,1096,254,365,391549,0 23,2,2024-09-07 08:26:41:099,117351,117351,0,0,4528406,0,2078 23,3,2024-09-07 08:26:41:754,1,90,1,0,645,1422,90,0 24,0,2024-09-07 08:26:40:847,18451,0.5,18448,0.6,36998,0.5,49606,1.75 24,1,2024-09-07 08:26:40:585,158153,158153,0,0,73798999597,767929743,157325,820,8,369,391640,0 24,2,2024-09-07 08:26:41:080,115039,115039,0,0,5813259,0,2942 24,3,2024-09-07 08:26:41:691,1,90,11,0,234,1172,90,0 25,0,2024-09-07 08:26:41:364,24254,0.3,23605,0.4,46412,0.2,62937,1.50 25,1,2024-09-07 08:26:40:557,157731,157731,0,0,73452531763,772200584,155570,1845,316,371,391788,0 25,2,2024-09-07 08:26:41:617,110807,110807,0,0,5233138,0,3284 25,3,2024-09-07 08:26:41:004,1,90,7,0,158,824,90,0 26,0,2024-09-07 08:26:41:732,23903,0.4,23351,0.6,48837,0.4,64425,1.75 26,1,2024-09-07 08:26:41:540,158461,158461,0,0,73629160207,777007659,154997,2629,835,381,391564,0 26,2,2024-09-07 08:26:40:862,112243,112243,0,0,5143295,0,2809 26,3,2024-09-07 08:26:41:717,1,90,0,0,796,1311,90,0 27,0,2024-09-07 08:26:41:750,24284,0.8,24448,0.8,48413,1.0,64856,2.00 27,1,2024-09-07 08:26:41:678,158793,158793,0,0,74393706088,772145279,157652,846,295,381,391539,0 27,2,2024-09-07 08:26:40:866,114143,114143,0,0,6197529,0,3409 27,3,2024-09-07 08:26:41:022,1,90,1,0,564,1262,90,0 28,0,2024-09-07 08:26:41:399,18336,0.6,18570,0.8,37162,0.7,49715,2.00 28,1,2024-09-07 08:26:40:801,158426,158426,0,0,74853222877,779039578,157362,864,200,383,391646,0 28,2,2024-09-07 08:26:41:763,115578,115578,0,0,4305833,0,2609 28,3,2024-09-07 08:26:41:779,1,90,0,0,502,1284,90,0 29,0,2024-09-07 08:26:41:366,23060,0.3,22364,0.5,44150,0.2,60633,1.75 29,1,2024-09-07 08:26:41:561,159428,159428,0,0,74145588655,765734132,158636,620,172,369,391621,0 29,2,2024-09-07 08:26:40:861,114667,114667,0,0,3819797,0,2026 29,3,2024-09-07 08:26:40:963,1,90,1,0,105,708,90,0 30,0,2024-09-07 08:26:41:465,23550,1.0,22975,0.9,48049,1.3,62774,2.50 30,1,2024-09-07 08:26:40:571,158354,158354,0,0,74438082488,773874473,157375,914,65,382,391584,0 30,2,2024-09-07 08:26:41:275,112379,112379,0,0,3706809,0,2534 30,3,2024-09-07 08:26:40:580,1,90,1,0,195,677,90,0 31,0,2024-09-07 08:26:41:765,24784,1.1,24940,1.0,50184,1.3,66526,2.25 31,1,2024-09-07 08:26:40:568,159057,159057,0,0,74576542708,763245020,158806,249,2,356,391553,0 31,2,2024-09-07 08:26:41:278,112608,112608,0,0,5300908,0,3525 31,3,2024-09-07 08:26:41:707,1,90,0,0,129,592,90,0 32,0,2024-09-07 08:26:41:434,21229,1.0,21470,1.0,42870,1.2,56426,1.75 32,1,2024-09-07 08:26:40:806,158646,158646,0,0,74449684555,773370973,157641,976,29,382,391595,0 32,2,2024-09-07 08:26:40:940,117040,117040,0,0,4504561,0,3155 32,3,2024-09-07 08:26:41:023,1,90,15,0,110,768,90,0 33,0,2024-09-07 08:26:41:506,20081,0.2,19849,0.4,40338,0.1,53308,1.50 33,1,2024-09-07 08:26:40:627,159043,159043,0,0,74504562588,773740557,157381,1539,123,369,391730,0 33,2,2024-09-07 08:26:40:765,115767,115734,33,0,5955445,0,7012 33,3,2024-09-07 08:26:40:899,1,90,4,0,129,608,90,0 34,0,2024-09-07 08:26:40:940,23835,0.3,24633,0.5,47219,0.3,62998,1.75 34,1,2024-09-07 08:26:41:051,159045,159045,0,0,74833359568,770681090,158447,594,4,367,391517,0 34,2,2024-09-07 08:26:40:766,109671,109671,0,0,4846590,0,3255 34,3,2024-09-07 08:26:41:688,1,90,1,0,148,712,90,0 35,0,2024-09-07 08:26:40:869,24760,0.7,25010,0.7,49719,0.7,66364,1.75 35,1,2024-09-07 08:26:41:067,158446,158446,0,0,74116072478,770223361,156968,1116,362,384,391587,0 35,2,2024-09-07 08:26:41:587,111455,111455,0,0,4505609,0,2542 35,3,2024-09-07 08:26:40:919,1,90,1,0,219,1090,90,0 36,0,2024-09-07 08:26:41:531,22766,1.5,22686,1.2,45963,1.7,60814,2.50 36,1,2024-09-07 08:26:40:585,157755,157755,0,0,73486439826,771140155,154741,2083,931,366,391543,0 36,2,2024-09-07 08:26:41:754,117470,117470,0,0,5670124,0,3303 36,3,2024-09-07 08:26:40:864,1,90,4,0,378,1161,90,0 37,0,2024-09-07 08:26:41:382,17832,0.3,17738,0.5,35724,0.2,47649,1.75 37,1,2024-09-07 08:26:40:569,157865,157858,0,7,73267408005,772769697,155015,1324,1519,365,391518,0 37,2,2024-09-07 08:26:41:142,115749,115749,0,0,4155270,0,2333 37,3,2024-09-07 08:26:41:766,1,90,1,0,724,1949,90,0 38,0,2024-09-07 08:26:41:453,23717,0.3,22979,0.5,48037,0.2,63736,1.75 38,1,2024-09-07 08:26:41:608,158885,158885,0,0,74172191899,775048126,156727,1711,447,368,391821,0 38,2,2024-09-07 08:26:40:762,110674,110674,0,0,4779219,0,3245 38,3,2024-09-07 08:26:41:002,1,90,4,0,603,1437,90,0 39,0,2024-09-07 08:26:41:772,25456,0.9,24882,0.8,48524,1.1,66235,2.00 39,1,2024-09-07 08:26:40:716,158088,158088,0,0,73801375440,771996678,155454,1996,638,365,391524,0 39,2,2024-09-07 08:26:41:420,112247,112247,0,0,4549274,0,2381 39,3,2024-09-07 08:26:40:715,1,90,1,0,276,1293,90,0 40,0,2024-09-07 08:26:41:499,23354,1.5,23773,1.9,47070,3.3,63034,3.50 40,1,2024-09-07 08:26:40:576,157813,157813,0,0,73226637429,770049770,154556,2503,754,369,391591,0 40,2,2024-09-07 08:26:41:312,116515,116514,1,0,5706715,0,5137 40,3,2024-09-07 08:26:41:143,1,90,1,0,110,841,90,0 41,0,2024-09-07 08:26:41:045,17549,0.5,17966,2.9,34281,0.5,47604,2.25 41,1,2024-09-07 08:26:40:767,158056,158056,0,0,73572919053,770862994,155631,2112,313,370,391742,0 41,2,2024-09-07 08:26:40:765,114932,114932,0,0,5867400,0,3356 41,3,2024-09-07 08:26:41:676,1,90,9,0,168,894,90,0 42,0,2024-09-07 08:26:41:487,23392,0.4,23732,0.6,47048,0.3,62723,1.75 42,1,2024-09-07 08:26:41:444,157445,157445,0,0,73647379809,775915115,154451,2164,830,380,391675,0 42,2,2024-09-07 08:26:41:133,112256,112256,0,0,5120851,0,3568 42,3,2024-09-07 08:26:41:015,1,90,1,0,100,738,90,0 43,0,2024-09-07 08:26:40:927,23700,1.0,23199,1.0,48420,1.1,63592,2.00 43,1,2024-09-07 08:26:40:576,157664,157664,0,0,73554919127,768911351,155395,1517,752,366,391604,0 43,2,2024-09-07 08:26:41:737,112614,112614,0,0,5147806,0,3812 43,3,2024-09-07 08:26:41:753,1,90,4,0,325,1784,90,0 44,0,2024-09-07 08:26:40:862,25137,0.7,25023,0.9,50158,0.7,66867,2.00 44,1,2024-09-07 08:26:40:563,158429,158429,0,0,74049991025,764658749,157404,917,108,356,391569,0 44,2,2024-09-07 08:26:41:266,113510,113510,0,0,3957533,0,1865 44,3,2024-09-07 08:26:41:100,1,90,1,0,817,1374,90,0 45,0,2024-09-07 08:26:41:805,19067,1.2,18696,1.3,39163,1.0,51862,2.00 45,1,2024-09-07 08:26:41:004,158696,158696,0,0,74675074772,773580578,158227,468,1,382,391917,0 45,2,2024-09-07 08:26:41:272,116767,116767,0,0,4601629,0,2628 45,3,2024-09-07 08:26:40:936,1,90,2,0,226,876,90,0 46,0,2024-09-07 08:26:40:969,21275,0.3,21179,0.4,42571,0.2,56858,1.50 46,1,2024-09-07 08:26:40:577,159036,159036,0,0,74710497986,771540548,158183,815,38,367,391514,0 46,2,2024-09-07 08:26:40:599,115768,115768,0,0,4479195,0,2920 46,3,2024-09-07 08:26:41:131,1,90,1,0,315,1125,90,0 47,0,2024-09-07 08:26:41:110,23801,0.3,24076,0.5,48191,0.3,63056,1.75 47,1,2024-09-07 08:26:40:567,158927,158927,0,0,73590415406,758691544,158417,506,4,366,391605,0 47,2,2024-09-07 08:26:40:908,111535,111535,0,0,4413777,0,2558 47,3,2024-09-07 08:26:41:114,1,90,9,0,529,1184,90,0 48,0,2024-09-07 08:26:41:506,25112,0.3,24862,0.5,49576,0.3,66004,1.75 48,1,2024-09-07 08:26:41:025,158332,158332,0,0,73852695598,767954467,157129,1197,6,384,391637,0 48,2,2024-09-07 08:26:40:699,111978,111978,0,0,3763487,0,2083 48,3,2024-09-07 08:26:40:753,1,90,1,0,39,708,90,0 49,0,2024-09-07 08:26:41:730,22703,0.7,22083,0.9,43409,0.8,59420,1.75 49,1,2024-09-07 08:26:41:028,157790,157790,0,0,74242436217,776109372,156051,1029,710,382,391583,0 49,2,2024-09-07 08:26:41:798,117562,117562,0,0,4998816,0,3900 49,3,2024-09-07 08:26:41:419,1,90,3,0,274,873,90,0 50,0,2024-09-07 08:26:41:519,18873,0.2,18579,0.4,37566,0.1,50481,1.50 50,1,2024-09-07 08:26:41:016,158922,158922,0,0,74768431603,776372872,157250,1375,297,368,391530,0 50,2,2024-09-07 08:26:41:067,115637,115637,0,0,4272065,0,2253 50,3,2024-09-07 08:26:41:291,1,90,6,0,335,914,90,0 51,0,2024-09-07 08:26:41:700,24869,0.3,24310,0.4,47681,0.2,64467,1.50 51,1,2024-09-07 08:26:41:691,158410,158410,0,0,75275214391,782254304,156608,1018,784,367,391637,0 51,2,2024-09-07 08:26:41:316,109712,109712,0,0,3435519,0,2448 51,3,2024-09-07 08:26:41:030,1,90,0,0,162,561,90,0 52,0,2024-09-07 08:26:41:423,25000,0.7,25146,0.8,50208,0.8,66741,2.25 52,1,2024-09-07 08:26:40:582,158058,158058,0,0,74003599395,775928623,155542,2051,465,368,391594,0 52,2,2024-09-07 08:26:41:755,111714,111714,0,0,4793696,0,4779 52,3,2024-09-07 08:26:40:674,1,90,1,0,1782,2557,90,0 53,0,2024-09-07 08:26:41:755,22539,1.7,21818,1.3,45441,2.3,60043,2.75 53,1,2024-09-07 08:26:40:771,157940,157940,0,0,74276465122,778741543,155316,2049,575,370,391617,0 53,2,2024-09-07 08:26:41:301,117984,117984,0,0,4359995,0,1902 53,3,2024-09-07 08:26:40:697,1,90,2,0,133,701,90,0 54,0,2024-09-07 08:26:41:632,17584,5.4,17911,1.5,35058,0.5,48440,4.00 54,1,2024-09-07 08:26:40:580,158162,158162,0,0,74537384177,777026187,156052,1794,316,367,391520,0 54,2,2024-09-07 08:26:40:865,115949,115943,6,0,5136654,0,5382 54,3,2024-09-07 08:26:40:763,1,90,2,0,676,1754,90,0 55,0,2024-09-07 08:26:41:763,23010,0.3,23698,0.5,47851,0.2,62413,2.00 55,1,2024-09-07 08:26:40:764,157307,157307,0,0,73826350467,774042146,153824,2781,702,367,391731,0 55,2,2024-09-07 08:26:40:729,111027,111027,0,0,4962035,0,3275 55,3,2024-09-07 08:26:40:673,1,90,1,0,136,935,90,0 56,0,2024-09-07 08:26:41:595,24653,1.8,23313,1.3,47918,2.3,64401,2.50 56,1,2024-09-07 08:26:40:580,157563,157563,0,0,74872578105,793610470,154162,2653,748,382,391678,0 56,2,2024-09-07 08:26:41:330,112518,112518,0,0,5473623,0,3567 56,3,2024-09-07 08:26:41:059,1,90,17,0,297,1062,90,0 57,0,2024-09-07 08:26:40:946,23728,2.8,23493,1.7,47318,4.8,63519,4.25 57,1,2024-09-07 08:26:40:986,157731,157731,0,0,73327878374,766114105,156250,1461,20,368,391760,0 57,2,2024-09-07 08:26:41:318,115519,115519,0,0,5527736,0,3178 57,3,2024-09-07 08:26:41:741,1,90,1,0,359,1723,90,0 58,0,2024-09-07 08:26:40:573,17671,0.6,17324,0.9,36229,0.4,47856,2.50 58,1,2024-09-07 08:26:40:576,159107,159104,0,3,74309014762,772738704,157502,1442,160,369,391516,3 58,2,2024-09-07 08:26:41:079,115462,115462,0,0,5095584,0,2549 58,3,2024-09-07 08:26:41:068,1,90,6,0,219,909,90,0 59,0,2024-09-07 08:26:41:755,22680,0.4,22502,0.6,45172,0.3,60285,2.00 59,1,2024-09-07 08:26:40:815,157850,157850,0,0,74402542182,779713045,155598,1484,768,369,391515,0 59,2,2024-09-07 08:26:40:583,114781,114781,0,0,4617031,0,2604 59,3,2024-09-07 08:26:41:737,1,90,1,0,1015,2141,90,0 60,0,2024-09-07 08:26:41:784,23884,0.5,24042,0.6,47491,0.5,62976,1.75 60,1,2024-09-07 08:26:40:780,159440,159440,0,0,74603051324,775072894,158349,742,349,370,391758,0 60,2,2024-09-07 08:26:41:144,111468,111468,0,0,4150504,0,2142 60,3,2024-09-07 08:26:41:262,1,90,1,0,124,981,90,0 61,0,2024-09-07 08:26:41:523,24841,2.2,24984,1.4,49893,3.6,66632,2.25 61,1,2024-09-07 08:26:40:784,157775,157775,0,0,74181250217,780289205,155212,2027,536,382,391589,0 61,2,2024-09-07 08:26:41:120,112749,112749,0,0,4387383,0,1846 61,3,2024-09-07 08:26:41:692,1,90,13,0,199,1217,90,0 62,0,2024-09-07 08:26:41:713,21347,0.9,21823,1.0,41814,1.3,56430,2.00 62,1,2024-09-07 08:26:41:112,158769,158763,0,6,74985230153,775469844,157508,1217,38,365,391586,6 62,2,2024-09-07 08:26:41:644,116715,116715,0,0,4962845,0,2931 62,3,2024-09-07 08:26:41:143,1,90,1,0,287,695,90,0 63,0,2024-09-07 08:26:41:459,20038,0.3,19910,0.5,40118,0.2,53313,1.75 63,1,2024-09-07 08:26:40:804,159259,159254,0,5,73991123284,764722511,158845,406,3,381,391540,5 63,2,2024-09-07 08:26:40:762,115344,115344,0,0,4483943,0,2674 63,3,2024-09-07 08:26:41:740,1,90,4,0,667,1934,90,0 64,0,2024-09-07 08:26:41:524,23743,0.4,23525,0.6,47340,0.4,62923,1.75 64,1,2024-09-07 08:26:40:754,158106,158106,0,0,74109721380,778428254,155653,1658,795,371,391783,0 64,2,2024-09-07 08:26:41:144,110767,110748,19,0,4902118,0,6121 64,3,2024-09-07 08:26:41:143,1,90,3,0,265,1078,90,0 65,0,2024-09-07 08:26:41:712,24286,1.8,24578,1.3,49198,2.3,65604,3.00 65,1,2024-09-07 08:26:40:868,157768,157768,0,0,74267843350,777968961,156056,1493,219,382,391770,0 65,2,2024-09-07 08:26:41:700,112385,112385,0,0,5124350,0,3367 65,3,2024-09-07 08:26:41:685,1,90,4,0,163,950,90,0 66,0,2024-09-07 08:26:41:772,22338,0.6,22507,0.9,45125,0.6,60366,2.25 66,1,2024-09-07 08:26:41:292,159028,159028,0,0,74179975963,769035095,158334,672,22,380,391588,0 66,2,2024-09-07 08:26:41:143,119114,119114,0,0,4735991,0,3867 66,3,2024-09-07 08:26:41:079,1,90,3,0,291,993,90,0 67,0,2024-09-07 08:26:41:455,18056,0.4,18007,0.6,35804,0.2,47686,2.00 67,1,2024-09-07 08:26:40:771,157887,157886,0,1,73784133264,773449842,155861,1500,525,382,391787,1 67,2,2024-09-07 08:26:40:582,116377,116377,0,0,4323029,0,2889 67,3,2024-09-07 08:26:41:753,1,90,1,0,138,718,90,0 68,0,2024-09-07 08:26:40:580,23857,0.4,23728,0.6,47324,0.3,63595,1.75 68,1,2024-09-07 08:26:40:576,157597,157597,0,0,74287343970,778199390,156166,909,522,381,391953,0 68,2,2024-09-07 08:26:41:052,110194,110194,0,0,4315062,0,4883 68,3,2024-09-07 08:26:40:736,1,90,8,0,151,996,90,0 69,0,2024-09-07 08:26:41:810,24736,1.3,24840,1.1,49438,1.7,66208,2.50 69,1,2024-09-07 08:26:41:025,157706,157706,0,0,73927755618,781513320,155706,1133,867,384,391611,0 69,2,2024-09-07 08:26:41:755,112282,112282,0,0,5040880,0,3153 69,3,2024-09-07 08:26:40:765,1,90,1,0,238,1217,90,0 70,0,2024-09-07 08:26:41:552,23423,3.0,23515,2.1,47337,1.8,62706,4.25 70,1,2024-09-07 08:26:40:801,158656,158656,0,0,74753083182,773422183,157737,784,135,368,391527,0 70,2,2024-09-07 08:26:41:332,115934,115934,0,0,4985460,0,4044 70,3,2024-09-07 08:26:40:745,1,90,1,0,178,537,90,0 71,0,2024-09-07 08:26:41:361,17560,0.9,17640,2.0,34993,1.0,47725,3.50 71,1,2024-09-07 08:26:41:595,158420,158420,0,0,74177456005,774460106,156218,1886,316,368,391682,0 71,2,2024-09-07 08:26:41:067,115912,115912,0,0,4600743,0,2146 71,3,2024-09-07 08:26:41:751,1,90,1,0,219,1111,90,0 72,0,2024-09-07 08:26:41:040,24257,0.3,23606,0.5,46300,0.2,63006,2.00 72,1,2024-09-07 08:26:41:042,158562,158562,0,0,74147105025,771732435,157008,1476,78,370,391556,0 72,2,2024-09-07 08:26:41:767,111846,111846,0,0,5799167,0,2570 72,3,2024-09-07 08:26:41:762,1,90,1,0,325,1422,90,0 73,0,2024-09-07 08:26:41:112,23318,0.4,23892,0.6,48704,0.4,63226,2.25 73,1,2024-09-07 08:26:40:770,158229,158229,0,0,74062013102,769303090,157258,926,45,368,391627,0 73,2,2024-09-07 08:26:41:742,113049,113049,0,0,5275069,0,3482 73,3,2024-09-07 08:26:40:969,1,90,5,0,274,1421,90,0 74,0,2024-09-07 08:26:41:326,25166,1.2,25543,1.1,48974,1.8,66345,3.00 74,1,2024-09-07 08:26:40:635,157974,157974,0,0,74010840319,773058962,156073,1329,572,382,391511,0 74,2,2024-09-07 08:26:41:003,113484,113484,0,0,5619085,0,4253 74,3,2024-09-07 08:26:41:443,1,90,1,0,246,1514,90,0 75,0,2024-09-07 08:26:41:773,19449,1.8,19455,1.4,38701,2.3,51977,3.00 75,1,2024-09-07 08:26:41:586,158088,158088,0,0,74412119019,777732331,156385,1599,104,381,391579,0 75,2,2024-09-07 08:26:41:350,116100,116100,0,0,5442653,0,4766 75,3,2024-09-07 08:26:41:078,1,90,1,0,143,693,90,0 76,0,2024-09-07 08:26:40:620,21272,0.3,20948,0.5,41962,0.2,56404,2.00 76,1,2024-09-07 08:26:40:813,158002,158002,0,0,73645237840,772217255,156189,1307,506,382,391530,0 76,2,2024-09-07 08:26:41:061,115839,115839,0,0,4512486,0,3064 76,3,2024-09-07 08:26:41:148,1,90,3,0,175,1075,90,0 77,0,2024-09-07 08:26:41:702,23823,0.6,24037,0.8,48075,0.6,62961,1.75 77,1,2024-09-07 08:26:40:841,158056,158056,0,0,74289769892,773913035,157014,973,69,383,391555,0 77,2,2024-09-07 08:26:41:296,111185,111185,0,0,3858249,0,1695 77,3,2024-09-07 08:26:41:099,1,90,1,0,139,884,90,0 78,0,2024-09-07 08:26:41:739,24888,0.8,24793,0.9,49616,0.9,65718,2.25 78,1,2024-09-07 08:26:40:611,158804,158804,0,0,73823637614,768041173,157379,1220,205,367,391589,0 78,2,2024-09-07 08:26:41:424,112376,112376,0,0,4071975,0,2114 78,3,2024-09-07 08:26:41:133,1,90,1,0,181,1317,90,0 79,0,2024-09-07 08:26:41:369,21304,0.6,21837,0.9,44637,0.7,58313,2.75 79,1,2024-09-07 08:26:40:576,158792,158792,0,0,74554974183,773610953,157451,1272,69,370,391682,0 79,2,2024-09-07 08:26:41:068,117921,117921,0,0,4595269,0,2679 79,3,2024-09-07 08:26:40:749,1,90,38,0,289,982,90,0 80,0,2024-09-07 08:26:41:087,18666,0.4,19275,0.6,37037,0.3,50167,1.75 80,1,2024-09-07 08:26:41:629,158107,158107,0,0,74228182915,772622746,156512,1480,115,369,391673,0 80,2,2024-09-07 08:26:41:092,116040,116040,0,0,4743437,0,4433 80,3,2024-09-07 08:26:40:590,1,90,2,1,190,1443,90,0 81,0,2024-09-07 08:26:41:550,24324,0.5,25057,0.6,47949,0.5,64468,1.75 81,1,2024-09-07 08:26:41:667,158106,158106,0,0,73531114896,771004679,156210,1698,198,383,391680,0 81,2,2024-09-07 08:26:41:132,109258,109258,0,0,4873723,0,3993 81,3,2024-09-07 08:26:41:129,1,90,4,0,193,913,90,0 82,0,2024-09-07 08:26:41:556,24875,0.8,24858,0.9,49968,0.9,66734,2.25 82,1,2024-09-07 08:26:40:582,158117,158113,0,4,74118245920,775007146,156059,1481,573,382,391558,4 82,2,2024-09-07 08:26:41:691,112957,112957,0,0,4127454,0,2230 82,3,2024-09-07 08:26:41:753,1,90,0,0,227,1422,90,0 83,0,2024-09-07 08:26:41:531,22720,1.4,22570,1.2,44869,1.7,59930,2.75 83,1,2024-09-07 08:26:40:553,158090,158090,0,0,74123054575,774028614,156669,1320,101,383,391553,0 83,2,2024-09-07 08:26:40:763,116635,116635,0,0,5087322,0,3119 83,3,2024-09-07 08:26:40:749,1,90,2,0,91,707,90,0 84,0,2024-09-07 08:26:41:791,18079,1.3,17835,1.8,35772,0.5,49172,2.50 84,1,2024-09-07 08:26:41:041,157943,157943,0,0,74226988309,776761347,156320,1374,249,369,391638,0 84,2,2024-09-07 08:26:40:571,115723,115723,0,0,5001781,0,3801 84,3,2024-09-07 08:26:41:151,1,90,1,1,58,885,90,0 85,0,2024-09-07 08:26:41:046,22799,0.3,22789,0.5,48392,0.2,62583,1.75 85,1,2024-09-07 08:26:40:563,157491,157491,0,0,73439316024,775722864,155028,2034,429,383,391679,0 85,2,2024-09-07 08:26:40:871,110994,110994,0,0,5166252,0,3656 85,3,2024-09-07 08:26:40:699,1,90,25,0,115,914,90,0 86,0,2024-09-07 08:26:40:885,23933,0.7,24782,0.7,47640,0.9,64441,2.00 86,1,2024-09-07 08:26:40:827,157793,157793,0,0,74736042688,780467078,155989,1587,217,367,391622,0 86,2,2024-09-07 08:26:40:854,111932,111931,1,0,5517859,0,5004 86,3,2024-09-07 08:26:40:587,1,90,1,0,199,974,90,0 87,0,2024-09-07 08:26:41:300,24180,2.6,23965,1.6,48207,3.9,64716,3.00 87,1,2024-09-07 08:26:40:557,158203,158203,0,0,73982583766,773903944,156615,1467,121,366,391788,0 87,2,2024-09-07 08:26:41:089,115050,115050,0,0,4258909,0,2859 87,3,2024-09-07 08:26:41:813,1,90,335,1,335,1648,90,0 88,0,2024-09-07 08:26:41:469,18374,0.6,18752,0.8,36962,0.6,49733,1.75 88,1,2024-09-07 08:26:40:571,157900,157900,0,0,74365463692,775998073,156683,1120,97,367,391787,0 88,2,2024-09-07 08:26:40:693,116028,116028,0,0,5737852,0,3583 88,3,2024-09-07 08:26:41:288,1,90,5,1,77,1049,90,0 89,0,2024-09-07 08:26:41:897,23214,0.3,22510,0.5,44656,0.2,60842,1.50 89,1,2024-09-07 08:26:40:551,157258,157258,0,0,74709862072,792845581,153926,2595,737,382,391866,0 89,2,2024-09-07 08:26:41:139,114153,114153,0,0,5195669,0,2726 89,3,2024-09-07 08:26:41:820,1,90,18,0,325,1988,90,0 90,0,2024-09-07 08:26:41:698,23062,0.4,23528,0.6,48387,0.4,62907,1.75 90,1,2024-09-07 08:26:40:591,158200,158200,0,0,74245386750,780894780,156136,1920,144,382,391825,0 90,2,2024-09-07 08:26:41:407,110526,110526,0,0,5776028,0,2635 90,3,2024-09-07 08:26:40:931,1,90,1,1,200,1030,90,0 91,0,2024-09-07 08:26:40:953,25396,0.6,24627,0.7,51003,0.7,67088,1.75 91,1,2024-09-07 08:26:40:558,157845,157845,0,0,73857091430,778060078,155437,2042,366,383,391914,0 91,2,2024-09-07 08:26:41:352,111931,111931,0,0,4947884,0,1997 91,3,2024-09-07 08:26:40:601,1,90,1,0,155,1196,90,0 92,0,2024-09-07 08:26:41:450,21555,0.7,22018,0.9,42017,0.9,56642,1.75 92,1,2024-09-07 08:26:40:580,158521,158521,0,0,74447024254,775077345,157856,596,69,382,391717,0 92,2,2024-09-07 08:26:41:354,118416,118416,0,0,4876753,0,2279 92,3,2024-09-07 08:26:41:012,1,90,3,0,68,922,90,0 93,0,2024-09-07 08:26:41:005,20161,0.3,20476,0.5,39075,0.2,53389,1.50 93,1,2024-09-07 08:26:40:806,158361,158361,0,0,74588506888,779956109,156591,1333,437,367,391689,0 93,2,2024-09-07 08:26:40:930,115809,115809,0,0,4437885,0,2509 93,3,2024-09-07 08:26:41:407,1,90,4,0,143,865,90,0 94,0,2024-09-07 08:26:41:650,23937,0.3,24122,0.5,47692,0.3,62883,1.50 94,1,2024-09-07 08:26:40:619,157643,157643,0,0,73659087361,772789259,156047,1530,66,381,391850,0 94,2,2024-09-07 08:26:40:780,109682,109682,0,0,4322778,0,2443 94,3,2024-09-07 08:26:41:692,1,90,91,1,231,1465,90,0 95,0,2024-09-07 08:26:41:360,24966,0.4,24888,0.6,50121,0.4,66436,1.75 95,1,2024-09-07 08:26:40:851,158476,158476,0,0,73795394274,766627360,157663,749,64,367,391590,0 95,2,2024-09-07 08:26:41:028,112055,112055,0,0,4705772,0,3308 95,3,2024-09-07 08:26:41:734,1,90,9,0,307,1393,90,0 96,0,2024-09-07 08:26:41:127,22921,0.7,22864,0.8,45634,0.7,60772,1.75 96,1,2024-09-07 08:26:41:590,158191,158191,0,0,73982884177,776496522,156345,1275,571,385,391596,0 96,2,2024-09-07 08:26:41:269,118300,118300,0,0,5236534,0,4038 96,3,2024-09-07 08:26:41:144,1,90,1,0,69,839,90,0 97,0,2024-09-07 08:26:41:392,17778,0.3,17894,0.5,35928,0.2,47662,1.50 97,1,2024-09-07 08:26:40:763,158294,158294,0,0,74534718118,778654649,156094,1681,519,367,392140,0 97,2,2024-09-07 08:26:40:611,115235,115235,0,0,4465896,0,3036 97,3,2024-09-07 08:26:40:569,1,90,2,1,165,1122,90,0 98,0,2024-09-07 08:26:41:786,23726,0.2,23557,0.4,47748,0.2,63930,1.50 98,1,2024-09-07 08:26:40:571,158044,158044,0,0,73935119680,771537482,156962,1037,45,382,391997,0 98,2,2024-09-07 08:26:40:786,111224,111224,0,0,4570485,0,3080 98,3,2024-09-07 08:26:40:698,1,90,2,1,155,1065,90,0 99,0,2024-09-07 08:26:41:513,24848,0.3,24751,0.5,49544,0.3,66289,1.75 99,1,2024-09-07 08:26:41:730,158619,158619,0,0,73975242612,772573100,157117,1056,446,381,391744,0 99,2,2024-09-07 08:26:41:427,113549,113549,0,0,4807313,0,1858 99,3,2024-09-07 08:26:40:581,1,90,1,0,129,772,90,0 100,0,2024-09-07 08:26:41:465,23796,1.6,23631,2.1,47177,4.6,63543,2.50 100,1,2024-09-07 08:26:40:550,157332,157332,0,0,73006988822,774349276,154579,2407,346,381,391989,0 100,2,2024-09-07 08:26:41:817,115979,115968,11,0,5840812,0,5417 100,3,2024-09-07 08:26:41:737,1,90,5,0,443,2031,90,0 101,0,2024-09-07 08:26:41:716,18171,2.2,17790,1.3,34989,0.6,48803,2.50 101,1,2024-09-07 08:26:40:554,157655,157655,0,0,74288543175,778578210,156165,1040,450,369,391709,0 101,2,2024-09-07 08:26:41:786,115370,115370,0,0,5141350,0,4644 101,3,2024-09-07 08:26:40:946,1,90,2,0,448,1149,90,0 102,0,2024-09-07 08:26:40:982,22962,0.3,23818,0.5,47819,0.2,62706,1.75 102,1,2024-09-07 08:26:41:150,157626,157626,0,0,73470053165,774355206,155147,2010,469,369,391831,0 102,2,2024-09-07 08:26:41:740,113417,113417,0,0,4224088,0,1945 102,3,2024-09-07 08:26:41:628,1,90,11,0,410,945,90,0 103,0,2024-09-07 08:26:41:630,24528,0.5,24398,0.7,46390,0.5,63666,1.75 103,1,2024-09-07 08:26:41:629,157559,157559,0,0,74469017398,779212356,156325,1090,144,381,391680,0 103,2,2024-09-07 08:26:40:582,112065,112065,0,0,4690317,0,2104 103,3,2024-09-07 08:26:40:763,1,90,165,1,486,1337,90,0 104,0,2024-09-07 08:26:41:025,24988,1.6,24939,1.3,48895,1.9,66675,2.50 104,1,2024-09-07 08:26:41:627,158479,158479,0,0,73817671734,775480420,156519,1634,326,366,391948,0 104,2,2024-09-07 08:26:41:669,112879,112879,0,0,5334541,0,3941 104,3,2024-09-07 08:26:41:422,1,90,2,1,1245,3967,90,0 105,0,2024-09-07 08:26:41:088,19221,0.9,18603,1.4,38659,1.3,51684,3.00 105,1,2024-09-07 08:26:40:554,157797,157797,0,0,74068728363,780301670,154839,2211,747,367,391797,0 105,2,2024-09-07 08:26:41:328,115937,115937,0,0,5113940,0,3314 105,3,2024-09-07 08:26:41:306,1,90,3,1,399,1711,90,0 106,0,2024-09-07 08:26:40:989,20500,0.3,21088,0.5,42677,0.2,56439,1.75 106,1,2024-09-07 08:26:41:765,158285,158285,0,0,74089727882,778022571,155867,2090,328,370,391767,0 106,2,2024-09-07 08:26:40:774,114431,114431,0,0,5076046,0,2795 106,3,2024-09-07 08:26:40:676,1,90,2,1,201,966,90,0 107,0,2024-09-07 08:26:41:150,24021,0.5,23879,0.6,47484,0.4,63230,1.75 107,1,2024-09-07 08:26:40:589,157565,157565,0,0,74145222481,781062762,155620,1755,190,381,392234,0 107,2,2024-09-07 08:26:41:291,110400,110399,1,0,4831842,0,5024 107,3,2024-09-07 08:26:41:771,1,90,4,0,353,1436,90,0 108,0,2024-09-07 08:26:41:800,24985,0.5,25217,0.7,49685,0.5,66029,1.75 108,1,2024-09-07 08:26:41:309,158019,158019,0,0,73938289896,773480317,156536,1347,136,371,391857,0 108,2,2024-09-07 08:26:41:775,111722,111722,0,0,4846242,0,2647 108,3,2024-09-07 08:26:41:340,1,90,11,0,73,1121,90,0 109,0,2024-09-07 08:26:41:797,22136,0.7,22319,0.8,44203,0.8,59431,1.75 109,1,2024-09-07 08:26:40:588,157672,157672,0,0,74520182117,784132862,155994,1293,385,383,391812,0 109,2,2024-09-07 08:26:40:929,116431,116431,0,0,5305257,0,3617 109,3,2024-09-07 08:26:41:153,1,90,11,1,249,1449,90,0 110,0,2024-09-07 08:26:41:811,18919,0.2,18308,0.4,38146,0.2,50138,1.50 110,1,2024-09-07 08:26:41:670,158472,158472,0,0,74257497211,772015331,157145,1040,287,370,391588,0 110,2,2024-09-07 08:26:41:307,116027,116027,0,0,4440854,0,2915 110,3,2024-09-07 08:26:40:701,1,90,4,0,183,848,90,0 111,0,2024-09-07 08:26:41:418,24438,0.2,24063,0.4,48142,0.1,64488,1.50 111,1,2024-09-07 08:26:41:005,158881,158881,0,0,74750158813,773320722,158233,634,14,382,391690,0 111,2,2024-09-07 08:26:41:117,110083,110083,0,0,4548015,0,2763 111,3,2024-09-07 08:26:40:930,1,90,3,0,300,1648,90,0 112,0,2024-09-07 08:26:40:965,25228,0.4,25169,0.5,50078,0.3,67068,1.50 112,1,2024-09-07 08:26:40:853,158091,158091,0,0,74476498983,776098763,156578,1167,346,381,391580,0 112,2,2024-09-07 08:26:41:148,112268,112267,1,0,5049046,0,5036 112,3,2024-09-07 08:26:40:600,1,90,0,0,282,915,90,0 113,0,2024-09-07 08:26:40:875,22695,0.5,22564,0.7,45924,0.5,61008,1.75 113,1,2024-09-07 08:26:41:689,158485,158485,0,0,74637755639,775220097,156591,1510,384,368,391661,0 113,2,2024-09-07 08:26:41:303,118096,118096,0,0,4213989,0,3021 113,3,2024-09-07 08:26:40:684,1,90,2,0,288,1487,90,0 114,0,2024-09-07 08:26:40:882,18315,2.6,18512,1.0,36309,0.5,49668,2.00 114,1,2024-09-07 08:26:40:716,158256,158256,0,0,74555306873,782667480,155628,1747,881,381,391531,0 114,2,2024-09-07 08:26:40:873,115672,115672,0,0,4660382,0,3925 114,3,2024-09-07 08:26:41:277,1,90,1,0,159,1062,90,0 115,0,2024-09-07 08:26:40:570,23324,0.2,23557,0.3,47434,0.1,62655,1.50 115,1,2024-09-07 08:26:40:571,158212,158212,0,0,74317700076,777109049,155837,1888,487,383,391528,0 115,2,2024-09-07 08:26:41:131,111655,111655,0,0,3985092,0,2152 115,3,2024-09-07 08:26:41:002,1,90,3,0,159,584,90,0 116,0,2024-09-07 08:26:41:806,24209,0.8,23761,0.9,48220,1.0,64398,2.00 116,1,2024-09-07 08:26:40:806,157708,157708,0,0,74137583481,783593785,155812,1025,871,382,391605,0 116,2,2024-09-07 08:26:41:781,111967,111967,0,0,5231842,0,3529 116,3,2024-09-07 08:26:40:920,1,90,10,1,252,1418,90,0 117,0,2024-09-07 08:26:40:986,24163,2.0,24209,1.4,48131,3.3,64789,2.25 117,1,2024-09-07 08:26:41:611,158336,158336,0,0,73746087262,775730481,156010,2032,294,370,392033,0 117,2,2024-09-07 08:26:41:130,115630,115630,0,0,4663711,0,3700 117,3,2024-09-07 08:26:41:061,1,90,14,0,490,1923,90,0 118,0,2024-09-07 08:26:41:856,17950,0.6,18389,0.8,37694,0.6,49539,2.00 118,1,2024-09-07 08:26:40:586,158286,158286,0,0,72976141786,763813517,157035,1171,80,368,391736,0 118,2,2024-09-07 08:26:41:605,115871,115871,0,0,5000799,0,2781 118,3,2024-09-07 08:26:41:803,1,90,10,1,235,1322,90,0 119,0,2024-09-07 08:26:41:358,22288,0.3,22246,0.4,45471,0.2,60272,1.75 119,1,2024-09-07 08:26:40:548,158384,158384,0,0,74384409966,777645897,156762,1473,149,370,391641,0 119,2,2024-09-07 08:26:41:274,113799,113799,0,0,4744113,0,2532 119,3,2024-09-07 08:26:41:330,1,90,68,0,443,2305,90,0 120,0,2024-09-07 08:26:41:585,23524,0.6,23658,0.8,46926,0.6,62846,2.00 120,1,2024-09-07 08:26:40:868,158243,158243,0,0,74558028432,781337561,156707,1520,16,368,391702,0 120,2,2024-09-07 08:26:40:785,111021,111020,1,0,5816344,0,5281 120,3,2024-09-07 08:26:41:318,1,90,2,0,241,1480,90,0 121,0,2024-09-07 08:26:41:709,24841,2.1,25103,1.4,50109,3.4,67001,2.50 121,1,2024-09-07 08:26:41:662,158057,158057,0,0,73902712090,773672591,156170,1616,271,367,391840,0 121,2,2024-09-07 08:26:41:146,111706,111706,0,0,6124012,0,4127 121,3,2024-09-07 08:26:40:733,1,90,7,0,113,919,90,0 122,0,2024-09-07 08:26:41:813,21227,2.0,20524,1.7,42793,2.4,56644,2.25 122,1,2024-09-07 08:26:40:860,157157,157157,0,0,74059622051,781079394,154144,2489,524,368,392130,0 122,2,2024-09-07 08:26:41:338,117362,117362,0,0,6170763,0,3364 122,3,2024-09-07 08:26:40:599,1,90,2,1,298,1871,90,0 123,0,2024-09-07 08:26:40:988,19892,0.3,19345,0.5,40526,0.2,53184,1.50 123,1,2024-09-07 08:26:40:559,158614,158614,0,0,74355387897,786428937,155143,2903,568,369,391823,0 123,2,2024-09-07 08:26:41:027,114974,114973,1,0,5108177,0,5215 123,3,2024-09-07 08:26:41:134,1,90,1,1,160,1266,90,0 124,0,2024-09-07 08:26:40:962,24531,0.3,24500,0.5,46252,0.2,63190,1.50 124,1,2024-09-07 08:26:41:034,158132,158132,0,0,73819241947,765375533,157321,666,145,367,392178,0 124,2,2024-09-07 08:26:41:019,110092,110092,0,0,4046739,0,2477 124,3,2024-09-07 08:26:40:761,1,90,1,1,490,1688,90,0 125,0,2024-09-07 08:26:41:457,25107,0.6,25049,0.7,50091,0.6,66404,1.75 125,1,2024-09-07 08:26:40:855,158016,158016,0,0,74049667062,775067778,156260,1483,273,384,391702,0 125,2,2024-09-07 08:26:41:120,112369,112369,0,0,4492538,0,2180 125,3,2024-09-07 08:26:41:135,1,90,2,1,93,1150,90,0 126,0,2024-09-07 08:26:41:521,22921,1.0,23433,0.9,44927,1.3,61239,2.00 126,1,2024-09-07 08:26:40:562,158744,158744,0,0,74626447055,774032908,157692,997,55,365,391987,0 126,2,2024-09-07 08:26:40:628,118103,118103,0,0,4935260,0,3186 126,3,2024-09-07 08:26:40:925,1,90,1,0,122,1216,90,0 127,0,2024-09-07 08:26:41:611,17910,0.2,17792,0.4,35783,0.2,47608,1.50 127,1,2024-09-07 08:26:40:578,158316,158316,0,0,73865373274,765733212,157173,1120,23,365,391614,0 127,2,2024-09-07 08:26:40:637,116044,116044,0,0,4112195,0,1803 127,3,2024-09-07 08:26:41:266,1,90,2,0,99,684,90,0 128,0,2024-09-07 08:26:41:645,23926,0.2,23915,0.4,47330,0.2,63970,1.50 128,1,2024-09-07 08:26:41:620,158274,158274,0,0,74350001378,770734991,157541,663,70,367,391680,0 128,2,2024-09-07 08:26:41:383,109778,109778,0,0,4745439,0,2107 128,3,2024-09-07 08:26:40:767,1,90,1,1,112,1006,90,0 129,0,2024-09-07 08:26:41:052,24932,0.4,24887,0.5,49511,0.4,66285,1.75 129,1,2024-09-07 08:26:40:571,157748,157748,0,0,73955086225,775829810,155879,1594,275,379,391835,0 129,2,2024-09-07 08:26:40:686,111979,111979,0,0,4238074,0,2446 129,3,2024-09-07 08:26:40:689,1,90,3,1,173,1300,90,0 130,0,2024-09-07 08:26:41:801,24015,1.3,23908,1.0,47816,1.9,64124,2.00 130,1,2024-09-07 08:26:40:606,158062,158062,0,0,74162373611,774145797,156739,1289,34,381,391825,0 130,2,2024-09-07 08:26:41:127,116500,116500,0,0,5010395,0,4067 130,3,2024-09-07 08:26:41:291,1,90,1,0,207,692,90,0 131,0,2024-09-07 08:26:42:060,18107,0.7,18029,0.8,36437,1.0,48608,1.75 131,1,2024-09-07 08:26:41:825,159075,159075,0,0,74399251813,775415930,158025,863,187,384,391865,0 131,2,2024-09-07 08:26:40:567,116030,116030,0,0,4173018,0,2415 131,3,2024-09-07 08:26:41:688,1,90,4,0,392,1167,90,0 132,0,2024-09-07 08:26:41:504,23209,0.3,23578,0.5,47186,0.2,62950,1.75 132,1,2024-09-07 08:26:40:578,157605,157605,0,0,73811684761,778473134,155012,1887,706,381,391719,0 132,2,2024-09-07 08:26:40:697,112575,112575,0,0,6345944,0,4606 132,3,2024-09-07 08:26:41:690,1,90,4,0,356,1118,90,0 133,0,2024-09-07 08:26:41:556,23295,0.5,23714,0.6,48832,0.5,63008,1.75 133,1,2024-09-07 08:26:40:586,157072,157072,0,0,73966602216,780122427,154853,2123,96,383,391755,0 133,2,2024-09-07 08:26:41:093,112299,112299,0,0,5530709,0,2444 133,3,2024-09-07 08:26:41:300,1,90,9,1,187,738,90,0 134,0,2024-09-07 08:26:40:953,25098,0.9,24987,1.0,50225,1.0,66572,2.00 134,1,2024-09-07 08:26:40:584,158173,158173,0,0,73500932506,773032324,155527,1665,981,366,391718,0 134,2,2024-09-07 08:26:41:757,113553,113553,0,0,4225135,0,2026 134,3,2024-09-07 08:26:40:748,1,90,2,0,739,2048,90,0 135,0,2024-09-07 08:26:41:142,18887,1.4,18936,1.4,39972,1.5,51447,2.25 135,1,2024-09-07 08:26:41:595,157651,157651,0,0,73998234029,776572994,155698,1625,328,380,391703,0 135,2,2024-09-07 08:26:40:688,116825,116825,0,0,5201708,0,3981 135,3,2024-09-07 08:26:41:004,1,90,89,0,89,608,90,0 136,0,2024-09-07 08:26:41:641,21216,0.3,21066,0.6,42368,0.2,57010,1.75 136,1,2024-09-07 08:26:41:451,158101,158101,0,0,74048199820,776256195,156194,1760,147,384,391621,0 136,2,2024-09-07 08:26:41:133,115842,115842,0,0,4601515,0,2379 136,3,2024-09-07 08:26:41:110,1,90,2,0,108,908,90,0 137,0,2024-09-07 08:26:40:955,24534,0.6,23751,0.8,47069,0.6,63410,2.00 137,1,2024-09-07 08:26:40:574,157996,157996,0,0,74617223675,780238303,156015,1867,114,367,391608,0 137,2,2024-09-07 08:26:41:740,110096,110096,0,0,5624701,0,2632 137,3,2024-09-07 08:26:40:770,1,90,1,1,227,1150,90,0 138,0,2024-09-07 08:26:41:829,24241,2.4,24432,1.5,49660,3.6,65885,2.75 138,1,2024-09-07 08:26:41:693,157870,157870,0,0,74012634376,776278170,155532,2073,265,369,391954,0 138,2,2024-09-07 08:26:40:602,112469,112469,0,0,4861913,0,3263 138,3,2024-09-07 08:26:40:628,1,90,66,0,1160,2053,90,0 139,0,2024-09-07 08:26:41:427,21524,4.2,21548,2.3,43657,5.3,58702,3.75 139,1,2024-09-07 08:26:40:571,157368,157368,0,0,73849389581,784295880,154475,2164,729,381,391892,0 139,2,2024-09-07 08:26:40:693,116763,116763,0,0,6156439,0,3097 139,3,2024-09-07 08:26:41:664,1,90,5,0,244,1381,90,0 140,0,2024-09-07 08:26:41:594,18755,0.2,18641,0.4,37518,0.1,50167,1.50 140,1,2024-09-07 08:26:41:537,158792,158792,0,0,74095330248,765256385,157862,733,197,365,391606,0 140,2,2024-09-07 08:26:40:686,116341,116341,0,0,4871029,0,3388 140,3,2024-09-07 08:26:40:776,1,90,4,0,25,602,90,0 141,0,2024-09-07 08:26:41:708,24045,0.2,24818,0.4,47846,0.1,64442,1.50 141,1,2024-09-07 08:26:40:865,158434,158434,0,0,74427271363,773984579,157011,1092,331,382,391538,0 141,2,2024-09-07 08:26:41:690,109961,109961,0,0,4328508,0,2342 141,3,2024-09-07 08:26:41:043,1,90,1,0,147,643,90,0 142,0,2024-09-07 08:26:41:372,25429,0.4,25081,0.5,49952,0.3,67076,1.75 142,1,2024-09-07 08:26:40:587,158701,158701,0,0,74182154826,771607054,158139,546,16,384,391649,0 142,2,2024-09-07 08:26:41:317,111330,111330,0,0,4758790,0,2293 142,3,2024-09-07 08:26:41:755,1,90,3,0,484,1623,90,0 143,0,2024-09-07 08:26:41:397,22753,1.0,22909,0.9,46004,1.4,60819,2.00 143,1,2024-09-07 08:26:40:567,158307,158307,0,0,74034426590,767143771,157325,953,29,367,391619,0 143,2,2024-09-07 08:26:40:768,117546,117546,0,0,5251698,0,2669 143,3,2024-09-07 08:26:41:154,1,90,1,0,236,1205,90,0 144,0,2024-09-07 08:26:41:544,17537,0.8,18129,4.6,36694,0.5,49281,2.00 144,1,2024-09-07 08:26:40:568,157886,157886,0,0,73841339992,775558353,156292,1400,194,381,391638,0 144,2,2024-09-07 08:26:41:757,116096,116096,0,0,4530432,0,3473 144,3,2024-09-07 08:26:41:742,1,90,3,1,169,1306,90,0 145,0,2024-09-07 08:26:41:414,22802,0.3,22828,0.5,48325,0.2,62632,1.75 145,1,2024-09-07 08:26:40:554,157387,157387,0,0,73523829766,772933234,155455,1667,265,383,391615,0 145,2,2024-09-07 08:26:41:431,111631,111631,0,0,5260861,0,3903 145,3,2024-09-07 08:26:40:900,1,90,27,0,151,1178,90,0 146,0,2024-09-07 08:26:41:600,23964,0.6,23914,0.8,48211,0.7,64039,2.25 146,1,2024-09-07 08:26:41:592,158280,158280,0,0,73868197269,774721905,155978,1998,304,368,391600,0 146,2,2024-09-07 08:26:41:698,111850,111850,0,0,4841549,0,2379 146,3,2024-09-07 08:26:41:277,1,90,1,0,1520,3192,90,0 147,0,2024-09-07 08:26:41:715,23992,2.5,23815,1.6,47393,3.8,64724,3.00 147,1,2024-09-07 08:26:41:375,158380,158380,0,0,74482976401,774558311,156655,1369,356,368,391791,0 147,2,2024-09-07 08:26:41:017,115442,115442,0,0,4923461,0,2789 147,3,2024-09-07 08:26:40:924,1,90,1,1,141,883,90,0 0,0,2024-09-07 08:26:51:732,23146,0.6,23078,0.8,48861,0.7,63143,1.75 0,1,2024-09-07 08:26:50:801,159934,159934,0,0,75362097729,790958455,158666,1141,127,372,391692,0 0,2,2024-09-07 08:26:51:099,112602,112602,0,0,5110209,0,4480 0,3,2024-09-07 08:26:50:974,1,91,2,0,247,1470,91,0 1,0,2024-09-07 08:26:51:760,25149,2.5,24941,1.7,50364,3.2,67134,2.75 1,1,2024-09-07 08:26:50:578,159503,159503,0,0,74407793202,781619950,157704,1270,529,372,391857,0 1,2,2024-09-07 08:26:50:676,113427,113427,0,0,4786439,0,3267 1,3,2024-09-07 08:26:51:309,1,91,18,0,167,848,91,0 2,0,2024-09-07 08:26:51:581,21029,1.0,21209,1.2,42070,1.4,55894,2.00 2,1,2024-09-07 08:26:50:860,160158,160158,0,0,75525831525,785711338,159075,879,204,381,391558,0 2,2,2024-09-07 08:26:51:275,117678,117678,0,0,4957007,0,3304 2,3,2024-09-07 08:26:50:694,1,91,1,0,214,884,91,0 3,0,2024-09-07 08:26:51:752,20293,0.3,20452,0.5,40805,0.2,54197,1.75 3,1,2024-09-07 08:26:51:624,159038,159038,0,0,74525738773,778499362,157059,1593,386,382,391516,0 3,2,2024-09-07 08:26:51:149,116713,116690,23,0,5238558,0,5851 3,3,2024-09-07 08:26:51:759,1,91,1,0,103,618,91,0 4,0,2024-09-07 08:26:51:780,23211,0.3,23902,0.4,48280,0.2,63229,1.50 4,1,2024-09-07 08:26:50:590,159438,159438,0,0,74148151913,782110518,157449,1570,419,371,391846,0 4,2,2024-09-07 08:26:51:028,110780,110780,0,0,5737894,0,4528 4,3,2024-09-07 08:26:51:029,1,91,1,1,287,1443,91,0 5,0,2024-09-07 08:26:51:400,25213,0.7,25106,0.7,50451,0.8,66871,1.75 5,1,2024-09-07 08:26:50:757,159548,159548,0,0,74740331710,786943654,157287,1817,444,368,392005,0 5,2,2024-09-07 08:26:51:837,113057,113057,0,0,4839413,0,2259 5,3,2024-09-07 08:26:51:738,1,91,9,0,238,1663,91,0 6,0,2024-09-07 08:26:50:928,23235,0.9,22895,1.1,45798,1.1,61780,2.25 6,1,2024-09-07 08:26:50:756,160387,160387,0,0,75138845590,781980921,159261,1056,70,381,391603,0 6,2,2024-09-07 08:26:51:124,119467,119467,0,0,5057094,0,2411 6,3,2024-09-07 08:26:51:279,1,91,1,0,340,1520,91,0 7,0,2024-09-07 08:26:51:540,17870,0.2,18001,0.4,36189,0.2,48279,1.75 7,1,2024-09-07 08:26:50:849,160191,160191,0,0,74867151430,781502907,159031,1095,65,383,391664,0 7,2,2024-09-07 08:26:50:770,116365,116365,0,0,4714389,0,2981 7,3,2024-09-07 08:26:50:860,1,91,305,0,305,1012,91,0 8,0,2024-09-07 08:26:51:330,24265,0.3,24521,0.4,48103,0.2,65306,1.50 8,1,2024-09-07 08:26:51:043,159571,159571,0,0,74713768227,792215961,156083,2235,1253,368,391724,0 8,2,2024-09-07 08:26:50:792,109947,109947,0,0,5731155,0,2986 8,3,2024-09-07 08:26:50:586,1,91,0,0,229,1173,91,0 9,0,2024-09-07 08:26:51:115,25125,0.4,24419,0.6,51131,0.4,66941,1.75 9,1,2024-09-07 08:26:50:557,160156,160156,0,0,74746343911,787844078,157703,1884,569,370,391738,0 9,2,2024-09-07 08:26:51:096,113322,113322,0,0,5249147,0,3360 9,3,2024-09-07 08:26:51:753,1,91,16,0,496,1622,91,0 10,0,2024-09-07 08:26:51:606,23968,0.6,23995,0.8,47961,0.6,63937,2.00 10,1,2024-09-07 08:26:50:588,159266,159266,0,0,74999491172,790729619,155928,2816,522,381,391741,0 10,2,2024-09-07 08:26:50:770,117894,117894,0,0,5364914,0,2940 10,3,2024-09-07 08:26:50:872,1,91,30,0,136,657,91,0 11,0,2024-09-07 08:26:51:014,17868,0.6,17573,0.9,36798,0.6,49303,2.25 11,1,2024-09-07 08:26:50:573,159679,159679,0,0,74807099770,786241800,157037,1827,815,384,391537,0 11,2,2024-09-07 08:26:51:127,116720,116720,0,0,5057659,0,2635 11,3,2024-09-07 08:26:51:301,1,91,1,0,720,2412,91,0 12,0,2024-09-07 08:26:50:974,24083,0.3,23957,0.4,48124,0.2,63782,1.50 12,1,2024-09-07 08:26:50:937,159283,159283,0,0,74486913761,779890197,157616,1564,103,371,391790,0 12,2,2024-09-07 08:26:51:541,114273,114273,0,0,4857320,0,2254 12,3,2024-09-07 08:26:51:062,1,91,0,0,358,1602,91,0 13,0,2024-09-07 08:26:51:348,24039,0.4,24099,0.6,48103,0.4,64000,1.75 13,1,2024-09-07 08:26:51:525,159939,159939,0,0,75167832127,790225190,158333,1239,367,384,391717,0 13,2,2024-09-07 08:26:50:608,114124,114124,0,0,4466909,0,3287 13,3,2024-09-07 08:26:51:762,1,91,24,1,416,1843,91,0 14,0,2024-09-07 08:26:50:568,25194,0.7,25359,0.8,50118,0.8,66575,2.00 14,1,2024-09-07 08:26:51:562,160738,160738,0,0,74808725864,774357487,159854,853,31,364,391546,0 14,2,2024-09-07 08:26:50:763,114794,114794,0,0,5051535,0,2793 14,3,2024-09-07 08:26:51:121,1,91,1,0,906,1367,91,0 15,0,2024-09-07 08:26:51:561,19579,0.8,19348,1.2,38850,1.0,51835,2.25 15,1,2024-09-07 08:26:51:615,159351,159351,0,0,74978525260,779418193,158528,788,35,381,391536,0 15,2,2024-09-07 08:26:50:999,118173,118173,0,0,4070608,0,3043 15,3,2024-09-07 08:26:51:407,1,91,22,0,538,2457,91,0 16,0,2024-09-07 08:26:50:982,21487,0.3,21702,0.5,42934,0.3,57867,2.00 16,1,2024-09-07 08:26:50:568,159600,159600,0,0,75199744159,786654561,158455,1117,28,372,391756,0 16,2,2024-09-07 08:26:51:434,115409,115409,0,0,5496307,0,4719 16,3,2024-09-07 08:26:51:147,1,91,10,0,231,1498,91,0 17,0,2024-09-07 08:26:51:823,24604,0.5,24129,0.7,47267,0.5,63513,1.75 17,1,2024-09-07 08:26:50:585,159674,159674,0,0,74313325606,783378691,157754,1396,524,369,391688,0 17,2,2024-09-07 08:26:51:665,113125,113125,0,0,4301842,0,2857 17,3,2024-09-07 08:26:50:574,1,91,9,0,268,1705,91,0 18,0,2024-09-07 08:26:50:967,24277,1.2,24678,1.1,49553,1.5,65554,2.50 18,1,2024-09-07 08:26:51:638,159728,159728,0,0,74653210899,776095472,158475,1035,218,368,391555,0 18,2,2024-09-07 08:26:51:759,113137,113137,0,0,5163425,0,3541 18,3,2024-09-07 08:26:50:896,1,91,2,0,163,1045,91,0 19,0,2024-09-07 08:26:51:550,21962,1.2,22215,1.2,43975,1.5,58433,3.75 19,1,2024-09-07 08:26:50:574,159767,159767,0,0,75144695493,784511800,157833,1768,166,367,391777,0 19,2,2024-09-07 08:26:51:759,119021,119021,0,0,4769273,0,3988 19,3,2024-09-07 08:26:51:137,1,91,9,0,524,1249,91,0 20,0,2024-09-07 08:26:51:367,19262,0.3,19228,0.5,38262,0.2,50997,1.75 20,1,2024-09-07 08:26:50:588,159845,159845,0,0,74848020508,784316487,158137,1544,164,370,391598,0 20,2,2024-09-07 08:26:50:928,116768,116768,0,0,4660854,0,2446 20,3,2024-09-07 08:26:50:590,1,91,414,0,414,1400,91,0 21,0,2024-09-07 08:26:51:151,24596,0.4,24497,0.5,48870,0.3,64474,1.75 21,1,2024-09-07 08:26:51:541,158920,158920,0,0,74082321679,781052704,156570,1855,495,368,391962,0 21,2,2024-09-07 08:26:51:094,111434,111434,0,0,4819033,0,3747 21,3,2024-09-07 08:26:51:410,1,91,16,0,93,1199,91,0 22,0,2024-09-07 08:26:51:726,25061,1.4,25072,1.2,50007,2.4,66995,2.75 22,1,2024-09-07 08:26:51:028,159588,159588,0,0,74498426622,786036251,156439,2423,726,382,391667,0 22,2,2024-09-07 08:26:50:767,112993,112993,0,0,4470210,0,3134 22,3,2024-09-07 08:26:51:074,1,91,1,0,228,873,91,0 23,0,2024-09-07 08:26:51:376,22851,1.0,22971,1.0,46049,1.0,61357,2.50 23,1,2024-09-07 08:26:51:003,159731,159731,0,0,75811009441,786865955,158237,1222,272,365,391549,0 23,2,2024-09-07 08:26:51:097,118737,118737,0,0,4739410,0,2078 23,3,2024-09-07 08:26:51:754,1,91,14,0,645,1436,91,0 24,0,2024-09-07 08:26:50:893,18893,0.5,18881,0.6,37894,0.5,50802,1.75 24,1,2024-09-07 08:26:50:590,159676,159676,0,0,74551549242,776124024,158743,925,8,369,391640,0 24,2,2024-09-07 08:26:51:096,116323,116323,0,0,5867684,0,2942 24,3,2024-09-07 08:26:51:696,1,91,2,0,234,1174,91,0 25,0,2024-09-07 08:26:51:346,24563,0.3,23886,0.4,46878,0.2,63597,1.50 25,1,2024-09-07 08:26:50:576,159468,159468,0,0,74429925030,782237397,157307,1845,316,371,391788,0 25,2,2024-09-07 08:26:51:605,111617,111617,0,0,5256139,0,3284 25,3,2024-09-07 08:26:51:009,1,91,14,0,158,838,91,0 26,0,2024-09-07 08:26:51:737,24274,0.4,23671,0.6,49508,0.4,65298,1.75 26,1,2024-09-07 08:26:51:541,160215,160215,0,0,74383159482,785258282,156699,2681,835,381,391564,0 26,2,2024-09-07 08:26:50:861,113767,113767,0,0,5209414,0,2809 26,3,2024-09-07 08:26:51:713,1,91,1,0,796,1312,91,0 27,0,2024-09-07 08:26:51:747,24468,0.8,24643,0.8,48774,1.0,65312,2.00 27,1,2024-09-07 08:26:51:675,160465,160465,0,0,75300237999,781494381,159324,846,295,381,391539,0 27,2,2024-09-07 08:26:50:867,115654,115654,0,0,6247559,0,3409 27,3,2024-09-07 08:26:51:015,1,91,2,0,564,1264,91,0 28,0,2024-09-07 08:26:51:400,18686,0.5,18890,0.7,37812,0.6,50626,2.00 28,1,2024-09-07 08:26:50:809,160144,160144,0,0,75535455209,786024540,159080,864,200,383,391646,0 28,2,2024-09-07 08:26:51:764,116939,116939,0,0,4339454,0,2609 28,3,2024-09-07 08:26:51:780,1,91,2,0,502,1286,91,0 29,0,2024-09-07 08:26:51:380,23446,0.3,22797,0.4,44972,0.2,61787,1.75 29,1,2024-09-07 08:26:51:584,161193,161193,0,0,75024981836,774687129,160401,620,172,369,391621,0 29,2,2024-09-07 08:26:50:863,115536,115536,0,0,3850208,0,2026 29,3,2024-09-07 08:26:50:972,1,91,9,0,105,717,91,0 30,0,2024-09-07 08:26:51:461,23682,1.0,23083,0.9,48298,1.3,63097,2.50 30,1,2024-09-07 08:26:50:571,160059,160059,0,0,75474726959,784430137,159080,914,65,382,391584,0 30,2,2024-09-07 08:26:51:282,113867,113867,0,0,3752259,0,2534 30,3,2024-09-07 08:26:50:584,1,91,1,0,195,678,91,0 31,0,2024-09-07 08:26:51:782,24919,1.1,25068,1.0,50451,1.3,66872,2.25 31,1,2024-09-07 08:26:50:564,160819,160819,0,0,75388145588,771453211,160568,249,2,356,391553,0 31,2,2024-09-07 08:26:51:280,114102,114102,0,0,5413540,0,3525 31,3,2024-09-07 08:26:51:710,1,91,1,0,129,593,91,0 32,0,2024-09-07 08:26:51:428,21247,1.0,21483,1.0,42896,1.2,56426,1.75 32,1,2024-09-07 08:26:50:818,160400,160400,0,0,75352525251,782911949,159389,982,29,382,391595,0 32,2,2024-09-07 08:26:50:946,118179,118179,0,0,4530107,0,3155 32,3,2024-09-07 08:26:51:025,1,91,7,0,110,775,91,0 33,0,2024-09-07 08:26:51:502,20445,0.2,20224,0.4,41051,0.1,54233,1.50 33,1,2024-09-07 08:26:50:585,160771,160771,0,0,75135136614,780217448,159109,1539,123,369,391730,0 33,2,2024-09-07 08:26:50:763,116822,116789,33,0,5996753,0,7012 33,3,2024-09-07 08:26:50:894,1,91,12,0,129,620,91,0 34,0,2024-09-07 08:26:50:939,23929,0.3,24731,0.5,47447,0.3,63240,1.75 34,1,2024-09-07 08:26:51:043,160861,160861,0,0,75904098478,781679548,160263,594,4,367,391517,0 34,2,2024-09-07 08:26:50:773,111065,111065,0,0,4884407,0,3255 34,3,2024-09-07 08:26:51:694,1,91,1,0,148,713,91,0 35,0,2024-09-07 08:26:50:870,25002,0.7,25228,0.7,50163,0.7,66970,1.75 35,1,2024-09-07 08:26:51:096,160204,160204,0,0,75084194490,780268824,158725,1117,362,384,391587,0 35,2,2024-09-07 08:26:51:585,112762,112762,0,0,4552662,0,2542 35,3,2024-09-07 08:26:50:913,1,91,2,0,219,1092,91,0 36,0,2024-09-07 08:26:51:535,23079,1.4,23009,1.2,46673,1.6,61679,2.50 36,1,2024-09-07 08:26:50:583,159533,159533,0,0,74469004461,781435911,156516,2086,931,366,391543,0 36,2,2024-09-07 08:26:51:759,118571,118571,0,0,5759797,0,3303 36,3,2024-09-07 08:26:50:865,1,91,1,0,378,1162,91,0 37,0,2024-09-07 08:26:51:383,18129,0.3,17990,0.5,36240,0.2,48295,1.75 37,1,2024-09-07 08:26:50:572,159510,159503,0,7,74105618645,781605467,156658,1326,1519,365,391518,0 37,2,2024-09-07 08:26:51:147,116936,116936,0,0,4266457,0,2333 37,3,2024-09-07 08:26:51:773,1,91,13,0,724,1962,91,0 38,0,2024-09-07 08:26:51:454,24211,0.3,23476,0.5,49038,0.3,64733,1.75 38,1,2024-09-07 08:26:51:605,160596,160596,0,0,75176726396,785697401,158422,1727,447,368,391821,0 38,2,2024-09-07 08:26:50:768,111585,111585,0,0,4840398,0,3245 38,3,2024-09-07 08:26:51:003,1,91,19,0,603,1456,91,0 39,0,2024-09-07 08:26:51:767,25758,0.9,25198,0.8,49174,1.1,67020,2.00 39,1,2024-09-07 08:26:50:724,159834,159834,0,0,74649429472,780828055,157200,1996,638,365,391524,0 39,2,2024-09-07 08:26:51:422,113495,113495,0,0,4625365,0,2381 39,3,2024-09-07 08:26:50:719,1,91,1,0,276,1294,91,0 40,0,2024-09-07 08:26:51:547,23522,1.5,23941,1.9,47363,3.2,63431,3.50 40,1,2024-09-07 08:26:50:581,159504,159504,0,0,74092191312,779124462,156247,2503,754,369,391591,0 40,2,2024-09-07 08:26:51:321,117826,117825,1,0,5822586,0,5137 40,3,2024-09-07 08:26:51:147,1,91,0,0,110,841,91,0 41,0,2024-09-07 08:26:51:039,17831,0.5,18234,2.7,34745,0.4,48247,2.25 41,1,2024-09-07 08:26:50:772,159803,159803,0,0,74313688977,778705559,157373,2117,313,370,391742,0 41,2,2024-09-07 08:26:50:763,116335,116335,0,0,5935522,0,3356 41,3,2024-09-07 08:26:51:676,1,91,15,0,168,909,91,0 42,0,2024-09-07 08:26:51:486,23771,0.4,24115,0.6,47805,0.3,63728,1.75 42,1,2024-09-07 08:26:51:439,159190,159190,0,0,74498976088,784701199,156196,2164,830,380,391675,0 42,2,2024-09-07 08:26:51:137,112944,112944,0,0,5150830,0,3568 42,3,2024-09-07 08:26:51:009,1,91,1,0,100,739,91,0 43,0,2024-09-07 08:26:50:922,23886,1.0,23384,1.0,48785,1.1,64052,2.00 43,1,2024-09-07 08:26:50:581,159400,159400,0,0,74681628524,780417928,157131,1517,752,366,391604,0 43,2,2024-09-07 08:26:51:738,113991,113991,0,0,5197647,0,3812 43,3,2024-09-07 08:26:51:749,1,91,1,0,325,1785,91,0 44,0,2024-09-07 08:26:50:868,25225,0.7,25118,0.9,50350,0.7,67109,2.00 44,1,2024-09-07 08:26:50:563,160193,160193,0,0,74848707994,772876179,159168,917,108,356,391569,0 44,2,2024-09-07 08:26:51:274,115017,115017,0,0,4010115,0,1865 44,3,2024-09-07 08:26:51:095,1,91,1,0,817,1375,91,0 45,0,2024-09-07 08:26:51:761,19171,1.2,18806,1.3,39405,1.0,52210,2.00 45,1,2024-09-07 08:26:51:007,160529,160529,0,0,75549000500,782540390,160060,468,1,382,391917,0 45,2,2024-09-07 08:26:51:291,117907,117907,0,0,4630900,0,2628 45,3,2024-09-07 08:26:50:934,1,91,8,0,226,884,91,0 46,0,2024-09-07 08:26:50:981,21761,0.3,21619,0.4,43538,0.2,58168,1.50 46,1,2024-09-07 08:26:50:582,160713,160713,0,0,75378735504,778335721,159859,816,38,367,391514,0 46,2,2024-09-07 08:26:50:593,116772,116772,0,0,4504608,0,2920 46,3,2024-09-07 08:26:51:137,1,91,1,0,315,1126,91,0 47,0,2024-09-07 08:26:51:114,23902,0.3,24179,0.5,48416,0.3,63331,1.75 47,1,2024-09-07 08:26:50:568,160653,160653,0,0,74384662703,766757702,160143,506,4,366,391605,0 47,2,2024-09-07 08:26:50:908,113068,113068,0,0,4444187,0,2558 47,3,2024-09-07 08:26:51:122,1,91,7,0,529,1191,91,0 48,0,2024-09-07 08:26:51:502,25209,0.3,24962,0.5,49781,0.3,66258,1.75 48,1,2024-09-07 08:26:51:027,160083,160083,0,0,74994708236,779550510,158880,1197,6,384,391637,0 48,2,2024-09-07 08:26:50:705,113309,113309,0,0,3860290,0,2083 48,3,2024-09-07 08:26:50:756,1,91,1,0,39,709,91,0 49,0,2024-09-07 08:26:51:716,22915,0.7,22284,0.8,43765,0.8,59944,1.75 49,1,2024-09-07 08:26:51:027,159499,159499,0,0,75020988657,784173509,157760,1029,710,382,391583,0 49,2,2024-09-07 08:26:51:801,118659,118659,0,0,5068777,0,3900 49,3,2024-09-07 08:26:51:420,1,91,12,0,274,885,91,0 50,0,2024-09-07 08:26:51:526,19329,0.2,19003,0.4,38376,0.1,51675,1.50 50,1,2024-09-07 08:26:51:010,160678,160678,0,0,75741103518,786540528,159006,1375,297,368,391530,0 50,2,2024-09-07 08:26:51:071,116892,116892,0,0,4342523,0,2253 50,3,2024-09-07 08:26:51:291,1,91,1,0,335,915,91,0 51,0,2024-09-07 08:26:51:697,25002,0.2,24488,0.4,47970,0.2,64753,1.50 51,1,2024-09-07 08:26:51:688,160103,160103,0,0,76012366012,789812114,158300,1019,784,367,391637,0 51,2,2024-09-07 08:26:51:321,110857,110857,0,0,3478687,0,2448 51,3,2024-09-07 08:26:51:029,1,91,57,0,162,618,91,0 52,0,2024-09-07 08:26:51:419,25324,0.7,25441,0.8,50833,0.8,67481,2.25 52,1,2024-09-07 08:26:50:576,159780,159780,0,0,74996876380,786498550,157264,2051,465,368,391594,0 52,2,2024-09-07 08:26:51:758,112800,112800,0,0,4943125,0,4779 52,3,2024-09-07 08:26:50:680,1,91,1,0,1782,2558,91,0 53,0,2024-09-07 08:26:51:748,22742,1.7,22039,1.3,45883,2.3,60598,2.75 53,1,2024-09-07 08:26:50:789,159634,159634,0,0,75060068930,787538950,156870,2189,575,370,391617,0 53,2,2024-09-07 08:26:51:301,119396,119396,0,0,4469675,0,1902 53,3,2024-09-07 08:26:50:702,1,91,8,0,133,709,91,0 54,0,2024-09-07 08:26:51:620,18051,4.9,18343,1.4,35937,0.5,49399,4.25 54,1,2024-09-07 08:26:50:580,159934,159934,0,0,75381805674,785785220,157824,1794,316,367,391520,0 54,2,2024-09-07 08:26:50:865,117280,117274,6,0,5221186,0,5382 54,3,2024-09-07 08:26:50:766,1,91,146,0,676,1900,91,0 55,0,2024-09-07 08:26:51:792,23254,0.3,23962,0.5,48441,0.2,63105,2.00 55,1,2024-09-07 08:26:50:763,158846,158846,0,0,74727323800,783500085,155356,2788,702,367,391731,0 55,2,2024-09-07 08:26:50:730,111725,111725,0,0,5002688,0,3275 55,3,2024-09-07 08:26:50:680,1,91,12,0,136,947,91,0 56,0,2024-09-07 08:26:51:564,24990,1.8,23629,1.3,48579,2.2,65009,2.50 56,1,2024-09-07 08:26:50:571,159326,159326,0,0,75717372528,802365610,155923,2654,749,382,391678,0 56,2,2024-09-07 08:26:51:311,113986,113986,0,0,5574677,0,3567 56,3,2024-09-07 08:26:51:061,1,91,1,0,297,1063,91,0 57,0,2024-09-07 08:26:50:978,23907,2.8,23686,1.7,47673,4.7,63986,4.25 57,1,2024-09-07 08:26:51:088,159400,159400,0,0,74398948628,777381704,157916,1464,20,368,391760,0 57,2,2024-09-07 08:26:51:323,117020,117020,0,0,5595198,0,3178 57,3,2024-09-07 08:26:51:742,1,91,17,0,359,1740,91,0 58,0,2024-09-07 08:26:50:563,17999,0.6,17657,0.9,36906,0.4,48361,2.50 58,1,2024-09-07 08:26:50:575,160746,160743,0,3,75161651505,781755111,159111,1472,160,369,391516,3 58,2,2024-09-07 08:26:51:091,116788,116788,0,0,5146743,0,2549 58,3,2024-09-07 08:26:51:071,1,91,33,0,219,942,91,0 59,0,2024-09-07 08:26:51:756,23111,0.4,22941,0.6,46040,0.3,60836,2.00 59,1,2024-09-07 08:26:50:804,159601,159601,0,0,75357869367,789577813,157348,1485,768,369,391515,0 59,2,2024-09-07 08:26:50:586,115588,115588,0,0,4636447,0,2604 59,3,2024-09-07 08:26:51:737,1,91,5,0,1015,2146,91,0 60,0,2024-09-07 08:26:51:717,23997,0.5,24179,0.6,47705,0.5,63293,1.75 60,1,2024-09-07 08:26:50:781,161176,161176,0,0,75415538895,783413407,160085,742,349,370,391758,0 60,2,2024-09-07 08:26:51:146,112963,112963,0,0,4221599,0,2142 60,3,2024-09-07 08:26:51:260,1,91,2,0,124,983,91,0 61,0,2024-09-07 08:26:51:513,24973,2.2,25128,1.4,50144,3.6,66978,2.25 61,1,2024-09-07 08:26:50:771,159489,159489,0,0,74866806528,787458253,156926,2027,536,382,391589,0 61,2,2024-09-07 08:26:51:117,114218,114218,0,0,4458227,0,1846 61,3,2024-09-07 08:26:51:695,1,91,16,0,199,1233,91,0 62,0,2024-09-07 08:26:51:713,21354,0.9,21835,1.0,41837,1.3,56430,2.00 62,1,2024-09-07 08:26:51:112,160551,160545,0,6,75839673257,784129139,159290,1217,38,365,391586,6 62,2,2024-09-07 08:26:51:644,117765,117765,0,0,4987975,0,2931 62,3,2024-09-07 08:26:51:148,1,91,1,0,287,696,91,0 63,0,2024-09-07 08:26:51:465,20398,0.3,20296,0.5,40823,0.2,54246,1.75 63,1,2024-09-07 08:26:50:819,160960,160955,0,5,75036415262,775499240,160546,406,3,381,391540,5 63,2,2024-09-07 08:26:50:762,116469,116469,0,0,4507578,0,2674 63,3,2024-09-07 08:26:51:744,1,91,1,0,667,1935,91,0 64,0,2024-09-07 08:26:51:510,23830,0.4,23615,0.6,47525,0.4,63160,1.75 64,1,2024-09-07 08:26:50:757,159958,159958,0,0,74839165344,785972195,157504,1659,795,371,391783,0 64,2,2024-09-07 08:26:51:148,112131,112112,19,0,4940059,0,6121 64,3,2024-09-07 08:26:51:143,1,91,11,0,265,1089,91,0 65,0,2024-09-07 08:26:51:699,24480,1.8,24798,1.3,49679,2.2,66167,3.00 65,1,2024-09-07 08:26:50:861,159561,159561,0,0,74946381503,785198329,157848,1494,219,382,391770,0 65,2,2024-09-07 08:26:51:697,113803,113803,0,0,5166683,0,3367 65,3,2024-09-07 08:26:51:690,1,91,21,0,163,971,91,0 66,0,2024-09-07 08:26:51:770,22651,0.6,22819,0.9,45817,0.6,61162,2.25 66,1,2024-09-07 08:26:51:293,160749,160749,0,0,74950342296,777198976,160054,673,22,380,391588,0 66,2,2024-09-07 08:26:51:132,120267,120267,0,0,4786933,0,3867 66,3,2024-09-07 08:26:51:078,1,91,1,0,291,994,91,0 67,0,2024-09-07 08:26:51:431,18355,0.4,18293,0.6,36356,0.2,48361,2.00 67,1,2024-09-07 08:26:50:771,159468,159467,0,1,74324928428,779130288,157441,1501,525,382,391787,1 67,2,2024-09-07 08:26:50:587,117728,117728,0,0,4371021,0,2889 67,3,2024-09-07 08:26:51:757,1,91,1,0,138,719,91,0 68,0,2024-09-07 08:26:50:585,24370,0.4,24266,0.6,48360,0.3,64969,2.00 68,1,2024-09-07 08:26:50:571,159293,159293,0,0,74939134793,785334380,157818,953,522,381,391953,0 68,2,2024-09-07 08:26:51:045,111243,111243,0,0,4381054,0,4883 68,3,2024-09-07 08:26:50:740,1,91,1,0,151,997,91,0 69,0,2024-09-07 08:26:51:752,25038,1.3,25195,1.1,50016,1.7,67092,2.50 69,1,2024-09-07 08:26:51:027,159413,159413,0,0,74890490880,791587764,157413,1133,867,384,391611,0 69,2,2024-09-07 08:26:51:735,113468,113468,0,0,5231793,0,3153 69,3,2024-09-07 08:26:50:762,1,91,14,0,238,1231,91,0 70,0,2024-09-07 08:26:51:540,23601,2.9,23669,2.1,47640,1.7,63136,4.25 70,1,2024-09-07 08:26:50:801,160364,160364,0,0,75642652032,782702792,159444,785,135,368,391527,0 70,2,2024-09-07 08:26:51:325,117149,117149,0,0,5214943,0,4044 70,3,2024-09-07 08:26:50:755,1,91,5,0,178,542,91,0 71,0,2024-09-07 08:26:51:361,17807,0.9,17888,1.9,35463,1.0,48366,3.50 71,1,2024-09-07 08:26:51:603,160133,160133,0,0,75024075061,783221553,157931,1886,316,368,391682,0 71,2,2024-09-07 08:26:51:075,117394,117394,0,0,4749218,0,2146 71,3,2024-09-07 08:26:51:753,1,91,1,0,219,1112,91,0 72,0,2024-09-07 08:26:51:057,24650,0.3,24014,0.5,47077,0.2,64028,2.00 72,1,2024-09-07 08:26:51:041,160303,160303,0,0,74984628267,780328120,158749,1476,78,370,391556,0 72,2,2024-09-07 08:26:51:762,112574,112574,0,0,5827786,0,2570 72,3,2024-09-07 08:26:51:759,1,91,1,0,325,1423,91,0 73,0,2024-09-07 08:26:51:110,23483,0.4,24071,0.6,49052,0.4,63661,2.25 73,1,2024-09-07 08:26:50:772,160004,160004,0,0,74962521028,778718485,159029,930,45,368,391627,0 73,2,2024-09-07 08:26:51:742,114572,114572,0,0,5370394,0,3482 73,3,2024-09-07 08:26:50:974,1,91,8,0,274,1429,91,0 74,0,2024-09-07 08:26:51:340,25245,1.2,25644,1.1,49168,1.8,66581,3.00 74,1,2024-09-07 08:26:50:634,159772,159772,0,0,74675099153,780103768,157870,1330,572,382,391511,0 74,2,2024-09-07 08:26:51:002,115054,115054,0,0,5776659,0,4253 74,3,2024-09-07 08:26:51:443,1,91,1,0,246,1515,91,0 75,0,2024-09-07 08:26:51:774,19587,1.8,19586,1.4,38949,2.2,52306,3.00 75,1,2024-09-07 08:26:51:600,159693,159693,0,0,75144567523,785403516,157990,1599,104,381,391579,0 75,2,2024-09-07 08:26:51:353,117186,117186,0,0,5488930,0,4766 75,3,2024-09-07 08:26:51:074,1,91,4,0,143,697,91,0 76,0,2024-09-07 08:26:50:626,21776,0.3,21450,0.5,42938,0.2,57765,2.00 76,1,2024-09-07 08:26:50:846,159664,159664,0,0,74689206518,782880834,157851,1307,506,382,391530,0 76,2,2024-09-07 08:26:51:082,116854,116854,0,0,4544291,0,3064 76,3,2024-09-07 08:26:51:146,1,91,5,0,175,1080,91,0 77,0,2024-09-07 08:26:51:731,23938,0.6,24129,0.8,48298,0.6,63252,1.75 77,1,2024-09-07 08:26:50:834,159899,159899,0,0,75306454713,784266745,158857,973,69,383,391555,0 77,2,2024-09-07 08:26:51:287,112795,112795,0,0,3899027,0,1695 77,3,2024-09-07 08:26:51:100,1,91,14,0,139,898,91,0 78,0,2024-09-07 08:26:51:724,24982,0.8,24904,0.9,49806,0.9,65964,2.25 78,1,2024-09-07 08:26:50:610,160586,160586,0,0,74773069953,777720441,159161,1220,205,367,391589,0 78,2,2024-09-07 08:26:51:411,113792,113792,0,0,4101353,0,2114 78,3,2024-09-07 08:26:51:141,1,91,1,0,181,1318,91,0 79,0,2024-09-07 08:26:51:348,21505,0.6,22029,0.9,45077,0.7,58847,2.50 79,1,2024-09-07 08:26:50:575,160529,160529,0,0,75300434813,781273166,159188,1272,69,370,391682,0 79,2,2024-09-07 08:26:51:072,118993,118993,0,0,4632064,0,2679 79,3,2024-09-07 08:26:50:754,1,91,1,0,289,983,91,0 80,0,2024-09-07 08:26:51:120,19058,0.4,19728,0.6,37853,0.3,51191,1.75 80,1,2024-09-07 08:26:51:623,159838,159838,0,0,74985450311,780542262,158243,1480,115,369,391673,0 80,2,2024-09-07 08:26:51:091,117382,117382,0,0,4786543,0,4433 80,3,2024-09-07 08:26:50:580,1,91,12,1,190,1455,91,0 81,0,2024-09-07 08:26:51:578,24457,0.5,25194,0.6,48202,0.5,64736,1.75 81,1,2024-09-07 08:26:51:657,159901,159901,0,0,74232789622,778459869,158001,1702,198,383,391680,0 81,2,2024-09-07 08:26:51:138,110575,110575,0,0,4902333,0,3993 81,3,2024-09-07 08:26:51:122,1,91,8,0,193,921,91,0 82,0,2024-09-07 08:26:51:542,25164,0.8,25144,0.9,50524,0.8,67443,2.25 82,1,2024-09-07 08:26:50:630,159899,159895,0,4,74875669993,782932172,157841,1481,573,382,391558,4 82,2,2024-09-07 08:26:51:695,114135,114135,0,0,4236705,0,2230 82,3,2024-09-07 08:26:51:753,1,91,1,0,227,1423,91,0 83,0,2024-09-07 08:26:51:542,22933,1.4,22791,1.2,45263,1.7,60421,2.75 83,1,2024-09-07 08:26:50:552,159787,159787,0,0,74909502229,782377723,158351,1335,101,383,391553,0 83,2,2024-09-07 08:26:50:763,118008,118008,0,0,5127101,0,3119 83,3,2024-09-07 08:26:50:754,1,91,1,0,91,708,91,0 84,0,2024-09-07 08:26:51:809,18540,1.2,18287,1.8,36647,0.6,50234,2.75 84,1,2024-09-07 08:26:51:059,159689,159689,0,0,75120658241,786136922,158066,1374,249,369,391638,0 84,2,2024-09-07 08:26:50:581,117058,117058,0,0,5088048,0,3801 84,3,2024-09-07 08:26:51:147,1,91,1,1,58,886,91,0 85,0,2024-09-07 08:26:51:028,23071,0.3,23062,0.5,48949,0.2,63283,1.75 85,1,2024-09-07 08:26:50:560,159190,159190,0,0,74560349185,787285803,156725,2036,429,383,391679,0 85,2,2024-09-07 08:26:50:879,111784,111784,0,0,5205461,0,3656 85,3,2024-09-07 08:26:50:685,1,91,10,0,115,924,91,0 86,0,2024-09-07 08:26:50:883,24258,0.7,25095,0.7,48297,0.9,65349,2.00 86,1,2024-09-07 08:26:50:825,159400,159400,0,0,75508111490,788459790,157595,1588,217,367,391622,0 86,2,2024-09-07 08:26:50:856,113309,113308,1,0,5613729,0,5004 86,3,2024-09-07 08:26:50:589,1,91,136,0,199,1110,91,0 87,0,2024-09-07 08:26:51:312,24363,2.6,24161,1.5,48558,3.9,65199,3.00 87,1,2024-09-07 08:26:50:551,159709,159709,0,0,74859981821,783318963,158102,1486,121,366,391788,0 87,2,2024-09-07 08:26:51:092,116419,116419,0,0,4533619,0,2859 87,3,2024-09-07 08:26:51:800,1,91,1,1,335,1649,91,0 88,0,2024-09-07 08:26:51:467,18705,0.6,19054,0.8,37645,0.6,50652,1.75 88,1,2024-09-07 08:26:50:576,159618,159618,0,0,75216022529,784917323,158400,1121,97,367,391787,0 88,2,2024-09-07 08:26:50:695,117295,117295,0,0,5800704,0,3583 88,3,2024-09-07 08:26:51:279,1,91,25,1,77,1074,91,0 89,0,2024-09-07 08:26:51:830,23688,0.3,22939,0.5,45562,0.2,62011,1.50 89,1,2024-09-07 08:26:50:573,158990,158990,0,0,75579969356,802132772,155637,2616,737,382,391866,0 89,2,2024-09-07 08:26:51:141,114969,114969,0,0,5232119,0,2726 89,3,2024-09-07 08:26:51:800,1,91,8,0,325,1996,91,0 90,0,2024-09-07 08:26:51:632,23154,0.4,23654,0.6,48636,0.4,63234,1.75 90,1,2024-09-07 08:26:50:590,159924,159924,0,0,74989871572,788859122,157851,1929,144,382,391825,0 90,2,2024-09-07 08:26:51:409,111985,111985,0,0,5902933,0,2635 90,3,2024-09-07 08:26:50:940,1,91,5,1,200,1035,91,0 91,0,2024-09-07 08:26:50:992,25519,0.6,24736,0.7,51262,0.7,67406,1.75 91,1,2024-09-07 08:26:50:565,159644,159644,0,0,74798677952,787854928,157236,2042,366,383,391914,0 91,2,2024-09-07 08:26:51:346,113334,113334,0,0,5093194,0,1997 91,3,2024-09-07 08:26:50:598,1,91,1,0,155,1197,91,0 92,0,2024-09-07 08:26:51:455,21575,0.7,22035,0.9,42050,0.9,56642,1.75 92,1,2024-09-07 08:26:50:580,160227,160227,0,0,75206927640,783136879,159559,599,69,382,391717,0 92,2,2024-09-07 08:26:51:368,119679,119679,0,0,4899706,0,2279 92,3,2024-09-07 08:26:51:010,1,91,3,0,68,925,91,0 93,0,2024-09-07 08:26:50:990,20521,0.3,20823,0.5,39814,0.2,54326,1.50 93,1,2024-09-07 08:26:50:816,160130,160130,0,0,75236250171,786619137,158360,1333,437,367,391689,0 93,2,2024-09-07 08:26:50:938,116851,116851,0,0,4468815,0,2509 93,3,2024-09-07 08:26:51:408,1,91,12,0,143,877,91,0 94,0,2024-09-07 08:26:51:615,24017,0.3,24221,0.5,47884,0.3,63116,1.50 94,1,2024-09-07 08:26:50:565,159492,159492,0,0,74618429522,782644481,157896,1530,66,381,391850,0 94,2,2024-09-07 08:26:50:767,111105,111105,0,0,4352338,0,2443 94,3,2024-09-07 08:26:51:688,1,91,2,1,231,1467,91,0 95,0,2024-09-07 08:26:51:361,25211,0.4,25093,0.6,50591,0.4,67040,1.75 95,1,2024-09-07 08:26:50:853,160273,160273,0,0,74693096229,775800898,159460,749,64,367,391590,0 95,2,2024-09-07 08:26:51:019,113487,113487,0,0,4729862,0,3308 95,3,2024-09-07 08:26:51:713,1,91,1,0,307,1394,91,0 96,0,2024-09-07 08:26:51:077,23224,0.7,23183,0.8,46307,0.7,61580,1.75 96,1,2024-09-07 08:26:51:583,160007,160007,0,0,74679013748,783860127,158161,1275,571,385,391596,0 96,2,2024-09-07 08:26:51:273,119501,119501,0,0,5280510,0,4038 96,3,2024-09-07 08:26:51:139,1,91,1,0,69,840,91,0 97,0,2024-09-07 08:26:51:364,18063,0.3,18159,0.5,36484,0.2,48331,1.75 97,1,2024-09-07 08:26:50:778,160054,160054,0,0,75542928561,788926321,157854,1681,519,367,392140,0 97,2,2024-09-07 08:26:50:606,116496,116496,0,0,4542333,0,3036 97,3,2024-09-07 08:26:50:573,1,91,8,1,165,1130,91,0 98,0,2024-09-07 08:26:51:710,24281,0.2,24087,0.4,48817,0.2,65392,1.50 98,1,2024-09-07 08:26:50:576,159732,159732,0,0,74697838816,779548297,158650,1037,45,382,391997,0 98,2,2024-09-07 08:26:50:778,112289,112289,0,0,4609712,0,3080 98,3,2024-09-07 08:26:50:706,1,91,1,1,155,1066,91,0 99,0,2024-09-07 08:26:51:454,25154,0.3,25093,0.5,50187,0.3,67117,1.75 99,1,2024-09-07 08:26:51:737,160427,160427,0,0,74729771405,780505468,158924,1057,446,381,391744,0 99,2,2024-09-07 08:26:51:428,114770,114770,0,0,4846196,0,1858 99,3,2024-09-07 08:26:50:587,1,91,2,0,129,774,91,0 100,0,2024-09-07 08:26:51:490,23956,1.6,23799,2.1,47487,4.6,63948,2.50 100,1,2024-09-07 08:26:50:554,159090,159090,0,0,74103679091,785917645,156327,2417,346,381,391989,0 100,2,2024-09-07 08:26:51:825,117365,117354,11,0,5980913,0,5417 100,3,2024-09-07 08:26:51:731,1,91,2,0,443,2033,91,0 101,0,2024-09-07 08:26:51:708,18415,2.1,18014,1.3,35493,0.5,49415,2.50 101,1,2024-09-07 08:26:50:551,159222,159222,0,0,75016481376,786331295,157716,1056,450,369,391709,0 101,2,2024-09-07 08:26:51:761,116770,116770,0,0,5211911,0,4644 101,3,2024-09-07 08:26:50:943,1,91,8,0,448,1157,91,0 102,0,2024-09-07 08:26:50:961,23343,0.3,24196,0.5,48555,0.2,63698,1.75 102,1,2024-09-07 08:26:51:151,159489,159489,0,0,74461157878,784917543,156999,2021,469,369,391831,0 102,2,2024-09-07 08:26:51:743,114077,114077,0,0,4285950,0,1945 102,3,2024-09-07 08:26:51:614,1,91,27,0,410,972,91,0 103,0,2024-09-07 08:26:51:602,24697,0.5,24563,0.7,46704,0.5,64113,1.75 103,1,2024-09-07 08:26:51:634,159191,159191,0,0,75500350996,790590617,157858,1189,144,381,391680,0 103,2,2024-09-07 08:26:50:585,113535,113535,0,0,4814083,0,2104 103,3,2024-09-07 08:26:50:756,1,91,5,1,486,1342,91,0 104,0,2024-09-07 08:26:51:040,25090,1.5,25024,1.3,49092,1.9,66916,2.50 104,1,2024-09-07 08:26:51:600,160219,160219,0,0,74566839464,783337997,158257,1636,326,366,391948,0 104,2,2024-09-07 08:26:51:666,114399,114399,0,0,5411520,0,3941 104,3,2024-09-07 08:26:51:422,1,91,13,1,1245,3980,91,0 105,0,2024-09-07 08:26:51:083,19314,0.9,18716,1.4,38897,1.3,52022,3.00 105,1,2024-09-07 08:26:50:562,159581,159581,0,0,75174644814,791526137,156623,2211,747,367,391797,0 105,2,2024-09-07 08:26:51:323,117138,117138,0,0,5193834,0,3314 105,3,2024-09-07 08:26:51:304,1,91,14,1,399,1725,91,0 106,0,2024-09-07 08:26:50:950,20969,0.3,21558,0.5,43670,0.2,57749,1.75 106,1,2024-09-07 08:26:51:780,160048,160048,0,0,74717606970,784634596,157630,2090,328,370,391767,0 106,2,2024-09-07 08:26:50:757,115435,115435,0,0,5107020,0,2795 106,3,2024-09-07 08:26:50:679,1,91,1,1,201,967,91,0 107,0,2024-09-07 08:26:51:113,24144,0.5,23990,0.6,47690,0.4,63529,1.75 107,1,2024-09-07 08:26:50:604,159304,159304,0,0,75057211733,790686840,157355,1759,190,381,392234,0 107,2,2024-09-07 08:26:51:299,111886,111885,1,0,4922227,0,5024 107,3,2024-09-07 08:26:51:759,1,91,3,0,353,1439,91,0 108,0,2024-09-07 08:26:51:789,25099,0.5,25318,0.7,49911,0.5,66277,1.75 108,1,2024-09-07 08:26:51:303,159826,159826,0,0,74648066808,780748887,158343,1347,136,371,391857,0 108,2,2024-09-07 08:26:51:775,112941,112941,0,0,4881990,0,2647 108,3,2024-09-07 08:26:51:330,1,91,1,0,73,1122,91,0 109,0,2024-09-07 08:26:51:776,22359,0.7,22517,0.8,44581,0.8,59962,1.75 109,1,2024-09-07 08:26:50:595,159499,159499,0,0,75425168975,793466827,157821,1293,385,383,391812,0 109,2,2024-09-07 08:26:50:921,117608,117608,0,0,5347405,0,3617 109,3,2024-09-07 08:26:51:146,1,91,9,1,249,1458,91,0 110,0,2024-09-07 08:26:51:768,19359,0.2,18691,0.4,38989,0.2,51353,1.50 110,1,2024-09-07 08:26:51:644,160223,160223,0,0,75206277061,781849277,158893,1041,289,370,391667,0 110,2,2024-09-07 08:26:51:322,117352,117352,0,0,4489329,0,2915 110,3,2024-09-07 08:26:50:708,1,91,19,0,183,867,91,0 111,0,2024-09-07 08:26:51:422,24598,0.2,24198,0.4,48438,0.1,64770,1.50 111,1,2024-09-07 08:26:51:004,160629,160629,0,0,75687517204,782893554,159981,634,14,382,391690,0 111,2,2024-09-07 08:26:51:131,111355,111355,0,0,4580906,0,2763 111,3,2024-09-07 08:26:50:917,1,91,1,0,300,1649,91,0 112,0,2024-09-07 08:26:50:916,25523,0.4,25477,0.5,50663,0.3,67750,1.75 112,1,2024-09-07 08:26:50:839,159800,159800,0,0,75069602387,782261323,158287,1167,346,381,391580,0 112,2,2024-09-07 08:26:51:134,113467,113466,1,0,5122009,0,5036 112,3,2024-09-07 08:26:50:593,1,91,107,0,282,1022,91,0 113,0,2024-09-07 08:26:50:881,22896,0.5,22769,0.7,46330,0.5,61581,1.75 113,1,2024-09-07 08:26:51:688,160201,160201,0,0,75424616158,783308015,158306,1511,384,368,391661,0 113,2,2024-09-07 08:26:51:309,119539,119539,0,0,4275618,0,3021 113,3,2024-09-07 08:26:50:688,1,91,8,0,288,1495,91,0 114,0,2024-09-07 08:26:50:879,18743,2.4,19001,1.0,37196,0.5,50464,2.00 114,1,2024-09-07 08:26:50:720,160051,160051,0,0,75332812700,790695104,157423,1747,881,381,391531,0 114,2,2024-09-07 08:26:50:873,117019,117019,0,0,4776831,0,3925 114,3,2024-09-07 08:26:51:287,1,91,1,0,159,1063,91,0 115,0,2024-09-07 08:26:50:570,23602,0.2,23823,0.3,48027,0.1,63241,1.50 115,1,2024-09-07 08:26:50:571,159935,159935,0,0,75019093939,784373249,157560,1888,487,383,391528,0 115,2,2024-09-07 08:26:51:138,112453,112453,0,0,4035339,0,2152 115,3,2024-09-07 08:26:51:010,1,91,1,0,159,585,91,0 116,0,2024-09-07 08:26:51:713,24562,0.8,24120,0.9,48912,1.0,65288,2.00 116,1,2024-09-07 08:26:50:829,159372,159372,0,0,75129500258,793985289,157468,1033,871,382,391605,0 116,2,2024-09-07 08:26:51:766,113310,113310,0,0,5465641,0,3529 116,3,2024-09-07 08:26:50:923,1,91,1,1,252,1419,91,0 117,0,2024-09-07 08:26:51:000,24324,2.0,24371,1.3,48467,3.3,65250,2.25 117,1,2024-09-07 08:26:51:581,160049,160049,0,0,74718075304,785753641,157723,2032,294,370,392033,0 117,2,2024-09-07 08:26:51:133,117179,117179,0,0,4812805,0,3700 117,3,2024-09-07 08:26:51:065,1,91,22,0,490,1945,91,0 118,0,2024-09-07 08:26:51:811,18287,0.6,18696,0.8,38363,0.6,50439,2.00 118,1,2024-09-07 08:26:50:585,160049,160049,0,0,73946356691,773858196,158798,1171,80,368,391736,0 118,2,2024-09-07 08:26:51:586,117275,117275,0,0,5105182,0,2781 118,3,2024-09-07 08:26:51:763,1,91,2,1,235,1324,91,0 119,0,2024-09-07 08:26:51:349,22698,0.3,22682,0.5,46334,0.2,61116,1.75 119,1,2024-09-07 08:26:50:554,160151,160151,0,0,75182404390,785973474,158528,1474,149,370,391641,0 119,2,2024-09-07 08:26:51:268,114531,114531,0,0,4812090,0,2532 119,3,2024-09-07 08:26:51:325,1,91,7,0,443,2312,91,0 120,0,2024-09-07 08:26:51:549,23654,0.6,23799,0.8,47156,0.6,63179,2.00 120,1,2024-09-07 08:26:50:862,160009,160009,0,0,75337009460,789383118,158471,1521,17,368,391702,0 120,2,2024-09-07 08:26:50:772,112489,112488,1,0,5928729,0,5281 120,3,2024-09-07 08:26:51:290,1,91,3,0,241,1483,91,0 121,0,2024-09-07 08:26:51:799,24959,2.1,25239,1.4,50353,3.4,67341,2.50 121,1,2024-09-07 08:26:51:665,159792,159792,0,0,74560519776,780588688,157903,1618,271,367,391840,0 121,2,2024-09-07 08:26:51:144,113154,113154,0,0,6164806,0,4127 121,3,2024-09-07 08:26:50:755,1,91,27,0,113,946,91,0 122,0,2024-09-07 08:26:51:791,21241,2.0,20532,1.7,42826,2.4,56644,2.25 122,1,2024-09-07 08:26:50:859,158932,158932,0,0,75018555620,791192702,155919,2489,524,368,392130,0 122,2,2024-09-07 08:26:51:327,118539,118539,0,0,6236349,0,3364 122,3,2024-09-07 08:26:50:597,1,91,1,1,298,1872,91,0 123,0,2024-09-07 08:26:51:015,20276,0.3,19709,0.5,41253,0.2,54112,1.75 123,1,2024-09-07 08:26:50:568,160386,160386,0,0,75465921705,798097053,156914,2904,568,369,391823,0 123,2,2024-09-07 08:26:51:019,116132,116131,1,0,5170376,0,5215 123,3,2024-09-07 08:26:51:142,1,91,1,1,160,1267,91,0 124,0,2024-09-07 08:26:50:932,24641,0.3,24605,0.5,46424,0.2,63421,1.50 124,1,2024-09-07 08:26:51:043,159973,159973,0,0,74759304948,774985552,159162,666,145,367,392178,0 124,2,2024-09-07 08:26:51:015,111441,111441,0,0,4113964,0,2477 124,3,2024-09-07 08:26:50:758,1,91,2,1,490,1690,91,0 125,0,2024-09-07 08:26:51:435,25328,0.6,25265,0.7,50534,0.6,67001,1.75 125,1,2024-09-07 08:26:50:860,159761,159761,0,0,74687121775,781643194,158005,1483,273,384,391702,0 125,2,2024-09-07 08:26:51:118,113600,113600,0,0,4528044,0,2180 125,3,2024-09-07 08:26:51:137,1,91,9,1,93,1159,91,0 126,0,2024-09-07 08:26:51:486,23264,1.0,23779,0.9,45606,1.2,62092,2.00 126,1,2024-09-07 08:26:50:553,160539,160539,0,0,75531173296,783203774,159487,997,55,365,391987,0 126,2,2024-09-07 08:26:50:619,119275,119275,0,0,4954915,0,3186 126,3,2024-09-07 08:26:50:914,1,91,5,0,122,1221,91,0 127,0,2024-09-07 08:26:51:600,18172,0.2,18085,0.4,36315,0.2,48300,1.50 127,1,2024-09-07 08:26:50:577,160062,160062,0,0,74934309926,776875208,158919,1120,23,365,391614,0 127,2,2024-09-07 08:26:50:636,117378,117378,0,0,4149704,0,1803 127,3,2024-09-07 08:26:51:281,1,91,5,0,99,689,91,0 128,0,2024-09-07 08:26:51:558,24449,0.2,24503,0.4,48359,0.2,65460,1.50 128,1,2024-09-07 08:26:51:605,160059,160059,0,0,75604374436,783608034,159324,665,70,367,391680,0 128,2,2024-09-07 08:26:51:391,110789,110789,0,0,4821474,0,2107 128,3,2024-09-07 08:26:50:769,1,91,1,1,112,1007,91,0 129,0,2024-09-07 08:26:51:016,25258,0.4,25194,0.5,50137,0.4,67110,1.75 129,1,2024-09-07 08:26:50:567,159385,159385,0,0,74639723472,783105734,157515,1595,275,379,391835,0 129,2,2024-09-07 08:26:50:693,113179,113179,0,0,4300877,0,2446 129,3,2024-09-07 08:26:50:693,1,91,8,1,173,1308,91,0 130,0,2024-09-07 08:26:51:737,24172,1.3,24051,1.0,48122,1.9,64521,2.00 130,1,2024-09-07 08:26:50:606,159903,159903,0,0,75054092439,783445660,158579,1289,35,381,391825,0 130,2,2024-09-07 08:26:51:134,117876,117876,0,0,5063269,0,4067 130,3,2024-09-07 08:26:51:292,1,91,1,0,207,693,91,0 131,0,2024-09-07 08:26:51:940,18350,0.7,18256,0.8,36946,1.0,49245,1.75 131,1,2024-09-07 08:26:51:827,160825,160825,0,0,75398034838,786060097,159729,909,187,384,391865,0 131,2,2024-09-07 08:26:50:572,117303,117303,0,0,4262607,0,2415 131,3,2024-09-07 08:26:51:700,1,91,2,0,392,1169,91,0 132,0,2024-09-07 08:26:51:463,23620,0.3,23984,0.5,47967,0.2,63933,1.75 132,1,2024-09-07 08:26:50:577,159173,159173,0,0,74700214611,788790417,156391,2033,749,381,391719,0 132,2,2024-09-07 08:26:50:711,113264,113264,0,0,6365368,0,4606 132,3,2024-09-07 08:26:51:704,1,91,102,0,356,1220,91,0 133,0,2024-09-07 08:26:51:547,23454,0.5,23873,0.6,49186,0.5,63466,1.75 133,1,2024-09-07 08:26:50:586,158662,158662,0,0,74923834801,790099297,156443,2123,96,383,391755,0 133,2,2024-09-07 08:26:51:098,113714,113714,0,0,5615624,0,2444 133,3,2024-09-07 08:26:51:315,1,91,21,1,187,759,91,0 134,0,2024-09-07 08:26:50:988,25207,0.9,25087,1.0,50408,1.0,66810,2.00 134,1,2024-09-07 08:26:50:590,159814,159814,0,0,74229456208,781161265,157059,1774,981,366,391718,0 134,2,2024-09-07 08:26:51:762,115082,115082,0,0,4425324,0,2026 134,3,2024-09-07 08:26:50:753,1,91,1,0,739,2049,91,0 135,0,2024-09-07 08:26:51:110,18983,1.4,19047,1.4,40188,1.5,51768,2.25 135,1,2024-09-07 08:26:51:586,159462,159462,0,0,74992827730,787024939,157502,1632,328,380,391703,0 135,2,2024-09-07 08:26:50:694,117899,117899,0,0,5248679,0,3981 135,3,2024-09-07 08:26:51:002,1,91,2,0,89,610,91,0 136,0,2024-09-07 08:26:51:626,21689,0.3,21517,0.6,43372,0.2,58351,1.75 136,1,2024-09-07 08:26:51:444,159929,159929,0,0,74809066687,784170604,158022,1760,147,384,391621,0 136,2,2024-09-07 08:26:51:147,116848,116848,0,0,4632007,0,2379 136,3,2024-09-07 08:26:51:122,1,91,4,0,108,912,91,0 137,0,2024-09-07 08:26:50:943,24634,0.6,23877,0.8,47303,0.6,63685,2.00 137,1,2024-09-07 08:26:50:585,159571,159571,0,0,75419804718,788765795,157589,1868,114,367,391608,0 137,2,2024-09-07 08:26:51:716,111539,111539,0,0,5689203,0,2632 137,3,2024-09-07 08:26:50:781,1,91,8,1,227,1158,91,0 138,0,2024-09-07 08:26:51:774,24335,2.4,24540,1.5,49896,3.6,66159,2.75 138,1,2024-09-07 08:26:51:690,159477,159477,0,0,74653549953,782879915,157139,2073,265,369,391954,0 138,2,2024-09-07 08:26:50:590,113674,113674,0,0,4910140,0,3263 138,3,2024-09-07 08:26:50:624,1,91,8,0,1160,2061,91,0 139,0,2024-09-07 08:26:51:403,21715,4.2,21748,2.2,44048,5.3,59208,3.50 139,1,2024-09-07 08:26:50:577,159071,159071,0,0,74599227570,792222971,156175,2166,730,381,391892,0 139,2,2024-09-07 08:26:50:699,117781,117781,0,0,6207416,0,3097 139,3,2024-09-07 08:26:51:662,1,91,61,0,244,1442,91,0 140,0,2024-09-07 08:26:51:608,19196,0.2,19062,0.4,38361,0.1,51362,1.50 140,1,2024-09-07 08:26:51:540,160621,160621,0,0,75242394809,776869700,159691,733,197,365,391606,0 140,2,2024-09-07 08:26:50:694,117764,117764,0,0,4925166,0,3388 140,3,2024-09-07 08:26:50:772,1,91,1,0,25,603,91,0 141,0,2024-09-07 08:26:51:730,24194,0.2,24970,0.4,48104,0.1,64747,1.50 141,1,2024-09-07 08:26:50:860,160183,160183,0,0,75462100811,784513886,158760,1092,331,382,391538,0 141,2,2024-09-07 08:26:51:696,111179,111179,0,0,4351943,0,2342 141,3,2024-09-07 08:26:51:042,1,91,14,0,147,657,91,0 142,0,2024-09-07 08:26:51:320,25693,0.4,25370,0.5,50502,0.3,67839,1.75 142,1,2024-09-07 08:26:50:586,160486,160486,0,0,75186269395,781876009,159924,546,16,384,391649,0 142,2,2024-09-07 08:26:51:301,112474,112474,0,0,4818483,0,2293 142,3,2024-09-07 08:26:51:750,1,91,43,0,484,1666,91,0 143,0,2024-09-07 08:26:51:383,22963,1.0,23126,0.9,46453,1.3,61385,2.00 143,1,2024-09-07 08:26:50:575,160016,160016,0,0,74938188411,776385189,159034,953,29,367,391619,0 143,2,2024-09-07 08:26:50:774,119041,119041,0,0,5278447,0,2669 143,3,2024-09-07 08:26:51:146,1,91,1,0,236,1206,91,0 144,0,2024-09-07 08:26:51:514,18003,0.8,18569,4.3,37562,0.6,50470,2.25 144,1,2024-09-07 08:26:50:614,159565,159565,0,0,74624341035,784070332,157953,1418,194,381,391638,0 144,2,2024-09-07 08:26:51:763,117476,117476,0,0,4591708,0,3473 144,3,2024-09-07 08:26:51:741,1,91,1,1,169,1307,91,0 145,0,2024-09-07 08:26:51:387,23082,0.3,23109,0.5,48896,0.2,63345,1.75 145,1,2024-09-07 08:26:50:568,158971,158971,0,0,74390384669,781987506,157039,1667,265,383,391615,0 145,2,2024-09-07 08:26:51:429,112477,112477,0,0,5322788,0,3903 145,3,2024-09-07 08:26:50:895,1,91,10,0,151,1188,91,0 146,0,2024-09-07 08:26:51:625,24271,0.6,24263,0.8,48891,0.7,64990,2.25 146,1,2024-09-07 08:26:51:602,160032,160032,0,0,74678944936,783211108,157724,2004,304,368,391600,0 146,2,2024-09-07 08:26:51:695,113174,113174,0,0,4986010,0,2379 146,3,2024-09-07 08:26:51:283,1,91,1,0,1520,3193,91,0 147,0,2024-09-07 08:26:51:715,24159,2.5,23974,1.6,47744,3.8,65193,3.00 147,1,2024-09-07 08:26:51:379,160151,160151,0,0,75280104277,782780647,158426,1369,356,368,391791,0 147,2,2024-09-07 08:26:51:038,116947,116947,0,0,5028579,0,2789 147,3,2024-09-07 08:26:50:920,1,91,1,1,141,884,91,0 0,0,2024-09-07 08:27:01:743,23266,0.6,23193,0.8,49117,0.7,63471,1.75 0,1,2024-09-07 08:27:01:183,161698,161698,0,0,76172538741,799537610,160408,1162,128,372,391692,0 0,2,2024-09-07 08:27:01:067,114124,114124,0,0,5169467,0,4480 0,3,2024-09-07 08:27:00:974,1,92,1,0,247,1471,92,0 1,0,2024-09-07 08:27:01:821,25273,2.5,25077,1.7,50595,3.2,67443,2.75 1,1,2024-09-07 08:27:00:560,161331,161331,0,0,75511432406,792961096,159532,1270,529,372,391857,0 1,2,2024-09-07 08:27:00:639,114992,114992,0,0,4870060,0,3267 1,3,2024-09-07 08:27:01:312,1,92,8,0,167,856,92,0 2,0,2024-09-07 08:27:01:581,21032,1.0,21215,1.2,42086,1.3,55929,2.00 2,1,2024-09-07 08:27:00:864,161868,161868,0,0,76157668807,792208203,160785,879,204,381,391558,0 2,2,2024-09-07 08:27:01:269,118699,118699,0,0,5018490,0,3304 2,3,2024-09-07 08:27:00:690,1,92,3,0,214,887,92,0 3,0,2024-09-07 08:27:01:753,20633,0.3,20795,0.5,41486,0.2,55155,1.75 3,1,2024-09-07 08:27:01:625,160758,160758,0,0,75432124205,788643705,158610,1760,388,382,391516,0 3,2,2024-09-07 08:27:01:143,117841,117818,23,0,5291761,0,5851 3,3,2024-09-07 08:27:01:753,1,92,0,0,103,618,92,0 4,0,2024-09-07 08:27:01:786,23276,0.3,23998,0.4,48449,0.2,63464,1.50 4,1,2024-09-07 08:27:00:591,161124,161124,0,0,75244645187,794836895,158846,1761,517,371,391846,0 4,2,2024-09-07 08:27:01:019,112287,112287,0,0,5896517,0,4528 4,3,2024-09-07 08:27:01:033,1,92,26,1,287,1469,92,0 5,0,2024-09-07 08:27:01:408,25470,0.7,25316,0.7,50901,0.8,67430,1.75 5,1,2024-09-07 08:27:00:759,161149,161149,0,0,75577124077,795978593,158881,1824,444,368,392005,0 5,2,2024-09-07 08:27:01:840,114438,114438,0,0,4983116,0,2259 5,3,2024-09-07 08:27:01:743,1,92,9,0,238,1672,92,0 6,0,2024-09-07 08:27:00:958,23561,0.8,23243,1.1,46444,1.1,62652,2.25 6,1,2024-09-07 08:27:00:751,162180,162180,0,0,75790191750,789279105,161043,1067,70,381,391603,0 6,2,2024-09-07 08:27:01:119,120465,120465,0,0,5151019,0,2411 6,3,2024-09-07 08:27:01:282,1,92,18,0,340,1538,92,0 7,0,2024-09-07 08:27:01:537,18149,0.2,18253,0.4,36727,0.2,48957,1.75 7,1,2024-09-07 08:27:00:855,161772,161772,0,0,75664366674,789758623,160612,1095,65,383,391664,0 7,2,2024-09-07 08:27:00:772,117814,117814,0,0,4825288,0,2981 7,3,2024-09-07 08:27:00:854,1,92,5,0,305,1017,92,0 8,0,2024-09-07 08:27:01:408,24775,0.3,25047,0.4,49254,0.2,66729,1.50 8,1,2024-09-07 08:27:01:019,161331,161331,0,0,75646283969,801787360,157843,2235,1253,368,391724,0 8,2,2024-09-07 08:27:00:797,111007,111007,0,0,5790614,0,2986 8,3,2024-09-07 08:27:00:585,1,92,52,0,229,1225,92,0 9,0,2024-09-07 08:27:01:120,25429,0.4,24732,0.6,51733,0.4,67735,1.75 9,1,2024-09-07 08:27:00:559,161827,161827,0,0,75660751057,797398043,159373,1885,569,370,391738,0 9,2,2024-09-07 08:27:01:090,114507,114507,0,0,5282403,0,3360 9,3,2024-09-07 08:27:01:756,1,92,12,0,496,1634,92,0 10,0,2024-09-07 08:27:01:610,24136,0.6,24133,0.8,48288,0.6,64371,2.00 10,1,2024-09-07 08:27:00:583,161086,161086,0,0,76094111911,801971894,157748,2816,522,381,391741,0 10,2,2024-09-07 08:27:00:772,119276,119276,0,0,5480296,0,2940 10,3,2024-09-07 08:27:00:871,1,92,0,0,136,657,92,0 11,0,2024-09-07 08:27:01:013,18142,0.5,17811,0.9,37249,0.6,49960,2.25 11,1,2024-09-07 08:27:00:570,161444,161444,0,0,75767080450,796135855,158802,1827,815,384,391537,0 11,2,2024-09-07 08:27:01:123,118128,118128,0,0,5109072,0,2635 11,3,2024-09-07 08:27:01:308,1,92,1,0,720,2413,92,0 12,0,2024-09-07 08:27:01:045,24461,0.3,24339,0.4,48891,0.2,64746,1.50 12,1,2024-09-07 08:27:00:933,161062,161062,0,0,75555562592,790804557,159395,1564,103,371,391790,0 12,2,2024-09-07 08:27:01:551,114983,114983,0,0,4868615,0,2254 12,3,2024-09-07 08:27:01:069,1,92,1,0,358,1603,92,0 13,0,2024-09-07 08:27:01:355,24198,0.4,24274,0.6,48460,0.4,64464,1.75 13,1,2024-09-07 08:27:01:552,161678,161678,0,0,76045589654,799219643,160072,1239,367,384,391717,0 13,2,2024-09-07 08:27:00:595,115708,115708,0,0,4545550,0,3287 13,3,2024-09-07 08:27:01:770,1,92,31,1,416,1874,92,0 14,0,2024-09-07 08:27:00:573,25286,0.7,25448,0.8,50327,0.8,66819,2.00 14,1,2024-09-07 08:27:01:579,162578,162578,0,0,75582203356,782279178,161694,853,31,364,391546,0 14,2,2024-09-07 08:27:00:772,116272,116272,0,0,5095693,0,2793 14,3,2024-09-07 08:27:01:119,1,92,1,0,906,1368,92,0 15,0,2024-09-07 08:27:01:575,19707,0.8,19482,1.2,39122,0.9,52195,2.25 15,1,2024-09-07 08:27:01:615,161076,161076,0,0,75989951301,789851402,160252,789,35,381,391536,0 15,2,2024-09-07 08:27:00:999,119481,119481,0,0,4100720,0,3043 15,3,2024-09-07 08:27:01:405,1,92,2,0,538,2459,92,0 16,0,2024-09-07 08:27:00:986,21993,0.3,22208,0.6,43931,0.3,59165,2.00 16,1,2024-09-07 08:27:00:580,161377,161377,0,0,75983554487,794810042,160232,1117,28,372,391756,0 16,2,2024-09-07 08:27:01:444,116263,116263,0,0,5542567,0,4719 16,3,2024-09-07 08:27:01:147,1,92,1,0,231,1499,92,0 17,0,2024-09-07 08:27:01:867,24717,0.5,24234,0.7,47505,0.5,63846,1.75 17,1,2024-09-07 08:27:00:574,161342,161342,0,0,74975616439,790712640,159361,1456,525,369,391688,0 17,2,2024-09-07 08:27:01:675,114493,114493,0,0,4422422,0,2857 17,3,2024-09-07 08:27:00:574,1,92,10,0,268,1715,92,0 18,0,2024-09-07 08:27:00:952,24370,1.2,24774,1.1,49752,1.5,65804,2.50 18,1,2024-09-07 08:27:01:656,161462,161462,0,0,75848869679,788649243,160209,1035,218,368,391564,0 18,2,2024-09-07 08:27:01:766,114567,114567,0,0,5251184,0,3541 18,3,2024-09-07 08:27:00:896,1,92,0,0,163,1045,92,0 19,0,2024-09-07 08:27:01:554,22164,1.2,22410,1.2,44366,1.5,58941,3.75 19,1,2024-09-07 08:27:00:571,161441,161441,0,0,76030257143,793728904,159507,1768,166,367,391777,0 19,2,2024-09-07 08:27:01:753,120193,120193,0,0,4806338,0,3988 19,3,2024-09-07 08:27:01:131,1,92,1,0,524,1250,92,0 20,0,2024-09-07 08:27:01:368,19666,0.3,19648,0.5,39168,0.3,52218,2.00 20,1,2024-09-07 08:27:00:573,161639,161639,0,0,75684323311,793681032,159870,1605,164,370,391598,0 20,2,2024-09-07 08:27:00:936,117988,117988,0,0,4734693,0,2446 20,3,2024-09-07 08:27:00:596,1,92,8,0,414,1408,92,0 21,0,2024-09-07 08:27:01:161,24720,0.4,24625,0.5,49132,0.3,64780,1.75 21,1,2024-09-07 08:27:01:551,160897,160897,0,0,75381954599,795301608,158415,1979,503,368,391962,0 21,2,2024-09-07 08:27:01:068,112778,112778,0,0,4978717,0,3747 21,3,2024-09-07 08:27:01:406,1,92,12,0,93,1211,92,0 22,0,2024-09-07 08:27:01:734,25360,1.4,25341,1.2,50577,2.3,67732,2.75 22,1,2024-09-07 08:27:01:024,160900,160900,0,0,75179788640,795748676,157254,2638,1008,382,391667,0 22,2,2024-09-07 08:27:00:760,114314,114314,0,0,4635930,0,3134 22,3,2024-09-07 08:27:01:067,1,92,3,0,228,876,92,0 23,0,2024-09-07 08:27:01:385,23076,0.9,23199,1.0,46500,1.0,61926,2.50 23,1,2024-09-07 08:27:01:005,161739,161739,0,0,76582348296,797652344,159695,1473,571,365,391549,0 23,2,2024-09-07 08:27:01:093,120181,120181,0,0,4805922,0,2078 23,3,2024-09-07 08:27:01:757,1,92,54,0,645,1490,92,0 24,0,2024-09-07 08:27:00:870,19370,0.5,19358,0.7,38799,0.5,52103,1.75 24,1,2024-09-07 08:27:00:582,161522,161522,0,0,75254067177,783878338,160505,1009,8,369,391640,0 24,2,2024-09-07 08:27:01:072,117699,117699,0,0,5927860,0,2942 24,3,2024-09-07 08:27:01:686,1,92,5,0,234,1179,92,0 25,0,2024-09-07 08:27:01:475,24822,0.3,24179,0.4,47418,0.2,64385,1.50 25,1,2024-09-07 08:27:00:560,161244,161244,0,0,75156407041,789857303,159083,1845,316,371,391788,0 25,2,2024-09-07 08:27:01:609,112464,112464,0,0,5302309,0,3284 25,3,2024-09-07 08:27:01:000,1,92,15,0,158,853,92,0 26,0,2024-09-07 08:27:01:738,24601,0.4,23999,0.6,50243,0.4,66255,1.75 26,1,2024-09-07 08:27:01:550,161925,161925,0,0,75426051445,796299229,158377,2713,835,381,391564,0 26,2,2024-09-07 08:27:00:861,115199,115199,0,0,5256112,0,2809 26,3,2024-09-07 08:27:01:727,1,92,2,0,796,1314,92,0 27,0,2024-09-07 08:27:01:732,24652,0.8,24803,0.8,49127,1.0,65796,2.00 27,1,2024-09-07 08:27:01:676,162164,162164,0,0,76013435787,789194095,161004,865,295,381,391539,0 27,2,2024-09-07 08:27:00:868,117049,117049,0,0,6295654,0,3409 27,3,2024-09-07 08:27:01:015,1,92,1,0,564,1265,92,0 28,0,2024-09-07 08:27:01:419,19015,0.5,19269,0.7,38470,0.6,51561,2.00 28,1,2024-09-07 08:27:00:797,161860,161860,0,0,76219965874,793100791,160796,864,200,383,391646,0 28,2,2024-09-07 08:27:01:767,118313,118313,0,0,4367768,0,2609 28,3,2024-09-07 08:27:01:776,1,92,1,0,502,1287,92,0 29,0,2024-09-07 08:27:01:375,23895,0.3,23287,0.4,45814,0.2,62909,1.75 29,1,2024-09-07 08:27:01:565,162873,162873,0,0,75757919984,782183225,162081,620,172,369,391621,0 29,2,2024-09-07 08:27:00:864,116244,116244,0,0,3864334,0,2026 29,3,2024-09-07 08:27:00:963,1,92,8,0,105,725,92,0 30,0,2024-09-07 08:27:01:464,23825,1.0,23211,0.9,48544,1.2,63436,2.50 30,1,2024-09-07 08:27:00:571,161865,161865,0,0,76321839423,793153132,160886,914,65,382,391584,0 30,2,2024-09-07 08:27:01:275,115400,115400,0,0,3774504,0,2534 30,3,2024-09-07 08:27:00:586,1,92,0,0,195,678,92,0 31,0,2024-09-07 08:27:01:774,25046,1.1,25190,1.0,50693,1.2,67166,2.25 31,1,2024-09-07 08:27:00:569,162673,162673,0,0,76382508314,781566970,162422,249,2,356,391553,0 31,2,2024-09-07 08:27:01:277,115594,115594,0,0,5450918,0,3525 31,3,2024-09-07 08:27:01:709,1,92,0,0,129,593,92,0 32,0,2024-09-07 08:27:01:536,21258,1.0,21492,1.0,42909,1.2,56456,1.75 32,1,2024-09-07 08:27:00:804,162130,162130,0,0,76155497977,791276035,161119,982,29,382,391595,0 32,2,2024-09-07 08:27:00:935,119201,119201,0,0,4603757,0,3155 32,3,2024-09-07 08:27:01:015,1,92,2,0,110,777,92,0 33,0,2024-09-07 08:27:01:534,20814,0.2,20592,0.4,41747,0.1,55206,1.50 33,1,2024-09-07 08:27:00:576,162494,162494,0,0,75886821723,787938268,160832,1539,123,369,391730,0 33,2,2024-09-07 08:27:00:771,118037,118004,33,0,6066682,0,7012 33,3,2024-09-07 08:27:00:902,1,92,17,0,129,637,92,0 34,0,2024-09-07 08:27:00:940,24020,0.3,24811,0.5,47642,0.3,63471,1.75 34,1,2024-09-07 08:27:01:044,162596,162596,0,0,76885178405,791876768,161991,601,4,367,391517,0 34,2,2024-09-07 08:27:00:771,112537,112537,0,0,4990963,0,3255 34,3,2024-09-07 08:27:01:690,1,92,1,0,148,714,92,0 35,0,2024-09-07 08:27:00:864,25199,0.7,25480,0.7,50631,0.7,67546,1.75 35,1,2024-09-07 08:27:01:069,161833,161833,0,0,75968049574,789393212,160354,1117,362,384,391587,0 35,2,2024-09-07 08:27:01:589,114129,114129,0,0,4652614,0,2542 35,3,2024-09-07 08:27:00:913,1,92,76,0,219,1168,92,0 36,0,2024-09-07 08:27:01:539,23434,1.4,23330,1.2,47340,1.6,62575,2.50 36,1,2024-09-07 08:27:00:583,161280,161280,0,0,75249397287,789643528,158263,2086,931,366,391543,0 36,2,2024-09-07 08:27:01:753,119667,119667,0,0,5846712,0,3303 36,3,2024-09-07 08:27:00:865,1,92,1,0,378,1163,92,0 37,0,2024-09-07 08:27:01:388,18403,0.3,18257,0.5,36780,0.2,49039,1.75 37,1,2024-09-07 08:27:00:570,161327,161320,0,7,75088984108,793278464,158110,1671,1539,365,391518,0 37,2,2024-09-07 08:27:01:144,118343,118343,0,0,4430688,0,2333 37,3,2024-09-07 08:27:01:766,1,92,12,0,724,1974,92,0 38,0,2024-09-07 08:27:01:445,24628,0.4,23918,0.6,49637,0.3,65719,1.75 38,1,2024-09-07 08:27:01:613,162392,162392,0,0,75977697899,794278663,160218,1727,447,368,391821,0 38,2,2024-09-07 08:27:00:760,112878,112878,0,0,5092863,0,3245 38,3,2024-09-07 08:27:00:997,1,92,0,0,603,1456,92,0 39,0,2024-09-07 08:27:01:775,26056,0.9,25523,0.8,49749,1.1,67897,2.00 39,1,2024-09-07 08:27:00:719,161539,161539,0,0,75170413955,786557737,158904,1997,638,365,391524,0 39,2,2024-09-07 08:27:01:422,114613,114613,0,0,4684945,0,2381 39,3,2024-09-07 08:27:00:715,1,92,1,0,276,1295,92,0 40,0,2024-09-07 08:27:01:513,23674,1.5,24101,1.9,47658,3.2,63818,3.25 40,1,2024-09-07 08:27:00:576,161275,161275,0,0,75077865200,789409653,158017,2504,754,369,391591,0 40,2,2024-09-07 08:27:01:309,119290,119289,1,0,5875265,0,5137 40,3,2024-09-07 08:27:01:146,1,92,13,0,110,854,92,0 41,0,2024-09-07 08:27:01:035,18085,0.5,18490,2.6,35209,0.4,48807,2.25 41,1,2024-09-07 08:27:00:771,161581,161581,0,0,75285806274,788646037,159151,2117,313,370,391742,0 41,2,2024-09-07 08:27:00:771,117829,117829,0,0,5996832,0,3356 41,3,2024-09-07 08:27:01:689,1,92,8,0,168,917,92,0 42,0,2024-09-07 08:27:01:501,24166,0.4,24473,0.6,48570,0.3,64592,1.75 42,1,2024-09-07 08:27:01:439,160925,160925,0,0,75452264044,794841605,157918,2177,830,380,391675,0 42,2,2024-09-07 08:27:01:140,113691,113691,0,0,5186358,0,3568 42,3,2024-09-07 08:27:01:009,1,92,1,0,100,740,92,0 43,0,2024-09-07 08:27:00:926,24062,1.0,23556,1.0,49134,1.1,64453,2.00 43,1,2024-09-07 08:27:00:576,161168,161168,0,0,75450699154,788660341,158888,1528,752,366,391604,0 43,2,2024-09-07 08:27:01:739,115469,115469,0,0,5439851,0,3812 43,3,2024-09-07 08:27:01:752,1,92,1,0,325,1786,92,0 44,0,2024-09-07 08:27:00:870,25342,0.7,25202,0.9,50527,0.7,67362,2.00 44,1,2024-09-07 08:27:00:570,161917,161917,0,0,75595131331,780526666,160892,917,108,356,391569,0 44,2,2024-09-07 08:27:01:280,116591,116591,0,0,4040380,0,1865 44,3,2024-09-07 08:27:01:104,1,92,4,0,817,1379,92,0 45,0,2024-09-07 08:27:01:773,19284,1.1,18932,1.2,39622,1.0,52585,2.00 45,1,2024-09-07 08:27:01:009,162381,162381,0,0,76270904986,789963238,161912,468,1,382,391917,0 45,2,2024-09-07 08:27:01:277,119117,119117,0,0,4669064,0,2628 45,3,2024-09-07 08:27:00:935,1,92,8,0,226,892,92,0 46,0,2024-09-07 08:27:00:961,22255,0.3,22148,0.4,44566,0.2,59478,1.50 46,1,2024-09-07 08:27:00:575,162549,162549,0,0,76356941072,788282317,161695,816,38,367,391514,0 46,2,2024-09-07 08:27:00:593,117857,117857,0,0,4546068,0,2920 46,3,2024-09-07 08:27:01:132,1,92,1,0,315,1127,92,0 47,0,2024-09-07 08:27:01:111,24001,0.3,24264,0.5,48654,0.3,63615,1.75 47,1,2024-09-07 08:27:00:570,162451,162451,0,0,75355092945,776621679,161941,506,4,366,391605,0 47,2,2024-09-07 08:27:00:913,114612,114612,0,0,4484127,0,2558 47,3,2024-09-07 08:27:01:119,1,92,4,0,529,1195,92,0 48,0,2024-09-07 08:27:01:514,25348,0.3,25050,0.5,49970,0.3,66532,1.75 48,1,2024-09-07 08:27:01:022,161790,161790,0,0,75933144946,789284253,160587,1197,6,384,391637,0 48,2,2024-09-07 08:27:00:701,114633,114633,0,0,3904202,0,2083 48,3,2024-09-07 08:27:00:758,1,92,30,0,39,739,92,0 49,0,2024-09-07 08:27:01:724,23114,0.7,22495,0.8,44110,0.8,60419,1.75 49,1,2024-09-07 08:27:01:021,161287,161287,0,0,75764460796,792176074,159521,1055,711,382,391583,0 49,2,2024-09-07 08:27:01:818,119783,119783,0,0,5171953,0,3900 49,3,2024-09-07 08:27:01:421,1,92,21,0,274,906,92,0 50,0,2024-09-07 08:27:01:521,19783,0.2,19436,0.4,39232,0.1,52832,1.50 50,1,2024-09-07 08:27:01:010,162481,162481,0,0,76681101918,796176331,160809,1375,297,368,391530,0 50,2,2024-09-07 08:27:01:068,118119,118119,0,0,4403863,0,2253 50,3,2024-09-07 08:27:01:293,1,92,48,0,335,963,92,0 51,0,2024-09-07 08:27:01:744,25127,0.2,24602,0.4,48196,0.2,65045,1.50 51,1,2024-09-07 08:27:01:691,161871,161871,0,0,76674401922,796968081,160063,1024,784,367,391637,0 51,2,2024-09-07 08:27:01:324,112256,112256,0,0,3609046,0,2448 51,3,2024-09-07 08:27:01:028,1,92,1,0,162,619,92,0 52,0,2024-09-07 08:27:01:430,25635,0.7,25734,0.8,51426,0.8,68316,2.25 52,1,2024-09-07 08:27:00:575,161517,161517,0,0,75591428901,793170711,158986,2066,465,368,391722,0 52,2,2024-09-07 08:27:01:768,114043,114043,0,0,5094378,0,4779 52,3,2024-09-07 08:27:00:674,1,92,1,0,1782,2559,92,0 53,0,2024-09-07 08:27:01:739,22947,1.7,22231,1.3,46304,2.2,61165,2.75 53,1,2024-09-07 08:27:00:772,161131,161131,0,0,75829215547,795999200,158323,2233,575,370,391617,0 53,2,2024-09-07 08:27:01:313,120717,120717,0,0,4552128,0,1902 53,3,2024-09-07 08:27:00:700,1,92,12,0,133,721,92,0 54,0,2024-09-07 08:27:01:619,18497,4.4,18824,1.5,36818,0.9,50694,4.50 54,1,2024-09-07 08:27:00:590,161334,161334,0,0,76095155211,793539786,159143,1875,316,367,391520,0 54,2,2024-09-07 08:27:00:864,118515,118509,6,0,5278042,0,5382 54,3,2024-09-07 08:27:00:771,1,92,71,0,676,1971,92,0 55,0,2024-09-07 08:27:01:797,23543,0.3,24227,0.5,49017,0.2,63914,2.00 55,1,2024-09-07 08:27:00:764,160557,160557,0,0,75634560575,793712906,156979,2874,704,367,391731,0 55,2,2024-09-07 08:27:00:733,112741,112741,0,0,5103362,0,3275 55,3,2024-09-07 08:27:00:674,1,92,1,0,136,948,92,0 56,0,2024-09-07 08:27:01:588,25326,1.8,23956,1.3,49239,2.2,66194,2.50 56,1,2024-09-07 08:27:00:571,160975,160975,0,0,76475488940,810685830,157510,2716,749,382,391678,0 56,2,2024-09-07 08:27:01:317,115235,115235,0,0,5652966,0,3567 56,3,2024-09-07 08:27:01:059,1,92,1,0,297,1064,92,0 57,0,2024-09-07 08:27:00:960,24083,2.8,23874,1.7,48024,4.6,64511,4.25 57,1,2024-09-07 08:27:00:986,161092,161092,0,0,75363337479,787473535,159608,1464,20,368,391760,0 57,2,2024-09-07 08:27:01:316,118368,118368,0,0,5662133,0,3178 57,3,2024-09-07 08:27:01:749,1,92,12,0,359,1752,92,0 58,0,2024-09-07 08:27:00:568,18320,0.6,17970,0.9,37602,0.4,49680,2.75 58,1,2024-09-07 08:27:00:583,162417,162414,0,3,75964305342,790071870,160781,1473,160,369,391516,3 58,2,2024-09-07 08:27:01:092,118030,118030,0,0,5246985,0,2549 58,3,2024-09-07 08:27:01:071,1,92,1,0,219,943,92,0 59,0,2024-09-07 08:27:01:756,23558,0.4,23345,0.7,46973,0.4,62476,2.00 59,1,2024-09-07 08:27:00:804,161211,161211,0,0,76180212509,798045661,158958,1485,768,369,391515,0 59,2,2024-09-07 08:27:00:583,116213,116213,0,0,4676674,0,2604 59,3,2024-09-07 08:27:01:750,1,92,0,0,1015,2146,92,0 60,0,2024-09-07 08:27:01:751,24115,0.5,24304,0.6,47911,0.5,63618,1.75 60,1,2024-09-07 08:27:00:773,162989,162989,0,0,76295156600,792473149,161898,742,349,370,391758,0 60,2,2024-09-07 08:27:01:142,114400,114400,0,0,4272058,0,2142 60,3,2024-09-07 08:27:01:258,1,92,1,0,124,984,92,0 61,0,2024-09-07 08:27:01:521,25122,2.2,25253,1.4,50415,3.6,67315,2.25 61,1,2024-09-07 08:27:00:773,161253,161253,0,0,75747497093,796486003,158690,2027,536,382,391589,0 61,2,2024-09-07 08:27:01:117,115686,115686,0,0,4506927,0,1846 61,3,2024-09-07 08:27:01:698,1,92,10,0,199,1243,92,0 62,0,2024-09-07 08:27:01:722,21363,0.9,21843,1.0,41849,1.3,56474,2.00 62,1,2024-09-07 08:27:01:111,162237,162231,0,6,76601120722,791880607,160976,1217,38,365,391586,6 62,2,2024-09-07 08:27:01:653,118762,118762,0,0,5049751,0,2931 62,3,2024-09-07 08:27:01:146,1,92,1,0,287,697,92,0 63,0,2024-09-07 08:27:01:467,20700,0.3,20620,0.5,41483,0.2,55177,1.75 63,1,2024-09-07 08:27:00:805,162748,162743,0,5,76100460301,786330321,162334,406,3,381,391540,5 63,2,2024-09-07 08:27:00:762,117530,117530,0,0,4532441,0,2674 63,3,2024-09-07 08:27:01:737,1,92,1,0,667,1936,92,0 64,0,2024-09-07 08:27:01:520,23914,0.4,23699,0.6,47705,0.4,63395,1.75 64,1,2024-09-07 08:27:00:760,161771,161771,0,0,75918121203,797013470,159317,1659,795,371,391783,0 64,2,2024-09-07 08:27:01:142,113573,113554,19,0,5001373,0,6121 64,3,2024-09-07 08:27:01:140,1,92,2,0,265,1091,92,0 65,0,2024-09-07 08:27:01:762,24714,1.8,25038,1.3,50130,2.2,66720,3.00 65,1,2024-09-07 08:27:00:882,161266,161266,0,0,75982205711,796418831,159446,1600,220,382,391770,0 65,2,2024-09-07 08:27:01:707,115124,115124,0,0,5262662,0,3367 65,3,2024-09-07 08:27:01:691,1,92,11,0,163,982,92,0 66,0,2024-09-07 08:27:01:776,22968,0.6,23136,0.9,46485,0.6,61997,2.25 66,1,2024-09-07 08:27:01:299,162464,162464,0,0,75812156822,786338003,161769,673,22,380,391588,0 66,2,2024-09-07 08:27:01:137,121336,121336,0,0,4869943,0,3867 66,3,2024-09-07 08:27:01:085,1,92,1,0,291,995,92,0 67,0,2024-09-07 08:27:01:436,18634,0.4,18570,0.6,36875,0.2,49046,2.00 67,1,2024-09-07 08:27:00:773,161353,161352,0,1,75202968019,788324004,159307,1520,525,382,391787,1 67,2,2024-09-07 08:27:00:583,118969,118969,0,0,4420256,0,2889 67,3,2024-09-07 08:27:01:752,1,92,106,0,138,825,92,0 68,0,2024-09-07 08:27:00:608,24874,0.5,24773,0.7,49432,0.4,66179,2.00 68,1,2024-09-07 08:27:00:599,160873,160873,0,0,76007212217,796947649,159325,1026,522,381,391953,0 68,2,2024-09-07 08:27:01:062,112398,112398,0,0,4507808,0,4883 68,3,2024-09-07 08:27:00:729,1,92,1,0,151,998,92,0 69,0,2024-09-07 08:27:01:748,25369,1.3,25523,1.1,50667,1.7,67795,2.50 69,1,2024-09-07 08:27:01:029,161132,161132,0,0,75883427783,802654328,159051,1214,867,384,391611,0 69,2,2024-09-07 08:27:01:733,114681,114681,0,0,5318167,0,3153 69,3,2024-09-07 08:27:00:771,1,92,40,0,238,1271,92,0 70,0,2024-09-07 08:27:01:558,23737,2.9,23825,2.1,47953,1.7,63543,4.25 70,1,2024-09-07 08:27:00:801,162135,162135,0,0,76389818030,790618357,161215,785,135,368,391527,0 70,2,2024-09-07 08:27:01:330,118892,118892,0,0,5497945,0,4044 70,3,2024-09-07 08:27:00:761,1,92,8,0,178,550,92,0 71,0,2024-09-07 08:27:01:371,18070,0.8,18127,1.9,35918,0.9,48986,3.50 71,1,2024-09-07 08:27:01:597,161881,161881,0,0,75831463134,791949822,159676,1889,316,368,391682,0 71,2,2024-09-07 08:27:01:067,118755,118755,0,0,4856758,0,2146 71,3,2024-09-07 08:27:01:751,1,92,1,0,219,1113,92,0 72,0,2024-09-07 08:27:01:030,25060,0.3,24416,0.5,47857,0.2,65048,2.00 72,1,2024-09-07 08:27:01:033,161774,161774,0,0,75763026690,788267729,160220,1476,78,370,391556,0 72,2,2024-09-07 08:27:01:761,113234,113234,0,0,5856592,0,2570 72,3,2024-09-07 08:27:01:758,1,92,4,0,325,1427,92,0 73,0,2024-09-07 08:27:01:128,23651,0.4,24248,0.6,49454,0.4,64133,2.25 73,1,2024-09-07 08:27:00:771,161722,161722,0,0,75824168103,787500106,160747,930,45,368,391627,0 73,2,2024-09-07 08:27:01:739,115987,115987,0,0,5531152,0,3482 73,3,2024-09-07 08:27:00:972,1,92,8,0,274,1437,92,0 74,0,2024-09-07 08:27:01:333,25341,1.2,25743,1.1,49369,1.7,66853,3.00 74,1,2024-09-07 08:27:00:635,161518,161518,0,0,75322278961,786968753,159616,1330,572,382,391511,0 74,2,2024-09-07 08:27:01:002,116495,116495,0,0,5843608,0,4253 74,3,2024-09-07 08:27:01:449,1,92,1,0,246,1516,92,0 75,0,2024-09-07 08:27:01:771,19714,1.7,19738,1.4,39219,2.1,52665,3.00 75,1,2024-09-07 08:27:01:590,161340,161340,0,0,75817186555,792679905,159607,1629,104,381,391579,0 75,2,2024-09-07 08:27:01:349,118451,118451,0,0,5523449,0,4766 75,3,2024-09-07 08:27:01:071,1,92,535,0,535,1232,92,0 76,0,2024-09-07 08:27:00:600,22247,0.3,21952,0.5,43881,0.2,59063,1.75 76,1,2024-09-07 08:27:00:805,161521,161521,0,0,75606285585,792368017,159706,1308,507,382,391530,0 76,2,2024-09-07 08:27:01:061,117844,117844,0,0,4575859,0,3064 76,3,2024-09-07 08:27:01:146,1,92,2,0,175,1082,92,0 77,0,2024-09-07 08:27:01:744,24057,0.6,24229,0.8,48487,0.6,63560,1.75 77,1,2024-09-07 08:27:00:825,161751,161751,0,0,76116491125,792703013,160708,974,69,383,391555,0 77,2,2024-09-07 08:27:01:288,114389,114389,0,0,3942804,0,1695 77,3,2024-09-07 08:27:01:095,1,92,11,0,139,909,92,0 78,0,2024-09-07 08:27:01:722,25085,0.8,24990,0.9,50011,0.9,66232,2.25 78,1,2024-09-07 08:27:00:620,162329,162329,0,0,75726513346,787442684,160904,1220,205,367,391589,0 78,2,2024-09-07 08:27:01:502,115152,115152,0,0,4130044,0,2114 78,3,2024-09-07 08:27:01:132,1,92,4,0,181,1322,92,0 79,0,2024-09-07 08:27:01:365,21692,0.6,22214,0.9,45493,0.6,59244,2.50 79,1,2024-09-07 08:27:00:571,162323,162323,0,0,76051970437,788957768,160982,1272,69,370,391682,0 79,2,2024-09-07 08:27:01:068,120167,120167,0,0,4651075,0,2679 79,3,2024-09-07 08:27:00:752,1,92,0,0,289,983,92,0 80,0,2024-09-07 08:27:01:097,19492,0.4,20120,0.6,38663,0.3,52317,2.00 80,1,2024-09-07 08:27:01:645,161621,161621,0,0,75528364914,786735959,159978,1527,116,369,391673,0 80,2,2024-09-07 08:27:01:098,118634,118634,0,0,4829509,0,4433 80,3,2024-09-07 08:27:00:575,1,92,4,1,190,1459,92,0 81,0,2024-09-07 08:27:01:634,24586,0.5,25336,0.6,48445,0.5,65049,1.75 81,1,2024-09-07 08:27:01:656,161604,161604,0,0,75069807438,787355976,159701,1705,198,383,391680,0 81,2,2024-09-07 08:27:01:125,111841,111841,0,0,5001649,0,3993 81,3,2024-09-07 08:27:01:119,1,92,8,0,193,929,92,0 82,0,2024-09-07 08:27:01:541,25429,0.8,25450,0.9,51143,0.8,68273,2.25 82,1,2024-09-07 08:27:00:583,161429,161425,0,4,75519459238,790001108,159324,1528,573,382,391558,4 82,2,2024-09-07 08:27:01:691,115416,115416,0,0,4303476,0,2230 82,3,2024-09-07 08:27:01:756,1,92,108,0,227,1531,92,0 83,0,2024-09-07 08:27:01:540,23159,1.4,23024,1.2,45676,1.7,61129,2.75 83,1,2024-09-07 08:27:00:558,161518,161518,0,0,75840181275,792428858,160044,1373,101,383,391553,0 83,2,2024-09-07 08:27:00:764,119359,119359,0,0,5187111,0,3119 83,3,2024-09-07 08:27:00:752,1,92,1,0,91,709,92,0 84,0,2024-09-07 08:27:01:810,19006,1.4,18718,1.7,37547,0.7,51426,2.75 84,1,2024-09-07 08:27:01:052,161265,161265,0,0,75715771496,792745768,159630,1386,249,369,391638,0 84,2,2024-09-07 08:27:00:580,118369,118369,0,0,5161687,0,3801 84,3,2024-09-07 08:27:01:141,1,92,1,1,58,887,92,0 85,0,2024-09-07 08:27:01:049,23307,0.3,23313,0.5,49502,0.2,63968,1.75 85,1,2024-09-07 08:27:00:578,160906,160906,0,0,75603530973,798646384,158391,2086,429,383,391679,0 85,2,2024-09-07 08:27:00:901,112727,112727,0,0,5297972,0,3656 85,3,2024-09-07 08:27:00:722,1,92,16,0,115,940,92,0 86,0,2024-09-07 08:27:00:946,24583,0.7,25465,0.7,48956,0.9,66265,2.00 86,1,2024-09-07 08:27:00:850,161232,161232,0,0,76286789019,797095657,159371,1644,217,367,391622,0 86,2,2024-09-07 08:27:00:878,114562,114561,1,0,5714202,0,5004 86,3,2024-09-07 08:27:00:590,1,92,3,0,199,1113,92,0 87,0,2024-09-07 08:27:01:306,24553,2.6,24357,1.5,48938,3.9,65677,3.00 87,1,2024-09-07 08:27:00:580,161481,161481,0,0,75696072343,793431964,159570,1784,127,366,391788,0 87,2,2024-09-07 08:27:01:067,117838,117838,0,0,4633867,0,2859 87,3,2024-09-07 08:27:01:805,1,92,4,1,335,1653,92,0 88,0,2024-09-07 08:27:01:548,19049,0.6,19394,0.8,38286,0.6,51535,1.75 88,1,2024-09-07 08:27:00:582,161410,161410,0,0,76256937889,795674183,160192,1121,97,367,391787,0 88,2,2024-09-07 08:27:00:711,118758,118758,0,0,5887146,0,3583 88,3,2024-09-07 08:27:01:276,1,92,5,1,77,1079,92,0 89,0,2024-09-07 08:27:01:789,24143,0.3,23362,0.5,46458,0.2,63125,1.50 89,1,2024-09-07 08:27:00:565,160783,160783,0,0,76156446694,808192085,157429,2617,737,382,391866,0 89,2,2024-09-07 08:27:01:141,115665,115665,0,0,5256883,0,2726 89,3,2024-09-07 08:27:01:794,1,92,23,0,325,2019,92,0 90,0,2024-09-07 08:27:01:656,23263,0.4,23767,0.6,48868,0.4,63564,1.75 90,1,2024-09-07 08:27:00:594,161770,161770,0,0,75955651473,798897146,159697,1929,144,382,391825,0 90,2,2024-09-07 08:27:01:406,113496,113496,0,0,6036090,0,2635 90,3,2024-09-07 08:27:00:930,1,92,1,1,200,1036,92,0 91,0,2024-09-07 08:27:00:945,25652,0.6,24845,0.7,51541,0.7,67755,1.75 91,1,2024-09-07 08:27:00:560,161492,161492,0,0,75789654980,798019843,159084,2042,366,383,391914,0 91,2,2024-09-07 08:27:01:335,114803,114803,0,0,5181751,0,1997 91,3,2024-09-07 08:27:00:598,1,92,73,0,155,1270,92,0 92,0,2024-09-07 08:27:01:584,21584,0.7,22046,0.9,42060,0.9,56667,1.75 92,1,2024-09-07 08:27:00:584,161937,161937,0,0,76164532117,793033781,161268,600,69,382,391717,0 92,2,2024-09-07 08:27:01:367,120710,120710,0,0,4922879,0,2279 92,3,2024-09-07 08:27:01:009,1,92,1,0,68,926,92,0 93,0,2024-09-07 08:27:00:993,20871,0.3,21245,0.5,40517,0.2,55279,1.50 93,1,2024-09-07 08:27:00:806,161908,161908,0,0,76023182893,794874676,160129,1342,437,367,391689,0 93,2,2024-09-07 08:27:00:939,118063,118063,0,0,4489728,0,2509 93,3,2024-09-07 08:27:01:416,1,92,24,0,143,901,92,0 94,0,2024-09-07 08:27:01:607,24106,0.3,24303,0.5,48045,0.3,63371,1.50 94,1,2024-09-07 08:27:00:628,161256,161256,0,0,75347231038,790153328,159660,1530,66,381,391850,0 94,2,2024-09-07 08:27:00:762,112716,112716,0,0,4384159,0,2443 94,3,2024-09-07 08:27:01:696,1,92,1,1,231,1468,92,0 95,0,2024-09-07 08:27:01:383,25436,0.4,25291,0.6,51037,0.4,67594,1.75 95,1,2024-09-07 08:27:00:852,162052,162052,0,0,75443082345,783471896,161238,750,64,367,391590,0 95,2,2024-09-07 08:27:01:023,114901,114901,0,0,4763673,0,3308 95,3,2024-09-07 08:27:01:709,1,92,239,0,307,1633,92,0 96,0,2024-09-07 08:27:01:081,23556,0.7,23503,0.8,46967,0.7,62439,1.75 96,1,2024-09-07 08:27:01:587,161823,161823,0,0,75589540520,793575432,159969,1283,571,385,391596,0 96,2,2024-09-07 08:27:01:274,120521,120521,0,0,5343362,0,4038 96,3,2024-09-07 08:27:01:143,1,92,1,0,69,841,92,0 97,0,2024-09-07 08:27:01:402,18331,0.3,18433,0.5,37000,0.2,49040,1.75 97,1,2024-09-07 08:27:00:783,161745,161745,0,0,76259140038,796417219,159544,1682,519,367,392140,0 97,2,2024-09-07 08:27:00:616,117880,117880,0,0,4615349,0,3036 97,3,2024-09-07 08:27:00:570,1,92,15,1,165,1145,92,0 98,0,2024-09-07 08:27:01:704,24856,0.2,24617,0.4,49928,0.2,66803,1.50 98,1,2024-09-07 08:27:00:572,161481,161481,0,0,75616425330,789769074,160270,1154,57,382,391997,0 98,2,2024-09-07 08:27:00:772,113500,113500,0,0,4682424,0,3080 98,3,2024-09-07 08:27:00:707,1,92,840,1,840,1906,92,0 99,0,2024-09-07 08:27:01:531,25461,0.3,25418,0.5,50834,0.3,67943,1.75 99,1,2024-09-07 08:27:01:723,162183,162183,0,0,75619074819,789638008,160680,1057,446,381,391744,0 99,2,2024-09-07 08:27:01:424,115898,115898,0,0,4943353,0,1858 99,3,2024-09-07 08:27:00:594,1,92,3,0,129,777,92,0 100,0,2024-09-07 08:27:01:669,24108,1.6,23950,2.1,47802,4.5,64336,2.50 100,1,2024-09-07 08:27:00:549,160639,160639,0,0,75113138158,797725447,157587,2650,402,381,391989,0 100,2,2024-09-07 08:27:01:837,118821,118810,11,0,6117556,0,5417 100,3,2024-09-07 08:27:01:747,1,92,1,0,443,2034,92,0 101,0,2024-09-07 08:27:01:735,18657,1.9,18258,1.2,35960,0.5,50021,2.50 101,1,2024-09-07 08:27:00:567,160245,160245,0,0,75756556666,795836014,158319,1305,621,369,391709,0 101,2,2024-09-07 08:27:01:759,118027,118027,0,0,5300683,0,4644 101,3,2024-09-07 08:27:00:946,1,92,16,0,448,1173,92,0 102,0,2024-09-07 08:27:00:966,23693,0.3,24550,0.5,49366,0.2,64651,1.75 102,1,2024-09-07 08:27:01:142,161202,161202,0,0,75225725747,793171795,158710,2023,469,369,391831,0 102,2,2024-09-07 08:27:01:744,114673,114673,0,0,4319329,0,1945 102,3,2024-09-07 08:27:01:614,1,92,49,0,410,1021,92,0 103,0,2024-09-07 08:27:01:597,24869,0.5,24737,0.7,47041,0.5,64568,1.75 103,1,2024-09-07 08:27:01:627,161260,161260,0,0,76258790488,799574402,159680,1382,198,381,391680,0 103,2,2024-09-07 08:27:00:582,114963,114963,0,0,4957974,0,2104 103,3,2024-09-07 08:27:00:772,1,92,0,0,486,1342,92,0 104,0,2024-09-07 08:27:01:022,25186,1.5,25104,1.3,49297,1.9,67168,2.50 104,1,2024-09-07 08:27:01:610,161874,161874,0,0,75284643379,790873492,159912,1636,326,366,391948,0 104,2,2024-09-07 08:27:01:683,115907,115907,0,0,5462589,0,3941 104,3,2024-09-07 08:27:01:424,1,92,1,1,1245,3981,92,0 105,0,2024-09-07 08:27:01:044,19441,0.9,18835,1.4,39142,1.2,52349,3.00 105,1,2024-09-07 08:27:00:568,161365,161365,0,0,76159049827,801668912,158407,2211,747,367,391797,0 105,2,2024-09-07 08:27:01:351,118286,118286,0,0,5238191,0,3314 105,3,2024-09-07 08:27:01:327,1,92,8,1,399,1733,92,0 106,0,2024-09-07 08:27:00:962,21486,0.3,22057,0.5,44703,0.2,59099,1.75 106,1,2024-09-07 08:27:01:757,161609,161609,0,0,75560181046,793509106,159154,2127,328,369,391767,0 106,2,2024-09-07 08:27:00:759,116368,116368,0,0,5163284,0,2795 106,3,2024-09-07 08:27:00:680,1,92,5,1,201,972,92,0 107,0,2024-09-07 08:27:01:113,24236,0.5,24104,0.6,47914,0.4,63816,1.75 107,1,2024-09-07 08:27:00:585,161146,161146,0,0,75953156986,799920797,159197,1759,190,381,392234,0 107,2,2024-09-07 08:27:01:298,113389,113388,1,0,4961890,0,5024 107,3,2024-09-07 08:27:01:759,1,92,2,0,353,1441,92,0 108,0,2024-09-07 08:27:01:809,25215,0.5,25427,0.7,50128,0.5,66539,1.75 108,1,2024-09-07 08:27:01:294,161623,161623,0,0,75623693426,790763683,160140,1347,136,371,391857,0 108,2,2024-09-07 08:27:01:772,114346,114346,0,0,4922171,0,2647 108,3,2024-09-07 08:27:01:347,1,92,16,0,73,1138,92,0 109,0,2024-09-07 08:27:01:810,22557,0.7,22717,0.8,44969,0.8,60444,1.75 109,1,2024-09-07 08:27:00:586,161305,161305,0,0,76431665599,803776734,159627,1293,385,383,391812,0 109,2,2024-09-07 08:27:00:923,118866,118866,0,0,5370216,0,3617 109,3,2024-09-07 08:27:01:140,1,92,14,1,249,1472,92,0 110,0,2024-09-07 08:27:01:754,19791,0.2,19127,0.4,39839,0.2,52456,1.50 110,1,2024-09-07 08:27:01:653,162016,162016,0,0,76103668062,791086232,160686,1041,289,370,391667,0 110,2,2024-09-07 08:27:01:304,118549,118549,0,0,4512866,0,2915 110,3,2024-09-07 08:27:00:696,1,92,1,0,183,868,92,0 111,0,2024-09-07 08:27:01:420,24716,0.2,24306,0.4,48661,0.1,65050,1.50 111,1,2024-09-07 08:27:01:002,162388,162388,0,0,76389518340,790105126,161740,634,14,382,391690,0 111,2,2024-09-07 08:27:01:117,112645,112645,0,0,4628667,0,2763 111,3,2024-09-07 08:27:00:913,1,92,1,0,300,1650,92,0 112,0,2024-09-07 08:27:00:935,25799,0.4,25754,0.5,51251,0.3,68588,1.75 112,1,2024-09-07 08:27:00:824,161400,161400,0,0,75827133350,790654047,159822,1232,346,381,391580,0 112,2,2024-09-07 08:27:01:132,114695,114694,1,0,5304453,0,5036 112,3,2024-09-07 08:27:00:592,1,92,5,0,282,1027,92,0 113,0,2024-09-07 08:27:00:881,23139,0.5,22969,0.7,46762,0.5,62195,1.75 113,1,2024-09-07 08:27:01:685,161956,161956,0,0,76166403080,791009855,160061,1511,384,368,391661,0 113,2,2024-09-07 08:27:01:304,120855,120855,0,0,4329300,0,3021 113,3,2024-09-07 08:27:00:686,1,92,9,0,288,1504,92,0 114,0,2024-09-07 08:27:00:886,19262,2.5,19523,1.1,38164,0.6,52136,2.00 114,1,2024-09-07 08:27:00:718,161831,161831,0,0,76240879339,800169130,159203,1747,881,381,391531,0 114,2,2024-09-07 08:27:00:873,118219,118219,0,0,4817142,0,3925 114,3,2024-09-07 08:27:01:278,1,92,395,0,395,1458,92,0 115,0,2024-09-07 08:27:00:579,23859,0.2,24086,0.3,48598,0.1,64071,1.50 115,1,2024-09-07 08:27:00:571,161694,161694,0,0,75759432951,792217326,159308,1899,487,383,391528,0 115,2,2024-09-07 08:27:01:125,113366,113366,0,0,4082767,0,2152 115,3,2024-09-07 08:27:01:002,1,92,5,0,159,590,92,0 116,0,2024-09-07 08:27:01:930,24917,0.8,24488,0.9,49630,1.0,66239,2.00 116,1,2024-09-07 08:27:00:873,160921,160921,0,0,75787219306,801238373,158983,1066,872,382,391605,0 116,2,2024-09-07 08:27:01:777,114586,114586,0,0,5606428,0,3529 116,3,2024-09-07 08:27:00:925,1,92,4,1,252,1423,92,0 117,0,2024-09-07 08:27:00:979,24516,2.0,24537,1.3,48810,3.2,65755,2.25 117,1,2024-09-07 08:27:01:601,161737,161737,0,0,75493600403,794182131,159390,2053,294,370,392033,0 117,2,2024-09-07 08:27:01:120,118626,118626,0,0,4884808,0,3700 117,3,2024-09-07 08:27:01:059,1,92,9,0,490,1954,92,0 118,0,2024-09-07 08:27:01:821,18606,0.6,19000,0.8,39019,0.6,51286,2.00 118,1,2024-09-07 08:27:00:585,161721,161721,0,0,74751096859,782615499,160412,1229,80,368,391736,0 118,2,2024-09-07 08:27:01:603,118607,118607,0,0,5306613,0,2781 118,3,2024-09-07 08:27:01:768,1,92,2,1,235,1326,92,0 119,0,2024-09-07 08:27:01:363,23119,0.3,23102,0.5,47142,0.2,62110,1.75 119,1,2024-09-07 08:27:00:577,161940,161940,0,0,76194705989,796484987,160316,1474,150,370,391641,0 119,2,2024-09-07 08:27:01:288,115204,115204,0,0,4863212,0,2532 119,3,2024-09-07 08:27:01:327,1,92,10,0,443,2322,92,0 120,0,2024-09-07 08:27:01:637,23789,0.6,23921,0.8,47394,0.6,63491,2.00 120,1,2024-09-07 08:27:00:866,161735,161735,0,0,76099126207,797403841,160196,1522,17,368,391702,0 120,2,2024-09-07 08:27:00:781,114037,114036,1,0,5991742,0,5281 120,3,2024-09-07 08:27:01:293,1,92,1,0,241,1484,92,0 121,0,2024-09-07 08:27:01:729,25075,2.1,25376,1.4,50585,3.4,67655,2.50 121,1,2024-09-07 08:27:01:656,161432,161432,0,0,75125295729,786679930,159542,1619,271,367,391840,0 121,2,2024-09-07 08:27:01:125,114573,114573,0,0,6211786,0,4127 121,3,2024-09-07 08:27:00:733,1,92,10,0,113,956,92,0 122,0,2024-09-07 08:27:01:808,21244,2.0,20540,1.7,42846,2.4,56683,2.25 122,1,2024-09-07 08:27:00:863,160735,160735,0,0,76007369188,801438221,157722,2489,524,368,392130,0 122,2,2024-09-07 08:27:01:335,119529,119529,0,0,6275147,0,3364 122,3,2024-09-07 08:27:00:594,1,92,0,0,298,1872,92,0 123,0,2024-09-07 08:27:00:964,20630,0.3,20051,0.5,41929,0.2,55046,1.50 123,1,2024-09-07 08:27:00:565,162151,162151,0,0,76279879935,806561115,158679,2904,568,369,391823,0 123,2,2024-09-07 08:27:01:019,117131,117130,1,0,5216254,0,5215 123,3,2024-09-07 08:27:01:133,1,92,168,1,168,1435,92,0 124,0,2024-09-07 08:27:00:982,24736,0.3,24688,0.5,46609,0.2,63657,1.50 124,1,2024-09-07 08:27:01:034,161672,161672,0,0,75523494517,782822785,160859,668,145,367,392178,0 124,2,2024-09-07 08:27:01:038,112992,112992,0,0,4147529,0,2477 124,3,2024-09-07 08:27:00:763,1,92,5,1,490,1695,92,0 125,0,2024-09-07 08:27:01:441,25561,0.6,25488,0.6,50947,0.6,67564,1.75 125,1,2024-09-07 08:27:00:893,161454,161454,0,0,75578604503,790763666,159698,1483,273,384,391702,0 125,2,2024-09-07 08:27:01:119,114979,114979,0,0,4613237,0,2180 125,3,2024-09-07 08:27:01:131,1,92,8,1,93,1167,92,0 126,0,2024-09-07 08:27:01:446,23593,1.0,24118,0.9,46269,1.2,62947,2.00 126,1,2024-09-07 08:27:00:560,162253,162253,0,0,76347731082,791531001,161201,997,55,365,391987,0 126,2,2024-09-07 08:27:00:610,120344,120344,0,0,4988766,0,3186 126,3,2024-09-07 08:27:00:912,1,92,15,0,122,1236,92,0 127,0,2024-09-07 08:27:01:672,18439,0.2,18354,0.4,36850,0.2,49032,1.50 127,1,2024-09-07 08:27:00:574,161836,161836,0,0,75845307310,786258453,160693,1120,23,365,391614,0 127,2,2024-09-07 08:27:00:637,118732,118732,0,0,4189553,0,1803 127,3,2024-09-07 08:27:01:274,1,92,1,0,99,690,92,0 128,0,2024-09-07 08:27:01:564,25026,0.3,25025,0.4,49491,0.2,66876,1.50 128,1,2024-09-07 08:27:01:613,161863,161863,0,0,76331014361,791248875,161128,665,70,367,391680,0 128,2,2024-09-07 08:27:01:387,111972,111972,0,0,4902160,0,2107 128,3,2024-09-07 08:27:00:773,1,92,16,1,112,1023,92,0 129,0,2024-09-07 08:27:01:039,25585,0.4,25518,0.5,50800,0.4,67911,1.75 129,1,2024-09-07 08:27:00:612,161181,161181,0,0,75562400733,792815305,159298,1608,275,379,391835,0 129,2,2024-09-07 08:27:00:730,114476,114476,0,0,4337954,0,2446 129,3,2024-09-07 08:27:00:697,1,92,22,1,173,1330,92,0 130,0,2024-09-07 08:27:01:725,24354,1.3,24202,1.0,48441,1.9,64935,2.00 130,1,2024-09-07 08:27:00:587,161570,161570,0,0,75732922605,790588153,160246,1289,35,381,391825,0 130,2,2024-09-07 08:27:01:124,119346,119346,0,0,5214367,0,4067 130,3,2024-09-07 08:27:01:304,1,92,4,0,207,697,92,0 131,0,2024-09-07 08:27:01:986,18585,0.7,18509,0.8,37446,1.0,49884,1.75 131,1,2024-09-07 08:27:01:825,162548,162548,0,0,76145454183,793984257,161452,909,187,384,391865,0 131,2,2024-09-07 08:27:00:574,118823,118823,0,0,4377796,0,2415 131,3,2024-09-07 08:27:01:707,1,92,59,0,392,1228,92,0 132,0,2024-09-07 08:27:01:439,23990,0.3,24355,0.5,48749,0.2,64944,1.75 132,1,2024-09-07 08:27:00:583,161021,161021,0,0,75590422826,798676860,158137,2135,749,381,391719,0 132,2,2024-09-07 08:27:00:711,113992,113992,0,0,6414556,0,4606 132,3,2024-09-07 08:27:01:699,1,92,210,0,356,1430,92,0 133,0,2024-09-07 08:27:01:549,23621,0.5,24051,0.6,49512,0.5,63908,1.75 133,1,2024-09-07 08:27:00:595,160438,160438,0,0,75786257042,799754043,158173,2169,96,383,391755,0 133,2,2024-09-07 08:27:01:093,114974,114974,0,0,5701348,0,2444 133,3,2024-09-07 08:27:01:304,1,92,18,1,187,777,92,0 134,0,2024-09-07 08:27:00:946,25297,0.9,25166,1.0,50603,1.0,67037,2.00 134,1,2024-09-07 08:27:00:587,161510,161510,0,0,74814319896,787404702,158755,1774,981,366,391718,0 134,2,2024-09-07 08:27:01:762,116498,116498,0,0,4563924,0,2026 134,3,2024-09-07 08:27:00:749,1,92,37,0,739,2086,92,0 135,0,2024-09-07 08:27:01:116,19114,1.4,19165,1.4,40421,1.5,52097,2.25 135,1,2024-09-07 08:27:01:587,160626,160626,0,0,75664354751,794518953,158562,1735,329,380,391703,0 135,2,2024-09-07 08:27:00:692,119175,119175,0,0,5371750,0,3981 135,3,2024-09-07 08:27:01:002,1,92,7,0,89,617,92,0 136,0,2024-09-07 08:27:01:639,22203,0.3,22018,0.6,44372,0.2,59616,1.75 136,1,2024-09-07 08:27:01:507,161644,161644,0,0,75696576782,793426093,159737,1760,147,384,391621,0 136,2,2024-09-07 08:27:01:137,117746,117746,0,0,4706486,0,2379 136,3,2024-09-07 08:27:01:107,1,92,1,0,108,913,92,0 137,0,2024-09-07 08:27:01:013,24760,0.6,23996,0.8,47514,0.6,63979,2.00 137,1,2024-09-07 08:27:00:598,161488,161488,0,0,76311117818,798260097,159455,1919,114,367,391608,0 137,2,2024-09-07 08:27:01:708,113020,113020,0,0,5773729,0,2632 137,3,2024-09-07 08:27:00:792,1,92,38,1,227,1196,92,0 138,0,2024-09-07 08:27:01:782,24426,2.3,24627,1.5,50079,3.6,66413,2.75 138,1,2024-09-07 08:27:01:696,161347,161347,0,0,75808711904,794745731,159009,2073,265,369,391954,0 138,2,2024-09-07 08:27:00:599,115000,115000,0,0,4986191,0,3263 138,3,2024-09-07 08:27:00:611,1,92,8,0,1160,2069,92,0 139,0,2024-09-07 08:27:01:380,21886,4.1,21953,2.2,44438,5.3,59631,3.50 139,1,2024-09-07 08:27:00:582,160977,160977,0,0,75245975616,799187830,158080,2167,730,381,391892,0 139,2,2024-09-07 08:27:00:694,118960,118960,0,0,6247202,0,3097 139,3,2024-09-07 08:27:01:670,1,92,6,0,244,1448,92,0 140,0,2024-09-07 08:27:01:636,19661,0.2,19466,0.4,39236,0.1,52540,1.50 140,1,2024-09-07 08:27:01:547,162386,162386,0,0,76032635196,784975761,161456,733,197,365,391606,0 140,2,2024-09-07 08:27:00:689,119002,119002,0,0,4954897,0,3388 140,3,2024-09-07 08:27:00:771,1,92,1,0,25,604,92,0 141,0,2024-09-07 08:27:01:711,24301,0.2,25102,0.4,48327,0.1,65039,1.50 141,1,2024-09-07 08:27:00:865,161984,161984,0,0,76330462560,793485680,160561,1092,331,382,391538,0 141,2,2024-09-07 08:27:01:686,112562,112562,0,0,4393402,0,2342 141,3,2024-09-07 08:27:01:044,1,92,8,0,147,665,92,0 142,0,2024-09-07 08:27:01:319,25978,0.4,25670,0.5,51057,0.3,68603,1.75 142,1,2024-09-07 08:27:00:587,162236,162236,0,0,75991548941,790134522,161674,546,16,384,391649,0 142,2,2024-09-07 08:27:01:306,113773,113773,0,0,4844491,0,2293 142,3,2024-09-07 08:27:01:761,1,92,6,0,484,1672,92,0 143,0,2024-09-07 08:27:01:392,23174,1.0,23339,0.9,46903,1.3,62013,2.00 143,1,2024-09-07 08:27:00:592,161773,161773,0,0,75833902328,785554752,160791,953,29,367,391619,0 143,2,2024-09-07 08:27:00:773,120372,120372,0,0,5300735,0,2669 143,3,2024-09-07 08:27:01:141,1,92,18,0,236,1224,92,0 144,0,2024-09-07 08:27:01:529,18461,1.0,19044,4.0,38477,0.8,51595,2.25 144,1,2024-09-07 08:27:00:571,161391,161391,0,0,75448165491,792845679,159779,1418,194,381,391638,0 144,2,2024-09-07 08:27:01:764,118698,118698,0,0,4637632,0,3473 144,3,2024-09-07 08:27:01:738,1,92,15,1,169,1322,92,0 145,0,2024-09-07 08:27:01:441,23358,0.3,23364,0.5,49471,0.2,64049,1.75 145,1,2024-09-07 08:27:00:559,160608,160608,0,0,75224525295,791734891,158458,1856,294,383,391615,0 145,2,2024-09-07 08:27:01:440,113487,113487,0,0,5380254,0,3903 145,3,2024-09-07 08:27:00:895,1,92,10,0,151,1198,92,0 146,0,2024-09-07 08:27:01:610,24630,0.6,24578,0.8,49521,0.7,65828,2.25 146,1,2024-09-07 08:27:01:593,161761,161761,0,0,75785027464,794732287,159452,2005,304,368,391600,0 146,2,2024-09-07 08:27:01:701,114568,114568,0,0,5078499,0,2379 146,3,2024-09-07 08:27:01:283,1,92,0,0,1520,3193,92,0 147,0,2024-09-07 08:27:01:793,24353,2.5,24179,1.5,48057,3.8,65660,3.00 147,1,2024-09-07 08:27:01:401,161806,161806,0,0,76028157606,790599060,160081,1369,356,368,391791,0 147,2,2024-09-07 08:27:01:010,118381,118381,0,0,5078903,0,2789 147,3,2024-09-07 08:27:00:913,1,92,1,1,141,885,92,0 0,0,2024-09-07 08:27:11:761,23417,0.6,23324,0.7,49410,0.7,63933,1.75 0,1,2024-09-07 08:27:10:805,163391,163391,0,0,76868561898,807104873,162099,1164,128,372,391692,0 0,2,2024-09-07 08:27:11:067,115553,115553,0,0,5226030,0,4480 0,3,2024-09-07 08:27:10:976,1,93,26,0,247,1497,93,0 1,0,2024-09-07 08:27:11:838,25390,2.5,25187,1.7,50790,3.2,67687,2.75 1,1,2024-09-07 08:27:10:562,163111,163111,0,0,76206061027,800234024,161312,1270,529,372,391857,0 1,2,2024-09-07 08:27:10:669,116466,116466,0,0,4941080,0,3267 1,3,2024-09-07 08:27:11:305,1,93,8,0,167,864,93,0 2,0,2024-09-07 08:27:11:585,21105,1.0,21279,1.2,42215,1.3,56272,2.00 2,1,2024-09-07 08:27:10:860,163607,163607,0,0,77081412414,801996795,162518,885,204,381,391558,0 2,2,2024-09-07 08:27:11:273,119814,119814,0,0,5057398,0,3304 2,3,2024-09-07 08:27:10:695,1,93,1,0,214,888,93,0 3,0,2024-09-07 08:27:11:803,21069,0.3,21207,0.5,42340,0.2,56502,1.75 3,1,2024-09-07 08:27:11:626,162425,162425,0,0,76356572883,798311302,160273,1764,388,382,391516,0 3,2,2024-09-07 08:27:11:142,118849,118826,23,0,5489569,0,5851 3,3,2024-09-07 08:27:11:780,1,93,2,0,103,620,93,0 4,0,2024-09-07 08:27:11:894,23386,0.3,24105,0.4,48658,0.2,63763,1.50 4,1,2024-09-07 08:27:10:593,162867,162867,0,0,76185094161,805030364,160556,1794,517,371,391846,0 4,2,2024-09-07 08:27:11:023,113829,113829,0,0,6075910,0,4528 4,3,2024-09-07 08:27:11:027,1,93,18,1,287,1487,93,0 5,0,2024-09-07 08:27:11:401,25627,0.6,25472,0.7,51257,0.8,67704,1.75 5,1,2024-09-07 08:27:10:775,162952,162952,0,0,76184002978,802707458,160680,1828,444,368,392005,0 5,2,2024-09-07 08:27:11:831,115636,115636,0,0,5156201,0,2259 5,3,2024-09-07 08:27:11:790,1,93,12,0,238,1684,93,0 6,0,2024-09-07 08:27:10:928,23852,0.8,23530,1.1,46959,1.0,63172,2.25 6,1,2024-09-07 08:27:10:750,163736,163736,0,0,76441491613,796422966,162580,1086,70,381,391603,0 6,2,2024-09-07 08:27:11:118,121564,121564,0,0,5281590,0,2411 6,3,2024-09-07 08:27:11:274,1,93,33,0,340,1571,93,0 7,0,2024-09-07 08:27:11:547,18490,0.3,18580,0.4,37425,0.2,50041,1.75 7,1,2024-09-07 08:27:10:855,163620,163620,0,0,76679714250,801203877,162312,1243,65,383,391664,0 7,2,2024-09-07 08:27:10:782,119211,119211,0,0,4876480,0,2981 7,3,2024-09-07 08:27:10:853,1,93,6,0,305,1023,93,0 8,0,2024-09-07 08:27:11:343,25118,0.3,25422,0.5,49947,0.3,67080,1.50 8,1,2024-09-07 08:27:11:024,163012,163012,0,0,76385313179,809596488,159524,2235,1253,368,391724,0 8,2,2024-09-07 08:27:10:791,112425,112425,0,0,5955610,0,2986 8,3,2024-09-07 08:27:10:589,1,93,0,0,229,1225,93,0 9,0,2024-09-07 08:27:11:119,25717,0.4,25041,0.6,52368,0.4,68509,1.75 9,1,2024-09-07 08:27:10:551,163488,163488,0,0,76314512600,804969076,160916,2003,569,370,391738,0 9,2,2024-09-07 08:27:11:083,115640,115640,0,0,5392399,0,3360 9,3,2024-09-07 08:27:11:775,1,93,7,0,496,1641,93,0 10,0,2024-09-07 08:27:11:622,24326,0.6,24317,0.8,48696,0.6,64883,2.00 10,1,2024-09-07 08:27:10:593,162774,162774,0,0,76756507917,808993796,159436,2816,522,381,391741,0 10,2,2024-09-07 08:27:10:770,120833,120833,0,0,5631944,0,2940 10,3,2024-09-07 08:27:10:871,1,93,1,0,136,658,93,0 11,0,2024-09-07 08:27:11:015,18498,0.5,18143,0.9,37947,0.5,51104,2.25 11,1,2024-09-07 08:27:10:572,163061,163061,0,0,76522292533,804051452,160419,1827,815,384,391537,0 11,2,2024-09-07 08:27:11:124,119487,119487,0,0,5180460,0,2635 11,3,2024-09-07 08:27:11:305,1,93,2,0,720,2415,93,0 12,0,2024-09-07 08:27:11:006,24791,0.3,24681,0.4,49526,0.2,65499,1.50 12,1,2024-09-07 08:27:10:939,162854,162854,0,0,76401371751,799529880,161186,1565,103,371,391790,0 12,2,2024-09-07 08:27:11:549,115819,115819,0,0,4890046,0,2254 12,3,2024-09-07 08:27:11:063,1,93,2,0,358,1605,93,0 13,0,2024-09-07 08:27:11:356,24423,0.4,24524,0.6,48991,0.4,65374,1.75 13,1,2024-09-07 08:27:11:537,163383,163383,0,0,76744023080,806589805,161777,1239,367,384,391717,0 13,2,2024-09-07 08:27:10:605,117115,117115,0,0,4587061,0,3287 13,3,2024-09-07 08:27:11:777,1,93,24,1,416,1898,93,0 14,0,2024-09-07 08:27:10:608,25407,0.7,25587,0.8,50586,0.8,67291,2.00 14,1,2024-09-07 08:27:11:566,164356,164356,0,0,76616176297,792836751,163472,853,31,364,391546,0 14,2,2024-09-07 08:27:10:784,117748,117748,0,0,5130776,0,2793 14,3,2024-09-07 08:27:11:119,1,93,5,0,906,1373,93,0 15,0,2024-09-07 08:27:11:562,19928,0.8,19706,1.1,39620,0.9,53102,2.25 15,1,2024-09-07 08:27:11:611,162882,162882,0,0,76896629833,799166707,162058,789,35,381,391536,0 15,2,2024-09-07 08:27:11:002,120817,120817,0,0,4138407,0,3043 15,3,2024-09-07 08:27:11:422,1,93,1,0,538,2460,93,0 16,0,2024-09-07 08:27:10:934,22457,0.4,22689,0.6,44877,0.3,60407,2.00 16,1,2024-09-07 08:27:10:564,163131,163131,0,0,76633433303,801548480,161986,1117,28,372,391756,0 16,2,2024-09-07 08:27:11:452,117028,117028,0,0,5564332,0,4719 16,3,2024-09-07 08:27:11:149,1,93,3,0,231,1502,93,0 17,0,2024-09-07 08:27:11:791,24837,0.5,24352,0.7,47721,0.5,64183,1.75 17,1,2024-09-07 08:27:10:573,163046,163046,0,0,75881152230,800363061,161056,1464,526,369,391688,0 17,2,2024-09-07 08:27:11:672,116031,116031,0,0,4612677,0,2857 17,3,2024-09-07 08:27:10:575,1,93,16,0,268,1731,93,0 18,0,2024-09-07 08:27:10:998,24491,1.2,24894,1.1,49981,1.5,66140,2.50 18,1,2024-09-07 08:27:11:645,163314,163314,0,0,76478098096,795402479,162061,1035,218,368,391564,0 18,2,2024-09-07 08:27:11:777,116018,116018,0,0,5323588,0,3541 18,3,2024-09-07 08:27:10:900,1,93,1,0,163,1046,93,0 19,0,2024-09-07 08:27:11:568,22254,1.2,22519,1.2,44544,1.5,58941,3.75 19,1,2024-09-07 08:27:10:566,163187,163187,0,0,76887349540,802708669,161239,1782,166,367,391777,0 19,2,2024-09-07 08:27:11:786,121333,121333,0,0,4965483,0,3988 19,3,2024-09-07 08:27:11:139,1,93,8,0,524,1258,93,0 20,0,2024-09-07 08:27:11:362,20088,0.3,20050,0.5,39952,0.3,53128,2.00 20,1,2024-09-07 08:27:10:573,163354,163354,0,0,76356362152,800802123,161585,1605,164,370,391598,0 20,2,2024-09-07 08:27:10:933,119046,119046,0,0,4834929,0,2446 20,3,2024-09-07 08:27:10:595,1,93,240,0,414,1648,93,0 21,0,2024-09-07 08:27:11:177,24834,0.4,24728,0.5,49339,0.3,65020,1.75 21,1,2024-09-07 08:27:11:544,162685,162685,0,0,76126264247,803194379,160203,1979,503,368,391962,0 21,2,2024-09-07 08:27:11:070,114041,114041,0,0,5141299,0,3747 21,3,2024-09-07 08:27:11:423,1,93,9,0,93,1220,93,0 22,0,2024-09-07 08:27:11:725,25608,1.3,25582,1.2,51078,2.2,68290,2.50 22,1,2024-09-07 08:27:11:023,162351,162351,0,0,75934118847,804373975,158551,2716,1084,382,391667,0 22,2,2024-09-07 08:27:10:768,115693,115693,0,0,4744687,0,3134 22,3,2024-09-07 08:27:11:066,1,93,34,0,228,910,93,0 23,0,2024-09-07 08:27:11:383,23341,0.9,23453,0.9,47057,1.0,62809,2.50 23,1,2024-09-07 08:27:11:014,163523,163523,0,0,77410427927,806537413,161474,1478,571,365,391549,0 23,2,2024-09-07 08:27:11:093,121260,121260,0,0,4923512,0,2078 23,3,2024-09-07 08:27:11:776,1,93,1,0,645,1491,93,0 24,0,2024-09-07 08:27:10:822,19738,0.5,19707,0.7,39560,0.5,52825,1.75 24,1,2024-09-07 08:27:10:586,162693,162693,0,0,75998501781,791730618,161645,1040,8,369,391640,0 24,2,2024-09-07 08:27:11:082,119000,119000,0,0,5980027,0,2942 24,3,2024-09-07 08:27:11:690,1,93,1,0,234,1180,93,0 25,0,2024-09-07 08:27:11:370,25207,0.3,24594,0.4,48235,0.2,65870,1.50 25,1,2024-09-07 08:27:10:562,162809,162809,0,0,75953561456,798277230,160647,1846,316,371,391788,0 25,2,2024-09-07 08:27:11:619,113575,113575,0,0,5438195,0,3284 25,3,2024-09-07 08:27:11:014,1,93,19,0,158,872,93,0 26,0,2024-09-07 08:27:11:735,24931,0.4,24333,0.6,50880,0.4,67088,1.75 26,1,2024-09-07 08:27:11:549,163738,163738,0,0,76444129815,806949778,160190,2713,835,381,391564,0 26,2,2024-09-07 08:27:10:866,116533,116533,0,0,5357022,0,2809 26,3,2024-09-07 08:27:11:723,1,93,15,0,796,1329,93,0 27,0,2024-09-07 08:27:11:733,24842,0.8,24970,0.8,49455,0.9,66201,2.00 27,1,2024-09-07 08:27:11:680,163924,163924,0,0,76916101566,798822768,162761,868,295,381,391539,0 27,2,2024-09-07 08:27:10:877,118440,118440,0,0,6382522,0,3409 27,3,2024-09-07 08:27:11:022,1,93,2,0,564,1267,93,0 28,0,2024-09-07 08:27:11:433,19300,0.5,19571,0.7,39071,0.6,52170,2.00 28,1,2024-09-07 08:27:10:800,163565,163565,0,0,77096019705,802158434,162500,865,200,383,391646,0 28,2,2024-09-07 08:27:11:776,119922,119922,0,0,4406239,0,2609 28,3,2024-09-07 08:27:11:775,1,93,3,0,502,1290,93,0 29,0,2024-09-07 08:27:11:397,24320,0.3,23662,0.4,46623,0.2,63891,1.75 29,1,2024-09-07 08:27:11:562,164621,164621,0,0,76585002797,791193669,163770,679,172,369,391621,0 29,2,2024-09-07 08:27:10:861,116899,116899,0,0,3879077,0,2026 29,3,2024-09-07 08:27:10:980,1,93,14,0,105,739,93,0 30,0,2024-09-07 08:27:11:473,23967,0.9,23367,0.9,48840,1.2,63870,2.50 30,1,2024-09-07 08:27:10:573,163617,163617,0,0,77022584229,800537811,162637,915,65,382,391584,0 30,2,2024-09-07 08:27:11:284,116836,116836,0,0,3802068,0,2534 30,3,2024-09-07 08:27:10:581,1,93,86,0,195,764,93,0 31,0,2024-09-07 08:27:11:799,25152,1.1,25282,1.0,50921,1.2,67405,2.25 31,1,2024-09-07 08:27:10:564,164406,164406,0,0,77260258081,790453142,164155,249,2,356,391553,0 31,2,2024-09-07 08:27:11:287,117088,117088,0,0,5498650,0,3525 31,3,2024-09-07 08:27:11:710,1,93,10,0,129,603,93,0 32,0,2024-09-07 08:27:11:453,21327,1.0,21549,1.0,43043,1.2,56781,1.75 32,1,2024-09-07 08:27:10:805,163967,163967,0,0,76888086452,798923501,162956,982,29,382,391595,0 32,2,2024-09-07 08:27:10:935,120347,120347,0,0,4665008,0,3155 32,3,2024-09-07 08:27:11:022,1,93,12,0,110,789,93,0 33,0,2024-09-07 08:27:11:549,21227,0.2,20977,0.4,42595,0.1,56535,1.50 33,1,2024-09-07 08:27:10:577,164123,164123,0,0,76807905482,797710183,162420,1580,123,369,391730,0 33,2,2024-09-07 08:27:10:770,119055,119022,33,0,6092201,0,7012 33,3,2024-09-07 08:27:10:900,1,93,20,0,129,657,93,0 34,0,2024-09-07 08:27:10:939,24126,0.3,24904,0.5,47845,0.3,63760,1.75 34,1,2024-09-07 08:27:11:049,164329,164329,0,0,77632333870,799526932,163724,601,4,367,391517,0 34,2,2024-09-07 08:27:10:783,114226,114226,0,0,5050111,0,3255 34,3,2024-09-07 08:27:11:688,1,93,1,0,148,715,93,0 35,0,2024-09-07 08:27:10:872,25358,0.7,25632,0.7,50974,0.7,67817,1.75 35,1,2024-09-07 08:27:11:067,163699,163699,0,0,76823459837,798376745,162220,1117,362,384,391587,0 35,2,2024-09-07 08:27:11:585,115433,115433,0,0,4709828,0,2542 35,3,2024-09-07 08:27:10:906,1,93,6,0,219,1174,93,0 36,0,2024-09-07 08:27:11:521,23706,1.3,23581,1.2,47833,1.6,63098,2.50 36,1,2024-09-07 08:27:10:586,163089,163089,0,0,76110171872,798492781,160071,2087,931,366,391543,0 36,2,2024-09-07 08:27:11:787,120677,120677,0,0,5946073,0,3303 36,3,2024-09-07 08:27:10:864,1,93,0,0,378,1163,93,0 37,0,2024-09-07 08:27:11:383,18764,0.3,18620,0.5,37470,0.2,50188,1.75 37,1,2024-09-07 08:27:10:573,163008,163001,0,7,76045345262,803601804,159748,1714,1539,365,391518,0 37,2,2024-09-07 08:27:11:149,119549,119549,0,0,4501048,0,2333 37,3,2024-09-07 08:27:11:778,1,93,71,0,724,2045,93,0 38,0,2024-09-07 08:27:11:445,24941,0.4,24195,0.6,50755,0.4,66609,1.75 38,1,2024-09-07 08:27:11:610,164070,164070,0,0,76559847471,800954625,161835,1788,447,368,391821,0 38,2,2024-09-07 08:27:10:768,114073,114073,0,0,5256673,0,3245 38,3,2024-09-07 08:27:10:998,1,93,1,0,603,1457,93,0 39,0,2024-09-07 08:27:11:789,26369,0.9,25828,0.8,50359,1.1,68731,2.00 39,1,2024-09-07 08:27:10:723,163285,163285,0,0,76006738038,795868653,160562,2085,638,365,391524,0 39,2,2024-09-07 08:27:11:430,115777,115777,0,0,4842652,0,2381 39,3,2024-09-07 08:27:10:718,1,93,28,0,276,1323,93,0 40,0,2024-09-07 08:27:11:496,23831,1.5,24304,1.9,48040,3.2,64433,3.25 40,1,2024-09-07 08:27:10:576,162822,162822,0,0,75688509247,796331043,159498,2564,760,369,391591,0 40,2,2024-09-07 08:27:11:308,120765,120764,1,0,5937972,0,5137 40,3,2024-09-07 08:27:11:149,1,93,3,0,110,857,93,0 41,0,2024-09-07 08:27:11:048,18425,0.5,18829,2.5,35905,0.6,49686,2.50 41,1,2024-09-07 08:27:10:780,163366,163366,0,0,76210639005,798223493,160936,2117,313,370,391742,0 41,2,2024-09-07 08:27:10:770,119201,119201,0,0,6209241,0,3356 41,3,2024-09-07 08:27:11:677,1,93,18,0,168,935,93,0 42,0,2024-09-07 08:27:11:494,24450,0.4,24792,0.6,49235,0.3,65443,1.75 42,1,2024-09-07 08:27:11:440,162577,162577,0,0,76419061298,805073332,159569,2178,830,380,391675,0 42,2,2024-09-07 08:27:11:134,114508,114508,0,0,5265335,0,3568 42,3,2024-09-07 08:27:11:013,1,93,0,0,100,740,93,0 43,0,2024-09-07 08:27:10:940,24331,1.0,23814,1.0,49646,1.1,65397,2.00 43,1,2024-09-07 08:27:10:577,162889,162889,0,0,76365207014,798502746,160550,1587,752,366,391604,0 43,2,2024-09-07 08:27:11:790,116787,116787,0,0,5506598,0,3812 43,3,2024-09-07 08:27:11:785,1,93,1,0,325,1787,93,0 44,0,2024-09-07 08:27:10:867,25481,0.7,25333,0.9,50788,0.7,67833,2.00 44,1,2024-09-07 08:27:10:563,163673,163673,0,0,76522230139,790076744,162648,917,108,356,391569,0 44,2,2024-09-07 08:27:11:277,118094,118094,0,0,4078362,0,1865 44,3,2024-09-07 08:27:11:100,1,93,20,0,817,1399,93,0 45,0,2024-09-07 08:27:11:813,19514,1.0,19161,1.2,40125,0.8,53504,2.00 45,1,2024-09-07 08:27:11:010,163910,163910,0,0,76934269197,796960360,163440,469,1,382,391917,0 45,2,2024-09-07 08:27:11:283,120371,120371,0,0,4701908,0,2628 45,3,2024-09-07 08:27:10:934,1,93,9,0,226,901,93,0 46,0,2024-09-07 08:27:10:974,22713,0.3,22645,0.4,45538,0.2,60644,1.50 46,1,2024-09-07 08:27:10:576,164259,164259,0,0,76949067415,794381461,163405,816,38,367,391514,0 46,2,2024-09-07 08:27:10:593,118652,118652,0,0,4567258,0,2920 46,3,2024-09-07 08:27:11:133,1,93,1,0,315,1128,93,0 47,0,2024-09-07 08:27:11:114,24117,0.3,24364,0.5,48878,0.3,63935,1.75 47,1,2024-09-07 08:27:10:570,164060,164060,0,0,76009998101,783331163,163550,506,4,366,391605,0 47,2,2024-09-07 08:27:10:908,116147,116147,0,0,4519464,0,2558 47,3,2024-09-07 08:27:11:119,1,93,1,0,529,1196,93,0 48,0,2024-09-07 08:27:11:511,25450,0.3,25167,0.5,50200,0.3,66869,1.75 48,1,2024-09-07 08:27:11:022,163570,163570,0,0,76753927067,797737146,162367,1197,6,384,391637,0 48,2,2024-09-07 08:27:10:699,116079,116079,0,0,3975734,0,2083 48,3,2024-09-07 08:27:10:759,1,93,1,0,39,740,93,0 49,0,2024-09-07 08:27:11:723,23212,0.7,22591,0.8,44311,0.8,60419,1.75 49,1,2024-09-07 08:27:11:021,163087,163087,0,0,76388434715,798927723,161321,1055,711,382,391583,0 49,2,2024-09-07 08:27:11:797,120970,120970,0,0,5202019,0,3900 49,3,2024-09-07 08:27:11:417,1,93,8,0,274,914,93,0 50,0,2024-09-07 08:27:11:519,20167,0.2,19832,0.4,39969,0.1,53739,1.50 50,1,2024-09-07 08:27:11:014,164200,164200,0,0,77403142090,804248319,162420,1483,297,368,391530,0 50,2,2024-09-07 08:27:11:067,119251,119251,0,0,4466930,0,2253 50,3,2024-09-07 08:27:11:296,1,93,1,0,335,964,93,0 51,0,2024-09-07 08:27:11:692,25241,0.2,24708,0.4,48413,0.2,65290,1.50 51,1,2024-09-07 08:27:11:688,163638,163638,0,0,77471409512,805080585,161830,1024,784,367,391637,0 51,2,2024-09-07 08:27:11:317,113571,113571,0,0,3675739,0,2448 51,3,2024-09-07 08:27:11:034,1,93,2,0,162,621,93,0 52,0,2024-09-07 08:27:11:425,25880,0.7,25997,0.8,51942,0.8,68880,2.25 52,1,2024-09-07 08:27:10:576,163100,163100,0,0,76312603474,801819182,160343,2281,476,368,391722,0 52,2,2024-09-07 08:27:11:782,115314,115314,0,0,5205212,0,4779 52,3,2024-09-07 08:27:10:676,1,93,21,0,1782,2580,93,0 53,0,2024-09-07 08:27:11:742,23216,1.6,22501,1.3,46897,2.2,61933,2.75 53,1,2024-09-07 08:27:10:783,163030,163030,0,0,76751360048,806759450,160022,2427,581,370,391617,0 53,2,2024-09-07 08:27:11:308,121949,121949,0,0,4656441,0,1902 53,3,2024-09-07 08:27:10:699,1,93,8,0,133,729,93,0 54,0,2024-09-07 08:27:11:629,18854,4.1,19203,1.5,37553,1.1,51573,4.50 54,1,2024-09-07 08:27:10:582,163312,163312,0,0,77003280238,804208678,160916,2080,316,367,391520,0 54,2,2024-09-07 08:27:10:866,119681,119675,6,0,5471548,0,5382 54,3,2024-09-07 08:27:10:777,1,93,1,0,676,1972,93,0 55,0,2024-09-07 08:27:11:788,23908,0.3,24613,0.5,49802,0.2,64626,2.00 55,1,2024-09-07 08:27:10:768,162481,162481,0,0,76518583717,803534134,158810,2962,709,367,391731,0 55,2,2024-09-07 08:27:10:734,113803,113803,0,0,5183979,0,3275 55,3,2024-09-07 08:27:10:680,1,93,6,0,136,954,93,0 56,0,2024-09-07 08:27:11:575,25669,1.7,24283,1.3,49855,2.2,67071,2.50 56,1,2024-09-07 08:27:10:582,162825,162825,0,0,77304882739,819726153,159303,2773,749,382,391678,0 56,2,2024-09-07 08:27:11:315,116504,116504,0,0,5749571,0,3567 56,3,2024-09-07 08:27:11:065,1,93,1,0,297,1065,93,0 57,0,2024-09-07 08:27:10:942,24240,2.8,24032,1.7,48351,4.6,64914,4.25 57,1,2024-09-07 08:27:10:993,162942,162942,0,0,76664523353,802720558,161035,1739,168,368,391760,0 57,2,2024-09-07 08:27:11:316,119793,119793,0,0,5762602,0,3178 57,3,2024-09-07 08:27:11:808,1,93,17,0,359,1769,93,0 58,0,2024-09-07 08:27:10:562,18615,0.6,18233,0.9,38202,0.4,50280,2.75 58,1,2024-09-07 08:27:10:576,164343,164340,0,3,77172314303,802970438,162656,1524,160,369,391516,3 58,2,2024-09-07 08:27:11:071,119516,119516,0,0,5326023,0,2549 58,3,2024-09-07 08:27:11:068,1,93,6,0,219,949,93,0 59,0,2024-09-07 08:27:11:807,24000,0.5,23767,0.7,47794,0.4,63359,2.00 59,1,2024-09-07 08:27:10:809,163072,163072,0,0,76879777066,807472420,160322,1896,854,369,391515,0 59,2,2024-09-07 08:27:10:591,116902,116902,0,0,4704664,0,2604 59,3,2024-09-07 08:27:11:783,1,93,1,0,1015,2147,93,0 60,0,2024-09-07 08:27:11:760,24246,0.5,24436,0.6,48195,0.5,64054,1.75 60,1,2024-09-07 08:27:10:777,164787,164787,0,0,77062963273,800354300,163696,742,349,370,391758,0 60,2,2024-09-07 08:27:11:154,115901,115901,0,0,4334556,0,2142 60,3,2024-09-07 08:27:11:273,1,93,2,0,124,986,93,0 61,0,2024-09-07 08:27:11:587,25233,2.2,25381,1.4,50631,3.5,67561,2.25 61,1,2024-09-07 08:27:10:786,163045,163045,0,0,76728754765,806684206,160482,2027,536,382,391589,0 61,2,2024-09-07 08:27:11:133,117271,117271,0,0,4549930,0,1846 61,3,2024-09-07 08:27:11:692,1,93,15,0,199,1258,93,0 62,0,2024-09-07 08:27:11:724,21431,0.9,21908,1.0,41969,1.3,56830,2.00 62,1,2024-09-07 08:27:11:112,164067,164061,0,6,77519605983,801319438,162806,1217,38,365,391586,6 62,2,2024-09-07 08:27:11:646,119936,119936,0,0,5083465,0,2931 62,3,2024-09-07 08:27:11:143,1,93,4,0,287,701,93,0 63,0,2024-09-07 08:27:11:481,21115,0.3,21069,0.5,42346,0.2,56538,1.75 63,1,2024-09-07 08:27:10:805,164459,164454,0,5,76897013530,794483474,164045,406,3,381,391540,5 63,2,2024-09-07 08:27:10:772,118520,118520,0,0,4561773,0,2674 63,3,2024-09-07 08:27:11:735,1,93,3,0,667,1939,93,0 64,0,2024-09-07 08:27:11:520,24019,0.4,23817,0.6,47903,0.4,63713,1.75 64,1,2024-09-07 08:27:10:757,163525,163525,0,0,76796033703,806136068,161071,1659,795,371,391783,0 64,2,2024-09-07 08:27:11:164,115118,115099,19,0,5097352,0,6121 64,3,2024-09-07 08:27:11:140,1,93,3,0,265,1094,93,0 65,0,2024-09-07 08:27:11:700,24851,1.8,25181,1.2,50442,2.2,66979,3.00 65,1,2024-09-07 08:27:10:865,162944,162944,0,0,76593801646,802984393,161120,1604,220,382,391770,0 65,2,2024-09-07 08:27:11:713,116411,116411,0,0,5320826,0,3367 65,3,2024-09-07 08:27:11:685,1,93,9,0,163,991,93,0 66,0,2024-09-07 08:27:11:825,23248,0.6,23399,0.9,46974,0.6,62561,2.25 66,1,2024-09-07 08:27:11:296,164316,164316,0,0,76708619041,795622767,163621,673,22,380,391588,0 66,2,2024-09-07 08:27:11:136,122427,122427,0,0,4927508,0,3867 66,3,2024-09-07 08:27:11:084,1,93,1,0,291,996,93,0 67,0,2024-09-07 08:27:11:432,19007,0.4,18915,0.6,37545,0.3,50314,2.00 67,1,2024-09-07 08:27:10:768,163099,163098,0,1,76268545280,799191773,161053,1520,525,382,391787,1 67,2,2024-09-07 08:27:10:586,120288,120288,0,0,4472046,0,2889 67,3,2024-09-07 08:27:11:783,1,93,1,0,138,826,93,0 68,0,2024-09-07 08:27:10:731,25257,0.5,25148,0.7,50205,0.5,66933,2.00 68,1,2024-09-07 08:27:10:602,162679,162679,0,0,76812669464,805747429,161085,1072,522,381,391953,0 68,2,2024-09-07 08:27:11:281,113647,113647,0,0,4614097,0,4883 68,3,2024-09-07 08:27:10:735,1,93,2,0,151,1000,93,0 69,0,2024-09-07 08:27:11:802,25647,1.3,25854,1.1,51285,1.7,68606,2.50 69,1,2024-09-07 08:27:11:056,162823,162823,0,0,76640651567,810723666,160738,1218,867,384,391611,0 69,2,2024-09-07 08:27:11:756,115885,115885,0,0,5410830,0,3153 69,3,2024-09-07 08:27:10:784,1,93,21,0,238,1292,93,0 70,0,2024-09-07 08:27:11:547,23932,2.9,23995,2.1,48370,1.7,64165,4.25 70,1,2024-09-07 08:27:10:813,163837,163837,0,0,77260214371,800109300,162836,866,135,368,391725,0 70,2,2024-09-07 08:27:11:325,120265,120265,0,0,5638490,0,4044 70,3,2024-09-07 08:27:10:750,1,93,2,0,178,552,93,0 71,0,2024-09-07 08:27:11:381,18394,0.9,18462,1.8,36619,1.1,50145,3.50 71,1,2024-09-07 08:27:11:598,163600,163600,0,0,76760745174,802250883,161303,1981,316,368,391682,0 71,2,2024-09-07 08:27:11:095,120209,120209,0,0,4969826,0,2146 71,3,2024-09-07 08:27:11:783,1,93,5,0,219,1118,93,0 72,0,2024-09-07 08:27:11:100,25381,0.3,24734,0.5,48490,0.2,65759,2.00 72,1,2024-09-07 08:27:11:051,163785,163785,0,0,76494119527,796716871,162126,1581,78,370,391556,0 72,2,2024-09-07 08:27:11:824,114048,114048,0,0,5984610,0,2570 72,3,2024-09-07 08:27:11:785,1,93,15,0,325,1442,93,0 73,0,2024-09-07 08:27:11:121,23871,0.4,24513,0.6,49997,0.4,65013,2.25 73,1,2024-09-07 08:27:10:810,163502,163502,0,0,76864874547,798298006,162527,930,45,368,391627,0 73,2,2024-09-07 08:27:11:793,117438,117438,0,0,5604057,0,3482 73,3,2024-09-07 08:27:10:981,1,93,12,0,274,1449,93,0 74,0,2024-09-07 08:27:11:343,25477,1.1,25878,1.1,49639,1.7,67357,3.00 74,1,2024-09-07 08:27:10:636,163170,163170,0,0,76005111070,794254797,161268,1330,572,382,391511,0 74,2,2024-09-07 08:27:11:010,117843,117843,0,0,5991868,0,4253 74,3,2024-09-07 08:27:11:458,1,93,1,0,246,1517,93,0 75,0,2024-09-07 08:27:11:788,19935,1.7,19950,1.4,39698,1.9,53641,3.00 75,1,2024-09-07 08:27:11:586,163203,163203,0,0,76506420845,802236207,160974,1866,363,381,391579,0 75,2,2024-09-07 08:27:11:351,119787,119787,0,0,5641908,0,4766 75,3,2024-09-07 08:27:11:066,1,93,22,0,535,1254,93,0 76,0,2024-09-07 08:27:10:591,22708,0.3,22445,0.5,44797,0.2,60227,1.75 76,1,2024-09-07 08:27:10:817,163198,163198,0,0,76282548462,799310899,161383,1308,507,382,391530,0 76,2,2024-09-07 08:27:11:078,118622,118622,0,0,4592470,0,3064 76,3,2024-09-07 08:27:11:155,1,93,7,0,175,1089,93,0 77,0,2024-09-07 08:27:11:701,24190,0.6,24344,0.8,48746,0.6,63870,1.75 77,1,2024-09-07 08:27:10:828,163450,163450,0,0,76780651121,799735121,162406,975,69,383,391555,0 77,2,2024-09-07 08:27:11:284,115828,115828,0,0,4004070,0,1695 77,3,2024-09-07 08:27:11:100,1,93,12,0,139,921,93,0 78,0,2024-09-07 08:27:11:725,25183,0.8,25105,0.9,50231,0.9,66562,2.25 78,1,2024-09-07 08:27:10:610,164103,164103,0,0,76484616790,795274164,162678,1220,205,367,391589,0 78,2,2024-09-07 08:27:11:411,116579,116579,0,0,4187049,0,2114 78,3,2024-09-07 08:27:11:137,1,93,9,0,181,1331,93,0 79,0,2024-09-07 08:27:11:358,21796,0.6,22320,0.9,45687,0.6,59244,2.50 79,1,2024-09-07 08:27:10:577,164112,164112,0,0,76899882633,797616933,162771,1272,69,370,391682,0 79,2,2024-09-07 08:27:11:069,121252,121252,0,0,4684622,0,2679 79,3,2024-09-07 08:27:10:751,1,93,3,0,289,986,93,0 80,0,2024-09-07 08:27:11:106,19852,0.5,20542,0.6,39466,0.4,53331,2.00 80,1,2024-09-07 08:27:11:635,163362,163362,0,0,76326775779,795054705,161719,1527,116,369,391673,0 80,2,2024-09-07 08:27:11:097,119766,119766,0,0,4901012,0,4433 80,3,2024-09-07 08:27:10:575,1,93,1,1,190,1460,93,0 81,0,2024-09-07 08:27:11:551,24691,0.5,25428,0.6,48650,0.5,65282,1.75 81,1,2024-09-07 08:27:11:668,163451,163451,0,0,75983470468,796853424,161548,1705,198,382,391680,0 81,2,2024-09-07 08:27:11:128,113225,113225,0,0,5109829,0,3993 81,3,2024-09-07 08:27:11:119,1,93,12,0,193,941,93,0 82,0,2024-09-07 08:27:11:559,25710,0.8,25723,0.9,51675,0.8,68867,2.25 82,1,2024-09-07 08:27:10:586,163248,163244,0,4,76299877710,798746750,161064,1606,574,382,391558,4 82,2,2024-09-07 08:27:11:696,116723,116723,0,0,4338314,0,2230 82,3,2024-09-07 08:27:11:776,1,93,0,0,227,1531,93,0 83,0,2024-09-07 08:27:11:533,23452,1.4,23309,1.2,46201,1.7,62044,2.75 83,1,2024-09-07 08:27:10:552,163303,163303,0,0,76633339935,800863228,161829,1373,101,383,391553,0 83,2,2024-09-07 08:27:10:998,120624,120624,0,0,5293359,0,3119 83,3,2024-09-07 08:27:10:749,1,93,6,0,91,715,93,0 84,0,2024-09-07 08:27:11:835,19380,1.5,19090,1.7,38286,0.8,52274,2.75 84,1,2024-09-07 08:27:11:039,162994,162994,0,0,76343733821,799770164,161355,1390,249,369,391638,0 84,2,2024-09-07 08:27:10:573,119558,119558,0,0,5265626,0,3801 84,3,2024-09-07 08:27:11:141,1,93,1,1,58,888,93,0 85,0,2024-09-07 08:27:11:011,23671,0.3,23683,0.5,50143,0.3,64854,1.75 85,1,2024-09-07 08:27:10:561,162576,162576,0,0,76442746652,808123234,159911,2236,429,383,391679,0 85,2,2024-09-07 08:27:10:866,113679,113679,0,0,5356085,0,3656 85,3,2024-09-07 08:27:10:705,1,93,8,0,115,948,93,0 86,0,2024-09-07 08:27:10:909,24902,0.7,25799,0.8,49645,0.9,67093,2.00 86,1,2024-09-07 08:27:10:825,162772,162772,0,0,77017114712,806018449,160610,1891,271,367,391622,0 86,2,2024-09-07 08:27:10:856,115875,115874,1,0,5888915,0,5004 86,3,2024-09-07 08:27:10:591,1,93,2,0,199,1115,93,0 87,0,2024-09-07 08:27:11:303,24727,2.5,24517,1.5,49293,3.8,66088,3.00 87,1,2024-09-07 08:27:10:551,163239,163239,0,0,76511797300,802817334,161178,1922,139,366,391788,0 87,2,2024-09-07 08:27:11:067,119218,119218,0,0,4783949,0,2859 87,3,2024-09-07 08:27:11:798,1,93,17,1,335,1670,93,0 88,0,2024-09-07 08:27:11:457,19309,0.6,19683,0.8,38916,0.6,52197,1.75 88,1,2024-09-07 08:27:10:575,162961,162961,0,0,76996402900,803636437,161686,1178,97,367,391787,0 88,2,2024-09-07 08:27:10:688,120210,120210,0,0,5977550,0,3583 88,3,2024-09-07 08:27:11:270,1,93,7,1,77,1086,93,0 89,0,2024-09-07 08:27:11:834,24555,0.3,23785,0.5,47229,0.2,64148,1.50 89,1,2024-09-07 08:27:10:550,162334,162334,0,0,76825327277,815958317,158849,2748,737,382,391866,0 89,2,2024-09-07 08:27:11:137,116314,116314,0,0,5288430,0,2726 89,3,2024-09-07 08:27:11:797,1,93,17,0,325,2036,93,0 90,0,2024-09-07 08:27:11:688,23406,0.4,23938,0.6,49140,0.4,64005,1.75 90,1,2024-09-07 08:27:10:593,163400,163400,0,0,76615561926,806229384,161301,1955,144,382,391825,0 90,2,2024-09-07 08:27:11:424,114979,114979,0,0,6095234,0,2635 90,3,2024-09-07 08:27:10:930,1,93,1,1,200,1037,93,0 91,0,2024-09-07 08:27:10:950,25760,0.6,24964,0.7,51771,0.7,68004,1.75 91,1,2024-09-07 08:27:10:573,163040,163040,0,0,76567046105,807087327,160432,2211,397,383,391914,0 91,2,2024-09-07 08:27:11:331,116266,116266,0,0,5283655,0,1997 91,3,2024-09-07 08:27:10:599,1,93,15,0,155,1285,93,0 92,0,2024-09-07 08:27:11:452,21663,0.7,22106,0.9,42183,0.9,57005,1.75 92,1,2024-09-07 08:27:10:582,163797,163797,0,0,76938604224,801138919,163128,600,69,382,391717,0 92,2,2024-09-07 08:27:11:353,121731,121731,0,0,4967255,0,2279 92,3,2024-09-07 08:27:11:008,1,93,8,0,68,934,93,0 93,0,2024-09-07 08:27:10:972,21300,0.3,21693,0.5,41347,0.2,56595,1.50 93,1,2024-09-07 08:27:10:807,163691,163691,0,0,76545150719,800425876,161912,1342,437,367,391689,0 93,2,2024-09-07 08:27:10:929,119007,119007,0,0,4515076,0,2509 93,3,2024-09-07 08:27:11:416,1,93,11,0,143,912,93,0 94,0,2024-09-07 08:27:11:720,24200,0.3,24407,0.5,48274,0.3,63664,1.50 94,1,2024-09-07 08:27:10:574,162909,162909,0,0,76419112473,801164861,161310,1531,68,381,391850,0 94,2,2024-09-07 08:27:10:771,114159,114159,0,0,4428305,0,2443 94,3,2024-09-07 08:27:11:694,1,93,15,1,231,1483,93,0 95,0,2024-09-07 08:27:11:353,25607,0.4,25449,0.6,51332,0.4,67857,1.75 95,1,2024-09-07 08:27:10:857,163776,163776,0,0,76172866089,790951730,162962,750,64,367,391590,0 95,2,2024-09-07 08:27:11:017,116197,116197,0,0,4818626,0,3308 95,3,2024-09-07 08:27:11:711,1,93,6,0,307,1639,93,0 96,0,2024-09-07 08:27:11:036,23804,0.7,23765,0.8,47493,0.7,62966,1.75 96,1,2024-09-07 08:27:11:588,163546,163546,0,0,76539637338,803317515,161691,1284,571,385,391596,0 96,2,2024-09-07 08:27:11:285,121644,121644,0,0,5378852,0,4038 96,3,2024-09-07 08:27:11:140,1,93,20,0,69,861,93,0 97,0,2024-09-07 08:27:11:346,18667,0.3,18775,0.5,37737,0.2,50239,1.75 97,1,2024-09-07 08:27:10:763,163572,163572,0,0,77084848744,805319408,161370,1683,519,367,392140,0 97,2,2024-09-07 08:27:10:609,119166,119166,0,0,4679806,0,3036 97,3,2024-09-07 08:27:10:573,1,93,20,1,165,1165,93,0 98,0,2024-09-07 08:27:11:723,25201,0.2,24954,0.4,50586,0.2,67119,1.50 98,1,2024-09-07 08:27:10:577,163262,163262,0,0,76530069717,799301493,162050,1155,57,382,391997,0 98,2,2024-09-07 08:27:10:776,114694,114694,0,0,4839943,0,3080 98,3,2024-09-07 08:27:10:703,1,93,2,1,840,1908,93,0 99,0,2024-09-07 08:27:11:585,25745,0.3,25717,0.5,51468,0.3,68754,1.75 99,1,2024-09-07 08:27:11:735,163891,163891,0,0,76311892272,796905519,162388,1057,446,381,391744,0 99,2,2024-09-07 08:27:11:433,117133,117133,0,0,5015073,0,1858 99,3,2024-09-07 08:27:10:581,1,93,2,0,129,779,93,0 100,0,2024-09-07 08:27:11:468,24308,1.6,24120,2.1,48185,4.5,64915,2.50 100,1,2024-09-07 08:27:10:552,162349,162349,0,0,76180053592,809048463,159283,2664,402,381,391989,0 100,2,2024-09-07 08:27:11:818,120222,120211,11,0,6206978,0,5417 100,3,2024-09-07 08:27:11:775,1,93,2,0,443,2036,93,0 101,0,2024-09-07 08:27:11:779,19028,2.0,18580,1.3,36626,0.7,51058,2.75 101,1,2024-09-07 08:27:10:552,162541,162541,0,0,76609222346,808927567,160055,1453,1033,369,391709,0 101,2,2024-09-07 08:27:11:783,119462,119462,0,0,5509241,0,4644 101,3,2024-09-07 08:27:10:949,1,93,10,0,448,1183,93,0 102,0,2024-09-07 08:27:10:966,24014,0.3,24862,0.5,50075,0.2,65364,1.75 102,1,2024-09-07 08:27:11:150,163017,163017,0,0,76043690941,802030222,160499,2049,469,369,391831,0 102,2,2024-09-07 08:27:11:803,115548,115548,0,0,4491188,0,2144 102,3,2024-09-07 08:27:11:630,1,93,1,0,410,1022,93,0 103,0,2024-09-07 08:27:11:637,25129,0.5,25032,0.7,47568,0.5,65441,1.75 103,1,2024-09-07 08:27:11:732,163000,163000,0,0,77068347415,807989714,161420,1382,198,381,391680,0 103,2,2024-09-07 08:27:10:592,116300,116300,0,0,5131652,0,2104 103,3,2024-09-07 08:27:10:758,1,93,4,0,486,1346,93,0 104,0,2024-09-07 08:27:11:029,25318,1.5,25236,1.3,49561,1.9,67614,2.50 104,1,2024-09-07 08:27:11:600,163684,163684,0,0,76198787684,801620728,161382,1923,379,366,391948,0 104,2,2024-09-07 08:27:11:673,117331,117331,0,0,5526149,0,3941 104,3,2024-09-07 08:27:11:424,1,93,2,1,1245,3983,93,0 105,0,2024-09-07 08:27:11:057,19668,0.9,19037,1.4,39575,1.2,53161,3.00 105,1,2024-09-07 08:27:10:556,163178,163178,0,0,76816519365,808698707,160220,2211,747,367,391797,0 105,2,2024-09-07 08:27:11:330,119702,119702,0,0,5373420,0,3314 105,3,2024-09-07 08:27:11:304,1,93,8,1,399,1741,93,0 106,0,2024-09-07 08:27:10:984,21941,0.4,22537,0.5,45656,0.3,60232,1.75 106,1,2024-09-07 08:27:11:786,163478,163478,0,0,76321072740,802006660,160942,2208,328,369,391767,0 106,2,2024-09-07 08:27:10:763,117071,117071,0,0,5278890,0,2795 106,3,2024-09-07 08:27:10:684,1,93,6,1,201,978,93,0 107,0,2024-09-07 08:27:11:105,24346,0.5,24212,0.6,48141,0.4,64129,1.75 107,1,2024-09-07 08:27:10:588,162940,162940,0,0,76858342616,809568260,160987,1763,190,381,392234,0 107,2,2024-09-07 08:27:11:307,114900,114899,1,0,5033240,0,5024 107,3,2024-09-07 08:27:11:787,1,93,1,0,353,1442,93,0 108,0,2024-09-07 08:27:11:816,25320,0.5,25533,0.7,50351,0.5,66890,1.75 108,1,2024-09-07 08:27:11:293,163364,163364,0,0,76421632545,798919058,161880,1348,136,371,391857,0 108,2,2024-09-07 08:27:11:779,115788,115788,0,0,4961585,0,2647 108,3,2024-09-07 08:27:11:330,1,93,31,0,73,1169,93,0 109,0,2024-09-07 08:27:11:866,22662,0.7,22817,0.8,45185,0.8,60444,1.75 109,1,2024-09-07 08:27:10:589,163077,163077,0,0,77310873106,812763873,161399,1293,385,383,391812,0 109,2,2024-09-07 08:27:10:927,119915,119915,0,0,5406380,0,3617 109,3,2024-09-07 08:27:11:139,1,93,9,1,249,1481,93,0 110,0,2024-09-07 08:27:11:816,20162,0.2,19526,0.4,40598,0.2,53397,1.50 110,1,2024-09-07 08:27:11:652,163751,163751,0,0,76980795281,800042911,162421,1041,289,370,391667,0 110,2,2024-09-07 08:27:11:306,119742,119742,0,0,4542892,0,2915 110,3,2024-09-07 08:27:10:699,1,93,3,0,183,871,93,0 111,0,2024-09-07 08:27:11:425,24814,0.2,24388,0.4,48862,0.1,65305,1.50 111,1,2024-09-07 08:27:11:006,164157,164157,0,0,77295910417,799388503,163509,634,14,382,391690,0 111,2,2024-09-07 08:27:11:118,113933,113933,0,0,4744266,0,2763 111,3,2024-09-07 08:27:10:914,1,93,1,0,300,1651,93,0 112,0,2024-09-07 08:27:10:916,26057,0.4,25989,0.5,51774,0.3,69175,1.75 112,1,2024-09-07 08:27:10:824,163110,163110,0,0,76676071389,799596529,161520,1244,346,381,391580,0 112,2,2024-09-07 08:27:11:134,116030,116029,1,0,5365886,0,5036 112,3,2024-09-07 08:27:10:592,1,93,1,0,282,1028,93,0 113,0,2024-09-07 08:27:10:876,23405,0.5,23207,0.7,47284,0.5,62971,1.75 113,1,2024-09-07 08:27:11:693,163709,163709,0,0,76831787823,797858126,161814,1511,384,368,391661,0 113,2,2024-09-07 08:27:11:308,122115,122115,0,0,4452595,0,3021 113,3,2024-09-07 08:27:10:685,1,93,9,0,288,1513,93,0 114,0,2024-09-07 08:27:10:903,19613,2.4,19921,1.1,38881,0.7,52806,2.00 114,1,2024-09-07 08:27:10:718,163659,163659,0,0,76937992322,807410575,161031,1747,881,381,391531,0 114,2,2024-09-07 08:27:10:891,119415,119415,0,0,5023774,0,3925 114,3,2024-09-07 08:27:11:283,1,93,95,0,395,1553,93,0 115,0,2024-09-07 08:27:10:561,24244,0.2,24454,0.3,49386,0.1,65495,1.50 115,1,2024-09-07 08:27:10:574,163487,163487,0,0,76658916601,801657035,161101,1899,487,383,391528,0 115,2,2024-09-07 08:27:11:126,114393,114393,0,0,4148175,0,2152 115,3,2024-09-07 08:27:11:009,1,93,1,0,159,591,93,0 116,0,2024-09-07 08:27:11:729,25268,0.8,24816,0.9,50265,1.0,67095,2.00 116,1,2024-09-07 08:27:10:809,162878,162878,0,0,76651340685,811973395,160580,1293,1005,382,391605,0 116,2,2024-09-07 08:27:11:807,115802,115802,0,0,5741407,0,3529 116,3,2024-09-07 08:27:10:914,1,93,2,1,252,1425,93,0 117,0,2024-09-07 08:27:10:972,24681,2.0,24690,1.3,49146,3.2,66176,2.25 117,1,2024-09-07 08:27:11:587,163107,163107,0,0,76164677340,801746120,160686,2127,294,370,392033,0 117,2,2024-09-07 08:27:11:118,120078,120078,0,0,5206421,0,3700 117,3,2024-09-07 08:27:11:062,1,93,12,0,490,1966,93,0 118,0,2024-09-07 08:27:11:854,18887,0.6,19328,0.8,39606,0.6,51944,2.00 118,1,2024-09-07 08:27:10:608,163145,163145,0,0,75561437349,792185137,161611,1439,95,368,391736,0 118,2,2024-09-07 08:27:11:608,120001,120001,0,0,5406826,0,2781 118,3,2024-09-07 08:27:11:776,1,93,2,1,235,1328,93,0 119,0,2024-09-07 08:27:11:380,23528,0.3,23521,0.5,47970,0.2,63393,1.75 119,1,2024-09-07 08:27:10:548,163559,163559,0,0,77065156237,807111586,161555,1723,281,370,391641,0 119,2,2024-09-07 08:27:11:270,115932,115932,0,0,4895332,0,2532 119,3,2024-09-07 08:27:11:335,1,93,2,0,443,2324,93,0 120,0,2024-09-07 08:27:11:556,23935,0.6,24049,0.8,47671,0.6,63959,2.00 120,1,2024-09-07 08:27:10:858,163470,163470,0,0,76797513773,804905974,161931,1522,17,368,391702,0 120,2,2024-09-07 08:27:10:790,115548,115547,1,0,6142423,0,5281 120,3,2024-09-07 08:27:11:306,1,93,1,0,241,1485,93,0 121,0,2024-09-07 08:27:11:806,25193,2.1,25484,1.4,50805,3.4,67901,2.50 121,1,2024-09-07 08:27:11:669,163175,163175,0,0,75855271678,794716907,161257,1646,272,367,391840,0 121,2,2024-09-07 08:27:11:126,116219,116219,0,0,6302729,0,4127 121,3,2024-09-07 08:27:10:732,1,93,159,0,159,1115,93,0 122,0,2024-09-07 08:27:11:799,21308,2.0,20606,1.7,42984,2.4,57019,2.25 122,1,2024-09-07 08:27:10:865,162588,162588,0,0,76911042334,810878552,159571,2493,524,368,392130,0 122,2,2024-09-07 08:27:11:321,120629,120629,0,0,6332442,0,3364 122,3,2024-09-07 08:27:10:598,1,93,44,0,298,1916,93,0 123,0,2024-09-07 08:27:11:000,21047,0.3,20439,0.5,42737,0.2,56293,1.75 123,1,2024-09-07 08:27:10:568,163896,163896,0,0,77053108847,814819448,160414,2914,568,369,391823,0 123,2,2024-09-07 08:27:11:019,118105,118104,1,0,5285312,0,5215 123,3,2024-09-07 08:27:11:134,1,93,15,1,168,1450,93,0 124,0,2024-09-07 08:27:10:934,24830,0.3,24797,0.4,46806,0.2,63950,1.50 124,1,2024-09-07 08:27:11:021,163514,163514,0,0,76397856517,791783902,162701,668,145,367,392178,0 124,2,2024-09-07 08:27:11:014,114484,114484,0,0,4200111,0,2477 124,3,2024-09-07 08:27:10:763,1,93,5,1,490,1700,93,0 125,0,2024-09-07 08:27:11:472,25712,0.6,25669,0.6,51271,0.6,67818,1.75 125,1,2024-09-07 08:27:10:862,163248,163248,0,0,76315434893,798382158,161492,1483,273,384,391702,0 125,2,2024-09-07 08:27:11:134,116192,116192,0,0,4662931,0,2180 125,3,2024-09-07 08:27:11:126,1,93,11,1,93,1178,93,0 126,0,2024-09-07 08:27:11:438,23828,1.0,24382,0.9,46779,1.2,63461,1.75 126,1,2024-09-07 08:27:10:553,164071,164071,0,0,76920039516,797617753,163019,997,55,365,391987,0 126,2,2024-09-07 08:27:10:614,121472,121472,0,0,5025957,0,3186 126,3,2024-09-07 08:27:10:907,1,93,2,0,122,1238,93,0 127,0,2024-09-07 08:27:11:633,18809,0.2,18711,0.4,37565,0.2,50214,1.50 127,1,2024-09-07 08:27:10:573,163598,163598,0,0,76885917948,796838823,162455,1120,23,365,391614,0 127,2,2024-09-07 08:27:10:671,120091,120091,0,0,4230750,0,1803 127,3,2024-09-07 08:27:11:270,1,93,24,0,99,714,93,0 128,0,2024-09-07 08:27:11:550,25369,0.3,25376,0.4,50176,0.2,67194,1.50 128,1,2024-09-07 08:27:11:617,163585,163585,0,0,77270289569,800968232,162849,666,70,367,391680,0 128,2,2024-09-07 08:27:11:408,113201,113201,0,0,4950792,0,2107 128,3,2024-09-07 08:27:10:775,1,93,5,1,112,1028,93,0 129,0,2024-09-07 08:27:10:997,25888,0.4,25847,0.5,51454,0.3,68721,1.75 129,1,2024-09-07 08:27:10:567,162592,162592,0,0,76275670464,800305329,160699,1618,275,379,391835,0 129,2,2024-09-07 08:27:10:702,115617,115617,0,0,4393335,0,2446 129,3,2024-09-07 08:27:10:690,1,93,18,1,173,1348,93,0 130,0,2024-09-07 08:27:11:765,24541,1.3,24387,1.0,48806,1.9,65539,2.00 130,1,2024-09-07 08:27:10:592,163354,163354,0,0,76475724692,798285299,162030,1289,35,381,391825,0 130,2,2024-09-07 08:27:11:127,120766,120766,0,0,5276070,0,4067 130,3,2024-09-07 08:27:11:291,1,93,5,0,207,702,93,0 131,0,2024-09-07 08:27:11:958,18939,0.7,18891,0.8,38166,1.0,51197,1.75 131,1,2024-09-07 08:27:11:846,164220,164220,0,0,76718003316,799992491,163124,909,187,384,391865,0 131,2,2024-09-07 08:27:10:570,120230,120230,0,0,4450214,0,2415 131,3,2024-09-07 08:27:11:693,1,93,3,0,392,1231,93,0 132,0,2024-09-07 08:27:11:487,24311,0.3,24666,0.5,49441,0.2,65686,1.75 132,1,2024-09-07 08:27:10:578,162640,162640,0,0,76294233465,806934120,159556,2334,750,381,391719,0 132,2,2024-09-07 08:27:10:704,114779,114779,0,0,6515475,0,4606 132,3,2024-09-07 08:27:11:694,1,93,3,0,356,1433,93,0 133,0,2024-09-07 08:27:11:577,23855,0.5,24305,0.6,50061,0.5,64856,1.75 133,1,2024-09-07 08:27:10:589,162113,162113,0,0,76496578575,808940600,159446,2493,174,383,391755,0 133,2,2024-09-07 08:27:11:090,116382,116382,0,0,5911462,0,2444 133,3,2024-09-07 08:27:11:303,1,93,9,1,187,786,93,0 134,0,2024-09-07 08:27:10:997,25419,0.9,25285,1.0,50868,1.0,67531,2.00 134,1,2024-09-07 08:27:10:593,163169,163169,0,0,75558052134,795373489,160412,1775,982,366,391718,0 134,2,2024-09-07 08:27:11:785,117929,117929,0,0,4808609,0,2026 134,3,2024-09-07 08:27:10:753,1,93,12,0,739,2098,93,0 135,0,2024-09-07 08:27:11:136,19331,1.4,19370,1.4,40948,1.5,52995,2.25 135,1,2024-09-07 08:27:11:586,162707,162707,0,0,76477990236,805688093,160085,2074,548,380,391703,0 135,2,2024-09-07 08:27:10:693,120420,120420,0,0,5540398,0,3981 135,3,2024-09-07 08:27:11:009,1,93,4,0,89,621,93,0 136,0,2024-09-07 08:27:11:692,22656,0.3,22489,0.6,45318,0.2,60786,1.75 136,1,2024-09-07 08:27:11:513,163354,163354,0,0,76325528085,800267784,161438,1769,147,384,391621,0 136,2,2024-09-07 08:27:11:138,118538,118538,0,0,4937237,0,3506 136,3,2024-09-07 08:27:11:110,1,93,9,0,108,922,93,0 137,0,2024-09-07 08:27:10:929,24923,0.6,24100,0.8,47758,0.6,64311,2.00 137,1,2024-09-07 08:27:10:579,163283,163283,0,0,77029634344,805910567,161249,1920,114,367,391608,0 137,2,2024-09-07 08:27:11:708,114675,114675,0,0,5882755,0,2632 137,3,2024-09-07 08:27:10:781,1,93,8,1,227,1204,93,0 138,0,2024-09-07 08:27:11:939,24536,2.3,24733,1.5,50305,3.6,66736,2.75 138,1,2024-09-07 08:27:11:690,162804,162804,0,0,76652391351,803454150,160466,2073,265,369,391954,0 138,2,2024-09-07 08:27:10:672,116358,116358,0,0,5055796,0,3263 138,3,2024-09-07 08:27:10:615,1,93,3,0,1160,2072,93,0 139,0,2024-09-07 08:27:11:377,21968,4.1,22067,2.2,44634,5.3,59632,3.50 139,1,2024-09-07 08:27:10:575,162682,162682,0,0,75881783322,805861907,159785,2167,730,381,391892,0 139,2,2024-09-07 08:27:10:706,120035,120035,0,0,6295689,0,3097 139,3,2024-09-07 08:27:11:668,1,93,3,0,244,1451,93,0 140,0,2024-09-07 08:27:11:599,20030,0.2,19840,0.4,40034,0.1,53428,1.50 140,1,2024-09-07 08:27:11:542,164133,164133,0,0,76937432704,794168054,163202,734,197,365,391606,0 140,2,2024-09-07 08:27:10:688,120093,120093,0,0,4977263,0,3388 140,3,2024-09-07 08:27:10:775,1,93,1,0,25,605,93,0 141,0,2024-09-07 08:27:11:715,24401,0.2,25223,0.4,48515,0.1,65258,1.50 141,1,2024-09-07 08:27:10:859,163685,163685,0,0,77056912335,801143131,162261,1093,331,382,391538,0 141,2,2024-09-07 08:27:11:693,113930,113930,0,0,4434449,0,2342 141,3,2024-09-07 08:27:11:047,1,93,12,0,147,677,93,0 142,0,2024-09-07 08:27:11:328,26243,0.3,25919,0.5,51555,0.3,69171,1.75 142,1,2024-09-07 08:27:10:589,163965,163965,0,0,76806075605,798519718,163403,546,16,384,391649,0 142,2,2024-09-07 08:27:11:307,115071,115071,0,0,4897763,0,2293 142,3,2024-09-07 08:27:11:787,1,93,1,0,484,1673,93,0 143,0,2024-09-07 08:27:11:441,23435,1.0,23606,0.9,47468,1.3,62856,2.00 143,1,2024-09-07 08:27:10:563,163558,163558,0,0,76613559468,793680343,162575,954,29,367,391619,0 143,2,2024-09-07 08:27:10:776,121522,121522,0,0,5328829,0,2669 143,3,2024-09-07 08:27:11:140,1,93,1,0,236,1225,93,0 144,0,2024-09-07 08:27:11:533,18807,1.1,19355,3.9,39261,1.1,52479,2.25 144,1,2024-09-07 08:27:10:565,163071,163071,0,0,76283669593,801525120,161457,1419,195,381,391638,0 144,2,2024-09-07 08:27:11:778,120048,120048,0,0,4786034,0,3473 144,3,2024-09-07 08:27:11:787,1,93,2,1,169,1324,93,0 145,0,2024-09-07 08:27:11:601,23714,0.4,23727,0.5,50131,0.3,65015,1.75 145,1,2024-09-07 08:27:10:557,162048,162048,0,0,76111942219,801142691,159868,1886,294,383,391615,0 145,2,2024-09-07 08:27:11:433,114468,114468,0,0,5441945,0,3903 145,3,2024-09-07 08:27:10:895,1,93,15,0,151,1213,93,0 146,0,2024-09-07 08:27:11:653,24951,0.6,24917,0.8,50214,0.6,66721,2.25 146,1,2024-09-07 08:27:11:587,163498,163498,0,0,76748521107,805030246,161147,2047,304,368,391600,0 146,2,2024-09-07 08:27:11:704,115893,115893,0,0,5137683,0,2379 146,3,2024-09-07 08:27:11:275,1,93,2,0,1520,3195,93,0 147,0,2024-09-07 08:27:11:716,24495,2.5,24370,1.5,48380,3.7,66068,3.00 147,1,2024-09-07 08:27:11:376,163572,163572,0,0,76879553521,799364448,161847,1369,356,368,391791,0 147,2,2024-09-07 08:27:11:010,119782,119782,0,0,5119351,0,2789 147,3,2024-09-07 08:27:10:914,1,93,1,1,141,886,93,0 0,0,2024-09-07 08:27:21:892,23558,0.6,23499,0.7,49748,0.6,64367,1.75 0,1,2024-09-07 08:27:20:807,165160,165160,0,0,77657235190,815230507,163867,1165,128,372,391692,0 0,2,2024-09-07 08:27:21:069,116999,116999,0,0,5270519,0,4480 0,3,2024-09-07 08:27:20:982,1,94,9,0,247,1506,94,0 1,0,2024-09-07 08:27:21:912,25483,2.5,25286,1.7,50976,3.1,67933,2.50 1,1,2024-09-07 08:27:20:588,164793,164793,0,0,77014520926,808647408,162994,1270,529,372,391857,0 1,2,2024-09-07 08:27:20:664,118047,118047,0,0,4976517,0,3267 1,3,2024-09-07 08:27:21:318,1,94,50,0,167,914,94,0 2,0,2024-09-07 08:27:21:582,21209,0.9,21360,1.1,42416,1.3,56593,2.00 2,1,2024-09-07 08:27:20:860,165352,165352,0,0,77812268256,809561023,164263,885,204,381,391558,0 2,2,2024-09-07 08:27:21:266,121042,121042,0,0,5099362,0,3304 2,3,2024-09-07 08:27:20:706,1,94,46,0,214,934,94,0 3,0,2024-09-07 08:27:21:751,21564,0.3,21670,0.5,43288,0.2,57806,1.75 3,1,2024-09-07 08:27:21:620,164305,164305,0,0,77143274053,806553926,162153,1764,388,382,391516,0 3,2,2024-09-07 08:27:21:143,119862,119839,23,0,5510066,0,5851 3,3,2024-09-07 08:27:21:773,1,94,18,0,103,638,94,0 4,0,2024-09-07 08:27:21:875,23483,0.3,24215,0.4,48871,0.2,64035,1.50 4,1,2024-09-07 08:27:20:602,164583,164583,0,0,77083262817,815071145,162165,1900,518,371,391846,0 4,2,2024-09-07 08:27:21:025,115373,115373,0,0,6210523,0,4528 4,3,2024-09-07 08:27:21:043,1,94,18,1,287,1505,94,0 5,0,2024-09-07 08:27:21:387,25746,0.6,25586,0.7,51493,0.8,67957,1.75 5,1,2024-09-07 08:27:20:763,164708,164708,0,0,77057455742,812105567,162408,1856,444,368,392005,0 5,2,2024-09-07 08:27:21:863,116943,116943,0,0,5230671,0,2259 5,3,2024-09-07 08:27:21:743,1,94,8,0,238,1692,94,0 6,0,2024-09-07 08:27:20:940,24055,0.8,23766,1.1,47367,1.0,63689,2.25 6,1,2024-09-07 08:27:20:747,165381,165381,0,0,77285582033,805846606,164182,1129,70,381,391603,0 6,2,2024-09-07 08:27:21:121,122791,122791,0,0,5396928,0,2411 6,3,2024-09-07 08:27:21:274,1,94,1,0,340,1572,94,0 7,0,2024-09-07 08:27:21:545,18870,0.3,18992,0.5,38199,0.2,51086,1.75 7,1,2024-09-07 08:27:20:855,165355,165355,0,0,77328165116,808674316,163939,1351,65,383,391664,0 7,2,2024-09-07 08:27:20:771,120452,120452,0,0,4970601,0,2981 7,3,2024-09-07 08:27:20:852,1,94,0,0,305,1023,94,0 8,0,2024-09-07 08:27:21:411,25308,0.3,25602,0.5,50327,0.3,67394,1.50 8,1,2024-09-07 08:27:21:023,164784,164784,0,0,77117669431,817637078,161270,2261,1253,368,391724,0 8,2,2024-09-07 08:27:20:803,113671,113671,0,0,5999661,0,2986 8,3,2024-09-07 08:27:20:593,1,94,1,0,229,1226,94,0 9,0,2024-09-07 08:27:21:142,25998,0.4,25307,0.6,52925,0.4,69336,1.75 9,1,2024-09-07 08:27:20:583,164653,164653,0,0,77163825234,815804705,161690,2230,733,370,391738,0 9,2,2024-09-07 08:27:21:086,116900,116900,0,0,5512433,0,3360 9,3,2024-09-07 08:27:21:754,1,94,42,0,496,1683,94,0 10,0,2024-09-07 08:27:21:614,24528,0.6,24532,0.8,49100,0.6,65525,2.00 10,1,2024-09-07 08:27:20:584,164626,164626,0,0,77688869431,818761515,161286,2818,522,381,391741,0 10,2,2024-09-07 08:27:20:769,122145,122145,0,0,5709855,0,2940 10,3,2024-09-07 08:27:20:879,1,94,0,0,136,658,94,0 11,0,2024-09-07 08:27:21:019,18944,0.6,18548,0.9,38849,0.7,52504,2.25 11,1,2024-09-07 08:27:20:578,164691,164691,0,0,77296144330,814052347,161565,2137,989,384,391537,0 11,2,2024-09-07 08:27:21:130,120831,120831,0,0,5250959,0,2635 11,3,2024-09-07 08:27:21:298,1,94,4,0,720,2419,94,0 12,0,2024-09-07 08:27:21:018,25092,0.3,24958,0.4,50115,0.2,66224,1.50 12,1,2024-09-07 08:27:20:936,164600,164600,0,0,77165280514,807523169,162930,1567,103,371,391837,0 12,2,2024-09-07 08:27:21:599,116746,116746,0,0,4913488,0,2254 12,3,2024-09-07 08:27:21:059,1,94,13,0,358,1618,94,0 13,0,2024-09-07 08:27:21:391,24703,0.4,24871,0.6,49602,0.4,66306,1.75 13,1,2024-09-07 08:27:21:544,165124,165124,0,0,77553133028,815055002,163518,1239,367,384,391717,0 13,2,2024-09-07 08:27:20:607,118465,118465,0,0,4655037,0,3287 13,3,2024-09-07 08:27:21:778,1,94,39,1,416,1937,94,0 14,0,2024-09-07 08:27:20:574,25575,0.7,25746,0.8,50950,0.7,67764,2.00 14,1,2024-09-07 08:27:21:564,166194,166194,0,0,77561712092,802752180,165306,857,31,364,391546,0 14,2,2024-09-07 08:27:20:769,119237,119237,0,0,5211990,0,2793 14,3,2024-09-07 08:27:21:117,1,94,2,0,906,1375,94,0 15,0,2024-09-07 08:27:21:566,20228,0.7,20015,1.1,40219,0.9,54048,2.25 15,1,2024-09-07 08:27:21:611,164706,164706,0,0,77691684045,807357198,163882,789,35,381,391536,0 15,2,2024-09-07 08:27:21:002,122197,122197,0,0,4180744,0,3043 15,3,2024-09-07 08:27:21:411,1,94,1,0,538,2461,94,0 16,0,2024-09-07 08:27:21:034,22877,0.4,23145,0.6,45707,0.3,61512,2.00 16,1,2024-09-07 08:27:20:566,164997,164997,0,0,77600084969,811453431,163852,1117,28,372,391756,0 16,2,2024-09-07 08:27:21:439,117676,117676,0,0,5592548,0,4719 16,3,2024-09-07 08:27:21:142,1,94,1,0,231,1503,94,0 17,0,2024-09-07 08:27:22:068,24975,0.5,24474,0.7,47951,0.5,64490,1.75 17,1,2024-09-07 08:27:20:586,164895,164895,0,0,76772246494,810445947,162766,1602,527,369,391688,0 17,2,2024-09-07 08:27:21:732,117586,117586,0,0,4666950,0,2857 17,3,2024-09-07 08:27:20:578,1,94,10,0,268,1741,94,0 18,0,2024-09-07 08:27:20:970,24609,1.2,25015,1.1,50237,1.5,66453,2.50 18,1,2024-09-07 08:27:21:640,165013,165013,0,0,77274830315,803690237,163760,1035,218,368,391564,0 18,2,2024-09-07 08:27:21:760,117673,117673,0,0,5377896,0,3541 18,3,2024-09-07 08:27:20:899,1,94,3,0,163,1049,94,0 19,0,2024-09-07 08:27:21:551,22298,1.2,22562,1.2,44613,1.5,58941,3.75 19,1,2024-09-07 08:27:20:573,165130,165130,0,0,78119827018,815541914,163159,1805,166,367,391777,0 19,2,2024-09-07 08:27:21:752,122417,122417,0,0,5028467,0,3988 19,3,2024-09-07 08:27:21:128,1,94,1,0,524,1259,94,0 20,0,2024-09-07 08:27:21:447,20418,0.4,20426,0.6,40654,0.3,54038,2.00 20,1,2024-09-07 08:27:20:577,164824,164824,0,0,77098301103,809417271,162908,1740,176,370,391598,0 20,2,2024-09-07 08:27:20:990,120098,120098,0,0,4905033,0,2446 20,3,2024-09-07 08:27:20:591,1,94,2,0,414,1650,94,0 21,0,2024-09-07 08:27:21:203,24927,0.4,24811,0.5,49538,0.3,65250,1.75 21,1,2024-09-07 08:27:21:573,164307,164307,0,0,76764580267,810061404,161816,1988,503,368,391962,0 21,2,2024-09-07 08:27:21:075,115579,115579,0,0,5269479,0,3747 21,3,2024-09-07 08:27:21:415,1,94,23,0,93,1243,94,0 22,0,2024-09-07 08:27:21:725,25833,1.3,25808,1.2,51562,2.1,68881,2.50 22,1,2024-09-07 08:27:21:022,164227,164227,0,0,76755700723,815294099,159943,2913,1371,382,391667,0 22,2,2024-09-07 08:27:20:760,116957,116957,0,0,4932688,0,3134 22,3,2024-09-07 08:27:21:067,1,94,26,0,228,936,94,0 23,0,2024-09-07 08:27:21:374,23657,0.9,23763,0.9,47675,0.9,63648,2.50 23,1,2024-09-07 08:27:21:006,165155,165155,0,0,78081239749,813956412,163045,1539,571,365,391549,0 23,2,2024-09-07 08:27:21:094,122213,122213,0,0,4975571,0,2078 23,3,2024-09-07 08:27:21:779,1,94,1,0,645,1492,94,0 24,0,2024-09-07 08:27:20:843,20012,0.5,19996,0.7,40165,0.5,53455,1.75 24,1,2024-09-07 08:27:20:588,164614,164614,0,0,76799884812,805724276,162663,1276,675,369,391640,0 24,2,2024-09-07 08:27:21:069,120313,120313,0,0,6093359,0,2942 24,3,2024-09-07 08:27:21:701,1,94,5,0,234,1185,94,0 25,0,2024-09-07 08:27:21:353,25716,0.3,25091,0.5,49174,0.2,67261,1.75 25,1,2024-09-07 08:27:20:563,164657,164657,0,0,76703713792,806464587,162470,1871,316,371,391788,0 25,2,2024-09-07 08:27:21:620,114701,114701,0,0,5484744,0,3284 25,3,2024-09-07 08:27:21:027,1,94,85,0,158,957,94,0 26,0,2024-09-07 08:27:21:726,25242,0.4,24654,0.6,51539,0.4,67915,1.75 26,1,2024-09-07 08:27:21:550,165377,165377,0,0,76944275644,812248436,161829,2713,835,381,391564,0 26,2,2024-09-07 08:27:20:862,117738,117738,0,0,5443538,0,2809 26,3,2024-09-07 08:27:21:717,1,94,4,0,796,1333,94,0 27,0,2024-09-07 08:27:21:737,25019,0.8,25141,0.8,49794,0.9,66605,2.00 27,1,2024-09-07 08:27:21:706,165607,165607,0,0,77711581598,807651066,164305,1007,295,381,391539,0 27,2,2024-09-07 08:27:20:875,119915,119915,0,0,6437216,0,3409 27,3,2024-09-07 08:27:21:016,1,94,143,0,564,1410,94,0 28,0,2024-09-07 08:27:21:399,19549,0.5,19824,0.7,39577,0.5,52800,2.00 28,1,2024-09-07 08:27:20:803,165480,165480,0,0,78162403582,813163844,164415,865,200,383,391646,0 28,2,2024-09-07 08:27:21:825,121271,121271,0,0,4484053,0,2609 28,3,2024-09-07 08:27:21:777,1,94,3,0,502,1293,94,0 29,0,2024-09-07 08:27:21:365,24752,0.3,24022,0.4,47410,0.2,64970,1.75 29,1,2024-09-07 08:27:21:564,166439,166439,0,0,77322851345,798714487,165588,679,172,369,391621,0 29,2,2024-09-07 08:27:20:861,117565,117565,0,0,3900918,0,2026 29,3,2024-09-07 08:27:20:963,1,94,9,0,105,748,94,0 30,0,2024-09-07 08:27:21:462,24114,0.9,23518,0.9,49186,1.2,64323,2.50 30,1,2024-09-07 08:27:20:577,165325,165325,0,0,77774454611,808559220,164326,934,65,382,391584,0 30,2,2024-09-07 08:27:21:274,118286,118286,0,0,3846095,0,2534 30,3,2024-09-07 08:27:20:583,1,94,0,0,195,764,94,0 31,0,2024-09-07 08:27:21:845,25255,1.1,25397,1.0,51124,1.2,67668,2.25 31,1,2024-09-07 08:27:20:572,166185,166185,0,0,77969049171,797632069,165934,249,2,356,391553,0 31,2,2024-09-07 08:27:21:275,118605,118605,0,0,5519607,0,3525 31,3,2024-09-07 08:27:21:739,1,94,8,0,129,611,94,0 32,0,2024-09-07 08:27:21:428,21426,1.0,21632,1.0,43262,1.2,57107,1.75 32,1,2024-09-07 08:27:20:805,165738,165738,0,0,77719347573,807426662,164727,982,29,382,391595,0 32,2,2024-09-07 08:27:20:935,121610,121610,0,0,4729424,0,3155 32,3,2024-09-07 08:27:21:016,1,94,1,0,110,790,94,0 33,0,2024-09-07 08:27:21:530,21722,0.2,21436,0.4,43579,0.1,57873,1.50 33,1,2024-09-07 08:27:20:578,166027,166027,0,0,77835653616,808661186,164311,1593,123,369,391730,0 33,2,2024-09-07 08:27:20:759,120008,119975,33,0,6115848,0,7012 33,3,2024-09-07 08:27:20:899,1,94,8,0,129,665,94,0 34,0,2024-09-07 08:27:20:938,24227,0.3,25022,0.5,48079,0.3,64047,1.75 34,1,2024-09-07 08:27:21:046,166185,166185,0,0,78555358214,808962378,165580,601,4,367,391517,0 34,2,2024-09-07 08:27:20:770,115759,115759,0,0,5091829,0,3255 34,3,2024-09-07 08:27:21:698,1,94,5,0,148,720,94,0 35,0,2024-09-07 08:27:20:867,25469,0.7,25749,0.7,51218,0.7,68062,1.75 35,1,2024-09-07 08:27:21:068,165446,165446,0,0,77649874335,806951056,163967,1117,362,384,391587,0 35,2,2024-09-07 08:27:21:584,116768,116768,0,0,4743877,0,2542 35,3,2024-09-07 08:27:20:911,1,94,1,0,219,1175,94,0 36,0,2024-09-07 08:27:21:537,23900,1.3,23814,1.2,48265,1.5,63622,2.50 36,1,2024-09-07 08:27:20:584,164763,164763,0,0,77019525992,808290975,161742,2090,931,366,391543,0 36,2,2024-09-07 08:27:21:789,121839,121839,0,0,6036357,0,3303 36,3,2024-09-07 08:27:20:864,1,94,5,0,378,1168,94,0 37,0,2024-09-07 08:27:21:434,19137,0.3,19048,0.5,38263,0.2,51310,1.75 37,1,2024-09-07 08:27:20:577,164746,164739,0,7,76906503021,812592304,161486,1714,1539,365,391518,0 37,2,2024-09-07 08:27:21:142,120773,120773,0,0,4637425,0,2333 37,3,2024-09-07 08:27:21:794,1,94,15,0,724,2060,94,0 38,0,2024-09-07 08:27:21:455,25190,0.4,24377,0.6,51059,0.4,66916,1.75 38,1,2024-09-07 08:27:21:613,165519,165519,0,0,77595316815,812149489,163230,1842,447,368,391821,0 38,2,2024-09-07 08:27:20:760,115375,115375,0,0,5362574,0,3245 38,3,2024-09-07 08:27:21:002,1,94,1,0,603,1458,94,0 39,0,2024-09-07 08:27:21:785,26670,0.9,26110,0.8,50982,1.0,69469,2.00 39,1,2024-09-07 08:27:20:723,165015,165015,0,0,76994624342,806285075,162286,2091,638,365,391524,0 39,2,2024-09-07 08:27:21:419,117097,117097,0,0,4929212,0,2381 39,3,2024-09-07 08:27:20:715,1,94,129,0,276,1452,94,0 40,0,2024-09-07 08:27:21:521,24039,1.5,24505,1.9,48435,3.1,65009,3.25 40,1,2024-09-07 08:27:20:578,164554,164554,0,0,76530547618,805714403,161113,2678,763,369,391591,0 40,2,2024-09-07 08:27:21:303,122127,122126,1,0,6051589,0,5137 40,3,2024-09-07 08:27:21:142,1,94,4,0,110,861,94,0 41,0,2024-09-07 08:27:21:055,18794,0.7,19249,2.5,36725,1.0,50780,3.25 41,1,2024-09-07 08:27:20:768,164884,164884,0,0,77376166332,810273383,162446,2125,313,370,391742,0 41,2,2024-09-07 08:27:20:759,120480,120480,0,0,6269723,0,3356 41,3,2024-09-07 08:27:21:681,1,94,11,0,168,946,94,0 42,0,2024-09-07 08:27:21:491,24766,0.4,25070,0.6,49858,0.3,66137,2.00 42,1,2024-09-07 08:27:21:441,164540,164540,0,0,77450705421,815906762,161497,2213,830,380,391675,0 42,2,2024-09-07 08:27:21:132,115448,115448,0,0,5290287,0,3568 42,3,2024-09-07 08:27:21:008,1,94,5,0,100,745,94,0 43,0,2024-09-07 08:27:20:926,24641,0.9,24085,1.0,50298,1.0,66292,2.00 43,1,2024-09-07 08:27:20:577,164622,164622,0,0,77175998825,806894695,162283,1587,752,366,391604,0 43,2,2024-09-07 08:27:21:790,118176,118176,0,0,5616157,0,3812 43,3,2024-09-07 08:27:21:751,1,94,4,0,325,1791,94,0 44,0,2024-09-07 08:27:20:869,25637,0.7,25483,0.9,51147,0.7,68317,2.00 44,1,2024-09-07 08:27:20:588,165526,165526,0,0,77531389116,800405121,164501,917,108,356,391569,0 44,2,2024-09-07 08:27:21:267,119572,119572,0,0,4139387,0,1865 44,3,2024-09-07 08:27:21:097,1,94,55,0,817,1454,94,0 45,0,2024-09-07 08:27:21:773,19817,0.9,19486,1.2,40748,0.8,54411,2.00 45,1,2024-09-07 08:27:21:005,165781,165781,0,0,77816154563,806258700,165276,504,1,382,391917,0 45,2,2024-09-07 08:27:21:268,121907,121907,0,0,4818535,0,2628 45,3,2024-09-07 08:27:20:934,1,94,8,0,226,909,94,0 46,0,2024-09-07 08:27:20:962,23158,0.3,23068,0.4,46447,0.2,61761,1.50 46,1,2024-09-07 08:27:20:576,165970,165970,0,0,77826467900,803417570,165116,816,38,367,391514,0 46,2,2024-09-07 08:27:20:595,119337,119337,0,0,4579742,0,2920 46,3,2024-09-07 08:27:21:131,1,94,31,0,315,1159,94,0 47,0,2024-09-07 08:27:21:110,24234,0.3,24470,0.5,49116,0.3,64260,1.75 47,1,2024-09-07 08:27:20:577,165858,165858,0,0,76774609120,791271044,165348,506,4,366,391605,0 47,2,2024-09-07 08:27:20:912,117736,117736,0,0,4578126,0,2558 47,3,2024-09-07 08:27:21:116,1,94,2,0,529,1198,94,0 48,0,2024-09-07 08:27:21:542,25575,0.3,25289,0.5,50427,0.3,67196,1.75 48,1,2024-09-07 08:27:21:022,165304,165304,0,0,77510298636,805512366,164101,1197,6,384,391637,0 48,2,2024-09-07 08:27:20:700,117645,117645,0,0,4051598,0,2083 48,3,2024-09-07 08:27:20:755,1,94,0,0,39,740,94,0 49,0,2024-09-07 08:27:21:762,23239,0.7,22623,0.8,44388,0.8,60419,1.75 49,1,2024-09-07 08:27:21:022,164786,164786,0,0,77077306088,806167100,163020,1055,711,382,391583,0 49,2,2024-09-07 08:27:21:804,122024,122024,0,0,5237132,0,3900 49,3,2024-09-07 08:27:21:417,1,94,8,0,274,922,94,0 50,0,2024-09-07 08:27:21:515,20547,0.2,20206,0.4,40719,0.1,54644,1.50 50,1,2024-09-07 08:27:21:013,165907,165907,0,0,78226511018,812635000,164127,1483,297,368,391530,0 50,2,2024-09-07 08:27:21:067,120358,120358,0,0,4491296,0,2253 50,3,2024-09-07 08:27:21:301,1,94,18,0,335,982,94,0 51,0,2024-09-07 08:27:21:697,25319,0.2,24794,0.4,48582,0.2,65531,1.50 51,1,2024-09-07 08:27:21:700,165507,165507,0,0,78501865016,815559130,163699,1024,784,367,391637,0 51,2,2024-09-07 08:27:21:320,115035,115035,0,0,3719047,0,2448 51,3,2024-09-07 08:27:21:027,1,94,1,0,162,622,94,0 52,0,2024-09-07 08:27:21:435,26149,0.7,26234,0.8,52447,0.8,69468,2.25 52,1,2024-09-07 08:27:20:578,164637,164637,0,0,77172011899,813015973,161358,2609,670,368,391722,0 52,2,2024-09-07 08:27:21:778,116528,116528,0,0,5424923,0,4779 52,3,2024-09-07 08:27:20:675,1,94,1,0,1782,2581,94,0 53,0,2024-09-07 08:27:21:760,23534,1.6,22772,1.3,47497,2.1,62895,2.75 53,1,2024-09-07 08:27:20:775,164677,164677,0,0,77492985096,814737493,161636,2460,581,370,391617,0 53,2,2024-09-07 08:27:21:298,122942,122942,0,0,4754006,0,1902 53,3,2024-09-07 08:27:20:697,1,94,9,0,133,738,94,0 54,0,2024-09-07 08:27:21:646,19127,3.9,19481,1.5,38156,1.2,52274,4.50 54,1,2024-09-07 08:27:20:585,164977,164977,0,0,77959570857,814246445,162572,2089,316,367,391520,0 54,2,2024-09-07 08:27:20:868,121037,121031,6,0,5667901,0,5382 54,3,2024-09-07 08:27:20:769,1,94,18,0,676,1990,94,0 55,0,2024-09-07 08:27:21:804,24293,0.3,25061,0.6,50616,0.2,65793,2.00 55,1,2024-09-07 08:27:20:764,164055,164055,0,0,77304902132,812239941,160352,2993,710,367,391731,0 55,2,2024-09-07 08:27:20:733,115055,115055,0,0,5258439,0,3275 55,3,2024-09-07 08:27:20:675,1,94,3,0,136,957,94,0 56,0,2024-09-07 08:27:21:591,26029,1.7,24571,1.3,50521,2.2,67936,2.50 56,1,2024-09-07 08:27:20:583,164566,164566,0,0,78030830578,827292432,161044,2773,749,382,391678,0 56,2,2024-09-07 08:27:21:303,117705,117705,0,0,5799999,0,3567 56,3,2024-09-07 08:27:21:059,1,94,8,0,297,1073,94,0 57,0,2024-09-07 08:27:20:954,24379,2.7,24206,1.7,48642,4.5,65304,4.25 57,1,2024-09-07 08:27:21:005,164657,164657,0,0,77329520235,810018009,162750,1739,168,368,391760,0 57,2,2024-09-07 08:27:21:320,121186,121186,0,0,5912808,0,3178 57,3,2024-09-07 08:27:21:773,1,94,8,0,359,1777,94,0 58,0,2024-09-07 08:27:20:566,18876,0.6,18482,0.9,38754,0.4,50884,2.75 58,1,2024-09-07 08:27:20:575,166144,166141,0,3,78139877555,813389760,164410,1571,160,369,391516,3 58,2,2024-09-07 08:27:21:075,120954,120954,0,0,5397805,0,2549 58,3,2024-09-07 08:27:21:068,1,94,6,0,219,955,94,0 59,0,2024-09-07 08:27:21:763,24412,0.5,24165,0.7,48555,0.4,64562,2.25 59,1,2024-09-07 08:27:20:827,164803,164803,0,0,77392703265,813121959,162036,1913,854,369,391515,0 59,2,2024-09-07 08:27:20:585,117633,117633,0,0,4729091,0,2604 59,3,2024-09-07 08:27:21:773,1,94,2,0,1015,2149,94,0 60,0,2024-09-07 08:27:21:741,24421,0.5,24597,0.6,48520,0.5,64521,1.75 60,1,2024-09-07 08:27:20:775,166561,166561,0,0,77788188001,807815915,165470,742,349,370,391758,0 60,2,2024-09-07 08:27:21:149,117261,117261,0,0,4381257,0,2142 60,3,2024-09-07 08:27:21:260,1,94,1,0,124,987,94,0 61,0,2024-09-07 08:27:21:515,25329,2.2,25476,1.4,50848,3.5,67815,2.25 61,1,2024-09-07 08:27:20:772,164805,164805,0,0,77497481166,815059261,162213,2056,536,382,391589,0 61,2,2024-09-07 08:27:21:137,118726,118726,0,0,4592771,0,1846 61,3,2024-09-07 08:27:21:723,1,94,9,0,199,1267,94,0 62,0,2024-09-07 08:27:21:745,21524,0.9,22003,1.0,42188,1.3,57160,2.00 62,1,2024-09-07 08:27:21:111,165934,165928,0,6,78373274929,810080868,164673,1217,38,365,391586,6 62,2,2024-09-07 08:27:21:657,121198,121198,0,0,5150247,0,2931 62,3,2024-09-07 08:27:21:143,1,94,34,0,287,735,94,0 63,0,2024-09-07 08:27:21:466,21564,0.3,21530,0.5,43284,0.2,57824,1.75 63,1,2024-09-07 08:27:20:809,166174,166168,0,6,77706774952,802798283,165759,406,3,381,391540,6 63,2,2024-09-07 08:27:20:762,119502,119502,0,0,4584479,0,2674 63,3,2024-09-07 08:27:21:733,1,94,1,0,667,1940,94,0 64,0,2024-09-07 08:27:21:532,24130,0.4,23934,0.6,48118,0.4,64016,1.75 64,1,2024-09-07 08:27:20:750,165420,165420,0,0,77720100587,815643392,162964,1661,795,371,391783,0 64,2,2024-09-07 08:27:21:141,116501,116482,19,0,5171518,0,6121 64,3,2024-09-07 08:27:21:149,1,94,5,0,265,1099,94,0 65,0,2024-09-07 08:27:21:727,24962,1.8,25314,1.2,50691,2.2,67221,3.00 65,1,2024-09-07 08:27:20:860,164769,164769,0,0,77315544366,810515662,162944,1605,220,382,391770,0 65,2,2024-09-07 08:27:21:714,117797,117797,0,0,5375173,0,3367 65,3,2024-09-07 08:27:21:724,1,94,9,0,163,1000,94,0 66,0,2024-09-07 08:27:21:837,23464,0.6,23621,0.8,47438,0.5,63043,2.25 66,1,2024-09-07 08:27:21:306,166075,166075,0,0,77377188526,802538361,165380,673,22,380,391588,0 66,2,2024-09-07 08:27:21:148,123733,123733,0,0,4986580,0,3867 66,3,2024-09-07 08:27:21:080,1,94,1,0,291,997,94,0 67,0,2024-09-07 08:27:21:428,19435,0.4,19349,0.6,38348,0.3,51443,2.00 67,1,2024-09-07 08:27:20:767,164925,164924,0,1,77266129328,809511290,162879,1520,525,382,391787,1 67,2,2024-09-07 08:27:20:584,121535,121535,0,0,4519086,0,2889 67,3,2024-09-07 08:27:21:769,1,94,0,0,138,826,94,0 68,0,2024-09-07 08:27:20:585,25462,0.5,25365,0.7,50595,0.5,67230,2.00 68,1,2024-09-07 08:27:20:574,164358,164358,0,0,77700015590,815299926,162726,1110,522,381,391953,0 68,2,2024-09-07 08:27:21:049,114873,114873,0,0,4737549,0,4883 68,3,2024-09-07 08:27:20:739,1,94,14,0,151,1014,94,0 69,0,2024-09-07 08:27:21:801,25940,1.2,26152,1.1,51890,1.6,69281,2.50 69,1,2024-09-07 08:27:21:026,164491,164491,0,0,77690028650,822240905,162292,1326,873,384,391611,0 69,2,2024-09-07 08:27:21:751,117147,117147,0,0,5467447,0,3153 69,3,2024-09-07 08:27:20:762,1,94,16,0,238,1308,94,0 70,0,2024-09-07 08:27:21:568,24146,2.9,24204,2.0,48766,1.6,64732,4.25 70,1,2024-09-07 08:27:20:800,165553,165553,0,0,78116454116,809977990,164365,1028,160,368,391725,0 70,2,2024-09-07 08:27:21:328,121526,121526,0,0,5814226,0,4044 70,3,2024-09-07 08:27:20:746,1,94,2,0,178,554,94,0 71,0,2024-09-07 08:27:21:367,18779,1.2,18905,1.9,37376,1.6,51128,3.50 71,1,2024-09-07 08:27:21:603,165263,165263,0,0,77551282074,811284726,162843,2087,333,368,391682,0 71,2,2024-09-07 08:27:21:070,121512,121512,0,0,5137408,0,2146 71,3,2024-09-07 08:27:21:822,1,94,0,0,219,1118,94,0 72,0,2024-09-07 08:27:21:066,25665,0.3,25005,0.5,49064,0.2,66472,2.00 72,1,2024-09-07 08:27:21:037,165485,165485,0,0,77151305489,803641507,163825,1582,78,370,391556,0 72,2,2024-09-07 08:27:21:780,115101,115101,0,0,6032320,0,2570 72,3,2024-09-07 08:27:21:785,1,94,1,0,325,1443,94,0 73,0,2024-09-07 08:27:21:111,24172,0.4,24847,0.6,50639,0.4,65896,2.25 73,1,2024-09-07 08:27:20:772,165293,165293,0,0,77694605522,807099717,164317,931,45,368,391627,0 73,2,2024-09-07 08:27:21:739,118718,118718,0,0,5693775,0,3482 73,3,2024-09-07 08:27:20:971,1,94,9,0,274,1458,94,0 74,0,2024-09-07 08:27:21:333,25635,1.1,26039,1.1,49921,1.7,67846,3.00 74,1,2024-09-07 08:27:20:639,164968,164968,0,0,76734853038,802002060,163066,1330,572,382,391511,0 74,2,2024-09-07 08:27:21:005,119371,119371,0,0,6262653,0,4253 74,3,2024-09-07 08:27:21:442,1,94,1,0,246,1518,94,0 75,0,2024-09-07 08:27:21:802,20245,1.6,20284,1.4,40309,1.9,54596,3.00 75,1,2024-09-07 08:27:21:586,164842,164842,0,0,77268251749,810242442,162613,1866,363,381,391579,0 75,2,2024-09-07 08:27:21:351,121176,121176,0,0,5709477,0,4766 75,3,2024-09-07 08:27:21:070,1,94,5,0,535,1259,94,0 76,0,2024-09-07 08:27:20:591,23174,0.3,22904,0.5,45673,0.2,61398,1.75 76,1,2024-09-07 08:27:20:806,164968,164968,0,0,77134441604,808075095,163153,1308,507,382,391530,0 76,2,2024-09-07 08:27:21:060,119291,119291,0,0,4617039,0,3064 76,3,2024-09-07 08:27:21:142,1,94,1,0,175,1090,94,0 77,0,2024-09-07 08:27:21:716,24326,0.6,24455,0.8,48975,0.6,64209,1.75 77,1,2024-09-07 08:27:20:847,165297,165297,0,0,77620589131,808471088,164253,975,69,383,391555,0 77,2,2024-09-07 08:27:21:281,117374,117374,0,0,4030871,0,1695 77,3,2024-09-07 08:27:21:097,1,94,21,0,139,942,94,0 78,0,2024-09-07 08:27:21:750,25300,0.8,25233,0.9,50470,0.9,66882,2.25 78,1,2024-09-07 08:27:20:611,165958,165958,0,0,77500454826,805631855,164533,1220,205,367,391589,0 78,2,2024-09-07 08:27:21:412,118122,118122,0,0,4256535,0,2114 78,3,2024-09-07 08:27:21:132,1,94,2,0,181,1333,94,0 79,0,2024-09-07 08:27:21:367,21828,0.6,22364,0.9,45761,0.6,59244,2.50 79,1,2024-09-07 08:27:20:571,165903,165903,0,0,77654770953,805333231,164562,1272,69,370,391682,0 79,2,2024-09-07 08:27:21:068,122340,122340,0,0,4713874,0,2679 79,3,2024-09-07 08:27:20:749,1,94,3,0,289,989,94,0 80,0,2024-09-07 08:27:21:084,20207,0.5,20930,0.6,40173,0.4,54327,2.00 80,1,2024-09-07 08:27:21:624,165123,165123,0,0,77127488164,803383940,163480,1527,116,369,391673,0 80,2,2024-09-07 08:27:21:095,120910,120910,0,0,4959165,0,4433 80,3,2024-09-07 08:27:20:577,1,94,40,1,190,1500,94,0 81,0,2024-09-07 08:27:21:584,24794,0.5,25533,0.6,48840,0.5,65541,1.75 81,1,2024-09-07 08:27:21:656,165146,165146,0,0,76639107102,803782128,163243,1705,198,382,391680,0 81,2,2024-09-07 08:27:21:129,114744,114744,0,0,5184000,0,3993 81,3,2024-09-07 08:27:21:132,1,94,12,0,193,953,94,0 82,0,2024-09-07 08:27:21:555,25938,0.8,25947,0.9,52152,0.8,69459,2.25 82,1,2024-09-07 08:27:20:584,165003,164999,0,4,76950862507,805628205,162818,1607,574,382,391558,4 82,2,2024-09-07 08:27:21:698,117982,117982,0,0,4385152,0,2230 82,3,2024-09-07 08:27:21:752,1,94,1,0,227,1532,94,0 83,0,2024-09-07 08:27:21:556,23758,1.4,23609,1.2,46839,1.6,62920,2.75 83,1,2024-09-07 08:27:20:560,165038,165038,0,0,77284982454,807713453,163564,1373,101,383,391553,0 83,2,2024-09-07 08:27:20:765,121684,121684,0,0,5344878,0,3119 83,3,2024-09-07 08:27:20:760,1,94,7,0,91,722,94,0 84,0,2024-09-07 08:27:21:883,19664,1.5,19378,1.7,38891,0.9,52942,2.75 84,1,2024-09-07 08:27:21:162,164522,164522,0,0,77228482824,810632382,162471,1733,318,369,391638,0 84,2,2024-09-07 08:27:20:581,120947,120947,0,0,5357261,0,3801 84,3,2024-09-07 08:27:21:145,1,94,14,1,58,902,94,0 85,0,2024-09-07 08:27:21:071,24064,0.4,24083,0.6,51087,0.3,66351,1.75 85,1,2024-09-07 08:27:20:656,164484,164484,0,0,77422425401,818673394,161788,2267,429,383,391679,0 85,2,2024-09-07 08:27:20:870,115054,115054,0,0,5545077,0,3656 85,3,2024-09-07 08:27:20:689,1,94,14,0,115,962,94,0 86,0,2024-09-07 08:27:21:016,25268,0.7,26110,0.8,50294,0.9,67942,2.00 86,1,2024-09-07 08:27:20:881,164582,164582,0,0,77712844943,814635885,162151,2155,276,367,391622,0 86,2,2024-09-07 08:27:20:882,117065,117064,1,0,5958636,0,5004 86,3,2024-09-07 08:27:20:592,1,94,18,0,199,1133,94,0 87,0,2024-09-07 08:27:21:311,24893,2.5,24683,1.5,49606,3.8,66487,3.00 87,1,2024-09-07 08:27:20:550,164951,164951,0,0,77209850873,810476656,162865,1947,139,366,391788,0 87,2,2024-09-07 08:27:21:067,120804,120804,0,0,4892744,0,2859 87,3,2024-09-07 08:27:21:822,1,94,3,1,335,1673,94,0 88,0,2024-09-07 08:27:21:484,19568,0.5,19926,0.8,39440,0.6,52783,1.75 88,1,2024-09-07 08:27:20:572,164920,164920,0,0,77734395465,811832287,163593,1230,97,367,391787,0 88,2,2024-09-07 08:27:20:688,121547,121547,0,0,6033092,0,3583 88,3,2024-09-07 08:27:21:275,1,94,9,1,77,1095,94,0 89,0,2024-09-07 08:27:21:839,24913,0.3,24178,0.5,47971,0.2,65126,1.50 89,1,2024-09-07 08:27:20:577,164258,164258,0,0,77637158560,824546861,160753,2768,737,382,391866,0 89,2,2024-09-07 08:27:21:135,117008,117008,0,0,5337594,0,2726 89,3,2024-09-07 08:27:21:799,1,94,29,0,325,2065,94,0 90,0,2024-09-07 08:27:21:652,23565,0.4,24087,0.6,49481,0.4,64456,1.75 90,1,2024-09-07 08:27:20:592,165175,165175,0,0,77400741997,814501166,163074,1957,144,382,391825,0 90,2,2024-09-07 08:27:21:422,116381,116381,0,0,6188632,0,2635 90,3,2024-09-07 08:27:20:931,1,94,2,1,200,1039,94,0 91,0,2024-09-07 08:27:20:941,25878,0.6,25049,0.7,51970,0.7,68235,1.75 91,1,2024-09-07 08:27:20:560,164978,164978,0,0,77497115518,816937019,162360,2221,397,383,391914,0 91,2,2024-09-07 08:27:21:332,117673,117673,0,0,5426980,0,1997 91,3,2024-09-07 08:27:20:603,1,94,6,0,155,1291,94,0 92,0,2024-09-07 08:27:21:484,21756,0.7,22201,0.9,42382,0.9,57329,1.75 92,1,2024-09-07 08:27:20:590,165565,165565,0,0,77825776701,810263847,164895,600,70,382,391717,0 92,2,2024-09-07 08:27:21:352,123055,123055,0,0,5020138,0,2279 92,3,2024-09-07 08:27:21:012,1,94,1,0,68,935,94,0 93,0,2024-09-07 08:27:21:092,21755,0.3,22160,0.5,42310,0.2,57964,1.50 93,1,2024-09-07 08:27:20:925,165418,165418,0,0,77406379637,809450028,163639,1342,437,367,391689,0 93,2,2024-09-07 08:27:20:943,119974,119974,0,0,4549689,0,2509 93,3,2024-09-07 08:27:21:411,1,94,15,0,143,927,94,0 94,0,2024-09-07 08:27:21:660,24336,0.3,24511,0.5,48503,0.3,63953,1.50 94,1,2024-09-07 08:27:20:566,164763,164763,0,0,77130852357,808779104,163144,1551,68,381,391850,0 94,2,2024-09-07 08:27:20:766,115597,115597,0,0,4498324,0,2443 94,3,2024-09-07 08:27:21:689,1,94,30,1,231,1513,94,0 95,0,2024-09-07 08:27:21:369,25733,0.4,25568,0.6,51543,0.4,68117,1.75 95,1,2024-09-07 08:27:20:915,165556,165556,0,0,77038440485,799972814,164742,750,64,367,391590,0 95,2,2024-09-07 08:27:21:019,117523,117523,0,0,4877494,0,3308 95,3,2024-09-07 08:27:21:723,1,94,2,0,307,1641,94,0 96,0,2024-09-07 08:27:21:156,24032,0.7,24003,0.7,47939,0.7,63473,1.75 96,1,2024-09-07 08:27:21:598,165297,165297,0,0,77220470354,810376700,163442,1284,571,385,391596,0 96,2,2024-09-07 08:27:21:271,122706,122706,0,0,5415483,0,4038 96,3,2024-09-07 08:27:21:143,1,94,12,0,69,873,94,0 97,0,2024-09-07 08:27:21:428,19054,0.3,19201,0.5,38498,0.2,51378,1.75 97,1,2024-09-07 08:27:20:769,165354,165354,0,0,77775658614,812514341,163151,1684,519,367,392140,0 97,2,2024-09-07 08:27:20:617,120519,120519,0,0,4741275,0,3036 97,3,2024-09-07 08:27:20:624,1,94,14,1,165,1179,94,0 98,0,2024-09-07 08:27:21:703,25391,0.2,25159,0.4,50988,0.2,67407,1.50 98,1,2024-09-07 08:27:20:579,165086,165086,0,0,77505525864,809411840,163873,1156,57,382,391997,0 98,2,2024-09-07 08:27:20:769,116054,116054,0,0,4900476,0,3080 98,3,2024-09-07 08:27:20:706,1,94,249,1,840,2157,94,0 99,0,2024-09-07 08:27:21:467,26045,0.3,26009,0.5,52011,0.3,69506,1.75 99,1,2024-09-07 08:27:21:729,165738,165738,0,0,77079298058,804918220,164235,1057,446,381,391744,0 99,2,2024-09-07 08:27:21:418,118450,118450,0,0,5096502,0,1858 99,3,2024-09-07 08:27:20:590,1,94,5,0,129,784,94,0 100,0,2024-09-07 08:27:21:531,24547,1.6,24315,2.0,48611,4.5,65456,2.50 100,1,2024-09-07 08:27:20:579,164181,164181,0,0,77101014784,819510128,160949,2814,418,381,391989,0 100,2,2024-09-07 08:27:21:822,121511,121500,11,0,6391677,0,5417 100,3,2024-09-07 08:27:21:754,1,94,3,0,443,2039,94,0 101,0,2024-09-07 08:27:21:767,19447,2.5,18979,1.4,37372,1.2,52052,2.75 101,1,2024-09-07 08:27:20:582,163747,163747,0,0,77359416379,817703083,161041,1627,1079,369,391709,0 101,2,2024-09-07 08:27:21:823,120745,120745,0,0,5667489,0,4644 101,3,2024-09-07 08:27:20:950,1,94,8,0,448,1191,94,0 102,0,2024-09-07 08:27:20:996,24310,0.3,25144,0.5,50653,0.2,66136,1.75 102,1,2024-09-07 08:27:21:165,164719,164719,0,0,76604603228,808414705,162148,2102,469,369,391831,0 102,2,2024-09-07 08:27:21:746,116548,116548,0,0,4559771,0,2144 102,3,2024-09-07 08:27:21:619,1,94,2,0,410,1024,94,0 103,0,2024-09-07 08:27:21:642,25459,0.5,25383,0.7,48162,0.5,66376,1.75 103,1,2024-09-07 08:27:21:641,164713,164713,0,0,77821316287,816270805,163095,1420,198,381,391680,0 103,2,2024-09-07 08:27:20:605,117638,117638,0,0,5266882,0,2104 103,3,2024-09-07 08:27:20:756,1,94,2,0,486,1348,94,0 104,0,2024-09-07 08:27:21:042,25462,1.5,25396,1.3,49898,1.8,68108,2.50 104,1,2024-09-07 08:27:21:608,165441,165441,0,0,76893089376,808951511,163139,1923,379,366,391948,0 104,2,2024-09-07 08:27:21:706,118826,118826,0,0,5622367,0,3941 104,3,2024-09-07 08:27:21:417,1,94,2,1,1245,3985,94,0 105,0,2024-09-07 08:27:21:072,19978,0.8,19321,1.4,40179,1.2,54143,3.00 105,1,2024-09-07 08:27:20:566,164922,164922,0,0,77570719019,816594050,161963,2212,747,367,391797,0 105,2,2024-09-07 08:27:21:340,121094,121094,0,0,5462624,0,3314 105,3,2024-09-07 08:27:21:309,1,94,9,1,399,1750,94,0 106,0,2024-09-07 08:27:20:990,22363,0.4,22989,0.6,46573,0.3,61395,1.75 106,1,2024-09-07 08:27:21:753,165168,165168,0,0,76900070192,808365414,162630,2210,328,369,391767,0 106,2,2024-09-07 08:27:20:829,117824,117824,0,0,5304150,0,2795 106,3,2024-09-07 08:27:20:678,1,94,2,1,201,980,94,0 107,0,2024-09-07 08:27:21:117,24478,0.5,24333,0.6,48402,0.4,64457,1.75 107,1,2024-09-07 08:27:20:599,164585,164585,0,0,77823039964,819568326,162632,1763,190,381,392234,0 107,2,2024-09-07 08:27:21:301,116333,116332,1,0,5176109,0,5024 107,3,2024-09-07 08:27:21:777,1,94,18,0,353,1460,94,0 108,0,2024-09-07 08:27:21:861,25429,0.5,25641,0.7,50563,0.5,67219,1.75 108,1,2024-09-07 08:27:21:299,165121,165121,0,0,77545438022,810365926,163637,1348,136,371,391857,0 108,2,2024-09-07 08:27:21:775,117282,117282,0,0,5041608,0,2647 108,3,2024-09-07 08:27:21:329,1,94,22,0,73,1191,94,0 109,0,2024-09-07 08:27:21:820,22700,0.7,22840,0.8,45253,0.8,60444,1.75 109,1,2024-09-07 08:27:20:590,164802,164802,0,0,78108559466,821150603,163123,1294,385,383,391812,0 109,2,2024-09-07 08:27:20:920,120963,120963,0,0,5434127,0,3617 109,3,2024-09-07 08:27:21:147,1,94,16,1,249,1497,94,0 110,0,2024-09-07 08:27:21:803,20506,0.2,19881,0.4,41316,0.2,54305,1.50 110,1,2024-09-07 08:27:21:647,165381,165381,0,0,77771994756,808147943,164051,1041,289,370,391667,0 110,2,2024-09-07 08:27:21:303,120757,120757,0,0,4574045,0,2915 110,3,2024-09-07 08:27:20:692,1,94,52,0,183,923,94,0 111,0,2024-09-07 08:27:21:436,24904,0.2,24478,0.4,49049,0.1,65542,1.50 111,1,2024-09-07 08:27:21:002,165881,165881,0,0,78132287825,808097718,165233,634,14,382,391690,0 111,2,2024-09-07 08:27:21:117,115412,115412,0,0,4806123,0,2763 111,3,2024-09-07 08:27:20:913,1,94,7,0,300,1658,94,0 112,0,2024-09-07 08:27:20:917,26311,0.4,26219,0.5,52266,0.3,69787,1.75 112,1,2024-09-07 08:27:20:830,165039,165039,0,0,77437096477,807847783,163425,1268,346,381,391580,0 112,2,2024-09-07 08:27:21:147,117306,117305,1,0,5411490,0,5036 112,3,2024-09-07 08:27:20:592,1,94,3,0,282,1031,94,0 113,0,2024-09-07 08:27:20:882,23747,0.5,23502,0.7,47889,0.5,63930,1.75 113,1,2024-09-07 08:27:21:700,165434,165434,0,0,77575733229,805477215,163539,1511,384,368,391661,0 113,2,2024-09-07 08:27:21:323,123119,123119,0,0,4486174,0,3021 113,3,2024-09-07 08:27:20:683,1,94,16,0,288,1529,94,0 114,0,2024-09-07 08:27:20:887,19899,2.4,20235,1.1,39473,0.7,53496,2.00 114,1,2024-09-07 08:27:20:717,165463,165463,0,0,77921646265,817579760,162835,1747,881,381,391531,0 114,2,2024-09-07 08:27:20:878,120708,120708,0,0,5099707,0,3925 114,3,2024-09-07 08:27:21:280,1,94,68,0,395,1621,94,0 115,0,2024-09-07 08:27:20:569,24750,0.2,24984,0.4,50378,0.1,67028,1.50 115,1,2024-09-07 08:27:20:577,165304,165304,0,0,77731164250,812606999,162918,1899,487,383,391528,0 115,2,2024-09-07 08:27:21:128,115655,115655,0,0,4222509,0,2152 115,3,2024-09-07 08:27:21:002,1,94,1,0,159,592,94,0 116,0,2024-09-07 08:27:21:751,25591,0.8,25137,0.9,50904,1.0,67967,2.00 116,1,2024-09-07 08:27:20:819,164150,164150,0,0,77270411441,819488725,161662,1433,1055,382,391605,0 116,2,2024-09-07 08:27:21:752,116918,116918,0,0,5839679,0,3529 116,3,2024-09-07 08:27:20:930,1,94,2,1,252,1427,94,0 117,0,2024-09-07 08:27:20:964,24838,2.0,24863,1.3,49444,3.2,66604,2.25 117,1,2024-09-07 08:27:21:582,165042,165042,0,0,77160144838,812814594,162433,2271,338,370,392033,0 117,2,2024-09-07 08:27:21:118,121694,121694,0,0,5282122,0,3700 117,3,2024-09-07 08:27:21:059,1,94,8,0,490,1974,94,0 118,0,2024-09-07 08:27:21:846,19125,0.6,19593,0.8,40142,0.6,52598,2.00 118,1,2024-09-07 08:27:20:619,165100,165100,0,0,76416194823,802478844,163309,1622,169,368,391736,0 118,2,2024-09-07 08:27:21:595,121326,121326,0,0,5643837,0,2781 118,3,2024-09-07 08:27:21:765,1,94,2,1,235,1330,94,0 119,0,2024-09-07 08:27:21:413,23928,0.4,23899,0.6,48744,0.3,64531,1.75 119,1,2024-09-07 08:27:20:560,165209,165209,0,0,77868396294,815585698,163203,1725,281,370,391641,0 119,2,2024-09-07 08:27:21:272,116620,116620,0,0,4934866,0,2532 119,3,2024-09-07 08:27:21:327,1,94,1,0,443,2325,94,0 120,0,2024-09-07 08:27:21:603,24106,0.5,24212,0.8,48009,0.5,64403,2.00 120,1,2024-09-07 08:27:20:901,165227,165227,0,0,77688542336,814190601,163688,1522,17,368,391702,0 120,2,2024-09-07 08:27:20:843,116937,116936,1,0,6243562,0,5281 120,3,2024-09-07 08:27:21:298,1,94,2,0,241,1487,94,0 121,0,2024-09-07 08:27:21:741,25296,2.1,25592,1.4,50989,3.4,68143,2.50 121,1,2024-09-07 08:27:21:660,164755,164755,0,0,76831571109,805002503,162815,1668,272,367,391840,0 121,2,2024-09-07 08:27:21:180,117666,117666,0,0,6398122,0,4127 121,3,2024-09-07 08:27:20:787,1,94,19,0,159,1134,94,0 122,0,2024-09-07 08:27:21:793,21406,2.0,20701,1.7,43186,2.4,57321,2.25 122,1,2024-09-07 08:27:20:863,164253,164253,0,0,77847483528,820694517,161234,2495,524,368,392130,0 122,2,2024-09-07 08:27:21:362,121860,121860,0,0,6394896,0,3364 122,3,2024-09-07 08:27:20:596,1,94,7,0,298,1923,94,0 123,0,2024-09-07 08:27:20:983,21504,0.3,20893,0.5,43686,0.2,57590,1.75 123,1,2024-09-07 08:27:20:571,165696,165696,0,0,77797113252,822584048,162214,2914,568,369,391823,0 123,2,2024-09-07 08:27:21:030,119118,119117,1,0,5392169,0,5215 123,3,2024-09-07 08:27:21:140,1,94,3,1,168,1453,94,0 124,0,2024-09-07 08:27:20:948,24953,0.3,24912,0.4,47033,0.2,64257,1.50 124,1,2024-09-07 08:27:21:038,165213,165213,0,0,77348248011,801615049,164400,668,145,367,392178,0 124,2,2024-09-07 08:27:21:012,116069,116069,0,0,4236555,0,2477 124,3,2024-09-07 08:27:20:759,1,94,12,1,490,1712,94,0 125,0,2024-09-07 08:27:21:433,25828,0.6,25766,0.6,51542,0.6,68071,1.75 125,1,2024-09-07 08:27:20:858,164926,164926,0,0,77152464276,806963633,163169,1484,273,384,391702,0 125,2,2024-09-07 08:27:21:144,117494,117494,0,0,4692409,0,2180 125,3,2024-09-07 08:27:21:128,1,94,8,1,93,1186,94,0 126,0,2024-09-07 08:27:21:445,24035,1.0,24601,0.9,47261,1.2,63960,1.75 126,1,2024-09-07 08:27:20:552,165894,165894,0,0,77928628064,807992001,164841,998,55,365,391987,0 126,2,2024-09-07 08:27:20:616,122733,122733,0,0,5051345,0,3186 126,3,2024-09-07 08:27:20:910,1,94,0,0,122,1238,94,0 127,0,2024-09-07 08:27:21:612,19206,0.2,19101,0.4,38331,0.2,51357,1.50 127,1,2024-09-07 08:27:20:574,165496,165496,0,0,77636067962,804566915,164353,1120,23,365,391614,0 127,2,2024-09-07 08:27:20:643,121334,121334,0,0,4274989,0,1803 127,3,2024-09-07 08:27:21:267,1,94,1,0,99,715,94,0 128,0,2024-09-07 08:27:21:526,25560,0.3,25564,0.4,50545,0.2,67483,1.50 128,1,2024-09-07 08:27:21:609,165392,165392,0,0,78041903436,808954033,164656,666,70,367,391680,0 128,2,2024-09-07 08:27:21:386,114584,114584,0,0,4994269,0,2107 128,3,2024-09-07 08:27:20:767,1,94,29,1,112,1057,94,0 129,0,2024-09-07 08:27:21:005,26203,0.4,26131,0.5,52060,0.3,69461,1.75 129,1,2024-09-07 08:27:20:576,164612,164612,0,0,77249188311,811277657,162488,1837,287,379,391835,0 129,2,2024-09-07 08:27:20:705,117009,117009,0,0,4440072,0,2446 129,3,2024-09-07 08:27:20:688,1,94,14,1,173,1362,94,0 130,0,2024-09-07 08:27:21:770,24747,1.3,24578,1.0,49221,1.9,66102,2.00 130,1,2024-09-07 08:27:20:594,165093,165093,0,0,77398748166,807950626,163768,1290,35,381,391825,0 130,2,2024-09-07 08:27:21:129,122167,122167,0,0,5323486,0,4067 130,3,2024-09-07 08:27:21:295,1,94,4,0,207,706,94,0 131,0,2024-09-07 08:27:22:090,19388,0.7,19371,0.8,39068,1.0,52450,1.75 131,1,2024-09-07 08:27:21:830,166047,166047,0,0,77595026395,809065603,164951,909,187,384,391865,0 131,2,2024-09-07 08:27:20:574,121622,121622,0,0,4492182,0,2415 131,3,2024-09-07 08:27:21:689,1,94,1,0,392,1232,94,0 132,0,2024-09-07 08:27:21:430,24608,0.3,24996,0.5,50053,0.2,66378,1.75 132,1,2024-09-07 08:27:20:752,164228,164228,0,0,77241937230,817531395,161035,2442,751,381,391719,0 132,2,2024-09-07 08:27:20:703,115620,115620,0,0,6569265,0,4606 132,3,2024-09-07 08:27:21:688,1,94,1,0,356,1434,94,0 133,0,2024-09-07 08:27:21:533,24174,0.5,24587,0.6,50653,0.5,65729,1.75 133,1,2024-09-07 08:27:20:584,163802,163802,0,0,77175301144,816343906,161120,2508,174,383,391755,0 133,2,2024-09-07 08:27:21:095,117728,117728,0,0,5993292,0,2444 133,3,2024-09-07 08:27:21:311,1,94,16,1,187,802,94,0 134,0,2024-09-07 08:27:20:970,25593,0.9,25455,1.0,51213,0.9,68018,2.00 134,1,2024-09-07 08:27:20:628,164926,164926,0,0,76340269188,803860469,162162,1782,982,366,391718,0 134,2,2024-09-07 08:27:21:768,119239,119239,0,0,4983902,0,2026 134,3,2024-09-07 08:27:20:758,1,94,1,0,739,2099,94,0 135,0,2024-09-07 08:27:21:145,19641,1.4,19675,1.4,41608,1.5,53901,2.25 135,1,2024-09-07 08:27:21:586,164135,164135,0,0,77074380751,812390216,161462,2125,548,380,391703,0 135,2,2024-09-07 08:27:20:688,121876,121876,0,0,5642864,0,3981 135,3,2024-09-07 08:27:21:001,1,94,3,0,89,624,94,0 136,0,2024-09-07 08:27:21:642,23124,0.3,22910,0.6,46194,0.3,61935,1.75 136,1,2024-09-07 08:27:21:446,165150,165150,0,0,77213273539,809844641,163187,1816,147,384,391621,0 136,2,2024-09-07 08:27:21:134,119306,119306,0,0,5022938,0,3506 136,3,2024-09-07 08:27:21:107,1,94,7,0,108,929,94,0 137,0,2024-09-07 08:27:20:940,25035,0.6,24218,0.7,48006,0.6,64637,2.00 137,1,2024-09-07 08:27:20:586,165042,165042,0,0,77737856547,813361479,163006,1922,114,367,391608,0 137,2,2024-09-07 08:27:21:724,116161,116161,0,0,5979297,0,2632 137,3,2024-09-07 08:27:20:770,1,94,10,1,227,1214,94,0 138,0,2024-09-07 08:27:21:850,24639,2.3,24852,1.5,50516,3.6,67070,2.75 138,1,2024-09-07 08:27:21:706,164673,164673,0,0,77572264314,813826147,162188,2220,265,369,391954,0 138,2,2024-09-07 08:27:20:588,117781,117781,0,0,5113580,0,3263 138,3,2024-09-07 08:27:20:618,1,94,1,0,1160,2073,94,0 139,0,2024-09-07 08:27:21:413,22003,4.1,22112,2.2,44704,5.3,59632,3.50 139,1,2024-09-07 08:27:20:580,164321,164321,0,0,76705905567,814994906,161324,2267,730,381,391892,0 139,2,2024-09-07 08:27:20:710,121166,121166,0,0,6331598,0,3097 139,3,2024-09-07 08:27:21:670,1,94,1,0,244,1452,94,0 140,0,2024-09-07 08:27:21:611,20391,0.2,20242,0.4,40777,0.1,54330,1.50 140,1,2024-09-07 08:27:21:540,165824,165824,0,0,77724149016,802191037,164893,734,197,365,391606,0 140,2,2024-09-07 08:27:20:687,121194,121194,0,0,5073702,0,3388 140,3,2024-09-07 08:27:20:767,1,94,1,0,25,606,94,0 141,0,2024-09-07 08:27:21:723,24511,0.2,25308,0.4,48686,0.1,65507,1.50 141,1,2024-09-07 08:27:20:861,165491,165491,0,0,78053966173,811354960,164067,1093,331,382,391538,0 141,2,2024-09-07 08:27:21:700,115443,115443,0,0,4497564,0,2342 141,3,2024-09-07 08:27:21:046,1,94,8,0,147,685,94,0 142,0,2024-09-07 08:27:21:346,26472,0.3,26168,0.5,52040,0.3,69763,1.75 142,1,2024-09-07 08:27:20:585,165744,165744,0,0,77607080912,806969337,165182,546,16,384,391649,0 142,2,2024-09-07 08:27:21:310,116350,116350,0,0,4919725,0,2293 142,3,2024-09-07 08:27:21:752,1,94,4,0,484,1677,94,0 143,0,2024-09-07 08:27:21:378,23757,1.0,23914,0.9,48091,1.3,63809,2.00 143,1,2024-09-07 08:27:20:558,165322,165322,0,0,77460333084,802560394,164338,955,29,367,391619,0 143,2,2024-09-07 08:27:20:770,122496,122496,0,0,5347017,0,2669 143,3,2024-09-07 08:27:21:140,1,94,1,0,236,1226,94,0 144,0,2024-09-07 08:27:21:551,19095,1.1,19606,3.8,39869,1.1,53198,2.25 144,1,2024-09-07 08:27:20:573,164840,164840,0,0,77230424443,811314963,163226,1419,195,381,391638,0 144,2,2024-09-07 08:27:21:772,121461,121461,0,0,4826554,0,3473 144,3,2024-09-07 08:27:21:785,1,94,12,1,169,1336,94,0 145,0,2024-09-07 08:27:21:393,24113,0.4,24175,0.6,51061,0.3,66585,2.00 145,1,2024-09-07 08:27:20:559,164033,164033,0,0,76864509062,811754798,161365,2143,525,383,391615,0 145,2,2024-09-07 08:27:21:429,115770,115770,0,0,5516302,0,3903 145,3,2024-09-07 08:27:20:902,1,94,9,0,151,1222,94,0 146,0,2024-09-07 08:27:21:635,25270,0.6,25228,0.8,50863,0.6,67502,2.25 146,1,2024-09-07 08:27:21:617,165111,165111,0,0,77490237965,812950780,162758,2049,304,368,391600,0 146,2,2024-09-07 08:27:21:726,117057,117057,0,0,5192889,0,2379 146,3,2024-09-07 08:27:21:273,1,94,17,0,1520,3212,94,0 147,0,2024-09-07 08:27:21:702,24626,2.5,24542,1.5,48685,3.7,66498,3.00 147,1,2024-09-07 08:27:21:376,165400,165400,0,0,77784818384,808933234,163653,1391,356,368,391791,0 147,2,2024-09-07 08:27:21:021,121349,121349,0,0,5203368,0,2789 147,3,2024-09-07 08:27:20:913,1,94,1,1,141,887,94,0 0,0,2024-09-07 08:27:31:737,23743,0.6,23671,0.7,50061,0.6,64837,1.75 0,1,2024-09-07 08:27:30:805,166876,166876,0,0,78567660546,824597422,165581,1167,128,372,391692,0 0,2,2024-09-07 08:27:31:067,118346,118346,0,0,5320171,0,4480 0,3,2024-09-07 08:27:30:974,1,95,3,0,247,1509,95,0 1,0,2024-09-07 08:27:31:792,25580,2.5,25377,1.7,51145,3.1,68178,2.50 1,1,2024-09-07 08:27:30:557,166537,166537,0,0,77670080586,815560062,164737,1271,529,372,391857,0 1,2,2024-09-07 08:27:30:653,119487,119487,0,0,5056107,0,3267 1,3,2024-09-07 08:27:31:306,1,95,9,0,167,923,95,0 2,0,2024-09-07 08:27:31:571,21329,0.9,21471,1.1,42672,1.3,56942,2.00 2,1,2024-09-07 08:27:30:862,167007,167007,0,0,78424921297,815922504,165918,885,204,381,391558,0 2,2,2024-09-07 08:27:31:266,122374,122374,0,0,5183629,0,3304 2,3,2024-09-07 08:27:30:691,1,95,1,0,214,935,95,0 3,0,2024-09-07 08:27:31:752,22023,0.3,22170,0.5,44238,0.2,59205,1.75 3,1,2024-09-07 08:27:31:621,166063,166063,0,0,78078196636,816406656,163891,1784,388,382,391516,0 3,2,2024-09-07 08:27:31:143,120597,120574,23,0,5523981,0,5851 3,3,2024-09-07 08:27:31:752,1,95,2,0,103,640,95,0 4,0,2024-09-07 08:27:31:823,23587,0.3,24331,0.4,49085,0.2,64354,1.50 4,1,2024-09-07 08:27:30:592,166152,166152,0,0,78116106120,826073690,163709,1925,518,371,391846,0 4,2,2024-09-07 08:27:31:024,116806,116806,0,0,6442608,0,4528 4,3,2024-09-07 08:27:31:045,1,95,7,1,287,1512,95,0 5,0,2024-09-07 08:27:31:415,25863,0.6,25669,0.7,51711,0.8,68221,1.75 5,1,2024-09-07 08:27:30:758,165888,165888,0,0,77796645635,819899483,163584,1860,444,368,392005,0 5,2,2024-09-07 08:27:31:830,118387,118387,0,0,5338357,0,2259 5,3,2024-09-07 08:27:31:750,1,95,8,0,238,1700,95,0 6,0,2024-09-07 08:27:30:926,24255,0.8,23980,1.1,47748,1.0,64230,2.25 6,1,2024-09-07 08:27:30:748,167168,167168,0,0,78159779246,815989763,165811,1284,73,381,391603,0 6,2,2024-09-07 08:27:31:116,123816,123816,0,0,5485839,0,2411 6,3,2024-09-07 08:27:31:278,1,95,3,0,340,1575,95,0 7,0,2024-09-07 08:27:31:559,19287,0.3,19386,0.5,39080,0.2,52377,1.75 7,1,2024-09-07 08:27:30:850,167028,167028,0,0,78159320477,817420884,165612,1351,65,383,391664,0 7,2,2024-09-07 08:27:30:770,121581,121581,0,0,5053695,0,2981 7,3,2024-09-07 08:27:30:850,1,95,3,0,305,1026,95,0 8,0,2024-09-07 08:27:31:335,25470,0.3,25761,0.5,50628,0.3,67701,1.50 8,1,2024-09-07 08:27:31:022,166359,166359,0,0,78053072634,827671778,162832,2274,1253,368,391724,0 8,2,2024-09-07 08:27:30:804,115060,115060,0,0,6102701,0,2986 8,3,2024-09-07 08:27:30:590,1,95,56,0,229,1282,95,0 9,0,2024-09-07 08:27:31:205,26297,0.4,25603,0.6,53540,0.3,70083,1.75 9,1,2024-09-07 08:27:30:561,166782,166782,0,0,78180803261,828747528,163479,2300,1003,370,391738,0 9,2,2024-09-07 08:27:31:083,118224,118224,0,0,5615129,0,3360 9,3,2024-09-07 08:27:31:753,1,95,7,0,496,1690,95,0 10,0,2024-09-07 08:27:31:610,24738,0.6,24732,0.8,49531,0.6,66095,2.00 10,1,2024-09-07 08:27:30:590,166219,166219,0,0,78534920784,827551562,162879,2818,522,381,391741,0 10,2,2024-09-07 08:27:30:762,123264,123264,0,0,5788840,0,2940 10,3,2024-09-07 08:27:30:875,1,95,7,0,136,665,95,0 11,0,2024-09-07 08:27:31:018,19419,0.6,19015,0.9,39750,0.7,53733,2.25 11,1,2024-09-07 08:27:30:572,166409,166409,0,0,78124445612,823116594,163246,2174,989,384,391537,0 11,2,2024-09-07 08:27:31:123,122155,122155,0,0,5360410,0,2635 11,3,2024-09-07 08:27:31:303,1,95,1,0,720,2420,95,0 12,0,2024-09-07 08:27:30:953,25350,0.3,25204,0.4,50633,0.2,66948,1.50 12,1,2024-09-07 08:27:30:935,166391,166391,0,0,77980353362,815917592,164721,1567,103,371,391837,0 12,2,2024-09-07 08:27:31:544,117865,117865,0,0,4943716,0,2254 12,3,2024-09-07 08:27:31:065,1,95,13,0,358,1631,95,0 13,0,2024-09-07 08:27:31:343,25031,0.4,25199,0.6,50287,0.4,67199,1.75 13,1,2024-09-07 08:27:31:536,166971,166971,0,0,78223622625,822080966,165361,1243,367,384,391717,0 13,2,2024-09-07 08:27:30:607,119733,119733,0,0,4745190,0,3287 13,3,2024-09-07 08:27:31:767,1,95,42,1,416,1979,95,0 14,0,2024-09-07 08:27:30:581,25749,0.7,25927,0.8,51311,0.7,68226,2.00 14,1,2024-09-07 08:27:31:561,167945,167945,0,0,78511740991,812430237,167057,857,31,364,391546,0 14,2,2024-09-07 08:27:30:770,120665,120665,0,0,5290767,0,2793 14,3,2024-09-07 08:27:31:115,1,95,1,0,906,1376,95,0 15,0,2024-09-07 08:27:31:566,20569,0.7,20339,1.1,40908,0.8,54949,2.25 15,1,2024-09-07 08:27:31:608,166416,166416,0,0,78614288621,816992160,165581,800,35,381,391536,0 15,2,2024-09-07 08:27:30:998,123554,123554,0,0,4215439,0,3043 15,3,2024-09-07 08:27:31:405,1,95,1,0,538,2462,95,0 16,0,2024-09-07 08:27:30:939,23270,0.4,23613,0.6,46617,0.3,62699,2.00 16,1,2024-09-07 08:27:30:563,166683,166683,0,0,78389961178,819861582,165534,1121,28,372,391756,0 16,2,2024-09-07 08:27:31:434,118363,118363,0,0,5615044,0,4719 16,3,2024-09-07 08:27:31:146,1,95,2,0,231,1505,95,0 17,0,2024-09-07 08:27:31:806,25107,0.5,24601,0.6,48190,0.5,64829,1.75 17,1,2024-09-07 08:27:30:570,166585,166585,0,0,77400539718,817418772,164387,1671,527,369,391688,0 17,2,2024-09-07 08:27:31:853,119062,119062,0,0,4733242,0,2857 17,3,2024-09-07 08:27:30:574,1,95,14,0,268,1755,95,0 18,0,2024-09-07 08:27:30:946,24720,1.2,25137,1.1,50489,1.5,66787,2.50 18,1,2024-09-07 08:27:31:638,166802,166802,0,0,78008463292,811278529,165549,1035,218,368,391564,0 18,2,2024-09-07 08:27:31:755,118980,118980,0,0,5419161,0,3541 18,3,2024-09-07 08:27:30:896,1,95,1,0,163,1050,95,0 19,0,2024-09-07 08:27:31:548,22312,1.2,22572,1.2,44638,1.5,58941,3.75 19,1,2024-09-07 08:27:30:566,166886,166886,0,0,78844423404,823016382,164915,1805,166,367,391777,0 19,2,2024-09-07 08:27:31:752,123531,123531,0,0,5071298,0,3988 19,3,2024-09-07 08:27:31:129,1,95,1,0,524,1260,95,0 20,0,2024-09-07 08:27:31:387,20786,0.4,20793,0.6,41340,0.3,54949,2.00 20,1,2024-09-07 08:27:30:575,166702,166702,0,0,78012715092,819357475,164738,1788,176,370,391598,0 20,2,2024-09-07 08:27:30:928,120827,120827,0,0,5014183,0,3033 20,3,2024-09-07 08:27:30:589,1,95,323,0,414,1973,95,0 21,0,2024-09-07 08:27:31:158,25029,0.4,24896,0.5,49741,0.3,65492,1.75 21,1,2024-09-07 08:27:31:537,165996,165996,0,0,77480127748,817862737,163500,1993,503,368,391962,0 21,2,2024-09-07 08:27:31:067,117160,117160,0,0,5380080,0,3747 21,3,2024-09-07 08:27:31:410,1,95,18,0,93,1261,95,0 22,0,2024-09-07 08:27:31:731,26069,1.3,26009,1.2,52014,2.0,69467,2.50 22,1,2024-09-07 08:27:31:023,166125,166125,0,0,77692101188,825459166,161766,2988,1371,382,391667,0 22,2,2024-09-07 08:27:30:761,118172,118172,0,0,4996439,0,3134 22,3,2024-09-07 08:27:31:066,1,95,16,0,228,952,95,0 23,0,2024-09-07 08:27:31:377,23950,0.9,24069,0.9,48332,0.9,64556,2.50 23,1,2024-09-07 08:27:31:010,166968,166968,0,0,79016644009,823611628,164858,1539,571,365,391549,0 23,2,2024-09-07 08:27:31:092,123479,123479,0,0,5113233,0,2078 23,3,2024-09-07 08:27:31:754,1,95,2,0,645,1494,95,0 24,0,2024-09-07 08:27:30:818,20314,0.5,20266,0.7,40684,0.5,54162,1.75 24,1,2024-09-07 08:27:30:598,166261,166261,0,0,77619310243,814315750,164308,1278,675,369,391640,0 24,2,2024-09-07 08:27:31:069,121639,121639,0,0,6190002,0,2942 24,3,2024-09-07 08:27:31:686,1,95,1,0,234,1186,95,0 25,0,2024-09-07 08:27:31:383,26195,0.3,25603,0.5,50040,0.3,68297,1.75 25,1,2024-09-07 08:27:30:562,166317,166317,0,0,77396006484,813845852,164130,1871,316,371,391788,0 25,2,2024-09-07 08:27:31:606,115921,115921,0,0,5666708,0,3284 25,3,2024-09-07 08:27:31:000,1,95,31,0,158,988,95,0 26,0,2024-09-07 08:27:31:725,25560,0.4,24954,0.6,52191,0.4,68744,1.75 26,1,2024-09-07 08:27:31:544,167166,167166,0,0,77706831740,820332980,163618,2713,835,381,391564,0 26,2,2024-09-07 08:27:30:861,118871,118871,0,0,5585270,0,2809 26,3,2024-09-07 08:27:31:712,1,95,3,0,796,1336,95,0 27,0,2024-09-07 08:27:31:735,25179,0.8,25301,0.8,50080,0.9,67022,2.00 27,1,2024-09-07 08:27:31:676,167433,167433,0,0,78715234288,818367771,166097,1041,295,381,391539,0 27,2,2024-09-07 08:27:30:869,121368,121368,0,0,6597154,0,3409 27,3,2024-09-07 08:27:31:020,1,95,5,0,564,1415,95,0 28,0,2024-09-07 08:27:31:411,19780,0.5,20077,0.7,40058,0.5,53429,2.00 28,1,2024-09-07 08:27:30:798,167193,167193,0,0,79091612974,822717011,166128,865,200,383,391646,0 28,2,2024-09-07 08:27:31:765,122633,122633,0,0,4522755,0,2609 28,3,2024-09-07 08:27:31:778,1,95,6,0,502,1299,95,0 29,0,2024-09-07 08:27:31:361,25146,0.3,24430,0.4,48097,0.2,65988,1.50 29,1,2024-09-07 08:27:31:561,168213,168213,0,0,78355418765,809381938,167362,679,172,369,391621,0 29,2,2024-09-07 08:27:30:861,118459,118459,0,0,3936012,0,2026 29,3,2024-09-07 08:27:30:962,1,95,13,0,105,761,95,0 30,0,2024-09-07 08:27:31:466,24262,0.9,23664,0.9,49536,1.1,64761,2.50 30,1,2024-09-07 08:27:30:571,167154,167154,0,0,78694862291,818096637,166155,934,65,382,391584,0 30,2,2024-09-07 08:27:31:274,119674,119674,0,0,3872792,0,2534 30,3,2024-09-07 08:27:30:588,1,95,1,0,195,765,95,0 31,0,2024-09-07 08:27:31:775,25350,1.1,25480,1.0,51311,1.2,67902,2.25 31,1,2024-09-07 08:27:30:564,167975,167975,0,0,78700232472,805288619,167724,249,2,356,391553,0 31,2,2024-09-07 08:27:31:279,120137,120137,0,0,5561981,0,3525 31,3,2024-09-07 08:27:31:708,1,95,0,0,129,611,95,0 32,0,2024-09-07 08:27:31:423,21546,1.0,21765,1.0,43506,1.1,57439,1.75 32,1,2024-09-07 08:27:30:811,167429,167429,0,0,78483291049,815411557,166418,982,29,382,391595,0 32,2,2024-09-07 08:27:30:935,123000,123000,0,0,4763380,0,3155 32,3,2024-09-07 08:27:31:014,1,95,3,0,110,793,95,0 33,0,2024-09-07 08:27:31:509,22245,0.2,21912,0.4,44531,0.2,59213,1.50 33,1,2024-09-07 08:27:30:575,167689,167689,0,0,78580084499,816316951,165973,1593,123,369,391730,0 33,2,2024-09-07 08:27:30:759,120817,120784,33,0,6137642,0,7012 33,3,2024-09-07 08:27:30:903,1,95,8,0,129,673,95,0 34,0,2024-09-07 08:27:30:941,24366,0.3,25133,0.5,48300,0.2,64348,1.75 34,1,2024-09-07 08:27:31:047,167944,167944,0,0,79312194703,816922104,167329,611,4,367,391517,0 34,2,2024-09-07 08:27:30:769,117242,117242,0,0,5137071,0,3255 34,3,2024-09-07 08:27:31:688,1,95,8,0,148,728,95,0 35,0,2024-09-07 08:27:30:863,25576,0.7,25848,0.7,51414,0.7,68321,1.75 35,1,2024-09-07 08:27:31:068,167282,167282,0,0,78521345937,815979194,165803,1117,362,384,391587,0 35,2,2024-09-07 08:27:31:583,118232,118232,0,0,4811899,0,2542 35,3,2024-09-07 08:27:30:909,1,95,1,0,219,1176,95,0 36,0,2024-09-07 08:27:31:520,24128,1.3,24030,1.2,48671,1.5,64131,2.50 36,1,2024-09-07 08:27:30:603,166535,166535,0,0,77878730242,817686984,163490,2114,931,366,391543,0 36,2,2024-09-07 08:27:31:751,122890,122890,0,0,6128521,0,3303 36,3,2024-09-07 08:27:30:867,1,95,2,0,378,1170,95,0 37,0,2024-09-07 08:27:31:426,19567,0.3,19483,0.5,39108,0.2,52512,1.75 37,1,2024-09-07 08:27:30:569,166466,166459,0,7,77725757736,821505994,163206,1714,1539,365,391518,0 37,2,2024-09-07 08:27:31:142,121960,121960,0,0,4700571,0,2333 37,3,2024-09-07 08:27:31:779,1,95,19,0,724,2079,95,0 38,0,2024-09-07 08:27:31:447,25339,0.4,24537,0.6,51287,0.4,67217,1.75 38,1,2024-09-07 08:27:31:605,167023,167023,0,0,78438734572,822072030,164499,2071,453,368,391821,0 38,2,2024-09-07 08:27:30:761,116720,116720,0,0,5530423,0,3245 38,3,2024-09-07 08:27:30:997,1,95,1,0,603,1459,95,0 39,0,2024-09-07 08:27:31:764,26972,0.8,26414,0.8,51531,1.0,70313,2.00 39,1,2024-09-07 08:27:30:716,166856,166856,0,0,77699795519,813716243,164127,2091,638,365,391524,0 39,2,2024-09-07 08:27:31:419,118295,118295,0,0,5014785,0,2381 39,3,2024-09-07 08:27:30:719,1,95,23,0,276,1475,95,0 40,0,2024-09-07 08:27:31:494,24228,1.5,24719,1.8,48867,3.1,65583,3.25 40,1,2024-09-07 08:27:30:575,166372,166372,0,0,77262507668,813612096,162882,2727,763,369,391591,0 40,2,2024-09-07 08:27:31:308,123302,123301,1,0,6170091,0,5137 40,3,2024-09-07 08:27:31:142,1,95,1,0,110,862,95,0 41,0,2024-09-07 08:27:31:033,19216,1.1,19646,2.6,37591,2.9,52018,4.00 41,1,2024-09-07 08:27:30:786,166629,166629,0,0,78346645984,822273452,163716,2399,514,370,391742,0 41,2,2024-09-07 08:27:30:766,121691,121691,0,0,6362699,0,3356 41,3,2024-09-07 08:27:31:677,1,95,8,0,168,954,95,0 42,0,2024-09-07 08:27:31:485,25051,0.4,25347,0.6,50448,0.3,66911,2.00 42,1,2024-09-07 08:27:31:439,166292,166292,0,0,78227695705,824199708,163233,2229,830,380,391675,0 42,2,2024-09-07 08:27:31:138,116493,116493,0,0,5446257,0,3568 42,3,2024-09-07 08:27:31:012,1,95,3,0,100,748,95,0 43,0,2024-09-07 08:27:30:936,24959,0.9,24381,1.0,50943,1.0,67214,2.00 43,1,2024-09-07 08:27:30:579,166230,166230,0,0,78127890668,816908417,163882,1596,752,366,391604,0 43,2,2024-09-07 08:27:31:738,119300,119300,0,0,5739571,0,3812 43,3,2024-09-07 08:27:31:753,1,95,2,0,325,1793,95,0 44,0,2024-09-07 08:27:30:877,25826,0.7,25670,0.9,51486,0.7,68835,2.00 44,1,2024-09-07 08:27:30:563,167375,167375,0,0,78478108326,810097411,166350,917,108,356,391569,0 44,2,2024-09-07 08:27:31:267,120998,120998,0,0,4173041,0,1865 44,3,2024-09-07 08:27:31:093,1,95,1,0,817,1455,95,0 45,0,2024-09-07 08:27:31:760,20173,0.8,19827,1.1,41404,0.7,55315,2.00 45,1,2024-09-07 08:27:31:005,167515,167515,0,0,78490459100,813253335,167010,504,1,382,391917,0 45,2,2024-09-07 08:27:31:268,123359,123359,0,0,4849902,0,2628 45,3,2024-09-07 08:27:30:942,1,95,15,0,226,924,95,0 46,0,2024-09-07 08:27:30:970,23569,0.3,23506,0.4,47351,0.2,62910,1.50 46,1,2024-09-07 08:27:30:576,167752,167752,0,0,78468681731,810039324,166898,816,38,367,391514,0 46,2,2024-09-07 08:27:30:599,119988,119988,0,0,4590551,0,2920 46,3,2024-09-07 08:27:31:131,1,95,45,0,315,1204,95,0 47,0,2024-09-07 08:27:31:108,24367,0.3,24579,0.5,49373,0.3,64587,1.75 47,1,2024-09-07 08:27:30:578,167669,167669,0,0,77737343707,801063501,167159,506,4,366,391605,0 47,2,2024-09-07 08:27:30:913,119149,119149,0,0,4615059,0,2558 47,3,2024-09-07 08:27:31:115,1,95,7,0,529,1205,95,0 48,0,2024-09-07 08:27:31:502,25705,0.3,25412,0.5,50674,0.3,67537,1.75 48,1,2024-09-07 08:27:31:026,167072,167072,0,0,78334376131,814043177,165869,1197,6,384,391637,0 48,2,2024-09-07 08:27:30:708,119213,119213,0,0,4086555,0,2083 48,3,2024-09-07 08:27:30:753,1,95,1,0,39,741,95,0 49,0,2024-09-07 08:27:31:717,23251,0.7,22637,0.8,44418,0.8,60419,1.75 49,1,2024-09-07 08:27:31:022,166595,166595,0,0,77803855883,813740623,164829,1055,711,382,391583,0 49,2,2024-09-07 08:27:31:800,123039,123039,0,0,5262287,0,3900 49,3,2024-09-07 08:27:31:416,1,95,18,0,274,940,95,0 50,0,2024-09-07 08:27:31:521,20924,0.2,20546,0.4,41410,0.1,55622,1.50 50,1,2024-09-07 08:27:31:011,167817,167817,0,0,79021526404,821094366,166010,1510,297,368,391530,0 50,2,2024-09-07 08:27:31:068,121404,121404,0,0,4524163,0,2253 50,3,2024-09-07 08:27:31:291,1,95,1,0,335,983,95,0 51,0,2024-09-07 08:27:31:690,25401,0.2,24878,0.4,48751,0.2,65768,1.50 51,1,2024-09-07 08:27:31:680,167283,167283,0,0,79211994154,822880171,165475,1024,784,367,391637,0 51,2,2024-09-07 08:27:31:316,116629,116629,0,0,3758576,0,2448 51,3,2024-09-07 08:27:31:031,1,95,0,0,162,622,95,0 52,0,2024-09-07 08:27:31:462,26363,0.7,26474,0.8,52932,0.8,69905,2.25 52,1,2024-09-07 08:27:30:581,166423,166423,0,0,78012126615,822752865,162940,2777,706,368,391722,0 52,2,2024-09-07 08:27:31:755,117767,117767,0,0,5500205,0,4779 52,3,2024-09-07 08:27:30:675,1,95,2,0,1782,2583,95,0 53,0,2024-09-07 08:27:31:752,23898,1.6,23083,1.3,48134,2.0,63656,2.75 53,1,2024-09-07 08:27:30:778,166320,166320,0,0,78402401197,824460293,163268,2471,581,370,391617,0 53,2,2024-09-07 08:27:31:300,124096,124096,0,0,4840375,0,1902 53,3,2024-09-07 08:27:30:702,1,95,12,0,133,750,95,0 54,0,2024-09-07 08:27:31:623,19409,3.7,19765,1.5,38707,1.2,52785,4.25 54,1,2024-09-07 08:27:30:583,166756,166756,0,0,78928242669,824475049,164344,2096,316,367,391520,0 54,2,2024-09-07 08:27:30:868,122340,122334,6,0,5850976,0,5382 54,3,2024-09-07 08:27:30:767,1,95,0,0,676,1990,95,0 55,0,2024-09-07 08:27:31:765,24603,0.4,25455,0.6,51184,0.3,66528,2.25 55,1,2024-09-07 08:27:30:770,165937,165937,0,0,78116197678,822686793,161754,3405,778,367,391731,0 55,2,2024-09-07 08:27:30:733,116356,116356,0,0,5342149,0,3275 55,3,2024-09-07 08:27:30:674,1,95,1,0,136,958,95,0 56,0,2024-09-07 08:27:31:613,26324,1.7,24894,1.3,51157,2.2,68720,2.50 56,1,2024-09-07 08:27:30:575,166228,166228,0,0,78872030778,836717477,162608,2869,751,382,391678,0 56,2,2024-09-07 08:27:31:308,118837,118837,0,0,5927334,0,3567 56,3,2024-09-07 08:27:31:061,1,95,7,0,297,1080,95,0 57,0,2024-09-07 08:27:30:966,24518,2.7,24356,1.7,48951,4.5,65705,4.25 57,1,2024-09-07 08:27:30:993,166325,166325,0,0,78164063704,819066488,164384,1773,168,368,391773,0 57,2,2024-09-07 08:27:31:317,122609,122609,0,0,6141024,0,3178 57,3,2024-09-07 08:27:31:741,1,95,17,0,359,1794,95,0 58,0,2024-09-07 08:27:30:568,19154,0.6,18698,0.9,39243,0.4,51511,2.75 58,1,2024-09-07 08:27:30:575,167721,167718,0,3,78801859929,821736055,165651,1844,223,369,391516,3 58,2,2024-09-07 08:27:31:071,122347,122347,0,0,5493409,0,2549 58,3,2024-09-07 08:27:31:069,1,95,3,0,219,958,95,0 59,0,2024-09-07 08:27:31:750,24799,0.5,24569,0.7,49352,0.4,65573,2.25 59,1,2024-09-07 08:27:30:807,166539,166539,0,0,77947356811,819011752,163772,1913,854,369,391515,0 59,2,2024-09-07 08:27:30:594,118392,118392,0,0,4792646,0,2604 59,3,2024-09-07 08:27:31:737,1,95,14,0,1015,2163,95,0 60,0,2024-09-07 08:27:31:717,24607,0.5,24749,0.6,48858,0.5,64999,1.75 60,1,2024-09-07 08:27:30:782,168290,168290,0,0,78742300330,817619363,167199,742,349,370,391758,0 60,2,2024-09-07 08:27:31:144,118754,118754,0,0,4503966,0,2142 60,3,2024-09-07 08:27:31:269,1,95,1,0,124,988,95,0 61,0,2024-09-07 08:27:31:517,25428,2.2,25580,1.4,51045,3.5,68061,2.25 61,1,2024-09-07 08:27:30:780,166637,166637,0,0,78229201486,822623459,164045,2056,536,382,391589,0 61,2,2024-09-07 08:27:31:122,120205,120205,0,0,4654369,0,1846 61,3,2024-09-07 08:27:31:687,1,95,64,0,199,1331,95,0 62,0,2024-09-07 08:27:31:734,21618,0.8,22120,1.0,42435,1.2,57505,2.00 62,1,2024-09-07 08:27:31:111,167662,167656,0,6,79134559809,817847382,166401,1217,38,365,391586,6 62,2,2024-09-07 08:27:31:645,122457,122457,0,0,5181687,0,2931 62,3,2024-09-07 08:27:31:143,1,95,3,0,287,738,95,0 63,0,2024-09-07 08:27:31:464,22066,0.3,22054,0.5,44314,0.2,59205,1.75 63,1,2024-09-07 08:27:30:809,167963,167957,0,6,78758426963,813630402,167548,406,3,381,391540,6 63,2,2024-09-07 08:27:30:770,120312,120312,0,0,4606360,0,2674 63,3,2024-09-07 08:27:31:732,1,95,1,0,667,1941,95,0 64,0,2024-09-07 08:27:31:517,24235,0.4,24071,0.6,48314,0.4,64325,1.75 64,1,2024-09-07 08:27:30:760,167105,167105,0,0,78733996697,826042177,164649,1661,795,371,391783,0 64,2,2024-09-07 08:27:31:151,118101,118082,19,0,5262996,0,6121 64,3,2024-09-07 08:27:31:140,1,95,3,0,265,1102,95,0 65,0,2024-09-07 08:27:31:700,25056,1.8,25413,1.2,50889,2.2,67504,3.00 65,1,2024-09-07 08:27:30:866,166538,166538,0,0,78148759730,819089249,164713,1605,220,382,391770,0 65,2,2024-09-07 08:27:31:701,119238,119238,0,0,5496330,0,3367 65,3,2024-09-07 08:27:31:687,1,95,20,0,163,1020,95,0 66,0,2024-09-07 08:27:31:773,23660,0.6,23818,0.8,47855,0.5,63595,2.25 66,1,2024-09-07 08:27:31:299,167818,167818,0,0,78215171442,811367211,167115,681,22,380,391588,0 66,2,2024-09-07 08:27:31:138,124819,124819,0,0,5012430,0,3867 66,3,2024-09-07 08:27:31:078,1,95,3,0,291,1000,95,0 67,0,2024-09-07 08:27:31:434,19842,0.4,19776,0.6,39183,0.3,52563,2.00 67,1,2024-09-07 08:27:30:769,166678,166677,0,1,78016545738,817264058,164632,1520,525,382,391787,1 67,2,2024-09-07 08:27:30:590,122668,122668,0,0,4555059,0,2889 67,3,2024-09-07 08:27:31:750,1,95,1,0,138,827,95,0 68,0,2024-09-07 08:27:30:582,25601,0.5,25517,0.7,50878,0.5,67524,2.00 68,1,2024-09-07 08:27:30:576,166208,166208,0,0,78697533280,825882373,164568,1118,522,381,391953,0 68,2,2024-09-07 08:27:31:054,115834,115834,0,0,4907843,0,4883 68,3,2024-09-07 08:27:30:739,1,95,10,0,151,1024,95,0 69,0,2024-09-07 08:27:31:753,26227,1.2,26411,1.1,52476,1.6,70106,2.50 69,1,2024-09-07 08:27:31:026,166070,166070,0,0,78475565379,832464610,163394,1599,1077,384,391611,0 69,2,2024-09-07 08:27:31:733,118156,118156,0,0,5770788,0,3453 69,3,2024-09-07 08:27:30:777,1,95,11,0,238,1319,95,0 70,0,2024-09-07 08:27:31:539,24353,2.8,24429,2.0,49219,1.6,65302,4.25 70,1,2024-09-07 08:27:30:802,166911,166911,0,0,78835709643,817531710,165710,1041,160,368,391725,0 70,2,2024-09-07 08:27:31:325,122583,122583,0,0,5906597,0,4044 70,3,2024-09-07 08:27:30:745,1,95,1,0,178,555,95,0 71,0,2024-09-07 08:27:31:364,19204,1.5,19316,2.0,38232,2.2,52357,3.75 71,1,2024-09-07 08:27:31:603,166983,166983,0,0,78382980310,820427895,164475,2175,333,368,391682,0 71,2,2024-09-07 08:27:31:067,122799,122799,0,0,5242963,0,2146 71,3,2024-09-07 08:27:31:750,1,95,279,0,279,1397,95,0 72,0,2024-09-07 08:27:31:043,25973,0.3,25273,0.5,49622,0.2,67145,2.00 72,1,2024-09-07 08:27:31:024,167118,167118,0,0,78015596538,812679374,165457,1583,78,370,391556,0 72,2,2024-09-07 08:27:31:756,116177,116177,0,0,6142554,0,2570 72,3,2024-09-07 08:27:31:754,1,95,1,0,325,1444,95,0 73,0,2024-09-07 08:27:31:132,24511,0.4,25179,0.6,51341,0.4,66848,2.25 73,1,2024-09-07 08:27:30:786,166943,166943,0,0,78550955615,816323584,165897,1001,45,368,391627,0 73,2,2024-09-07 08:27:31:744,119882,119882,0,0,5816019,0,3482 73,3,2024-09-07 08:27:30:972,1,95,8,0,274,1466,95,0 74,0,2024-09-07 08:27:31:330,25835,1.1,26227,1.1,50258,1.7,68285,3.00 74,1,2024-09-07 08:27:30:636,166607,166607,0,0,77658010380,812464991,164492,1510,605,382,391511,0 74,2,2024-09-07 08:27:31:004,120768,120768,0,0,6393740,0,4253 74,3,2024-09-07 08:27:31:442,1,95,1,0,246,1519,95,0 75,0,2024-09-07 08:27:31:776,20573,1.6,20610,1.4,40929,1.8,55414,3.00 75,1,2024-09-07 08:27:31:585,166716,166716,0,0,78001888006,818185791,164478,1875,363,381,391579,0 75,2,2024-09-07 08:27:31:351,122650,122650,0,0,5862297,0,4766 75,3,2024-09-07 08:27:31:067,1,95,1,0,535,1260,95,0 76,0,2024-09-07 08:27:30:594,23625,0.3,23366,0.5,46534,0.2,62585,1.75 76,1,2024-09-07 08:27:30:806,166745,166745,0,0,77833906731,815262209,164930,1308,507,382,391530,0 76,2,2024-09-07 08:27:31:063,120001,120001,0,0,4640982,0,3064 76,3,2024-09-07 08:27:31:143,1,95,2,0,175,1092,95,0 77,0,2024-09-07 08:27:31:714,24443,0.6,24589,0.7,49232,0.6,64538,1.75 77,1,2024-09-07 08:27:30:823,167065,167065,0,0,78404614460,816507322,166021,975,69,383,391555,0 77,2,2024-09-07 08:27:31:306,118800,118800,0,0,4069714,0,1695 77,3,2024-09-07 08:27:31:106,1,95,13,0,139,955,95,0 78,0,2024-09-07 08:27:31:754,25401,0.8,25349,0.9,50728,0.8,67208,2.25 78,1,2024-09-07 08:27:30:621,167784,167784,0,0,78364432843,814721441,166334,1245,205,367,391589,0 78,2,2024-09-07 08:27:31:408,119703,119703,0,0,4292559,0,2114 78,3,2024-09-07 08:27:31:133,1,95,1,0,181,1334,95,0 79,0,2024-09-07 08:27:31:351,21840,0.6,22381,0.9,45785,0.6,59244,2.50 79,1,2024-09-07 08:27:30:571,167668,167668,0,0,78338728372,812333541,166326,1273,69,370,391682,0 79,2,2024-09-07 08:27:31:068,123407,123407,0,0,4742694,0,2679 79,3,2024-09-07 08:27:30:749,1,95,5,0,289,994,95,0 80,0,2024-09-07 08:27:31:114,20535,0.5,21292,0.7,40890,0.4,55203,2.00 80,1,2024-09-07 08:27:31:621,166721,166721,0,0,78109655929,813472574,165076,1528,117,369,391673,0 80,2,2024-09-07 08:27:31:092,121922,121922,0,0,4990982,0,4433 80,3,2024-09-07 08:27:30:579,1,95,1,1,190,1501,95,0 81,0,2024-09-07 08:27:31:585,24888,0.5,25617,0.6,49011,0.5,65757,1.75 81,1,2024-09-07 08:27:31:666,166819,166819,0,0,77617781929,814119916,164893,1728,198,382,391680,0 81,2,2024-09-07 08:27:31:131,116299,116299,0,0,5259289,0,3993 81,3,2024-09-07 08:27:31:123,1,95,5,0,193,958,95,0 82,0,2024-09-07 08:27:31:545,26183,0.8,26153,0.9,52574,0.8,70041,2.25 82,1,2024-09-07 08:27:30:590,166753,166749,0,4,77899138519,815457607,164567,1608,574,382,391558,4 82,2,2024-09-07 08:27:31:692,119267,119267,0,0,4414625,0,2230 82,3,2024-09-07 08:27:31:752,1,95,0,0,227,1532,95,0 83,0,2024-09-07 08:27:31:532,24088,1.4,23914,1.2,47486,1.6,63670,2.75 83,1,2024-09-07 08:27:30:557,166683,166683,0,0,78250934970,817819971,165207,1375,101,383,391553,0 83,2,2024-09-07 08:27:30:783,122689,122689,0,0,5371300,0,3119 83,3,2024-09-07 08:27:30:749,1,95,2,0,91,724,95,0 84,0,2024-09-07 08:27:31:778,19934,1.5,19640,1.7,39448,0.9,53608,2.75 84,1,2024-09-07 08:27:31:043,166096,166096,0,0,77976822146,818693199,163991,1787,318,369,391638,0 84,2,2024-09-07 08:27:30:572,122226,122226,0,0,5405906,0,3801 84,3,2024-09-07 08:27:31:142,1,95,3,1,58,905,95,0 85,0,2024-09-07 08:27:31:043,24484,0.4,24478,0.6,51874,0.3,67964,2.00 85,1,2024-09-07 08:27:30:560,165865,165865,0,0,77945922242,824266989,163162,2274,429,383,391679,0 85,2,2024-09-07 08:27:30:878,116142,116142,0,0,5634597,0,3656 85,3,2024-09-07 08:27:30:699,1,95,12,0,115,974,95,0 86,0,2024-09-07 08:27:30:883,25584,0.7,26427,0.8,50917,0.8,68747,2.00 86,1,2024-09-07 08:27:30:824,166447,166447,0,0,78874581401,826929904,163989,2181,277,367,391961,0 86,2,2024-09-07 08:27:30:853,118040,118039,1,0,6214842,0,5004 86,3,2024-09-07 08:27:30:590,1,95,52,0,199,1185,95,0 87,0,2024-09-07 08:27:31:351,25035,2.5,24839,1.5,49898,3.8,66881,3.00 87,1,2024-09-07 08:27:30:555,166350,166350,0,0,77989052412,819059493,164218,1993,139,366,391788,0 87,2,2024-09-07 08:27:31:069,121881,121881,0,0,5090038,0,3480 87,3,2024-09-07 08:27:31:794,1,95,1,1,335,1674,95,0 88,0,2024-09-07 08:27:31:447,19802,0.5,20169,0.8,39927,0.5,53399,1.75 88,1,2024-09-07 08:27:30:572,166588,166588,0,0,78467308093,819852786,165181,1310,97,367,391787,0 88,2,2024-09-07 08:27:30:692,122891,122891,0,0,6222299,0,3583 88,3,2024-09-07 08:27:31:267,1,95,2,1,77,1097,95,0 89,0,2024-09-07 08:27:31:770,25307,0.3,24552,0.5,48777,0.3,66200,1.50 89,1,2024-09-07 08:27:30:551,165851,165851,0,0,78559165744,834142555,162346,2768,737,382,391866,0 89,2,2024-09-07 08:27:31:136,117787,117787,0,0,5402672,0,2726 89,3,2024-09-07 08:27:31:794,1,95,9,0,325,2074,95,0 90,0,2024-09-07 08:27:31:624,23719,0.4,24260,0.6,49832,0.4,64895,1.75 90,1,2024-09-07 08:27:30:591,166849,166849,0,0,78306926886,824430935,164703,2002,144,382,391825,0 90,2,2024-09-07 08:27:31:420,117823,117823,0,0,6347286,0,2635 90,3,2024-09-07 08:27:30:930,1,95,1,1,200,1040,95,0 91,0,2024-09-07 08:27:30:948,25956,0.6,25131,0.7,52160,0.7,68473,1.75 91,1,2024-09-07 08:27:30:561,166705,166705,0,0,78437419341,826691916,164087,2221,397,383,391914,0 91,2,2024-09-07 08:27:31:341,119118,119118,0,0,5529958,0,1997 91,3,2024-09-07 08:27:30:602,1,95,62,0,155,1353,95,0 92,0,2024-09-07 08:27:31:453,21872,0.7,22318,0.9,42615,0.9,57650,1.75 92,1,2024-09-07 08:27:30:581,167295,167295,0,0,78525523996,817482234,166625,600,70,382,391717,0 92,2,2024-09-07 08:27:31:350,124466,124466,0,0,5054139,0,2279 92,3,2024-09-07 08:27:31:010,1,95,1,0,68,936,95,0 93,0,2024-09-07 08:27:30:970,22270,0.3,22661,0.5,43272,0.2,59308,1.50 93,1,2024-09-07 08:27:30:808,167173,167173,0,0,78256651217,818187903,165394,1342,437,367,391689,0 93,2,2024-09-07 08:27:30:935,120822,120822,0,0,4594996,0,2509 93,3,2024-09-07 08:27:31:407,1,95,8,0,143,935,95,0 94,0,2024-09-07 08:27:31:627,24456,0.3,24618,0.5,48716,0.3,64237,1.50 94,1,2024-09-07 08:27:30:569,166569,166569,0,0,78018056181,817913567,164950,1551,68,381,391850,0 94,2,2024-09-07 08:27:30:784,117187,117187,0,0,4546110,0,2443 94,3,2024-09-07 08:27:31:688,1,95,2,1,231,1515,95,0 95,0,2024-09-07 08:27:31:359,25835,0.4,25680,0.6,51753,0.4,68388,1.75 95,1,2024-09-07 08:27:30:857,167319,167319,0,0,77993337692,809685833,166505,750,64,367,391590,0 95,2,2024-09-07 08:27:31:021,119023,119023,0,0,4933607,0,3308 95,3,2024-09-07 08:27:31:709,1,95,1,0,307,1642,95,0 96,0,2024-09-07 08:27:31:034,24239,0.6,24209,0.7,48352,0.6,63960,1.75 96,1,2024-09-07 08:27:31:583,166916,166916,0,0,78080167758,819233745,165060,1285,571,385,391596,0 96,2,2024-09-07 08:27:31:269,123718,123718,0,0,5507627,0,4038 96,3,2024-09-07 08:27:31:142,1,95,188,0,188,1061,95,0 97,0,2024-09-07 08:27:31:326,19446,0.3,19607,0.5,39346,0.2,52547,1.75 97,1,2024-09-07 08:27:30:772,167156,167156,0,0,78646439546,821533592,164953,1684,519,367,392140,0 97,2,2024-09-07 08:27:30:612,121605,121605,0,0,4789519,0,3036 97,3,2024-09-07 08:27:30:576,1,95,7,1,165,1186,95,0 98,0,2024-09-07 08:27:31:758,25515,0.2,25300,0.4,51259,0.2,67717,1.50 98,1,2024-09-07 08:27:30:573,166847,166847,0,0,78327935769,817843968,165634,1156,57,382,391997,0 98,2,2024-09-07 08:27:30:770,117559,117559,0,0,4948625,0,3080 98,3,2024-09-07 08:27:30:701,1,95,50,1,840,2207,95,0 99,0,2024-09-07 08:27:31:485,26306,0.3,26291,0.5,52629,0.3,70259,1.75 99,1,2024-09-07 08:27:31:727,167623,167623,0,0,78128525226,815658320,166120,1057,446,381,391744,0 99,2,2024-09-07 08:27:31:420,119727,119727,0,0,5147698,0,1858 99,3,2024-09-07 08:27:30:591,1,95,5,0,129,789,95,0 100,0,2024-09-07 08:27:31:490,24734,1.5,24539,2.0,49061,4.4,66113,2.50 100,1,2024-09-07 08:27:30:552,165803,165803,0,0,78047612606,829891810,162448,2932,423,381,391989,0 100,2,2024-09-07 08:27:31:818,122615,122604,11,0,6532747,0,5417 100,3,2024-09-07 08:27:31:732,1,95,2,0,443,2041,95,0 101,0,2024-09-07 08:27:31:718,19848,3.1,19383,1.7,38163,2.2,53105,3.25 101,1,2024-09-07 08:27:30:555,165788,165788,0,0,78189631204,827966515,162800,1749,1239,369,391709,0 101,2,2024-09-07 08:27:31:756,121982,121982,0,0,6012237,0,4644 101,3,2024-09-07 08:27:30:942,1,95,12,0,448,1203,95,0 102,0,2024-09-07 08:27:30:976,24614,0.3,25412,0.5,51223,0.2,66843,1.75 102,1,2024-09-07 08:27:31:219,166266,166266,0,0,77414084514,816983787,163691,2106,469,369,391831,0 102,2,2024-09-07 08:27:31:740,117579,117579,0,0,4702648,0,2144 102,3,2024-09-07 08:27:31:616,1,95,8,0,410,1032,95,0 103,0,2024-09-07 08:27:31:608,25802,0.5,25730,0.7,48811,0.5,67344,2.00 103,1,2024-09-07 08:27:31:629,165774,165774,0,0,78492555023,824177145,163909,1644,221,381,391680,0 103,2,2024-09-07 08:27:30:588,118997,118997,0,0,5418646,0,2104 103,3,2024-09-07 08:27:30:756,1,95,2,0,486,1350,95,0 104,0,2024-09-07 08:27:31:022,25624,1.5,25595,1.3,50222,1.8,68582,2.50 104,1,2024-09-07 08:27:31:599,167261,167261,0,0,77678486220,817225214,164959,1923,379,366,391948,0 104,2,2024-09-07 08:27:31:666,120272,120272,0,0,5775647,0,3941 104,3,2024-09-07 08:27:31:416,1,95,2,1,1245,3987,95,0 105,0,2024-09-07 08:27:31:043,20325,0.8,19652,1.3,40873,1.1,55062,3.00 105,1,2024-09-07 08:27:30:557,166721,166721,0,0,78348902172,825168433,163683,2291,747,367,391797,0 105,2,2024-09-07 08:27:31:323,122530,122530,0,0,5537773,0,3314 105,3,2024-09-07 08:27:31:305,1,95,8,1,399,1758,95,0 106,0,2024-09-07 08:27:30:991,22759,0.4,23450,0.6,47477,0.3,62525,1.75 106,1,2024-09-07 08:27:31:752,166968,166968,0,0,77633075534,816515405,164346,2294,328,369,391767,0 106,2,2024-09-07 08:27:30:760,118531,118531,0,0,5339035,0,2795 106,3,2024-09-07 08:27:30:677,1,95,3,1,201,983,95,0 107,0,2024-09-07 08:27:31:109,24584,0.5,24462,0.6,48631,0.4,64785,1.75 107,1,2024-09-07 08:27:30:601,166373,166373,0,0,78547160249,827253434,164419,1764,190,381,392234,0 107,2,2024-09-07 08:27:31:295,117736,117735,1,0,5280528,0,5024 107,3,2024-09-07 08:27:31:755,1,95,1,0,353,1461,95,0 108,0,2024-09-07 08:27:31:795,25533,0.5,25774,0.7,50826,0.5,67562,1.75 108,1,2024-09-07 08:27:31:308,166843,166843,0,0,78421953522,819365797,165358,1349,136,371,391857,0 108,2,2024-09-07 08:27:31:770,118833,118833,0,0,5107267,0,2647 108,3,2024-09-07 08:27:31:330,1,95,15,0,73,1206,95,0 109,0,2024-09-07 08:27:31:760,22721,0.7,22851,0.8,45281,0.8,60444,1.75 109,1,2024-09-07 08:27:30:593,166654,166654,0,0,78953867048,830015671,164975,1294,385,383,391812,0 109,2,2024-09-07 08:27:30:932,122120,122120,0,0,5457891,0,3617 109,3,2024-09-07 08:27:31:142,1,95,12,1,249,1509,95,0 110,0,2024-09-07 08:27:31:778,20849,0.2,20240,0.4,42043,0.2,55235,1.50 110,1,2024-09-07 08:27:31:653,167383,167383,0,0,78694643311,817703129,166053,1041,289,370,391667,0 110,2,2024-09-07 08:27:31:307,121742,121742,0,0,4602724,0,2915 110,3,2024-09-07 08:27:30:701,1,95,5,0,183,928,95,0 111,0,2024-09-07 08:27:31:430,24996,0.2,24587,0.4,49254,0.1,65775,1.50 111,1,2024-09-07 08:27:31:001,167664,167664,0,0,79002608600,817004494,167016,634,14,382,391690,0 111,2,2024-09-07 08:27:31:116,116919,116919,0,0,4845793,0,2763 111,3,2024-09-07 08:27:30:913,1,95,1,0,300,1659,95,0 112,0,2024-09-07 08:27:30:939,26541,0.4,26474,0.5,52724,0.3,70372,1.75 112,1,2024-09-07 08:27:30:834,166813,166813,0,0,78312641024,816964641,165199,1268,346,381,391580,0 112,2,2024-09-07 08:27:31:133,118658,118657,1,0,5544062,0,5036 112,3,2024-09-07 08:27:30:603,1,95,1,0,282,1032,95,0 113,0,2024-09-07 08:27:30:884,24031,0.5,23828,0.7,48534,0.5,64781,1.75 113,1,2024-09-07 08:27:31:707,167226,167226,0,0,78459106656,814457677,165331,1511,384,368,391661,0 113,2,2024-09-07 08:27:31:305,124338,124338,0,0,4527125,0,3021 113,3,2024-09-07 08:27:30:691,1,95,11,0,288,1540,95,0 114,0,2024-09-07 08:27:30:883,20186,2.3,20493,1.1,40029,0.7,54207,2.00 114,1,2024-09-07 08:27:30:721,167196,167196,0,0,78889980502,827554371,164568,1747,881,381,391531,0 114,2,2024-09-07 08:27:30:877,122029,122029,0,0,5131463,0,3925 114,3,2024-09-07 08:27:31:278,1,95,1,0,395,1622,95,0 115,0,2024-09-07 08:27:30:563,25271,0.2,25532,0.4,51400,0.2,68512,1.50 115,1,2024-09-07 08:27:30:572,167151,167151,0,0,78736290978,822904335,164765,1899,487,383,391528,0 115,2,2024-09-07 08:27:31:131,116983,116983,0,0,4270227,0,2152 115,3,2024-09-07 08:27:31:004,1,95,2,0,159,594,95,0 116,0,2024-09-07 08:27:31:726,25904,0.8,25438,0.9,51504,0.9,68781,2.00 116,1,2024-09-07 08:27:30:802,165832,165832,0,0,78083856453,829516137,163058,1558,1216,382,391605,0 116,2,2024-09-07 08:27:31:751,118143,118143,0,0,5986136,0,3529 116,3,2024-09-07 08:27:30:911,1,95,19,1,252,1446,95,0 117,0,2024-09-07 08:27:30:963,24983,1.9,25028,1.3,49747,3.2,66990,2.25 117,1,2024-09-07 08:27:31:578,166743,166743,0,0,77710424497,818658067,164134,2271,338,370,392033,0 117,2,2024-09-07 08:27:31:118,123225,123225,0,0,5406075,0,3700 117,3,2024-09-07 08:27:31:059,1,95,7,0,490,1981,95,0 118,0,2024-09-07 08:27:31:792,19357,0.6,19828,0.8,40608,0.6,53212,2.00 118,1,2024-09-07 08:27:30:603,166845,166845,0,0,77191068665,810778574,165053,1623,169,368,391736,0 118,2,2024-09-07 08:27:31:608,122618,122618,0,0,5690687,0,2781 118,3,2024-09-07 08:27:31:787,1,95,56,1,235,1386,95,0 119,0,2024-09-07 08:27:31:397,24293,0.4,24264,0.6,49539,0.3,65548,1.75 119,1,2024-09-07 08:27:30:550,166943,166943,0,0,78834316433,825795916,164936,1726,281,370,391641,0 119,2,2024-09-07 08:27:31:297,117409,117409,0,0,5062805,0,2532 119,3,2024-09-07 08:27:31:335,1,95,72,0,443,2397,95,0 120,0,2024-09-07 08:27:31:569,24279,0.5,24362,0.8,48359,0.5,64837,2.00 120,1,2024-09-07 08:27:30:868,166904,166904,0,0,78519121928,822935573,165365,1522,17,368,391702,0 120,2,2024-09-07 08:27:30:777,118432,118431,1,0,6327178,0,5281 120,3,2024-09-07 08:27:31:292,1,95,17,0,241,1504,95,0 121,0,2024-09-07 08:27:31:718,25371,2.1,25703,1.4,51160,3.4,68388,2.50 121,1,2024-09-07 08:27:31:667,166673,166673,0,0,77892728512,816242336,164709,1692,272,367,391840,0 121,2,2024-09-07 08:27:31:137,119128,119128,0,0,6461489,0,4127 121,3,2024-09-07 08:27:30:732,1,95,19,0,159,1153,95,0 122,0,2024-09-07 08:27:31:809,21525,2.0,20820,1.7,43396,2.4,57652,2.25 122,1,2024-09-07 08:27:30:859,165774,165774,0,0,78656969568,830048556,162554,2680,540,368,392130,0 122,2,2024-09-07 08:27:31:343,123246,123246,0,0,6512311,0,3364 122,3,2024-09-07 08:27:30:605,1,95,12,0,298,1935,95,0 123,0,2024-09-07 08:27:30:969,22028,0.3,21363,0.5,44716,0.2,59033,1.75 123,1,2024-09-07 08:27:30:632,167340,167340,0,0,78495347675,830350913,163760,3012,568,369,391823,0 123,2,2024-09-07 08:27:31:022,119930,119929,1,0,5449623,0,5215 123,3,2024-09-07 08:27:31:132,1,95,20,1,168,1473,95,0 124,0,2024-09-07 08:27:30:953,25050,0.3,25013,0.4,47243,0.2,64544,1.50 124,1,2024-09-07 08:27:31:022,167023,167023,0,0,78116566602,809503955,166210,668,145,367,392178,0 124,2,2024-09-07 08:27:31:012,117558,117558,0,0,4290829,0,2477 124,3,2024-09-07 08:27:30:758,1,95,2,1,490,1714,95,0 125,0,2024-09-07 08:27:31:437,25943,0.5,25864,0.6,51751,0.6,68319,1.75 125,1,2024-09-07 08:27:30:862,166671,166671,0,0,78026783653,816156744,164911,1487,273,384,391702,0 125,2,2024-09-07 08:27:31:116,118989,118989,0,0,4753269,0,2180 125,3,2024-09-07 08:27:31:128,1,95,8,1,93,1194,95,0 126,0,2024-09-07 08:27:31:421,24228,1.0,24824,0.9,47650,1.1,64451,1.75 126,1,2024-09-07 08:27:30:555,167657,167657,0,0,78766503037,816562457,166604,998,55,365,391987,0 126,2,2024-09-07 08:27:30:614,123907,123907,0,0,5081810,0,3186 126,3,2024-09-07 08:27:30:910,1,95,4,0,122,1242,95,0 127,0,2024-09-07 08:27:31:616,19611,0.2,19561,0.4,39214,0.2,52546,1.50 127,1,2024-09-07 08:27:30:571,167274,167274,0,0,78393423652,812287426,166131,1120,23,365,391614,0 127,2,2024-09-07 08:27:30:640,122417,122417,0,0,4300759,0,1803 127,3,2024-09-07 08:27:31:270,1,95,2,0,99,717,95,0 128,0,2024-09-07 08:27:31:536,25701,0.3,25698,0.4,50831,0.2,67784,1.50 128,1,2024-09-07 08:27:31:606,167150,167150,0,0,78785555047,816656344,166414,666,70,367,391680,0 128,2,2024-09-07 08:27:31:383,115969,115969,0,0,5040243,0,2107 128,3,2024-09-07 08:27:30:777,1,95,3,1,112,1060,95,0 129,0,2024-09-07 08:27:31:002,26493,0.4,26426,0.5,52651,0.3,70238,1.75 129,1,2024-09-07 08:27:30:574,166333,166333,0,0,78105592513,820373121,164207,1839,287,379,391835,0 129,2,2024-09-07 08:27:30:685,118367,118367,0,0,4521837,0,2446 129,3,2024-09-07 08:27:30:695,1,95,9,1,173,1371,95,0 130,0,2024-09-07 08:27:31:840,24964,1.3,24798,1.0,49656,1.9,66663,2.00 130,1,2024-09-07 08:27:30:595,166924,166924,0,0,78326113769,817611223,165599,1290,35,381,391825,0 130,2,2024-09-07 08:27:31:134,123308,123308,0,0,5353545,0,4067 130,3,2024-09-07 08:27:31:301,1,95,31,0,207,737,95,0 131,0,2024-09-07 08:27:31:942,19823,0.6,19830,0.8,40013,0.9,53719,1.75 131,1,2024-09-07 08:27:31:823,167849,167849,0,0,78268469047,816025712,166753,909,187,384,391865,0 131,2,2024-09-07 08:27:30:571,122986,122986,0,0,4527043,0,2415 131,3,2024-09-07 08:27:31:688,1,95,4,0,392,1236,95,0 132,0,2024-09-07 08:27:31:445,24897,0.3,25292,0.5,50641,0.2,67129,1.75 132,1,2024-09-07 08:27:30:580,166106,166106,0,0,78047502853,826639731,162794,2555,757,381,391719,0 132,2,2024-09-07 08:27:30:701,116605,116605,0,0,6722859,0,4606 132,3,2024-09-07 08:27:31:690,1,95,341,0,356,1775,95,0 133,0,2024-09-07 08:27:31:579,24499,0.5,24929,0.6,51355,0.5,66674,1.75 133,1,2024-09-07 08:27:30:593,165518,165518,0,0,78118118042,826240987,162835,2509,174,383,391755,0 133,2,2024-09-07 08:27:31:087,118569,118569,0,0,6135177,0,2586 133,3,2024-09-07 08:27:31:298,1,95,61,1,187,863,95,0 134,0,2024-09-07 08:27:30:972,25758,0.9,25615,1.0,51557,0.9,68489,2.00 134,1,2024-09-07 08:27:30:612,166608,166608,0,0,77414091308,815811613,163676,1950,982,366,391718,0 134,2,2024-09-07 08:27:31:768,120757,120757,0,0,5170387,0,2026 134,3,2024-09-07 08:27:30:750,1,95,10,0,739,2109,95,0 135,0,2024-09-07 08:27:31:109,19930,1.4,19981,1.4,42302,1.4,54744,2.25 135,1,2024-09-07 08:27:31:588,166007,166007,0,0,77951185722,823350554,162895,2413,699,380,391703,0 135,2,2024-09-07 08:27:30:688,122976,122976,0,0,5753148,0,3981 135,3,2024-09-07 08:27:31:010,1,95,4,0,89,628,95,0 136,0,2024-09-07 08:27:31:629,23563,0.4,23390,0.6,47105,0.3,63153,1.75 136,1,2024-09-07 08:27:31:442,166807,166807,0,0,78040861662,818745733,164833,1827,147,384,391621,0 136,2,2024-09-07 08:27:31:134,120013,120013,0,0,5058010,0,3506 136,3,2024-09-07 08:27:31:106,1,95,1,0,108,930,95,0 137,0,2024-09-07 08:27:30:937,25148,0.6,24321,0.7,48242,0.6,64979,2.00 137,1,2024-09-07 08:27:30:583,166763,166763,0,0,78580849022,822256494,164727,1922,114,367,391608,0 137,2,2024-09-07 08:27:31:712,117683,117683,0,0,6048294,0,2632 137,3,2024-09-07 08:27:30:776,1,95,18,1,227,1232,95,0 138,0,2024-09-07 08:27:31:764,24768,2.3,24975,1.5,50747,3.6,67406,2.75 138,1,2024-09-07 08:27:31:686,166428,166428,0,0,78456155196,823236483,163916,2247,265,369,391954,0 138,2,2024-09-07 08:27:30:628,119294,119294,0,0,5212778,0,3263 138,3,2024-09-07 08:27:30:612,1,95,1,0,1160,2074,95,0 139,0,2024-09-07 08:27:31:384,22012,4.1,22127,2.2,44734,5.3,59632,3.50 139,1,2024-09-07 08:27:30:571,166098,166098,0,0,77449461122,822780249,163101,2267,730,381,391892,0 139,2,2024-09-07 08:27:30:696,122288,122288,0,0,6402171,0,3097 139,3,2024-09-07 08:27:31:673,1,95,6,0,244,1458,95,0 140,0,2024-09-07 08:27:31:593,20724,0.2,20615,0.4,41457,0.1,55258,1.50 140,1,2024-09-07 08:27:31:536,167648,167648,0,0,78579109472,810904126,166717,734,197,365,391606,0 140,2,2024-09-07 08:27:30:694,122305,122305,0,0,5109380,0,3388 140,3,2024-09-07 08:27:30:777,1,95,1,0,25,607,95,0 141,0,2024-09-07 08:27:31:704,24596,0.2,25429,0.4,48873,0.1,65753,1.50 141,1,2024-09-07 08:27:30:861,167255,167255,0,0,78645388421,817457207,165831,1093,331,382,391538,0 141,2,2024-09-07 08:27:31:686,116848,116848,0,0,4561615,0,2342 141,3,2024-09-07 08:27:31:046,1,95,23,0,147,708,95,0 142,0,2024-09-07 08:27:31:348,26702,0.3,26391,0.5,52508,0.3,70389,1.75 142,1,2024-09-07 08:27:30:590,167455,167455,0,0,78213008324,813289799,166893,546,16,384,391649,0 142,2,2024-09-07 08:27:31:307,117658,117658,0,0,4957299,0,2293 142,3,2024-09-07 08:27:31:755,1,95,7,0,484,1684,95,0 143,0,2024-09-07 08:27:31:439,24065,1.0,24217,0.9,48721,1.3,64694,2.00 143,1,2024-09-07 08:27:30:561,167030,167030,0,0,78216824103,810529303,166029,972,29,367,391619,0 143,2,2024-09-07 08:27:30:777,123619,123619,0,0,5374513,0,2669 143,3,2024-09-07 08:27:31:140,1,95,145,0,236,1371,95,0 144,0,2024-09-07 08:27:31:531,19381,1.1,19847,3.7,40440,1.1,53897,2.25 144,1,2024-09-07 08:27:30:568,166628,166628,0,0,78024440974,819591081,165014,1419,195,381,391638,0 144,2,2024-09-07 08:27:31:765,122847,122847,0,0,4943461,0,3473 144,3,2024-09-07 08:27:31:740,1,95,5,1,169,1341,95,0 145,0,2024-09-07 08:27:31:378,24528,0.4,24622,0.7,51778,0.4,68372,2.00 145,1,2024-09-07 08:27:30:578,165630,165630,0,0,77399388069,818424754,162778,2272,580,383,391615,0 145,2,2024-09-07 08:27:31:429,117024,117024,0,0,5578161,0,3903 145,3,2024-09-07 08:27:30:898,1,95,9,0,151,1231,95,0 146,0,2024-09-07 08:27:31:597,25570,0.6,25535,0.8,51508,0.6,68434,2.25 146,1,2024-09-07 08:27:31:592,166976,166976,0,0,78340419709,822460350,164535,2137,304,368,391600,0 146,2,2024-09-07 08:27:31:715,118204,118204,0,0,5238432,0,2379 146,3,2024-09-07 08:27:31:279,1,95,14,0,1520,3226,95,0 147,0,2024-09-07 08:27:31:810,24797,2.4,24696,1.5,48991,3.6,66908,3.00 147,1,2024-09-07 08:27:31:373,167207,167207,0,0,78728396710,818594617,165460,1391,356,368,391791,0 147,2,2024-09-07 08:27:31:019,122795,122795,0,0,5254544,0,2789 147,3,2024-09-07 08:27:30:912,1,95,0,0,141,887,95,0 0,0,2024-09-07 08:27:41:776,23906,0.6,23833,0.7,50394,0.6,65285,1.75 0,1,2024-09-07 08:27:40:843,168665,168665,0,0,79423331854,833480909,167370,1167,128,372,391692,0 0,2,2024-09-07 08:27:41:070,119620,119620,0,0,5346591,0,4480 0,3,2024-09-07 08:27:40:980,1,96,28,0,247,1537,96,0 1,0,2024-09-07 08:27:41:824,25673,2.4,25464,1.7,51317,3.1,68443,2.50 1,1,2024-09-07 08:27:40:559,168326,168326,0,0,78492401428,824124652,166525,1272,529,372,391857,0 1,2,2024-09-07 08:27:40:640,121111,121111,0,0,5162047,0,3267 1,3,2024-09-07 08:27:41:312,1,96,11,0,167,934,96,0 2,0,2024-09-07 08:27:41:583,21463,0.9,21598,1.1,42917,1.3,57280,2.00 2,1,2024-09-07 08:27:40:875,168733,168733,0,0,79259929759,824803453,167630,899,204,381,391558,0 2,2,2024-09-07 08:27:41:268,123757,123757,0,0,5231198,0,3304 2,3,2024-09-07 08:27:40:701,1,96,1,0,214,936,96,0 3,0,2024-09-07 08:27:41:751,22520,0.3,22630,0.5,45217,0.2,60465,1.75 3,1,2024-09-07 08:27:41:631,167782,167782,0,0,78937570081,825376843,165610,1784,388,382,391516,0 3,2,2024-09-07 08:27:41:143,121290,121267,23,0,5546117,0,5851 3,3,2024-09-07 08:27:41:752,1,96,1,0,103,641,96,0 4,0,2024-09-07 08:27:41:788,23708,0.3,24433,0.4,49309,0.2,64648,1.50 4,1,2024-09-07 08:27:40:592,168080,168080,0,0,78759544453,833207367,165597,1965,518,371,391846,0 4,2,2024-09-07 08:27:41:021,118396,118396,0,0,6591559,0,4528 4,3,2024-09-07 08:27:41:036,1,96,1,1,287,1513,96,0 5,0,2024-09-07 08:27:41:422,25977,0.6,25773,0.7,51889,0.8,68457,1.75 5,1,2024-09-07 08:27:40:757,167561,167561,0,0,78569800642,831339238,164553,2201,807,368,392005,0 5,2,2024-09-07 08:27:41:854,119927,119927,0,0,5469991,0,2259 5,3,2024-09-07 08:27:41:735,1,96,16,0,238,1716,96,0 6,0,2024-09-07 08:27:40:931,24457,0.8,24178,1.0,48176,1.0,64720,2.25 6,1,2024-09-07 08:27:40:747,168871,168871,0,0,79069179523,826088985,167455,1343,73,381,391603,0 6,2,2024-09-07 08:27:41:116,124875,124875,0,0,5537477,0,2411 6,3,2024-09-07 08:27:41:274,1,96,1,0,340,1576,96,0 7,0,2024-09-07 08:27:41:559,19722,0.3,19816,0.5,39967,0.3,53521,1.75 7,1,2024-09-07 08:27:40:855,168761,168761,0,0,78975810181,826996706,167188,1508,65,383,391664,0 7,2,2024-09-07 08:27:40:772,122668,122668,0,0,5133847,0,2981 7,3,2024-09-07 08:27:40:853,1,96,56,0,305,1082,96,0 8,0,2024-09-07 08:27:41:346,25599,0.3,25881,0.5,50857,0.3,67996,1.50 8,1,2024-09-07 08:27:41:020,168077,168077,0,0,78888217797,836805273,164493,2331,1253,368,391724,0 8,2,2024-09-07 08:27:40:795,116461,116461,0,0,6165432,0,2986 8,3,2024-09-07 08:27:40:585,1,96,1,0,229,1283,96,0 9,0,2024-09-07 08:27:41:118,26608,0.4,25893,0.6,54125,0.3,70878,1.75 9,1,2024-09-07 08:27:40:555,168537,168537,0,0,78892560561,836896802,165114,2420,1003,370,391738,0 9,2,2024-09-07 08:27:41:083,119551,119551,0,0,5663997,0,3360 9,3,2024-09-07 08:27:41:762,1,96,9,0,496,1699,96,0 10,0,2024-09-07 08:27:41:620,24968,0.6,24955,0.8,49976,0.6,66709,2.00 10,1,2024-09-07 08:27:40:583,168107,168107,0,0,79170606823,834515658,164758,2827,522,381,391741,0 10,2,2024-09-07 08:27:40:768,124256,124256,0,0,5830002,0,2940 10,3,2024-09-07 08:27:40:878,1,96,1,0,136,666,96,0 11,0,2024-09-07 08:27:41:014,19885,0.6,19420,0.9,40683,0.7,54955,2.25 11,1,2024-09-07 08:27:40:573,168092,168092,0,0,78698135688,829470292,164881,2222,989,384,391537,0 11,2,2024-09-07 08:27:41:127,123478,123478,0,0,5510591,0,2635 11,3,2024-09-07 08:27:41:310,1,96,4,0,720,2424,96,0 12,0,2024-09-07 08:27:40:955,25622,0.3,25506,0.4,51169,0.2,67688,1.50 12,1,2024-09-07 08:27:40:940,168111,168111,0,0,78681001357,823128638,166441,1567,103,371,391837,0 12,2,2024-09-07 08:27:41:548,119179,119179,0,0,4977596,0,2254 12,3,2024-09-07 08:27:41:068,1,96,165,0,358,1796,96,0 13,0,2024-09-07 08:27:41:356,25415,0.4,25514,0.6,50960,0.4,68129,1.75 13,1,2024-09-07 08:27:41:536,168772,168772,0,0,79048138711,830586681,167162,1243,367,384,391717,0 13,2,2024-09-07 08:27:40:599,120945,120945,0,0,4785129,0,3287 13,3,2024-09-07 08:27:41:775,1,96,8,1,416,1987,96,0 14,0,2024-09-07 08:27:40:567,25901,0.7,26104,0.8,51677,0.7,68716,2.00 14,1,2024-09-07 08:27:41:561,169680,169680,0,0,79316217178,820625277,168792,857,31,364,391546,0 14,2,2024-09-07 08:27:40:768,122090,122090,0,0,5323079,0,2793 14,3,2024-09-07 08:27:41:115,1,96,1,0,906,1377,96,0 15,0,2024-09-07 08:27:41:568,20898,0.7,20707,1.1,41558,0.8,55798,2.25 15,1,2024-09-07 08:27:41:623,168058,168058,0,0,79384395281,824982107,167220,803,35,381,391536,0 15,2,2024-09-07 08:27:41:018,125024,125024,0,0,4261075,0,3043 15,3,2024-09-07 08:27:41:414,1,96,2,0,538,2464,96,0 16,0,2024-09-07 08:27:40:954,23733,0.4,24012,0.6,47462,0.3,63825,2.00 16,1,2024-09-07 08:27:40:563,168510,168510,0,0,79408720000,830371330,167361,1121,28,372,391756,0 16,2,2024-09-07 08:27:41:437,119122,119122,0,0,5649139,0,4719 16,3,2024-09-07 08:27:41:142,1,96,1,0,231,1506,96,0 17,0,2024-09-07 08:27:41:788,25220,0.5,24732,0.6,48404,0.5,65174,1.75 17,1,2024-09-07 08:27:40:572,168334,168334,0,0,77897354483,822738333,166136,1671,527,369,391688,0 17,2,2024-09-07 08:27:41:667,120591,120591,0,0,4791236,0,2857 17,3,2024-09-07 08:27:40:574,1,96,15,0,268,1770,96,0 18,0,2024-09-07 08:27:40:947,24836,1.2,25249,1.1,50762,1.5,67120,2.50 18,1,2024-09-07 08:27:41:643,168586,168586,0,0,78721308084,818605835,167333,1035,218,368,391564,0 18,2,2024-09-07 08:27:41:765,120636,120636,0,0,5547557,0,3541 18,3,2024-09-07 08:27:40:901,1,96,2,0,163,1052,96,0 19,0,2024-09-07 08:27:41:551,22321,1.2,22578,1.2,44650,1.5,58956,3.75 19,1,2024-09-07 08:27:40:574,168609,168609,0,0,79436642888,829473701,166596,1847,166,367,391777,0 19,2,2024-09-07 08:27:41:761,124908,124908,0,0,5118880,0,3988 19,3,2024-09-07 08:27:41:129,1,96,1,0,524,1261,96,0 20,0,2024-09-07 08:27:41:367,21125,0.4,21149,0.6,42101,0.3,55913,2.00 20,1,2024-09-07 08:27:40:572,168446,168446,0,0,78995301168,829516808,166482,1788,176,370,391598,0 20,2,2024-09-07 08:27:40:938,121928,121928,0,0,5313922,0,3721 20,3,2024-09-07 08:27:40:594,1,96,1,0,414,1974,96,0 21,0,2024-09-07 08:27:41:139,25126,0.4,24995,0.5,49949,0.3,65737,1.75 21,1,2024-09-07 08:27:41:553,167772,167772,0,0,78326182332,827020832,165268,2000,504,368,391962,0 21,2,2024-09-07 08:27:41:067,118726,118726,0,0,5509298,0,3747 21,3,2024-09-07 08:27:41:414,1,96,11,0,93,1272,96,0 22,0,2024-09-07 08:27:41:727,26295,1.3,26227,1.2,52423,1.9,70024,2.50 22,1,2024-09-07 08:27:41:028,167702,167702,0,0,78320499326,832265503,163343,2988,1371,382,391667,0 22,2,2024-09-07 08:27:40:768,119533,119533,0,0,5092982,0,3134 22,3,2024-09-07 08:27:41:068,1,96,18,0,228,970,96,0 23,0,2024-09-07 08:27:41:376,24235,0.9,24388,0.9,49000,0.9,65445,2.50 23,1,2024-09-07 08:27:41:003,168578,168578,0,0,79825649040,832028594,166467,1540,571,365,391549,0 23,2,2024-09-07 08:27:41:099,124542,124542,0,0,5193156,0,2078 23,3,2024-09-07 08:27:41:758,1,96,38,0,645,1532,96,0 24,0,2024-09-07 08:27:40:912,20584,0.5,20531,0.7,41228,0.5,54839,1.75 24,1,2024-09-07 08:27:40:607,167995,167995,0,0,78401997736,822745759,166025,1295,675,369,391640,0 24,2,2024-09-07 08:27:41:076,122842,122842,0,0,6370979,0,2942 24,3,2024-09-07 08:27:41:699,1,96,7,0,234,1193,96,0 25,0,2024-09-07 08:27:41:362,26690,0.4,26110,0.6,51071,0.3,70239,1.75 25,1,2024-09-07 08:27:40:558,168182,168182,0,0,78581687522,826137514,165987,1879,316,371,391788,0 25,2,2024-09-07 08:27:41:630,117302,117302,0,0,5737762,0,3284 25,3,2024-09-07 08:27:41:018,1,96,24,0,158,1012,96,0 26,0,2024-09-07 08:27:41:729,25882,0.4,25292,0.6,52862,0.4,69598,1.75 26,1,2024-09-07 08:27:41:546,168881,168881,0,0,78551300297,829195591,165333,2713,835,381,391564,0 26,2,2024-09-07 08:27:40:861,120124,120124,0,0,5667794,0,2809 26,3,2024-09-07 08:27:41:713,1,96,10,0,796,1346,96,0 27,0,2024-09-07 08:27:41:734,25343,0.7,25466,0.8,50415,0.9,67494,2.00 27,1,2024-09-07 08:27:41:685,168985,168985,0,0,79302080952,824705361,167633,1057,295,381,391539,0 27,2,2024-09-07 08:27:40:875,122704,122704,0,0,6654689,0,3409 27,3,2024-09-07 08:27:41:019,1,96,1,0,564,1416,96,0 28,0,2024-09-07 08:27:41:393,20001,0.5,20321,0.7,40541,0.5,54087,2.00 28,1,2024-09-07 08:27:40:803,168945,168945,0,0,79909546540,831096762,167880,865,200,383,391646,0 28,2,2024-09-07 08:27:41:768,123854,123854,0,0,4553036,0,2609 28,3,2024-09-07 08:27:41:785,1,96,3,0,502,1302,96,0 29,0,2024-09-07 08:27:41:363,25548,0.3,24835,0.4,48838,0.2,66983,1.50 29,1,2024-09-07 08:27:41:565,169917,169917,0,0,79036200712,816420385,169066,679,172,369,391621,0 29,2,2024-09-07 08:27:40:866,119305,119305,0,0,3959436,0,2026 29,3,2024-09-07 08:27:40:968,1,96,8,0,105,769,96,0 30,0,2024-09-07 08:27:41:463,24422,0.9,23823,0.9,49868,1.1,65283,2.50 30,1,2024-09-07 08:27:40:576,169006,169006,0,0,79428462471,825652160,168007,934,65,382,391584,0 30,2,2024-09-07 08:27:41:283,121024,121024,0,0,3901969,0,2534 30,3,2024-09-07 08:27:40:582,1,96,3,0,195,768,96,0 31,0,2024-09-07 08:27:41:784,25464,1.1,25585,1.0,51514,1.2,68211,2.25 31,1,2024-09-07 08:27:40:582,169761,169761,0,0,79605864768,814436715,169510,249,2,356,391553,0 31,2,2024-09-07 08:27:41:280,121543,121543,0,0,5607162,0,3525 31,3,2024-09-07 08:27:41:708,1,96,18,0,129,629,96,0 32,0,2024-09-07 08:27:41:426,21685,1.0,21888,1.0,43744,1.1,57823,1.75 32,1,2024-09-07 08:27:40:812,169288,169288,0,0,79246035702,823551829,168273,986,29,382,391595,0 32,2,2024-09-07 08:27:40:950,124359,124359,0,0,4809877,0,3155 32,3,2024-09-07 08:27:41:019,1,96,227,0,227,1020,96,0 33,0,2024-09-07 08:27:41:506,22720,0.2,22411,0.4,45504,0.2,60532,1.50 33,1,2024-09-07 08:27:40:576,169387,169387,0,0,79391302450,824738674,167671,1593,123,369,391730,0 33,2,2024-09-07 08:27:40:759,121598,121565,33,0,6159730,0,7012 33,3,2024-09-07 08:27:40:901,1,96,24,0,129,697,96,0 34,0,2024-09-07 08:27:40:944,24473,0.3,25244,0.5,48520,0.2,64659,1.75 34,1,2024-09-07 08:27:41:057,169713,169713,0,0,80110120326,825189882,169098,611,4,367,391517,0 34,2,2024-09-07 08:27:40:768,118704,118704,0,0,5195058,0,3255 34,3,2024-09-07 08:27:41:698,1,96,1,0,148,729,96,0 35,0,2024-09-07 08:27:40:864,25676,0.7,25946,0.7,51630,0.7,68575,1.75 35,1,2024-09-07 08:27:41:069,168954,168954,0,0,79240736851,823444608,167475,1117,362,384,391587,0 35,2,2024-09-07 08:27:41:584,119774,119774,0,0,4873095,0,2542 35,3,2024-09-07 08:27:40:914,1,96,17,0,219,1193,96,0 36,0,2024-09-07 08:27:41:525,24320,1.3,24224,1.2,49033,1.5,64615,2.50 36,1,2024-09-07 08:27:40:586,168339,168339,0,0,78810128051,827597223,165294,2114,931,366,391543,0 36,2,2024-09-07 08:27:41:776,123856,123856,0,0,6187521,0,3303 36,3,2024-09-07 08:27:40:870,1,96,1,0,378,1171,96,0 37,0,2024-09-07 08:27:41:375,20045,0.3,19885,0.5,39928,0.2,53651,1.75 37,1,2024-09-07 08:27:40:572,168102,168095,0,7,78473843996,829955113,164735,1819,1541,365,391518,0 37,2,2024-09-07 08:27:41:142,123023,123023,0,0,4786962,0,2333 37,3,2024-09-07 08:27:41:769,1,96,13,0,724,2092,96,0 38,0,2024-09-07 08:27:41:446,25454,0.4,24659,0.6,51539,0.4,67527,1.75 38,1,2024-09-07 08:27:41:641,169224,169224,0,0,79214774662,831419563,166367,2336,521,368,391821,0 38,2,2024-09-07 08:27:40:764,118135,118135,0,0,5681934,0,3245 38,3,2024-09-07 08:27:40:997,1,96,17,0,603,1476,96,0 39,0,2024-09-07 08:27:41:783,27266,0.8,26708,0.8,52074,1.0,71117,2.00 39,1,2024-09-07 08:27:40:716,168589,168589,0,0,78559512336,822788189,165858,2093,638,365,391524,0 39,2,2024-09-07 08:27:41:418,119827,119827,0,0,5209213,0,2689 39,3,2024-09-07 08:27:40:718,1,96,8,0,276,1483,96,0 40,0,2024-09-07 08:27:41:503,24455,1.4,24972,1.8,49302,3.0,66130,3.25 40,1,2024-09-07 08:27:40:582,168116,168116,0,0,78237983044,824127796,164557,2796,763,369,391591,0 40,2,2024-09-07 08:27:41:310,124334,124333,1,0,6525588,0,5137 40,3,2024-09-07 08:27:41:147,1,96,1,0,110,863,96,0 41,0,2024-09-07 08:27:41:041,19666,1.7,20069,2.5,38461,3.2,52978,4.25 41,1,2024-09-07 08:27:40:774,168180,168180,0,0,78993365400,829927609,165101,2564,515,370,391742,0 41,2,2024-09-07 08:27:40:759,123100,123100,0,0,6424166,0,3356 41,3,2024-09-07 08:27:41:678,1,96,9,0,168,963,96,0 42,0,2024-09-07 08:27:41:480,25326,0.4,25624,0.6,50965,0.3,67502,2.00 42,1,2024-09-07 08:27:41:439,168056,168056,0,0,79431471688,836593443,164997,2229,830,380,391675,0 42,2,2024-09-07 08:27:41:140,117750,117750,0,0,5610380,0,3568 42,3,2024-09-07 08:27:41:011,1,96,2,0,100,750,96,0 43,0,2024-09-07 08:27:40:930,25325,0.9,24740,1.0,51627,1.0,68071,2.00 43,1,2024-09-07 08:27:40:582,168044,168044,0,0,78924338152,825661852,165616,1676,752,366,391604,0 43,2,2024-09-07 08:27:41:752,120572,120572,0,0,5809561,0,3812 43,3,2024-09-07 08:27:41:754,1,96,1,0,325,1794,96,0 44,0,2024-09-07 08:27:40:872,26014,0.7,25844,0.9,51835,0.7,69310,2.00 44,1,2024-09-07 08:27:40:567,169145,169145,0,0,79210762751,817625122,168120,917,108,356,391569,0 44,2,2024-09-07 08:27:41:268,122509,122509,0,0,4211637,0,1865 44,3,2024-09-07 08:27:41:093,1,96,1,0,817,1456,96,0 45,0,2024-09-07 08:27:41:768,20495,0.8,20147,1.1,42129,0.7,56213,2.00 45,1,2024-09-07 08:27:41:008,169233,169233,0,0,79282057781,821401392,168728,504,1,382,391917,0 45,2,2024-09-07 08:27:41:280,124780,124780,0,0,4907966,0,2628 45,3,2024-09-07 08:27:40:934,1,96,8,0,226,932,96,0 46,0,2024-09-07 08:27:40:984,23995,0.3,23965,0.4,48216,0.2,64021,1.50 46,1,2024-09-07 08:27:40:582,169493,169493,0,0,79321417036,818766408,168639,816,38,367,391514,0 46,2,2024-09-07 08:27:40:593,120696,120696,0,0,4610819,0,2920 46,3,2024-09-07 08:27:41:134,1,96,108,0,315,1312,96,0 47,0,2024-09-07 08:27:41:115,24489,0.3,24693,0.5,49596,0.3,64929,1.75 47,1,2024-09-07 08:27:40:571,169494,169494,0,0,78539721982,809386713,168984,506,4,366,391605,0 47,2,2024-09-07 08:27:40:908,120673,120673,0,0,4668119,0,2558 47,3,2024-09-07 08:27:41:115,1,96,0,0,529,1205,96,0 48,0,2024-09-07 08:27:41:497,25818,0.3,25535,0.5,50939,0.3,67880,1.75 48,1,2024-09-07 08:27:41:028,168862,168862,0,0,79256086335,823485121,167657,1199,6,384,391637,0 48,2,2024-09-07 08:27:40:714,120747,120747,0,0,4133455,0,2083 48,3,2024-09-07 08:27:40:763,1,96,3,0,39,744,96,0 49,0,2024-09-07 08:27:41:734,23256,0.7,22646,0.8,44429,0.8,60455,1.75 49,1,2024-09-07 08:27:41:027,168317,168317,0,0,78707055792,823050048,166551,1055,711,382,391583,0 49,2,2024-09-07 08:27:41:797,124313,124313,0,0,5321441,0,3900 49,3,2024-09-07 08:27:41:419,1,96,14,0,274,954,96,0 50,0,2024-09-07 08:27:41:514,21263,0.2,20900,0.4,42068,0.1,56593,1.50 50,1,2024-09-07 08:27:41:025,169587,169587,0,0,79919910425,830340808,167780,1510,297,368,391530,0 50,2,2024-09-07 08:27:41:071,122389,122389,0,0,4544172,0,2253 50,3,2024-09-07 08:27:41:293,1,96,33,0,335,1016,96,0 51,0,2024-09-07 08:27:41:691,25505,0.2,24974,0.4,48928,0.2,66019,1.50 51,1,2024-09-07 08:27:41:684,168976,168976,0,0,79915978493,830075963,167168,1024,784,367,391637,0 51,2,2024-09-07 08:27:41:316,118111,118111,0,0,3836238,0,2448 51,3,2024-09-07 08:27:41:031,1,96,1,0,162,623,96,0 52,0,2024-09-07 08:27:41:425,26583,0.7,26685,0.8,53388,0.8,70576,2.25 52,1,2024-09-07 08:27:40:580,168070,168070,0,0,78852122539,831449008,164587,2777,706,368,391722,0 52,2,2024-09-07 08:27:41:770,119013,119013,0,0,5694978,0,4779 52,3,2024-09-07 08:27:40:677,1,96,30,0,1782,2613,96,0 53,0,2024-09-07 08:27:41:739,24222,1.6,23395,1.3,48837,2.0,64597,2.75 53,1,2024-09-07 08:27:40:779,168036,168036,0,0,79261729060,833621407,164961,2494,581,370,391617,0 53,2,2024-09-07 08:27:41:306,125217,125217,0,0,4913526,0,1902 53,3,2024-09-07 08:27:40:698,1,96,13,0,133,763,96,0 54,0,2024-09-07 08:27:41:620,19661,3.5,20037,1.4,39224,1.2,53574,4.25 54,1,2024-09-07 08:27:40:580,168570,168570,0,0,79657237880,832761387,166059,2193,318,367,391520,0 54,2,2024-09-07 08:27:40:867,123593,123587,6,0,5947898,0,5382 54,3,2024-09-07 08:27:40:767,1,96,1,0,676,1991,96,0 55,0,2024-09-07 08:27:41:764,25006,0.5,25817,0.7,51965,0.4,67726,2.25 55,1,2024-09-07 08:27:40:769,167567,167567,0,0,78991415332,832016382,163384,3405,778,367,391731,0 55,2,2024-09-07 08:27:40:733,117647,117647,0,0,5444729,0,3275 55,3,2024-09-07 08:27:40:676,1,96,1,0,136,959,96,0 56,0,2024-09-07 08:27:41:562,26647,1.7,25198,1.3,51786,2.2,69611,2.50 56,1,2024-09-07 08:27:40:573,167983,167983,0,0,79552510480,844406728,164284,2948,751,382,391678,0 56,2,2024-09-07 08:27:41:310,120053,120053,0,0,6119541,0,3567 56,3,2024-09-07 08:27:41:068,1,96,27,0,297,1107,96,0 57,0,2024-09-07 08:27:40:989,24666,2.7,24514,1.7,49227,4.5,66118,4.25 57,1,2024-09-07 08:27:41:013,168175,168175,0,0,78946541134,827816013,166135,1872,168,368,391773,0 57,2,2024-09-07 08:27:41:330,123904,123904,0,0,6508861,0,3178 57,3,2024-09-07 08:27:41:742,1,96,9,0,359,1803,96,0 58,0,2024-09-07 08:27:40:562,19386,0.6,18926,0.9,39724,0.4,52116,2.75 58,1,2024-09-07 08:27:40:576,169478,169475,0,3,79570480108,830183767,167340,1908,227,369,391516,3 58,2,2024-09-07 08:27:41:076,123685,123685,0,0,5616447,0,2549 58,3,2024-09-07 08:27:41:074,1,96,4,0,219,962,96,0 59,0,2024-09-07 08:27:41:747,25213,0.5,24938,0.7,50158,0.4,66497,2.25 59,1,2024-09-07 08:27:40:817,168046,168046,0,0,79024684409,830050476,165279,1913,854,369,391515,0 59,2,2024-09-07 08:27:40:583,119311,119311,0,0,4833445,0,2604 59,3,2024-09-07 08:27:41:739,1,96,3,0,1015,2166,96,0 60,0,2024-09-07 08:27:41:732,24784,0.4,24924,0.6,49231,0.5,65485,1.75 60,1,2024-09-07 08:27:40:775,170031,170031,0,0,79473732529,825190360,168940,742,349,370,391758,0 60,2,2024-09-07 08:27:41:144,120050,120050,0,0,4540406,0,2142 60,3,2024-09-07 08:27:41:268,1,96,40,0,124,1028,96,0 61,0,2024-09-07 08:27:41:554,25509,2.2,25688,1.4,51258,3.5,68300,2.25 61,1,2024-09-07 08:27:40:790,168319,168319,0,0,78994256877,830548210,165726,2057,536,382,391589,0 61,2,2024-09-07 08:27:41:120,121532,121532,0,0,4749437,0,1846 61,3,2024-09-07 08:27:41:710,1,96,13,0,199,1344,96,0 62,0,2024-09-07 08:27:41:722,21741,0.8,22241,1.0,42718,1.2,57885,2.00 62,1,2024-09-07 08:27:41:113,169455,169449,0,6,79769439609,824371796,168194,1217,38,365,391586,6 62,2,2024-09-07 08:27:41:644,123833,123833,0,0,5231562,0,2931 62,3,2024-09-07 08:27:41:155,1,96,0,0,287,738,96,0 63,0,2024-09-07 08:27:41:490,22554,0.3,22539,0.5,45280,0.2,60492,1.75 63,1,2024-09-07 08:27:40:812,169720,169714,0,6,79566432828,821901276,169305,406,3,381,391540,6 63,2,2024-09-07 08:27:40:768,120957,120957,0,0,4617794,0,2674 63,3,2024-09-07 08:27:41:733,1,96,5,0,667,1946,96,0 64,0,2024-09-07 08:27:41:588,24347,0.4,24189,0.6,48538,0.4,64633,1.75 64,1,2024-09-07 08:27:40:754,168818,168818,0,0,79356671493,832952266,166296,1727,795,371,391783,0 64,2,2024-09-07 08:27:41:151,119608,119589,19,0,5325593,0,6121 64,3,2024-09-07 08:27:41:147,1,96,9,0,265,1111,96,0 65,0,2024-09-07 08:27:41:702,25171,1.8,25513,1.2,51093,2.2,67766,3.00 65,1,2024-09-07 08:27:40:869,168308,168308,0,0,79099001341,828931260,166483,1605,220,382,391770,0 65,2,2024-09-07 08:27:41:707,120657,120657,0,0,5566575,0,3367 65,3,2024-09-07 08:27:41:701,1,96,13,0,163,1033,96,0 66,0,2024-09-07 08:27:41:780,23845,0.6,24023,0.8,48237,0.5,64042,2.25 66,1,2024-09-07 08:27:41:300,169658,169658,0,0,78966140407,819118364,168955,681,22,380,391588,0 66,2,2024-09-07 08:27:41:135,125845,125845,0,0,5048640,0,3867 66,3,2024-09-07 08:27:41:080,1,96,242,0,291,1242,96,0 67,0,2024-09-07 08:27:41:427,20307,0.4,20196,0.6,40051,0.3,53741,2.00 67,1,2024-09-07 08:27:40:774,168404,168403,0,1,78983798320,827215149,166358,1520,525,382,391787,1 67,2,2024-09-07 08:27:40:583,123753,123753,0,0,4580083,0,2889 67,3,2024-09-07 08:27:41:774,1,96,2,0,138,829,96,0 68,0,2024-09-07 08:27:40:624,25708,0.5,25633,0.7,51147,0.5,67827,2.00 68,1,2024-09-07 08:27:40:572,168019,168019,0,0,79291910205,832790749,166324,1173,522,381,391953,0 68,2,2024-09-07 08:27:41:060,117581,117581,0,0,5469399,0,4883 68,3,2024-09-07 08:27:40:736,1,96,1,0,151,1025,96,0 69,0,2024-09-07 08:27:41:758,26505,1.2,26694,1.1,53115,1.6,70873,2.50 69,1,2024-09-07 08:27:41:022,167804,167804,0,0,79127520453,839438274,165128,1599,1077,384,391611,0 69,2,2024-09-07 08:27:41:751,119698,119698,0,0,6187744,0,3701 69,3,2024-09-07 08:27:40:770,1,96,14,0,238,1333,96,0 70,0,2024-09-07 08:27:41:554,24581,2.8,24646,2.0,49635,1.6,65926,4.25 70,1,2024-09-07 08:27:40:805,168879,168879,0,0,79771543991,830070450,167062,1358,459,368,391725,0 70,2,2024-09-07 08:27:41:333,123639,123639,0,0,5985282,0,4044 70,3,2024-09-07 08:27:40:748,1,96,1,0,178,556,96,0 71,0,2024-09-07 08:27:41:371,19603,1.9,19723,2.1,39148,2.8,53699,3.75 71,1,2024-09-07 08:27:41:598,168789,168789,0,0,79419013923,831243992,166281,2175,333,368,391682,0 71,2,2024-09-07 08:27:41:073,124065,124065,0,0,5384755,0,2146 71,3,2024-09-07 08:27:41:771,1,96,2,0,279,1399,96,0 72,0,2024-09-07 08:27:41:056,26244,0.3,25581,0.5,50170,0.2,67894,2.00 72,1,2024-09-07 08:27:41:037,168939,168939,0,0,78952131985,822623214,167228,1633,78,370,391556,0 72,2,2024-09-07 08:27:41:776,117319,117319,0,0,6225220,0,2570 72,3,2024-09-07 08:27:41:763,1,96,1,0,325,1445,96,0 73,0,2024-09-07 08:27:41:111,24823,0.4,25524,0.6,52029,0.4,67778,2.25 73,1,2024-09-07 08:27:40:769,168657,168657,0,0,79508293069,826110339,167611,1001,45,368,391627,0 73,2,2024-09-07 08:27:41:757,121089,121089,0,0,5991824,0,3482 73,3,2024-09-07 08:27:40:981,1,96,11,0,274,1477,96,0 74,0,2024-09-07 08:27:41:327,26030,1.1,26387,1.1,50592,1.7,68817,3.00 74,1,2024-09-07 08:27:40:639,168412,168412,0,0,78566500904,822310192,166236,1571,605,382,391511,0 74,2,2024-09-07 08:27:41:009,122107,122107,0,0,6458451,0,4253 74,3,2024-09-07 08:27:41:450,1,96,14,0,246,1533,96,0 75,0,2024-09-07 08:27:41:783,20899,1.5,20935,1.4,41627,1.6,56339,3.00 75,1,2024-09-07 08:27:41:592,168462,168462,0,0,78891295077,827645705,166185,1914,363,381,391579,0 75,2,2024-09-07 08:27:41:351,124094,124094,0,0,5998679,0,4766 75,3,2024-09-07 08:27:41:072,1,96,73,0,535,1333,96,0 76,0,2024-09-07 08:27:40:609,24062,0.3,23783,0.5,47380,0.2,63692,1.75 76,1,2024-09-07 08:27:40:816,168461,168461,0,0,78552702665,822757700,166646,1308,507,382,391530,0 76,2,2024-09-07 08:27:41:074,120715,120715,0,0,4658076,0,3064 76,3,2024-09-07 08:27:41:147,1,96,1,0,175,1093,96,0 77,0,2024-09-07 08:27:41:759,24562,0.6,24707,0.7,49491,0.6,64876,1.75 77,1,2024-09-07 08:27:41:070,168914,168914,0,0,79169846103,824500131,167869,976,69,383,391555,0 77,2,2024-09-07 08:27:41:281,120251,120251,0,0,4113645,0,1695 77,3,2024-09-07 08:27:41:110,1,96,8,0,139,963,96,0 78,0,2024-09-07 08:27:41:731,25527,0.8,25478,0.9,50989,0.8,67547,2.25 78,1,2024-09-07 08:27:40:611,169500,169500,0,0,79061205054,821937941,168050,1245,205,367,391589,0 78,2,2024-09-07 08:27:41:408,121231,121231,0,0,4347510,0,2114 78,3,2024-09-07 08:27:41:138,1,96,4,0,181,1338,96,0 79,0,2024-09-07 08:27:41:357,21850,0.6,22386,0.9,45798,0.6,59310,2.50 79,1,2024-09-07 08:27:40:571,169509,169509,0,0,79251150092,821638643,168167,1273,69,370,391682,0 79,2,2024-09-07 08:27:41:068,124621,124621,0,0,4773987,0,2679 79,3,2024-09-07 08:27:40:749,1,96,1,0,289,995,96,0 80,0,2024-09-07 08:27:41:152,20881,0.5,21647,0.7,41591,0.4,56197,2.00 80,1,2024-09-07 08:27:41:622,168451,168451,0,0,78835255156,821543848,166699,1635,117,369,391673,0 80,2,2024-09-07 08:27:41:105,122854,122854,0,0,5028975,0,4433 80,3,2024-09-07 08:27:40:575,1,96,22,1,190,1523,96,0 81,0,2024-09-07 08:27:41:547,24995,0.5,25694,0.6,49183,0.5,66027,1.75 81,1,2024-09-07 08:27:41:667,168596,168596,0,0,78665575779,824913784,166670,1728,198,382,391680,0 81,2,2024-09-07 08:27:41:137,117788,117788,0,0,5326050,0,3993 81,3,2024-09-07 08:27:41:117,1,96,2,0,193,960,96,0 82,0,2024-09-07 08:27:41:554,26387,0.8,26369,0.9,53013,0.8,70642,2.25 82,1,2024-09-07 08:27:40:583,168540,168536,0,4,78873235279,825561795,166354,1608,574,382,391558,4 82,2,2024-09-07 08:27:41:699,120588,120588,0,0,4453206,0,2230 82,3,2024-09-07 08:27:41:755,1,96,3,0,227,1535,96,0 83,0,2024-09-07 08:27:41:528,24436,1.3,24253,1.2,48144,1.5,64641,2.75 83,1,2024-09-07 08:27:40:558,168496,168496,0,0,79377079748,829437011,167004,1391,101,383,391553,0 83,2,2024-09-07 08:27:40:772,123889,123889,0,0,5401083,0,3119 83,3,2024-09-07 08:27:40:754,1,96,127,0,127,851,96,0 84,0,2024-09-07 08:27:41:827,20202,1.4,19900,1.6,39968,0.9,54294,2.75 84,1,2024-09-07 08:27:41:053,167930,167930,0,0,78833347487,828786608,165545,2003,382,369,391638,0 84,2,2024-09-07 08:27:40:571,123405,123405,0,0,5560050,0,3801 84,3,2024-09-07 08:27:41:146,1,96,1,1,58,906,96,0 85,0,2024-09-07 08:27:41:024,24906,0.5,24903,0.7,52676,0.4,68835,2.00 85,1,2024-09-07 08:27:40:560,167766,167766,0,0,78785215851,834609553,164697,2635,434,383,391679,0 85,2,2024-09-07 08:27:40:866,117588,117588,0,0,5898739,0,3656 85,3,2024-09-07 08:27:40:686,1,96,9,0,115,983,96,0 86,0,2024-09-07 08:27:40:901,25910,0.7,26764,0.8,51522,0.8,69537,2.00 86,1,2024-09-07 08:27:40:851,168174,168174,0,0,79623532536,834940862,165714,2183,277,367,391961,0 86,2,2024-09-07 08:27:40:865,119461,119460,1,0,6518548,0,5004 86,3,2024-09-07 08:27:40:591,1,96,2,0,199,1187,96,0 87,0,2024-09-07 08:27:41:339,25183,2.5,24987,1.5,50177,3.8,67283,3.00 87,1,2024-09-07 08:27:40:562,168371,168371,0,0,78626442365,826659642,166096,2136,139,366,391788,0 87,2,2024-09-07 08:27:41:083,123426,123426,0,0,5549705,0,3515 87,3,2024-09-07 08:27:41:807,1,96,1,1,335,1675,96,0 88,0,2024-09-07 08:27:41:665,20059,0.5,20404,0.7,40400,0.5,54029,1.75 88,1,2024-09-07 08:27:40:571,167732,167732,0,0,79239225714,827875271,166312,1322,98,367,391787,0 88,2,2024-09-07 08:27:40:697,124094,124094,0,0,6279091,0,3583 88,3,2024-09-07 08:27:41:269,1,96,10,1,77,1107,96,0 89,0,2024-09-07 08:27:41:804,25703,0.3,24966,0.5,49580,0.3,67229,1.50 89,1,2024-09-07 08:27:40:551,167662,167662,0,0,79148583061,840689904,164145,2780,737,382,391866,0 89,2,2024-09-07 08:27:41:141,118817,118817,0,0,5460229,0,2726 89,3,2024-09-07 08:27:41:791,1,96,9,0,325,2083,96,0 90,0,2024-09-07 08:27:41:665,23882,0.4,24470,0.6,50161,0.4,65307,1.75 90,1,2024-09-07 08:27:40:600,168696,168696,0,0,79142552618,833902708,166420,2125,151,382,391825,0 90,2,2024-09-07 08:27:41:409,119086,119086,0,0,6520642,0,2635 90,3,2024-09-07 08:27:40:936,1,96,16,1,200,1056,96,0 91,0,2024-09-07 08:27:40:966,26040,0.6,25220,0.7,52354,0.7,68715,1.75 91,1,2024-09-07 08:27:40:556,168316,168316,0,0,79081580833,834343360,165523,2385,408,383,391914,0 91,2,2024-09-07 08:27:41:343,120546,120546,0,0,5720374,0,1997 91,3,2024-09-07 08:27:40:600,1,96,2,0,155,1355,96,0 92,0,2024-09-07 08:27:41:511,22002,0.7,22452,0.9,42842,0.9,58012,1.75 92,1,2024-09-07 08:27:40:580,168997,168997,0,0,79077991073,823312327,168327,600,70,382,391717,0 92,2,2024-09-07 08:27:41:352,125885,125885,0,0,5096646,0,2279 92,3,2024-09-07 08:27:41:015,1,96,1,0,68,937,96,0 93,0,2024-09-07 08:27:41:026,22741,0.3,23155,0.5,44216,0.2,60647,1.50 93,1,2024-09-07 08:27:40:825,169043,169043,0,0,79241376885,828288345,167263,1343,437,367,391689,0 93,2,2024-09-07 08:27:40:996,121494,121494,0,0,4612582,0,2509 93,3,2024-09-07 08:27:41:419,1,96,16,0,143,951,96,0 94,0,2024-09-07 08:27:41:652,24574,0.3,24711,0.5,48943,0.3,64523,1.50 94,1,2024-09-07 08:27:40:580,168391,168391,0,0,79044864757,828455057,166771,1552,68,381,391850,0 94,2,2024-09-07 08:27:40:774,118674,118674,0,0,4576357,0,2443 94,3,2024-09-07 08:27:41:702,1,96,9,1,231,1524,96,0 95,0,2024-09-07 08:27:41:404,25926,0.4,25792,0.6,51966,0.4,68656,1.75 95,1,2024-09-07 08:27:40:852,169136,169136,0,0,78834127766,818283559,168320,752,64,367,391590,0 95,2,2024-09-07 08:27:41:030,120548,120548,0,0,5015489,0,3308 95,3,2024-09-07 08:27:41:717,1,96,10,0,307,1652,96,0 96,0,2024-09-07 08:27:41:149,24435,0.6,24407,0.7,48707,0.6,64447,1.75 96,1,2024-09-07 08:27:41:602,168903,168903,0,0,79067515632,829904207,167009,1323,571,385,391596,0 96,2,2024-09-07 08:27:41:296,124799,124799,0,0,5541328,0,4038 96,3,2024-09-07 08:27:41:147,1,96,13,0,188,1074,96,0 97,0,2024-09-07 08:27:41:378,19883,0.3,20027,0.5,40215,0.3,53642,1.75 97,1,2024-09-07 08:27:40:774,168792,168792,0,0,79430646008,829594172,166589,1684,519,367,392140,0 97,2,2024-09-07 08:27:40:610,122696,122696,0,0,4832376,0,3036 97,3,2024-09-07 08:27:40:575,1,96,10,1,165,1196,96,0 98,0,2024-09-07 08:27:41:727,25626,0.2,25410,0.4,51475,0.2,67995,1.50 98,1,2024-09-07 08:27:40:585,168592,168592,0,0,79191079693,826745175,167379,1156,57,382,391997,0 98,2,2024-09-07 08:27:40:774,119131,119131,0,0,5006142,0,3080 98,3,2024-09-07 08:27:40:712,1,96,3,1,840,2210,96,0 99,0,2024-09-07 08:27:41:507,26627,0.3,26624,0.5,53232,0.3,71015,1.75 99,1,2024-09-07 08:27:41:737,169381,169381,0,0,79022817961,824853851,167877,1058,446,381,391744,0 99,2,2024-09-07 08:27:41:420,121073,121073,0,0,5222193,0,1858 99,3,2024-09-07 08:27:40:582,1,96,4,0,129,793,96,0 100,0,2024-09-07 08:27:41:572,24941,1.5,24771,2.0,49516,4.4,66744,2.50 100,1,2024-09-07 08:27:40:591,167384,167384,0,0,78890547156,839185945,163949,3006,429,381,391989,0 100,2,2024-09-07 08:27:41:824,123739,123728,11,0,6659176,0,5417 100,3,2024-09-07 08:27:41:740,1,96,72,0,443,2113,96,0 101,0,2024-09-07 08:27:41:751,20266,3.6,19810,1.9,39052,3.3,54636,3.50 101,1,2024-09-07 08:27:40:560,167479,167479,0,0,78797896479,835034732,164406,1834,1239,369,391709,0 101,2,2024-09-07 08:27:41:778,123213,123213,0,0,6239081,0,4644 101,3,2024-09-07 08:27:40:948,1,96,12,0,448,1215,96,0 102,0,2024-09-07 08:27:41:007,24889,0.4,25694,0.5,51810,0.3,67592,1.75 102,1,2024-09-07 08:27:41:175,167963,167963,0,0,78164611950,825786828,165232,2215,516,369,391831,0 102,2,2024-09-07 08:27:41:745,118783,118783,0,0,4786635,0,2144 102,3,2024-09-07 08:27:41:615,1,96,2,0,410,1034,96,0 103,0,2024-09-07 08:27:41:629,26187,0.5,26074,0.7,49482,0.5,68255,2.00 103,1,2024-09-07 08:27:41:633,167662,167662,0,0,79175602948,835330715,165039,1911,712,381,391680,0 103,2,2024-09-07 08:27:40:588,120172,120172,0,0,5525932,0,2104 103,3,2024-09-07 08:27:40:765,1,96,137,0,486,1487,96,0 104,0,2024-09-07 08:27:41:024,25824,1.5,25752,1.3,50599,1.7,69075,2.50 104,1,2024-09-07 08:27:41:609,169001,169001,0,0,78578298426,826679689,166695,1927,379,366,391948,0 104,2,2024-09-07 08:27:41:666,121787,121787,0,0,5837986,0,3941 104,3,2024-09-07 08:27:41:420,1,96,4,1,1245,3991,96,0 105,0,2024-09-07 08:27:41:196,20628,0.8,19967,1.3,41566,1.1,55931,3.00 105,1,2024-09-07 08:27:40:556,168419,168419,0,0,79064570066,834048298,165069,2591,759,367,391797,0 105,2,2024-09-07 08:27:41:336,123920,123920,0,0,5635601,0,3314 105,3,2024-09-07 08:27:41:330,1,96,17,1,399,1775,96,0 106,0,2024-09-07 08:27:41:016,23188,0.4,23872,0.6,48345,0.3,63718,1.75 106,1,2024-09-07 08:27:41:775,168710,168710,0,0,78399594502,824524924,166088,2294,328,369,391767,0 106,2,2024-09-07 08:27:40:760,119288,119288,0,0,5363980,0,2795 106,3,2024-09-07 08:27:40:677,1,96,5,1,201,988,96,0 107,0,2024-09-07 08:27:41:144,24721,0.5,24576,0.6,48856,0.4,65125,1.75 107,1,2024-09-07 08:27:40:610,168156,168156,0,0,79331876802,835348847,166202,1764,190,381,392234,0 107,2,2024-09-07 08:27:41:309,119083,119082,1,0,5503556,0,5024 107,3,2024-09-07 08:27:41:767,1,96,8,0,353,1469,96,0 108,0,2024-09-07 08:27:41:783,25659,0.5,25887,0.7,51052,0.5,67888,1.75 108,1,2024-09-07 08:27:41:301,168624,168624,0,0,79353217294,828864973,167139,1349,136,371,391857,0 108,2,2024-09-07 08:27:41:774,120390,120390,0,0,5199899,0,2647 108,3,2024-09-07 08:27:41:336,1,96,3,0,73,1209,96,0 109,0,2024-09-07 08:27:41:782,22728,0.7,22856,0.8,45296,0.8,60467,1.75 109,1,2024-09-07 08:27:40:594,168443,168443,0,0,79871651053,839591586,166764,1294,385,383,391812,0 109,2,2024-09-07 08:27:40:945,123463,123463,0,0,5504653,0,3617 109,3,2024-09-07 08:27:41:142,1,96,18,1,249,1527,96,0 110,0,2024-09-07 08:27:41:789,21217,0.2,20584,0.4,42748,0.2,56202,1.50 110,1,2024-09-07 08:27:41:649,169233,169233,0,0,79585244201,826856536,167902,1041,290,370,391667,0 110,2,2024-09-07 08:27:41:310,122600,122600,0,0,4638335,0,2915 110,3,2024-09-07 08:27:40:690,1,96,5,0,183,933,96,0 111,0,2024-09-07 08:27:41:438,25071,0.2,24676,0.4,49434,0.1,66037,1.50 111,1,2024-09-07 08:27:41:018,169445,169445,0,0,79634478747,823520100,168797,634,14,382,391690,0 111,2,2024-09-07 08:27:41:118,118386,118386,0,0,4927054,0,2763 111,3,2024-09-07 08:27:40:917,1,96,3,0,300,1662,96,0 112,0,2024-09-07 08:27:40:917,26742,0.4,26697,0.5,53206,0.3,70966,1.75 112,1,2024-09-07 08:27:40:835,168596,168596,0,0,79372102548,827789355,166982,1268,346,381,391580,0 112,2,2024-09-07 08:27:41:135,120004,120003,1,0,5641792,0,5036 112,3,2024-09-07 08:27:40:591,1,96,1,0,282,1033,96,0 113,0,2024-09-07 08:27:40:874,24384,0.5,24154,0.7,49174,0.5,65673,1.75 113,1,2024-09-07 08:27:41:685,168951,168951,0,0,79277542635,822871698,167056,1511,384,368,391661,0 113,2,2024-09-07 08:27:41:309,125535,125535,0,0,4574190,0,3021 113,3,2024-09-07 08:27:40:686,1,96,8,0,288,1548,96,0 114,0,2024-09-07 08:27:40:891,20469,2.2,20747,1.1,40552,0.7,54924,2.00 114,1,2024-09-07 08:27:40:717,168952,168952,0,0,79550620150,834391760,166324,1747,881,381,391531,0 114,2,2024-09-07 08:27:40:883,123349,123349,0,0,5191519,0,3925 114,3,2024-09-07 08:27:41:280,1,96,1,0,395,1623,96,0 115,0,2024-09-07 08:27:40:559,25810,0.2,26092,0.4,52490,0.2,70031,1.50 115,1,2024-09-07 08:27:40:573,168924,168924,0,0,79572084664,831584038,166538,1899,487,383,391528,0 115,2,2024-09-07 08:27:41:130,118384,118384,0,0,4320542,0,2152 115,3,2024-09-07 08:27:41:008,1,96,1,0,159,595,96,0 116,0,2024-09-07 08:27:41:723,26228,0.8,25761,0.9,52157,1.0,69524,2.00 116,1,2024-09-07 08:27:40:812,167593,167593,0,0,78853088307,839756876,164322,1885,1386,382,391619,0 116,2,2024-09-07 08:27:41:752,119395,119395,0,0,6063862,0,3529 116,3,2024-09-07 08:27:40:939,1,96,94,1,252,1540,96,0 117,0,2024-09-07 08:27:40:987,25120,1.9,25188,1.3,50072,3.2,67403,2.25 117,1,2024-09-07 08:27:41:598,168458,168458,0,0,78598192972,829325183,165504,2559,395,370,392033,0 117,2,2024-09-07 08:27:41:127,124576,124576,0,0,5499096,0,3700 117,3,2024-09-07 08:27:41:064,1,96,13,0,490,1994,96,0 118,0,2024-09-07 08:27:41:822,19578,0.6,20066,0.8,41069,0.6,53763,2.00 118,1,2024-09-07 08:27:40:585,168348,168348,0,0,78005437521,819803823,166489,1690,169,368,391736,0 118,2,2024-09-07 08:27:41:594,123936,123936,0,0,5793470,0,2781 118,3,2024-09-07 08:27:41:776,1,96,6,1,235,1392,96,0 119,0,2024-09-07 08:27:41:414,24663,0.4,24665,0.6,50322,0.3,66325,1.75 119,1,2024-09-07 08:27:40:553,168787,168787,0,0,79737357409,835389823,166766,1740,281,370,391641,0 119,2,2024-09-07 08:27:41:299,118303,118303,0,0,5118061,0,2532 119,3,2024-09-07 08:27:41:330,1,96,2,0,443,2399,96,0 120,0,2024-09-07 08:27:41:620,24482,0.5,24530,0.8,48723,0.5,65317,2.00 120,1,2024-09-07 08:27:40:864,168614,168614,0,0,79341203415,831771332,167075,1522,17,368,391702,0 120,2,2024-09-07 08:27:40:776,119759,119758,1,0,6452949,0,5281 120,3,2024-09-07 08:27:41:315,1,96,15,0,241,1519,96,0 121,0,2024-09-07 08:27:41:725,25469,2.1,25801,1.4,51358,3.4,68656,2.50 121,1,2024-09-07 08:27:41:668,168261,168261,0,0,78624849484,823884456,166297,1692,272,367,391840,0 121,2,2024-09-07 08:27:41:143,120483,120483,0,0,6647106,0,4127 121,3,2024-09-07 08:27:40:732,1,96,9,0,159,1162,96,0 122,0,2024-09-07 08:27:41:786,21660,2.0,20959,1.7,43678,2.4,58043,2.25 122,1,2024-09-07 08:27:40:862,167682,167682,0,0,79364398368,838192318,164307,2736,639,367,392130,0 122,2,2024-09-07 08:27:41:325,124681,124681,0,0,6582633,0,3364 122,3,2024-09-07 08:27:40:606,1,96,10,0,298,1945,96,0 123,0,2024-09-07 08:27:40:985,22525,0.4,21873,0.6,45718,0.3,60342,1.75 123,1,2024-09-07 08:27:40:562,169098,169098,0,0,79202910849,838328187,165413,3112,573,369,391823,0 123,2,2024-09-07 08:27:41:024,120586,120585,1,0,5481108,0,5215 123,3,2024-09-07 08:27:41:140,1,96,3,1,168,1476,96,0 124,0,2024-09-07 08:27:40:954,25167,0.3,25126,0.4,47445,0.2,64848,1.50 124,1,2024-09-07 08:27:41:045,168787,168787,0,0,79001916296,818559437,167974,668,145,367,392178,0 124,2,2024-09-07 08:27:41:031,119140,119140,0,0,4331964,0,2477 124,3,2024-09-07 08:27:40:758,1,96,1,1,490,1715,96,0 125,0,2024-09-07 08:27:41:428,26055,0.5,25970,0.6,51964,0.6,68594,1.75 125,1,2024-09-07 08:27:40:867,168390,168390,0,0,79041143637,826558825,166629,1488,273,384,391702,0 125,2,2024-09-07 08:27:41:162,120541,120541,0,0,4809609,0,2180 125,3,2024-09-07 08:27:41:129,1,96,8,1,93,1202,96,0 126,0,2024-09-07 08:27:41:434,24419,1.0,25001,0.9,48036,1.1,64931,1.75 126,1,2024-09-07 08:27:40:552,169383,169383,0,0,79594709130,825046867,168330,998,55,365,391987,0 126,2,2024-09-07 08:27:40:611,125002,125002,0,0,5107225,0,3186 126,3,2024-09-07 08:27:40:912,1,96,3,0,122,1245,96,0 127,0,2024-09-07 08:27:41:605,19998,0.2,19984,0.4,40079,0.2,53606,1.50 127,1,2024-09-07 08:27:40:577,169097,169097,0,0,79166425914,820176827,167953,1121,23,365,391614,0 127,2,2024-09-07 08:27:40:639,123347,123347,0,0,4366838,0,1803 127,3,2024-09-07 08:27:41:267,1,96,7,0,99,724,96,0 128,0,2024-09-07 08:27:41:537,25808,0.3,25805,0.4,51064,0.2,68074,1.50 128,1,2024-09-07 08:27:41:630,168931,168931,0,0,79453586240,823563650,168195,666,70,367,391680,0 128,2,2024-09-07 08:27:41:398,117458,117458,0,0,5089623,0,2107 128,3,2024-09-07 08:27:40:778,1,96,2,1,112,1062,96,0 129,0,2024-09-07 08:27:41:010,26781,0.4,26712,0.5,53203,0.3,70982,1.75 129,1,2024-09-07 08:27:40:571,168069,168069,0,0,78956439699,829138668,165943,1839,287,379,391835,0 129,2,2024-09-07 08:27:40:687,119725,119725,0,0,4580581,0,2446 129,3,2024-09-07 08:27:40:688,1,96,9,1,173,1380,96,0 130,0,2024-09-07 08:27:41:750,25170,1.3,25017,1.0,50095,1.9,67261,2.00 130,1,2024-09-07 08:27:40:590,168699,168699,0,0,79199151721,826614279,167374,1290,35,381,391825,0 130,2,2024-09-07 08:27:41:130,124388,124388,0,0,5399102,0,4067 130,3,2024-09-07 08:27:41:292,1,96,3,0,207,740,96,0 131,0,2024-09-07 08:27:41:946,20300,0.6,20272,0.7,40944,0.9,54920,1.75 131,1,2024-09-07 08:27:41:829,169632,169632,0,0,79070293920,824341767,168535,910,187,384,391865,0 131,2,2024-09-07 08:27:40:577,124320,124320,0,0,4627809,0,2415 131,3,2024-09-07 08:27:41:699,1,96,1,0,392,1237,96,0 132,0,2024-09-07 08:27:41:420,25161,0.3,25582,0.5,51215,0.2,67728,1.75 132,1,2024-09-07 08:27:40:582,167875,167875,0,0,78800593836,834781528,164563,2555,757,381,391719,0 132,2,2024-09-07 08:27:40:700,117731,117731,0,0,6783653,0,4606 132,3,2024-09-07 08:27:41:700,1,96,1,0,356,1776,96,0 133,0,2024-09-07 08:27:41:556,24842,0.5,25269,0.6,52066,0.5,67583,2.00 133,1,2024-09-07 08:27:40:583,167235,167235,0,0,79057331517,836611533,164431,2630,174,383,391755,0 133,2,2024-09-07 08:27:41:091,119848,119848,0,0,6617091,0,4315 133,3,2024-09-07 08:27:41:306,1,96,10,1,187,873,96,0 134,0,2024-09-07 08:27:40:996,25930,0.8,25794,1.0,51914,0.9,68953,2.00 134,1,2024-09-07 08:27:40:598,168169,168169,0,0,78295402870,824957280,165233,1954,982,366,391718,0 134,2,2024-09-07 08:27:41:760,122149,122149,0,0,5306424,0,2026 134,3,2024-09-07 08:27:40:782,1,96,65,0,739,2174,96,0 135,0,2024-09-07 08:27:41:107,20283,1.3,20324,1.4,42951,1.4,55598,2.25 135,1,2024-09-07 08:27:41:599,167516,167516,0,0,78890256706,833365598,164386,2431,699,380,391703,0 135,2,2024-09-07 08:27:40:688,124639,124639,0,0,6108049,0,3981 135,3,2024-09-07 08:27:41:010,1,96,66,0,89,694,96,0 136,0,2024-09-07 08:27:41:645,24005,0.4,23846,0.6,47978,0.3,64263,1.75 136,1,2024-09-07 08:27:41:451,168527,168527,0,0,78881135342,827613211,166553,1827,147,384,391621,0 136,2,2024-09-07 08:27:41:138,120684,120684,0,0,5097239,0,3506 136,3,2024-09-07 08:27:41:111,1,96,1,0,108,931,96,0 137,0,2024-09-07 08:27:40:938,25278,0.6,24443,0.7,48449,0.6,65305,2.00 137,1,2024-09-07 08:27:40:582,168545,168545,0,0,79596015033,832647449,166509,1922,114,367,391608,0 137,2,2024-09-07 08:27:41:709,119045,119045,0,0,6231687,0,2632 137,3,2024-09-07 08:27:40:778,1,96,8,1,227,1240,96,0 138,0,2024-09-07 08:27:41:765,24888,2.3,25110,1.5,51029,3.6,67738,2.75 138,1,2024-09-07 08:27:41:690,168256,168256,0,0,79296655601,832169563,165743,2248,265,369,391954,0 138,2,2024-09-07 08:27:40:628,120892,120892,0,0,5334181,0,3263 138,3,2024-09-07 08:27:40:615,1,96,3,0,1160,2077,96,0 139,0,2024-09-07 08:27:41:404,22020,4.1,22131,2.2,44749,5.3,59677,3.50 139,1,2024-09-07 08:27:40:574,167804,167804,0,0,78340316064,832067468,164806,2267,731,381,391892,0 139,2,2024-09-07 08:27:40:700,123472,123472,0,0,6466374,0,3097 139,3,2024-09-07 08:27:41:669,1,96,2,0,244,1460,96,0 140,0,2024-09-07 08:27:41:598,21075,0.2,20948,0.4,42158,0.1,56274,1.50 140,1,2024-09-07 08:27:41:538,169359,169359,0,0,79244979560,817726672,168428,734,197,365,391606,0 140,2,2024-09-07 08:27:40:688,123235,123235,0,0,5127802,0,3388 140,3,2024-09-07 08:27:40:767,1,96,1,0,25,608,96,0 141,0,2024-09-07 08:27:41:709,24681,0.2,25531,0.4,49040,0.1,65978,1.50 141,1,2024-09-07 08:27:40:867,168887,168887,0,0,79596179440,827341039,167463,1093,331,382,391538,0 141,2,2024-09-07 08:27:41:685,118382,118382,0,0,4645120,0,2342 141,3,2024-09-07 08:27:41:054,1,96,8,0,147,716,96,0 142,0,2024-09-07 08:27:41:344,26922,0.3,26623,0.5,52955,0.3,70964,1.75 142,1,2024-09-07 08:27:40:585,169064,169064,0,0,78871428253,820064210,168502,546,16,384,391649,0 142,2,2024-09-07 08:27:41:311,119040,119040,0,0,4989726,0,2293 142,3,2024-09-07 08:27:41:761,1,96,2,0,484,1686,96,0 143,0,2024-09-07 08:27:41:391,24376,1.0,24550,0.9,49378,1.3,65505,2.00 143,1,2024-09-07 08:27:40:556,168820,168820,0,0,79436426993,822899914,167818,972,30,367,391619,0 143,2,2024-09-07 08:27:40:790,124648,124648,0,0,5441665,0,2669 143,3,2024-09-07 08:27:41:140,1,96,10,0,236,1381,96,0 144,0,2024-09-07 08:27:41:507,19622,1.0,20094,3.6,40998,1.1,54609,2.25 144,1,2024-09-07 08:27:40:588,168295,168295,0,0,78806399595,827692207,166681,1419,195,381,391638,0 144,2,2024-09-07 08:27:41:777,124125,124125,0,0,4999870,0,3473 144,3,2024-09-07 08:27:41:754,1,96,2,1,169,1343,96,0 145,0,2024-09-07 08:27:41:367,24996,0.5,25093,0.8,53010,0.5,69768,2.25 145,1,2024-09-07 08:27:40:566,167096,167096,0,0,78265330001,828162331,164072,2411,613,383,391615,0 145,2,2024-09-07 08:27:41:432,118366,118366,0,0,5687654,0,3903 145,3,2024-09-07 08:27:40:898,1,96,24,0,151,1255,96,0 146,0,2024-09-07 08:27:41:601,25883,0.6,25858,0.8,52112,0.6,69230,2.25 146,1,2024-09-07 08:27:41:596,168551,168551,0,0,79039538836,829951152,166110,2137,304,368,391600,0 146,2,2024-09-07 08:27:41:699,119545,119545,0,0,5353695,0,2379 146,3,2024-09-07 08:27:41:274,1,96,0,0,1520,3226,96,0 147,0,2024-09-07 08:27:41:781,24960,2.4,24837,1.5,49334,3.5,67352,3.00 147,1,2024-09-07 08:27:41:386,169046,169046,0,0,79510269943,826740331,167299,1391,356,368,391791,0 147,2,2024-09-07 08:27:41:030,124129,124129,0,0,5297754,0,2789 147,3,2024-09-07 08:27:40:920,1,96,1,0,141,888,96,0 0,0,2024-09-07 08:27:51:732,24177,0.6,24076,0.7,50899,0.6,66164,1.75 0,1,2024-09-07 08:27:50:801,170438,170438,0,0,80373351784,843464022,169128,1182,128,372,391692,0 0,2,2024-09-07 08:27:51:067,120896,120896,0,0,5446495,0,4480 0,3,2024-09-07 08:27:50:974,1,97,7,0,247,1544,97,0 1,0,2024-09-07 08:27:51:797,25835,2.4,25589,1.7,51590,3.1,68922,2.50 1,1,2024-09-07 08:27:50:563,169970,169970,0,0,79235888338,832006080,168169,1272,529,372,391857,0 1,2,2024-09-07 08:27:50:639,122567,122567,0,0,5215559,0,3267 1,3,2024-09-07 08:27:51:302,1,97,17,0,167,951,97,0 2,0,2024-09-07 08:27:51:582,21717,0.9,21839,1.1,43345,1.3,58192,2.00 2,1,2024-09-07 08:27:50:859,170532,170532,0,0,80105777477,833671116,169427,901,204,381,391558,0 2,2,2024-09-07 08:27:51:269,125171,125171,0,0,5353180,0,3304 2,3,2024-09-07 08:27:50:695,1,97,31,0,214,967,97,0 3,0,2024-09-07 08:27:51:760,23032,0.4,23116,0.5,46144,0.3,61566,1.75 3,1,2024-09-07 08:27:51:619,169550,169550,0,0,79770703149,834086530,167378,1784,388,382,391516,0 3,2,2024-09-07 08:27:51:145,122038,122015,23,0,5562407,0,5851 3,3,2024-09-07 08:27:51:759,1,97,0,0,103,641,97,0 4,0,2024-09-07 08:27:51:800,23830,0.3,24548,0.4,49548,0.2,64990,1.50 4,1,2024-09-07 08:27:50:591,169775,169775,0,0,79718339197,843039675,167292,1965,518,371,391846,0 4,2,2024-09-07 08:27:51:019,119809,119809,0,0,6742133,0,4528 4,3,2024-09-07 08:27:51:027,1,97,1,1,287,1514,97,0 5,0,2024-09-07 08:27:51:380,26075,0.6,25881,0.7,52113,0.8,68796,1.75 5,1,2024-09-07 08:27:50:764,169461,169461,0,0,79472563713,842111463,166181,2349,931,368,392005,0 5,2,2024-09-07 08:27:51:839,121495,121495,0,0,5590302,0,2259 5,3,2024-09-07 08:27:51:735,1,97,8,0,238,1724,97,0 6,0,2024-09-07 08:27:50:922,24566,0.8,24298,1.0,48364,0.9,64727,2.25 6,1,2024-09-07 08:27:50:747,170756,170756,0,0,79843586087,834953046,169226,1455,75,381,391603,0 6,2,2024-09-07 08:27:51:116,125869,125869,0,0,5576823,0,2411 6,3,2024-09-07 08:27:51:274,1,97,173,0,340,1749,97,0 7,0,2024-09-07 08:27:51:566,20139,0.4,20169,0.5,40741,0.3,54538,1.75 7,1,2024-09-07 08:27:50:865,170367,170367,0,0,79857164099,836759129,168716,1586,65,383,391664,0 7,2,2024-09-07 08:27:50:776,123656,123656,0,0,5226334,0,2981 7,3,2024-09-07 08:27:50:850,1,97,4,0,305,1086,97,0 8,0,2024-09-07 08:27:51:371,25704,0.3,25979,0.5,51053,0.3,68248,1.50 8,1,2024-09-07 08:27:51:037,169967,169967,0,0,79501013964,843674662,166362,2352,1253,368,391724,0 8,2,2024-09-07 08:27:50:794,118047,118047,0,0,6263527,0,2986 8,3,2024-09-07 08:27:50:587,1,97,357,0,357,1640,97,0 9,0,2024-09-07 08:27:51:106,26874,0.4,26142,0.6,54622,0.3,71458,1.75 9,1,2024-09-07 08:27:50:563,170170,170170,0,0,79558492147,844394290,166693,2474,1003,370,391738,0 9,2,2024-09-07 08:27:51:083,120846,120846,0,0,5737779,0,3360 9,3,2024-09-07 08:27:51:782,1,97,9,0,496,1708,97,0 10,0,2024-09-07 08:27:51:621,25239,0.6,25232,0.8,50569,0.6,67574,2.00 10,1,2024-09-07 08:27:50:585,169742,169742,0,0,80022701577,844017456,166276,2944,522,381,391741,0 10,2,2024-09-07 08:27:50:764,125367,125367,0,0,5909573,0,2940 10,3,2024-09-07 08:27:50:871,1,97,2,0,136,668,97,0 11,0,2024-09-07 08:27:51:012,20212,0.6,19766,0.9,41457,0.7,55643,2.25 11,1,2024-09-07 08:27:50:575,169591,169591,0,0,79493346081,838859218,166143,2455,993,384,391537,0 11,2,2024-09-07 08:27:51:129,124789,124789,0,0,5585744,0,2635 11,3,2024-09-07 08:27:51:298,1,97,1,0,720,2425,97,0 12,0,2024-09-07 08:27:50:965,26026,0.3,25895,0.4,51977,0.2,69140,1.50 12,1,2024-09-07 08:27:50:933,169801,169801,0,0,79481701868,831542139,168130,1567,104,371,391837,0 12,2,2024-09-07 08:27:51:542,120453,120453,0,0,5022110,0,2254 12,3,2024-09-07 08:27:51:064,1,97,10,0,358,1806,97,0 13,0,2024-09-07 08:27:51:345,25758,0.4,25856,0.5,51654,0.4,68904,1.75 13,1,2024-09-07 08:27:51:524,170446,170446,0,0,79650999936,837111163,168836,1243,367,384,391717,0 13,2,2024-09-07 08:27:50:599,122142,122142,0,0,4835604,0,3287 13,3,2024-09-07 08:27:51:782,1,97,8,1,416,1995,97,0 14,0,2024-09-07 08:27:50:587,26062,0.7,26264,0.8,52001,0.7,69119,2.00 14,1,2024-09-07 08:27:51:573,171385,171385,0,0,80230550834,831148678,170211,1101,73,364,391546,0 14,2,2024-09-07 08:27:50:764,123600,123600,0,0,5368720,0,2793 14,3,2024-09-07 08:27:51:116,1,97,5,0,906,1382,97,0 15,0,2024-09-07 08:27:51:567,21211,0.6,20990,1.0,42117,0.7,56382,2.25 15,1,2024-09-07 08:27:51:620,169800,169800,0,0,80214159002,834105960,168905,860,35,381,391536,0 15,2,2024-09-07 08:27:51:000,126478,126478,0,0,4314639,0,3043 15,3,2024-09-07 08:27:51:405,1,97,35,0,538,2499,97,0 16,0,2024-09-07 08:27:51:009,24178,0.4,24446,0.6,48337,0.3,64883,2.00 16,1,2024-09-07 08:27:50:566,170288,170288,0,0,80245122604,839344134,169131,1129,28,372,391756,0 16,2,2024-09-07 08:27:51:435,119937,119937,0,0,5683835,0,4719 16,3,2024-09-07 08:27:51:142,1,97,1,0,231,1507,97,0 17,0,2024-09-07 08:27:51:817,25339,0.5,24884,0.6,48709,0.5,65616,1.75 17,1,2024-09-07 08:27:50:575,169992,169992,0,0,78827074278,832354288,167793,1672,527,369,391688,0 17,2,2024-09-07 08:27:51:686,122046,122046,0,0,4859895,0,2857 17,3,2024-09-07 08:27:50:574,1,97,7,0,268,1777,97,0 18,0,2024-09-07 08:27:50:962,24928,1.2,25370,1.1,50974,1.5,67353,2.50 18,1,2024-09-07 08:27:51:643,170362,170362,0,0,79532358266,826922781,169109,1035,218,368,391564,0 18,2,2024-09-07 08:27:51:779,122159,122159,0,0,5591720,0,3541 18,3,2024-09-07 08:27:50:896,1,97,1,0,163,1053,97,0 19,0,2024-09-07 08:27:51:554,22374,1.2,22644,1.2,44765,1.5,59273,3.75 19,1,2024-09-07 08:27:50:573,170375,170375,0,0,80529595358,840739242,168362,1847,166,367,391777,0 19,2,2024-09-07 08:27:51:757,126240,126240,0,0,5163449,0,3988 19,3,2024-09-07 08:27:51:137,1,97,1,0,524,1262,97,0 20,0,2024-09-07 08:27:51:410,21554,0.4,21542,0.6,42967,0.4,57214,2.00 20,1,2024-09-07 08:27:50:572,170201,170201,0,0,79805900791,838456403,168210,1815,176,370,391598,0 20,2,2024-09-07 08:27:50:941,122697,122697,0,0,5404916,0,3721 20,3,2024-09-07 08:27:50:592,1,97,2,0,414,1976,97,0 21,0,2024-09-07 08:27:51:160,25232,0.4,25087,0.5,50144,0.3,66027,1.75 21,1,2024-09-07 08:27:51:545,169402,169402,0,0,79225143433,836405783,166898,2000,504,368,391962,0 21,2,2024-09-07 08:27:51:067,120108,120108,0,0,5569109,0,3747 21,3,2024-09-07 08:27:51:405,1,97,11,0,93,1283,97,0 22,0,2024-09-07 08:27:51:754,26432,1.3,26388,1.1,52744,1.8,70291,2.50 22,1,2024-09-07 08:27:51:023,169477,169477,0,0,79119976975,841373213,165043,3063,1371,382,391667,0 22,2,2024-09-07 08:27:50:774,121050,121050,0,0,5174259,0,3134 22,3,2024-09-07 08:27:51:066,1,97,1,0,228,971,97,0 23,0,2024-09-07 08:27:51:375,24536,0.8,24661,0.9,49489,0.8,65966,2.50 23,1,2024-09-07 08:27:51:008,170362,170362,0,0,80681856124,841527638,168170,1621,571,365,391549,0 23,2,2024-09-07 08:27:51:093,125728,125728,0,0,5266453,0,2078 23,3,2024-09-07 08:27:51:757,1,97,3,0,645,1535,97,0 24,0,2024-09-07 08:27:50:843,20916,0.5,20863,0.7,41923,0.5,55997,1.75 24,1,2024-09-07 08:27:50:586,169767,169767,0,0,79367743406,833060566,167782,1310,675,369,391640,0 24,2,2024-09-07 08:27:51:075,123899,123899,0,0,6665035,0,2942 24,3,2024-09-07 08:27:51:691,1,97,3,0,234,1196,97,0 25,0,2024-09-07 08:27:51:356,27073,0.4,26472,0.6,51781,0.4,70669,1.75 25,1,2024-09-07 08:27:50:558,169923,169923,0,0,79530222670,835986121,167727,1880,316,371,391788,0 25,2,2024-09-07 08:27:51:615,118520,118520,0,0,5786114,0,3284 25,3,2024-09-07 08:27:51:000,1,97,8,0,158,1020,97,0 26,0,2024-09-07 08:27:51:759,26195,0.4,25566,0.6,53484,0.4,70409,1.75 26,1,2024-09-07 08:27:51:542,170633,170633,0,0,79517491814,839406598,167077,2721,835,381,391564,0 26,2,2024-09-07 08:27:50:864,121455,121455,0,0,5761571,0,2809 26,3,2024-09-07 08:27:51:717,1,97,6,0,796,1352,97,0 27,0,2024-09-07 08:27:51:734,25531,0.7,25639,0.8,50800,0.9,68072,2.00 27,1,2024-09-07 08:27:51:679,170859,170859,0,0,80169262551,834110697,169492,1072,295,381,391539,0 27,2,2024-09-07 08:27:50:868,123862,123862,0,0,6792530,0,3409 27,3,2024-09-07 08:27:51:015,1,97,1,0,564,1417,97,0 28,0,2024-09-07 08:27:51:404,20307,0.5,20638,0.7,41245,0.5,54761,2.00 28,1,2024-09-07 08:27:50:803,170777,170777,0,0,80923191053,841506237,169712,865,200,383,391646,0 28,2,2024-09-07 08:27:51:781,125199,125199,0,0,4614424,0,2609 28,3,2024-09-07 08:27:51:785,1,97,1,0,502,1303,97,0 29,0,2024-09-07 08:27:51:385,25855,0.3,25166,0.4,49445,0.2,67704,1.75 29,1,2024-09-07 08:27:51:573,171434,171434,0,0,79816960264,824486889,170583,679,172,369,391621,0 29,2,2024-09-07 08:27:50:861,120341,120341,0,0,4005537,0,2026 29,3,2024-09-07 08:27:50:966,1,97,13,0,105,782,97,0 30,0,2024-09-07 08:27:51:545,24676,0.9,24069,0.9,50419,1.1,66118,2.50 30,1,2024-09-07 08:27:50:575,170628,170628,0,0,80429766556,836146138,169629,934,65,382,391584,0 30,2,2024-09-07 08:27:51:274,122267,122267,0,0,4056129,0,2534 30,3,2024-09-07 08:27:50:581,1,97,4,0,195,772,97,0 31,0,2024-09-07 08:27:51:794,25595,1.0,25737,1.0,51797,1.2,68681,2.25 31,1,2024-09-07 08:27:50:563,171468,171468,0,0,80405524425,822859100,171215,251,2,356,391553,0 31,2,2024-09-07 08:27:51:275,123080,123080,0,0,5666355,0,3525 31,3,2024-09-07 08:27:51:706,1,97,111,0,129,740,97,0 32,0,2024-09-07 08:27:51:425,21962,0.9,22101,1.0,44225,1.0,58733,1.75 32,1,2024-09-07 08:27:50:804,171058,171058,0,0,80103842808,832305532,170043,986,29,382,391595,0 32,2,2024-09-07 08:27:50:935,125826,125826,0,0,4909570,0,3155 32,3,2024-09-07 08:27:51:014,1,97,85,0,227,1105,97,0 33,0,2024-09-07 08:27:51:537,23221,0.2,22854,0.4,46446,0.2,61680,1.50 33,1,2024-09-07 08:27:50:575,171234,171234,0,0,80360875169,834794902,169518,1593,123,369,391730,0 33,2,2024-09-07 08:27:50:763,122323,122290,33,0,6187867,0,7012 33,3,2024-09-07 08:27:50:895,1,97,211,0,211,908,97,0 34,0,2024-09-07 08:27:50:936,24592,0.3,25349,0.5,48731,0.2,64977,1.50 34,1,2024-09-07 08:27:51:043,171417,171417,0,0,80755533449,832061497,170779,634,4,367,391517,0 34,2,2024-09-07 08:27:50:766,120217,120217,0,0,5321241,0,3255 34,3,2024-09-07 08:27:51:700,1,97,2,0,148,731,97,0 35,0,2024-09-07 08:27:50:865,25779,0.7,26066,0.7,51863,0.7,68913,1.75 35,1,2024-09-07 08:27:51:067,170733,170733,0,0,80049147299,831886497,169254,1117,362,384,391587,0 35,2,2024-09-07 08:27:51:587,121323,121323,0,0,4921443,0,2542 35,3,2024-09-07 08:27:50:907,1,97,1,0,219,1194,97,0 36,0,2024-09-07 08:27:51:533,24394,1.3,24320,1.2,49234,1.5,64615,2.50 36,1,2024-09-07 08:27:50:585,170057,170057,0,0,79797566761,838267171,166948,2178,931,366,391543,0 36,2,2024-09-07 08:27:51:751,124912,124912,0,0,6328791,0,3303 36,3,2024-09-07 08:27:50:863,1,97,5,0,378,1176,97,0 37,0,2024-09-07 08:27:51:443,20433,0.3,20262,0.5,40711,0.2,54513,1.75 37,1,2024-09-07 08:27:50:569,169878,169871,0,7,79124094983,836956029,166509,1821,1541,365,391518,0 37,2,2024-09-07 08:27:51:143,124084,124084,0,0,4847868,0,2333 37,3,2024-09-07 08:27:51:781,1,97,8,0,724,2100,97,0 38,0,2024-09-07 08:27:51:443,25555,0.4,24763,0.6,51767,0.4,67760,1.75 38,1,2024-09-07 08:27:51:605,170979,170979,0,0,80024560427,840252248,168117,2341,521,368,391821,0 38,2,2024-09-07 08:27:50:763,119829,119829,0,0,5770601,0,3245 38,3,2024-09-07 08:27:50:998,1,97,1,0,603,1477,97,0 39,0,2024-09-07 08:27:51:776,27551,0.8,26957,0.8,52555,1.0,71688,2.00 39,1,2024-09-07 08:27:50:716,170208,170208,0,0,79290703384,830537309,167466,2104,638,365,391524,0 39,2,2024-09-07 08:27:51:416,121031,121031,0,0,5312332,0,2689 39,3,2024-09-07 08:27:50:716,1,97,1,0,276,1484,97,0 40,0,2024-09-07 08:27:51:520,24723,1.4,25239,1.8,49865,2.8,66959,3.25 40,1,2024-09-07 08:27:50:576,169689,169689,0,0,78887952374,831289135,166109,2817,763,369,391591,0 40,2,2024-09-07 08:27:51:303,125355,125354,1,0,6612289,0,5137 40,3,2024-09-07 08:27:51:142,1,97,5,0,110,868,97,0 41,0,2024-09-07 08:27:51:033,20050,2.0,20462,2.6,39243,3.3,53981,4.50 41,1,2024-09-07 08:27:51:174,170138,170138,0,0,80045379521,841811426,166859,2763,516,370,391742,0 41,2,2024-09-07 08:27:50:764,124342,124342,0,0,6496338,0,3356 41,3,2024-09-07 08:27:51:681,1,97,19,0,168,982,97,0 42,0,2024-09-07 08:27:51:482,25721,0.4,25961,0.6,51590,0.3,68331,2.00 42,1,2024-09-07 08:27:51:440,169827,169827,0,0,80128311098,844193968,166742,2255,830,380,391675,0 42,2,2024-09-07 08:27:51:137,118977,118977,0,0,5703772,0,3568 42,3,2024-09-07 08:27:51:009,1,97,42,0,100,792,97,0 43,0,2024-09-07 08:27:50:924,25696,0.9,25055,1.0,52330,1.0,68939,2.00 43,1,2024-09-07 08:27:50:577,169731,169731,0,0,79662879099,833257429,167303,1676,752,366,391604,0 43,2,2024-09-07 08:27:51:747,121832,121832,0,0,5932172,0,3812 43,3,2024-09-07 08:27:51:749,1,97,1,0,325,1795,97,0 44,0,2024-09-07 08:27:50:865,26175,0.6,26002,0.9,52216,0.7,69734,2.00 44,1,2024-09-07 08:27:50:565,170797,170797,0,0,79923614047,825086213,169771,918,108,356,391569,0 44,2,2024-09-07 08:27:51:267,123880,123880,0,0,4315180,0,1865 44,3,2024-09-07 08:27:51:092,1,97,4,0,817,1460,97,0 45,0,2024-09-07 08:27:51:793,20781,0.7,20425,1.1,42711,0.6,56831,1.75 45,1,2024-09-07 08:27:51:010,170960,170960,0,0,79940338481,828434698,170455,504,1,382,391917,0 45,2,2024-09-07 08:27:51:268,126115,126115,0,0,4972438,0,2628 45,3,2024-09-07 08:27:50:934,1,97,7,0,226,939,97,0 46,0,2024-09-07 08:27:50:959,24423,0.3,24375,0.4,48997,0.2,65046,1.50 46,1,2024-09-07 08:27:50:574,171168,171168,0,0,80191748530,828011607,170282,848,38,367,391514,0 46,2,2024-09-07 08:27:50:602,121479,121479,0,0,4631544,0,2920 46,3,2024-09-07 08:27:51:134,1,97,363,0,363,1675,97,0 47,0,2024-09-07 08:27:51:112,24643,0.3,24841,0.5,49881,0.3,65389,1.75 47,1,2024-09-07 08:27:50:572,171117,171117,0,0,79346223443,818280576,170538,575,4,366,391605,0 47,2,2024-09-07 08:27:50:908,122052,122052,0,0,4765835,0,2558 47,3,2024-09-07 08:27:51:115,1,97,1,0,529,1206,97,0 48,0,2024-09-07 08:27:51:520,25915,0.3,25646,0.5,51163,0.3,68136,1.75 48,1,2024-09-07 08:27:51:022,170667,170667,0,0,80266287953,833804929,169462,1199,6,384,391637,0 48,2,2024-09-07 08:27:50:703,122219,122219,0,0,4170065,0,2083 48,3,2024-09-07 08:27:50:763,1,97,10,0,39,754,97,0 49,0,2024-09-07 08:27:51:725,23321,0.7,22713,0.8,44566,0.8,60779,1.75 49,1,2024-09-07 08:27:51:021,170032,170032,0,0,79612533491,832402791,168266,1055,711,382,391583,0 49,2,2024-09-07 08:27:51:797,125624,125624,0,0,5362274,0,3900 49,3,2024-09-07 08:27:51:427,1,97,14,0,274,968,97,0 50,0,2024-09-07 08:27:51:548,21718,0.2,21318,0.4,42915,0.1,57932,1.50 50,1,2024-09-07 08:27:51:012,171428,171428,0,0,80777234129,839280845,169619,1512,297,368,391530,0 50,2,2024-09-07 08:27:51:067,123163,123163,0,0,4565109,0,2253 50,3,2024-09-07 08:27:51:293,1,97,1,0,335,1017,97,0 51,0,2024-09-07 08:27:51:690,25600,0.2,25081,0.4,49127,0.2,66307,1.50 51,1,2024-09-07 08:27:51:688,170824,170824,0,0,80729205741,838585769,169016,1024,784,367,391637,0 51,2,2024-09-07 08:27:51:317,119591,119591,0,0,3907630,0,2448 51,3,2024-09-07 08:27:51:028,1,97,5,0,162,628,97,0 52,0,2024-09-07 08:27:51:427,26742,0.7,26836,0.8,53700,0.8,70833,2.25 52,1,2024-09-07 08:27:50:576,169976,169976,0,0,79870173633,842095684,166489,2781,706,368,391722,0 52,2,2024-09-07 08:27:51:761,120432,120432,0,0,5776052,0,4779 52,3,2024-09-07 08:27:50:675,1,97,1,0,1782,2614,97,0 53,0,2024-09-07 08:27:51:765,24465,1.5,23657,1.3,49361,1.9,65285,2.75 53,1,2024-09-07 08:27:50:772,169856,169856,0,0,80333280653,844832669,166781,2494,581,370,391617,0 53,2,2024-09-07 08:27:51:300,126298,126298,0,0,4980257,0,1902 53,3,2024-09-07 08:27:50:697,1,97,10,0,133,773,97,0 54,0,2024-09-07 08:27:51:630,20028,3.3,20409,1.4,39932,1.1,55093,4.25 54,1,2024-09-07 08:27:50:580,169832,169832,0,0,80456382010,841949599,167118,2396,318,367,391520,0 54,2,2024-09-07 08:27:50:865,124734,124728,6,0,6042033,0,5382 54,3,2024-09-07 08:27:50:763,1,97,1,0,676,1992,97,0 55,0,2024-09-07 08:27:51:773,25395,0.6,26095,0.8,52990,0.5,68520,2.25 55,1,2024-09-07 08:27:50:775,169226,169226,0,0,79610566465,839194413,164947,3500,779,367,391731,0 55,2,2024-09-07 08:27:50:729,118902,118902,0,0,5502251,0,3275 55,3,2024-09-07 08:27:50:674,1,97,1,0,136,960,97,0 56,0,2024-09-07 08:27:51:560,26955,1.7,25466,1.3,52435,2.2,70395,2.50 56,1,2024-09-07 08:27:50:575,169503,169503,0,0,80210454353,851911605,165721,3031,751,382,391678,0 56,2,2024-09-07 08:27:51:306,121332,121332,0,0,6227296,0,3567 56,3,2024-09-07 08:27:51:063,1,97,29,0,297,1136,97,0 57,0,2024-09-07 08:27:50:954,24865,2.7,24686,1.6,49604,4.4,66684,4.00 57,1,2024-09-07 08:27:50:990,169930,169930,0,0,79947107035,838225225,167890,1872,168,368,391773,0 57,2,2024-09-07 08:27:51:322,125158,125158,0,0,6595667,0,3178 57,3,2024-09-07 08:27:51:742,1,97,119,0,359,1922,97,0 58,0,2024-09-07 08:27:50:561,19689,0.6,19256,0.9,40347,0.5,52773,2.75 58,1,2024-09-07 08:27:50:575,171189,171186,0,3,80141319037,836191478,169051,1908,227,369,391516,3 58,2,2024-09-07 08:27:51:075,125023,125023,0,0,5735572,0,2549 58,3,2024-09-07 08:27:51:067,1,97,7,0,219,969,97,0 59,0,2024-09-07 08:27:51:753,25556,0.5,25233,0.7,50810,0.4,67269,2.25 59,1,2024-09-07 08:27:50:805,169881,169881,0,0,79867624846,839369850,167026,2001,854,369,391515,0 59,2,2024-09-07 08:27:50:583,120463,120463,0,0,4953566,0,2604 59,3,2024-09-07 08:27:51:739,1,97,0,0,1015,2166,97,0 60,0,2024-09-07 08:27:51:729,25037,0.4,25184,0.6,49753,0.5,66431,1.75 60,1,2024-09-07 08:27:50:776,171895,171895,0,0,80350808655,834315622,170804,742,349,370,391758,0 60,2,2024-09-07 08:27:51:145,121368,121368,0,0,4606334,0,2142 60,3,2024-09-07 08:27:51:259,1,97,1,0,124,1029,97,0 61,0,2024-09-07 08:27:51:506,25632,2.1,25835,1.4,51538,3.5,68764,2.25 61,1,2024-09-07 08:27:50:775,170008,170008,0,0,79998938508,840967512,167415,2057,536,382,391589,0 61,2,2024-09-07 08:27:51:116,122978,122978,0,0,4899584,0,1846 61,3,2024-09-07 08:27:51:706,1,97,20,0,199,1364,97,0 62,0,2024-09-07 08:27:51:722,22001,0.8,22464,1.0,43168,1.1,58825,2.00 62,1,2024-09-07 08:27:51:111,171247,171241,0,6,80447323750,831382907,169986,1217,38,365,391586,6 62,2,2024-09-07 08:27:51:647,125239,125239,0,0,5278404,0,2931 62,3,2024-09-07 08:27:51:143,1,97,1,0,287,739,97,0 63,0,2024-09-07 08:27:51:456,22996,0.3,23024,0.5,46206,0.2,61487,1.75 63,1,2024-09-07 08:27:50:805,171579,171573,0,6,80375977832,830464944,171164,406,3,381,391540,6 63,2,2024-09-07 08:27:50:765,121628,121628,0,0,4641482,0,2674 63,3,2024-09-07 08:27:51:735,1,97,1,0,667,1947,97,0 64,0,2024-09-07 08:27:51:513,24456,0.4,24290,0.6,48776,0.4,64953,1.75 64,1,2024-09-07 08:27:50:775,170544,170544,0,0,80110633382,841160781,167974,1775,795,371,391783,0 64,2,2024-09-07 08:27:51:142,121112,121093,19,0,5382440,0,6121 64,3,2024-09-07 08:27:51:141,1,97,2,0,265,1113,97,0 65,0,2024-09-07 08:27:51:733,25302,1.7,25638,1.2,51289,2.2,68125,3.00 65,1,2024-09-07 08:27:50:863,170074,170074,0,0,79924452349,837651412,168246,1608,220,382,391770,0 65,2,2024-09-07 08:27:51:710,122173,122173,0,0,5612773,0,3367 65,3,2024-09-07 08:27:51:706,1,97,12,0,163,1045,97,0 66,0,2024-09-07 08:27:51:779,23945,0.6,24113,0.8,48421,0.5,64042,2.25 66,1,2024-09-07 08:27:51:297,171460,171460,0,0,79730983784,826995428,170756,682,22,380,391588,0 66,2,2024-09-07 08:27:51:138,126969,126969,0,0,5085388,0,3867 66,3,2024-09-07 08:27:51:081,1,97,7,0,291,1249,97,0 67,0,2024-09-07 08:27:51:418,20672,0.4,20617,0.6,40834,0.3,54632,2.00 67,1,2024-09-07 08:27:50:767,170229,170228,0,1,79923187828,836929856,168183,1520,525,382,391787,1 67,2,2024-09-07 08:27:50:585,124866,124866,0,0,4625917,0,2889 67,3,2024-09-07 08:27:51:756,1,97,2,0,138,831,97,0 68,0,2024-09-07 08:27:50:570,25825,0.5,25738,0.7,51349,0.5,68095,2.00 68,1,2024-09-07 08:27:50:570,169838,169838,0,0,79913291318,839411153,168143,1173,522,381,391953,0 68,2,2024-09-07 08:27:51:043,119160,119160,0,0,5592691,0,4883 68,3,2024-09-07 08:27:50:728,1,97,8,0,151,1033,97,0 69,0,2024-09-07 08:27:51:778,26743,1.2,26942,1.1,53622,1.6,71542,2.50 69,1,2024-09-07 08:27:51:016,169506,169506,0,0,79922878407,848348544,166759,1670,1077,384,391611,0 69,2,2024-09-07 08:27:51:741,120943,120943,0,0,6357190,0,3701 69,3,2024-09-07 08:27:50:771,1,97,15,0,238,1348,97,0 70,0,2024-09-07 08:27:51:538,24856,2.8,24947,2.0,50182,1.5,66798,4.00 70,1,2024-09-07 08:27:50:801,170676,170676,0,0,80584898225,838914945,168810,1407,459,368,391725,0 70,2,2024-09-07 08:27:51:327,124617,124617,0,0,6163037,0,4044 70,3,2024-09-07 08:27:50:748,1,97,3,0,178,559,97,0 71,0,2024-09-07 08:27:51:361,19984,2.3,20106,2.2,39962,3.3,54684,3.75 71,1,2024-09-07 08:27:51:596,170463,170463,0,0,80166499785,839190730,167952,2178,333,368,391682,0 71,2,2024-09-07 08:27:51:067,125251,125251,0,0,5441748,0,2146 71,3,2024-09-07 08:27:51:785,1,97,1,0,279,1400,97,0 72,0,2024-09-07 08:27:51:028,26673,0.3,25995,0.5,50895,0.2,69328,2.25 72,1,2024-09-07 08:27:51:021,170376,170376,0,0,79607734233,830049851,168620,1678,78,370,391556,0 72,2,2024-09-07 08:27:51:772,118588,118588,0,0,6299416,0,2570 72,3,2024-09-07 08:27:51:766,1,97,6,0,325,1451,97,0 73,0,2024-09-07 08:27:51:104,25158,0.4,25839,0.6,52679,0.4,68610,2.25 73,1,2024-09-07 08:27:50:775,170396,170396,0,0,80114799744,832584061,169350,1001,45,368,391627,0 73,2,2024-09-07 08:27:51:740,122237,122237,0,0,6105871,0,3482 73,3,2024-09-07 08:27:50:969,1,97,12,0,274,1489,97,0 74,0,2024-09-07 08:27:51:343,26187,1.1,26564,1.1,50900,1.7,69212,3.00 74,1,2024-09-07 08:27:50:635,170141,170141,0,0,79504703114,832197155,167965,1571,605,382,391511,0 74,2,2024-09-07 08:27:51:009,123507,123507,0,0,6555197,0,4253 74,3,2024-09-07 08:27:51:443,1,97,1,0,246,1534,97,0 75,0,2024-09-07 08:27:51:772,21198,1.5,21221,1.3,42272,1.5,56943,3.00 75,1,2024-09-07 08:27:51:586,170115,170115,0,0,79903421244,838228068,167832,1920,363,381,391579,0 75,2,2024-09-07 08:27:51:350,125329,125329,0,0,6089729,0,4766 75,3,2024-09-07 08:27:51:067,1,97,1,0,535,1334,97,0 76,0,2024-09-07 08:27:50:583,24497,0.3,24178,0.5,48206,0.2,64683,1.75 76,1,2024-09-07 08:27:50:806,170221,170221,0,0,79390477997,831364453,168406,1308,507,382,391530,0 76,2,2024-09-07 08:27:51:077,121505,121505,0,0,4694385,0,3064 76,3,2024-09-07 08:27:51:143,1,97,1,0,175,1094,97,0 77,0,2024-09-07 08:27:51:733,24687,0.6,24864,0.7,49786,0.6,65308,1.75 77,1,2024-09-07 08:27:50:833,170612,170612,0,0,80040081320,833812304,169554,989,69,383,391555,0 77,2,2024-09-07 08:27:51:282,121601,121601,0,0,4172421,0,1695 77,3,2024-09-07 08:27:51:100,1,97,28,0,139,991,97,0 78,0,2024-09-07 08:27:51:758,25654,0.8,25589,0.9,51198,0.8,67787,2.25 78,1,2024-09-07 08:27:50:611,171146,171146,0,0,79792292420,829756647,169665,1276,205,367,391589,0 78,2,2024-09-07 08:27:51:405,122589,122589,0,0,4412552,0,2114 78,3,2024-09-07 08:27:51:134,1,97,1,0,181,1339,97,0 79,0,2024-09-07 08:27:51:353,21923,0.6,22448,0.9,45928,0.6,59605,2.50 79,1,2024-09-07 08:27:50:609,171259,171259,0,0,80097584926,830521568,169916,1274,69,370,391682,0 79,2,2024-09-07 08:27:51:068,126006,126006,0,0,4873216,0,2679 79,3,2024-09-07 08:27:50:753,1,97,2,0,289,997,97,0 80,0,2024-09-07 08:27:51:096,21287,0.5,22070,0.7,42412,0.4,57535,2.00 80,1,2024-09-07 08:27:51:633,170274,170274,0,0,79623156216,829713949,168522,1635,117,368,391673,0 80,2,2024-09-07 08:27:51:096,123645,123645,0,0,5052318,0,4433 80,3,2024-09-07 08:27:50:579,1,97,20,1,190,1543,97,0 81,0,2024-09-07 08:27:51:554,25095,0.5,25773,0.6,49393,0.5,66319,1.75 81,1,2024-09-07 08:27:51:651,170282,170282,0,0,79270344826,831271345,168356,1728,198,382,391680,0 81,2,2024-09-07 08:27:51:124,119163,119163,0,0,5387628,0,3993 81,3,2024-09-07 08:27:51:121,1,97,13,0,193,973,97,0 82,0,2024-09-07 08:27:51:545,26560,0.7,26542,0.9,53355,0.8,70900,2.25 82,1,2024-09-07 08:27:50:585,170297,170293,0,4,79775699935,834803587,168111,1608,574,382,391558,4 82,2,2024-09-07 08:27:51:696,121959,121959,0,0,4496833,0,2230 82,3,2024-09-07 08:27:51:755,1,97,4,0,227,1539,97,0 83,0,2024-09-07 08:27:51:529,24706,1.3,24494,1.2,48690,1.5,65177,2.75 83,1,2024-09-07 08:27:50:554,170349,170349,0,0,80294129408,839160587,168853,1395,101,383,391553,0 83,2,2024-09-07 08:27:50:764,124952,124952,0,0,5445221,0,3119 83,3,2024-09-07 08:27:50:748,1,97,0,0,127,851,97,0 84,0,2024-09-07 08:27:51:876,20528,1.5,20279,1.6,40693,1.0,55465,2.75 84,1,2024-09-07 08:27:51:042,169840,169840,0,0,79707636673,838133065,167444,2014,382,369,391638,0 84,2,2024-09-07 08:27:50:571,124516,124516,0,0,5672573,0,3801 84,3,2024-09-07 08:27:51:141,1,97,2,1,58,908,97,0 85,0,2024-09-07 08:27:51:014,25252,0.5,25191,0.8,53417,0.5,69730,2.25 85,1,2024-09-07 08:27:50:560,169310,169310,0,0,79727445496,844925067,166176,2700,434,383,391679,0 85,2,2024-09-07 08:27:50:871,118867,118867,0,0,6012845,0,3656 85,3,2024-09-07 08:27:50:685,1,97,17,0,115,1000,97,0 86,0,2024-09-07 08:27:50:908,26225,0.7,27097,0.8,52112,0.8,70391,2.00 86,1,2024-09-07 08:27:50:832,169979,169979,0,0,80498262485,844374590,167516,2186,277,367,391961,0 86,2,2024-09-07 08:27:50:854,120821,120820,1,0,6660999,0,5004 86,3,2024-09-07 08:27:50:591,1,97,100,0,199,1287,97,0 87,0,2024-09-07 08:27:51:314,25351,2.5,25159,1.5,50536,3.8,67878,3.00 87,1,2024-09-07 08:27:50:607,170044,170044,0,0,79382433046,834774409,167765,2140,139,366,391788,0 87,2,2024-09-07 08:27:51:069,124537,124537,0,0,5623625,0,3515 87,3,2024-09-07 08:27:51:796,1,97,25,1,335,1700,97,0 88,0,2024-09-07 08:27:51:448,20394,0.6,20725,0.8,41128,0.6,55276,2.00 88,1,2024-09-07 08:27:50:571,169651,169651,0,0,80029606774,841486319,167370,1572,709,367,391787,0 88,2,2024-09-07 08:27:50:708,125376,125376,0,0,6393495,0,3583 88,3,2024-09-07 08:27:51:266,1,97,1,1,77,1108,97,0 89,0,2024-09-07 08:27:51:784,26027,0.3,25311,0.5,50196,0.3,67937,1.50 89,1,2024-09-07 08:27:50:555,169379,169379,0,0,79939245495,850478292,165501,3073,805,382,391866,0 89,2,2024-09-07 08:27:51:137,119753,119753,0,0,5569823,0,2726 89,3,2024-09-07 08:27:51:797,1,97,23,0,325,2106,97,0 90,0,2024-09-07 08:27:51:659,24173,0.4,24708,0.6,50663,0.4,66288,1.75 90,1,2024-09-07 08:27:50:613,170351,170351,0,0,79865007758,842269082,167940,2260,151,382,391825,0 90,2,2024-09-07 08:27:51:407,120275,120275,0,0,6626339,0,2635 90,3,2024-09-07 08:27:50:930,1,97,3,1,200,1059,97,0 91,0,2024-09-07 08:27:50:934,26183,0.6,25350,0.7,52630,0.7,69175,1.75 91,1,2024-09-07 08:27:50:577,170022,170022,0,0,80113873346,846267156,166954,2578,490,383,391914,0 91,2,2024-09-07 08:27:51:332,121906,121906,0,0,5962894,0,1997 91,3,2024-09-07 08:27:50:600,1,97,2,0,155,1357,97,0 92,0,2024-09-07 08:27:51:446,22223,0.7,22700,0.9,43334,0.9,58957,1.75 92,1,2024-09-07 08:27:50:582,170735,170735,0,0,79914938093,831955529,170065,600,70,382,391717,0 92,2,2024-09-07 08:27:51:350,127349,127349,0,0,5188291,0,2279 92,3,2024-09-07 08:27:51:011,1,97,3,0,68,940,97,0 93,0,2024-09-07 08:27:50:969,23206,0.3,23679,0.5,45116,0.2,61771,1.50 93,1,2024-09-07 08:27:50:807,170756,170756,0,0,80035240213,836534646,168975,1344,437,367,391689,0 93,2,2024-09-07 08:27:50:931,122127,122127,0,0,4640224,0,2509 93,3,2024-09-07 08:27:51:408,1,97,8,0,143,959,97,0 94,0,2024-09-07 08:27:51:651,24692,0.3,24823,0.5,49151,0.3,64874,1.50 94,1,2024-09-07 08:27:50:575,170216,170216,0,0,80035669315,838631812,168596,1552,68,381,391850,0 94,2,2024-09-07 08:27:50:766,120124,120124,0,0,4688725,0,2443 94,3,2024-09-07 08:27:51:691,1,97,0,0,231,1524,97,0 95,0,2024-09-07 08:27:51:356,26021,0.4,25924,0.6,52209,0.4,68989,1.75 95,1,2024-09-07 08:27:50:852,170863,170863,0,0,79667580582,827059741,170032,767,64,367,391590,0 95,2,2024-09-07 08:27:51:019,122041,122041,0,0,5193493,0,3308 95,3,2024-09-07 08:27:51:728,1,97,4,0,307,1656,97,0 96,0,2024-09-07 08:27:51:029,24540,0.6,24524,0.7,48903,0.6,64457,1.75 96,1,2024-09-07 08:27:51:585,170711,170711,0,0,79726301837,836736293,168817,1323,571,385,391596,0 96,2,2024-09-07 08:27:51:268,125932,125932,0,0,5581244,0,4038 96,3,2024-09-07 08:27:51:140,1,97,2,0,188,1076,97,0 97,0,2024-09-07 08:27:51:320,20261,0.3,20415,0.5,40990,0.3,54550,1.75 97,1,2024-09-07 08:27:50:776,170543,170543,0,0,80095319292,836600589,168340,1684,519,367,392140,0 97,2,2024-09-07 08:27:50:611,123673,123673,0,0,4850785,0,3036 97,3,2024-09-07 08:27:50:575,1,97,8,1,165,1204,97,0 98,0,2024-09-07 08:27:51:720,25740,0.2,25522,0.4,51682,0.2,68240,1.50 98,1,2024-09-07 08:27:50:576,170340,170340,0,0,80031640884,835356687,169127,1156,57,382,391997,0 98,2,2024-09-07 08:27:50:778,120593,120593,0,0,5072851,0,3080 98,3,2024-09-07 08:27:50:705,1,97,1,1,840,2211,97,0 99,0,2024-09-07 08:27:51:465,26896,0.3,26896,0.5,53743,0.3,71599,1.75 99,1,2024-09-07 08:27:51:724,171078,171078,0,0,79825252996,833286337,169574,1058,446,381,391744,0 99,2,2024-09-07 08:27:51:419,122403,122403,0,0,5303144,0,1858 99,3,2024-09-07 08:27:50:585,1,97,4,0,129,797,97,0 100,0,2024-09-07 08:27:51:464,25192,1.5,25062,2.0,50066,4.4,67600,2.50 100,1,2024-09-07 08:27:50:548,169325,169325,0,0,79644269487,848054992,165699,3139,487,381,391989,0 100,2,2024-09-07 08:27:51:825,124915,124904,11,0,6734655,0,5417 100,3,2024-09-07 08:27:51:739,1,97,1,0,443,2114,97,0 101,0,2024-09-07 08:27:51:713,20753,3.9,20148,2.0,39770,3.9,55473,3.50 101,1,2024-09-07 08:27:50:557,169136,169136,0,0,79561180162,843625163,165946,1951,1239,368,391709,0 101,2,2024-09-07 08:27:51:782,124452,124452,0,0,6481063,0,4644 101,3,2024-09-07 08:27:50:943,1,97,8,0,448,1223,97,0 102,0,2024-09-07 08:27:50:967,25216,0.4,26087,0.5,52578,0.3,68885,1.75 102,1,2024-09-07 08:27:51:149,169745,169745,0,0,79002024107,834574946,167013,2216,516,369,391831,0 102,2,2024-09-07 08:27:51:736,120075,120075,0,0,4902811,0,2144 102,3,2024-09-07 08:27:51:619,1,97,101,0,410,1135,97,0 103,0,2024-09-07 08:27:51:621,26487,0.5,26414,0.7,50117,0.5,69106,2.00 103,1,2024-09-07 08:27:51:625,169508,169508,0,0,79958163194,843972551,166837,1959,712,381,391680,0 103,2,2024-09-07 08:27:50:585,121284,121284,0,0,5585992,0,2104 103,3,2024-09-07 08:27:50:763,1,97,2,0,486,1489,97,0 104,0,2024-09-07 08:27:51:037,25982,1.5,25914,1.3,50928,1.7,69456,2.50 104,1,2024-09-07 08:27:51:601,170824,170824,0,0,79362454126,834998223,168518,1927,379,366,391948,0 104,2,2024-09-07 08:27:51:671,123167,123167,0,0,6059154,0,3941 104,3,2024-09-07 08:27:51:421,1,97,9,1,1245,4000,97,0 105,0,2024-09-07 08:27:51:032,20927,0.8,20272,1.3,42172,1.1,56549,3.00 105,1,2024-09-07 08:27:50:554,170196,170196,0,0,80314514414,847027047,166843,2593,760,367,391797,0 105,2,2024-09-07 08:27:51:322,125257,125257,0,0,5722005,0,3314 105,3,2024-09-07 08:27:51:304,1,97,8,1,399,1783,97,0 106,0,2024-09-07 08:27:50:994,23595,0.4,24294,0.6,49226,0.3,64721,1.75 106,1,2024-09-07 08:27:51:762,170313,170313,0,0,79013330577,831136400,167689,2296,328,369,391767,0 106,2,2024-09-07 08:27:50:771,120158,120158,0,0,5396405,0,2795 106,3,2024-09-07 08:27:50:692,1,97,9,1,201,997,97,0 107,0,2024-09-07 08:27:51:105,24861,0.5,24716,0.6,49128,0.4,65578,1.75 107,1,2024-09-07 08:27:50:593,169891,169891,0,0,80126361174,843936839,167913,1788,190,381,392234,0 107,2,2024-09-07 08:27:51:295,120443,120442,1,0,5636372,0,5024 107,3,2024-09-07 08:27:51:785,1,97,1,0,353,1470,97,0 108,0,2024-09-07 08:27:51:811,25760,0.5,26005,0.7,51282,0.5,68131,1.75 108,1,2024-09-07 08:27:51:302,170396,170396,0,0,80283679579,838702173,168889,1371,136,371,391857,0 108,2,2024-09-07 08:27:51:782,121927,121927,0,0,5284942,0,2647 108,3,2024-09-07 08:27:51:331,1,97,272,0,272,1481,97,0 109,0,2024-09-07 08:27:51:790,22783,0.7,22921,0.8,45407,0.8,60784,1.75 109,1,2024-09-07 08:27:50:588,170209,170209,0,0,80629084769,847690253,168530,1294,385,383,391812,0 109,2,2024-09-07 08:27:50:932,124729,124729,0,0,5551968,0,3617 109,3,2024-09-07 08:27:51:140,1,97,12,1,249,1539,97,0 110,0,2024-09-07 08:27:51:786,21621,0.2,20980,0.4,43641,0.2,57504,1.50 110,1,2024-09-07 08:27:51:655,171035,171035,0,0,80410227588,835461593,169703,1042,290,370,391667,0 110,2,2024-09-07 08:27:51:314,123389,123389,0,0,4679375,0,2915 110,3,2024-09-07 08:27:50:695,1,97,103,0,183,1036,97,0 111,0,2024-09-07 08:27:51:417,25171,0.2,24785,0.4,49643,0.1,66324,1.50 111,1,2024-09-07 08:27:51:001,171143,171143,0,0,80427108404,831799808,170495,634,14,382,391690,0 111,2,2024-09-07 08:27:51:116,119814,119814,0,0,4994960,0,2763 111,3,2024-09-07 08:27:50:913,1,97,1,0,300,1663,97,0 112,0,2024-09-07 08:27:50:941,26907,0.4,26858,0.5,53536,0.3,71216,1.75 112,1,2024-09-07 08:27:50:834,170395,170395,0,0,80075260989,835068156,168781,1268,346,381,391580,0 112,2,2024-09-07 08:27:51:139,121341,121340,1,0,5716918,0,5036 112,3,2024-09-07 08:27:50:601,1,97,56,0,282,1089,97,0 113,0,2024-09-07 08:27:50:878,24654,0.5,24442,0.7,49756,0.5,66210,1.75 113,1,2024-09-07 08:27:51:688,170716,170716,0,0,80140038671,831691944,168821,1511,384,368,391661,0 113,2,2024-09-07 08:27:51:302,126570,126570,0,0,4615328,0,3021 113,3,2024-09-07 08:27:50:685,1,97,19,0,288,1567,97,0 114,0,2024-09-07 08:27:50:889,20778,2.2,21120,1.1,41267,0.7,56104,2.00 114,1,2024-09-07 08:27:50:717,170766,170766,0,0,80475616068,843908444,168138,1747,881,381,391531,0 114,2,2024-09-07 08:27:50:873,124513,124513,0,0,5243026,0,3925 114,3,2024-09-07 08:27:51:280,1,97,75,0,395,1698,97,0 115,0,2024-09-07 08:27:50:560,26170,0.3,26450,0.4,53169,0.2,70393,1.50 115,1,2024-09-07 08:27:50:579,170748,170748,0,0,80622835436,842392048,168362,1899,487,383,391528,0 115,2,2024-09-07 08:27:51:126,119709,119709,0,0,4375346,0,2152 115,3,2024-09-07 08:27:51:008,1,97,0,0,159,595,97,0 116,0,2024-09-07 08:27:51:731,26524,0.8,26040,0.9,52725,1.0,70427,2.00 116,1,2024-09-07 08:27:50:809,169407,169407,0,0,79823068836,850251852,166099,1922,1386,382,391619,0 116,2,2024-09-07 08:27:51:757,120669,120669,0,0,6411177,0,3529 116,3,2024-09-07 08:27:50:913,1,97,1,1,252,1541,97,0 117,0,2024-09-07 08:27:51:002,25304,1.9,25387,1.3,50444,3.2,67945,2.25 117,1,2024-09-07 08:27:51:579,170276,170276,0,0,79460507927,838718365,167295,2585,396,370,392033,0 117,2,2024-09-07 08:27:51:123,125720,125720,0,0,5573052,0,3700 117,3,2024-09-07 08:27:51:062,1,97,11,0,490,2005,97,0 118,0,2024-09-07 08:27:51:866,19891,0.7,20435,0.9,41739,0.6,54828,2.25 118,1,2024-09-07 08:27:50:589,169920,169920,0,0,78965221920,830766493,167859,1828,233,368,391736,0 118,2,2024-09-07 08:27:51:587,125238,125238,0,0,5908445,0,2781 118,3,2024-09-07 08:27:51:781,1,97,4,1,235,1396,97,0 119,0,2024-09-07 08:27:51:362,25018,0.4,24995,0.6,50968,0.3,67246,2.00 119,1,2024-09-07 08:27:50:553,170437,170437,0,0,80556849074,843941120,168415,1741,281,370,391641,0 119,2,2024-09-07 08:27:51:276,119375,119375,0,0,5191968,0,2532 119,3,2024-09-07 08:27:51:334,1,97,3,0,443,2402,97,0 120,0,2024-09-07 08:27:51:554,24721,0.5,24800,0.8,49243,0.5,66169,2.00 120,1,2024-09-07 08:27:50:865,170164,170164,0,0,80212359942,842053238,168396,1737,31,368,391702,0 120,2,2024-09-07 08:27:50:777,120938,120937,1,0,6637553,0,5281 120,3,2024-09-07 08:27:51:299,1,97,2,0,241,1521,97,0 121,0,2024-09-07 08:27:51:695,25621,2.1,25926,1.4,51649,3.4,69134,2.50 121,1,2024-09-07 08:27:51:655,170093,170093,0,0,79593202338,834774864,167993,1828,272,367,391840,0 121,2,2024-09-07 08:27:51:125,121768,121768,0,0,6977785,0,4127 121,3,2024-09-07 08:27:50:727,1,97,15,0,159,1177,97,0 122,0,2024-09-07 08:27:51:843,21914,1.9,21205,1.6,44197,2.3,58993,2.25 122,1,2024-09-07 08:27:50:860,169521,169521,0,0,80380030378,849055172,166141,2741,639,367,392130,0 122,2,2024-09-07 08:27:51:320,126034,126034,0,0,6742686,0,3364 122,3,2024-09-07 08:27:50:614,1,97,2,0,298,1947,97,0 123,0,2024-09-07 08:27:50:995,22956,0.4,22331,0.6,46563,0.4,61217,1.75 123,1,2024-09-07 08:27:50:561,170843,170843,0,0,80004172818,847126291,167143,3127,573,369,391823,0 123,2,2024-09-07 08:27:51:019,121312,121311,1,0,5590916,0,5215 123,3,2024-09-07 08:27:51:134,1,97,1,1,168,1477,97,0 124,0,2024-09-07 08:27:50:951,25284,0.3,25240,0.4,47664,0.2,65164,1.50 124,1,2024-09-07 08:27:51:022,170613,170613,0,0,79740149504,826310454,169800,668,145,367,392178,0 124,2,2024-09-07 08:27:51:013,120610,120610,0,0,4435550,0,2477 124,3,2024-09-07 08:27:50:762,1,97,1,1,490,1716,97,0 125,0,2024-09-07 08:27:51:510,26170,0.5,26092,0.6,52191,0.6,68930,1.75 125,1,2024-09-07 08:27:50:858,170171,170171,0,0,79659284026,833691998,168316,1582,273,384,391702,0 125,2,2024-09-07 08:27:51:117,122056,122056,0,0,4913465,0,2180 125,3,2024-09-07 08:27:51:138,1,97,12,1,93,1214,97,0 126,0,2024-09-07 08:27:51:423,24518,0.9,25098,0.9,48254,1.1,64934,1.75 126,1,2024-09-07 08:27:50:554,171127,171127,0,0,80202628507,831595777,170074,998,55,365,391987,0 126,2,2024-09-07 08:27:50:613,126116,126116,0,0,5161454,0,3186 126,3,2024-09-07 08:27:50:908,1,97,3,0,122,1248,97,0 127,0,2024-09-07 08:27:51:599,20352,0.2,20369,0.4,40836,0.2,54559,1.50 127,1,2024-09-07 08:27:50:569,170850,170850,0,0,79927701955,828013492,169704,1122,24,365,391614,0 127,2,2024-09-07 08:27:50:637,124374,124374,0,0,4435188,0,1803 127,3,2024-09-07 08:27:51:274,1,97,1,0,99,725,97,0 128,0,2024-09-07 08:27:51:629,25908,0.3,25902,0.4,51285,0.2,68317,1.50 128,1,2024-09-07 08:27:51:611,170713,170713,0,0,80285871990,832175937,169973,669,71,367,391680,0 128,2,2024-09-07 08:27:51:388,118928,118928,0,0,5159377,0,2107 128,3,2024-09-07 08:27:50:771,1,97,247,1,247,1309,97,0 129,0,2024-09-07 08:27:51:043,27024,0.4,26971,0.5,53693,0.3,71573,1.75 129,1,2024-09-07 08:27:50:567,169884,169884,0,0,79739170455,837247745,167758,1839,287,379,391835,0 129,2,2024-09-07 08:27:50:688,121043,121043,0,0,4683436,0,2446 129,3,2024-09-07 08:27:50:689,1,97,7,1,173,1387,97,0 130,0,2024-09-07 08:27:51:807,25455,1.3,25287,1.0,50657,1.8,68166,2.00 130,1,2024-09-07 08:27:50:583,170469,170469,0,0,79849962289,833412798,169144,1290,35,381,391825,0 130,2,2024-09-07 08:27:51:125,125461,125461,0,0,5463203,0,4067 130,3,2024-09-07 08:27:51:294,1,97,5,0,207,745,97,0 131,0,2024-09-07 08:27:51:992,20640,0.6,20633,0.7,41632,0.9,55609,1.75 131,1,2024-09-07 08:27:51:888,171483,171483,0,0,79725225585,831218668,170386,910,187,384,391865,0 131,2,2024-09-07 08:27:50:567,125673,125673,0,0,4701696,0,2415 131,3,2024-09-07 08:27:51:695,1,97,10,0,392,1247,97,0 132,0,2024-09-07 08:27:51:533,25522,0.3,25938,0.5,51891,0.2,68908,1.75 132,1,2024-09-07 08:27:50:576,169606,169606,0,0,79373246131,841403786,166196,2653,757,381,391719,0 132,2,2024-09-07 08:27:50:703,119001,119001,0,0,6871421,0,4606 132,3,2024-09-07 08:27:51:701,1,97,10,0,356,1786,97,0 133,0,2024-09-07 08:27:51:636,25139,0.5,25608,0.7,52707,0.5,68384,2.00 133,1,2024-09-07 08:27:50:590,168882,168882,0,0,80167949891,848360321,166074,2634,174,383,391755,0 133,2,2024-09-07 08:27:51:091,121182,121182,0,0,6726367,0,4315 133,3,2024-09-07 08:27:51:300,1,97,10,1,187,883,97,0 134,0,2024-09-07 08:27:51:066,26088,0.8,25959,1.0,52264,0.9,69337,2.00 134,1,2024-09-07 08:27:50:585,169760,169760,0,0,79330463088,835991693,166752,2026,982,366,391718,0 134,2,2024-09-07 08:27:51:776,123352,123352,0,0,5459181,0,2364 134,3,2024-09-07 08:27:50:761,1,97,11,0,739,2185,97,0 135,0,2024-09-07 08:27:51:109,20617,1.3,20585,1.4,43552,1.4,56302,2.25 135,1,2024-09-07 08:27:51:594,169297,169297,0,0,79582035715,841528390,166013,2584,700,380,391703,0 135,2,2024-09-07 08:27:50:705,126006,126006,0,0,6223451,0,3981 135,3,2024-09-07 08:27:51:007,1,97,1,0,89,695,97,0 136,0,2024-09-07 08:27:51:638,24431,0.4,24232,0.6,48794,0.3,65364,1.75 136,1,2024-09-07 08:27:51:450,170178,170178,0,0,79537877699,834890352,168168,1862,148,384,391621,0 136,2,2024-09-07 08:27:51:138,121575,121575,0,0,5170716,0,3506 136,3,2024-09-07 08:27:51:127,1,97,5,0,108,936,97,0 137,0,2024-09-07 08:27:50:964,25431,0.6,24592,0.7,48738,0.5,65758,2.00 137,1,2024-09-07 08:27:50:578,170223,170223,0,0,80435629678,841836195,168091,2018,114,367,391608,0 137,2,2024-09-07 08:27:51:708,120414,120414,0,0,6483030,0,2632 137,3,2024-09-07 08:27:50:774,1,97,17,1,227,1257,97,0 138,0,2024-09-07 08:27:51:763,24988,2.3,25243,1.5,51247,3.6,67983,2.75 138,1,2024-09-07 08:27:51:687,169936,169936,0,0,79965688887,839442047,167413,2258,265,369,391954,0 138,2,2024-09-07 08:27:50:603,122268,122268,0,0,5568910,0,3263 138,3,2024-09-07 08:27:50:624,1,97,2,0,1160,2079,97,0 139,0,2024-09-07 08:27:51:370,22080,4.1,22189,2.2,44875,5.3,60005,3.50 139,1,2024-09-07 08:27:50:571,169526,169526,0,0,79442354996,844866191,166234,2468,824,381,391892,0 139,2,2024-09-07 08:27:50:692,124812,124812,0,0,6558815,0,3097 139,3,2024-09-07 08:27:51:671,1,97,29,0,244,1489,97,0 140,0,2024-09-07 08:27:51:616,21500,0.2,21382,0.4,42990,0.2,57626,1.50 140,1,2024-09-07 08:27:51:536,171098,171098,0,0,80174817875,827703748,170146,755,197,365,391606,0 140,2,2024-09-07 08:27:50:697,124048,124048,0,0,5166668,0,3388 140,3,2024-09-07 08:27:50:771,1,97,1,0,25,609,97,0 141,0,2024-09-07 08:27:51:710,24793,0.2,25648,0.4,49232,0.1,66268,1.50 141,1,2024-09-07 08:27:50:859,170773,170773,0,0,80407809442,836166633,169283,1159,331,379,391538,0 141,2,2024-09-07 08:27:51:687,119906,119906,0,0,4693706,0,2342 141,3,2024-09-07 08:27:51:044,1,97,8,0,147,724,97,0 142,0,2024-09-07 08:27:51:328,27077,0.3,26770,0.5,53275,0.3,71214,1.75 142,1,2024-09-07 08:27:50:590,170864,170864,0,0,79962461631,831296707,170301,547,16,384,391649,0 142,2,2024-09-07 08:27:51:301,120498,120498,0,0,5067246,0,2293 142,3,2024-09-07 08:27:51:758,1,97,2,0,484,1688,97,0 143,0,2024-09-07 08:27:51:460,24671,1.0,24795,0.9,49929,1.2,66046,2.00 143,1,2024-09-07 08:27:50:568,170439,170439,0,0,80234774681,831409608,169425,983,31,367,391619,0 143,2,2024-09-07 08:27:50:774,125881,125881,0,0,5503034,0,2669 143,3,2024-09-07 08:27:51:141,1,97,1,0,236,1382,97,0 144,0,2024-09-07 08:27:51:536,19978,1.0,20496,3.4,41717,1.1,55757,2.25 144,1,2024-09-07 08:27:50:567,169992,169992,0,0,79663585713,836774056,168364,1433,195,381,391638,0 144,2,2024-09-07 08:27:51:758,125314,125314,0,0,5028324,0,3473 144,3,2024-09-07 08:27:51:746,1,97,1,1,169,1344,97,0 145,0,2024-09-07 08:27:51:367,25453,0.6,25400,0.9,53771,0.5,70292,2.25 145,1,2024-09-07 08:27:50:553,169014,169014,0,0,79087400760,837639243,165819,2564,631,383,391615,0 145,2,2024-09-07 08:27:51:429,119536,119536,0,0,5897685,0,3903 145,3,2024-09-07 08:27:50:896,1,97,8,0,151,1263,97,0 146,0,2024-09-07 08:27:51:608,26213,0.6,26125,0.8,52712,0.6,70040,2.25 146,1,2024-09-07 08:27:51:591,170366,170366,0,0,79875375757,839583633,167718,2310,338,368,391600,0 146,2,2024-09-07 08:27:51:696,120878,120878,0,0,5446532,0,2379 146,3,2024-09-07 08:27:51:278,1,97,151,0,1520,3377,97,0 147,0,2024-09-07 08:27:51:816,25130,2.4,25021,1.5,49697,3.5,67920,3.00 147,1,2024-09-07 08:27:51:383,170786,170786,0,0,80263503083,834515144,169039,1391,356,368,391791,0 147,2,2024-09-07 08:27:51:012,125297,125297,0,0,5397228,0,2789 147,3,2024-09-07 08:27:50:916,1,97,89,0,141,977,97,0 0,0,2024-09-07 08:28:01:748,24477,0.6,24379,0.7,51547,0.6,67197,1.75 0,1,2024-09-07 08:28:00:809,172257,172257,0,0,81069079642,850764306,170946,1183,128,372,391692,0 0,2,2024-09-07 08:28:01:079,122114,122114,0,0,5476996,0,4480 0,3,2024-09-07 08:28:00:978,1,98,1,0,247,1545,98,0 1,0,2024-09-07 08:28:01:790,25993,2.4,25739,1.6,51926,3.1,69462,2.50 1,1,2024-09-07 08:28:00:571,171797,171797,0,0,80341337277,843345275,169995,1272,530,372,391857,0 1,2,2024-09-07 08:28:00:646,124110,124110,0,0,5242017,0,3267 1,3,2024-09-07 08:28:01:302,1,98,1,0,167,952,98,0 2,0,2024-09-07 08:28:01:581,22035,0.9,22150,1.1,43911,1.2,59184,2.00 2,1,2024-09-07 08:28:00:867,172298,172298,0,0,80925302541,842085804,171193,901,204,381,391558,0 2,2,2024-09-07 08:28:01:280,126634,126634,0,0,5383733,0,3304 2,3,2024-09-07 08:28:00:698,1,98,1,0,214,968,98,0 3,0,2024-09-07 08:28:01:761,23473,0.4,23545,0.5,47067,0.3,62849,1.75 3,1,2024-09-07 08:28:01:619,171352,171352,0,0,80792579680,844487938,169180,1784,388,382,391516,0 3,2,2024-09-07 08:28:01:142,122676,122653,23,0,5571384,0,5851 3,3,2024-09-07 08:28:01:753,1,98,3,0,103,644,98,0 4,0,2024-09-07 08:28:01:775,23954,0.3,24663,0.4,49782,0.2,65296,1.50 4,1,2024-09-07 08:28:00:610,171612,171612,0,0,80398039720,850351011,169125,1969,518,371,391846,0 4,2,2024-09-07 08:28:01:023,121255,121255,0,0,6794907,0,4528 4,3,2024-09-07 08:28:01:028,1,98,1,1,287,1515,98,0 5,0,2024-09-07 08:28:01:412,26178,0.6,25992,0.7,52356,0.8,69141,1.75 5,1,2024-09-07 08:28:00:780,171138,171138,0,0,80324911091,851234849,167822,2385,931,368,392005,0 5,2,2024-09-07 08:28:01:829,122970,122970,0,0,5663313,0,2259 5,3,2024-09-07 08:28:01:743,1,98,2,0,238,1726,98,0 6,0,2024-09-07 08:28:00:935,24602,0.8,24324,1.0,48442,0.9,64727,2.25 6,1,2024-09-07 08:28:00:748,172484,172484,0,0,80513344815,841993792,170953,1456,75,381,391603,0 6,2,2024-09-07 08:28:01:119,127066,127066,0,0,5669258,0,2411 6,3,2024-09-07 08:28:01:274,1,98,6,0,340,1755,98,0 7,0,2024-09-07 08:28:01:544,20537,0.4,20566,0.5,41488,0.3,55456,1.75 7,1,2024-09-07 08:28:00:861,172257,172257,0,0,80749282954,846462483,170519,1673,65,383,391664,0 7,2,2024-09-07 08:28:00:778,124632,124632,0,0,5267503,0,2981 7,3,2024-09-07 08:28:00:853,1,98,3,0,305,1089,98,0 8,0,2024-09-07 08:28:01:357,25790,0.3,26076,0.5,51230,0.3,68475,1.50 8,1,2024-09-07 08:28:01:018,171746,171746,0,0,80545432360,854740915,168141,2352,1253,368,391724,0 8,2,2024-09-07 08:28:00:791,119535,119535,0,0,6354840,0,2986 8,3,2024-09-07 08:28:00:611,1,98,7,0,357,1647,98,0 9,0,2024-09-07 08:28:01:140,27101,0.4,26403,0.6,55143,0.3,72072,1.75 9,1,2024-09-07 08:28:00:563,171864,171864,0,0,80216926611,851413625,168387,2474,1003,370,391738,0 9,2,2024-09-07 08:28:01:107,122152,122152,0,0,5811780,0,3360 9,3,2024-09-07 08:28:01:760,1,98,5,0,496,1713,98,0 10,0,2024-09-07 08:28:01:607,25542,0.6,25539,0.8,51202,0.6,68480,2.00 10,1,2024-09-07 08:28:00:584,171570,171570,0,0,80785632737,852202493,168096,2952,522,381,391741,0 10,2,2024-09-07 08:28:00:763,126347,126347,0,0,6037137,0,2940 10,3,2024-09-07 08:28:00:880,1,98,1,0,136,669,98,0 11,0,2024-09-07 08:28:01:014,20535,0.6,20036,0.9,42066,0.6,56355,2.25 11,1,2024-09-07 08:28:00:571,171232,171232,0,0,80205136654,847811056,167511,2592,1129,384,391537,0 11,2,2024-09-07 08:28:01:123,126040,126040,0,0,5648710,0,2635 11,3,2024-09-07 08:28:01:297,1,98,1,0,720,2426,98,0 12,0,2024-09-07 08:28:01:039,26520,0.3,26391,0.5,52989,0.2,70709,1.50 12,1,2024-09-07 08:28:00:997,171065,171065,0,0,80280722725,840718389,169180,1686,199,371,391837,0 12,2,2024-09-07 08:28:01:542,121829,121829,0,0,5061814,0,2254 12,3,2024-09-07 08:28:01:059,1,98,1,0,358,1807,98,0 13,0,2024-09-07 08:28:01:363,26083,0.4,26179,0.5,52320,0.4,69765,1.75 13,1,2024-09-07 08:28:01:532,172251,172251,0,0,80687034698,847809628,170641,1243,367,384,391717,0 13,2,2024-09-07 08:28:00:609,123421,123421,0,0,4892405,0,3287 13,3,2024-09-07 08:28:01:763,1,98,7,1,416,2002,98,0 14,0,2024-09-07 08:28:00:570,26211,0.6,26410,0.8,52318,0.7,69543,2.00 14,1,2024-09-07 08:28:01:565,173045,173045,0,0,80904764108,838320676,171859,1113,73,364,391546,0 14,2,2024-09-07 08:28:00:774,124924,124924,0,0,5413632,0,2793 14,3,2024-09-07 08:28:01:115,1,98,1,0,906,1383,98,0 15,0,2024-09-07 08:28:01:563,21473,0.6,21282,1.0,42582,0.7,57052,2.25 15,1,2024-09-07 08:28:01:614,171580,171580,0,0,80949998315,841752404,170684,861,35,381,391536,0 15,2,2024-09-07 08:28:01:015,127765,127765,0,0,4394932,0,3043 15,3,2024-09-07 08:28:01:407,1,98,1,0,538,2500,98,0 16,0,2024-09-07 08:28:01:016,24569,0.4,24818,0.6,49135,0.3,65935,2.00 16,1,2024-09-07 08:28:00:583,172058,172058,0,0,80880220398,846053638,170899,1131,28,372,391756,0 16,2,2024-09-07 08:28:01:446,120798,120798,0,0,5703288,0,4719 16,3,2024-09-07 08:28:01:146,1,98,7,0,231,1514,98,0 17,0,2024-09-07 08:28:01:823,25511,0.5,25043,0.6,49039,0.5,66101,1.75 17,1,2024-09-07 08:28:00:569,171820,171820,0,0,79625041063,840686819,169621,1672,527,369,391688,0 17,2,2024-09-07 08:28:01:901,123511,123511,0,0,4885488,0,2857 17,3,2024-09-07 08:28:00:573,1,98,0,0,268,1777,98,0 18,0,2024-09-07 08:28:00:954,25016,1.2,25449,1.1,51183,1.4,67615,2.50 18,1,2024-09-07 08:28:01:642,172152,172152,0,0,80381926326,835660143,170899,1035,218,368,391564,0 18,2,2024-09-07 08:28:01:756,123528,123528,0,0,5614421,0,3541 18,3,2024-09-07 08:28:00:898,1,98,1,0,163,1054,98,0 19,0,2024-09-07 08:28:01:547,22468,1.2,22745,1.2,45000,1.5,59597,3.75 19,1,2024-09-07 08:28:00:566,172156,172156,0,0,81198544661,847617202,170143,1847,166,367,391777,0 19,2,2024-09-07 08:28:01:760,127749,127749,0,0,5229109,0,3988 19,3,2024-09-07 08:28:01:132,1,98,0,0,524,1262,98,0 20,0,2024-09-07 08:28:01:408,22007,0.4,21981,0.6,43889,0.4,58631,2.00 20,1,2024-09-07 08:28:00:567,171966,171966,0,0,80686686856,847559406,169974,1816,176,370,391598,0 20,2,2024-09-07 08:28:00:939,123417,123417,0,0,5449175,0,3721 20,3,2024-09-07 08:28:00:612,1,98,4,0,414,1980,98,0 21,0,2024-09-07 08:28:01:153,25330,0.4,25200,0.5,50355,0.3,66333,1.75 21,1,2024-09-07 08:28:01:542,171327,171327,0,0,80172969709,846652730,168802,2021,504,368,391962,0 21,2,2024-09-07 08:28:01:068,121619,121619,0,0,5711642,0,3747 21,3,2024-09-07 08:28:01:407,1,98,2,0,93,1285,98,0 22,0,2024-09-07 08:28:01:721,26560,1.2,26518,1.1,52984,1.8,70547,2.50 22,1,2024-09-07 08:28:01:023,171161,171161,0,0,79861784419,849204034,166727,3063,1371,382,391667,0 22,2,2024-09-07 08:28:00:776,122629,122629,0,0,5287224,0,3134 22,3,2024-09-07 08:28:01:066,1,98,2,0,228,973,98,0 23,0,2024-09-07 08:28:01:386,24770,0.8,24883,0.9,49928,0.8,66484,2.50 23,1,2024-09-07 08:28:01:005,172212,172212,0,0,81628915034,851362627,170019,1622,571,365,391549,0 23,2,2024-09-07 08:28:01:095,126726,126726,0,0,5308795,0,2078 23,3,2024-09-07 08:28:01:754,1,98,9,0,645,1544,98,0 24,0,2024-09-07 08:28:00:834,21341,0.5,21290,0.7,42768,0.6,57145,1.75 24,1,2024-09-07 08:28:00:583,171568,171568,0,0,80449500793,844371875,169583,1310,675,369,391640,0 24,2,2024-09-07 08:28:01:071,124921,124921,0,0,6743816,0,2942 24,3,2024-09-07 08:28:01:707,1,98,4,0,234,1200,98,0 25,0,2024-09-07 08:28:01:395,27242,0.4,26671,0.6,52162,0.4,70981,1.75 25,1,2024-09-07 08:28:00:564,171631,171631,0,0,80258343475,843702469,169434,1881,316,371,391788,0 25,2,2024-09-07 08:28:01:619,119919,119919,0,0,5971715,0,3284 25,3,2024-09-07 08:28:01:004,1,98,9,0,158,1029,98,0 26,0,2024-09-07 08:28:01:742,26491,0.4,25858,0.6,54118,0.4,71163,1.75 26,1,2024-09-07 08:28:01:542,172361,172361,0,0,80426957788,848838439,168805,2721,835,381,391564,0 26,2,2024-09-07 08:28:00:865,122761,122761,0,0,5866526,0,2809 26,3,2024-09-07 08:28:01:719,1,98,1,0,796,1353,98,0 27,0,2024-09-07 08:28:01:732,25723,0.7,25834,0.8,51205,0.8,68652,2.00 27,1,2024-09-07 08:28:01:677,172551,172551,0,0,80738022041,840018490,171184,1072,295,381,391539,0 27,2,2024-09-07 08:28:00:887,124886,124886,0,0,6843287,0,3409 27,3,2024-09-07 08:28:01:015,1,98,2,0,564,1419,98,0 28,0,2024-09-07 08:28:01:394,20725,0.6,21059,0.8,42129,0.7,56581,2.00 28,1,2024-09-07 08:28:00:798,172489,172489,0,0,81620102127,849461903,171294,995,200,383,391646,0 28,2,2024-09-07 08:28:01:777,126685,126685,0,0,4681705,0,2609 28,3,2024-09-07 08:28:01:779,1,98,9,0,502,1312,98,0 29,0,2024-09-07 08:28:01:375,26149,0.3,25501,0.4,50013,0.2,68428,1.75 29,1,2024-09-07 08:28:01:568,173228,173228,0,0,80706541235,836876477,171704,1049,475,369,391621,0 29,2,2024-09-07 08:28:00:870,121511,121511,0,0,4364361,0,4018 29,3,2024-09-07 08:28:00:970,1,98,6,0,105,788,98,0 30,0,2024-09-07 08:28:01:464,24984,0.9,24371,0.9,51043,1.1,67133,2.50 30,1,2024-09-07 08:28:00:571,172506,172506,0,0,81409094448,846692781,171441,1000,65,382,391584,0 30,2,2024-09-07 08:28:01:286,123421,123421,0,0,4349809,0,3161 30,3,2024-09-07 08:28:00:582,1,98,1,0,195,773,98,0 31,0,2024-09-07 08:28:01:766,25754,1.0,25882,1.0,52151,1.2,69147,2.25 31,1,2024-09-07 08:28:00:572,173184,173184,0,0,81039862085,829530639,172931,251,2,356,391553,0 31,2,2024-09-07 08:28:01:275,124529,124529,0,0,5726242,0,3525 31,3,2024-09-07 08:28:01:708,1,98,2,0,129,742,98,0 32,0,2024-09-07 08:28:01:448,22245,0.9,22399,0.9,44853,0.9,59674,1.75 32,1,2024-09-07 08:28:00:804,172789,172789,0,0,80905666269,840504306,171774,986,29,382,391595,0 32,2,2024-09-07 08:28:00:934,127278,127278,0,0,4930798,0,3155 32,3,2024-09-07 08:28:01:015,1,98,1,0,227,1106,98,0 33,0,2024-09-07 08:28:01:507,23641,0.2,23308,0.4,47299,0.2,62836,1.50 33,1,2024-09-07 08:28:00:576,173022,173022,0,0,81144086635,842780738,171306,1593,123,369,391730,0 33,2,2024-09-07 08:28:00:774,122926,122893,33,0,6198282,0,7012 33,3,2024-09-07 08:28:00:900,1,98,5,0,211,913,98,0 34,0,2024-09-07 08:28:00:939,24702,0.3,25476,0.5,48972,0.2,65309,1.50 34,1,2024-09-07 08:28:01:051,173200,173200,0,0,81327860745,837916838,172561,634,5,367,391517,0 34,2,2024-09-07 08:28:00:769,121726,121726,0,0,5351981,0,3255 34,3,2024-09-07 08:28:01:688,1,98,1,0,148,732,98,0 35,0,2024-09-07 08:28:00:866,25887,0.7,26201,0.7,52069,0.7,69248,1.75 35,1,2024-09-07 08:28:01:069,172475,172475,0,0,80855391795,840159074,170996,1117,362,384,391587,0 35,2,2024-09-07 08:28:01:584,122825,122825,0,0,4943351,0,2542 35,3,2024-09-07 08:28:00:908,1,98,5,0,219,1199,98,0 36,0,2024-09-07 08:28:01:526,24434,1.3,24365,1.2,49323,1.5,64615,2.50 36,1,2024-09-07 08:28:00:583,171773,171773,0,0,80671479809,847398181,168664,2178,931,366,391543,0 36,2,2024-09-07 08:28:01:753,126094,126094,0,0,6388228,0,3303 36,3,2024-09-07 08:28:00:874,1,98,2,0,378,1178,98,0 37,0,2024-09-07 08:28:01:392,20775,0.4,20625,0.5,41471,0.2,55549,1.75 37,1,2024-09-07 08:28:00:576,171553,171546,0,7,79916988755,845258327,168183,1822,1541,365,391518,0 37,2,2024-09-07 08:28:01:161,124996,124996,0,0,4884670,0,2333 37,3,2024-09-07 08:28:01:782,1,98,5,0,724,2105,98,0 38,0,2024-09-07 08:28:01:446,25657,0.4,24855,0.6,51968,0.4,68008,1.75 38,1,2024-09-07 08:28:01:611,172760,172760,0,0,80836783378,848598514,169898,2341,521,368,391821,0 38,2,2024-09-07 08:28:00:769,121318,121318,0,0,5904448,0,3245 38,3,2024-09-07 08:28:01:001,1,98,1,0,603,1478,98,0 39,0,2024-09-07 08:28:01:768,27815,0.8,27158,0.8,53062,1.0,72331,2.00 39,1,2024-09-07 08:28:00:732,172022,172022,0,0,80093611694,839841343,169082,2272,668,365,391524,0 39,2,2024-09-07 08:28:01:423,122424,122424,0,0,5433676,0,2689 39,3,2024-09-07 08:28:00:728,1,98,30,0,276,1514,98,0 40,0,2024-09-07 08:28:01:504,25009,1.4,25533,1.8,50483,2.7,67823,3.50 40,1,2024-09-07 08:28:00:577,171597,171597,0,0,79677078237,840198186,167907,2926,764,369,391591,0 40,2,2024-09-07 08:28:01:303,126484,126483,1,0,6907133,0,5137 40,3,2024-09-07 08:28:01:145,1,98,30,0,110,898,98,0 41,0,2024-09-07 08:28:01:051,20365,2.1,20761,2.6,39837,3.4,54806,4.50 41,1,2024-09-07 08:28:00:769,171856,171856,0,0,80852267027,850404108,168574,2766,516,370,391742,0 41,2,2024-09-07 08:28:00:763,125575,125575,0,0,6567942,0,3356 41,3,2024-09-07 08:28:01:676,1,98,366,0,366,1348,98,0 42,0,2024-09-07 08:28:01:479,26055,0.4,26329,0.7,52024,0.3,69438,2.00 42,1,2024-09-07 08:28:01:444,171498,171498,0,0,80789376086,851233844,168400,2268,830,380,391675,0 42,2,2024-09-07 08:28:01:137,120283,120283,0,0,5763412,0,3568 42,3,2024-09-07 08:28:01:009,1,98,2,0,100,794,98,0 43,0,2024-09-07 08:28:00:934,26020,0.9,25340,1.0,52972,1.0,69776,2.00 43,1,2024-09-07 08:28:00:594,171509,171509,0,0,80466306407,841739974,169080,1677,752,366,391604,0 43,2,2024-09-07 08:28:01:737,123117,123117,0,0,6069277,0,3812 43,3,2024-09-07 08:28:01:749,1,98,1,0,325,1796,98,0 44,0,2024-09-07 08:28:00:883,26346,0.6,26164,0.9,52571,0.7,70160,2.00 44,1,2024-09-07 08:28:00:565,172637,172637,0,0,80784091948,834270199,171605,924,108,356,391569,0 44,2,2024-09-07 08:28:01:268,125169,125169,0,0,4377696,0,1865 44,3,2024-09-07 08:28:01:094,1,98,1,0,817,1461,98,0 45,0,2024-09-07 08:28:01:767,21061,0.7,20659,1.0,43222,0.6,57450,1.75 45,1,2024-09-07 08:28:01:005,172753,172753,0,0,80891791462,838211686,172248,504,1,382,391917,0 45,2,2024-09-07 08:28:01:279,127317,127317,0,0,5314188,0,3596 45,3,2024-09-07 08:28:00:941,1,98,1,0,226,940,98,0 46,0,2024-09-07 08:28:00:961,24805,0.3,24759,0.4,49851,0.2,66087,1.50 46,1,2024-09-07 08:28:00:576,173019,173019,0,0,81064962334,837204270,172132,849,38,367,391514,0 46,2,2024-09-07 08:28:00:616,122366,122366,0,0,4657366,0,2920 46,3,2024-09-07 08:28:01:135,1,98,1,0,363,1676,98,0 47,0,2024-09-07 08:28:01:116,24817,0.3,25003,0.5,50215,0.3,65876,1.75 47,1,2024-09-07 08:28:00:567,172975,172975,0,0,80067517830,825931990,172332,638,5,366,391605,0 47,2,2024-09-07 08:28:00:908,123375,123375,0,0,4853460,0,2558 47,3,2024-09-07 08:28:01:115,1,98,1,0,529,1207,98,0 48,0,2024-09-07 08:28:01:499,26015,0.3,25742,0.5,51365,0.3,68382,1.75 48,1,2024-09-07 08:28:01:028,172438,172438,0,0,80881249330,840255934,171233,1199,6,384,391637,0 48,2,2024-09-07 08:28:00:716,123677,123677,0,0,4191078,0,2083 48,3,2024-09-07 08:28:00:757,1,98,5,0,39,759,98,0 49,0,2024-09-07 08:28:01:724,23400,0.7,22821,0.8,44782,0.8,61103,1.75 49,1,2024-09-07 08:28:01:021,171868,171868,0,0,80646607428,843070826,170102,1055,711,382,391583,0 49,2,2024-09-07 08:28:01:814,127043,127043,0,0,5390337,0,3900 49,3,2024-09-07 08:28:01:416,1,98,1,0,274,969,98,0 50,0,2024-09-07 08:28:01:524,22198,0.2,21790,0.4,43848,0.1,59236,1.50 50,1,2024-09-07 08:28:01:010,173206,173206,0,0,81323838391,845004388,171397,1512,297,368,391530,0 50,2,2024-09-07 08:28:01:080,123855,123855,0,0,4573112,0,2253 50,3,2024-09-07 08:28:01:290,1,98,1,0,335,1018,98,0 51,0,2024-09-07 08:28:01:692,25695,0.2,25179,0.4,49319,0.2,66607,1.50 51,1,2024-09-07 08:28:01:682,172581,172581,0,0,81400901239,845530141,170773,1024,784,367,391637,0 51,2,2024-09-07 08:28:01:316,121144,121144,0,0,3939469,0,2448 51,3,2024-09-07 08:28:01:027,1,98,1,0,162,629,98,0 52,0,2024-09-07 08:28:01:421,26880,0.7,26945,0.8,53940,0.8,71076,2.25 52,1,2024-09-07 08:28:00:577,171769,171769,0,0,80759256135,851510260,168272,2791,706,368,391722,0 52,2,2024-09-07 08:28:01:755,122020,122020,0,0,5905588,0,4779 52,3,2024-09-07 08:28:00:674,1,98,1,0,1782,2615,98,0 53,0,2024-09-07 08:28:01:752,24687,1.5,23893,1.3,49805,1.9,65786,2.75 53,1,2024-09-07 08:28:00:774,171563,171563,0,0,81131216071,853166539,168477,2505,581,370,391617,0 53,2,2024-09-07 08:28:01:307,127265,127265,0,0,5010192,0,1902 53,3,2024-09-07 08:28:00:698,1,98,1,0,133,774,98,0 54,0,2024-09-07 08:28:01:620,20431,3.0,20790,1.4,40730,1.1,56186,4.25 54,1,2024-09-07 08:28:00:582,171862,171862,0,0,81475002406,854636558,168691,2627,544,367,391520,0 54,2,2024-09-07 08:28:00:872,125804,125798,6,0,6091538,0,5382 54,3,2024-09-07 08:28:00:774,1,98,1,0,676,1993,98,0 55,0,2024-09-07 08:28:01:772,25556,0.6,26264,0.8,53286,0.5,68808,2.25 55,1,2024-09-07 08:28:00:763,171049,171049,0,0,80383230847,847734316,166673,3591,785,367,391731,0 55,2,2024-09-07 08:28:00:731,120334,120334,0,0,5583355,0,3275 55,3,2024-09-07 08:28:00:675,1,98,3,0,136,963,98,0 56,0,2024-09-07 08:28:01:571,27291,1.6,25758,1.3,53018,2.2,71263,2.50 56,1,2024-09-07 08:28:00:579,171390,171390,0,0,81217811040,864268644,167186,3354,850,382,391678,0 56,2,2024-09-07 08:28:01:307,122613,122613,0,0,6293476,0,3567 56,3,2024-09-07 08:28:01:066,1,98,1,0,297,1137,98,0 57,0,2024-09-07 08:28:00:979,25070,2.6,24900,1.6,50040,4.3,67271,4.00 57,1,2024-09-07 08:28:00:989,171753,171753,0,0,80906401041,848519890,169688,1897,168,368,391773,0 57,2,2024-09-07 08:28:01:328,126252,126252,0,0,6699843,0,3178 57,3,2024-09-07 08:28:01:738,1,98,1,0,359,1923,98,0 58,0,2024-09-07 08:28:00:561,20079,0.7,19664,1.0,41118,0.6,54012,3.00 58,1,2024-09-07 08:28:00:575,172934,172931,0,3,81221566259,847656874,170725,1979,227,369,391516,3 58,2,2024-09-07 08:28:01:094,126283,126283,0,0,5784185,0,2549 58,3,2024-09-07 08:28:01:068,1,98,1,0,219,970,98,0 59,0,2024-09-07 08:28:01:750,25813,0.5,25501,0.7,51367,0.4,68030,2.25 59,1,2024-09-07 08:28:00:807,171714,171714,0,0,80997668125,852276371,168523,2270,921,369,391515,0 59,2,2024-09-07 08:28:00:586,121667,121667,0,0,5036832,0,2604 59,3,2024-09-07 08:28:01:737,1,98,1,0,1015,2167,98,0 60,0,2024-09-07 08:28:01:772,25375,0.4,25509,0.6,50384,0.4,67352,1.75 60,1,2024-09-07 08:28:00:773,173566,173566,0,0,81277585088,843825956,172475,742,349,370,391758,0 60,2,2024-09-07 08:28:01:157,122528,122528,0,0,5040839,0,3811 60,3,2024-09-07 08:28:01:270,1,98,1,0,124,1030,98,0 61,0,2024-09-07 08:28:01:498,25777,2.1,25992,1.3,51909,3.4,69280,2.25 61,1,2024-09-07 08:28:00:775,171780,171780,0,0,80845569773,850114094,169177,2067,536,382,391589,0 61,2,2024-09-07 08:28:01:118,124409,124409,0,0,4942433,0,1846 61,3,2024-09-07 08:28:01:703,1,98,2,0,199,1366,98,0 62,0,2024-09-07 08:28:01:732,22289,0.8,22791,1.0,43755,1.0,59717,2.00 62,1,2024-09-07 08:28:01:137,173106,173100,0,6,81300105205,840174332,171845,1217,38,365,391586,6 62,2,2024-09-07 08:28:01:653,126460,126459,1,0,6031051,0,5555 62,3,2024-09-07 08:28:01:146,1,98,3,0,287,742,98,0 63,0,2024-09-07 08:28:01:458,23438,0.3,23522,0.5,47057,0.2,62806,1.75 63,1,2024-09-07 08:28:00:804,173251,173245,0,6,81215163186,839670825,172732,510,3,381,391540,6 63,2,2024-09-07 08:28:00:785,122326,122326,0,0,4663300,0,2674 63,3,2024-09-07 08:28:01:737,1,98,1,0,667,1948,98,0 64,0,2024-09-07 08:28:01:681,24574,0.4,24407,0.6,49028,0.4,65283,1.75 64,1,2024-09-07 08:28:00:760,172310,172310,0,0,80935875517,849589241,169740,1775,795,371,391783,0 64,2,2024-09-07 08:28:01:148,122560,122541,19,0,5430286,0,6121 64,3,2024-09-07 08:28:01:142,1,98,1,0,265,1114,98,0 65,0,2024-09-07 08:28:01:755,25412,1.7,25773,1.2,51555,2.2,68458,3.00 65,1,2024-09-07 08:28:00:868,171823,171823,0,0,80632570389,844996656,169995,1608,220,382,391770,0 65,2,2024-09-07 08:28:01:705,123647,123647,0,0,5650194,0,3367 65,3,2024-09-07 08:28:01:686,1,98,18,0,163,1063,98,0 66,0,2024-09-07 08:28:01:772,23976,0.6,24151,0.8,48494,0.5,64042,2.25 66,1,2024-09-07 08:28:01:292,173187,173187,0,0,80615315072,836010224,172482,683,22,380,391588,0 66,2,2024-09-07 08:28:01:134,128280,128280,0,0,5109064,0,3867 66,3,2024-09-07 08:28:01:079,1,98,1,0,291,1250,98,0 67,0,2024-09-07 08:28:01:422,21008,0.4,21003,0.6,41524,0.3,55622,2.00 67,1,2024-09-07 08:28:00:780,171980,171979,0,1,80886456761,847190964,169913,1541,525,382,391787,1 67,2,2024-09-07 08:28:00:595,125873,125873,0,0,4643910,0,2889 67,3,2024-09-07 08:28:01:750,1,98,12,0,138,843,98,0 68,0,2024-09-07 08:28:00:583,25921,0.5,25826,0.7,51528,0.5,68337,2.00 68,1,2024-09-07 08:28:00:571,171668,171668,0,0,80780786210,848390148,169973,1173,522,381,391953,0 68,2,2024-09-07 08:28:01:069,120726,120726,0,0,5719716,0,4883 68,3,2024-09-07 08:28:00:737,1,98,2,0,151,1035,98,0 69,0,2024-09-07 08:28:01:786,27002,1.2,27163,1.1,54087,1.6,72099,2.50 69,1,2024-09-07 08:28:01:035,171162,171162,0,0,80631035557,856034739,168402,1682,1078,384,391611,0 69,2,2024-09-07 08:28:01:737,122262,122262,0,0,6440970,0,3701 69,3,2024-09-07 08:28:00:772,1,98,9,0,238,1357,98,0 70,0,2024-09-07 08:28:01:538,25165,2.7,25248,1.9,50750,1.5,67613,4.00 70,1,2024-09-07 08:28:00:801,172434,172434,0,0,81232164964,845691927,170568,1407,459,368,391725,0 70,2,2024-09-07 08:28:01:341,125767,125767,0,0,6242464,0,4044 70,3,2024-09-07 08:28:00:753,1,98,1,0,178,560,98,0 71,0,2024-09-07 08:28:01:369,20297,2.3,20410,2.2,40562,3.5,55331,3.75 71,1,2024-09-07 08:28:01:597,172189,172189,0,0,81407865739,852142838,169647,2209,333,368,391682,0 71,2,2024-09-07 08:28:01:069,126506,126506,0,0,5524647,0,2146 71,3,2024-09-07 08:28:01:750,1,98,3,0,279,1403,98,0 72,0,2024-09-07 08:28:01:039,27084,0.4,26432,0.6,51729,0.3,70776,2.25 72,1,2024-09-07 08:28:01:022,172061,172061,0,0,80516201905,843134433,169595,2001,465,370,391556,0 72,2,2024-09-07 08:28:01:764,119864,119864,0,0,6399263,0,2570 72,3,2024-09-07 08:28:01:757,1,98,5,0,325,1456,98,0 73,0,2024-09-07 08:28:01:106,25507,0.4,26158,0.6,53374,0.4,69425,2.25 73,1,2024-09-07 08:28:00:765,172128,172128,0,0,80962964122,841764017,171021,1062,45,368,391627,0 73,2,2024-09-07 08:28:01:758,123564,123564,0,0,6193290,0,3482 73,3,2024-09-07 08:28:00:970,1,98,8,0,274,1497,98,0 74,0,2024-09-07 08:28:01:340,26349,1.1,26748,1.1,51176,1.7,69629,3.00 74,1,2024-09-07 08:28:00:634,171943,171943,0,0,80618145936,843864650,169766,1572,605,382,391511,0 74,2,2024-09-07 08:28:01:002,124794,124794,0,0,6664914,0,4253 74,3,2024-09-07 08:28:01:443,1,98,1,0,246,1535,98,0 75,0,2024-09-07 08:28:01:793,21449,1.4,21491,1.3,42769,1.5,57632,2.75 75,1,2024-09-07 08:28:01:594,171839,171839,0,0,80906781163,848674378,169553,1923,363,381,391579,0 75,2,2024-09-07 08:28:01:351,126646,126646,0,0,6133458,0,4766 75,3,2024-09-07 08:28:01:071,1,98,30,0,535,1364,98,0 76,0,2024-09-07 08:28:00:665,24919,0.3,24587,0.5,49079,0.2,65742,1.75 76,1,2024-09-07 08:28:00:819,171984,171984,0,0,80210076075,839999893,170169,1308,507,382,391530,0 76,2,2024-09-07 08:28:01:084,122460,122460,0,0,4751953,0,3064 76,3,2024-09-07 08:28:01:146,1,98,8,0,175,1102,98,0 77,0,2024-09-07 08:28:01:720,24849,0.6,25051,0.7,50104,0.6,65770,1.75 77,1,2024-09-07 08:28:00:856,172452,172452,0,0,81001441069,843866101,171394,989,69,383,391555,0 77,2,2024-09-07 08:28:01:281,122934,122934,0,0,4264000,0,1695 77,3,2024-09-07 08:28:01:101,1,98,2,0,139,993,98,0 78,0,2024-09-07 08:28:01:728,25746,0.8,25708,0.9,51392,0.8,68044,2.25 78,1,2024-09-07 08:28:00:612,172931,172931,0,0,80807595889,840563073,171446,1280,205,367,391589,0 78,2,2024-09-07 08:28:01:404,124120,124120,0,0,4457469,0,2114 78,3,2024-09-07 08:28:01:134,1,98,1,0,181,1340,98,0 79,0,2024-09-07 08:28:01:359,22025,0.6,22528,0.9,46128,0.6,59963,2.50 79,1,2024-09-07 08:28:00:576,172856,172856,0,0,80927868470,840652194,171109,1577,170,370,391682,0 79,2,2024-09-07 08:28:01:068,127522,127522,0,0,4936538,0,2679 79,3,2024-09-07 08:28:00:751,1,98,29,0,289,1026,98,0 80,0,2024-09-07 08:28:01:098,21756,0.5,22549,0.7,43360,0.5,58945,2.00 80,1,2024-09-07 08:28:01:624,172019,172019,0,0,80286452647,836555262,170267,1635,117,368,391673,0 80,2,2024-09-07 08:28:01:112,124407,124407,0,0,5069497,0,4433 80,3,2024-09-07 08:28:00:582,1,98,1,1,190,1544,98,0 81,0,2024-09-07 08:28:01:552,25185,0.5,25875,0.6,49597,0.5,66603,1.75 81,1,2024-09-07 08:28:01:651,172115,172115,0,0,80221491674,840990891,170189,1728,198,382,391680,0 81,2,2024-09-07 08:28:01:146,120693,120693,0,0,5417552,0,3993 81,3,2024-09-07 08:28:01:120,1,98,8,0,193,981,98,0 82,0,2024-09-07 08:28:01:539,26677,0.7,26655,0.9,53609,0.8,71162,2.25 82,1,2024-09-07 08:28:00:584,172075,172071,0,4,80605779094,843560647,169889,1608,574,382,391558,4 82,2,2024-09-07 08:28:01:690,123507,123507,0,0,4526821,0,2230 82,3,2024-09-07 08:28:01:759,1,98,11,0,227,1550,98,0 83,0,2024-09-07 08:28:01:542,24903,1.3,24713,1.2,49143,1.5,65690,2.50 83,1,2024-09-07 08:28:00:560,172070,172070,0,0,81100729464,847474683,170574,1395,101,383,391553,0 83,2,2024-09-07 08:28:00:771,126088,126088,0,0,5474361,0,3119 83,3,2024-09-07 08:28:00:755,1,98,1,0,127,852,98,0 84,0,2024-09-07 08:28:01:836,20928,1.5,20664,1.6,41529,1.0,56661,2.75 84,1,2024-09-07 08:28:01:068,171571,171571,0,0,80505262200,846503352,169175,2014,382,369,391638,0 84,2,2024-09-07 08:28:00:574,125562,125562,0,0,5704122,0,3801 84,3,2024-09-07 08:28:01:149,1,98,11,1,58,919,98,0 85,0,2024-09-07 08:28:01:010,25514,0.6,25406,0.8,54014,0.5,70041,2.25 85,1,2024-09-07 08:28:00:570,171018,171018,0,0,80395506880,853336578,167587,2958,473,383,391679,0 85,2,2024-09-07 08:28:00:886,120390,120390,0,0,6083603,0,3656 85,3,2024-09-07 08:28:00:693,1,98,5,0,115,1005,98,0 86,0,2024-09-07 08:28:00:981,26517,0.7,27378,0.8,52692,0.8,71168,2.00 86,1,2024-09-07 08:28:00:831,171757,171757,0,0,81274472836,852718580,169275,2205,277,367,391961,0 86,2,2024-09-07 08:28:00:911,122100,122099,1,0,6752754,0,5004 86,3,2024-09-07 08:28:00:595,1,98,1,0,199,1288,98,0 87,0,2024-09-07 08:28:01:321,25542,2.5,25386,1.5,50979,3.8,68450,2.75 87,1,2024-09-07 08:28:00:558,171763,171763,0,0,80024007195,841531332,169483,2141,139,366,391788,0 87,2,2024-09-07 08:28:01:097,125687,125687,0,0,5657263,0,3515 87,3,2024-09-07 08:28:01:802,1,98,2,1,335,1702,98,0 88,0,2024-09-07 08:28:01:474,20826,0.7,21144,0.8,41995,0.8,56536,2.00 88,1,2024-09-07 08:28:00:573,171374,171374,0,0,80724248760,848682063,169093,1572,709,367,391787,0 88,2,2024-09-07 08:28:00:695,126658,126658,0,0,6487244,0,3583 88,3,2024-09-07 08:28:01:270,1,98,10,1,77,1118,98,0 89,0,2024-09-07 08:28:01:942,26316,0.3,25613,0.5,50767,0.3,68674,1.75 89,1,2024-09-07 08:28:00:554,171179,171179,0,0,80709867065,858585931,167299,3075,805,382,391866,0 89,2,2024-09-07 08:28:01:165,120996,120996,0,0,5676114,0,2726 89,3,2024-09-07 08:28:01:805,1,98,20,0,325,2126,98,0 90,0,2024-09-07 08:28:01:662,24468,0.5,25006,0.6,51293,0.4,67188,1.75 90,1,2024-09-07 08:28:00:594,172158,172158,0,0,80876816454,852894764,169745,2262,151,382,391825,0 90,2,2024-09-07 08:28:01:406,121502,121502,0,0,6691452,0,2635 90,3,2024-09-07 08:28:00:944,1,98,16,1,200,1075,98,0 91,0,2024-09-07 08:28:01:080,26350,0.6,25502,0.7,52953,0.7,69672,1.75 91,1,2024-09-07 08:28:00:611,171794,171794,0,0,81019943554,855810349,168714,2588,492,383,391914,0 91,2,2024-09-07 08:28:01:334,123381,123381,0,0,6027211,0,1997 91,3,2024-09-07 08:28:00:610,1,98,1,0,155,1358,98,0 92,0,2024-09-07 08:28:01:447,22537,0.7,22981,0.9,43936,0.8,59892,1.75 92,1,2024-09-07 08:28:00:583,172424,172424,0,0,80661853432,839923259,171753,601,70,382,391717,0 92,2,2024-09-07 08:28:01:350,128756,128756,0,0,5265105,0,2279 92,3,2024-09-07 08:28:01:013,1,98,28,0,68,968,98,0 93,0,2024-09-07 08:28:00:988,23640,0.3,24134,0.5,46030,0.2,62941,1.50 93,1,2024-09-07 08:28:00:811,172467,172467,0,0,80772270733,844652227,170610,1420,437,367,391689,0 93,2,2024-09-07 08:28:00:959,122855,122855,0,0,4669958,0,2509 93,3,2024-09-07 08:28:01:406,1,98,0,0,143,959,98,0 94,0,2024-09-07 08:28:01:721,24822,0.3,24961,0.5,49386,0.3,65192,1.50 94,1,2024-09-07 08:28:00:563,171979,171979,0,0,80985744000,849377271,170098,1802,79,381,391850,0 94,2,2024-09-07 08:28:00:770,121564,121564,0,0,4744550,0,2443 94,3,2024-09-07 08:28:01:691,1,98,3,0,231,1527,98,0 95,0,2024-09-07 08:28:01:355,26145,0.4,26041,0.6,52446,0.4,69311,1.75 95,1,2024-09-07 08:28:00:868,172554,172554,0,0,80529677488,836290098,171667,823,64,367,391590,0 95,2,2024-09-07 08:28:01:082,123537,123537,0,0,5273496,0,3308 95,3,2024-09-07 08:28:01:737,1,98,22,0,307,1678,98,0 96,0,2024-09-07 08:28:01:093,24575,0.6,24566,0.7,48982,0.6,64457,1.75 96,1,2024-09-07 08:28:01:587,172470,172470,0,0,80569650483,845536878,170574,1325,571,385,391596,0 96,2,2024-09-07 08:28:01:268,127112,127112,0,0,5614364,0,4038 96,3,2024-09-07 08:28:01:149,1,98,11,0,188,1087,98,0 97,0,2024-09-07 08:28:01:324,20649,0.3,20752,0.5,41680,0.3,55480,1.75 97,1,2024-09-07 08:28:00:776,172392,172392,0,0,80902554750,844878375,170188,1685,519,367,392140,0 97,2,2024-09-07 08:28:00:609,124606,124606,0,0,4896913,0,3036 97,3,2024-09-07 08:28:00:570,1,98,1,1,165,1205,98,0 98,0,2024-09-07 08:28:01:781,25842,0.2,25615,0.4,51858,0.2,68490,1.50 98,1,2024-09-07 08:28:00:570,172148,172148,0,0,80708411716,842350516,170935,1156,57,382,391997,0 98,2,2024-09-07 08:28:00:844,122152,122152,0,0,5112115,0,3080 98,3,2024-09-07 08:28:00:703,1,98,2,1,840,2213,98,0 99,0,2024-09-07 08:28:01:468,27133,0.3,27125,0.5,54218,0.3,72206,1.75 99,1,2024-09-07 08:28:01:762,172842,172842,0,0,80409994828,839391004,171337,1059,446,381,391744,0 99,2,2024-09-07 08:28:01:428,123828,123828,0,0,5327688,0,1858 99,3,2024-09-07 08:28:00:584,1,98,1,0,129,798,98,0 100,0,2024-09-07 08:28:01:468,25490,1.5,25377,2.0,50713,4.3,68425,2.50 100,1,2024-09-07 08:28:00:561,171128,171128,0,0,80631530966,858512661,167498,3143,487,381,391989,0 100,2,2024-09-07 08:28:01:818,126135,126124,11,0,6775020,0,5417 100,3,2024-09-07 08:28:01:731,1,98,51,0,443,2165,98,0 101,0,2024-09-07 08:28:01:713,21069,3.9,20418,2.0,40371,3.9,56126,3.50 101,1,2024-09-07 08:28:00:551,171038,171038,0,0,80390105496,852431722,167831,1968,1239,368,391709,0 101,2,2024-09-07 08:28:01:787,125780,125780,0,0,6598731,0,4644 101,3,2024-09-07 08:28:00:944,1,98,2,0,448,1225,98,0 102,0,2024-09-07 08:28:00:975,25575,0.4,26560,0.6,53276,0.3,69912,1.75 102,1,2024-09-07 08:28:01:152,171407,171407,0,0,79872409802,843916629,168664,2227,516,369,391831,0 102,2,2024-09-07 08:28:01:746,121392,121392,0,0,4966509,0,2144 102,3,2024-09-07 08:28:01:619,1,98,3,0,410,1138,98,0 103,0,2024-09-07 08:28:01:690,26815,0.5,26740,0.7,50768,0.5,69900,2.00 103,1,2024-09-07 08:28:01:635,171198,171198,0,0,80959340701,854600492,168487,1999,712,381,391680,0 103,2,2024-09-07 08:28:00:587,122595,122595,0,0,5643029,0,2104 103,3,2024-09-07 08:28:00:755,1,98,67,0,486,1556,98,0 104,0,2024-09-07 08:28:01:018,26139,1.4,26063,1.3,51250,1.6,69884,2.50 104,1,2024-09-07 08:28:01:600,172537,172537,0,0,80193239979,843657213,170231,1927,379,366,391948,0 104,2,2024-09-07 08:28:01:668,124495,124495,0,0,6114737,0,3941 104,3,2024-09-07 08:28:01:425,1,98,8,1,1245,4008,98,0 105,0,2024-09-07 08:28:01:038,21205,0.8,20523,1.3,42676,1.0,57163,3.00 105,1,2024-09-07 08:28:00:557,171913,171913,0,0,81124760656,855388815,168559,2594,760,367,391797,0 105,2,2024-09-07 08:28:01:324,126501,126501,0,0,5787735,0,3314 105,3,2024-09-07 08:28:01:304,1,98,6,1,399,1789,98,0 106,0,2024-09-07 08:28:00:985,23993,0.4,24648,0.6,49992,0.3,65654,1.75 106,1,2024-09-07 08:28:01:760,172142,172142,0,0,79834691516,840244082,169478,2336,328,369,391767,0 106,2,2024-09-07 08:28:00:778,121144,121144,0,0,5441294,0,2795 106,3,2024-09-07 08:28:00:681,1,98,1,1,201,998,98,0 107,0,2024-09-07 08:28:01:131,25033,0.5,24886,0.6,49435,0.4,66037,1.75 107,1,2024-09-07 08:28:00:610,171586,171586,0,0,80943238429,853079213,169471,1925,190,381,392234,0 107,2,2024-09-07 08:28:01:291,121744,121743,1,0,5727585,0,5024 107,3,2024-09-07 08:28:01:758,1,98,2,0,353,1472,98,0 108,0,2024-09-07 08:28:01:829,25860,0.5,26095,0.7,51483,0.5,68378,1.75 108,1,2024-09-07 08:28:01:292,172098,172098,0,0,81122177982,847687835,170532,1430,136,371,391857,0 108,2,2024-09-07 08:28:01:780,123435,123435,0,0,5334654,0,2647 108,3,2024-09-07 08:28:01:333,1,98,2,0,272,1483,98,0 109,0,2024-09-07 08:28:01:788,22887,0.7,23022,0.8,45616,0.8,61122,1.75 109,1,2024-09-07 08:28:00:592,171875,171875,0,0,81342600021,856557768,169842,1574,459,383,391812,0 109,2,2024-09-07 08:28:00:945,126245,126245,0,0,5613916,0,3617 109,3,2024-09-07 08:28:01:139,1,98,14,1,249,1553,98,0 110,0,2024-09-07 08:28:01:789,22081,0.2,21413,0.4,44590,0.2,58900,1.50 110,1,2024-09-07 08:28:01:647,172840,172840,0,0,81383158441,845618525,171508,1042,290,370,391667,0 110,2,2024-09-07 08:28:01:320,124045,124045,0,0,4699842,0,2915 110,3,2024-09-07 08:28:00:692,1,98,5,0,183,1041,98,0 111,0,2024-09-07 08:28:01:423,25285,0.2,24884,0.4,49873,0.1,66608,1.50 111,1,2024-09-07 08:28:01:000,172916,172916,0,0,81275816704,840561890,172268,634,14,382,391690,0 111,2,2024-09-07 08:28:01:127,121309,121309,0,0,5176450,0,2763 111,3,2024-09-07 08:28:00:913,1,98,0,0,300,1663,98,0 112,0,2024-09-07 08:28:00:933,27014,0.4,26979,0.5,53797,0.3,71469,1.75 112,1,2024-09-07 08:28:00:832,172199,172199,0,0,81118560578,845774959,170585,1268,346,381,391580,0 112,2,2024-09-07 08:28:01:134,122874,122873,1,0,5737579,0,5036 112,3,2024-09-07 08:28:00:607,1,98,1,0,282,1090,98,0 113,0,2024-09-07 08:28:00:924,24855,0.5,24677,0.7,50171,0.4,66734,1.75 113,1,2024-09-07 08:28:01:691,172434,172434,0,0,80958345349,839981742,170539,1511,384,368,391661,0 113,2,2024-09-07 08:28:01:315,127819,127819,0,0,4643953,0,3021 113,3,2024-09-07 08:28:00:684,1,98,1,0,288,1568,98,0 114,0,2024-09-07 08:28:00:885,21209,2.0,21546,1.0,42126,0.6,57290,2.00 114,1,2024-09-07 08:28:00:729,172560,172560,0,0,81353889962,852902751,169932,1747,881,381,391531,0 114,2,2024-09-07 08:28:00:877,125542,125542,0,0,5267507,0,3925 114,3,2024-09-07 08:28:01:280,1,98,1,0,395,1699,98,0 115,0,2024-09-07 08:28:00:561,26371,0.3,26665,0.4,53549,0.2,70690,1.50 115,1,2024-09-07 08:28:00:571,172600,172600,0,0,81690100186,853440500,170214,1899,487,383,391528,0 115,2,2024-09-07 08:28:01:136,121230,121230,0,0,4395247,0,2152 115,3,2024-09-07 08:28:01:002,1,98,3,0,159,598,98,0 116,0,2024-09-07 08:28:01:716,26813,0.8,26357,0.9,53308,1.0,71239,2.00 116,1,2024-09-07 08:28:00:804,171188,171188,0,0,80491009673,857479408,167869,1933,1386,382,391619,0 116,2,2024-09-07 08:28:01:783,122032,122032,0,0,6465634,0,3529 116,3,2024-09-07 08:28:00:915,1,98,1,1,252,1542,98,0 117,0,2024-09-07 08:28:00:997,25509,1.9,25599,1.3,50814,3.2,68561,2.25 117,1,2024-09-07 08:28:01:583,171919,171919,0,0,80533437843,849799357,168935,2588,396,370,392033,0 117,2,2024-09-07 08:28:01:122,126846,126846,0,0,5662198,0,3700 117,3,2024-09-07 08:28:01:066,1,98,176,0,490,2181,98,0 118,0,2024-09-07 08:28:01:849,20326,0.8,20851,0.9,42631,0.8,56344,2.25 118,1,2024-09-07 08:28:00:636,171796,171796,0,0,79859671485,840942320,169570,1991,235,368,391736,0 118,2,2024-09-07 08:28:01:604,126601,126601,0,0,6054082,0,2781 118,3,2024-09-07 08:28:01:788,1,98,3,1,235,1399,98,0 119,0,2024-09-07 08:28:01:374,25342,0.4,25264,0.6,51546,0.3,68024,2.00 119,1,2024-09-07 08:28:00:556,172266,172266,0,0,81299571062,852081631,170220,1765,281,370,391641,0 119,2,2024-09-07 08:28:01:262,120536,120536,0,0,5246063,0,2532 119,3,2024-09-07 08:28:01:329,1,98,1,0,443,2403,98,0 120,0,2024-09-07 08:28:01:546,25017,0.5,25098,0.8,49881,0.5,67118,2.00 120,1,2024-09-07 08:28:00:861,172135,172135,0,0,81078455468,851722102,170242,1853,40,368,391702,0 120,2,2024-09-07 08:28:00:802,121997,121996,1,0,6762436,0,5281 120,3,2024-09-07 08:28:01:291,1,98,2,0,241,1523,98,0 121,0,2024-09-07 08:28:01:715,25775,2.1,26089,1.4,51957,3.4,69627,2.25 121,1,2024-09-07 08:28:01:656,171867,171867,0,0,80476247174,843941088,169766,1829,272,367,391840,0 121,2,2024-09-07 08:28:01:158,123262,123262,0,0,7078054,0,4127 121,3,2024-09-07 08:28:00:730,1,98,10,0,159,1187,98,0 122,0,2024-09-07 08:28:01:780,22212,1.9,21479,1.6,44775,2.3,59885,2.25 122,1,2024-09-07 08:28:00:860,171316,171316,0,0,81036847148,856365001,167910,2766,640,367,392130,0 122,2,2024-09-07 08:28:01:329,127452,127452,0,0,6840046,0,3364 122,3,2024-09-07 08:28:00:597,1,98,2,0,298,1949,98,0 123,0,2024-09-07 08:28:00:983,23403,0.5,22768,0.7,47457,0.5,62570,1.75 123,1,2024-09-07 08:28:00:567,172550,172550,0,0,80619988843,853572000,168850,3127,573,369,391823,0 123,2,2024-09-07 08:28:01:020,122005,122004,1,0,5636800,0,5215 123,3,2024-09-07 08:28:01:136,1,98,4,1,168,1481,98,0 124,0,2024-09-07 08:28:00:967,25410,0.3,25388,0.4,47896,0.2,65512,1.50 124,1,2024-09-07 08:28:01:032,172372,172372,0,0,80648654113,835719088,171559,668,145,367,392178,0 124,2,2024-09-07 08:28:01:013,121938,121938,0,0,4859861,0,3101 124,3,2024-09-07 08:28:00:764,1,98,1,1,490,1717,98,0 125,0,2024-09-07 08:28:01:463,26292,0.5,26203,0.6,52442,0.6,69292,1.75 125,1,2024-09-07 08:28:00:855,171984,171984,0,0,80456685463,842385913,170065,1646,273,384,391702,0 125,2,2024-09-07 08:28:01:176,123602,123602,0,0,4961751,0,2180 125,3,2024-09-07 08:28:01:142,1,98,7,1,93,1221,98,0 126,0,2024-09-07 08:28:01:426,24560,0.9,25140,0.9,48310,1.1,64934,1.75 126,1,2024-09-07 08:28:00:570,172764,172764,0,0,80797632650,838619021,171628,1079,57,365,391987,0 126,2,2024-09-07 08:28:00:630,127405,127405,0,0,5229693,0,3186 126,3,2024-09-07 08:28:00:907,1,98,0,0,122,1248,98,0 127,0,2024-09-07 08:28:01:650,20728,0.2,20740,0.4,41553,0.2,55507,1.50 127,1,2024-09-07 08:28:00:577,172650,172650,0,0,80872780907,838219666,171412,1213,25,365,391614,0 127,2,2024-09-07 08:28:00:642,125301,125301,0,0,4472271,0,1803 127,3,2024-09-07 08:28:01:271,1,98,1,0,99,726,98,0 128,0,2024-09-07 08:28:01:607,25999,0.3,25985,0.4,51481,0.2,68554,1.50 128,1,2024-09-07 08:28:01:639,172506,172506,0,0,81086502526,840372479,171765,670,71,367,391680,0 128,2,2024-09-07 08:28:01:425,120507,120507,0,0,5186163,0,2107 128,3,2024-09-07 08:28:00:768,1,98,1,1,247,1310,98,0 129,0,2024-09-07 08:28:01:022,27278,0.4,27217,0.5,54147,0.3,72154,1.75 129,1,2024-09-07 08:28:00:568,171649,171649,0,0,80792048343,848047533,169521,1841,287,379,391835,0 129,2,2024-09-07 08:28:00:699,122383,122383,0,0,4708381,0,2446 129,3,2024-09-07 08:28:00:741,1,98,11,1,173,1398,98,0 130,0,2024-09-07 08:28:01:757,25753,1.3,25624,1.0,51317,1.8,69015,2.00 130,1,2024-09-07 08:28:00:597,172344,172344,0,0,80549958113,840631525,171019,1290,35,381,391825,0 130,2,2024-09-07 08:28:01:144,126609,126609,0,0,5487188,0,4067 130,3,2024-09-07 08:28:01:291,1,98,2,0,207,747,98,0 131,0,2024-09-07 08:28:01:967,20917,0.6,20956,0.7,42270,0.8,56294,1.75 131,1,2024-09-07 08:28:01:832,173291,173291,0,0,80648209628,840734934,172194,910,187,384,391865,0 131,2,2024-09-07 08:28:00:570,126913,126913,0,0,4751300,0,2415 131,3,2024-09-07 08:28:01:691,1,98,3,0,392,1250,98,0 132,0,2024-09-07 08:28:01:482,25927,0.4,26382,0.6,52750,0.3,70464,1.75 132,1,2024-09-07 08:28:00:590,171314,171314,0,0,80371274549,851778943,167903,2654,757,381,391719,0 132,2,2024-09-07 08:28:00:710,120258,120258,0,0,6966429,0,4606 132,3,2024-09-07 08:28:01:693,1,98,14,0,356,1800,98,0 133,0,2024-09-07 08:28:01:580,25443,0.5,25972,0.7,53400,0.5,69283,2.00 133,1,2024-09-07 08:28:00:588,170795,170795,0,0,80869045177,856161606,167942,2679,174,383,391755,0 133,2,2024-09-07 08:28:01:252,122491,122491,0,0,6796389,0,4315 133,3,2024-09-07 08:28:01:301,1,98,29,1,187,912,98,0 134,0,2024-09-07 08:28:00:945,26246,0.8,26109,1.0,52570,0.9,69763,2.00 134,1,2024-09-07 08:28:00:606,171800,171800,0,0,80381008612,848343976,168424,2287,1089,366,391718,0 134,2,2024-09-07 08:28:01:782,124701,124701,0,0,5739713,0,3096 134,3,2024-09-07 08:28:00:752,1,98,1,0,739,2186,98,0 135,0,2024-09-07 08:28:01:117,20875,1.3,20829,1.3,44059,1.4,56999,2.25 135,1,2024-09-07 08:28:01:601,171150,171150,0,0,80541169692,851849851,167854,2596,700,380,391703,0 135,2,2024-09-07 08:28:00:702,127260,127260,0,0,6318900,0,3981 135,3,2024-09-07 08:28:01:003,1,98,1,0,89,696,98,0 136,0,2024-09-07 08:28:01:676,24821,0.4,24646,0.6,49589,0.3,66395,1.75 136,1,2024-09-07 08:28:01:457,172007,172007,0,0,80591287993,846017920,169985,1874,148,384,391621,0 136,2,2024-09-07 08:28:01:152,122482,122482,0,0,5247347,0,3506 136,3,2024-09-07 08:28:01:111,1,98,6,0,108,942,98,0 137,0,2024-09-07 08:28:00:970,25577,0.6,24733,0.7,49071,0.5,66213,2.00 137,1,2024-09-07 08:28:00:597,171978,171978,0,0,81045896547,848497654,169839,2025,114,367,391608,0 137,2,2024-09-07 08:28:01:725,121731,121731,0,0,6590167,0,2632 137,3,2024-09-07 08:28:00:772,1,98,8,1,227,1265,98,0 138,0,2024-09-07 08:28:01:815,25099,2.3,25335,1.5,51437,3.6,68229,2.75 138,1,2024-09-07 08:28:01:723,171645,171645,0,0,80969657900,850943121,168907,2393,345,369,391954,0 138,2,2024-09-07 08:28:00:635,123623,123623,0,0,5685835,0,3263 138,3,2024-09-07 08:28:00:646,1,98,1,0,1160,2080,98,0 139,0,2024-09-07 08:28:01:380,22178,4.1,22267,2.2,45104,5.3,60333,3.50 139,1,2024-09-07 08:28:00:574,171289,171289,0,0,79914466160,850274655,167984,2481,824,381,391892,0 139,2,2024-09-07 08:28:00:692,126129,126129,0,0,6657141,0,3097 139,3,2024-09-07 08:28:01:667,1,98,1,0,244,1490,98,0 140,0,2024-09-07 08:28:01:603,21961,0.2,21851,0.4,43901,0.2,58989,1.50 140,1,2024-09-07 08:28:01:535,172827,172827,0,0,81085559921,837011104,171875,755,197,365,391606,0 140,2,2024-09-07 08:28:00:687,124774,124774,0,0,5183715,0,3388 140,3,2024-09-07 08:28:00:767,1,98,2,0,25,611,98,0 141,0,2024-09-07 08:28:01:730,24889,0.2,25757,0.4,49428,0.1,66567,1.50 141,1,2024-09-07 08:28:00:864,172517,172517,0,0,81223613067,844650540,171027,1159,331,379,391538,0 141,2,2024-09-07 08:28:01:689,121385,121385,0,0,4734894,0,2342 141,3,2024-09-07 08:28:01:044,1,98,14,0,147,738,98,0 142,0,2024-09-07 08:28:01:388,27200,0.3,26892,0.5,53544,0.3,71465,1.75 142,1,2024-09-07 08:28:00:600,172616,172616,0,0,80881570914,840803892,172052,548,16,384,391649,0 142,2,2024-09-07 08:28:01:309,121695,121663,32,0,6252472,0,6028 142,3,2024-09-07 08:28:01:747,1,98,10,0,484,1698,98,0 143,0,2024-09-07 08:28:01:397,24904,1.0,25006,0.8,50383,1.2,66574,2.00 143,1,2024-09-07 08:28:00:571,172274,172274,0,0,81045513821,840898674,171044,1194,36,367,391619,0 143,2,2024-09-07 08:28:00:771,126926,126926,0,0,5577312,0,2669 143,3,2024-09-07 08:28:01:149,1,98,3,0,236,1385,98,0 144,0,2024-09-07 08:28:01:533,20324,1.0,20908,3.3,42556,1.0,56878,2.25 144,1,2024-09-07 08:28:00:572,171826,171826,0,0,80388908143,844482775,170198,1433,195,381,391638,0 144,2,2024-09-07 08:28:01:757,126390,126390,0,0,5041046,0,3473 144,3,2024-09-07 08:28:01:741,1,98,8,1,169,1352,98,0 145,0,2024-09-07 08:28:01:365,25633,0.6,25592,0.9,54177,0.6,70595,2.25 145,1,2024-09-07 08:28:00:556,170696,170696,0,0,79815244825,845847968,167401,2664,631,383,391615,0 145,2,2024-09-07 08:28:01:431,121086,121086,0,0,6058668,0,3903 145,3,2024-09-07 08:28:00:896,1,98,14,0,151,1277,98,0 146,0,2024-09-07 08:28:01:611,26517,0.6,26435,0.8,53260,0.6,70819,2.25 146,1,2024-09-07 08:28:01:597,172232,172232,0,0,80681074360,848184867,169582,2312,338,368,391600,0 146,2,2024-09-07 08:28:01:699,122263,122263,0,0,5523987,0,2379 146,3,2024-09-07 08:28:01:276,1,98,1,0,1520,3378,98,0 147,0,2024-09-07 08:28:01:732,25326,2.4,25247,1.5,50115,3.4,68489,3.00 147,1,2024-09-07 08:28:01:747,172655,172655,0,0,81073869999,842980949,170907,1392,356,368,391791,0 147,2,2024-09-07 08:28:01:013,126372,126372,0,0,5439546,0,2789 147,3,2024-09-07 08:28:00:913,1,98,2,0,141,979,98,0 0,0,2024-09-07 08:28:11:772,24762,0.6,24698,0.7,52218,0.6,68108,1.75 0,1,2024-09-07 08:28:10:809,173972,173972,0,0,81762048331,857843166,172660,1183,129,372,391692,0 0,2,2024-09-07 08:28:11:092,123373,123373,0,0,5489642,0,4480 0,3,2024-09-07 08:28:10:991,1,99,13,0,247,1558,99,0 1,0,2024-09-07 08:28:11:875,26150,2.4,25912,1.6,52285,3.1,69950,2.50 1,1,2024-09-07 08:28:10:564,173568,173568,0,0,81265683898,852748817,171765,1273,530,372,391857,0 1,2,2024-09-07 08:28:10:668,125648,125648,0,0,5266395,0,3267 1,3,2024-09-07 08:28:11:306,1,99,11,0,167,963,99,0 2,0,2024-09-07 08:28:11:593,22350,0.8,22504,1.1,44578,1.2,60076,2.00 2,1,2024-09-07 08:28:10:881,174059,174059,0,0,82002251171,853000178,172954,901,204,381,391558,0 2,2,2024-09-07 08:28:11:281,128010,128010,0,0,5395952,0,3304 2,3,2024-09-07 08:28:10:699,1,99,1,0,214,969,99,0 3,0,2024-09-07 08:28:11:752,23880,0.4,23982,0.5,47927,0.3,63993,1.75 3,1,2024-09-07 08:28:11:625,173120,173120,0,0,81634304352,853050786,170948,1784,388,382,391516,0 3,2,2024-09-07 08:28:11:144,123417,123394,23,0,5576297,0,5851 3,3,2024-09-07 08:28:11:753,1,99,1,0,103,645,99,0 4,0,2024-09-07 08:28:11:835,24060,0.3,24801,0.4,50034,0.2,65602,1.50 4,1,2024-09-07 08:28:10:593,173464,173464,0,0,81442105547,861127018,170977,1969,518,371,391846,0 4,2,2024-09-07 08:28:11:026,122662,122662,0,0,6829473,0,4528 4,3,2024-09-07 08:28:11:028,1,99,16,1,287,1531,99,0 5,0,2024-09-07 08:28:11:452,26321,0.6,26111,0.7,52614,0.8,69481,1.75 5,1,2024-09-07 08:28:10:766,172890,172890,0,0,81204146324,860271408,169574,2385,931,368,392005,0 5,2,2024-09-07 08:28:11:859,124443,124443,0,0,5721330,0,2259 5,3,2024-09-07 08:28:11:762,1,99,27,0,238,1753,99,0 6,0,2024-09-07 08:28:10:925,24619,0.8,24343,1.0,48472,0.9,64727,2.25 6,1,2024-09-07 08:28:10:752,174274,174274,0,0,81277429275,849881454,172743,1456,75,381,391603,0 6,2,2024-09-07 08:28:11:118,128489,128489,0,0,5749031,0,2411 6,3,2024-09-07 08:28:11:283,1,99,1,0,340,1756,99,0 7,0,2024-09-07 08:28:11:548,20904,0.4,20913,0.5,42192,0.3,56311,1.75 7,1,2024-09-07 08:28:10:873,174052,174052,0,0,81266493500,851876486,172314,1673,65,383,391664,0 7,2,2024-09-07 08:28:11:009,125467,125467,0,0,5287564,0,2981 7,3,2024-09-07 08:28:10:851,1,99,2,0,305,1091,99,0 8,0,2024-09-07 08:28:11:431,25869,0.3,26156,0.5,51407,0.3,68705,1.50 8,1,2024-09-07 08:28:11:018,173514,173514,0,0,81447397835,863985202,169909,2352,1253,368,391724,0 8,2,2024-09-07 08:28:10:801,121066,121066,0,0,6410159,0,2986 8,3,2024-09-07 08:28:10:588,1,99,1,0,357,1648,99,0 9,0,2024-09-07 08:28:11:320,27306,0.4,26638,0.6,55605,0.3,72664,1.75 9,1,2024-09-07 08:28:10:572,173654,173654,0,0,80995190831,859758660,170157,2494,1003,370,391738,0 9,2,2024-09-07 08:28:11:106,123559,123559,0,0,5854148,0,3360 9,3,2024-09-07 08:28:11:800,1,99,3,0,496,1716,99,0 10,0,2024-09-07 08:28:11:610,25872,0.6,25873,0.8,51779,0.5,69370,2.00 10,1,2024-09-07 08:28:10:583,173386,173386,0,0,81539741667,860162994,169910,2954,522,381,391741,0 10,2,2024-09-07 08:28:10:767,127538,127538,0,0,6125654,0,2940 10,3,2024-09-07 08:28:10:879,1,99,1,0,136,670,99,0 11,0,2024-09-07 08:28:11:019,20809,0.6,20301,0.9,42637,0.6,57026,2.25 11,1,2024-09-07 08:28:10:572,173241,173241,0,0,80898608581,855469756,169424,2688,1129,384,391537,0 11,2,2024-09-07 08:28:11:136,127249,127249,0,0,5702216,0,2635 11,3,2024-09-07 08:28:11:303,1,99,2,0,720,2428,99,0 12,0,2024-09-07 08:28:11:054,27076,0.3,26910,0.5,54114,0.2,72258,1.50 12,1,2024-09-07 08:28:10:961,173217,173217,0,0,81057685262,850161296,171003,1851,363,371,391837,0 12,2,2024-09-07 08:28:11:560,123267,123267,0,0,5087692,0,2254 12,3,2024-09-07 08:28:11:066,1,99,3,0,358,1810,99,0 13,0,2024-09-07 08:28:11:433,26401,0.4,26510,0.5,52943,0.4,70610,1.75 13,1,2024-09-07 08:28:11:541,174001,174001,0,0,81803975310,859241035,172391,1243,367,384,391717,0 13,2,2024-09-07 08:28:10:596,124713,124713,0,0,4918492,0,3287 13,3,2024-09-07 08:28:11:764,1,99,14,1,416,2016,99,0 14,0,2024-09-07 08:28:10:578,26360,0.6,26571,0.8,52634,0.7,69951,2.00 14,1,2024-09-07 08:28:11:572,174971,174971,0,0,81813547888,847629053,173784,1114,73,364,391546,0 14,2,2024-09-07 08:28:10:777,126277,126277,0,0,5440009,0,2793 14,3,2024-09-07 08:28:11:116,1,99,1,0,906,1384,99,0 15,0,2024-09-07 08:28:11:586,21698,0.6,21514,1.0,43086,0.7,57689,2.00 15,1,2024-09-07 08:28:11:617,173337,173337,0,0,81682782718,849260519,172441,861,35,381,391536,0 15,2,2024-09-07 08:28:10:998,129106,129106,0,0,4422975,0,3043 15,3,2024-09-07 08:28:11:407,1,99,21,0,538,2521,99,0 16,0,2024-09-07 08:28:10:977,24960,0.4,25237,0.6,49951,0.3,66996,2.00 16,1,2024-09-07 08:28:10:566,173811,173811,0,0,81722228049,854614054,172651,1132,28,372,391756,0 16,2,2024-09-07 08:28:11:454,121876,121876,0,0,5714899,0,4719 16,3,2024-09-07 08:28:11:166,1,99,3,0,231,1517,99,0 17,0,2024-09-07 08:28:11:862,25694,0.5,25209,0.6,49398,0.5,66558,1.75 17,1,2024-09-07 08:28:10:578,173564,173564,0,0,80521828359,849838379,171365,1672,527,369,391688,0 17,2,2024-09-07 08:28:11:677,124737,124737,0,0,4898924,0,2857 17,3,2024-09-07 08:28:10:577,1,99,2,0,268,1779,99,0 18,0,2024-09-07 08:28:10:960,25107,1.2,25532,1.1,51384,1.4,67878,2.50 18,1,2024-09-07 08:28:11:641,173943,173943,0,0,81337185019,845336357,172689,1036,218,368,391564,0 18,2,2024-09-07 08:28:11:754,124933,124933,0,0,5626507,0,3541 18,3,2024-09-07 08:28:10:901,1,99,1,0,163,1055,99,0 19,0,2024-09-07 08:28:11:581,22582,1.2,22865,1.1,45209,1.5,59925,3.75 19,1,2024-09-07 08:28:10:575,173941,173941,0,0,82104135086,856783907,171928,1847,166,367,391777,0 19,2,2024-09-07 08:28:11:752,129179,129179,0,0,5244508,0,3988 19,3,2024-09-07 08:28:11:145,1,99,1,0,524,1263,99,0 20,0,2024-09-07 08:28:11:491,22508,0.5,22459,0.6,44840,0.4,59934,2.00 20,1,2024-09-07 08:28:10:590,173680,173680,0,0,81679965084,857740698,171688,1816,176,370,391598,0 20,2,2024-09-07 08:28:10:934,124111,124111,0,0,5462551,0,3721 20,3,2024-09-07 08:28:10:608,1,99,4,0,414,1984,99,0 21,0,2024-09-07 08:28:11:180,25428,0.4,25320,0.5,50558,0.3,66622,1.75 21,1,2024-09-07 08:28:11:563,173100,173100,0,0,80915351038,854295048,170575,2021,504,368,391962,0 21,2,2024-09-07 08:28:11:105,123153,123153,0,0,5748013,0,3747 21,3,2024-09-07 08:28:11:407,1,99,9,0,93,1294,99,0 22,0,2024-09-07 08:28:11:732,26665,1.2,26635,1.1,53217,1.7,70796,2.50 22,1,2024-09-07 08:28:11:027,172906,172906,0,0,80659863961,857404591,168472,3063,1371,382,391667,0 22,2,2024-09-07 08:28:10:764,124172,124172,0,0,5332637,0,3134 22,3,2024-09-07 08:28:11:065,1,99,2,0,228,975,99,0 23,0,2024-09-07 08:28:11:379,24978,0.8,25098,0.9,50325,0.8,67014,2.50 23,1,2024-09-07 08:28:11:011,174096,174096,0,0,82611274140,861371841,171903,1622,571,365,391549,0 23,2,2024-09-07 08:28:11:096,127855,127855,0,0,5336855,0,2078 23,3,2024-09-07 08:28:11:763,1,99,1,0,645,1545,99,0 24,0,2024-09-07 08:28:10:850,21771,0.5,21724,0.7,43622,0.6,58310,1.75 24,1,2024-09-07 08:28:10:582,173284,173284,0,0,81550777492,855788012,171292,1317,675,369,391640,0 24,2,2024-09-07 08:28:11:083,125971,125971,0,0,6830744,0,2942 24,3,2024-09-07 08:28:11:689,1,99,26,0,234,1226,99,0 25,0,2024-09-07 08:28:11:554,27396,0.4,26806,0.7,52461,0.4,71268,1.75 25,1,2024-09-07 08:28:10:571,173425,173425,0,0,81054932589,852094294,171228,1881,316,371,391788,0 25,2,2024-09-07 08:28:11:616,121427,121427,0,0,6041370,0,3284 25,3,2024-09-07 08:28:11:003,1,99,17,0,158,1046,99,0 26,0,2024-09-07 08:28:11:730,26766,0.4,26126,0.6,54759,0.4,71942,1.75 26,1,2024-09-07 08:28:11:564,174126,174126,0,0,81369536254,858816931,170530,2761,835,381,391564,0 26,2,2024-09-07 08:28:10:862,124039,124039,0,0,5904370,0,2809 26,3,2024-09-07 08:28:11:714,1,99,4,0,796,1357,99,0 27,0,2024-09-07 08:28:11:734,25935,0.7,26047,0.8,51654,0.8,69226,2.00 27,1,2024-09-07 08:28:11:690,174383,174383,0,0,81608223266,849010422,173016,1072,295,381,391539,0 27,2,2024-09-07 08:28:10:868,125998,125998,0,0,6890145,0,3409 27,3,2024-09-07 08:28:11:015,1,99,2,0,564,1421,99,0 28,0,2024-09-07 08:28:11:401,21183,0.6,21555,0.8,43073,0.8,57826,2.00 28,1,2024-09-07 08:28:10:808,174255,174255,0,0,82594516863,859555197,173060,995,200,383,391646,0 28,2,2024-09-07 08:28:11:769,127961,127961,0,0,4700672,0,2609 28,3,2024-09-07 08:28:11:779,1,99,3,0,502,1315,99,0 29,0,2024-09-07 08:28:11:372,26445,0.3,25814,0.4,50588,0.2,69163,1.75 29,1,2024-09-07 08:28:11:578,175054,175054,0,0,81451724719,844491349,173530,1049,475,369,391621,0 29,2,2024-09-07 08:28:10:868,122736,122736,0,0,4382959,0,4018 29,3,2024-09-07 08:28:10:983,1,99,2,0,105,790,99,0 30,0,2024-09-07 08:28:11:462,25349,0.9,24714,0.9,51741,1.1,68046,2.50 30,1,2024-09-07 08:28:10:573,174323,174323,0,0,82191200042,854735190,173257,1001,65,382,391584,0 30,2,2024-09-07 08:28:11:283,124675,124675,0,0,4367781,0,3161 30,3,2024-09-07 08:28:10:581,1,99,1,0,195,774,99,0 31,0,2024-09-07 08:28:11:769,25930,1.0,26046,1.0,52527,1.2,69657,2.25 31,1,2024-09-07 08:28:10:564,174997,174997,0,0,82065316853,839877749,174744,251,2,356,391553,0 31,2,2024-09-07 08:28:11:283,125957,125957,0,0,5747441,0,3525 31,3,2024-09-07 08:28:11:706,1,99,2,0,129,744,99,0 32,0,2024-09-07 08:28:11:452,22592,0.9,22730,0.9,45513,0.8,60559,1.75 32,1,2024-09-07 08:28:10:815,174645,174645,0,0,81776899783,849365710,173630,986,29,382,391595,0 32,2,2024-09-07 08:28:10:943,128667,128667,0,0,4949574,0,3155 32,3,2024-09-07 08:28:11:016,1,99,1,0,227,1107,99,0 33,0,2024-09-07 08:28:11:518,24058,0.2,23759,0.4,48182,0.2,64019,1.50 33,1,2024-09-07 08:28:10:576,174819,174819,0,0,81931017030,850784106,173103,1593,123,369,391730,0 33,2,2024-09-07 08:28:10:766,123777,123744,33,0,6205417,0,7012 33,3,2024-09-07 08:28:10:894,1,99,2,0,211,915,99,0 34,0,2024-09-07 08:28:10:949,24815,0.3,25598,0.5,49195,0.2,65640,1.50 34,1,2024-09-07 08:28:11:056,174971,174971,0,0,82263307319,847364445,174332,634,5,367,391517,0 34,2,2024-09-07 08:28:10:775,123118,123118,0,0,5362070,0,3255 34,3,2024-09-07 08:28:11:689,1,99,0,0,148,732,99,0 35,0,2024-09-07 08:28:10:864,26027,0.7,26337,0.7,52303,0.7,69576,1.75 35,1,2024-09-07 08:28:11:066,174195,174195,0,0,81588528456,847617969,172716,1117,362,384,391587,0 35,2,2024-09-07 08:28:11:594,124282,124282,0,0,4959957,0,2542 35,3,2024-09-07 08:28:10:906,1,99,1,0,219,1200,99,0 36,0,2024-09-07 08:28:11:532,24451,1.3,24377,1.2,49348,1.5,64615,2.50 36,1,2024-09-07 08:28:10:582,173649,173649,0,0,81546460836,856314023,170540,2178,931,366,391543,0 36,2,2024-09-07 08:28:11:754,127420,127420,0,0,6413488,0,3303 36,3,2024-09-07 08:28:10:863,1,99,0,0,378,1178,99,0 37,0,2024-09-07 08:28:11:426,21120,0.4,20984,0.5,42180,0.2,56481,1.75 37,1,2024-09-07 08:28:10:574,173344,173337,0,7,80612177364,852634084,169974,1822,1541,365,391518,0 37,2,2024-09-07 08:28:11:175,125716,125716,0,0,4896982,0,2333 37,3,2024-09-07 08:28:11:769,1,99,1,0,724,2106,99,0 38,0,2024-09-07 08:28:11:450,25756,0.4,24952,0.6,52177,0.4,68242,1.75 38,1,2024-09-07 08:28:11:615,174597,174597,0,0,81457500671,854993047,171735,2341,521,368,391821,0 38,2,2024-09-07 08:28:10:770,122824,122824,0,0,5961651,0,3245 38,3,2024-09-07 08:28:11:001,1,99,1,0,603,1479,99,0 39,0,2024-09-07 08:28:11:770,28077,0.8,27406,0.8,53481,1.0,72915,2.00 39,1,2024-09-07 08:28:10:723,173890,173890,0,0,80985816008,849013599,170950,2272,668,365,391524,0 39,2,2024-09-07 08:28:11:439,123833,123833,0,0,5461439,0,2689 39,3,2024-09-07 08:28:10:726,1,99,1,0,276,1515,99,0 40,0,2024-09-07 08:28:11:540,25351,1.4,25873,1.8,51156,2.5,68601,3.25 40,1,2024-09-07 08:28:10:577,173369,173369,0,0,80461089576,848341856,169678,2927,764,369,391591,0 40,2,2024-09-07 08:28:11:308,127551,127550,1,0,6979063,0,5137 40,3,2024-09-07 08:28:11:162,1,99,2,0,110,900,99,0 41,0,2024-09-07 08:28:11:032,20646,2.1,21055,2.5,40394,3.4,55401,4.50 41,1,2024-09-07 08:28:10:856,173688,173688,0,0,81539534306,857499212,170406,2766,516,370,391742,0 41,2,2024-09-07 08:28:10:767,126743,126743,0,0,6612582,0,3356 41,3,2024-09-07 08:28:11:678,1,99,3,0,366,1351,99,0 42,0,2024-09-07 08:28:11:480,26477,0.5,26740,0.8,52981,0.4,70291,2.25 42,1,2024-09-07 08:28:11:442,173234,173234,0,0,81594952864,859590611,170136,2268,830,380,391675,0 42,2,2024-09-07 08:28:11:133,121681,121681,0,0,5820198,0,3568 42,3,2024-09-07 08:28:11:009,1,99,7,0,100,801,99,0 43,0,2024-09-07 08:28:10:940,26349,0.9,25667,1.0,53584,1.0,70453,2.00 43,1,2024-09-07 08:28:10:577,173316,173316,0,0,81613369146,853527181,170887,1677,752,366,391604,0 43,2,2024-09-07 08:28:11:739,124400,124400,0,0,6101353,0,3812 43,3,2024-09-07 08:28:11:751,1,99,1,0,325,1797,99,0 44,0,2024-09-07 08:28:10:887,26495,0.6,26304,0.9,52863,0.7,70564,2.00 44,1,2024-09-07 08:28:10:584,174353,174353,0,0,81515842191,841993315,173291,954,108,356,391569,0 44,2,2024-09-07 08:28:11:272,126313,126313,0,0,4407562,0,1865 44,3,2024-09-07 08:28:11:095,1,99,1,0,817,1462,99,0 45,0,2024-09-07 08:28:11:774,21291,0.6,20892,1.0,43698,0.6,58106,1.75 45,1,2024-09-07 08:28:11:014,174594,174594,0,0,81810064113,847603078,174089,504,1,382,391917,0 45,2,2024-09-07 08:28:11:271,128740,128740,0,0,5330219,0,3596 45,3,2024-09-07 08:28:10:947,1,99,2,0,226,942,99,0 46,0,2024-09-07 08:28:10:966,25187,0.3,25137,0.4,50656,0.2,67099,1.50 46,1,2024-09-07 08:28:10:576,174793,174793,0,0,81719785862,843868129,173906,849,38,367,391514,0 46,2,2024-09-07 08:28:10:593,123453,123453,0,0,4678123,0,2920 46,3,2024-09-07 08:28:11:143,1,99,2,0,363,1678,99,0 47,0,2024-09-07 08:28:11:112,24985,0.3,25145,0.5,50560,0.3,66303,1.75 47,1,2024-09-07 08:28:10:574,174711,174711,0,0,80859698232,834298098,174045,661,5,366,391605,0 47,2,2024-09-07 08:28:10:935,124780,124780,0,0,4876948,0,2558 47,3,2024-09-07 08:28:11:116,1,99,2,0,529,1209,99,0 48,0,2024-09-07 08:28:11:496,26100,0.3,25828,0.5,51548,0.3,68626,1.75 48,1,2024-09-07 08:28:11:027,174232,174232,0,0,81545190564,847076932,173027,1199,6,384,391637,0 48,2,2024-09-07 08:28:10:709,125129,125129,0,0,4207885,0,2083 48,3,2024-09-07 08:28:10:755,1,99,5,0,39,764,99,0 49,0,2024-09-07 08:28:11:726,23526,0.7,22945,0.8,45009,0.8,61428,1.75 49,1,2024-09-07 08:28:11:027,173669,173669,0,0,81536753914,852121250,171903,1055,711,382,391583,0 49,2,2024-09-07 08:28:11:804,128492,128492,0,0,5402914,0,3900 49,3,2024-09-07 08:28:11:433,1,99,11,0,274,980,99,0 50,0,2024-09-07 08:28:11:518,22681,0.2,22289,0.4,44824,0.1,60593,1.50 50,1,2024-09-07 08:28:11:014,174952,174952,0,0,82170236172,853582418,173143,1512,297,368,391530,0 50,2,2024-09-07 08:28:11:073,124517,124517,0,0,4578575,0,2253 50,3,2024-09-07 08:28:11:293,1,99,13,0,335,1031,99,0 51,0,2024-09-07 08:28:11:691,25820,0.2,25285,0.4,49555,0.2,66909,1.50 51,1,2024-09-07 08:28:11:690,174387,174387,0,0,82423126385,855870995,172579,1024,784,367,391637,0 51,2,2024-09-07 08:28:11:317,122588,122588,0,0,3950986,0,2448 51,3,2024-09-07 08:28:11:030,1,99,1,0,162,630,99,0 52,0,2024-09-07 08:28:11:429,26980,0.7,27065,0.8,54161,0.8,71338,2.25 52,1,2024-09-07 08:28:10:576,173519,173519,0,0,81742982204,861507651,170022,2791,706,368,391722,0 52,2,2024-09-07 08:28:11:757,123598,123598,0,0,5951761,0,4779 52,3,2024-09-07 08:28:10:704,1,99,3,0,1782,2618,99,0 53,0,2024-09-07 08:28:11:751,24900,1.5,24096,1.3,50223,1.8,66300,2.75 53,1,2024-09-07 08:28:10:790,173302,173302,0,0,82065160949,862683581,170216,2505,581,370,391617,0 53,2,2024-09-07 08:28:11:307,128445,128445,0,0,5032695,0,1902 53,3,2024-09-07 08:28:10:703,1,99,1,0,133,775,99,0 54,0,2024-09-07 08:28:11:663,20883,2.8,21212,1.4,41562,1.0,57410,4.25 54,1,2024-09-07 08:28:10:583,173564,173564,0,0,82164456732,861664619,170393,2627,544,367,391520,0 54,2,2024-09-07 08:28:10:867,126754,126748,6,0,6108349,0,5382 54,3,2024-09-07 08:28:10:765,1,99,1,0,676,1994,99,0 55,0,2024-09-07 08:28:11:768,25675,0.6,26403,0.8,53539,0.5,69085,2.25 55,1,2024-09-07 08:28:10:765,172839,172839,0,0,81152166620,855733587,168463,3591,785,367,391731,0 55,2,2024-09-07 08:28:10:735,121920,121920,0,0,5631520,0,3275 55,3,2024-09-07 08:28:10:676,1,99,1,0,136,964,99,0 56,0,2024-09-07 08:28:11:563,27631,1.6,26039,1.3,53590,2.2,72063,2.50 56,1,2024-09-07 08:28:10:577,173191,173191,0,0,81914917810,871720258,168986,3355,850,382,391678,0 56,2,2024-09-07 08:28:11:306,124040,124040,0,0,6452887,0,3567 56,3,2024-09-07 08:28:11:066,1,99,1,0,297,1138,99,0 57,0,2024-09-07 08:28:10:988,25288,2.6,25105,1.6,50500,4.1,67849,4.00 57,1,2024-09-07 08:28:10:998,173485,173485,0,0,81875298835,858489284,171420,1897,168,368,391773,0 57,2,2024-09-07 08:28:11:320,127366,127366,0,0,6742484,0,3178 57,3,2024-09-07 08:28:11:752,1,99,6,0,359,1929,99,0 58,0,2024-09-07 08:28:10:582,20542,1.0,20084,1.1,42049,1.0,55617,3.00 58,1,2024-09-07 08:28:10:583,174745,174742,0,3,82189405063,857643084,172535,1980,227,369,391516,3 58,2,2024-09-07 08:28:11:076,127532,127532,0,0,5874262,0,2549 58,3,2024-09-07 08:28:11:075,1,99,2,0,219,972,99,0 59,0,2024-09-07 08:28:11:747,26101,0.5,25752,0.7,51947,0.4,68750,2.25 59,1,2024-09-07 08:28:10:812,173500,173500,0,0,81737306417,859862900,170309,2270,921,369,391515,0 59,2,2024-09-07 08:28:10:587,123064,123064,0,0,5098980,0,2604 59,3,2024-09-07 08:28:11:743,1,99,75,0,1015,2242,99,0 60,0,2024-09-07 08:28:11:718,25738,0.4,25853,0.6,51035,0.4,68249,1.75 60,1,2024-09-07 08:28:11:003,175444,175444,0,0,82157718643,853042027,174347,748,349,370,391761,0 60,2,2024-09-07 08:28:11:146,123678,123678,0,0,5068097,0,3811 60,3,2024-09-07 08:28:11:266,1,99,22,0,124,1052,99,0 61,0,2024-09-07 08:28:11:520,25989,2.1,26174,1.3,52273,3.4,69757,2.25 61,1,2024-09-07 08:28:10:783,173545,173545,0,0,81506546325,857047263,170942,2067,536,382,391589,0 61,2,2024-09-07 08:28:11:126,125975,125975,0,0,4970255,0,1846 61,3,2024-09-07 08:28:11:693,1,99,3,0,199,1369,99,0 62,0,2024-09-07 08:28:11:723,22633,0.7,23162,1.0,44346,0.9,60640,2.00 62,1,2024-09-07 08:28:11:121,174851,174845,0,6,82152404275,848845837,173590,1217,38,365,391586,6 62,2,2024-09-07 08:28:11:649,127775,127774,1,0,6055425,0,5555 62,3,2024-09-07 08:28:11:160,1,99,1,0,287,743,99,0 63,0,2024-09-07 08:28:11:473,23883,0.3,23964,0.5,47890,0.2,63937,1.75 63,1,2024-09-07 08:28:10:809,175025,175019,0,6,82118937241,848944902,174506,510,3,381,391540,6 63,2,2024-09-07 08:28:10:763,123110,123110,0,0,4681820,0,2674 63,3,2024-09-07 08:28:11:732,1,99,3,0,667,1951,99,0 64,0,2024-09-07 08:28:11:590,24692,0.4,24528,0.6,49266,0.4,65604,1.75 64,1,2024-09-07 08:28:10:763,174137,174137,0,0,81617198334,856561470,171567,1775,795,371,391783,0 64,2,2024-09-07 08:28:11:172,124037,124018,19,0,5448835,0,6121 64,3,2024-09-07 08:28:11:168,1,99,0,0,265,1114,99,0 65,0,2024-09-07 08:28:11:713,25542,1.7,25912,1.2,51805,2.1,68824,3.00 65,1,2024-09-07 08:28:10:861,173641,173641,0,0,81585598566,854724072,171813,1608,220,382,391770,0 65,2,2024-09-07 08:28:11:696,125207,125207,0,0,5671095,0,3367 65,3,2024-09-07 08:28:11:694,1,99,8,0,163,1071,99,0 66,0,2024-09-07 08:28:11:773,23991,0.5,24166,0.8,48526,0.5,64042,2.25 66,1,2024-09-07 08:28:11:303,174975,174975,0,0,81446031854,844466235,174270,683,22,380,391588,0 66,2,2024-09-07 08:28:11:137,129664,129664,0,0,5121435,0,3867 66,3,2024-09-07 08:28:11:081,1,99,1,0,291,1251,99,0 67,0,2024-09-07 08:28:11:451,21388,0.4,21341,0.6,42204,0.3,56563,2.00 67,1,2024-09-07 08:28:10:775,173784,173783,0,1,81906518253,857569220,171717,1541,525,382,391787,1 67,2,2024-09-07 08:28:10:586,126615,126615,0,0,4649593,0,2889 67,3,2024-09-07 08:28:11:751,1,99,1,0,138,844,99,0 68,0,2024-09-07 08:28:10:584,26017,0.5,25920,0.7,51702,0.5,68574,2.00 68,1,2024-09-07 08:28:10:572,173433,173433,0,0,81557762404,856390977,171738,1173,522,381,391953,0 68,2,2024-09-07 08:28:11:047,122113,122113,0,0,5749188,0,4883 68,3,2024-09-07 08:28:10:731,1,99,1,0,151,1036,99,0 69,0,2024-09-07 08:28:11:770,27216,1.2,27397,1.0,54556,1.6,72702,2.50 69,1,2024-09-07 08:28:11:147,172987,172987,0,0,81450146343,864450049,170227,1682,1078,384,391611,0 69,2,2024-09-07 08:28:11:759,123822,123822,0,0,6491126,0,3701 69,3,2024-09-07 08:28:10:776,1,99,12,0,238,1369,99,0 70,0,2024-09-07 08:28:11:549,25518,2.7,25602,1.9,51418,1.4,68545,4.00 70,1,2024-09-07 08:28:10:804,174254,174254,0,0,81956766673,853100549,172388,1407,459,368,391725,0 70,2,2024-09-07 08:28:11:325,126904,126904,0,0,6269866,0,4044 70,3,2024-09-07 08:28:10:746,1,99,1,0,178,561,99,0 71,0,2024-09-07 08:28:11:369,20585,2.3,20714,2.2,41104,3.5,55999,3.75 71,1,2024-09-07 08:28:11:614,174032,174032,0,0,82217058936,860584737,171489,2210,333,368,391682,0 71,2,2024-09-07 08:28:11:066,127645,127645,0,0,5550647,0,2146 71,3,2024-09-07 08:28:11:757,1,99,9,0,279,1412,99,0 72,0,2024-09-07 08:28:11:032,27632,0.4,27003,0.6,52869,0.3,72347,2.25 72,1,2024-09-07 08:28:11:028,173804,173804,0,0,81482888546,853076804,171338,2001,465,370,391556,0 72,2,2024-09-07 08:28:11:781,121310,121310,0,0,6443980,0,2570 72,3,2024-09-07 08:28:11:773,1,99,4,0,325,1460,99,0 73,0,2024-09-07 08:28:11:158,25777,0.4,26440,0.6,54084,0.4,70268,2.25 73,1,2024-09-07 08:28:10:769,173902,173902,0,0,81721515906,849655989,172794,1062,46,368,391627,0 73,2,2024-09-07 08:28:11:744,124824,124824,0,0,6278704,0,3482 73,3,2024-09-07 08:28:10:977,1,99,9,0,274,1506,99,0 74,0,2024-09-07 08:28:11:329,26499,1.0,26903,1.1,51475,1.7,70027,3.00 74,1,2024-09-07 08:28:10:643,173702,173702,0,0,81330437052,851268744,171524,1573,605,382,391511,0 74,2,2024-09-07 08:28:11:015,125882,125882,0,0,6714752,0,4253 74,3,2024-09-07 08:28:11:441,1,99,1,0,246,1536,99,0 75,0,2024-09-07 08:28:11:778,21701,1.4,21732,1.3,43253,1.4,58212,2.75 75,1,2024-09-07 08:28:11:586,173633,173633,0,0,81918749922,859193384,171347,1923,363,381,391579,0 75,2,2024-09-07 08:28:11:354,127935,127935,0,0,6185234,0,4766 75,3,2024-09-07 08:28:11:069,1,99,4,0,535,1368,99,0 76,0,2024-09-07 08:28:10:616,25320,0.3,24960,0.5,49814,0.2,66763,1.75 76,1,2024-09-07 08:28:10:807,173716,173716,0,0,80964446617,847765477,171899,1310,507,382,391530,0 76,2,2024-09-07 08:28:11:075,123623,123623,0,0,4768315,0,3064 76,3,2024-09-07 08:28:11:152,1,99,3,0,175,1105,99,0 77,0,2024-09-07 08:28:11:713,25031,0.6,25243,0.7,50479,0.6,66228,1.75 77,1,2024-09-07 08:28:10:826,174135,174135,0,0,81568047501,849971681,173077,989,69,383,391555,0 77,2,2024-09-07 08:28:11:283,124155,124155,0,0,4287830,0,1695 77,3,2024-09-07 08:28:11:096,1,99,5,0,139,998,99,0 78,0,2024-09-07 08:28:11:728,25826,0.8,25807,0.9,51578,0.8,68282,2.25 78,1,2024-09-07 08:28:10:613,174673,174673,0,0,81747030906,850564885,173138,1330,205,367,391589,0 78,2,2024-09-07 08:28:11:409,125614,125614,0,0,4513466,0,2114 78,3,2024-09-07 08:28:11:145,1,99,1,0,181,1341,99,0 79,0,2024-09-07 08:28:11:356,22130,0.6,22654,0.9,46362,0.6,60277,2.50 79,1,2024-09-07 08:28:10:581,174652,174652,0,0,81925628955,851158838,172864,1617,171,370,391682,0 79,2,2024-09-07 08:28:11:075,128972,128972,0,0,4957382,0,2679 79,3,2024-09-07 08:28:10:749,1,99,1,0,289,1027,99,0 80,0,2024-09-07 08:28:11:122,22250,0.5,23082,0.7,44322,0.5,60263,2.00 80,1,2024-09-07 08:28:11:638,173743,173743,0,0,81032489841,844160535,171991,1635,117,368,391673,0 80,2,2024-09-07 08:28:11:092,125070,125070,0,0,5075062,0,4433 80,3,2024-09-07 08:28:10:586,1,99,6,1,190,1550,99,0 81,0,2024-09-07 08:28:11:553,25299,0.5,25991,0.6,49812,0.5,66883,1.75 81,1,2024-09-07 08:28:11:667,173861,173861,0,0,81260117964,851556567,171935,1728,198,382,391680,0 81,2,2024-09-07 08:28:11:134,122175,122175,0,0,5436086,0,3993 81,3,2024-09-07 08:28:11:133,1,99,1,0,193,982,99,0 82,0,2024-09-07 08:28:11:545,26775,0.7,26745,0.9,53801,0.8,71413,2.25 82,1,2024-09-07 08:28:10:585,173804,173800,0,4,81356530216,851215378,171618,1608,574,382,391558,4 82,2,2024-09-07 08:28:11:692,125067,125067,0,0,4541799,0,2230 82,3,2024-09-07 08:28:11:752,1,99,1,0,227,1551,99,0 83,0,2024-09-07 08:28:11:537,25108,1.3,24925,1.1,49553,1.5,66198,2.50 83,1,2024-09-07 08:28:10:555,173875,173875,0,0,82010852038,856739254,172378,1396,101,383,391553,0 83,2,2024-09-07 08:28:10:775,127130,127130,0,0,5484228,0,3119 83,3,2024-09-07 08:28:10:749,1,99,0,0,127,852,99,0 84,0,2024-09-07 08:28:11:783,21361,1.4,21090,1.5,42351,1.0,57805,2.75 84,1,2024-09-07 08:28:11:043,173349,173349,0,0,81467984720,856352165,170951,2015,383,369,391638,0 84,2,2024-09-07 08:28:10:578,126651,126651,0,0,5730496,0,3801 84,3,2024-09-07 08:28:11:150,1,99,1,1,58,920,99,0 85,0,2024-09-07 08:28:11:054,25622,0.6,25566,0.8,54269,0.5,70321,2.25 85,1,2024-09-07 08:28:10:560,172926,172926,0,0,81117021004,860968829,169466,2987,473,383,391679,0 85,2,2024-09-07 08:28:10:880,121932,121932,0,0,6143964,0,3656 85,3,2024-09-07 08:28:10:697,1,99,1,0,115,1006,99,0 86,0,2024-09-07 08:28:10:915,26835,0.7,27664,0.8,53271,0.8,71971,2.00 86,1,2024-09-07 08:28:10:825,173533,173533,0,0,82196862227,862152273,171051,2205,277,367,391961,0 86,2,2024-09-07 08:28:10:880,123393,123392,1,0,6792711,0,5004 86,3,2024-09-07 08:28:10:589,1,99,8,0,199,1296,99,0 87,0,2024-09-07 08:28:11:297,25730,2.5,25598,1.5,51408,3.8,69078,2.75 87,1,2024-09-07 08:28:10:563,173533,173533,0,0,80803228579,849565808,171251,2143,139,366,391788,0 87,2,2024-09-07 08:28:11:069,126744,126744,0,0,5679132,0,3515 87,3,2024-09-07 08:28:11:802,1,99,1,1,335,1703,99,0 88,0,2024-09-07 08:28:11:473,21262,0.7,21616,0.8,42897,0.8,57871,2.00 88,1,2024-09-07 08:28:10:575,173174,173174,0,0,81755125775,859562917,170870,1595,709,367,391787,0 88,2,2024-09-07 08:28:10:697,128028,128028,0,0,6548510,0,3583 88,3,2024-09-07 08:28:11:281,1,99,1,1,77,1119,99,0 89,0,2024-09-07 08:28:11:805,26609,0.3,25863,0.5,51308,0.3,69400,1.50 89,1,2024-09-07 08:28:10:559,172943,172943,0,0,81703374974,868967417,169053,3085,805,382,391866,0 89,2,2024-09-07 08:28:11:147,122273,122273,0,0,5794364,0,2726 89,3,2024-09-07 08:28:11:803,1,99,7,0,325,2133,99,0 90,0,2024-09-07 08:28:11:628,24790,0.5,25308,0.6,52021,0.4,68144,1.75 90,1,2024-09-07 08:28:10:590,173939,173939,0,0,81706537541,861491745,171526,2262,151,382,391825,0 90,2,2024-09-07 08:28:11:432,122769,122769,0,0,6729895,0,2635 90,3,2024-09-07 08:28:10:933,1,99,15,1,200,1090,99,0 91,0,2024-09-07 08:28:10:992,26542,0.6,25680,0.7,53302,0.6,70169,1.75 91,1,2024-09-07 08:28:10:564,173534,173534,0,0,81914444982,865067611,170454,2588,492,383,391914,0 91,2,2024-09-07 08:28:11:340,124875,124875,0,0,6192023,0,1997 91,3,2024-09-07 08:28:10:610,1,99,6,0,155,1364,99,0 92,0,2024-09-07 08:28:11:448,22884,0.7,23338,0.9,44608,0.8,60822,1.75 92,1,2024-09-07 08:28:10:584,174268,174268,0,0,81549646931,849102101,173596,602,70,382,391717,0 92,2,2024-09-07 08:28:11:354,130199,130199,0,0,5305275,0,2279 92,3,2024-09-07 08:28:11:009,1,99,1,0,68,969,99,0 93,0,2024-09-07 08:28:10:982,24077,0.3,24558,0.5,46904,0.2,64090,1.50 93,1,2024-09-07 08:28:10:817,174278,174278,0,0,81746470435,854736510,172421,1420,437,366,391689,0 93,2,2024-09-07 08:28:10:945,123667,123667,0,0,4685402,0,2509 93,3,2024-09-07 08:28:11:433,1,99,0,0,143,959,99,0 94,0,2024-09-07 08:28:11:609,24927,0.3,25079,0.5,49626,0.3,65498,1.50 94,1,2024-09-07 08:28:10:571,173740,173740,0,0,81710119900,856968015,171858,1803,79,381,391850,0 94,2,2024-09-07 08:28:10:769,123050,123050,0,0,4775738,0,2443 94,3,2024-09-07 08:28:11:692,1,99,2,0,231,1529,99,0 95,0,2024-09-07 08:28:11:351,26278,0.4,26157,0.6,52708,0.4,69660,1.75 95,1,2024-09-07 08:28:10:851,174339,174339,0,0,81325221554,844560993,173450,825,64,367,391590,0 95,2,2024-09-07 08:28:11:027,125071,125071,0,0,5384778,0,3308 95,3,2024-09-07 08:28:11:714,1,99,1,0,307,1679,99,0 96,0,2024-09-07 08:28:11:066,24586,0.6,24580,0.7,49013,0.6,64457,1.75 96,1,2024-09-07 08:28:11:593,174176,174176,0,0,81146161027,851485660,172279,1326,571,385,391596,0 96,2,2024-09-07 08:28:11:271,128527,128527,0,0,5631941,0,4038 96,3,2024-09-07 08:28:11:141,1,99,1,0,188,1088,99,0 97,0,2024-09-07 08:28:11:324,21013,0.3,21120,0.5,42375,0.3,56404,1.75 97,1,2024-09-07 08:28:10:770,174238,174238,0,0,81592273118,851916804,172033,1686,519,367,392140,0 97,2,2024-09-07 08:28:10:613,125446,125446,0,0,4905071,0,3036 97,3,2024-09-07 08:28:10:573,1,99,19,1,165,1224,99,0 98,0,2024-09-07 08:28:11:720,25938,0.2,25704,0.4,52046,0.2,68714,1.50 98,1,2024-09-07 08:28:10:580,173988,173988,0,0,81372677668,849185767,172773,1157,58,382,391997,0 98,2,2024-09-07 08:28:10:786,123670,123670,0,0,5132333,0,3080 98,3,2024-09-07 08:28:10:705,1,99,1,1,840,2214,99,0 99,0,2024-09-07 08:28:11:463,27366,0.3,27366,0.5,54672,0.3,72804,1.75 99,1,2024-09-07 08:28:11:733,174651,174651,0,0,81207638793,847541478,173146,1059,446,381,391744,0 99,2,2024-09-07 08:28:11:426,125372,125372,0,0,5348987,0,1858 99,3,2024-09-07 08:28:10:581,1,99,1,0,129,799,99,0 100,0,2024-09-07 08:28:11:476,25792,1.4,25728,1.9,51365,4.3,69400,2.50 100,1,2024-09-07 08:28:10:555,172890,172890,0,0,81611102855,868613599,169260,3143,487,381,391989,0 100,2,2024-09-07 08:28:11:820,127250,127239,11,0,6804652,0,5417 100,3,2024-09-07 08:28:11:737,1,99,1,0,443,2166,99,0 101,0,2024-09-07 08:28:11:705,21358,3.9,20703,2.0,40920,3.9,56862,3.50 101,1,2024-09-07 08:28:10:556,172867,172867,0,0,81352760125,862429656,169660,1968,1239,368,391709,0 101,2,2024-09-07 08:28:11:760,126898,126898,0,0,6634295,0,4644 101,3,2024-09-07 08:28:10:948,1,99,3,0,448,1228,99,0 102,0,2024-09-07 08:28:10:977,26064,0.5,27085,0.7,54453,0.4,71984,2.00 102,1,2024-09-07 08:28:11:161,173177,173177,0,0,80953460614,855021253,170434,2227,516,369,391831,0 102,2,2024-09-07 08:28:11:745,122683,122683,0,0,4998051,0,2144 102,3,2024-09-07 08:28:11:616,1,99,0,0,410,1138,99,0 103,0,2024-09-07 08:28:11:816,27159,0.5,27075,0.7,51380,0.5,70787,2.00 103,1,2024-09-07 08:28:11:654,172998,172998,0,0,81786733847,863220425,170285,2001,712,381,391680,0 103,2,2024-09-07 08:28:10:586,123961,123961,0,0,5680246,0,2104 103,3,2024-09-07 08:28:10:767,1,99,14,0,486,1570,99,0 104,0,2024-09-07 08:28:11:011,26295,1.4,26212,1.2,51544,1.6,70281,2.50 104,1,2024-09-07 08:28:11:598,174292,174292,0,0,80950564508,851738763,171985,1928,379,366,391948,0 104,2,2024-09-07 08:28:11:668,125535,125535,0,0,6148719,0,3941 104,3,2024-09-07 08:28:11:429,1,99,1,1,1245,4009,99,0 105,0,2024-09-07 08:28:11:051,21442,0.8,20747,1.3,43149,1.0,57810,3.00 105,1,2024-09-07 08:28:10:563,173705,173705,0,0,81832035982,862829228,170351,2594,760,367,391797,0 105,2,2024-09-07 08:28:11:329,127843,127843,0,0,5845397,0,3314 105,3,2024-09-07 08:28:11:307,1,99,1,1,399,1790,99,0 106,0,2024-09-07 08:28:10:956,24367,0.4,25059,0.6,50750,0.3,66790,1.75 106,1,2024-09-07 08:28:11:756,173890,173890,0,0,80738568773,849657663,171223,2339,328,369,391767,0 106,2,2024-09-07 08:28:10:757,122275,122275,0,0,5488984,0,2795 106,3,2024-09-07 08:28:10:679,1,99,2,1,201,1000,99,0 107,0,2024-09-07 08:28:11:165,25206,0.5,25056,0.6,49761,0.4,66509,1.75 107,1,2024-09-07 08:28:10:586,173357,173357,0,0,81765208568,861616563,171242,1925,190,381,392234,0 107,2,2024-09-07 08:28:11:303,123074,123073,1,0,5770286,0,5024 107,3,2024-09-07 08:28:11:758,1,99,1,0,353,1473,99,0 108,0,2024-09-07 08:28:11:817,25955,0.5,26175,0.7,51690,0.5,68619,1.75 108,1,2024-09-07 08:28:11:399,173873,173873,0,0,81806459072,854777493,172307,1430,136,371,391857,0 108,2,2024-09-07 08:28:11:795,124826,124826,0,0,5439950,0,2647 108,3,2024-09-07 08:28:11:340,1,99,16,0,272,1499,99,0 109,0,2024-09-07 08:28:11:931,22994,0.6,23138,0.8,45869,0.7,61435,1.75 109,1,2024-09-07 08:28:10:584,173627,173627,0,0,82368489228,867053744,171594,1574,459,383,391812,0 109,2,2024-09-07 08:28:10:931,127796,127796,0,0,5746371,0,3617 109,3,2024-09-07 08:28:11:170,1,99,10,1,249,1563,99,0 110,0,2024-09-07 08:28:11:806,22546,0.2,21883,0.4,45579,0.2,60259,1.50 110,1,2024-09-07 08:28:11:660,174640,174640,0,0,82173772047,853705951,173308,1042,290,370,391667,0 110,2,2024-09-07 08:28:11:304,124697,124697,0,0,4721116,0,2915 110,3,2024-09-07 08:28:10:708,1,99,3,0,183,1044,99,0 111,0,2024-09-07 08:28:11:430,25386,0.2,24987,0.4,50079,0.1,66894,1.50 111,1,2024-09-07 08:28:11:078,174646,174646,0,0,81887783261,846891752,173998,634,14,382,391690,0 111,2,2024-09-07 08:28:11:123,122845,122845,0,0,5215815,0,2763 111,3,2024-09-07 08:28:10:932,1,99,0,0,300,1663,99,0 112,0,2024-09-07 08:28:10:934,27122,0.4,27078,0.5,54021,0.3,71729,1.75 112,1,2024-09-07 08:28:10:825,174063,174063,0,0,82053157338,855272535,172449,1268,346,381,391580,0 112,2,2024-09-07 08:28:11:144,124397,124396,1,0,5750452,0,5036 112,3,2024-09-07 08:28:10:598,1,99,1,0,282,1091,99,0 113,0,2024-09-07 08:28:10:896,25043,0.5,24894,0.6,50576,0.4,67265,1.75 113,1,2024-09-07 08:28:11:693,174218,174218,0,0,81791997981,848438836,172322,1512,384,368,391661,0 113,2,2024-09-07 08:28:11:308,128920,128920,0,0,4654819,0,3021 113,3,2024-09-07 08:28:10:690,1,99,4,0,288,1572,99,0 114,0,2024-09-07 08:28:10:890,21640,2.0,21967,1.0,42997,0.6,58449,2.00 114,1,2024-09-07 08:28:10:728,174315,174315,0,0,81916046797,858662857,171687,1747,881,381,391531,0 114,2,2024-09-07 08:28:10:880,126649,126649,0,0,5274093,0,3925 114,3,2024-09-07 08:28:11:280,1,99,1,0,395,1700,99,0 115,0,2024-09-07 08:28:10:568,26530,0.3,26815,0.4,53859,0.2,71001,1.50 115,1,2024-09-07 08:28:10:576,174384,174384,0,0,82405805514,860731180,171998,1899,487,382,391528,0 115,2,2024-09-07 08:28:11:140,122800,122800,0,0,4406574,0,2152 115,3,2024-09-07 08:28:11:002,1,99,1,0,159,599,99,0 116,0,2024-09-07 08:28:11:706,27130,0.8,26658,0.9,53873,1.0,72016,2.00 116,1,2024-09-07 08:28:10:805,172936,172936,0,0,81167761999,864513348,169617,1933,1386,382,391619,0 116,2,2024-09-07 08:28:11:751,123278,123278,0,0,6512440,0,3529 116,3,2024-09-07 08:28:10:934,1,99,1,1,252,1543,99,0 117,0,2024-09-07 08:28:10:968,25744,1.9,25797,1.3,51232,3.1,69147,2.25 117,1,2024-09-07 08:28:11:600,173825,173825,0,0,81438852493,859283581,170841,2588,396,370,392033,0 117,2,2024-09-07 08:28:11:133,127950,127950,0,0,5684261,0,3700 117,3,2024-09-07 08:28:11:066,1,99,2,0,490,2183,99,0 118,0,2024-09-07 08:28:11:810,20795,0.9,21336,0.9,43569,1.0,57607,2.25 118,1,2024-09-07 08:28:10:602,173558,173558,0,0,80487678812,847539806,171332,1991,235,368,391736,0 118,2,2024-09-07 08:28:11:586,127963,127963,0,0,6087464,0,2781 118,3,2024-09-07 08:28:11:779,1,99,2,1,235,1401,99,0 119,0,2024-09-07 08:28:11:350,25617,0.4,25522,0.6,52082,0.3,68745,2.00 119,1,2024-09-07 08:28:10:548,174023,174023,0,0,81909362693,858397088,171977,1765,281,370,391641,0 119,2,2024-09-07 08:28:11:286,121858,121858,0,0,5285812,0,2532 119,3,2024-09-07 08:28:11:329,1,99,3,0,443,2406,99,0 120,0,2024-09-07 08:28:11:562,25372,0.5,25415,0.8,50583,0.5,68021,2.00 120,1,2024-09-07 08:28:10:880,173862,173862,0,0,81803804118,859571372,171948,1874,40,368,391702,0 120,2,2024-09-07 08:28:10:794,123284,123283,1,0,6845480,0,5281 120,3,2024-09-07 08:28:11:296,1,99,7,0,241,1530,99,0 121,0,2024-09-07 08:28:11:738,25962,2.1,26262,1.4,52324,3.4,70102,2.25 121,1,2024-09-07 08:28:11:668,173645,173645,0,0,81382553450,853337201,171544,1829,272,367,391840,0 121,2,2024-09-07 08:28:11:140,124647,124647,0,0,7117993,0,4127 121,3,2024-09-07 08:28:10:745,1,99,15,0,159,1202,99,0 122,0,2024-09-07 08:28:11:841,22532,1.9,21769,1.6,45458,2.3,60807,2.25 122,1,2024-09-07 08:28:10:866,173083,173083,0,0,81656605884,862892151,169677,2766,640,367,392130,0 122,2,2024-09-07 08:28:11:321,128789,128789,0,0,6886639,0,3364 122,3,2024-09-07 08:28:10:617,1,99,1,0,298,1950,99,0 123,0,2024-09-07 08:28:11:022,23831,0.6,23164,0.7,48361,0.7,63714,2.00 123,1,2024-09-07 08:28:10:571,174243,174243,0,0,81391036828,861559587,170543,3127,573,369,391823,0 123,2,2024-09-07 08:28:11:030,122865,122864,1,0,5667615,0,5215 123,3,2024-09-07 08:28:11:160,1,99,6,1,168,1487,99,0 124,0,2024-09-07 08:28:10:985,25538,0.3,25510,0.4,48123,0.2,65843,1.50 124,1,2024-09-07 08:28:11:036,174149,174149,0,0,81394002409,843343751,173336,668,145,367,392178,0 124,2,2024-09-07 08:28:11:014,123376,123376,0,0,4907835,0,3101 124,3,2024-09-07 08:28:10:765,1,99,1,1,490,1718,99,0 125,0,2024-09-07 08:28:11:460,26423,0.5,26327,0.6,52658,0.6,69645,1.75 125,1,2024-09-07 08:28:10:866,173709,173709,0,0,81269005318,850733624,171790,1646,273,384,391702,0 125,2,2024-09-07 08:28:11:117,125199,125199,0,0,5027642,0,2180 125,3,2024-09-07 08:28:11:133,1,99,6,1,93,1227,99,0 126,0,2024-09-07 08:28:11:456,24576,0.9,25150,0.9,48335,1.1,64934,1.75 126,1,2024-09-07 08:28:10:579,174540,174540,0,0,81669366237,847503798,173404,1079,57,365,391987,0 126,2,2024-09-07 08:28:10:613,128845,128845,0,0,5277880,0,3186 126,3,2024-09-07 08:28:10:911,1,99,10,0,122,1258,99,0 127,0,2024-09-07 08:28:11:628,21077,0.2,21122,0.4,42246,0.2,56445,1.50 127,1,2024-09-07 08:28:10:574,174383,174383,0,0,81801172571,847671316,173143,1215,25,365,391614,0 127,2,2024-09-07 08:28:10:637,126128,126128,0,0,4520330,0,1803 127,3,2024-09-07 08:28:11:281,1,99,5,0,99,731,99,0 128,0,2024-09-07 08:28:11:546,26088,0.3,26082,0.4,51658,0.2,68796,1.50 128,1,2024-09-07 08:28:11:655,174307,174307,0,0,81957945266,849227084,173566,670,71,367,391680,0 128,2,2024-09-07 08:28:11:391,122097,122097,0,0,5201355,0,2107 128,3,2024-09-07 08:28:10:786,1,99,9,1,247,1319,99,0 129,0,2024-09-07 08:28:11:009,27501,0.4,27459,0.5,54630,0.3,72774,1.75 129,1,2024-09-07 08:28:10:573,173490,173490,0,0,81831101713,858630175,171362,1841,287,379,391835,0 129,2,2024-09-07 08:28:10:709,123691,123691,0,0,4722008,0,2446 129,3,2024-09-07 08:28:10:703,1,99,8,1,173,1406,99,0 130,0,2024-09-07 08:28:11:777,26124,1.2,25948,1.0,51981,1.8,69954,2.00 130,1,2024-09-07 08:28:10:590,174101,174101,0,0,81451165968,849815631,172776,1290,35,381,391825,0 130,2,2024-09-07 08:28:11:139,127672,127672,0,0,5496857,0,4067 130,3,2024-09-07 08:28:11:297,1,99,13,0,207,760,99,0 131,0,2024-09-07 08:28:11:934,21203,0.6,21243,0.7,42797,0.8,56988,1.75 131,1,2024-09-07 08:28:11:833,175080,175080,0,0,81408172642,848513337,173983,910,187,384,391865,0 131,2,2024-09-07 08:28:10:574,128140,128140,0,0,4762141,0,2415 131,3,2024-09-07 08:28:11:688,1,99,3,0,392,1253,99,0 132,0,2024-09-07 08:28:11:450,26520,0.4,26926,0.7,53895,0.3,72289,1.75 132,1,2024-09-07 08:28:10:584,173102,173102,0,0,81216150730,860594155,169690,2655,757,381,391719,0 132,2,2024-09-07 08:28:10:704,121737,121737,0,0,7004748,0,4606 132,3,2024-09-07 08:28:11:723,1,99,0,0,356,1800,99,0 133,0,2024-09-07 08:28:11:717,25761,0.5,26281,0.7,54020,0.5,70133,2.00 133,1,2024-09-07 08:28:10:609,172559,172559,0,0,81806843013,865801858,169706,2679,174,383,391755,0 133,2,2024-09-07 08:28:11:161,123698,123698,0,0,6831891,0,4315 133,3,2024-09-07 08:28:11:305,1,99,14,1,187,926,99,0 134,0,2024-09-07 08:28:11:021,26384,0.8,26269,1.0,52847,0.9,70178,2.00 134,1,2024-09-07 08:28:10:586,173585,173585,0,0,81289152696,857590260,170209,2287,1089,366,391718,0 134,2,2024-09-07 08:28:11:759,125923,125923,0,0,5791689,0,3096 134,3,2024-09-07 08:28:10:750,1,99,1,0,739,2187,99,0 135,0,2024-09-07 08:28:11:138,21118,1.3,21075,1.3,44534,1.4,57635,2.25 135,1,2024-09-07 08:28:11:591,173027,173027,0,0,81600113760,862778443,169731,2596,700,380,391703,0 135,2,2024-09-07 08:28:10:691,128629,128629,0,0,6353749,0,3981 135,3,2024-09-07 08:28:11:015,1,99,10,0,89,706,99,0 136,0,2024-09-07 08:28:11:646,25184,0.4,25039,0.6,50373,0.3,67388,1.75 136,1,2024-09-07 08:28:11:441,173422,173422,0,0,81321128596,853544049,171400,1874,148,384,391621,0 136,2,2024-09-07 08:28:11:147,123603,123603,0,0,5309826,0,3506 136,3,2024-09-07 08:28:11:106,1,99,1,0,108,943,99,0 137,0,2024-09-07 08:28:10:928,25746,0.6,24892,0.7,49406,0.5,66656,2.00 137,1,2024-09-07 08:28:10:575,173737,173737,0,0,81769415079,856235597,171596,2027,114,367,391608,0 137,2,2024-09-07 08:28:11:739,123081,123081,0,0,6663228,0,2632 137,3,2024-09-07 08:28:10:779,1,99,1,1,227,1266,99,0 138,0,2024-09-07 08:28:11:804,25184,2.3,25423,1.5,51646,3.6,68474,2.75 138,1,2024-09-07 08:28:11:691,173461,173461,0,0,81744359303,859062589,170723,2393,345,369,391954,0 138,2,2024-09-07 08:28:10:590,124990,124990,0,0,5752249,0,3263 138,3,2024-09-07 08:28:10:611,1,99,6,0,1160,2086,99,0 139,0,2024-09-07 08:28:11:403,22290,4.1,22393,2.2,45318,5.3,60684,3.50 139,1,2024-09-07 08:28:10:581,173155,173155,0,0,80862170548,860140110,169847,2484,824,381,391892,0 139,2,2024-09-07 08:28:10:708,127687,127687,0,0,6750563,0,3097 139,3,2024-09-07 08:28:11:670,1,99,2,0,244,1492,99,0 140,0,2024-09-07 08:28:11:635,22456,0.3,22349,0.4,44890,0.2,60350,1.50 140,1,2024-09-07 08:28:11:557,174681,174681,0,0,81845666214,844731253,173729,755,197,365,391606,0 140,2,2024-09-07 08:28:10:704,125449,125449,0,0,5248871,0,3388 140,3,2024-09-07 08:28:10:767,1,99,1,0,25,612,99,0 141,0,2024-09-07 08:28:11:705,25004,0.2,25870,0.4,49644,0.1,66873,1.50 141,1,2024-09-07 08:28:10:896,174417,174417,0,0,81990983092,852611610,172927,1159,331,379,391538,0 141,2,2024-09-07 08:28:11:692,122810,122810,0,0,4756258,0,2342 141,3,2024-09-07 08:28:11:069,1,99,1,0,147,739,99,0 142,0,2024-09-07 08:28:11:398,27317,0.3,26994,0.5,53739,0.3,71739,1.75 142,1,2024-09-07 08:28:10:589,174430,174430,0,0,81600383052,848226082,173866,548,16,384,391649,0 142,2,2024-09-07 08:28:11:308,123282,123250,32,0,6370461,0,6028 142,3,2024-09-07 08:28:11:751,1,99,2,0,484,1700,99,0 143,0,2024-09-07 08:28:11:393,25118,1.0,25227,0.8,50785,1.2,67094,2.00 143,1,2024-09-07 08:28:10:559,174091,174091,0,0,81862809514,849302169,172861,1194,36,367,391619,0 143,2,2024-09-07 08:28:10:780,128042,128042,0,0,5597148,0,2669 143,3,2024-09-07 08:28:11:171,1,99,142,0,236,1527,99,0 144,0,2024-09-07 08:28:11:541,20723,1.0,21331,3.0,43388,0.9,58138,2.25 144,1,2024-09-07 08:28:10:568,173726,173726,0,0,81416404454,854954975,172097,1433,196,381,391638,0 144,2,2024-09-07 08:28:11:759,127395,127395,0,0,5051671,0,3473 144,3,2024-09-07 08:28:11:744,1,99,12,1,169,1364,99,0 145,0,2024-09-07 08:28:11:371,25774,0.6,25718,0.9,54431,0.6,70910,2.25 145,1,2024-09-07 08:28:10:563,172428,172428,0,0,80697082591,854983014,169133,2664,631,383,391615,0 145,2,2024-09-07 08:28:11:435,122574,122574,0,0,6102203,0,3903 145,3,2024-09-07 08:28:10:903,1,99,8,0,151,1285,99,0 146,0,2024-09-07 08:28:11:608,26813,0.6,26719,0.8,53850,0.6,71579,2.25 146,1,2024-09-07 08:28:11:590,173595,173595,0,0,81600511459,857560705,170945,2312,338,368,391600,0 146,2,2024-09-07 08:28:11:696,123466,123466,0,0,5573674,0,2379 146,3,2024-09-07 08:28:11:282,1,99,1,0,1520,3379,99,0 147,0,2024-09-07 08:28:11:795,25557,2.3,25475,1.5,50512,3.3,69048,3.00 147,1,2024-09-07 08:28:11:379,174493,174493,0,0,81715820908,849712412,172745,1392,356,368,391791,0 147,2,2024-09-07 08:28:11:016,127359,127359,0,0,5479997,0,2789 147,3,2024-09-07 08:28:10:953,1,99,25,0,141,1004,99,0 0,0,2024-09-07 08:28:21:764,25106,0.6,25025,0.7,52932,0.6,69022,1.75 0,1,2024-09-07 08:28:20:801,175638,175638,0,0,82353196961,864024263,174326,1183,129,372,391692,0 0,2,2024-09-07 08:28:21:070,124615,124615,0,0,5535427,0,4480 0,3,2024-09-07 08:28:20:976,1,100,4,0,247,1562,100,0 1,0,2024-09-07 08:28:21:763,26335,2.4,26090,1.6,52631,3.1,70396,2.50 1,1,2024-09-07 08:28:20:558,175230,175230,0,0,81988416508,860204305,173427,1273,530,372,391857,0 1,2,2024-09-07 08:28:20:640,126981,126981,0,0,5291801,0,3267 1,3,2024-09-07 08:28:21:302,1,100,148,0,167,1111,100,0 2,0,2024-09-07 08:28:21:573,22679,0.8,22825,1.1,45264,1.1,60956,2.00 2,1,2024-09-07 08:28:20:868,175685,175685,0,0,82567974397,858837341,174580,901,204,381,391558,0 2,2,2024-09-07 08:28:21:270,129331,129331,0,0,5438915,0,3304 2,3,2024-09-07 08:28:20:694,1,100,1,0,214,970,100,0 3,0,2024-09-07 08:28:21:752,24302,0.4,24422,0.5,48772,0.3,65113,1.75 3,1,2024-09-07 08:28:21:628,174813,174813,0,0,82487617866,861792161,172641,1784,388,382,391516,0 3,2,2024-09-07 08:28:21:145,124446,124423,23,0,5602677,0,5851 3,3,2024-09-07 08:28:21:753,1,100,52,0,103,697,100,0 4,0,2024-09-07 08:28:21:830,24176,0.3,24916,0.4,50287,0.2,65925,1.50 4,1,2024-09-07 08:28:20:605,175200,175200,0,0,82317268623,870162553,172713,1969,518,371,391846,0 4,2,2024-09-07 08:28:21:022,124064,124064,0,0,6878565,0,4528 4,3,2024-09-07 08:28:21:033,1,100,3,1,287,1534,100,0 5,0,2024-09-07 08:28:21:419,26448,0.6,26238,0.7,52874,0.7,69801,1.75 5,1,2024-09-07 08:28:20:778,174622,174622,0,0,82077655036,869195744,171306,2385,931,368,392005,0 5,2,2024-09-07 08:28:21:832,125896,125896,0,0,5765429,0,2259 5,3,2024-09-07 08:28:21:752,1,100,5,0,238,1758,100,0 6,0,2024-09-07 08:28:20:932,24627,0.8,24349,1.0,48481,0.9,64746,2.25 6,1,2024-09-07 08:28:20:746,175976,175976,0,0,82021717254,857490682,174445,1456,75,381,391603,0 6,2,2024-09-07 08:28:21:118,129908,129908,0,0,5792768,0,2411 6,3,2024-09-07 08:28:21:274,1,100,1,0,340,1757,100,0 7,0,2024-09-07 08:28:21:545,21244,0.4,21249,0.5,42900,0.3,57325,1.75 7,1,2024-09-07 08:28:20:851,175745,175745,0,0,82203039715,861472013,174007,1673,65,383,391664,0 7,2,2024-09-07 08:28:20:771,126080,126080,0,0,5304995,0,2981 7,3,2024-09-07 08:28:20:851,1,100,2,0,305,1093,100,0 8,0,2024-09-07 08:28:21:338,25978,0.3,26251,0.5,51572,0.3,68965,1.50 8,1,2024-09-07 08:28:21:025,175236,175236,0,0,82399856934,873791696,171629,2354,1253,368,391724,0 8,2,2024-09-07 08:28:20:789,122657,122657,0,0,6538182,0,2986 8,3,2024-09-07 08:28:20:604,1,100,87,0,357,1735,100,0 9,0,2024-09-07 08:28:21:105,27535,0.4,26851,0.6,56069,0.3,73242,1.75 9,1,2024-09-07 08:28:20:558,175354,175354,0,0,81993107603,870443951,171801,2549,1004,370,391738,0 9,2,2024-09-07 08:28:21:084,125079,125079,0,0,5954773,0,3360 9,3,2024-09-07 08:28:21:754,1,100,9,0,496,1725,100,0 10,0,2024-09-07 08:28:21:614,26183,0.6,26196,0.7,52451,0.5,70208,2.00 10,1,2024-09-07 08:28:20:586,175115,175115,0,0,82228725936,867406939,171639,2954,522,381,391741,0 10,2,2024-09-07 08:28:20:762,128577,128577,0,0,6166265,0,2940 10,3,2024-09-07 08:28:20:871,1,100,5,0,136,675,100,0 11,0,2024-09-07 08:28:21:020,21046,0.6,20583,0.9,43134,0.6,57720,2.00 11,1,2024-09-07 08:28:20:574,174970,174970,0,0,81835145289,865234021,171152,2689,1129,384,391537,0 11,2,2024-09-07 08:28:21:123,128333,128333,0,0,5750389,0,2635 11,3,2024-09-07 08:28:21:298,1,100,1,0,720,2429,100,0 12,0,2024-09-07 08:28:20:947,27629,0.3,27499,0.5,55225,0.3,73782,1.50 12,1,2024-09-07 08:28:20:933,174951,174951,0,0,81736504314,857159631,172737,1851,363,371,391837,0 12,2,2024-09-07 08:28:21:541,124724,124724,0,0,5138966,0,2254 12,3,2024-09-07 08:28:21:070,1,100,62,0,358,1872,100,0 13,0,2024-09-07 08:28:21:332,26711,0.4,26844,0.5,53542,0.4,71454,1.75 13,1,2024-09-07 08:28:21:533,175870,175870,0,0,82701548261,868482592,174260,1243,367,384,391717,0 13,2,2024-09-07 08:28:20:603,126099,126099,0,0,4955730,0,3287 13,3,2024-09-07 08:28:21:762,1,100,9,1,416,2025,100,0 14,0,2024-09-07 08:28:20:576,26517,0.6,26715,0.8,52921,0.7,70344,2.00 14,1,2024-09-07 08:28:21:566,176784,176784,0,0,82657263672,856268932,175597,1114,73,364,391546,0 14,2,2024-09-07 08:28:20:775,127383,127383,0,0,5468167,0,2793 14,3,2024-09-07 08:28:21:120,1,100,1,0,906,1385,100,0 15,0,2024-09-07 08:28:21:563,21956,0.6,21760,1.0,43595,0.7,58364,2.00 15,1,2024-09-07 08:28:21:610,175127,175127,0,0,82581044513,858453947,174230,862,35,381,391536,0 15,2,2024-09-07 08:28:20:998,130425,130425,0,0,4441163,0,3043 15,3,2024-09-07 08:28:21:408,1,100,5,0,538,2526,100,0 16,0,2024-09-07 08:28:20:943,25384,0.4,25628,0.6,50727,0.3,67935,2.00 16,1,2024-09-07 08:28:20:562,175635,175635,0,0,82578044076,863415736,174473,1134,28,372,391756,0 16,2,2024-09-07 08:28:21:438,123026,123026,0,0,5744302,0,4719 16,3,2024-09-07 08:28:21:142,1,100,2,0,231,1519,100,0 17,0,2024-09-07 08:28:21:788,25861,0.5,25368,0.6,49782,0.5,67089,1.75 17,1,2024-09-07 08:28:20:588,175259,175259,0,0,81396340849,858810126,173059,1673,527,369,391688,0 17,2,2024-09-07 08:28:21:667,125932,125932,0,0,4924315,0,2857 17,3,2024-09-07 08:28:20:583,1,100,13,0,268,1792,100,0 18,0,2024-09-07 08:28:20:961,25216,1.2,25625,1.1,51586,1.4,68119,2.50 18,1,2024-09-07 08:28:21:638,175719,175719,0,0,82183988173,853974058,174465,1036,218,368,391564,0 18,2,2024-09-07 08:28:21:763,126266,126266,0,0,5674425,0,3541 18,3,2024-09-07 08:28:20:898,1,100,9,0,163,1064,100,0 19,0,2024-09-07 08:28:21:547,22730,1.1,22984,1.1,45460,1.4,60263,3.50 19,1,2024-09-07 08:28:20:566,175716,175716,0,0,82968537967,865555770,173703,1847,166,367,391777,0 19,2,2024-09-07 08:28:21:757,130600,130600,0,0,5271267,0,3988 19,3,2024-09-07 08:28:21:135,1,100,1,0,524,1264,100,0 20,0,2024-09-07 08:28:21:358,22996,0.5,22944,0.6,45858,0.4,61256,2.00 20,1,2024-09-07 08:28:20:588,175551,175551,0,0,82748553882,868718670,173558,1817,176,370,391598,0 20,2,2024-09-07 08:28:20:941,124804,124804,0,0,5480722,0,3721 20,3,2024-09-07 08:28:20:602,1,100,2,0,414,1986,100,0 21,0,2024-09-07 08:28:21:139,25524,0.4,25419,0.5,50762,0.3,66914,1.75 21,1,2024-09-07 08:28:21:549,174754,174754,0,0,81691890853,862309778,172228,2022,504,368,391962,0 21,2,2024-09-07 08:28:21:068,124581,124581,0,0,5780160,0,3747 21,3,2024-09-07 08:28:21:412,1,100,2,0,93,1296,100,0 22,0,2024-09-07 08:28:21:726,26765,1.2,26732,1.1,53413,1.7,71055,2.50 22,1,2024-09-07 08:28:21:026,174718,174718,0,0,81659836387,867604040,170284,3063,1371,382,391667,0 22,2,2024-09-07 08:28:20:760,125736,125736,0,0,5370192,0,3134 22,3,2024-09-07 08:28:21:067,1,100,6,0,228,981,100,0 23,0,2024-09-07 08:28:21:375,25163,0.8,25291,0.9,50712,0.8,67526,2.50 23,1,2024-09-07 08:28:21:008,175968,175968,0,0,83353196184,869176769,173775,1622,571,365,391549,0 23,2,2024-09-07 08:28:21:092,129097,129097,0,0,5359158,0,2078 23,3,2024-09-07 08:28:21:766,1,100,1,0,645,1546,100,0 24,0,2024-09-07 08:28:20:850,22188,0.5,22148,0.7,44479,0.5,59425,1.75 24,1,2024-09-07 08:28:20:598,174975,174975,0,0,82410654737,864590015,172983,1317,675,369,391640,0 24,2,2024-09-07 08:28:21:237,126960,126960,0,0,6895804,0,2942 24,3,2024-09-07 08:28:21:686,1,100,1,0,234,1227,100,0 25,0,2024-09-07 08:28:21:352,27527,0.4,26929,0.7,52716,0.4,71564,1.75 25,1,2024-09-07 08:28:20:558,175198,175198,0,0,81797242484,860103793,172975,1907,316,371,391788,0 25,2,2024-09-07 08:28:21:608,123010,123010,0,0,6156472,0,3284 25,3,2024-09-07 08:28:21:005,1,100,7,0,158,1053,100,0 26,0,2024-09-07 08:28:21:742,27053,0.4,26422,0.6,55343,0.4,72705,1.75 26,1,2024-09-07 08:28:21:542,175886,175886,0,0,81903212523,864356782,172290,2761,835,381,391564,0 26,2,2024-09-07 08:28:20:861,125328,125328,0,0,5975999,0,2809 26,3,2024-09-07 08:28:21:714,1,100,72,0,796,1429,100,0 27,0,2024-09-07 08:28:21:729,26176,0.7,26279,0.8,52087,0.8,69834,1.75 27,1,2024-09-07 08:28:21:676,175999,175999,0,0,82365301291,856899477,174632,1072,295,381,391539,0 27,2,2024-09-07 08:28:20:880,127161,127161,0,0,6961534,0,3409 27,3,2024-09-07 08:28:21:024,1,100,5,0,564,1426,100,0 28,0,2024-09-07 08:28:21:398,21623,0.6,22022,0.8,43946,0.8,59047,2.00 28,1,2024-09-07 08:28:20:800,175994,175994,0,0,83322206049,867030226,174799,995,200,383,391646,0 28,2,2024-09-07 08:28:21:774,129233,129233,0,0,4738280,0,2609 28,3,2024-09-07 08:28:21:777,1,100,3,0,502,1318,100,0 29,0,2024-09-07 08:28:21:367,26745,0.3,26089,0.4,51133,0.2,69960,1.75 29,1,2024-09-07 08:28:21:567,176812,176812,0,0,82348745691,853627793,175288,1049,475,369,391621,0 29,2,2024-09-07 08:28:20:867,124110,124110,0,0,4427501,0,4018 29,3,2024-09-07 08:28:20:964,1,100,5,0,105,795,100,0 30,0,2024-09-07 08:28:21:460,25693,0.9,25025,0.9,52422,1.1,68783,2.50 30,1,2024-09-07 08:28:20:575,176166,176166,0,0,83153653087,864524959,175100,1001,65,382,391584,0 30,2,2024-09-07 08:28:21:275,125910,125910,0,0,4408501,0,3161 30,3,2024-09-07 08:28:20:584,1,100,1,0,195,775,100,0 31,0,2024-09-07 08:28:21:763,26094,1.0,26197,1.0,52875,1.1,70097,2.25 31,1,2024-09-07 08:28:20:564,176716,176716,0,0,82837606796,847672389,176463,251,2,356,391553,0 31,2,2024-09-07 08:28:21:276,127324,127324,0,0,5769791,0,3525 31,3,2024-09-07 08:28:21:706,1,100,2,0,129,746,100,0 32,0,2024-09-07 08:28:21:434,22928,0.9,23045,0.9,46170,0.8,61444,1.75 32,1,2024-09-07 08:28:20:804,176448,176448,0,0,82547528161,857444970,175431,988,29,382,391595,0 32,2,2024-09-07 08:28:20:940,130050,130050,0,0,4974578,0,3155 32,3,2024-09-07 08:28:21:024,1,100,18,0,227,1125,100,0 33,0,2024-09-07 08:28:21:542,24488,0.2,24205,0.4,49048,0.2,65123,1.50 33,1,2024-09-07 08:28:20:585,176564,176564,0,0,82813290593,859744396,174848,1593,123,369,391730,0 33,2,2024-09-07 08:28:20:760,124729,124696,33,0,6228739,0,7012 33,3,2024-09-07 08:28:20:895,1,100,1,0,211,916,100,0 34,0,2024-09-07 08:28:20:940,24946,0.3,25718,0.5,49432,0.2,65961,1.50 34,1,2024-09-07 08:28:21:044,176703,176703,0,0,83023166250,855118195,176064,634,5,367,391517,0 34,2,2024-09-07 08:28:20:770,124466,124466,0,0,5382813,0,3255 34,3,2024-09-07 08:28:21:690,1,100,6,0,148,738,100,0 35,0,2024-09-07 08:28:20:871,26159,0.6,26452,0.7,52564,0.7,69908,1.75 35,1,2024-09-07 08:28:21:069,175949,175949,0,0,82524933931,857157205,174470,1117,362,384,391587,0 35,2,2024-09-07 08:28:21:583,125800,125800,0,0,4984028,0,2542 35,3,2024-09-07 08:28:20:907,1,100,1,0,219,1201,100,0 36,0,2024-09-07 08:28:21:532,24459,1.3,24385,1.2,49367,1.5,64630,2.50 36,1,2024-09-07 08:28:20:584,175426,175426,0,0,82422556526,865235324,172317,2178,931,366,391543,0 36,2,2024-09-07 08:28:21:768,128908,128908,0,0,6434465,0,3303 36,3,2024-09-07 08:28:20:869,1,100,22,0,378,1200,100,0 37,0,2024-09-07 08:28:21:380,21490,0.4,21336,0.5,42843,0.2,57440,1.75 37,1,2024-09-07 08:28:20:604,175069,175062,0,7,81641518872,863107531,171699,1822,1541,365,391518,0 37,2,2024-09-07 08:28:21:142,126435,126435,0,0,4913514,0,2333 37,3,2024-09-07 08:28:21:774,1,100,9,0,724,2115,100,0 38,0,2024-09-07 08:28:21:477,25846,0.4,25036,0.6,52353,0.4,68482,1.75 38,1,2024-09-07 08:28:21:607,176328,176328,0,0,82304221272,863661707,173466,2341,521,368,391821,0 38,2,2024-09-07 08:28:20:761,124358,124358,0,0,6011272,0,3245 38,3,2024-09-07 08:28:20:997,1,100,2,0,603,1481,100,0 39,0,2024-09-07 08:28:21:764,28298,0.8,27654,0.8,53945,1.0,73409,2.00 39,1,2024-09-07 08:28:20:716,175626,175626,0,0,81717011691,856483036,172686,2272,668,365,391524,0 39,2,2024-09-07 08:28:21:417,125449,125449,0,0,5521857,0,2689 39,3,2024-09-07 08:28:20:713,1,100,51,0,276,1566,100,0 40,0,2024-09-07 08:28:21:544,25647,1.4,26201,1.8,51857,2.3,69434,3.50 40,1,2024-09-07 08:28:20:580,175178,175178,0,0,81326176909,857328276,171486,2928,764,369,391591,0 40,2,2024-09-07 08:28:21:307,128638,128637,1,0,7064313,0,5137 40,3,2024-09-07 08:28:21:142,1,100,5,0,110,905,100,0 41,0,2024-09-07 08:28:21:041,20908,2.0,21324,2.5,40900,3.3,56209,4.50 41,1,2024-09-07 08:28:20:770,175465,175465,0,0,82436339057,866746107,172183,2766,516,370,391742,0 41,2,2024-09-07 08:28:20:760,127790,127790,0,0,6657775,0,3356 41,3,2024-09-07 08:28:21:683,1,100,13,0,366,1364,100,0 42,0,2024-09-07 08:28:21:492,26830,0.6,27155,0.8,53490,0.5,71404,2.25 42,1,2024-09-07 08:28:21:439,174930,174930,0,0,82377900645,868027914,171799,2301,830,380,391675,0 42,2,2024-09-07 08:28:21:138,123119,123119,0,0,5981994,0,3568 42,3,2024-09-07 08:28:21:009,1,100,1,0,100,802,100,0 43,0,2024-09-07 08:28:20:929,26675,0.9,25973,1.0,54255,1.0,71462,2.25 43,1,2024-09-07 08:28:20:577,174989,174989,0,0,82483874744,862537754,172557,1680,752,366,391604,0 43,2,2024-09-07 08:28:21:740,125675,125675,0,0,6141360,0,3812 43,3,2024-09-07 08:28:21:766,1,100,1,0,325,1798,100,0 44,0,2024-09-07 08:28:20:861,26635,0.6,26466,0.9,53182,0.7,70965,2.00 44,1,2024-09-07 08:28:20:563,176132,176132,0,0,82183789909,848829508,175069,955,108,356,391569,0 44,2,2024-09-07 08:28:21:272,127458,127458,0,0,4436575,0,1865 44,3,2024-09-07 08:28:21:093,1,100,1,0,817,1463,100,0 45,0,2024-09-07 08:28:21:781,21521,0.6,21118,1.0,44201,0.6,58695,1.75 45,1,2024-09-07 08:28:21:015,176397,176397,0,0,82536376991,855081973,175892,504,1,382,391917,0 45,2,2024-09-07 08:28:21:274,130011,130011,0,0,5356739,0,3596 45,3,2024-09-07 08:28:20:934,1,100,14,0,226,956,100,0 46,0,2024-09-07 08:28:20:959,25563,0.3,25510,0.4,51385,0.2,68070,1.50 46,1,2024-09-07 08:28:20:589,176522,176522,0,0,82523474150,852018058,175635,849,38,367,391514,0 46,2,2024-09-07 08:28:20:596,124648,124648,0,0,4741965,0,2920 46,3,2024-09-07 08:28:21:134,1,100,6,0,363,1684,100,0 47,0,2024-09-07 08:28:21:110,25161,0.3,25328,0.5,50884,0.3,66807,1.75 47,1,2024-09-07 08:28:20:573,176491,176491,0,0,81700901331,842976691,175824,662,5,366,391605,0 47,2,2024-09-07 08:28:20:908,126042,126042,0,0,4904437,0,2558 47,3,2024-09-07 08:28:21:119,1,100,1,0,529,1210,100,0 48,0,2024-09-07 08:28:21:635,26192,0.3,25928,0.5,51744,0.3,68908,1.75 48,1,2024-09-07 08:28:21:026,175941,175941,0,0,82590789379,857699842,174735,1200,6,384,391637,0 48,2,2024-09-07 08:28:20:699,126527,126527,0,0,4239000,0,2083 48,3,2024-09-07 08:28:20:753,1,100,3,0,39,767,100,0 49,0,2024-09-07 08:28:21:751,23671,0.7,23065,0.8,45265,0.7,61849,1.75 49,1,2024-09-07 08:28:21:026,175455,175455,0,0,82500315965,861975976,173688,1056,711,382,391583,0 49,2,2024-09-07 08:28:21:797,129867,129867,0,0,5492676,0,3900 49,3,2024-09-07 08:28:21:416,1,100,12,0,274,992,100,0 50,0,2024-09-07 08:28:21:512,23180,0.2,22806,0.4,45814,0.1,61921,1.50 50,1,2024-09-07 08:28:21:023,176636,176636,0,0,82998781169,862029552,174827,1512,297,368,391530,0 50,2,2024-09-07 08:28:21:067,125260,125260,0,0,4589529,0,2253 50,3,2024-09-07 08:28:21:292,1,100,6,0,335,1037,100,0 51,0,2024-09-07 08:28:21:691,25945,0.2,25394,0.4,49764,0.2,67202,1.50 51,1,2024-09-07 08:28:21:707,176123,176123,0,0,83323115935,865018926,174314,1025,784,367,391637,0 51,2,2024-09-07 08:28:21:315,124127,124127,0,0,3982125,0,2448 51,3,2024-09-07 08:28:21:027,1,100,1,0,162,631,100,0 52,0,2024-09-07 08:28:21:443,27061,0.7,27155,0.8,54354,0.8,71609,2.25 52,1,2024-09-07 08:28:20:587,175268,175268,0,0,82533928235,869604325,171771,2791,706,368,391722,0 52,2,2024-09-07 08:28:21:766,125098,125098,0,0,5991641,0,4779 52,3,2024-09-07 08:28:20:674,1,100,1,0,1782,2619,100,0 53,0,2024-09-07 08:28:21:736,25097,1.5,24305,1.2,50632,1.8,66704,2.75 53,1,2024-09-07 08:28:20:770,175152,175152,0,0,83067843043,872876628,172066,2505,581,370,391617,0 53,2,2024-09-07 08:28:21:303,129668,129668,0,0,5058805,0,1902 53,3,2024-09-07 08:28:20:696,1,100,1,0,133,776,100,0 54,0,2024-09-07 08:28:21:621,21285,2.6,21693,1.3,42406,1.0,58389,4.25 54,1,2024-09-07 08:28:20:586,175418,175418,0,0,82996037898,870161713,172247,2627,544,367,391520,0 54,2,2024-09-07 08:28:20:868,127795,127789,6,0,6145216,0,5382 54,3,2024-09-07 08:28:20:763,1,100,1,0,676,1995,100,0 55,0,2024-09-07 08:28:21:772,25793,0.6,26505,0.8,53780,0.5,69365,2.25 55,1,2024-09-07 08:28:20:778,174597,174597,0,0,81911979787,863523099,170221,3591,785,367,391731,0 55,2,2024-09-07 08:28:20:730,123379,123379,0,0,5664872,0,3275 55,3,2024-09-07 08:28:20:674,1,100,304,0,304,1268,100,0 56,0,2024-09-07 08:28:21:574,27909,1.6,26321,1.3,54148,2.1,72803,2.50 56,1,2024-09-07 08:28:20:578,174931,174931,0,0,82780408372,881339067,170657,3424,850,382,391678,0 56,2,2024-09-07 08:28:21:303,125308,125308,0,0,6582065,0,3567 56,3,2024-09-07 08:28:21:062,1,100,1,0,297,1139,100,0 57,0,2024-09-07 08:28:20:959,25510,2.6,25324,1.6,50919,3.9,68426,4.00 57,1,2024-09-07 08:28:20:989,175171,175171,0,0,82664582137,866740084,173105,1898,168,368,391773,0 57,2,2024-09-07 08:28:21:316,128489,128489,0,0,6846948,0,3178 57,3,2024-09-07 08:28:21:740,1,100,8,0,359,1937,100,0 58,0,2024-09-07 08:28:20:574,20985,1.2,20533,1.1,42980,1.6,56900,3.00 58,1,2024-09-07 08:28:20:578,176502,176499,0,3,82951168537,865650347,174292,1980,227,369,391516,3 58,2,2024-09-07 08:28:21:071,128897,128897,0,0,5924384,0,2549 58,3,2024-09-07 08:28:21:068,1,100,1,0,219,973,100,0 59,0,2024-09-07 08:28:21:757,26401,0.5,26052,0.7,52491,0.4,69427,2.25 59,1,2024-09-07 08:28:20:804,175257,175257,0,0,82577481852,868535158,172066,2270,921,369,391515,0 59,2,2024-09-07 08:28:20:583,124366,124366,0,0,5190452,0,2604 59,3,2024-09-07 08:28:21:740,1,100,1,0,1015,2243,100,0 60,0,2024-09-07 08:28:21:739,26095,0.4,26192,0.6,51725,0.4,69156,1.75 60,1,2024-09-07 08:28:20:779,177277,177277,0,0,83127629806,862927080,176178,750,349,370,391761,0 60,2,2024-09-07 08:28:21:142,124957,124957,0,0,5171121,0,3811 60,3,2024-09-07 08:28:21:258,1,100,2,0,124,1054,100,0 61,0,2024-09-07 08:28:21:512,26174,2.1,26357,1.3,52664,3.4,70231,2.25 61,1,2024-09-07 08:28:20:772,175303,175303,0,0,82411873257,866356489,172695,2071,537,382,391589,0 61,2,2024-09-07 08:28:21:129,127273,127273,0,0,5009203,0,1846 61,3,2024-09-07 08:28:21:696,1,100,8,0,199,1377,100,0 62,0,2024-09-07 08:28:21:713,22984,0.7,23502,1.0,44990,0.9,61502,2.00 62,1,2024-09-07 08:28:21:120,176647,176641,0,6,83012155303,857604115,175386,1217,38,365,391586,6 62,2,2024-09-07 08:28:21:647,129001,129000,1,0,6083704,0,5555 62,3,2024-09-07 08:28:21:150,1,100,1,0,287,744,100,0 63,0,2024-09-07 08:28:21:459,24286,0.3,24406,0.5,48681,0.2,65079,1.75 63,1,2024-09-07 08:28:20:803,176813,176807,0,6,83099454813,858898169,176294,510,3,381,391540,6 63,2,2024-09-07 08:28:20:762,124088,124088,0,0,4702617,0,2674 63,3,2024-09-07 08:28:21:739,1,100,16,0,667,1967,100,0 64,0,2024-09-07 08:28:21:567,24806,0.4,24637,0.6,49528,0.4,65935,1.75 64,1,2024-09-07 08:28:20:750,175850,175850,0,0,82358618997,864163182,173280,1775,795,371,391783,0 64,2,2024-09-07 08:28:21:141,125279,125260,19,0,5479179,0,6121 64,3,2024-09-07 08:28:21:140,1,100,1,0,265,1115,100,0 65,0,2024-09-07 08:28:21:691,25676,1.7,26025,1.2,52073,2.1,69140,3.00 65,1,2024-09-07 08:28:20:868,175428,175428,0,0,82475135479,863854525,173600,1608,220,382,391770,0 65,2,2024-09-07 08:28:21:694,126640,126640,0,0,5748286,0,3367 65,3,2024-09-07 08:28:21:683,1,100,8,0,163,1079,100,0 66,0,2024-09-07 08:28:21:774,24008,0.5,24177,0.8,48534,0.5,64084,2.25 66,1,2024-09-07 08:28:21:295,176676,176676,0,0,82268400460,852910972,175971,683,22,380,391588,0 66,2,2024-09-07 08:28:21:137,130981,130981,0,0,5142047,0,3867 66,3,2024-09-07 08:28:21:079,1,100,3,0,291,1254,100,0 67,0,2024-09-07 08:28:21:426,21737,0.4,21669,0.6,42917,0.3,57535,2.00 67,1,2024-09-07 08:28:20:770,175537,175536,0,1,82703857458,865733116,173470,1541,525,381,391787,1 67,2,2024-09-07 08:28:20:586,127337,127337,0,0,4668995,0,2889 67,3,2024-09-07 08:28:21:766,1,100,2,0,138,846,100,0 68,0,2024-09-07 08:28:20:576,26108,0.5,26022,0.7,51869,0.5,68796,2.00 68,1,2024-09-07 08:28:20:570,175216,175216,0,0,82656284881,867738086,173520,1174,522,381,391953,0 68,2,2024-09-07 08:28:21:051,123588,123588,0,0,5824605,0,4883 68,3,2024-09-07 08:28:20:735,1,100,2,0,151,1038,100,0 69,0,2024-09-07 08:28:21:758,27429,1.2,27596,1.0,55008,1.5,73206,2.50 69,1,2024-09-07 08:28:21:026,174801,174801,0,0,82234807790,872551153,172041,1682,1078,384,391611,0 69,2,2024-09-07 08:28:21:757,125356,125356,0,0,6546036,0,3701 69,3,2024-09-07 08:28:20:760,1,100,1,0,238,1370,100,0 70,0,2024-09-07 08:28:21:548,25837,2.6,25932,1.9,52073,1.4,69410,4.00 70,1,2024-09-07 08:28:20:800,176018,176018,0,0,82830864474,862003868,174152,1407,459,368,391725,0 70,2,2024-09-07 08:28:21:325,128058,128058,0,0,6290295,0,4044 70,3,2024-09-07 08:28:20:745,1,100,4,0,178,565,100,0 71,0,2024-09-07 08:28:21:388,20839,2.3,20983,2.1,41625,3.4,56731,3.75 71,1,2024-09-07 08:28:21:602,175798,175798,0,0,83034848070,869063015,173254,2211,333,368,391682,0 71,2,2024-09-07 08:28:21:068,128721,128721,0,0,5605956,0,2146 71,3,2024-09-07 08:28:21:751,1,100,1,0,279,1413,100,0 72,0,2024-09-07 08:28:21:041,28197,0.5,27565,0.7,53999,0.4,73808,2.25 72,1,2024-09-07 08:28:21:026,175536,175536,0,0,82109515576,859546226,173070,2001,465,370,391556,0 72,2,2024-09-07 08:28:21:770,122708,122708,0,0,6660214,0,2570 72,3,2024-09-07 08:28:21:764,1,100,1,0,325,1461,100,0 73,0,2024-09-07 08:28:21:137,26088,0.4,26778,0.6,54746,0.4,71094,2.25 73,1,2024-09-07 08:28:20:770,175630,175630,0,0,82525073383,857915203,174521,1062,47,368,391627,0 73,2,2024-09-07 08:28:21:748,126073,126073,0,0,6480613,0,3482 73,3,2024-09-07 08:28:20:973,1,100,12,0,274,1518,100,0 74,0,2024-09-07 08:28:21:326,26663,1.0,27094,1.1,51775,1.6,70441,3.00 74,1,2024-09-07 08:28:20:636,175493,175493,0,0,82075834809,859010894,173315,1573,605,382,391511,0 74,2,2024-09-07 08:28:21:008,126951,126951,0,0,6746233,0,4253 74,3,2024-09-07 08:28:21:443,1,100,1,0,246,1537,100,0 75,0,2024-09-07 08:28:21:781,21939,1.4,21976,1.3,43759,1.4,58913,2.75 75,1,2024-09-07 08:28:21:585,175329,175329,0,0,82571501469,866167582,173043,1923,363,381,391579,0 75,2,2024-09-07 08:28:21:350,129256,129256,0,0,6252754,0,4766 75,3,2024-09-07 08:28:21:067,1,100,3,0,535,1371,100,0 76,0,2024-09-07 08:28:20:629,25718,0.3,25336,0.5,50607,0.2,67798,1.75 76,1,2024-09-07 08:28:20:818,175319,175319,0,0,81699047703,855453457,173499,1312,508,382,391530,0 76,2,2024-09-07 08:28:21:062,124829,124829,0,0,4790761,0,3064 76,3,2024-09-07 08:28:21:142,1,100,1,0,175,1106,100,0 77,0,2024-09-07 08:28:21:709,25199,0.6,25422,0.7,50842,0.6,66678,1.75 77,1,2024-09-07 08:28:20:844,175903,175903,0,0,82410290851,858604020,174845,989,69,383,391555,0 77,2,2024-09-07 08:28:21:288,125356,125356,0,0,4310411,0,1695 77,3,2024-09-07 08:28:21:095,1,100,9,0,139,1007,100,0 78,0,2024-09-07 08:28:21:723,25911,0.8,25914,0.9,51756,0.8,68509,2.25 78,1,2024-09-07 08:28:20:615,176434,176434,0,0,82519372244,858567834,174899,1330,205,367,391589,0 78,2,2024-09-07 08:28:21:408,127070,127070,0,0,4550953,0,2114 78,3,2024-09-07 08:28:21:134,1,100,1,0,181,1342,100,0 79,0,2024-09-07 08:28:21:356,22242,0.6,22789,0.9,46608,0.6,60624,2.50 79,1,2024-09-07 08:28:20:578,176353,176353,0,0,82906422425,861089169,174565,1617,171,370,391682,0 79,2,2024-09-07 08:28:21:068,130459,130459,0,0,5001610,0,2679 79,3,2024-09-07 08:28:20:749,1,100,1,0,289,1028,100,0 80,0,2024-09-07 08:28:21:089,22752,0.5,23602,0.7,45304,0.4,61583,2.00 80,1,2024-09-07 08:28:21:625,175505,175505,0,0,81820535167,852243827,173753,1635,117,368,391673,0 80,2,2024-09-07 08:28:21:093,125764,125764,0,0,5084322,0,4433 80,3,2024-09-07 08:28:20:577,1,100,11,1,190,1561,100,0 81,0,2024-09-07 08:28:21:551,25413,0.5,26120,0.6,50035,0.5,67190,1.75 81,1,2024-09-07 08:28:21:657,175615,175615,0,0,81962814304,858870866,173688,1728,199,382,391680,0 81,2,2024-09-07 08:28:21:139,123687,123687,0,0,5503327,0,3993 81,3,2024-09-07 08:28:21:119,1,100,8,0,193,990,100,0 82,0,2024-09-07 08:28:21:546,26869,0.7,26844,0.9,54006,0.8,71663,2.25 82,1,2024-09-07 08:28:20:585,175567,175563,0,4,82110829636,858936070,173381,1608,574,382,391558,4 82,2,2024-09-07 08:28:21:708,126537,126537,0,0,4567147,0,2230 82,3,2024-09-07 08:28:21:752,1,100,1,0,227,1552,100,0 83,0,2024-09-07 08:28:21:531,25314,1.3,25115,1.1,49927,1.5,66592,2.50 83,1,2024-09-07 08:28:20:552,175621,175621,0,0,82912230772,865978467,174123,1397,101,383,391553,0 83,2,2024-09-07 08:28:20:764,128466,128466,0,0,5510981,0,3119 83,3,2024-09-07 08:28:20:749,1,100,1,0,127,853,100,0 84,0,2024-09-07 08:28:21:795,21786,1.4,21515,1.5,43263,1.0,58928,2.75 84,1,2024-09-07 08:28:21:044,175089,175089,0,0,82440667463,866336016,172690,2016,383,369,391638,0 84,2,2024-09-07 08:28:20:579,127598,127598,0,0,5765480,0,3801 84,3,2024-09-07 08:28:21:146,1,100,7,1,58,927,100,0 85,0,2024-09-07 08:28:21:053,25730,0.6,25704,0.8,54487,0.5,70612,2.25 85,1,2024-09-07 08:28:20:567,174605,174605,0,0,82023317537,870318161,171145,2987,473,383,391679,0 85,2,2024-09-07 08:28:20:868,123467,123467,0,0,6213200,0,3656 85,3,2024-09-07 08:28:20:686,1,100,20,0,115,1026,100,0 86,0,2024-09-07 08:28:20:885,27131,0.7,27991,0.8,53841,0.8,72723,2.00 86,1,2024-09-07 08:28:20:827,175367,175367,0,0,82908126906,869483320,172883,2206,278,367,391961,0 86,2,2024-09-07 08:28:20:854,124823,124822,1,0,6843549,0,5004 86,3,2024-09-07 08:28:20:612,1,100,5,0,199,1301,100,0 87,0,2024-09-07 08:28:21:299,25921,2.4,25827,1.5,51844,3.8,69651,2.75 87,1,2024-09-07 08:28:20:557,175226,175226,0,0,81536948334,857076468,172944,2143,139,366,391788,0 87,2,2024-09-07 08:28:21:067,127839,127839,0,0,5701910,0,3515 87,3,2024-09-07 08:28:21:797,1,100,39,1,335,1742,100,0 88,0,2024-09-07 08:28:21:462,21748,0.8,22055,0.8,43791,0.9,59052,2.00 88,1,2024-09-07 08:28:20:582,174925,174925,0,0,82497189075,867223993,172621,1595,709,367,391787,0 88,2,2024-09-07 08:28:20:692,129209,129209,0,0,6612090,0,3583 88,3,2024-09-07 08:28:21:270,1,100,1,1,77,1120,100,0 89,0,2024-09-07 08:28:21:861,26910,0.3,26111,0.5,51868,0.3,70151,1.50 89,1,2024-09-07 08:28:20:570,174703,174703,0,0,82589826452,878117692,170813,3085,805,382,391866,0 89,2,2024-09-07 08:28:21:138,123583,123583,0,0,5834000,0,2726 89,3,2024-09-07 08:28:21:818,1,100,29,0,325,2162,100,0 90,0,2024-09-07 08:28:21:633,25141,0.4,25677,0.6,52746,0.4,69054,1.75 90,1,2024-09-07 08:28:20:609,175777,175777,0,0,82532378873,870059593,173364,2262,151,382,391825,0 90,2,2024-09-07 08:28:21:408,124035,124035,0,0,6782131,0,2635 90,3,2024-09-07 08:28:20:932,1,100,4,1,200,1094,100,0 91,0,2024-09-07 08:28:20:950,26752,0.6,25855,0.7,53679,0.6,70634,1.75 91,1,2024-09-07 08:28:20:564,175268,175268,0,0,82725910244,873540424,172187,2589,492,383,391914,0 91,2,2024-09-07 08:28:21:332,126112,126112,0,0,6249440,0,1997 91,3,2024-09-07 08:28:20:602,1,100,1,0,155,1365,100,0 92,0,2024-09-07 08:28:21:512,23248,0.6,23702,0.8,45304,0.8,61700,1.75 92,1,2024-09-07 08:28:20:594,176078,176078,0,0,82529549664,859154067,175406,602,70,382,391717,0 92,2,2024-09-07 08:28:21:355,131490,131490,0,0,5335719,0,2279 92,3,2024-09-07 08:28:21:013,1,100,7,0,68,976,100,0 93,0,2024-09-07 08:28:21:002,24497,0.3,24995,0.5,47776,0.2,65193,1.50 93,1,2024-09-07 08:28:20:806,176040,176040,0,0,82340188643,860959642,174183,1420,437,366,391689,0 93,2,2024-09-07 08:28:20:937,124624,124624,0,0,4712366,0,2509 93,3,2024-09-07 08:28:21:407,1,100,10,0,143,969,100,0 94,0,2024-09-07 08:28:21:656,25040,0.3,25216,0.5,49853,0.3,65842,1.50 94,1,2024-09-07 08:28:20:563,175444,175444,0,0,82515122507,865205838,173561,1804,79,381,391850,0 94,2,2024-09-07 08:28:20:766,124543,124543,0,0,4813495,0,2443 94,3,2024-09-07 08:28:21:688,1,100,177,0,231,1706,100,0 95,0,2024-09-07 08:28:21:351,26398,0.4,26305,0.6,52974,0.4,69989,1.75 95,1,2024-09-07 08:28:20:853,176058,176058,0,0,82204854646,853547830,175169,825,64,367,391590,0 95,2,2024-09-07 08:28:21:026,126461,126461,0,0,5410450,0,3308 95,3,2024-09-07 08:28:21:733,1,100,1,0,307,1680,100,0 96,0,2024-09-07 08:28:21:040,24592,0.6,24595,0.7,49028,0.6,64482,1.75 96,1,2024-09-07 08:28:21:595,175943,175943,0,0,81948271046,859866983,174046,1326,571,385,391596,0 96,2,2024-09-07 08:28:21:272,129828,129828,0,0,5668833,0,4038 96,3,2024-09-07 08:28:21:140,1,100,3,0,188,1091,100,0 97,0,2024-09-07 08:28:21:397,21360,0.3,21513,0.5,43095,0.3,57323,1.75 97,1,2024-09-07 08:28:20:763,175971,175971,0,0,82425350561,860487816,173765,1687,519,367,392140,0 97,2,2024-09-07 08:28:20:614,126113,126113,0,0,4916721,0,3036 97,3,2024-09-07 08:28:20:584,1,100,12,1,165,1236,100,0 98,0,2024-09-07 08:28:21:737,26028,0.2,25787,0.4,52221,0.2,68978,1.50 98,1,2024-09-07 08:28:20:580,175757,175757,0,0,82219196236,857833646,174542,1157,58,382,391997,0 98,2,2024-09-07 08:28:20:771,125088,125088,0,0,5168134,0,3080 98,3,2024-09-07 08:28:20:699,1,100,309,1,840,2523,100,0 99,0,2024-09-07 08:28:21:488,27592,0.3,27576,0.5,55129,0.3,73374,1.75 99,1,2024-09-07 08:28:21:740,176452,176452,0,0,82089856657,856574345,174947,1059,446,381,391744,0 99,2,2024-09-07 08:28:21:481,126896,126896,0,0,5392830,0,1858 99,3,2024-09-07 08:28:20:582,1,100,1,0,129,800,100,0 100,0,2024-09-07 08:28:21:530,26129,1.4,26046,1.9,52025,4.2,70243,2.50 100,1,2024-09-07 08:28:20:578,174549,174549,0,0,82396590761,876775643,170919,3143,487,381,391989,0 100,2,2024-09-07 08:28:21:817,128307,128296,11,0,6842825,0,5417 100,3,2024-09-07 08:28:21:741,1,100,2,0,443,2168,100,0 101,0,2024-09-07 08:28:21:726,21618,3.8,20963,2.0,41457,3.9,57514,3.50 101,1,2024-09-07 08:28:20:552,174621,174621,0,0,82156987029,870664449,171412,1970,1239,368,391709,0 101,2,2024-09-07 08:28:21:779,127911,127911,0,0,6661751,0,4644 101,3,2024-09-07 08:28:20:942,1,100,15,0,448,1243,100,0 102,0,2024-09-07 08:28:20:955,26687,0.6,27662,0.8,55461,0.5,73415,2.00 102,1,2024-09-07 08:28:21:142,174990,174990,0,0,82021509989,865892824,172247,2227,516,369,391831,0 102,2,2024-09-07 08:28:21:741,124227,124227,0,0,5038192,0,2144 102,3,2024-09-07 08:28:21:621,1,100,10,0,410,1148,100,0 103,0,2024-09-07 08:28:21:639,27502,0.5,27417,0.7,51991,0.5,71592,2.00 103,1,2024-09-07 08:28:21:633,174835,174835,0,0,82532448086,870942349,172122,2001,712,381,391680,0 103,2,2024-09-07 08:28:20:585,125223,125223,0,0,5736606,0,2104 103,3,2024-09-07 08:28:20:757,1,100,7,0,486,1577,100,0 104,0,2024-09-07 08:28:21:094,26441,1.4,26378,1.2,51837,1.6,70622,2.50 104,1,2024-09-07 08:28:21:607,175780,175780,0,0,81825217618,861003084,173444,1957,379,366,391948,0 104,2,2024-09-07 08:28:21:669,126604,126604,0,0,6227811,0,3941 104,3,2024-09-07 08:28:21:422,1,100,250,1,1245,4259,100,0 105,0,2024-09-07 08:28:21:070,21672,0.8,20976,1.3,43616,1.0,58357,3.00 105,1,2024-09-07 08:28:20:569,175381,175381,0,0,82618778349,870929103,172027,2594,760,367,391797,0 105,2,2024-09-07 08:28:21:326,129131,129131,0,0,5925087,0,3314 105,3,2024-09-07 08:28:21:304,1,100,28,1,399,1818,100,0 106,0,2024-09-07 08:28:21:019,24750,0.4,25422,0.6,51558,0.3,67675,1.75 106,1,2024-09-07 08:28:21:752,175644,175644,0,0,81463361865,857274550,172977,2339,328,369,391767,0 106,2,2024-09-07 08:28:20:760,123401,123401,0,0,5548754,0,2795 106,3,2024-09-07 08:28:20:681,1,100,2,1,201,1002,100,0 107,0,2024-09-07 08:28:21:107,25366,0.5,25199,0.6,50108,0.4,66922,1.75 107,1,2024-09-07 08:28:20:589,175179,175179,0,0,82518113378,869459992,173064,1925,190,381,392234,0 107,2,2024-09-07 08:28:21:307,124255,124254,1,0,5820192,0,5024 107,3,2024-09-07 08:28:21:762,1,100,16,0,353,1489,100,0 108,0,2024-09-07 08:28:21:782,26056,0.5,26272,0.7,51888,0.5,68866,1.75 108,1,2024-09-07 08:28:21:295,175694,175694,0,0,82657046209,863565259,174126,1432,136,371,391857,0 108,2,2024-09-07 08:28:21:762,126271,126271,0,0,5595050,0,2647 108,3,2024-09-07 08:28:21:340,1,100,2,0,272,1501,100,0 109,0,2024-09-07 08:28:21:796,23125,0.6,23265,0.8,46107,0.7,61773,1.75 109,1,2024-09-07 08:28:20:593,175407,175407,0,0,83052988665,874154396,173372,1576,459,383,391812,0 109,2,2024-09-07 08:28:20:921,129196,129196,0,0,5794044,0,3617 109,3,2024-09-07 08:28:21:140,1,100,1,1,249,1564,100,0 110,0,2024-09-07 08:28:21:850,22998,0.2,22338,0.4,46545,0.2,61502,1.50 110,1,2024-09-07 08:28:21:642,176460,176460,0,0,83054784866,862684952,175128,1042,290,370,391667,0 110,2,2024-09-07 08:28:21:304,125370,125370,0,0,4733981,0,2915 110,3,2024-09-07 08:28:20:693,1,100,42,0,183,1086,100,0 111,0,2024-09-07 08:28:21:421,25487,0.2,25083,0.4,50295,0.1,67173,1.50 111,1,2024-09-07 08:28:21:000,176399,176399,0,0,82769047110,855965323,175751,634,14,382,391690,0 111,2,2024-09-07 08:28:21:119,124324,124324,0,0,5243215,0,2763 111,3,2024-09-07 08:28:20:915,1,100,45,0,300,1708,100,0 112,0,2024-09-07 08:28:20:930,27225,0.4,27172,0.5,54209,0.3,71978,1.75 112,1,2024-09-07 08:28:20:825,175843,175843,0,0,82833388166,863248601,174229,1268,346,381,391580,0 112,2,2024-09-07 08:28:21:135,125890,125889,1,0,5786587,0,5036 112,3,2024-09-07 08:28:20:595,1,100,3,0,282,1094,100,0 113,0,2024-09-07 08:28:20:872,25222,0.5,25091,0.6,50952,0.4,67740,1.75 113,1,2024-09-07 08:28:21:686,175909,175909,0,0,82540391841,856116909,174013,1512,384,368,391661,0 113,2,2024-09-07 08:28:21:310,130024,130024,0,0,4681396,0,3021 113,3,2024-09-07 08:28:20:686,1,100,18,0,288,1590,100,0 114,0,2024-09-07 08:28:20:879,22042,1.9,22374,1.0,43839,0.6,59593,2.00 114,1,2024-09-07 08:28:20:716,176090,176090,0,0,82715399024,866827403,173461,1748,881,381,391531,0 114,2,2024-09-07 08:28:20:873,127648,127648,0,0,5293066,0,3925 114,3,2024-09-07 08:28:21:280,1,100,1,0,395,1701,100,0 115,0,2024-09-07 08:28:20:565,26646,0.3,26961,0.4,54112,0.2,71312,1.50 115,1,2024-09-07 08:28:20:572,176152,176152,0,0,83163159222,868468510,173766,1899,487,382,391528,0 115,2,2024-09-07 08:28:21:125,124464,124464,0,0,4471934,0,2152 115,3,2024-09-07 08:28:21:009,1,100,2,0,159,601,100,0 116,0,2024-09-07 08:28:21:739,27415,0.8,26951,0.9,54461,1.0,72765,2.00 116,1,2024-09-07 08:28:20:818,174645,174645,0,0,81986824723,872947620,171326,1933,1386,382,391619,0 116,2,2024-09-07 08:28:21:754,124690,124690,0,0,6546543,0,3529 116,3,2024-09-07 08:28:20:915,1,100,1,1,252,1544,100,0 117,0,2024-09-07 08:28:21:027,25967,1.9,26010,1.3,51672,3.1,69712,2.25 117,1,2024-09-07 08:28:21:611,175580,175580,0,0,82077311023,865906747,172595,2589,396,370,392033,0 117,2,2024-09-07 08:28:21:126,129135,129135,0,0,5721101,0,3700 117,3,2024-09-07 08:28:21:059,1,100,144,0,490,2327,100,0 118,0,2024-09-07 08:28:21:849,21270,0.9,21797,0.9,44546,1.1,58788,2.25 118,1,2024-09-07 08:28:20:591,175306,175306,0,0,81355283022,856413288,173079,1992,235,368,391736,0 118,2,2024-09-07 08:28:21:587,129271,129271,0,0,6112622,0,2781 118,3,2024-09-07 08:28:21:772,1,100,4,1,235,1405,100,0 119,0,2024-09-07 08:28:21:366,25886,0.4,25798,0.6,52653,0.3,69516,2.00 119,1,2024-09-07 08:28:20:561,175815,175815,0,0,82584925889,865312780,173769,1765,281,370,391641,0 119,2,2024-09-07 08:28:21:271,123231,123231,0,0,5316537,0,2532 119,3,2024-09-07 08:28:21:326,1,100,9,0,443,2415,100,0 120,0,2024-09-07 08:28:21:618,25728,0.5,25751,0.8,51261,0.5,68969,2.00 120,1,2024-09-07 08:28:20:860,175492,175492,0,0,82500175999,866823608,173577,1875,40,368,391702,0 120,2,2024-09-07 08:28:20:771,124530,124529,1,0,6939400,0,5281 120,3,2024-09-07 08:28:21:302,1,100,5,0,241,1535,100,0 121,0,2024-09-07 08:28:21:695,26120,2.0,26451,1.4,52675,3.4,70572,2.25 121,1,2024-09-07 08:28:21:660,175262,175262,0,0,82275339978,862614117,173160,1830,272,367,391840,0 121,2,2024-09-07 08:28:21:126,126020,126020,0,0,7186901,0,4127 121,3,2024-09-07 08:28:20:737,1,100,9,0,159,1211,100,0 122,0,2024-09-07 08:28:21:788,22850,1.9,22084,1.6,46183,2.3,61671,2.25 122,1,2024-09-07 08:28:20:859,174728,174728,0,0,82329101198,870135123,171312,2776,640,367,392130,0 122,2,2024-09-07 08:28:21:323,130095,130095,0,0,6933473,0,3364 122,3,2024-09-07 08:28:20:595,1,100,1,0,298,1951,100,0 123,0,2024-09-07 08:28:21:005,24274,0.6,23564,0.7,49217,0.7,64884,2.00 123,1,2024-09-07 08:28:20:558,175908,175908,0,0,82360262706,871742601,172199,3136,573,369,391823,0 123,2,2024-09-07 08:28:21:032,123810,123809,1,0,5711100,0,5215 123,3,2024-09-07 08:28:21:132,1,100,1,1,168,1488,100,0 124,0,2024-09-07 08:28:20:938,25659,0.3,25650,0.4,48365,0.2,66162,1.50 124,1,2024-09-07 08:28:21:024,175937,175937,0,0,82154699643,851118149,175122,670,145,367,392178,0 124,2,2024-09-07 08:28:21:025,124816,124816,0,0,4941765,0,3101 124,3,2024-09-07 08:28:20:761,1,100,8,1,490,1726,100,0 125,0,2024-09-07 08:28:21:485,26553,0.5,26455,0.6,52910,0.6,69966,1.75 125,1,2024-09-07 08:28:20:877,175445,175445,0,0,82102070261,859369614,173526,1646,273,384,391702,0 125,2,2024-09-07 08:28:21:120,126620,126620,0,0,5069695,0,2180 125,3,2024-09-07 08:28:21:126,1,100,4,1,93,1231,100,0 126,0,2024-09-07 08:28:21:476,24580,0.9,25156,0.9,48348,1.1,64961,1.75 126,1,2024-09-07 08:28:20:553,176286,176286,0,0,82389000468,854896755,175150,1079,57,365,391987,0 126,2,2024-09-07 08:28:20:620,130194,130194,0,0,5311607,0,3186 126,3,2024-09-07 08:28:20:909,1,100,1,0,122,1259,100,0 127,0,2024-09-07 08:28:21:674,21433,0.2,21475,0.4,42938,0.2,57378,1.50 127,1,2024-09-07 08:28:20:572,176190,176190,0,0,82657726761,856371371,174949,1216,25,365,391614,0 127,2,2024-09-07 08:28:20:645,126795,126795,0,0,4537254,0,1803 127,3,2024-09-07 08:28:21:270,1,100,4,0,99,735,100,0 128,0,2024-09-07 08:28:21:651,26203,0.3,26163,0.4,51854,0.2,69045,1.50 128,1,2024-09-07 08:28:21:610,176128,176128,0,0,82939099704,859260088,175387,670,71,367,391680,0 128,2,2024-09-07 08:28:21:396,123590,123590,0,0,5234221,0,2107 128,3,2024-09-07 08:28:20:769,1,100,0,0,247,1319,100,0 129,0,2024-09-07 08:28:21:002,27724,0.4,27641,0.5,55058,0.3,73350,1.75 129,1,2024-09-07 08:28:20:575,175150,175150,0,0,82433537133,864883348,173022,1841,287,379,391835,0 129,2,2024-09-07 08:28:20:687,125324,125324,0,0,4747901,0,2446 129,3,2024-09-07 08:28:20:692,1,100,10,1,173,1416,100,0 130,0,2024-09-07 08:28:21:753,26468,1.2,26277,1.0,52688,1.8,70783,2.00 130,1,2024-09-07 08:28:20:586,175822,175822,0,0,82295510291,858505790,174496,1291,35,381,391825,0 130,2,2024-09-07 08:28:21:129,128679,128679,0,0,5528508,0,4067 130,3,2024-09-07 08:28:21:294,1,100,4,0,207,764,100,0 131,0,2024-09-07 08:28:21:962,21477,0.6,21497,0.7,43351,0.7,57715,1.75 131,1,2024-09-07 08:28:21:821,176801,176801,0,0,82263969320,857294562,175702,911,188,384,391865,0 131,2,2024-09-07 08:28:20:566,129183,129183,0,0,4779086,0,2415 131,3,2024-09-07 08:28:21:691,1,100,1,0,392,1254,100,0 132,0,2024-09-07 08:28:21:454,27077,0.5,27492,0.8,55066,0.4,73837,1.75 132,1,2024-09-07 08:28:20:597,174795,174795,0,0,81879701941,867666261,171383,2655,757,381,391719,0 132,2,2024-09-07 08:28:20:698,123238,123238,0,0,7062131,0,4606 132,3,2024-09-07 08:28:21:696,1,100,2,0,356,1802,100,0 133,0,2024-09-07 08:28:21:564,26055,0.5,26601,0.7,54713,0.5,70922,2.00 133,1,2024-09-07 08:28:20:608,174299,174299,0,0,82850704264,876740574,171446,2679,174,383,391755,0 133,2,2024-09-07 08:28:21:092,124976,124976,0,0,6878059,0,4315 133,3,2024-09-07 08:28:21:297,1,100,19,1,187,945,100,0 134,0,2024-09-07 08:28:20:975,26516,0.8,26417,1.0,53181,0.9,70588,2.00 134,1,2024-09-07 08:28:20:584,175342,175342,0,0,82089078089,865856191,171966,2287,1089,366,391718,0 134,2,2024-09-07 08:28:21:768,126993,126993,0,0,5828243,0,3096 134,3,2024-09-07 08:28:20:749,1,100,1,0,739,2188,100,0 135,0,2024-09-07 08:28:21:122,21365,1.2,21300,1.3,45005,1.3,58347,2.25 135,1,2024-09-07 08:28:21:591,174849,174849,0,0,82518779783,872354561,171553,2596,700,380,391703,0 135,2,2024-09-07 08:28:20:689,130007,130007,0,0,6375736,0,3981 135,3,2024-09-07 08:28:21:023,1,100,2,0,89,708,100,0 136,0,2024-09-07 08:28:21:680,25580,0.4,25435,0.6,51180,0.3,68389,1.75 136,1,2024-09-07 08:28:21:461,175412,175412,0,0,82275201345,864461434,173131,2133,148,384,391641,0 136,2,2024-09-07 08:28:21:137,124777,124777,0,0,5351965,0,3506 136,3,2024-09-07 08:28:21:106,1,100,7,0,108,950,100,0 137,0,2024-09-07 08:28:20:958,25932,0.6,25069,0.7,49753,0.5,67120,2.00 137,1,2024-09-07 08:28:20:587,175454,175454,0,0,82528574868,864104138,173309,2029,116,367,391608,0 137,2,2024-09-07 08:28:21:712,124399,124399,0,0,6722968,0,2632 137,3,2024-09-07 08:28:20:770,1,100,32,1,227,1298,100,0 138,0,2024-09-07 08:28:21:813,25273,2.3,25553,1.5,51827,3.5,68751,2.75 138,1,2024-09-07 08:28:21:697,175114,175114,0,0,82345912771,865398347,172375,2394,345,369,391954,0 138,2,2024-09-07 08:28:20:597,126463,126463,0,0,5846308,0,3263 138,3,2024-09-07 08:28:20:613,1,100,1,0,1160,2087,100,0 139,0,2024-09-07 08:28:21:377,22462,4.1,22511,2.2,45577,5.3,61082,3.50 139,1,2024-09-07 08:28:20:580,174942,174942,0,0,81834556887,870132300,171634,2484,824,381,391892,0 139,2,2024-09-07 08:28:20:700,129031,129031,0,0,6899326,0,3097 139,3,2024-09-07 08:28:21:673,1,100,8,0,244,1500,100,0 140,0,2024-09-07 08:28:21:598,22962,0.3,22870,0.4,45889,0.2,61652,1.50 140,1,2024-09-07 08:28:21:536,176411,176411,0,0,82577066876,852181492,175459,755,197,365,391606,0 140,2,2024-09-07 08:28:20:687,126137,126137,0,0,5258664,0,3388 140,3,2024-09-07 08:28:20:769,1,100,9,0,25,621,100,0 141,0,2024-09-07 08:28:21:707,25134,0.2,25965,0.4,49851,0.1,67176,1.50 141,1,2024-09-07 08:28:20:859,176170,176170,0,0,82839970946,861311094,174680,1159,331,379,391538,0 141,2,2024-09-07 08:28:21:694,124273,124273,0,0,4775769,0,2342 141,3,2024-09-07 08:28:21:043,1,100,9,0,147,748,100,0 142,0,2024-09-07 08:28:21:348,27420,0.3,27106,0.5,53946,0.3,72002,1.75 142,1,2024-09-07 08:28:20:612,176269,176269,0,0,82202812052,854442727,175705,548,16,384,391649,0 142,2,2024-09-07 08:28:21:299,124761,124729,32,0,6407057,0,6028 142,3,2024-09-07 08:28:21:752,1,100,0,0,484,1700,100,0 143,0,2024-09-07 08:28:21:395,25315,1.0,25409,0.8,51140,1.2,67565,2.00 143,1,2024-09-07 08:28:20:558,175866,175866,0,0,82598303161,856804941,174636,1194,36,367,391619,0 143,2,2024-09-07 08:28:20:780,129298,129298,0,0,5621048,0,2669 143,3,2024-09-07 08:28:21:150,1,100,5,0,236,1532,100,0 144,0,2024-09-07 08:28:21:555,21156,0.9,21751,2.7,44248,0.9,59262,2.25 144,1,2024-09-07 08:28:20:564,175447,175447,0,0,82061474819,861617378,173816,1434,197,381,391638,0 144,2,2024-09-07 08:28:21:772,128371,128371,0,0,5069814,0,3473 144,3,2024-09-07 08:28:21:751,1,100,4,1,169,1368,100,0 145,0,2024-09-07 08:28:21:366,25913,0.6,25835,0.9,54677,0.6,71190,2.25 145,1,2024-09-07 08:28:20:553,174222,174222,0,0,81737404155,865641082,170925,2666,631,383,391615,0 145,2,2024-09-07 08:28:21:430,124052,124052,0,0,6143415,0,3903 145,3,2024-09-07 08:28:20:896,1,100,20,0,151,1305,100,0 146,0,2024-09-07 08:28:21:663,27141,0.6,27024,0.8,54454,0.6,72331,2.25 146,1,2024-09-07 08:28:21:600,175580,175580,0,0,82444717189,868085706,172504,2595,481,368,391600,0 146,2,2024-09-07 08:28:21:701,124886,124886,0,0,5643626,0,2379 146,3,2024-09-07 08:28:21:276,1,100,0,0,1520,3379,100,0 147,0,2024-09-07 08:28:21:721,25795,2.3,25698,1.5,50938,3.3,69611,3.00 147,1,2024-09-07 08:28:21:379,176233,176233,0,0,82752052410,860684903,174430,1447,356,368,391791,0 147,2,2024-09-07 08:28:21:107,128600,128600,0,0,5507270,0,2789 147,3,2024-09-07 08:28:20:925,1,100,1,0,141,1005,100,0 0,0,2024-09-07 08:28:31:739,25434,0.6,25329,0.7,53591,0.6,69843,1.75 0,1,2024-09-07 08:28:30:811,177279,177279,0,0,83168187748,872453719,175967,1183,129,372,391692,0 0,2,2024-09-07 08:28:31:083,125922,125922,0,0,5592791,0,4480 0,3,2024-09-07 08:28:30:985,1,101,22,0,247,1584,101,0 1,0,2024-09-07 08:28:31:754,26504,2.4,26252,1.6,52954,3.0,70806,2.50 1,1,2024-09-07 08:28:30:583,176942,176942,0,0,82897530885,870394995,174991,1416,535,372,391857,0 1,2,2024-09-07 08:28:30:669,128153,128153,0,0,5323412,0,3267 1,3,2024-09-07 08:28:31:301,1,101,11,0,167,1122,101,0 2,0,2024-09-07 08:28:31:572,23008,0.8,23121,1.1,45814,1.1,61585,2.00 2,1,2024-09-07 08:28:30:868,177444,177444,0,0,83263413255,866408256,176335,905,204,380,391558,0 2,2,2024-09-07 08:28:31:266,130787,130787,0,0,5464122,0,3304 2,3,2024-09-07 08:28:30:722,1,101,2,0,214,972,101,0 3,0,2024-09-07 08:28:31:749,24757,0.4,24829,0.5,49615,0.3,66153,1.75 3,1,2024-09-07 08:28:31:618,176591,176591,0,0,83300466669,870218744,174419,1784,388,382,391516,0 3,2,2024-09-07 08:28:31:145,125512,125489,23,0,5636927,0,5851 3,3,2024-09-07 08:28:31:764,1,101,1,0,103,698,101,0 4,0,2024-09-07 08:28:31:868,24336,0.3,25049,0.4,50576,0.2,66394,1.50 4,1,2024-09-07 08:28:30:607,176996,176996,0,0,83316325131,880389692,174509,1969,518,371,391846,0 4,2,2024-09-07 08:28:31:041,125284,125284,0,0,6911286,0,4528 4,3,2024-09-07 08:28:31:042,1,101,7,1,287,1541,101,0 5,0,2024-09-07 08:28:31:398,26546,0.6,26360,0.7,53087,0.7,70041,1.75 5,1,2024-09-07 08:28:30:767,176292,176292,0,0,82839987487,877075786,172974,2387,931,368,392005,0 5,2,2024-09-07 08:28:31:834,127265,127265,0,0,5810373,0,2259 5,3,2024-09-07 08:28:31:742,1,101,23,0,238,1781,101,0 6,0,2024-09-07 08:28:30:952,24665,0.8,24401,1.0,48585,0.9,65097,2.25 6,1,2024-09-07 08:28:30:750,177776,177776,0,0,83240339920,870035912,176245,1456,75,381,391603,0 6,2,2024-09-07 08:28:31:126,131548,131548,0,0,5841638,0,2411 6,3,2024-09-07 08:28:31:276,1,101,2,0,340,1759,101,0 7,0,2024-09-07 08:28:31:539,21706,0.4,21713,0.5,43751,0.3,58644,1.75 7,1,2024-09-07 08:28:30:851,177552,177552,0,0,83044098330,870231889,175814,1673,65,383,391664,0 7,2,2024-09-07 08:28:30:773,126745,126745,0,0,5318600,0,2981 7,3,2024-09-07 08:28:30:852,1,101,1,0,305,1094,101,0 8,0,2024-09-07 08:28:31:337,26074,0.3,26333,0.5,51756,0.3,69260,1.50 8,1,2024-09-07 08:28:31:050,176993,176993,0,0,83239785341,882594934,173386,2354,1253,368,391724,0 8,2,2024-09-07 08:28:30:798,124121,124121,0,0,6628844,0,2986 8,3,2024-09-07 08:28:30:620,1,101,2,0,357,1737,101,0 9,0,2024-09-07 08:28:31:158,27695,0.4,27030,0.6,56412,0.3,73493,1.75 9,1,2024-09-07 08:28:30:578,177062,177062,0,0,82900014970,879898561,173508,2550,1004,370,391738,0 9,2,2024-09-07 08:28:31:091,126617,126617,0,0,6067387,0,3360 9,3,2024-09-07 08:28:31:752,1,101,9,0,496,1734,101,0 10,0,2024-09-07 08:28:31:606,26447,0.5,26484,0.7,52956,0.5,70714,2.00 10,1,2024-09-07 08:28:30:588,176847,176847,0,0,83045145708,876431150,173260,3064,523,381,391741,0 10,2,2024-09-07 08:28:30:766,129636,129636,0,0,6198200,0,2940 10,3,2024-09-07 08:28:30:871,1,101,2,0,136,677,101,0 11,0,2024-09-07 08:28:31:015,21343,0.5,20910,0.8,43803,0.6,58884,2.00 11,1,2024-09-07 08:28:30:608,176738,176738,0,0,82713596365,874480454,172914,2695,1129,384,391537,0 11,2,2024-09-07 08:28:31:127,129409,129409,0,0,5833960,0,2635 11,3,2024-09-07 08:28:31:298,1,101,1,0,720,2430,101,0 12,0,2024-09-07 08:28:30:999,27994,0.3,27833,0.5,55933,0.3,74071,1.50 12,1,2024-09-07 08:28:30:949,176690,176690,0,0,82571892890,865798935,174476,1851,363,371,391837,0 12,2,2024-09-07 08:28:31:541,126237,126237,0,0,5196460,0,2254 12,3,2024-09-07 08:28:31:065,1,101,1,0,358,1873,101,0 13,0,2024-09-07 08:28:31:367,27023,0.4,27141,0.5,54177,0.4,72220,1.75 13,1,2024-09-07 08:28:31:543,177573,177573,0,0,83382521976,875574005,175962,1244,367,384,391717,0 13,2,2024-09-07 08:28:30:631,127334,127334,0,0,5014838,0,3287 13,3,2024-09-07 08:28:31:767,1,101,3,1,416,2028,101,0 14,0,2024-09-07 08:28:30:576,26701,0.6,26916,0.8,53291,0.7,70919,2.00 14,1,2024-09-07 08:28:31:560,178579,178579,0,0,83630847070,866296055,177392,1114,73,364,391546,0 14,2,2024-09-07 08:28:30:767,128515,128515,0,0,5506037,0,2793 14,3,2024-09-07 08:28:31:131,1,101,1,0,906,1386,101,0 15,0,2024-09-07 08:28:31:561,22315,0.6,22117,1.0,44303,0.7,59571,2.00 15,1,2024-09-07 08:28:31:610,176924,176924,0,0,83577734050,868701498,176025,864,35,381,391536,0 15,2,2024-09-07 08:28:31:007,131682,131682,0,0,4518864,0,3043 15,3,2024-09-07 08:28:31:412,1,101,1,0,538,2527,101,0 16,0,2024-09-07 08:28:30:960,25715,0.4,25969,0.6,51389,0.3,68721,2.00 16,1,2024-09-07 08:28:30:577,177470,177470,0,0,83323558001,871322731,176308,1134,28,372,391756,0 16,2,2024-09-07 08:28:31:438,124359,124359,0,0,5819476,0,4719 16,3,2024-09-07 08:28:31:150,1,101,9,0,231,1528,101,0 17,0,2024-09-07 08:28:31:823,26144,0.5,25665,0.6,50294,0.5,67976,1.75 17,1,2024-09-07 08:28:30:684,177114,177114,0,0,82338043046,868703974,174914,1673,527,369,391688,0 17,2,2024-09-07 08:28:31:670,127240,127240,0,0,4958793,0,2857 17,3,2024-09-07 08:28:30:618,1,101,20,0,268,1812,101,0 18,0,2024-09-07 08:28:30:972,25337,1.2,25780,1.1,51853,1.4,68600,2.25 18,1,2024-09-07 08:28:31:643,177508,177508,0,0,83165946328,864251308,176243,1047,218,368,391564,0 18,2,2024-09-07 08:28:31:764,127809,127809,0,0,5827463,0,3541 18,3,2024-09-07 08:28:30:906,1,101,19,0,163,1083,101,0 19,0,2024-09-07 08:28:31:563,22972,1.1,23232,1.1,45884,1.4,61178,3.50 19,1,2024-09-07 08:28:30:575,177518,177518,0,0,83895777441,875112486,175504,1848,166,367,391777,0 19,2,2024-09-07 08:28:31:768,131881,131881,0,0,5321540,0,3988 19,3,2024-09-07 08:28:31:136,1,101,2,0,524,1266,101,0 20,0,2024-09-07 08:28:31:360,23452,0.5,23407,0.6,46763,0.4,62394,2.00 20,1,2024-09-07 08:28:30:580,177318,177318,0,0,83445259782,875934060,175325,1817,176,370,391598,0 20,2,2024-09-07 08:28:30:945,125653,125653,0,0,5495206,0,3721 20,3,2024-09-07 08:28:30:605,1,101,16,0,414,2002,101,0 21,0,2024-09-07 08:28:31:262,25626,0.4,25531,0.5,50984,0.3,67246,1.75 21,1,2024-09-07 08:28:31:755,176604,176604,0,0,82594430065,871679158,174075,2024,505,368,391962,0 21,2,2024-09-07 08:28:31:084,126104,126104,0,0,5842428,0,3747 21,3,2024-09-07 08:28:31:411,1,101,2,0,93,1298,101,0 22,0,2024-09-07 08:28:31:729,26867,1.2,26838,1.1,53631,1.6,71370,2.50 22,1,2024-09-07 08:28:31:033,176532,176532,0,0,82395044627,875193779,172098,3063,1371,382,391667,0 22,2,2024-09-07 08:28:30:766,127213,127213,0,0,5429675,0,3134 22,3,2024-09-07 08:28:31:070,1,101,69,0,228,1050,101,0 23,0,2024-09-07 08:28:31:392,25257,0.8,25388,0.9,50922,0.8,67532,2.50 23,1,2024-09-07 08:28:31:008,177754,177754,0,0,84198471399,877885143,175561,1622,571,365,391549,0 23,2,2024-09-07 08:28:31:104,130382,130382,0,0,5433011,0,2078 23,3,2024-09-07 08:28:31:754,1,101,4,0,645,1550,101,0 24,0,2024-09-07 08:28:30:892,22546,0.5,22540,0.7,45295,0.5,60326,1.75 24,1,2024-09-07 08:28:30:606,176834,176834,0,0,83534261469,876342441,174837,1322,675,369,391640,0 24,2,2024-09-07 08:28:31:084,127667,127667,0,0,6939300,0,2942 24,3,2024-09-07 08:28:31:690,1,101,10,0,234,1237,101,0 25,0,2024-09-07 08:28:31:349,27637,0.4,27040,0.7,52909,0.4,71807,1.75 25,1,2024-09-07 08:28:30:591,176875,176875,0,0,82719241914,869797027,174648,1910,317,371,391788,0 25,2,2024-09-07 08:28:31:616,124546,124546,0,0,6326124,0,3284 25,3,2024-09-07 08:28:31:018,1,101,132,0,158,1185,101,0 26,0,2024-09-07 08:28:31:740,27307,0.4,26678,0.6,55861,0.4,73298,1.75 26,1,2024-09-07 08:28:31:543,177637,177637,0,0,82758278349,873264632,174041,2761,835,381,391564,0 26,2,2024-09-07 08:28:30:874,126696,126696,0,0,6082711,0,2809 26,3,2024-09-07 08:28:31:728,1,101,3,0,796,1432,101,0 27,0,2024-09-07 08:28:31:737,26441,0.7,26526,0.8,52668,0.8,70693,1.75 27,1,2024-09-07 08:28:31:676,177897,177897,0,0,83339234489,867021704,176513,1089,295,381,391539,0 27,2,2024-09-07 08:28:30:889,128260,128260,0,0,7011992,0,3409 27,3,2024-09-07 08:28:31:019,1,101,1,0,564,1427,101,0 28,0,2024-09-07 08:28:31:401,21977,0.6,22438,0.8,44671,0.8,59755,2.00 28,1,2024-09-07 08:28:30:810,177766,177766,0,0,83958888899,873794365,176571,995,200,383,391646,0 28,2,2024-09-07 08:28:31:771,130408,130408,0,0,4775789,0,2609 28,3,2024-09-07 08:28:31:781,1,101,1,0,502,1319,101,0 29,0,2024-09-07 08:28:31:361,27199,0.3,26508,0.4,51925,0.2,71388,1.75 29,1,2024-09-07 08:28:31:560,178520,178520,0,0,83118031518,861635862,176996,1049,475,369,391621,0 29,2,2024-09-07 08:28:30:864,125374,125374,0,0,4464506,0,4018 29,3,2024-09-07 08:28:30:967,1,101,8,0,105,803,101,0 30,0,2024-09-07 08:28:31:482,26027,0.9,25341,0.9,53047,1.0,69827,2.50 30,1,2024-09-07 08:28:30:603,177898,177898,0,0,84000284073,873277306,176832,1001,65,382,391584,0 30,2,2024-09-07 08:28:31:279,127294,127294,0,0,4504274,0,3161 30,3,2024-09-07 08:28:30:583,1,101,5,0,195,780,101,0 31,0,2024-09-07 08:28:31:776,26262,1.0,26353,1.0,53220,1.1,70491,2.25 31,1,2024-09-07 08:28:30:573,178496,178496,0,0,83678322140,856287185,178243,251,2,356,391553,0 31,2,2024-09-07 08:28:31:276,128462,128462,0,0,5800710,0,3525 31,3,2024-09-07 08:28:31:705,1,101,12,0,129,758,101,0 32,0,2024-09-07 08:28:31:428,23213,0.8,23334,0.9,46716,0.7,62066,1.75 32,1,2024-09-07 08:28:30:810,178200,178200,0,0,83243238563,864610610,177183,988,29,382,391595,0 32,2,2024-09-07 08:28:30:946,131368,131368,0,0,5122809,0,3155 32,3,2024-09-07 08:28:31:019,1,101,4,0,227,1129,101,0 33,0,2024-09-07 08:28:31:503,24899,0.2,24647,0.4,49883,0.2,66182,1.50 33,1,2024-09-07 08:28:30:581,178352,178352,0,0,83675632267,868549467,176636,1593,123,369,391730,0 33,2,2024-09-07 08:28:30:761,125844,125811,33,0,6252505,0,7012 33,3,2024-09-07 08:28:30:906,1,101,50,0,211,966,101,0 34,0,2024-09-07 08:28:30:960,25072,0.3,25869,0.5,49702,0.2,66417,1.50 34,1,2024-09-07 08:28:31:061,178433,178433,0,0,83843336301,863628345,177794,634,5,367,391517,0 34,2,2024-09-07 08:28:30:766,125703,125703,0,0,5528911,0,3255 34,3,2024-09-07 08:28:31:688,1,101,28,0,148,766,101,0 35,0,2024-09-07 08:28:30:869,26258,0.6,26563,0.7,52789,0.7,70148,1.75 35,1,2024-09-07 08:28:31:071,177670,177670,0,0,83316392541,865378533,176191,1117,362,384,391587,0 35,2,2024-09-07 08:28:31:582,127221,127221,0,0,5010713,0,2542 35,3,2024-09-07 08:28:30:917,1,101,1,0,219,1202,101,0 36,0,2024-09-07 08:28:31:533,24519,1.2,24444,1.2,49480,1.5,64950,2.50 36,1,2024-09-07 08:28:30:626,177203,177203,0,0,83142001994,872629003,174093,2178,932,366,391543,0 36,2,2024-09-07 08:28:31:751,130400,130400,0,0,6507182,0,3303 36,3,2024-09-07 08:28:30:873,1,101,1,0,378,1201,101,0 37,0,2024-09-07 08:28:31:378,21945,0.4,21783,0.5,43664,0.2,58750,1.75 37,1,2024-09-07 08:28:30:607,176924,176917,0,7,82555308669,872471452,173553,1823,1541,365,391518,0 37,2,2024-09-07 08:28:31:144,127127,127127,0,0,4929586,0,2333 37,3,2024-09-07 08:28:31:771,1,101,16,0,724,2131,101,0 38,0,2024-09-07 08:28:31:450,25950,0.4,25131,0.6,52542,0.4,68777,1.75 38,1,2024-09-07 08:28:31:605,178131,178131,0,0,83145297334,872283098,175269,2341,521,368,391821,0 38,2,2024-09-07 08:28:30:765,125848,125848,0,0,6048972,0,3245 38,3,2024-09-07 08:28:31:006,1,101,1,0,603,1482,101,0 39,0,2024-09-07 08:28:31:780,28417,0.8,27814,0.8,54262,1.0,73679,2.00 39,1,2024-09-07 08:28:30:729,177325,177325,0,0,82453038306,864023233,174385,2272,668,365,391524,0 39,2,2024-09-07 08:28:31:419,126969,126969,0,0,5608583,0,2689 39,3,2024-09-07 08:28:30:722,1,101,1,0,276,1567,101,0 40,0,2024-09-07 08:28:31:495,25885,1.3,26457,1.8,52432,2.2,70174,3.75 40,1,2024-09-07 08:28:30:582,176875,176875,0,0,82099742133,865330167,173183,2928,764,369,391591,0 40,2,2024-09-07 08:28:31:304,129740,129739,1,0,7133854,0,5137 40,3,2024-09-07 08:28:31:143,1,101,37,0,110,942,101,0 41,0,2024-09-07 08:28:31:074,21251,2.0,21709,2.4,41637,3.3,57376,4.50 41,1,2024-09-07 08:28:30:769,177239,177239,0,0,83471791711,877721183,173952,2771,516,370,391742,0 41,2,2024-09-07 08:28:30:761,128823,128823,0,0,6712032,0,3356 41,3,2024-09-07 08:28:31:678,1,101,12,0,366,1376,101,0 42,0,2024-09-07 08:28:31:488,27200,0.6,27439,0.9,54685,0.6,72247,2.50 42,1,2024-09-07 08:28:31:439,176739,176739,0,0,83220027942,876945201,173591,2318,830,380,391675,0 42,2,2024-09-07 08:28:31:140,124529,124529,0,0,6095683,0,3568 42,3,2024-09-07 08:28:31:023,1,101,6,0,100,808,101,0 43,0,2024-09-07 08:28:30:937,26967,0.9,26254,1.0,54858,1.0,72288,2.25 43,1,2024-09-07 08:28:30:607,176872,176872,0,0,83387918747,872140775,174440,1680,752,366,391604,0 43,2,2024-09-07 08:28:31:736,127067,127067,0,0,6211243,0,3812 43,3,2024-09-07 08:28:31:749,1,101,13,0,325,1811,101,0 44,0,2024-09-07 08:28:30:863,26842,0.6,26657,0.9,53590,0.6,71569,2.00 44,1,2024-09-07 08:28:30:570,177941,177941,0,0,83094278669,858342809,176860,973,108,356,391569,0 44,2,2024-09-07 08:28:31:272,128645,128645,0,0,4475777,0,1865 44,3,2024-09-07 08:28:31:103,1,101,1,0,817,1464,101,0 45,0,2024-09-07 08:28:31:763,21891,0.6,21490,1.0,44911,0.5,59886,1.75 45,1,2024-09-07 08:28:31:013,178113,178113,0,0,83374867263,864271702,177511,601,1,382,391917,0 45,2,2024-09-07 08:28:31:268,131351,131351,0,0,5417080,0,3596 45,3,2024-09-07 08:28:30:936,1,101,0,0,226,956,101,0 46,0,2024-09-07 08:28:30:958,25865,0.3,25869,0.4,52045,0.2,68739,1.50 46,1,2024-09-07 08:28:30:618,178295,178295,0,0,83311144621,860132232,177408,849,38,366,391514,0 46,2,2024-09-07 08:28:30:609,125850,125850,0,0,4843280,0,2920 46,3,2024-09-07 08:28:31:136,1,101,28,0,363,1712,101,0 47,0,2024-09-07 08:28:31:146,25438,0.3,25595,0.5,51401,0.3,67658,1.75 47,1,2024-09-07 08:28:30:607,178214,178214,0,0,82732794886,853808883,177522,687,5,366,391605,0 47,2,2024-09-07 08:28:30:908,127241,127241,0,0,5128070,0,2558 47,3,2024-09-07 08:28:31:130,1,101,15,0,529,1225,101,0 48,0,2024-09-07 08:28:31:501,26346,0.3,26072,0.5,52022,0.3,69345,1.75 48,1,2024-09-07 08:28:31:040,177722,177722,0,0,83306809828,865129348,176516,1200,6,384,391637,0 48,2,2024-09-07 08:28:30:709,127943,127943,0,0,4373681,0,2083 48,3,2024-09-07 08:28:30:759,1,101,69,0,69,836,101,0 49,0,2024-09-07 08:28:31:723,23924,0.6,23303,0.8,45740,0.7,62772,1.75 49,1,2024-09-07 08:28:31:028,177217,177217,0,0,83435056521,871539577,175450,1056,711,382,391583,0 49,2,2024-09-07 08:28:31:804,131344,131344,0,0,5624068,0,3900 49,3,2024-09-07 08:28:31:429,1,101,14,0,274,1006,101,0 50,0,2024-09-07 08:28:31:554,23679,0.2,23239,0.4,46741,0.1,63051,1.50 50,1,2024-09-07 08:28:31:026,178518,178518,0,0,83861318934,871397185,176628,1593,297,368,391530,0 50,2,2024-09-07 08:28:31:073,126102,126102,0,0,4649212,0,2253 50,3,2024-09-07 08:28:31:290,1,101,9,0,335,1046,101,0 51,0,2024-09-07 08:28:31:695,26046,0.2,25514,0.4,49955,0.2,67516,1.50 51,1,2024-09-07 08:28:31:690,177930,177930,0,0,84182661665,873889368,176121,1025,784,367,391637,0 51,2,2024-09-07 08:28:31:323,125600,125600,0,0,4016945,0,2448 51,3,2024-09-07 08:28:31:028,1,101,1,0,162,632,101,0 52,0,2024-09-07 08:28:31:496,27170,0.7,27250,0.8,54573,0.8,71930,2.25 52,1,2024-09-07 08:28:30:582,176969,176969,0,0,83327635294,877773955,173472,2791,706,368,391722,0 52,2,2024-09-07 08:28:31:768,126554,126554,0,0,6025220,0,4779 52,3,2024-09-07 08:28:30:676,1,101,23,0,1782,2642,101,0 53,0,2024-09-07 08:28:31:742,25191,1.5,24402,1.2,50816,1.7,66706,2.75 53,1,2024-09-07 08:28:30:772,176917,176917,0,0,83835202961,880775239,173831,2505,581,370,391617,0 53,2,2024-09-07 08:28:31:309,131056,131056,0,0,5138527,0,1902 53,3,2024-09-07 08:28:30:705,1,101,5,0,133,781,101,0 54,0,2024-09-07 08:28:31:624,21673,2.5,22058,1.3,43200,0.9,59490,4.25 54,1,2024-09-07 08:28:30:607,177190,177190,0,0,84011082757,880500547,174019,2627,544,367,391520,0 54,2,2024-09-07 08:28:30:874,128638,128632,6,0,6165575,0,5382 54,3,2024-09-07 08:28:30:765,1,101,5,0,676,2000,101,0 55,0,2024-09-07 08:28:31:767,25887,0.6,26598,0.8,53996,0.5,69621,2.25 55,1,2024-09-07 08:28:30:766,176310,176310,0,0,82626524342,870888720,171934,3591,785,367,391731,0 55,2,2024-09-07 08:28:30:729,124841,124841,0,0,5704474,0,3275 55,3,2024-09-07 08:28:30:681,1,101,1,0,304,1269,101,0 56,0,2024-09-07 08:28:31:564,28142,1.6,26590,1.3,54660,2.1,73400,2.50 56,1,2024-09-07 08:28:30:578,176573,176573,0,0,83697701621,890878561,172299,3424,850,382,391678,0 56,2,2024-09-07 08:28:31:303,126641,126641,0,0,6666589,0,3567 56,3,2024-09-07 08:28:31:072,1,101,7,0,297,1146,101,0 57,0,2024-09-07 08:28:30:992,25795,2.5,25604,1.6,51487,3.8,69289,4.00 57,1,2024-09-07 08:28:30:996,176963,176963,0,0,83433929938,875043936,174888,1907,168,368,391773,0 57,2,2024-09-07 08:28:31:323,129565,129565,0,0,6891949,0,3178 57,3,2024-09-07 08:28:31:742,1,101,3,0,359,1940,101,0 58,0,2024-09-07 08:28:30:619,21341,1.2,20883,1.2,43749,1.8,57576,3.00 58,1,2024-09-07 08:28:30:603,178159,178156,0,3,83953192027,876127059,175949,1980,227,369,391516,3 58,2,2024-09-07 08:28:31:076,130064,130064,0,0,5984433,0,2549 58,3,2024-09-07 08:28:31:073,1,101,9,0,219,982,101,0 59,0,2024-09-07 08:28:31:764,26799,0.5,26418,0.7,53238,0.5,70338,2.25 59,1,2024-09-07 08:28:30:805,177040,177040,0,0,83176671432,875161913,173816,2302,922,369,391515,0 59,2,2024-09-07 08:28:30:583,125847,125847,0,0,5286892,0,2604 59,3,2024-09-07 08:28:31:742,1,101,84,0,1015,2327,101,0 60,0,2024-09-07 08:28:31:714,26427,0.4,26513,0.6,52389,0.4,70019,1.75 60,1,2024-09-07 08:28:30:774,179052,179052,0,0,83854250885,870520239,177953,750,349,370,391761,0 60,2,2024-09-07 08:28:31:146,126185,126185,0,0,5400454,0,3811 60,3,2024-09-07 08:28:31:258,1,101,1,0,124,1055,101,0 61,0,2024-09-07 08:28:31:503,26354,2.0,26509,1.3,52993,3.4,70668,2.00 61,1,2024-09-07 08:28:30:777,176985,176985,0,0,83241947271,874897838,174377,2071,537,382,391589,0 61,2,2024-09-07 08:28:31:127,128440,128440,0,0,5050525,0,1846 61,3,2024-09-07 08:28:31:687,1,101,8,0,199,1385,101,0 62,0,2024-09-07 08:28:31:714,23261,0.7,23804,0.9,45535,0.8,62141,2.00 62,1,2024-09-07 08:28:31:113,178385,178379,0,6,83830316330,865988402,177124,1217,38,365,391586,6 62,2,2024-09-07 08:28:31:649,130369,130368,1,0,6163765,0,5555 62,3,2024-09-07 08:28:31:144,1,101,1,0,287,745,101,0 63,0,2024-09-07 08:28:31:459,24706,0.3,24835,0.5,49481,0.2,66087,1.75 63,1,2024-09-07 08:28:30:811,178576,178570,0,6,83852489960,866658113,178057,510,3,381,391540,6 63,2,2024-09-07 08:28:30:766,125141,125141,0,0,4733084,0,2674 63,3,2024-09-07 08:28:31:741,1,101,5,0,667,1972,101,0 64,0,2024-09-07 08:28:31:522,24950,0.4,24786,0.6,49863,0.4,66378,1.75 64,1,2024-09-07 08:28:30:760,177667,177667,0,0,83483138980,875628133,175097,1775,795,371,391783,0 64,2,2024-09-07 08:28:31:143,126596,126577,19,0,5594077,0,6121 64,3,2024-09-07 08:28:31:141,1,101,17,0,265,1132,101,0 65,0,2024-09-07 08:28:31:687,25788,1.7,26134,1.2,52301,2.1,69396,3.00 65,1,2024-09-07 08:28:30:888,177206,177206,0,0,83119250898,870605744,175378,1608,220,382,391770,0 65,2,2024-09-07 08:28:31:705,128124,128124,0,0,5844496,0,3367 65,3,2024-09-07 08:28:31:697,1,101,11,0,163,1090,101,0 66,0,2024-09-07 08:28:31:780,24071,0.5,24251,0.8,48672,0.5,64401,2.25 66,1,2024-09-07 08:28:31:302,178373,178373,0,0,82842513967,859369471,177629,722,22,380,391588,0 66,2,2024-09-07 08:28:31:136,132486,132486,0,0,5182921,0,3867 66,3,2024-09-07 08:28:31:100,1,101,10,0,291,1264,101,0 67,0,2024-09-07 08:28:31:473,22131,0.4,22104,0.6,43838,0.3,58820,2.00 67,1,2024-09-07 08:28:30:766,177333,177332,0,1,83434460262,873350537,175265,1542,525,381,391787,1 67,2,2024-09-07 08:28:30:619,128058,128058,0,0,4701028,0,2889 67,3,2024-09-07 08:28:31:752,1,101,13,0,138,859,101,0 68,0,2024-09-07 08:28:30:566,26218,0.5,26116,0.7,52078,0.5,69101,2.00 68,1,2024-09-07 08:28:30:607,177050,177050,0,0,83709562972,878560219,175354,1174,522,381,391953,0 68,2,2024-09-07 08:28:31:079,125083,125083,0,0,5893024,0,4883 68,3,2024-09-07 08:28:30:734,1,101,1,0,151,1039,101,0 69,0,2024-09-07 08:28:31:747,27586,1.2,27753,1.0,55345,1.5,73449,2.50 69,1,2024-09-07 08:28:31:035,176558,176558,0,0,83178511990,882306122,173798,1682,1078,384,391611,0 69,2,2024-09-07 08:28:31:747,126934,126934,0,0,6589856,0,3701 69,3,2024-09-07 08:28:30:764,1,101,12,0,238,1382,101,0 70,0,2024-09-07 08:28:31:545,26107,2.6,26212,1.9,52587,1.4,69918,4.00 70,1,2024-09-07 08:28:30:810,177794,177794,0,0,83707311324,870945518,175928,1407,459,368,391725,0 70,2,2024-09-07 08:28:31:326,129178,129178,0,0,6345198,0,4044 70,3,2024-09-07 08:28:30:749,1,101,1,0,178,566,101,0 71,0,2024-09-07 08:28:31:367,21193,2.3,21365,2.1,42310,3.4,57919,3.50 71,1,2024-09-07 08:28:31:598,177640,177640,0,0,83905932040,878035103,175096,2211,333,368,391682,0 71,2,2024-09-07 08:28:31:073,129709,129709,0,0,5633248,0,2146 71,3,2024-09-07 08:28:31:755,1,101,1,0,279,1414,101,0 72,0,2024-09-07 08:28:31:081,28570,0.5,27916,0.8,54652,0.5,74203,2.25 72,1,2024-09-07 08:28:31:044,177238,177238,0,0,82960724848,868777798,174736,2037,465,370,391819,0 72,2,2024-09-07 08:28:31:756,124244,124244,0,0,6734899,0,2570 72,3,2024-09-07 08:28:31:754,1,101,1,0,325,1462,101,0 73,0,2024-09-07 08:28:31:152,26397,0.4,27083,0.6,55327,0.4,71889,2.25 73,1,2024-09-07 08:28:30:779,177214,177214,0,0,83311935092,866050964,176104,1063,47,368,391627,0 73,2,2024-09-07 08:28:31:743,127341,127341,0,0,6529534,0,3482 73,3,2024-09-07 08:28:30:979,1,101,8,0,274,1526,101,0 74,0,2024-09-07 08:28:31:326,26839,1.0,27283,1.1,52162,1.6,71071,2.75 74,1,2024-09-07 08:28:30:648,177256,177256,0,0,82715748884,865838621,175078,1573,605,382,391511,0 74,2,2024-09-07 08:28:31:007,128034,128034,0,0,6819437,0,4253 74,3,2024-09-07 08:28:31:454,1,101,0,0,246,1537,101,0 75,0,2024-09-07 08:28:31:785,22282,1.3,22362,1.3,44467,1.4,60186,2.75 75,1,2024-09-07 08:28:31:584,177201,177201,0,0,83494669986,875908761,174912,1926,363,381,391579,0 75,2,2024-09-07 08:28:31:350,130563,130563,0,0,6348592,0,4766 75,3,2024-09-07 08:28:31:072,1,101,3,0,535,1374,101,0 76,0,2024-09-07 08:28:30:605,26042,0.3,25644,0.5,51312,0.2,68528,1.75 76,1,2024-09-07 08:28:30:811,177184,177184,0,0,82487141099,863899256,175364,1312,508,382,391530,0 76,2,2024-09-07 08:28:31:081,126115,126115,0,0,4853262,0,3064 76,3,2024-09-07 08:28:31:142,1,101,1,0,175,1107,101,0 77,0,2024-09-07 08:28:31:700,25486,0.6,25682,0.7,51374,0.6,67635,1.75 77,1,2024-09-07 08:28:30:826,177700,177700,0,0,83307845201,868166666,176632,999,69,383,391555,0 77,2,2024-09-07 08:28:31:285,126625,126625,0,0,4467054,0,1695 77,3,2024-09-07 08:28:31:102,1,101,21,0,139,1028,101,0 78,0,2024-09-07 08:28:31:724,26057,0.7,26040,0.9,52018,0.8,69002,2.25 78,1,2024-09-07 08:28:30:620,178123,178123,0,0,83188408783,865704768,176578,1340,205,367,391589,0 78,2,2024-09-07 08:28:31:412,128540,128540,0,0,4645521,0,2114 78,3,2024-09-07 08:28:31:143,1,101,2,0,181,1344,101,0 79,0,2024-09-07 08:28:31:353,22475,0.6,23031,0.9,47106,0.6,61481,2.50 79,1,2024-09-07 08:28:30:577,178188,178188,0,0,83579946594,868106039,176400,1617,171,370,391682,0 79,2,2024-09-07 08:28:31:068,131761,131761,0,0,5055099,0,2679 79,3,2024-09-07 08:28:30:759,1,101,287,0,289,1315,101,0 80,0,2024-09-07 08:28:31:112,23209,0.5,24071,0.7,46238,0.4,62755,2.00 80,1,2024-09-07 08:28:31:622,177269,177269,0,0,82861210095,862838153,175517,1635,117,368,391673,0 80,2,2024-09-07 08:28:31:120,126549,126549,0,0,5100648,0,4433 80,3,2024-09-07 08:28:30:576,1,101,1,1,190,1562,101,0 81,0,2024-09-07 08:28:31:538,25523,0.5,26242,0.6,50258,0.5,67511,1.75 81,1,2024-09-07 08:28:31:650,177418,177418,0,0,82715873694,866849456,175490,1729,199,382,391680,0 81,2,2024-09-07 08:28:31:132,125083,125083,0,0,5567740,0,3993 81,3,2024-09-07 08:28:31:118,1,101,8,0,193,998,101,0 82,0,2024-09-07 08:28:31:547,26981,0.7,26956,0.9,54222,0.8,72011,2.25 82,1,2024-09-07 08:28:30:608,177359,177355,0,4,83147190853,869763637,175170,1611,574,382,391558,4 82,2,2024-09-07 08:28:31:693,128026,128026,0,0,4628406,0,2230 82,3,2024-09-07 08:28:31:764,1,101,2,0,227,1554,101,0 83,0,2024-09-07 08:28:31:529,25427,1.3,25200,1.1,50127,1.5,66592,2.50 83,1,2024-09-07 08:28:30:575,177443,177443,0,0,83745394058,874726638,175945,1397,101,383,391553,0 83,2,2024-09-07 08:28:30:766,129757,129757,0,0,5564704,0,3119 83,3,2024-09-07 08:28:30:758,1,101,126,0,127,979,101,0 84,0,2024-09-07 08:28:31:779,22185,1.4,21903,1.5,44030,0.9,59836,2.75 84,1,2024-09-07 08:28:31:062,176788,176788,0,0,83018011578,872687879,174345,2060,383,369,391638,0 84,2,2024-09-07 08:28:30:582,128366,128366,0,0,5780365,0,3801 84,3,2024-09-07 08:28:31:144,1,101,19,1,58,946,101,0 85,0,2024-09-07 08:28:31:056,25836,0.6,25820,0.8,54693,0.5,70866,2.25 85,1,2024-09-07 08:28:30:577,176364,176364,0,0,82860291718,878987392,172903,2987,474,383,391679,0 85,2,2024-09-07 08:28:30:878,125071,125071,0,0,6297342,0,3656 85,3,2024-09-07 08:28:30:694,1,101,19,0,115,1045,101,0 86,0,2024-09-07 08:28:30:909,27389,0.7,28281,0.8,54340,0.8,73335,2.00 86,1,2024-09-07 08:28:30:833,177108,177108,0,0,84057308641,881202345,174624,2206,278,367,391961,0 86,2,2024-09-07 08:28:30:856,126245,126244,1,0,6883478,0,5004 86,3,2024-09-07 08:28:30:601,1,101,1,0,199,1302,101,0 87,0,2024-09-07 08:28:31:318,26195,2.4,26089,1.5,52386,3.7,70526,2.75 87,1,2024-09-07 08:28:30:568,177053,177053,0,0,82249235771,864463754,174771,2143,139,366,391788,0 87,2,2024-09-07 08:28:31:073,129027,129027,0,0,5723573,0,3515 87,3,2024-09-07 08:28:31:802,1,101,12,1,335,1754,101,0 88,0,2024-09-07 08:28:31:452,22127,0.7,22421,0.8,44520,0.9,59695,2.00 88,1,2024-09-07 08:28:30:576,176608,176608,0,0,83346277283,876431106,174297,1602,709,367,391787,0 88,2,2024-09-07 08:28:30:695,130399,130399,0,0,6697594,0,3583 88,3,2024-09-07 08:28:31:267,1,101,1,1,77,1121,101,0 89,0,2024-09-07 08:28:31:786,27338,0.3,26521,0.5,52663,0.3,71520,1.75 89,1,2024-09-07 08:28:30:709,176466,176466,0,0,83279333427,885701763,172565,3095,806,382,391866,0 89,2,2024-09-07 08:28:31:142,124975,124975,0,0,6017104,0,2726 89,3,2024-09-07 08:28:31:801,1,101,5,0,325,2167,101,0 90,0,2024-09-07 08:28:31:635,25461,0.4,26014,0.6,53435,0.4,69871,1.75 90,1,2024-09-07 08:28:30:600,177508,177508,0,0,83264013072,878015695,175040,2317,151,382,391825,0 90,2,2024-09-07 08:28:31:405,125365,125365,0,0,6875971,0,2635 90,3,2024-09-07 08:28:30:937,1,101,18,1,200,1112,101,0 91,0,2024-09-07 08:28:30:940,26905,0.6,26000,0.7,54001,0.6,71063,1.75 91,1,2024-09-07 08:28:30:576,177115,177115,0,0,83521218318,881801388,174034,2589,492,383,391914,0 91,2,2024-09-07 08:28:31:332,127266,127266,0,0,6347723,0,1997 91,3,2024-09-07 08:28:30:613,1,101,1,0,155,1366,101,0 92,0,2024-09-07 08:28:31:470,23526,0.6,24041,0.8,45869,0.8,62343,1.75 92,1,2024-09-07 08:28:30:623,177804,177804,0,0,83284655223,867115839,177132,602,70,382,391717,0 92,2,2024-09-07 08:28:31:350,132653,132653,0,0,5406418,0,2279 92,3,2024-09-07 08:28:31:013,1,101,2,0,68,978,101,0 93,0,2024-09-07 08:28:31:022,24895,0.3,25422,0.5,48584,0.2,66225,1.50 93,1,2024-09-07 08:28:30:809,177635,177635,0,0,83306320037,871418296,175675,1523,437,366,391689,0 93,2,2024-09-07 08:28:30:942,125748,125748,0,0,4805924,0,2509 93,3,2024-09-07 08:28:31:411,1,101,8,0,143,977,101,0 94,0,2024-09-07 08:28:31:619,25175,0.3,25362,0.5,50136,0.3,66293,1.50 94,1,2024-09-07 08:28:30:566,177234,177234,0,0,83191719364,872370876,175350,1805,79,381,391850,0 94,2,2024-09-07 08:28:30:770,125853,125853,0,0,4844112,0,2443 94,3,2024-09-07 08:28:31:687,1,101,1,0,231,1707,101,0 95,0,2024-09-07 08:28:31:366,26511,0.4,26425,0.6,53190,0.4,70244,1.75 95,1,2024-09-07 08:28:30:853,177718,177718,0,0,82816571558,859954427,176828,826,64,367,391600,0 95,2,2024-09-07 08:28:31:031,127872,127872,0,0,5466686,0,3308 95,3,2024-09-07 08:28:31:715,1,101,55,0,307,1735,101,0 96,0,2024-09-07 08:28:31:152,24661,0.6,24651,0.7,49158,0.6,64801,1.75 96,1,2024-09-07 08:28:31:586,177630,177630,0,0,82766897913,868538411,175715,1344,571,385,391596,0 96,2,2024-09-07 08:28:31:274,131289,131289,0,0,5817716,0,4038 96,3,2024-09-07 08:28:31:143,1,101,1,0,188,1092,101,0 97,0,2024-09-07 08:28:31:324,21765,0.3,21942,0.5,43987,0.3,58681,1.75 97,1,2024-09-07 08:28:30:765,177681,177681,0,0,83085613977,867647187,175462,1700,519,367,392140,0 97,2,2024-09-07 08:28:30:607,126869,126869,0,0,4974767,0,3036 97,3,2024-09-07 08:28:30:576,1,101,9,1,165,1245,101,0 98,0,2024-09-07 08:28:31:720,26129,0.2,25894,0.4,52399,0.2,69292,1.50 98,1,2024-09-07 08:28:30:574,177517,177517,0,0,83236287235,868205641,176302,1157,58,382,391997,0 98,2,2024-09-07 08:28:30:787,126655,126655,0,0,5214366,0,3080 98,3,2024-09-07 08:28:30:728,1,101,32,1,840,2555,101,0 99,0,2024-09-07 08:28:31:473,27744,0.3,27733,0.5,55437,0.3,73614,1.75 99,1,2024-09-07 08:28:31:939,178260,178260,0,0,82945868207,865356076,176753,1061,446,381,391744,0 99,2,2024-09-07 08:28:31:420,128414,128414,0,0,5512794,0,1858 99,3,2024-09-07 08:28:30:617,1,101,6,0,129,806,101,0 100,0,2024-09-07 08:28:31:509,26384,1.4,26309,1.9,52543,4.2,70781,2.50 100,1,2024-09-07 08:28:30:560,176363,176363,0,0,83252637423,885765224,172733,3143,487,381,391989,0 100,2,2024-09-07 08:28:31:817,129444,129433,11,0,6867419,0,5417 100,3,2024-09-07 08:28:31:735,1,101,1,0,443,2169,101,0 101,0,2024-09-07 08:28:31:706,21976,3.8,21295,1.9,42111,3.9,58750,3.25 101,1,2024-09-07 08:28:30:577,176301,176301,0,0,83095086323,880462499,173092,1970,1239,368,391709,0 101,2,2024-09-07 08:28:31:768,128897,128897,0,0,6695296,0,4644 101,3,2024-09-07 08:28:30:955,1,101,16,0,448,1259,101,0 102,0,2024-09-07 08:28:30:994,27028,0.7,28012,0.8,56212,0.6,73842,2.00 102,1,2024-09-07 08:28:31:143,176714,176714,0,0,82799230599,873918059,173969,2229,516,369,391831,0 102,2,2024-09-07 08:28:31:738,125719,125719,0,0,5132425,0,2144 102,3,2024-09-07 08:28:31:624,1,101,135,0,410,1283,101,0 103,0,2024-09-07 08:28:31:601,27795,0.5,27753,0.7,52540,0.5,72449,2.00 103,1,2024-09-07 08:28:31:629,176522,176522,0,0,83287605866,878736811,173809,2001,712,381,391680,0 103,2,2024-09-07 08:28:30:631,126504,126504,0,0,5775595,0,2104 103,3,2024-09-07 08:28:30:760,1,101,8,0,486,1585,101,0 104,0,2024-09-07 08:28:31:029,26607,1.4,26568,1.2,52211,1.6,71261,2.50 104,1,2024-09-07 08:28:31:607,177590,177590,0,0,82729993834,871851785,174973,2237,380,366,391948,0 104,2,2024-09-07 08:28:31:682,127700,127700,0,0,6286953,0,3941 104,3,2024-09-07 08:28:31:429,1,101,1,1,1245,4260,101,0 105,0,2024-09-07 08:28:31:042,22023,0.8,21309,1.3,44302,1.0,59416,3.00 105,1,2024-09-07 08:28:30:559,177149,177149,0,0,83508485266,880247848,173794,2595,760,367,391797,0 105,2,2024-09-07 08:28:31:323,130394,130394,0,0,6026228,0,3314 105,3,2024-09-07 08:28:31:308,1,101,8,1,399,1826,101,0 106,0,2024-09-07 08:28:30:959,25060,0.4,25730,0.6,52220,0.3,68497,1.75 106,1,2024-09-07 08:28:31:761,177308,177308,0,0,82455931602,867564505,174641,2339,328,369,391767,0 106,2,2024-09-07 08:28:30:760,124679,124679,0,0,5658760,0,2795 106,3,2024-09-07 08:28:30:704,1,101,1,1,201,1003,101,0 107,0,2024-09-07 08:28:31:106,25603,0.5,25445,0.6,50639,0.4,67862,1.75 107,1,2024-09-07 08:28:30:928,176863,176863,0,0,83158078477,876464200,174734,1939,190,381,392234,0 107,2,2024-09-07 08:28:31:295,125402,125401,1,0,5925768,0,5024 107,3,2024-09-07 08:28:31:755,1,101,8,0,353,1497,101,0 108,0,2024-09-07 08:28:31:784,26214,0.5,26393,0.7,52154,0.5,69370,1.75 108,1,2024-09-07 08:28:31:304,177405,177405,0,0,83654937292,873843259,175836,1432,137,371,391857,0 108,2,2024-09-07 08:28:31:768,127776,127776,0,0,5663534,0,2647 108,3,2024-09-07 08:28:31:330,1,101,1,0,272,1502,101,0 109,0,2024-09-07 08:28:31:810,23370,0.6,23504,0.8,46569,0.7,62748,1.75 109,1,2024-09-07 08:28:30:605,177159,177159,0,0,83959112206,883594492,175124,1576,459,383,391812,0 109,2,2024-09-07 08:28:30:946,130465,130465,0,0,5973115,0,3617 109,3,2024-09-07 08:28:31:149,1,101,8,1,249,1572,101,0 110,0,2024-09-07 08:28:31:763,23445,0.2,22788,0.4,47493,0.2,62677,1.50 110,1,2024-09-07 08:28:31:655,178229,178229,0,0,83671941470,869163550,176896,1043,290,370,391667,0 110,2,2024-09-07 08:28:31:303,126182,126182,0,0,4758695,0,2915 110,3,2024-09-07 08:28:30:707,1,101,21,0,183,1107,101,0 111,0,2024-09-07 08:28:31:416,25598,0.2,25193,0.4,50523,0.1,67481,1.50 111,1,2024-09-07 08:28:31:008,178128,178128,0,0,83405016446,862793737,177479,635,14,382,391690,0 111,2,2024-09-07 08:28:31:127,125778,125778,0,0,5396261,0,2763 111,3,2024-09-07 08:28:30:923,1,101,43,0,300,1751,101,0 112,0,2024-09-07 08:28:30:970,27331,0.4,27264,0.5,54423,0.3,72306,1.75 112,1,2024-09-07 08:28:30:833,177625,177625,0,0,83730127391,872548566,176011,1268,346,381,391580,0 112,2,2024-09-07 08:28:31:140,127432,127431,1,0,5846607,0,5036 112,3,2024-09-07 08:28:30:608,1,101,1,0,282,1095,101,0 113,0,2024-09-07 08:28:30:902,25336,0.5,25195,0.6,51175,0.4,67743,1.75 113,1,2024-09-07 08:28:31:703,177741,177741,0,0,83544959875,866468021,175844,1513,384,368,391661,0 113,2,2024-09-07 08:28:31:304,131491,131491,0,0,4820239,0,3021 113,3,2024-09-07 08:28:30:705,1,101,8,0,288,1598,101,0 114,0,2024-09-07 08:28:30:903,22451,1.8,22754,0.9,44636,0.6,60477,2.00 114,1,2024-09-07 08:28:30:724,177678,177678,0,0,83489007284,874863186,175049,1748,881,381,391531,0 114,2,2024-09-07 08:28:30:875,128376,128376,0,0,5310725,0,3925 114,3,2024-09-07 08:28:31:277,1,101,1,0,395,1702,101,0 115,0,2024-09-07 08:28:30:587,26755,0.3,27062,0.4,54298,0.2,71534,1.50 115,1,2024-09-07 08:28:30:578,177939,177939,0,0,84020525305,877209352,175553,1899,487,382,391528,0 115,2,2024-09-07 08:28:31:130,125990,125990,0,0,4541263,0,2152 115,3,2024-09-07 08:28:31:017,1,101,1,0,159,602,101,0 116,0,2024-09-07 08:28:31:724,27654,0.8,27212,0.9,54938,0.9,73336,2.00 116,1,2024-09-07 08:28:30:808,176456,176456,0,0,82783792444,881247241,173136,1934,1386,382,391619,0 116,2,2024-09-07 08:28:31:766,126150,126150,0,0,6641924,0,3529 116,3,2024-09-07 08:28:30:912,1,101,5,1,252,1549,101,0 117,0,2024-09-07 08:28:30:979,26205,1.8,26261,1.3,52147,3.1,70595,2.00 117,1,2024-09-07 08:28:31:580,177348,177348,0,0,82838764416,873882938,174361,2591,396,370,392033,0 117,2,2024-09-07 08:28:31:118,130183,130183,0,0,5752650,0,3700 117,3,2024-09-07 08:28:31:072,1,101,16,0,490,2343,101,0 118,0,2024-09-07 08:28:31:771,21612,0.9,22168,0.9,45274,1.0,59476,2.25 118,1,2024-09-07 08:28:30:616,177091,177091,0,0,82344459654,866687990,174864,1992,235,368,391736,0 118,2,2024-09-07 08:28:31:587,130511,130511,0,0,6144321,0,2781 118,3,2024-09-07 08:28:31:771,1,101,0,0,235,1405,101,0 119,0,2024-09-07 08:28:31:390,26303,0.4,26219,0.7,53470,0.3,70977,2.00 119,1,2024-09-07 08:28:30:570,177652,177652,0,0,83306561908,872708793,175606,1765,281,370,391641,0 119,2,2024-09-07 08:28:31:261,124567,124567,0,0,5352219,0,2532 119,3,2024-09-07 08:28:31:332,1,101,4,0,443,2419,101,0 120,0,2024-09-07 08:28:31:552,26068,0.5,26091,0.8,51936,0.5,69898,2.00 120,1,2024-09-07 08:28:30:868,177401,177401,0,0,83196409964,874446180,175481,1880,40,368,391702,0 120,2,2024-09-07 08:28:30:774,125879,125878,1,0,6990863,0,5281 120,3,2024-09-07 08:28:31:290,1,101,1,0,241,1536,101,0 121,0,2024-09-07 08:28:31:717,26297,2.0,26612,1.3,53043,3.3,70998,2.25 121,1,2024-09-07 08:28:31:655,177094,177094,0,0,83032582295,871414766,174818,1996,280,367,391840,0 121,2,2024-09-07 08:28:31:130,127153,127153,0,0,7246522,0,4127 121,3,2024-09-07 08:28:30:761,1,101,7,0,159,1218,101,0 122,0,2024-09-07 08:28:31:780,23133,1.8,22398,1.6,46739,2.2,62306,2.25 122,1,2024-09-07 08:28:30:868,176539,176539,0,0,83152877423,878802724,173120,2778,641,367,392130,0 122,2,2024-09-07 08:28:31:322,131454,131454,0,0,6995902,0,3364 122,3,2024-09-07 08:28:30:603,1,101,4,0,298,1955,101,0 123,0,2024-09-07 08:28:30:966,24686,0.7,23946,0.7,50035,0.8,65968,2.00 123,1,2024-09-07 08:28:30:567,177785,177785,0,0,83112077608,879695149,174074,3138,573,369,391823,0 123,2,2024-09-07 08:28:31:034,124908,124907,1,0,5781712,0,5215 123,3,2024-09-07 08:28:31:140,1,101,2,1,168,1490,101,0 124,0,2024-09-07 08:28:31:222,25816,0.3,25803,0.4,48646,0.2,66616,1.50 124,1,2024-09-07 08:28:31:029,177557,177557,0,0,83084195346,860881456,176726,686,145,367,392178,0 124,2,2024-09-07 08:28:31:024,126081,126081,0,0,5150544,0,3101 124,3,2024-09-07 08:28:30:765,1,101,10,1,490,1736,101,0 125,0,2024-09-07 08:28:31:438,26664,0.5,26558,0.6,53149,0.6,70213,1.75 125,1,2024-09-07 08:28:30:886,177162,177162,0,0,82923025669,867862280,175241,1647,274,384,391702,0 125,2,2024-09-07 08:28:31:127,128121,128121,0,0,5139402,0,2180 125,3,2024-09-07 08:28:31:126,1,101,8,1,93,1239,101,0 126,0,2024-09-07 08:28:31:559,24625,0.9,25216,0.9,48459,1.1,65290,1.75 126,1,2024-09-07 08:28:30:552,177975,177975,0,0,83072235593,861962989,176838,1079,58,365,391987,0 126,2,2024-09-07 08:28:30:620,131576,131576,0,0,5404629,0,3186 126,3,2024-09-07 08:28:30:907,1,101,136,0,136,1395,101,0 127,0,2024-09-07 08:28:31:614,21866,0.2,21901,0.4,43806,0.2,58690,1.50 127,1,2024-09-07 08:28:30:618,178006,178006,0,0,83499529356,865046199,176764,1217,25,365,391614,0 127,2,2024-09-07 08:28:30:877,127508,127508,0,0,4565741,0,1803 127,3,2024-09-07 08:28:31:267,1,101,16,0,99,751,101,0 128,0,2024-09-07 08:28:31:567,26304,0.3,26266,0.4,52079,0.2,69320,1.50 128,1,2024-09-07 08:28:31:635,177876,177876,0,0,83549076123,865702732,177135,670,71,367,391680,0 128,2,2024-09-07 08:28:31:390,125155,125155,0,0,5297589,0,2107 128,3,2024-09-07 08:28:30:769,1,101,9,0,247,1328,101,0 129,0,2024-09-07 08:28:31:012,27883,0.4,27839,0.5,55393,0.3,73622,1.75 129,1,2024-09-07 08:28:30:623,176934,176934,0,0,83161504950,872546872,174806,1841,287,379,391835,0 129,2,2024-09-07 08:28:30:725,126947,126947,0,0,4780884,0,2446 129,3,2024-09-07 08:28:30:690,1,101,2,1,173,1418,101,0 130,0,2024-09-07 08:28:31:789,26744,1.2,26521,0.9,53197,1.8,71296,2.00 130,1,2024-09-07 08:28:30:588,177571,177571,0,0,83126087939,867085098,176245,1291,35,381,391825,0 130,2,2024-09-07 08:28:31:132,129689,129689,0,0,5585291,0,4067 130,3,2024-09-07 08:28:31:292,1,101,74,0,207,838,101,0 131,0,2024-09-07 08:28:31:965,21814,0.6,21818,0.7,44061,0.7,58883,1.75 131,1,2024-09-07 08:28:31:861,178522,178522,0,0,82950174996,864422758,177423,911,188,384,391865,0 131,2,2024-09-07 08:28:30:574,130188,130188,0,0,4835289,0,2415 131,3,2024-09-07 08:28:31:737,1,101,6,0,392,1260,101,0 132,0,2024-09-07 08:28:31:444,27422,0.5,27810,0.8,55782,0.5,74138,1.75 132,1,2024-09-07 08:28:30:618,176505,176505,0,0,82754615032,876868457,173089,2659,757,381,391719,0 132,2,2024-09-07 08:28:30:708,124719,124719,0,0,7153751,0,4606 132,3,2024-09-07 08:28:31:707,1,101,2,0,356,1804,101,0 133,0,2024-09-07 08:28:31:541,26345,0.5,26890,0.7,55314,0.5,71722,2.00 133,1,2024-09-07 08:28:30:621,176063,176063,0,0,83675264450,885220720,173210,2679,174,383,391755,0 133,2,2024-09-07 08:28:31:093,126242,126242,0,0,6920629,0,4315 133,3,2024-09-07 08:28:31:301,1,101,8,1,187,953,101,0 134,0,2024-09-07 08:28:31:059,26713,0.8,26594,1.0,53555,0.9,71184,2.00 134,1,2024-09-07 08:28:30:584,177023,177023,0,0,82925447457,874507066,173647,2287,1089,366,391718,0 134,2,2024-09-07 08:28:31:766,128127,128127,0,0,5891207,0,3096 134,3,2024-09-07 08:28:30:759,1,101,54,0,739,2242,101,0 135,0,2024-09-07 08:28:31:135,21713,1.2,21600,1.3,45734,1.3,59604,2.25 135,1,2024-09-07 08:28:31:588,176598,176598,0,0,83293070956,880332874,173301,2597,700,380,391703,0 135,2,2024-09-07 08:28:30:697,131227,131227,0,0,6399717,0,3981 135,3,2024-09-07 08:28:31:031,1,101,5,0,89,713,101,0 136,0,2024-09-07 08:28:31:677,25890,0.4,25766,0.6,51839,0.3,69091,1.75 136,1,2024-09-07 08:28:31:442,177141,177141,0,0,82963352264,871836340,174852,2141,148,384,391641,0 136,2,2024-09-07 08:28:31:137,126109,126109,0,0,5423707,0,3506 136,3,2024-09-07 08:28:31:112,1,101,1,0,108,951,101,0 137,0,2024-09-07 08:28:30:973,26210,0.6,25335,0.7,50222,0.5,68034,2.00 137,1,2024-09-07 08:28:30:582,177173,177173,0,0,83327860320,872711895,175006,2051,116,367,391608,0 137,2,2024-09-07 08:28:31:710,125632,125632,0,0,6806099,0,2632 137,3,2024-09-07 08:28:30:773,1,101,54,1,227,1352,101,0 138,0,2024-09-07 08:28:31:764,25419,2.3,25696,1.5,52077,3.5,69245,2.75 138,1,2024-09-07 08:28:31:688,176895,176895,0,0,83137979750,873979440,174136,2414,345,369,391954,0 138,2,2024-09-07 08:28:30:608,127951,127951,0,0,5979179,0,3263 138,3,2024-09-07 08:28:30:624,1,101,2,0,1160,2089,101,0 139,0,2024-09-07 08:28:31:368,22662,4.1,22756,2.2,46030,5.3,61937,3.50 139,1,2024-09-07 08:28:30:612,176661,176661,0,0,82571061029,877900865,173352,2485,824,381,391892,0 139,2,2024-09-07 08:28:30:694,130385,130385,0,0,6994079,0,3097 139,3,2024-09-07 08:28:31:666,1,101,2,0,244,1502,101,0 140,0,2024-09-07 08:28:31:595,23416,0.3,23338,0.4,46841,0.2,62782,1.50 140,1,2024-09-07 08:28:31:537,178179,178179,0,0,83593086214,862635895,177227,755,197,365,391606,0 140,2,2024-09-07 08:28:30:696,126985,126985,0,0,5291628,0,3388 140,3,2024-09-07 08:28:30:771,1,101,1,0,25,622,101,0 141,0,2024-09-07 08:28:31:707,25245,0.2,26069,0.4,50083,0.1,67506,1.50 141,1,2024-09-07 08:28:30:872,177923,177923,0,0,83687245849,870299943,176420,1172,331,379,391538,0 141,2,2024-09-07 08:28:31:693,125708,125708,0,0,4867232,0,2342 141,3,2024-09-07 08:28:31:051,1,101,1,0,147,749,101,0 142,0,2024-09-07 08:28:31:315,27526,0.3,27224,0.5,54152,0.3,72322,1.75 142,1,2024-09-07 08:28:30:601,177994,177994,0,0,82985789405,862808116,177409,569,16,384,391649,0 142,2,2024-09-07 08:28:31:306,126205,126173,32,0,6476932,0,6028 142,3,2024-09-07 08:28:31:746,1,101,5,0,484,1705,101,0 143,0,2024-09-07 08:28:31:384,25417,1.0,25523,0.8,51328,1.2,67566,2.00 143,1,2024-09-07 08:28:30:585,177689,177689,0,0,83462674282,866410934,176334,1318,37,367,391619,0 143,2,2024-09-07 08:28:30:773,130604,130604,0,0,5676849,0,2669 143,3,2024-09-07 08:28:31:141,1,101,2,0,236,1534,101,0 144,0,2024-09-07 08:28:31:607,21560,0.9,22156,2.6,45032,0.9,60195,2.25 144,1,2024-09-07 08:28:30:607,177162,177162,0,0,82665038101,868270374,175516,1449,197,381,391638,0 144,2,2024-09-07 08:28:31:755,129184,129184,0,0,5091694,0,3473 144,3,2024-09-07 08:28:31:739,1,101,1,1,169,1369,101,0 145,0,2024-09-07 08:28:31:373,26016,0.6,25919,0.9,54916,0.6,71420,2.25 145,1,2024-09-07 08:28:30:573,176020,176020,0,0,82554157208,874191761,172723,2666,631,383,391615,0 145,2,2024-09-07 08:28:31:436,125507,125507,0,0,6194994,0,3903 145,3,2024-09-07 08:28:30:912,1,101,7,0,151,1312,101,0 146,0,2024-09-07 08:28:31:623,27395,0.6,27287,0.8,54972,0.6,72921,2.25 146,1,2024-09-07 08:28:31:589,177477,177477,0,0,83430160071,878222761,174401,2595,481,368,391600,0 146,2,2024-09-07 08:28:31:701,126346,126346,0,0,5779330,0,2379 146,3,2024-09-07 08:28:31:289,1,101,6,0,1520,3385,101,0 147,0,2024-09-07 08:28:31:729,26087,2.3,25993,1.5,51463,3.2,70520,2.75 147,1,2024-09-07 08:28:31:373,178029,178029,0,0,83546893921,868977483,176226,1447,356,368,391791,0 147,2,2024-09-07 08:28:31:012,129709,129709,0,0,5553807,0,2789 147,3,2024-09-07 08:28:30:923,1,101,1,0,141,1006,101,0 0,0,2024-09-07 08:28:41:719,25753,0.6,25642,0.7,54279,0.6,70686,1.75 0,1,2024-09-07 08:28:40:806,179117,179117,0,0,84108837568,882203734,177805,1183,129,372,391692,0 0,2,2024-09-07 08:28:41:114,127257,127257,0,0,5625646,0,4480 0,3,2024-09-07 08:28:40:975,1,102,136,0,247,1720,102,0 1,0,2024-09-07 08:28:41:831,26669,2.4,26398,1.6,53263,3.0,71209,2.50 1,1,2024-09-07 08:28:40:569,178704,178704,0,0,83837208808,880056859,176753,1416,535,372,391857,0 1,2,2024-09-07 08:28:40:651,129147,129147,0,0,5355788,0,3267 1,3,2024-09-07 08:28:41:306,1,102,13,0,167,1135,102,0 2,0,2024-09-07 08:28:41:584,23238,0.8,23371,1.0,46314,1.1,62220,2.00 2,1,2024-09-07 08:28:40:859,179208,179208,0,0,83932662273,873335271,178099,905,204,380,391558,0 2,2,2024-09-07 08:28:41:269,132116,132116,0,0,5519036,0,3304 2,3,2024-09-07 08:28:40:691,1,102,3,0,214,975,102,0 3,0,2024-09-07 08:28:41:753,25185,0.4,25212,0.5,50409,0.3,67197,1.75 3,1,2024-09-07 08:28:41:625,178310,178310,0,0,83991023263,877344164,176138,1784,388,382,391516,0 3,2,2024-09-07 08:28:41:149,126860,126837,23,0,5670851,0,5851 3,3,2024-09-07 08:28:41:760,1,102,12,0,103,710,102,0 4,0,2024-09-07 08:28:41:859,24511,0.3,25199,0.4,50910,0.2,66841,1.50 4,1,2024-09-07 08:28:40:594,178771,178771,0,0,84368792051,891327450,176284,1969,518,371,391846,0 4,2,2024-09-07 08:28:41:033,126417,126417,0,0,6978881,0,4528 4,3,2024-09-07 08:28:41:028,1,102,137,1,287,1678,102,0 5,0,2024-09-07 08:28:41:416,26646,0.6,26475,0.7,53262,0.7,70296,1.75 5,1,2024-09-07 08:28:40:760,178033,178033,0,0,83562351412,884906407,174673,2428,932,368,392005,0 5,2,2024-09-07 08:28:41:838,128666,128666,0,0,5875825,0,2259 5,3,2024-09-07 08:28:41:734,1,102,30,0,238,1811,102,0 6,0,2024-09-07 08:28:40:928,24769,0.8,24500,1.0,48761,0.9,65428,2.25 6,1,2024-09-07 08:28:40:747,179545,179545,0,0,84016911663,878040070,178014,1456,75,381,391603,0 6,2,2024-09-07 08:28:41:116,133021,133021,0,0,5904899,0,2411 6,3,2024-09-07 08:28:41:278,1,102,1,0,340,1760,102,0 7,0,2024-09-07 08:28:41:542,22194,0.4,22225,0.5,44735,0.3,59973,1.75 7,1,2024-09-07 08:28:40:852,179336,179336,0,0,83856934790,878574485,177598,1673,65,383,391664,0 7,2,2024-09-07 08:28:40:771,127374,127374,0,0,5340654,0,2981 7,3,2024-09-07 08:28:40:850,1,102,1,0,305,1095,102,0 8,0,2024-09-07 08:28:41:391,26171,0.3,26427,0.5,51966,0.3,69560,1.50 8,1,2024-09-07 08:28:41:024,178678,178678,0,0,84279768663,893513670,175062,2363,1253,368,391724,0 8,2,2024-09-07 08:28:40:792,125587,125587,0,0,6704349,0,2986 8,3,2024-09-07 08:28:40:611,1,102,47,0,357,1784,102,0 9,0,2024-09-07 08:28:41:212,27805,0.4,27149,0.6,56654,0.3,73736,1.75 9,1,2024-09-07 08:28:40:556,178666,178666,0,0,83792444177,889286684,175112,2550,1004,370,391738,0 9,2,2024-09-07 08:28:41:083,128127,128127,0,0,6144290,0,3360 9,3,2024-09-07 08:28:41:769,1,102,20,0,496,1754,102,0 10,0,2024-09-07 08:28:41:606,26663,0.5,26722,0.7,53406,0.5,71260,2.00 10,1,2024-09-07 08:28:40:593,178647,178647,0,0,83940300727,885831889,175060,3064,523,381,391741,0 10,2,2024-09-07 08:28:40:762,130846,130846,0,0,6264772,0,2940 10,3,2024-09-07 08:28:40:871,1,102,13,0,136,690,102,0 11,0,2024-09-07 08:28:41:010,21720,0.5,21332,0.8,44623,0.6,60019,2.00 11,1,2024-09-07 08:28:40:572,178479,178479,0,0,83336683274,880980576,174655,2695,1129,384,391537,0 11,2,2024-09-07 08:28:41:123,130355,130355,0,0,5872552,0,2635 11,3,2024-09-07 08:28:41:297,1,102,119,0,720,2549,102,0 12,0,2024-09-07 08:28:40:975,28209,0.3,28033,0.5,56307,0.3,74382,1.50 12,1,2024-09-07 08:28:40:952,178525,178525,0,0,83444776579,875001766,176311,1851,363,371,391837,0 12,2,2024-09-07 08:28:41:543,127752,127752,0,0,5324868,0,2254 12,3,2024-09-07 08:28:41:089,1,102,24,0,358,1897,102,0 13,0,2024-09-07 08:28:41:381,27308,0.4,27460,0.5,54795,0.4,73019,1.75 13,1,2024-09-07 08:28:41:531,179366,179366,0,0,84420790693,886671074,177746,1253,367,384,391717,0 13,2,2024-09-07 08:28:40:605,128560,128560,0,0,5138752,0,3287 13,3,2024-09-07 08:28:41:781,1,102,9,1,416,2037,102,0 14,0,2024-09-07 08:28:40:569,26914,0.6,27118,0.8,53703,0.7,71482,2.00 14,1,2024-09-07 08:28:41:571,180368,180368,0,0,84589339778,877022067,178985,1306,77,364,391571,0 14,2,2024-09-07 08:28:40:768,129609,129609,0,0,5571798,0,2793 14,3,2024-09-07 08:28:41:118,1,102,1,0,906,1387,102,0 15,0,2024-09-07 08:28:41:581,22752,0.6,22556,1.0,45141,0.7,60830,2.25 15,1,2024-09-07 08:28:41:612,178762,178762,0,0,84372665865,877056480,177863,864,35,381,391536,0 15,2,2024-09-07 08:28:41:004,132854,132854,0,0,4651330,0,3043 15,3,2024-09-07 08:28:41:406,1,102,21,0,538,2548,102,0 16,0,2024-09-07 08:28:40:967,26011,0.4,26255,0.6,51980,0.3,69453,2.00 16,1,2024-09-07 08:28:40:568,179201,179201,0,0,84077946605,879154076,178039,1134,28,372,391756,0 16,2,2024-09-07 08:28:41:446,125692,125692,0,0,5878666,0,4719 16,3,2024-09-07 08:28:41:176,1,102,1,0,231,1529,102,0 17,0,2024-09-07 08:28:41:941,26484,0.5,25956,0.6,50899,0.4,68919,1.75 17,1,2024-09-07 08:28:40:570,178853,178853,0,0,83326563437,878876156,176653,1673,527,369,391688,0 17,2,2024-09-07 08:28:41:685,128565,128565,0,0,5006395,0,2857 17,3,2024-09-07 08:28:40:578,1,102,12,0,268,1824,102,0 18,0,2024-09-07 08:28:40:952,25507,1.2,25975,1.1,52189,1.4,69088,2.25 18,1,2024-09-07 08:28:41:641,179270,179270,0,0,84079525876,873585068,178005,1047,218,368,391564,0 18,2,2024-09-07 08:28:41:769,129271,129271,0,0,5894293,0,3541 18,3,2024-09-07 08:28:40:896,1,102,1,0,163,1084,102,0 19,0,2024-09-07 08:28:41:581,23316,1.1,23515,1.1,46469,1.4,62102,3.50 19,1,2024-09-07 08:28:40:576,179246,179246,0,0,84835225192,884744671,177232,1848,166,367,391777,0 19,2,2024-09-07 08:28:41:755,133180,133180,0,0,5366621,0,3988 19,3,2024-09-07 08:28:41:129,1,102,4,0,524,1270,102,0 20,0,2024-09-07 08:28:41:399,23923,0.5,23835,0.6,47670,0.4,63557,2.00 20,1,2024-09-07 08:28:40:581,179087,179087,0,0,84179831486,883492166,177094,1817,176,370,391598,0 20,2,2024-09-07 08:28:40:941,126567,126567,0,0,5510611,0,3721 20,3,2024-09-07 08:28:40:593,1,102,48,0,414,2050,102,0 21,0,2024-09-07 08:28:41:184,25763,0.4,25663,0.5,51243,0.3,67561,1.75 21,1,2024-09-07 08:28:41:537,178400,178400,0,0,83341601605,879446729,175868,2027,505,368,391962,0 21,2,2024-09-07 08:28:41:099,127412,127412,0,0,5898264,0,3747 21,3,2024-09-07 08:28:41:404,1,102,13,0,93,1311,102,0 22,0,2024-09-07 08:28:41:726,26977,1.2,26970,1.1,53855,1.6,71699,2.50 22,1,2024-09-07 08:28:41:027,178280,178280,0,0,83199737331,883503313,173846,3063,1371,382,391667,0 22,2,2024-09-07 08:28:40:768,128677,128677,0,0,5483392,0,3134 22,3,2024-09-07 08:28:41:068,1,102,1,0,228,1051,102,0 23,0,2024-09-07 08:28:41:383,25286,0.8,25438,0.9,50993,0.8,67532,2.50 23,1,2024-09-07 08:28:41:011,179567,179567,0,0,84960272914,885902228,177374,1622,571,365,391549,0 23,2,2024-09-07 08:28:41:102,131736,131736,0,0,5487174,0,2078 23,3,2024-09-07 08:28:41:756,1,102,1,0,645,1551,102,0 24,0,2024-09-07 08:28:40:825,22905,0.5,22895,0.7,46029,0.5,61222,1.75 24,1,2024-09-07 08:28:40:580,178544,178544,0,0,84288859871,884247172,176547,1322,675,369,391640,0 24,2,2024-09-07 08:28:41:085,128351,128351,0,0,6974808,0,2942 24,3,2024-09-07 08:28:41:686,1,102,179,0,234,1416,102,0 25,0,2024-09-07 08:28:41:432,27732,0.4,27126,0.7,53083,0.4,72036,1.75 25,1,2024-09-07 08:28:40:559,178631,178631,0,0,83699788797,879917515,176404,1910,317,371,391788,0 25,2,2024-09-07 08:28:41:611,125900,125900,0,0,6464479,0,3284 25,3,2024-09-07 08:28:41:003,1,102,20,0,158,1205,102,0 26,0,2024-09-07 08:28:41:729,27538,0.4,26893,0.6,56344,0.4,73880,1.75 26,1,2024-09-07 08:28:41:542,179451,179451,0,0,83539054939,881320056,175855,2761,835,381,391564,0 26,2,2024-09-07 08:28:40:861,128259,128259,0,0,6175100,0,2809 26,3,2024-09-07 08:28:41:720,1,102,1,0,796,1433,102,0 27,0,2024-09-07 08:28:41:739,26753,0.7,26834,0.8,53265,0.7,71601,1.75 27,1,2024-09-07 08:28:41:681,179676,179676,0,0,84381112752,877669903,178292,1089,295,381,391539,0 27,2,2024-09-07 08:28:40:867,129289,129289,0,0,7131601,0,3409 27,3,2024-09-07 08:28:41:026,1,102,1,0,564,1428,102,0 28,0,2024-09-07 08:28:41:396,22274,0.6,22713,0.8,45254,0.7,60426,2.00 28,1,2024-09-07 08:28:40:797,179438,179438,0,0,85001449387,884672234,178227,1011,200,383,391646,0 28,2,2024-09-07 08:28:41:773,131394,131394,0,0,4854028,0,2609 28,3,2024-09-07 08:28:41:789,1,102,37,0,502,1356,102,0 29,0,2024-09-07 08:28:41:366,27691,0.3,27013,0.5,52857,0.2,72688,1.75 29,1,2024-09-07 08:28:41:582,180163,180163,0,0,84123707416,872304007,178634,1054,475,369,391621,0 29,2,2024-09-07 08:28:40:870,126798,126798,0,0,4551910,0,4018 29,3,2024-09-07 08:28:40:969,1,102,8,0,105,811,102,0 30,0,2024-09-07 08:28:41:466,26380,0.9,25660,0.9,53702,1.0,70616,2.50 30,1,2024-09-07 08:28:40:570,179596,179596,0,0,84763686568,881360002,178530,1001,65,382,391672,0 30,2,2024-09-07 08:28:41:274,128560,128560,0,0,4587557,0,3161 30,3,2024-09-07 08:28:40:581,1,102,2,0,195,782,102,0 31,0,2024-09-07 08:28:41:783,26417,1.0,26532,1.0,53573,1.1,70904,2.25 31,1,2024-09-07 08:28:40:567,180260,180260,0,0,84293549250,862619613,180007,251,2,356,391553,0 31,2,2024-09-07 08:28:41:279,129491,129491,0,0,5848062,0,3525 31,3,2024-09-07 08:28:41:706,1,102,4,0,129,762,102,0 32,0,2024-09-07 08:28:41:438,23475,0.8,23595,0.9,47243,0.7,62702,1.75 32,1,2024-09-07 08:28:40:807,179914,179914,0,0,83925294403,871685848,178895,990,29,382,391595,0 32,2,2024-09-07 08:28:40:936,132706,132706,0,0,5150583,0,3155 32,3,2024-09-07 08:28:41:018,1,102,20,0,227,1149,102,0 33,0,2024-09-07 08:28:41:509,25317,0.2,25045,0.4,50653,0.2,67240,1.50 33,1,2024-09-07 08:28:40:594,180142,180142,0,0,84728279078,879259931,178426,1593,123,369,391730,0 33,2,2024-09-07 08:28:40:763,127049,127016,33,0,6274343,0,7012 33,3,2024-09-07 08:28:40:896,1,102,7,0,211,973,102,0 34,0,2024-09-07 08:28:40:939,25229,0.3,26041,0.5,50003,0.2,66883,1.50 34,1,2024-09-07 08:28:41:044,180256,180256,0,0,84724304527,872734588,179617,634,5,367,391517,0 34,2,2024-09-07 08:28:40:769,126897,126897,0,0,5559388,0,3255 34,3,2024-09-07 08:28:41:687,1,102,12,0,148,778,102,0 35,0,2024-09-07 08:28:40:866,26352,0.6,26657,0.7,52992,0.7,70396,1.75 35,1,2024-09-07 08:28:41:088,179420,179420,0,0,84103763247,873662792,177941,1117,362,384,391587,0 35,2,2024-09-07 08:28:41:588,128750,128750,0,0,5041650,0,2542 35,3,2024-09-07 08:28:40:907,1,102,7,0,219,1209,102,0 36,0,2024-09-07 08:28:41:528,24601,1.2,24541,1.2,49685,1.5,65289,2.50 36,1,2024-09-07 08:28:40:603,178926,178926,0,0,83783660824,879232178,175816,2178,932,366,391543,0 36,2,2024-09-07 08:28:41:751,131916,131916,0,0,6583561,0,3303 36,3,2024-09-07 08:28:40:863,1,102,1,0,378,1202,102,0 37,0,2024-09-07 08:28:41:384,22428,0.4,22253,0.5,44639,0.2,60056,1.75 37,1,2024-09-07 08:28:40:568,178689,178682,0,7,83326373414,880471359,175318,1823,1541,365,391518,0 37,2,2024-09-07 08:28:41:149,127879,127879,0,0,4987105,0,2333 37,3,2024-09-07 08:28:41:785,1,102,29,0,724,2160,102,0 38,0,2024-09-07 08:28:41:460,26079,0.4,25240,0.6,52770,0.4,69071,1.75 38,1,2024-09-07 08:28:41:611,179919,179919,0,0,83956301864,880592239,177057,2341,521,368,391821,0 38,2,2024-09-07 08:28:40:760,127274,127274,0,0,6105413,0,3245 38,3,2024-09-07 08:28:41:000,1,102,1,0,603,1483,102,0 39,0,2024-09-07 08:28:41:768,28544,0.8,27945,0.8,54478,1.0,73957,2.00 39,1,2024-09-07 08:28:40:716,179112,179112,0,0,83457106942,874260542,176172,2272,668,365,391524,0 39,2,2024-09-07 08:28:41:423,128443,128443,0,0,5665374,0,2689 39,3,2024-09-07 08:28:40:713,1,102,10,0,276,1577,102,0 40,0,2024-09-07 08:28:41:500,26098,1.3,26685,1.7,52884,2.1,70684,3.50 40,1,2024-09-07 08:28:40:591,178560,178560,0,0,82867135685,873267989,174868,2928,764,369,391591,0 40,2,2024-09-07 08:28:41:406,131047,131046,1,0,7214852,0,5137 40,3,2024-09-07 08:28:41:148,1,102,181,0,181,1123,102,0 41,0,2024-09-07 08:28:41:028,21654,2.0,22153,2.4,42382,3.2,58638,4.25 41,1,2024-09-07 08:28:40:768,179052,179052,0,0,84731831784,890862814,175763,2773,516,370,391742,0 41,2,2024-09-07 08:28:40:763,129819,129819,0,0,6771983,0,3356 41,3,2024-09-07 08:28:41:677,1,102,14,0,366,1390,102,0 42,0,2024-09-07 08:28:41:488,27405,0.7,27634,0.9,54905,0.6,72538,2.50 42,1,2024-09-07 08:28:41:438,178365,178365,0,0,83914174088,884531668,175217,2318,830,380,391675,0 42,2,2024-09-07 08:28:41:163,125960,125960,0,0,6165375,0,3568 42,3,2024-09-07 08:28:41:011,1,102,2,0,100,810,102,0 43,0,2024-09-07 08:28:40:931,27255,0.9,26514,1.0,55471,1.0,73024,2.25 43,1,2024-09-07 08:28:40:582,178562,178562,0,0,84229664709,881726016,175978,1832,752,366,391604,0 43,2,2024-09-07 08:28:41:736,128450,128450,0,0,6266200,0,3812 43,3,2024-09-07 08:28:41:749,1,102,4,0,325,1815,102,0 44,0,2024-09-07 08:28:40:868,27045,0.6,26848,0.9,53987,0.6,72155,2.00 44,1,2024-09-07 08:28:40:568,179494,179494,0,0,83829841880,865930934,178411,975,108,356,391569,0 44,2,2024-09-07 08:28:41:268,129672,129672,0,0,4540144,0,1865 44,3,2024-09-07 08:28:41:104,1,102,5,0,817,1469,102,0 45,0,2024-09-07 08:28:41:762,22295,0.7,21916,1.0,45760,0.7,61236,2.00 45,1,2024-09-07 08:28:41:008,179806,179806,0,0,84008167955,870944207,179203,602,1,382,391917,0 45,2,2024-09-07 08:28:41:283,132623,132623,0,0,5496524,0,3596 45,3,2024-09-07 08:28:40:934,1,102,8,0,226,964,102,0 46,0,2024-09-07 08:28:40:957,26174,0.3,26145,0.4,52635,0.2,69478,1.50 46,1,2024-09-07 08:28:40:579,179997,179997,0,0,84144572026,869134808,179060,899,38,366,391514,0 46,2,2024-09-07 08:28:40:593,127126,127126,0,0,4991990,0,2920 46,3,2024-09-07 08:28:41:138,1,102,1,0,363,1713,102,0 47,0,2024-09-07 08:28:41:132,25747,0.3,25943,0.5,52046,0.3,68646,1.75 47,1,2024-09-07 08:28:40:568,179999,179999,0,0,83579557425,862544033,179307,687,5,366,391605,0 47,2,2024-09-07 08:28:40:913,128504,128504,0,0,5206826,0,2558 47,3,2024-09-07 08:28:41:118,1,102,5,0,529,1230,102,0 48,0,2024-09-07 08:28:41:504,26504,0.3,26226,0.5,52379,0.3,69815,1.75 48,1,2024-09-07 08:28:41:027,179521,179521,0,0,84089592304,873236379,178315,1200,6,384,391637,0 48,2,2024-09-07 08:28:40:707,129213,129213,0,0,4442191,0,2083 48,3,2024-09-07 08:28:40:753,1,102,1,0,69,837,102,0 49,0,2024-09-07 08:28:41:718,24219,0.6,23623,0.8,46309,0.7,63632,1.75 49,1,2024-09-07 08:28:41:022,178946,178946,0,0,84363142488,881126199,177179,1056,711,382,391583,0 49,2,2024-09-07 08:28:41:796,132820,132820,0,0,5657316,0,3900 49,3,2024-09-07 08:28:41:417,1,102,9,0,274,1015,102,0 50,0,2024-09-07 08:28:41:519,24102,0.2,23662,0.4,47651,0.1,64162,1.50 50,1,2024-09-07 08:28:41:012,180391,180391,0,0,85027386059,883279495,178500,1594,297,368,391530,0 50,2,2024-09-07 08:28:41:069,127052,127052,0,0,4689056,0,2253 50,3,2024-09-07 08:28:41:290,1,102,1,0,335,1047,102,0 51,0,2024-09-07 08:28:41:686,26169,0.2,25652,0.4,50186,0.2,67840,1.50 51,1,2024-09-07 08:28:41:680,179672,179672,0,0,84900606865,881253078,177863,1025,784,367,391637,0 51,2,2024-09-07 08:28:41:316,126990,126990,0,0,4044408,0,2448 51,3,2024-09-07 08:28:41:027,1,102,0,0,162,632,102,0 52,0,2024-09-07 08:28:41:459,27304,0.7,27375,0.8,54802,0.7,72263,2.25 52,1,2024-09-07 08:28:40:577,178756,178756,0,0,84112157063,885856414,175259,2791,706,368,391722,0 52,2,2024-09-07 08:28:41:755,127997,127997,0,0,6094691,0,4779 52,3,2024-09-07 08:28:40:682,1,102,55,0,1782,2697,102,0 53,0,2024-09-07 08:28:41:817,25233,1.4,24425,1.2,50889,1.7,66706,2.75 53,1,2024-09-07 08:28:40:777,178686,178686,0,0,84836120736,891012285,175600,2505,581,370,391617,0 53,2,2024-09-07 08:28:41:300,132456,132456,0,0,5180341,0,1902 53,3,2024-09-07 08:28:40:698,1,102,17,0,133,798,102,0 54,0,2024-09-07 08:28:41:638,22035,2.4,22396,1.3,43971,0.9,60379,4.25 54,1,2024-09-07 08:28:40:580,178969,178969,0,0,85020086594,890935751,175798,2627,544,367,391520,0 54,2,2024-09-07 08:28:40:864,129347,129341,6,0,6195412,0,5382 54,3,2024-09-07 08:28:40:765,1,102,0,0,676,2000,102,0 55,0,2024-09-07 08:28:41:765,25992,0.6,26699,0.8,54169,0.5,69857,2.25 55,1,2024-09-07 08:28:40:768,178077,178077,0,0,83356310381,878443368,173701,3591,785,367,391731,0 55,2,2024-09-07 08:28:40:729,126368,126368,0,0,5760418,0,3275 55,3,2024-09-07 08:28:40:675,1,102,2,0,304,1271,102,0 56,0,2024-09-07 08:28:41:563,28350,1.6,26827,1.3,55147,2.1,73985,2.50 56,1,2024-09-07 08:28:40:570,178401,178401,0,0,84535984537,899869464,174115,3436,850,382,391678,0 56,2,2024-09-07 08:28:41:302,128129,128129,0,0,6746599,0,3567 56,3,2024-09-07 08:28:41:100,1,102,7,0,297,1153,102,0 57,0,2024-09-07 08:28:40:941,26078,2.5,25920,1.6,52121,3.7,70157,4.00 57,1,2024-09-07 08:28:41:001,178734,178734,0,0,84259663080,883508401,176659,1907,168,368,391773,0 57,2,2024-09-07 08:28:41:316,130577,130577,0,0,6993166,0,3178 57,3,2024-09-07 08:28:41:743,1,102,8,0,359,1948,102,0 58,0,2024-09-07 08:28:40:588,21637,1.2,21180,1.2,44348,1.8,58289,3.00 58,1,2024-09-07 08:28:40:588,179988,179985,0,3,84653576969,883651495,177778,1980,227,369,391516,3 58,2,2024-09-07 08:28:41:101,131147,131147,0,0,6066304,0,2549 58,3,2024-09-07 08:28:41:080,1,102,1,0,219,983,102,0 59,0,2024-09-07 08:28:41:754,27224,0.6,26889,0.8,53983,0.5,71701,2.50 59,1,2024-09-07 08:28:40:806,178806,178806,0,0,83894374082,882848983,175574,2310,922,369,391515,0 59,2,2024-09-07 08:28:40:590,127411,127411,0,0,5400216,0,2604 59,3,2024-09-07 08:28:41:739,1,102,5,0,1015,2332,102,0 60,0,2024-09-07 08:28:41:712,26740,0.4,26848,0.6,53032,0.4,70835,1.75 60,1,2024-09-07 08:28:40:775,180839,180839,0,0,84498076188,877385278,179739,750,350,370,391761,0 60,2,2024-09-07 08:28:41:167,127378,127378,0,0,5472420,0,3811 60,3,2024-09-07 08:28:41:268,1,102,1,0,124,1056,102,0 61,0,2024-09-07 08:28:41:506,26518,2.0,26662,1.3,53312,3.3,71078,2.00 61,1,2024-09-07 08:28:40:776,178646,178646,0,0,84025566756,883274377,176038,2071,537,382,391589,0 61,2,2024-09-07 08:28:41:119,129462,129462,0,0,5106107,0,1846 61,3,2024-09-07 08:28:41:687,1,102,9,0,199,1394,102,0 62,0,2024-09-07 08:28:41:716,23517,0.7,24071,0.9,46053,0.8,62754,2.00 62,1,2024-09-07 08:28:41:116,180026,180020,0,6,84760081487,875647674,178765,1217,38,365,391715,6 62,2,2024-09-07 08:28:41:646,131656,131655,1,0,6295666,0,5555 62,3,2024-09-07 08:28:41:148,1,102,1,0,287,746,102,0 63,0,2024-09-07 08:28:41:459,25098,0.3,25183,0.5,50269,0.3,67171,1.75 63,1,2024-09-07 08:28:40:806,180307,180301,0,6,84546291135,874310629,179735,563,3,381,391540,6 63,2,2024-09-07 08:28:40:768,126251,126251,0,0,4802980,0,2674 63,3,2024-09-07 08:28:41:733,1,102,1,0,667,1973,102,0 64,0,2024-09-07 08:28:41:525,25105,0.4,24961,0.6,50200,0.3,66824,1.75 64,1,2024-09-07 08:28:40:753,179464,179464,0,0,84375901824,884799039,176894,1775,795,371,391783,0 64,2,2024-09-07 08:28:41:149,127948,127929,19,0,5636554,0,6121 64,3,2024-09-07 08:28:41:163,1,102,6,0,265,1138,102,0 65,0,2024-09-07 08:28:41:697,25891,1.7,26247,1.2,52503,2.1,69641,3.00 65,1,2024-09-07 08:28:40:864,178951,178951,0,0,83790280863,877558973,177123,1608,220,382,391770,0 65,2,2024-09-07 08:28:41:696,129539,129539,0,0,5882632,0,3367 65,3,2024-09-07 08:28:41:685,1,102,17,0,163,1107,102,0 66,0,2024-09-07 08:28:41:771,24177,0.5,24357,0.8,48881,0.5,64727,2.25 66,1,2024-09-07 08:28:41:293,180089,180089,0,0,83904089554,870329119,179345,722,22,380,391588,0 66,2,2024-09-07 08:28:41:132,133930,133930,0,0,5280349,0,3867 66,3,2024-09-07 08:28:41:100,1,102,1,0,291,1265,102,0 67,0,2024-09-07 08:28:41:487,22615,0.4,22569,0.6,44755,0.3,60134,2.00 67,1,2024-09-07 08:28:40:796,179070,179069,0,1,84074126739,880012364,177002,1542,525,381,391787,1 67,2,2024-09-07 08:28:40:596,128759,128759,0,0,4721596,0,2889 67,3,2024-09-07 08:28:41:749,1,102,1,0,138,860,102,0 68,0,2024-09-07 08:28:40:593,26319,0.5,26227,0.7,52302,0.5,69391,2.00 68,1,2024-09-07 08:28:40:572,178782,178782,0,0,84303200812,884729222,177086,1174,522,381,391953,0 68,2,2024-09-07 08:28:41:045,126615,126615,0,0,5940151,0,4883 68,3,2024-09-07 08:28:40:727,1,102,0,0,151,1039,102,0 69,0,2024-09-07 08:28:41:734,27699,1.1,27878,1.0,55587,1.5,73713,2.50 69,1,2024-09-07 08:28:41:022,178464,178464,0,0,84244547190,893294393,175703,1683,1078,384,391611,0 69,2,2024-09-07 08:28:41:734,128406,128406,0,0,6649335,0,3701 69,3,2024-09-07 08:28:40:765,1,102,16,0,238,1398,102,0 70,0,2024-09-07 08:28:41:545,26326,2.6,26424,1.9,53008,1.4,70430,3.75 70,1,2024-09-07 08:28:40:801,179515,179515,0,0,84390143691,878198923,177649,1407,459,368,391725,0 70,2,2024-09-07 08:28:41:328,130431,130431,0,0,6395410,0,4044 70,3,2024-09-07 08:28:40:745,1,102,106,0,178,672,102,0 71,0,2024-09-07 08:28:41:363,21621,2.2,21774,2.1,43071,3.2,59063,3.50 71,1,2024-09-07 08:28:41:601,179408,179408,0,0,84653458198,885766607,176862,2212,334,368,391682,0 71,2,2024-09-07 08:28:41:070,130598,130598,0,0,5682650,0,2146 71,3,2024-09-07 08:28:41:749,1,102,1,0,279,1415,102,0 72,0,2024-09-07 08:28:41:076,28794,0.6,28106,0.8,55050,0.5,74494,2.25 72,1,2024-09-07 08:28:41:022,178815,178815,0,0,83803153253,877790761,176309,2040,466,370,391819,0 72,2,2024-09-07 08:28:41:756,125697,125697,0,0,6866184,0,2570 72,3,2024-09-07 08:28:41:768,1,102,9,0,325,1471,102,0 73,0,2024-09-07 08:28:41:191,26708,0.4,27367,0.6,55937,0.4,72700,2.25 73,1,2024-09-07 08:28:40:767,179177,179177,0,0,84113177033,874746524,178053,1077,47,368,391627,0 73,2,2024-09-07 08:28:41:740,128614,128614,0,0,6619628,0,3482 73,3,2024-09-07 08:28:40:974,1,102,25,0,274,1551,102,0 74,0,2024-09-07 08:28:41:324,27053,1.0,27504,1.1,52586,1.6,71627,2.75 74,1,2024-09-07 08:28:40:635,178995,178995,0,0,83571150629,874953123,176785,1605,605,382,391511,0 74,2,2024-09-07 08:28:41:002,129154,129154,0,0,6888885,0,4253 74,3,2024-09-07 08:28:41:442,1,102,9,0,246,1546,102,0 75,0,2024-09-07 08:28:41:772,22710,1.3,22783,1.3,45310,1.3,61353,2.75 75,1,2024-09-07 08:28:41:589,178892,178892,0,0,84255746235,884060629,176566,1963,363,381,391579,0 75,2,2024-09-07 08:28:41:352,131725,131725,0,0,6401854,0,4766 75,3,2024-09-07 08:28:41:067,1,102,0,0,535,1374,102,0 76,0,2024-09-07 08:28:40:585,26335,0.3,25920,0.5,51908,0.2,69204,1.75 76,1,2024-09-07 08:28:40:811,178949,178949,0,0,83258219658,871857938,177129,1312,508,382,391530,0 76,2,2024-09-07 08:28:41:069,127495,127495,0,0,4903825,0,3064 76,3,2024-09-07 08:28:41:148,1,102,96,0,175,1203,102,0 77,0,2024-09-07 08:28:41:712,25797,0.6,25986,0.7,52012,0.6,68545,1.75 77,1,2024-09-07 08:28:40:824,179445,179445,0,0,84112162337,876803551,178366,1009,70,383,391555,0 77,2,2024-09-07 08:28:41:290,127829,127829,0,0,4714421,0,2694 77,3,2024-09-07 08:28:41:105,1,102,12,0,139,1040,102,0 78,0,2024-09-07 08:28:41:731,26212,0.7,26197,0.9,52345,0.8,69488,2.25 78,1,2024-09-07 08:28:40:630,179890,179890,0,0,84097843220,875550844,178320,1365,205,367,391589,0 78,2,2024-09-07 08:28:41:405,129882,129882,0,0,4734268,0,2114 78,3,2024-09-07 08:28:41:135,1,102,1,0,181,1345,102,0 79,0,2024-09-07 08:28:41:394,22763,0.6,23335,0.9,47721,0.6,62481,2.50 79,1,2024-09-07 08:28:40:571,179939,179939,0,0,84420359447,877169184,178104,1664,171,370,391682,0 79,2,2024-09-07 08:28:41:075,133007,133007,0,0,5185319,0,2679 79,3,2024-09-07 08:28:40:749,1,102,1,0,289,1316,102,0 80,0,2024-09-07 08:28:41:186,23629,0.5,24476,0.7,47171,0.4,63907,2.00 80,1,2024-09-07 08:28:41:624,178983,178983,0,0,83827558962,872879241,177228,1638,117,368,391673,0 80,2,2024-09-07 08:28:41:103,127502,127502,0,0,5151644,0,4433 80,3,2024-09-07 08:28:40:576,1,102,1,1,190,1563,102,0 81,0,2024-09-07 08:28:41:570,25637,0.5,26378,0.6,50485,0.5,67839,1.75 81,1,2024-09-07 08:28:41:651,179180,179180,0,0,83662409475,876558248,177252,1729,199,382,391680,0 81,2,2024-09-07 08:28:41:132,126507,126507,0,0,5624664,0,3993 81,3,2024-09-07 08:28:41:125,1,102,8,0,193,1006,102,0 82,0,2024-09-07 08:28:41:543,27114,0.7,27078,0.9,54474,0.8,72340,2.25 82,1,2024-09-07 08:28:40:594,179026,179022,0,4,83840671385,876991975,176837,1611,574,382,391558,4 82,2,2024-09-07 08:28:41:691,129563,129563,0,0,4740544,0,2230 82,3,2024-09-07 08:28:41:761,1,102,8,0,227,1562,102,0 83,0,2024-09-07 08:28:41:552,25457,1.3,25242,1.1,50199,1.5,66592,2.50 83,1,2024-09-07 08:28:40:559,179166,179166,0,0,84561916475,883197933,177668,1397,101,383,391553,0 83,2,2024-09-07 08:28:40:768,131288,131288,0,0,5621878,0,3119 83,3,2024-09-07 08:28:40:749,1,102,9,0,127,988,102,0 84,0,2024-09-07 08:28:41:778,22559,1.3,22291,1.4,44736,0.9,60764,2.75 84,1,2024-09-07 08:28:41:045,178559,178559,0,0,83815310618,880890320,176114,2062,383,369,391638,0 84,2,2024-09-07 08:28:40:572,129069,129069,0,0,5818316,0,3801 84,3,2024-09-07 08:28:41:149,1,102,1,1,58,947,102,0 85,0,2024-09-07 08:28:41:081,25930,0.6,25909,0.8,54909,0.5,71113,2.25 85,1,2024-09-07 08:28:40:630,178170,178170,0,0,83923720986,890116000,174706,2989,475,383,391679,0 85,2,2024-09-07 08:28:40:875,126631,126631,0,0,6374751,0,3656 85,3,2024-09-07 08:28:40:684,1,102,16,0,115,1061,102,0 86,0,2024-09-07 08:28:40:881,27610,0.7,28540,0.8,54778,0.8,73909,2.00 86,1,2024-09-07 08:28:40:823,179022,179022,0,0,84860958869,889630224,176537,2207,278,367,391961,0 86,2,2024-09-07 08:28:40:853,127801,127800,1,0,6930704,0,5004 86,3,2024-09-07 08:28:40:593,1,102,6,0,199,1308,102,0 87,0,2024-09-07 08:28:41:311,26527,2.3,26443,1.5,53000,3.7,71430,2.75 87,1,2024-09-07 08:28:40:549,178788,178788,0,0,83482016391,877154479,176505,2144,139,366,391788,0 87,2,2024-09-07 08:28:41:101,130116,130116,0,0,5780617,0,3515 87,3,2024-09-07 08:28:41:797,1,102,1,1,335,1755,102,0 88,0,2024-09-07 08:28:41:448,22413,0.7,22711,0.8,45106,0.9,60411,2.00 88,1,2024-09-07 08:28:40:569,178416,178416,0,0,84307090705,886620166,176087,1620,709,367,391787,0 88,2,2024-09-07 08:28:40:691,131433,131433,0,0,6787636,0,3583 88,3,2024-09-07 08:28:41:269,1,102,3,1,77,1124,102,0 89,0,2024-09-07 08:28:41:792,27836,0.4,27037,0.6,53597,0.3,73021,1.75 89,1,2024-09-07 08:28:40:554,178119,178119,0,0,83790048460,891490045,174195,3118,806,382,391866,0 89,2,2024-09-07 08:28:41:137,126378,126378,0,0,6119007,0,2726 89,3,2024-09-07 08:28:41:792,1,102,8,0,325,2175,102,0 90,0,2024-09-07 08:28:41:628,25754,0.4,26302,0.6,54142,0.4,70655,1.75 90,1,2024-09-07 08:28:40:594,179235,179235,0,0,83985837819,885628103,176764,2320,151,382,391825,0 90,2,2024-09-07 08:28:41:412,126611,126611,0,0,6955270,0,2635 90,3,2024-09-07 08:28:40:930,1,102,1,1,200,1113,102,0 91,0,2024-09-07 08:28:40:931,27059,0.6,26161,0.7,54333,0.6,71468,1.75 91,1,2024-09-07 08:28:40:555,178846,178846,0,0,84239518589,889487683,175765,2589,492,383,391914,0 91,2,2024-09-07 08:28:41:332,128304,128304,0,0,6396261,0,1997 91,3,2024-09-07 08:28:40:602,1,102,1,0,155,1367,102,0 92,0,2024-09-07 08:28:41:476,23776,0.6,24330,0.8,46389,0.7,62946,1.75 92,1,2024-09-07 08:28:40:583,178899,178899,0,0,84088869683,875867901,178104,698,97,382,391717,0 92,2,2024-09-07 08:28:41:354,133897,133897,0,0,5498215,0,2279 92,3,2024-09-07 08:28:41:047,1,102,9,0,68,987,102,0 93,0,2024-09-07 08:28:40:968,25304,0.3,25797,0.5,49394,0.2,67217,1.50 93,1,2024-09-07 08:28:40:807,179425,179425,0,0,83819260443,877062788,177446,1542,437,366,391689,0 93,2,2024-09-07 08:28:40:931,126919,126919,0,0,4932423,0,2509 93,3,2024-09-07 08:28:41:408,1,102,8,0,143,985,102,0 94,0,2024-09-07 08:28:41:612,25330,0.3,25507,0.5,50470,0.3,66746,1.50 94,1,2024-09-07 08:28:40:568,178889,178889,0,0,84124574147,882162117,177004,1806,79,381,391850,0 94,2,2024-09-07 08:28:40:762,126985,126985,0,0,4943750,0,2443 94,3,2024-09-07 08:28:41:689,1,102,2,0,231,1709,102,0 95,0,2024-09-07 08:28:41:349,26603,0.4,26528,0.6,53383,0.4,70495,1.75 95,1,2024-09-07 08:28:40:851,179467,179467,0,0,83836953379,870438085,178577,826,64,367,391600,0 95,2,2024-09-07 08:28:41:017,129463,129463,0,0,5532690,0,3308 95,3,2024-09-07 08:28:41:710,1,102,3,0,307,1738,102,0 96,0,2024-09-07 08:28:41:033,24747,0.6,24751,0.7,49347,0.6,65138,1.75 96,1,2024-09-07 08:28:41:588,179290,179290,0,0,83651643152,877654307,177374,1345,571,385,391596,0 96,2,2024-09-07 08:28:41:270,132762,132762,0,0,5895834,0,4038 96,3,2024-09-07 08:28:41:148,1,102,1,0,188,1093,102,0 97,0,2024-09-07 08:28:41:337,22288,0.3,22435,0.5,44947,0.3,60026,1.75 97,1,2024-09-07 08:28:40:773,179406,179406,0,0,83954236883,876570439,177186,1701,519,367,392140,0 97,2,2024-09-07 08:28:40:610,127569,127569,0,0,4990110,0,3036 97,3,2024-09-07 08:28:40:569,1,102,8,1,165,1253,102,0 98,0,2024-09-07 08:28:41:707,26237,0.2,25996,0.4,52606,0.2,69596,1.50 98,1,2024-09-07 08:28:40:571,179339,179339,0,0,84002208335,876138176,178123,1158,58,382,391997,0 98,2,2024-09-07 08:28:40:770,128165,128165,0,0,5267879,0,3080 98,3,2024-09-07 08:28:40:706,1,102,1,1,840,2556,102,0 99,0,2024-09-07 08:28:41:456,27856,0.3,27874,0.5,55684,0.3,73869,1.75 99,1,2024-09-07 08:28:41:724,179978,179978,0,0,83651705192,872818835,178470,1062,446,381,391744,0 99,2,2024-09-07 08:28:41:422,129995,129995,0,0,5576209,0,1858 99,3,2024-09-07 08:28:40:592,1,102,6,0,129,812,102,0 100,0,2024-09-07 08:28:41:481,26604,1.4,26518,1.9,53002,4.1,71274,2.50 100,1,2024-09-07 08:28:40:548,178179,178179,0,0,83990460244,893459210,174549,3143,487,381,391989,0 100,2,2024-09-07 08:28:41:819,130637,130626,11,0,6928310,0,5417 100,3,2024-09-07 08:28:41:732,1,102,1,0,443,2170,102,0 101,0,2024-09-07 08:28:41:728,22409,3.7,21730,1.9,42911,3.8,59851,3.25 101,1,2024-09-07 08:28:40:553,178156,178156,0,0,83940051049,889266350,174947,1970,1239,368,391709,0 101,2,2024-09-07 08:28:41:761,129930,129930,0,0,6741365,0,4644 101,3,2024-09-07 08:28:40:942,1,102,19,0,448,1278,102,0 102,0,2024-09-07 08:28:40:953,27228,0.7,28200,0.9,56633,0.6,74145,2.00 102,1,2024-09-07 08:28:41:149,178372,178372,0,0,83698906664,883237522,175627,2229,516,369,391831,0 102,2,2024-09-07 08:28:41:741,127192,127192,0,0,5194838,0,2144 102,3,2024-09-07 08:28:41:613,1,102,2,0,410,1285,102,0 103,0,2024-09-07 08:28:41:606,28101,0.5,28025,0.7,53095,0.5,73218,2.00 103,1,2024-09-07 08:28:41:645,178432,178432,0,0,84320759032,889663842,175717,2003,712,381,391680,0 103,2,2024-09-07 08:28:40:588,127844,127844,0,0,5834942,0,2104 103,3,2024-09-07 08:28:40:762,1,102,2,0,486,1587,102,0 104,0,2024-09-07 08:28:41:029,26818,1.4,26776,1.2,52639,1.5,71814,2.50 104,1,2024-09-07 08:28:41:601,179365,179365,0,0,83736814619,882692041,176721,2264,380,366,391948,0 104,2,2024-09-07 08:28:41:666,128884,128884,0,0,6369166,0,3941 104,3,2024-09-07 08:28:41:418,1,102,176,1,1245,4436,102,0 105,0,2024-09-07 08:28:41:058,22445,0.9,21719,1.3,45150,1.2,60653,3.25 105,1,2024-09-07 08:28:40:553,178930,178930,0,0,84378775968,889391781,175571,2599,760,367,391797,0 105,2,2024-09-07 08:28:41:327,131708,131708,0,0,6160801,0,3314 105,3,2024-09-07 08:28:41:314,1,102,9,1,399,1835,102,0 106,0,2024-09-07 08:28:40:976,25360,0.4,26013,0.6,52807,0.3,69213,1.75 106,1,2024-09-07 08:28:41:757,179045,179045,0,0,83539033898,878646107,176378,2339,328,369,391767,0 106,2,2024-09-07 08:28:40:765,125991,125991,0,0,5730732,0,2795 106,3,2024-09-07 08:28:40:684,1,102,111,1,201,1114,102,0 107,0,2024-09-07 08:28:41:113,25926,0.5,25749,0.6,51301,0.4,68788,1.75 107,1,2024-09-07 08:28:40:594,178636,178636,0,0,83958830949,885519849,176386,2059,191,381,392234,0 107,2,2024-09-07 08:28:41:291,126756,126755,1,0,6097760,0,5024 107,3,2024-09-07 08:28:41:778,1,102,8,0,353,1505,102,0 108,0,2024-09-07 08:28:41:865,26379,0.5,26568,0.7,52468,0.5,69842,1.75 108,1,2024-09-07 08:28:41:298,179157,179157,0,0,84433714778,882455575,177507,1513,137,371,391857,0 108,2,2024-09-07 08:28:41:796,129029,129029,0,0,5820776,0,2647 108,3,2024-09-07 08:28:41:331,1,102,91,0,272,1593,102,0 109,0,2024-09-07 08:28:41:835,23664,0.6,23801,0.8,47184,0.7,63646,1.75 109,1,2024-09-07 08:28:40:605,178939,178939,0,0,84682052018,891125706,176903,1577,459,383,391812,0 109,2,2024-09-07 08:28:40:935,131733,131733,0,0,6102719,0,3617 109,3,2024-09-07 08:28:41:167,1,102,12,1,249,1584,102,0 110,0,2024-09-07 08:28:41:787,23914,0.2,23237,0.4,48434,0.2,63806,1.50 110,1,2024-09-07 08:28:41:647,180015,180015,0,0,84445661109,877617335,178642,1083,290,370,391667,0 110,2,2024-09-07 08:28:41:302,127104,127104,0,0,4836721,0,2915 110,3,2024-09-07 08:28:40:691,1,102,1,0,183,1108,102,0 111,0,2024-09-07 08:28:41:451,25734,0.2,25318,0.4,50751,0.1,67808,1.50 111,1,2024-09-07 08:28:41:003,179945,179945,0,0,84259365070,871594941,179296,635,14,382,391690,0 111,2,2024-09-07 08:28:41:119,127090,127090,0,0,5588771,0,2763 111,3,2024-09-07 08:28:40:920,1,102,6,0,300,1757,102,0 112,0,2024-09-07 08:28:40:924,27441,0.4,27403,0.5,54644,0.3,72652,1.75 112,1,2024-09-07 08:28:40:823,179383,179383,0,0,84481132581,880279655,177768,1269,346,381,391580,0 112,2,2024-09-07 08:28:41:150,128978,128977,1,0,5901173,0,5036 112,3,2024-09-07 08:28:40:604,1,102,1,0,282,1096,102,0 113,0,2024-09-07 08:28:40:875,25369,0.5,25228,0.6,51259,0.4,67743,1.75 113,1,2024-09-07 08:28:41:694,179521,179521,0,0,84353537359,874897018,177624,1513,384,368,391661,0 113,2,2024-09-07 08:28:41:302,132909,132909,0,0,4860100,0,3021 113,3,2024-09-07 08:28:40:684,1,102,9,0,288,1607,102,0 114,0,2024-09-07 08:28:40:892,22825,1.8,23140,0.9,45390,0.5,61436,2.00 114,1,2024-09-07 08:28:40:716,179505,179505,0,0,84406315737,884422577,176866,1758,881,381,391531,0 114,2,2024-09-07 08:28:40:874,129062,129062,0,0,5331479,0,3925 114,3,2024-09-07 08:28:41:281,1,102,1,0,395,1703,102,0 115,0,2024-09-07 08:28:40:558,26851,0.3,27151,0.4,54482,0.2,71790,1.50 115,1,2024-09-07 08:28:40:571,179837,179837,0,0,84905561398,886314548,177451,1899,487,382,391602,0 115,2,2024-09-07 08:28:41:128,127468,127468,0,0,4594712,0,2152 115,3,2024-09-07 08:28:41:023,1,102,1,0,159,603,102,0 116,0,2024-09-07 08:28:41:718,27884,0.8,27441,0.9,55432,0.9,73901,2.00 116,1,2024-09-07 08:28:40:812,178265,178265,0,0,84066720481,894974990,174837,2042,1386,382,391619,0 116,2,2024-09-07 08:28:41:762,127658,127658,0,0,6701222,0,3529 116,3,2024-09-07 08:28:40:913,1,102,9,1,252,1558,102,0 117,0,2024-09-07 08:28:41:023,26504,1.8,26556,1.3,52810,3.1,71439,2.00 117,1,2024-09-07 08:28:41:578,179155,179155,0,0,83539787897,881192618,176168,2591,396,370,392033,0 117,2,2024-09-07 08:28:41:128,131216,131216,0,0,5780355,0,3700 117,3,2024-09-07 08:28:41:100,1,102,10,0,490,2353,102,0 118,0,2024-09-07 08:28:41:788,21912,0.9,22479,0.9,45902,1.0,60084,2.25 118,1,2024-09-07 08:28:40:604,178849,178849,0,0,83231657292,875782232,176622,1992,235,368,391736,0 118,2,2024-09-07 08:28:41:588,131554,131554,0,0,6184604,0,2781 118,3,2024-09-07 08:28:41:773,1,102,3,0,235,1408,102,0 119,0,2024-09-07 08:28:41:346,26756,0.5,26699,0.7,54447,0.4,72380,2.00 119,1,2024-09-07 08:28:40:548,179385,179385,0,0,83892325820,878979110,177337,1767,281,370,391641,0 119,2,2024-09-07 08:28:41:262,126037,126037,0,0,5405708,0,2532 119,3,2024-09-07 08:28:41:331,1,102,2,0,443,2421,102,0 120,0,2024-09-07 08:28:41:558,26439,0.5,26385,0.8,52573,0.5,70696,2.00 120,1,2024-09-07 08:28:40:879,179079,179079,0,0,84065230205,883420949,177158,1881,40,368,391702,0 120,2,2024-09-07 08:28:40:771,127083,127082,1,0,7072337,0,5281 120,3,2024-09-07 08:28:41:302,1,102,82,0,241,1618,102,0 121,0,2024-09-07 08:28:41:718,26434,2.0,26761,1.3,53357,3.3,71392,2.25 121,1,2024-09-07 08:28:41:659,178896,178896,0,0,83847449120,880592565,176541,2075,280,367,391840,0 121,2,2024-09-07 08:28:41:125,128221,128221,0,0,7316815,0,4127 121,3,2024-09-07 08:28:40:735,1,102,8,0,159,1226,102,0 122,0,2024-09-07 08:28:41:850,23364,1.8,22661,1.6,47254,2.2,62927,2.25 122,1,2024-09-07 08:28:40:873,178319,178319,0,0,84044628203,888096356,174900,2778,641,367,392130,0 122,2,2024-09-07 08:28:41:320,132853,132853,0,0,7068906,0,3364 122,3,2024-09-07 08:28:40:605,1,102,1,0,298,1956,102,0 123,0,2024-09-07 08:28:40:975,25046,0.7,24340,0.7,50803,0.8,66948,2.00 123,1,2024-09-07 08:28:40:560,179515,179515,0,0,83896826662,887928323,175804,3138,573,369,391823,0 123,2,2024-09-07 08:28:41:028,126008,126007,1,0,5901192,0,5215 123,3,2024-09-07 08:28:41:141,1,102,1,1,168,1491,102,0 124,0,2024-09-07 08:28:40:956,25943,0.3,25963,0.4,48965,0.2,67039,1.50 124,1,2024-09-07 08:28:41:023,179468,179468,0,0,83998730724,870515576,178603,720,145,367,392178,0 124,2,2024-09-07 08:28:41:012,127330,127330,0,0,5218016,0,3101 124,3,2024-09-07 08:28:40:759,1,102,68,1,490,1804,102,0 125,0,2024-09-07 08:28:41:463,26764,0.5,26651,0.6,53365,0.6,70437,1.75 125,1,2024-09-07 08:28:40:870,178802,178802,0,0,83611680056,875071491,176881,1647,274,384,391702,0 125,2,2024-09-07 08:28:41:120,129535,129535,0,0,5258501,0,2180 125,3,2024-09-07 08:28:41:126,1,102,24,1,93,1263,102,0 126,0,2024-09-07 08:28:41:426,24719,0.9,25308,0.9,48655,1.1,65594,1.75 126,1,2024-09-07 08:28:40:568,179864,179864,0,0,83671751069,868634091,178721,1085,58,365,391987,0 126,2,2024-09-07 08:28:40:612,133087,133087,0,0,5503903,0,3186 126,3,2024-09-07 08:28:40:912,1,102,96,0,136,1491,102,0 127,0,2024-09-07 08:28:41:739,22321,0.2,22425,0.4,44802,0.2,59942,1.50 127,1,2024-09-07 08:28:40:570,179656,179656,0,0,84404078247,874484163,178414,1217,25,365,391614,0 127,2,2024-09-07 08:28:40:642,128175,128175,0,0,4630767,0,1803 127,3,2024-09-07 08:28:41:269,1,102,2,0,99,753,102,0 128,0,2024-09-07 08:28:41:548,26408,0.3,26378,0.4,52301,0.2,69611,1.50 128,1,2024-09-07 08:28:41:608,179594,179594,0,0,84314757821,873666196,178853,670,71,367,391680,0 128,2,2024-09-07 08:28:41:411,126635,126635,0,0,5348848,0,2107 128,3,2024-09-07 08:28:40:777,1,102,2,0,247,1330,102,0 129,0,2024-09-07 08:28:41:012,28028,0.4,27968,0.5,55650,0.3,73877,1.75 129,1,2024-09-07 08:28:40:567,178641,178641,0,0,84031920947,881506766,176513,1841,287,379,391835,0 129,2,2024-09-07 08:28:40:685,128455,128455,0,0,4837145,0,2446 129,3,2024-09-07 08:28:40:689,1,102,14,1,173,1432,102,0 130,0,2024-09-07 08:28:41:749,26963,1.2,26750,0.9,53646,1.8,71807,2.00 130,1,2024-09-07 08:28:40:592,179284,179284,0,0,83717715229,873308050,177957,1292,35,381,391825,0 130,2,2024-09-07 08:28:41:125,130976,130976,0,0,5618902,0,4067 130,3,2024-09-07 08:28:41:292,1,102,43,0,207,881,102,0 131,0,2024-09-07 08:28:41:962,22192,0.6,22201,0.7,44868,0.7,60087,1.75 131,1,2024-09-07 08:28:41:864,180297,180297,0,0,83733261818,872665078,179197,912,188,384,391865,0 131,2,2024-09-07 08:28:40:572,131136,131136,0,0,4860659,0,2415 131,3,2024-09-07 08:28:41:694,1,102,2,0,392,1262,102,0 132,0,2024-09-07 08:28:41:425,27604,0.5,28004,0.8,56161,0.5,74410,1.75 132,1,2024-09-07 08:28:40:585,178404,178404,0,0,83561406496,885257530,174988,2659,757,381,391719,0 132,2,2024-09-07 08:28:40:711,126265,126265,0,0,7215948,0,4606 132,3,2024-09-07 08:28:41:688,1,102,2,0,356,1806,102,0 133,0,2024-09-07 08:28:41:529,26658,0.5,27171,0.7,55906,0.5,72470,2.00 133,1,2024-09-07 08:28:40:583,177819,177819,0,0,84714606283,895959559,174966,2679,174,383,391755,0 133,2,2024-09-07 08:28:41:101,127649,127649,0,0,6994671,0,4315 133,3,2024-09-07 08:28:41:297,1,102,8,1,187,961,102,0 134,0,2024-09-07 08:28:40:956,26899,0.8,26773,1.0,53926,0.9,71786,2.00 134,1,2024-09-07 08:28:40:604,178767,178767,0,0,83783905678,883346652,175391,2287,1089,366,391718,0 134,2,2024-09-07 08:28:41:756,129359,129359,0,0,5946691,0,3096 134,3,2024-09-07 08:28:40:749,1,102,8,0,739,2250,102,0 135,0,2024-09-07 08:28:41:129,22164,1.2,22026,1.3,46621,1.3,60800,2.25 135,1,2024-09-07 08:28:41:597,178373,178373,0,0,84232412445,890074807,175076,2597,700,380,391703,0 135,2,2024-09-07 08:28:40:696,132487,132487,0,0,6432058,0,3981 135,3,2024-09-07 08:28:41:014,1,102,3,0,89,716,102,0 136,0,2024-09-07 08:28:41:632,26185,0.4,26070,0.6,52417,0.3,69807,1.75 136,1,2024-09-07 08:28:41:442,178880,178880,0,0,83626633782,878914167,176590,2142,148,384,391641,0 136,2,2024-09-07 08:28:41:163,127416,127416,0,0,5476127,0,3506 136,3,2024-09-07 08:28:41:112,1,102,8,0,108,959,102,0 137,0,2024-09-07 08:28:40:979,26516,0.6,25622,0.7,50918,0.5,68940,2.00 137,1,2024-09-07 08:28:40:599,178960,178960,0,0,84156296462,881413135,176792,2052,116,367,391608,0 137,2,2024-09-07 08:28:41:706,126922,126922,0,0,6859720,0,2632 137,3,2024-09-07 08:28:40:775,1,102,20,1,227,1372,102,0 138,0,2024-09-07 08:28:41:894,25598,2.2,25880,1.5,52433,3.5,69731,2.75 138,1,2024-09-07 08:28:41:690,178740,178740,0,0,84028679943,883410640,175981,2414,345,369,391954,0 138,2,2024-09-07 08:28:40:593,129270,129270,0,0,6078374,0,3263 138,3,2024-09-07 08:28:40:612,1,102,1,0,1160,2090,102,0 139,0,2024-09-07 08:28:41:385,22971,4.0,23064,2.2,46591,5.3,62837,3.50 139,1,2024-09-07 08:28:40:601,178398,178398,0,0,83267870883,885642366,175077,2497,824,381,391892,0 139,2,2024-09-07 08:28:40:695,131671,131671,0,0,7085829,0,3097 139,3,2024-09-07 08:28:41:667,1,102,4,0,244,1506,102,0 140,0,2024-09-07 08:28:41:610,23863,0.3,23769,0.4,47796,0.2,63969,1.50 140,1,2024-09-07 08:28:41:541,179920,179920,0,0,84591756061,873138273,178968,755,197,365,391606,0 140,2,2024-09-07 08:28:40:697,127918,127918,0,0,5333469,0,3388 140,3,2024-09-07 08:28:40:768,1,102,1,0,25,623,102,0 141,0,2024-09-07 08:28:41:703,25374,0.2,26202,0.4,50317,0.1,67826,1.50 141,1,2024-09-07 08:28:40:859,179560,179560,0,0,84517088117,878852571,178057,1172,331,379,391538,0 141,2,2024-09-07 08:28:41:686,127002,127002,0,0,4967559,0,2342 141,3,2024-09-07 08:28:41:044,1,102,16,0,147,765,102,0 142,0,2024-09-07 08:28:41:333,27671,0.3,27330,0.5,54403,0.3,72688,1.75 142,1,2024-09-07 08:28:40:586,179762,179762,0,0,84082013319,874276793,179176,570,16,384,391649,0 142,2,2024-09-07 08:28:41:310,127623,127591,32,0,6563639,0,6028 142,3,2024-09-07 08:28:41:747,1,102,5,0,484,1710,102,0 143,0,2024-09-07 08:28:41:433,25447,1.0,25568,0.8,51403,1.2,67566,2.00 143,1,2024-09-07 08:28:40:558,179384,179384,0,0,84093831762,873097562,178024,1323,37,367,391619,0 143,2,2024-09-07 08:28:40:776,132062,132062,0,0,5760924,0,2669 143,3,2024-09-07 08:28:41:149,1,102,13,0,236,1547,102,0 144,0,2024-09-07 08:28:41:503,21928,0.9,22493,2.5,45740,0.8,61109,2.25 144,1,2024-09-07 08:28:40:607,178961,178961,0,0,83382309281,875768137,177314,1449,198,381,391638,0 144,2,2024-09-07 08:28:41:771,129818,129818,0,0,5106663,0,3473 144,3,2024-09-07 08:28:41:751,1,102,3,1,169,1372,102,0 145,0,2024-09-07 08:28:41:369,26104,0.6,26003,0.9,55108,0.6,71673,2.25 145,1,2024-09-07 08:28:40:552,177751,177751,0,0,83464312754,883572424,174453,2667,631,383,391615,0 145,2,2024-09-07 08:28:41:432,126916,126916,0,0,6256324,0,3903 145,3,2024-09-07 08:28:40:913,1,102,8,0,151,1320,102,0 146,0,2024-09-07 08:28:41:608,27649,0.6,27510,0.8,55451,0.6,73538,2.25 146,1,2024-09-07 08:28:41:596,179277,179277,0,0,84624334504,890545957,176201,2595,481,368,391600,0 146,2,2024-09-07 08:28:41:696,127761,127761,0,0,5881043,0,2379 146,3,2024-09-07 08:28:41:278,1,102,10,0,1520,3395,102,0 147,0,2024-09-07 08:28:41:723,26400,2.2,26304,1.5,52094,3.2,71440,2.75 147,1,2024-09-07 08:28:41:375,179655,179655,0,0,84318160411,876974023,177852,1447,356,368,391791,0 147,2,2024-09-07 08:28:41:014,130775,130775,0,0,5625234,0,2789 147,3,2024-09-07 08:28:40:916,1,102,19,0,141,1025,102,0 0,0,2024-09-07 08:28:51:717,26060,0.5,25919,0.7,54970,0.6,71500,1.75 0,1,2024-09-07 08:28:50:809,180817,180817,0,0,84958239079,891023537,179505,1183,129,372,391692,0 0,2,2024-09-07 08:28:51:069,128512,128512,0,0,5658682,0,4480 0,3,2024-09-07 08:28:50:982,1,103,3,0,247,1723,103,0 1,0,2024-09-07 08:28:51:759,26839,2.3,26542,1.6,53592,3.0,71610,2.50 1,1,2024-09-07 08:28:50:562,180484,180484,0,0,84722419557,889199849,178533,1416,535,372,391857,0 1,2,2024-09-07 08:28:50:651,130277,130277,0,0,5405860,0,3267 1,3,2024-09-07 08:28:51:302,1,103,50,0,167,1185,103,0 2,0,2024-09-07 08:28:51:579,23486,0.8,23595,1.0,46800,1.1,62844,2.00 2,1,2024-09-07 08:28:50:877,180837,180837,0,0,84633819555,880537540,179728,905,204,380,391558,0 2,2,2024-09-07 08:28:51:266,133439,133439,0,0,5581191,0,3304 2,3,2024-09-07 08:28:50:691,1,103,2,0,214,977,103,0 3,0,2024-09-07 08:28:51:752,25554,0.4,25608,0.5,51127,0.3,68236,1.75 3,1,2024-09-07 08:28:51:618,180123,180123,0,0,84814855921,885880535,177951,1784,388,382,391516,0 3,2,2024-09-07 08:28:51:146,128226,128203,23,0,5700012,0,5851 3,3,2024-09-07 08:28:51:752,1,103,6,0,103,716,103,0 4,0,2024-09-07 08:28:51:773,24675,0.3,25365,0.4,51270,0.2,67290,1.50 4,1,2024-09-07 08:28:50:615,180584,180584,0,0,84959888373,897696590,178093,1973,518,371,391846,0 4,2,2024-09-07 08:28:51:018,127584,127584,0,0,7024319,0,4528 4,3,2024-09-07 08:28:51:029,1,103,1,1,287,1679,103,0 5,0,2024-09-07 08:28:51:396,26750,0.6,26569,0.7,53437,0.7,70554,1.75 5,1,2024-09-07 08:28:50:771,179849,179849,0,0,84412903319,894264622,176450,2467,932,368,392005,0 5,2,2024-09-07 08:28:51:829,130182,130182,0,0,5936795,0,2259 5,3,2024-09-07 08:28:51:736,1,103,8,0,238,1819,103,0 6,0,2024-09-07 08:28:50:924,24907,0.8,24621,1.0,48997,0.9,65769,2.25 6,1,2024-09-07 08:28:50:749,181342,181342,0,0,84882443447,887131059,179811,1456,75,381,391603,0 6,2,2024-09-07 08:28:51:117,134365,134365,0,0,5954549,0,2411 6,3,2024-09-07 08:28:51:286,1,103,10,0,340,1770,103,0 7,0,2024-09-07 08:28:51:546,22662,0.4,22701,0.5,45756,0.3,61342,1.75 7,1,2024-09-07 08:28:50:856,181085,181085,0,0,84678046130,886989879,179347,1673,65,383,391664,0 7,2,2024-09-07 08:28:50:770,128163,128163,0,0,5369911,0,2981 7,3,2024-09-07 08:28:50:855,1,103,107,0,305,1202,103,0 8,0,2024-09-07 08:28:51:367,26270,0.3,26505,0.5,52203,0.3,69851,1.50 8,1,2024-09-07 08:28:51:016,180521,180521,0,0,85109547936,902316785,176901,2367,1253,368,391724,0 8,2,2024-09-07 08:28:50:807,126982,126982,0,0,6778956,0,2986 8,3,2024-09-07 08:28:50:589,1,103,2,0,357,1786,103,0 9,0,2024-09-07 08:28:51:155,27879,0.4,27260,0.5,56861,0.3,73973,1.75 9,1,2024-09-07 08:28:50:566,180415,180415,0,0,84506694255,897391777,176794,2617,1004,370,391738,0 9,2,2024-09-07 08:28:51:085,129510,129510,0,0,6395345,0,3360 9,3,2024-09-07 08:28:51:752,1,103,35,0,496,1789,103,0 10,0,2024-09-07 08:28:51:610,26877,0.5,26945,0.7,53823,0.5,71818,2.00 10,1,2024-09-07 08:28:50:586,180412,180412,0,0,84881438675,895575264,176825,3064,523,381,391741,0 10,2,2024-09-07 08:28:50:766,132162,132162,0,0,6323609,0,2940 10,3,2024-09-07 08:28:50:872,1,103,11,0,136,701,103,0 11,0,2024-09-07 08:28:51:018,22119,0.5,21765,0.8,45443,0.5,61175,2.00 11,1,2024-09-07 08:28:50:574,180238,180238,0,0,84303873956,891220211,176404,2704,1130,384,391537,0 11,2,2024-09-07 08:28:51:123,131118,131118,0,0,6089913,0,3411 11,3,2024-09-07 08:28:51:300,1,103,10,0,720,2559,103,0 12,0,2024-09-07 08:28:50:975,28352,0.3,28206,0.5,56602,0.3,74672,1.50 12,1,2024-09-07 08:28:50:936,180325,180325,0,0,84085490422,881846364,178111,1851,363,371,391837,0 12,2,2024-09-07 08:28:51:544,129168,129168,0,0,5582148,0,2254 12,3,2024-09-07 08:28:51:062,1,103,11,0,358,1908,103,0 13,0,2024-09-07 08:28:51:382,27587,0.4,27766,0.5,55366,0.4,73773,1.75 13,1,2024-09-07 08:28:51:528,181167,181167,0,0,85289482442,895963104,179546,1254,367,384,391717,0 13,2,2024-09-07 08:28:50:652,129939,129939,0,0,5222002,0,3287 13,3,2024-09-07 08:28:51:770,1,103,22,1,416,2059,103,0 14,0,2024-09-07 08:28:50:590,27122,0.6,27343,0.8,54127,0.6,72080,2.00 14,1,2024-09-07 08:28:51:565,182026,182026,0,0,85404999082,885752085,180636,1313,77,364,391571,0 14,2,2024-09-07 08:28:50:766,130702,130702,0,0,5634409,0,2793 14,3,2024-09-07 08:28:51:115,1,103,1,0,906,1388,103,0 15,0,2024-09-07 08:28:51:568,23221,0.6,23001,1.0,46089,0.7,62028,2.25 15,1,2024-09-07 08:28:51:608,180535,180535,0,0,85294609940,886832586,179606,894,35,381,391536,0 15,2,2024-09-07 08:28:50:997,133925,133925,0,0,4766219,0,3043 15,3,2024-09-07 08:28:51:408,1,103,1,0,538,2549,103,0 16,0,2024-09-07 08:28:50:976,26292,0.4,26525,0.6,52592,0.3,70146,2.00 16,1,2024-09-07 08:28:50:563,181013,181013,0,0,84762203082,886225232,179851,1134,28,372,391756,0 16,2,2024-09-07 08:28:51:454,127111,127111,0,0,5924974,0,4719 16,3,2024-09-07 08:28:51:145,1,103,1,0,231,1530,103,0 17,0,2024-09-07 08:28:51:772,26830,0.5,26297,0.6,51543,0.4,69816,1.75 17,1,2024-09-07 08:28:50:590,180510,180510,0,0,84043570701,886396572,178308,1674,528,369,391688,0 17,2,2024-09-07 08:28:51:678,129862,129862,0,0,5040323,0,2857 17,3,2024-09-07 08:28:50:592,1,103,20,0,268,1844,103,0 18,0,2024-09-07 08:28:50:953,25675,1.2,26132,1.1,52536,1.4,69570,2.25 18,1,2024-09-07 08:28:51:638,180941,180941,0,0,84699837528,879959741,179676,1047,218,368,391564,0 18,2,2024-09-07 08:28:51:755,130437,130437,0,0,5910220,0,3541 18,3,2024-09-07 08:28:50:896,1,103,1,0,163,1085,103,0 19,0,2024-09-07 08:28:51:547,23635,1.1,23843,1.1,47170,1.3,63045,3.50 19,1,2024-09-07 08:28:50:573,181066,181066,0,0,85714902034,893709814,179052,1848,166,367,391777,0 19,2,2024-09-07 08:28:51:753,134490,134490,0,0,5412810,0,3988 19,3,2024-09-07 08:28:51:132,1,103,1,0,524,1271,103,0 20,0,2024-09-07 08:28:51:373,24354,0.5,24277,0.6,48582,0.4,64636,2.00 20,1,2024-09-07 08:28:50:573,180801,180801,0,0,84987843813,891956728,178807,1818,176,370,391598,0 20,2,2024-09-07 08:28:50:961,127622,127622,0,0,5585517,0,3721 20,3,2024-09-07 08:28:50:610,1,103,9,0,414,2059,103,0 21,0,2024-09-07 08:28:51:162,25874,0.4,25779,0.5,51470,0.3,67900,1.75 21,1,2024-09-07 08:28:51:542,180170,180170,0,0,84090372820,887594080,177625,2040,505,368,391962,0 21,2,2024-09-07 08:28:51:071,128647,128647,0,0,5945653,0,3747 21,3,2024-09-07 08:28:51:410,1,103,8,0,93,1319,103,0 22,0,2024-09-07 08:28:51:726,27098,1.2,27107,1.1,54106,1.6,72056,2.50 22,1,2024-09-07 08:28:51:030,180075,180075,0,0,84185475405,893792394,175641,3063,1371,382,391667,0 22,2,2024-09-07 08:28:50:771,130032,130032,0,0,5557818,0,3134 22,3,2024-09-07 08:28:51:071,1,103,1,0,228,1052,103,0 23,0,2024-09-07 08:28:51:383,25298,0.8,25455,0.9,51017,0.8,67532,2.50 23,1,2024-09-07 08:28:51:002,181291,181291,0,0,85701632923,893791512,179096,1624,571,365,391549,0 23,2,2024-09-07 08:28:51:095,133225,133225,0,0,5534459,0,2078 23,3,2024-09-07 08:28:51:759,1,103,1,0,645,1552,103,0 24,0,2024-09-07 08:28:50:896,23265,0.5,23236,0.7,46771,0.5,62129,1.75 24,1,2024-09-07 08:28:50:588,180272,180272,0,0,84997359528,892170900,178223,1374,675,369,391640,0 24,2,2024-09-07 08:28:51:077,129122,129122,0,0,7018333,0,2942 24,3,2024-09-07 08:28:51:686,1,103,1,0,234,1417,103,0 25,0,2024-09-07 08:28:51:552,27819,0.4,27212,0.7,53261,0.4,72279,1.75 25,1,2024-09-07 08:28:50:605,180215,180215,0,0,84674999446,891753872,177594,2182,439,371,391788,0 25,2,2024-09-07 08:28:51:620,127212,127212,0,0,7071926,0,3978 25,3,2024-09-07 08:28:51:004,1,103,8,0,158,1213,103,0 26,0,2024-09-07 08:28:51:751,27748,0.4,27082,0.6,56828,0.4,74475,1.75 26,1,2024-09-07 08:28:51:544,180994,180994,0,0,84243492366,889339905,177318,2841,835,381,391564,0 26,2,2024-09-07 08:28:50:867,129575,129575,0,0,6342537,0,2809 26,3,2024-09-07 08:28:51:723,1,103,15,0,796,1448,103,0 27,0,2024-09-07 08:28:51:734,27082,0.7,27178,0.8,53895,0.7,72481,1.75 27,1,2024-09-07 08:28:51:734,181338,181338,0,0,85497871708,889300491,179942,1101,295,381,391539,0 27,2,2024-09-07 08:28:50:867,130338,130338,0,0,7192941,0,3409 27,3,2024-09-07 08:28:51:015,1,103,2,0,564,1430,103,0 28,0,2024-09-07 08:28:51:464,22533,0.6,22983,0.8,45818,0.7,61144,2.00 28,1,2024-09-07 08:28:50:810,181313,181313,0,0,85696294135,892483264,179999,1113,201,383,391646,0 28,2,2024-09-07 08:28:51:774,132458,132458,0,0,4891268,0,2609 28,3,2024-09-07 08:28:51:781,1,103,1,0,502,1357,103,0 29,0,2024-09-07 08:28:51:404,28208,0.3,27529,0.5,53854,0.2,74319,1.75 29,1,2024-09-07 08:28:51:561,182006,182006,0,0,84859219160,880374877,180455,1076,475,369,391621,0 29,2,2024-09-07 08:28:50:864,128275,128275,0,0,4595298,0,4018 29,3,2024-09-07 08:28:50:989,1,103,8,0,105,819,103,0 30,0,2024-09-07 08:28:51:464,26704,0.9,25985,0.9,54327,0.9,71479,2.50 30,1,2024-09-07 08:28:50:572,181388,181388,0,0,85603259029,890196085,180317,1006,65,382,391672,0 30,2,2024-09-07 08:28:51:286,129754,129754,0,0,4741551,0,3161 30,3,2024-09-07 08:28:50:591,1,103,2,0,195,784,103,0 31,0,2024-09-07 08:28:51:776,26556,1.0,26687,1.0,53895,1.1,71321,2.25 31,1,2024-09-07 08:28:50:564,182065,182065,0,0,85159918914,871944448,181793,270,2,356,391553,0 31,2,2024-09-07 08:28:51:286,130573,130573,0,0,5913435,0,3525 31,3,2024-09-07 08:28:51:710,1,103,1,0,129,763,103,0 32,0,2024-09-07 08:28:51:424,23722,0.8,23856,0.9,47711,0.7,63343,1.75 32,1,2024-09-07 08:28:50:807,181673,181673,0,0,84950643854,882221423,180654,990,29,382,391595,0 32,2,2024-09-07 08:28:50:937,134018,134018,0,0,5183605,0,3155 32,3,2024-09-07 08:28:51:016,1,103,1,0,227,1150,103,0 33,0,2024-09-07 08:28:51:499,25730,0.2,25422,0.4,51430,0.2,68266,1.50 33,1,2024-09-07 08:28:50:574,181877,181877,0,0,85331719800,885454503,180161,1593,123,369,391730,0 33,2,2024-09-07 08:28:50:762,128245,128212,33,0,6326256,0,7012 33,3,2024-09-07 08:28:50:896,1,103,34,0,211,1007,103,0 34,0,2024-09-07 08:28:50:942,25389,0.3,26213,0.5,50329,0.2,67317,1.50 34,1,2024-09-07 08:28:51:045,182004,182004,0,0,85602926358,881709267,181365,634,5,367,391547,0 34,2,2024-09-07 08:28:50:787,128099,128099,0,0,5591870,0,3255 34,3,2024-09-07 08:28:51:692,1,103,14,0,148,792,103,0 35,0,2024-09-07 08:28:50:867,26440,0.6,26770,0.7,53186,0.6,70640,1.75 35,1,2024-09-07 08:28:51:066,181091,181091,0,0,84809001202,880897124,179612,1117,362,384,391587,0 35,2,2024-09-07 08:28:51:583,130193,130193,0,0,5061776,0,2542 35,3,2024-09-07 08:28:50:909,1,103,2,0,219,1211,103,0 36,0,2024-09-07 08:28:51:577,24720,1.2,24646,1.2,49906,1.4,65616,2.50 36,1,2024-09-07 08:28:50:584,180709,180709,0,0,84592800592,887545336,177599,2178,932,366,391543,0 36,2,2024-09-07 08:28:51:751,133269,133269,0,0,6699492,0,3303 36,3,2024-09-07 08:28:50:866,1,103,1,0,378,1203,103,0 37,0,2024-09-07 08:28:51:388,22889,0.4,22760,0.5,45619,0.3,61406,1.75 37,1,2024-09-07 08:28:50:572,180481,180474,0,7,84228192912,889739087,177110,1823,1541,365,391518,0 37,2,2024-09-07 08:28:51:146,128695,128695,0,0,5016439,0,2333 37,3,2024-09-07 08:28:51:767,1,103,1,0,724,2161,103,0 38,0,2024-09-07 08:28:51:454,26176,0.4,25350,0.6,52989,0.4,69353,1.75 38,1,2024-09-07 08:28:51:605,181743,181743,0,0,84795300039,889143459,178881,2341,521,368,391821,0 38,2,2024-09-07 08:28:50:760,128763,128763,0,0,6146304,0,3245 38,3,2024-09-07 08:28:50:996,1,103,43,0,603,1526,103,0 39,0,2024-09-07 08:28:51:768,28647,0.8,28051,0.8,54692,1.0,74150,2.00 39,1,2024-09-07 08:28:50:716,180792,180792,0,0,84293553512,882991790,177852,2272,668,365,391524,0 39,2,2024-09-07 08:28:51:417,129902,129902,0,0,5718241,0,2689 39,3,2024-09-07 08:28:50:713,1,103,7,0,276,1584,103,0 40,0,2024-09-07 08:28:51:505,26304,1.3,26897,1.7,53261,2.0,71208,3.50 40,1,2024-09-07 08:28:50:590,180275,180275,0,0,83482326021,880161281,176540,2971,764,369,391591,0 40,2,2024-09-07 08:28:51:322,132444,132443,1,0,7305678,0,5137 40,3,2024-09-07 08:28:51:145,1,103,1,0,181,1124,103,0 41,0,2024-09-07 08:28:51:029,22046,2.0,22614,2.3,43164,3.2,59825,4.25 41,1,2024-09-07 08:28:50:780,180822,180822,0,0,85507618190,899724349,177392,2913,517,370,391742,0 41,2,2024-09-07 08:28:50:762,130552,130552,0,0,6801468,0,3356 41,3,2024-09-07 08:28:51:676,1,103,8,0,366,1398,103,0 42,0,2024-09-07 08:28:51:486,27533,0.7,27792,0.9,55162,0.6,72859,2.50 42,1,2024-09-07 08:28:51:439,180157,180157,0,0,84552332645,891410461,176977,2350,830,380,391675,0 42,2,2024-09-07 08:28:51:141,127444,127444,0,0,6515943,0,3568 42,3,2024-09-07 08:28:51:009,1,103,3,0,100,813,103,0 43,0,2024-09-07 08:28:50:929,27546,0.9,26803,1.0,56086,0.9,73846,2.25 43,1,2024-09-07 08:28:50:590,180274,180274,0,0,85116675709,890923590,177690,1832,752,366,391604,0 43,2,2024-09-07 08:28:51:736,129829,129829,0,0,6337838,0,3812 43,3,2024-09-07 08:28:51:749,1,103,27,0,325,1842,103,0 44,0,2024-09-07 08:28:50:890,27237,0.6,27078,0.8,54422,0.6,72719,2.00 44,1,2024-09-07 08:28:50:585,181163,181163,0,0,84651856578,874619686,180069,986,108,356,391569,0 44,2,2024-09-07 08:28:51:267,130843,130843,0,0,4584733,0,1865 44,3,2024-09-07 08:28:51:095,1,103,4,0,817,1473,103,0 45,0,2024-09-07 08:28:51:763,22740,0.9,22376,1.0,46695,0.9,62339,2.00 45,1,2024-09-07 08:28:51:006,181420,181420,0,0,84891428818,880239867,180811,608,1,382,391917,0 45,2,2024-09-07 08:28:51:268,133810,133810,0,0,5559359,0,3596 45,3,2024-09-07 08:28:50:936,1,103,19,0,226,983,103,0 46,0,2024-09-07 08:28:50:970,26444,0.3,26424,0.4,53188,0.2,70159,1.50 46,1,2024-09-07 08:28:50:597,181766,181766,0,0,85043118883,878380316,180829,899,38,366,391514,0 46,2,2024-09-07 08:28:50:606,128398,128398,0,0,5087369,0,2920 46,3,2024-09-07 08:28:51:131,1,103,1,0,363,1714,103,0 47,0,2024-09-07 08:28:51:107,26074,0.3,26261,0.5,52691,0.3,69473,1.75 47,1,2024-09-07 08:28:50:574,181689,181689,0,0,84429039807,871459847,180997,687,5,366,391605,0 47,2,2024-09-07 08:28:50:909,129678,129678,0,0,5337493,0,2558 47,3,2024-09-07 08:28:51:121,1,103,1,0,529,1231,103,0 48,0,2024-09-07 08:28:51:498,26680,0.3,26390,0.5,52706,0.3,70294,1.75 48,1,2024-09-07 08:28:51:024,181288,181288,0,0,85029201657,882807399,180082,1200,6,384,391637,0 48,2,2024-09-07 08:28:50:711,130361,130361,0,0,4530370,0,2083 48,3,2024-09-07 08:28:50:755,1,103,2,0,69,839,103,0 49,0,2024-09-07 08:28:51:732,24586,0.6,23965,0.8,46987,0.7,64568,1.75 49,1,2024-09-07 08:28:51:021,180639,180639,0,0,85298464476,890730318,178872,1056,711,382,391583,0 49,2,2024-09-07 08:28:51:797,134145,134145,0,0,5688922,0,3900 49,3,2024-09-07 08:28:51:416,1,103,1,0,274,1016,103,0 50,0,2024-09-07 08:28:51:534,24535,0.2,24103,0.4,48499,0.2,65356,1.50 50,1,2024-09-07 08:28:51:009,182051,182051,0,0,85883970263,892020621,180160,1594,297,368,391530,0 50,2,2024-09-07 08:28:51:088,128200,128200,0,0,4714735,0,2253 50,3,2024-09-07 08:28:51:293,1,103,5,0,335,1052,103,0 51,0,2024-09-07 08:28:51:692,26271,0.2,25781,0.4,50420,0.2,68165,1.50 51,1,2024-09-07 08:28:51:681,181349,181349,0,0,85524700282,887687094,179540,1025,784,367,391637,0 51,2,2024-09-07 08:28:51:316,128258,128258,0,0,4088206,0,2448 51,3,2024-09-07 08:28:51:029,1,103,2,0,162,634,103,0 52,0,2024-09-07 08:28:51:418,27436,0.7,27501,0.8,55047,0.7,72579,2.25 52,1,2024-09-07 08:28:50:576,180502,180502,0,0,85097736829,896395499,176968,2828,706,368,391722,0 52,2,2024-09-07 08:28:51:769,129434,129434,0,0,6185607,0,4779 52,3,2024-09-07 08:28:50:688,1,103,5,0,1782,2702,103,0 53,0,2024-09-07 08:28:51:760,25247,1.4,24447,1.2,50916,1.7,66706,2.75 53,1,2024-09-07 08:28:50:777,180389,180389,0,0,85744896458,900554502,177303,2505,581,370,391617,0 53,2,2024-09-07 08:28:51:306,133834,133834,0,0,5237418,0,1902 53,3,2024-09-07 08:28:50:707,1,103,8,0,133,806,103,0 54,0,2024-09-07 08:28:51:642,22384,2.3,22761,1.2,44680,0.9,61259,4.25 54,1,2024-09-07 08:28:50:585,180732,180732,0,0,85916824944,900147101,177561,2627,544,367,391520,0 54,2,2024-09-07 08:28:50:867,129984,129978,6,0,6211110,0,5382 54,3,2024-09-07 08:28:50:765,1,103,1,0,676,2001,103,0 55,0,2024-09-07 08:28:51:764,26082,0.6,26780,0.8,54367,0.5,70110,2.25 55,1,2024-09-07 08:28:50:769,179873,179873,0,0,84342871897,888513142,175497,3591,785,367,391731,0 55,2,2024-09-07 08:28:50:732,127720,127720,0,0,5806418,0,3275 55,3,2024-09-07 08:28:50:686,1,103,30,0,304,1301,103,0 56,0,2024-09-07 08:28:51:575,28578,1.6,27052,1.2,55593,2.1,74619,2.50 56,1,2024-09-07 08:28:50:575,180039,180039,0,0,85189644181,906692080,175753,3436,850,382,391678,0 56,2,2024-09-07 08:28:51:317,129683,129683,0,0,6881202,0,3567 56,3,2024-09-07 08:28:51:060,1,103,4,0,297,1157,103,0 57,0,2024-09-07 08:28:50:963,26365,2.4,26240,1.6,52805,3.5,71033,4.00 57,1,2024-09-07 08:28:50:993,180432,180432,0,0,84933485829,890950652,178314,1949,169,368,391773,0 57,2,2024-09-07 08:28:51:316,131672,131672,0,0,7085116,0,3178 57,3,2024-09-07 08:28:51:740,1,103,9,0,359,1957,103,0 58,0,2024-09-07 08:28:50:563,21916,1.2,21448,1.2,44898,1.8,58943,3.00 58,1,2024-09-07 08:28:50:577,181732,181729,0,3,85444860027,891942764,179522,1980,227,369,391516,3 58,2,2024-09-07 08:28:51:072,132116,132116,0,0,6242375,0,2549 58,3,2024-09-07 08:28:51:069,1,103,1,0,219,984,103,0 59,0,2024-09-07 08:28:51:771,27612,0.7,27334,0.9,54826,0.7,72951,2.75 59,1,2024-09-07 08:28:50:805,180612,180612,0,0,84702546871,891792459,177363,2327,922,369,391515,0 59,2,2024-09-07 08:28:50:586,128916,128916,0,0,5504448,0,2604 59,3,2024-09-07 08:28:51:737,1,103,5,0,1015,2337,103,0 60,0,2024-09-07 08:28:51:787,27044,0.4,27192,0.6,53646,0.4,71686,1.75 60,1,2024-09-07 08:28:50:886,182379,182379,0,0,85183192234,884678474,181253,776,350,370,391761,0 60,2,2024-09-07 08:28:51:171,128746,128746,0,0,5553688,0,3811 60,3,2024-09-07 08:28:51:258,1,103,21,0,124,1077,103,0 61,0,2024-09-07 08:28:51:526,26652,2.0,26825,1.3,53615,3.3,71488,2.00 61,1,2024-09-07 08:28:50:776,180375,180375,0,0,85201241622,895326008,177767,2071,537,382,391589,0 61,2,2024-09-07 08:28:51:117,130497,130497,0,0,5161183,0,1846 61,3,2024-09-07 08:28:51:687,1,103,14,0,199,1408,103,0 62,0,2024-09-07 08:28:51:740,23787,0.7,24318,0.9,46526,0.8,63389,2.00 62,1,2024-09-07 08:28:51:111,181785,181779,0,6,85870216990,887314224,180489,1252,38,365,391715,6 62,2,2024-09-07 08:28:51:646,132914,132913,1,0,6404759,0,5555 62,3,2024-09-07 08:28:51:145,1,103,0,0,287,746,103,0 63,0,2024-09-07 08:28:51:462,25472,0.3,25597,0.5,51071,0.3,68184,1.75 63,1,2024-09-07 08:28:50:807,182078,182072,0,6,85456381410,883970880,181496,573,3,381,391540,6 63,2,2024-09-07 08:28:50:766,127523,127523,0,0,4827391,0,2674 63,3,2024-09-07 08:28:51:732,1,103,1,0,667,1974,103,0 64,0,2024-09-07 08:28:51:532,25273,0.4,25131,0.6,50555,0.3,67301,1.75 64,1,2024-09-07 08:28:50:757,181254,181254,0,0,85322621438,894521196,178684,1775,795,371,391783,0 64,2,2024-09-07 08:28:51:146,129244,129225,19,0,5672537,0,6121 64,3,2024-09-07 08:28:51:145,1,103,2,0,265,1140,103,0 65,0,2024-09-07 08:28:51:708,25984,1.7,26345,1.2,52687,2.1,69890,3.00 65,1,2024-09-07 08:28:50:867,180700,180700,0,0,84772702332,887646457,178870,1610,220,382,391770,0 65,2,2024-09-07 08:28:51:701,131057,131057,0,0,5927280,0,3367 65,3,2024-09-07 08:28:51:686,1,103,9,0,163,1116,103,0 66,0,2024-09-07 08:28:51:785,24283,0.5,24463,0.8,49102,0.5,65068,2.25 66,1,2024-09-07 08:28:51:295,181884,181884,0,0,85034243125,881893548,181140,722,22,380,391588,0 66,2,2024-09-07 08:28:51:132,135306,135306,0,0,5346816,0,3867 66,3,2024-09-07 08:28:51:079,1,103,3,0,291,1268,103,0 67,0,2024-09-07 08:28:51:419,23112,0.4,23036,0.6,45783,0.3,61487,1.75 67,1,2024-09-07 08:28:50:767,180652,180651,0,1,84935621442,888884086,178583,1543,525,381,391787,1 67,2,2024-09-07 08:28:50:586,129543,129543,0,0,4746460,0,2889 67,3,2024-09-07 08:28:51:751,1,103,1,0,138,861,103,0 68,0,2024-09-07 08:28:50:663,26426,0.5,26341,0.7,52510,0.5,69679,2.00 68,1,2024-09-07 08:28:50:577,180583,180583,0,0,85061334552,892586911,178887,1174,522,381,391953,0 68,2,2024-09-07 08:28:51:050,128037,128037,0,0,5993832,0,4883 68,3,2024-09-07 08:28:50:739,1,103,6,0,151,1045,103,0 69,0,2024-09-07 08:28:51:750,27805,1.1,27985,1.0,55807,1.5,73959,2.50 69,1,2024-09-07 08:28:51:026,180209,180209,0,0,84845353298,899669004,177448,1683,1078,384,391611,0 69,2,2024-09-07 08:28:51:742,129849,129849,0,0,6751964,0,3701 69,3,2024-09-07 08:28:50:760,1,103,9,0,238,1407,103,0 70,0,2024-09-07 08:28:51:538,26516,2.5,26619,1.9,53394,1.4,70935,3.75 70,1,2024-09-07 08:28:50:805,181264,181264,0,0,85422383854,888769528,179398,1407,459,368,391725,0 70,2,2024-09-07 08:28:51:325,131754,131754,0,0,6490869,0,4044 70,3,2024-09-07 08:28:50:747,1,103,1,0,178,673,103,0 71,0,2024-09-07 08:28:51:420,22020,2.1,22243,2.0,43918,3.1,60188,3.50 71,1,2024-09-07 08:28:51:597,181224,181224,0,0,85551554572,894937311,178677,2213,334,368,391682,0 71,2,2024-09-07 08:28:51:070,131341,131341,0,0,5726290,0,2146 71,3,2024-09-07 08:28:51:751,1,103,12,0,279,1427,103,0 72,0,2024-09-07 08:28:51:036,28944,0.6,28260,0.8,55331,0.5,74786,2.25 72,1,2024-09-07 08:28:51:023,180654,180654,0,0,84880015362,889451725,178042,2130,482,370,391819,0 72,2,2024-09-07 08:28:51:762,127176,127176,0,0,6992572,0,2570 72,3,2024-09-07 08:28:51:760,1,103,1,0,325,1472,103,0 73,0,2024-09-07 08:28:51:129,27014,0.4,27655,0.6,56545,0.4,73473,2.25 73,1,2024-09-07 08:28:50:771,180592,180592,0,0,84894458397,883509772,179273,1256,63,368,391627,0 73,2,2024-09-07 08:28:51:741,130010,130010,0,0,6749184,0,3482 73,3,2024-09-07 08:28:50:975,1,103,109,0,274,1660,103,0 74,0,2024-09-07 08:28:51:326,27275,0.9,27722,1.1,52995,1.5,72186,2.75 74,1,2024-09-07 08:28:50:641,180731,180731,0,0,84635947963,886336880,178490,1636,605,382,391511,0 74,2,2024-09-07 08:28:51:006,130284,130284,0,0,7183744,0,4253 74,3,2024-09-07 08:28:51:442,1,103,12,0,246,1558,103,0 75,0,2024-09-07 08:28:51:770,23157,1.2,23248,1.2,46180,1.2,62557,2.75 75,1,2024-09-07 08:28:51:585,180644,180644,0,0,84938424596,891147051,178318,1963,363,381,391579,0 75,2,2024-09-07 08:28:51:352,132841,132841,0,0,6490334,0,4766 75,3,2024-09-07 08:28:51:071,1,103,60,0,535,1434,103,0 76,0,2024-09-07 08:28:50:608,26602,0.3,26201,0.5,52487,0.2,69912,1.75 76,1,2024-09-07 08:28:50:812,180714,180714,0,0,84158309971,881204024,178894,1312,508,382,391530,0 76,2,2024-09-07 08:28:51:060,128756,128756,0,0,4988377,0,3064 76,3,2024-09-07 08:28:51:145,1,103,1,0,175,1204,103,0 77,0,2024-09-07 08:28:51:696,26116,0.6,26329,0.7,52690,0.6,69391,1.75 77,1,2024-09-07 08:28:50:865,181178,181178,0,0,85139183272,887703453,180044,1064,70,383,391555,0 77,2,2024-09-07 08:28:51:282,129148,129148,0,0,4896588,0,2694 77,3,2024-09-07 08:28:51:094,1,103,8,0,139,1048,103,0 78,0,2024-09-07 08:28:51:730,26388,0.7,26355,0.9,52727,0.8,69950,2.25 78,1,2024-09-07 08:28:50:616,181559,181559,0,0,84840419430,883531701,179979,1375,205,367,391589,0 78,2,2024-09-07 08:28:51:409,131082,131082,0,0,4835699,0,2114 78,3,2024-09-07 08:28:51:133,1,103,5,0,181,1350,103,0 79,0,2024-09-07 08:28:51:406,23088,0.6,23647,0.9,48431,0.6,63271,2.50 79,1,2024-09-07 08:28:50:573,181724,181724,0,0,85039800991,883606226,179889,1664,171,370,391682,0 79,2,2024-09-07 08:28:51:069,134411,134411,0,0,5238722,0,2679 79,3,2024-09-07 08:28:50:749,1,103,43,0,289,1359,103,0 80,0,2024-09-07 08:28:51:168,24065,0.5,24941,0.6,48054,0.4,64997,2.00 80,1,2024-09-07 08:28:51:631,180765,180765,0,0,84600923816,880809330,179010,1638,117,368,391673,0 80,2,2024-09-07 08:28:51:097,128556,128556,0,0,5186043,0,4433 80,3,2024-09-07 08:28:50:584,1,103,1,1,190,1564,103,0 81,0,2024-09-07 08:28:51:558,25748,0.5,26489,0.6,50715,0.5,68149,1.75 81,1,2024-09-07 08:28:51:653,180920,180920,0,0,84486942245,885081867,178991,1730,199,382,391680,0 81,2,2024-09-07 08:28:51:133,127894,127894,0,0,5703088,0,3993 81,3,2024-09-07 08:28:51:128,1,103,14,0,193,1020,103,0 82,0,2024-09-07 08:28:51:546,27223,0.7,27221,0.9,54730,0.8,72690,2.25 82,1,2024-09-07 08:28:50:592,180806,180802,0,4,84683345741,885777042,178617,1611,574,382,391558,4 82,2,2024-09-07 08:28:51:693,130913,130913,0,0,4801548,0,2230 82,3,2024-09-07 08:28:51:752,1,103,1,0,227,1563,103,0 83,0,2024-09-07 08:28:51:528,25469,1.3,25255,1.1,50229,1.5,66592,2.50 83,1,2024-09-07 08:28:50:557,180970,180970,0,0,85603239367,893808546,179472,1397,101,383,391553,0 83,2,2024-09-07 08:28:50:770,132769,132769,0,0,5649430,0,3119 83,3,2024-09-07 08:28:50:755,1,103,4,0,127,992,103,0 84,0,2024-09-07 08:28:51:794,22900,1.3,22660,1.4,45473,0.9,61683,2.75 84,1,2024-09-07 08:28:51:039,180354,180354,0,0,84719447388,890141607,177908,2063,383,369,391638,0 84,2,2024-09-07 08:28:50:579,129804,129804,0,0,5863423,0,3801 84,3,2024-09-07 08:28:51:146,1,103,1,1,58,948,103,0 85,0,2024-09-07 08:28:51:070,26021,0.6,26019,0.8,55119,0.5,71347,2.25 85,1,2024-09-07 08:28:50:560,179879,179879,0,0,84739558231,898609308,176415,2989,475,383,391679,0 85,2,2024-09-07 08:28:50:867,128104,128104,0,0,6477090,0,3656 85,3,2024-09-07 08:28:50:688,1,103,9,0,115,1070,103,0 86,0,2024-09-07 08:28:50:892,27853,0.7,28784,0.8,55195,0.8,74500,2.00 86,1,2024-09-07 08:28:50:829,180826,180826,0,0,85552063663,896790812,178341,2207,278,367,391961,0 86,2,2024-09-07 08:28:50:856,129404,129403,1,0,7007208,0,5004 86,3,2024-09-07 08:28:50:588,1,103,2,0,199,1310,103,0 87,0,2024-09-07 08:28:51:311,26834,2.3,26762,1.4,53627,3.7,72314,2.75 87,1,2024-09-07 08:28:50:573,180491,180491,0,0,84082533702,883481817,178208,2144,139,366,391788,0 87,2,2024-09-07 08:28:51:071,131159,131159,0,0,5819296,0,3515 87,3,2024-09-07 08:28:51:794,1,103,1,1,335,1756,103,0 88,0,2024-09-07 08:28:51:577,22691,0.7,22999,0.8,45637,0.8,61083,2.00 88,1,2024-09-07 08:28:50:580,180077,180077,0,0,84938189031,893452772,177746,1622,709,367,391787,0 88,2,2024-09-07 08:28:50:691,132448,132448,0,0,6847111,0,3583 88,3,2024-09-07 08:28:51:269,1,103,24,1,77,1148,103,0 89,0,2024-09-07 08:28:51:872,28308,0.4,27571,0.6,54572,0.4,74365,1.75 89,1,2024-09-07 08:28:50:551,179901,179901,0,0,84576798844,899732504,175977,3118,806,382,391866,0 89,2,2024-09-07 08:28:51:134,127814,127814,0,0,6366639,0,2901 89,3,2024-09-07 08:28:51:793,1,103,15,0,325,2190,103,0 90,0,2024-09-07 08:28:51:632,26066,0.4,26616,0.6,54777,0.4,71520,1.75 90,1,2024-09-07 08:28:50:601,180964,180964,0,0,84681303773,894148437,178311,2497,156,382,391825,0 90,2,2024-09-07 08:28:51:422,127855,127855,0,0,7026511,0,2635 90,3,2024-09-07 08:28:50:931,1,103,1,1,200,1114,103,0 91,0,2024-09-07 08:28:50:996,27195,0.6,26318,0.7,54675,0.6,71893,1.75 91,1,2024-09-07 08:28:50:559,180443,180443,0,0,85110146083,899073025,177323,2627,493,383,391914,0 91,2,2024-09-07 08:28:51:355,129297,129297,0,0,6542553,0,1997 91,3,2024-09-07 08:28:50:601,1,103,8,0,155,1375,103,0 92,0,2024-09-07 08:28:51:574,24025,0.6,24595,0.8,46886,0.7,63577,1.75 92,1,2024-09-07 08:28:50:603,181056,181056,0,0,84755375183,885008079,179836,927,293,382,391717,0 92,2,2024-09-07 08:28:51:371,135142,135142,0,0,5563227,0,2279 92,3,2024-09-07 08:28:51:017,1,103,2,0,68,989,103,0 93,0,2024-09-07 08:28:50:986,25667,0.3,26198,0.5,50145,0.2,68256,1.50 93,1,2024-09-07 08:28:50:814,181176,181176,0,0,84762592617,886972771,179196,1543,437,366,391689,0 93,2,2024-09-07 08:28:50:953,128105,128105,0,0,5021592,0,2509 93,3,2024-09-07 08:28:51:408,1,103,8,0,143,993,103,0 94,0,2024-09-07 08:28:51:613,25500,0.3,25660,0.5,50828,0.3,67207,1.50 94,1,2024-09-07 08:28:50:588,180717,180717,0,0,84833939297,890217827,178777,1861,79,381,391850,0 94,2,2024-09-07 08:28:50:777,128155,128155,0,0,4989590,0,2443 94,3,2024-09-07 08:28:51:688,1,103,13,0,231,1722,103,0 95,0,2024-09-07 08:28:51:355,26697,0.4,26623,0.6,53567,0.4,70734,1.75 95,1,2024-09-07 08:28:50:853,181162,181162,0,0,84595327387,878387403,180272,826,64,367,391600,0 95,2,2024-09-07 08:28:51:016,130994,130994,0,0,5583630,0,3308 95,3,2024-09-07 08:28:51:710,1,103,1,0,307,1739,103,0 96,0,2024-09-07 08:28:51:039,24862,0.6,24853,0.7,49572,0.6,65470,1.75 96,1,2024-09-07 08:28:51:587,181061,181061,0,0,84473527141,886252781,179144,1346,571,385,391596,0 96,2,2024-09-07 08:28:51:287,134108,134108,0,0,5963518,0,4038 96,3,2024-09-07 08:28:51:145,1,103,2,0,188,1095,103,0 97,0,2024-09-07 08:28:51:379,22773,0.3,22895,0.5,45905,0.3,61371,1.75 97,1,2024-09-07 08:28:50:772,181165,181165,0,0,84894715604,886232474,178943,1703,519,367,392140,0 97,2,2024-09-07 08:28:50:616,128446,128446,0,0,5015519,0,3036 97,3,2024-09-07 08:28:50:590,1,103,12,1,165,1265,103,0 98,0,2024-09-07 08:28:51:715,26338,0.2,26134,0.4,52828,0.2,69906,1.50 98,1,2024-09-07 08:28:50:572,181161,181161,0,0,84982420490,886223060,179945,1158,58,382,391997,0 98,2,2024-09-07 08:28:50:774,129597,129597,0,0,5295267,0,3080 98,3,2024-09-07 08:28:50:707,1,103,5,1,840,2561,103,0 99,0,2024-09-07 08:28:51:457,27955,0.3,27978,0.5,55880,0.3,74120,1.75 99,1,2024-09-07 08:28:51:741,181719,181719,0,0,84530038358,881819279,180210,1063,446,381,391744,0 99,2,2024-09-07 08:28:51:428,131470,131470,0,0,5630033,0,1858 99,3,2024-09-07 08:28:50:583,1,103,14,0,129,826,103,0 100,0,2024-09-07 08:28:51:533,26810,1.3,26716,1.9,53393,4.0,71792,2.50 100,1,2024-09-07 08:28:50:558,179992,179992,0,0,84867830698,902612352,176362,3143,487,381,391989,0 100,2,2024-09-07 08:28:51:829,132042,132031,11,0,6976339,0,5417 100,3,2024-09-07 08:28:51:733,1,103,2,0,443,2172,103,0 101,0,2024-09-07 08:28:51:721,22852,3.6,22170,1.9,43734,3.7,61004,3.25 101,1,2024-09-07 08:28:50:557,179904,179904,0,0,84875680322,898982918,176692,1973,1239,368,391709,0 101,2,2024-09-07 08:28:51:755,130698,130698,0,0,6799389,0,4644 101,3,2024-09-07 08:28:50:947,1,103,8,0,448,1286,103,0 102,0,2024-09-07 08:28:51:013,27353,0.7,28328,0.9,56893,0.6,74443,2.00 102,1,2024-09-07 08:28:51:154,180206,180206,0,0,84556925926,892244560,177461,2229,516,369,391831,0 102,2,2024-09-07 08:28:51:737,128640,128640,0,0,5318650,0,2144 102,3,2024-09-07 08:28:51:629,1,103,3,0,410,1288,103,0 103,0,2024-09-07 08:28:51:605,28393,0.5,28332,0.7,53657,0.5,73975,2.00 103,1,2024-09-07 08:28:51:631,180195,180195,0,0,85109646006,897893372,177479,2004,712,381,391680,0 103,2,2024-09-07 08:28:50:608,129240,129240,0,0,5919041,0,2104 103,3,2024-09-07 08:28:50:755,1,103,4,0,486,1591,103,0 104,0,2024-09-07 08:28:51:018,27038,1.3,27003,1.2,53100,1.5,72343,2.50 104,1,2024-09-07 08:28:51:636,180800,180800,0,0,84514210714,891129690,178109,2309,382,366,391948,0 104,2,2024-09-07 08:28:51:709,129988,129988,0,0,6419648,0,3941 104,3,2024-09-07 08:28:51:457,1,103,60,1,1245,4496,103,0 105,0,2024-09-07 08:28:51:072,22866,1.1,22145,1.4,46051,1.6,61873,3.50 105,1,2024-09-07 08:28:50:561,180679,180679,0,0,85178984897,898285690,177222,2696,761,367,391797,0 105,2,2024-09-07 08:28:51:344,132883,132883,0,0,6217160,0,3314 105,3,2024-09-07 08:28:51:304,1,103,9,1,399,1844,103,0 106,0,2024-09-07 08:28:50:950,25633,0.4,26306,0.6,53364,0.3,69907,1.75 106,1,2024-09-07 08:28:51:750,180938,180938,0,0,84382060509,887724054,178252,2358,328,369,391767,0 106,2,2024-09-07 08:28:50:756,127426,127426,0,0,5840901,0,2795 106,3,2024-09-07 08:28:50:679,1,103,17,1,201,1131,103,0 107,0,2024-09-07 08:28:51:122,26262,0.5,26090,0.7,51965,0.4,69669,1.75 107,1,2024-09-07 08:28:50:591,180258,180258,0,0,84601599402,892881670,177902,2165,191,381,392234,0 107,2,2024-09-07 08:28:51:297,128071,128070,1,0,6283082,0,5024 107,3,2024-09-07 08:28:51:757,1,103,7,0,353,1512,103,0 108,0,2024-09-07 08:28:51:774,26553,0.5,26757,0.7,52831,0.5,70326,1.75 108,1,2024-09-07 08:28:51:295,180900,180900,0,0,85471307341,893122119,179250,1513,137,371,391857,0 108,2,2024-09-07 08:28:51:756,130122,130122,0,0,5866003,0,2647 108,3,2024-09-07 08:28:51:350,1,103,1,0,272,1594,103,0 109,0,2024-09-07 08:28:51:749,24006,0.6,24112,0.7,47862,0.7,64562,1.75 109,1,2024-09-07 08:28:50:600,180652,180652,0,0,85272848853,897380967,178615,1578,459,383,391812,0 109,2,2024-09-07 08:28:50:921,132966,132966,0,0,6148621,0,3617 109,3,2024-09-07 08:28:51:145,1,103,28,1,249,1612,103,0 110,0,2024-09-07 08:28:51:800,24395,0.3,23661,0.4,49322,0.2,64952,1.50 110,1,2024-09-07 08:28:51:653,181805,181805,0,0,85416642726,887551846,180431,1084,290,370,391667,0 110,2,2024-09-07 08:28:51:314,128174,128174,0,0,4911210,0,2915 110,3,2024-09-07 08:28:50:690,1,103,10,0,183,1118,103,0 111,0,2024-09-07 08:28:51:429,25854,0.2,25431,0.4,50958,0.1,68126,1.50 111,1,2024-09-07 08:28:50:999,181630,181630,0,0,85133501410,880843665,180981,635,14,382,391690,0 111,2,2024-09-07 08:28:51:122,128326,128326,0,0,5634488,0,2763 111,3,2024-09-07 08:28:50:928,1,103,0,0,300,1757,103,0 112,0,2024-09-07 08:28:50:976,27591,0.4,27523,0.5,54878,0.3,72968,1.50 112,1,2024-09-07 08:28:50:836,181181,181181,0,0,85403804213,889704301,179566,1269,346,381,391580,0 112,2,2024-09-07 08:28:51:146,130354,130353,1,0,5955915,0,5036 112,3,2024-09-07 08:28:50:593,1,103,4,0,282,1100,103,0 113,0,2024-09-07 08:28:50:887,25388,0.5,25246,0.6,51282,0.4,67743,1.75 113,1,2024-09-07 08:28:51:686,181328,181328,0,0,85194089837,883744938,179414,1530,384,368,391661,0 113,2,2024-09-07 08:28:51:302,134359,134359,0,0,4923612,0,3021 113,3,2024-09-07 08:28:50:687,1,103,9,0,288,1616,103,0 114,0,2024-09-07 08:28:50:886,23201,1.7,23490,0.9,46085,0.5,62333,2.00 114,1,2024-09-07 08:28:50:724,181227,181227,0,0,85317720708,893713949,178588,1758,881,381,391531,0 114,2,2024-09-07 08:28:50:877,129738,129738,0,0,5353081,0,3925 114,3,2024-09-07 08:28:51:282,1,103,1,0,395,1704,103,0 115,0,2024-09-07 08:28:50:572,26940,0.3,27243,0.4,54658,0.2,72037,1.50 115,1,2024-09-07 08:28:50:581,181556,181556,0,0,85525777626,892895143,179169,1899,488,382,391602,0 115,2,2024-09-07 08:28:51:135,128943,128943,0,0,4658111,0,2152 115,3,2024-09-07 08:28:51:008,1,103,1,0,159,604,103,0 116,0,2024-09-07 08:28:51:748,28103,0.8,27674,0.9,55908,0.9,74507,2.00 116,1,2024-09-07 08:28:50:834,180048,180048,0,0,84613303135,900699421,176620,2042,1386,382,391619,0 116,2,2024-09-07 08:28:51:752,129168,129168,0,0,6763600,0,3529 116,3,2024-09-07 08:28:50:917,1,103,9,1,252,1567,103,0 117,0,2024-09-07 08:28:51:025,26809,1.8,26859,1.3,53458,3.0,72341,2.00 117,1,2024-09-07 08:28:51:590,180768,180768,0,0,84305224076,889282412,177752,2620,396,370,392033,0 117,2,2024-09-07 08:28:51:123,132331,132331,0,0,5824900,0,3700 117,3,2024-09-07 08:28:51:060,1,103,14,0,490,2367,103,0 118,0,2024-09-07 08:28:51:777,22174,0.8,22734,0.9,46480,1.0,60836,2.25 118,1,2024-09-07 08:28:50:585,180651,180651,0,0,83932790371,883101304,178424,1992,235,368,391736,0 118,2,2024-09-07 08:28:51:595,132593,132593,0,0,6254305,0,2781 118,3,2024-09-07 08:28:51:768,1,103,4,0,235,1412,103,0 119,0,2024-09-07 08:28:51:348,27245,0.6,27211,0.8,55466,0.5,73906,2.00 119,1,2024-09-07 08:28:50:549,181178,181178,0,0,84889854686,889334112,179130,1767,281,370,391641,0 119,2,2024-09-07 08:28:51:261,127427,127427,0,0,5495368,0,2532 119,3,2024-09-07 08:28:51:325,1,103,1,0,443,2422,103,0 120,0,2024-09-07 08:28:51:641,26738,0.5,26713,0.8,53243,0.5,71499,2.00 120,1,2024-09-07 08:28:50:917,180719,180719,0,0,84658896049,890064814,178787,1891,41,368,391702,0 120,2,2024-09-07 08:28:50:775,128313,128312,1,0,7214443,0,5281 120,3,2024-09-07 08:28:51:301,1,103,37,0,241,1655,103,0 121,0,2024-09-07 08:28:51:766,26590,2.0,26914,1.3,53677,3.3,71801,2.25 121,1,2024-09-07 08:28:51:661,180602,180602,0,0,84832754031,890699520,178247,2075,280,367,391840,0 121,2,2024-09-07 08:28:51:127,129333,129333,0,0,7370936,0,4127 121,3,2024-09-07 08:28:50:730,1,103,8,0,159,1234,103,0 122,0,2024-09-07 08:28:51:791,23587,1.8,22859,1.5,47718,2.2,63499,2.25 122,1,2024-09-07 08:28:50:920,180040,180040,0,0,84939639635,897512372,176620,2779,641,367,392130,0 122,2,2024-09-07 08:28:51:380,134026,134026,0,0,7243294,0,3364 122,3,2024-09-07 08:28:50:607,1,103,45,0,298,2001,103,0 123,0,2024-09-07 08:28:51:111,25405,0.7,24753,0.7,51620,0.8,67910,2.00 123,1,2024-09-07 08:28:50:562,181121,181121,0,0,84834572004,897772866,177407,3141,573,369,391823,0 123,2,2024-09-07 08:28:51:088,127222,127221,1,0,5991936,0,5215 123,3,2024-09-07 08:28:51:134,1,103,18,1,168,1509,103,0 124,0,2024-09-07 08:28:50:923,26111,0.3,26134,0.4,49272,0.2,67500,1.50 124,1,2024-09-07 08:28:51:023,181208,181208,0,0,84926636132,880548124,180277,786,145,367,392178,0 124,2,2024-09-07 08:28:51:009,128504,128504,0,0,5324866,0,3101 124,3,2024-09-07 08:28:50:760,1,103,11,1,490,1815,103,0 125,0,2024-09-07 08:28:51:447,26861,0.5,26737,0.6,53545,0.6,70698,1.75 125,1,2024-09-07 08:28:50:872,180646,180646,0,0,84436476343,883657277,178725,1647,274,384,391702,0 125,2,2024-09-07 08:28:51:117,130926,130926,0,0,5367251,0,2180 125,3,2024-09-07 08:28:51:145,1,103,19,1,93,1282,103,0 126,0,2024-09-07 08:28:51:477,24827,0.9,25428,0.9,48875,1.1,65927,1.75 126,1,2024-09-07 08:28:50:565,181634,181634,0,0,84594695158,878103481,180491,1085,58,365,391987,0 126,2,2024-09-07 08:28:50:629,134524,134524,0,0,5565074,0,3186 126,3,2024-09-07 08:28:50:907,1,103,0,0,136,1491,103,0 127,0,2024-09-07 08:28:51:625,22784,0.2,22925,0.4,45854,0.2,61293,1.50 127,1,2024-09-07 08:28:50:668,181518,181518,0,0,85273781822,884446706,180118,1374,26,365,391614,0 127,2,2024-09-07 08:28:50:670,128959,128959,0,0,4670791,0,1803 127,3,2024-09-07 08:28:51:268,1,103,3,0,99,756,103,0 128,0,2024-09-07 08:28:51:540,26518,0.3,26485,0.4,52522,0.2,69895,1.50 128,1,2024-09-07 08:28:51:623,181266,181266,0,0,85120702650,881936821,180525,670,71,367,391680,0 128,2,2024-09-07 08:28:51:387,128078,128078,0,0,5407579,0,2107 128,3,2024-09-07 08:28:50:769,1,103,1,0,247,1331,103,0 129,0,2024-09-07 08:28:50:998,28115,0.4,28064,0.5,55873,0.3,74124,1.75 129,1,2024-09-07 08:28:50:579,180429,180429,0,0,84717393818,888588861,178300,1842,287,379,391835,0 129,2,2024-09-07 08:28:50:689,129900,129900,0,0,4894939,0,2446 129,3,2024-09-07 08:28:50:688,1,103,30,1,173,1462,103,0 130,0,2024-09-07 08:28:51:733,27162,1.2,26953,0.9,54069,1.7,72335,2.00 130,1,2024-09-07 08:28:50:594,181040,181040,0,0,84728475604,883740650,179713,1292,35,381,391825,0 130,2,2024-09-07 08:28:51:166,132332,132332,0,0,5656883,0,4067 130,3,2024-09-07 08:28:51:300,1,103,8,0,207,889,103,0 131,0,2024-09-07 08:28:51:949,22604,0.5,22585,0.7,45743,0.6,61247,1.75 131,1,2024-09-07 08:28:51:822,182057,182057,0,0,84614615811,881985637,180924,945,188,384,391865,0 131,2,2024-09-07 08:28:50:585,131854,131854,0,0,4881297,0,2415 131,3,2024-09-07 08:28:51:689,1,103,8,0,392,1270,103,0 132,0,2024-09-07 08:28:51:446,27742,0.5,28156,0.8,56477,0.5,74697,1.75 132,1,2024-09-07 08:28:50:578,180201,180201,0,0,84226278300,892176418,176785,2659,757,381,391719,0 132,2,2024-09-07 08:28:50:700,127742,127742,0,0,7288025,0,4606 132,3,2024-09-07 08:28:51:688,1,103,1,0,356,1807,103,0 133,0,2024-09-07 08:28:51:528,26939,0.5,27440,0.6,56543,0.5,73263,2.00 133,1,2024-09-07 08:28:50:593,179512,179512,0,0,85429142441,903449587,176658,2680,174,383,391755,0 133,2,2024-09-07 08:28:51:091,129102,129102,0,0,7031342,0,4315 133,3,2024-09-07 08:28:51:300,1,103,12,1,187,973,103,0 134,0,2024-09-07 08:28:51:076,27117,0.8,26968,0.9,54346,0.9,72348,2.00 134,1,2024-09-07 08:28:50:588,180492,180492,0,0,84526032365,890998685,177116,2287,1089,366,391718,0 134,2,2024-09-07 08:28:51:757,130477,130477,0,0,5971543,0,3096 134,3,2024-09-07 08:28:50:751,1,103,1,0,739,2251,103,0 135,0,2024-09-07 08:28:51:189,22615,1.2,22468,1.3,47533,1.3,62046,2.25 135,1,2024-09-07 08:28:51:589,180120,180120,0,0,84889933030,897116218,176822,2598,700,380,391703,0 135,2,2024-09-07 08:28:50:688,133666,133666,0,0,6478780,0,3981 135,3,2024-09-07 08:28:51:014,1,103,4,0,89,720,103,0 136,0,2024-09-07 08:28:51:627,26470,0.4,26335,0.6,53038,0.3,70532,1.75 136,1,2024-09-07 08:28:51:460,180545,180545,0,0,84428698571,887909031,178129,2267,149,384,391641,0 136,2,2024-09-07 08:28:51:178,128855,128855,0,0,5594055,0,3506 136,3,2024-09-07 08:28:51:106,1,103,39,0,108,998,103,0 137,0,2024-09-07 08:28:50:929,26839,0.6,25982,0.7,51592,0.5,69813,2.00 137,1,2024-09-07 08:28:50:586,180655,180655,0,0,84868343119,890262044,178158,2318,179,367,391608,0 137,2,2024-09-07 08:28:51:718,128221,128221,0,0,7322721,0,3185 137,3,2024-09-07 08:28:50:769,1,103,8,1,227,1380,103,0 138,0,2024-09-07 08:28:51:749,25767,2.2,26073,1.5,52771,3.5,70232,2.75 138,1,2024-09-07 08:28:51:685,180439,180439,0,0,84921706364,892913577,177676,2418,345,369,391954,0 138,2,2024-09-07 08:28:50:590,130427,130427,0,0,6124329,0,3263 138,3,2024-09-07 08:28:50:613,1,103,2,0,1160,2092,103,0 139,0,2024-09-07 08:28:51:536,23286,4.0,23383,2.1,47247,5.2,63625,3.50 139,1,2024-09-07 08:28:50:585,179721,179721,0,0,84172853731,895581279,176276,2592,853,381,391892,0 139,2,2024-09-07 08:28:50:723,132964,132964,0,0,7174449,0,3097 139,3,2024-09-07 08:28:51:682,1,103,8,0,244,1514,103,0 140,0,2024-09-07 08:28:51:600,24304,0.3,24216,0.5,48636,0.2,65120,1.50 140,1,2024-09-07 08:28:51:539,181697,181697,0,0,85447209781,881930631,180745,755,197,365,391606,0 140,2,2024-09-07 08:28:50:689,129004,129004,0,0,5381336,0,3388 140,3,2024-09-07 08:28:50:769,1,103,0,0,25,623,103,0 141,0,2024-09-07 08:28:51:717,25486,0.2,26354,0.4,50551,0.1,68148,1.50 141,1,2024-09-07 08:28:50:865,181295,181295,0,0,85425713789,888286024,179792,1172,331,379,391538,0 141,2,2024-09-07 08:28:51:686,128225,128225,0,0,5044059,0,2342 141,3,2024-09-07 08:28:51:047,1,103,16,0,147,781,103,0 142,0,2024-09-07 08:28:51:360,27806,0.3,27457,0.5,54643,0.3,73039,1.75 142,1,2024-09-07 08:28:50:586,181413,181413,0,0,84918058134,883076075,180824,573,16,384,391649,0 142,2,2024-09-07 08:28:51:309,128916,128884,32,0,6644394,0,6028 142,3,2024-09-07 08:28:51:746,1,103,10,0,484,1720,103,0 143,0,2024-09-07 08:28:51:449,25460,1.0,25576,0.8,51441,1.2,67566,2.00 143,1,2024-09-07 08:28:50:563,181152,181152,0,0,84853039792,881066389,179792,1323,37,367,391619,0 143,2,2024-09-07 08:28:50:770,133406,133406,0,0,5816530,0,2669 143,3,2024-09-07 08:28:51:143,1,103,303,0,303,1850,103,0 144,0,2024-09-07 08:28:51:604,22278,0.9,22858,2.4,46454,0.8,61989,2.25 144,1,2024-09-07 08:28:50:606,180575,180575,0,0,83892576326,881216889,178928,1449,198,381,391638,0 144,2,2024-09-07 08:28:51:765,130576,130576,0,0,5173824,0,3473 144,3,2024-09-07 08:28:51:738,1,103,40,1,169,1412,103,0 145,0,2024-09-07 08:28:51:415,26203,0.6,26097,0.9,55311,0.6,71911,2.25 145,1,2024-09-07 08:28:50:576,179375,179375,0,0,84444199492,894139277,176009,2735,631,383,391615,0 145,2,2024-09-07 08:28:51:500,128383,128383,0,0,6326344,0,3903 145,3,2024-09-07 08:28:50:896,1,103,24,0,151,1344,103,0 146,0,2024-09-07 08:28:51:642,27861,0.5,27738,0.8,55929,0.6,74069,2.25 146,1,2024-09-07 08:28:51:589,180591,180591,0,0,85142610157,897096590,177279,2781,531,368,391600,0 146,2,2024-09-07 08:28:51:713,129303,129303,0,0,6022022,0,2379 146,3,2024-09-07 08:28:51:273,1,103,164,0,1520,3559,103,0 147,0,2024-09-07 08:28:51:717,26727,2.2,26645,1.4,52749,3.1,72249,2.75 147,1,2024-09-07 08:28:51:373,181515,181515,0,0,85059708314,884869357,179711,1448,356,368,391791,0 147,2,2024-09-07 08:28:51:011,131830,131830,0,0,5658505,0,2789 147,3,2024-09-07 08:28:50:914,1,103,5,0,141,1030,103,0 0,0,2024-09-07 08:29:01:719,26356,0.5,26251,0.7,55626,0.6,72314,1.75 0,1,2024-09-07 08:29:00:801,182631,182631,0,0,85964118472,901359724,181319,1183,129,372,391692,0 0,2,2024-09-07 08:29:01:077,129887,129887,0,0,5760171,0,4480 0,3,2024-09-07 08:29:00:975,1,104,7,0,247,1730,104,0 1,0,2024-09-07 08:29:01:767,26999,2.3,26702,1.6,53882,3.0,72038,2.50 1,1,2024-09-07 08:29:00:570,182233,182233,0,0,85560514817,898450723,180161,1537,535,372,391857,0 1,2,2024-09-07 08:29:00:644,131448,131448,0,0,5443369,0,3267 1,3,2024-09-07 08:29:01:325,1,104,8,0,167,1193,104,0 2,0,2024-09-07 08:29:01:575,23737,0.8,23820,1.0,47269,1.1,63450,2.00 2,1,2024-09-07 08:29:00:867,182623,182623,0,0,85268100501,887141945,181514,905,204,380,391558,0 2,2,2024-09-07 08:29:01:274,134720,134720,0,0,5680836,0,3304 2,3,2024-09-07 08:29:00:689,1,104,1,0,214,978,104,0 3,0,2024-09-07 08:29:01:750,25949,0.4,26006,0.5,51924,0.3,69203,1.75 3,1,2024-09-07 08:29:01:618,182017,182017,0,0,85816771401,896288593,179845,1784,388,382,391516,0 3,2,2024-09-07 08:29:01:142,129507,129484,23,0,5749927,0,5851 3,3,2024-09-07 08:29:01:754,1,104,3,0,103,719,104,0 4,0,2024-09-07 08:29:01:816,24850,0.3,25522,0.4,51634,0.2,67792,1.50 4,1,2024-09-07 08:29:00:592,182352,182352,0,0,85537755391,903736220,179861,1973,518,371,391846,0 4,2,2024-09-07 08:29:01:023,128811,128811,0,0,7079007,0,4528 4,3,2024-09-07 08:29:01:035,1,104,1,1,287,1680,104,0 5,0,2024-09-07 08:29:01:416,26845,0.6,26660,0.7,53643,0.7,70811,1.75 5,1,2024-09-07 08:29:00:777,181614,181614,0,0,85139460554,901789434,178215,2467,932,368,392005,0 5,2,2024-09-07 08:29:01:829,131480,131480,0,0,6031769,0,2259 5,3,2024-09-07 08:29:01:733,1,104,12,0,238,1831,104,0 6,0,2024-09-07 08:29:00:921,25029,0.8,24744,1.0,49230,0.9,66109,2.25 6,1,2024-09-07 08:29:00:749,183145,183145,0,0,85988820530,898448841,181614,1456,75,381,391603,0 6,2,2024-09-07 08:29:01:119,135664,135664,0,0,5989808,0,2411 6,3,2024-09-07 08:29:01:282,1,104,7,0,340,1777,104,0 7,0,2024-09-07 08:29:01:539,23132,0.4,23233,0.5,46747,0.3,62638,1.75 7,1,2024-09-07 08:29:00:850,182816,182816,0,0,85382454319,894336698,181078,1673,65,383,391664,0 7,2,2024-09-07 08:29:00:774,129148,129148,0,0,5397662,0,2981 7,3,2024-09-07 08:29:00:850,1,104,1,0,305,1203,104,0 8,0,2024-09-07 08:29:01:348,26379,0.3,26626,0.5,52426,0.3,70148,1.50 8,1,2024-09-07 08:29:01:016,182323,182323,0,0,85989577584,912102903,178600,2470,1253,368,391724,0 8,2,2024-09-07 08:29:00:800,128252,128252,0,0,6904310,0,2986 8,3,2024-09-07 08:29:00:588,1,104,1,0,357,1787,104,0 9,0,2024-09-07 08:29:01:122,27991,0.4,27355,0.5,57062,0.3,74224,1.75 9,1,2024-09-07 08:29:00:556,182209,182209,0,0,85307056277,906138528,178542,2663,1004,370,391738,0 9,2,2024-09-07 08:29:01:087,130876,130876,0,0,6720637,0,3360 9,3,2024-09-07 08:29:01:752,1,104,8,0,496,1797,104,0 10,0,2024-09-07 08:29:01:607,27072,0.5,27122,0.7,54227,0.5,72269,1.75 10,1,2024-09-07 08:29:00:595,182174,182174,0,0,85626113555,903749839,178533,3117,524,381,391741,0 10,2,2024-09-07 08:29:00:773,133559,133559,0,0,6398349,0,2940 10,3,2024-09-07 08:29:00:878,1,104,0,0,136,701,104,0 11,0,2024-09-07 08:29:01:017,22552,0.5,22180,0.8,46304,0.6,62328,2.00 11,1,2024-09-07 08:29:00:572,182023,182023,0,0,85114609598,899740732,178189,2704,1130,384,391537,0 11,2,2024-09-07 08:29:01:125,131755,131755,0,0,6128395,0,3411 11,3,2024-09-07 08:29:01:300,1,104,31,0,720,2590,104,0 12,0,2024-09-07 08:29:00:973,28472,0.3,28334,0.5,56808,0.3,74962,1.50 12,1,2024-09-07 08:29:00:949,182067,182067,0,0,85011029545,891354961,179853,1851,363,371,391837,0 12,2,2024-09-07 08:29:01:540,130737,130737,0,0,5741316,0,2254 12,3,2024-09-07 08:29:01:068,1,104,34,0,358,1942,104,0 13,0,2024-09-07 08:29:01:346,27877,0.4,28058,0.5,55922,0.4,74481,1.75 13,1,2024-09-07 08:29:01:532,182824,182824,0,0,85928525050,902690319,181203,1254,367,384,391717,0 13,2,2024-09-07 08:29:00:615,131577,131577,0,0,5362590,0,3287 13,3,2024-09-07 08:29:01:766,1,104,12,1,416,2071,104,0 14,0,2024-09-07 08:29:00:580,27341,0.6,27543,0.8,54574,0.6,72660,2.00 14,1,2024-09-07 08:29:01:563,183758,183758,0,0,86494013674,897626192,182318,1363,77,364,391571,0 14,2,2024-09-07 08:29:00:763,131746,131746,0,0,5708730,0,2793 14,3,2024-09-07 08:29:01:123,1,104,2,0,906,1390,104,0 15,0,2024-09-07 08:29:01:564,23664,0.6,23448,1.0,47037,0.7,63213,2.25 15,1,2024-09-07 08:29:01:618,182266,182266,0,0,86047679601,894752910,181337,894,35,381,391536,0 15,2,2024-09-07 08:29:00:997,134951,134951,0,0,4833356,0,3043 15,3,2024-09-07 08:29:01:412,1,104,10,0,538,2559,104,0 16,0,2024-09-07 08:29:00:979,26572,0.4,26800,0.6,53125,0.3,70865,2.00 16,1,2024-09-07 08:29:00:575,182736,182736,0,0,85600706779,895110912,181573,1135,28,372,391756,0 16,2,2024-09-07 08:29:01:450,128579,128579,0,0,5979793,0,4719 16,3,2024-09-07 08:29:01:144,1,104,0,0,231,1530,104,0 17,0,2024-09-07 08:29:01:880,27199,0.5,26629,0.6,52241,0.4,70740,1.75 17,1,2024-09-07 08:29:00:594,182250,182250,0,0,85086577722,897210691,180046,1676,528,369,391688,0 17,2,2024-09-07 08:29:01:675,131238,131238,0,0,5083574,0,2857 17,3,2024-09-07 08:29:00:574,1,104,8,0,268,1852,104,0 18,0,2024-09-07 08:29:00:957,25850,1.1,26335,1.1,52879,1.4,70074,2.25 18,1,2024-09-07 08:29:01:638,182738,182738,0,0,85413231347,887382770,181473,1047,218,368,391564,0 18,2,2024-09-07 08:29:01:755,131509,131509,0,0,5942959,0,3541 18,3,2024-09-07 08:29:00:900,1,104,33,0,163,1118,104,0 19,0,2024-09-07 08:29:01:548,23962,1.0,24136,1.1,47844,1.3,63921,3.25 19,1,2024-09-07 08:29:00:571,182764,182764,0,0,86448098010,901482026,180747,1851,166,367,391777,0 19,2,2024-09-07 08:29:01:760,135867,135867,0,0,5463955,0,3988 19,3,2024-09-07 08:29:01:134,1,104,1,0,524,1272,104,0 20,0,2024-09-07 08:29:01:473,24819,0.5,24748,0.6,49480,0.4,65840,2.00 20,1,2024-09-07 08:29:00:591,182509,182509,0,0,85752063085,900049331,180507,1825,177,370,391598,0 20,2,2024-09-07 08:29:00:967,128790,128790,0,0,5636585,0,3721 20,3,2024-09-07 08:29:00:596,1,104,9,0,414,2068,104,0 21,0,2024-09-07 08:29:01:152,26006,0.4,25895,0.5,51713,0.3,68246,1.75 21,1,2024-09-07 08:29:01:557,181950,181950,0,0,84883667456,896013111,179403,2042,505,368,391962,0 21,2,2024-09-07 08:29:01:072,129803,129803,0,0,5990025,0,3747 21,3,2024-09-07 08:29:01:406,1,104,18,0,93,1337,104,0 22,0,2024-09-07 08:29:01:727,27219,1.2,27230,1.1,54337,1.5,72360,2.50 22,1,2024-09-07 08:29:01:025,181921,181921,0,0,85202236652,904222971,177487,3063,1371,382,391667,0 22,2,2024-09-07 08:29:00:766,131471,131471,0,0,5616808,0,3134 22,3,2024-09-07 08:29:01:074,1,104,7,0,228,1059,104,0 23,0,2024-09-07 08:29:01:375,25309,0.8,25462,0.9,51034,0.8,67554,2.50 23,1,2024-09-07 08:29:01:002,183013,183013,0,0,86453226060,901562786,180818,1624,571,365,391549,0 23,2,2024-09-07 08:29:01:092,134727,134727,0,0,5607937,0,2078 23,3,2024-09-07 08:29:01:761,1,104,1,0,645,1553,104,0 24,0,2024-09-07 08:29:00:856,23642,0.5,23580,0.7,47454,0.5,63056,1.75 24,1,2024-09-07 08:29:00:598,181855,181855,0,0,85749306509,901436315,179539,1553,763,369,391640,0 24,2,2024-09-07 08:29:01:069,129841,129841,0,0,7135816,0,2942 24,3,2024-09-07 08:29:01:685,1,104,1,0,234,1418,104,0 25,0,2024-09-07 08:29:01:353,27921,0.4,27304,0.6,53445,0.4,72516,1.75 25,1,2024-09-07 08:29:00:606,182071,182071,0,0,85469392435,900709295,179369,2259,443,371,391788,0 25,2,2024-09-07 08:29:01:606,128730,128730,0,0,7146250,0,3978 25,3,2024-09-07 08:29:01:000,1,104,17,0,158,1230,104,0 26,0,2024-09-07 08:29:01:732,27956,0.4,27334,0.6,57269,0.4,75011,1.75 26,1,2024-09-07 08:29:01:540,182827,182827,0,0,84933268215,897695692,178971,3013,843,381,391564,0 26,2,2024-09-07 08:29:00:867,131022,131022,0,0,6537181,0,2809 26,3,2024-09-07 08:29:01:711,1,104,3,0,796,1451,104,0 27,0,2024-09-07 08:29:01:729,27385,0.6,27505,0.8,54566,0.7,73277,1.75 27,1,2024-09-07 08:29:01:676,183052,183052,0,0,86339943867,899683613,181311,1419,322,381,391539,0 27,2,2024-09-07 08:29:00:868,131552,131552,0,0,7259527,0,3409 27,3,2024-09-07 08:29:01:023,1,104,0,0,564,1430,104,0 28,0,2024-09-07 08:29:01:398,22813,0.6,23248,0.8,46353,0.7,61842,2.00 28,1,2024-09-07 08:29:00:799,182929,182929,0,0,86297492183,898887723,181615,1113,201,383,391646,0 28,2,2024-09-07 08:29:01:764,133441,133441,0,0,4971711,0,2609 28,3,2024-09-07 08:29:01:776,1,104,25,0,502,1382,104,0 29,0,2024-09-07 08:29:01:373,28659,0.4,28026,0.6,54853,0.3,75773,1.75 29,1,2024-09-07 08:29:01:563,183825,183825,0,0,85721823006,889315806,182274,1076,475,369,391621,0 29,2,2024-09-07 08:29:00:866,129706,129706,0,0,4666283,0,4018 29,3,2024-09-07 08:29:00:965,1,104,30,0,105,849,104,0 30,0,2024-09-07 08:29:01:486,27010,0.9,26277,0.9,54967,0.9,72272,2.25 30,1,2024-09-07 08:29:00:574,183127,183127,0,0,86448410849,898977490,182056,1006,65,382,391672,0 30,2,2024-09-07 08:29:01:283,131032,131032,0,0,4878723,0,3161 30,3,2024-09-07 08:29:00:593,1,104,4,0,195,788,104,0 31,0,2024-09-07 08:29:01:765,26736,1.0,26843,0.9,54191,1.1,71773,2.25 31,1,2024-09-07 08:29:00:566,183868,183868,0,0,86116591126,881805324,183596,270,2,356,391553,0 31,2,2024-09-07 08:29:01:283,131793,131793,0,0,5971066,0,3525 31,3,2024-09-07 08:29:01:706,1,104,1,0,129,764,104,0 32,0,2024-09-07 08:29:01:427,23954,0.8,24084,0.9,48200,0.7,64025,1.75 32,1,2024-09-07 08:29:00:805,183504,183504,0,0,85897012091,891992664,182485,990,29,382,391595,0 32,2,2024-09-07 08:29:00:935,135213,135213,0,0,5265199,0,3155 32,3,2024-09-07 08:29:01:022,1,104,35,0,227,1185,104,0 33,0,2024-09-07 08:29:01:541,26075,0.2,25791,0.4,52179,0.2,69235,1.50 33,1,2024-09-07 08:29:00:592,183632,183632,0,0,86269553650,895009246,181916,1593,123,369,391730,0 33,2,2024-09-07 08:29:00:765,129519,129486,33,0,6384795,0,7012 33,3,2024-09-07 08:29:00:908,1,104,10,0,211,1017,104,0 34,0,2024-09-07 08:29:00:949,25558,0.3,26388,0.4,50676,0.2,67777,1.50 34,1,2024-09-07 08:29:01:044,183606,183606,0,0,86341446530,889352261,182967,634,5,367,391547,0 34,2,2024-09-07 08:29:00:769,129328,129328,0,0,5654233,0,3255 34,3,2024-09-07 08:29:01:688,1,104,2,0,148,794,104,0 35,0,2024-09-07 08:29:00:866,26534,0.6,26869,0.7,53383,0.6,70894,1.75 35,1,2024-09-07 08:29:01:075,182815,182815,0,0,85727507315,890342653,181336,1117,362,384,391587,0 35,2,2024-09-07 08:29:01:583,131436,131436,0,0,5117662,0,2542 35,3,2024-09-07 08:29:00:915,1,104,39,0,219,1250,104,0 36,0,2024-09-07 08:29:01:533,24852,1.2,24752,1.2,50144,1.4,65973,2.50 36,1,2024-09-07 08:29:00:620,182568,182568,0,0,85571194062,897750296,179458,2178,932,366,391543,0 36,2,2024-09-07 08:29:01:761,134556,134556,0,0,6755403,0,3303 36,3,2024-09-07 08:29:00:867,1,104,1,0,378,1204,104,0 37,0,2024-09-07 08:29:01:379,23378,0.4,23284,0.5,46575,0.3,62652,1.75 37,1,2024-09-07 08:29:00:577,182202,182195,0,7,85233220920,899975116,178831,1823,1541,365,391518,0 37,2,2024-09-07 08:29:01:144,129647,129647,0,0,5076372,0,2333 37,3,2024-09-07 08:29:01:766,1,104,1,0,724,2162,104,0 38,0,2024-09-07 08:29:01:443,26273,0.4,25464,0.6,53229,0.4,69641,1.75 38,1,2024-09-07 08:29:01:605,183493,183493,0,0,85454643247,895899306,180631,2341,521,368,391821,0 38,2,2024-09-07 08:29:00:763,130236,130236,0,0,6198855,0,3245 38,3,2024-09-07 08:29:00:997,1,104,65,0,603,1591,104,0 39,0,2024-09-07 08:29:01:779,28755,0.8,28154,0.8,54905,1.0,74444,2.00 39,1,2024-09-07 08:29:00:716,182602,182602,0,0,85316670061,893586973,179660,2274,668,365,391524,0 39,2,2024-09-07 08:29:01:417,131355,131355,0,0,5750539,0,2689 39,3,2024-09-07 08:29:00:714,1,104,6,0,276,1590,104,0 40,0,2024-09-07 08:29:01:502,26478,1.3,27086,1.7,53673,2.0,71696,3.50 40,1,2024-09-07 08:29:00:575,182046,182046,0,0,84342801900,889484385,178308,2973,765,369,391591,0 40,2,2024-09-07 08:29:01:315,133788,133787,1,0,7460557,0,5137 40,3,2024-09-07 08:29:01:142,1,104,11,0,181,1135,104,0 41,0,2024-09-07 08:29:01:067,22497,1.9,23049,2.2,43980,3.1,60853,4.25 41,1,2024-09-07 08:29:00:768,182648,182648,0,0,86086267135,905933326,179218,2913,517,370,391742,0 41,2,2024-09-07 08:29:00:762,131179,131179,0,0,6829962,0,3356 41,3,2024-09-07 08:29:01:675,1,104,17,0,366,1415,104,0 42,0,2024-09-07 08:29:01:485,27654,0.7,27895,0.9,55393,0.6,73133,2.50 42,1,2024-09-07 08:29:01:444,181867,181867,0,0,85413360546,900761565,178662,2375,830,380,391675,0 42,2,2024-09-07 08:29:01:132,128923,128923,0,0,6627945,0,3568 42,3,2024-09-07 08:29:01:009,1,104,1,0,100,814,104,0 43,0,2024-09-07 08:29:00:941,27871,0.9,27042,1.0,56720,0.9,74536,2.25 43,1,2024-09-07 08:29:00:576,182012,182012,0,0,86090510173,901223734,179401,1859,752,366,391604,0 43,2,2024-09-07 08:29:01:741,131157,131157,0,0,6443816,0,3812 43,3,2024-09-07 08:29:01:749,1,104,1,0,325,1843,104,0 44,0,2024-09-07 08:29:00:861,27464,0.6,27284,0.8,54842,0.6,73303,2.00 44,1,2024-09-07 08:29:00:566,183148,183148,0,0,85513460290,883811365,182008,1032,108,356,391569,0 44,2,2024-09-07 08:29:01:278,131864,131864,0,0,4671373,0,1865 44,3,2024-09-07 08:29:01:100,1,104,1,0,817,1474,104,0 45,0,2024-09-07 08:29:01:763,23237,1.0,22810,1.1,47666,1.2,63624,2.25 45,1,2024-09-07 08:29:01:004,183261,183261,0,0,85944546122,891414268,182616,644,1,382,391917,0 45,2,2024-09-07 08:29:01:271,134802,134802,0,0,5607805,0,3596 45,3,2024-09-07 08:29:00:933,1,104,12,0,226,995,104,0 46,0,2024-09-07 08:29:00:961,26745,0.3,26730,0.4,53685,0.2,70881,1.50 46,1,2024-09-07 08:29:00:575,183525,183525,0,0,85839837315,886696049,182588,899,38,366,391514,0 46,2,2024-09-07 08:29:00:593,129834,129834,0,0,5298566,0,2920 46,3,2024-09-07 08:29:01:136,1,104,1,0,363,1715,104,0 47,0,2024-09-07 08:29:01:113,26427,0.3,26649,0.5,53323,0.3,70441,1.75 47,1,2024-09-07 08:29:00:571,183202,183202,0,0,85319782354,881070743,182421,776,5,366,391605,0 47,2,2024-09-07 08:29:00:908,131024,131024,0,0,5500306,0,2558 47,3,2024-09-07 08:29:01:120,1,104,1,0,529,1232,104,0 48,0,2024-09-07 08:29:01:520,26861,0.3,26576,0.5,53061,0.3,70758,1.75 48,1,2024-09-07 08:29:01:025,183151,183151,0,0,85971216239,892533258,181943,1201,7,384,391710,0 48,2,2024-09-07 08:29:00:704,131484,131484,0,0,4583103,0,2083 48,3,2024-09-07 08:29:00:752,1,104,13,0,69,852,104,0 49,0,2024-09-07 08:29:01:724,24917,0.6,24305,0.8,47644,0.7,65455,1.75 49,1,2024-09-07 08:29:01:023,182418,182418,0,0,86049500205,898599096,180650,1057,711,382,391583,0 49,2,2024-09-07 08:29:01:800,135453,135453,0,0,5726439,0,3900 49,3,2024-09-07 08:29:01:416,1,104,12,0,274,1028,104,0 50,0,2024-09-07 08:29:01:537,24980,0.2,24531,0.4,49376,0.2,66496,1.50 50,1,2024-09-07 08:29:01:010,183985,183985,0,0,86643002643,900092450,182094,1594,297,368,391530,0 50,2,2024-09-07 08:29:01:070,129423,129423,0,0,4799255,0,2253 50,3,2024-09-07 08:29:01:292,1,104,4,0,335,1056,104,0 51,0,2024-09-07 08:29:01:698,26396,0.2,25921,0.4,50625,0.2,68510,1.50 51,1,2024-09-07 08:29:01:684,183058,183058,0,0,86372527063,896449448,181249,1025,784,367,391637,0 51,2,2024-09-07 08:29:01:320,129436,129436,0,0,4133005,0,2448 51,3,2024-09-07 08:29:01:035,1,104,2,0,162,636,104,0 52,0,2024-09-07 08:29:01:418,27565,0.7,27624,0.8,55314,0.7,72877,2.25 52,1,2024-09-07 08:29:00:578,182247,182247,0,0,85947266155,905187879,178713,2828,706,368,391722,0 52,2,2024-09-07 08:29:01:757,130973,130973,0,0,6290760,0,4779 52,3,2024-09-07 08:29:00:689,1,104,1,0,1782,2703,104,0 53,0,2024-09-07 08:29:01:774,25251,1.4,24453,1.2,50930,1.7,66774,2.75 53,1,2024-09-07 08:29:00:776,182246,182246,0,0,86609082231,909643469,179153,2512,581,370,391617,0 53,2,2024-09-07 08:29:01:301,135220,135220,0,0,5276501,0,1902 53,3,2024-09-07 08:29:00:705,1,104,8,0,133,814,104,0 54,0,2024-09-07 08:29:01:639,22718,2.2,23120,1.2,45391,0.8,62105,4.25 54,1,2024-09-07 08:29:00:582,182516,182516,0,0,86875546102,909943541,179345,2627,544,367,391520,0 54,2,2024-09-07 08:29:00:866,130696,130690,6,0,6235744,0,5382 54,3,2024-09-07 08:29:00:765,1,104,6,0,676,2007,104,0 55,0,2024-09-07 08:29:01:764,26170,0.6,26884,0.8,54550,0.5,70354,2.25 55,1,2024-09-07 08:29:00:770,181686,181686,0,0,85178045810,897117745,177310,3591,785,367,391731,0 55,2,2024-09-07 08:29:00:735,129189,129189,0,0,5875042,0,3275 55,3,2024-09-07 08:29:00:679,1,104,1,0,304,1302,104,0 56,0,2024-09-07 08:29:01:648,28802,1.6,27283,1.2,56037,2.1,75181,2.50 56,1,2024-09-07 08:29:00:572,181800,181800,0,0,86178813545,917718337,177419,3531,850,382,391678,0 56,2,2024-09-07 08:29:01:319,131066,131066,0,0,7090115,0,3567 56,3,2024-09-07 08:29:01:059,1,104,297,0,297,1454,104,0 57,0,2024-09-07 08:29:00:960,26711,2.4,26567,1.5,53482,3.4,71933,4.00 57,1,2024-09-07 08:29:00:987,182185,182185,0,0,85831514901,901348228,179863,2153,169,368,391773,0 57,2,2024-09-07 08:29:01:320,132943,132943,0,0,7152408,0,3178 57,3,2024-09-07 08:29:01:745,1,104,135,0,359,2092,104,0 58,0,2024-09-07 08:29:00:635,22173,1.2,21711,1.2,45425,1.7,59662,3.00 58,1,2024-09-07 08:29:00:600,183423,183420,0,3,86336655134,901214004,181212,1981,227,369,391516,3 58,2,2024-09-07 08:29:01:073,133098,133098,0,0,6416505,0,2549 58,3,2024-09-07 08:29:01:069,1,104,6,0,219,990,104,0 59,0,2024-09-07 08:29:01:745,28035,0.8,27721,1.0,55494,0.8,74180,2.75 59,1,2024-09-07 08:29:00:804,182236,182236,0,0,85445208011,899536791,178987,2327,922,369,391515,0 59,2,2024-09-07 08:29:00:600,130370,130370,0,0,5673898,0,2604 59,3,2024-09-07 08:29:01:742,1,104,3,0,1015,2340,104,0 60,0,2024-09-07 08:29:01:714,27343,0.4,27498,0.6,54283,0.4,72503,1.75 60,1,2024-09-07 08:29:00:783,184208,184208,0,0,85844217459,892000453,183014,844,350,370,391761,0 60,2,2024-09-07 08:29:01:144,130031,130031,0,0,5707652,0,3811 60,3,2024-09-07 08:29:01:258,1,104,3,0,124,1080,104,0 61,0,2024-09-07 08:29:01:547,26803,2.0,26989,1.3,53912,3.3,71875,2.00 61,1,2024-09-07 08:29:00:779,182191,182191,0,0,86095280485,904678353,179583,2071,537,382,391589,0 61,2,2024-09-07 08:29:01:116,131649,131649,0,0,5240462,0,1846 61,3,2024-09-07 08:29:01:687,1,104,19,0,199,1427,104,0 62,0,2024-09-07 08:29:01:725,24008,0.7,24602,0.9,47011,0.8,64010,2.00 62,1,2024-09-07 08:29:01:127,183673,183667,0,6,86797274720,897718830,182288,1341,38,365,391715,6 62,2,2024-09-07 08:29:01:648,134117,134116,1,0,6441874,0,5555 62,3,2024-09-07 08:29:01:148,1,104,1,0,287,747,104,0 63,0,2024-09-07 08:29:01:457,25840,0.3,25975,0.5,51859,0.3,69161,1.75 63,1,2024-09-07 08:29:00:806,183770,183764,0,6,86201778102,891897471,183186,575,3,381,391540,6 63,2,2024-09-07 08:29:00:762,128802,128802,0,0,4860280,0,2674 63,3,2024-09-07 08:29:01:732,1,104,1,0,667,1975,104,0 64,0,2024-09-07 08:29:01:526,25437,0.4,25291,0.5,50888,0.3,67744,1.75 64,1,2024-09-07 08:29:00:750,182906,182906,0,0,86039704478,902000554,180336,1775,795,371,391783,0 64,2,2024-09-07 08:29:01:141,130493,130474,19,0,5712358,0,6121 64,3,2024-09-07 08:29:01:143,1,104,1,0,265,1141,104,0 65,0,2024-09-07 08:29:01:693,26074,1.7,26435,1.2,52897,2.1,70147,3.00 65,1,2024-09-07 08:29:00:874,182543,182543,0,0,85752638160,897782748,180713,1610,220,382,391770,0 65,2,2024-09-07 08:29:01:699,132363,132363,0,0,6055550,0,3367 65,3,2024-09-07 08:29:01:694,1,104,11,0,163,1127,104,0 66,0,2024-09-07 08:29:01:815,24411,0.5,24591,0.8,49352,0.5,65390,2.25 66,1,2024-09-07 08:29:01:295,183612,183612,0,0,85662328879,888339889,182868,722,22,380,391588,0 66,2,2024-09-07 08:29:01:135,136612,136612,0,0,5405650,0,3867 66,3,2024-09-07 08:29:01:085,1,104,1,0,291,1269,104,0 67,0,2024-09-07 08:29:01:420,23574,0.4,23494,0.6,46808,0.3,62700,1.75 67,1,2024-09-07 08:29:00:766,182426,182425,0,1,85907958157,898912919,180357,1543,525,381,391787,1 67,2,2024-09-07 08:29:00:617,130393,130393,0,0,4770813,0,2889 67,3,2024-09-07 08:29:01:750,1,104,2,0,138,863,104,0 68,0,2024-09-07 08:29:00:597,26526,0.5,26466,0.7,52737,0.5,69990,2.00 68,1,2024-09-07 08:29:00:572,182458,182458,0,0,85951687177,901848795,180761,1175,522,381,391953,0 68,2,2024-09-07 08:29:01:044,129329,129329,0,0,6101756,0,4883 68,3,2024-09-07 08:29:00:734,1,104,89,0,151,1134,104,0 69,0,2024-09-07 08:29:01:755,27905,1.1,28088,1.0,56002,1.5,74223,2.50 69,1,2024-09-07 08:29:01:017,181895,181895,0,0,85554615967,907251806,179129,1688,1078,384,391611,0 69,2,2024-09-07 08:29:01:733,131197,131197,0,0,6842597,0,3701 69,3,2024-09-07 08:29:00:761,1,104,2,0,238,1409,104,0 70,0,2024-09-07 08:29:01:539,26709,2.5,26829,1.8,53815,1.4,71433,3.75 70,1,2024-09-07 08:29:00:801,182968,182968,0,0,86360398331,898345104,181102,1407,459,368,391725,0 70,2,2024-09-07 08:29:01:325,133191,133191,0,0,6530663,0,4044 70,3,2024-09-07 08:29:00:750,1,104,1,0,178,674,104,0 71,0,2024-09-07 08:29:01:378,22464,2.1,22655,2.0,44815,3.0,61354,3.50 71,1,2024-09-07 08:29:01:606,182880,182880,0,0,86216012864,901817196,180333,2213,334,368,391682,0 71,2,2024-09-07 08:29:01:069,132081,132081,0,0,5758386,0,2146 71,3,2024-09-07 08:29:01:750,1,104,1,0,279,1428,104,0 72,0,2024-09-07 08:29:01:041,29078,0.6,28390,0.8,55554,0.5,75089,2.25 72,1,2024-09-07 08:29:01:031,182435,182435,0,0,85643577109,898116541,179655,2298,482,370,391819,0 72,2,2024-09-07 08:29:01:767,128536,128536,0,0,7379001,0,2570 72,3,2024-09-07 08:29:01:766,1,104,2,0,325,1474,104,0 73,0,2024-09-07 08:29:01:109,27290,0.4,27987,0.6,57122,0.4,74281,2.25 73,1,2024-09-07 08:29:00:766,182559,182559,0,0,85691537925,892400438,181073,1372,114,368,391627,0 73,2,2024-09-07 08:29:01:759,131521,131521,0,0,6917796,0,3482 73,3,2024-09-07 08:29:00:976,1,104,20,0,274,1680,104,0 74,0,2024-09-07 08:29:01:337,27505,0.9,27948,1.0,53433,1.4,72859,2.75 74,1,2024-09-07 08:29:00:635,182424,182424,0,0,85436411664,895122633,180147,1672,605,382,391511,0 74,2,2024-09-07 08:29:01:001,131383,131383,0,0,7260374,0,4253 74,3,2024-09-07 08:29:01:446,1,104,1,0,246,1559,104,0 75,0,2024-09-07 08:29:01:771,23643,1.2,23654,1.2,47135,1.1,63927,2.75 75,1,2024-09-07 08:29:01:586,182400,182400,0,0,85798854336,900273292,180068,1969,363,381,391579,0 75,2,2024-09-07 08:29:01:350,133934,133934,0,0,6565361,0,4766 75,3,2024-09-07 08:29:01:069,1,104,5,0,535,1439,104,0 76,0,2024-09-07 08:29:00:588,26895,0.3,26486,0.5,53045,0.2,70633,1.75 76,1,2024-09-07 08:29:00:805,182315,182315,0,0,84848745922,888949368,180430,1377,508,382,391530,0 76,2,2024-09-07 08:29:01:063,130208,130208,0,0,5064974,0,3064 76,3,2024-09-07 08:29:01:143,1,104,1,0,175,1205,104,0 77,0,2024-09-07 08:29:01:699,26434,0.6,26663,0.7,53392,0.6,70364,1.75 77,1,2024-09-07 08:29:00:824,182979,182979,0,0,85837216896,895166296,181836,1073,70,383,391555,0 77,2,2024-09-07 08:29:01:300,130411,130411,0,0,5035604,0,2694 77,3,2024-09-07 08:29:01:100,1,104,9,0,139,1057,104,0 78,0,2024-09-07 08:29:01:725,26586,0.7,26546,0.9,53086,0.8,70438,2.25 78,1,2024-09-07 08:29:00:610,183264,183264,0,0,85551066144,890842360,181684,1375,205,367,391589,0 78,2,2024-09-07 08:29:01:405,132212,132212,0,0,4917137,0,2114 78,3,2024-09-07 08:29:01:134,1,104,33,0,181,1383,104,0 79,0,2024-09-07 08:29:01:350,23422,0.6,23982,0.9,49107,0.6,64206,2.50 79,1,2024-09-07 08:29:00:572,183494,183494,0,0,85716678075,890583489,181658,1665,171,369,391682,0 79,2,2024-09-07 08:29:01:070,135745,135745,0,0,5369902,0,2679 79,3,2024-09-07 08:29:00:749,1,104,1,0,289,1360,104,0 80,0,2024-09-07 08:29:01:121,24528,0.5,25389,0.6,48839,0.4,66206,2.00 80,1,2024-09-07 08:29:01:631,182538,182538,0,0,85548979883,890539630,180783,1638,117,368,391673,0 80,2,2024-09-07 08:29:01:092,129780,129780,0,0,5236294,0,4433 80,3,2024-09-07 08:29:00:597,1,104,143,1,190,1707,104,0 81,0,2024-09-07 08:29:01:749,25860,0.5,26622,0.6,50967,0.5,68486,1.75 81,1,2024-09-07 08:29:01:659,182685,182685,0,0,85588690204,896637482,180744,1742,199,382,391680,0 81,2,2024-09-07 08:29:01:126,129116,129116,0,0,5783359,0,3993 81,3,2024-09-07 08:29:01:125,1,104,11,0,193,1031,104,0 82,0,2024-09-07 08:29:01:602,27343,0.7,27342,0.9,54972,0.8,73025,2.00 82,1,2024-09-07 08:29:00:600,182527,182523,0,4,85533591631,894542357,180338,1611,574,382,391558,4 82,2,2024-09-07 08:29:01:691,132374,132374,0,0,4891962,0,2230 82,3,2024-09-07 08:29:01:754,1,104,2,0,227,1565,104,0 83,0,2024-09-07 08:29:01:533,25477,1.3,25263,1.1,50239,1.5,66649,2.50 83,1,2024-09-07 08:29:00:553,182792,182792,0,0,86359559250,901833165,181293,1398,101,383,391553,0 83,2,2024-09-07 08:29:00:766,134240,134240,0,0,5726841,0,3119 83,3,2024-09-07 08:29:00:750,1,104,1,0,127,993,104,0 84,0,2024-09-07 08:29:01:835,23229,1.2,23003,1.4,46204,0.8,62641,2.75 84,1,2024-09-07 08:29:01:046,182070,182070,0,0,85599724981,899362149,179602,2085,383,369,391638,0 84,2,2024-09-07 08:29:00:575,130487,130487,0,0,5885714,0,3801 84,3,2024-09-07 08:29:01:184,1,104,3,1,58,951,104,0 85,0,2024-09-07 08:29:01:025,26116,0.6,26113,0.8,55311,0.5,71581,2.25 85,1,2024-09-07 08:29:00:574,181713,181713,0,0,85787465282,909433326,178248,2990,475,383,391679,0 85,2,2024-09-07 08:29:00:880,129785,129785,0,0,6583486,0,3656 85,3,2024-09-07 08:29:00:689,1,104,14,0,115,1084,104,0 86,0,2024-09-07 08:29:00:901,28078,0.7,28996,0.8,55625,0.8,75077,2.00 86,1,2024-09-07 08:29:00:825,182563,182563,0,0,86447237278,906254372,180075,2210,278,367,391961,0 86,2,2024-09-07 08:29:00:863,130932,130931,1,0,7078639,0,5004 86,3,2024-09-07 08:29:00:596,1,104,1,0,199,1311,104,0 87,0,2024-09-07 08:29:01:328,27153,2.3,27079,1.4,54261,3.7,73178,2.75 87,1,2024-09-07 08:29:00:552,182248,182248,0,0,84991061680,893036427,179957,2152,139,366,391788,0 87,2,2024-09-07 08:29:01:073,132453,132453,0,0,5864233,0,3515 87,3,2024-09-07 08:29:01:798,1,104,1,1,335,1757,104,0 88,0,2024-09-07 08:29:01:488,22959,0.7,23243,0.8,46179,0.8,61796,2.00 88,1,2024-09-07 08:29:00:574,181795,181795,0,0,85762843539,902400819,179442,1644,709,367,391787,0 88,2,2024-09-07 08:29:00:690,133372,133372,0,0,6967680,0,3583 88,3,2024-09-07 08:29:01:270,1,104,4,1,77,1152,104,0 89,0,2024-09-07 08:29:01:807,28811,0.5,28106,0.7,55589,0.4,75925,1.75 89,1,2024-09-07 08:29:00:563,181665,181665,0,0,85521033482,910079111,177693,3166,806,382,391866,0 89,2,2024-09-07 08:29:01:138,129354,129354,0,0,6644601,0,2901 89,3,2024-09-07 08:29:01:799,1,104,11,0,325,2201,104,0 90,0,2024-09-07 08:29:01:619,26370,0.4,26923,0.6,55434,0.4,72356,1.75 90,1,2024-09-07 08:29:00:614,182595,182595,0,0,85546814324,903354742,179937,2502,156,382,391825,0 90,2,2024-09-07 08:29:01:407,129120,129120,0,0,7138060,0,2635 90,3,2024-09-07 08:29:00:956,1,104,11,1,200,1125,104,0 91,0,2024-09-07 08:29:00:971,27338,0.6,26464,0.7,54973,0.6,72308,1.75 91,1,2024-09-07 08:29:00:583,182174,182174,0,0,85847448589,907424315,178974,2707,493,383,391914,0 91,2,2024-09-07 08:29:01:331,130363,130363,0,0,6773355,0,2445 91,3,2024-09-07 08:29:00:612,1,104,6,0,155,1381,104,0 92,0,2024-09-07 08:29:01:492,24278,0.6,24819,0.8,47361,0.7,64165,1.75 92,1,2024-09-07 08:29:00:592,182806,182806,0,0,85820952735,895999774,181586,927,293,382,391717,0 92,2,2024-09-07 08:29:01:353,136381,136381,0,0,5634550,0,2279 92,3,2024-09-07 08:29:01:009,1,104,0,0,68,989,104,0 93,0,2024-09-07 08:29:01:040,26043,0.3,26631,0.5,50870,0.2,69235,1.50 93,1,2024-09-07 08:29:00:829,182782,182782,0,0,85699941432,896762039,180802,1543,437,366,391689,0 93,2,2024-09-07 08:29:00:942,129406,129406,0,0,5096821,0,2509 93,3,2024-09-07 08:29:01:408,1,104,8,0,143,1001,104,0 94,0,2024-09-07 08:29:01:608,25671,0.3,25845,0.5,51188,0.3,67646,1.50 94,1,2024-09-07 08:29:00:569,182462,182462,0,0,85508975325,897334905,180522,1861,79,381,391850,0 94,2,2024-09-07 08:29:00:768,129454,129454,0,0,5033640,0,2443 94,3,2024-09-07 08:29:01:687,1,104,1,0,231,1723,104,0 95,0,2024-09-07 08:29:01:360,26789,0.4,26719,0.6,53756,0.4,70972,1.75 95,1,2024-09-07 08:29:00:854,182761,182761,0,0,85603409627,888791010,181871,826,64,367,391600,0 95,2,2024-09-07 08:29:01:035,132216,132216,0,0,5666743,0,3308 95,3,2024-09-07 08:29:01:709,1,104,8,0,307,1747,104,0 96,0,2024-09-07 08:29:01:074,24998,0.6,24971,0.7,49813,0.6,65801,1.75 96,1,2024-09-07 08:29:01:595,182847,182847,0,0,85381872759,895587945,180929,1347,571,385,391596,0 96,2,2024-09-07 08:29:01:297,135389,135389,0,0,6010110,0,4038 96,3,2024-09-07 08:29:01:142,1,104,5,0,188,1100,104,0 97,0,2024-09-07 08:29:01:372,23247,0.3,23431,0.5,46883,0.3,62694,1.75 97,1,2024-09-07 08:29:00:765,182963,182963,0,0,85740089460,895132379,180738,1706,519,367,392140,0 97,2,2024-09-07 08:29:00:612,129360,129360,0,0,5078958,0,3036 97,3,2024-09-07 08:29:00:571,1,104,9,1,165,1274,104,0 98,0,2024-09-07 08:29:01:697,26453,0.2,26237,0.4,53034,0.2,70183,1.50 98,1,2024-09-07 08:29:00:573,182853,182853,0,0,85700911818,893787632,181637,1158,58,382,391997,0 98,2,2024-09-07 08:29:00:769,130965,130965,0,0,5323982,0,3080 98,3,2024-09-07 08:29:00:702,1,104,31,1,840,2592,104,0 99,0,2024-09-07 08:29:01:488,28043,0.3,28091,0.5,56097,0.3,74375,1.75 99,1,2024-09-07 08:29:01:735,183525,183525,0,0,85520073319,892093666,182016,1063,446,381,391744,0 99,2,2024-09-07 08:29:01:431,132822,132822,0,0,5714435,0,1858 99,3,2024-09-07 08:29:00:590,1,104,2,0,129,828,104,0 100,0,2024-09-07 08:29:01:470,27014,1.3,26909,1.8,53753,3.9,72249,2.50 100,1,2024-09-07 08:29:00:575,181792,181792,0,0,85637858357,910609004,178162,3143,487,381,391989,0 100,2,2024-09-07 08:29:01:821,133443,133432,11,0,7033783,0,5417 100,3,2024-09-07 08:29:01:732,1,104,96,0,443,2268,104,0 101,0,2024-09-07 08:29:01:708,23276,3.5,22594,1.8,44591,3.5,62164,3.25 101,1,2024-09-07 08:29:00:565,181624,181624,0,0,85785682641,908916077,178340,2045,1239,368,391709,0 101,2,2024-09-07 08:29:01:757,131312,131312,0,0,6817647,0,4644 101,3,2024-09-07 08:29:01:008,1,104,16,0,448,1302,104,0 102,0,2024-09-07 08:29:00:996,27474,0.7,28453,0.9,57147,0.6,74723,2.00 102,1,2024-09-07 08:29:01:145,181952,181952,0,0,85187367789,898828019,179207,2229,516,369,391831,0 102,2,2024-09-07 08:29:01:742,130160,130160,0,0,5396016,0,2144 102,3,2024-09-07 08:29:01:614,1,104,1,0,410,1289,104,0 103,0,2024-09-07 08:29:01:595,28678,0.5,28665,0.7,54200,0.5,74710,2.00 103,1,2024-09-07 08:29:01:628,181983,181983,0,0,85871443881,905863679,179266,2005,712,381,391680,0 103,2,2024-09-07 08:29:00:585,130720,130720,0,0,6004339,0,2104 103,3,2024-09-07 08:29:00:755,1,104,5,0,486,1596,104,0 104,0,2024-09-07 08:29:01:042,27247,1.3,27218,1.2,53504,1.5,72965,2.50 104,1,2024-09-07 08:29:01:616,182768,182768,0,0,85142126589,899933447,179608,2583,577,366,391948,0 104,2,2024-09-07 08:29:01:670,131010,131010,0,0,6554732,0,3941 104,3,2024-09-07 08:29:01:445,1,104,2,1,1245,4498,104,0 105,0,2024-09-07 08:29:01:065,23301,1.5,22554,1.4,47009,2.4,62961,3.75 105,1,2024-09-07 08:29:00:557,182416,182416,0,0,85903310378,906750144,178762,2892,762,367,391797,0 105,2,2024-09-07 08:29:01:333,133931,133931,0,0,6312263,0,3314 105,3,2024-09-07 08:29:01:311,1,104,8,1,399,1852,104,0 106,0,2024-09-07 08:29:01:018,25904,0.4,26570,0.6,53938,0.3,70655,1.75 106,1,2024-09-07 08:29:01:757,182528,182528,0,0,85147852227,896899381,179666,2534,328,369,391767,0 106,2,2024-09-07 08:29:00:769,128804,128804,0,0,6178689,0,2795 106,3,2024-09-07 08:29:00:690,1,104,16,1,201,1147,104,0 107,0,2024-09-07 08:29:01:144,26592,0.5,26410,0.7,52699,0.4,70611,1.75 107,1,2024-09-07 08:29:00:586,181929,181929,0,0,85549528655,903072259,179572,2166,191,381,392234,0 107,2,2024-09-07 08:29:01:292,129338,129337,1,0,6371574,0,5024 107,3,2024-09-07 08:29:01:755,1,104,1,0,353,1513,104,0 108,0,2024-09-07 08:29:01:777,26727,0.5,26924,0.7,53190,0.5,70811,1.75 108,1,2024-09-07 08:29:01:325,182511,182511,0,0,86434152360,903044834,180859,1514,138,371,391857,0 108,2,2024-09-07 08:29:01:755,131164,131164,0,0,5922897,0,2647 108,3,2024-09-07 08:29:01:330,1,104,10,0,272,1604,104,0 109,0,2024-09-07 08:29:01:799,24352,0.6,24445,0.7,48556,0.7,65463,1.75 109,1,2024-09-07 08:29:00:596,182356,182356,0,0,86071252884,905652321,180319,1578,459,383,391812,0 109,2,2024-09-07 08:29:00:947,134286,134286,0,0,6218175,0,3617 109,3,2024-09-07 08:29:01:143,1,104,9,1,249,1621,104,0 110,0,2024-09-07 08:29:01:769,24810,0.3,24057,0.4,50236,0.2,66075,1.50 110,1,2024-09-07 08:29:01:648,183340,183340,0,0,86074292869,894629894,181965,1085,290,370,391667,0 110,2,2024-09-07 08:29:01:324,129308,129308,0,0,4998303,0,2915 110,3,2024-09-07 08:29:00:695,1,104,1,0,183,1119,104,0 111,0,2024-09-07 08:29:01:439,25968,0.2,25551,0.4,51179,0.1,68446,1.50 111,1,2024-09-07 08:29:00:999,183196,183196,0,0,85992518734,889689926,182547,635,14,382,391690,0 111,2,2024-09-07 08:29:01:121,129532,129532,0,0,5774225,0,2763 111,3,2024-09-07 08:29:00:915,1,104,55,0,300,1812,104,0 112,0,2024-09-07 08:29:00:941,27713,0.4,27627,0.5,55112,0.3,73293,1.50 112,1,2024-09-07 08:29:00:824,182962,182962,0,0,86301980976,898972801,181347,1269,346,381,391580,0 112,2,2024-09-07 08:29:01:139,131800,131799,1,0,6004566,0,5036 112,3,2024-09-07 08:29:00:600,1,104,1,0,282,1101,104,0 113,0,2024-09-07 08:29:00:880,25397,0.5,25253,0.6,51291,0.4,67759,1.75 113,1,2024-09-07 08:29:01:688,183070,183070,0,0,85927600079,891295633,181156,1530,384,368,391661,0 113,2,2024-09-07 08:29:01:323,135787,135787,0,0,5032658,0,3021 113,3,2024-09-07 08:29:00:689,1,104,14,0,288,1630,104,0 114,0,2024-09-07 08:29:00:883,23508,1.6,23857,0.9,46752,0.5,63280,2.00 114,1,2024-09-07 08:29:00:717,183011,183011,0,0,85982599897,900567562,180372,1758,881,381,391531,0 114,2,2024-09-07 08:29:00:879,130476,130476,0,0,5364430,0,3925 114,3,2024-09-07 08:29:01:282,1,104,1,0,395,1705,104,0 115,0,2024-09-07 08:29:00:565,27034,0.3,27320,0.4,54826,0.2,72287,1.50 115,1,2024-09-07 08:29:00:572,183161,183161,0,0,86404852724,902238824,180771,1902,488,382,391602,0 115,2,2024-09-07 08:29:01:125,130377,130377,0,0,4755483,0,2152 115,3,2024-09-07 08:29:01:002,1,104,7,0,159,611,104,0 116,0,2024-09-07 08:29:01:711,28345,0.8,27895,0.9,56332,0.9,75071,2.00 116,1,2024-09-07 08:29:00:809,181856,181856,0,0,85507384993,910107973,178428,2042,1386,382,391619,0 116,2,2024-09-07 08:29:01:751,130669,130669,0,0,6862463,0,3529 116,3,2024-09-07 08:29:00:915,1,104,1,1,252,1568,104,0 117,0,2024-09-07 08:29:00:980,27146,1.7,27198,1.3,54085,3.0,73242,2.00 117,1,2024-09-07 08:29:01:585,182663,182663,0,0,85329855190,900269340,179609,2658,396,370,392033,0 117,2,2024-09-07 08:29:01:122,133579,133579,0,0,5899346,0,3700 117,3,2024-09-07 08:29:01:062,1,104,11,0,490,2378,104,0 118,0,2024-09-07 08:29:01:777,22432,0.8,23013,0.9,47038,1.0,61557,2.25 118,1,2024-09-07 08:29:00:650,182313,182313,0,0,84786827570,891987867,180086,1992,235,368,391736,0 118,2,2024-09-07 08:29:01:586,133575,133575,0,0,6278729,0,2781 118,3,2024-09-07 08:29:01:775,1,104,29,0,235,1441,104,0 119,0,2024-09-07 08:29:01:348,27744,0.8,27749,0.9,56536,0.9,75369,2.00 119,1,2024-09-07 08:29:00:554,182846,182846,0,0,85547575847,896244615,180798,1767,281,370,391641,0 119,2,2024-09-07 08:29:01:271,128798,128798,0,0,5549836,0,2532 119,3,2024-09-07 08:29:01:332,1,104,1,0,443,2423,104,0 120,0,2024-09-07 08:29:01:576,27004,0.5,27016,0.8,53859,0.5,72344,2.00 120,1,2024-09-07 08:29:00:865,182635,182635,0,0,85475365391,899372713,180562,2029,44,368,391702,0 120,2,2024-09-07 08:29:00:776,129671,129670,1,0,7328078,0,5281 120,3,2024-09-07 08:29:01:298,1,104,15,0,241,1670,104,0 121,0,2024-09-07 08:29:01:691,26762,2.0,27069,1.3,54010,3.3,72236,2.25 121,1,2024-09-07 08:29:01:664,182328,182328,0,0,85765024988,901106163,179882,2164,282,367,391840,0 121,2,2024-09-07 08:29:01:128,130428,130428,0,0,7534772,0,4127 121,3,2024-09-07 08:29:00:733,1,104,8,0,159,1242,104,0 122,0,2024-09-07 08:29:01:764,23833,1.8,23101,1.5,48177,2.1,64117,2.25 122,1,2024-09-07 08:29:00:866,181765,181765,0,0,85669501674,905533426,178313,2811,641,367,392130,0 122,2,2024-09-07 08:29:01:325,135167,135167,0,0,7437466,0,3364 122,3,2024-09-07 08:29:00:604,1,104,1,0,298,2002,104,0 123,0,2024-09-07 08:29:01:021,25792,0.7,25109,0.8,52377,0.8,68981,2.00 123,1,2024-09-07 08:29:00:599,183004,183004,0,0,85712111341,907151814,179263,3168,573,369,391823,0 123,2,2024-09-07 08:29:01:026,128541,128540,1,0,6142698,0,5215 123,3,2024-09-07 08:29:01:155,1,104,15,1,168,1524,104,0 124,0,2024-09-07 08:29:00:977,26284,0.3,26301,0.4,49627,0.2,67924,1.50 124,1,2024-09-07 08:29:01:023,182813,182813,0,0,85701381029,888612848,181882,786,145,367,392178,0 124,2,2024-09-07 08:29:01:010,129776,129776,0,0,5404417,0,3101 124,3,2024-09-07 08:29:00:762,1,104,11,1,490,1826,104,0 125,0,2024-09-07 08:29:01:445,26967,0.5,26821,0.6,53754,0.6,70979,1.75 125,1,2024-09-07 08:29:00:857,182307,182307,0,0,85295673857,893167737,180324,1709,274,384,391702,0 125,2,2024-09-07 08:29:01:120,132256,132256,0,0,5429244,0,2180 125,3,2024-09-07 08:29:01:126,1,104,12,1,93,1294,104,0 126,0,2024-09-07 08:29:01:428,24952,0.9,25551,0.9,49102,1.1,66299,1.75 126,1,2024-09-07 08:29:00:593,183293,183293,0,0,85500701939,887478748,182150,1085,58,365,391987,0 126,2,2024-09-07 08:29:00:618,135914,135914,0,0,5614416,0,3186 126,3,2024-09-07 08:29:00:907,1,104,7,0,136,1498,104,0 127,0,2024-09-07 08:29:01:605,23253,0.3,23376,0.4,46839,0.2,62611,1.50 127,1,2024-09-07 08:29:00:578,183196,183196,0,0,86029742815,892656716,181756,1414,26,365,391614,0 127,2,2024-09-07 08:29:00:640,129943,129943,0,0,4749980,0,1803 127,3,2024-09-07 08:29:01:270,1,104,20,0,99,776,104,0 128,0,2024-09-07 08:29:01:548,26621,0.3,26599,0.4,52738,0.2,70187,1.50 128,1,2024-09-07 08:29:01:609,183149,183149,0,0,85933769592,890374726,182407,671,71,367,391680,0 128,2,2024-09-07 08:29:01:387,129432,129432,0,0,5464872,0,2107 128,3,2024-09-07 08:29:00:776,1,104,4,0,247,1335,104,0 129,0,2024-09-07 08:29:01:001,28225,0.3,28172,0.5,56060,0.3,74372,1.75 129,1,2024-09-07 08:29:00:580,182165,182165,0,0,85507919369,897076545,180003,1875,287,379,391835,0 129,2,2024-09-07 08:29:00:690,131439,131439,0,0,4953446,0,2446 129,3,2024-09-07 08:29:00:690,1,104,13,1,173,1475,104,0 130,0,2024-09-07 08:29:01:731,27359,1.2,27117,0.9,54449,1.7,72779,2.00 130,1,2024-09-07 08:29:00:614,182761,182761,0,0,85638217533,893214717,181434,1292,35,381,391825,0 130,2,2024-09-07 08:29:01:129,133624,133624,0,0,5706133,0,4067 130,3,2024-09-07 08:29:01:292,1,104,1,0,207,890,104,0 131,0,2024-09-07 08:29:01:930,23064,0.5,23009,0.7,46607,0.6,62385,1.75 131,1,2024-09-07 08:29:01:820,183663,183663,0,0,85535190312,891453666,182530,945,188,384,391865,0 131,2,2024-09-07 08:29:00:617,132509,132509,0,0,4966510,0,2415 131,3,2024-09-07 08:29:01:687,1,104,5,0,392,1275,104,0 132,0,2024-09-07 08:29:01:423,27859,0.5,28308,0.8,56716,0.5,74973,1.75 132,1,2024-09-07 08:29:00:620,181967,181967,0,0,84904362243,899489174,178547,2663,757,381,391719,0 132,2,2024-09-07 08:29:00:703,129177,129177,0,0,7464192,0,4606 132,3,2024-09-07 08:29:01:688,1,104,4,0,356,1811,104,0 133,0,2024-09-07 08:29:01:567,27243,0.5,27739,0.6,57126,0.4,74018,2.00 133,1,2024-09-07 08:29:00:584,181264,181264,0,0,85898858404,908551604,178409,2681,174,383,391755,0 133,2,2024-09-07 08:29:01:123,130656,130656,0,0,7089233,0,4315 133,3,2024-09-07 08:29:01:310,1,104,22,1,187,995,104,0 134,0,2024-09-07 08:29:01:128,27328,0.8,27166,0.9,54744,0.9,72947,2.00 134,1,2024-09-07 08:29:00:613,182324,182324,0,0,85311737770,899226494,178948,2287,1089,366,391718,0 134,2,2024-09-07 08:29:01:762,131490,131490,0,0,6001287,0,3096 134,3,2024-09-07 08:29:00:749,1,104,9,0,739,2260,104,0 135,0,2024-09-07 08:29:01:154,23088,1.1,22912,1.3,48454,1.3,63254,2.25 135,1,2024-09-07 08:29:01:593,181940,181940,0,0,85580136490,904376340,178642,2598,700,380,391703,0 135,2,2024-09-07 08:29:00:707,134774,134774,0,0,6527181,0,3981 135,3,2024-09-07 08:29:01:007,1,104,3,0,89,723,104,0 136,0,2024-09-07 08:29:01:636,26791,0.4,26587,0.6,53547,0.3,71291,2.00 136,1,2024-09-07 08:29:01:441,182132,182132,0,0,85177006214,896895011,179464,2504,164,384,391641,0 136,2,2024-09-07 08:29:01:133,130149,130149,0,0,5853144,0,3506 136,3,2024-09-07 08:29:01:121,1,104,1,0,108,999,104,0 137,0,2024-09-07 08:29:00:954,27144,0.6,26318,0.7,52275,0.5,70790,2.00 137,1,2024-09-07 08:29:00:576,182403,182403,0,0,85884984044,900765323,179905,2319,179,367,391608,0 137,2,2024-09-07 08:29:01:705,129484,129484,0,0,7422142,0,3185 137,3,2024-09-07 08:29:00:782,1,104,20,1,227,1400,104,0 138,0,2024-09-07 08:29:01:757,25951,2.2,26239,1.5,53132,3.5,70707,2.75 138,1,2024-09-07 08:29:01:692,182325,182325,0,0,85955610514,904204434,179460,2519,346,369,391954,0 138,2,2024-09-07 08:29:00:587,131467,131467,0,0,6192995,0,3263 138,3,2024-09-07 08:29:00:626,1,104,2,0,1160,2094,104,0 139,0,2024-09-07 08:29:01:365,23627,4.0,23718,2.1,47891,5.2,64629,3.50 139,1,2024-09-07 08:29:00:589,181899,181899,0,0,84904244996,905427675,178030,2808,1061,381,391892,0 139,2,2024-09-07 08:29:00:695,134256,134256,0,0,7287966,0,3097 139,3,2024-09-07 08:29:01:664,1,104,42,0,244,1556,104,0 140,0,2024-09-07 08:29:01:595,24709,0.3,24643,0.5,49489,0.2,66221,1.50 140,1,2024-09-07 08:29:01:535,183289,183289,0,0,86444586661,892382396,182337,755,197,365,391606,0 140,2,2024-09-07 08:29:00:693,130155,130155,0,0,5426840,0,3388 140,3,2024-09-07 08:29:00:767,1,104,18,0,25,641,104,0 141,0,2024-09-07 08:29:01:705,25595,0.2,26483,0.4,50819,0.1,68480,1.50 141,1,2024-09-07 08:29:00:867,183102,183102,0,0,86318817507,898277908,181480,1270,352,379,391539,0 141,2,2024-09-07 08:29:01:686,129458,129458,0,0,5115692,0,2342 141,3,2024-09-07 08:29:01:049,1,104,18,0,147,799,104,0 142,0,2024-09-07 08:29:01:331,27934,0.3,27578,0.5,54893,0.3,73381,1.75 142,1,2024-09-07 08:29:00:602,183246,183246,0,0,85765904472,892376099,182615,615,16,384,391649,0 142,2,2024-09-07 08:29:01:305,130408,130376,32,0,6735646,0,6028 142,3,2024-09-07 08:29:01:748,1,104,16,0,484,1736,104,0 143,0,2024-09-07 08:29:01:396,25463,1.0,25580,0.8,51454,1.2,67581,2.00 143,1,2024-09-07 08:29:00:557,182972,182972,0,0,85897875220,891868404,181612,1323,37,367,391619,0 143,2,2024-09-07 08:29:00:786,134844,134844,0,0,5933350,0,2669 143,3,2024-09-07 08:29:01:141,1,104,1,0,303,1851,104,0 144,0,2024-09-07 08:29:01:503,22595,0.8,23215,2.3,47165,0.8,63015,2.25 144,1,2024-09-07 08:29:00:568,182140,182140,0,0,84642324398,889515428,180426,1515,199,381,391638,0 144,2,2024-09-07 08:29:01:758,131221,131221,0,0,5208527,0,3473 144,3,2024-09-07 08:29:01:741,1,104,4,1,169,1416,104,0 145,0,2024-09-07 08:29:01:379,26300,0.6,26188,0.9,55510,0.6,72169,2.00 145,1,2024-09-07 08:29:00:558,181161,181161,0,0,85068565235,900953975,177785,2745,631,383,391615,0 145,2,2024-09-07 08:29:01:431,129778,129778,0,0,6432836,0,3903 145,3,2024-09-07 08:29:00:896,1,104,19,0,151,1363,104,0 146,0,2024-09-07 08:29:01:625,28099,0.5,27957,0.8,56344,0.6,74656,2.25 146,1,2024-09-07 08:29:01:613,182466,182466,0,0,85775256849,905282244,178869,2936,661,368,391600,0 146,2,2024-09-07 08:29:01:697,130768,130768,0,0,6134735,0,2379 146,3,2024-09-07 08:29:01:299,1,104,1,0,1520,3560,104,0 147,0,2024-09-07 08:29:01:704,27092,2.2,27001,1.4,53401,3.0,73125,2.75 147,1,2024-09-07 08:29:01:372,183263,183263,0,0,85995107318,894558218,181459,1448,356,368,391791,0 147,2,2024-09-07 08:29:01:010,133106,133106,0,0,5714274,0,2789 147,3,2024-09-07 08:29:00:921,1,104,7,0,141,1037,104,0 0,0,2024-09-07 08:29:11:757,26642,0.5,26626,0.7,56265,0.6,73070,1.75 0,1,2024-09-07 08:29:10:990,184315,184315,0,0,86574725121,908059223,182993,1193,129,372,391692,0 0,2,2024-09-07 08:29:11:067,131398,131398,0,0,5822412,0,4480 0,3,2024-09-07 08:29:10:979,1,105,5,0,247,1735,105,0 1,0,2024-09-07 08:29:11:753,27205,2.3,26890,1.6,54312,3.0,72545,2.50 1,1,2024-09-07 08:29:10:557,183955,183955,0,0,86258454490,905835140,181883,1537,535,372,391857,0 1,2,2024-09-07 08:29:10:643,132642,132642,0,0,5492194,0,3267 1,3,2024-09-07 08:29:11:311,1,105,15,0,167,1208,105,0 2,0,2024-09-07 08:29:11:576,24071,0.8,24154,1.0,47936,1.0,64617,2.00 2,1,2024-09-07 08:29:10:860,184340,184340,0,0,86104987703,896422790,183180,956,204,380,391558,0 2,2,2024-09-07 08:29:11:268,135868,135868,0,0,5736929,0,3304 2,3,2024-09-07 08:29:10:690,1,105,58,0,214,1036,105,0 3,0,2024-09-07 08:29:11:755,26297,0.4,26350,0.5,52550,0.3,69911,1.75 3,1,2024-09-07 08:29:11:623,183769,183769,0,0,86661416000,905253164,181597,1784,388,382,391516,0 3,2,2024-09-07 08:29:11:143,130883,130860,23,0,5865429,0,5851 3,3,2024-09-07 08:29:11:752,1,105,1,0,103,720,105,0 4,0,2024-09-07 08:29:11:816,25101,0.3,25765,0.4,52141,0.2,68726,1.50 4,1,2024-09-07 08:29:10:609,184208,184208,0,0,86357812561,912545238,181713,1976,519,371,391846,0 4,2,2024-09-07 08:29:11:026,130147,130147,0,0,7175841,0,4528 4,3,2024-09-07 08:29:11:027,1,105,6,1,287,1686,105,0 5,0,2024-09-07 08:29:11:381,26982,0.6,26800,0.7,53930,0.7,71301,1.75 5,1,2024-09-07 08:29:10:758,183417,183417,0,0,86036340962,911145881,180018,2467,932,368,392005,0 5,2,2024-09-07 08:29:11:832,132686,132686,0,0,6079864,0,2259 5,3,2024-09-07 08:29:11:739,1,105,26,0,238,1857,105,0 6,0,2024-09-07 08:29:10:940,25271,0.8,25012,1.0,49701,0.9,67036,2.25 6,1,2024-09-07 08:29:10:747,184846,184846,0,0,86960311287,908583827,183315,1456,75,381,391603,0 6,2,2024-09-07 08:29:11:132,136927,136927,0,0,6031092,0,2411 6,3,2024-09-07 08:29:11:276,1,105,1,0,340,1778,105,0 7,0,2024-09-07 08:29:11:541,23603,0.4,23680,0.5,47667,0.3,63789,1.75 7,1,2024-09-07 08:29:10:851,184650,184650,0,0,86222101478,903079481,182912,1673,65,383,391664,0 7,2,2024-09-07 08:29:10:769,130115,130115,0,0,5430296,0,2981 7,3,2024-09-07 08:29:10:851,1,105,1,0,305,1204,105,0 8,0,2024-09-07 08:29:11:514,26489,0.3,26725,0.5,52655,0.3,70484,1.50 8,1,2024-09-07 08:29:11:051,183857,183857,0,0,86760383613,920252037,180115,2489,1253,368,391724,0 8,2,2024-09-07 08:29:10:792,129536,129536,0,0,7079719,0,2986 8,3,2024-09-07 08:29:10:590,1,105,6,0,357,1793,105,0 9,0,2024-09-07 08:29:11:134,28106,0.4,27458,0.5,57306,0.3,74587,1.75 9,1,2024-09-07 08:29:10:555,183809,183809,0,0,85982249797,913614189,180095,2708,1006,370,391738,0 9,2,2024-09-07 08:29:11:084,132252,132252,0,0,6796440,0,3360 9,3,2024-09-07 08:29:11:752,1,105,8,0,496,1805,105,0 10,0,2024-09-07 08:29:11:614,27182,0.5,27221,0.7,54414,0.5,72269,1.75 10,1,2024-09-07 08:29:10:583,183987,183987,0,0,86342731904,911523841,180339,3124,524,381,391741,0 10,2,2024-09-07 08:29:10:763,135051,135051,0,0,6491445,0,2940 10,3,2024-09-07 08:29:10:871,1,105,7,0,136,708,105,0 11,0,2024-09-07 08:29:11:012,22919,0.5,22550,0.8,47110,0.5,63283,2.00 11,1,2024-09-07 08:29:10:572,183714,183714,0,0,85930996633,908302455,179880,2704,1130,384,391537,0 11,2,2024-09-07 08:29:11:123,132441,132441,0,0,6159857,0,3411 11,3,2024-09-07 08:29:11:298,1,105,16,0,720,2606,105,0 12,0,2024-09-07 08:29:10:988,28571,0.3,28429,0.5,57030,0.3,75213,1.50 12,1,2024-09-07 08:29:10:938,183877,183877,0,0,85862091820,900605985,181661,1853,363,371,391837,0 12,2,2024-09-07 08:29:11:550,132101,132101,0,0,5862815,0,2254 12,3,2024-09-07 08:29:11:065,1,105,2,0,358,1944,105,0 13,0,2024-09-07 08:29:11:356,28157,0.4,28330,0.5,56419,0.4,75136,1.75 13,1,2024-09-07 08:29:11:542,184635,184635,0,0,86805858509,912188560,183004,1264,367,384,391717,0 13,2,2024-09-07 08:29:10:601,133095,133095,0,0,5500655,0,3287 13,3,2024-09-07 08:29:11:767,1,105,13,1,416,2084,105,0 14,0,2024-09-07 08:29:10:566,27628,0.6,27824,0.8,55133,0.6,73565,2.00 14,1,2024-09-07 08:29:11:563,185316,185316,0,0,87095619544,905204659,183626,1529,161,364,391571,0 14,2,2024-09-07 08:29:10:765,132929,132929,0,0,5794738,0,2793 14,3,2024-09-07 08:29:11:117,1,105,1168,0,1168,2558,105,0 15,0,2024-09-07 08:29:11:593,24017,0.6,23805,1.0,47760,0.7,64039,2.25 15,1,2024-09-07 08:29:11:611,184037,184037,0,0,86735893453,902393390,183106,896,35,381,391536,0 15,2,2024-09-07 08:29:10:998,135891,135891,0,0,4891751,0,3043 15,3,2024-09-07 08:29:11:412,1,105,1126,0,1126,3685,105,0 16,0,2024-09-07 08:29:10:996,26934,0.4,27198,0.6,53903,0.3,72265,2.00 16,1,2024-09-07 08:29:10:569,184510,184510,0,0,86604615297,905655630,183346,1136,28,372,391756,0 16,2,2024-09-07 08:29:11:445,130081,130081,0,0,6094772,0,4719 16,3,2024-09-07 08:29:11:149,1,105,2,0,231,1532,105,0 17,0,2024-09-07 08:29:11:765,27536,0.5,26955,0.6,52877,0.4,71570,1.75 17,1,2024-09-07 08:29:10:569,183958,183958,0,0,86001027965,907020888,181751,1678,529,369,391688,0 17,2,2024-09-07 08:29:11:680,132488,132488,0,0,5169759,0,2857 17,3,2024-09-07 08:29:10:577,1,105,15,0,268,1867,105,0 18,0,2024-09-07 08:29:10:945,26039,1.1,26512,1.1,53200,1.4,70482,2.25 18,1,2024-09-07 08:29:11:639,184479,184479,0,0,86379639237,897377702,183213,1048,218,368,391564,0 18,2,2024-09-07 08:29:11:765,132739,132739,0,0,5994256,0,3541 18,3,2024-09-07 08:29:10:898,1,105,3,0,163,1121,105,0 19,0,2024-09-07 08:29:11:570,24272,1.0,24432,1.1,48433,1.2,64550,3.25 19,1,2024-09-07 08:29:10:566,184617,184617,0,0,87465759738,911955005,182600,1851,166,367,391777,0 19,2,2024-09-07 08:29:11:752,137144,137144,0,0,5534188,0,3988 19,3,2024-09-07 08:29:11:130,1,105,1,0,524,1273,105,0 20,0,2024-09-07 08:29:11:372,25222,0.5,25177,0.6,50314,0.4,66869,2.00 20,1,2024-09-07 08:29:10:567,184418,184418,0,0,86716506462,909990912,182415,1826,177,370,391598,0 20,2,2024-09-07 08:29:10:944,130141,130141,0,0,5687819,0,3721 20,3,2024-09-07 08:29:10:589,1,105,1,0,414,2069,105,0 21,0,2024-09-07 08:29:11:144,26154,0.4,26017,0.5,52010,0.3,68689,1.75 21,1,2024-09-07 08:29:11:554,183798,183798,0,0,85898869041,906530478,181251,2042,505,368,391962,0 21,2,2024-09-07 08:29:11:067,131038,131038,0,0,6039416,0,3747 21,3,2024-09-07 08:29:11:406,1,105,23,0,93,1360,105,0 22,0,2024-09-07 08:29:11:728,27315,1.2,27338,1.1,54573,1.5,72607,2.50 22,1,2024-09-07 08:29:11:023,183655,183655,0,0,85934630639,912126798,179190,3094,1371,382,391667,0 22,2,2024-09-07 08:29:10:760,132985,132985,0,0,5657782,0,3134 22,3,2024-09-07 08:29:11:066,1,105,3,0,228,1062,105,0 23,0,2024-09-07 08:29:11:374,25349,0.8,25518,0.9,51134,0.8,67882,2.25 23,1,2024-09-07 08:29:11:003,184840,184840,0,0,87317015602,910522164,182645,1624,571,365,391549,0 23,2,2024-09-07 08:29:11:105,136153,136153,0,0,5662868,0,2078 23,3,2024-09-07 08:29:11:754,1,105,2,0,645,1555,105,0 24,0,2024-09-07 08:29:10:859,24050,0.5,24024,0.7,48290,0.5,64297,1.75 24,1,2024-09-07 08:29:10:603,183532,183532,0,0,86391775002,908432055,181192,1577,763,369,391640,0 24,2,2024-09-07 08:29:11:076,130711,130711,0,0,7174462,0,2942 24,3,2024-09-07 08:29:11:695,1,105,45,0,234,1463,105,0 25,0,2024-09-07 08:29:11:405,28033,0.4,27407,0.6,53647,0.4,72807,1.75 25,1,2024-09-07 08:29:10:568,183740,183740,0,0,86210340906,909018852,180981,2316,443,371,391788,0 25,2,2024-09-07 08:29:11:612,130150,130150,0,0,7210384,0,3978 25,3,2024-09-07 08:29:11:000,1,105,8,0,158,1238,105,0 26,0,2024-09-07 08:29:11:726,28110,0.4,27478,0.6,57615,0.3,75295,1.75 26,1,2024-09-07 08:29:11:553,184551,184551,0,0,85796907029,906950332,180685,3023,843,381,391564,0 26,2,2024-09-07 08:29:10:862,132520,132520,0,0,6693469,0,2809 26,3,2024-09-07 08:29:11:712,1,105,4,0,796,1455,105,0 27,0,2024-09-07 08:29:11:734,27613,0.6,27786,0.8,55072,0.7,73801,1.75 27,1,2024-09-07 08:29:11:677,184867,184867,0,0,87332347705,909965261,183126,1419,322,381,391539,0 27,2,2024-09-07 08:29:10:867,132954,132954,0,0,7319237,0,3409 27,3,2024-09-07 08:29:11:017,1,105,5,0,564,1435,105,0 28,0,2024-09-07 08:29:11:399,23159,0.6,23576,0.8,47053,0.7,62974,2.00 28,1,2024-09-07 08:29:10:811,184597,184597,0,0,87175491166,908670232,183182,1213,202,383,391646,0 28,2,2024-09-07 08:29:11:764,134082,134082,0,0,5030899,0,2609 28,3,2024-09-07 08:29:11:780,1,105,2,0,502,1384,105,0 29,0,2024-09-07 08:29:11:375,29064,0.4,28317,0.6,55586,0.4,76179,1.75 29,1,2024-09-07 08:29:11:567,185524,185524,0,0,86493847267,897398965,183973,1076,475,369,391621,0 29,2,2024-09-07 08:29:10:867,131179,131179,0,0,4775829,0,4018 29,3,2024-09-07 08:29:10:974,1,105,12,0,105,861,105,0 30,0,2024-09-07 08:29:11:464,27355,0.8,26582,0.9,55575,0.9,73101,2.25 30,1,2024-09-07 08:29:10:571,184776,184776,0,0,87145883444,907883067,183405,1190,181,382,391672,0 30,2,2024-09-07 08:29:11:276,132506,132506,0,0,4987917,0,3161 30,3,2024-09-07 08:29:10:581,1,105,1,0,195,789,105,0 31,0,2024-09-07 08:29:11:764,26908,0.9,27062,0.9,54585,1.1,72366,2.25 31,1,2024-09-07 08:29:10:566,185607,185607,0,0,86956127005,890712344,185305,300,2,356,391553,0 31,2,2024-09-07 08:29:11:275,132868,132868,0,0,6124657,0,3525 31,3,2024-09-07 08:29:11:709,1,105,0,0,129,764,105,0 32,0,2024-09-07 08:29:11:453,24374,0.8,24450,0.9,48915,0.6,65148,1.75 32,1,2024-09-07 08:29:10:817,185252,185252,0,0,86537032385,899117465,184181,1042,29,382,391595,0 32,2,2024-09-07 08:29:10:937,136360,136360,0,0,5368390,0,3155 32,3,2024-09-07 08:29:11:015,1,105,1,0,227,1186,105,0 33,0,2024-09-07 08:29:11:505,26398,0.2,26095,0.4,52883,0.2,69913,1.50 33,1,2024-09-07 08:29:10:576,185317,185317,0,0,86998212487,902570254,183599,1595,123,369,391730,0 33,2,2024-09-07 08:29:10:759,130924,130891,33,0,6441007,0,7012 33,3,2024-09-07 08:29:10:895,1,105,12,0,211,1029,105,0 34,0,2024-09-07 08:29:10:989,25806,0.3,26660,0.4,51136,0.2,68657,1.50 34,1,2024-09-07 08:29:11:045,185466,185466,0,0,87132338098,897772177,184827,634,5,367,391547,0 34,2,2024-09-07 08:29:10:772,130593,130593,0,0,5744690,0,3255 34,3,2024-09-07 08:29:11:693,1,105,0,0,148,794,105,0 35,0,2024-09-07 08:29:10:872,26674,0.6,27012,0.7,53649,0.6,71357,1.75 35,1,2024-09-07 08:29:11:068,184558,184558,0,0,86452325847,898497167,183016,1180,362,384,391587,0 35,2,2024-09-07 08:29:11:585,132595,132595,0,0,5253451,0,2542 35,3,2024-09-07 08:29:10:907,1,105,3,0,219,1253,105,0 36,0,2024-09-07 08:29:11:520,25093,1.2,24961,1.2,50596,1.4,66883,2.50 36,1,2024-09-07 08:29:10:583,184366,184366,0,0,86383319341,906277808,181256,2178,932,366,391543,0 36,2,2024-09-07 08:29:11:769,135910,135910,0,0,6813991,0,3303 36,3,2024-09-07 08:29:10:869,1,105,1,0,378,1205,105,0 37,0,2024-09-07 08:29:11:377,23827,0.4,23770,0.6,47567,0.3,63857,1.75 37,1,2024-09-07 08:29:10:571,183931,183924,0,7,86206628631,909962573,180560,1823,1541,365,391518,0 37,2,2024-09-07 08:29:11:142,130778,130778,0,0,5116403,0,2333 37,3,2024-09-07 08:29:11:766,1,105,8,0,724,2170,105,0 38,0,2024-09-07 08:29:11:443,26378,0.4,25573,0.6,53450,0.4,69954,1.75 38,1,2024-09-07 08:29:11:605,185271,185271,0,0,86233728467,903936982,182409,2341,521,368,391821,0 38,2,2024-09-07 08:29:10:761,131502,131502,0,0,6242381,0,3245 38,3,2024-09-07 08:29:10:997,1,105,1,0,603,1592,105,0 39,0,2024-09-07 08:29:11:768,28881,0.8,28255,0.8,55114,0.9,74761,2.00 39,1,2024-09-07 08:29:10:717,184398,184398,0,0,86095114458,901573135,181454,2276,668,365,391524,0 39,2,2024-09-07 08:29:11:426,132824,132824,0,0,5786628,0,2689 39,3,2024-09-07 08:29:10:712,1,105,1,0,276,1591,105,0 40,0,2024-09-07 08:29:11:510,26575,1.3,27175,1.7,53884,2.0,71700,3.50 40,1,2024-09-07 08:29:10:577,183933,183933,0,0,85274264051,899263773,180180,2988,765,369,391591,0 40,2,2024-09-07 08:29:11:304,135152,135151,1,0,7632964,0,5137 40,3,2024-09-07 08:29:11:142,1,105,152,0,181,1287,105,0 41,0,2024-09-07 08:29:11:030,22890,1.9,23419,2.2,44742,3.1,61972,4.25 41,1,2024-09-07 08:29:10:767,184333,184333,0,0,86879767744,914641133,180869,2947,517,370,391742,0 41,2,2024-09-07 08:29:10:760,131915,131915,0,0,6867816,0,3356 41,3,2024-09-07 08:29:11:676,1,105,8,0,366,1423,105,0 42,0,2024-09-07 08:29:11:479,27755,0.7,27995,0.9,55598,0.6,73384,2.50 42,1,2024-09-07 08:29:11:441,183712,183712,0,0,86309817144,910022465,180507,2375,830,380,391675,0 42,2,2024-09-07 08:29:11:132,130307,130307,0,0,6801822,0,3568 42,3,2024-09-07 08:29:11:010,1,105,1,0,100,815,105,0 43,0,2024-09-07 08:29:10:925,28140,0.8,27327,1.0,57203,0.9,75206,2.25 43,1,2024-09-07 08:29:10:576,183752,183752,0,0,86983613689,910599921,181132,1868,752,366,391604,0 43,2,2024-09-07 08:29:11:735,132776,132776,0,0,6685321,0,3812 43,3,2024-09-07 08:29:11:749,1,105,0,0,325,1843,105,0 44,0,2024-09-07 08:29:10:875,27727,0.6,27555,0.9,55409,0.6,74087,2.00 44,1,2024-09-07 08:29:10:564,184853,184853,0,0,86225602905,891245827,183713,1032,108,356,391662,0 44,2,2024-09-07 08:29:11:269,132895,132895,0,0,4727203,0,1865 44,3,2024-09-07 08:29:11:093,1,105,2,0,817,1476,105,0 45,0,2024-09-07 08:29:11:800,23605,1.1,23139,1.1,48443,1.3,64413,2.25 45,1,2024-09-07 08:29:11:005,184983,184983,0,0,86877007997,901404653,184312,669,2,382,391917,0 45,2,2024-09-07 08:29:11:270,135686,135686,0,0,5687470,0,3596 45,3,2024-09-07 08:29:10:936,1,105,8,0,226,1003,105,0 46,0,2024-09-07 08:29:10:957,27102,0.3,27126,0.5,54365,0.2,71992,1.75 46,1,2024-09-07 08:29:10:577,185189,185189,0,0,86751542775,896143498,184251,900,38,366,391514,0 46,2,2024-09-07 08:29:10:594,131386,131386,0,0,5387238,0,2920 46,3,2024-09-07 08:29:11:131,1,105,14,0,363,1729,105,0 47,0,2024-09-07 08:29:11:116,26751,0.3,26988,0.5,53995,0.3,71264,1.75 47,1,2024-09-07 08:29:10:568,185119,185119,0,0,86453785046,893491084,184199,910,10,366,391605,0 47,2,2024-09-07 08:29:10:913,132271,132271,0,0,5632214,0,2558 47,3,2024-09-07 08:29:11:116,1,105,1,0,529,1233,105,0 48,0,2024-09-07 08:29:11:499,27032,0.3,26762,0.5,53408,0.3,71181,1.75 48,1,2024-09-07 08:29:11:021,184700,184700,0,0,86738334921,901020192,183410,1283,7,384,391710,0 48,2,2024-09-07 08:29:10:700,132599,132599,0,0,4663472,0,2083 48,3,2024-09-07 08:29:10:754,1,105,1,0,69,853,105,0 49,0,2024-09-07 08:29:11:720,25206,0.6,24604,0.7,48170,0.6,66081,1.75 49,1,2024-09-07 08:29:11:032,184199,184199,0,0,86922996790,907839885,182424,1064,711,382,391583,0 49,2,2024-09-07 08:29:11:798,136721,136721,0,0,5794063,0,3900 49,3,2024-09-07 08:29:11:426,1,105,19,0,274,1047,105,0 50,0,2024-09-07 08:29:11:514,25378,0.2,24961,0.4,50203,0.2,67494,1.50 50,1,2024-09-07 08:29:11:041,185707,185707,0,0,87484629129,908765932,183810,1600,297,368,391530,0 50,2,2024-09-07 08:29:11:069,130692,130692,0,0,4916525,0,2253 50,3,2024-09-07 08:29:11:291,1,105,5,0,335,1061,105,0 51,0,2024-09-07 08:29:11:699,26535,0.2,26050,0.4,50924,0.2,68968,1.50 51,1,2024-09-07 08:29:11:684,184823,184823,0,0,87414838721,907364309,183013,1026,784,367,391637,0 51,2,2024-09-07 08:29:11:316,130641,130641,0,0,4200959,0,2448 51,3,2024-09-07 08:29:11:027,1,105,2,0,162,638,105,0 52,0,2024-09-07 08:29:11:419,27672,0.7,27727,0.8,55536,0.7,73118,2.25 52,1,2024-09-07 08:29:10:576,184018,184018,0,0,86797885678,913942642,180484,2828,706,368,391722,0 52,2,2024-09-07 08:29:11:756,132327,132327,0,0,6404263,0,4779 52,3,2024-09-07 08:29:10:674,1,105,1,0,1782,2704,105,0 53,0,2024-09-07 08:29:11:743,25307,1.4,24511,1.2,51054,1.7,67081,2.75 53,1,2024-09-07 08:29:10:770,184073,184073,0,0,87402572192,917820688,180980,2512,581,370,391617,0 53,2,2024-09-07 08:29:11:298,136624,136624,0,0,5338792,0,1902 53,3,2024-09-07 08:29:10:696,1,105,9,0,133,823,105,0 54,0,2024-09-07 08:29:11:623,23167,2.1,23544,1.2,46266,0.8,63547,4.00 54,1,2024-09-07 08:29:10:580,184317,184317,0,0,87428190129,915740567,181146,2627,544,367,391520,0 54,2,2024-09-07 08:29:10:871,131580,131574,6,0,6257920,0,5382 54,3,2024-09-07 08:29:10:763,1,105,171,0,676,2178,105,0 55,0,2024-09-07 08:29:11:766,26261,0.6,26993,0.8,54767,0.5,70659,2.25 55,1,2024-09-07 08:29:10:764,183448,183448,0,0,85990390838,905476347,179072,3591,785,367,391731,0 55,2,2024-09-07 08:29:10:733,130619,130619,0,0,5978382,0,3275 55,3,2024-09-07 08:29:10:674,1,105,9,0,304,1311,105,0 56,0,2024-09-07 08:29:11:602,28976,1.6,27430,1.2,56351,2.1,75433,2.50 56,1,2024-09-07 08:29:10:569,183578,183578,0,0,86778816619,924981799,179091,3637,850,382,391678,0 56,2,2024-09-07 08:29:11:304,132638,132638,0,0,7285143,0,3567 56,3,2024-09-07 08:29:11:062,1,105,6,0,297,1460,105,0 57,0,2024-09-07 08:29:10:943,26990,2.3,26824,1.5,54027,3.4,72479,4.00 57,1,2024-09-07 08:29:10:993,183930,183930,0,0,86367974433,907101098,181606,2154,170,368,391773,0 57,2,2024-09-07 08:29:11:319,134265,134265,0,0,7209153,0,3178 57,3,2024-09-07 08:29:11:745,1,105,12,0,359,2104,105,0 58,0,2024-09-07 08:29:10:569,22561,1.2,22054,1.2,46133,1.6,60804,3.00 58,1,2024-09-07 08:29:10:582,185190,185187,0,3,87200531384,910741740,182945,2015,227,369,391516,3 58,2,2024-09-07 08:29:11:078,133871,133871,0,0,6473101,0,2549 58,3,2024-09-07 08:29:11:088,1,105,4,0,219,994,105,0 59,0,2024-09-07 08:29:11:775,28433,0.9,28030,1.0,56501,1.0,74948,3.00 59,1,2024-09-07 08:29:10:817,184022,184022,0,0,86246917271,908189462,180738,2362,922,369,391515,0 59,2,2024-09-07 08:29:10:584,131913,131913,0,0,5731888,0,2604 59,3,2024-09-07 08:29:11:742,1,105,2,0,1015,2342,105,0 60,0,2024-09-07 08:29:11:713,27657,0.4,27804,0.6,54900,0.4,73238,1.75 60,1,2024-09-07 08:29:10:773,186075,186075,0,0,86759401925,902030467,184839,886,350,370,391761,0 60,2,2024-09-07 08:29:11:150,131537,131537,0,0,5813155,0,3811 60,3,2024-09-07 08:29:11:259,1,105,25,0,124,1105,105,0 61,0,2024-09-07 08:29:11:552,26981,2.0,27160,1.3,54284,3.3,72478,2.00 61,1,2024-09-07 08:29:10:791,183868,183868,0,0,86928530388,913823671,181252,2079,537,382,391589,0 61,2,2024-09-07 08:29:11:121,132714,132714,0,0,5316129,0,1846 61,3,2024-09-07 08:29:11:690,1,105,11,0,199,1438,105,0 62,0,2024-09-07 08:29:11:743,24355,0.7,24963,0.9,47634,0.8,65110,2.00 62,1,2024-09-07 08:29:11:112,185511,185505,0,6,87459858230,904532324,184126,1341,38,365,391715,6 62,2,2024-09-07 08:29:11:644,135291,135290,1,0,6495359,0,5555 62,3,2024-09-07 08:29:11:144,1,105,1,0,287,748,105,0 63,0,2024-09-07 08:29:11:465,26179,0.3,26287,0.5,52504,0.3,69882,1.75 63,1,2024-09-07 08:29:10:814,185492,185486,0,6,86985395573,900614836,184811,672,3,381,391540,6 63,2,2024-09-07 08:29:10:762,130092,130092,0,0,5008859,0,2674 63,3,2024-09-07 08:29:11:745,1,105,30,0,667,2005,105,0 64,0,2024-09-07 08:29:11:571,25704,0.4,25559,0.6,51405,0.3,68616,1.75 64,1,2024-09-07 08:29:10:750,184706,184706,0,0,86736878045,909403345,182136,1775,795,371,391783,0 64,2,2024-09-07 08:29:11:142,131818,131799,19,0,5793099,0,6121 64,3,2024-09-07 08:29:11:140,1,105,1,0,265,1142,105,0 65,0,2024-09-07 08:29:11:702,26203,1.7,26568,1.2,53169,2.1,70643,3.00 65,1,2024-09-07 08:29:10:883,184300,184300,0,0,86478599756,905323420,182469,1610,221,382,391770,0 65,2,2024-09-07 08:29:11:693,133526,133526,0,0,6109910,0,3367 65,3,2024-09-07 08:29:11:685,1,105,12,0,163,1139,105,0 66,0,2024-09-07 08:29:11:776,24653,0.5,24830,0.8,49805,0.5,66356,2.00 66,1,2024-09-07 08:29:11:294,185331,185331,0,0,86454138367,896520921,184586,722,23,380,391588,0 66,2,2024-09-07 08:29:11:131,137818,137818,0,0,5459062,0,3867 66,3,2024-09-07 08:29:11:082,1,105,1,0,291,1270,105,0 67,0,2024-09-07 08:29:11:422,24049,0.4,23957,0.6,47723,0.3,63844,2.00 67,1,2024-09-07 08:29:10:768,184221,184220,0,1,86757996851,907996825,182152,1543,525,381,391787,1 67,2,2024-09-07 08:29:10:583,131487,131487,0,0,4943328,0,2889 67,3,2024-09-07 08:29:11:750,1,105,15,0,138,878,105,0 68,0,2024-09-07 08:29:10:573,26662,0.5,26594,0.7,52961,0.5,70320,2.00 68,1,2024-09-07 08:29:10:572,184197,184197,0,0,86459886208,907330242,182500,1175,522,381,391953,0 68,2,2024-09-07 08:29:11:044,130568,130568,0,0,6184872,0,4883 68,3,2024-09-07 08:29:10:734,1,105,1,0,151,1135,105,0 69,0,2024-09-07 08:29:11:756,28028,1.1,28192,1.0,56233,1.5,74560,2.50 69,1,2024-09-07 08:29:11:016,183715,183715,0,0,86472422655,916734079,180949,1688,1078,384,391611,0 69,2,2024-09-07 08:29:11:749,132580,132580,0,0,6954165,0,3701 69,3,2024-09-07 08:29:10:763,1,105,8,0,238,1417,105,0 70,0,2024-09-07 08:29:11:536,26821,2.5,26921,1.8,54011,1.4,71434,3.75 70,1,2024-09-07 08:29:10:803,184729,184729,0,0,87240934117,907437796,182863,1407,459,368,391725,0 70,2,2024-09-07 08:29:11:328,134723,134723,0,0,6602329,0,4044 70,3,2024-09-07 08:29:10:749,1,105,1,0,178,675,105,0 71,0,2024-09-07 08:29:11:376,22845,2.0,23059,1.9,45642,2.9,62278,3.50 71,1,2024-09-07 08:29:11:599,184701,184701,0,0,86893638494,908990686,182154,2213,334,368,391682,0 71,2,2024-09-07 08:29:11:077,132754,132754,0,0,5788609,0,2146 71,3,2024-09-07 08:29:11:756,1,105,1,0,279,1429,105,0 72,0,2024-09-07 08:29:11:085,29188,0.6,28490,0.8,55755,0.5,75323,2.25 72,1,2024-09-07 08:29:11:033,184044,184044,0,0,86614687924,908339370,181264,2298,482,370,391819,0 72,2,2024-09-07 08:29:11:760,130036,130036,0,0,7439105,0,2570 72,3,2024-09-07 08:29:11:766,1,105,10,0,325,1484,105,0 73,0,2024-09-07 08:29:11:126,27560,0.4,28222,0.6,57657,0.4,74892,2.25 73,1,2024-09-07 08:29:10:766,184315,184315,0,0,86522070590,901020453,182829,1372,114,368,391627,0 73,2,2024-09-07 08:29:11:748,133102,133102,0,0,7029366,0,3482 73,3,2024-09-07 08:29:10:973,1,105,9,0,274,1689,105,0 74,0,2024-09-07 08:29:11:339,27797,0.9,28221,1.0,53933,1.3,73775,2.75 74,1,2024-09-07 08:29:10:636,184178,184178,0,0,86410112942,905129733,181901,1672,605,382,391511,0 74,2,2024-09-07 08:29:11:002,132402,132402,0,0,7302367,0,4253 74,3,2024-09-07 08:29:11:448,1,105,1,0,246,1560,105,0 75,0,2024-09-07 08:29:11:787,24055,1.1,24005,1.2,47848,1.1,64623,2.75 75,1,2024-09-07 08:29:11:600,184151,184151,0,0,86631981162,908926759,181818,1970,363,381,391579,0 75,2,2024-09-07 08:29:11:351,134915,134915,0,0,6689553,0,4766 75,3,2024-09-07 08:29:11:073,1,105,10,0,535,1449,105,0 76,0,2024-09-07 08:29:10:583,27230,0.3,26863,0.5,53720,0.2,71759,2.00 76,1,2024-09-07 08:29:10:807,184140,184140,0,0,85502267671,895944244,182255,1377,508,382,391530,0 76,2,2024-09-07 08:29:11:066,131825,131825,0,0,5285780,0,3064 76,3,2024-09-07 08:29:11:149,1,105,1,0,175,1206,105,0 77,0,2024-09-07 08:29:11:717,26752,0.6,26983,0.7,53999,0.6,71062,1.75 77,1,2024-09-07 08:29:10:839,184632,184632,0,0,86776060525,905149632,183482,1080,70,383,391555,0 77,2,2024-09-07 08:29:11:281,131399,131399,0,0,5113716,0,2694 77,3,2024-09-07 08:29:11:094,1,105,20,0,139,1077,105,0 78,0,2024-09-07 08:29:11:732,26749,0.7,26718,0.9,53474,0.8,70832,2.25 78,1,2024-09-07 08:29:10:611,184878,184878,0,0,86272844986,898460494,183297,1376,205,367,391589,0 78,2,2024-09-07 08:29:11:407,133282,133282,0,0,5009209,0,2114 78,3,2024-09-07 08:29:11:133,1,105,1,0,181,1384,105,0 79,0,2024-09-07 08:29:11:383,23734,0.6,24259,0.8,49648,0.6,64747,2.50 79,1,2024-09-07 08:29:10:573,185217,185217,0,0,86453895684,898760468,183302,1744,171,369,391682,0 79,2,2024-09-07 08:29:11:069,137102,137102,0,0,5468833,0,2679 79,3,2024-09-07 08:29:10:750,1,105,1,0,289,1361,105,0 80,0,2024-09-07 08:29:11:094,24969,0.5,25826,0.6,49619,0.4,67203,2.00 80,1,2024-09-07 08:29:11:650,184229,184229,0,0,86344913394,899632405,182360,1752,117,368,391673,0 80,2,2024-09-07 08:29:11:094,131112,131112,0,0,5298724,0,4433 80,3,2024-09-07 08:29:10:575,1,105,16,1,190,1723,105,0 81,0,2024-09-07 08:29:11:539,26009,0.5,26771,0.6,51241,0.5,68930,1.75 81,1,2024-09-07 08:29:11:669,184435,184435,0,0,86170830715,903044326,182489,1746,200,382,391680,0 81,2,2024-09-07 08:29:11:135,130329,130329,0,0,5876365,0,3993 81,3,2024-09-07 08:29:11:117,1,105,8,0,193,1039,105,0 82,0,2024-09-07 08:29:11:560,27456,0.7,27462,0.9,55181,0.8,73260,2.00 82,1,2024-09-07 08:29:10:589,184292,184288,0,4,86329519767,902814519,182103,1611,574,382,391558,4 82,2,2024-09-07 08:29:11:695,133741,133741,0,0,5040804,0,2230 82,3,2024-09-07 08:29:11:754,1,105,1,0,227,1566,105,0 83,0,2024-09-07 08:29:11:543,25545,1.3,25337,1.1,50353,1.5,66980,2.50 83,1,2024-09-07 08:29:10:551,184610,184610,0,0,87126379742,909761234,183111,1398,101,383,391553,0 83,2,2024-09-07 08:29:10:768,135667,135667,0,0,5836061,0,3119 83,3,2024-09-07 08:29:10:749,1,105,1,0,127,994,105,0 84,0,2024-09-07 08:29:11:798,23656,1.2,23388,1.4,47092,0.8,63981,2.75 84,1,2024-09-07 08:29:11:043,183800,183800,0,0,86368907468,907380629,181332,2085,383,369,391638,0 84,2,2024-09-07 08:29:10:574,131310,131310,0,0,5912489,0,3801 84,3,2024-09-07 08:29:11:146,1,105,85,1,85,1036,105,0 85,0,2024-09-07 08:29:11:023,26205,0.6,26213,0.8,55509,0.5,71879,2.25 85,1,2024-09-07 08:29:10:562,183401,183401,0,0,86578286301,917694656,179936,2990,475,383,391679,0 85,2,2024-09-07 08:29:10:869,131036,131036,0,0,6656904,0,3656 85,3,2024-09-07 08:29:10:686,1,105,8,0,115,1092,105,0 86,0,2024-09-07 08:29:10:908,28228,0.7,29146,0.7,55965,0.8,75326,2.00 86,1,2024-09-07 08:29:10:828,184275,184275,0,0,87170695046,913790708,181787,2210,278,367,391961,0 86,2,2024-09-07 08:29:10:854,132421,132420,1,0,7171468,0,5004 86,3,2024-09-07 08:29:10:586,1,105,1,0,199,1312,105,0 87,0,2024-09-07 08:29:11:291,27417,2.3,27341,1.4,54776,3.6,73705,2.75 87,1,2024-09-07 08:29:10:555,183932,183932,0,0,85483390399,898237639,181641,2152,139,366,391788,0 87,2,2024-09-07 08:29:11:067,133873,133873,0,0,5897172,0,3515 87,3,2024-09-07 08:29:11:794,1,105,1,1,335,1758,105,0 88,0,2024-09-07 08:29:11:520,23316,0.7,23605,0.8,46846,0.9,62968,2.00 88,1,2024-09-07 08:29:10:569,183556,183556,0,0,86393027357,909277387,181201,1646,709,367,391787,0 88,2,2024-09-07 08:29:10:688,134091,134091,0,0,7008758,0,3583 88,3,2024-09-07 08:29:11:271,1,105,10,1,77,1162,105,0 89,0,2024-09-07 08:29:11:779,29223,0.5,28416,0.7,56240,0.5,76339,1.75 89,1,2024-09-07 08:29:10:555,183410,183410,0,0,86538293172,921588299,179226,3378,806,382,391866,0 89,2,2024-09-07 08:29:11:141,130905,130905,0,0,6792839,0,2901 89,3,2024-09-07 08:29:11:791,1,105,13,0,325,2214,105,0 90,0,2024-09-07 08:29:11:638,26670,0.4,27257,0.6,56066,0.4,73156,1.75 90,1,2024-09-07 08:29:10:591,184486,184486,0,0,86158611775,909942383,181825,2505,156,382,391825,0 90,2,2024-09-07 08:29:11:411,130654,130654,0,0,7342559,0,2635 90,3,2024-09-07 08:29:10:932,1,105,1,1,200,1126,105,0 91,0,2024-09-07 08:29:10:936,27519,0.6,26656,0.7,55331,0.6,72912,1.75 91,1,2024-09-07 08:29:10:558,183929,183929,0,0,86631433448,915508098,180729,2707,493,383,391914,0 91,2,2024-09-07 08:29:11:344,131420,131420,0,0,7025161,0,2445 91,3,2024-09-07 08:29:10:599,1,105,61,0,155,1442,105,0 92,0,2024-09-07 08:29:11:484,24614,0.6,25190,0.8,48041,0.7,65406,1.75 92,1,2024-09-07 08:29:10:582,184547,184547,0,0,86452884177,902829711,183324,930,293,382,391717,0 92,2,2024-09-07 08:29:11:350,137538,137538,0,0,5705211,0,2279 92,3,2024-09-07 08:29:11:009,1,105,4,0,68,993,105,0 93,0,2024-09-07 08:29:10:983,26380,0.3,26947,0.5,51548,0.2,69972,1.50 93,1,2024-09-07 08:29:10:806,184598,184598,0,0,86524171391,905944363,182537,1624,437,366,391689,0 93,2,2024-09-07 08:29:10:939,130746,130746,0,0,5213561,0,2509 93,3,2024-09-07 08:29:11:412,1,105,24,0,143,1025,105,0 94,0,2024-09-07 08:29:11:640,25930,0.3,26114,0.5,51681,0.3,68594,1.50 94,1,2024-09-07 08:29:10:563,184222,184222,0,0,86315658348,905798815,182280,1863,79,381,391850,0 94,2,2024-09-07 08:29:10:761,130877,130877,0,0,5171078,0,2443 94,3,2024-09-07 08:29:11:690,1,105,1,0,231,1724,105,0 95,0,2024-09-07 08:29:11:391,26898,0.4,26850,0.6,54024,0.4,71485,1.75 95,1,2024-09-07 08:29:10:852,184646,184646,0,0,86427105189,897560818,183727,855,64,367,391600,0 95,2,2024-09-07 08:29:11:016,133385,133385,0,0,5753450,0,3308 95,3,2024-09-07 08:29:11:716,1,105,8,0,307,1755,105,0 96,0,2024-09-07 08:29:11:029,25210,0.6,25200,0.7,50250,0.6,66696,1.75 96,1,2024-09-07 08:29:11:586,184350,184350,0,0,86199937098,904170157,182432,1347,571,385,391596,0 96,2,2024-09-07 08:29:11:268,136730,136730,0,0,6096490,0,4038 96,3,2024-09-07 08:29:11:140,1,105,150,0,188,1250,105,0 97,0,2024-09-07 08:29:11:385,23708,0.3,23877,0.5,47719,0.3,63849,1.75 97,1,2024-09-07 08:29:10:766,184658,184658,0,0,86438531000,902490972,182430,1709,519,367,392140,0 97,2,2024-09-07 08:29:10:607,130469,130469,0,0,5112763,0,3036 97,3,2024-09-07 08:29:10:575,1,105,60,1,165,1334,105,0 98,0,2024-09-07 08:29:11:723,26583,0.2,26345,0.4,53296,0.2,70511,1.50 98,1,2024-09-07 08:29:10:571,184643,184643,0,0,86505545043,902763194,183397,1187,59,382,391997,0 98,2,2024-09-07 08:29:10:768,132188,132188,0,0,5365166,0,3080 98,3,2024-09-07 08:29:10:698,1,105,51,1,840,2643,105,0 99,0,2024-09-07 08:29:11:460,28169,0.3,28226,0.5,56316,0.3,74735,1.75 99,1,2024-09-07 08:29:11:724,185275,185275,0,0,86454310611,902054272,183761,1068,446,381,391744,0 99,2,2024-09-07 08:29:11:440,134260,134260,0,0,5776630,0,1858 99,3,2024-09-07 08:29:10:581,1,105,1,0,129,829,105,0 100,0,2024-09-07 08:29:11:483,27109,1.3,26994,1.8,53961,3.9,72251,2.50 100,1,2024-09-07 08:29:10:548,183576,183576,0,0,86273294841,917269667,179946,3143,487,381,391989,0 100,2,2024-09-07 08:29:11:828,134853,134842,11,0,7095885,0,5417 100,3,2024-09-07 08:29:11:735,1,105,1,0,443,2269,105,0 101,0,2024-09-07 08:29:11:784,23672,3.5,22988,1.8,45339,3.4,63105,3.25 101,1,2024-09-07 08:29:10:551,183387,183387,0,0,86625110966,917672857,180103,2045,1239,368,391709,0 101,2,2024-09-07 08:29:11:762,131975,131975,0,0,6849122,0,4644 101,3,2024-09-07 08:29:10:945,1,105,8,0,448,1310,105,0 102,0,2024-09-07 08:29:10:951,27567,0.7,28567,0.9,57370,0.6,74943,2.00 102,1,2024-09-07 08:29:11:143,183692,183692,0,0,86043932752,908121621,180900,2276,516,369,391831,0 102,2,2024-09-07 08:29:11:742,131769,131769,0,0,5483555,0,2144 102,3,2024-09-07 08:29:11:617,1,105,4,0,410,1293,105,0 103,0,2024-09-07 08:29:11:634,28920,0.5,28921,0.7,54675,0.4,75296,2.00 103,1,2024-09-07 08:29:11:648,183718,183718,0,0,86695579326,914417129,181001,2005,712,381,391680,0 103,2,2024-09-07 08:29:10:582,132184,132184,0,0,6067666,0,2104 103,3,2024-09-07 08:29:10:755,1,105,916,0,916,2512,105,0 104,0,2024-09-07 08:29:11:021,27507,1.3,27473,1.2,54013,1.4,73822,2.50 104,1,2024-09-07 08:29:11:603,184474,184474,0,0,85955073912,908872303,181238,2659,577,366,391948,0 104,2,2024-09-07 08:29:11:679,131996,131996,0,0,6661270,0,3941 104,3,2024-09-07 08:29:11:417,1,105,39,1,1245,4537,105,0 105,0,2024-09-07 08:29:11:034,23689,1.7,22901,1.4,47755,2.6,64042,3.75 105,1,2024-09-07 08:29:10:555,184057,184057,0,0,86542296228,913458353,180402,2893,762,367,391797,0 105,2,2024-09-07 08:29:11:330,134930,134930,0,0,6361706,0,3314 105,3,2024-09-07 08:29:11:306,1,105,16,1,399,1868,105,0 106,0,2024-09-07 08:29:10:942,26278,0.4,26955,0.6,54667,0.4,71925,2.00 106,1,2024-09-07 08:29:11:751,184262,184262,0,0,86023520391,906091162,181400,2534,328,369,391767,0 106,2,2024-09-07 08:29:10:756,130372,130372,0,0,6264472,0,2795 106,3,2024-09-07 08:29:10:676,1,105,3,1,201,1150,105,0 107,0,2024-09-07 08:29:11:112,26921,0.5,26755,0.7,53364,0.4,71484,1.75 107,1,2024-09-07 08:29:10:590,183744,183744,0,0,86154436953,910952183,181081,2440,223,381,392234,0 107,2,2024-09-07 08:29:11:299,130554,130553,1,0,6486550,0,5024 107,3,2024-09-07 08:29:11:759,1,105,9,0,353,1522,105,0 108,0,2024-09-07 08:29:11:773,26918,0.5,27095,0.7,53517,0.5,71246,1.75 108,1,2024-09-07 08:29:11:293,184164,184164,0,0,87398147821,913811363,182332,1660,172,371,391857,0 108,2,2024-09-07 08:29:11:756,132297,132297,0,0,6019832,0,2647 108,3,2024-09-07 08:29:11:329,1,105,1,0,272,1605,105,0 109,0,2024-09-07 08:29:11:755,24660,0.6,24728,0.7,49128,0.7,66101,1.75 109,1,2024-09-07 08:29:10:586,183996,183996,0,0,86730230028,912573191,181958,1579,459,383,391812,0 109,2,2024-09-07 08:29:10:922,135668,135668,0,0,6312497,0,3617 109,3,2024-09-07 08:29:11:145,1,105,64,1,249,1685,105,0 110,0,2024-09-07 08:29:11:766,25200,0.3,24451,0.5,51106,0.2,67164,1.50 110,1,2024-09-07 08:29:11:643,184694,184694,0,0,86897730177,903849805,183211,1193,290,370,391667,0 110,2,2024-09-07 08:29:11:304,130709,130709,0,0,5082825,0,2915 110,3,2024-09-07 08:29:10:694,1,105,9,0,183,1128,105,0 111,0,2024-09-07 08:29:11:425,26118,0.2,25692,0.4,51455,0.1,68928,1.50 111,1,2024-09-07 08:29:11:000,184561,184561,0,0,86815837308,899129155,183778,766,17,382,391690,0 111,2,2024-09-07 08:29:11:117,130682,130682,0,0,5857061,0,2763 111,3,2024-09-07 08:29:10:913,1,105,0,0,300,1812,105,0 112,0,2024-09-07 08:29:10:915,27816,0.4,27737,0.5,55329,0.3,73548,1.50 112,1,2024-09-07 08:29:10:828,184697,184697,0,0,87044695112,907041483,183030,1321,346,381,391580,0 112,2,2024-09-07 08:29:11:133,133282,133281,1,0,6063168,0,5036 112,3,2024-09-07 08:29:10:594,1,105,1,0,282,1102,105,0 113,0,2024-09-07 08:29:10:892,25447,0.5,25301,0.6,51411,0.4,68075,1.75 113,1,2024-09-07 08:29:11:696,184820,184820,0,0,86550760440,897850949,182905,1531,384,368,391661,0 113,2,2024-09-07 08:29:11:305,137106,137106,0,0,5174671,0,3021 113,3,2024-09-07 08:29:10:684,1,105,8,0,288,1638,105,0 114,0,2024-09-07 08:29:10:885,23941,1.5,24284,0.8,47611,0.5,64589,2.00 114,1,2024-09-07 08:29:10:716,184757,184757,0,0,86612963120,907307943,182118,1758,881,381,391531,0 114,2,2024-09-07 08:29:10:873,131317,131317,0,0,5428233,0,3925 114,3,2024-09-07 08:29:11:278,1,105,28,0,395,1733,105,0 115,0,2024-09-07 08:29:10:561,27139,0.3,27418,0.4,54991,0.2,72569,1.50 115,1,2024-09-07 08:29:10:571,184946,184946,0,0,87296947969,911705653,182551,1907,488,382,391602,0 115,2,2024-09-07 08:29:11:131,131886,131886,0,0,4923374,0,2152 115,3,2024-09-07 08:29:11:002,1,105,2,0,159,613,105,0 116,0,2024-09-07 08:29:11:714,28498,0.8,28038,0.9,56626,0.9,75321,2.00 116,1,2024-09-07 08:29:10:804,183682,183682,0,0,86247915645,917992440,180251,2045,1386,382,391619,0 116,2,2024-09-07 08:29:11:788,132059,132059,0,0,6952756,0,3529 116,3,2024-09-07 08:29:10:912,1,105,1,1,252,1569,105,0 117,0,2024-09-07 08:29:10:962,27432,1.7,27478,1.3,54576,2.9,73750,2.00 117,1,2024-09-07 08:29:11:592,184460,184460,0,0,86168421029,909101624,181406,2658,396,370,392033,0 117,2,2024-09-07 08:29:11:119,134922,134922,0,0,5972063,0,3700 117,3,2024-09-07 08:29:11:065,1,105,11,0,490,2389,105,0 118,0,2024-09-07 08:29:11:782,22770,0.8,23364,0.9,47735,0.9,62656,2.25 118,1,2024-09-07 08:29:10:588,184144,184144,0,0,85799715298,902380852,181917,1992,235,368,391736,0 118,2,2024-09-07 08:29:11:589,134400,134400,0,0,6303346,0,2781 118,3,2024-09-07 08:29:11:781,1,105,138,0,235,1579,105,0 119,0,2024-09-07 08:29:11:374,28100,0.9,28087,0.9,57250,1.4,75705,2.00 119,1,2024-09-07 08:29:10:566,184625,184625,0,0,86361991165,905030725,182525,1819,281,370,391641,0 119,2,2024-09-07 08:29:11:269,130256,130256,0,0,5604816,0,2532 119,3,2024-09-07 08:29:11:326,1,105,1,0,443,2424,105,0 120,0,2024-09-07 08:29:11:563,27293,0.5,27292,0.8,54470,0.5,73091,2.00 120,1,2024-09-07 08:29:10:868,184415,184415,0,0,86129500533,906277402,182342,2029,44,368,391702,0 120,2,2024-09-07 08:29:10:770,131155,131154,1,0,7517965,0,5281 120,3,2024-09-07 08:29:11:292,1,105,1,0,241,1671,105,0 121,0,2024-09-07 08:29:11:727,26956,2.0,27260,1.3,54384,3.3,72822,2.25 121,1,2024-09-07 08:29:11:682,184118,184118,0,0,86494605249,909059702,181650,2186,282,367,391840,0 121,2,2024-09-07 08:29:11:134,131543,131543,0,0,7627490,0,4127 121,3,2024-09-07 08:29:10:728,1,105,12,0,159,1254,105,0 122,0,2024-09-07 08:29:11:809,24142,1.8,23464,1.5,48930,2.1,65296,2.25 122,1,2024-09-07 08:29:10:859,183483,183483,0,0,86363977273,913430908,179944,2898,641,367,392130,0 122,2,2024-09-07 08:29:11:327,136264,136264,0,0,7498152,0,3364 122,3,2024-09-07 08:29:10:600,1,105,112,0,298,2114,105,0 123,0,2024-09-07 08:29:10:971,26126,0.7,25428,0.8,53056,0.8,69659,2.00 123,1,2024-09-07 08:29:10:570,184729,184729,0,0,86640668203,916666158,180988,3168,573,369,391823,0 123,2,2024-09-07 08:29:11:020,129860,129859,1,0,6251599,0,5215 123,3,2024-09-07 08:29:11:132,1,105,138,1,168,1662,105,0 124,0,2024-09-07 08:29:10:970,26577,0.3,26576,0.4,50099,0.2,68839,1.50 124,1,2024-09-07 08:29:11:023,184693,184693,0,0,86348994055,896823609,183488,998,207,367,392178,0 124,2,2024-09-07 08:29:11:010,131083,131083,0,0,5490575,0,3101 124,3,2024-09-07 08:29:10:760,1,105,5,1,490,1831,105,0 125,0,2024-09-07 08:29:11:443,27076,0.5,26975,0.6,54035,0.6,71453,1.75 125,1,2024-09-07 08:29:10:860,184044,184044,0,0,86190589217,902439744,182061,1709,274,384,391702,0 125,2,2024-09-07 08:29:11:117,133394,133394,0,0,5475486,0,2180 125,3,2024-09-07 08:29:11:130,1,105,9,1,93,1303,105,0 126,0,2024-09-07 08:29:11:450,25187,0.9,25800,0.9,49520,1.0,67182,1.75 126,1,2024-09-07 08:29:10:552,185182,185182,0,0,86713200937,900028721,184039,1085,58,365,391987,0 126,2,2024-09-07 08:29:10:639,137228,137228,0,0,5687577,0,3186 126,3,2024-09-07 08:29:10:907,1,105,8,0,136,1506,105,0 127,0,2024-09-07 08:29:11:697,23690,0.3,23792,0.4,47799,0.2,63848,1.50 127,1,2024-09-07 08:29:10:569,184792,184792,0,0,86739055006,900915519,183122,1637,33,365,391614,0 127,2,2024-09-07 08:29:10:658,130975,130975,0,0,4838657,0,1803 127,3,2024-09-07 08:29:11:271,1,105,2,0,99,778,105,0 128,0,2024-09-07 08:29:11:547,26725,0.3,26721,0.4,52982,0.2,70493,1.50 128,1,2024-09-07 08:29:11:632,184800,184800,0,0,86539005576,896865133,184058,671,71,367,391680,0 128,2,2024-09-07 08:29:11:388,130681,130681,0,0,5531462,0,2107 128,3,2024-09-07 08:29:10:768,1,105,12,0,247,1347,105,0 129,0,2024-09-07 08:29:11:018,28318,0.3,28287,0.5,56282,0.3,74717,1.75 129,1,2024-09-07 08:29:10:579,183993,183993,0,0,86335031915,905747211,181831,1875,287,379,391835,0 129,2,2024-09-07 08:29:10:692,132866,132866,0,0,5169442,0,2446 129,3,2024-09-07 08:29:10:688,1,105,10,1,173,1485,105,0 130,0,2024-09-07 08:29:11:735,27470,1.2,27231,0.9,54644,1.7,72779,2.00 130,1,2024-09-07 08:29:10:605,184473,184473,0,0,86471234279,902061022,183132,1306,35,381,391825,0 130,2,2024-09-07 08:29:11:130,135032,135032,0,0,5804677,0,4067 130,3,2024-09-07 08:29:11:293,1,105,11,0,207,901,105,0 131,0,2024-09-07 08:29:11:960,23492,0.5,23376,0.7,47345,0.6,63292,1.75 131,1,2024-09-07 08:29:11:830,185570,185570,0,0,86463092982,901527688,184394,988,188,384,391865,0 131,2,2024-09-07 08:29:10:582,133157,133157,0,0,4998092,0,2415 131,3,2024-09-07 08:29:11:690,1,105,14,0,392,1289,105,0 132,0,2024-09-07 08:29:11:433,27977,0.5,28420,0.8,56928,0.5,75217,1.75 132,1,2024-09-07 08:29:10:577,183691,183691,0,0,85641175486,907232258,180270,2664,757,381,391719,0 132,2,2024-09-07 08:29:10:705,130709,130709,0,0,7568215,0,4606 132,3,2024-09-07 08:29:11:691,1,105,60,0,356,1871,105,0 133,0,2024-09-07 08:29:11:539,27490,0.5,27986,0.6,57637,0.4,74600,2.00 133,1,2024-09-07 08:29:10:597,183037,183037,0,0,86694131375,917240492,180130,2731,176,383,391755,0 133,2,2024-09-07 08:29:11:095,132199,132199,0,0,7146228,0,4315 133,3,2024-09-07 08:29:11:296,1,105,8,1,187,1003,105,0 134,0,2024-09-07 08:29:10:952,27580,0.8,27411,0.9,55255,0.9,73797,2.00 134,1,2024-09-07 08:29:10:629,184078,184078,0,0,85990173082,906420048,180702,2287,1089,366,391718,0 134,2,2024-09-07 08:29:11:787,132596,132596,0,0,6047586,0,3096 134,3,2024-09-07 08:29:10:758,1,105,52,0,739,2312,105,0 135,0,2024-09-07 08:29:11:131,23458,1.1,23273,1.3,49224,1.2,63939,2.25 135,1,2024-09-07 08:29:11:595,183659,183659,0,0,86573710127,914568427,180360,2599,700,380,391703,0 135,2,2024-09-07 08:29:10:688,135764,135764,0,0,6569658,0,3981 135,3,2024-09-07 08:29:11:003,1,105,2,0,89,725,105,0 136,0,2024-09-07 08:29:11:676,27223,0.4,27028,0.6,54345,0.3,72795,2.00 136,1,2024-09-07 08:29:11:445,183909,183909,0,0,86164134030,907441367,181208,2537,164,384,391641,0 136,2,2024-09-07 08:29:11:135,131656,131656,0,0,6077300,0,3506 136,3,2024-09-07 08:29:11:108,1,105,4,0,108,1003,105,0 137,0,2024-09-07 08:29:10:928,27471,0.6,26670,0.8,52882,0.5,71640,2.00 137,1,2024-09-07 08:29:10:584,184195,184195,0,0,86687132392,909114138,181697,2319,179,367,391608,0 137,2,2024-09-07 08:29:11:711,130746,130746,0,0,7564455,0,3185 137,3,2024-09-07 08:29:10:769,1,105,8,1,227,1408,105,0 138,0,2024-09-07 08:29:11:804,26111,2.2,26413,1.5,53443,3.5,71119,2.75 138,1,2024-09-07 08:29:11:745,184050,184050,0,0,86662776575,911824666,181158,2544,348,369,391954,0 138,2,2024-09-07 08:29:10:593,132586,132586,0,0,6273956,0,3263 138,3,2024-09-07 08:29:10:610,1,105,51,0,1160,2145,105,0 139,0,2024-09-07 08:29:11:376,23928,3.9,24000,2.1,48494,5.2,65270,3.50 139,1,2024-09-07 08:29:10:586,183605,183605,0,0,85992593578,918314299,179371,3037,1197,381,391892,0 139,2,2024-09-07 08:29:10:695,135534,135534,0,0,7350398,0,3097 139,3,2024-09-07 08:29:11:673,1,105,5,0,244,1561,105,0 140,0,2024-09-07 08:29:11:598,25171,0.3,25091,0.5,50341,0.2,67233,1.50 140,1,2024-09-07 08:29:11:543,185195,185195,0,0,87223322568,901566802,184049,931,215,365,391606,0 140,2,2024-09-07 08:29:10:687,131453,131453,0,0,5527392,0,3388 140,3,2024-09-07 08:29:10:767,1,105,34,0,34,675,105,0 141,0,2024-09-07 08:29:11:723,25725,0.2,26644,0.4,51092,0.1,68922,1.50 141,1,2024-09-07 08:29:10:859,184606,184606,0,0,87143647714,906963086,182979,1275,352,379,391539,0 141,2,2024-09-07 08:29:11:704,130692,130692,0,0,5173077,0,2342 141,3,2024-09-07 08:29:11:044,1,105,15,0,147,814,105,0 142,0,2024-09-07 08:29:11:317,28058,0.3,27691,0.5,55131,0.3,73634,1.75 142,1,2024-09-07 08:29:10:588,184940,184940,0,0,86700463546,902439377,184287,637,16,384,391649,0 142,2,2024-09-07 08:29:11:303,131843,131811,32,0,6867763,0,6028 142,3,2024-09-07 08:29:11:748,1,105,10,0,484,1746,105,0 143,0,2024-09-07 08:29:11:430,25521,1.0,25635,0.8,51568,1.2,67888,2.00 143,1,2024-09-07 08:29:10:559,184741,184741,0,0,86632332853,899895160,183353,1351,37,367,391619,0 143,2,2024-09-07 08:29:10:782,136090,136090,0,0,6017645,0,2669 143,3,2024-09-07 08:29:11:140,1,105,3,0,303,1854,105,0 144,0,2024-09-07 08:29:11:552,22977,0.8,23646,2.1,48031,0.7,64349,2.25 144,1,2024-09-07 08:29:10:565,184007,184007,0,0,85580867520,899671895,182263,1545,199,381,391638,0 144,2,2024-09-07 08:29:11:773,131975,131975,0,0,5282060,0,3473 144,3,2024-09-07 08:29:11:747,1,105,0,0,169,1416,105,0 145,0,2024-09-07 08:29:11:381,26402,0.6,26309,0.9,55739,0.5,72459,2.00 145,1,2024-09-07 08:29:10:562,183010,183010,0,0,86046316644,911191644,179634,2745,631,383,391615,0 145,2,2024-09-07 08:29:11:448,131207,131207,0,0,6487250,0,3903 145,3,2024-09-07 08:29:10:895,1,105,12,0,151,1375,105,0 146,0,2024-09-07 08:29:11:671,28253,0.5,28094,0.8,56668,0.5,74907,2.25 146,1,2024-09-07 08:29:11:600,184324,184324,0,0,86810096816,916497024,180627,3036,661,368,391600,0 146,2,2024-09-07 08:29:11:697,132238,132238,0,0,6276499,0,2379 146,3,2024-09-07 08:29:11:274,1,105,2,0,1520,3562,105,0 147,0,2024-09-07 08:29:11:726,27353,2.1,27279,1.4,53949,3.0,73662,2.75 147,1,2024-09-07 08:29:11:376,184945,184945,0,0,87052520429,906370924,182989,1598,358,368,391791,0 147,2,2024-09-07 08:29:11:010,134393,134393,0,0,5791886,0,2789 147,3,2024-09-07 08:29:10:923,1,105,2,0,141,1039,105,0 0,0,2024-09-07 08:29:21:751,26931,0.5,26906,0.7,56877,0.5,73897,1.75 0,1,2024-09-07 08:29:20:810,186033,186033,0,0,87291636121,915775931,184693,1211,129,372,391692,0 0,2,2024-09-07 08:29:21:102,132936,132936,0,0,5874921,0,4480 0,3,2024-09-07 08:29:20:989,1,106,34,0,247,1769,106,0 1,0,2024-09-07 08:29:21:819,27424,2.3,27098,1.6,54714,3.0,73199,2.50 1,1,2024-09-07 08:29:20:575,185714,185714,0,0,86936086230,913185535,183640,1539,535,372,391857,0 1,2,2024-09-07 08:29:20:648,133586,133586,0,0,5557208,0,3267 1,3,2024-09-07 08:29:21:302,1,106,9,0,167,1217,106,0 2,0,2024-09-07 08:29:21:575,24513,0.8,24592,1.0,48775,1.1,65946,2.00 2,1,2024-09-07 08:29:20:863,186051,186051,0,0,87119284968,906920739,184891,956,204,380,391558,0 2,2,2024-09-07 08:29:21:276,136831,136831,0,0,5774963,0,3304 2,3,2024-09-07 08:29:20:693,1,106,43,0,214,1079,106,0 3,0,2024-09-07 08:29:21:755,26590,0.4,26643,0.5,53161,0.3,70630,1.75 3,1,2024-09-07 08:29:21:619,185608,185608,0,0,87545780661,914561604,183436,1784,388,382,391516,0 3,2,2024-09-07 08:29:21:143,132359,132336,23,0,5969564,0,5851 3,3,2024-09-07 08:29:21:753,1,106,5,0,103,725,106,0 4,0,2024-09-07 08:29:21:795,25412,0.3,26058,0.4,52800,0.2,69616,1.50 4,1,2024-09-07 08:29:20:598,185974,185974,0,0,87531753312,924634725,183478,1977,519,371,391846,0 4,2,2024-09-07 08:29:21:036,131476,131476,0,0,7228130,0,4528 4,3,2024-09-07 08:29:21:035,1,106,21,1,287,1707,106,0 5,0,2024-09-07 08:29:21:390,27144,0.6,26950,0.7,54276,0.7,71758,1.75 5,1,2024-09-07 08:29:20:770,185199,185199,0,0,86839049843,919414201,181800,2467,932,368,392005,0 5,2,2024-09-07 08:29:21:834,133745,133745,0,0,6107426,0,2259 5,3,2024-09-07 08:29:21:748,1,106,8,0,238,1865,106,0 6,0,2024-09-07 08:29:20:926,25586,0.7,25320,1.0,50310,0.9,67963,2.25 6,1,2024-09-07 08:29:20:749,186567,186567,0,0,87642804664,915736000,185034,1458,75,381,391603,0 6,2,2024-09-07 08:29:21:119,138187,138187,0,0,6062325,0,2411 6,3,2024-09-07 08:29:21:277,1,106,1,0,340,1779,106,0 7,0,2024-09-07 08:29:21:562,24069,0.4,24082,0.5,48554,0.3,64944,1.75 7,1,2024-09-07 08:29:20:853,186422,186422,0,0,87254867488,913707461,184684,1673,65,383,391664,0 7,2,2024-09-07 08:29:20:770,131274,131274,0,0,5460206,0,2981 7,3,2024-09-07 08:29:20:851,1,106,1,0,305,1205,106,0 8,0,2024-09-07 08:29:21:369,26579,0.3,26845,0.5,52907,0.3,70806,1.50 8,1,2024-09-07 08:29:21:017,185841,185841,0,0,87498810256,928561157,181964,2592,1285,368,391724,0 8,2,2024-09-07 08:29:20:801,130775,130775,0,0,7181436,0,2986 8,3,2024-09-07 08:29:20:589,1,106,13,0,357,1806,106,0 9,0,2024-09-07 08:29:21:152,28229,0.4,27558,0.5,57550,0.3,74907,1.75 9,1,2024-09-07 08:29:20:554,185590,185590,0,0,86650829811,920747062,181818,2765,1007,370,391738,0 9,2,2024-09-07 08:29:21:096,133672,133672,0,0,6958067,0,3360 9,3,2024-09-07 08:29:21:752,1,106,16,0,496,1821,106,0 10,0,2024-09-07 08:29:21:609,27229,0.5,27255,0.7,54480,0.5,72269,1.75 10,1,2024-09-07 08:29:20:587,185701,185701,0,0,87094732394,919321681,182052,3125,524,381,391741,0 10,2,2024-09-07 08:29:20:762,136482,136482,0,0,6547764,0,2940 10,3,2024-09-07 08:29:20:874,1,106,127,0,136,835,106,0 11,0,2024-09-07 08:29:21:017,23277,0.5,22919,0.8,47821,0.5,64216,2.00 11,1,2024-09-07 08:29:20:574,185445,185445,0,0,86699598561,917024341,181438,2877,1130,384,391537,0 11,2,2024-09-07 08:29:21:130,133057,133057,0,0,6286481,0,3411 11,3,2024-09-07 08:29:21:302,1,106,105,0,720,2711,106,0 12,0,2024-09-07 08:29:21:010,28669,0.3,28529,0.5,57211,0.3,75453,1.50 12,1,2024-09-07 08:29:20:949,185578,185578,0,0,86741138413,911143545,182985,2186,407,371,391837,0 12,2,2024-09-07 08:29:21:550,133569,133569,0,0,6427598,0,3469 12,3,2024-09-07 08:29:21:068,1,106,3,0,358,1947,106,0 13,0,2024-09-07 08:29:21:429,28406,0.4,28530,0.5,56902,0.4,75714,1.75 13,1,2024-09-07 08:29:21:547,186384,186384,0,0,87523900072,919901245,184753,1264,367,384,391717,0 13,2,2024-09-07 08:29:20:608,134503,134503,0,0,5630011,0,3287 13,3,2024-09-07 08:29:21:774,1,106,10,1,416,2094,106,0 14,0,2024-09-07 08:29:20:571,27936,0.6,28140,0.8,55766,0.6,74479,2.00 14,1,2024-09-07 08:29:21:563,187151,187151,0,0,87821238023,913222230,185406,1584,161,364,391571,0 14,2,2024-09-07 08:29:20:764,134002,134002,0,0,6085380,0,2896 14,3,2024-09-07 08:29:21:119,1,106,1,0,1168,2559,106,0 15,0,2024-09-07 08:29:21:561,24311,0.6,24129,1.0,48333,0.7,64701,2.00 15,1,2024-09-07 08:29:21:610,185767,185767,0,0,87538997258,911083541,184820,912,35,381,391536,0 15,2,2024-09-07 08:29:21:009,136805,136805,0,0,5036759,0,3043 15,3,2024-09-07 08:29:21:413,1,106,3,0,1126,3688,106,0 16,0,2024-09-07 08:29:20:970,27386,0.4,27701,0.6,54821,0.4,73717,2.00 16,1,2024-09-07 08:29:20:563,186245,186245,0,0,87479715249,914982770,185068,1149,28,372,391756,0 16,2,2024-09-07 08:29:21:434,131609,131609,0,0,6208143,0,4719 16,3,2024-09-07 08:29:21:154,1,106,3,0,231,1535,106,0 17,0,2024-09-07 08:29:21:819,27892,0.5,27277,0.6,53473,0.4,72387,1.75 17,1,2024-09-07 08:29:20:581,185703,185703,0,0,86939144625,916856805,183495,1679,529,369,391688,0 17,2,2024-09-07 08:29:21:691,133846,133846,0,0,5289323,0,2857 17,3,2024-09-07 08:29:20:581,1,106,8,0,268,1875,106,0 18,0,2024-09-07 08:29:20:956,26172,1.1,26679,1.1,53514,1.4,70900,2.25 18,1,2024-09-07 08:29:21:640,186178,186178,0,0,87166806956,905550949,184912,1048,218,368,391564,0 18,2,2024-09-07 08:29:21:755,133861,133861,0,0,6053136,0,3541 18,3,2024-09-07 08:29:20:896,1,106,1,0,163,1122,106,0 19,0,2024-09-07 08:29:21:555,24507,1.0,24689,1.1,48925,1.2,65173,3.25 19,1,2024-09-07 08:29:20:571,186348,186348,0,0,88020589747,917766032,184331,1851,166,367,391777,0 19,2,2024-09-07 08:29:21:753,138419,138419,0,0,5593711,0,3988 19,3,2024-09-07 08:29:21:132,1,106,1,0,524,1274,106,0 20,0,2024-09-07 08:29:21:428,25616,0.5,25558,0.6,51064,0.4,67917,2.00 20,1,2024-09-07 08:29:20:582,186229,186229,0,0,87410812992,917209863,184226,1826,177,370,391598,0 20,2,2024-09-07 08:29:20:941,131490,131490,0,0,5720962,0,3721 20,3,2024-09-07 08:29:20:603,1,106,1,0,414,2070,106,0 21,0,2024-09-07 08:29:21:200,26319,0.4,26208,0.5,52301,0.3,69143,1.75 21,1,2024-09-07 08:29:21:557,185466,185466,0,0,86616639919,913922403,182919,2042,505,368,391962,0 21,2,2024-09-07 08:29:21:109,132299,132299,0,0,6154508,0,3747 21,3,2024-09-07 08:29:21:407,1,106,17,0,93,1377,106,0 22,0,2024-09-07 08:29:21:765,27404,1.2,27444,1.1,54787,1.5,72842,2.50 22,1,2024-09-07 08:29:21:034,185441,185441,0,0,86693885991,919932790,180974,3096,1371,382,391667,0 22,2,2024-09-07 08:29:20:765,134256,134256,0,0,5700400,0,3134 22,3,2024-09-07 08:29:21:073,1,106,78,0,228,1140,106,0 23,0,2024-09-07 08:29:21:375,25467,0.8,25618,0.9,51335,0.8,68219,2.25 23,1,2024-09-07 08:29:21:003,186517,186517,0,0,88244524177,920651582,184276,1670,571,365,391549,0 23,2,2024-09-07 08:29:21:095,137441,137441,0,0,5706583,0,2078 23,3,2024-09-07 08:29:21:773,1,106,1,0,645,1556,106,0 24,0,2024-09-07 08:29:20:836,24515,0.5,24496,0.7,49250,0.5,65747,1.75 24,1,2024-09-07 08:29:20:598,185462,185462,0,0,87109658804,916077903,183122,1577,763,369,391640,0 24,2,2024-09-07 08:29:21:109,131605,131605,0,0,7221115,0,2942 24,3,2024-09-07 08:29:21:707,1,106,8,0,234,1471,106,0 25,0,2024-09-07 08:29:21:365,28166,0.4,27522,0.6,53857,0.4,73102,1.75 25,1,2024-09-07 08:29:20:560,185474,185474,0,0,87207549010,919386129,182714,2317,443,371,391788,0 25,2,2024-09-07 08:29:21:612,131462,131462,0,0,7269353,0,3978 25,3,2024-09-07 08:29:21:008,1,106,8,0,158,1246,106,0 26,0,2024-09-07 08:29:21:726,28217,0.4,27603,0.6,57861,0.3,75547,1.75 26,1,2024-09-07 08:29:21:548,186237,186237,0,0,86755101676,917020660,182371,3023,843,381,391564,0 26,2,2024-09-07 08:29:20:863,134009,134009,0,0,6785680,0,2809 26,3,2024-09-07 08:29:21:713,1,106,1,0,796,1456,106,0 27,0,2024-09-07 08:29:21:728,27823,0.6,28007,0.8,55509,0.6,74331,1.75 27,1,2024-09-07 08:29:21:676,186581,186581,0,0,88366684203,920707014,184840,1419,322,381,391539,0 27,2,2024-09-07 08:29:20:867,134404,134404,0,0,7386321,0,3409 27,3,2024-09-07 08:29:21:019,1,106,1,0,564,1436,106,0 28,0,2024-09-07 08:29:21:395,23576,0.6,23974,0.8,47892,0.8,64160,2.25 28,1,2024-09-07 08:29:20:812,186311,186311,0,0,88243599332,920025362,184878,1231,202,383,391646,0 28,2,2024-09-07 08:29:21:778,134925,134925,0,0,5168654,0,2915 28,3,2024-09-07 08:29:21:775,1,106,0,0,502,1384,106,0 29,0,2024-09-07 08:29:21:369,29273,0.4,28527,0.6,55914,0.4,76485,1.75 29,1,2024-09-07 08:29:21:573,187109,187109,0,0,87385367713,907450524,185355,1260,494,369,391621,0 29,2,2024-09-07 08:29:20:863,132700,132700,0,0,4934001,0,4018 29,3,2024-09-07 08:29:20:973,1,106,8,0,105,869,106,0 30,0,2024-09-07 08:29:21:463,27681,0.8,26867,0.9,56162,0.8,73900,2.25 30,1,2024-09-07 08:29:20:579,186470,186470,0,0,87962062123,916668584,185064,1224,182,382,391672,0 30,2,2024-09-07 08:29:21:273,133954,133954,0,0,5051704,0,3161 30,3,2024-09-07 08:29:20:584,1,106,61,0,195,850,106,0 31,0,2024-09-07 08:29:21:765,27118,0.9,27232,0.9,55043,1.0,72942,2.25 31,1,2024-09-07 08:29:20:566,187208,187208,0,0,87879615802,900247855,186904,302,2,356,391553,0 31,2,2024-09-07 08:29:21:278,133843,133843,0,0,6186444,0,3525 31,3,2024-09-07 08:29:21:706,1,106,54,0,129,818,106,0 32,0,2024-09-07 08:29:21:427,24771,0.8,24936,0.9,49798,0.8,66502,1.75 32,1,2024-09-07 08:29:20:806,186954,186954,0,0,87360767912,907711365,185883,1042,29,382,391595,0 32,2,2024-09-07 08:29:20:941,137383,137383,0,0,5413753,0,3155 32,3,2024-09-07 08:29:21:020,1,106,1,0,227,1187,106,0 33,0,2024-09-07 08:29:21:516,26701,0.2,26393,0.4,53469,0.2,70634,1.50 33,1,2024-09-07 08:29:20:582,187221,187221,0,0,87956724406,912674196,185503,1595,123,369,391730,0 33,2,2024-09-07 08:29:20:762,132306,132273,33,0,6507089,0,7012 33,3,2024-09-07 08:29:20:895,1,106,8,0,211,1037,106,0 34,0,2024-09-07 08:29:20:945,26135,0.3,26960,0.5,51797,0.2,69619,1.75 34,1,2024-09-07 08:29:21:044,187256,187256,0,0,87774896666,904501957,186617,634,5,367,391547,0 34,2,2024-09-07 08:29:20:767,131873,131873,0,0,5803786,0,3255 34,3,2024-09-07 08:29:21:694,1,106,1,0,148,795,106,0 35,0,2024-09-07 08:29:20:862,26852,0.6,27167,0.7,54008,0.6,71864,1.75 35,1,2024-09-07 08:29:21:094,186254,186254,0,0,87258258833,907426917,184609,1283,362,384,391587,0 35,2,2024-09-07 08:29:21:584,133620,133620,0,0,5299555,0,2542 35,3,2024-09-07 08:29:20:911,1,106,4,0,219,1257,106,0 36,0,2024-09-07 08:29:21:523,25385,1.2,25266,1.2,51205,1.4,67788,2.50 36,1,2024-09-07 08:29:20:586,186171,186171,0,0,87187060103,914794596,183052,2187,932,366,391543,0 36,2,2024-09-07 08:29:21:756,137186,137186,0,0,6860783,0,3303 36,3,2024-09-07 08:29:20:863,1,106,1,0,378,1206,106,0 37,0,2024-09-07 08:29:21:390,24266,0.4,24195,0.6,48427,0.3,65045,1.75 37,1,2024-09-07 08:29:20:574,185490,185483,0,7,87057609540,918708839,182119,1823,1541,365,391518,0 37,2,2024-09-07 08:29:21:159,132050,132050,0,0,5152931,0,2333 37,3,2024-09-07 08:29:21:774,1,106,8,0,724,2178,106,0 38,0,2024-09-07 08:29:21:452,26494,0.4,25699,0.6,53731,0.4,70284,1.75 38,1,2024-09-07 08:29:21:613,187040,187040,0,0,87213932676,914043334,184178,2341,521,368,391821,0 38,2,2024-09-07 08:29:20:762,132766,132766,0,0,6289510,0,3245 38,3,2024-09-07 08:29:20:999,1,106,0,0,603,1592,106,0 39,0,2024-09-07 08:29:21:776,28996,0.8,28360,0.8,55348,0.9,75131,2.00 39,1,2024-09-07 08:29:20:729,186178,186178,0,0,87026450493,911207073,183234,2276,668,365,391524,0 39,2,2024-09-07 08:29:21:422,134272,134272,0,0,5861748,0,2689 39,3,2024-09-07 08:29:20:724,1,106,1,0,276,1592,106,0 40,0,2024-09-07 08:29:21:499,26612,1.3,27207,1.7,53951,2.0,71700,3.50 40,1,2024-09-07 08:29:20:582,185660,185660,0,0,86157016045,908593012,181886,3009,765,369,391591,0 40,2,2024-09-07 08:29:21:302,136620,136619,1,0,7702161,0,5137 40,3,2024-09-07 08:29:21:145,1,106,15,0,181,1302,106,0 41,0,2024-09-07 08:29:21:033,23235,1.9,23781,2.1,45456,3.1,62897,4.25 41,1,2024-09-07 08:29:20:768,186027,186027,0,0,87650791822,923240596,182448,3062,517,370,391742,0 41,2,2024-09-07 08:29:20:761,132591,132591,0,0,6962846,0,3356 41,3,2024-09-07 08:29:21:677,1,106,20,0,366,1443,106,0 42,0,2024-09-07 08:29:21:482,27861,0.7,28101,0.9,55791,0.6,73620,2.50 42,1,2024-09-07 08:29:21:439,185445,185445,0,0,87323038053,920678977,182233,2381,831,380,391675,0 42,2,2024-09-07 08:29:21:137,131720,131720,0,0,6940667,0,3568 42,3,2024-09-07 08:29:21:009,1,106,1,0,100,816,106,0 43,0,2024-09-07 08:29:20:974,28380,0.8,27543,1.0,57658,0.9,75784,2.25 43,1,2024-09-07 08:29:20:576,185533,185533,0,0,87682270112,917920892,182913,1868,752,366,391604,0 43,2,2024-09-07 08:29:21:735,134205,134205,0,0,6814864,0,3812 43,3,2024-09-07 08:29:21:752,1,106,2,0,325,1845,106,0 44,0,2024-09-07 08:29:20:884,28063,0.6,27903,0.9,56055,0.7,75064,2.00 44,1,2024-09-07 08:29:20:564,186506,186506,0,0,86950920177,899633183,185199,1193,114,356,391662,0 44,2,2024-09-07 08:29:21:269,134048,134048,0,0,4769824,0,1865 44,3,2024-09-07 08:29:21:096,1,106,2,0,817,1478,106,0 45,0,2024-09-07 08:29:21:768,23931,1.1,23415,1.1,49042,1.3,65159,2.25 45,1,2024-09-07 08:29:21:009,186690,186690,0,0,87549504371,908693163,185994,694,2,382,391917,0 45,2,2024-09-07 08:29:21:270,136790,136790,0,0,5840274,0,3596 45,3,2024-09-07 08:29:20:940,1,106,8,0,226,1011,106,0 46,0,2024-09-07 08:29:20:965,27469,0.3,27525,0.5,54795,0.2,72983,1.75 46,1,2024-09-07 08:29:20:619,186718,186718,0,0,87500691112,904289477,185762,918,38,366,391514,0 46,2,2024-09-07 08:29:20:609,132937,132937,0,0,5437736,0,2920 46,3,2024-09-07 08:29:21:142,1,106,1,0,363,1730,106,0 47,0,2024-09-07 08:29:21:122,27095,0.3,27313,0.5,54638,0.3,72159,1.75 47,1,2024-09-07 08:29:20:571,186860,186860,0,0,87265005035,901922140,185940,910,10,366,391605,0 47,2,2024-09-07 08:29:20:913,133552,133552,0,0,5700578,0,2558 47,3,2024-09-07 08:29:21:114,1,106,4,0,529,1237,106,0 48,0,2024-09-07 08:29:21:501,27191,0.3,26907,0.5,53735,0.3,71585,1.75 48,1,2024-09-07 08:29:21:029,186492,186492,0,0,87450269417,908643785,185202,1283,7,384,391710,0 48,2,2024-09-07 08:29:20:709,133747,133747,0,0,4743471,0,2083 48,3,2024-09-07 08:29:20:757,1,106,1,0,69,854,106,0 49,0,2024-09-07 08:29:21:721,25472,0.6,24874,0.7,48682,0.6,66703,1.75 49,1,2024-09-07 08:29:21:027,185906,185906,0,0,87645244692,915422272,184131,1064,711,382,391583,0 49,2,2024-09-07 08:29:21:799,138014,138014,0,0,5864911,0,3900 49,3,2024-09-07 08:29:21:420,1,106,14,0,274,1061,106,0 50,0,2024-09-07 08:29:21:523,25803,0.2,25333,0.4,50993,0.2,68530,1.50 50,1,2024-09-07 08:29:21:020,187441,187441,0,0,88248241284,917019268,185516,1628,297,368,391530,0 50,2,2024-09-07 08:29:21:067,131899,131899,0,0,4979040,0,2253 50,3,2024-09-07 08:29:21:291,1,106,1,0,335,1062,106,0 51,0,2024-09-07 08:29:21:692,26707,0.2,26190,0.4,51238,0.2,69406,1.50 51,1,2024-09-07 08:29:21:682,186527,186527,0,0,88085033852,914339635,184717,1026,784,367,391637,0 51,2,2024-09-07 08:29:21:318,131988,131988,0,0,4262560,0,2448 51,3,2024-09-07 08:29:21:027,1,106,2,0,162,640,106,0 52,0,2024-09-07 08:29:21:455,27769,0.7,27827,0.8,55740,0.7,73362,2.25 52,1,2024-09-07 08:29:20:577,185850,185850,0,0,87570546021,922338973,182259,2885,706,368,391722,0 52,2,2024-09-07 08:29:21:765,133624,133624,0,0,6456794,0,4779 52,3,2024-09-07 08:29:20:682,1,106,3,0,1782,2707,106,0 53,0,2024-09-07 08:29:21:750,25415,1.4,24603,1.2,51269,1.7,67415,2.75 53,1,2024-09-07 08:29:20:772,185861,185861,0,0,88134988658,925399982,182768,2512,581,370,391617,0 53,2,2024-09-07 08:29:21:299,137941,137941,0,0,5387224,0,1902 53,3,2024-09-07 08:29:20:708,1,106,8,0,133,831,106,0 54,0,2024-09-07 08:29:21:633,23654,2.0,23992,1.2,47192,0.7,64843,4.00 54,1,2024-09-07 08:29:20:581,186167,186167,0,0,88301648654,924740176,182995,2628,544,367,391520,0 54,2,2024-09-07 08:29:20:867,132475,132469,6,0,6280279,0,5382 54,3,2024-09-07 08:29:20:764,1,106,1,0,676,2179,106,0 55,0,2024-09-07 08:29:21:765,26360,0.6,27105,0.8,55012,0.5,70973,2.25 55,1,2024-09-07 08:29:20:771,185266,185266,0,0,87003191737,915809349,180890,3591,785,367,391731,0 55,2,2024-09-07 08:29:20:751,131952,131952,0,0,6020566,0,3275 55,3,2024-09-07 08:29:20:680,1,106,5,0,304,1316,106,0 56,0,2024-09-07 08:29:21:582,29102,1.6,27542,1.2,56589,2.1,75699,2.50 56,1,2024-09-07 08:29:20:624,185364,185364,0,0,87730888380,935039367,180862,3652,850,382,391678,0 56,2,2024-09-07 08:29:21:332,134112,134112,0,0,7445849,0,3567 56,3,2024-09-07 08:29:21:074,1,106,81,0,297,1541,106,0 57,0,2024-09-07 08:29:20:998,27215,2.3,27023,1.5,54491,3.4,73012,4.00 57,1,2024-09-07 08:29:21:017,185575,185575,0,0,87053543319,914718354,183171,2234,170,368,391773,0 57,2,2024-09-07 08:29:21:322,135728,135728,0,0,7334561,0,3178 57,3,2024-09-07 08:29:21:744,1,106,184,0,359,2288,106,0 58,0,2024-09-07 08:29:20:566,22974,1.2,22401,1.2,47001,1.4,62027,3.00 58,1,2024-09-07 08:29:20:579,186868,186865,0,3,88371276502,922824794,184623,2015,227,369,391516,3 58,2,2024-09-07 08:29:21:088,134589,134589,0,0,6508810,0,2549 58,3,2024-09-07 08:29:21:084,1,106,1,0,219,995,106,0 59,0,2024-09-07 08:29:21:754,28601,0.9,28195,1.0,56780,1.0,75259,3.00 59,1,2024-09-07 08:29:20:811,185602,185602,0,0,87108062690,917113710,182317,2363,922,369,391515,0 59,2,2024-09-07 08:29:20:586,133256,133256,0,0,5790710,0,2604 59,3,2024-09-07 08:29:21:743,1,106,0,0,1015,2342,106,0 60,0,2024-09-07 08:29:21:767,27963,0.4,28106,0.6,55460,0.4,73982,1.75 60,1,2024-09-07 08:29:20:801,187754,187754,0,0,87502015271,909750440,186517,887,350,370,391761,0 60,2,2024-09-07 08:29:21:149,133013,133013,0,0,6038555,0,3811 60,3,2024-09-07 08:29:21:259,1,106,3,0,124,1108,106,0 61,0,2024-09-07 08:29:21:509,27199,2.0,27368,1.3,54730,3.2,73090,2.25 61,1,2024-09-07 08:29:20:774,185589,185589,0,0,87601621273,921336511,182962,2090,537,382,391589,0 61,2,2024-09-07 08:29:21:141,133769,133769,0,0,5349230,0,1846 61,3,2024-09-07 08:29:21:690,1,106,12,0,199,1450,106,0 62,0,2024-09-07 08:29:21:718,24739,0.9,25369,1.0,48397,1.1,65842,2.00 62,1,2024-09-07 08:29:21:112,187316,187310,0,6,88355572395,913740058,185931,1341,38,365,391715,6 62,2,2024-09-07 08:29:21:643,136227,136226,1,0,6596966,0,5555 62,3,2024-09-07 08:29:21:177,1,106,1,0,287,749,106,0 63,0,2024-09-07 08:29:21:457,26482,0.3,26562,0.5,53069,0.3,70610,1.75 63,1,2024-09-07 08:29:20:811,187138,187132,0,6,87712147394,908385870,186456,673,3,381,391540,6 63,2,2024-09-07 08:29:20:762,131625,131625,0,0,5131962,0,2674 63,3,2024-09-07 08:29:21:740,1,106,1,0,667,2006,106,0 64,0,2024-09-07 08:29:21:518,26001,0.4,25888,0.6,52026,0.4,69545,1.75 64,1,2024-09-07 08:29:20:754,186512,186512,0,0,87450140334,916883586,183942,1775,795,371,391783,0 64,2,2024-09-07 08:29:21:149,133143,133124,19,0,5891964,0,6121 64,3,2024-09-07 08:29:21:177,1,106,6,0,265,1148,106,0 65,0,2024-09-07 08:29:21:691,26381,1.7,26745,1.2,53491,2.1,71125,3.00 65,1,2024-09-07 08:29:20:863,185980,185980,0,0,87239659377,913480989,184147,1612,221,382,391770,0 65,2,2024-09-07 08:29:21:693,134604,134604,0,0,6187957,0,3367 65,3,2024-09-07 08:29:21:694,1,106,22,0,163,1161,106,0 66,0,2024-09-07 08:29:21:793,24959,0.5,25105,0.8,50376,0.5,67193,2.25 66,1,2024-09-07 08:29:21:294,187023,187023,0,0,87466259454,906905832,186278,722,23,380,391588,0 66,2,2024-09-07 08:29:21:138,139097,139097,0,0,5575471,0,3867 66,3,2024-09-07 08:29:21:081,1,106,1,0,291,1271,106,0 67,0,2024-09-07 08:29:21:417,24500,0.4,24411,0.6,48633,0.3,64793,2.00 67,1,2024-09-07 08:29:20:766,186053,186052,0,1,87603426224,916756425,183984,1543,525,381,391787,1 67,2,2024-09-07 08:29:20:586,132664,132664,0,0,5030627,0,2889 67,3,2024-09-07 08:29:21:749,1,106,25,0,138,903,106,0 68,0,2024-09-07 08:29:20:591,26784,0.5,26702,0.7,53174,0.5,70635,2.00 68,1,2024-09-07 08:29:20:585,185985,185985,0,0,87242422348,915472455,184288,1175,522,381,391953,0 68,2,2024-09-07 08:29:21:048,131669,131669,0,0,6339522,0,4883 68,3,2024-09-07 08:29:20:732,1,106,4,0,151,1139,106,0 69,0,2024-09-07 08:29:21:748,28139,1.1,28305,1.0,56473,1.5,74877,2.50 69,1,2024-09-07 08:29:21:028,185503,185503,0,0,87480802617,927177513,182737,1688,1078,384,391611,0 69,2,2024-09-07 08:29:21:745,134093,134093,0,0,7019324,0,3701 69,3,2024-09-07 08:29:20:762,1,106,18,0,238,1435,106,0 70,0,2024-09-07 08:29:21:539,26863,2.5,26958,1.8,54079,1.4,71434,3.75 70,1,2024-09-07 08:29:20:812,186562,186562,0,0,87928101010,914892265,184673,1430,459,368,391725,0 70,2,2024-09-07 08:29:21:333,136178,136178,0,0,6655946,0,4044 70,3,2024-09-07 08:29:20:748,1,106,1,0,178,676,106,0 71,0,2024-09-07 08:29:21:366,23216,1.9,23426,1.9,46403,2.9,63172,3.50 71,1,2024-09-07 08:29:21:596,186502,186502,0,0,87907242194,919452310,183955,2213,334,368,391682,0 71,2,2024-09-07 08:29:21:078,133447,133447,0,0,5801338,0,2146 71,3,2024-09-07 08:29:21:750,1,106,1,0,279,1430,106,0 72,0,2024-09-07 08:29:21:055,29287,0.6,28583,0.8,55953,0.5,75565,2.25 72,1,2024-09-07 08:29:21:026,185919,185919,0,0,87450377910,917114723,183139,2298,482,370,391819,0 72,2,2024-09-07 08:29:21:775,131496,131496,0,0,7669161,0,2570 72,3,2024-09-07 08:29:21:767,1,106,3,0,325,1487,106,0 73,0,2024-09-07 08:29:21:149,27789,0.4,28437,0.6,58141,0.4,75487,2.25 73,1,2024-09-07 08:29:20:781,186094,186094,0,0,87539374968,911986963,184507,1473,114,368,391627,0 73,2,2024-09-07 08:29:21:760,134533,134533,0,0,7079669,0,3482 73,3,2024-09-07 08:29:20:983,1,106,39,0,274,1728,106,0 74,0,2024-09-07 08:29:21:330,28107,0.9,28546,1.0,54529,1.2,74698,2.75 74,1,2024-09-07 08:29:20:636,185986,185986,0,0,87321545587,914639099,183709,1672,605,382,391511,0 74,2,2024-09-07 08:29:21:002,133632,133632,0,0,7392154,0,4253 74,3,2024-09-07 08:29:21:443,1,106,2,0,246,1562,106,0 75,0,2024-09-07 08:29:21:784,24328,1.1,24280,1.2,48451,1.0,65351,2.75 75,1,2024-09-07 08:29:21:585,185990,185990,0,0,87583614916,918654800,183657,1970,363,381,391579,0 75,2,2024-09-07 08:29:21:353,135918,135918,0,0,6733633,0,4766 75,3,2024-09-07 08:29:21:080,1,106,3,0,535,1452,106,0 76,0,2024-09-07 08:29:20:630,27602,0.3,27300,0.6,54331,0.2,73428,2.00 76,1,2024-09-07 08:29:20:805,185819,185819,0,0,86132690802,902581710,183934,1377,508,382,391530,0 76,2,2024-09-07 08:29:21:076,133285,133285,0,0,5387086,0,3064 76,3,2024-09-07 08:29:21:149,1,106,8,0,175,1214,106,0 77,0,2024-09-07 08:29:21:715,27080,0.6,27283,0.7,54606,0.6,71986,2.00 77,1,2024-09-07 08:29:20:828,186483,186483,0,0,87735342152,915444111,185328,1085,70,383,391555,0 77,2,2024-09-07 08:29:21:284,132971,132971,0,0,5615654,0,3890 77,3,2024-09-07 08:29:21:103,1,106,8,0,139,1085,106,0 78,0,2024-09-07 08:29:21:724,26907,0.7,26887,0.9,53804,0.8,71239,2.25 78,1,2024-09-07 08:29:20:610,186639,186639,0,0,87029963599,906631179,185044,1390,205,367,391589,0 78,2,2024-09-07 08:29:21:406,134413,134413,0,0,5169970,0,2114 78,3,2024-09-07 08:29:21:142,1,106,2,0,181,1386,106,0 79,0,2024-09-07 08:29:21:358,23950,0.6,24530,0.9,50172,0.6,65434,2.50 79,1,2024-09-07 08:29:20:571,186956,186956,0,0,87139507974,906166580,185018,1767,171,369,391682,0 79,2,2024-09-07 08:29:21:067,138264,138264,0,0,5786140,0,3212 79,3,2024-09-07 08:29:20:749,1,106,74,0,289,1435,106,0 80,0,2024-09-07 08:29:21:128,25354,0.5,26245,0.6,50426,0.4,68248,2.00 80,1,2024-09-07 08:29:21:624,185912,185912,0,0,87047168330,907467802,184010,1785,117,368,391673,0 80,2,2024-09-07 08:29:21:104,132535,132535,0,0,5405322,0,4433 80,3,2024-09-07 08:29:20:585,1,106,7,1,190,1730,106,0 81,0,2024-09-07 08:29:21:549,26165,0.5,26923,0.6,51571,0.5,69394,1.75 81,1,2024-09-07 08:29:21:655,186202,186202,0,0,86850129293,910157150,184255,1747,200,382,391680,0 81,2,2024-09-07 08:29:21:139,131537,131537,0,0,5948644,0,3993 81,3,2024-09-07 08:29:21:119,1,106,23,0,193,1062,106,0 82,0,2024-09-07 08:29:21:540,27560,0.7,27571,0.9,55394,0.7,73515,2.00 82,1,2024-09-07 08:29:20:586,185977,185973,0,4,87179957190,911636421,183788,1611,574,382,391558,4 82,2,2024-09-07 08:29:21:695,135047,135047,0,0,5089508,0,2230 82,3,2024-09-07 08:29:21:753,1,106,5,0,227,1571,106,0 83,0,2024-09-07 08:29:21:563,25643,1.2,25429,1.1,50551,1.5,67340,2.50 83,1,2024-09-07 08:29:20:557,186458,186458,0,0,87853791856,917580873,184959,1398,101,383,391553,0 83,2,2024-09-07 08:29:20:765,136973,136973,0,0,5900106,0,3119 83,3,2024-09-07 08:29:20:749,1,106,1,0,127,995,106,0 84,0,2024-09-07 08:29:21:794,24122,1.2,23870,1.3,48018,0.8,65317,2.75 84,1,2024-09-07 08:29:21:039,185332,185332,0,0,87236761938,917114416,182700,2238,394,369,391638,0 84,2,2024-09-07 08:29:20:598,132270,132270,0,0,5965046,0,3801 84,3,2024-09-07 08:29:21:177,1,106,1,1,85,1037,106,0 85,0,2024-09-07 08:29:21:026,26294,0.6,26306,0.8,55731,0.5,72188,2.25 85,1,2024-09-07 08:29:20:579,185259,185259,0,0,87546525936,928004411,181771,3013,475,383,391679,0 85,2,2024-09-07 08:29:20:867,132564,132564,0,0,6930581,0,3656 85,3,2024-09-07 08:29:20:687,1,106,15,0,115,1107,106,0 86,0,2024-09-07 08:29:20:895,28332,0.7,29265,0.7,56202,0.8,75605,2.00 86,1,2024-09-07 08:29:20:824,186127,186127,0,0,87749060565,920459529,183574,2274,279,367,391961,0 86,2,2024-09-07 08:29:20:855,133867,133866,1,0,7231839,0,5004 86,3,2024-09-07 08:29:20:593,1,106,1,0,199,1313,106,0 87,0,2024-09-07 08:29:21:296,27630,2.3,27560,1.4,55237,3.6,74204,2.75 87,1,2024-09-07 08:29:20:552,185686,185686,0,0,86600719618,910009210,183372,2174,140,366,391788,0 87,2,2024-09-07 08:29:21:076,135222,135222,0,0,5934898,0,3515 87,3,2024-09-07 08:29:21:798,1,106,9,1,335,1767,106,0 88,0,2024-09-07 08:29:21:471,23722,0.7,23991,0.8,47626,0.9,64180,2.00 88,1,2024-09-07 08:29:20:579,185131,185131,0,0,87129525456,918295555,182429,1927,775,367,391787,0 88,2,2024-09-07 08:29:20:689,134808,134808,0,0,7039184,0,3583 88,3,2024-09-07 08:29:21:269,1,106,1,1,77,1163,106,0 89,0,2024-09-07 08:29:21:853,29403,0.5,28592,0.8,56609,0.5,76644,1.75 89,1,2024-09-07 08:29:20:559,185184,185184,0,0,87153991195,928052528,181000,3378,806,382,391866,0 89,2,2024-09-07 08:29:21:161,132364,132364,0,0,6861255,0,2901 89,3,2024-09-07 08:29:21:792,1,106,23,0,325,2237,106,0 90,0,2024-09-07 08:29:21:626,27001,0.4,27563,0.6,56679,0.4,73978,1.75 90,1,2024-09-07 08:29:20:597,186211,186211,0,0,87015211478,918790710,183549,2506,156,382,391825,0 90,2,2024-09-07 08:29:21:422,132158,132158,0,0,7582276,0,2635 90,3,2024-09-07 08:29:20:940,1,106,5,1,200,1131,106,0 91,0,2024-09-07 08:29:21:100,27726,0.6,26829,0.7,55716,0.6,73525,1.75 91,1,2024-09-07 08:29:20:569,185664,185664,0,0,87555460412,925084162,182463,2708,493,383,391914,0 91,2,2024-09-07 08:29:21:365,132507,132507,0,0,7068738,0,2445 91,3,2024-09-07 08:29:20:606,1,106,2,0,155,1444,106,0 92,0,2024-09-07 08:29:21:463,25055,0.6,25608,0.8,48880,0.8,66595,1.75 92,1,2024-09-07 08:29:20:597,186154,186154,0,0,87152431075,910618641,184887,974,293,382,391717,0 92,2,2024-09-07 08:29:21:352,138593,138593,0,0,5839236,0,2279 92,3,2024-09-07 08:29:21:018,1,106,33,0,68,1026,106,0 93,0,2024-09-07 08:29:20:975,26671,0.3,27244,0.5,52142,0.2,70686,1.50 93,1,2024-09-07 08:29:20:808,186386,186386,0,0,87306116194,914377982,184301,1648,437,366,391689,0 93,2,2024-09-07 08:29:20:931,132172,132172,0,0,5479833,0,2509 93,3,2024-09-07 08:29:21:418,1,106,19,0,143,1044,106,0 94,0,2024-09-07 08:29:21:615,26263,0.3,26414,0.5,52325,0.3,69518,1.50 94,1,2024-09-07 08:29:20:565,186085,186085,0,0,87406099123,917564875,184064,1942,79,381,391850,0 94,2,2024-09-07 08:29:20:766,132211,132211,0,0,5258009,0,2443 94,3,2024-09-07 08:29:21:691,1,106,20,0,231,1744,106,0 95,0,2024-09-07 08:29:21:385,27059,0.4,27021,0.6,54379,0.4,71930,1.75 95,1,2024-09-07 08:29:20:852,186409,186409,0,0,87197981848,905930395,185488,857,64,367,391600,0 95,2,2024-09-07 08:29:21:017,134385,134385,0,0,5779033,0,3308 95,3,2024-09-07 08:29:21:711,1,106,12,0,307,1767,106,0 96,0,2024-09-07 08:29:21:081,25524,0.6,25488,0.7,50814,0.6,67621,1.75 96,1,2024-09-07 08:29:21:605,186174,186174,0,0,87209928297,915213142,184167,1436,571,385,391596,0 96,2,2024-09-07 08:29:21:268,138070,138070,0,0,6194519,0,4038 96,3,2024-09-07 08:29:21:159,1,106,1,0,188,1251,106,0 97,0,2024-09-07 08:29:21:340,24186,0.3,24328,0.5,48633,0.3,65028,1.75 97,1,2024-09-07 08:29:20:768,186387,186387,0,0,87243806230,910737367,184159,1709,519,367,392140,0 97,2,2024-09-07 08:29:20:615,131710,131710,0,0,5166928,0,3036 97,3,2024-09-07 08:29:20:569,1,106,13,1,165,1347,106,0 98,0,2024-09-07 08:29:21:724,26710,0.2,26469,0.4,53523,0.2,70822,1.50 98,1,2024-09-07 08:29:20:574,186471,186471,0,0,87265848816,910864563,185220,1192,59,382,391997,0 98,2,2024-09-07 08:29:20:769,133459,133459,0,0,5429749,0,3080 98,3,2024-09-07 08:29:20:697,1,106,3,1,840,2646,106,0 99,0,2024-09-07 08:29:21:501,28298,0.3,28344,0.5,56539,0.3,75082,1.75 99,1,2024-09-07 08:29:21:732,187103,187103,0,0,87386158435,911903624,185581,1076,446,381,391744,0 99,2,2024-09-07 08:29:21:418,135721,135721,0,0,5853771,0,1858 99,3,2024-09-07 08:29:20:588,1,106,1,0,129,830,106,0 100,0,2024-09-07 08:29:21:507,27137,1.3,27039,1.8,54032,3.9,72251,2.50 100,1,2024-09-07 08:29:20:550,185270,185270,0,0,87161267029,926470163,181640,3143,487,381,391989,0 100,2,2024-09-07 08:29:21:820,136178,136167,11,0,7169515,0,5417 100,3,2024-09-07 08:29:21:746,1,106,10,0,443,2279,106,0 101,0,2024-09-07 08:29:21:812,24046,3.4,23350,1.8,46036,3.3,64018,3.25 101,1,2024-09-07 08:29:20:564,185188,185188,0,0,87288037125,924561479,181904,2045,1239,368,391709,0 101,2,2024-09-07 08:29:21:779,132689,132689,0,0,6869549,0,4644 101,3,2024-09-07 08:29:20:949,1,106,10,0,448,1320,106,0 102,0,2024-09-07 08:29:21:002,27671,0.7,28659,0.9,57575,0.6,75185,2.00 102,1,2024-09-07 08:29:21:178,185531,185531,0,0,86958981674,917527844,182739,2276,516,369,391831,0 102,2,2024-09-07 08:29:21:744,133215,133215,0,0,5569293,0,2144 102,3,2024-09-07 08:29:21:613,1,106,1,0,410,1294,106,0 103,0,2024-09-07 08:29:21:609,29183,0.5,29165,0.7,55123,0.4,75891,2.00 103,1,2024-09-07 08:29:21:687,185528,185528,0,0,87468399220,922415745,182810,2006,712,381,391680,0 103,2,2024-09-07 08:29:20:590,133673,133673,0,0,6141685,0,2104 103,3,2024-09-07 08:29:20:757,1,106,1,0,916,2513,106,0 104,0,2024-09-07 08:29:21:041,27812,1.3,27803,1.2,54646,1.4,74757,2.50 104,1,2024-09-07 08:29:21:618,186295,186295,0,0,86798142957,917748678,183057,2661,577,366,391948,0 104,2,2024-09-07 08:29:21:668,133200,133200,0,0,6748028,0,3941 104,3,2024-09-07 08:29:21:434,1,106,22,1,1245,4559,106,0 105,0,2024-09-07 08:29:21:057,24006,1.7,23184,1.4,48409,2.6,64740,3.75 105,1,2024-09-07 08:29:20:559,185789,185789,0,0,87332246691,921607644,182134,2893,762,367,391797,0 105,2,2024-09-07 08:29:21:332,135915,135915,0,0,6394309,0,3314 105,3,2024-09-07 08:29:21:319,1,106,9,1,399,1877,106,0 106,0,2024-09-07 08:29:21:012,26645,0.5,27393,0.7,55195,0.4,72884,2.00 106,1,2024-09-07 08:29:21:780,186162,186162,0,0,86909292814,915496680,183292,2541,329,369,391767,0 106,2,2024-09-07 08:29:20:758,131905,131905,0,0,6385056,0,2795 106,3,2024-09-07 08:29:20:680,1,106,32,1,201,1182,106,0 107,0,2024-09-07 08:29:21:110,27223,0.5,27056,0.7,53974,0.4,72273,1.75 107,1,2024-09-07 08:29:20:588,185529,185529,0,0,86964138297,919501869,182866,2440,223,381,392234,0 107,2,2024-09-07 08:29:21:291,131952,131951,1,0,6638344,0,5024 107,3,2024-09-07 08:29:21:772,1,106,5,0,353,1527,106,0 108,0,2024-09-07 08:29:21:877,27092,0.5,27234,0.7,53840,0.5,71648,1.75 108,1,2024-09-07 08:29:21:360,186037,186037,0,0,88113231081,923055902,183828,1926,283,371,391857,0 108,2,2024-09-07 08:29:21:772,133355,133355,0,0,6175706,0,2647 108,3,2024-09-07 08:29:21:332,1,106,3,0,272,1608,106,0 109,0,2024-09-07 08:29:21:853,24907,0.6,24985,0.7,49665,0.6,66730,1.75 109,1,2024-09-07 08:29:20:607,185501,185501,0,0,87452124642,920421067,183444,1598,459,383,391812,0 109,2,2024-09-07 08:29:20:921,136874,136874,0,0,6428105,0,3617 109,3,2024-09-07 08:29:21:365,1,106,7,1,249,1692,106,0 110,0,2024-09-07 08:29:21:787,25564,0.3,24825,0.5,51872,0.2,68118,1.50 110,1,2024-09-07 08:29:21:651,186632,186632,0,0,87672965933,915356116,184492,1443,697,370,391667,0 110,2,2024-09-07 08:29:21:304,132002,132002,0,0,5330069,0,2915 110,3,2024-09-07 08:29:20:693,1,106,3,0,183,1131,106,0 111,0,2024-09-07 08:29:21:431,26294,0.2,25853,0.4,51761,0.1,69384,1.50 111,1,2024-09-07 08:29:21:009,186579,186579,0,0,87653059913,910809056,185206,1047,326,382,391690,0 111,2,2024-09-07 08:29:21:120,131886,131886,0,0,6084963,0,2763 111,3,2024-09-07 08:29:20:913,1,106,8,0,300,1820,106,0 112,0,2024-09-07 08:29:20:923,27922,0.4,27829,0.5,55516,0.3,73791,1.50 112,1,2024-09-07 08:29:20:830,186309,186309,0,0,87628126787,913275517,184642,1321,346,381,391580,0 112,2,2024-09-07 08:29:21:143,134627,134626,1,0,6129482,0,5036 112,3,2024-09-07 08:29:20:593,1,106,5,0,282,1107,106,0 113,0,2024-09-07 08:29:20:881,25533,0.5,25406,0.6,51586,0.4,68384,1.75 113,1,2024-09-07 08:29:21:703,186538,186538,0,0,87221086798,904954645,184601,1553,384,368,391661,0 113,2,2024-09-07 08:29:21:302,138370,138370,0,0,5277257,0,3021 113,3,2024-09-07 08:29:20:686,1,106,12,0,288,1650,106,0 114,0,2024-09-07 08:29:20:885,24377,1.4,24775,0.8,48640,0.5,65909,2.00 114,1,2024-09-07 08:29:20:729,186389,186389,0,0,87326672909,915064372,183735,1773,881,381,391531,0 114,2,2024-09-07 08:29:20:877,132282,132282,0,0,5483472,0,3925 114,3,2024-09-07 08:29:21:278,1,106,1,0,395,1734,106,0 115,0,2024-09-07 08:29:20:563,27243,0.3,27543,0.4,55217,0.2,72861,1.50 115,1,2024-09-07 08:29:20:573,186748,186748,0,0,88202311443,921062700,184353,1907,488,382,391602,0 115,2,2024-09-07 08:29:21:138,133300,133300,0,0,5004577,0,2152 115,3,2024-09-07 08:29:21:011,1,106,122,0,159,735,106,0 116,0,2024-09-07 08:29:21:789,28623,0.8,28160,0.9,56861,0.9,75574,2.00 116,1,2024-09-07 08:29:20:829,185375,185375,0,0,86959250780,925343163,181944,2045,1386,382,391619,0 116,2,2024-09-07 08:29:21:784,133527,133527,0,0,7002643,0,3529 116,3,2024-09-07 08:29:20:913,1,106,60,1,252,1629,106,0 117,0,2024-09-07 08:29:20:999,27648,1.7,27695,1.2,55043,2.9,74265,2.00 117,1,2024-09-07 08:29:21:578,186208,186208,0,0,87078069047,918489958,183153,2658,397,370,392033,0 117,2,2024-09-07 08:29:21:131,136301,136301,0,0,6010835,0,3700 117,3,2024-09-07 08:29:21:079,1,106,8,0,490,2397,106,0 118,0,2024-09-07 08:29:21:788,23219,0.8,23758,0.9,48579,0.9,63908,2.25 118,1,2024-09-07 08:29:20:586,185936,185936,0,0,86679148025,911443776,183709,1992,235,368,391736,0 118,2,2024-09-07 08:29:21:588,135090,135090,0,0,6336338,0,2781 118,3,2024-09-07 08:29:21:779,1,106,6,0,235,1585,106,0 119,0,2024-09-07 08:29:21:358,28296,1.0,28294,0.9,57609,1.5,75990,2.00 119,1,2024-09-07 08:29:20:555,186295,186295,0,0,86786834423,909495685,184195,1819,281,370,391641,0 119,2,2024-09-07 08:29:21:263,131767,131767,0,0,5652830,0,2532 119,3,2024-09-07 08:29:21:333,1,106,14,0,443,2438,106,0 120,0,2024-09-07 08:29:21:564,27595,0.6,27620,0.8,55053,0.5,73948,2.00 120,1,2024-09-07 08:29:20:864,186158,186158,0,0,86883296027,914089286,184085,2029,44,368,391702,0 120,2,2024-09-07 08:29:20:773,132650,132649,1,0,7619589,0,5281 120,3,2024-09-07 08:29:21:291,1,106,4,0,241,1675,106,0 121,0,2024-09-07 08:29:21:706,27162,1.9,27470,1.3,54785,3.2,73374,2.25 121,1,2024-09-07 08:29:21:655,185898,185898,0,0,87211003681,916506428,183430,2186,282,367,391840,0 121,2,2024-09-07 08:29:21:138,132681,132681,0,0,7665513,0,4127 121,3,2024-09-07 08:29:20:734,1,106,15,0,159,1269,106,0 122,0,2024-09-07 08:29:21:795,24568,1.8,23862,1.5,49793,2.1,66554,2.50 122,1,2024-09-07 08:29:20:861,185272,185272,0,0,87410330577,924151893,181733,2898,641,367,392130,0 122,2,2024-09-07 08:29:21:320,137376,137376,0,0,7558628,0,3364 122,3,2024-09-07 08:29:20:597,1,106,2,0,298,2116,106,0 123,0,2024-09-07 08:29:20:967,26419,0.7,25678,0.8,53669,0.8,70382,2.00 123,1,2024-09-07 08:29:20:567,186565,186565,0,0,87411078539,924663501,182824,3168,573,369,391823,0 123,2,2024-09-07 08:29:21:025,131319,131318,1,0,6332601,0,5215 123,3,2024-09-07 08:29:21:135,1,106,1,1,168,1663,106,0 124,0,2024-09-07 08:29:20:938,26928,0.3,26881,0.5,50704,0.2,69547,1.50 124,1,2024-09-07 08:29:21:030,186243,186243,0,0,87107672528,906490389,184652,1240,351,367,392178,0 124,2,2024-09-07 08:29:21:010,132327,132327,0,0,5619770,0,3101 124,3,2024-09-07 08:29:20:777,1,106,12,1,490,1843,106,0 125,0,2024-09-07 08:29:21:525,27231,0.5,27118,0.6,54390,0.6,71910,1.75 125,1,2024-09-07 08:29:20:855,185584,185584,0,0,87024661081,911308239,183593,1717,274,384,391702,0 125,2,2024-09-07 08:29:21:121,134366,134366,0,0,5607287,0,2180 125,3,2024-09-07 08:29:21:126,1,106,8,1,93,1311,106,0 126,0,2024-09-07 08:29:21:438,25458,0.9,26112,0.9,50050,1.0,67861,2.00 126,1,2024-09-07 08:29:20:557,186847,186847,0,0,87467995624,908216818,185669,1120,58,365,391987,0 126,2,2024-09-07 08:29:20:610,138461,138461,0,0,5790488,0,3186 126,3,2024-09-07 08:29:20:910,1,106,1,0,136,1507,106,0 127,0,2024-09-07 08:29:21:614,24117,0.3,24250,0.5,48647,0.2,64671,1.75 127,1,2024-09-07 08:29:20:570,186594,186594,0,0,87653405832,910386296,184923,1638,33,365,391614,0 127,2,2024-09-07 08:29:20:637,132117,132117,0,0,4895337,0,1803 127,3,2024-09-07 08:29:21:268,1,106,1,0,99,779,106,0 128,0,2024-09-07 08:29:21:530,26855,0.3,26827,0.4,53226,0.2,70811,1.50 128,1,2024-09-07 08:29:21:613,186490,186490,0,0,87488291456,906804567,185733,686,71,367,391680,0 128,2,2024-09-07 08:29:21:387,131828,131828,0,0,5584383,0,2107 128,3,2024-09-07 08:29:20:770,1,106,31,0,247,1378,106,0 129,0,2024-09-07 08:29:21:033,28444,0.3,28394,0.5,56528,0.3,75041,1.75 129,1,2024-09-07 08:29:20:568,185777,185777,0,0,87316546838,916050165,183614,1876,287,379,391835,0 129,2,2024-09-07 08:29:20:688,134300,134300,0,0,5259630,0,2446 129,3,2024-09-07 08:29:20:687,1,106,23,1,173,1508,106,0 130,0,2024-09-07 08:29:21:760,27511,1.2,27270,0.9,54721,1.7,72779,2.00 130,1,2024-09-07 08:29:20:586,186294,186294,0,0,87460524689,912298142,184952,1307,35,381,391825,0 130,2,2024-09-07 08:29:21:136,136485,136485,0,0,5850722,0,4067 130,3,2024-09-07 08:29:21:291,1,106,36,0,207,937,106,0 131,0,2024-09-07 08:29:21:935,23862,0.5,23761,0.7,48116,0.6,64204,1.75 131,1,2024-09-07 08:29:21:835,187239,187239,0,0,87389129708,911016877,186063,988,188,384,391865,0 131,2,2024-09-07 08:29:20:572,133818,133818,0,0,5040503,0,2415 131,3,2024-09-07 08:29:21:692,1,106,14,0,392,1303,106,0 132,0,2024-09-07 08:29:21:431,28071,0.5,28524,0.8,57108,0.5,75468,1.75 132,1,2024-09-07 08:29:20:584,185465,185465,0,0,86493064893,915978288,182044,2664,757,381,391719,0 132,2,2024-09-07 08:29:20:709,132273,132273,0,0,7603472,0,4606 132,3,2024-09-07 08:29:21:702,1,106,10,0,356,1881,106,0 133,0,2024-09-07 08:29:21:560,27697,0.5,28232,0.6,58105,0.4,75174,2.00 133,1,2024-09-07 08:29:20:584,184889,184889,0,0,87349811359,924004387,181982,2731,176,383,391755,0 133,2,2024-09-07 08:29:21:096,133699,133699,0,0,7198678,0,4315 133,3,2024-09-07 08:29:21:315,1,106,8,1,187,1011,106,0 134,0,2024-09-07 08:29:20:963,27893,0.8,27723,0.9,55907,0.8,74668,2.00 134,1,2024-09-07 08:29:20:589,185783,185783,0,0,86694919685,913682379,182407,2287,1089,366,391718,0 134,2,2024-09-07 08:29:21:774,133823,133823,0,0,6080021,0,3096 134,3,2024-09-07 08:29:20:750,1,106,2,0,739,2314,106,0 135,0,2024-09-07 08:29:21:112,23755,1.1,23578,1.2,49848,1.2,64689,2.25 135,1,2024-09-07 08:29:21:606,185386,185386,0,0,87247796992,921663874,182086,2600,700,380,391703,0 135,2,2024-09-07 08:29:20:687,136714,136714,0,0,6600716,0,3981 135,3,2024-09-07 08:29:21:002,1,106,2,0,89,727,106,0 136,0,2024-09-07 08:29:21:629,27702,0.4,27471,0.6,55302,0.4,74252,2.00 136,1,2024-09-07 08:29:21:450,185726,185726,0,0,86927997345,915440565,183024,2538,164,384,391641,0 136,2,2024-09-07 08:29:21:137,133147,133147,0,0,6222009,0,3506 136,3,2024-09-07 08:29:21:107,1,106,2,0,108,1005,106,0 137,0,2024-09-07 08:29:20:964,27800,0.6,26980,0.8,53525,0.5,72475,2.00 137,1,2024-09-07 08:29:20:586,186007,186007,0,0,87495253602,917501362,183509,2319,179,367,391608,0 137,2,2024-09-07 08:29:21:710,132095,132095,0,0,7675945,0,3185 137,3,2024-09-07 08:29:20:780,1,106,11,1,227,1419,106,0 138,0,2024-09-07 08:29:21:753,26281,2.2,26570,1.5,53749,3.5,71524,2.75 138,1,2024-09-07 08:29:21:701,185825,185825,0,0,87492142445,920448476,182933,2544,348,369,391954,0 138,2,2024-09-07 08:29:20:586,133824,133824,0,0,6376235,0,3263 138,3,2024-09-07 08:29:20:613,1,106,1,0,1160,2146,106,0 139,0,2024-09-07 08:29:21:371,24161,3.9,24255,2.1,48972,5.2,65868,3.50 139,1,2024-09-07 08:29:20:572,185374,185374,0,0,86905406766,928061017,181099,3077,1198,381,391892,0 139,2,2024-09-07 08:29:20:694,136715,136715,0,0,7421637,0,3097 139,3,2024-09-07 08:29:21:663,1,106,7,0,244,1568,106,0 140,0,2024-09-07 08:29:21:621,25566,0.3,25515,0.5,51161,0.2,68308,1.50 140,1,2024-09-07 08:29:21:540,186928,186928,0,0,88147046495,911060812,185782,931,215,365,391606,0 140,2,2024-09-07 08:29:20:688,132811,132811,0,0,5663942,0,3388 140,3,2024-09-07 08:29:20:768,1,106,4,0,34,679,106,0 141,0,2024-09-07 08:29:21:719,25896,0.2,26811,0.4,51414,0.1,69390,1.50 141,1,2024-09-07 08:29:20:860,186450,186450,0,0,87829219278,914432881,184787,1311,352,379,391539,0 141,2,2024-09-07 08:29:21:687,131991,131991,0,0,5226863,0,2342 141,3,2024-09-07 08:29:21:049,1,106,9,0,147,823,106,0 142,0,2024-09-07 08:29:21:387,28175,0.3,27789,0.5,55319,0.3,73893,1.75 142,1,2024-09-07 08:29:20:589,186319,186319,0,0,87727829922,913109719,185655,648,16,384,391649,0 142,2,2024-09-07 08:29:21:304,133164,133132,32,0,6925453,0,6028 142,3,2024-09-07 08:29:21:751,1,106,46,0,484,1792,106,0 143,0,2024-09-07 08:29:21:399,25621,0.9,25736,0.8,51799,1.2,68217,2.00 143,1,2024-09-07 08:29:20:558,186442,186442,0,0,87447363729,908631490,185029,1376,37,367,391619,0 143,2,2024-09-07 08:29:20:773,137396,137396,0,0,6117413,0,2669 143,3,2024-09-07 08:29:21:147,1,106,13,0,303,1867,106,0 144,0,2024-09-07 08:29:21:502,23412,0.8,24134,2.0,49034,0.7,65714,2.00 144,1,2024-09-07 08:29:20:571,185723,185723,0,0,86426231220,908715225,183968,1556,199,381,391638,0 144,2,2024-09-07 08:29:21:763,132912,132912,0,0,5339067,0,3473 144,3,2024-09-07 08:29:21:742,1,106,1,0,169,1417,106,0 145,0,2024-09-07 08:29:21:388,26498,0.6,26411,0.9,55965,0.5,72771,2.00 145,1,2024-09-07 08:29:20:558,184707,184707,0,0,87000021664,921061838,181331,2745,631,383,391615,0 145,2,2024-09-07 08:29:21:430,132636,132636,0,0,6530834,0,3903 145,3,2024-09-07 08:29:20:895,1,106,7,0,151,1382,106,0 146,0,2024-09-07 08:29:21:625,28377,0.5,28207,0.8,56890,0.5,75161,2.25 146,1,2024-09-07 08:29:21:589,186032,186032,0,0,87479930749,923434461,182335,3036,661,368,391600,0 146,2,2024-09-07 08:29:21:702,133717,133717,0,0,6337303,0,2379 146,3,2024-09-07 08:29:21:277,1,106,141,0,1520,3703,106,0 147,0,2024-09-07 08:29:21:730,27589,2.1,27491,1.4,54369,3.0,74185,2.75 147,1,2024-09-07 08:29:21:379,186779,186779,0,0,87958676206,915871226,184823,1598,358,368,391791,0 147,2,2024-09-07 08:29:21:021,135888,135888,0,0,5876298,0,2789 147,3,2024-09-07 08:29:20:913,1,106,123,0,141,1162,106,0 0,0,2024-09-07 08:29:31:772,27246,0.5,27229,0.7,57477,0.5,74675,1.75 0,1,2024-09-07 08:29:30:810,187740,187740,0,0,88118596373,924620520,186360,1251,129,372,391692,0 0,2,2024-09-07 08:29:31:073,134489,134489,0,0,5940999,0,4480 0,3,2024-09-07 08:29:30:984,1,107,9,0,247,1778,107,0 1,0,2024-09-07 08:29:31:770,27636,2.3,27352,1.6,55202,2.9,73802,2.50 1,1,2024-09-07 08:29:30:560,187514,187514,0,0,87816159683,922330937,185439,1540,535,372,391857,0 1,2,2024-09-07 08:29:30:644,134683,134683,0,0,5607841,0,3267 1,3,2024-09-07 08:29:31:305,1,107,15,0,167,1232,107,0 2,0,2024-09-07 08:29:31:578,24986,0.9,25026,1.1,49672,1.1,67140,2.25 2,1,2024-09-07 08:29:30:864,187718,187718,0,0,88165582265,917766910,186558,956,204,380,391558,0 2,2,2024-09-07 08:29:31:272,137804,137804,0,0,5807262,0,3304 2,3,2024-09-07 08:29:30:699,1,107,1,0,214,1080,107,0 3,0,2024-09-07 08:29:31:769,26871,0.4,26915,0.5,53750,0.3,71349,1.75 3,1,2024-09-07 08:29:31:624,187354,187354,0,0,88358062933,922887605,185182,1784,388,382,391516,0 3,2,2024-09-07 08:29:31:161,133836,133813,23,0,6027588,0,5851 3,3,2024-09-07 08:29:31:761,1,107,55,0,103,780,107,0 4,0,2024-09-07 08:29:32:027,25737,0.3,26380,0.4,53473,0.2,70542,1.50 4,1,2024-09-07 08:29:30:623,187693,187693,0,0,88279276927,932358115,185197,1977,519,371,391846,0 4,2,2024-09-07 08:29:31:055,132789,132789,0,0,7265041,0,4528 4,3,2024-09-07 08:29:31:047,1,107,3,1,287,1710,107,0 5,0,2024-09-07 08:29:31:427,27319,0.6,27133,0.7,54621,0.7,72253,1.75 5,1,2024-09-07 08:29:30:788,186948,186948,0,0,87580271713,927280469,183548,2468,932,368,392005,0 5,2,2024-09-07 08:29:31:842,134785,134785,0,0,6153725,0,2259 5,3,2024-09-07 08:29:31:738,1,107,10,0,238,1875,107,0 6,0,2024-09-07 08:29:30:931,25909,0.7,25656,1.0,51019,0.8,68837,2.25 6,1,2024-09-07 08:29:30:754,188296,188296,0,0,88375657567,923310980,186763,1458,75,381,391603,0 6,2,2024-09-07 08:29:31:121,139561,139561,0,0,6099451,0,2411 6,3,2024-09-07 08:29:31:293,1,107,1,0,340,1780,107,0 7,0,2024-09-07 08:29:31:550,24518,0.4,24554,0.5,49439,0.3,66062,1.75 7,1,2024-09-07 08:29:30:851,188262,188262,0,0,88002183292,921428270,186524,1673,65,383,391664,0 7,2,2024-09-07 08:29:30:782,132478,132478,0,0,5501931,0,2981 7,3,2024-09-07 08:29:30:857,1,107,41,0,305,1246,107,0 8,0,2024-09-07 08:29:31:409,26692,0.3,26954,0.5,53155,0.3,71120,1.50 8,1,2024-09-07 08:29:31:019,187553,187553,0,0,88197340444,936082566,183651,2617,1285,368,391724,0 8,2,2024-09-07 08:29:30:790,132010,132010,0,0,7245152,0,2986 8,3,2024-09-07 08:29:30:600,1,107,3,0,357,1809,107,0 9,0,2024-09-07 08:29:31:136,28363,0.4,27693,0.5,57788,0.3,75256,1.75 9,1,2024-09-07 08:29:30:557,187352,187352,0,0,87646701805,931045942,183580,2765,1007,370,391738,0 9,2,2024-09-07 08:29:31:086,135137,135137,0,0,7048159,0,3360 9,3,2024-09-07 08:29:31:760,1,107,18,0,496,1839,107,0 10,0,2024-09-07 08:29:31:623,27238,0.5,27263,0.7,54506,0.5,72269,1.75 10,1,2024-09-07 08:29:30:602,187537,187537,0,0,88131648814,929953312,183888,3125,524,381,391741,0 10,2,2024-09-07 08:29:30:764,137821,137821,0,0,6708398,0,2940 10,3,2024-09-07 08:29:30:883,1,107,1,0,136,836,107,0 11,0,2024-09-07 08:29:31:023,23631,0.5,23241,0.8,48517,0.5,65168,2.00 11,1,2024-09-07 08:29:30:574,187193,187193,0,0,87757471537,928295259,183116,2947,1130,384,391537,0 11,2,2024-09-07 08:29:31:137,133879,133879,0,0,6339039,0,3411 11,3,2024-09-07 08:29:31:311,1,107,0,0,720,2711,107,0 12,0,2024-09-07 08:29:31:015,28749,0.3,28610,0.5,57365,0.3,75700,1.50 12,1,2024-09-07 08:29:30:956,187126,187126,0,0,87751476159,922305770,184451,2265,410,371,391837,0 12,2,2024-09-07 08:29:31:565,134829,134829,0,0,6710548,0,3469 12,3,2024-09-07 08:29:31:093,1,107,133,0,358,2080,107,0 13,0,2024-09-07 08:29:31:390,28642,0.4,28760,0.5,57388,0.4,76294,1.75 13,1,2024-09-07 08:29:31:567,188069,188069,0,0,88175129361,928066719,186178,1515,376,384,391717,0 13,2,2024-09-07 08:29:30:606,135885,135885,0,0,5929384,0,3287 13,3,2024-09-07 08:29:31:762,1,107,8,1,416,2102,107,0 14,0,2024-09-07 08:29:30:583,28262,0.6,28483,0.8,56428,0.6,75376,2.00 14,1,2024-09-07 08:29:31:566,188969,188969,0,0,88846088851,923911957,187219,1589,161,364,391571,0 14,2,2024-09-07 08:29:30:774,135359,135359,0,0,6198446,0,2896 14,3,2024-09-07 08:29:31:127,1,107,3,0,1168,2562,107,0 15,0,2024-09-07 08:29:31:571,24579,0.6,24440,0.9,48888,0.7,65380,2.00 15,1,2024-09-07 08:29:31:613,187481,187481,0,0,88559645359,922518884,186395,1043,43,381,391536,0 15,2,2024-09-07 08:29:31:006,137535,137535,0,0,5088783,0,3043 15,3,2024-09-07 08:29:31:405,1,107,14,0,1126,3702,107,0 16,0,2024-09-07 08:29:30:996,27749,0.5,28165,0.7,55410,0.4,74713,2.25 16,1,2024-09-07 08:29:30:637,187973,187973,0,0,88163362176,922176423,186795,1150,28,372,391756,0 16,2,2024-09-07 08:29:31:443,133121,133121,0,0,6319118,0,4719 16,3,2024-09-07 08:29:31:158,1,107,16,0,231,1551,107,0 17,0,2024-09-07 08:29:31:833,28195,0.5,27556,0.6,54103,0.4,73244,1.75 17,1,2024-09-07 08:29:30:585,187427,187427,0,0,87699413379,924711483,185219,1679,529,369,391688,0 17,2,2024-09-07 08:29:31:677,135409,135409,0,0,5367219,0,2857 17,3,2024-09-07 08:29:30:585,1,107,5,0,268,1880,107,0 18,0,2024-09-07 08:29:30:975,26331,1.1,26842,1.1,53803,1.4,71310,2.25 18,1,2024-09-07 08:29:31:639,187915,187915,0,0,87937310292,913852746,186631,1066,218,368,391564,0 18,2,2024-09-07 08:29:31:758,134926,134926,0,0,6103311,0,3541 18,3,2024-09-07 08:29:30:908,1,107,2,0,163,1124,107,0 19,0,2024-09-07 08:29:31:556,24759,1.0,24945,1.1,49412,1.2,65797,3.25 19,1,2024-09-07 08:29:30:571,188140,188140,0,0,88816731792,926001548,186123,1851,166,367,391777,0 19,2,2024-09-07 08:29:31:754,139560,139560,0,0,5708276,0,3988 19,3,2024-09-07 08:29:31:135,1,107,1,0,524,1275,107,0 20,0,2024-09-07 08:29:31:505,26001,0.5,25931,0.6,51857,0.4,68948,2.00 20,1,2024-09-07 08:29:30:583,187934,187934,0,0,88501832153,928471912,185931,1826,177,370,391598,0 20,2,2024-09-07 08:29:30:982,132835,132835,0,0,5774092,0,3721 20,3,2024-09-07 08:29:30:604,1,107,14,0,414,2084,107,0 21,0,2024-09-07 08:29:31:172,26465,0.4,26385,0.5,52644,0.3,69620,1.75 21,1,2024-09-07 08:29:31:553,187294,187294,0,0,87428981009,922382687,184747,2042,505,368,391962,0 21,2,2024-09-07 08:29:31:099,133671,133671,0,0,6225227,0,3747 21,3,2024-09-07 08:29:31:427,1,107,12,0,93,1389,107,0 22,0,2024-09-07 08:29:31:742,27502,1.1,27549,1.1,54994,1.4,73091,2.50 22,1,2024-09-07 08:29:31:032,187185,187185,0,0,87556340402,928788122,182718,3096,1371,382,391667,0 22,2,2024-09-07 08:29:30:764,135390,135390,0,0,5724098,0,3134 22,3,2024-09-07 08:29:31:066,1,107,109,0,228,1249,107,0 23,0,2024-09-07 08:29:31:412,25570,0.8,25747,0.9,51590,0.8,68523,2.25 23,1,2024-09-07 08:29:31:005,188424,188424,0,0,89020898058,928798097,186167,1686,571,365,391549,0 23,2,2024-09-07 08:29:31:104,138730,138730,0,0,5744604,0,2078 23,3,2024-09-07 08:29:31:756,1,107,2,0,645,1558,107,0 24,0,2024-09-07 08:29:30:887,25043,0.5,25009,0.7,50202,0.5,67051,1.75 24,1,2024-09-07 08:29:30:582,187077,187077,0,0,87905665318,924651171,184703,1610,764,369,391640,0 24,2,2024-09-07 08:29:31:107,132796,132796,0,0,7290584,0,2942 24,3,2024-09-07 08:29:31:699,1,107,2,0,234,1473,107,0 25,0,2024-09-07 08:29:31:403,28273,0.4,27615,0.6,54065,0.4,73409,1.75 25,1,2024-09-07 08:29:30:572,187217,187217,0,0,88063054901,928170839,184456,2317,444,371,391788,0 25,2,2024-09-07 08:29:31:605,132702,132702,0,0,7332191,0,3978 25,3,2024-09-07 08:29:31:003,1,107,17,0,158,1263,107,0 26,0,2024-09-07 08:29:31:771,28327,0.4,27705,0.6,58053,0.3,75795,1.75 26,1,2024-09-07 08:29:31:553,187964,187964,0,0,87774980759,927580414,184098,3023,843,381,391564,0 26,2,2024-09-07 08:29:30:865,135470,135470,0,0,6830234,0,2809 26,3,2024-09-07 08:29:31:712,1,107,1,0,796,1457,107,0 27,0,2024-09-07 08:29:31:740,27997,0.6,28209,0.8,55926,0.6,74837,1.75 27,1,2024-09-07 08:29:31:681,188377,188377,0,0,88974781579,927163985,186636,1419,322,381,391539,0 27,2,2024-09-07 08:29:30:878,135833,135833,0,0,7509487,0,3409 27,3,2024-09-07 08:29:31:036,1,107,2,0,564,1438,107,0 28,0,2024-09-07 08:29:31:410,23983,0.7,24389,0.8,48724,0.8,65330,2.25 28,1,2024-09-07 08:29:30:797,188053,188053,0,0,88981300029,928310295,186591,1260,202,383,391646,0 28,2,2024-09-07 08:29:31:771,135585,135585,0,0,5318464,0,2915 28,3,2024-09-07 08:29:31:777,1,107,1,0,502,1385,107,0 29,0,2024-09-07 08:29:31:382,29425,0.4,28659,0.6,56163,0.4,76791,1.75 29,1,2024-09-07 08:29:31:581,188933,188933,0,0,88237852450,917574975,186931,1472,530,369,391621,0 29,2,2024-09-07 08:29:30:871,134165,134165,0,0,5030627,0,4018 29,3,2024-09-07 08:29:30:967,1,107,8,0,105,877,107,0 30,0,2024-09-07 08:29:31:465,27992,0.8,27123,0.9,56715,0.8,74702,2.25 30,1,2024-09-07 08:29:30:572,188143,188143,0,0,88656785024,924428737,186628,1333,182,382,391672,0 30,2,2024-09-07 08:29:31:294,135486,135486,0,0,5197510,0,3161 30,3,2024-09-07 08:29:30:581,1,107,3,0,195,853,107,0 31,0,2024-09-07 08:29:31:774,27322,0.9,27421,0.9,55453,1.0,73505,2.25 31,1,2024-09-07 08:29:30:564,189075,189075,0,0,88692703217,908935710,188761,312,2,356,391553,0 31,2,2024-09-07 08:29:31:294,134911,134911,0,0,6407340,0,3525 31,3,2024-09-07 08:29:31:719,1,107,1,0,129,819,107,0 32,0,2024-09-07 08:29:31:431,25236,0.8,25370,0.9,50737,0.8,67817,1.75 32,1,2024-09-07 08:29:30:805,188800,188800,0,0,88415311080,918529053,187729,1042,29,382,391595,0 32,2,2024-09-07 08:29:30:941,138380,138380,0,0,5438586,0,3155 32,3,2024-09-07 08:29:31:028,1,107,8,0,227,1195,107,0 33,0,2024-09-07 08:29:31:501,26992,0.2,26659,0.4,54013,0.2,71360,1.50 33,1,2024-09-07 08:29:30:580,189017,189017,0,0,89007823275,923490706,187299,1595,123,369,391730,0 33,2,2024-09-07 08:29:30:761,133878,133845,33,0,6593713,0,7012 33,3,2024-09-07 08:29:30:938,1,107,12,0,211,1049,107,0 34,0,2024-09-07 08:29:30:941,26446,0.3,27333,0.5,52455,0.2,70559,1.50 34,1,2024-09-07 08:29:31:051,189042,189042,0,0,88725794229,914288888,188403,634,5,367,391547,0 34,2,2024-09-07 08:29:30:772,133200,133200,0,0,5869234,0,3255 34,3,2024-09-07 08:29:31:695,1,107,3,0,148,798,107,0 35,0,2024-09-07 08:29:30:864,27011,0.6,27362,0.7,54369,0.6,72347,1.75 35,1,2024-09-07 08:29:31:077,188034,188034,0,0,88128144811,916395273,186388,1284,362,384,391587,0 35,2,2024-09-07 08:29:31:587,134790,134790,0,0,5326825,0,2542 35,3,2024-09-07 08:29:30:918,1,107,1,0,219,1258,107,0 36,0,2024-09-07 08:29:31:550,25710,1.2,25573,1.1,51868,1.4,68711,2.50 36,1,2024-09-07 08:29:30:584,187956,187956,0,0,87953833865,922856149,184835,2189,932,366,391543,0 36,2,2024-09-07 08:29:31:764,138517,138517,0,0,6907720,0,3303 36,3,2024-09-07 08:29:30:866,1,107,5,0,378,1211,107,0 37,0,2024-09-07 08:29:31:387,24715,0.4,24617,0.6,49271,0.3,66177,1.75 37,1,2024-09-07 08:29:30:591,187459,187452,0,7,88032714172,928845556,184068,1843,1541,365,391518,0 37,2,2024-09-07 08:29:31:158,133399,133399,0,0,5211273,0,2333 37,3,2024-09-07 08:29:31:771,1,107,8,0,724,2186,107,0 38,0,2024-09-07 08:29:31:442,26613,0.4,25818,0.6,53968,0.4,70622,1.75 38,1,2024-09-07 08:29:31:605,188796,188796,0,0,88006765835,922426493,185934,2341,521,368,391821,0 38,2,2024-09-07 08:29:30:763,134070,134070,0,0,6402380,0,3245 38,3,2024-09-07 08:29:30:997,1,107,2,0,603,1594,107,0 39,0,2024-09-07 08:29:31:769,29129,0.8,28497,0.8,55578,0.9,75466,2.00 39,1,2024-09-07 08:29:30:723,187914,187914,0,0,88043533499,921893759,184935,2311,668,365,391524,0 39,2,2024-09-07 08:29:31:417,135661,135661,0,0,5931195,0,2689 39,3,2024-09-07 08:29:30:727,1,107,1,0,276,1593,107,0 40,0,2024-09-07 08:29:31:544,26626,1.3,27223,1.7,53973,2.0,71700,3.50 40,1,2024-09-07 08:29:30:580,187314,187314,0,0,86976052151,917533912,183451,3098,765,369,391591,0 40,2,2024-09-07 08:29:31:330,137979,137978,1,0,7801851,0,5137 40,3,2024-09-07 08:29:31:166,1,107,2,0,181,1304,107,0 41,0,2024-09-07 08:29:31:037,23579,1.9,24158,2.1,46152,3.0,63760,4.25 41,1,2024-09-07 08:29:30:788,187862,187862,0,0,88778635479,934842267,184283,3062,517,370,391742,0 41,2,2024-09-07 08:29:30:761,133357,133357,0,0,6989472,0,3356 41,3,2024-09-07 08:29:31:684,1,107,8,0,366,1451,107,0 42,0,2024-09-07 08:29:31:478,27960,0.7,28200,0.9,55963,0.6,73863,2.50 42,1,2024-09-07 08:29:31:450,187215,187215,0,0,88053230973,928277197,184003,2381,831,380,391675,0 42,2,2024-09-07 08:29:31:136,133162,133162,0,0,7189988,0,3568 42,3,2024-09-07 08:29:31:011,1,107,1,0,100,817,107,0 43,0,2024-09-07 08:29:30:938,28605,0.8,27789,1.0,58116,0.9,76374,2.25 43,1,2024-09-07 08:29:30:579,187184,187184,0,0,88573187030,927226728,184564,1868,752,366,391604,0 43,2,2024-09-07 08:29:31:741,135628,135628,0,0,7042660,0,3812 43,3,2024-09-07 08:29:31:749,1,107,5,0,325,1850,107,0 44,0,2024-09-07 08:29:30:873,28416,0.6,28224,0.9,56710,0.7,75948,2.00 44,1,2024-09-07 08:29:30:563,188199,188199,0,0,87624403338,907417211,186775,1295,129,356,391662,0 44,2,2024-09-07 08:29:31:269,135346,135346,0,0,4969544,0,1877 44,3,2024-09-07 08:29:31:093,1,107,3,0,817,1481,107,0 45,0,2024-09-07 08:29:31:782,24202,1.1,23662,1.1,49593,1.3,65863,2.25 45,1,2024-09-07 08:29:31:007,188241,188241,0,0,88244636497,916858297,187360,874,7,382,391917,0 45,2,2024-09-07 08:29:31:276,137613,137613,0,0,5876816,0,3596 45,3,2024-09-07 08:29:30:947,1,107,7,0,226,1018,107,0 46,0,2024-09-07 08:29:30:967,27883,0.3,27929,0.5,55919,0.2,74356,1.75 46,1,2024-09-07 08:29:30:579,188512,188512,0,0,88182176037,913317382,187125,1281,106,366,391514,0 46,2,2024-09-07 08:29:30:602,134493,134493,0,0,5595526,0,2920 46,3,2024-09-07 08:29:31:141,1,107,1,0,363,1731,107,0 47,0,2024-09-07 08:29:31:109,27394,0.4,27643,0.5,55296,0.3,72964,1.75 47,1,2024-09-07 08:29:30:576,188560,188560,0,0,88025173616,910216321,187632,918,10,366,391605,0 47,2,2024-09-07 08:29:30:908,135021,135021,0,0,5927080,0,2558 47,3,2024-09-07 08:29:31:115,1,107,1,0,529,1238,107,0 48,0,2024-09-07 08:29:31:507,27353,0.3,27067,0.5,54065,0.3,71978,1.75 48,1,2024-09-07 08:29:31:032,188297,188297,0,0,88333673428,917991041,186994,1296,7,384,391710,0 48,2,2024-09-07 08:29:30:709,134824,134824,0,0,4782596,0,2083 48,3,2024-09-07 08:29:30:753,1,107,1,0,69,855,107,0 49,0,2024-09-07 08:29:31:723,25735,0.6,25114,0.7,49155,0.6,67335,1.75 49,1,2024-09-07 08:29:31:031,187629,187629,0,0,88372119301,923013081,185853,1065,711,382,391583,0 49,2,2024-09-07 08:29:31:799,139141,139141,0,0,5898195,0,3900 49,3,2024-09-07 08:29:31:429,1,107,8,0,274,1069,107,0 50,0,2024-09-07 08:29:31:514,26189,0.2,25691,0.4,51715,0.2,69531,1.50 50,1,2024-09-07 08:29:31:012,189317,189317,0,0,88982249155,924861297,187382,1638,297,368,391530,0 50,2,2024-09-07 08:29:31:077,133270,133270,0,0,5013860,0,2253 50,3,2024-09-07 08:29:31:299,1,107,26,0,335,1088,107,0 51,0,2024-09-07 08:29:31:699,26853,0.2,26368,0.4,51577,0.2,69858,1.50 51,1,2024-09-07 08:29:31:682,188290,188290,0,0,88887785261,922719097,186480,1026,784,367,391637,0 51,2,2024-09-07 08:29:31:320,133317,133317,0,0,4331277,0,2448 51,3,2024-09-07 08:29:31:030,1,107,91,0,162,731,107,0 52,0,2024-09-07 08:29:31:423,27862,0.7,27910,0.8,55937,0.7,73607,2.25 52,1,2024-09-07 08:29:30:589,187643,187643,0,0,88503203056,932109475,184051,2886,706,368,391722,0 52,2,2024-09-07 08:29:31:757,134757,134757,0,0,6511128,0,4779 52,3,2024-09-07 08:29:30:683,1,107,8,0,1782,2715,107,0 53,0,2024-09-07 08:29:31:739,25526,1.4,24715,1.2,51500,1.7,67748,2.75 53,1,2024-09-07 08:29:30:782,187552,187552,0,0,88968123959,934084178,184458,2513,581,370,391617,0 53,2,2024-09-07 08:29:31:311,139131,139131,0,0,5467986,0,1902 53,3,2024-09-07 08:29:30:700,1,107,25,0,133,856,107,0 54,0,2024-09-07 08:29:31:633,24125,1.9,24484,1.2,48197,0.7,66202,4.00 54,1,2024-09-07 08:29:30:581,187970,187970,0,0,89093391416,933034558,184798,2628,544,367,391520,0 54,2,2024-09-07 08:29:30:868,133563,133557,6,0,6297077,0,5382 54,3,2024-09-07 08:29:30:771,1,107,37,0,676,2216,107,0 55,0,2024-09-07 08:29:31:769,26476,0.6,27204,0.8,55208,0.5,71292,2.25 55,1,2024-09-07 08:29:30:764,187027,187027,0,0,87969763779,925741074,182651,3591,785,367,391731,0 55,2,2024-09-07 08:29:30:746,133232,133232,0,0,6128223,0,3275 55,3,2024-09-07 08:29:30:674,1,107,1,0,304,1317,107,0 56,0,2024-09-07 08:29:31:617,29220,1.5,27644,1.2,56799,2.1,75948,2.50 56,1,2024-09-07 08:29:30:574,187094,187094,0,0,88607607715,944162290,182592,3652,850,382,391678,0 56,2,2024-09-07 08:29:31:321,135557,135557,0,0,7521469,0,3567 56,3,2024-09-07 08:29:31:071,1,107,1,0,297,1542,107,0 57,0,2024-09-07 08:29:30:966,27390,2.3,27245,1.5,54895,3.3,73520,4.00 57,1,2024-09-07 08:29:31:005,187363,187363,0,0,87901823442,923551175,184958,2235,170,368,391773,0 57,2,2024-09-07 08:29:31:330,137161,137161,0,0,7406798,0,3178 57,3,2024-09-07 08:29:31:745,1,107,12,0,359,2300,107,0 58,0,2024-09-07 08:29:30:560,23400,1.1,22820,1.1,47844,1.3,63179,2.75 58,1,2024-09-07 08:29:30:579,188675,188672,0,3,89021921422,930327186,186282,2162,228,369,391516,3 58,2,2024-09-07 08:29:31:075,135315,135315,0,0,6545011,0,2549 58,3,2024-09-07 08:29:31:075,1,107,3,0,219,998,107,0 59,0,2024-09-07 08:29:31:776,28734,0.9,28327,1.0,57000,1.0,75566,3.00 59,1,2024-09-07 08:29:30:804,187648,187648,0,0,88127185496,928241909,184284,2442,922,369,391515,0 59,2,2024-09-07 08:29:30:583,134625,134625,0,0,5875153,0,2604 59,3,2024-09-07 08:29:31:741,1,107,1,0,1015,2343,107,0 60,0,2024-09-07 08:29:31:744,28257,0.4,28378,0.6,56058,0.4,74827,1.75 60,1,2024-09-07 08:29:30:783,189454,189454,0,0,88354505825,918657564,188217,887,350,370,391761,0 60,2,2024-09-07 08:29:31:173,134530,134530,0,0,6272341,0,3811 60,3,2024-09-07 08:29:31:263,1,107,6,0,124,1114,107,0 61,0,2024-09-07 08:29:31:529,27424,1.9,27597,1.3,55152,3.2,73666,2.00 61,1,2024-09-07 08:29:30:793,187476,187476,0,0,88561218060,932050578,184751,2188,537,382,391589,0 61,2,2024-09-07 08:29:31:132,134853,134853,0,0,5396343,0,1846 61,3,2024-09-07 08:29:31:691,1,107,30,0,199,1480,107,0 62,0,2024-09-07 08:29:31:721,25248,1.2,25781,1.1,49285,1.7,67497,2.25 62,1,2024-09-07 08:29:31:111,189050,189044,0,6,88969716282,920452081,187623,1383,38,365,391715,6 62,2,2024-09-07 08:29:31:644,137222,137221,1,0,6756029,0,5555 62,3,2024-09-07 08:29:31:146,1,107,2,0,287,751,107,0 63,0,2024-09-07 08:29:31:461,26744,0.3,26842,0.5,53619,0.3,71329,1.75 63,1,2024-09-07 08:29:30:806,188991,188985,0,6,88580048831,918328906,188126,847,12,381,391540,6 63,2,2024-09-07 08:29:30:764,133152,133152,0,0,5313253,0,2674 63,3,2024-09-07 08:29:31:739,1,107,6,0,667,2012,107,0 64,0,2024-09-07 08:29:31:516,26337,0.4,26245,0.6,52741,0.4,70505,1.75 64,1,2024-09-07 08:29:30:762,188243,188243,0,0,88305974931,925732856,185670,1777,796,371,391783,0 64,2,2024-09-07 08:29:31:165,134443,134424,19,0,5943821,0,6121 64,3,2024-09-07 08:29:31:157,1,107,4,0,265,1152,107,0 65,0,2024-09-07 08:29:31:686,26558,1.6,26900,1.2,53875,2.1,71606,3.00 65,1,2024-09-07 08:29:30:868,187792,187792,0,0,88085436879,922306893,185958,1613,221,382,391770,0 65,2,2024-09-07 08:29:31:704,135718,135718,0,0,6269880,0,3367 65,3,2024-09-07 08:29:31:685,1,107,5,0,163,1166,107,0 66,0,2024-09-07 08:29:31:771,25288,0.5,25453,0.8,50999,0.5,68180,2.25 66,1,2024-09-07 08:29:31:296,188778,188778,0,0,88324393637,916029164,188000,755,23,380,391588,0 66,2,2024-09-07 08:29:31:151,140410,140410,0,0,5624097,0,3867 66,3,2024-09-07 08:29:31:082,1,107,1,0,291,1272,107,0 67,0,2024-09-07 08:29:31:452,24974,0.5,24837,0.6,49516,0.4,66150,2.00 67,1,2024-09-07 08:29:30:773,187794,187793,0,1,88313295067,924332948,185710,1558,525,381,391787,1 67,2,2024-09-07 08:29:30:583,133875,133875,0,0,5066246,0,2889 67,3,2024-09-07 08:29:31:750,1,107,1,0,138,904,107,0 68,0,2024-09-07 08:29:30:581,26924,0.5,26827,0.7,53417,0.5,70955,2.00 68,1,2024-09-07 08:29:30:571,187809,187809,0,0,87939894999,922728926,186112,1175,522,381,391953,0 68,2,2024-09-07 08:29:31:052,132941,132941,0,0,6379701,0,4883 68,3,2024-09-07 08:29:30:728,1,107,3,0,151,1142,107,0 69,0,2024-09-07 08:29:31:768,28255,1.1,28448,1.0,56697,1.4,75216,2.25 69,1,2024-09-07 08:29:31:026,187336,187336,0,0,88079241300,933708347,184568,1690,1078,384,391611,0 69,2,2024-09-07 08:29:31:742,135521,135521,0,0,7106222,0,3701 69,3,2024-09-07 08:29:30:761,1,107,9,0,238,1444,107,0 70,0,2024-09-07 08:29:31:542,26877,2.5,26970,1.8,54103,1.4,71434,3.75 70,1,2024-09-07 08:29:30:800,188339,188339,0,0,88747501701,923306663,186450,1430,459,368,391725,0 70,2,2024-09-07 08:29:31:360,137735,137735,0,0,6695823,0,4044 70,3,2024-09-07 08:29:30:749,1,107,21,0,178,697,107,0 71,0,2024-09-07 08:29:31:375,23570,1.9,23765,1.9,47105,2.8,64128,3.50 71,1,2024-09-07 08:29:31:598,188315,188315,0,0,88720893870,927849462,185768,2213,334,368,391682,0 71,2,2024-09-07 08:29:31:082,134269,134269,0,0,5833175,0,2146 71,3,2024-09-07 08:29:31:750,1,107,1,0,279,1431,107,0 72,0,2024-09-07 08:29:31:048,29390,0.6,28685,0.8,56133,0.5,75803,2.25 72,1,2024-09-07 08:29:31:042,187719,187719,0,0,88298617989,925916090,184939,2298,482,370,391819,0 72,2,2024-09-07 08:29:31:767,132935,132935,0,0,7736819,0,2570 72,3,2024-09-07 08:29:31:769,1,107,2,0,325,1489,107,0 73,0,2024-09-07 08:29:31:105,28020,0.4,28687,0.6,58589,0.4,76057,2.25 73,1,2024-09-07 08:29:30:783,187945,187945,0,0,88528065917,922253883,186358,1473,114,368,391627,0 73,2,2024-09-07 08:29:31:754,135960,135960,0,0,7292913,0,3482 73,3,2024-09-07 08:29:30:982,1,107,16,0,274,1744,107,0 74,0,2024-09-07 08:29:31:333,28443,0.8,28874,1.0,55164,1.1,75540,2.75 74,1,2024-09-07 08:29:30:636,187697,187697,0,0,87926926024,921077300,185419,1673,605,382,391511,0 74,2,2024-09-07 08:29:31:003,134964,134964,0,0,7444500,0,4253 74,3,2024-09-07 08:29:31:448,1,107,1,0,246,1563,107,0 75,0,2024-09-07 08:29:31:791,24602,1.1,24549,1.2,48971,1.0,66024,2.75 75,1,2024-09-07 08:29:31:594,187776,187776,0,0,88216030060,925227273,185443,1970,363,381,391579,0 75,2,2024-09-07 08:29:31:369,136660,136660,0,0,6771034,0,4766 75,3,2024-09-07 08:29:31:075,1,107,0,0,535,1452,107,0 76,0,2024-09-07 08:29:30:593,28056,0.4,27778,0.6,55329,0.3,74535,2.00 76,1,2024-09-07 08:29:30:811,187521,187521,0,0,87218325384,914020217,185631,1382,508,382,391530,0 76,2,2024-09-07 08:29:31:077,134854,134854,0,0,5510079,0,3064 76,3,2024-09-07 08:29:31:149,1,107,4,0,175,1218,107,0 77,0,2024-09-07 08:29:31:719,27398,0.6,27604,0.7,55219,0.6,72651,2.00 77,1,2024-09-07 08:29:30:827,188266,188266,0,0,88504813022,924189190,186998,1198,70,383,391555,0 77,2,2024-09-07 08:29:31:290,134379,134379,0,0,5734982,0,3890 77,3,2024-09-07 08:29:31:094,1,107,305,0,305,1390,107,0 78,0,2024-09-07 08:29:31:762,27058,0.7,27013,0.9,54084,0.7,71600,2.25 78,1,2024-09-07 08:29:30:618,188413,188413,0,0,87706584215,914612973,186731,1477,205,367,391589,0 78,2,2024-09-07 08:29:31:404,135517,135517,0,0,5313963,0,2114 78,3,2024-09-07 08:29:31:135,1,107,2,0,181,1388,107,0 79,0,2024-09-07 08:29:31:360,24198,0.6,24770,0.9,50682,0.5,65970,2.50 79,1,2024-09-07 08:29:30:574,188657,188657,0,0,87860659368,914104992,186666,1820,171,369,391682,0 79,2,2024-09-07 08:29:31:077,139433,139433,0,0,5935856,0,3212 79,3,2024-09-07 08:29:30:753,1,107,17,0,289,1452,107,0 80,0,2024-09-07 08:29:31:096,25779,0.5,26637,0.6,51157,0.4,69245,1.75 80,1,2024-09-07 08:29:31:625,187699,187699,0,0,87999148232,917417379,185796,1786,117,368,391673,0 80,2,2024-09-07 08:29:31:092,133822,133822,0,0,5448977,0,4433 80,3,2024-09-07 08:29:30:578,1,107,42,1,190,1772,107,0 81,0,2024-09-07 08:29:31:557,26351,0.5,27097,0.6,51878,0.5,69849,1.75 81,1,2024-09-07 08:29:31:663,187977,187977,0,0,87545788753,917380532,186030,1747,200,382,391680,0 81,2,2024-09-07 08:29:31:142,132905,132905,0,0,6095610,0,3993 81,3,2024-09-07 08:29:31:123,1,107,8,0,193,1070,107,0 82,0,2024-09-07 08:29:31:549,27667,0.7,27670,0.9,55566,0.7,73760,2.00 82,1,2024-09-07 08:29:30:584,187692,187688,0,4,88076061131,920828417,185503,1611,574,382,391558,4 82,2,2024-09-07 08:29:31:694,136163,136163,0,0,5188075,0,2230 82,3,2024-09-07 08:29:31:752,1,107,7,0,227,1578,107,0 83,0,2024-09-07 08:29:31:540,25771,1.2,25542,1.1,50783,1.5,67661,2.50 83,1,2024-09-07 08:29:30:563,188290,188290,0,0,88629922458,925743551,186791,1398,101,383,391553,0 83,2,2024-09-07 08:29:30:773,138344,138344,0,0,5962782,0,3119 83,3,2024-09-07 08:29:30:749,1,107,1,0,127,996,107,0 84,0,2024-09-07 08:29:31:791,24585,1.1,24381,1.3,48997,0.8,66686,2.50 84,1,2024-09-07 08:29:31:056,187229,187229,0,0,88184209825,927255267,184497,2309,423,369,391638,0 84,2,2024-09-07 08:29:30:577,133422,133422,0,0,6011024,0,3801 84,3,2024-09-07 08:29:31:147,1,107,1,1,85,1038,107,0 85,0,2024-09-07 08:29:31:189,26404,0.6,26409,0.8,55961,0.5,72485,2.25 85,1,2024-09-07 08:29:30:566,186757,186757,0,0,88278022596,935613095,183268,3014,475,383,391679,0 85,2,2024-09-07 08:29:30:876,133843,133843,0,0,6993453,0,3656 85,3,2024-09-07 08:29:30:693,1,107,16,0,115,1123,107,0 86,0,2024-09-07 08:29:30:991,28444,0.7,29378,0.7,56390,0.7,75874,2.00 86,1,2024-09-07 08:29:30:832,187864,187864,0,0,88534757297,928673544,185310,2274,280,367,391961,0 86,2,2024-09-07 08:29:30:907,135264,135263,1,0,7278412,0,5004 86,3,2024-09-07 08:29:30:586,1,107,1,0,199,1314,107,0 87,0,2024-09-07 08:29:31:322,27834,2.2,27767,1.4,55620,3.6,74727,2.75 87,1,2024-09-07 08:29:30:560,187379,187379,0,0,87330515814,917587564,185065,2174,140,366,391788,0 87,2,2024-09-07 08:29:31:078,136667,136667,0,0,5968029,0,3515 87,3,2024-09-07 08:29:31:795,1,107,1,1,335,1768,107,0 88,0,2024-09-07 08:29:31:448,24127,0.7,24412,0.8,48475,0.9,65339,2.00 88,1,2024-09-07 08:29:30:578,186941,186941,0,0,87941164908,926710453,184239,1927,775,367,391787,0 88,2,2024-09-07 08:29:30:715,135417,135417,0,0,7062681,0,3583 88,3,2024-09-07 08:29:31:270,1,107,2,1,77,1165,107,0 89,0,2024-09-07 08:29:31:773,29546,0.6,28730,0.8,56867,0.5,76942,1.75 89,1,2024-09-07 08:29:30:552,186922,186922,0,0,87854362868,936670807,182408,3642,872,382,391866,0 89,2,2024-09-07 08:29:31:139,133825,133825,0,0,6931633,0,2901 89,3,2024-09-07 08:29:31:808,1,107,9,0,325,2246,107,0 90,0,2024-09-07 08:29:31:624,27277,0.4,27838,0.6,57295,0.4,74747,1.75 90,1,2024-09-07 08:29:30:652,187750,187750,0,0,87863026303,927830196,185074,2520,156,382,391825,0 90,2,2024-09-07 08:29:31:413,133749,133749,0,0,7652439,0,2635 90,3,2024-09-07 08:29:30:954,1,107,111,1,200,1242,107,0 91,0,2024-09-07 08:29:30:968,27928,0.6,27022,0.7,56154,0.6,74114,1.75 91,1,2024-09-07 08:29:30:560,187359,187359,0,0,88369029128,934044481,184067,2799,493,383,391914,0 91,2,2024-09-07 08:29:31:337,133445,133445,0,0,7278757,0,2445 91,3,2024-09-07 08:29:30:635,1,107,1,0,155,1445,107,0 92,0,2024-09-07 08:29:31:456,25556,0.7,26079,0.9,49773,0.8,67940,1.75 92,1,2024-09-07 08:29:30:592,187845,187845,0,0,87949980557,920206446,186292,1193,360,382,391717,0 92,2,2024-09-07 08:29:31:360,139544,139544,0,0,5912147,0,2279 92,3,2024-09-07 08:29:31:012,1,107,31,0,68,1057,107,0 93,0,2024-09-07 08:29:31:001,26969,0.3,27523,0.5,52716,0.2,71443,1.75 93,1,2024-09-07 08:29:30:825,187868,187868,0,0,88139957455,923534740,185649,1781,438,366,391689,0 93,2,2024-09-07 08:29:30:950,133782,133782,0,0,5651985,0,2509 93,3,2024-09-07 08:29:31:411,1,107,90,0,143,1134,107,0 94,0,2024-09-07 08:29:31:607,26605,0.3,26741,0.5,52982,0.3,70450,1.75 94,1,2024-09-07 08:29:30:577,187867,187867,0,0,88096204280,925140084,185814,1974,79,381,391850,0 94,2,2024-09-07 08:29:30:772,133544,133544,0,0,5365319,0,2443 94,3,2024-09-07 08:29:31:697,1,107,264,0,264,2008,107,0 95,0,2024-09-07 08:29:31:379,27257,0.4,27192,0.6,54735,0.4,72453,1.75 95,1,2024-09-07 08:29:30:854,188107,188107,0,0,87985202973,914661430,187149,894,64,367,391600,0 95,2,2024-09-07 08:29:31:032,135411,135411,0,0,5849719,0,3308 95,3,2024-09-07 08:29:31:717,1,107,1,0,307,1768,107,0 96,0,2024-09-07 08:29:31:041,25869,0.6,25834,0.7,51476,0.6,68541,1.75 96,1,2024-09-07 08:29:31:600,188021,188021,0,0,87929217539,923234505,186012,1438,571,385,391596,0 96,2,2024-09-07 08:29:31:322,139320,139320,0,0,6240928,0,4038 96,3,2024-09-07 08:29:31:146,1,107,1,0,188,1252,107,0 97,0,2024-09-07 08:29:31:362,24641,0.3,24772,0.5,49472,0.3,66169,1.75 97,1,2024-09-07 08:29:30:782,188120,188120,0,0,88205689045,920623800,185892,1709,519,367,392140,0 97,2,2024-09-07 08:29:30:624,132967,132967,0,0,5302191,0,3036 97,3,2024-09-07 08:29:30:573,1,107,1,1,165,1348,107,0 98,0,2024-09-07 08:29:31:740,26836,0.2,26582,0.4,53772,0.2,71169,1.50 98,1,2024-09-07 08:29:30:576,188242,188242,0,0,88039258012,919184512,186947,1236,59,382,391997,0 98,2,2024-09-07 08:29:30:791,134763,134763,0,0,5482417,0,3080 98,3,2024-09-07 08:29:30:723,1,107,5,1,840,2651,107,0 99,0,2024-09-07 08:29:31:463,28403,0.3,28475,0.5,56790,0.3,75431,1.75 99,1,2024-09-07 08:29:31:726,188910,188910,0,0,88443666321,922769093,187388,1076,446,381,391744,0 99,2,2024-09-07 08:29:31:429,137105,137105,0,0,5902225,0,1858 99,3,2024-09-07 08:29:30:601,1,107,6,0,129,836,107,0 100,0,2024-09-07 08:29:31:479,27151,1.3,27053,1.8,54065,3.9,72251,2.50 100,1,2024-09-07 08:29:30:579,187009,187009,0,0,87903263777,934336883,183379,3143,487,381,391989,0 100,2,2024-09-07 08:29:31:823,137549,137538,11,0,7235488,0,5417 100,3,2024-09-07 08:29:31:741,1,107,2,0,443,2281,107,0 101,0,2024-09-07 08:29:31:719,24421,3.3,23691,1.7,46730,3.2,64950,3.25 101,1,2024-09-07 08:29:30:605,186908,186908,0,0,88100878491,932889027,183623,2046,1239,368,391709,0 101,2,2024-09-07 08:29:31:766,133554,133554,0,0,6890523,0,4644 101,3,2024-09-07 08:29:30:947,1,107,22,0,448,1342,107,0 102,0,2024-09-07 08:29:31:041,27763,0.7,28745,0.9,57771,0.6,75406,2.00 102,1,2024-09-07 08:29:31:156,187341,187341,0,0,87880027277,927056848,184547,2278,516,369,391831,0 102,2,2024-09-07 08:29:31:744,134680,134680,0,0,5632654,0,2144 102,3,2024-09-07 08:29:31:616,1,107,1,0,410,1295,107,0 103,0,2024-09-07 08:29:31:600,29450,0.5,29378,0.7,55559,0.4,76487,1.75 103,1,2024-09-07 08:29:31:645,187285,187285,0,0,88276274453,930841587,184567,2006,712,381,391680,0 103,2,2024-09-07 08:29:30:584,135157,135157,0,0,6218148,0,2104 103,3,2024-09-07 08:29:30:761,1,107,29,0,916,2542,107,0 104,0,2024-09-07 08:29:31:038,28147,1.3,28112,1.2,55326,1.4,75698,2.50 104,1,2024-09-07 08:29:31:643,188022,188022,0,0,87509120770,925394660,184774,2671,577,366,391948,0 104,2,2024-09-07 08:29:31:670,134382,134382,0,0,6972129,0,3941 104,3,2024-09-07 08:29:31:430,1,107,1,1,1245,4560,107,0 105,0,2024-09-07 08:29:31:076,24289,1.7,23460,1.4,48953,2.6,65420,3.75 105,1,2024-09-07 08:29:30:592,187614,187614,0,0,88225124860,930880904,183959,2893,762,367,391797,0 105,2,2024-09-07 08:29:31:364,136723,136723,0,0,6424046,0,3314 105,3,2024-09-07 08:29:31:315,1,107,26,1,399,1903,107,0 106,0,2024-09-07 08:29:31:007,27034,0.5,27795,0.7,56410,0.5,74054,2.00 106,1,2024-09-07 08:29:31:750,187923,187923,0,0,87749336323,924354477,185052,2542,329,369,391767,0 106,2,2024-09-07 08:29:30:767,133389,133389,0,0,6466578,0,2795 106,3,2024-09-07 08:29:30:685,1,107,3,1,201,1185,107,0 107,0,2024-09-07 08:29:31:125,27544,0.5,27377,0.7,54613,0.4,73215,1.75 107,1,2024-09-07 08:29:30:586,187334,187334,0,0,87819062585,928310175,184671,2440,223,381,392234,0 107,2,2024-09-07 08:29:31:302,133380,133379,1,0,6715915,0,5024 107,3,2024-09-07 08:29:31:755,1,107,1,0,353,1528,107,0 108,0,2024-09-07 08:29:31:809,27231,0.5,27394,0.7,54133,0.5,72054,1.75 108,1,2024-09-07 08:29:31:304,187768,187768,0,0,88827202906,930859922,185554,1931,283,371,391857,0 108,2,2024-09-07 08:29:31:768,134473,134473,0,0,6313842,0,2647 108,3,2024-09-07 08:29:31:339,1,107,3,0,272,1611,107,0 109,0,2024-09-07 08:29:31:788,25152,0.6,25217,0.7,50181,0.6,67341,1.75 109,1,2024-09-07 08:29:30:603,187437,187437,0,0,88533677042,932500394,185234,1744,459,383,391812,0 109,2,2024-09-07 08:29:30:947,137994,137994,0,0,6510429,0,3617 109,3,2024-09-07 08:29:31:148,1,107,8,1,249,1700,107,0 110,0,2024-09-07 08:29:31:775,25989,0.3,25224,0.5,52645,0.2,69179,1.50 110,1,2024-09-07 08:29:31:643,188465,188465,0,0,88331302160,922786763,186273,1495,697,370,391667,0 110,2,2024-09-07 08:29:31:303,133403,133403,0,0,5571655,0,2915 110,3,2024-09-07 08:29:30:711,1,107,13,0,183,1144,107,0 111,0,2024-09-07 08:29:31:417,26472,0.2,26024,0.4,52098,0.1,69840,1.50 111,1,2024-09-07 08:29:31:004,188266,188266,0,0,88581374800,920699910,186858,1082,326,382,391690,0 111,2,2024-09-07 08:29:31:116,133216,133216,0,0,6223941,0,2763 111,3,2024-09-07 08:29:30:918,1,107,50,0,300,1870,107,0 112,0,2024-09-07 08:29:30:944,28011,0.4,27917,0.5,55704,0.3,74029,1.50 112,1,2024-09-07 08:29:30:833,188185,188185,0,0,88507451341,922360204,186518,1321,346,381,391580,0 112,2,2024-09-07 08:29:31:136,135828,135827,1,0,6157327,0,5036 112,3,2024-09-07 08:29:30:604,1,107,0,0,282,1107,107,0 113,0,2024-09-07 08:29:30:882,25630,0.5,25499,0.6,51805,0.4,68706,1.75 113,1,2024-09-07 08:29:31:692,188227,188227,0,0,87948614743,912582456,186290,1553,384,368,391661,0 113,2,2024-09-07 08:29:31:321,139617,139617,0,0,5305218,0,3021 113,3,2024-09-07 08:29:30:690,1,107,65,0,288,1715,107,0 114,0,2024-09-07 08:29:30:900,24882,1.3,25303,0.8,49680,0.5,67283,2.00 114,1,2024-09-07 08:29:30:727,188281,188281,0,0,88223197571,924376195,185627,1773,881,381,391531,0 114,2,2024-09-07 08:29:30:876,133309,133309,0,0,5557417,0,3925 114,3,2024-09-07 08:29:31:302,1,107,1,0,395,1735,107,0 115,0,2024-09-07 08:29:30:563,27354,0.3,27659,0.4,55439,0.2,73147,1.50 115,1,2024-09-07 08:29:30:572,188480,188480,0,0,89017388697,929495438,186085,1907,488,382,391602,0 115,2,2024-09-07 08:29:31:133,134476,134476,0,0,5066384,0,2152 115,3,2024-09-07 08:29:31:003,1,107,3,0,159,738,107,0 116,0,2024-09-07 08:29:31:715,28717,0.8,28274,0.9,57068,0.9,75817,2.00 116,1,2024-09-07 08:29:30:804,187032,187032,0,0,87773084433,933937715,183599,2047,1386,382,391619,0 116,2,2024-09-07 08:29:31:759,134893,134893,0,0,7059922,0,3529 116,3,2024-09-07 08:29:30:920,1,107,3,1,252,1632,107,0 117,0,2024-09-07 08:29:30:963,27863,1.7,27908,1.2,55420,2.9,74787,2.00 117,1,2024-09-07 08:29:31:582,188028,188028,0,0,87708620709,925053207,184973,2658,397,370,392033,0 117,2,2024-09-07 08:29:31:133,137803,137803,0,0,6054212,0,3700 117,3,2024-09-07 08:29:31:077,1,107,41,0,490,2438,107,0 118,0,2024-09-07 08:29:31:771,23651,0.8,24146,0.9,49445,0.9,65081,2.25 118,1,2024-09-07 08:29:30:602,187718,187718,0,0,87280974130,917642097,185491,1992,235,368,391736,0 118,2,2024-09-07 08:29:31:591,135795,135795,0,0,6378384,0,2781 118,3,2024-09-07 08:29:31:770,1,107,20,0,235,1605,107,0 119,0,2024-09-07 08:29:31:368,28413,1.0,28481,0.9,57873,1.5,76279,2.00 119,1,2024-09-07 08:29:30:556,188069,188069,0,0,87645715863,918296671,185969,1819,281,370,391641,0 119,2,2024-09-07 08:29:31:261,133267,133267,0,0,5723415,0,2532 119,3,2024-09-07 08:29:31:348,1,107,11,0,443,2449,107,0 120,0,2024-09-07 08:29:31:551,27882,0.5,27911,0.8,55641,0.5,74786,2.00 120,1,2024-09-07 08:29:30:872,187977,187977,0,0,87630599765,921912162,185904,2029,44,368,391702,0 120,2,2024-09-07 08:29:30:782,134209,134208,1,0,7729263,0,5281 120,3,2024-09-07 08:29:31:306,1,107,8,0,241,1683,107,0 121,0,2024-09-07 08:29:31:723,27378,1.9,27681,1.3,55213,3.2,73952,2.25 121,1,2024-09-07 08:29:31:664,187669,187669,0,0,88140843570,926121364,185200,2187,282,367,391840,0 121,2,2024-09-07 08:29:31:135,133793,133793,0,0,7690332,0,4127 121,3,2024-09-07 08:29:30:765,1,107,106,0,159,1375,107,0 122,0,2024-09-07 08:29:31:807,25013,1.7,24318,1.5,50730,2.0,67967,2.50 122,1,2024-09-07 08:29:30:878,187039,187039,0,0,88283226852,933112090,183500,2898,641,367,392130,0 122,2,2024-09-07 08:29:31:334,138400,138400,0,0,7645217,0,3364 122,3,2024-09-07 08:29:30:594,1,107,2,0,298,2118,107,0 123,0,2024-09-07 08:29:30:957,26677,0.7,25961,0.8,54294,0.8,71109,2.00 123,1,2024-09-07 08:29:30:559,188357,188357,0,0,88311069124,934437244,184514,3270,573,369,391823,0 123,2,2024-09-07 08:29:31:030,132831,132830,1,0,6440299,0,5215 123,3,2024-09-07 08:29:31:135,1,107,71,1,168,1734,107,0 124,0,2024-09-07 08:29:30:952,27257,0.3,27282,0.5,51400,0.2,70611,1.50 124,1,2024-09-07 08:29:31:027,188020,188020,0,0,88037776773,916370012,186429,1240,351,367,392178,0 124,2,2024-09-07 08:29:31:012,133624,133624,0,0,5701376,0,3101 124,3,2024-09-07 08:29:30:789,1,107,1,1,490,1844,107,0 125,0,2024-09-07 08:29:31:429,27398,0.5,27282,0.6,54706,0.6,72372,1.75 125,1,2024-09-07 08:29:30:866,187486,187486,0,0,88001527597,922593966,185278,1933,275,384,391702,0 125,2,2024-09-07 08:29:31:117,135462,135462,0,0,5719868,0,2180 125,3,2024-09-07 08:29:31:135,1,107,284,1,284,1595,107,0 126,0,2024-09-07 08:29:31:449,25803,0.9,26454,0.9,50687,1.0,68992,2.00 126,1,2024-09-07 08:29:30:566,188573,188573,0,0,88383480672,918974379,187127,1371,75,365,391987,0 126,2,2024-09-07 08:29:30:621,139790,139790,0,0,5860511,0,3186 126,3,2024-09-07 08:29:30:907,1,107,1,0,136,1508,107,0 127,0,2024-09-07 08:29:31:613,24575,0.3,24682,0.5,49545,0.3,66082,1.75 127,1,2024-09-07 08:29:30:573,188258,188258,0,0,88546937224,919881308,186582,1642,34,365,391816,0 127,2,2024-09-07 08:29:30:642,133387,133387,0,0,4977005,0,1803 127,3,2024-09-07 08:29:31:269,1,107,17,0,99,796,107,0 128,0,2024-09-07 08:29:31:546,26992,0.3,26963,0.4,53472,0.2,71121,1.50 128,1,2024-09-07 08:29:31:606,188365,188365,0,0,88484362613,917097958,187608,686,71,367,391680,0 128,2,2024-09-07 08:29:31:397,133081,133081,0,0,5641512,0,2107 128,3,2024-09-07 08:29:30:804,1,107,14,0,247,1392,107,0 129,0,2024-09-07 08:29:31:015,28556,0.3,28518,0.5,56789,0.3,75362,1.75 129,1,2024-09-07 08:29:30:583,187537,187537,0,0,88352995616,926806623,185374,1876,287,379,391835,0 129,2,2024-09-07 08:29:30:725,135714,135714,0,0,5307322,0,2446 129,3,2024-09-07 08:29:30:717,1,107,26,1,173,1534,107,0 130,0,2024-09-07 08:29:31:737,27528,1.2,27275,0.9,54736,1.7,72779,2.00 130,1,2024-09-07 08:29:30:583,188060,188060,0,0,88601952959,924275299,186695,1330,35,381,391825,0 130,2,2024-09-07 08:29:31:128,137847,137847,0,0,5898718,0,4067 130,3,2024-09-07 08:29:31:299,1,107,16,0,207,953,107,0 131,0,2024-09-07 08:29:31:939,24187,0.5,24124,0.6,48833,0.5,65148,1.75 131,1,2024-09-07 08:29:31:836,188956,188956,0,0,88180637479,919208659,187779,989,188,384,391865,0 131,2,2024-09-07 08:29:30:574,134627,134627,0,0,5122709,0,2415 131,3,2024-09-07 08:29:31:692,1,107,56,0,392,1359,107,0 132,0,2024-09-07 08:29:31:458,28171,0.5,28603,0.8,57275,0.5,75724,1.75 132,1,2024-09-07 08:29:30:596,187180,187180,0,0,87165396083,922967443,183758,2665,757,381,391719,0 132,2,2024-09-07 08:29:30:733,133680,133680,0,0,7660246,0,4606 132,3,2024-09-07 08:29:31:701,1,107,1,0,356,1882,107,0 133,0,2024-09-07 08:29:31:521,27910,0.5,28447,0.6,58533,0.4,75741,2.00 133,1,2024-09-07 08:29:30:620,186587,186587,0,0,88058553208,931422149,183680,2731,176,383,391755,0 133,2,2024-09-07 08:29:31:090,135136,135136,0,0,7257019,0,4315 133,3,2024-09-07 08:29:31:318,1,107,9,1,187,1020,107,0 134,0,2024-09-07 08:29:31:010,28208,0.8,28029,0.9,56558,0.8,75541,2.00 134,1,2024-09-07 08:29:30:591,187607,187607,0,0,87462550348,921575799,184230,2288,1089,366,391718,0 134,2,2024-09-07 08:29:31:759,135280,135280,0,0,6129345,0,3096 134,3,2024-09-07 08:29:30:753,1,107,7,0,739,2321,107,0 135,0,2024-09-07 08:29:31:138,23994,1.1,23843,1.2,50446,1.2,65371,2.25 135,1,2024-09-07 08:29:31:597,187180,187180,0,0,87927798269,928847555,183880,2600,700,380,391703,0 135,2,2024-09-07 08:29:30:701,137493,137493,0,0,6642340,0,3981 135,3,2024-09-07 08:29:31:003,1,107,1,0,89,728,107,0 136,0,2024-09-07 08:29:31:638,28137,0.5,28000,0.7,56256,0.4,75294,2.00 136,1,2024-09-07 08:29:31:453,187458,187458,0,0,87703031509,923434147,184756,2538,164,384,391641,0 136,2,2024-09-07 08:29:31:137,134608,134608,0,0,6477649,0,3506 136,3,2024-09-07 08:29:31:112,1,107,3,0,108,1008,107,0 137,0,2024-09-07 08:29:30:984,28087,0.6,27267,0.8,54135,0.6,73321,2.00 137,1,2024-09-07 08:29:30:580,187757,187757,0,0,88239930030,925857665,185200,2378,179,367,391608,0 137,2,2024-09-07 08:29:31:722,133581,133581,0,0,7787108,0,3185 137,3,2024-09-07 08:29:30:809,1,107,16,1,227,1435,107,0 138,0,2024-09-07 08:29:31:807,26429,2.2,26718,1.4,54052,3.5,71937,2.75 138,1,2024-09-07 08:29:31:701,187561,187561,0,0,88202294460,927986239,184669,2544,348,369,391954,0 138,2,2024-09-07 08:29:30:586,134990,134990,0,0,6411068,0,3263 138,3,2024-09-07 08:29:30:613,1,107,1,0,1160,2147,107,0 139,0,2024-09-07 08:29:31:424,24402,3.9,24493,2.1,49491,5.2,66527,3.50 139,1,2024-09-07 08:29:30:584,187146,187146,0,0,87686837028,936411057,182866,3082,1198,381,391892,0 139,2,2024-09-07 08:29:30:744,137916,137916,0,0,7479753,0,3097 139,3,2024-09-07 08:29:31:669,1,107,1,0,244,1569,107,0 140,0,2024-09-07 08:29:31:600,25961,0.3,25915,0.5,51931,0.2,69184,1.50 140,1,2024-09-07 08:29:31:539,188624,188624,0,0,88861193487,918754183,187477,932,215,365,391606,0 140,2,2024-09-07 08:29:30:694,134125,134125,0,0,5874347,0,3388 140,3,2024-09-07 08:29:30:781,1,107,39,0,39,718,107,0 141,0,2024-09-07 08:29:31:721,26063,0.2,26968,0.4,51740,0.1,69789,1.50 141,1,2024-09-07 08:29:30:860,188293,188293,0,0,88739830200,924163888,186624,1317,352,379,391539,0 141,2,2024-09-07 08:29:31:686,133342,133342,0,0,5382870,0,2342 141,3,2024-09-07 08:29:31:046,1,107,20,0,147,843,107,0 142,0,2024-09-07 08:29:31:327,28264,0.3,27883,0.5,55504,0.3,74118,1.75 142,1,2024-09-07 08:29:30:614,188372,188372,0,0,88582015882,923907202,187339,900,133,384,391649,0 142,2,2024-09-07 08:29:31:302,134419,134387,32,0,6992536,0,6028 142,3,2024-09-07 08:29:31:748,1,107,2,0,484,1794,107,0 143,0,2024-09-07 08:29:31:433,25728,0.9,25846,0.8,52031,1.2,68501,2.00 143,1,2024-09-07 08:29:30:570,188192,188192,0,0,88083702880,916021816,186692,1463,37,367,391619,0 143,2,2024-09-07 08:29:30:799,138746,138746,0,0,6286156,0,2669 143,3,2024-09-07 08:29:31:155,1,107,50,0,303,1917,107,0 144,0,2024-09-07 08:29:31:514,23913,0.8,24671,1.9,49983,0.7,67079,2.00 144,1,2024-09-07 08:29:30:575,187546,187546,0,0,87230148993,917616160,185722,1625,199,381,391638,0 144,2,2024-09-07 08:29:31:765,133963,133963,0,0,5376175,0,3473 144,3,2024-09-07 08:29:31:741,1,107,1,0,169,1418,107,0 145,0,2024-09-07 08:29:31:471,26618,0.6,26508,0.9,56192,0.5,73064,2.00 145,1,2024-09-07 08:29:30:585,186499,186499,0,0,87728738039,928837000,183122,2746,631,383,391615,0 145,2,2024-09-07 08:29:31:438,133867,133867,0,0,6568855,0,3903 145,3,2024-09-07 08:29:30:909,1,107,19,0,151,1401,107,0 146,0,2024-09-07 08:29:31:602,28482,0.5,28321,0.8,57094,0.5,75413,2.25 146,1,2024-09-07 08:29:31:611,187744,187744,0,0,88264069867,933647861,183641,3309,794,368,391600,0 146,2,2024-09-07 08:29:31:702,135166,135166,0,0,6401853,0,2379 146,3,2024-09-07 08:29:31:276,1,107,5,0,1520,3708,107,0 147,0,2024-09-07 08:29:31:727,27795,2.1,27687,1.4,54774,3.0,74700,2.75 147,1,2024-09-07 08:29:31:377,188454,188454,0,0,88922311360,926023363,186496,1599,359,368,391791,0 147,2,2024-09-07 08:29:31:013,137224,137224,0,0,5928162,0,2789 147,3,2024-09-07 08:29:30:919,1,107,56,0,141,1218,107,0 0,0,2024-09-07 08:29:41:752,27505,0.5,27520,0.7,58097,0.5,75382,1.75 0,1,2024-09-07 08:29:40:805,189525,189525,0,0,88905277726,932808810,188145,1251,129,372,391692,0 0,2,2024-09-07 08:29:41:069,136055,136055,0,0,5993387,0,4480 0,3,2024-09-07 08:29:40:977,1,108,1,0,247,1779,108,0 1,0,2024-09-07 08:29:41:791,27866,2.2,27589,1.6,55630,2.9,74328,2.50 1,1,2024-09-07 08:29:40:561,189267,189267,0,0,88648394906,930879138,187192,1540,535,372,391857,0 1,2,2024-09-07 08:29:40:642,135845,135845,0,0,5649415,0,3267 1,3,2024-09-07 08:29:41:308,1,108,16,0,167,1248,108,0 2,0,2024-09-07 08:29:41:573,25463,0.9,25491,1.1,50616,1.3,68357,2.25 2,1,2024-09-07 08:29:40:860,189472,189472,0,0,88853923749,924923258,188309,958,205,380,391558,0 2,2,2024-09-07 08:29:41:275,138724,138724,0,0,5834408,0,3304 2,3,2024-09-07 08:29:40:690,1,108,28,0,214,1108,108,0 3,0,2024-09-07 08:29:41:753,27159,0.4,27176,0.6,54302,0.3,72036,1.75 3,1,2024-09-07 08:29:41:621,189023,189023,0,0,89327505237,932868734,186850,1785,388,382,391516,0 3,2,2024-09-07 08:29:41:147,135275,135252,23,0,6079645,0,5851 3,3,2024-09-07 08:29:41:754,1,108,1,0,103,781,108,0 4,0,2024-09-07 08:29:41:842,26077,0.3,26736,0.4,54194,0.2,71487,1.50 4,1,2024-09-07 08:29:40:591,189441,189441,0,0,88928233824,939308337,186945,1977,519,371,391846,0 4,2,2024-09-07 08:29:41:023,134124,134124,0,0,7352001,0,4528 4,3,2024-09-07 08:29:41:027,1,108,0,0,287,1710,108,0 5,0,2024-09-07 08:29:41:388,27493,0.6,27310,0.7,54964,0.7,72751,1.75 5,1,2024-09-07 08:29:40:769,188653,188653,0,0,88454882817,936278301,185252,2469,932,368,392005,0 5,2,2024-09-07 08:29:41:851,135935,135935,0,0,6183700,0,2259 5,3,2024-09-07 08:29:41:741,1,108,28,0,238,1903,108,0 6,0,2024-09-07 08:29:40:933,26273,0.7,25969,1.0,51687,0.8,69775,2.25 6,1,2024-09-07 08:29:40:746,190165,190165,0,0,89267363242,932592860,188632,1458,75,381,391603,0 6,2,2024-09-07 08:29:41:119,140811,140811,0,0,6134339,0,2411 6,3,2024-09-07 08:29:41:275,1,108,1,0,340,1781,108,0 7,0,2024-09-07 08:29:41:537,24965,0.4,24972,0.6,50299,0.3,67262,1.75 7,1,2024-09-07 08:29:40:853,189980,189980,0,0,88885599806,930731989,188229,1686,65,383,391664,0 7,2,2024-09-07 08:29:40:782,133778,133778,0,0,5556176,0,2981 7,3,2024-09-07 08:29:40:851,1,108,1,0,305,1247,108,0 8,0,2024-09-07 08:29:41:409,26821,0.3,27059,0.5,53396,0.2,71437,1.50 8,1,2024-09-07 08:29:41:034,189334,189334,0,0,88953120557,944197686,185405,2644,1285,368,391724,0 8,2,2024-09-07 08:29:40:806,133259,133259,0,0,7307449,0,2986 8,3,2024-09-07 08:29:40:597,1,108,7,0,357,1816,108,0 9,0,2024-09-07 08:29:41:146,28484,0.4,27803,0.5,58026,0.3,75577,1.75 9,1,2024-09-07 08:29:40:845,189063,189063,0,0,88749598033,942335548,185291,2765,1007,370,391738,0 9,2,2024-09-07 08:29:41:087,136432,136432,0,0,7101102,0,3360 9,3,2024-09-07 08:29:41:753,1,108,4,0,496,1843,108,0 10,0,2024-09-07 08:29:41:620,27247,0.5,27274,0.7,54530,0.5,72314,1.75 10,1,2024-09-07 08:29:40:596,189284,189284,0,0,88875068355,937590878,185635,3125,524,381,391741,0 10,2,2024-09-07 08:29:40:768,139163,139163,0,0,6783280,0,2940 10,3,2024-09-07 08:29:40:871,1,108,4,0,136,840,108,0 11,0,2024-09-07 08:29:41:012,24017,0.5,23580,0.8,49214,0.5,66143,2.00 11,1,2024-09-07 08:29:40:571,188902,188902,0,0,88449244950,935763387,184785,2987,1130,384,391537,0 11,2,2024-09-07 08:29:41:129,134830,134830,0,0,6381095,0,3411 11,3,2024-09-07 08:29:41:299,1,108,1,0,720,2712,108,0 12,0,2024-09-07 08:29:41:045,28835,0.3,28704,0.5,57550,0.3,75941,1.50 12,1,2024-09-07 08:29:40:933,189091,189091,0,0,88557210713,930967655,186375,2306,410,371,391837,0 12,2,2024-09-07 08:29:41:560,136055,136055,0,0,6870653,0,3469 12,3,2024-09-07 08:29:41:062,1,108,1,0,358,2081,108,0 13,0,2024-09-07 08:29:41:337,28853,0.4,28959,0.5,57844,0.4,76880,1.75 13,1,2024-09-07 08:29:41:523,189894,189894,0,0,88916948628,935861024,188003,1515,376,384,391717,0 13,2,2024-09-07 08:29:40:604,137407,137407,0,0,6231936,0,3287 13,3,2024-09-07 08:29:41:764,1,108,16,1,416,2118,108,0 14,0,2024-09-07 08:29:40:569,28592,0.6,28787,0.8,57097,0.6,76234,2.00 14,1,2024-09-07 08:29:41:561,190810,190810,0,0,89741094240,933209589,189059,1590,161,364,391571,0 14,2,2024-09-07 08:29:40:796,136811,136811,0,0,6258988,0,2896 14,3,2024-09-07 08:29:41:120,1,108,1,0,1168,2563,108,0 15,0,2024-09-07 08:29:41:566,24829,0.6,24707,0.9,49499,0.7,66090,2.00 15,1,2024-09-07 08:29:41:618,189159,189159,0,0,89280103903,930896329,187874,1225,60,381,391536,0 15,2,2024-09-07 08:29:41:002,138183,138183,0,0,5121888,0,3043 15,3,2024-09-07 08:29:41:421,1,108,0,0,1126,3702,108,0 16,0,2024-09-07 08:29:40:935,28272,0.5,28688,0.8,56711,0.5,76656,2.25 16,1,2024-09-07 08:29:40:566,189807,189807,0,0,89119316358,931986331,188628,1151,28,372,391756,0 16,2,2024-09-07 08:29:41:459,134612,134612,0,0,6369286,0,4719 16,3,2024-09-07 08:29:41:145,1,108,4,0,231,1555,108,0 17,0,2024-09-07 08:29:41:780,28528,0.5,27869,0.6,54694,0.4,74100,1.75 17,1,2024-09-07 08:29:40:568,189130,189130,0,0,88772381143,935704257,186922,1679,529,369,391688,0 17,2,2024-09-07 08:29:41:674,136832,136832,0,0,5531988,0,2857 17,3,2024-09-07 08:29:40:574,1,108,2,0,268,1882,108,0 18,0,2024-09-07 08:29:40:946,26487,1.1,26975,1.1,54116,1.4,71711,2.25 18,1,2024-09-07 08:29:41:645,189739,189739,0,0,88853571490,923481303,188449,1072,218,368,391564,0 18,2,2024-09-07 08:29:41:768,135992,135992,0,0,6133195,0,3541 18,3,2024-09-07 08:29:40:897,1,108,3,0,163,1127,108,0 19,0,2024-09-07 08:29:41:558,25014,1.0,25182,1.1,49895,1.2,66438,3.25 19,1,2024-09-07 08:29:40:566,189914,189914,0,0,89588318708,933873769,187897,1851,166,367,391777,0 19,2,2024-09-07 08:29:41:764,140674,140674,0,0,5730731,0,3988 19,3,2024-09-07 08:29:41:129,1,108,1,0,524,1276,108,0 20,0,2024-09-07 08:29:41:354,26384,0.5,26318,0.6,52641,0.4,69935,2.00 20,1,2024-09-07 08:29:40:575,189772,189772,0,0,89311704220,937091402,187769,1826,177,370,391598,0 20,2,2024-09-07 08:29:40:932,134248,134248,0,0,5823604,0,3721 20,3,2024-09-07 08:29:40:590,1,108,2,0,414,2086,108,0 21,0,2024-09-07 08:29:41:159,26655,0.4,26561,0.5,53001,0.3,70084,1.75 21,1,2024-09-07 08:29:41:559,188978,188978,0,0,88259709359,931184079,186408,2065,505,368,391962,0 21,2,2024-09-07 08:29:41:088,134966,134966,0,0,6257360,0,3747 21,3,2024-09-07 08:29:41:409,1,108,28,0,93,1417,108,0 22,0,2024-09-07 08:29:41:730,27602,1.1,27624,1.1,55212,1.4,73386,2.50 22,1,2024-09-07 08:29:41:023,188914,188914,0,0,88282748567,936330264,184447,3096,1371,382,391667,0 22,2,2024-09-07 08:29:40:760,136515,136515,0,0,5750363,0,3134 22,3,2024-09-07 08:29:41:068,1,108,0,0,228,1249,108,0 23,0,2024-09-07 08:29:41:376,25702,0.7,25894,0.9,51829,0.7,68884,2.25 23,1,2024-09-07 08:29:41:003,190240,190240,0,0,89912408957,938036386,187983,1686,571,365,391549,0 23,2,2024-09-07 08:29:41:091,140156,140156,0,0,5771105,0,2078 23,3,2024-09-07 08:29:41:764,1,108,1,0,645,1559,108,0 24,0,2024-09-07 08:29:40:839,25553,0.5,25516,0.7,51160,0.5,68409,1.75 24,1,2024-09-07 08:29:40:591,188962,188962,0,0,88869591117,934600456,186588,1610,764,369,391640,0 24,2,2024-09-07 08:29:41:080,134064,134064,0,0,7360022,0,2942 24,3,2024-09-07 08:29:41:703,1,108,1,0,234,1474,108,0 25,0,2024-09-07 08:29:41:347,28404,0.4,27732,0.6,54271,0.4,73694,1.75 25,1,2024-09-07 08:29:40:567,188957,188957,0,0,88860146522,936471117,186196,2317,444,371,391788,0 25,2,2024-09-07 08:29:41:646,133832,133832,0,0,7387531,0,3978 25,3,2024-09-07 08:29:41:001,1,108,8,0,158,1271,108,0 26,0,2024-09-07 08:29:41:727,28422,0.4,27795,0.6,58230,0.3,76051,1.75 26,1,2024-09-07 08:29:41:545,189716,189716,0,0,88575647509,935823518,185850,3023,843,381,391564,0 26,2,2024-09-07 08:29:40:864,136916,136916,0,0,6889517,0,2809 26,3,2024-09-07 08:29:41:712,1,108,1,0,796,1458,108,0 27,0,2024-09-07 08:29:41:727,28183,0.6,28429,0.8,56303,0.6,75249,1.75 27,1,2024-09-07 08:29:41:679,190108,190108,0,0,89756308373,935445688,188366,1420,322,381,391539,0 27,2,2024-09-07 08:29:40:873,137145,137145,0,0,7573102,0,3409 27,3,2024-09-07 08:29:41:015,1,108,1,0,564,1439,108,0 28,0,2024-09-07 08:29:41:400,24413,0.7,24830,0.8,49575,0.8,66499,2.25 28,1,2024-09-07 08:29:40:802,189861,189861,0,0,89643229867,935192254,188398,1261,202,383,391646,0 28,2,2024-09-07 08:29:41:765,136200,136200,0,0,5387050,0,2915 28,3,2024-09-07 08:29:41:776,1,108,8,0,502,1393,108,0 29,0,2024-09-07 08:29:41:361,29550,0.4,28776,0.6,56399,0.4,77070,1.75 29,1,2024-09-07 08:29:41:587,190685,190685,0,0,89252870337,928027739,188682,1473,530,369,391621,0 29,2,2024-09-07 08:29:40:866,135640,135640,0,0,5238228,0,4018 29,3,2024-09-07 08:29:40:974,1,108,12,0,105,889,108,0 30,0,2024-09-07 08:29:41:466,28262,0.8,27439,0.9,57281,0.8,75416,2.25 30,1,2024-09-07 08:29:40:610,189658,189658,0,0,89488601971,933769481,187985,1461,212,382,391672,0 30,2,2024-09-07 08:29:41:276,137006,137006,0,0,5437656,0,3161 30,3,2024-09-07 08:29:40:592,1,108,4,0,195,857,108,0 31,0,2024-09-07 08:29:41:778,27558,0.9,27622,0.9,55858,1.0,73945,2.25 31,1,2024-09-07 08:29:40:566,190908,190908,0,0,89845375090,920821343,190593,313,2,356,391553,0 31,2,2024-09-07 08:29:41:280,136104,136104,0,0,6546784,0,3525 31,3,2024-09-07 08:29:41:720,1,108,1,0,129,820,108,0 32,0,2024-09-07 08:29:41:421,25680,0.8,25839,0.9,51681,0.8,69049,1.75 32,1,2024-09-07 08:29:40:804,190670,190670,0,0,89194068805,926681936,189599,1042,29,382,391595,0 32,2,2024-09-07 08:29:40:936,139347,139347,0,0,5471144,0,3155 32,3,2024-09-07 08:29:41:021,1,108,1,0,227,1196,108,0 33,0,2024-09-07 08:29:41:533,27292,0.2,26928,0.4,54582,0.2,72106,1.50 33,1,2024-09-07 08:29:40:578,190753,190753,0,0,89715244971,930722041,189034,1596,123,369,391730,0 33,2,2024-09-07 08:29:40:768,135353,135320,33,0,6642089,0,7012 33,3,2024-09-07 08:29:40:896,1,108,8,0,211,1057,108,0 34,0,2024-09-07 08:29:40:937,26817,0.3,27677,0.5,53137,0.2,71455,1.50 34,1,2024-09-07 08:29:41:044,190760,190760,0,0,89495922691,922131408,190121,634,5,367,391547,0 34,2,2024-09-07 08:29:40:773,134561,134561,0,0,5910515,0,3255 34,3,2024-09-07 08:29:41:692,1,108,1,0,148,799,108,0 35,0,2024-09-07 08:29:40:874,27208,0.6,27544,0.7,54740,0.6,72813,1.75 35,1,2024-09-07 08:29:41:069,189831,189831,0,0,88735378791,922631681,188185,1284,362,384,391587,0 35,2,2024-09-07 08:29:41:589,135962,135962,0,0,5374646,0,2542 35,3,2024-09-07 08:29:40:907,1,108,3,0,219,1261,108,0 36,0,2024-09-07 08:29:41:525,26042,1.1,25938,1.1,52512,1.3,69642,2.50 36,1,2024-09-07 08:29:40:583,189661,189661,0,0,88812157165,931659196,186540,2189,932,366,391543,0 36,2,2024-09-07 08:29:41:760,139578,139578,0,0,6927098,0,3303 36,3,2024-09-07 08:29:40:864,1,108,2,0,378,1213,108,0 37,0,2024-09-07 08:29:41:388,25173,0.4,25071,0.6,50105,0.3,67347,1.75 37,1,2024-09-07 08:29:40:569,189295,189288,0,7,88669927634,935385890,185903,1844,1541,365,391518,0 37,2,2024-09-07 08:29:41:145,134644,134644,0,0,5237603,0,2333 37,3,2024-09-07 08:29:41:767,1,108,1,0,724,2187,108,0 38,0,2024-09-07 08:29:41:486,26743,0.4,25930,0.6,54220,0.4,70936,1.75 38,1,2024-09-07 08:29:41:606,190559,190559,0,0,88848098235,931079406,187697,2341,521,368,391821,0 38,2,2024-09-07 08:29:40:768,135345,135345,0,0,6452574,0,3245 38,3,2024-09-07 08:29:41:003,1,108,1,0,603,1595,108,0 39,0,2024-09-07 08:29:41:778,29250,0.8,28619,0.8,55834,0.9,75772,2.00 39,1,2024-09-07 08:29:40:718,189760,189760,0,0,88845383925,930104272,186781,2311,668,365,391524,0 39,2,2024-09-07 08:29:41:422,136910,136910,0,0,5977581,0,2689 39,3,2024-09-07 08:29:40:717,1,108,1,0,276,1594,108,0 40,0,2024-09-07 08:29:41:521,26636,1.3,27237,1.7,53984,2.0,71707,3.50 40,1,2024-09-07 08:29:40:577,189149,189149,0,0,87823768227,926231678,185286,3098,765,369,391591,0 40,2,2024-09-07 08:29:41:305,139233,139232,1,0,7852619,0,5137 40,3,2024-09-07 08:29:41:144,1,108,1,0,181,1305,108,0 41,0,2024-09-07 08:29:41:028,23927,1.8,24526,2.0,46816,3.0,64789,4.25 41,1,2024-09-07 08:29:40:768,189672,189672,0,0,89504535955,942256575,186092,3063,517,370,391742,0 41,2,2024-09-07 08:29:40:768,134311,134311,0,0,7089886,0,3356 41,3,2024-09-07 08:29:41:681,1,108,8,0,366,1459,108,0 42,0,2024-09-07 08:29:41:497,28032,0.7,28296,0.9,56151,0.6,74112,2.50 42,1,2024-09-07 08:29:41:448,188993,188993,0,0,88874565902,937515785,185601,2556,836,380,391675,0 42,2,2024-09-07 08:29:41:147,134473,134473,0,0,7273863,0,3568 42,3,2024-09-07 08:29:41:009,1,108,0,0,100,817,108,0 43,0,2024-09-07 08:29:40:924,28843,0.8,28028,1.0,58561,0.9,76922,2.25 43,1,2024-09-07 08:29:40:577,189146,189146,0,0,89304086039,934861297,186526,1868,752,366,391604,0 43,2,2024-09-07 08:29:41:736,137013,137013,0,0,7090117,0,3812 43,3,2024-09-07 08:29:41:750,1,108,3,0,325,1853,108,0 44,0,2024-09-07 08:29:40:902,28724,0.7,28558,0.9,57392,0.7,76778,2.00 44,1,2024-09-07 08:29:40:575,190044,190044,0,0,88691451089,918693967,188615,1300,129,356,391662,0 44,2,2024-09-07 08:29:41:276,136651,136651,0,0,5225722,0,1877 44,3,2024-09-07 08:29:41:096,1,108,1,0,817,1482,108,0 45,0,2024-09-07 08:29:41:765,24461,1.1,23932,1.1,50079,1.3,66526,2.25 45,1,2024-09-07 08:29:41:009,190043,190043,0,0,89122584610,926538504,189060,975,8,382,391917,0 45,2,2024-09-07 08:29:41:503,138309,138309,0,0,5918047,0,3596 45,3,2024-09-07 08:29:40:938,1,108,14,0,226,1032,108,0 46,0,2024-09-07 08:29:40:962,28293,0.4,28336,0.6,56411,0.3,75427,1.75 46,1,2024-09-07 08:29:40:577,190275,190275,0,0,88860715226,920783535,188849,1320,106,366,391514,0 46,2,2024-09-07 08:29:40:601,135985,135985,0,0,5774507,0,2920 46,3,2024-09-07 08:29:41:135,1,108,5,0,363,1736,108,0 47,0,2024-09-07 08:29:41:111,27707,0.4,27947,0.5,55915,0.3,73776,1.75 47,1,2024-09-07 08:29:40:567,190234,190234,0,0,88827320660,918486716,189306,918,10,366,391605,0 47,2,2024-09-07 08:29:40:908,136390,136390,0,0,6040678,0,2558 47,3,2024-09-07 08:29:41:116,1,108,51,0,529,1289,108,0 48,0,2024-09-07 08:29:41:514,27507,0.3,27243,0.5,54347,0.3,72403,1.75 48,1,2024-09-07 08:29:41:023,189968,189968,0,0,89160271968,926469811,188665,1296,7,384,391710,0 48,2,2024-09-07 08:29:40:699,135929,135929,0,0,4804997,0,2083 48,3,2024-09-07 08:29:40:753,1,108,1,0,69,856,108,0 49,0,2024-09-07 08:29:41:722,25984,0.6,25343,0.7,49628,0.6,67998,1.75 49,1,2024-09-07 08:29:41:023,189346,189346,0,0,89062538845,930162337,187570,1065,711,382,391583,0 49,2,2024-09-07 08:29:41:798,140206,140206,0,0,5925838,0,3900 49,3,2024-09-07 08:29:41:417,1,108,16,0,274,1085,108,0 50,0,2024-09-07 08:29:41:520,26589,0.2,26083,0.4,52466,0.2,70508,1.50 50,1,2024-09-07 08:29:41:010,191050,191050,0,0,89783056679,933166378,189115,1638,297,368,391530,0 50,2,2024-09-07 08:29:41:069,134779,134779,0,0,5082203,0,2253 50,3,2024-09-07 08:29:41:291,1,108,2,0,335,1090,108,0 51,0,2024-09-07 08:29:41:693,27061,0.2,26533,0.4,51928,0.2,70385,1.50 51,1,2024-09-07 08:29:41:682,190065,190065,0,0,90124529220,935245911,188255,1026,784,367,391637,0 51,2,2024-09-07 08:29:41:318,134492,134492,0,0,4413656,0,2448 51,3,2024-09-07 08:29:41:027,1,108,1,0,162,732,108,0 52,0,2024-09-07 08:29:41:434,27943,0.7,28013,0.8,56119,0.7,73881,2.25 52,1,2024-09-07 08:29:40:576,189531,189531,0,0,89276681659,940103576,185939,2886,706,368,391722,0 52,2,2024-09-07 08:29:41:779,135828,135828,0,0,6558356,0,4779 52,3,2024-09-07 08:29:40:675,1,108,1,0,1782,2716,108,0 53,0,2024-09-07 08:29:41:748,25657,1.4,24823,1.2,51774,1.6,68235,2.75 53,1,2024-09-07 08:29:40:778,189347,189347,0,0,89624729669,940897345,186253,2513,581,370,391617,0 53,2,2024-09-07 08:29:41:298,140563,140563,0,0,5514983,0,1902 53,3,2024-09-07 08:29:40:704,1,108,8,0,133,864,108,0 54,0,2024-09-07 08:29:41:868,24620,1.8,24991,1.1,49241,0.7,67586,3.75 54,1,2024-09-07 08:29:40:580,189774,189774,0,0,89896038391,941249562,186602,2628,544,367,391520,0 54,2,2024-09-07 08:29:40:882,134718,134712,6,0,6317244,0,5382 54,3,2024-09-07 08:29:40:772,1,108,5,0,676,2221,108,0 55,0,2024-09-07 08:29:41:775,26574,0.5,27319,0.8,55447,0.5,71580,2.25 55,1,2024-09-07 08:29:40:778,188858,188858,0,0,88869601098,934925369,184482,3591,785,367,391731,0 55,2,2024-09-07 08:29:40:736,134479,134479,0,0,6148488,0,3275 55,3,2024-09-07 08:29:40:674,1,108,1,0,304,1318,108,0 56,0,2024-09-07 08:29:41:563,29315,1.5,27743,1.2,57013,2.1,76222,2.50 56,1,2024-09-07 08:29:40:582,188915,188915,0,0,89419131759,952552362,184413,3652,850,382,391678,0 56,2,2024-09-07 08:29:41:316,136960,136960,0,0,7581021,0,3567 56,3,2024-09-07 08:29:41:063,1,108,1,0,297,1543,108,0 57,0,2024-09-07 08:29:40:999,27600,2.3,27450,1.5,55287,3.3,74039,4.00 57,1,2024-09-07 08:29:40:997,189200,189200,0,0,88836496886,933251327,186794,2236,170,368,391773,0 57,2,2024-09-07 08:29:41:324,138568,138568,0,0,7490340,0,3178 57,3,2024-09-07 08:29:41:755,1,108,18,0,359,2318,108,0 58,0,2024-09-07 08:29:40:583,23800,1.1,23255,1.1,48717,1.3,64371,2.75 58,1,2024-09-07 08:29:40:581,190421,190418,0,3,89736682181,937691955,188028,2162,228,369,391516,3 58,2,2024-09-07 08:29:41:074,135943,135943,0,0,6564157,0,2549 58,3,2024-09-07 08:29:41:075,1,108,34,0,219,1032,108,0 59,0,2024-09-07 08:29:41:764,28833,0.9,28448,1.0,57215,1.0,75844,3.00 59,1,2024-09-07 08:29:40:804,189342,189342,0,0,88881099296,936011768,185978,2442,922,369,391515,0 59,2,2024-09-07 08:29:40:583,136063,136063,0,0,5945026,0,2604 59,3,2024-09-07 08:29:41:737,1,108,0,0,1015,2343,108,0 60,0,2024-09-07 08:29:41:727,28544,0.4,28644,0.6,56600,0.4,75586,1.75 60,1,2024-09-07 08:29:40:782,191246,191246,0,0,89191879982,927633037,190006,890,350,370,391761,0 60,2,2024-09-07 08:29:41:159,136050,136050,0,0,6466929,0,3811 60,3,2024-09-07 08:29:41:259,1,108,0,0,124,1114,108,0 61,0,2024-09-07 08:29:41:560,27622,1.9,27813,1.3,55575,3.1,74286,2.00 61,1,2024-09-07 08:29:40:770,189174,189174,0,0,89395876196,941236468,186388,2249,537,382,391589,0 61,2,2024-09-07 08:29:41:132,136191,136191,0,0,5486218,0,1846 61,3,2024-09-07 08:29:41:695,1,108,2,0,199,1482,108,0 62,0,2024-09-07 08:29:41:720,25687,1.6,26229,1.1,50205,2.8,68833,2.50 62,1,2024-09-07 08:29:41:121,190842,190836,0,6,89853425820,929498244,189415,1383,38,365,391715,6 62,2,2024-09-07 08:29:41:654,138127,138126,1,0,6837695,0,5555 62,3,2024-09-07 08:29:41:144,1,108,14,0,287,765,108,0 63,0,2024-09-07 08:29:41:461,27019,0.4,27102,0.5,54167,0.3,72017,1.75 63,1,2024-09-07 08:29:40:806,190665,190659,0,6,89522243471,928977183,189590,1049,20,381,391540,6 63,2,2024-09-07 08:29:40:767,134679,134679,0,0,5373476,0,2674 63,3,2024-09-07 08:29:41:746,1,108,1,0,667,2013,108,0 64,0,2024-09-07 08:29:41:526,26705,0.4,26599,0.6,53468,0.4,71467,1.75 64,1,2024-09-07 08:29:40:750,190027,190027,0,0,89292028195,936047004,187451,1780,796,371,391783,0 64,2,2024-09-07 08:29:41:148,135713,135694,19,0,6008813,0,6121 64,3,2024-09-07 08:29:41:158,1,108,0,0,265,1152,108,0 65,0,2024-09-07 08:29:41:688,26732,1.6,27089,1.2,54228,2.0,72068,3.00 65,1,2024-09-07 08:29:40:870,189523,189523,0,0,88838739705,930064094,187689,1613,221,382,391770,0 65,2,2024-09-07 08:29:41:699,136912,136912,0,0,6340119,0,3367 65,3,2024-09-07 08:29:41:693,1,108,3,0,163,1169,108,0 66,0,2024-09-07 08:29:41:787,25627,0.5,25785,0.8,51664,0.5,69060,2.25 66,1,2024-09-07 08:29:41:293,190595,190595,0,0,89103719879,924154720,189817,755,23,380,391588,0 66,2,2024-09-07 08:29:41:144,141608,141608,0,0,5671031,0,3867 66,3,2024-09-07 08:29:41:079,1,108,1,0,291,1273,108,0 67,0,2024-09-07 08:29:41:419,25388,0.5,25290,0.6,50374,0.4,67369,2.00 67,1,2024-09-07 08:29:40:768,189498,189497,0,1,89357871295,934971270,187414,1558,525,381,391787,1 67,2,2024-09-07 08:29:40:583,135143,135143,0,0,5101308,0,2889 67,3,2024-09-07 08:29:41:751,1,108,99,0,138,1003,108,0 68,0,2024-09-07 08:29:40:592,27083,0.5,26942,0.7,53643,0.5,71271,2.00 68,1,2024-09-07 08:29:40:595,189580,189580,0,0,88844880292,932083379,187883,1175,522,381,391953,0 68,2,2024-09-07 08:29:41:053,134287,134287,0,0,6403263,0,4883 68,3,2024-09-07 08:29:40:732,1,108,1,0,151,1143,108,0 69,0,2024-09-07 08:29:41:756,28372,1.1,28581,1.0,56924,1.4,75522,2.25 69,1,2024-09-07 08:29:41:038,189064,189064,0,0,88921239280,942396752,186296,1690,1078,384,391611,0 69,2,2024-09-07 08:29:41:739,136893,136893,0,0,7140537,0,3701 69,3,2024-09-07 08:29:40:767,1,108,8,0,238,1452,108,0 70,0,2024-09-07 08:29:41:585,26887,2.5,26977,1.8,54118,1.3,71465,3.75 70,1,2024-09-07 08:29:40:809,190125,190125,0,0,89570822730,931859508,188234,1432,459,368,391725,0 70,2,2024-09-07 08:29:41:340,139054,139054,0,0,6714727,0,4044 70,3,2024-09-07 08:29:40:745,1,108,1,0,178,698,108,0 71,0,2024-09-07 08:29:41:366,23910,1.9,24126,1.8,47819,2.7,65112,3.50 71,1,2024-09-07 08:29:41:604,190085,190085,0,0,89537964086,936179322,187538,2213,334,368,391682,0 71,2,2024-09-07 08:29:41:070,135282,135282,0,0,5871658,0,2146 71,3,2024-09-07 08:29:41:752,1,108,1,0,279,1432,108,0 72,0,2024-09-07 08:29:41:042,29486,0.6,28775,0.8,56327,0.5,76039,2.25 72,1,2024-09-07 08:29:41:023,189446,189446,0,0,88991705603,933053809,186666,2298,482,370,391819,0 72,2,2024-09-07 08:29:41:766,134333,134333,0,0,7796772,0,2570 72,3,2024-09-07 08:29:41:764,1,108,6,0,325,1495,108,0 73,0,2024-09-07 08:29:41:104,28239,0.4,28898,0.6,59044,0.4,76650,2.25 73,1,2024-09-07 08:29:40:766,189661,189661,0,0,89055047454,927778037,188074,1473,114,368,391627,0 73,2,2024-09-07 08:29:41:756,137458,137458,0,0,7349764,0,3482 73,3,2024-09-07 08:29:40:977,1,108,12,0,274,1756,108,0 74,0,2024-09-07 08:29:41:342,28775,0.8,29221,1.0,55802,1.1,76408,2.75 74,1,2024-09-07 08:29:40:657,189101,189101,0,0,88670510636,929026465,186771,1724,606,382,391511,0 74,2,2024-09-07 08:29:41:004,136333,136333,0,0,7515804,0,4253 74,3,2024-09-07 08:29:41:442,1,108,54,0,246,1617,108,0 75,0,2024-09-07 08:29:41:784,24864,1.0,24820,1.2,49457,1.0,66810,2.75 75,1,2024-09-07 08:29:41:588,189532,189532,0,0,88960477193,932924868,187199,1970,363,381,391579,0 75,2,2024-09-07 08:29:41:361,137383,137383,0,0,6791434,0,4766 75,3,2024-09-07 08:29:41:068,1,108,0,0,535,1452,108,0 76,0,2024-09-07 08:29:40:610,28339,0.4,28189,0.7,55652,0.3,75617,2.25 76,1,2024-09-07 08:29:40:805,189292,189292,0,0,87975683661,921982839,187402,1382,508,382,391530,0 76,2,2024-09-07 08:29:41:064,136394,136394,0,0,5648997,0,3064 76,3,2024-09-07 08:29:41:147,1,108,20,0,175,1238,108,0 77,0,2024-09-07 08:29:41:801,27697,0.6,27900,0.7,55863,0.6,73536,2.00 77,1,2024-09-07 08:29:40:842,190034,190034,0,0,89415615841,933728167,188765,1199,70,383,391555,0 77,2,2024-09-07 08:29:41:281,135903,135903,0,0,5799287,0,3890 77,3,2024-09-07 08:29:41:098,1,108,1,0,305,1391,108,0 78,0,2024-09-07 08:29:41:847,27204,0.7,27156,0.9,54409,0.7,72017,2.25 78,1,2024-09-07 08:29:40:630,190166,190166,0,0,88548591886,923507028,188473,1488,205,367,391589,0 78,2,2024-09-07 08:29:41:405,136605,136605,0,0,5435602,0,2114 78,3,2024-09-07 08:29:41:137,1,108,16,0,181,1404,108,0 79,0,2024-09-07 08:29:41:357,24446,0.6,25010,0.9,51143,0.5,66574,2.75 79,1,2024-09-07 08:29:40:571,190390,190390,0,0,88794672314,923666853,188399,1820,171,369,391682,0 79,2,2024-09-07 08:29:41:070,140459,140459,0,0,6011385,0,3212 79,3,2024-09-07 08:29:40:749,1,108,5,0,289,1457,108,0 80,0,2024-09-07 08:29:41:089,26166,0.5,27056,0.6,51910,0.4,70236,1.75 80,1,2024-09-07 08:29:41:647,189465,189465,0,0,88826584047,926025453,187562,1786,117,368,391673,0 80,2,2024-09-07 08:29:41:099,135212,135212,0,0,5514882,0,4433 80,3,2024-09-07 08:29:40:578,1,108,50,1,190,1822,108,0 81,0,2024-09-07 08:29:41:580,26546,0.5,27266,0.6,52252,0.5,70350,1.75 81,1,2024-09-07 08:29:41:665,189723,189723,0,0,88453487506,926688813,187774,1749,200,382,391680,0 81,2,2024-09-07 08:29:41:129,134227,134227,0,0,6128555,0,3993 81,3,2024-09-07 08:29:41:120,1,108,7,0,193,1077,108,0 82,0,2024-09-07 08:29:41:557,27750,0.7,27781,0.9,55784,0.7,74031,2.00 82,1,2024-09-07 08:29:40:591,189557,189553,0,4,89075446513,931395024,187354,1625,574,382,391558,4 82,2,2024-09-07 08:29:41:704,137205,137205,0,0,5233298,0,2230 82,3,2024-09-07 08:29:41:753,1,108,1,0,227,1579,108,0 83,0,2024-09-07 08:29:41:558,25905,1.2,25686,1.1,51059,1.4,68086,2.50 83,1,2024-09-07 08:29:40:550,190068,190068,0,0,89379933443,933424129,188568,1399,101,383,391553,0 83,2,2024-09-07 08:29:40:767,139752,139752,0,0,5999004,0,3119 83,3,2024-09-07 08:29:40:753,1,108,1,0,127,997,108,0 84,0,2024-09-07 08:29:41:895,25086,1.1,24870,1.3,49987,0.8,68019,2.50 84,1,2024-09-07 08:29:41:049,188992,188992,0,0,89085593404,936760958,186253,2316,423,369,391638,0 84,2,2024-09-07 08:29:40:579,134722,134722,0,0,6040911,0,3801 84,3,2024-09-07 08:29:41:145,1,108,1,1,85,1039,108,0 85,0,2024-09-07 08:29:41:033,26504,0.6,26516,0.8,56168,0.5,72779,2.25 85,1,2024-09-07 08:29:40:565,188775,188775,0,0,89219106551,945846886,185198,3102,475,382,391679,0 85,2,2024-09-07 08:29:40:875,135070,135070,0,0,7029400,0,3656 85,3,2024-09-07 08:29:40:687,1,108,9,0,115,1132,108,0 86,0,2024-09-07 08:29:40:903,28551,0.7,29463,0.7,56602,0.7,76150,2.00 86,1,2024-09-07 08:29:40:832,189765,189765,0,0,89405323399,937711020,187210,2275,280,367,391961,0 86,2,2024-09-07 08:29:40:860,136881,136880,1,0,7324023,0,5004 86,3,2024-09-07 08:29:40:593,1,108,8,0,199,1322,108,0 87,0,2024-09-07 08:29:41:458,28016,2.2,27946,1.4,55993,3.6,75200,2.75 87,1,2024-09-07 08:29:40:559,189154,189154,0,0,88163816791,926192953,186840,2174,140,366,391788,0 87,2,2024-09-07 08:29:41:072,138137,138137,0,0,6009209,0,3515 87,3,2024-09-07 08:29:41:794,1,108,1,1,335,1769,108,0 88,0,2024-09-07 08:29:41:476,24581,0.7,24863,0.8,49330,0.8,66502,2.00 88,1,2024-09-07 08:29:40:569,188726,188726,0,0,88578961904,933338229,186023,1928,775,367,391787,0 88,2,2024-09-07 08:29:40:689,136066,136066,0,0,7114011,0,3583 88,3,2024-09-07 08:29:41:272,1,108,1,1,77,1166,108,0 89,0,2024-09-07 08:29:41:790,29676,0.6,28844,0.8,57115,0.5,77218,1.75 89,1,2024-09-07 08:29:40:556,188642,188642,0,0,88593917685,944305063,184127,3643,872,382,391866,0 89,2,2024-09-07 08:29:41:173,135300,135300,0,0,7048485,0,2901 89,3,2024-09-07 08:29:41:799,1,108,8,0,325,2254,108,0 90,0,2024-09-07 08:29:41:625,27598,0.4,28149,0.6,57910,0.4,75526,1.75 90,1,2024-09-07 08:29:40:619,189757,189757,0,0,88653617232,936301232,187033,2566,158,382,391825,0 90,2,2024-09-07 08:29:41:436,135275,135275,0,0,7710754,0,2635 90,3,2024-09-07 08:29:40:933,1,108,1,1,200,1243,108,0 91,0,2024-09-07 08:29:40:931,28144,0.6,27254,0.7,56609,0.6,74711,1.75 91,1,2024-09-07 08:29:40:556,189152,189152,0,0,89208719015,942716144,185860,2799,493,383,391914,0 91,2,2024-09-07 08:29:41:361,134462,134462,0,0,7335010,0,2445 91,3,2024-09-07 08:29:40:606,1,108,3,0,155,1448,108,0 92,0,2024-09-07 08:29:41:486,26016,0.8,26592,0.9,50627,0.9,69252,2.00 92,1,2024-09-07 08:29:40:586,189519,189519,0,0,88921567602,931270292,187729,1396,394,382,391717,0 92,2,2024-09-07 08:29:41:352,140571,140571,0,0,5980201,0,2279 92,3,2024-09-07 08:29:41:011,1,108,6,0,68,1063,108,0 93,0,2024-09-07 08:29:40:968,27245,0.3,27783,0.5,53280,0.2,72169,1.75 93,1,2024-09-07 08:29:40:810,189876,189876,0,0,89087163195,934020266,187525,1907,444,366,391689,0 93,2,2024-09-07 08:29:40:940,135339,135339,0,0,5816905,0,2509 93,3,2024-09-07 08:29:41:445,1,108,5,0,143,1139,108,0 94,0,2024-09-07 08:29:41:695,26936,0.3,27066,0.5,53698,0.3,71388,1.75 94,1,2024-09-07 08:29:40:565,189597,189597,0,0,88848767599,932925638,187543,1975,79,381,391850,0 94,2,2024-09-07 08:29:40:769,134765,134765,0,0,5465306,0,2443 94,3,2024-09-07 08:29:41:695,1,108,12,0,264,2020,108,0 95,0,2024-09-07 08:29:41:692,27431,0.4,27394,0.6,55108,0.4,72932,1.75 95,1,2024-09-07 08:29:40:853,189807,189807,0,0,88719123569,922257805,188849,894,64,367,391600,0 95,2,2024-09-07 08:29:41:018,136480,136480,0,0,5916291,0,3308 95,3,2024-09-07 08:29:41:720,1,108,117,0,307,1885,108,0 96,0,2024-09-07 08:29:41:065,26210,0.6,26201,0.7,52148,0.6,69473,1.75 96,1,2024-09-07 08:29:41:596,189745,189745,0,0,88778031040,932352434,187702,1472,571,385,391596,0 96,2,2024-09-07 08:29:41:318,140482,140482,0,0,6302402,0,4038 96,3,2024-09-07 08:29:41:144,1,108,2,0,188,1254,108,0 97,0,2024-09-07 08:29:41:364,25083,0.3,25167,0.5,50319,0.3,67297,1.75 97,1,2024-09-07 08:29:40:769,189876,189876,0,0,89183581083,930866497,187646,1711,519,367,392140,0 97,2,2024-09-07 08:29:40:625,134223,134223,0,0,5326858,0,3036 97,3,2024-09-07 08:29:40:569,1,108,23,1,165,1371,108,0 98,0,2024-09-07 08:29:41:748,26973,0.2,26688,0.4,54023,0.2,71516,1.50 98,1,2024-09-07 08:29:40:574,190028,190028,0,0,88934083367,928329444,188732,1237,59,382,391997,0 98,2,2024-09-07 08:29:40:779,136075,136075,0,0,5565295,0,3080 98,3,2024-09-07 08:29:40:698,1,108,1,1,840,2652,108,0 99,0,2024-09-07 08:29:41:506,28509,0.3,28615,0.5,57045,0.3,75772,1.75 99,1,2024-09-07 08:29:41:727,190711,190711,0,0,89293626820,931521372,189188,1077,446,381,391744,0 99,2,2024-09-07 08:29:41:464,138488,138488,0,0,5938070,0,1858 99,3,2024-09-07 08:29:40:589,1,108,2,0,129,838,108,0 100,0,2024-09-07 08:29:41:729,27155,1.3,27063,1.8,54080,3.9,72282,2.50 100,1,2024-09-07 08:29:40:548,188881,188881,0,0,89046168475,946139984,185251,3143,487,381,391989,0 100,2,2024-09-07 08:29:41:820,138837,138826,11,0,7296324,0,5417 100,3,2024-09-07 08:29:41:734,1,108,5,0,443,2286,108,0 101,0,2024-09-07 08:29:41:744,24761,3.3,23999,1.7,47426,3.0,65920,3.00 101,1,2024-09-07 08:29:40:566,188757,188757,0,0,88916010956,941345026,185472,2046,1239,368,391709,0 101,2,2024-09-07 08:29:41:782,134510,134510,0,0,6920144,0,4644 101,3,2024-09-07 08:29:40:944,1,108,9,0,448,1351,108,0 102,0,2024-09-07 08:29:40:949,27842,0.7,28822,0.9,57959,0.6,75644,2.00 102,1,2024-09-07 08:29:41:149,189063,189063,0,0,88560436006,934037266,186269,2278,516,369,391831,0 102,2,2024-09-07 08:29:41:756,136040,136040,0,0,5698714,0,2144 102,3,2024-09-07 08:29:41:622,1,108,6,0,410,1301,108,0 103,0,2024-09-07 08:29:41:633,29684,0.5,29599,0.7,55996,0.4,77023,1.75 103,1,2024-09-07 08:29:41:662,189027,189027,0,0,88912403163,937656917,186309,2006,712,381,391680,0 103,2,2024-09-07 08:29:40:587,136618,136618,0,0,6251868,0,2104 103,3,2024-09-07 08:29:40:758,1,108,3,0,916,2545,108,0 104,0,2024-09-07 08:29:41:061,28477,1.2,28436,1.2,55967,1.4,76661,2.50 104,1,2024-09-07 08:29:41:632,189185,189185,0,0,88376797962,934766443,185874,2727,584,366,391948,0 104,2,2024-09-07 08:29:41:679,135833,135833,0,0,7059349,0,3941 104,3,2024-09-07 08:29:41:437,1,108,9,1,1245,4569,108,0 105,0,2024-09-07 08:29:41:326,24534,1.7,23724,1.4,49479,2.6,66131,3.75 105,1,2024-09-07 08:29:40:571,189445,189445,0,0,88976634299,938915416,185782,2901,762,367,391797,0 105,2,2024-09-07 08:29:41:362,137384,137384,0,0,6454354,0,3314 105,3,2024-09-07 08:29:41:336,1,108,6,1,399,1909,108,0 106,0,2024-09-07 08:29:40:956,27519,0.6,28245,0.8,57068,0.5,75621,2.00 106,1,2024-09-07 08:29:41:755,189667,189667,0,0,88584058999,932910399,186796,2542,329,369,391767,0 106,2,2024-09-07 08:29:40:781,134983,134983,0,0,6533517,0,2795 106,3,2024-09-07 08:29:40:678,1,108,2,1,201,1187,108,0 107,0,2024-09-07 08:29:41:198,27867,0.5,27691,0.7,55197,0.4,74036,1.75 107,1,2024-09-07 08:29:40:590,189156,189156,0,0,88849716031,938872664,186493,2440,223,381,392234,0 107,2,2024-09-07 08:29:41:299,134971,134970,1,0,6770892,0,5024 107,3,2024-09-07 08:29:41:763,1,108,3,0,353,1531,108,0 108,0,2024-09-07 08:29:41:816,27392,0.5,27540,0.7,54433,0.5,72478,1.75 108,1,2024-09-07 08:29:41:306,189500,189500,0,0,89528341233,938160607,187286,1931,283,371,391857,0 108,2,2024-09-07 08:29:41:777,135503,135503,0,0,6365790,0,2647 108,3,2024-09-07 08:29:41:333,1,108,26,0,272,1637,108,0 109,0,2024-09-07 08:29:41:805,25387,0.6,25467,0.7,50641,0.6,68037,1.75 109,1,2024-09-07 08:29:40:583,189168,189168,0,0,89283400573,940313460,186965,1744,459,383,391812,0 109,2,2024-09-07 08:29:40:921,138946,138946,0,0,6616145,0,3617 109,3,2024-09-07 08:29:41:144,1,108,13,1,249,1713,108,0 110,0,2024-09-07 08:29:41:790,26351,0.3,25594,0.5,53436,0.2,70205,1.50 110,1,2024-09-07 08:29:41:657,190186,190186,0,0,89260958999,932391172,187994,1495,697,370,391667,0 110,2,2024-09-07 08:29:41:314,134923,134923,0,0,5740401,0,2915 110,3,2024-09-07 08:29:40:696,1,108,2,0,183,1146,108,0 111,0,2024-09-07 08:29:41:439,26666,0.2,26218,0.4,52447,0.1,70341,1.50 111,1,2024-09-07 08:29:41:032,190131,190131,0,0,89516684518,930433302,188721,1084,326,382,391690,0 111,2,2024-09-07 08:29:41:124,134597,134597,0,0,6288272,0,2763 111,3,2024-09-07 08:29:40:913,1,108,1,0,300,1871,108,0 112,0,2024-09-07 08:29:40:963,28102,0.4,28001,0.5,55899,0.3,74299,1.50 112,1,2024-09-07 08:29:40:830,189911,189911,0,0,89171593923,929233552,188244,1321,346,381,391580,0 112,2,2024-09-07 08:29:41:136,136864,136863,1,0,6217716,0,5036 112,3,2024-09-07 08:29:40:592,1,108,1,0,282,1108,108,0 113,0,2024-09-07 08:29:40:888,25727,0.5,25602,0.6,52043,0.4,69064,1.75 113,1,2024-09-07 08:29:41:715,189967,189967,0,0,88756004778,920956806,188030,1553,384,368,391661,0 113,2,2024-09-07 08:29:41:312,140939,140939,0,0,5336915,0,3021 113,3,2024-09-07 08:29:40:691,1,108,1,0,288,1716,108,0 114,0,2024-09-07 08:29:40:881,25421,1.1,25820,0.8,50621,0.5,68600,2.00 114,1,2024-09-07 08:29:40:718,190025,190025,0,0,88900453370,931390580,187371,1773,881,381,391531,0 114,2,2024-09-07 08:29:40:873,134403,134403,0,0,5591498,0,3925 114,3,2024-09-07 08:29:41:284,1,108,5,0,395,1740,108,0 115,0,2024-09-07 08:29:40:561,27463,0.3,27767,0.4,55652,0.2,73457,1.50 115,1,2024-09-07 08:29:40:572,190196,190196,0,0,89819180741,937778531,187801,1907,488,382,391602,0 115,2,2024-09-07 08:29:41:129,135697,135697,0,0,5099143,0,2152 115,3,2024-09-07 08:29:41:003,1,108,2,0,159,740,108,0 116,0,2024-09-07 08:29:41:746,28822,0.8,28381,0.9,57258,0.9,76073,2.00 116,1,2024-09-07 08:29:41:030,188780,188780,0,0,88463722651,941619357,185331,2063,1386,382,391619,0 116,2,2024-09-07 08:29:41:773,136323,136323,0,0,7303526,0,3529 116,3,2024-09-07 08:29:40:913,1,108,8,1,252,1640,108,0 117,0,2024-09-07 08:29:41:047,28054,1.6,28103,1.2,55825,2.8,75283,2.00 117,1,2024-09-07 08:29:41:602,189758,189758,0,0,88350474627,931666237,186703,2658,397,370,392033,0 117,2,2024-09-07 08:29:41:131,139308,139308,0,0,6125694,0,3700 117,3,2024-09-07 08:29:41:100,1,108,15,0,490,2453,108,0 118,0,2024-09-07 08:29:41:777,24084,0.8,24614,0.9,50300,0.8,66233,2.25 118,1,2024-09-07 08:29:40:603,189509,189509,0,0,88051002643,925625341,187282,1992,235,368,391736,0 118,2,2024-09-07 08:29:41:602,136515,136515,0,0,6399574,0,2781 118,3,2024-09-07 08:29:41:768,1,108,3,0,235,1608,108,0 119,0,2024-09-07 08:29:41:386,28528,1.0,28599,0.9,58099,1.5,76575,2.00 119,1,2024-09-07 08:29:40:555,189806,189806,0,0,88626882943,928410913,187706,1819,281,370,391641,0 119,2,2024-09-07 08:29:41:263,134863,134863,0,0,5772098,0,2532 119,3,2024-09-07 08:29:41:325,1,108,3,0,443,2452,108,0 120,0,2024-09-07 08:29:41:598,28181,0.5,28194,0.8,56179,0.5,75513,2.00 120,1,2024-09-07 08:29:40:866,189798,189798,0,0,88619864596,932032649,187725,2029,44,368,391702,0 120,2,2024-09-07 08:29:40:770,135589,135588,1,0,8167414,0,5281 120,3,2024-09-07 08:29:41:293,1,108,7,0,241,1690,108,0 121,0,2024-09-07 08:29:41:706,27585,1.9,27928,1.3,55634,3.2,74550,2.25 121,1,2024-09-07 08:29:41:663,189485,189485,0,0,88991877138,935289408,186991,2212,282,367,391840,0 121,2,2024-09-07 08:29:41:126,134957,134957,0,0,7753860,0,4127 121,3,2024-09-07 08:29:40:734,1,108,17,0,159,1392,108,0 122,0,2024-09-07 08:29:41:839,25476,1.7,24722,1.5,51719,2.0,69181,2.50 122,1,2024-09-07 08:29:40:864,188860,188860,0,0,88981339195,940505549,185319,2900,641,367,392130,0 122,2,2024-09-07 08:29:41:321,139358,139358,0,0,7681833,0,3364 122,3,2024-09-07 08:29:40:605,1,108,97,0,298,2215,108,0 123,0,2024-09-07 08:29:40:965,26953,0.7,26207,0.8,54855,0.8,71809,2.00 123,1,2024-09-07 08:29:40:570,190018,190018,0,0,89005248735,941648269,186175,3270,573,369,391823,0 123,2,2024-09-07 08:29:41:022,134338,134337,1,0,6518270,0,5215 123,3,2024-09-07 08:29:41:135,1,108,1,1,168,1735,108,0 124,0,2024-09-07 08:29:40:963,27598,0.3,27607,0.5,52075,0.2,71574,1.50 124,1,2024-09-07 08:29:41:063,189707,189707,0,0,88825245799,924843346,188114,1242,351,367,392178,0 124,2,2024-09-07 08:29:41:024,135023,135023,0,0,5772535,0,3101 124,3,2024-09-07 08:29:40:759,1,108,1,1,490,1845,108,0 125,0,2024-09-07 08:29:41:472,27541,0.5,27486,0.6,55073,0.6,72851,1.75 125,1,2024-09-07 08:29:40:895,189275,189275,0,0,88725590490,930138751,187067,1933,275,384,391702,0 125,2,2024-09-07 08:29:41:133,136622,136622,0,0,5843888,0,2180 125,3,2024-09-07 08:29:41:151,1,108,19,1,284,1614,108,0 126,0,2024-09-07 08:29:41:443,26120,0.9,26800,0.9,51366,1.0,69906,2.00 126,1,2024-09-07 08:29:40:564,190286,190286,0,0,89207264019,927490906,188839,1371,76,365,391987,0 126,2,2024-09-07 08:29:40:626,141095,141095,0,0,5965234,0,3186 126,3,2024-09-07 08:29:40:907,1,108,2,0,136,1510,108,0 127,0,2024-09-07 08:29:41:607,24990,0.4,25153,0.5,50442,0.3,67349,1.75 127,1,2024-09-07 08:29:40:577,190122,190122,0,0,89485456182,929993894,188394,1694,34,365,391816,0 127,2,2024-09-07 08:29:40:636,134660,134660,0,0,5021210,0,1803 127,3,2024-09-07 08:29:41:276,1,108,8,0,99,804,108,0 128,0,2024-09-07 08:29:41:562,27102,0.3,27079,0.4,53706,0.2,71453,1.50 128,1,2024-09-07 08:29:41:838,190178,190178,0,0,89584515548,928455910,189420,687,71,367,391680,0 128,2,2024-09-07 08:29:41:384,134297,134297,0,0,5691575,0,2107 128,3,2024-09-07 08:29:40:779,1,108,3,0,247,1395,108,0 129,0,2024-09-07 08:29:41:052,28698,0.3,28644,0.5,57043,0.3,75707,1.75 129,1,2024-09-07 08:29:40:568,189357,189357,0,0,89361959016,937303026,187193,1877,287,379,391835,0 129,2,2024-09-07 08:29:40:693,137148,137148,0,0,5351837,0,2446 129,3,2024-09-07 08:29:40:688,1,108,4,1,173,1538,108,0 130,0,2024-09-07 08:29:41:747,27533,1.2,27285,0.9,54746,1.7,72812,2.00 130,1,2024-09-07 08:29:40:587,189844,189844,0,0,89405058776,932866282,188453,1356,35,381,391825,0 130,2,2024-09-07 08:29:41:129,139075,139075,0,0,5926936,0,4067 130,3,2024-09-07 08:29:41:305,1,108,4,0,207,957,108,0 131,0,2024-09-07 08:29:41:940,24522,0.5,24488,0.6,49522,0.5,66117,1.75 131,1,2024-09-07 08:29:41:824,190788,190788,0,0,89043001873,928312205,189608,992,188,384,391865,0 131,2,2024-09-07 08:29:40:574,135527,135527,0,0,5161040,0,2415 131,3,2024-09-07 08:29:41:693,1,108,1,0,392,1360,108,0 132,0,2024-09-07 08:29:41:429,28265,0.5,28685,0.8,57435,0.5,75966,1.75 132,1,2024-09-07 08:29:40:577,189000,189000,0,0,87949511139,931149750,185578,2665,757,381,391719,0 132,2,2024-09-07 08:29:40:718,135032,135032,0,0,7708658,0,4606 132,3,2024-09-07 08:29:41:712,1,108,45,0,356,1927,108,0 133,0,2024-09-07 08:29:41:543,28112,0.5,28673,0.6,58979,0.4,76314,2.00 133,1,2024-09-07 08:29:40:588,188310,188310,0,0,88994277465,941463078,185363,2769,178,383,391755,0 133,2,2024-09-07 08:29:41:088,136593,136593,0,0,7306196,0,4315 133,3,2024-09-07 08:29:41:298,1,108,8,1,187,1028,108,0 134,0,2024-09-07 08:29:40:961,28514,0.7,28334,0.9,57173,0.8,76421,2.00 134,1,2024-09-07 08:29:40:587,189357,189357,0,0,88148201934,928626881,185980,2288,1089,366,391718,0 134,2,2024-09-07 08:29:41:770,136721,136721,0,0,6188183,0,3096 134,3,2024-09-07 08:29:40:749,1,108,2,0,739,2323,108,0 135,0,2024-09-07 08:29:41:129,24264,1.1,24113,1.2,50998,1.2,66117,2.25 135,1,2024-09-07 08:29:41:623,188990,188990,0,0,88795109091,937759315,185690,2600,700,380,391703,0 135,2,2024-09-07 08:29:40:691,138185,138185,0,0,6669397,0,3981 135,3,2024-09-07 08:29:41:003,1,108,1,0,89,729,108,0 136,0,2024-09-07 08:29:41:748,28663,0.5,28511,0.8,57355,0.5,77065,2.00 136,1,2024-09-07 08:29:41:458,189154,189154,0,0,88510829062,931747826,186452,2538,164,384,391641,0 136,2,2024-09-07 08:29:41:151,136085,136085,0,0,6571490,0,3506 136,3,2024-09-07 08:29:41:121,1,108,11,0,108,1019,108,0 137,0,2024-09-07 08:29:40:965,28433,0.6,27545,0.8,54743,0.6,74146,2.00 137,1,2024-09-07 08:29:40:576,189553,189553,0,0,89193785487,935681534,186996,2378,179,367,391608,0 137,2,2024-09-07 08:29:41:738,135123,135123,0,0,7898598,0,3185 137,3,2024-09-07 08:29:40:786,1,108,8,1,227,1443,108,0 138,0,2024-09-07 08:29:41:767,26575,2.2,26878,1.4,54347,3.5,72346,2.75 138,1,2024-09-07 08:29:41:695,189358,189358,0,0,89116317066,937357579,186466,2544,348,369,391954,0 138,2,2024-09-07 08:29:40:598,136036,136036,0,0,6440846,0,3263 138,3,2024-09-07 08:29:40:619,1,108,1,0,1160,2148,108,0 139,0,2024-09-07 08:29:41:381,24633,3.9,24716,2.1,49997,5.1,67198,3.50 139,1,2024-09-07 08:29:40:577,188950,188950,0,0,88532982481,945447647,184657,3095,1198,381,391892,0 139,2,2024-09-07 08:29:40:753,138985,138985,0,0,7521945,0,3097 139,3,2024-09-07 08:29:41:677,1,108,1,0,244,1570,108,0 140,0,2024-09-07 08:29:41:626,26348,0.3,26273,0.5,52695,0.2,70306,1.50 140,1,2024-09-07 08:29:41:537,190422,190422,0,0,89675364446,927145633,189275,932,215,365,391606,0 140,2,2024-09-07 08:29:40:694,135642,135642,0,0,6030206,0,3388 140,3,2024-09-07 08:29:40:768,1,108,11,0,39,729,108,0 141,0,2024-09-07 08:29:41:712,26212,0.2,27137,0.4,52095,0.1,70375,1.50 141,1,2024-09-07 08:29:40:870,189993,189993,0,0,89414805660,931160195,188324,1317,352,379,391539,0 141,2,2024-09-07 08:29:41:695,134679,134679,0,0,5422115,0,2342 141,3,2024-09-07 08:29:41:043,1,108,22,0,147,865,108,0 142,0,2024-09-07 08:29:41:360,28352,0.3,27985,0.5,55681,0.3,74424,1.75 142,1,2024-09-07 08:29:40:588,190151,190151,0,0,89414047033,932640738,189118,900,133,384,391649,0 142,2,2024-09-07 08:29:41:308,135410,135378,32,0,7122398,0,6028 142,3,2024-09-07 08:29:41:754,1,108,25,0,484,1819,108,0 143,0,2024-09-07 08:29:41:394,25859,0.9,25976,0.8,52306,1.2,68910,2.00 143,1,2024-09-07 08:29:40:575,189804,189804,0,0,88785326350,924265271,188053,1713,38,367,391619,0 143,2,2024-09-07 08:29:40:790,139979,139979,0,0,6336817,0,2669 143,3,2024-09-07 08:29:41:145,1,108,3,0,303,1920,108,0 144,0,2024-09-07 08:29:41:513,24399,0.7,25142,1.8,50968,0.6,68396,2.00 144,1,2024-09-07 08:29:40:819,189300,189300,0,0,88137501655,926964249,187476,1625,199,381,391638,0 144,2,2024-09-07 08:29:41:766,135241,135241,0,0,5428346,0,3473 144,3,2024-09-07 08:29:41:744,1,108,25,0,169,1443,108,0 145,0,2024-09-07 08:29:41:383,26722,0.6,26619,0.9,56408,0.5,73353,2.00 145,1,2024-09-07 08:29:40:557,188353,188353,0,0,88899297811,940837519,184975,2747,631,383,391615,0 145,2,2024-09-07 08:29:41:436,135123,135123,0,0,6601934,0,3903 145,3,2024-09-07 08:29:40:896,1,108,5,0,151,1406,108,0 146,0,2024-09-07 08:29:41:649,28583,0.5,28391,0.8,57290,0.5,75665,2.25 146,1,2024-09-07 08:29:41:602,189540,189540,0,0,89042758589,941667695,185437,3309,794,368,391600,0 146,2,2024-09-07 08:29:41:703,136708,136708,0,0,6462376,0,2379 146,3,2024-09-07 08:29:41:291,1,108,3,0,1520,3711,108,0 147,0,2024-09-07 08:29:41:713,27997,2.1,27854,1.4,55164,3.0,75153,2.75 147,1,2024-09-07 08:29:41:373,190294,190294,0,0,89892821824,936398159,188326,1608,360,368,391791,0 147,2,2024-09-07 08:29:41:010,138718,138718,0,0,5981017,0,2789 147,3,2024-09-07 08:29:40:913,1,108,1,0,141,1219,108,0 0,0,2024-09-07 08:29:51:711,27755,0.5,27756,0.7,58620,0.5,76034,1.75 0,1,2024-09-07 08:29:50:803,191215,191215,0,0,89699892050,941339626,189832,1254,129,372,391692,0 0,2,2024-09-07 08:29:51:066,137534,137534,0,0,6067394,0,4480 0,3,2024-09-07 08:29:50:978,1,109,6,0,247,1785,109,0 1,0,2024-09-07 08:29:51:837,28146,2.2,27852,1.6,56179,2.9,75264,2.50 1,1,2024-09-07 08:29:50:584,191034,191034,0,0,89264481351,937863457,188882,1617,535,372,391857,0 1,2,2024-09-07 08:29:50:664,137220,137220,0,0,5709311,0,3267 1,3,2024-09-07 08:29:51:311,1,109,262,0,262,1510,109,0 2,0,2024-09-07 08:29:51:592,25833,1.0,25882,1.1,51339,1.3,69180,2.25 2,1,2024-09-07 08:29:50:860,191205,191205,0,0,89761242890,934479313,190031,969,205,380,391558,0 2,2,2024-09-07 08:29:51:271,139552,139552,0,0,5862433,0,3304 2,3,2024-09-07 08:29:50:698,1,109,10,0,214,1118,109,0 3,0,2024-09-07 08:29:51:764,27544,0.4,27539,0.6,55097,0.3,73558,1.75 3,1,2024-09-07 08:29:51:617,190930,190930,0,0,89983674716,940148167,188723,1819,388,382,391516,0 3,2,2024-09-07 08:29:51:148,136784,136761,23,0,6167651,0,5851 3,3,2024-09-07 08:29:51:754,1,109,1,0,103,782,109,0 4,0,2024-09-07 08:29:51:804,26382,0.3,27060,0.4,54845,0.2,72330,1.50 4,1,2024-09-07 08:29:50:591,191312,191312,0,0,89747703672,947761713,188815,1978,519,371,391846,0 4,2,2024-09-07 08:29:51:024,135656,135656,0,0,7376061,0,4528 4,3,2024-09-07 08:29:51:030,1,109,1,0,287,1711,109,0 5,0,2024-09-07 08:29:51:411,27651,0.6,27474,0.7,55304,0.7,73162,1.75 5,1,2024-09-07 08:29:50:759,190385,190385,0,0,89424393346,946476559,186965,2487,933,368,392005,0 5,2,2024-09-07 08:29:51:830,137051,137051,0,0,6227959,0,2259 5,3,2024-09-07 08:29:51:742,1,109,4,0,238,1907,109,0 6,0,2024-09-07 08:29:50:923,26569,0.7,26258,1.0,52238,0.8,70426,2.25 6,1,2024-09-07 08:29:50:750,191936,191936,0,0,90086797044,940973721,190403,1458,75,381,391603,0 6,2,2024-09-07 08:29:51:120,141936,141936,0,0,6151900,0,2411 6,3,2024-09-07 08:29:51:277,1,109,1,0,340,1782,109,0 7,0,2024-09-07 08:29:51:536,25362,0.4,25392,0.6,51138,0.3,68267,1.75 7,1,2024-09-07 08:29:50:850,191768,191768,0,0,89738350742,939510712,190017,1686,65,383,391664,0 7,2,2024-09-07 08:29:50:773,135110,135110,0,0,5577232,0,2981 7,3,2024-09-07 08:29:50:850,1,109,0,0,305,1247,109,0 8,0,2024-09-07 08:29:51:360,26982,0.3,27210,0.5,53700,0.2,71915,1.50 8,1,2024-09-07 08:29:51:021,190976,190976,0,0,89719846045,952027731,187046,2645,1285,368,391724,0 8,2,2024-09-07 08:29:50:795,134537,134537,0,0,7345996,0,2986 8,3,2024-09-07 08:29:50:588,1,109,18,0,357,1834,109,0 9,0,2024-09-07 08:29:51:198,28620,0.4,27916,0.5,58238,0.3,75837,1.75 9,1,2024-09-07 08:29:50:596,190847,190847,0,0,89725497170,952364219,187075,2765,1007,370,391738,0 9,2,2024-09-07 08:29:51:109,137598,137598,0,0,7122795,0,3360 9,3,2024-09-07 08:29:51:754,1,109,1,0,496,1844,109,0 10,0,2024-09-07 08:29:51:616,27300,0.5,27329,0.7,54649,0.5,72633,1.75 10,1,2024-09-07 08:29:50:585,191042,191042,0,0,89809447200,947206734,187393,3125,524,381,391741,0 10,2,2024-09-07 08:29:50:762,140506,140506,0,0,6814920,0,2940 10,3,2024-09-07 08:29:50:872,1,109,0,0,136,840,109,0 11,0,2024-09-07 08:29:51:016,24424,0.5,23944,0.8,50080,0.5,67505,2.00 11,1,2024-09-07 08:29:50:576,190655,190655,0,0,89267583529,944169284,186538,2987,1130,384,391537,0 11,2,2024-09-07 08:29:51:133,135915,135915,0,0,6407750,0,3411 11,3,2024-09-07 08:29:51:301,1,109,1,0,720,2713,109,0 12,0,2024-09-07 08:29:50:963,28919,0.3,28788,0.5,57753,0.3,76223,1.50 12,1,2024-09-07 08:29:50:943,190873,190873,0,0,89439194216,940030979,188157,2306,410,371,391837,0 12,2,2024-09-07 08:29:51:540,137243,137243,0,0,6912869,0,3469 12,3,2024-09-07 08:29:51:066,1,109,1,0,358,2082,109,0 13,0,2024-09-07 08:29:51:346,29022,0.4,29122,0.5,58177,0.4,77121,1.75 13,1,2024-09-07 08:29:51:529,191627,191627,0,0,89597620918,942947147,189736,1515,376,384,391717,0 13,2,2024-09-07 08:29:50:602,138902,138902,0,0,6287105,0,3287 13,3,2024-09-07 08:29:51:768,1,109,4,1,416,2122,109,0 14,0,2024-09-07 08:29:50:622,28858,0.6,29076,0.8,57576,0.6,76741,2.00 14,1,2024-09-07 08:29:51:560,192600,192600,0,0,90699954594,943098181,190848,1591,161,364,391571,0 14,2,2024-09-07 08:29:50:807,138156,138156,0,0,6325642,0,2896 14,3,2024-09-07 08:29:51:126,1,109,1,0,1168,2564,109,0 15,0,2024-09-07 08:29:51:560,25163,0.6,25068,0.9,50174,0.7,67237,2.25 15,1,2024-09-07 08:29:51:611,190955,190955,0,0,90021318255,938522371,189670,1225,60,381,391536,0 15,2,2024-09-07 08:29:51:005,138839,138839,0,0,5142449,0,3043 15,3,2024-09-07 08:29:51:405,1,109,1,0,1126,3703,109,0 16,0,2024-09-07 08:29:51:030,28686,0.6,29088,0.8,57440,0.6,77178,2.25 16,1,2024-09-07 08:29:50:591,191608,191608,0,0,89982363202,941060073,190429,1151,28,372,391756,0 16,2,2024-09-07 08:29:51:445,136115,136115,0,0,6408484,0,4719 16,3,2024-09-07 08:29:51:166,1,109,22,0,231,1577,109,0 17,0,2024-09-07 08:29:51:775,28846,0.5,28154,0.6,55287,0.4,74875,1.75 17,1,2024-09-07 08:29:50:586,190853,190853,0,0,89341926360,941951344,188645,1679,529,369,391688,0 17,2,2024-09-07 08:29:51:667,138429,138429,0,0,5648774,0,2857 17,3,2024-09-07 08:29:50:577,1,109,12,0,268,1894,109,0 18,0,2024-09-07 08:29:50:953,26647,1.1,27168,1.1,54450,1.3,72273,2.25 18,1,2024-09-07 08:29:51:638,191503,191503,0,0,89599440414,931170734,190213,1072,218,368,391564,0 18,2,2024-09-07 08:29:51:755,137062,137062,0,0,6176104,0,3541 18,3,2024-09-07 08:29:50:899,1,109,1,0,163,1128,109,0 19,0,2024-09-07 08:29:51:546,25367,1.0,25556,1.0,50591,1.2,67716,3.25 19,1,2024-09-07 08:29:50:577,191731,191731,0,0,90619601560,944658869,189696,1869,166,367,391777,0 19,2,2024-09-07 08:29:51:755,141691,141691,0,0,5763365,0,3988 19,3,2024-09-07 08:29:51:131,1,109,0,0,524,1276,109,0 20,0,2024-09-07 08:29:51:366,26746,0.5,26646,0.6,53316,0.4,70691,2.00 20,1,2024-09-07 08:29:50:590,191491,191491,0,0,90302835873,947151905,189487,1827,177,370,391598,0 20,2,2024-09-07 08:29:50:939,135741,135741,0,0,5848097,0,3721 20,3,2024-09-07 08:29:50:589,1,109,1,0,414,2087,109,0 21,0,2024-09-07 08:29:51:164,26913,0.4,26814,0.5,53469,0.3,71028,1.75 21,1,2024-09-07 08:29:51:544,190834,190834,0,0,88932340415,938237004,188256,2073,505,368,391962,0 21,2,2024-09-07 08:29:51:069,136281,136281,0,0,6283263,0,3747 21,3,2024-09-07 08:29:51:412,1,109,39,0,93,1456,109,0 22,0,2024-09-07 08:29:51:724,27742,1.1,27766,1.1,55469,1.4,73865,2.50 22,1,2024-09-07 08:29:51:026,190790,190790,0,0,89142608039,945090768,186323,3096,1371,382,391667,0 22,2,2024-09-07 08:29:50:760,137565,137565,0,0,5760163,0,3134 22,3,2024-09-07 08:29:51:066,1,109,1,0,228,1250,109,0 23,0,2024-09-07 08:29:51:374,25941,0.7,26105,0.9,52289,0.7,69789,2.25 23,1,2024-09-07 08:29:51:020,192086,192086,0,0,90640882385,945564304,189829,1686,571,365,391549,0 23,2,2024-09-07 08:29:51:092,141468,141468,0,0,5795222,0,2078 23,3,2024-09-07 08:29:51:754,1,109,1,0,645,1560,109,0 24,0,2024-09-07 08:29:50:866,26052,0.5,26006,0.7,52085,0.5,69600,1.75 24,1,2024-09-07 08:29:50:583,190754,190754,0,0,89595024908,942079355,188380,1610,764,369,391640,0 24,2,2024-09-07 08:29:51:086,135343,135343,0,0,7390052,0,2942 24,3,2024-09-07 08:29:51:693,1,109,2,0,234,1476,109,0 25,0,2024-09-07 08:29:51:394,28524,0.4,27842,0.6,54509,0.4,74016,1.75 25,1,2024-09-07 08:29:50:563,190688,190688,0,0,89568798630,943791576,187927,2317,444,371,391788,0 25,2,2024-09-07 08:29:51:606,135030,135030,0,0,7410802,0,3978 25,3,2024-09-07 08:29:51:010,1,109,16,0,158,1287,109,0 26,0,2024-09-07 08:29:51:736,28529,0.4,27888,0.6,58460,0.3,76389,1.75 26,1,2024-09-07 08:29:51:541,191593,191593,0,0,89690163672,947144643,187727,3023,843,381,391564,0 26,2,2024-09-07 08:29:50:864,138400,138400,0,0,6936491,0,2809 26,3,2024-09-07 08:29:51:712,1,109,1,0,796,1459,109,0 27,0,2024-09-07 08:29:51:727,28268,0.6,28522,0.7,56487,0.6,75249,1.75 27,1,2024-09-07 08:29:51:677,191847,191847,0,0,90549475594,943558694,190105,1420,322,381,391539,0 27,2,2024-09-07 08:29:50:867,138505,138505,0,0,7604000,0,3409 27,3,2024-09-07 08:29:51:021,1,109,4,0,564,1443,109,0 28,0,2024-09-07 08:29:51:392,24804,0.7,25233,0.8,50395,0.8,67450,2.25 28,1,2024-09-07 08:29:50:827,191543,191543,0,0,90491976205,944054733,190079,1262,202,383,391646,0 28,2,2024-09-07 08:29:51:770,136980,136980,0,0,5410079,0,2915 28,3,2024-09-07 08:29:51:778,1,109,1,0,502,1394,109,0 29,0,2024-09-07 08:29:51:373,29639,0.4,28889,0.6,56603,0.4,77300,1.75 29,1,2024-09-07 08:29:51:575,192202,192202,0,0,89823292196,934081000,190183,1489,530,369,391621,0 29,2,2024-09-07 08:29:50:862,137179,137179,0,0,5293450,0,4018 29,3,2024-09-07 08:29:50:992,1,109,9,0,105,898,109,0 30,0,2024-09-07 08:29:51:487,28501,0.8,27700,0.9,57791,0.8,76027,2.25 30,1,2024-09-07 08:29:50:582,191752,191752,0,0,90311013511,943016800,189901,1573,278,382,391672,0 30,2,2024-09-07 08:29:51:278,138472,138472,0,0,5528229,0,3161 30,3,2024-09-07 08:29:50:583,1,109,18,0,195,875,109,0 31,0,2024-09-07 08:29:51:769,27853,0.9,27877,0.9,56400,1.0,75067,2.25 31,1,2024-09-07 08:29:50:576,192693,192693,0,0,90534192665,927952169,192378,313,2,356,391553,0 31,2,2024-09-07 08:29:51:278,137591,137591,0,0,6582282,0,3525 31,3,2024-09-07 08:29:51:706,1,109,8,0,129,828,109,0 32,0,2024-09-07 08:29:51:431,26034,0.8,26196,0.9,52456,0.8,69739,1.75 32,1,2024-09-07 08:29:50:825,192408,192408,0,0,90081792468,935821631,191336,1042,30,382,391595,0 32,2,2024-09-07 08:29:50:943,140148,140148,0,0,5498416,0,3155 32,3,2024-09-07 08:29:51:021,1,109,5,0,227,1201,109,0 33,0,2024-09-07 08:29:51:512,27675,0.2,27324,0.4,55320,0.2,73383,1.50 33,1,2024-09-07 08:29:50:585,192549,192549,0,0,90433002869,938477966,190796,1630,123,369,391730,0 33,2,2024-09-07 08:29:50:759,136931,136898,33,0,6750295,0,7012 33,3,2024-09-07 08:29:50:895,1,109,10,0,211,1067,109,0 34,0,2024-09-07 08:29:50:938,27166,0.3,28020,0.5,53771,0.2,72233,1.75 34,1,2024-09-07 08:29:51:044,192525,192525,0,0,90422875090,931600947,191885,635,5,367,391547,0 34,2,2024-09-07 08:29:50:767,135946,135946,0,0,5959356,0,3255 34,3,2024-09-07 08:29:51:688,1,109,2,0,148,801,109,0 35,0,2024-09-07 08:29:50:871,27379,0.6,27728,0.7,55049,0.6,73236,1.75 35,1,2024-09-07 08:29:51:068,191530,191530,0,0,89720821817,932793254,189884,1284,362,384,391587,0 35,2,2024-09-07 08:29:51:591,137123,137123,0,0,5455264,0,2542 35,3,2024-09-07 08:29:50:907,1,109,7,0,219,1268,109,0 36,0,2024-09-07 08:29:51:529,26321,1.1,26218,1.1,53086,1.3,70245,2.50 36,1,2024-09-07 08:29:50:584,191434,191434,0,0,89830557566,942127981,188313,2189,932,366,391759,0 36,2,2024-09-07 08:29:51:756,140801,140801,0,0,7041405,0,3303 36,3,2024-09-07 08:29:50:863,1,109,1,0,378,1214,109,0 37,0,2024-09-07 08:29:51:392,25583,0.4,25480,0.6,50944,0.3,68397,1.75 37,1,2024-09-07 08:29:50:576,191075,191068,0,7,89640887856,945343479,187681,1845,1542,365,391518,0 37,2,2024-09-07 08:29:51:145,136124,136124,0,0,5414492,0,2333 37,3,2024-09-07 08:29:51:777,1,109,11,0,724,2198,109,0 38,0,2024-09-07 08:29:51:453,26891,0.4,26086,0.6,54510,0.4,71377,1.75 38,1,2024-09-07 08:29:51:604,192410,192410,0,0,89731251841,940031015,189548,2341,521,368,391821,0 38,2,2024-09-07 08:29:50:759,136619,136619,0,0,6468913,0,3245 38,3,2024-09-07 08:29:51:016,1,109,3,0,603,1598,109,0 39,0,2024-09-07 08:29:51:773,29364,0.8,28726,0.8,56049,0.9,76016,2.00 39,1,2024-09-07 08:29:50:715,191485,191485,0,0,89853444766,940569331,188496,2321,668,365,391524,0 39,2,2024-09-07 08:29:51:416,138078,138078,0,0,5996869,0,2689 39,3,2024-09-07 08:29:50:712,1,109,1,0,276,1595,109,0 40,0,2024-09-07 08:29:51:500,26706,1.3,27298,1.7,54114,1.9,72023,3.50 40,1,2024-09-07 08:29:50:584,190978,190978,0,0,88592946771,934092508,187115,3098,765,369,391591,0 40,2,2024-09-07 08:29:51:313,140531,140530,1,0,7879004,0,5137 40,3,2024-09-07 08:29:51:142,1,109,1,0,181,1306,109,0 41,0,2024-09-07 08:29:51:033,24372,1.8,24936,2.0,47668,3.0,66051,4.25 41,1,2024-09-07 08:29:50:771,191506,191506,0,0,90285986162,950222561,187926,3063,517,370,391742,0 41,2,2024-09-07 08:29:50:760,135373,135373,0,0,7113649,0,3356 41,3,2024-09-07 08:29:51:676,1,109,13,0,366,1472,109,0 42,0,2024-09-07 08:29:51:486,28121,0.7,28404,0.9,56369,0.6,74413,2.25 42,1,2024-09-07 08:29:51:445,190732,190732,0,0,89747295752,946451563,187340,2556,836,380,391675,0 42,2,2024-09-07 08:29:51:150,135710,135710,0,0,7303488,0,3568 42,3,2024-09-07 08:29:51:019,1,109,0,0,100,817,109,0 43,0,2024-09-07 08:29:50:925,29012,0.8,28218,1.0,58870,0.9,77158,2.25 43,1,2024-09-07 08:29:50:578,190964,190964,0,0,90106919954,943121460,188344,1868,752,366,391604,0 43,2,2024-09-07 08:29:51:744,138467,138467,0,0,7120186,0,3812 43,3,2024-09-07 08:29:51:754,1,109,15,0,325,1868,109,0 44,0,2024-09-07 08:29:50:909,28988,0.6,28810,0.9,57925,0.7,77352,2.00 44,1,2024-09-07 08:29:50:580,191925,191925,0,0,89583730392,927917516,190496,1300,129,356,391662,0 44,2,2024-09-07 08:29:51:279,138077,138077,0,0,5378095,0,1877 44,3,2024-09-07 08:29:51:099,1,109,2,0,817,1484,109,0 45,0,2024-09-07 08:29:51:770,24842,1.1,24257,1.1,50847,1.2,67787,2.25 45,1,2024-09-07 08:29:51:007,191748,191748,0,0,89851302841,934224359,190765,975,8,382,391917,0 45,2,2024-09-07 08:29:51:268,138915,138915,0,0,5949929,0,3596 45,3,2024-09-07 08:29:50:943,1,109,7,0,226,1039,109,0 46,0,2024-09-07 08:29:50:958,28703,0.4,28633,0.6,57537,0.3,76362,1.75 46,1,2024-09-07 08:29:50:584,192043,192043,0,0,89765401800,930113848,190617,1320,106,366,391514,0 46,2,2024-09-07 08:29:50:593,137463,137463,0,0,5848659,0,2920 46,3,2024-09-07 08:29:51:147,1,109,0,0,363,1736,109,0 47,0,2024-09-07 08:29:51:143,27994,0.4,28264,0.5,56557,0.3,74599,1.75 47,1,2024-09-07 08:29:50:568,192112,192112,0,0,89490950677,925625550,191168,934,10,366,391605,0 47,2,2024-09-07 08:29:50:909,137976,137976,0,0,6128097,0,2558 47,3,2024-09-07 08:29:51:125,1,109,1,0,529,1290,109,0 48,0,2024-09-07 08:29:51:520,27685,0.3,27439,0.5,54708,0.3,72961,1.75 48,1,2024-09-07 08:29:51:027,191826,191826,0,0,89866257398,934410745,190443,1376,7,384,391710,0 48,2,2024-09-07 08:29:50:699,137017,137017,0,0,4855435,0,2083 48,3,2024-09-07 08:29:50:758,1,109,1,0,69,857,109,0 49,0,2024-09-07 08:29:51:724,26320,0.5,25708,0.7,50330,0.6,69198,1.75 49,1,2024-09-07 08:29:51:021,191096,191096,0,0,89981188028,939600573,189320,1065,711,382,391583,0 49,2,2024-09-07 08:29:51:797,141209,141209,0,0,5959797,0,3900 49,3,2024-09-07 08:29:51:416,1,109,0,0,274,1085,109,0 50,0,2024-09-07 08:29:51:571,26898,0.2,26394,0.4,53114,0.2,71229,1.50 50,1,2024-09-07 08:29:51:021,192722,192722,0,0,90523339091,940998268,190778,1647,297,368,391530,0 50,2,2024-09-07 08:29:51:074,136274,136274,0,0,5131373,0,2253 50,3,2024-09-07 08:29:51:291,1,109,6,0,335,1096,109,0 51,0,2024-09-07 08:29:51:691,27344,0.2,26807,0.4,52444,0.2,71326,1.50 51,1,2024-09-07 08:29:51:680,191872,191872,0,0,91059144840,944812873,190062,1026,784,367,391637,0 51,2,2024-09-07 08:29:51:333,135941,135941,0,0,4465183,0,2448 51,3,2024-09-07 08:29:51:027,1,109,6,0,162,738,109,0 52,0,2024-09-07 08:29:51:418,28082,0.6,28138,0.8,56384,0.7,74356,2.25 52,1,2024-09-07 08:29:50:575,191432,191432,0,0,90371343450,951269047,187839,2886,707,368,391722,0 52,2,2024-09-07 08:29:51:754,136905,136905,0,0,6571343,0,4779 52,3,2024-09-07 08:29:50:676,1,109,1,0,1782,2717,109,0 53,0,2024-09-07 08:29:51:751,25904,1.4,25053,1.2,52287,1.6,69120,2.75 53,1,2024-09-07 08:29:50:772,191173,191173,0,0,90464168852,949427946,188079,2513,581,370,391617,0 53,2,2024-09-07 08:29:51:299,141927,141927,0,0,5527767,0,1902 53,3,2024-09-07 08:29:50:697,1,109,2,0,133,866,109,0 54,0,2024-09-07 08:29:51:633,25074,1.8,25429,1.1,50128,0.7,68737,3.75 54,1,2024-09-07 08:29:50:584,191597,191597,0,0,90599315962,948429781,188425,2628,544,367,391520,0 54,2,2024-09-07 08:29:50:865,135979,135973,6,0,6331297,0,5382 54,3,2024-09-07 08:29:50:763,1,109,1,0,676,2222,109,0 55,0,2024-09-07 08:29:51:764,26678,0.5,27414,0.8,55682,0.5,71900,2.25 55,1,2024-09-07 08:29:50:767,190646,190646,0,0,89614831172,942506755,186270,3591,785,367,391731,0 55,2,2024-09-07 08:29:50:728,135782,135782,0,0,6167701,0,3275 55,3,2024-09-07 08:29:50:676,1,109,1,0,304,1319,109,0 56,0,2024-09-07 08:29:51:571,29427,1.5,27858,1.2,57232,2.1,76555,2.50 56,1,2024-09-07 08:29:50:595,190739,190739,0,0,90208257098,960688592,186237,3652,850,382,391678,0 56,2,2024-09-07 08:29:51:326,138375,138375,0,0,7620577,0,3567 56,3,2024-09-07 08:29:51:068,1,109,3,0,297,1546,109,0 57,0,2024-09-07 08:29:50:944,27707,2.2,27572,1.5,55482,3.3,74040,4.00 57,1,2024-09-07 08:29:50:991,191008,191008,0,0,89720610652,942384293,188600,2238,170,368,391773,0 57,2,2024-09-07 08:29:51:352,139929,139929,0,0,7524047,0,3178 57,3,2024-09-07 08:29:51:745,1,109,2,0,359,2320,109,0 58,0,2024-09-07 08:29:50:575,24163,1.1,23635,1.1,49501,1.2,65285,2.75 58,1,2024-09-07 08:29:50:577,192145,192142,0,3,90589880479,946443365,189752,2162,228,369,391516,3 58,2,2024-09-07 08:29:51:075,136787,136787,0,0,6585784,0,2549 58,3,2024-09-07 08:29:51:072,1,109,5,0,219,1037,109,0 59,0,2024-09-07 08:29:51:775,28922,0.9,28553,1.0,57406,1.0,76082,3.00 59,1,2024-09-07 08:29:50:805,191188,191188,0,0,89759844586,945010853,187824,2442,922,369,391515,0 59,2,2024-09-07 08:29:50:584,137542,137542,0,0,5976544,0,2604 59,3,2024-09-07 08:29:51:751,1,109,1,0,1015,2344,109,0 60,0,2024-09-07 08:29:51:723,28784,0.4,28895,0.6,57118,0.4,76208,1.75 60,1,2024-09-07 08:29:50:789,192808,192808,0,0,89839451679,934305027,191567,891,350,370,391761,0 60,2,2024-09-07 08:29:51:145,137508,137508,0,0,6603739,0,3811 60,3,2024-09-07 08:29:51:258,1,109,49,0,124,1163,109,0 61,0,2024-09-07 08:29:51:515,27873,1.9,28104,1.3,56104,3.0,75152,2.00 61,1,2024-09-07 08:29:50:770,191001,191001,0,0,90291502834,950458403,188215,2249,537,382,391589,0 61,2,2024-09-07 08:29:51:123,137410,137410,0,0,5625962,0,1846 61,3,2024-09-07 08:29:51:693,1,109,2,0,199,1484,109,0 62,0,2024-09-07 08:29:51:716,26065,1.7,26610,1.2,50928,3.0,69569,2.50 62,1,2024-09-07 08:29:51:110,192675,192669,0,6,90679553907,938027101,191248,1383,38,365,391715,6 62,2,2024-09-07 08:29:51:644,138848,138847,1,0,6860162,0,5555 62,3,2024-09-07 08:29:51:143,1,109,1,0,287,766,109,0 63,0,2024-09-07 08:29:51:507,27392,0.4,27499,0.6,55021,0.3,73519,1.75 63,1,2024-09-07 08:29:50:810,192442,192436,0,6,90521505461,939216417,191367,1049,20,381,391540,6 63,2,2024-09-07 08:29:50:767,136150,136150,0,0,5413816,0,2674 63,3,2024-09-07 08:29:51:732,1,109,1,0,667,2014,109,0 64,0,2024-09-07 08:29:51:578,27017,0.4,26923,0.6,54163,0.4,72256,1.75 64,1,2024-09-07 08:29:50:770,191882,191882,0,0,90019272471,943747556,189303,1783,796,371,391783,0 64,2,2024-09-07 08:29:51:150,137106,137087,19,0,6060631,0,6121 64,3,2024-09-07 08:29:51:145,1,109,10,0,265,1162,109,0 65,0,2024-09-07 08:29:51:765,26895,1.6,27256,1.2,54599,2.0,72439,3.00 65,1,2024-09-07 08:29:50:926,191263,191263,0,0,89641332600,938754025,189395,1647,221,382,391770,0 65,2,2024-09-07 08:29:51:693,138109,138109,0,0,6430660,0,3367 65,3,2024-09-07 08:29:51:691,1,109,13,0,163,1182,109,0 66,0,2024-09-07 08:29:51:769,25903,0.5,26069,0.8,52231,0.5,69672,2.25 66,1,2024-09-07 08:29:51:293,192328,192328,0,0,90036252344,933725083,191549,756,23,380,391588,0 66,2,2024-09-07 08:29:51:135,142849,142849,0,0,5811214,0,3867 66,3,2024-09-07 08:29:51:125,1,109,1,0,291,1274,109,0 67,0,2024-09-07 08:29:51:431,25818,0.5,25666,0.6,51186,0.5,68267,2.00 67,1,2024-09-07 08:29:50:768,191289,191288,0,1,90239319955,944228574,189205,1558,525,381,391787,1 67,2,2024-09-07 08:29:50:605,136530,136530,0,0,5170204,0,2889 67,3,2024-09-07 08:29:51:765,1,109,6,0,138,1009,109,0 68,0,2024-09-07 08:29:50:602,27213,0.5,27089,0.7,53970,0.5,71748,2.00 68,1,2024-09-07 08:29:50:577,191329,191329,0,0,89440645358,938218227,189632,1175,522,381,391953,0 68,2,2024-09-07 08:29:51:060,135610,135610,0,0,6420903,0,4883 68,3,2024-09-07 08:29:50:727,1,109,0,0,151,1143,109,0 69,0,2024-09-07 08:29:51:748,28475,1.1,28719,1.0,57153,1.4,75769,2.25 69,1,2024-09-07 08:29:51:016,190865,190865,0,0,89581198444,949581517,188045,1742,1078,384,391611,0 69,2,2024-09-07 08:29:51:733,138008,138008,0,0,7203378,0,3701 69,3,2024-09-07 08:29:50:768,1,109,9,0,238,1461,109,0 70,0,2024-09-07 08:29:51:537,26948,2.5,27043,1.8,54266,1.3,71782,3.75 70,1,2024-09-07 08:29:50:801,191849,191849,0,0,90300302198,940008506,189818,1572,459,368,391725,0 70,2,2024-09-07 08:29:51:325,140411,140411,0,0,6735912,0,4044 70,3,2024-09-07 08:29:50:757,1,109,4,0,178,702,109,0 71,0,2024-09-07 08:29:51:360,24352,1.8,24543,1.8,48659,2.6,66421,3.25 71,1,2024-09-07 08:29:51:595,191929,191929,0,0,90353656508,944476308,189382,2213,334,368,391682,0 71,2,2024-09-07 08:29:51:071,136293,136293,0,0,5883952,0,2146 71,3,2024-09-07 08:29:51:754,1,109,1,0,279,1433,109,0 72,0,2024-09-07 08:29:51:059,29602,0.6,28857,0.8,56544,0.5,76366,2.25 72,1,2024-09-07 08:29:51:059,191152,191152,0,0,89718445412,940479636,188371,2299,482,370,391819,0 72,2,2024-09-07 08:29:51:757,135624,135624,0,0,7829124,0,2570 72,3,2024-09-07 08:29:51:756,1,109,2,0,325,1497,109,0 73,0,2024-09-07 08:29:51:121,28388,0.4,29030,0.6,59390,0.4,76914,2.25 73,1,2024-09-07 08:29:50:774,191476,191476,0,0,89791153034,935310220,189885,1477,114,368,391627,0 73,2,2024-09-07 08:29:51:747,138870,138870,0,0,7400562,0,3482 73,3,2024-09-07 08:29:50:971,1,109,24,0,274,1780,109,0 74,0,2024-09-07 08:29:51:340,29061,0.8,29464,1.0,56349,1.0,76933,2.75 74,1,2024-09-07 08:29:50:694,191192,191192,0,0,89540014913,938355197,188756,1830,606,382,391511,0 74,2,2024-09-07 08:29:51:003,137952,137952,0,0,7611306,0,4253 74,3,2024-09-07 08:29:51:459,1,109,2,0,246,1619,109,0 75,0,2024-09-07 08:29:51:775,25189,1.0,25206,1.1,50179,0.9,67987,2.75 75,1,2024-09-07 08:29:51:584,191290,191290,0,0,89892213231,942403647,188957,1970,363,381,391579,0 75,2,2024-09-07 08:29:51:351,138045,138045,0,0,6806998,0,4766 75,3,2024-09-07 08:29:51:074,1,109,5,0,535,1457,109,0 76,0,2024-09-07 08:29:50:613,28700,0.4,28480,0.7,56873,0.4,76588,2.25 76,1,2024-09-07 08:29:50:805,191064,191064,0,0,89117286786,933853802,189174,1382,508,382,391530,0 76,2,2024-09-07 08:29:51:075,137841,137841,0,0,5727519,0,3064 76,3,2024-09-07 08:29:51:144,1,109,1,0,175,1239,109,0 77,0,2024-09-07 08:29:51:742,28006,0.6,28186,0.8,56499,0.6,74426,2.00 77,1,2024-09-07 08:29:50:832,191817,191817,0,0,90210420885,942053890,190548,1199,70,383,391555,0 77,2,2024-09-07 08:29:51:287,137377,137377,0,0,5897521,0,3890 77,3,2024-09-07 08:29:51:110,1,109,1,0,305,1392,109,0 78,0,2024-09-07 08:29:51:733,27388,0.7,27354,0.9,54799,0.7,72626,2.25 78,1,2024-09-07 08:29:50:622,191931,191931,0,0,89346764801,931882828,190228,1498,205,367,391589,0 78,2,2024-09-07 08:29:51:405,137642,137642,0,0,5506551,0,2114 78,3,2024-09-07 08:29:51:147,1,109,7,0,181,1411,109,0 79,0,2024-09-07 08:29:51:358,24760,0.6,25382,0.9,51859,0.6,67825,2.75 79,1,2024-09-07 08:29:50:579,192006,192006,0,0,89659080793,932505453,190015,1820,171,369,391682,0 79,2,2024-09-07 08:29:51:073,141501,141501,0,0,6071066,0,3212 79,3,2024-09-07 08:29:50:749,1,109,44,0,289,1501,109,0 80,0,2024-09-07 08:29:51:118,26490,0.5,27409,0.6,52575,0.4,70968,1.75 80,1,2024-09-07 08:29:51:640,191209,191209,0,0,89699022082,935354992,189298,1794,117,368,391673,0 80,2,2024-09-07 08:29:51:092,136884,136884,0,0,5736065,0,4433 80,3,2024-09-07 08:29:50:589,1,109,5,1,190,1827,109,0 81,0,2024-09-07 08:29:51:556,26792,0.5,27530,0.6,52761,0.5,71263,1.75 81,1,2024-09-07 08:29:51:657,191448,191448,0,0,89446615086,936969541,189499,1749,200,382,391680,0 81,2,2024-09-07 08:29:51:127,135563,135563,0,0,6175197,0,3993 81,3,2024-09-07 08:29:51:123,1,109,1,0,193,1078,109,0 82,0,2024-09-07 08:29:51:546,27880,0.7,27928,0.9,56078,0.7,74507,2.00 82,1,2024-09-07 08:29:50:585,191313,191309,0,4,90009249353,941264935,189092,1643,574,382,391558,4 82,2,2024-09-07 08:29:51:691,138271,138271,0,0,5297332,0,2230 82,3,2024-09-07 08:29:51:754,1,109,3,0,227,1582,109,0 83,0,2024-09-07 08:29:51:533,26122,1.2,25931,1.1,51523,1.4,68935,2.50 83,1,2024-09-07 08:29:50:558,191816,191816,0,0,90117668794,941075361,190316,1399,101,383,391553,0 83,2,2024-09-07 08:29:50:768,141124,141124,0,0,6053340,0,3119 83,3,2024-09-07 08:29:50:749,1,109,9,0,127,1006,109,0 84,0,2024-09-07 08:29:51:774,25557,1.1,25325,1.2,50920,0.8,69191,2.50 84,1,2024-09-07 08:29:51:074,190757,190757,0,0,89832749876,944430094,188018,2316,423,369,391638,0 84,2,2024-09-07 08:29:50:578,135991,135991,0,0,6069082,0,3801 84,3,2024-09-07 08:29:51:143,1,109,1,1,85,1040,109,0 85,0,2024-09-07 08:29:51:031,26597,0.6,26644,0.8,56414,0.5,73101,2.25 85,1,2024-09-07 08:29:50:577,190590,190590,0,0,90069650591,954567400,187013,3102,475,382,391679,0 85,2,2024-09-07 08:29:50:871,136273,136273,0,0,7045559,0,3656 85,3,2024-09-07 08:29:50:696,1,109,4,0,115,1136,109,0 86,0,2024-09-07 08:29:50:886,28674,0.7,29577,0.7,56835,0.7,76468,2.00 86,1,2024-09-07 08:29:50:832,191462,191462,0,0,90146177342,945300018,188907,2275,280,367,391961,0 86,2,2024-09-07 08:29:50:858,138365,138364,1,0,7341756,0,5004 86,3,2024-09-07 08:29:50:595,1,109,2,0,199,1324,109,0 87,0,2024-09-07 08:29:51:288,28099,2.2,28063,1.4,56183,3.5,75200,2.75 87,1,2024-09-07 08:29:50:583,190897,190897,0,0,88873725193,933469444,188583,2174,140,366,391788,0 87,2,2024-09-07 08:29:51:069,139421,139421,0,0,6028786,0,3515 87,3,2024-09-07 08:29:51:794,1,109,1,1,335,1770,109,0 88,0,2024-09-07 08:29:51:479,24964,0.7,25232,0.8,50069,0.8,67411,2.00 88,1,2024-09-07 08:29:50:578,190443,190443,0,0,89377781010,941486125,187740,1928,775,367,391787,0 88,2,2024-09-07 08:29:50:708,136849,136849,0,0,7139803,0,3583 88,3,2024-09-07 08:29:51:269,1,109,1,1,77,1167,109,0 89,0,2024-09-07 08:29:51:798,29772,0.6,28940,0.8,57314,0.5,77454,1.75 89,1,2024-09-07 08:29:50:566,190426,190426,0,0,89459470718,953205519,185911,3643,872,382,391866,0 89,2,2024-09-07 08:29:51:150,136663,136663,0,0,7112564,0,2901 89,3,2024-09-07 08:29:51:797,1,109,7,0,325,2261,109,0 90,0,2024-09-07 08:29:51:632,27853,0.4,28376,0.6,58441,0.4,76114,1.75 90,1,2024-09-07 08:29:50:591,191534,191534,0,0,89345991761,943450578,188810,2566,158,382,391825,0 90,2,2024-09-07 08:29:51:411,136771,136771,0,0,7743982,0,2635 90,3,2024-09-07 08:29:50:929,1,109,3,1,200,1246,109,0 91,0,2024-09-07 08:29:50:955,28421,0.5,27511,0.7,57143,0.6,75580,1.75 91,1,2024-09-07 08:29:50:591,190900,190900,0,0,90035853399,951181310,187608,2799,493,383,391914,0 91,2,2024-09-07 08:29:51:333,135861,135861,0,0,7448022,0,2445 91,3,2024-09-07 08:29:50:600,1,109,1,0,155,1449,109,0 92,0,2024-09-07 08:29:51:464,26401,0.8,26935,0.9,51392,0.9,69978,2.00 92,1,2024-09-07 08:29:50:585,191090,191090,0,0,89517836225,937534375,189300,1396,394,382,391717,0 92,2,2024-09-07 08:29:51:358,141356,141356,0,0,6017634,0,2279 92,3,2024-09-07 08:29:51:020,1,109,7,0,68,1070,109,0 93,0,2024-09-07 08:29:50:971,27650,0.3,28188,0.5,54092,0.3,73652,1.75 93,1,2024-09-07 08:29:50:840,191628,191628,0,0,89928638806,943057023,189231,1953,444,366,391689,0 93,2,2024-09-07 08:29:50:934,136710,136710,0,0,5931481,0,2509 93,3,2024-09-07 08:29:51:406,1,109,1,0,143,1140,109,0 94,0,2024-09-07 08:29:51:641,27223,0.3,27391,0.5,54401,0.3,72216,1.75 94,1,2024-09-07 08:29:50:568,191343,191343,0,0,89712496985,942031030,189289,1975,79,381,391850,0 94,2,2024-09-07 08:29:50:761,136273,136273,0,0,5543137,0,2443 94,3,2024-09-07 08:29:51:698,1,109,3,0,264,2023,109,0 95,0,2024-09-07 08:29:51:353,27597,0.4,27563,0.6,55460,0.4,73347,1.75 95,1,2024-09-07 08:29:50:854,191683,191683,0,0,89508627494,930669448,190715,904,64,367,391600,0 95,2,2024-09-07 08:29:51:019,137561,137561,0,0,5981852,0,3308 95,3,2024-09-07 08:29:51:723,1,109,20,0,307,1905,109,0 96,0,2024-09-07 08:29:51:040,26499,0.6,26451,0.7,52781,0.6,70061,1.75 96,1,2024-09-07 08:29:51:583,191486,191486,0,0,89667594686,941588375,189443,1472,571,385,391596,0 96,2,2024-09-07 08:29:51:271,141678,141678,0,0,6370059,0,4038 96,3,2024-09-07 08:29:51:144,1,109,1,0,188,1255,109,0 97,0,2024-09-07 08:29:51:352,25496,0.3,25618,0.5,51158,0.3,68250,1.75 97,1,2024-09-07 08:29:50:764,191616,191616,0,0,90064836917,939962827,189386,1711,519,367,392140,0 97,2,2024-09-07 08:29:50:620,135562,135562,0,0,5427672,0,3036 97,3,2024-09-07 08:29:50:575,1,109,13,1,165,1384,109,0 98,0,2024-09-07 08:29:51:742,27116,0.2,26811,0.4,54330,0.2,71965,1.50 98,1,2024-09-07 08:29:50:583,191778,191778,0,0,89674042076,936011043,190482,1237,59,382,391997,0 98,2,2024-09-07 08:29:50:773,137428,137428,0,0,5623468,0,3080 98,3,2024-09-07 08:29:50:718,1,109,8,1,840,2660,109,0 99,0,2024-09-07 08:29:51:458,28633,0.3,28729,0.5,57278,0.3,76012,1.75 99,1,2024-09-07 08:29:51:730,192501,192501,0,0,90238196606,941258466,190978,1077,446,381,391744,0 99,2,2024-09-07 08:29:51:419,139618,139618,0,0,5986660,0,1858 99,3,2024-09-07 08:29:50:587,1,109,2,0,129,840,109,0 100,0,2024-09-07 08:29:51:478,27214,1.3,27125,1.8,54188,3.9,72603,2.50 100,1,2024-09-07 08:29:50:558,190631,190631,0,0,89728235025,953248783,187000,3144,487,381,391989,0 100,2,2024-09-07 08:29:51:818,140075,140064,11,0,7313299,0,5417 100,3,2024-09-07 08:29:51:731,1,109,138,0,443,2424,109,0 101,0,2024-09-07 08:29:51:702,25211,3.2,24414,1.7,48281,2.9,67223,3.00 101,1,2024-09-07 08:29:50:562,190656,190656,0,0,89542742184,947806710,187370,2046,1240,368,391709,0 101,2,2024-09-07 08:29:51:757,135686,135686,0,0,6937599,0,4644 101,3,2024-09-07 08:29:50:943,1,109,8,0,448,1359,109,0 102,0,2024-09-07 08:29:50:985,27925,0.7,28928,0.9,58154,0.6,75929,2.00 102,1,2024-09-07 08:29:51:146,190941,190941,0,0,89421342116,942911411,188146,2279,516,369,391831,0 102,2,2024-09-07 08:29:51:737,137381,137381,0,0,5719334,0,2144 102,3,2024-09-07 08:29:51:616,1,109,1,0,410,1302,109,0 103,0,2024-09-07 08:29:51:618,29844,0.5,29756,0.7,56348,0.4,77273,1.75 103,1,2024-09-07 08:29:51:645,190763,190763,0,0,89794891516,946665643,188044,2007,712,381,391680,0 103,2,2024-09-07 08:29:50:589,137948,137948,0,0,6273406,0,2104 103,3,2024-09-07 08:29:50:758,1,109,6,0,916,2551,109,0 104,0,2024-09-07 08:29:51:062,28747,1.2,28710,1.2,56500,1.3,77183,2.50 104,1,2024-09-07 08:29:51:601,191450,191450,0,0,89271886675,945430933,187787,2969,694,366,391948,0 104,2,2024-09-07 08:29:51:666,137450,137450,0,0,7097274,0,3941 104,3,2024-09-07 08:29:51:425,1,109,2,1,1245,4571,109,0 105,0,2024-09-07 08:29:51:041,24882,1.6,24038,1.4,50219,2.5,67282,3.75 105,1,2024-09-07 08:29:50:558,191237,191237,0,0,89804480888,947554385,187572,2903,762,367,391797,0 105,2,2024-09-07 08:29:51:339,138010,138010,0,0,6469410,0,3314 105,3,2024-09-07 08:29:51:314,1,109,2,1,399,1911,109,0 106,0,2024-09-07 08:29:50:950,27901,0.6,28525,0.9,58111,0.6,76325,2.00 106,1,2024-09-07 08:29:51:755,191464,191464,0,0,89367107077,940930077,188593,2542,329,369,391767,0 106,2,2024-09-07 08:29:50:763,136512,136512,0,0,6577405,0,2795 106,3,2024-09-07 08:29:50:680,1,109,6,1,201,1193,109,0 107,0,2024-09-07 08:29:51:145,28166,0.5,27975,0.7,55772,0.4,74811,1.75 107,1,2024-09-07 08:29:50:586,190902,190902,0,0,89722413508,947894009,188239,2440,223,381,392234,0 107,2,2024-09-07 08:29:51:292,136591,136590,1,0,6822138,0,5024 107,3,2024-09-07 08:29:51:763,1,109,2,0,353,1533,109,0 108,0,2024-09-07 08:29:51:929,27587,0.5,27723,0.7,54818,0.5,73062,1.75 108,1,2024-09-07 08:29:51:293,191288,191288,0,0,90434195000,947673484,189068,1937,283,371,391857,0 108,2,2024-09-07 08:29:51:766,136632,136632,0,0,6411162,0,2647 108,3,2024-09-07 08:29:51:334,1,109,48,0,272,1685,109,0 109,0,2024-09-07 08:29:51:782,25751,0.6,25821,0.7,51324,0.6,69248,1.75 109,1,2024-09-07 08:29:50:593,190833,190833,0,0,90181821897,950104555,188575,1799,459,383,391812,0 109,2,2024-09-07 08:29:50:926,140019,140019,0,0,6671740,0,3617 109,3,2024-09-07 08:29:51:156,1,109,7,1,249,1720,109,0 110,0,2024-09-07 08:29:51:788,26644,0.3,25904,0.5,54129,0.2,70901,1.50 110,1,2024-09-07 08:29:51:650,191950,191950,0,0,90197283199,942102672,189757,1496,697,370,391667,0 110,2,2024-09-07 08:29:51:309,136467,136467,0,0,5839812,0,2915 110,3,2024-09-07 08:29:50:693,1,109,5,0,183,1151,109,0 111,0,2024-09-07 08:29:51:493,26922,0.2,26418,0.4,52967,0.1,71254,1.50 111,1,2024-09-07 08:29:51:003,191879,191879,0,0,90308911874,938643262,190468,1085,326,382,391690,0 111,2,2024-09-07 08:29:51:120,135914,135914,0,0,6354801,0,2763 111,3,2024-09-07 08:29:50:913,1,109,23,0,300,1894,109,0 112,0,2024-09-07 08:29:50:936,28243,0.4,28122,0.5,56180,0.3,74758,1.50 112,1,2024-09-07 08:29:50:826,191613,191613,0,0,89963072539,937508058,189943,1324,346,381,391580,0 112,2,2024-09-07 08:29:51:140,137960,137959,1,0,6257511,0,5036 112,3,2024-09-07 08:29:50:599,1,109,1,0,282,1109,109,0 113,0,2024-09-07 08:29:50:947,25938,0.5,25799,0.6,52463,0.4,69951,1.75 113,1,2024-09-07 08:29:51:695,191678,191678,0,0,89879419412,932447117,189741,1553,384,368,391661,0 113,2,2024-09-07 08:29:51:319,142304,142304,0,0,5379127,0,3021 113,3,2024-09-07 08:29:50:687,1,109,12,0,288,1728,109,0 114,0,2024-09-07 08:29:50:882,25898,1.1,26274,0.8,51592,0.5,69724,1.75 114,1,2024-09-07 08:29:50:715,191793,191793,0,0,89653936264,939167115,189139,1773,881,381,391531,0 114,2,2024-09-07 08:29:50:874,135685,135685,0,0,5662616,0,3925 114,3,2024-09-07 08:29:51:282,1,109,4,0,395,1744,109,0 115,0,2024-09-07 08:29:50:563,27581,0.3,27896,0.4,55857,0.2,73763,1.50 115,1,2024-09-07 08:29:50:576,191988,191988,0,0,90492987844,944767791,189593,1907,488,382,391602,0 115,2,2024-09-07 08:29:51:125,136906,136906,0,0,5136564,0,2152 115,3,2024-09-07 08:29:51:018,1,109,1,0,159,741,109,0 116,0,2024-09-07 08:29:51:707,28914,0.8,28487,0.9,57500,0.9,76399,2.00 116,1,2024-09-07 08:29:50:807,190519,190519,0,0,89295206456,950147763,187070,2063,1386,382,391619,0 116,2,2024-09-07 08:29:51:762,137788,137788,0,0,7348870,0,3529 116,3,2024-09-07 08:29:50:913,1,109,1,1,252,1641,109,0 117,0,2024-09-07 08:29:50:995,28148,1.6,28235,1.2,56036,2.8,75295,2.00 117,1,2024-09-07 08:29:51:585,191614,191614,0,0,89336806605,941718711,188559,2658,397,370,392033,0 117,2,2024-09-07 08:29:51:121,140728,140728,0,0,6147447,0,3700 117,3,2024-09-07 08:29:51:060,1,109,17,0,490,2470,109,0 118,0,2024-09-07 08:29:51:770,24464,0.8,25037,0.8,51115,0.8,67123,2.25 118,1,2024-09-07 08:29:50:586,191316,191316,0,0,89025288879,935553777,189089,1992,235,368,391736,0 118,2,2024-09-07 08:29:51:585,137270,137270,0,0,6408920,0,2781 118,3,2024-09-07 08:29:51:765,1,109,28,0,235,1636,109,0 119,0,2024-09-07 08:29:51:345,28616,1.0,28704,0.9,58300,1.5,76800,2.00 119,1,2024-09-07 08:29:50:591,191572,191572,0,0,89643691505,938731480,189469,1821,282,370,391641,0 119,2,2024-09-07 08:29:51:261,136227,136227,0,0,5791808,0,2532 119,3,2024-09-07 08:29:51:326,1,109,4,0,443,2456,109,0 120,0,2024-09-07 08:29:51:568,28409,0.5,28436,0.8,56728,0.5,76098,2.00 120,1,2024-09-07 08:29:50:861,191508,191508,0,0,89339702442,939449607,189435,2029,44,368,391702,0 120,2,2024-09-07 08:29:50:770,137186,137185,1,0,8215760,0,5281 120,3,2024-09-07 08:29:51:290,1,109,2,0,241,1692,109,0 121,0,2024-09-07 08:29:51:702,27843,1.9,28207,1.3,56203,3.1,75435,2.25 121,1,2024-09-07 08:29:51:657,191216,191216,0,0,89982212588,945447238,188719,2215,282,367,391840,0 121,2,2024-09-07 08:29:51:140,136360,136360,0,0,7785894,0,4127 121,3,2024-09-07 08:29:50:738,1,109,7,0,159,1399,109,0 122,0,2024-09-07 08:29:51:805,25855,1.7,25129,1.5,52462,1.9,69912,2.25 122,1,2024-09-07 08:29:50:859,190591,190591,0,0,89635773361,947221040,187050,2900,641,367,392130,0 122,2,2024-09-07 08:29:51:325,140141,140141,0,0,7701520,0,3364 122,3,2024-09-07 08:29:50:594,1,109,1,0,298,2216,109,0 123,0,2024-09-07 08:29:50:970,27398,0.7,26589,0.8,55671,0.8,73289,2.00 123,1,2024-09-07 08:29:50:579,191905,191905,0,0,89985821587,951738918,188061,3271,573,369,391823,0 123,2,2024-09-07 08:29:51:020,135843,135842,1,0,6553598,0,5215 123,3,2024-09-07 08:29:51:145,1,109,2,1,168,1737,109,0 124,0,2024-09-07 08:29:50:923,27932,0.3,27921,0.5,52751,0.2,72348,1.50 124,1,2024-09-07 08:29:51:027,191414,191414,0,0,90164482648,939244522,189723,1339,352,367,392178,0 124,2,2024-09-07 08:29:51:021,136500,136500,0,0,5840261,0,3101 124,3,2024-09-07 08:29:50:758,1,109,9,1,490,1854,109,0 125,0,2024-09-07 08:29:51:453,27686,0.5,27623,0.6,55392,0.6,73259,1.75 125,1,2024-09-07 08:29:50:860,191018,191018,0,0,89697622407,940267890,188810,1933,275,384,391702,0 125,2,2024-09-07 08:29:51:125,137703,137703,0,0,5885636,0,2180 125,3,2024-09-07 08:29:51:126,1,109,1,1,284,1615,109,0 126,0,2024-09-07 08:29:51:477,26394,0.9,27068,0.9,51946,1.0,70526,2.00 126,1,2024-09-07 08:29:50:557,192075,192075,0,0,90026792286,935946397,190628,1371,76,365,391987,0 126,2,2024-09-07 08:29:50:623,142270,142270,0,0,6024471,0,3186 126,3,2024-09-07 08:29:50:908,1,109,5,0,136,1515,109,0 127,0,2024-09-07 08:29:51:661,25401,0.4,25557,0.5,51254,0.4,68332,1.75 127,1,2024-09-07 08:29:50:577,191931,191931,0,0,90406544308,939570371,190202,1695,34,365,391816,0 127,2,2024-09-07 08:29:50:646,136133,136133,0,0,5091286,0,1803 127,3,2024-09-07 08:29:51:275,1,109,8,0,99,812,109,0 128,0,2024-09-07 08:29:51:528,27266,0.3,27202,0.4,54038,0.2,71920,1.50 128,1,2024-09-07 08:29:51:605,191923,191923,0,0,90363890452,936790670,191159,693,71,367,391680,0 128,2,2024-09-07 08:29:51:386,135761,135761,0,0,5732817,0,2107 128,3,2024-09-07 08:29:50:767,1,109,1,0,247,1396,109,0 129,0,2024-09-07 08:29:51:001,28804,0.3,28748,0.5,57282,0.3,75970,1.75 129,1,2024-09-07 08:29:50:576,191147,191147,0,0,90196121293,946039193,188982,1878,287,379,391835,0 129,2,2024-09-07 08:29:50:687,138283,138283,0,0,5394813,0,2446 129,3,2024-09-07 08:29:50:693,1,109,1,1,173,1539,109,0 130,0,2024-09-07 08:29:51:756,27605,1.2,27348,0.9,54881,1.7,73127,2.00 130,1,2024-09-07 08:29:50:598,191653,191653,0,0,90241825636,941520216,190261,1357,35,381,391825,0 130,2,2024-09-07 08:29:51:125,140426,140426,0,0,5982756,0,4067 130,3,2024-09-07 08:29:51:293,1,109,29,0,207,986,109,0 131,0,2024-09-07 08:29:52:011,24934,0.5,24943,0.6,50422,0.5,67454,1.75 131,1,2024-09-07 08:29:51:824,192482,192482,0,0,89716342601,935332491,191301,993,188,384,391865,0 131,2,2024-09-07 08:29:50:576,136600,136600,0,0,5201712,0,2415 131,3,2024-09-07 08:29:51:693,1,109,5,0,392,1365,109,0 132,0,2024-09-07 08:29:51:434,28352,0.5,28783,0.8,57646,0.5,76255,1.75 132,1,2024-09-07 08:29:50:580,190763,190763,0,0,88949888538,941358648,187341,2665,757,381,391719,0 132,2,2024-09-07 08:29:50:698,136199,136199,0,0,7900322,0,4606 132,3,2024-09-07 08:29:51:687,1,109,1,0,356,1928,109,0 133,0,2024-09-07 08:29:51:522,28296,0.5,28828,0.6,59300,0.4,76575,2.00 133,1,2024-09-07 08:29:50:583,190090,190090,0,0,89601391565,947736311,187143,2769,178,383,391755,0 133,2,2024-09-07 08:29:51:102,137900,137900,0,0,7651286,0,4315 133,3,2024-09-07 08:29:51:311,1,109,1,1,187,1029,109,0 134,0,2024-09-07 08:29:51:015,28771,0.7,28603,0.9,57726,0.8,76935,2.00 134,1,2024-09-07 08:29:50:590,191090,191090,0,0,89053246486,937842072,187713,2288,1089,366,391718,0 134,2,2024-09-07 08:29:51:756,138140,138140,0,0,6223202,0,3096 134,3,2024-09-07 08:29:50:758,1,109,9,0,739,2332,109,0 135,0,2024-09-07 08:29:51:114,24582,1.1,24468,1.2,51756,1.2,67291,2.25 135,1,2024-09-07 08:29:51:585,190742,190742,0,0,89419673639,944619946,187399,2643,700,380,391703,0 135,2,2024-09-07 08:29:50:706,138904,138904,0,0,6680233,0,3981 135,3,2024-09-07 08:29:51:019,1,109,1,0,89,730,109,0 136,0,2024-09-07 08:29:51:674,29011,0.6,28787,0.8,57986,0.6,77452,2.00 136,1,2024-09-07 08:29:51:447,190970,190970,0,0,89362165424,940502629,188268,2538,164,384,391641,0 136,2,2024-09-07 08:29:51:148,137545,137545,0,0,6613633,0,3506 136,3,2024-09-07 08:29:51:109,1,109,3,0,108,1022,109,0 137,0,2024-09-07 08:29:50:936,28706,0.6,27837,0.8,55342,0.6,74944,2.00 137,1,2024-09-07 08:29:50:576,191285,191285,0,0,89840677474,942366599,188728,2378,179,367,391608,0 137,2,2024-09-07 08:29:51:710,136674,136674,0,0,7947849,0,3185 137,3,2024-09-07 08:29:50:778,1,109,1,1,227,1444,109,0 138,0,2024-09-07 08:29:51:771,26773,2.2,27061,1.4,54708,3.4,72951,2.75 138,1,2024-09-07 08:29:51:685,191182,191182,0,0,89970413337,946095843,188290,2544,348,369,391954,0 138,2,2024-09-07 08:29:50:589,137301,137301,0,0,6465990,0,3263 138,3,2024-09-07 08:29:50:621,1,109,1,0,1160,2149,109,0 139,0,2024-09-07 08:29:51:374,24940,3.8,25077,2.1,50702,5.1,68480,3.50 139,1,2024-09-07 08:29:50:579,190720,190720,0,0,89287940378,953237482,186426,3096,1198,381,391892,0 139,2,2024-09-07 08:29:50:697,139970,139970,0,0,7540084,0,3097 139,3,2024-09-07 08:29:51:671,1,109,1,0,244,1571,109,0 140,0,2024-09-07 08:29:51:593,26696,0.3,26586,0.5,53337,0.2,71027,1.50 140,1,2024-09-07 08:29:51:536,192138,192138,0,0,90579755447,936573028,190991,932,215,365,391606,0 140,2,2024-09-07 08:29:50:688,137126,137126,0,0,6132003,0,3388 140,3,2024-09-07 08:29:50:767,1,109,38,0,39,767,109,0 141,0,2024-09-07 08:29:51:705,26463,0.2,27393,0.4,52583,0.1,71281,1.50 141,1,2024-09-07 08:29:50:859,191777,191777,0,0,90120440483,938537623,190108,1317,352,379,391539,0 141,2,2024-09-07 08:29:51:686,135989,135989,0,0,5559096,0,2342 141,3,2024-09-07 08:29:51:051,1,109,8,0,147,873,109,0 142,0,2024-09-07 08:29:51:361,28506,0.3,28118,0.5,55971,0.3,74893,1.75 142,1,2024-09-07 08:29:50:586,191911,191911,0,0,90221024435,941017536,190878,900,133,384,391649,0 142,2,2024-09-07 08:29:51:300,136552,136520,32,0,7168528,0,6028 142,3,2024-09-07 08:29:51:746,1,109,3,0,484,1822,109,0 143,0,2024-09-07 08:29:51:401,26095,0.9,26175,0.8,52766,1.2,69830,2.00 143,1,2024-09-07 08:29:50:561,191638,191638,0,0,89583735387,932878492,189867,1733,38,367,391619,0 143,2,2024-09-07 08:29:50:770,141246,141246,0,0,6392763,0,2669 143,3,2024-09-07 08:29:51:160,1,109,189,0,303,2109,109,0 144,0,2024-09-07 08:29:51:526,24875,0.7,25600,1.7,51929,0.6,69596,2.00 144,1,2024-09-07 08:29:50:585,190953,190953,0,0,89063811180,936555642,189129,1625,199,381,391638,0 144,2,2024-09-07 08:29:51:755,136477,136477,0,0,5464705,0,3473 144,3,2024-09-07 08:29:51:741,1,109,4,0,169,1447,109,0 145,0,2024-09-07 08:29:51:364,26825,0.6,26728,0.9,56633,0.5,73680,2.00 145,1,2024-09-07 08:29:50:558,190198,190198,0,0,89670556975,948832743,186819,2748,631,383,391615,0 145,2,2024-09-07 08:29:51:441,136293,136293,0,0,6617079,0,3903 145,3,2024-09-07 08:29:50:896,1,109,1,0,151,1407,109,0 146,0,2024-09-07 08:29:51:619,28708,0.5,28490,0.8,57513,0.5,75996,2.25 146,1,2024-09-07 08:29:51:596,191286,191286,0,0,89762496453,948998093,187183,3309,794,368,391600,0 146,2,2024-09-07 08:29:51:694,138214,138214,0,0,6539475,0,2379 146,3,2024-09-07 08:29:51:280,1,109,3,0,1520,3714,109,0 147,0,2024-09-07 08:29:51:708,28117,2.1,27942,1.4,55345,3.0,75155,2.75 147,1,2024-09-07 08:29:51:380,192112,192112,0,0,90756489425,945333360,190144,1608,360,368,391791,0 147,2,2024-09-07 08:29:51:020,140096,140096,0,0,6068124,0,2789 147,3,2024-09-07 08:29:50:913,1,109,1,0,141,1220,109,0 0,0,2024-09-07 08:30:01:734,27975,0.5,27956,0.7,59111,0.5,76584,1.75 0,1,2024-09-07 08:30:00:818,193009,193009,0,0,90492337647,949561146,191626,1254,129,372,391692,0 0,2,2024-09-07 08:30:01:074,139092,139092,0,0,6116679,0,4480 0,3,2024-09-07 08:30:00:975,1,110,1,0,247,1786,110,0 1,0,2024-09-07 08:30:01:790,28505,2.2,28183,1.6,56786,2.9,75987,2.50 1,1,2024-09-07 08:30:00:575,192544,192544,0,0,89887947172,945712708,190042,1908,594,372,391857,0 1,2,2024-09-07 08:30:00:642,138672,138672,0,0,5774306,0,3267 1,3,2024-09-07 08:30:01:305,1,110,9,0,262,1519,110,0 2,0,2024-09-07 08:30:01:578,26131,1.0,26165,1.1,51928,1.3,69809,2.25 2,1,2024-09-07 08:30:00:863,193023,193023,0,0,90659644109,943682278,191849,969,205,380,391558,0 2,2,2024-09-07 08:30:01:267,140256,140256,0,0,5910235,0,3304 2,3,2024-09-07 08:30:00:699,1,110,8,0,214,1126,110,0 3,0,2024-09-07 08:30:01:749,27922,0.4,27983,0.6,55800,0.4,74382,1.75 3,1,2024-09-07 08:30:01:618,192528,192528,0,0,90867012677,950363441,190116,2010,402,382,391516,0 3,2,2024-09-07 08:30:01:149,138293,138270,23,0,6276862,0,5851 3,3,2024-09-07 08:30:01:752,1,110,1,0,103,783,110,0 4,0,2024-09-07 08:30:01:818,26683,0.3,27412,0.4,55519,0.2,73155,1.50 4,1,2024-09-07 08:30:00:610,193057,193057,0,0,90322261364,953671401,190560,1978,519,371,391846,0 4,2,2024-09-07 08:30:01:020,137029,137029,0,0,7414660,0,4528 4,3,2024-09-07 08:30:01:035,1,110,1,0,287,1712,110,0 5,0,2024-09-07 08:30:01:398,27788,0.6,27641,0.7,55580,0.7,73568,1.75 5,1,2024-09-07 08:30:00:772,192203,192203,0,0,90393884539,956378181,188783,2487,933,368,392005,0 5,2,2024-09-07 08:30:01:830,138085,138085,0,0,6258453,0,2259 5,3,2024-09-07 08:30:01:736,1,110,1,0,238,1908,110,0 6,0,2024-09-07 08:30:00:941,26825,0.7,26515,0.9,52706,0.8,71017,2.25 6,1,2024-09-07 08:30:00:750,193622,193622,0,0,90527463590,945591620,192089,1458,75,381,391603,0 6,2,2024-09-07 08:30:01:118,143056,143056,0,0,6168939,0,2411 6,3,2024-09-07 08:30:01:282,1,110,1,0,340,1783,110,0 7,0,2024-09-07 08:30:01:542,25761,0.4,25801,0.5,51885,0.3,69279,1.75 7,1,2024-09-07 08:30:00:851,193512,193512,0,0,90697840430,949276803,191760,1686,66,383,391664,0 7,2,2024-09-07 08:30:00:771,136675,136675,0,0,5637765,0,2981 7,3,2024-09-07 08:30:00:852,1,110,7,0,305,1254,110,0 8,0,2024-09-07 08:30:01:335,27152,0.3,27370,0.5,54017,0.2,72362,1.50 8,1,2024-09-07 08:30:01:032,192713,192713,0,0,90378100156,958888460,188783,2645,1285,368,391724,0 8,2,2024-09-07 08:30:00:796,135870,135870,0,0,7373220,0,2986 8,3,2024-09-07 08:30:00:585,1,110,13,0,357,1847,110,0 9,0,2024-09-07 08:30:01:152,28713,0.4,28003,0.5,58482,0.3,76101,1.75 9,1,2024-09-07 08:30:00:556,192572,192572,0,0,90755134826,962933725,188800,2765,1007,370,391738,0 9,2,2024-09-07 08:30:01:098,138602,138602,0,0,7145455,0,3360 9,3,2024-09-07 08:30:01:752,1,110,11,0,496,1855,110,0 10,0,2024-09-07 08:30:01:625,27399,0.5,27432,0.7,54852,0.5,72968,1.75 10,1,2024-09-07 08:30:00:583,192840,192840,0,0,90549437319,954818626,189191,3125,524,381,391741,0 10,2,2024-09-07 08:30:00:763,141829,141829,0,0,6861936,0,2940 10,3,2024-09-07 08:30:00:871,1,110,2,0,136,842,110,0 11,0,2024-09-07 08:30:01:012,24901,0.5,24387,0.8,50983,0.5,68817,2.00 11,1,2024-09-07 08:30:00:573,192430,192430,0,0,90209495594,953733262,188313,2987,1130,384,391537,0 11,2,2024-09-07 08:30:01:124,136993,136993,0,0,6431078,0,3411 11,3,2024-09-07 08:30:01:302,1,110,1,0,720,2714,110,0 12,0,2024-09-07 08:30:00:957,29019,0.3,28890,0.5,57972,0.3,76536,1.50 12,1,2024-09-07 08:30:00:935,192579,192579,0,0,90314348647,949006674,189862,2307,410,371,391837,0 12,2,2024-09-07 08:30:01:546,138426,138426,0,0,6954360,0,3469 12,3,2024-09-07 08:30:01:059,1,110,2,0,358,2084,110,0 13,0,2024-09-07 08:30:01:374,29148,0.4,29265,0.5,58430,0.4,77381,1.75 13,1,2024-09-07 08:30:01:786,193295,193295,0,0,90547905392,952961128,191392,1527,376,384,391717,0 13,2,2024-09-07 08:30:00:596,140371,140371,0,0,6338080,0,3287 13,3,2024-09-07 08:30:01:766,1,110,33,1,416,2155,110,0 14,0,2024-09-07 08:30:00:597,29083,0.6,29288,0.8,57998,0.6,77287,2.00 14,1,2024-09-07 08:30:01:561,194392,194392,0,0,91445529760,950854685,192640,1591,161,364,391571,0 14,2,2024-09-07 08:30:00:763,139630,139630,0,0,6381609,0,2896 14,3,2024-09-07 08:30:01:121,1,110,3,0,1168,2567,110,0 15,0,2024-09-07 08:30:01:563,25594,0.6,25495,0.9,50966,0.6,68370,2.00 15,1,2024-09-07 08:30:01:741,192724,192724,0,0,90695568747,945536175,191438,1226,60,381,391536,0 15,2,2024-09-07 08:30:00:999,139557,139557,0,0,5161622,0,3043 15,3,2024-09-07 08:30:01:408,1,110,39,0,1126,3742,110,0 16,0,2024-09-07 08:30:00:974,28875,0.6,29280,0.8,57859,0.7,77476,2.25 16,1,2024-09-07 08:30:00:583,193358,193358,0,0,90579686408,947293617,192179,1151,28,372,391756,0 16,2,2024-09-07 08:30:01:457,137557,137557,0,0,6470169,0,4719 16,3,2024-09-07 08:30:01:144,1,110,63,0,231,1640,110,0 17,0,2024-09-07 08:30:01:794,29139,0.5,28450,0.6,55883,0.4,75651,1.75 17,1,2024-09-07 08:30:00:572,192596,192596,0,0,90145615627,950827701,190339,1728,529,369,391688,0 17,2,2024-09-07 08:30:01:670,139888,139888,0,0,5747371,0,2857 17,3,2024-09-07 08:30:00:575,1,110,8,0,268,1902,110,0 18,0,2024-09-07 08:30:00:958,26854,1.1,27347,1.1,54903,1.3,72849,2.25 18,1,2024-09-07 08:30:01:649,193216,193216,0,0,90446610390,939970627,191924,1074,218,368,391564,0 18,2,2024-09-07 08:30:01:755,138329,138329,0,0,6233809,0,3541 18,3,2024-09-07 08:30:00:896,1,110,2,0,163,1130,110,0 19,0,2024-09-07 08:30:01:571,25766,1.0,25987,1.1,51437,1.2,68920,3.25 19,1,2024-09-07 08:30:00:568,193452,193452,0,0,91313628592,952095029,191405,1881,166,367,391777,0 19,2,2024-09-07 08:30:01:752,142615,142615,0,0,5789799,0,3988 19,3,2024-09-07 08:30:01:130,1,110,29,0,524,1305,110,0 20,0,2024-09-07 08:30:01:422,27036,0.4,26911,0.6,53896,0.4,71396,2.00 20,1,2024-09-07 08:30:00:579,193255,193255,0,0,91066678237,955015469,191251,1827,177,370,391598,0 20,2,2024-09-07 08:30:00:929,137337,137337,0,0,5873873,0,3721 20,3,2024-09-07 08:30:00:594,1,110,101,0,414,2188,110,0 21,0,2024-09-07 08:30:01:140,27241,0.3,27114,0.5,54112,0.3,71933,1.75 21,1,2024-09-07 08:30:01:541,192634,192634,0,0,89866777496,947770421,190056,2073,505,368,391962,0 21,2,2024-09-07 08:30:01:075,137715,137715,0,0,6305589,0,3747 21,3,2024-09-07 08:30:01:411,1,110,1,0,93,1457,110,0 22,0,2024-09-07 08:30:01:728,27907,1.1,27938,1.1,55796,1.4,74314,2.50 22,1,2024-09-07 08:30:01:028,192531,192531,0,0,90040666248,954269143,188064,3096,1371,382,391667,0 22,2,2024-09-07 08:30:00:765,138769,138769,0,0,5782336,0,3134 22,3,2024-09-07 08:30:01:071,1,110,1,0,228,1251,110,0 23,0,2024-09-07 08:30:01:380,26217,0.7,26413,0.9,52921,0.7,70677,2.25 23,1,2024-09-07 08:30:01:004,193878,193878,0,0,91467323275,954149614,191619,1688,571,365,391549,0 23,2,2024-09-07 08:30:01:095,142690,142690,0,0,5810238,0,2078 23,3,2024-09-07 08:30:01:759,1,110,1,0,645,1561,110,0 24,0,2024-09-07 08:30:00:847,26493,0.5,26446,0.7,53032,0.5,70715,1.75 24,1,2024-09-07 08:30:00:581,192543,192543,0,0,90534774548,951660688,190169,1610,764,369,391640,0 24,2,2024-09-07 08:30:01:079,136697,136697,0,0,7415247,0,2942 24,3,2024-09-07 08:30:01:689,1,110,2,0,234,1478,110,0 25,0,2024-09-07 08:30:01:406,28641,0.4,27964,0.6,54731,0.4,74350,1.75 25,1,2024-09-07 08:30:00:558,192554,192554,0,0,90401463754,952301104,189793,2317,444,371,391788,0 25,2,2024-09-07 08:30:01:606,136354,136354,0,0,7471750,0,3978 25,3,2024-09-07 08:30:01:008,1,110,1,0,158,1288,110,0 26,0,2024-09-07 08:30:01:749,28653,0.4,27996,0.6,58717,0.3,76712,1.75 26,1,2024-09-07 08:30:01:541,193378,193378,0,0,90348657529,953886473,189512,3023,843,381,391564,0 26,2,2024-09-07 08:30:00:861,139704,139704,0,0,6958864,0,2809 26,3,2024-09-07 08:30:01:714,1,110,1,0,796,1460,110,0 27,0,2024-09-07 08:30:01:728,28294,0.6,28567,0.7,56551,0.6,75249,1.75 27,1,2024-09-07 08:30:01:677,193598,193598,0,0,91528567532,953567562,191856,1420,322,381,391539,0 27,2,2024-09-07 08:30:00:867,139881,139881,0,0,7630254,0,3409 27,3,2024-09-07 08:30:01:018,1,110,1,0,564,1444,110,0 28,0,2024-09-07 08:30:01:449,25187,0.7,25600,0.8,51107,0.8,68372,2.25 28,1,2024-09-07 08:30:00:797,193205,193205,0,0,91198746588,951384908,191741,1262,202,383,391646,0 28,2,2024-09-07 08:30:01:764,137922,137922,0,0,5449283,0,2915 28,3,2024-09-07 08:30:01:777,1,110,1,0,502,1395,110,0 29,0,2024-09-07 08:30:01:403,29722,0.4,28976,0.6,56782,0.4,77546,1.75 29,1,2024-09-07 08:30:01:567,194109,194109,0,0,90591443907,942364197,192022,1557,530,369,391621,0 29,2,2024-09-07 08:30:00:861,138483,138483,0,0,5335762,0,4018 29,3,2024-09-07 08:30:00:963,1,110,12,0,105,910,110,0 30,0,2024-09-07 08:30:01:468,28715,0.8,27913,0.9,58299,0.8,76624,2.25 30,1,2024-09-07 08:30:00:573,193462,193462,0,0,91015413732,950325841,191611,1573,278,382,391672,0 30,2,2024-09-07 08:30:01:274,139889,139889,0,0,5607860,0,3161 30,3,2024-09-07 08:30:00:581,1,110,1,0,195,876,110,0 31,0,2024-09-07 08:30:01:777,28153,0.9,28167,0.9,57023,1.0,75928,2.25 31,1,2024-09-07 08:30:00:575,194438,194438,0,0,91253020912,935476989,194122,314,2,356,391553,0 31,2,2024-09-07 08:30:01:275,138921,138921,0,0,6696921,0,3525 31,3,2024-09-07 08:30:01:711,1,110,12,0,129,840,110,0 32,0,2024-09-07 08:30:01:447,26331,0.8,26492,0.9,53057,0.8,70393,1.75 32,1,2024-09-07 08:30:00:804,194207,194207,0,0,90910447564,944340412,193134,1042,31,382,391595,0 32,2,2024-09-07 08:30:00:936,140818,140818,0,0,5521792,0,3155 32,3,2024-09-07 08:30:01:016,1,110,7,0,227,1208,110,0 33,0,2024-09-07 08:30:01:532,28149,0.3,27833,0.5,56299,0.2,74964,1.75 33,1,2024-09-07 08:30:00:577,194356,194356,0,0,91541935334,949869817,192603,1630,123,369,391730,0 33,2,2024-09-07 08:30:00:764,138087,138054,33,0,7019332,0,7012 33,3,2024-09-07 08:30:00:895,1,110,20,0,211,1087,110,0 34,0,2024-09-07 08:30:00:943,27487,0.3,28371,0.5,54401,0.2,73043,1.75 34,1,2024-09-07 08:30:01:046,194365,194365,0,0,91172662418,939385017,193725,635,5,367,391547,0 34,2,2024-09-07 08:30:00:766,137478,137478,0,0,6019139,0,3255 34,3,2024-09-07 08:30:01:689,1,110,1,0,148,802,110,0 35,0,2024-09-07 08:30:00:884,27541,0.6,27890,0.7,55356,0.6,73630,1.75 35,1,2024-09-07 08:30:01:077,193297,193297,0,0,90565456135,941673499,191651,1284,362,384,391587,0 35,2,2024-09-07 08:30:01:582,138179,138179,0,0,5485602,0,2542 35,3,2024-09-07 08:30:00:907,1,110,3,0,219,1271,110,0 36,0,2024-09-07 08:30:01:530,26570,1.1,26458,1.1,53584,1.3,70849,2.50 36,1,2024-09-07 08:30:00:584,193166,193166,0,0,90534860475,949331827,190045,2189,932,366,391759,0 36,2,2024-09-07 08:30:01:751,141866,141866,0,0,7075644,0,3303 36,3,2024-09-07 08:30:00:868,1,110,1,0,378,1215,110,0 37,0,2024-09-07 08:30:01:402,25952,0.4,25880,0.6,51756,0.3,69428,1.75 37,1,2024-09-07 08:30:00:583,192854,192847,0,7,90407764354,953155713,189460,1845,1542,365,391518,0 37,2,2024-09-07 08:30:01:144,137630,137630,0,0,5459011,0,2333 37,3,2024-09-07 08:30:01:766,1,110,8,0,724,2206,110,0 38,0,2024-09-07 08:30:01:451,27043,0.4,26260,0.6,54836,0.4,71846,1.75 38,1,2024-09-07 08:30:01:605,194140,194140,0,0,90459954531,947521983,191278,2341,521,368,391821,0 38,2,2024-09-07 08:30:00:761,137939,137939,0,0,6489261,0,3245 38,3,2024-09-07 08:30:00:998,1,110,17,0,603,1615,110,0 39,0,2024-09-07 08:30:01:767,29458,0.8,28831,0.8,56249,0.9,76258,2.00 39,1,2024-09-07 08:30:00:716,193298,193298,0,0,90731263131,949462474,190309,2321,668,365,391524,0 39,2,2024-09-07 08:30:01:416,139292,139292,0,0,6017932,0,2689 39,3,2024-09-07 08:30:00:713,1,110,3,0,276,1598,110,0 40,0,2024-09-07 08:30:01:504,26789,1.3,27410,1.7,54317,1.9,72374,3.50 40,1,2024-09-07 08:30:00:576,192722,192722,0,0,89418108639,942490090,188859,3098,765,369,391591,0 40,2,2024-09-07 08:30:01:304,141882,141881,1,0,7930355,0,5137 40,3,2024-09-07 08:30:01:142,1,110,1,0,181,1307,110,0 41,0,2024-09-07 08:30:01:030,24816,1.7,25415,1.9,48563,2.9,67450,4.00 41,1,2024-09-07 08:30:00:770,193248,193248,0,0,90980375786,957335655,189668,3063,517,370,391742,0 41,2,2024-09-07 08:30:00:762,136577,136577,0,0,7140829,0,3356 41,3,2024-09-07 08:30:01:676,1,110,9,0,366,1481,110,0 42,0,2024-09-07 08:30:01:481,28232,0.7,28512,0.9,56579,0.6,74706,2.25 42,1,2024-09-07 08:30:01:442,192524,192524,0,0,90686661351,956041161,189132,2556,836,380,391675,0 42,2,2024-09-07 08:30:01:133,136928,136928,0,0,7324988,0,3568 42,3,2024-09-07 08:30:01:009,1,110,1,0,100,818,110,0 43,0,2024-09-07 08:30:00:928,29131,0.8,28331,1.0,59101,0.9,77421,2.25 43,1,2024-09-07 08:30:00:576,192679,192679,0,0,90851209208,950743514,190059,1868,752,366,391604,0 43,2,2024-09-07 08:30:01:736,139923,139923,0,0,7146933,0,3812 43,3,2024-09-07 08:30:01:749,1,110,1,0,325,1869,110,0 44,0,2024-09-07 08:30:00:882,29231,0.6,29045,0.9,58366,0.7,77875,2.00 44,1,2024-09-07 08:30:00:574,193723,193723,0,0,90383165585,936266338,192294,1300,129,356,391662,0 44,2,2024-09-07 08:30:01:268,139516,139516,0,0,5442331,0,1877 44,3,2024-09-07 08:30:01:097,1,110,2,0,817,1486,110,0 45,0,2024-09-07 08:30:01:767,25263,1.0,24644,1.1,51675,1.2,68974,2.25 45,1,2024-09-07 08:30:01:007,193519,193519,0,0,90748892923,943428382,192536,975,8,382,391917,0 45,2,2024-09-07 08:30:01:267,139638,139638,0,0,5977426,0,3596 45,3,2024-09-07 08:30:00:934,1,110,8,0,226,1047,110,0 46,0,2024-09-07 08:30:00:956,28868,0.4,28812,0.7,57829,0.3,76657,1.75 46,1,2024-09-07 08:30:00:576,193835,193835,0,0,90745650215,940193978,192409,1320,106,366,391514,0 46,2,2024-09-07 08:30:00:592,138982,138982,0,0,5893853,0,2920 46,3,2024-09-07 08:30:01:131,1,110,1,0,363,1737,110,0 47,0,2024-09-07 08:30:01:109,28288,0.4,28546,0.5,57157,0.3,75384,1.75 47,1,2024-09-07 08:30:00:567,193895,193895,0,0,90445429792,935395775,192951,934,10,366,391605,0 47,2,2024-09-07 08:30:00:908,139430,139430,0,0,6228012,0,2558 47,3,2024-09-07 08:30:01:124,1,110,23,0,529,1313,110,0 48,0,2024-09-07 08:30:01:509,27853,0.3,27675,0.5,55139,0.3,73504,1.75 48,1,2024-09-07 08:30:01:064,193695,193695,0,0,90728790275,943306792,192312,1376,7,384,391710,0 48,2,2024-09-07 08:30:00:703,138216,138216,0,0,4950949,0,2083 48,3,2024-09-07 08:30:00:765,1,110,1,0,69,858,110,0 49,0,2024-09-07 08:30:01:725,26732,0.6,26130,0.7,51165,0.6,70177,1.75 49,1,2024-09-07 08:30:01:021,192796,192796,0,0,90839341468,948540655,191019,1066,711,382,391583,0 49,2,2024-09-07 08:30:01:798,142112,142112,0,0,5994474,0,3900 49,3,2024-09-07 08:30:01:416,1,110,17,0,274,1102,110,0 50,0,2024-09-07 08:30:01:516,27164,0.2,26680,0.4,53676,0.2,71901,1.50 50,1,2024-09-07 08:30:01:010,194510,194510,0,0,91232510612,948487665,192566,1647,297,368,391530,0 50,2,2024-09-07 08:30:01:075,137818,137818,0,0,5180624,0,2253 50,3,2024-09-07 08:30:01:291,1,110,3,0,335,1099,110,0 51,0,2024-09-07 08:30:01:691,27664,0.2,27128,0.4,53063,0.2,72172,1.50 51,1,2024-09-07 08:30:01:680,193550,193550,0,0,91826149947,952750341,191740,1026,784,367,391637,0 51,2,2024-09-07 08:30:01:316,137239,137239,0,0,4569333,0,2448 51,3,2024-09-07 08:30:01:027,1,110,1,0,162,739,110,0 52,0,2024-09-07 08:30:01:422,28239,0.6,28308,0.8,56734,0.7,74825,2.25 52,1,2024-09-07 08:30:00:602,193068,193068,0,0,90920446687,957017754,189475,2886,707,368,391722,0 52,2,2024-09-07 08:30:01:756,137988,137988,0,0,6636243,0,4779 52,3,2024-09-07 08:30:00:675,1,110,13,0,1782,2730,110,0 53,0,2024-09-07 08:30:01:742,26208,1.3,25335,1.2,52901,1.6,70017,2.75 53,1,2024-09-07 08:30:00:771,193013,193013,0,0,91195318778,956965451,189919,2513,581,370,391617,0 53,2,2024-09-07 08:30:01:303,143248,143248,0,0,5557306,0,1902 53,3,2024-09-07 08:30:00:699,1,110,9,0,133,875,110,0 54,0,2024-09-07 08:30:01:628,25490,1.7,25885,1.1,51024,0.6,69847,3.75 54,1,2024-09-07 08:30:00:580,193400,193400,0,0,91338544196,955995602,190228,2628,544,367,391520,0 54,2,2024-09-07 08:30:00:865,137261,137255,6,0,6353015,0,5382 54,3,2024-09-07 08:30:00:763,1,110,0,0,676,2222,110,0 55,0,2024-09-07 08:30:01:763,26804,0.5,27534,0.8,55910,0.5,72223,2.25 55,1,2024-09-07 08:30:00:774,192512,192512,0,0,90395687706,950582211,188133,3594,785,367,391731,0 55,2,2024-09-07 08:30:00:736,137175,137175,0,0,6199095,0,3275 55,3,2024-09-07 08:30:00:677,1,110,1,0,304,1320,110,0 56,0,2024-09-07 08:30:01:594,29542,1.5,27995,1.2,57456,2.1,76897,2.50 56,1,2024-09-07 08:30:00:584,192551,192551,0,0,90867802103,967647060,188049,3652,850,382,391678,0 56,2,2024-09-07 08:30:01:309,139702,139702,0,0,7648140,0,3567 56,3,2024-09-07 08:30:01:059,1,110,3,0,297,1549,110,0 57,0,2024-09-07 08:30:00:989,27741,2.2,27614,1.5,55571,3.3,74040,4.00 57,1,2024-09-07 08:30:00:995,192808,192808,0,0,90272498662,948107183,190399,2239,170,368,391773,0 57,2,2024-09-07 08:30:01:327,141186,141186,0,0,7555152,0,3178 57,3,2024-09-07 08:30:01:738,1,110,12,0,359,2332,110,0 58,0,2024-09-07 08:30:00:565,24538,1.1,23977,1.1,50253,1.2,66214,2.75 58,1,2024-09-07 08:30:00:576,193892,193889,0,3,91386690402,954544430,191499,2162,228,369,391516,3 58,2,2024-09-07 08:30:01:075,137802,137802,0,0,6603886,0,2549 58,3,2024-09-07 08:30:01:075,1,110,1,0,219,1038,110,0 59,0,2024-09-07 08:30:01:758,29014,0.9,28644,1.0,57636,1.0,76328,3.00 59,1,2024-09-07 08:30:00:812,192965,192965,0,0,90787446877,955457160,189601,2442,922,369,391515,0 59,2,2024-09-07 08:30:00:583,138959,138959,0,0,6023153,0,2604 59,3,2024-09-07 08:30:01:746,1,110,1,0,1015,2345,110,0 60,0,2024-09-07 08:30:01:739,29015,0.4,29138,0.6,57571,0.4,76808,1.75 60,1,2024-09-07 08:30:00:773,194742,194742,0,0,90605904057,942400025,193500,892,350,370,391761,0 60,2,2024-09-07 08:30:01:141,138978,138978,0,0,6699322,0,3811 60,3,2024-09-07 08:30:01:261,1,110,1,0,124,1164,110,0 61,0,2024-09-07 08:30:01:508,28200,1.8,28434,1.3,56730,3.0,76032,2.00 61,1,2024-09-07 08:30:00:770,192781,192781,0,0,90938486030,957247194,189994,2250,537,382,391589,0 61,2,2024-09-07 08:30:01:123,139013,139013,0,0,5721015,0,1846 61,3,2024-09-07 08:30:01:688,1,110,21,0,199,1505,110,0 62,0,2024-09-07 08:30:01:752,26367,1.8,26919,1.2,51545,3.0,70233,2.50 62,1,2024-09-07 08:30:01:113,194433,194427,0,6,91536797164,946945893,193006,1383,38,365,391715,6 62,2,2024-09-07 08:30:01:653,139492,139491,1,0,6911585,0,5555 62,3,2024-09-07 08:30:01:144,1,110,40,0,287,806,110,0 63,0,2024-09-07 08:30:01:484,27887,0.4,28025,0.6,56021,0.4,75097,1.75 63,1,2024-09-07 08:30:00:808,194202,194196,0,6,91276689766,947097055,193126,1050,20,381,391540,6 63,2,2024-09-07 08:30:00:765,137706,137706,0,0,5474029,0,2674 63,3,2024-09-07 08:30:01:737,1,110,7,0,667,2021,110,0 64,0,2024-09-07 08:30:01:533,27361,0.4,27250,0.6,54815,0.4,73089,1.75 64,1,2024-09-07 08:30:00:754,193099,193099,0,0,90838175848,952626439,190442,1859,798,371,391783,0 64,2,2024-09-07 08:30:01:154,138628,138609,19,0,6266506,0,6121 64,3,2024-09-07 08:30:01:148,1,110,31,0,265,1193,110,0 65,0,2024-09-07 08:30:01:739,27059,1.6,27421,1.2,54922,2.0,72819,3.00 65,1,2024-09-07 08:30:00:863,193027,193027,0,0,90426412228,946999294,191159,1647,221,382,391770,0 65,2,2024-09-07 08:30:01:694,139079,139079,0,0,6587205,0,3367 65,3,2024-09-07 08:30:01:684,1,110,11,0,163,1193,110,0 66,0,2024-09-07 08:30:01:790,26162,0.5,26327,0.8,52742,0.5,70238,2.25 66,1,2024-09-07 08:30:01:302,193928,193928,0,0,90923422485,943259307,193127,778,23,380,391588,0 66,2,2024-09-07 08:30:01:143,143854,143854,0,0,6240634,0,4956 66,3,2024-09-07 08:30:01:091,1,110,2,0,291,1276,110,0 67,0,2024-09-07 08:30:01:428,26212,0.5,26036,0.7,52011,0.5,69312,2.00 67,1,2024-09-07 08:30:00:766,192924,192923,0,1,90888625951,951717715,190737,1661,525,381,391787,1 67,2,2024-09-07 08:30:00:586,138005,138005,0,0,5235471,0,2889 67,3,2024-09-07 08:30:01:750,1,110,20,0,138,1029,110,0 68,0,2024-09-07 08:30:00:578,27379,0.5,27247,0.7,54316,0.5,72202,2.00 68,1,2024-09-07 08:30:00:574,193061,193061,0,0,90442872967,948449795,191364,1175,522,381,391953,0 68,2,2024-09-07 08:30:01:044,136886,136886,0,0,6457576,0,4883 68,3,2024-09-07 08:30:00:733,1,110,4,0,151,1147,110,0 69,0,2024-09-07 08:30:01:845,28584,1.1,28806,1.0,57348,1.4,76009,2.25 69,1,2024-09-07 08:30:01:019,192620,192620,0,0,90309242085,957112818,189800,1742,1078,384,391611,0 69,2,2024-09-07 08:30:01:740,139055,139055,0,0,7224969,0,3701 69,3,2024-09-07 08:30:00:760,1,110,2,0,238,1463,110,0 70,0,2024-09-07 08:30:01:558,27034,2.5,27134,1.8,54453,1.3,72108,3.75 70,1,2024-09-07 08:30:00:803,193544,193544,0,0,91138826151,948637483,191512,1573,459,367,391725,0 70,2,2024-09-07 08:30:01:334,141801,141801,0,0,6762730,0,4044 70,3,2024-09-07 08:30:00:750,1,110,27,0,178,729,110,0 71,0,2024-09-07 08:30:01:369,24805,1.8,25047,1.7,49620,2.6,67773,3.25 71,1,2024-09-07 08:30:01:668,193726,193726,0,0,91336906164,954437566,191179,2213,334,368,391682,0 71,2,2024-09-07 08:30:01:073,137524,137524,0,0,5913595,0,2146 71,3,2024-09-07 08:30:01:753,1,110,28,0,279,1461,110,0 72,0,2024-09-07 08:30:01:028,29701,0.6,28961,0.8,56765,0.5,76648,2.25 72,1,2024-09-07 08:30:01:021,192940,192940,0,0,90392165427,947461375,190159,2299,482,370,391819,0 72,2,2024-09-07 08:30:01:757,136763,136763,0,0,7864065,0,2570 72,3,2024-09-07 08:30:01:758,1,110,2,0,325,1499,110,0 73,0,2024-09-07 08:30:01:110,28508,0.4,29159,0.6,59629,0.4,77172,2.25 73,1,2024-09-07 08:30:00:781,193236,193236,0,0,90635643821,943881139,191645,1477,114,368,391627,0 73,2,2024-09-07 08:30:01:746,140330,140330,0,0,7481718,0,3482 73,3,2024-09-07 08:30:00:974,1,110,57,0,274,1837,110,0 74,0,2024-09-07 08:30:01:348,29286,0.8,29710,1.0,56778,1.0,77472,2.75 74,1,2024-09-07 08:30:00:639,192982,192982,0,0,90522943468,948335815,190546,1830,606,382,391511,0 74,2,2024-09-07 08:30:01:004,139404,139404,0,0,7639901,0,4253 74,3,2024-09-07 08:30:01:446,1,110,1,0,246,1620,110,0 75,0,2024-09-07 08:30:01:781,25595,1.0,25608,1.1,50999,0.9,69177,2.75 75,1,2024-09-07 08:30:01:586,193062,193062,0,0,90995131092,953605149,190729,1970,363,381,391579,0 75,2,2024-09-07 08:30:01:360,138715,138715,0,0,6820428,0,4766 75,3,2024-09-07 08:30:01:072,1,110,2,0,535,1459,110,0 76,0,2024-09-07 08:30:00:673,28931,0.5,28660,0.8,57145,0.4,76900,2.25 76,1,2024-09-07 08:30:00:817,192937,192937,0,0,90215814798,945137867,191047,1382,508,382,391530,0 76,2,2024-09-07 08:30:01:065,139280,139280,0,0,5822139,0,3064 76,3,2024-09-07 08:30:01:147,1,110,6,0,175,1245,110,0 77,0,2024-09-07 08:30:01:709,28312,0.6,28453,0.8,57057,0.6,75224,2.00 77,1,2024-09-07 08:30:00:840,193563,193563,0,0,90793119867,948286241,192294,1199,70,383,391555,0 77,2,2024-09-07 08:30:01:284,138892,138892,0,0,5949563,0,3890 77,3,2024-09-07 08:30:01:094,1,110,127,0,305,1519,110,0 78,0,2024-09-07 08:30:01:737,27566,0.7,27576,0.9,55221,0.7,73185,2.25 78,1,2024-09-07 08:30:00:632,193699,193699,0,0,89908064751,937683614,191996,1498,205,367,391589,0 78,2,2024-09-07 08:30:01:404,138817,138817,0,0,5578017,0,2114 78,3,2024-09-07 08:30:01:133,1,110,1,0,181,1412,110,0 79,0,2024-09-07 08:30:01:366,25164,0.6,25796,0.9,52785,0.6,69206,2.75 79,1,2024-09-07 08:30:00:572,193930,193930,0,0,90450669552,940850051,191938,1821,171,369,391682,0 79,2,2024-09-07 08:30:01:077,142434,142434,0,0,6103547,0,3212 79,3,2024-09-07 08:30:00:749,1,110,306,0,306,1807,110,0 80,0,2024-09-07 08:30:01:099,26775,0.5,27696,0.6,53150,0.4,71702,1.75 80,1,2024-09-07 08:30:01:636,192974,192974,0,0,90597904495,944697191,191063,1794,117,368,391673,0 80,2,2024-09-07 08:30:01:098,138394,138394,0,0,5835163,0,4433 80,3,2024-09-07 08:30:00:575,1,110,102,1,190,1929,110,0 81,0,2024-09-07 08:30:01:554,27156,0.5,27852,0.6,53385,0.5,72155,1.75 81,1,2024-09-07 08:30:01:651,193067,193067,0,0,90285927361,945798585,191117,1750,200,382,391680,0 81,2,2024-09-07 08:30:01:125,136977,136977,0,0,6621196,0,3993 81,3,2024-09-07 08:30:01:122,1,110,8,0,193,1086,110,0 82,0,2024-09-07 08:30:01:597,28061,0.7,28097,0.9,56436,0.7,75014,2.00 82,1,2024-09-07 08:30:00:583,193060,193056,0,4,90837350939,949952278,190839,1643,574,382,391558,4 82,2,2024-09-07 08:30:01:699,139246,139246,0,0,5733505,0,3986 82,3,2024-09-07 08:30:01:753,1,110,3,0,227,1585,110,0 83,0,2024-09-07 08:30:01:531,26423,1.2,26239,1.1,52133,1.4,69862,2.50 83,1,2024-09-07 08:30:00:551,193618,193618,0,0,91138528164,951734926,192118,1399,101,383,391553,0 83,2,2024-09-07 08:30:00:764,142399,142399,0,0,6128726,0,3119 83,3,2024-09-07 08:30:00:749,1,110,10,0,127,1016,110,0 84,0,2024-09-07 08:30:01:807,25980,1.0,25772,1.2,51837,0.7,70347,2.50 84,1,2024-09-07 08:30:01:074,192485,192485,0,0,90644752452,952790199,189744,2318,423,369,391852,0 84,2,2024-09-07 08:30:00:583,137201,137201,0,0,6087145,0,3801 84,3,2024-09-07 08:30:01:147,1,110,2,1,85,1042,110,0 85,0,2024-09-07 08:30:01:089,26696,0.5,26771,0.8,56658,0.5,73402,2.25 85,1,2024-09-07 08:30:00:599,192330,192330,0,0,90866812340,962800616,188753,3102,475,382,391679,0 85,2,2024-09-07 08:30:00:880,137593,137593,0,0,7090562,0,3656 85,3,2024-09-07 08:30:00:695,1,110,12,0,115,1148,110,0 86,0,2024-09-07 08:30:00:886,28786,0.7,29718,0.7,57083,0.7,76807,2.00 86,1,2024-09-07 08:30:00:824,193273,193273,0,0,91221852946,956234416,190717,2276,280,367,391961,0 86,2,2024-09-07 08:30:00:856,139757,139756,1,0,7378724,0,5004 86,3,2024-09-07 08:30:00:587,1,110,5,0,199,1329,110,0 87,0,2024-09-07 08:30:01:292,28142,2.2,28102,1.4,56269,3.5,75200,2.75 87,1,2024-09-07 08:30:00:556,192658,192658,0,0,89633417257,941254209,190344,2174,140,366,391788,0 87,2,2024-09-07 08:30:01:076,140808,140808,0,0,6062737,0,3515 87,3,2024-09-07 08:30:01:797,1,110,3,1,335,1773,110,0 88,0,2024-09-07 08:30:01:506,25355,0.7,25599,0.8,50785,0.8,68330,2.00 88,1,2024-09-07 08:30:00:574,192254,192254,0,0,90266760976,950526908,189550,1929,775,367,391787,0 88,2,2024-09-07 08:30:00:691,137826,137826,0,0,7159075,0,3583 88,3,2024-09-07 08:30:01:272,1,110,2,1,77,1169,110,0 89,0,2024-09-07 08:30:01:794,29864,0.6,29034,0.8,57509,0.5,77698,1.75 89,1,2024-09-07 08:30:00:552,192168,192168,0,0,90328982431,962130698,187653,3643,872,382,391866,0 89,2,2024-09-07 08:30:01:133,138031,138031,0,0,7158681,0,2901 89,3,2024-09-07 08:30:01:791,1,110,6,0,325,2267,110,0 90,0,2024-09-07 08:30:01:644,28074,0.4,28608,0.6,58941,0.4,76703,1.75 90,1,2024-09-07 08:30:00:590,193368,193368,0,0,89956192981,949773073,190644,2566,158,382,391825,0 90,2,2024-09-07 08:30:01:408,138281,138281,0,0,7826488,0,2635 90,3,2024-09-07 08:30:00:936,1,110,6,1,200,1252,110,0 91,0,2024-09-07 08:30:00:941,28755,0.5,27828,0.7,57773,0.6,76438,1.75 91,1,2024-09-07 08:30:00:559,192688,192688,0,0,90836976051,959439536,189394,2801,493,383,391914,0 91,2,2024-09-07 08:30:01:342,137328,137328,0,0,7597424,0,2445 91,3,2024-09-07 08:30:00:598,1,110,2,0,155,1451,110,0 92,0,2024-09-07 08:30:01:469,26709,0.8,27238,0.9,51948,0.9,70665,2.00 92,1,2024-09-07 08:30:00:580,192933,192933,0,0,90365229828,946798428,191118,1421,394,382,391717,0 92,2,2024-09-07 08:30:01:356,141984,141984,0,0,6038632,0,2279 92,3,2024-09-07 08:30:01:018,1,110,1,0,68,1071,110,0 93,0,2024-09-07 08:30:00:996,28129,0.3,28736,0.5,55060,0.3,75066,1.75 93,1,2024-09-07 08:30:00:830,193367,193367,0,0,90865513715,952879608,190966,1957,444,366,391689,0 93,2,2024-09-07 08:30:00:948,138263,138263,0,0,5987102,0,2509 93,3,2024-09-07 08:30:01:410,1,110,12,0,143,1152,110,0 94,0,2024-09-07 08:30:01:658,27524,0.3,27721,0.5,55023,0.3,73052,1.75 94,1,2024-09-07 08:30:00:572,193085,193085,0,0,90307948427,948389853,191030,1976,79,381,391850,0 94,2,2024-09-07 08:30:00:766,137707,137707,0,0,5672031,0,2443 94,3,2024-09-07 08:30:01:699,1,110,28,0,264,2051,110,0 95,0,2024-09-07 08:30:01:351,27766,0.4,27722,0.6,55789,0.4,73757,1.75 95,1,2024-09-07 08:30:00:853,193424,193424,0,0,90399351294,940184086,192412,948,64,367,391600,0 95,2,2024-09-07 08:30:01:018,138561,138561,0,0,6027170,0,3308 95,3,2024-09-07 08:30:01:715,1,110,13,0,307,1918,110,0 96,0,2024-09-07 08:30:01:073,26735,0.6,26723,0.7,53289,0.5,70687,1.75 96,1,2024-09-07 08:30:01:596,193296,193296,0,0,90395513054,949101308,191253,1472,571,385,391596,0 96,2,2024-09-07 08:30:01:268,142665,142665,0,0,6415048,0,4038 96,3,2024-09-07 08:30:01:141,1,110,1,0,188,1256,110,0 97,0,2024-09-07 08:30:01:428,25869,0.3,26023,0.5,51958,0.3,69309,1.75 97,1,2024-09-07 08:30:00:763,193412,193412,0,0,90959030494,949310738,191182,1711,519,367,392140,0 97,2,2024-09-07 08:30:00:608,137007,137007,0,0,5534963,0,3036 97,3,2024-09-07 08:30:00:572,1,110,14,1,165,1398,110,0 98,0,2024-09-07 08:30:01:761,27273,0.2,26968,0.4,54663,0.2,72416,1.50 98,1,2024-09-07 08:30:00:573,193136,193136,0,0,90543237512,944910522,191838,1239,59,382,391997,0 98,2,2024-09-07 08:30:00:787,138711,138711,0,0,5683940,0,3080 98,3,2024-09-07 08:30:00:702,1,110,1,1,840,2661,110,0 99,0,2024-09-07 08:30:01:458,28735,0.3,28838,0.5,57462,0.3,76273,1.75 99,1,2024-09-07 08:30:01:730,194207,194207,0,0,90989833298,949239885,192679,1082,446,381,391744,0 99,2,2024-09-07 08:30:01:419,140691,140691,0,0,6044460,0,1858 99,3,2024-09-07 08:30:00:582,1,110,4,0,129,844,110,0 100,0,2024-09-07 08:30:01:562,27299,1.3,27218,1.8,54382,3.8,72935,2.50 100,1,2024-09-07 08:30:00:554,192420,192420,0,0,90646168787,962622015,188788,3145,487,381,391989,0 100,2,2024-09-07 08:30:01:858,141334,141323,11,0,7347346,0,5417 100,3,2024-09-07 08:30:01:733,1,110,1,0,443,2425,110,0 101,0,2024-09-07 08:30:01:724,25706,3.1,24894,1.6,49245,2.7,68529,3.00 101,1,2024-09-07 08:30:00:568,192437,192437,0,0,90588253044,958560569,189151,2046,1240,368,391709,0 101,2,2024-09-07 08:30:01:756,136852,136852,0,0,6989298,0,4644 101,3,2024-09-07 08:30:00:962,1,110,8,0,448,1367,110,0 102,0,2024-09-07 08:30:00:986,28014,0.7,29050,0.9,58362,0.6,76204,2.00 102,1,2024-09-07 08:30:01:144,192719,192719,0,0,90187034240,950883123,189924,2279,516,369,391831,0 102,2,2024-09-07 08:30:01:738,138573,138573,0,0,5758844,0,2144 102,3,2024-09-07 08:30:01:616,1,110,5,0,410,1307,110,0 103,0,2024-09-07 08:30:01:641,29951,0.5,29875,0.7,56594,0.4,77522,1.75 103,1,2024-09-07 08:30:01:639,192480,192480,0,0,90633264883,955292149,189759,2009,712,381,391680,0 103,2,2024-09-07 08:30:00:584,139384,139384,0,0,6310688,0,2104 103,3,2024-09-07 08:30:00:755,1,110,15,0,916,2566,110,0 104,0,2024-09-07 08:30:01:012,28952,1.2,28936,1.2,56928,1.3,77713,2.50 104,1,2024-09-07 08:30:01:645,193248,193248,0,0,90194810861,954860006,189585,2969,694,366,391948,0 104,2,2024-09-07 08:30:01:668,138876,138876,0,0,7150538,0,3941 104,3,2024-09-07 08:30:01:418,1,110,3,1,1245,4574,110,0 105,0,2024-09-07 08:30:01:032,25269,1.6,24449,1.4,51010,2.5,68435,3.75 105,1,2024-09-07 08:30:00:573,193029,193029,0,0,90677324990,956456741,189364,2903,762,367,391797,0 105,2,2024-09-07 08:30:01:325,138708,138708,0,0,6482521,0,3314 105,3,2024-09-07 08:30:01:304,1,110,2,1,399,1913,110,0 106,0,2024-09-07 08:30:00:995,28075,0.7,28727,0.9,58444,0.6,76618,2.00 106,1,2024-09-07 08:30:01:821,193301,193301,0,0,90066406221,948096320,190430,2542,329,369,391767,0 106,2,2024-09-07 08:30:00:775,137935,137935,0,0,6618766,0,2795 106,3,2024-09-07 08:30:00:693,1,110,2,1,201,1195,110,0 107,0,2024-09-07 08:30:01:112,28481,0.5,28252,0.7,56389,0.4,75589,1.75 107,1,2024-09-07 08:30:00:609,192749,192749,0,0,90459520533,955688079,190083,2442,224,381,392234,0 107,2,2024-09-07 08:30:01:291,138127,138126,1,0,6868291,0,5024 107,3,2024-09-07 08:30:01:755,1,110,2,0,353,1535,110,0 108,0,2024-09-07 08:30:01:793,27801,0.5,27925,0.7,55242,0.5,73640,1.75 108,1,2024-09-07 08:30:01:297,192975,192975,0,0,90977029212,953384535,190755,1937,283,371,391857,0 108,2,2024-09-07 08:30:01:765,137797,137797,0,0,6486192,0,2647 108,3,2024-09-07 08:30:01:343,1,110,30,0,272,1715,110,0 109,0,2024-09-07 08:30:01:778,26155,0.6,26263,0.7,52163,0.6,70503,1.75 109,1,2024-09-07 08:30:00:592,192644,192644,0,0,90856629809,957340692,190376,1809,459,383,391812,0 109,2,2024-09-07 08:30:00:932,140972,140972,0,0,6716453,0,3617 109,3,2024-09-07 08:30:01:140,1,110,3,1,249,1723,110,0 110,0,2024-09-07 08:30:01:764,26966,0.3,26199,0.5,54695,0.2,71627,1.50 110,1,2024-09-07 08:30:01:651,193676,193676,0,0,91101591691,951518608,191483,1496,697,370,391667,0 110,2,2024-09-07 08:30:01:307,138083,138083,0,0,5918312,0,2915 110,3,2024-09-07 08:30:00:698,1,110,1,0,183,1152,110,0 111,0,2024-09-07 08:30:01:455,27215,0.2,26735,0.4,53579,0.1,72156,1.50 111,1,2024-09-07 08:30:01:011,193584,193584,0,0,91175637780,947687486,192173,1085,326,382,391690,0 111,2,2024-09-07 08:30:01:128,137209,137209,0,0,6448399,0,2763 111,3,2024-09-07 08:30:00:914,1,110,2,0,300,1896,110,0 112,0,2024-09-07 08:30:00:915,28393,0.4,28289,0.5,56522,0.3,75236,1.50 112,1,2024-09-07 08:30:00:824,193312,193312,0,0,90881352988,946869143,191642,1324,346,381,391580,0 112,2,2024-09-07 08:30:01:133,139146,139145,1,0,6311752,0,5036 112,3,2024-09-07 08:30:00:593,1,110,207,0,282,1316,110,0 113,0,2024-09-07 08:30:00:875,26238,0.5,26062,0.6,53066,0.4,70899,1.75 113,1,2024-09-07 08:30:01:694,193385,193385,0,0,90750550284,941337246,191448,1553,384,368,391661,0 113,2,2024-09-07 08:30:01:313,143555,143555,0,0,5665008,0,3813 113,3,2024-09-07 08:30:00:684,1,110,21,0,288,1749,110,0 114,0,2024-09-07 08:30:00:885,26352,1.0,26760,0.8,52535,0.5,70852,1.75 114,1,2024-09-07 08:30:00:716,193334,193334,0,0,90652458157,949361502,190680,1773,881,381,391531,0 114,2,2024-09-07 08:30:00:874,137096,137096,0,0,5693777,0,3925 114,3,2024-09-07 08:30:01:280,1,110,1,0,395,1745,110,0 115,0,2024-09-07 08:30:00:567,27702,0.3,28024,0.4,56106,0.2,74084,1.50 115,1,2024-09-07 08:30:00:573,193109,193109,0,0,91250005900,953283961,190551,2050,508,382,391602,0 115,2,2024-09-07 08:30:01:128,138230,138230,0,0,5181585,0,2152 115,3,2024-09-07 08:30:01:003,1,110,1,0,159,742,110,0 116,0,2024-09-07 08:30:01:725,29033,0.8,28597,0.9,57727,0.9,76735,2.00 116,1,2024-09-07 08:30:00:823,192281,192281,0,0,90103751322,958599950,188831,2064,1386,382,391619,0 116,2,2024-09-07 08:30:01:755,139044,139044,0,0,7380671,0,3529 116,3,2024-09-07 08:30:00:917,1,110,8,1,252,1649,110,0 117,0,2024-09-07 08:30:00:988,28192,1.6,28284,1.2,56105,2.8,75295,2.00 117,1,2024-09-07 08:30:01:581,193410,193410,0,0,90229078943,950816057,190354,2659,397,370,392033,0 117,2,2024-09-07 08:30:01:130,141984,141984,0,0,6175873,0,3700 117,3,2024-09-07 08:30:01:062,1,110,10,0,490,2480,110,0 118,0,2024-09-07 08:30:01:800,24788,0.7,25405,0.8,51880,0.8,68040,2.25 118,1,2024-09-07 08:30:00:614,193122,193122,0,0,90007395661,945619539,190894,1993,235,368,391736,0 118,2,2024-09-07 08:30:01:596,138406,138406,0,0,6438928,0,2781 118,3,2024-09-07 08:30:01:767,1,110,1,0,235,1637,110,0 119,0,2024-09-07 08:30:01:346,28721,1.0,28808,0.9,58468,1.5,77040,2.00 119,1,2024-09-07 08:30:00:581,193283,193283,0,0,90371895995,946175054,191180,1821,282,370,391641,0 119,2,2024-09-07 08:30:01:263,137591,137591,0,0,5815709,0,2532 119,3,2024-09-07 08:30:01:326,1,110,2,0,443,2458,110,0 120,0,2024-09-07 08:30:01:601,28638,0.5,28673,0.8,57228,0.5,76673,2.00 120,1,2024-09-07 08:30:00:865,193213,193213,0,0,89923382626,945514625,191138,2031,44,368,391702,0 120,2,2024-09-07 08:30:00:802,138644,138643,1,0,8273673,0,5281 120,3,2024-09-07 08:30:01:293,1,110,2,0,241,1694,110,0 121,0,2024-09-07 08:30:01:749,28166,1.9,28515,1.3,56855,3.1,76307,2.25 121,1,2024-09-07 08:30:01:675,193138,193138,0,0,90929781713,955218724,190640,2216,282,367,391840,0 121,2,2024-09-07 08:30:01:127,137814,137814,0,0,7829127,0,4127 121,3,2024-09-07 08:30:00:759,1,110,12,0,159,1411,110,0 122,0,2024-09-07 08:30:01:783,26180,1.7,25413,1.5,53034,1.9,70541,2.25 122,1,2024-09-07 08:30:00:868,192378,192378,0,0,90337776143,954485375,188837,2900,641,367,392130,0 122,2,2024-09-07 08:30:01:324,140812,140812,0,0,7712176,0,3364 122,3,2024-09-07 08:30:00:620,1,110,6,0,298,2222,110,0 123,0,2024-09-07 08:30:00:955,27873,0.7,27083,0.8,56709,0.9,74763,2.00 123,1,2024-09-07 08:30:00:569,193701,193701,0,0,90952313515,961589940,189857,3271,573,369,391823,0 123,2,2024-09-07 08:30:01:020,137304,137303,1,0,6629116,0,5215 123,3,2024-09-07 08:30:01:132,1,110,2,1,168,1739,110,0 124,0,2024-09-07 08:30:00:959,28255,0.3,28248,0.5,53359,0.2,73224,1.50 124,1,2024-09-07 08:30:01:035,193141,193141,0,0,90790313144,946028087,191446,1343,352,367,392178,0 124,2,2024-09-07 08:30:01:012,138062,138062,0,0,5898409,0,3101 124,3,2024-09-07 08:30:00:761,1,110,4,1,490,1858,110,0 125,0,2024-09-07 08:30:01:463,27840,0.5,27770,0.6,55720,0.6,73688,1.75 125,1,2024-09-07 08:30:00:863,192777,192777,0,0,90441323354,948047940,190569,1933,275,384,391702,0 125,2,2024-09-07 08:30:01:120,138797,138797,0,0,5928326,0,2180 125,3,2024-09-07 08:30:01:129,1,110,1,1,284,1616,110,0 126,0,2024-09-07 08:30:01:444,26663,0.9,27320,0.9,52408,1.0,71148,2.00 126,1,2024-09-07 08:30:00:552,193862,193862,0,0,91222654909,948157942,192415,1371,76,365,391987,0 126,2,2024-09-07 08:30:00:613,143308,143308,0,0,6066977,0,3186 126,3,2024-09-07 08:30:00:907,1,110,1,0,136,1516,110,0 127,0,2024-09-07 08:30:01:598,25784,0.4,25962,0.5,52073,0.4,69370,1.75 127,1,2024-09-07 08:30:00:569,193743,193743,0,0,91235001403,948150190,192014,1695,34,365,391816,0 127,2,2024-09-07 08:30:00:659,137601,137601,0,0,5212164,0,1803 127,3,2024-09-07 08:30:01:267,1,110,1,0,99,813,110,0 128,0,2024-09-07 08:30:01:548,27427,0.3,27366,0.4,54420,0.2,72347,1.50 128,1,2024-09-07 08:30:01:605,193596,193596,0,0,91161964749,945186962,192832,693,71,367,391680,0 128,2,2024-09-07 08:30:01:454,137128,137128,0,0,5793921,0,2107 128,3,2024-09-07 08:30:00:771,1,110,7,0,247,1403,110,0 129,0,2024-09-07 08:30:01:010,28901,0.3,28851,0.5,57485,0.3,76212,1.75 129,1,2024-09-07 08:30:00:568,192821,192821,0,0,91000741396,954409495,190656,1878,287,379,391835,0 129,2,2024-09-07 08:30:00:689,139075,139075,0,0,5519878,0,3718 129,3,2024-09-07 08:30:00:692,1,110,4,1,173,1543,110,0 130,0,2024-09-07 08:30:01:735,27706,1.2,27455,0.9,55079,1.7,73459,2.00 130,1,2024-09-07 08:30:00:594,193061,193061,0,0,91066408482,950477922,191577,1449,35,381,391825,0 130,2,2024-09-07 08:30:01:126,141737,141737,0,0,6041888,0,4067 130,3,2024-09-07 08:30:01:291,1,110,143,0,207,1129,110,0 131,0,2024-09-07 08:30:01:992,25428,0.5,25458,0.6,51382,0.5,68761,1.75 131,1,2024-09-07 08:30:01:828,194119,194119,0,0,90480884423,943458327,192914,1015,190,384,391865,0 131,2,2024-09-07 08:30:00:568,137862,137862,0,0,5257310,0,2415 131,3,2024-09-07 08:30:01:689,1,110,2,0,392,1367,110,0 132,0,2024-09-07 08:30:01:503,28446,0.5,28887,0.8,57865,0.5,76557,1.75 132,1,2024-09-07 08:30:00:577,192626,192626,0,0,90098279922,953026057,189204,2665,757,381,391719,0 132,2,2024-09-07 08:30:00:705,137407,137407,0,0,7925859,0,4606 132,3,2024-09-07 08:30:01:688,1,110,18,0,356,1946,110,0 133,0,2024-09-07 08:30:01:582,28392,0.5,28956,0.6,59546,0.4,76819,2.00 133,1,2024-09-07 08:30:00:587,191859,191859,0,0,90551970602,957505936,188911,2770,178,383,391755,0 133,2,2024-09-07 08:30:01:106,139353,139353,0,0,7727589,0,4315 133,3,2024-09-07 08:30:01:299,1,110,9,1,187,1038,110,0 134,0,2024-09-07 08:30:00:944,29005,0.7,28816,0.9,58170,0.8,77454,2.00 134,1,2024-09-07 08:30:00:587,192790,192790,0,0,89757516661,945061685,189413,2288,1089,366,391718,0 134,2,2024-09-07 08:30:01:758,139526,139526,0,0,6245280,0,3096 134,3,2024-09-07 08:30:00:754,1,110,18,0,739,2350,110,0 135,0,2024-09-07 08:30:01:107,24961,1.0,24869,1.2,52544,1.2,68418,2.25 135,1,2024-09-07 08:30:01:585,192562,192562,0,0,90580670840,956457069,189219,2643,700,380,391703,0 135,2,2024-09-07 08:30:00:689,139568,139568,0,0,6700897,0,3981 135,3,2024-09-07 08:30:01:003,1,110,1,0,89,731,110,0 136,0,2024-09-07 08:30:01:624,29198,0.6,28960,0.8,58355,0.6,77726,2.00 136,1,2024-09-07 08:30:01:447,192783,192783,0,0,90230571399,949379516,190081,2538,164,384,391641,0 136,2,2024-09-07 08:30:01:133,139055,139055,0,0,6644674,0,3506 136,3,2024-09-07 08:30:01:108,1,110,1,0,108,1023,110,0 137,0,2024-09-07 08:30:00:967,29013,0.6,28152,0.8,55926,0.5,75711,2.00 137,1,2024-09-07 08:30:00:576,193070,193070,0,0,90848496260,952591775,190513,2378,179,367,391608,0 137,2,2024-09-07 08:30:01:711,138285,138285,0,0,7991301,0,3185 137,3,2024-09-07 08:30:00:770,1,110,8,1,227,1452,110,0 138,0,2024-09-07 08:30:01:750,26966,2.1,27258,1.4,55103,3.4,73531,2.75 138,1,2024-09-07 08:30:01:699,192876,192876,0,0,90763875781,954184765,189984,2544,348,369,391954,0 138,2,2024-09-07 08:30:00:587,138517,138517,0,0,6492037,0,3263 138,3,2024-09-07 08:30:00:616,1,110,22,0,1160,2171,110,0 139,0,2024-09-07 08:30:01:373,25380,3.8,25469,2.1,51544,5.0,69733,3.50 139,1,2024-09-07 08:30:00:584,192471,192471,0,0,90141632844,962211915,188175,3098,1198,381,391892,0 139,2,2024-09-07 08:30:00:703,140915,140915,0,0,7559048,0,3097 139,3,2024-09-07 08:30:01:666,1,110,1,0,244,1572,110,0 140,0,2024-09-07 08:30:01:599,26995,0.3,26886,0.5,53902,0.2,71740,1.50 140,1,2024-09-07 08:30:01:537,193934,193934,0,0,91381242304,944821507,192787,932,215,365,391606,0 140,2,2024-09-07 08:30:00:693,138616,138616,0,0,6204607,0,3388 140,3,2024-09-07 08:30:00:769,1,110,0,0,39,767,110,0 141,0,2024-09-07 08:30:01:707,26763,0.2,27720,0.4,53206,0.1,72182,1.50 141,1,2024-09-07 08:30:00:863,193533,193533,0,0,90858551787,946215457,191864,1317,352,379,391539,0 141,2,2024-09-07 08:30:01:689,137304,137304,0,0,5609833,0,2342 141,3,2024-09-07 08:30:01:044,1,110,2,0,147,875,110,0 142,0,2024-09-07 08:30:01:390,28667,0.3,28299,0.5,56339,0.3,75389,1.75 142,1,2024-09-07 08:30:00:585,193711,193711,0,0,90980886609,948998102,192677,901,133,384,391649,0 142,2,2024-09-07 08:30:01:302,137693,137661,32,0,7220974,0,6028 142,3,2024-09-07 08:30:01:746,1,110,1,0,484,1823,110,0 143,0,2024-09-07 08:30:01:379,26383,0.9,26500,0.8,53393,1.2,70748,2.00 143,1,2024-09-07 08:30:00:570,193416,193416,0,0,90324741527,940599644,191644,1734,38,367,391619,0 143,2,2024-09-07 08:30:00:776,142398,142398,0,0,6452672,0,2669 143,3,2024-09-07 08:30:01:143,1,110,2,0,303,2111,110,0 144,0,2024-09-07 08:30:01:515,25310,0.7,26050,1.7,52853,0.6,70765,2.00 144,1,2024-09-07 08:30:00:569,192627,192627,0,0,89974309136,945950951,190803,1625,199,381,391638,0 144,2,2024-09-07 08:30:01:755,137538,137538,0,0,5487115,0,3473 144,3,2024-09-07 08:30:01:739,1,110,249,0,249,1696,110,0 145,0,2024-09-07 08:30:01:386,26924,0.6,26843,0.9,56852,0.5,73984,2.00 145,1,2024-09-07 08:30:00:561,191984,191984,0,0,90607399217,958606447,188605,2748,631,383,391615,0 145,2,2024-09-07 08:30:01:441,137602,137602,0,0,6644168,0,3903 145,3,2024-09-07 08:30:00:897,1,110,11,0,151,1418,110,0 146,0,2024-09-07 08:30:01:615,28822,0.5,28600,0.8,57756,0.5,76336,2.25 146,1,2024-09-07 08:30:01:586,193144,193144,0,0,90703312745,958644552,189041,3309,794,368,391600,0 146,2,2024-09-07 08:30:01:695,139506,139506,0,0,6563270,0,2379 146,3,2024-09-07 08:30:01:276,1,110,9,0,1520,3723,110,0 147,0,2024-09-07 08:30:01:702,28149,2.1,27977,1.4,55416,2.9,75155,2.75 147,1,2024-09-07 08:30:01:372,193929,193929,0,0,91571906135,953758214,191961,1608,360,368,391791,0 147,2,2024-09-07 08:30:01:024,141227,141227,0,0,6181910,0,2789 147,3,2024-09-07 08:30:00:915,1,110,5,0,141,1225,110,0 0,0,2024-09-07 08:30:11:761,28167,0.5,28163,0.7,59565,0.5,77142,1.75 0,1,2024-09-07 08:30:10:836,194770,194770,0,0,91360096738,958868549,193355,1286,129,372,391692,0 0,2,2024-09-07 08:30:11:075,140570,140570,0,0,6203268,0,4480 0,3,2024-09-07 08:30:11:012,1,111,18,0,247,1804,111,0 1,0,2024-09-07 08:30:11:785,28823,2.1,28478,1.6,57470,2.8,76872,2.50 1,1,2024-09-07 08:30:10:576,194243,194243,0,0,90736371799,954684583,191741,1908,594,372,391857,0 1,2,2024-09-07 08:30:10:658,139983,139983,0,0,5877434,0,3267 1,3,2024-09-07 08:30:11:303,1,111,10,0,262,1529,111,0 2,0,2024-09-07 08:30:11:579,26370,1.0,26457,1.1,52475,1.3,70488,2.25 2,1,2024-09-07 08:30:10:862,194841,194841,0,0,91588287427,953360746,193667,969,205,380,391558,0 2,2,2024-09-07 08:30:11:269,140952,140952,0,0,5940045,0,3304 2,3,2024-09-07 08:30:10:697,1,111,3,0,214,1129,111,0 3,0,2024-09-07 08:30:11:761,28234,0.5,28372,0.7,56358,0.4,75433,2.00 3,1,2024-09-07 08:30:11:622,194240,194240,0,0,91436025428,956898212,191768,2070,402,382,391516,0 3,2,2024-09-07 08:30:11:148,139783,139760,23,0,6399629,0,5851 3,3,2024-09-07 08:30:11:758,1,111,30,0,103,813,111,0 4,0,2024-09-07 08:30:11:818,27018,0.3,27711,0.4,56169,0.2,73989,1.50 4,1,2024-09-07 08:30:10:594,194788,194788,0,0,91270653450,963377416,192290,1979,519,371,391846,0 4,2,2024-09-07 08:30:11:051,138494,138494,0,0,7469895,0,4528 4,3,2024-09-07 08:30:11:050,1,111,14,0,287,1726,111,0 5,0,2024-09-07 08:30:11:397,27957,0.6,27800,0.7,55895,0.7,73978,1.75 5,1,2024-09-07 08:30:10:766,193916,193916,0,0,91187358046,964546815,190496,2487,933,368,392005,0 5,2,2024-09-07 08:30:11:848,139071,139071,0,0,6317363,0,2259 5,3,2024-09-07 08:30:11:751,1,111,11,0,238,1919,111,0 6,0,2024-09-07 08:30:10:938,27081,0.7,26788,0.9,53159,0.8,71649,2.25 6,1,2024-09-07 08:30:10:757,195379,195379,0,0,91292972371,953450232,193846,1458,75,381,391603,0 6,2,2024-09-07 08:30:11:124,144012,144012,0,0,6222364,0,2411 6,3,2024-09-07 08:30:11:280,1,111,1,0,340,1784,111,0 7,0,2024-09-07 08:30:11:556,26150,0.4,26207,0.5,52680,0.3,70340,1.75 7,1,2024-09-07 08:30:10:860,195277,195277,0,0,91491003738,957540598,193525,1686,66,383,391664,0 7,2,2024-09-07 08:30:10:778,138211,138211,0,0,5695348,0,2981 7,3,2024-09-07 08:30:10:863,1,111,14,0,305,1268,111,0 8,0,2024-09-07 08:30:11:354,27321,0.3,27533,0.5,54343,0.2,72805,1.50 8,1,2024-09-07 08:30:11:048,194580,194580,0,0,91138316800,966775362,190650,2645,1285,368,391724,0 8,2,2024-09-07 08:30:10:816,137109,137109,0,0,7416114,0,2986 8,3,2024-09-07 08:30:10:606,1,111,13,0,357,1860,111,0 9,0,2024-09-07 08:30:11:161,28822,0.4,28092,0.5,58687,0.3,76365,1.75 9,1,2024-09-07 08:30:10:580,194283,194283,0,0,91576761002,971453791,190511,2765,1007,370,391738,0 9,2,2024-09-07 08:30:11:090,139730,139730,0,0,7171297,0,3360 9,3,2024-09-07 08:30:11:758,1,111,28,0,496,1883,111,0 10,0,2024-09-07 08:30:11:612,27503,0.5,27549,0.7,55097,0.5,73290,1.75 10,1,2024-09-07 08:30:10:594,194660,194660,0,0,91384948114,963336080,191011,3125,524,381,391741,0 10,2,2024-09-07 08:30:10:763,143221,143221,0,0,6892634,0,2940 10,3,2024-09-07 08:30:10:876,1,111,1,0,136,843,111,0 11,0,2024-09-07 08:30:11:045,25383,0.5,24831,0.7,51979,0.5,70163,2.00 11,1,2024-09-07 08:30:10:572,194272,194272,0,0,91281932587,964675077,190155,2987,1130,384,391537,0 11,2,2024-09-07 08:30:11:126,138262,138262,0,0,6467078,0,3411 11,3,2024-09-07 08:30:11:306,1,111,1,0,720,2715,111,0 12,0,2024-09-07 08:30:11:043,29138,0.3,28997,0.5,58205,0.3,76823,1.50 12,1,2024-09-07 08:30:10:938,194339,194339,0,0,91074984323,957061434,191622,2307,410,371,391837,0 12,2,2024-09-07 08:30:11:549,139553,139553,0,0,7002884,0,3469 12,3,2024-09-07 08:30:11:058,1,111,1,0,358,2085,111,0 13,0,2024-09-07 08:30:11:503,29253,0.4,29359,0.5,58613,0.4,77625,1.75 13,1,2024-09-07 08:30:11:539,195111,195111,0,0,91588164454,963917131,193208,1527,376,384,391717,0 13,2,2024-09-07 08:30:10:614,141781,141781,0,0,6422142,0,3287 13,3,2024-09-07 08:30:11:761,1,111,8,1,416,2163,111,0 14,0,2024-09-07 08:30:10:573,29294,0.6,29506,0.8,58364,0.6,77787,2.00 14,1,2024-09-07 08:30:11:567,196135,196135,0,0,92238597741,959497450,194369,1605,161,364,391571,0 14,2,2024-09-07 08:30:10:766,140924,140924,0,0,6529931,0,2896 14,3,2024-09-07 08:30:11:123,1,111,1,0,1168,2568,111,0 15,0,2024-09-07 08:30:11:599,26011,0.6,25900,0.9,51805,0.6,69607,2.00 15,1,2024-09-07 08:30:11:614,194475,194475,0,0,91523685179,954344901,193184,1231,60,381,391536,0 15,2,2024-09-07 08:30:11:210,140359,140359,0,0,5198515,0,3043 15,3,2024-09-07 08:30:11:412,1,111,3,0,1126,3745,111,0 16,0,2024-09-07 08:30:10:987,29028,0.7,29425,0.8,58146,0.7,77730,2.25 16,1,2024-09-07 08:30:10:579,195079,195079,0,0,91385481280,955975497,193873,1178,28,372,391756,0 16,2,2024-09-07 08:30:11:446,139005,139005,0,0,6598173,0,4719 16,3,2024-09-07 08:30:11:151,1,111,1,0,231,1641,111,0 17,0,2024-09-07 08:30:11:814,29462,0.5,28737,0.6,56458,0.4,76229,1.75 17,1,2024-09-07 08:30:10:579,194335,194335,0,0,91285766915,962992547,192034,1772,529,369,391688,0 17,2,2024-09-07 08:30:11:686,141318,141318,0,0,5915973,0,2857 17,3,2024-09-07 08:30:10:581,1,111,16,0,268,1918,111,0 18,0,2024-09-07 08:30:10:955,27082,1.1,27569,1.1,55347,1.3,73243,2.25 18,1,2024-09-07 08:30:11:652,195045,195045,0,0,91538048275,951425256,193753,1074,218,368,391564,0 18,2,2024-09-07 08:30:11:760,139667,139667,0,0,6367432,0,3541 18,3,2024-09-07 08:30:11:122,1,111,1,0,163,1131,111,0 19,0,2024-09-07 08:30:11:554,26221,1.0,26434,1.1,52267,1.2,69755,3.25 19,1,2024-09-07 08:30:10:572,195278,195278,0,0,92243897346,961853637,193230,1882,166,367,391777,0 19,2,2024-09-07 08:30:11:756,143405,143405,0,0,5842130,0,3988 19,3,2024-09-07 08:30:11:138,1,111,0,0,524,1305,111,0 20,0,2024-09-07 08:30:11:437,27308,0.4,27162,0.6,54487,0.4,72105,2.00 20,1,2024-09-07 08:30:10:607,195000,195000,0,0,91647113718,961151048,192996,1827,177,370,391598,0 20,2,2024-09-07 08:30:10:933,138919,138919,0,0,5932450,0,3721 20,3,2024-09-07 08:30:10:607,1,111,11,0,414,2199,111,0 21,0,2024-09-07 08:30:11:140,27570,0.3,27473,0.5,54805,0.3,72833,1.75 21,1,2024-09-07 08:30:11:545,194377,194377,0,0,90751263280,957064168,191797,2075,505,368,391962,0 21,2,2024-09-07 08:30:11:078,139126,139126,0,0,6359011,0,3747 21,3,2024-09-07 08:30:11:412,1,111,15,0,93,1472,111,0 22,0,2024-09-07 08:30:11:731,28097,1.1,28114,1.1,56137,1.3,74795,2.50 22,1,2024-09-07 08:30:11:023,194184,194184,0,0,90935291087,963649516,189704,3109,1371,382,391667,0 22,2,2024-09-07 08:30:10:779,139834,139834,0,0,5801089,0,3134 22,3,2024-09-07 08:30:11:075,1,111,10,0,228,1261,111,0 23,0,2024-09-07 08:30:11:384,26523,0.7,26748,0.9,53547,0.7,71602,2.25 23,1,2024-09-07 08:30:11:023,195699,195699,0,0,92277109820,962507842,193440,1688,571,365,391549,0 23,2,2024-09-07 08:30:11:122,143863,143863,0,0,5877615,0,2078 23,3,2024-09-07 08:30:11:759,1,111,44,0,645,1605,111,0 24,0,2024-09-07 08:30:10:859,26920,0.5,26880,0.7,53892,0.5,71943,1.75 24,1,2024-09-07 08:30:10:600,194397,194397,0,0,91341134523,959971747,192023,1610,764,369,391640,0 24,2,2024-09-07 08:30:11:075,137993,137993,0,0,7474598,0,2942 24,3,2024-09-07 08:30:11:686,1,111,14,0,234,1492,111,0 25,0,2024-09-07 08:30:11:375,28751,0.4,28078,0.6,54980,0.4,74681,1.75 25,1,2024-09-07 08:30:10:559,194384,194384,0,0,91167965015,960202718,191623,2317,444,371,391788,0 25,2,2024-09-07 08:30:11:626,137774,137774,0,0,7524463,0,3978 25,3,2024-09-07 08:30:11:041,1,111,9,0,158,1297,111,0 26,0,2024-09-07 08:30:11:731,28764,0.4,28115,0.6,58980,0.3,77043,1.75 26,1,2024-09-07 08:30:11:547,195278,195278,0,0,91237751728,962962144,191412,3023,843,381,391564,0 26,2,2024-09-07 08:30:10:878,140835,140835,0,0,6993961,0,2809 26,3,2024-09-07 08:30:11:718,1,111,1,0,796,1461,111,0 27,0,2024-09-07 08:30:11:734,28306,0.6,28576,0.7,56574,0.6,75249,1.75 27,1,2024-09-07 08:30:11:678,195461,195461,0,0,92348667281,961930968,193719,1420,322,381,391539,0 27,2,2024-09-07 08:30:10:875,141105,141105,0,0,7674154,0,3409 27,3,2024-09-07 08:30:11:031,1,111,1,0,564,1445,111,0 28,0,2024-09-07 08:30:11:402,25514,0.6,25960,0.8,51824,0.8,69279,2.25 28,1,2024-09-07 08:30:10:800,194979,194979,0,0,92157768366,961461144,193514,1263,202,383,391646,0 28,2,2024-09-07 08:30:11:771,139023,139023,0,0,5480115,0,2915 28,3,2024-09-07 08:30:11:782,1,111,1,0,502,1396,111,0 29,0,2024-09-07 08:30:11:388,29812,0.4,29076,0.6,56955,0.4,77787,1.75 29,1,2024-09-07 08:30:11:568,195888,195888,0,0,91466712899,951615028,193800,1558,530,369,391621,0 29,2,2024-09-07 08:30:10:864,139680,139680,0,0,5395140,0,4018 29,3,2024-09-07 08:30:10:973,1,111,8,0,105,918,111,0 30,0,2024-09-07 08:30:11:471,28945,0.7,28151,0.9,58768,0.7,77226,2.25 30,1,2024-09-07 08:30:10:578,195288,195288,0,0,91980782887,960275690,193437,1573,278,382,391672,0 30,2,2024-09-07 08:30:11:275,141254,141254,0,0,5693539,0,3161 30,3,2024-09-07 08:30:10:592,1,111,0,0,195,876,111,0 31,0,2024-09-07 08:30:11:763,28451,0.9,28519,0.9,57654,1.0,76744,2.25 31,1,2024-09-07 08:30:10:573,196220,196220,0,0,91788604538,941290375,195898,320,2,356,391553,0 31,2,2024-09-07 08:30:11:277,140364,140364,0,0,6805316,0,3525 31,3,2024-09-07 08:30:11:716,1,111,2,0,129,842,111,0 32,0,2024-09-07 08:30:11:449,26591,0.7,26791,0.9,53586,0.7,71138,1.75 32,1,2024-09-07 08:30:10:838,195446,195446,0,0,91683075955,952921892,194229,1135,82,382,391595,0 32,2,2024-09-07 08:30:10:945,141568,141568,0,0,5587692,0,3155 32,3,2024-09-07 08:30:11:031,1,111,43,0,227,1251,111,0 33,0,2024-09-07 08:30:11:501,28642,0.3,28363,0.5,57286,0.2,76546,1.75 33,1,2024-09-07 08:30:10:598,196051,196051,0,0,92250763843,957849539,194204,1724,123,369,391730,0 33,2,2024-09-07 08:30:10:763,139605,139572,33,0,7354390,0,7012 33,3,2024-09-07 08:30:10:906,1,111,9,0,211,1096,111,0 34,0,2024-09-07 08:30:10:934,27804,0.3,28711,0.5,54983,0.2,73916,1.75 34,1,2024-09-07 08:30:11:048,195987,195987,0,0,92019490450,948701788,195279,703,5,367,391547,0 34,2,2024-09-07 08:30:10:774,138995,138995,0,0,6114152,0,3255 34,3,2024-09-07 08:30:11:697,1,111,1,0,148,803,111,0 35,0,2024-09-07 08:30:10:868,27706,0.6,28041,0.7,55679,0.6,74039,1.75 35,1,2024-09-07 08:30:11:071,195056,195056,0,0,91550953544,952097821,193384,1310,362,384,391587,0 35,2,2024-09-07 08:30:11:587,139213,139213,0,0,5550954,0,2542 35,3,2024-09-07 08:30:10:911,1,111,30,0,219,1301,111,0 36,0,2024-09-07 08:30:11:541,26794,1.1,26702,1.1,54060,1.2,71481,2.50 36,1,2024-09-07 08:30:10:610,194934,194934,0,0,91324799516,957675114,191812,2190,932,366,391759,0 36,2,2024-09-07 08:30:11:758,142924,142924,0,0,7103877,0,3303 36,3,2024-09-07 08:30:10:882,1,111,1,0,378,1216,111,0 37,0,2024-09-07 08:30:11:400,26320,0.4,26286,0.5,52504,0.3,70399,1.75 37,1,2024-09-07 08:30:10:572,194541,194534,0,7,91254237329,962112086,191138,1854,1542,365,391518,0 37,2,2024-09-07 08:30:11:142,139227,139227,0,0,5520439,0,2333 37,3,2024-09-07 08:30:11:772,1,111,16,0,724,2222,111,0 38,0,2024-09-07 08:30:11:450,27191,0.4,26419,0.6,55166,0.4,72291,1.75 38,1,2024-09-07 08:30:11:610,195917,195917,0,0,91219931221,955524535,193054,2342,521,368,391821,0 38,2,2024-09-07 08:30:10:768,139236,139236,0,0,6553056,0,3245 38,3,2024-09-07 08:30:11:022,1,111,2,0,603,1617,111,0 39,0,2024-09-07 08:30:11:776,29581,0.8,28921,0.8,56458,0.9,76540,2.00 39,1,2024-09-07 08:30:10:736,195028,195028,0,0,91706555498,959389407,192039,2321,668,365,391524,0 39,2,2024-09-07 08:30:11:422,140357,140357,0,0,6054186,0,2689 39,3,2024-09-07 08:30:10:751,1,111,59,0,276,1657,111,0 40,0,2024-09-07 08:30:11:507,26897,1.3,27541,1.7,54550,1.9,72713,3.50 40,1,2024-09-07 08:30:10:579,194526,194526,0,0,90298895868,951470653,190663,3098,765,369,391591,0 40,2,2024-09-07 08:30:11:325,143134,143133,1,0,7974957,0,5137 40,3,2024-09-07 08:30:11:154,1,111,10,0,181,1317,111,0 41,0,2024-09-07 08:30:11:064,25310,1.7,25951,1.9,49543,2.9,68628,4.00 41,1,2024-09-07 08:30:10:779,195005,195005,0,0,91892001115,966601142,191425,3063,517,370,391742,0 41,2,2024-09-07 08:30:10:763,137830,137830,0,0,7171047,0,3356 41,3,2024-09-07 08:30:11:686,1,111,18,0,366,1499,111,0 42,0,2024-09-07 08:30:11:481,28342,0.6,28624,0.9,56772,0.6,74995,2.25 42,1,2024-09-07 08:30:11:455,194273,194273,0,0,91586597686,965409123,190881,2556,836,380,391675,0 42,2,2024-09-07 08:30:11:144,138198,138198,0,0,7368840,0,3568 42,3,2024-09-07 08:30:11:011,1,111,17,0,100,835,111,0 43,0,2024-09-07 08:30:10:926,29233,0.8,28444,1.0,59288,0.9,77678,2.25 43,1,2024-09-07 08:30:10:578,194414,194414,0,0,91604140410,958467048,191794,1868,752,366,391604,0 43,2,2024-09-07 08:30:11:738,141360,141360,0,0,7175621,0,3812 43,3,2024-09-07 08:30:11:753,1,111,1,0,325,1870,111,0 44,0,2024-09-07 08:30:10:871,29453,0.6,29255,0.9,58765,0.7,78369,2.00 44,1,2024-09-07 08:30:10:572,195469,195469,0,0,91168653525,944488858,194040,1300,129,356,391662,0 44,2,2024-09-07 08:30:11:266,140750,140750,0,0,5562547,0,1877 44,3,2024-09-07 08:30:11:097,1,111,3,0,817,1489,111,0 45,0,2024-09-07 08:30:11:780,25665,1.0,25061,1.1,52537,1.1,70083,2.25 45,1,2024-09-07 08:30:11:034,195255,195255,0,0,91747849900,953666204,194272,975,8,382,391917,0 45,2,2024-09-07 08:30:11:271,140352,140352,0,0,6014219,0,3596 45,3,2024-09-07 08:30:10:960,1,111,20,0,226,1067,111,0 46,0,2024-09-07 08:30:10:973,28988,0.4,28967,0.7,58069,0.4,76949,1.75 46,1,2024-09-07 08:30:10:580,195637,195637,0,0,91759068141,950685512,194211,1320,106,366,391514,0 46,2,2024-09-07 08:30:10:619,140417,140417,0,0,5937004,0,2920 46,3,2024-09-07 08:30:11:133,1,111,1,0,363,1738,111,0 47,0,2024-09-07 08:30:11:111,28560,0.4,28876,0.5,57763,0.3,76176,1.75 47,1,2024-09-07 08:30:10:578,195654,195654,0,0,91443901461,945690470,194707,937,10,366,391605,0 47,2,2024-09-07 08:30:10:915,140902,140902,0,0,6304521,0,2558 47,3,2024-09-07 08:30:11:125,1,111,0,0,529,1313,111,0 48,0,2024-09-07 08:30:11:523,28090,0.3,27875,0.5,55565,0.3,74119,1.75 48,1,2024-09-07 08:30:11:038,195354,195354,0,0,91653537761,952848363,193971,1376,7,384,391710,0 48,2,2024-09-07 08:30:10:712,139545,139545,0,0,5034783,0,2083 48,3,2024-09-07 08:30:10:753,1,111,4,0,69,862,111,0 49,0,2024-09-07 08:30:11:735,27222,0.6,26573,0.8,52080,0.7,71693,2.00 49,1,2024-09-07 08:30:11:032,194537,194537,0,0,91835536020,958947323,192760,1066,711,382,391583,0 49,2,2024-09-07 08:30:11:801,142931,142931,0,0,6019529,0,3900 49,3,2024-09-07 08:30:11:421,1,111,13,0,274,1115,111,0 50,0,2024-09-07 08:30:11:571,27463,0.2,26958,0.4,54235,0.2,72662,1.50 50,1,2024-09-07 08:30:11:012,196264,196264,0,0,92034429243,956753200,194319,1648,297,368,391530,0 50,2,2024-09-07 08:30:11:067,139275,139275,0,0,5291645,0,2253 50,3,2024-09-07 08:30:11:298,1,111,0,0,335,1099,111,0 51,0,2024-09-07 08:30:11:700,27998,0.2,27459,0.4,53708,0.2,73169,1.50 51,1,2024-09-07 08:30:11:687,195327,195327,0,0,92755793366,962858609,193450,1093,784,367,391637,0 51,2,2024-09-07 08:30:11:316,138848,138848,0,0,4709576,0,2448 51,3,2024-09-07 08:30:11:030,1,111,2,0,162,741,111,0 52,0,2024-09-07 08:30:11:447,28421,0.6,28466,0.8,57074,0.7,75297,2.25 52,1,2024-09-07 08:30:10:580,194952,194952,0,0,91559146544,964490403,191242,3002,708,368,391722,0 52,2,2024-09-07 08:30:11:761,139099,139099,0,0,6667683,0,4779 52,3,2024-09-07 08:30:10:685,1,111,1,0,1782,2731,111,0 53,0,2024-09-07 08:30:11:741,26552,1.3,25660,1.2,53607,1.5,70835,2.75 53,1,2024-09-07 08:30:10:774,194762,194762,0,0,91821069466,963376377,191668,2513,581,370,391617,0 53,2,2024-09-07 08:30:11:302,144433,144433,0,0,5590459,0,1902 53,3,2024-09-07 08:30:10:704,1,111,18,0,133,893,111,0 54,0,2024-09-07 08:30:11:621,25953,1.6,26368,1.1,51931,0.6,71005,3.50 54,1,2024-09-07 08:30:10:580,195104,195104,0,0,92224099391,965058739,191932,2628,544,367,391520,0 54,2,2024-09-07 08:30:10:865,138636,138630,6,0,6403463,0,5382 54,3,2024-09-07 08:30:10:772,1,111,7,0,676,2229,111,0 55,0,2024-09-07 08:30:11:771,26914,0.5,27661,0.8,56171,0.5,72527,2.25 55,1,2024-09-07 08:30:10:779,194294,194294,0,0,91111486054,957911876,189915,3594,785,367,391731,0 55,2,2024-09-07 08:30:10:751,138531,138531,0,0,6374443,0,3275 55,3,2024-09-07 08:30:10:703,1,111,1,0,304,1321,111,0 56,0,2024-09-07 08:30:11:572,29652,1.5,28117,1.2,57693,2.1,77232,2.50 56,1,2024-09-07 08:30:10:594,194140,194140,0,0,91724495425,976556429,189633,3657,850,382,391678,0 56,2,2024-09-07 08:30:11:322,140858,140858,0,0,7674382,0,3567 56,3,2024-09-07 08:30:11:069,1,111,2,0,297,1551,111,0 57,0,2024-09-07 08:30:10:963,27755,2.2,27631,1.5,55596,3.3,74040,4.00 57,1,2024-09-07 08:30:11:031,194573,194573,0,0,91058194296,956196143,192164,2239,170,368,391773,0 57,2,2024-09-07 08:30:11:318,142531,142531,0,0,7628508,0,3178 57,3,2024-09-07 08:30:11:762,1,111,10,0,359,2342,111,0 58,0,2024-09-07 08:30:10:570,24887,1.0,24328,1.1,51001,1.1,67105,2.75 58,1,2024-09-07 08:30:10:580,195655,195652,0,3,92056954669,961439788,193262,2162,228,369,391516,3 58,2,2024-09-07 08:30:11:072,138924,138924,0,0,6635846,0,2549 58,3,2024-09-07 08:30:11:073,1,111,5,0,219,1043,111,0 59,0,2024-09-07 08:30:11:753,29108,0.9,28734,1.0,57797,1.0,76574,3.00 59,1,2024-09-07 08:30:10:812,194768,194768,0,0,91554411369,963368079,191403,2443,922,369,391515,0 59,2,2024-09-07 08:30:10:603,140239,140239,0,0,6060967,0,2604 59,3,2024-09-07 08:30:11:737,1,111,2,0,1015,2347,111,0 60,0,2024-09-07 08:30:11:741,29262,0.4,29361,0.6,58040,0.4,77365,1.75 60,1,2024-09-07 08:30:10:781,196471,196471,0,0,91473131505,951357734,195228,893,350,370,391761,0 60,2,2024-09-07 08:30:11:153,140464,140464,0,0,6804351,0,3811 60,3,2024-09-07 08:30:11:268,1,111,22,0,124,1186,111,0 61,0,2024-09-07 08:30:11:539,28537,1.8,28760,1.3,57395,2.9,76916,2.00 61,1,2024-09-07 08:30:10:778,194325,194325,0,0,91455999878,962776728,191536,2252,537,382,391589,0 61,2,2024-09-07 08:30:11:123,140434,140434,0,0,5789535,0,1846 61,3,2024-09-07 08:30:11:698,1,111,15,0,199,1520,111,0 62,0,2024-09-07 08:30:11:718,26630,1.8,27190,1.2,52063,3.0,70886,2.50 62,1,2024-09-07 08:30:11:116,196243,196237,0,6,92475248520,956559067,194816,1383,38,365,391715,6 62,2,2024-09-07 08:30:11:645,140177,140176,1,0,6931052,0,5555 62,3,2024-09-07 08:30:11:147,1,111,1,0,287,807,111,0 63,0,2024-09-07 08:30:11:468,28391,0.5,28536,0.6,56956,0.5,76086,1.75 63,1,2024-09-07 08:30:10:820,195927,195921,0,6,92009695224,954781237,194851,1050,20,381,391540,6 63,2,2024-09-07 08:30:10:779,139288,139288,0,0,5555660,0,2674 63,3,2024-09-07 08:30:11:735,1,111,1,0,667,2022,111,0 64,0,2024-09-07 08:30:11:525,27690,0.4,27576,0.6,55456,0.4,73975,1.75 64,1,2024-09-07 08:30:10:781,195022,195022,0,0,91641847316,963230158,191850,2121,1051,371,391783,0 64,2,2024-09-07 08:30:11:147,140155,140136,19,0,6324352,0,6121 64,3,2024-09-07 08:30:11:152,1,111,1,0,265,1194,111,0 65,0,2024-09-07 08:30:11:707,27216,1.6,27575,1.2,55225,2.0,73269,3.00 65,1,2024-09-07 08:30:10:875,194645,194645,0,0,91273470951,955821863,192777,1647,221,382,391770,0 65,2,2024-09-07 08:30:11:698,140239,140239,0,0,6716689,0,3367 65,3,2024-09-07 08:30:11:685,1,111,9,0,163,1202,111,0 66,0,2024-09-07 08:30:11:779,26393,0.5,26557,0.8,53214,0.5,70896,2.25 66,1,2024-09-07 08:30:11:299,195831,195831,0,0,91713893818,952019159,194994,813,24,380,391588,0 66,2,2024-09-07 08:30:11:136,144885,144885,0,0,6299985,0,4956 66,3,2024-09-07 08:30:11:084,1,111,24,0,291,1300,111,0 67,0,2024-09-07 08:30:11:434,26619,0.5,26409,0.7,52780,0.5,70366,2.00 67,1,2024-09-07 08:30:10:767,194678,194677,0,1,91694148396,960807581,192406,1746,525,381,391787,1 67,2,2024-09-07 08:30:10:608,139594,139594,0,0,5332659,0,2889 67,3,2024-09-07 08:30:11:758,1,111,56,0,138,1085,111,0 68,0,2024-09-07 08:30:10:607,27558,0.5,27399,0.7,54646,0.5,72648,2.00 68,1,2024-09-07 08:30:10:582,194780,194780,0,0,91159734182,955874595,193079,1179,522,381,391953,0 68,2,2024-09-07 08:30:11:050,138171,138171,0,0,6509879,0,4883 68,3,2024-09-07 08:30:10:758,1,111,1,0,151,1148,111,0 69,0,2024-09-07 08:30:11:765,28678,1.1,28912,1.0,57521,1.4,76260,2.25 69,1,2024-09-07 08:30:11:022,194375,194375,0,0,91251299550,966938650,191555,1742,1078,384,391611,0 69,2,2024-09-07 08:30:11:742,140170,140170,0,0,7250288,0,3701 69,3,2024-09-07 08:30:10:767,1,111,10,0,238,1473,111,0 70,0,2024-09-07 08:30:11:553,27161,2.4,27258,1.8,54678,1.3,72430,3.75 70,1,2024-09-07 08:30:10:822,195309,195309,0,0,91733433760,954838365,193276,1574,459,367,391725,0 70,2,2024-09-07 08:30:11:326,143149,143149,0,0,6805473,0,4044 70,3,2024-09-07 08:30:10:745,1,111,3,0,178,732,111,0 71,0,2024-09-07 08:30:11:396,25305,1.7,25542,1.7,50646,2.5,69042,3.25 71,1,2024-09-07 08:30:11:597,195468,195468,0,0,92083141643,962129566,192921,2213,334,368,391682,0 71,2,2024-09-07 08:30:11:076,138917,138917,0,0,5986103,0,2146 71,3,2024-09-07 08:30:11:756,1,111,1,0,279,1462,111,0 72,0,2024-09-07 08:30:11:060,29841,0.6,29075,0.8,56975,0.5,76935,2.25 72,1,2024-09-07 08:30:11:032,194685,194685,0,0,91293456735,956812997,191902,2300,483,370,391819,0 72,2,2024-09-07 08:30:11:761,137988,137988,0,0,7897292,0,2570 72,3,2024-09-07 08:30:11:755,1,111,4,0,325,1503,111,0 73,0,2024-09-07 08:30:11:124,28618,0.4,29252,0.6,59839,0.4,77420,2.25 73,1,2024-09-07 08:30:10:780,194968,194968,0,0,91515398027,952832348,193376,1478,114,368,391627,0 73,2,2024-09-07 08:30:11:750,141802,141802,0,0,7516616,0,3482 73,3,2024-09-07 08:30:10:976,1,111,46,0,274,1883,111,0 74,0,2024-09-07 08:30:11:329,29462,0.8,29895,1.0,57181,0.9,77980,2.75 74,1,2024-09-07 08:30:10:642,194810,194810,0,0,91369244642,956984374,192374,1830,606,382,391511,0 74,2,2024-09-07 08:30:11:031,140750,140750,0,0,7673419,0,4253 74,3,2024-09-07 08:30:11:443,1,111,61,0,246,1681,111,0 75,0,2024-09-07 08:30:11:774,26073,0.9,26012,1.1,51871,0.9,70246,2.50 75,1,2024-09-07 08:30:11:587,194792,194792,0,0,91796428861,962039200,192447,1982,363,381,391579,0 75,2,2024-09-07 08:30:11:351,139554,139554,0,0,6900310,0,4766 75,3,2024-09-07 08:30:11:071,1,111,1,0,535,1460,111,0 76,0,2024-09-07 08:30:10:619,29027,0.5,28771,0.8,57405,0.4,77213,2.25 76,1,2024-09-07 08:30:10:826,194741,194741,0,0,90832404262,951673606,192851,1382,508,382,391530,0 76,2,2024-09-07 08:30:11:070,140675,140675,0,0,5946962,0,3064 76,3,2024-09-07 08:30:11:156,1,111,0,0,175,1245,111,0 77,0,2024-09-07 08:30:11:719,28614,0.6,28753,0.8,57668,0.6,76034,2.00 77,1,2024-09-07 08:30:10:838,195421,195421,0,0,91687210631,957596629,194152,1199,70,383,391555,0 77,2,2024-09-07 08:30:11:297,140313,140313,0,0,6000459,0,3890 77,3,2024-09-07 08:30:11:114,1,111,12,0,305,1531,111,0 78,0,2024-09-07 08:30:11:725,27760,0.7,27787,0.9,55633,0.7,73801,2.25 78,1,2024-09-07 08:30:10:613,195374,195374,0,0,90658817123,945582099,193671,1498,205,367,391589,0 78,2,2024-09-07 08:30:11:406,140165,140165,0,0,5650280,0,2114 78,3,2024-09-07 08:30:11:137,1,111,3,0,181,1415,111,0 79,0,2024-09-07 08:30:11:367,25612,0.6,26263,0.9,53694,0.6,70346,2.75 79,1,2024-09-07 08:30:10:577,195711,195711,0,0,91242125853,949028131,193719,1821,171,369,391682,0 79,2,2024-09-07 08:30:11:074,143253,143253,0,0,6143712,0,3212 79,3,2024-09-07 08:30:10:757,1,111,11,0,306,1818,111,0 80,0,2024-09-07 08:30:11:093,27064,0.5,27974,0.6,53691,0.4,72371,2.00 80,1,2024-09-07 08:30:11:631,194660,194660,0,0,91370400686,952828895,192748,1795,117,368,391673,0 80,2,2024-09-07 08:30:11:091,139869,139869,0,0,5988295,0,4433 80,3,2024-09-07 08:30:10:579,1,111,123,1,190,2052,111,0 81,0,2024-09-07 08:30:11:568,27489,0.5,28194,0.6,54004,0.5,72942,1.75 81,1,2024-09-07 08:30:11:654,194957,194957,0,0,91247151324,956285830,192962,1795,200,382,391879,0 81,2,2024-09-07 08:30:11:133,138326,138326,0,0,6744277,0,3993 81,3,2024-09-07 08:30:11:126,1,111,12,0,193,1098,111,0 82,0,2024-09-07 08:30:11:596,28248,0.7,28274,0.9,56802,0.7,75468,2.00 82,1,2024-09-07 08:30:10:607,194839,194835,0,4,91772269680,960343408,192543,1718,574,382,391558,4 82,2,2024-09-07 08:30:11:695,140366,140366,0,0,5825695,0,3986 82,3,2024-09-07 08:30:11:762,1,111,65,0,227,1650,111,0 83,0,2024-09-07 08:30:11:560,26782,1.2,26575,1.1,52816,1.4,70658,2.50 83,1,2024-09-07 08:30:10:556,195319,195319,0,0,91998803355,961203386,193771,1447,101,383,391553,0 83,2,2024-09-07 08:30:10:767,143513,143513,0,0,6233673,0,3119 83,3,2024-09-07 08:30:10:759,1,111,1,0,127,1017,111,0 84,0,2024-09-07 08:30:11:792,26406,1.0,26229,1.2,52718,0.7,71486,2.50 84,1,2024-09-07 08:30:11:063,194164,194164,0,0,91418266274,961561545,191298,2418,448,369,391852,0 84,2,2024-09-07 08:30:10:582,138558,138558,0,0,6187578,0,3801 84,3,2024-09-07 08:30:11:147,1,111,4,1,85,1046,111,0 85,0,2024-09-07 08:30:11:068,26838,0.5,26885,0.8,56919,0.5,73724,2.25 85,1,2024-09-07 08:30:10:619,194170,194170,0,0,91549373250,970124536,190593,3102,475,382,391679,0 85,2,2024-09-07 08:30:10:883,138985,138985,0,0,7160018,0,3656 85,3,2024-09-07 08:30:10:713,1,111,12,0,115,1160,111,0 86,0,2024-09-07 08:30:10:978,28939,0.7,29853,0.7,57325,0.7,77162,2.00 86,1,2024-09-07 08:30:10:831,195063,195063,0,0,91966272571,963913858,192506,2277,280,367,391961,0 86,2,2024-09-07 08:30:10:876,140859,140858,1,0,7449121,0,5004 86,3,2024-09-07 08:30:10:598,1,111,8,0,199,1337,111,0 87,0,2024-09-07 08:30:11:307,28158,2.2,28110,1.4,56300,3.5,75200,2.75 87,1,2024-09-07 08:30:10:560,194420,194420,0,0,90520186327,950765968,192098,2182,140,366,391788,0 87,2,2024-09-07 08:30:11:083,142048,142048,0,0,6110701,0,3515 87,3,2024-09-07 08:30:11:811,1,111,30,1,335,1803,111,0 88,0,2024-09-07 08:30:11:569,25705,0.7,25958,0.8,51554,0.8,69285,2.00 88,1,2024-09-07 08:30:10:575,193938,193938,0,0,91049047568,958886755,191209,1954,775,367,391787,0 88,2,2024-09-07 08:30:10:723,138919,138919,0,0,7187310,0,3583 88,3,2024-09-07 08:30:11:271,1,111,8,1,77,1177,111,0 89,0,2024-09-07 08:30:11:827,29957,0.6,29135,0.8,57685,0.5,77935,1.75 89,1,2024-09-07 08:30:10:574,193898,193898,0,0,91231998014,971365053,189383,3643,872,382,391866,0 89,2,2024-09-07 08:30:11:142,139362,139362,0,0,7188336,0,2901 89,3,2024-09-07 08:30:11:809,1,111,13,0,325,2280,111,0 90,0,2024-09-07 08:30:11:670,28310,0.4,28833,0.6,59405,0.4,77299,1.75 90,1,2024-09-07 08:30:10:624,195198,195198,0,0,90728320395,957726252,192474,2566,158,382,391825,0 90,2,2024-09-07 08:30:11:406,139647,139647,0,0,7864951,0,2635 90,3,2024-09-07 08:30:10:936,1,111,4,1,200,1256,111,0 91,0,2024-09-07 08:30:10:994,29084,0.5,28179,0.7,58445,0.6,77334,1.75 91,1,2024-09-07 08:30:10:564,194473,194473,0,0,91494920741,966220547,191178,2802,493,381,391914,0 91,2,2024-09-07 08:30:11:336,138834,138834,0,0,7673446,0,2445 91,3,2024-09-07 08:30:10:620,1,111,4,0,155,1455,111,0 92,0,2024-09-07 08:30:11:462,26975,0.8,27506,0.9,52531,0.9,71330,2.00 92,1,2024-09-07 08:30:10:586,194757,194757,0,0,91273325595,956760931,192853,1510,394,382,391717,0 92,2,2024-09-07 08:30:11:363,142658,142658,0,0,6082156,0,2279 92,3,2024-09-07 08:30:11:017,1,111,5,0,68,1076,111,0 93,0,2024-09-07 08:30:11:011,28544,0.4,29232,0.6,56059,0.3,76412,1.75 93,1,2024-09-07 08:30:10:852,195146,195146,0,0,91703803168,961745232,192734,1966,446,366,391689,0 93,2,2024-09-07 08:30:10:942,139767,139767,0,0,6092365,0,2509 93,3,2024-09-07 08:30:11:406,1,111,15,0,143,1167,111,0 94,0,2024-09-07 08:30:11:637,27834,0.3,28038,0.5,55641,0.3,73925,1.75 94,1,2024-09-07 08:30:10:585,194707,194707,0,0,91293179216,958568954,192651,1977,79,381,391850,0 94,2,2024-09-07 08:30:10:814,139214,139214,0,0,5767508,0,2443 94,3,2024-09-07 08:30:11:689,1,111,7,0,264,2058,111,0 95,0,2024-09-07 08:30:11:366,27934,0.4,27876,0.6,56111,0.4,74165,1.75 95,1,2024-09-07 08:30:10:858,195152,195152,0,0,91214777958,948680906,194140,948,64,367,391600,0 95,2,2024-09-07 08:30:11:022,139667,139667,0,0,6093765,0,3308 95,3,2024-09-07 08:30:11:716,1,111,1,0,307,1919,111,0 96,0,2024-09-07 08:30:11:073,26957,0.5,27002,0.7,53756,0.5,71314,1.75 96,1,2024-09-07 08:30:11:623,195019,195019,0,0,91286729850,958379116,192976,1472,571,385,391596,0 96,2,2024-09-07 08:30:11:274,143701,143701,0,0,6473914,0,4038 96,3,2024-09-07 08:30:11:149,1,111,2,0,188,1258,111,0 97,0,2024-09-07 08:30:11:347,26281,0.3,26410,0.5,52774,0.3,70312,1.75 97,1,2024-09-07 08:30:10:819,195195,195195,0,0,91950315243,959765798,192954,1722,519,367,392140,0 97,2,2024-09-07 08:30:10:633,138583,138583,0,0,5640562,0,3036 97,3,2024-09-07 08:30:10:580,1,111,16,1,165,1414,111,0 98,0,2024-09-07 08:30:11:714,27436,0.2,27139,0.4,54979,0.2,72856,1.50 98,1,2024-09-07 08:30:10:618,195030,195030,0,0,91308726310,954535440,193353,1594,83,382,391997,0 98,2,2024-09-07 08:30:10:782,139990,139990,0,0,5817647,0,3080 98,3,2024-09-07 08:30:10:717,1,111,1,1,840,2662,111,0 99,0,2024-09-07 08:30:11:458,28847,0.3,28925,0.5,57640,0.3,76520,1.75 99,1,2024-09-07 08:30:11:736,195934,195934,0,0,91728599799,957098088,194406,1082,446,381,391744,0 99,2,2024-09-07 08:30:11:424,141779,141779,0,0,6102076,0,1858 99,3,2024-09-07 08:30:10:592,1,111,13,0,129,857,111,0 100,0,2024-09-07 08:30:11:522,27409,1.3,27364,1.8,54608,3.8,73251,2.50 100,1,2024-09-07 08:30:10:557,194153,194153,0,0,91470963848,971288884,190521,3145,487,381,391989,0 100,2,2024-09-07 08:30:11:819,142638,142627,11,0,7403571,0,5417 100,3,2024-09-07 08:30:11:741,1,111,37,0,443,2462,111,0 101,0,2024-09-07 08:30:11:786,26198,3.0,25399,1.6,50238,2.5,69922,3.00 101,1,2024-09-07 08:30:10:558,194124,194124,0,0,91831505943,972331666,190640,2221,1263,368,391709,0 101,2,2024-09-07 08:30:11:781,138141,138141,0,0,7064149,0,4644 101,3,2024-09-07 08:30:10:957,1,111,13,0,448,1380,111,0 102,0,2024-09-07 08:30:10:953,28136,0.7,29164,0.9,58581,0.6,76508,2.00 102,1,2024-09-07 08:30:11:150,194458,194458,0,0,91106571599,960386682,191663,2279,516,369,391831,0 102,2,2024-09-07 08:30:11:748,139798,139798,0,0,5822146,0,2144 102,3,2024-09-07 08:30:11:621,1,111,1,0,410,1308,111,0 103,0,2024-09-07 08:30:11:700,30062,0.5,29982,0.7,56773,0.4,77794,1.75 103,1,2024-09-07 08:30:11:661,194211,194211,0,0,91370984573,963121657,191488,2011,712,381,391680,0 103,2,2024-09-07 08:30:10:595,140838,140838,0,0,6365346,0,2104 103,3,2024-09-07 08:30:10:760,1,111,2,0,916,2568,111,0 104,0,2024-09-07 08:30:11:031,29121,1.2,29150,1.2,57346,1.3,78219,2.50 104,1,2024-09-07 08:30:11:605,194982,194982,0,0,91201333734,965164647,191317,2971,694,366,391948,0 104,2,2024-09-07 08:30:11:666,140193,140193,0,0,7197429,0,3941 104,3,2024-09-07 08:30:11:420,1,111,1,1,1245,4575,111,0 105,0,2024-09-07 08:30:11:040,25682,1.5,24882,1.4,51862,2.4,69575,3.75 105,1,2024-09-07 08:30:10:560,194719,194719,0,0,91251150147,962555292,191048,2909,762,367,391797,0 105,2,2024-09-07 08:30:11:365,139590,139590,0,0,6499500,0,3314 105,3,2024-09-07 08:30:11:317,1,111,26,1,399,1939,111,0 106,0,2024-09-07 08:30:10:956,28180,0.7,28865,0.9,58689,0.6,76885,2.00 106,1,2024-09-07 08:30:11:765,195111,195111,0,0,90980368071,957434950,192240,2542,329,369,391767,0 106,2,2024-09-07 08:30:10:762,139381,139381,0,0,6663207,0,2795 106,3,2024-09-07 08:30:10:692,1,111,3,1,201,1198,111,0 107,0,2024-09-07 08:30:11:131,28753,0.5,28566,0.7,56955,0.4,76338,1.75 107,1,2024-09-07 08:30:10:594,194516,194516,0,0,91323578417,964548934,191850,2442,224,381,392234,0 107,2,2024-09-07 08:30:11:292,139639,139638,1,0,7049620,0,5024 107,3,2024-09-07 08:30:11:762,1,111,2,0,353,1537,111,0 108,0,2024-09-07 08:30:11:807,28017,0.5,28117,0.7,55677,0.5,74239,1.75 108,1,2024-09-07 08:30:11:296,194500,194500,0,0,91673180330,960779582,192271,1945,284,371,391857,0 108,2,2024-09-07 08:30:11:770,139102,139102,0,0,6536880,0,2647 108,3,2024-09-07 08:30:11:336,1,111,9,0,272,1724,111,0 109,0,2024-09-07 08:30:11:848,26612,0.6,26720,0.7,53073,0.6,71778,1.75 109,1,2024-09-07 08:30:10:601,194413,194413,0,0,91643460771,965564107,192145,1809,459,383,391812,0 109,2,2024-09-07 08:30:10:931,141716,141716,0,0,6759601,0,3617 109,3,2024-09-07 08:30:11:140,1,111,1,1,249,1724,111,0 110,0,2024-09-07 08:30:11:813,27232,0.3,26450,0.5,55285,0.2,72333,1.50 110,1,2024-09-07 08:30:11:662,195420,195420,0,0,92100411253,961998359,193226,1497,697,370,391667,0 110,2,2024-09-07 08:30:11:320,139563,139563,0,0,5987951,0,2915 110,3,2024-09-07 08:30:10:702,1,111,244,0,244,1396,111,0 111,0,2024-09-07 08:30:11:432,27538,0.2,27054,0.4,54240,0.1,73080,1.50 111,1,2024-09-07 08:30:11:032,195319,195319,0,0,91791806001,954213767,193908,1085,326,382,391690,0 111,2,2024-09-07 08:30:11:116,138555,138555,0,0,6568834,0,2763 111,3,2024-09-07 08:30:10:918,1,111,1,0,300,1897,111,0 112,0,2024-09-07 08:30:10:920,28566,0.4,28456,0.5,56890,0.3,75729,1.50 112,1,2024-09-07 08:30:10:830,195094,195094,0,0,91690828968,955292075,193424,1324,346,381,391580,0 112,2,2024-09-07 08:30:11:138,140283,140282,1,0,6388264,0,5036 112,3,2024-09-07 08:30:10:606,1,111,1,0,282,1317,111,0 113,0,2024-09-07 08:30:10:873,26577,0.5,26421,0.6,53725,0.4,71791,1.75 113,1,2024-09-07 08:30:11:702,195083,195083,0,0,91767068184,952058719,193143,1556,384,368,391661,0 113,2,2024-09-07 08:30:11:314,144805,144805,0,0,5746462,0,3813 113,3,2024-09-07 08:30:10:699,1,111,13,0,288,1762,111,0 114,0,2024-09-07 08:30:10:895,26779,1.0,27206,0.8,53440,0.5,71987,2.00 114,1,2024-09-07 08:30:10:736,195205,195205,0,0,91438910188,958285667,192452,1871,882,381,391531,0 114,2,2024-09-07 08:30:10:883,138494,138494,0,0,5761103,0,3925 114,3,2024-09-07 08:30:11:281,1,111,1,0,395,1746,111,0 115,0,2024-09-07 08:30:10:583,27819,0.3,28142,0.4,56365,0.2,74402,1.50 115,1,2024-09-07 08:30:10:575,195311,195311,0,0,92039826360,963640661,192327,2246,738,382,391602,0 115,2,2024-09-07 08:30:11:131,139497,139497,0,0,5370470,0,2152 115,3,2024-09-07 08:30:11:009,1,111,1,0,159,743,111,0 116,0,2024-09-07 08:30:11:733,29152,0.8,28726,0.9,57977,0.9,77077,2.00 116,1,2024-09-07 08:30:10:820,193960,193960,0,0,90866217768,966716039,190510,2064,1386,382,391619,0 116,2,2024-09-07 08:30:11:760,140184,140184,0,0,7459392,0,3529 116,3,2024-09-07 08:30:10:962,1,111,11,1,252,1660,111,0 117,0,2024-09-07 08:30:11:020,28205,1.6,28299,1.2,56133,2.8,75295,2.00 117,1,2024-09-07 08:30:11:586,195125,195125,0,0,90837514328,957268601,192067,2661,397,370,392033,0 117,2,2024-09-07 08:30:11:126,143244,143244,0,0,6235186,0,3700 117,3,2024-09-07 08:30:11:067,1,111,31,0,490,2511,111,0 118,0,2024-09-07 08:30:11:792,25126,0.7,25728,0.8,52613,0.8,68971,2.00 118,1,2024-09-07 08:30:10:600,194876,194876,0,0,91082984260,956636328,192647,1994,235,368,391736,0 118,2,2024-09-07 08:30:11:585,139385,139385,0,0,6563185,0,2781 118,3,2024-09-07 08:30:11:782,1,111,3,0,235,1640,111,0 119,0,2024-09-07 08:30:11:373,28814,1.0,28920,0.9,58663,1.5,77285,2.00 119,1,2024-09-07 08:30:10:559,195021,195021,0,0,91098849825,953712301,192918,1821,282,370,391641,0 119,2,2024-09-07 08:30:11:261,138824,138824,0,0,5866465,0,2532 119,3,2024-09-07 08:30:11:332,1,111,1,0,443,2459,111,0 120,0,2024-09-07 08:30:11:682,28828,0.5,28888,0.8,57641,0.5,77271,2.00 120,1,2024-09-07 08:30:10:875,194890,194890,0,0,90711607443,953883072,192809,2037,44,368,391702,0 120,2,2024-09-07 08:30:10:781,139996,139995,1,0,8341256,0,5281 120,3,2024-09-07 08:30:11:292,1,111,12,0,241,1706,111,0 121,0,2024-09-07 08:30:11:831,28498,1.8,28865,1.3,57525,3.0,77212,2.25 121,1,2024-09-07 08:30:11:656,194844,194844,0,0,91890406727,965046568,192346,2216,282,367,391840,0 121,2,2024-09-07 08:30:11:132,139238,139238,0,0,7859071,0,4127 121,3,2024-09-07 08:30:10:782,1,111,7,0,159,1418,111,0 122,0,2024-09-07 08:30:11:807,26445,1.7,25664,1.5,53550,1.9,71291,2.25 122,1,2024-09-07 08:30:10:864,194110,194110,0,0,91362315458,964918410,190569,2900,641,367,392130,0 122,2,2024-09-07 08:30:11:331,141469,141469,0,0,7762454,0,3364 122,3,2024-09-07 08:30:10:604,1,111,4,0,298,2226,111,0 123,0,2024-09-07 08:30:10:991,28350,0.8,27616,0.8,57726,1.0,75959,2.00 123,1,2024-09-07 08:30:10:560,195529,195529,0,0,91897684704,971248259,191684,3272,573,369,391823,0 123,2,2024-09-07 08:30:11:022,138786,138785,1,0,6698175,0,5215 123,3,2024-09-07 08:30:11:137,1,111,6,1,168,1745,111,0 124,0,2024-09-07 08:30:10:980,28576,0.3,28573,0.5,53954,0.2,74082,1.50 124,1,2024-09-07 08:30:11:042,194879,194879,0,0,91467797581,953216708,193171,1356,352,367,392178,0 124,2,2024-09-07 08:30:11:013,139690,139690,0,0,5971402,0,3101 124,3,2024-09-07 08:30:10:790,1,111,57,1,490,1915,111,0 125,0,2024-09-07 08:30:11:438,27993,0.5,27924,0.6,56036,0.5,74108,1.75 125,1,2024-09-07 08:30:10:863,194437,194437,0,0,91340411853,957530566,192229,1933,275,384,391702,0 125,2,2024-09-07 08:30:11:122,139873,139873,0,0,5964172,0,2180 125,3,2024-09-07 08:30:11:134,1,111,10,1,284,1626,111,0 126,0,2024-09-07 08:30:11:453,26893,0.9,27569,0.9,52896,1.0,71772,2.00 126,1,2024-09-07 08:30:10:559,195609,195609,0,0,91891258845,955371019,194153,1380,76,365,391987,0 126,2,2024-09-07 08:30:10:615,144369,144369,0,0,6146018,0,3186 126,3,2024-09-07 08:30:10:914,1,111,1,0,136,1517,111,0 127,0,2024-09-07 08:30:11:641,26177,0.4,26357,0.5,52836,0.4,70388,1.75 127,1,2024-09-07 08:30:10:581,195478,195478,0,0,92049208180,956570313,193749,1695,34,365,391816,0 127,2,2024-09-07 08:30:10:641,139014,139014,0,0,5328264,0,1803 127,3,2024-09-07 08:30:11:271,1,111,20,0,99,833,111,0 128,0,2024-09-07 08:30:11:576,27582,0.3,27545,0.4,54755,0.2,72779,1.50 128,1,2024-09-07 08:30:11:611,195455,195455,0,0,92202413473,956072813,194691,693,71,367,391680,0 128,2,2024-09-07 08:30:11:399,138367,138367,0,0,5855855,0,2107 128,3,2024-09-07 08:30:10:767,1,111,4,0,247,1407,111,0 129,0,2024-09-07 08:30:11:018,28983,0.3,28949,0.5,57653,0.3,76457,1.75 129,1,2024-09-07 08:30:10:583,194516,194516,0,0,91808970063,963176719,192308,1921,287,379,391835,0 129,2,2024-09-07 08:30:10:696,140451,140451,0,0,5867962,0,4031 129,3,2024-09-07 08:30:10:716,1,111,15,1,173,1558,111,0 130,0,2024-09-07 08:30:11:744,27825,1.2,27561,0.9,55288,1.7,73783,2.00 130,1,2024-09-07 08:30:10:615,195071,195071,0,0,91988346203,961217724,193375,1645,51,381,391825,0 130,2,2024-09-07 08:30:11:125,143090,143090,0,0,6137352,0,4067 130,3,2024-09-07 08:30:11:291,1,111,3,0,207,1132,111,0 131,0,2024-09-07 08:30:11:935,25898,0.5,25908,0.6,52433,0.5,70017,1.75 131,1,2024-09-07 08:30:11:856,196051,196051,0,0,91126377804,950810903,194735,1111,205,384,391865,0 131,2,2024-09-07 08:30:10:572,139088,139088,0,0,5376253,0,2415 131,3,2024-09-07 08:30:11:697,1,111,1,0,392,1368,111,0 132,0,2024-09-07 08:30:11:435,28548,0.5,28984,0.8,58078,0.5,76860,1.75 132,1,2024-09-07 08:30:10:586,194335,194335,0,0,90891617143,961286314,190913,2665,757,381,391719,0 132,2,2024-09-07 08:30:10:721,138537,138537,0,0,7982159,0,4606 132,3,2024-09-07 08:30:11:701,1,111,14,0,356,1960,111,0 133,0,2024-09-07 08:30:11:610,28486,0.5,29053,0.6,59748,0.4,77061,2.00 133,1,2024-09-07 08:30:10:609,193546,193546,0,0,91278196129,965209135,190597,2771,178,383,391755,0 133,2,2024-09-07 08:30:11:095,140914,140914,0,0,7784184,0,4315 133,3,2024-09-07 08:30:11:314,1,111,14,1,187,1052,111,0 134,0,2024-09-07 08:30:10:956,29192,0.7,29016,0.9,58589,0.8,77982,2.00 134,1,2024-09-07 08:30:10:596,194501,194501,0,0,90587441544,953570886,191124,2288,1089,366,391718,0 134,2,2024-09-07 08:30:11:775,140864,140864,0,0,6299871,0,3096 134,3,2024-09-07 08:30:10:756,1,111,5,0,739,2355,111,0 135,0,2024-09-07 08:30:11:108,25380,1.0,25269,1.2,53459,1.2,69469,2.25 135,1,2024-09-07 08:30:11:591,194373,194373,0,0,91660173282,967531904,191030,2643,700,380,391703,0 135,2,2024-09-07 08:30:10:707,140392,140392,0,0,6742770,0,3981 135,3,2024-09-07 08:30:11:010,1,111,1,0,89,732,111,0 136,0,2024-09-07 08:30:11:794,29333,0.6,29101,0.8,58632,0.6,78016,2.00 136,1,2024-09-07 08:30:11:445,194550,194550,0,0,91246267578,959916719,191846,2539,165,384,391641,0 136,2,2024-09-07 08:30:11:138,140527,140527,0,0,6690648,0,3506 136,3,2024-09-07 08:30:11:108,1,111,7,0,108,1030,111,0 137,0,2024-09-07 08:30:10:933,29311,0.6,28452,0.8,56515,0.5,76461,2.00 137,1,2024-09-07 08:30:10:581,194809,194809,0,0,91497588036,959302245,192252,2378,179,367,391608,0 137,2,2024-09-07 08:30:11:706,139752,139752,0,0,8032845,0,3185 137,3,2024-09-07 08:30:10:777,1,111,8,1,227,1460,111,0 138,0,2024-09-07 08:30:11:779,27213,2.1,27490,1.4,55533,3.4,74136,2.75 138,1,2024-09-07 08:30:11:714,194702,194702,0,0,91654023119,963397058,191809,2545,348,369,391954,0 138,2,2024-09-07 08:30:10:597,139829,139829,0,0,6532263,0,3263 138,3,2024-09-07 08:30:10:630,1,111,5,0,1160,2176,111,0 139,0,2024-09-07 08:30:11:375,25841,3.7,25903,2.0,52467,5.0,70957,3.50 139,1,2024-09-07 08:30:10:579,194290,194290,0,0,90936955644,970498032,189993,3099,1198,381,391892,0 139,2,2024-09-07 08:30:10:705,141697,141697,0,0,7583953,0,3097 139,3,2024-09-07 08:30:11:678,1,111,1,0,244,1573,111,0 140,0,2024-09-07 08:30:11:597,27254,0.3,27135,0.5,54425,0.2,72475,1.50 140,1,2024-09-07 08:30:11:547,195675,195675,0,0,92235865590,953693949,194527,933,215,365,391606,0 140,2,2024-09-07 08:30:10:713,140102,140102,0,0,6331615,0,3388 140,3,2024-09-07 08:30:10:772,1,111,1,0,39,768,111,0 141,0,2024-09-07 08:30:11:723,27085,0.2,28091,0.4,53902,0.1,73132,1.50 141,1,2024-09-07 08:30:10:864,195280,195280,0,0,91785654150,955798282,193611,1317,352,379,391539,0 141,2,2024-09-07 08:30:11:687,138718,138718,0,0,5717279,0,2342 141,3,2024-09-07 08:30:11:042,1,111,4,0,147,879,111,0 142,0,2024-09-07 08:30:11:351,28848,0.3,28485,0.5,56701,0.3,75878,1.75 142,1,2024-09-07 08:30:10:636,195389,195389,0,0,91897277289,958826513,194301,955,133,384,391649,0 142,2,2024-09-07 08:30:11:308,138854,138822,32,0,7290787,0,6028 142,3,2024-09-07 08:30:11:749,1,111,21,0,484,1844,111,0 143,0,2024-09-07 08:30:11:408,26700,0.9,26825,0.8,54041,1.1,71677,2.00 143,1,2024-09-07 08:30:10:639,195030,195030,0,0,91228338763,950546323,193124,1866,40,367,391619,0 143,2,2024-09-07 08:30:10:775,143539,143539,0,0,6501116,0,2669 143,3,2024-09-07 08:30:11:151,1,111,5,0,303,2116,111,0 144,0,2024-09-07 08:30:11:534,25726,0.7,26489,1.6,53749,0.6,71815,2.00 144,1,2024-09-07 08:30:10:574,194342,194342,0,0,90941017009,956336601,192516,1627,199,381,391638,0 144,2,2024-09-07 08:30:11:764,139070,139070,0,0,5773316,0,3473 144,3,2024-09-07 08:30:11:760,1,111,10,0,249,1706,111,0 145,0,2024-09-07 08:30:11:410,27037,0.6,26959,0.9,57106,0.5,74309,2.00 145,1,2024-09-07 08:30:10:566,193772,193772,0,0,91545477815,968313565,190393,2748,631,383,391615,0 145,2,2024-09-07 08:30:11:431,139068,139068,0,0,6675883,0,3903 145,3,2024-09-07 08:30:10:914,1,111,13,0,151,1431,111,0 146,0,2024-09-07 08:30:11:628,28943,0.5,28717,0.8,57983,0.5,76658,2.25 146,1,2024-09-07 08:30:11:585,194871,194871,0,0,91501134078,966889640,190768,3309,794,368,391600,0 146,2,2024-09-07 08:30:11:709,140651,140651,0,0,6615463,0,2379 146,3,2024-09-07 08:30:11:275,1,111,2,0,1520,3725,111,0 147,0,2024-09-07 08:30:11:716,28162,2.1,27990,1.4,55441,2.9,75155,2.75 147,1,2024-09-07 08:30:11:380,195547,195547,0,0,92426430163,962907317,193552,1634,361,368,391791,0 147,2,2024-09-07 08:30:11:032,142617,142617,0,0,6271223,0,2789 147,3,2024-09-07 08:30:10:917,1,111,1,0,141,1226,111,0 0,0,2024-09-07 08:30:21:755,28342,0.5,28398,0.7,60001,0.5,77719,1.75 0,1,2024-09-07 08:30:20:807,196479,196479,0,0,92127823285,967052328,195045,1305,129,372,391692,0 0,2,2024-09-07 08:30:21:066,141939,141939,0,0,6336253,0,4480 0,3,2024-09-07 08:30:20:978,1,112,9,0,247,1813,112,0 1,0,2024-09-07 08:30:21:868,29134,2.1,28795,1.5,58110,2.8,77735,2.50 1,1,2024-09-07 08:30:20:570,195960,195960,0,0,91486342181,963565817,193280,2073,607,372,391857,0 1,2,2024-09-07 08:30:20:639,141464,141464,0,0,5995117,0,3267 1,3,2024-09-07 08:30:21:308,1,112,8,0,262,1537,112,0 2,0,2024-09-07 08:30:21:576,26635,1.0,26707,1.1,53000,1.3,71098,2.25 2,1,2024-09-07 08:30:20:860,196603,196603,0,0,92422128167,962598817,195393,1005,205,380,391558,0 2,2,2024-09-07 08:30:21:271,141595,141595,0,0,5966251,0,3304 2,3,2024-09-07 08:30:20:690,1,112,5,0,214,1134,112,0 3,0,2024-09-07 08:30:21:765,28644,0.5,28814,0.8,57460,0.5,76433,2.00 3,1,2024-09-07 08:30:21:621,195997,195997,0,0,92282331922,966192765,193493,2102,402,382,391516,0 3,2,2024-09-07 08:30:21:152,141226,141203,23,0,6536822,0,5851 3,3,2024-09-07 08:30:21:752,1,112,1,0,103,814,112,0 4,0,2024-09-07 08:30:21:817,27293,0.3,28037,0.4,56787,0.2,74772,1.50 4,1,2024-09-07 08:30:20:591,196547,196547,0,0,92231835610,973437980,194049,1979,519,371,391846,0 4,2,2024-09-07 08:30:21:019,140048,140048,0,0,7520824,0,4528 4,3,2024-09-07 08:30:21:027,1,112,6,0,287,1732,112,0 5,0,2024-09-07 08:30:21:389,28104,0.6,27961,0.7,56224,0.7,74400,1.75 5,1,2024-09-07 08:30:20:757,195741,195741,0,0,92194313484,975015454,192316,2492,933,368,392005,0 5,2,2024-09-07 08:30:21:829,140345,140345,0,0,6348565,0,2259 5,3,2024-09-07 08:30:21:743,1,112,11,0,238,1930,112,0 6,0,2024-09-07 08:30:20:926,27329,0.7,27010,0.9,53646,0.8,72243,2.25 6,1,2024-09-07 08:30:20:756,197076,197076,0,0,92116881715,961969432,195543,1458,75,381,391603,0 6,2,2024-09-07 08:30:21:117,144935,144935,0,0,6237200,0,2411 6,3,2024-09-07 08:30:21:277,1,112,12,0,340,1796,112,0 7,0,2024-09-07 08:30:21:550,26531,0.4,26589,0.5,53425,0.3,71304,1.75 7,1,2024-09-07 08:30:20:860,197104,197104,0,0,92418281995,967041572,195351,1687,66,383,391664,0 7,2,2024-09-07 08:30:20:773,139765,139765,0,0,5754753,0,2981 7,3,2024-09-07 08:30:20:859,1,112,66,0,305,1334,112,0 8,0,2024-09-07 08:30:21:371,27477,0.3,27706,0.5,54697,0.2,73261,1.50 8,1,2024-09-07 08:30:21:015,196348,196348,0,0,91976255296,975340159,192418,2645,1285,368,391724,0 8,2,2024-09-07 08:30:20:797,138382,138382,0,0,7466933,0,2986 8,3,2024-09-07 08:30:20:587,1,112,18,0,357,1878,112,0 9,0,2024-09-07 08:30:21:116,28930,0.4,28177,0.5,58860,0.3,76605,1.75 9,1,2024-09-07 08:30:20:551,196069,196069,0,0,92224094053,978162324,192297,2765,1007,370,391738,0 9,2,2024-09-07 08:30:21:083,140919,140919,0,0,7234923,0,3360 9,3,2024-09-07 08:30:21:754,1,112,14,0,496,1897,112,0 10,0,2024-09-07 08:30:21:614,27633,0.5,27664,0.7,55340,0.5,73708,1.75 10,1,2024-09-07 08:30:20:585,196477,196477,0,0,92356791093,973311262,192827,3126,524,381,391741,0 10,2,2024-09-07 08:30:20:768,144454,144454,0,0,6935600,0,2940 10,3,2024-09-07 08:30:20:874,1,112,1,0,136,844,112,0 11,0,2024-09-07 08:30:21:021,25864,0.5,25277,0.7,53007,0.4,71486,2.00 11,1,2024-09-07 08:30:20:571,196089,196089,0,0,92088023262,972961737,191972,2987,1130,384,391537,0 11,2,2024-09-07 08:30:21:127,139645,139645,0,0,6502484,0,3411 11,3,2024-09-07 08:30:21:298,1,112,2,0,720,2717,112,0 12,0,2024-09-07 08:30:20:965,29229,0.3,29112,0.5,58418,0.3,77133,1.50 12,1,2024-09-07 08:30:20:936,196070,196070,0,0,91726905437,964312439,193288,2372,410,371,391837,0 12,2,2024-09-07 08:30:21:546,140899,140899,0,0,7095646,0,3469 12,3,2024-09-07 08:30:21:073,1,112,11,0,358,2096,112,0 13,0,2024-09-07 08:30:21:335,29357,0.4,29464,0.5,58811,0.4,77883,1.75 13,1,2024-09-07 08:30:21:526,196920,196920,0,0,92366830054,972106473,195016,1528,376,384,391717,0 13,2,2024-09-07 08:30:20:595,143196,143196,0,0,6506024,0,3287 13,3,2024-09-07 08:30:21:770,1,112,22,1,416,2185,112,0 14,0,2024-09-07 08:30:20:570,29479,0.6,29686,0.8,58760,0.6,78280,2.00 14,1,2024-09-07 08:30:21:565,197976,197976,0,0,93218573327,969586062,196210,1605,161,364,391571,0 14,2,2024-09-07 08:30:20:768,142209,142209,0,0,6615869,0,2896 14,3,2024-09-07 08:30:21:116,1,112,2,0,1168,2570,112,0 15,0,2024-09-07 08:30:21:569,26435,0.6,26340,0.9,52712,0.6,70740,2.25 15,1,2024-09-07 08:30:21:610,196299,196299,0,0,92260447091,962005449,195008,1231,60,381,391536,0 15,2,2024-09-07 08:30:20:998,141423,141423,0,0,5264074,0,3043 15,3,2024-09-07 08:30:21:417,1,112,1,0,1126,3746,112,0 16,0,2024-09-07 08:30:20:959,29146,0.7,29530,0.8,58410,0.7,78091,2.25 16,1,2024-09-07 08:30:20:564,196831,196831,0,0,92333896771,966161476,195613,1190,28,372,391756,0 16,2,2024-09-07 08:30:21:457,140332,140332,0,0,6749811,0,4719 16,3,2024-09-07 08:30:21:142,1,112,47,0,231,1688,112,0 17,0,2024-09-07 08:30:21:787,29787,0.5,29033,0.7,57031,0.4,77151,2.00 17,1,2024-09-07 08:30:20:569,195985,195985,0,0,91957348174,970109176,193683,1773,529,369,391688,0 17,2,2024-09-07 08:30:21:666,142737,142737,0,0,6006695,0,2857 17,3,2024-09-07 08:30:20:574,1,112,17,0,268,1935,112,0 18,0,2024-09-07 08:30:20:945,27295,1.1,27773,1.1,55780,1.3,74043,2.25 18,1,2024-09-07 08:30:21:638,196591,196591,0,0,92173693536,958815934,195171,1186,234,368,391564,0 18,2,2024-09-07 08:30:21:762,141092,141092,0,0,6466483,0,3541 18,3,2024-09-07 08:30:20:896,1,112,161,0,163,1292,112,0 19,0,2024-09-07 08:30:21:547,26691,1.2,26876,1.2,53106,1.5,71091,3.75 19,1,2024-09-07 08:30:20:569,197128,197128,0,0,93066829026,970481408,195079,1883,166,367,391777,0 19,2,2024-09-07 08:30:21:753,144119,144119,0,0,5880368,0,3988 19,3,2024-09-07 08:30:21:138,1,112,7,0,524,1312,112,0 20,0,2024-09-07 08:30:21:450,27569,0.4,27464,0.6,55057,0.4,72810,2.00 20,1,2024-09-07 08:30:20:567,196745,196745,0,0,92471492189,969638805,194740,1828,177,370,391598,0 20,2,2024-09-07 08:30:20:930,140430,140430,0,0,6005395,0,3721 20,3,2024-09-07 08:30:20:592,1,112,208,0,414,2407,112,0 21,0,2024-09-07 08:30:21:153,27897,0.3,27813,0.5,55468,0.3,73761,1.75 21,1,2024-09-07 08:30:21:536,196106,196106,0,0,91500437624,965000985,193525,2076,505,368,391962,0 21,2,2024-09-07 08:30:21:069,140517,140517,0,0,6393548,0,3747 21,3,2024-09-07 08:30:21:417,1,112,13,0,93,1485,112,0 22,0,2024-09-07 08:30:21:743,28288,1.1,28275,1.1,56494,1.3,75250,2.50 22,1,2024-09-07 08:30:21:024,196227,196227,0,0,91865993201,973954336,191650,3204,1373,382,391667,0 22,2,2024-09-07 08:30:20:760,141006,141006,0,0,5837627,0,3134 22,3,2024-09-07 08:30:21:071,1,112,2,0,228,1263,112,0 23,0,2024-09-07 08:30:21:405,26872,0.7,27082,0.8,54206,0.7,72477,2.25 23,1,2024-09-07 08:30:21:003,197441,197441,0,0,93015899291,970235594,195182,1688,571,365,391549,0 23,2,2024-09-07 08:30:21:095,144927,144927,0,0,5941052,0,2078 23,3,2024-09-07 08:30:21:754,1,112,1,0,645,1606,112,0 24,0,2024-09-07 08:30:20:905,27366,0.5,27338,0.7,54759,0.5,73068,1.75 24,1,2024-09-07 08:30:20:591,196166,196166,0,0,92244128447,969207105,193792,1610,764,369,391640,0 24,2,2024-09-07 08:30:21:075,139456,139456,0,0,7517788,0,2942 24,3,2024-09-07 08:30:21:686,1,112,9,0,234,1501,112,0 25,0,2024-09-07 08:30:21:457,28875,0.4,28186,0.6,55207,0.4,75018,1.75 25,1,2024-09-07 08:30:20:569,196195,196195,0,0,91774150557,966537219,193433,2318,444,371,391788,0 25,2,2024-09-07 08:30:21:620,139042,139042,0,0,7577465,0,3978 25,3,2024-09-07 08:30:21:010,1,112,8,0,158,1305,112,0 26,0,2024-09-07 08:30:21:728,28896,0.4,28215,0.6,59243,0.3,77354,1.75 26,1,2024-09-07 08:30:21:543,197115,197115,0,0,91988934160,970804942,193248,3023,844,381,391564,0 26,2,2024-09-07 08:30:20:861,141872,141872,0,0,7022265,0,2809 26,3,2024-09-07 08:30:21:727,1,112,2,0,796,1463,112,0 27,0,2024-09-07 08:30:21:742,28320,0.6,28582,0.7,56590,0.6,75315,1.75 27,1,2024-09-07 08:30:21:677,197259,197259,0,0,93253632948,971255076,195517,1420,322,381,391539,0 27,2,2024-09-07 08:30:20:871,142403,142403,0,0,7711273,0,3409 27,3,2024-09-07 08:30:21:017,1,112,9,0,564,1454,112,0 28,0,2024-09-07 08:30:21:427,25849,0.6,26306,0.8,52544,0.8,70241,2.25 28,1,2024-09-07 08:30:20:800,196774,196774,0,0,93063284984,970885617,195309,1263,202,383,391646,0 28,2,2024-09-07 08:30:21:764,140199,140199,0,0,5533944,0,2915 28,3,2024-09-07 08:30:21:775,1,112,4,0,502,1400,112,0 29,0,2024-09-07 08:30:21:362,29918,0.4,29170,0.6,57134,0.4,78038,1.75 29,1,2024-09-07 08:30:21:574,197617,197617,0,0,92325290605,960460409,195529,1558,530,369,391621,0 29,2,2024-09-07 08:30:20:861,140897,140897,0,0,5586037,0,4018 29,3,2024-09-07 08:30:20:965,1,112,12,0,105,930,112,0 30,0,2024-09-07 08:30:21:464,29148,0.7,28352,0.8,59238,0.7,77758,2.25 30,1,2024-09-07 08:30:20:576,197050,197050,0,0,92556561277,966283631,195199,1573,278,382,391672,0 30,2,2024-09-07 08:30:21:278,142846,142846,0,0,5789027,0,3161 30,3,2024-09-07 08:30:20:585,1,112,1,0,195,877,112,0 31,0,2024-09-07 08:30:21:770,28772,0.9,28848,0.9,58291,1.0,77552,2.25 31,1,2024-09-07 08:30:20:565,197998,197998,0,0,92468352438,948273884,197672,324,2,356,391553,0 31,2,2024-09-07 08:30:21:278,141775,141775,0,0,6931953,0,3525 31,3,2024-09-07 08:30:21:710,1,112,8,0,129,850,112,0 32,0,2024-09-07 08:30:21:469,26880,0.7,27063,0.9,54120,0.7,71831,1.75 32,1,2024-09-07 08:30:20:805,197555,197555,0,0,92284536184,961336583,195916,1365,274,382,391595,0 32,2,2024-09-07 08:30:20:935,142249,142249,0,0,5631809,0,3155 32,3,2024-09-07 08:30:21:017,1,112,0,0,227,1251,112,0 33,0,2024-09-07 08:30:21:502,29089,0.4,28857,0.6,57991,0.3,77909,1.75 33,1,2024-09-07 08:30:20:579,197835,197835,0,0,92986742789,965498213,195988,1724,123,369,391730,0 33,2,2024-09-07 08:30:20:764,141124,141091,33,0,7466786,0,7012 33,3,2024-09-07 08:30:20:895,1,112,21,0,211,1117,112,0 34,0,2024-09-07 08:30:20:936,28137,0.3,29037,0.5,55590,0.2,74688,1.75 34,1,2024-09-07 08:30:21:049,197705,197705,0,0,92916650975,958195642,196966,734,5,367,391547,0 34,2,2024-09-07 08:30:20:772,140513,140513,0,0,6226298,0,3255 34,3,2024-09-07 08:30:21:688,1,112,16,0,148,819,112,0 35,0,2024-09-07 08:30:20:874,27851,0.6,28207,0.7,55967,0.6,74448,1.75 35,1,2024-09-07 08:30:21:067,196808,196808,0,0,92342188931,960353172,195136,1310,362,384,391587,0 35,2,2024-09-07 08:30:21:582,140439,140439,0,0,5643043,0,2542 35,3,2024-09-07 08:30:20:916,1,112,0,0,219,1301,112,0 36,0,2024-09-07 08:30:21:548,27054,1.0,26987,1.1,54554,1.2,72137,2.50 36,1,2024-09-07 08:30:20:592,196742,196742,0,0,92283735321,967528964,193620,2190,932,366,391759,0 36,2,2024-09-07 08:30:21:753,143853,143853,0,0,7130920,0,3303 36,3,2024-09-07 08:30:20:874,1,112,1,0,378,1217,112,0 37,0,2024-09-07 08:30:21:418,26679,0.4,26676,0.5,53304,0.3,71401,1.75 37,1,2024-09-07 08:30:20:569,196312,196305,0,7,92118704274,971221927,192900,1863,1542,365,391518,0 37,2,2024-09-07 08:30:21:143,140729,140729,0,0,5568673,0,2333 37,3,2024-09-07 08:30:21:766,1,112,12,0,724,2234,112,0 38,0,2024-09-07 08:30:21:450,27396,0.4,26590,0.6,55501,0.4,72760,1.75 38,1,2024-09-07 08:30:21:605,197621,197621,0,0,91978439670,963315256,194758,2342,521,368,391821,0 38,2,2024-09-07 08:30:20:763,140543,140543,0,0,6599927,0,3245 38,3,2024-09-07 08:30:20:996,1,112,28,0,603,1645,112,0 39,0,2024-09-07 08:30:21:770,29689,0.8,29015,0.8,56659,0.9,76805,2.00 39,1,2024-09-07 08:30:20:722,196805,196805,0,0,92489580901,967620197,193816,2321,668,365,391524,0 39,2,2024-09-07 08:30:21:417,141487,141487,0,0,6084138,0,2689 39,3,2024-09-07 08:30:20:712,1,112,1,0,276,1658,112,0 40,0,2024-09-07 08:30:21:506,27030,1.3,27672,1.7,54816,1.9,73079,3.50 40,1,2024-09-07 08:30:20:576,196303,196303,0,0,91525331025,963892944,192439,3099,765,369,391591,0 40,2,2024-09-07 08:30:21:303,144454,144453,1,0,8008159,0,5137 40,3,2024-09-07 08:30:21:143,1,112,26,0,181,1343,112,0 41,0,2024-09-07 08:30:21:044,25818,1.6,26451,1.8,50514,2.9,70090,4.00 41,1,2024-09-07 08:30:20:768,196776,196776,0,0,92704267067,974944979,193196,3063,517,370,391742,0 41,2,2024-09-07 08:30:20:764,139220,139220,0,0,7215787,0,3356 41,3,2024-09-07 08:30:21:676,1,112,8,0,366,1507,112,0 42,0,2024-09-07 08:30:21:510,28457,0.6,28741,0.9,56976,0.6,75296,2.25 42,1,2024-09-07 08:30:21:448,196027,196027,0,0,92501075645,974793342,192635,2556,836,380,391675,0 42,2,2024-09-07 08:30:21:134,139515,139515,0,0,7412447,0,3568 42,3,2024-09-07 08:30:21:008,1,112,1,0,100,836,112,0 43,0,2024-09-07 08:30:20:926,29339,0.8,28541,1.0,59487,0.9,77932,2.25 43,1,2024-09-07 08:30:20:576,196189,196189,0,0,92439423723,966971856,193569,1868,752,366,391604,0 43,2,2024-09-07 08:30:21:736,142668,142668,0,0,7203343,0,3812 43,3,2024-09-07 08:30:21:754,1,112,4,0,325,1874,112,0 44,0,2024-09-07 08:30:20:922,29641,0.6,29479,0.9,59135,0.6,78896,2.00 44,1,2024-09-07 08:30:20:565,197100,197100,0,0,91824298892,951268947,195671,1300,129,356,391662,0 44,2,2024-09-07 08:30:21:274,142027,142027,0,0,5631684,0,1877 44,3,2024-09-07 08:30:21:093,1,112,1,0,817,1490,112,0 45,0,2024-09-07 08:30:21:765,26092,1.0,25481,1.1,53453,1.1,71249,2.25 45,1,2024-09-07 08:30:21:015,196851,196851,0,0,92467328104,961324716,195867,976,8,382,391917,0 45,2,2024-09-07 08:30:21:271,141369,141369,0,0,6059308,0,3596 45,3,2024-09-07 08:30:20:934,1,112,11,0,226,1078,112,0 46,0,2024-09-07 08:30:20:956,29103,0.4,29081,0.7,58289,0.4,77235,1.75 46,1,2024-09-07 08:30:20:575,197234,197234,0,0,92609129793,959453832,195808,1320,106,366,391514,0 46,2,2024-09-07 08:30:20:594,141713,141713,0,0,6021372,0,2920 46,3,2024-09-07 08:30:21:133,1,112,1,0,363,1739,112,0 47,0,2024-09-07 08:30:21:124,28853,0.4,29149,0.5,58326,0.3,76927,1.75 47,1,2024-09-07 08:30:20:567,197312,197312,0,0,92198366665,953468653,196365,937,10,366,391605,0 47,2,2024-09-07 08:30:20:920,142322,142322,0,0,6365003,0,2558 47,3,2024-09-07 08:30:21:116,1,112,1,0,529,1314,112,0 48,0,2024-09-07 08:30:21:543,28323,0.3,28089,0.5,56032,0.3,74680,1.75 48,1,2024-09-07 08:30:21:030,197031,197031,0,0,92563349707,962619567,195640,1384,7,384,391710,0 48,2,2024-09-07 08:30:20:701,140888,140888,0,0,5116414,0,2083 48,3,2024-09-07 08:30:20:756,1,112,339,0,339,1201,112,0 49,0,2024-09-07 08:30:21:721,27709,0.7,27025,0.8,52950,0.8,72951,2.00 49,1,2024-09-07 08:30:21:021,196302,196302,0,0,92547195400,967187887,194388,1194,720,382,391583,0 49,2,2024-09-07 08:30:21:806,143602,143602,0,0,6065013,0,3900 49,3,2024-09-07 08:30:21:419,1,112,8,0,274,1123,112,0 50,0,2024-09-07 08:30:21:523,27766,0.2,27224,0.4,54779,0.2,73338,1.50 50,1,2024-09-07 08:30:21:011,197980,197980,0,0,92890304436,965817125,196027,1656,297,368,391530,0 50,2,2024-09-07 08:30:21:067,140784,140784,0,0,5428103,0,2253 50,3,2024-09-07 08:30:21:291,1,112,2,0,335,1101,112,0 51,0,2024-09-07 08:30:21:690,28356,0.3,27822,0.4,54378,0.2,74061,1.50 51,1,2024-09-07 08:30:21:686,197016,197016,0,0,93448290925,970414710,195115,1117,784,367,391637,0 51,2,2024-09-07 08:30:21:327,140342,140342,0,0,4833715,0,2448 51,3,2024-09-07 08:30:21:027,1,112,7,0,162,748,112,0 52,0,2024-09-07 08:30:21:467,28589,0.6,28620,0.8,57464,0.7,75773,2.25 52,1,2024-09-07 08:30:20:583,196676,196676,0,0,92504028898,974121717,192966,3002,708,368,391722,0 52,2,2024-09-07 08:30:21:754,140150,140150,0,0,6692766,0,4779 52,3,2024-09-07 08:30:20:682,1,112,0,0,1782,2731,112,0 53,0,2024-09-07 08:30:21:738,26881,1.3,25988,1.2,54254,1.5,71771,2.75 53,1,2024-09-07 08:30:20:777,196492,196492,0,0,92684282638,972295909,193398,2513,581,370,391617,0 53,2,2024-09-07 08:30:21:298,145413,145413,0,0,5629950,0,1902 53,3,2024-09-07 08:30:20:700,1,112,12,0,133,905,112,0 54,0,2024-09-07 08:30:21:620,26384,1.6,26782,1.0,52829,0.6,72199,3.50 54,1,2024-09-07 08:30:20:581,196870,196870,0,0,92889453772,972144541,193698,2628,544,367,391520,0 54,2,2024-09-07 08:30:20:865,140090,140084,6,0,6475834,0,5382 54,3,2024-09-07 08:30:20:767,1,112,72,0,676,2301,112,0 55,0,2024-09-07 08:30:21:771,27034,0.5,27782,0.8,56419,0.5,72870,2.25 55,1,2024-09-07 08:30:20:764,196039,196039,0,0,91955346740,966515815,191660,3594,785,367,391731,0 55,2,2024-09-07 08:30:20:737,139798,139798,0,0,6430683,0,3275 55,3,2024-09-07 08:30:20:677,1,112,16,0,304,1337,112,0 56,0,2024-09-07 08:30:21:608,29785,1.5,28238,1.2,57941,2.1,77560,2.50 56,1,2024-09-07 08:30:20:571,196166,196166,0,0,92456541570,984345224,191653,3663,850,382,391678,0 56,2,2024-09-07 08:30:21:307,141989,141989,0,0,7701889,0,3567 56,3,2024-09-07 08:30:21:066,1,112,4,0,297,1555,112,0 57,0,2024-09-07 08:30:20:961,27759,2.2,27638,1.5,55611,3.3,74047,4.00 57,1,2024-09-07 08:30:20:989,196392,196392,0,0,91828248487,964270811,193983,2239,170,368,391773,0 57,2,2024-09-07 08:30:21:320,143758,143758,0,0,7671161,0,3178 57,3,2024-09-07 08:30:21:747,1,112,16,0,359,2358,112,0 58,0,2024-09-07 08:30:20:563,25242,1.0,24671,1.1,51687,1.1,67996,2.75 58,1,2024-09-07 08:30:20:575,197457,197454,0,3,92830474735,969432572,195063,2163,228,369,391516,3 58,2,2024-09-07 08:30:21:071,140130,140130,0,0,6664259,0,2549 58,3,2024-09-07 08:30:21:067,1,112,4,0,219,1047,112,0 59,0,2024-09-07 08:30:21:756,29209,0.9,28827,1.0,57974,1.0,76801,2.75 59,1,2024-09-07 08:30:20:810,196551,196551,0,0,92295783381,970957978,193186,2443,922,369,391515,0 59,2,2024-09-07 08:30:20:582,141507,141507,0,0,6104392,0,2604 59,3,2024-09-07 08:30:21:737,1,112,70,0,1015,2417,112,0 60,0,2024-09-07 08:30:21:735,29502,0.4,29590,0.6,58465,0.4,77936,1.75 60,1,2024-09-07 08:30:20:784,198225,198225,0,0,92380296923,960788952,196979,895,351,370,391761,0 60,2,2024-09-07 08:30:21:140,141831,141831,0,0,6910027,0,3811 60,3,2024-09-07 08:30:21:261,1,112,1,0,124,1187,112,0 61,0,2024-09-07 08:30:21:552,28877,1.7,29054,1.3,58048,2.8,77887,2.25 61,1,2024-09-07 08:30:20:786,196352,196352,0,0,92441876933,973394337,193503,2312,537,382,391589,0 61,2,2024-09-07 08:30:21:122,142055,142055,0,0,5911045,0,1846 61,3,2024-09-07 08:30:21:697,1,112,8,0,199,1528,112,0 62,0,2024-09-07 08:30:21:725,26919,1.7,27483,1.2,52595,2.9,71618,2.50 62,1,2024-09-07 08:30:21:111,198064,198058,0,6,93283603046,964991801,196637,1383,38,365,391715,6 62,2,2024-09-07 08:30:21:647,140896,140895,1,0,6960710,0,5555 62,3,2024-09-07 08:30:21:143,1,112,1,0,287,808,112,0 63,0,2024-09-07 08:30:21:474,28776,0.6,29010,0.7,57465,0.5,77319,2.00 63,1,2024-09-07 08:30:20:814,197750,197744,0,6,92810596292,963388967,196647,1077,20,381,391540,6 63,2,2024-09-07 08:30:20:778,140692,140692,0,0,5703562,0,2674 63,3,2024-09-07 08:30:21:738,1,112,0,0,667,2022,112,0 64,0,2024-09-07 08:30:21:537,27977,0.4,27888,0.6,56034,0.4,74778,1.75 64,1,2024-09-07 08:30:20:767,196801,196801,0,0,92169537879,969106379,193628,2122,1051,371,391783,0 64,2,2024-09-07 08:30:21:142,141663,141644,19,0,6419843,0,6121 64,3,2024-09-07 08:30:21:140,1,112,130,0,265,1324,112,0 65,0,2024-09-07 08:30:21:690,27351,1.6,27722,1.2,55506,2.0,73698,3.00 65,1,2024-09-07 08:30:20:859,196525,196525,0,0,92198768287,966067098,194621,1683,221,382,391770,0 65,2,2024-09-07 08:30:21:713,141417,141417,0,0,6815866,0,3367 65,3,2024-09-07 08:30:21:687,1,112,7,0,163,1209,112,0 66,0,2024-09-07 08:30:21:808,26670,0.5,26800,0.8,53649,0.5,71500,2.25 66,1,2024-09-07 08:30:21:297,197119,197119,0,0,92535832600,961140367,196145,934,40,380,391588,0 66,2,2024-09-07 08:30:21:143,145792,145792,0,0,6363714,0,4956 66,3,2024-09-07 08:30:21:079,1,112,1,0,291,1301,112,0 67,0,2024-09-07 08:30:21:446,26989,0.6,26782,0.7,53515,0.5,71069,2.25 67,1,2024-09-07 08:30:20:776,196498,196497,0,1,92557215776,969928714,194225,1747,525,381,391787,1 67,2,2024-09-07 08:30:20:582,141043,141043,0,0,5445537,0,2889 67,3,2024-09-07 08:30:21:754,1,112,2,0,138,1087,112,0 68,0,2024-09-07 08:30:20:577,27719,0.5,27563,0.7,54997,0.5,73079,2.00 68,1,2024-09-07 08:30:20:571,196559,196559,0,0,92024626930,964785210,194857,1180,522,381,391953,0 68,2,2024-09-07 08:30:21:043,139476,139476,0,0,6545939,0,4883 68,3,2024-09-07 08:30:20:730,1,112,1,0,151,1149,112,0 69,0,2024-09-07 08:30:21:800,28776,1.1,29002,1.0,57721,1.4,76530,2.25 69,1,2024-09-07 08:30:21:025,196162,196162,0,0,92069045047,975656891,193341,1743,1078,384,391611,0 69,2,2024-09-07 08:30:21:755,141371,141371,0,0,7289732,0,3701 69,3,2024-09-07 08:30:20:793,1,112,22,0,238,1495,112,0 70,0,2024-09-07 08:30:21:543,27282,2.4,27390,1.8,54940,1.3,72729,3.75 70,1,2024-09-07 08:30:20:800,197076,197076,0,0,92584134840,963621626,195043,1574,459,367,391725,0 70,2,2024-09-07 08:30:21:325,144379,144379,0,0,6873810,0,4044 70,3,2024-09-07 08:30:20:751,1,112,84,0,178,816,112,0 71,0,2024-09-07 08:30:21:367,25817,1.6,26069,1.7,51625,2.4,70423,3.25 71,1,2024-09-07 08:30:21:597,197266,197266,0,0,92652633114,968031411,194719,2213,334,368,391682,0 71,2,2024-09-07 08:30:21:068,140245,140245,0,0,6035040,0,2146 71,3,2024-09-07 08:30:21:758,1,112,4,0,279,1466,112,0 72,0,2024-09-07 08:30:21:040,29955,0.6,29175,0.8,57189,0.5,77242,2.25 72,1,2024-09-07 08:30:21:024,196441,196441,0,0,92039735358,964493864,193656,2302,483,370,391819,0 72,2,2024-09-07 08:30:21:754,139228,139228,0,0,7930449,0,2570 72,3,2024-09-07 08:30:21:755,1,112,3,0,325,1506,112,0 73,0,2024-09-07 08:30:21:124,28728,0.4,29345,0.6,60029,0.4,77682,2.25 73,1,2024-09-07 08:30:20:774,196773,196773,0,0,92238264706,960262910,195181,1478,114,368,391627,0 73,2,2024-09-07 08:30:21:740,143135,143135,0,0,7587680,0,3482 73,3,2024-09-07 08:30:20:972,1,112,9,0,274,1892,112,0 74,0,2024-09-07 08:30:21:334,29679,0.8,30098,1.0,57568,0.9,78416,2.75 74,1,2024-09-07 08:30:20:638,196564,196564,0,0,92201142384,965510198,194126,1832,606,382,391681,0 74,2,2024-09-07 08:30:21:002,142107,142107,0,0,7738499,0,4253 74,3,2024-09-07 08:30:21:442,1,112,0,0,246,1681,112,0 75,0,2024-09-07 08:30:21:785,26499,0.9,26424,1.1,52794,0.9,71469,2.50 75,1,2024-09-07 08:30:21:585,196581,196581,0,0,92687609996,971276690,194233,1985,363,381,391579,0 75,2,2024-09-07 08:30:21:349,140502,140502,0,0,6943777,0,4766 75,3,2024-09-07 08:30:21:067,1,112,34,0,535,1494,112,0 76,0,2024-09-07 08:30:20:589,29139,0.5,28878,0.8,57634,0.4,77490,2.25 76,1,2024-09-07 08:30:20:815,196547,196547,0,0,91643310099,960372337,194655,1384,508,382,391530,0 76,2,2024-09-07 08:30:21:062,142126,142126,0,0,6055078,0,3064 76,3,2024-09-07 08:30:21:142,1,112,1,0,175,1246,112,0 77,0,2024-09-07 08:30:21:699,28902,0.6,29041,0.8,58265,0.6,76797,2.00 77,1,2024-09-07 08:30:20:828,197205,197205,0,0,92534656974,967163711,195828,1296,81,383,391808,0 77,2,2024-09-07 08:30:21:281,141831,141831,0,0,6076469,0,3890 77,3,2024-09-07 08:30:21:101,1,112,132,0,305,1663,112,0 78,0,2024-09-07 08:30:21:790,27976,0.7,27987,0.9,56075,0.7,74403,2.25 78,1,2024-09-07 08:30:20:613,197277,197277,0,0,91680871653,956629053,195520,1552,205,367,391589,0 78,2,2024-09-07 08:30:21:420,141658,141658,0,0,5842353,0,2114 78,3,2024-09-07 08:30:21:134,1,112,7,0,181,1422,112,0 79,0,2024-09-07 08:30:21:352,26035,0.6,26707,0.9,54668,0.6,71630,2.75 79,1,2024-09-07 08:30:20:571,197469,197469,0,0,92000194123,957053142,195477,1821,171,369,391682,0 79,2,2024-09-07 08:30:21:068,143882,143882,0,0,6180117,0,3212 79,3,2024-09-07 08:30:20:755,1,112,6,0,306,1824,112,0 80,0,2024-09-07 08:30:21:087,27363,0.5,28276,0.6,54203,0.4,73059,2.00 80,1,2024-09-07 08:30:21:623,196251,196251,0,0,92036464746,960244156,194252,1882,117,368,391673,0 80,2,2024-09-07 08:30:21:091,141290,141290,0,0,6130080,0,4433 80,3,2024-09-07 08:30:20:575,1,112,0,0,190,2052,112,0 81,0,2024-09-07 08:30:21:547,27796,0.5,28533,0.6,54664,0.5,73955,1.75 81,1,2024-09-07 08:30:21:654,196600,196600,0,0,92083845100,965366929,194595,1804,201,382,391879,0 81,2,2024-09-07 08:30:21:125,139743,139743,0,0,6869916,0,3993 81,3,2024-09-07 08:30:21:121,1,112,8,0,193,1106,112,0 82,0,2024-09-07 08:30:21:546,28416,0.7,28450,0.9,57157,0.7,75953,2.00 82,1,2024-09-07 08:30:20:588,196626,196622,0,4,92692439472,970012192,194329,1719,574,382,391558,4 82,2,2024-09-07 08:30:21:691,141441,141441,0,0,5912703,0,3986 82,3,2024-09-07 08:30:21:755,1,112,1,0,227,1651,112,0 83,0,2024-09-07 08:30:21:528,27123,1.2,26925,1.1,53456,1.4,71683,2.75 83,1,2024-09-07 08:30:20:551,197116,197116,0,0,92587678523,967577710,195567,1448,101,383,391553,0 83,2,2024-09-07 08:30:20:764,144544,144544,0,0,6339081,0,3119 83,3,2024-09-07 08:30:20:755,1,112,24,0,127,1041,112,0 84,0,2024-09-07 08:30:21:783,26841,1.0,26688,1.2,53570,0.7,72584,2.50 84,1,2024-09-07 08:30:21:039,195906,195906,0,0,92192669140,969638941,193040,2418,448,369,391852,0 84,2,2024-09-07 08:30:20:571,140044,140044,0,0,6308613,0,3801 84,3,2024-09-07 08:30:21:144,1,112,1,1,85,1047,112,0 85,0,2024-09-07 08:30:21:014,26953,0.5,27001,0.8,57160,0.5,74049,2.00 85,1,2024-09-07 08:30:20:559,195955,195955,0,0,92265031263,977522127,192377,3103,475,382,391679,0 85,2,2024-09-07 08:30:20:866,140192,140192,0,0,7211331,0,3656 85,3,2024-09-07 08:30:20:689,1,112,12,0,115,1172,112,0 86,0,2024-09-07 08:30:20:891,29056,0.7,29987,0.7,57566,0.7,77498,2.00 86,1,2024-09-07 08:30:20:826,196851,196851,0,0,92677241491,971531173,194270,2301,280,366,391961,0 86,2,2024-09-07 08:30:20:854,141879,141878,1,0,7482003,0,5004 86,3,2024-09-07 08:30:20:587,1,112,4,0,199,1341,112,0 87,0,2024-09-07 08:30:21:300,28169,2.2,28115,1.4,56313,3.5,75211,2.75 87,1,2024-09-07 08:30:20:552,196224,196224,0,0,91379077205,959575701,193902,2182,140,366,391788,0 87,2,2024-09-07 08:30:21:087,143391,143391,0,0,6164933,0,3515 87,3,2024-09-07 08:30:21:797,1,112,37,1,335,1840,112,0 88,0,2024-09-07 08:30:21:483,26071,0.7,26311,0.8,52268,0.8,70276,2.00 88,1,2024-09-07 08:30:20:570,195718,195718,0,0,91934817336,967945908,192988,1955,775,367,391787,0 88,2,2024-09-07 08:30:20:690,140191,140191,0,0,7230692,0,3583 88,3,2024-09-07 08:30:21:278,1,112,71,1,77,1248,112,0 89,0,2024-09-07 08:30:21:808,30048,0.5,29231,0.8,57862,0.5,78177,1.75 89,1,2024-09-07 08:30:20:571,195666,195666,0,0,92100177125,980272498,191151,3643,872,382,391866,0 89,2,2024-09-07 08:30:21:135,140636,140636,0,0,7228476,0,2901 89,3,2024-09-07 08:30:21:797,1,112,22,0,325,2302,112,0 90,0,2024-09-07 08:30:21:655,28512,0.4,29056,0.6,59852,0.4,77863,1.75 90,1,2024-09-07 08:30:20:591,196999,196999,0,0,91510618902,965742532,194275,2566,158,382,391825,0 90,2,2024-09-07 08:30:21:418,141129,141129,0,0,7905441,0,2635 90,3,2024-09-07 08:30:20:929,1,112,1,1,200,1257,112,0 91,0,2024-09-07 08:30:20:936,29393,0.5,28483,0.7,59092,0.6,78187,1.75 91,1,2024-09-07 08:30:20:556,196202,196202,0,0,92262922724,974142244,192907,2802,493,381,391914,0 91,2,2024-09-07 08:30:21:331,140264,140264,0,0,7758011,0,2445 91,3,2024-09-07 08:30:20:598,1,112,1,0,155,1456,112,0 92,0,2024-09-07 08:30:21:475,27234,0.8,27798,0.9,53104,0.9,72038,1.75 92,1,2024-09-07 08:30:20:586,196463,196463,0,0,92147107616,965887737,194557,1512,394,382,391717,0 92,2,2024-09-07 08:30:21:357,143441,143441,0,0,6115161,0,2279 92,3,2024-09-07 08:30:21:019,1,112,4,0,68,1080,112,0 93,0,2024-09-07 08:30:20:974,29019,0.4,29746,0.6,57028,0.4,77839,1.75 93,1,2024-09-07 08:30:20:812,196834,196834,0,0,92475239229,969947920,194422,1966,446,366,391689,0 93,2,2024-09-07 08:30:20:929,141171,141171,0,0,6164353,0,2509 93,3,2024-09-07 08:30:21:443,1,112,16,0,143,1183,112,0 94,0,2024-09-07 08:30:21:628,28127,0.3,28344,0.5,56312,0.3,74747,1.75 94,1,2024-09-07 08:30:20:563,196427,196427,0,0,92033679536,966430417,194364,1984,79,381,391850,0 94,2,2024-09-07 08:30:20:780,140700,140700,0,0,5892704,0,2443 94,3,2024-09-07 08:30:21:697,1,112,1,0,264,2059,112,0 95,0,2024-09-07 08:30:21:351,28116,0.4,28021,0.6,56410,0.4,74578,1.75 95,1,2024-09-07 08:30:20:854,196914,196914,0,0,92056749187,957770902,195892,958,64,367,391600,0 95,2,2024-09-07 08:30:21:016,140798,140798,0,0,6180462,0,3308 95,3,2024-09-07 08:30:21:714,1,112,2,0,307,1921,112,0 96,0,2024-09-07 08:30:21:028,27189,0.5,27239,0.7,54228,0.5,71926,1.75 96,1,2024-09-07 08:30:21:598,196842,196842,0,0,92085458373,967193797,194739,1532,571,385,391596,0 96,2,2024-09-07 08:30:21:281,144689,144689,0,0,6570288,0,4038 96,3,2024-09-07 08:30:21:143,1,112,37,0,188,1295,112,0 97,0,2024-09-07 08:30:21:383,26664,0.3,26796,0.5,53532,0.3,71305,1.75 97,1,2024-09-07 08:30:20:763,196869,196869,0,0,92753192441,968755368,194545,1805,519,367,392140,0 97,2,2024-09-07 08:30:20:609,140145,140145,0,0,5781079,0,3036 97,3,2024-09-07 08:30:20:570,1,112,8,1,165,1422,112,0 98,0,2024-09-07 08:30:21:703,27611,0.2,27346,0.4,55342,0.2,73350,1.50 98,1,2024-09-07 08:30:20:570,197012,197012,0,0,92237287383,964482662,195325,1604,83,382,391997,0 98,2,2024-09-07 08:30:20:769,141265,141265,0,0,5884726,0,3080 98,3,2024-09-07 08:30:20:700,1,112,27,1,840,2689,112,0 99,0,2024-09-07 08:30:21:494,28951,0.3,29034,0.5,57856,0.3,76791,1.75 99,1,2024-09-07 08:30:21:729,197717,197717,0,0,92439732962,964974157,196140,1131,446,381,391744,0 99,2,2024-09-07 08:30:21:417,142851,142851,0,0,6183756,0,1858 99,3,2024-09-07 08:30:20:581,1,112,2,0,129,859,112,0 100,0,2024-09-07 08:30:21:477,27525,1.3,27479,1.8,54857,3.7,73646,2.50 100,1,2024-09-07 08:30:20:551,195959,195959,0,0,92222573657,979122448,192325,3146,488,381,391989,0 100,2,2024-09-07 08:30:21:824,143875,143864,11,0,7449394,0,5417 100,3,2024-09-07 08:30:21:742,1,112,1,0,443,2463,112,0 101,0,2024-09-07 08:30:21:793,26707,2.9,25896,1.6,51234,2.4,71227,3.00 101,1,2024-09-07 08:30:20:551,195955,195955,0,0,92445443619,978735228,192471,2221,1263,368,391709,0 101,2,2024-09-07 08:30:21:779,139485,139485,0,0,7120979,0,4644 101,3,2024-09-07 08:30:20:942,1,112,11,0,448,1391,112,0 102,0,2024-09-07 08:30:20:949,28259,0.7,29294,0.8,58806,0.6,76806,2.00 102,1,2024-09-07 08:30:21:142,196198,196198,0,0,92012488993,969905745,193402,2280,516,369,391831,0 102,2,2024-09-07 08:30:21:745,141138,141138,0,0,5853728,0,2144 102,3,2024-09-07 08:30:21:622,1,112,1,0,410,1309,112,0 103,0,2024-09-07 08:30:21:605,30153,0.5,30093,0.7,56956,0.4,78057,1.75 103,1,2024-09-07 08:30:21:627,195960,195960,0,0,92028326987,970034317,193237,2011,712,381,391680,0 103,2,2024-09-07 08:30:20:582,142189,142189,0,0,6429414,0,2104 103,3,2024-09-07 08:30:20:759,1,112,0,0,916,2568,112,0 104,0,2024-09-07 08:30:21:011,29318,1.2,29349,1.2,57719,1.3,78680,2.25 104,1,2024-09-07 08:30:21:600,196765,196765,0,0,91960892932,972994598,193098,2973,694,366,391948,0 104,2,2024-09-07 08:30:21:668,141467,141467,0,0,7262665,0,3941 104,3,2024-09-07 08:30:21:419,1,112,8,1,1245,4583,112,0 105,0,2024-09-07 08:30:21:036,26102,1.5,25308,1.4,52736,2.3,70699,3.75 105,1,2024-09-07 08:30:20:561,196487,196487,0,0,92007221141,970313573,192815,2910,762,367,391797,0 105,2,2024-09-07 08:30:21:343,140492,140492,0,0,6524377,0,3314 105,3,2024-09-07 08:30:21:314,1,112,11,1,399,1950,112,0 106,0,2024-09-07 08:30:20:942,28302,0.7,28990,0.9,58921,0.6,77195,2.00 106,1,2024-09-07 08:30:21:752,196815,196815,0,0,91753275991,965334994,193944,2542,329,369,391767,0 106,2,2024-09-07 08:30:20:760,140764,140764,0,0,6697580,0,2795 106,3,2024-09-07 08:30:20:679,1,112,1,1,201,1199,112,0 107,0,2024-09-07 08:30:21:107,29055,0.5,28907,0.7,57499,0.4,77095,1.75 107,1,2024-09-07 08:30:20:587,196362,196362,0,0,91980367794,971451044,193696,2442,224,381,392234,0 107,2,2024-09-07 08:30:21:294,141016,141015,1,0,7097035,0,5024 107,3,2024-09-07 08:30:21:759,1,112,1,0,353,1538,112,0 108,0,2024-09-07 08:30:21:789,28218,0.5,28332,0.7,56090,0.5,74802,1.75 108,1,2024-09-07 08:30:21:302,196449,196449,0,0,92428655011,969077211,194172,1993,284,368,391857,0 108,2,2024-09-07 08:30:21:755,140365,140365,0,0,6590910,0,2647 108,3,2024-09-07 08:30:21:335,1,112,15,0,272,1739,112,0 109,0,2024-09-07 08:30:21:777,27063,0.6,27164,0.7,53956,0.6,72938,1.75 109,1,2024-09-07 08:30:20:602,196158,196158,0,0,92735376901,977234442,193843,1856,459,383,391812,0 109,2,2024-09-07 08:30:20:920,142440,142440,0,0,6819353,0,3617 109,3,2024-09-07 08:30:21:140,1,112,15,1,249,1739,112,0 110,0,2024-09-07 08:30:21:805,27502,0.3,26684,0.5,55868,0.2,73051,1.50 110,1,2024-09-07 08:30:21:666,197167,197167,0,0,92802658115,969581736,194955,1515,697,370,391667,0 110,2,2024-09-07 08:30:21:306,141035,141035,0,0,6083516,0,2915 110,3,2024-09-07 08:30:20:690,1,112,406,0,406,1802,112,0 111,0,2024-09-07 08:30:21:432,27890,0.2,27376,0.4,54934,0.1,73961,1.50 111,1,2024-09-07 08:30:21:000,197086,197086,0,0,92776237897,964665117,195668,1092,326,382,391690,0 111,2,2024-09-07 08:30:21:116,140099,140099,0,0,6751475,0,2763 111,3,2024-09-07 08:30:20:915,1,112,10,0,300,1907,112,0 112,0,2024-09-07 08:30:20:921,28751,0.4,28642,0.5,57258,0.3,76177,1.50 112,1,2024-09-07 08:30:20:832,196865,196865,0,0,92532906861,964347131,195175,1344,346,381,391580,0 112,2,2024-09-07 08:30:21:134,141262,141261,1,0,6467043,0,5036 112,3,2024-09-07 08:30:20:591,1,112,114,0,282,1431,112,0 113,0,2024-09-07 08:30:20:874,26916,0.5,26741,0.6,54368,0.4,72685,1.75 113,1,2024-09-07 08:30:21:686,196982,196982,0,0,92563387479,960694317,195023,1575,384,368,391661,0 113,2,2024-09-07 08:30:21:303,145829,145829,0,0,5826726,0,3813 113,3,2024-09-07 08:30:20:684,1,112,18,0,288,1780,112,0 114,0,2024-09-07 08:30:20:900,27244,1.0,27647,0.8,54270,0.5,73166,2.00 114,1,2024-09-07 08:30:20:722,196978,196978,0,0,92246821246,967052952,194191,1905,882,381,391531,0 114,2,2024-09-07 08:30:20:875,139976,139976,0,0,5868351,0,3925 114,3,2024-09-07 08:30:21:278,1,112,3,0,395,1749,112,0 115,0,2024-09-07 08:30:20:563,27941,0.3,28271,0.4,56602,0.2,74716,1.50 115,1,2024-09-07 08:30:20:572,196949,196949,0,0,92867891681,972830357,193868,2340,741,382,391602,0 115,2,2024-09-07 08:30:21:125,140838,140838,0,0,5451920,0,2152 115,3,2024-09-07 08:30:21:001,1,112,88,0,159,831,112,0 116,0,2024-09-07 08:30:21:821,29275,0.8,28875,0.9,58217,0.9,77414,2.00 116,1,2024-09-07 08:30:20:808,195757,195757,0,0,91783589990,976710132,192253,2118,1386,382,391619,0 116,2,2024-09-07 08:30:21:756,141243,141243,0,0,7490536,0,3529 116,3,2024-09-07 08:30:20:917,1,112,4,1,252,1664,112,0 117,0,2024-09-07 08:30:20:960,28209,1.6,28306,1.2,56144,2.8,75301,2.00 117,1,2024-09-07 08:30:21:586,196900,196900,0,0,91640048428,965666964,193842,2661,397,370,392033,0 117,2,2024-09-07 08:30:21:133,144579,144579,0,0,6288285,0,3700 117,3,2024-09-07 08:30:21:061,1,112,9,0,490,2520,112,0 118,0,2024-09-07 08:30:21:785,25511,0.7,26068,0.8,53306,0.7,69950,2.00 118,1,2024-09-07 08:30:20:585,196566,196566,0,0,92077970413,966981985,194336,1995,235,368,391736,0 118,2,2024-09-07 08:30:21:589,140690,140690,0,0,6591063,0,2781 118,3,2024-09-07 08:30:21:774,1,112,3,0,235,1643,112,0 119,0,2024-09-07 08:30:21:359,28916,1.0,29007,0.9,58836,1.4,77512,2.00 119,1,2024-09-07 08:30:20:551,196767,196767,0,0,91972955243,962668772,194664,1821,282,370,391641,0 119,2,2024-09-07 08:30:21:261,140021,140021,0,0,5924999,0,2532 119,3,2024-09-07 08:30:21:325,1,112,7,0,443,2466,112,0 120,0,2024-09-07 08:30:21:577,29049,0.5,29109,0.8,58121,0.5,77813,2.00 120,1,2024-09-07 08:30:20:881,196772,196772,0,0,91656932461,963577898,194691,2037,44,368,391702,0 120,2,2024-09-07 08:30:20:775,141503,141502,1,0,8379386,0,5281 120,3,2024-09-07 08:30:21:290,1,112,9,0,241,1715,112,0 121,0,2024-09-07 08:30:21:710,28817,1.8,29206,1.3,58155,3.0,78055,2.25 121,1,2024-09-07 08:30:21:655,196689,196689,0,0,92576742035,972178152,194191,2216,282,367,391840,0 121,2,2024-09-07 08:30:21:131,140658,140658,0,0,7949589,0,4127 121,3,2024-09-07 08:30:20:733,1,112,12,0,159,1430,112,0 122,0,2024-09-07 08:30:21:798,26681,1.6,25924,1.5,54093,1.9,71970,2.25 122,1,2024-09-07 08:30:20:859,195917,195917,0,0,92194138317,973464434,192376,2900,641,367,392130,0 122,2,2024-09-07 08:30:21:318,142164,142164,0,0,7786544,0,3364 122,3,2024-09-07 08:30:20:594,1,112,4,0,298,2230,112,0 123,0,2024-09-07 08:30:20:968,28862,0.9,28138,0.9,58833,1.1,77445,2.25 123,1,2024-09-07 08:30:20:558,197307,197307,0,0,92824302015,980759422,193462,3272,573,369,391823,0 123,2,2024-09-07 08:30:21:019,140194,140193,1,0,6744746,0,5215 123,3,2024-09-07 08:30:21:133,1,112,1,1,168,1746,112,0 124,0,2024-09-07 08:30:20:926,28898,0.3,28933,0.5,54518,0.2,74941,1.50 124,1,2024-09-07 08:30:21:030,196578,196578,0,0,92338308928,962498864,194858,1368,352,367,392178,0 124,2,2024-09-07 08:30:21:011,141268,141268,0,0,6150144,0,3101 124,3,2024-09-07 08:30:20:758,1,112,100,1,490,2015,112,0 125,0,2024-09-07 08:30:21:447,28152,0.5,28077,0.6,56345,0.5,74516,1.75 125,1,2024-09-07 08:30:20:856,196139,196139,0,0,92171160070,966505191,193890,1974,275,384,391702,0 125,2,2024-09-07 08:30:21:117,141012,141012,0,0,6004375,0,2180 125,3,2024-09-07 08:30:21:134,1,112,8,1,284,1634,112,0 126,0,2024-09-07 08:30:21:460,27133,0.9,27839,0.9,53356,1.0,72420,2.00 126,1,2024-09-07 08:30:20:552,197309,197309,0,0,92714722326,963893758,195853,1380,76,365,391987,0 126,2,2024-09-07 08:30:20:610,145262,145262,0,0,6225528,0,3186 126,3,2024-09-07 08:30:20:917,1,112,13,0,136,1530,112,0 127,0,2024-09-07 08:30:21:646,26539,0.4,26753,0.5,53621,0.4,71452,1.75 127,1,2024-09-07 08:30:20:575,197172,197172,0,0,92780295418,964448578,195441,1697,34,365,391816,0 127,2,2024-09-07 08:30:20:646,140474,140474,0,0,5464090,0,1803 127,3,2024-09-07 08:30:21:277,1,112,0,0,99,833,112,0 128,0,2024-09-07 08:30:21:553,27747,0.3,27726,0.4,55114,0.2,73271,1.50 128,1,2024-09-07 08:30:21:610,197135,197135,0,0,92817597418,962561410,196371,693,71,367,391680,0 128,2,2024-09-07 08:30:21:391,139702,139702,0,0,5919751,0,2107 128,3,2024-09-07 08:30:20:771,1,112,5,0,247,1412,112,0 129,0,2024-09-07 08:30:21:010,29098,0.3,29062,0.5,57847,0.3,76710,1.75 129,1,2024-09-07 08:30:20:567,196225,196225,0,0,92584837525,971550771,193963,1975,287,379,391835,0 129,2,2024-09-07 08:30:20:699,141633,141633,0,0,5963495,0,4031 129,3,2024-09-07 08:30:20:700,1,112,16,1,173,1574,112,0 130,0,2024-09-07 08:30:21:751,27966,1.2,27690,0.9,55533,1.7,74166,2.00 130,1,2024-09-07 08:30:20:599,196825,196825,0,0,92578408922,967557636,195126,1648,51,381,391825,0 130,2,2024-09-07 08:30:21:132,144338,144338,0,0,6225492,0,4067 130,3,2024-09-07 08:30:21:293,1,112,4,0,207,1136,112,0 131,0,2024-09-07 08:30:21:944,26388,0.5,26381,0.6,53499,0.5,71337,1.75 131,1,2024-09-07 08:30:21:824,197702,197702,0,0,91920378614,959365496,196363,1134,205,384,391865,0 131,2,2024-09-07 08:30:20:567,140485,140485,0,0,5501450,0,2415 131,3,2024-09-07 08:30:21:688,1,112,40,0,392,1408,112,0 132,0,2024-09-07 08:30:21:440,28668,0.5,29098,0.8,58312,0.5,77174,1.75 132,1,2024-09-07 08:30:20:576,196141,196141,0,0,91847262452,971778839,192629,2755,757,381,391719,0 132,2,2024-09-07 08:30:20:700,139807,139807,0,0,8054683,0,4606 132,3,2024-09-07 08:30:21:708,1,112,4,0,356,1964,112,0 133,0,2024-09-07 08:30:21:556,28580,0.5,29146,0.6,59936,0.4,77305,2.00 133,1,2024-09-07 08:30:20:587,195370,195370,0,0,92284827186,975620034,192421,2771,178,383,391755,0 133,2,2024-09-07 08:30:21:089,142338,142338,0,0,7826990,0,4315 133,3,2024-09-07 08:30:21:298,1,112,11,1,187,1063,112,0 134,0,2024-09-07 08:30:20:947,29391,0.7,29216,0.9,58971,0.8,78487,2.00 134,1,2024-09-07 08:30:20:594,196270,196270,0,0,91400099405,961916846,192893,2288,1089,366,391718,0 134,2,2024-09-07 08:30:21:767,142068,142068,0,0,6342039,0,3096 134,3,2024-09-07 08:30:20:755,1,112,4,0,739,2359,112,0 135,0,2024-09-07 08:30:21:104,25804,1.0,25696,1.2,54303,1.1,70588,2.25 135,1,2024-09-07 08:30:21:586,196177,196177,0,0,92571114258,977033347,192831,2646,700,380,391725,0 135,2,2024-09-07 08:30:20:698,141341,141341,0,0,6769192,0,3981 135,3,2024-09-07 08:30:21:001,1,112,3,0,89,735,112,0 136,0,2024-09-07 08:30:21:661,29442,0.6,29226,0.8,58889,0.6,78303,2.00 136,1,2024-09-07 08:30:21:454,196268,196268,0,0,92219785308,969926204,193564,2539,165,384,391641,0 136,2,2024-09-07 08:30:21:137,141926,141926,0,0,6727537,0,3506 136,3,2024-09-07 08:30:21:108,1,112,9,0,108,1039,112,0 137,0,2024-09-07 08:30:20:934,29615,0.6,28747,0.7,57119,0.5,77218,2.00 137,1,2024-09-07 08:30:20:575,196597,196597,0,0,92419461155,968743156,194038,2380,179,367,391608,0 137,2,2024-09-07 08:30:21:707,141150,141150,0,0,8122178,0,3185 137,3,2024-09-07 08:30:20:769,1,112,9,1,227,1469,112,0 138,0,2024-09-07 08:30:21:781,27453,2.1,27711,1.4,55976,3.4,74729,2.75 138,1,2024-09-07 08:30:21:685,196451,196451,0,0,92474230939,971871082,193558,2545,348,369,391954,0 138,2,2024-09-07 08:30:20:587,141209,141209,0,0,6570730,0,3263 138,3,2024-09-07 08:30:20:610,1,112,1,0,1160,2177,112,0 139,0,2024-09-07 08:30:21:363,26261,3.7,26334,2.0,53416,4.9,72151,3.50 139,1,2024-09-07 08:30:20:571,196052,196052,0,0,91584483516,977236957,191755,3099,1198,381,391892,0 139,2,2024-09-07 08:30:20:691,142331,142331,0,0,7595805,0,3097 139,3,2024-09-07 08:30:21:663,1,112,3,0,244,1576,112,0 140,0,2024-09-07 08:30:21:609,27532,0.3,27416,0.5,54983,0.2,73256,1.50 140,1,2024-09-07 08:30:21:537,197332,197332,0,0,93062794423,962229574,196184,933,215,365,391606,0 140,2,2024-09-07 08:30:20:689,141524,141524,0,0,6476545,0,3388 140,3,2024-09-07 08:30:20:772,1,112,2,0,39,770,112,0 141,0,2024-09-07 08:30:21:719,27440,0.2,28431,0.4,54585,0.1,74035,1.50 141,1,2024-09-07 08:30:20:862,196853,196853,0,0,92661821481,965131029,195161,1340,352,379,391539,0 141,2,2024-09-07 08:30:21:686,140170,140170,0,0,5817050,0,2342 141,3,2024-09-07 08:30:21:048,1,112,20,0,147,899,112,0 142,0,2024-09-07 08:30:21:367,29006,0.3,28660,0.5,57053,0.3,76358,1.75 142,1,2024-09-07 08:30:20:588,197126,197126,0,0,92893341355,969365034,196033,960,133,384,391649,0 142,2,2024-09-07 08:30:21:307,139868,139836,32,0,7353390,0,6028 142,3,2024-09-07 08:30:21:747,1,112,1,0,484,1845,112,0 143,0,2024-09-07 08:30:21:421,27024,0.9,27168,0.8,54664,1.1,72559,2.00 143,1,2024-09-07 08:30:20:564,196630,196630,0,0,92143499463,960054766,194720,1870,40,367,391619,0 143,2,2024-09-07 08:30:20:772,144591,144591,0,0,6541944,0,2669 143,3,2024-09-07 08:30:21:149,1,112,3,0,303,2119,112,0 144,0,2024-09-07 08:30:21:566,26211,0.7,26918,1.5,54691,0.6,73016,2.00 144,1,2024-09-07 08:30:20:566,195968,195968,0,0,91792753338,965213521,194142,1627,199,381,391638,0 144,2,2024-09-07 08:30:21:764,140529,140529,0,0,5893855,0,3473 144,3,2024-09-07 08:30:21:753,1,112,2,0,249,1708,112,0 145,0,2024-09-07 08:30:21:362,27177,0.6,27066,0.9,57342,0.5,74635,2.00 145,1,2024-09-07 08:30:20:553,195519,195519,0,0,92309993045,976249871,192140,2748,631,383,391615,0 145,2,2024-09-07 08:30:21:444,140396,140396,0,0,6779079,0,3903 145,3,2024-09-07 08:30:20:902,1,112,11,0,151,1442,112,0 146,0,2024-09-07 08:30:21:608,29059,0.5,28851,0.8,58222,0.5,76986,2.25 146,1,2024-09-07 08:30:21:588,196584,196584,0,0,92358555491,975803884,192480,3309,795,368,391600,0 146,2,2024-09-07 08:30:21:705,141682,141682,0,0,6635743,0,2379 146,3,2024-09-07 08:30:21:277,1,112,2,0,1520,3727,112,0 147,0,2024-09-07 08:30:21:727,28177,2.1,28002,1.4,55456,2.9,75201,2.75 147,1,2024-09-07 08:30:21:387,197427,197427,0,0,93313902831,973322336,195202,1834,391,368,391791,0 147,2,2024-09-07 08:30:21:016,143870,143870,0,0,6412890,0,2789 147,3,2024-09-07 08:30:20:922,1,112,24,0,141,1250,112,0 0,0,2024-09-07 08:30:31:751,28495,0.5,28551,0.7,60342,0.5,77986,1.75 0,1,2024-09-07 08:30:30:803,198292,198292,0,0,92952450260,976177177,196827,1336,129,372,391692,0 0,2,2024-09-07 08:30:31:066,143518,143518,0,0,6463022,0,4480 0,3,2024-09-07 08:30:30:978,1,113,1,0,247,1814,113,0 1,0,2024-09-07 08:30:31:762,29401,2.1,29085,1.5,58625,2.8,78333,2.50 1,1,2024-09-07 08:30:30:557,197615,197615,0,0,92294614768,972796915,194811,2176,628,372,391857,0 1,2,2024-09-07 08:30:30:638,142815,142815,0,0,6119049,0,3267 1,3,2024-09-07 08:30:31:308,1,113,11,0,262,1548,113,0 2,0,2024-09-07 08:30:31:585,26910,1.1,27056,1.1,53695,1.5,72277,2.50 2,1,2024-09-07 08:30:30:861,198232,198232,0,0,93250160227,971563932,197022,1005,205,380,391558,0 2,2,2024-09-07 08:30:31:267,142346,142346,0,0,6079784,0,3304 2,3,2024-09-07 08:30:30:691,1,113,1,0,214,1135,113,0 3,0,2024-09-07 08:30:31:747,28986,0.6,29088,0.8,57891,0.6,77341,2.00 3,1,2024-09-07 08:30:31:618,197924,197924,0,0,93424199982,978428152,195380,2142,402,382,391516,0 3,2,2024-09-07 08:30:31:148,142585,142562,23,0,6592053,0,5851 3,3,2024-09-07 08:30:31:751,1,113,34,0,103,848,113,0 4,0,2024-09-07 08:30:31:767,27602,0.3,28363,0.4,57395,0.2,75559,1.50 4,1,2024-09-07 08:30:30:591,198327,198327,0,0,93082079764,982316087,195829,1979,519,371,391846,0 4,2,2024-09-07 08:30:31:021,141510,141510,0,0,7699602,0,4528 4,3,2024-09-07 08:30:31:031,1,113,2,0,287,1734,113,0 5,0,2024-09-07 08:30:31:419,28306,0.6,28156,0.7,56594,0.7,74957,1.75 5,1,2024-09-07 08:30:30:765,197440,197440,0,0,92808286601,981514839,194014,2492,934,368,392005,0 5,2,2024-09-07 08:30:31:830,141689,141689,0,0,6432723,0,2259 5,3,2024-09-07 08:30:31:735,1,113,19,0,238,1949,113,0 6,0,2024-09-07 08:30:30:962,27684,0.7,27383,0.9,54338,0.8,73512,2.25 6,1,2024-09-07 08:30:30:754,198853,198853,0,0,93256374498,974030179,197292,1486,75,381,391603,0 6,2,2024-09-07 08:30:31:120,145770,145770,0,0,6271516,0,2411 6,3,2024-09-07 08:30:31:276,1,113,1,0,340,1797,113,0 7,0,2024-09-07 08:30:31:550,26873,0.4,26891,0.6,54098,0.3,72014,1.75 7,1,2024-09-07 08:30:30:850,198771,198771,0,0,93384359191,977004450,197018,1687,66,383,391664,0 7,2,2024-09-07 08:30:30:772,141206,141206,0,0,5816357,0,2981 7,3,2024-09-07 08:30:30:864,1,113,3,0,305,1337,113,0 8,0,2024-09-07 08:30:31:362,27716,0.3,27925,0.5,55189,0.2,74157,1.50 8,1,2024-09-07 08:30:31:036,198003,198003,0,0,92663818108,982763606,194058,2660,1285,368,391724,0 8,2,2024-09-07 08:30:30:790,139842,139842,0,0,7538975,0,2986 8,3,2024-09-07 08:30:30:586,1,113,1,0,357,1879,113,0 9,0,2024-09-07 08:30:31:171,29068,0.4,28329,0.5,59125,0.3,77108,1.75 9,1,2024-09-07 08:30:30:554,197834,197834,0,0,93308392823,989266668,194062,2765,1007,370,391738,0 9,2,2024-09-07 08:30:31:083,142056,142056,0,0,7254970,0,3360 9,3,2024-09-07 08:30:31:752,1,113,11,0,496,1908,113,0 10,0,2024-09-07 08:30:31:620,27869,0.5,27864,0.7,55844,0.5,74624,1.75 10,1,2024-09-07 08:30:30:587,198243,198243,0,0,92931936247,979312876,194593,3126,524,381,391741,0 10,2,2024-09-07 08:30:30:762,145695,145695,0,0,6982639,0,2940 10,3,2024-09-07 08:30:30:872,1,113,2,0,136,846,113,0 11,0,2024-09-07 08:30:31:017,26342,0.5,25723,0.7,53966,0.4,72654,2.00 11,1,2024-09-07 08:30:30:571,197817,197817,0,0,92833434465,980611240,193700,2987,1130,384,391537,0 11,2,2024-09-07 08:30:31:133,140935,140935,0,0,6546665,0,3411 11,3,2024-09-07 08:30:31:307,1,113,6,0,720,2723,113,0 12,0,2024-09-07 08:30:31:001,29346,0.3,29215,0.5,58628,0.3,77453,1.50 12,1,2024-09-07 08:30:30:942,197830,197830,0,0,92399667829,971356569,195048,2372,410,371,391837,0 12,2,2024-09-07 08:30:31:570,142222,142222,0,0,7138365,0,3469 12,3,2024-09-07 08:30:31:059,1,113,1,0,358,2097,113,0 13,0,2024-09-07 08:30:31:337,29476,0.4,29571,0.5,59015,0.4,78205,1.75 13,1,2024-09-07 08:30:31:534,198704,198704,0,0,93101806052,979894876,196800,1528,376,384,391717,0 13,2,2024-09-07 08:30:30:598,144323,144323,0,0,6541433,0,3287 13,3,2024-09-07 08:30:31:773,1,113,25,1,416,2210,113,0 14,0,2024-09-07 08:30:30:568,29613,0.6,29792,0.8,58964,0.6,78295,2.00 14,1,2024-09-07 08:30:31:576,199677,199677,0,0,94205924434,979991432,197875,1641,161,364,391571,0 14,2,2024-09-07 08:30:30:764,143534,143534,0,0,6670319,0,2896 14,3,2024-09-07 08:30:31:118,1,113,12,0,1168,2582,113,0 15,0,2024-09-07 08:30:31:557,26806,0.6,26725,0.9,53518,0.6,71679,2.25 15,1,2024-09-07 08:30:31:613,197978,197978,0,0,92866639910,968525190,196675,1243,60,381,391536,0 15,2,2024-09-07 08:30:31:006,142537,142537,0,0,5316364,0,3043 15,3,2024-09-07 08:30:31:406,1,113,10,0,1126,3756,113,0 16,0,2024-09-07 08:30:31:043,29251,0.7,29632,0.8,58625,0.7,78360,2.25 16,1,2024-09-07 08:30:30:562,198383,198383,0,0,93033620360,973844193,197133,1222,28,372,391756,0 16,2,2024-09-07 08:30:31:450,141567,141567,0,0,6890408,0,4719 16,3,2024-09-07 08:30:31:161,1,113,21,0,231,1709,113,0 17,0,2024-09-07 08:30:31:775,30046,0.5,29267,0.7,57537,0.4,77729,2.00 17,1,2024-09-07 08:30:30:569,197688,197688,0,0,92719912492,978951957,195173,1985,530,369,391688,0 17,2,2024-09-07 08:30:31:667,144075,144075,0,0,6150102,0,2857 17,3,2024-09-07 08:30:30:578,1,113,10,0,268,1945,113,0 18,0,2024-09-07 08:30:30:952,27582,1.1,28019,1.0,56310,1.3,74793,2.25 18,1,2024-09-07 08:30:31:653,198436,198436,0,0,92898711695,966983866,196891,1311,234,368,391564,0 18,2,2024-09-07 08:30:31:755,142486,142486,0,0,6553811,0,3541 18,3,2024-09-07 08:30:30:896,1,113,2,0,163,1294,113,0 19,0,2024-09-07 08:30:31:548,27086,1.4,27213,1.2,53856,1.6,71910,3.75 19,1,2024-09-07 08:30:30:566,198783,198783,0,0,93689935270,976993845,196734,1883,166,367,391777,0 19,2,2024-09-07 08:30:31:752,144794,144794,0,0,5930206,0,3988 19,3,2024-09-07 08:30:31:148,1,113,26,0,524,1338,113,0 20,0,2024-09-07 08:30:31:380,27930,0.5,27824,0.6,55764,0.4,73745,2.00 20,1,2024-09-07 08:30:30:569,198506,198506,0,0,93351077152,978944670,196501,1828,177,370,391598,0 20,2,2024-09-07 08:30:30:928,141995,141995,0,0,6110312,0,3721 20,3,2024-09-07 08:30:30:589,1,113,1,0,414,2408,113,0 21,0,2024-09-07 08:30:31:177,28272,0.3,28147,0.5,56119,0.3,74575,1.75 21,1,2024-09-07 08:30:31:555,197822,197822,0,0,92389581900,974214814,195241,2076,505,368,391962,0 21,2,2024-09-07 08:30:31:066,141977,141977,0,0,6469060,0,3747 21,3,2024-09-07 08:30:31:416,1,113,13,0,93,1498,113,0 22,0,2024-09-07 08:30:31:732,28457,1.1,28444,1.1,56794,1.3,75667,2.50 22,1,2024-09-07 08:30:31:023,198038,198038,0,0,92489299353,980697378,193457,3208,1373,382,391667,0 22,2,2024-09-07 08:30:30:760,142122,142122,0,0,5890804,0,3134 22,3,2024-09-07 08:30:31:067,1,113,1,0,228,1264,113,0 23,0,2024-09-07 08:30:31:374,27140,0.7,27382,0.8,54844,0.7,73111,2.25 23,1,2024-09-07 08:30:31:006,199258,199258,0,0,93717424388,977893744,196964,1723,571,365,391549,0 23,2,2024-09-07 08:30:31:097,145958,145958,0,0,5978881,0,2078 23,3,2024-09-07 08:30:31:755,1,113,4,0,645,1610,113,0 24,0,2024-09-07 08:30:30:870,27794,0.5,27713,0.7,55575,0.5,74088,1.75 24,1,2024-09-07 08:30:30:585,198023,198023,0,0,92874707278,975677329,195649,1610,764,369,391640,0 24,2,2024-09-07 08:30:31:077,140999,140999,0,0,7606575,0,2942 24,3,2024-09-07 08:30:31:700,1,113,8,0,234,1509,113,0 25,0,2024-09-07 08:30:31:360,29025,0.4,28338,0.6,55465,0.4,75459,1.75 25,1,2024-09-07 08:30:30:559,197990,197990,0,0,92769798887,976787685,195228,2318,444,371,391788,0 25,2,2024-09-07 08:30:31:624,140344,140344,0,0,7611053,0,3978 25,3,2024-09-07 08:30:31:014,1,113,9,0,158,1314,113,0 26,0,2024-09-07 08:30:31:735,28994,0.4,28333,0.6,59450,0.3,77599,1.75 26,1,2024-09-07 08:30:31:551,198857,198857,0,0,92520807753,976373604,194990,3023,844,381,391564,0 26,2,2024-09-07 08:30:30:865,142953,142953,0,0,7045349,0,2809 26,3,2024-09-07 08:30:31:719,1,113,11,0,796,1474,113,0 27,0,2024-09-07 08:30:31:729,28392,0.6,28653,0.7,56733,0.6,75631,1.75 27,1,2024-09-07 08:30:31:700,199094,199094,0,0,94064955290,979629806,197351,1421,322,381,391539,0 27,2,2024-09-07 08:30:30:868,143777,143777,0,0,7795449,0,3409 27,3,2024-09-07 08:30:31:015,1,113,1,0,564,1455,113,0 28,0,2024-09-07 08:30:31:405,26283,0.6,26708,0.8,53345,0.8,71544,2.25 28,1,2024-09-07 08:30:30:796,198576,198576,0,0,93681192217,977460815,197111,1263,202,383,391646,0 28,2,2024-09-07 08:30:31:764,141561,141561,0,0,5594174,0,2915 28,3,2024-09-07 08:30:31:777,1,113,2,0,502,1402,113,0 29,0,2024-09-07 08:30:31:382,30035,0.4,29271,0.6,57348,0.4,78328,1.75 29,1,2024-09-07 08:30:31:576,199377,199377,0,0,93118642876,968890397,197284,1563,530,369,391621,0 29,2,2024-09-07 08:30:30:863,142037,142037,0,0,5622051,0,4018 29,3,2024-09-07 08:30:30:964,1,113,8,0,105,938,113,0 30,0,2024-09-07 08:30:31:462,29307,0.7,28497,0.8,59549,0.7,78017,2.25 30,1,2024-09-07 08:30:30:572,198831,198831,0,0,93594100068,977201762,196961,1592,278,382,391672,0 30,2,2024-09-07 08:30:31:277,144257,144257,0,0,5875776,0,3161 30,3,2024-09-07 08:30:30:586,1,113,28,0,195,905,113,0 31,0,2024-09-07 08:30:31:770,29010,0.9,29093,0.9,58861,1.0,78087,2.25 31,1,2024-09-07 08:30:30:565,199779,199779,0,0,93505943674,958833511,199453,324,2,356,391553,0 31,2,2024-09-07 08:30:31:278,143142,143142,0,0,7034292,0,3525 31,3,2024-09-07 08:30:31:709,1,113,220,0,220,1070,113,0 32,0,2024-09-07 08:30:31:515,27222,0.7,27397,0.9,54777,0.7,73033,1.75 32,1,2024-09-07 08:30:30:814,199265,199265,0,0,93135387411,970583152,197590,1400,275,382,391595,0 32,2,2024-09-07 08:30:30:946,143051,143051,0,0,5672631,0,3155 32,3,2024-09-07 08:30:31:020,1,113,1,0,227,1252,113,0 33,0,2024-09-07 08:30:31:503,29474,0.4,29170,0.6,58948,0.3,78303,1.75 33,1,2024-09-07 08:30:30:578,199539,199539,0,0,93708961146,973324835,197684,1732,123,369,391730,0 33,2,2024-09-07 08:30:30:759,142598,142565,33,0,7592111,0,7012 33,3,2024-09-07 08:30:30:895,1,113,8,0,211,1125,113,0 34,0,2024-09-07 08:30:30:936,28417,0.3,29328,0.5,56202,0.2,75521,1.75 34,1,2024-09-07 08:30:31:044,199344,199344,0,0,93742079986,967412044,198560,779,5,367,391547,0 34,2,2024-09-07 08:30:30:766,141908,141908,0,0,6341359,0,3255 34,3,2024-09-07 08:30:31:688,1,113,1,0,148,820,113,0 35,0,2024-09-07 08:30:30:870,28032,0.6,28382,0.7,56335,0.6,75069,1.75 35,1,2024-09-07 08:30:31:066,198521,198521,0,0,93012268534,967462220,196849,1310,362,384,391587,0 35,2,2024-09-07 08:30:31:589,141845,141845,0,0,5726187,0,2542 35,3,2024-09-07 08:30:30:907,1,113,4,0,219,1305,113,0 36,0,2024-09-07 08:30:31:526,27405,1.0,27320,1.1,55216,1.2,73381,2.50 36,1,2024-09-07 08:30:30:599,198490,198490,0,0,93107853935,976055984,195368,2190,932,366,391759,0 36,2,2024-09-07 08:30:31:753,144646,144646,0,0,7160161,0,3303 36,3,2024-09-07 08:30:30:863,1,113,10,0,378,1227,113,0 37,0,2024-09-07 08:30:31:398,27014,0.4,27000,0.5,53942,0.3,72136,1.75 37,1,2024-09-07 08:30:30:569,198072,198065,0,7,93032137051,980744837,194660,1863,1542,365,391518,0 37,2,2024-09-07 08:30:31:145,142228,142228,0,0,5639460,0,2333 37,3,2024-09-07 08:30:31:766,1,113,12,0,724,2246,113,0 38,0,2024-09-07 08:30:31:447,27663,0.4,26839,0.6,56045,0.3,73583,1.75 38,1,2024-09-07 08:30:31:613,199330,199330,0,0,92731102238,971438390,196428,2381,521,368,391821,0 38,2,2024-09-07 08:30:30:760,141934,141934,0,0,6683477,0,3245 38,3,2024-09-07 08:30:30:997,1,113,24,0,603,1669,113,0 39,0,2024-09-07 08:30:31:770,29805,0.8,29137,0.8,56931,0.9,77263,2.00 39,1,2024-09-07 08:30:30:716,198604,198604,0,0,93379545721,976950726,195614,2322,668,365,391524,0 39,2,2024-09-07 08:30:31:417,142676,142676,0,0,6118473,0,2689 39,3,2024-09-07 08:30:30:714,1,113,1,0,276,1659,113,0 40,0,2024-09-07 08:30:31:505,27270,1.2,27884,1.7,55270,1.8,73989,3.50 40,1,2024-09-07 08:30:30:579,198085,198085,0,0,92441179551,973510944,194209,3111,765,369,391591,0 40,2,2024-09-07 08:30:31:307,145586,145585,1,0,8047864,0,5137 40,3,2024-09-07 08:30:31:143,1,113,2,0,181,1345,113,0 41,0,2024-09-07 08:30:31:034,26299,1.6,26887,1.8,51413,2.9,71163,4.00 41,1,2024-09-07 08:30:30:770,198520,198520,0,0,93468712019,982757307,194940,3063,517,370,391742,0 41,2,2024-09-07 08:30:30:771,140638,140638,0,0,7277833,0,3356 41,3,2024-09-07 08:30:31:694,1,113,16,0,366,1523,113,0 42,0,2024-09-07 08:30:31:498,28560,0.6,28844,0.9,57246,0.6,75617,2.25 42,1,2024-09-07 08:30:31:462,197725,197725,0,0,93260665864,982668772,194333,2556,836,380,391675,0 42,2,2024-09-07 08:30:31:144,140801,140801,0,0,7442097,0,3568 42,3,2024-09-07 08:30:31:012,1,113,14,0,100,850,113,0 43,0,2024-09-07 08:30:30:924,29444,0.8,28645,1.0,59700,0.9,78275,2.25 43,1,2024-09-07 08:30:30:580,197830,197830,0,0,93384497395,976582182,195210,1868,752,366,391604,0 43,2,2024-09-07 08:30:31:743,143934,143934,0,0,7252484,0,3812 43,3,2024-09-07 08:30:31:752,1,113,7,0,325,1881,113,0 44,0,2024-09-07 08:30:30:867,29737,0.6,29595,0.9,59327,0.6,78896,2.00 44,1,2024-09-07 08:30:30:563,198931,198931,0,0,92758368151,960921289,197502,1300,129,356,391809,0 44,2,2024-09-07 08:30:31:270,143322,143322,0,0,5676386,0,1877 44,3,2024-09-07 08:30:31:095,1,113,0,0,817,1490,113,0 45,0,2024-09-07 08:30:31:791,26446,1.0,25861,1.1,54214,1.0,72099,2.00 45,1,2024-09-07 08:30:31:005,198756,198756,0,0,93358200882,970644933,197759,988,9,382,391917,0 45,2,2024-09-07 08:30:31:275,142435,142435,0,0,6127732,0,3596 45,3,2024-09-07 08:30:30:934,1,113,7,0,226,1085,113,0 46,0,2024-09-07 08:30:30:954,29199,0.4,29171,0.7,58522,0.3,77473,1.75 46,1,2024-09-07 08:30:30:580,199165,199165,0,0,93155595590,965290030,197731,1328,106,366,391514,0 46,2,2024-09-07 08:30:30:597,142957,142957,0,0,6068527,0,2920 46,3,2024-09-07 08:30:31:133,1,113,1,0,363,1740,113,0 47,0,2024-09-07 08:30:31:127,29133,0.4,29402,0.5,58838,0.3,77527,1.75 47,1,2024-09-07 08:30:30:568,199233,199233,0,0,92945056489,961280887,198279,944,10,366,391605,0 47,2,2024-09-07 08:30:30:910,143772,143772,0,0,6416795,0,2558 47,3,2024-09-07 08:30:31:118,1,113,3,0,529,1317,113,0 48,0,2024-09-07 08:30:31:557,28554,0.3,28358,0.5,56532,0.3,75584,1.75 48,1,2024-09-07 08:30:31:023,198813,198813,0,0,93498045319,972908877,197339,1467,7,384,391710,0 48,2,2024-09-07 08:30:30:702,142239,142239,0,0,5204939,0,2083 48,3,2024-09-07 08:30:30:753,1,113,3,0,339,1204,113,0 49,0,2024-09-07 08:30:31:725,28082,0.7,27395,0.8,53658,0.8,73655,2.00 49,1,2024-09-07 08:30:31:021,197942,197942,0,0,93424938836,976783540,195981,1241,720,382,391583,0 49,2,2024-09-07 08:30:31:807,144356,144356,0,0,6114206,0,3900 49,3,2024-09-07 08:30:31:416,1,113,20,0,274,1143,113,0 50,0,2024-09-07 08:30:31:520,28097,0.2,27570,0.4,55418,0.2,74185,1.50 50,1,2024-09-07 08:30:31:028,199688,199688,0,0,93748892708,974909519,197734,1657,297,368,391530,0 50,2,2024-09-07 08:30:31:066,142211,142211,0,0,5557609,0,2253 50,3,2024-09-07 08:30:31:293,1,113,1,0,335,1102,113,0 51,0,2024-09-07 08:30:31:737,28725,0.3,28163,0.4,55078,0.2,74877,1.50 51,1,2024-09-07 08:30:31:691,198643,198643,0,0,94160180259,977900063,196742,1117,784,367,391637,0 51,2,2024-09-07 08:30:31:316,141803,141803,0,0,5007891,0,2448 51,3,2024-09-07 08:30:31:029,1,113,0,0,162,748,113,0 52,0,2024-09-07 08:30:31:433,28756,0.6,28800,0.8,57815,0.7,76185,2.25 52,1,2024-09-07 08:30:30:578,198389,198389,0,0,93054892670,980754901,194504,3177,708,368,391722,0 52,2,2024-09-07 08:30:31:759,141205,141205,0,0,6758943,0,4779 52,3,2024-09-07 08:30:30:674,1,113,4,0,1782,2735,113,0 53,0,2024-09-07 08:30:31:772,27176,1.2,26272,1.2,54845,1.4,72358,2.75 53,1,2024-09-07 08:30:30:772,198210,198210,0,0,93317179219,978884725,195116,2513,581,370,391617,0 53,2,2024-09-07 08:30:31:297,146486,146486,0,0,5687921,0,1902 53,3,2024-09-07 08:30:30:697,1,113,13,0,133,918,113,0 54,0,2024-09-07 08:30:31:624,26789,1.5,27215,1.0,53654,0.6,73201,3.50 54,1,2024-09-07 08:30:30:590,198374,198374,0,0,93441624769,977944488,195199,2631,544,367,391520,0 54,2,2024-09-07 08:30:30:865,141630,141624,6,0,6582506,0,5382 54,3,2024-09-07 08:30:30:763,1,113,1,0,676,2302,113,0 55,0,2024-09-07 08:30:31:764,27175,0.5,27933,0.8,56733,0.4,73309,2.25 55,1,2024-09-07 08:30:30:764,197736,197736,0,0,92831509879,975807917,193339,3612,785,367,391731,0 55,2,2024-09-07 08:30:30:731,141088,141088,0,0,6532941,0,3275 55,3,2024-09-07 08:30:30:675,1,113,18,0,304,1355,113,0 56,0,2024-09-07 08:30:31:582,29901,1.5,28330,1.2,58159,2.1,77825,2.50 56,1,2024-09-07 08:30:30:606,197918,197918,0,0,93149401157,991486970,193405,3663,850,382,391678,0 56,2,2024-09-07 08:30:31:311,143100,143100,0,0,7729633,0,3567 56,3,2024-09-07 08:30:31:061,1,113,11,0,297,1566,113,0 57,0,2024-09-07 08:30:31:006,27817,2.2,27695,1.5,55709,3.3,74400,4.00 57,1,2024-09-07 08:30:31:007,198169,198169,0,0,92560918459,971846594,195759,2240,170,368,391773,0 57,2,2024-09-07 08:30:31:333,145072,145072,0,0,7741934,0,3178 57,3,2024-09-07 08:30:31:752,1,113,13,0,359,2371,113,0 58,0,2024-09-07 08:30:30:563,25692,1.0,25059,1.1,52561,1.0,69384,2.75 58,1,2024-09-07 08:30:30:579,199228,199225,0,3,93637079808,977929667,196826,2171,228,369,391516,3 58,2,2024-09-07 08:30:31:071,141548,141548,0,0,6695440,0,2549 58,3,2024-09-07 08:30:31:067,1,113,1,0,219,1048,113,0 59,0,2024-09-07 08:30:31:760,29302,0.9,28924,1.0,58166,1.0,77099,2.75 59,1,2024-09-07 08:30:30:808,198367,198367,0,0,93129340784,979518672,195002,2443,922,369,391515,0 59,2,2024-09-07 08:30:30:587,142697,142697,0,0,6155843,0,2604 59,3,2024-09-07 08:30:31:741,1,113,36,0,1015,2453,113,0 60,0,2024-09-07 08:30:31:755,29651,0.4,29760,0.6,58775,0.4,78206,1.75 60,1,2024-09-07 08:30:30:815,200006,200006,0,0,93374163006,971043399,198759,895,352,370,391761,0 60,2,2024-09-07 08:30:31:167,143311,143311,0,0,6988864,0,3811 60,3,2024-09-07 08:30:31:257,1,113,0,0,124,1187,113,0 61,0,2024-09-07 08:30:31:505,29117,1.7,29343,1.2,58548,2.7,78391,2.25 61,1,2024-09-07 08:30:30:773,198131,198131,0,0,93602493653,985369741,195282,2312,537,382,391589,0 61,2,2024-09-07 08:30:31:122,143414,143414,0,0,5997121,0,1846 61,3,2024-09-07 08:30:31:710,1,113,8,0,199,1536,113,0 62,0,2024-09-07 08:30:31:750,27284,1.7,27873,1.2,53332,2.9,72861,2.25 62,1,2024-09-07 08:30:31:120,199842,199836,0,6,94106048670,973478188,198415,1383,38,365,391715,6 62,2,2024-09-07 08:30:31:644,141785,141784,1,0,6997240,0,5555 62,3,2024-09-07 08:30:31:149,1,113,184,0,287,992,113,0 63,0,2024-09-07 08:30:31:484,29150,0.6,29294,0.7,58508,0.7,77979,2.00 63,1,2024-09-07 08:30:30:818,199464,199458,0,6,93897577056,974532674,198361,1077,20,381,391540,6 63,2,2024-09-07 08:30:30:763,142178,142178,0,0,5747756,0,2674 63,3,2024-09-07 08:30:31:735,1,113,7,0,667,2029,113,0 64,0,2024-09-07 08:30:31:550,28277,0.4,28162,0.6,56624,0.4,75551,1.75 64,1,2024-09-07 08:30:30:759,198581,198581,0,0,92896575938,976852755,195407,2123,1051,371,391783,0 64,2,2024-09-07 08:30:31:154,143140,143121,19,0,6551702,0,6121 64,3,2024-09-07 08:30:31:153,1,113,2,0,265,1326,113,0 65,0,2024-09-07 08:30:31:752,27545,1.6,27920,1.1,55898,2.0,74254,3.00 65,1,2024-09-07 08:30:30:869,198209,198209,0,0,92879532638,973819561,196213,1774,222,382,391770,0 65,2,2024-09-07 08:30:31:720,142732,142732,0,0,6858606,0,3367 65,3,2024-09-07 08:30:31:691,1,113,9,0,163,1218,113,0 66,0,2024-09-07 08:30:31:773,27020,0.6,27138,0.8,54324,0.5,72619,2.50 66,1,2024-09-07 08:30:31:294,199101,199101,0,0,93344421799,971014250,197860,1107,134,380,391588,0 66,2,2024-09-07 08:30:31:136,146608,146608,0,0,6416651,0,4956 66,3,2024-09-07 08:30:31:079,1,113,1,0,291,1302,113,0 67,0,2024-09-07 08:30:31:449,27335,0.6,27096,0.7,54194,0.5,72080,2.25 67,1,2024-09-07 08:30:30:766,198119,198118,0,1,93463221527,979932729,195687,1906,525,381,391787,1 67,2,2024-09-07 08:30:30:586,142515,142515,0,0,5604305,0,2889 67,3,2024-09-07 08:30:31:758,1,113,5,0,138,1092,113,0 68,0,2024-09-07 08:30:30:641,27960,0.5,27803,0.7,55453,0.5,73939,2.00 68,1,2024-09-07 08:30:30:594,198299,198299,0,0,93060463355,975650850,196591,1186,522,381,391953,0 68,2,2024-09-07 08:30:31:057,140849,140849,0,0,6630228,0,4883 68,3,2024-09-07 08:30:30:740,1,113,6,0,151,1155,113,0 69,0,2024-09-07 08:30:31:746,28901,1.1,29141,1.0,57997,1.4,77000,2.25 69,1,2024-09-07 08:30:31:025,197955,197955,0,0,92879276333,984759124,195029,1841,1085,384,391611,0 69,2,2024-09-07 08:30:31:735,142547,142547,0,0,7346595,0,3701 69,3,2024-09-07 08:30:30:760,1,113,21,0,238,1516,113,0 70,0,2024-09-07 08:30:31:544,27512,2.4,27644,1.8,55413,1.3,73641,3.50 70,1,2024-09-07 08:30:30:803,198807,198807,0,0,93588445968,974169338,196758,1589,460,367,391725,0 70,2,2024-09-07 08:30:31:325,145529,145529,0,0,6919461,0,4044 70,3,2024-09-07 08:30:30:745,1,113,2,0,178,818,113,0 71,0,2024-09-07 08:30:31:376,26270,1.6,26497,1.6,52503,2.4,71431,3.25 71,1,2024-09-07 08:30:31:601,199034,199034,0,0,93521899966,977219121,196486,2214,334,368,391682,0 71,2,2024-09-07 08:30:31:069,141695,141695,0,0,6121824,0,2146 71,3,2024-09-07 08:30:31:756,1,113,1,0,279,1467,113,0 72,0,2024-09-07 08:30:31:045,30064,0.6,29297,0.8,57419,0.5,77562,2.25 72,1,2024-09-07 08:30:31:025,198298,198298,0,0,93141352354,975772802,195512,2303,483,370,391819,0 72,2,2024-09-07 08:30:31:761,140555,140555,0,0,7993613,0,2570 72,3,2024-09-07 08:30:31:754,1,113,7,0,325,1513,113,0 73,0,2024-09-07 08:30:31:152,28825,0.4,29450,0.6,60261,0.3,78008,2.25 73,1,2024-09-07 08:30:30:771,198523,198523,0,0,92946521856,967533999,196930,1479,114,368,391627,0 73,2,2024-09-07 08:30:31:762,144235,144235,0,0,7629169,0,3482 73,3,2024-09-07 08:30:30:972,1,113,11,0,274,1903,113,0 74,0,2024-09-07 08:30:31:334,29778,0.8,30200,1.0,57750,0.9,78416,2.75 74,1,2024-09-07 08:30:30:634,198401,198401,0,0,93067715590,974460776,195963,1832,606,382,391681,0 74,2,2024-09-07 08:30:31:004,143338,143338,0,0,7773202,0,4253 74,3,2024-09-07 08:30:31:446,1,113,0,0,246,1681,113,0 75,0,2024-09-07 08:30:31:776,26887,0.9,26814,1.1,53602,0.8,72400,2.50 75,1,2024-09-07 08:30:31:591,198408,198408,0,0,93482996442,979520390,196060,1985,363,381,391579,0 75,2,2024-09-07 08:30:31:351,141610,141610,0,0,6992106,0,4766 75,3,2024-09-07 08:30:31:071,1,113,2,0,535,1496,113,0 76,0,2024-09-07 08:30:30:671,29241,0.5,28990,0.8,57817,0.4,77741,2.25 76,1,2024-09-07 08:30:30:805,198302,198302,0,0,92484848608,969247227,196391,1403,508,382,391530,0 76,2,2024-09-07 08:30:31:063,143392,143392,0,0,6107877,0,3064 76,3,2024-09-07 08:30:31:159,1,113,70,0,175,1316,113,0 77,0,2024-09-07 08:30:31:702,29152,0.6,29329,0.8,58799,0.6,77411,2.00 77,1,2024-09-07 08:30:30:843,199000,199000,0,0,93158291453,973641618,197623,1296,81,383,391808,0 77,2,2024-09-07 08:30:31:293,143315,143315,0,0,6144806,0,3890 77,3,2024-09-07 08:30:31:094,1,113,11,0,305,1674,113,0 78,0,2024-09-07 08:30:31:763,28290,0.7,28269,0.9,56671,0.7,75275,2.25 78,1,2024-09-07 08:30:30:610,199067,199067,0,0,92451486035,964554113,197309,1553,205,367,391589,0 78,2,2024-09-07 08:30:31:407,143221,143221,0,0,5913060,0,2114 78,3,2024-09-07 08:30:31:148,1,113,1,0,181,1423,113,0 79,0,2024-09-07 08:30:31:362,26400,0.6,27076,0.9,55396,0.6,72346,2.75 79,1,2024-09-07 08:30:30:575,199245,199245,0,0,92768492755,965105363,197248,1826,171,369,391682,0 79,2,2024-09-07 08:30:31:068,144624,144624,0,0,6220021,0,3212 79,3,2024-09-07 08:30:30:750,1,113,18,0,306,1842,113,0 80,0,2024-09-07 08:30:31:098,27736,0.5,28645,0.7,54909,0.4,74067,2.00 80,1,2024-09-07 08:30:31:626,197983,197983,0,0,92684459578,968008166,195884,1982,117,368,391673,0 80,2,2024-09-07 08:30:31:098,142713,142713,0,0,6245167,0,4433 80,3,2024-09-07 08:30:30:579,1,113,1,0,190,2053,113,0 81,0,2024-09-07 08:30:31:573,28107,0.5,28881,0.6,55298,0.5,74834,1.75 81,1,2024-09-07 08:30:31:650,198510,198510,0,0,93210464363,977329671,196505,1804,201,382,391879,0 81,2,2024-09-07 08:30:31:147,141195,141195,0,0,6973332,0,3993 81,3,2024-09-07 08:30:31:123,1,113,62,0,193,1168,113,0 82,0,2024-09-07 08:30:31:552,28568,0.7,28620,0.9,57461,0.7,76382,2.00 82,1,2024-09-07 08:30:30:587,198438,198434,0,4,93518382677,978749048,196139,1721,574,382,391558,4 82,2,2024-09-07 08:30:31:694,142669,142669,0,0,6025390,0,3986 82,3,2024-09-07 08:30:31:754,1,113,1,0,227,1652,113,0 83,0,2024-09-07 08:30:31:587,27394,1.2,27198,1.1,54038,1.4,72345,2.75 83,1,2024-09-07 08:30:30:566,198802,198802,0,0,93333710827,975856264,197219,1482,101,383,391553,0 83,2,2024-09-07 08:30:30:769,145504,145504,0,0,6461000,0,3119 83,3,2024-09-07 08:30:30:755,1,113,133,0,133,1174,113,0 84,0,2024-09-07 08:30:31:768,27243,0.9,27120,1.1,54387,0.7,73695,2.50 84,1,2024-09-07 08:30:31:047,197661,197661,0,0,93110401933,979131822,194795,2418,448,369,391852,0 84,2,2024-09-07 08:30:30:594,141557,141557,0,0,6417213,0,3801 84,3,2024-09-07 08:30:31:142,1,113,3,1,85,1050,113,0 85,0,2024-09-07 08:30:31:022,27114,0.5,27131,0.8,57453,0.5,74516,2.00 85,1,2024-09-07 08:30:30:565,197653,197653,0,0,93101252659,986428510,194068,3110,475,382,391679,0 85,2,2024-09-07 08:30:30:866,141591,141591,0,0,7260556,0,3656 85,3,2024-09-07 08:30:30:690,1,113,12,0,115,1184,113,0 86,0,2024-09-07 08:30:30:915,29172,0.7,30106,0.7,57759,0.7,77743,2.00 86,1,2024-09-07 08:30:30:858,198593,198593,0,0,93565440782,981009248,195981,2332,280,366,391961,0 86,2,2024-09-07 08:30:30:857,142932,142931,1,0,7560676,0,5004 86,3,2024-09-07 08:30:30:589,1,113,2,0,199,1343,113,0 87,0,2024-09-07 08:30:31:330,28204,2.2,28175,1.4,56420,3.5,75545,2.75 87,1,2024-09-07 08:30:30:555,197973,197973,0,0,92060015842,966761544,195650,2183,140,366,391788,0 87,2,2024-09-07 08:30:31:072,144725,144725,0,0,6211681,0,3515 87,3,2024-09-07 08:30:31:801,1,113,10,1,335,1850,113,0 88,0,2024-09-07 08:30:31:443,26514,0.7,26729,0.8,53074,0.8,71603,2.00 88,1,2024-09-07 08:30:30:571,197450,197450,0,0,92941294531,978211128,194720,1955,775,367,391787,0 88,2,2024-09-07 08:30:30:691,141487,141487,0,0,7277063,0,3583 88,3,2024-09-07 08:30:31:267,1,113,1,1,77,1249,113,0 89,0,2024-09-07 08:30:31:770,30152,0.5,29333,0.8,58048,0.5,78493,1.75 89,1,2024-09-07 08:30:30:555,197466,197466,0,0,93002665305,989482635,192951,3643,872,382,391866,0 89,2,2024-09-07 08:30:31:149,141835,141835,0,0,7269455,0,2901 89,3,2024-09-07 08:30:31:791,1,113,243,0,325,2545,113,0 90,0,2024-09-07 08:30:31:641,28677,0.4,29217,0.6,60153,0.4,78111,1.75 90,1,2024-09-07 08:30:30:618,198740,198740,0,0,92415719070,975012605,196016,2566,158,382,391825,0 90,2,2024-09-07 08:30:31:408,142528,142528,0,0,7950946,0,2635 90,3,2024-09-07 08:30:30:934,1,113,77,1,200,1334,113,0 91,0,2024-09-07 08:30:30:938,29665,0.5,28762,0.7,59662,0.6,78705,1.75 91,1,2024-09-07 08:30:30:557,197955,197955,0,0,93088210698,982599623,194660,2802,493,381,391914,0 91,2,2024-09-07 08:30:31:335,141582,141582,0,0,7806399,0,2445 91,3,2024-09-07 08:30:30:602,1,113,4,0,155,1460,113,0 92,0,2024-09-07 08:30:31:455,27612,0.8,28164,0.9,53778,0.9,73152,2.00 92,1,2024-09-07 08:30:30:605,198283,198283,0,0,92840422394,973207615,196377,1512,394,382,391717,0 92,2,2024-09-07 08:30:31:351,144306,144306,0,0,6150778,0,2279 92,3,2024-09-07 08:30:31:012,1,113,17,0,68,1097,113,0 93,0,2024-09-07 08:30:30:962,29429,0.5,30099,0.7,57763,0.5,78391,1.75 93,1,2024-09-07 08:30:30:816,198583,198583,0,0,93322181133,978714584,196171,1966,446,366,391689,0 93,2,2024-09-07 08:30:30:956,142625,142625,0,0,6241001,0,2509 93,3,2024-09-07 08:30:31:407,1,113,12,0,143,1195,113,0 94,0,2024-09-07 08:30:31:606,28423,0.3,28644,0.5,56955,0.3,75497,1.75 94,1,2024-09-07 08:30:30:628,198368,198368,0,0,92993145278,976647658,196277,2012,79,381,391850,0 94,2,2024-09-07 08:30:30:763,142217,142217,0,0,5983469,0,2443 94,3,2024-09-07 08:30:31:688,1,113,1,0,264,2060,113,0 95,0,2024-09-07 08:30:31:356,28330,0.4,28218,0.6,56810,0.4,75166,1.75 95,1,2024-09-07 08:30:30:858,198676,198676,0,0,93065761144,968074645,197654,958,64,367,391600,0 95,2,2024-09-07 08:30:31:015,142139,142139,0,0,6277504,0,3308 95,3,2024-09-07 08:30:31:709,1,113,25,0,307,1946,113,0 96,0,2024-09-07 08:30:31:031,27538,0.6,27580,0.7,54874,0.6,73081,1.75 96,1,2024-09-07 08:30:31:585,198626,198626,0,0,92775834970,974683105,196520,1535,571,385,391596,0 96,2,2024-09-07 08:30:31:275,145472,145472,0,0,6666051,0,4038 96,3,2024-09-07 08:30:31:140,1,113,55,0,188,1350,113,0 97,0,2024-09-07 08:30:31:324,26978,0.3,27105,0.5,54218,0.3,72036,1.75 97,1,2024-09-07 08:30:30:763,198405,198405,0,0,93344354755,975504193,196018,1867,520,367,392140,0 97,2,2024-09-07 08:30:30:607,141637,141637,0,0,5883765,0,3036 97,3,2024-09-07 08:30:30:570,1,113,9,1,165,1431,113,0 98,0,2024-09-07 08:30:31:702,27875,0.2,27623,0.4,55847,0.2,74262,1.50 98,1,2024-09-07 08:30:30:584,198536,198536,0,0,93008107140,973004568,196826,1627,83,382,391997,0 98,2,2024-09-07 08:30:30:779,142701,142701,0,0,6050553,0,3080 98,3,2024-09-07 08:30:30:703,1,113,10,1,840,2699,113,0 99,0,2024-09-07 08:30:31:461,29078,0.3,29160,0.5,58130,0.3,77243,1.75 99,1,2024-09-07 08:30:31:739,199406,199406,0,0,93038472358,973200120,197466,1344,596,381,391744,0 99,2,2024-09-07 08:30:31:418,143953,143953,0,0,6264403,0,1858 99,3,2024-09-07 08:30:30:590,1,113,7,0,129,866,113,0 100,0,2024-09-07 08:30:31:501,27756,1.3,27722,1.8,55307,3.6,74486,2.50 100,1,2024-09-07 08:30:30:548,197740,197740,0,0,92926314614,986872878,194063,3189,488,381,391989,0 100,2,2024-09-07 08:30:31:820,145016,145005,11,0,7524084,0,5417 100,3,2024-09-07 08:30:31:735,1,113,2,0,443,2465,113,0 101,0,2024-09-07 08:30:31:708,27138,2.8,26338,1.5,52167,2.3,72218,3.00 101,1,2024-09-07 08:30:30:562,197641,197641,0,0,93186712085,987374808,194012,2366,1263,368,391709,0 101,2,2024-09-07 08:30:31:756,140966,140966,0,0,7165174,0,4644 101,3,2024-09-07 08:30:30:942,1,113,138,0,448,1529,113,0 102,0,2024-09-07 08:30:31:002,28379,0.7,29403,0.8,59019,0.6,77136,2.00 102,1,2024-09-07 08:30:31:149,197929,197929,0,0,92777667301,977892884,195133,2280,516,369,391831,0 102,2,2024-09-07 08:30:31:736,142456,142456,0,0,5917224,0,2144 102,3,2024-09-07 08:30:31:613,1,113,48,0,410,1357,113,0 103,0,2024-09-07 08:30:31:604,30269,0.5,30211,0.7,57173,0.4,78368,1.75 103,1,2024-09-07 08:30:31:626,197575,197575,0,0,92780034067,977971295,194852,2011,712,381,391680,0 103,2,2024-09-07 08:30:30:585,143351,143351,0,0,6499568,0,2104 103,3,2024-09-07 08:30:30:758,1,113,1,0,916,2569,113,0 104,0,2024-09-07 08:30:31:020,29405,1.2,29463,1.2,57927,1.3,78685,2.25 104,1,2024-09-07 08:30:31:600,198510,198510,0,0,92853378963,982273189,194837,2979,694,366,391948,0 104,2,2024-09-07 08:30:31:689,142738,142738,0,0,7330222,0,3941 104,3,2024-09-07 08:30:31:416,1,113,3,1,1245,4586,113,0 105,0,2024-09-07 08:30:31:033,26488,1.5,25686,1.3,53509,2.3,71654,3.75 105,1,2024-09-07 08:30:30:557,198298,198298,0,0,92776137008,978503558,194626,2910,762,367,391797,0 105,2,2024-09-07 08:30:31:345,141557,141557,0,0,6568604,0,3314 105,3,2024-09-07 08:30:31:306,1,113,13,1,399,1963,113,0 106,0,2024-09-07 08:30:30:977,28396,0.7,29079,0.9,59143,0.6,77441,2.00 106,1,2024-09-07 08:30:31:752,198557,198557,0,0,92500049802,973019813,195686,2542,329,369,391767,0 106,2,2024-09-07 08:30:30:773,142076,142076,0,0,6730369,0,2795 106,3,2024-09-07 08:30:30:680,1,113,9,1,201,1208,113,0 107,0,2024-09-07 08:30:31:120,29316,0.5,29136,0.7,58029,0.4,77639,1.75 107,1,2024-09-07 08:30:30:589,198186,198186,0,0,92674032098,978617366,195519,2443,224,381,392234,0 107,2,2024-09-07 08:30:31:296,142349,142348,1,0,7146066,0,5024 107,3,2024-09-07 08:30:31:755,1,113,5,0,353,1543,113,0 108,0,2024-09-07 08:30:31:820,28502,0.5,28585,0.7,56625,0.5,75691,1.75 108,1,2024-09-07 08:30:31:309,198244,198244,0,0,93358962392,978799967,195966,1994,284,368,391857,0 108,2,2024-09-07 08:30:31:771,141844,141844,0,0,6704628,0,2647 108,3,2024-09-07 08:30:31:334,1,113,6,0,272,1745,113,0 109,0,2024-09-07 08:30:31:786,27423,0.6,27525,0.7,54660,0.6,73675,1.75 109,1,2024-09-07 08:30:30:597,197885,197885,0,0,93557103834,986154829,195515,1911,459,383,391812,0 109,2,2024-09-07 08:30:30:934,143203,143203,0,0,6842888,0,3617 109,3,2024-09-07 08:30:31:142,1,113,14,1,249,1753,113,0 110,0,2024-09-07 08:30:31:774,27879,0.3,27098,0.5,56651,0.2,74444,1.75 110,1,2024-09-07 08:30:31:646,198920,198920,0,0,93312824993,974943291,196708,1515,697,370,391667,0 110,2,2024-09-07 08:30:31:307,142509,142509,0,0,6159152,0,2915 110,3,2024-09-07 08:30:30:700,1,113,23,0,406,1825,113,0 111,0,2024-09-07 08:30:31:418,28194,0.2,27694,0.4,55570,0.2,74789,1.50 111,1,2024-09-07 08:30:31:013,198937,198937,0,0,94015853629,977536191,197518,1093,326,382,391690,0 111,2,2024-09-07 08:30:31:120,141649,141649,0,0,6823127,0,2763 111,3,2024-09-07 08:30:30:913,1,113,5,0,300,1912,113,0 112,0,2024-09-07 08:30:30:928,28903,0.4,28788,0.5,57607,0.3,76583,1.50 112,1,2024-09-07 08:30:30:824,198703,198703,0,0,93493660831,974439362,197013,1344,346,381,391580,0 112,2,2024-09-07 08:30:31:135,142236,142235,1,0,6527134,0,5036 112,3,2024-09-07 08:30:30:596,1,113,15,0,282,1446,113,0 113,0,2024-09-07 08:30:30:884,27218,0.5,27016,0.6,54924,0.4,73308,1.75 113,1,2024-09-07 08:30:31:697,198705,198705,0,0,93524564296,971217322,196667,1654,384,368,391661,0 113,2,2024-09-07 08:30:31:305,146898,146898,0,0,5892343,0,3813 113,3,2024-09-07 08:30:30:684,1,113,8,0,288,1788,113,0 114,0,2024-09-07 08:30:30:879,27666,0.9,28107,0.8,55116,0.5,74188,2.00 114,1,2024-09-07 08:30:30:717,198697,198697,0,0,93037622102,975815737,195886,1929,882,381,391531,0 114,2,2024-09-07 08:30:30:873,141551,141551,0,0,5959358,0,3925 114,3,2024-09-07 08:30:31:283,1,113,1,0,395,1750,113,0 115,0,2024-09-07 08:30:30:570,28088,0.3,28414,0.4,56899,0.2,75177,1.50 115,1,2024-09-07 08:30:30:572,198732,198732,0,0,93632527666,982144822,195298,2616,818,382,391602,0 115,2,2024-09-07 08:30:31:133,142094,142094,0,0,5543082,0,2152 115,3,2024-09-07 08:30:31:005,1,113,22,0,159,853,113,0 116,0,2024-09-07 08:30:31:713,29379,0.8,28981,0.9,58423,0.9,77669,2.00 116,1,2024-09-07 08:30:30:804,197443,197443,0,0,92629707968,985555593,193938,2119,1386,382,391619,0 116,2,2024-09-07 08:30:31:752,142506,142506,0,0,7577686,0,3529 116,3,2024-09-07 08:30:30:912,1,113,127,1,252,1791,113,0 117,0,2024-09-07 08:30:30:957,28268,1.6,28366,1.2,56253,2.8,75618,2.00 117,1,2024-09-07 08:30:31:585,198628,198628,0,0,92585559069,975392150,195570,2661,397,370,392033,0 117,2,2024-09-07 08:30:31:121,145851,145851,0,0,6338394,0,3700 117,3,2024-09-07 08:30:31:058,1,113,101,0,490,2621,113,0 118,0,2024-09-07 08:30:31:772,25934,0.7,26502,0.8,54183,0.7,71164,2.00 118,1,2024-09-07 08:30:30:587,198315,198315,0,0,92820596635,974725206,196085,1995,235,368,391736,0 118,2,2024-09-07 08:30:31:589,141955,141955,0,0,6685546,0,2781 118,3,2024-09-07 08:30:31:768,1,113,1,0,235,1644,113,0 119,0,2024-09-07 08:30:31:359,29007,1.0,29106,0.9,59041,1.4,77815,2.00 119,1,2024-09-07 08:30:30:550,198563,198563,0,0,92789823039,971196654,196459,1822,282,370,391641,0 119,2,2024-09-07 08:30:31:269,141244,141244,0,0,5968007,0,2532 119,3,2024-09-07 08:30:31:325,1,113,2,0,443,2468,113,0 120,0,2024-09-07 08:30:31:558,29201,0.5,29270,0.8,58441,0.5,78071,2.00 120,1,2024-09-07 08:30:30:860,198519,198519,0,0,92475462310,972011832,196438,2037,44,368,391702,0 120,2,2024-09-07 08:30:30:770,142837,142836,1,0,8464294,0,5281 120,3,2024-09-07 08:30:31:291,1,113,6,0,241,1721,113,0 121,0,2024-09-07 08:30:31:721,29054,1.8,29441,1.3,58654,2.9,78571,2.25 121,1,2024-09-07 08:30:31:655,198466,198466,0,0,93372468116,980347448,195967,2217,282,367,391840,0 121,2,2024-09-07 08:30:31:149,142038,142038,0,0,8006090,0,4127 121,3,2024-09-07 08:30:30:727,1,113,21,0,159,1451,113,0 122,0,2024-09-07 08:30:31:761,27045,1.6,26238,1.5,54859,1.8,73138,2.25 122,1,2024-09-07 08:30:30:863,197627,197627,0,0,92810395961,979849847,194083,2903,641,367,392130,0 122,2,2024-09-07 08:30:31:319,142947,142947,0,0,7806526,0,3364 122,3,2024-09-07 08:30:30:599,1,113,188,0,298,2418,113,0 123,0,2024-09-07 08:30:30:994,29254,1.0,28460,0.9,59539,1.2,78090,2.25 123,1,2024-09-07 08:30:30:575,199078,199078,0,0,93588834762,988778826,195233,3272,573,369,391823,0 123,2,2024-09-07 08:30:31:019,141538,141537,1,0,6779834,0,5215 123,3,2024-09-07 08:30:31:140,1,113,8,1,168,1754,113,0 124,0,2024-09-07 08:30:30:934,29216,0.3,29243,0.5,55076,0.2,75720,1.75 124,1,2024-09-07 08:30:31:022,198434,198434,0,0,93111234129,970577430,196713,1369,352,367,392178,0 124,2,2024-09-07 08:30:31:025,142838,142838,0,0,6240532,0,3101 124,3,2024-09-07 08:30:30:759,1,113,2,1,490,2017,113,0 125,0,2024-09-07 08:30:31:431,28333,0.5,28255,0.6,56720,0.5,75100,1.75 125,1,2024-09-07 08:30:30:859,197881,197881,0,0,93189429491,976915818,195631,1975,275,384,391702,0 125,2,2024-09-07 08:30:31:120,142536,142536,0,0,6068196,0,2180 125,3,2024-09-07 08:30:31:135,1,113,8,1,284,1642,113,0 126,0,2024-09-07 08:30:31:432,27462,0.9,28206,0.9,54015,1.0,73594,2.00 126,1,2024-09-07 08:30:30:554,198998,198998,0,0,93430253778,971726962,197526,1396,76,365,391987,0 126,2,2024-09-07 08:30:30:628,146076,146076,0,0,6282697,0,3186 126,3,2024-09-07 08:30:30:909,1,113,2,0,136,1532,113,0 127,0,2024-09-07 08:30:31:609,26893,0.4,27066,0.6,54248,0.4,72117,1.75 127,1,2024-09-07 08:30:30:580,198817,198817,0,0,93571602221,972839630,197084,1699,34,365,391816,0 127,2,2024-09-07 08:30:30:668,142029,142029,0,0,5556655,0,1803 127,3,2024-09-07 08:30:31:268,1,113,6,0,99,839,113,0 128,0,2024-09-07 08:30:31:550,28028,0.3,27984,0.4,55651,0.2,74119,1.50 128,1,2024-09-07 08:30:31:608,198838,198838,0,0,93690503263,971791504,198074,693,71,367,391680,0 128,2,2024-09-07 08:30:31:390,141160,141160,0,0,6050588,0,2107 128,3,2024-09-07 08:30:30:767,1,113,3,0,247,1415,113,0 129,0,2024-09-07 08:30:31:023,29231,0.3,29192,0.5,58120,0.3,77176,1.75 129,1,2024-09-07 08:30:30:578,198149,198149,0,0,93270298429,979674872,195786,2076,287,379,391835,0 129,2,2024-09-07 08:30:30:695,142761,142761,0,0,6084348,0,4031 129,3,2024-09-07 08:30:30:695,1,113,8,1,173,1582,113,0 130,0,2024-09-07 08:30:31:726,28234,1.1,27940,0.9,56035,1.7,75028,2.00 130,1,2024-09-07 08:30:30:591,198548,198548,0,0,93248476370,975168972,196792,1705,51,381,391825,0 130,2,2024-09-07 08:30:31:133,145468,145468,0,0,6323519,0,4067 130,3,2024-09-07 08:30:31:293,1,113,1,0,207,1137,113,0 131,0,2024-09-07 08:30:31:936,26828,0.5,26843,0.6,54395,0.5,72275,1.75 131,1,2024-09-07 08:30:31:820,199481,199481,0,0,92658225808,967525111,198104,1172,205,384,391865,0 131,2,2024-09-07 08:30:30:587,141803,141803,0,0,5607065,0,2415 131,3,2024-09-07 08:30:31:688,1,113,0,0,392,1408,113,0 132,0,2024-09-07 08:30:31:470,28798,0.5,29234,0.8,58531,0.5,77483,1.75 132,1,2024-09-07 08:30:30:644,197639,197639,0,0,92562618041,979332360,194109,2772,758,381,391719,0 132,2,2024-09-07 08:30:30:755,141173,141173,0,0,8120184,0,4606 132,3,2024-09-07 08:30:31:688,1,113,1,0,356,1965,113,0 133,0,2024-09-07 08:30:31:599,28689,0.5,29250,0.6,60173,0.4,77645,2.00 133,1,2024-09-07 08:30:30:588,196896,196896,0,0,92986151700,983772560,193763,2944,189,383,391755,0 133,2,2024-09-07 08:30:31:087,143425,143425,0,0,7904163,0,4315 133,3,2024-09-07 08:30:31:310,1,113,14,1,187,1077,113,0 134,0,2024-09-07 08:30:30:991,29499,0.7,29336,0.9,59168,0.8,78495,2.00 134,1,2024-09-07 08:30:30:614,197984,197984,0,0,92326997838,971567079,194607,2288,1089,366,391718,0 134,2,2024-09-07 08:30:31:756,143426,143426,0,0,6476649,0,3096 134,3,2024-09-07 08:30:30:758,1,113,5,0,739,2364,113,0 135,0,2024-09-07 08:30:31:135,26172,1.0,26077,1.1,55126,1.1,71589,2.25 135,1,2024-09-07 08:30:31:589,197957,197957,0,0,93365562848,985259941,194611,2646,700,380,391725,0 135,2,2024-09-07 08:30:30:691,142418,142418,0,0,6799909,0,3981 135,3,2024-09-07 08:30:31:006,1,113,6,0,89,741,113,0 136,0,2024-09-07 08:30:31:631,29548,0.6,29351,0.8,59077,0.6,78542,2.00 136,1,2024-09-07 08:30:31:456,198005,198005,0,0,92998062910,977999617,195301,2539,165,384,391641,0 136,2,2024-09-07 08:30:31:133,143187,143187,0,0,6774813,0,3506 136,3,2024-09-07 08:30:31:108,1,113,3,0,108,1042,113,0 137,0,2024-09-07 08:30:30:950,29885,0.6,29010,0.7,57617,0.5,77804,2.00 137,1,2024-09-07 08:30:30:593,198334,198334,0,0,93166390407,976377304,195775,2380,179,367,391608,0 137,2,2024-09-07 08:30:31:711,142568,142568,0,0,8183069,0,3185 137,3,2024-09-07 08:30:30:768,1,113,11,1,227,1480,113,0 138,0,2024-09-07 08:30:31:748,27751,2.1,28003,1.4,56509,3.4,75639,2.75 138,1,2024-09-07 08:30:31:701,198259,198259,0,0,93404043643,981373399,195366,2545,348,369,391954,0 138,2,2024-09-07 08:30:30:586,142664,142664,0,0,6605186,0,3263 138,3,2024-09-07 08:30:30:621,1,113,1,0,1160,2178,113,0 139,0,2024-09-07 08:30:31:373,26598,3.7,26677,2.0,54146,4.9,72833,3.25 139,1,2024-09-07 08:30:30:573,197861,197861,0,0,92441931903,986187936,193564,3099,1198,381,391892,0 139,2,2024-09-07 08:30:30:710,143016,143016,0,0,7608925,0,3097 139,3,2024-09-07 08:30:31:663,1,113,1,0,244,1577,113,0 140,0,2024-09-07 08:30:31:601,27947,0.3,27828,0.5,55840,0.2,74704,1.50 140,1,2024-09-07 08:30:31:538,199135,199135,0,0,93970844139,971494653,197987,933,215,365,391606,0 140,2,2024-09-07 08:30:30:691,143069,143069,0,0,6586593,0,3388 140,3,2024-09-07 08:30:30:771,1,113,1,0,39,771,113,0 141,0,2024-09-07 08:30:31:713,27790,0.2,28733,0.4,55229,0.1,74871,1.50 141,1,2024-09-07 08:30:30:860,198793,198793,0,0,93416616597,973135247,197068,1373,352,379,391539,0 141,2,2024-09-07 08:30:31:695,141744,141744,0,0,5876973,0,2342 141,3,2024-09-07 08:30:31:043,1,113,44,0,147,943,113,0 142,0,2024-09-07 08:30:31:331,29165,0.3,28830,0.5,57362,0.3,76789,1.75 142,1,2024-09-07 08:30:30:620,198886,198886,0,0,93834598358,979147414,197793,960,133,384,391649,0 142,2,2024-09-07 08:30:31:307,140871,140839,32,0,7403126,0,6028 142,3,2024-09-07 08:30:31:751,1,113,2,0,484,1847,113,0 143,0,2024-09-07 08:30:31:385,27332,0.9,27456,0.8,55247,1.1,73191,2.00 143,1,2024-09-07 08:30:30:558,198523,198523,0,0,92909862226,968547887,196514,1969,40,367,391619,0 143,2,2024-09-07 08:30:30:770,145606,145606,0,0,6594368,0,2669 143,3,2024-09-07 08:30:31:140,1,113,1,0,303,2120,113,0 144,0,2024-09-07 08:30:31:550,26595,0.7,27354,1.5,55479,0.6,74045,2.00 144,1,2024-09-07 08:30:30:569,197753,197753,0,0,92575702202,974007969,195842,1712,199,381,391638,0 144,2,2024-09-07 08:30:31:758,142013,142013,0,0,6063691,0,3473 144,3,2024-09-07 08:30:31:741,1,113,12,0,249,1720,113,0 145,0,2024-09-07 08:30:31:385,27310,0.6,27225,0.9,57640,0.5,75092,2.00 145,1,2024-09-07 08:30:30:556,197283,197283,0,0,93286916319,986663074,193869,2783,631,383,391615,0 145,2,2024-09-07 08:30:31:429,141569,141569,0,0,6840567,0,3903 145,3,2024-09-07 08:30:30:898,1,113,12,0,151,1454,113,0 146,0,2024-09-07 08:30:31:605,29165,0.5,28963,0.7,58438,0.5,77236,2.25 146,1,2024-09-07 08:30:31:592,198417,198417,0,0,93306439172,985521993,194312,3310,795,368,391600,0 146,2,2024-09-07 08:30:31:695,142808,142808,0,0,6687897,0,2379 146,3,2024-09-07 08:30:31:279,1,113,723,0,1520,4450,113,0 147,0,2024-09-07 08:30:31:704,28250,2.0,28067,1.4,55580,2.9,75516,2.75 147,1,2024-09-07 08:30:31:382,199171,199171,0,0,94077622480,981355558,196946,1834,391,368,391791,0 147,2,2024-09-07 08:30:31:017,145118,145118,0,0,6453302,0,2789 147,3,2024-09-07 08:30:30:916,1,113,3,0,141,1253,113,0 0,0,2024-09-07 08:30:41:730,28601,0.5,28660,0.7,60591,0.5,78243,1.75 0,1,2024-09-07 08:30:40:813,200003,200003,0,0,93845761018,986113010,198444,1430,129,372,391692,0 0,2,2024-09-07 08:30:41:071,144879,144879,0,0,6566238,0,4480 0,3,2024-09-07 08:30:40:975,1,114,2,0,247,1816,114,0 1,0,2024-09-07 08:30:41:759,29619,2.1,29320,1.5,59052,2.8,78879,2.50 1,1,2024-09-07 08:30:40:563,199087,199087,0,0,93191197929,982405826,196257,2202,628,372,391857,0 1,2,2024-09-07 08:30:40:639,144138,144138,0,0,6174562,0,3267 1,3,2024-09-07 08:30:41:307,1,114,18,0,262,1566,114,0 2,0,2024-09-07 08:30:41:571,27309,1.1,27465,1.2,54507,1.7,73458,2.50 2,1,2024-09-07 08:30:40:862,199943,199943,0,0,93832753028,978011127,198714,1024,205,380,391558,0 2,2,2024-09-07 08:30:41:270,143069,143069,0,0,6188843,0,3304 2,3,2024-09-07 08:30:40:690,1,114,5,0,214,1140,114,0 3,0,2024-09-07 08:30:41:748,29290,0.6,29266,0.8,58728,0.7,77645,2.00 3,1,2024-09-07 08:30:41:624,199634,199634,0,0,94214701101,987527258,196931,2301,402,382,391516,0 3,2,2024-09-07 08:30:41:141,143940,143917,23,0,6782267,0,5851 3,3,2024-09-07 08:30:41:752,1,114,14,0,103,862,114,0 4,0,2024-09-07 08:30:41:871,27892,0.3,28634,0.4,58054,0.2,76352,1.50 4,1,2024-09-07 08:30:40:619,200115,200115,0,0,93880215059,990681127,197617,1979,519,371,391846,0 4,2,2024-09-07 08:30:41:023,142987,142987,0,0,7852430,0,4528 4,3,2024-09-07 08:30:41:027,1,114,52,0,287,1786,114,0 5,0,2024-09-07 08:30:41:396,28521,0.6,28357,0.7,56991,0.7,75547,1.75 5,1,2024-09-07 08:30:40:780,199198,199198,0,0,93728237324,991002090,195770,2494,934,368,392005,0 5,2,2024-09-07 08:30:41:856,143135,143135,0,0,6505470,0,2259 5,3,2024-09-07 08:30:41:733,1,114,63,0,238,2012,114,0 6,0,2024-09-07 08:30:40:928,28108,0.8,27763,1.0,55186,0.9,74733,2.25 6,1,2024-09-07 08:30:40:746,200555,200555,0,0,94032637676,982158025,198994,1486,75,381,391603,0 6,2,2024-09-07 08:30:41:116,146428,146428,0,0,6299462,0,2411 6,3,2024-09-07 08:30:41:275,1,114,7,0,340,1804,114,0 7,0,2024-09-07 08:30:41:538,27170,0.4,27179,0.6,54660,0.3,72706,1.75 7,1,2024-09-07 08:30:40:850,200458,200458,0,0,94225731363,986209482,198657,1735,66,383,391664,0 7,2,2024-09-07 08:30:40:770,142736,142736,0,0,5951018,0,2981 7,3,2024-09-07 08:30:40:852,1,114,1,0,305,1338,114,0 8,0,2024-09-07 08:30:41:369,27991,0.3,28220,0.4,55798,0.2,75092,1.50 8,1,2024-09-07 08:30:41:027,199861,199861,0,0,93695593711,993362359,195915,2661,1285,368,391956,0 8,2,2024-09-07 08:30:40:800,141302,141302,0,0,7574417,0,2986 8,3,2024-09-07 08:30:40:604,1,114,1,0,357,1880,114,0 9,0,2024-09-07 08:30:41:124,29220,0.4,28508,0.5,59449,0.3,77564,1.75 9,1,2024-09-07 08:30:40:554,199580,199580,0,0,94087528485,997364168,195806,2766,1008,370,391738,0 9,2,2024-09-07 08:30:41:083,143084,143084,0,0,7294861,0,3360 9,3,2024-09-07 08:30:41:751,1,114,9,0,496,1917,114,0 10,0,2024-09-07 08:30:41:606,28170,0.5,28130,0.7,56427,0.5,75540,1.75 10,1,2024-09-07 08:30:40:589,200012,200012,0,0,93654048610,987016828,196350,3138,524,381,391741,0 10,2,2024-09-07 08:30:40:766,146778,146778,0,0,7006623,0,2940 10,3,2024-09-07 08:30:40:871,1,114,48,0,136,894,114,0 11,0,2024-09-07 08:30:41:026,26790,0.5,26154,0.7,54851,0.4,73801,2.00 11,1,2024-09-07 08:30:40:589,199488,199488,0,0,93532229035,987827574,195371,2987,1130,384,391537,0 11,2,2024-09-07 08:30:41:123,142404,142404,0,0,6667493,0,3411 11,3,2024-09-07 08:30:41:300,1,114,1,0,720,2724,114,0 12,0,2024-09-07 08:30:41:010,29470,0.3,29333,0.5,58835,0.3,77775,1.50 12,1,2024-09-07 08:30:40:948,199403,199403,0,0,93370741880,981793083,196607,2386,410,371,391837,0 12,2,2024-09-07 08:30:41:579,143658,143658,0,0,7200879,0,3469 12,3,2024-09-07 08:30:41:059,1,114,12,0,358,2109,114,0 13,0,2024-09-07 08:30:41:383,29588,0.4,29699,0.5,59271,0.4,78540,1.75 13,1,2024-09-07 08:30:41:540,200381,200381,0,0,93953703310,988981769,198455,1550,376,384,391717,0 13,2,2024-09-07 08:30:40:605,145371,145371,0,0,6582897,0,3287 13,3,2024-09-07 08:30:41:763,1,114,14,1,416,2224,114,0 14,0,2024-09-07 08:30:40:574,29653,0.6,29828,0.8,59042,0.6,78295,2.00 14,1,2024-09-07 08:30:41:565,201522,201522,0,0,94963156557,987981429,199720,1641,161,364,391571,0 14,2,2024-09-07 08:30:40:768,144841,144841,0,0,6745189,0,2896 14,3,2024-09-07 08:30:41:116,1,114,4,0,1168,2586,114,0 15,0,2024-09-07 08:30:41:569,27193,0.6,27053,0.9,54233,0.6,72597,2.00 15,1,2024-09-07 08:30:41:609,199542,199542,0,0,93661121320,977154146,198198,1284,60,381,391536,0 15,2,2024-09-07 08:30:40:998,143749,143749,0,0,5403526,0,3043 15,3,2024-09-07 08:30:41:407,1,114,18,0,1126,3774,114,0 16,0,2024-09-07 08:30:40:933,29353,0.6,29730,0.8,58817,0.7,78575,2.25 16,1,2024-09-07 08:30:40:563,199879,199879,0,0,93824439937,982473642,198538,1312,29,372,391756,0 16,2,2024-09-07 08:30:41:435,142830,142830,0,0,6954753,0,4719 16,3,2024-09-07 08:30:41:150,1,114,8,0,231,1717,114,0 17,0,2024-09-07 08:30:41:777,30294,0.5,29500,0.7,58041,0.4,78174,2.00 17,1,2024-09-07 08:30:40:592,199438,199438,0,0,93362093119,986429986,196869,2039,530,369,391688,0 17,2,2024-09-07 08:30:41:666,145508,145508,0,0,6669315,0,2857 17,3,2024-09-07 08:30:40:604,1,114,9,0,268,1954,114,0 18,0,2024-09-07 08:30:40:951,27905,1.1,28311,1.0,56886,1.2,75515,2.25 18,1,2024-09-07 08:30:41:647,200151,200151,0,0,93637285521,975321594,198517,1400,234,368,391564,0 18,2,2024-09-07 08:30:41:762,143807,143807,0,0,6620284,0,3541 18,3,2024-09-07 08:30:40:901,1,114,5,0,163,1299,114,0 19,0,2024-09-07 08:30:41:546,27376,1.4,27488,1.2,54446,1.6,72511,3.75 19,1,2024-09-07 08:30:40:566,200145,200145,0,0,94591898348,987471162,197831,2118,196,367,391777,0 19,2,2024-09-07 08:30:41:752,145550,145550,0,0,5965633,0,3988 19,3,2024-09-07 08:30:41:132,1,114,1,0,524,1339,114,0 20,0,2024-09-07 08:30:41:383,28318,0.5,28263,0.7,56689,0.5,75669,2.25 20,1,2024-09-07 08:30:40:570,200211,200211,0,0,94199911370,987761205,198205,1829,177,370,391598,0 20,2,2024-09-07 08:30:40:940,143494,143494,0,0,6249423,0,3721 20,3,2024-09-07 08:30:40:604,1,114,1,0,414,2409,114,0 21,0,2024-09-07 08:30:41:136,28579,0.4,28453,0.5,56756,0.3,75419,1.75 21,1,2024-09-07 08:30:41:652,199511,199511,0,0,93171160464,982898747,196869,2137,505,368,391962,0 21,2,2024-09-07 08:30:41:074,143465,143465,0,0,6618440,0,3747 21,3,2024-09-07 08:30:41:404,1,114,15,0,93,1513,114,0 22,0,2024-09-07 08:30:41:724,28625,1.0,28610,1.1,57096,1.2,76087,2.50 22,1,2024-09-07 08:30:41:023,199722,199722,0,0,93352847491,989677647,195141,3208,1373,382,391667,0 22,2,2024-09-07 08:30:40:760,143380,143380,0,0,5999481,0,3134 22,3,2024-09-07 08:30:41:070,1,114,2,0,228,1266,114,0 23,0,2024-09-07 08:30:41:382,27381,0.7,27665,0.8,55359,0.6,73731,2.25 23,1,2024-09-07 08:30:41:009,201042,201042,0,0,94515422952,986419404,198732,1739,571,365,391549,0 23,2,2024-09-07 08:30:41:097,146986,146986,0,0,6053272,0,2078 23,3,2024-09-07 08:30:41:758,1,114,62,0,645,1672,114,0 24,0,2024-09-07 08:30:40:851,28184,0.5,28124,0.7,56406,0.5,75149,1.75 24,1,2024-09-07 08:30:40:589,199832,199832,0,0,93738940497,984676062,197458,1610,764,369,391640,0 24,2,2024-09-07 08:30:41:071,142434,142434,0,0,7651479,0,2942 24,3,2024-09-07 08:30:41:696,1,114,2,0,234,1511,114,0 25,0,2024-09-07 08:30:41:361,29212,0.4,28504,0.6,55790,0.4,75921,1.75 25,1,2024-09-07 08:30:40:562,199820,199820,0,0,93603619659,985422128,197058,2318,444,371,391788,0 25,2,2024-09-07 08:30:41:610,141711,141711,0,0,7666395,0,3978 25,3,2024-09-07 08:30:41:000,1,114,8,0,158,1322,114,0 26,0,2024-09-07 08:30:41:727,29081,0.4,28432,0.6,59658,0.3,77838,1.75 26,1,2024-09-07 08:30:41:548,200610,200610,0,0,93497878458,986382205,196743,3023,844,381,391564,0 26,2,2024-09-07 08:30:40:861,144144,144144,0,0,7076541,0,2809 26,3,2024-09-07 08:30:41:720,1,114,4,0,796,1478,114,0 27,0,2024-09-07 08:30:41:734,28517,0.6,28767,0.7,56937,0.6,75961,1.75 27,1,2024-09-07 08:30:41:676,200798,200798,0,0,94711379175,986374053,199055,1421,322,381,391539,0 27,2,2024-09-07 08:30:40:867,145016,145016,0,0,7834331,0,3409 27,3,2024-09-07 08:30:41:019,1,114,5,0,564,1460,114,0 28,0,2024-09-07 08:30:41:402,26773,0.7,27169,0.8,54293,0.8,72879,2.25 28,1,2024-09-07 08:30:40:813,200282,200282,0,0,94404584064,985034773,198817,1263,202,383,391646,0 28,2,2024-09-07 08:30:41:764,142920,142920,0,0,5656092,0,2915 28,3,2024-09-07 08:30:41:794,1,114,1,0,502,1403,114,0 29,0,2024-09-07 08:30:41:413,30139,0.4,29389,0.6,57564,0.4,78626,1.75 29,1,2024-09-07 08:30:41:578,200988,200988,0,0,93913488309,977232061,198889,1569,530,369,391621,0 29,2,2024-09-07 08:30:40:866,143198,143198,0,0,5686567,0,4018 29,3,2024-09-07 08:30:40:963,1,114,9,0,105,947,114,0 30,0,2024-09-07 08:30:41:462,29426,0.7,28611,0.8,59795,0.7,78280,2.25 30,1,2024-09-07 08:30:40:605,200483,200483,0,0,94266610128,984226160,198613,1592,278,382,391672,0 30,2,2024-09-07 08:30:41:276,145512,145512,0,0,5992512,0,3161 30,3,2024-09-07 08:30:40:588,1,114,1,0,195,906,114,0 31,0,2024-09-07 08:30:41:762,29233,0.8,29300,0.9,59337,0.9,78603,2.25 31,1,2024-09-07 08:30:40:564,201488,201488,0,0,94415892924,968107259,201161,325,2,356,391553,0 31,2,2024-09-07 08:30:41:275,144371,144371,0,0,7101565,0,3525 31,3,2024-09-07 08:30:41:709,1,114,0,0,220,1070,114,0 32,0,2024-09-07 08:30:41:480,27611,0.7,27818,0.9,55552,0.7,74181,1.75 32,1,2024-09-07 08:30:40:824,201115,201115,0,0,94127314655,980962383,199440,1400,275,382,391595,0 32,2,2024-09-07 08:30:40:940,144048,144048,0,0,5779464,0,3155 32,3,2024-09-07 08:30:41:022,1,114,5,0,227,1257,114,0 33,0,2024-09-07 08:30:41:529,29666,0.4,29349,0.6,59275,0.4,78594,1.75 33,1,2024-09-07 08:30:40:610,201287,201287,0,0,94543670858,982472417,199370,1794,123,369,391730,0 33,2,2024-09-07 08:30:40:758,143953,143920,33,0,7646094,0,7012 33,3,2024-09-07 08:30:40:899,1,114,8,0,211,1133,114,0 34,0,2024-09-07 08:30:40:938,28713,0.3,29613,0.5,56816,0.2,76273,1.75 34,1,2024-09-07 08:30:41:045,201028,201028,0,0,94595628097,976381681,200241,782,5,367,391547,0 34,2,2024-09-07 08:30:40:768,143323,143323,0,0,6444804,0,3255 34,3,2024-09-07 08:30:41:689,1,114,1,0,148,821,114,0 35,0,2024-09-07 08:30:40:882,28227,0.6,28587,0.7,56739,0.6,75640,1.75 35,1,2024-09-07 08:30:41:071,199846,199846,0,0,93753168244,975368653,198146,1338,362,384,391587,0 35,2,2024-09-07 08:30:41:583,143292,143292,0,0,5807550,0,2542 35,3,2024-09-07 08:30:40:907,1,114,2,0,219,1307,114,0 36,0,2024-09-07 08:30:41:584,27826,1.0,27732,1.1,56010,1.2,74613,2.50 36,1,2024-09-07 08:30:40:605,200194,200194,0,0,93939970505,984799725,197072,2190,932,366,391759,0 36,2,2024-09-07 08:30:41:763,145388,145388,0,0,7212509,0,3303 36,3,2024-09-07 08:30:40:864,1,114,1,0,378,1228,114,0 37,0,2024-09-07 08:30:41:382,27304,0.4,27313,0.6,54506,0.3,72889,1.75 37,1,2024-09-07 08:30:40:589,199570,199563,0,7,93720091696,988104458,196147,1874,1542,365,391518,0 37,2,2024-09-07 08:30:41:142,143677,143677,0,0,5694882,0,2333 37,3,2024-09-07 08:30:41:768,1,114,8,0,724,2254,114,0 38,0,2024-09-07 08:30:41:440,27960,0.4,27158,0.6,56705,0.3,74603,1.75 38,1,2024-09-07 08:30:41:609,200987,200987,0,0,93753152025,982523376,197980,2486,521,368,391821,0 38,2,2024-09-07 08:30:40:766,143378,143378,0,0,6763022,0,3245 38,3,2024-09-07 08:30:40:998,1,114,1,0,603,1670,114,0 39,0,2024-09-07 08:30:41:768,29977,0.7,29304,0.8,57247,0.9,77811,2.00 39,1,2024-09-07 08:30:40:735,199999,199999,0,0,93999808133,983715430,196984,2347,668,365,391524,0 39,2,2024-09-07 08:30:41:417,143732,143732,0,0,6195377,0,2689 39,3,2024-09-07 08:30:40:714,1,114,57,0,276,1716,114,0 40,0,2024-09-07 08:30:41:522,27559,1.2,28190,1.6,55868,1.8,74907,3.50 40,1,2024-09-07 08:30:40:604,199888,199888,0,0,93092425514,980200123,196012,3111,765,369,391591,0 40,2,2024-09-07 08:30:41:304,146631,146630,1,0,8073854,0,5137 40,3,2024-09-07 08:30:41:141,1,114,1,0,181,1346,114,0 41,0,2024-09-07 08:30:41:031,26761,1.6,27370,1.7,52269,2.8,72440,4.00 41,1,2024-09-07 08:30:40:768,200312,200312,0,0,94132490870,989718196,196732,3063,517,370,391742,0 41,2,2024-09-07 08:30:40:759,142227,142227,0,0,7375615,0,3356 41,3,2024-09-07 08:30:41:683,1,114,14,0,366,1537,114,0 42,0,2024-09-07 08:30:41:479,28678,0.6,28972,0.9,57494,0.6,75940,2.25 42,1,2024-09-07 08:30:41:439,199427,199427,0,0,93925270227,989719488,196034,2557,836,380,391675,0 42,2,2024-09-07 08:30:41:133,142052,142052,0,0,7481868,0,3568 42,3,2024-09-07 08:30:41:009,1,114,2,0,100,852,114,0 43,0,2024-09-07 08:30:40:925,29567,0.8,28751,1.0,59941,0.9,78597,2.25 43,1,2024-09-07 08:30:40:604,199669,199669,0,0,94077326765,983956906,197049,1868,752,366,391604,0 43,2,2024-09-07 08:30:41:736,144960,144960,0,0,7288884,0,3812 43,3,2024-09-07 08:30:41:749,1,114,1,0,325,1882,114,0 44,0,2024-09-07 08:30:40:861,29781,0.6,29640,0.9,59408,0.6,78896,2.00 44,1,2024-09-07 08:30:40:564,200668,200668,0,0,93757435477,971143032,199239,1300,129,356,391809,0 44,2,2024-09-07 08:30:41:267,144588,144588,0,0,5816916,0,1877 44,3,2024-09-07 08:30:41:096,1,114,2,0,817,1492,114,0 45,0,2024-09-07 08:30:41:783,26792,0.9,26220,1.0,54994,1.0,73111,2.00 45,1,2024-09-07 08:30:41:008,200492,200492,0,0,94265838724,980004524,199495,988,9,382,391917,0 45,2,2024-09-07 08:30:41:274,143656,143656,0,0,6180488,0,3596 45,3,2024-09-07 08:30:40:934,1,114,12,0,226,1097,114,0 46,0,2024-09-07 08:30:40:972,29299,0.4,29280,0.7,58725,0.3,77711,1.75 46,1,2024-09-07 08:30:40:604,200910,200910,0,0,93998168825,973964222,199476,1328,106,366,391514,0 46,2,2024-09-07 08:30:40:605,144115,144115,0,0,6168404,0,2920 46,3,2024-09-07 08:30:41:131,1,114,12,0,363,1752,114,0 47,0,2024-09-07 08:30:41:121,29381,0.4,29618,0.5,59316,0.3,78116,1.75 47,1,2024-09-07 08:30:40:566,200890,200890,0,0,93843899384,970400190,199936,944,10,366,391605,0 47,2,2024-09-07 08:30:40:914,145218,145218,0,0,6568997,0,2558 47,3,2024-09-07 08:30:41:115,1,114,10,0,529,1327,114,0 48,0,2024-09-07 08:30:41:513,28855,0.3,28646,0.5,57166,0.3,76423,1.75 48,1,2024-09-07 08:30:41:023,200704,200704,0,0,94424769409,983076006,199194,1503,7,384,391710,0 48,2,2024-09-07 08:30:40:699,143614,143614,0,0,5342920,0,2083 48,3,2024-09-07 08:30:40:753,1,114,3,0,339,1207,114,0 49,0,2024-09-07 08:30:41:717,28407,0.7,27669,0.8,54265,0.8,74346,2.00 49,1,2024-09-07 08:30:41:021,199497,199497,0,0,94102590786,984243121,197521,1256,720,382,391583,0 49,2,2024-09-07 08:30:41:797,145113,145113,0,0,6242204,0,3900 49,3,2024-09-07 08:30:41:416,1,114,408,0,408,1551,114,0 50,0,2024-09-07 08:30:41:516,28467,0.3,27968,0.5,56082,0.2,75329,1.75 50,1,2024-09-07 08:30:41:010,201461,201461,0,0,94609165755,984615791,199392,1772,297,368,391530,0 50,2,2024-09-07 08:30:41:070,143585,143585,0,0,5728627,0,2253 50,3,2024-09-07 08:30:41:297,1,114,1,0,335,1103,114,0 51,0,2024-09-07 08:30:41:698,29063,0.3,28510,0.4,55717,0.2,75743,1.50 51,1,2024-09-07 08:30:41:685,200460,200460,0,0,94932987262,985932430,198559,1117,784,367,391637,0 51,2,2024-09-07 08:30:41:316,143325,143325,0,0,5076658,0,2448 51,3,2024-09-07 08:30:41:027,1,114,1,0,162,749,114,0 52,0,2024-09-07 08:30:41:487,28914,0.6,28973,0.8,58119,0.7,76593,2.25 52,1,2024-09-07 08:30:40:604,200206,200206,0,0,93802759737,988467543,196321,3177,708,368,391722,0 52,2,2024-09-07 08:30:41:763,142461,142461,0,0,6806558,0,4779 52,3,2024-09-07 08:30:40:679,1,114,3,0,1782,2738,114,0 53,0,2024-09-07 08:30:41:795,27416,1.2,26514,1.1,55371,1.4,72944,2.75 53,1,2024-09-07 08:30:40:771,199894,199894,0,0,94089751047,986899566,196800,2513,581,370,391617,0 53,2,2024-09-07 08:30:41:298,147411,147411,0,0,5719440,0,1902 53,3,2024-09-07 08:30:40:697,1,114,8,0,133,926,114,0 54,0,2024-09-07 08:30:41:620,27191,1.5,27631,1.0,54401,0.6,74201,3.50 54,1,2024-09-07 08:30:40:621,200350,200350,0,0,94498552853,989432969,197123,2683,544,367,391520,0 54,2,2024-09-07 08:30:40:870,143095,143089,6,0,6832648,0,5382 54,3,2024-09-07 08:30:40:766,1,114,269,0,676,2571,114,0 55,0,2024-09-07 08:30:41:770,27314,0.5,28104,0.7,57080,0.4,73745,2.25 55,1,2024-09-07 08:30:40:768,199532,199532,0,0,93791874167,985662899,195135,3612,785,367,391731,0 55,2,2024-09-07 08:30:40:729,142347,142347,0,0,6590554,0,3275 55,3,2024-09-07 08:30:40:681,1,114,4,0,304,1359,114,0 56,0,2024-09-07 08:30:41:602,30025,1.5,28427,1.2,58374,2.1,78079,2.50 56,1,2024-09-07 08:30:40:604,199606,199606,0,0,93926426842,999993786,195075,3681,850,382,391678,0 56,2,2024-09-07 08:30:41:307,144233,144233,0,0,7888007,0,3567 56,3,2024-09-07 08:30:41:061,1,114,1,0,297,1567,114,0 57,0,2024-09-07 08:30:41:002,27918,2.2,27795,1.5,55910,3.2,74750,4.00 57,1,2024-09-07 08:30:40:986,199899,199899,0,0,93542121827,982014082,197489,2240,170,368,391773,0 57,2,2024-09-07 08:30:41:351,146341,146341,0,0,7838556,0,3178 57,3,2024-09-07 08:30:41:739,1,114,12,0,359,2383,114,0 58,0,2024-09-07 08:30:40:583,26186,1.0,25532,1.1,53499,1.0,70792,2.75 58,1,2024-09-07 08:30:40:604,200874,200871,0,3,94534758720,987484454,198421,2222,228,369,391516,3 58,2,2024-09-07 08:30:41:076,142776,142776,0,0,6776409,0,2549 58,3,2024-09-07 08:30:41:070,1,114,2,0,219,1050,114,0 59,0,2024-09-07 08:30:41:754,29410,0.9,29020,1.0,58380,0.9,77399,2.75 59,1,2024-09-07 08:30:40:811,200170,200170,0,0,94179121174,990232817,196805,2443,922,369,391515,0 59,2,2024-09-07 08:30:40:589,143891,143891,0,0,6210819,0,2604 59,3,2024-09-07 08:30:41:737,1,114,6,0,1015,2459,114,0 60,0,2024-09-07 08:30:41:729,29791,0.4,29868,0.6,59021,0.4,78454,1.75 60,1,2024-09-07 08:30:40:772,201750,201750,0,0,94306129939,980640675,200503,895,352,370,391761,0 60,2,2024-09-07 08:30:41:141,144646,144646,0,0,7165889,0,3811 60,3,2024-09-07 08:30:41:258,1,114,1,0,124,1188,114,0 61,0,2024-09-07 08:30:41:511,29340,1.7,29560,1.2,58981,2.6,78918,2.25 61,1,2024-09-07 08:30:40:771,199913,199913,0,0,94377863336,993396974,197064,2312,537,382,391589,0 61,2,2024-09-07 08:30:41:116,144750,144750,0,0,6069011,0,1846 61,3,2024-09-07 08:30:41:689,1,114,8,0,199,1544,114,0 62,0,2024-09-07 08:30:41:735,27682,1.7,28259,1.2,54152,2.8,74040,2.25 62,1,2024-09-07 08:30:41:111,201619,201613,0,6,94826387205,981144269,200192,1383,38,365,391715,6 62,2,2024-09-07 08:30:41:650,142736,142735,1,0,7076795,0,5555 62,3,2024-09-07 08:30:41:150,1,114,1,0,287,993,114,0 63,0,2024-09-07 08:30:41:460,29351,0.6,29470,0.7,58805,0.7,78259,2.00 63,1,2024-09-07 08:30:40:804,201260,201254,0,6,94603208145,981776581,200157,1077,20,381,391540,6 63,2,2024-09-07 08:30:40:768,143530,143530,0,0,5834741,0,2674 63,3,2024-09-07 08:30:41:732,1,114,2,0,667,2031,114,0 64,0,2024-09-07 08:30:41:550,28573,0.4,28470,0.6,57175,0.4,76374,1.75 64,1,2024-09-07 08:30:40:754,200324,200324,0,0,93858820745,987327182,197104,2169,1051,371,391783,0 64,2,2024-09-07 08:30:41:141,144619,144600,19,0,6659171,0,6121 64,3,2024-09-07 08:30:41:149,1,114,3,0,265,1329,114,0 65,0,2024-09-07 08:30:41:684,27775,1.5,28146,1.1,56307,2.0,74869,3.00 65,1,2024-09-07 08:30:40:859,199909,199909,0,0,93611698762,981742946,197901,1786,222,382,391770,0 65,2,2024-09-07 08:30:41:700,144166,144166,0,0,6947722,0,3367 65,3,2024-09-07 08:30:41:683,1,114,9,0,163,1227,114,0 66,0,2024-09-07 08:30:41:780,27433,0.7,27531,0.9,55153,0.7,73783,2.75 66,1,2024-09-07 08:30:41:298,200512,200512,0,0,94159835990,980058428,199128,1249,135,380,391588,0 66,2,2024-09-07 08:30:41:132,147368,147368,0,0,6467431,0,4956 66,3,2024-09-07 08:30:41:079,1,114,1,0,291,1303,114,0 67,0,2024-09-07 08:30:41:430,27604,0.6,27415,0.7,54763,0.6,72832,2.25 67,1,2024-09-07 08:30:40:768,199871,199870,0,1,94133379079,988306850,197142,2160,568,381,391787,1 67,2,2024-09-07 08:30:40:605,144008,144008,0,0,5689573,0,2889 67,3,2024-09-07 08:30:41:750,1,114,1,0,138,1093,114,0 68,0,2024-09-07 08:30:40:571,28253,0.5,28101,0.7,56075,0.5,74827,2.00 68,1,2024-09-07 08:30:40:603,200043,200043,0,0,93947888399,985130742,198317,1204,522,381,391953,0 68,2,2024-09-07 08:30:41:044,142407,142407,0,0,6695887,0,4883 68,3,2024-09-07 08:30:40:728,1,114,7,0,151,1162,114,0 69,0,2024-09-07 08:30:41:741,29084,1.1,29304,1.0,58318,1.4,77471,2.25 69,1,2024-09-07 08:30:41:028,199705,199705,0,0,93856293725,995131958,196761,1859,1085,384,391611,0 69,2,2024-09-07 08:30:41:737,143555,143555,0,0,7390696,0,3701 69,3,2024-09-07 08:30:40:760,1,114,14,0,238,1530,114,0 70,0,2024-09-07 08:30:41:580,27778,2.4,27938,1.8,56037,1.3,74538,3.50 70,1,2024-09-07 08:30:40:806,200611,200611,0,0,94654653644,985728141,198553,1598,460,367,391725,0 70,2,2024-09-07 08:30:41:333,146522,146522,0,0,7014103,0,4044 70,3,2024-09-07 08:30:40:753,1,114,1,0,178,819,114,0 71,0,2024-09-07 08:30:41:397,26724,1.5,26939,1.6,53439,2.3,72636,3.25 71,1,2024-09-07 08:30:41:598,200646,200646,0,0,94301538301,985293807,198098,2214,334,368,391682,0 71,2,2024-09-07 08:30:41:070,143169,143169,0,0,6267974,0,2146 71,3,2024-09-07 08:30:41:750,1,114,3,0,279,1470,114,0 72,0,2024-09-07 08:30:41:042,30178,0.6,29415,0.8,57646,0.5,77891,2.25 72,1,2024-09-07 08:30:41:021,200016,200016,0,0,93931813441,983809712,197230,2303,483,370,391819,0 72,2,2024-09-07 08:30:41:766,141876,141876,0,0,8032085,0,2570 72,3,2024-09-07 08:30:41:764,1,114,55,0,325,1568,114,0 73,0,2024-09-07 08:30:41:112,28934,0.4,29561,0.6,60483,0.3,78348,2.25 73,1,2024-09-07 08:30:40:769,200345,200345,0,0,93629665525,974555933,198751,1480,114,368,391627,0 73,2,2024-09-07 08:30:41:743,145196,145196,0,0,7654132,0,3482 73,3,2024-09-07 08:30:40:971,1,114,12,0,274,1915,114,0 74,0,2024-09-07 08:30:41:350,29819,0.8,30236,1.0,57825,0.9,78416,2.75 74,1,2024-09-07 08:30:40:638,200169,200169,0,0,93998693613,984004118,197731,1832,606,382,391681,0 74,2,2024-09-07 08:30:41:002,144655,144655,0,0,7803261,0,4253 74,3,2024-09-07 08:30:41:443,1,114,7,0,246,1688,114,0 75,0,2024-09-07 08:30:41:785,27247,0.8,27187,1.1,54340,0.8,73358,2.50 75,1,2024-09-07 08:30:41:593,200067,200067,0,0,94229211789,987315528,197717,1987,363,381,391579,0 75,2,2024-09-07 08:30:41:350,142770,142770,0,0,7025442,0,4766 75,3,2024-09-07 08:30:41:072,1,114,2,0,535,1498,114,0 76,0,2024-09-07 08:30:40:627,29320,0.5,29084,0.8,57999,0.4,77979,2.25 76,1,2024-09-07 08:30:40:810,200050,200050,0,0,93456624951,979252170,198139,1403,508,382,391530,0 76,2,2024-09-07 08:30:41:062,144640,144640,0,0,6160140,0,3064 76,3,2024-09-07 08:30:41:144,1,114,8,0,175,1324,114,0 77,0,2024-09-07 08:30:41:698,29402,0.6,29545,0.8,59269,0.6,77996,2.00 77,1,2024-09-07 08:30:40:824,200665,200665,0,0,93832507106,980572253,199288,1296,81,383,391808,0 77,2,2024-09-07 08:30:41:288,144783,144783,0,0,6225827,0,3890 77,3,2024-09-07 08:30:41:107,1,114,8,0,305,1682,114,0 78,0,2024-09-07 08:30:41:724,28605,0.7,28590,0.9,57372,0.7,76168,2.25 78,1,2024-09-07 08:30:40:610,200850,200850,0,0,93262750659,972997224,199091,1554,205,367,391589,0 78,2,2024-09-07 08:30:41:405,144586,144586,0,0,6020206,0,2114 78,3,2024-09-07 08:30:41:142,1,114,1,0,181,1424,114,0 79,0,2024-09-07 08:30:41:402,26714,0.6,27381,0.9,56038,0.6,72977,2.75 79,1,2024-09-07 08:30:40:592,201073,201073,0,0,93566076529,973391650,199075,1827,171,369,391682,0 79,2,2024-09-07 08:30:41:075,145390,145390,0,0,6267699,0,3212 79,3,2024-09-07 08:30:40:751,1,114,139,0,306,1981,114,0 80,0,2024-09-07 08:30:41:137,28118,0.5,29036,0.7,55717,0.5,75408,2.00 80,1,2024-09-07 08:30:41:635,199727,199727,0,0,93519217418,977440658,197568,2042,117,368,391673,0 80,2,2024-09-07 08:30:41:096,144170,144170,0,0,6504505,0,4433 80,3,2024-09-07 08:30:40:607,1,114,5,0,190,2058,114,0 81,0,2024-09-07 08:30:41:565,28434,0.5,29221,0.6,55935,0.5,75632,2.00 81,1,2024-09-07 08:30:41:654,200307,200307,0,0,93887813100,984706139,198298,1808,201,382,391879,0 81,2,2024-09-07 08:30:41:130,142738,142738,0,0,7084857,0,3993 81,3,2024-09-07 08:30:41:120,1,114,8,0,193,1176,114,0 82,0,2024-09-07 08:30:41:558,28714,0.7,28781,0.9,57787,0.7,76785,2.00 82,1,2024-09-07 08:30:40:605,200120,200116,0,4,94185118253,986369973,197756,1786,574,382,391558,4 82,2,2024-09-07 08:30:41:691,143937,143937,0,0,6232866,0,3986 82,3,2024-09-07 08:30:41:752,1,114,38,0,227,1690,114,0 83,0,2024-09-07 08:30:41:550,27655,1.2,27472,1.1,54546,1.3,72959,2.75 83,1,2024-09-07 08:30:40:554,200556,200556,0,0,94167564302,986181661,198623,1750,183,383,391553,0 83,2,2024-09-07 08:30:40:776,146331,146331,0,0,6517097,0,3119 83,3,2024-09-07 08:30:40:749,1,114,2,0,133,1176,114,0 84,0,2024-09-07 08:30:41:786,27661,0.9,27510,1.1,55163,0.7,74741,2.50 84,1,2024-09-07 08:30:41:039,199378,199378,0,0,93814262120,986466241,196512,2418,448,368,391852,0 84,2,2024-09-07 08:30:40:604,143121,143121,0,0,6630958,0,3801 84,3,2024-09-07 08:30:41:141,1,114,1,1,85,1051,114,0 85,0,2024-09-07 08:30:41:019,27273,0.5,27288,0.8,57797,0.5,74960,2.00 85,1,2024-09-07 08:30:40:562,199207,199207,0,0,93894344952,994772079,195622,3110,475,382,391679,0 85,2,2024-09-07 08:30:40:870,143013,143013,0,0,7326247,0,3656 85,3,2024-09-07 08:30:40:686,1,114,8,0,115,1192,114,0 86,0,2024-09-07 08:30:40:884,29275,0.7,30200,0.7,57978,0.7,77988,2.00 86,1,2024-09-07 08:30:40:825,200334,200334,0,0,94270681414,988291757,197722,2332,280,366,391961,0 86,2,2024-09-07 08:30:40:858,144050,144049,1,0,7711332,0,5004 86,3,2024-09-07 08:30:40:606,1,114,32,0,199,1375,114,0 87,0,2024-09-07 08:30:41:289,28297,2.2,28277,1.4,56631,3.5,75875,2.75 87,1,2024-09-07 08:30:40:553,199645,199645,0,0,92869841449,975398741,197317,2188,140,366,391788,0 87,2,2024-09-07 08:30:41:072,146056,146056,0,0,6276928,0,3515 87,3,2024-09-07 08:30:41:804,1,114,7,1,335,1857,114,0 88,0,2024-09-07 08:30:41:453,26999,0.7,27202,0.8,54033,0.8,72928,2.00 88,1,2024-09-07 08:30:40:605,199184,199184,0,0,93667227814,986000278,196426,1983,775,367,391787,0 88,2,2024-09-07 08:30:40:688,142815,142815,0,0,7392887,0,3583 88,3,2024-09-07 08:30:41:269,1,114,1,1,77,1250,114,0 89,0,2024-09-07 08:30:41:821,30265,0.5,29436,0.8,58259,0.5,78782,1.75 89,1,2024-09-07 08:30:40:562,199229,199229,0,0,93774547821,997516330,194713,3644,872,382,391866,0 89,2,2024-09-07 08:30:41:131,143144,143144,0,0,7313437,0,2901 89,3,2024-09-07 08:30:41:810,1,114,27,0,325,2572,114,0 90,0,2024-09-07 08:30:41:639,28801,0.4,29332,0.6,60404,0.4,78377,1.75 90,1,2024-09-07 08:30:40:613,200572,200572,0,0,93086061702,981963106,197848,2566,158,382,391825,0 90,2,2024-09-07 08:30:41:407,143777,143777,0,0,8073303,0,2635 90,3,2024-09-07 08:30:40:941,1,114,2,1,200,1336,114,0 91,0,2024-09-07 08:30:40:968,29898,0.5,28982,0.7,60122,0.6,79222,1.75 91,1,2024-09-07 08:30:40:556,199714,199714,0,0,94060482596,992713284,196418,2803,493,381,391914,0 91,2,2024-09-07 08:30:41:331,142882,142882,0,0,7897526,0,2445 91,3,2024-09-07 08:30:40:615,1,114,3,0,155,1463,114,0 92,0,2024-09-07 08:30:41:447,27985,0.8,28572,0.9,54630,0.9,74349,1.75 92,1,2024-09-07 08:30:40:605,200140,200140,0,0,93743385633,982610496,198234,1512,394,382,391717,0 92,2,2024-09-07 08:30:41:375,145290,145290,0,0,6196820,0,2279 92,3,2024-09-07 08:30:41:009,1,114,1,0,68,1098,114,0 93,0,2024-09-07 08:30:40:988,29622,0.5,30290,0.7,58155,0.5,78700,1.75 93,1,2024-09-07 08:30:40:806,200322,200322,0,0,94404344027,990036226,197905,1971,446,366,391689,0 93,2,2024-09-07 08:30:40:942,143959,143959,0,0,6295097,0,2509 93,3,2024-09-07 08:30:41:406,1,114,18,0,143,1213,114,0 94,0,2024-09-07 08:30:41:624,28710,0.3,28943,0.5,57523,0.3,76291,1.75 94,1,2024-09-07 08:30:40:563,200208,200208,0,0,93696144101,984311325,198110,2019,79,381,391850,0 94,2,2024-09-07 08:30:40:780,143592,143592,0,0,6079796,0,2443 94,3,2024-09-07 08:30:41:689,1,114,4,0,264,2064,114,0 95,0,2024-09-07 08:30:41:398,28554,0.4,28401,0.6,57225,0.4,75749,1.75 95,1,2024-09-07 08:30:40:855,200442,200442,0,0,93959401911,977211788,199420,958,64,367,391600,0 95,2,2024-09-07 08:30:41:020,143572,143572,0,0,6393618,0,3308 95,3,2024-09-07 08:30:41:710,1,114,1,0,307,1947,114,0 96,0,2024-09-07 08:30:41:048,27993,0.7,28026,0.7,55763,0.7,74380,1.75 96,1,2024-09-07 08:30:41:583,200342,200342,0,0,93587380230,983735907,198142,1629,571,385,391596,0 96,2,2024-09-07 08:30:41:268,146121,146121,0,0,6694376,0,4038 96,3,2024-09-07 08:30:41:140,1,114,1,0,188,1351,114,0 97,0,2024-09-07 08:30:41:341,27288,0.3,27390,0.5,54815,0.3,72760,1.75 97,1,2024-09-07 08:30:40:768,200254,200254,0,0,94191131010,984711039,197792,1942,520,367,392140,0 97,2,2024-09-07 08:30:40:609,143117,143117,0,0,6022269,0,3036 97,3,2024-09-07 08:30:40:603,1,114,26,1,165,1457,114,0 98,0,2024-09-07 08:30:41:775,28199,0.2,27974,0.4,56488,0.2,75194,1.50 98,1,2024-09-07 08:30:40:617,200429,200429,0,0,93969274578,983308846,198710,1635,84,382,391997,0 98,2,2024-09-07 08:30:40:772,144163,144163,0,0,6145975,0,3080 98,3,2024-09-07 08:30:40:698,1,114,2,1,840,2701,114,0 99,0,2024-09-07 08:30:41:471,29240,0.3,29336,0.5,58443,0.3,77748,1.75 99,1,2024-09-07 08:30:41:723,201138,201138,0,0,93522672146,978413393,199196,1346,596,381,391744,0 99,2,2024-09-07 08:30:41:417,145065,145065,0,0,6440744,0,1973 99,3,2024-09-07 08:30:40:607,1,114,2,0,129,868,114,0 100,0,2024-09-07 08:30:41:477,28031,1.2,28035,1.8,55912,3.5,75431,2.50 100,1,2024-09-07 08:30:40:557,199469,199469,0,0,93750855685,995962557,195713,3266,490,381,391989,0 100,2,2024-09-07 08:30:41:835,146099,146088,11,0,7555430,0,5417 100,3,2024-09-07 08:30:41:732,1,114,2,0,443,2467,114,0 101,0,2024-09-07 08:30:41:705,27587,2.7,26803,1.5,53042,2.3,73458,2.75 101,1,2024-09-07 08:30:40:583,199353,199353,0,0,94284292309,999370152,195569,2499,1285,368,391709,0 101,2,2024-09-07 08:30:41:762,142475,142475,0,0,7242479,0,4644 101,3,2024-09-07 08:30:40:949,1,114,8,0,448,1537,114,0 102,0,2024-09-07 08:30:41:027,28484,0.7,29534,0.8,59266,0.6,77454,2.00 102,1,2024-09-07 08:30:41:143,199708,199708,0,0,93511501468,985888536,196882,2310,516,369,391831,0 102,2,2024-09-07 08:30:41:738,143853,143853,0,0,5993109,0,2144 102,3,2024-09-07 08:30:41:613,1,114,63,0,410,1420,114,0 103,0,2024-09-07 08:30:41:649,30400,0.5,30334,0.7,57407,0.4,78697,1.75 103,1,2024-09-07 08:30:41:625,199368,199368,0,0,93672212930,989375764,196206,2257,905,381,391680,0 103,2,2024-09-07 08:30:40:614,144394,144394,0,0,6584588,0,2104 103,3,2024-09-07 08:30:40:758,1,114,21,0,916,2590,114,0 104,0,2024-09-07 08:30:41:035,29456,1.2,29493,1.2,58014,1.3,78685,2.25 104,1,2024-09-07 08:30:41:601,200258,200258,0,0,93680483945,990754842,196585,2979,694,366,391948,0 104,2,2024-09-07 08:30:41:666,144048,144048,0,0,7413673,0,3941 104,3,2024-09-07 08:30:41:416,1,114,1,1,1245,4587,114,0 105,0,2024-09-07 08:30:41:035,26843,1.4,26015,1.3,54244,2.3,72561,3.75 105,1,2024-09-07 08:30:40:561,200091,200091,0,0,93618498572,987225719,196419,2910,762,367,391797,0 105,2,2024-09-07 08:30:41:322,142839,142839,0,0,6661146,0,3314 105,3,2024-09-07 08:30:41:307,1,114,8,1,399,1971,114,0 106,0,2024-09-07 08:30:40:961,28491,0.7,29174,0.9,59336,0.6,77706,2.00 106,1,2024-09-07 08:30:41:751,200272,200272,0,0,93173943431,979983027,197400,2543,329,369,391767,0 106,2,2024-09-07 08:30:40:756,143358,143358,0,0,6760151,0,2795 106,3,2024-09-07 08:30:40:681,1,114,14,1,201,1222,114,0 107,0,2024-09-07 08:30:41:108,29561,0.5,29351,0.7,58473,0.4,78247,1.75 107,1,2024-09-07 08:30:40:609,199922,199922,0,0,93449502232,986664301,197255,2443,224,381,392234,0 107,2,2024-09-07 08:30:41:299,143811,143810,1,0,7199414,0,5024 107,3,2024-09-07 08:30:41:758,1,114,2,0,353,1545,114,0 108,0,2024-09-07 08:30:41:802,28802,0.5,28900,0.7,57219,0.5,76569,1.75 108,1,2024-09-07 08:30:41:308,200044,200044,0,0,94122396661,986825772,197758,2000,286,368,391857,0 108,2,2024-09-07 08:30:41:783,143173,143173,0,0,6879965,0,2647 108,3,2024-09-07 08:30:41:341,1,114,29,0,272,1774,114,0 109,0,2024-09-07 08:30:41:816,27750,0.6,27815,0.7,55321,0.6,74376,1.75 109,1,2024-09-07 08:30:40:614,199667,199667,0,0,94445584010,995521181,197297,1911,459,383,391812,0 109,2,2024-09-07 08:30:40:921,143911,143911,0,0,6879036,0,3617 109,3,2024-09-07 08:30:41:140,1,114,10,1,249,1763,114,0 110,0,2024-09-07 08:30:41:757,28334,0.3,27577,0.5,57581,0.3,75576,1.75 110,1,2024-09-07 08:30:41:644,200486,200486,0,0,94039962474,982787734,198263,1526,697,370,391667,0 110,2,2024-09-07 08:30:41:305,143985,143985,0,0,6272664,0,2915 110,3,2024-09-07 08:30:40:690,1,114,2,0,406,1827,114,0 111,0,2024-09-07 08:30:41:428,28513,0.2,28018,0.4,56220,0.2,75591,1.50 111,1,2024-09-07 08:30:41:000,200680,200680,0,0,94856785967,986320988,199261,1093,326,382,391690,0 111,2,2024-09-07 08:30:41:116,143105,143105,0,0,6941282,0,2763 111,3,2024-09-07 08:30:40:913,1,114,5,0,300,1917,114,0 112,0,2024-09-07 08:30:40:922,29059,0.3,28949,0.5,57924,0.3,77000,1.50 112,1,2024-09-07 08:30:40:828,200517,200517,0,0,94316298198,983309453,198783,1387,347,381,391580,0 112,2,2024-09-07 08:30:41:133,143466,143465,1,0,6876898,0,5036 112,3,2024-09-07 08:30:40:604,1,114,19,0,282,1465,114,0 113,0,2024-09-07 08:30:40:876,27474,0.5,27264,0.6,55416,0.4,73947,1.75 113,1,2024-09-07 08:30:41:692,200597,200597,0,0,94390106491,980177277,198558,1655,384,368,391661,0 113,2,2024-09-07 08:30:41:312,147750,147750,0,0,6002412,0,3813 113,3,2024-09-07 08:30:40:686,1,114,8,0,288,1796,114,0 114,0,2024-09-07 08:30:40:880,28051,0.9,28522,0.8,55911,0.5,75283,2.00 114,1,2024-09-07 08:30:40:716,200194,200194,0,0,93950477611,985253830,197383,1929,882,381,391531,0 114,2,2024-09-07 08:30:40:873,143122,143122,0,0,6045279,0,3925 114,3,2024-09-07 08:30:41:287,1,114,1,0,395,1751,114,0 115,0,2024-09-07 08:30:40:570,28246,0.3,28577,0.4,57239,0.2,75645,1.50 115,1,2024-09-07 08:30:40:604,200504,200504,0,0,94582929513,992691192,196926,2758,820,382,391602,0 115,2,2024-09-07 08:30:41:158,143327,143327,0,0,5632837,0,2152 115,3,2024-09-07 08:30:41:006,1,114,2,0,159,855,114,0 116,0,2024-09-07 08:30:41:702,29493,0.8,29068,0.9,58622,0.8,77927,2.00 116,1,2024-09-07 08:30:40:813,199239,199239,0,0,93603402854,995749780,195733,2120,1386,382,391619,0 116,2,2024-09-07 08:30:41:751,143619,143619,0,0,7650021,0,3529 116,3,2024-09-07 08:30:40:917,1,114,11,1,252,1802,114,0 117,0,2024-09-07 08:30:40:957,28359,1.6,28483,1.2,56450,2.8,75938,2.00 117,1,2024-09-07 08:30:41:579,200282,200282,0,0,93452646368,984359112,197224,2661,397,370,392033,0 117,2,2024-09-07 08:30:41:118,147065,147065,0,0,6391657,0,3700 117,3,2024-09-07 08:30:41:059,1,114,12,0,490,2633,114,0 118,0,2024-09-07 08:30:41:853,26393,0.7,26985,0.8,55185,0.8,72510,2.00 118,1,2024-09-07 08:30:40:614,200148,200148,0,0,93653390969,985685212,197453,2296,399,368,391736,0 118,2,2024-09-07 08:30:41:601,143162,143162,0,0,6923792,0,2781 118,3,2024-09-07 08:30:41:773,1,114,1,0,235,1645,114,0 119,0,2024-09-07 08:30:41:350,29110,1.0,29199,0.9,59267,1.4,78101,2.00 119,1,2024-09-07 08:30:40:553,200409,200409,0,0,93568915801,979369456,198304,1823,282,370,391641,0 119,2,2024-09-07 08:30:41:265,142469,142469,0,0,6030090,0,2532 119,3,2024-09-07 08:30:41:324,1,114,1,0,443,2469,114,0 120,0,2024-09-07 08:30:41:562,29298,0.5,29392,0.8,58671,0.5,78335,2.00 120,1,2024-09-07 08:30:40:858,200337,200337,0,0,93220190844,979662160,198255,2038,44,368,391702,0 120,2,2024-09-07 08:30:40:779,144083,144082,1,0,8527626,0,5281 120,3,2024-09-07 08:30:41:306,1,114,1,0,241,1722,114,0 121,0,2024-09-07 08:30:41:693,29269,1.7,29675,1.3,59093,2.9,79081,2.25 121,1,2024-09-07 08:30:41:657,200252,200252,0,0,93977010620,986739895,197753,2217,282,367,391840,0 121,2,2024-09-07 08:30:41:124,143387,143387,0,0,8059049,0,4127 121,3,2024-09-07 08:30:40:727,1,114,8,0,159,1459,114,0 122,0,2024-09-07 08:30:41:769,27445,1.6,26617,1.4,55670,1.8,74399,2.25 122,1,2024-09-07 08:30:40:866,199468,199468,0,0,93736123770,989372684,195923,2904,641,366,392130,0 122,2,2024-09-07 08:30:41:331,143954,143954,0,0,7837610,0,3364 122,3,2024-09-07 08:30:40:614,1,114,3,0,298,2421,114,0 123,0,2024-09-07 08:30:41:008,29440,1.0,28655,0.9,59939,1.2,78394,2.25 123,1,2024-09-07 08:30:40:562,200812,200812,0,0,94172373633,995288867,196934,3305,573,369,391823,0 123,2,2024-09-07 08:30:41:028,142845,142844,1,0,6943869,0,5215 123,3,2024-09-07 08:30:41:132,1,114,2,1,168,1756,114,0 124,0,2024-09-07 08:30:40:926,29544,0.3,29515,0.5,55658,0.2,76509,1.75 124,1,2024-09-07 08:30:41:023,200170,200170,0,0,93917324364,978814703,198447,1371,352,367,392178,0 124,2,2024-09-07 08:30:41:010,144325,144325,0,0,6310158,0,3101 124,3,2024-09-07 08:30:40:758,1,114,3,1,490,2020,114,0 125,0,2024-09-07 08:30:41:428,28538,0.5,28475,0.6,57127,0.5,75701,1.75 125,1,2024-09-07 08:30:40:860,199619,199619,0,0,93995404199,985570488,197354,1990,275,384,391702,0 125,2,2024-09-07 08:30:41:116,143941,143941,0,0,6174012,0,2180 125,3,2024-09-07 08:30:41:126,1,114,9,1,284,1651,114,0 126,0,2024-09-07 08:30:41:469,27874,0.9,28644,0.9,54873,1.0,74854,2.00 126,1,2024-09-07 08:30:40:553,200852,200852,0,0,94289319510,980971928,199378,1398,76,365,391987,0 126,2,2024-09-07 08:30:40:622,146745,146745,0,0,6328586,0,3186 126,3,2024-09-07 08:30:40:911,1,114,135,0,136,1667,114,0 127,0,2024-09-07 08:30:41:700,27177,0.4,27395,0.6,54832,0.4,72854,1.75 127,1,2024-09-07 08:30:40:605,200678,200678,0,0,94282644574,980485508,198936,1707,35,365,391816,0 127,2,2024-09-07 08:30:40:654,143587,143587,0,0,5697936,0,1803 127,3,2024-09-07 08:30:41:271,1,114,5,0,99,844,114,0 128,0,2024-09-07 08:30:41:526,28335,0.3,28293,0.4,56283,0.2,75115,1.50 128,1,2024-09-07 08:30:41:605,200585,200585,0,0,94375875407,979487421,199743,771,71,367,391680,0 128,2,2024-09-07 08:30:41:384,142587,142587,0,0,6185909,0,2107 128,3,2024-09-07 08:30:40:768,1,114,1,0,247,1416,114,0 129,0,2024-09-07 08:30:41:000,29394,0.3,29358,0.5,58470,0.3,77643,1.75 129,1,2024-09-07 08:30:40:604,199817,199817,0,0,94007519266,989174430,197084,2358,375,379,391835,0 129,2,2024-09-07 08:30:40:687,143802,143802,0,0,6138066,0,4031 129,3,2024-09-07 08:30:40:688,1,114,17,1,173,1599,114,0 130,0,2024-09-07 08:30:41:730,28502,1.1,28268,0.9,56646,1.7,75999,2.00 130,1,2024-09-07 08:30:40:611,200288,200288,0,0,94373291140,987998278,198265,1955,68,381,391825,0 130,2,2024-09-07 08:30:41:125,146549,146549,0,0,6368364,0,4067 130,3,2024-09-07 08:30:41:301,1,114,1,0,207,1138,114,0 131,0,2024-09-07 08:30:41:965,27267,0.5,27286,0.7,55342,0.6,73581,1.75 131,1,2024-09-07 08:30:41:835,201204,201204,0,0,93358978896,974977654,199826,1173,205,384,391865,0 131,2,2024-09-07 08:30:40:613,143265,143265,0,0,5699573,0,2415 131,3,2024-09-07 08:30:41:692,1,114,5,0,392,1413,114,0 132,0,2024-09-07 08:30:41:446,28923,0.5,29354,0.8,58753,0.5,77810,1.75 132,1,2024-09-07 08:30:40:609,199565,199565,0,0,93424769746,989496492,195796,3000,769,381,391719,0 132,2,2024-09-07 08:30:40:699,142546,142546,0,0,8171103,0,4606 132,3,2024-09-07 08:30:41:689,1,114,1,0,356,1966,114,0 133,0,2024-09-07 08:30:41:530,28805,0.5,29368,0.6,60428,0.4,77982,2.00 133,1,2024-09-07 08:30:40:592,198757,198757,0,0,93598973617,990642840,195527,2999,231,383,391755,0 133,2,2024-09-07 08:30:41:089,144549,144549,0,0,7960505,0,4315 133,3,2024-09-07 08:30:41:306,1,114,9,1,187,1086,114,0 134,0,2024-09-07 08:30:41:023,29539,0.7,29371,0.9,59255,0.8,78495,2.00 134,1,2024-09-07 08:30:40:612,199745,199745,0,0,93242183813,980978454,196368,2288,1089,366,391718,0 134,2,2024-09-07 08:30:41:768,144719,144719,0,0,6549295,0,3096 134,3,2024-09-07 08:30:40:760,1,114,3,0,739,2367,114,0 135,0,2024-09-07 08:30:41:152,26545,1.0,26485,1.1,55915,1.1,72545,2.25 135,1,2024-09-07 08:30:41:598,199673,199673,0,0,94087680496,993245881,196271,2702,700,380,391725,0 135,2,2024-09-07 08:30:40:702,143659,143659,0,0,6873371,0,3981 135,3,2024-09-07 08:30:41:014,1,114,1,0,89,742,114,0 136,0,2024-09-07 08:30:41:667,29647,0.6,29448,0.8,59273,0.6,78776,2.00 136,1,2024-09-07 08:30:41:444,199696,199696,0,0,93720748114,985473367,196992,2539,165,384,391641,0 136,2,2024-09-07 08:30:41:138,144474,144474,0,0,6807203,0,3506 136,3,2024-09-07 08:30:41:107,1,114,1,0,108,1043,114,0 137,0,2024-09-07 08:30:40:924,30132,0.6,29255,0.7,58088,0.5,78409,2.00 137,1,2024-09-07 08:30:40:608,200067,200067,0,0,93820028444,983512072,197483,2405,179,367,391608,0 137,2,2024-09-07 08:30:41:706,143990,143990,0,0,8240158,0,3185 137,3,2024-09-07 08:30:40:769,1,114,8,1,227,1488,114,0 138,0,2024-09-07 08:30:41:757,28056,2.1,28310,1.4,57123,3.3,76505,2.75 138,1,2024-09-07 08:30:41:707,200096,200096,0,0,94153313076,989171656,197203,2545,348,369,391954,0 138,2,2024-09-07 08:30:40:608,144237,144237,0,0,6675158,0,3263 138,3,2024-09-07 08:30:40:610,1,114,9,0,1160,2187,114,0 139,0,2024-09-07 08:30:41:374,26910,3.6,26978,2.0,54745,4.9,73509,3.25 139,1,2024-09-07 08:30:40:605,199610,199610,0,0,93308003211,995095146,195313,3099,1198,381,391892,0 139,2,2024-09-07 08:30:40:759,143640,143640,0,0,7622905,0,3097 139,3,2024-09-07 08:30:41:667,1,114,4,0,244,1581,114,0 140,0,2024-09-07 08:30:41:594,28459,0.3,28354,0.5,56866,0.2,76091,1.75 140,1,2024-09-07 08:30:41:547,200986,200986,0,0,94908412511,981259686,199838,933,215,365,391606,0 140,2,2024-09-07 08:30:40:687,144612,144612,0,0,6658419,0,3388 140,3,2024-09-07 08:30:40:768,1,114,1,0,39,772,114,0 141,0,2024-09-07 08:30:41:703,28108,0.2,29062,0.4,55809,0.1,75715,1.50 141,1,2024-09-07 08:30:40:863,200627,200627,0,0,94401617316,983212421,198902,1373,352,379,391539,0 141,2,2024-09-07 08:30:41:689,143191,143191,0,0,5963319,0,2342 141,3,2024-09-07 08:30:41:045,1,114,8,0,147,951,114,0 142,0,2024-09-07 08:30:41:312,29322,0.3,28992,0.5,57678,0.3,77199,1.75 142,1,2024-09-07 08:30:40:606,200656,200656,0,0,94453331702,985646842,199563,960,133,384,391649,0 142,2,2024-09-07 08:30:41:306,142112,142080,32,0,7495101,0,6028 142,3,2024-09-07 08:30:41:746,1,114,4,0,484,1851,114,0 143,0,2024-09-07 08:30:41:376,27592,0.9,27720,0.8,55755,1.1,73829,2.00 143,1,2024-09-07 08:30:40:560,200341,200341,0,0,93646056217,976436826,198332,1969,40,367,391619,0 143,2,2024-09-07 08:30:40:769,146496,146496,0,0,6655244,0,2669 143,3,2024-09-07 08:30:41:139,1,114,5,0,303,2125,114,0 144,0,2024-09-07 08:30:41:509,26984,0.6,27780,1.4,56294,0.5,75055,2.00 144,1,2024-09-07 08:30:40:567,199512,199512,0,0,93144199580,981051000,197416,1896,200,381,391638,0 144,2,2024-09-07 08:30:41:764,143560,143560,0,0,6135256,0,3473 144,3,2024-09-07 08:30:41:740,1,114,111,0,249,1831,114,0 145,0,2024-09-07 08:30:41:372,27459,0.6,27372,0.9,57951,0.5,75547,2.00 145,1,2024-09-07 08:30:40:555,199084,199084,0,0,94019125869,994406680,195670,2783,631,383,391615,0 145,2,2024-09-07 08:30:41:432,142804,142804,0,0,6936188,0,3903 145,3,2024-09-07 08:30:40:900,1,114,26,0,151,1480,114,0 146,0,2024-09-07 08:30:41:624,29275,0.5,29061,0.7,58639,0.5,77484,2.25 146,1,2024-09-07 08:30:41:584,200217,200217,0,0,94178606546,994434867,196112,3310,795,368,391600,0 146,2,2024-09-07 08:30:41:699,143991,143991,0,0,6740653,0,2379 146,3,2024-09-07 08:30:41:279,1,114,1,0,1520,4451,114,0 147,0,2024-09-07 08:30:41:721,28341,2.0,28172,1.4,55797,2.9,75841,2.75 147,1,2024-09-07 08:30:41:372,200916,200916,0,0,94723481925,988054319,198691,1834,391,368,391791,0 147,2,2024-09-07 08:30:41:043,146317,146317,0,0,6522348,0,2789 147,3,2024-09-07 08:30:40:916,1,114,2,0,141,1255,114,0 0,0,2024-09-07 08:30:51:817,28692,0.5,28752,0.7,60814,0.5,78506,1.75 0,1,2024-09-07 08:30:50:804,201740,201740,0,0,94572196021,993974624,200181,1430,129,372,391692,0 0,2,2024-09-07 08:30:51:091,145989,145989,0,0,6685297,0,4480 0,3,2024-09-07 08:30:50:983,1,115,1,0,247,1817,115,0 1,0,2024-09-07 08:30:51:788,29825,2.1,29549,1.5,59439,2.7,79371,2.50 1,1,2024-09-07 08:30:50:561,200900,200900,0,0,93916289331,992218189,197557,2481,862,372,391857,0 1,2,2024-09-07 08:30:50:641,145495,145495,0,0,6275701,0,3267 1,3,2024-09-07 08:30:51:311,1,115,20,0,262,1586,115,0 2,0,2024-09-07 08:30:51:569,27745,1.2,27889,1.2,55377,1.8,74593,2.50 2,1,2024-09-07 08:30:50:869,201635,201635,0,0,94430036975,985033571,200337,1093,205,380,391558,0 2,2,2024-09-07 08:30:51:267,144195,144195,0,0,6498040,0,3594 2,3,2024-09-07 08:30:50:690,1,115,2,0,214,1142,115,0 3,0,2024-09-07 08:30:51:753,29404,0.6,29405,0.8,58942,0.7,77927,2.00 3,1,2024-09-07 08:30:51:626,201246,201246,0,0,95044499128,996864909,198430,2414,402,382,391516,0 3,2,2024-09-07 08:30:51:146,145227,145204,23,0,6861632,0,5851 3,3,2024-09-07 08:30:51:760,1,115,2,0,103,864,115,0 4,0,2024-09-07 08:30:51:854,28179,0.3,28908,0.5,58674,0.2,77135,1.50 4,1,2024-09-07 08:30:50:602,201805,201805,0,0,94650446066,999019315,199299,1986,520,371,391846,0 4,2,2024-09-07 08:30:51:022,144352,144352,0,0,7966348,0,4528 4,3,2024-09-07 08:30:51:040,1,115,6,0,287,1792,115,0 5,0,2024-09-07 08:30:51:429,28758,0.6,28587,0.7,57456,0.7,76158,1.75 5,1,2024-09-07 08:30:50:772,200949,200949,0,0,94738698450,1002556526,197283,2704,962,368,392005,0 5,2,2024-09-07 08:30:51:852,144526,144526,0,0,6561628,0,2259 5,3,2024-09-07 08:30:51:733,1,115,13,0,238,2025,115,0 6,0,2024-09-07 08:30:50:930,28551,0.9,28198,1.1,56097,1.2,75985,2.50 6,1,2024-09-07 08:30:50:751,202372,202372,0,0,94623642845,988674655,200799,1498,75,381,391603,0 6,2,2024-09-07 08:30:51:125,147210,147210,0,0,6361753,0,2411 6,3,2024-09-07 08:30:51:277,1,115,13,0,340,1817,115,0 7,0,2024-09-07 08:30:51:546,27435,0.4,27464,0.6,55258,0.3,73436,1.75 7,1,2024-09-07 08:30:50:853,202304,202304,0,0,95057266927,995966889,200292,1925,87,383,391664,0 7,2,2024-09-07 08:30:50:776,144130,144130,0,0,6001840,0,2981 7,3,2024-09-07 08:30:50:854,1,115,2,0,305,1340,115,0 8,0,2024-09-07 08:30:51:411,28313,0.3,28543,0.4,56440,0.2,76016,1.50 8,1,2024-09-07 08:30:51:019,201610,201610,0,0,94468350307,1001352855,197663,2662,1285,368,391956,0 8,2,2024-09-07 08:30:50:816,142880,142880,0,0,7619733,0,2986 8,3,2024-09-07 08:30:50:597,1,115,11,0,357,1891,115,0 9,0,2024-09-07 08:30:51:124,29400,0.4,28661,0.5,59771,0.3,78046,1.75 9,1,2024-09-07 08:30:50:551,201344,201344,0,0,94793046923,1004697879,197570,2766,1008,370,391738,0 9,2,2024-09-07 08:30:51:089,144247,144247,0,0,7333901,0,3360 9,3,2024-09-07 08:30:51:753,1,115,8,0,496,1925,115,0 10,0,2024-09-07 08:30:51:606,28522,0.5,28458,0.7,57102,0.5,76405,1.75 10,1,2024-09-07 08:30:50:590,201800,201800,0,0,94396582568,994818102,198138,3138,524,381,391741,0 10,2,2024-09-07 08:30:50:773,147919,147919,0,0,7042223,0,2940 10,3,2024-09-07 08:30:50:874,1,115,1,0,136,895,115,0 11,0,2024-09-07 08:30:51:049,27226,0.5,26545,0.7,55701,0.4,74989,2.00 11,1,2024-09-07 08:30:50:574,201366,201366,0,0,94853136527,1001316578,197249,2987,1130,384,391537,0 11,2,2024-09-07 08:30:51:123,143884,143884,0,0,6751718,0,3411 11,3,2024-09-07 08:30:51:298,1,115,0,0,720,2724,115,0 12,0,2024-09-07 08:30:50:965,29586,0.3,29431,0.5,59084,0.3,78093,1.50 12,1,2024-09-07 08:30:50:961,201335,201335,0,0,94137072221,989909888,198529,2396,410,371,391837,0 12,2,2024-09-07 08:30:51:560,144896,144896,0,0,7268397,0,3469 12,3,2024-09-07 08:30:51:074,1,115,142,0,358,2251,115,0 13,0,2024-09-07 08:30:51:408,29715,0.4,29831,0.5,59503,0.4,78874,1.75 13,1,2024-09-07 08:30:51:542,202149,202149,0,0,94701343762,996877410,200223,1550,376,384,391717,0 13,2,2024-09-07 08:30:50:596,146457,146457,0,0,6633866,0,3287 13,3,2024-09-07 08:30:51:766,1,115,11,1,416,2235,115,0 14,0,2024-09-07 08:30:50:574,29666,0.6,29849,0.8,59076,0.6,78295,2.00 14,1,2024-09-07 08:30:51:574,203045,203045,0,0,95522397359,993735207,201243,1641,161,364,391571,0 14,2,2024-09-07 08:30:50:771,146089,146089,0,0,6817159,0,2896 14,3,2024-09-07 08:30:51:122,1,115,2,0,1168,2588,115,0 15,0,2024-09-07 08:30:51:569,27570,0.6,27411,0.9,54940,0.6,73523,2.00 15,1,2024-09-07 08:30:51:613,201417,201417,0,0,94373160014,984799940,200063,1294,60,381,391536,0 15,2,2024-09-07 08:30:50:999,144917,144917,0,0,5445017,0,3043 15,3,2024-09-07 08:30:51:410,1,115,1,0,1126,3775,115,0 16,0,2024-09-07 08:30:50:968,29436,0.6,29828,0.8,59012,0.7,78837,2.25 16,1,2024-09-07 08:30:50:574,201890,201890,0,0,94607966167,993014666,199983,1649,258,372,391756,0 16,2,2024-09-07 08:30:51:446,144011,144011,0,0,7056222,0,4719 16,3,2024-09-07 08:30:51:147,1,115,19,0,231,1736,115,0 17,0,2024-09-07 08:30:51:842,30552,0.5,29739,0.7,58478,0.4,78905,2.00 17,1,2024-09-07 08:30:50:573,201078,201078,0,0,94249501783,996226313,198361,2187,530,369,391688,0 17,2,2024-09-07 08:30:51:678,146773,146773,0,0,6874652,0,2857 17,3,2024-09-07 08:30:50:579,1,115,16,0,268,1970,115,0 18,0,2024-09-07 08:30:50:964,28216,1.1,28660,1.1,57534,1.3,76664,2.50 18,1,2024-09-07 08:30:51:648,201842,201842,0,0,94441316159,984216009,200120,1488,234,368,391564,0 18,2,2024-09-07 08:30:51:768,145154,145154,0,0,6752956,0,3541 18,3,2024-09-07 08:30:50:909,1,115,1,0,163,1300,115,0 19,0,2024-09-07 08:30:51:565,27654,1.4,27760,1.2,54988,1.7,73298,3.75 19,1,2024-09-07 08:30:50:572,202063,202063,0,0,95438917603,999108067,199133,2422,508,367,391777,0 19,2,2024-09-07 08:30:51:752,146295,146295,0,0,6020065,0,3988 19,3,2024-09-07 08:30:51:141,1,115,3,0,524,1342,115,0 20,0,2024-09-07 08:30:51:426,28733,0.6,28715,0.7,57382,0.6,76687,2.25 20,1,2024-09-07 08:30:50:589,202080,202080,0,0,95060899093,996926886,200074,1829,177,370,391598,0 20,2,2024-09-07 08:30:50:947,144862,144862,0,0,6421652,0,3721 20,3,2024-09-07 08:30:50:596,1,115,224,0,414,2633,115,0 21,0,2024-09-07 08:30:51:147,28912,0.4,28773,0.5,57365,0.3,76286,1.75 21,1,2024-09-07 08:30:51:556,201242,201242,0,0,93940825231,991224092,198585,2152,505,368,391962,0 21,2,2024-09-07 08:30:51:076,144850,144850,0,0,6788573,0,3747 21,3,2024-09-07 08:30:51:423,1,115,8,0,93,1521,115,0 22,0,2024-09-07 08:30:51:743,28765,1.0,28801,1.1,57398,1.2,76487,2.50 22,1,2024-09-07 08:30:51:034,201451,201451,0,0,94278163786,1000180046,196680,3365,1406,382,391667,0 22,2,2024-09-07 08:30:50:763,144681,144681,0,0,6060005,0,3134 22,3,2024-09-07 08:30:51:075,1,115,103,0,228,1369,115,0 23,0,2024-09-07 08:30:51:395,27611,0.7,27889,0.8,55845,0.6,74357,2.25 23,1,2024-09-07 08:30:51:003,202791,202791,0,0,95149372759,993382876,200454,1766,571,365,391549,0 23,2,2024-09-07 08:30:51:097,147764,147764,0,0,6098902,0,2078 23,3,2024-09-07 08:30:51:761,1,115,3,0,645,1675,115,0 24,0,2024-09-07 08:30:50:876,28581,0.5,28487,0.6,57201,0.5,76169,1.75 24,1,2024-09-07 08:30:50:581,201596,201596,0,0,94708262670,994645808,199222,1610,764,369,391640,0 24,2,2024-09-07 08:30:51:081,143949,143949,0,0,7719352,0,2942 24,3,2024-09-07 08:30:51:696,1,115,8,0,234,1519,115,0 25,0,2024-09-07 08:30:51:359,29374,0.4,28665,0.6,56128,0.4,76365,1.75 25,1,2024-09-07 08:30:50:559,201595,201595,0,0,94313601142,992700201,198832,2319,444,371,391788,0 25,2,2024-09-07 08:30:51:620,143194,143194,0,0,7705317,0,3978 25,3,2024-09-07 08:30:51:000,1,115,8,0,158,1330,115,0 26,0,2024-09-07 08:30:51:726,29167,0.4,28535,0.6,59871,0.3,78091,1.75 26,1,2024-09-07 08:30:51:556,202365,202365,0,0,94238277643,994008717,198498,3023,844,381,391564,0 26,2,2024-09-07 08:30:50:869,145282,145282,0,0,7126186,0,2809 26,3,2024-09-07 08:30:51:713,1,115,0,0,796,1478,115,0 27,0,2024-09-07 08:30:51:727,28638,0.6,28898,0.7,57174,0.6,76280,1.75 27,1,2024-09-07 08:30:51:687,202666,202666,0,0,95440122329,994012921,200923,1421,322,381,391539,0 27,2,2024-09-07 08:30:50:873,146148,146148,0,0,7871021,0,3409 27,3,2024-09-07 08:30:51:018,1,115,59,0,564,1519,115,0 28,0,2024-09-07 08:30:51:403,27291,0.7,27678,0.8,55278,0.8,74250,2.25 28,1,2024-09-07 08:30:50:796,202179,202179,0,0,95329771144,994600252,200714,1263,202,383,391646,0 28,2,2024-09-07 08:30:51:767,144297,144297,0,0,5741042,0,2915 28,3,2024-09-07 08:30:51:782,1,115,2,0,502,1405,115,0 29,0,2024-09-07 08:30:51:366,30240,0.4,29486,0.6,57801,0.4,78903,1.75 29,1,2024-09-07 08:30:51:569,202875,202875,0,0,94722351912,985679640,200768,1577,530,369,391621,0 29,2,2024-09-07 08:30:50:862,144609,144609,0,0,5813502,0,4018 29,3,2024-09-07 08:30:50:963,1,115,10,0,105,957,115,0 30,0,2024-09-07 08:30:51:466,29534,0.7,28712,0.8,60008,0.7,78548,2.25 30,1,2024-09-07 08:30:50:573,202287,202287,0,0,95066829681,992475180,200417,1592,278,382,391672,0 30,2,2024-09-07 08:30:51:276,146727,146727,0,0,6070261,0,3161 30,3,2024-09-07 08:30:50:589,1,115,2,0,195,908,115,0 31,0,2024-09-07 08:30:51:770,29452,0.8,29508,0.9,59748,0.9,79131,2.25 31,1,2024-09-07 08:30:50:587,203303,203303,0,0,95111924797,975510424,202974,327,2,356,391553,0 31,2,2024-09-07 08:30:51:276,145629,145629,0,0,7175051,0,3525 31,3,2024-09-07 08:30:51:706,1,115,1,0,220,1071,115,0 32,0,2024-09-07 08:30:51:464,28040,0.7,28241,0.9,56390,0.7,75285,1.75 32,1,2024-09-07 08:30:50:803,202885,202885,0,0,95050796075,990530713,201210,1400,275,382,391595,0 32,2,2024-09-07 08:30:50:935,145097,145097,0,0,5822924,0,3155 32,3,2024-09-07 08:30:51:017,1,115,5,0,227,1262,115,0 33,0,2024-09-07 08:30:51:518,29813,0.4,29500,0.6,59553,0.4,78884,1.75 33,1,2024-09-07 08:30:50:590,202782,202782,0,0,95322986849,990968182,200797,1861,124,369,391730,0 33,2,2024-09-07 08:30:50:761,145289,145256,33,0,7751139,0,7012 33,3,2024-09-07 08:30:50:909,1,115,8,0,211,1141,115,0 34,0,2024-09-07 08:30:50:945,29019,0.3,29930,0.5,57402,0.2,77060,1.75 34,1,2024-09-07 08:30:51:049,202697,202697,0,0,95232979884,983480320,201868,824,5,367,391547,0 34,2,2024-09-07 08:30:50:780,144705,144705,0,0,6507214,0,3255 34,3,2024-09-07 08:30:51:696,1,115,4,0,148,825,115,0 35,0,2024-09-07 08:30:50:868,28445,0.6,28774,0.7,57138,0.6,76203,1.75 35,1,2024-09-07 08:30:51:076,201917,201917,0,0,94570497402,985195499,199889,1614,414,384,391587,0 35,2,2024-09-07 08:30:51:596,144765,144765,0,0,5970850,0,2542 35,3,2024-09-07 08:30:50:909,1,115,94,0,219,1401,115,0 36,0,2024-09-07 08:30:51:536,28233,1.0,28188,1.1,56911,1.2,75887,2.50 36,1,2024-09-07 08:30:50:588,201823,201823,0,0,94770764614,993360868,198700,2191,932,366,391759,0 36,2,2024-09-07 08:30:51:758,146084,146084,0,0,7238691,0,3303 36,3,2024-09-07 08:30:50:869,1,115,16,0,378,1244,115,0 37,0,2024-09-07 08:30:51:380,27577,0.4,27597,0.6,55053,0.3,73579,1.75 37,1,2024-09-07 08:30:50:572,201216,201209,0,7,94475838817,996871430,197650,2016,1543,365,391518,0 37,2,2024-09-07 08:30:51:148,145226,145226,0,0,5834751,0,2333 37,3,2024-09-07 08:30:51:771,1,115,9,0,724,2263,115,0 38,0,2024-09-07 08:30:51:449,28275,0.4,27501,0.6,57441,0.3,75494,1.75 38,1,2024-09-07 08:30:51:613,202698,202698,0,0,94461253899,990205374,199667,2510,521,368,391821,0 38,2,2024-09-07 08:30:50:763,144922,144922,0,0,7071185,0,3245 38,3,2024-09-07 08:30:50:999,1,115,165,0,603,1835,115,0 39,0,2024-09-07 08:30:51:770,30163,0.7,29458,0.8,57595,0.9,78258,2.00 39,1,2024-09-07 08:30:50:717,202018,202018,0,0,94849366382,993297273,198863,2481,674,365,391524,0 39,2,2024-09-07 08:30:51:433,144791,144791,0,0,6234785,0,2689 39,3,2024-09-07 08:30:50:730,1,115,1,0,276,1717,115,0 40,0,2024-09-07 08:30:51:509,27913,1.2,28521,1.6,56510,1.7,75827,3.50 40,1,2024-09-07 08:30:50:589,201723,201723,0,0,93852652713,987976093,197847,3111,765,369,391591,0 40,2,2024-09-07 08:30:51:304,147621,147620,1,0,8099321,0,5137 40,3,2024-09-07 08:30:51:151,1,115,3,0,181,1349,115,0 41,0,2024-09-07 08:30:51:031,27174,1.5,27830,1.7,53125,2.8,73573,4.00 41,1,2024-09-07 08:30:50:771,202066,202066,0,0,95031844409,998977384,198486,3063,517,370,391742,0 41,2,2024-09-07 08:30:50:761,143684,143684,0,0,7430704,0,3356 41,3,2024-09-07 08:30:51:676,1,115,11,0,366,1548,115,0 42,0,2024-09-07 08:30:51:489,28794,0.6,29085,0.9,57748,0.6,76266,2.25 42,1,2024-09-07 08:30:51:445,201169,201169,0,0,94894342991,999725135,197776,2557,836,380,391675,0 42,2,2024-09-07 08:30:51:154,143378,143378,0,0,7517604,0,3568 42,3,2024-09-07 08:30:51:009,1,115,1,0,100,853,115,0 43,0,2024-09-07 08:30:50:928,29686,0.8,28876,1.0,60213,0.9,78944,2.25 43,1,2024-09-07 08:30:50:580,201413,201413,0,0,94943720843,992874174,198793,1868,752,366,391604,0 43,2,2024-09-07 08:30:51:743,146035,146035,0,0,7308394,0,3812 43,3,2024-09-07 08:30:51:756,1,115,0,0,325,1882,115,0 44,0,2024-09-07 08:30:50:883,29791,0.6,29649,0.9,59433,0.6,78896,2.00 44,1,2024-09-07 08:30:50:570,202553,202553,0,0,94571187502,979527985,201124,1300,129,356,391809,0 44,2,2024-09-07 08:30:51:279,145685,145685,0,0,5894121,0,1877 44,3,2024-09-07 08:30:51:093,1,115,4,0,817,1496,115,0 45,0,2024-09-07 08:30:51:765,27138,0.9,26564,1.0,55751,1.0,74028,2.00 45,1,2024-09-07 08:30:51:009,202249,202249,0,0,95307351454,990779729,201252,988,9,382,391917,0 45,2,2024-09-07 08:30:51:275,144897,144897,0,0,6244774,0,3596 45,3,2024-09-07 08:30:50:939,1,115,16,0,226,1113,115,0 46,0,2024-09-07 08:30:50:954,29397,0.4,29368,0.7,58908,0.3,77941,1.75 46,1,2024-09-07 08:30:50:584,202659,202659,0,0,94806022485,982270425,201225,1328,106,366,391514,0 46,2,2024-09-07 08:30:50:595,145261,145261,0,0,6224943,0,2920 46,3,2024-09-07 08:30:51:131,1,115,68,0,363,1820,115,0 47,0,2024-09-07 08:30:51:133,29642,0.4,29837,0.5,59768,0.3,78710,1.75 47,1,2024-09-07 08:30:50:574,202626,202626,0,0,94713993461,979693253,201616,1000,10,366,391605,0 47,2,2024-09-07 08:30:50:913,146679,146679,0,0,6624451,0,2558 47,3,2024-09-07 08:30:51:118,1,115,64,0,529,1391,115,0 48,0,2024-09-07 08:30:51:494,29173,0.3,28957,0.5,57849,0.3,77323,1.75 48,1,2024-09-07 08:30:51:022,201924,201924,0,0,95192382086,991265147,200357,1558,9,384,391710,0 48,2,2024-09-07 08:30:50:700,144966,144966,0,0,5447891,0,2083 48,3,2024-09-07 08:30:50:754,1,115,1,0,339,1208,115,0 49,0,2024-09-07 08:30:51:728,28683,0.7,27955,0.8,54795,0.8,75048,2.00 49,1,2024-09-07 08:30:51:022,201330,201330,0,0,94846171294,993223307,199027,1544,759,382,391583,0 49,2,2024-09-07 08:30:51:801,145839,145839,0,0,6360456,0,3900 49,3,2024-09-07 08:30:51:426,1,115,8,0,408,1559,115,0 50,0,2024-09-07 08:30:51:528,28885,0.3,28400,0.5,56907,0.2,76580,1.75 50,1,2024-09-07 08:30:51:020,203170,203170,0,0,95541283125,994381392,201089,1784,297,368,391530,0 50,2,2024-09-07 08:30:51:076,144929,144929,0,0,5932032,0,2253 50,3,2024-09-07 08:30:51:291,1,115,4,0,335,1107,115,0 51,0,2024-09-07 08:30:51:701,29401,0.3,28827,0.4,56314,0.2,76610,1.75 51,1,2024-09-07 08:30:51:688,202183,202183,0,0,95642884354,993471329,200282,1117,784,367,391637,0 51,2,2024-09-07 08:30:51:316,144702,144702,0,0,5205821,0,2448 51,3,2024-09-07 08:30:51:035,1,115,3,0,162,752,115,0 52,0,2024-09-07 08:30:51:446,29070,0.6,29139,0.8,58443,0.7,76990,2.25 52,1,2024-09-07 08:30:50:579,201931,201931,0,0,94516321190,996124971,198012,3211,708,368,391722,0 52,2,2024-09-07 08:30:51:761,143772,143772,0,0,6918600,0,4779 52,3,2024-09-07 08:30:50:677,1,115,1,0,1782,2739,115,0 53,0,2024-09-07 08:30:51:754,27655,1.2,26735,1.1,55840,1.4,73575,2.75 53,1,2024-09-07 08:30:50:783,201601,201601,0,0,94973846476,996291557,198500,2520,581,370,391617,0 53,2,2024-09-07 08:30:51:298,148121,148121,0,0,5785110,0,1902 53,3,2024-09-07 08:30:50:699,1,115,9,0,133,935,115,0 54,0,2024-09-07 08:30:51:633,27589,1.4,28005,1.0,55188,0.6,75243,3.25 54,1,2024-09-07 08:30:50:581,202195,202195,0,0,95451308972,999443967,198968,2683,544,367,391520,0 54,2,2024-09-07 08:30:50:873,144538,144532,6,0,6945881,0,5382 54,3,2024-09-07 08:30:50:770,1,115,1,0,676,2572,115,0 55,0,2024-09-07 08:30:51:790,27467,0.5,28279,0.7,57433,0.4,74197,2.25 55,1,2024-09-07 08:30:50:774,201295,201295,0,0,94456419699,992650319,196898,3612,785,367,391731,0 55,2,2024-09-07 08:30:50:737,143670,143670,0,0,6834445,0,3275 55,3,2024-09-07 08:30:50:686,1,115,1,0,304,1360,115,0 56,0,2024-09-07 08:30:51:563,30127,1.5,28507,1.2,58559,2.0,78326,2.50 56,1,2024-09-07 08:30:50:573,201321,201321,0,0,94773774338,1008911844,196790,3681,850,382,391678,0 56,2,2024-09-07 08:30:51:310,145299,145299,0,0,7929243,0,3567 56,3,2024-09-07 08:30:51:075,1,115,2,0,297,1569,115,0 57,0,2024-09-07 08:30:50:949,28030,2.2,27917,1.5,56144,3.2,75077,3.75 57,1,2024-09-07 08:30:51:005,201733,201733,0,0,94436075816,991271207,199323,2240,170,368,391773,0 57,2,2024-09-07 08:30:51:330,147441,147441,0,0,7878223,0,3178 57,3,2024-09-07 08:30:51:749,1,115,8,0,359,2391,115,0 58,0,2024-09-07 08:30:50:597,26680,0.9,26020,1.1,54520,1.0,72138,2.75 58,1,2024-09-07 08:30:50:594,202701,202698,0,3,95416001639,996895622,200248,2222,228,369,391516,3 58,2,2024-09-07 08:30:51:076,144192,144192,0,0,6814418,0,2549 58,3,2024-09-07 08:30:51:075,1,115,1,0,219,1051,115,0 59,0,2024-09-07 08:30:51:777,29537,0.9,29123,1.0,58586,0.9,77686,2.75 59,1,2024-09-07 08:30:50:823,201913,201913,0,0,94759773785,996303446,198548,2443,922,369,391515,0 59,2,2024-09-07 08:30:50:584,145236,145236,0,0,6258451,0,2604 59,3,2024-09-07 08:30:51:741,1,115,1,0,1015,2460,115,0 60,0,2024-09-07 08:30:51:789,29908,0.4,29975,0.6,59239,0.4,78708,1.75 60,1,2024-09-07 08:30:50:782,203562,203562,0,0,95480922757,992634206,202315,895,352,370,391761,0 60,2,2024-09-07 08:30:51:145,145826,145826,0,0,7230805,0,3811 60,3,2024-09-07 08:30:51:265,1,115,9,0,124,1197,115,0 61,0,2024-09-07 08:30:51:554,29548,1.7,29744,1.2,59389,2.6,79413,2.25 61,1,2024-09-07 08:30:50:785,201754,201754,0,0,95082042938,1000703531,198905,2312,537,382,391589,0 61,2,2024-09-07 08:30:51:127,146117,146117,0,0,6108180,0,1846 61,3,2024-09-07 08:30:51:696,1,115,19,0,199,1563,115,0 62,0,2024-09-07 08:30:51:786,28124,1.6,28711,1.1,55013,2.8,75179,2.25 62,1,2024-09-07 08:30:51:118,203406,203400,0,6,95566243647,988754608,201979,1383,38,365,391715,6 62,2,2024-09-07 08:30:51:650,143847,143846,1,0,7132008,0,5555 62,3,2024-09-07 08:30:51:146,1,115,37,0,287,1030,115,0 63,0,2024-09-07 08:30:51:482,29482,0.6,29591,0.7,59076,0.7,78550,2.00 63,1,2024-09-07 08:30:50:805,203052,203046,0,6,95208228569,988205919,201949,1077,20,381,391540,6 63,2,2024-09-07 08:30:50:762,144808,144808,0,0,5884121,0,2674 63,3,2024-09-07 08:30:51:734,1,115,0,0,667,2031,115,0 64,0,2024-09-07 08:30:51:555,28882,0.4,28765,0.6,57769,0.4,77074,1.75 64,1,2024-09-07 08:30:50:762,202031,202031,0,0,94552533280,995323223,198649,2330,1052,371,391783,0 64,2,2024-09-07 08:30:51:147,146047,146028,19,0,6826201,0,6121 64,3,2024-09-07 08:30:51:149,1,115,2,0,265,1331,115,0 65,0,2024-09-07 08:30:51:777,27985,1.5,28389,1.1,56736,1.9,75421,2.75 65,1,2024-09-07 08:30:50:864,201612,201612,0,0,94587427168,992698629,199424,1966,222,382,391770,0 65,2,2024-09-07 08:30:51:706,145581,145581,0,0,7046336,0,3367 65,3,2024-09-07 08:30:51:696,1,115,18,0,163,1245,115,0 66,0,2024-09-07 08:30:51:787,27832,0.9,27952,1.0,56054,1.1,74759,3.00 66,1,2024-09-07 08:30:51:313,202392,202392,0,0,94984067251,990332087,200626,1596,170,380,391588,0 66,2,2024-09-07 08:30:51:135,147956,147956,0,0,6550165,0,4956 66,3,2024-09-07 08:30:51:084,1,115,1,0,291,1304,115,0 67,0,2024-09-07 08:30:51:482,27886,0.6,27677,0.7,55332,0.5,73517,2.25 67,1,2024-09-07 08:30:50:773,201230,201229,0,1,95131576477,999203204,198395,2262,572,381,391787,1 67,2,2024-09-07 08:30:50:583,145445,145445,0,0,5824126,0,2889 67,3,2024-09-07 08:30:51:766,1,115,1,0,138,1094,115,0 68,0,2024-09-07 08:30:50:589,28620,0.5,28458,0.7,56751,0.5,75779,2.00 68,1,2024-09-07 08:30:50:573,201854,201854,0,0,94826985350,994739161,200068,1264,522,381,391953,0 68,2,2024-09-07 08:30:51:049,143965,143965,0,0,6842591,0,4883 68,3,2024-09-07 08:30:50:731,1,115,122,0,151,1284,115,0 69,0,2024-09-07 08:30:51:736,29275,1.1,29479,1.0,58663,1.4,77955,2.25 69,1,2024-09-07 08:30:51:026,201417,201417,0,0,94752751538,1004461937,198473,1859,1085,384,391611,0 69,2,2024-09-07 08:30:51:733,144658,144658,0,0,7506821,0,3701 69,3,2024-09-07 08:30:50:760,1,115,11,0,238,1541,115,0 70,0,2024-09-07 08:30:51:597,28094,2.3,28253,1.7,56688,1.3,75437,3.50 70,1,2024-09-07 08:30:50:801,202330,202330,0,0,95591686851,995641451,200230,1640,460,367,391725,0 70,2,2024-09-07 08:30:51:330,147551,147551,0,0,7089537,0,4044 70,3,2024-09-07 08:30:50:754,1,115,2,0,178,821,115,0 71,0,2024-09-07 08:30:51:373,27162,1.5,27389,1.6,54332,2.2,73529,3.25 71,1,2024-09-07 08:30:51:602,202599,202599,0,0,95154361699,994618388,199977,2288,334,368,391682,0 71,2,2024-09-07 08:30:51:081,144660,144660,0,0,6413910,0,2146 71,3,2024-09-07 08:30:51:767,1,115,7,0,279,1477,115,0 72,0,2024-09-07 08:30:51:031,30313,0.6,29538,0.8,57864,0.5,78217,2.25 72,1,2024-09-07 08:30:51:024,201829,201829,0,0,94975151168,994490729,199043,2303,483,370,391819,0 72,2,2024-09-07 08:30:51:761,143179,143179,0,0,8102308,0,2570 72,3,2024-09-07 08:30:51:759,1,115,1,0,325,1569,115,0 73,0,2024-09-07 08:30:51:104,29019,0.4,29681,0.6,60743,0.3,78670,2.25 73,1,2024-09-07 08:30:50:771,202130,202130,0,0,94568317703,984210950,200536,1480,114,368,391627,0 73,2,2024-09-07 08:30:51:752,146294,146294,0,0,7686309,0,3482 73,3,2024-09-07 08:30:50:969,1,115,18,0,274,1933,115,0 74,0,2024-09-07 08:30:51:344,29832,0.8,30256,1.0,57860,0.9,78416,2.75 74,1,2024-09-07 08:30:50:640,201935,201935,0,0,94565633411,989911763,199497,1832,606,382,391681,0 74,2,2024-09-07 08:30:51:005,145914,145914,0,0,7842039,0,4253 74,3,2024-09-07 08:30:51:455,1,115,12,0,246,1700,115,0 75,0,2024-09-07 08:30:51:846,27607,0.8,27541,1.1,55040,0.8,74219,2.50 75,1,2024-09-07 08:30:51:633,201832,201832,0,0,94982433481,995393814,199445,2023,364,381,391579,0 75,2,2024-09-07 08:30:51:361,144103,144103,0,0,7054124,0,4766 75,3,2024-09-07 08:30:51:080,1,115,5,0,535,1503,115,0 76,0,2024-09-07 08:30:50:585,29394,0.4,29173,0.8,58195,0.4,78236,2.25 76,1,2024-09-07 08:30:50:804,201872,201872,0,0,94153867709,986608954,199961,1403,508,382,391530,0 76,2,2024-09-07 08:30:51:061,145831,145831,0,0,6212700,0,3064 76,3,2024-09-07 08:30:51:144,1,115,166,0,175,1490,115,0 77,0,2024-09-07 08:30:51:716,29621,0.6,29753,0.7,59722,0.6,78586,2.00 77,1,2024-09-07 08:30:50:840,202423,202423,0,0,94738831720,990215280,201046,1296,81,383,391808,0 77,2,2024-09-07 08:30:51:286,146287,146287,0,0,6307082,0,3890 77,3,2024-09-07 08:30:51:095,1,115,8,0,305,1690,115,0 78,0,2024-09-07 08:30:51:747,28930,0.7,28884,0.9,58029,0.7,77076,2.25 78,1,2024-09-07 08:30:50:610,202675,202675,0,0,94322338810,983832257,200916,1554,205,367,391589,0 78,2,2024-09-07 08:30:51:411,145910,145910,0,0,6098383,0,2114 78,3,2024-09-07 08:30:51:133,1,115,1,0,181,1425,115,0 79,0,2024-09-07 08:30:51:367,27006,0.6,27617,0.9,56593,0.6,73576,2.75 79,1,2024-09-07 08:30:50:572,202715,202715,0,0,94578263190,983735635,200717,1827,171,369,391682,0 79,2,2024-09-07 08:30:51:076,146189,146189,0,0,6302686,0,3212 79,3,2024-09-07 08:30:50:760,1,115,4,0,306,1985,115,0 80,0,2024-09-07 08:30:51:158,28540,0.6,29536,0.8,56463,0.6,76764,2.25 80,1,2024-09-07 08:30:51:628,201249,201249,0,0,94372737236,987169067,198958,2168,123,368,391673,0 80,2,2024-09-07 08:30:51:107,145553,145553,0,0,6600527,0,4433 80,3,2024-09-07 08:30:50:578,1,115,1,0,190,2059,115,0 81,0,2024-09-07 08:30:51:562,28730,0.5,29538,0.6,56555,0.5,76488,2.00 81,1,2024-09-07 08:30:51:663,202080,202080,0,0,94486014754,991602497,200031,1848,201,382,391879,0 81,2,2024-09-07 08:30:51:128,144263,144263,0,0,7315832,0,3993 81,3,2024-09-07 08:30:51:118,1,115,18,0,193,1194,115,0 82,0,2024-09-07 08:30:51:593,28872,0.7,28922,0.9,58102,0.7,77186,2.00 82,1,2024-09-07 08:30:50:583,201950,201946,0,4,94894017113,993784749,199586,1786,574,382,391558,4 82,2,2024-09-07 08:30:51:700,145194,145194,0,0,6313234,0,3986 82,3,2024-09-07 08:30:51:753,1,115,5,0,227,1695,115,0 83,0,2024-09-07 08:30:51:540,27929,1.1,27716,1.1,55001,1.3,73576,2.75 83,1,2024-09-07 08:30:50:552,202325,202325,0,0,94944922382,994523895,200353,1789,183,383,391553,0 83,2,2024-09-07 08:30:50:774,147123,147123,0,0,6556997,0,3119 83,3,2024-09-07 08:30:50:770,1,115,5,0,133,1181,115,0 84,0,2024-09-07 08:30:51:799,28040,0.9,27918,1.1,55908,0.7,75762,2.50 84,1,2024-09-07 08:30:51:072,201173,201173,0,0,94451918007,993321071,198305,2420,448,368,391852,0 84,2,2024-09-07 08:30:50:576,144582,144582,0,0,6777733,0,3801 84,3,2024-09-07 08:30:51:152,1,115,7,1,85,1058,115,0 85,0,2024-09-07 08:30:51:022,27446,0.5,27452,0.8,58144,0.5,75414,2.00 85,1,2024-09-07 08:30:50:605,201124,201124,0,0,94724618453,1004096671,197421,3228,475,382,391679,0 85,2,2024-09-07 08:30:50:879,144483,144483,0,0,7529136,0,3656 85,3,2024-09-07 08:30:50:696,1,115,8,0,115,1200,115,0 86,0,2024-09-07 08:30:50:889,29373,0.7,30284,0.7,58182,0.7,78227,2.00 86,1,2024-09-07 08:30:50:825,202007,202007,0,0,95309373446,1000019776,199194,2533,280,366,391961,0 86,2,2024-09-07 08:30:50:854,145222,145221,1,0,7766280,0,5004 86,3,2024-09-07 08:30:50:600,1,115,56,0,199,1431,115,0 87,0,2024-09-07 08:30:51:351,28425,2.2,28401,1.4,56851,3.5,76202,2.75 87,1,2024-09-07 08:30:50:551,201399,201399,0,0,93667377676,983916303,199067,2192,140,366,391788,0 87,2,2024-09-07 08:30:51:078,147203,147203,0,0,6492481,0,3515 87,3,2024-09-07 08:30:51:795,1,115,295,1,335,2152,115,0 88,0,2024-09-07 08:30:51:533,27501,0.7,27690,0.8,54991,0.8,74292,2.00 88,1,2024-09-07 08:30:50:577,200908,200908,0,0,94398184532,993491435,198150,1983,775,367,391787,0 88,2,2024-09-07 08:30:50:694,144158,144158,0,0,7448056,0,3583 88,3,2024-09-07 08:30:51:275,1,115,14,1,77,1264,115,0 89,0,2024-09-07 08:30:51:811,30375,0.5,29547,0.8,58478,0.5,79071,1.75 89,1,2024-09-07 08:30:50:554,200966,200966,0,0,94497745680,1005222336,196448,3646,872,382,391866,0 89,2,2024-09-07 08:30:51:151,144587,144587,0,0,7379349,0,2901 89,3,2024-09-07 08:30:51:802,1,115,8,0,325,2580,115,0 90,0,2024-09-07 08:30:51:639,28902,0.4,29431,0.6,60593,0.4,78609,1.75 90,1,2024-09-07 08:30:50:591,202345,202345,0,0,94143303421,992731986,199621,2566,158,382,391825,0 90,2,2024-09-07 08:30:51:439,144967,144967,0,0,8122245,0,2635 90,3,2024-09-07 08:30:50:938,1,115,18,1,200,1354,115,0 91,0,2024-09-07 08:30:51:027,30105,0.5,29175,0.7,60505,0.6,79757,1.75 91,1,2024-09-07 08:30:50:556,201498,201498,0,0,94982676011,1002196384,198202,2803,493,381,391914,0 91,2,2024-09-07 08:30:51:343,144250,144250,0,0,8008472,0,2445 91,3,2024-09-07 08:30:50:598,1,115,1,0,155,1464,115,0 92,0,2024-09-07 08:30:51:494,28455,0.8,29027,0.9,55469,0.9,75536,1.75 92,1,2024-09-07 08:30:50:598,201916,201916,0,0,94618959973,991880524,200010,1512,394,382,391717,0 92,2,2024-09-07 08:30:51:356,146310,146310,0,0,6260784,0,2279 92,3,2024-09-07 08:30:51:022,1,115,47,0,68,1145,115,0 93,0,2024-09-07 08:30:50:988,29761,0.5,30440,0.7,58427,0.5,78981,1.75 93,1,2024-09-07 08:30:50:805,202180,202180,0,0,95232387008,998681005,199762,1972,446,366,391689,0 93,2,2024-09-07 08:30:50:932,145241,145241,0,0,6365677,0,2509 93,3,2024-09-07 08:30:51:410,1,115,10,0,143,1223,115,0 94,0,2024-09-07 08:30:51:860,29006,0.3,29259,0.5,58136,0.3,77062,1.75 94,1,2024-09-07 08:30:50:573,201901,201901,0,0,94402953099,991758169,199803,2019,79,381,391850,0 94,2,2024-09-07 08:30:50:789,144954,144954,0,0,6162744,0,2443 94,3,2024-09-07 08:30:51:718,1,115,3,0,264,2067,115,0 95,0,2024-09-07 08:30:51:403,28773,0.4,28643,0.6,57659,0.4,76349,1.75 95,1,2024-09-07 08:30:50:853,202225,202225,0,0,94680411314,984622864,201203,958,64,367,391600,0 95,2,2024-09-07 08:30:51:019,145035,145035,0,0,6477987,0,3308 95,3,2024-09-07 08:30:51:714,1,115,2,0,307,1949,115,0 96,0,2024-09-07 08:30:51:090,28446,0.8,28501,0.8,56652,0.9,75556,2.00 96,1,2024-09-07 08:30:51:590,202083,202083,0,0,94255985043,990860207,199881,1631,571,385,391596,0 96,2,2024-09-07 08:30:51:280,146776,146776,0,0,6720404,0,4038 96,3,2024-09-07 08:30:51:147,1,115,16,0,188,1367,115,0 97,0,2024-09-07 08:30:51:397,27578,0.3,27666,0.5,55388,0.3,73484,1.75 97,1,2024-09-07 08:30:50:916,201903,201903,0,0,94896323757,993389590,199163,2193,547,367,392140,0 97,2,2024-09-07 08:30:50:636,144537,144537,0,0,6237060,0,3036 97,3,2024-09-07 08:30:50:573,1,115,22,1,165,1479,115,0 98,0,2024-09-07 08:30:51:742,28580,0.2,28312,0.4,57164,0.2,76119,1.50 98,1,2024-09-07 08:30:50:573,202081,202081,0,0,94723493068,991581095,200332,1665,84,382,391997,0 98,2,2024-09-07 08:30:50:772,145594,145594,0,0,6439190,0,3080 98,3,2024-09-07 08:30:50:699,1,115,6,1,840,2707,115,0 99,0,2024-09-07 08:30:51:532,29417,0.3,29479,0.5,58824,0.3,78235,1.75 99,1,2024-09-07 08:30:51:745,202813,202813,0,0,94203933414,986449347,200650,1541,622,381,391744,0 99,2,2024-09-07 08:30:51:458,146105,146105,0,0,6488215,0,1973 99,3,2024-09-07 08:30:50:580,1,115,1,0,129,869,115,0 100,0,2024-09-07 08:30:51:517,28338,1.2,28378,1.7,56588,3.3,76325,2.50 100,1,2024-09-07 08:30:50:553,201190,201190,0,0,94426296253,1003537782,197362,3338,490,381,391989,0 100,2,2024-09-07 08:30:51:837,147083,147072,11,0,7632328,0,5417 100,3,2024-09-07 08:30:51:733,1,115,5,0,443,2472,115,0 101,0,2024-09-07 08:30:51:769,28047,2.6,27240,1.5,53860,2.2,74673,2.75 101,1,2024-09-07 08:30:50:566,200787,200787,0,0,95087014880,1007975126,196970,2532,1285,368,391709,0 101,2,2024-09-07 08:30:51:777,143999,143999,0,0,7369871,0,4644 101,3,2024-09-07 08:30:50:970,1,115,10,0,448,1547,115,0 102,0,2024-09-07 08:30:51:031,28590,0.7,29658,0.8,59543,0.6,77779,2.00 102,1,2024-09-07 08:30:51:147,201417,201417,0,0,94339637932,994908626,198532,2369,516,369,391831,0 102,2,2024-09-07 08:30:51:743,145084,145084,0,0,6157790,0,2144 102,3,2024-09-07 08:30:51:624,1,115,2,0,410,1422,115,0 103,0,2024-09-07 08:30:51:690,30524,0.5,30462,0.7,57662,0.4,79047,1.75 103,1,2024-09-07 08:30:51:646,201116,201116,0,0,94444357509,997474972,197953,2257,906,381,391680,0 103,2,2024-09-07 08:30:50:596,145455,145455,0,0,6643141,0,2104 103,3,2024-09-07 08:30:50:769,1,115,1,0,916,2591,115,0 104,0,2024-09-07 08:30:51:026,29471,1.2,29506,1.2,58042,1.3,78685,2.25 104,1,2024-09-07 08:30:51:618,202004,202004,0,0,94571711404,999942333,198331,2979,694,366,391948,0 104,2,2024-09-07 08:30:51:678,145440,145440,0,0,7457452,0,3941 104,3,2024-09-07 08:30:51:441,1,115,20,1,1245,4607,115,0 105,0,2024-09-07 08:30:51:060,27197,1.4,26354,1.3,54975,2.2,73481,3.75 105,1,2024-09-07 08:30:50:574,201827,201827,0,0,94352283581,994827142,198155,2910,762,367,391797,0 105,2,2024-09-07 08:30:51:325,144058,144058,0,0,6703089,0,3314 105,3,2024-09-07 08:30:51:313,1,115,18,1,399,1989,115,0 106,0,2024-09-07 08:30:51:123,28576,0.7,29249,0.9,59525,0.6,77944,2.00 106,1,2024-09-07 08:30:51:762,201993,201993,0,0,93935886798,988112904,199117,2547,329,369,391767,0 106,2,2024-09-07 08:30:50:762,144561,144561,0,0,6806308,0,2795 106,3,2024-09-07 08:30:50:694,1,115,18,1,201,1240,115,0 107,0,2024-09-07 08:30:51:141,29774,0.4,29567,0.7,58920,0.4,78829,1.75 107,1,2024-09-07 08:30:50:589,201562,201562,0,0,94189081300,994567972,198895,2443,224,381,392234,0 107,2,2024-09-07 08:30:51:293,145282,145281,1,0,7243770,0,5024 107,3,2024-09-07 08:30:51:774,1,115,1,0,353,1546,115,0 108,0,2024-09-07 08:30:51:804,29077,0.5,29243,0.7,57837,0.4,77433,1.75 108,1,2024-09-07 08:30:51:317,201878,201878,0,0,94896080580,995029482,199588,2004,286,368,391857,0 108,2,2024-09-07 08:30:51:759,144467,144467,0,0,6949563,0,2647 108,3,2024-09-07 08:30:51:341,1,115,3,0,272,1777,115,0 109,0,2024-09-07 08:30:51:801,28013,0.6,28097,0.7,55869,0.6,75089,1.75 109,1,2024-09-07 08:30:50:586,201398,201398,0,0,95325579959,1004734079,199024,1915,459,383,391812,0 109,2,2024-09-07 08:30:50:946,144742,144742,0,0,6912301,0,3617 109,3,2024-09-07 08:30:51:144,1,115,9,1,249,1772,115,0 110,0,2024-09-07 08:30:51:774,28794,0.4,28038,0.6,58434,0.3,76971,1.75 110,1,2024-09-07 08:30:51:652,202389,202389,0,0,94736828049,990485879,200132,1560,697,370,391667,0 110,2,2024-09-07 08:30:51:308,145341,145341,0,0,6347523,0,2915 110,3,2024-09-07 08:30:50:697,1,115,8,0,406,1835,115,0 111,0,2024-09-07 08:30:51:431,28823,0.2,28326,0.4,56903,0.2,76473,1.50 111,1,2024-09-07 08:30:51:008,202330,202330,0,0,95849172484,996649145,200910,1094,326,382,391690,0 111,2,2024-09-07 08:30:51:116,144706,144706,0,0,7080550,0,2763 111,3,2024-09-07 08:30:50:926,1,115,4,0,300,1921,115,0 112,0,2024-09-07 08:30:50:961,29218,0.3,29110,0.5,58234,0.3,77408,1.50 112,1,2024-09-07 08:30:50:825,202164,202164,0,0,95263511081,994130878,200200,1602,362,381,391580,0 112,2,2024-09-07 08:30:51:135,144676,144675,1,0,6927337,0,5036 112,3,2024-09-07 08:30:50:596,1,115,1,0,282,1466,115,0 113,0,2024-09-07 08:30:50:897,27708,0.5,27501,0.6,55950,0.4,74561,1.75 113,1,2024-09-07 08:30:51:692,202212,202212,0,0,95198924524,988920720,200160,1667,385,368,391661,0 113,2,2024-09-07 08:30:51:311,148568,148568,0,0,6034213,0,3813 113,3,2024-09-07 08:30:50:686,1,115,8,0,288,1804,115,0 114,0,2024-09-07 08:30:50:884,28442,0.9,28953,0.8,56698,0.5,76318,2.00 114,1,2024-09-07 08:30:50:716,202059,202059,0,0,94818727158,998012124,198576,2220,1263,381,391531,0 114,2,2024-09-07 08:30:50:876,144560,144560,0,0,6117040,0,3925 114,3,2024-09-07 08:30:51:292,1,115,30,0,395,1781,115,0 115,0,2024-09-07 08:30:50:565,28418,0.3,28744,0.4,57563,0.2,76113,1.50 115,1,2024-09-07 08:30:50:575,202260,202260,0,0,95377284691,1000958899,198682,2758,820,382,391602,0 115,2,2024-09-07 08:30:51:125,144781,144781,0,0,5753692,0,2152 115,3,2024-09-07 08:30:51:002,1,115,1,0,159,856,115,0 116,0,2024-09-07 08:30:51:727,29600,0.8,29183,0.9,58787,0.8,78180,2.00 116,1,2024-09-07 08:30:50:815,200959,200959,0,0,94597661037,1006512200,197408,2165,1386,382,391619,0 116,2,2024-09-07 08:30:51:760,144695,144695,0,0,7749510,0,3529 116,3,2024-09-07 08:30:50:912,1,115,1,1,252,1803,115,0 117,0,2024-09-07 08:30:50:983,28469,1.6,28593,1.2,56670,2.7,76260,2.00 117,1,2024-09-07 08:30:51:589,202034,202034,0,0,94336746150,994130713,198903,2734,397,370,392033,0 117,2,2024-09-07 08:30:51:126,148233,148233,0,0,6487799,0,3700 117,3,2024-09-07 08:30:51:068,1,115,8,0,490,2641,115,0 118,0,2024-09-07 08:30:51:803,26847,0.7,27519,0.8,56207,0.8,73832,2.00 118,1,2024-09-07 08:30:50:584,201748,201748,0,0,94425377844,993830090,199052,2297,399,368,391736,0 118,2,2024-09-07 08:30:51:605,144495,144495,0,0,6994418,0,2781 118,3,2024-09-07 08:30:51:788,1,115,2,0,235,1647,115,0 119,0,2024-09-07 08:30:51:375,29205,1.0,29305,0.9,59463,1.4,78389,2.00 119,1,2024-09-07 08:30:50:550,202200,202200,0,0,94521178945,989357790,200095,1823,282,370,391641,0 119,2,2024-09-07 08:30:51:267,143770,143770,0,0,6090168,0,2532 119,3,2024-09-07 08:30:51:326,1,115,7,0,443,2476,115,0 120,0,2024-09-07 08:30:51:573,29407,0.5,29492,0.8,58881,0.5,78589,2.00 120,1,2024-09-07 08:30:50:871,202038,202038,0,0,93999169085,987953904,199955,2038,45,368,391702,0 120,2,2024-09-07 08:30:50:775,145318,145317,1,0,8555765,0,5281 120,3,2024-09-07 08:30:51:310,1,115,25,0,241,1747,115,0 121,0,2024-09-07 08:30:51:761,29456,1.7,29888,1.2,59504,2.8,79594,2.25 121,1,2024-09-07 08:30:51:663,202052,202052,0,0,94659718008,993797864,199552,2218,282,367,391840,0 121,2,2024-09-07 08:30:51:135,144728,144728,0,0,8097358,0,4127 121,3,2024-09-07 08:30:50:736,1,115,8,0,159,1467,115,0 122,0,2024-09-07 08:30:51:814,27841,1.6,27033,1.4,56567,1.8,75546,2.25 122,1,2024-09-07 08:30:50:873,201177,201177,0,0,94350628884,995726072,197632,2904,641,366,392130,0 122,2,2024-09-07 08:30:51:332,145056,145056,0,0,7863253,0,3364 122,3,2024-09-07 08:30:50:598,1,115,6,0,298,2427,115,0 123,0,2024-09-07 08:30:51:018,29602,1.0,28808,0.9,60221,1.2,78693,2.25 123,1,2024-09-07 08:30:50:562,202578,202578,0,0,94752880323,1001312038,198700,3305,573,369,391823,0 123,2,2024-09-07 08:30:51:056,144185,144184,1,0,6986827,0,5215 123,3,2024-09-07 08:30:51:144,1,115,11,1,168,1767,115,0 124,0,2024-09-07 08:30:50:934,29829,0.3,29866,0.5,56222,0.2,77256,1.75 124,1,2024-09-07 08:30:51:022,201926,201926,0,0,94605747459,986028899,200203,1371,352,367,392178,0 124,2,2024-09-07 08:30:51:020,145750,145750,0,0,6371198,0,3101 124,3,2024-09-07 08:30:50:780,1,115,2,1,490,2022,115,0 125,0,2024-09-07 08:30:51:439,28750,0.5,28710,0.6,57549,0.5,76281,1.75 125,1,2024-09-07 08:30:50:860,201442,201442,0,0,94969896202,995588911,199177,1990,275,384,391702,0 125,2,2024-09-07 08:30:51:125,145378,145378,0,0,6263603,0,2180 125,3,2024-09-07 08:30:51:140,1,115,18,1,284,1669,115,0 126,0,2024-09-07 08:30:51:445,28347,0.9,29096,0.9,55813,1.0,76115,2.00 126,1,2024-09-07 08:30:50:557,202576,202576,0,0,95016308283,988825947,201090,1410,76,365,391987,0 126,2,2024-09-07 08:30:50:615,147402,147402,0,0,6392826,0,3186 126,3,2024-09-07 08:30:50:938,1,115,10,0,136,1677,115,0 127,0,2024-09-07 08:30:51:605,27450,0.4,27677,0.6,55365,0.4,73568,1.75 127,1,2024-09-07 08:30:50:575,202358,202358,0,0,94948119938,987339105,200616,1707,35,365,391816,0 127,2,2024-09-07 08:30:50:643,145032,145032,0,0,5776490,0,1803 127,3,2024-09-07 08:30:51:267,1,115,8,0,99,852,115,0 128,0,2024-09-07 08:30:51:537,28677,0.3,28609,0.4,56976,0.2,76049,1.50 128,1,2024-09-07 08:30:51:605,202427,202427,0,0,95210898460,988187027,201584,772,71,367,391680,0 128,2,2024-09-07 08:30:51:410,143968,143968,0,0,6260364,0,2107 128,3,2024-09-07 08:30:50:770,1,115,2,0,247,1418,115,0 129,0,2024-09-07 08:30:51:013,29586,0.3,29533,0.5,58807,0.3,78130,1.75 129,1,2024-09-07 08:30:50:597,201471,201471,0,0,94779596358,997717874,198669,2412,390,379,391835,0 129,2,2024-09-07 08:30:50:699,144894,144894,0,0,6286355,0,4031 129,3,2024-09-07 08:30:50:706,1,115,14,1,173,1613,115,0 130,0,2024-09-07 08:30:51:790,28837,1.1,28612,0.9,57346,1.6,76927,2.00 130,1,2024-09-07 08:30:50:589,201972,201972,0,0,95177880218,996509553,199949,1955,68,381,391825,0 130,2,2024-09-07 08:30:51:131,147526,147526,0,0,6432474,0,4067 130,3,2024-09-07 08:30:51:291,1,115,6,0,207,1144,115,0 131,0,2024-09-07 08:30:51:944,27707,0.6,27735,0.7,56288,0.7,74787,1.75 131,1,2024-09-07 08:30:51:827,202929,202929,0,0,94262773306,984601048,201549,1175,205,384,391865,0 131,2,2024-09-07 08:30:50:580,144798,144798,0,0,5795667,0,2415 131,3,2024-09-07 08:30:51:688,1,115,3,0,392,1416,115,0 132,0,2024-09-07 08:30:51:481,29032,0.5,29492,0.8,58998,0.5,78118,1.75 132,1,2024-09-07 08:30:50:596,201197,201197,0,0,94227792318,998740309,197276,3126,795,381,391719,0 132,2,2024-09-07 08:30:50:725,143865,143865,0,0,8229173,0,4606 132,3,2024-09-07 08:30:51:697,1,115,5,0,356,1971,115,0 133,0,2024-09-07 08:30:51:533,28908,0.5,29503,0.6,60673,0.4,78311,1.75 133,1,2024-09-07 08:30:50:591,200516,200516,0,0,94284323411,997838160,197286,2999,231,383,391755,0 133,2,2024-09-07 08:30:51:096,145624,145624,0,0,8137928,0,4315 133,3,2024-09-07 08:30:51:310,1,115,19,1,187,1105,115,0 134,0,2024-09-07 08:30:50:998,29552,0.7,29379,0.9,59286,0.8,78495,2.00 134,1,2024-09-07 08:30:50:584,201481,201481,0,0,94163516120,990901831,198093,2299,1089,366,391718,0 134,2,2024-09-07 08:30:51:758,145985,145985,0,0,6618986,0,3096 134,3,2024-09-07 08:30:50:764,1,115,68,0,739,2435,115,0 135,0,2024-09-07 08:30:51:140,26894,0.9,26848,1.1,56617,1.1,73409,2.25 135,1,2024-09-07 08:30:51:589,201204,201204,0,0,94846677592,1001676012,197747,2757,700,380,391725,0 135,2,2024-09-07 08:30:50:693,144896,144896,0,0,6922170,0,3981 135,3,2024-09-07 08:30:51:005,1,115,6,0,89,748,115,0 136,0,2024-09-07 08:30:51:640,29758,0.6,29527,0.8,59472,0.6,79024,2.00 136,1,2024-09-07 08:30:51:449,201345,201345,0,0,94483831785,993587902,198635,2545,165,384,391641,0 136,2,2024-09-07 08:30:51:148,145673,145673,0,0,6854659,0,3506 136,3,2024-09-07 08:30:51:119,1,115,3,0,108,1046,115,0 137,0,2024-09-07 08:30:50:938,30345,0.6,29496,0.7,58536,0.5,78982,2.00 137,1,2024-09-07 08:30:50:599,201833,201833,0,0,94644806181,991932065,199249,2405,179,367,391608,0 137,2,2024-09-07 08:30:51:715,145378,145378,0,0,8316230,0,3185 137,3,2024-09-07 08:30:50:773,1,115,23,1,227,1511,115,0 138,0,2024-09-07 08:30:51:778,28408,2.0,28646,1.4,57777,3.3,77395,2.50 138,1,2024-09-07 08:30:51:693,201899,201899,0,0,95179251716,999661098,199006,2545,348,369,391954,0 138,2,2024-09-07 08:30:50:588,145704,145704,0,0,6754549,0,3263 138,3,2024-09-07 08:30:50:613,1,115,65,0,1160,2252,115,0 139,0,2024-09-07 08:30:51:432,27199,3.6,27235,2.0,55311,4.9,74191,3.25 139,1,2024-09-07 08:30:50:576,201341,201341,0,0,94158288537,1003899936,197044,3099,1198,381,391892,0 139,2,2024-09-07 08:30:50:702,144363,144363,0,0,7641296,0,3097 139,3,2024-09-07 08:30:51:670,1,115,10,0,244,1591,115,0 140,0,2024-09-07 08:30:51:611,28971,0.3,28891,0.5,57890,0.3,77449,1.75 140,1,2024-09-07 08:30:51:556,202732,202732,0,0,95618667302,988595809,201583,934,215,365,391606,0 140,2,2024-09-07 08:30:50:695,146034,146034,0,0,6798708,0,3388 140,3,2024-09-07 08:30:50:775,1,115,155,0,155,927,115,0 141,0,2024-09-07 08:30:51:716,28418,0.2,29385,0.4,56423,0.1,76419,1.50 141,1,2024-09-07 08:30:50:872,202371,202371,0,0,95138055986,990815963,200646,1373,352,379,391539,0 141,2,2024-09-07 08:30:51:688,144637,144637,0,0,6039098,0,2342 141,3,2024-09-07 08:30:51:076,1,115,22,0,147,973,115,0 142,0,2024-09-07 08:30:51:417,29495,0.3,29170,0.5,57957,0.3,77576,1.75 142,1,2024-09-07 08:30:50:585,202452,202452,0,0,95238668715,993903182,201359,960,133,384,391649,0 142,2,2024-09-07 08:30:51:321,143463,143431,32,0,7551563,0,6028 142,3,2024-09-07 08:30:51:748,1,115,3,0,484,1854,115,0 143,0,2024-09-07 08:30:51:436,27848,0.9,27972,0.8,56250,1.1,74385,2.00 143,1,2024-09-07 08:30:50:557,202107,202107,0,0,94504943423,985475239,200092,1975,40,367,391619,0 143,2,2024-09-07 08:30:50:780,147283,147283,0,0,6691589,0,2669 143,3,2024-09-07 08:30:51:146,1,115,1,0,303,2126,115,0 144,0,2024-09-07 08:30:51:525,27357,0.6,28172,1.4,57061,0.5,76017,2.00 144,1,2024-09-07 08:30:50:578,201135,201135,0,0,93848989269,988482905,199038,1897,200,381,391638,0 144,2,2024-09-07 08:30:51:795,144965,144965,0,0,6213106,0,3473 144,3,2024-09-07 08:30:51:751,1,115,2,0,249,1833,115,0 145,0,2024-09-07 08:30:51:372,27591,0.6,27529,0.9,58311,0.5,75997,2.00 145,1,2024-09-07 08:30:50:561,200747,200747,0,0,94571805701,1000869924,197207,2908,632,383,391615,0 145,2,2024-09-07 08:30:51:436,144340,144340,0,0,6994037,0,3903 145,3,2024-09-07 08:30:50:900,1,115,9,0,151,1489,115,0 146,0,2024-09-07 08:30:51:604,29381,0.5,29152,0.7,58825,0.5,77728,2.25 146,1,2024-09-07 08:30:51:605,202006,202006,0,0,95012068171,1003117017,197901,3310,795,368,391600,0 146,2,2024-09-07 08:30:51:697,145151,145151,0,0,6767072,0,2379 146,3,2024-09-07 08:30:51:276,1,115,2,0,1520,4453,115,0 147,0,2024-09-07 08:30:51:744,28456,2.0,28299,1.4,56031,2.9,76168,2.75 147,1,2024-09-07 08:30:51:376,202715,202715,0,0,95267566726,993832038,200490,1834,391,368,391791,0 147,2,2024-09-07 08:30:51:020,147444,147444,0,0,6607579,0,2789 147,3,2024-09-07 08:30:50:918,1,115,371,0,371,1626,115,0 0,0,2024-09-07 08:31:01:716,28785,0.5,28859,0.7,61014,0.5,78781,1.75 0,1,2024-09-07 08:31:00:808,203403,203403,0,0,95257027419,1001245280,201844,1430,129,372,391692,0 0,2,2024-09-07 08:31:01:099,147073,147073,0,0,6746955,0,4480 0,3,2024-09-07 08:31:00:983,1,116,9,0,247,1826,116,0 1,0,2024-09-07 08:31:01:763,30015,2.1,29722,1.5,59823,2.7,79852,2.50 1,1,2024-09-07 08:31:00:558,202671,202671,0,0,94698852816,1000979274,199268,2541,862,372,391857,0 1,2,2024-09-07 08:31:00:661,146748,146748,0,0,6381033,0,3267 1,3,2024-09-07 08:31:01:307,1,116,12,0,262,1598,116,0 2,0,2024-09-07 08:31:01:574,28199,1.2,28316,1.2,56222,1.8,75679,2.50 2,1,2024-09-07 08:31:00:865,203482,203482,0,0,95370756271,995322959,202106,1170,206,380,391558,0 2,2,2024-09-07 08:31:01:273,145339,145339,0,0,6676052,0,3594 2,3,2024-09-07 08:31:00:690,1,116,6,0,214,1148,116,0 3,0,2024-09-07 08:31:01:747,29533,0.6,29515,0.8,59156,0.7,78233,2.00 3,1,2024-09-07 08:31:01:618,203153,203153,0,0,95893189604,1005931055,200291,2460,402,382,391516,0 3,2,2024-09-07 08:31:01:152,146436,146413,23,0,6929838,0,5851 3,3,2024-09-07 08:31:01:752,1,116,2,0,103,866,116,0 4,0,2024-09-07 08:31:01:773,28445,0.3,29183,0.5,59246,0.2,77903,1.75 4,1,2024-09-07 08:31:00:606,203634,203634,0,0,95483565406,1007714725,201128,1986,520,371,391846,0 4,2,2024-09-07 08:31:01:050,145708,145708,0,0,8055423,0,4528 4,3,2024-09-07 08:31:01:041,1,116,30,0,287,1822,116,0 5,0,2024-09-07 08:31:01:376,28984,0.6,28797,0.7,57862,0.7,76719,1.75 5,1,2024-09-07 08:31:00:762,202645,202645,0,0,95546105763,1011221091,198974,2709,962,368,392005,0 5,2,2024-09-07 08:31:01:834,146052,146052,0,0,6673297,0,2259 5,3,2024-09-07 08:31:01:733,1,116,12,0,238,2037,116,0 6,0,2024-09-07 08:31:00:920,28961,1.1,28655,1.2,56955,1.8,76902,2.75 6,1,2024-09-07 08:31:00:749,204171,204171,0,0,95407549680,996884841,202598,1498,75,381,391603,0 6,2,2024-09-07 08:31:01:117,147920,147920,0,0,6413906,0,2411 6,3,2024-09-07 08:31:01:279,1,116,1,0,340,1818,116,0 7,0,2024-09-07 08:31:01:536,27715,0.4,27740,0.6,55784,0.3,74099,1.75 7,1,2024-09-07 08:31:00:856,203977,203977,0,0,95911341076,1004803885,201964,1926,87,383,391664,0 7,2,2024-09-07 08:31:00:774,145562,145562,0,0,6075799,0,2981 7,3,2024-09-07 08:31:00:855,1,116,5,0,305,1345,116,0 8,0,2024-09-07 08:31:01:377,28621,0.3,28881,0.5,57112,0.2,76938,1.50 8,1,2024-09-07 08:31:01:052,203373,203373,0,0,95293310511,1009822705,199426,2662,1285,368,391956,0 8,2,2024-09-07 08:31:00:795,144277,144277,0,0,7648299,0,2986 8,3,2024-09-07 08:31:00:589,1,116,1,0,357,1892,116,0 9,0,2024-09-07 08:31:01:140,29563,0.4,28829,0.5,60133,0.3,78519,1.75 9,1,2024-09-07 08:31:00:550,203141,203141,0,0,95692161627,1013900856,199367,2766,1008,370,391738,0 9,2,2024-09-07 08:31:01:097,145466,145466,0,0,7358288,0,3360 9,3,2024-09-07 08:31:01:756,1,116,8,0,496,1933,116,0 10,0,2024-09-07 08:31:01:604,28849,0.5,28840,0.7,57788,0.4,77317,1.75 10,1,2024-09-07 08:31:00:588,203542,203542,0,0,95140128200,1002452326,199880,3138,524,381,391741,0 10,2,2024-09-07 08:31:00:766,148856,148856,0,0,7063761,0,2940 10,3,2024-09-07 08:31:00:889,1,116,2,0,136,897,116,0 11,0,2024-09-07 08:31:01:017,27639,0.5,26983,0.7,56590,0.4,76111,2.00 11,1,2024-09-07 08:31:00:576,203171,203171,0,0,95528412624,1008377576,199054,2987,1130,384,391537,0 11,2,2024-09-07 08:31:01:128,145505,145505,0,0,6795355,0,3411 11,3,2024-09-07 08:31:01:301,1,116,3,0,720,2727,116,0 12,0,2024-09-07 08:31:00:956,29705,0.3,29541,0.5,59354,0.3,78407,1.50 12,1,2024-09-07 08:31:00:940,203148,203148,0,0,95039000321,999254967,200342,2396,410,371,391837,0 12,2,2024-09-07 08:31:01:540,146204,146204,0,0,7325643,0,3469 12,3,2024-09-07 08:31:01:065,1,116,40,0,358,2291,116,0 13,0,2024-09-07 08:31:01:342,29842,0.4,29948,0.5,59753,0.4,79212,1.75 13,1,2024-09-07 08:31:01:530,203926,203926,0,0,95546509994,1005631004,202000,1550,376,384,391717,0 13,2,2024-09-07 08:31:00:627,147526,147526,0,0,6745272,0,3287 13,3,2024-09-07 08:31:01:764,1,116,8,1,416,2243,116,0 14,0,2024-09-07 08:31:00:567,29672,0.6,29853,0.8,59084,0.6,78295,2.00 14,1,2024-09-07 08:31:01:561,204971,204971,0,0,96419203411,1003260466,203121,1688,162,364,391571,0 14,2,2024-09-07 08:31:00:770,147375,147375,0,0,7003524,0,2896 14,3,2024-09-07 08:31:01:116,1,116,73,0,1168,2661,116,0 15,0,2024-09-07 08:31:01:559,27927,0.6,27762,0.9,55696,0.6,74501,2.00 15,1,2024-09-07 08:31:01:608,203090,203090,0,0,95092075900,992304883,201736,1294,60,381,391536,0 15,2,2024-09-07 08:31:01:001,146311,146311,0,0,5532585,0,3043 15,3,2024-09-07 08:31:01:405,1,116,1,0,1126,3776,116,0 16,0,2024-09-07 08:31:00:949,29528,0.6,29919,0.8,59195,0.7,79090,2.25 16,1,2024-09-07 08:31:00:574,203678,203678,0,0,95598399031,1003949031,201633,1786,259,372,391756,0 16,2,2024-09-07 08:31:01:449,145276,145276,0,0,7183330,0,4719 16,3,2024-09-07 08:31:01:150,1,116,8,0,231,1744,116,0 17,0,2024-09-07 08:31:01:777,30793,0.5,29955,0.7,58897,0.4,79455,2.00 17,1,2024-09-07 08:31:00:571,202770,202770,0,0,95013350860,1006043263,199610,2490,670,369,391688,0 17,2,2024-09-07 08:31:01:670,148161,148161,0,0,7041057,0,2857 17,3,2024-09-07 08:31:00:576,1,116,8,0,268,1978,116,0 18,0,2024-09-07 08:31:00:944,28548,1.1,28986,1.1,58170,1.3,77607,2.50 18,1,2024-09-07 08:31:01:638,203475,203475,0,0,95148093101,992197479,201627,1614,234,368,391564,0 18,2,2024-09-07 08:31:01:761,146400,146400,0,0,6843538,0,3541 18,3,2024-09-07 08:31:00:911,1,116,42,0,163,1342,116,0 19,0,2024-09-07 08:31:01:544,27880,1.4,28063,1.2,55485,1.7,74100,3.50 19,1,2024-09-07 08:31:00:567,203659,203659,0,0,96327300975,1010368527,200204,2763,692,367,391777,0 19,2,2024-09-07 08:31:01:752,147314,147314,0,0,6063278,0,3988 19,3,2024-09-07 08:31:01:149,1,116,6,0,524,1348,116,0 20,0,2024-09-07 08:31:01:353,29222,0.7,29194,0.8,58495,0.8,78388,2.50 20,1,2024-09-07 08:31:00:569,203870,203870,0,0,95823453680,1005076511,201862,1831,177,370,391822,0 20,2,2024-09-07 08:31:00:933,146167,146167,0,0,6531523,0,3721 20,3,2024-09-07 08:31:00:589,1,116,1,0,414,2634,116,0 21,0,2024-09-07 08:31:01:161,29208,0.4,29095,0.5,58035,0.3,77113,1.75 21,1,2024-09-07 08:31:01:540,202880,202880,0,0,94733775191,999560565,200223,2152,505,368,391962,0 21,2,2024-09-07 08:31:01:066,146173,146173,0,0,6853659,0,3747 21,3,2024-09-07 08:31:01:408,1,116,8,0,93,1529,116,0 22,0,2024-09-07 08:31:01:752,28948,1.0,28962,1.0,57707,1.2,76897,2.50 22,1,2024-09-07 08:31:01:038,203177,203177,0,0,95194429820,1009947340,198405,3366,1406,382,391667,0 22,2,2024-09-07 08:31:00:769,146052,146052,0,0,6155486,0,3134 22,3,2024-09-07 08:31:01:066,1,116,7,0,228,1376,116,0 23,0,2024-09-07 08:31:01:373,27868,0.6,28152,0.8,56360,0.6,74992,2.25 23,1,2024-09-07 08:31:01:005,204518,204518,0,0,96033708307,1003137813,202070,1869,579,365,391549,0 23,2,2024-09-07 08:31:01:094,148404,148404,0,0,6144412,0,2078 23,3,2024-09-07 08:31:01:757,1,116,4,0,645,1679,116,0 24,0,2024-09-07 08:31:00:860,28968,0.5,28906,0.6,57990,0.5,77175,1.75 24,1,2024-09-07 08:31:00:585,203412,203412,0,0,95451056556,1002287276,201038,1610,764,369,391640,0 24,2,2024-09-07 08:31:01:108,145475,145475,0,0,7762494,0,2942 24,3,2024-09-07 08:31:01:686,1,116,1,0,234,1520,116,0 25,0,2024-09-07 08:31:01:360,29543,0.4,28861,0.6,56481,0.4,76822,1.75 25,1,2024-09-07 08:31:00:568,203328,203328,0,0,95057303426,1000826332,200525,2359,444,371,391788,0 25,2,2024-09-07 08:31:01:605,144713,144713,0,0,7753472,0,3978 25,3,2024-09-07 08:31:01:012,1,116,14,0,158,1344,116,0 26,0,2024-09-07 08:31:01:727,29276,0.4,28621,0.6,60073,0.3,78367,1.75 26,1,2024-09-07 08:31:01:541,204082,204082,0,0,95210084407,1003904629,200215,3023,844,381,391564,0 26,2,2024-09-07 08:31:00:866,146335,146335,0,0,7153509,0,2809 26,3,2024-09-07 08:31:01:714,1,116,347,0,796,1825,116,0 27,0,2024-09-07 08:31:01:730,28757,0.6,29026,0.7,57436,0.6,76721,1.75 27,1,2024-09-07 08:31:01:684,204425,204425,0,0,96039982592,1000170473,202682,1421,322,381,391539,0 27,2,2024-09-07 08:31:00:880,147234,147234,0,0,7892679,0,3409 27,3,2024-09-07 08:31:01:014,1,116,2,0,564,1521,116,0 28,0,2024-09-07 08:31:01:389,27788,0.7,28172,0.8,56224,0.8,75568,2.25 28,1,2024-09-07 08:31:00:805,203795,203795,0,0,96136193403,1003720032,202208,1376,211,383,391646,0 28,2,2024-09-07 08:31:01:764,145734,145734,0,0,5794770,0,2915 28,3,2024-09-07 08:31:01:776,1,116,2,0,502,1407,116,0 29,0,2024-09-07 08:31:01:360,30362,0.4,29599,0.6,57986,0.4,79201,1.75 29,1,2024-09-07 08:31:01:562,204536,204536,0,0,95324583785,991990852,202429,1577,530,369,391621,0 29,2,2024-09-07 08:31:00:866,145849,145849,0,0,5853062,0,4018 29,3,2024-09-07 08:31:00:972,1,116,11,0,105,968,116,0 30,0,2024-09-07 08:31:01:459,29623,0.7,28812,0.8,60236,0.7,78827,2.25 30,1,2024-09-07 08:31:00:577,204136,204136,0,0,95848469884,1000698038,202266,1592,278,382,391672,0 30,2,2024-09-07 08:31:01:278,147632,147632,0,0,6112208,0,3161 30,3,2024-09-07 08:31:00:584,1,116,2,0,195,910,116,0 31,0,2024-09-07 08:31:01:760,29621,0.8,29691,0.9,60125,0.9,79499,2.25 31,1,2024-09-07 08:31:00:567,205129,205129,0,0,96079950386,985746072,204769,358,2,356,391553,0 31,2,2024-09-07 08:31:01:277,146872,146872,0,0,7229607,0,3525 31,3,2024-09-07 08:31:01:707,1,116,2,0,220,1073,116,0 32,0,2024-09-07 08:31:01:423,28468,0.8,28627,0.9,57282,0.7,76547,1.75 32,1,2024-09-07 08:31:00:811,204611,204611,0,0,95859590029,999481336,202881,1455,275,382,391595,0 32,2,2024-09-07 08:31:00:944,146275,146275,0,0,5880887,0,3155 32,3,2024-09-07 08:31:01:021,1,116,4,0,227,1266,116,0 33,0,2024-09-07 08:31:01:497,29925,0.4,29613,0.6,59789,0.4,79190,1.75 33,1,2024-09-07 08:31:00:577,204784,204784,0,0,96045818857,999361671,202651,1962,171,369,391730,0 33,2,2024-09-07 08:31:00:760,146500,146467,33,0,7886066,0,7012 33,3,2024-09-07 08:31:00:903,1,116,8,0,211,1149,116,0 34,0,2024-09-07 08:31:00:942,29294,0.3,30249,0.5,57953,0.2,77869,1.75 34,1,2024-09-07 08:31:01:047,204541,204541,0,0,96182503325,993470864,203711,825,5,367,391547,0 34,2,2024-09-07 08:31:00:774,146096,146096,0,0,6710095,0,3255 34,3,2024-09-07 08:31:01:688,1,116,3,0,148,828,116,0 35,0,2024-09-07 08:31:00:892,28637,0.6,28994,0.7,57564,0.6,76823,1.75 35,1,2024-09-07 08:31:01:067,203006,203006,0,0,95482593968,995266197,200822,1727,457,384,391587,0 35,2,2024-09-07 08:31:01:591,146267,146267,0,0,6030289,0,2542 35,3,2024-09-07 08:31:00:923,1,116,1,0,219,1402,116,0 36,0,2024-09-07 08:31:01:519,28689,1.0,28626,1.1,57829,1.2,76582,2.50 36,1,2024-09-07 08:31:00:589,203686,203686,0,0,95446043621,1000952008,200535,2219,932,366,391759,0 36,2,2024-09-07 08:31:01:751,146753,146753,0,0,7262822,0,3303 36,3,2024-09-07 08:31:00:870,1,116,3,0,378,1247,116,0 37,0,2024-09-07 08:31:01:382,27847,0.4,27882,0.6,55607,0.3,74252,1.75 37,1,2024-09-07 08:31:00:574,203073,203066,0,7,95075586887,1004375149,199252,2219,1595,365,391518,0 37,2,2024-09-07 08:31:01:147,146762,146762,0,0,5899948,0,2333 37,3,2024-09-07 08:31:01:765,1,116,9,0,724,2272,116,0 38,0,2024-09-07 08:31:01:454,28619,0.4,27839,0.6,58121,0.3,76326,2.00 38,1,2024-09-07 08:31:01:605,204546,204546,0,0,95510435732,1001061459,201489,2536,521,368,391821,0 38,2,2024-09-07 08:31:00:771,146412,146412,0,0,7153342,0,3245 38,3,2024-09-07 08:31:01:002,1,116,87,0,603,1922,116,0 39,0,2024-09-07 08:31:01:766,30350,0.7,29630,0.8,57971,0.9,78731,2.00 39,1,2024-09-07 08:31:00:718,203767,203767,0,0,95636174993,1001546276,200605,2488,674,365,391524,0 39,2,2024-09-07 08:31:01:417,146066,146066,0,0,6301100,0,2689 39,3,2024-09-07 08:31:00:721,1,116,1,0,276,1718,116,0 40,0,2024-09-07 08:31:01:494,28233,1.2,28839,1.6,57153,1.7,76770,3.50 40,1,2024-09-07 08:31:00:577,203465,203465,0,0,94692021302,996534041,199589,3111,765,369,391591,0 40,2,2024-09-07 08:31:01:312,148573,148572,1,0,8141187,0,5137 40,3,2024-09-07 08:31:01:145,1,116,32,0,181,1381,116,0 41,0,2024-09-07 08:31:01:070,27605,1.5,28296,1.7,54042,2.8,74763,3.75 41,1,2024-09-07 08:31:00:772,203829,203829,0,0,95849656785,1007456709,200249,3063,517,370,391742,0 41,2,2024-09-07 08:31:00:759,145076,145076,0,0,7540871,0,3356 41,3,2024-09-07 08:31:01:677,1,116,1,0,366,1549,116,0 42,0,2024-09-07 08:31:01:477,28898,0.6,29200,0.9,57983,0.6,76604,2.25 42,1,2024-09-07 08:31:01:449,202887,202887,0,0,95640938819,1007559430,199494,2557,836,380,391675,0 42,2,2024-09-07 08:31:01:147,144781,144781,0,0,7596121,0,3568 42,3,2024-09-07 08:31:01:013,1,116,11,0,100,864,116,0 43,0,2024-09-07 08:31:00:928,29803,0.8,28993,1.0,60460,0.9,79287,2.25 43,1,2024-09-07 08:31:00:577,203182,203182,0,0,95745275680,1001057748,200562,1868,752,366,391604,0 43,2,2024-09-07 08:31:01:736,147239,147239,0,0,7339415,0,3812 43,3,2024-09-07 08:31:01:749,1,116,1,0,325,1883,116,0 44,0,2024-09-07 08:31:00:887,29797,0.6,29658,0.9,59454,0.6,78905,2.00 44,1,2024-09-07 08:31:00:567,204363,204363,0,0,95381866682,987776393,202934,1300,129,356,391809,0 44,2,2024-09-07 08:31:01:274,146933,146933,0,0,5973067,0,1877 44,3,2024-09-07 08:31:01:093,1,116,8,0,817,1504,116,0 45,0,2024-09-07 08:31:01:760,27484,0.9,26880,1.0,56417,1.0,74976,2.00 45,1,2024-09-07 08:31:01:005,203965,203965,0,0,96061398320,998771426,202968,988,9,382,391917,0 45,2,2024-09-07 08:31:01:269,146195,146195,0,0,6342785,0,3596 45,3,2024-09-07 08:31:00:949,1,116,15,0,226,1128,116,0 46,0,2024-09-07 08:31:00:956,29481,0.4,29454,0.7,59088,0.3,78182,1.75 46,1,2024-09-07 08:31:00:574,204498,204498,0,0,95560600888,990323876,203060,1332,106,366,391514,0 46,2,2024-09-07 08:31:00:598,146487,146487,0,0,6303531,0,2920 46,3,2024-09-07 08:31:01:149,1,116,5,0,363,1825,116,0 47,0,2024-09-07 08:31:01:113,29831,0.3,30044,0.5,60247,0.3,79251,1.75 47,1,2024-09-07 08:31:00:568,204403,204403,0,0,95463857968,987561133,203393,1000,10,366,391605,0 47,2,2024-09-07 08:31:00:908,147997,147997,0,0,6680608,0,2558 47,3,2024-09-07 08:31:01:125,1,116,1,0,529,1392,116,0 48,0,2024-09-07 08:31:01:514,29484,0.3,29261,0.5,58500,0.3,78193,1.75 48,1,2024-09-07 08:31:01:036,204040,204040,0,0,95944328936,1001484102,202064,1797,179,384,391710,0 48,2,2024-09-07 08:31:00:716,146255,146255,0,0,5592326,0,2083 48,3,2024-09-07 08:31:00:759,1,116,11,0,339,1219,116,0 49,0,2024-09-07 08:31:01:741,28974,0.6,28204,0.8,55358,0.7,75793,2.00 49,1,2024-09-07 08:31:01:030,202830,202830,0,0,95576610920,1001606646,200362,1704,764,382,391583,0 49,2,2024-09-07 08:31:01:837,146885,146885,0,0,6440724,0,3900 49,3,2024-09-07 08:31:01:415,1,116,14,0,408,1573,116,0 50,0,2024-09-07 08:31:01:517,29367,0.3,28864,0.6,57628,0.2,77889,1.75 50,1,2024-09-07 08:31:01:016,204723,204723,0,0,96465548908,1004855428,202433,1982,308,368,391530,0 50,2,2024-09-07 08:31:01:066,146188,146188,0,0,6097266,0,2253 50,3,2024-09-07 08:31:01:301,1,116,9,0,335,1116,116,0 51,0,2024-09-07 08:31:01:690,29711,0.3,29140,0.4,56935,0.2,77479,1.75 51,1,2024-09-07 08:31:01:682,203947,203947,0,0,96632269924,1003945789,202008,1155,784,367,391637,0 51,2,2024-09-07 08:31:01:322,146071,146071,0,0,5318601,0,2448 51,3,2024-09-07 08:31:01:039,1,116,8,0,162,760,116,0 52,0,2024-09-07 08:31:01:434,29219,0.6,29298,0.8,58775,0.7,77387,2.25 52,1,2024-09-07 08:31:00:577,203764,203764,0,0,95355790459,1004829038,199845,3211,708,368,391722,0 52,2,2024-09-07 08:31:01:757,145134,145134,0,0,7022900,0,4779 52,3,2024-09-07 08:31:00:677,1,116,45,0,1782,2784,116,0 53,0,2024-09-07 08:31:01:742,27911,1.2,26966,1.1,56284,1.3,74114,2.75 53,1,2024-09-07 08:31:00:774,203377,203377,0,0,95863011163,1005825671,200222,2574,581,370,391617,0 53,2,2024-09-07 08:31:01:298,148870,148870,0,0,5823911,0,1902 53,3,2024-09-07 08:31:00:697,1,116,10,0,133,945,116,0 54,0,2024-09-07 08:31:01:619,27947,1.4,28401,1.0,55999,0.6,76150,3.25 54,1,2024-09-07 08:31:00:585,203933,203933,0,0,96241426550,1007692285,200706,2683,544,367,391520,0 54,2,2024-09-07 08:31:00:884,145955,145949,6,0,7085735,0,5382 54,3,2024-09-07 08:31:00:769,1,116,77,0,676,2649,116,0 55,0,2024-09-07 08:31:01:761,27624,0.5,28488,0.7,57779,0.4,74669,2.25 55,1,2024-09-07 08:31:00:770,203137,203137,0,0,95088272352,999801318,198689,3663,785,367,391731,0 55,2,2024-09-07 08:31:00:729,145176,145176,0,0,6931577,0,3275 55,3,2024-09-07 08:31:00:674,1,116,3,0,304,1363,116,0 56,0,2024-09-07 08:31:01:554,30240,1.5,28617,1.2,58735,2.0,78596,2.50 56,1,2024-09-07 08:31:00:579,203089,203089,0,0,95554067876,1017511078,198509,3730,850,382,391678,0 56,2,2024-09-07 08:31:01:302,146321,146321,0,0,7948335,0,3567 56,3,2024-09-07 08:31:01:058,1,116,5,0,297,1574,116,0 57,0,2024-09-07 08:31:00:964,28151,2.2,28027,1.5,56391,3.2,75405,3.75 57,1,2024-09-07 08:31:00:987,203484,203484,0,0,95097475307,998096730,201073,2241,170,368,391773,0 57,2,2024-09-07 08:31:01:328,148504,148504,0,0,7909270,0,3178 57,3,2024-09-07 08:31:01:738,1,116,8,0,359,2399,116,0 58,0,2024-09-07 08:31:00:560,27145,0.9,26503,1.0,55536,1.0,73494,2.75 58,1,2024-09-07 08:31:00:578,204429,204426,0,3,96038503666,1003370793,201976,2222,228,369,391516,3 58,2,2024-09-07 08:31:01:077,145831,145831,0,0,6854920,0,2549 58,3,2024-09-07 08:31:01:076,1,116,3,0,219,1054,116,0 59,0,2024-09-07 08:31:01:747,29636,0.9,29230,1.0,58800,0.9,77980,2.75 59,1,2024-09-07 08:31:00:810,203729,203729,0,0,95564233258,1004560776,200364,2443,922,369,391515,0 59,2,2024-09-07 08:31:00:589,146574,146574,0,0,6291622,0,2604 59,3,2024-09-07 08:31:01:737,1,116,1,0,1015,2461,116,0 60,0,2024-09-07 08:31:01:745,30024,0.4,30076,0.6,59462,0.4,78980,1.75 60,1,2024-09-07 08:31:00:785,205267,205267,0,0,96002672829,998096847,204020,895,352,370,391761,0 60,2,2024-09-07 08:31:01:149,146938,146938,0,0,7294553,0,3811 60,3,2024-09-07 08:31:01:269,1,116,1,0,124,1198,116,0 61,0,2024-09-07 08:31:01:534,29736,1.7,29942,1.2,59761,2.6,79899,2.25 61,1,2024-09-07 08:31:00:772,203500,203500,0,0,95943937605,1009667652,200651,2312,537,382,391589,0 61,2,2024-09-07 08:31:01:127,147484,147484,0,0,6280757,0,1846 61,3,2024-09-07 08:31:01:687,1,116,12,0,199,1575,116,0 62,0,2024-09-07 08:31:01:741,28554,1.6,29150,1.1,55875,2.7,76293,2.25 62,1,2024-09-07 08:31:01:119,205235,205229,0,6,96359370968,996836784,203808,1383,38,365,391715,6 62,2,2024-09-07 08:31:01:645,145046,145045,1,0,7208964,0,5555 62,3,2024-09-07 08:31:01:152,1,116,2,0,287,1032,116,0 63,0,2024-09-07 08:31:01:455,29620,0.6,29721,0.7,59308,0.7,78857,2.00 63,1,2024-09-07 08:31:00:817,204856,204850,0,6,96077111073,997339205,203753,1077,20,381,391540,6 63,2,2024-09-07 08:31:00:766,145926,145926,0,0,5920052,0,2674 63,3,2024-09-07 08:31:01:733,1,116,3,0,667,2034,116,0 64,0,2024-09-07 08:31:01:564,29181,0.4,29032,0.6,58343,0.4,77840,1.75 64,1,2024-09-07 08:31:00:758,203573,203573,0,0,95097059413,1001060185,200191,2330,1052,371,391783,0 64,2,2024-09-07 08:31:01:157,147367,147348,19,0,6994705,0,6121 64,3,2024-09-07 08:31:01:159,1,116,8,0,265,1339,116,0 65,0,2024-09-07 08:31:01:704,28210,1.5,28571,1.1,57168,1.9,75979,2.75 65,1,2024-09-07 08:31:00:869,203242,203242,0,0,95315379700,1000551151,201044,1976,222,382,391770,0 65,2,2024-09-07 08:31:01:698,146903,146903,0,0,7308307,0,3367 65,3,2024-09-07 08:31:01:691,1,116,19,0,163,1264,116,0 66,0,2024-09-07 08:31:01:769,28220,1.1,28392,1.1,56887,1.9,75759,3.50 66,1,2024-09-07 08:31:01:294,204146,204146,0,0,95876115686,999627437,202380,1596,170,380,391588,0 66,2,2024-09-07 08:31:01:144,148738,148738,0,0,6598568,0,4956 66,3,2024-09-07 08:31:01:079,1,116,3,0,291,1307,116,0 67,0,2024-09-07 08:31:01:426,28156,0.6,27943,0.7,55930,0.5,74170,2.25 67,1,2024-09-07 08:31:00:775,202758,202757,0,1,95938784372,1008543512,199690,2493,574,381,391787,1 67,2,2024-09-07 08:31:00:587,146934,146934,0,0,5888765,0,2889 67,3,2024-09-07 08:31:01:750,1,116,46,0,138,1140,116,0 68,0,2024-09-07 08:31:00:604,29004,0.5,28832,0.7,57432,0.5,76684,2.00 68,1,2024-09-07 08:31:00:581,203594,203594,0,0,95551760186,1002505753,201801,1271,522,381,391953,0 68,2,2024-09-07 08:31:01:055,145421,145421,0,0,6897769,0,4883 68,3,2024-09-07 08:31:00:738,1,116,14,0,151,1298,116,0 69,0,2024-09-07 08:31:01:788,29444,1.1,29683,1.0,58999,1.3,78470,2.25 69,1,2024-09-07 08:31:01:016,203162,203162,0,0,95729868704,1014819498,200202,1875,1085,384,391611,0 69,2,2024-09-07 08:31:01:745,145934,145934,0,0,7564869,0,3701 69,3,2024-09-07 08:31:00:765,1,116,8,0,238,1549,116,0 70,0,2024-09-07 08:31:01:534,28412,2.3,28579,1.7,57339,1.3,76342,3.25 70,1,2024-09-07 08:31:00:814,204067,204067,0,0,96437508711,1005081725,201835,1771,461,367,391725,0 70,2,2024-09-07 08:31:01:325,148516,148516,0,0,7116682,0,4044 70,3,2024-09-07 08:31:00:753,1,116,19,0,178,840,116,0 71,0,2024-09-07 08:31:01:362,27561,1.5,27815,1.6,55203,2.2,74672,3.25 71,1,2024-09-07 08:31:01:599,204412,204412,0,0,95918978658,1002665816,201790,2288,334,368,391682,0 71,2,2024-09-07 08:31:01:067,146221,146221,0,0,6527262,0,2146 71,3,2024-09-07 08:31:01:750,1,116,63,0,279,1540,116,0 72,0,2024-09-07 08:31:01:047,30412,0.5,29662,0.8,58104,0.5,78550,2.25 72,1,2024-09-07 08:31:01:028,203640,203640,0,0,95925318896,1004225606,200854,2303,483,370,391819,0 72,2,2024-09-07 08:31:01:765,144580,144580,0,0,8210133,0,2570 72,3,2024-09-07 08:31:01:756,1,116,19,0,325,1588,116,0 73,0,2024-09-07 08:31:01:122,29138,0.4,29809,0.6,60980,0.3,79008,2.25 73,1,2024-09-07 08:31:00:766,203893,203893,0,0,95257836979,991343842,202299,1480,114,368,391627,0 73,2,2024-09-07 08:31:01:747,147437,147437,0,0,7717924,0,3482 73,3,2024-09-07 08:31:00:983,1,116,8,0,274,1941,116,0 74,0,2024-09-07 08:31:01:356,29837,0.8,30263,1.0,57873,0.9,78459,2.75 74,1,2024-09-07 08:31:00:634,203745,203745,0,0,95405794172,998543035,201307,1832,606,382,391681,0 74,2,2024-09-07 08:31:01:005,147207,147207,0,0,7872541,0,4253 74,3,2024-09-07 08:31:01:444,1,116,4,0,246,1704,116,0 75,0,2024-09-07 08:31:01:772,27964,0.8,27893,1.0,55732,0.8,75262,2.50 75,1,2024-09-07 08:31:01:602,203686,203686,0,0,96008402325,1005934841,201299,2023,364,381,391579,0 75,2,2024-09-07 08:31:01:365,145452,145452,0,0,7088042,0,4766 75,3,2024-09-07 08:31:01:082,1,116,5,0,535,1508,116,0 76,0,2024-09-07 08:31:00:595,29484,0.4,29264,0.8,58373,0.4,78473,2.25 76,1,2024-09-07 08:31:00:818,203706,203706,0,0,95216107847,997488556,201795,1403,508,382,391530,0 76,2,2024-09-07 08:31:01:061,147137,147137,0,0,6279925,0,3064 76,3,2024-09-07 08:31:01:151,1,116,2,0,175,1492,116,0 77,0,2024-09-07 08:31:01:702,29836,0.6,29954,0.7,60139,0.6,79129,2.00 77,1,2024-09-07 08:31:00:854,204270,204270,0,0,95476912978,998110718,202874,1315,81,383,391808,0 77,2,2024-09-07 08:31:01:281,147565,147565,0,0,6354257,0,3890 77,3,2024-09-07 08:31:01:100,1,116,8,0,305,1698,116,0 78,0,2024-09-07 08:31:01:767,29289,0.6,29203,0.9,58643,0.6,77926,2.25 78,1,2024-09-07 08:31:00:618,204456,204456,0,0,95003305113,990897942,202697,1554,205,367,391589,0 78,2,2024-09-07 08:31:01:405,147346,147346,0,0,6178904,0,2114 78,3,2024-09-07 08:31:01:144,1,116,4,0,181,1429,116,0 79,0,2024-09-07 08:31:01:393,27259,0.6,27886,0.9,57130,0.6,74351,2.75 79,1,2024-09-07 08:31:00:577,204565,204565,0,0,95225296696,990622665,202567,1827,171,369,391682,0 79,2,2024-09-07 08:31:01:067,147228,147228,0,0,6366020,0,3212 79,3,2024-09-07 08:31:00:758,1,116,7,0,306,1992,116,0 80,0,2024-09-07 08:31:01:123,28968,0.7,29937,0.9,57227,0.7,78048,2.25 80,1,2024-09-07 08:31:01:629,203169,203169,0,0,95471504890,999429678,200722,2288,159,368,391673,0 80,2,2024-09-07 08:31:01:098,147003,147003,0,0,6705240,0,4433 80,3,2024-09-07 08:31:00:581,1,116,1,0,190,2060,116,0 81,0,2024-09-07 08:31:01:563,29042,0.5,29863,0.6,57193,0.5,77316,2.00 81,1,2024-09-07 08:31:01:652,203680,203680,0,0,95031103022,998744354,201267,2141,272,382,391879,0 81,2,2024-09-07 08:31:01:129,145655,145655,0,0,7382404,0,3993 81,3,2024-09-07 08:31:01:135,1,116,11,0,193,1205,116,0 82,0,2024-09-07 08:31:01:574,29014,0.7,29086,0.9,58419,0.7,77605,2.00 82,1,2024-09-07 08:31:00:587,203701,203697,0,4,95435213112,999746512,201335,1788,574,382,391558,4 82,2,2024-09-07 08:31:01:695,146657,146657,0,0,6485256,0,3986 82,3,2024-09-07 08:31:01:752,1,116,14,0,227,1709,116,0 83,0,2024-09-07 08:31:01:528,28164,1.1,27960,1.1,55462,1.3,74166,2.75 83,1,2024-09-07 08:31:00:558,204007,204007,0,0,95871442902,1004828928,201851,1973,183,383,391553,0 83,2,2024-09-07 08:31:00:770,147827,147827,0,0,6594105,0,3119 83,3,2024-09-07 08:31:00:756,1,116,0,0,133,1181,116,0 84,0,2024-09-07 08:31:01:785,28468,0.9,28311,1.1,56661,0.7,76676,2.50 84,1,2024-09-07 08:31:01:043,202890,202890,0,0,95306557953,1002399948,200022,2420,448,368,391852,0 84,2,2024-09-07 08:31:00:577,146150,146150,0,0,6838197,0,3801 84,3,2024-09-07 08:31:01:151,1,116,57,1,85,1115,116,0 85,0,2024-09-07 08:31:01:033,27621,0.5,27610,0.8,58508,0.5,75857,2.00 85,1,2024-09-07 08:31:00:568,202919,202919,0,0,95601792680,1013249449,199216,3228,475,382,391679,0 85,2,2024-09-07 08:31:00:884,145880,145880,0,0,7605895,0,3656 85,3,2024-09-07 08:31:00:692,1,116,9,0,115,1209,116,0 86,0,2024-09-07 08:31:00:897,29482,0.7,30399,0.7,58375,0.7,78457,2.00 86,1,2024-09-07 08:31:00:830,203749,203749,0,0,95936724080,1006553362,200936,2533,280,366,391961,0 86,2,2024-09-07 08:31:00:854,146288,146287,1,0,7858165,0,5004 86,3,2024-09-07 08:31:00:587,1,116,2,0,199,1433,116,0 87,0,2024-09-07 08:31:01:316,28534,2.2,28516,1.4,57084,3.5,76543,2.75 87,1,2024-09-07 08:31:00:552,203202,203202,0,0,94437760109,992019196,200869,2193,140,366,391788,0 87,2,2024-09-07 08:31:01:066,148269,148269,0,0,6584991,0,3515 87,3,2024-09-07 08:31:01:795,1,116,1,1,335,2153,116,0 88,0,2024-09-07 08:31:01:454,28012,0.6,28152,0.8,56029,0.7,75625,1.75 88,1,2024-09-07 08:31:00:577,202669,202669,0,0,95224531073,1001934227,199911,1983,775,367,391787,0 88,2,2024-09-07 08:31:00:701,145730,145730,0,0,7491010,0,3583 88,3,2024-09-07 08:31:01:268,1,116,2,1,77,1266,116,0 89,0,2024-09-07 08:31:01:784,30474,0.5,29654,0.8,58712,0.5,79378,1.75 89,1,2024-09-07 08:31:00:555,202737,202737,0,0,95248393227,1012965055,198219,3646,872,382,391866,0 89,2,2024-09-07 08:31:01:177,145999,145999,0,0,7445038,0,2901 89,3,2024-09-07 08:31:01:793,1,116,9,0,325,2589,116,0 90,0,2024-09-07 08:31:01:654,29008,0.4,29528,0.6,60783,0.4,78882,1.75 90,1,2024-09-07 08:31:00:592,204090,204090,0,0,95007021214,1001567836,201365,2567,158,382,391825,0 90,2,2024-09-07 08:31:01:420,146059,146059,0,0,8144258,0,2635 90,3,2024-09-07 08:31:00:944,1,116,1,1,200,1355,116,0 91,0,2024-09-07 08:31:00:977,30283,0.5,29351,0.7,60874,0.6,80234,1.75 91,1,2024-09-07 08:31:00:561,203260,203260,0,0,95837595124,1010974889,199964,2803,493,381,391914,0 91,2,2024-09-07 08:31:01:339,145596,145596,0,0,8041792,0,2445 91,3,2024-09-07 08:31:00:605,1,116,13,0,155,1477,116,0 92,0,2024-09-07 08:31:01:468,28857,0.7,29509,0.8,56351,0.9,76662,1.75 92,1,2024-09-07 08:31:00:588,203714,203714,0,0,95259901459,998544555,201808,1512,394,382,391717,0 92,2,2024-09-07 08:31:01:356,147495,147495,0,0,6327626,0,2279 92,3,2024-09-07 08:31:01:010,1,116,2,0,68,1147,116,0 93,0,2024-09-07 08:31:01:013,29872,0.5,30563,0.7,58644,0.5,79271,1.75 93,1,2024-09-07 08:31:00:830,203961,203961,0,0,95918418130,1005820685,201543,1972,446,366,391689,0 93,2,2024-09-07 08:31:00:933,146434,146434,0,0,6453671,0,2509 93,3,2024-09-07 08:31:01:413,1,116,13,0,143,1236,116,0 94,0,2024-09-07 08:31:01:632,29285,0.3,29515,0.5,58691,0.3,77778,1.75 94,1,2024-09-07 08:31:00:569,203636,203636,0,0,95233114755,1000431745,201538,2019,79,381,391850,0 94,2,2024-09-07 08:31:00:769,146408,146408,0,0,6270492,0,2443 94,3,2024-09-07 08:31:01:687,1,116,5,0,264,2072,116,0 95,0,2024-09-07 08:31:01:478,28976,0.4,28858,0.6,58090,0.4,76945,1.75 95,1,2024-09-07 08:31:00:862,203916,203916,0,0,95463074867,992666981,202894,958,64,367,391600,0 95,2,2024-09-07 08:31:01:021,146478,146478,0,0,6526795,0,3308 95,3,2024-09-07 08:31:01:730,1,116,2,0,307,1951,116,0 96,0,2024-09-07 08:31:01:048,28868,0.9,28935,0.8,57614,1.2,76873,2.00 96,1,2024-09-07 08:31:01:584,203647,203647,0,0,95129144233,1001306023,201106,1901,640,385,391596,0 96,2,2024-09-07 08:31:01:270,147451,147451,0,0,6967892,0,4042 96,3,2024-09-07 08:31:01:152,1,116,1,0,188,1368,116,0 97,0,2024-09-07 08:31:01:349,27847,0.3,27915,0.5,55932,0.3,74256,1.75 97,1,2024-09-07 08:31:00:771,203561,203561,0,0,95743966573,1002146734,200821,2193,547,367,392140,0 97,2,2024-09-07 08:31:00:608,145924,145924,0,0,6360720,0,3036 97,3,2024-09-07 08:31:00:575,1,116,2,1,165,1481,116,0 98,0,2024-09-07 08:31:01:704,28910,0.3,28662,0.4,57827,0.2,77041,1.50 98,1,2024-09-07 08:31:00:575,203829,203829,0,0,95365174771,998592447,202069,1676,84,382,391997,0 98,2,2024-09-07 08:31:00:772,146853,146853,0,0,6528490,0,3080 98,3,2024-09-07 08:31:00:702,1,116,1,1,840,2708,116,0 99,0,2024-09-07 08:31:01:466,29573,0.3,29641,0.5,59180,0.3,78705,1.75 99,1,2024-09-07 08:31:01:723,204512,204512,0,0,94700266449,992955098,202048,1815,649,381,391744,0 99,2,2024-09-07 08:31:01:416,147416,147416,0,0,6541372,0,1973 99,3,2024-09-07 08:31:00:586,1,116,6,0,129,875,116,0 100,0,2024-09-07 08:31:01:488,28673,1.2,28694,1.7,57287,3.2,77218,2.25 100,1,2024-09-07 08:31:00:550,202949,202949,0,0,95118895809,1011312429,199056,3402,491,381,391989,0 100,2,2024-09-07 08:31:01:820,147968,147957,11,0,7685491,0,5417 100,3,2024-09-07 08:31:01:735,1,116,10,0,443,2482,116,0 101,0,2024-09-07 08:31:01:741,28498,2.6,27682,1.5,54735,2.2,75841,2.75 101,1,2024-09-07 08:31:00:560,202764,202764,0,0,95602926965,1014688873,198694,2732,1338,368,391709,0 101,2,2024-09-07 08:31:01:758,145419,145419,0,0,7475042,0,4644 101,3,2024-09-07 08:31:00:946,1,116,8,0,448,1555,116,0 102,0,2024-09-07 08:31:00:962,28739,0.7,29790,0.8,59796,0.6,78086,2.00 102,1,2024-09-07 08:31:01:152,203114,203114,0,0,95289254647,1004751696,200229,2369,516,369,391831,0 102,2,2024-09-07 08:31:01:738,146546,146546,0,0,6239300,0,2144 102,3,2024-09-07 08:31:01:615,1,116,34,0,410,1456,116,0 103,0,2024-09-07 08:31:01:629,30635,0.5,30582,0.7,57886,0.4,79375,1.75 103,1,2024-09-07 08:31:01:630,202692,202692,0,0,95103803916,1004562882,199529,2257,906,381,391680,0 103,2,2024-09-07 08:31:00:585,146597,146597,0,0,6708300,0,2104 103,3,2024-09-07 08:31:00:761,1,116,2,0,916,2593,116,0 104,0,2024-09-07 08:31:01:027,29477,1.2,29511,1.2,58054,1.3,78716,2.25 104,1,2024-09-07 08:31:01:605,203755,203755,0,0,95552517941,1010049375,200082,2979,694,366,391948,0 104,2,2024-09-07 08:31:01:673,146688,146688,0,0,7534037,0,3941 104,3,2024-09-07 08:31:01:416,1,116,1,1,1245,4608,116,0 105,0,2024-09-07 08:31:01:098,27552,1.4,26699,1.3,55668,2.2,74391,3.50 105,1,2024-09-07 08:31:00:562,203599,203599,0,0,95465938041,1006124492,199927,2910,762,367,391797,0 105,2,2024-09-07 08:31:01:325,145362,145362,0,0,6732650,0,3314 105,3,2024-09-07 08:31:01:310,1,116,16,1,399,2005,116,0 106,0,2024-09-07 08:31:00:951,28649,0.7,29333,0.9,59694,0.6,78176,2.00 106,1,2024-09-07 08:31:01:750,203739,203739,0,0,94840433327,997324221,200863,2547,329,369,391767,0 106,2,2024-09-07 08:31:00:759,145796,145796,0,0,6858878,0,2795 106,3,2024-09-07 08:31:00:677,1,116,120,1,201,1360,116,0 107,0,2024-09-07 08:31:01:122,29996,0.4,29782,0.6,59389,0.4,79397,1.75 107,1,2024-09-07 08:31:00:588,203204,203204,0,0,94915263600,1002106645,200537,2443,224,381,392234,0 107,2,2024-09-07 08:31:01:295,146649,146648,1,0,7292970,0,5024 107,3,2024-09-07 08:31:01:760,1,116,1,0,353,1547,116,0 108,0,2024-09-07 08:31:01:781,29403,0.4,29556,0.7,58507,0.4,78252,1.75 108,1,2024-09-07 08:31:01:297,203625,203625,0,0,95762077900,1003978642,201335,2004,286,368,391857,0 108,2,2024-09-07 08:31:01:761,145772,145772,0,0,7014015,0,2647 108,3,2024-09-07 08:31:01:329,1,116,22,0,272,1799,116,0 109,0,2024-09-07 08:31:01:773,28300,0.6,28336,0.7,56382,0.6,75768,1.75 109,1,2024-09-07 08:31:00:604,203086,203086,0,0,95861032442,1010455931,200711,1916,459,383,391812,0 109,2,2024-09-07 08:31:00:930,145677,145677,0,0,6967407,0,3617 109,3,2024-09-07 08:31:01:140,1,116,10,1,249,1782,116,0 110,0,2024-09-07 08:31:01:771,29280,0.4,28552,0.7,59600,0.4,78638,1.75 110,1,2024-09-07 08:31:01:649,204182,204182,0,0,95396334121,997262703,201925,1560,697,370,391667,0 110,2,2024-09-07 08:31:01:327,146763,146763,0,0,6396112,0,2915 110,3,2024-09-07 08:31:00:695,1,116,10,0,406,1845,116,0 111,0,2024-09-07 08:31:01:425,29162,0.2,28625,0.4,57576,0.2,77313,1.50 111,1,2024-09-07 08:31:01:005,204267,204267,0,0,96828907602,1007249698,202799,1141,327,382,391690,0 111,2,2024-09-07 08:31:01:118,146291,146291,0,0,7141559,0,2763 111,3,2024-09-07 08:31:00:917,1,116,1,0,300,1922,116,0 112,0,2024-09-07 08:31:00:952,29343,0.3,29259,0.5,58528,0.3,77842,1.50 112,1,2024-09-07 08:31:00:838,203830,203830,0,0,96034253886,1003484560,201514,1891,425,381,391580,0 112,2,2024-09-07 08:31:01:151,146201,146200,1,0,7023032,0,5036 112,3,2024-09-07 08:31:00:601,1,116,1,0,282,1467,116,0 113,0,2024-09-07 08:31:00:908,27919,0.5,27755,0.6,56419,0.4,75211,1.75 113,1,2024-09-07 08:31:01:685,204063,204063,0,0,96060246368,999353687,201731,1844,488,368,391661,0 113,2,2024-09-07 08:31:01:302,149290,149290,0,0,6095460,0,3813 113,3,2024-09-07 08:31:00:684,1,116,17,0,288,1821,116,0 114,0,2024-09-07 08:31:00:885,28844,0.9,29328,0.8,57433,0.5,77312,2.00 114,1,2024-09-07 08:31:00:731,203687,203687,0,0,95532307371,1007474214,199717,2508,1462,381,391531,0 114,2,2024-09-07 08:31:00:884,146027,146027,0,0,6394353,0,3925 114,3,2024-09-07 08:31:01:278,1,116,21,0,395,1802,116,0 115,0,2024-09-07 08:31:00:556,28607,0.3,28920,0.4,57914,0.2,76572,1.50 115,1,2024-09-07 08:31:00:577,204061,204061,0,0,96237415347,1009803744,200483,2758,820,382,391602,0 115,2,2024-09-07 08:31:01:137,146200,146200,0,0,5940914,0,2152 115,3,2024-09-07 08:31:01:003,1,116,3,0,159,859,116,0 116,0,2024-09-07 08:31:01:744,29697,0.8,29287,0.9,58970,0.8,78445,2.00 116,1,2024-09-07 08:31:00:809,202619,202619,0,0,95237693692,1014029602,198959,2274,1386,382,391619,0 116,2,2024-09-07 08:31:01:751,145776,145776,0,0,7833188,0,3529 116,3,2024-09-07 08:31:00:922,1,116,8,1,252,1811,116,0 117,0,2024-09-07 08:31:01:086,28581,1.6,28718,1.2,56918,2.7,76580,2.00 117,1,2024-09-07 08:31:01:585,203821,203821,0,0,95106212253,1002168256,200689,2735,397,370,392033,0 117,2,2024-09-07 08:31:01:168,149241,149241,0,0,6532185,0,3700 117,3,2024-09-07 08:31:01:059,1,116,17,0,490,2658,116,0 118,0,2024-09-07 08:31:01:769,27338,0.8,28009,0.8,57223,0.8,75123,2.00 118,1,2024-09-07 08:31:00:589,203670,203670,0,0,95028373195,1000630215,200955,2316,399,368,391736,0 118,2,2024-09-07 08:31:01:591,146014,146014,0,0,7108697,0,2781 118,3,2024-09-07 08:31:01:763,1,116,1,0,235,1648,116,0 119,0,2024-09-07 08:31:01:349,29329,1.0,29391,0.9,59664,1.4,78678,2.00 119,1,2024-09-07 08:31:00:567,203857,203857,0,0,95352000262,998161029,201742,1833,282,370,391641,0 119,2,2024-09-07 08:31:01:267,145088,145088,0,0,6157986,0,2532 119,3,2024-09-07 08:31:01:328,1,116,16,0,443,2492,116,0 120,0,2024-09-07 08:31:01:567,29514,0.5,29588,0.8,59063,0.5,78833,2.00 120,1,2024-09-07 08:31:00:865,203863,203863,0,0,94965400320,998025960,201780,2038,45,368,391702,0 120,2,2024-09-07 08:31:00:788,146348,146347,1,0,8588174,0,5281 120,3,2024-09-07 08:31:01:294,1,116,4,0,241,1751,116,0 121,0,2024-09-07 08:31:01:690,29653,1.7,30091,1.2,59876,2.7,80063,2.25 121,1,2024-09-07 08:31:01:662,203855,203855,0,0,95357109662,1000971526,201355,2218,282,367,391840,0 121,2,2024-09-07 08:31:01:127,146108,146108,0,0,8129691,0,4127 121,3,2024-09-07 08:31:00:728,1,116,7,0,159,1474,116,0 122,0,2024-09-07 08:31:01:779,28273,1.5,27453,1.4,57441,1.8,76672,2.25 122,1,2024-09-07 08:31:00:865,202998,202998,0,0,95161511305,1004064342,199451,2906,641,366,392130,0 122,2,2024-09-07 08:31:01:329,146320,146320,0,0,7918166,0,3364 122,3,2024-09-07 08:31:00:598,1,116,1,0,298,2428,116,0 123,0,2024-09-07 08:31:01:000,29721,1.0,28920,0.9,60450,1.2,78992,2.25 123,1,2024-09-07 08:31:00:558,204365,204365,0,0,95558330813,1009575746,200486,3306,573,369,391823,0 123,2,2024-09-07 08:31:01:040,145377,145376,1,0,7016769,0,5215 123,3,2024-09-07 08:31:01:150,1,116,5,1,168,1772,116,0 124,0,2024-09-07 08:31:00:985,30146,0.3,30134,0.5,56811,0.2,78054,1.75 124,1,2024-09-07 08:31:01:026,203684,203684,0,0,95481404282,995469812,201883,1449,352,367,392178,0 124,2,2024-09-07 08:31:01:016,147286,147286,0,0,6422888,0,3101 124,3,2024-09-07 08:31:00:768,1,116,1,1,490,2023,116,0 125,0,2024-09-07 08:31:01:429,28968,0.5,28915,0.6,57980,0.5,76902,1.75 125,1,2024-09-07 08:31:00:864,203135,203135,0,0,95855514918,1004881513,200870,1990,275,384,391702,0 125,2,2024-09-07 08:31:01:118,146839,146839,0,0,6389250,0,2180 125,3,2024-09-07 08:31:01:126,1,116,9,1,284,1678,116,0 126,0,2024-09-07 08:31:01:482,28845,0.9,29560,0.9,56661,1.0,77435,2.00 126,1,2024-09-07 08:31:00:551,204332,204332,0,0,95983463857,998805652,202846,1410,76,365,391987,0 126,2,2024-09-07 08:31:00:617,148160,148160,0,0,6481287,0,3186 126,3,2024-09-07 08:31:00:915,1,116,1,0,136,1678,116,0 127,0,2024-09-07 08:31:01:646,27745,0.4,27963,0.6,55900,0.4,74320,1.75 127,1,2024-09-07 08:31:00:578,204030,204030,0,0,95694103497,995198825,202288,1707,35,365,391816,0 127,2,2024-09-07 08:31:00:651,146458,146458,0,0,5853673,0,1803 127,3,2024-09-07 08:31:01:279,1,116,36,0,99,888,116,0 128,0,2024-09-07 08:31:01:561,29041,0.3,28934,0.4,57616,0.2,76853,1.50 128,1,2024-09-07 08:31:01:605,204184,204184,0,0,95998683169,996606080,203338,775,71,367,391680,0 128,2,2024-09-07 08:31:01:389,145481,145481,0,0,6366876,0,2107 128,3,2024-09-07 08:31:00:795,1,116,7,0,247,1425,116,0 129,0,2024-09-07 08:31:01:055,29758,0.3,29716,0.5,59154,0.3,78599,1.75 129,1,2024-09-07 08:31:00:575,203172,203172,0,0,95671646260,1007596580,200281,2501,390,379,391835,0 129,2,2024-09-07 08:31:00:688,145980,145980,0,0,6483636,0,4031 129,3,2024-09-07 08:31:00:688,1,116,13,1,173,1626,116,0 130,0,2024-09-07 08:31:01:806,29182,1.1,28947,0.9,58032,1.6,77760,2.00 130,1,2024-09-07 08:31:00:590,203720,203720,0,0,96078603452,1005886917,201697,1955,68,381,391825,0 130,2,2024-09-07 08:31:01:130,148477,148477,0,0,6538870,0,4067 130,3,2024-09-07 08:31:01:298,1,116,35,0,207,1179,116,0 131,0,2024-09-07 08:31:01:961,28171,0.6,28167,0.7,57181,0.8,75871,1.75 131,1,2024-09-07 08:31:01:856,204599,204599,0,0,95099047625,993503087,203213,1181,205,384,391865,0 131,2,2024-09-07 08:31:00:567,146376,146376,0,0,5977646,0,2415 131,3,2024-09-07 08:31:01:752,1,116,5,0,392,1421,116,0 132,0,2024-09-07 08:31:01:431,29152,0.5,29613,0.8,59212,0.5,78454,1.75 132,1,2024-09-07 08:31:00:582,202962,202962,0,0,95049846427,1007727301,199006,3161,795,381,391719,0 132,2,2024-09-07 08:31:00:698,145167,145167,0,0,8326023,0,4606 132,3,2024-09-07 08:31:01:693,1,116,43,0,356,2014,116,0 133,0,2024-09-07 08:31:01:545,29028,0.5,29623,0.6,60910,0.4,78639,1.75 133,1,2024-09-07 08:31:00:585,202289,202289,0,0,94989755764,1005285276,199059,2999,231,383,391755,0 133,2,2024-09-07 08:31:01:093,146742,146742,0,0,8200747,0,4315 133,3,2024-09-07 08:31:01:307,1,116,12,1,187,1117,116,0 134,0,2024-09-07 08:31:01:028,29559,0.7,29383,0.9,59300,0.8,78497,2.00 134,1,2024-09-07 08:31:00:587,203235,203235,0,0,94892500156,998457766,199847,2299,1089,366,391718,0 134,2,2024-09-07 08:31:01:761,147205,147205,0,0,6677917,0,3096 134,3,2024-09-07 08:31:00:758,1,116,2,0,739,2437,116,0 135,0,2024-09-07 08:31:01:116,27226,0.9,27184,1.1,57354,1.1,74380,2.25 135,1,2024-09-07 08:31:01:630,203185,203185,0,0,95633672393,1010345635,199646,2839,700,380,391725,0 135,2,2024-09-07 08:31:00:689,146276,146276,0,0,7014450,0,3981 135,3,2024-09-07 08:31:01:004,1,116,2,0,89,750,116,0 136,0,2024-09-07 08:31:01:624,29848,0.6,29621,0.8,59666,0.6,79250,2.00 136,1,2024-09-07 08:31:01:446,203087,203087,0,0,95186933679,1000840907,200377,2545,165,384,391641,0 136,2,2024-09-07 08:31:01:152,147059,147059,0,0,6875674,0,3506 136,3,2024-09-07 08:31:01:106,1,116,10,0,108,1056,116,0 137,0,2024-09-07 08:31:00:971,30564,0.6,29734,0.7,59009,0.5,79531,2.00 137,1,2024-09-07 08:31:00:621,203594,203594,0,0,95719356079,1002911873,201009,2406,179,367,391608,0 137,2,2024-09-07 08:31:01:711,146644,146644,0,0,8391665,0,3185 137,3,2024-09-07 08:31:00:787,1,116,16,1,227,1527,116,0 138,0,2024-09-07 08:31:01:764,28730,2.0,28944,1.4,58429,3.3,78229,2.50 138,1,2024-09-07 08:31:01:687,203725,203725,0,0,95889474889,1007061253,200831,2546,348,369,391954,0 138,2,2024-09-07 08:31:00:590,147006,147006,0,0,6819751,0,3263 138,3,2024-09-07 08:31:00:615,1,116,1,0,1160,2253,116,0 139,0,2024-09-07 08:31:01:405,27462,3.6,27494,2.0,55860,4.8,74829,3.25 139,1,2024-09-07 08:31:00:595,203090,203090,0,0,94782803833,1010496106,198792,3100,1198,381,391892,0 139,2,2024-09-07 08:31:00:696,145322,145322,0,0,7703867,0,3097 139,3,2024-09-07 08:31:01:686,1,116,12,0,244,1603,116,0 140,0,2024-09-07 08:31:01:595,29435,0.4,29379,0.6,58588,0.3,78453,1.75 140,1,2024-09-07 08:31:01:536,204463,204463,0,0,96475213403,997527598,203314,934,215,365,391606,0 140,2,2024-09-07 08:31:00:691,147425,147425,0,0,6877331,0,3388 140,3,2024-09-07 08:31:00:777,1,116,6,0,155,933,116,0 141,0,2024-09-07 08:31:01:705,28733,0.2,29709,0.4,57018,0.1,77353,1.50 141,1,2024-09-07 08:31:00:865,204169,204169,0,0,95992442716,999919145,202444,1373,352,379,391539,0 141,2,2024-09-07 08:31:01:693,146090,146090,0,0,6100466,0,2342 141,3,2024-09-07 08:31:01:052,1,116,20,0,147,993,116,0 142,0,2024-09-07 08:31:01:328,29661,0.3,29335,0.5,58288,0.3,78005,1.75 142,1,2024-09-07 08:31:00:595,204123,204123,0,0,95925564504,1001081409,203030,960,133,384,391649,0 142,2,2024-09-07 08:31:01:312,144878,144846,32,0,7627079,0,6028 142,3,2024-09-07 08:31:01:746,1,116,2,0,484,1856,116,0 143,0,2024-09-07 08:31:01:391,28104,0.9,28211,0.8,56709,1.1,75090,2.00 143,1,2024-09-07 08:31:00:557,203907,203907,0,0,95395919465,994762055,201892,1975,40,367,391619,0 143,2,2024-09-07 08:31:00:777,147967,147967,0,0,6735015,0,2669 143,3,2024-09-07 08:31:01:144,1,116,30,0,303,2156,116,0 144,0,2024-09-07 08:31:01:678,27727,0.6,28535,1.3,57886,0.5,76925,2.00 144,1,2024-09-07 08:31:00:572,202788,202788,0,0,94609063300,996780779,200657,1930,201,381,391638,0 144,2,2024-09-07 08:31:01:785,146513,146513,0,0,6314625,0,3473 144,3,2024-09-07 08:31:01:745,1,116,5,0,249,1838,116,0 145,0,2024-09-07 08:31:01:503,27748,0.6,27681,0.9,58644,0.5,76435,2.00 145,1,2024-09-07 08:31:00:556,202408,202408,0,0,95310671874,1008605318,198867,2909,632,383,391615,0 145,2,2024-09-07 08:31:01:445,145849,145849,0,0,7043660,0,3903 145,3,2024-09-07 08:31:00:899,1,116,8,0,151,1497,116,0 146,0,2024-09-07 08:31:01:601,29481,0.5,29268,0.7,59049,0.5,77956,2.25 146,1,2024-09-07 08:31:01:587,203831,203831,0,0,95686763432,1010142642,199723,3313,795,368,391600,0 146,2,2024-09-07 08:31:01:723,146198,146198,0,0,6809054,0,2379 146,3,2024-09-07 08:31:01:278,1,116,43,0,1520,4496,116,0 147,0,2024-09-07 08:31:01:730,28590,2.0,28431,1.4,56251,2.9,76490,2.75 147,1,2024-09-07 08:31:01:416,204494,204494,0,0,95967937200,1001632408,202205,1898,391,368,391791,0 147,2,2024-09-07 08:31:01:016,148501,148501,0,0,6649246,0,2789 147,3,2024-09-07 08:31:00:920,1,116,1,0,371,1627,116,0 0,0,2024-09-07 08:31:11:736,28889,0.5,28968,0.7,61233,0.5,79121,1.75 0,1,2024-09-07 08:31:10:832,205230,205230,0,0,96119700735,1010373330,203671,1430,129,372,391692,0 0,2,2024-09-07 08:31:11:067,148097,148097,0,0,6820114,0,4480 0,3,2024-09-07 08:31:10:978,1,117,2,0,247,1828,117,0 1,0,2024-09-07 08:31:11:808,30123,2.1,29809,1.5,60020,2.7,79852,2.50 1,1,2024-09-07 08:31:10:562,204311,204311,0,0,95459164765,1008938297,200907,2542,862,372,391857,0 1,2,2024-09-07 08:31:10:639,147946,147946,0,0,6470466,0,3267 1,3,2024-09-07 08:31:11:303,1,117,8,0,262,1606,117,0 2,0,2024-09-07 08:31:11:570,28566,1.2,28715,1.2,56988,1.7,76710,2.50 2,1,2024-09-07 08:31:10:860,205230,205230,0,0,96246632596,1004471948,203854,1170,206,380,391558,0 2,2,2024-09-07 08:31:11:269,146574,146574,0,0,6735188,0,3594 2,3,2024-09-07 08:31:10:691,1,117,357,0,357,1505,117,0 3,0,2024-09-07 08:31:11:749,29635,0.6,29616,0.8,59375,0.6,78479,2.00 3,1,2024-09-07 08:31:11:619,204907,204907,0,0,96611181201,1013380022,202045,2460,402,382,391516,0 3,2,2024-09-07 08:31:11:145,147616,147593,23,0,7001123,0,5851 3,3,2024-09-07 08:31:11:756,1,117,1,0,103,867,117,0 4,0,2024-09-07 08:31:11:910,28703,0.3,29424,0.5,59719,0.2,78514,1.75 4,1,2024-09-07 08:31:10:605,205337,205337,0,0,96183055136,1015603795,202727,2090,520,371,391846,0 4,2,2024-09-07 08:31:11:020,147365,147365,0,0,8264955,0,4528 4,3,2024-09-07 08:31:11:033,1,117,19,0,287,1841,117,0 5,0,2024-09-07 08:31:11:380,29249,0.6,29072,0.7,58408,0.7,77612,1.75 5,1,2024-09-07 08:31:10:807,204031,204031,0,0,96363664257,1019894437,200352,2717,962,368,392005,0 5,2,2024-09-07 08:31:11:900,147434,147434,0,0,6924304,0,2259 5,3,2024-09-07 08:31:11:745,1,117,19,0,238,2056,117,0 6,0,2024-09-07 08:31:10:921,29388,1.4,29043,1.2,57714,2.1,77975,3.00 6,1,2024-09-07 08:31:10:751,205876,205876,0,0,96022886885,1003614344,204289,1512,75,381,391603,0 6,2,2024-09-07 08:31:11:116,148743,148743,0,0,6452399,0,2411 6,3,2024-09-07 08:31:11:274,1,117,2,0,340,1820,117,0 7,0,2024-09-07 08:31:11:555,28044,0.4,28119,0.6,56414,0.3,75199,1.75 7,1,2024-09-07 08:31:10:851,205699,205699,0,0,96717735332,1013988356,203526,2077,96,383,391664,0 7,2,2024-09-07 08:31:10:770,147093,147093,0,0,6145462,0,2981 7,3,2024-09-07 08:31:10:851,1,117,1,0,305,1346,117,0 8,0,2024-09-07 08:31:11:337,28956,0.3,29208,0.5,57758,0.2,77759,1.50 8,1,2024-09-07 08:31:11:018,204988,204988,0,0,96171795027,1018905756,201039,2664,1285,368,391956,0 8,2,2024-09-07 08:31:10:790,145830,145830,0,0,7702693,0,2986 8,3,2024-09-07 08:31:10:585,1,117,13,0,357,1905,117,0 9,0,2024-09-07 08:31:11:133,29713,0.4,28989,0.5,60439,0.3,78905,1.75 9,1,2024-09-07 08:31:10:550,204911,204911,0,0,96509461176,1022314017,201137,2766,1008,370,391738,0 9,2,2024-09-07 08:31:11:094,146831,146831,0,0,7395988,0,3360 9,3,2024-09-07 08:31:11:786,1,117,13,0,496,1946,117,0 10,0,2024-09-07 08:31:11:602,29149,0.5,29120,0.7,58398,0.4,77923,1.75 10,1,2024-09-07 08:31:10:591,205355,205355,0,0,96036470426,1011751869,201693,3138,524,381,391741,0 10,2,2024-09-07 08:31:10:767,149721,149721,0,0,7088207,0,2940 10,3,2024-09-07 08:31:10:870,1,117,15,0,136,912,117,0 11,0,2024-09-07 08:31:11:015,28067,0.5,27410,0.7,57406,0.4,77153,2.00 11,1,2024-09-07 08:31:10:582,204506,204506,0,0,96382080676,1017242519,200388,2988,1130,384,391537,0 11,2,2024-09-07 08:31:11:123,146941,146941,0,0,6878819,0,3411 11,3,2024-09-07 08:31:11:304,1,117,1,0,720,2728,117,0 12,0,2024-09-07 08:31:10:965,29839,0.3,29685,0.5,59626,0.3,78873,1.50 12,1,2024-09-07 08:31:10:956,204920,204920,0,0,96002340851,1009087262,202114,2396,410,371,391837,0 12,2,2024-09-07 08:31:11:578,147676,147676,0,0,7457303,0,3469 12,3,2024-09-07 08:31:11:061,1,117,21,0,358,2312,117,0 13,0,2024-09-07 08:31:11:391,29950,0.4,30066,0.5,59988,0.4,79458,1.75 13,1,2024-09-07 08:31:11:556,205757,205757,0,0,96358397096,1014174125,203829,1552,376,384,391717,0 13,2,2024-09-07 08:31:10:728,148656,148656,0,0,6862428,0,3287 13,3,2024-09-07 08:31:11:806,1,117,31,1,416,2274,117,0 14,0,2024-09-07 08:31:10:585,29734,0.6,29905,0.8,59220,0.6,78625,2.00 14,1,2024-09-07 08:31:11:562,206674,206674,0,0,97126128705,1010931723,204805,1707,162,364,391571,0 14,2,2024-09-07 08:31:10:767,148449,148449,0,0,7054037,0,2896 14,3,2024-09-07 08:31:11:119,1,117,1,0,1168,2662,117,0 15,0,2024-09-07 08:31:11:561,28346,0.6,28221,0.8,56533,0.6,75858,2.00 15,1,2024-09-07 08:31:11:611,204890,204890,0,0,95827732475,1000189980,203524,1306,60,381,391536,0 15,2,2024-09-07 08:31:11:003,147675,147675,0,0,5689708,0,3043 15,3,2024-09-07 08:31:11:409,1,117,245,0,1126,4021,117,0 16,0,2024-09-07 08:31:10:964,29619,0.6,30025,0.8,59386,0.7,79376,2.25 16,1,2024-09-07 08:31:10:564,205440,205440,0,0,96571870154,1014385016,203345,1836,259,372,391756,0 16,2,2024-09-07 08:31:11:448,146612,146612,0,0,7274261,0,4719 16,3,2024-09-07 08:31:11:148,1,117,4,0,231,1748,117,0 17,0,2024-09-07 08:31:11:889,30953,0.5,30110,0.7,59231,0.4,79703,2.00 17,1,2024-09-07 08:31:10:586,204566,204566,0,0,95830177409,1014684040,201401,2495,670,369,391688,0 17,2,2024-09-07 08:31:11:669,149409,149409,0,0,7136724,0,2857 17,3,2024-09-07 08:31:10:583,1,117,6,0,268,1984,117,0 18,0,2024-09-07 08:31:10:947,28793,1.1,29231,1.1,58688,1.3,78147,2.50 18,1,2024-09-07 08:31:11:641,205274,205274,0,0,95823151892,999333895,203426,1614,234,368,391564,0 18,2,2024-09-07 08:31:11:773,147680,147680,0,0,6899411,0,3541 18,3,2024-09-07 08:31:10:896,1,117,1,0,163,1343,117,0 19,0,2024-09-07 08:31:11:555,28222,1.4,28405,1.2,56166,1.6,75252,3.50 19,1,2024-09-07 08:31:10:566,205431,205431,0,0,97015659960,1017458217,201976,2763,692,367,391777,0 19,2,2024-09-07 08:31:11:767,148339,148339,0,0,6108736,0,3988 19,3,2024-09-07 08:31:11:130,1,117,41,0,524,1389,117,0 20,0,2024-09-07 08:31:11:352,29551,0.8,29531,0.9,58894,0.9,79033,2.50 20,1,2024-09-07 08:31:10:575,205643,205643,0,0,96478879188,1011927592,203634,1832,177,370,391822,0 20,2,2024-09-07 08:31:10:935,147585,147585,0,0,6669700,0,3721 20,3,2024-09-07 08:31:10:605,1,117,3,0,414,2637,117,0 21,0,2024-09-07 08:31:11:263,29518,0.4,29405,0.5,58621,0.3,77867,1.75 21,1,2024-09-07 08:31:11:677,204668,204668,0,0,95634656159,1009701211,201922,2241,505,368,391962,0 21,2,2024-09-07 08:31:11:067,147569,147569,0,0,6956552,0,3747 21,3,2024-09-07 08:31:11:409,1,117,17,0,93,1546,117,0 22,0,2024-09-07 08:31:11:751,29147,1.0,29164,1.1,58078,1.2,77484,2.50 22,1,2024-09-07 08:31:11:033,205022,205022,0,0,96045016332,1019051400,200233,3383,1406,382,391667,0 22,2,2024-09-07 08:31:10:760,147443,147443,0,0,6369767,0,3134 22,3,2024-09-07 08:31:11:067,1,117,10,0,228,1386,117,0 23,0,2024-09-07 08:31:11:389,28176,0.7,28449,0.9,56988,0.7,75647,2.25 23,1,2024-09-07 08:31:11:013,206113,206113,0,0,96661994035,1009993246,203646,1888,579,365,391549,0 23,2,2024-09-07 08:31:11:095,149076,149076,0,0,6335614,0,2701 23,3,2024-09-07 08:31:11:782,1,117,1,0,645,1680,117,0 24,0,2024-09-07 08:31:10:824,29294,0.5,29251,0.6,58689,0.5,77915,1.75 24,1,2024-09-07 08:31:10:610,205163,205163,0,0,96165913025,1010112075,202759,1639,765,369,391640,0 24,2,2024-09-07 08:31:11:076,146963,146963,0,0,7792878,0,2942 24,3,2024-09-07 08:31:11:691,1,117,9,0,234,1529,117,0 25,0,2024-09-07 08:31:11:345,29826,0.4,29108,0.6,56964,0.4,77787,1.75 25,1,2024-09-07 08:31:10:564,205042,205042,0,0,95981101712,1010910161,202117,2481,444,371,391788,0 25,2,2024-09-07 08:31:11:612,146345,146345,0,0,7841540,0,3978 25,3,2024-09-07 08:31:11:007,1,117,15,0,158,1359,117,0 26,0,2024-09-07 08:31:11:758,29402,0.4,28762,0.6,60350,0.3,78882,1.75 26,1,2024-09-07 08:31:11:568,205812,205812,0,0,95880778817,1011200084,201893,3075,844,381,391564,0 26,2,2024-09-07 08:31:10:861,147410,147410,0,0,7177275,0,2809 26,3,2024-09-07 08:31:11:721,1,117,10,0,796,1835,117,0 27,0,2024-09-07 08:31:11:740,28982,0.6,29272,0.7,57880,0.6,77624,1.75 27,1,2024-09-07 08:31:11:681,206143,206143,0,0,96799319219,1008017152,204400,1421,322,381,391539,0 27,2,2024-09-07 08:31:10:870,148304,148304,0,0,7910618,0,3409 27,3,2024-09-07 08:31:11:016,1,117,1,0,564,1522,117,0 28,0,2024-09-07 08:31:11:395,28267,0.7,28612,0.8,57217,0.8,76710,2.25 28,1,2024-09-07 08:31:10:804,205663,205663,0,0,97244435768,1015167090,204043,1409,211,383,391646,0 28,2,2024-09-07 08:31:11:766,147332,147332,0,0,5861448,0,2915 28,3,2024-09-07 08:31:11:779,1,117,3,0,502,1410,117,0 29,0,2024-09-07 08:31:11:362,30468,0.4,29719,0.6,58191,0.4,79535,1.75 29,1,2024-09-07 08:31:11:580,206330,206330,0,0,96212003912,1001265928,204223,1577,530,369,391621,0 29,2,2024-09-07 08:31:10:860,147131,147131,0,0,5912960,0,4018 29,3,2024-09-07 08:31:10:964,1,117,13,0,105,981,117,0 30,0,2024-09-07 08:31:11:458,29742,0.7,28913,0.8,60455,0.7,79149,2.25 30,1,2024-09-07 08:31:10:580,205818,205818,0,0,96792033786,1010610826,203946,1594,278,382,391672,0 30,2,2024-09-07 08:31:11:274,148702,148702,0,0,6156792,0,3161 30,3,2024-09-07 08:31:10:584,1,117,13,0,195,923,117,0 31,0,2024-09-07 08:31:11:764,29707,0.8,29800,0.9,60290,0.9,79499,2.25 31,1,2024-09-07 08:31:10:564,206905,206905,0,0,96947393774,994558304,206545,358,2,356,391553,0 31,2,2024-09-07 08:31:11:275,148097,148097,0,0,7512034,0,3525 31,3,2024-09-07 08:31:11:713,1,117,1,0,220,1074,117,0 32,0,2024-09-07 08:31:11:445,28848,0.7,29045,0.9,58064,0.7,77465,1.75 32,1,2024-09-07 08:31:10:808,206319,206319,0,0,96526739224,1006539226,204589,1455,275,382,391595,0 32,2,2024-09-07 08:31:10:935,147604,147604,0,0,5965516,0,3155 32,3,2024-09-07 08:31:11:019,1,117,3,0,227,1269,117,0 33,0,2024-09-07 08:31:11:529,30034,0.4,29712,0.6,59989,0.4,79420,1.75 33,1,2024-09-07 08:31:10:575,206503,206503,0,0,96705873626,1006267248,204370,1962,171,369,391730,0 33,2,2024-09-07 08:31:10:766,147612,147579,33,0,8027131,0,7012 33,3,2024-09-07 08:31:10:904,1,117,9,0,211,1158,117,0 34,0,2024-09-07 08:31:10:931,29547,0.3,30504,0.5,58475,0.2,78443,1.75 34,1,2024-09-07 08:31:11:044,206278,206278,0,0,97065229172,1002572785,205448,825,5,367,391547,0 34,2,2024-09-07 08:31:10:770,147655,147655,0,0,6793482,0,3255 34,3,2024-09-07 08:31:11:688,1,117,1,0,148,829,117,0 35,0,2024-09-07 08:31:10:859,28891,0.6,29260,0.7,58100,0.6,77708,1.75 35,1,2024-09-07 08:31:11:067,205196,205196,0,0,96492134171,1008353872,202427,2000,769,384,391587,0 35,2,2024-09-07 08:31:11:583,147653,147653,0,0,6123780,0,2542 35,3,2024-09-07 08:31:10:907,1,117,2,0,219,1404,117,0 36,0,2024-09-07 08:31:11:577,29047,1.1,29011,1.1,58579,1.3,77774,2.50 36,1,2024-09-07 08:31:10:588,205394,205394,0,0,96100233386,1008934968,202039,2423,932,366,391759,0 36,2,2024-09-07 08:31:11:781,147545,147545,0,0,7297950,0,3303 36,3,2024-09-07 08:31:10:868,1,117,0,0,378,1247,117,0 37,0,2024-09-07 08:31:11:377,28171,0.4,28273,0.6,56336,0.3,75546,1.75 37,1,2024-09-07 08:31:10:587,204843,204836,0,7,96033712411,1014780424,200987,2254,1595,365,391518,0 37,2,2024-09-07 08:31:11:147,148136,148136,0,0,6178175,0,2333 37,3,2024-09-07 08:31:11:770,1,117,8,0,724,2280,117,0 38,0,2024-09-07 08:31:11:439,28970,0.4,28133,0.6,58809,0.4,77263,2.00 38,1,2024-09-07 08:31:11:613,205916,205916,0,0,96225672240,1008651522,202841,2554,521,368,391821,0 38,2,2024-09-07 08:31:10:759,147893,147893,0,0,7316908,0,3245 38,3,2024-09-07 08:31:11:011,1,117,1,0,603,1923,117,0 39,0,2024-09-07 08:31:11:764,30513,0.7,29799,0.8,58305,0.9,79181,2.00 39,1,2024-09-07 08:31:10:720,205545,205545,0,0,96277055459,1008250734,202383,2488,674,365,391524,0 39,2,2024-09-07 08:31:11:437,147502,147502,0,0,6392996,0,2689 39,3,2024-09-07 08:31:10:713,1,117,6,0,276,1724,117,0 40,0,2024-09-07 08:31:11:506,28518,1.2,29124,1.6,57736,1.6,77398,3.50 40,1,2024-09-07 08:31:10:582,205326,205326,0,0,95535030550,1005325252,201448,3113,765,369,391591,0 40,2,2024-09-07 08:31:11:303,149323,149322,1,0,8204099,0,5137 40,3,2024-09-07 08:31:11:145,1,117,4,0,181,1385,117,0 41,0,2024-09-07 08:31:11:040,27990,1.4,28734,1.6,54859,2.7,75797,3.75 41,1,2024-09-07 08:31:10:773,205685,205685,0,0,96623851396,1015695225,202105,3063,517,370,391742,0 41,2,2024-09-07 08:31:10:759,146581,146581,0,0,7594250,0,3356 41,3,2024-09-07 08:31:11:676,1,117,12,0,366,1561,117,0 42,0,2024-09-07 08:31:11:476,29044,0.6,29342,0.9,58291,0.6,77070,2.25 42,1,2024-09-07 08:31:11:448,204573,204573,0,0,96499855742,1016338628,201180,2557,836,380,391675,0 42,2,2024-09-07 08:31:11:134,146166,146166,0,0,7636430,0,3568 42,3,2024-09-07 08:31:11:010,1,117,5,0,100,869,117,0 43,0,2024-09-07 08:31:10:924,29919,0.8,29103,1.0,60695,0.8,79518,2.25 43,1,2024-09-07 08:31:10:582,204940,204940,0,0,96471752771,1008801847,202300,1888,752,366,391604,0 43,2,2024-09-07 08:31:11:737,148387,148387,0,0,7390589,0,3812 43,3,2024-09-07 08:31:11:756,1,117,1,0,325,1884,117,0 44,0,2024-09-07 08:31:10:862,29864,0.6,29723,0.9,59561,0.6,79225,2.00 44,1,2024-09-07 08:31:10:564,206082,206082,0,0,96244286509,996603137,204653,1300,129,356,391809,0 44,2,2024-09-07 08:31:11:269,148099,148099,0,0,6012228,0,1877 44,3,2024-09-07 08:31:11:093,1,117,1,0,817,1505,117,0 45,0,2024-09-07 08:31:11:790,27922,0.9,27305,1.0,57271,1.0,76304,2.00 45,1,2024-09-07 08:31:11:017,205795,205795,0,0,96780434010,1006224280,204798,988,9,382,391917,0 45,2,2024-09-07 08:31:11:273,147628,147628,0,0,6492978,0,3596 45,3,2024-09-07 08:31:10:934,1,117,3,0,226,1131,117,0 46,0,2024-09-07 08:31:10:951,29597,0.4,29541,0.7,59282,0.3,78484,1.75 46,1,2024-09-07 08:31:10:582,206218,206218,0,0,96436328551,999532839,204775,1337,106,366,391514,0 46,2,2024-09-07 08:31:10:593,147975,147975,0,0,6332074,0,2920 46,3,2024-09-07 08:31:11:139,1,117,63,0,363,1888,117,0 47,0,2024-09-07 08:31:11:113,29995,0.3,30198,0.5,60553,0.3,79507,1.75 47,1,2024-09-07 08:31:10:583,206065,206065,0,0,96328278764,996563408,205055,1000,10,366,391605,0 47,2,2024-09-07 08:31:10:907,149147,149147,0,0,6759419,0,2558 47,3,2024-09-07 08:31:11:115,1,117,1,0,529,1393,117,0 48,0,2024-09-07 08:31:11:523,29749,0.3,29533,0.5,59049,0.3,78727,1.75 48,1,2024-09-07 08:31:11:049,205742,205742,0,0,96880768898,1011287462,203761,1802,179,384,391710,0 48,2,2024-09-07 08:31:10:702,147420,147420,0,0,5645676,0,2083 48,3,2024-09-07 08:31:10:755,1,117,1,0,339,1220,117,0 49,0,2024-09-07 08:31:11:776,29297,0.7,28578,0.8,56031,0.8,76955,2.00 49,1,2024-09-07 08:31:11:022,204799,204799,0,0,96279008586,1009886201,202108,1851,840,382,391583,0 49,2,2024-09-07 08:31:11:802,147948,147948,0,0,6527015,0,3900 49,3,2024-09-07 08:31:11:417,1,117,8,0,408,1581,117,0 50,0,2024-09-07 08:31:11:517,29686,0.4,29129,0.6,58795,0.3,78750,1.75 50,1,2024-09-07 08:31:11:017,206634,206634,0,0,97393769936,1014929923,204323,2003,308,368,391530,0 50,2,2024-09-07 08:31:11:068,147449,147449,0,0,6158914,0,2253 50,3,2024-09-07 08:31:11:291,1,117,10,0,335,1126,117,0 51,0,2024-09-07 08:31:11:694,30038,0.3,29413,0.4,57513,0.2,78262,1.75 51,1,2024-09-07 08:31:11:683,205738,205738,0,0,97467772010,1012692380,203790,1164,784,367,391637,0 51,2,2024-09-07 08:31:11:316,147582,147582,0,0,5459851,0,2448 51,3,2024-09-07 08:31:11:032,1,117,5,0,162,765,117,0 52,0,2024-09-07 08:31:11:431,29392,0.6,29503,0.8,59152,0.7,78006,2.25 52,1,2024-09-07 08:31:10:580,205498,205498,0,0,96160901443,1013396486,201579,3211,708,368,391722,0 52,2,2024-09-07 08:31:11:757,146536,146536,0,0,7096945,0,4779 52,3,2024-09-07 08:31:10:675,1,117,8,0,1782,2792,117,0 53,0,2024-09-07 08:31:11:751,28261,1.2,27303,1.1,56997,1.3,75362,2.75 53,1,2024-09-07 08:31:10:772,205067,205067,0,0,96543298366,1013522642,201809,2671,587,367,391617,0 53,2,2024-09-07 08:31:11:299,149610,149610,0,0,5975576,0,2124 53,3,2024-09-07 08:31:10:700,1,117,25,0,133,970,117,0 54,0,2024-09-07 08:31:11:619,28294,1.3,28714,1.0,56670,0.6,76950,3.25 54,1,2024-09-07 08:31:10:591,205698,205698,0,0,97070675385,1016436076,202471,2683,544,367,391520,0 54,2,2024-09-07 08:31:10:869,147421,147415,6,0,7183626,0,5382 54,3,2024-09-07 08:31:10:766,1,117,4,0,676,2653,117,0 55,0,2024-09-07 08:31:11:765,27880,0.5,28762,0.7,58290,0.4,75626,2.25 55,1,2024-09-07 08:31:10:767,204796,204796,0,0,95865179868,1008298984,200277,3734,785,367,391731,0 55,2,2024-09-07 08:31:10:729,146626,146626,0,0,7080548,0,3275 55,3,2024-09-07 08:31:10:675,1,117,0,0,304,1363,117,0 56,0,2024-09-07 08:31:11:577,30381,1.5,28733,1.2,59024,2.0,79058,2.50 56,1,2024-09-07 08:31:10:585,204839,204839,0,0,96466888407,1026968551,200257,3732,850,382,391678,0 56,2,2024-09-07 08:31:11:304,147407,147407,0,0,7975187,0,3567 56,3,2024-09-07 08:31:11:060,1,117,1,0,297,1575,117,0 57,0,2024-09-07 08:31:10:990,28365,2.1,28242,1.5,56854,3.1,76279,3.75 57,1,2024-09-07 08:31:11:023,205204,205204,0,0,95852634225,1006323440,202772,2262,170,368,391773,0 57,2,2024-09-07 08:31:11:316,149596,149596,0,0,7983044,0,3178 57,3,2024-09-07 08:31:11:745,1,117,13,0,359,2412,117,0 58,0,2024-09-07 08:31:10:562,27631,0.9,26964,1.0,56482,0.9,74642,2.75 58,1,2024-09-07 08:31:10:575,206195,206192,0,3,96858829791,1011767338,203742,2222,228,369,391516,3 58,2,2024-09-07 08:31:11:072,147334,147334,0,0,6917415,0,2549 58,3,2024-09-07 08:31:11:069,1,117,6,0,219,1060,117,0 59,0,2024-09-07 08:31:11:746,29741,0.9,29367,1.0,59041,0.9,78310,2.75 59,1,2024-09-07 08:31:10:805,205509,205509,0,0,96436348355,1013573134,202144,2443,922,369,391515,0 59,2,2024-09-07 08:31:10:583,147733,147733,0,0,6489134,0,2604 59,3,2024-09-07 08:31:11:737,1,117,2,0,1015,2463,117,0 60,0,2024-09-07 08:31:11:758,30131,0.4,30200,0.6,59708,0.4,79322,1.75 60,1,2024-09-07 08:31:10:782,207015,207015,0,0,96918267994,1007865208,205721,941,353,370,391761,0 60,2,2024-09-07 08:31:11:148,148065,148065,0,0,7351946,0,3811 60,3,2024-09-07 08:31:11:264,1,117,10,0,124,1208,117,0 61,0,2024-09-07 08:31:11:495,29839,1.7,30045,1.2,59963,2.5,79901,2.25 61,1,2024-09-07 08:31:10:774,205224,205224,0,0,96687512779,1017302669,202375,2312,537,382,391589,0 61,2,2024-09-07 08:31:11:117,148721,148721,0,0,6422898,0,1901 61,3,2024-09-07 08:31:11:691,1,117,0,0,199,1575,117,0 62,0,2024-09-07 08:31:11:715,28931,1.5,29555,1.1,56625,2.7,77193,2.25 62,1,2024-09-07 08:31:11:112,207069,207063,0,6,97274670477,1006296963,205642,1383,38,365,391715,6 62,2,2024-09-07 08:31:11:649,146329,146328,1,0,7375639,0,5555 62,3,2024-09-07 08:31:11:145,1,117,1,0,287,1033,117,0 63,0,2024-09-07 08:31:11:473,29729,0.6,29829,0.7,59495,0.7,79101,2.00 63,1,2024-09-07 08:31:10:806,206627,206621,0,6,96819378914,1005281055,205516,1085,20,381,391540,6 63,2,2024-09-07 08:31:10:766,147090,147090,0,0,6057759,0,2674 63,3,2024-09-07 08:31:11:732,1,117,19,0,667,2053,117,0 64,0,2024-09-07 08:31:11:535,29436,0.4,29303,0.6,58837,0.4,78490,1.75 64,1,2024-09-07 08:31:10:756,205472,205472,0,0,96121071766,1012258637,202038,2382,1052,371,391783,0 64,2,2024-09-07 08:31:11:141,148958,148939,19,0,7057947,0,6121 64,3,2024-09-07 08:31:11:151,1,117,47,0,265,1386,117,0 65,0,2024-09-07 08:31:11:683,28465,1.5,28851,1.1,57682,1.9,77010,2.75 65,1,2024-09-07 08:31:10:863,205030,205030,0,0,96299825132,1011197980,202788,2020,222,382,391770,0 65,2,2024-09-07 08:31:11:697,148226,148226,0,0,7362616,0,3367 65,3,2024-09-07 08:31:11:684,1,117,1,0,163,1265,117,0 66,0,2024-09-07 08:31:11:773,28635,1.4,28728,1.2,57682,2.3,76924,3.50 66,1,2024-09-07 08:31:11:306,205854,205854,0,0,96921810182,1010554058,204073,1611,170,380,391588,0 66,2,2024-09-07 08:31:11:138,149532,149532,0,0,6641661,0,4956 66,3,2024-09-07 08:31:11:079,1,117,1,0,291,1308,117,0 67,0,2024-09-07 08:31:11:421,28516,0.6,28341,0.7,56634,0.6,75305,2.25 67,1,2024-09-07 08:31:10:775,204957,204956,0,1,96789445439,1019332917,201512,2698,746,381,391787,1 67,2,2024-09-07 08:31:10:583,148353,148353,0,0,5992316,0,2889 67,3,2024-09-07 08:31:11:756,1,117,7,0,138,1147,117,0 68,0,2024-09-07 08:31:10:585,29341,0.5,29207,0.7,58100,0.5,77516,2.00 68,1,2024-09-07 08:31:10:584,204783,204783,0,0,96179394757,1009872961,202818,1381,584,381,391953,0 68,2,2024-09-07 08:31:11:045,146783,146783,0,0,7026299,0,4883 68,3,2024-09-07 08:31:10:729,1,117,1,0,151,1299,117,0 69,0,2024-09-07 08:31:11:737,29616,1.1,29845,1.0,59310,1.3,78878,2.25 69,1,2024-09-07 08:31:11:027,204772,204772,0,0,96633204193,1024726684,201769,1918,1085,384,391611,0 69,2,2024-09-07 08:31:11:733,147236,147236,0,0,7726260,0,3701 69,3,2024-09-07 08:31:10:772,1,117,66,0,238,1615,117,0 70,0,2024-09-07 08:31:11:565,28680,2.2,28868,1.7,57963,1.2,76949,3.25 70,1,2024-09-07 08:31:10:803,205949,205949,0,0,97306158118,1014665672,203678,1810,461,367,391725,0 70,2,2024-09-07 08:31:11:325,149258,149258,0,0,7141035,0,4044 70,3,2024-09-07 08:31:10:749,1,117,5,0,178,845,117,0 71,0,2024-09-07 08:31:11:363,27988,1.5,28237,1.6,56087,2.2,75676,3.25 71,1,2024-09-07 08:31:11:601,205992,205992,0,0,96636982803,1010580902,203338,2318,336,368,391682,0 71,2,2024-09-07 08:31:11:068,147685,147685,0,0,6599249,0,2146 71,3,2024-09-07 08:31:11:755,1,117,3,0,279,1543,117,0 72,0,2024-09-07 08:31:11:034,30578,0.5,29801,0.8,58398,0.5,79031,2.25 72,1,2024-09-07 08:31:11:037,205371,205371,0,0,96500394344,1010225574,202585,2303,483,370,391819,0 72,2,2024-09-07 08:31:11:757,145986,145986,0,0,8239143,0,2570 72,3,2024-09-07 08:31:11:773,1,117,7,0,325,1595,117,0 73,0,2024-09-07 08:31:11:105,29226,0.4,29920,0.6,61208,0.3,79258,2.25 73,1,2024-09-07 08:31:10:783,205706,205706,0,0,96008285530,999032178,204112,1480,114,368,391627,0 73,2,2024-09-07 08:31:11:739,148700,148700,0,0,7752842,0,3482 73,3,2024-09-07 08:31:10:969,1,117,14,0,274,1955,117,0 74,0,2024-09-07 08:31:11:327,29904,0.7,30325,1.0,58004,0.9,78778,2.75 74,1,2024-09-07 08:31:10:639,205558,205558,0,0,96287711262,1007551300,203120,1832,606,382,391681,0 74,2,2024-09-07 08:31:11:013,148408,148408,0,0,7910585,0,4253 74,3,2024-09-07 08:31:11:469,1,117,5,0,246,1709,117,0 75,0,2024-09-07 08:31:11:826,28372,0.8,28320,1.0,56535,0.7,76565,2.50 75,1,2024-09-07 08:31:11:588,205469,205469,0,0,96743389916,1013575262,203082,2023,364,381,391579,0 75,2,2024-09-07 08:31:11:351,146876,146876,0,0,7159820,0,4766 75,3,2024-09-07 08:31:11:068,1,117,1,0,535,1509,117,0 76,0,2024-09-07 08:31:10:633,29583,0.4,29387,0.8,58575,0.4,78780,2.25 76,1,2024-09-07 08:31:10:807,205457,205457,0,0,96114781493,1006719392,203546,1403,508,382,391530,0 76,2,2024-09-07 08:31:11:076,148449,148449,0,0,6318504,0,3064 76,3,2024-09-07 08:31:11:158,1,117,13,0,175,1505,117,0 77,0,2024-09-07 08:31:11:705,29989,0.6,30139,0.7,60459,0.6,79375,2.00 77,1,2024-09-07 08:31:10:829,206006,206006,0,0,96153241021,1005152725,204609,1316,81,383,391808,0 77,2,2024-09-07 08:31:11:290,148683,148683,0,0,6513575,0,3890 77,3,2024-09-07 08:31:11:095,1,117,6,0,305,1704,117,0 78,0,2024-09-07 08:31:11:727,29557,0.6,29455,0.9,59214,0.6,78448,2.25 78,1,2024-09-07 08:31:10:610,206161,206161,0,0,95708199397,998126411,204402,1554,205,367,391589,0 78,2,2024-09-07 08:31:11:409,148640,148640,0,0,6234188,0,2114 78,3,2024-09-07 08:31:11:133,1,117,0,0,181,1429,117,0 79,0,2024-09-07 08:31:11:350,27587,0.6,28256,0.8,57815,0.6,75613,2.75 79,1,2024-09-07 08:31:10:570,206376,206376,0,0,96359587601,1002169043,204378,1827,171,369,391682,0 79,2,2024-09-07 08:31:11:068,148367,148367,0,0,6434806,0,3212 79,3,2024-09-07 08:31:10:749,1,117,1,0,306,1993,117,0 80,0,2024-09-07 08:31:11:084,29369,0.8,30251,0.9,58238,0.9,79008,2.50 80,1,2024-09-07 08:31:11:619,204971,204971,0,0,96302813527,1008044647,202524,2288,159,368,391673,0 80,2,2024-09-07 08:31:11:094,148238,148238,0,0,6775204,0,4433 80,3,2024-09-07 08:31:10:591,1,117,18,0,190,2078,117,0 81,0,2024-09-07 08:31:11:572,29351,0.5,30168,0.7,57801,0.5,78108,2.00 81,1,2024-09-07 08:31:11:667,205514,205514,0,0,95778398335,1006573519,203100,2142,272,382,391879,0 81,2,2024-09-07 08:31:11:128,147027,147027,0,0,7428471,0,3993 81,3,2024-09-07 08:31:11:116,1,117,8,0,193,1213,117,0 82,0,2024-09-07 08:31:11:545,29191,0.7,29284,0.9,58789,0.7,78216,2.00 82,1,2024-09-07 08:31:10:584,205368,205364,0,4,96164124695,1007355313,203002,1788,574,382,391558,4 82,2,2024-09-07 08:31:11:691,148100,148100,0,0,6615028,0,3986 82,3,2024-09-07 08:31:11:780,1,117,1,0,227,1710,117,0 83,0,2024-09-07 08:31:11:537,28539,1.1,28275,1.1,56121,1.3,75480,2.75 83,1,2024-09-07 08:31:10:550,205742,205742,0,0,96582990855,1012715252,203533,2023,186,383,391553,0 83,2,2024-09-07 08:31:10:767,148537,148537,0,0,6626400,0,3119 83,3,2024-09-07 08:31:10:749,1,117,1,0,133,1182,117,0 84,0,2024-09-07 08:31:11:805,28811,0.9,28625,1.1,57323,0.7,77462,2.50 84,1,2024-09-07 08:31:11:136,204535,204535,0,0,96143311799,1011672024,201626,2461,448,368,391852,0 84,2,2024-09-07 08:31:10:580,147509,147509,0,0,6940904,0,3801 84,3,2024-09-07 08:31:11:150,1,117,9,1,85,1124,117,0 85,0,2024-09-07 08:31:11:016,27860,0.5,27867,0.8,59054,0.5,76747,2.00 85,1,2024-09-07 08:31:10:565,204680,204680,0,0,96343900079,1021188745,200977,3228,475,382,391679,0 85,2,2024-09-07 08:31:10:873,147379,147379,0,0,7690675,0,3656 85,3,2024-09-07 08:31:10:690,1,117,12,0,115,1221,117,0 86,0,2024-09-07 08:31:10:900,29608,0.7,30537,0.7,58632,0.7,78937,2.00 86,1,2024-09-07 08:31:10:831,205457,205457,0,0,96735845342,1015564309,202557,2620,280,366,391961,0 86,2,2024-09-07 08:31:10:856,147277,147276,1,0,8067818,0,5004 86,3,2024-09-07 08:31:10:594,1,117,10,0,199,1443,117,0 87,0,2024-09-07 08:31:11:329,28750,2.2,28749,1.4,57544,3.5,77468,2.75 87,1,2024-09-07 08:31:10:554,205008,205008,0,0,95583141113,1004203427,202608,2260,140,366,391788,0 87,2,2024-09-07 08:31:11:067,149333,149333,0,0,6658751,0,3515 87,3,2024-09-07 08:31:11:802,1,117,0,0,335,2153,117,0 88,0,2024-09-07 08:31:11:513,28494,0.6,28637,0.8,56943,0.7,76769,1.75 88,1,2024-09-07 08:31:10:572,204408,204408,0,0,95871770978,1008710468,201650,1983,775,367,391787,0 88,2,2024-09-07 08:31:10:690,147239,147239,0,0,7625182,0,3583 88,3,2024-09-07 08:31:11:275,1,117,11,1,77,1277,117,0 89,0,2024-09-07 08:31:11:825,30584,0.5,29766,0.8,58919,0.5,79685,1.75 89,1,2024-09-07 08:31:10:553,204502,204502,0,0,95981878186,1020507999,199984,3646,872,382,391866,0 89,2,2024-09-07 08:31:11:134,147263,147263,0,0,7475388,0,2901 89,3,2024-09-07 08:31:11:810,1,117,22,0,325,2611,117,0 90,0,2024-09-07 08:31:11:702,29083,0.4,29639,0.6,60992,0.4,79208,1.75 90,1,2024-09-07 08:31:10:593,205870,205870,0,0,95815304872,1009888725,203144,2568,158,382,391825,0 90,2,2024-09-07 08:31:11:414,147158,147158,0,0,8251524,0,2635 90,3,2024-09-07 08:31:10:938,1,117,8,1,200,1363,117,0 91,0,2024-09-07 08:31:10:933,30395,0.5,29460,0.7,61083,0.5,80239,1.75 91,1,2024-09-07 08:31:10:564,205148,205148,0,0,96713265272,1019992812,201852,2803,493,381,391914,0 91,2,2024-09-07 08:31:11:345,146967,146967,0,0,8085090,0,2445 91,3,2024-09-07 08:31:10:598,1,117,5,0,155,1482,117,0 92,0,2024-09-07 08:31:11:505,29243,0.7,29945,0.8,57068,0.9,77568,1.75 92,1,2024-09-07 08:31:10:585,205509,205509,0,0,95967889367,1005876544,203602,1513,394,382,391717,0 92,2,2024-09-07 08:31:11:352,148812,148812,0,0,6392176,0,2279 92,3,2024-09-07 08:31:11:013,1,117,3,0,68,1150,117,0 93,0,2024-09-07 08:31:10:968,29966,0.5,30675,0.7,58861,0.5,79516,1.75 93,1,2024-09-07 08:31:10:807,205703,205703,0,0,96510889567,1011967340,203285,1972,446,366,391689,0 93,2,2024-09-07 08:31:10:935,147640,147640,0,0,6503068,0,2509 93,3,2024-09-07 08:31:11:418,1,117,21,0,143,1257,117,0 94,0,2024-09-07 08:31:11:647,29545,0.3,29763,0.5,59183,0.3,78345,1.75 94,1,2024-09-07 08:31:10:578,205448,205448,0,0,95901430005,1007393450,203349,2020,79,381,391850,0 94,2,2024-09-07 08:31:10:767,147897,147897,0,0,6443517,0,2443 94,3,2024-09-07 08:31:11:688,1,117,12,0,264,2084,117,0 95,0,2024-09-07 08:31:11:435,29247,0.4,29134,0.6,58597,0.4,77824,1.75 95,1,2024-09-07 08:31:10:865,205779,205779,0,0,96436087632,1002704583,204757,958,64,367,391600,0 95,2,2024-09-07 08:31:11:046,147791,147791,0,0,6702868,0,3308 95,3,2024-09-07 08:31:11:714,1,117,2,0,307,1953,117,0 96,0,2024-09-07 08:31:11:040,29252,1.0,29300,0.9,58341,1.3,77595,2.00 96,1,2024-09-07 08:31:11:584,205353,205353,0,0,95686624703,1007395381,202807,1906,640,385,391596,0 96,2,2024-09-07 08:31:11:268,148291,148291,0,0,7010308,0,4042 96,3,2024-09-07 08:31:11:141,1,117,4,0,188,1372,117,0 97,0,2024-09-07 08:31:11:394,28239,0.4,28314,0.5,56677,0.3,75764,1.75 97,1,2024-09-07 08:31:10:770,205319,205319,0,0,96815483059,1013090617,202577,2195,547,367,392140,0 97,2,2024-09-07 08:31:10:617,147280,147280,0,0,6421571,0,3036 97,3,2024-09-07 08:31:10:571,1,117,5,1,165,1486,117,0 98,0,2024-09-07 08:31:11:782,29244,0.3,28978,0.4,58501,0.2,77910,1.50 98,1,2024-09-07 08:31:10:572,205568,205568,0,0,96229896394,1007720322,203808,1676,84,382,391997,0 98,2,2024-09-07 08:31:10:775,148396,148396,0,0,6716812,0,3080 98,3,2024-09-07 08:31:10:701,1,117,2,1,840,2710,117,0 99,0,2024-09-07 08:31:11:604,29748,0.3,29808,0.5,59510,0.3,79129,1.75 99,1,2024-09-07 08:31:11:743,206078,206078,0,0,95789082535,1004278455,203614,1815,649,381,391744,0 99,2,2024-09-07 08:31:11:422,148769,148769,0,0,6607605,0,1973 99,3,2024-09-07 08:31:10:586,1,117,6,0,129,881,117,0 100,0,2024-09-07 08:31:11:555,28971,1.2,28978,1.7,57841,3.1,77836,2.25 100,1,2024-09-07 08:31:10:549,204576,204576,0,0,95963872924,1020501065,200671,3414,491,381,391989,0 100,2,2024-09-07 08:31:11:848,148785,148774,11,0,7745388,0,5417 100,3,2024-09-07 08:31:11:749,1,117,3,0,443,2485,117,0 101,0,2024-09-07 08:31:11:829,28930,2.5,28079,1.4,55541,2.1,76910,2.75 101,1,2024-09-07 08:31:10:555,204199,204199,0,0,96463011132,1024341018,199985,2876,1338,368,391709,0 101,2,2024-09-07 08:31:11:806,146847,146847,0,0,7843117,0,4644 101,3,2024-09-07 08:31:10:944,1,117,8,0,448,1563,117,0 102,0,2024-09-07 08:31:10:969,28854,0.7,29952,0.8,60113,0.5,78538,2.00 102,1,2024-09-07 08:31:11:145,204874,204874,0,0,95850194844,1011167078,201945,2412,517,369,391831,0 102,2,2024-09-07 08:31:11:740,147954,147954,0,0,6339651,0,2144 102,3,2024-09-07 08:31:11:616,1,117,56,0,410,1512,117,0 103,0,2024-09-07 08:31:11:660,30736,0.5,30684,0.7,58116,0.4,79627,1.75 103,1,2024-09-07 08:31:11:658,204476,204476,0,0,95910144852,1013417237,201275,2295,906,381,391680,0 103,2,2024-09-07 08:31:10:591,147637,147637,0,0,6858737,0,2104 103,3,2024-09-07 08:31:10:755,1,117,1,0,916,2594,117,0 104,0,2024-09-07 08:31:11:023,29524,1.2,29561,1.2,58161,1.3,79035,2.25 104,1,2024-09-07 08:31:11:602,205454,205454,0,0,96175271703,1016566824,201780,2979,695,366,391948,0 104,2,2024-09-07 08:31:11:666,147845,147845,0,0,7565804,0,3941 104,3,2024-09-07 08:31:11:425,1,117,10,1,1245,4618,117,0 105,0,2024-09-07 08:31:11:039,28006,1.3,27091,1.3,56525,2.2,75737,3.50 105,1,2024-09-07 08:31:10:565,205424,205424,0,0,96680318208,1018818754,201749,2913,762,367,391797,0 105,2,2024-09-07 08:31:11:325,146834,146834,0,0,6769859,0,3314 105,3,2024-09-07 08:31:11:306,1,117,13,1,399,2018,117,0 106,0,2024-09-07 08:31:11:006,28736,0.7,29430,0.9,59930,0.6,78473,2.00 106,1,2024-09-07 08:31:11:789,205485,205485,0,0,95715964019,1006240949,202609,2547,329,369,391767,0 106,2,2024-09-07 08:31:10:773,147064,147064,0,0,6932522,0,2795 106,3,2024-09-07 08:31:10:698,1,117,7,1,201,1367,117,0 107,0,2024-09-07 08:31:11:104,30156,0.4,29948,0.6,59730,0.4,79642,1.75 107,1,2024-09-07 08:31:10:598,205102,205102,0,0,95722749765,1010522710,202435,2443,224,381,392234,0 107,2,2024-09-07 08:31:11:300,147853,147852,1,0,7316047,0,5024 107,3,2024-09-07 08:31:11:765,1,117,14,0,353,1561,117,0 108,0,2024-09-07 08:31:11:857,29675,0.4,29827,0.7,59013,0.4,78770,1.75 108,1,2024-09-07 08:31:11:315,205406,205406,0,0,96505862583,1011762007,203116,2004,286,368,391857,0 108,2,2024-09-07 08:31:11:783,147022,147022,0,0,7104355,0,2647 108,3,2024-09-07 08:31:11:341,1,117,2,0,272,1801,117,0 109,0,2024-09-07 08:31:11:981,28630,0.6,28698,0.7,57095,0.6,76959,1.75 109,1,2024-09-07 08:31:10:590,204777,204777,0,0,96591952043,1018328387,202387,1931,459,383,391812,0 109,2,2024-09-07 08:31:10:925,146824,146824,0,0,6999158,0,3617 109,3,2024-09-07 08:31:11:148,1,117,7,1,249,1789,117,0 110,0,2024-09-07 08:31:11:757,29693,0.5,28862,0.7,60381,0.5,79115,1.75 110,1,2024-09-07 08:31:11:648,205892,205892,0,0,95983324449,1004040143,203556,1638,698,370,391667,0 110,2,2024-09-07 08:31:11:312,148081,148081,0,0,6446739,0,2915 110,3,2024-09-07 08:31:10:700,1,117,1,0,406,1846,117,0 111,0,2024-09-07 08:31:11:423,29449,0.2,28933,0.4,58133,0.2,78089,1.50 111,1,2024-09-07 08:31:11:013,205988,205988,0,0,97505986918,1015112274,204342,1315,331,382,391690,0 111,2,2024-09-07 08:31:11:117,147777,147777,0,0,7193741,0,2763 111,3,2024-09-07 08:31:10:913,1,117,0,0,300,1922,117,0 112,0,2024-09-07 08:31:10:934,29527,0.3,29434,0.5,58903,0.3,78429,1.50 112,1,2024-09-07 08:31:10:831,205655,205655,0,0,97137054965,1014860091,203339,1891,425,381,391580,0 112,2,2024-09-07 08:31:11:143,147516,147515,1,0,7143582,0,5036 112,3,2024-09-07 08:31:10:601,1,117,12,0,282,1479,117,0 113,0,2024-09-07 08:31:10:868,28246,0.5,28079,0.6,57068,0.4,76409,1.75 113,1,2024-09-07 08:31:11:685,205725,205725,0,0,96922389859,1008184739,203393,1844,488,368,391661,0 113,2,2024-09-07 08:31:11:309,149908,149908,0,0,6125757,0,3813 113,3,2024-09-07 08:31:10:684,1,117,2,0,288,1823,117,0 114,0,2024-09-07 08:31:10:880,29178,0.8,29674,0.8,58086,0.5,77981,2.00 114,1,2024-09-07 08:31:10:716,205336,205336,0,0,96275959443,1015171298,201366,2508,1462,381,391534,0 114,2,2024-09-07 08:31:10:873,147434,147434,0,0,6506591,0,3925 114,3,2024-09-07 08:31:11:278,1,117,1,0,395,1803,117,0 115,0,2024-09-07 08:31:10:573,28862,0.3,29166,0.4,58394,0.2,77501,1.50 115,1,2024-09-07 08:31:10:572,205764,205764,0,0,97168926163,1019532500,202186,2758,820,382,391602,0 115,2,2024-09-07 08:31:11:125,147725,147725,0,0,6008747,0,2152 115,3,2024-09-07 08:31:11:013,1,117,6,0,159,865,117,0 116,0,2024-09-07 08:31:11:707,29826,0.7,29396,0.9,59226,0.8,78919,2.00 116,1,2024-09-07 08:31:10:849,204103,204103,0,0,96247515721,1024864256,200417,2300,1386,382,391619,0 116,2,2024-09-07 08:31:11:758,146829,146829,0,0,8082619,0,3529 116,3,2024-09-07 08:31:10:916,1,117,12,1,252,1823,117,0 117,0,2024-09-07 08:31:10:959,28806,1.6,28946,1.2,57387,2.7,77499,2.00 117,1,2024-09-07 08:31:11:581,205602,205602,0,0,96052622192,1011947518,202469,2736,397,370,392033,0 117,2,2024-09-07 08:31:11:118,150202,150202,0,0,6592825,0,3700 117,3,2024-09-07 08:31:11:060,1,117,22,0,490,2680,117,0 118,0,2024-09-07 08:31:11:834,27803,0.8,28445,0.8,58194,0.9,76273,2.00 118,1,2024-09-07 08:31:10:603,205164,205164,0,0,95890586919,1009806379,202397,2368,399,368,391736,0 118,2,2024-09-07 08:31:11:593,147543,147543,0,0,7169908,0,2781 118,3,2024-09-07 08:31:11:786,1,117,18,0,235,1666,117,0 119,0,2024-09-07 08:31:11:383,29427,1.0,29528,0.9,59912,1.4,79004,2.00 119,1,2024-09-07 08:31:10:552,205509,205509,0,0,96287772643,1008221140,203324,1903,282,370,391641,0 119,2,2024-09-07 08:31:11:262,146305,146305,0,0,6239204,0,2532 119,3,2024-09-07 08:31:11:326,1,117,9,0,443,2501,117,0 120,0,2024-09-07 08:31:11:635,29620,0.5,29704,0.8,59307,0.5,79173,2.00 120,1,2024-09-07 08:31:10:858,205488,205488,0,0,95751258018,1006310113,203389,2054,45,368,391702,0 120,2,2024-09-07 08:31:10:775,147480,147479,1,0,8695658,0,5281 120,3,2024-09-07 08:31:11:532,1,117,2,0,241,1753,117,0 121,0,2024-09-07 08:31:11:725,29744,1.7,30184,1.2,60090,2.7,80063,2.25 121,1,2024-09-07 08:31:11:695,205565,205565,0,0,96125387040,1009023935,203063,2220,282,367,391840,0 121,2,2024-09-07 08:31:11:125,147394,147394,0,0,8156249,0,4127 121,3,2024-09-07 08:31:10:727,1,117,7,0,159,1481,117,0 122,0,2024-09-07 08:31:11:796,28660,1.5,27859,1.4,58237,1.7,77574,2.25 122,1,2024-09-07 08:31:10:862,204624,204624,0,0,95966118215,1012568949,201075,2908,641,366,392130,0 122,2,2024-09-07 08:31:11:330,147598,147598,0,0,7976187,0,3364 122,3,2024-09-07 08:31:10:598,1,117,3,0,298,2431,117,0 123,0,2024-09-07 08:31:10:963,29841,1.0,29020,0.9,60663,1.2,79234,2.25 123,1,2024-09-07 08:31:10:562,206084,206084,0,0,96288853562,1017195386,202205,3306,573,369,391823,0 123,2,2024-09-07 08:31:11:024,146325,146324,1,0,7068822,0,5215 123,3,2024-09-07 08:31:11:139,1,117,2,1,168,1774,117,0 124,0,2024-09-07 08:31:10:929,30424,0.3,30381,0.5,57389,0.2,78642,1.75 124,1,2024-09-07 08:31:11:032,205440,205440,0,0,96395348619,1004950782,203637,1451,352,367,392178,0 124,2,2024-09-07 08:31:11:016,148712,148712,0,0,6464444,0,3101 124,3,2024-09-07 08:31:10:764,1,117,12,1,490,2035,117,0 125,0,2024-09-07 08:31:11:461,29238,0.5,29175,0.6,58530,0.5,77797,1.75 125,1,2024-09-07 08:31:10:860,204918,204918,0,0,96729022240,1014188618,202646,1997,275,384,391702,0 125,2,2024-09-07 08:31:11:135,148260,148260,0,0,6418034,0,2180 125,3,2024-09-07 08:31:11:130,1,117,18,1,284,1696,117,0 126,0,2024-09-07 08:31:11:429,29194,0.9,29918,0.9,57376,1.0,78158,2.00 126,1,2024-09-07 08:31:10:588,206058,206058,0,0,96866748484,1007960138,204572,1410,76,365,391987,0 126,2,2024-09-07 08:31:10:610,149075,149075,0,0,6520752,0,3186 126,3,2024-09-07 08:31:10:907,1,117,79,0,136,1757,117,0 127,0,2024-09-07 08:31:11:619,28116,0.4,28387,0.6,56647,0.4,75741,1.75 127,1,2024-09-07 08:31:10:588,205811,205811,0,0,96480449666,1003365552,204069,1707,35,365,391816,0 127,2,2024-09-07 08:31:10:641,147855,147855,0,0,5930975,0,1803 127,3,2024-09-07 08:31:11:271,1,117,4,0,99,892,117,0 128,0,2024-09-07 08:31:11:540,29388,0.3,29269,0.4,58295,0.2,77792,1.50 128,1,2024-09-07 08:31:11:611,205922,205922,0,0,96669023425,1003768759,205068,783,71,367,391680,0 128,2,2024-09-07 08:31:11:385,146956,146956,0,0,6452166,0,2107 128,3,2024-09-07 08:31:10:770,1,117,19,0,247,1444,117,0 129,0,2024-09-07 08:31:11:002,29942,0.3,29920,0.5,59494,0.3,79039,1.75 129,1,2024-09-07 08:31:10:576,204906,204906,0,0,96608271738,1017480579,202015,2501,390,379,391835,0 129,2,2024-09-07 08:31:10:699,147392,147392,0,0,6588981,0,4031 129,3,2024-09-07 08:31:10:694,1,117,7,1,173,1633,117,0 130,0,2024-09-07 08:31:11:728,29493,1.1,29240,0.9,58606,1.6,78442,2.00 130,1,2024-09-07 08:31:10:609,205459,205459,0,0,96787986346,1013416202,203436,1955,68,381,391825,0 130,2,2024-09-07 08:31:11:129,149291,149291,0,0,6576092,0,4067 130,3,2024-09-07 08:31:11:291,1,117,1,0,207,1180,117,0 131,0,2024-09-07 08:31:11:959,28609,0.7,28584,0.8,58031,0.9,76959,2.00 131,1,2024-09-07 08:31:11:844,206480,206480,0,0,95901490748,1001929015,205094,1181,205,384,391865,0 131,2,2024-09-07 08:31:10:582,147855,147855,0,0,6053957,0,2415 131,3,2024-09-07 08:31:11:692,1,117,11,0,392,1432,117,0 132,0,2024-09-07 08:31:11:420,29280,0.5,29770,0.8,59514,0.5,78901,1.75 132,1,2024-09-07 08:31:10:582,204644,204644,0,0,95801969650,1015721843,200687,3162,795,381,391719,0 132,2,2024-09-07 08:31:10:697,146524,146524,0,0,8699665,0,4606 132,3,2024-09-07 08:31:11:739,1,117,4,0,356,2018,117,0 133,0,2024-09-07 08:31:11:549,29140,0.5,29750,0.6,61120,0.4,78888,1.75 133,1,2024-09-07 08:31:10:592,203963,203963,0,0,95725013650,1013150529,200733,2999,231,383,391755,0 133,2,2024-09-07 08:31:11:088,147714,147714,0,0,8457451,0,4315 133,3,2024-09-07 08:31:11:303,1,117,18,1,187,1135,117,0 134,0,2024-09-07 08:31:10:944,29604,0.7,29439,0.9,59415,0.8,78807,2.00 134,1,2024-09-07 08:31:10:584,204527,204527,0,0,95753314400,1007411030,201139,2299,1089,366,391718,0 134,2,2024-09-07 08:31:11:784,148239,148239,0,0,6932599,0,3096 134,3,2024-09-07 08:31:10:751,1,117,2,0,739,2439,117,0 135,0,2024-09-07 08:31:11:127,27645,0.9,27564,1.1,58156,1.1,75556,2.25 135,1,2024-09-07 08:31:11:594,204852,204852,0,0,96495907629,1020259913,201156,2996,700,380,391725,0 135,2,2024-09-07 08:31:10:700,147609,147609,0,0,7207399,0,3981 135,3,2024-09-07 08:31:11:013,1,117,9,0,89,759,117,0 136,0,2024-09-07 08:31:11:638,29938,0.6,29722,0.8,59878,0.6,79542,2.00 136,1,2024-09-07 08:31:11:453,204887,204887,0,0,96024291340,1009414221,202177,2545,165,384,391641,0 136,2,2024-09-07 08:31:11:133,148390,148390,0,0,6914631,0,3506 136,3,2024-09-07 08:31:11:107,1,117,13,0,108,1069,117,0 137,0,2024-09-07 08:31:10:929,30714,0.6,29884,0.7,59343,0.5,79801,2.00 137,1,2024-09-07 08:31:10:582,205324,205324,0,0,96539412803,1011678449,202699,2446,179,367,391608,0 137,2,2024-09-07 08:31:11:711,147788,147788,0,0,8652865,0,3185 137,3,2024-09-07 08:31:10:774,1,117,7,1,227,1534,117,0 138,0,2024-09-07 08:31:11:826,28999,2.0,29200,1.4,58924,3.2,78761,2.50 138,1,2024-09-07 08:31:11:687,205345,205345,0,0,96751388554,1015890721,202451,2546,348,369,391954,0 138,2,2024-09-07 08:31:10:586,148398,148398,0,0,6855048,0,3263 138,3,2024-09-07 08:31:10:614,1,117,3,0,1160,2256,117,0 139,0,2024-09-07 08:31:11:371,27813,3.5,27847,2.0,56559,4.8,76100,3.25 139,1,2024-09-07 08:31:10:574,204862,204862,0,0,95636031705,1019329918,200564,3100,1198,381,391892,0 139,2,2024-09-07 08:31:10:713,146372,146372,0,0,7750356,0,3097 139,3,2024-09-07 08:31:11:669,1,117,2,0,244,1605,117,0 140,0,2024-09-07 08:31:11:597,29786,0.4,29677,0.6,59496,0.4,79231,1.75 140,1,2024-09-07 08:31:11:542,206175,206175,0,0,97440156479,1007504076,205026,934,215,365,391606,0 140,2,2024-09-07 08:31:10:694,148634,148634,0,0,6940110,0,3388 140,3,2024-09-07 08:31:10:767,1,117,7,0,155,940,117,0 141,0,2024-09-07 08:31:11:707,28998,0.2,30012,0.4,57568,0.2,78194,1.50 141,1,2024-09-07 08:31:10:861,206000,206000,0,0,96946932939,1009844745,204274,1374,352,379,391539,0 141,2,2024-09-07 08:31:11:687,147551,147551,0,0,6247279,0,2342 141,3,2024-09-07 08:31:11:059,1,117,8,0,147,1001,117,0 142,0,2024-09-07 08:31:11:348,29832,0.3,29514,0.5,58683,0.3,78583,1.75 142,1,2024-09-07 08:31:10:595,205770,205770,0,0,96771050084,1009833553,204677,960,133,384,391649,0 142,2,2024-09-07 08:31:11:302,146321,146289,32,0,7718415,0,6028 142,3,2024-09-07 08:31:11:747,1,117,6,0,484,1862,117,0 143,0,2024-09-07 08:31:11:451,28472,0.9,28551,0.8,57417,1.1,76397,2.00 143,1,2024-09-07 08:31:10:564,205715,205715,0,0,96208620554,1003100901,203698,1977,40,367,391619,0 143,2,2024-09-07 08:31:10:770,148663,148663,0,0,6759617,0,2669 143,3,2024-09-07 08:31:11:143,1,117,18,0,303,2174,117,0 144,0,2024-09-07 08:31:11:512,28048,0.6,28848,1.3,58524,0.5,77748,2.00 144,1,2024-09-07 08:31:10:564,204666,204666,0,0,95669144726,1008351822,202462,2003,201,381,391638,0 144,2,2024-09-07 08:31:11:773,147928,147928,0,0,6392515,0,3473 144,3,2024-09-07 08:31:11:747,1,117,4,0,249,1842,117,0 145,0,2024-09-07 08:31:11:472,28010,0.6,27941,0.9,59223,0.5,77393,2.00 145,1,2024-09-07 08:31:10:559,203692,203692,0,0,96103159445,1017140891,200122,2937,633,383,391615,0 145,2,2024-09-07 08:31:11:431,147399,147399,0,0,7134832,0,3903 145,3,2024-09-07 08:31:10:906,1,117,8,0,151,1505,117,0 146,0,2024-09-07 08:31:11:641,29594,0.5,29406,0.7,59327,0.5,78465,2.25 146,1,2024-09-07 08:31:11:595,205698,205698,0,0,96669659951,1020145589,201589,3314,795,368,391600,0 146,2,2024-09-07 08:31:11:700,147343,147343,0,0,6853409,0,2379 146,3,2024-09-07 08:31:11:275,1,117,4,0,1520,4500,117,0 147,0,2024-09-07 08:31:11:709,28832,2.0,28657,1.4,56791,2.9,77499,2.75 147,1,2024-09-07 08:31:11:387,206334,206334,0,0,96948213699,1011749109,204045,1898,391,368,391791,0 147,2,2024-09-07 08:31:11:019,149569,149569,0,0,6675665,0,2789 147,3,2024-09-07 08:31:10:914,1,117,2,0,371,1629,117,0 0,0,2024-09-07 08:31:21:731,28997,0.5,29073,0.7,61480,0.5,79448,1.75 0,1,2024-09-07 08:31:20:835,206954,206954,0,0,97082330290,1020284974,205394,1431,129,372,391692,0 0,2,2024-09-07 08:31:21:086,149290,149290,0,0,6851883,0,4480 0,3,2024-09-07 08:31:20:975,1,118,4,0,247,1832,118,0 1,0,2024-09-07 08:31:21:766,30169,2.1,29838,1.5,60082,2.7,79852,2.50 1,1,2024-09-07 08:31:20:565,206120,206120,0,0,96262120357,1017406708,202715,2543,862,372,391857,0 1,2,2024-09-07 08:31:20:649,149178,149178,0,0,6529471,0,3267 1,3,2024-09-07 08:31:21:302,1,118,2,0,262,1608,118,0 2,0,2024-09-07 08:31:21:571,28921,1.2,29061,1.2,57698,1.7,77652,2.50 2,1,2024-09-07 08:31:20:866,206932,206932,0,0,97080835656,1013063843,205556,1170,206,380,391558,0 2,2,2024-09-07 08:31:21:272,147951,147951,0,0,6762051,0,3594 2,3,2024-09-07 08:31:20:690,1,118,1,0,357,1506,118,0 3,0,2024-09-07 08:31:21:751,29707,0.6,29704,0.8,59580,0.6,78704,2.00 3,1,2024-09-07 08:31:21:622,206715,206715,0,0,97382385196,1021374086,203853,2460,402,382,391516,0 3,2,2024-09-07 08:31:21:142,148863,148840,23,0,7039833,0,5851 3,3,2024-09-07 08:31:21:759,1,118,0,0,103,867,118,0 4,0,2024-09-07 08:31:21:822,28958,0.3,29649,0.5,60193,0.2,79020,1.75 4,1,2024-09-07 08:31:20:594,207021,207021,0,0,96876108918,1022898209,204411,2090,520,371,391846,0 4,2,2024-09-07 08:31:21:025,148605,148605,0,0,8430515,0,4528 4,3,2024-09-07 08:31:21:038,1,118,101,0,287,1942,118,0 5,0,2024-09-07 08:31:21:508,29544,0.6,29397,0.7,58995,0.7,78331,1.75 5,1,2024-09-07 08:31:20:756,206130,206130,0,0,97104586526,1029195540,202133,3013,984,368,392005,0 5,2,2024-09-07 08:31:21:839,148787,148787,0,0,7098172,0,2259 5,3,2024-09-07 08:31:21:737,1,118,9,0,238,2065,118,0 6,0,2024-09-07 08:31:20:922,29670,1.4,29339,1.2,58296,2.2,78643,2.75 6,1,2024-09-07 08:31:20:755,207596,207596,0,0,96983217022,1013580951,206000,1521,75,381,391603,0 6,2,2024-09-07 08:31:21:119,149748,149748,0,0,6487642,0,2411 6,3,2024-09-07 08:31:21:274,1,118,3,0,340,1823,118,0 7,0,2024-09-07 08:31:21:543,28382,0.4,28476,0.6,56913,0.3,76117,2.00 7,1,2024-09-07 08:31:20:858,207472,207472,0,0,97367205514,1021182172,205288,2088,96,383,391664,0 7,2,2024-09-07 08:31:20:770,148455,148455,0,0,6203258,0,2981 7,3,2024-09-07 08:31:20:856,1,118,1,0,305,1347,118,0 8,0,2024-09-07 08:31:21:367,29267,0.3,29558,0.4,58410,0.2,78571,1.50 8,1,2024-09-07 08:31:21:029,206880,206880,0,0,96993059769,1027858716,202876,2719,1285,368,391956,0 8,2,2024-09-07 08:31:20:795,147244,147244,0,0,7742219,0,2986 8,3,2024-09-07 08:31:20:600,1,118,7,0,357,1912,118,0 9,0,2024-09-07 08:31:21:130,29879,0.4,29145,0.5,60773,0.3,79306,1.75 9,1,2024-09-07 08:31:20:572,206675,206675,0,0,97624140973,1033760601,202901,2766,1008,370,391738,0 9,2,2024-09-07 08:31:21:098,148277,148277,0,0,7525018,0,3360 9,3,2024-09-07 08:31:21:761,1,118,7,0,496,1953,118,0 10,0,2024-09-07 08:31:21:606,29411,0.5,29370,0.7,58881,0.4,78551,1.75 10,1,2024-09-07 08:31:20:586,207119,207119,0,0,96848625544,1020048562,203457,3138,524,381,391741,0 10,2,2024-09-07 08:31:20:764,150420,150420,0,0,7112832,0,2940 10,3,2024-09-07 08:31:20:873,1,118,1,0,136,913,118,0 11,0,2024-09-07 08:31:21:045,28476,0.4,27774,0.7,58212,0.4,78113,2.00 11,1,2024-09-07 08:31:20:573,206548,206548,0,0,97212214196,1027158059,202052,3335,1161,384,391537,0 11,2,2024-09-07 08:31:21:123,148549,148549,0,0,6951301,0,3411 11,3,2024-09-07 08:31:21:298,1,118,1,0,720,2729,118,0 12,0,2024-09-07 08:31:21:000,30009,0.3,29843,0.5,59933,0.3,79333,1.50 12,1,2024-09-07 08:31:20:945,206792,206792,0,0,96988893721,1019175594,203986,2396,410,371,391837,0 12,2,2024-09-07 08:31:21:542,149170,149170,0,0,7501886,0,3469 12,3,2024-09-07 08:31:21:070,1,118,18,0,358,2330,118,0 13,0,2024-09-07 08:31:21:364,30081,0.4,30163,0.5,60169,0.4,79717,1.75 13,1,2024-09-07 08:31:21:536,207467,207467,0,0,96997153617,1020843469,205538,1553,376,384,391717,0 13,2,2024-09-07 08:31:20:603,149632,149632,0,0,6885128,0,3287 13,3,2024-09-07 08:31:21:767,1,118,14,1,416,2288,118,0 14,0,2024-09-07 08:31:20:567,29811,0.6,30001,0.8,59413,0.6,78950,2.00 14,1,2024-09-07 08:31:21:564,208525,208525,0,0,97871304877,1018551950,206656,1707,162,364,391571,0 14,2,2024-09-07 08:31:20:769,149485,149485,0,0,7075766,0,2896 14,3,2024-09-07 08:31:21:117,1,118,1,0,1168,2663,118,0 15,0,2024-09-07 08:31:21:561,28837,0.6,28691,0.8,57449,0.6,77234,2.00 15,1,2024-09-07 08:31:21:620,206647,206647,0,0,96723637525,1009327696,205281,1306,60,381,391536,0 15,2,2024-09-07 08:31:21:009,149126,149126,0,0,5718497,0,3043 15,3,2024-09-07 08:31:21:405,1,118,0,0,1126,4021,118,0 16,0,2024-09-07 08:31:20:956,29729,0.6,30126,0.8,59581,0.7,79666,2.25 16,1,2024-09-07 08:31:20:574,207265,207265,0,0,97390998499,1022881166,205167,1839,259,372,391756,0 16,2,2024-09-07 08:31:21:440,147907,147907,0,0,7323339,0,4719 16,3,2024-09-07 08:31:21:142,1,118,1,0,231,1749,118,0 17,0,2024-09-07 08:31:21:824,31063,0.5,30236,0.7,59449,0.4,79968,2.00 17,1,2024-09-07 08:31:20:575,206396,206396,0,0,96924049203,1025984625,203229,2497,670,369,391688,0 17,2,2024-09-07 08:31:21:669,150523,150523,0,0,7168363,0,2857 17,3,2024-09-07 08:31:20:577,1,118,6,0,268,1990,118,0 18,0,2024-09-07 08:31:20:952,29018,1.1,29464,1.1,59130,1.3,78673,2.50 18,1,2024-09-07 08:31:21:655,207048,207048,0,0,96830042955,1009613130,205199,1615,234,368,391564,0 18,2,2024-09-07 08:31:21:763,149134,149134,0,0,6963549,0,3541 18,3,2024-09-07 08:31:20:924,1,118,66,0,163,1409,118,0 19,0,2024-09-07 08:31:21:550,28629,1.4,28801,1.2,56990,1.6,76389,3.50 19,1,2024-09-07 08:31:20:575,207347,207347,0,0,97741688619,1025127353,203892,2763,692,367,391777,0 19,2,2024-09-07 08:31:21:772,149579,149579,0,0,6193743,0,3988 19,3,2024-09-07 08:31:21:129,1,118,2,0,524,1391,118,0 20,0,2024-09-07 08:31:21:393,29838,0.9,29759,0.9,59591,1.0,79324,2.50 20,1,2024-09-07 08:31:20:573,207365,207365,0,0,97366347136,1021446640,205332,1856,177,370,391822,0 20,2,2024-09-07 08:31:20:939,148773,148773,0,0,6755341,0,3721 20,3,2024-09-07 08:31:20:598,1,118,1,0,414,2638,118,0 21,0,2024-09-07 08:31:21:137,29883,0.4,29692,0.5,59202,0.3,78667,1.75 21,1,2024-09-07 08:31:21:536,206375,206375,0,0,96518829464,1019307639,203570,2300,505,368,391962,0 21,2,2024-09-07 08:31:21:078,148932,148932,0,0,7068896,0,3747 21,3,2024-09-07 08:31:21:404,1,118,103,0,103,1649,118,0 22,0,2024-09-07 08:31:21:721,29360,1.0,29388,1.1,58491,1.2,78067,2.50 22,1,2024-09-07 08:31:21:048,206688,206688,0,0,96798961619,1026894059,201898,3384,1406,382,391667,0 22,2,2024-09-07 08:31:20:763,148933,148933,0,0,6486023,0,3134 22,3,2024-09-07 08:31:21:088,1,118,1,0,228,1387,118,0 23,0,2024-09-07 08:31:21:434,28569,0.8,28833,0.9,57788,0.9,77063,2.50 23,1,2024-09-07 08:31:21:004,208086,208086,0,0,97573541617,1019777455,205589,1918,579,365,391549,0 23,2,2024-09-07 08:31:21:094,149737,149737,0,0,6380880,0,2701 23,3,2024-09-07 08:31:21:762,1,118,2,0,645,1682,118,0 24,0,2024-09-07 08:31:20:859,29601,0.5,29563,0.6,59281,0.5,78635,1.75 24,1,2024-09-07 08:31:20:595,206798,206798,0,0,97035625384,1019041034,204394,1639,765,369,391640,0 24,2,2024-09-07 08:31:21:083,148362,148362,0,0,7836454,0,2942 24,3,2024-09-07 08:31:21:694,1,118,1,0,234,1530,118,0 25,0,2024-09-07 08:31:21:355,30132,0.4,29413,0.6,57596,0.4,78675,1.75 25,1,2024-09-07 08:31:20:588,206783,206783,0,0,96992377166,1021370419,203856,2483,444,371,391788,0 25,2,2024-09-07 08:31:21:619,147860,147860,0,0,7995174,0,3978 25,3,2024-09-07 08:31:21:001,1,118,14,0,158,1373,118,0 26,0,2024-09-07 08:31:21:735,29561,0.4,28909,0.6,60691,0.3,79365,1.75 26,1,2024-09-07 08:31:21:548,207617,207617,0,0,96699946494,1019580145,203698,3075,844,381,391564,0 26,2,2024-09-07 08:31:20:861,148661,148661,0,0,7212037,0,2809 26,3,2024-09-07 08:31:21:718,1,118,3,0,796,1838,118,0 27,0,2024-09-07 08:31:21:731,29297,0.6,29591,0.7,58519,0.6,78534,1.75 27,1,2024-09-07 08:31:21:688,207808,207808,0,0,97591765673,1016211757,206064,1422,322,381,391539,0 27,2,2024-09-07 08:31:20:874,149189,149189,0,0,7950907,0,3409 27,3,2024-09-07 08:31:21:019,1,118,1,0,564,1523,118,0 28,0,2024-09-07 08:31:21:400,28735,0.7,29033,0.8,58135,0.8,77894,2.25 28,1,2024-09-07 08:31:20:799,207428,207428,0,0,97909966843,1021980877,205808,1409,211,383,391646,0 28,2,2024-09-07 08:31:21:765,148832,148832,0,0,5900102,0,2915 28,3,2024-09-07 08:31:21:776,1,118,2,0,502,1412,118,0 29,0,2024-09-07 08:31:21:378,30567,0.4,29848,0.6,58394,0.4,79859,1.75 29,1,2024-09-07 08:31:21:562,208102,208102,0,0,96961985842,1008876422,205995,1577,530,369,391621,0 29,2,2024-09-07 08:31:20:866,148518,148518,0,0,5930933,0,4018 29,3,2024-09-07 08:31:20:974,1,118,1,0,105,982,118,0 30,0,2024-09-07 08:31:21:492,29866,0.7,29018,0.8,60697,0.7,79490,2.25 30,1,2024-09-07 08:31:20:573,207631,207631,0,0,97713084096,1019989700,205759,1594,278,382,391672,0 30,2,2024-09-07 08:31:21:276,149936,149936,0,0,6181335,0,3161 30,3,2024-09-07 08:31:20:585,1,118,1,0,195,924,118,0 31,0,2024-09-07 08:31:21:768,29762,0.8,29835,0.9,60365,0.9,79499,2.25 31,1,2024-09-07 08:31:20:565,208662,208662,0,0,97877507277,1004006067,208302,358,2,356,391553,0 31,2,2024-09-07 08:31:21:280,149299,149299,0,0,7547894,0,3525 31,3,2024-09-07 08:31:21:709,1,118,0,0,220,1074,118,0 32,0,2024-09-07 08:31:21:466,29211,0.7,29421,0.9,58772,0.7,78402,1.75 32,1,2024-09-07 08:31:20:806,208049,208049,0,0,97192878028,1013519327,206319,1455,275,382,391595,0 32,2,2024-09-07 08:31:20:942,148923,148923,0,0,6014451,0,3155 32,3,2024-09-07 08:31:21:019,1,118,22,0,227,1291,118,0 33,0,2024-09-07 08:31:21:520,30135,0.4,29812,0.6,60178,0.4,79657,1.75 33,1,2024-09-07 08:31:20:576,208147,208147,0,0,97594121231,1015358122,206013,1962,172,369,391730,0 33,2,2024-09-07 08:31:20:763,148850,148817,33,0,8064564,0,7012 33,3,2024-09-07 08:31:20:924,1,118,2,0,211,1160,118,0 34,0,2024-09-07 08:31:20:937,29790,0.3,30746,0.5,58937,0.2,79018,1.75 34,1,2024-09-07 08:31:21:054,208014,208014,0,0,98146982735,1013643082,207184,825,5,367,391547,0 34,2,2024-09-07 08:31:20:769,148995,148995,0,0,6856541,0,3255 34,3,2024-09-07 08:31:21:689,1,118,1,0,148,830,118,0 35,0,2024-09-07 08:31:20:894,29183,0.6,29554,0.7,58738,0.6,78585,1.75 35,1,2024-09-07 08:31:21:072,207073,207073,0,0,97156256727,1015292831,204304,2000,769,384,391587,0 35,2,2024-09-07 08:31:21:583,148913,148913,0,0,6167329,0,2542 35,3,2024-09-07 08:31:20:910,1,118,2,0,219,1406,118,0 36,0,2024-09-07 08:31:21:536,29370,1.1,29323,1.1,59131,1.3,78455,2.50 36,1,2024-09-07 08:31:20:584,207169,207169,0,0,97012084864,1018520480,203814,2423,932,366,391759,0 36,2,2024-09-07 08:31:21:763,148480,148480,0,0,7330095,0,3303 36,3,2024-09-07 08:31:20:862,1,118,5,0,378,1252,118,0 37,0,2024-09-07 08:31:21:383,28583,0.4,28704,0.6,57025,0.3,77220,2.00 37,1,2024-09-07 08:31:20:577,206648,206641,0,7,96828270190,1023304530,202774,2272,1595,365,391518,0 37,2,2024-09-07 08:31:21:142,149516,149516,0,0,6291666,0,2333 37,3,2024-09-07 08:31:21:766,1,118,1,0,724,2281,118,0 38,0,2024-09-07 08:31:21:442,29311,0.4,28417,0.6,59432,0.4,78111,2.00 38,1,2024-09-07 08:31:21:604,207945,207945,0,0,97032235688,1018025400,204630,2751,564,368,391821,0 38,2,2024-09-07 08:31:20:760,149272,149272,0,0,7431568,0,3245 38,3,2024-09-07 08:31:21:007,1,118,7,0,603,1930,118,0 39,0,2024-09-07 08:31:21:768,30657,0.7,29921,0.8,58609,0.9,79604,2.00 39,1,2024-09-07 08:31:20:716,207335,207335,0,0,97037806017,1016068208,204173,2488,674,365,391524,0 39,2,2024-09-07 08:31:21:430,148978,148978,0,0,6449295,0,2689 39,3,2024-09-07 08:31:20:714,1,118,4,0,276,1728,118,0 40,0,2024-09-07 08:31:21:513,28764,1.2,29375,1.6,58253,1.6,78015,3.50 40,1,2024-09-07 08:31:20:590,207012,207012,0,0,96309549845,1013670737,203070,3177,765,369,391591,0 40,2,2024-09-07 08:31:21:303,149979,149978,1,0,8215484,0,5137 40,3,2024-09-07 08:31:21:156,1,118,13,0,181,1398,118,0 41,0,2024-09-07 08:31:21:035,28392,1.4,29143,1.6,55621,2.7,76820,3.75 41,1,2024-09-07 08:31:20:787,207476,207476,0,0,97346570699,1023180101,203896,3063,517,370,391742,0 41,2,2024-09-07 08:31:20:775,148013,148013,0,0,7651167,0,3356 41,3,2024-09-07 08:31:21:688,1,118,10,0,366,1571,118,0 42,0,2024-09-07 08:31:21:501,29201,0.6,29495,0.9,58625,0.6,77532,2.25 42,1,2024-09-07 08:31:21:441,206397,206397,0,0,97202599469,1024246272,202855,2706,836,380,391675,0 42,2,2024-09-07 08:31:21:137,147693,147693,0,0,7832849,0,3568 42,3,2024-09-07 08:31:21:012,1,118,1,0,100,870,118,0 43,0,2024-09-07 08:31:20:920,30023,0.8,29191,1.0,60917,0.8,79769,2.25 43,1,2024-09-07 08:31:20:576,206744,206744,0,0,97306827370,1017421822,204104,1888,752,366,391604,0 43,2,2024-09-07 08:31:21:741,149469,149469,0,0,7416399,0,3812 43,3,2024-09-07 08:31:21:755,1,118,1,0,325,1885,118,0 44,0,2024-09-07 08:31:20:868,29967,0.6,29828,0.9,59774,0.6,79556,2.00 44,1,2024-09-07 08:31:20:595,207951,207951,0,0,96974521089,1004141604,206520,1302,129,356,391809,0 44,2,2024-09-07 08:31:21:273,149214,149214,0,0,6034464,0,1877 44,3,2024-09-07 08:31:21:102,1,118,1,0,817,1506,118,0 45,0,2024-09-07 08:31:21:782,28378,0.9,27739,1.0,58285,0.9,77685,2.00 45,1,2024-09-07 08:31:21:012,207584,207584,0,0,97504023013,1013660811,206587,988,9,382,391917,0 45,2,2024-09-07 08:31:21:272,149121,149121,0,0,6527055,0,3596 45,3,2024-09-07 08:31:20:940,1,118,1,0,226,1132,118,0 46,0,2024-09-07 08:31:20:961,29714,0.4,29643,0.7,59499,0.3,78807,1.75 46,1,2024-09-07 08:31:20:575,208040,208040,0,0,97149478392,1006846666,206595,1339,106,366,391514,0 46,2,2024-09-07 08:31:20:601,149294,149294,0,0,6361753,0,2920 46,3,2024-09-07 08:31:21:131,1,118,1,0,363,1889,118,0 47,0,2024-09-07 08:31:21:110,30123,0.3,30305,0.5,60785,0.3,79768,1.75 47,1,2024-09-07 08:31:20:567,207958,207958,0,0,97400282877,1007459613,206948,1000,10,366,391605,0 47,2,2024-09-07 08:31:20:908,150118,150118,0,0,6772964,0,2558 47,3,2024-09-07 08:31:21:115,1,118,2,0,529,1395,118,0 48,0,2024-09-07 08:31:21:493,29962,0.3,29765,0.5,59500,0.3,79230,1.75 48,1,2024-09-07 08:31:21:027,207603,207603,0,0,97771461025,1020485558,205622,1802,179,384,391710,0 48,2,2024-09-07 08:31:20:699,148862,148862,0,0,5692042,0,2083 48,3,2024-09-07 08:31:20:759,1,118,0,0,339,1220,118,0 49,0,2024-09-07 08:31:21:740,29709,0.7,29008,0.8,56828,0.8,78107,2.00 49,1,2024-09-07 08:31:21:040,206636,206636,0,0,97089059356,1018467921,203945,1851,840,382,391583,0 49,2,2024-09-07 08:31:21:804,149066,149066,0,0,6565300,0,3900 49,3,2024-09-07 08:31:21:417,1,118,6,0,408,1587,118,0 50,0,2024-09-07 08:31:21:517,29912,0.4,29344,0.6,59072,0.3,79046,1.75 50,1,2024-09-07 08:31:21:012,208425,208425,0,0,98216985341,1023342429,206113,2004,308,368,391530,0 50,2,2024-09-07 08:31:21:071,148703,148703,0,0,6193583,0,2253 50,3,2024-09-07 08:31:21:295,1,118,1,0,335,1127,118,0 51,0,2024-09-07 08:31:21:686,30301,0.3,29717,0.5,58038,0.2,79032,1.75 51,1,2024-09-07 08:31:21:689,207548,207548,0,0,98327123565,1021495171,205599,1165,784,367,391637,0 51,2,2024-09-07 08:31:21:316,149085,149085,0,0,5513897,0,2448 51,3,2024-09-07 08:31:21:027,1,118,1,0,162,766,118,0 52,0,2024-09-07 08:31:21:433,29604,0.6,29706,0.8,59557,0.7,78606,2.25 52,1,2024-09-07 08:31:20:578,207307,207307,0,0,96973703311,1022006298,203379,3220,708,368,391722,0 52,2,2024-09-07 08:31:21:760,147934,147934,0,0,7181535,0,4779 52,3,2024-09-07 08:31:20:675,1,118,2,0,1782,2794,118,0 53,0,2024-09-07 08:31:21:751,28685,1.2,27724,1.1,57845,1.3,76609,2.75 53,1,2024-09-07 08:31:20:774,206868,206868,0,0,97509182024,1023511767,203610,2671,587,367,391617,0 53,2,2024-09-07 08:31:21:298,150270,150270,0,0,6001741,0,2124 53,3,2024-09-07 08:31:20:697,1,118,1,0,133,971,118,0 54,0,2024-09-07 08:31:21:624,28579,1.3,29029,1.0,57273,0.6,77651,3.25 54,1,2024-09-07 08:31:20:586,207469,207469,0,0,97727577437,1023269034,204242,2683,544,367,391520,0 54,2,2024-09-07 08:31:20:872,148854,148848,6,0,7282916,0,5382 54,3,2024-09-07 08:31:20:765,1,118,2,0,676,2655,118,0 55,0,2024-09-07 08:31:21:769,28183,0.5,29090,0.7,58964,0.4,76588,2.25 55,1,2024-09-07 08:31:20:769,206658,206658,0,0,97039290244,1020576591,202139,3734,785,367,391731,0 55,2,2024-09-07 08:31:20:733,148131,148131,0,0,7198784,0,3275 55,3,2024-09-07 08:31:20:674,1,118,2,0,304,1365,118,0 56,0,2024-09-07 08:31:21:584,30536,1.5,28896,1.2,59338,2.0,79558,2.50 56,1,2024-09-07 08:31:20:574,206632,206632,0,0,97442216039,1036966970,202050,3732,850,382,391678,0 56,2,2024-09-07 08:31:21:310,148564,148564,0,0,8006994,0,3567 56,3,2024-09-07 08:31:21:061,1,118,1,0,297,1576,118,0 57,0,2024-09-07 08:31:20:960,28666,2.1,28525,1.5,57471,3.0,77168,3.75 57,1,2024-09-07 08:31:20:995,207031,207031,0,0,96521093793,1013604414,204564,2297,170,368,391773,0 57,2,2024-09-07 08:31:21:321,150598,150598,0,0,8003487,0,3178 57,3,2024-09-07 08:31:21:743,1,118,3,0,359,2415,118,0 58,0,2024-09-07 08:31:20:564,28083,0.9,27370,1.0,57407,0.9,75821,2.75 58,1,2024-09-07 08:31:20:576,208047,208044,0,3,97858756781,1022113701,205594,2222,228,369,391516,3 58,2,2024-09-07 08:31:21:085,148843,148843,0,0,6992950,0,2549 58,3,2024-09-07 08:31:21:074,1,118,5,0,219,1065,118,0 59,0,2024-09-07 08:31:21:750,29858,0.9,29499,1.0,59266,0.9,78623,2.75 59,1,2024-09-07 08:31:20:806,207262,207262,0,0,97192413335,1021522823,203897,2443,922,369,391515,0 59,2,2024-09-07 08:31:20:583,149077,149077,0,0,6516063,0,2604 59,3,2024-09-07 08:31:21:740,1,118,2,0,1015,2465,118,0 60,0,2024-09-07 08:31:21:705,30252,0.4,30322,0.6,59963,0.4,79666,1.75 60,1,2024-09-07 08:31:20:778,208817,208817,0,0,97668264652,1015646933,207523,941,353,370,391761,0 60,2,2024-09-07 08:31:21:147,149129,149129,0,0,7387217,0,3811 60,3,2024-09-07 08:31:21:264,1,118,1,0,124,1209,118,0 61,0,2024-09-07 08:31:21:588,29879,1.6,30083,1.2,60023,2.5,79901,2.25 61,1,2024-09-07 08:31:20:770,207082,207082,0,0,97502740450,1025639591,204233,2312,537,382,391589,0 61,2,2024-09-07 08:31:21:117,150086,150086,0,0,6484312,0,2079 61,3,2024-09-07 08:31:21:695,1,118,7,0,199,1582,118,0 62,0,2024-09-07 08:31:21:723,29294,1.5,29943,1.1,57374,2.6,78105,2.25 62,1,2024-09-07 08:31:21:111,208794,208788,0,6,97945489124,1013164590,207367,1383,38,365,391715,6 62,2,2024-09-07 08:31:21:656,147671,147670,1,0,7420973,0,5555 62,3,2024-09-07 08:31:21:142,1,118,1,0,287,1034,118,0 63,0,2024-09-07 08:31:21:480,29801,0.6,29924,0.7,59682,0.7,79342,2.00 63,1,2024-09-07 08:31:20:812,208434,208428,0,6,97667065683,1013963773,207323,1085,20,381,391540,6 63,2,2024-09-07 08:31:20:764,148280,148280,0,0,6073043,0,2674 63,3,2024-09-07 08:31:21:735,1,118,2,0,667,2055,118,0 64,0,2024-09-07 08:31:21:584,29676,0.4,29532,0.6,59304,0.4,79088,1.75 64,1,2024-09-07 08:31:20:766,207207,207207,0,0,97100100232,1022359022,203773,2382,1052,371,391783,0 64,2,2024-09-07 08:31:21:146,150339,150320,19,0,7096488,0,6121 64,3,2024-09-07 08:31:21:140,1,118,233,0,265,1619,118,0 65,0,2024-09-07 08:31:21:703,28744,1.5,29154,1.1,58304,1.9,77925,2.75 65,1,2024-09-07 08:31:20:860,206815,206815,0,0,97155709225,1020194324,204569,2024,222,382,391770,0 65,2,2024-09-07 08:31:21:699,149495,149495,0,0,7463017,0,3367 65,3,2024-09-07 08:31:21:688,1,118,33,0,163,1298,118,0 66,0,2024-09-07 08:31:21:779,28926,1.5,29027,1.2,58227,2.5,77632,3.50 66,1,2024-09-07 08:31:21:296,207637,207637,0,0,97783144130,1019504005,205856,1611,170,380,391588,0 66,2,2024-09-07 08:31:21:132,150498,150498,0,0,6661206,0,4956 66,3,2024-09-07 08:31:21:094,1,118,48,0,291,1356,118,0 67,0,2024-09-07 08:31:21:444,28971,0.7,28782,0.8,57617,0.7,77154,2.50 67,1,2024-09-07 08:31:20:768,206713,206712,0,1,97670442884,1028353529,203268,2698,746,381,391787,1 67,2,2024-09-07 08:31:20:589,149597,149597,0,0,6044730,0,2889 67,3,2024-09-07 08:31:21:759,1,118,27,0,138,1174,118,0 68,0,2024-09-07 08:31:20:604,29638,0.5,29533,0.7,58790,0.5,78402,2.00 68,1,2024-09-07 08:31:20:586,206720,206720,0,0,96846658532,1019901228,204237,1587,896,381,391953,0 68,2,2024-09-07 08:31:21:045,148287,148287,0,0,7166349,0,4883 68,3,2024-09-07 08:31:20:747,1,118,4,0,151,1303,118,0 69,0,2024-09-07 08:31:21:743,29771,1.0,29996,1.0,59622,1.3,79282,2.25 69,1,2024-09-07 08:31:21:025,206526,206526,0,0,97399220623,1033083022,203498,1943,1085,384,391611,0 69,2,2024-09-07 08:31:21:744,148653,148653,0,0,7784614,0,3701 69,3,2024-09-07 08:31:20:763,1,118,13,0,238,1628,118,0 70,0,2024-09-07 08:31:21:544,28962,2.2,29133,1.7,58477,1.2,77591,3.25 70,1,2024-09-07 08:31:20:802,207773,207773,0,0,98155554803,1023543806,205502,1810,461,367,391725,0 70,2,2024-09-07 08:31:21:326,150007,150007,0,0,7190990,0,4044 70,3,2024-09-07 08:31:20:747,1,118,0,0,178,845,118,0 71,0,2024-09-07 08:31:21:378,28384,1.5,28638,1.6,56856,2.1,76986,3.25 71,1,2024-09-07 08:31:21:601,207793,207793,0,0,97535958092,1021250931,204883,2514,396,368,391682,0 71,2,2024-09-07 08:31:21:075,149220,149220,0,0,6741215,0,2146 71,3,2024-09-07 08:31:21:755,1,118,1,0,279,1544,118,0 72,0,2024-09-07 08:31:21:059,30750,0.5,29959,0.8,58700,0.5,79513,2.25 72,1,2024-09-07 08:31:21:039,207178,207178,0,0,97206371248,1017473390,204392,2303,483,370,391819,0 72,2,2024-09-07 08:31:21:759,147408,147408,0,0,8444024,0,2570 72,3,2024-09-07 08:31:21:762,1,118,289,0,325,1884,118,0 73,0,2024-09-07 08:31:21:148,29334,0.4,30008,0.6,61422,0.3,79518,2.25 73,1,2024-09-07 08:31:20:766,207469,207469,0,0,96786201063,1006973729,205875,1480,114,368,391627,0 73,2,2024-09-07 08:31:21:767,149796,149796,0,0,7787285,0,3482 73,3,2024-09-07 08:31:20:969,1,118,8,0,274,1963,118,0 74,0,2024-09-07 08:31:21:334,30005,0.7,30428,0.9,58202,0.9,79112,2.75 74,1,2024-09-07 08:31:20:650,207395,207395,0,0,97141267789,1016333029,204957,1832,606,382,391681,0 74,2,2024-09-07 08:31:21:001,149492,149492,0,0,7938225,0,4253 74,3,2024-09-07 08:31:21:442,1,118,55,0,246,1764,118,0 75,0,2024-09-07 08:31:21:815,28852,0.7,28786,1.0,57527,0.7,77916,2.50 75,1,2024-09-07 08:31:21:586,207278,207278,0,0,97566930444,1022099275,204891,2023,364,381,391579,0 75,2,2024-09-07 08:31:21:354,148336,148336,0,0,7197943,0,4766 75,3,2024-09-07 08:31:21:069,1,118,2,0,535,1511,118,0 76,0,2024-09-07 08:31:20:588,29695,0.4,29482,0.8,58811,0.4,79057,2.25 76,1,2024-09-07 08:31:20:812,207265,207265,0,0,96927759757,1015145139,205354,1403,508,382,391530,0 76,2,2024-09-07 08:31:21:062,149746,149746,0,0,6357392,0,3064 76,3,2024-09-07 08:31:21:143,1,118,1,0,175,1506,118,0 77,0,2024-09-07 08:31:21:702,30111,0.6,30269,0.7,60700,0.6,79635,2.00 77,1,2024-09-07 08:31:20:828,207719,207719,0,0,96733147389,1011151117,206322,1316,81,383,391808,0 77,2,2024-09-07 08:31:21:282,149746,149746,0,0,6533420,0,3890 77,3,2024-09-07 08:31:21:102,1,118,1,0,305,1705,118,0 78,0,2024-09-07 08:31:21:744,29772,0.6,29705,0.9,59655,0.6,78941,2.25 78,1,2024-09-07 08:31:20:610,207885,207885,0,0,96539438397,1006624091,206126,1554,205,367,391589,0 78,2,2024-09-07 08:31:21:411,149978,149978,0,0,6267028,0,2114 78,3,2024-09-07 08:31:21:133,1,118,2,0,181,1431,118,0 79,0,2024-09-07 08:31:21:364,28028,0.6,28628,0.8,58674,0.6,76874,2.75 79,1,2024-09-07 08:31:20:571,208193,208193,0,0,97227385918,1010981609,206195,1827,171,369,391682,0 79,2,2024-09-07 08:31:21:074,149592,149592,0,0,6463667,0,3212 79,3,2024-09-07 08:31:20:753,1,118,0,0,306,1993,118,0 80,0,2024-09-07 08:31:21:093,29583,0.8,30415,0.9,58583,0.9,79292,2.50 80,1,2024-09-07 08:31:21:625,206780,206780,0,0,97001918823,1015260279,204333,2288,159,368,391673,0 80,2,2024-09-07 08:31:21:096,149440,149440,0,0,6807950,0,4433 80,3,2024-09-07 08:31:20:581,1,118,53,0,190,2131,118,0 81,0,2024-09-07 08:31:21:569,29632,0.5,30480,0.6,58332,0.5,78872,2.00 81,1,2024-09-07 08:31:21:654,207223,207223,0,0,96504657426,1014104869,204809,2142,272,382,391879,0 81,2,2024-09-07 08:31:21:126,148465,148465,0,0,7468895,0,3993 81,3,2024-09-07 08:31:21:117,1,118,34,0,193,1247,118,0 82,0,2024-09-07 08:31:21:547,29401,0.7,29491,0.9,59186,0.7,78816,2.00 82,1,2024-09-07 08:31:20:589,207169,207165,0,4,97041081006,1016540093,204803,1788,574,382,391558,4 82,2,2024-09-07 08:31:21:694,149601,149601,0,0,6659915,0,3986 82,3,2024-09-07 08:31:21:769,1,118,4,0,227,1714,118,0 83,0,2024-09-07 08:31:21:541,28959,1.1,28707,1.1,56965,1.3,76748,2.75 83,1,2024-09-07 08:31:20:556,207596,207596,0,0,97318531198,1020287402,205387,2023,186,383,391553,0 83,2,2024-09-07 08:31:20:769,149250,149250,0,0,6646164,0,3119 83,3,2024-09-07 08:31:20:759,1,118,8,0,133,1190,118,0 84,0,2024-09-07 08:31:21:838,29098,0.9,28906,1.1,57908,0.7,78159,2.50 84,1,2024-09-07 08:31:21:041,206343,206343,0,0,96942299424,1020138934,203424,2471,448,368,391852,0 84,2,2024-09-07 08:31:20:575,148955,148955,0,0,7010941,0,3801 84,3,2024-09-07 08:31:21:141,1,118,19,1,85,1143,118,0 85,0,2024-09-07 08:31:21:079,28175,0.5,28166,0.8,59735,0.5,77648,2.00 85,1,2024-09-07 08:31:20:567,206376,206376,0,0,97061321828,1028838753,202673,3228,475,382,391679,0 85,2,2024-09-07 08:31:20:915,148982,148982,0,0,7799587,0,3656 85,3,2024-09-07 08:31:20:695,1,118,2,0,115,1223,118,0 86,0,2024-09-07 08:31:20:919,29745,0.7,30686,0.7,58933,0.7,79410,2.00 86,1,2024-09-07 08:31:20:828,207371,207371,0,0,97590720032,1024439468,204471,2620,280,366,391961,0 86,2,2024-09-07 08:31:20:862,148470,148469,1,0,8150365,0,5004 86,3,2024-09-07 08:31:20:588,1,118,46,0,199,1489,118,0 87,0,2024-09-07 08:31:21:368,29055,2.1,29066,1.4,58120,3.4,78415,2.50 87,1,2024-09-07 08:31:20:562,206707,206707,0,0,96436430813,1013203722,204307,2260,140,366,391788,0 87,2,2024-09-07 08:31:21:071,150317,150317,0,0,6734435,0,3515 87,3,2024-09-07 08:31:21:807,1,118,5,0,335,2158,118,0 88,0,2024-09-07 08:31:21:500,28931,0.6,29097,0.8,57812,0.7,77946,1.75 88,1,2024-09-07 08:31:20:577,206272,206272,0,0,96700612138,1017231669,203514,1983,775,367,391787,0 88,2,2024-09-07 08:31:20:693,148721,148721,0,0,7663839,0,3583 88,3,2024-09-07 08:31:21:268,1,118,5,1,77,1282,118,0 89,0,2024-09-07 08:31:21:829,30722,0.5,29899,0.8,59127,0.5,80006,1.75 89,1,2024-09-07 08:31:20:554,206271,206271,0,0,96959650537,1030512597,201751,3648,872,382,391866,0 89,2,2024-09-07 08:31:21:133,148611,148611,0,0,7518357,0,2901 89,3,2024-09-07 08:31:21:801,1,118,17,0,325,2628,118,0 90,0,2024-09-07 08:31:21:680,29203,0.4,29764,0.6,61240,0.4,79531,1.75 90,1,2024-09-07 08:31:20:595,207661,207661,0,0,96510812528,1017082243,204935,2568,158,382,391825,0 90,2,2024-09-07 08:31:21:417,148305,148305,0,0,8283513,0,2635 90,3,2024-09-07 08:31:20:933,1,118,2,1,200,1365,118,0 91,0,2024-09-07 08:31:20:976,30438,0.5,29504,0.7,61149,0.5,80239,1.75 91,1,2024-09-07 08:31:20:560,206952,206952,0,0,97471009441,1028074173,203655,2804,493,381,391914,0 91,2,2024-09-07 08:31:21:332,148280,148280,0,0,8128488,0,2445 91,3,2024-09-07 08:31:20:598,1,118,0,0,155,1482,118,0 92,0,2024-09-07 08:31:21:468,29575,0.7,30313,0.8,57767,0.8,78523,1.75 92,1,2024-09-07 08:31:20:599,207284,207284,0,0,96468322306,1011151517,205377,1513,394,382,391717,0 92,2,2024-09-07 08:31:21:355,150254,150254,0,0,6420997,0,2279 92,3,2024-09-07 08:31:21:019,1,118,1,0,68,1151,118,0 93,0,2024-09-07 08:31:20:973,30059,0.5,30772,0.7,59063,0.5,79757,1.75 93,1,2024-09-07 08:31:20:808,207420,207420,0,0,97278053903,1019862059,205001,1973,446,366,391689,0 93,2,2024-09-07 08:31:20:930,148922,148922,0,0,6535452,0,2509 93,3,2024-09-07 08:31:21:409,1,118,1,0,143,1258,118,0 94,0,2024-09-07 08:31:21:694,29765,0.3,29992,0.5,59659,0.3,78944,1.75 94,1,2024-09-07 08:31:20:569,207194,207194,0,0,96683876682,1015436671,205091,2024,79,381,391850,0 94,2,2024-09-07 08:31:20:765,149132,149132,0,0,6481493,0,2443 94,3,2024-09-07 08:31:21:700,1,118,2,0,264,2086,118,0 95,0,2024-09-07 08:31:21:370,29531,0.4,29422,0.6,59221,0.4,78720,1.75 95,1,2024-09-07 08:31:20:853,207552,207552,0,0,97154539347,1010047101,206529,959,64,367,391600,0 95,2,2024-09-07 08:31:21:021,149131,149131,0,0,6740569,0,3308 95,3,2024-09-07 08:31:21:719,1,118,7,0,307,1960,118,0 96,0,2024-09-07 08:31:21:049,29552,1.0,29614,0.9,58940,1.3,78285,2.00 96,1,2024-09-07 08:31:21:587,207075,207075,0,0,96520858979,1016054106,204529,1906,640,385,391596,0 96,2,2024-09-07 08:31:21:276,149267,149267,0,0,7044915,0,4042 96,3,2024-09-07 08:31:21:139,1,118,1,0,188,1373,118,0 97,0,2024-09-07 08:31:21:349,28810,0.4,28808,0.6,57715,0.4,77287,1.75 97,1,2024-09-07 08:31:20:764,207152,207152,0,0,97726065193,1022448272,204409,2196,547,367,392140,0 97,2,2024-09-07 08:31:20:614,148626,148626,0,0,6511937,0,3036 97,3,2024-09-07 08:31:20:573,1,118,23,1,165,1509,118,0 98,0,2024-09-07 08:31:21:783,29555,0.3,29329,0.4,59111,0.2,78719,1.50 98,1,2024-09-07 08:31:20:574,207276,207276,0,0,96947901050,1015134091,205514,1678,84,382,391997,0 98,2,2024-09-07 08:31:20:769,149841,149841,0,0,6778860,0,3080 98,3,2024-09-07 08:31:20:699,1,118,2,1,840,2712,118,0 99,0,2024-09-07 08:31:21:464,29913,0.3,29968,0.5,59843,0.3,79517,1.75 99,1,2024-09-07 08:31:21:734,207831,207831,0,0,96285815742,1009725396,205355,1827,649,381,391744,0 99,2,2024-09-07 08:31:21:418,150323,150323,0,0,6654460,0,1973 99,3,2024-09-07 08:31:20:587,1,118,8,0,129,889,118,0 100,0,2024-09-07 08:31:21:521,29213,1.1,29228,1.7,58339,3.0,78453,2.25 100,1,2024-09-07 08:31:20:571,206317,206317,0,0,96781850846,1029355702,202394,3432,491,381,391989,0 100,2,2024-09-07 08:31:21:827,149513,149502,11,0,7775938,0,5417 100,3,2024-09-07 08:31:21:733,1,118,2,0,443,2487,118,0 101,0,2024-09-07 08:31:21:758,29334,2.4,28469,1.4,56308,2.1,77973,2.75 101,1,2024-09-07 08:31:20:558,206303,206303,0,0,97368230263,1034563761,201905,3040,1358,368,391709,0 101,2,2024-09-07 08:31:21:757,148370,148370,0,0,7910750,0,4644 101,3,2024-09-07 08:31:20:951,1,118,8,0,448,1571,118,0 102,0,2024-09-07 08:31:21:009,29018,0.7,30101,0.8,60457,0.5,79007,2.00 102,1,2024-09-07 08:31:21:146,206706,206706,0,0,97006137805,1023092828,203777,2412,517,369,391831,0 102,2,2024-09-07 08:31:21:747,149449,149449,0,0,6462538,0,2144 102,3,2024-09-07 08:31:21:621,1,118,4,0,410,1516,118,0 103,0,2024-09-07 08:31:21:599,30839,0.5,30805,0.7,58330,0.4,79864,1.75 103,1,2024-09-07 08:31:21:630,206235,206235,0,0,96857143551,1023332471,203032,2297,906,381,391680,0 103,2,2024-09-07 08:31:20:582,148662,148662,0,0,6924211,0,2104 103,3,2024-09-07 08:31:20:755,1,118,13,0,916,2607,118,0 104,0,2024-09-07 08:31:21:011,29631,1.2,29664,1.2,58357,1.3,79389,2.25 104,1,2024-09-07 08:31:21:607,207194,207194,0,0,96951374111,1024543518,203519,2980,695,366,391948,0 104,2,2024-09-07 08:31:21:675,148848,148848,0,0,7594979,0,3941 104,3,2024-09-07 08:31:21:424,1,118,2,1,1245,4620,118,0 105,0,2024-09-07 08:31:21:052,28500,1.3,27560,1.3,57488,2.1,77077,3.50 105,1,2024-09-07 08:31:20:555,207240,207240,0,0,97415424109,1026601564,203565,2913,762,367,391797,0 105,2,2024-09-07 08:31:21:323,148245,148245,0,0,6808023,0,3314 105,3,2024-09-07 08:31:21:306,1,118,2,1,399,2020,118,0 106,0,2024-09-07 08:31:20:954,28837,0.6,29533,0.9,60116,0.6,78770,2.00 106,1,2024-09-07 08:31:21:795,207222,207222,0,0,96750137388,1016853988,204346,2547,329,369,391767,0 106,2,2024-09-07 08:31:20:763,148468,148468,0,0,7063130,0,2795 106,3,2024-09-07 08:31:20:677,1,118,3,1,201,1370,118,0 107,0,2024-09-07 08:31:21:138,30275,0.4,30060,0.6,59978,0.4,79901,1.75 107,1,2024-09-07 08:31:20:600,206890,206890,0,0,96420650169,1017714977,204223,2443,224,381,392234,0 107,2,2024-09-07 08:31:21:297,148958,148957,1,0,7370559,0,5024 107,3,2024-09-07 08:31:21:764,1,118,2,0,353,1563,118,0 108,0,2024-09-07 08:31:21:874,29891,0.4,30046,0.7,59448,0.4,79274,1.75 108,1,2024-09-07 08:31:21:296,207118,207118,0,0,97370075139,1020608461,204827,2005,286,368,391857,0 108,2,2024-09-07 08:31:21:763,148483,148483,0,0,7143074,0,2647 108,3,2024-09-07 08:31:21:330,1,118,1,0,272,1802,118,0 109,0,2024-09-07 08:31:21:808,29043,0.6,29084,0.7,57891,0.6,78178,1.75 109,1,2024-09-07 08:31:20:603,206568,206568,0,0,97445059388,1027264126,204171,1937,460,383,391812,0 109,2,2024-09-07 08:31:20:929,148006,148006,0,0,7035020,0,3617 109,3,2024-09-07 08:31:21:146,1,118,8,1,249,1797,118,0 110,0,2024-09-07 08:31:21:757,29874,0.5,29034,0.8,60768,0.5,79419,1.75 110,1,2024-09-07 08:31:21:644,207606,207606,0,0,96707345642,1011401052,205270,1638,698,370,391667,0 110,2,2024-09-07 08:31:21:307,149232,149232,0,0,6477835,0,2915 110,3,2024-09-07 08:31:20:690,1,118,1,0,406,1847,118,0 111,0,2024-09-07 08:31:21:443,29749,0.2,29219,0.4,58733,0.2,78891,1.50 111,1,2024-09-07 08:31:21:015,207828,207828,0,0,98177770703,1022019741,206181,1315,332,382,391690,0 111,2,2024-09-07 08:31:21:116,149232,149232,0,0,7249131,0,2763 111,3,2024-09-07 08:31:20:918,1,118,0,0,300,1922,118,0 112,0,2024-09-07 08:31:21:005,29739,0.3,29637,0.5,59357,0.3,79000,1.50 112,1,2024-09-07 08:31:20:827,207424,207424,0,0,97805832246,1021749511,205108,1891,425,381,391580,0 112,2,2024-09-07 08:31:21:135,149036,149035,1,0,7184131,0,5036 112,3,2024-09-07 08:31:20:602,1,118,10,0,282,1489,118,0 113,0,2024-09-07 08:31:20:884,28652,0.5,28485,0.6,57904,0.4,77664,1.75 113,1,2024-09-07 08:31:21:689,207663,207663,0,0,97771777620,1017110192,205314,1861,488,368,391661,0 113,2,2024-09-07 08:31:21:303,150645,150645,0,0,6141512,0,3813 113,3,2024-09-07 08:31:20:692,1,118,20,0,288,1843,118,0 114,0,2024-09-07 08:31:20:884,29471,0.8,29946,0.7,58690,0.5,78749,2.00 114,1,2024-09-07 08:31:20:722,207164,207164,0,0,97073438716,1023416235,203194,2508,1462,381,391534,0 114,2,2024-09-07 08:31:20:874,148825,148825,0,0,6549361,0,3925 114,3,2024-09-07 08:31:21:278,1,118,20,0,395,1823,118,0 115,0,2024-09-07 08:31:20:557,29174,0.3,29471,0.4,59012,0.2,78409,1.50 115,1,2024-09-07 08:31:20:573,207480,207480,0,0,98024385019,1028298681,203902,2758,820,382,391602,0 115,2,2024-09-07 08:31:21:128,149315,149315,0,0,6059506,0,2152 115,3,2024-09-07 08:31:21:003,1,118,1,0,159,866,118,0 116,0,2024-09-07 08:31:21:718,29997,0.7,29573,0.9,59547,0.8,79416,2.00 116,1,2024-09-07 08:31:20:828,206027,206027,0,0,97063350701,1034013254,202243,2398,1386,382,391619,0 116,2,2024-09-07 08:31:21:766,148056,148056,0,0,8190616,0,3529 116,3,2024-09-07 08:31:20:983,1,118,0,0,252,1823,118,0 117,0,2024-09-07 08:31:20:975,29117,1.5,29215,1.2,57966,2.6,78391,2.00 117,1,2024-09-07 08:31:21:579,207327,207327,0,0,96839414911,1020174154,204194,2736,397,370,392033,0 117,2,2024-09-07 08:31:21:132,151186,151186,0,0,6657710,0,3700 117,3,2024-09-07 08:31:21:068,1,118,18,0,490,2698,118,0 118,0,2024-09-07 08:31:21:782,28240,0.8,28923,0.8,59054,0.9,77393,2.00 118,1,2024-09-07 08:31:20:588,206916,206916,0,0,96719042812,1019292452,203996,2496,424,368,391736,0 118,2,2024-09-07 08:31:21:601,148990,148990,0,0,7362898,0,2781 118,3,2024-09-07 08:31:21:764,1,118,6,0,235,1672,118,0 119,0,2024-09-07 08:31:21:346,29543,0.9,29648,0.9,60175,1.4,79330,2.00 119,1,2024-09-07 08:31:20:558,207328,207328,0,0,97106629770,1017096719,205092,1952,284,370,391641,0 119,2,2024-09-07 08:31:21:265,147663,147663,0,0,6310863,0,2532 119,3,2024-09-07 08:31:21:326,1,118,4,0,443,2505,118,0 120,0,2024-09-07 08:31:21:559,29755,0.5,29825,0.8,59553,0.5,79504,2.00 120,1,2024-09-07 08:31:20:908,207330,207330,0,0,96569716892,1014918306,205226,2059,45,368,391702,0 120,2,2024-09-07 08:31:20:770,148682,148681,1,0,8737547,0,5281 120,3,2024-09-07 08:31:21:300,1,118,1,0,241,1754,118,0 121,0,2024-09-07 08:31:21:695,29784,1.7,30223,1.2,60169,2.7,80063,2.25 121,1,2024-09-07 08:31:21:661,207235,207235,0,0,96948717309,1017659548,204730,2223,282,367,391840,0 121,2,2024-09-07 08:31:21:126,148633,148633,0,0,8189333,0,4127 121,3,2024-09-07 08:31:20:732,1,118,11,0,159,1492,118,0 122,0,2024-09-07 08:31:21:792,28984,1.5,28192,1.3,58978,1.7,78478,2.25 122,1,2024-09-07 08:31:20:868,206471,206471,0,0,96711569004,1021045405,202715,3095,661,366,392130,0 122,2,2024-09-07 08:31:21:319,148979,148979,0,0,8009227,0,3364 122,3,2024-09-07 08:31:20:602,1,118,36,0,298,2467,118,0 123,0,2024-09-07 08:31:21:019,29941,1.0,29104,0.9,60851,1.2,79488,2.25 123,1,2024-09-07 08:31:20:602,207840,207840,0,0,97020741345,1024723678,203961,3306,573,369,391823,0 123,2,2024-09-07 08:31:21:030,147893,147892,1,0,7356169,0,5215 123,3,2024-09-07 08:31:21:160,1,118,4,1,168,1778,118,0 124,0,2024-09-07 08:31:20:923,30665,0.3,30634,0.5,57848,0.2,79248,1.75 124,1,2024-09-07 08:31:21:027,207217,207217,0,0,97205566432,1013193949,205414,1451,352,367,392178,0 124,2,2024-09-07 08:31:21:012,150039,150039,0,0,6493564,0,3101 124,3,2024-09-07 08:31:20:758,1,118,14,1,490,2049,118,0 125,0,2024-09-07 08:31:21:430,29560,0.5,29463,0.6,59166,0.5,78647,1.75 125,1,2024-09-07 08:31:20:863,206716,206716,0,0,97630115959,1023392999,204444,1997,275,384,391702,0 125,2,2024-09-07 08:31:21:123,149589,149589,0,0,6441496,0,2180 125,3,2024-09-07 08:31:21:130,1,118,4,1,284,1700,118,0 126,0,2024-09-07 08:31:21:433,29485,0.9,30233,0.9,57962,1.0,78838,2.00 126,1,2024-09-07 08:31:20:573,207833,207833,0,0,97478287454,1014301967,206347,1410,76,365,391987,0 126,2,2024-09-07 08:31:20:614,149987,149987,0,0,6538458,0,3186 126,3,2024-09-07 08:31:20:930,1,118,3,0,136,1760,118,0 127,0,2024-09-07 08:31:21:698,28618,0.5,28953,0.6,57700,0.5,77419,1.75 127,1,2024-09-07 08:31:20:577,207592,207592,0,0,97269653204,1011423034,205850,1707,35,365,391816,0 127,2,2024-09-07 08:31:20:644,149260,149260,0,0,5980267,0,1803 127,3,2024-09-07 08:31:21:292,1,118,8,0,99,900,118,0 128,0,2024-09-07 08:31:21:656,29712,0.3,29603,0.4,58920,0.2,78653,1.50 128,1,2024-09-07 08:31:21:754,207672,207672,0,0,97649421359,1013777954,206818,783,71,367,391680,0 128,2,2024-09-07 08:31:21:391,148402,148402,0,0,6501377,0,2107 128,3,2024-09-07 08:31:20:770,1,118,1,0,247,1445,118,0 129,0,2024-09-07 08:31:21:016,30102,0.3,30062,0.5,59792,0.3,79447,1.75 129,1,2024-09-07 08:31:20:575,206677,206677,0,0,97458823691,1026291086,203786,2501,390,379,391835,0 129,2,2024-09-07 08:31:20:706,148854,148854,0,0,6641993,0,4031 129,3,2024-09-07 08:31:20:699,1,118,6,1,173,1639,118,0 130,0,2024-09-07 08:31:21:724,29751,1.1,29459,0.9,59095,1.6,79066,2.00 130,1,2024-09-07 08:31:20:585,207208,207208,0,0,97301109526,1019028743,205169,1971,68,381,391825,0 130,2,2024-09-07 08:31:21:127,149998,149998,0,0,6593296,0,4067 130,3,2024-09-07 08:31:21:300,1,118,0,0,207,1180,118,0 131,0,2024-09-07 08:31:21:944,28986,0.7,29006,0.8,58821,1.0,78092,2.00 131,1,2024-09-07 08:31:21:835,208259,208259,0,0,96726969192,1010457529,206873,1181,205,384,391865,0 131,2,2024-09-07 08:31:20:590,149405,149405,0,0,6106857,0,2415 131,3,2024-09-07 08:31:21:698,1,118,4,0,392,1436,118,0 132,0,2024-09-07 08:31:21:441,29438,0.5,29937,0.8,59831,0.5,79365,1.75 132,1,2024-09-07 08:31:20:585,206398,206398,0,0,96486492703,1023157478,202412,3191,795,381,391719,0 132,2,2024-09-07 08:31:20:698,147934,147934,0,0,8785688,0,4606 132,3,2024-09-07 08:31:21:689,1,118,10,0,356,2028,118,0 133,0,2024-09-07 08:31:21:604,29239,0.5,29830,0.6,61331,0.4,79151,1.75 133,1,2024-09-07 08:31:20:589,205560,205560,0,0,96428094738,1020946161,202296,3032,232,383,391755,0 133,2,2024-09-07 08:31:21:087,148719,148719,0,0,8514902,0,4315 133,3,2024-09-07 08:31:21:296,1,118,3,1,187,1138,118,0 134,0,2024-09-07 08:31:20:989,29692,0.7,29540,0.9,59619,0.8,79131,2.00 134,1,2024-09-07 08:31:20:599,206529,206529,0,0,96572595667,1017624841,202738,2617,1174,366,391718,0 134,2,2024-09-07 08:31:21:759,149321,149321,0,0,7045095,0,3096 134,3,2024-09-07 08:31:20:753,1,118,24,0,739,2463,118,0 135,0,2024-09-07 08:31:21:148,28119,0.9,28025,1.1,59162,1.1,77003,2.25 135,1,2024-09-07 08:31:21:595,206571,206571,0,0,97162699261,1027426264,202875,2996,700,380,391725,0 135,2,2024-09-07 08:31:20:694,149113,149113,0,0,7290152,0,3981 135,3,2024-09-07 08:31:21:007,1,118,4,0,89,763,118,0 136,0,2024-09-07 08:31:21:664,30040,0.6,29831,0.8,60110,0.6,79831,2.00 136,1,2024-09-07 08:31:21:442,206652,206652,0,0,96780192245,1017246704,203942,2545,165,384,391641,0 136,2,2024-09-07 08:31:21:133,149708,149708,0,0,6946862,0,3506 136,3,2024-09-07 08:31:21:107,1,118,2,0,108,1071,118,0 137,0,2024-09-07 08:31:20:976,30843,0.5,30011,0.7,59578,0.5,80067,2.00 137,1,2024-09-07 08:31:20:584,207089,207089,0,0,97253489883,1019064668,204464,2446,179,367,391608,0 137,2,2024-09-07 08:31:21:710,148826,148826,0,0,8680186,0,3185 137,3,2024-09-07 08:31:20:769,1,118,6,1,227,1540,118,0 138,0,2024-09-07 08:31:21:756,29214,2.0,29423,1.4,59365,3.2,79294,2.50 138,1,2024-09-07 08:31:21:721,207283,207283,0,0,97603436865,1024835511,204386,2549,348,369,391954,0 138,2,2024-09-07 08:31:20:590,149601,149601,0,0,7000373,0,3263 138,3,2024-09-07 08:31:20:613,1,118,53,0,1160,2309,118,0 139,0,2024-09-07 08:31:21:421,28210,3.5,28216,1.9,57375,4.7,77282,3.25 139,1,2024-09-07 08:31:20:577,206620,206620,0,0,96645844819,1029727466,202320,3102,1198,381,391892,0 139,2,2024-09-07 08:31:20:694,147549,147549,0,0,7848355,0,3097 139,3,2024-09-07 08:31:21:677,1,118,1,0,244,1606,118,0 140,0,2024-09-07 08:31:21:594,29982,0.4,29853,0.6,59830,0.4,79517,1.75 140,1,2024-09-07 08:31:21:541,207957,207957,0,0,98297512120,1016264514,206808,934,215,365,391606,0 140,2,2024-09-07 08:31:20:687,149860,149860,0,0,6959756,0,3388 140,3,2024-09-07 08:31:20:773,1,118,1,0,155,941,118,0 141,0,2024-09-07 08:31:21:734,29283,0.2,30313,0.4,58108,0.2,78965,1.50 141,1,2024-09-07 08:31:20:866,207773,207773,0,0,97626443869,1016790517,206047,1374,352,379,391539,0 141,2,2024-09-07 08:31:21:694,148954,148954,0,0,6282863,0,2342 141,3,2024-09-07 08:31:21:044,1,118,15,0,147,1016,118,0 142,0,2024-09-07 08:31:21:364,30040,0.3,29685,0.5,59056,0.3,79181,1.75 142,1,2024-09-07 08:31:20:591,207770,207770,0,0,97567443586,1018309992,206664,973,133,384,391649,0 142,2,2024-09-07 08:31:21:312,147818,147786,32,0,7761660,0,6028 142,3,2024-09-07 08:31:21:765,1,118,124,0,484,1986,118,0 143,0,2024-09-07 08:31:21:389,28885,0.9,28945,0.8,58301,1.1,77686,2.00 143,1,2024-09-07 08:31:20:569,207499,207499,0,0,97119548531,1012362800,205482,1977,40,367,391619,0 143,2,2024-09-07 08:31:20:794,149395,149395,0,0,6775594,0,2669 143,3,2024-09-07 08:31:21:152,1,118,10,0,303,2184,118,0 144,0,2024-09-07 08:31:21:544,28336,0.6,29141,1.3,59120,0.5,78461,2.00 144,1,2024-09-07 08:31:20:569,206464,206464,0,0,96424419498,1016468241,204258,2005,201,381,391638,0 144,2,2024-09-07 08:31:21:769,149347,149347,0,0,6426145,0,3473 144,3,2024-09-07 08:31:21:740,1,118,2,0,249,1844,118,0 145,0,2024-09-07 08:31:21:380,28298,0.6,28244,0.9,59832,0.5,78306,2.00 145,1,2024-09-07 08:31:20:554,205669,205669,0,0,96712059951,1024984095,201772,3165,732,383,391615,0 145,2,2024-09-07 08:31:21:435,148873,148873,0,0,7248472,0,3903 145,3,2024-09-07 08:31:20:904,1,118,1,0,151,1506,118,0 146,0,2024-09-07 08:31:21:628,29764,0.5,29565,0.7,59668,0.5,78956,2.00 146,1,2024-09-07 08:31:21:586,207558,207558,0,0,97440426257,1028079282,203449,3314,795,368,391600,0 146,2,2024-09-07 08:31:21:697,148638,148638,0,0,6886832,0,2379 146,3,2024-09-07 08:31:21:274,1,118,1,0,1520,4501,118,0 147,0,2024-09-07 08:31:21:709,29122,1.9,29015,1.3,57391,2.8,78468,2.75 147,1,2024-09-07 08:31:21:379,208141,208141,0,0,97767983180,1020105272,205852,1898,391,368,391791,0 147,2,2024-09-07 08:31:21:013,150530,150530,0,0,6698479,0,2789 147,3,2024-09-07 08:31:20:930,1,118,1,0,371,1630,118,0 0,0,2024-09-07 08:31:31:722,29125,0.5,29176,0.7,61699,0.5,79772,1.75 0,1,2024-09-07 08:31:30:800,208693,208693,0,0,97947275265,1029208381,207131,1433,129,372,391692,0 0,2,2024-09-07 08:31:31:078,150402,150402,0,0,6886118,0,4480 0,3,2024-09-07 08:31:30:975,1,119,7,0,247,1839,119,0 1,0,2024-09-07 08:31:31:832,30188,2.1,29849,1.5,60112,2.7,79852,2.50 1,1,2024-09-07 08:31:30:573,207862,207862,0,0,97251222598,1027842845,204448,2552,862,372,391857,0 1,2,2024-09-07 08:31:30:652,150327,150327,0,0,6569144,0,3267 1,3,2024-09-07 08:31:31:302,1,119,13,0,262,1621,119,0 2,0,2024-09-07 08:31:31:571,29245,1.2,29432,1.2,58375,1.7,78573,2.50 2,1,2024-09-07 08:31:30:860,208706,208706,0,0,98235467147,1024944218,207330,1170,206,380,391558,0 2,2,2024-09-07 08:31:31:266,149334,149334,0,0,6788219,0,3594 2,3,2024-09-07 08:31:30:690,1,119,0,0,357,1506,119,0 3,0,2024-09-07 08:31:31:754,29797,0.6,29792,0.8,59766,0.6,78942,2.00 3,1,2024-09-07 08:31:31:619,208426,208426,0,0,98215374820,1029973312,205564,2460,402,382,391516,0 3,2,2024-09-07 08:31:31:142,150244,150221,23,0,7068476,0,5851 3,3,2024-09-07 08:31:31:753,1,119,5,0,103,872,119,0 4,0,2024-09-07 08:31:31:843,29193,0.3,29865,0.5,60651,0.2,79704,1.75 4,1,2024-09-07 08:31:30:592,208797,208797,0,0,97561432689,1030251910,206184,2093,520,371,391846,0 4,2,2024-09-07 08:31:31:019,149673,149673,0,0,8508985,0,4528 4,3,2024-09-07 08:31:31:027,1,119,1,0,287,1943,119,0 5,0,2024-09-07 08:31:31:412,29858,0.6,29692,0.7,59655,0.7,79379,1.75 5,1,2024-09-07 08:31:30:761,207958,207958,0,0,98037780883,1038897031,203961,3013,984,368,392005,0 5,2,2024-09-07 08:31:31:834,150193,150193,0,0,7149903,0,2259 5,3,2024-09-07 08:31:31:735,1,119,1,0,238,2066,119,0 6,0,2024-09-07 08:31:30:921,29928,1.4,29606,1.2,58840,2.3,79361,2.75 6,1,2024-09-07 08:31:30:747,209345,209345,0,0,97658287328,1020980880,207729,1541,75,381,391603,0 6,2,2024-09-07 08:31:31:128,150838,150838,0,0,6534574,0,2411 6,3,2024-09-07 08:31:31:278,1,119,5,0,340,1828,119,0 7,0,2024-09-07 08:31:31:538,28692,0.5,28825,0.7,57502,0.4,77415,2.00 7,1,2024-09-07 08:31:30:851,209246,209246,0,0,97974460582,1027612210,207061,2089,96,383,391664,0 7,2,2024-09-07 08:31:30:770,149723,149723,0,0,6252376,0,2981 7,3,2024-09-07 08:31:30:851,1,119,1,0,305,1348,119,0 8,0,2024-09-07 08:31:31:345,29593,0.3,29899,0.4,59055,0.2,79436,1.50 8,1,2024-09-07 08:31:31:020,208636,208636,0,0,97716211583,1035265858,204632,2719,1285,368,391956,0 8,2,2024-09-07 08:31:30:791,148795,148795,0,0,7785877,0,2986 8,3,2024-09-07 08:31:30:604,1,119,0,0,357,1912,119,0 9,0,2024-09-07 08:31:31:107,30020,0.4,29300,0.5,61058,0.3,79710,1.75 9,1,2024-09-07 08:31:30:554,208410,208410,0,0,98423166489,1041943822,204635,2767,1008,370,391738,0 9,2,2024-09-07 08:31:31:087,149696,149696,0,0,7567566,0,3360 9,3,2024-09-07 08:31:31:752,1,119,8,0,496,1961,119,0 10,0,2024-09-07 08:31:31:601,29645,0.5,29594,0.7,59366,0.4,79161,1.75 10,1,2024-09-07 08:31:30:586,208864,208864,0,0,97555164058,1027307499,205202,3138,524,381,391741,0 10,2,2024-09-07 08:31:30:762,151059,151059,0,0,7123693,0,2940 10,3,2024-09-07 08:31:30:871,1,119,1,0,136,914,119,0 11,0,2024-09-07 08:31:31:026,28821,0.4,28121,0.7,58989,0.4,79147,2.00 11,1,2024-09-07 08:31:30:576,208364,208364,0,0,97905721804,1034367630,203868,3335,1161,384,391537,0 11,2,2024-09-07 08:31:31:132,150124,150124,0,0,6987090,0,3411 11,3,2024-09-07 08:31:31:299,1,119,1,0,720,2730,119,0 12,0,2024-09-07 08:31:30:961,30188,0.3,30022,0.5,60280,0.3,79803,1.50 12,1,2024-09-07 08:31:30:939,208632,208632,0,0,97857499863,1028061483,205826,2396,410,371,391837,0 12,2,2024-09-07 08:31:31:545,150619,150619,0,0,7580278,0,3469 12,3,2024-09-07 08:31:31:059,1,119,8,0,358,2338,119,0 13,0,2024-09-07 08:31:31:364,30177,0.4,30258,0.5,60348,0.4,79966,1.75 13,1,2024-09-07 08:31:31:523,209247,209247,0,0,97803265283,1029212854,207317,1554,376,384,391717,0 13,2,2024-09-07 08:31:30:600,150659,150659,0,0,6908162,0,3287 13,3,2024-09-07 08:31:31:763,1,119,1,1,416,2289,119,0 14,0,2024-09-07 08:31:30:569,29918,0.6,30105,0.8,59640,0.6,79270,2.00 14,1,2024-09-07 08:31:31:564,210306,210306,0,0,98649746381,1026561650,208437,1707,162,364,391571,0 14,2,2024-09-07 08:31:30:776,150471,150471,0,0,7097384,0,2896 14,3,2024-09-07 08:31:31:117,1,119,2,0,1168,2665,119,0 15,0,2024-09-07 08:31:31:560,29326,0.5,29173,0.8,58458,0.6,78559,2.00 15,1,2024-09-07 08:31:31:607,208461,208461,0,0,97612063679,1018396426,207095,1306,60,381,391536,0 15,2,2024-09-07 08:31:30:999,150637,150637,0,0,5751526,0,3043 15,3,2024-09-07 08:31:31:411,1,119,1,0,1126,4022,119,0 16,0,2024-09-07 08:31:30:936,29833,0.6,30237,0.8,59810,0.7,79946,2.25 16,1,2024-09-07 08:31:30:567,209005,209005,0,0,98142096338,1030774221,206905,1841,259,372,391756,0 16,2,2024-09-07 08:31:31:436,149183,149183,0,0,7370192,0,4719 16,3,2024-09-07 08:31:31:142,1,119,1,0,231,1750,119,0 17,0,2024-09-07 08:31:31:779,31184,0.5,30368,0.7,59659,0.4,80209,2.00 17,1,2024-09-07 08:31:30:590,208173,208173,0,0,97895810495,1036359671,204964,2539,670,369,391688,0 17,2,2024-09-07 08:31:31:669,151623,151623,0,0,7204687,0,2857 17,3,2024-09-07 08:31:30:583,1,119,12,0,268,2002,119,0 18,0,2024-09-07 08:31:30:966,29233,1.1,29662,1.1,59551,1.3,79189,2.50 18,1,2024-09-07 08:31:31:638,208806,208806,0,0,97629528627,1017782084,206957,1615,234,368,391564,0 18,2,2024-09-07 08:31:31:755,150432,150432,0,0,7048371,0,3541 18,3,2024-09-07 08:31:30:900,1,119,11,0,163,1420,119,0 19,0,2024-09-07 08:31:31:543,29058,1.4,29235,1.2,57858,1.6,77441,3.50 19,1,2024-09-07 08:31:30:567,209034,209034,0,0,98301838920,1030895292,205579,2763,692,367,391777,0 19,2,2024-09-07 08:31:31:752,150853,150853,0,0,6238294,0,3988 19,3,2024-09-07 08:31:31:129,1,119,1,0,524,1392,119,0 20,0,2024-09-07 08:31:31:399,29981,0.9,29904,0.9,59835,1.0,79629,2.50 20,1,2024-09-07 08:31:30:568,209087,209087,0,0,98004905394,1028224305,207050,1860,177,370,391822,0 20,2,2024-09-07 08:31:30:928,150025,150025,0,0,6824315,0,3721 20,3,2024-09-07 08:31:30:611,1,119,2,0,414,2640,119,0 21,0,2024-09-07 08:31:31:168,30173,0.4,29995,0.5,59792,0.3,79430,1.75 21,1,2024-09-07 08:31:31:550,208239,208239,0,0,97455792629,1029057871,205434,2300,505,368,391962,0 21,2,2024-09-07 08:31:31:077,150493,150493,0,0,7155643,0,3747 21,3,2024-09-07 08:31:31:404,1,119,9,0,103,1658,119,0 22,0,2024-09-07 08:31:31:720,29572,1.0,29589,1.0,58898,1.2,78680,2.50 22,1,2024-09-07 08:31:31:030,208478,208478,0,0,97578853368,1035276869,203686,3386,1406,382,391667,0 22,2,2024-09-07 08:31:30:761,150372,150372,0,0,6572105,0,3134 22,3,2024-09-07 08:31:31:077,1,119,8,0,228,1395,119,0 23,0,2024-09-07 08:31:31:370,29047,1.1,29297,1.0,58722,1.4,78348,2.50 23,1,2024-09-07 08:31:31:003,209958,209958,0,0,98532285570,1030083192,207416,1963,579,365,391549,0 23,2,2024-09-07 08:31:31:092,150569,150569,0,0,6403458,0,2701 23,3,2024-09-07 08:31:31:754,1,119,2,0,645,1684,119,0 24,0,2024-09-07 08:31:30:830,29866,0.5,29842,0.6,59798,0.5,79339,1.75 24,1,2024-09-07 08:31:30:585,208627,208627,0,0,97762550437,1026550858,206223,1639,765,369,391640,0 24,2,2024-09-07 08:31:31:087,149757,149757,0,0,7899117,0,2942 24,3,2024-09-07 08:31:31:695,1,119,1,0,234,1531,119,0 25,0,2024-09-07 08:31:31:361,30469,0.4,29752,0.6,58197,0.4,79605,1.75 25,1,2024-09-07 08:31:30:560,208559,208559,0,0,97987034249,1031527126,205632,2483,444,371,391788,0 25,2,2024-09-07 08:31:31:623,149299,149299,0,0,8097392,0,3978 25,3,2024-09-07 08:31:31:000,1,119,25,0,158,1398,119,0 26,0,2024-09-07 08:31:31:723,29738,0.4,29074,0.6,61040,0.3,79826,1.75 26,1,2024-09-07 08:31:31:544,209376,209376,0,0,97302465569,1025770107,205457,3075,844,381,391564,0 26,2,2024-09-07 08:31:30:861,150037,150037,0,0,7250086,0,2809 26,3,2024-09-07 08:31:31:713,1,119,3,0,796,1841,119,0 27,0,2024-09-07 08:31:31:726,29633,0.6,29908,0.7,59140,0.6,79499,1.75 27,1,2024-09-07 08:31:31:676,209759,209759,0,0,98470973130,1025282488,208015,1422,322,381,391539,0 27,2,2024-09-07 08:31:30:867,149995,149995,0,0,7964422,0,3409 27,3,2024-09-07 08:31:31:018,1,119,1,0,564,1524,119,0 28,0,2024-09-07 08:31:31:391,29155,0.7,29456,0.8,59020,0.8,79054,2.25 28,1,2024-09-07 08:31:30:798,209201,209201,0,0,98592628836,1029021118,207581,1409,211,383,391646,0 28,2,2024-09-07 08:31:31:768,150334,150334,0,0,5950746,0,2915 28,3,2024-09-07 08:31:31:777,1,119,1,0,502,1413,119,0 29,0,2024-09-07 08:31:31:358,30692,0.4,29979,0.6,58646,0.4,80158,1.75 29,1,2024-09-07 08:31:31:563,209891,209891,0,0,97657867864,1015980931,207784,1577,530,369,391621,0 29,2,2024-09-07 08:31:30:861,149972,149972,0,0,5961468,0,4018 29,3,2024-09-07 08:31:30:967,1,119,3,0,105,985,119,0 30,0,2024-09-07 08:31:31:494,29971,0.7,29143,0.8,60965,0.7,79824,2.25 30,1,2024-09-07 08:31:30:571,209384,209384,0,0,98613425972,1029156918,207512,1594,278,382,391672,0 30,2,2024-09-07 08:31:31:275,151043,151043,0,0,6207276,0,3161 30,3,2024-09-07 08:31:30:580,1,119,1,0,195,925,119,0 31,0,2024-09-07 08:31:31:761,29773,0.8,29849,0.9,60393,0.9,79499,2.25 31,1,2024-09-07 08:31:30:568,210481,210481,0,0,98757510374,1012922849,210120,359,2,356,391553,0 31,2,2024-09-07 08:31:31:279,150448,150448,0,0,7593105,0,3525 31,3,2024-09-07 08:31:31:708,1,119,1,0,220,1075,119,0 32,0,2024-09-07 08:31:31:428,29537,0.7,29784,0.9,59461,0.7,79328,1.75 32,1,2024-09-07 08:31:30:806,209722,209722,0,0,97726507373,1019218276,207989,1458,275,382,391595,0 32,2,2024-09-07 08:31:30:935,150255,150255,0,0,6063469,0,3155 32,3,2024-09-07 08:31:31:027,1,119,1,0,227,1292,119,0 33,0,2024-09-07 08:31:31:498,30222,0.4,29891,0.6,60348,0.4,79898,1.75 33,1,2024-09-07 08:31:30:575,209896,209896,0,0,98373647818,1023285651,207762,1962,172,369,391730,0 33,2,2024-09-07 08:31:30:765,150135,150102,33,0,8098387,0,7012 33,3,2024-09-07 08:31:30:900,1,119,329,0,329,1489,119,0 34,0,2024-09-07 08:31:30:932,30014,0.3,30985,0.5,59386,0.2,79621,1.75 34,1,2024-09-07 08:31:31:046,209771,209771,0,0,98832442951,1020717957,208940,826,5,367,391547,0 34,2,2024-09-07 08:31:30:766,150149,150149,0,0,6899594,0,3255 34,3,2024-09-07 08:31:31:687,1,119,35,0,148,865,119,0 35,0,2024-09-07 08:31:30:873,29501,0.6,29843,0.7,59360,0.6,79474,1.75 35,1,2024-09-07 08:31:31:078,208739,208739,0,0,97928670149,1023256542,205968,2002,769,384,391587,0 35,2,2024-09-07 08:31:31:583,150209,150209,0,0,6208402,0,2542 35,3,2024-09-07 08:31:30:918,1,119,0,0,219,1406,119,0 36,0,2024-09-07 08:31:31:528,29619,1.1,29620,1.1,59707,1.3,79136,2.50 36,1,2024-09-07 08:31:30:586,208908,208908,0,0,97732959088,1026118090,205553,2423,932,366,391759,0 36,2,2024-09-07 08:31:31:752,149561,149561,0,0,7394608,0,3303 36,3,2024-09-07 08:31:30:866,1,119,1,0,378,1253,119,0 37,0,2024-09-07 08:31:31:384,29031,0.5,29174,0.7,58097,0.4,78371,2.00 37,1,2024-09-07 08:31:30:569,208357,208350,0,7,97526634573,1030498971,204483,2272,1595,365,391518,0 37,2,2024-09-07 08:31:31:142,150734,150734,0,0,6350502,0,2333 37,3,2024-09-07 08:31:31:776,1,119,8,0,724,2289,119,0 38,0,2024-09-07 08:31:31:439,29603,0.4,28701,0.6,60012,0.4,78887,2.00 38,1,2024-09-07 08:31:31:605,209651,209651,0,0,97945718456,1027685579,206319,2768,564,368,391821,0 38,2,2024-09-07 08:31:30:761,150682,150682,0,0,7505895,0,3245 38,3,2024-09-07 08:31:31:007,1,119,1,0,603,1931,119,0 39,0,2024-09-07 08:31:31:762,30810,0.7,30065,0.8,58911,0.9,79985,2.00 39,1,2024-09-07 08:31:30:717,209153,209153,0,0,97709120325,1022988091,205991,2488,674,365,391524,0 39,2,2024-09-07 08:31:31:420,150419,150419,0,0,6486277,0,2689 39,3,2024-09-07 08:31:30:713,1,119,1,0,276,1729,119,0 40,0,2024-09-07 08:31:31:499,28993,1.1,29628,1.5,58709,1.6,78648,3.25 40,1,2024-09-07 08:31:30:576,208861,208861,0,0,97182553848,1022675507,204918,3178,765,369,391591,0 40,2,2024-09-07 08:31:31:303,150670,150669,1,0,8233947,0,5137 40,3,2024-09-07 08:31:31:142,1,119,1,0,181,1399,119,0 41,0,2024-09-07 08:31:31:046,28815,1.4,29525,1.6,56426,2.7,77715,3.75 41,1,2024-09-07 08:31:30:791,209305,209305,0,0,98108906803,1030982215,205724,3064,517,370,391742,0 41,2,2024-09-07 08:31:30:762,149546,149546,0,0,7711333,0,3356 41,3,2024-09-07 08:31:31:687,1,119,8,0,366,1579,119,0 42,0,2024-09-07 08:31:31:484,29386,0.6,29650,0.9,58958,0.6,77994,2.25 42,1,2024-09-07 08:31:31:444,208122,208122,0,0,97919370337,1031563004,204579,2707,836,380,391675,0 42,2,2024-09-07 08:31:31:133,149198,149198,0,0,8000675,0,3568 42,3,2024-09-07 08:31:31:009,1,119,3,0,100,873,119,0 43,0,2024-09-07 08:31:30:923,30124,0.8,29275,1.0,61130,0.8,80025,2.25 43,1,2024-09-07 08:31:30:576,208523,208523,0,0,98216739613,1026711244,205883,1888,752,366,391604,0 43,2,2024-09-07 08:31:31:735,150534,150534,0,0,7437558,0,3812 43,3,2024-09-07 08:31:31:748,1,119,1,0,325,1886,119,0 44,0,2024-09-07 08:31:30:873,30083,0.6,29951,0.9,60037,0.6,79908,2.00 44,1,2024-09-07 08:31:30:567,209737,209737,0,0,97750892028,1012080747,208306,1302,129,356,391809,0 44,2,2024-09-07 08:31:31:267,150246,150246,0,0,6073165,0,1877 44,3,2024-09-07 08:31:31:093,1,119,1,0,817,1507,119,0 45,0,2024-09-07 08:31:31:788,28859,0.8,28250,1.0,59320,0.9,78991,2.00 45,1,2024-09-07 08:31:31:014,209369,209369,0,0,98176454350,1020564128,208372,988,9,382,391917,0 45,2,2024-09-07 08:31:31:271,150747,150747,0,0,6568191,0,3596 45,3,2024-09-07 08:31:30:942,1,119,15,0,226,1147,119,0 46,0,2024-09-07 08:31:30:958,29822,0.4,29746,0.7,59729,0.3,79098,1.75 46,1,2024-09-07 08:31:30:575,209820,209820,0,0,98182269269,1017352497,208375,1339,106,366,391514,0 46,2,2024-09-07 08:31:30:605,150538,150538,0,0,6390832,0,2920 46,3,2024-09-07 08:31:31:135,1,119,3,0,363,1892,119,0 47,0,2024-09-07 08:31:31:105,30220,0.3,30400,0.5,60988,0.3,80036,1.75 47,1,2024-09-07 08:31:30:567,209761,209761,0,0,98373482394,1017299583,208751,1000,10,366,391605,0 47,2,2024-09-07 08:31:30:911,151236,151236,0,0,6800463,0,2558 47,3,2024-09-07 08:31:31:114,1,119,1,0,529,1396,119,0 48,0,2024-09-07 08:31:31:495,30137,0.3,29946,0.5,59915,0.3,79737,1.75 48,1,2024-09-07 08:31:31:029,209333,209333,0,0,98673049907,1029702068,207352,1802,179,384,391710,0 48,2,2024-09-07 08:31:30:699,150119,150119,0,0,5752331,0,2083 48,3,2024-09-07 08:31:30:754,1,119,1,0,339,1221,119,0 49,0,2024-09-07 08:31:31:762,30152,0.7,29437,0.8,57640,0.8,79311,2.00 49,1,2024-09-07 08:31:31:022,208490,208490,0,0,97985361225,1027832270,205797,1853,840,382,391583,0 49,2,2024-09-07 08:31:31:800,150421,150421,0,0,6628354,0,3900 49,3,2024-09-07 08:31:31:425,1,119,13,0,408,1600,119,0 50,0,2024-09-07 08:31:31:511,30027,0.4,29476,0.6,59333,0.3,79335,1.75 50,1,2024-09-07 08:31:31:010,210160,210160,0,0,98916453898,1030739302,207848,2004,308,368,391530,0 50,2,2024-09-07 08:31:31:078,149866,149866,0,0,6226072,0,2253 50,3,2024-09-07 08:31:31:291,1,119,2,0,335,1129,119,0 51,0,2024-09-07 08:31:31:695,30626,0.3,30004,0.5,58607,0.2,79820,1.75 51,1,2024-09-07 08:31:31:687,209340,209340,0,0,99160859120,1030040410,207391,1165,784,367,391637,0 51,2,2024-09-07 08:31:31:318,150463,150463,0,0,5612275,0,2448 51,3,2024-09-07 08:31:31:031,1,119,3,0,162,769,119,0 52,0,2024-09-07 08:31:31:436,29840,0.6,29919,0.8,60010,0.7,79158,2.25 52,1,2024-09-07 08:31:30:577,209067,209067,0,0,97709944781,1029887402,205135,3224,708,368,391722,0 52,2,2024-09-07 08:31:31:758,149237,149237,0,0,7271110,0,4779 52,3,2024-09-07 08:31:30:684,1,119,14,0,1782,2808,119,0 53,0,2024-09-07 08:31:31:751,29109,1.2,28170,1.1,58788,1.4,77782,3.00 53,1,2024-09-07 08:31:30:772,208597,208597,0,0,98355210289,1032547770,205303,2707,587,367,391617,0 53,2,2024-09-07 08:31:31:300,151099,151099,0,0,6029371,0,2124 53,3,2024-09-07 08:31:30:697,1,119,9,0,133,980,119,0 54,0,2024-09-07 08:31:31:618,28871,1.3,29324,1.0,57838,0.6,78343,3.25 54,1,2024-09-07 08:31:30:579,209155,209155,0,0,98554607882,1031745681,205928,2683,544,367,391520,0 54,2,2024-09-07 08:31:30:867,150271,150265,6,0,7343238,0,5382 54,3,2024-09-07 08:31:30:762,1,119,7,0,676,2662,119,0 55,0,2024-09-07 08:31:31:762,28522,0.5,29389,0.7,59630,0.4,77474,2.25 55,1,2024-09-07 08:31:30:764,208430,208430,0,0,97734760371,1027822718,203911,3734,785,367,391731,0 55,2,2024-09-07 08:31:30:730,149582,149582,0,0,7251697,0,3275 55,3,2024-09-07 08:31:30:680,1,119,42,0,304,1407,119,0 56,0,2024-09-07 08:31:31:598,30737,1.5,29066,1.2,59690,2.0,80049,2.50 56,1,2024-09-07 08:31:30:577,208452,208452,0,0,98505765164,1047821839,203870,3732,850,382,391678,0 56,2,2024-09-07 08:31:31:306,150103,150103,0,0,8041826,0,3567 56,3,2024-09-07 08:31:31:059,1,119,1,0,297,1577,119,0 57,0,2024-09-07 08:31:30:975,28981,2.1,28888,1.4,58133,3.0,78067,3.75 57,1,2024-09-07 08:31:30:997,208821,208821,0,0,97406580390,1022681256,206354,2297,170,368,391773,0 57,2,2024-09-07 08:31:31:320,151327,151327,0,0,8017068,0,3178 57,3,2024-09-07 08:31:31:742,1,119,1,0,359,2416,119,0 58,0,2024-09-07 08:31:30:602,28549,0.9,27830,1.0,58339,0.9,76923,2.75 58,1,2024-09-07 08:31:30:576,209898,209895,0,3,98669481893,1030441429,207444,2223,228,369,391516,3 58,2,2024-09-07 08:31:31:078,150320,150320,0,0,7066541,0,2549 58,3,2024-09-07 08:31:31:077,1,119,3,0,219,1068,119,0 59,0,2024-09-07 08:31:31:742,29997,0.9,29608,1.0,59496,0.9,78952,2.75 59,1,2024-09-07 08:31:30:804,209044,209044,0,0,98034598307,1030096813,205679,2443,922,369,391515,0 59,2,2024-09-07 08:31:30:587,150495,150495,0,0,6579547,0,2604 59,3,2024-09-07 08:31:31:737,1,119,2,0,1015,2467,119,0 60,0,2024-09-07 08:31:31:718,30377,0.4,30465,0.6,60244,0.4,79991,1.75 60,1,2024-09-07 08:31:30:781,210593,210593,0,0,98293145419,1022095767,209299,941,353,370,391761,0 60,2,2024-09-07 08:31:31:166,150254,150254,0,0,7435913,0,3811 60,3,2024-09-07 08:31:31:258,1,119,1,0,124,1210,119,0 61,0,2024-09-07 08:31:31:498,29897,1.6,30103,1.2,60045,2.5,79901,2.25 61,1,2024-09-07 08:31:30:790,208776,208776,0,0,98360913679,1034435415,205927,2312,537,382,391589,0 61,2,2024-09-07 08:31:31:119,151255,151255,0,0,6519370,0,2079 61,3,2024-09-07 08:31:31:688,1,119,11,0,199,1593,119,0 62,0,2024-09-07 08:31:31:712,29648,1.5,30330,1.1,58041,2.6,79046,2.25 62,1,2024-09-07 08:31:31:115,210647,210641,0,6,98834798320,1022199469,209220,1383,38,365,391715,6 62,2,2024-09-07 08:31:31:645,149044,149043,1,0,7456375,0,5555 62,3,2024-09-07 08:31:31:143,1,119,5,0,287,1039,119,0 63,0,2024-09-07 08:31:31:457,29893,0.6,30011,0.7,59880,0.7,79590,2.00 63,1,2024-09-07 08:31:30:804,210183,210177,0,6,98415014749,1021634344,209072,1085,20,381,391542,6 63,2,2024-09-07 08:31:30:762,149681,149681,0,0,6113427,0,2674 63,3,2024-09-07 08:31:31:733,1,119,1,0,667,2056,119,0 64,0,2024-09-07 08:31:31:526,29906,0.4,29761,0.6,59729,0.4,79667,1.75 64,1,2024-09-07 08:31:30:751,208996,208996,0,0,97950824771,1031146230,205562,2382,1052,371,391783,0 64,2,2024-09-07 08:31:31:144,151581,151562,19,0,7149956,0,6121 64,3,2024-09-07 08:31:31:140,1,119,4,0,265,1623,119,0 65,0,2024-09-07 08:31:31:723,29071,1.5,29483,1.1,58935,1.9,78800,2.75 65,1,2024-09-07 08:31:30:872,208588,208588,0,0,97803864191,1026882072,206342,2024,222,382,391770,0 65,2,2024-09-07 08:31:31:701,150838,150838,0,0,7511065,0,3367 65,3,2024-09-07 08:31:31:689,1,119,15,0,163,1313,119,0 66,0,2024-09-07 08:31:31:764,29211,1.5,29290,1.2,58767,2.5,78300,3.50 66,1,2024-09-07 08:31:31:293,209404,209404,0,0,98425372274,1026248655,207623,1611,170,380,391588,0 66,2,2024-09-07 08:31:31:135,151547,151547,0,0,6709634,0,4956 66,3,2024-09-07 08:31:31:079,1,119,1,0,291,1357,119,0 67,0,2024-09-07 08:31:31:455,29492,0.8,29311,0.9,58649,0.9,78650,2.50 67,1,2024-09-07 08:31:30:767,208475,208474,0,1,98392832132,1035799749,205030,2698,746,381,391787,1 67,2,2024-09-07 08:31:30:593,150877,150877,0,0,6080880,0,2889 67,3,2024-09-07 08:31:31:750,1,119,1,0,138,1175,119,0 68,0,2024-09-07 08:31:30:607,29940,0.5,29823,0.7,59444,0.5,79194,2.00 68,1,2024-09-07 08:31:30:590,208392,208392,0,0,97517777567,1026861064,205907,1589,896,381,391953,0 68,2,2024-09-07 08:31:31:048,149659,149659,0,0,7245212,0,4883 68,3,2024-09-07 08:31:30:730,1,119,4,0,151,1307,119,0 69,0,2024-09-07 08:31:31:726,29915,1.0,30171,1.0,59925,1.3,79671,2.25 69,1,2024-09-07 08:31:31:020,208290,208290,0,0,98245972516,1041872412,205262,1943,1085,384,391611,0 69,2,2024-09-07 08:31:31:733,150080,150080,0,0,7855949,0,3701 69,3,2024-09-07 08:31:30:761,1,119,1,0,238,1629,119,0 70,0,2024-09-07 08:31:31:536,29191,2.2,29368,1.7,58930,1.2,78214,3.25 70,1,2024-09-07 08:31:30:800,209487,209487,0,0,99037828890,1032930975,207190,1836,461,367,391725,0 70,2,2024-09-07 08:31:31:333,150673,150673,0,0,7244204,0,4044 70,3,2024-09-07 08:31:30:745,1,119,1,0,178,846,119,0 71,0,2024-09-07 08:31:31:360,28775,1.5,29042,1.5,57614,2.1,77991,3.25 71,1,2024-09-07 08:31:31:598,209443,209443,0,0,98252400312,1029007307,206508,2539,396,368,391682,0 71,2,2024-09-07 08:31:31:078,150711,150711,0,0,6816751,0,2146 71,3,2024-09-07 08:31:31:749,1,119,8,0,279,1552,119,0 72,0,2024-09-07 08:31:31:049,30911,0.5,30130,0.8,59055,0.5,79980,2.25 72,1,2024-09-07 08:31:31:026,208922,208922,0,0,97834902546,1023942742,206136,2303,483,370,391819,0 72,2,2024-09-07 08:31:31:758,148990,148990,0,0,8536805,0,2570 72,3,2024-09-07 08:31:31:757,1,119,2,0,325,1886,119,0 73,0,2024-09-07 08:31:31:121,29429,0.4,30114,0.6,61606,0.3,79763,2.25 73,1,2024-09-07 08:31:30:769,209172,209172,0,0,97656907954,1015861907,207578,1480,114,368,391627,0 73,2,2024-09-07 08:31:31:747,150859,150859,0,0,7807906,0,3482 73,3,2024-09-07 08:31:30:973,1,119,3,0,274,1966,119,0 74,0,2024-09-07 08:31:31:330,30122,0.7,30563,0.9,58428,0.9,79437,2.75 74,1,2024-09-07 08:31:30:635,209216,209216,0,0,98191915725,1027013549,206778,1832,606,382,391681,0 74,2,2024-09-07 08:31:31:002,150597,150597,0,0,7968091,0,4253 74,3,2024-09-07 08:31:31:443,1,119,2,0,246,1766,119,0 75,0,2024-09-07 08:31:31:773,29353,0.7,29256,1.0,58498,0.7,79216,2.50 75,1,2024-09-07 08:31:31:585,209061,209061,0,0,98305184400,1029674006,206674,2023,364,381,391579,0 75,2,2024-09-07 08:31:31:353,149867,149867,0,0,7240848,0,4766 75,3,2024-09-07 08:31:31:077,1,119,1,0,535,1512,119,0 76,0,2024-09-07 08:31:30:591,29805,0.4,29600,0.7,59038,0.4,79352,2.25 76,1,2024-09-07 08:31:30:805,209021,209021,0,0,97713645818,1023239581,207110,1403,508,382,391530,0 76,2,2024-09-07 08:31:31:073,151021,151021,0,0,6386844,0,3064 76,3,2024-09-07 08:31:31:143,1,119,1,0,175,1507,119,0 77,0,2024-09-07 08:31:31:705,30209,0.6,30396,0.7,60909,0.6,79889,2.00 77,1,2024-09-07 08:31:30:824,209481,209481,0,0,97608569708,1020075872,208083,1317,81,383,391808,0 77,2,2024-09-07 08:31:31:287,150821,150821,0,0,6563613,0,3890 77,3,2024-09-07 08:31:31:100,1,119,5,0,305,1710,119,0 78,0,2024-09-07 08:31:31:735,29980,0.6,29924,0.8,60065,0.6,79456,2.25 78,1,2024-09-07 08:31:30:612,209609,209609,0,0,97466539763,1016043559,207850,1554,205,367,391589,0 78,2,2024-09-07 08:31:31:405,151153,151153,0,0,6324622,0,2114 78,3,2024-09-07 08:31:31:134,1,119,4,0,181,1435,119,0 79,0,2024-09-07 08:31:31:359,28441,0.6,29068,0.8,59584,0.6,78069,2.75 79,1,2024-09-07 08:31:30:573,209971,209971,0,0,98045987672,1019319153,207973,1827,171,369,391682,0 79,2,2024-09-07 08:31:31:090,150805,150805,0,0,6485941,0,3212 79,3,2024-09-07 08:31:30:756,1,119,1,0,306,1994,119,0 80,0,2024-09-07 08:31:31:105,29738,0.8,30556,0.9,58847,0.9,79597,2.50 80,1,2024-09-07 08:31:31:619,208502,208502,0,0,97975704813,1025474337,206054,2289,159,368,391673,0 80,2,2024-09-07 08:31:31:096,150651,150651,0,0,6847653,0,4433 80,3,2024-09-07 08:31:30:576,1,119,4,0,190,2135,119,0 81,0,2024-09-07 08:31:31:582,29934,0.5,30792,0.6,58898,0.5,79635,2.00 81,1,2024-09-07 08:31:31:679,208959,208959,0,0,97417855728,1023572374,206545,2142,272,382,391879,0 81,2,2024-09-07 08:31:31:134,149906,149906,0,0,7544800,0,3993 81,3,2024-09-07 08:31:31:116,1,119,12,0,193,1259,119,0 82,0,2024-09-07 08:31:31:543,29606,0.7,29728,0.9,59614,0.7,79385,2.00 82,1,2024-09-07 08:31:30:606,208902,208898,0,4,97847731156,1025195903,206521,1802,575,382,391558,4 82,2,2024-09-07 08:31:31:695,150957,150957,0,0,6715366,0,3986 82,3,2024-09-07 08:31:31:752,1,119,1,0,227,1715,119,0 83,0,2024-09-07 08:31:31:580,29397,1.1,29142,1.1,57840,1.3,77907,2.50 83,1,2024-09-07 08:31:30:552,209374,209374,0,0,98136407741,1028711988,207165,2023,186,383,391553,0 83,2,2024-09-07 08:31:30:764,150017,150017,0,0,6663954,0,3119 83,3,2024-09-07 08:31:30:749,1,119,2,0,133,1192,119,0 84,0,2024-09-07 08:31:31:780,29416,0.9,29154,1.1,58494,0.6,78886,2.50 84,1,2024-09-07 08:31:31:049,208100,208100,0,0,97898654944,1029907684,205181,2471,448,368,391852,0 84,2,2024-09-07 08:31:30:572,150459,150459,0,0,7072844,0,3801 84,3,2024-09-07 08:31:31:142,1,119,31,1,85,1174,119,0 85,0,2024-09-07 08:31:31:020,28482,0.5,28476,0.8,60445,0.5,78587,2.00 85,1,2024-09-07 08:31:30:559,208276,208276,0,0,97777963892,1036428454,204573,3228,475,382,391679,0 85,2,2024-09-07 08:31:30:868,150413,150413,0,0,7839076,0,3656 85,3,2024-09-07 08:31:30:685,1,119,12,0,115,1235,119,0 86,0,2024-09-07 08:31:30:902,29907,0.7,30866,0.7,59268,0.7,79911,2.00 86,1,2024-09-07 08:31:30:823,209147,209147,0,0,98424627824,1033200960,206247,2620,280,366,391961,0 86,2,2024-09-07 08:31:30:854,149818,149817,1,0,8235362,0,5004 86,3,2024-09-07 08:31:30:599,1,119,1,0,199,1490,119,0 87,0,2024-09-07 08:31:31:303,29371,2.1,29391,1.3,58797,3.4,79294,2.50 87,1,2024-09-07 08:31:30:563,208422,208422,0,0,97014339947,1019280617,206022,2260,140,366,391788,0 87,2,2024-09-07 08:31:31:118,151045,151045,0,0,6770174,0,3515 87,3,2024-09-07 08:31:31:799,1,119,8,0,335,2166,119,0 88,0,2024-09-07 08:31:31:455,29317,0.6,29538,0.7,58673,0.7,79080,1.75 88,1,2024-09-07 08:31:30:581,208041,208041,0,0,97452732311,1024965168,205282,1984,775,367,391787,0 88,2,2024-09-07 08:31:30:690,150251,150251,0,0,7704870,0,3583 88,3,2024-09-07 08:31:31:269,1,119,9,1,77,1291,119,0 89,0,2024-09-07 08:31:31:833,30849,0.5,29998,0.7,59364,0.5,80319,1.75 89,1,2024-09-07 08:31:30:560,208018,208018,0,0,97836797507,1039485668,203497,3648,873,382,391866,0 89,2,2024-09-07 08:31:31:133,150032,150032,0,0,7584491,0,2901 89,3,2024-09-07 08:31:31:800,1,119,1,0,325,2629,119,0 90,0,2024-09-07 08:31:31:637,29333,0.4,29879,0.6,61527,0.4,79858,1.75 90,1,2024-09-07 08:31:30:606,209416,209416,0,0,97294168374,1025169845,206690,2568,158,382,391825,0 90,2,2024-09-07 08:31:31:414,149430,149430,0,0,8347378,0,2635 90,3,2024-09-07 08:31:30:939,1,119,15,1,200,1380,119,0 91,0,2024-09-07 08:31:30:976,30456,0.5,29521,0.7,61177,0.5,80239,1.75 91,1,2024-09-07 08:31:30:556,208753,208753,0,0,98092254223,1034532059,205456,2804,493,381,391914,0 91,2,2024-09-07 08:31:31:333,149452,149452,0,0,8181391,0,2445 91,3,2024-09-07 08:31:30:603,1,119,2,0,155,1484,119,0 92,0,2024-09-07 08:31:31:546,29964,0.7,30626,0.8,58472,0.8,79394,1.75 92,1,2024-09-07 08:31:30:587,209120,209120,0,0,97290252802,1019643256,207212,1514,394,382,391717,0 92,2,2024-09-07 08:31:31:356,151662,151662,0,0,6499752,0,2279 92,3,2024-09-07 08:31:31:022,1,119,8,0,68,1159,119,0 93,0,2024-09-07 08:31:30:964,30148,0.5,30869,0.7,59234,0.5,79982,1.75 93,1,2024-09-07 08:31:30:812,209203,209203,0,0,98394864511,1031211666,206784,1973,446,366,391689,0 93,2,2024-09-07 08:31:30:929,150213,150213,0,0,6567652,0,2509 93,3,2024-09-07 08:31:31:410,1,119,8,0,143,1266,119,0 94,0,2024-09-07 08:31:31:781,29996,0.3,30243,0.5,60106,0.3,79532,1.75 94,1,2024-09-07 08:31:30:567,208977,208977,0,0,97514353423,1023980254,206873,2025,79,381,391850,0 94,2,2024-09-07 08:31:30:762,150304,150304,0,0,6511362,0,2443 94,3,2024-09-07 08:31:31:688,1,119,10,0,264,2096,119,0 95,0,2024-09-07 08:31:31:537,29841,0.4,29762,0.6,59846,0.4,79533,1.75 95,1,2024-09-07 08:31:30:850,209378,209378,0,0,97897835042,1017644006,208355,959,64,367,391600,0 95,2,2024-09-07 08:31:31:029,150474,150474,0,0,6774860,0,3308 95,3,2024-09-07 08:31:31:713,1,119,67,0,307,2027,119,0 96,0,2024-09-07 08:31:31:034,29824,1.0,29857,0.9,59496,1.3,79003,2.00 96,1,2024-09-07 08:31:31:601,208747,208747,0,0,97201534411,1023330112,206196,1910,641,385,391596,0 96,2,2024-09-07 08:31:31:268,150324,150324,0,0,7104954,0,4042 96,3,2024-09-07 08:31:31:141,1,119,1,0,188,1374,119,0 97,0,2024-09-07 08:31:31:331,29357,0.5,29333,0.6,58759,0.5,78815,1.75 97,1,2024-09-07 08:31:30:778,208975,208975,0,0,98556838757,1030997290,206231,2197,547,367,392140,0 97,2,2024-09-07 08:31:30:607,149935,149935,0,0,6578446,0,3036 97,3,2024-09-07 08:31:30:569,1,119,8,1,165,1517,119,0 98,0,2024-09-07 08:31:31:718,29852,0.3,29664,0.4,59763,0.2,79573,1.50 98,1,2024-09-07 08:31:30:571,209041,209041,0,0,97830618282,1024311391,207276,1681,84,382,391997,0 98,2,2024-09-07 08:31:30:770,151261,151261,0,0,6819052,0,3080 98,3,2024-09-07 08:31:30:698,1,119,66,1,840,2778,119,0 99,0,2024-09-07 08:31:31:459,30051,0.3,30127,0.5,60143,0.3,79929,1.75 99,1,2024-09-07 08:31:31:724,209544,209544,0,0,97118068111,1018255386,207067,1828,649,381,391744,0 99,2,2024-09-07 08:31:31:420,151754,151754,0,0,6699401,0,1973 99,3,2024-09-07 08:31:30:587,1,119,2,0,129,891,119,0 100,0,2024-09-07 08:31:31:480,29454,1.1,29505,1.7,58892,2.9,79067,2.25 100,1,2024-09-07 08:31:30:548,208163,208163,0,0,97485444432,1037588411,204030,3641,492,381,391989,0 100,2,2024-09-07 08:31:31:825,150192,150181,11,0,7812713,0,5417 100,3,2024-09-07 08:31:31:736,1,119,1,0,443,2488,119,0 101,0,2024-09-07 08:31:31:750,29739,2.4,28863,1.4,57007,2.0,79028,2.75 101,1,2024-09-07 08:31:30:569,208062,208062,0,0,98099796209,1042206602,203664,3040,1358,368,391709,0 101,2,2024-09-07 08:31:31:765,149916,149916,0,0,7980331,0,4644 101,3,2024-09-07 08:31:30:943,1,119,8,0,448,1579,119,0 102,0,2024-09-07 08:31:30:993,29159,0.7,30299,0.8,60789,0.5,79464,2.00 102,1,2024-09-07 08:31:31:163,208465,208465,0,0,97757905161,1030804376,205535,2413,517,369,391831,0 102,2,2024-09-07 08:31:31:736,150830,150830,0,0,6582385,0,2144 102,3,2024-09-07 08:31:31:616,1,119,1,0,410,1517,119,0 103,0,2024-09-07 08:31:31:655,30943,0.5,30908,0.6,58519,0.4,80105,1.75 103,1,2024-09-07 08:31:31:628,207977,207977,0,0,97721813910,1032505352,204770,2300,907,381,391680,0 103,2,2024-09-07 08:31:30:587,149790,149790,0,0,7041904,0,2104 103,3,2024-09-07 08:31:30:755,1,119,3,0,916,2610,119,0 104,0,2024-09-07 08:31:31:017,29728,1.1,29773,1.2,58592,1.2,79711,2.25 104,1,2024-09-07 08:31:31:599,209045,209045,0,0,97816472653,1033578646,205370,2980,695,366,391948,0 104,2,2024-09-07 08:31:31:669,149950,149950,0,0,7631816,0,3941 104,3,2024-09-07 08:31:31:432,1,119,14,1,1245,4634,119,0 105,0,2024-09-07 08:31:31:074,28991,1.2,28020,1.3,58433,2.0,78421,3.50 105,1,2024-09-07 08:31:30:553,209021,209021,0,0,98057074731,1033166775,205346,2913,762,367,391797,0 105,2,2024-09-07 08:31:31:329,149789,149789,0,0,6856454,0,3314 105,3,2024-09-07 08:31:31:310,1,119,1,1,399,2021,119,0 106,0,2024-09-07 08:31:30:993,28935,0.6,29640,0.9,60328,0.6,79076,2.00 106,1,2024-09-07 08:31:31:750,209052,209052,0,0,97508542938,1024770934,206174,2549,329,369,391767,0 106,2,2024-09-07 08:31:30:768,149711,149711,0,0,7108376,0,2795 106,3,2024-09-07 08:31:30:687,1,119,11,1,201,1381,119,0 107,0,2024-09-07 08:31:31:107,30382,0.4,30166,0.6,60196,0.4,80155,1.75 107,1,2024-09-07 08:31:30:595,208647,208647,0,0,97003069742,1023721406,205980,2443,224,381,392234,0 107,2,2024-09-07 08:31:31:293,150056,150055,1,0,7422303,0,5024 107,3,2024-09-07 08:31:31:765,1,119,1,0,353,1564,119,0 108,0,2024-09-07 08:31:31:908,30089,0.4,30256,0.7,59858,0.4,79804,1.75 108,1,2024-09-07 08:31:31:299,208904,208904,0,0,98142169512,1028572850,206610,2008,286,368,391857,0 108,2,2024-09-07 08:31:31:767,149820,149820,0,0,7174226,0,2647 108,3,2024-09-07 08:31:31:332,1,119,2,0,272,1804,119,0 109,0,2024-09-07 08:31:31:764,29484,0.5,29494,0.7,58744,0.6,79356,1.75 109,1,2024-09-07 08:31:30:592,208373,208373,0,0,98201647117,1035101548,205975,1937,461,383,391812,0 109,2,2024-09-07 08:31:30:928,149344,149344,0,0,7068664,0,3617 109,3,2024-09-07 08:31:31:140,1,119,8,1,249,1805,119,0 110,0,2024-09-07 08:31:31:759,30016,0.5,29168,0.8,61024,0.5,79706,1.75 110,1,2024-09-07 08:31:31:655,209408,209408,0,0,97458570335,1019195282,207068,1640,700,370,391667,0 110,2,2024-09-07 08:31:31:313,150483,150483,0,0,6516401,0,2915 110,3,2024-09-07 08:31:30:690,1,119,11,0,406,1858,119,0 111,0,2024-09-07 08:31:31:442,30052,0.2,29534,0.4,59282,0.2,79683,1.50 111,1,2024-09-07 08:31:31:001,209679,209679,0,0,98928722217,1029715473,208031,1316,332,382,391690,0 111,2,2024-09-07 08:31:31:118,150564,150564,0,0,7301577,0,2763 111,3,2024-09-07 08:31:30:913,1,119,1,0,300,1923,119,0 112,0,2024-09-07 08:31:30:919,29960,0.3,29838,0.5,59767,0.3,79598,1.50 112,1,2024-09-07 08:31:30:823,209231,209231,0,0,98604110960,1030041408,206915,1891,425,381,391580,0 112,2,2024-09-07 08:31:31:133,150388,150387,1,0,7254067,0,5036 112,3,2024-09-07 08:31:30:603,1,119,1,0,282,1490,119,0 113,0,2024-09-07 08:31:30:873,29133,0.5,28922,0.6,58776,0.4,78974,1.75 113,1,2024-09-07 08:31:31:702,209407,209407,0,0,98684512871,1026389138,207057,1862,488,368,391661,0 113,2,2024-09-07 08:31:31:302,151547,151547,0,0,6178773,0,3813 113,3,2024-09-07 08:31:30:684,1,119,180,0,288,2023,119,0 114,0,2024-09-07 08:31:30:883,29754,0.8,30252,0.7,59218,0.5,79446,2.00 114,1,2024-09-07 08:31:30:718,208816,208816,0,0,97841878558,1031870920,204761,2593,1462,381,391534,0 114,2,2024-09-07 08:31:30:881,150259,150259,0,0,6605265,0,3925 114,3,2024-09-07 08:31:31:278,1,119,1,0,395,1824,119,0 115,0,2024-09-07 08:31:30:562,29535,0.3,29831,0.4,59716,0.2,79331,1.50 115,1,2024-09-07 08:31:30:572,209353,209353,0,0,98766353206,1036133072,205775,2758,820,382,391602,0 115,2,2024-09-07 08:31:31:125,150738,150738,0,0,6151145,0,2152 115,3,2024-09-07 08:31:31:008,1,119,117,0,159,983,119,0 116,0,2024-09-07 08:31:31:742,30177,0.7,29772,0.9,59884,0.8,79883,2.00 116,1,2024-09-07 08:31:30:803,207887,207887,0,0,97762186935,1041609468,204103,2398,1386,382,391619,0 116,2,2024-09-07 08:31:31:751,149372,149372,0,0,8261589,0,3529 116,3,2024-09-07 08:31:30:915,1,119,7,0,252,1830,119,0 117,0,2024-09-07 08:31:30:967,29438,1.5,29549,1.2,58581,2.5,79337,2.00 117,1,2024-09-07 08:31:31:592,209101,209101,0,0,97691692405,1028979599,205968,2736,397,370,392033,0 117,2,2024-09-07 08:31:31:118,151999,151999,0,0,6681654,0,3700 117,3,2024-09-07 08:31:31:059,1,119,39,0,490,2737,119,0 118,0,2024-09-07 08:31:31:879,28646,0.8,29360,0.8,59942,0.9,78626,2.00 118,1,2024-09-07 08:31:30:586,208825,208825,0,0,97500728255,1027973531,205856,2545,424,368,391736,0 118,2,2024-09-07 08:31:31:587,150332,150332,0,0,7501334,0,2781 118,3,2024-09-07 08:31:31:780,1,119,4,0,235,1676,119,0 119,0,2024-09-07 08:31:31:345,29639,0.9,29759,0.9,60403,1.4,79637,2.00 119,1,2024-09-07 08:31:30:549,209153,209153,0,0,98092315777,1027219184,206917,1952,284,370,391641,0 119,2,2024-09-07 08:31:31:261,149057,149057,0,0,6373247,0,2532 119,3,2024-09-07 08:31:31:328,1,119,2,0,443,2507,119,0 120,0,2024-09-07 08:31:31:575,29889,0.5,29950,0.8,59792,0.5,79843,2.00 120,1,2024-09-07 08:31:30:875,209168,209168,0,0,97454967988,1023966749,207063,2060,45,368,391702,0 120,2,2024-09-07 08:31:30:770,149769,149768,1,0,8780162,0,5281 120,3,2024-09-07 08:31:31:290,1,119,6,0,241,1760,119,0 121,0,2024-09-07 08:31:31:720,29793,1.7,30236,1.2,60193,2.7,80063,2.25 121,1,2024-09-07 08:31:31:656,209016,209016,0,0,97716401032,1025499713,206511,2223,282,367,391840,0 121,2,2024-09-07 08:31:31:124,149798,149798,0,0,8210916,0,4127 121,3,2024-09-07 08:31:30:729,1,119,8,0,159,1500,119,0 122,0,2024-09-07 08:31:31:825,29351,1.5,28528,1.3,59735,1.7,79442,2.25 122,1,2024-09-07 08:31:30:864,208347,208347,0,0,97542636086,1029591191,204590,3096,661,366,392130,0 122,2,2024-09-07 08:31:31:425,150403,150403,0,0,8065398,0,3364 122,3,2024-09-07 08:31:30:602,1,119,6,0,298,2473,119,0 123,0,2024-09-07 08:31:31:008,30025,1.0,29201,0.9,61027,1.2,79726,2.25 123,1,2024-09-07 08:31:30:563,209633,209633,0,0,97936869340,1034080511,205754,3306,573,369,391823,0 123,2,2024-09-07 08:31:31:021,149210,149209,1,0,7387328,0,5215 123,3,2024-09-07 08:31:31:132,1,119,5,1,168,1783,119,0 124,0,2024-09-07 08:31:30:934,30917,0.3,30854,0.5,58300,0.2,79860,1.75 124,1,2024-09-07 08:31:31:023,209014,209014,0,0,98029939759,1021639984,207211,1451,352,367,392178,0 124,2,2024-09-07 08:31:31:010,151225,151225,0,0,6544734,0,3101 124,3,2024-09-07 08:31:30:759,1,119,0,0,490,2049,119,0 125,0,2024-09-07 08:31:31:439,29916,0.5,29804,0.6,59855,0.5,79565,1.75 125,1,2024-09-07 08:31:30:869,208558,208558,0,0,98282485877,1030268760,206286,1997,275,384,391702,0 125,2,2024-09-07 08:31:31:125,150866,150866,0,0,6485041,0,2180 125,3,2024-09-07 08:31:31:127,1,119,8,1,284,1708,119,0 126,0,2024-09-07 08:31:31:448,29772,0.9,30507,0.9,58516,1.0,79545,2.00 126,1,2024-09-07 08:31:30:554,209594,209594,0,0,98457007657,1024281330,208108,1410,76,365,391987,0 126,2,2024-09-07 08:31:30:628,151092,151092,0,0,6565544,0,3186 126,3,2024-09-07 08:31:30:915,1,119,3,0,136,1763,119,0 127,0,2024-09-07 08:31:31:645,29185,0.5,29513,0.6,58790,0.5,78913,1.75 127,1,2024-09-07 08:31:30:574,209304,209304,0,0,97799404819,1016858387,207562,1707,35,365,391816,0 127,2,2024-09-07 08:31:30:652,150486,150486,0,0,6022922,0,1803 127,3,2024-09-07 08:31:31:301,1,119,8,0,99,908,119,0 128,0,2024-09-07 08:31:31:527,30025,0.3,29930,0.5,59570,0.2,79437,1.50 128,1,2024-09-07 08:31:31:606,209492,209492,0,0,98440995596,1021952600,208637,784,71,367,391680,0 128,2,2024-09-07 08:31:31:384,149891,149891,0,0,6560464,0,2107 128,3,2024-09-07 08:31:30:770,1,119,177,0,247,1622,119,0 129,0,2024-09-07 08:31:31:013,30252,0.3,30221,0.5,60114,0.3,79840,1.75 129,1,2024-09-07 08:31:30:575,208497,208497,0,0,98516535429,1037098994,205606,2501,390,379,391835,0 129,2,2024-09-07 08:31:30:690,150272,150272,0,0,6672773,0,4031 129,3,2024-09-07 08:31:30:688,1,119,1,1,173,1640,119,0 130,0,2024-09-07 08:31:31:737,29981,1.1,29695,0.9,59579,1.6,79659,2.00 130,1,2024-09-07 08:31:30:602,208932,208932,0,0,98084163510,1027171196,206893,1971,68,381,391825,0 130,2,2024-09-07 08:31:31:125,150642,150642,0,0,6618110,0,4067 130,3,2024-09-07 08:31:31:302,1,119,2,0,207,1182,119,0 131,0,2024-09-07 08:31:31:988,29364,0.7,29363,0.8,59582,1.0,79114,2.00 131,1,2024-09-07 08:31:31:824,209961,209961,0,0,97549828950,1018908551,208575,1181,205,384,391865,0 131,2,2024-09-07 08:31:30:577,150908,150908,0,0,6135795,0,2415 131,3,2024-09-07 08:31:31:688,1,119,21,0,392,1457,119,0 132,0,2024-09-07 08:31:31:424,29584,0.5,30091,0.8,60181,0.5,79812,1.75 132,1,2024-09-07 08:31:30:578,208139,208139,0,0,97438580337,1033179984,204152,3192,795,381,391719,0 132,2,2024-09-07 08:31:30:700,149471,149471,0,0,8878140,0,4606 132,3,2024-09-07 08:31:31:689,1,119,5,0,356,2033,119,0 133,0,2024-09-07 08:31:31:532,29332,0.5,29921,0.6,61527,0.4,79403,1.75 133,1,2024-09-07 08:31:30:605,207594,207594,0,0,97245079033,1030224550,204158,3204,232,383,391755,0 133,2,2024-09-07 08:31:31:093,149828,149828,0,0,8567106,0,4315 133,3,2024-09-07 08:31:31:297,1,119,4,1,187,1142,119,0 134,0,2024-09-07 08:31:31:046,29804,0.7,29687,0.9,59850,0.8,79457,2.00 134,1,2024-09-07 08:31:30:650,208124,208124,0,0,97435685869,1026660182,204329,2621,1174,366,391718,0 134,2,2024-09-07 08:31:31:758,150294,150294,0,0,7112136,0,3096 134,3,2024-09-07 08:31:30:787,1,119,8,0,739,2471,119,0 135,0,2024-09-07 08:31:31:157,28608,0.9,28524,1.1,60211,1.1,78288,2.25 135,1,2024-09-07 08:31:31:588,208251,208251,0,0,97796755337,1034087331,204555,2996,700,380,391725,0 135,2,2024-09-07 08:31:30:689,150687,150687,0,0,7397960,0,3981 135,3,2024-09-07 08:31:31:016,1,119,5,0,89,768,119,0 136,0,2024-09-07 08:31:31:626,30163,0.6,29949,0.8,60355,0.6,80135,2.00 136,1,2024-09-07 08:31:31:442,208358,208358,0,0,97663698046,1026294335,205647,2546,165,384,391641,0 136,2,2024-09-07 08:31:31:136,151010,151010,0,0,7017092,0,3506 136,3,2024-09-07 08:31:31:110,1,119,4,0,108,1075,119,0 137,0,2024-09-07 08:31:30:925,30953,0.5,30139,0.7,59802,0.5,80335,2.00 137,1,2024-09-07 08:31:30:575,208827,208827,0,0,98124019373,1027898487,206202,2446,179,367,391608,0 137,2,2024-09-07 08:31:31:709,149940,149940,0,0,8704104,0,3185 137,3,2024-09-07 08:31:30:770,1,119,12,1,227,1552,119,0 138,0,2024-09-07 08:31:31:776,29437,2.0,29620,1.4,59774,3.2,79799,2.50 138,1,2024-09-07 08:31:31:691,209066,209066,0,0,98469819573,1033665362,206169,2549,348,369,391954,0 138,2,2024-09-07 08:31:30:593,150962,150962,0,0,7021943,0,3263 138,3,2024-09-07 08:31:30:612,1,119,11,0,1160,2320,119,0 139,0,2024-09-07 08:31:31:419,28637,3.4,28668,1.9,58226,4.7,78395,3.25 139,1,2024-09-07 08:31:30:578,208376,208376,0,0,97342422739,1036922050,204074,3104,1198,381,391892,0 139,2,2024-09-07 08:31:30:703,148777,148777,0,0,7878879,0,3097 139,3,2024-09-07 08:31:31:672,1,119,10,0,244,1616,119,0 140,0,2024-09-07 08:31:31:590,30116,0.4,29973,0.6,60078,0.4,79801,1.75 140,1,2024-09-07 08:31:31:535,209755,209755,0,0,99074599150,1024153925,208606,934,215,365,391606,0 140,2,2024-09-07 08:31:30:687,151012,151012,0,0,6985128,0,3388 140,3,2024-09-07 08:31:30:770,1,119,1,0,155,942,119,0 141,0,2024-09-07 08:31:31:705,29595,0.2,30605,0.4,58698,0.2,79704,1.50 141,1,2024-09-07 08:31:30:859,209602,209602,0,0,98513567691,1025851605,207876,1374,352,379,391539,0 141,2,2024-09-07 08:31:31:688,150393,150393,0,0,6337934,0,2342 141,3,2024-09-07 08:31:31:049,1,119,13,0,147,1029,119,0 142,0,2024-09-07 08:31:31:332,30265,0.3,29883,0.5,59462,0.3,79768,1.75 142,1,2024-09-07 08:31:30:589,209510,209510,0,0,98248390537,1025350770,208404,973,133,384,391649,0 142,2,2024-09-07 08:31:31:300,149247,149215,32,0,7786277,0,6028 142,3,2024-09-07 08:31:31:747,1,119,3,0,484,1989,119,0 143,0,2024-09-07 08:31:31:415,29347,0.8,29399,0.8,59211,1.0,78959,2.00 143,1,2024-09-07 08:31:30:596,209119,209119,0,0,98087854370,1022301407,207102,1977,40,367,391619,0 143,2,2024-09-07 08:31:30:769,150151,150151,0,0,6789312,0,2669 143,3,2024-09-07 08:31:31:147,1,119,1,0,303,2185,119,0 144,0,2024-09-07 08:31:31:511,28611,0.6,29404,1.3,59675,0.5,79085,2.00 144,1,2024-09-07 08:31:30:566,208255,208255,0,0,97414542521,1026669171,206048,2006,201,381,391638,0 144,2,2024-09-07 08:31:31:758,150803,150803,0,0,6531817,0,3473 144,3,2024-09-07 08:31:31:742,1,119,4,0,249,1848,119,0 145,0,2024-09-07 08:31:31:379,28616,0.6,28561,0.8,60511,0.5,79125,2.00 145,1,2024-09-07 08:31:30:558,207431,207431,0,0,97480404055,1033076815,203533,3166,732,383,391615,0 145,2,2024-09-07 08:31:31:430,150337,150337,0,0,7352199,0,3903 145,3,2024-09-07 08:31:30:895,1,119,3,0,151,1509,119,0 146,0,2024-09-07 08:31:31:610,29919,0.5,29752,0.7,60024,0.5,79391,2.00 146,1,2024-09-07 08:31:31:585,209407,209407,0,0,98310482081,1036932454,205298,3314,795,368,391600,0 146,2,2024-09-07 08:31:31:699,149963,149963,0,0,6916430,0,2379 146,3,2024-09-07 08:31:31:282,1,119,1,0,1520,4502,119,0 147,0,2024-09-07 08:31:31:720,29442,1.9,29317,1.3,58023,2.8,79222,2.75 147,1,2024-09-07 08:31:31:382,209885,209885,0,0,98518023254,1027876198,207595,1899,391,368,391791,0 147,2,2024-09-07 08:31:31:020,151270,151270,0,0,6711610,0,2789 147,3,2024-09-07 08:31:30:915,1,119,1,0,371,1631,119,0 0,0,2024-09-07 08:31:41:730,29233,0.5,29294,0.7,61959,0.5,80115,1.75 0,1,2024-09-07 08:31:40:801,210317,210317,0,0,98739405207,1037386366,208755,1433,129,372,391692,0 0,2,2024-09-07 08:31:41:072,151417,151417,0,0,7029658,0,4480 0,3,2024-09-07 08:31:40:974,1,120,1,0,247,1840,120,0 1,0,2024-09-07 08:31:41:756,30201,2.0,29857,1.5,60126,2.7,79890,2.50 1,1,2024-09-07 08:31:40:575,209657,209657,0,0,98047890282,1036150561,206242,2553,862,372,391857,0 1,2,2024-09-07 08:31:40:640,151363,151363,0,0,6620041,0,3267 1,3,2024-09-07 08:31:41:302,1,120,13,0,262,1634,120,0 2,0,2024-09-07 08:31:41:571,29604,1.2,29773,1.2,59079,1.6,79542,2.50 2,1,2024-09-07 08:31:40:859,210428,210428,0,0,99047010053,1033647986,209032,1190,206,380,391558,0 2,2,2024-09-07 08:31:41:270,150617,150617,0,0,6885747,0,3594 2,3,2024-09-07 08:31:40:690,1,120,1,0,357,1507,120,0 3,0,2024-09-07 08:31:41:752,29876,0.6,29889,0.8,59939,0.6,79191,2.00 3,1,2024-09-07 08:31:41:634,210046,210046,0,0,99095248802,1040123784,206962,2661,423,382,391516,0 3,2,2024-09-07 08:31:41:160,151483,151460,23,0,7210349,0,5851 3,3,2024-09-07 08:31:41:754,1,120,2,0,103,874,120,0 4,0,2024-09-07 08:31:41:785,29416,0.3,30085,0.5,61073,0.2,80286,1.75 4,1,2024-09-07 08:31:40:604,210508,210508,0,0,98318252591,1038637523,207825,2163,520,371,391846,0 4,2,2024-09-07 08:31:41:024,150795,150795,0,0,8560176,0,4528 4,3,2024-09-07 08:31:41:027,1,120,5,0,287,1948,120,0 5,0,2024-09-07 08:31:41:378,30183,0.6,30040,0.7,60294,0.7,80266,2.00 5,1,2024-09-07 08:31:40:755,209770,209770,0,0,98935867606,1048289792,205772,3013,985,368,392005,0 5,2,2024-09-07 08:31:41:829,151436,151436,0,0,7249353,0,2259 5,3,2024-09-07 08:31:41:734,1,120,16,0,238,2082,120,0 6,0,2024-09-07 08:31:40:921,30174,1.4,29861,1.2,59376,2.2,80020,2.75 6,1,2024-09-07 08:31:40:748,211046,211046,0,0,98606952344,1030901471,209430,1541,75,381,391603,0 6,2,2024-09-07 08:31:41:116,151873,151873,0,0,6609315,0,2411 6,3,2024-09-07 08:31:41:274,1,120,201,0,340,2029,120,0 7,0,2024-09-07 08:31:41:535,29190,0.5,29291,0.8,58708,0.5,78877,2.00 7,1,2024-09-07 08:31:40:858,210937,210937,0,0,99045402270,1038795300,208752,2089,96,383,391664,0 7,2,2024-09-07 08:31:40:772,150947,150947,0,0,6375480,0,2981 7,3,2024-09-07 08:31:40:853,1,120,1,0,305,1349,120,0 8,0,2024-09-07 08:31:41:324,29914,0.3,30228,0.4,59662,0.2,80270,1.50 8,1,2024-09-07 08:31:41:023,210396,210396,0,0,98699347569,1045258049,206392,2719,1285,368,391956,0 8,2,2024-09-07 08:31:40:791,150334,150334,0,0,7817181,0,2986 8,3,2024-09-07 08:31:40:585,1,120,4,0,357,1916,120,0 9,0,2024-09-07 08:31:41:103,30169,0.4,29443,0.5,61371,0.3,80126,1.75 9,1,2024-09-07 08:31:40:576,210260,210260,0,0,99176419343,1049794017,206483,2769,1008,370,391738,0 9,2,2024-09-07 08:31:41:083,151110,151110,0,0,7647939,0,3360 9,3,2024-09-07 08:31:41:754,1,120,9,0,496,1970,120,0 10,0,2024-09-07 08:31:41:611,29896,0.5,29852,0.7,59808,0.4,79851,1.75 10,1,2024-09-07 08:31:40:584,210676,210676,0,0,98386879863,1035822821,207014,3138,524,381,391741,0 10,2,2024-09-07 08:31:40:770,151782,151782,0,0,7132505,0,2940 10,3,2024-09-07 08:31:40:872,1,120,1,0,136,915,120,0 11,0,2024-09-07 08:31:41:009,29180,0.4,28497,0.7,59786,0.4,80160,2.00 11,1,2024-09-07 08:31:40:572,210152,210152,0,0,98561061819,1041111614,205656,3335,1161,384,391537,0 11,2,2024-09-07 08:31:41:123,151484,151484,0,0,7045073,0,3411 11,3,2024-09-07 08:31:41:298,1,120,1,0,720,2731,120,0 12,0,2024-09-07 08:31:40:967,30387,0.3,30204,0.5,60649,0.3,80272,1.50 12,1,2024-09-07 08:31:40:934,210385,210385,0,0,98468981702,1034432697,207579,2396,410,371,391837,0 12,2,2024-09-07 08:31:41:540,152106,152106,0,0,7635857,0,3469 12,3,2024-09-07 08:31:41:060,1,120,1,0,358,2339,120,0 13,0,2024-09-07 08:31:41:333,30279,0.4,30378,0.5,60526,0.4,80218,1.75 13,1,2024-09-07 08:31:41:527,211007,211007,0,0,98588188293,1037533073,209076,1555,376,384,391717,0 13,2,2024-09-07 08:31:40:610,151825,151825,0,0,6949016,0,3287 13,3,2024-09-07 08:31:41:766,1,120,11,1,416,2300,120,0 14,0,2024-09-07 08:31:40:580,30061,0.6,30223,0.8,59872,0.6,79587,2.00 14,1,2024-09-07 08:31:41:567,212080,212080,0,0,99419048427,1034464473,210211,1707,162,364,391571,0 14,2,2024-09-07 08:31:40:764,151469,151469,0,0,7114531,0,2896 14,3,2024-09-07 08:31:41:115,1,120,2,0,1168,2667,120,0 15,0,2024-09-07 08:31:41:563,29817,0.6,29686,0.8,59416,0.6,79774,2.00 15,1,2024-09-07 08:31:41:609,210321,210321,0,0,98412533973,1026678099,208955,1306,60,381,391536,0 15,2,2024-09-07 08:31:40:998,152141,152141,0,0,5791905,0,3043 15,3,2024-09-07 08:31:41:406,1,120,1,0,1126,4023,120,0 16,0,2024-09-07 08:31:40:985,29942,0.6,30362,0.8,60054,0.7,80230,2.25 16,1,2024-09-07 08:31:40:578,210743,210743,0,0,98823051499,1038100062,208619,1865,259,372,391756,0 16,2,2024-09-07 08:31:41:437,150430,150430,0,0,7772677,0,4719 16,3,2024-09-07 08:31:41:145,1,120,11,0,231,1761,120,0 17,0,2024-09-07 08:31:41:847,31296,0.5,30477,0.7,59836,0.4,80512,2.00 17,1,2024-09-07 08:31:40:577,209824,209824,0,0,98560394677,1043858313,206508,2645,671,369,391688,0 17,2,2024-09-07 08:31:41:667,152741,152741,0,0,7307903,0,2857 17,3,2024-09-07 08:31:40:580,1,120,10,0,268,2012,120,0 18,0,2024-09-07 08:31:40:942,29436,1.1,29857,1.1,59918,1.3,79690,2.50 18,1,2024-09-07 08:31:41:639,210364,210364,0,0,98364433030,1025448798,208515,1615,234,368,391564,0 18,2,2024-09-07 08:31:41:755,151628,151628,0,0,7269385,0,3541 18,3,2024-09-07 08:31:40:896,1,120,1,0,163,1421,120,0 19,0,2024-09-07 08:31:41:552,29465,1.3,29670,1.2,58722,1.6,78634,3.50 19,1,2024-09-07 08:31:40:573,210785,210785,0,0,99356669837,1041764882,207330,2763,692,367,391777,0 19,2,2024-09-07 08:31:41:755,152041,152041,0,0,6366629,0,3988 19,3,2024-09-07 08:31:41:130,1,120,8,0,524,1400,120,0 20,0,2024-09-07 08:31:41:345,30104,0.9,30024,0.9,60072,1.0,79913,2.50 20,1,2024-09-07 08:31:40:575,210738,210738,0,0,98749168040,1037095650,208434,2098,206,370,391822,0 20,2,2024-09-07 08:31:40:938,151310,151310,0,0,6965073,0,3721 20,3,2024-09-07 08:31:40:590,1,120,1,0,414,2641,120,0 21,0,2024-09-07 08:31:41:134,30470,0.4,30268,0.5,60389,0.3,80202,1.75 21,1,2024-09-07 08:31:41:535,209845,209845,0,0,98163530621,1037537472,206818,2504,523,368,391962,0 21,2,2024-09-07 08:31:41:071,151855,151855,0,0,7254010,0,3747 21,3,2024-09-07 08:31:41:404,1,120,11,0,103,1669,120,0 22,0,2024-09-07 08:31:41:722,29791,1.0,29808,1.0,59326,1.1,79297,2.50 22,1,2024-09-07 08:31:41:024,210247,210247,0,0,98595921632,1045840492,205455,3386,1406,382,391667,0 22,2,2024-09-07 08:31:40:764,151708,151708,0,0,6718103,0,3134 22,3,2024-09-07 08:31:41:066,1,120,1,0,228,1396,120,0 23,0,2024-09-07 08:31:41:384,29480,1.2,29750,1.0,59631,1.7,79685,2.50 23,1,2024-09-07 08:31:41:003,211690,211690,0,0,99349983065,1038637690,209148,1963,579,365,391549,0 23,2,2024-09-07 08:31:41:092,151549,151549,0,0,6460446,0,2701 23,3,2024-09-07 08:31:41:754,1,120,0,0,645,1684,120,0 24,0,2024-09-07 08:31:40:823,30137,0.5,30115,0.6,60359,0.5,80055,1.75 24,1,2024-09-07 08:31:40:604,210392,210392,0,0,98580751201,1034916277,207988,1639,765,369,391640,0 24,2,2024-09-07 08:31:41:086,151109,151109,0,0,7931118,0,2942 24,3,2024-09-07 08:31:41:687,1,120,1,0,234,1532,120,0 25,0,2024-09-07 08:31:41:342,30838,0.4,30127,0.6,58861,0.4,80534,1.75 25,1,2024-09-07 08:31:40:575,210384,210384,0,0,98649434037,1038446982,207455,2485,444,371,391788,0 25,2,2024-09-07 08:31:41:614,150804,150804,0,0,8138285,0,3978 25,3,2024-09-07 08:31:41:000,1,120,14,0,158,1412,120,0 26,0,2024-09-07 08:31:41:738,29890,0.4,29245,0.6,61393,0.3,80271,1.75 26,1,2024-09-07 08:31:41:541,211129,211129,0,0,98033095062,1033235620,207210,3075,844,381,391564,0 26,2,2024-09-07 08:31:40:862,151428,151428,0,0,7302852,0,2809 26,3,2024-09-07 08:31:41:712,1,120,1,0,796,1842,120,0 27,0,2024-09-07 08:31:41:731,29969,0.6,30260,0.7,59810,0.5,80340,1.75 27,1,2024-09-07 08:31:41:678,211420,211420,0,0,99260094976,1033422091,209675,1422,323,381,391539,0 27,2,2024-09-07 08:31:40:867,150658,150658,0,0,7993053,0,3409 27,3,2024-09-07 08:31:41:015,1,120,3,0,564,1527,120,0 28,0,2024-09-07 08:31:41:397,29600,0.6,29890,0.8,59839,0.8,80194,2.25 28,1,2024-09-07 08:31:40:805,210967,210967,0,0,99445360747,1037770292,209347,1409,211,383,391646,0 28,2,2024-09-07 08:31:41:769,151857,151857,0,0,5981781,0,2915 28,3,2024-09-07 08:31:41:778,1,120,0,0,502,1413,120,0 29,0,2024-09-07 08:31:41:365,30815,0.4,30095,0.6,58884,0.4,80483,1.75 29,1,2024-09-07 08:31:41:563,211660,211660,0,0,98563981036,1025174820,209553,1577,530,369,391621,0 29,2,2024-09-07 08:31:40:861,151520,151520,0,0,6017589,0,4018 29,3,2024-09-07 08:31:40:965,1,120,8,0,105,993,120,0 30,0,2024-09-07 08:31:41:464,30109,0.7,29264,0.8,61216,0.7,80146,2.25 30,1,2024-09-07 08:31:40:574,211081,211081,0,0,99413079890,1037298274,209209,1594,278,382,391672,0 30,2,2024-09-07 08:31:41:274,152075,152075,0,0,6235588,0,3161 30,3,2024-09-07 08:31:40:581,1,120,2,0,195,927,120,0 31,0,2024-09-07 08:31:41:766,29778,0.8,29863,0.9,60407,0.9,79580,2.25 31,1,2024-09-07 08:31:40:564,212286,212286,0,0,99942649342,1025013330,211925,359,2,356,391553,0 31,2,2024-09-07 08:31:41:275,151534,151534,0,0,7608932,0,3525 31,3,2024-09-07 08:31:41:711,1,120,12,0,220,1087,120,0 32,0,2024-09-07 08:31:41:455,29872,0.7,30144,0.8,60179,0.7,80315,1.75 32,1,2024-09-07 08:31:40:806,211574,211574,0,0,98912595025,1031316111,209841,1458,275,382,391595,0 32,2,2024-09-07 08:31:40:940,151473,151473,0,0,6131552,0,3155 32,3,2024-09-07 08:31:41:015,1,120,1,0,227,1293,120,0 33,0,2024-09-07 08:31:41:533,30336,0.4,29987,0.6,60554,0.4,80144,1.75 33,1,2024-09-07 08:31:40:581,211725,211725,0,0,99244457725,1032547545,209588,1965,172,369,391730,0 33,2,2024-09-07 08:31:40:758,151463,151430,33,0,8143294,0,7012 33,3,2024-09-07 08:31:40:895,1,120,8,0,329,1497,120,0 34,0,2024-09-07 08:31:40:931,30209,0.3,31213,0.5,59816,0.2,80178,1.75 34,1,2024-09-07 08:31:41:047,211320,211320,0,0,99555033786,1028194134,210489,826,5,367,391547,0 34,2,2024-09-07 08:31:40:771,151165,151165,0,0,7035965,0,3255 34,3,2024-09-07 08:31:41:688,1,120,4,0,148,869,120,0 35,0,2024-09-07 08:31:40:859,29801,0.6,30201,0.7,60012,0.5,80388,1.75 35,1,2024-09-07 08:31:41:067,210497,210497,0,0,98789430700,1032370362,207725,2003,769,384,391587,0 35,2,2024-09-07 08:31:41:584,151391,151391,0,0,6468321,0,2653 35,3,2024-09-07 08:31:40:907,1,120,418,0,418,1824,120,0 36,0,2024-09-07 08:31:41:560,29901,1.1,29855,1.1,60248,1.2,79868,2.50 36,1,2024-09-07 08:31:40:600,210429,210429,0,0,98454816742,1034063194,207019,2478,932,366,391759,0 36,2,2024-09-07 08:31:41:758,150730,150730,0,0,7472942,0,3303 36,3,2024-09-07 08:31:40:865,1,120,2,0,378,1255,120,0 37,0,2024-09-07 08:31:41:376,29437,0.5,29633,0.8,58599,0.5,79654,2.00 37,1,2024-09-07 08:31:40:570,210137,210130,0,7,98204470377,1037661243,206263,2272,1595,365,391518,0 37,2,2024-09-07 08:31:41:156,151898,151898,0,0,6476651,0,2333 37,3,2024-09-07 08:31:41:778,1,120,9,0,724,2298,120,0 38,0,2024-09-07 08:31:41:475,29910,0.4,29026,0.6,60613,0.4,79740,2.00 38,1,2024-09-07 08:31:41:619,211284,211284,0,0,98602766015,1034785154,207952,2768,564,368,391821,0 38,2,2024-09-07 08:31:40:764,151995,151995,0,0,7617535,0,3245 38,3,2024-09-07 08:31:40:997,1,120,17,0,603,1948,120,0 39,0,2024-09-07 08:31:41:767,30972,0.7,30214,0.8,59181,0.9,80423,2.00 39,1,2024-09-07 08:31:40:717,210979,210979,0,0,98908213900,1035650693,207809,2496,674,365,391524,0 39,2,2024-09-07 08:31:41:417,151758,151758,0,0,6567026,0,2689 39,3,2024-09-07 08:31:40:713,1,120,22,0,276,1751,120,0 40,0,2024-09-07 08:31:41:494,29241,1.1,29876,1.5,59220,1.5,79265,3.25 40,1,2024-09-07 08:31:40:577,210703,210703,0,0,98105841374,1032142961,206760,3178,765,369,391591,0 40,2,2024-09-07 08:31:41:309,151391,151390,1,0,8247594,0,5137 40,3,2024-09-07 08:31:41:142,1,120,8,0,181,1407,120,0 41,0,2024-09-07 08:31:41:033,29186,1.3,29930,1.5,57176,2.6,78782,3.75 41,1,2024-09-07 08:31:40:785,211098,211098,0,0,98924148032,1039275159,207517,3064,517,370,391742,0 41,2,2024-09-07 08:31:40:770,150942,150942,0,0,7733682,0,3356 41,3,2024-09-07 08:31:41:676,1,120,8,0,366,1587,120,0 42,0,2024-09-07 08:31:41:482,29551,0.6,29822,0.9,59335,0.6,78556,2.25 42,1,2024-09-07 08:31:41:439,209892,209892,0,0,98506959195,1037658535,206349,2707,836,380,391675,0 42,2,2024-09-07 08:31:41:138,150774,150774,0,0,8033272,0,3568 42,3,2024-09-07 08:31:41:009,1,120,1,0,100,874,120,0 43,0,2024-09-07 08:31:40:929,30214,0.8,29364,1.0,61320,0.8,80286,2.25 43,1,2024-09-07 08:31:40:596,210283,210283,0,0,98839759126,1033104455,207642,1889,752,366,391604,0 43,2,2024-09-07 08:31:41:741,151788,151788,0,0,7473584,0,3812 43,3,2024-09-07 08:31:41:754,1,120,1,0,325,1887,120,0 44,0,2024-09-07 08:31:40:862,30207,0.6,30066,0.9,60275,0.6,80254,2.00 44,1,2024-09-07 08:31:40:573,211522,211522,0,0,98493369889,1019668160,210091,1302,129,356,391809,0 44,2,2024-09-07 08:31:41:273,151252,151252,0,0,6101798,0,1877 44,3,2024-09-07 08:31:41:093,1,120,3,0,817,1510,120,0 45,0,2024-09-07 08:31:41:810,29338,0.8,28734,1.0,60329,0.9,80320,2.00 45,1,2024-09-07 08:31:41:017,211062,211062,0,0,98814724671,1027204930,210063,990,9,382,391917,0 45,2,2024-09-07 08:31:41:284,152258,152258,0,0,6605183,0,3596 45,3,2024-09-07 08:31:40:955,1,120,20,0,226,1167,120,0 46,0,2024-09-07 08:31:40:957,29924,0.4,29856,0.6,59978,0.3,79380,1.75 46,1,2024-09-07 08:31:40:581,211582,211582,0,0,98924469073,1025145726,210131,1345,106,366,391514,0 46,2,2024-09-07 08:31:40:601,151893,151893,0,0,6456054,0,2920 46,3,2024-09-07 08:31:41:131,1,120,1,0,363,1893,120,0 47,0,2024-09-07 08:31:41:112,30318,0.3,30496,0.5,61201,0.3,80280,1.75 47,1,2024-09-07 08:31:40:567,211607,211607,0,0,99377513058,1027566171,210597,1000,10,366,391605,0 47,2,2024-09-07 08:31:40:911,152355,152355,0,0,6830426,0,2558 47,3,2024-09-07 08:31:41:115,1,120,2,0,529,1398,120,0 48,0,2024-09-07 08:31:41:493,30345,0.3,30148,0.5,60305,0.3,80170,1.75 48,1,2024-09-07 08:31:41:024,211086,211086,0,0,99487211888,1038290775,209098,1809,179,384,391710,0 48,2,2024-09-07 08:31:40:699,151310,151310,0,0,5790259,0,2083 48,3,2024-09-07 08:31:40:756,1,120,1,0,339,1222,120,0 49,0,2024-09-07 08:31:41:734,30588,0.6,29871,0.8,58440,0.7,80439,2.00 49,1,2024-09-07 08:31:41:034,210261,210261,0,0,98852794497,1036857521,207568,1853,840,382,391583,0 49,2,2024-09-07 08:31:41:804,151755,151755,0,0,6671428,0,3900 49,3,2024-09-07 08:31:41:429,1,120,9,0,408,1609,120,0 50,0,2024-09-07 08:31:41:511,30170,0.4,29607,0.6,59550,0.3,79626,1.75 50,1,2024-09-07 08:31:41:010,211893,211893,0,0,99497386850,1036836370,209581,2004,308,368,391530,0 50,2,2024-09-07 08:31:41:067,151117,151117,0,0,6368334,0,2253 50,3,2024-09-07 08:31:41:291,1,120,5,0,335,1134,120,0 51,0,2024-09-07 08:31:41:686,30904,0.3,30291,0.5,59159,0.2,80588,1.75 51,1,2024-09-07 08:31:41:681,211080,211080,0,0,100026678698,1038912146,209131,1165,784,367,391637,0 51,2,2024-09-07 08:31:41:316,151748,151748,0,0,5682782,0,2448 51,3,2024-09-07 08:31:41:031,1,120,0,0,162,769,120,0 52,0,2024-09-07 08:31:41:434,30081,0.6,30169,0.8,60459,0.7,79693,2.25 52,1,2024-09-07 08:31:40:593,210742,210742,0,0,98447249027,1038036940,206778,3256,708,368,391722,0 52,2,2024-09-07 08:31:41:756,150478,150478,0,0,7373367,0,4779 52,3,2024-09-07 08:31:40:674,1,120,1,0,1782,2809,120,0 53,0,2024-09-07 08:31:41:741,29548,1.3,28600,1.2,59772,1.5,78811,3.00 53,1,2024-09-07 08:31:40:772,210236,210236,0,0,99043018141,1039835385,206942,2707,587,367,391617,0 53,2,2024-09-07 08:31:41:298,152112,152112,0,0,6127729,0,2124 53,3,2024-09-07 08:31:40:697,1,120,10,0,133,990,120,0 54,0,2024-09-07 08:31:41:625,29169,1.2,29574,1.0,58387,0.5,78931,3.25 54,1,2024-09-07 08:31:40:582,210828,210828,0,0,99280542763,1039398310,207601,2683,544,367,391520,0 54,2,2024-09-07 08:31:40:870,151700,151694,6,0,7440142,0,5382 54,3,2024-09-07 08:31:40:763,1,120,1,0,676,2663,120,0 55,0,2024-09-07 08:31:41:763,28836,0.5,29692,0.7,60345,0.4,78367,2.25 55,1,2024-09-07 08:31:40:765,210208,210208,0,0,98778995396,1038990216,205672,3751,785,367,391731,0 55,2,2024-09-07 08:31:40:729,150920,150920,0,0,7487939,0,3275 55,3,2024-09-07 08:31:40:674,1,120,1,0,304,1408,120,0 56,0,2024-09-07 08:31:41:582,30926,1.5,29241,1.2,60038,2.0,80524,2.50 56,1,2024-09-07 08:31:40:570,210195,210195,0,0,99118347743,1054155406,205612,3733,850,382,391678,0 56,2,2024-09-07 08:31:41:318,151466,151466,0,0,8083918,0,3567 56,3,2024-09-07 08:31:41:062,1,120,3,0,297,1580,120,0 57,0,2024-09-07 08:31:40:956,29321,2.0,29239,1.4,58810,2.9,78998,3.75 57,1,2024-09-07 08:31:40:986,210555,210555,0,0,98176151803,1030601070,208088,2297,170,368,391773,0 57,2,2024-09-07 08:31:41:317,151969,151969,0,0,8029371,0,3178 57,3,2024-09-07 08:31:41:740,1,120,19,0,359,2435,120,0 58,0,2024-09-07 08:31:40:564,28949,0.9,28273,1.0,59189,0.9,78079,2.50 58,1,2024-09-07 08:31:40:581,211648,211645,0,3,99275610485,1036624951,209194,2223,228,369,391516,3 58,2,2024-09-07 08:31:41:073,151856,151856,0,0,7121271,0,2549 58,3,2024-09-07 08:31:41:078,1,120,14,0,219,1082,120,0 59,0,2024-09-07 08:31:41:745,30119,0.9,29714,1.0,59768,0.9,79265,2.75 59,1,2024-09-07 08:31:40:806,210811,210811,0,0,98662026436,1036521999,207446,2443,922,369,391515,0 59,2,2024-09-07 08:31:40:591,152039,152039,0,0,6612018,0,2604 59,3,2024-09-07 08:31:41:740,1,120,8,0,1015,2475,120,0 60,0,2024-09-07 08:31:41:708,30499,0.4,30588,0.6,60508,0.4,80305,1.75 60,1,2024-09-07 08:31:40:772,212322,212322,0,0,98943074258,1028774801,211028,941,353,370,391761,0 60,2,2024-09-07 08:31:41:143,151321,151321,0,0,7489263,0,3811 60,3,2024-09-07 08:31:41:258,1,120,1,0,124,1211,120,0 61,0,2024-09-07 08:31:41:498,29909,1.6,30105,1.2,60062,2.5,79916,2.25 61,1,2024-09-07 08:31:40:771,210587,210587,0,0,99113262408,1042192049,207738,2312,537,382,391589,0 61,2,2024-09-07 08:31:41:116,152322,152322,0,0,6556820,0,2079 61,3,2024-09-07 08:31:41:697,1,120,11,0,199,1604,120,0 62,0,2024-09-07 08:31:41:714,30013,1.4,30684,1.1,58754,2.5,80004,2.25 62,1,2024-09-07 08:31:41:115,212485,212479,0,6,99729360724,1031272935,211058,1383,38,365,391715,6 62,2,2024-09-07 08:31:41:644,150536,150535,1,0,7491733,0,5555 62,3,2024-09-07 08:31:41:143,1,120,1,0,287,1040,120,0 63,0,2024-09-07 08:31:41:458,29992,0.6,30090,0.7,60053,0.7,79828,2.00 63,1,2024-09-07 08:31:40:853,211933,211927,0,6,99257001369,1030244182,210822,1085,20,381,391542,6 63,2,2024-09-07 08:31:40:765,151072,151072,0,0,6170801,0,2674 63,3,2024-09-07 08:31:41:732,1,120,2,0,667,2058,120,0 64,0,2024-09-07 08:31:41:512,30142,0.4,29975,0.6,60138,0.4,80225,1.75 64,1,2024-09-07 08:31:40:750,210782,210782,0,0,99050923770,1042478467,207348,2382,1052,371,391783,0 64,2,2024-09-07 08:31:41:142,152562,152543,19,0,7230413,0,6121 64,3,2024-09-07 08:31:41:141,1,120,1,0,265,1624,120,0 65,0,2024-09-07 08:31:41:689,29383,1.4,29813,1.1,59615,1.8,79598,2.75 65,1,2024-09-07 08:31:40:863,210145,210145,0,0,98741546086,1036728112,207896,2027,222,382,391770,0 65,2,2024-09-07 08:31:41:704,151976,151976,0,0,7693303,0,3367 65,3,2024-09-07 08:31:41:689,1,120,14,0,163,1327,120,0 66,0,2024-09-07 08:31:41:778,29483,1.5,29548,1.2,59334,2.4,78986,3.50 66,1,2024-09-07 08:31:41:293,211324,211324,0,0,99384823010,1036183166,209543,1611,170,380,391588,0 66,2,2024-09-07 08:31:41:132,152822,152822,0,0,6798906,0,4956 66,3,2024-09-07 08:31:41:081,1,120,1,0,291,1358,120,0 67,0,2024-09-07 08:31:41:470,29926,1.0,29815,1.0,59659,1.2,79945,2.75 67,1,2024-09-07 08:31:40:765,210181,210180,0,1,99113811418,1043318238,206736,2698,746,381,391787,1 67,2,2024-09-07 08:31:40:585,152077,152077,0,0,6165905,0,2889 67,3,2024-09-07 08:31:41:757,1,120,7,0,138,1182,120,0 68,0,2024-09-07 08:31:40:587,30252,0.5,30125,0.7,60084,0.4,80035,2.00 68,1,2024-09-07 08:31:40:569,210132,210132,0,0,98339219451,1035606271,207647,1589,896,381,391953,0 68,2,2024-09-07 08:31:41:049,151158,151158,0,0,7311350,0,4883 68,3,2024-09-07 08:31:40:728,1,120,1,0,151,1308,120,0 69,0,2024-09-07 08:31:41:730,30078,1.0,30321,1.0,60252,1.3,80054,2.25 69,1,2024-09-07 08:31:41:016,210057,210057,0,0,98860403672,1048598842,207027,1945,1085,384,391611,0 69,2,2024-09-07 08:31:41:735,151437,151437,0,0,7980659,0,3701 69,3,2024-09-07 08:31:40:762,1,120,1,0,238,1630,120,0 70,0,2024-09-07 08:31:41:566,29445,2.1,29590,1.7,59415,1.2,78840,3.25 70,1,2024-09-07 08:31:40:802,211243,211243,0,0,100018112451,1043229785,208945,1836,462,367,391725,0 70,2,2024-09-07 08:31:41:324,151366,151366,0,0,7292337,0,4044 70,3,2024-09-07 08:31:40:750,1,120,3,0,178,849,120,0 71,0,2024-09-07 08:31:41:369,29180,1.5,29403,1.5,58347,2.1,79029,3.25 71,1,2024-09-07 08:31:41:598,211269,211269,0,0,99065756062,1037502561,208334,2539,396,368,391682,0 71,2,2024-09-07 08:31:41:067,152110,152110,0,0,6917780,0,2146 71,3,2024-09-07 08:31:41:752,1,120,644,0,644,2196,120,0 72,0,2024-09-07 08:31:41:022,31100,0.5,30295,0.8,59396,0.5,80439,2.25 72,1,2024-09-07 08:31:41:023,210668,210668,0,0,98761934195,1033424559,207882,2303,483,370,391819,0 72,2,2024-09-07 08:31:41:760,150561,150561,0,0,8564978,0,2570 72,3,2024-09-07 08:31:41:758,1,120,1,0,325,1887,120,0 73,0,2024-09-07 08:31:41:124,29518,0.4,30210,0.6,61796,0.3,80024,2.25 73,1,2024-09-07 08:31:40:770,210902,210902,0,0,98441165160,1023881587,209308,1480,114,368,391627,0 73,2,2024-09-07 08:31:41:739,152106,152106,0,0,7827920,0,3482 73,3,2024-09-07 08:31:40:972,1,120,19,0,274,1985,120,0 74,0,2024-09-07 08:31:41:332,30274,0.7,30710,0.9,58667,0.9,79861,2.75 74,1,2024-09-07 08:31:40:641,210856,210856,0,0,99007776482,1035383487,208418,1832,606,382,391681,0 74,2,2024-09-07 08:31:41:002,151518,151518,0,0,7982495,0,4253 74,3,2024-09-07 08:31:41:442,1,120,1,0,246,1767,120,0 75,0,2024-09-07 08:31:41:780,29864,0.7,29751,1.0,59491,0.7,80457,2.50 75,1,2024-09-07 08:31:41:589,210695,210695,0,0,98806718959,1034932986,208308,2023,364,381,391579,0 75,2,2024-09-07 08:31:41:350,151289,151289,0,0,7294954,0,4766 75,3,2024-09-07 08:31:41:071,1,120,1,0,535,1513,120,0 76,0,2024-09-07 08:31:40:589,29931,0.4,29711,0.7,59286,0.4,79646,2.25 76,1,2024-09-07 08:31:40:805,210876,210876,0,0,98595007966,1032440060,208960,1406,510,382,391530,0 76,2,2024-09-07 08:31:41:067,152425,152425,0,0,6433942,0,3064 76,3,2024-09-07 08:31:41:142,1,120,8,0,175,1515,120,0 77,0,2024-09-07 08:31:41:702,30313,0.6,30496,0.7,61103,0.6,80157,2.00 77,1,2024-09-07 08:31:40:824,211289,211289,0,0,98392603161,1028136090,209891,1317,81,383,391808,0 77,2,2024-09-07 08:31:41:282,151938,151938,0,0,6590348,0,3890 77,3,2024-09-07 08:31:41:098,1,120,16,0,305,1726,120,0 78,0,2024-09-07 08:31:41:750,30167,0.6,30106,0.8,60426,0.6,79918,2.25 78,1,2024-09-07 08:31:40:619,211421,211421,0,0,98291248108,1024563385,209662,1554,205,367,391589,0 78,2,2024-09-07 08:31:41:405,152384,152384,0,0,6377397,0,2114 78,3,2024-09-07 08:31:41:137,1,120,1,0,181,1436,120,0 79,0,2024-09-07 08:31:41:348,28887,0.6,29485,0.8,60471,0.6,78953,2.75 79,1,2024-09-07 08:31:40:576,211617,211617,0,0,98975265143,1028735121,209619,1827,171,369,391682,0 79,2,2024-09-07 08:31:41:079,152215,152215,0,0,6524695,0,3212 79,3,2024-09-07 08:31:40:751,1,120,1,0,306,1995,120,0 80,0,2024-09-07 08:31:41:120,29853,0.8,30667,0.9,59072,0.9,79885,2.50 80,1,2024-09-07 08:31:41:625,210264,210264,0,0,98673274581,1032857622,207815,2290,159,368,391673,0 80,2,2024-09-07 08:31:41:091,151757,151757,0,0,7068093,0,4433 80,3,2024-09-07 08:31:40:588,1,120,7,0,190,2142,120,0 81,0,2024-09-07 08:31:41:537,30237,0.5,31097,0.6,59463,0.5,80420,2.00 81,1,2024-09-07 08:31:41:655,210724,210724,0,0,98154234684,1031317159,208310,2142,272,382,391879,0 81,2,2024-09-07 08:31:41:131,151213,151213,0,0,7593859,0,3993 81,3,2024-09-07 08:31:41:117,1,120,14,0,193,1273,120,0 82,0,2024-09-07 08:31:41:536,29829,0.6,29947,0.9,60054,0.7,79972,2.00 82,1,2024-09-07 08:31:40:585,210573,210569,0,4,98531840150,1032619369,208168,1826,575,382,391558,4 82,2,2024-09-07 08:31:41:697,152295,152295,0,0,6842766,0,3986 82,3,2024-09-07 08:31:41:754,1,120,11,0,227,1726,120,0 83,0,2024-09-07 08:31:41:527,29872,1.1,29609,1.1,58791,1.2,79208,2.50 83,1,2024-09-07 08:31:40:553,210943,210943,0,0,99077133615,1038385461,208731,2023,189,383,391553,0 83,2,2024-09-07 08:31:40:764,150958,150958,0,0,6726780,0,3119 83,3,2024-09-07 08:31:40:749,1,120,7,0,133,1199,120,0 84,0,2024-09-07 08:31:41:801,29683,0.9,29460,1.1,59057,0.6,79587,2.50 84,1,2024-09-07 08:31:41:040,209858,209858,0,0,98735810204,1038721146,206938,2472,448,368,391852,0 84,2,2024-09-07 08:31:40:588,151712,151712,0,0,7224474,0,3801 84,3,2024-09-07 08:31:41:141,1,120,2,1,85,1176,120,0 85,0,2024-09-07 08:31:41:016,28788,0.5,28811,0.8,61113,0.5,79385,2.00 85,1,2024-09-07 08:31:40:573,209805,209805,0,0,98714490803,1048705873,205578,3482,745,382,391679,0 85,2,2024-09-07 08:31:40:865,151762,151762,0,0,7932126,0,3656 85,3,2024-09-07 08:31:40:694,1,120,10,0,115,1245,120,0 86,0,2024-09-07 08:31:40:877,30099,0.7,31049,0.7,59619,0.7,80367,2.00 86,1,2024-09-07 08:31:40:824,210761,210761,0,0,99204567981,1041602512,207826,2655,280,366,391961,0 86,2,2024-09-07 08:31:40:854,151231,151230,1,0,8344932,0,5004 86,3,2024-09-07 08:31:40:591,1,120,1,0,199,1491,120,0 87,0,2024-09-07 08:31:41:327,29712,2.1,29703,1.3,59434,3.3,80170,2.50 87,1,2024-09-07 08:31:40:552,210160,210160,0,0,97815014198,1027580814,207760,2260,140,366,391788,0 87,2,2024-09-07 08:31:41:067,151784,151784,0,0,6820456,0,3515 87,3,2024-09-07 08:31:41:802,1,120,8,0,335,2174,120,0 88,0,2024-09-07 08:31:41:454,29733,0.6,30023,0.7,59560,0.7,80214,1.75 88,1,2024-09-07 08:31:40:570,209858,209858,0,0,98384299244,1034466116,207097,1985,776,367,391787,0 88,2,2024-09-07 08:31:40:690,151788,151788,0,0,7743428,0,3583 88,3,2024-09-07 08:31:41:276,1,120,2,1,77,1293,120,0 89,0,2024-09-07 08:31:41:808,30997,0.5,30109,0.7,59615,0.5,80667,1.75 89,1,2024-09-07 08:31:40:554,209787,209787,0,0,98541868526,1046814698,205265,3649,873,382,391866,0 89,2,2024-09-07 08:31:41:144,151581,151581,0,0,7647968,0,2901 89,3,2024-09-07 08:31:41:806,1,120,2,0,325,2631,120,0 90,0,2024-09-07 08:31:41:653,29451,0.4,30014,0.6,61784,0.4,80176,1.75 90,1,2024-09-07 08:31:40:595,211163,211163,0,0,98243026446,1034878283,208437,2568,158,382,391825,0 90,2,2024-09-07 08:31:41:406,150464,150464,0,0,8367678,0,2635 90,3,2024-09-07 08:31:40:941,1,120,65,1,200,1445,120,0 91,0,2024-09-07 08:31:40:940,30466,0.5,29526,0.7,61186,0.5,80251,1.75 91,1,2024-09-07 08:31:40:560,210618,210618,0,0,98875135347,1042688704,207320,2805,493,381,391914,0 91,2,2024-09-07 08:31:41:331,150504,150504,0,0,8218193,0,2445 91,3,2024-09-07 08:31:40:599,1,120,30,0,155,1514,120,0 92,0,2024-09-07 08:31:41:475,30290,0.7,30957,0.8,59187,0.8,80386,1.75 92,1,2024-09-07 08:31:40:582,210806,210806,0,0,98048636886,1027450554,208897,1515,394,382,391717,0 92,2,2024-09-07 08:31:41:351,153149,153149,0,0,6546801,0,2279 92,3,2024-09-07 08:31:41:009,1,120,7,0,68,1166,120,0 93,0,2024-09-07 08:31:40:979,30241,0.5,30953,0.7,59404,0.5,80239,1.75 93,1,2024-09-07 08:31:40:805,210959,210959,0,0,99057824197,1038121264,208540,1973,446,366,391689,0 93,2,2024-09-07 08:31:40:933,151542,151542,0,0,6621764,0,2509 93,3,2024-09-07 08:31:41:406,1,120,10,0,143,1276,120,0 94,0,2024-09-07 08:31:41:610,30248,0.3,30466,0.5,60479,0.3,80084,1.75 94,1,2024-09-07 08:31:40:566,210720,210720,0,0,98439954465,1033523199,208616,2025,79,381,391850,0 94,2,2024-09-07 08:31:40:773,151434,151434,0,0,6566491,0,2443 94,3,2024-09-07 08:31:41:698,1,120,1,0,264,2097,120,0 95,0,2024-09-07 08:31:41:389,30159,0.4,30079,0.6,60483,0.4,80395,1.75 95,1,2024-09-07 08:31:40:851,211162,211162,0,0,98969222502,1028678129,210138,960,64,367,391600,0 95,2,2024-09-07 08:31:41:018,151818,151818,0,0,6839199,0,3308 95,3,2024-09-07 08:31:41:712,1,120,1,0,307,2028,120,0 96,0,2024-09-07 08:31:41:050,30070,1.0,30135,0.9,60068,1.3,79705,2.00 96,1,2024-09-07 08:31:41:583,210518,210518,0,0,98006434595,1032002148,207941,1936,641,385,391894,0 96,2,2024-09-07 08:31:41:273,151483,151483,0,0,7164081,0,4042 96,3,2024-09-07 08:31:41:141,1,120,1,0,188,1375,120,0 97,0,2024-09-07 08:31:41:322,29866,0.5,29878,0.6,59857,0.5,80256,1.75 97,1,2024-09-07 08:31:40:764,210788,210788,0,0,99142792991,1037235927,208043,2198,547,367,392140,0 97,2,2024-09-07 08:31:40:623,151255,151255,0,0,6635555,0,3036 97,3,2024-09-07 08:31:40:569,1,120,11,1,165,1528,120,0 98,0,2024-09-07 08:31:41:707,30156,0.3,29956,0.4,60430,0.2,80415,1.50 98,1,2024-09-07 08:31:40:576,210864,210864,0,0,98695454702,1033367441,209098,1682,84,382,391997,0 98,2,2024-09-07 08:31:40:771,152729,152729,0,0,6936679,0,3080 98,3,2024-09-07 08:31:40:700,1,120,1,1,840,2779,120,0 99,0,2024-09-07 08:31:41:464,30191,0.3,30281,0.5,60477,0.3,80351,1.75 99,1,2024-09-07 08:31:41:735,211188,211188,0,0,97657481563,1024265286,208702,1837,649,381,391744,0 99,2,2024-09-07 08:31:41:430,153178,153178,0,0,6742294,0,1973 99,3,2024-09-07 08:31:40:585,1,120,15,0,129,906,120,0 100,0,2024-09-07 08:31:41:575,29682,1.1,29771,1.7,59365,2.9,79554,2.25 100,1,2024-09-07 08:31:40:556,209774,209774,0,0,98143991466,1045021754,205596,3686,492,381,391989,0 100,2,2024-09-07 08:31:41:818,150902,150891,11,0,7872839,0,5417 100,3,2024-09-07 08:31:41:731,1,120,25,0,443,2513,120,0 101,0,2024-09-07 08:31:41:704,30143,2.3,29283,1.4,57715,2.0,79961,2.75 101,1,2024-09-07 08:31:40:558,209838,209838,0,0,98922507216,1050816447,205439,3041,1358,368,391709,0 101,2,2024-09-07 08:31:41:756,151403,151403,0,0,8249375,0,4644 101,3,2024-09-07 08:31:40:946,1,120,22,0,448,1601,120,0 102,0,2024-09-07 08:31:40:949,29345,0.7,30476,0.8,61107,0.5,79889,2.00 102,1,2024-09-07 08:31:41:150,210195,210195,0,0,98753323687,1041485160,207203,2475,517,369,391831,0 102,2,2024-09-07 08:31:41:745,152334,152334,0,0,6714273,0,2144 102,3,2024-09-07 08:31:41:624,1,120,10,0,410,1527,120,0 103,0,2024-09-07 08:31:41:604,31030,0.5,31001,0.6,58700,0.4,80335,1.75 103,1,2024-09-07 08:31:41:637,209700,209700,0,0,98627587313,1041989613,206490,2303,907,381,391680,0 103,2,2024-09-07 08:31:40:582,151033,151033,0,0,7182261,0,2104 103,3,2024-09-07 08:31:40:755,1,120,1,0,916,2611,120,0 104,0,2024-09-07 08:31:41:008,29850,1.1,29887,1.1,58837,1.2,80075,2.25 104,1,2024-09-07 08:31:41:618,210864,210864,0,0,98686977544,1042489358,207189,2980,695,366,391948,0 104,2,2024-09-07 08:31:41:670,150865,150865,0,0,7664715,0,3941 104,3,2024-09-07 08:31:41:418,1,120,112,1,1245,4746,120,0 105,0,2024-09-07 08:31:41:050,29498,1.2,28505,1.2,59406,1.9,79741,3.50 105,1,2024-09-07 08:31:40:570,210750,210750,0,0,98677271148,1039562426,207075,2913,762,367,391797,0 105,2,2024-09-07 08:31:41:323,151353,151353,0,0,6908552,0,3314 105,3,2024-09-07 08:31:41:304,1,120,8,1,399,2029,120,0 106,0,2024-09-07 08:31:40:991,29036,0.6,29738,0.9,60549,0.6,79355,2.00 106,1,2024-09-07 08:31:41:755,210790,210790,0,0,98151364013,1031395632,207912,2549,329,369,391767,0 106,2,2024-09-07 08:31:40:756,151034,151034,0,0,7137938,0,2795 106,3,2024-09-07 08:31:40:678,1,120,2,1,201,1383,120,0 107,0,2024-09-07 08:31:41:144,30473,0.4,30263,0.6,60398,0.4,80424,1.75 107,1,2024-09-07 08:31:40:588,210382,210382,0,0,97733817846,1031301150,207715,2443,224,381,392234,0 107,2,2024-09-07 08:31:41:294,151174,151173,1,0,7457696,0,5024 107,3,2024-09-07 08:31:41:764,1,120,1,0,353,1565,120,0 108,0,2024-09-07 08:31:41:799,30282,0.4,30432,0.7,60253,0.4,80278,1.75 108,1,2024-09-07 08:31:41:293,210699,210699,0,0,98958123843,1037051604,208404,2009,286,368,391857,0 108,2,2024-09-07 08:31:41:755,151055,151055,0,0,7263378,0,2647 108,3,2024-09-07 08:31:41:329,1,120,2,0,272,1806,120,0 109,0,2024-09-07 08:31:41:780,29958,0.5,29950,0.7,59611,0.5,80519,1.75 109,1,2024-09-07 08:31:40:593,210175,210175,0,0,99081029622,1044145369,207777,1937,461,383,391812,0 109,2,2024-09-07 08:31:40:920,150715,150715,0,0,7115759,0,3617 109,3,2024-09-07 08:31:41:140,1,120,1,1,249,1806,120,0 110,0,2024-09-07 08:31:41:765,30126,0.5,29292,0.8,61283,0.5,79996,1.75 110,1,2024-09-07 08:31:41:650,211169,211169,0,0,98206641284,1026966516,208828,1641,700,370,391667,0 110,2,2024-09-07 08:31:41:306,151775,151775,0,0,6560218,0,2915 110,3,2024-09-07 08:31:40:690,1,120,2,0,406,1860,120,0 111,0,2024-09-07 08:31:41:417,30329,0.2,29835,0.4,59830,0.2,80456,1.50 111,1,2024-09-07 08:31:41:000,211518,211518,0,0,99763364625,1038393164,209870,1316,332,382,391690,0 111,2,2024-09-07 08:31:41:120,151903,151903,0,0,7335813,0,2763 111,3,2024-09-07 08:31:40:913,1,120,3,0,300,1926,120,0 112,0,2024-09-07 08:31:40:970,30164,0.3,30046,0.5,60165,0.3,80171,1.50 112,1,2024-09-07 08:31:40:828,210875,210875,0,0,99380022433,1038199612,208559,1891,425,381,391580,0 112,2,2024-09-07 08:31:41:136,151710,151709,1,0,7318439,0,5036 112,3,2024-09-07 08:31:40:593,1,120,2,0,282,1492,120,0 113,0,2024-09-07 08:31:40:883,29577,0.5,29383,0.6,59682,0.4,80205,1.75 113,1,2024-09-07 08:31:41:686,211149,211149,0,0,99552414028,1035687034,208743,1918,488,368,391661,0 113,2,2024-09-07 08:31:41:303,152439,152439,0,0,6371477,0,3813 113,3,2024-09-07 08:31:40:683,1,120,13,0,288,2036,120,0 114,0,2024-09-07 08:31:40:879,30033,0.8,30516,0.7,59766,0.5,80178,2.00 114,1,2024-09-07 08:31:40:720,210576,210576,0,0,98510170078,1038989792,206518,2596,1462,381,391534,0 114,2,2024-09-07 08:31:40:874,151601,151601,0,0,6668848,0,3925 114,3,2024-09-07 08:31:41:281,1,120,0,0,395,1824,120,0 115,0,2024-09-07 08:31:40:561,29895,0.3,30181,0.4,60432,0.2,80224,1.50 115,1,2024-09-07 08:31:40:581,211064,211064,0,0,99422322226,1043058492,207483,2761,820,382,391602,0 115,2,2024-09-07 08:31:41:125,152374,152374,0,0,6210659,0,2152 115,3,2024-09-07 08:31:41:002,1,120,1,0,159,984,120,0 116,0,2024-09-07 08:31:41:708,30365,0.7,29958,0.9,60214,0.8,80349,2.00 116,1,2024-09-07 08:31:40:803,209543,209543,0,0,98506982753,1049778163,205751,2405,1387,382,391619,0 116,2,2024-09-07 08:31:41:755,150758,150758,0,0,8423061,0,3529 116,3,2024-09-07 08:31:40:938,1,120,42,0,252,1872,120,0 117,0,2024-09-07 08:31:41:010,29786,1.5,29870,1.2,59277,2.4,80220,2.00 117,1,2024-09-07 08:31:41:587,210763,210763,0,0,98454828430,1036975633,207627,2738,398,370,392033,0 117,2,2024-09-07 08:31:41:124,152614,152614,0,0,6737891,0,3700 117,3,2024-09-07 08:31:41:060,1,120,8,0,490,2745,120,0 118,0,2024-09-07 08:31:41:778,29071,0.8,29802,0.8,60776,0.9,79670,2.25 118,1,2024-09-07 08:31:40:584,210522,210522,0,0,98276580830,1036870087,207424,2672,426,368,391736,0 118,2,2024-09-07 08:31:41:594,151850,151850,0,0,7608557,0,2781 118,3,2024-09-07 08:31:41:764,1,120,62,0,235,1738,120,0 119,0,2024-09-07 08:31:41:345,29747,0.9,29875,0.9,60658,1.4,79939,2.00 119,1,2024-09-07 08:31:40:552,210839,210839,0,0,98683346451,1033622409,208599,1956,284,370,391641,0 119,2,2024-09-07 08:31:41:261,150613,150613,0,0,6566416,0,2532 119,3,2024-09-07 08:31:41:327,1,120,51,0,443,2558,120,0 120,0,2024-09-07 08:31:41:557,29999,0.5,30060,0.8,60023,0.5,80162,2.00 120,1,2024-09-07 08:31:40:858,210955,210955,0,0,98408844421,1033699194,208850,2060,45,368,391702,0 120,2,2024-09-07 08:31:40:771,150891,150890,1,0,8815902,0,5281 120,3,2024-09-07 08:31:41:297,1,120,2,0,241,1762,120,0 121,0,2024-09-07 08:31:41:695,29800,1.7,30243,1.2,60204,2.7,80090,2.25 121,1,2024-09-07 08:31:41:658,210836,210836,0,0,98649631182,1035069983,208330,2224,282,367,391840,0 121,2,2024-09-07 08:31:41:126,150827,150827,0,0,8233303,0,4127 121,3,2024-09-07 08:31:40:727,1,120,5,0,159,1505,120,0 122,0,2024-09-07 08:31:41:795,29702,1.5,28862,1.3,60457,1.7,80406,2.25 122,1,2024-09-07 08:31:40:861,210091,210091,0,0,98500090512,1039313492,206332,3098,661,366,392130,0 122,2,2024-09-07 08:31:41:331,151900,151900,0,0,8113659,0,3364 122,3,2024-09-07 08:31:40:596,1,120,13,0,298,2486,120,0 123,0,2024-09-07 08:31:40:962,30133,1.0,29288,0.9,61213,1.2,79983,2.25 123,1,2024-09-07 08:31:40:570,211391,211391,0,0,98560851005,1040506810,207512,3306,573,369,391823,0 123,2,2024-09-07 08:31:41:023,150476,150475,1,0,7420873,0,5215 123,3,2024-09-07 08:31:41:137,1,120,2,1,168,1785,120,0 124,0,2024-09-07 08:31:40:926,31099,0.3,31088,0.5,58703,0.2,80416,1.75 124,1,2024-09-07 08:31:41:024,210796,210796,0,0,98959277253,1031133938,208993,1451,352,367,392178,0 124,2,2024-09-07 08:31:41:011,152211,152211,0,0,6583693,0,3101 124,3,2024-09-07 08:31:40:763,1,120,10,0,490,2059,120,0 125,0,2024-09-07 08:31:41:466,30250,0.5,30123,0.6,60502,0.5,80430,1.75 125,1,2024-09-07 08:31:40:856,210338,210338,0,0,99153283788,1039265068,208066,1997,275,384,391702,0 125,2,2024-09-07 08:31:41:119,152111,152111,0,0,6531336,0,2180 125,3,2024-09-07 08:31:41:128,1,120,8,1,284,1716,120,0 126,0,2024-09-07 08:31:41:438,30027,0.9,30795,0.9,59047,1.0,80264,2.00 126,1,2024-09-07 08:31:40:566,211390,211390,0,0,99552744497,1035466185,209904,1410,76,365,391987,0 126,2,2024-09-07 08:31:40:611,152288,152288,0,0,6596168,0,3186 126,3,2024-09-07 08:31:40:907,1,120,1,0,136,1764,120,0 127,0,2024-09-07 08:31:41:611,29686,0.5,30056,0.6,59867,0.5,80342,1.75 127,1,2024-09-07 08:31:40:571,210987,210987,0,0,98777455904,1026881792,209245,1707,35,365,391816,0 127,2,2024-09-07 08:31:40:640,151749,151749,0,0,6093839,0,1803 127,3,2024-09-07 08:31:41:275,1,120,6,0,99,914,120,0 128,0,2024-09-07 08:31:41:637,30334,0.3,30251,0.5,60234,0.2,80306,1.50 128,1,2024-09-07 08:31:41:637,210984,210984,0,0,99286691242,1030706424,210121,792,71,367,391680,0 128,2,2024-09-07 08:31:41:395,151367,151367,0,0,6659626,0,2107 128,3,2024-09-07 08:31:40:770,1,120,333,0,333,1955,120,0 129,0,2024-09-07 08:31:41:002,30415,0.3,30383,0.5,60454,0.3,80284,1.75 129,1,2024-09-07 08:31:40:567,210173,210173,0,0,99225787389,1044817290,207279,2504,390,379,391835,0 129,2,2024-09-07 08:31:40:686,151690,151690,0,0,6738591,0,4031 129,3,2024-09-07 08:31:40:688,1,120,10,1,173,1650,120,0 130,0,2024-09-07 08:31:41:727,30252,1.1,29920,0.9,60063,1.6,80347,2.00 130,1,2024-09-07 08:31:40:596,210756,210756,0,0,99109563917,1037709469,208716,1972,68,381,391825,0 130,2,2024-09-07 08:31:41:138,151368,151368,0,0,6640705,0,4067 130,3,2024-09-07 08:31:41:291,1,120,0,0,207,1182,120,0 131,0,2024-09-07 08:31:41:949,29746,0.7,29747,0.8,60342,1.0,80077,2.00 131,1,2024-09-07 08:31:41:820,211685,211685,0,0,98338712175,1027549466,210245,1235,205,384,391865,0 131,2,2024-09-07 08:31:40:567,152327,152327,0,0,6217981,0,2415 131,3,2024-09-07 08:31:41:688,1,120,4,0,392,1461,120,0 132,0,2024-09-07 08:31:41:436,29748,0.5,30255,0.8,60522,0.5,80280,1.75 132,1,2024-09-07 08:31:40:590,209868,209868,0,0,98205509395,1041997656,205760,3313,795,381,391719,0 132,2,2024-09-07 08:31:40:701,150887,150887,0,0,9076963,0,4606 132,3,2024-09-07 08:31:41:688,1,120,18,0,356,2051,120,0 133,0,2024-09-07 08:31:41:541,29416,0.5,30029,0.6,61729,0.4,79652,1.75 133,1,2024-09-07 08:31:40:585,209244,209244,0,0,97848453647,1036626332,205808,3204,232,383,391755,0 133,2,2024-09-07 08:31:41:087,151056,151056,0,0,8673989,0,4315 133,3,2024-09-07 08:31:41:298,1,120,9,1,187,1151,120,0 134,0,2024-09-07 08:31:40:942,29939,0.7,29812,0.9,60101,0.7,79787,2.00 134,1,2024-09-07 08:31:40:585,209954,209954,0,0,98414210836,1037124655,206126,2654,1174,366,391718,0 134,2,2024-09-07 08:31:41:761,151243,151243,0,0,7197217,0,3096 134,3,2024-09-07 08:31:40:749,1,120,6,0,739,2477,120,0 135,0,2024-09-07 08:31:41:187,29101,0.9,28992,1.1,61245,1.1,79683,2.25 135,1,2024-09-07 08:31:41:615,209899,209899,0,0,98565233133,1042392955,206202,2997,700,380,391725,0 135,2,2024-09-07 08:31:40:698,152101,152101,0,0,7508976,0,3981 135,3,2024-09-07 08:31:41:002,1,120,0,0,89,768,120,0 136,0,2024-09-07 08:31:41:641,30260,0.6,30045,0.8,60564,0.6,80419,2.00 136,1,2024-09-07 08:31:41:442,210142,210142,0,0,98434331304,1034210458,207431,2546,165,384,391641,0 136,2,2024-09-07 08:31:41:138,152334,152334,0,0,7053223,0,3506 136,3,2024-09-07 08:31:41:119,1,120,3,0,108,1078,120,0 137,0,2024-09-07 08:31:40:950,31056,0.5,30232,0.7,60013,0.5,80594,2.00 137,1,2024-09-07 08:31:40:581,210673,210673,0,0,99295888819,1039758341,208047,2447,179,367,391608,0 137,2,2024-09-07 08:31:41:712,151150,151150,0,0,8731187,0,3185 137,3,2024-09-07 08:31:40:771,1,120,18,1,227,1570,120,0 138,0,2024-09-07 08:31:41:795,29629,1.9,29805,1.4,60179,3.1,80275,2.50 138,1,2024-09-07 08:31:41:686,210832,210832,0,0,99213644263,1041246456,207935,2549,348,369,391954,0 138,2,2024-09-07 08:31:40:586,152202,152202,0,0,7041063,0,3263 138,3,2024-09-07 08:31:40:619,1,120,3,0,1160,2323,120,0 139,0,2024-09-07 08:31:41:408,29087,3.4,29101,1.9,59039,4.7,79536,3.25 139,1,2024-09-07 08:31:40:571,210111,210111,0,0,98010182524,1043941722,205808,3105,1198,381,391892,0 139,2,2024-09-07 08:31:40:696,150064,150064,0,0,7930554,0,3097 139,3,2024-09-07 08:31:41:662,1,120,1,0,244,1617,120,0 140,0,2024-09-07 08:31:41:590,30242,0.4,30111,0.6,60362,0.4,80076,1.75 140,1,2024-09-07 08:31:41:536,211531,211531,0,0,99785205193,1031399252,210382,934,215,365,391606,0 140,2,2024-09-07 08:31:40:690,152199,152199,0,0,7024063,0,3388 140,3,2024-09-07 08:31:40:771,1,120,1,0,155,943,120,0 141,0,2024-09-07 08:31:41:713,29848,0.2,30873,0.4,59317,0.2,80439,1.50 141,1,2024-09-07 08:31:40:859,211373,211373,0,0,99616918650,1037292617,209647,1374,352,379,391539,0 141,2,2024-09-07 08:31:41:685,151716,151716,0,0,6425932,0,2342 141,3,2024-09-07 08:31:41:048,1,120,13,0,147,1042,120,0 142,0,2024-09-07 08:31:41:341,30496,0.3,30104,0.5,59868,0.3,80352,1.75 142,1,2024-09-07 08:31:40:602,211247,211247,0,0,98984372264,1032999250,210141,973,133,384,391649,0 142,2,2024-09-07 08:31:41:305,150594,150562,32,0,7821236,0,6028 142,3,2024-09-07 08:31:41:751,1,120,2,0,484,1991,120,0 143,0,2024-09-07 08:31:41:391,29796,0.8,29871,0.8,60140,1.0,80164,2.00 143,1,2024-09-07 08:31:40:565,211014,211014,0,0,98839335884,1030309024,208992,1981,41,367,391619,0 143,2,2024-09-07 08:31:40:771,151148,151148,0,0,6860072,0,2669 143,3,2024-09-07 08:31:41:142,1,120,7,0,303,2192,120,0 144,0,2024-09-07 08:31:41:500,28890,0.6,29699,1.3,60262,0.5,79897,2.00 144,1,2024-09-07 08:31:40:570,209961,209961,0,0,98064852564,1033668766,207743,2017,201,381,391638,0 144,2,2024-09-07 08:31:41:770,152211,152211,0,0,6581322,0,3473 144,3,2024-09-07 08:31:41:741,1,120,1,0,249,1849,120,0 145,0,2024-09-07 08:31:41:364,28933,0.6,28886,0.8,61235,0.5,80121,2.00 145,1,2024-09-07 08:31:40:559,209158,209158,0,0,98206779076,1040853279,205253,3173,732,383,391615,0 145,2,2024-09-07 08:31:41:430,151777,151777,0,0,7541698,0,3903 145,3,2024-09-07 08:31:40:894,1,120,8,0,151,1517,120,0 146,0,2024-09-07 08:31:41:621,30078,0.5,29939,0.7,60388,0.5,79898,2.00 146,1,2024-09-07 08:31:41:591,211209,211209,0,0,99336043917,1047375570,207100,3314,795,368,391600,0 146,2,2024-09-07 08:31:41:705,151512,151512,0,0,6951778,0,2379 146,3,2024-09-07 08:31:41:274,1,120,7,0,1520,4509,120,0 147,0,2024-09-07 08:31:41:706,29775,1.9,29651,1.3,58727,2.8,80223,2.50 147,1,2024-09-07 08:31:41:385,211659,211659,0,0,99177295856,1034720769,209369,1899,391,368,391791,0 147,2,2024-09-07 08:31:41:011,151885,151885,0,0,6724333,0,2789 147,3,2024-09-07 08:31:40:914,1,120,9,0,371,1640,120,0 0,0,2024-09-07 08:31:51:766,29324,0.5,29397,0.7,62189,0.5,80364,1.75 0,1,2024-09-07 08:31:50:814,212156,212156,0,0,99618116520,1047301087,210453,1572,131,372,391692,0 0,2,2024-09-07 08:31:51:070,152541,152541,0,0,7176777,0,4480 0,3,2024-09-07 08:31:50:974,1,121,3,0,247,1843,121,0 1,0,2024-09-07 08:31:51:810,30268,2.0,29913,1.5,60254,2.7,80225,2.50 1,1,2024-09-07 08:31:50:570,211436,211436,0,0,98867516395,1044709232,208021,2553,862,372,391857,0 1,2,2024-09-07 08:31:50:664,152466,152466,0,0,6666243,0,3267 1,3,2024-09-07 08:31:51:313,1,121,21,0,262,1655,121,0 2,0,2024-09-07 08:31:51:568,30027,1.1,30221,1.1,59968,1.6,80795,2.50 2,1,2024-09-07 08:31:50:866,212168,212168,0,0,99826238347,1041867926,210757,1205,206,380,391558,0 2,2,2024-09-07 08:31:51:275,152297,152297,0,0,7103385,0,3594 2,3,2024-09-07 08:31:50:690,1,121,1,0,357,1508,121,0 3,0,2024-09-07 08:31:51:754,29989,0.6,30017,0.8,60138,0.6,79509,2.00 3,1,2024-09-07 08:31:51:622,211941,211941,0,0,99861689544,1048370252,208848,2670,423,382,391516,0 3,2,2024-09-07 08:31:51:181,152782,152759,23,0,7243513,0,5851 3,3,2024-09-07 08:31:51:755,1,121,1,0,103,875,121,0 4,0,2024-09-07 08:31:51:797,29584,0.3,30241,0.5,61414,0.2,80550,1.75 4,1,2024-09-07 08:31:50:618,212302,212302,0,0,99191794959,1047916079,209619,2163,520,371,391846,0 4,2,2024-09-07 08:31:51:019,151854,151854,0,0,8610022,0,4528 4,3,2024-09-07 08:31:51:032,1,121,12,0,287,1960,121,0 5,0,2024-09-07 08:31:51:390,30461,0.6,30292,0.7,60794,0.7,80797,2.00 5,1,2024-09-07 08:31:50:767,211458,211458,0,0,99785432960,1057356133,207459,3014,985,368,392005,0 5,2,2024-09-07 08:31:51:829,152752,152752,0,0,7321786,0,2259 5,3,2024-09-07 08:31:51:732,1,121,9,0,238,2091,121,0 6,0,2024-09-07 08:31:50:922,30540,1.4,30210,1.2,60076,2.2,81212,2.75 6,1,2024-09-07 08:31:50:756,212625,212625,0,0,99681350837,1043756018,210572,1815,238,381,391603,0 6,2,2024-09-07 08:31:51:118,153172,153172,0,0,6821226,0,2411 6,3,2024-09-07 08:31:51:274,1,121,19,0,340,2048,121,0 7,0,2024-09-07 08:31:51:540,29532,0.6,29600,0.8,59609,0.6,79575,2.00 7,1,2024-09-07 08:31:50:857,212690,212690,0,0,99880365214,1047952129,210501,2093,96,383,391664,0 7,2,2024-09-07 08:31:50:780,152148,152148,0,0,6493875,0,2981 7,3,2024-09-07 08:31:50:851,1,121,1,0,305,1350,121,0 8,0,2024-09-07 08:31:51:348,30228,0.3,30544,0.4,60301,0.2,81004,1.50 8,1,2024-09-07 08:31:51:019,212145,212145,0,0,99669981742,1055182633,208141,2719,1285,368,391956,0 8,2,2024-09-07 08:31:50:791,151682,151682,0,0,7895437,0,2986 8,3,2024-09-07 08:31:50:585,1,121,7,0,357,1923,121,0 9,0,2024-09-07 08:31:51:136,30355,0.4,29643,0.5,61768,0.3,80724,1.75 9,1,2024-09-07 08:31:50:550,211915,211915,0,0,100074190034,1059051923,208137,2770,1008,370,391738,0 9,2,2024-09-07 08:31:51:096,152615,152615,0,0,7737013,0,3360 9,3,2024-09-07 08:31:51:755,1,121,11,0,496,1981,121,0 10,0,2024-09-07 08:31:51:602,30267,0.5,30217,0.7,60525,0.4,81072,1.75 10,1,2024-09-07 08:31:50:583,212349,212349,0,0,99136560987,1043751690,208687,3138,524,381,391741,0 10,2,2024-09-07 08:31:50:763,152623,152623,0,0,7223670,0,2940 10,3,2024-09-07 08:31:50:873,1,121,0,0,136,915,121,0 11,0,2024-09-07 08:31:51:022,29505,0.4,28811,0.7,60490,0.4,80860,2.00 11,1,2024-09-07 08:31:50:572,211895,211895,0,0,99510126201,1050889734,207399,3335,1161,384,391537,0 11,2,2024-09-07 08:31:51:190,152921,152921,0,0,7124645,0,3411 11,3,2024-09-07 08:31:51:298,1,121,2,0,720,2733,121,0 12,0,2024-09-07 08:31:51:010,30605,0.3,30463,0.5,61172,0.3,81203,1.50 12,1,2024-09-07 08:31:50:957,212120,212120,0,0,99410724547,1044086729,209313,2397,410,371,391837,0 12,2,2024-09-07 08:31:51:545,153585,153585,0,0,7678526,0,3469 12,3,2024-09-07 08:31:51:068,1,121,7,0,358,2346,121,0 13,0,2024-09-07 08:31:51:347,30420,0.4,30513,0.5,60822,0.4,80671,1.75 13,1,2024-09-07 08:31:51:532,212793,212793,0,0,99536043105,1047337888,210862,1555,376,384,391717,0 13,2,2024-09-07 08:31:50:596,153121,153121,0,0,6998059,0,3287 13,3,2024-09-07 08:31:51:775,1,121,4,1,416,2304,121,0 14,0,2024-09-07 08:31:50:565,30324,0.6,30428,0.8,60338,0.6,80545,2.00 14,1,2024-09-07 08:31:51:565,213925,213925,0,0,100110119050,1041564406,212056,1707,162,364,391571,0 14,2,2024-09-07 08:31:50:770,152284,152284,0,0,7132683,0,2896 14,3,2024-09-07 08:31:51:117,1,121,21,0,1168,2688,121,0 15,0,2024-09-07 08:31:51:554,30277,0.6,30148,0.9,60364,0.6,80981,2.25 15,1,2024-09-07 08:31:51:612,212108,212108,0,0,99285908074,1035591314,210742,1306,60,381,391536,0 15,2,2024-09-07 08:31:51:000,153732,153732,0,0,5822493,0,3043 15,3,2024-09-07 08:31:51:406,1,121,4,0,1126,4027,121,0 16,0,2024-09-07 08:31:50:952,30053,0.6,30505,0.8,60279,0.7,80555,2.25 16,1,2024-09-07 08:31:50:562,212653,212653,0,0,99792446532,1048337151,210516,1878,259,372,391756,0 16,2,2024-09-07 08:31:51:447,151883,151883,0,0,7959567,0,4719 16,3,2024-09-07 08:31:51:178,1,121,4,0,231,1765,121,0 17,0,2024-09-07 08:31:51:778,31419,0.5,30621,0.7,60058,0.4,80849,2.00 17,1,2024-09-07 08:31:50:568,211669,211669,0,0,99234292743,1051039535,208353,2645,671,369,391688,0 17,2,2024-09-07 08:31:51:665,153944,153944,0,0,7383823,0,2857 17,3,2024-09-07 08:31:50:581,1,121,19,0,268,2031,121,0 18,0,2024-09-07 08:31:50:965,29536,1.1,29972,1.1,60118,1.3,79696,2.50 18,1,2024-09-07 08:31:51:650,212261,212261,0,0,99189011615,1034426565,210386,1641,234,368,391564,0 18,2,2024-09-07 08:31:51:757,152757,152757,0,0,7323707,0,3541 18,3,2024-09-07 08:31:50:896,1,121,63,0,163,1484,121,0 19,0,2024-09-07 08:31:51:545,29862,1.3,30066,1.2,59530,1.5,79541,3.50 19,1,2024-09-07 08:31:50:572,212588,212588,0,0,100003943113,1048468327,209133,2763,692,367,391777,0 19,2,2024-09-07 08:31:51:756,153453,153453,0,0,6432620,0,3988 19,3,2024-09-07 08:31:51:194,1,121,1,0,524,1401,121,0 20,0,2024-09-07 08:31:51:396,30201,0.9,30130,0.9,60289,1.0,80153,2.50 20,1,2024-09-07 08:31:50:569,212337,212337,0,0,99496526308,1046061221,209847,2283,207,370,391822,0 20,2,2024-09-07 08:31:50:953,152697,152697,0,0,7069701,0,3721 20,3,2024-09-07 08:31:50:592,1,121,1,0,414,2642,121,0 21,0,2024-09-07 08:31:51:214,30734,0.4,30552,0.5,60942,0.3,80785,1.75 21,1,2024-09-07 08:31:51:540,211599,211599,0,0,99011643889,1046625502,208572,2504,523,368,391962,0 21,2,2024-09-07 08:31:51:067,152995,152995,0,0,7399983,0,3747 21,3,2024-09-07 08:31:51:406,1,121,11,0,103,1680,121,0 22,0,2024-09-07 08:31:51:720,30027,1.0,30134,1.0,59876,1.1,80159,2.25 22,1,2024-09-07 08:31:51:029,211975,211975,0,0,99429013563,1054660028,207179,3390,1406,382,391667,0 22,2,2024-09-07 08:31:50:760,153003,153003,0,0,6769544,0,3134 22,3,2024-09-07 08:31:51:066,1,121,22,0,228,1418,121,0 23,0,2024-09-07 08:31:51:381,29816,1.2,30133,1.0,60399,1.7,80450,2.50 23,1,2024-09-07 08:31:51:004,213439,213439,0,0,100291866538,1048543160,210894,1966,579,365,391549,0 23,2,2024-09-07 08:31:51:097,152548,152548,0,0,6673957,0,2701 23,3,2024-09-07 08:31:51:754,1,121,1,0,645,1685,121,0 24,0,2024-09-07 08:31:50:853,30542,0.5,30531,0.6,61160,0.5,81540,1.75 24,1,2024-09-07 08:31:50:581,212187,212187,0,0,99365538779,1042974608,209782,1640,765,369,391640,0 24,2,2024-09-07 08:31:51:069,152317,152317,0,0,7990185,0,2942 24,3,2024-09-07 08:31:51:691,1,121,25,0,234,1557,121,0 25,0,2024-09-07 08:31:51:349,31173,0.4,30446,0.6,59492,0.4,81353,1.75 25,1,2024-09-07 08:31:50:558,212138,212138,0,0,99535341993,1047514556,209209,2485,444,371,391788,0 25,2,2024-09-07 08:31:51:636,152182,152182,0,0,8225092,0,3978 25,3,2024-09-07 08:31:51:000,1,121,8,0,158,1420,121,0 26,0,2024-09-07 08:31:51:745,30042,0.4,29393,0.6,61734,0.3,80655,1.75 26,1,2024-09-07 08:31:51:550,212921,212921,0,0,99117321270,1044616858,208998,3079,844,381,391564,0 26,2,2024-09-07 08:31:50:874,152856,152856,0,0,7357687,0,2809 26,3,2024-09-07 08:31:51:714,1,121,1,0,796,1843,121,0 27,0,2024-09-07 08:31:51:727,30263,0.6,30543,0.7,60357,0.5,81017,1.75 27,1,2024-09-07 08:31:51:676,213232,213232,0,0,100145542961,1042762084,211465,1444,323,381,391539,0 27,2,2024-09-07 08:31:50:870,151377,151377,0,0,8029959,0,3409 27,3,2024-09-07 08:31:51:016,1,121,8,0,564,1535,121,0 28,0,2024-09-07 08:31:51:403,30012,0.6,30314,0.8,60668,0.8,81207,2.25 28,1,2024-09-07 08:31:50:806,212783,212783,0,0,100384053107,1047328639,211163,1409,211,383,391646,0 28,2,2024-09-07 08:31:51:769,153439,153439,0,0,6018130,0,2915 28,3,2024-09-07 08:31:51:776,1,121,189,0,502,1602,121,0 29,0,2024-09-07 08:31:51:357,30975,0.4,30222,0.6,59161,0.4,80949,1.75 29,1,2024-09-07 08:31:51:562,213466,213466,0,0,99328174017,1032996208,211359,1577,530,369,391621,0 29,2,2024-09-07 08:31:50:865,153011,153011,0,0,6055486,0,4018 29,3,2024-09-07 08:31:50:964,1,121,8,0,105,1001,121,0 30,0,2024-09-07 08:31:51:459,30220,0.7,29361,0.8,61436,0.7,80398,2.25 30,1,2024-09-07 08:31:50:571,212953,212953,0,0,100040339552,1043765438,211081,1594,278,382,391672,0 30,2,2024-09-07 08:31:51:275,153207,153207,0,0,6272798,0,3161 30,3,2024-09-07 08:31:50:581,1,121,1,0,195,928,121,0 31,0,2024-09-07 08:31:51:765,29850,0.8,29924,0.9,60534,0.9,79915,2.25 31,1,2024-09-07 08:31:50:564,214146,214146,0,0,100756648130,1033332688,213785,359,2,356,391553,0 31,2,2024-09-07 08:31:51:276,152608,152608,0,0,7635991,0,3525 31,3,2024-09-07 08:31:51:715,1,121,1,0,220,1088,121,0 32,0,2024-09-07 08:31:51:461,30274,0.7,30609,0.8,61064,0.7,81607,1.75 32,1,2024-09-07 08:31:50:806,213301,213301,0,0,99625138722,1038894430,211565,1461,275,382,391595,0 32,2,2024-09-07 08:31:50:945,153130,153130,0,0,6345970,0,3155 32,3,2024-09-07 08:31:51:017,1,121,49,0,227,1342,121,0 33,0,2024-09-07 08:31:51:519,30445,0.4,30087,0.6,60754,0.4,80432,1.75 33,1,2024-09-07 08:31:50:574,213498,213498,0,0,100159758455,1041969448,211361,1965,172,369,391730,0 33,2,2024-09-07 08:31:50:764,152798,152765,33,0,8225162,0,7012 33,3,2024-09-07 08:31:50:896,1,121,16,0,329,1513,121,0 34,0,2024-09-07 08:31:50:983,30367,0.3,31381,0.5,60122,0.2,80437,1.75 34,1,2024-09-07 08:31:51:047,213277,213277,0,0,100499778686,1038152686,212442,830,5,367,391547,0 34,2,2024-09-07 08:31:50:770,152316,152316,0,0,7080304,0,3255 34,3,2024-09-07 08:31:51:691,1,121,1,0,148,870,121,0 35,0,2024-09-07 08:31:50:859,30049,0.6,30445,0.6,60541,0.5,80919,1.75 35,1,2024-09-07 08:31:51:067,212255,212255,0,0,99567372677,1040586628,209483,2003,769,384,391587,0 35,2,2024-09-07 08:31:51:585,152776,152776,0,0,6559697,0,2653 35,3,2024-09-07 08:31:50:907,1,121,1,0,418,1825,121,0 36,0,2024-09-07 08:31:51:566,30209,1.0,30165,1.1,60993,1.2,80603,2.50 36,1,2024-09-07 08:31:50:585,212208,212208,0,0,99475207107,1045314067,208652,2590,966,366,391759,0 36,2,2024-09-07 08:31:51:755,152066,152066,0,0,7581223,0,3303 36,3,2024-09-07 08:31:50:863,1,121,1,0,378,1256,121,0 37,0,2024-09-07 08:31:51:369,29816,0.6,29911,0.8,59707,0.6,80471,2.00 37,1,2024-09-07 08:31:50:569,211863,211856,0,7,98803033465,1044204629,207986,2275,1595,365,391518,0 37,2,2024-09-07 08:31:51:315,153033,153033,0,0,6551075,0,2333 37,3,2024-09-07 08:31:51:766,1,121,8,0,724,2306,121,0 38,0,2024-09-07 08:31:51:450,30213,0.4,29286,0.6,61191,0.4,80495,2.00 38,1,2024-09-07 08:31:51:611,213159,213159,0,0,99557049329,1045001412,209818,2777,564,368,391821,0 38,2,2024-09-07 08:31:50:760,153475,153475,0,0,7862550,0,3245 38,3,2024-09-07 08:31:50:998,1,121,2,0,603,1950,121,0 39,0,2024-09-07 08:31:51:766,31164,0.7,30408,0.8,59535,0.9,80959,2.00 39,1,2024-09-07 08:31:50:721,212745,212745,0,0,99835004729,1045742911,209559,2512,674,365,391524,0 39,2,2024-09-07 08:31:51:417,153090,153090,0,0,6654254,0,2689 39,3,2024-09-07 08:31:50:720,1,121,1,0,276,1752,121,0 40,0,2024-09-07 08:31:51:502,29585,1.1,30202,1.5,59908,1.5,80516,3.25 40,1,2024-09-07 08:31:50:577,212363,212363,0,0,98989328577,1041191771,208418,3179,766,369,391591,0 40,2,2024-09-07 08:31:51:317,152206,152205,1,0,8284002,0,5137 40,3,2024-09-07 08:31:51:185,1,121,8,0,181,1415,121,0 41,0,2024-09-07 08:31:51:034,29524,1.3,30257,1.5,57855,2.6,79472,3.50 41,1,2024-09-07 08:31:50:770,212898,212898,0,0,99630698917,1046598331,209317,3064,517,370,391742,0 41,2,2024-09-07 08:31:50:760,152238,152238,0,0,7846178,0,3356 41,3,2024-09-07 08:31:51:685,1,121,9,0,366,1596,121,0 42,0,2024-09-07 08:31:51:477,29793,0.6,30072,0.9,59881,0.5,79439,2.25 42,1,2024-09-07 08:31:51:439,211658,211658,0,0,99333132472,1046153391,208115,2707,836,380,391675,0 42,2,2024-09-07 08:31:51:190,152259,152259,0,0,8086610,0,3568 42,3,2024-09-07 08:31:51:015,1,121,1,0,100,875,121,0 43,0,2024-09-07 08:31:50:929,30354,0.8,29504,1.0,61596,0.8,80759,2.00 43,1,2024-09-07 08:31:50:576,212014,212014,0,0,99675573039,1041924454,209360,1902,752,366,391604,0 43,2,2024-09-07 08:31:51:736,153186,153186,0,0,7547571,0,3812 43,3,2024-09-07 08:31:51:751,1,121,1,0,325,1888,121,0 44,0,2024-09-07 08:31:50:867,30451,0.6,30301,0.8,60740,0.6,81153,2.00 44,1,2024-09-07 08:31:50:563,213316,213316,0,0,99101478616,1025906586,211885,1302,129,356,391809,0 44,2,2024-09-07 08:31:51:275,152036,152036,0,0,6143018,0,1877 44,3,2024-09-07 08:31:51:094,1,121,1,0,817,1511,121,0 45,0,2024-09-07 08:31:51:777,29800,0.8,29184,0.9,61250,0.8,81503,2.00 45,1,2024-09-07 08:31:51:008,212968,212968,0,0,99729574067,1036667157,211969,990,9,382,391917,0 45,2,2024-09-07 08:31:51:278,153839,153839,0,0,6650664,0,3596 45,3,2024-09-07 08:31:50:947,1,121,9,0,226,1176,121,0 46,0,2024-09-07 08:31:50:950,30065,0.4,29962,0.6,60205,0.3,79709,1.75 46,1,2024-09-07 08:31:50:577,213372,213372,0,0,99900041079,1035059590,211921,1345,106,366,391514,0 46,2,2024-09-07 08:31:50:593,153423,153423,0,0,6484661,0,2920 46,3,2024-09-07 08:31:51:191,1,121,1,0,363,1894,121,0 47,0,2024-09-07 08:31:51:125,30434,0.3,30602,0.5,61436,0.3,80611,1.75 47,1,2024-09-07 08:31:50:567,213408,213408,0,0,100095591488,1034954119,212398,1000,10,366,391605,0 47,2,2024-09-07 08:31:50:908,153494,153494,0,0,6877290,0,2558 47,3,2024-09-07 08:31:51:115,1,121,1,0,529,1399,121,0 48,0,2024-09-07 08:31:51:515,30447,0.3,30240,0.5,60496,0.3,80170,1.75 48,1,2024-09-07 08:31:51:028,212788,212788,0,0,100363427001,1047438640,210800,1809,179,384,391710,0 48,2,2024-09-07 08:31:50:710,152458,152458,0,0,5846725,0,2083 48,3,2024-09-07 08:31:50:759,1,121,2,0,339,1224,121,0 49,0,2024-09-07 08:31:51:718,31011,0.6,30291,0.8,59187,0.7,81331,2.00 49,1,2024-09-07 08:31:51:029,212036,212036,0,0,99388701931,1042452339,209343,1853,840,382,391583,0 49,2,2024-09-07 08:31:51:797,153065,153065,0,0,6748634,0,3900 49,3,2024-09-07 08:31:51:418,1,121,13,0,408,1622,121,0 50,0,2024-09-07 08:31:51:511,30290,0.4,29707,0.6,59752,0.3,79868,1.75 50,1,2024-09-07 08:31:51:011,213654,213654,0,0,100212539366,1044263600,211342,2004,308,368,391530,0 50,2,2024-09-07 08:31:51:068,152388,152388,0,0,6480691,0,2253 50,3,2024-09-07 08:31:51:290,1,121,0,0,335,1134,121,0 51,0,2024-09-07 08:31:51:693,31151,0.3,30555,0.4,59647,0.2,81176,1.75 51,1,2024-09-07 08:31:51:684,212855,212855,0,0,100931549733,1048157916,210906,1165,784,367,391637,0 51,2,2024-09-07 08:31:51:315,152871,152871,0,0,5761409,0,2448 51,3,2024-09-07 08:31:51:028,1,121,0,0,162,769,121,0 52,0,2024-09-07 08:31:51:430,30365,0.6,30432,0.8,60983,0.7,80510,2.25 52,1,2024-09-07 08:31:50:581,212550,212550,0,0,99261370829,1047246664,208469,3357,724,368,391722,0 52,2,2024-09-07 08:31:51:755,151757,151757,0,0,7474921,0,4779 52,3,2024-09-07 08:31:50:676,1,121,9,0,1782,2818,121,0 53,0,2024-09-07 08:31:51:735,29906,1.3,28956,1.2,60538,1.5,79796,3.00 53,1,2024-09-07 08:31:50:775,211441,211441,0,0,99814800327,1048628872,207944,2871,626,367,391617,0 53,2,2024-09-07 08:31:51:298,153204,153204,0,0,6387761,0,2262 53,3,2024-09-07 08:31:50:699,1,121,17,0,133,1007,121,0 54,0,2024-09-07 08:31:51:621,29474,1.3,29974,1.0,58918,0.6,79631,3.50 54,1,2024-09-07 08:31:50:580,212685,212685,0,0,99995808990,1047588852,209379,2762,544,367,391532,0 54,2,2024-09-07 08:31:50:865,152858,152852,6,0,7558460,0,5382 54,3,2024-09-07 08:31:50:763,1,121,1,0,676,2664,121,0 55,0,2024-09-07 08:31:51:807,29162,0.5,30045,0.8,60998,0.4,79146,2.25 55,1,2024-09-07 08:31:50:770,211932,211932,0,0,99432162706,1046095845,207387,3760,785,367,391731,0 55,2,2024-09-07 08:31:50:729,152285,152285,0,0,7597898,0,3275 55,3,2024-09-07 08:31:50:674,1,121,1,0,304,1409,121,0 56,0,2024-09-07 08:31:51:593,31072,1.5,29377,1.2,60352,2.0,80914,2.50 56,1,2024-09-07 08:31:50:570,211995,211995,0,0,99874498781,1062162959,207411,3734,850,382,391678,0 56,2,2024-09-07 08:31:51:306,152875,152875,0,0,8160868,0,3567 56,3,2024-09-07 08:31:51:069,1,121,11,0,297,1591,121,0 57,0,2024-09-07 08:31:50:968,29629,2.0,29565,1.4,59363,2.8,79624,3.75 57,1,2024-09-07 08:31:50:988,212250,212250,0,0,98992833563,1039325244,209783,2297,170,368,391773,0 57,2,2024-09-07 08:31:51:325,152670,152670,0,0,8102046,0,3178 57,3,2024-09-07 08:31:51:758,1,121,14,0,359,2449,121,0 58,0,2024-09-07 08:31:50:572,29352,0.8,28672,1.0,59991,0.8,79084,2.50 58,1,2024-09-07 08:31:50:575,213275,213272,0,3,100012124477,1044179990,210821,2223,228,369,391516,3 58,2,2024-09-07 08:31:51:076,153341,153341,0,0,7230321,0,2549 58,3,2024-09-07 08:31:51:068,1,121,3,0,219,1085,121,0 59,0,2024-09-07 08:31:51:746,30253,0.9,29860,1.0,60052,0.9,79721,2.75 59,1,2024-09-07 08:31:50:806,212485,212485,0,0,99295516666,1043059778,209120,2443,922,369,391515,0 59,2,2024-09-07 08:31:50:582,153545,153545,0,0,6673018,0,2604 59,3,2024-09-07 08:31:51:742,1,121,1,0,1015,2476,121,0 60,0,2024-09-07 08:31:51:737,30602,0.4,30703,0.6,60745,0.4,80554,1.75 60,1,2024-09-07 08:31:50:777,214101,214101,0,0,99795937498,1037449645,212807,941,353,370,391761,0 60,2,2024-09-07 08:31:51:183,152492,152492,0,0,7523048,0,3811 60,3,2024-09-07 08:31:51:263,1,121,31,0,124,1242,121,0 61,0,2024-09-07 08:31:51:510,29972,1.6,30171,1.2,60167,2.5,80223,2.25 61,1,2024-09-07 08:31:50:775,212422,212422,0,0,100161571050,1052854439,209572,2313,537,382,391589,0 61,2,2024-09-07 08:31:51:119,153268,153268,0,0,6577628,0,2079 61,3,2024-09-07 08:31:51:687,1,121,11,0,199,1615,121,0 62,0,2024-09-07 08:31:51:727,30458,1.4,31150,1.1,59603,2.4,81343,2.25 62,1,2024-09-07 08:31:51:112,214316,214310,0,6,100672232793,1040905193,212889,1383,38,365,391715,6 62,2,2024-09-07 08:31:51:643,152075,152074,1,0,7556777,0,5555 62,3,2024-09-07 08:31:51:178,1,121,1,0,287,1041,121,0 63,0,2024-09-07 08:31:51:461,30091,0.6,30173,0.7,60247,0.7,80128,2.00 63,1,2024-09-07 08:31:50:806,213669,213663,0,6,100018722417,1038061708,212557,1086,20,381,391542,6 63,2,2024-09-07 08:31:50:762,152412,152412,0,0,6202247,0,2674 63,3,2024-09-07 08:31:51:743,1,121,1,0,667,2059,121,0 64,0,2024-09-07 08:31:51:535,30290,0.4,30124,0.6,60436,0.4,80482,1.75 64,1,2024-09-07 08:31:50:752,212536,212536,0,0,99781655973,1050174088,209101,2383,1052,371,391783,0 64,2,2024-09-07 08:31:51:206,153879,153860,19,0,7334581,0,6121 64,3,2024-09-07 08:31:51:187,1,121,31,0,265,1655,121,0 65,0,2024-09-07 08:31:51:674,29661,1.4,30061,1.1,60144,1.8,80140,2.75 65,1,2024-09-07 08:31:50:866,212076,212076,0,0,99300479267,1043070812,209728,2126,222,382,391770,0 65,2,2024-09-07 08:31:51:695,153233,153233,0,0,7751945,0,3367 65,3,2024-09-07 08:31:51:683,1,121,9,0,163,1336,121,0 66,0,2024-09-07 08:31:51:780,29862,1.4,29914,1.2,60011,2.4,80211,3.50 66,1,2024-09-07 08:31:51:294,213141,213141,0,0,100240199157,1045099003,211360,1611,170,380,391588,0 66,2,2024-09-07 08:31:51:189,154076,154076,0,0,6846361,0,4956 66,3,2024-09-07 08:31:51:085,1,121,11,0,291,1369,121,0 67,0,2024-09-07 08:31:51:425,30298,1.1,30126,1.1,60390,1.4,80562,2.75 67,1,2024-09-07 08:31:50:776,212000,211999,0,1,99974055694,1052264371,208555,2698,746,381,391787,1 67,2,2024-09-07 08:31:50:583,153284,153284,0,0,6268290,0,2889 67,3,2024-09-07 08:31:51:754,1,121,3,0,138,1185,121,0 68,0,2024-09-07 08:31:50:562,30545,0.5,30418,0.7,60690,0.5,80785,2.00 68,1,2024-09-07 08:31:50:572,211940,211940,0,0,99036976241,1042930072,209455,1589,896,381,391953,0 68,2,2024-09-07 08:31:51:054,152527,152527,0,0,7440595,0,4883 68,3,2024-09-07 08:31:50:732,1,121,1,0,151,1309,121,0 69,0,2024-09-07 08:31:51:766,30259,1.0,30500,1.0,60597,1.3,80679,2.25 69,1,2024-09-07 08:31:51:017,211621,211621,0,0,99703115011,1058382204,208340,2160,1121,384,391611,0 69,2,2024-09-07 08:31:51:737,152869,152869,0,0,8099087,0,3701 69,3,2024-09-07 08:31:50:765,1,121,1,0,238,1631,121,0 70,0,2024-09-07 08:31:51:542,29751,2.2,29897,1.7,59995,1.2,79466,3.50 70,1,2024-09-07 08:31:50:801,212980,212980,0,0,100823267561,1051731804,210680,1838,462,367,391725,0 70,2,2024-09-07 08:31:51:332,152253,152253,0,0,7352283,0,4044 70,3,2024-09-07 08:31:50:762,1,121,3,0,178,852,121,0 71,0,2024-09-07 08:31:51:361,29496,1.4,29751,1.5,58992,2.0,79756,3.25 71,1,2024-09-07 08:31:51:610,212924,212924,0,0,100046176098,1047962785,209985,2543,396,368,391682,0 71,2,2024-09-07 08:31:51:067,153702,153702,0,0,7059277,0,2146 71,3,2024-09-07 08:31:51:751,1,121,1,0,644,2197,121,0 72,0,2024-09-07 08:31:51:046,31345,0.5,30534,0.8,59931,0.5,81365,2.25 72,1,2024-09-07 08:31:51:021,212461,212461,0,0,99871167903,1045318163,209648,2330,483,370,391819,0 72,2,2024-09-07 08:31:51:760,152056,152056,0,0,8603305,0,2570 72,3,2024-09-07 08:31:51:760,1,121,2,0,325,1889,121,0 73,0,2024-09-07 08:31:51:177,29648,0.4,30342,0.6,62037,0.3,80509,2.25 73,1,2024-09-07 08:31:50:766,212697,212697,0,0,99446278316,1034171182,211103,1480,114,368,391627,0 73,2,2024-09-07 08:31:51:749,153512,153512,0,0,7908791,0,3482 73,3,2024-09-07 08:31:50:970,1,121,34,0,274,2019,121,0 74,0,2024-09-07 08:31:51:339,30530,0.7,30946,0.9,59147,0.8,80657,2.75 74,1,2024-09-07 08:31:50:635,212798,212798,0,0,99868998414,1044423084,210360,1832,606,382,391681,0 74,2,2024-09-07 08:31:51:005,152337,152337,0,0,8018090,0,4253 74,3,2024-09-07 08:31:51:442,1,121,235,0,246,2002,121,0 75,0,2024-09-07 08:31:51:777,30325,0.7,30224,1.0,60477,0.7,81536,2.50 75,1,2024-09-07 08:31:51:586,212453,212453,0,0,99665820240,1044096821,210049,2040,364,381,391579,0 75,2,2024-09-07 08:31:51:350,152685,152685,0,0,7331652,0,4766 75,3,2024-09-07 08:31:51:067,1,121,2,0,535,1515,121,0 76,0,2024-09-07 08:31:50:604,30043,0.4,29823,0.7,59532,0.4,79968,2.25 76,1,2024-09-07 08:31:50:817,212672,212672,0,0,99476559626,1041482849,210756,1406,510,382,391530,0 76,2,2024-09-07 08:31:51:070,153936,153936,0,0,6500966,0,3064 76,3,2024-09-07 08:31:51:181,1,121,2,0,175,1517,121,0 77,0,2024-09-07 08:31:51:709,30424,0.6,30622,0.7,61322,0.6,80479,2.00 77,1,2024-09-07 08:31:50:826,213085,213085,0,0,99145006678,1035855827,211687,1317,81,383,391808,0 77,2,2024-09-07 08:31:51:280,153022,153022,0,0,6633233,0,3890 77,3,2024-09-07 08:31:51:096,1,121,9,0,305,1735,121,0 78,0,2024-09-07 08:31:51:732,30249,0.6,30209,0.8,60616,0.6,79918,2.25 78,1,2024-09-07 08:31:50:613,213137,213137,0,0,99129800919,1033093579,211378,1554,205,367,391589,0 78,2,2024-09-07 08:31:51:405,153552,153552,0,0,6404188,0,2114 78,3,2024-09-07 08:31:51:188,1,121,1,0,181,1437,121,0 79,0,2024-09-07 08:31:51:351,29259,0.6,29854,0.8,61253,0.5,80060,2.75 79,1,2024-09-07 08:31:50:592,213424,213424,0,0,99953241280,1038705561,211426,1827,171,369,391682,0 79,2,2024-09-07 08:31:51:077,153633,153633,0,0,6576719,0,3212 79,3,2024-09-07 08:31:50:751,1,121,9,0,306,2004,121,0 80,0,2024-09-07 08:31:51:093,29976,0.8,30763,0.9,59260,0.9,80143,2.50 80,1,2024-09-07 08:31:51:620,212050,212050,0,0,99593774820,1042592875,209580,2311,159,368,391673,0 80,2,2024-09-07 08:31:51:096,153308,153308,0,0,7244813,0,4433 80,3,2024-09-07 08:31:50:574,1,121,90,0,190,2232,121,0 81,0,2024-09-07 08:31:51:561,30502,0.5,31336,0.6,59981,0.5,81007,2.00 81,1,2024-09-07 08:31:51:666,212604,212604,0,0,98897633070,1039006080,210190,2142,272,382,391879,0 81,2,2024-09-07 08:31:51:192,152398,152398,0,0,7690137,0,3993 81,3,2024-09-07 08:31:51:117,1,121,49,0,193,1322,121,0 82,0,2024-09-07 08:31:51:555,30097,0.6,30195,0.9,60582,0.6,80846,2.00 82,1,2024-09-07 08:31:50:588,212259,212255,0,4,99412983622,1042064673,209850,1829,576,382,391558,4 82,2,2024-09-07 08:31:51:692,153649,153649,0,0,6934517,0,3986 82,3,2024-09-07 08:31:51:761,1,121,2,0,227,1728,121,0 83,0,2024-09-07 08:31:51:544,30258,1.0,29983,1.1,59500,1.2,79904,2.50 83,1,2024-09-07 08:31:50:554,212939,212939,0,0,99865234779,1047107257,210659,2091,189,383,391553,0 83,2,2024-09-07 08:31:50:770,152072,152072,0,0,6759563,0,3119 83,3,2024-09-07 08:31:50:756,1,121,1,0,133,1200,121,0 84,0,2024-09-07 08:31:51:783,30062,0.9,29807,1.1,59748,0.7,80588,2.50 84,1,2024-09-07 08:31:51:043,211643,211643,0,0,99255860660,1044688257,208682,2513,448,368,391852,0 84,2,2024-09-07 08:31:50:589,152982,152982,0,0,7324328,0,3801 84,3,2024-09-07 08:31:51:180,1,121,8,1,85,1184,121,0 85,0,2024-09-07 08:31:51:069,29121,0.5,29132,0.8,61805,0.5,80331,2.25 85,1,2024-09-07 08:31:50:561,211577,211577,0,0,99538709344,1057512774,207345,3487,745,382,391679,0 85,2,2024-09-07 08:31:50:865,153168,153168,0,0,8150288,0,3656 85,3,2024-09-07 08:31:50:685,1,121,8,0,115,1253,121,0 86,0,2024-09-07 08:31:50:906,30257,0.6,31216,0.7,59927,0.7,80776,2.00 86,1,2024-09-07 08:31:50:841,212540,212540,0,0,99969816061,1050716342,209365,2841,334,366,391961,0 86,2,2024-09-07 08:31:50:861,152623,152622,1,0,8465024,0,5004 86,3,2024-09-07 08:31:50:593,1,121,2,0,199,1493,121,0 87,0,2024-09-07 08:31:51:355,29983,2.1,29993,1.3,60026,3.3,80842,2.50 87,1,2024-09-07 08:31:50:558,211949,211949,0,0,98874599512,1038911772,209515,2294,140,366,391788,0 87,2,2024-09-07 08:31:51:071,152538,152538,0,0,6885607,0,3515 87,3,2024-09-07 08:31:51:794,1,121,41,0,335,2215,121,0 88,0,2024-09-07 08:31:51:494,30136,0.6,30431,0.7,60345,0.7,81245,1.75 88,1,2024-09-07 08:31:50:579,211603,211603,0,0,98967981622,1040641643,208835,1991,777,367,391787,0 88,2,2024-09-07 08:31:50:688,153308,153308,0,0,7789662,0,3583 88,3,2024-09-07 08:31:51:275,1,121,2,1,77,1295,121,0 89,0,2024-09-07 08:31:51:786,31163,0.5,30245,0.7,59883,0.5,81115,1.75 89,1,2024-09-07 08:31:50:554,211587,211587,0,0,99511094820,1056825706,207065,3649,873,382,391866,0 89,2,2024-09-07 08:31:51:189,152971,152971,0,0,7791480,0,2901 89,3,2024-09-07 08:31:51:791,1,121,13,0,325,2644,121,0 90,0,2024-09-07 08:31:51:635,29546,0.4,30126,0.6,61994,0.4,80416,1.75 90,1,2024-09-07 08:31:50:722,212745,212745,0,0,98942694686,1042103589,210018,2569,158,382,391825,0 90,2,2024-09-07 08:31:51:407,151589,151589,0,0,8408848,0,2635 90,3,2024-09-07 08:31:50:941,1,121,1,1,200,1446,121,0 91,0,2024-09-07 08:31:50:939,30535,0.5,29584,0.7,61280,0.5,80592,1.75 91,1,2024-09-07 08:31:50:558,212418,212418,0,0,99602973271,1050312499,209120,2805,493,381,391914,0 91,2,2024-09-07 08:31:51:332,151570,151570,0,0,8282970,0,2445 91,3,2024-09-07 08:31:50:604,1,121,3,0,155,1517,121,0 92,0,2024-09-07 08:31:51:450,30720,0.7,31359,0.8,60043,0.8,81720,1.75 92,1,2024-09-07 08:31:50:582,212548,212548,0,0,98922400117,1036431653,210639,1515,394,382,391717,0 92,2,2024-09-07 08:31:51:353,154723,154723,0,0,6586259,0,2279 92,3,2024-09-07 08:31:51:019,1,121,7,0,68,1173,121,0 93,0,2024-09-07 08:31:50:983,30345,0.5,31065,0.7,59613,0.5,80536,1.75 93,1,2024-09-07 08:31:50:810,212767,212767,0,0,99710909789,1044876717,210348,1973,446,366,391689,0 93,2,2024-09-07 08:31:50:942,152780,152780,0,0,6651970,0,2509 93,3,2024-09-07 08:31:51:412,1,121,11,0,143,1287,121,0 94,0,2024-09-07 08:31:51:613,30398,0.3,30660,0.5,60814,0.3,80349,1.75 94,1,2024-09-07 08:31:50:571,212447,212447,0,0,99225172053,1041632076,210343,2025,79,381,391850,0 94,2,2024-09-07 08:31:50:762,152558,152558,0,0,6586115,0,2443 94,3,2024-09-07 08:31:51:688,1,121,1,0,264,2098,121,0 95,0,2024-09-07 08:31:51:397,30431,0.4,30377,0.6,60998,0.4,80914,1.75 95,1,2024-09-07 08:31:50:861,212914,212914,0,0,99643065777,1035603128,211890,960,64,367,391600,0 95,2,2024-09-07 08:31:51:018,153110,153110,0,0,6891030,0,3308 95,3,2024-09-07 08:31:51:716,1,121,1,0,307,2029,121,0 96,0,2024-09-07 08:31:51:028,30399,1.0,30480,0.9,60774,1.2,80853,2.00 96,1,2024-09-07 08:31:51:594,212296,212296,0,0,98789742693,1040053977,209719,1936,641,385,391894,0 96,2,2024-09-07 08:31:51:272,152744,152744,0,0,7245144,0,4042 96,3,2024-09-07 08:31:51:180,1,121,2,0,188,1377,121,0 97,0,2024-09-07 08:31:51:339,30237,0.5,30232,0.6,60524,0.6,80540,1.75 97,1,2024-09-07 08:31:50:780,212501,212501,0,0,99722937998,1043236775,209755,2199,547,367,392140,0 97,2,2024-09-07 08:31:50:609,152541,152541,0,0,6688878,0,3036 97,3,2024-09-07 08:31:50:574,1,121,17,1,165,1545,121,0 98,0,2024-09-07 08:31:51:748,30467,0.3,30281,0.4,61054,0.2,81164,1.50 98,1,2024-09-07 08:31:50:578,212651,212651,0,0,99501945547,1041887625,210878,1689,84,382,391997,0 98,2,2024-09-07 08:31:50:775,154213,154213,0,0,7021839,0,3080 98,3,2024-09-07 08:31:50:701,1,121,2,1,840,2781,121,0 99,0,2024-09-07 08:31:51:452,30389,0.3,30471,0.5,60839,0.3,80925,1.75 99,1,2024-09-07 08:31:51:726,212995,212995,0,0,98823097928,1036313864,210507,1839,649,381,391744,0 99,2,2024-09-07 08:31:51:425,154504,154504,0,0,6803766,0,1973 99,3,2024-09-07 08:31:50:592,1,121,1,0,129,907,121,0 100,0,2024-09-07 08:31:51:463,30011,1.2,30134,1.7,60060,2.8,80585,2.50 100,1,2024-09-07 08:31:50:549,211395,211395,0,0,98905757359,1053169915,207216,3687,492,381,391989,0 100,2,2024-09-07 08:31:51:824,151672,151661,11,0,7925465,0,5417 100,3,2024-09-07 08:31:51:746,1,121,3,0,443,2516,121,0 101,0,2024-09-07 08:31:51:719,30514,2.2,29588,1.4,58348,1.9,80699,2.75 101,1,2024-09-07 08:31:50:551,211586,211586,0,0,99706615859,1059174364,207170,3058,1358,368,391709,0 101,2,2024-09-07 08:31:51:785,152678,152678,0,0,8376360,0,4644 101,3,2024-09-07 08:31:50:943,1,121,18,0,448,1619,121,0 102,0,2024-09-07 08:31:50:992,29583,0.7,30751,0.8,61641,0.5,80730,2.00 102,1,2024-09-07 08:31:51:188,211871,211871,0,0,99469576305,1048971800,208878,2476,517,369,391831,0 102,2,2024-09-07 08:31:51:744,153759,153759,0,0,6862702,0,2144 102,3,2024-09-07 08:31:51:614,1,121,3,0,410,1530,121,0 103,0,2024-09-07 08:31:51:686,31183,0.5,31170,0.6,59002,0.4,80806,1.75 103,1,2024-09-07 08:31:51:632,211371,211371,0,0,99670818433,1053020623,208160,2304,907,381,391680,0 103,2,2024-09-07 08:31:50:582,152271,152271,0,0,7295930,0,2104 103,3,2024-09-07 08:31:50:756,1,121,1,0,916,2612,121,0 104,0,2024-09-07 08:31:51:013,30053,1.1,30126,1.1,59248,1.2,80911,2.25 104,1,2024-09-07 08:31:51:602,212674,212674,0,0,99654695677,1052527989,208999,2980,695,366,391948,0 104,2,2024-09-07 08:31:51:667,151576,151576,0,0,7710454,0,3941 104,3,2024-09-07 08:31:51:425,1,121,1,1,1245,4747,121,0 105,0,2024-09-07 08:31:51:029,29973,1.1,28981,1.2,60370,1.7,80889,3.50 105,1,2024-09-07 08:31:50:555,212600,212600,0,0,99514668637,1048439871,208923,2914,763,367,391797,0 105,2,2024-09-07 08:31:51:325,152818,152818,0,0,6992240,0,3314 105,3,2024-09-07 08:31:51:316,1,121,13,1,399,2042,121,0 106,0,2024-09-07 08:31:50:978,29162,0.6,29867,0.9,60804,0.6,79700,2.00 106,1,2024-09-07 08:31:51:756,212529,212529,0,0,98979405373,1039978893,209651,2549,329,369,391767,0 106,2,2024-09-07 08:31:50:769,152568,152568,0,0,7216100,0,2795 106,3,2024-09-07 08:31:50:685,1,121,68,1,201,1451,121,0 107,0,2024-09-07 08:31:51:137,30592,0.4,30375,0.6,60616,0.4,80755,1.75 107,1,2024-09-07 08:31:50:607,211879,211879,0,0,98446437860,1038757276,209211,2444,224,381,392234,0 107,2,2024-09-07 08:31:51:295,152265,152264,1,0,7493706,0,5024 107,3,2024-09-07 08:31:51:758,1,121,17,0,353,1582,121,0 108,0,2024-09-07 08:31:51:778,30379,0.4,30523,0.7,60439,0.4,80278,1.75 108,1,2024-09-07 08:31:51:294,212534,212534,0,0,99628892040,1044002496,210239,2009,286,368,391857,0 108,2,2024-09-07 08:31:51:756,152245,152245,0,0,7290492,0,2647 108,3,2024-09-07 08:31:51:331,1,121,2,0,272,1808,121,0 109,0,2024-09-07 08:31:51:778,30323,0.5,30343,0.7,60370,0.5,81450,1.75 109,1,2024-09-07 08:31:50:582,211991,211991,0,0,99881611385,1052399985,209593,1937,461,383,391812,0 109,2,2024-09-07 08:31:50:921,152038,152038,0,0,7169449,0,3617 109,3,2024-09-07 08:31:51:180,1,121,2,1,249,1808,121,0 110,0,2024-09-07 08:31:51:787,30247,0.5,29388,0.8,61522,0.5,80238,1.75 110,1,2024-09-07 08:31:51:667,212953,212953,0,0,98842335963,1033497268,210611,1642,700,370,391667,0 110,2,2024-09-07 08:31:51:309,153154,153154,0,0,6582071,0,2915 110,3,2024-09-07 08:31:50:692,1,121,13,0,406,1873,121,0 111,0,2024-09-07 08:31:51:455,30607,0.2,30109,0.4,60338,0.2,81015,1.50 111,1,2024-09-07 08:31:51:023,213296,213296,0,0,100413993486,1045119818,211648,1316,332,382,391690,0 111,2,2024-09-07 08:31:51:117,153036,153036,0,0,7372335,0,2763 111,3,2024-09-07 08:31:50:919,1,121,2,0,300,1928,121,0 112,0,2024-09-07 08:31:50:929,30454,0.3,30318,0.5,60718,0.3,81025,1.50 112,1,2024-09-07 08:31:50:824,212764,212764,0,0,100134551320,1046116289,210448,1891,425,381,391580,0 112,2,2024-09-07 08:31:51:193,153122,153121,1,0,7408881,0,5036 112,3,2024-09-07 08:31:50:594,1,121,46,0,282,1538,121,0 113,0,2024-09-07 08:31:50:871,29968,0.5,29782,0.6,60427,0.4,80940,1.75 113,1,2024-09-07 08:31:51:686,212987,212987,0,0,100457657636,1045195211,210581,1918,488,368,391661,0 113,2,2024-09-07 08:31:51:306,153531,153531,0,0,6414418,0,3813 113,3,2024-09-07 08:31:50:684,1,121,1,0,288,2037,121,0 114,0,2024-09-07 08:31:50:877,30445,0.8,30931,0.7,60585,0.5,81643,2.00 114,1,2024-09-07 08:31:50:721,212421,212421,0,0,99390409654,1048081621,208363,2596,1462,381,391534,0 114,2,2024-09-07 08:31:50:873,152727,152727,0,0,6717212,0,3925 114,3,2024-09-07 08:31:51:280,1,121,10,0,395,1834,121,0 115,0,2024-09-07 08:31:50:558,30200,0.3,30500,0.4,61072,0.2,81053,1.50 115,1,2024-09-07 08:31:50:572,212850,212850,0,0,100089409746,1050264710,209268,2762,820,382,391602,0 115,2,2024-09-07 08:31:51:189,153934,153934,0,0,6252735,0,2152 115,3,2024-09-07 08:31:51:003,1,121,4,0,159,988,121,0 116,0,2024-09-07 08:31:51:732,30525,0.7,30131,0.8,60574,0.8,80774,2.00 116,1,2024-09-07 08:31:50:808,211281,211281,0,0,99246711678,1057962020,207482,2412,1387,382,391619,0 116,2,2024-09-07 08:31:51:757,152125,152125,0,0,8592108,0,3529 116,3,2024-09-07 08:31:50:919,1,121,13,0,252,1885,121,0 117,0,2024-09-07 08:31:50:952,30053,1.5,30186,1.2,59881,2.3,80950,2.00 117,1,2024-09-07 08:31:51:580,212490,212490,0,0,99329104659,1046242264,209335,2757,398,370,392033,0 117,2,2024-09-07 08:31:51:118,153279,153279,0,0,6791813,0,3700 117,3,2024-09-07 08:31:51:059,1,121,185,0,490,2930,121,0 118,0,2024-09-07 08:31:51:865,29449,0.8,30225,0.9,61650,0.9,80778,2.25 118,1,2024-09-07 08:31:50:588,212154,212154,0,0,99022003243,1045287187,208994,2734,426,368,391736,0 118,2,2024-09-07 08:31:51:632,153312,153312,0,0,7785192,0,2781 118,3,2024-09-07 08:31:51:769,1,121,31,0,235,1769,121,0 119,0,2024-09-07 08:31:51:342,29892,0.9,30052,0.9,60969,1.3,80386,2.00 119,1,2024-09-07 08:31:50:558,212673,212673,0,0,99733972111,1045318269,210350,2039,284,370,391641,0 119,2,2024-09-07 08:31:51:273,152073,152073,0,0,6728970,0,2532 119,3,2024-09-07 08:31:51:324,1,121,10,0,443,2568,121,0 120,0,2024-09-07 08:31:51:545,30116,0.5,30175,0.8,60232,0.5,80403,2.00 120,1,2024-09-07 08:31:50:862,212716,212716,0,0,99185305321,1041720963,210611,2060,45,368,391702,0 120,2,2024-09-07 08:31:50:775,151926,151925,1,0,8893294,0,5281 120,3,2024-09-07 08:31:51:292,1,121,34,0,241,1796,121,0 121,0,2024-09-07 08:31:51:690,29867,1.7,30306,1.2,60347,2.7,80425,2.25 121,1,2024-09-07 08:31:51:665,212641,212641,0,0,99511290331,1044070050,210133,2225,283,367,391840,0 121,2,2024-09-07 08:31:51:189,151836,151836,0,0,8284885,0,4127 121,3,2024-09-07 08:31:50:728,1,121,7,0,159,1512,121,0 122,0,2024-09-07 08:31:51:768,30117,1.4,29280,1.3,61308,1.6,81669,2.25 122,1,2024-09-07 08:31:50:917,211828,211828,0,0,99324596947,1047798682,208069,3098,661,366,392130,0 122,2,2024-09-07 08:31:51:326,153358,153358,0,0,8353208,0,3364 122,3,2024-09-07 08:31:50:594,1,121,320,0,320,2806,121,0 123,0,2024-09-07 08:31:50:954,30244,1.0,29374,0.9,61425,1.2,80268,2.25 123,1,2024-09-07 08:31:50:558,213098,213098,0,0,99243586527,1047893688,209214,3311,573,369,391823,0 123,2,2024-09-07 08:31:51:024,151720,151719,1,0,7572668,0,5215 123,3,2024-09-07 08:31:51:189,1,121,2,1,168,1787,121,0 124,0,2024-09-07 08:31:50:991,31271,0.3,31277,0.5,59004,0.2,80671,1.75 124,1,2024-09-07 08:31:51:024,212505,212505,0,0,99733829217,1039025579,210702,1451,352,367,392178,0 124,2,2024-09-07 08:31:51:011,153313,153313,0,0,6608720,0,3101 124,3,2024-09-07 08:31:50:760,1,121,1,0,490,2060,121,0 125,0,2024-09-07 08:31:51:453,30512,0.5,30371,0.6,61052,0.5,80953,1.75 125,1,2024-09-07 08:31:50:855,212102,212102,0,0,99974510956,1047683888,209830,1997,275,384,391702,0 125,2,2024-09-07 08:31:51:120,153397,153397,0,0,6567956,0,2180 125,3,2024-09-07 08:31:51:191,1,121,11,1,284,1727,121,0 126,0,2024-09-07 08:31:51:427,30366,0.9,31164,0.9,59697,0.9,81445,2.00 126,1,2024-09-07 08:31:50:583,213191,213191,0,0,100460148248,1044695977,211705,1410,76,365,391987,0 126,2,2024-09-07 08:31:50:618,153599,153599,0,0,6623266,0,3186 126,3,2024-09-07 08:31:50:908,1,121,1,0,136,1765,121,0 127,0,2024-09-07 08:31:51:623,30040,0.5,30345,0.6,60553,0.5,80648,1.75 127,1,2024-09-07 08:31:50:569,212784,212784,0,0,99632275749,1035619514,211041,1708,35,365,391816,0 127,2,2024-09-07 08:31:50:642,152824,152824,0,0,6120939,0,1803 127,3,2024-09-07 08:31:51:273,1,121,2,0,99,916,121,0 128,0,2024-09-07 08:31:51:549,30645,0.3,30565,0.5,60825,0.2,81065,1.50 128,1,2024-09-07 08:31:51:622,212971,212971,0,0,100191490518,1040427784,212049,851,71,367,391680,0 128,2,2024-09-07 08:31:51:401,152795,152795,0,0,6704214,0,2107 128,3,2024-09-07 08:31:50:769,1,121,125,0,333,2080,121,0 129,0,2024-09-07 08:31:51:017,30627,0.3,30536,0.5,60812,0.3,80857,1.75 129,1,2024-09-07 08:31:50:567,211905,211905,0,0,99753063877,1050337127,209011,2504,390,379,391835,0 129,2,2024-09-07 08:31:50:686,153018,153018,0,0,6825778,0,4031 129,3,2024-09-07 08:31:50:688,1,121,12,1,173,1662,121,0 130,0,2024-09-07 08:31:51:733,30596,1.1,30257,0.9,60746,1.5,81421,2.00 130,1,2024-09-07 08:31:50:654,212471,212471,0,0,99971253642,1046671791,210430,1973,68,381,391825,0 130,2,2024-09-07 08:31:51:195,152176,152176,0,0,6690059,0,4067 130,3,2024-09-07 08:31:51:308,1,121,1,0,207,1183,121,0 131,0,2024-09-07 08:31:51:952,30067,0.7,30053,0.8,61017,1.0,80736,2.00 131,1,2024-09-07 08:31:51:826,213399,213399,0,0,99035498580,1034816931,211959,1235,205,384,391865,0 131,2,2024-09-07 08:31:50:567,153789,153789,0,0,6266190,0,2415 131,3,2024-09-07 08:31:51:688,1,121,4,0,392,1465,121,0 132,0,2024-09-07 08:31:51:440,30000,0.5,30508,0.7,61005,0.5,81129,1.75 132,1,2024-09-07 08:31:50:577,211308,211308,0,0,98797371259,1048969782,207083,3429,796,381,391719,0 132,2,2024-09-07 08:31:50:702,152394,152394,0,0,9242709,0,4606 132,3,2024-09-07 08:31:51:688,1,121,4,0,356,2055,121,0 133,0,2024-09-07 08:31:51:552,29559,0.5,30177,0.6,62013,0.4,80120,1.75 133,1,2024-09-07 08:31:50:588,211041,211041,0,0,98833683300,1047651584,207505,3303,233,383,391755,0 133,2,2024-09-07 08:31:51:090,152355,152355,0,0,8808105,0,4315 133,3,2024-09-07 08:31:51:299,1,121,8,1,187,1159,121,0 134,0,2024-09-07 08:31:50:960,30169,0.7,30031,0.9,60559,0.7,80687,2.00 134,1,2024-09-07 08:31:50:584,211670,211670,0,0,99348753957,1047151370,207810,2686,1174,366,391718,0 134,2,2024-09-07 08:31:51:761,152051,152051,0,0,7269447,0,3096 134,3,2024-09-07 08:31:50:754,1,121,109,0,739,2586,121,0 135,0,2024-09-07 08:31:51:113,29541,0.9,29445,1.1,62214,1.1,80622,2.25 135,1,2024-09-07 08:31:51:594,211733,211733,0,0,99382433587,1051190369,208007,3026,700,380,391725,0 135,2,2024-09-07 08:31:50:698,153655,153655,0,0,7683520,0,3981 135,3,2024-09-07 08:31:51:002,1,121,2,0,89,770,121,0 136,0,2024-09-07 08:31:51:627,30366,0.6,30170,0.8,60822,0.6,80714,2.00 136,1,2024-09-07 08:31:51:475,211716,211716,0,0,99147505394,1041646723,209004,2547,165,384,391641,0 136,2,2024-09-07 08:31:51:189,153814,153814,0,0,7151560,0,3506 136,3,2024-09-07 08:31:51:109,1,121,2,0,108,1080,121,0 137,0,2024-09-07 08:31:50:930,31180,0.5,30331,0.7,60230,0.5,80926,2.00 137,1,2024-09-07 08:31:50:575,212476,212476,0,0,100140278038,1048417880,209850,2447,179,367,391608,0 137,2,2024-09-07 08:31:51:708,152276,152276,0,0,8786907,0,3185 137,3,2024-09-07 08:31:50:771,1,121,15,1,227,1585,121,0 138,0,2024-09-07 08:31:51:745,29735,1.9,29900,1.4,60368,3.1,80279,2.50 138,1,2024-09-07 08:31:51:685,212613,212613,0,0,100011771436,1049553024,209716,2549,348,368,391954,0 138,2,2024-09-07 08:31:50:585,153376,153376,0,0,7081624,0,3263 138,3,2024-09-07 08:31:50:614,1,121,3,0,1160,2326,121,0 139,0,2024-09-07 08:31:51:385,29465,3.4,29507,1.9,59812,4.6,80359,3.25 139,1,2024-09-07 08:31:50:582,211891,211891,0,0,98727636350,1051525480,207587,3106,1198,381,391892,0 139,2,2024-09-07 08:31:50:728,151440,151440,0,0,7982243,0,3097 139,3,2024-09-07 08:31:51:662,1,121,10,0,244,1627,121,0 140,0,2024-09-07 08:31:51:591,30337,0.4,30220,0.6,60593,0.4,80298,1.75 140,1,2024-09-07 08:31:51:543,213292,213292,0,0,100407745907,1037742511,212143,934,215,365,391606,0 140,2,2024-09-07 08:31:50:687,153577,153577,0,0,7068324,0,3388 140,3,2024-09-07 08:31:50:767,1,121,2,0,155,945,121,0 141,0,2024-09-07 08:31:51:701,30129,0.2,31121,0.4,59797,0.2,81038,1.50 141,1,2024-09-07 08:31:50:871,213053,213053,0,0,100296556523,1044240000,211327,1374,352,379,391539,0 141,2,2024-09-07 08:31:51:686,152890,152890,0,0,6444215,0,2342 141,3,2024-09-07 08:31:51:047,1,121,5,0,147,1047,121,0 142,0,2024-09-07 08:31:51:311,30756,0.3,30394,0.5,60411,0.3,81176,1.75 142,1,2024-09-07 08:31:50:585,212995,212995,0,0,100042725808,1043799575,211889,973,133,384,391649,0 142,2,2024-09-07 08:31:51:305,151888,151856,32,0,7863861,0,6028 142,3,2024-09-07 08:31:51:760,1,121,2,0,484,1993,121,0 143,0,2024-09-07 08:31:51:428,30143,0.8,30255,0.8,60887,1.0,80866,2.00 143,1,2024-09-07 08:31:50:558,212778,212778,0,0,99639583705,1038475647,210756,1981,41,367,391619,0 143,2,2024-09-07 08:31:50:769,152310,152310,0,0,6895387,0,2669 143,3,2024-09-07 08:31:51:177,1,121,7,0,303,2199,121,0 144,0,2024-09-07 08:31:51:502,29249,0.6,30139,1.2,61066,0.5,81079,2.00 144,1,2024-09-07 08:31:50:568,211735,211735,0,0,99118217469,1044589056,209517,2017,201,381,391649,0 144,2,2024-09-07 08:31:51:762,153545,153545,0,0,6622048,0,3473 144,3,2024-09-07 08:31:51:748,1,121,3,0,249,1852,121,0 145,0,2024-09-07 08:31:51:369,29248,0.6,29189,0.8,61959,0.5,80939,2.00 145,1,2024-09-07 08:31:50:568,210956,210956,0,0,99010229410,1050023925,206919,3305,732,383,391615,0 145,2,2024-09-07 08:31:51:429,153203,153203,0,0,7629440,0,3903 145,3,2024-09-07 08:31:50:897,1,121,17,0,151,1534,121,0 146,0,2024-09-07 08:31:51:689,30256,0.5,30110,0.7,60731,0.5,80331,2.00 146,1,2024-09-07 08:31:51:588,212953,212953,0,0,100176415699,1055974267,208843,3314,796,368,391600,0 146,2,2024-09-07 08:31:51:702,152923,152923,0,0,7001190,0,2379 146,3,2024-09-07 08:31:51:290,1,121,1,0,1520,4510,121,0 147,0,2024-09-07 08:31:51:714,30039,1.8,29961,1.3,59287,2.7,80850,2.50 147,1,2024-09-07 08:31:51:406,213457,213457,0,0,99973272206,1042867028,211167,1899,391,368,391791,0 147,2,2024-09-07 08:31:51:020,152604,152604,0,0,6744333,0,2789 147,3,2024-09-07 08:31:50:918,1,121,4,0,371,1644,121,0 0,0,2024-09-07 08:32:01:762,29416,0.5,29501,0.7,62380,0.5,80616,1.75 0,1,2024-09-07 08:32:00:803,213958,213958,0,0,100491896395,1056338554,212255,1572,131,372,391692,0 0,2,2024-09-07 08:32:01:067,153772,153772,0,0,7249870,0,4480 0,3,2024-09-07 08:32:00:975,1,122,1,0,247,1844,122,0 1,0,2024-09-07 08:32:01:761,30356,2.0,30011,1.5,60453,2.7,80516,2.50 1,1,2024-09-07 08:32:00:557,213107,213107,0,0,99420000671,1050641270,209692,2553,862,372,391857,0 1,2,2024-09-07 08:32:00:644,153405,153405,0,0,6696358,0,3267 1,3,2024-09-07 08:32:01:304,1,122,8,0,262,1663,122,0 2,0,2024-09-07 08:32:01:578,30509,1.1,30702,1.1,60929,1.5,82083,2.50 2,1,2024-09-07 08:32:00:863,213887,213887,0,0,100542949009,1049303967,212476,1205,206,380,391558,0 2,2,2024-09-07 08:32:01:267,153888,153888,0,0,7187040,0,3594 2,3,2024-09-07 08:32:00:690,1,122,1,0,357,1509,122,0 3,0,2024-09-07 08:32:01:775,30093,0.6,30124,0.8,60379,0.6,79798,2.00 3,1,2024-09-07 08:32:01:625,213622,213622,0,0,100726553483,1057358732,210529,2670,423,382,391516,0 3,2,2024-09-07 08:32:01:147,154135,154112,23,0,7299027,0,5851 3,3,2024-09-07 08:32:01:763,1,122,1,0,103,876,122,0 4,0,2024-09-07 08:32:01:786,29710,0.3,30346,0.5,61685,0.2,80805,1.75 4,1,2024-09-07 08:32:00:594,214081,214081,0,0,99982458299,1056242252,211398,2163,520,371,391846,0 4,2,2024-09-07 08:32:01:023,152965,152965,0,0,8702583,0,4528 4,3,2024-09-07 08:32:01:047,1,122,20,0,287,1980,122,0 5,0,2024-09-07 08:32:01:380,30688,0.6,30505,0.7,61238,0.7,81326,2.00 5,1,2024-09-07 08:32:00:756,213261,213261,0,0,100384508172,1063812245,209262,3014,985,368,392005,0 5,2,2024-09-07 08:32:01:836,153949,153949,0,0,7424829,0,2259 5,3,2024-09-07 08:32:01:733,1,122,8,0,238,2099,122,0 6,0,2024-09-07 08:32:00:921,30963,1.3,30584,1.2,60877,2.1,82369,2.75 6,1,2024-09-07 08:32:00:746,213708,213708,0,0,100446591266,1052169487,211554,1911,243,381,391603,0 6,2,2024-09-07 08:32:01:115,154245,154245,0,0,7316462,0,3770 6,3,2024-09-07 08:32:01:277,1,122,9,0,340,2057,122,0 7,0,2024-09-07 08:32:01:539,29790,0.6,29796,0.9,59947,0.6,79879,2.00 7,1,2024-09-07 08:32:00:850,214150,214150,0,0,100585869324,1056193086,211807,2243,100,383,391664,0 7,2,2024-09-07 08:32:00:770,153513,153513,0,0,6687013,0,2981 7,3,2024-09-07 08:32:00:854,1,122,14,0,305,1364,122,0 8,0,2024-09-07 08:32:01:331,30521,0.3,30829,0.4,60952,0.2,81776,1.50 8,1,2024-09-07 08:32:01:021,213529,213529,0,0,100556800586,1064374365,209525,2719,1285,368,391956,0 8,2,2024-09-07 08:32:00:799,153033,153033,0,0,7979794,0,2986 8,3,2024-09-07 08:32:00:584,1,122,1,0,357,1924,122,0 9,0,2024-09-07 08:32:01:109,30579,0.4,29836,0.5,62148,0.3,81304,1.75 9,1,2024-09-07 08:32:00:564,213747,213747,0,0,100847176933,1068605022,209647,3026,1074,370,391753,0 9,2,2024-09-07 08:32:01:101,153888,153888,0,0,7806261,0,3360 9,3,2024-09-07 08:32:01:780,1,122,9,0,496,1990,122,0 10,0,2024-09-07 08:32:01:634,30724,0.5,30646,0.7,61401,0.4,82321,1.75 10,1,2024-09-07 08:32:00:583,214123,214123,0,0,100093635592,1054145756,210414,3185,524,381,391741,0 10,2,2024-09-07 08:32:00:767,153526,153526,0,0,7250850,0,2940 10,3,2024-09-07 08:32:00:872,1,122,1,0,136,916,122,0 11,0,2024-09-07 08:32:01:015,29795,0.4,29110,0.7,61073,0.4,81542,2.00 11,1,2024-09-07 08:32:00:571,213697,213697,0,0,100407341689,1060477422,209167,3368,1162,384,391537,0 11,2,2024-09-07 08:32:01:125,154298,154298,0,0,7202208,0,3411 11,3,2024-09-07 08:32:01:298,1,122,1,0,720,2734,122,0 12,0,2024-09-07 08:32:00:978,30900,0.3,30792,0.5,61783,0.3,82128,1.50 12,1,2024-09-07 08:32:00:949,213907,213907,0,0,100270496502,1052878900,211100,2397,410,371,391837,0 12,2,2024-09-07 08:32:01:546,155099,155099,0,0,7716567,0,3469 12,3,2024-09-07 08:32:01:061,1,122,2,0,358,2348,122,0 13,0,2024-09-07 08:32:01:338,30577,0.4,30687,0.5,61156,0.4,81172,1.75 13,1,2024-09-07 08:32:01:525,214569,214569,0,0,100385012565,1056078482,212638,1555,376,384,391717,0 13,2,2024-09-07 08:32:00:595,154478,154478,0,0,7057659,0,3287 13,3,2024-09-07 08:32:01:762,1,122,8,1,416,2312,122,0 14,0,2024-09-07 08:32:00:564,30618,0.6,30713,0.8,60939,0.6,81439,2.00 14,1,2024-09-07 08:32:01:564,215668,215668,0,0,100970474265,1050279360,213799,1707,162,364,391571,0 14,2,2024-09-07 08:32:00:767,152952,152952,0,0,7146235,0,2896 14,3,2024-09-07 08:32:01:115,1,122,0,0,1168,2688,122,0 15,0,2024-09-07 08:32:01:555,30715,0.6,30622,0.9,61219,0.7,82125,2.25 15,1,2024-09-07 08:32:01:615,213932,213932,0,0,100163794941,1044574584,212566,1306,60,381,391536,0 15,2,2024-09-07 08:32:00:998,155196,155196,0,0,5864543,0,3043 15,3,2024-09-07 08:32:01:405,1,122,1,0,1126,4028,122,0 16,0,2024-09-07 08:32:00:959,30159,0.6,30633,0.8,60546,0.7,80866,2.25 16,1,2024-09-07 08:32:00:564,214370,214370,0,0,100510840404,1055873549,212232,1879,259,372,391756,0 16,2,2024-09-07 08:32:01:449,153417,153417,0,0,8067652,0,4719 16,3,2024-09-07 08:32:01:146,1,122,15,0,231,1780,122,0 17,0,2024-09-07 08:32:01:771,31547,0.5,30750,0.7,60308,0.4,81198,2.00 17,1,2024-09-07 08:32:00:571,213461,213461,0,0,99850045939,1057454992,210144,2646,671,369,391688,0 17,2,2024-09-07 08:32:01:667,154957,154957,0,0,7431503,0,2857 17,3,2024-09-07 08:32:00:578,1,122,13,0,268,2044,122,0 18,0,2024-09-07 08:32:00:943,29571,1.1,30012,1.1,60209,1.3,79696,2.50 18,1,2024-09-07 08:32:01:643,213968,213968,0,0,100095898578,1043826697,212092,1642,234,368,391564,0 18,2,2024-09-07 08:32:01:758,153807,153807,0,0,7377964,0,3541 18,3,2024-09-07 08:32:00:898,1,122,3,0,163,1487,122,0 19,0,2024-09-07 08:32:01:544,30226,1.3,30433,1.2,60204,1.5,80465,3.25 19,1,2024-09-07 08:32:00:570,214324,214324,0,0,100844256902,1057110355,210869,2763,692,367,391777,0 19,2,2024-09-07 08:32:01:758,155036,155036,0,0,6526176,0,3988 19,3,2024-09-07 08:32:01:129,1,122,1,0,524,1402,122,0 20,0,2024-09-07 08:32:01:397,30287,0.9,30227,0.9,60476,1.0,80390,2.50 20,1,2024-09-07 08:32:00:571,213941,213941,0,0,100296757439,1055212006,211364,2370,207,370,391822,0 20,2,2024-09-07 08:32:00:932,153887,153887,0,0,7114698,0,3721 20,3,2024-09-07 08:32:00:596,1,122,9,0,414,2651,122,0 21,0,2024-09-07 08:32:01:130,30975,0.4,30798,0.6,61395,0.3,81374,1.75 21,1,2024-09-07 08:32:01:552,213406,213406,0,0,99664492330,1054164522,210237,2646,523,368,391962,0 21,2,2024-09-07 08:32:01:096,154021,154021,0,0,7568541,0,3747 21,3,2024-09-07 08:32:01:407,1,122,3,0,103,1683,122,0 22,0,2024-09-07 08:32:01:735,30359,1.0,30469,1.0,60510,1.1,81024,2.25 22,1,2024-09-07 08:32:01:030,213664,213664,0,0,100211925883,1063524812,208737,3499,1428,382,391667,0 22,2,2024-09-07 08:32:00:771,154358,154358,0,0,6845593,0,3134 22,3,2024-09-07 08:32:01:089,1,122,54,0,228,1472,122,0 23,0,2024-09-07 08:32:01:385,30141,1.2,30432,1.0,61005,1.7,81142,2.50 23,1,2024-09-07 08:32:01:014,214352,214352,0,0,101140123417,1057210446,211799,1966,587,365,391549,0 23,2,2024-09-07 08:32:01:096,153708,153708,0,0,6748096,0,2701 23,3,2024-09-07 08:32:01:755,1,122,3,0,645,1688,122,0 24,0,2024-09-07 08:32:00:844,31087,0.5,31085,0.6,62176,0.5,83079,1.75 24,1,2024-09-07 08:32:00:581,214014,214014,0,0,100181589866,1051545306,211607,1642,765,369,391640,0 24,2,2024-09-07 08:32:01:100,153511,153511,0,0,8062811,0,2942 24,3,2024-09-07 08:32:01:685,1,122,2,0,234,1559,122,0 25,0,2024-09-07 08:32:01:393,31489,0.4,30759,0.6,60124,0.3,82178,1.75 25,1,2024-09-07 08:32:00:558,213747,213747,0,0,100319014318,1055836730,210817,2486,444,371,391788,0 25,2,2024-09-07 08:32:01:625,153547,153547,0,0,8281071,0,3978 25,3,2024-09-07 08:32:01:000,1,122,1,0,158,1421,122,0 26,0,2024-09-07 08:32:01:722,30199,0.4,29550,0.6,62045,0.3,81061,1.75 26,1,2024-09-07 08:32:01:545,214633,214633,0,0,100021333303,1054598895,210587,3202,844,381,391564,0 26,2,2024-09-07 08:32:00:866,154311,154311,0,0,7459143,0,2809 26,3,2024-09-07 08:32:01:722,1,122,1,0,796,1844,122,0 27,0,2024-09-07 08:32:01:728,30539,0.5,30773,0.7,60852,0.5,81623,1.75 27,1,2024-09-07 08:32:01:683,215044,215044,0,0,100870882145,1050329446,213277,1444,323,381,391539,0 27,2,2024-09-07 08:32:00:867,152075,152075,0,0,8054828,0,3409 27,3,2024-09-07 08:32:01:017,1,122,1,0,564,1536,122,0 28,0,2024-09-07 08:32:01:415,30414,0.6,30702,0.8,61462,0.8,82246,2.25 28,1,2024-09-07 08:32:00:803,214505,214505,0,0,101170859551,1055522902,212884,1410,211,383,391646,0 28,2,2024-09-07 08:32:01:764,154869,154869,0,0,6046649,0,2915 28,3,2024-09-07 08:32:01:779,1,122,4,0,502,1606,122,0 29,0,2024-09-07 08:32:01:372,31142,0.4,30389,0.6,59511,0.4,81404,1.75 29,1,2024-09-07 08:32:01:628,215268,215268,0,0,99944272811,1039354739,213161,1577,530,369,391621,0 29,2,2024-09-07 08:32:00:871,154592,154592,0,0,6091685,0,4018 29,3,2024-09-07 08:32:00:966,1,122,12,0,105,1013,122,0 30,0,2024-09-07 08:32:01:461,30309,0.7,29471,0.8,61669,0.7,80633,2.25 30,1,2024-09-07 08:32:00:571,214714,214714,0,0,100882063516,1052388565,212841,1595,278,382,391672,0 30,2,2024-09-07 08:32:01:278,154471,154471,0,0,6301884,0,3161 30,3,2024-09-07 08:32:00:581,1,122,1,0,195,929,122,0 31,0,2024-09-07 08:32:01:774,29918,0.8,30012,0.9,60745,0.9,80245,2.25 31,1,2024-09-07 08:32:00:567,215897,215897,0,0,101550598433,1041377915,215536,359,2,356,391553,0 31,2,2024-09-07 08:32:01:277,153545,153545,0,0,7649691,0,3525 31,3,2024-09-07 08:32:01:714,1,122,1,0,220,1089,122,0 32,0,2024-09-07 08:32:01:421,30750,0.7,31098,0.8,61980,0.6,82933,1.75 32,1,2024-09-07 08:32:00:826,215101,215101,0,0,100415316896,1047134696,213365,1461,275,382,391595,0 32,2,2024-09-07 08:32:00:934,154589,154589,0,0,6411384,0,3155 32,3,2024-09-07 08:32:01:016,1,122,8,0,227,1350,122,0 33,0,2024-09-07 08:32:01:503,30561,0.4,30194,0.6,60958,0.3,80722,1.75 33,1,2024-09-07 08:32:00:577,215321,215321,0,0,100996490538,1050572286,213184,1965,172,369,391730,0 33,2,2024-09-07 08:32:00:759,154084,154051,33,0,8331809,0,7012 33,3,2024-09-07 08:32:00:897,1,122,8,0,329,1521,122,0 34,0,2024-09-07 08:32:00:937,30471,0.3,31487,0.5,60357,0.2,80702,1.75 34,1,2024-09-07 08:32:01:044,215024,215024,0,0,101364665097,1047009681,214189,830,5,367,391547,0 34,2,2024-09-07 08:32:00:768,153470,153470,0,0,7112944,0,3255 34,3,2024-09-07 08:32:01:695,1,122,6,0,148,876,122,0 35,0,2024-09-07 08:32:00:863,30254,0.5,30649,0.6,61035,0.5,81424,1.75 35,1,2024-09-07 08:32:01:090,214024,214024,0,0,100466547206,1049871037,211250,2004,770,384,391589,0 35,2,2024-09-07 08:32:01:595,154048,154048,0,0,6625586,0,2653 35,3,2024-09-07 08:32:00:908,1,122,3,0,418,1828,122,0 36,0,2024-09-07 08:32:01:551,30598,1.1,30537,1.1,61784,1.4,81690,2.75 36,1,2024-09-07 08:32:00:582,213944,213944,0,0,100307242833,1054365283,210359,2619,966,366,391759,0 36,2,2024-09-07 08:32:01:758,153394,153394,0,0,7622444,0,3303 36,3,2024-09-07 08:32:00:865,1,122,1,0,378,1257,122,0 37,0,2024-09-07 08:32:01:380,30029,0.6,30087,0.8,59985,0.6,80765,2.00 37,1,2024-09-07 08:32:00:569,212855,212848,0,7,99612744297,1054072708,208654,2458,1736,365,391518,0 37,2,2024-09-07 08:32:01:152,153723,153720,3,0,6808623,0,5203 37,3,2024-09-07 08:32:01:775,1,122,8,0,724,2314,122,0 38,0,2024-09-07 08:32:01:446,30497,0.4,29565,0.6,61787,0.4,81326,2.00 38,1,2024-09-07 08:32:01:626,214843,214843,0,0,100372562130,1053430004,211502,2777,564,368,391821,0 38,2,2024-09-07 08:32:00:767,154199,154199,0,0,8053830,0,3765 38,3,2024-09-07 08:32:00:997,1,122,1,0,603,1951,122,0 39,0,2024-09-07 08:32:01:770,31354,0.7,30603,0.8,59942,0.8,81552,2.00 39,1,2024-09-07 08:32:00:722,214528,214528,0,0,100507869536,1053154515,211305,2549,674,365,391524,0 39,2,2024-09-07 08:32:01:418,154350,154350,0,0,6727724,0,2689 39,3,2024-09-07 08:32:00:721,1,122,3,0,276,1755,122,0 40,0,2024-09-07 08:32:01:537,30023,1.1,30611,1.5,60731,1.4,81743,3.25 40,1,2024-09-07 08:32:00:576,214208,214208,0,0,99756855116,1049826018,210139,3302,767,369,391591,0 40,2,2024-09-07 08:32:01:312,153134,153133,1,0,8319630,0,5137 40,3,2024-09-07 08:32:01:146,1,122,1,0,181,1416,122,0 41,0,2024-09-07 08:32:01:031,29804,1.3,30559,1.5,58461,2.5,80204,3.50 41,1,2024-09-07 08:32:00:767,214288,214288,0,0,100289283922,1053522439,210705,3065,518,370,391742,0 41,2,2024-09-07 08:32:00:759,153721,153721,0,0,8002052,0,3356 41,3,2024-09-07 08:32:01:682,1,122,160,0,366,1756,122,0 42,0,2024-09-07 08:32:01:480,30128,0.6,30392,0.9,60561,0.5,80382,2.25 42,1,2024-09-07 08:32:01:440,213355,213355,0,0,100354102093,1057354462,209670,2849,836,380,391675,0 42,2,2024-09-07 08:32:01:142,153640,153640,0,0,8137329,0,3568 42,3,2024-09-07 08:32:01:009,1,122,3,0,100,878,122,0 43,0,2024-09-07 08:32:00:921,30496,0.8,29684,0.9,61911,0.8,81236,2.00 43,1,2024-09-07 08:32:00:576,213665,213665,0,0,100488108180,1050320719,211011,1902,752,366,391604,0 43,2,2024-09-07 08:32:01:740,154571,154571,0,0,7621891,0,3812 43,3,2024-09-07 08:32:01:757,1,122,0,0,325,1888,122,0 44,0,2024-09-07 08:32:00:884,30735,0.6,30576,0.8,61384,0.6,82067,2.00 44,1,2024-09-07 08:32:00:579,215164,215164,0,0,100207538162,1037154475,213733,1302,129,356,391809,0 44,2,2024-09-07 08:32:01:268,152700,152700,0,0,6153023,0,1877 44,3,2024-09-07 08:32:01:095,1,122,1,0,817,1512,122,0 45,0,2024-09-07 08:32:01:767,30257,0.8,29617,0.9,62174,0.8,82605,2.00 45,1,2024-09-07 08:32:01:005,214812,214812,0,0,100545348214,1045025603,213813,990,9,382,391917,0 45,2,2024-09-07 08:32:01:268,155257,155257,0,0,6684952,0,3596 45,3,2024-09-07 08:32:00:935,1,122,8,0,226,1184,122,0 46,0,2024-09-07 08:32:00:951,30177,0.4,30082,0.6,60435,0.3,80019,1.75 46,1,2024-09-07 08:32:00:578,215118,215118,0,0,100617657732,1042558206,213667,1345,106,366,391514,0 46,2,2024-09-07 08:32:00:598,155029,155029,0,0,6536217,0,2920 46,3,2024-09-07 08:32:01:131,1,122,1,0,363,1895,122,0 47,0,2024-09-07 08:32:01:106,30545,0.3,30730,0.5,61682,0.3,80933,1.75 47,1,2024-09-07 08:32:00:576,215157,215157,0,0,100969430293,1043815166,214147,1000,10,366,391605,0 47,2,2024-09-07 08:32:00:911,154627,154627,0,0,6910625,0,2558 47,3,2024-09-07 08:32:01:124,1,122,1,0,529,1400,122,0 48,0,2024-09-07 08:32:01:513,30473,0.3,30272,0.5,60582,0.3,80170,1.75 48,1,2024-09-07 08:32:01:023,214655,214655,0,0,101045901846,1054646043,212666,1809,180,384,391710,0 48,2,2024-09-07 08:32:00:700,153519,153519,0,0,5902940,0,2083 48,3,2024-09-07 08:32:00:762,1,122,1,0,339,1225,122,0 49,0,2024-09-07 08:32:01:727,31408,0.6,30636,0.8,59879,0.7,82279,2.00 49,1,2024-09-07 08:32:01:024,213830,213830,0,0,100118962183,1049992112,211137,1853,840,382,391583,0 49,2,2024-09-07 08:32:01:797,154608,154608,0,0,6850974,0,3900 49,3,2024-09-07 08:32:01:429,1,122,10,0,408,1632,122,0 50,0,2024-09-07 08:32:01:515,30377,0.4,29790,0.6,59924,0.3,80100,1.75 50,1,2024-09-07 08:32:01:010,215455,215455,0,0,101080998451,1053130062,213143,2004,308,368,391530,0 50,2,2024-09-07 08:32:01:090,153692,153692,0,0,6548118,0,2253 50,3,2024-09-07 08:32:01:291,1,122,4,0,335,1138,122,0 51,0,2024-09-07 08:32:01:686,31389,0.3,30782,0.4,60058,0.2,81759,1.75 51,1,2024-09-07 08:32:01:685,214633,214633,0,0,101687273111,1055910654,212684,1165,784,367,391637,0 51,2,2024-09-07 08:32:01:316,153917,153917,0,0,5831144,0,2448 51,3,2024-09-07 08:32:01:026,1,122,40,0,162,809,122,0 52,0,2024-09-07 08:32:01:430,30669,0.6,30754,0.8,61602,0.7,81457,2.25 52,1,2024-09-07 08:32:00:576,214205,214205,0,0,100249297593,1058708269,209847,3606,752,368,391722,0 52,2,2024-09-07 08:32:01:758,152643,152617,26,0,8285730,0,6316 52,3,2024-09-07 08:32:00:674,1,122,106,0,1782,2924,122,0 53,0,2024-09-07 08:32:01:742,30173,1.3,29259,1.2,61130,1.5,80494,3.00 53,1,2024-09-07 08:32:00:773,212552,212552,0,0,100563586451,1060354015,208405,3145,1002,367,391617,0 53,2,2024-09-07 08:32:01:298,154277,154277,0,0,6471681,0,2262 53,3,2024-09-07 08:32:00:701,1,122,15,0,133,1022,122,0 54,0,2024-09-07 08:32:01:638,29780,1.6,30367,1.2,59478,0.6,80655,4.00 54,1,2024-09-07 08:32:00:580,214450,214450,0,0,100723733210,1055433462,211133,2773,544,367,391532,0 54,2,2024-09-07 08:32:00:866,154062,154056,6,0,7648311,0,5382 54,3,2024-09-07 08:32:00:767,1,122,4,0,676,2668,122,0 55,0,2024-09-07 08:32:01:770,29461,0.5,30371,0.8,61651,0.4,80065,2.50 55,1,2024-09-07 08:32:00:763,213817,213817,0,0,100414950414,1056558346,209227,3805,785,367,391731,0 55,2,2024-09-07 08:32:00:729,153940,153940,0,0,7678663,0,3275 55,3,2024-09-07 08:32:00:674,1,122,2,0,304,1411,122,0 56,0,2024-09-07 08:32:01:585,31259,1.5,29534,1.2,60673,2.0,81348,2.50 56,1,2024-09-07 08:32:00:572,213684,213684,0,0,100757108168,1072606557,208765,4017,902,382,391678,0 56,2,2024-09-07 08:32:01:303,154213,154213,0,0,8519414,0,3567 56,3,2024-09-07 08:32:01:061,1,122,0,0,297,1591,122,0 57,0,2024-09-07 08:32:00:935,29888,2.0,29806,1.4,59863,2.7,80281,3.75 57,1,2024-09-07 08:32:00:988,213984,213984,0,0,99715266541,1046764764,211517,2297,170,368,391773,0 57,2,2024-09-07 08:32:01:323,153365,153365,0,0,8125149,0,3178 57,3,2024-09-07 08:32:01:738,1,122,9,0,359,2458,122,0 58,0,2024-09-07 08:32:00:557,29770,0.8,29033,1.0,60788,0.8,80131,2.50 58,1,2024-09-07 08:32:00:576,214783,214780,0,3,100828027871,1052760324,212307,2245,228,369,391516,3 58,2,2024-09-07 08:32:01:078,154753,154753,0,0,7434859,0,2549 58,3,2024-09-07 08:32:01:089,1,122,1,0,219,1086,122,0 59,0,2024-09-07 08:32:01:744,30418,0.9,30032,1.0,60376,0.9,80181,2.75 59,1,2024-09-07 08:32:00:826,214327,214327,0,0,100200600200,1052338132,210962,2443,922,369,391515,0 59,2,2024-09-07 08:32:00:597,155184,155184,0,0,6747999,0,2604 59,3,2024-09-07 08:32:01:745,1,122,1,0,1015,2477,122,0 60,0,2024-09-07 08:32:01:756,30689,0.4,30797,0.6,60957,0.4,80796,1.75 60,1,2024-09-07 08:32:00:794,215891,215891,0,0,100534506482,1045027790,214597,941,353,370,391761,0 60,2,2024-09-07 08:32:01:166,153742,153742,0,0,7552826,0,3811 60,3,2024-09-07 08:32:01:258,1,122,1,0,124,1243,122,0 61,0,2024-09-07 08:32:01:569,30058,1.6,30275,1.2,60351,2.5,80550,2.25 61,1,2024-09-07 08:32:00:772,214296,214296,0,0,100970012951,1061256094,211446,2313,537,382,391589,0 61,2,2024-09-07 08:32:01:126,154238,154238,0,0,6591366,0,2079 61,3,2024-09-07 08:32:01:694,1,122,1,0,199,1616,122,0 62,0,2024-09-07 08:32:01:727,30977,1.3,31651,1.1,60515,2.2,82686,2.25 62,1,2024-09-07 08:32:01:121,216079,216073,0,6,101472131073,1049030092,214652,1383,38,365,391715,6 62,2,2024-09-07 08:32:01:643,153525,153524,1,0,7595149,0,5555 62,3,2024-09-07 08:32:01:150,1,122,5,0,287,1046,122,0 63,0,2024-09-07 08:32:01:456,30186,0.6,30280,0.7,60443,0.7,80422,2.00 63,1,2024-09-07 08:32:00:805,215484,215478,0,6,100987093855,1048051703,214372,1086,20,381,391542,6 63,2,2024-09-07 08:32:00:763,153726,153726,0,0,6234901,0,2674 63,3,2024-09-07 08:32:01:732,1,122,0,0,667,2059,122,0 64,0,2024-09-07 08:32:01:524,30392,0.4,30248,0.6,60658,0.4,80739,1.75 64,1,2024-09-07 08:32:00:766,214344,214344,0,0,100699456593,1059613153,210908,2384,1052,371,391783,0 64,2,2024-09-07 08:32:01:149,155066,155047,19,0,7397171,0,6121 64,3,2024-09-07 08:32:01:153,1,122,10,0,265,1665,122,0 65,0,2024-09-07 08:32:01:681,29911,1.4,30316,1.1,60598,1.8,80667,2.75 65,1,2024-09-07 08:32:00:866,213860,213860,0,0,100110721668,1051450653,211512,2126,222,382,391770,0 65,2,2024-09-07 08:32:01:695,154473,154473,0,0,7802380,0,3367 65,3,2024-09-07 08:32:01:700,1,122,8,0,163,1344,122,0 66,0,2024-09-07 08:32:01:766,30239,1.4,30350,1.2,60793,2.3,81364,3.25 66,1,2024-09-07 08:32:01:293,215006,215006,0,0,101001176087,1052986854,213225,1611,170,380,391588,0 66,2,2024-09-07 08:32:01:132,155362,155362,0,0,6910421,0,4956 66,3,2024-09-07 08:32:01:084,1,122,0,0,291,1369,122,0 67,0,2024-09-07 08:32:01:414,30469,1.1,30342,1.1,60749,1.5,80844,2.75 67,1,2024-09-07 08:32:00:775,213807,213806,0,1,100732132433,1060117823,210362,2698,746,381,391787,1 67,2,2024-09-07 08:32:00:586,154555,154555,0,0,6333864,0,2889 67,3,2024-09-07 08:32:01:757,1,122,1,0,138,1186,122,0 68,0,2024-09-07 08:32:00:562,30845,0.5,30728,0.7,61291,0.5,81553,2.00 68,1,2024-09-07 08:32:00:570,213605,213605,0,0,99898683091,1052192992,211106,1603,896,381,391953,0 68,2,2024-09-07 08:32:01:064,153214,153214,0,0,7667280,0,4883 68,3,2024-09-07 08:32:00:729,1,122,1,0,151,1310,122,0 69,0,2024-09-07 08:32:01:724,30459,1.0,30693,1.0,60988,1.3,81258,2.25 69,1,2024-09-07 08:32:01:017,213435,213435,0,0,100332148603,1065160891,210148,2166,1121,384,391611,0 69,2,2024-09-07 08:32:01:734,154199,154199,0,0,8241366,0,3701 69,3,2024-09-07 08:32:00:762,1,122,8,0,238,1639,122,0 70,0,2024-09-07 08:32:01:534,30198,2.4,30287,1.7,60878,1.4,81036,4.00 70,1,2024-09-07 08:32:00:801,214757,214757,0,0,101285834970,1056620846,212456,1839,462,367,391725,0 70,2,2024-09-07 08:32:01:325,153206,153206,0,0,7399574,0,4044 70,3,2024-09-07 08:32:00:745,1,122,1,0,178,853,122,0 71,0,2024-09-07 08:32:01:364,29794,1.4,30049,1.5,59545,2.0,80497,3.25 71,1,2024-09-07 08:32:01:642,214773,214773,0,0,101143130870,1059834840,211739,2638,396,368,391682,0 71,2,2024-09-07 08:32:01:090,155124,155124,0,0,7121118,0,2146 71,3,2024-09-07 08:32:01:751,1,122,19,0,644,2216,122,0 72,0,2024-09-07 08:32:01:078,31635,0.5,30855,0.8,60524,0.5,82272,2.25 72,1,2024-09-07 08:32:01:022,214128,214128,0,0,100442386284,1051252116,211315,2330,483,370,391819,0 72,2,2024-09-07 08:32:01:768,153555,153555,0,0,8666392,0,2570 72,3,2024-09-07 08:32:01:757,1,122,38,0,325,1927,122,0 73,0,2024-09-07 08:32:01:115,29825,0.4,30497,0.6,62378,0.3,80979,2.25 73,1,2024-09-07 08:32:00:768,214470,214470,0,0,100305051455,1043172477,212875,1481,114,368,391627,0 73,2,2024-09-07 08:32:01:754,154913,154913,0,0,8095127,0,3482 73,3,2024-09-07 08:32:00:970,1,122,11,0,274,2030,122,0 74,0,2024-09-07 08:32:01:322,30827,0.7,31246,0.9,59730,0.8,81655,2.75 74,1,2024-09-07 08:32:00:635,214619,214619,0,0,100650173233,1052582939,212181,1832,606,382,391681,0 74,2,2024-09-07 08:32:01:011,153003,153003,0,0,8094392,0,4253 74,3,2024-09-07 08:32:01:442,1,122,1,0,246,2003,122,0 75,0,2024-09-07 08:32:01:770,30745,0.7,30645,1.0,61320,0.7,82677,2.50 75,1,2024-09-07 08:32:01:585,214236,214236,0,0,100309740007,1050756175,211831,2041,364,381,391579,0 75,2,2024-09-07 08:32:01:356,154215,154215,0,0,7443926,0,4766 75,3,2024-09-07 08:32:01:089,1,122,3,0,535,1518,122,0 76,0,2024-09-07 08:32:00:602,30159,0.4,29950,0.7,59763,0.4,80305,2.25 76,1,2024-09-07 08:32:00:804,214482,214482,0,0,100500066492,1051982187,212566,1406,510,382,391530,0 76,2,2024-09-07 08:32:01:065,155423,155423,0,0,6531154,0,3064 76,3,2024-09-07 08:32:01:151,1,122,1,0,175,1518,122,0 77,0,2024-09-07 08:32:01:725,30550,0.6,30757,0.7,61556,0.6,80818,2.00 77,1,2024-09-07 08:32:00:830,214773,214773,0,0,99794870299,1042615440,213373,1319,81,383,391808,0 77,2,2024-09-07 08:32:01:281,154047,154047,0,0,6653037,0,3890 77,3,2024-09-07 08:32:01:106,1,122,14,0,305,1749,122,0 78,0,2024-09-07 08:32:01:726,30284,0.6,30254,0.8,60689,0.6,79918,2.25 78,1,2024-09-07 08:32:00:611,214962,214962,0,0,100158927617,1043596658,213203,1554,205,367,391589,0 78,2,2024-09-07 08:32:01:405,154574,154574,0,0,6426308,0,2114 78,3,2024-09-07 08:32:01:140,1,122,2,0,181,1439,122,0 79,0,2024-09-07 08:32:01:347,29615,0.6,30214,0.8,61982,0.5,81008,2.75 79,1,2024-09-07 08:32:00:570,215199,215199,0,0,100843231207,1047743177,213201,1827,171,369,391682,0 79,2,2024-09-07 08:32:01:090,155141,155141,0,0,6622587,0,3212 79,3,2024-09-07 08:32:00:750,1,122,65,0,306,2069,122,0 80,0,2024-09-07 08:32:01:083,30067,0.8,30855,0.9,59433,0.9,80373,2.50 80,1,2024-09-07 08:32:01:629,213801,213801,0,0,100340936851,1050315706,211331,2311,159,368,391673,0 80,2,2024-09-07 08:32:01:102,154625,154625,0,0,7291334,0,4433 80,3,2024-09-07 08:32:00:577,1,122,16,0,190,2248,122,0 81,0,2024-09-07 08:32:01:560,30744,0.5,31564,0.6,60460,0.5,81589,1.75 81,1,2024-09-07 08:32:01:658,214411,214411,0,0,99813968552,1048620495,211993,2146,272,382,391879,0 81,2,2024-09-07 08:32:01:128,153438,153438,0,0,7733405,0,3993 81,3,2024-09-07 08:32:01:125,1,122,8,0,193,1330,122,0 82,0,2024-09-07 08:32:01:533,30423,0.6,30492,0.8,61253,0.6,81738,2.00 82,1,2024-09-07 08:32:00:582,214066,214062,0,4,100537357329,1053712044,211656,1830,576,382,391558,4 82,2,2024-09-07 08:32:01:695,154958,154958,0,0,6988807,0,3986 82,3,2024-09-07 08:32:01:757,1,122,1,0,227,1729,122,0 83,0,2024-09-07 08:32:01:533,30539,1.0,30248,1.0,60088,1.2,80586,2.50 83,1,2024-09-07 08:32:00:553,214673,214673,0,0,100664754552,1055561725,212390,2094,189,383,391553,0 83,2,2024-09-07 08:32:00:766,153262,153262,0,0,6803154,0,3119 83,3,2024-09-07 08:32:00:760,1,122,7,0,133,1207,122,0 84,0,2024-09-07 08:32:01:794,30481,1.1,30264,1.2,60709,0.8,81985,2.75 84,1,2024-09-07 08:32:01:051,213319,213319,0,0,99834471934,1050919656,210357,2514,448,368,391852,0 84,2,2024-09-07 08:32:00:583,154270,154270,0,0,7402319,0,3801 84,3,2024-09-07 08:32:01:146,1,122,17,1,85,1201,122,0 85,0,2024-09-07 08:32:01:060,29433,0.5,29420,0.8,62450,0.5,81150,2.25 85,1,2024-09-07 08:32:00:570,212742,212742,0,0,100176685680,1065018510,208307,3682,753,382,391679,0 85,2,2024-09-07 08:32:00:866,154643,154643,0,0,8242076,0,3656 85,3,2024-09-07 08:32:00:690,1,122,11,0,115,1264,122,0 86,0,2024-09-07 08:32:00:894,30429,0.6,31391,0.7,60282,0.7,81158,2.00 86,1,2024-09-07 08:32:00:824,214253,214253,0,0,100683114715,1058577381,211021,2898,334,366,391961,0 86,2,2024-09-07 08:32:00:855,153994,153993,1,0,8624621,0,5004 86,3,2024-09-07 08:32:00:585,1,122,2,0,199,1495,122,0 87,0,2024-09-07 08:32:01:295,30254,2.1,30266,1.3,60541,3.3,81475,2.50 87,1,2024-09-07 08:32:00:555,213143,213143,0,0,99751589773,1048529046,210581,2397,165,366,391788,0 87,2,2024-09-07 08:32:01:068,153166,153166,0,0,6926139,0,3515 87,3,2024-09-07 08:32:01:798,1,122,3,0,335,2218,122,0 88,0,2024-09-07 08:32:01:479,30522,0.6,30827,0.7,61181,0.7,82286,1.75 88,1,2024-09-07 08:32:00:569,213248,213248,0,0,99935612501,1050732324,210479,1992,777,367,391787,0 88,2,2024-09-07 08:32:00:688,154749,154749,0,0,7833136,0,3583 88,3,2024-09-07 08:32:01:267,1,122,1,1,77,1296,122,0 89,0,2024-09-07 08:32:01:800,31319,0.5,30411,0.7,60176,0.5,81554,1.75 89,1,2024-09-07 08:32:00:569,213262,213262,0,0,100218776481,1064823988,208597,3792,873,382,391866,0 89,2,2024-09-07 08:32:01:145,154238,154238,0,0,7849515,0,2901 89,3,2024-09-07 08:32:01:792,1,122,14,0,325,2658,122,0 90,0,2024-09-07 08:32:01:664,29648,0.4,30212,0.6,62190,0.4,80664,1.75 90,1,2024-09-07 08:32:00:593,214659,214659,0,0,99640547208,1049759634,211924,2577,158,382,391825,0 90,2,2024-09-07 08:32:01:406,152514,152514,0,0,8450468,0,2635 90,3,2024-09-07 08:32:00:931,1,122,5,1,200,1451,122,0 91,0,2024-09-07 08:32:01:013,30634,0.5,29665,0.7,61496,0.5,80923,1.75 91,1,2024-09-07 08:32:00:559,213995,213995,0,0,100433121907,1058970251,210692,2810,493,381,391914,0 91,2,2024-09-07 08:32:01:332,152475,152475,0,0,8328294,0,2445 91,3,2024-09-07 08:32:00:599,1,122,10,0,155,1527,122,0 92,0,2024-09-07 08:32:01:457,31174,0.7,31857,0.8,60983,0.8,83106,1.75 92,1,2024-09-07 08:32:00:581,214305,214305,0,0,99844259686,1045835684,212396,1515,394,382,391717,0 92,2,2024-09-07 08:32:01:356,156258,156258,0,0,6636892,0,2279 92,3,2024-09-07 08:32:01:010,1,122,3,0,68,1176,122,0 93,0,2024-09-07 08:32:00:993,30476,0.5,31178,0.7,59804,0.5,80826,1.75 93,1,2024-09-07 08:32:00:805,214487,214487,0,0,100560967399,1053532341,212068,1973,446,366,391689,0 93,2,2024-09-07 08:32:00:946,154037,154037,0,0,6682042,0,2509 93,3,2024-09-07 08:32:01:425,1,122,16,0,143,1303,122,0 94,0,2024-09-07 08:32:01:630,30516,0.3,30795,0.5,61038,0.3,80603,1.75 94,1,2024-09-07 08:32:00:563,214277,214277,0,0,100016116604,1049804465,212173,2025,79,381,391850,0 94,2,2024-09-07 08:32:00:763,153740,153740,0,0,6607375,0,2443 94,3,2024-09-07 08:32:01:693,1,122,2,0,264,2100,122,0 95,0,2024-09-07 08:32:01:348,30645,0.4,30598,0.6,61448,0.3,81452,1.75 95,1,2024-09-07 08:32:00:857,214702,214702,0,0,100562957912,1044982812,213678,960,64,367,391600,0 95,2,2024-09-07 08:32:01:027,154397,154397,0,0,6927714,0,3308 95,3,2024-09-07 08:32:01:711,1,122,0,0,307,2029,122,0 96,0,2024-09-07 08:32:01:062,30821,0.9,30893,0.8,61564,1.2,82027,2.00 96,1,2024-09-07 08:32:01:623,214020,214020,0,0,99390885245,1046526348,211443,1936,641,385,391894,0 96,2,2024-09-07 08:32:01:270,154054,154054,0,0,7306993,0,4042 96,3,2024-09-07 08:32:01:146,1,122,3,0,188,1380,122,0 97,0,2024-09-07 08:32:01:319,30437,0.5,30441,0.6,60940,0.6,80833,1.75 97,1,2024-09-07 08:32:00:766,214305,214305,0,0,100428165891,1050487344,211559,2199,547,367,392140,0 97,2,2024-09-07 08:32:00:611,153735,153735,0,0,6730852,0,3036 97,3,2024-09-07 08:32:00:576,1,122,13,1,165,1558,122,0 98,0,2024-09-07 08:32:01:736,30754,0.3,30593,0.4,61632,0.2,81943,1.50 98,1,2024-09-07 08:32:00:570,214431,214431,0,0,100423087841,1051375424,212658,1689,84,382,391997,0 98,2,2024-09-07 08:32:00:770,155490,155490,0,0,7096640,0,3080 98,3,2024-09-07 08:32:00:699,1,122,14,1,840,2795,122,0 99,0,2024-09-07 08:32:01:460,30626,0.3,30705,0.5,61267,0.3,81504,1.75 99,1,2024-09-07 08:32:01:732,214709,214709,0,0,99677990189,1045199679,212221,1839,649,381,391744,0 99,2,2024-09-07 08:32:01:417,155740,155740,0,0,6855660,0,1973 99,3,2024-09-07 08:32:00:580,1,122,1,0,129,908,122,0 100,0,2024-09-07 08:32:01:490,30404,1.3,30545,1.7,60925,2.8,81776,2.50 100,1,2024-09-07 08:32:00:552,213141,213141,0,0,99731680847,1064569066,208369,3965,807,381,391989,0 100,2,2024-09-07 08:32:01:817,152666,152655,11,0,7960809,0,5417 100,3,2024-09-07 08:32:01:733,1,122,47,0,443,2563,122,0 101,0,2024-09-07 08:32:01:735,30799,2.2,29869,1.4,58974,1.9,81439,2.75 101,1,2024-09-07 08:32:00:549,213372,213372,0,0,100464670668,1067248579,208955,3059,1358,368,391709,0 101,2,2024-09-07 08:32:01:763,154000,154000,0,0,8483871,0,4644 101,3,2024-09-07 08:32:00:944,1,122,156,0,448,1775,122,0 102,0,2024-09-07 08:32:00:957,29879,0.7,31065,0.8,62299,0.5,81775,2.00 102,1,2024-09-07 08:32:01:147,213685,213685,0,0,100326074021,1058662753,210514,2651,520,369,391831,0 102,2,2024-09-07 08:32:01:740,154577,154565,12,0,7324687,0,6087 102,3,2024-09-07 08:32:01:625,1,122,8,0,410,1538,122,0 103,0,2024-09-07 08:32:01:638,31359,0.5,31333,0.7,59332,0.4,81293,1.75 103,1,2024-09-07 08:32:01:633,213250,213250,0,0,100739743092,1064232121,210023,2320,907,381,391680,0 103,2,2024-09-07 08:32:00:583,153660,153660,0,0,7389080,0,2104 103,3,2024-09-07 08:32:00:755,1,122,1,0,916,2613,122,0 104,0,2024-09-07 08:32:01:011,30337,1.1,30405,1.1,59849,1.2,81899,2.25 104,1,2024-09-07 08:32:01:630,214186,214186,0,0,100379555413,1060731688,210361,3129,696,366,391948,0 104,2,2024-09-07 08:32:01:669,152279,152279,0,0,7746185,0,3941 104,3,2024-09-07 08:32:01:423,1,122,3,1,1245,4750,122,0 105,0,2024-09-07 08:32:01:037,30428,1.1,29416,1.2,61334,1.6,82077,3.50 105,1,2024-09-07 08:32:00:553,214175,214175,0,0,100290058294,1056551003,210498,2914,763,367,391797,0 105,2,2024-09-07 08:32:01:332,154075,154075,0,0,7068653,0,3314 105,3,2024-09-07 08:32:01:305,1,122,12,1,399,2054,122,0 106,0,2024-09-07 08:32:00:965,29284,0.6,29973,0.9,61052,0.6,80025,2.00 106,1,2024-09-07 08:32:01:757,213896,213896,0,0,99686062889,1047837381,210953,2614,329,369,391767,0 106,2,2024-09-07 08:32:00:757,153988,153988,0,0,7414168,0,2795 106,3,2024-09-07 08:32:00:677,1,122,130,1,201,1581,122,0 107,0,2024-09-07 08:32:01:130,30730,0.4,30507,0.6,60864,0.4,81108,1.75 107,1,2024-09-07 08:32:00:586,213800,213800,0,0,99320233785,1048359715,211035,2541,224,381,392234,0 107,2,2024-09-07 08:32:01:292,153251,153250,1,0,7535012,0,5024 107,3,2024-09-07 08:32:01:767,1,122,24,0,353,1606,122,0 108,0,2024-09-07 08:32:01:797,30430,0.4,30560,0.7,60517,0.4,80278,1.75 108,1,2024-09-07 08:32:01:301,214271,214271,0,0,100497581820,1052886866,211976,2009,286,368,391857,0 108,2,2024-09-07 08:32:01:758,153385,153385,0,0,7313157,0,2647 108,3,2024-09-07 08:32:01:343,1,122,3,0,272,1811,122,0 109,0,2024-09-07 08:32:01:786,30731,0.5,30697,0.7,61060,0.5,82441,1.75 109,1,2024-09-07 08:32:00:593,213778,213778,0,0,100831624857,1062087124,211380,1937,461,383,391812,0 109,2,2024-09-07 08:32:00:923,153596,153596,0,0,7250700,0,3617 109,3,2024-09-07 08:32:01:151,1,122,14,1,249,1822,122,0 110,0,2024-09-07 08:32:01:757,30341,0.5,29476,0.8,61682,0.5,80480,1.75 110,1,2024-09-07 08:32:01:644,214686,214686,0,0,99976202518,1045022184,212344,1642,700,370,391667,0 110,2,2024-09-07 08:32:01:303,154433,154433,0,0,6609489,0,2915 110,3,2024-09-07 08:32:00:692,1,122,1,0,406,1874,122,0 111,0,2024-09-07 08:32:01:416,30843,0.2,30349,0.4,60810,0.2,81653,1.50 111,1,2024-09-07 08:32:01:001,214966,214966,0,0,101151737281,1052817931,213318,1316,332,382,391690,0 111,2,2024-09-07 08:32:01:121,154131,154131,0,0,7406897,0,2763 111,3,2024-09-07 08:32:00:919,1,122,0,0,300,1928,122,0 112,0,2024-09-07 08:32:00:911,30755,0.3,30640,0.5,61325,0.3,81900,1.50 112,1,2024-09-07 08:32:00:823,214487,214487,0,0,100876368544,1053866966,212171,1891,425,381,391580,0 112,2,2024-09-07 08:32:01:149,154398,154397,1,0,7474944,0,5036 112,3,2024-09-07 08:32:00:592,1,122,1,0,282,1539,122,0 113,0,2024-09-07 08:32:00:899,30260,0.5,30082,0.6,60998,0.4,81612,1.75 113,1,2024-09-07 08:32:01:703,214819,214819,0,0,101358791714,1054476632,212413,1918,488,368,391661,0 113,2,2024-09-07 08:32:01:312,154701,154701,0,0,6485126,0,3813 113,3,2024-09-07 08:32:00:691,1,122,12,0,288,2049,122,0 114,0,2024-09-07 08:32:00:895,30919,0.8,31437,0.7,61548,0.5,83008,2.00 114,1,2024-09-07 08:32:00:728,214120,214120,0,0,100367512407,1058115386,210062,2596,1462,381,391534,0 114,2,2024-09-07 08:32:00:873,153975,153975,0,0,6772982,0,3925 114,3,2024-09-07 08:32:01:278,1,122,2,0,395,1836,122,0 115,0,2024-09-07 08:32:00:558,30484,0.3,30814,0.4,61761,0.2,81846,1.50 115,1,2024-09-07 08:32:00:571,214615,214615,0,0,100790196384,1057944769,211020,2775,820,382,391602,0 115,2,2024-09-07 08:32:01:132,155328,155328,0,0,6333209,0,2152 115,3,2024-09-07 08:32:01:004,1,122,3,0,159,991,122,0 116,0,2024-09-07 08:32:01:708,30689,0.7,30276,0.8,60898,0.8,81155,2.00 116,1,2024-09-07 08:32:00:804,212539,212539,0,0,99892305728,1065187525,208630,2505,1404,382,391619,0 116,2,2024-09-07 08:32:01:752,153461,153461,0,0,8732508,0,3529 116,3,2024-09-07 08:32:00:930,1,122,17,0,252,1902,122,0 117,0,2024-09-07 08:32:01:043,30278,1.4,30456,1.2,60390,2.2,81571,2.00 117,1,2024-09-07 08:32:01:628,214115,214115,0,0,100143713737,1055419418,210818,2896,401,370,392033,0 117,2,2024-09-07 08:32:01:125,153978,153978,0,0,6816952,0,3700 117,3,2024-09-07 08:32:01:065,1,122,8,0,490,2938,122,0 118,0,2024-09-07 08:32:01:954,29840,0.8,30621,0.9,62457,0.9,81808,2.25 118,1,2024-09-07 08:32:00:584,213369,213369,0,0,99733177760,1053160132,210103,2839,427,368,391736,0 118,2,2024-09-07 08:32:01:589,154755,154755,0,0,7893002,0,2781 118,3,2024-09-07 08:32:01:764,1,122,121,0,235,1890,122,0 119,0,2024-09-07 08:32:01:339,30064,0.9,30215,0.9,61298,1.3,80828,2.00 119,1,2024-09-07 08:32:00:548,214443,214443,0,0,100711012944,1055468715,212120,2039,284,370,391641,0 119,2,2024-09-07 08:32:01:261,153555,153555,0,0,6875128,0,2532 119,3,2024-09-07 08:32:01:326,1,122,2,0,443,2570,122,0 120,0,2024-09-07 08:32:01:553,30206,0.5,30269,0.8,60405,0.5,80633,2.00 120,1,2024-09-07 08:32:00:859,214483,214483,0,0,100233505796,1052870535,212349,2089,45,368,391702,0 120,2,2024-09-07 08:32:00:770,153179,153178,1,0,8944059,0,5281 120,3,2024-09-07 08:32:01:290,1,122,68,0,241,1864,122,0 121,0,2024-09-07 08:32:01:748,29981,1.7,30401,1.2,60542,2.6,80765,2.25 121,1,2024-09-07 08:32:01:673,214429,214429,0,0,100278013072,1051982592,211921,2225,283,367,391840,0 121,2,2024-09-07 08:32:01:125,152697,152697,0,0,8312797,0,4127 121,3,2024-09-07 08:32:00:745,1,122,17,0,159,1529,122,0 122,0,2024-09-07 08:32:01:774,30574,1.4,29720,1.3,62259,1.6,83029,2.25 122,1,2024-09-07 08:32:00:862,213600,213600,0,0,100037917872,1055492191,209806,3133,661,366,392130,0 122,2,2024-09-07 08:32:01:356,154533,154533,0,0,8535831,0,3364 122,3,2024-09-07 08:32:00:595,1,122,103,0,320,2909,122,0 123,0,2024-09-07 08:32:00:986,30344,1.0,29461,0.9,61637,1.2,80553,2.25 123,1,2024-09-07 08:32:00:559,214666,214666,0,0,100214271365,1057752165,210782,3311,573,369,391823,0 123,2,2024-09-07 08:32:01:019,153117,153116,1,0,7613893,0,5215 123,3,2024-09-07 08:32:01:142,1,122,1,1,168,1788,122,0 124,0,2024-09-07 08:32:00:971,31399,0.3,31390,0.5,59234,0.2,80926,1.75 124,1,2024-09-07 08:32:01:025,214264,214264,0,0,100411029449,1046013075,212461,1451,352,367,392178,0 124,2,2024-09-07 08:32:01:010,154537,154537,0,0,6636196,0,3101 124,3,2024-09-07 08:32:00:758,1,122,1,0,490,2061,122,0 125,0,2024-09-07 08:32:01:459,30734,0.5,30605,0.6,61486,0.5,81450,1.75 125,1,2024-09-07 08:32:00:862,213908,213908,0,0,100902638369,1057268670,211636,1997,275,384,391702,0 125,2,2024-09-07 08:32:01:118,154657,154657,0,0,6602054,0,2180 125,3,2024-09-07 08:32:01:141,1,122,11,1,284,1738,122,0 126,0,2024-09-07 08:32:01:426,30761,0.9,31581,0.9,60465,0.9,82582,2.00 126,1,2024-09-07 08:32:00:551,214979,214979,0,0,101291415204,1053181313,213492,1411,76,365,391987,0 126,2,2024-09-07 08:32:00:610,154889,154889,0,0,6666983,0,3186 126,3,2024-09-07 08:32:00:909,1,122,9,0,136,1774,122,0 127,0,2024-09-07 08:32:01:639,30238,0.5,30543,0.6,60952,0.5,80946,1.75 127,1,2024-09-07 08:32:00:575,214589,214589,0,0,100260999011,1042054218,212846,1708,35,365,391816,0 127,2,2024-09-07 08:32:00:639,154065,154065,0,0,6149249,0,1803 127,3,2024-09-07 08:32:01:268,1,122,1,0,99,917,122,0 128,0,2024-09-07 08:32:01:553,30938,0.3,30853,0.5,61401,0.2,81868,1.50 128,1,2024-09-07 08:32:01:641,214840,214840,0,0,101223349136,1051019559,213918,851,71,367,391680,0 128,2,2024-09-07 08:32:01:385,154030,154030,0,0,6753337,0,2107 128,3,2024-09-07 08:32:00:767,1,122,1,0,333,2081,122,0 129,0,2024-09-07 08:32:00:999,30828,0.3,30732,0.5,61197,0.3,81466,1.75 129,1,2024-09-07 08:32:00:570,213681,213681,0,0,100354382046,1056691275,210785,2506,390,379,391835,0 129,2,2024-09-07 08:32:00:686,154304,154304,0,0,6891853,0,4031 129,3,2024-09-07 08:32:00:692,1,122,14,1,173,1676,122,0 130,0,2024-09-07 08:32:01:726,31028,1.1,30683,0.9,61658,1.5,82775,2.00 130,1,2024-09-07 08:32:00:585,214241,214241,0,0,100764162600,1054959158,212200,1973,68,381,391825,0 130,2,2024-09-07 08:32:01:132,153121,153121,0,0,6716879,0,4067 130,3,2024-09-07 08:32:01:298,1,122,7,0,207,1190,122,0 131,0,2024-09-07 08:32:01:971,30354,0.7,30346,0.8,61618,0.9,81512,2.00 131,1,2024-09-07 08:32:01:838,215030,215030,0,0,100123570548,1045996910,213590,1235,205,384,391865,0 131,2,2024-09-07 08:32:00:568,155068,155068,0,0,6395958,0,2415 131,3,2024-09-07 08:32:01:694,1,122,58,0,392,1523,122,0 132,0,2024-09-07 08:32:01:449,30281,0.5,30804,0.7,61677,0.5,82083,1.75 132,1,2024-09-07 08:32:00:588,213084,213084,0,0,99739113454,1061433884,208264,3840,980,381,391731,0 132,2,2024-09-07 08:32:00:702,153778,153778,0,0,9324510,0,4606 132,3,2024-09-07 08:32:01:693,1,122,22,0,356,2077,122,0 133,0,2024-09-07 08:32:01:540,29719,0.5,30358,0.6,62340,0.4,80610,1.75 133,1,2024-09-07 08:32:00:583,212258,212258,0,0,99626692676,1055990718,208722,3303,233,383,391755,0 133,2,2024-09-07 08:32:01:101,153644,153644,0,0,8903536,0,4315 133,3,2024-09-07 08:32:01:297,1,122,9,1,187,1168,122,0 134,0,2024-09-07 08:32:00:957,30462,0.7,30313,0.9,61209,0.7,81601,2.00 134,1,2024-09-07 08:32:00:599,213448,213448,0,0,100063464874,1054552312,209588,2686,1174,366,391718,0 134,2,2024-09-07 08:32:01:758,152714,152714,0,0,7329177,0,3096 134,3,2024-09-07 08:32:00:750,1,122,148,0,739,2734,122,0 135,0,2024-09-07 08:32:01:130,29978,0.9,29884,1.1,63153,1.1,81814,2.25 135,1,2024-09-07 08:32:01:623,213404,213404,0,0,100219789992,1060361787,209610,3094,700,380,391725,0 135,2,2024-09-07 08:32:00:692,155093,155093,0,0,8033380,0,3981 135,3,2024-09-07 08:32:01:002,1,122,1,0,89,771,122,0 136,0,2024-09-07 08:32:01:617,30489,0.6,30302,0.8,61070,0.6,81061,2.00 136,1,2024-09-07 08:32:01:450,213705,213705,0,0,100196044571,1052743797,210940,2600,165,384,391641,0 136,2,2024-09-07 08:32:01:145,155204,155204,0,0,7242570,0,3506 136,3,2024-09-07 08:32:01:109,1,122,1,0,108,1081,122,0 137,0,2024-09-07 08:32:00:938,31320,0.5,30448,0.7,60464,0.5,81273,2.00 137,1,2024-09-07 08:32:00:579,214024,214024,0,0,100925120245,1056806036,211362,2483,179,367,391608,0 137,2,2024-09-07 08:32:01:715,153333,153333,0,0,8814028,0,3185 137,3,2024-09-07 08:32:00:769,1,122,17,1,227,1602,122,0 138,0,2024-09-07 08:32:01:748,29775,1.9,29931,1.3,60439,3.1,80279,2.50 138,1,2024-09-07 08:32:01:694,214451,214451,0,0,100873729546,1058428895,211554,2549,348,368,391954,0 138,2,2024-09-07 08:32:00:589,154467,154467,0,0,7132375,0,3263 138,3,2024-09-07 08:32:00:610,1,122,2,0,1160,2328,122,0 139,0,2024-09-07 08:32:01:389,29840,3.3,29858,1.9,60539,4.6,81329,3.25 139,1,2024-09-07 08:32:00:571,213517,213517,0,0,99372756286,1059902876,208872,3337,1308,381,391892,0 139,2,2024-09-07 08:32:00:693,152974,152974,0,0,8039009,0,3097 139,3,2024-09-07 08:32:01:669,1,122,1,0,244,1628,122,0 140,0,2024-09-07 08:32:01:596,30432,0.4,30323,0.6,60759,0.4,80542,1.75 140,1,2024-09-07 08:32:01:535,215157,215157,0,0,101143736198,1045308977,214008,934,215,365,391606,0 140,2,2024-09-07 08:32:00:688,154874,154874,0,0,7094327,0,3388 140,3,2024-09-07 08:32:00:768,1,122,19,0,155,964,122,0 141,0,2024-09-07 08:32:01:702,30354,0.2,31387,0.4,60303,0.2,81612,1.50 141,1,2024-09-07 08:32:00:862,214789,214789,0,0,101190578895,1053360532,213063,1374,352,379,391539,0 141,2,2024-09-07 08:32:01:705,153939,153939,0,0,6464751,0,2342 141,3,2024-09-07 08:32:01:042,1,122,2,0,147,1049,122,0 142,0,2024-09-07 08:32:01:321,31045,0.3,30716,0.5,61028,0.3,82150,1.75 142,1,2024-09-07 08:32:00:598,214721,214721,0,0,100830096438,1051942174,213613,974,134,384,391649,0 142,2,2024-09-07 08:32:01:300,153235,153203,32,0,7913626,0,6028 142,3,2024-09-07 08:32:01:747,1,122,3,0,484,1996,122,0 143,0,2024-09-07 08:32:01:377,30446,0.8,30542,0.8,61464,1.0,81563,2.00 143,1,2024-09-07 08:32:00:557,214584,214584,0,0,100519716567,1047438172,212562,1981,41,367,391619,0 143,2,2024-09-07 08:32:00:769,153529,153529,0,0,6921544,0,2669 143,3,2024-09-07 08:32:01:141,1,122,2,0,303,2201,122,0 144,0,2024-09-07 08:32:01:529,29715,0.7,30605,1.3,61953,0.6,82140,2.25 144,1,2024-09-07 08:32:00:565,213495,213495,0,0,99760848043,1051259902,211277,2017,201,381,391649,0 144,2,2024-09-07 08:32:01:758,154667,154667,0,0,6745203,0,3473 144,3,2024-09-07 08:32:01:749,1,122,2,0,249,1854,122,0 145,0,2024-09-07 08:32:01:377,29542,0.6,29525,0.8,62624,0.5,81751,2.00 145,1,2024-09-07 08:32:00:564,212618,212618,0,0,99907556051,1059769064,208494,3392,732,383,391615,0 145,2,2024-09-07 08:32:01:429,154691,154691,0,0,7718077,0,3903 145,3,2024-09-07 08:32:00:902,1,122,15,0,151,1549,122,0 146,0,2024-09-07 08:32:01:660,30400,0.5,30285,0.7,61049,0.5,80739,2.00 146,1,2024-09-07 08:32:01:589,214729,214729,0,0,100886554491,1063366337,210618,3315,796,368,391600,0 146,2,2024-09-07 08:32:01:699,154419,154419,0,0,7200063,0,2379 146,3,2024-09-07 08:32:01:278,1,122,4,0,1520,4514,122,0 147,0,2024-09-07 08:32:01:716,30304,1.8,30220,1.3,59825,2.7,81468,2.50 147,1,2024-09-07 08:32:01:379,215214,215214,0,0,100841620998,1051690447,212924,1899,391,368,391791,0 147,2,2024-09-07 08:32:01:010,153366,153366,0,0,6763262,0,2789 147,3,2024-09-07 08:32:00:915,1,122,1,0,371,1645,122,0 0,0,2024-09-07 08:32:11:707,29508,0.5,29573,0.7,62572,0.5,80875,1.75 0,1,2024-09-07 08:32:10:801,215764,215764,0,0,101536709467,1067120873,214061,1572,131,372,391692,0 0,2,2024-09-07 08:32:11:096,155048,155048,0,0,7386500,0,4480 0,3,2024-09-07 08:32:10:974,1,123,7,0,247,1851,123,0 1,0,2024-09-07 08:32:11:812,30443,2.0,30135,1.5,60651,2.7,80841,2.50 1,1,2024-09-07 08:32:10:558,214866,214866,0,0,100196756031,1058632744,211451,2553,862,372,391857,0 1,2,2024-09-07 08:32:10:650,154179,154179,0,0,6732536,0,3267 1,3,2024-09-07 08:32:11:302,1,123,10,0,262,1673,123,0 2,0,2024-09-07 08:32:11:569,31022,1.1,31193,1.1,61921,1.5,83514,2.50 2,1,2024-09-07 08:32:10:860,215641,215641,0,0,101449610111,1058677666,214230,1205,206,380,391558,0 2,2,2024-09-07 08:32:11:266,155368,155368,0,0,7242830,0,3594 2,3,2024-09-07 08:32:10:696,1,123,2,0,357,1511,123,0 3,0,2024-09-07 08:32:11:750,30196,0.6,30249,0.8,60607,0.6,80087,2.00 3,1,2024-09-07 08:32:11:621,215441,215441,0,0,101488935230,1065534349,212339,2678,424,382,391516,0 3,2,2024-09-07 08:32:11:142,155459,155436,23,0,7450351,0,5851 3,3,2024-09-07 08:32:11:755,1,123,1,0,103,877,123,0 4,0,2024-09-07 08:32:11:803,29825,0.3,30439,0.5,61913,0.2,81053,1.75 4,1,2024-09-07 08:32:10:592,215874,215874,0,0,100621219992,1062996093,213190,2164,520,371,391846,0 4,2,2024-09-07 08:32:11:018,154066,154066,0,0,8752855,0,4528 4,3,2024-09-07 08:32:11:027,1,123,1,0,287,1981,123,0 5,0,2024-09-07 08:32:11:529,30907,0.6,30721,0.7,61655,0.7,81838,2.00 5,1,2024-09-07 08:32:10:771,214934,214934,0,0,101383545701,1074417416,210932,3017,985,368,392005,0 5,2,2024-09-07 08:32:11:844,155107,155107,0,0,7555918,0,2259 5,3,2024-09-07 08:32:11:732,1,123,11,0,238,2110,123,0 6,0,2024-09-07 08:32:10:928,31416,1.3,31025,1.2,61755,2.1,83276,2.75 6,1,2024-09-07 08:32:10:747,215762,215762,0,0,101347776979,1065119432,212824,2293,645,381,391603,0 6,2,2024-09-07 08:32:11:134,155736,155736,0,0,7574997,0,4816 6,3,2024-09-07 08:32:11:274,1,123,0,0,340,2057,123,0 7,0,2024-09-07 08:32:11:533,29932,0.6,29912,0.9,60207,0.6,80167,2.00 7,1,2024-09-07 08:32:10:850,216173,216173,0,0,101418042105,1065568904,213676,2367,130,383,391664,0 7,2,2024-09-07 08:32:10:772,154792,154792,0,0,6795215,0,2981 7,3,2024-09-07 08:32:10:852,1,123,1,0,305,1365,123,0 8,0,2024-09-07 08:32:11:371,30841,0.3,31081,0.4,61568,0.2,82514,1.50 8,1,2024-09-07 08:32:11:050,215526,215526,0,0,101586420584,1077355176,211010,3062,1454,368,391956,0 8,2,2024-09-07 08:32:10:790,154092,154092,0,0,8047981,0,2986 8,3,2024-09-07 08:32:10:593,1,123,1,0,357,1925,123,0 9,0,2024-09-07 08:32:11:145,30809,0.3,30056,0.5,62604,0.3,81893,1.75 9,1,2024-09-07 08:32:10:584,215489,215489,0,0,101661269571,1077477903,211351,3064,1074,370,391753,0 9,2,2024-09-07 08:32:11:085,155409,155409,0,0,7883223,0,3360 9,3,2024-09-07 08:32:11:760,1,123,12,0,496,2002,123,0 10,0,2024-09-07 08:32:11:604,31163,0.5,31160,0.7,62277,0.4,83467,1.75 10,1,2024-09-07 08:32:10:584,215948,215948,0,0,101174722289,1065159362,212239,3185,524,381,391741,0 10,2,2024-09-07 08:32:10:767,154624,154624,0,0,7464316,0,2940 10,3,2024-09-07 08:32:10:871,1,123,1,0,136,917,123,0 11,0,2024-09-07 08:32:11:011,30074,0.4,29394,0.7,61609,0.4,82231,2.00 11,1,2024-09-07 08:32:10:571,215416,215416,0,0,101084823281,1067463293,210885,3369,1162,384,391537,0 11,2,2024-09-07 08:32:11:126,155551,155551,0,0,7265534,0,3411 11,3,2024-09-07 08:32:11:298,1,123,1,0,720,2735,123,0 12,0,2024-09-07 08:32:10:952,31265,0.3,31129,0.5,62417,0.3,83012,1.50 12,1,2024-09-07 08:32:10:948,215693,215693,0,0,101081338828,1061206698,212884,2399,410,371,391837,0 12,2,2024-09-07 08:32:11:541,156649,156649,0,0,7754459,0,3469 12,3,2024-09-07 08:32:11:060,1,123,1,0,358,2349,123,0 13,0,2024-09-07 08:32:11:345,30746,0.4,30870,0.5,61490,0.4,81659,1.75 13,1,2024-09-07 08:32:11:524,216339,216339,0,0,101461071241,1067141103,214408,1555,376,384,391717,0 13,2,2024-09-07 08:32:10:596,155933,155933,0,0,7099840,0,3287 13,3,2024-09-07 08:32:11:764,1,123,7,1,416,2319,123,0 14,0,2024-09-07 08:32:10:582,30941,0.6,31019,0.8,61593,0.6,82343,2.00 14,1,2024-09-07 08:32:11:561,217557,217557,0,0,101791256568,1058709489,215688,1707,162,364,391571,0 14,2,2024-09-07 08:32:10:764,153698,153698,0,0,7167555,0,2896 14,3,2024-09-07 08:32:11:127,1,123,3,0,1168,2691,123,0 15,0,2024-09-07 08:32:11:554,31135,0.6,31048,0.9,62096,0.7,83282,2.25 15,1,2024-09-07 08:32:11:610,215627,215627,0,0,100947629291,1052618278,214261,1306,60,381,391536,0 15,2,2024-09-07 08:32:11:001,156630,156630,0,0,5904923,0,3043 15,3,2024-09-07 08:32:11:411,1,123,0,0,1126,4028,123,0 16,0,2024-09-07 08:32:10:954,30292,0.6,30759,0.8,60811,0.6,81179,2.25 16,1,2024-09-07 08:32:10:570,216111,216111,0,0,101376979033,1065343622,213968,1884,259,372,391756,0 16,2,2024-09-07 08:32:11:434,154891,154891,0,0,8150875,0,4719 16,3,2024-09-07 08:32:11:150,1,123,2,0,231,1782,123,0 17,0,2024-09-07 08:32:11:799,31683,0.5,30880,0.7,60547,0.4,81540,2.00 17,1,2024-09-07 08:32:10:571,215097,215097,0,0,100541347520,1064576644,211779,2647,671,369,391688,0 17,2,2024-09-07 08:32:11:668,155994,155994,0,0,7459419,0,2857 17,3,2024-09-07 08:32:10:574,1,123,9,0,268,2053,123,0 18,0,2024-09-07 08:32:10:943,29588,1.1,30028,1.1,60228,1.3,79696,2.50 18,1,2024-09-07 08:32:11:638,215679,215679,0,0,100966331794,1052845688,213803,1642,234,368,391564,0 18,2,2024-09-07 08:32:11:761,154730,154730,0,0,7402341,0,3541 18,3,2024-09-07 08:32:10:902,1,123,38,0,163,1525,123,0 19,0,2024-09-07 08:32:11:544,30582,1.3,30760,1.2,60932,1.5,81327,3.25 19,1,2024-09-07 08:32:10:587,216099,216099,0,0,101727394426,1066160129,212643,2764,692,367,391777,0 19,2,2024-09-07 08:32:11:751,156473,156473,0,0,6607049,0,3988 19,3,2024-09-07 08:32:11:134,1,123,0,0,524,1402,123,0 20,0,2024-09-07 08:32:11:376,30383,0.9,30325,0.9,60631,1.0,80625,2.50 20,1,2024-09-07 08:32:10:572,215831,215831,0,0,101258605002,1065580105,213196,2428,207,369,391822,0 20,2,2024-09-07 08:32:10:938,155137,155137,0,0,7263637,0,3721 20,3,2024-09-07 08:32:10:596,1,123,3,0,414,2654,123,0 21,0,2024-09-07 08:32:11:195,31189,0.4,31070,0.6,61860,0.3,81935,1.75 21,1,2024-09-07 08:32:11:542,215089,215089,0,0,100609175103,1064759025,211761,2799,529,368,391962,0 21,2,2024-09-07 08:32:11:073,155021,155021,0,0,7674586,0,3747 21,3,2024-09-07 08:32:11:421,1,123,19,0,103,1702,123,0 22,0,2024-09-07 08:32:11:720,30675,1.0,30811,1.0,61161,1.0,81862,2.25 22,1,2024-09-07 08:32:11:028,215457,215457,0,0,100963283790,1071350006,210530,3499,1428,382,391667,0 22,2,2024-09-07 08:32:10:769,155651,155651,0,0,6962520,0,3134 22,3,2024-09-07 08:32:11:069,1,123,5,0,228,1477,123,0 23,0,2024-09-07 08:32:11:379,30403,1.2,30690,1.0,61524,1.6,81646,2.50 23,1,2024-09-07 08:32:11:002,216548,216548,0,0,101683534361,1068559255,213078,2197,1273,365,391549,0 23,2,2024-09-07 08:32:11:097,154947,154947,0,0,6825769,0,2701 23,3,2024-09-07 08:32:11:754,1,123,17,0,645,1705,123,0 24,0,2024-09-07 08:32:10:825,31602,0.5,31577,0.7,63238,0.5,84501,1.75 24,1,2024-09-07 08:32:10:589,215782,215782,0,0,100972545502,1060316531,213310,1707,765,369,391640,0 24,2,2024-09-07 08:32:11:076,154727,154727,0,0,8114435,0,2942 24,3,2024-09-07 08:32:11:687,1,123,1,0,234,1560,123,0 25,0,2024-09-07 08:32:11:370,31822,0.4,31045,0.6,60773,0.3,82982,1.75 25,1,2024-09-07 08:32:10:562,215520,215520,0,0,100892439639,1063685559,212150,2829,541,371,391788,0 25,2,2024-09-07 08:32:11:627,155058,155058,0,0,8436888,0,3978 25,3,2024-09-07 08:32:11:008,1,123,11,0,158,1432,123,0 26,0,2024-09-07 08:32:11:722,30351,0.4,29707,0.6,62373,0.3,81454,1.75 26,1,2024-09-07 08:32:11:541,216408,216408,0,0,100962140382,1064306702,212362,3202,844,381,391564,0 26,2,2024-09-07 08:32:10:861,155763,155763,0,0,7539556,0,2809 26,3,2024-09-07 08:32:11:712,1,123,3,0,796,1847,123,0 27,0,2024-09-07 08:32:11:744,30764,0.5,31012,0.7,61327,0.5,82258,1.75 27,1,2024-09-07 08:32:11:675,216776,216776,0,0,101805085515,1059959280,215009,1444,323,381,391539,0 27,2,2024-09-07 08:32:10:868,152838,152838,0,0,8092351,0,3409 27,3,2024-09-07 08:32:11:017,1,123,30,0,564,1566,123,0 28,0,2024-09-07 08:32:11:394,30828,0.6,31077,0.8,62214,0.7,83250,2.25 28,1,2024-09-07 08:32:10:797,216232,216232,0,0,102057795669,1064609655,214611,1410,211,383,391646,0 28,2,2024-09-07 08:32:11:763,156272,156272,0,0,6081877,0,2915 28,3,2024-09-07 08:32:11:777,1,123,6,0,502,1612,123,0 29,0,2024-09-07 08:32:11:367,31315,0.4,30524,0.6,59829,0.3,81856,1.75 29,1,2024-09-07 08:32:11:565,217121,217121,0,0,100870615551,1048794551,215014,1577,530,369,391621,0 29,2,2024-09-07 08:32:10:861,156009,156009,0,0,6149259,0,4018 29,3,2024-09-07 08:32:10:968,1,123,8,0,105,1021,123,0 30,0,2024-09-07 08:32:11:458,30396,0.7,29568,0.8,61870,0.7,80884,2.25 30,1,2024-09-07 08:32:10:571,216550,216550,0,0,101644660511,1060182362,214677,1595,278,382,391672,0 30,2,2024-09-07 08:32:11:274,155936,155936,0,0,6330492,0,3161 30,3,2024-09-07 08:32:10:581,1,123,1,0,195,930,123,0 31,0,2024-09-07 08:32:11:760,30034,0.8,30124,0.9,60971,0.9,80580,2.25 31,1,2024-09-07 08:32:10:565,217729,217729,0,0,102162220873,1047618308,217368,359,2,356,391553,0 31,2,2024-09-07 08:32:11:275,154337,154337,0,0,7667891,0,3525 31,3,2024-09-07 08:32:11:707,1,123,1,0,220,1090,123,0 32,0,2024-09-07 08:32:11:450,31242,0.7,31617,0.8,63006,0.6,84292,1.75 32,1,2024-09-07 08:32:10:804,216839,216839,0,0,101263040214,1055848615,215103,1461,275,382,391595,0 32,2,2024-09-07 08:32:10:947,156071,156071,0,0,6466471,0,3155 32,3,2024-09-07 08:32:11:021,1,123,1,0,227,1351,123,0 33,0,2024-09-07 08:32:11:501,30666,0.4,30288,0.6,61178,0.3,81029,1.75 33,1,2024-09-07 08:32:10:582,217052,217052,0,0,101823927492,1059322431,214914,1966,172,369,391730,0 33,2,2024-09-07 08:32:10:805,155465,155432,33,0,8368043,0,7012 33,3,2024-09-07 08:32:10:901,1,123,12,0,329,1533,123,0 34,0,2024-09-07 08:32:10:965,30573,0.3,31610,0.5,60557,0.2,80943,1.75 34,1,2024-09-07 08:32:11:058,216740,216740,0,0,102046939717,1054803671,215777,958,5,367,391562,0 34,2,2024-09-07 08:32:10:766,154573,154573,0,0,7179553,0,3255 34,3,2024-09-07 08:32:11:688,1,123,0,0,148,876,123,0 35,0,2024-09-07 08:32:10:863,30446,0.5,30830,0.6,61429,0.5,81933,1.75 35,1,2024-09-07 08:32:11:071,215894,215894,0,0,101273993900,1058266217,213120,2004,770,384,391589,0 35,2,2024-09-07 08:32:11:583,155071,155071,0,0,6685894,0,2653 35,3,2024-09-07 08:32:10:907,1,123,4,0,418,1832,123,0 36,0,2024-09-07 08:32:11:528,30997,1.3,30935,1.2,62581,1.6,83142,3.00 36,1,2024-09-07 08:32:10:606,215871,215871,0,0,101027657682,1062543929,212240,2665,966,366,391759,0 36,2,2024-09-07 08:32:11:750,154742,154742,0,0,7671668,0,3303 36,3,2024-09-07 08:32:10:863,1,123,33,0,378,1290,123,0 37,0,2024-09-07 08:32:11:374,30157,0.6,30221,0.9,60238,0.6,81064,2.00 37,1,2024-09-07 08:32:10:570,215014,215007,0,7,100274826674,1063671040,210329,2661,2017,365,391518,0 37,2,2024-09-07 08:32:11:146,155269,155254,15,0,7567323,0,5815 37,3,2024-09-07 08:32:11:768,1,123,11,0,724,2325,123,0 38,0,2024-09-07 08:32:11:439,30788,0.4,29865,0.6,62350,0.4,82107,2.00 38,1,2024-09-07 08:32:11:609,216527,216527,0,0,101283235994,1063237259,213155,2808,564,368,391821,0 38,2,2024-09-07 08:32:10:763,155578,155531,47,0,8988271,0,6710 38,3,2024-09-07 08:32:11:001,1,123,32,0,603,1983,123,0 39,0,2024-09-07 08:32:11:766,31541,0.7,30826,0.8,60348,0.8,82191,2.00 39,1,2024-09-07 08:32:10:725,216132,216132,0,0,101372116581,1063914965,212444,2887,801,365,391524,0 39,2,2024-09-07 08:32:11:421,155686,155686,0,0,6867814,0,2689 39,3,2024-09-07 08:32:10:715,1,123,123,0,276,1878,123,0 40,0,2024-09-07 08:32:11:557,30444,1.1,31095,1.5,61641,1.4,82823,3.50 40,1,2024-09-07 08:32:10:582,215923,215923,0,0,100322857200,1055910622,211854,3302,767,369,391591,0 40,2,2024-09-07 08:32:11:308,154312,154311,1,0,8406477,0,5137 40,3,2024-09-07 08:32:11:147,1,123,4,0,181,1420,123,0 41,0,2024-09-07 08:32:11:028,30071,1.3,30849,1.5,58996,2.5,80908,3.50 41,1,2024-09-07 08:32:10:774,216225,216225,0,0,101186251414,1063956798,212384,3312,529,370,391742,0 41,2,2024-09-07 08:32:10:773,154979,154979,0,0,8196658,0,3356 41,3,2024-09-07 08:32:11:680,1,123,8,0,366,1764,123,0 42,0,2024-09-07 08:32:11:481,30443,0.6,30712,0.9,61204,0.5,81272,2.25 42,1,2024-09-07 08:32:11:440,215090,215090,0,0,101242569503,1067093554,211368,2886,836,380,391675,0 42,2,2024-09-07 08:32:11:134,155152,155152,0,0,8183757,0,3568 42,3,2024-09-07 08:32:11:010,1,123,3,0,100,881,123,0 43,0,2024-09-07 08:32:10:929,30642,0.8,29865,0.9,62268,0.8,81715,2.00 43,1,2024-09-07 08:32:10:577,215583,215583,0,0,101355230493,1060147977,212760,2071,752,366,391604,0 43,2,2024-09-07 08:32:11:736,156207,156207,0,0,7674201,0,3812 43,3,2024-09-07 08:32:11:748,1,123,0,0,325,1888,123,0 44,0,2024-09-07 08:32:10:897,31026,0.6,30891,0.8,62071,0.6,82966,2.00 44,1,2024-09-07 08:32:10:630,216960,216960,0,0,101034660542,1045520062,215529,1302,129,356,391809,0 44,2,2024-09-07 08:32:11:267,153365,153365,0,0,6171580,0,1877 44,3,2024-09-07 08:32:11:097,1,123,11,0,817,1523,123,0 45,0,2024-09-07 08:32:11:759,30737,0.8,30072,0.9,63036,0.8,83805,2.00 45,1,2024-09-07 08:32:11:010,216572,216572,0,0,101333180347,1053123312,215573,990,9,382,391917,0 45,2,2024-09-07 08:32:11:273,156729,156729,0,0,6736477,0,3596 45,3,2024-09-07 08:32:10:934,1,123,8,0,226,1192,123,0 46,0,2024-09-07 08:32:10:954,30304,0.4,30217,0.6,60696,0.3,80333,1.75 46,1,2024-09-07 08:32:10:575,216914,216914,0,0,101543568972,1052041644,215463,1345,106,366,391514,0 46,2,2024-09-07 08:32:10:594,156399,156399,0,0,6584119,0,2920 46,3,2024-09-07 08:32:11:132,1,123,1,0,363,1896,123,0 47,0,2024-09-07 08:32:11:116,30662,0.3,30866,0.5,61922,0.3,81265,1.75 47,1,2024-09-07 08:32:10:570,216965,216965,0,0,101726072188,1051593332,215954,1000,11,366,391605,0 47,2,2024-09-07 08:32:10:908,155805,155805,0,0,6942425,0,2558 47,3,2024-09-07 08:32:11:119,1,123,54,0,529,1454,123,0 48,0,2024-09-07 08:32:11:489,30490,0.3,30283,0.5,60612,0.3,80170,1.75 48,1,2024-09-07 08:32:11:023,216416,216416,0,0,101978924291,1064234664,214427,1809,180,384,391710,0 48,2,2024-09-07 08:32:10:703,154571,154571,0,0,5957015,0,2083 48,3,2024-09-07 08:32:10:756,1,123,0,0,339,1225,123,0 49,0,2024-09-07 08:32:11:715,31737,0.6,31007,0.8,60618,0.7,83176,2.00 49,1,2024-09-07 08:32:11:034,215540,215540,0,0,100716047158,1056228932,212847,1853,840,382,391583,0 49,2,2024-09-07 08:32:11:798,156156,156156,0,0,6923185,0,3900 49,3,2024-09-07 08:32:11:420,1,123,14,0,408,1646,123,0 50,0,2024-09-07 08:32:11:511,30472,0.4,29876,0.6,60086,0.3,80347,1.75 50,1,2024-09-07 08:32:11:030,217096,217096,0,0,101964711800,1062261030,214784,2004,308,368,391530,0 50,2,2024-09-07 08:32:11:070,154893,154893,0,0,6605003,0,2253 50,3,2024-09-07 08:32:11:295,1,123,1,0,335,1139,123,0 51,0,2024-09-07 08:32:11:694,31630,0.3,30997,0.4,60522,0.2,82367,1.75 51,1,2024-09-07 08:32:11:689,216288,216288,0,0,102397299895,1063326991,214338,1165,785,367,391637,0 51,2,2024-09-07 08:32:11:318,155050,155050,0,0,5875237,0,2448 51,3,2024-09-07 08:32:11:037,1,123,1,0,162,810,123,0 52,0,2024-09-07 08:32:11:432,30993,0.6,31071,0.8,62265,0.6,82379,2.25 52,1,2024-09-07 08:32:10:575,215778,215778,0,0,101007899586,1066731159,211417,3609,752,368,391722,0 52,2,2024-09-07 08:32:11:757,153981,153943,38,0,8530739,0,6742 52,3,2024-09-07 08:32:10:675,1,123,8,0,1782,2932,123,0 53,0,2024-09-07 08:32:11:732,30414,1.3,29528,1.2,61676,1.5,81187,3.00 53,1,2024-09-07 08:32:10:772,214837,214837,0,0,101525906281,1076152973,210066,3252,1519,367,391617,0 53,2,2024-09-07 08:32:11:298,155544,155544,0,0,6617749,0,2262 53,3,2024-09-07 08:32:10:697,1,123,16,0,133,1038,123,0 54,0,2024-09-07 08:32:11:630,30214,2.1,30776,1.3,60445,0.9,81634,4.25 54,1,2024-09-07 08:32:10:584,216011,216011,0,0,101311321892,1062637768,212514,2946,551,367,391532,0 54,2,2024-09-07 08:32:10:865,155195,155189,6,0,7720957,0,5382 54,3,2024-09-07 08:32:10:772,1,123,1,0,676,2669,123,0 55,0,2024-09-07 08:32:11:769,29771,0.5,30695,0.8,62289,0.4,80913,2.50 55,1,2024-09-07 08:32:10:770,215550,215550,0,0,101039706686,1063103879,210960,3805,785,367,391731,0 55,2,2024-09-07 08:32:10:729,155300,155300,0,0,7883828,0,3275 55,3,2024-09-07 08:32:10:676,1,123,9,0,304,1420,123,0 56,0,2024-09-07 08:32:11:554,31399,1.4,29672,1.2,60984,2.0,81738,2.50 56,1,2024-09-07 08:32:10:570,215436,215436,0,0,101530745581,1080790478,210517,4017,902,382,391678,0 56,2,2024-09-07 08:32:11:303,155572,155572,0,0,8579966,0,3567 56,3,2024-09-07 08:32:11:065,1,123,2,0,297,1593,123,0 57,0,2024-09-07 08:32:10:941,30122,1.9,30046,1.4,60343,2.6,80879,3.75 57,1,2024-09-07 08:32:10:990,215827,215827,0,0,100520967922,1055215687,213359,2298,170,368,391773,0 57,2,2024-09-07 08:32:11:317,154086,154086,0,0,8230276,0,3178 57,3,2024-09-07 08:32:11:744,1,123,9,0,359,2467,123,0 58,0,2024-09-07 08:32:10:572,30140,0.8,29381,1.0,61559,0.8,81164,2.50 58,1,2024-09-07 08:32:10:575,216670,216667,0,3,101672972300,1063636842,213709,2579,379,369,391516,3 58,2,2024-09-07 08:32:11:076,156231,156231,0,0,7548154,0,2549 58,3,2024-09-07 08:32:11:083,1,123,2,0,219,1088,123,0 59,0,2024-09-07 08:32:11:742,30567,0.9,30204,1.0,60732,0.9,80641,2.75 59,1,2024-09-07 08:32:10:804,216004,216004,0,0,100853964176,1059211456,212638,2444,922,369,391515,0 59,2,2024-09-07 08:32:10:583,156603,156603,0,0,6843160,0,2604 59,3,2024-09-07 08:32:11:737,1,123,55,0,1015,2532,123,0 60,0,2024-09-07 08:32:11:769,30794,0.4,30887,0.6,61157,0.4,81032,1.75 60,1,2024-09-07 08:32:10:773,217664,217664,0,0,101397711935,1053836036,216370,941,353,370,391761,0 60,2,2024-09-07 08:32:11:149,155153,155153,0,0,7617865,0,3811 60,3,2024-09-07 08:32:11:258,1,123,1,0,124,1244,123,0 61,0,2024-09-07 08:32:11:527,30162,1.6,30386,1.2,60584,2.5,80865,2.25 61,1,2024-09-07 08:32:10:771,216035,216035,0,0,101570467086,1067456965,213185,2313,537,382,391589,0 61,2,2024-09-07 08:32:11:127,155070,155070,0,0,6605841,0,2079 61,3,2024-09-07 08:32:11:687,1,123,18,0,199,1634,123,0 62,0,2024-09-07 08:32:11:723,31442,1.3,32161,1.0,61456,2.0,84044,2.25 62,1,2024-09-07 08:32:11:121,217799,217793,0,6,102148020029,1055962419,216372,1383,38,365,391715,6 62,2,2024-09-07 08:32:11:648,154985,154984,1,0,7648844,0,5555 62,3,2024-09-07 08:32:11:144,1,123,1,0,287,1047,123,0 63,0,2024-09-07 08:32:11:452,30314,0.6,30396,0.7,60698,0.7,80722,2.00 63,1,2024-09-07 08:32:10:804,217270,217264,0,6,101875401801,1057096104,216158,1086,20,381,391542,6 63,2,2024-09-07 08:32:10:773,155130,155130,0,0,6270370,0,2674 63,3,2024-09-07 08:32:11:731,1,123,2,0,667,2061,123,0 64,0,2024-09-07 08:32:11:519,30502,0.4,30361,0.6,60829,0.4,80998,1.75 64,1,2024-09-07 08:32:10:750,216193,216193,0,0,101511430721,1068159559,212757,2384,1052,371,391783,0 64,2,2024-09-07 08:32:11:150,156194,156175,19,0,7438602,0,6121 64,3,2024-09-07 08:32:11:140,1,123,5,0,265,1670,123,0 65,0,2024-09-07 08:32:11:687,30121,1.4,30538,1.1,61009,1.8,81185,2.75 65,1,2024-09-07 08:32:10:859,215552,215552,0,0,100903203115,1059921730,213183,2147,222,382,391770,0 65,2,2024-09-07 08:32:11:693,155593,155593,0,0,7839235,0,3367 65,3,2024-09-07 08:32:11:683,1,123,8,0,163,1352,123,0 66,0,2024-09-07 08:32:11:766,30698,1.3,30793,1.2,61624,2.2,82529,3.25 66,1,2024-09-07 08:32:11:295,216739,216739,0,0,101701442744,1060398242,214956,1612,171,380,391588,0 66,2,2024-09-07 08:32:11:133,156861,156861,0,0,6974056,0,4956 66,3,2024-09-07 08:32:11:085,1,123,251,0,291,1620,123,0 67,0,2024-09-07 08:32:11:420,30589,1.1,30496,1.1,61036,1.5,81151,2.75 67,1,2024-09-07 08:32:10:769,215562,215561,0,1,101341731858,1066487428,212117,2698,746,381,391787,1 67,2,2024-09-07 08:32:10:584,155932,155932,0,0,6448131,0,2889 67,3,2024-09-07 08:32:11:749,1,123,2,0,138,1188,123,0 68,0,2024-09-07 08:32:10:575,31155,0.5,31026,0.7,61861,0.5,82360,2.00 68,1,2024-09-07 08:32:10:575,215153,215153,0,0,100630557532,1060161678,212631,1624,898,381,391953,0 68,2,2024-09-07 08:32:11:044,154680,154615,65,0,8776165,0,6698 68,3,2024-09-07 08:32:10:730,1,123,417,0,417,1727,123,0 69,0,2024-09-07 08:32:11:753,30654,1.0,30903,1.0,61414,1.2,81884,2.25 69,1,2024-09-07 08:32:11:020,215113,215113,0,0,100936941576,1071752777,211826,2166,1121,384,391611,0 69,2,2024-09-07 08:32:11:740,155487,155487,0,0,8388787,0,3701 69,3,2024-09-07 08:32:10:761,1,123,10,0,238,1649,123,0 70,0,2024-09-07 08:32:11:534,30616,2.6,30676,1.8,61633,1.7,81937,4.25 70,1,2024-09-07 08:32:10:805,216397,216397,0,0,102113720889,1065654301,214089,1846,462,367,391725,0 70,2,2024-09-07 08:32:11:325,154286,154286,0,0,7581045,0,4044 70,3,2024-09-07 08:32:10:745,1,123,2,0,178,855,123,0 71,0,2024-09-07 08:32:11:374,30081,1.4,30345,1.5,60087,2.0,81153,3.25 71,1,2024-09-07 08:32:11:596,216450,216450,0,0,101883442402,1068587363,213244,2758,448,368,391682,0 71,2,2024-09-07 08:32:11:083,156372,156372,0,0,7221683,0,2146 71,3,2024-09-07 08:32:11:754,1,123,100,0,644,2316,123,0 72,0,2024-09-07 08:32:11:026,31972,0.5,31181,0.7,61185,0.5,83178,2.25 72,1,2024-09-07 08:32:11:039,215921,215921,0,0,101151653422,1059240203,213061,2377,483,370,391819,0 72,2,2024-09-07 08:32:11:761,155076,155076,0,0,8834275,0,2570 72,3,2024-09-07 08:32:11:763,1,123,42,0,325,1969,123,0 73,0,2024-09-07 08:32:11:110,30002,0.4,30681,0.6,62720,0.3,81451,2.25 73,1,2024-09-07 08:32:10:775,216184,216184,0,0,101031764381,1051034743,214588,1482,114,368,391627,0 73,2,2024-09-07 08:32:11:756,156325,156325,0,0,8333133,0,3482 73,3,2024-09-07 08:32:10:969,1,123,13,0,274,2043,123,0 74,0,2024-09-07 08:32:11:327,31151,0.7,31593,0.9,60408,0.8,82536,2.50 74,1,2024-09-07 08:32:10:708,216075,216075,0,0,101298837345,1059696869,213539,1930,606,382,391681,0 74,2,2024-09-07 08:32:11:009,153694,153694,0,0,8160518,0,4253 74,3,2024-09-07 08:32:11:442,1,123,1,0,246,2004,123,0 75,0,2024-09-07 08:32:11:771,31198,0.7,31089,1.0,62213,0.7,83862,2.50 75,1,2024-09-07 08:32:11:585,216008,216008,0,0,101119743694,1059328528,213601,2043,364,381,391579,0 75,2,2024-09-07 08:32:11:354,155679,155679,0,0,7502742,0,4766 75,3,2024-09-07 08:32:11:075,1,123,58,0,535,1576,123,0 76,0,2024-09-07 08:32:10:606,30303,0.4,30037,0.7,60000,0.4,80612,2.25 76,1,2024-09-07 08:32:10:806,216221,216221,0,0,101150458757,1058733394,214305,1406,510,382,391530,0 76,2,2024-09-07 08:32:11:073,156962,156962,0,0,6568274,0,3064 76,3,2024-09-07 08:32:11:142,1,123,3,0,175,1521,123,0 77,0,2024-09-07 08:32:11:692,30686,0.6,30886,0.7,61838,0.6,81164,2.00 77,1,2024-09-07 08:32:10:835,216591,216591,0,0,100787481156,1052825892,215191,1319,81,383,391808,0 77,2,2024-09-07 08:32:11:283,155160,155160,0,0,6684841,0,3890 77,3,2024-09-07 08:32:11:097,1,123,8,0,305,1757,123,0 78,0,2024-09-07 08:32:11:733,30298,0.6,30266,0.8,60718,0.6,79918,2.25 78,1,2024-09-07 08:32:10:613,216738,216738,0,0,100783412298,1049996199,214979,1554,205,367,391589,0 78,2,2024-09-07 08:32:11:407,155639,155639,0,0,6474853,0,2114 78,3,2024-09-07 08:32:11:138,1,123,9,0,181,1448,123,0 79,0,2024-09-07 08:32:11:350,29960,0.6,30574,0.8,62666,0.5,81862,2.50 79,1,2024-09-07 08:32:10:571,216905,216905,0,0,101729761035,1056794191,214907,1827,171,369,391682,0 79,2,2024-09-07 08:32:11:069,156725,156725,0,0,6668171,0,3212 79,3,2024-09-07 08:32:10:749,1,123,1,0,306,2070,123,0 80,0,2024-09-07 08:32:11:157,30155,0.8,30963,0.9,59613,0.9,80605,2.50 80,1,2024-09-07 08:32:11:619,215563,215563,0,0,101419611666,1061350486,213092,2312,159,368,391673,0 80,2,2024-09-07 08:32:11:106,155837,155837,0,0,7379822,0,4433 80,3,2024-09-07 08:32:10:575,1,123,1,0,190,2249,123,0 81,0,2024-09-07 08:32:11:559,30981,0.5,31796,0.6,60904,0.5,82152,1.75 81,1,2024-09-07 08:32:11:667,216080,216080,0,0,100653976392,1057479216,213644,2164,272,382,391879,0 81,2,2024-09-07 08:32:11:130,154586,154586,0,0,7766830,0,3993 81,3,2024-09-07 08:32:11:126,1,123,8,0,193,1338,123,0 82,0,2024-09-07 08:32:11:531,30722,0.6,30810,0.8,61938,0.6,82598,2.00 82,1,2024-09-07 08:32:10:584,215805,215801,0,4,101476322648,1063436313,213395,1830,576,382,391558,4 82,2,2024-09-07 08:32:11:691,156312,156312,0,0,7050224,0,3986 82,3,2024-09-07 08:32:11:751,1,123,1,0,227,1730,123,0 83,0,2024-09-07 08:32:11:523,30827,1.0,30549,1.0,60655,1.2,81239,2.50 83,1,2024-09-07 08:32:10:557,216470,216470,0,0,101171438032,1061184549,214180,2100,190,383,391553,0 83,2,2024-09-07 08:32:10:764,154492,154492,0,0,7000670,0,3119 83,3,2024-09-07 08:32:10:749,1,123,5,0,133,1212,123,0 84,0,2024-09-07 08:32:11:776,30865,1.3,30667,1.3,61432,1.0,83004,2.75 84,1,2024-09-07 08:32:11:049,215021,215021,0,0,100565325799,1058986963,211986,2585,450,368,391852,0 84,2,2024-09-07 08:32:10:574,155472,155472,0,0,7482130,0,3801 84,3,2024-09-07 08:32:11:170,1,123,86,1,86,1287,123,0 85,0,2024-09-07 08:32:11:029,29755,0.5,29744,0.8,63116,0.5,82002,2.25 85,1,2024-09-07 08:32:10:566,214839,214839,0,0,101275199512,1079246242,209823,3944,1072,382,391679,0 85,2,2024-09-07 08:32:10:865,156039,156039,0,0,8400832,0,3656 85,3,2024-09-07 08:32:10:687,1,123,8,0,115,1272,123,0 86,0,2024-09-07 08:32:10:901,30600,0.6,31564,0.7,60587,0.7,81581,2.00 86,1,2024-09-07 08:32:10:848,215975,215975,0,0,101489662977,1066961503,212742,2899,334,366,391961,0 86,2,2024-09-07 08:32:10:861,155194,155193,1,0,8897945,0,5004 86,3,2024-09-07 08:32:10:593,1,123,151,0,199,1646,123,0 87,0,2024-09-07 08:32:11:300,30486,2.0,30534,1.3,61043,3.2,82086,2.50 87,1,2024-09-07 08:32:10:556,215282,215282,0,0,100708609125,1060966301,212131,2761,390,366,391788,0 87,2,2024-09-07 08:32:11:069,153965,153965,0,0,6997033,0,3515 87,3,2024-09-07 08:32:11:804,1,123,23,0,335,2241,123,0 88,0,2024-09-07 08:32:11:473,30937,0.6,31187,0.7,61979,0.7,83332,1.75 88,1,2024-09-07 08:32:10:590,215015,215015,0,0,100856918100,1061172005,212082,2155,778,367,391787,0 88,2,2024-09-07 08:32:10:690,156203,156203,0,0,7919743,0,3583 88,3,2024-09-07 08:32:11:273,1,123,1,1,77,1297,123,0 89,0,2024-09-07 08:32:11:776,31480,0.5,30579,0.7,60550,0.5,81994,1.75 89,1,2024-09-07 08:32:10:559,214993,214993,0,0,100868035941,1071735375,210326,3794,873,382,391866,0 89,2,2024-09-07 08:32:11:149,155889,155889,0,0,8197381,0,2910 89,3,2024-09-07 08:32:11:791,1,123,12,0,325,2670,123,0 90,0,2024-09-07 08:32:11:646,29740,0.4,30326,0.6,62403,0.4,80906,1.75 90,1,2024-09-07 08:32:10:594,216393,216393,0,0,100516479316,1058992349,213657,2578,158,382,391825,0 90,2,2024-09-07 08:32:11:413,154045,154045,0,0,8818578,0,3060 90,3,2024-09-07 08:32:10:935,1,123,82,1,200,1533,123,0 91,0,2024-09-07 08:32:10:952,30765,0.5,29760,0.7,61737,0.5,81243,1.75 91,1,2024-09-07 08:32:10:557,215875,215875,0,0,101321252836,1068441026,212548,2834,493,381,391914,0 91,2,2024-09-07 08:32:11:334,153229,153229,0,0,8354450,0,2445 91,3,2024-09-07 08:32:10:606,1,123,4,0,155,1531,123,0 92,0,2024-09-07 08:32:11:489,31705,0.7,32396,0.8,61915,0.8,84335,1.75 92,1,2024-09-07 08:32:10:592,216008,216008,0,0,100792998024,1055675507,214099,1515,394,382,391717,0 92,2,2024-09-07 08:32:11:368,157709,157709,0,0,6688932,0,2279 92,3,2024-09-07 08:32:11:017,1,123,9,0,68,1185,123,0 93,0,2024-09-07 08:32:10:981,30571,0.5,31291,0.7,60030,0.5,81107,1.75 93,1,2024-09-07 08:32:10:817,216212,216212,0,0,101407354954,1062258783,213793,1973,446,366,391689,0 93,2,2024-09-07 08:32:10:933,155605,155605,0,0,6735529,0,2509 93,3,2024-09-07 08:32:11:409,1,123,13,0,143,1316,123,0 94,0,2024-09-07 08:32:11:618,30612,0.3,30893,0.5,61243,0.3,80849,1.75 94,1,2024-09-07 08:32:10:576,216021,216021,0,0,100974141749,1059661499,213915,2027,79,381,391850,0 94,2,2024-09-07 08:32:10:791,154821,154821,0,0,6639604,0,2443 94,3,2024-09-07 08:32:11:695,1,123,0,0,264,2100,123,0 95,0,2024-09-07 08:32:11:351,30863,0.4,30811,0.6,61843,0.3,81974,1.75 95,1,2024-09-07 08:32:10:854,216456,216456,0,0,101235712840,1052093227,215431,961,64,367,391600,0 95,2,2024-09-07 08:32:11:018,155562,155562,0,0,6978436,0,3308 95,3,2024-09-07 08:32:11:709,1,123,1,0,307,2030,123,0 96,0,2024-09-07 08:32:11:040,31226,0.9,31293,0.8,62450,1.1,83189,2.00 96,1,2024-09-07 08:32:11:592,215589,215589,0,0,100136975988,1054267260,213011,1937,641,385,391894,0 96,2,2024-09-07 08:32:11:273,155396,155396,0,0,7362690,0,4042 96,3,2024-09-07 08:32:11:147,1,123,1,0,188,1381,123,0 97,0,2024-09-07 08:32:11:350,30577,0.6,30609,0.6,61254,0.6,81121,1.75 97,1,2024-09-07 08:32:10:765,216042,216042,0,0,101197187778,1058448028,213295,2200,547,367,392140,0 97,2,2024-09-07 08:32:10:621,155058,155058,0,0,6903146,0,3036 97,3,2024-09-07 08:32:10:575,1,123,2,1,165,1560,123,0 98,0,2024-09-07 08:32:11:715,31029,0.3,30878,0.4,62205,0.2,82712,1.50 98,1,2024-09-07 08:32:10:578,216193,216193,0,0,101252730022,1060009693,214420,1689,84,382,391997,0 98,2,2024-09-07 08:32:10:769,156620,156620,0,0,7141173,0,3080 98,3,2024-09-07 08:32:10:709,1,123,14,1,840,2809,123,0 99,0,2024-09-07 08:32:11:455,30801,0.3,30914,0.5,61693,0.3,82095,1.75 99,1,2024-09-07 08:32:11:723,216520,216520,0,0,100560367922,1054367662,214028,1842,650,381,391744,0 99,2,2024-09-07 08:32:11:421,157092,157092,0,0,6903564,0,1973 99,3,2024-09-07 08:32:10:596,1,123,3,0,129,911,123,0 100,0,2024-09-07 08:32:11:467,30862,1.5,30982,1.7,61822,2.9,83109,2.75 100,1,2024-09-07 08:32:10:565,214781,214781,0,0,100254565394,1070374635,210008,3966,807,381,391989,0 100,2,2024-09-07 08:32:11:818,153716,153705,11,0,8044936,0,5417 100,3,2024-09-07 08:32:11:731,1,123,82,0,443,2645,123,0 101,0,2024-09-07 08:32:11:699,31053,2.1,30160,1.4,59536,1.6,82133,2.75 101,1,2024-09-07 08:32:10:558,214837,214837,0,0,101184855321,1075134904,210404,3075,1358,368,391709,0 101,2,2024-09-07 08:32:11:761,155265,155265,0,0,8574220,0,4644 101,3,2024-09-07 08:32:10:999,1,123,25,0,448,1800,123,0 102,0,2024-09-07 08:32:10:994,30236,0.7,31429,0.8,62992,0.5,82655,2.00 102,1,2024-09-07 08:32:11:148,215408,215408,0,0,100930417561,1065936077,212098,2775,535,369,391831,0 102,2,2024-09-07 08:32:11:744,156218,156164,54,0,8479252,0,6768 102,3,2024-09-07 08:32:11:614,1,123,5,0,410,1543,123,0 103,0,2024-09-07 08:32:11:600,31553,0.5,31524,0.7,59669,0.4,81765,1.75 103,1,2024-09-07 08:32:11:626,214648,214648,0,0,101377734343,1072821303,211041,2544,1063,381,391680,0 103,2,2024-09-07 08:32:10:582,155095,155095,0,0,7511442,0,2104 103,3,2024-09-07 08:32:10:773,1,123,11,0,916,2624,123,0 104,0,2024-09-07 08:32:11:012,30659,1.1,30711,1.1,60530,1.2,82772,2.25 104,1,2024-09-07 08:32:11:609,216075,216075,0,0,101083267793,1069678846,211909,3352,814,366,391948,0 104,2,2024-09-07 08:32:11:665,153063,153063,0,0,7769918,0,3941 104,3,2024-09-07 08:32:11:419,1,123,1,1,1245,4751,123,0 105,0,2024-09-07 08:32:11:044,30873,1.1,29848,1.2,62286,1.6,83203,3.50 105,1,2024-09-07 08:32:10:565,216012,216012,0,0,101124848695,1066121904,212104,3139,769,367,391797,0 105,2,2024-09-07 08:32:11:321,155709,155709,0,0,7432163,0,3509 105,3,2024-09-07 08:32:11:303,1,123,17,1,399,2071,123,0 106,0,2024-09-07 08:32:10:981,29404,0.6,30093,0.9,61301,0.6,80335,2.00 106,1,2024-09-07 08:32:11:749,215820,215820,0,0,100584272761,1058430343,212591,2878,351,369,391767,0 106,2,2024-09-07 08:32:10:767,155547,155547,0,0,7532948,0,2795 106,3,2024-09-07 08:32:10:677,1,123,0,0,201,1581,123,0 107,0,2024-09-07 08:32:11:131,30869,0.4,30656,0.6,61109,0.4,81436,1.75 107,1,2024-09-07 08:32:10:599,215414,215414,0,0,100187044126,1057636380,212644,2546,224,381,392234,0 107,2,2024-09-07 08:32:11:302,154383,154382,1,0,7585896,0,5024 107,3,2024-09-07 08:32:11:755,1,123,41,0,353,1647,123,0 108,0,2024-09-07 08:32:11:801,30447,0.4,30575,0.7,60538,0.4,80278,1.75 108,1,2024-09-07 08:32:11:293,215990,215990,0,0,101160054674,1059758957,213694,2010,286,368,391857,0 108,2,2024-09-07 08:32:11:761,154361,154361,0,0,7345176,0,2647 108,3,2024-09-07 08:32:11:343,1,123,1,0,272,1812,123,0 109,0,2024-09-07 08:32:11:812,31092,0.5,31022,0.7,61746,0.5,83342,1.75 109,1,2024-09-07 08:32:10:589,215591,215591,0,0,101562987645,1069675198,213192,1937,462,383,391812,0 109,2,2024-09-07 08:32:10:938,155125,155125,0,0,7298690,0,3617 109,3,2024-09-07 08:32:11:140,1,123,15,1,249,1837,123,0 110,0,2024-09-07 08:32:11:764,30440,0.5,29566,0.8,61859,0.5,80717,1.75 110,1,2024-09-07 08:32:11:644,216464,216464,0,0,100903946503,1054555195,214122,1642,700,370,391667,0 110,2,2024-09-07 08:32:11:306,155754,155754,0,0,6653759,0,2915 110,3,2024-09-07 08:32:10:700,1,123,1,0,406,1875,123,0 111,0,2024-09-07 08:32:11:421,31059,0.2,30584,0.4,61232,0.2,82242,1.50 111,1,2024-09-07 08:32:11:002,216704,216704,0,0,102214048442,1063602734,215055,1317,332,382,391690,0 111,2,2024-09-07 08:32:11:120,155236,155236,0,0,7444869,0,2763 111,3,2024-09-07 08:32:10:913,1,123,0,0,300,1928,123,0 112,0,2024-09-07 08:32:10:912,31113,0.3,30989,0.5,61988,0.3,82834,1.50 112,1,2024-09-07 08:32:10:825,216265,216265,0,0,101589115892,1061478877,213948,1892,425,381,391580,0 112,2,2024-09-07 08:32:11:134,155625,155624,1,0,7538090,0,5036 112,3,2024-09-07 08:32:10:593,1,123,1,0,282,1540,123,0 113,0,2024-09-07 08:32:10:907,30515,0.5,30358,0.6,61512,0.4,82286,1.75 113,1,2024-09-07 08:32:11:686,216526,216526,0,0,102254165455,1063687409,214120,1918,488,368,391661,0 113,2,2024-09-07 08:32:11:304,155937,155937,0,0,6608376,0,3813 113,3,2024-09-07 08:32:10:687,1,123,8,0,288,2057,123,0 114,0,2024-09-07 08:32:10:887,31495,0.8,32006,0.8,62596,0.6,84564,2.00 114,1,2024-09-07 08:32:10:716,215865,215865,0,0,101287264954,1068062398,211764,2639,1462,381,391534,0 114,2,2024-09-07 08:32:10:874,155244,155244,0,0,6833371,0,3925 114,3,2024-09-07 08:32:11:285,1,123,1,0,395,1837,123,0 115,0,2024-09-07 08:32:10:557,30811,0.3,31141,0.4,62390,0.2,82737,1.50 115,1,2024-09-07 08:32:10:572,216345,216345,0,0,101714315904,1067618255,212745,2780,820,382,391602,0 115,2,2024-09-07 08:32:11:128,156733,156733,0,0,6427779,0,2152 115,3,2024-09-07 08:32:11:007,1,123,29,0,159,1020,123,0 116,0,2024-09-07 08:32:11:700,30837,0.7,30441,0.8,61216,0.8,81564,2.00 116,1,2024-09-07 08:32:10:806,214536,214536,0,0,100885605637,1077909816,210151,2763,1622,382,391677,0 116,2,2024-09-07 08:32:11:751,154864,154864,0,0,8835894,0,3529 116,3,2024-09-07 08:32:10:918,1,123,87,0,252,1989,123,0 117,0,2024-09-07 08:32:10:965,30511,1.4,30683,1.2,60872,2.2,82138,2.00 117,1,2024-09-07 08:32:11:584,215850,215850,0,0,100982159752,1064612442,212520,2929,401,370,392033,0 117,2,2024-09-07 08:32:11:120,154802,154802,0,0,6941698,0,3700 117,3,2024-09-07 08:32:11:060,1,123,12,0,490,2950,123,0 118,0,2024-09-07 08:32:11:794,30207,0.8,31004,0.9,63244,0.9,82761,2.25 118,1,2024-09-07 08:32:10:609,215387,215387,0,0,100599240875,1067768594,211222,2976,1189,368,391736,0 118,2,2024-09-07 08:32:11:586,156121,156121,0,0,8086311,0,2781 118,3,2024-09-07 08:32:11:764,1,123,7,0,235,1897,123,0 119,0,2024-09-07 08:32:11:339,30230,0.9,30377,0.9,61656,1.3,81265,2.00 119,1,2024-09-07 08:32:10:574,216203,216203,0,0,101755832852,1066399659,213879,2040,284,370,391641,0 119,2,2024-09-07 08:32:11:264,155015,155015,0,0,7074047,0,2532 119,3,2024-09-07 08:32:11:324,1,123,3,0,443,2573,123,0 120,0,2024-09-07 08:32:11:561,30290,0.5,30364,0.8,60590,0.5,80881,2.00 120,1,2024-09-07 08:32:10:860,216156,216156,0,0,100772828252,1058649174,214021,2089,46,368,391702,0 120,2,2024-09-07 08:32:10:771,154433,154432,1,0,9256430,0,5281 120,3,2024-09-07 08:32:11:292,1,123,2,0,241,1866,123,0 121,0,2024-09-07 08:32:11:690,30096,1.7,30517,1.2,60783,2.6,81087,2.25 121,1,2024-09-07 08:32:11:663,216192,216192,0,0,101131334263,1060841686,213684,2225,283,367,391840,0 121,2,2024-09-07 08:32:11:127,153481,153481,0,0,8352767,0,4127 121,3,2024-09-07 08:32:10:748,1,123,9,0,159,1538,123,0 122,0,2024-09-07 08:32:11:769,31047,1.4,30215,1.3,63224,1.6,84353,2.25 122,1,2024-09-07 08:32:10:862,215367,215367,0,0,100781348633,1063257605,211573,3133,661,366,392130,0 122,2,2024-09-07 08:32:11:326,156230,156230,0,0,8801620,0,3364 122,3,2024-09-07 08:32:10:594,1,123,1,0,320,2910,123,0 123,0,2024-09-07 08:32:11:047,30440,1.0,29579,0.9,61871,1.2,80865,2.25 123,1,2024-09-07 08:32:10:558,216551,216551,0,0,101290023210,1069860322,212433,3527,591,369,391823,0 123,2,2024-09-07 08:32:11:019,154473,154472,1,0,7690124,0,5215 123,3,2024-09-07 08:32:11:141,1,123,2,1,168,1790,123,0 124,0,2024-09-07 08:32:10:925,31491,0.3,31498,0.5,59460,0.2,81185,1.75 124,1,2024-09-07 08:32:11:027,216112,216112,0,0,101109750665,1053224615,214308,1452,352,367,392178,0 124,2,2024-09-07 08:32:11:010,155718,155718,0,0,6657604,0,3101 124,3,2024-09-07 08:32:10:768,1,123,1,0,490,2062,123,0 125,0,2024-09-07 08:32:11:428,30929,0.5,30808,0.6,61888,0.5,81974,1.75 125,1,2024-09-07 08:32:10:855,215662,215662,0,0,101571362887,1064193933,213389,1998,275,384,391702,0 125,2,2024-09-07 08:32:11:122,155796,155796,0,0,6632809,0,2180 125,3,2024-09-07 08:32:11:127,1,123,8,1,284,1746,123,0 126,0,2024-09-07 08:32:11:424,31183,0.9,32029,0.8,61233,0.9,83783,2.00 126,1,2024-09-07 08:32:10:556,216733,216733,0,0,102072520205,1061262639,215244,1413,76,365,391987,0 126,2,2024-09-07 08:32:10:612,156247,156247,0,0,6719156,0,3186 126,3,2024-09-07 08:32:10:907,1,123,2,0,136,1776,123,0 127,0,2024-09-07 08:32:11:610,30404,0.5,30683,0.6,61241,0.5,81239,1.75 127,1,2024-09-07 08:32:10:575,216325,216325,0,0,101006038794,1049699743,214582,1708,35,365,391816,0 127,2,2024-09-07 08:32:10:637,155431,155431,0,0,6179022,0,1803 127,3,2024-09-07 08:32:11:276,1,123,4,0,99,921,123,0 128,0,2024-09-07 08:32:11:527,31262,0.3,31141,0.5,61959,0.2,82628,1.50 128,1,2024-09-07 08:32:11:613,216410,216410,0,0,101878423421,1057943330,215488,851,71,367,391680,0 128,2,2024-09-07 08:32:11:387,155121,155121,0,0,6902760,0,2107 128,3,2024-09-07 08:32:10:767,1,123,2,0,333,2083,123,0 129,0,2024-09-07 08:32:11:004,31037,0.3,30946,0.5,61598,0.3,82016,1.75 129,1,2024-09-07 08:32:10:572,215407,215407,0,0,101319801424,1066862816,212509,2508,390,379,391835,0 129,2,2024-09-07 08:32:10:688,155656,155656,0,0,7069534,0,4031 129,3,2024-09-07 08:32:10:697,1,123,12,1,173,1688,123,0 130,0,2024-09-07 08:32:11:721,31451,1.0,31143,0.9,62588,1.5,83929,2.00 130,1,2024-09-07 08:32:10:586,216034,216034,0,0,101403046933,1061637385,213993,1973,68,381,391825,0 130,2,2024-09-07 08:32:11:135,154244,154244,0,0,6790071,0,4067 130,3,2024-09-07 08:32:11:295,1,123,3,0,207,1193,123,0 131,0,2024-09-07 08:32:11:935,30606,0.7,30621,0.8,62142,0.9,82211,2.00 131,1,2024-09-07 08:32:11:822,216845,216845,0,0,100861165679,1053826584,215403,1236,206,384,391865,0 131,2,2024-09-07 08:32:10:570,156435,156435,0,0,6430380,0,2415 131,3,2024-09-07 08:32:11:688,1,123,29,0,392,1552,123,0 132,0,2024-09-07 08:32:11:450,30608,0.5,31160,0.8,62330,0.5,82967,2.00 132,1,2024-09-07 08:32:10:589,214741,214741,0,0,100504806243,1069948894,209846,3915,980,381,391731,0 132,2,2024-09-07 08:32:10:698,155247,155247,0,0,9486281,0,4606 132,3,2024-09-07 08:32:11:689,1,123,1,0,356,2078,123,0 133,0,2024-09-07 08:32:11:525,29893,0.4,30532,0.6,62698,0.4,81095,1.75 133,1,2024-09-07 08:32:10:597,214338,214338,0,0,100862660619,1072428152,210025,3676,637,383,391755,0 133,2,2024-09-07 08:32:11:087,155049,155049,0,0,9115177,0,4315 133,3,2024-09-07 08:32:11:298,1,123,12,1,187,1180,123,0 134,0,2024-09-07 08:32:10:943,30765,0.7,30624,0.9,61858,0.7,82488,2.00 134,1,2024-09-07 08:32:10:584,215150,215150,0,0,101242497626,1066899183,211281,2695,1174,366,391718,0 134,2,2024-09-07 08:32:11:755,153336,153336,0,0,7363890,0,3096 134,3,2024-09-07 08:32:10:756,1,123,2,0,739,2736,123,0 135,0,2024-09-07 08:32:11:136,30348,1.0,30335,1.1,63995,1.1,82604,2.25 135,1,2024-09-07 08:32:11:589,215114,215114,0,0,101243431641,1071444554,211274,3140,700,380,391725,0 135,2,2024-09-07 08:32:10:688,156506,156506,0,0,8126039,0,3981 135,3,2024-09-07 08:32:11:002,1,123,12,0,89,783,123,0 136,0,2024-09-07 08:32:11:641,30619,0.6,30420,0.8,61305,0.6,81356,2.00 136,1,2024-09-07 08:32:11:441,215437,215437,0,0,101008238472,1061111706,212671,2600,166,384,391641,0 136,2,2024-09-07 08:32:11:134,156746,156746,0,0,7352429,0,3506 136,3,2024-09-07 08:32:11:119,1,123,45,0,108,1126,123,0 137,0,2024-09-07 08:32:10:937,31459,0.5,30572,0.7,60697,0.5,81604,2.00 137,1,2024-09-07 08:32:10:577,215753,215753,0,0,101702657869,1066774272,212678,2831,244,367,391608,0 137,2,2024-09-07 08:32:11:711,154429,154429,0,0,8853960,0,3185 137,3,2024-09-07 08:32:10:771,1,123,15,1,227,1617,123,0 138,0,2024-09-07 08:32:11:745,29797,1.9,29943,1.3,60461,3.1,80279,2.50 138,1,2024-09-07 08:32:11:688,216303,216303,0,0,101678715451,1066938023,213395,2560,348,368,391954,0 138,2,2024-09-07 08:32:10:593,155479,155479,0,0,7186312,0,3263 138,3,2024-09-07 08:32:10:613,1,123,47,0,1160,2375,123,0 139,0,2024-09-07 08:32:11:367,30212,3.3,30199,1.9,61297,4.6,82227,3.25 139,1,2024-09-07 08:32:10:573,215217,215217,0,0,100376953961,1070255767,210572,3337,1308,381,391892,0 139,2,2024-09-07 08:32:10:708,154467,154467,0,0,8115519,0,3097 139,3,2024-09-07 08:32:11:661,1,123,1,0,244,1629,123,0 140,0,2024-09-07 08:32:11:588,30518,0.4,30406,0.6,60933,0.4,80785,1.75 140,1,2024-09-07 08:32:11:535,216927,216927,0,0,102117421059,1055216720,215778,934,215,365,391606,0 140,2,2024-09-07 08:32:10:688,156101,156101,0,0,7137368,0,3388 140,3,2024-09-07 08:32:10:768,1,123,1,0,155,965,123,0 141,0,2024-09-07 08:32:11:706,30588,0.2,31626,0.4,60745,0.2,82223,1.50 141,1,2024-09-07 08:32:10:863,216578,216578,0,0,101952417434,1061257425,214852,1374,352,379,391539,0 141,2,2024-09-07 08:32:11:688,155095,155095,0,0,6493820,0,2342 141,3,2024-09-07 08:32:11:051,1,123,11,0,147,1060,123,0 142,0,2024-09-07 08:32:11:334,31347,0.3,31050,0.5,61696,0.3,83021,1.75 142,1,2024-09-07 08:32:10:586,216491,216491,0,0,101719701048,1061304760,215383,974,134,384,391649,0 142,2,2024-09-07 08:32:11:300,154594,154562,32,0,7961714,0,6028 142,3,2024-09-07 08:32:11:746,1,123,0,0,484,1996,123,0 143,0,2024-09-07 08:32:11:380,30716,0.8,30811,0.8,61953,1.0,82247,2.00 143,1,2024-09-07 08:32:10:568,216264,216264,0,0,101138929916,1053905804,214242,1981,41,367,391619,0 143,2,2024-09-07 08:32:10:772,154827,154827,0,0,6999585,0,2669 143,3,2024-09-07 08:32:11:141,1,123,2,0,303,2203,123,0 144,0,2024-09-07 08:32:11:504,30134,0.8,31074,1.5,62920,0.8,83582,2.25 144,1,2024-09-07 08:32:10:571,215218,215218,0,0,100550739208,1059897422,212966,2050,202,381,391649,0 144,2,2024-09-07 08:32:11:761,155910,155910,0,0,6897236,0,3473 144,3,2024-09-07 08:32:11:748,1,123,9,0,249,1863,123,0 145,0,2024-09-07 08:32:11:380,29828,0.6,29827,0.8,63296,0.5,82567,2.00 145,1,2024-09-07 08:32:10:555,214168,214168,0,0,100734558075,1068481908,210032,3404,732,383,391615,0 145,2,2024-09-07 08:32:11:430,156111,156111,0,0,7807144,0,3903 145,3,2024-09-07 08:32:10:904,1,123,8,0,151,1557,123,0 146,0,2024-09-07 08:32:11:619,30556,0.5,30438,0.7,61408,0.5,81159,2.00 146,1,2024-09-07 08:32:11:588,216547,216547,0,0,101418724730,1068945085,212436,3315,796,368,391600,0 146,2,2024-09-07 08:32:11:695,155735,155735,0,0,7423452,0,2494 146,3,2024-09-07 08:32:11:274,1,123,1,0,1520,4515,123,0 147,0,2024-09-07 08:32:11:706,30543,1.8,30487,1.3,60292,2.7,82106,2.50 147,1,2024-09-07 08:32:11:385,216983,216983,0,0,101608357413,1059695156,214693,1899,391,368,391791,0 147,2,2024-09-07 08:32:11:018,154185,154185,0,0,6799270,0,2789 147,3,2024-09-07 08:32:10:917,1,123,2,0,371,1647,123,0 0,0,2024-09-07 08:32:21:719,29588,0.5,29678,0.7,62759,0.5,81133,1.75 0,1,2024-09-07 08:32:20:817,217589,217589,0,0,102391119603,1076216641,215872,1586,131,372,391692,0 0,2,2024-09-07 08:32:21:067,156508,156508,0,0,7581409,0,4480 0,3,2024-09-07 08:32:20:974,1,124,1,0,247,1852,124,0 1,0,2024-09-07 08:32:21:760,30559,2.0,30267,1.5,60918,2.7,81255,2.50 1,1,2024-09-07 08:32:20:557,216603,216603,0,0,101089520245,1067971316,213188,2553,862,372,391857,0 1,2,2024-09-07 08:32:20:647,154870,154870,0,0,6767757,0,3267 1,3,2024-09-07 08:32:21:309,1,124,8,0,262,1681,124,0 2,0,2024-09-07 08:32:21:589,31522,1.1,31695,1.1,62906,1.4,84806,2.25 2,1,2024-09-07 08:32:20:860,217385,217385,0,0,102118025651,1065667833,215974,1205,206,380,391558,0 2,2,2024-09-07 08:32:21:305,156914,156914,0,0,7402665,0,3594 2,3,2024-09-07 08:32:20:696,1,124,1,0,357,1512,124,0 3,0,2024-09-07 08:32:21:791,30306,0.6,30358,0.8,60817,0.6,80397,2.00 3,1,2024-09-07 08:32:21:641,217268,217268,0,0,102208074434,1073003527,214166,2678,424,382,391516,0 3,2,2024-09-07 08:32:21:147,156871,156848,23,0,7519161,0,5851 3,3,2024-09-07 08:32:21:764,1,124,3,0,103,880,124,0 4,0,2024-09-07 08:32:21:809,29911,0.3,30543,0.5,62101,0.2,81298,1.75 4,1,2024-09-07 08:32:20:592,217653,217653,0,0,101493113936,1072136175,214960,2173,520,371,391846,0 4,2,2024-09-07 08:32:21:018,155163,155163,0,0,8806955,0,4528 4,3,2024-09-07 08:32:21:041,1,124,1,0,287,1982,124,0 5,0,2024-09-07 08:32:21:386,31080,0.6,30898,0.7,62026,0.7,82325,2.00 5,1,2024-09-07 08:32:20:756,216769,216769,0,0,102417277059,1085219137,212742,3042,985,368,392005,0 5,2,2024-09-07 08:32:21:842,156108,156108,0,0,7611163,0,2259 5,3,2024-09-07 08:32:21:738,1,124,10,0,238,2120,124,0 6,0,2024-09-07 08:32:20:924,31848,1.4,31479,1.2,62636,2.1,84655,2.75 6,1,2024-09-07 08:32:20:753,217597,217597,0,0,102268398471,1075568444,214504,2446,647,381,391603,0 6,2,2024-09-07 08:32:21:126,157211,157211,0,0,7826542,0,4816 6,3,2024-09-07 08:32:21:299,1,124,34,0,340,2091,124,0 7,0,2024-09-07 08:32:21:536,30052,0.6,30038,0.9,60430,0.6,80453,2.00 7,1,2024-09-07 08:32:20:855,217904,217904,0,0,102313994301,1075380694,215352,2422,130,383,391664,0 7,2,2024-09-07 08:32:20:770,156020,156020,0,0,6873628,0,2981 7,3,2024-09-07 08:32:20:851,1,124,2,0,305,1367,124,0 8,0,2024-09-07 08:32:21:327,31128,0.3,31364,0.4,62120,0.2,83302,1.50 8,1,2024-09-07 08:32:21:016,217244,217244,0,0,102376217243,1085463204,212728,3062,1454,368,391956,0 8,2,2024-09-07 08:32:20:791,155182,155182,0,0,8115417,0,2986 8,3,2024-09-07 08:32:20:585,1,124,3,0,357,1928,124,0 9,0,2024-09-07 08:32:21:110,31037,0.3,30251,0.5,63061,0.3,82477,1.75 9,1,2024-09-07 08:32:20:556,217204,217204,0,0,102519693075,1086474664,213066,3064,1074,370,391753,0 9,2,2024-09-07 08:32:21:082,156761,156761,0,0,7967784,0,3360 9,3,2024-09-07 08:32:21:752,1,124,8,0,496,2010,124,0 10,0,2024-09-07 08:32:21:623,31662,0.5,31628,0.7,63190,0.4,84730,1.75 10,1,2024-09-07 08:32:20:585,217777,217777,0,0,101859568279,1072336444,214068,3185,524,381,391741,0 10,2,2024-09-07 08:32:20:766,155887,155887,0,0,7504636,0,2940 10,3,2024-09-07 08:32:20:871,1,124,2,0,136,919,124,0 11,0,2024-09-07 08:32:21:022,30340,0.4,29652,0.7,62151,0.4,82984,2.00 11,1,2024-09-07 08:32:20:577,217241,217241,0,0,101909970726,1076237744,212706,3373,1162,384,391537,0 11,2,2024-09-07 08:32:21:125,156678,156678,0,0,7348384,0,3411 11,3,2024-09-07 08:32:21:304,1,124,4,0,720,2739,124,0 12,0,2024-09-07 08:32:20:953,31631,0.3,31446,0.5,63113,0.3,83947,1.50 12,1,2024-09-07 08:32:20:938,217424,217424,0,0,101940274033,1070018313,214615,2399,410,371,391837,0 12,2,2024-09-07 08:32:21:542,158078,158078,0,0,7848372,0,3469 12,3,2024-09-07 08:32:21:063,1,124,6,0,358,2355,124,0 13,0,2024-09-07 08:32:21:348,30918,0.4,31041,0.5,61841,0.4,82144,1.75 13,1,2024-09-07 08:32:21:539,218073,218073,0,0,102293938835,1075820909,216140,1557,376,384,391717,0 13,2,2024-09-07 08:32:20:602,157430,157430,0,0,7175542,0,3287 13,3,2024-09-07 08:32:21:772,1,124,17,1,416,2336,124,0 14,0,2024-09-07 08:32:20:565,31293,0.6,31389,0.8,62223,0.6,83262,2.00 14,1,2024-09-07 08:32:21:572,219047,219047,0,0,102449200564,1065575642,217159,1726,162,364,391571,0 14,2,2024-09-07 08:32:20:769,154449,154449,0,0,7184351,0,2896 14,3,2024-09-07 08:32:21:117,1,124,13,0,1168,2704,124,0 15,0,2024-09-07 08:32:21:591,31567,0.6,31474,0.9,63046,0.7,84409,2.25 15,1,2024-09-07 08:32:21:609,217435,217435,0,0,101772521679,1061676025,215960,1415,60,381,391536,0 15,2,2024-09-07 08:32:21:002,158166,158166,0,0,5975905,0,3043 15,3,2024-09-07 08:32:21:411,1,124,1,0,1126,4029,124,0 16,0,2024-09-07 08:32:21:000,30426,0.6,30891,0.8,61066,0.6,81513,2.25 16,1,2024-09-07 08:32:20:565,217930,217930,0,0,102143928435,1073583109,215744,1927,259,372,391756,0 16,2,2024-09-07 08:32:21:436,156272,156272,0,0,8318627,0,4719 16,3,2024-09-07 08:32:21:154,1,124,6,0,231,1788,124,0 17,0,2024-09-07 08:32:21:792,31831,0.5,31008,0.7,60749,0.4,81863,2.00 17,1,2024-09-07 08:32:20:578,216934,216934,0,0,101148711970,1071124117,213615,2648,671,369,391688,0 17,2,2024-09-07 08:32:21:667,157309,157309,0,0,7545572,0,2857 17,3,2024-09-07 08:32:20:575,1,124,12,0,268,2065,124,0 18,0,2024-09-07 08:32:20:956,29593,1.1,30034,1.1,60244,1.3,79710,2.50 18,1,2024-09-07 08:32:21:648,217427,217427,0,0,101773702967,1061426468,215549,1644,234,368,391564,0 18,2,2024-09-07 08:32:21:754,155683,155683,0,0,7512611,0,3541 18,3,2024-09-07 08:32:20:909,1,124,5,0,163,1530,124,0 19,0,2024-09-07 08:32:21:593,30926,1.2,31151,1.1,61628,1.5,82381,3.25 19,1,2024-09-07 08:32:20:566,218002,218002,0,0,102582850176,1075090674,214546,2764,692,367,391777,0 19,2,2024-09-07 08:32:21:752,158017,158017,0,0,6724608,0,3988 19,3,2024-09-07 08:32:21:129,1,124,1,0,524,1403,124,0 20,0,2024-09-07 08:32:21:366,30484,0.8,30428,0.9,60818,1.0,80864,2.50 20,1,2024-09-07 08:32:20:575,217454,217454,0,0,102016624600,1075621372,214307,2786,361,369,391822,0 20,2,2024-09-07 08:32:20:928,156490,156490,0,0,7327525,0,3721 20,3,2024-09-07 08:32:20:589,1,124,20,0,414,2674,124,0 21,0,2024-09-07 08:32:21:147,31394,0.4,31271,0.6,62306,0.3,82533,1.75 21,1,2024-09-07 08:32:21:548,216816,216816,0,0,101398595323,1073559938,213418,2868,530,368,391962,0 21,2,2024-09-07 08:32:21:070,156127,156127,0,0,8070225,0,3747 21,3,2024-09-07 08:32:21:413,1,124,9,0,103,1711,124,0 22,0,2024-09-07 08:32:21:731,30999,0.9,31152,1.0,61805,1.0,82752,2.25 22,1,2024-09-07 08:32:21:036,217097,217097,0,0,101715998127,1079251738,212170,3499,1428,382,391667,0 22,2,2024-09-07 08:32:20:762,156855,156855,0,0,7029453,0,3134 22,3,2024-09-07 08:32:21:069,1,124,7,0,228,1484,124,0 23,0,2024-09-07 08:32:21:399,30668,1.1,30962,1.0,62066,1.5,82473,2.50 23,1,2024-09-07 08:32:21:003,218363,218363,0,0,102467354295,1076974073,214892,2198,1273,365,391549,0 23,2,2024-09-07 08:32:21:092,156115,156115,0,0,7248780,0,3010 23,3,2024-09-07 08:32:21:757,1,124,6,0,645,1711,124,0 24,0,2024-09-07 08:32:20:836,32156,0.6,32133,0.7,64276,0.6,86010,1.75 24,1,2024-09-07 08:32:20:583,217589,217589,0,0,101990256775,1070765019,215117,1707,765,369,391640,0 24,2,2024-09-07 08:32:21:068,155981,155981,0,0,8171182,0,2942 24,3,2024-09-07 08:32:21:689,1,124,1,0,234,1561,124,0 25,0,2024-09-07 08:32:21:340,32133,0.4,31344,0.6,61378,0.3,83846,1.75 25,1,2024-09-07 08:32:20:558,217425,217425,0,0,101916795264,1074324149,214055,2829,541,371,391788,0 25,2,2024-09-07 08:32:21:608,156398,156398,0,0,8488506,0,3978 25,3,2024-09-07 08:32:21:000,1,124,8,0,158,1440,124,0 26,0,2024-09-07 08:32:21:727,30524,0.4,29867,0.6,62696,0.3,81891,1.75 26,1,2024-09-07 08:32:21:553,218231,218231,0,0,101856794649,1073664686,214185,3202,844,381,391564,0 26,2,2024-09-07 08:32:20:865,157033,157033,0,0,7635469,0,2809 26,3,2024-09-07 08:32:21:716,1,124,0,0,796,1847,124,0 27,0,2024-09-07 08:32:21:730,31002,0.5,31259,0.7,61814,0.5,82928,1.75 27,1,2024-09-07 08:32:21:677,218458,218458,0,0,102485173258,1067225130,216688,1447,323,381,391539,0 27,2,2024-09-07 08:32:20:867,153861,153861,0,0,8141689,0,3409 27,3,2024-09-07 08:32:21:015,1,124,5,0,564,1571,124,0 28,0,2024-09-07 08:32:21:412,31189,0.6,31464,0.8,62992,0.7,84270,2.25 28,1,2024-09-07 08:32:20:799,218002,218002,0,0,102808263642,1072388387,216381,1410,211,383,391646,0 28,2,2024-09-07 08:32:21:764,157759,157759,0,0,6273440,0,2915 28,3,2024-09-07 08:32:21:778,1,124,32,0,502,1644,124,0 29,0,2024-09-07 08:32:21:357,31495,0.4,30681,0.6,60205,0.3,82334,1.75 29,1,2024-09-07 08:32:21:561,218887,218887,0,0,101786846996,1058072934,216780,1577,530,369,391621,0 29,2,2024-09-07 08:32:20:862,157588,157588,0,0,6176044,0,4018 29,3,2024-09-07 08:32:20:963,1,124,13,0,105,1034,124,0 30,0,2024-09-07 08:32:21:459,30467,0.7,29670,0.8,62062,0.7,81166,2.25 30,1,2024-09-07 08:32:20:571,218254,218254,0,0,102199323223,1065889935,216381,1595,278,382,391672,0 30,2,2024-09-07 08:32:21:305,157368,157368,0,0,6357275,0,3161 30,3,2024-09-07 08:32:20:585,1,124,1,0,195,931,124,0 31,0,2024-09-07 08:32:21:767,30153,0.8,30237,0.9,61214,0.9,81097,2.25 31,1,2024-09-07 08:32:20:564,219430,219430,0,0,102918697893,1056501186,218813,551,66,356,391553,0 31,2,2024-09-07 08:32:21:299,155062,155062,0,0,7864779,0,3525 31,3,2024-09-07 08:32:21:714,1,124,5,0,220,1095,124,0 32,0,2024-09-07 08:32:21:432,31778,0.7,32151,0.8,64025,0.6,85672,1.75 32,1,2024-09-07 08:32:20:805,218638,218638,0,0,102210639829,1065591960,216902,1461,275,382,391595,0 32,2,2024-09-07 08:32:20:938,157587,157587,0,0,6502682,0,3155 32,3,2024-09-07 08:32:21:015,1,124,1,0,227,1352,124,0 33,0,2024-09-07 08:32:21:496,30773,0.4,30378,0.6,61397,0.3,81319,1.75 33,1,2024-09-07 08:32:20:576,218795,218795,0,0,102654092817,1067880179,216657,1966,172,369,391730,0 33,2,2024-09-07 08:32:20:759,156894,156861,33,0,8451851,0,7012 33,3,2024-09-07 08:32:20:895,1,124,8,0,329,1541,124,0 34,0,2024-09-07 08:32:20:954,30668,0.3,31699,0.5,60754,0.2,81217,1.75 34,1,2024-09-07 08:32:21:055,218463,218463,0,0,102788130389,1062338498,217500,958,5,367,391562,0 34,2,2024-09-07 08:32:20:769,155617,155617,0,0,7208578,0,3255 34,3,2024-09-07 08:32:21:687,1,124,2,0,148,878,124,0 35,0,2024-09-07 08:32:20:878,30629,0.5,31036,0.6,61833,0.5,82438,1.75 35,1,2024-09-07 08:32:21:067,217658,217658,0,0,102336173494,1069165208,214884,2004,770,384,391589,0 35,2,2024-09-07 08:32:21:584,156103,156103,0,0,6728168,0,2653 35,3,2024-09-07 08:32:20:912,1,124,3,0,418,1835,124,0 36,0,2024-09-07 08:32:21:520,31405,1.5,31380,1.2,63451,1.9,84397,3.00 36,1,2024-09-07 08:32:20:587,217336,217336,0,0,102018657145,1074242431,213330,2921,1085,366,391759,0 36,2,2024-09-07 08:32:21:751,156194,156194,0,0,7746739,0,3303 36,3,2024-09-07 08:32:20:865,1,124,43,0,378,1333,124,0 37,0,2024-09-07 08:32:21:377,30282,0.6,30334,0.9,60476,0.6,81364,2.00 37,1,2024-09-07 08:32:20:569,216787,216780,0,7,101163524369,1072815956,212102,2661,2017,365,391518,0 37,2,2024-09-07 08:32:21:146,156497,156482,15,0,7787639,0,5815 37,3,2024-09-07 08:32:21:766,1,124,1,0,724,2326,124,0 38,0,2024-09-07 08:32:21:439,31068,0.4,30143,0.6,62934,0.4,82875,2.00 38,1,2024-09-07 08:32:21:610,218242,218242,0,0,102162716085,1072605787,214867,2811,564,368,391821,0 38,2,2024-09-07 08:32:20:765,156611,156564,47,0,9080504,0,6710 38,3,2024-09-07 08:32:21:002,1,124,1,0,603,1984,124,0 39,0,2024-09-07 08:32:21:766,31774,0.7,31043,0.8,60789,0.8,82733,2.00 39,1,2024-09-07 08:32:20:722,217851,217851,0,0,101938655792,1069963630,214163,2887,801,365,391524,0 39,2,2024-09-07 08:32:21:417,157041,157041,0,0,6944318,0,2689 39,3,2024-09-07 08:32:20:715,1,124,2,0,276,1880,124,0 40,0,2024-09-07 08:32:21:500,30911,1.2,31521,1.5,62597,1.6,84132,3.50 40,1,2024-09-07 08:32:20:576,217682,217682,0,0,101003676858,1063122148,213613,3302,767,369,391591,0 40,2,2024-09-07 08:32:21:318,155556,155555,1,0,8460206,0,5137 40,3,2024-09-07 08:32:21:149,1,124,2,0,181,1422,124,0 41,0,2024-09-07 08:32:21:029,30356,1.2,31151,1.5,59580,2.5,81483,3.50 41,1,2024-09-07 08:32:20:770,217964,217964,0,0,102013422024,1072590400,214122,3313,529,370,391742,0 41,2,2024-09-07 08:32:20:759,156260,156260,0,0,8253176,0,3356 41,3,2024-09-07 08:32:21:676,1,124,9,0,366,1773,124,0 42,0,2024-09-07 08:32:21:482,30778,0.6,31061,0.9,61834,0.5,81984,2.25 42,1,2024-09-07 08:32:21:439,216866,216866,0,0,101910586759,1074919075,212969,3045,852,380,391675,0 42,2,2024-09-07 08:32:21:138,156618,156618,0,0,8233063,0,3568 42,3,2024-09-07 08:32:21:009,1,124,11,0,100,892,124,0 43,0,2024-09-07 08:32:20:922,30826,0.8,30055,0.9,62611,0.8,82168,2.00 43,1,2024-09-07 08:32:20:576,217372,217372,0,0,101998073039,1066855147,214549,2071,752,366,391604,0 43,2,2024-09-07 08:32:21:740,157598,157598,0,0,7769955,0,3812 43,3,2024-09-07 08:32:21:748,1,124,1,0,325,1889,124,0 44,0,2024-09-07 08:32:20:869,31350,0.6,31215,0.8,62766,0.6,83880,2.00 44,1,2024-09-07 08:32:20:564,218675,218675,0,0,101793192303,1053634002,217213,1333,129,356,391809,0 44,2,2024-09-07 08:32:21:305,154086,154086,0,0,6189268,0,1877 44,3,2024-09-07 08:32:21:093,1,124,33,0,817,1556,124,0 45,0,2024-09-07 08:32:21:757,31179,0.7,30502,0.9,63953,0.8,84944,2.00 45,1,2024-09-07 08:32:21:012,218260,218260,0,0,102271317711,1062812804,217260,991,9,382,391917,0 45,2,2024-09-07 08:32:21:305,158237,158237,0,0,6772366,0,3596 45,3,2024-09-07 08:32:20:936,1,124,8,0,226,1200,124,0 46,0,2024-09-07 08:32:20:967,30440,0.4,30359,0.6,60948,0.3,80660,1.75 46,1,2024-09-07 08:32:20:578,218664,218664,0,0,102303001374,1059867755,217213,1345,106,366,391514,0 46,2,2024-09-07 08:32:20:593,157917,157917,0,0,6617782,0,2920 46,3,2024-09-07 08:32:21:134,1,124,0,0,363,1896,124,0 47,0,2024-09-07 08:32:21:117,30781,0.3,30998,0.5,62195,0.3,81584,1.75 47,1,2024-09-07 08:32:20:567,218781,218781,0,0,102336374335,1057891252,217770,1000,11,366,391605,0 47,2,2024-09-07 08:32:20:912,157141,157141,0,0,6989151,0,2558 47,3,2024-09-07 08:32:21:118,1,124,15,0,529,1469,124,0 48,0,2024-09-07 08:32:21:541,30496,0.3,30294,0.5,60629,0.3,80202,1.75 48,1,2024-09-07 08:32:21:029,218232,218232,0,0,102763631727,1072335812,216242,1810,180,384,391710,0 48,2,2024-09-07 08:32:20:699,155600,155600,0,0,6002225,0,2083 48,3,2024-09-07 08:32:20:754,1,124,1,0,339,1226,124,0 49,0,2024-09-07 08:32:21:714,32113,0.6,31381,0.7,61306,0.7,84119,2.00 49,1,2024-09-07 08:32:21:021,217339,217339,0,0,101308982999,1062505804,214646,1853,840,382,391583,0 49,2,2024-09-07 08:32:21:796,157709,157709,0,0,6985280,0,3900 49,3,2024-09-07 08:32:21:416,1,124,7,0,408,1653,124,0 50,0,2024-09-07 08:32:21:521,30565,0.4,29964,0.6,60239,0.3,80595,1.75 50,1,2024-09-07 08:32:21:011,218931,218931,0,0,102694047330,1070207687,216554,2068,309,368,391530,0 50,2,2024-09-07 08:32:21:070,156214,156214,0,0,6654047,0,2253 50,3,2024-09-07 08:32:21:304,1,124,1,0,335,1140,124,0 51,0,2024-09-07 08:32:21:687,31854,0.3,31214,0.4,60921,0.2,82928,1.75 51,1,2024-09-07 08:32:21:689,218116,218116,0,0,103035672063,1069917789,216165,1166,785,367,391637,0 51,2,2024-09-07 08:32:21:325,156225,156225,0,0,6003429,0,2448 51,3,2024-09-07 08:32:21:029,1,124,4,0,162,814,124,0 52,0,2024-09-07 08:32:21:414,31298,0.6,31429,0.8,62913,0.6,83208,2.25 52,1,2024-09-07 08:32:20:587,217492,217492,0,0,101639155626,1074218028,213010,3729,753,368,391722,0 52,2,2024-09-07 08:32:21:755,155150,155112,38,0,8589804,0,6742 52,3,2024-09-07 08:32:20:677,1,124,1,0,1782,2933,124,0 53,0,2024-09-07 08:32:21:745,30664,1.3,29774,1.2,62206,1.5,81840,3.00 53,1,2024-09-07 08:32:20:772,216244,216244,0,0,102278948776,1086548604,210958,3495,1791,367,391617,0 53,2,2024-09-07 08:32:21:355,156860,156860,0,0,6694000,0,2262 53,3,2024-09-07 08:32:20:701,1,124,20,0,133,1058,124,0 54,0,2024-09-07 08:32:21:626,30530,2.6,31109,1.5,60897,1.1,82521,4.50 54,1,2024-09-07 08:32:20:582,217769,217769,0,0,102185569188,1071924886,214250,2968,551,367,391532,0 54,2,2024-09-07 08:32:20:870,156420,156414,6,0,7826467,0,5382 54,3,2024-09-07 08:32:20:764,1,124,2,0,676,2671,124,0 55,0,2024-09-07 08:32:21:762,30087,0.5,30989,0.8,62935,0.5,81626,2.50 55,1,2024-09-07 08:32:20:769,217219,217219,0,0,101654096144,1070127040,212539,3895,785,367,391731,0 55,2,2024-09-07 08:32:20:729,156577,156577,0,0,8070714,0,3275 55,3,2024-09-07 08:32:20:674,1,124,0,0,304,1420,124,0 56,0,2024-09-07 08:32:21:551,31579,1.4,29812,1.2,61324,2.0,82164,2.50 56,1,2024-09-07 08:32:20:570,217152,217152,0,0,102351830050,1089307161,212233,4017,902,382,391678,0 56,2,2024-09-07 08:32:21:305,156774,156774,0,0,8629648,0,3567 56,3,2024-09-07 08:32:21:058,1,124,9,0,297,1602,124,0 57,0,2024-09-07 08:32:20:938,30341,1.9,30276,1.4,60796,2.5,81470,3.75 57,1,2024-09-07 08:32:20:986,217585,217585,0,0,101186924462,1062240419,215117,2298,170,368,391773,0 57,2,2024-09-07 08:32:21:320,155056,155056,0,0,8276517,0,3178 57,3,2024-09-07 08:32:21:738,1,124,1,0,359,2468,124,0 58,0,2024-09-07 08:32:20:573,30539,0.8,29739,1.0,62297,0.8,82225,2.50 58,1,2024-09-07 08:32:20:576,218521,218518,0,3,102668308326,1074014665,215560,2579,379,369,391516,3 58,2,2024-09-07 08:32:21:076,157589,157589,0,0,7599140,0,2549 58,3,2024-09-07 08:32:21:068,1,124,2,0,219,1090,124,0 59,0,2024-09-07 08:32:21:749,30741,0.8,30357,1.0,61076,0.9,81106,2.75 59,1,2024-09-07 08:32:20:818,217841,217841,0,0,101822504035,1069149021,214475,2444,922,369,391515,0 59,2,2024-09-07 08:32:20:584,158007,158007,0,0,6874606,0,2604 59,3,2024-09-07 08:32:21:737,1,124,1,0,1015,2533,124,0 60,0,2024-09-07 08:32:21:737,30897,0.4,30962,0.6,61368,0.4,81329,1.75 60,1,2024-09-07 08:32:20:788,219476,219476,0,0,102113219657,1061314800,218182,941,353,370,391761,0 60,2,2024-09-07 08:32:21:148,156487,156487,0,0,7912114,0,3811 60,3,2024-09-07 08:32:21:258,1,124,8,0,124,1252,124,0 61,0,2024-09-07 08:32:21:500,30285,1.6,30504,1.2,60863,2.4,81199,2.25 61,1,2024-09-07 08:32:20:786,217775,217775,0,0,102616040919,1078260653,214925,2313,537,382,391589,0 61,2,2024-09-07 08:32:21:124,155752,155752,0,0,6615671,0,2079 61,3,2024-09-07 08:32:21:688,1,124,9,0,199,1643,124,0 62,0,2024-09-07 08:32:21:717,31909,1.3,32689,1.0,62382,1.8,85320,2.25 62,1,2024-09-07 08:32:21:114,219531,219525,0,6,103036938047,1065006901,218104,1383,38,365,391715,6 62,2,2024-09-07 08:32:21:662,156397,156396,1,0,8053443,0,5555 62,3,2024-09-07 08:32:21:147,1,124,5,0,287,1052,124,0 63,0,2024-09-07 08:32:21:459,30437,0.6,30487,0.7,60946,0.7,81012,2.00 63,1,2024-09-07 08:32:20:805,219038,219032,0,6,102708134265,1065660159,217926,1086,20,381,391542,6 63,2,2024-09-07 08:32:20:763,156680,156680,0,0,6303040,0,2674 63,3,2024-09-07 08:32:21:731,1,124,1,0,667,2062,124,0 64,0,2024-09-07 08:32:21:518,30605,0.4,30471,0.6,61035,0.4,81260,1.75 64,1,2024-09-07 08:32:20:750,217927,217927,0,0,102178544167,1075076693,214490,2385,1052,371,391783,0 64,2,2024-09-07 08:32:21:147,157203,157184,19,0,7470447,0,6121 64,3,2024-09-07 08:32:21:145,1,124,11,0,265,1681,124,0 65,0,2024-09-07 08:32:21:687,30307,1.3,30768,1.1,61404,1.8,81642,2.75 65,1,2024-09-07 08:32:20:865,217295,217295,0,0,101804846998,1069693945,214908,2165,222,382,391770,0 65,2,2024-09-07 08:32:21:707,156707,156707,0,0,7907784,0,3367 65,3,2024-09-07 08:32:21:688,1,124,9,0,163,1361,124,0 66,0,2024-09-07 08:32:21:770,31145,1.3,31200,1.1,62526,2.1,83688,3.25 66,1,2024-09-07 08:32:21:471,218437,218437,0,0,102612465409,1069783356,216654,1612,171,380,391588,0 66,2,2024-09-07 08:32:21:135,158351,158351,0,0,7025254,0,4956 66,3,2024-09-07 08:32:21:078,1,124,1,0,291,1621,124,0 67,0,2024-09-07 08:32:21:419,30704,1.1,30628,1.1,61291,1.5,81429,2.75 67,1,2024-09-07 08:32:20:769,217338,217337,0,1,102229240628,1075570040,213893,2698,746,381,391787,1 67,2,2024-09-07 08:32:20:583,157192,157192,0,0,6507158,0,2889 67,3,2024-09-07 08:32:21:750,1,124,1,0,138,1189,124,0 68,0,2024-09-07 08:32:20:560,31453,0.5,31323,0.7,62435,0.5,83134,2.00 68,1,2024-09-07 08:32:20:569,217031,217031,0,0,101670482747,1072532106,214244,1771,1016,381,391953,0 68,2,2024-09-07 08:32:21:045,155702,155637,65,0,8820852,0,6698 68,3,2024-09-07 08:32:20:728,1,124,6,0,417,1733,124,0 69,0,2024-09-07 08:32:21:731,30871,1.0,31109,1.0,61832,1.2,82397,2.25 69,1,2024-09-07 08:32:21:017,216940,216940,0,0,101562372814,1078460554,213653,2166,1121,384,391611,0 69,2,2024-09-07 08:32:21:732,156803,156803,0,0,8460625,0,3701 69,3,2024-09-07 08:32:20:768,1,124,10,0,238,1659,124,0 70,0,2024-09-07 08:32:21:538,31015,2.8,31060,1.9,62482,2.1,83427,4.50 70,1,2024-09-07 08:32:20:813,218250,218250,0,0,102900798881,1073813746,215942,1846,462,367,391725,0 70,2,2024-09-07 08:32:21:333,155558,155558,0,0,7637666,0,4044 70,3,2024-09-07 08:32:20:748,1,124,1,0,178,856,124,0 71,0,2024-09-07 08:32:21:362,30370,1.4,30630,1.5,60640,1.9,81818,3.25 71,1,2024-09-07 08:32:21:596,218043,218043,0,0,102607083768,1076886677,214740,2855,448,368,391682,0 71,2,2024-09-07 08:32:21:070,157575,157575,0,0,7461325,0,2470 71,3,2024-09-07 08:32:21:763,1,124,64,0,644,2380,124,0 72,0,2024-09-07 08:32:21:030,32340,0.5,31506,0.7,61878,0.5,84094,2.25 72,1,2024-09-07 08:32:21:101,217772,217772,0,0,102022155377,1068248321,214912,2377,483,370,391819,0 72,2,2024-09-07 08:32:21:769,156473,156473,0,0,8886714,0,2570 72,3,2024-09-07 08:32:21:766,1,124,1,0,325,1970,124,0 73,0,2024-09-07 08:32:21:197,30167,0.4,30853,0.6,63084,0.3,81928,2.25 73,1,2024-09-07 08:32:20:769,217866,217866,0,0,101771562735,1058737347,216269,1483,114,368,391627,0 73,2,2024-09-07 08:32:21:739,157792,157792,0,0,8414126,0,3482 73,3,2024-09-07 08:32:20:970,1,124,8,0,274,2051,124,0 74,0,2024-09-07 08:32:21:336,31451,0.7,31940,0.9,61091,0.7,83301,2.50 74,1,2024-09-07 08:32:20:641,218131,218131,0,0,102165711046,1069261424,215460,2052,619,382,391681,0 74,2,2024-09-07 08:32:21:004,154447,154447,0,0,8191716,0,4253 74,3,2024-09-07 08:32:21:457,1,124,14,0,246,2018,124,0 75,0,2024-09-07 08:32:21:796,31607,0.7,31509,0.9,63083,0.6,84938,2.50 75,1,2024-09-07 08:32:21:585,217705,217705,0,0,102094466104,1070398031,215114,2227,364,381,391579,0 75,2,2024-09-07 08:32:21:350,156988,156988,0,0,7667596,0,4766 75,3,2024-09-07 08:32:21:074,1,124,41,0,535,1617,124,0 76,0,2024-09-07 08:32:20:583,30418,0.4,30166,0.7,60241,0.4,80946,2.25 76,1,2024-09-07 08:32:20:805,217962,217962,0,0,101981160717,1067272953,216046,1406,510,382,391530,0 76,2,2024-09-07 08:32:21:064,158443,158443,0,0,6648823,0,3064 76,3,2024-09-07 08:32:21:145,1,124,3,0,175,1524,124,0 77,0,2024-09-07 08:32:21:714,30831,0.6,30994,0.7,62079,0.6,81477,2.00 77,1,2024-09-07 08:32:20:824,218231,218231,0,0,101690020015,1062767005,216743,1407,81,383,391808,0 77,2,2024-09-07 08:32:21:305,156325,156325,0,0,6772822,0,3890 77,3,2024-09-07 08:32:21:094,1,124,9,0,305,1766,124,0 78,0,2024-09-07 08:32:21:726,30302,0.6,30276,0.8,60729,0.6,79942,2.25 78,1,2024-09-07 08:32:20:612,218505,218505,0,0,101617600526,1058765697,216736,1564,205,367,391589,0 78,2,2024-09-07 08:32:21:405,156553,156553,0,0,6492309,0,2114 78,3,2024-09-07 08:32:21:135,1,124,9,0,181,1457,124,0 79,0,2024-09-07 08:32:21:360,30309,0.6,30951,0.8,63414,0.5,82863,2.50 79,1,2024-09-07 08:32:20:571,218763,218763,0,0,102531712029,1065013928,216765,1827,171,369,391682,0 79,2,2024-09-07 08:32:21:068,158380,158380,0,0,6701478,0,3212 79,3,2024-09-07 08:32:20:753,1,124,31,0,306,2101,124,0 80,0,2024-09-07 08:32:21:079,30256,0.8,31065,0.9,59804,0.9,80861,2.50 80,1,2024-09-07 08:32:21:620,217304,217304,0,0,102245663494,1069932941,214833,2312,159,368,391673,0 80,2,2024-09-07 08:32:21:091,157255,157255,0,0,7442016,0,4433 80,3,2024-09-07 08:32:20:575,1,124,160,0,190,2409,124,0 81,0,2024-09-07 08:32:21:535,31166,0.5,32050,0.6,61340,0.5,82705,1.75 81,1,2024-09-07 08:32:21:657,217925,217925,0,0,101809048828,1069601192,215489,2164,272,382,391879,0 81,2,2024-09-07 08:32:21:125,155706,155706,0,0,7870077,0,3993 81,3,2024-09-07 08:32:21:124,1,124,11,0,193,1349,124,0 82,0,2024-09-07 08:32:21:536,31061,0.6,31142,0.8,62623,0.6,83486,2.00 82,1,2024-09-07 08:32:20:583,217611,217607,0,4,102144749639,1070735893,215189,1842,576,382,391558,4 82,2,2024-09-07 08:32:21:694,157574,157574,0,0,7145118,0,3986 82,3,2024-09-07 08:32:21:752,1,124,1,0,227,1731,124,0 83,0,2024-09-07 08:32:21:524,31082,1.0,30812,1.0,61173,1.2,81977,2.50 83,1,2024-09-07 08:32:20:554,218228,218228,0,0,101787360157,1067573713,215938,2100,190,383,391553,0 83,2,2024-09-07 08:32:20:769,155809,155809,0,0,7037785,0,3119 83,3,2024-09-07 08:32:20:752,1,124,3,0,133,1215,124,0 84,0,2024-09-07 08:32:21:791,31232,1.6,31053,1.5,61934,1.2,83952,3.00 84,1,2024-09-07 08:32:21:043,216746,216746,0,0,101517413786,1068731404,213711,2585,450,368,391852,0 84,2,2024-09-07 08:32:20:570,156629,156629,0,0,7860934,0,3801 84,3,2024-09-07 08:32:21:149,1,124,4,1,86,1291,124,0 85,0,2024-09-07 08:32:21:020,30071,0.5,30018,0.8,63769,0.5,82680,2.25 85,1,2024-09-07 08:32:20:559,216735,216735,0,0,101919288918,1086546807,211663,4000,1072,382,391679,0 85,2,2024-09-07 08:32:20:865,157361,157361,0,0,8512330,0,3656 85,3,2024-09-07 08:32:20:685,1,124,8,0,115,1280,124,0 86,0,2024-09-07 08:32:20:925,30778,0.6,31733,0.7,60888,0.7,81977,2.00 86,1,2024-09-07 08:32:20:832,217312,217312,0,0,102223269962,1075649283,213855,3060,397,366,391961,0 86,2,2024-09-07 08:32:20:859,156389,156388,1,0,9007709,0,5004 86,3,2024-09-07 08:32:20:589,1,124,83,0,199,1729,124,0 87,0,2024-09-07 08:32:21:338,30736,2.0,30762,1.3,61497,3.2,82681,2.50 87,1,2024-09-07 08:32:20:550,217089,217089,0,0,101525471770,1069496071,213938,2761,390,366,391788,0 87,2,2024-09-07 08:32:21:067,154871,154871,0,0,7050895,0,3515 87,3,2024-09-07 08:32:21:795,1,124,2,0,335,2243,124,0 88,0,2024-09-07 08:32:21:467,31322,0.6,31571,0.7,62702,0.7,84353,1.75 88,1,2024-09-07 08:32:20:569,216857,216857,0,0,101564944313,1068515454,213922,2157,778,367,391787,0 88,2,2024-09-07 08:32:20:688,157594,157594,0,0,8005991,0,3583 88,3,2024-09-07 08:32:21:304,1,124,435,1,435,1732,124,0 89,0,2024-09-07 08:32:21:766,31671,0.5,30753,0.7,60884,0.5,82481,1.75 89,1,2024-09-07 08:32:20:554,216637,216637,0,0,101561878049,1078923016,211969,3795,873,382,391866,0 89,2,2024-09-07 08:32:21:138,157390,157390,0,0,8256931,0,2910 89,3,2024-09-07 08:32:21:791,1,124,11,0,325,2681,124,0 90,0,2024-09-07 08:32:21:654,29827,0.4,30416,0.6,62604,0.4,81174,1.75 90,1,2024-09-07 08:32:20:604,218134,218134,0,0,101269495673,1066836358,215398,2578,158,382,391825,0 90,2,2024-09-07 08:32:21:425,155538,155538,0,0,8888764,0,3060 90,3,2024-09-07 08:32:20:936,1,124,5,1,200,1538,124,0 91,0,2024-09-07 08:32:20:941,30871,0.5,29872,0.6,61950,0.5,81545,1.75 91,1,2024-09-07 08:32:20:556,217640,217640,0,0,102189144794,1077930124,214194,2953,493,381,391914,0 91,2,2024-09-07 08:32:21:343,153976,153976,0,0,8385144,0,2445 91,3,2024-09-07 08:32:20:602,1,124,1,0,155,1532,124,0 92,0,2024-09-07 08:32:21:523,32218,0.7,32914,0.8,62928,0.8,85749,1.75 92,1,2024-09-07 08:32:20:585,217848,217848,0,0,101527873214,1063312174,215937,1516,395,382,391717,0 92,2,2024-09-07 08:32:21:365,159108,159108,0,0,6718644,0,2279 92,3,2024-09-07 08:32:21:011,1,124,1,0,68,1186,124,0 93,0,2024-09-07 08:32:20:973,30688,0.5,31413,0.7,60233,0.5,81399,1.75 93,1,2024-09-07 08:32:20:814,217859,217859,0,0,102311311148,1071487336,215439,1974,446,366,391689,0 93,2,2024-09-07 08:32:20:936,157051,157051,0,0,6787160,0,2509 93,3,2024-09-07 08:32:21:421,1,124,16,0,143,1332,124,0 94,0,2024-09-07 08:32:21:641,30703,0.3,30989,0.5,61423,0.3,81120,1.75 94,1,2024-09-07 08:32:20:564,217899,217899,0,0,101819373219,1068327088,215793,2027,79,381,391850,0 94,2,2024-09-07 08:32:20:770,155859,155859,0,0,6763245,0,2443 94,3,2024-09-07 08:32:21:693,1,124,2,0,264,2102,124,0 95,0,2024-09-07 08:32:21:374,31065,0.4,30997,0.6,62213,0.3,82440,1.75 95,1,2024-09-07 08:32:20:875,218164,218164,0,0,101813056757,1059257293,216817,1267,80,367,391600,0 95,2,2024-09-07 08:32:21:016,156578,156578,0,0,7033854,0,3308 95,3,2024-09-07 08:32:21:718,1,124,1,0,307,2031,124,0 96,0,2024-09-07 08:32:21:048,31634,0.9,31711,0.8,63289,1.1,84347,2.00 96,1,2024-09-07 08:32:21:593,217441,217441,0,0,100958699825,1063062818,214861,1939,641,385,391894,0 96,2,2024-09-07 08:32:21:313,156950,156950,0,0,7527492,0,4042 96,3,2024-09-07 08:32:21:149,1,124,2,0,188,1383,124,0 97,0,2024-09-07 08:32:21:607,30692,0.5,30730,0.6,61499,0.6,81406,1.75 97,1,2024-09-07 08:32:20:770,217823,217823,0,0,101885908680,1065527403,215076,2200,547,367,392140,0 97,2,2024-09-07 08:32:20:612,156269,156269,0,0,6953917,0,3036 97,3,2024-09-07 08:32:20:569,1,124,8,1,165,1568,124,0 98,0,2024-09-07 08:32:21:723,31319,0.3,31166,0.4,62801,0.2,83463,1.50 98,1,2024-09-07 08:32:20:569,217889,217889,0,0,102236807362,1070116574,216116,1689,84,382,391997,0 98,2,2024-09-07 08:32:20:769,157692,157692,0,0,7202705,0,3080 98,3,2024-09-07 08:32:20:705,1,124,2,1,840,2811,124,0 99,0,2024-09-07 08:32:21:477,31002,0.3,31132,0.5,62146,0.3,82671,1.75 99,1,2024-09-07 08:32:21:725,218325,218325,0,0,101506791424,1064034956,215833,1842,650,381,391744,0 99,2,2024-09-07 08:32:21:421,158461,158461,0,0,7019918,0,1973 99,3,2024-09-07 08:32:20:581,1,124,2,0,129,913,124,0 100,0,2024-09-07 08:32:21:542,31278,1.7,31408,1.7,62588,2.9,83771,2.75 100,1,2024-09-07 08:32:20:551,216401,216401,0,0,101184838161,1081784294,211264,4208,929,381,391989,0 100,2,2024-09-07 08:32:21:826,154902,154891,11,0,8132159,0,5417 100,3,2024-09-07 08:32:21:734,1,124,1,0,443,2646,124,0 101,0,2024-09-07 08:32:21:711,31322,2.1,30438,1.4,60078,1.5,82656,2.75 101,1,2024-09-07 08:32:20:554,216500,216500,0,0,101664786483,1081069591,211928,3214,1358,368,391709,0 101,2,2024-09-07 08:32:21:757,156533,156533,0,0,8846831,0,4644 101,3,2024-09-07 08:32:20:970,1,124,16,0,448,1816,124,0 102,0,2024-09-07 08:32:20:948,30591,0.7,31742,0.8,63681,0.5,83214,2.00 102,1,2024-09-07 08:32:21:150,217102,217102,0,0,101810609408,1075683904,213703,2862,537,369,391831,0 102,2,2024-09-07 08:32:21:738,157764,157710,54,0,8717583,0,6768 102,3,2024-09-07 08:32:21:619,1,124,35,0,410,1578,124,0 103,0,2024-09-07 08:32:21:620,31748,0.5,31720,0.7,60010,0.4,82241,1.75 103,1,2024-09-07 08:32:21:631,216362,216362,0,0,102331250041,1084377789,212314,2855,1193,381,391829,0 103,2,2024-09-07 08:32:20:582,156541,156541,0,0,7646898,0,2104 103,3,2024-09-07 08:32:20:755,1,124,2,0,916,2626,124,0 104,0,2024-09-07 08:32:21:015,30959,1.1,31040,1.1,61196,1.1,83599,2.25 104,1,2024-09-07 08:32:21:602,217762,217762,0,0,101685224805,1075929490,213596,3352,814,366,391948,0 104,2,2024-09-07 08:32:21:667,153719,153719,0,0,7801615,0,3941 104,3,2024-09-07 08:32:21:417,1,124,10,1,1245,4761,124,0 105,0,2024-09-07 08:32:21:041,31284,1.1,30294,1.2,63188,1.5,84308,3.50 105,1,2024-09-07 08:32:20:555,217792,217792,0,0,101828377878,1073730630,213881,3142,769,367,391797,0 105,2,2024-09-07 08:32:21:344,157132,157132,0,0,7505999,0,3509 105,3,2024-09-07 08:32:21:319,1,124,20,1,399,2091,124,0 106,0,2024-09-07 08:32:20:959,29531,0.6,30209,0.9,61546,0.6,80646,2.00 106,1,2024-09-07 08:32:21:752,217605,217605,0,0,101264169729,1065643035,214373,2881,351,369,391767,0 106,2,2024-09-07 08:32:20:765,157022,157022,0,0,7618303,0,2795 106,3,2024-09-07 08:32:20:686,1,124,4,0,201,1585,124,0 107,0,2024-09-07 08:32:21:150,30996,0.4,30803,0.6,61339,0.4,81761,1.75 107,1,2024-09-07 08:32:20:586,217212,217212,0,0,100864469325,1064870697,214434,2554,224,381,392234,0 107,2,2024-09-07 08:32:21:296,155742,155741,1,0,7676977,0,5024 107,3,2024-09-07 08:32:21:762,1,124,13,0,353,1660,124,0 108,0,2024-09-07 08:32:21:791,30455,0.4,30586,0.7,60553,0.4,80305,1.75 108,1,2024-09-07 08:32:21:312,217752,217752,0,0,101837826808,1066710337,215456,2010,286,368,391857,0 108,2,2024-09-07 08:32:21:755,155325,155325,0,0,7431717,0,2647 108,3,2024-09-07 08:32:21:329,1,124,3,0,272,1815,124,0 109,0,2024-09-07 08:32:21:747,31464,0.5,31387,0.7,62458,0.5,84318,1.75 109,1,2024-09-07 08:32:20:593,217399,217399,0,0,102234732486,1076665908,214998,1939,462,383,391812,0 109,2,2024-09-07 08:32:20:927,156459,156459,0,0,7389936,0,3617 109,3,2024-09-07 08:32:21:148,1,124,9,1,249,1846,124,0 110,0,2024-09-07 08:32:21:767,30525,0.5,29659,0.8,62024,0.5,80970,1.75 110,1,2024-09-07 08:32:21:654,218125,218125,0,0,101764724262,1063499258,215783,1642,700,370,391667,0 110,2,2024-09-07 08:32:21:304,157074,157074,0,0,6686994,0,2915 110,3,2024-09-07 08:32:20:694,1,124,1,0,406,1876,124,0 111,0,2024-09-07 08:32:21:415,31291,0.2,30807,0.4,61677,0.2,82820,1.50 111,1,2024-09-07 08:32:21:003,218518,218518,0,0,102989273823,1071640190,216869,1317,332,382,391690,0 111,2,2024-09-07 08:32:21:119,156412,156412,0,0,7471645,0,2763 111,3,2024-09-07 08:32:20:913,1,124,3,0,300,1931,124,0 112,0,2024-09-07 08:32:20:917,31422,0.3,31341,0.5,62645,0.3,83704,1.50 112,1,2024-09-07 08:32:20:836,218021,218021,0,0,102298370989,1068993778,215701,1895,425,381,391580,0 112,2,2024-09-07 08:32:21:147,156797,156796,1,0,7699961,0,5036 112,3,2024-09-07 08:32:20:593,1,124,0,0,282,1540,124,0 113,0,2024-09-07 08:32:20:879,30787,0.5,30593,0.6,62037,0.4,82995,1.75 113,1,2024-09-07 08:32:21:689,218402,218402,0,0,103108133745,1072525352,215996,1918,488,368,391661,0 113,2,2024-09-07 08:32:21:305,157279,157279,0,0,6658229,0,3813 113,3,2024-09-07 08:32:20:684,1,124,13,0,288,2070,124,0 114,0,2024-09-07 08:32:20:886,32072,0.8,32548,0.8,63673,0.6,85933,2.00 114,1,2024-09-07 08:32:20:717,217579,217579,0,0,102122137148,1076729880,213478,2639,1462,381,391534,0 114,2,2024-09-07 08:32:20:875,156532,156532,0,0,6962514,0,3925 114,3,2024-09-07 08:32:21:282,1,124,63,0,395,1900,124,0 115,0,2024-09-07 08:32:20:556,31140,0.3,31456,0.4,63006,0.2,83586,1.50 115,1,2024-09-07 08:32:20:571,218157,218157,0,0,102522637321,1076263627,214518,2818,821,382,391602,0 115,2,2024-09-07 08:32:21:126,158134,158134,0,0,6487253,0,2152 115,3,2024-09-07 08:32:21:006,1,124,9,0,159,1029,124,0 116,0,2024-09-07 08:32:21:718,31003,0.7,30581,0.8,61554,0.8,81963,2.00 116,1,2024-09-07 08:32:20:816,216269,216269,0,0,101913592252,1088832384,211877,2770,1622,382,391677,0 116,2,2024-09-07 08:32:21:752,156033,156033,0,0,9102571,0,3529 116,3,2024-09-07 08:32:20:912,1,124,4,0,252,1993,124,0 117,0,2024-09-07 08:32:20:968,30749,1.4,30913,1.2,61337,2.1,82754,2.00 117,1,2024-09-07 08:32:21:584,217629,217629,0,0,101718205455,1072341950,214297,2931,401,370,392033,0 117,2,2024-09-07 08:32:21:119,155750,155750,0,0,6994827,0,3700 117,3,2024-09-07 08:32:21:059,1,124,8,0,490,2958,124,0 118,0,2024-09-07 08:32:21:835,30582,0.8,31406,0.9,64034,0.9,83779,2.25 118,1,2024-09-07 08:32:20:591,217170,217170,0,0,101252651138,1075027968,212962,3019,1189,368,391736,0 118,2,2024-09-07 08:32:21:591,157451,157451,0,0,8173687,0,2781 118,3,2024-09-07 08:32:21:764,1,124,34,0,235,1931,124,0 119,0,2024-09-07 08:32:21:350,30395,0.9,30557,0.9,62022,1.3,81690,2.00 119,1,2024-09-07 08:32:20:551,218000,218000,0,0,102619797398,1075539549,215676,2040,284,370,391641,0 119,2,2024-09-07 08:32:21:279,156358,156358,0,0,7574542,0,3526 119,3,2024-09-07 08:32:21:325,1,124,1,0,443,2574,124,0 120,0,2024-09-07 08:32:21:545,30397,0.5,30463,0.8,60789,0.5,81140,2.00 120,1,2024-09-07 08:32:20:865,217862,217862,0,0,101742009507,1068755242,215718,2098,46,368,391702,0 120,2,2024-09-07 08:32:20:770,155871,155870,1,0,9322951,0,5281 120,3,2024-09-07 08:32:21:294,1,124,1,0,241,1867,124,0 121,0,2024-09-07 08:32:21:715,30208,1.7,30638,1.2,61021,2.6,81462,2.25 121,1,2024-09-07 08:32:21:661,218004,218004,0,0,101770992789,1067460967,215496,2225,283,367,391840,0 121,2,2024-09-07 08:32:21:137,154209,154209,0,0,8392924,0,4127 121,3,2024-09-07 08:32:20:735,1,124,17,0,159,1555,124,0 122,0,2024-09-07 08:32:21:779,31567,1.4,30720,1.3,64171,1.6,85695,2.25 122,1,2024-09-07 08:32:20:865,217216,217216,0,0,101837786792,1074094365,213422,3133,661,366,392130,0 122,2,2024-09-07 08:32:21:330,157717,157717,0,0,8867285,0,3364 122,3,2024-09-07 08:32:20:595,1,124,1,0,320,2911,124,0 123,0,2024-09-07 08:32:20:965,30554,1.0,29705,0.9,62104,1.2,81158,2.25 123,1,2024-09-07 08:32:20:559,218326,218326,0,0,102213725354,1079452591,214208,3527,591,369,391823,0 123,2,2024-09-07 08:32:21:019,155992,155991,1,0,7807798,0,5215 123,3,2024-09-07 08:32:21:135,1,124,3,1,168,1793,124,0 124,0,2024-09-07 08:32:20:926,31587,0.3,31609,0.5,59649,0.2,81423,1.75 124,1,2024-09-07 08:32:21:035,217831,217831,0,0,101864973187,1061014328,216025,1453,353,367,392178,0 124,2,2024-09-07 08:32:21:010,156683,156683,0,0,6682339,0,3101 124,3,2024-09-07 08:32:20:758,1,124,1,0,490,2063,124,0 125,0,2024-09-07 08:32:21:436,31113,0.5,31008,0.6,62276,0.5,82453,1.75 125,1,2024-09-07 08:32:20:860,217466,217466,0,0,102295445339,1071629246,215193,1998,275,384,391702,0 125,2,2024-09-07 08:32:21:129,156843,156843,0,0,6674331,0,2180 125,3,2024-09-07 08:32:21:137,1,124,8,1,284,1754,124,0 126,0,2024-09-07 08:32:21:438,31653,0.8,32505,0.8,62067,0.9,84929,2.00 126,1,2024-09-07 08:32:20:557,218488,218488,0,0,103077513653,1071487764,216999,1413,76,365,391987,0 126,2,2024-09-07 08:32:20:615,157713,157713,0,0,6755048,0,3186 126,3,2024-09-07 08:32:20:912,1,124,7,0,136,1783,124,0 127,0,2024-09-07 08:32:21:622,30517,0.5,30798,0.6,61496,0.5,81525,1.75 127,1,2024-09-07 08:32:20:570,218067,218067,0,0,101890322921,1058849824,216324,1708,35,365,391816,0 127,2,2024-09-07 08:32:20:638,156824,156824,0,0,6211166,0,1803 127,3,2024-09-07 08:32:21:283,1,124,1,0,99,922,124,0 128,0,2024-09-07 08:32:21:556,31537,0.3,31420,0.5,62561,0.2,83446,1.50 128,1,2024-09-07 08:32:21:609,218363,218363,0,0,102864788296,1068408000,217432,860,71,367,391680,0 128,2,2024-09-07 08:32:21:386,156253,156253,0,0,7000038,0,2107 128,3,2024-09-07 08:32:20:768,1,124,1,0,333,2084,124,0 129,0,2024-09-07 08:32:21:032,31243,0.3,31145,0.5,62039,0.3,82611,1.75 129,1,2024-09-07 08:32:20:567,217180,217180,0,0,101763185152,1071699432,214282,2508,390,379,391835,0 129,2,2024-09-07 08:32:20:685,156921,156921,0,0,7122772,0,4031 129,3,2024-09-07 08:32:20:697,1,124,13,1,173,1701,124,0 130,0,2024-09-07 08:32:21:717,31917,1.1,31595,0.9,63533,1.5,85273,2.00 130,1,2024-09-07 08:32:20:594,217758,217758,0,0,102060839325,1068429020,215717,1973,68,381,391825,0 130,2,2024-09-07 08:32:21:130,155417,155417,0,0,6820593,0,4067 130,3,2024-09-07 08:32:21:310,1,124,1,0,207,1194,124,0 131,0,2024-09-07 08:32:21:994,30895,0.7,30887,0.8,62704,0.9,83064,2.00 131,1,2024-09-07 08:32:21:850,218568,218568,0,0,101699304264,1062605430,217123,1239,206,384,391865,0 131,2,2024-09-07 08:32:20:570,157513,157513,0,0,6468188,0,2415 131,3,2024-09-07 08:32:21:687,1,124,15,0,392,1567,124,0 132,0,2024-09-07 08:32:21:502,30948,0.5,31474,0.8,62995,0.5,83887,2.00 132,1,2024-09-07 08:32:20:576,216552,216552,0,0,101112504301,1077200135,211514,4058,980,381,391731,0 132,2,2024-09-07 08:32:20:699,156720,156720,0,0,9563960,0,4606 132,3,2024-09-07 08:32:21:695,1,124,219,0,356,2297,124,0 133,0,2024-09-07 08:32:21:590,30087,0.4,30727,0.6,63086,0.4,81579,1.75 133,1,2024-09-07 08:32:20:583,216010,216010,0,0,101598812047,1080390948,211687,3686,637,383,391755,0 133,2,2024-09-07 08:32:21:090,156450,156450,0,0,9261413,0,4315 133,3,2024-09-07 08:32:21:304,1,124,13,1,187,1193,124,0 134,0,2024-09-07 08:32:20:980,31126,0.7,30975,0.9,62512,0.7,83437,2.00 134,1,2024-09-07 08:32:20:584,216905,216905,0,0,101760190878,1072736807,213011,2720,1174,366,391718,0 134,2,2024-09-07 08:32:21:758,154024,154024,0,0,7417122,0,3096 134,3,2024-09-07 08:32:20:749,1,124,0,0,739,2736,124,0 135,0,2024-09-07 08:32:21:129,30753,1.1,30711,1.2,64761,1.2,83440,2.50 135,1,2024-09-07 08:32:21:585,216869,216869,0,0,101978535188,1079316260,213025,3144,700,380,391725,0 135,2,2024-09-07 08:32:20:697,157977,157977,0,0,8264953,0,3981 135,3,2024-09-07 08:32:21:002,1,124,12,0,89,795,124,0 136,0,2024-09-07 08:32:21:670,30744,0.6,30549,0.8,61565,0.6,81673,2.00 136,1,2024-09-07 08:32:21:447,217070,217070,0,0,101857155080,1069850443,214304,2600,166,384,391641,0 136,2,2024-09-07 08:32:21:150,158220,158220,0,0,7398395,0,3506 136,3,2024-09-07 08:32:21:106,1,124,3,0,108,1129,124,0 137,0,2024-09-07 08:32:20:925,31599,0.5,30703,0.7,60920,0.5,81944,2.00 137,1,2024-09-07 08:32:20:576,217613,217613,0,0,102398394590,1074250714,214462,2906,245,367,391608,0 137,2,2024-09-07 08:32:21:705,155676,155676,0,0,8905514,0,3185 137,3,2024-09-07 08:32:20:769,1,124,15,1,227,1632,124,0 138,0,2024-09-07 08:32:21:751,29803,1.9,29952,1.3,60475,3.1,80294,2.50 138,1,2024-09-07 08:32:21:685,218098,218098,0,0,102498599468,1075385360,215190,2560,348,368,391954,0 138,2,2024-09-07 08:32:20:586,156357,156357,0,0,7211935,0,3263 138,3,2024-09-07 08:32:20:611,1,124,2,0,1160,2377,124,0 139,0,2024-09-07 08:32:21:403,30548,3.3,30569,1.8,62002,4.5,83219,3.25 139,1,2024-09-07 08:32:20:578,216980,216980,0,0,101176146204,1078553234,212334,3338,1308,381,391892,0 139,2,2024-09-07 08:32:20:698,155975,155975,0,0,8174405,0,3097 139,3,2024-09-07 08:32:21:666,1,124,3,0,244,1632,124,0 140,0,2024-09-07 08:32:21:617,30627,0.4,30478,0.6,61124,0.4,81029,1.75 140,1,2024-09-07 08:32:21:548,218742,218742,0,0,102864746895,1063992242,217329,1174,239,365,391606,0 140,2,2024-09-07 08:32:20:686,157414,157414,0,0,7166983,0,3388 140,3,2024-09-07 08:32:20:768,1,124,1,0,155,966,124,0 141,0,2024-09-07 08:32:21:708,30812,0.2,31858,0.4,61159,0.1,82760,1.50 141,1,2024-09-07 08:32:20:866,218410,218410,0,0,102618373571,1068159094,216684,1374,352,379,391539,0 141,2,2024-09-07 08:32:21:691,156210,156210,0,0,6511741,0,2342 141,3,2024-09-07 08:32:21:043,1,124,8,0,147,1068,124,0 142,0,2024-09-07 08:32:21:326,31674,0.3,31401,0.5,62360,0.3,83863,1.75 142,1,2024-09-07 08:32:20:584,218237,218237,0,0,102533120563,1070113974,217088,1015,134,384,391649,0 142,2,2024-09-07 08:32:21:305,155876,155844,32,0,8003320,0,6028 142,3,2024-09-07 08:32:21:746,1,124,2,0,484,1998,124,0 143,0,2024-09-07 08:32:21:417,30966,0.8,31071,0.8,62483,1.0,82941,2.00 143,1,2024-09-07 08:32:20:556,218088,218088,0,0,102118682769,1063861077,216066,1981,41,367,391619,0 143,2,2024-09-07 08:32:20:769,156122,156122,0,0,7036669,0,2669 143,3,2024-09-07 08:32:21:146,1,124,3,0,303,2206,124,0 144,0,2024-09-07 08:32:21:498,30502,1.0,31533,1.8,63418,1.0,84231,2.25 144,1,2024-09-07 08:32:20:568,216986,216986,0,0,101416030332,1069052299,214726,2058,202,381,391649,0 144,2,2024-09-07 08:32:21:754,157199,157199,0,0,6969938,0,3473 144,3,2024-09-07 08:32:21:739,1,124,1,0,249,1864,124,0 145,0,2024-09-07 08:32:21:390,30134,0.6,30146,0.9,63970,0.5,82988,2.00 145,1,2024-09-07 08:32:20:565,216049,216049,0,0,101591600314,1078058339,211845,3472,732,383,391615,0 145,2,2024-09-07 08:32:21:436,157346,157346,0,0,7908365,0,3903 145,3,2024-09-07 08:32:20:896,1,124,7,0,151,1564,124,0 146,0,2024-09-07 08:32:21:675,30712,0.5,30580,0.7,61736,0.5,81578,2.25 146,1,2024-09-07 08:32:21:636,218375,218375,0,0,102190963446,1076854570,214264,3315,796,368,391600,0 146,2,2024-09-07 08:32:21:706,156826,156826,0,0,7509718,0,2494 146,3,2024-09-07 08:32:21:299,1,124,1,0,1520,4516,124,0 147,0,2024-09-07 08:32:21:736,30790,1.7,30712,1.3,60801,2.6,82502,2.75 147,1,2024-09-07 08:32:21:375,218715,218715,0,0,102399760133,1067855261,216424,1900,391,368,391791,0 147,2,2024-09-07 08:32:21:012,155171,155171,0,0,6828380,0,2789 147,3,2024-09-07 08:32:20:917,1,124,3,0,371,1650,124,0 0,0,2024-09-07 08:32:31:740,29734,0.5,29822,0.7,63022,0.5,81622,1.75 0,1,2024-09-07 08:32:30:804,219361,219361,0,0,103196646935,1084524315,217644,1586,131,372,391692,0 0,2,2024-09-07 08:32:31:070,157877,157877,0,0,7625554,0,4480 0,3,2024-09-07 08:32:30:974,1,125,3,0,247,1855,125,0 1,0,2024-09-07 08:32:31:755,30774,2.0,30505,1.5,61391,2.7,82164,2.50 1,1,2024-09-07 08:32:30:586,218451,218451,0,0,101927865742,1076649339,215036,2553,862,372,391857,0 1,2,2024-09-07 08:32:30:647,155516,155516,0,0,6803969,0,3267 1,3,2024-09-07 08:32:31:301,1,125,11,0,262,1692,125,0 2,0,2024-09-07 08:32:31:593,31997,1.1,32172,1.1,63888,1.4,86023,2.25 2,1,2024-09-07 08:32:30:865,219227,219227,0,0,103111473736,1075885927,217816,1205,206,380,391558,0 2,2,2024-09-07 08:32:31:275,158306,158306,0,0,7444383,0,3594 2,3,2024-09-07 08:32:30:690,1,125,55,0,357,1567,125,0 3,0,2024-09-07 08:32:31:749,30418,0.6,30471,0.8,61051,0.6,80710,2.00 3,1,2024-09-07 08:32:31:618,219051,219051,0,0,102789658463,1079068884,215949,2678,424,382,391516,0 3,2,2024-09-07 08:32:31:149,158390,158367,23,0,7624150,0,5851 3,3,2024-09-07 08:32:31:753,1,125,19,0,103,899,125,0 4,0,2024-09-07 08:32:31:772,30017,0.3,30662,0.5,62313,0.2,81622,1.75 4,1,2024-09-07 08:32:30:595,219468,219468,0,0,102444128294,1082083424,216762,2186,520,371,391846,0 4,2,2024-09-07 08:32:31:021,156159,156159,0,0,8916335,0,4528 4,3,2024-09-07 08:32:31:036,1,125,4,0,287,1986,125,0 5,0,2024-09-07 08:32:31:370,31184,0.6,31002,0.7,62243,0.7,82326,2.00 5,1,2024-09-07 08:32:30:761,218499,218499,0,0,103182104392,1093275771,214472,3042,985,368,392005,0 5,2,2024-09-07 08:32:31:829,157129,157129,0,0,7670766,0,2259 5,3,2024-09-07 08:32:31:733,1,125,19,0,238,2139,125,0 6,0,2024-09-07 08:32:30:925,32272,1.5,31856,1.2,63392,2.2,85648,2.75 6,1,2024-09-07 08:32:30:753,219342,219342,0,0,103015643584,1083649002,216248,2447,647,381,391603,0 6,2,2024-09-07 08:32:31:125,158531,158531,0,0,7939825,0,4816 6,3,2024-09-07 08:32:31:274,1,125,6,0,340,2097,125,0 7,0,2024-09-07 08:32:31:544,30158,0.6,30156,0.9,60632,0.6,80700,2.00 7,1,2024-09-07 08:32:30:850,219415,219415,0,0,102951608008,1082256121,216862,2423,130,382,391664,0 7,2,2024-09-07 08:32:30:770,157305,157305,0,0,6976112,0,2981 7,3,2024-09-07 08:32:30:853,1,125,1,0,305,1368,125,0 8,0,2024-09-07 08:32:31:349,31381,0.3,31625,0.4,62639,0.2,83904,1.50 8,1,2024-09-07 08:32:31:024,218892,218892,0,0,103124103260,1093592257,214349,3088,1455,368,391956,0 8,2,2024-09-07 08:32:30:790,156362,156362,0,0,8200111,0,2986 8,3,2024-09-07 08:32:30:585,1,125,2,0,357,1930,125,0 9,0,2024-09-07 08:32:31:128,31293,0.4,30493,0.5,63617,0.3,83366,1.75 9,1,2024-09-07 08:32:30:562,218915,218915,0,0,103357532783,1096477074,214517,3275,1123,370,391753,0 9,2,2024-09-07 08:32:31:087,158107,158107,0,0,8066828,0,3360 9,3,2024-09-07 08:32:31:755,1,125,7,0,496,2017,125,0 10,0,2024-09-07 08:32:31:607,32013,0.5,31998,0.7,63986,0.4,85432,1.75 10,1,2024-09-07 08:32:30:587,219512,219512,0,0,102633114196,1081225332,215649,3333,530,381,391741,0 10,2,2024-09-07 08:32:30:769,157031,157031,0,0,7718495,0,2940 10,3,2024-09-07 08:32:30:874,1,125,7,0,136,926,125,0 11,0,2024-09-07 08:32:31:010,30694,0.4,30030,0.7,62883,0.4,83875,2.00 11,1,2024-09-07 08:32:30:574,218850,218850,0,0,102760874634,1084960743,214315,3373,1162,384,391537,0 11,2,2024-09-07 08:32:31:125,157872,157872,0,0,7389391,0,3411 11,3,2024-09-07 08:32:31:305,1,125,0,0,720,2739,125,0 12,0,2024-09-07 08:32:30:952,31979,0.3,31770,0.5,63735,0.3,84827,1.50 12,1,2024-09-07 08:32:31:050,219262,219262,0,0,102746919867,1078514990,216452,2400,410,371,391837,0 12,2,2024-09-07 08:32:31:542,159470,159470,0,0,7948962,0,3469 12,3,2024-09-07 08:32:31:062,1,125,1,0,358,2356,125,0 13,0,2024-09-07 08:32:31:337,31068,0.4,31195,0.5,62191,0.4,82544,1.75 13,1,2024-09-07 08:32:31:525,219922,219922,0,0,103195943619,1085181775,217989,1557,376,384,391717,0 13,2,2024-09-07 08:32:30:596,158769,158769,0,0,7216135,0,3287 13,3,2024-09-07 08:32:31:765,1,125,11,1,416,2347,125,0 14,0,2024-09-07 08:32:30:584,31613,0.6,31673,0.7,62817,0.6,83927,2.00 14,1,2024-09-07 08:32:31:564,221089,221089,0,0,103245127348,1074231486,219134,1793,162,364,391571,0 14,2,2024-09-07 08:32:30:764,155198,155198,0,0,7206711,0,2896 14,3,2024-09-07 08:32:31:118,1,125,1,0,1168,2705,125,0 15,0,2024-09-07 08:32:31:567,31972,0.6,31884,0.8,63861,0.7,85367,2.00 15,1,2024-09-07 08:32:31:607,219170,219170,0,0,102464548398,1068905753,217694,1416,60,381,391536,0 15,2,2024-09-07 08:32:30:997,159560,159560,0,0,6010746,0,3043 15,3,2024-09-07 08:32:31:409,1,125,8,0,1126,4037,125,0 16,0,2024-09-07 08:32:30:931,30580,0.6,31020,0.8,61348,0.6,81991,2.25 16,1,2024-09-07 08:32:30:564,219690,219690,0,0,102703339768,1079527767,217503,1928,259,372,391756,0 16,2,2024-09-07 08:32:31:433,157762,157762,0,0,8422814,0,4719 16,3,2024-09-07 08:32:31:149,1,125,1,0,231,1789,125,0 17,0,2024-09-07 08:32:31:780,31941,0.5,31115,0.7,60976,0.4,82097,2.00 17,1,2024-09-07 08:32:30:585,218771,218771,0,0,102145706076,1081360982,215452,2648,671,369,391688,0 17,2,2024-09-07 08:32:31:672,158718,158718,0,0,7600949,0,2857 17,3,2024-09-07 08:32:30:574,1,125,32,0,268,2097,125,0 18,0,2024-09-07 08:32:30:946,29647,1.1,30088,1.1,60337,1.3,80032,2.50 18,1,2024-09-07 08:32:31:645,219167,219167,0,0,102639450757,1070375659,217289,1644,234,368,391564,0 18,2,2024-09-07 08:32:31:755,156420,156420,0,0,7527161,0,3541 18,3,2024-09-07 08:32:30:897,1,125,1,0,163,1531,125,0 19,0,2024-09-07 08:32:31:549,31366,1.2,31596,1.1,62423,1.5,83750,3.25 19,1,2024-09-07 08:32:30:568,219715,219715,0,0,103280786296,1082387047,216259,2764,692,367,391777,0 19,2,2024-09-07 08:32:31:755,159462,159462,0,0,6772250,0,3988 19,3,2024-09-07 08:32:31:131,1,125,0,0,524,1403,125,0 20,0,2024-09-07 08:32:31:434,30569,0.8,30542,0.9,61002,1.0,81145,2.50 20,1,2024-09-07 08:32:30:566,218873,218873,0,0,102716254103,1082969630,215726,2786,361,369,391822,0 20,2,2024-09-07 08:32:30:929,157852,157852,0,0,7463905,0,3721 20,3,2024-09-07 08:32:30:596,1,125,6,0,414,2680,125,0 21,0,2024-09-07 08:32:31:160,31549,0.4,31456,0.6,62641,0.3,82779,1.75 21,1,2024-09-07 08:32:31:570,218632,218632,0,0,102158574183,1081946847,215161,2941,530,368,391962,0 21,2,2024-09-07 08:32:31:066,157160,157160,0,0,8240895,0,3747 21,3,2024-09-07 08:32:31:407,1,125,16,0,103,1727,125,0 22,0,2024-09-07 08:32:31:721,31281,0.9,31424,1.0,62328,1.0,83292,2.25 22,1,2024-09-07 08:32:31:023,218587,218587,0,0,102750724487,1090608893,213570,3589,1428,382,391667,0 22,2,2024-09-07 08:32:30:761,157947,157947,0,0,7128688,0,3134 22,3,2024-09-07 08:32:31:066,1,125,1,0,228,1485,125,0 23,0,2024-09-07 08:32:31:376,31004,1.1,31304,1.0,62705,1.5,83667,2.50 23,1,2024-09-07 08:32:31:002,220005,220005,0,0,103295233653,1086000380,216531,2201,1273,365,391549,0 23,2,2024-09-07 08:32:31:094,157448,157448,0,0,7352218,0,3010 23,3,2024-09-07 08:32:31:758,1,125,1,0,645,1712,125,0 24,0,2024-09-07 08:32:30:831,32534,0.6,32481,0.7,65004,0.6,86369,1.75 24,1,2024-09-07 08:32:30:604,219343,219343,0,0,102528190978,1076682969,216863,1715,765,369,391640,0 24,2,2024-09-07 08:32:31:068,157291,157291,0,0,8287932,0,2942 24,3,2024-09-07 08:32:31:687,1,125,49,0,234,1610,125,0 25,0,2024-09-07 08:32:31:352,32432,0.4,31623,0.6,61939,0.3,84573,1.75 25,1,2024-09-07 08:32:30:560,219145,219145,0,0,102606173827,1081859855,215757,2847,541,371,391788,0 25,2,2024-09-07 08:32:31:607,157522,157522,0,0,8542946,0,3978 25,3,2024-09-07 08:32:30:999,1,125,11,0,158,1451,125,0 26,0,2024-09-07 08:32:31:838,30677,0.4,30026,0.6,63087,0.3,82439,1.75 26,1,2024-09-07 08:32:31:545,219658,219658,0,0,102705346254,1082739241,215570,3244,844,381,391564,0 26,2,2024-09-07 08:32:30:865,158318,158318,0,0,7701275,0,2809 26,3,2024-09-07 08:32:31:714,1,125,43,0,796,1890,125,0 27,0,2024-09-07 08:32:31:742,31336,0.5,31603,0.7,62491,0.5,83905,1.75 27,1,2024-09-07 08:32:31:686,220331,220331,0,0,103336688320,1076295270,218560,1448,323,381,391539,0 27,2,2024-09-07 08:32:30:868,154923,154923,0,0,8202153,0,3409 27,3,2024-09-07 08:32:31:020,1,125,35,0,564,1606,125,0 28,0,2024-09-07 08:32:31:397,31499,0.6,31790,0.7,63691,0.7,84963,2.25 28,1,2024-09-07 08:32:30:796,219700,219700,0,0,103714580534,1081796973,218079,1410,211,383,391646,0 28,2,2024-09-07 08:32:31:765,159127,159127,0,0,6327138,0,2915 28,3,2024-09-07 08:32:31:785,1,125,4,0,502,1648,125,0 29,0,2024-09-07 08:32:31:358,31747,0.4,30930,0.6,60703,0.3,83268,1.75 29,1,2024-09-07 08:32:31:561,220768,220768,0,0,102611358494,1066917198,218642,1595,531,369,391621,0 29,2,2024-09-07 08:32:30:871,159073,159073,0,0,6228843,0,4018 29,3,2024-09-07 08:32:30:975,1,125,13,0,105,1047,125,0 30,0,2024-09-07 08:32:31:465,30606,0.7,29784,0.8,62334,0.6,81651,2.25 30,1,2024-09-07 08:32:30:574,220108,220108,0,0,102981451890,1074001798,218235,1595,278,382,391672,0 30,2,2024-09-07 08:32:31:289,158814,158814,0,0,6402082,0,3161 30,3,2024-09-07 08:32:30:585,1,125,1,0,195,932,125,0 31,0,2024-09-07 08:32:31:763,30378,0.8,30468,0.9,61714,0.8,81941,2.00 31,1,2024-09-07 08:32:30:564,221206,221206,0,0,103859455042,1066208999,220589,551,66,356,391553,0 31,2,2024-09-07 08:32:31:275,155741,155741,0,0,7890137,0,3525 31,3,2024-09-07 08:32:31:706,1,125,1,0,220,1096,125,0 32,0,2024-09-07 08:32:31:430,32229,0.6,32583,0.8,64899,0.6,86814,1.75 32,1,2024-09-07 08:32:30:803,220450,220450,0,0,103347614333,1077260833,218714,1461,275,382,391595,0 32,2,2024-09-07 08:32:30:937,159138,159138,0,0,6559679,0,3155 32,3,2024-09-07 08:32:31:019,1,125,8,0,227,1360,125,0 33,0,2024-09-07 08:32:31:522,30890,0.4,30493,0.6,61628,0.3,81650,1.75 33,1,2024-09-07 08:32:30:576,220523,220523,0,0,103399221807,1075586156,218385,1966,172,369,391730,0 33,2,2024-09-07 08:32:30:761,158513,158480,33,0,8557102,0,7012 33,3,2024-09-07 08:32:30:897,1,125,13,0,329,1554,125,0 34,0,2024-09-07 08:32:30:952,30764,0.3,31816,0.5,61000,0.2,81552,1.75 34,1,2024-09-07 08:32:31:045,220195,220195,0,0,103461537959,1069378895,219232,958,5,367,391562,0 34,2,2024-09-07 08:32:30:767,156733,156733,0,0,7229600,0,3255 34,3,2024-09-07 08:32:31:688,1,125,1,0,148,879,125,0 35,0,2024-09-07 08:32:30:864,30752,0.5,31119,0.6,62036,0.5,82439,1.75 35,1,2024-09-07 08:32:31:070,219453,219453,0,0,103358782115,1079610004,216679,2004,770,384,391589,0 35,2,2024-09-07 08:32:31:588,157174,157174,0,0,6795043,0,2653 35,3,2024-09-07 08:32:30:913,1,125,1,0,418,1836,125,0 36,0,2024-09-07 08:32:31:559,31807,1.6,31754,1.3,64225,2.0,85264,3.00 36,1,2024-09-07 08:32:30:587,218970,218970,0,0,102711098730,1082391063,214784,3065,1121,366,391759,0 36,2,2024-09-07 08:32:31:761,157726,157726,0,0,7857360,0,3303 36,3,2024-09-07 08:32:30:874,1,125,5,0,378,1338,125,0 37,0,2024-09-07 08:32:31:372,30402,0.6,30449,0.9,60665,0.6,81599,2.00 37,1,2024-09-07 08:32:30:574,218276,218269,0,7,102007005652,1082121918,213538,2714,2017,365,391518,0 37,2,2024-09-07 08:32:31:142,157789,157774,15,0,7889641,0,5815 37,3,2024-09-07 08:32:31:766,1,125,16,0,724,2342,125,0 38,0,2024-09-07 08:32:31:438,31366,0.4,30390,0.6,63478,0.4,83428,2.00 38,1,2024-09-07 08:32:31:605,220203,220203,0,0,103034391471,1081903144,216809,2830,564,368,391821,0 38,2,2024-09-07 08:32:30:761,157732,157685,47,0,9163795,0,6710 38,3,2024-09-07 08:32:30:997,1,125,1,0,603,1985,125,0 39,0,2024-09-07 08:32:31:773,32061,0.7,31314,0.8,61300,0.8,83597,2.00 39,1,2024-09-07 08:32:30:716,219449,219449,0,0,102766305702,1078707104,215760,2888,801,365,391524,0 39,2,2024-09-07 08:32:31:417,158366,158366,0,0,7047619,0,2689 39,3,2024-09-07 08:32:30:713,1,125,4,0,276,1884,125,0 40,0,2024-09-07 08:32:31:524,31262,1.2,31933,1.5,63309,1.6,84882,3.50 40,1,2024-09-07 08:32:30:576,219382,219382,0,0,101906985085,1073036986,215198,3417,767,369,391591,0 40,2,2024-09-07 08:32:31:308,156750,156749,1,0,8547048,0,5137 40,3,2024-09-07 08:32:31:149,1,125,6,0,181,1428,125,0 41,0,2024-09-07 08:32:31:032,30740,1.4,31539,1.5,60264,2.6,82526,4.00 41,1,2024-09-07 08:32:30:771,219737,219737,0,0,102808702974,1081064941,215895,3313,529,370,391742,0 41,2,2024-09-07 08:32:30:761,157452,157452,0,0,8310933,0,3356 41,3,2024-09-07 08:32:31:679,1,125,2,0,366,1775,125,0 42,0,2024-09-07 08:32:31:475,31112,0.6,31398,0.9,62493,0.5,82966,2.25 42,1,2024-09-07 08:32:31:438,218640,218640,0,0,102668082578,1083112220,214727,3061,852,380,391675,0 42,2,2024-09-07 08:32:31:133,158073,158073,0,0,8287410,0,3568 42,3,2024-09-07 08:32:31:009,1,125,9,0,100,901,125,0 43,0,2024-09-07 08:32:30:927,30981,0.8,30217,0.9,62928,0.8,82597,2.00 43,1,2024-09-07 08:32:30:576,219066,219066,0,0,102809685696,1075491536,216243,2071,752,366,391604,0 43,2,2024-09-07 08:32:31:736,158970,158970,0,0,7852279,0,3812 43,3,2024-09-07 08:32:31:755,1,125,1,0,325,1890,125,0 44,0,2024-09-07 08:32:30:875,31644,0.6,31503,0.8,63350,0.6,84539,2.00 44,1,2024-09-07 08:32:30:563,220376,220376,0,0,102451435996,1060408474,218914,1333,129,356,391809,0 44,2,2024-09-07 08:32:31:275,154913,154913,0,0,6208640,0,1877 44,3,2024-09-07 08:32:31:097,1,125,1,0,817,1557,125,0 45,0,2024-09-07 08:32:31:773,31575,0.7,30879,0.9,64797,0.8,85954,2.00 45,1,2024-09-07 08:32:31:010,220012,220012,0,0,103096657796,1071278696,219012,991,9,382,391917,0 45,2,2024-09-07 08:32:31:275,159693,159693,0,0,6802128,0,3596 45,3,2024-09-07 08:32:30:934,1,125,14,0,226,1214,125,0 46,0,2024-09-07 08:32:30:951,30580,0.4,30520,0.6,61228,0.3,81119,1.75 46,1,2024-09-07 08:32:30:579,220472,220472,0,0,103008998759,1067100043,219021,1345,106,366,391514,0 46,2,2024-09-07 08:32:30:597,159437,159437,0,0,6677507,0,2920 46,3,2024-09-07 08:32:31:134,1,125,18,0,363,1914,125,0 47,0,2024-09-07 08:32:31:103,30879,0.3,31120,0.5,62408,0.3,81830,1.75 47,1,2024-09-07 08:32:30:567,220564,220564,0,0,103263020285,1067313553,219553,1000,11,366,391605,0 47,2,2024-09-07 08:32:30:908,158604,158604,0,0,7027615,0,2558 47,3,2024-09-07 08:32:31:120,1,125,14,0,529,1483,125,0 48,0,2024-09-07 08:32:31:509,30561,0.3,30357,0.5,60753,0.3,80535,1.75 48,1,2024-09-07 08:32:31:022,219986,219986,0,0,103677098264,1081916932,217993,1813,180,384,391710,0 48,2,2024-09-07 08:32:30:707,156387,156387,0,0,6023426,0,2083 48,3,2024-09-07 08:32:30:753,1,125,1,0,339,1227,125,0 49,0,2024-09-07 08:32:31:727,32551,0.6,31797,0.7,62170,0.7,85465,1.75 49,1,2024-09-07 08:32:31:022,219122,219122,0,0,102210824281,1072094219,216390,1892,840,382,391583,0 49,2,2024-09-07 08:32:31:805,159203,159203,0,0,7021341,0,3900 49,3,2024-09-07 08:32:31:416,1,125,8,0,408,1661,125,0 50,0,2024-09-07 08:32:31:511,30663,0.4,30066,0.6,60421,0.3,80881,1.75 50,1,2024-09-07 08:32:31:012,220694,220694,0,0,103516168730,1078628619,218317,2068,309,368,391530,0 50,2,2024-09-07 08:32:31:066,157706,157706,0,0,6719764,0,2253 50,3,2024-09-07 08:32:31:300,1,125,1,0,335,1141,125,0 51,0,2024-09-07 08:32:31:689,32022,0.3,31388,0.4,61226,0.2,83179,1.75 51,1,2024-09-07 08:32:31:680,219823,219823,0,0,103856213983,1078393929,217872,1166,785,367,391637,0 51,2,2024-09-07 08:32:31:315,157326,157326,0,0,6046567,0,2448 51,3,2024-09-07 08:32:31:033,1,125,0,0,162,814,125,0 52,0,2024-09-07 08:32:31:418,31551,0.6,31679,0.8,63460,0.6,83805,2.25 52,1,2024-09-07 08:32:30:578,219270,219270,0,0,102297078624,1082210289,214558,3912,800,368,391722,0 52,2,2024-09-07 08:32:31:759,156254,156216,38,0,8645683,0,6742 52,3,2024-09-07 08:32:30:692,1,125,51,0,1782,2984,125,0 53,0,2024-09-07 08:32:31:733,30982,1.3,30096,1.2,62881,1.5,83010,3.25 53,1,2024-09-07 08:32:30:776,217991,217991,0,0,103237729303,1097609015,212557,3542,1892,367,391617,0 53,2,2024-09-07 08:32:31:307,158255,158255,0,0,6759402,0,2262 53,3,2024-09-07 08:32:30:702,1,125,8,0,133,1066,125,0 54,0,2024-09-07 08:32:31:618,30909,3.4,31361,1.6,62110,1.9,83470,4.50 54,1,2024-09-07 08:32:30:584,219685,219685,0,0,103019986822,1081035629,216128,3006,551,367,391532,0 54,2,2024-09-07 08:32:30:865,157699,157693,6,0,7968996,0,5382 54,3,2024-09-07 08:32:30:765,1,125,1,0,676,2672,125,0 55,0,2024-09-07 08:32:31:777,30388,0.5,31276,0.8,63522,0.5,82498,2.50 55,1,2024-09-07 08:32:30:764,218893,218893,0,0,102323797621,1077739134,214149,3959,785,367,391731,0 55,2,2024-09-07 08:32:30:729,157808,157808,0,0,8180937,0,3275 55,3,2024-09-07 08:32:30:674,1,125,1,0,304,1421,125,0 56,0,2024-09-07 08:32:31:560,31800,1.4,30020,1.2,61674,2.0,82701,2.50 56,1,2024-09-07 08:32:30:588,218974,218974,0,0,103065693815,1097304189,213992,4080,902,382,391678,0 56,2,2024-09-07 08:32:31:307,158072,158072,0,0,8706346,0,3567 56,3,2024-09-07 08:32:31:065,1,125,9,0,297,1611,125,0 57,0,2024-09-07 08:32:30:942,30632,2.0,30594,1.4,61339,2.9,82017,3.75 57,1,2024-09-07 08:32:30:991,219273,219273,0,0,101906838353,1069876018,216804,2299,170,368,391773,0 57,2,2024-09-07 08:32:31:323,156233,156233,0,0,8358117,0,3178 57,3,2024-09-07 08:32:31:746,1,125,17,0,359,2485,125,0 58,0,2024-09-07 08:32:30:566,30867,0.8,30053,1.0,63006,0.8,82922,2.50 58,1,2024-09-07 08:32:30:575,220221,220218,0,3,103388723562,1081773011,217259,2580,379,369,391516,3 58,2,2024-09-07 08:32:31:075,158871,158871,0,0,7687082,0,2549 58,3,2024-09-07 08:32:31:071,1,125,1,0,219,1091,125,0 59,0,2024-09-07 08:32:31:744,31000,0.8,30633,1.0,61584,0.9,81907,3.00 59,1,2024-09-07 08:32:30:811,219610,219610,0,0,102458547947,1076070933,216237,2451,922,369,391515,0 59,2,2024-09-07 08:32:30:586,159413,159413,0,0,6953606,0,2604 59,3,2024-09-07 08:32:31:738,1,125,167,0,1015,2700,125,0 60,0,2024-09-07 08:32:31:707,31031,0.4,31107,0.6,61669,0.4,81805,1.75 60,1,2024-09-07 08:32:30:775,221292,221292,0,0,103254262243,1072995629,219998,941,353,370,391761,0 60,2,2024-09-07 08:32:31:141,157959,157959,0,0,7959410,0,3811 60,3,2024-09-07 08:32:31:266,1,125,50,0,124,1302,125,0 61,0,2024-09-07 08:32:31:514,30511,1.6,30709,1.2,61303,2.4,82147,2.25 61,1,2024-09-07 08:32:30:772,219468,219468,0,0,103341827780,1086084520,216575,2356,537,382,391589,0 61,2,2024-09-07 08:32:31:121,156407,156407,0,0,6649709,0,2079 61,3,2024-09-07 08:32:31:687,1,125,27,0,199,1670,125,0 62,0,2024-09-07 08:32:31:712,32381,1.2,33169,1.0,63282,1.8,86471,2.25 62,1,2024-09-07 08:32:31:120,221313,221307,0,6,103837496674,1073208334,219886,1383,38,365,391715,6 62,2,2024-09-07 08:32:31:644,157867,157866,1,0,8123046,0,5555 62,3,2024-09-07 08:32:31:151,1,125,13,0,287,1065,125,0 63,0,2024-09-07 08:32:31:488,30545,0.6,30606,0.7,61217,0.7,81338,2.00 63,1,2024-09-07 08:32:30:804,220745,220739,0,6,103339160462,1072275169,219633,1086,20,381,391542,6 63,2,2024-09-07 08:32:30:766,158335,158335,0,0,6381993,0,2674 63,3,2024-09-07 08:32:31:736,1,125,13,0,667,2075,125,0 64,0,2024-09-07 08:32:31:513,30714,0.4,30580,0.6,61260,0.4,81593,1.75 64,1,2024-09-07 08:32:30:750,219737,219737,0,0,102896808315,1082489399,216300,2385,1052,371,391783,0 64,2,2024-09-07 08:32:31:146,158314,158295,19,0,7500775,0,6121 64,3,2024-09-07 08:32:31:145,1,125,2,0,265,1683,125,0 65,0,2024-09-07 08:32:31:713,30398,1.3,30863,1.1,61623,1.8,81642,2.75 65,1,2024-09-07 08:32:30:865,219008,219008,0,0,102599965647,1077946386,216621,2165,222,382,391770,0 65,2,2024-09-07 08:32:31:696,157695,157695,0,0,7950630,0,3367 65,3,2024-09-07 08:32:31:685,1,125,27,0,163,1388,125,0 66,0,2024-09-07 08:32:31:772,31517,1.2,31613,1.1,63365,2.1,84611,3.25 66,1,2024-09-07 08:32:31:305,220205,220205,0,0,103383782693,1077813064,218422,1612,171,380,391588,0 66,2,2024-09-07 08:32:31:135,159806,159806,0,0,7086474,0,4956 66,3,2024-09-07 08:32:31:079,1,125,1,0,291,1622,125,0 67,0,2024-09-07 08:32:31:435,30797,1.1,30742,1.1,61500,1.5,81674,2.75 67,1,2024-09-07 08:32:30:766,219128,219127,0,1,102820349570,1082030759,215682,2699,746,381,391787,1 67,2,2024-09-07 08:32:30:586,158507,158507,0,0,6559942,0,2889 67,3,2024-09-07 08:32:31:753,1,125,2,0,138,1191,125,0 68,0,2024-09-07 08:32:30:610,31702,0.5,31587,0.7,62977,0.5,83740,2.00 68,1,2024-09-07 08:32:30:587,218691,218691,0,0,102489888661,1080999434,215903,1772,1016,381,391953,0 68,2,2024-09-07 08:32:31:049,156769,156704,65,0,8908699,0,6698 68,3,2024-09-07 08:32:30:742,1,125,11,0,417,1744,125,0 69,0,2024-09-07 08:32:31:737,31134,1.0,31376,1.0,62432,1.2,83240,2.25 69,1,2024-09-07 08:32:31:021,218643,218643,0,0,102547337082,1089057959,215341,2181,1121,384,391611,0 69,2,2024-09-07 08:32:31:733,158061,158061,0,0,8602539,0,3701 69,3,2024-09-07 08:32:30:763,1,125,8,0,238,1667,125,0 70,0,2024-09-07 08:32:31:546,31457,2.9,31414,1.9,63243,2.5,84199,4.50 70,1,2024-09-07 08:32:30:801,219911,219911,0,0,103458191357,1080025994,217603,1846,462,367,391725,0 70,2,2024-09-07 08:32:31:331,156762,156762,0,0,7709293,0,4044 70,3,2024-09-07 08:32:30:751,1,125,24,0,178,880,125,0 71,0,2024-09-07 08:32:31:434,30757,1.5,30990,1.5,61383,2.1,82869,3.25 71,1,2024-09-07 08:32:31:607,219687,219687,0,0,103425837898,1085953071,216342,2896,449,368,391682,0 71,2,2024-09-07 08:32:31:067,158733,158733,0,0,7522315,0,2470 71,3,2024-09-07 08:32:31:753,1,125,58,0,644,2438,125,0 72,0,2024-09-07 08:32:31:033,32683,0.5,31850,0.7,62543,0.5,84971,2.25 72,1,2024-09-07 08:32:31:024,219549,219549,0,0,102949083614,1078066469,216673,2393,483,370,391819,0 72,2,2024-09-07 08:32:31:756,157903,157903,0,0,9030489,0,2570 72,3,2024-09-07 08:32:31:754,1,125,1,0,325,1971,125,0 73,0,2024-09-07 08:32:31:139,30326,0.4,31009,0.6,63410,0.3,82342,2.25 73,1,2024-09-07 08:32:30:781,219435,219435,0,0,102970436829,1070965785,217838,1483,114,368,391627,0 73,2,2024-09-07 08:32:31:744,159143,159143,0,0,8466708,0,3482 73,3,2024-09-07 08:32:30:969,1,125,14,0,274,2065,125,0 74,0,2024-09-07 08:32:31:335,31767,0.7,32247,0.9,61668,0.7,84076,2.50 74,1,2024-09-07 08:32:30:636,219809,219809,0,0,102748925480,1075436659,217138,2052,619,382,391681,0 74,2,2024-09-07 08:32:31:003,155201,155201,0,0,8218610,0,4253 74,3,2024-09-07 08:32:31:442,1,125,13,0,246,2031,125,0 75,0,2024-09-07 08:32:31:786,32045,0.7,31960,0.9,63829,0.7,86032,2.50 75,1,2024-09-07 08:32:31:585,219399,219399,0,0,102810476757,1077962595,216808,2227,364,381,391579,0 75,2,2024-09-07 08:32:31:363,158439,158439,0,0,7931142,0,4766 75,3,2024-09-07 08:32:31:067,1,125,1,0,535,1618,125,0 76,0,2024-09-07 08:32:30:608,30573,0.4,30331,0.7,60544,0.4,81420,2.25 76,1,2024-09-07 08:32:30:804,219704,219704,0,0,102802778186,1075830408,217788,1406,510,382,391530,0 76,2,2024-09-07 08:32:31:065,159936,159936,0,0,6698075,0,3064 76,3,2024-09-07 08:32:31:150,1,125,1,0,175,1525,125,0 77,0,2024-09-07 08:32:31:717,30937,0.6,31087,0.7,62328,0.6,81714,2.00 77,1,2024-09-07 08:32:30:831,220138,220138,0,0,102386913120,1070096585,218650,1407,81,383,391808,0 77,2,2024-09-07 08:32:31:287,157647,157647,0,0,7056577,0,3890 77,3,2024-09-07 08:32:31:106,1,125,8,0,305,1774,125,0 78,0,2024-09-07 08:32:31:769,30366,0.6,30348,0.8,60852,0.6,80260,2.25 78,1,2024-09-07 08:32:30:610,220279,220279,0,0,102181654144,1064618384,218510,1564,205,367,391589,0 78,2,2024-09-07 08:32:31:414,157362,157362,0,0,6515866,0,2114 78,3,2024-09-07 08:32:31:138,1,125,2,0,181,1459,125,0 79,0,2024-09-07 08:32:31:347,30706,0.6,31368,0.8,64312,0.5,84114,2.50 79,1,2024-09-07 08:32:30:574,220572,220572,0,0,103296937135,1073124957,218566,1835,171,369,391682,0 79,2,2024-09-07 08:32:31:067,159773,159773,0,0,6751474,0,3212 79,3,2024-09-07 08:32:30:749,1,125,418,0,418,2519,125,0 80,0,2024-09-07 08:32:31:141,30350,0.8,31176,0.9,60019,0.9,81168,2.50 80,1,2024-09-07 08:32:31:622,219117,219117,0,0,102829413682,1076043820,216646,2312,159,368,391673,0 80,2,2024-09-07 08:32:31:091,158669,158669,0,0,7494820,0,4433 80,3,2024-09-07 08:32:30:587,1,125,2,0,190,2411,125,0 81,0,2024-09-07 08:32:31:541,31332,0.5,32224,0.6,61675,0.4,82960,1.75 81,1,2024-09-07 08:32:31:657,219591,219591,0,0,102610696708,1078180143,217154,2165,272,382,391879,0 81,2,2024-09-07 08:32:31:129,156851,156851,0,0,7908919,0,3993 81,3,2024-09-07 08:32:31:119,1,125,8,0,193,1357,125,0 82,0,2024-09-07 08:32:31:556,31328,0.6,31418,0.8,63124,0.6,84004,2.00 82,1,2024-09-07 08:32:30:589,219324,219320,0,4,103146838254,1080962935,216902,1842,576,382,391558,4 82,2,2024-09-07 08:32:31:691,158720,158720,0,0,7185699,0,3986 82,3,2024-09-07 08:32:31:752,1,125,2,0,227,1733,125,0 83,0,2024-09-07 08:32:31:549,31414,1.0,31161,1.0,61828,1.2,83156,2.50 83,1,2024-09-07 08:32:30:557,220014,220014,0,0,102556089447,1075445888,217724,2100,190,383,391553,0 83,2,2024-09-07 08:32:30:765,157197,157197,0,0,7086831,0,3119 83,3,2024-09-07 08:32:30:749,1,125,1,0,133,1216,125,0 84,0,2024-09-07 08:32:31:787,31604,2.0,31334,1.6,63099,1.6,84775,3.00 84,1,2024-09-07 08:32:31:045,218643,218643,0,0,102533282934,1079375630,215608,2585,450,368,391852,0 84,2,2024-09-07 08:32:30:579,157924,157924,0,0,8022033,0,3801 84,3,2024-09-07 08:32:31:141,1,125,2,1,86,1293,125,0 85,0,2024-09-07 08:32:31:013,30366,0.5,30354,0.8,64394,0.5,83628,2.25 85,1,2024-09-07 08:32:30:561,218225,218225,0,0,102612187284,1094418909,213054,4099,1072,382,391679,0 85,2,2024-09-07 08:32:30:873,158432,158432,0,0,8626189,0,3656 85,3,2024-09-07 08:32:30:690,1,125,42,0,115,1322,125,0 86,0,2024-09-07 08:32:30:887,30976,0.6,31923,0.7,61255,0.7,82598,2.00 86,1,2024-09-07 08:32:30:825,219364,219364,0,0,102985176283,1085094120,215591,3230,543,366,391961,0 86,2,2024-09-07 08:32:30:855,157499,157498,1,0,9111942,0,5004 86,3,2024-09-07 08:32:30:587,1,125,286,0,286,2015,125,0 87,0,2024-09-07 08:32:31:368,31077,2.1,31141,1.3,62167,3.2,83909,2.75 87,1,2024-09-07 08:32:30:560,218741,218741,0,0,102177314055,1076667471,215583,2768,390,366,391788,0 87,2,2024-09-07 08:32:31:074,155916,155916,0,0,7211368,0,3515 87,3,2024-09-07 08:32:31:793,1,125,106,0,335,2349,125,0 88,0,2024-09-07 08:32:31:441,31630,0.6,31918,0.7,63346,0.6,85075,1.75 88,1,2024-09-07 08:32:30:574,218366,218366,0,0,102206732260,1075213510,215431,2157,778,367,391787,0 88,2,2024-09-07 08:32:30:688,158779,158779,0,0,8169695,0,3583 88,3,2024-09-07 08:32:31:274,1,125,1,1,435,1733,125,0 89,0,2024-09-07 08:32:31:805,31929,0.5,31010,0.7,61399,0.5,83418,1.75 89,1,2024-09-07 08:32:30:555,218367,218367,0,0,102448616929,1089537208,213355,4079,933,382,391866,0 89,2,2024-09-07 08:32:31:135,158719,158719,0,0,8527985,0,2910 89,3,2024-09-07 08:32:31:795,1,125,12,0,325,2693,125,0 90,0,2024-09-07 08:32:31:698,29961,0.4,30558,0.6,62895,0.4,81657,1.75 90,1,2024-09-07 08:32:30:598,219780,219780,0,0,102022753307,1074725154,217044,2578,158,382,391825,0 90,2,2024-09-07 08:32:31:407,157074,157074,0,0,9000036,0,3060 90,3,2024-09-07 08:32:30:937,1,125,6,1,200,1544,125,0 91,0,2024-09-07 08:32:30:929,31087,0.5,30086,0.6,62388,0.5,82485,1.75 91,1,2024-09-07 08:32:30:561,219439,219439,0,0,102812760913,1084622332,215992,2954,493,381,391914,0 91,2,2024-09-07 08:32:31:332,154685,154685,0,0,8429297,0,2445 91,3,2024-09-07 08:32:30:605,1,125,3,0,155,1535,125,0 92,0,2024-09-07 08:32:31:456,32657,0.7,33403,0.8,63846,0.8,86818,1.75 92,1,2024-09-07 08:32:30:588,219622,219622,0,0,102616192815,1074404901,217710,1517,395,382,391717,0 92,2,2024-09-07 08:32:31:355,160548,160548,0,0,6760822,0,2279 92,3,2024-09-07 08:32:31:020,1,125,4,0,68,1190,125,0 93,0,2024-09-07 08:32:30:999,30814,0.5,31544,0.7,60466,0.5,81716,1.75 93,1,2024-09-07 08:32:30:810,219653,219653,0,0,103114775229,1079834360,217232,1975,446,366,391689,0 93,2,2024-09-07 08:32:30:936,158666,158666,0,0,6850552,0,2509 93,3,2024-09-07 08:32:31:408,1,125,8,0,143,1340,125,0 94,0,2024-09-07 08:32:31:615,30813,0.3,31108,0.5,61622,0.3,81433,1.75 94,1,2024-09-07 08:32:30:565,219708,219708,0,0,102742134237,1077757210,217602,2027,79,381,391850,0 94,2,2024-09-07 08:32:30:762,156962,156962,0,0,6799330,0,2443 94,3,2024-09-07 08:32:31:688,1,125,10,0,264,2112,125,0 95,0,2024-09-07 08:32:31:349,31188,0.4,31105,0.6,62412,0.3,82440,1.75 95,1,2024-09-07 08:32:30:853,219946,219946,0,0,102460389496,1066078085,218598,1268,80,367,391600,0 95,2,2024-09-07 08:32:31:021,157623,157623,0,0,7094793,0,3308 95,3,2024-09-07 08:32:31:715,1,125,6,0,307,2037,125,0 96,0,2024-09-07 08:32:31:033,31998,0.9,32104,0.8,64062,1.1,85266,2.00 96,1,2024-09-07 08:32:31:587,219171,219171,0,0,101648712008,1070174910,216590,1940,641,385,391894,0 96,2,2024-09-07 08:32:31:275,158399,158399,0,0,7605465,0,4042 96,3,2024-09-07 08:32:31:140,1,125,151,0,188,1534,125,0 97,0,2024-09-07 08:32:31:319,30803,0.5,30843,0.6,61692,0.6,81658,1.75 97,1,2024-09-07 08:32:30:765,219537,219537,0,0,102483639442,1071771805,216788,2202,547,367,392140,0 97,2,2024-09-07 08:32:30:610,157531,157531,0,0,7001635,0,3036 97,3,2024-09-07 08:32:30:584,1,125,3,1,165,1571,125,0 98,0,2024-09-07 08:32:31:732,31585,0.3,31410,0.4,63308,0.2,84057,1.50 98,1,2024-09-07 08:32:30:583,219638,219638,0,0,103081961472,1078789751,217865,1689,84,382,391997,0 98,2,2024-09-07 08:32:30:769,158746,158746,0,0,7244957,0,3080 98,3,2024-09-07 08:32:30:704,1,125,2,1,840,2813,125,0 99,0,2024-09-07 08:32:31:462,31273,0.3,31382,0.5,62695,0.3,83587,1.75 99,1,2024-09-07 08:32:31:722,220010,220010,0,0,102308381003,1072340250,217517,1843,650,381,391744,0 99,2,2024-09-07 08:32:31:418,159609,159609,0,0,7061980,0,1973 99,3,2024-09-07 08:32:30:587,1,125,20,0,129,933,125,0 100,0,2024-09-07 08:32:31:473,31658,1.8,31737,1.8,63424,3.2,84960,3.00 100,1,2024-09-07 08:32:30:556,218053,218053,0,0,101978310871,1090325728,212899,4225,929,381,391989,0 100,2,2024-09-07 08:32:31:818,156282,156271,11,0,8213172,0,5417 100,3,2024-09-07 08:32:31:733,1,125,40,0,443,2686,125,0 101,0,2024-09-07 08:32:31:746,31715,2.2,30843,1.4,60665,2.0,83618,3.00 101,1,2024-09-07 08:32:30:554,218474,218474,0,0,102470558378,1090024774,213767,3348,1359,368,391709,0 101,2,2024-09-07 08:32:31:783,157732,157732,0,0,8930580,0,4644 101,3,2024-09-07 08:32:30:941,1,125,25,0,448,1841,125,0 102,0,2024-09-07 08:32:30:985,30920,0.7,32055,0.8,64339,0.6,84421,2.00 102,1,2024-09-07 08:32:31:148,218923,218923,0,0,102495530791,1083099632,215518,2868,537,369,391831,0 102,2,2024-09-07 08:32:31:737,159193,159139,54,0,8825729,0,6768 102,3,2024-09-07 08:32:31:615,1,125,1,0,410,1579,125,0 103,0,2024-09-07 08:32:31:650,31923,0.5,31883,0.7,60336,0.4,82667,1.75 103,1,2024-09-07 08:32:31:630,218173,218173,0,0,103220673848,1093674983,214125,2855,1193,381,391829,0 103,2,2024-09-07 08:32:30:597,157842,157842,0,0,7804162,0,2104 103,3,2024-09-07 08:32:30:762,1,125,12,0,916,2638,125,0 104,0,2024-09-07 08:32:31:033,31224,1.1,31345,1.1,61790,1.1,84300,2.25 104,1,2024-09-07 08:32:31:608,219445,219445,0,0,102285305555,1083245213,215053,3531,861,366,391948,0 104,2,2024-09-07 08:32:31:695,154477,154477,0,0,7843548,0,3941 104,3,2024-09-07 08:32:31:423,1,125,1,1,1245,4762,125,0 105,0,2024-09-07 08:32:31:034,31688,1.0,30704,1.2,64037,1.5,85397,3.25 105,1,2024-09-07 08:32:30:557,219621,219621,0,0,102724850114,1083143611,215709,3142,770,367,391797,0 105,2,2024-09-07 08:32:31:340,158488,158488,0,0,7588715,0,3509 105,3,2024-09-07 08:32:31:306,1,125,12,1,399,2103,125,0 106,0,2024-09-07 08:32:30:965,29675,0.6,30367,0.9,61844,0.6,81105,2.00 106,1,2024-09-07 08:32:31:753,219269,219269,0,0,102055162250,1073985174,216012,2906,351,369,391767,0 106,2,2024-09-07 08:32:30:779,158373,158373,0,0,7696717,0,2795 106,3,2024-09-07 08:32:30:677,1,125,4,0,201,1589,125,0 107,0,2024-09-07 08:32:31:127,31094,0.4,30920,0.6,61563,0.4,82006,1.75 107,1,2024-09-07 08:32:30:606,219008,219008,0,0,101891440337,1075627868,216229,2555,224,381,392234,0 107,2,2024-09-07 08:32:31:298,156975,156974,1,0,7832094,0,5024 107,3,2024-09-07 08:32:31:755,1,125,27,0,353,1687,125,0 108,0,2024-09-07 08:32:31:770,30514,0.4,30648,0.7,60690,0.4,80638,1.75 108,1,2024-09-07 08:32:31:308,219522,219522,0,0,102536555362,1074240994,217225,2011,286,368,391857,0 108,2,2024-09-07 08:32:31:755,156006,156006,0,0,7448690,0,2647 108,3,2024-09-07 08:32:31:342,1,125,1,0,272,1816,125,0 109,0,2024-09-07 08:32:31:756,31909,0.5,31793,0.7,63321,0.5,85659,1.75 109,1,2024-09-07 08:32:30:591,219126,219126,0,0,103046259111,1085071360,216725,1939,462,383,391812,0 109,2,2024-09-07 08:32:30:921,158109,158109,0,0,7593888,0,3617 109,3,2024-09-07 08:32:31:150,1,125,15,1,249,1861,125,0 110,0,2024-09-07 08:32:31:761,30622,0.5,29755,0.8,62235,0.5,81252,1.75 110,1,2024-09-07 08:32:31:656,220061,220061,0,0,102698466958,1073250130,217702,1659,700,370,391667,0 110,2,2024-09-07 08:32:31:310,158525,158525,0,0,6735365,0,2915 110,3,2024-09-07 08:32:30:693,1,125,68,0,406,1944,125,0 111,0,2024-09-07 08:32:31:427,31457,0.2,30977,0.4,61991,0.2,83071,1.50 111,1,2024-09-07 08:32:30:999,220222,220222,0,0,103788496861,1079842795,218573,1317,332,382,391690,0 111,2,2024-09-07 08:32:31:129,157569,157569,0,0,7512171,0,2763 111,3,2024-09-07 08:32:30:913,1,125,8,0,300,1939,125,0 112,0,2024-09-07 08:32:30:915,31657,0.3,31621,0.5,63170,0.3,84231,1.50 112,1,2024-09-07 08:32:30:827,219815,219815,0,0,103125680295,1077748710,217492,1898,425,381,391580,0 112,2,2024-09-07 08:32:31:135,157956,157955,1,0,7777918,0,5036 112,3,2024-09-07 08:32:30:592,1,125,47,0,282,1587,125,0 113,0,2024-09-07 08:32:30:869,31149,0.5,30929,0.6,62693,0.4,84151,1.75 113,1,2024-09-07 08:32:31:686,220117,220117,0,0,104030264240,1081948938,217711,1918,488,368,391661,0 113,2,2024-09-07 08:32:31:307,158565,158565,0,0,6731181,0,3813 113,3,2024-09-07 08:32:30:685,1,125,19,0,288,2089,125,0 114,0,2024-09-07 08:32:30:877,32393,0.8,32893,0.8,64367,0.6,86427,2.00 114,1,2024-09-07 08:32:30:716,219495,219495,0,0,103045229277,1086240361,215394,2639,1462,381,391534,0 114,2,2024-09-07 08:32:30:873,157854,157854,0,0,7012779,0,3925 114,3,2024-09-07 08:32:31:278,1,125,1,0,395,1901,125,0 115,0,2024-09-07 08:32:30:560,31451,0.3,31776,0.4,63593,0.2,84358,1.50 115,1,2024-09-07 08:32:30:584,219878,219878,0,0,103216436450,1083447906,216239,2818,821,382,391602,0 115,2,2024-09-07 08:32:31:126,159333,159333,0,0,6543005,0,2152 115,3,2024-09-07 08:32:31:007,1,125,1,0,159,1030,125,0 116,0,2024-09-07 08:32:31:721,31200,0.7,30752,0.8,61944,0.8,82571,2.00 116,1,2024-09-07 08:32:30:804,218087,218087,0,0,102704734422,1097443376,213692,2773,1622,382,391677,0 116,2,2024-09-07 08:32:31:756,157324,157324,0,0,9208835,0,3529 116,3,2024-09-07 08:32:30:912,1,125,1,0,252,1994,125,0 117,0,2024-09-07 08:32:31:034,31053,1.5,31227,1.2,61906,2.2,83418,2.00 117,1,2024-09-07 08:32:31:596,219435,219435,0,0,102458221082,1080134883,216102,2932,401,370,392033,0 117,2,2024-09-07 08:32:31:123,156799,156799,0,0,7073736,0,3700 117,3,2024-09-07 08:32:31:089,1,125,26,0,490,2984,125,0 118,0,2024-09-07 08:32:31:770,30910,0.8,31736,0.9,64725,0.9,84550,2.25 118,1,2024-09-07 08:32:30:585,218914,218914,0,0,102101573560,1084201435,214679,3046,1189,368,391736,0 118,2,2024-09-07 08:32:31:587,158651,158651,0,0,8277054,0,2781 118,3,2024-09-07 08:32:31:764,1,125,13,0,235,1944,125,0 119,0,2024-09-07 08:32:31:355,30649,0.9,30809,0.9,62510,1.2,82552,2.00 119,1,2024-09-07 08:32:30:552,219703,219703,0,0,103389558814,1083593665,217378,2041,284,370,391641,0 119,2,2024-09-07 08:32:31:263,157731,157731,0,0,7682555,0,3526 119,3,2024-09-07 08:32:31:327,1,125,17,0,443,2591,125,0 120,0,2024-09-07 08:32:31:547,30528,0.5,30613,0.8,61080,0.5,81646,2.00 120,1,2024-09-07 08:32:30:911,219627,219627,0,0,102593196081,1079904108,216976,2417,234,368,391961,0 120,2,2024-09-07 08:32:30:770,157236,157235,1,0,9390647,0,5281 120,3,2024-09-07 08:32:31:290,1,125,33,0,241,1900,125,0 121,0,2024-09-07 08:32:31:726,30423,1.6,30897,1.2,61497,2.6,82354,2.25 121,1,2024-09-07 08:32:31:659,219796,219796,0,0,102648751989,1076914687,217270,2243,283,367,391840,0 121,2,2024-09-07 08:32:31:126,154886,154886,0,0,8472934,0,4127 121,3,2024-09-07 08:32:30:727,1,125,13,0,159,1568,125,0 122,0,2024-09-07 08:32:31:771,32033,1.3,31119,1.3,65117,1.5,86856,2.25 122,1,2024-09-07 08:32:30:868,218865,218865,0,0,102504824833,1081113482,215070,3134,661,366,392130,0 122,2,2024-09-07 08:32:31:320,159025,159025,0,0,9003705,0,3364 122,3,2024-09-07 08:32:30:605,1,125,21,0,320,2932,125,0 123,0,2024-09-07 08:32:30:972,30663,1.0,29823,0.9,62340,1.2,81459,2.25 123,1,2024-09-07 08:32:30:579,219963,219963,0,0,103046304418,1090158350,215321,3966,676,369,391823,0 123,2,2024-09-07 08:32:31:029,157376,157375,1,0,7878480,0,5215 123,3,2024-09-07 08:32:31:141,1,125,11,1,168,1804,125,0 124,0,2024-09-07 08:32:30:946,31698,0.3,31737,0.5,59863,0.2,81749,1.75 124,1,2024-09-07 08:32:31:022,219567,219567,0,0,102703197359,1069588770,217760,1454,353,367,392178,0 124,2,2024-09-07 08:32:31:009,157777,157777,0,0,6721472,0,3101 124,3,2024-09-07 08:32:30:760,1,125,9,0,490,2072,125,0 125,0,2024-09-07 08:32:31:487,31233,0.5,31104,0.6,62474,0.5,82454,1.75 125,1,2024-09-07 08:32:30:870,219054,219054,0,0,103378193692,1082789534,216781,1998,275,384,391702,0 125,2,2024-09-07 08:32:31:126,157855,157855,0,0,6765182,0,2180 125,3,2024-09-07 08:32:31:143,1,125,9,1,284,1763,125,0 126,0,2024-09-07 08:32:31:459,32070,0.8,32910,0.8,62855,0.9,85847,2.00 126,1,2024-09-07 08:32:30:571,220184,220184,0,0,103701206886,1077957681,218695,1413,76,365,391987,0 126,2,2024-09-07 08:32:30:610,159186,159186,0,0,6895825,0,3186 126,3,2024-09-07 08:32:30:907,1,125,6,0,136,1789,125,0 127,0,2024-09-07 08:32:31:615,30605,0.5,30885,0.6,61700,0.5,81734,1.75 127,1,2024-09-07 08:32:30:580,219859,219859,0,0,102662038679,1067076165,218109,1715,35,365,391816,0 127,2,2024-09-07 08:32:30:647,158097,158097,0,0,6250375,0,1803 127,3,2024-09-07 08:32:31:274,1,125,7,0,99,929,125,0 128,0,2024-09-07 08:32:31:667,31780,0.3,31672,0.5,63098,0.2,84025,1.50 128,1,2024-09-07 08:32:31:624,220087,220087,0,0,103663295165,1076741694,219155,861,71,367,391680,0 128,2,2024-09-07 08:32:31:383,157414,157414,0,0,7043827,0,2107 128,3,2024-09-07 08:32:30:768,1,125,1,0,333,2085,125,0 129,0,2024-09-07 08:32:31:005,31512,0.3,31419,0.5,62562,0.3,83460,1.75 129,1,2024-09-07 08:32:30:582,218912,218912,0,0,102726827032,1081754112,216012,2510,390,379,391835,0 129,2,2024-09-07 08:32:30:688,158206,158206,0,0,7311403,0,4031 129,3,2024-09-07 08:32:30:688,1,125,8,1,173,1709,125,0 130,0,2024-09-07 08:32:31:755,32259,1.1,31958,0.9,64279,1.5,85967,2.00 130,1,2024-09-07 08:32:30:594,219563,219563,0,0,102757769826,1075657922,217521,1973,69,381,391825,0 130,2,2024-09-07 08:32:31:126,156779,156779,0,0,6863691,0,4067 130,3,2024-09-07 08:32:31:300,1,125,4,0,207,1198,125,0 131,0,2024-09-07 08:32:31:955,31315,0.7,31371,0.8,63552,0.9,84564,2.00 131,1,2024-09-07 08:32:31:823,220522,220522,0,0,102618197931,1072092983,219075,1241,206,384,391865,0 131,2,2024-09-07 08:32:30:570,158780,158780,0,0,6575411,0,2415 131,3,2024-09-07 08:32:31:699,1,125,10,0,392,1577,125,0 132,0,2024-09-07 08:32:31:479,31281,0.5,31782,0.8,63640,0.5,84787,2.00 132,1,2024-09-07 08:32:30:585,218172,218172,0,0,101866830867,1086343018,212854,4291,1027,381,391731,0 132,2,2024-09-07 08:32:30:707,158135,158135,0,0,9710109,0,4606 132,3,2024-09-07 08:32:31:691,1,125,319,0,356,2616,125,0 133,0,2024-09-07 08:32:31:530,30280,0.4,30906,0.6,63461,0.4,81996,2.00 133,1,2024-09-07 08:32:30:591,217766,217766,0,0,102247394482,1087575836,213427,3702,637,383,391755,0 133,2,2024-09-07 08:32:31:088,157849,157849,0,0,9336691,0,4315 133,3,2024-09-07 08:32:31:305,1,125,8,1,187,1201,125,0 134,0,2024-09-07 08:32:30:954,31404,0.7,31260,0.9,63111,0.7,84053,2.00 134,1,2024-09-07 08:32:30:588,218710,218710,0,0,102646204083,1082307234,214816,2720,1174,366,391718,0 134,2,2024-09-07 08:32:31:756,154879,154879,0,0,7465218,0,3096 134,3,2024-09-07 08:32:30:754,1,125,19,0,739,2755,125,0 135,0,2024-09-07 08:32:31:148,31163,1.2,31081,1.2,65637,1.3,84829,2.50 135,1,2024-09-07 08:32:31:586,218650,218650,0,0,102611095714,1086159248,214806,3144,700,380,391725,0 135,2,2024-09-07 08:32:30:690,159388,159388,0,0,8400657,0,3981 135,3,2024-09-07 08:32:31:006,1,125,1,0,89,796,125,0 136,0,2024-09-07 08:32:31:632,30898,0.6,30697,0.8,61893,0.6,82163,2.00 136,1,2024-09-07 08:32:31:448,218897,218897,0,0,102610799012,1078382133,216035,2696,166,384,391641,0 136,2,2024-09-07 08:32:31:191,159744,159744,0,0,7556268,0,3506 136,3,2024-09-07 08:32:31:109,1,125,2,0,108,1131,125,0 137,0,2024-09-07 08:32:30:963,31721,0.5,30813,0.7,61151,0.5,82194,2.00 137,1,2024-09-07 08:32:30:584,219315,219315,0,0,103182356810,1082706039,216126,2944,245,367,391608,0 137,2,2024-09-07 08:32:31:719,156975,156975,0,0,9016129,0,3185 137,3,2024-09-07 08:32:30:770,1,125,9,1,227,1641,125,0 138,0,2024-09-07 08:32:31:817,29865,1.9,30023,1.3,60594,3.1,80633,2.50 138,1,2024-09-07 08:32:31:689,219880,219880,0,0,103636437938,1087109246,216972,2560,348,368,391954,0 138,2,2024-09-07 08:32:30:586,157250,157250,0,0,7271751,0,3263 138,3,2024-09-07 08:32:30:609,1,125,125,0,1160,2502,125,0 139,0,2024-09-07 08:32:31:375,30944,3.2,30988,1.8,62845,4.5,84568,3.25 139,1,2024-09-07 08:32:30:582,218689,218689,0,0,101964898734,1086822545,214043,3338,1308,381,391892,0 139,2,2024-09-07 08:32:30:693,157397,157397,0,0,8248433,0,3097 139,3,2024-09-07 08:32:31:671,1,125,3,0,244,1635,125,0 140,0,2024-09-07 08:32:31:617,30711,0.4,30587,0.6,61300,0.4,81334,1.75 140,1,2024-09-07 08:32:31:543,220545,220545,0,0,103618498448,1071711639,219132,1174,239,365,391606,0 140,2,2024-09-07 08:32:30:688,158861,158861,0,0,7210232,0,3388 140,3,2024-09-07 08:32:30:768,1,125,247,0,247,1213,125,0 141,0,2024-09-07 08:32:31:709,30967,0.2,32017,0.4,61455,0.1,83019,1.50 141,1,2024-09-07 08:32:30:863,220106,220106,0,0,103484757862,1077037794,218379,1375,352,379,391539,0 141,2,2024-09-07 08:32:31:686,157354,157354,0,0,6574242,0,2342 141,3,2024-09-07 08:32:31:048,1,125,56,0,147,1124,125,0 142,0,2024-09-07 08:32:31:320,31933,0.3,31691,0.5,62901,0.3,84371,1.75 142,1,2024-09-07 08:32:30:592,219975,219975,0,0,103269965463,1077819833,218825,1016,134,384,391649,0 142,2,2024-09-07 08:32:31:307,156996,156964,32,0,8067816,0,6028 142,3,2024-09-07 08:32:31:746,1,125,2,0,484,2000,125,0 143,0,2024-09-07 08:32:31:474,31336,0.8,31405,0.8,63149,0.9,84106,2.00 143,1,2024-09-07 08:32:30:579,219728,219728,0,0,102701062186,1070027183,217706,1981,41,367,391619,0 143,2,2024-09-07 08:32:30:771,157452,157452,0,0,7088948,0,2669 143,3,2024-09-07 08:32:31:148,1,125,46,0,303,2252,125,0 144,0,2024-09-07 08:32:31:566,30836,1.2,31792,1.9,64523,1.5,85064,2.50 144,1,2024-09-07 08:32:30:575,218795,218795,0,0,102375850194,1079252657,216528,2065,202,381,391649,0 144,2,2024-09-07 08:32:31:761,158443,158443,0,0,7011922,0,3473 144,3,2024-09-07 08:32:31:740,1,125,10,0,249,1874,125,0 145,0,2024-09-07 08:32:31:374,30448,0.6,30449,0.9,64609,0.5,83976,2.25 145,1,2024-09-07 08:32:30:566,217789,217789,0,0,102424388503,1086835695,213584,3473,732,383,391615,0 145,2,2024-09-07 08:32:31:430,158517,158517,0,0,8002939,0,3903 145,3,2024-09-07 08:32:30:899,1,125,12,0,151,1576,125,0 146,0,2024-09-07 08:32:31:639,30902,0.5,30746,0.7,62130,0.5,82151,2.25 146,1,2024-09-07 08:32:31:588,220137,220137,0,0,103062908018,1085913941,216026,3315,796,368,391600,0 146,2,2024-09-07 08:32:31:708,158292,158292,0,0,7679887,0,2498 146,3,2024-09-07 08:32:31:302,1,125,70,0,1520,4586,125,0 147,0,2024-09-07 08:32:31:729,31129,1.7,31064,1.3,61501,2.6,83854,2.75 147,1,2024-09-07 08:32:31:396,220498,220498,0,0,103169588946,1075888022,218200,1907,391,368,391791,0 147,2,2024-09-07 08:32:31:015,156159,156159,0,0,6921762,0,2789 147,3,2024-09-07 08:32:30:919,1,125,47,0,371,1697,125,0 0,0,2024-09-07 08:32:41:710,29897,0.5,29981,0.7,63381,0.5,82117,1.75 0,1,2024-09-07 08:32:40:803,221074,221074,0,0,103996734699,1092777172,219357,1586,131,372,391692,0 0,2,2024-09-07 08:32:41:074,159292,159292,0,0,7706443,0,4480 0,3,2024-09-07 08:32:40:974,1,126,1,0,247,1856,126,0 1,0,2024-09-07 08:32:41:787,31042,2.0,30789,1.5,61961,2.7,83064,2.50 1,1,2024-09-07 08:32:40:557,220213,220213,0,0,102980543169,1087474922,216798,2553,862,372,391857,0 1,2,2024-09-07 08:32:40:642,156252,156252,0,0,6833084,0,3267 1,3,2024-09-07 08:32:41:302,1,126,8,0,262,1700,126,0 2,0,2024-09-07 08:32:41:603,32416,1.0,32673,1.1,64797,1.4,87114,2.25 2,1,2024-09-07 08:32:40:862,220910,220910,0,0,103742597155,1082560032,219499,1205,206,380,391558,0 2,2,2024-09-07 08:32:41:267,159852,159852,0,0,7553121,0,3594 2,3,2024-09-07 08:32:40:693,1,126,1,0,357,1568,126,0 3,0,2024-09-07 08:32:41:764,30515,0.6,30582,0.8,61275,0.6,81048,2.00 3,1,2024-09-07 08:32:41:623,220835,220835,0,0,103526682587,1086689691,217733,2678,424,382,391516,0 3,2,2024-09-07 08:32:41:142,159962,159939,23,0,7683573,0,5851 3,3,2024-09-07 08:32:41:757,1,126,2,0,103,901,126,0 4,0,2024-09-07 08:32:41:783,30139,0.3,30782,0.5,62608,0.2,81969,1.75 4,1,2024-09-07 08:32:40:591,221286,221286,0,0,103229725863,1090310160,218579,2187,520,371,391846,0 4,2,2024-09-07 08:32:41:018,157459,157459,0,0,8974766,0,4528 4,3,2024-09-07 08:32:41:036,1,126,13,0,287,1999,126,0 5,0,2024-09-07 08:32:41:443,31215,0.6,31045,0.7,62319,0.7,82326,2.00 5,1,2024-09-07 08:32:40:759,220349,220349,0,0,104154890492,1103697779,216311,3053,985,368,392005,0 5,2,2024-09-07 08:32:41:844,158118,158118,0,0,7704195,0,2259 5,3,2024-09-07 08:32:41:747,1,126,12,0,238,2151,126,0 6,0,2024-09-07 08:32:40:933,32650,1.5,32237,1.2,64115,2.1,86558,2.75 6,1,2024-09-07 08:32:40:746,221068,221068,0,0,103983415062,1094386613,217874,2547,647,381,391603,0 6,2,2024-09-07 08:32:41:121,160115,160115,0,0,8113534,0,4816 6,3,2024-09-07 08:32:41:278,1,126,10,0,340,2107,126,0 7,0,2024-09-07 08:32:41:533,30238,0.6,30258,0.9,60812,0.6,80922,2.00 7,1,2024-09-07 08:32:40:852,221457,221457,0,0,103684737139,1090418861,218852,2475,130,382,391664,0 7,2,2024-09-07 08:32:40:770,158627,158627,0,0,7092901,0,2981 7,3,2024-09-07 08:32:40:860,1,126,31,0,305,1399,126,0 8,0,2024-09-07 08:32:41:332,31620,0.3,31853,0.4,63126,0.2,84482,1.50 8,1,2024-09-07 08:32:41:016,220721,220721,0,0,103866981339,1101705978,216174,3092,1455,368,391956,0 8,2,2024-09-07 08:32:40:794,157454,157454,0,0,8311919,0,2986 8,3,2024-09-07 08:32:40:586,1,126,216,0,357,2146,126,0 9,0,2024-09-07 08:32:41:115,31594,0.4,30796,0.5,64191,0.3,84305,1.75 9,1,2024-09-07 08:32:40:587,220530,220530,0,0,104082757627,1104142957,216132,3275,1123,370,391753,0 9,2,2024-09-07 08:32:41:095,159382,159382,0,0,8130339,0,3360 9,3,2024-09-07 08:32:41:754,1,126,10,0,496,2027,126,0 10,0,2024-09-07 08:32:41:626,32330,0.5,32252,0.7,64574,0.4,86156,1.75 10,1,2024-09-07 08:32:40:583,221227,221227,0,0,103483372755,1090168602,217352,3345,530,381,391741,0 10,2,2024-09-07 08:32:40:765,158454,158454,0,0,7913879,0,2940 10,3,2024-09-07 08:32:40:871,1,126,0,0,136,926,126,0 11,0,2024-09-07 08:32:41:009,31102,0.5,30444,0.8,63762,0.5,85224,2.00 11,1,2024-09-07 08:32:40:571,220708,220708,0,0,103752266773,1095284994,216172,3374,1162,384,391537,0 11,2,2024-09-07 08:32:41:122,159130,159130,0,0,7490621,0,3411 11,3,2024-09-07 08:32:41:302,1,126,11,0,720,2750,126,0 12,0,2024-09-07 08:32:40:970,32296,0.3,32109,0.5,64391,0.3,85668,1.50 12,1,2024-09-07 08:32:40:949,221077,221077,0,0,103552661323,1086872593,218267,2400,410,371,391837,0 12,2,2024-09-07 08:32:41:541,160809,160809,0,0,8020175,0,3469 12,3,2024-09-07 08:32:41:074,1,126,11,0,358,2367,126,0 13,0,2024-09-07 08:32:41:332,31221,0.4,31360,0.5,62504,0.4,82958,1.75 13,1,2024-09-07 08:32:41:528,221680,221680,0,0,103726478318,1090770296,219747,1557,376,384,391717,0 13,2,2024-09-07 08:32:40:602,160087,160087,0,0,7252095,0,3287 13,3,2024-09-07 08:32:41:766,1,126,73,1,416,2420,126,0 14,0,2024-09-07 08:32:40:568,31848,0.6,31929,0.7,63305,0.5,84571,2.00 14,1,2024-09-07 08:32:41:591,222866,222866,0,0,103843915204,1080475583,220911,1793,162,364,391571,0 14,2,2024-09-07 08:32:40:764,156267,156267,0,0,7231017,0,2896 14,3,2024-09-07 08:32:41:120,1,126,1,0,1168,2706,126,0 15,0,2024-09-07 08:32:41:569,32367,0.6,32263,0.8,64738,0.6,86415,2.00 15,1,2024-09-07 08:32:41:611,220985,220985,0,0,103211720080,1076734881,219509,1416,60,381,391536,0 15,2,2024-09-07 08:32:40:998,160872,160872,0,0,6092129,0,3043 15,3,2024-09-07 08:32:41:406,1,126,1,0,1126,4038,126,0 16,0,2024-09-07 08:32:40:975,30754,0.6,31189,0.8,61669,0.6,82450,2.25 16,1,2024-09-07 08:32:40:666,221388,221388,0,0,103500467921,1087732625,219201,1928,259,372,391756,0 16,2,2024-09-07 08:32:41:443,159272,159272,0,0,8469206,0,4719 16,3,2024-09-07 08:32:41:158,1,126,10,0,231,1799,126,0 17,0,2024-09-07 08:32:41:768,32024,0.5,31215,0.7,61166,0.4,82352,2.00 17,1,2024-09-07 08:32:40:569,220521,220521,0,0,103106463637,1091225236,217202,2648,671,369,391688,0 17,2,2024-09-07 08:32:41:681,160110,160110,0,0,7673667,0,2857 17,3,2024-09-07 08:32:40:577,1,126,17,0,268,2114,126,0 18,0,2024-09-07 08:32:40:944,29758,1.1,30196,1.1,60538,1.3,80351,2.50 18,1,2024-09-07 08:32:41:645,220890,220890,0,0,103339351727,1077586337,219012,1644,234,368,391564,0 18,2,2024-09-07 08:32:41:755,157069,157069,0,0,7539468,0,3541 18,3,2024-09-07 08:32:40:908,1,126,0,0,163,1531,126,0 19,0,2024-09-07 08:32:41:570,31824,1.2,32042,1.1,63348,1.4,85092,3.25 19,1,2024-09-07 08:32:40:566,221496,221496,0,0,104225358985,1092050062,218040,2764,692,367,391777,0 19,2,2024-09-07 08:32:41:755,160985,160985,0,0,6810058,0,3988 19,3,2024-09-07 08:32:41:133,1,126,6,0,524,1409,126,0 20,0,2024-09-07 08:32:41:364,30684,0.8,30652,0.9,61199,1.0,81429,2.50 20,1,2024-09-07 08:32:40:567,220803,220803,0,0,103226994066,1089420573,217412,3002,389,369,391822,0 20,2,2024-09-07 08:32:40:960,159380,159380,0,0,7552490,0,3721 20,3,2024-09-07 08:32:40:589,1,126,7,0,414,2687,126,0 21,0,2024-09-07 08:32:41:152,31643,0.4,31565,0.6,62883,0.3,83022,1.75 21,1,2024-09-07 08:32:41:551,220246,220246,0,0,102776381442,1088750455,216749,2967,530,368,391962,0 21,2,2024-09-07 08:32:41:076,158273,158273,0,0,8410114,0,3747 21,3,2024-09-07 08:32:41:413,1,126,8,0,103,1735,126,0 22,0,2024-09-07 08:32:41:732,31485,0.9,31643,1.0,62774,1.0,83791,2.25 22,1,2024-09-07 08:32:41:023,220550,220550,0,0,103505800598,1099894349,215225,3817,1508,382,391667,0 22,2,2024-09-07 08:32:40:764,158991,158991,0,0,7261292,0,3134 22,3,2024-09-07 08:32:41:069,1,126,4,0,228,1489,126,0 23,0,2024-09-07 08:32:41:383,31386,1.1,31659,1.0,63544,1.4,84775,2.50 23,1,2024-09-07 08:32:41:008,221644,221644,0,0,103866472553,1094440381,217623,2539,1482,365,391549,0 23,2,2024-09-07 08:32:41:098,158978,158978,0,0,7458357,0,3010 23,3,2024-09-07 08:32:41:757,1,126,1,0,645,1713,126,0 24,0,2024-09-07 08:32:40:850,32741,0.6,32685,0.7,65405,0.7,86687,1.75 24,1,2024-09-07 08:32:40:580,221099,221099,0,0,103512743830,1086896768,218619,1715,765,369,391640,0 24,2,2024-09-07 08:32:41:092,158548,158548,0,0,8375487,0,2942 24,3,2024-09-07 08:32:41:688,1,126,2,0,234,1612,126,0 25,0,2024-09-07 08:32:41:375,32728,0.4,31903,0.6,62527,0.3,85415,1.75 25,1,2024-09-07 08:32:40:558,220796,220796,0,0,103223186852,1088893853,217338,2917,541,371,391788,0 25,2,2024-09-07 08:32:41:643,158563,158563,0,0,8609702,0,3978 25,3,2024-09-07 08:32:41:000,1,126,10,0,158,1461,126,0 26,0,2024-09-07 08:32:41:726,30876,0.4,30206,0.6,63486,0.3,83024,1.75 26,1,2024-09-07 08:32:41:544,221640,221640,0,0,103486786494,1091432971,217521,3275,844,381,391564,0 26,2,2024-09-07 08:32:40:861,159542,159542,0,0,7821448,0,2809 26,3,2024-09-07 08:32:41:713,1,126,11,0,796,1901,126,0 27,0,2024-09-07 08:32:41:736,31765,0.6,32027,0.7,63354,0.6,85268,1.75 27,1,2024-09-07 08:32:41:681,222049,222049,0,0,104005905846,1083291104,220278,1448,323,381,391539,0 27,2,2024-09-07 08:32:40:870,156097,156097,0,0,8300162,0,3409 27,3,2024-09-07 08:32:41:015,1,126,77,0,564,1683,126,0 28,0,2024-09-07 08:32:41:412,31773,0.6,32071,0.7,64301,0.7,85657,2.25 28,1,2024-09-07 08:32:40:805,221568,221568,0,0,104349827306,1088948433,219926,1431,211,383,391646,0 28,2,2024-09-07 08:32:41:764,160349,160349,0,0,6403454,0,2915 28,3,2024-09-07 08:32:41:776,1,126,6,0,502,1654,126,0 29,0,2024-09-07 08:32:41:364,32059,0.4,31237,0.6,61314,0.3,84194,1.75 29,1,2024-09-07 08:32:41:568,222570,222570,0,0,103410698245,1075091458,220444,1595,531,369,391621,0 29,2,2024-09-07 08:32:40:865,160530,160530,0,0,6279226,0,4018 29,3,2024-09-07 08:32:40:980,1,126,10,0,105,1057,126,0 30,0,2024-09-07 08:32:41:464,30782,0.7,29935,0.8,62680,0.6,82124,2.25 30,1,2024-09-07 08:32:40:571,221799,221799,0,0,103876539499,1083156920,219926,1595,278,382,391672,0 30,2,2024-09-07 08:32:41:275,160248,160248,0,0,6461979,0,3161 30,3,2024-09-07 08:32:40:581,1,126,5,0,195,937,126,0 31,0,2024-09-07 08:32:41:765,30651,0.8,30744,0.9,62320,0.8,82919,2.00 31,1,2024-09-07 08:32:40:564,222983,222983,0,0,104610022737,1073970479,222366,551,66,356,391553,0 31,2,2024-09-07 08:32:41:275,156457,156457,0,0,7904978,0,3525 31,3,2024-09-07 08:32:41:707,1,126,13,0,220,1109,126,0 32,0,2024-09-07 08:32:41:434,32649,0.6,33024,0.8,65768,0.6,87909,1.75 32,1,2024-09-07 08:32:40:805,222265,222265,0,0,103960750391,1083891955,220527,1463,275,382,391595,0 32,2,2024-09-07 08:32:40:938,160681,160681,0,0,6626326,0,3155 32,3,2024-09-07 08:32:41:015,1,126,7,0,227,1367,126,0 33,0,2024-09-07 08:32:41:499,31005,0.4,30622,0.6,61877,0.3,81961,1.75 33,1,2024-09-07 08:32:40:575,222206,222206,0,0,104166493770,1083528954,220068,1966,172,369,391730,0 33,2,2024-09-07 08:32:40:759,160092,160059,33,0,8589935,0,7012 33,3,2024-09-07 08:32:40:895,1,126,8,0,329,1562,126,0 34,0,2024-09-07 08:32:40:964,30873,0.3,31922,0.5,61226,0.2,81892,1.75 34,1,2024-09-07 08:32:41:051,221978,221978,0,0,104393308890,1078858794,221014,959,5,367,391562,0 34,2,2024-09-07 08:32:40:766,157944,157944,0,0,7267140,0,3255 34,3,2024-09-07 08:32:41:701,1,126,1,0,148,880,126,0 35,0,2024-09-07 08:32:40:871,30791,0.5,31145,0.6,62128,0.5,82439,1.75 35,1,2024-09-07 08:32:41:068,221271,221271,0,0,104105682472,1087331240,218497,2004,770,384,391589,0 35,2,2024-09-07 08:32:41:583,158101,158101,0,0,6829249,0,2653 35,3,2024-09-07 08:32:40:908,1,126,2,0,418,1838,126,0 36,0,2024-09-07 08:32:41:527,32181,1.6,32130,1.3,64952,2.0,86244,3.00 36,1,2024-09-07 08:32:40:584,220850,220850,0,0,103580152918,1093293474,216260,3304,1286,366,391759,0 36,2,2024-09-07 08:32:41:756,159251,159251,0,0,7970083,0,3303 36,3,2024-09-07 08:32:40:863,1,126,11,0,378,1349,126,0 37,0,2024-09-07 08:32:41:382,30488,0.6,30549,0.9,60841,0.6,81838,2.00 37,1,2024-09-07 08:32:40:569,220209,220202,0,7,102695695089,1089491900,215453,2732,2017,365,391518,0 37,2,2024-09-07 08:32:41:142,159057,159042,15,0,7978781,0,5815 37,3,2024-09-07 08:32:41:774,1,126,10,0,724,2352,126,0 38,0,2024-09-07 08:32:41:452,31580,0.4,30620,0.6,63911,0.4,84082,2.00 38,1,2024-09-07 08:32:41:614,221872,221872,0,0,103995895004,1092330160,218416,2892,564,368,391821,0 38,2,2024-09-07 08:32:40:760,158837,158790,47,0,9267034,0,6710 38,3,2024-09-07 08:32:40:997,1,126,1,0,603,1986,126,0 39,0,2024-09-07 08:32:41:764,32366,0.7,31638,0.8,61940,0.8,84611,2.00 39,1,2024-09-07 08:32:40:756,221175,221175,0,0,103580119342,1087583728,217429,2945,801,365,391524,0 39,2,2024-09-07 08:32:41:418,159596,159596,0,0,7146669,0,2689 39,3,2024-09-07 08:32:40:733,1,126,18,0,276,1902,126,0 40,0,2024-09-07 08:32:41:494,31552,1.2,32249,1.5,63870,1.6,85586,3.50 40,1,2024-09-07 08:32:40:584,221156,221156,0,0,102887460976,1083338670,216972,3417,767,369,391591,0 40,2,2024-09-07 08:32:41:303,158012,158011,1,0,8681492,0,5137 40,3,2024-09-07 08:32:41:151,1,126,1,0,181,1429,126,0 41,0,2024-09-07 08:32:41:054,31128,1.6,31976,1.6,61091,2.8,83806,4.25 41,1,2024-09-07 08:32:40:769,221473,221473,0,0,103652279035,1089966495,217629,3314,530,370,391742,0 41,2,2024-09-07 08:32:40:768,158730,158730,0,0,8386518,0,3356 41,3,2024-09-07 08:32:41:682,1,126,6,0,366,1781,126,0 42,0,2024-09-07 08:32:41:475,31436,0.6,31715,0.9,63162,0.5,83910,2.25 42,1,2024-09-07 08:32:41:452,220336,220336,0,0,103377295740,1090859581,216375,3109,852,380,391675,0 42,2,2024-09-07 08:32:41:133,159332,159332,0,0,8461251,0,3568 42,3,2024-09-07 08:32:41:023,1,126,1,0,100,902,126,0 43,0,2024-09-07 08:32:40:920,31152,0.8,30368,0.9,63240,0.8,83013,2.00 43,1,2024-09-07 08:32:40:576,220917,220917,0,0,103752812642,1085333558,218094,2071,752,366,391604,0 43,2,2024-09-07 08:32:41:750,160221,160221,0,0,7938672,0,3812 43,3,2024-09-07 08:32:41:752,1,126,1,0,325,1891,126,0 44,0,2024-09-07 08:32:40:890,31899,0.6,31769,0.8,63882,0.6,85149,2.00 44,1,2024-09-07 08:32:40:568,222112,222112,0,0,103486608601,1070901165,220650,1333,129,356,391809,0 44,2,2024-09-07 08:32:41:266,155881,155881,0,0,6228999,0,1877 44,3,2024-09-07 08:32:41:092,1,126,14,0,817,1571,126,0 45,0,2024-09-07 08:32:41:762,31952,0.7,31246,0.9,65614,0.8,86965,2.00 45,1,2024-09-07 08:32:41:006,221796,221796,0,0,103832731535,1078875445,220796,991,9,382,391917,0 45,2,2024-09-07 08:32:41:268,161005,161005,0,0,6864058,0,3596 45,3,2024-09-07 08:32:40:933,1,126,1,0,226,1215,126,0 46,0,2024-09-07 08:32:40:960,30722,0.4,30683,0.6,61576,0.3,81569,1.75 46,1,2024-09-07 08:32:40:575,222256,222256,0,0,103696018957,1074174448,220805,1345,106,366,391514,0 46,2,2024-09-07 08:32:40:593,160773,160773,0,0,6711472,0,2920 46,3,2024-09-07 08:32:41:131,1,126,3,0,363,1917,126,0 47,0,2024-09-07 08:32:41:110,30975,0.3,31215,0.5,62612,0.3,82083,1.75 47,1,2024-09-07 08:32:40:571,222254,222254,0,0,104087345920,1075830078,221243,1000,11,366,391605,0 47,2,2024-09-07 08:32:40:910,159963,159963,0,0,7062398,0,2558 47,3,2024-09-07 08:32:41:115,1,126,1,0,529,1484,126,0 48,0,2024-09-07 08:32:41:521,30661,0.3,30443,0.5,60943,0.3,80862,1.75 48,1,2024-09-07 08:32:41:028,221634,221634,0,0,104538039387,1090719009,219641,1813,180,384,391710,0 48,2,2024-09-07 08:32:40:702,157070,157070,0,0,6039116,0,2083 48,3,2024-09-07 08:32:40:758,1,126,1,0,339,1228,126,0 49,0,2024-09-07 08:32:41:719,32987,0.6,32309,0.7,63041,0.7,86749,1.75 49,1,2024-09-07 08:32:41:026,220903,220903,0,0,103114033776,1081358638,218171,1892,840,382,391583,0 49,2,2024-09-07 08:32:41:798,160768,160768,0,0,7081630,0,3900 49,3,2024-09-07 08:32:41:418,1,126,19,0,408,1680,126,0 50,0,2024-09-07 08:32:41:515,30771,0.4,30181,0.6,60602,0.3,81178,1.75 50,1,2024-09-07 08:32:41:021,222417,222417,0,0,104308139397,1086920852,220040,2068,309,368,391530,0 50,2,2024-09-07 08:32:41:070,159282,159282,0,0,6747661,0,2253 50,3,2024-09-07 08:32:41:291,1,126,4,0,335,1145,126,0 51,0,2024-09-07 08:32:41:694,32135,0.3,31521,0.4,61474,0.2,83434,1.75 51,1,2024-09-07 08:32:41:679,221626,221626,0,0,104737755026,1087406648,219675,1166,785,367,391637,0 51,2,2024-09-07 08:32:41:316,158491,158491,0,0,6088877,0,2448 51,3,2024-09-07 08:32:41:033,1,126,24,0,162,838,126,0 52,0,2024-09-07 08:32:41:419,31761,0.6,31876,0.8,63932,0.6,84330,2.25 52,1,2024-09-07 08:32:40:575,220546,220546,0,0,102985970990,1089475761,215829,3917,800,368,391722,0 52,2,2024-09-07 08:32:41:759,157361,157323,38,0,8796997,0,6742 52,3,2024-09-07 08:32:40:679,1,126,1,0,1782,2985,126,0 53,0,2024-09-07 08:32:41:750,31369,1.3,30486,1.2,63710,1.6,84281,3.25 53,1,2024-09-07 08:32:40:771,219545,219545,0,0,104154582506,1107339295,214109,3544,1892,367,391617,0 53,2,2024-09-07 08:32:41:306,159695,159695,0,0,6858963,0,2262 53,3,2024-09-07 08:32:40:707,1,126,9,0,133,1075,126,0 54,0,2024-09-07 08:32:41:631,31083,3.5,31515,1.6,62365,1.9,83761,4.50 54,1,2024-09-07 08:32:40:580,221436,221436,0,0,103773669385,1088840547,217879,3006,551,367,391532,0 54,2,2024-09-07 08:32:40:865,159015,159009,6,0,8142842,0,5382 54,3,2024-09-07 08:32:40:764,1,126,84,0,676,2756,126,0 55,0,2024-09-07 08:32:41:794,30690,0.5,31586,0.8,64126,0.5,83293,2.50 55,1,2024-09-07 08:32:40:764,220632,220632,0,0,103132607558,1086116292,215888,3959,785,367,391731,0 55,2,2024-09-07 08:32:40:736,158841,158841,0,0,8280954,0,3275 55,3,2024-09-07 08:32:40:674,1,126,174,0,304,1595,126,0 56,0,2024-09-07 08:32:41:589,31980,1.4,30195,1.2,62086,2.0,83323,2.50 56,1,2024-09-07 08:32:40:570,220724,220724,0,0,103661702199,1103852708,215710,4112,902,382,391678,0 56,2,2024-09-07 08:32:41:313,159336,159336,0,0,8852724,0,3567 56,3,2024-09-07 08:32:41:066,1,126,1,0,297,1612,126,0 57,0,2024-09-07 08:32:40:948,30999,2.2,30969,1.5,62078,3.3,83241,4.00 57,1,2024-09-07 08:32:40:986,220992,220992,0,0,102828348122,1079645540,218521,2301,170,368,391773,0 57,2,2024-09-07 08:32:41:341,157402,157402,0,0,8434251,0,3178 57,3,2024-09-07 08:32:41:742,1,126,8,0,359,2493,126,0 58,0,2024-09-07 08:32:40:579,31138,0.8,30342,1.0,63586,0.8,83677,2.50 58,1,2024-09-07 08:32:40:578,221873,221870,0,3,104021913096,1088743590,218891,2600,379,369,391516,3 58,2,2024-09-07 08:32:41:074,160010,160010,0,0,7762462,0,2549 58,3,2024-09-07 08:32:41:084,1,126,1,0,219,1092,126,0 59,0,2024-09-07 08:32:41:774,31322,0.9,30980,1.0,62216,0.9,82916,3.00 59,1,2024-09-07 08:32:40:828,221209,221209,0,0,103598084738,1087908185,217815,2472,922,369,391515,0 59,2,2024-09-07 08:32:40:591,160852,160852,0,0,7068720,0,2604 59,3,2024-09-07 08:32:41:750,1,126,1,0,1015,2701,126,0 60,0,2024-09-07 08:32:41:719,31230,0.4,31276,0.6,62015,0.4,82317,1.75 60,1,2024-09-07 08:32:40:786,223007,223007,0,0,103999349905,1080708699,221712,942,353,370,391761,0 60,2,2024-09-07 08:32:41:154,159365,159365,0,0,8054082,0,3811 60,3,2024-09-07 08:32:41:259,1,126,1,0,124,1303,126,0 61,0,2024-09-07 08:32:41:517,30835,1.5,30994,1.2,61910,2.3,83041,2.00 61,1,2024-09-07 08:32:40:770,221286,221286,0,0,104510207831,1098037368,218393,2356,537,382,391589,0 61,2,2024-09-07 08:32:41:121,157116,157116,0,0,6678631,0,2079 61,3,2024-09-07 08:32:41:695,1,126,7,0,199,1677,126,0 62,0,2024-09-07 08:32:41:716,32826,1.2,33633,1.0,64201,1.7,87656,2.25 62,1,2024-09-07 08:32:41:111,223064,223058,0,6,104718702632,1082295402,221637,1383,38,365,391715,6 62,2,2024-09-07 08:32:41:644,159224,159223,1,0,8253770,0,5555 62,3,2024-09-07 08:32:41:146,1,126,2,0,287,1067,126,0 63,0,2024-09-07 08:32:41:472,30646,0.6,30716,0.7,61448,0.7,81662,2.00 63,1,2024-09-07 08:32:40:823,222585,222579,0,6,104329130587,1082441322,221472,1087,20,381,391542,6 63,2,2024-09-07 08:32:40:765,159895,159895,0,0,6427912,0,2674 63,3,2024-09-07 08:32:41:748,1,126,15,0,667,2090,126,0 64,0,2024-09-07 08:32:41:570,30855,0.4,30705,0.6,61487,0.4,81927,1.75 64,1,2024-09-07 08:32:40:750,221601,221601,0,0,103797609521,1091761689,218162,2387,1052,371,391783,0 64,2,2024-09-07 08:32:41:141,159552,159533,19,0,7537022,0,6121 64,3,2024-09-07 08:32:41:142,1,126,2,0,265,1685,126,0 65,0,2024-09-07 08:32:41:689,30438,1.3,30892,1.1,61682,1.8,81642,2.75 65,1,2024-09-07 08:32:40:864,220742,220742,0,0,103459102881,1086933509,218354,2166,222,382,391770,0 65,2,2024-09-07 08:32:41:702,158595,158595,0,0,7982910,0,3367 65,3,2024-09-07 08:32:41:685,1,126,17,0,163,1405,126,0 66,0,2024-09-07 08:32:41:790,31872,1.2,31978,1.1,64083,2.0,85529,3.25 66,1,2024-09-07 08:32:41:294,222035,222035,0,0,104365472386,1087822700,220252,1612,171,380,391588,0 66,2,2024-09-07 08:32:41:137,161429,161429,0,0,7240152,0,4956 66,3,2024-09-07 08:32:41:084,1,126,1,0,291,1623,126,0 67,0,2024-09-07 08:32:41:434,30899,1.1,30850,1.1,61693,1.5,81901,2.75 67,1,2024-09-07 08:32:40:768,220850,220849,0,1,103604153318,1090198956,217404,2699,746,381,391787,1 67,2,2024-09-07 08:32:40:583,159793,159793,0,0,6604895,0,2889 67,3,2024-09-07 08:32:41:754,1,126,1,0,138,1192,126,0 68,0,2024-09-07 08:32:40:567,31970,0.5,31828,0.7,63478,0.5,84297,2.00 68,1,2024-09-07 08:32:40:570,220555,220555,0,0,103396582035,1090559868,217767,1772,1016,381,391953,0 68,2,2024-09-07 08:32:41:050,157769,157704,65,0,9019106,0,6698 68,3,2024-09-07 08:32:40:728,1,126,0,0,417,1744,126,0 69,0,2024-09-07 08:32:41:733,31434,1.0,31693,1.0,63026,1.2,84206,2.25 69,1,2024-09-07 08:32:41:030,220354,220354,0,0,103354356381,1097765652,217038,2195,1121,384,391611,0 69,2,2024-09-07 08:32:41:738,159312,159312,0,0,8669308,0,3701 69,3,2024-09-07 08:32:40:765,1,126,8,0,238,1675,126,0 70,0,2024-09-07 08:32:41:560,31772,3.0,31744,1.9,63785,2.7,84906,4.25 70,1,2024-09-07 08:32:40:803,221763,221763,0,0,104176918792,1088122321,219383,1918,462,367,391725,0 70,2,2024-09-07 08:32:41:326,158110,158110,0,0,7795210,0,4044 70,3,2024-09-07 08:32:40:752,1,126,16,0,178,896,126,0 71,0,2024-09-07 08:32:41:378,31149,1.7,31419,1.6,62079,2.3,84030,3.50 71,1,2024-09-07 08:32:41:607,221204,221204,0,0,104120573393,1093686020,217778,2977,449,368,391682,0 71,2,2024-09-07 08:32:41:074,159950,159950,0,0,7599177,0,2470 71,3,2024-09-07 08:32:41:754,1,126,7,0,644,2445,126,0 72,0,2024-09-07 08:32:41:037,33021,0.5,32185,0.7,63205,0.5,85798,2.25 72,1,2024-09-07 08:32:41:030,221293,221293,0,0,103640003550,1085212536,218417,2393,483,370,391819,0 72,2,2024-09-07 08:32:41:763,159133,159133,0,0,9114649,0,2570 72,3,2024-09-07 08:32:41:754,1,126,6,0,325,1977,126,0 73,0,2024-09-07 08:32:41:130,30480,0.4,31176,0.6,63710,0.3,82734,2.25 73,1,2024-09-07 08:32:40:766,221203,221203,0,0,103650536120,1078372854,219579,1510,114,368,391627,0 73,2,2024-09-07 08:32:41:751,160386,160386,0,0,8615710,0,3482 73,3,2024-09-07 08:32:40:971,1,126,8,0,274,2073,126,0 74,0,2024-09-07 08:32:41:358,32035,0.7,32551,0.9,62130,0.7,84681,2.50 74,1,2024-09-07 08:32:40:642,221641,221641,0,0,103447430498,1083238697,218924,2098,619,382,391681,0 74,2,2024-09-07 08:32:41:010,156206,156206,0,0,8275593,0,4253 74,3,2024-09-07 08:32:41:451,1,126,1,0,246,2032,126,0 75,0,2024-09-07 08:32:41:776,32432,0.7,32349,0.9,64576,0.7,87094,2.50 75,1,2024-09-07 08:32:41:598,221168,221168,0,0,103599604744,1086356379,218573,2231,364,381,391579,0 75,2,2024-09-07 08:32:41:351,159708,159708,0,0,8001190,0,4766 75,3,2024-09-07 08:32:41:078,1,126,5,0,535,1623,126,0 76,0,2024-09-07 08:32:40:583,30727,0.4,30507,0.7,60870,0.4,81897,2.25 76,1,2024-09-07 08:32:40:805,221494,221494,0,0,103471094097,1082731836,219578,1406,510,382,391530,0 76,2,2024-09-07 08:32:41:069,161375,161375,0,0,6795844,0,3064 76,3,2024-09-07 08:32:41:152,1,126,5,0,175,1530,126,0 77,0,2024-09-07 08:32:41:745,31030,0.6,31192,0.7,62508,0.6,81980,2.00 77,1,2024-09-07 08:32:40:830,221817,221817,0,0,103195507255,1078486410,220328,1408,81,383,391808,0 77,2,2024-09-07 08:32:41:284,159014,159014,0,0,7127377,0,3890 77,3,2024-09-07 08:32:41:094,1,126,9,0,305,1783,126,0 78,0,2024-09-07 08:32:41:729,30476,0.6,30440,0.8,61069,0.6,80588,2.25 78,1,2024-09-07 08:32:40:610,222054,222054,0,0,102956111171,1072563281,220282,1566,206,367,391589,0 78,2,2024-09-07 08:32:41:409,157991,157991,0,0,6540860,0,2114 78,3,2024-09-07 08:32:41:135,1,126,4,0,181,1463,126,0 79,0,2024-09-07 08:32:41:361,31157,0.6,31817,0.8,65204,0.5,85417,2.50 79,1,2024-09-07 08:32:40:572,222339,222339,0,0,104098167853,1081670860,220329,1839,171,369,391682,0 79,2,2024-09-07 08:32:41:071,161358,161358,0,0,6808833,0,3212 79,3,2024-09-07 08:32:40:749,1,126,1,0,418,2520,126,0 80,0,2024-09-07 08:32:41:093,30469,0.8,31281,0.9,60208,0.9,81461,2.50 80,1,2024-09-07 08:32:41:620,220813,220813,0,0,103472382602,1082673057,218342,2312,159,368,391673,0 80,2,2024-09-07 08:32:41:094,160195,160195,0,0,7598905,0,4433 80,3,2024-09-07 08:32:40:575,1,126,4,0,190,2415,126,0 81,0,2024-09-07 08:32:41:538,31467,0.5,32346,0.6,61920,0.4,83212,1.75 81,1,2024-09-07 08:32:41:666,221376,221376,0,0,103479560854,1087221708,218937,2167,272,382,391879,0 81,2,2024-09-07 08:32:41:132,157936,157936,0,0,7940441,0,3993 81,3,2024-09-07 08:32:41:129,1,126,27,0,193,1384,126,0 82,0,2024-09-07 08:32:41:535,31531,0.6,31625,0.8,63566,0.6,84527,2.00 82,1,2024-09-07 08:32:40:584,221084,221080,0,4,103958567523,1089391516,218662,1842,576,382,391558,4 82,2,2024-09-07 08:32:41:696,159791,159791,0,0,7261624,0,3986 82,3,2024-09-07 08:32:41:754,1,126,2,0,227,1735,126,0 83,0,2024-09-07 08:32:41:546,31779,1.0,31594,1.0,62613,1.1,84352,2.50 83,1,2024-09-07 08:32:40:560,221797,221797,0,0,103371529333,1083832726,219507,2100,190,383,391558,0 83,2,2024-09-07 08:32:40:764,158636,158636,0,0,7190355,0,3119 83,3,2024-09-07 08:32:40:750,1,126,15,0,133,1231,126,0 84,0,2024-09-07 08:32:41:845,31808,2.1,31511,1.6,63370,1.6,85079,3.00 84,1,2024-09-07 08:32:41:040,220406,220406,0,0,103365584310,1088074149,217371,2585,450,368,391852,0 84,2,2024-09-07 08:32:40:572,159196,159196,0,0,8076257,0,3801 84,3,2024-09-07 08:32:41:151,1,126,236,1,236,1529,126,0 85,0,2024-09-07 08:32:41:013,30652,0.5,30666,0.8,65039,0.5,84417,2.25 85,1,2024-09-07 08:32:40:561,220230,220230,0,0,103254439402,1101865562,214935,4223,1072,382,391679,0 85,2,2024-09-07 08:32:40:867,159483,159483,0,0,8742340,0,3656 85,3,2024-09-07 08:32:40:686,1,126,18,0,115,1340,126,0 86,0,2024-09-07 08:32:40:890,31190,0.6,32174,0.7,61631,0.7,83187,2.00 86,1,2024-09-07 08:32:40:834,221091,221091,0,0,103971938198,1095395252,217314,3234,543,366,391961,0 86,2,2024-09-07 08:32:40:862,158794,158793,1,0,9278103,0,5004 86,3,2024-09-07 08:32:40:586,1,126,2,0,286,2017,126,0 87,0,2024-09-07 08:32:41:307,31480,2.1,31537,1.4,62960,3.2,85168,2.75 87,1,2024-09-07 08:32:40:581,220592,220592,0,0,103266732088,1088003389,217426,2776,390,366,391788,0 87,2,2024-09-07 08:32:41:071,157133,157133,0,0,7260233,0,3515 87,3,2024-09-07 08:32:41:796,1,126,1,0,335,2350,126,0 88,0,2024-09-07 08:32:41:521,31930,0.6,32204,0.7,63969,0.6,85764,1.75 88,1,2024-09-07 08:32:40:599,220220,220220,0,0,103126684329,1087652970,216660,2443,1117,367,391787,0 88,2,2024-09-07 08:32:40:688,160069,160069,0,0,8251571,0,3583 88,3,2024-09-07 08:32:41:267,1,126,47,1,435,1780,126,0 89,0,2024-09-07 08:32:41:773,32249,0.5,31335,0.7,62038,0.5,84333,1.75 89,1,2024-09-07 08:32:40:561,219968,219968,0,0,103151353519,1097141559,214943,4092,933,382,391866,0 89,2,2024-09-07 08:32:41:138,160075,160075,0,0,8693144,0,2910 89,3,2024-09-07 08:32:41:798,1,126,23,0,325,2716,126,0 90,0,2024-09-07 08:32:41:656,30139,0.4,30727,0.6,63189,0.4,82112,1.75 90,1,2024-09-07 08:32:40:597,221684,221684,0,0,102702589674,1081958904,218947,2579,158,382,391825,0 90,2,2024-09-07 08:32:41:414,158468,158468,0,0,9105648,0,3060 90,3,2024-09-07 08:32:40:945,1,126,6,1,200,1550,126,0 91,0,2024-09-07 08:32:40:965,31410,0.5,30364,0.6,62987,0.5,83345,1.75 91,1,2024-09-07 08:32:40:556,221212,221212,0,0,103690373079,1093905963,217750,2969,493,381,391914,0 91,2,2024-09-07 08:32:41:331,155253,155253,0,0,8476287,0,2445 91,3,2024-09-07 08:32:40:602,1,126,8,0,155,1543,126,0 92,0,2024-09-07 08:32:41:516,33115,0.7,33859,0.8,64713,0.8,88033,1.75 92,1,2024-09-07 08:32:40:588,221388,221388,0,0,103358701868,1082253131,219476,1517,395,382,391717,0 92,2,2024-09-07 08:32:41:370,161992,161992,0,0,6802367,0,2279 92,3,2024-09-07 08:32:41:016,1,126,4,0,68,1194,126,0 93,0,2024-09-07 08:32:41:011,30919,0.5,31672,0.7,60713,0.5,82032,1.75 93,1,2024-09-07 08:32:40:846,221516,221516,0,0,103912438476,1088062192,219094,1976,446,366,391689,0 93,2,2024-09-07 08:32:40:935,160201,160201,0,0,6909224,0,2509 93,3,2024-09-07 08:32:41:411,1,126,66,0,143,1406,126,0 94,0,2024-09-07 08:32:41:609,30926,0.3,31220,0.5,61872,0.3,81764,1.75 94,1,2024-09-07 08:32:40:564,221378,221378,0,0,103197726345,1082612513,219272,2027,79,381,391850,0 94,2,2024-09-07 08:32:40:766,158287,158287,0,0,6837550,0,2443 94,3,2024-09-07 08:32:41:694,1,126,3,0,264,2115,126,0 95,0,2024-09-07 08:32:41:373,31218,0.4,31141,0.6,62473,0.3,82440,1.75 95,1,2024-09-07 08:32:40:854,221714,221714,0,0,103242460870,1074175634,220366,1268,80,367,391600,0 95,2,2024-09-07 08:32:41:017,158540,158540,0,0,7117096,0,3308 95,3,2024-09-07 08:32:41:711,1,126,47,0,307,2084,126,0 96,0,2024-09-07 08:32:41:049,32365,0.9,32458,0.8,64778,1.0,86175,2.00 96,1,2024-09-07 08:32:41:596,220935,220935,0,0,102771028443,1081615004,218354,1940,641,385,391894,0 96,2,2024-09-07 08:32:41:278,159921,159921,0,0,7702098,0,4042 96,3,2024-09-07 08:32:41:140,1,126,2,0,188,1536,126,0 97,0,2024-09-07 08:32:41:328,30902,0.5,30934,0.6,61883,0.6,81913,1.75 97,1,2024-09-07 08:32:40:764,221229,221229,0,0,103179642310,1079020916,218479,2203,547,367,392140,0 97,2,2024-09-07 08:32:40:614,158854,158854,0,0,7041156,0,3036 97,3,2024-09-07 08:32:40:573,1,126,7,1,165,1578,126,0 98,0,2024-09-07 08:32:41:712,31814,0.3,31641,0.4,63752,0.2,84631,1.50 98,1,2024-09-07 08:32:40:571,221506,221506,0,0,104137148435,1089533035,219733,1689,84,382,391997,0 98,2,2024-09-07 08:32:40:842,159886,159886,0,0,7303991,0,3080 98,3,2024-09-07 08:32:40:707,1,126,2,1,840,2815,126,0 99,0,2024-09-07 08:32:41:502,31599,0.3,31698,0.5,63314,0.3,84483,1.75 99,1,2024-09-07 08:32:41:723,221735,221735,0,0,103121599050,1080682296,219242,1843,650,381,391744,0 99,2,2024-09-07 08:32:41:421,161017,161017,0,0,7129993,0,1973 99,3,2024-09-07 08:32:40:590,1,126,64,0,129,997,126,0 100,0,2024-09-07 08:32:41:512,31958,1.9,32032,1.8,63996,3.3,85706,3.00 100,1,2024-09-07 08:32:40:555,219797,219797,0,0,102843859709,1099268897,214643,4225,929,381,391989,0 100,2,2024-09-07 08:32:41:822,157633,157622,11,0,8300202,0,5417 100,3,2024-09-07 08:32:41:731,1,126,21,0,443,2707,126,0 101,0,2024-09-07 08:32:41:736,32104,2.5,31271,1.5,61465,2.3,84998,3.25 101,1,2024-09-07 08:32:40:571,220074,220074,0,0,103287480978,1100275664,214957,3651,1466,368,391709,0 101,2,2024-09-07 08:32:41:759,158930,158930,0,0,8995332,0,4644 101,3,2024-09-07 08:32:40:968,1,126,7,0,448,1848,126,0 102,0,2024-09-07 08:32:40:969,31234,0.7,32392,0.8,64955,0.6,85259,2.00 102,1,2024-09-07 08:32:41:142,220399,220399,0,0,103177007146,1090360770,216994,2868,537,369,391831,0 102,2,2024-09-07 08:32:41:750,160577,160523,54,0,8901617,0,6768 102,3,2024-09-07 08:32:41:622,1,126,4,0,410,1583,126,0 103,0,2024-09-07 08:32:41:605,32079,0.5,32031,0.7,60653,0.4,83077,1.75 103,1,2024-09-07 08:32:41:638,219959,219959,0,0,103747458618,1099212849,215911,2855,1193,381,391829,0 103,2,2024-09-07 08:32:40:589,159096,159096,0,0,7987492,0,2104 103,3,2024-09-07 08:32:40:771,1,126,1,0,916,2639,126,0 104,0,2024-09-07 08:32:41:067,31481,1.0,31598,1.1,62248,1.1,84958,2.25 104,1,2024-09-07 08:32:41:630,221296,221296,0,0,103390051495,1095195805,216879,3556,861,366,391948,0 104,2,2024-09-07 08:32:41:667,155308,155308,0,0,7901717,0,3941 104,3,2024-09-07 08:32:41:428,1,126,50,1,1245,4812,126,0 105,0,2024-09-07 08:32:41:038,32100,1.0,31081,1.2,64855,1.5,86423,3.25 105,1,2024-09-07 08:32:40:563,221244,221244,0,0,103545572420,1091886988,217331,3143,770,367,391797,0 105,2,2024-09-07 08:32:41:329,159816,159816,0,0,7641507,0,3509 105,3,2024-09-07 08:32:41:306,1,126,12,1,399,2115,126,0 106,0,2024-09-07 08:32:40:956,29846,0.6,30532,0.9,62175,0.6,81571,2.00 106,1,2024-09-07 08:32:41:776,220789,220789,0,0,102851637548,1082414348,217520,2918,351,369,391767,0 106,2,2024-09-07 08:32:40:765,159908,159908,0,0,7831394,0,2795 106,3,2024-09-07 08:32:40:680,1,126,192,0,201,1781,126,0 107,0,2024-09-07 08:32:41:154,31168,0.4,31024,0.6,61751,0.4,82252,1.75 107,1,2024-09-07 08:32:40:585,220713,220713,0,0,102498335330,1082363112,217888,2601,224,381,392234,0 107,2,2024-09-07 08:32:41:382,158410,158409,1,0,7982466,0,5024 107,3,2024-09-07 08:32:41:765,1,126,10,0,353,1697,126,0 108,0,2024-09-07 08:32:41:774,30607,0.4,30745,0.7,60882,0.4,80968,1.75 108,1,2024-09-07 08:32:41:295,221251,221251,0,0,103700453296,1086099302,218954,2011,286,368,391857,0 108,2,2024-09-07 08:32:41:755,156698,156698,0,0,7469027,0,2647 108,3,2024-09-07 08:32:41:343,1,126,2,0,272,1818,126,0 109,0,2024-09-07 08:32:41:769,32399,0.5,32290,0.7,64305,0.5,87004,1.75 109,1,2024-09-07 08:32:40:583,220839,220839,0,0,103953015999,1094394336,218438,1939,462,383,391812,0 109,2,2024-09-07 08:32:40:928,159654,159654,0,0,7646920,0,3617 109,3,2024-09-07 08:32:41:141,1,126,19,1,249,1880,126,0 110,0,2024-09-07 08:32:41:806,30717,0.5,29854,0.7,62444,0.5,81544,1.75 110,1,2024-09-07 08:32:41:659,221733,221733,0,0,103472336068,1081440062,219373,1660,700,370,391667,0 110,2,2024-09-07 08:32:41:304,160055,160055,0,0,6781532,0,2915 110,3,2024-09-07 08:32:40:693,1,126,7,0,406,1951,126,0 111,0,2024-09-07 08:32:41:432,31588,0.2,31090,0.4,62246,0.2,83329,1.50 111,1,2024-09-07 08:32:41:000,222108,222108,0,0,104591772973,1088165680,220459,1317,332,382,391690,0 111,2,2024-09-07 08:32:41:116,158597,158597,0,0,7541124,0,2763 111,3,2024-09-07 08:32:40:919,1,126,1,0,300,1940,126,0 112,0,2024-09-07 08:32:40:918,31873,0.3,31819,0.5,63626,0.3,84749,1.50 112,1,2024-09-07 08:32:40:831,221648,221648,0,0,103933015249,1086056991,219325,1898,425,381,391580,0 112,2,2024-09-07 08:32:41:134,159009,159008,1,0,7806252,0,5036 112,3,2024-09-07 08:32:40:595,1,126,1,0,282,1588,126,0 113,0,2024-09-07 08:32:40:874,31531,0.5,31318,0.6,63520,0.4,85314,1.75 113,1,2024-09-07 08:32:41:694,222032,222032,0,0,104748396856,1089390376,219626,1918,488,366,391661,0 113,2,2024-09-07 08:32:41:303,160059,160059,0,0,6781780,0,3813 113,3,2024-09-07 08:32:40:684,1,126,17,0,288,2106,126,0 114,0,2024-09-07 08:32:40:882,32591,0.8,33082,0.8,64785,0.6,86731,2.00 114,1,2024-09-07 08:32:40:724,221253,221253,0,0,103886471823,1094965259,217152,2639,1462,381,391534,0 114,2,2024-09-07 08:32:40:878,159220,159220,0,0,7051785,0,3925 114,3,2024-09-07 08:32:41:291,1,126,2,0,395,1903,126,0 115,0,2024-09-07 08:32:40:557,31732,0.3,32061,0.4,64182,0.2,85159,1.50 115,1,2024-09-07 08:32:40:571,221697,221697,0,0,104019084373,1091706894,218058,2818,821,382,391602,0 115,2,2024-09-07 08:32:41:129,160467,160467,0,0,6571917,0,2152 115,3,2024-09-07 08:32:41:002,1,126,0,0,159,1030,126,0 116,0,2024-09-07 08:32:41:707,31405,0.7,30956,0.8,62372,0.8,83153,2.00 116,1,2024-09-07 08:32:40:803,219791,219791,0,0,103544144448,1107999272,214985,3054,1752,382,391677,0 116,2,2024-09-07 08:32:41:755,158601,158601,0,0,9322010,0,3529 116,3,2024-09-07 08:32:40:919,1,126,82,0,252,2076,126,0 117,0,2024-09-07 08:32:40:989,31472,1.7,31627,1.2,62699,2.8,84672,2.25 117,1,2024-09-07 08:32:41:614,221018,221018,0,0,103315433487,1089924273,217474,3118,426,370,392033,0 117,2,2024-09-07 08:32:41:120,157949,157949,0,0,7151596,0,3700 117,3,2024-09-07 08:32:41:095,1,126,13,0,490,2997,126,0 118,0,2024-09-07 08:32:41:817,31161,0.8,32028,0.9,65321,0.9,85224,2.25 118,1,2024-09-07 08:32:40:590,220577,220577,0,0,102809910044,1093177748,215985,3303,1289,368,391736,0 118,2,2024-09-07 08:32:41:587,159726,159726,0,0,8388914,0,2781 118,3,2024-09-07 08:32:41:764,1,126,3,0,235,1947,126,0 119,0,2024-09-07 08:32:41:394,30951,0.9,31138,0.9,63132,1.2,83561,2.00 119,1,2024-09-07 08:32:40:565,221397,221397,0,0,104095218402,1090990134,219072,2041,284,370,391641,0 119,2,2024-09-07 08:32:41:291,159150,159150,0,0,7836809,0,3526 119,3,2024-09-07 08:32:41:326,1,126,7,0,443,2598,126,0 120,0,2024-09-07 08:32:41:562,30679,0.5,30775,0.8,61415,0.5,82110,2.00 120,1,2024-09-07 08:32:40:874,221418,221418,0,0,103227101126,1086747047,218767,2417,234,368,391961,0 120,2,2024-09-07 08:32:40:781,158792,158791,1,0,9460095,0,5281 120,3,2024-09-07 08:32:41:303,1,126,1,0,241,1901,126,0 121,0,2024-09-07 08:32:41:717,30712,1.6,31220,1.2,62088,2.5,83231,2.25 121,1,2024-09-07 08:32:41:672,221520,221520,0,0,103485431329,1086002569,218993,2244,283,367,391840,0 121,2,2024-09-07 08:32:41:133,155532,155532,0,0,8509447,0,4127 121,3,2024-09-07 08:32:40:727,1,126,8,0,159,1576,126,0 122,0,2024-09-07 08:32:41:779,32499,1.3,31551,1.3,66043,1.5,87990,2.25 122,1,2024-09-07 08:32:40:875,220697,220697,0,0,103393837047,1090479942,216902,3134,661,366,392130,0 122,2,2024-09-07 08:32:41:320,160487,160487,0,0,9361525,0,3364 122,3,2024-09-07 08:32:40:594,1,126,1,0,320,2933,126,0 123,0,2024-09-07 08:32:40:999,30805,1.0,29915,0.9,62582,1.2,81770,2.25 123,1,2024-09-07 08:32:40:570,221641,221641,0,0,103964108552,1100033346,216953,4012,676,369,391823,0 123,2,2024-09-07 08:32:41:022,158889,158888,1,0,8033165,0,5215 123,3,2024-09-07 08:32:41:134,1,126,2,1,168,1806,126,0 124,0,2024-09-07 08:32:40:922,31814,0.3,31856,0.5,60121,0.2,82090,1.75 124,1,2024-09-07 08:32:41:038,221372,221372,0,0,103420930242,1076997079,219565,1454,353,367,392178,0 124,2,2024-09-07 08:32:41:027,158922,158922,0,0,6777991,0,3101 124,3,2024-09-07 08:32:40:758,1,126,1,0,490,2073,126,0 125,0,2024-09-07 08:32:41:438,31269,0.5,31133,0.6,62575,0.5,82454,1.75 125,1,2024-09-07 08:32:40:858,221044,221044,0,0,104013840985,1089802062,218738,2031,275,384,391702,0 125,2,2024-09-07 08:32:41:126,158783,158783,0,0,6835559,0,2180 125,3,2024-09-07 08:32:41:129,1,126,3,1,284,1766,126,0 126,0,2024-09-07 08:32:41:431,32448,0.8,33294,0.8,63569,0.9,86814,2.00 126,1,2024-09-07 08:32:40:554,222056,222056,0,0,104443048044,1085722550,220567,1413,76,365,391987,0 126,2,2024-09-07 08:32:40:617,160646,160646,0,0,6952944,0,3186 126,3,2024-09-07 08:32:40:910,1,126,1,0,136,1790,126,0 127,0,2024-09-07 08:32:41:638,30697,0.5,30981,0.6,61888,0.5,81987,1.75 127,1,2024-09-07 08:32:40:569,221669,221669,0,0,103604776587,1076802781,219916,1718,35,365,391816,0 127,2,2024-09-07 08:32:40:638,159393,159393,0,0,6340464,0,1803 127,3,2024-09-07 08:32:41:268,1,126,2,0,99,931,126,0 128,0,2024-09-07 08:32:41:582,32019,0.3,31897,0.5,63512,0.2,84600,1.50 128,1,2024-09-07 08:32:41:611,221906,221906,0,0,104300958723,1083347582,220974,861,71,367,391680,0 128,2,2024-09-07 08:32:41:387,158612,158612,0,0,7088594,0,2107 128,3,2024-09-07 08:32:40:766,1,126,1,0,333,2086,126,0 129,0,2024-09-07 08:32:40:998,31820,0.3,31715,0.5,63205,0.3,84365,1.75 129,1,2024-09-07 08:32:40:579,220740,220740,0,0,103694218192,1091786774,217840,2510,390,379,391835,0 129,2,2024-09-07 08:32:40:690,159479,159479,0,0,7342899,0,4031 129,3,2024-09-07 08:32:40:688,1,126,19,1,173,1728,126,0 130,0,2024-09-07 08:32:41:726,32548,1.1,32254,0.9,64874,1.5,86639,2.00 130,1,2024-09-07 08:32:40:583,221374,221374,0,0,103654789178,1084976483,219332,1973,69,381,391825,0 130,2,2024-09-07 08:32:41:129,158115,158115,0,0,6915652,0,4067 130,3,2024-09-07 08:32:41:291,1,126,4,0,207,1202,126,0 131,0,2024-09-07 08:32:41:943,31843,0.7,31906,0.8,64624,1.0,86020,2.00 131,1,2024-09-07 08:32:41:838,222285,222285,0,0,103530562584,1081410099,220838,1241,206,384,391865,0 131,2,2024-09-07 08:32:40:574,160081,160081,0,0,6633949,0,2415 131,3,2024-09-07 08:32:41:701,1,126,0,0,392,1577,126,0 132,0,2024-09-07 08:32:41:489,31607,0.5,32104,0.8,64295,0.5,85620,2.00 132,1,2024-09-07 08:32:40:575,219793,219793,0,0,102578204401,1093930776,214472,4294,1027,381,391760,0 132,2,2024-09-07 08:32:40:699,159399,159399,0,0,9793332,0,4606 132,3,2024-09-07 08:32:41:702,1,126,40,0,356,2656,126,0 133,0,2024-09-07 08:32:41:533,30440,0.4,31070,0.6,63826,0.4,82422,1.75 133,1,2024-09-07 08:32:40:589,219544,219544,0,0,103175396789,1097805975,215071,3835,638,383,391755,0 133,2,2024-09-07 08:32:41:092,159174,159174,0,0,9461021,0,4315 133,3,2024-09-07 08:32:41:302,1,126,15,1,187,1216,126,0 134,0,2024-09-07 08:32:40:951,31680,0.7,31512,0.9,63646,0.7,84656,2.00 134,1,2024-09-07 08:32:40:596,220379,220379,0,0,103226057840,1088690407,216484,2721,1174,366,391718,0 134,2,2024-09-07 08:32:41:758,155801,155801,0,0,7519420,0,3096 134,3,2024-09-07 08:32:40:749,1,126,2,0,739,2757,126,0 135,0,2024-09-07 08:32:41:122,31555,1.3,31456,1.2,66437,1.4,85951,2.50 135,1,2024-09-07 08:32:41:597,220442,220442,0,0,103248484709,1092836775,216598,3144,700,380,391725,0 135,2,2024-09-07 08:32:40:687,160680,160680,0,0,8534309,0,3981 135,3,2024-09-07 08:32:41:002,1,126,1,0,89,797,126,0 136,0,2024-09-07 08:32:41:641,31044,0.6,30837,0.8,62186,0.6,82612,2.00 136,1,2024-09-07 08:32:41:447,220675,220675,0,0,103387484015,1087040090,217733,2773,169,384,391641,0 136,2,2024-09-07 08:32:41:136,161257,161257,0,0,7713946,0,3506 136,3,2024-09-07 08:32:41:118,1,126,4,0,108,1135,126,0 137,0,2024-09-07 08:32:40:924,31818,0.5,30928,0.7,61341,0.5,82446,2.00 137,1,2024-09-07 08:32:40:575,221098,221098,0,0,103897158145,1091386207,217623,3163,312,367,391608,0 137,2,2024-09-07 08:32:41:711,158367,158367,0,0,9160496,0,3185 137,3,2024-09-07 08:32:40:769,1,126,6,1,227,1647,126,0 138,0,2024-09-07 08:32:41:747,29955,1.9,30138,1.3,60785,3.1,80948,2.50 138,1,2024-09-07 08:32:41:693,221618,221618,0,0,104444513434,1095818130,218683,2587,348,368,391954,0 138,2,2024-09-07 08:32:40:598,157917,157917,0,0,7298430,0,3263 138,3,2024-09-07 08:32:40:619,1,126,1,0,1160,2503,126,0 139,0,2024-09-07 08:32:41:375,31412,3.2,31423,1.8,63778,4.5,85958,3.00 139,1,2024-09-07 08:32:40:581,220296,220296,0,0,102807018393,1095780290,215650,3338,1308,381,391892,0 139,2,2024-09-07 08:32:40:693,158989,158989,0,0,8368071,0,3097 139,3,2024-09-07 08:32:41:664,1,126,3,0,244,1638,126,0 140,0,2024-09-07 08:32:41:592,30827,0.4,30688,0.6,61503,0.4,81618,1.75 140,1,2024-09-07 08:32:41:540,222313,222313,0,0,104379240878,1079479826,220900,1174,239,365,391606,0 140,2,2024-09-07 08:32:40:687,160326,160326,0,0,7293503,0,3388 140,3,2024-09-07 08:32:40:766,1,126,1,0,247,1214,126,0 141,0,2024-09-07 08:32:41:707,31111,0.2,32153,0.4,61702,0.1,83272,1.50 141,1,2024-09-07 08:32:40:863,221910,221910,0,0,104192844508,1084349423,220183,1375,352,379,391539,0 141,2,2024-09-07 08:32:41:701,158443,158443,0,0,6601314,0,2342 141,3,2024-09-07 08:32:41:048,1,126,8,0,147,1132,126,0 142,0,2024-09-07 08:32:41:328,32173,0.3,31905,0.5,63345,0.3,84876,1.75 142,1,2024-09-07 08:32:40:586,221837,221837,0,0,103830951377,1083775582,220687,1016,134,383,391649,0 142,2,2024-09-07 08:32:41:301,158034,158002,32,0,8112910,0,6028 142,3,2024-09-07 08:32:41:775,1,126,2,0,484,2002,126,0 143,0,2024-09-07 08:32:41:380,31718,0.8,31834,0.8,63982,0.9,85290,2.00 143,1,2024-09-07 08:32:40:556,221605,221605,0,0,103493221507,1078235570,219583,1981,41,367,391619,0 143,2,2024-09-07 08:32:40:776,158868,158868,0,0,7127553,0,2669 143,3,2024-09-07 08:32:41:142,1,126,1,0,303,2253,126,0 144,0,2024-09-07 08:32:41:503,31066,1.2,31974,1.9,64835,1.5,85401,2.50 144,1,2024-09-07 08:32:40:572,220583,220583,0,0,103117885139,1086916174,218316,2065,202,381,391649,0 144,2,2024-09-07 08:32:41:763,159730,159730,0,0,7071809,0,3473 144,3,2024-09-07 08:32:41:746,1,126,1,0,249,1875,126,0 145,0,2024-09-07 08:32:41:403,30726,0.6,30727,0.9,65248,0.5,84781,2.25 145,1,2024-09-07 08:32:40:561,219573,219573,0,0,103346929037,1096935700,215333,3508,732,383,391615,0 145,2,2024-09-07 08:32:41:432,159602,159602,0,0,8071399,0,3903 145,3,2024-09-07 08:32:40:898,1,126,30,0,151,1606,126,0 146,0,2024-09-07 08:32:41:603,31092,0.5,30952,0.7,62573,0.5,82726,2.00 146,1,2024-09-07 08:32:41:590,221895,221895,0,0,103730321717,1092917224,217784,3315,796,368,391600,0 146,2,2024-09-07 08:32:41:709,159475,159475,0,0,7724017,0,2498 146,3,2024-09-07 08:32:41:279,1,126,1,0,1520,4587,126,0 147,0,2024-09-07 08:32:41:732,31566,1.7,31493,1.3,62363,2.5,85070,2.75 147,1,2024-09-07 08:32:41:377,222309,222309,0,0,104060279943,1085066018,220011,1907,391,368,391791,0 147,2,2024-09-07 08:32:41:030,157375,157375,0,0,6962529,0,2789 147,3,2024-09-07 08:32:40:919,1,126,7,0,371,1704,126,0 0,0,2024-09-07 08:32:51:749,30080,0.5,30164,0.7,63762,0.5,82598,1.75 0,1,2024-09-07 08:32:50:801,222871,222871,0,0,104957910236,1102567657,221154,1586,131,372,391692,0 0,2,2024-09-07 08:32:51:070,160655,160655,0,0,7747615,0,4480 0,3,2024-09-07 08:32:50:974,1,127,1,0,247,1857,127,0 1,0,2024-09-07 08:32:51:779,31381,2.0,31127,1.5,62656,2.6,84018,2.50 1,1,2024-09-07 08:32:50:556,221973,221973,0,0,103782815154,1095801713,218552,2556,865,372,391857,0 1,2,2024-09-07 08:32:50:650,156978,156978,0,0,6849637,0,3267 1,3,2024-09-07 08:32:51:309,1,127,12,0,262,1712,127,0 2,0,2024-09-07 08:32:51:569,32867,1.0,33097,1.1,65710,1.3,88315,2.25 2,1,2024-09-07 08:32:50:861,222706,222706,0,0,104605221057,1091431606,221294,1206,206,380,391558,0 2,2,2024-09-07 08:32:51:267,161356,161356,0,0,7606698,0,3594 2,3,2024-09-07 08:32:50:692,1,127,2,0,357,1570,127,0 3,0,2024-09-07 08:32:51:747,30633,0.6,30700,0.8,61539,0.6,81376,2.00 3,1,2024-09-07 08:32:51:621,222594,222594,0,0,104018639226,1091803804,219492,2678,424,382,391516,0 3,2,2024-09-07 08:32:51:142,161431,161408,23,0,7747311,0,5851 3,3,2024-09-07 08:32:51:760,1,127,4,0,103,905,127,0 4,0,2024-09-07 08:32:51:777,30257,0.3,30894,0.5,62852,0.2,82286,1.75 4,1,2024-09-07 08:32:50:593,223054,223054,0,0,104174293452,1100234845,220334,2200,520,371,391846,0 4,2,2024-09-07 08:32:51:018,158801,158801,0,0,9052875,0,4528 4,3,2024-09-07 08:32:51:036,1,127,1,0,287,2000,127,0 5,0,2024-09-07 08:32:51:389,31234,0.6,31060,0.7,62347,0.7,82326,2.00 5,1,2024-09-07 08:32:50:772,222007,222007,0,0,104831288872,1111119028,217926,3096,985,368,392005,0 5,2,2024-09-07 08:32:51:835,158824,158824,0,0,7776127,0,2259 5,3,2024-09-07 08:32:51:736,1,127,8,0,238,2159,127,0 6,0,2024-09-07 08:32:50:928,32989,1.4,32560,1.2,64804,2.1,87472,2.75 6,1,2024-09-07 08:32:50:747,222850,222850,0,0,104795242667,1102879117,219656,2547,647,381,391603,0 6,2,2024-09-07 08:32:51:121,161638,161638,0,0,8172620,0,4816 6,3,2024-09-07 08:32:51:274,1,127,1,0,340,2108,127,0 7,0,2024-09-07 08:32:51:531,30341,0.6,30361,0.9,61004,0.6,81161,2.00 7,1,2024-09-07 08:32:50:850,223163,223163,0,0,104457086399,1098412550,220558,2475,130,382,391664,0 7,2,2024-09-07 08:32:50:775,159834,159834,0,0,7170158,0,2981 7,3,2024-09-07 08:32:50:851,1,127,1,0,305,1400,127,0 8,0,2024-09-07 08:32:51:381,31872,0.3,32089,0.4,63547,0.2,85066,1.50 8,1,2024-09-07 08:32:51:018,222514,222514,0,0,104586535246,1109298295,217966,3093,1455,368,391956,0 8,2,2024-09-07 08:32:50:903,158646,158646,0,0,8406531,0,2986 8,3,2024-09-07 08:32:50:585,1,127,1,0,357,2147,127,0 9,0,2024-09-07 08:32:51:099,31908,0.4,31135,0.5,64878,0.3,85165,1.75 9,1,2024-09-07 08:32:50:555,222393,222393,0,0,104973783782,1113634039,217976,3293,1124,370,391753,0 9,2,2024-09-07 08:32:51:091,160486,160486,0,0,8190385,0,3360 9,3,2024-09-07 08:32:51:759,1,127,16,0,496,2043,127,0 10,0,2024-09-07 08:32:51:604,32627,0.5,32529,0.7,65129,0.4,86821,1.75 10,1,2024-09-07 08:32:50:584,222985,222985,0,0,104160934678,1097418913,219110,3345,530,381,391741,0 10,2,2024-09-07 08:32:50:762,159878,159878,0,0,7998295,0,2940 10,3,2024-09-07 08:32:50:871,1,127,177,0,177,1103,127,0 11,0,2024-09-07 08:32:51:013,31616,0.6,30907,0.9,64765,0.7,86750,2.25 11,1,2024-09-07 08:32:50:571,222464,222464,0,0,104580242685,1104023244,217928,3374,1162,384,391537,0 11,2,2024-09-07 08:32:51:123,160391,160391,0,0,7543013,0,3411 11,3,2024-09-07 08:32:51:302,1,127,0,0,720,2750,127,0 12,0,2024-09-07 08:32:50:952,32611,0.3,32415,0.5,65042,0.3,86563,1.50 12,1,2024-09-07 08:32:50:936,222842,222842,0,0,104444050090,1095980259,220031,2401,410,370,391837,0 12,2,2024-09-07 08:32:51:547,162006,162006,0,0,8044614,0,3469 12,3,2024-09-07 08:32:51:059,1,127,3,0,358,2370,127,0 13,0,2024-09-07 08:32:51:362,31400,0.4,31553,0.5,62810,0.4,83356,1.75 13,1,2024-09-07 08:32:51:526,223362,223362,0,0,104375751832,1097576800,221429,1557,376,384,391717,0 13,2,2024-09-07 08:32:50:607,161387,161387,0,0,7291438,0,3287 13,3,2024-09-07 08:32:51:768,1,127,10,1,416,2430,127,0 14,0,2024-09-07 08:32:50:577,32113,0.6,32158,0.7,63749,0.5,85199,1.75 14,1,2024-09-07 08:32:51:565,224595,224595,0,0,104579966106,1087987353,222638,1795,162,364,391571,0 14,2,2024-09-07 08:32:50:772,157274,157274,0,0,7244811,0,2896 14,3,2024-09-07 08:32:51:116,1,127,56,0,1168,2762,127,0 15,0,2024-09-07 08:32:51:555,32740,0.6,32637,0.8,65554,0.6,87454,2.00 15,1,2024-09-07 08:32:51:610,222775,222775,0,0,104149580229,1086437111,221299,1416,60,381,391536,0 15,2,2024-09-07 08:32:51:003,162053,162053,0,0,6176491,0,3043 15,3,2024-09-07 08:32:51:405,1,127,2,0,1126,4040,127,0 16,0,2024-09-07 08:32:50:937,30910,0.6,31374,0.8,62017,0.6,82893,2.25 16,1,2024-09-07 08:32:50:563,223114,223114,0,0,104154100861,1094508277,220927,1928,259,372,391756,0 16,2,2024-09-07 08:32:51:436,160729,160729,0,0,8505044,0,4719 16,3,2024-09-07 08:32:51:143,1,127,3,0,231,1802,127,0 17,0,2024-09-07 08:32:51:788,32126,0.5,31307,0.7,61360,0.4,82585,2.00 17,1,2024-09-07 08:32:50:568,222279,222279,0,0,104029922370,1100710587,218959,2649,671,369,391688,0 17,2,2024-09-07 08:32:51:669,161548,161548,0,0,7707266,0,2857 17,3,2024-09-07 08:32:50:574,1,127,126,0,268,2240,127,0 18,0,2024-09-07 08:32:50:945,29876,1.1,30327,1.1,60762,1.3,80675,2.50 18,1,2024-09-07 08:32:51:643,222699,222699,0,0,104188049348,1086205675,220821,1644,234,368,391564,0 18,2,2024-09-07 08:32:51:765,157747,157747,0,0,7550589,0,3541 18,3,2024-09-07 08:32:50:897,1,127,1,0,163,1532,127,0 19,0,2024-09-07 08:32:51:548,32289,1.1,32547,1.1,64369,1.4,86410,3.25 19,1,2024-09-07 08:32:50:566,223280,223280,0,0,104940019235,1099387416,219824,2764,692,367,391777,0 19,2,2024-09-07 08:32:51:752,162389,162389,0,0,6851264,0,3988 19,3,2024-09-07 08:32:51:130,1,127,0,0,524,1409,127,0 20,0,2024-09-07 08:32:51:353,30771,0.8,30753,0.9,61425,1.0,81736,2.50 20,1,2024-09-07 08:32:50:567,222535,222535,0,0,104130107464,1098877716,219143,3003,389,369,391822,0 20,2,2024-09-07 08:32:50:943,160914,160914,0,0,7651566,0,3721 20,3,2024-09-07 08:32:50:594,1,127,2,0,414,2689,127,0 21,0,2024-09-07 08:32:51:161,31761,0.4,31696,0.6,63104,0.3,83272,1.75 21,1,2024-09-07 08:32:51:557,221995,221995,0,0,103619750640,1097827095,218489,2976,530,368,391962,0 21,2,2024-09-07 08:32:51:067,159355,159355,0,0,8493921,0,3747 21,3,2024-09-07 08:32:51:425,1,127,9,0,103,1744,127,0 22,0,2024-09-07 08:32:51:720,31685,0.9,31844,1.0,63221,1.0,84305,2.25 22,1,2024-09-07 08:32:51:023,222316,222316,0,0,104066001762,1105851435,216990,3817,1509,382,391667,0 22,2,2024-09-07 08:32:50:759,159965,159965,0,0,7289316,0,3134 22,3,2024-09-07 08:32:51:067,1,127,2,0,228,1491,127,0 23,0,2024-09-07 08:32:51:369,31807,1.1,32056,1.0,64399,1.3,86114,2.50 23,1,2024-09-07 08:32:51:007,223357,223357,0,0,104651481495,1102652352,219336,2539,1482,365,391549,0 23,2,2024-09-07 08:32:51:092,160485,160485,0,0,7529168,0,3010 23,3,2024-09-07 08:32:51:755,1,127,1,0,645,1714,127,0 24,0,2024-09-07 08:32:50:812,32881,0.6,32841,0.7,65676,0.7,86975,1.75 24,1,2024-09-07 08:32:50:581,222873,222873,0,0,104208735952,1094092995,220393,1715,765,369,391640,0 24,2,2024-09-07 08:32:51:069,159762,159762,0,0,8446690,0,2942 24,3,2024-09-07 08:32:51:693,1,127,4,0,234,1616,127,0 25,0,2024-09-07 08:32:51:351,33006,0.4,32204,0.6,63100,0.3,86192,1.75 25,1,2024-09-07 08:32:50:557,222607,222607,0,0,104128020481,1098406950,219149,2917,541,371,391788,0 25,2,2024-09-07 08:32:51:613,159672,159672,0,0,8666230,0,3978 25,3,2024-09-07 08:32:51:010,1,127,8,0,158,1469,127,0 26,0,2024-09-07 08:32:51:759,31093,0.4,30426,0.6,63918,0.3,83648,1.75 26,1,2024-09-07 08:32:51:546,223246,223246,0,0,104138779125,1098267847,219127,3275,844,381,391564,0 26,2,2024-09-07 08:32:50:861,160939,160939,0,0,7925688,0,2809 26,3,2024-09-07 08:32:51:720,1,127,18,0,796,1919,127,0 27,0,2024-09-07 08:32:51:733,32264,0.7,32480,0.7,64306,0.7,86697,2.00 27,1,2024-09-07 08:32:51:677,223869,223869,0,0,104830815134,1091907177,222097,1449,323,381,391539,0 27,2,2024-09-07 08:32:50:869,157331,157331,0,0,8341629,0,3409 27,3,2024-09-07 08:32:51:017,1,127,5,0,564,1688,127,0 28,0,2024-09-07 08:32:51:405,32031,0.6,32354,0.7,64814,0.7,86348,2.25 28,1,2024-09-07 08:32:50:797,223322,223322,0,0,105094197354,1096672919,221680,1431,211,383,391646,0 28,2,2024-09-07 08:32:51:766,161551,161551,0,0,6422454,0,2915 28,3,2024-09-07 08:32:51:781,1,127,4,0,502,1658,127,0 29,0,2024-09-07 08:32:51:371,32426,0.4,31572,0.6,61944,0.3,85061,1.75 29,1,2024-09-07 08:32:51:561,224325,224325,0,0,104250503760,1083661947,222198,1596,531,369,391621,0 29,2,2024-09-07 08:32:50:866,161988,161988,0,0,6312458,0,4018 29,3,2024-09-07 08:32:50:974,1,127,12,0,105,1069,127,0 30,0,2024-09-07 08:32:51:457,30966,0.7,30109,0.8,63033,0.6,82617,2.25 30,1,2024-09-07 08:32:50:572,223577,223577,0,0,104591973558,1090496420,221702,1597,278,382,391672,0 30,2,2024-09-07 08:32:51:275,161694,161694,0,0,6576845,0,3161 30,3,2024-09-07 08:32:50:581,1,127,1,0,195,938,127,0 31,0,2024-09-07 08:32:51:759,31025,0.8,31093,0.9,63006,0.8,83841,2.00 31,1,2024-09-07 08:32:50:565,224744,224744,0,0,105230270010,1080294307,224127,551,66,356,391553,0 31,2,2024-09-07 08:32:51:275,157257,157257,0,0,7916705,0,3525 31,3,2024-09-07 08:32:51:706,1,127,2,0,220,1111,127,0 32,0,2024-09-07 08:32:51:418,33074,0.6,33436,0.8,66660,0.6,89111,1.75 32,1,2024-09-07 08:32:50:824,223958,223958,0,0,104792061925,1092572495,222220,1463,275,382,391595,0 32,2,2024-09-07 08:32:50:937,162078,162078,0,0,6665372,0,3155 32,3,2024-09-07 08:32:51:015,1,127,1,0,227,1368,127,0 33,0,2024-09-07 08:32:51:500,31130,0.4,30750,0.6,62094,0.3,82281,1.75 33,1,2024-09-07 08:32:50:575,224019,224019,0,0,105113239803,1093167151,221881,1966,172,369,391730,0 33,2,2024-09-07 08:32:50:759,161579,161546,33,0,8616860,0,7012 33,3,2024-09-07 08:32:50:895,1,127,8,0,329,1570,127,0 34,0,2024-09-07 08:32:50:931,31010,0.3,32037,0.5,61465,0.2,82237,1.75 34,1,2024-09-07 08:32:51:058,223782,223782,0,0,105095320383,1086045119,222818,959,5,367,391562,0 34,2,2024-09-07 08:32:50:765,159304,159304,0,0,7309241,0,3255 34,3,2024-09-07 08:32:51:696,1,127,0,0,148,880,127,0 35,0,2024-09-07 08:32:50:861,30808,0.5,31156,0.6,62162,0.5,82439,1.75 35,1,2024-09-07 08:32:51:072,223101,223101,0,0,104915050887,1095605279,220327,2004,770,384,391589,0 35,2,2024-09-07 08:32:51:583,158909,158909,0,0,6847212,0,2653 35,3,2024-09-07 08:32:50:913,1,127,0,0,418,1838,127,0 36,0,2024-09-07 08:32:51:537,32539,1.6,32492,1.3,65685,2.0,87183,3.00 36,1,2024-09-07 08:32:50:586,222595,222595,0,0,104307720663,1100919617,218005,3304,1286,366,391759,0 36,2,2024-09-07 08:32:51:751,160649,160649,0,0,8149255,0,3303 36,3,2024-09-07 08:32:50:866,1,127,11,0,378,1360,127,0 37,0,2024-09-07 08:32:51:380,30582,0.6,30646,0.9,61004,0.6,82073,2.00 37,1,2024-09-07 08:32:50:569,221986,221979,0,7,103479409753,1097573704,217229,2733,2017,365,391518,0 37,2,2024-09-07 08:32:51:145,160479,160464,15,0,8071884,0,5815 37,3,2024-09-07 08:32:51:773,1,127,1,0,724,2353,127,0 38,0,2024-09-07 08:32:51:452,31800,0.4,30840,0.6,64404,0.4,84688,2.00 38,1,2024-09-07 08:32:51:610,223726,223726,0,0,104777153172,1100460261,220270,2892,564,368,391821,0 38,2,2024-09-07 08:32:50:760,159985,159938,47,0,9372234,0,6710 38,3,2024-09-07 08:32:50:998,1,127,0,0,603,1986,127,0 39,0,2024-09-07 08:32:51:766,32700,0.7,31964,0.8,62574,0.8,85562,2.00 39,1,2024-09-07 08:32:50:720,223165,223165,0,0,104343776160,1095750994,219392,2972,801,365,391524,0 39,2,2024-09-07 08:32:51:423,160642,160642,0,0,7264028,0,2689 39,3,2024-09-07 08:32:50:713,1,127,1,0,276,1903,127,0 40,0,2024-09-07 08:32:51:530,31848,1.2,32515,1.5,64425,1.6,86264,3.50 40,1,2024-09-07 08:32:50:577,222936,222936,0,0,103876905871,1093535857,218752,3417,767,369,391591,0 40,2,2024-09-07 08:32:51:307,159414,159413,1,0,8747649,0,5137 40,3,2024-09-07 08:32:51:142,1,127,1,0,181,1430,127,0 41,0,2024-09-07 08:32:51:027,31611,1.9,32449,1.8,62068,3.1,85289,4.50 41,1,2024-09-07 08:32:50:775,223275,223275,0,0,104369403266,1097367053,219431,3314,530,370,391742,0 41,2,2024-09-07 08:32:50:760,160089,160089,0,0,8461049,0,3356 41,3,2024-09-07 08:32:51:676,1,127,10,0,366,1791,127,0 42,0,2024-09-07 08:32:51:477,31762,0.6,32032,0.9,63796,0.5,84607,2.25 42,1,2024-09-07 08:32:51:445,221939,221939,0,0,104123297344,1100222170,217653,3354,932,380,391675,0 42,2,2024-09-07 08:32:51:143,160540,160540,0,0,8503179,0,3568 42,3,2024-09-07 08:32:51:014,1,127,4,0,100,906,127,0 43,0,2024-09-07 08:32:50:928,31289,0.8,30517,0.9,63555,0.8,83389,2.00 43,1,2024-09-07 08:32:50:576,222730,222730,0,0,104660133648,1094647249,219907,2071,752,366,391604,0 43,2,2024-09-07 08:32:51:736,161508,161508,0,0,7991529,0,3812 43,3,2024-09-07 08:32:51:749,1,127,3,0,325,1894,127,0 44,0,2024-09-07 08:32:50:865,32144,0.6,31996,0.8,64365,0.6,85758,2.00 44,1,2024-09-07 08:32:50:566,223869,223869,0,0,104405747057,1080289493,222407,1333,129,356,391809,0 44,2,2024-09-07 08:32:51:267,156986,156986,0,0,6253114,0,1877 44,3,2024-09-07 08:32:51:093,1,127,1,0,817,1572,127,0 45,0,2024-09-07 08:32:51:757,32317,0.7,31644,0.9,66406,0.7,87978,2.00 45,1,2024-09-07 08:32:51:005,223559,223559,0,0,104666935427,1087405185,222558,992,9,382,391917,0 45,2,2024-09-07 08:32:51:271,162247,162247,0,0,6888106,0,3596 45,3,2024-09-07 08:32:50:934,1,127,2,0,226,1217,127,0 46,0,2024-09-07 08:32:50:952,30888,0.4,30834,0.6,61911,0.3,82022,1.75 46,1,2024-09-07 08:32:50:575,223989,223989,0,0,104681349318,1084192747,222538,1345,106,366,391514,0 46,2,2024-09-07 08:32:50:603,162205,162205,0,0,6746125,0,2920 46,3,2024-09-07 08:32:51:131,1,127,1,0,363,1918,127,0 47,0,2024-09-07 08:32:51:106,31075,0.3,31334,0.5,62784,0.3,82328,1.75 47,1,2024-09-07 08:32:50:567,224036,224036,0,0,104745630649,1082570242,223025,1000,11,366,391605,0 47,2,2024-09-07 08:32:50:908,161406,161406,0,0,7121043,0,2558 47,3,2024-09-07 08:32:51:115,1,127,1,0,529,1485,127,0 48,0,2024-09-07 08:32:51:532,30772,0.3,30573,0.5,61187,0.3,81198,1.75 48,1,2024-09-07 08:32:51:035,223518,223518,0,0,105413481798,1099868783,221510,1828,180,384,391710,0 48,2,2024-09-07 08:32:50:700,157707,157707,0,0,6052023,0,2083 48,3,2024-09-07 08:32:50:754,1,127,1,0,339,1229,127,0 49,0,2024-09-07 08:32:51:720,33472,0.6,32833,0.7,64035,0.6,88089,1.75 49,1,2024-09-07 08:32:51:022,222668,222668,0,0,104058110293,1090984073,219936,1892,840,382,391583,0 49,2,2024-09-07 08:32:51:802,162290,162290,0,0,7109432,0,3900 49,3,2024-09-07 08:32:51:422,1,127,1,0,408,1681,127,0 50,0,2024-09-07 08:32:51:510,30895,0.4,30298,0.6,60835,0.3,81486,1.75 50,1,2024-09-07 08:32:51:015,224193,224193,0,0,105121599207,1095270035,221816,2068,309,368,391530,0 50,2,2024-09-07 08:32:51:067,160758,160758,0,0,6778116,0,2253 50,3,2024-09-07 08:32:51:301,1,127,1,0,335,1146,127,0 51,0,2024-09-07 08:32:51:692,32238,0.3,31633,0.4,61680,0.2,83705,1.75 51,1,2024-09-07 08:32:51:689,223433,223433,0,0,105720704665,1097360222,221482,1166,785,367,391637,0 51,2,2024-09-07 08:32:51:319,159514,159514,0,0,6102703,0,2448 51,3,2024-09-07 08:32:51:036,1,127,1,0,162,839,127,0 52,0,2024-09-07 08:32:51:449,31968,0.6,32083,0.8,64342,0.6,84833,2.25 52,1,2024-09-07 08:32:50:575,222144,222144,0,0,103802034511,1100684716,216811,4219,1114,368,391722,0 52,2,2024-09-07 08:32:51:759,158390,158352,38,0,8872219,0,6742 52,3,2024-09-07 08:32:50:677,1,127,1,0,1782,2986,127,0 53,0,2024-09-07 08:32:51:730,31829,1.3,30891,1.2,64608,1.5,85402,3.25 53,1,2024-09-07 08:32:50:775,221571,221571,0,0,104985212521,1116744334,215968,3709,1894,367,391617,0 53,2,2024-09-07 08:32:51:302,161167,161167,0,0,6940998,0,2262 53,3,2024-09-07 08:32:50:699,1,127,3,0,133,1078,127,0 54,0,2024-09-07 08:32:51:617,31208,3.4,31648,1.6,62610,1.9,84058,4.50 54,1,2024-09-07 08:32:50:580,223142,223142,0,0,104610386467,1097427537,219585,3006,551,367,391532,0 54,2,2024-09-07 08:32:50:865,160353,160347,6,0,8254625,0,5382 54,3,2024-09-07 08:32:50:762,1,127,6,0,676,2762,127,0 55,0,2024-09-07 08:32:51:789,30982,0.5,31881,0.8,64704,0.5,84079,2.50 55,1,2024-09-07 08:32:50:764,222378,222378,0,0,103795859697,1093162287,217634,3959,785,367,391731,0 55,2,2024-09-07 08:32:50:731,159945,159945,0,0,8383678,0,3275 55,3,2024-09-07 08:32:50:681,1,127,1,0,304,1596,127,0 56,0,2024-09-07 08:32:51:569,32189,1.4,30411,1.2,62487,2.0,83920,2.50 56,1,2024-09-07 08:32:50:585,222482,222482,0,0,104496633517,1112638759,217468,4112,902,382,391678,0 56,2,2024-09-07 08:32:51:302,160566,160566,0,0,8949809,0,3567 56,3,2024-09-07 08:32:51:065,1,127,3,0,297,1615,127,0 57,0,2024-09-07 08:32:50:955,31375,2.5,31394,1.6,62844,3.9,84263,4.25 57,1,2024-09-07 08:32:50:986,222770,222770,0,0,104000734439,1091900307,220279,2321,170,368,391773,0 57,2,2024-09-07 08:32:51:316,158736,158736,0,0,8499350,0,3178 57,3,2024-09-07 08:32:51:743,1,127,12,0,359,2505,127,0 58,0,2024-09-07 08:32:50:557,31434,0.8,30626,1.0,64155,0.8,84379,2.50 58,1,2024-09-07 08:32:50:575,223613,223610,0,3,104781957086,1097381373,220490,2726,394,369,391516,3 58,2,2024-09-07 08:32:51:074,161237,161237,0,0,7818793,0,2549 58,3,2024-09-07 08:32:51:068,1,127,6,0,219,1098,127,0 59,0,2024-09-07 08:32:51:749,31661,0.9,31341,1.0,62884,0.9,83800,3.00 59,1,2024-09-07 08:32:50:818,223120,223120,0,0,104542150262,1098142959,219688,2510,922,369,391515,0 59,2,2024-09-07 08:32:50:582,162232,162232,0,0,7178905,0,2604 59,3,2024-09-07 08:32:51:742,1,127,1,0,1015,2702,127,0 60,0,2024-09-07 08:32:51:791,31388,0.4,31469,0.6,62363,0.4,82801,1.75 60,1,2024-09-07 08:32:50:784,224768,224768,0,0,104602435112,1086958467,223473,942,353,370,391761,0 60,2,2024-09-07 08:32:51:148,160791,160791,0,0,8113558,0,3811 60,3,2024-09-07 08:32:51:265,1,127,12,0,124,1315,127,0 61,0,2024-09-07 08:32:51:543,31153,1.5,31367,1.2,62596,2.2,84027,2.00 61,1,2024-09-07 08:32:50:778,223059,223059,0,0,105182238662,1104996258,220166,2356,537,382,391589,0 61,2,2024-09-07 08:32:51:128,157969,157969,0,0,6691068,0,2079 61,3,2024-09-07 08:32:51:700,1,127,24,0,199,1701,127,0 62,0,2024-09-07 08:32:51:731,33247,1.1,34102,1.0,65060,1.6,88849,2.25 62,1,2024-09-07 08:32:51:122,224804,224798,0,6,105592821923,1091277852,223377,1383,38,365,391715,6 62,2,2024-09-07 08:32:51:648,160745,160744,1,0,8284500,0,5555 62,3,2024-09-07 08:32:51:145,1,127,1,0,287,1068,127,0 63,0,2024-09-07 08:32:51:483,30789,0.6,30841,0.7,61682,0.7,81986,2.00 63,1,2024-09-07 08:32:50:804,224378,224372,0,6,105218590729,1091654499,223265,1087,20,381,391542,6 63,2,2024-09-07 08:32:50:765,161343,161343,0,0,6466589,0,2674 63,3,2024-09-07 08:32:51:736,1,127,1,0,667,2091,127,0 64,0,2024-09-07 08:32:51:544,30983,0.4,30828,0.6,61725,0.4,82281,1.75 64,1,2024-09-07 08:32:50:769,223397,223397,0,0,104610553189,1100041982,219958,2387,1052,371,391783,0 64,2,2024-09-07 08:32:51:145,160856,160837,19,0,7559529,0,6121 64,3,2024-09-07 08:32:51:146,1,127,1,0,265,1686,127,0 65,0,2024-09-07 08:32:51:690,30454,1.3,30913,1.1,61708,1.8,81642,2.75 65,1,2024-09-07 08:32:50:862,222485,222485,0,0,104095940557,1093488903,220097,2166,222,382,391770,0 65,2,2024-09-07 08:32:51:697,159348,159348,0,0,7992701,0,3367 65,3,2024-09-07 08:32:51:684,1,127,0,0,163,1405,127,0 66,0,2024-09-07 08:32:51:775,32229,1.2,32319,1.1,64783,2.0,86439,3.00 66,1,2024-09-07 08:32:51:302,223896,223896,0,0,105138077141,1095785572,222112,1613,171,380,391588,0 66,2,2024-09-07 08:32:51:132,162957,162957,0,0,7264574,0,4956 66,3,2024-09-07 08:32:51:079,1,127,1,0,291,1624,127,0 67,0,2024-09-07 08:32:51:423,30985,1.1,30928,1.1,61882,1.5,82171,2.75 67,1,2024-09-07 08:32:50:765,222636,222635,0,1,104216611027,1096521966,219190,2699,746,381,391787,1 67,2,2024-09-07 08:32:50:587,161268,161268,0,0,6634741,0,2889 67,3,2024-09-07 08:32:51:764,1,127,7,0,138,1199,127,0 68,0,2024-09-07 08:32:50:593,32173,0.5,32045,0.7,63932,0.5,84873,2.00 68,1,2024-09-07 08:32:50:588,222347,222347,0,0,104098497807,1097827640,219559,1772,1016,381,391953,0 68,2,2024-09-07 08:32:51:048,158810,158745,65,0,9624041,0,6698 68,3,2024-09-07 08:32:50:736,1,127,4,0,417,1748,127,0 69,0,2024-09-07 08:32:51:729,31778,1.0,32019,1.0,63678,1.1,85071,2.25 69,1,2024-09-07 08:32:51:022,222062,222062,0,0,104180762553,1106380040,218745,2196,1121,384,391611,0 69,2,2024-09-07 08:32:51:737,160508,160508,0,0,8765528,0,3701 69,3,2024-09-07 08:32:50:761,1,127,9,0,238,1684,127,0 70,0,2024-09-07 08:32:51:533,32052,3.0,32006,1.9,64381,2.7,85597,4.25 70,1,2024-09-07 08:32:50:801,223510,223510,0,0,105091741207,1097521114,221130,1918,462,367,391725,0 70,2,2024-09-07 08:32:51:325,159556,159556,0,0,7851922,0,4044 70,3,2024-09-07 08:32:50:745,1,127,1,0,178,897,127,0 71,0,2024-09-07 08:32:51:374,31630,2.0,31857,1.7,62964,2.8,85267,3.75 71,1,2024-09-07 08:32:51:597,223217,223217,0,0,104994759289,1104888487,219275,3420,522,368,391682,0 71,2,2024-09-07 08:32:51:069,161381,161381,0,0,7677523,0,2470 71,3,2024-09-07 08:32:51:750,1,127,15,0,644,2460,127,0 72,0,2024-09-07 08:32:51:030,33356,0.5,32497,0.7,63772,0.5,86687,2.25 72,1,2024-09-07 08:32:51:032,223059,223059,0,0,104356045057,1092953871,220174,2402,483,370,391819,0 72,2,2024-09-07 08:32:51:797,160185,160185,0,0,9313343,0,2570 72,3,2024-09-07 08:32:51:765,1,127,7,0,325,1984,127,0 73,0,2024-09-07 08:32:51:110,30645,0.4,31334,0.6,64026,0.3,83130,2.25 73,1,2024-09-07 08:32:50:770,223149,223149,0,0,104604611843,1088444965,221504,1531,114,368,391627,0 73,2,2024-09-07 08:32:51:750,161622,161622,0,0,8657697,0,3482 73,3,2024-09-07 08:32:50:969,1,127,8,0,274,2081,127,0 74,0,2024-09-07 08:32:51:360,32301,0.7,32763,0.9,62602,0.7,85307,2.50 74,1,2024-09-07 08:32:50:642,223454,223454,0,0,104240316345,1091583879,220737,2098,619,382,391681,0 74,2,2024-09-07 08:32:51:004,157195,157195,0,0,8322063,0,4253 74,3,2024-09-07 08:32:51:446,1,127,7,0,246,2039,127,0 75,0,2024-09-07 08:32:51:838,32819,0.7,32732,0.9,65365,0.6,88144,2.50 75,1,2024-09-07 08:32:51:594,222960,222960,0,0,104487673878,1095729680,220356,2240,364,381,391579,0 75,2,2024-09-07 08:32:51:358,161042,161042,0,0,8069402,0,4766 75,3,2024-09-07 08:32:51:069,1,127,2,0,535,1625,127,0 76,0,2024-09-07 08:32:50:601,30898,0.4,30659,0.7,61211,0.4,82325,2.25 76,1,2024-09-07 08:32:50:814,223253,223253,0,0,104271287839,1090926391,221337,1406,510,382,391530,0 76,2,2024-09-07 08:32:51:073,162774,162774,0,0,6835852,0,3064 76,3,2024-09-07 08:32:51:147,1,127,12,0,175,1542,127,0 77,0,2024-09-07 08:32:51:772,31123,0.6,31288,0.7,62703,0.6,82217,2.00 77,1,2024-09-07 08:32:50:826,223609,223609,0,0,104117121638,1088081376,222117,1411,81,383,391808,0 77,2,2024-09-07 08:32:51:283,160545,160545,0,0,7177213,0,3890 77,3,2024-09-07 08:32:51:094,1,127,12,0,305,1795,127,0 78,0,2024-09-07 08:32:51:736,30592,0.6,30557,0.8,61304,0.6,80911,2.25 78,1,2024-09-07 08:32:50:610,223769,223769,0,0,103789339140,1081081950,221996,1567,206,367,391589,0 78,2,2024-09-07 08:32:51:408,158672,158672,0,0,6556143,0,2114 78,3,2024-09-07 08:32:51:133,1,127,52,0,181,1515,127,0 79,0,2024-09-07 08:32:51:383,31640,0.5,32325,0.8,66242,0.5,86920,2.50 79,1,2024-09-07 08:32:50:571,224162,224162,0,0,105167784477,1092611664,222151,1840,171,369,391682,0 79,2,2024-09-07 08:32:51:070,162997,162997,0,0,6839277,0,3212 79,3,2024-09-07 08:32:50:753,1,127,11,0,418,2531,127,0 80,0,2024-09-07 08:32:51:174,30585,0.8,31395,0.9,60424,0.9,81777,2.50 80,1,2024-09-07 08:32:51:644,222601,222601,0,0,104385821191,1092022126,220130,2312,159,368,391673,0 80,2,2024-09-07 08:32:51:099,161734,161734,0,0,7630787,0,4433 80,3,2024-09-07 08:32:50:583,1,127,4,0,190,2419,127,0 81,0,2024-09-07 08:32:51:567,31575,0.5,32472,0.6,62122,0.4,83477,1.75 81,1,2024-09-07 08:32:51:651,223129,223129,0,0,104159260978,1094395726,220690,2167,272,382,391879,0 81,2,2024-09-07 08:32:51:131,159114,159114,0,0,7967944,0,3993 81,3,2024-09-07 08:32:51:126,1,127,17,0,193,1401,127,0 82,0,2024-09-07 08:32:51:533,31692,0.6,31831,0.8,64002,0.6,85035,2.00 82,1,2024-09-07 08:32:50:586,222894,222890,0,4,104759119003,1097599519,220472,1842,576,382,391558,4 82,2,2024-09-07 08:32:51:697,160785,160785,0,0,7294207,0,3986 82,3,2024-09-07 08:32:51:757,1,127,1,0,227,1736,127,0 83,0,2024-09-07 08:32:51:527,32218,1.0,31998,1.0,63437,1.1,85523,2.50 83,1,2024-09-07 08:32:50:552,223567,223567,0,0,104006989863,1090366966,221277,2100,190,383,391558,0 83,2,2024-09-07 08:32:50:772,160303,160303,0,0,7228118,0,3119 83,3,2024-09-07 08:32:50:753,1,127,1,0,133,1232,127,0 84,0,2024-09-07 08:32:51:806,31947,2.1,31629,1.6,63631,1.7,85381,3.00 84,1,2024-09-07 08:32:51:062,222166,222166,0,0,104191906571,1096693986,219131,2585,450,368,391852,0 84,2,2024-09-07 08:32:50:578,160475,160475,0,0,8183463,0,3801 84,3,2024-09-07 08:32:51:146,1,127,35,1,236,1564,127,0 85,0,2024-09-07 08:32:51:040,30934,0.5,30947,0.8,65673,0.5,85261,2.25 85,1,2024-09-07 08:32:50:564,221899,221899,0,0,104034876022,1110509180,216535,4291,1073,382,391679,0 85,2,2024-09-07 08:32:50:866,160560,160560,0,0,8947417,0,3656 85,3,2024-09-07 08:32:50:686,1,127,13,0,115,1353,127,0 86,0,2024-09-07 08:32:50:892,31406,0.6,32375,0.7,62059,0.7,83772,2.00 86,1,2024-09-07 08:32:50:828,222933,222933,0,0,104877401711,1104931067,219154,3236,543,366,391961,0 86,2,2024-09-07 08:32:50:860,160091,160090,1,0,9360986,0,5004 86,3,2024-09-07 08:32:50:586,1,127,1,0,286,2018,127,0 87,0,2024-09-07 08:32:51:314,31951,2.1,31999,1.4,63817,3.2,86459,2.75 87,1,2024-09-07 08:32:50:562,222316,222316,0,0,104185876673,1097757171,219150,2776,390,366,391788,0 87,2,2024-09-07 08:32:51:098,158384,158384,0,0,7304175,0,3515 87,3,2024-09-07 08:32:51:805,1,127,6,0,335,2356,127,0 88,0,2024-09-07 08:32:51:499,32224,0.6,32475,0.7,64520,0.6,86475,1.75 88,1,2024-09-07 08:32:50:639,221935,221935,0,0,104043220901,1097174172,218374,2444,1117,367,391787,0 88,2,2024-09-07 08:32:50:718,161296,161296,0,0,8310974,0,3583 88,3,2024-09-07 08:32:51:285,1,127,90,1,435,1870,127,0 89,0,2024-09-07 08:32:51:847,32610,0.5,31673,0.7,62697,0.5,85277,1.75 89,1,2024-09-07 08:32:50:568,221787,221787,0,0,103913494705,1105427657,216739,4115,933,382,391866,0 89,2,2024-09-07 08:32:51:172,161432,161432,0,0,8751099,0,2910 89,3,2024-09-07 08:32:51:818,1,127,112,0,325,2828,127,0 90,0,2024-09-07 08:32:51:707,30308,0.4,30900,0.6,63546,0.4,82583,1.75 90,1,2024-09-07 08:32:50:600,223377,223377,0,0,103522501315,1090500296,220639,2580,158,382,391825,0 90,2,2024-09-07 08:32:51:416,159856,159856,0,0,9228037,0,3060 90,3,2024-09-07 08:32:50:941,1,127,28,1,200,1578,127,0 91,0,2024-09-07 08:32:51:000,31746,0.5,30677,0.6,63681,0.5,84316,1.75 91,1,2024-09-07 08:32:50:563,222989,222989,0,0,104439707906,1101763226,219525,2971,493,381,391960,0 91,2,2024-09-07 08:32:51:336,156098,156098,0,0,8536257,0,2445 91,3,2024-09-07 08:32:50:609,1,127,1,0,155,1544,127,0 92,0,2024-09-07 08:32:51:467,33544,0.7,34309,0.8,65620,0.8,89200,1.75 92,1,2024-09-07 08:32:50:612,223206,223206,0,0,104130383490,1090434179,221289,1522,395,382,391717,0 92,2,2024-09-07 08:32:51:359,163604,163604,0,0,6831334,0,2279 92,3,2024-09-07 08:32:51:014,1,127,2,0,68,1196,127,0 93,0,2024-09-07 08:32:50:965,31020,0.5,31791,0.7,60926,0.5,82348,1.75 93,1,2024-09-07 08:32:50:839,223253,223253,0,0,104620091095,1095367703,220831,1976,446,366,391689,0 93,2,2024-09-07 08:32:50:936,161546,161546,0,0,6935224,0,2509 93,3,2024-09-07 08:32:51:412,1,127,4,0,143,1410,127,0 94,0,2024-09-07 08:32:51:617,31060,0.3,31346,0.5,62125,0.3,82114,1.75 94,1,2024-09-07 08:32:50:564,223189,223189,0,0,103978714458,1091485871,220908,2190,91,381,391850,0 94,2,2024-09-07 08:32:50:764,159640,159640,0,0,6861748,0,2443 94,3,2024-09-07 08:32:51:704,1,127,2,0,264,2117,127,0 95,0,2024-09-07 08:32:51:438,31231,0.4,31161,0.6,62506,0.3,82440,1.75 95,1,2024-09-07 08:32:50:853,223504,223504,0,0,104007439020,1082027845,222155,1268,81,367,391600,0 95,2,2024-09-07 08:32:51:018,159302,159302,0,0,7127889,0,3308 95,3,2024-09-07 08:32:51:713,1,127,3,0,307,2087,127,0 96,0,2024-09-07 08:32:51:032,32729,0.8,32818,0.8,65532,1.0,87126,2.00 96,1,2024-09-07 08:32:51:584,222756,222756,0,0,103655332407,1090707745,220173,1942,641,385,391894,0 96,2,2024-09-07 08:32:51:276,161441,161441,0,0,7752337,0,4042 96,3,2024-09-07 08:32:51:157,1,127,5,0,188,1541,127,0 97,0,2024-09-07 08:32:51:337,31002,0.5,31029,0.6,62067,0.6,82146,1.75 97,1,2024-09-07 08:32:50:764,223028,223028,0,0,104226557778,1089665439,220278,2203,547,367,392140,0 97,2,2024-09-07 08:32:50:611,160282,160282,0,0,7097171,0,3036 97,3,2024-09-07 08:32:50:577,1,127,14,1,165,1592,127,0 98,0,2024-09-07 08:32:51:717,32039,0.3,31874,0.4,64230,0.2,85231,1.50 98,1,2024-09-07 08:32:50:571,223302,223302,0,0,105031944672,1098726983,221529,1689,84,382,391997,0 98,2,2024-09-07 08:32:50:778,161046,161046,0,0,7353443,0,3080 98,3,2024-09-07 08:32:50:701,1,127,2,1,840,2817,127,0 99,0,2024-09-07 08:32:51:519,31930,0.3,32010,0.5,63951,0.3,85377,1.75 99,1,2024-09-07 08:32:51:739,223423,223423,0,0,103815620054,1087879253,220929,1844,650,381,391744,0 99,2,2024-09-07 08:32:51:425,162145,162145,0,0,7153888,0,1973 99,3,2024-09-07 08:32:50:587,1,127,13,0,129,1010,127,0 100,0,2024-09-07 08:32:51:465,32230,1.9,32284,1.8,64545,3.2,86378,3.00 100,1,2024-09-07 08:32:50:642,221462,221462,0,0,103719793939,1108308423,216307,4226,929,381,391989,0 100,2,2024-09-07 08:32:51:823,158919,158908,11,0,8380811,0,5417 100,3,2024-09-07 08:32:51:738,1,127,559,0,559,3266,127,0 101,0,2024-09-07 08:32:51:772,32557,3.0,31727,1.7,62396,3.3,86873,3.50 101,1,2024-09-07 08:32:50:579,221779,221779,0,0,104190547621,1109855871,216651,3662,1466,368,391709,0 101,2,2024-09-07 08:32:51:765,160214,160214,0,0,9343451,0,4644 101,3,2024-09-07 08:32:50:950,1,127,12,0,448,1860,127,0 102,0,2024-09-07 08:32:51:016,31512,0.7,32708,0.8,65567,0.6,86093,2.00 102,1,2024-09-07 08:32:51:178,222303,222303,0,0,104049743480,1101623261,218379,3216,708,369,391831,0 102,2,2024-09-07 08:32:51:851,161697,161643,54,0,8960103,0,6768 102,3,2024-09-07 08:32:51:625,1,127,1,0,410,1584,127,0 103,0,2024-09-07 08:32:51:677,32255,0.5,32197,0.7,60912,0.4,83508,1.75 103,1,2024-09-07 08:32:51:640,221811,221811,0,0,104585099746,1108086879,217763,2855,1193,381,391829,0 103,2,2024-09-07 08:32:50:583,160468,160468,0,0,8059835,0,2104 103,3,2024-09-07 08:32:50:758,1,127,6,0,916,2645,127,0 104,0,2024-09-07 08:32:51:025,31744,1.0,31839,1.1,62698,1.1,85591,2.25 104,1,2024-09-07 08:32:51:607,223087,223087,0,0,104330359156,1105032147,218667,3558,862,366,391948,0 104,2,2024-09-07 08:32:51:671,156410,156410,0,0,7952324,0,3941 104,3,2024-09-07 08:32:51:422,1,127,1,1,1245,4813,127,0 105,0,2024-09-07 08:32:51:028,32505,1.0,31423,1.2,65631,1.4,87475,3.25 105,1,2024-09-07 08:32:50:565,223082,223082,0,0,104422795959,1101101458,219163,3149,770,367,391797,0 105,2,2024-09-07 08:32:51:332,160995,160995,0,0,7746481,0,3509 105,3,2024-09-07 08:32:51:309,1,127,16,1,399,2131,127,0 106,0,2024-09-07 08:32:51:049,30005,0.6,30709,0.8,62471,0.6,82051,2.00 106,1,2024-09-07 08:32:51:760,222610,222610,0,0,103793883779,1092756049,219230,3029,351,369,391767,0 106,2,2024-09-07 08:32:50:758,161404,161404,0,0,7935020,0,2795 106,3,2024-09-07 08:32:50:682,1,127,5,0,201,1786,127,0 107,0,2024-09-07 08:32:51:111,31247,0.4,31130,0.6,61915,0.4,82495,1.75 107,1,2024-09-07 08:32:50:591,222456,222456,0,0,103512759479,1093057102,219629,2603,224,381,392234,0 107,2,2024-09-07 08:32:51:321,159780,159779,1,0,8048302,0,5024 107,3,2024-09-07 08:32:51:772,1,127,12,0,353,1709,127,0 108,0,2024-09-07 08:32:51:785,30723,0.4,30855,0.7,61133,0.4,81301,1.75 108,1,2024-09-07 08:32:51:302,222957,222957,0,0,104438123635,1093668478,220660,2011,286,368,391857,0 108,2,2024-09-07 08:32:51:772,157395,157395,0,0,7480204,0,2647 108,3,2024-09-07 08:32:51:335,1,127,3,0,272,1821,127,0 109,0,2024-09-07 08:32:51:772,32942,0.5,32755,0.7,65283,0.5,88338,1.75 109,1,2024-09-07 08:32:50:583,222545,222545,0,0,104565065467,1100776695,220144,1939,462,383,391812,0 109,2,2024-09-07 08:32:50:921,161064,161064,0,0,7730761,0,3617 109,3,2024-09-07 08:32:51:142,1,127,10,1,249,1890,127,0 110,0,2024-09-07 08:32:51:831,30822,0.5,29965,0.7,62647,0.5,81843,1.75 110,1,2024-09-07 08:32:51:674,223463,223463,0,0,104443121825,1091303206,221103,1660,700,370,391667,0 110,2,2024-09-07 08:32:51:320,161716,161716,0,0,6825214,0,2915 110,3,2024-09-07 08:32:50:702,1,127,23,0,406,1974,127,0 111,0,2024-09-07 08:32:51:414,31709,0.2,31185,0.4,62463,0.2,83589,1.50 111,1,2024-09-07 08:32:51:000,223871,223871,0,0,105225621650,1094697365,222222,1317,332,382,391690,0 111,2,2024-09-07 08:32:51:116,159717,159717,0,0,7582448,0,2763 111,3,2024-09-07 08:32:50:912,1,127,1,0,300,1941,127,0 112,0,2024-09-07 08:32:50:937,32077,0.3,32035,0.5,64018,0.2,85261,1.50 112,1,2024-09-07 08:32:50:826,223386,223386,0,0,104536916457,1092322670,221063,1898,425,381,391580,0 112,2,2024-09-07 08:32:51:152,160135,160134,1,0,7831338,0,5036 112,3,2024-09-07 08:32:50:593,1,127,2,0,282,1590,127,0 113,0,2024-09-07 08:32:50:869,31986,0.5,31757,0.6,64375,0.4,86503,1.75 113,1,2024-09-07 08:32:51:687,223844,223844,0,0,105565364271,1097807698,221437,1919,488,366,391661,0 113,2,2024-09-07 08:32:51:302,161581,161581,0,0,6819450,0,3813 113,3,2024-09-07 08:32:50:690,1,127,5,0,288,2111,127,0 114,0,2024-09-07 08:32:50:878,32719,0.8,33244,0.8,65071,0.6,87021,2.00 114,1,2024-09-07 08:32:50:720,223105,223105,0,0,104823928659,1104667816,219003,2640,1462,381,391534,0 114,2,2024-09-07 08:32:50:878,160532,160532,0,0,7082381,0,3925 114,3,2024-09-07 08:32:51:281,1,127,0,0,395,1903,127,0 115,0,2024-09-07 08:32:50:555,32010,0.3,32339,0.4,64787,0.2,85896,1.50 115,1,2024-09-07 08:32:50:572,223454,223454,0,0,104738123669,1099053248,219815,2818,821,382,391602,0 115,2,2024-09-07 08:32:51:131,161484,161484,0,0,6592776,0,2152 115,3,2024-09-07 08:32:51:002,1,127,1,0,159,1031,127,0 116,0,2024-09-07 08:32:51:713,31627,0.7,31142,0.8,62780,0.8,83718,2.00 116,1,2024-09-07 08:32:50:818,221620,221620,0,0,104311116686,1116350625,216806,3062,1752,382,391677,0 116,2,2024-09-07 08:32:51:763,159732,159732,0,0,9448980,0,3529 116,3,2024-09-07 08:32:50:917,1,127,7,0,252,2083,127,0 117,0,2024-09-07 08:32:50:995,31941,1.8,32055,1.3,63618,3.0,86080,2.25 117,1,2024-09-07 08:32:51:760,222745,222745,0,0,104197484647,1099106896,219200,3119,426,370,392033,0 117,2,2024-09-07 08:32:51:118,159244,159244,0,0,7346818,0,3700 117,3,2024-09-07 08:32:51:059,1,127,10,0,490,3007,127,0 118,0,2024-09-07 08:32:51:980,31453,0.8,32310,0.9,65865,0.9,85998,2.25 118,1,2024-09-07 08:32:50:671,222182,222182,0,0,103543534780,1100939364,217588,3305,1289,368,391736,0 118,2,2024-09-07 08:32:51:587,161049,161049,0,0,8480406,0,2781 118,3,2024-09-07 08:32:51:772,1,127,9,0,235,1956,127,0 119,0,2024-09-07 08:32:51:816,31283,0.9,31473,0.9,63803,1.2,84450,2.00 119,1,2024-09-07 08:32:50:550,223057,223057,0,0,104913325584,1099635276,220723,2050,284,370,391641,0 119,2,2024-09-07 08:32:51:346,160502,160502,0,0,7907443,0,3526 119,3,2024-09-07 08:32:51:344,1,127,58,0,443,2656,127,0 120,0,2024-09-07 08:32:51:571,30838,0.5,30951,0.8,61794,0.5,82581,2.00 120,1,2024-09-07 08:32:50:861,223081,223081,0,0,104120227121,1095927917,220430,2417,234,368,391961,0 120,2,2024-09-07 08:32:50:777,160176,160175,1,0,9553035,0,5281 120,3,2024-09-07 08:32:51:297,1,127,0,0,241,1901,127,0 121,0,2024-09-07 08:32:51:768,31056,1.6,31585,1.2,62737,2.5,84127,2.25 121,1,2024-09-07 08:32:51:682,223325,223325,0,0,104263404877,1094254319,220797,2245,283,367,391840,0 121,2,2024-09-07 08:32:51:131,156229,156229,0,0,8539206,0,4127 121,3,2024-09-07 08:32:50:729,1,127,269,0,269,1845,127,0 122,0,2024-09-07 08:32:51:885,32878,1.3,32043,1.3,66918,1.5,89102,2.25 122,1,2024-09-07 08:32:50:869,222341,222341,0,0,104130503469,1098640128,218504,3176,661,366,392130,0 122,2,2024-09-07 08:32:51:321,162018,162018,0,0,9521477,0,3364 122,3,2024-09-07 08:32:50:597,1,127,4,0,320,2937,127,0 123,0,2024-09-07 08:32:50:966,30931,1.0,30033,0.9,62823,1.2,82056,2.25 123,1,2024-09-07 08:32:50:561,223405,223405,0,0,104935527414,1110065234,218715,4014,676,369,391823,0 123,2,2024-09-07 08:32:51:025,160377,160376,1,0,8129557,0,5215 123,3,2024-09-07 08:32:51:132,1,127,2,1,168,1808,127,0 124,0,2024-09-07 08:32:50:927,31948,0.3,31977,0.5,60346,0.2,82416,1.50 124,1,2024-09-07 08:32:51:035,223192,223192,0,0,104246797841,1085523628,221385,1454,353,367,392178,0 124,2,2024-09-07 08:32:51:015,160225,160225,0,0,6811666,0,3101 124,3,2024-09-07 08:32:50:762,1,127,6,0,490,2079,127,0 125,0,2024-09-07 08:32:51:434,31283,0.5,31146,0.6,62598,0.5,82454,1.75 125,1,2024-09-07 08:32:50:855,222877,222877,0,0,104699863079,1096891075,220570,2032,275,384,391702,0 125,2,2024-09-07 08:32:51:131,159551,159551,0,0,6849411,0,2180 125,3,2024-09-07 08:32:51:129,1,127,5,1,284,1771,127,0 126,0,2024-09-07 08:32:51:440,32811,0.8,33620,0.8,64243,0.9,87724,2.00 126,1,2024-09-07 08:32:50:551,223856,223856,0,0,104951898338,1090972169,222367,1413,76,365,391987,0 126,2,2024-09-07 08:32:50:610,162131,162131,0,0,6992674,0,3186 126,3,2024-09-07 08:32:50:921,1,127,22,0,136,1812,127,0 127,0,2024-09-07 08:32:51:625,30797,0.5,31061,0.6,62077,0.5,82237,1.75 127,1,2024-09-07 08:32:50:572,223400,223400,0,0,104454675795,1085514472,221647,1718,35,365,391816,0 127,2,2024-09-07 08:32:50:640,160849,160849,0,0,6426550,0,1803 127,3,2024-09-07 08:32:51:271,1,127,2,0,99,933,127,0 128,0,2024-09-07 08:32:51:521,32258,0.3,32105,0.5,63992,0.2,85185,1.50 128,1,2024-09-07 08:32:51:612,223652,223652,0,0,104999050306,1090628814,222719,862,71,367,391680,0 128,2,2024-09-07 08:32:51:383,159717,159717,0,0,7117340,0,2107 128,3,2024-09-07 08:32:50:775,1,127,5,0,333,2091,127,0 129,0,2024-09-07 08:32:50:997,32158,0.3,32027,0.5,63843,0.3,85243,1.75 129,1,2024-09-07 08:32:50:589,222393,222393,0,0,104321573939,1098416329,219493,2510,390,379,391835,0 129,2,2024-09-07 08:32:50:688,160580,160580,0,0,7365127,0,4031 129,3,2024-09-07 08:32:50:694,1,127,8,1,173,1736,127,0 130,0,2024-09-07 08:32:51:743,32803,1.0,32520,0.9,65400,1.5,87371,2.00 130,1,2024-09-07 08:32:50:584,223143,223143,0,0,104309471656,1091751904,221101,1973,69,381,391825,0 130,2,2024-09-07 08:32:51:132,159491,159491,0,0,6946797,0,4067 130,3,2024-09-07 08:32:51:301,1,127,10,0,207,1212,127,0 131,0,2024-09-07 08:32:52:000,32376,0.7,32478,0.8,65714,0.9,87585,1.75 131,1,2024-09-07 08:32:51:836,224035,224035,0,0,104359821262,1089893535,222588,1241,206,384,391865,0 131,2,2024-09-07 08:32:50:568,161543,161543,0,0,6687548,0,2415 131,3,2024-09-07 08:32:51:725,1,127,12,0,392,1589,127,0 132,0,2024-09-07 08:32:51:426,31929,0.5,32474,0.8,64969,0.5,86469,2.00 132,1,2024-09-07 08:32:50:577,221444,221444,0,0,103491794822,1103903084,216057,4360,1027,381,391760,0 132,2,2024-09-07 08:32:50:708,160559,160559,0,0,9849583,0,4606 132,3,2024-09-07 08:32:51:696,1,127,1,0,356,2657,127,0 133,0,2024-09-07 08:32:51:533,30588,0.4,31229,0.6,64128,0.4,82836,1.75 133,1,2024-09-07 08:32:50:584,221243,221243,0,0,104035491556,1106804470,216768,3837,638,383,391755,0 133,2,2024-09-07 08:32:51:088,160467,160467,0,0,9591708,0,4315 133,3,2024-09-07 08:32:51:301,1,127,7,1,187,1223,127,0 134,0,2024-09-07 08:32:50:949,31908,0.7,31755,0.9,64140,0.7,85276,2.00 134,1,2024-09-07 08:32:50:587,221832,221832,0,0,103968745681,1096776382,217883,2772,1177,366,391718,0 134,2,2024-09-07 08:32:51:780,156893,156893,0,0,7664861,0,3096 134,3,2024-09-07 08:32:50:754,1,127,61,0,739,2818,127,0 135,0,2024-09-07 08:32:51:124,31908,1.3,31821,1.2,67217,1.4,86973,2.50 135,1,2024-09-07 08:32:51:611,221948,221948,0,0,103985645405,1100762185,218041,3207,700,380,391725,0 135,2,2024-09-07 08:32:50:691,161979,161979,0,0,8592867,0,3981 135,3,2024-09-07 08:32:51:002,1,127,1,0,89,798,127,0 136,0,2024-09-07 08:32:51:628,31208,0.6,31008,0.8,62519,0.6,83073,2.00 136,1,2024-09-07 08:32:51:445,222483,222483,0,0,104023713816,1093666178,219540,2774,169,384,391641,0 136,2,2024-09-07 08:32:51:145,162737,162737,0,0,7789448,0,3506 136,3,2024-09-07 08:32:51:111,1,127,2,0,108,1137,127,0 137,0,2024-09-07 08:32:50:922,31926,0.5,31016,0.7,61507,0.5,82694,2.00 137,1,2024-09-07 08:32:50:628,222879,222879,0,0,104595433801,1098587567,219404,3163,312,367,391608,0 137,2,2024-09-07 08:32:51:714,159815,159815,0,0,9271289,0,3185 137,3,2024-09-07 08:32:50:775,1,127,3,1,227,1650,127,0 138,0,2024-09-07 08:32:51:845,30072,1.9,30244,1.3,60982,3.0,81277,2.50 138,1,2024-09-07 08:32:51:703,223327,223327,0,0,104988806730,1101804095,220385,2594,348,368,391954,0 138,2,2024-09-07 08:32:50:593,158581,158581,0,0,7373699,0,3263 138,3,2024-09-07 08:32:50:613,1,127,1,0,1160,2504,127,0 139,0,2024-09-07 08:32:51:522,31894,3.2,31919,1.8,64720,4.4,87245,3.00 139,1,2024-09-07 08:32:50:590,222175,222175,0,0,103634071426,1104603294,217527,3340,1308,381,391892,0 139,2,2024-09-07 08:32:50:695,160438,160438,0,0,8471330,0,3097 139,3,2024-09-07 08:32:51:682,1,127,55,0,244,1693,127,0 140,0,2024-09-07 08:32:51:620,30930,0.4,30798,0.6,61726,0.4,81903,1.75 140,1,2024-09-07 08:32:51:537,224040,224040,0,0,105244497832,1088269612,222627,1174,239,365,391606,0 140,2,2024-09-07 08:32:50:687,161917,161917,0,0,7333142,0,3388 140,3,2024-09-07 08:32:50:766,1,127,1,0,247,1215,127,0 141,0,2024-09-07 08:32:51:701,31217,0.2,32250,0.4,61912,0.1,83537,1.50 141,1,2024-09-07 08:32:50:865,223741,223741,0,0,104950097285,1092088701,222014,1375,352,379,391539,0 141,2,2024-09-07 08:32:51:688,159629,159629,0,0,6618428,0,2342 141,3,2024-09-07 08:32:51:043,1,127,12,0,147,1144,127,0 142,0,2024-09-07 08:32:51:313,32386,0.3,32117,0.5,63731,0.3,85402,1.75 142,1,2024-09-07 08:32:50:586,223608,223608,0,0,104797721242,1093678970,222458,1016,134,383,391649,0 142,2,2024-09-07 08:32:51:302,158975,158943,32,0,8130784,0,6028 142,3,2024-09-07 08:32:51:757,1,127,1,0,484,2003,127,0 143,0,2024-09-07 08:32:51:369,32128,0.8,32259,0.8,64823,0.9,86424,2.00 143,1,2024-09-07 08:32:50:560,223340,223340,0,0,104428313992,1087778282,221318,1981,41,367,391619,0 143,2,2024-09-07 08:32:50:775,160466,160466,0,0,7169671,0,2669 143,3,2024-09-07 08:32:51:140,1,127,1,0,303,2254,127,0 144,0,2024-09-07 08:32:51:521,31219,1.2,32071,1.9,65070,1.5,85697,2.50 144,1,2024-09-07 08:32:50:565,222387,222387,0,0,104202563421,1097966896,220119,2066,202,381,391649,0 144,2,2024-09-07 08:32:51:785,161001,161001,0,0,7092745,0,3473 144,3,2024-09-07 08:32:51:758,1,127,19,0,249,1894,127,0 145,0,2024-09-07 08:32:51:379,31022,0.6,31020,0.9,65845,0.5,85583,2.25 145,1,2024-09-07 08:32:50:557,221217,221217,0,0,104191637964,1106274295,216919,3565,733,383,391615,0 145,2,2024-09-07 08:32:51:433,160704,160704,0,0,8159739,0,3903 145,3,2024-09-07 08:32:50:897,1,127,63,0,151,1669,127,0 146,0,2024-09-07 08:32:51:595,31310,0.5,31165,0.7,63017,0.5,83295,2.00 146,1,2024-09-07 08:32:51:585,223680,223680,0,0,104477012333,1100862446,219561,3323,796,368,391600,0 146,2,2024-09-07 08:32:51:710,160877,160877,0,0,7766005,0,2498 146,3,2024-09-07 08:32:51:282,1,127,15,0,1520,4602,127,0 147,0,2024-09-07 08:32:51:747,32023,1.6,31964,1.3,63225,2.4,86359,2.75 147,1,2024-09-07 08:32:51:381,224031,224031,0,0,104854549087,1093196684,221733,1907,391,368,391791,0 147,2,2024-09-07 08:32:51:016,158598,158598,0,0,6982782,0,2789 147,3,2024-09-07 08:32:50:928,1,127,4,0,371,1708,127,0 0,0,2024-09-07 08:33:01:707,30246,0.5,30327,0.7,64136,0.5,83059,1.75 0,1,2024-09-07 08:33:00:801,224685,224685,0,0,105874196823,1111905383,222968,1586,131,372,391692,0 0,2,2024-09-07 08:33:01:068,161981,161981,0,0,7781362,0,4480 0,3,2024-09-07 08:33:00:974,1,128,1,0,247,1858,128,0 1,0,2024-09-07 08:33:01:760,31723,1.9,31471,1.5,63258,2.6,84865,2.50 1,1,2024-09-07 08:33:00:558,223755,223755,0,0,104667841333,1104832687,220333,2557,865,372,391857,0 1,2,2024-09-07 08:33:00:640,158048,158048,0,0,6866447,0,3267 1,3,2024-09-07 08:33:01:302,1,128,1,0,262,1713,128,0 2,0,2024-09-07 08:33:01:572,33303,1.0,33510,1.1,66580,1.3,89400,2.25 2,1,2024-09-07 08:33:00:860,224435,224435,0,0,105520303638,1100773529,223023,1206,206,380,391558,0 2,2,2024-09-07 08:33:01:266,162797,162797,0,0,7622725,0,3594 2,3,2024-09-07 08:33:00:689,1,128,33,0,357,1603,128,0 3,0,2024-09-07 08:33:01:775,30736,0.6,30829,0.8,61741,0.6,81697,2.00 3,1,2024-09-07 08:33:01:621,224372,224372,0,0,104935373308,1101134139,221270,2678,424,382,391516,0 3,2,2024-09-07 08:33:01:142,162945,162922,23,0,7765138,0,5851 3,3,2024-09-07 08:33:01:754,1,128,2,0,103,907,128,0 4,0,2024-09-07 08:33:01:804,30384,0.3,31030,0.5,63096,0.2,82611,1.75 4,1,2024-09-07 08:33:00:592,224796,224796,0,0,104936164375,1108830462,221944,2332,520,371,391846,0 4,2,2024-09-07 08:33:01:024,160187,160187,0,0,9116835,0,4528 4,3,2024-09-07 08:33:01:032,1,128,2,0,287,2002,128,0 5,0,2024-09-07 08:33:01:404,31239,0.6,31067,0.7,62363,0.7,82345,2.00 5,1,2024-09-07 08:33:00:759,223827,223827,0,0,105681084266,1120132025,219742,3100,985,368,392005,0 5,2,2024-09-07 08:33:01:833,159524,159524,0,0,7800359,0,2259 5,3,2024-09-07 08:33:01:732,1,128,2,0,238,2161,128,0 6,0,2024-09-07 08:33:00:931,33317,1.4,32887,1.2,65540,2.1,88383,2.75 6,1,2024-09-07 08:33:00:746,224671,224671,0,0,105578683398,1110987190,221476,2548,647,381,391617,0 6,2,2024-09-07 08:33:01:116,163101,163101,0,0,8210934,0,4816 6,3,2024-09-07 08:33:01:274,1,128,1,0,340,2109,128,0 7,0,2024-09-07 08:33:01:541,30437,0.6,30451,0.9,61180,0.6,81417,2.00 7,1,2024-09-07 08:33:00:854,224868,224868,0,0,105192008088,1106533151,222183,2554,131,382,391664,0 7,2,2024-09-07 08:33:00:770,161627,161627,0,0,7345021,0,2981 7,3,2024-09-07 08:33:00:861,1,128,1,0,305,1401,128,0 8,0,2024-09-07 08:33:01:359,32096,0.3,32340,0.5,63978,0.2,85707,1.50 8,1,2024-09-07 08:33:01:017,224297,224297,0,0,105417715757,1117907970,219748,3094,1455,368,391956,0 8,2,2024-09-07 08:33:00:791,159618,159618,0,0,8478347,0,2986 8,3,2024-09-07 08:33:00:591,1,128,117,0,357,2264,128,0 9,0,2024-09-07 08:33:01:112,32255,0.4,31399,0.5,65547,0.3,86047,1.75 9,1,2024-09-07 08:33:00:566,224247,224247,0,0,105993478550,1124209248,219830,3293,1124,370,391753,0 9,2,2024-09-07 08:33:01:220,161484,161484,0,0,8265241,0,3360 9,3,2024-09-07 08:33:01:752,1,128,12,0,496,2055,128,0 10,0,2024-09-07 08:33:01:601,32916,0.5,32801,0.7,65656,0.4,87510,1.75 10,1,2024-09-07 08:33:00:584,224638,224638,0,0,104808576308,1104141637,220763,3345,530,381,391741,0 10,2,2024-09-07 08:33:00:763,161418,161418,0,0,8074923,0,2940 10,3,2024-09-07 08:33:00:871,1,128,5,0,177,1108,128,0 11,0,2024-09-07 08:33:01:026,32088,0.9,31364,1.0,65773,0.9,88309,2.50 11,1,2024-09-07 08:33:00:573,224216,224216,0,0,105313848096,1111746537,219680,3374,1162,384,391537,0 11,2,2024-09-07 08:33:01:128,161773,161773,0,0,7606513,0,3411 11,3,2024-09-07 08:33:01:298,1,128,0,0,720,2750,128,0 12,0,2024-09-07 08:33:01:053,32936,0.3,32705,0.5,65636,0.3,87379,1.50 12,1,2024-09-07 08:33:00:941,224580,224580,0,0,105225733057,1103976333,221769,2401,410,370,391837,0 12,2,2024-09-07 08:33:01:553,163156,163156,0,0,8057969,0,3469 12,3,2024-09-07 08:33:01:070,1,128,46,0,358,2416,128,0 13,0,2024-09-07 08:33:01:331,31532,0.4,31698,0.5,63099,0.3,83752,1.75 13,1,2024-09-07 08:33:01:529,225120,225120,0,0,105202627932,1106071616,223187,1557,376,384,391717,0 13,2,2024-09-07 08:33:00:600,162723,162723,0,0,7325439,0,3287 13,3,2024-09-07 08:33:01:762,1,128,467,1,467,2897,128,0 14,0,2024-09-07 08:33:00:562,32350,0.6,32372,0.7,64271,0.5,85839,1.75 14,1,2024-09-07 08:33:01:565,226334,226334,0,0,105388077796,1096173071,224377,1795,162,364,391571,0 14,2,2024-09-07 08:33:00:764,158519,158519,0,0,7268456,0,2896 14,3,2024-09-07 08:33:01:115,1,128,16,0,1168,2778,128,0 15,0,2024-09-07 08:33:01:556,33097,0.6,33016,0.8,66316,0.6,88421,2.00 15,1,2024-09-07 08:33:01:608,224386,224386,0,0,104837431312,1093449485,222910,1416,60,381,391536,0 15,2,2024-09-07 08:33:01:002,163288,163288,0,0,6203230,0,3043 15,3,2024-09-07 08:33:01:405,1,128,30,0,1126,4070,128,0 16,0,2024-09-07 08:33:00:961,31075,0.6,31546,0.8,62375,0.6,83350,2.25 16,1,2024-09-07 08:33:00:566,224878,224878,0,0,104971234674,1102828591,222691,1928,259,372,391756,0 16,2,2024-09-07 08:33:01:438,162227,162227,0,0,8523581,0,4719 16,3,2024-09-07 08:33:01:147,1,128,2,0,231,1804,128,0 17,0,2024-09-07 08:33:01:763,32206,0.5,31398,0.6,61547,0.4,82865,2.00 17,1,2024-09-07 08:33:00:576,224015,224015,0,0,104645656304,1107025574,220695,2649,671,369,391688,0 17,2,2024-09-07 08:33:01:678,163051,163051,0,0,7725628,0,2857 17,3,2024-09-07 08:33:00:574,1,128,7,0,268,2247,128,0 18,0,2024-09-07 08:33:00:950,30001,1.1,30451,1.1,60996,1.3,81039,2.50 18,1,2024-09-07 08:33:01:647,224496,224496,0,0,104914608881,1093604982,222618,1644,234,368,391564,0 18,2,2024-09-07 08:33:01:755,158482,158482,0,0,7557969,0,3541 18,3,2024-09-07 08:33:00:904,1,128,2,0,163,1534,128,0 19,0,2024-09-07 08:33:01:551,32775,1.1,33063,1.1,65404,1.3,87664,3.25 19,1,2024-09-07 08:33:00:567,225134,225134,0,0,105647557132,1106585296,221678,2764,692,367,391777,0 19,2,2024-09-07 08:33:01:752,163858,163858,0,0,6867250,0,3988 19,3,2024-09-07 08:33:01:134,1,128,2,0,524,1411,128,0 20,0,2024-09-07 08:33:01:386,30879,0.8,30862,0.9,61654,1.0,82018,2.50 20,1,2024-09-07 08:33:00:593,224347,224347,0,0,104985553336,1107693179,220955,3003,389,369,391822,0 20,2,2024-09-07 08:33:00:933,162542,162542,0,0,7695191,0,3721 20,3,2024-09-07 08:33:00:591,1,128,16,0,414,2705,128,0 21,0,2024-09-07 08:33:01:134,31854,0.4,31811,0.5,63287,0.3,83535,1.75 21,1,2024-09-07 08:33:01:541,223838,223838,0,0,104400955319,1105980262,220332,2976,530,368,391962,0 21,2,2024-09-07 08:33:01:067,160492,160492,0,0,8521361,0,3747 21,3,2024-09-07 08:33:01:403,1,128,16,0,103,1760,128,0 22,0,2024-09-07 08:33:01:723,31890,0.9,32008,1.0,63589,0.9,84723,2.25 22,1,2024-09-07 08:33:01:024,224137,224137,0,0,104802745214,1113532339,218811,3817,1509,382,391667,0 22,2,2024-09-07 08:33:00:759,160932,160932,0,0,7319982,0,3134 22,3,2024-09-07 08:33:01:066,1,128,1,0,228,1492,128,0 23,0,2024-09-07 08:33:01:369,32232,1.0,32473,1.0,65256,1.3,87293,2.50 23,1,2024-09-07 08:33:01:008,225108,225108,0,0,105302895971,1109365773,221087,2539,1482,365,391549,0 23,2,2024-09-07 08:33:01:094,162001,162001,0,0,7576796,0,3010 23,3,2024-09-07 08:33:01:758,1,128,1,0,645,1715,128,0 24,0,2024-09-07 08:33:00:817,32989,0.6,32958,0.7,65916,0.7,87262,1.75 24,1,2024-09-07 08:33:00:592,224681,224681,0,0,105012156472,1102430894,222201,1715,765,369,391640,0 24,2,2024-09-07 08:33:01:072,161064,161064,0,0,8614900,0,2942 24,3,2024-09-07 08:33:01:686,1,128,1,0,234,1617,128,0 25,0,2024-09-07 08:33:01:354,33305,0.4,32487,0.6,63713,0.3,86935,1.75 25,1,2024-09-07 08:33:00:568,224433,224433,0,0,105101009084,1108623000,220971,2921,541,371,391788,0 25,2,2024-09-07 08:33:01:606,160815,160815,0,0,8720061,0,3978 25,3,2024-09-07 08:33:01:000,1,128,12,0,158,1481,128,0 26,0,2024-09-07 08:33:01:721,31317,0.4,30680,0.6,64394,0.3,84248,1.75 26,1,2024-09-07 08:33:01:546,225070,225070,0,0,104931393539,1107461422,220786,3422,862,381,391564,0 26,2,2024-09-07 08:33:00:862,162087,162087,0,0,8011341,0,2809 26,3,2024-09-07 08:33:01:714,1,128,0,0,796,1919,128,0 27,0,2024-09-07 08:33:01:724,32753,0.7,32927,0.8,65202,0.7,87840,2.00 27,1,2024-09-07 08:33:01:677,225702,225702,0,0,105732017157,1101505395,223908,1471,323,381,391539,0 27,2,2024-09-07 08:33:00:870,158578,158578,0,0,8395195,0,3409 27,3,2024-09-07 08:33:01:016,1,128,2,0,564,1690,128,0 28,0,2024-09-07 08:33:01:413,32295,0.6,32615,0.7,65342,0.7,87104,2.25 28,1,2024-09-07 08:33:00:797,225105,225105,0,0,105766225994,1103582334,223463,1431,211,383,391646,0 28,2,2024-09-07 08:33:01:764,162804,162804,0,0,6448345,0,2915 28,3,2024-09-07 08:33:01:777,1,128,5,0,502,1663,128,0 29,0,2024-09-07 08:33:01:368,32829,0.4,31931,0.6,62634,0.3,86012,1.75 29,1,2024-09-07 08:33:01:568,226014,226014,0,0,105186654352,1093240701,223887,1596,531,369,391621,0 29,2,2024-09-07 08:33:00:861,163354,163354,0,0,6335912,0,4018 29,3,2024-09-07 08:33:00:965,1,128,1,0,105,1070,128,0 30,0,2024-09-07 08:33:01:468,31137,0.7,30273,0.8,63410,0.6,83094,2.25 30,1,2024-09-07 08:33:00:572,225358,225358,0,0,105464255565,1099368353,223483,1597,278,382,391672,0 30,2,2024-09-07 08:33:01:274,163021,163021,0,0,6595693,0,3161 30,3,2024-09-07 08:33:00:594,1,128,3,0,195,941,128,0 31,0,2024-09-07 08:33:01:765,31378,0.8,31434,0.9,63668,0.8,84681,2.00 31,1,2024-09-07 08:33:00:564,226585,226585,0,0,106246054035,1090530652,225968,551,66,356,391553,0 31,2,2024-09-07 08:33:01:275,158328,158328,0,0,7939911,0,3525 31,3,2024-09-07 08:33:01:706,1,128,5,0,220,1116,128,0 32,0,2024-09-07 08:33:01:425,33491,0.6,33870,0.8,67518,0.6,90286,1.75 32,1,2024-09-07 08:33:00:806,225771,225771,0,0,105581571011,1100688976,224033,1463,275,382,391595,0 32,2,2024-09-07 08:33:00:937,163476,163476,0,0,6680870,0,3155 32,3,2024-09-07 08:33:01:016,1,128,1,0,227,1369,128,0 33,0,2024-09-07 08:33:01:496,31254,0.4,30853,0.6,62323,0.3,82614,1.75 33,1,2024-09-07 08:33:00:576,225829,225829,0,0,105967051424,1101824958,223691,1966,172,369,391730,0 33,2,2024-09-07 08:33:00:768,163049,163016,33,0,8634742,0,7012 33,3,2024-09-07 08:33:00:901,1,128,8,0,329,1578,128,0 34,0,2024-09-07 08:33:00:937,31152,0.3,32152,0.5,61706,0.2,82558,1.75 34,1,2024-09-07 08:33:01:052,225606,225606,0,0,105785297160,1093043552,224642,959,5,367,391562,0 34,2,2024-09-07 08:33:00:767,160727,160727,0,0,7325929,0,3255 34,3,2024-09-07 08:33:01:688,1,128,0,0,148,880,128,0 35,0,2024-09-07 08:33:00:867,30821,0.5,31161,0.6,62180,0.5,82456,1.75 35,1,2024-09-07 08:33:01:074,224963,224963,0,0,105577893423,1102402775,222189,2004,770,384,391589,0 35,2,2024-09-07 08:33:01:582,159624,159624,0,0,6855233,0,2653 35,3,2024-09-07 08:33:00:909,1,128,2,0,418,1840,128,0 36,0,2024-09-07 08:33:01:545,32909,1.6,32810,1.3,66370,2.0,88167,3.00 36,1,2024-09-07 08:33:00:593,224355,224355,0,0,104808331931,1106094626,219765,3304,1286,366,391759,0 36,2,2024-09-07 08:33:01:752,162172,162172,0,0,8208499,0,3303 36,3,2024-09-07 08:33:00:863,1,128,2,0,378,1362,128,0 37,0,2024-09-07 08:33:01:376,30658,0.6,30744,0.8,61193,0.6,82314,2.00 37,1,2024-09-07 08:33:00:573,223718,223711,0,7,104300179502,1106097024,218961,2733,2017,365,391518,0 37,2,2024-09-07 08:33:01:142,161985,161970,15,0,8114499,0,5815 37,3,2024-09-07 08:33:01:766,1,128,8,0,724,2361,128,0 38,0,2024-09-07 08:33:01:440,32027,0.4,31038,0.6,64842,0.4,85232,2.00 38,1,2024-09-07 08:33:01:605,225535,225535,0,0,105549512452,1108523427,222079,2892,564,368,391821,0 38,2,2024-09-07 08:33:00:762,161032,160985,47,0,9409468,0,6710 38,3,2024-09-07 08:33:00:997,1,128,3,0,603,1989,128,0 39,0,2024-09-07 08:33:01:765,33054,0.7,32304,0.8,63200,0.8,86338,2.00 39,1,2024-09-07 08:33:00:723,224904,224904,0,0,104960856687,1102078670,221131,2972,801,365,391524,0 39,2,2024-09-07 08:33:01:422,161750,161750,0,0,7301157,0,2689 39,3,2024-09-07 08:33:00:713,1,128,57,0,276,1960,128,0 40,0,2024-09-07 08:33:01:508,32109,1.2,32776,1.5,64971,1.6,86943,3.50 40,1,2024-09-07 08:33:00:592,224679,224679,0,0,104566841293,1100882622,220495,3417,767,369,391591,0 40,2,2024-09-07 08:33:01:310,160776,160775,1,0,8842202,0,5137 40,3,2024-09-07 08:33:01:144,1,128,2,0,181,1432,128,0 41,0,2024-09-07 08:33:01:040,32078,2.3,32900,1.9,62846,3.6,87003,4.50 41,1,2024-09-07 08:33:00:773,224870,224870,0,0,105491146099,1109332158,220976,3363,531,370,391742,0 41,2,2024-09-07 08:33:00:760,161318,161318,0,0,8576185,0,3356 41,3,2024-09-07 08:33:01:676,1,128,13,0,366,1804,128,0 42,0,2024-09-07 08:33:01:475,32081,0.6,32329,0.9,64431,0.5,85560,2.25 42,1,2024-09-07 08:33:01:438,223640,223640,0,0,104914183971,1108486388,219354,3354,932,380,391675,0 42,2,2024-09-07 08:33:01:134,161627,161627,0,0,8562212,0,3568 42,3,2024-09-07 08:33:01:015,1,128,1,0,100,907,128,0 43,0,2024-09-07 08:33:00:933,31437,0.7,30670,0.9,63876,0.8,83825,2.00 43,1,2024-09-07 08:33:00:592,224468,224468,0,0,105722270914,1105558378,221645,2071,752,366,391604,0 43,2,2024-09-07 08:33:01:735,162936,162936,0,0,8026370,0,3812 43,3,2024-09-07 08:33:01:749,1,128,3,0,325,1897,128,0 44,0,2024-09-07 08:33:00:872,32380,0.6,32208,0.8,64855,0.6,86405,2.00 44,1,2024-09-07 08:33:00:566,225635,225635,0,0,105203151400,1088361098,224173,1333,129,356,391809,0 44,2,2024-09-07 08:33:01:269,158254,158254,0,0,6272129,0,1877 44,3,2024-09-07 08:33:01:093,1,128,50,0,817,1622,128,0 45,0,2024-09-07 08:33:01:804,32701,0.7,32007,0.9,67151,0.7,88945,2.00 45,1,2024-09-07 08:33:01:006,225361,225361,0,0,105579117435,1096693644,224360,992,9,382,391917,0 45,2,2024-09-07 08:33:01:268,163497,163497,0,0,6909963,0,3596 45,3,2024-09-07 08:33:00:934,1,128,17,0,226,1234,128,0 46,0,2024-09-07 08:33:00:954,31033,0.4,31001,0.6,62240,0.3,82474,1.75 46,1,2024-09-07 08:33:00:576,225794,225794,0,0,105484664175,1092332976,224343,1345,106,366,391514,0 46,2,2024-09-07 08:33:00:602,163693,163693,0,0,6772513,0,2920 46,3,2024-09-07 08:33:01:134,1,128,0,0,363,1918,128,0 47,0,2024-09-07 08:33:01:102,31184,0.3,31424,0.5,62967,0.3,82584,1.75 47,1,2024-09-07 08:33:00:569,225703,225703,0,0,105539507713,1090618099,224692,1000,11,366,391605,0 47,2,2024-09-07 08:33:00:912,162842,162842,0,0,7149706,0,2558 47,3,2024-09-07 08:33:01:114,1,128,2,0,529,1487,128,0 48,0,2024-09-07 08:33:01:497,30872,0.3,30689,0.5,61446,0.3,81594,1.75 48,1,2024-09-07 08:33:01:024,225270,225270,0,0,106269475572,1108609790,223261,1829,180,384,391710,0 48,2,2024-09-07 08:33:00:723,158493,158493,0,0,6060635,0,2083 48,3,2024-09-07 08:33:00:754,1,128,97,0,339,1326,128,0 49,0,2024-09-07 08:33:01:793,33976,0.6,33368,0.7,65011,0.6,89416,1.75 49,1,2024-09-07 08:33:01:021,224437,224437,0,0,104980595414,1100403634,221705,1892,840,382,391583,0 49,2,2024-09-07 08:33:01:797,163794,163794,0,0,7139472,0,3900 49,3,2024-09-07 08:33:01:418,1,128,18,0,408,1699,128,0 50,0,2024-09-07 08:33:01:509,31006,0.4,30403,0.6,61029,0.3,81819,1.75 50,1,2024-09-07 08:33:01:014,226007,226007,0,0,105833902824,1102504424,223630,2068,309,368,391530,0 50,2,2024-09-07 08:33:01:067,162188,162188,0,0,6797256,0,2253 50,3,2024-09-07 08:33:01:291,1,128,1,0,335,1147,128,0 51,0,2024-09-07 08:33:01:687,32335,0.3,31716,0.4,61865,0.2,83969,1.75 51,1,2024-09-07 08:33:01:680,225245,225245,0,0,106726004116,1107567368,223294,1166,785,367,391637,0 51,2,2024-09-07 08:33:01:316,160857,160857,0,0,6117828,0,2448 51,3,2024-09-07 08:33:01:029,1,128,1,0,162,840,128,0 52,0,2024-09-07 08:33:01:428,32170,0.6,32271,0.8,64695,0.6,85300,2.25 52,1,2024-09-07 08:33:00:582,224247,224247,0,0,104684918283,1110965534,218657,4438,1152,368,391722,0 52,2,2024-09-07 08:33:01:755,159339,159301,38,0,8894757,0,6742 52,3,2024-09-07 08:33:00:678,1,128,93,0,1782,3079,128,0 53,0,2024-09-07 08:33:01:742,32274,1.3,31303,1.2,65510,1.5,86671,3.25 53,1,2024-09-07 08:33:00:783,223326,223326,0,0,105681092625,1123941245,217722,3710,1894,367,391617,0 53,2,2024-09-07 08:33:01:309,162721,162721,0,0,7032084,0,2262 53,3,2024-09-07 08:33:00:701,1,128,4,0,133,1082,128,0 54,0,2024-09-07 08:33:01:615,31334,3.4,31770,1.6,62821,1.9,84349,4.50 54,1,2024-09-07 08:33:00:595,225021,225021,0,0,105506033301,1106634098,221463,3007,551,367,391532,0 54,2,2024-09-07 08:33:00:866,161714,161708,6,0,8291960,0,5382 54,3,2024-09-07 08:33:00:771,1,128,3,0,676,2765,128,0 55,0,2024-09-07 08:33:01:760,31275,0.5,32173,0.8,65313,0.5,84835,2.50 55,1,2024-09-07 08:33:00:764,224151,224151,0,0,104659182999,1102072697,219407,3959,785,367,391731,0 55,2,2024-09-07 08:33:00:729,161080,161080,0,0,8435998,0,3275 55,3,2024-09-07 08:33:00:681,1,128,15,0,304,1611,128,0 56,0,2024-09-07 08:33:01:550,32426,1.4,30645,1.2,62919,2.0,84511,2.50 56,1,2024-09-07 08:33:00:578,224291,224291,0,0,105433916230,1122559183,219271,4118,902,382,391678,0 56,2,2024-09-07 08:33:01:314,161753,161753,0,0,9033285,0,3567 56,3,2024-09-07 08:33:01:059,1,128,1,0,297,1616,128,0 57,0,2024-09-07 08:33:00:977,31776,2.8,31827,1.7,63729,4.8,85608,4.50 57,1,2024-09-07 08:33:00:987,224521,224521,0,0,104794282124,1100343756,222029,2322,170,368,391773,0 57,2,2024-09-07 08:33:01:316,160031,160031,0,0,8588795,0,3178 57,3,2024-09-07 08:33:01:742,1,128,10,0,359,2515,128,0 58,0,2024-09-07 08:33:00:569,31750,0.8,30883,1.0,64661,0.8,85062,2.50 58,1,2024-09-07 08:33:00:586,225377,225374,0,3,105825871967,1108650893,222171,2809,394,369,391516,3 58,2,2024-09-07 08:33:01:071,162461,162461,0,0,7920185,0,2549 58,3,2024-09-07 08:33:01:067,1,128,14,0,219,1112,128,0 59,0,2024-09-07 08:33:01:745,31998,0.9,31693,1.0,63591,1.0,84772,3.00 59,1,2024-09-07 08:33:00:806,224952,224952,0,0,105306320893,1106222973,221520,2510,922,369,391515,0 59,2,2024-09-07 08:33:00:586,163549,163549,0,0,7262891,0,2604 59,3,2024-09-07 08:33:01:737,1,128,2,0,1015,2704,128,0 60,0,2024-09-07 08:33:01:722,31556,0.4,31634,0.6,62730,0.4,83276,1.75 60,1,2024-09-07 08:33:00:787,226529,226529,0,0,105371007058,1094797106,225234,942,353,370,391761,0 60,2,2024-09-07 08:33:01:142,162118,162118,0,0,8142159,0,3811 60,3,2024-09-07 08:33:01:266,1,128,17,0,124,1332,128,0 61,0,2024-09-07 08:33:01:503,31503,1.5,31714,1.2,63272,2.2,84917,2.00 61,1,2024-09-07 08:33:00:771,224817,224817,0,0,105905054270,1112519903,221921,2358,538,382,391589,0 61,2,2024-09-07 08:33:01:117,158923,158923,0,0,6707864,0,2079 61,3,2024-09-07 08:33:01:693,1,128,19,0,199,1720,128,0 62,0,2024-09-07 08:33:01:723,33692,1.1,34510,1.0,65948,1.5,89959,2.00 62,1,2024-09-07 08:33:01:112,226582,226576,0,6,106188908542,1097381174,225155,1383,38,365,391715,6 62,2,2024-09-07 08:33:01:644,161977,161976,1,0,8317306,0,5555 62,3,2024-09-07 08:33:01:143,1,128,2,0,287,1070,128,0 63,0,2024-09-07 08:33:01:461,30914,0.6,30973,0.7,61931,0.7,82300,2.00 63,1,2024-09-07 08:33:00:805,226081,226075,0,6,105819672948,1097906886,224968,1087,20,381,391542,6 63,2,2024-09-07 08:33:00:767,162818,162818,0,0,6489921,0,2674 63,3,2024-09-07 08:33:01:732,1,128,1,0,667,2092,128,0 64,0,2024-09-07 08:33:01:522,31106,0.4,30956,0.6,61979,0.4,82597,1.75 64,1,2024-09-07 08:33:00:751,225191,225191,0,0,105303125456,1107115701,221752,2387,1052,371,391783,0 64,2,2024-09-07 08:33:01:141,162289,162270,19,0,7582917,0,6121 64,3,2024-09-07 08:33:01:139,1,128,11,0,265,1697,128,0 65,0,2024-09-07 08:33:01:680,30459,1.3,30921,1.1,61718,1.8,81684,2.75 65,1,2024-09-07 08:33:00:859,224208,224208,0,0,104999894776,1102765787,221818,2168,222,382,391770,0 65,2,2024-09-07 08:33:01:693,160073,160073,0,0,8005657,0,3367 65,3,2024-09-07 08:33:01:686,1,128,9,0,163,1414,128,0 66,0,2024-09-07 08:33:01:792,32582,1.1,32624,1.1,65550,1.9,87398,3.00 66,1,2024-09-07 08:33:01:298,225730,225730,0,0,105892661808,1103538984,223946,1613,171,380,391588,0 66,2,2024-09-07 08:33:01:135,164543,164543,0,0,7293840,0,4956 66,3,2024-09-07 08:33:01:086,1,128,1,0,291,1625,128,0 67,0,2024-09-07 08:33:01:428,31071,1.1,31024,1.1,62063,1.4,82415,2.75 67,1,2024-09-07 08:33:00:766,224410,224409,0,1,105044019852,1104949962,220964,2699,746,381,391787,1 67,2,2024-09-07 08:33:00:592,162817,162817,0,0,6653331,0,2889 67,3,2024-09-07 08:33:01:750,1,128,1,0,138,1200,128,0 68,0,2024-09-07 08:33:00:588,32381,0.5,32268,0.7,64390,0.5,85442,2.00 68,1,2024-09-07 08:33:00:586,224071,224071,0,0,104708833704,1104162273,221282,1773,1016,381,391953,0 68,2,2024-09-07 08:33:01:050,159944,159879,65,0,9662523,0,6698 68,3,2024-09-07 08:33:00:730,1,128,1,0,417,1749,128,0 69,0,2024-09-07 08:33:01:732,32112,0.9,32348,1.0,64269,1.1,85979,2.25 69,1,2024-09-07 08:33:01:017,223810,223810,0,0,105041499768,1116059092,220397,2292,1121,384,391611,0 69,2,2024-09-07 08:33:01:733,161544,161544,0,0,8788605,0,3701 69,3,2024-09-07 08:33:00:768,1,128,8,0,238,1692,128,0 70,0,2024-09-07 08:33:01:538,32304,2.9,32292,1.9,64932,2.6,86304,4.25 70,1,2024-09-07 08:33:00:801,225297,225297,0,0,105783763458,1104834047,222913,1922,462,367,391725,0 70,2,2024-09-07 08:33:01:325,160957,160957,0,0,7905620,0,4044 70,3,2024-09-07 08:33:00:745,1,128,2,0,178,899,128,0 71,0,2024-09-07 08:33:01:358,32121,2.3,32289,1.9,64140,3.9,87129,4.00 71,1,2024-09-07 08:33:01:597,225025,225025,0,0,105844438888,1113628497,221083,3420,522,368,391682,0 71,2,2024-09-07 08:33:01:067,162663,162663,0,0,7728883,0,2470 71,3,2024-09-07 08:33:01:750,1,128,1,0,644,2461,128,0 72,0,2024-09-07 08:33:01:080,33656,0.5,32803,0.7,64347,0.4,87497,2.25 72,1,2024-09-07 08:33:01:038,224586,224586,0,0,105186558069,1101483355,221700,2403,483,370,391819,0 72,2,2024-09-07 08:33:01:761,161316,161316,0,0,9370871,0,2570 72,3,2024-09-07 08:33:01:758,1,128,10,0,325,1994,128,0 73,0,2024-09-07 08:33:01:107,30778,0.4,31498,0.6,64368,0.3,83543,2.25 73,1,2024-09-07 08:33:00:770,225008,225008,0,0,105386677921,1096557727,223362,1532,114,368,391627,0 73,2,2024-09-07 08:33:01:741,163000,163000,0,0,8720082,0,3482 73,3,2024-09-07 08:33:00:975,1,128,267,0,274,2348,128,0 74,0,2024-09-07 08:33:01:322,32533,0.6,32985,0.9,63068,0.7,85975,2.50 74,1,2024-09-07 08:33:00:653,225148,225148,0,0,105032146554,1099746720,222431,2098,619,382,391681,0 74,2,2024-09-07 08:33:01:003,158433,158433,0,0,8395576,0,4253 74,3,2024-09-07 08:33:01:442,1,128,1,0,246,2040,128,0 75,0,2024-09-07 08:33:01:764,33207,0.7,33130,0.9,66086,0.6,89071,2.50 75,1,2024-09-07 08:33:01:586,224712,224712,0,0,105379288928,1105470434,222047,2301,364,381,391579,0 75,2,2024-09-07 08:33:01:350,162244,162244,0,0,8178618,0,4766 75,3,2024-09-07 08:33:01:067,1,128,13,0,535,1638,128,0 76,0,2024-09-07 08:33:00:591,31054,0.4,30817,0.7,61550,0.4,82744,2.25 76,1,2024-09-07 08:33:00:806,224986,224986,0,0,105086968619,1099269665,223070,1406,510,382,391530,0 76,2,2024-09-07 08:33:01:066,164279,164279,0,0,6858662,0,3064 76,3,2024-09-07 08:33:01:141,1,128,7,0,175,1549,128,0 77,0,2024-09-07 08:33:01:699,31214,0.6,31380,0.7,62891,0.6,82460,2.00 77,1,2024-09-07 08:33:00:828,225338,225338,0,0,105072320393,1097835758,223845,1412,81,383,391808,0 77,2,2024-09-07 08:33:01:283,161994,161994,0,0,7206050,0,3890 77,3,2024-09-07 08:33:01:093,1,128,1,0,305,1796,128,0 78,0,2024-09-07 08:33:01:723,30720,0.6,30691,0.8,61551,0.6,81233,2.25 78,1,2024-09-07 08:33:00:611,225523,225523,0,0,104811394557,1091429208,223750,1567,206,367,391589,0 78,2,2024-09-07 08:33:01:410,159307,159307,0,0,6572030,0,2114 78,3,2024-09-07 08:33:01:133,1,128,0,0,181,1515,128,0 79,0,2024-09-07 08:33:01:354,32151,0.5,32844,0.8,67230,0.5,87913,2.50 79,1,2024-09-07 08:33:00:573,225990,225990,0,0,105849835605,1099607987,223977,1842,171,369,391682,0 79,2,2024-09-07 08:33:01:070,164525,164525,0,0,6869292,0,3212 79,3,2024-09-07 08:33:00:749,1,128,1,0,418,2532,128,0 80,0,2024-09-07 08:33:01:101,30684,0.8,31487,0.9,60641,0.9,82064,2.50 80,1,2024-09-07 08:33:01:624,224339,224339,0,0,105089804498,1099230108,221868,2312,159,368,391673,0 80,2,2024-09-07 08:33:01:097,163263,163263,0,0,7656227,0,4433 80,3,2024-09-07 08:33:00:585,1,128,10,0,190,2429,128,0 81,0,2024-09-07 08:33:01:539,31663,0.5,32580,0.6,62320,0.4,83733,1.75 81,1,2024-09-07 08:33:01:655,224916,224916,0,0,104901437776,1102023935,222477,2167,272,382,391879,0 81,2,2024-09-07 08:33:01:129,160384,160384,0,0,7985726,0,3993 81,3,2024-09-07 08:33:01:117,1,128,4,0,193,1405,128,0 82,0,2024-09-07 08:33:01:532,31880,0.6,32023,0.8,64381,0.6,85508,2.00 82,1,2024-09-07 08:33:00:593,224720,224716,0,4,105600429412,1106183098,222297,1843,576,382,391558,4 82,2,2024-09-07 08:33:01:691,161684,161684,0,0,7308144,0,3986 82,3,2024-09-07 08:33:01:751,1,128,3,0,227,1739,128,0 83,0,2024-09-07 08:33:01:525,32667,1.0,32465,1.0,64310,1.1,86671,2.50 83,1,2024-09-07 08:33:00:557,225306,225306,0,0,104926023021,1099748292,223016,2100,190,382,391558,0 83,2,2024-09-07 08:33:00:767,161867,161867,0,0,7255695,0,3119 83,3,2024-09-07 08:33:00:749,1,128,3,0,133,1235,128,0 84,0,2024-09-07 08:33:01:778,32068,2.1,31723,1.6,63840,1.7,85649,3.00 84,1,2024-09-07 08:33:01:040,223941,223941,0,0,104968540181,1104760636,220906,2585,450,368,391852,0 84,2,2024-09-07 08:33:00:573,161746,161746,0,0,8255291,0,3801 84,3,2024-09-07 08:33:01:141,1,128,1,1,236,1565,128,0 85,0,2024-09-07 08:33:01:017,31246,0.5,31242,0.8,66277,0.5,85992,2.25 85,1,2024-09-07 08:33:00:561,223713,223713,0,0,104970705955,1120314577,218349,4291,1073,382,391679,0 85,2,2024-09-07 08:33:00:866,161781,161781,0,0,8995298,0,3656 85,3,2024-09-07 08:33:00:690,1,128,5,0,115,1358,128,0 86,0,2024-09-07 08:33:00:878,31625,0.6,32593,0.7,62487,0.7,84334,2.00 86,1,2024-09-07 08:33:00:826,224773,224773,0,0,105499384030,1111408606,220994,3236,543,366,391961,0 86,2,2024-09-07 08:33:00:854,161330,161329,1,0,9407346,0,5004 86,3,2024-09-07 08:33:00:590,1,128,3,0,286,2021,128,0 87,0,2024-09-07 08:33:01:295,32412,2.1,32474,1.4,64720,3.2,87678,2.75 87,1,2024-09-07 08:33:00:559,224045,224045,0,0,105169994913,1107824832,220879,2776,390,366,391788,0 87,2,2024-09-07 08:33:01:081,159736,159736,0,0,7350211,0,3515 87,3,2024-09-07 08:33:01:794,1,128,70,0,335,2426,128,0 88,0,2024-09-07 08:33:01:464,32521,0.5,32742,0.7,65078,0.6,87235,1.75 88,1,2024-09-07 08:33:00:573,223739,223739,0,0,105258902685,1109589104,220177,2445,1117,367,391787,0 88,2,2024-09-07 08:33:00:694,162631,162631,0,0,8381729,0,3583 88,3,2024-09-07 08:33:01:267,1,128,1,1,435,1871,128,0 89,0,2024-09-07 08:33:01:764,32963,0.5,31973,0.7,63367,0.5,86233,1.75 89,1,2024-09-07 08:33:00:562,223544,223544,0,0,104741599151,1114343029,218489,4122,933,382,391866,0 89,2,2024-09-07 08:33:01:136,162741,162741,0,0,8813946,0,2910 89,3,2024-09-07 08:33:01:793,1,128,13,0,325,2841,128,0 90,0,2024-09-07 08:33:01:628,30453,0.4,31094,0.6,63906,0.4,83049,1.75 90,1,2024-09-07 08:33:00:594,225162,225162,0,0,104757151617,1103463872,222422,2582,158,382,391825,0 90,2,2024-09-07 08:33:01:413,161035,161035,0,0,9292788,0,3060 90,3,2024-09-07 08:33:00:938,1,128,8,1,200,1586,128,0 91,0,2024-09-07 08:33:00:940,32088,0.5,31018,0.6,64376,0.5,85247,1.75 91,1,2024-09-07 08:33:00:557,224585,224585,0,0,105021727183,1108063028,221113,2979,493,381,391960,0 91,2,2024-09-07 08:33:01:332,157025,157025,0,0,8588682,0,2445 91,3,2024-09-07 08:33:00:603,1,128,2,0,155,1546,128,0 92,0,2024-09-07 08:33:01:466,33969,0.6,34748,0.8,66473,0.7,90404,1.75 92,1,2024-09-07 08:33:00:593,224968,224968,0,0,105162452434,1100936836,223048,1525,395,382,391717,0 92,2,2024-09-07 08:33:01:352,165021,165021,0,0,6861973,0,2279 92,3,2024-09-07 08:33:01:008,1,128,1,0,68,1197,128,0 93,0,2024-09-07 08:33:00:980,31149,0.5,31903,0.7,61170,0.5,82714,1.75 93,1,2024-09-07 08:33:00:807,225012,225012,0,0,105495491048,1104261428,222590,1976,446,366,391689,0 93,2,2024-09-07 08:33:00:928,162912,162912,0,0,6962316,0,2509 93,3,2024-09-07 08:33:01:410,1,128,16,0,143,1426,128,0 94,0,2024-09-07 08:33:01:619,31186,0.3,31479,0.5,62372,0.3,82427,1.75 94,1,2024-09-07 08:33:00:574,224947,224947,0,0,104647879731,1098421474,222665,2191,91,381,391850,0 94,2,2024-09-07 08:33:00:760,161101,161101,0,0,6914843,0,2443 94,3,2024-09-07 08:33:01:688,1,128,4,0,264,2121,128,0 95,0,2024-09-07 08:33:01:348,31238,0.4,31172,0.6,62515,0.3,82469,1.75 95,1,2024-09-07 08:33:00:854,225300,225300,0,0,105091501299,1093029824,223951,1268,81,367,391600,0 95,2,2024-09-07 08:33:01:017,159921,159921,0,0,7138812,0,3308 95,3,2024-09-07 08:33:01:713,1,128,1,0,307,2088,128,0 96,0,2024-09-07 08:33:01:118,33056,0.8,33202,0.8,66260,0.9,88086,2.00 96,1,2024-09-07 08:33:01:585,224574,224574,0,0,104694131035,1101273128,221991,1942,641,385,391894,0 96,2,2024-09-07 08:33:01:268,163036,163036,0,0,7784983,0,4042 96,3,2024-09-07 08:33:01:140,1,128,1,0,188,1542,128,0 97,0,2024-09-07 08:33:01:357,31105,0.5,31123,0.6,62246,0.6,82377,1.75 97,1,2024-09-07 08:33:00:772,224856,224856,0,0,105194690723,1099494852,222104,2205,547,367,392140,0 97,2,2024-09-07 08:33:00:619,161789,161789,0,0,7126600,0,3036 97,3,2024-09-07 08:33:00:572,1,128,13,1,165,1605,128,0 98,0,2024-09-07 08:33:01:691,32276,0.3,32117,0.4,64658,0.2,85802,1.50 98,1,2024-09-07 08:33:00:591,225081,225081,0,0,105658643119,1105224041,223303,1693,85,382,391997,0 98,2,2024-09-07 08:33:00:768,162145,162145,0,0,7375212,0,3080 98,3,2024-09-07 08:33:00:700,1,128,3,1,840,2820,128,0 99,0,2024-09-07 08:33:01:454,32271,0.3,32333,0.5,64616,0.3,86247,1.75 99,1,2024-09-07 08:33:01:723,225161,225161,0,0,104728083441,1097207998,222665,1845,651,381,391744,0 99,2,2024-09-07 08:33:01:419,163195,163195,0,0,7168329,0,1973 99,3,2024-09-07 08:33:00:590,1,128,1,0,129,1011,128,0 100,0,2024-09-07 08:33:01:467,32479,1.9,32550,1.8,65073,3.2,87104,3.00 100,1,2024-09-07 08:33:00:556,223220,223220,0,0,104343221903,1114893133,218064,4227,929,381,391989,0 100,2,2024-09-07 08:33:01:821,160289,160278,11,0,8452450,0,5417 100,3,2024-09-07 08:33:01:731,1,128,11,0,559,3277,128,0 101,0,2024-09-07 08:33:01:711,33176,3.4,32216,1.8,63467,4.2,88424,3.50 101,1,2024-09-07 08:33:00:553,223538,223538,0,0,104780770334,1116155682,218408,3664,1466,368,391709,0 101,2,2024-09-07 08:33:01:768,161504,161504,0,0,9389988,0,4644 101,3,2024-09-07 08:33:00:942,1,128,13,0,448,1873,128,0 102,0,2024-09-07 08:33:00:970,31807,0.7,33019,0.8,66213,0.5,86931,2.00 102,1,2024-09-07 08:33:01:143,224112,224112,0,0,104725988777,1108900075,220178,3226,708,369,391831,0 102,2,2024-09-07 08:33:01:737,162781,162727,54,0,9002427,0,6768 102,3,2024-09-07 08:33:01:625,1,128,1,0,410,1585,128,0 103,0,2024-09-07 08:33:01:589,32400,0.5,32382,0.7,61202,0.4,83923,1.75 103,1,2024-09-07 08:33:01:628,223456,223456,0,0,105121667633,1113808109,219407,2856,1193,381,391829,0 103,2,2024-09-07 08:33:00:592,161640,161640,0,0,8119010,0,2104 103,3,2024-09-07 08:33:00:755,1,128,6,0,916,2651,128,0 104,0,2024-09-07 08:33:01:008,31977,1.0,32081,1.1,63174,1.1,86072,2.25 104,1,2024-09-07 08:33:01:605,224917,224917,0,0,105115122131,1113591363,220478,3577,862,366,391948,0 104,2,2024-09-07 08:33:01:666,157545,157545,0,0,8015105,0,3941 104,3,2024-09-07 08:33:01:422,1,128,4,1,1245,4817,128,0 105,0,2024-09-07 08:33:01:029,32897,1.0,31789,1.2,66419,1.4,88429,3.25 105,1,2024-09-07 08:33:00:555,224832,224832,0,0,105208441301,1109664239,220877,3184,771,367,391797,0 105,2,2024-09-07 08:33:01:322,162305,162305,0,0,7828119,0,3509 105,3,2024-09-07 08:33:01:304,1,128,1,1,399,2132,128,0 106,0,2024-09-07 08:33:00:942,30174,0.6,30872,0.8,62796,0.6,82491,2.00 106,1,2024-09-07 08:33:01:758,224476,224476,0,0,104423551487,1099662393,221088,3037,351,369,391767,0 106,2,2024-09-07 08:33:00:756,162828,162828,0,0,7998532,0,2795 106,3,2024-09-07 08:33:00:678,1,128,405,0,405,2191,128,0 107,0,2024-09-07 08:33:01:115,31345,0.4,31234,0.6,62091,0.4,82718,1.75 107,1,2024-09-07 08:33:00:590,224169,224169,0,0,104139931833,1099837219,221335,2609,225,381,392234,0 107,2,2024-09-07 08:33:01:291,161226,161225,1,0,8116812,0,5024 107,3,2024-09-07 08:33:01:755,1,128,2,0,353,1711,128,0 108,0,2024-09-07 08:33:01:798,30851,0.4,30972,0.6,61354,0.4,81676,1.75 108,1,2024-09-07 08:33:01:300,224643,224643,0,0,105359288663,1103041904,222346,2011,286,368,391857,0 108,2,2024-09-07 08:33:01:755,158081,158081,0,0,7499288,0,2647 108,3,2024-09-07 08:33:01:330,1,128,288,0,288,2109,128,0 109,0,2024-09-07 08:33:01:754,33433,0.5,33261,0.7,66295,0.5,89667,1.75 109,1,2024-09-07 08:33:00:593,224334,224334,0,0,105411002161,1109419890,221933,1939,462,383,391812,0 109,2,2024-09-07 08:33:00:922,162614,162614,0,0,7761606,0,3617 109,3,2024-09-07 08:33:01:139,1,128,13,1,249,1903,128,0 110,0,2024-09-07 08:33:01:806,30941,0.5,30091,0.7,62883,0.5,82142,1.75 110,1,2024-09-07 08:33:01:655,225263,225263,0,0,105149976209,1098531247,222903,1660,700,370,391667,0 110,2,2024-09-07 08:33:01:303,163225,163225,0,0,6851040,0,2915 110,3,2024-09-07 08:33:00:689,1,128,3,0,406,1977,128,0 111,0,2024-09-07 08:33:01:418,31802,0.2,31282,0.4,62656,0.2,83839,1.50 111,1,2024-09-07 08:33:01:000,225746,225746,0,0,106188991052,1104614352,224097,1317,332,382,391690,0 111,2,2024-09-07 08:33:01:116,160981,160981,0,0,7607357,0,2763 111,3,2024-09-07 08:33:00:921,1,128,1,0,300,1942,128,0 112,0,2024-09-07 08:33:00:919,32251,0.3,32207,0.5,64409,0.2,85721,1.50 112,1,2024-09-07 08:33:00:827,225172,225172,0,0,105476526527,1101965891,222849,1898,425,381,391580,0 112,2,2024-09-07 08:33:01:136,161114,161113,1,0,7844739,0,5036 112,3,2024-09-07 08:33:00:598,1,128,1,0,282,1591,128,0 113,0,2024-09-07 08:33:00:893,32418,0.5,32170,0.6,65268,0.4,87654,1.75 113,1,2024-09-07 08:33:01:686,225652,225652,0,0,106385161622,1106138330,223245,1919,488,366,391661,0 113,2,2024-09-07 08:33:01:304,163165,163165,0,0,6840448,0,3813 113,3,2024-09-07 08:33:00:685,1,128,14,0,288,2125,128,0 114,0,2024-09-07 08:33:00:878,32840,0.8,33370,0.8,65308,0.6,87316,2.00 114,1,2024-09-07 08:33:00:721,224961,224961,0,0,105608861046,1112689566,220859,2640,1462,381,391534,0 114,2,2024-09-07 08:33:00:873,161827,161827,0,0,7099254,0,3925 114,3,2024-09-07 08:33:01:278,1,128,1,0,395,1904,128,0 115,0,2024-09-07 08:33:00:556,32330,0.3,32643,0.4,65388,0.2,86696,1.50 115,1,2024-09-07 08:33:00:572,225257,225257,0,0,105448174830,1106291172,221618,2818,821,382,391602,0 115,2,2024-09-07 08:33:01:126,162640,162640,0,0,6606293,0,2152 115,3,2024-09-07 08:33:01:002,1,128,1,0,159,1032,128,0 116,0,2024-09-07 08:33:01:712,31853,0.7,31365,0.8,63197,0.8,84288,2.00 116,1,2024-09-07 08:33:00:805,223379,223379,0,0,105109209765,1125109387,218548,3079,1752,382,391677,0 116,2,2024-09-07 08:33:01:762,160968,160968,0,0,9514335,0,3529 116,3,2024-09-07 08:33:00:917,1,128,2,0,252,2085,128,0 117,0,2024-09-07 08:33:01:004,32378,2.0,32512,1.3,64571,3.1,87450,2.25 117,1,2024-09-07 08:33:01:596,224609,224609,0,0,104780492183,1105287823,221061,3122,426,370,392033,0 117,2,2024-09-07 08:33:01:118,160630,160630,0,0,7400364,0,3700 117,3,2024-09-07 08:33:01:066,1,128,1,0,490,3008,128,0 118,0,2024-09-07 08:33:01:773,31729,0.8,32587,0.9,66418,0.9,86656,2.25 118,1,2024-09-07 08:33:00:593,224115,224115,0,0,104300433368,1109001970,219501,3325,1289,368,391736,0 118,2,2024-09-07 08:33:01:587,162283,162283,0,0,8527935,0,2781 118,3,2024-09-07 08:33:01:765,1,128,1,0,235,1957,128,0 119,0,2024-09-07 08:33:01:339,31655,0.9,31820,0.9,64478,1.1,85358,2.25 119,1,2024-09-07 08:33:00:557,224892,224892,0,0,105710384743,1108197691,222525,2080,287,370,391641,0 119,2,2024-09-07 08:33:01:264,161870,161870,0,0,7947933,0,3526 119,3,2024-09-07 08:33:01:324,1,128,1,0,443,2657,128,0 120,0,2024-09-07 08:33:01:546,31026,0.5,31137,0.8,62148,0.5,83078,2.00 120,1,2024-09-07 08:33:00:860,224888,224888,0,0,105122711202,1106519322,222220,2434,234,368,391961,0 120,2,2024-09-07 08:33:00:773,161462,161461,1,0,9634546,0,5281 120,3,2024-09-07 08:33:01:290,1,128,1,0,241,1902,128,0 121,0,2024-09-07 08:33:01:698,31395,1.6,31907,1.2,63381,2.5,85056,2.25 121,1,2024-09-07 08:33:01:657,225042,225042,0,0,105087878238,1102945309,222509,2250,283,367,391840,0 121,2,2024-09-07 08:33:01:126,157282,157282,0,0,8635257,0,4127 121,3,2024-09-07 08:33:00:734,1,128,36,0,269,1881,128,0 122,0,2024-09-07 08:33:01:763,33292,1.3,32481,1.3,67828,1.5,90268,2.25 122,1,2024-09-07 08:33:00:863,224106,224106,0,0,104979630906,1108022643,220211,3234,661,366,392130,0 122,2,2024-09-07 08:33:01:319,163231,163231,0,0,9593073,0,3364 122,3,2024-09-07 08:33:00:598,1,128,1,0,320,2938,128,0 123,0,2024-09-07 08:33:00:962,31063,1.0,30148,0.9,63065,1.2,82387,2.25 123,1,2024-09-07 08:33:00:562,225243,225243,0,0,105726100127,1118701889,220548,4019,676,369,391823,0 123,2,2024-09-07 08:33:01:020,161739,161738,1,0,8253947,0,5215 123,3,2024-09-07 08:33:01:134,1,128,1,1,168,1809,128,0 124,0,2024-09-07 08:33:00:940,32086,0.3,32114,0.5,60588,0.2,82741,1.50 124,1,2024-09-07 08:33:01:035,225052,225052,0,0,105233028519,1095541216,223245,1454,353,367,392178,0 124,2,2024-09-07 08:33:01:029,161616,161616,0,0,6847521,0,3101 124,3,2024-09-07 08:33:00:759,1,128,1,0,490,2080,128,0 125,0,2024-09-07 08:33:01:428,31294,0.5,31157,0.6,62615,0.5,82488,1.75 125,1,2024-09-07 08:33:00:862,224694,224694,0,0,105372438528,1103790042,222387,2032,275,384,391702,0 125,2,2024-09-07 08:33:01:117,160190,160190,0,0,6859352,0,2180 125,3,2024-09-07 08:33:01:137,1,128,8,1,284,1779,128,0 126,0,2024-09-07 08:33:01:456,33137,0.8,33972,0.8,64945,0.9,88691,2.00 126,1,2024-09-07 08:33:00:560,225578,225578,0,0,105566200015,1097304914,224089,1413,76,365,391987,0 126,2,2024-09-07 08:33:00:610,163614,163614,0,0,7026268,0,3186 126,3,2024-09-07 08:33:00:915,1,128,2,0,136,1814,128,0 127,0,2024-09-07 08:33:01:599,30873,0.5,31160,0.6,62271,0.5,82477,1.75 127,1,2024-09-07 08:33:00:573,225198,225198,0,0,105121334433,1092358689,223444,1718,36,365,391816,0 127,2,2024-09-07 08:33:00:638,162340,162340,0,0,6452730,0,1803 127,3,2024-09-07 08:33:01:267,1,128,1,0,99,934,128,0 128,0,2024-09-07 08:33:01:539,32477,0.3,32336,0.5,64446,0.2,85771,1.50 128,1,2024-09-07 08:33:01:623,225493,225493,0,0,105871645780,1099556634,224560,862,71,367,391680,0 128,2,2024-09-07 08:33:01:389,160749,160749,0,0,7131145,0,2107 128,3,2024-09-07 08:33:00:766,1,128,5,0,333,2096,128,0 129,0,2024-09-07 08:33:01:021,32493,0.3,32350,0.5,64533,0.3,86166,1.75 129,1,2024-09-07 08:33:00:608,224288,224288,0,0,105102238719,1106489904,221388,2510,390,379,391835,0 129,2,2024-09-07 08:33:00:687,161591,161591,0,0,7389087,0,4031 129,3,2024-09-07 08:33:00:705,1,128,12,1,173,1748,128,0 130,0,2024-09-07 08:33:01:725,33065,1.0,32763,0.9,65952,1.5,88112,2.00 130,1,2024-09-07 08:33:00:585,224952,224952,0,0,105154090990,1100495114,222910,1973,69,381,391825,0 130,2,2024-09-07 08:33:01:126,161025,161025,0,0,6983912,0,4067 130,3,2024-09-07 08:33:01:291,1,128,2,0,207,1214,128,0 131,0,2024-09-07 08:33:01:928,32930,0.7,33017,0.8,66805,0.9,88968,1.75 131,1,2024-09-07 08:33:01:823,225832,225832,0,0,105311449463,1099665623,224385,1241,206,384,391865,0 131,2,2024-09-07 08:33:00:567,162812,162812,0,0,6707677,0,2415 131,3,2024-09-07 08:33:01:688,1,128,15,0,392,1604,128,0 132,0,2024-09-07 08:33:01:444,32221,0.5,32806,0.8,65609,0.5,87293,2.00 132,1,2024-09-07 08:33:00:593,223253,223253,0,0,104420995603,1113499931,217865,4360,1028,381,391760,0 132,2,2024-09-07 08:33:00:704,161675,161675,0,0,9880848,0,4606 132,3,2024-09-07 08:33:01:688,1,128,2,0,356,2659,128,0 133,0,2024-09-07 08:33:01:542,30726,0.4,31380,0.6,64440,0.4,83230,1.75 133,1,2024-09-07 08:33:00:591,223147,223147,0,0,104853075491,1115368556,218672,3837,638,383,391755,0 133,2,2024-09-07 08:33:01:089,161758,161758,0,0,9692391,0,4315 133,3,2024-09-07 08:33:01:297,1,128,5,1,187,1228,128,0 134,0,2024-09-07 08:33:00:947,32172,0.7,31990,0.9,64622,0.7,85890,2.00 134,1,2024-09-07 08:33:00:591,223899,223899,0,0,104757781018,1106077536,219732,2949,1218,366,391718,0 134,2,2024-09-07 08:33:01:758,158149,158149,0,0,7697567,0,3096 134,3,2024-09-07 08:33:00:751,1,128,12,0,739,2830,128,0 135,0,2024-09-07 08:33:01:103,32305,1.3,32207,1.2,68014,1.4,88007,2.50 135,1,2024-09-07 08:33:01:591,224009,224009,0,0,104943924943,1111057028,220014,3295,700,380,391725,0 135,2,2024-09-07 08:33:00:722,163179,163179,0,0,8632375,0,3981 135,3,2024-09-07 08:33:01:002,1,128,5,0,89,803,128,0 136,0,2024-09-07 08:33:01:624,31408,0.6,31179,0.8,62871,0.6,83557,2.00 136,1,2024-09-07 08:33:01:441,224223,224223,0,0,104770556709,1101794444,221247,2807,169,384,391641,0 136,2,2024-09-07 08:33:01:140,164182,164182,0,0,7965124,0,3506 136,3,2024-09-07 08:33:01:108,1,128,2,0,108,1139,128,0 137,0,2024-09-07 08:33:00:992,32008,0.5,31121,0.7,61676,0.5,82960,2.00 137,1,2024-09-07 08:33:00:576,224684,224684,0,0,105272989195,1106014904,221161,3211,312,367,391608,0 137,2,2024-09-07 08:33:01:705,161236,161236,0,0,9341055,0,3185 137,3,2024-09-07 08:33:00:769,1,128,23,1,227,1673,128,0 138,0,2024-09-07 08:33:01:747,30187,1.9,30358,1.3,61255,3.0,81636,2.50 138,1,2024-09-07 08:33:01:690,225102,225102,0,0,105604645303,1108526640,222150,2604,348,368,391954,0 138,2,2024-09-07 08:33:00:592,159330,159330,0,0,7415559,0,3263 138,3,2024-09-07 08:33:00:610,1,128,6,0,1160,2510,128,0 139,0,2024-09-07 08:33:01:362,32363,3.1,32411,1.8,65700,4.4,88476,3.00 139,1,2024-09-07 08:33:00:584,223765,223765,0,0,104339090013,1112205389,219117,3340,1308,381,391892,0 139,2,2024-09-07 08:33:00:703,161817,161817,0,0,8586606,0,3097 139,3,2024-09-07 08:33:01:670,1,128,11,0,244,1704,128,0 140,0,2024-09-07 08:33:01:596,31031,0.4,30911,0.6,61943,0.4,82190,1.75 140,1,2024-09-07 08:33:01:572,225809,225809,0,0,106113024556,1097081344,224396,1174,239,365,391606,0 140,2,2024-09-07 08:33:00:686,163439,163439,0,0,7363418,0,3388 140,3,2024-09-07 08:33:00:767,1,128,2,0,247,1217,128,0 141,0,2024-09-07 08:33:01:699,31316,0.2,32333,0.4,62105,0.1,83793,1.50 141,1,2024-09-07 08:33:00:859,225536,225536,0,0,105928021715,1102035031,223809,1375,352,379,391539,0 141,2,2024-09-07 08:33:01:686,160857,160857,0,0,6652784,0,2342 141,3,2024-09-07 08:33:01:049,1,128,11,0,147,1155,128,0 142,0,2024-09-07 08:33:01:344,32582,0.3,32303,0.5,64112,0.3,85873,1.75 142,1,2024-09-07 08:33:00:591,225341,225341,0,0,105473013513,1100608133,224191,1016,134,383,391649,0 142,2,2024-09-07 08:33:01:299,159892,159860,32,0,8142810,0,6028 142,3,2024-09-07 08:33:01:746,1,128,10,0,484,2013,128,0 143,0,2024-09-07 08:33:01:399,32607,0.8,32686,0.7,65725,0.9,87559,2.00 143,1,2024-09-07 08:33:00:567,225164,225164,0,0,105310913284,1096765725,223141,1982,41,367,391619,0 143,2,2024-09-07 08:33:00:770,161905,161905,0,0,7193701,0,2669 143,3,2024-09-07 08:33:01:140,1,128,2,0,303,2256,128,0 144,0,2024-09-07 08:33:01:509,31323,1.2,32203,1.9,65295,1.5,85990,2.50 144,1,2024-09-07 08:33:00:567,224223,224223,0,0,104972498135,1105942768,221953,2067,203,381,391649,0 144,2,2024-09-07 08:33:01:755,162384,162384,0,0,7112935,0,3473 144,3,2024-09-07 08:33:01:742,1,128,4,0,249,1898,128,0 145,0,2024-09-07 08:33:01:359,31295,0.6,31325,0.9,66425,0.5,86338,2.25 145,1,2024-09-07 08:33:00:561,223034,223034,0,0,105017618335,1114941381,218733,3567,734,383,391615,0 145,2,2024-09-07 08:33:01:431,161798,161798,0,0,8303439,0,3903 145,3,2024-09-07 08:33:00:901,1,128,4,0,151,1673,128,0 146,0,2024-09-07 08:33:01:608,31540,0.5,31386,0.7,63440,0.5,83888,2.00 146,1,2024-09-07 08:33:01:590,225462,225462,0,0,105229293093,1108827851,221326,3339,797,368,391600,0 146,2,2024-09-07 08:33:01:694,162131,162131,0,0,7824349,0,2498 146,3,2024-09-07 08:33:01:275,1,128,1,0,1520,4603,128,0 147,0,2024-09-07 08:33:01:699,32458,1.6,32444,1.2,64095,2.2,87505,2.75 147,1,2024-09-07 08:33:01:374,225886,225886,0,0,105536585869,1100178867,223586,1908,392,368,391791,0 147,2,2024-09-07 08:33:01:014,159877,159877,0,0,7017953,0,2789 147,3,2024-09-07 08:33:00:917,1,128,5,0,371,1713,128,0 0,0,2024-09-07 08:33:11:710,30393,0.5,30484,0.7,64471,0.5,83462,1.75 0,1,2024-09-07 08:33:10:815,226355,226355,0,0,106805289720,1121389816,224637,1586,132,372,391692,0 0,2,2024-09-07 08:33:11:067,163250,163250,0,0,7818015,0,4480 0,3,2024-09-07 08:33:10:974,1,129,2,0,247,1860,129,0 1,0,2024-09-07 08:33:11:807,32013,1.9,31768,1.5,63838,2.6,85497,2.50 1,1,2024-09-07 08:33:10:560,225474,225474,0,0,105487270613,1113207118,222052,2557,865,372,391857,0 1,2,2024-09-07 08:33:10:663,159045,159045,0,0,6890467,0,3267 1,3,2024-09-07 08:33:11:316,1,129,24,0,262,1737,129,0 2,0,2024-09-07 08:33:11:599,33751,1.0,33955,1.1,67372,1.3,90561,2.25 2,1,2024-09-07 08:33:10:866,226211,226211,0,0,106407593280,1109867601,224799,1206,206,380,391558,0 2,2,2024-09-07 08:33:11:270,164095,164095,0,0,7645713,0,3594 2,3,2024-09-07 08:33:10:691,1,129,5,0,357,1608,129,0 3,0,2024-09-07 08:33:11:743,30884,0.6,30979,0.8,62050,0.6,82162,2.00 3,1,2024-09-07 08:33:11:626,226199,226199,0,0,105775133311,1109749824,223097,2678,424,382,391516,0 3,2,2024-09-07 08:33:11:148,164338,164315,23,0,7795784,0,5851 3,3,2024-09-07 08:33:11:756,1,129,1,0,103,908,129,0 4,0,2024-09-07 08:33:11:798,30497,0.3,31132,0.5,63316,0.2,82853,1.75 4,1,2024-09-07 08:33:10:609,226580,226580,0,0,105822137210,1117922674,223728,2332,520,371,391846,0 4,2,2024-09-07 08:33:11:017,161643,161643,0,0,9186244,0,4528 4,3,2024-09-07 08:33:11:030,1,129,1,0,287,2003,129,0 5,0,2024-09-07 08:33:11:409,31289,0.6,31140,0.7,62489,0.7,82656,2.00 5,1,2024-09-07 08:33:10:762,225584,225584,0,0,106199946994,1125612169,221498,3101,985,368,392005,0 5,2,2024-09-07 08:33:11:829,160093,160093,0,0,7816247,0,2259 5,3,2024-09-07 08:33:11:731,1,129,1,0,238,2162,129,0 6,0,2024-09-07 08:33:10:917,33746,1.4,33293,1.2,66383,2.1,89728,2.75 6,1,2024-09-07 08:33:10:746,226390,226390,0,0,106319075814,1118635049,223195,2548,647,381,391617,0 6,2,2024-09-07 08:33:11:121,164659,164659,0,0,8281869,0,4816 6,3,2024-09-07 08:33:11:274,1,129,1,0,340,2110,129,0 7,0,2024-09-07 08:33:11:536,30529,0.6,30551,0.8,61358,0.6,81711,2.00 7,1,2024-09-07 08:33:10:851,226481,226481,0,0,105855443440,1113461653,223789,2561,131,382,391664,0 7,2,2024-09-07 08:33:10:774,163084,163084,0,0,7392436,0,2981 7,3,2024-09-07 08:33:10:865,1,129,1,0,305,1402,129,0 8,0,2024-09-07 08:33:11:382,32296,0.3,32495,0.5,64301,0.2,85974,1.50 8,1,2024-09-07 08:33:11:018,226128,226128,0,0,106091847696,1125001853,221579,3094,1455,368,391956,0 8,2,2024-09-07 08:33:10:792,160706,160706,0,0,8580957,0,2986 8,3,2024-09-07 08:33:10:595,1,129,0,0,357,2264,129,0 9,0,2024-09-07 08:33:11:148,32529,0.4,31646,0.5,66078,0.3,86557,1.75 9,1,2024-09-07 08:33:10:569,226036,226036,0,0,106870059189,1133309471,221618,3294,1124,370,391753,0 9,2,2024-09-07 08:33:11:095,162505,162505,0,0,8348367,0,3360 9,3,2024-09-07 08:33:11:757,1,129,27,0,496,2082,129,0 10,0,2024-09-07 08:33:11:613,33254,0.5,33159,0.7,66385,0.4,88716,1.75 10,1,2024-09-07 08:33:10:583,226359,226359,0,0,105638557168,1112813437,222484,3345,530,381,391741,0 10,2,2024-09-07 08:33:10:762,162847,162847,0,0,8156851,0,2940 10,3,2024-09-07 08:33:10:871,1,129,2,0,177,1110,129,0 11,0,2024-09-07 08:33:11:015,32491,1.2,31685,1.1,66539,1.9,88908,2.50 11,1,2024-09-07 08:33:10:583,226026,226026,0,0,106135029212,1120497300,221486,3378,1162,384,391537,0 11,2,2024-09-07 08:33:11:123,163017,163017,0,0,7789903,0,3411 11,3,2024-09-07 08:33:11:300,1,129,1,0,720,2751,129,0 12,0,2024-09-07 08:33:10:978,33253,0.3,33005,0.5,66258,0.3,88182,1.50 12,1,2024-09-07 08:33:10:945,226386,226386,0,0,106017891970,1112354365,223574,2402,410,370,391837,0 12,2,2024-09-07 08:33:11:543,164260,164260,0,0,8104852,0,3469 12,3,2024-09-07 08:33:11:065,1,129,20,0,358,2436,129,0 13,0,2024-09-07 08:33:11:351,31692,0.4,31889,0.5,63455,0.3,84329,1.75 13,1,2024-09-07 08:33:11:532,226883,226883,0,0,106145330363,1115828624,224948,1558,377,384,391717,0 13,2,2024-09-07 08:33:10:608,164113,164113,0,0,7442285,0,3287 13,3,2024-09-07 08:33:11:762,1,129,1,1,467,2898,129,0 14,0,2024-09-07 08:33:10:569,32725,0.5,32722,0.7,64959,0.5,87096,1.75 14,1,2024-09-07 08:33:11:566,227986,227986,0,0,106180026923,1104494777,226018,1806,162,364,391571,0 14,2,2024-09-07 08:33:10:764,159780,159780,0,0,7347919,0,2896 14,3,2024-09-07 08:33:11:115,1,129,27,0,1168,2805,129,0 15,0,2024-09-07 08:33:11:572,33414,0.6,33351,0.8,66929,0.6,89108,2.00 15,1,2024-09-07 08:33:11:618,226407,226407,0,0,105520383783,1100917099,224913,1433,61,381,391536,0 15,2,2024-09-07 08:33:11:000,164535,164535,0,0,6265062,0,3043 15,3,2024-09-07 08:33:11:409,1,129,4,0,1126,4074,129,0 16,0,2024-09-07 08:33:10:959,31320,0.6,31781,0.8,62877,0.6,84209,2.25 16,1,2024-09-07 08:33:10:571,226606,226606,0,0,105726169410,1110565072,224419,1928,259,372,391756,0 16,2,2024-09-07 08:33:11:434,163727,163727,0,0,8546213,0,4719 16,3,2024-09-07 08:33:11:146,1,129,35,0,231,1839,129,0 17,0,2024-09-07 08:33:11:778,32335,0.5,31520,0.6,61815,0.4,83326,2.00 17,1,2024-09-07 08:33:10:586,225794,225794,0,0,105431102231,1115035941,222474,2649,671,369,391688,0 17,2,2024-09-07 08:33:11:674,164490,164490,0,0,7773950,0,2857 17,3,2024-09-07 08:33:10:593,1,129,17,0,268,2264,129,0 18,0,2024-09-07 08:33:10:943,30232,1.1,30710,1.0,61430,1.3,81954,2.50 18,1,2024-09-07 08:33:11:648,226292,226292,0,0,105860758854,1103225287,224414,1644,234,368,391564,0 18,2,2024-09-07 08:33:11:762,159260,159260,0,0,7572891,0,3541 18,3,2024-09-07 08:33:10:896,1,129,1,0,163,1535,129,0 19,0,2024-09-07 08:33:11:543,33243,1.1,33507,1.1,66301,1.3,88765,3.25 19,1,2024-09-07 08:33:10:806,226963,226963,0,0,106369270744,1113957349,223507,2764,692,367,391777,0 19,2,2024-09-07 08:33:11:756,165261,165261,0,0,6892837,0,3988 19,3,2024-09-07 08:33:11:129,1,129,1,0,524,1412,129,0 20,0,2024-09-07 08:33:11:380,30996,0.8,30966,0.9,61911,1.0,82333,2.50 20,1,2024-09-07 08:33:10:572,226150,226150,0,0,105808470759,1116151773,222758,3003,389,369,391822,0 20,2,2024-09-07 08:33:10:948,164152,164152,0,0,7743320,0,3721 20,3,2024-09-07 08:33:10:617,1,129,1,0,414,2706,129,0 21,0,2024-09-07 08:33:11:159,31965,0.4,31919,0.5,63525,0.3,83868,1.75 21,1,2024-09-07 08:33:11:556,225548,225548,0,0,105235206692,1114527403,222042,2976,530,368,391962,0 21,2,2024-09-07 08:33:11:067,161940,161940,0,0,8574795,0,3747 21,3,2024-09-07 08:33:11:423,1,129,12,0,103,1772,129,0 22,0,2024-09-07 08:33:11:720,31985,0.9,32104,1.0,63798,0.9,84723,2.25 22,1,2024-09-07 08:33:11:031,225875,225875,0,0,105475408011,1120613562,220549,3817,1509,382,391667,0 22,2,2024-09-07 08:33:10:760,161749,161749,0,0,7377976,0,3134 22,3,2024-09-07 08:33:11:068,1,129,1,0,228,1493,129,0 23,0,2024-09-07 08:33:11:370,32615,1.0,32857,1.0,66047,1.2,88187,2.50 23,1,2024-09-07 08:33:11:002,226885,226885,0,0,105957438564,1116125041,222864,2539,1482,365,391549,0 23,2,2024-09-07 08:33:11:093,163542,163542,0,0,7639721,0,3010 23,3,2024-09-07 08:33:11:767,1,129,2,0,645,1717,129,0 24,0,2024-09-07 08:33:10:914,33086,0.6,33055,0.7,66139,0.7,87493,1.75 24,1,2024-09-07 08:33:10:596,226355,226355,0,0,105796865836,1111015439,223828,1762,765,369,391640,0 24,2,2024-09-07 08:33:11:073,162586,162586,0,0,8679526,0,2942 24,3,2024-09-07 08:33:11:696,1,129,16,0,234,1633,129,0 25,0,2024-09-07 08:33:11:352,33600,0.4,32752,0.6,64199,0.3,87559,1.75 25,1,2024-09-07 08:33:10:561,226224,226224,0,0,106096226925,1118986323,222762,2921,541,371,391788,0 25,2,2024-09-07 08:33:11:611,161878,161878,0,0,8761160,0,3978 25,3,2024-09-07 08:33:10:999,1,129,8,0,158,1489,129,0 26,0,2024-09-07 08:33:11:736,31604,0.4,30942,0.6,64991,0.3,85166,1.75 26,1,2024-09-07 08:33:11:546,226776,226776,0,0,105717934433,1116222272,222418,3496,862,381,391564,0 26,2,2024-09-07 08:33:10:864,163223,163223,0,0,8132031,0,2809 26,3,2024-09-07 08:33:11:717,1,129,1,0,796,1920,129,0 27,0,2024-09-07 08:33:11:724,33121,0.7,33293,0.8,65913,0.7,88556,2.00 27,1,2024-09-07 08:33:11:687,227477,227477,0,0,106476114433,1109350545,225680,1474,323,381,391539,0 27,2,2024-09-07 08:33:10:870,160034,160034,0,0,8448604,0,3409 27,3,2024-09-07 08:33:11:021,1,129,5,0,564,1695,129,0 28,0,2024-09-07 08:33:11:413,32703,0.6,33019,0.7,66154,0.7,88431,2.25 28,1,2024-09-07 08:33:10:798,226906,226906,0,0,106681588958,1113227145,225264,1431,211,383,391646,0 28,2,2024-09-07 08:33:11:770,164186,164186,0,0,6507384,0,2915 28,3,2024-09-07 08:33:11:789,1,129,5,0,502,1668,129,0 29,0,2024-09-07 08:33:11:357,33119,0.4,32278,0.6,63266,0.3,86828,1.75 29,1,2024-09-07 08:33:11:564,227886,227886,0,0,106314417282,1104711438,225759,1596,531,369,391621,0 29,2,2024-09-07 08:33:10:862,164518,164518,0,0,6384164,0,4018 29,3,2024-09-07 08:33:10:966,1,129,12,0,105,1082,129,0 30,0,2024-09-07 08:33:11:470,31317,0.6,30439,0.8,63749,0.6,83501,2.25 30,1,2024-09-07 08:33:10:571,227107,227107,0,0,106465862823,1109600450,225232,1597,278,382,391672,0 30,2,2024-09-07 08:33:11:275,164280,164280,0,0,6636220,0,3161 30,3,2024-09-07 08:33:10:584,1,129,4,0,195,945,129,0 31,0,2024-09-07 08:33:11:764,31661,0.7,31722,0.8,64239,0.8,85305,2.00 31,1,2024-09-07 08:33:10:564,228298,228298,0,0,107082990384,1099076381,227681,551,66,356,391553,0 31,2,2024-09-07 08:33:11:278,159457,159457,0,0,7995161,0,3525 31,3,2024-09-07 08:33:11:706,1,129,21,0,220,1137,129,0 32,0,2024-09-07 08:33:11:446,33923,0.6,34259,0.8,68330,0.6,91303,1.75 32,1,2024-09-07 08:33:10:811,227492,227492,0,0,106346273364,1108562230,225753,1464,275,382,391595,0 32,2,2024-09-07 08:33:10:941,164731,164731,0,0,6712631,0,3155 32,3,2024-09-07 08:33:11:015,1,129,1,0,227,1370,129,0 33,0,2024-09-07 08:33:11:555,31427,0.4,30993,0.6,62630,0.3,83093,1.75 33,1,2024-09-07 08:33:10:583,227590,227590,0,0,106829483897,1110605864,225452,1966,172,369,391730,0 33,2,2024-09-07 08:33:10:758,164484,164451,33,0,8656612,0,7012 33,3,2024-09-07 08:33:10:902,1,129,14,0,329,1592,129,0 34,0,2024-09-07 08:33:10:929,31251,0.3,32280,0.5,61895,0.2,82812,1.75 34,1,2024-09-07 08:33:11:050,227390,227390,0,0,106379888040,1099120484,226426,959,5,367,391562,0 34,2,2024-09-07 08:33:10:765,162154,162154,0,0,7374299,0,3255 34,3,2024-09-07 08:33:11:696,1,129,1,0,148,881,129,0 35,0,2024-09-07 08:33:10:860,30873,0.5,31221,0.6,62286,0.5,82803,1.75 35,1,2024-09-07 08:33:11:067,226703,226703,0,0,106353687881,1110348429,223929,2004,770,384,391589,0 35,2,2024-09-07 08:33:11:592,160256,160256,0,0,6867479,0,2653 35,3,2024-09-07 08:33:10:907,1,129,1,0,418,1841,129,0 36,0,2024-09-07 08:33:11:529,33360,1.6,33205,1.2,67179,1.9,89506,3.00 36,1,2024-09-07 08:33:10:593,226162,226162,0,0,105684909949,1115204416,221570,3305,1287,366,391759,0 36,2,2024-09-07 08:33:11:760,163549,163549,0,0,8254144,0,3303 36,3,2024-09-07 08:33:10:869,1,129,295,0,378,1657,129,0 37,0,2024-09-07 08:33:11:368,30759,0.6,30848,0.8,61387,0.6,82601,2.00 37,1,2024-09-07 08:33:10:569,225445,225438,0,7,105027688526,1113685722,220688,2733,2017,365,391518,0 37,2,2024-09-07 08:33:11:152,163550,163535,15,0,8151969,0,5815 37,3,2024-09-07 08:33:11:769,1,129,12,0,724,2373,129,0 38,0,2024-09-07 08:33:11:462,32193,0.4,31184,0.6,65166,0.4,85491,2.00 38,1,2024-09-07 08:33:11:611,227144,227144,0,0,106368770442,1117028477,223675,2905,564,368,391821,0 38,2,2024-09-07 08:33:10:760,162179,162132,47,0,9437729,0,6710 38,3,2024-09-07 08:33:11:005,1,129,1,0,603,1990,129,0 39,0,2024-09-07 08:33:11:766,33307,0.7,32561,0.8,63705,0.8,86896,2.00 39,1,2024-09-07 08:33:10:725,226770,226770,0,0,105640503537,1109070381,222997,2972,801,365,391524,0 39,2,2024-09-07 08:33:11:420,162776,162776,0,0,7337729,0,2689 39,3,2024-09-07 08:33:10:712,1,129,0,0,276,1960,129,0 40,0,2024-09-07 08:33:11:493,32436,1.2,33129,1.5,65684,1.5,88055,3.50 40,1,2024-09-07 08:33:10:583,226527,226527,0,0,105644445559,1112261530,222324,3436,767,369,391591,0 40,2,2024-09-07 08:33:11:307,162305,162304,1,0,8919769,0,5137 40,3,2024-09-07 08:33:11:156,1,129,1,0,181,1433,129,0 41,0,2024-09-07 08:33:11:027,32507,2.5,33181,2.0,63769,3.9,87460,4.50 41,1,2024-09-07 08:33:10:771,226654,226654,0,0,106291585628,1117702129,222760,3363,531,370,391742,0 41,2,2024-09-07 08:33:10:760,162610,162610,0,0,8653787,0,3356 41,3,2024-09-07 08:33:11:676,1,129,12,0,366,1816,129,0 42,0,2024-09-07 08:33:11:485,32390,0.6,32672,0.9,65067,0.5,86189,2.25 42,1,2024-09-07 08:33:11:442,225414,225414,0,0,105682105547,1116546937,221128,3354,932,380,391675,0 42,2,2024-09-07 08:33:11:132,162780,162780,0,0,8600095,0,3568 42,3,2024-09-07 08:33:11:017,1,129,446,0,446,1353,129,0 43,0,2024-09-07 08:33:10:917,31638,0.7,30881,0.9,64234,0.8,84373,2.00 43,1,2024-09-07 08:33:10:585,226431,226431,0,0,106577780847,1114456675,223608,2071,752,366,391604,0 43,2,2024-09-07 08:33:11:739,164269,164269,0,0,8080385,0,3812 43,3,2024-09-07 08:33:11:749,1,129,1,0,325,1898,129,0 44,0,2024-09-07 08:33:10:858,32720,0.6,32542,0.8,65548,0.6,87584,2.00 44,1,2024-09-07 08:33:10:573,227382,227382,0,0,105769957271,1094256730,225920,1333,129,356,391809,0 44,2,2024-09-07 08:33:11:273,159534,159534,0,0,6346319,0,1877 44,3,2024-09-07 08:33:11:101,1,129,9,0,817,1631,129,0 45,0,2024-09-07 08:33:11:776,33026,0.7,32342,0.8,67806,0.7,89642,2.00 45,1,2024-09-07 08:33:11:005,227106,227106,0,0,106269391556,1103819328,226105,992,9,382,391917,0 45,2,2024-09-07 08:33:11:270,164750,164750,0,0,6959172,0,3596 45,3,2024-09-07 08:33:10:935,1,129,8,0,226,1242,129,0 46,0,2024-09-07 08:33:10:957,31299,0.4,31275,0.6,62789,0.3,83359,1.75 46,1,2024-09-07 08:33:10:583,227554,227554,0,0,106263765259,1100456712,226103,1345,106,366,391514,0 46,2,2024-09-07 08:33:10:601,165181,165181,0,0,6850368,0,2920 46,3,2024-09-07 08:33:11:131,1,129,1,0,363,1919,129,0 47,0,2024-09-07 08:33:11:120,31315,0.3,31560,0.5,63242,0.3,83075,1.75 47,1,2024-09-07 08:33:10:570,227447,227447,0,0,106138716349,1096942912,226436,1000,11,366,391605,0 47,2,2024-09-07 08:33:10:915,164124,164124,0,0,7247331,0,2558 47,3,2024-09-07 08:33:11:116,1,129,1,0,529,1488,129,0 48,0,2024-09-07 08:33:11:509,31146,0.3,30898,0.5,61949,0.3,82515,1.75 48,1,2024-09-07 08:33:11:021,227017,227017,0,0,107014027012,1116243595,225008,1829,180,384,391710,0 48,2,2024-09-07 08:33:10:705,159315,159315,0,0,6070032,0,2083 48,3,2024-09-07 08:33:10:752,1,129,1,0,339,1327,129,0 49,0,2024-09-07 08:33:11:743,34480,0.5,33846,0.7,65929,0.6,90589,1.75 49,1,2024-09-07 08:33:11:022,226179,226179,0,0,105798347385,1108756429,223447,1892,840,382,391583,0 49,2,2024-09-07 08:33:11:817,165220,165220,0,0,7192510,0,3900 49,3,2024-09-07 08:33:11:419,1,129,14,0,408,1713,129,0 50,0,2024-09-07 08:33:11:520,31103,0.4,30518,0.6,61267,0.3,82153,1.75 50,1,2024-09-07 08:33:11:016,227815,227815,0,0,106724400682,1111560129,225438,2068,309,368,391530,0 50,2,2024-09-07 08:33:11:067,163857,163857,0,0,6837219,0,2253 50,3,2024-09-07 08:33:11:292,1,129,1,0,335,1148,129,0 51,0,2024-09-07 08:33:11:703,32428,0.3,31812,0.4,62080,0.2,84307,1.75 51,1,2024-09-07 08:33:11:686,226914,226914,0,0,107423203259,1114706408,224963,1166,785,367,391637,0 51,2,2024-09-07 08:33:11:321,162174,162174,0,0,6141048,0,2448 51,3,2024-09-07 08:33:11:029,1,129,1,0,162,841,129,0 52,0,2024-09-07 08:33:11:463,32275,0.6,32378,0.8,64917,0.6,85300,2.25 52,1,2024-09-07 08:33:10:584,225952,225952,0,0,105286343793,1117186863,220362,4438,1152,368,391722,0 52,2,2024-09-07 08:33:11:770,160138,160100,38,0,8907138,0,6742 52,3,2024-09-07 08:33:10:676,1,129,2,0,1782,3081,129,0 53,0,2024-09-07 08:33:11:751,32656,1.3,31704,1.2,66322,1.5,87497,3.00 53,1,2024-09-07 08:33:10:771,225196,225196,0,0,106637007642,1133786502,219592,3710,1894,367,391617,0 53,2,2024-09-07 08:33:11:300,164211,164211,0,0,7057590,0,2262 53,3,2024-09-07 08:33:10:718,1,129,8,0,133,1090,129,0 54,0,2024-09-07 08:33:11:630,31450,3.4,31874,1.6,63029,1.9,84584,4.50 54,1,2024-09-07 08:33:10:595,226578,226578,0,0,106478281978,1116743467,223016,3011,551,367,391532,0 54,2,2024-09-07 08:33:10:869,163200,163194,6,0,8334582,0,5382 54,3,2024-09-07 08:33:10:765,1,129,1,0,676,2766,129,0 55,0,2024-09-07 08:33:11:763,31524,0.5,32397,0.8,65847,0.4,85442,2.50 55,1,2024-09-07 08:33:10:766,225885,225885,0,0,105340243909,1109075772,221141,3959,785,367,391731,0 55,2,2024-09-07 08:33:10:753,162238,162238,0,0,8495208,0,3275 55,3,2024-09-07 08:33:10:680,1,129,1,0,304,1612,129,0 56,0,2024-09-07 08:33:11:571,32709,1.4,30930,1.2,63464,1.9,85391,2.50 56,1,2024-09-07 08:33:10:595,226052,226052,0,0,106364173657,1132599565,220989,4161,902,382,391678,0 56,2,2024-09-07 08:33:11:309,162912,162912,0,0,9091281,0,3567 56,3,2024-09-07 08:33:11:060,1,129,405,0,405,2021,129,0 57,0,2024-09-07 08:33:10:969,32195,3.0,32209,1.7,64518,4.9,86689,4.50 57,1,2024-09-07 08:33:10:991,226281,226281,0,0,105675107330,1109527990,223788,2323,170,368,391773,0 57,2,2024-09-07 08:33:11:322,161459,161459,0,0,8692810,0,3178 57,3,2024-09-07 08:33:11:738,1,129,8,0,359,2523,129,0 58,0,2024-09-07 08:33:10:570,32109,0.8,31231,1.0,65359,0.8,85811,2.50 58,1,2024-09-07 08:33:10:594,227145,227142,0,3,106482469273,1115617679,223938,2810,394,369,391516,3 58,2,2024-09-07 08:33:11:074,163863,163863,0,0,7988626,0,2549 58,3,2024-09-07 08:33:11:068,1,129,1,0,219,1113,129,0 59,0,2024-09-07 08:33:11:748,32314,0.9,32034,1.0,64207,1.0,85627,3.00 59,1,2024-09-07 08:33:10:815,226579,226579,0,0,106153737234,1115795959,223060,2597,922,369,391515,0 59,2,2024-09-07 08:33:10:588,164801,164801,0,0,7319597,0,2604 59,3,2024-09-07 08:33:11:738,1,129,1,0,1015,2705,129,0 60,0,2024-09-07 08:33:11:732,31719,0.4,31789,0.6,63051,0.4,83687,1.75 60,1,2024-09-07 08:33:10:772,228211,228211,0,0,106132598046,1102683752,226916,942,353,370,391761,0 60,2,2024-09-07 08:33:11:156,163439,163439,0,0,8210889,0,3811 60,3,2024-09-07 08:33:11:269,1,129,1,0,124,1333,129,0 61,0,2024-09-07 08:33:11:559,31779,1.4,32031,1.2,63875,2.1,85534,2.00 61,1,2024-09-07 08:33:10:775,226590,226590,0,0,106769617956,1121520164,223694,2358,538,382,391589,0 61,2,2024-09-07 08:33:11:123,160100,160100,0,0,6747345,0,2079 61,3,2024-09-07 08:33:11:696,1,129,8,0,199,1728,129,0 62,0,2024-09-07 08:33:11:707,34109,1.1,34934,1.0,66722,1.4,90950,2.00 62,1,2024-09-07 08:33:11:121,228373,228367,0,6,106953201255,1105187638,226946,1383,38,365,391715,6 62,2,2024-09-07 08:33:11:658,163361,163360,1,0,8444668,0,5555 62,3,2024-09-07 08:33:11:156,1,129,1,0,287,1071,129,0 63,0,2024-09-07 08:33:11:457,31078,0.6,31110,0.7,62250,0.7,82726,2.00 63,1,2024-09-07 08:33:10:804,227804,227798,0,6,106782766206,1107889723,226691,1087,20,381,391542,6 63,2,2024-09-07 08:33:10:764,164395,164395,0,0,6568986,0,2674 63,3,2024-09-07 08:33:11:731,1,129,129,0,667,2221,129,0 64,0,2024-09-07 08:33:11:542,31208,0.4,31070,0.6,62205,0.4,82840,1.75 64,1,2024-09-07 08:33:10:751,227023,227023,0,0,106232551005,1116603010,223584,2387,1052,371,391783,0 64,2,2024-09-07 08:33:11:158,163812,163793,19,0,7608029,0,6121 64,3,2024-09-07 08:33:11:153,1,129,6,0,265,1703,129,0 65,0,2024-09-07 08:33:11:692,30517,1.3,30983,1.1,61842,1.8,82000,2.75 65,1,2024-09-07 08:33:10:877,226037,226037,0,0,105690251728,1109854558,223647,2168,222,382,391770,0 65,2,2024-09-07 08:33:11:697,160782,160782,0,0,8018671,0,3367 65,3,2024-09-07 08:33:11:692,1,129,21,0,163,1435,129,0 66,0,2024-09-07 08:33:11:767,33038,1.1,33037,1.1,66395,1.8,88741,3.00 66,1,2024-09-07 08:33:11:296,227472,227472,0,0,106662821348,1111463040,225688,1613,171,380,391588,0 66,2,2024-09-07 08:33:11:131,166036,166036,0,0,7321192,0,4956 66,3,2024-09-07 08:33:11:079,1,129,2,0,291,1627,129,0 67,0,2024-09-07 08:33:11:418,31186,1.1,31109,1.1,62273,1.4,82706,2.75 67,1,2024-09-07 08:33:10:765,226152,226151,0,1,105882071097,1113549995,222706,2699,746,381,391787,1 67,2,2024-09-07 08:33:10:585,164449,164449,0,0,6700175,0,2889 67,3,2024-09-07 08:33:11:767,1,129,7,0,138,1207,129,0 68,0,2024-09-07 08:33:10:601,32545,0.5,32446,0.7,64705,0.4,85694,2.00 68,1,2024-09-07 08:33:10:573,225848,225848,0,0,105647973946,1113744020,223059,1773,1016,381,391953,0 68,2,2024-09-07 08:33:11:064,161046,160981,65,0,9694714,0,6698 68,3,2024-09-07 08:33:10:737,1,129,8,0,417,1757,129,0 69,0,2024-09-07 08:33:11:742,32374,0.9,32629,1.0,64783,1.1,86514,2.25 69,1,2024-09-07 08:33:11:032,225520,225520,0,0,106017124526,1126145287,222106,2293,1121,384,391611,0 69,2,2024-09-07 08:33:11:738,162560,162560,0,0,8833659,0,3701 69,3,2024-09-07 08:33:10:761,1,129,8,0,238,1700,129,0 70,0,2024-09-07 08:33:11:544,32653,2.9,32636,1.9,65654,2.5,87451,4.25 70,1,2024-09-07 08:33:10:802,227062,227062,0,0,106650374227,1113648241,224678,1922,462,367,391725,0 70,2,2024-09-07 08:33:11:328,162451,162451,0,0,7977127,0,4044 70,3,2024-09-07 08:33:10:745,1,129,854,0,854,1753,129,0 71,0,2024-09-07 08:33:11:356,32536,2.6,32613,1.9,64778,4.1,87682,4.00 71,1,2024-09-07 08:33:11:606,226661,226661,0,0,106380412937,1119437111,222708,3431,522,368,391682,0 71,2,2024-09-07 08:33:11:067,163949,163949,0,0,7771477,0,2470 71,3,2024-09-07 08:33:11:767,1,129,2,0,644,2463,129,0 72,0,2024-09-07 08:33:11:049,33971,0.5,33125,0.7,64952,0.4,88319,2.25 72,1,2024-09-07 08:33:11:021,226558,226558,0,0,105952326423,1109909972,223590,2485,483,370,391819,0 72,2,2024-09-07 08:33:11:762,162380,162380,0,0,9418708,0,2570 72,3,2024-09-07 08:33:11:759,1,129,52,0,325,2046,129,0 73,0,2024-09-07 08:33:11:111,30955,0.4,31668,0.6,64731,0.3,84131,2.00 73,1,2024-09-07 08:33:10:787,226688,226688,0,0,106361713504,1106643617,225040,1534,114,368,391627,0 73,2,2024-09-07 08:33:11:738,164298,164298,0,0,8796356,0,3482 73,3,2024-09-07 08:33:10:970,1,129,11,0,274,2359,129,0 74,0,2024-09-07 08:33:11:337,32856,0.6,33350,0.9,63735,0.7,87142,2.50 74,1,2024-09-07 08:33:10:637,227030,227030,0,0,106107897987,1111304483,224301,2110,619,382,391681,0 74,2,2024-09-07 08:33:11:003,159658,159658,0,0,8458535,0,4253 74,3,2024-09-07 08:33:11:443,1,129,3,0,246,2043,129,0 75,0,2024-09-07 08:33:11:767,33544,0.7,33429,0.9,66757,0.6,89768,2.50 75,1,2024-09-07 08:33:11:588,226439,226439,0,0,106109478396,1113984300,223595,2479,365,381,391579,0 75,2,2024-09-07 08:33:11:349,163485,163485,0,0,8254331,0,4766 75,3,2024-09-07 08:33:11:067,1,129,9,0,535,1647,129,0 76,0,2024-09-07 08:33:10:683,31298,0.4,31056,0.7,62033,0.4,83590,2.25 76,1,2024-09-07 08:33:10:810,226713,226713,0,0,105784665068,1106812399,224774,1429,510,382,391530,0 76,2,2024-09-07 08:33:11:066,165738,165738,0,0,6971221,0,3064 76,3,2024-09-07 08:33:11:157,1,129,1,0,175,1550,129,0 77,0,2024-09-07 08:33:11:757,31341,0.6,31511,0.7,63148,0.6,82959,2.00 77,1,2024-09-07 08:33:10:824,227111,227111,0,0,105811826741,1105758175,225617,1413,81,383,391808,0 77,2,2024-09-07 08:33:11:294,163468,163468,0,0,7263515,0,3890 77,3,2024-09-07 08:33:11:101,1,129,13,0,305,1809,129,0 78,0,2024-09-07 08:33:11:731,30933,0.6,30937,0.8,62036,0.6,82167,2.25 78,1,2024-09-07 08:33:10:613,227239,227239,0,0,105439251071,1098171607,225466,1567,206,367,391589,0 78,2,2024-09-07 08:33:11:408,160207,160207,0,0,6604931,0,2114 78,3,2024-09-07 08:33:11:136,1,129,0,0,181,1515,129,0 79,0,2024-09-07 08:33:11:347,32582,0.5,33288,0.8,68167,0.5,89084,2.50 79,1,2024-09-07 08:33:10:571,227730,227730,0,0,106503077740,1106561819,225717,1842,171,369,391682,0 79,2,2024-09-07 08:33:11:068,165916,165916,0,0,6953989,0,3212 79,3,2024-09-07 08:33:10:750,1,129,1,0,418,2533,129,0 80,0,2024-09-07 08:33:11:112,30814,0.8,31616,0.9,60883,0.9,82383,2.50 80,1,2024-09-07 08:33:11:636,226135,226135,0,0,105933432299,1107959852,223661,2315,159,368,391673,0 80,2,2024-09-07 08:33:11:102,164800,164800,0,0,7681794,0,4433 80,3,2024-09-07 08:33:10:580,1,129,5,0,190,2434,129,0 81,0,2024-09-07 08:33:11:541,31771,0.5,32695,0.6,62526,0.4,84051,1.75 81,1,2024-09-07 08:33:11:653,226758,226758,0,0,105641557557,1109593755,224319,2167,272,382,391879,0 81,2,2024-09-07 08:33:11:134,161736,161736,0,0,8012832,0,3993 81,3,2024-09-07 08:33:11:124,1,129,12,0,193,1417,129,0 82,0,2024-09-07 08:33:11:539,31975,0.6,32133,0.8,64555,0.6,85508,2.00 82,1,2024-09-07 08:33:10:585,226551,226547,0,4,106246877925,1112825602,224128,1843,576,382,391558,4 82,2,2024-09-07 08:33:11:696,162532,162532,0,0,7332809,0,3986 82,3,2024-09-07 08:33:11:753,1,129,5,0,227,1744,129,0 83,0,2024-09-07 08:33:11:537,33055,1.0,32847,1.0,65065,1.1,87559,2.50 83,1,2024-09-07 08:33:10:559,227051,227051,0,0,105859047513,1109246194,224761,2100,190,382,391558,0 83,2,2024-09-07 08:33:10:764,163382,163382,0,0,7285545,0,3119 83,3,2024-09-07 08:33:10:750,1,129,69,0,133,1304,129,0 84,0,2024-09-07 08:33:11:810,32171,2.1,31829,1.6,64053,1.6,85897,3.00 84,1,2024-09-07 08:33:11:049,225723,225723,0,0,105950468433,1115792987,222438,2834,451,368,391852,0 84,2,2024-09-07 08:33:10:805,163139,163139,0,0,8303452,0,3801 84,3,2024-09-07 08:33:11:149,1,129,2,1,236,1567,129,0 85,0,2024-09-07 08:33:11:011,31507,0.5,31503,0.8,66814,0.5,86564,2.25 85,1,2024-09-07 08:33:10:559,225520,225520,0,0,105889538954,1129824586,220156,4291,1073,382,391679,0 85,2,2024-09-07 08:33:10:868,163006,163006,0,0,9023148,0,3656 85,3,2024-09-07 08:33:10:706,1,129,2,0,115,1360,129,0 86,0,2024-09-07 08:33:11:029,31904,0.6,32885,0.7,63023,0.7,85152,2.00 86,1,2024-09-07 08:33:10:826,226499,226499,0,0,106124069828,1118037008,222719,3237,543,366,391961,0 86,2,2024-09-07 08:33:10:861,162451,162450,1,0,9430147,0,5004 86,3,2024-09-07 08:33:10:597,1,129,1,0,286,2022,129,0 87,0,2024-09-07 08:33:11:338,32788,2.1,32860,1.4,65466,3.2,88406,2.75 87,1,2024-09-07 08:33:10:569,225754,225754,0,0,106004540444,1116463214,222586,2778,390,366,391788,0 87,2,2024-09-07 08:33:11:077,161202,161202,0,0,7410463,0,3515 87,3,2024-09-07 08:33:11:797,1,129,74,0,335,2500,129,0 88,0,2024-09-07 08:33:11:511,32960,0.5,33118,0.7,65913,0.6,88691,1.75 88,1,2024-09-07 08:33:10:798,225580,225580,0,0,105903506838,1116422657,222018,2445,1117,367,391787,0 88,2,2024-09-07 08:33:10:697,164033,164033,0,0,8438224,0,3583 88,3,2024-09-07 08:33:11:270,1,129,9,1,435,1880,129,0 89,0,2024-09-07 08:33:11:779,33276,0.5,32289,0.7,64004,0.5,87071,1.75 89,1,2024-09-07 08:33:10:568,225160,225160,0,0,105584325036,1123404716,220065,4162,933,382,391866,0 89,2,2024-09-07 08:33:11:143,163903,163903,0,0,8876277,0,2910 89,3,2024-09-07 08:33:11:799,1,129,11,0,325,2852,129,0 90,0,2024-09-07 08:33:11:667,30613,0.4,31261,0.6,64254,0.4,83457,1.75 90,1,2024-09-07 08:33:10:591,226850,226850,0,0,105501645203,1111318016,224109,2583,158,382,391825,0 90,2,2024-09-07 08:33:11:412,162354,162354,0,0,9509711,0,3060 90,3,2024-09-07 08:33:10:930,1,129,10,1,200,1596,129,0 91,0,2024-09-07 08:33:10:937,32384,0.5,31289,0.6,64980,0.5,85858,1.75 91,1,2024-09-07 08:33:10:568,226384,226384,0,0,105772849970,1116664923,222763,3128,493,381,391960,0 91,2,2024-09-07 08:33:11:336,158115,158115,0,0,8714510,0,2445 91,3,2024-09-07 08:33:10:607,1,129,6,0,155,1552,129,0 92,0,2024-09-07 08:33:11:534,34395,0.6,35154,0.8,67309,0.7,91383,1.75 92,1,2024-09-07 08:33:10:584,226718,226718,0,0,105861884758,1108250259,224798,1525,395,382,391717,0 92,2,2024-09-07 08:33:11:413,166215,166215,0,0,6931212,0,2279 92,3,2024-09-07 08:33:11:013,1,129,12,0,68,1209,129,0 93,0,2024-09-07 08:33:11:009,31296,0.5,32026,0.7,61489,0.4,83126,1.75 93,1,2024-09-07 08:33:10:815,226717,226717,0,0,106393832404,1113618110,224295,1976,446,366,391689,0 93,2,2024-09-07 08:33:10:929,164333,164333,0,0,7079002,0,2509 93,3,2024-09-07 08:33:11:422,1,129,11,0,143,1437,129,0 94,0,2024-09-07 08:33:11:603,31296,0.3,31597,0.5,62591,0.3,82670,1.75 94,1,2024-09-07 08:33:10:596,226666,226666,0,0,105313458862,1105573409,224384,2191,91,381,391850,0 94,2,2024-09-07 08:33:10:769,162516,162516,0,0,6984857,0,2443 94,3,2024-09-07 08:33:11:688,1,129,12,0,264,2133,129,0 95,0,2024-09-07 08:33:11:476,31300,0.4,31230,0.6,62640,0.3,82811,1.75 95,1,2024-09-07 08:33:10:861,227130,227130,0,0,106074933414,1103247170,225780,1269,81,367,391600,0 95,2,2024-09-07 08:33:11:017,160675,160675,0,0,7172777,0,3308 95,3,2024-09-07 08:33:11:709,1,129,4,0,307,2092,129,0 96,0,2024-09-07 08:33:11:036,33480,0.8,33609,0.8,67104,0.9,89394,2.00 96,1,2024-09-07 08:33:11:609,226281,226281,0,0,105299660606,1107502586,223698,1942,641,385,391894,0 96,2,2024-09-07 08:33:11:273,164473,164473,0,0,7817357,0,4042 96,3,2024-09-07 08:33:11:142,1,129,4,0,188,1546,129,0 97,0,2024-09-07 08:33:11:316,31225,0.5,31235,0.6,62438,0.6,82686,1.75 97,1,2024-09-07 08:33:10:767,226624,226624,0,0,105837321549,1106092881,223872,2205,547,367,392140,0 97,2,2024-09-07 08:33:10:609,163454,163454,0,0,7159272,0,3036 97,3,2024-09-07 08:33:10:798,1,129,9,1,165,1614,129,0 98,0,2024-09-07 08:33:11:695,32454,0.3,32264,0.4,64962,0.2,86040,1.50 98,1,2024-09-07 08:33:10:593,226898,226898,0,0,106533280151,1114298456,225114,1698,86,382,391997,0 98,2,2024-09-07 08:33:10:770,163212,163212,0,0,7405778,0,3080 98,3,2024-09-07 08:33:10:709,1,129,15,1,840,2835,129,0 99,0,2024-09-07 08:33:11:547,32521,0.3,32616,0.5,65141,0.3,86772,1.75 99,1,2024-09-07 08:33:11:725,226987,226987,0,0,105473710419,1104873622,224491,1845,651,381,391744,0 99,2,2024-09-07 08:33:11:428,164316,164316,0,0,7198490,0,1973 99,3,2024-09-07 08:33:10:587,1,129,13,0,129,1024,129,0 100,0,2024-09-07 08:33:11:510,32841,1.8,32879,1.8,65785,3.1,88262,2.75 100,1,2024-09-07 08:33:10:564,224955,224955,0,0,104976421459,1121521468,219799,4227,929,381,391989,0 100,2,2024-09-07 08:33:11:861,161813,161802,11,0,8543758,0,5417 100,3,2024-09-07 08:33:11:731,1,129,2,0,559,3279,129,0 101,0,2024-09-07 08:33:11:796,33533,3.5,32539,1.9,64095,4.4,88794,3.50 101,1,2024-09-07 08:33:10:565,225366,225366,0,0,105763484358,1126266414,220236,3664,1466,368,391709,0 101,2,2024-09-07 08:33:11:766,162762,162762,0,0,9444394,0,4644 101,3,2024-09-07 08:33:10:957,1,129,18,0,448,1891,129,0 102,0,2024-09-07 08:33:10:984,32102,0.7,33323,0.8,66844,0.5,87695,2.00 102,1,2024-09-07 08:33:11:150,225851,225851,0,0,105469525780,1116706937,221916,3227,708,369,391831,0 102,2,2024-09-07 08:33:11:745,163772,163718,54,0,9046489,0,6768 102,3,2024-09-07 08:33:11:622,1,129,5,0,410,1590,129,0 103,0,2024-09-07 08:33:11:612,32609,0.5,32563,0.7,61575,0.4,84502,1.75 103,1,2024-09-07 08:33:11:630,225258,225258,0,0,106032301321,1124373194,220953,3099,1206,381,391829,0 103,2,2024-09-07 08:33:10:585,162916,162916,0,0,8146753,0,2104 103,3,2024-09-07 08:33:10:766,1,129,9,0,916,2660,129,0 104,0,2024-09-07 08:33:11:023,32265,1.1,32393,1.1,63777,1.2,86910,2.25 104,1,2024-09-07 08:33:11:600,226769,226769,0,0,105916157277,1122708302,222186,3699,884,366,391948,0 104,2,2024-09-07 08:33:11:674,158746,158746,0,0,8071990,0,3941 104,3,2024-09-07 08:33:11:419,1,129,2,1,1245,4819,129,0 105,0,2024-09-07 08:33:11:029,33242,1.0,32124,1.2,67077,1.4,89168,3.25 105,1,2024-09-07 08:33:10:560,226568,226568,0,0,106029626770,1118083307,222613,3184,771,367,391797,0 105,2,2024-09-07 08:33:11:323,163440,163440,0,0,7920382,0,3509 105,3,2024-09-07 08:33:11:321,1,129,12,1,399,2144,129,0 106,0,2024-09-07 08:33:10:943,30412,0.6,31114,0.9,63328,0.6,83236,2.25 106,1,2024-09-07 08:33:11:756,226228,226228,0,0,104992032613,1105902469,222816,3061,351,369,391767,0 106,2,2024-09-07 08:33:10:756,164216,164216,0,0,8189632,0,2795 106,3,2024-09-07 08:33:10:677,1,129,1,0,405,2192,129,0 107,0,2024-09-07 08:33:11:198,31470,0.4,31365,0.6,62398,0.4,83207,1.75 107,1,2024-09-07 08:33:10:584,225855,225855,0,0,105030154963,1109039948,223020,2610,225,381,392234,0 107,2,2024-09-07 08:33:11:305,162562,162561,1,0,8214217,0,5024 107,3,2024-09-07 08:33:11:755,1,129,1,0,353,1712,129,0 108,0,2024-09-07 08:33:11:795,31095,0.4,31220,0.6,61813,0.4,82595,1.75 108,1,2024-09-07 08:33:11:299,226468,226468,0,0,106165750136,1111512894,224171,2011,286,368,391857,0 108,2,2024-09-07 08:33:11:759,158917,158917,0,0,7521632,0,2647 108,3,2024-09-07 08:33:11:338,1,129,12,0,288,2121,129,0 109,0,2024-09-07 08:33:11:789,33906,0.5,33700,0.7,67199,0.5,90843,1.75 109,1,2024-09-07 08:33:10:602,226169,226169,0,0,106440567586,1120074839,223768,1939,462,383,391812,0 109,2,2024-09-07 08:33:10:926,164074,164074,0,0,7831137,0,3617 109,3,2024-09-07 08:33:11:155,1,129,9,1,249,1912,129,0 110,0,2024-09-07 08:33:11:773,31061,0.5,30209,0.7,63110,0.5,82441,1.75 110,1,2024-09-07 08:33:11:648,227022,227022,0,0,105824811670,1105487779,224662,1660,700,370,391667,0 110,2,2024-09-07 08:33:11:307,164738,164738,0,0,6923877,0,2915 110,3,2024-09-07 08:33:10:693,1,129,9,0,406,1986,129,0 111,0,2024-09-07 08:33:11:416,31902,0.2,31402,0.4,62877,0.2,84182,1.50 111,1,2024-09-07 08:33:11:003,227450,227450,0,0,107131659169,1114397091,225801,1317,332,382,391690,0 111,2,2024-09-07 08:33:11:116,162387,162387,0,0,7707550,0,2763 111,3,2024-09-07 08:33:10:913,1,129,1,0,300,1943,129,0 112,0,2024-09-07 08:33:10:911,32350,0.3,32312,0.5,64637,0.2,85725,1.50 112,1,2024-09-07 08:33:10:835,226888,226888,0,0,106303662910,1110416791,224565,1898,425,381,391580,0 112,2,2024-09-07 08:33:11:133,161873,161872,1,0,7865083,0,5036 112,3,2024-09-07 08:33:10:595,1,129,1,0,282,1592,129,0 113,0,2024-09-07 08:33:10:899,32827,0.4,32537,0.6,66057,0.4,88596,1.75 113,1,2024-09-07 08:33:11:687,227478,227478,0,0,107458730141,1117048001,225071,1919,488,366,391661,0 113,2,2024-09-07 08:33:11:303,164694,164694,0,0,6882315,0,3813 113,3,2024-09-07 08:33:10:692,1,129,13,0,288,2138,129,0 114,0,2024-09-07 08:33:10:886,32944,0.8,33482,0.8,65508,0.6,87553,2.00 114,1,2024-09-07 08:33:10:721,226726,226726,0,0,106277471446,1119561665,222624,2640,1462,381,391534,0 114,2,2024-09-07 08:33:10:876,163277,163277,0,0,7133213,0,3925 114,3,2024-09-07 08:33:11:285,1,129,0,0,395,1904,129,0 115,0,2024-09-07 08:33:10:573,32576,0.3,32901,0.4,65869,0.2,87301,1.50 115,1,2024-09-07 08:33:10:798,227006,227006,0,0,106564082550,1117593951,223367,2818,821,382,391602,0 115,2,2024-09-07 08:33:11:129,163778,163778,0,0,6623359,0,2152 115,3,2024-09-07 08:33:11:002,1,129,1,0,159,1033,129,0 116,0,2024-09-07 08:33:11:738,32118,0.7,31642,0.8,63749,0.7,85141,2.00 116,1,2024-09-07 08:33:10:805,225148,225148,0,0,105915899423,1133476904,220317,3079,1752,382,391677,0 116,2,2024-09-07 08:33:11:751,161980,161980,0,0,9590364,0,3529 116,3,2024-09-07 08:33:10:925,1,129,1,0,252,2086,129,0 117,0,2024-09-07 08:33:10:965,32773,2.0,32911,1.3,65353,3.2,88401,2.25 117,1,2024-09-07 08:33:11:603,226183,226183,0,0,105431600508,1111974785,222635,3122,426,370,392033,0 117,2,2024-09-07 08:33:11:124,162044,162044,0,0,7423534,0,3700 117,3,2024-09-07 08:33:11:060,1,129,7,0,490,3015,129,0 118,0,2024-09-07 08:33:11:791,32134,0.8,33048,0.9,67240,0.9,88111,2.25 118,1,2024-09-07 08:33:10:594,225870,225870,0,0,105162306965,1117835333,221256,3325,1289,368,391736,0 118,2,2024-09-07 08:33:11:591,163645,163645,0,0,8560132,0,2781 118,3,2024-09-07 08:33:11:771,1,129,4,0,235,1961,129,0 119,0,2024-09-07 08:33:11:595,31974,0.9,32141,0.9,65119,1.1,86199,2.25 119,1,2024-09-07 08:33:10:566,226626,226626,0,0,106433171534,1115626761,224259,2080,287,370,391641,0 119,2,2024-09-07 08:33:11:262,163014,163014,0,0,8029591,0,3526 119,3,2024-09-07 08:33:11:376,1,129,8,0,443,2665,129,0 120,0,2024-09-07 08:33:11:552,31209,0.5,31336,0.7,62485,0.5,83510,2.00 120,1,2024-09-07 08:33:10:866,226723,226723,0,0,105831480924,1114522610,223985,2504,234,368,391961,0 120,2,2024-09-07 08:33:10:774,162781,162780,1,0,9720233,0,5281 120,3,2024-09-07 08:33:11:340,1,129,16,0,241,1918,129,0 121,0,2024-09-07 08:33:11:821,31682,1.6,32187,1.2,63977,2.5,85684,2.25 121,1,2024-09-07 08:33:11:754,226705,226705,0,0,105909686011,1111529708,224169,2253,283,367,391840,0 121,2,2024-09-07 08:33:11:127,158302,158302,0,0,8697372,0,4127 121,3,2024-09-07 08:33:10:750,1,129,6,0,269,1887,129,0 122,0,2024-09-07 08:33:11:763,33695,1.3,32888,1.3,68641,1.5,91358,2.25 122,1,2024-09-07 08:33:10:868,225712,225712,0,0,105522079298,1114101409,221796,3255,661,366,392130,0 122,2,2024-09-07 08:33:11:352,164519,164519,0,0,9853989,0,3364 122,3,2024-09-07 08:33:10:600,1,129,58,0,320,2996,129,0 123,0,2024-09-07 08:33:11:020,31204,1.0,30298,0.9,63359,1.2,82869,2.25 123,1,2024-09-07 08:33:10:662,226983,226983,0,0,106493905451,1127202217,222218,4089,676,369,391823,0 123,2,2024-09-07 08:33:11:022,163269,163268,1,0,8379845,0,5215 123,3,2024-09-07 08:33:11:132,1,129,27,1,168,1836,129,0 124,0,2024-09-07 08:33:10:929,32194,0.3,32232,0.5,60799,0.2,82995,1.50 124,1,2024-09-07 08:33:11:033,226843,226843,0,0,106071868462,1104285211,225036,1454,353,367,392178,0 124,2,2024-09-07 08:33:11:018,163111,163111,0,0,6927988,0,3101 124,3,2024-09-07 08:33:10:760,1,129,34,0,490,2114,129,0 125,0,2024-09-07 08:33:11:440,31362,0.5,31228,0.6,62752,0.5,82817,1.75 125,1,2024-09-07 08:33:10:861,226439,226439,0,0,106157031053,1112043180,224131,2033,275,384,391702,0 125,2,2024-09-07 08:33:11:126,160921,160921,0,0,6897851,0,2180 125,3,2024-09-07 08:33:11:134,1,129,13,1,284,1792,129,0 126,0,2024-09-07 08:33:11:430,33539,0.8,34405,0.8,65779,0.9,90010,2.00 126,1,2024-09-07 08:33:10:586,227357,227357,0,0,106434494167,1106389897,225857,1424,76,365,391987,0 126,2,2024-09-07 08:33:10:615,165033,165033,0,0,7115071,0,3186 126,3,2024-09-07 08:33:10:908,1,129,49,0,136,1863,129,0 127,0,2024-09-07 08:33:11:617,30970,0.5,31263,0.6,62465,0.5,82767,1.75 127,1,2024-09-07 08:33:10:613,226963,226963,0,0,105765666281,1099032088,225209,1718,36,365,391816,0 127,2,2024-09-07 08:33:10:641,163880,163880,0,0,6523587,0,1803 127,3,2024-09-07 08:33:11:273,1,129,2,0,99,936,129,0 128,0,2024-09-07 08:33:11:562,32638,0.3,32503,0.5,64783,0.2,86034,1.50 128,1,2024-09-07 08:33:11:617,227170,227170,0,0,106530851394,1106317771,226237,862,71,367,391680,0 128,2,2024-09-07 08:33:11:386,161848,161848,0,0,7152396,0,2107 128,3,2024-09-07 08:33:10:773,1,129,34,0,333,2130,129,0 129,0,2024-09-07 08:33:11:002,32744,0.3,32625,0.5,65077,0.3,86659,1.75 129,1,2024-09-07 08:33:10:617,226028,226028,0,0,105878674449,1114555121,223128,2510,390,379,391835,0 129,2,2024-09-07 08:33:10:706,162599,162599,0,0,7423431,0,4031 129,3,2024-09-07 08:33:10:695,1,129,14,1,173,1762,129,0 130,0,2024-09-07 08:33:11:740,33424,1.0,33109,0.9,66634,1.5,89231,2.00 130,1,2024-09-07 08:33:10:614,226784,226784,0,0,106122193219,1110387828,224742,1973,69,381,391825,0 130,2,2024-09-07 08:33:11:127,162516,162516,0,0,7017168,0,4067 130,3,2024-09-07 08:33:11:296,1,129,48,0,207,1262,129,0 131,0,2024-09-07 08:33:11:927,33287,0.7,33336,0.8,67452,0.9,89271,1.75 131,1,2024-09-07 08:33:11:823,227619,227619,0,0,106200933073,1108796514,226172,1241,206,384,391865,0 131,2,2024-09-07 08:33:10:570,164104,164104,0,0,6730324,0,2415 131,3,2024-09-07 08:33:11:696,1,129,2,0,392,1606,129,0 132,0,2024-09-07 08:33:11:414,32523,0.5,33094,0.8,66209,0.5,88078,2.00 132,1,2024-09-07 08:33:10:593,224929,224929,0,0,105086854331,1120397912,219541,4360,1028,381,391760,0 132,2,2024-09-07 08:33:10:709,162714,162714,0,0,9898659,0,4606 132,3,2024-09-07 08:33:11:696,1,129,8,0,356,2667,129,0 133,0,2024-09-07 08:33:11:529,30904,0.4,31569,0.6,64798,0.4,83813,1.75 133,1,2024-09-07 08:33:10:586,224898,224898,0,0,105707503283,1124173707,220423,3837,638,383,391755,0 133,2,2024-09-07 08:33:11:087,163001,163001,0,0,9719492,0,4315 133,3,2024-09-07 08:33:11:300,1,129,2,1,187,1230,129,0 134,0,2024-09-07 08:33:11:019,32522,0.7,32319,0.9,65327,0.7,87147,2.00 134,1,2024-09-07 08:33:10:595,225619,225619,0,0,105487610496,1113635292,221452,2949,1218,366,391718,0 134,2,2024-09-07 08:33:11:758,159366,159366,0,0,7751376,0,3096 134,3,2024-09-07 08:33:10:750,1,129,7,0,739,2837,129,0 135,0,2024-09-07 08:33:11:144,32605,1.2,32526,1.2,68685,1.4,88737,2.50 135,1,2024-09-07 08:33:11:591,225778,225778,0,0,105742308521,1119335863,221782,3296,700,380,391725,0 135,2,2024-09-07 08:33:10:690,164410,164410,0,0,8659511,0,3981 135,3,2024-09-07 08:33:11:002,1,129,3,0,89,806,129,0 136,0,2024-09-07 08:33:11:713,31654,0.6,31446,0.8,63392,0.6,84438,2.00 136,1,2024-09-07 08:33:11:443,226003,226003,0,0,105724266746,1111701695,223027,2807,169,384,391641,0 136,2,2024-09-07 08:33:11:156,165665,165665,0,0,8263726,0,3506 136,3,2024-09-07 08:33:11:117,1,129,13,0,108,1152,129,0 137,0,2024-09-07 08:33:10:955,32133,0.5,31272,0.7,61953,0.5,83450,2.00 137,1,2024-09-07 08:33:10:583,226409,226409,0,0,105913853137,1112648223,222886,3211,312,367,391608,0 137,2,2024-09-07 08:33:11:709,162643,162643,0,0,9455507,0,3185 137,3,2024-09-07 08:33:10:779,1,129,8,1,227,1681,129,0 138,0,2024-09-07 08:33:11:828,30408,1.9,30606,1.3,61701,3.0,82546,2.50 138,1,2024-09-07 08:33:11:690,226868,226868,0,0,106229955571,1115121388,223916,2604,348,368,391954,0 138,2,2024-09-07 08:33:10:599,160119,160119,0,0,7470421,0,3263 138,3,2024-09-07 08:33:10:621,1,129,3,0,1160,2513,129,0 139,0,2024-09-07 08:33:11:393,32862,3.1,32876,1.8,66647,4.4,89629,3.00 139,1,2024-09-07 08:33:10:603,225586,225586,0,0,105255562891,1122539086,220802,3470,1314,381,391892,0 139,2,2024-09-07 08:33:10:693,163219,163219,0,0,8649949,0,3097 139,3,2024-09-07 08:33:11:674,1,129,1,0,244,1705,129,0 140,0,2024-09-07 08:33:11:596,31155,0.4,31011,0.6,62184,0.3,82504,1.75 140,1,2024-09-07 08:33:11:547,227593,227593,0,0,106712125209,1103273820,226180,1174,239,365,391606,0 140,2,2024-09-07 08:33:10:692,164800,164800,0,0,7418220,0,3388 140,3,2024-09-07 08:33:10:771,1,129,2,0,247,1219,129,0 141,0,2024-09-07 08:33:11:714,31446,0.2,32448,0.4,62319,0.1,84114,1.50 141,1,2024-09-07 08:33:10:866,227342,227342,0,0,106588466143,1108947696,225615,1375,352,379,391539,0 141,2,2024-09-07 08:33:11:696,162245,162245,0,0,6712220,0,2342 141,3,2024-09-07 08:33:11:048,1,129,8,0,147,1163,129,0 142,0,2024-09-07 08:33:11:343,32676,0.3,32397,0.5,64312,0.3,85875,1.75 142,1,2024-09-07 08:33:10:587,227114,227114,0,0,106331151451,1109592651,225963,1017,134,383,391649,0 142,2,2024-09-07 08:33:11:301,160700,160668,32,0,8171422,0,6028 142,3,2024-09-07 08:33:11:746,1,129,2,0,484,2015,129,0 143,0,2024-09-07 08:33:11:382,33020,0.8,33053,0.7,66512,0.9,88495,2.00 143,1,2024-09-07 08:33:10:561,226869,226869,0,0,105883632087,1103062944,224819,2009,41,367,391619,0 143,2,2024-09-07 08:33:10:782,163638,163638,0,0,7261543,0,2669 143,3,2024-09-07 08:33:11:142,1,129,13,0,303,2269,129,0 144,0,2024-09-07 08:33:11:526,31435,1.2,32300,1.9,65489,1.5,86237,2.50 144,1,2024-09-07 08:33:10:566,226015,226015,0,0,105776668626,1114192860,223744,2068,203,381,391649,0 144,2,2024-09-07 08:33:11:756,163861,163861,0,0,7148581,0,3473 144,3,2024-09-07 08:33:11:743,1,129,6,0,249,1904,129,0 145,0,2024-09-07 08:33:11:370,31553,0.6,31552,0.9,66969,0.5,86930,2.25 145,1,2024-09-07 08:33:10:602,224784,224784,0,0,105636161855,1121357123,220482,3568,734,383,391615,0 145,2,2024-09-07 08:33:11:432,162866,162866,0,0,8333838,0,3903 145,3,2024-09-07 08:33:10:901,1,129,10,0,151,1683,129,0 146,0,2024-09-07 08:33:11:614,31833,0.5,31665,0.7,64050,0.5,84791,2.00 146,1,2024-09-07 08:33:11:588,227164,227164,0,0,105976438642,1116904877,223016,3350,798,368,391600,0 146,2,2024-09-07 08:33:11:697,163320,163320,0,0,7882473,0,2498 146,3,2024-09-07 08:33:11:277,1,129,22,0,1520,4625,129,0 147,0,2024-09-07 08:33:11:707,32808,1.6,32807,1.2,64832,2.1,88217,2.75 147,1,2024-09-07 08:33:11:372,227698,227698,0,0,106291960156,1107932325,225398,1908,392,368,391791,0 147,2,2024-09-07 08:33:11:018,161261,161261,0,0,7113766,0,2789 147,3,2024-09-07 08:33:10:913,1,129,1,0,371,1714,129,0 0,0,2024-09-07 08:33:21:718,30555,0.5,30653,0.7,64797,0.5,83873,1.75 0,1,2024-09-07 08:33:20:802,228219,228219,0,0,107357066394,1127162263,226500,1587,132,372,391692,0 0,2,2024-09-07 08:33:21:070,164552,164552,0,0,7863359,0,4480 0,3,2024-09-07 08:33:20:974,1,130,1,0,247,1861,130,0 1,0,2024-09-07 08:33:21:775,32276,1.9,32035,1.5,64356,2.6,86110,2.50 1,1,2024-09-07 08:33:20:562,227276,227276,0,0,106492646184,1123560661,223854,2557,865,372,391857,0 1,2,2024-09-07 08:33:20:672,160200,160200,0,0,6914191,0,3267 1,3,2024-09-07 08:33:21:310,1,130,12,0,262,1749,130,0 2,0,2024-09-07 08:33:21:570,34143,1.0,34363,1.0,68131,1.3,91516,2.25 2,1,2024-09-07 08:33:20:862,228022,228022,0,0,107158780559,1117571823,226610,1206,206,380,391558,0 2,2,2024-09-07 08:33:21:266,165341,165341,0,0,7683931,0,3594 2,3,2024-09-07 08:33:20:695,1,130,2,0,357,1610,130,0 3,0,2024-09-07 08:33:21:752,31033,0.6,31129,0.8,62372,0.6,82596,2.00 3,1,2024-09-07 08:33:21:626,228039,228039,0,0,106870300895,1120944398,224937,2678,424,382,391516,0 3,2,2024-09-07 08:33:21:161,165878,165855,23,0,7830808,0,5851 3,3,2024-09-07 08:33:21:753,1,130,0,0,103,908,130,0 4,0,2024-09-07 08:33:21:775,30582,0.3,31238,0.5,63525,0.2,83091,1.75 4,1,2024-09-07 08:33:20:602,228309,228309,0,0,106625788754,1126799420,225356,2433,520,371,391846,0 4,2,2024-09-07 08:33:21:023,163056,163056,0,0,9243098,0,4528 4,3,2024-09-07 08:33:21:027,1,130,2,0,287,2005,130,0 5,0,2024-09-07 08:33:21:404,31397,0.6,31241,0.7,62705,0.7,82997,1.75 5,1,2024-09-07 08:33:20:757,227366,227366,0,0,106885378654,1132893512,223276,3105,985,368,392005,0 5,2,2024-09-07 08:33:21:829,160804,160804,0,0,7878095,0,2259 5,3,2024-09-07 08:33:21:732,1,130,8,0,238,2170,130,0 6,0,2024-09-07 08:33:20:920,34231,1.4,33763,1.2,67312,2.0,91064,2.75 6,1,2024-09-07 08:33:20:757,228215,228215,0,0,106900692323,1124917606,225019,2549,647,381,391617,0 6,2,2024-09-07 08:33:21:123,166081,166081,0,0,8422365,0,4816 6,3,2024-09-07 08:33:21:280,1,130,2,0,340,2112,130,0 7,0,2024-09-07 08:33:21:534,30620,0.6,30669,0.8,61568,0.6,81999,2.00 7,1,2024-09-07 08:33:20:852,228321,228321,0,0,106804864455,1123685398,225605,2585,131,382,391664,0 7,2,2024-09-07 08:33:20:772,164580,164580,0,0,7434610,0,2981 7,3,2024-09-07 08:33:20:851,1,130,3,0,305,1405,130,0 8,0,2024-09-07 08:33:21:458,32427,0.3,32621,0.5,64551,0.2,86218,1.50 8,1,2024-09-07 08:33:21:028,227962,227962,0,0,106968683446,1134170928,223413,3094,1455,368,391956,0 8,2,2024-09-07 08:33:20:790,161895,161895,0,0,8737569,0,2986 8,3,2024-09-07 08:33:20:586,1,130,2,0,357,2266,130,0 9,0,2024-09-07 08:33:21:143,32769,0.4,31865,0.5,66515,0.3,87080,1.75 9,1,2024-09-07 08:33:20:551,227654,227654,0,0,107574956757,1140767783,223231,3299,1124,370,391753,0 9,2,2024-09-07 08:33:21:082,163380,163380,0,0,8407324,0,3360 9,3,2024-09-07 08:33:21:752,1,130,11,0,496,2093,130,0 10,0,2024-09-07 08:33:21:611,33647,0.5,33559,0.7,67228,0.4,89847,1.75 10,1,2024-09-07 08:33:20:583,227965,227965,0,0,106269614869,1120183386,223981,3430,554,381,391741,0 10,2,2024-09-07 08:33:20:764,164304,164304,0,0,8376922,0,2940 10,3,2024-09-07 08:33:20:874,1,130,4,0,177,1114,130,0 11,0,2024-09-07 08:33:21:009,32669,1.3,31878,1.2,66930,2.7,89187,2.50 11,1,2024-09-07 08:33:20:584,227837,227837,0,0,106933343899,1128863470,223297,3378,1162,384,391537,0 11,2,2024-09-07 08:33:21:125,164379,164379,0,0,7944902,0,3411 11,3,2024-09-07 08:33:21:299,1,130,1,0,720,2752,130,0 12,0,2024-09-07 08:33:20:953,33589,0.3,33286,0.5,66857,0.3,88955,1.50 12,1,2024-09-07 08:33:20:971,228148,228148,0,0,106727194042,1119746430,225336,2402,410,370,391837,0 12,2,2024-09-07 08:33:21:563,165403,165403,0,0,8154618,0,3469 12,3,2024-09-07 08:33:21:058,1,130,2,0,358,2438,130,0 13,0,2024-09-07 08:33:21:349,31899,0.4,32085,0.5,63882,0.3,84895,1.75 13,1,2024-09-07 08:33:21:528,228645,228645,0,0,106844227299,1123222451,226710,1558,377,384,391717,0 13,2,2024-09-07 08:33:20:607,165333,165333,0,0,7499486,0,3287 13,3,2024-09-07 08:33:21:764,1,130,10,1,467,2908,130,0 14,0,2024-09-07 08:33:20:565,33144,0.5,33177,0.7,65850,0.5,88333,2.00 14,1,2024-09-07 08:33:21:565,229683,229683,0,0,106874167578,1111925274,227707,1814,162,364,391571,0 14,2,2024-09-07 08:33:20:765,161134,161134,0,0,7424287,0,2896 14,3,2024-09-07 08:33:21:122,1,130,1,0,1168,2806,130,0 15,0,2024-09-07 08:33:21:560,33686,0.6,33656,0.8,67589,0.6,89835,2.00 15,1,2024-09-07 08:33:21:624,228184,228184,0,0,106553382655,1111681714,226686,1437,61,381,391536,0 15,2,2024-09-07 08:33:20:999,165838,165838,0,0,6307825,0,3043 15,3,2024-09-07 08:33:21:408,1,130,4,0,1126,4078,130,0 16,0,2024-09-07 08:33:20:953,31662,0.6,32085,0.8,63499,0.6,85106,2.25 16,1,2024-09-07 08:33:20:591,228429,228429,0,0,106693505202,1120465380,226242,1928,259,372,391756,0 16,2,2024-09-07 08:33:21:453,165075,165075,0,0,8580726,0,4719 16,3,2024-09-07 08:33:21:147,1,130,24,0,231,1863,130,0 17,0,2024-09-07 08:33:21:783,32496,0.4,31686,0.6,62148,0.4,83813,1.75 17,1,2024-09-07 08:33:20:569,227564,227564,0,0,106169653802,1122689336,224244,2649,671,369,391688,0 17,2,2024-09-07 08:33:21:679,165724,165724,0,0,7799974,0,2857 17,3,2024-09-07 08:33:20:576,1,130,20,0,268,2284,130,0 18,0,2024-09-07 08:33:20:973,30536,1.0,31009,1.0,62033,1.2,82858,2.50 18,1,2024-09-07 08:33:21:643,228043,228043,0,0,106940362146,1114212338,226165,1644,234,368,391564,0 18,2,2024-09-07 08:33:21:755,160338,160338,0,0,7588664,0,3541 18,3,2024-09-07 08:33:20:896,1,130,4,0,163,1539,130,0 19,0,2024-09-07 08:33:21:572,33684,1.1,33949,1.1,67205,1.2,89826,3.25 19,1,2024-09-07 08:33:20:586,228731,228731,0,0,106987838434,1120372750,225275,2764,692,367,391777,0 19,2,2024-09-07 08:33:21:753,166689,166689,0,0,6938877,0,3988 19,3,2024-09-07 08:33:21:130,1,130,6,0,524,1418,130,0 20,0,2024-09-07 08:33:21:414,31111,0.8,31086,0.9,62126,1.0,82659,2.50 20,1,2024-09-07 08:33:20:595,228026,228026,0,0,106861616307,1127073142,224633,3004,389,369,391822,0 20,2,2024-09-07 08:33:20:945,165633,165633,0,0,7820409,0,3721 20,3,2024-09-07 08:33:20:589,1,130,8,0,414,2714,130,0 21,0,2024-09-07 08:33:21:218,32091,0.4,32037,0.5,63766,0.3,84215,1.75 21,1,2024-09-07 08:33:21:932,227204,227204,0,0,106177046796,1124291870,223696,2977,531,368,391962,0 21,2,2024-09-07 08:33:21:074,163413,163413,0,0,8631098,0,3747 21,3,2024-09-07 08:33:21:408,1,130,9,0,103,1781,130,0 22,0,2024-09-07 08:33:21:720,32014,0.9,32141,1.0,63868,0.9,84723,2.25 22,1,2024-09-07 08:33:21:023,227605,227605,0,0,106297476739,1129769186,222138,3958,1509,382,391667,0 22,2,2024-09-07 08:33:20:761,162461,162461,0,0,7418599,0,3134 22,3,2024-09-07 08:33:21:067,1,130,1,0,228,1494,130,0 23,0,2024-09-07 08:33:21:386,32992,1.0,33221,1.0,66768,1.2,89091,2.50 23,1,2024-09-07 08:33:21:004,228604,228604,0,0,106674210372,1123553270,224582,2540,1482,365,391549,0 23,2,2024-09-07 08:33:21:092,165025,165025,0,0,7684361,0,3010 23,3,2024-09-07 08:33:21:754,1,130,4,0,645,1721,130,0 24,0,2024-09-07 08:33:20:830,33156,0.6,33146,0.7,66344,0.7,87729,1.75 24,1,2024-09-07 08:33:20:598,228172,228172,0,0,106696672277,1120686340,225610,1797,765,369,391640,0 24,2,2024-09-07 08:33:21:072,164038,164038,0,0,8808535,0,2942 24,3,2024-09-07 08:33:21:686,1,130,46,0,234,1679,130,0 25,0,2024-09-07 08:33:21:353,33827,0.4,32951,0.6,64653,0.3,88139,1.75 25,1,2024-09-07 08:33:20:574,227989,227989,0,0,107001122524,1128777731,224505,2943,541,371,391788,0 25,2,2024-09-07 08:33:21:611,162970,162970,0,0,8839792,0,3978 25,3,2024-09-07 08:33:20:999,1,130,28,0,158,1517,130,0 26,0,2024-09-07 08:33:21:726,31934,0.4,31238,0.6,65595,0.3,86075,1.75 26,1,2024-09-07 08:33:21:548,228572,228572,0,0,106609224737,1126408099,224035,3636,901,381,391564,0 26,2,2024-09-07 08:33:20:861,164256,164256,0,0,8200692,0,2809 26,3,2024-09-07 08:33:21:712,1,130,145,0,796,2065,130,0 27,0,2024-09-07 08:33:21:725,33409,0.7,33560,0.8,66489,0.7,89232,1.75 27,1,2024-09-07 08:33:21:678,229244,229244,0,0,107423127398,1119089690,227447,1474,323,381,391539,0 27,2,2024-09-07 08:33:20:873,161494,161494,0,0,8620874,0,3409 27,3,2024-09-07 08:33:21:015,1,130,0,0,564,1695,130,0 28,0,2024-09-07 08:33:21:425,33178,0.6,33529,0.8,67162,0.8,90046,2.25 28,1,2024-09-07 08:33:20:815,228596,228596,0,0,107694703405,1123800156,226945,1440,211,383,391646,0 28,2,2024-09-07 08:33:21:774,165476,165476,0,0,6570069,0,2915 28,3,2024-09-07 08:33:21:778,1,130,9,0,502,1677,130,0 29,0,2024-09-07 08:33:21:356,33404,0.4,32631,0.6,63895,0.3,87678,1.75 29,1,2024-09-07 08:33:21:578,229727,229727,0,0,107065837412,1112575577,227598,1598,531,369,391621,0 29,2,2024-09-07 08:33:20:861,165585,165585,0,0,6434118,0,4018 29,3,2024-09-07 08:33:20:975,1,130,18,0,105,1100,130,0 30,0,2024-09-07 08:33:21:457,31469,0.6,30583,0.8,64068,0.6,83911,2.25 30,1,2024-09-07 08:33:20:572,228827,228827,0,0,107251945440,1117754004,226952,1597,278,382,391672,0 30,2,2024-09-07 08:33:21:280,165594,165594,0,0,6708602,0,3161 30,3,2024-09-07 08:33:20:581,1,130,2,0,195,947,130,0 31,0,2024-09-07 08:33:21:763,31959,0.7,31978,0.8,64736,0.8,85916,2.00 31,1,2024-09-07 08:33:20:569,230176,230176,0,0,107935795110,1107810158,229559,551,66,356,391553,0 31,2,2024-09-07 08:33:21:276,160657,160657,0,0,8033435,0,3525 31,3,2024-09-07 08:33:21:706,1,130,1,0,220,1138,130,0 32,0,2024-09-07 08:33:21:432,34299,0.6,34619,0.8,69105,0.6,92323,1.75 32,1,2024-09-07 08:33:20:804,229278,229278,0,0,107305074552,1118389324,227539,1464,275,382,391595,0 32,2,2024-09-07 08:33:20:942,165947,165947,0,0,6758287,0,3155 32,3,2024-09-07 08:33:21:015,1,130,0,0,227,1370,130,0 33,0,2024-09-07 08:33:21:499,31566,0.4,31167,0.6,62938,0.3,83549,1.75 33,1,2024-09-07 08:33:20:587,229400,229400,0,0,107663145648,1119165429,227262,1966,172,369,391730,0 33,2,2024-09-07 08:33:20:760,165900,165867,33,0,8711219,0,7012 33,3,2024-09-07 08:33:20:904,1,130,16,0,329,1608,130,0 34,0,2024-09-07 08:33:20:930,31359,0.3,32382,0.5,62091,0.2,83067,1.75 34,1,2024-09-07 08:33:21:045,229140,229140,0,0,107271759592,1108383327,228174,961,5,367,391562,0 34,2,2024-09-07 08:33:20:769,163637,163637,0,0,7415483,0,3255 34,3,2024-09-07 08:33:21:688,1,130,5,0,148,886,130,0 35,0,2024-09-07 08:33:20:857,30964,0.5,31308,0.6,62514,0.5,83127,1.75 35,1,2024-09-07 08:33:21:069,228397,228397,0,0,107109122239,1118165942,225623,2004,770,384,391589,0 35,2,2024-09-07 08:33:21:583,160965,160965,0,0,6885998,0,2653 35,3,2024-09-07 08:33:20:907,1,130,1,0,418,1842,130,0 36,0,2024-09-07 08:33:21:572,33864,1.5,33646,1.2,68082,1.9,90839,2.75 36,1,2024-09-07 08:33:20:589,227880,227880,0,0,106499548115,1123557081,223287,3306,1287,366,391759,0 36,2,2024-09-07 08:33:21:754,165091,165091,0,0,8306844,0,3303 36,3,2024-09-07 08:33:20:876,1,130,7,0,378,1664,130,0 37,0,2024-09-07 08:33:21:379,30876,0.6,30962,0.8,61614,0.6,82901,2.00 37,1,2024-09-07 08:33:20:591,227156,227149,0,7,105815520594,1121968138,222398,2734,2017,365,391518,0 37,2,2024-09-07 08:33:21:144,165116,165101,15,0,8207269,0,5815 37,3,2024-09-07 08:33:21:766,1,130,1,0,724,2374,130,0 38,0,2024-09-07 08:33:21:448,32311,0.4,31325,0.6,65410,0.4,85740,2.00 38,1,2024-09-07 08:33:21:611,229048,229048,0,0,107253290901,1126895667,225426,3051,571,368,391821,0 38,2,2024-09-07 08:33:20:760,163517,163470,47,0,9502716,0,6710 38,3,2024-09-07 08:33:20:998,1,130,5,0,603,1995,130,0 39,0,2024-09-07 08:33:21:761,33527,0.7,32777,0.8,64125,0.8,87437,2.00 39,1,2024-09-07 08:33:20:716,228538,228538,0,0,106250442799,1115520497,224765,2972,801,365,391524,0 39,2,2024-09-07 08:33:21:417,163755,163755,0,0,7406860,0,2689 39,3,2024-09-07 08:33:20:726,1,130,0,0,276,1960,130,0 40,0,2024-09-07 08:33:21:510,32807,1.2,33526,1.5,66485,1.5,89275,3.50 40,1,2024-09-07 08:33:20:586,228263,228263,0,0,106455718390,1121035419,224016,3480,767,369,391591,0 40,2,2024-09-07 08:33:21:318,163846,163845,1,0,9039437,0,5137 40,3,2024-09-07 08:33:21:142,1,130,8,0,181,1441,130,0 41,0,2024-09-07 08:33:21:032,32699,2.5,33355,2.0,64118,3.9,87775,4.50 41,1,2024-09-07 08:33:20:772,228419,228419,0,0,107167423403,1127005186,224519,3369,531,370,391742,0 41,2,2024-09-07 08:33:20:760,163876,163876,0,0,8792354,0,3356 41,3,2024-09-07 08:33:21:676,1,130,12,0,366,1828,130,0 42,0,2024-09-07 08:33:21:477,32675,0.6,32960,0.9,65673,0.5,87153,2.25 42,1,2024-09-07 08:33:21:463,227141,227141,0,0,106512376162,1125181271,222855,3354,932,380,391675,0 42,2,2024-09-07 08:33:21:133,163899,163899,0,0,8672068,0,3568 42,3,2024-09-07 08:33:21:009,1,130,5,0,446,1358,130,0 43,0,2024-09-07 08:33:20:918,31832,0.7,31079,0.9,64675,0.8,85008,2.00 43,1,2024-09-07 08:33:20:577,228188,228188,0,0,107576589608,1124794448,225365,2071,752,366,391604,0 43,2,2024-09-07 08:33:21:736,165477,165477,0,0,8141950,0,3812 43,3,2024-09-07 08:33:21:752,1,130,51,0,325,1949,130,0 44,0,2024-09-07 08:33:20:878,33155,0.6,32976,0.8,66356,0.6,88861,2.00 44,1,2024-09-07 08:33:20:570,229023,229023,0,0,106665048783,1103416682,227561,1333,129,356,391809,0 44,2,2024-09-07 08:33:21:274,160925,160925,0,0,6379030,0,1877 44,3,2024-09-07 08:33:21:101,1,130,13,0,817,1644,130,0 45,0,2024-09-07 08:33:21:820,33308,0.7,32624,0.8,68395,0.7,90377,2.00 45,1,2024-09-07 08:33:21:014,228812,228812,0,0,107055828668,1112123310,227809,994,9,382,391917,0 45,2,2024-09-07 08:33:21:281,166044,166044,0,0,7029959,0,3596 45,3,2024-09-07 08:33:20:942,1,130,10,0,226,1252,130,0 46,0,2024-09-07 08:33:20:961,31629,0.4,31592,0.6,63356,0.3,84291,1.75 46,1,2024-09-07 08:33:20:577,229246,229246,0,0,107104907031,1109704901,227778,1362,106,366,391514,0 46,2,2024-09-07 08:33:20:594,166477,166477,0,0,6925922,0,2920 46,3,2024-09-07 08:33:21:132,1,130,1,0,363,1920,130,0 47,0,2024-09-07 08:33:21:102,31484,0.3,31716,0.5,63599,0.3,83565,1.75 47,1,2024-09-07 08:33:20:568,229247,229247,0,0,106901532054,1104851211,228236,1000,11,366,391605,0 47,2,2024-09-07 08:33:20:911,165378,165378,0,0,7349250,0,2558 47,3,2024-09-07 08:33:21:114,1,130,2,0,529,1490,130,0 48,0,2024-09-07 08:33:21:497,31469,0.3,31152,0.5,62547,0.3,83402,1.75 48,1,2024-09-07 08:33:21:037,228848,228848,0,0,107830927772,1124712177,226839,1829,180,384,391710,0 48,2,2024-09-07 08:33:20:701,160264,160264,0,0,6090839,0,2083 48,3,2024-09-07 08:33:20:753,1,130,1,0,339,1328,130,0 49,0,2024-09-07 08:33:21:717,34962,0.5,34312,0.7,66808,0.6,91736,1.75 49,1,2024-09-07 08:33:21:038,227916,227916,0,0,106720486545,1118195663,225184,1892,840,382,391583,0 49,2,2024-09-07 08:33:21:797,166519,166519,0,0,7222916,0,3900 49,3,2024-09-07 08:33:21:425,1,130,8,0,408,1721,130,0 50,0,2024-09-07 08:33:21:507,31221,0.4,30652,0.6,61496,0.3,82463,1.75 50,1,2024-09-07 08:33:21:012,229563,229563,0,0,107607584655,1120564478,227184,2070,309,368,391530,0 50,2,2024-09-07 08:33:21:067,165216,165216,0,0,6907291,0,2253 50,3,2024-09-07 08:33:21:291,1,130,1,0,335,1149,130,0 51,0,2024-09-07 08:33:21:685,32570,0.3,31927,0.4,62315,0.2,84643,1.75 51,1,2024-09-07 08:33:21:680,228750,228750,0,0,108162709729,1122320297,226799,1166,785,367,391637,0 51,2,2024-09-07 08:33:21:316,163570,163570,0,0,6191327,0,2448 51,3,2024-09-07 08:33:21:027,1,130,1,0,162,842,130,0 52,0,2024-09-07 08:33:21:420,32313,0.6,32407,0.8,64987,0.6,85300,2.25 52,1,2024-09-07 08:33:20:586,227686,227686,0,0,106163190028,1126359903,222095,4439,1152,368,391722,0 52,2,2024-09-07 08:33:21:755,160739,160701,38,0,8931980,0,6742 52,3,2024-09-07 08:33:20:679,1,130,98,0,1782,3179,130,0 53,0,2024-09-07 08:33:21:750,33008,1.3,32038,1.2,67060,1.5,88415,3.00 53,1,2024-09-07 08:33:20:778,226907,226907,0,0,107551443298,1143577898,221295,3718,1894,367,391617,0 53,2,2024-09-07 08:33:21:312,165807,165807,0,0,7125609,0,2262 53,3,2024-09-07 08:33:20:702,1,130,9,0,133,1099,130,0 54,0,2024-09-07 08:33:21:617,31549,3.4,31966,1.6,63201,1.9,84821,4.50 54,1,2024-09-07 08:33:20:580,228478,228478,0,0,107231904528,1124994924,224848,3079,551,367,391532,0 54,2,2024-09-07 08:33:20:864,164716,164710,6,0,8483794,0,5382 54,3,2024-09-07 08:33:20:763,1,130,1,0,676,2767,130,0 55,0,2024-09-07 08:33:21:762,31758,0.5,32628,0.8,66280,0.4,86047,2.50 55,1,2024-09-07 08:33:20:764,227646,227646,0,0,106018889626,1117000452,222702,4157,787,367,391731,0 55,2,2024-09-07 08:33:20:730,163273,163273,0,0,8611086,0,3275 55,3,2024-09-07 08:33:20:673,1,130,2,0,304,1614,130,0 56,0,2024-09-07 08:33:21:578,33014,1.4,31252,1.1,64064,1.9,86042,2.50 56,1,2024-09-07 08:33:20:570,227825,227825,0,0,107283566098,1142237497,222758,4165,902,382,391678,0 56,2,2024-09-07 08:33:21:308,163957,163957,0,0,9160021,0,3567 56,3,2024-09-07 08:33:21:058,1,130,4,0,405,2025,130,0 57,0,2024-09-07 08:33:20:967,32494,3.0,32488,1.7,65105,4.9,87323,4.50 57,1,2024-09-07 08:33:20:993,228023,228023,0,0,106404699747,1117157156,225530,2323,170,368,391773,0 57,2,2024-09-07 08:33:21:318,162975,162975,0,0,8855333,0,3178 57,3,2024-09-07 08:33:21:741,1,130,13,0,359,2536,130,0 58,0,2024-09-07 08:33:20:561,32465,0.9,31606,1.1,66145,1.0,86993,2.75 58,1,2024-09-07 08:33:20:577,228869,228866,0,3,107339331131,1124904742,225601,2871,394,369,391516,3 58,2,2024-09-07 08:33:21:071,165171,165171,0,0,8099367,0,2549 58,3,2024-09-07 08:33:21:070,1,130,11,0,219,1124,130,0 59,0,2024-09-07 08:33:21:754,32617,0.9,32362,1.0,64835,1.0,86344,3.00 59,1,2024-09-07 08:33:20:807,228323,228323,0,0,106900816411,1123704531,224804,2597,922,369,391515,0 59,2,2024-09-07 08:33:20:600,165900,165900,0,0,7384211,0,2604 59,3,2024-09-07 08:33:21:742,1,130,2,0,1015,2707,130,0 60,0,2024-09-07 08:33:21:747,31875,0.4,31929,0.6,63358,0.4,84109,1.75 60,1,2024-09-07 08:33:20:772,229951,229951,0,0,107124772492,1112989273,228656,942,353,370,391761,0 60,2,2024-09-07 08:33:21:142,164768,164768,0,0,8302649,0,3811 60,3,2024-09-07 08:33:21:257,1,130,29,0,124,1362,130,0 61,0,2024-09-07 08:33:21:547,32009,1.4,32280,1.1,64378,2.1,86165,2.00 61,1,2024-09-07 08:33:20:771,228368,228368,0,0,107624346156,1130366729,225472,2358,538,382,391589,0 61,2,2024-09-07 08:33:21:122,161264,161264,0,0,6795395,0,2079 61,3,2024-09-07 08:33:21:687,1,130,10,0,199,1738,130,0 62,0,2024-09-07 08:33:21:715,34513,1.0,35321,1.0,67483,1.4,92037,2.00 62,1,2024-09-07 08:33:21:116,230159,230153,0,6,107655993179,1112465362,228732,1383,38,365,391715,6 62,2,2024-09-07 08:33:21:665,164578,164577,1,0,8555239,0,5555 62,3,2024-09-07 08:33:21:149,1,130,1,0,287,1072,130,0 63,0,2024-09-07 08:33:21:458,31244,0.6,31282,0.7,62577,0.6,83188,2.00 63,1,2024-09-07 08:33:20:804,229686,229680,0,6,107907266690,1119547513,228573,1087,20,381,391542,6 63,2,2024-09-07 08:33:20:762,165838,165838,0,0,6655409,0,2674 63,3,2024-09-07 08:33:21:732,1,130,3,0,667,2224,130,0 64,0,2024-09-07 08:33:21:514,31304,0.4,31169,0.6,62425,0.4,83099,1.75 64,1,2024-09-07 08:33:20:764,228835,228835,0,0,107049838988,1125014980,225396,2387,1052,371,391783,0 64,2,2024-09-07 08:33:21:140,165345,165326,19,0,7685121,0,6121 64,3,2024-09-07 08:33:21:141,1,130,13,0,265,1716,130,0 65,0,2024-09-07 08:33:21:681,30608,1.3,31077,1.1,62053,1.8,82327,2.75 65,1,2024-09-07 08:33:20:862,227815,227815,0,0,106451637476,1117800721,225424,2169,222,382,391770,0 65,2,2024-09-07 08:33:21:694,161456,161456,0,0,8034535,0,3367 65,3,2024-09-07 08:33:21:688,1,130,9,0,163,1444,130,0 66,0,2024-09-07 08:33:21:779,33500,1.1,33529,1.1,67371,1.7,90035,3.00 66,1,2024-09-07 08:33:21:298,229181,229181,0,0,107443207905,1119468850,227397,1613,171,380,391588,0 66,2,2024-09-07 08:33:21:136,167453,167453,0,0,7364057,0,4956 66,3,2024-09-07 08:33:21:079,1,130,18,0,291,1645,130,0 67,0,2024-09-07 08:33:21:417,31286,1.1,31220,1.1,62453,1.4,82999,2.75 67,1,2024-09-07 08:33:20:770,228031,228030,0,1,106596695854,1120953969,224585,2699,746,381,391787,1 67,2,2024-09-07 08:33:20:587,165978,165978,0,0,6759479,0,2889 67,3,2024-09-07 08:33:21:753,1,130,0,0,138,1207,130,0 68,0,2024-09-07 08:33:20:564,32663,0.5,32551,0.7,64940,0.4,85948,2.00 68,1,2024-09-07 08:33:20:572,227557,227557,0,0,106322701585,1121090082,224738,1803,1016,381,391953,0 68,2,2024-09-07 08:33:21:048,162257,162192,65,0,9854409,0,6698 68,3,2024-09-07 08:33:20:732,1,130,1,0,417,1758,130,0 69,0,2024-09-07 08:33:21:731,32577,0.9,32851,1.0,65213,1.1,87027,2.25 69,1,2024-09-07 08:33:21:016,227172,227172,0,0,106822702931,1136068653,223351,2619,1202,384,391611,0 69,2,2024-09-07 08:33:21:732,163420,163420,0,0,8866648,0,3701 69,3,2024-09-07 08:33:20:763,1,130,1,0,238,1701,130,0 70,0,2024-09-07 08:33:21:549,33032,2.9,33060,1.9,66475,2.4,88608,4.25 70,1,2024-09-07 08:33:20:806,228849,228849,0,0,107295423767,1120644779,226443,1944,462,367,391725,0 70,2,2024-09-07 08:33:21:325,163909,163909,0,0,8036580,0,4044 70,3,2024-09-07 08:33:20:745,1,130,48,0,854,1801,130,0 71,0,2024-09-07 08:33:21:358,32719,2.6,32772,1.9,65134,4.2,87976,4.00 71,1,2024-09-07 08:33:21:611,228476,228476,0,0,107095083571,1127326914,224425,3529,522,368,391682,0 71,2,2024-09-07 08:33:21:067,165357,165357,0,0,7914350,0,2470 71,3,2024-09-07 08:33:21:754,1,130,1,0,644,2464,130,0 72,0,2024-09-07 08:33:21:021,34272,0.5,33401,0.7,65518,0.4,89063,2.25 72,1,2024-09-07 08:33:21:021,228357,228357,0,0,106866263090,1119530404,225386,2487,484,370,391819,0 72,2,2024-09-07 08:33:21:756,163467,163467,0,0,9493473,0,2570 72,3,2024-09-07 08:33:21:754,1,130,10,0,325,2056,130,0 73,0,2024-09-07 08:33:21:101,31150,0.4,31868,0.6,65178,0.3,84707,2.00 73,1,2024-09-07 08:33:20:771,228347,228347,0,0,106971450256,1113163095,226696,1537,114,368,391627,0 73,2,2024-09-07 08:33:21:742,165473,165473,0,0,8853625,0,3482 73,3,2024-09-07 08:33:20:974,1,130,2,0,274,2361,130,0 74,0,2024-09-07 08:33:21:324,33271,0.6,33752,0.9,64618,0.7,88354,2.50 74,1,2024-09-07 08:33:20:637,228751,228751,0,0,106793006760,1118667018,226020,2112,619,382,391681,0 74,2,2024-09-07 08:33:21:003,160955,160955,0,0,8534162,0,4253 74,3,2024-09-07 08:33:21:449,1,130,0,0,246,2043,130,0 75,0,2024-09-07 08:33:21:811,33814,0.6,33720,0.9,67373,0.6,90553,2.50 75,1,2024-09-07 08:33:21:585,228228,228228,0,0,106809214337,1121724277,225376,2487,365,381,391579,0 75,2,2024-09-07 08:33:21:356,164748,164748,0,0,8330912,0,4766 75,3,2024-09-07 08:33:21:071,1,130,1,0,535,1648,130,0 76,0,2024-09-07 08:33:20:601,31629,0.4,31328,0.7,62685,0.4,84285,2.25 76,1,2024-09-07 08:33:20:805,228526,228526,0,0,106744827765,1116806019,226587,1429,510,382,391530,0 76,2,2024-09-07 08:33:21:067,167106,167106,0,0,7033748,0,3064 76,3,2024-09-07 08:33:21:142,1,130,14,0,175,1564,130,0 77,0,2024-09-07 08:33:21:698,31540,0.6,31696,0.7,63478,0.5,83474,2.00 77,1,2024-09-07 08:33:20:824,228885,228885,0,0,106554510812,1113648599,227391,1413,81,383,391808,0 77,2,2024-09-07 08:33:21:281,164667,164667,0,0,7371698,0,3890 77,3,2024-09-07 08:33:21:098,1,130,20,0,305,1829,130,0 78,0,2024-09-07 08:33:21:722,31222,0.6,31234,0.8,62611,0.6,83046,2.25 78,1,2024-09-07 08:33:20:616,228997,228997,0,0,106331293396,1107330630,227224,1567,206,367,391589,0 78,2,2024-09-07 08:33:21:406,161139,161139,0,0,6666578,0,2114 78,3,2024-09-07 08:33:21:137,1,130,3,0,181,1518,130,0 79,0,2024-09-07 08:33:21:347,33015,0.5,33703,0.8,69076,0.5,90247,2.50 79,1,2024-09-07 08:33:20:571,229508,229508,0,0,107279812714,1114706916,227495,1842,171,369,391682,0 79,2,2024-09-07 08:33:21:071,167367,167367,0,0,7015203,0,3212 79,3,2024-09-07 08:33:20:756,1,130,1,0,418,2534,130,0 80,0,2024-09-07 08:33:21:087,30940,0.8,31731,0.9,61086,0.8,82715,2.50 80,1,2024-09-07 08:33:21:644,227892,227892,0,0,106836354799,1117251219,225417,2316,159,368,391673,0 80,2,2024-09-07 08:33:21:090,166248,166248,0,0,7728180,0,4433 80,3,2024-09-07 08:33:20:583,1,130,9,0,190,2443,130,0 81,0,2024-09-07 08:33:21:599,31879,0.5,32815,0.6,62777,0.4,84369,1.75 81,1,2024-09-07 08:33:21:652,228423,228423,0,0,106407638288,1117469697,225984,2167,272,382,391879,0 81,2,2024-09-07 08:33:21:125,163196,163196,0,0,8061532,0,3993 81,3,2024-09-07 08:33:21:122,1,130,9,0,193,1426,130,0 82,0,2024-09-07 08:33:21:541,32010,0.6,32172,0.8,64617,0.6,85508,2.00 82,1,2024-09-07 08:33:20:585,228322,228318,0,4,107116501562,1121735589,225899,1843,576,382,391558,4 82,2,2024-09-07 08:33:21:691,163164,163164,0,0,7347543,0,3986 82,3,2024-09-07 08:33:21:754,1,130,1,0,227,1745,130,0 83,0,2024-09-07 08:33:21:546,33383,0.9,33222,1.0,65760,1.1,88515,2.50 83,1,2024-09-07 08:33:20:552,228722,228722,0,0,106450257649,1115456340,226432,2100,190,382,391584,0 83,2,2024-09-07 08:33:20:764,164845,164845,0,0,7334089,0,3119 83,3,2024-09-07 08:33:20:749,1,130,1,0,133,1305,130,0 84,0,2024-09-07 08:33:21:766,32268,2.1,31929,1.6,64270,1.6,86159,3.00 84,1,2024-09-07 08:33:21:040,227496,227496,0,0,106761244753,1124234036,224204,2841,451,368,391852,0 84,2,2024-09-07 08:33:20:572,164771,164771,0,0,8434778,0,3801 84,3,2024-09-07 08:33:21:141,1,130,1,1,236,1568,130,0 85,0,2024-09-07 08:33:21:006,31730,0.5,31713,0.8,67323,0.5,87160,2.25 85,1,2024-09-07 08:33:20:563,227281,227281,0,0,106675935561,1138039432,221916,4291,1074,382,391679,0 85,2,2024-09-07 08:33:20:866,164090,164090,0,0,9055756,0,3656 85,3,2024-09-07 08:33:20:692,1,130,12,0,115,1372,130,0 86,0,2024-09-07 08:33:20:880,32225,0.6,33181,0.7,63565,0.6,86106,2.00 86,1,2024-09-07 08:33:20:825,228198,228198,0,0,106917941305,1126558251,224388,3267,543,366,391961,0 86,2,2024-09-07 08:33:20:854,163473,163472,1,0,9518262,0,5004 86,3,2024-09-07 08:33:20:588,1,130,10,0,286,2032,130,0 87,0,2024-09-07 08:33:21:290,33072,2.1,33166,1.4,66060,3.2,89146,2.75 87,1,2024-09-07 08:33:20:553,227465,227465,0,0,106916715430,1126077485,224294,2781,390,366,391788,0 87,2,2024-09-07 08:33:21:067,162535,162535,0,0,7469119,0,3515 87,3,2024-09-07 08:33:21:799,1,130,2,0,335,2502,130,0 88,0,2024-09-07 08:33:21:470,33435,0.6,33567,0.7,66912,0.7,90074,1.75 88,1,2024-09-07 08:33:20:573,227224,227224,0,0,106760359032,1126152702,223490,2612,1122,367,391787,0 88,2,2024-09-07 08:33:20:691,165309,165309,0,0,8537601,0,3583 88,3,2024-09-07 08:33:21:273,1,130,86,1,435,1966,130,0 89,0,2024-09-07 08:33:21:767,33599,0.5,32587,0.7,64603,0.5,87912,1.75 89,1,2024-09-07 08:33:20:551,226957,226957,0,0,106633034803,1134237475,221861,4163,933,382,391866,0 89,2,2024-09-07 08:33:21:144,165029,165029,0,0,8941913,0,2910 89,3,2024-09-07 08:33:21:791,1,130,4,0,325,2856,130,0 90,0,2024-09-07 08:33:21:626,30772,0.4,31398,0.6,64561,0.4,83860,1.75 90,1,2024-09-07 08:33:20:591,228552,228552,0,0,106107975315,1118057353,225777,2617,158,382,391825,0 90,2,2024-09-07 08:33:21:426,163633,163633,0,0,9591384,0,3060 90,3,2024-09-07 08:33:20:938,1,130,3,1,200,1599,130,0 91,0,2024-09-07 08:33:20:934,32638,0.5,31504,0.6,65492,0.5,86464,1.75 91,1,2024-09-07 08:33:20:567,228078,228078,0,0,106528110781,1124630388,224450,3135,493,381,391960,0 91,2,2024-09-07 08:33:21:334,159324,159324,0,0,8771472,0,2445 91,3,2024-09-07 08:33:20:598,1,130,7,0,155,1559,130,0 92,0,2024-09-07 08:33:21:471,34799,0.6,35580,0.8,68150,0.7,92438,1.75 92,1,2024-09-07 08:33:20:580,228462,228462,0,0,106806119659,1118180330,226542,1525,395,382,391717,0 92,2,2024-09-07 08:33:21:355,167394,167394,0,0,6983627,0,2279 92,3,2024-09-07 08:33:21:009,1,130,6,0,68,1215,130,0 93,0,2024-09-07 08:33:21:004,31476,0.5,32201,0.7,61800,0.4,83603,1.75 93,1,2024-09-07 08:33:20:816,228591,228591,0,0,107272732935,1122747908,226167,1978,446,366,391689,0 93,2,2024-09-07 08:33:20:945,165804,165804,0,0,7138686,0,2509 93,3,2024-09-07 08:33:21:408,1,130,13,0,143,1450,130,0 94,0,2024-09-07 08:33:21:612,31387,0.3,31680,0.5,62777,0.3,82910,1.75 94,1,2024-09-07 08:33:20:595,228407,228407,0,0,106366209269,1116489552,226125,2191,91,381,391850,0 94,2,2024-09-07 08:33:20:792,164015,164015,0,0,7096167,0,2443 94,3,2024-09-07 08:33:21:697,1,130,15,0,264,2148,130,0 95,0,2024-09-07 08:33:21:356,31402,0.4,31310,0.6,62845,0.3,83122,1.75 95,1,2024-09-07 08:33:20:857,228868,228868,0,0,106836105824,1111262256,227518,1269,81,367,391600,0 95,2,2024-09-07 08:33:21:016,161415,161415,0,0,7222392,0,3308 95,3,2024-09-07 08:33:21:709,1,130,26,0,307,2118,130,0 96,0,2024-09-07 08:33:21:051,33972,0.8,34087,0.8,68041,0.8,90746,2.00 96,1,2024-09-07 08:33:21:583,228060,228060,0,0,106142829378,1116197727,225477,1942,641,385,391894,0 96,2,2024-09-07 08:33:21:274,165893,165893,0,0,7855145,0,4042 96,3,2024-09-07 08:33:21:148,1,130,2,0,188,1548,130,0 97,0,2024-09-07 08:33:21:333,31335,0.5,31336,0.6,62662,0.6,82959,1.75 97,1,2024-09-07 08:33:20:763,228278,228278,0,0,106911255889,1117010337,225525,2206,547,367,392140,0 97,2,2024-09-07 08:33:20:612,164945,164945,0,0,7238846,0,3036 97,3,2024-09-07 08:33:20:604,1,130,12,1,165,1626,130,0 98,0,2024-09-07 08:33:21:700,32578,0.3,32374,0.4,65201,0.2,86294,1.50 98,1,2024-09-07 08:33:20:594,228705,228705,0,0,107247524959,1121660202,226920,1699,86,382,391997,0 98,2,2024-09-07 08:33:20:773,164520,164520,0,0,7434549,0,3080 98,3,2024-09-07 08:33:20:699,1,130,1,1,840,2836,130,0 99,0,2024-09-07 08:33:21:483,32750,0.3,32829,0.5,65574,0.3,87296,1.75 99,1,2024-09-07 08:33:21:729,228734,228734,0,0,106351612801,1113938078,226238,1845,651,381,391744,0 99,2,2024-09-07 08:33:21:420,165226,165226,0,0,7222482,0,1973 99,3,2024-09-07 08:33:20:592,1,130,3,0,129,1027,130,0 100,0,2024-09-07 08:33:21:485,33256,1.8,33305,1.7,66625,3.0,89410,2.75 100,1,2024-09-07 08:33:20:548,226639,226639,0,0,105993284080,1132075787,221482,4228,929,381,391989,0 100,2,2024-09-07 08:33:21:824,163208,163197,11,0,8605693,0,5417 100,3,2024-09-07 08:33:21:731,1,130,108,0,559,3387,130,0 101,0,2024-09-07 08:33:21:720,33694,3.6,32734,1.9,64465,4.4,89089,3.50 101,1,2024-09-07 08:33:20:550,227169,227169,0,0,106598066221,1134944962,222039,3664,1466,368,391709,0 101,2,2024-09-07 08:33:21:758,164156,164156,0,0,9499393,0,4644 101,3,2024-09-07 08:33:20:943,1,130,8,0,448,1899,130,0 102,0,2024-09-07 08:33:20:966,32387,0.7,33629,0.8,67420,0.5,88495,2.00 102,1,2024-09-07 08:33:21:142,227612,227612,0,0,106319460901,1125721415,223676,3228,708,369,391831,0 102,2,2024-09-07 08:33:21:738,164875,164821,54,0,9140536,0,6768 102,3,2024-09-07 08:33:21:614,1,130,0,0,410,1590,130,0 103,0,2024-09-07 08:33:21:610,32839,0.5,32789,0.7,61973,0.4,85074,1.75 103,1,2024-09-07 08:33:21:629,227048,227048,0,0,107022049009,1134664564,222741,3101,1206,381,391829,0 103,2,2024-09-07 08:33:20:591,164079,164079,0,0,8196146,0,2104 103,3,2024-09-07 08:33:20:759,1,130,15,0,916,2675,130,0 104,0,2024-09-07 08:33:21:025,32681,1.3,32778,1.2,64611,1.4,88411,2.50 104,1,2024-09-07 08:33:21:601,228461,228461,0,0,106809147060,1132186597,223861,3716,884,366,391948,0 104,2,2024-09-07 08:33:21:667,160152,160152,0,0,8181434,0,3941 104,3,2024-09-07 08:33:21:417,1,130,5,1,1245,4824,130,0 105,0,2024-09-07 08:33:21:031,33518,1.0,32430,1.2,67722,1.3,89908,3.25 105,1,2024-09-07 08:33:20:554,228439,228439,0,0,106867710472,1127065867,224482,3186,771,367,391797,0 105,2,2024-09-07 08:33:21:322,164785,164785,0,0,8021128,0,3509 105,3,2024-09-07 08:33:21:307,1,130,24,1,399,2168,130,0 106,0,2024-09-07 08:33:20:964,30710,0.7,31431,0.9,63958,0.7,84323,2.25 106,1,2024-09-07 08:33:21:757,227839,227839,0,0,105931440066,1115810180,224426,3062,351,369,391767,0 106,2,2024-09-07 08:33:20:773,165622,165622,0,0,8249024,0,2795 106,3,2024-09-07 08:33:20:678,1,130,1,0,405,2193,130,0 107,0,2024-09-07 08:33:21:119,31621,0.4,31527,0.6,62719,0.4,83700,1.75 107,1,2024-09-07 08:33:20:596,227686,227686,0,0,105825992121,1117457713,224851,2610,225,381,392234,0 107,2,2024-09-07 08:33:21:297,163720,163719,1,0,8292689,0,5024 107,3,2024-09-07 08:33:21:755,1,130,14,0,353,1726,130,0 108,0,2024-09-07 08:33:21:867,31379,0.4,31546,0.6,62373,0.4,83469,1.75 108,1,2024-09-07 08:33:21:295,228268,228268,0,0,106983830162,1119957321,225970,2011,287,368,391857,0 108,2,2024-09-07 08:33:21:763,159877,159877,0,0,7589056,0,2647 108,3,2024-09-07 08:33:21:335,1,130,5,0,288,2126,130,0 109,0,2024-09-07 08:33:21:764,34351,0.5,34157,0.7,68095,0.5,92022,1.75 109,1,2024-09-07 08:33:20:588,227946,227946,0,0,107171319130,1127815447,225545,1939,462,383,391812,0 109,2,2024-09-07 08:33:20:925,165490,165490,0,0,7892995,0,3617 109,3,2024-09-07 08:33:21:151,1,130,76,1,249,1988,130,0 110,0,2024-09-07 08:33:21:755,31191,0.5,30343,0.7,63338,0.5,82761,1.75 110,1,2024-09-07 08:33:21:643,228750,228750,0,0,106832775083,1115787848,226390,1660,700,370,391667,0 110,2,2024-09-07 08:33:21:306,166153,166153,0,0,6991995,0,2915 110,3,2024-09-07 08:33:20:694,1,130,1,0,406,1987,130,0 111,0,2024-09-07 08:33:21:423,32003,0.2,31527,0.4,63125,0.2,84508,1.50 111,1,2024-09-07 08:33:21:000,229257,229257,0,0,107937486017,1122824117,227608,1317,332,382,391690,0 111,2,2024-09-07 08:33:21:117,163663,163663,0,0,7769263,0,2763 111,3,2024-09-07 08:33:20:913,1,130,1,0,300,1944,130,0 112,0,2024-09-07 08:33:20:923,32388,0.3,32345,0.5,64721,0.2,85725,1.50 112,1,2024-09-07 08:33:20:824,228790,228790,0,0,107126107920,1119005205,226466,1899,425,381,391580,0 112,2,2024-09-07 08:33:21:144,162628,162627,1,0,7884416,0,5036 112,3,2024-09-07 08:33:20:594,1,130,11,0,282,1603,130,0 113,0,2024-09-07 08:33:20:903,33181,0.4,32928,0.6,66821,0.4,89535,1.75 113,1,2024-09-07 08:33:21:686,229281,229281,0,0,108241439362,1125052523,226874,1919,488,366,391661,0 113,2,2024-09-07 08:33:21:303,166168,166168,0,0,6928489,0,3813 113,3,2024-09-07 08:33:20:694,1,130,8,0,288,2146,130,0 114,0,2024-09-07 08:33:20:877,33042,0.8,33613,0.8,65707,0.6,87794,2.00 114,1,2024-09-07 08:33:20:729,228493,228493,0,0,106985261141,1126906175,224391,2640,1462,381,391534,0 114,2,2024-09-07 08:33:20:875,164761,164761,0,0,7219259,0,3925 114,3,2024-09-07 08:33:21:281,1,130,13,0,395,1917,130,0 115,0,2024-09-07 08:33:20:554,32813,0.3,33170,0.4,66343,0.2,87920,1.50 115,1,2024-09-07 08:33:20:571,228742,228742,0,0,107216128926,1124306821,225103,2818,821,382,391602,0 115,2,2024-09-07 08:33:21:125,164821,164821,0,0,6673160,0,2152 115,3,2024-09-07 08:33:21:002,1,130,1,0,159,1034,130,0 116,0,2024-09-07 08:33:21:713,32424,0.7,31956,0.8,64346,0.7,85940,2.00 116,1,2024-09-07 08:33:20:804,226946,226946,0,0,106733821881,1142473116,222081,3113,1752,382,391677,0 116,2,2024-09-07 08:33:21:753,163031,163031,0,0,9782623,0,3529 116,3,2024-09-07 08:33:20:914,1,130,0,0,252,2086,130,0 117,0,2024-09-07 08:33:20:995,33083,2.1,33207,1.3,65946,3.2,89052,2.25 117,1,2024-09-07 08:33:21:578,228132,228132,0,0,106106493702,1119642440,224528,3178,426,370,392033,0 117,2,2024-09-07 08:33:21:121,163442,163442,0,0,7553686,0,3700 117,3,2024-09-07 08:33:21:060,1,130,16,0,490,3031,130,0 118,0,2024-09-07 08:33:21:806,32619,0.8,33541,0.9,68262,1.0,89535,2.25 118,1,2024-09-07 08:33:20:654,227578,227578,0,0,105947622420,1126066521,222963,3326,1289,368,391736,0 118,2,2024-09-07 08:33:21:586,164936,164936,0,0,8618902,0,2781 118,3,2024-09-07 08:33:21:777,1,130,7,0,235,1968,130,0 119,0,2024-09-07 08:33:21:348,32283,0.8,32442,0.9,65786,1.0,87025,2.25 119,1,2024-09-07 08:33:20:551,228425,228425,0,0,107294605502,1124605434,226058,2080,287,370,391641,0 119,2,2024-09-07 08:33:21:263,164153,164153,0,0,8065529,0,3526 119,3,2024-09-07 08:33:21:335,1,130,1,0,443,2666,130,0 120,0,2024-09-07 08:33:21:557,31385,0.5,31477,0.7,62826,0.5,83908,2.00 120,1,2024-09-07 08:33:20:862,228536,228536,0,0,106615122720,1123131711,225703,2599,234,368,391961,0 120,2,2024-09-07 08:33:20:775,164048,164047,1,0,9819908,0,5281 120,3,2024-09-07 08:33:21:291,1,130,1,0,241,1919,130,0 121,0,2024-09-07 08:33:21:691,31943,1.5,32441,1.2,64475,2.4,86304,2.25 121,1,2024-09-07 08:33:21:655,228537,228537,0,0,106697457198,1119953803,225999,2254,284,367,391840,0 121,2,2024-09-07 08:33:21:125,159514,159514,0,0,8832293,0,4127 121,3,2024-09-07 08:33:20:728,1,130,5,0,269,1892,130,0 122,0,2024-09-07 08:33:21:800,34077,1.3,33270,1.2,69449,1.5,92399,2.25 122,1,2024-09-07 08:33:20:862,227618,227618,0,0,106434249543,1123786780,223701,3256,661,366,392130,0 122,2,2024-09-07 08:33:21:323,165631,165631,0,0,9944291,0,3364 122,3,2024-09-07 08:33:20:595,1,130,2,0,320,2998,130,0 123,0,2024-09-07 08:33:20:998,31376,1.0,30442,0.9,63697,1.2,83318,2.25 123,1,2024-09-07 08:33:20:565,228775,228775,0,0,107201233892,1134983485,223972,4126,677,369,391823,0 123,2,2024-09-07 08:33:21:022,164574,164573,1,0,8510173,0,5215 123,3,2024-09-07 08:33:21:132,1,130,7,1,168,1843,130,0 124,0,2024-09-07 08:33:20:921,32305,0.3,32340,0.5,60999,0.2,83240,1.50 124,1,2024-09-07 08:33:21:026,228523,228523,0,0,106743583752,1111425009,226708,1462,353,367,392178,0 124,2,2024-09-07 08:33:21:009,164513,164513,0,0,7092251,0,3101 124,3,2024-09-07 08:33:20:758,1,130,0,0,490,2114,130,0 125,0,2024-09-07 08:33:21:467,31460,0.5,31331,0.6,62972,0.5,83174,1.75 125,1,2024-09-07 08:33:20:863,228174,228174,0,0,107184992467,1122840888,225862,2037,275,384,391702,0 125,2,2024-09-07 08:33:21:116,161664,161664,0,0,6925334,0,2180 125,3,2024-09-07 08:33:21:131,1,130,17,1,284,1809,130,0 126,0,2024-09-07 08:33:21:455,34010,0.8,34882,0.8,66736,0.9,91374,2.00 126,1,2024-09-07 08:33:20:551,229121,229121,0,0,107131545530,1113648553,227621,1424,76,365,391987,0 126,2,2024-09-07 08:33:20:610,166462,166462,0,0,7182706,0,3186 126,3,2024-09-07 08:33:20:907,1,130,5,0,136,1868,130,0 127,0,2024-09-07 08:33:21:603,31087,0.5,31369,0.6,62690,0.5,83064,1.75 127,1,2024-09-07 08:33:20:570,228725,228725,0,0,106607211251,1107662556,226971,1718,36,365,391816,0 127,2,2024-09-07 08:33:20:636,165370,165370,0,0,6611523,0,1803 127,3,2024-09-07 08:33:21:267,1,130,1,0,99,937,130,0 128,0,2024-09-07 08:33:21:529,32742,0.3,32617,0.5,64998,0.2,86292,1.50 128,1,2024-09-07 08:33:21:609,229083,229083,0,0,107371623059,1114992230,228150,862,71,367,391680,0 128,2,2024-09-07 08:33:21:387,163070,163070,0,0,7192618,0,2107 128,3,2024-09-07 08:33:20:772,1,130,2,0,333,2132,130,0 129,0,2024-09-07 08:33:21:005,32988,0.3,32831,0.5,65511,0.3,87191,1.75 129,1,2024-09-07 08:33:20:570,227819,227819,0,0,106669889261,1122732266,224916,2512,391,379,391835,0 129,2,2024-09-07 08:33:20:692,163567,163567,0,0,7453878,0,4031 129,3,2024-09-07 08:33:20:698,1,130,9,1,173,1771,130,0 130,0,2024-09-07 08:33:21:736,33820,1.0,33500,0.9,67419,1.5,90460,2.00 130,1,2024-09-07 08:33:20:583,228550,228550,0,0,106794595691,1117474522,226504,1977,69,381,391825,0 130,2,2024-09-07 08:33:21:126,164008,164008,0,0,7077629,0,4067 130,3,2024-09-07 08:33:21:293,1,130,0,0,207,1262,130,0 131,0,2024-09-07 08:33:21:964,33494,0.7,33535,0.8,67861,0.9,89571,1.75 131,1,2024-09-07 08:33:21:824,229418,229418,0,0,106872065685,1115776853,227971,1241,206,384,391865,0 131,2,2024-09-07 08:33:20:569,165382,165382,0,0,6763198,0,2415 131,3,2024-09-07 08:33:21:691,1,130,87,0,392,1693,130,0 132,0,2024-09-07 08:33:21:430,32812,0.5,33357,0.8,66805,0.5,88845,2.00 132,1,2024-09-07 08:33:20:579,226696,226696,0,0,105881173371,1128782457,221308,4360,1028,381,391760,0 132,2,2024-09-07 08:33:20:709,163904,163904,0,0,9961274,0,4606 132,3,2024-09-07 08:33:21:689,1,130,3,0,356,2670,130,0 133,0,2024-09-07 08:33:21:539,31122,0.4,31772,0.6,65211,0.4,84382,1.75 133,1,2024-09-07 08:33:20:584,226683,226683,0,0,106607923077,1133606337,222208,3837,638,383,391755,0 133,2,2024-09-07 08:33:21:092,164183,164183,0,0,9793750,0,4315 133,3,2024-09-07 08:33:21:301,1,130,8,1,187,1238,130,0 134,0,2024-09-07 08:33:20:952,32927,0.7,32744,0.9,66201,0.7,88391,2.00 134,1,2024-09-07 08:33:20:587,227345,227345,0,0,106428350887,1124162231,223009,3117,1219,366,391718,0 134,2,2024-09-07 08:33:21:859,160660,160660,0,0,7815582,0,3096 134,3,2024-09-07 08:33:20:756,1,130,2,0,739,2839,130,0 135,0,2024-09-07 08:33:21:118,32876,1.2,32791,1.2,69290,1.4,89478,2.50 135,1,2024-09-07 08:33:21:587,227499,227499,0,0,106371656566,1126037724,223502,3296,701,380,391725,0 135,2,2024-09-07 08:33:20:722,165681,165681,0,0,8730599,0,3981 135,3,2024-09-07 08:33:21:002,1,130,8,0,89,814,130,0 136,0,2024-09-07 08:33:21:629,31984,0.6,31760,0.8,63995,0.6,85351,2.00 136,1,2024-09-07 08:33:21:442,227759,227759,0,0,106501226719,1120488887,224671,2917,171,384,391641,0 136,2,2024-09-07 08:33:21:140,166974,166974,0,0,8401673,0,3506 136,3,2024-09-07 08:33:21:116,1,130,11,0,108,1163,130,0 137,0,2024-09-07 08:33:20:932,32302,0.5,31447,0.7,62251,0.5,83920,2.00 137,1,2024-09-07 08:33:20:591,228218,228218,0,0,106904272051,1122842103,224695,3211,312,367,391608,0 137,2,2024-09-07 08:33:21:719,163881,163881,0,0,9530859,0,3185 137,3,2024-09-07 08:33:20:771,1,130,8,1,227,1689,130,0 138,0,2024-09-07 08:33:21:756,30707,1.9,30903,1.3,62308,2.9,83471,2.50 138,1,2024-09-07 08:33:21:694,228663,228663,0,0,107157972581,1124910664,225709,2605,349,368,391954,0 138,2,2024-09-07 08:33:20:591,161133,161133,0,0,7557701,0,3263 138,3,2024-09-07 08:33:20:614,1,130,1,0,1160,2514,130,0 139,0,2024-09-07 08:33:21:367,33322,3.1,33321,1.8,67506,4.3,90750,3.25 139,1,2024-09-07 08:33:20:596,227271,227271,0,0,105898376003,1129720627,222473,3484,1314,381,391892,0 139,2,2024-09-07 08:33:20:695,164449,164449,0,0,8794679,0,3097 139,3,2024-09-07 08:33:21:663,1,130,3,0,244,1708,130,0 140,0,2024-09-07 08:33:21:596,31283,0.4,31125,0.6,62419,0.3,82834,1.75 140,1,2024-09-07 08:33:21:536,229371,229371,0,0,107478604315,1111291616,227958,1174,239,365,391606,0 140,2,2024-09-07 08:33:20:692,166305,166305,0,0,7520290,0,3388 140,3,2024-09-07 08:33:20:769,1,130,1,0,247,1220,130,0 141,0,2024-09-07 08:33:21:699,31539,0.2,32586,0.4,62590,0.1,84450,1.50 141,1,2024-09-07 08:33:20:869,229097,229097,0,0,107223650562,1115753794,227370,1375,352,379,391539,0 141,2,2024-09-07 08:33:21:688,163701,163701,0,0,6775026,0,2342 141,3,2024-09-07 08:33:21:047,1,130,8,0,147,1171,130,0 142,0,2024-09-07 08:33:21:308,32725,0.3,32443,0.5,64390,0.3,85875,1.75 142,1,2024-09-07 08:33:20:589,228895,228895,0,0,107270127592,1119308121,227744,1017,134,383,391649,0 142,2,2024-09-07 08:33:21:299,161423,161391,32,0,8203870,0,6028 142,3,2024-09-07 08:33:21:746,1,130,75,0,484,2090,130,0 143,0,2024-09-07 08:33:21:375,33371,0.7,33449,0.7,67243,0.8,89411,2.00 143,1,2024-09-07 08:33:20:570,228693,228693,0,0,106676287062,1111232529,226642,2010,41,367,391619,0 143,2,2024-09-07 08:33:20:770,165235,165235,0,0,7341438,0,2669 143,3,2024-09-07 08:33:21:161,1,130,71,0,303,2340,130,0 144,0,2024-09-07 08:33:21:499,31523,1.2,32400,1.9,65671,1.5,86459,2.50 144,1,2024-09-07 08:33:20:569,227836,227836,0,0,106559200989,1122316907,225565,2068,203,381,391649,0 144,2,2024-09-07 08:33:21:755,165360,165360,0,0,7216405,0,3473 144,3,2024-09-07 08:33:21:741,1,130,1,0,249,1905,130,0 145,0,2024-09-07 08:33:21:393,31780,0.6,31769,0.8,67454,0.5,87483,2.25 145,1,2024-09-07 08:33:20:552,226480,226480,0,0,106417445953,1129570045,222178,3568,734,383,391615,0 145,2,2024-09-07 08:33:21:434,163853,163853,0,0,8433430,0,3903 145,3,2024-09-07 08:33:20:895,1,130,8,0,151,1691,130,0 146,0,2024-09-07 08:33:21:623,32144,0.5,31988,0.7,64703,0.5,85621,2.00 146,1,2024-09-07 08:33:21:586,228909,228909,0,0,106617972572,1123968904,224702,3408,799,368,391600,0 146,2,2024-09-07 08:33:21:694,164330,164330,0,0,7985730,0,2498 146,3,2024-09-07 08:33:21:274,1,130,1,0,1520,4626,130,0 147,0,2024-09-07 08:33:21:715,33120,1.5,33093,1.2,65408,2.0,88885,2.75 147,1,2024-09-07 08:33:21:396,229357,229357,0,0,107045040835,1115722783,227057,1908,392,368,391791,0 147,2,2024-09-07 08:33:21:014,162661,162661,0,0,7174903,0,2789 147,3,2024-09-07 08:33:20:913,1,130,1,0,371,1715,130,0 0,0,2024-09-07 08:33:31:759,30735,0.5,30787,0.7,65095,0.5,84274,1.75 0,1,2024-09-07 08:33:30:819,229951,229951,0,0,108323750574,1136993041,228231,1588,132,372,391692,0 0,2,2024-09-07 08:33:31:077,165904,165904,0,0,7892840,0,4480 0,3,2024-09-07 08:33:30:974,1,131,1,0,247,1862,131,0 1,0,2024-09-07 08:33:31:809,32511,1.9,32261,1.5,64879,2.6,86744,2.50 1,1,2024-09-07 08:33:30:558,228953,228953,0,0,107311381526,1132046963,225531,2557,865,372,391857,0 1,2,2024-09-07 08:33:30:638,161405,161405,0,0,6944081,0,3267 1,3,2024-09-07 08:33:31:312,1,131,8,0,262,1757,131,0 2,0,2024-09-07 08:33:31:574,34548,1.0,34720,1.0,68923,1.3,92574,2.25 2,1,2024-09-07 08:33:30:860,229736,229736,0,0,107976343505,1126131475,228322,1208,206,380,391558,0 2,2,2024-09-07 08:33:31:269,166544,166544,0,0,7720377,0,3594 2,3,2024-09-07 08:33:30:695,1,131,1,0,357,1611,131,0 3,0,2024-09-07 08:33:31:753,31252,0.6,31296,0.8,62690,0.6,83068,2.00 3,1,2024-09-07 08:33:31:623,229829,229829,0,0,107695145111,1129427424,226727,2678,424,382,391516,0 3,2,2024-09-07 08:33:31:142,167246,167223,23,0,7858455,0,5851 3,3,2024-09-07 08:33:31:763,1,131,1,0,103,909,131,0 4,0,2024-09-07 08:33:31:845,30657,0.3,31343,0.5,63726,0.2,83338,1.75 4,1,2024-09-07 08:33:30:602,229998,229998,0,0,107303845923,1133883352,227045,2433,520,371,391846,0 4,2,2024-09-07 08:33:31:019,164445,164445,0,0,9325179,0,4528 4,3,2024-09-07 08:33:31:037,1,131,3,0,287,2008,131,0 5,0,2024-09-07 08:33:31:402,31519,0.6,31349,0.7,62933,0.7,83313,1.75 5,1,2024-09-07 08:33:30:772,229085,229085,0,0,107614025260,1140887843,224967,3133,985,368,392005,0 5,2,2024-09-07 08:33:31:833,161637,161637,0,0,7911735,0,2259 5,3,2024-09-07 08:33:31:733,1,131,12,0,238,2182,131,0 6,0,2024-09-07 08:33:30:922,34704,1.3,34296,1.2,68340,2.0,92440,2.75 6,1,2024-09-07 08:33:30:746,229966,229966,0,0,107797387525,1134254386,226770,2549,647,381,391617,0 6,2,2024-09-07 08:33:31:139,167509,167509,0,0,8490804,0,4816 6,3,2024-09-07 08:33:31:278,1,131,4,0,340,2116,131,0 7,0,2024-09-07 08:33:31:550,30721,0.6,30783,0.8,61795,0.6,82282,2.00 7,1,2024-09-07 08:33:30:850,230091,230091,0,0,107562746006,1131595575,227375,2585,131,382,391664,0 7,2,2024-09-07 08:33:30:775,166048,166048,0,0,7512632,0,2981 7,3,2024-09-07 08:33:30:851,1,131,100,0,305,1505,131,0 8,0,2024-09-07 08:33:31:374,32540,0.3,32714,0.5,64737,0.2,86476,1.50 8,1,2024-09-07 08:33:31:037,229596,229596,0,0,107679196278,1142525333,224831,3310,1455,368,391956,0 8,2,2024-09-07 08:33:30:795,163153,163153,0,0,8827163,0,2986 8,3,2024-09-07 08:33:30:593,1,131,15,0,357,2281,131,0 9,0,2024-09-07 08:33:31:148,32969,0.4,32046,0.5,66925,0.3,87571,1.75 9,1,2024-09-07 08:33:30:559,229559,229559,0,0,108294686187,1148565716,225119,3316,1124,370,391753,0 9,2,2024-09-07 08:33:31:083,164148,164148,0,0,8448212,0,3360 9,3,2024-09-07 08:33:31:757,1,131,21,0,496,2114,131,0 10,0,2024-09-07 08:33:31:616,34077,0.4,33995,0.7,68020,0.4,91044,1.75 10,1,2024-09-07 08:33:30:582,229922,229922,0,0,107023953402,1128515539,225825,3510,587,381,391741,0 10,2,2024-09-07 08:33:30:762,165769,165769,0,0,8443112,0,2940 10,3,2024-09-07 08:33:30:878,1,131,4,0,177,1118,131,0 11,0,2024-09-07 08:33:31:007,32795,1.3,32014,1.2,67215,2.8,89472,2.50 11,1,2024-09-07 08:33:30:570,229406,229406,0,0,107509635016,1134855772,224866,3378,1162,384,391537,0 11,2,2024-09-07 08:33:31:133,165826,165826,0,0,8019497,0,3411 11,3,2024-09-07 08:33:31:302,1,131,2,0,720,2754,131,0 12,0,2024-09-07 08:33:30:946,33882,0.3,33565,0.5,67423,0.3,89706,1.50 12,1,2024-09-07 08:33:30:940,229840,229840,0,0,107374865293,1126587497,227028,2402,410,370,391837,0 12,2,2024-09-07 08:33:31:551,166553,166553,0,0,8217879,0,3469 12,3,2024-09-07 08:33:31:058,1,131,1,0,358,2439,131,0 13,0,2024-09-07 08:33:31:342,32118,0.4,32287,0.5,64313,0.3,85494,1.75 13,1,2024-09-07 08:33:31:534,230454,230454,0,0,107523399694,1130441849,228519,1558,377,384,391717,0 13,2,2024-09-07 08:33:30:596,166577,166577,0,0,7683934,0,3287 13,3,2024-09-07 08:33:31:768,1,131,15,1,467,2923,131,0 14,0,2024-09-07 08:33:30:571,33601,0.5,33598,0.7,66725,0.5,89552,2.00 14,1,2024-09-07 08:33:31:571,231311,231311,0,0,107676023406,1120302110,229335,1814,162,364,391571,0 14,2,2024-09-07 08:33:30:766,162646,162646,0,0,7490238,0,2896 14,3,2024-09-07 08:33:31:123,1,131,1,0,1168,2807,131,0 15,0,2024-09-07 08:33:31:559,33974,0.6,33943,0.8,68198,0.6,90541,2.00 15,1,2024-09-07 08:33:31:610,229926,229926,0,0,107243488722,1118924818,228427,1438,61,381,391536,0 15,2,2024-09-07 08:33:31:012,167209,167209,0,0,6353341,0,3043 15,3,2024-09-07 08:33:31:415,1,131,1,0,1126,4079,131,0 16,0,2024-09-07 08:33:31:001,32004,0.6,32406,0.8,64151,0.6,86019,2.25 16,1,2024-09-07 08:33:30:576,230127,230127,0,0,107425653350,1128002386,227940,1928,259,372,391756,0 16,2,2024-09-07 08:33:31:439,166287,166287,0,0,8643404,0,4719 16,3,2024-09-07 08:33:31:154,1,131,116,0,231,1979,131,0 17,0,2024-09-07 08:33:31:778,32693,0.4,31856,0.6,62501,0.4,84317,1.75 17,1,2024-09-07 08:33:30:571,229348,229348,0,0,106834517543,1129668876,226027,2650,671,369,391688,0 17,2,2024-09-07 08:33:31:670,167068,167068,0,0,7835084,0,2857 17,3,2024-09-07 08:33:30:577,1,131,8,0,268,2292,131,0 18,0,2024-09-07 08:33:30:961,30860,1.0,31317,1.0,62674,1.2,83766,2.50 18,1,2024-09-07 08:33:31:648,229753,229753,0,0,107675958865,1121943198,227858,1661,234,368,391564,0 18,2,2024-09-07 08:33:31:757,161469,161469,0,0,7617423,0,3541 18,3,2024-09-07 08:33:30:896,1,131,1,0,163,1540,131,0 19,0,2024-09-07 08:33:31:581,34140,1.0,34437,1.1,68078,1.2,91008,3.00 19,1,2024-09-07 08:33:30:566,230537,230537,0,0,107706881136,1127716928,227081,2764,692,367,391777,0 19,2,2024-09-07 08:33:31:758,167985,167985,0,0,6959864,0,3988 19,3,2024-09-07 08:33:31:129,1,131,4,0,524,1422,131,0 20,0,2024-09-07 08:33:31:364,31211,0.8,31201,0.9,62369,0.9,82983,2.50 20,1,2024-09-07 08:33:30:567,229811,229811,0,0,107879922870,1137550294,226418,3004,389,369,391822,0 20,2,2024-09-07 08:33:30:932,167114,167114,0,0,7903925,0,3721 20,3,2024-09-07 08:33:30:589,1,131,42,0,414,2756,131,0 21,0,2024-09-07 08:33:31:166,32204,0.4,32150,0.5,64015,0.3,84545,1.75 21,1,2024-09-07 08:33:31:550,228998,228998,0,0,106799870616,1130935546,225490,2977,531,368,391962,0 21,2,2024-09-07 08:33:31:078,164797,164797,0,0,8714735,0,3747 21,3,2024-09-07 08:33:31:412,1,131,12,0,103,1793,131,0 22,0,2024-09-07 08:33:31:731,32029,0.9,32153,1.0,63890,0.9,84723,2.25 22,1,2024-09-07 08:33:31:026,229346,229346,0,0,107458787260,1141649296,223879,3958,1509,382,391667,0 22,2,2024-09-07 08:33:30:760,163098,163098,0,0,7442757,0,3134 22,3,2024-09-07 08:33:31:065,1,131,0,0,228,1494,131,0 23,0,2024-09-07 08:33:31:375,33327,1.0,33562,1.0,67444,1.1,90001,2.50 23,1,2024-09-07 08:33:31:003,230382,230382,0,0,107336982683,1130457745,226360,2540,1482,365,391549,0 23,2,2024-09-07 08:33:31:103,166551,166551,0,0,7737321,0,3010 23,3,2024-09-07 08:33:31:758,1,131,2,0,645,1723,131,0 24,0,2024-09-07 08:33:30:859,33240,0.6,33245,0.7,66517,0.7,87976,1.75 24,1,2024-09-07 08:33:30:581,229848,229848,0,0,107500711954,1129073096,227286,1797,765,369,391640,0 24,2,2024-09-07 08:33:31:073,165489,165489,0,0,8970470,0,2942 24,3,2024-09-07 08:33:31:701,1,131,6,0,234,1685,131,0 25,0,2024-09-07 08:33:31:345,34083,0.4,33190,0.6,65097,0.3,88762,1.75 25,1,2024-09-07 08:33:30:558,229772,229772,0,0,107689628867,1136348834,226284,2947,541,371,391788,0 25,2,2024-09-07 08:33:31:609,164033,164033,0,0,8934207,0,3978 25,3,2024-09-07 08:33:31:000,1,131,8,0,158,1525,131,0 26,0,2024-09-07 08:33:31:743,32264,0.4,31587,0.6,66251,0.3,86940,1.75 26,1,2024-09-07 08:33:31:554,230282,230282,0,0,107449923832,1135643337,225672,3709,901,381,391564,0 26,2,2024-09-07 08:33:30:861,165354,165354,0,0,8255208,0,2809 26,3,2024-09-07 08:33:31:720,1,131,47,0,796,2112,131,0 27,0,2024-09-07 08:33:31:737,33691,0.7,33830,0.8,67006,0.7,89923,1.75 27,1,2024-09-07 08:33:31:684,231041,231041,0,0,108359168450,1128878395,229243,1475,323,381,391539,0 27,2,2024-09-07 08:33:30:873,162872,162872,0,0,8736487,0,3409 27,3,2024-09-07 08:33:31:016,1,131,1,0,564,1696,131,0 28,0,2024-09-07 08:33:31:395,33650,0.7,34009,0.8,68172,1.0,90997,2.50 28,1,2024-09-07 08:33:30:801,230310,230310,0,0,108334968202,1130619451,228659,1440,211,383,391646,0 28,2,2024-09-07 08:33:31:764,166815,166815,0,0,6640507,0,2915 28,3,2024-09-07 08:33:31:784,1,131,1,0,502,1678,131,0 29,0,2024-09-07 08:33:31:378,33702,0.4,33003,0.6,64560,0.3,88515,1.75 29,1,2024-09-07 08:33:31:565,231469,231469,0,0,107909355615,1121335902,229340,1598,531,369,391621,0 29,2,2024-09-07 08:33:30:869,166737,166737,0,0,6496346,0,4018 29,3,2024-09-07 08:33:30:963,1,131,12,0,105,1112,131,0 30,0,2024-09-07 08:33:31:458,31634,0.6,30772,0.8,64417,0.6,84312,2.25 30,1,2024-09-07 08:33:30:571,230683,230683,0,0,108330013539,1128738669,228808,1597,278,382,391672,0 30,2,2024-09-07 08:33:31:274,166912,166912,0,0,6748890,0,3161 30,3,2024-09-07 08:33:30:581,1,131,0,0,195,947,131,0 31,0,2024-09-07 08:33:31:766,32204,0.7,32231,0.8,65224,0.7,86544,2.00 31,1,2024-09-07 08:33:30:564,231987,231987,0,0,108579498896,1114560750,231370,551,66,356,391553,0 31,2,2024-09-07 08:33:31:281,161906,161906,0,0,8079443,0,3525 31,3,2024-09-07 08:33:31:705,1,131,0,0,220,1138,131,0 32,0,2024-09-07 08:33:31:419,34675,0.6,35026,0.8,69878,0.6,93328,1.75 32,1,2024-09-07 08:33:30:805,230935,230935,0,0,108232306287,1127989250,229196,1464,275,382,391595,0 32,2,2024-09-07 08:33:30:947,167123,167123,0,0,6862074,0,3155 32,3,2024-09-07 08:33:31:016,1,131,4,0,227,1374,131,0 33,0,2024-09-07 08:33:31:512,31742,0.4,31324,0.6,63277,0.3,84019,1.75 33,1,2024-09-07 08:33:30:576,231211,231211,0,0,108351731243,1126240787,229072,1967,172,369,391730,0 33,2,2024-09-07 08:33:30:760,167372,167339,33,0,8756980,0,7012 33,3,2024-09-07 08:33:30:895,1,131,9,0,329,1617,131,0 34,0,2024-09-07 08:33:30:932,31450,0.3,32471,0.5,62280,0.2,83321,1.75 34,1,2024-09-07 08:33:31:044,231078,231078,0,0,108185219588,1117784710,230111,962,5,367,391562,0 34,2,2024-09-07 08:33:30:768,164974,164974,0,0,7513062,0,3255 34,3,2024-09-07 08:33:31:687,1,131,1,0,148,887,131,0 35,0,2024-09-07 08:33:30:868,31083,0.5,31439,0.6,62765,0.5,83485,1.75 35,1,2024-09-07 08:33:31:071,230295,230295,0,0,107821803181,1125572956,227521,2004,770,384,391589,0 35,2,2024-09-07 08:33:31:592,161814,161814,0,0,6896662,0,2653 35,3,2024-09-07 08:33:30:909,1,131,1,0,418,1843,131,0 36,0,2024-09-07 08:33:31:522,34362,1.5,34139,1.2,69014,1.8,92139,2.75 36,1,2024-09-07 08:33:30:586,229641,229641,0,0,107203645082,1130962557,225048,3306,1287,366,391759,0 36,2,2024-09-07 08:33:31:760,166466,166466,0,0,8425675,0,3303 36,3,2024-09-07 08:33:30:867,1,131,15,0,378,1679,131,0 37,0,2024-09-07 08:33:31:382,30985,0.6,31083,0.8,61828,0.6,83192,2.00 37,1,2024-09-07 08:33:30:569,228942,228935,0,7,106824026974,1132367589,224184,2734,2017,365,391518,0 37,2,2024-09-07 08:33:31:143,166595,166580,15,0,8313245,0,5815 37,3,2024-09-07 08:33:31:771,1,131,5,0,724,2379,131,0 38,0,2024-09-07 08:33:31:437,32427,0.4,31408,0.6,65638,0.4,85985,2.00 38,1,2024-09-07 08:33:31:611,230821,230821,0,0,108199543915,1136668442,227199,3051,571,368,391821,0 38,2,2024-09-07 08:33:30:768,164834,164787,47,0,9579906,0,6710 38,3,2024-09-07 08:33:31:005,1,131,1,0,603,1996,131,0 39,0,2024-09-07 08:33:31:776,33751,0.7,32982,0.8,64497,0.8,87965,2.00 39,1,2024-09-07 08:33:30:719,230298,230298,0,0,107264525940,1126092233,226525,2972,801,365,391524,0 39,2,2024-09-07 08:33:31:417,164483,164483,0,0,7434565,0,2689 39,3,2024-09-07 08:33:30:718,1,131,1,0,276,1961,131,0 40,0,2024-09-07 08:33:31:494,33251,1.2,33920,1.4,67307,1.5,90446,3.50 40,1,2024-09-07 08:33:30:576,229978,229978,0,0,107307025695,1129970237,225725,3486,767,368,391591,0 40,2,2024-09-07 08:33:31:303,165312,165311,1,0,9089684,0,5137 40,3,2024-09-07 08:33:31:143,1,131,2,0,181,1443,131,0 41,0,2024-09-07 08:33:31:027,32832,2.5,33504,2.0,64342,3.9,88062,4.50 41,1,2024-09-07 08:33:30:768,230055,230055,0,0,107987682395,1136783777,225888,3636,531,370,391742,0 41,2,2024-09-07 08:33:30:760,165237,165237,0,0,8881255,0,3356 41,3,2024-09-07 08:33:31:678,1,131,17,0,366,1845,131,0 42,0,2024-09-07 08:33:31:481,32931,0.6,33244,0.9,66284,0.5,87864,2.25 42,1,2024-09-07 08:33:31:442,228917,228917,0,0,107599754188,1136713585,224625,3360,932,380,391675,0 42,2,2024-09-07 08:33:31:137,164946,164946,0,0,8766191,0,3568 42,3,2024-09-07 08:33:31:009,1,131,1,0,446,1359,131,0 43,0,2024-09-07 08:33:30:935,32058,0.7,31310,0.9,65121,0.8,85546,2.00 43,1,2024-09-07 08:33:30:578,229926,229926,0,0,108183351600,1131922265,227000,2174,752,366,391604,0 43,2,2024-09-07 08:33:31:737,166635,166635,0,0,8276200,0,3812 43,3,2024-09-07 08:33:31:759,1,131,1,0,325,1950,131,0 44,0,2024-09-07 08:33:30:866,33604,0.6,33429,0.8,67216,0.6,90161,2.00 44,1,2024-09-07 08:33:30:564,230908,230908,0,0,107708529302,1114493508,229433,1346,129,356,391809,0 44,2,2024-09-07 08:33:31:267,162288,162288,0,0,6457866,0,1877 44,3,2024-09-07 08:33:31:098,1,131,52,0,817,1696,131,0 45,0,2024-09-07 08:33:31:770,33564,0.7,32882,0.8,69007,0.7,91100,2.00 45,1,2024-09-07 08:33:31:006,230527,230527,0,0,107924219067,1121138612,229523,994,10,382,391917,0 45,2,2024-09-07 08:33:31:268,167346,167346,0,0,7082783,0,3596 45,3,2024-09-07 08:33:30:943,1,131,12,0,226,1264,131,0 46,0,2024-09-07 08:33:30:955,31947,0.4,31890,0.6,64021,0.3,85166,1.75 46,1,2024-09-07 08:33:30:576,231039,231039,0,0,107952770422,1118685022,229559,1374,106,366,391514,0 46,2,2024-09-07 08:33:30:599,167629,167629,0,0,6982942,0,2920 46,3,2024-09-07 08:33:31:138,1,131,1,0,363,1921,131,0 47,0,2024-09-07 08:33:31:110,31631,0.3,31874,0.5,63940,0.3,84039,1.75 47,1,2024-09-07 08:33:30:567,231099,231099,0,0,107624568591,1112714459,230048,1040,11,366,391605,0 47,2,2024-09-07 08:33:30:911,166764,166764,0,0,7412861,0,2558 47,3,2024-09-07 08:33:31:115,1,131,20,0,529,1510,131,0 48,0,2024-09-07 08:33:31:489,31790,0.3,31484,0.5,63135,0.3,84363,1.75 48,1,2024-09-07 08:33:31:026,230576,230576,0,0,108720090154,1133922284,228567,1829,180,384,391710,0 48,2,2024-09-07 08:33:30:699,161357,161357,0,0,6109083,0,2083 48,3,2024-09-07 08:33:30:753,1,131,6,0,339,1334,131,0 49,0,2024-09-07 08:33:31:710,35402,0.5,34740,0.7,67702,0.5,92894,1.75 49,1,2024-09-07 08:33:31:022,229678,229678,0,0,107533879167,1126701994,226946,1892,840,382,391583,0 49,2,2024-09-07 08:33:31:797,167792,167792,0,0,7247663,0,3900 49,3,2024-09-07 08:33:31:420,1,131,18,0,408,1739,131,0 50,0,2024-09-07 08:33:31:512,31354,0.4,30771,0.6,61737,0.3,82778,1.75 50,1,2024-09-07 08:33:31:010,231391,231391,0,0,108316524319,1127934962,229012,2070,309,368,391530,0 50,2,2024-09-07 08:33:31:066,166700,166700,0,0,6943208,0,2253 50,3,2024-09-07 08:33:31:290,1,131,1,0,335,1150,131,0 51,0,2024-09-07 08:33:31:684,32704,0.3,32063,0.4,62561,0.2,84982,1.75 51,1,2024-09-07 08:33:31:680,230539,230539,0,0,109014134539,1131016487,228586,1167,786,367,391637,0 51,2,2024-09-07 08:33:31:315,164982,164982,0,0,6232114,0,2448 51,3,2024-09-07 08:33:31:032,1,131,2,0,162,844,131,0 52,0,2024-09-07 08:33:31:423,32329,0.6,32419,0.8,65013,0.6,85300,2.25 52,1,2024-09-07 08:33:30:575,229338,229338,0,0,106890777758,1134369083,223685,4500,1153,368,391722,0 52,2,2024-09-07 08:33:31:764,161381,161343,38,0,8963545,0,6742 52,3,2024-09-07 08:33:30:674,1,131,242,0,1782,3421,131,0 53,0,2024-09-07 08:33:31:747,33361,1.3,32402,1.2,67758,1.5,89422,3.00 53,1,2024-09-07 08:33:30:778,228631,228631,0,0,108193359960,1150201372,223016,3721,1894,367,391702,0 53,2,2024-09-07 08:33:31:297,167208,167208,0,0,7183946,0,2262 53,3,2024-09-07 08:33:30:698,1,131,10,0,133,1109,131,0 54,0,2024-09-07 08:33:31:617,31648,3.4,32056,1.6,63400,1.9,85078,4.50 54,1,2024-09-07 08:33:30:579,230267,230267,0,0,107988087307,1132844979,226637,3079,551,367,391532,0 54,2,2024-09-07 08:33:30:879,166144,166138,6,0,8578831,0,5382 54,3,2024-09-07 08:33:30:766,1,131,1,0,676,2768,131,0 55,0,2024-09-07 08:33:31:765,31971,0.5,32833,0.8,66775,0.4,86628,2.50 55,1,2024-09-07 08:33:30:765,229380,229380,0,0,106856732955,1125807208,224436,4157,787,367,391731,0 55,2,2024-09-07 08:33:30:729,164343,164343,0,0,8659219,0,3275 55,3,2024-09-07 08:33:30:680,1,131,4,0,304,1618,131,0 56,0,2024-09-07 08:33:31:586,33342,1.4,31576,1.1,64710,1.9,87117,2.50 56,1,2024-09-07 08:33:30:582,229567,229567,0,0,108135636884,1151471374,224451,4214,902,382,391678,0 56,2,2024-09-07 08:33:31:303,165067,165067,0,0,9204641,0,3567 56,3,2024-09-07 08:33:31:058,1,131,6,0,405,2031,131,0 57,0,2024-09-07 08:33:31:004,32769,3.0,32781,1.7,65647,4.8,88058,4.50 57,1,2024-09-07 08:33:30:987,229783,229783,0,0,107092986744,1124992944,227204,2409,170,368,391773,0 57,2,2024-09-07 08:33:31:324,164417,164417,0,0,9051464,0,3178 57,3,2024-09-07 08:33:31:738,1,131,25,0,359,2561,131,0 58,0,2024-09-07 08:33:30:574,32869,1.1,32000,1.1,66844,1.2,87993,3.00 58,1,2024-09-07 08:33:30:578,230547,230544,0,3,108240784413,1134663191,227232,2918,394,369,391516,3 58,2,2024-09-07 08:33:31:071,166314,166314,0,0,8211668,0,2549 58,3,2024-09-07 08:33:31:072,1,131,3,0,219,1127,131,0 59,0,2024-09-07 08:33:31:748,32952,0.9,32681,1.0,65474,1.0,87275,3.00 59,1,2024-09-07 08:33:30:817,230170,230170,0,0,107706985290,1132071833,226651,2597,922,369,391515,0 59,2,2024-09-07 08:33:30:584,167075,167075,0,0,7420304,0,2604 59,3,2024-09-07 08:33:31:750,1,131,1,0,1015,2708,131,0 60,0,2024-09-07 08:33:31:746,32013,0.4,32091,0.6,63676,0.4,84500,1.75 60,1,2024-09-07 08:33:30:778,231669,231669,0,0,108026007455,1122255525,230374,942,353,370,391761,0 60,2,2024-09-07 08:33:31:154,166043,166043,0,0,8384258,0,3811 60,3,2024-09-07 08:33:31:258,1,131,1,0,124,1363,131,0 61,0,2024-09-07 08:33:31:494,32243,1.4,32547,1.1,64874,2.0,86780,2.00 61,1,2024-09-07 08:33:30:779,230180,230180,0,0,108359803831,1138054376,227284,2358,538,382,391589,0 61,2,2024-09-07 08:33:31:299,162520,162520,0,0,6847413,0,2079 61,3,2024-09-07 08:33:31:686,1,131,12,0,199,1750,131,0 62,0,2024-09-07 08:33:31:736,34892,1.0,35715,1.0,68257,1.3,93020,2.00 62,1,2024-09-07 08:33:31:117,231826,231820,0,6,108240804356,1118578729,230399,1383,38,365,391715,6 62,2,2024-09-07 08:33:31:648,165694,165693,1,0,8618126,0,5555 62,3,2024-09-07 08:33:31:143,1,131,1,0,287,1073,131,0 63,0,2024-09-07 08:33:31:466,31407,0.6,31438,0.7,62906,0.6,83655,2.00 63,1,2024-09-07 08:33:30:806,231546,231540,0,6,108842343380,1129211420,230433,1087,20,381,391542,6 63,2,2024-09-07 08:33:30:762,167268,167268,0,0,6721566,0,2674 63,3,2024-09-07 08:33:31:736,1,131,1,0,667,2225,131,0 64,0,2024-09-07 08:33:31:515,31385,0.4,31266,0.6,62600,0.4,83340,1.75 64,1,2024-09-07 08:33:30:759,230572,230572,0,0,107534611704,1130058604,227132,2388,1052,371,391783,0 64,2,2024-09-07 08:33:31:144,166733,166714,19,0,7730258,0,6121 64,3,2024-09-07 08:33:31:151,1,131,1,0,265,1717,131,0 65,0,2024-09-07 08:33:31:685,30723,1.3,31215,1.1,62270,1.8,82668,2.75 65,1,2024-09-07 08:33:30:859,229612,229612,0,0,107195575346,1125515543,227221,2169,222,382,391770,0 65,2,2024-09-07 08:33:31:694,162284,162284,0,0,8057434,0,3367 65,3,2024-09-07 08:33:31:685,1,131,12,0,163,1456,131,0 66,0,2024-09-07 08:33:31:771,33990,1.0,34026,1.1,68360,1.5,91367,3.00 66,1,2024-09-07 08:33:31:293,231060,231060,0,0,108134356741,1126676479,229276,1613,171,380,391588,0 66,2,2024-09-07 08:33:31:138,168792,168792,0,0,7466945,0,4956 66,3,2024-09-07 08:33:31:088,1,131,2,0,291,1647,131,0 67,0,2024-09-07 08:33:31:418,31396,1.1,31329,1.1,62635,1.4,83289,2.75 67,1,2024-09-07 08:33:30:765,229841,229840,0,1,107346939614,1128698304,226395,2699,746,381,391787,1 67,2,2024-09-07 08:33:30:594,167483,167483,0,0,6814979,0,2889 67,3,2024-09-07 08:33:31:757,1,131,5,0,138,1212,131,0 68,0,2024-09-07 08:33:30:581,32764,0.5,32650,0.7,65143,0.4,86195,2.00 68,1,2024-09-07 08:33:30:572,229380,229380,0,0,107192466398,1130439357,226559,1804,1017,381,391953,0 68,2,2024-09-07 08:33:31:043,163549,163484,65,0,9940604,0,6698 68,3,2024-09-07 08:33:30:731,1,131,2,0,417,1760,131,0 69,0,2024-09-07 08:33:31:727,32788,0.9,33056,1.0,65587,1.1,87506,2.25 69,1,2024-09-07 08:33:31:020,228907,228907,0,0,107684306058,1145290981,225081,2624,1202,384,391611,0 69,2,2024-09-07 08:33:31:735,164155,164155,0,0,8886010,0,3701 69,3,2024-09-07 08:33:30:765,1,131,23,0,238,1724,131,0 70,0,2024-09-07 08:33:31:531,33452,2.8,33527,1.8,67359,2.3,89759,4.25 70,1,2024-09-07 08:33:30:804,230522,230522,0,0,108054123075,1128489001,228116,1944,462,367,391725,0 70,2,2024-09-07 08:33:31:324,165378,165378,0,0,8097542,0,4044 70,3,2024-09-07 08:33:30:745,1,131,1,0,854,1802,131,0 71,0,2024-09-07 08:33:31:357,32850,2.6,32903,1.9,65403,4.2,88274,4.00 71,1,2024-09-07 08:33:31:603,230194,230194,0,0,107724453413,1134088033,226140,3532,522,368,391682,0 71,2,2024-09-07 08:33:31:077,166696,166696,0,0,8010809,0,2470 71,3,2024-09-07 08:33:31:757,1,131,1,0,644,2465,131,0 72,0,2024-09-07 08:33:31:039,34568,0.5,33707,0.7,66110,0.4,89859,2.25 72,1,2024-09-07 08:33:31:032,230084,230084,0,0,107583309169,1127809078,226924,2674,486,370,391819,0 72,2,2024-09-07 08:33:31:763,164554,164554,0,0,9617213,0,2570 72,3,2024-09-07 08:33:31:755,1,131,168,0,325,2224,131,0 73,0,2024-09-07 08:33:31:111,31351,0.4,32082,0.6,65615,0.3,85297,2.00 73,1,2024-09-07 08:33:30:768,230118,230118,0,0,107694382121,1120848168,228464,1540,114,368,391627,0 73,2,2024-09-07 08:33:31:758,166627,166627,0,0,8938683,0,3482 73,3,2024-09-07 08:33:30:970,1,131,1,0,274,2362,131,0 74,0,2024-09-07 08:33:31:337,33772,0.6,34233,0.9,65470,0.7,89644,2.50 74,1,2024-09-07 08:33:30:634,230462,230462,0,0,107556595103,1126889641,227723,2120,619,382,391681,0 74,2,2024-09-07 08:33:31:002,162116,162116,0,0,8686370,0,4253 74,3,2024-09-07 08:33:31:449,1,131,11,0,246,2054,131,0 75,0,2024-09-07 08:33:31:798,34105,0.6,34011,0.9,67933,0.6,91252,2.50 75,1,2024-09-07 08:33:31:585,229787,229787,0,0,107440940199,1129556900,226668,2681,438,381,391579,0 75,2,2024-09-07 08:33:31:353,166064,166064,0,0,8437374,0,4766 75,3,2024-09-07 08:33:31:067,1,131,27,0,535,1675,131,0 76,0,2024-09-07 08:33:30:595,31970,0.4,31687,0.7,63354,0.4,85318,2.25 76,1,2024-09-07 08:33:30:811,230304,230304,0,0,107536463673,1125046322,228365,1429,510,382,391530,0 76,2,2024-09-07 08:33:31:070,168305,168305,0,0,7117507,0,3064 76,3,2024-09-07 08:33:31:143,1,131,6,0,175,1570,131,0 77,0,2024-09-07 08:33:31:705,31711,0.6,31875,0.7,63830,0.5,83963,2.00 77,1,2024-09-07 08:33:30:836,230624,230624,0,0,107349937632,1121991261,229128,1415,81,383,391808,0 77,2,2024-09-07 08:33:31:286,165970,165970,0,0,7408189,0,3890 77,3,2024-09-07 08:33:31:097,1,131,8,0,305,1837,131,0 78,0,2024-09-07 08:33:31:728,31543,0.6,31544,0.8,63263,0.6,83943,2.00 78,1,2024-09-07 08:33:30:615,230791,230791,0,0,107189898460,1116666203,228981,1604,206,367,391589,0 78,2,2024-09-07 08:33:31:406,162287,162287,0,0,6721511,0,2114 78,3,2024-09-07 08:33:31:136,1,131,0,0,181,1518,131,0 79,0,2024-09-07 08:33:31:349,33492,0.5,34147,0.8,69990,0.5,91472,2.75 79,1,2024-09-07 08:33:30:571,231319,231319,0,0,108291891645,1125093000,229306,1842,171,369,391682,0 79,2,2024-09-07 08:33:31:068,168603,168603,0,0,7060717,0,3212 79,3,2024-09-07 08:33:30:748,1,131,127,0,418,2661,131,0 80,0,2024-09-07 08:33:31:111,31062,0.8,31854,0.9,61308,0.8,83042,2.25 80,1,2024-09-07 08:33:31:631,229657,229657,0,0,107651721345,1125826830,227182,2316,159,368,391673,0 80,2,2024-09-07 08:33:31:112,167796,167796,0,0,7800503,0,4433 80,3,2024-09-07 08:33:30:578,1,131,2,0,190,2445,131,0 81,0,2024-09-07 08:33:31:545,32006,0.5,32922,0.6,63053,0.4,84691,1.75 81,1,2024-09-07 08:33:31:653,230222,230222,0,0,107216600125,1125900604,227781,2169,272,382,391879,0 81,2,2024-09-07 08:33:31:139,164543,164543,0,0,8103545,0,3993 81,3,2024-09-07 08:33:31:129,1,131,8,0,193,1434,131,0 82,0,2024-09-07 08:33:31:538,32029,0.6,32180,0.8,64648,0.6,85508,2.00 82,1,2024-09-07 08:33:30:582,230159,230155,0,4,107770998160,1128831614,227718,1861,576,382,391558,4 82,2,2024-09-07 08:33:31:694,163805,163805,0,0,7370477,0,3986 82,3,2024-09-07 08:33:31:755,1,131,3,0,227,1748,131,0 83,0,2024-09-07 08:33:31:554,33738,0.9,33588,1.0,66454,1.1,89452,2.50 83,1,2024-09-07 08:33:30:557,230538,230538,0,0,107393354503,1125284826,228246,2102,190,382,391584,0 83,2,2024-09-07 08:33:30:763,166366,166366,0,0,7379773,0,3119 83,3,2024-09-07 08:33:30:749,1,131,0,0,133,1305,131,0 84,0,2024-09-07 08:33:31:802,32369,2.0,32020,1.6,64451,1.6,86386,3.00 84,1,2024-09-07 08:33:31:045,229322,229322,0,0,107596601453,1132928399,226030,2841,451,368,391852,0 84,2,2024-09-07 08:33:30:576,166317,166317,0,0,8502280,0,3801 84,3,2024-09-07 08:33:31:141,1,131,6,1,236,1574,131,0 85,0,2024-09-07 08:33:31:010,31934,0.5,31928,0.8,67798,0.5,87742,2.25 85,1,2024-09-07 08:33:30:561,229030,229030,0,0,107510316559,1147007375,223651,4305,1074,382,391679,0 85,2,2024-09-07 08:33:30:875,165112,165112,0,0,9140291,0,3656 85,3,2024-09-07 08:33:30:685,1,131,15,0,115,1387,131,0 86,0,2024-09-07 08:33:30:883,32531,0.6,33486,0.7,64192,0.6,86998,2.00 86,1,2024-09-07 08:33:30:824,229904,229904,0,0,107531350576,1132990260,226094,3267,543,366,391961,0 86,2,2024-09-07 08:33:30:855,164519,164518,1,0,9608599,0,5004 86,3,2024-09-07 08:33:30:586,1,131,1,0,286,2033,131,0 87,0,2024-09-07 08:33:31:292,33362,2.1,33429,1.4,66607,3.1,89805,2.75 87,1,2024-09-07 08:33:30:566,229214,229214,0,0,107603446169,1133281336,226043,2781,390,366,391788,0 87,2,2024-09-07 08:33:31:066,164044,164044,0,0,7618314,0,3515 87,3,2024-09-07 08:33:31:800,1,131,33,0,335,2535,131,0 88,0,2024-09-07 08:33:31:509,33966,0.6,34101,0.7,68074,0.7,91622,2.00 88,1,2024-09-07 08:33:30:569,228807,228807,0,0,107742748573,1136594656,225054,2631,1122,367,391787,0 88,2,2024-09-07 08:33:30:700,166582,166582,0,0,8591857,0,3583 88,3,2024-09-07 08:33:31:267,1,131,36,1,435,2002,131,0 89,0,2024-09-07 08:33:31:773,33916,0.5,32911,0.7,65221,0.4,88742,1.75 89,1,2024-09-07 08:33:30:562,228679,228679,0,0,107486911888,1143418434,223541,4205,933,382,391866,0 89,2,2024-09-07 08:33:31:138,166096,166096,0,0,9009267,0,2910 89,3,2024-09-07 08:33:31:796,1,131,9,0,325,2865,131,0 90,0,2024-09-07 08:33:31:622,30911,0.4,31552,0.6,64897,0.4,84268,1.75 90,1,2024-09-07 08:33:30:592,230326,230326,0,0,106897725248,1126736985,227484,2684,158,382,391825,0 90,2,2024-09-07 08:33:31:417,164980,164980,0,0,9654767,0,3060 90,3,2024-09-07 08:33:30:938,1,131,92,1,200,1691,131,0 91,0,2024-09-07 08:33:30:946,32877,0.5,31744,0.7,65995,0.5,87080,1.75 91,1,2024-09-07 08:33:30:560,229843,229843,0,0,107286837108,1132795276,226174,3176,493,381,391960,0 91,2,2024-09-07 08:33:31:336,160546,160546,0,0,8914883,0,2445 91,3,2024-09-07 08:33:30:599,1,131,2,0,155,1561,131,0 92,0,2024-09-07 08:33:31:495,35176,0.6,35960,0.8,68936,0.7,93479,1.75 92,1,2024-09-07 08:33:30:580,230281,230281,0,0,107663211103,1127353510,228339,1547,395,382,391717,0 92,2,2024-09-07 08:33:31:361,168573,168573,0,0,7041260,0,2279 92,3,2024-09-07 08:33:31:020,1,131,6,0,68,1221,131,0 93,0,2024-09-07 08:33:30:983,31649,0.5,32361,0.7,62092,0.4,84051,1.75 93,1,2024-09-07 08:33:30:819,230330,230330,0,0,107981793525,1130105706,227906,1978,446,366,391689,0 93,2,2024-09-07 08:33:30:936,167178,167178,0,0,7241926,0,2509 93,3,2024-09-07 08:33:31:415,1,131,13,0,143,1463,131,0 94,0,2024-09-07 08:33:31:624,31484,0.3,31799,0.5,62962,0.3,83155,1.75 94,1,2024-09-07 08:33:30:601,230158,230158,0,0,107079131334,1124036917,227875,2192,91,381,391850,0 94,2,2024-09-07 08:33:30:779,165411,165411,0,0,7184280,0,2443 94,3,2024-09-07 08:33:31:689,1,131,7,0,264,2155,131,0 95,0,2024-09-07 08:33:31:351,31507,0.4,31443,0.6,63066,0.3,83458,1.75 95,1,2024-09-07 08:33:30:853,230655,230655,0,0,108107929435,1124744011,229235,1339,81,367,391600,0 95,2,2024-09-07 08:33:31:016,162240,162240,0,0,7274971,0,3308 95,3,2024-09-07 08:33:31:711,1,131,8,0,307,2126,131,0 96,0,2024-09-07 08:33:31:042,34439,0.8,34623,0.8,69041,0.8,92050,2.00 96,1,2024-09-07 08:33:31:590,229798,229798,0,0,107033399560,1125347768,227215,1942,641,385,391894,0 96,2,2024-09-07 08:33:31:272,167293,167293,0,0,7891611,0,4042 96,3,2024-09-07 08:33:31:143,1,131,18,0,188,1566,131,0 97,0,2024-09-07 08:33:31:324,31455,0.5,31465,0.6,62901,0.5,83260,1.75 97,1,2024-09-07 08:33:30:763,230094,230094,0,0,108136644507,1129520611,227339,2208,547,367,392140,0 97,2,2024-09-07 08:33:30:610,166487,166487,0,0,7276419,0,3036 97,3,2024-09-07 08:33:30:568,1,131,8,1,165,1634,131,0 98,0,2024-09-07 08:33:31:694,32683,0.3,32483,0.4,65412,0.2,86544,1.50 98,1,2024-09-07 08:33:30:574,230380,230380,0,0,108141307010,1130903252,228595,1699,86,382,391997,0 98,2,2024-09-07 08:33:30:778,165811,165811,0,0,7473219,0,3080 98,3,2024-09-07 08:33:30:699,1,131,8,1,840,2844,131,0 99,0,2024-09-07 08:33:31:451,32941,0.3,33024,0.5,66009,0.3,87801,1.75 99,1,2024-09-07 08:33:31:734,230510,230510,0,0,107149852514,1122104645,228014,1845,651,381,391744,0 99,2,2024-09-07 08:33:31:421,166059,166059,0,0,7235201,0,1973 99,3,2024-09-07 08:33:30:582,1,131,2,0,129,1029,131,0 100,0,2024-09-07 08:33:31:482,33673,1.8,33725,1.7,67435,3.0,90547,2.75 100,1,2024-09-07 08:33:30:548,228447,228447,0,0,106769172420,1140400582,223289,4228,930,381,391989,0 100,2,2024-09-07 08:33:31:819,164747,164736,11,0,8698257,0,5417 100,3,2024-09-07 08:33:31:735,1,131,2,0,559,3389,131,0 101,0,2024-09-07 08:33:31:709,33838,3.6,32882,1.9,64741,4.4,89367,3.50 101,1,2024-09-07 08:33:30:550,228916,228916,0,0,107320984772,1142600830,223785,3665,1466,368,391709,0 101,2,2024-09-07 08:33:31:757,165651,165651,0,0,9628684,0,4644 101,3,2024-09-07 08:33:30:943,1,131,20,0,448,1919,131,0 102,0,2024-09-07 08:33:30:961,32679,0.7,33953,0.8,68023,0.5,89289,2.00 102,1,2024-09-07 08:33:31:142,229386,229386,0,0,107275949256,1135535005,225450,3228,708,369,391831,0 102,2,2024-09-07 08:33:31:737,166008,165954,54,0,9204497,0,6768 102,3,2024-09-07 08:33:31:613,1,131,12,0,410,1602,131,0 103,0,2024-09-07 08:33:31:625,33070,0.5,32986,0.7,62418,0.4,85661,1.75 103,1,2024-09-07 08:33:31:630,228846,228846,0,0,107772668432,1142605772,224538,3102,1206,381,391829,0 103,2,2024-09-07 08:33:30:583,165251,165251,0,0,8236564,0,2104 103,3,2024-09-07 08:33:30:755,1,131,160,0,916,2835,131,0 104,0,2024-09-07 08:33:31:029,33115,1.6,33214,1.3,65423,2.0,89204,2.50 104,1,2024-09-07 08:33:31:615,230335,230335,0,0,107629938684,1140843549,225735,3716,884,366,391948,0 104,2,2024-09-07 08:33:31:666,161581,161581,0,0,8315398,0,3941 104,3,2024-09-07 08:33:31:415,1,131,58,1,1245,4882,131,0 105,0,2024-09-07 08:33:31:074,33792,1.0,32725,1.2,68242,1.3,90608,3.25 105,1,2024-09-07 08:33:30:562,229985,229985,0,0,107604384210,1134707267,226028,3186,771,367,391797,0 105,2,2024-09-07 08:33:31:331,166094,166094,0,0,8223628,0,3509 105,3,2024-09-07 08:33:31:304,1,131,14,1,399,2182,131,0 106,0,2024-09-07 08:33:30:946,31029,0.7,31764,0.9,64674,0.7,85247,2.25 106,1,2024-09-07 08:33:31:759,229528,229528,0,0,106678881255,1123891789,226113,3063,352,369,391767,0 106,2,2024-09-07 08:33:30:756,166787,166787,0,0,8300973,0,2795 106,3,2024-09-07 08:33:30:676,1,131,1,0,405,2194,131,0 107,0,2024-09-07 08:33:31:104,31790,0.4,31698,0.6,63090,0.4,84186,1.75 107,1,2024-09-07 08:33:30:586,229410,229410,0,0,106496567735,1125179999,226458,2726,226,381,392234,0 107,2,2024-09-07 08:33:31:293,165129,165128,1,0,8450748,0,5024 107,3,2024-09-07 08:33:31:763,1,131,4,0,353,1730,131,0 108,0,2024-09-07 08:33:31:790,31679,0.4,31903,0.6,63033,0.4,84407,1.75 108,1,2024-09-07 08:33:31:294,229975,229975,0,0,107806125944,1128423490,227676,2011,288,368,391857,0 108,2,2024-09-07 08:33:31:775,160976,160976,0,0,7636975,0,2647 108,3,2024-09-07 08:33:31:336,1,131,1,0,288,2127,131,0 109,0,2024-09-07 08:33:31:772,34828,0.5,34629,0.7,68974,0.5,93168,1.75 109,1,2024-09-07 08:33:30:583,229607,229607,0,0,107909769751,1135791614,227206,1939,462,383,391812,0 109,2,2024-09-07 08:33:30:928,166806,166806,0,0,8011583,0,3617 109,3,2024-09-07 08:33:31:149,1,131,38,1,249,2026,131,0 110,0,2024-09-07 08:33:31:770,31315,0.5,30461,0.7,63580,0.5,83091,1.75 110,1,2024-09-07 08:33:31:649,230497,230497,0,0,107463776755,1122339857,228137,1660,700,370,391667,0 110,2,2024-09-07 08:33:31:303,167535,167535,0,0,7101029,0,2915 110,3,2024-09-07 08:33:30:693,1,131,12,0,406,1999,131,0 111,0,2024-09-07 08:33:31:418,32120,0.2,31654,0.4,63335,0.2,84857,1.50 111,1,2024-09-07 08:33:31:000,231115,231115,0,0,108748354410,1131181536,229465,1318,332,382,391690,0 111,2,2024-09-07 08:33:31:116,165189,165189,0,0,8002465,0,2763 111,3,2024-09-07 08:33:30:913,1,131,64,0,300,2008,131,0 112,0,2024-09-07 08:33:30:987,32398,0.3,32360,0.5,64746,0.2,85725,1.50 112,1,2024-09-07 08:33:30:824,230583,230583,0,0,107877447434,1126753831,228259,1899,425,381,391580,0 112,2,2024-09-07 08:33:31:139,163314,163313,1,0,7911931,0,5036 112,3,2024-09-07 08:33:30:591,1,131,1,0,282,1604,131,0 113,0,2024-09-07 08:33:30:887,33552,0.4,33270,0.6,67499,0.4,90430,1.75 113,1,2024-09-07 08:33:31:686,231041,231041,0,0,108798286810,1130877197,228634,1919,488,366,391661,0 113,2,2024-09-07 08:33:31:303,167671,167671,0,0,6994710,0,3813 113,3,2024-09-07 08:33:30:684,1,131,13,0,288,2159,131,0 114,0,2024-09-07 08:33:30:885,33124,0.8,33715,0.8,65885,0.6,88048,2.00 114,1,2024-09-07 08:33:30:725,230338,230338,0,0,108014014120,1137406646,226236,2640,1462,381,391534,0 114,2,2024-09-07 08:33:30:878,166228,166228,0,0,7264461,0,3925 114,3,2024-09-07 08:33:31:280,1,131,8,0,395,1925,131,0 115,0,2024-09-07 08:33:30:555,33067,0.3,33392,0.4,66813,0.2,88522,1.50 115,1,2024-09-07 08:33:30:571,230450,230450,0,0,108081948384,1133354458,226811,2818,821,382,391602,0 115,2,2024-09-07 08:33:31:137,165929,165929,0,0,6737975,0,2152 115,3,2024-09-07 08:33:31:002,1,131,1,0,159,1035,131,0 116,0,2024-09-07 08:33:31:721,32700,0.7,32284,0.8,65037,0.7,86881,2.00 116,1,2024-09-07 08:33:30:804,228674,228674,0,0,107490911291,1150532444,223809,3113,1752,382,391677,0 116,2,2024-09-07 08:33:31:765,164057,164057,0,0,9862451,0,3529 116,3,2024-09-07 08:33:30:920,1,131,1,0,252,2087,131,0 117,0,2024-09-07 08:33:30:964,33390,2.1,33478,1.3,66476,3.2,89763,2.25 117,1,2024-09-07 08:33:31:587,229902,229902,0,0,106954976519,1128493367,226298,3178,426,370,392033,0 117,2,2024-09-07 08:33:31:123,164981,164981,0,0,7632819,0,3700 117,3,2024-09-07 08:33:31:069,1,131,10,0,490,3041,131,0 118,0,2024-09-07 08:33:31:795,33136,0.9,34072,0.9,69247,1.1,90729,2.25 118,1,2024-09-07 08:33:30:585,229282,229282,0,0,106739428400,1134328510,224666,3327,1289,368,391736,0 118,2,2024-09-07 08:33:31:589,166179,166179,0,0,8664894,0,2781 118,3,2024-09-07 08:33:31:769,1,131,3,0,235,1971,131,0 119,0,2024-09-07 08:33:31:334,32623,0.8,32793,0.9,66446,1.0,87891,2.25 119,1,2024-09-07 08:33:30:549,230143,230143,0,0,108180530534,1133749248,227776,2080,287,370,391641,0 119,2,2024-09-07 08:33:31:265,165252,165252,0,0,8144790,0,3526 119,3,2024-09-07 08:33:31:325,1,131,1,0,443,2667,131,0 120,0,2024-09-07 08:33:31:577,31537,0.5,31642,0.7,63105,0.5,84292,2.00 120,1,2024-09-07 08:33:30:860,230028,230028,0,0,107298726487,1130401719,227187,2606,235,368,391961,0 120,2,2024-09-07 08:33:30:789,165238,165237,1,0,10001783,0,5281 120,3,2024-09-07 08:33:31:290,1,131,1,0,241,1920,131,0 121,0,2024-09-07 08:33:31:696,32199,1.5,32684,1.2,64974,2.4,86927,2.25 121,1,2024-09-07 08:33:31:661,230309,230309,0,0,107707055449,1130772804,227748,2276,285,367,391840,0 121,2,2024-09-07 08:33:31:207,160712,160712,0,0,8895854,0,4127 121,3,2024-09-07 08:33:30:726,1,131,23,0,269,1915,131,0 122,0,2024-09-07 08:33:31:841,34469,1.3,33636,1.2,70263,1.4,93318,2.25 122,1,2024-09-07 08:33:30:860,229194,229194,0,0,107130414303,1131306248,225273,3260,661,366,392130,0 122,2,2024-09-07 08:33:31:318,166819,166819,0,0,10032485,0,3364 122,3,2024-09-07 08:33:30:594,1,131,144,0,320,3142,131,0 123,0,2024-09-07 08:33:30:953,31554,1.0,30629,0.9,64022,1.2,83765,2.25 123,1,2024-09-07 08:33:30:559,230622,230622,0,0,108170489734,1145360657,225769,4176,677,369,391823,0 123,2,2024-09-07 08:33:31:019,165896,165895,1,0,8675035,0,5215 123,3,2024-09-07 08:33:31:139,1,131,2,1,168,1845,131,0 124,0,2024-09-07 08:33:30:923,32412,0.3,32437,0.5,61190,0.2,83497,1.50 124,1,2024-09-07 08:33:31:026,230347,230347,0,0,107483297011,1119354976,228528,1466,353,367,392178,0 124,2,2024-09-07 08:33:31:010,165882,165882,0,0,7199960,0,3101 124,3,2024-09-07 08:33:30:761,1,131,2,0,490,2116,131,0 125,0,2024-09-07 08:33:31:429,31590,0.5,31457,0.6,63184,0.5,83501,1.75 125,1,2024-09-07 08:33:30:857,229937,229937,0,0,107930256257,1130711733,227625,2037,275,384,391702,0 125,2,2024-09-07 08:33:31:124,162475,162475,0,0,6978366,0,2180 125,3,2024-09-07 08:33:31:140,1,131,14,1,284,1823,131,0 126,0,2024-09-07 08:33:31:439,34506,0.8,35383,0.8,67692,0.9,92671,2.00 126,1,2024-09-07 08:33:30:556,230839,230839,0,0,107950119999,1122162954,229338,1424,77,365,391987,0 126,2,2024-09-07 08:33:30:617,167968,167968,0,0,7233189,0,3186 126,3,2024-09-07 08:33:30:909,1,131,2,0,136,1870,131,0 127,0,2024-09-07 08:33:31:598,31192,0.5,31480,0.6,62904,0.5,83360,1.75 127,1,2024-09-07 08:33:30:569,230422,230422,0,0,107287993108,1114659442,228667,1719,36,365,391816,0 127,2,2024-09-07 08:33:30:636,166826,166826,0,0,6733918,0,1803 127,3,2024-09-07 08:33:31:276,1,131,9,0,99,946,131,0 128,0,2024-09-07 08:33:31:540,32850,0.3,32712,0.5,65219,0.2,86543,1.50 128,1,2024-09-07 08:33:31:608,230854,230854,0,0,108076315510,1122228628,229921,862,71,367,391680,0 128,2,2024-09-07 08:33:31:384,164336,164336,0,0,7241137,0,2107 128,3,2024-09-07 08:33:30:767,1,131,2,0,333,2134,131,0 129,0,2024-09-07 08:33:31:002,33199,0.3,33045,0.5,65929,0.3,87708,1.75 129,1,2024-09-07 08:33:30:593,229591,229591,0,0,107479090988,1131108713,226685,2514,392,379,391835,0 129,2,2024-09-07 08:33:30:686,164360,164360,0,0,7467069,0,4031 129,3,2024-09-07 08:33:30:692,1,131,9,1,173,1780,131,0 130,0,2024-09-07 08:33:31:754,34273,1.0,33949,0.9,68235,1.4,91615,2.00 130,1,2024-09-07 08:33:30:583,230297,230297,0,0,107594844515,1125717062,228251,1977,69,381,391825,0 130,2,2024-09-07 08:33:31:130,165533,165533,0,0,7192936,0,4067 130,3,2024-09-07 08:33:31:290,1,131,0,0,207,1262,131,0 131,0,2024-09-07 08:33:31:928,33622,0.7,33665,0.8,68121,0.9,89851,1.75 131,1,2024-09-07 08:33:31:820,231235,231235,0,0,107701208571,1124372680,229788,1241,206,384,391865,0 131,2,2024-09-07 08:33:30:568,166807,166807,0,0,6849581,0,2415 131,3,2024-09-07 08:33:31:689,1,131,2,0,392,1695,131,0 132,0,2024-09-07 08:33:31:431,33081,0.5,33659,0.8,67402,0.5,89627,2.00 132,1,2024-09-07 08:33:30:579,228490,228490,0,0,106653095492,1137020456,223100,4362,1028,381,391760,0 132,2,2024-09-07 08:33:30:707,165130,165130,0,0,10000494,0,4606 132,3,2024-09-07 08:33:31:691,1,131,2,0,356,2672,131,0 133,0,2024-09-07 08:33:31:524,31322,0.4,32007,0.6,65659,0.4,84954,1.75 133,1,2024-09-07 08:33:30:587,228448,228448,0,0,107566169211,1143417973,223973,3837,638,383,391755,0 133,2,2024-09-07 08:33:31:099,165334,165334,0,0,9879651,0,4315 133,3,2024-09-07 08:33:31:304,1,131,11,1,187,1249,131,0 134,0,2024-09-07 08:33:30:961,33385,0.7,33177,0.9,67120,0.7,89594,2.00 134,1,2024-09-07 08:33:30:584,229122,229122,0,0,107225844726,1132567461,224786,3117,1219,366,391718,0 134,2,2024-09-07 08:33:31:759,162015,162015,0,0,7895891,0,3096 134,3,2024-09-07 08:33:30:749,1,131,0,0,739,2839,131,0 135,0,2024-09-07 08:33:31:124,33146,1.2,33061,1.2,69855,1.4,90200,2.50 135,1,2024-09-07 08:33:31:585,229170,229170,0,0,107026519644,1133040064,225167,3302,701,380,391725,0 135,2,2024-09-07 08:33:30:691,166982,166982,0,0,8802195,0,3981 135,3,2024-09-07 08:33:31:017,1,131,1,0,89,815,131,0 136,0,2024-09-07 08:33:31:619,32309,0.6,32098,0.8,64702,0.6,86272,2.00 136,1,2024-09-07 08:33:31:441,229278,229278,0,0,107122997662,1127039254,226186,2921,171,384,391641,0 136,2,2024-09-07 08:33:31:137,168138,168138,0,0,8526394,0,3506 136,3,2024-09-07 08:33:31:116,1,131,11,0,108,1174,131,0 137,0,2024-09-07 08:33:30:927,32504,0.5,31644,0.7,62585,0.5,84402,2.00 137,1,2024-09-07 08:33:30:613,229865,229865,0,0,107665534926,1130891044,226342,3211,312,367,391608,0 137,2,2024-09-07 08:33:31:736,165325,165325,0,0,9677116,0,3185 137,3,2024-09-07 08:33:30:782,1,131,14,1,227,1703,131,0 138,0,2024-09-07 08:33:31:745,31064,1.9,31237,1.3,62994,2.8,84284,2.50 138,1,2024-09-07 08:33:31:702,230373,230373,0,0,107967720174,1133761070,227400,2624,349,368,391954,0 138,2,2024-09-07 08:33:30:587,162129,162129,0,0,7651199,0,3263 138,3,2024-09-07 08:33:30:609,1,131,0,0,1160,2514,131,0 139,0,2024-09-07 08:33:31:359,33746,3.1,33763,1.8,68294,4.3,91576,3.25 139,1,2024-09-07 08:33:30:572,229035,229035,0,0,106728557692,1138580376,224237,3484,1314,381,391892,0 139,2,2024-09-07 08:33:30:692,165826,165826,0,0,8916128,0,3097 139,3,2024-09-07 08:33:31:668,1,131,12,0,244,1720,131,0 140,0,2024-09-07 08:33:31:601,31390,0.4,31242,0.6,62648,0.3,83149,1.75 140,1,2024-09-07 08:33:31:538,231126,231126,0,0,108311601461,1119923668,229713,1174,239,365,391606,0 140,2,2024-09-07 08:33:30:686,167676,167676,0,0,7581469,0,3388 140,3,2024-09-07 08:33:30:774,1,131,1,0,247,1221,131,0 141,0,2024-09-07 08:33:31:702,31689,0.2,32716,0.4,62831,0.1,84784,1.50 141,1,2024-09-07 08:33:30:859,230705,230705,0,0,108100135488,1124719308,228978,1375,352,379,391539,0 141,2,2024-09-07 08:33:31:686,165300,165300,0,0,6867487,0,2342 141,3,2024-09-07 08:33:31:042,1,131,7,0,147,1178,131,0 142,0,2024-09-07 08:33:31:314,32736,0.3,32456,0.5,64419,0.3,85875,1.75 142,1,2024-09-07 08:33:30:585,230452,230452,0,0,108015603171,1127229681,229293,1025,134,383,391649,0 142,2,2024-09-07 08:33:31:311,162039,162007,32,0,8226279,0,6028 142,3,2024-09-07 08:33:31:759,1,131,5,0,484,2095,131,0 143,0,2024-09-07 08:33:31:384,33730,0.7,33820,0.7,67953,0.8,90343,2.00 143,1,2024-09-07 08:33:30:559,230365,230365,0,0,107546604960,1120284353,228314,2010,41,367,391619,0 143,2,2024-09-07 08:33:30:786,166676,166676,0,0,7405213,0,2669 143,3,2024-09-07 08:33:31:141,1,131,4,0,303,2344,131,0 144,0,2024-09-07 08:33:31:497,31616,1.2,32475,1.9,65851,1.5,86696,2.50 144,1,2024-09-07 08:33:30:565,229535,229535,0,0,107408183058,1131321611,227249,2083,203,381,391649,0 144,2,2024-09-07 08:33:31:760,167007,167007,0,0,7267944,0,3473 144,3,2024-09-07 08:33:31:739,1,131,2,0,249,1907,131,0 145,0,2024-09-07 08:33:31:364,32003,0.6,32031,0.8,67941,0.5,88052,2.25 145,1,2024-09-07 08:33:30:563,228181,228181,0,0,106896865191,1134749042,223877,3570,734,383,391615,0 145,2,2024-09-07 08:33:31:429,164844,164844,0,0,8571967,0,3903 145,3,2024-09-07 08:33:30:900,1,131,9,0,151,1700,131,0 146,0,2024-09-07 08:33:31:623,32450,0.5,32289,0.7,65327,0.5,86513,2.00 146,1,2024-09-07 08:33:31:589,230642,230642,0,0,107469145682,1132859291,226435,3408,799,368,391600,0 146,2,2024-09-07 08:33:31:702,165237,165237,0,0,8145774,0,2498 146,3,2024-09-07 08:33:31:280,1,131,215,0,1520,4841,131,0 147,0,2024-09-07 08:33:31:712,33412,1.5,33352,1.2,65948,2.0,89567,2.75 147,1,2024-09-07 08:33:31:374,231251,231251,0,0,107916113106,1124842717,228951,1908,392,368,391791,0 147,2,2024-09-07 08:33:31:010,164224,164224,0,0,7267854,0,2789 147,3,2024-09-07 08:33:30:925,1,131,2,0,371,1717,131,0 0,0,2024-09-07 08:33:41:712,30885,0.5,30935,0.7,65388,0.5,84681,1.75 0,1,2024-09-07 08:33:40:802,231715,231715,0,0,109266457004,1146699675,229995,1588,132,372,391692,0 0,2,2024-09-07 08:33:41:067,167155,167155,0,0,7960085,0,4480 0,3,2024-09-07 08:33:40:974,1,132,1,0,247,1863,132,0 1,0,2024-09-07 08:33:41:753,32730,1.9,32483,1.5,65343,2.5,87392,2.50 1,1,2024-09-07 08:33:40:590,230937,230937,0,0,108272085493,1142173199,227511,2561,865,372,391857,0 1,2,2024-09-07 08:33:40:640,162693,162693,0,0,6981820,0,3267 1,3,2024-09-07 08:33:41:306,1,132,8,0,262,1765,132,0 2,0,2024-09-07 08:33:41:598,34962,1.0,35086,1.0,69721,1.2,93559,2.25 2,1,2024-09-07 08:33:40:859,231563,231563,0,0,108884488270,1135600890,230149,1208,206,380,391558,0 2,2,2024-09-07 08:33:41:274,167800,167800,0,0,7760273,0,3594 2,3,2024-09-07 08:33:40:693,1,132,1,0,357,1612,132,0 3,0,2024-09-07 08:33:41:747,31405,0.6,31477,0.8,63060,0.6,83554,2.00 3,1,2024-09-07 08:33:41:618,231607,231607,0,0,108355009617,1136226107,228504,2679,424,382,391516,0 3,2,2024-09-07 08:33:41:141,168597,168574,23,0,7927314,0,5851 3,3,2024-09-07 08:33:41:753,1,132,0,0,103,909,132,0 4,0,2024-09-07 08:33:41:783,30739,0.3,31433,0.5,63919,0.2,83579,1.75 4,1,2024-09-07 08:33:40:594,231738,231738,0,0,107832561983,1139642079,228785,2433,520,371,391846,0 4,2,2024-09-07 08:33:41:019,165745,165745,0,0,9449341,0,4528 4,3,2024-09-07 08:33:41:030,1,132,1,0,287,2009,132,0 5,0,2024-09-07 08:33:41:394,31640,0.6,31464,0.7,63173,0.7,83660,1.75 5,1,2024-09-07 08:33:40:755,230939,230939,0,0,108500365502,1150026793,226819,3135,985,368,392005,0 5,2,2024-09-07 08:33:41:830,162491,162491,0,0,7947003,0,2259 5,3,2024-09-07 08:33:41:736,1,132,9,0,238,2191,132,0 6,0,2024-09-07 08:33:40:936,35217,1.3,34818,1.2,69303,1.9,93782,2.75 6,1,2024-09-07 08:33:40:747,231782,231782,0,0,108729351866,1143827499,228586,2549,647,381,391617,0 6,2,2024-09-07 08:33:41:126,168860,168860,0,0,8525266,0,4816 6,3,2024-09-07 08:33:41:275,1,132,5,0,340,2121,132,0 7,0,2024-09-07 08:33:41:532,30834,0.6,30903,0.8,62029,0.6,82565,2.00 7,1,2024-09-07 08:33:40:851,231768,231768,0,0,108233268011,1138663149,229051,2586,131,382,391664,0 7,2,2024-09-07 08:33:40:770,167458,167458,0,0,7573986,0,2981 7,3,2024-09-07 08:33:40:851,1,132,1,0,305,1506,132,0 8,0,2024-09-07 08:33:41:327,32634,0.3,32811,0.5,64938,0.2,86729,1.50 8,1,2024-09-07 08:33:41:027,230907,230907,0,0,108325621841,1149905069,226036,3416,1455,368,391956,0 8,2,2024-09-07 08:33:40:795,164339,164339,0,0,8972344,0,2986 8,3,2024-09-07 08:33:40:589,1,132,3,0,357,2284,132,0 9,0,2024-09-07 08:33:41:108,33175,0.4,32244,0.5,67317,0.3,88096,1.75 9,1,2024-09-07 08:33:40:549,231302,231302,0,0,108898160555,1155017818,226862,3316,1124,370,391753,0 9,2,2024-09-07 08:33:41:084,164888,164888,0,0,8498462,0,3360 9,3,2024-09-07 08:33:41:753,1,132,7,0,496,2121,132,0 10,0,2024-09-07 08:33:41:608,34483,0.4,34437,0.7,68860,0.4,92154,1.75 10,1,2024-09-07 08:33:40:582,231371,231371,0,0,107671611246,1135585339,227240,3543,588,381,391741,0 10,2,2024-09-07 08:33:40:762,167314,167314,0,0,8655664,0,2940 10,3,2024-09-07 08:33:40:876,1,132,17,0,177,1135,132,0 11,0,2024-09-07 08:33:41:009,32915,1.3,32131,1.2,67452,2.8,89763,2.50 11,1,2024-09-07 08:33:40:576,231062,231062,0,0,108509481819,1146258495,226253,3633,1176,384,391537,0 11,2,2024-09-07 08:33:41:128,167269,167269,0,0,8130936,0,3411 11,3,2024-09-07 08:33:41:301,1,132,6,0,720,2760,132,0 12,0,2024-09-07 08:33:40:947,34143,0.3,33862,0.5,67990,0.3,90462,1.50 12,1,2024-09-07 08:33:40:934,231572,231572,0,0,108204512639,1135213551,228760,2402,410,370,391837,0 12,2,2024-09-07 08:33:41:546,167663,167663,0,0,8281896,0,3469 12,3,2024-09-07 08:33:41:059,1,132,28,0,358,2467,132,0 13,0,2024-09-07 08:33:41:334,32343,0.4,32514,0.5,64766,0.3,86083,1.75 13,1,2024-09-07 08:33:41:525,232119,232119,0,0,108505473022,1141066995,230133,1609,377,384,391717,0 13,2,2024-09-07 08:33:40:616,167496,167496,0,0,7725859,0,3287 13,3,2024-09-07 08:33:41:762,1,132,7,1,467,2930,132,0 14,0,2024-09-07 08:33:40:564,34028,0.5,34059,0.7,67669,0.5,90770,2.00 14,1,2024-09-07 08:33:41:567,233129,233129,0,0,108239520621,1126537901,231112,1855,162,364,391571,0 14,2,2024-09-07 08:33:40:765,164119,164119,0,0,7591333,0,2896 14,3,2024-09-07 08:33:41:125,1,132,4,0,1168,2811,132,0 15,0,2024-09-07 08:33:41:560,34232,0.6,34217,0.8,68770,0.6,91294,2.00 15,1,2024-09-07 08:33:41:609,231618,231618,0,0,108107483696,1127950844,230114,1443,61,381,391536,0 15,2,2024-09-07 08:33:41:000,168481,168481,0,0,6412642,0,3043 15,3,2024-09-07 08:33:41:405,1,132,99,0,1126,4178,132,0 16,0,2024-09-07 08:33:40:973,32354,0.6,32774,0.8,64861,0.6,86969,2.25 16,1,2024-09-07 08:33:40:563,231889,231889,0,0,108283629191,1137071179,229686,1944,259,372,391756,0 16,2,2024-09-07 08:33:41:445,167255,167255,0,0,8674227,0,4719 16,3,2024-09-07 08:33:41:142,1,132,1,0,231,1980,132,0 17,0,2024-09-07 08:33:41:771,32882,0.4,32034,0.6,62860,0.4,84762,1.75 17,1,2024-09-07 08:33:40:572,231090,231090,0,0,107760063342,1139447826,227744,2675,671,368,391688,0 17,2,2024-09-07 08:33:41:673,168364,168364,0,0,7879621,0,2857 17,3,2024-09-07 08:33:40:574,1,132,8,0,268,2300,132,0 18,0,2024-09-07 08:33:40:955,31250,1.0,31647,1.0,63302,1.2,84699,2.50 18,1,2024-09-07 08:33:41:638,231509,231509,0,0,108451707361,1130154021,229614,1661,234,368,391564,0 18,2,2024-09-07 08:33:41:756,162675,162675,0,0,7724865,0,3541 18,3,2024-09-07 08:33:40:896,1,132,1,0,163,1541,132,0 19,0,2024-09-07 08:33:41:548,34591,1.0,34865,1.1,68972,1.2,92229,3.00 19,1,2024-09-07 08:33:40:570,232281,232281,0,0,108496118073,1136117817,228817,2772,692,367,391777,0 19,2,2024-09-07 08:33:41:753,169203,169203,0,0,7048512,0,3988 19,3,2024-09-07 08:33:41:129,1,132,0,0,524,1422,132,0 20,0,2024-09-07 08:33:41:364,31341,0.8,31312,0.9,62604,0.9,83292,2.50 20,1,2024-09-07 08:33:40:571,231546,231546,0,0,108705269323,1146313363,228152,3005,389,369,391822,0 20,2,2024-09-07 08:33:40:928,168493,168493,0,0,8080608,0,3721 20,3,2024-09-07 08:33:40:589,1,132,0,0,414,2756,132,0 21,0,2024-09-07 08:33:41:131,32323,0.4,32271,0.5,64240,0.3,84876,1.75 21,1,2024-09-07 08:33:41:537,230750,230750,0,0,107573905724,1138985121,227240,2979,531,368,391962,0 21,2,2024-09-07 08:33:41:067,166163,166163,0,0,8858025,0,3747 21,3,2024-09-07 08:33:41:404,1,132,22,0,103,1815,132,0 22,0,2024-09-07 08:33:41:718,32035,0.9,32158,1.0,63907,0.9,84783,2.25 22,1,2024-09-07 08:33:41:024,231100,231100,0,0,108173678089,1149358867,225628,3963,1509,382,391667,0 22,2,2024-09-07 08:33:40:762,163880,163880,0,0,7477213,0,3134 22,3,2024-09-07 08:33:41:066,1,132,4,0,228,1498,132,0 23,0,2024-09-07 08:33:41:368,33686,0.9,33901,1.0,68102,1.1,90683,2.50 23,1,2024-09-07 08:33:41:012,232135,232135,0,0,108242466018,1139901756,228113,2540,1482,365,391549,0 23,2,2024-09-07 08:33:41:092,167996,167996,0,0,7840097,0,3010 23,3,2024-09-07 08:33:41:753,1,132,0,0,645,1723,132,0 24,0,2024-09-07 08:33:40:821,33326,0.6,33341,0.7,66696,0.7,88200,1.75 24,1,2024-09-07 08:33:40:584,231614,231614,0,0,108435198504,1139078111,229051,1798,765,369,391640,0 24,2,2024-09-07 08:33:41:082,167103,167103,0,0,9074366,0,2942 24,3,2024-09-07 08:33:41:698,1,132,2,0,234,1687,132,0 25,0,2024-09-07 08:33:41:384,34306,0.4,33411,0.6,65520,0.3,89318,1.75 25,1,2024-09-07 08:33:40:576,231539,231539,0,0,108323515402,1143805374,227878,3120,541,371,391788,0 25,2,2024-09-07 08:33:41:606,165284,165284,0,0,9039086,0,3978 25,3,2024-09-07 08:33:41:000,1,132,8,0,158,1533,132,0 26,0,2024-09-07 08:33:41:729,32577,0.4,31906,0.6,66959,0.3,87748,1.75 26,1,2024-09-07 08:33:41:559,232051,232051,0,0,108118511326,1142544854,227441,3709,901,381,391564,0 26,2,2024-09-07 08:33:40:864,166242,166242,0,0,8321399,0,2809 26,3,2024-09-07 08:33:41:721,1,132,8,0,796,2120,132,0 27,0,2024-09-07 08:33:41:728,33979,0.6,34093,0.7,67537,0.7,90660,1.75 27,1,2024-09-07 08:33:41:676,232814,232814,0,0,109198023731,1137764336,231016,1475,323,381,391539,0 27,2,2024-09-07 08:33:40:867,164296,164296,0,0,8892634,0,3409 27,3,2024-09-07 08:33:41:015,1,132,1,0,564,1697,132,0 28,0,2024-09-07 08:33:41:395,34159,0.9,34541,0.9,69226,1.1,92575,2.50 28,1,2024-09-07 08:33:40:801,232090,232090,0,0,109161722346,1139366944,230433,1446,211,383,391646,0 28,2,2024-09-07 08:33:41:771,168200,168200,0,0,6723175,0,2915 28,3,2024-09-07 08:33:41:780,1,132,1,0,502,1679,132,0 29,0,2024-09-07 08:33:41:363,34033,0.4,33281,0.6,65189,0.3,89347,1.75 29,1,2024-09-07 08:33:41:584,233125,233125,0,0,108647779893,1129325751,230959,1635,531,369,391621,0 29,2,2024-09-07 08:33:40:861,167947,167947,0,0,6567453,0,4018 29,3,2024-09-07 08:33:40:972,1,132,10,0,105,1122,132,0 30,0,2024-09-07 08:33:41:457,31778,0.6,30934,0.8,64751,0.6,84758,2.25 30,1,2024-09-07 08:33:40:572,232370,232370,0,0,109174548060,1137458044,230495,1597,278,382,391672,0 30,2,2024-09-07 08:33:41:276,168149,168149,0,0,6812390,0,3161 30,3,2024-09-07 08:33:40:582,1,132,7,0,195,954,132,0 31,0,2024-09-07 08:33:41:760,32454,0.7,32492,0.8,65709,0.7,87269,2.00 31,1,2024-09-07 08:33:40:564,233697,233697,0,0,109112646210,1120043279,233080,551,66,356,391553,0 31,2,2024-09-07 08:33:41:280,163339,163339,0,0,8154515,0,3525 31,3,2024-09-07 08:33:41:708,1,132,2,0,220,1140,132,0 32,0,2024-09-07 08:33:41:424,35077,0.6,35423,0.7,70639,0.5,94328,1.75 32,1,2024-09-07 08:33:40:804,232625,232625,0,0,108858757116,1134486786,230886,1464,275,382,391595,0 32,2,2024-09-07 08:33:40:936,168359,168359,0,0,6912797,0,3155 32,3,2024-09-07 08:33:41:015,1,132,4,0,227,1378,132,0 33,0,2024-09-07 08:33:41:499,31908,0.4,31493,0.6,63622,0.3,84513,1.75 33,1,2024-09-07 08:33:40:585,232972,232972,0,0,109085720598,1134013360,230821,1979,172,369,391730,0 33,2,2024-09-07 08:33:40:766,168672,168639,33,0,8781884,0,7012 33,3,2024-09-07 08:33:40:898,1,132,19,0,329,1636,132,0 34,0,2024-09-07 08:33:40:931,31534,0.3,32572,0.5,62486,0.2,83571,1.75 34,1,2024-09-07 08:33:41:044,232909,232909,0,0,109176042315,1128292300,231916,988,5,367,391562,0 34,2,2024-09-07 08:33:40:766,166285,166285,0,0,7546523,0,3255 34,3,2024-09-07 08:33:41:689,1,132,3,0,148,890,132,0 35,0,2024-09-07 08:33:40:871,31212,0.5,31573,0.6,62992,0.5,83858,1.75 35,1,2024-09-07 08:33:41:067,232057,232057,0,0,108684210852,1134402074,229283,2004,770,384,391589,0 35,2,2024-09-07 08:33:41:589,162847,162847,0,0,6915216,0,2653 35,3,2024-09-07 08:33:40:913,1,132,1,0,418,1844,132,0 36,0,2024-09-07 08:33:41:524,34877,1.4,34606,1.2,69991,1.8,93450,2.75 36,1,2024-09-07 08:33:40:583,231379,231379,0,0,107937165911,1138670664,226786,3306,1287,366,391759,0 36,2,2024-09-07 08:33:41:753,167809,167809,0,0,8579867,0,3303 36,3,2024-09-07 08:33:40:863,1,132,21,0,378,1700,132,0 37,0,2024-09-07 08:33:41:368,31091,0.6,31202,0.8,62051,0.6,83482,2.00 37,1,2024-09-07 08:33:40:570,230702,230695,0,7,107580060463,1140421725,225940,2738,2017,365,391518,0 37,2,2024-09-07 08:33:41:141,168096,168081,15,0,8448674,0,5815 37,3,2024-09-07 08:33:41:766,1,132,1,0,724,2380,132,0 38,0,2024-09-07 08:33:41:437,32527,0.4,31495,0.6,65824,0.4,86242,2.00 38,1,2024-09-07 08:33:41:606,232652,232652,0,0,108930378917,1144818361,229007,3074,571,368,391821,0 38,2,2024-09-07 08:33:40:760,166154,166107,47,0,9769321,0,6710 38,3,2024-09-07 08:33:40:997,1,132,1,0,603,1997,132,0 39,0,2024-09-07 08:33:41:764,33943,0.7,33168,0.7,64878,0.7,88381,2.00 39,1,2024-09-07 08:33:40:716,232089,232089,0,0,108039122437,1134311595,228303,2985,801,365,391524,0 39,2,2024-09-07 08:33:41:417,165120,165120,0,0,7452312,0,2689 39,3,2024-09-07 08:33:40:717,1,132,6,0,276,1967,132,0 40,0,2024-09-07 08:33:41:490,33682,1.2,34375,1.4,68153,1.4,91610,3.25 40,1,2024-09-07 08:33:40:597,231802,231802,0,0,108112440095,1138352892,227549,3486,767,368,391591,0 40,2,2024-09-07 08:33:41:307,166688,166687,1,0,9171879,0,5137 40,3,2024-09-07 08:33:41:141,1,132,1,0,181,1444,132,0 41,0,2024-09-07 08:33:41:025,32954,2.5,33620,2.0,64585,3.9,88353,4.50 41,1,2024-09-07 08:33:40:768,231787,231787,0,0,108740612944,1144928112,227611,3645,531,370,391742,0 41,2,2024-09-07 08:33:40:759,166577,166577,0,0,9003560,0,3356 41,3,2024-09-07 08:33:41:680,1,132,8,0,366,1853,132,0 42,0,2024-09-07 08:33:41:475,33220,0.6,33532,0.9,66859,0.5,88630,2.25 42,1,2024-09-07 08:33:41:448,230698,230698,0,0,108321011765,1145143729,226228,3512,958,380,391675,0 42,2,2024-09-07 08:33:41:133,166030,166030,0,0,8825245,0,3568 42,3,2024-09-07 08:33:41:009,1,132,1,0,446,1360,132,0 43,0,2024-09-07 08:33:40:920,32271,0.7,31528,0.9,65586,0.8,86187,2.00 43,1,2024-09-07 08:33:40:576,231682,231682,0,0,108789919962,1138832811,228694,2236,752,366,391604,0 43,2,2024-09-07 08:33:41:736,167612,167612,0,0,8323946,0,3812 43,3,2024-09-07 08:33:41:752,1,132,2,0,325,1952,132,0 44,0,2024-09-07 08:33:40:858,34078,0.6,33896,0.8,68122,0.6,91256,2.00 44,1,2024-09-07 08:33:40:568,232692,232692,0,0,108606708260,1123763321,231217,1346,129,356,391809,0 44,2,2024-09-07 08:33:41:291,163777,163777,0,0,6518109,0,1877 44,3,2024-09-07 08:33:41:092,1,132,7,0,817,1703,132,0 45,0,2024-09-07 08:33:41:764,33828,0.7,33143,0.8,69615,0.7,91827,2.00 45,1,2024-09-07 08:33:41:012,232251,232251,0,0,109044582296,1132693521,231247,994,10,382,391917,0 45,2,2024-09-07 08:33:41:277,168716,168716,0,0,7147816,0,3596 45,3,2024-09-07 08:33:40:937,1,132,8,0,226,1272,132,0 46,0,2024-09-07 08:33:40:952,32282,0.4,32253,0.6,64712,0.3,86108,1.75 46,1,2024-09-07 08:33:40:582,232838,232838,0,0,108799200711,1127533726,231356,1376,106,366,391514,0 46,2,2024-09-07 08:33:40:593,168653,168653,0,0,7051780,0,2920 46,3,2024-09-07 08:33:41:130,1,132,18,0,363,1939,132,0 47,0,2024-09-07 08:33:41:101,31808,0.3,32032,0.5,64283,0.3,84492,1.75 47,1,2024-09-07 08:33:40:571,232839,232839,0,0,108268026579,1119590764,231788,1040,11,366,391605,0 47,2,2024-09-07 08:33:40:913,168050,168050,0,0,7533234,0,2558 47,3,2024-09-07 08:33:41:117,1,132,1,0,529,1511,132,0 48,0,2024-09-07 08:33:41:502,32129,0.3,31808,0.5,63830,0.3,85257,1.75 48,1,2024-09-07 08:33:41:023,232383,232383,0,0,109519303769,1142286651,230374,1829,180,384,391710,0 48,2,2024-09-07 08:33:40:704,162544,162544,0,0,6165299,0,2083 48,3,2024-09-07 08:33:40:753,1,132,1,0,339,1335,132,0 49,0,2024-09-07 08:33:41:720,35834,0.5,35173,0.7,68587,0.5,94009,1.75 49,1,2024-09-07 08:33:41:021,231404,231404,0,0,108463026985,1136380519,228672,1892,840,382,391583,0 49,2,2024-09-07 08:33:41:797,169032,169032,0,0,7305630,0,3900 49,3,2024-09-07 08:33:41:417,1,132,13,0,408,1752,132,0 50,0,2024-09-07 08:33:41:514,31457,0.4,30893,0.6,61986,0.3,83114,1.75 50,1,2024-09-07 08:33:41:010,233173,233173,0,0,109132253712,1136282628,230794,2070,309,368,391530,0 50,2,2024-09-07 08:33:41:067,168214,168214,0,0,6992183,0,2253 50,3,2024-09-07 08:33:41:297,1,132,115,0,335,1265,132,0 51,0,2024-09-07 08:33:41:684,32813,0.3,32190,0.4,62810,0.2,85296,1.75 51,1,2024-09-07 08:33:41:680,232233,232233,0,0,109621748860,1137247992,230280,1167,786,367,391637,0 51,2,2024-09-07 08:33:41:318,166399,166399,0,0,6299928,0,2448 51,3,2024-09-07 08:33:41:026,1,132,0,0,162,844,132,0 52,0,2024-09-07 08:33:41:429,32334,0.6,32431,0.8,65033,0.6,85328,2.25 52,1,2024-09-07 08:33:40:582,231063,231063,0,0,107528449424,1141125327,225410,4500,1153,368,391722,0 52,2,2024-09-07 08:33:41:754,162126,162088,38,0,8993252,0,6742 52,3,2024-09-07 08:33:40:674,1,132,1,0,1782,3422,132,0 53,0,2024-09-07 08:33:41:740,33715,1.3,32758,1.1,68477,1.5,90334,3.00 53,1,2024-09-07 08:33:40:776,230521,230521,0,0,108863469387,1157326939,224906,3721,1894,367,391702,0 53,2,2024-09-07 08:33:41:302,168637,168637,0,0,7286168,0,2262 53,3,2024-09-07 08:33:40:697,1,132,8,0,133,1117,132,0 54,0,2024-09-07 08:33:41:628,31750,3.3,32168,1.6,63589,1.8,85348,4.50 54,1,2024-09-07 08:33:40:580,231995,231995,0,0,108697304940,1140265632,228365,3079,551,367,391532,0 54,2,2024-09-07 08:33:40:867,167722,167716,6,0,8770970,0,5382 54,3,2024-09-07 08:33:40:763,1,132,1,0,676,2769,132,0 55,0,2024-09-07 08:33:41:765,32175,0.5,33037,0.8,67223,0.4,87197,2.50 55,1,2024-09-07 08:33:40:764,231152,231152,0,0,107783630426,1135835908,226138,4227,787,367,391731,0 55,2,2024-09-07 08:33:40:729,165492,165492,0,0,8697069,0,3275 55,3,2024-09-07 08:33:40:673,1,132,3,0,304,1621,132,0 56,0,2024-09-07 08:33:41:557,33677,1.4,31869,1.2,65327,1.9,87784,2.50 56,1,2024-09-07 08:33:40:587,231399,231399,0,0,108968018974,1160266816,226283,4214,902,382,391678,0 56,2,2024-09-07 08:33:41:307,165949,165949,0,0,9325556,0,3567 56,3,2024-09-07 08:33:41:065,1,132,59,0,405,2090,132,0 57,0,2024-09-07 08:33:40:963,33066,3.0,33063,1.7,66136,4.8,88744,4.25 57,1,2024-09-07 08:33:41:022,231501,231501,0,0,107813780127,1132572863,228921,2410,170,368,391773,0 57,2,2024-09-07 08:33:41:318,165868,165868,0,0,9242786,0,3178 57,3,2024-09-07 08:33:41:741,1,132,15,0,359,2576,132,0 58,0,2024-09-07 08:33:40:599,33259,1.3,32383,1.2,67687,1.9,88936,3.00 58,1,2024-09-07 08:33:40:586,232322,232319,0,3,109139828753,1144629683,228948,2976,395,369,391516,3 58,2,2024-09-07 08:33:41:071,167628,167628,0,0,8333651,0,2549 58,3,2024-09-07 08:33:41:073,1,132,1,0,219,1128,132,0 59,0,2024-09-07 08:33:41:780,33270,0.9,33027,1.0,66080,1.0,88115,3.00 59,1,2024-09-07 08:33:40:817,231927,231927,0,0,108323547340,1138654337,228408,2597,922,369,391515,0 59,2,2024-09-07 08:33:40:600,168203,168203,0,0,7510195,0,2604 59,3,2024-09-07 08:33:41:737,1,132,1,0,1015,2709,132,0 60,0,2024-09-07 08:33:41:708,32148,0.4,32226,0.6,63975,0.4,84924,1.75 60,1,2024-09-07 08:33:40:774,233435,233435,0,0,108731461017,1129622620,232140,942,353,370,391761,0 60,2,2024-09-07 08:33:41:141,167324,167324,0,0,8451164,0,3811 60,3,2024-09-07 08:33:41:264,1,132,120,0,124,1483,132,0 61,0,2024-09-07 08:33:41:500,32477,1.4,32770,1.1,65339,2.0,87422,2.00 61,1,2024-09-07 08:33:40:780,232000,232000,0,0,109177317661,1146719481,229103,2359,538,382,391589,0 61,2,2024-09-07 08:33:41:118,163751,163751,0,0,6965938,0,2079 61,3,2024-09-07 08:33:41:693,1,132,9,0,199,1759,132,0 62,0,2024-09-07 08:33:41:708,35297,1.0,36106,1.0,69027,1.3,94054,2.00 62,1,2024-09-07 08:33:41:119,233765,233759,0,6,109149991298,1128269748,232337,1384,38,365,391715,6 62,2,2024-09-07 08:33:41:646,167012,167011,1,0,8696206,0,5555 62,3,2024-09-07 08:33:41:142,1,132,8,0,287,1081,132,0 63,0,2024-09-07 08:33:41:495,31563,0.6,31604,0.7,63267,0.6,84134,2.00 63,1,2024-09-07 08:33:40:810,233225,233219,0,6,109614818341,1137331748,232110,1089,20,381,391542,6 63,2,2024-09-07 08:33:40:763,168593,168593,0,0,6807655,0,2674 63,3,2024-09-07 08:33:41:732,1,132,2,0,667,2227,132,0 64,0,2024-09-07 08:33:41:513,31473,0.4,31366,0.6,62804,0.4,83611,1.75 64,1,2024-09-07 08:33:40:752,232277,232277,0,0,108244243198,1137403636,228837,2388,1052,371,391783,0 64,2,2024-09-07 08:33:41:140,167972,167953,19,0,7758499,0,6121 64,3,2024-09-07 08:33:41:140,1,132,1,0,265,1718,132,0 65,0,2024-09-07 08:33:41:682,30841,1.3,31352,1.1,62510,1.7,83073,2.75 65,1,2024-09-07 08:33:40:871,231506,231506,0,0,108388457543,1137730975,229115,2169,222,382,391770,0 65,2,2024-09-07 08:33:41:697,163192,163192,0,0,8126013,0,3367 65,3,2024-09-07 08:33:41:695,1,132,9,0,163,1465,132,0 66,0,2024-09-07 08:33:41:787,34538,1.0,34521,1.0,69367,1.4,92701,2.75 66,1,2024-09-07 08:33:41:301,232774,232774,0,0,108868952938,1134239882,230990,1613,171,380,391588,0 66,2,2024-09-07 08:33:41:132,170121,170121,0,0,7515589,0,4956 66,3,2024-09-07 08:33:41:079,1,132,2,0,291,1649,132,0 67,0,2024-09-07 08:33:41:433,31497,1.1,31419,1.1,62844,1.4,83589,2.75 67,1,2024-09-07 08:33:40:774,231681,231680,0,1,108219967331,1137701034,228235,2699,746,381,391787,1 67,2,2024-09-07 08:33:40:583,168870,168870,0,0,6927796,0,2889 67,3,2024-09-07 08:33:41:751,1,132,1,0,138,1213,132,0 68,0,2024-09-07 08:33:40:579,32861,0.5,32747,0.7,65326,0.4,86458,2.00 68,1,2024-09-07 08:33:40:577,231122,231122,0,0,107971405895,1138530461,228301,1804,1017,381,391953,0 68,2,2024-09-07 08:33:41:047,164839,164774,65,0,10038489,0,6698 68,3,2024-09-07 08:33:40:730,1,132,1,0,417,1761,132,0 69,0,2024-09-07 08:33:41:744,32977,0.9,33251,0.9,65963,1.1,87943,2.25 69,1,2024-09-07 08:33:41:016,230674,230674,0,0,108321099581,1152000633,226844,2627,1203,384,391611,0 69,2,2024-09-07 08:33:41:733,164794,164794,0,0,8911176,0,3701 69,3,2024-09-07 08:33:40:767,1,132,8,0,238,1732,132,0 70,0,2024-09-07 08:33:41:531,33906,2.8,33999,1.8,68221,2.3,90940,4.00 70,1,2024-09-07 08:33:40:801,232271,232271,0,0,108924546932,1137440631,229865,1944,462,367,391725,0 70,2,2024-09-07 08:33:41:328,166922,166922,0,0,8166066,0,4044 70,3,2024-09-07 08:33:40:745,1,132,1,0,854,1803,132,0 71,0,2024-09-07 08:33:41:363,32956,2.6,33031,1.9,65633,4.2,88561,4.00 71,1,2024-09-07 08:33:41:596,232048,232048,0,0,108471888993,1142404537,227954,3572,522,368,391682,0 71,2,2024-09-07 08:33:41:071,168095,168095,0,0,8136636,0,2470 71,3,2024-09-07 08:33:41:752,1,132,1,0,644,2466,132,0 72,0,2024-09-07 08:33:41:048,34883,0.5,33990,0.7,66679,0.4,90618,2.25 72,1,2024-09-07 08:33:41:021,231870,231870,0,0,108628348963,1138759975,228708,2676,486,370,391819,0 72,2,2024-09-07 08:33:41:755,165657,165657,0,0,9671624,0,2570 72,3,2024-09-07 08:33:41:755,1,132,0,0,325,2224,132,0 73,0,2024-09-07 08:33:41:116,31536,0.4,32293,0.6,66058,0.3,85862,2.00 73,1,2024-09-07 08:33:40:767,232031,232031,0,0,108497676800,1129651005,230324,1593,114,368,391627,0 73,2,2024-09-07 08:33:41:744,167659,167659,0,0,8989559,0,3482 73,3,2024-09-07 08:33:40:979,1,132,10,0,274,2372,132,0 74,0,2024-09-07 08:33:41:323,34202,0.6,34702,0.9,66390,0.7,90676,2.50 74,1,2024-09-07 08:33:40:635,232401,232401,0,0,108499184835,1136894507,229661,2121,619,382,391681,0 74,2,2024-09-07 08:33:41:004,163678,163678,0,0,8810629,0,4253 74,3,2024-09-07 08:33:41:444,1,132,0,0,246,2054,132,0 75,0,2024-09-07 08:33:41:778,34395,0.6,34271,0.9,68498,0.6,91973,2.50 75,1,2024-09-07 08:33:41:593,231516,231516,0,0,108379664431,1139807264,228354,2724,438,381,391579,0 75,2,2024-09-07 08:33:41:355,167380,167380,0,0,8520562,0,4766 75,3,2024-09-07 08:33:41:083,1,132,24,0,535,1699,132,0 76,0,2024-09-07 08:33:40:642,32318,0.4,32055,0.7,64002,0.4,86328,2.25 76,1,2024-09-07 08:33:40:805,232034,232034,0,0,108392123144,1134262962,230077,1447,510,382,391530,0 76,2,2024-09-07 08:33:41:061,169235,169235,0,0,7171301,0,3064 76,3,2024-09-07 08:33:41:142,1,132,0,0,175,1570,132,0 77,0,2024-09-07 08:33:41:708,31874,0.6,32036,0.7,64171,0.5,84460,2.00 77,1,2024-09-07 08:33:40:832,232415,232415,0,0,108401061991,1133133801,230915,1419,81,383,391808,0 77,2,2024-09-07 08:33:41:286,167216,167216,0,0,7525400,0,3890 77,3,2024-09-07 08:33:41:103,1,132,13,0,305,1850,132,0 78,0,2024-09-07 08:33:41:722,31862,0.6,31862,0.8,64009,0.6,84886,2.25 78,1,2024-09-07 08:33:40:611,232522,232522,0,0,108059593445,1125747050,230712,1604,206,367,391589,0 78,2,2024-09-07 08:33:41:405,163472,163472,0,0,6789116,0,2114 78,3,2024-09-07 08:33:41:133,1,132,1,0,181,1519,132,0 79,0,2024-09-07 08:33:41:358,33916,0.5,34561,0.8,70872,0.5,92595,2.75 79,1,2024-09-07 08:33:40:589,233083,233083,0,0,108865461377,1131098201,231069,1843,171,369,391682,0 79,2,2024-09-07 08:33:41:069,169768,169768,0,0,7142346,0,3212 79,3,2024-09-07 08:33:40:748,1,132,1,0,418,2662,132,0 80,0,2024-09-07 08:33:41:087,31172,0.8,31964,0.9,61545,0.8,83358,2.25 80,1,2024-09-07 08:33:41:632,231387,231387,0,0,108520421696,1134925352,228906,2322,159,368,391673,0 80,2,2024-09-07 08:33:41:099,169264,169264,0,0,7846514,0,4433 80,3,2024-09-07 08:33:40:584,1,132,2,0,190,2447,132,0 81,0,2024-09-07 08:33:41:571,32142,0.5,33054,0.6,63292,0.4,85010,1.75 81,1,2024-09-07 08:33:41:698,231936,231936,0,0,108053266098,1134497338,229495,2169,272,382,391879,0 81,2,2024-09-07 08:33:41:135,166018,166018,0,0,8217581,0,3993 81,3,2024-09-07 08:33:41:125,1,132,9,0,193,1443,132,0 82,0,2024-09-07 08:33:41:532,32034,0.6,32195,0.8,64665,0.6,85541,2.00 82,1,2024-09-07 08:33:40:584,231871,231867,0,4,108473979104,1136069531,229430,1861,576,382,391558,4 82,2,2024-09-07 08:33:41:697,164493,164493,0,0,7391017,0,3986 82,3,2024-09-07 08:33:41:751,1,132,1,0,227,1749,132,0 83,0,2024-09-07 08:33:41:524,34103,0.9,33960,1.0,67156,1.1,90400,2.50 83,1,2024-09-07 08:33:40:577,232211,232211,0,0,108179791875,1134230600,229790,2218,203,382,391584,0 83,2,2024-09-07 08:33:40:769,167854,167854,0,0,7433014,0,3119 83,3,2024-09-07 08:33:40:754,1,132,1,0,133,1306,132,0 84,0,2024-09-07 08:33:41:778,32454,2.0,32114,1.6,64637,1.6,86629,3.00 84,1,2024-09-07 08:33:41:047,231198,231198,0,0,108355876781,1140923345,227906,2841,451,368,391852,0 84,2,2024-09-07 08:33:40:575,167820,167820,0,0,8575356,0,3801 84,3,2024-09-07 08:33:41:144,1,132,0,0,236,1574,132,0 85,0,2024-09-07 08:33:41:040,32184,0.5,32148,0.8,68225,0.4,88332,2.25 85,1,2024-09-07 08:33:40:564,230804,230804,0,0,108155668096,1153914032,225423,4306,1075,382,391679,0 85,2,2024-09-07 08:33:40:867,166328,166328,0,0,9275082,0,3656 85,3,2024-09-07 08:33:40:685,1,132,8,0,115,1395,132,0 86,0,2024-09-07 08:33:40:882,32863,0.6,33810,0.7,64882,0.6,87840,2.00 86,1,2024-09-07 08:33:40:823,231618,231618,0,0,108210022068,1140615215,227685,3390,543,366,391961,0 86,2,2024-09-07 08:33:40:859,165485,165484,1,0,9670548,0,5004 86,3,2024-09-07 08:33:40:591,1,132,0,0,286,2033,132,0 87,0,2024-09-07 08:33:41:426,33632,2.1,33689,1.4,67110,3.1,90498,2.75 87,1,2024-09-07 08:33:40:549,230896,230896,0,0,108351857173,1141077661,227725,2781,390,366,391788,0 87,2,2024-09-07 08:33:41:072,165629,165629,0,0,7691937,0,3515 87,3,2024-09-07 08:33:41:794,1,132,2,0,335,2537,132,0 88,0,2024-09-07 08:33:41:462,34523,0.7,34666,0.8,69143,0.9,93104,2.00 88,1,2024-09-07 08:33:40:571,230471,230471,0,0,108576680069,1145628760,226689,2659,1123,367,391787,0 88,2,2024-09-07 08:33:40:694,167963,167963,0,0,8720577,0,3583 88,3,2024-09-07 08:33:41:275,1,132,1,1,435,2003,132,0 89,0,2024-09-07 08:33:41:778,34260,0.5,33248,0.7,65857,0.4,89580,1.75 89,1,2024-09-07 08:33:40:551,230340,230340,0,0,108156762396,1150992852,225114,4293,933,382,391866,0 89,2,2024-09-07 08:33:41:132,167254,167254,0,0,9069078,0,2910 89,3,2024-09-07 08:33:41:794,1,132,61,0,325,2926,132,0 90,0,2024-09-07 08:33:41:805,31068,0.4,31718,0.6,65220,0.4,84675,1.75 90,1,2024-09-07 08:33:40:595,232014,232014,0,0,107821290766,1136542705,229168,2688,158,382,391825,0 90,2,2024-09-07 08:33:41:415,166213,166213,0,0,9749429,0,3060 90,3,2024-09-07 08:33:40:947,1,132,2,1,200,1693,132,0 91,0,2024-09-07 08:33:40:992,33161,0.5,31935,0.7,66488,0.5,87709,1.75 91,1,2024-09-07 08:33:40:558,231564,231564,0,0,108394450400,1144716212,227843,3228,493,381,391960,0 91,2,2024-09-07 08:33:41:340,161930,161930,0,0,8974643,0,2445 91,3,2024-09-07 08:33:40:599,1,132,2,0,155,1563,132,0 92,0,2024-09-07 08:33:41:513,35565,0.6,36361,0.7,69677,0.7,94463,1.75 92,1,2024-09-07 08:33:40:584,231890,231890,0,0,108502697176,1136189829,229948,1547,395,382,391717,0 92,2,2024-09-07 08:33:41:365,169888,169888,0,0,7157925,0,2279 92,3,2024-09-07 08:33:41:009,1,132,5,0,68,1226,132,0 93,0,2024-09-07 08:33:40:965,31822,0.5,32531,0.7,62428,0.4,84489,1.75 93,1,2024-09-07 08:33:40:852,231875,231875,0,0,108799045080,1138531176,229451,1978,446,366,391689,0 93,2,2024-09-07 08:33:40:930,168441,168441,0,0,7321995,0,2509 93,3,2024-09-07 08:33:41:426,1,132,14,0,143,1477,132,0 94,0,2024-09-07 08:33:41:635,31583,0.3,31871,0.5,63125,0.3,83426,1.75 94,1,2024-09-07 08:33:40:625,231864,231864,0,0,107990002654,1133497812,229581,2192,91,381,391850,0 94,2,2024-09-07 08:33:40:764,166698,166698,0,0,7272979,0,2443 94,3,2024-09-07 08:33:41:699,1,132,9,0,264,2164,132,0 95,0,2024-09-07 08:33:41:509,31651,0.4,31578,0.5,63334,0.3,83810,1.75 95,1,2024-09-07 08:33:40:852,232343,232343,0,0,108979644761,1133738823,230923,1339,81,367,391600,0 95,2,2024-09-07 08:33:41:016,163083,163083,0,0,7371744,0,3308 95,3,2024-09-07 08:33:41:739,1,132,11,0,307,2137,132,0 96,0,2024-09-07 08:33:41:046,34961,0.8,35071,0.8,70046,0.8,93381,2.00 96,1,2024-09-07 08:33:41:589,231566,231566,0,0,107797432826,1133342286,228983,1942,641,385,391894,0 96,2,2024-09-07 08:33:41:286,168593,168593,0,0,7920127,0,4042 96,3,2024-09-07 08:33:41:140,1,132,48,0,188,1614,132,0 97,0,2024-09-07 08:33:41:323,31589,0.5,31566,0.6,63112,0.5,83560,1.75 97,1,2024-09-07 08:33:40:782,231905,231905,0,0,109065823126,1139074139,229150,2208,547,367,392140,0 97,2,2024-09-07 08:33:40:606,167966,167966,0,0,7327359,0,3036 97,3,2024-09-07 08:33:40:572,1,132,9,1,165,1643,132,0 98,0,2024-09-07 08:33:41:724,32775,0.3,32580,0.4,65602,0.2,86806,1.50 98,1,2024-09-07 08:33:40:574,232109,232109,0,0,108921524022,1139102763,230323,1700,86,382,391997,0 98,2,2024-09-07 08:33:40:769,167135,167135,0,0,7516913,0,3080 98,3,2024-09-07 08:33:40:706,1,132,12,1,840,2856,132,0 99,0,2024-09-07 08:33:41:551,33144,0.3,33185,0.5,66382,0.3,88267,1.75 99,1,2024-09-07 08:33:41:745,232252,232252,0,0,108236308818,1133348010,229755,1846,651,381,391744,0 99,2,2024-09-07 08:33:41:419,166783,166783,0,0,7262047,0,1973 99,3,2024-09-07 08:33:40:582,1,132,2,0,129,1031,132,0 100,0,2024-09-07 08:33:41:597,34074,1.8,34168,1.7,68318,2.9,91605,2.75 100,1,2024-09-07 08:33:40:548,230184,230184,0,0,107545130373,1148535565,225026,4228,930,381,391989,0 100,2,2024-09-07 08:33:41:828,166173,166162,11,0,8838983,0,5417 100,3,2024-09-07 08:33:41:735,1,132,1,0,559,3390,132,0 101,0,2024-09-07 08:33:41:829,33941,3.6,33019,1.9,64996,4.4,89668,3.50 101,1,2024-09-07 08:33:40:567,230684,230684,0,0,107953359767,1149568781,225524,3694,1466,368,391709,0 101,2,2024-09-07 08:33:41:770,167143,167143,0,0,9719477,0,4644 101,3,2024-09-07 08:33:40:945,1,132,11,0,448,1930,132,0 102,0,2024-09-07 08:33:41:019,32961,0.6,34224,0.8,68616,0.5,90055,2.00 102,1,2024-09-07 08:33:41:142,231116,231116,0,0,108250537666,1146213008,227135,3273,708,369,391831,0 102,2,2024-09-07 08:33:41:746,167081,167027,54,0,9330950,0,6768 102,3,2024-09-07 08:33:41:621,1,132,3,0,410,1605,132,0 103,0,2024-09-07 08:33:41:629,33299,0.5,33222,0.7,62848,0.4,86285,1.75 103,1,2024-09-07 08:33:41:640,230683,230683,0,0,108528334045,1150798329,226356,3121,1206,381,391829,0 103,2,2024-09-07 08:33:40:583,166319,166319,0,0,8263416,0,2104 103,3,2024-09-07 08:33:40:755,1,132,1,0,916,2836,132,0 104,0,2024-09-07 08:33:41:010,33530,1.9,33642,1.4,66282,2.7,90327,2.75 104,1,2024-09-07 08:33:41:609,231998,231998,0,0,108416718361,1149215679,227397,3717,884,366,391948,0 104,2,2024-09-07 08:33:41:682,163111,163111,0,0,8428060,0,3941 104,3,2024-09-07 08:33:41:418,1,132,6,1,1245,4888,132,0 105,0,2024-09-07 08:33:41:034,34052,0.9,33000,1.1,68809,1.3,91269,3.25 105,1,2024-09-07 08:33:40:568,231867,231867,0,0,108560315645,1145488454,227700,3396,771,367,391797,0 105,2,2024-09-07 08:33:41:328,167441,167441,0,0,8285781,0,3509 105,3,2024-09-07 08:33:41:307,1,132,8,1,399,2190,132,0 106,0,2024-09-07 08:33:41:009,31351,0.7,32104,0.9,65409,0.7,86088,2.25 106,1,2024-09-07 08:33:41:756,231326,231326,0,0,107691167530,1135438271,227691,3251,384,369,391767,0 106,2,2024-09-07 08:33:40:760,167900,167900,0,0,8396235,0,2795 106,3,2024-09-07 08:33:40:679,1,132,35,0,405,2229,132,0 107,0,2024-09-07 08:33:41:149,31968,0.4,31875,0.6,63456,0.4,84669,1.75 107,1,2024-09-07 08:33:40:602,231054,231054,0,0,107446538514,1134999650,228102,2726,226,381,392234,0 107,2,2024-09-07 08:33:41:293,166543,166542,1,0,8566845,0,5024 107,3,2024-09-07 08:33:41:755,1,132,13,0,353,1743,132,0 108,0,2024-09-07 08:33:41:773,32026,0.4,32264,0.6,63732,0.4,85301,1.75 108,1,2024-09-07 08:33:41:310,231526,231526,0,0,108671943993,1137446082,229227,2011,288,368,391857,0 108,2,2024-09-07 08:33:41:754,162249,162249,0,0,7703591,0,2647 108,3,2024-09-07 08:33:41:330,1,132,4,0,288,2131,132,0 109,0,2024-09-07 08:33:41:744,35268,0.5,35073,0.7,69852,0.5,94273,1.75 109,1,2024-09-07 08:33:40:601,231341,231341,0,0,108553396948,1142673077,228940,1939,462,383,391812,0 109,2,2024-09-07 08:33:40:921,167948,167948,0,0,8063227,0,3617 109,3,2024-09-07 08:33:41:140,1,132,10,1,249,2036,132,0 110,0,2024-09-07 08:33:41:778,31439,0.5,30593,0.7,63828,0.5,83415,1.75 110,1,2024-09-07 08:33:41:658,232231,232231,0,0,108114403017,1129180341,229871,1660,700,370,391667,0 110,2,2024-09-07 08:33:41:318,168948,168948,0,0,7316288,0,2915 110,3,2024-09-07 08:33:40:697,1,132,19,0,406,2018,132,0 111,0,2024-09-07 08:33:41:457,32259,0.2,31776,0.4,63603,0.2,85176,1.50 111,1,2024-09-07 08:33:41:000,232792,232792,0,0,109488877219,1138989664,231140,1320,332,382,391690,0 111,2,2024-09-07 08:33:41:123,166576,166576,0,0,8074294,0,2763 111,3,2024-09-07 08:33:40:912,1,132,379,0,379,2387,132,0 112,0,2024-09-07 08:33:40:931,32407,0.3,32367,0.5,64766,0.2,85746,1.50 112,1,2024-09-07 08:33:40:823,232382,232382,0,0,108595134329,1134212456,230058,1899,425,381,391580,0 112,2,2024-09-07 08:33:41:133,164081,164080,1,0,7925333,0,5036 112,3,2024-09-07 08:33:40:594,1,132,1,0,282,1605,132,0 113,0,2024-09-07 08:33:40:892,33905,0.4,33643,0.6,68205,0.4,91413,1.75 113,1,2024-09-07 08:33:41:690,232791,232791,0,0,109520422983,1138449611,230384,1919,488,366,391661,0 113,2,2024-09-07 08:33:41:308,169199,169199,0,0,7045201,0,3813 113,3,2024-09-07 08:33:40:691,1,132,10,0,288,2169,132,0 114,0,2024-09-07 08:33:40:877,33222,0.8,33799,0.8,66075,0.6,88286,2.00 114,1,2024-09-07 08:33:40:716,232108,232108,0,0,108722984571,1144741806,228006,2640,1462,381,391534,0 114,2,2024-09-07 08:33:40:878,167685,167685,0,0,7312247,0,3925 114,3,2024-09-07 08:33:41:279,1,132,1,0,395,1926,132,0 115,0,2024-09-07 08:33:40:554,33269,0.3,33605,0.4,67224,0.2,89098,1.50 115,1,2024-09-07 08:33:40:570,232181,232181,0,0,108976765936,1142508839,228542,2818,821,382,391602,0 115,2,2024-09-07 08:33:41:133,167117,167117,0,0,6779931,0,2152 115,3,2024-09-07 08:33:41:005,1,132,0,0,159,1035,132,0 116,0,2024-09-07 08:33:41:725,33038,0.7,32614,0.8,65670,0.7,87750,2.00 116,1,2024-09-07 08:33:41:199,230480,230480,0,0,108417984518,1160270099,225615,3113,1752,382,391677,0 116,2,2024-09-07 08:33:41:755,165106,165106,0,0,9926220,0,3529 116,3,2024-09-07 08:33:40:927,1,132,1,0,252,2088,132,0 117,0,2024-09-07 08:33:40:975,33651,2.0,33765,1.3,66993,3.2,90483,2.25 117,1,2024-09-07 08:33:41:602,231650,231650,0,0,107599629458,1135301503,228045,3179,426,370,392033,0 117,2,2024-09-07 08:33:41:147,166459,166459,0,0,7740026,0,3700 117,3,2024-09-07 08:33:41:068,1,132,35,0,490,3076,132,0 118,0,2024-09-07 08:33:41:785,33603,1.0,34619,1.0,70260,1.2,91929,2.25 118,1,2024-09-07 08:33:40:595,231048,231048,0,0,107911856819,1146448202,226430,3328,1290,368,391736,0 118,2,2024-09-07 08:33:41:590,167553,167553,0,0,8748113,0,2781 118,3,2024-09-07 08:33:41:767,1,132,1,0,235,1972,132,0 119,0,2024-09-07 08:33:41:424,32914,0.8,33109,0.9,67075,1.0,88706,2.00 119,1,2024-09-07 08:33:40:573,231841,231841,0,0,108886290000,1141204269,229473,2081,287,370,391641,0 119,2,2024-09-07 08:33:41:279,166498,166498,0,0,8209890,0,3526 119,3,2024-09-07 08:33:41:331,1,132,28,0,443,2695,132,0 120,0,2024-09-07 08:33:41:642,31686,0.5,31808,0.7,63398,0.5,84679,2.00 120,1,2024-09-07 08:33:40:867,231772,231772,0,0,108126346652,1139890497,228811,2717,244,368,391961,0 120,2,2024-09-07 08:33:40:826,166529,166528,1,0,10084857,0,5281 120,3,2024-09-07 08:33:41:297,1,132,5,0,241,1925,132,0 121,0,2024-09-07 08:33:41:699,32442,1.5,32924,1.2,65419,2.4,87529,2.25 121,1,2024-09-07 08:33:41:658,231857,231857,0,0,108325998920,1137979207,229176,2396,285,367,391840,0 121,2,2024-09-07 08:33:41:137,162054,162054,0,0,8997526,0,4127 121,3,2024-09-07 08:33:40:727,1,132,12,0,269,1927,132,0 122,0,2024-09-07 08:33:41:790,34862,1.3,34019,1.2,71006,1.4,94374,2.25 122,1,2024-09-07 08:33:40:949,231006,231006,0,0,107951233379,1140264289,227033,3312,661,366,392130,0 122,2,2024-09-07 08:33:41:369,168046,168046,0,0,10199225,0,3364 122,3,2024-09-07 08:33:40:600,1,132,411,0,411,3553,132,0 123,0,2024-09-07 08:33:41:112,31727,1.0,30809,0.9,64398,1.1,84213,2.25 123,1,2024-09-07 08:33:40:578,232341,232341,0,0,108910029030,1153879950,227331,4316,694,369,391823,0 123,2,2024-09-07 08:33:41:036,167112,167111,1,0,8751622,0,5215 123,3,2024-09-07 08:33:41:133,1,132,44,1,168,1889,132,0 124,0,2024-09-07 08:33:40:935,32513,0.3,32539,0.5,61370,0.2,83747,1.50 124,1,2024-09-07 08:33:41:023,231993,231993,0,0,108159331840,1126452648,230174,1466,353,367,392178,0 124,2,2024-09-07 08:33:41:010,167198,167198,0,0,7281046,0,3101 124,3,2024-09-07 08:33:40:763,1,132,9,0,490,2125,132,0 125,0,2024-09-07 08:33:41:462,31712,0.5,31579,0.6,63437,0.5,83826,1.75 125,1,2024-09-07 08:33:40:860,231693,231693,0,0,108872986301,1140612784,229381,2037,275,384,391702,0 125,2,2024-09-07 08:33:41:125,163378,163378,0,0,7024327,0,2180 125,3,2024-09-07 08:33:41:132,1,132,12,1,284,1835,132,0 126,0,2024-09-07 08:33:41:759,35021,0.8,35917,0.8,68698,0.9,93974,2.00 126,1,2024-09-07 08:33:40:558,232417,232417,0,0,108712738118,1130193294,230916,1424,77,365,391987,0 126,2,2024-09-07 08:33:40:612,169348,169348,0,0,7398102,0,3186 126,3,2024-09-07 08:33:40:913,1,132,94,0,136,1964,132,0 127,0,2024-09-07 08:33:41:785,31304,0.5,31579,0.6,63121,0.5,83640,1.75 127,1,2024-09-07 08:33:40:595,231949,231949,0,0,107975228437,1121980800,230192,1721,36,365,391816,0 127,2,2024-09-07 08:33:40:660,168306,168306,0,0,6853994,0,1803 127,3,2024-09-07 08:33:41:267,1,132,15,0,99,961,132,0 128,0,2024-09-07 08:33:41:530,32957,0.3,32821,0.5,65413,0.2,86799,1.50 128,1,2024-09-07 08:33:41:622,232553,232553,0,0,109095600100,1133154715,231587,894,72,367,391680,0 128,2,2024-09-07 08:33:41:383,165760,165760,0,0,7282723,0,2107 128,3,2024-09-07 08:33:40:767,1,132,14,0,333,2148,132,0 129,0,2024-09-07 08:33:40:995,33412,0.3,33253,0.5,66352,0.3,88198,1.75 129,1,2024-09-07 08:33:40:581,231452,231452,0,0,108363084466,1140419063,228543,2517,392,379,391835,0 129,2,2024-09-07 08:33:40:688,165114,165114,0,0,7494746,0,4031 129,3,2024-09-07 08:33:40:688,1,132,10,1,173,1790,132,0 130,0,2024-09-07 08:33:41:734,34702,1.0,34408,0.9,69072,1.4,92782,2.00 130,1,2024-09-07 08:33:40:597,232086,232086,0,0,108260231648,1132735633,230037,1980,69,381,391825,0 130,2,2024-09-07 08:33:41:141,166962,166962,0,0,7256378,0,4067 130,3,2024-09-07 08:33:41:301,1,132,4,0,207,1266,132,0 131,0,2024-09-07 08:33:42:011,33733,0.7,33787,0.8,68400,0.9,90138,1.75 131,1,2024-09-07 08:33:41:820,232934,232934,0,0,108589296991,1133465257,231487,1241,206,384,391865,0 131,2,2024-09-07 08:33:40:567,168255,168255,0,0,6961077,0,2415 131,3,2024-09-07 08:33:41:689,1,132,1,0,392,1696,132,0 132,0,2024-09-07 08:33:41:467,33382,0.5,33942,0.8,67952,0.5,90381,2.00 132,1,2024-09-07 08:33:40:602,230184,230184,0,0,107763287685,1148363158,224794,4362,1028,381,391760,0 132,2,2024-09-07 08:33:40:699,166212,166212,0,0,10090739,0,4606 132,3,2024-09-07 08:33:41:690,1,132,17,0,356,2689,132,0 133,0,2024-09-07 08:33:41:526,31586,0.4,32223,0.6,66092,0.4,85544,1.75 133,1,2024-09-07 08:33:40:583,230209,230209,0,0,108226239083,1150337519,225734,3837,638,383,391755,0 133,2,2024-09-07 08:33:41:189,166350,166350,0,0,9959958,0,4315 133,3,2024-09-07 08:33:41:302,1,132,8,1,187,1257,132,0 134,0,2024-09-07 08:33:41:026,33881,0.7,33646,0.9,68017,0.7,90585,2.25 134,1,2024-09-07 08:33:40:591,230928,230928,0,0,108105960179,1141873361,226590,3118,1220,366,391718,0 134,2,2024-09-07 08:33:41:765,163485,163485,0,0,7972908,0,3096 134,3,2024-09-07 08:33:40:756,1,132,1,0,739,2840,132,0 135,0,2024-09-07 08:33:41:133,33415,1.2,33332,1.2,70433,1.3,90962,2.50 135,1,2024-09-07 08:33:41:603,230879,230879,0,0,107760697281,1140757441,226875,3303,701,380,391725,0 135,2,2024-09-07 08:33:40:688,168222,168222,0,0,8937777,0,3981 135,3,2024-09-07 08:33:41:008,1,132,1,0,89,816,132,0 136,0,2024-09-07 08:33:41:646,32644,0.6,32444,0.8,65376,0.6,87174,2.00 136,1,2024-09-07 08:33:41:461,231183,231183,0,0,107918445387,1135931598,227988,3022,173,384,391641,0 136,2,2024-09-07 08:33:41:132,169186,169186,0,0,8630695,0,3506 136,3,2024-09-07 08:33:41:124,1,132,8,0,108,1182,132,0 137,0,2024-09-07 08:33:40:944,32686,0.5,31816,0.7,62911,0.5,84871,2.00 137,1,2024-09-07 08:33:40:582,231548,231548,0,0,108478921920,1140202059,227884,3349,315,367,391608,0 137,2,2024-09-07 08:33:41:729,166616,166616,0,0,9771847,0,3185 137,3,2024-09-07 08:33:40:771,1,132,10,1,227,1713,132,0 138,0,2024-09-07 08:33:41:803,31407,1.8,31562,1.3,63675,2.7,85285,2.50 138,1,2024-09-07 08:33:41:692,232204,232204,0,0,108738355183,1142204517,229225,2630,349,368,391954,0 138,2,2024-09-07 08:33:40:591,163270,163270,0,0,7750495,0,3263 138,3,2024-09-07 08:33:40:610,1,132,80,0,1160,2594,132,0 139,0,2024-09-07 08:33:41:405,34127,3.2,34156,1.9,69152,4.5,92648,3.25 139,1,2024-09-07 08:33:41:121,230580,230580,0,0,107434959446,1146397709,225733,3533,1314,381,391892,0 139,2,2024-09-07 08:33:40:696,166998,166998,0,0,8996935,0,3097 139,3,2024-09-07 08:33:41:661,1,132,4,0,244,1724,132,0 140,0,2024-09-07 08:33:41:590,31509,0.4,31377,0.6,62914,0.3,83484,1.75 140,1,2024-09-07 08:33:41:546,232954,232954,0,0,109173618582,1128842201,231541,1174,239,365,391606,0 140,2,2024-09-07 08:33:40:687,169075,169075,0,0,7627241,0,3388 140,3,2024-09-07 08:33:40:773,1,132,129,0,247,1350,132,0 141,0,2024-09-07 08:33:41:700,31818,0.2,32869,0.4,63035,0.1,85118,1.50 141,1,2024-09-07 08:33:40:860,232551,232551,0,0,108788180133,1132090816,230814,1385,352,379,391539,0 141,2,2024-09-07 08:33:41:689,166700,166700,0,0,6999231,0,2342 141,3,2024-09-07 08:33:41:043,1,132,17,0,147,1195,132,0 142,0,2024-09-07 08:33:41:307,32745,0.3,32463,0.5,64430,0.3,85900,1.75 142,1,2024-09-07 08:33:40:592,232320,232320,0,0,108575912029,1133316375,231157,1029,134,383,391649,0 142,2,2024-09-07 08:33:41:303,162803,162771,32,0,8268334,0,6028 142,3,2024-09-07 08:33:41:755,1,132,1,0,484,2096,132,0 143,0,2024-09-07 08:33:41:380,34064,0.7,34151,0.7,68629,0.8,91276,2.00 143,1,2024-09-07 08:33:40:572,232204,232204,0,0,108281651247,1127857114,230153,2010,41,367,391619,0 143,2,2024-09-07 08:33:40:768,168084,168084,0,0,7552382,0,2669 143,3,2024-09-07 08:33:41:144,1,132,5,0,303,2349,132,0 144,0,2024-09-07 08:33:41:540,31723,1.2,32551,1.9,66057,1.5,86956,2.50 144,1,2024-09-07 08:33:40:631,231269,231269,0,0,108256556583,1140145939,228983,2083,203,381,391649,0 144,2,2024-09-07 08:33:41:871,168543,168543,0,0,7386798,0,3473 144,3,2024-09-07 08:33:41:744,1,132,74,0,249,1981,132,0 145,0,2024-09-07 08:33:41:459,32266,0.6,32234,0.8,68416,0.5,88653,2.25 145,1,2024-09-07 08:33:40:561,229980,229980,0,0,107642991735,1142668564,225675,3571,734,383,391615,0 145,2,2024-09-07 08:33:41:431,166023,166023,0,0,8625306,0,3903 145,3,2024-09-07 08:33:40:936,1,132,12,0,151,1712,132,0 146,0,2024-09-07 08:33:41:657,32766,0.5,32637,0.7,65968,0.5,87414,2.00 146,1,2024-09-07 08:33:41:638,232110,232110,0,0,108341211768,1142244493,227821,3488,801,368,391600,0 146,2,2024-09-07 08:33:41:702,166295,166295,0,0,8184919,0,2498 146,3,2024-09-07 08:33:41:279,1,132,199,0,1520,5040,132,0 147,0,2024-09-07 08:33:41:715,33713,1.5,33631,1.2,66537,2.0,90310,2.75 147,1,2024-09-07 08:33:41:381,232830,232830,0,0,108808948623,1134266098,230518,1920,392,368,391791,0 147,2,2024-09-07 08:33:41:031,165757,165757,0,0,7377711,0,2789 147,3,2024-09-07 08:33:40:913,1,132,118,0,371,1835,132,0 0,0,2024-09-07 08:33:51:719,31068,0.5,31106,0.7,65753,0.5,85254,1.75 0,1,2024-09-07 08:33:50:839,233416,233416,0,0,110284838188,1157512013,231695,1589,132,372,391692,0 0,2,2024-09-07 08:33:51:067,168289,168289,0,0,8049895,0,4480 0,3,2024-09-07 08:33:50:974,1,133,61,0,247,1924,133,0 1,0,2024-09-07 08:33:51:757,33085,1.9,32874,1.4,66016,2.5,88605,2.50 1,1,2024-09-07 08:33:50:574,232695,232695,0,0,109051703617,1150263421,229269,2561,865,372,391857,0 1,2,2024-09-07 08:33:50:645,164082,164082,0,0,7066204,0,3267 1,3,2024-09-07 08:33:51:304,1,133,13,0,262,1778,133,0 2,0,2024-09-07 08:33:51:576,35283,0.9,35386,1.0,70359,1.2,94300,2.25 2,1,2024-09-07 08:33:50:859,233278,233278,0,0,109660793958,1143963834,231834,1238,206,380,391558,0 2,2,2024-09-07 08:33:51:266,169211,169211,0,0,7821291,0,3594 2,3,2024-09-07 08:33:50:690,1,133,0,0,357,1612,133,0 3,0,2024-09-07 08:33:51:746,31670,0.6,31746,0.8,63588,0.6,84472,2.00 3,1,2024-09-07 08:33:51:618,233350,233350,0,0,109126378745,1144453723,230247,2679,424,382,391516,0 3,2,2024-09-07 08:33:51:148,169767,169744,23,0,7960972,0,5851 3,3,2024-09-07 08:33:51:754,1,133,1,0,103,910,133,0 4,0,2024-09-07 08:33:51:896,30873,0.3,31565,0.5,64205,0.2,84045,1.75 4,1,2024-09-07 08:33:50:728,233507,233507,0,0,108783599659,1149575209,230554,2433,520,371,391846,0 4,2,2024-09-07 08:33:51:024,167234,167234,0,0,9563122,0,4528 4,3,2024-09-07 08:33:51:028,1,133,6,0,287,2015,133,0 5,0,2024-09-07 08:33:51:413,31872,0.6,31689,0.7,63617,0.7,84586,1.75 5,1,2024-09-07 08:33:50:765,232641,232641,0,0,109289946590,1158425111,228517,3139,985,368,392005,0 5,2,2024-09-07 08:33:51:844,163592,163592,0,0,7996722,0,2259 5,3,2024-09-07 08:33:51:739,1,133,15,0,238,2206,133,0 6,0,2024-09-07 08:33:50:929,35726,1.3,35267,1.2,70217,1.9,94982,2.75 6,1,2024-09-07 08:33:50:747,233552,233552,0,0,109537223354,1152846992,230245,2660,647,381,391617,0 6,2,2024-09-07 08:33:51:122,170146,170146,0,0,8557264,0,4816 6,3,2024-09-07 08:33:51:274,1,133,95,0,340,2216,133,0 7,0,2024-09-07 08:33:51:535,30954,0.6,31015,0.8,62275,0.6,82895,2.00 7,1,2024-09-07 08:33:50:853,233465,233465,0,0,108978741573,1146610917,230748,2586,131,382,391664,0 7,2,2024-09-07 08:33:50:773,168922,168922,0,0,7606535,0,2981 7,3,2024-09-07 08:33:50:857,1,133,1,0,305,1507,133,0 8,0,2024-09-07 08:33:51:366,32756,0.3,32930,0.5,65150,0.2,87060,1.50 8,1,2024-09-07 08:33:51:015,232930,232930,0,0,109186427896,1160442880,227705,3639,1586,368,391956,0 8,2,2024-09-07 08:33:50:795,165834,165834,0,0,9110856,0,2986 8,3,2024-09-07 08:33:50:591,1,133,15,0,357,2299,133,0 9,0,2024-09-07 08:33:51:137,33289,0.4,32352,0.5,67508,0.3,88099,1.75 9,1,2024-09-07 08:33:50:554,233065,233065,0,0,109650584966,1163036610,228625,3316,1124,370,391753,0 9,2,2024-09-07 08:33:51:083,165469,165469,0,0,8534431,0,3360 9,3,2024-09-07 08:33:51:753,1,133,8,0,496,2129,133,0 10,0,2024-09-07 08:33:51:605,34847,0.4,34792,0.7,69614,0.4,93109,1.75 10,1,2024-09-07 08:33:50:592,233180,233180,0,0,108459416849,1145275098,228721,3818,641,381,391741,0 10,2,2024-09-07 08:33:50:764,168802,168802,0,0,8826533,0,2940 10,3,2024-09-07 08:33:50:876,1,133,4,0,177,1139,133,0 11,0,2024-09-07 08:33:51:023,33021,1.3,32239,1.2,67642,2.8,90001,2.50 11,1,2024-09-07 08:33:50:571,232840,232840,0,0,109223125111,1155282584,227714,3857,1269,384,391537,0 11,2,2024-09-07 08:33:51:126,168787,168787,0,0,8249697,0,3411 11,3,2024-09-07 08:33:51:298,1,133,2,0,720,2762,133,0 12,0,2024-09-07 08:33:51:010,34413,0.3,34105,0.5,68539,0.2,91047,1.50 12,1,2024-09-07 08:33:50:944,233308,233308,0,0,109103754737,1144867264,230489,2409,410,370,391837,0 12,2,2024-09-07 08:33:51:572,168837,168837,0,0,8351369,0,3469 12,3,2024-09-07 08:33:51:059,1,133,1,0,358,2468,133,0 13,0,2024-09-07 08:33:51:357,32604,0.4,32763,0.5,65282,0.3,86865,1.75 13,1,2024-09-07 08:33:51:525,233850,233850,0,0,109109788177,1147988092,231806,1666,378,384,391717,0 13,2,2024-09-07 08:33:50:623,168527,168527,0,0,7845936,0,3287 13,3,2024-09-07 08:33:51:766,1,133,9,1,467,2939,133,0 14,0,2024-09-07 08:33:50:563,34409,0.5,34439,0.7,68422,0.5,91489,2.00 14,1,2024-09-07 08:33:51:561,234856,234856,0,0,109096715116,1135405582,232839,1855,162,364,391571,0 14,2,2024-09-07 08:33:50:764,165584,165584,0,0,7741413,0,2896 14,3,2024-09-07 08:33:51:346,1,133,1,0,1168,2812,133,0 15,0,2024-09-07 08:33:51:557,34622,0.6,34623,0.8,69543,0.6,92406,2.25 15,1,2024-09-07 08:33:51:614,233325,233325,0,0,109187520105,1139046339,231821,1443,61,381,391536,0 15,2,2024-09-07 08:33:50:998,169701,169701,0,0,6529176,0,3043 15,3,2024-09-07 08:33:51:405,1,133,3,0,1126,4181,133,0 16,0,2024-09-07 08:33:50:988,32705,0.6,33105,0.8,65507,0.6,87832,2.25 16,1,2024-09-07 08:33:50:581,233600,233600,0,0,109061789750,1145333463,231396,1945,259,372,391756,0 16,2,2024-09-07 08:33:51:436,168463,168463,0,0,8709950,0,4719 16,3,2024-09-07 08:33:51:144,1,133,0,0,231,1980,133,0 17,0,2024-09-07 08:33:51:868,33062,0.4,32190,0.6,63191,0.4,85206,1.75 17,1,2024-09-07 08:33:50:567,232850,232850,0,0,108849597380,1150689809,229502,2677,671,368,391688,0 17,2,2024-09-07 08:33:51:697,169749,169749,0,0,7947171,0,2857 17,3,2024-09-07 08:33:50:576,1,133,11,0,268,2311,133,0 18,0,2024-09-07 08:33:50:942,31542,1.0,31947,1.0,63878,1.2,85323,2.50 18,1,2024-09-07 08:33:51:659,233297,233297,0,0,109339973836,1139345635,231402,1661,234,368,391564,0 18,2,2024-09-07 08:33:51:755,163921,163921,0,0,7776954,0,3541 18,3,2024-09-07 08:33:50:900,1,133,1,0,163,1542,133,0 19,0,2024-09-07 08:33:51:545,34988,1.0,35328,1.0,69811,1.2,93289,3.00 19,1,2024-09-07 08:33:50:565,233940,233940,0,0,109310468281,1145461822,230306,2931,703,367,391777,0 19,2,2024-09-07 08:33:51:755,170397,170397,0,0,7101817,0,3988 19,3,2024-09-07 08:33:51:130,1,133,12,0,524,1434,133,0 20,0,2024-09-07 08:33:51:362,31481,0.8,31444,0.9,62886,0.9,83747,2.50 20,1,2024-09-07 08:33:50:570,233289,233289,0,0,109311117111,1152958018,229867,3033,389,369,391822,0 20,2,2024-09-07 08:33:50:934,170031,170031,0,0,8205743,0,3721 20,3,2024-09-07 08:33:50:592,1,133,1,0,414,2757,133,0 21,0,2024-09-07 08:33:51:149,32437,0.4,32387,0.5,64462,0.3,85131,1.75 21,1,2024-09-07 08:33:51:562,232561,232561,0,0,108498974834,1148566811,229051,2979,531,368,391962,0 21,2,2024-09-07 08:33:51:067,167623,167623,0,0,8910580,0,3747 21,3,2024-09-07 08:33:51:407,1,133,20,0,103,1835,133,0 22,0,2024-09-07 08:33:51:724,32095,0.9,32210,1.0,64049,0.9,85096,2.25 22,1,2024-09-07 08:33:51:025,232867,232867,0,0,108928597458,1157411442,227377,3981,1509,382,391667,0 22,2,2024-09-07 08:33:50:759,164672,164672,0,0,7513810,0,3134 22,3,2024-09-07 08:33:51:066,1,133,1,0,228,1499,133,0 23,0,2024-09-07 08:33:51:371,34081,0.9,34322,1.0,68981,1.0,92167,2.50 23,1,2024-09-07 08:33:51:003,233904,233904,0,0,109252303021,1150272262,229882,2540,1482,365,391549,0 23,2,2024-09-07 08:33:51:095,169396,169396,0,0,7902769,0,3010 23,3,2024-09-07 08:33:51:754,1,133,1,0,645,1724,133,0 24,0,2024-09-07 08:33:50:853,33420,0.6,33437,0.7,66898,0.7,88485,1.75 24,1,2024-09-07 08:33:50:595,233392,233392,0,0,109176122555,1147032529,230793,1834,765,369,391640,0 24,2,2024-09-07 08:33:51:069,168464,168464,0,0,9155554,0,2942 24,3,2024-09-07 08:33:51:686,1,133,1,0,234,1688,133,0 25,0,2024-09-07 08:33:51:396,34476,0.4,33556,0.6,65819,0.3,89583,1.75 25,1,2024-09-07 08:33:50:561,233328,233328,0,0,109136426439,1152449010,229659,3127,542,371,391788,0 25,2,2024-09-07 08:33:51:617,166557,166557,0,0,9228995,0,3978 25,3,2024-09-07 08:33:51:000,1,133,0,0,158,1533,133,0 26,0,2024-09-07 08:33:51:722,32820,0.4,32194,0.6,67465,0.3,88301,1.75 26,1,2024-09-07 08:33:51:561,233777,233777,0,0,108978356322,1151787165,229160,3716,901,381,391564,0 26,2,2024-09-07 08:33:50:861,166977,166977,0,0,8344513,0,2809 26,3,2024-09-07 08:33:51:712,1,133,6,0,796,2126,133,0 27,0,2024-09-07 08:33:51:727,34374,0.6,34470,0.7,68231,0.7,91877,2.00 27,1,2024-09-07 08:33:51:676,234659,234659,0,0,109998997103,1146237733,232856,1480,323,381,391539,0 27,2,2024-09-07 08:33:50:889,165892,165892,0,0,8971629,0,3409 27,3,2024-09-07 08:33:51:015,1,133,1,0,564,1698,133,0 28,0,2024-09-07 08:33:51:419,34540,1.0,34876,0.9,69947,1.3,93354,2.75 28,1,2024-09-07 08:33:50:797,233417,233417,0,0,110041416149,1149087923,231613,1568,236,383,391646,0 28,2,2024-09-07 08:33:51:781,169710,169710,0,0,6808144,0,2915 28,3,2024-09-07 08:33:51:777,1,133,19,0,502,1698,133,0 29,0,2024-09-07 08:33:51:380,34337,0.4,33603,0.6,65748,0.3,90142,1.75 29,1,2024-09-07 08:33:51:572,234877,234877,0,0,109521452241,1138983880,232585,1756,536,369,391621,0 29,2,2024-09-07 08:33:50:865,169087,169087,0,0,6756261,0,4018 29,3,2024-09-07 08:33:50:970,1,133,11,0,105,1133,133,0 30,0,2024-09-07 08:33:51:457,31957,0.6,31134,0.8,65112,0.6,85342,2.25 30,1,2024-09-07 08:33:50:570,234210,234210,0,0,109980437875,1146074621,232310,1622,278,382,391672,0 30,2,2024-09-07 08:33:51:275,169295,169295,0,0,6944102,0,3161 30,3,2024-09-07 08:33:50:591,1,133,181,0,195,1135,133,0 31,0,2024-09-07 08:33:51:766,32791,0.7,32867,0.8,66435,0.7,88612,2.00 31,1,2024-09-07 08:33:50:565,235422,235422,0,0,109978743709,1128997378,234805,551,66,356,391553,0 31,2,2024-09-07 08:33:51:275,164736,164736,0,0,8259796,0,3525 31,3,2024-09-07 08:33:51:705,1,133,1,0,220,1141,133,0 32,0,2024-09-07 08:33:51:468,35409,0.6,35732,0.7,71319,0.5,94976,1.75 32,1,2024-09-07 08:33:50:813,234442,234442,0,0,109686496487,1143260108,232703,1464,275,382,391595,0 32,2,2024-09-07 08:33:50:935,169761,169761,0,0,6975350,0,3155 32,3,2024-09-07 08:33:51:022,1,133,17,0,227,1395,133,0 33,0,2024-09-07 08:33:51:503,32188,0.4,31745,0.6,64089,0.3,85364,1.75 33,1,2024-09-07 08:33:50:596,234577,234577,0,0,109941755827,1142715099,232426,1979,172,369,391730,0 33,2,2024-09-07 08:33:50:763,169803,169770,33,0,8852745,0,7012 33,3,2024-09-07 08:33:50:905,1,133,18,0,329,1654,133,0 34,0,2024-09-07 08:33:50:941,31658,0.3,32699,0.5,62760,0.2,84029,1.75 34,1,2024-09-07 08:33:51:044,234672,234672,0,0,109888989496,1135587063,233677,989,6,367,391562,0 34,2,2024-09-07 08:33:50:766,167423,167423,0,0,7676449,0,3255 34,3,2024-09-07 08:33:51:688,1,133,1,0,148,891,133,0 35,0,2024-09-07 08:33:50:861,31407,0.5,31789,0.6,63434,0.5,84690,1.75 35,1,2024-09-07 08:33:51:067,233925,233925,0,0,109850269014,1146384787,231150,2005,770,384,391589,0 35,2,2024-09-07 08:33:51:593,163904,163904,0,0,6927574,0,2653 35,3,2024-09-07 08:33:50:907,1,133,1,0,418,1845,133,0 36,0,2024-09-07 08:33:51:556,35349,1.4,35071,1.2,70847,1.7,94635,2.75 36,1,2024-09-07 08:33:50:589,233080,233080,0,0,108800807116,1147862307,228472,3321,1287,366,391759,0 36,2,2024-09-07 08:33:51:757,169022,169022,0,0,8613656,0,3303 36,3,2024-09-07 08:33:50:867,1,133,1,0,378,1701,133,0 37,0,2024-09-07 08:33:51:374,31204,0.6,31305,0.8,62295,0.6,83811,2.00 37,1,2024-09-07 08:33:50:578,232460,232453,0,7,108626993056,1151189817,227698,2738,2017,365,391518,0 37,2,2024-09-07 08:33:51:145,169454,169439,15,0,8561364,0,5815 37,3,2024-09-07 08:33:51:777,1,133,8,0,724,2388,133,0 38,0,2024-09-07 08:33:51:436,32634,0.4,31625,0.6,66047,0.3,86599,2.00 38,1,2024-09-07 08:33:51:617,234449,234449,0,0,109659680078,1152494723,230796,3081,572,368,391821,0 38,2,2024-09-07 08:33:50:759,167589,167542,47,0,10000851,0,6710 38,3,2024-09-07 08:33:50:997,1,133,1,0,603,1998,133,0 39,0,2024-09-07 08:33:51:768,34047,0.7,33259,0.7,65076,0.7,88383,2.00 39,1,2024-09-07 08:33:50:720,233907,233907,0,0,108655234128,1140731964,230121,2985,801,365,391524,0 39,2,2024-09-07 08:33:51:419,165831,165831,0,0,7498830,0,2689 39,3,2024-09-07 08:33:50:714,1,133,1,0,276,1968,133,0 40,0,2024-09-07 08:33:51:533,34088,1.1,34761,1.4,68923,1.4,92505,3.25 40,1,2024-09-07 08:33:50:576,233532,233532,0,0,108781268483,1145613827,229279,3486,767,368,391591,0 40,2,2024-09-07 08:33:51:308,168179,168178,1,0,9269044,0,5137 40,3,2024-09-07 08:33:51:147,1,133,17,0,181,1461,133,0 41,0,2024-09-07 08:33:51:107,33054,2.5,33732,2.0,64788,3.9,88584,4.50 41,1,2024-09-07 08:33:50:770,233567,233567,0,0,109424973380,1152320246,229386,3650,531,370,391742,0 41,2,2024-09-07 08:33:50:768,168069,168069,0,0,9104972,0,3356 41,3,2024-09-07 08:33:51:676,1,133,15,0,366,1868,133,0 42,0,2024-09-07 08:33:51:478,33445,0.6,33766,0.9,67349,0.5,89179,2.25 42,1,2024-09-07 08:33:51:444,232427,232427,0,0,109342375836,1155920789,227955,3514,958,380,391675,0 42,2,2024-09-07 08:33:51:133,167103,167103,0,0,8926316,0,3568 42,3,2024-09-07 08:33:51:009,1,133,1,0,446,1361,133,0 43,0,2024-09-07 08:33:50:918,32538,0.7,31796,0.9,66118,0.8,86972,2.00 43,1,2024-09-07 08:33:50:582,233199,233199,0,0,109567010428,1147771801,230061,2381,757,366,391604,0 43,2,2024-09-07 08:33:51:740,168663,168663,0,0,8378239,0,3812 43,3,2024-09-07 08:33:51:754,1,133,1,0,325,1953,133,0 44,0,2024-09-07 08:33:50:868,34464,0.6,34276,0.8,68910,0.6,92089,2.00 44,1,2024-09-07 08:33:50:565,234398,234398,0,0,109401865382,1131972220,232923,1346,129,356,391809,0 44,2,2024-09-07 08:33:51:275,165133,165133,0,0,6607763,0,1877 44,3,2024-09-07 08:33:51:095,1,133,1,0,817,1704,133,0 45,0,2024-09-07 08:33:51:785,34190,0.7,33527,0.9,70445,0.7,93012,2.00 45,1,2024-09-07 08:33:51:007,233963,233963,0,0,109740860190,1140173643,232956,997,10,382,391917,0 45,2,2024-09-07 08:33:51:273,169952,169952,0,0,7279668,0,3596 45,3,2024-09-07 08:33:50:934,1,133,72,0,226,1344,133,0 46,0,2024-09-07 08:33:50:956,32610,0.4,32575,0.6,65382,0.3,86982,1.75 46,1,2024-09-07 08:33:50:584,234489,234489,0,0,109741210921,1137390630,233007,1376,106,366,391524,0 46,2,2024-09-07 08:33:50:593,169737,169737,0,0,7114804,0,2920 46,3,2024-09-07 08:33:51:135,1,133,0,0,363,1939,133,0 47,0,2024-09-07 08:33:51:103,31966,0.3,32198,0.5,64619,0.3,84883,1.75 47,1,2024-09-07 08:33:50:569,234650,234650,0,0,109112371349,1128479494,233595,1044,11,366,391605,0 47,2,2024-09-07 08:33:50:910,169359,169359,0,0,7649574,0,2558 47,3,2024-09-07 08:33:51:117,1,133,4,0,529,1515,133,0 48,0,2024-09-07 08:33:51:499,32418,0.3,32087,0.5,64436,0.3,85844,1.75 48,1,2024-09-07 08:33:51:030,234203,234203,0,0,110265985727,1150008073,232194,1829,180,384,391710,0 48,2,2024-09-07 08:33:50:699,163751,163751,0,0,6224972,0,2083 48,3,2024-09-07 08:33:50:753,1,133,1,0,339,1336,133,0 49,0,2024-09-07 08:33:51:711,36262,0.5,35593,0.7,69408,0.5,95012,1.75 49,1,2024-09-07 08:33:51:027,233215,233215,0,0,109340623029,1145412048,230483,1892,840,382,391583,0 49,2,2024-09-07 08:33:51:799,170123,170123,0,0,7353311,0,3900 49,3,2024-09-07 08:33:51:431,1,133,8,0,408,1760,133,0 50,0,2024-09-07 08:33:51:508,31601,0.4,31040,0.6,62297,0.3,83554,1.75 50,1,2024-09-07 08:33:51:010,234886,234886,0,0,109939325216,1144702791,232505,2072,309,368,391530,0 50,2,2024-09-07 08:33:51:067,169709,169709,0,0,7081135,0,2253 50,3,2024-09-07 08:33:51:291,1,133,2,0,335,1267,133,0 51,0,2024-09-07 08:33:51:685,32924,0.3,32312,0.4,63036,0.2,85544,1.75 51,1,2024-09-07 08:33:51:686,234006,234006,0,0,110424145165,1145712797,232053,1167,786,367,391637,0 51,2,2024-09-07 08:33:51:316,167595,167595,0,0,6646668,0,3337 51,3,2024-09-07 08:33:51:029,1,133,1,0,162,845,133,0 52,0,2024-09-07 08:33:51:433,32394,0.6,32488,0.8,65167,0.6,85650,2.25 52,1,2024-09-07 08:33:50:587,232767,232767,0,0,108263465805,1148936477,227114,4500,1153,368,391722,0 52,2,2024-09-07 08:33:51:757,162987,162949,38,0,9066928,0,6742 52,3,2024-09-07 08:33:50:676,1,133,1,0,1782,3423,133,0 53,0,2024-09-07 08:33:51:736,34139,1.2,33178,1.1,69354,1.4,91608,3.00 53,1,2024-09-07 08:33:50:779,232240,232240,0,0,109564486540,1164663508,226625,3721,1894,367,391702,0 53,2,2024-09-07 08:33:51:405,170075,170075,0,0,7427768,0,2262 53,3,2024-09-07 08:33:50:701,1,133,9,0,133,1126,133,0 54,0,2024-09-07 08:33:51:622,31864,3.3,32275,1.6,63779,1.8,85627,4.50 54,1,2024-09-07 08:33:50:590,233728,233728,0,0,109600208348,1149518992,230098,3079,551,367,391532,0 54,2,2024-09-07 08:33:50:872,169108,169102,6,0,9010984,0,5382 54,3,2024-09-07 08:33:50:774,1,133,1,0,676,2770,133,0 55,0,2024-09-07 08:33:51:766,32322,0.5,33195,0.8,67550,0.4,87465,2.50 55,1,2024-09-07 08:33:50:775,232870,232870,0,0,108517720580,1143447568,227856,4227,787,367,391731,0 55,2,2024-09-07 08:33:50:729,166849,166849,0,0,8914634,0,3275 55,3,2024-09-07 08:33:50:676,1,133,1,0,304,1622,133,0 56,0,2024-09-07 08:33:51:581,33952,1.4,32151,1.2,65843,1.9,88555,2.50 56,1,2024-09-07 08:33:50:569,233141,233141,0,0,109938752875,1170479468,228025,4214,902,382,391678,0 56,2,2024-09-07 08:33:51:313,166722,166722,0,0,9369493,0,3567 56,3,2024-09-07 08:33:51:059,1,133,1,0,405,2091,133,0 57,0,2024-09-07 08:33:50:947,33402,3.0,33379,1.7,66786,4.8,89548,4.25 57,1,2024-09-07 08:33:50:987,233306,233306,0,0,108685333887,1141676599,230725,2411,170,368,391773,0 57,2,2024-09-07 08:33:51:323,167366,167366,0,0,9357597,0,3178 57,3,2024-09-07 08:33:51:763,1,133,11,0,359,2587,133,0 58,0,2024-09-07 08:33:50:561,33531,1.5,32695,1.3,68147,2.4,89942,3.25 58,1,2024-09-07 08:33:50:613,234047,234044,0,3,110224704861,1155912063,230673,2976,395,369,391516,3 58,2,2024-09-07 08:33:51:071,169097,169097,0,0,8418615,0,2549 58,3,2024-09-07 08:33:51:068,1,133,66,0,219,1194,133,0 59,0,2024-09-07 08:33:51:761,33592,0.9,33323,1.0,66666,1.0,88916,3.00 59,1,2024-09-07 08:33:50:817,233638,233638,0,0,109196914936,1148211812,230052,2664,922,369,391515,0 59,2,2024-09-07 08:33:50:583,169360,169360,0,0,7652142,0,2604 59,3,2024-09-07 08:33:51:737,1,133,60,0,1015,2769,133,0 60,0,2024-09-07 08:33:51:722,32346,0.4,32414,0.6,64331,0.4,85484,1.75 60,1,2024-09-07 08:33:50:779,235050,235050,0,0,109722206351,1140173017,233726,970,354,370,391761,0 60,2,2024-09-07 08:33:51:146,168416,168416,0,0,8516135,0,3811 60,3,2024-09-07 08:33:51:258,1,133,1,0,124,1484,133,0 61,0,2024-09-07 08:33:51:503,32892,1.3,33113,1.1,66004,2.0,88642,2.00 61,1,2024-09-07 08:33:50:771,233694,233694,0,0,110101776171,1157247260,230633,2523,538,382,391589,0 61,2,2024-09-07 08:33:51:124,165176,165176,0,0,7049596,0,2079 61,3,2024-09-07 08:33:51:687,1,133,8,0,199,1767,133,0 62,0,2024-09-07 08:33:51:708,35629,1.0,36481,0.9,69649,1.2,94786,2.00 62,1,2024-09-07 08:33:51:115,235394,235388,0,6,109964002808,1136719858,233966,1384,38,365,391715,6 62,2,2024-09-07 08:33:51:660,168351,168350,1,0,8751298,0,5555 62,3,2024-09-07 08:33:51:144,1,133,1,0,287,1082,133,0 63,0,2024-09-07 08:33:51:453,31834,0.6,31858,0.7,63800,0.6,85051,2.00 63,1,2024-09-07 08:33:50:815,235007,235001,0,6,110497027867,1146601489,233892,1089,20,381,391542,6 63,2,2024-09-07 08:33:50:763,169687,169687,0,0,6892539,0,2674 63,3,2024-09-07 08:33:51:739,1,133,1,0,667,2228,133,0 64,0,2024-09-07 08:33:51:519,31621,0.4,31488,0.6,63079,0.4,84114,1.75 64,1,2024-09-07 08:33:50:766,234087,234087,0,0,109075941886,1145984236,230647,2388,1052,371,391783,0 64,2,2024-09-07 08:33:51:153,169245,169226,19,0,7811298,0,6121 64,3,2024-09-07 08:33:51:139,1,133,10,0,265,1728,133,0 65,0,2024-09-07 08:33:51:683,31053,1.3,31590,1.1,62968,1.7,83983,2.75 65,1,2024-09-07 08:33:50:868,233179,233179,0,0,109043759054,1144826562,230788,2169,222,382,391770,0 65,2,2024-09-07 08:33:51:699,164360,164360,0,0,8180591,0,3367 65,3,2024-09-07 08:33:51:685,1,133,10,0,163,1475,133,0 66,0,2024-09-07 08:33:51:765,35024,1.0,34940,1.0,70315,1.4,93909,2.75 66,1,2024-09-07 08:33:51:295,234566,234566,0,0,109622987937,1142687233,232729,1666,171,380,391588,0 66,2,2024-09-07 08:33:51:133,171281,171281,0,0,7596873,0,4956 66,3,2024-09-07 08:33:51:079,1,133,1,0,291,1650,133,0 67,0,2024-09-07 08:33:51:424,31612,1.1,31551,1.1,63077,1.4,83914,2.75 67,1,2024-09-07 08:33:50:766,233513,233512,0,1,109244710077,1148239143,230067,2699,746,381,391787,1 67,2,2024-09-07 08:33:50:589,170238,170238,0,0,7146979,0,2889 67,3,2024-09-07 08:33:51:756,1,133,7,0,138,1220,133,0 68,0,2024-09-07 08:33:50:566,32956,0.5,32881,0.7,65552,0.4,86805,2.00 68,1,2024-09-07 08:33:50:572,232771,232771,0,0,108659729834,1145873025,229949,1805,1017,381,391953,0 68,2,2024-09-07 08:33:51:044,166319,166254,65,0,10194801,0,6698 68,3,2024-09-07 08:33:50:734,1,133,1,0,417,1762,133,0 69,0,2024-09-07 08:33:51:766,33071,0.9,33343,0.9,66165,1.1,87943,2.25 69,1,2024-09-07 08:33:51:037,232387,232387,0,0,109018164328,1159241437,228557,2627,1203,384,391611,0 69,2,2024-09-07 08:33:51:740,165492,165492,0,0,8980800,0,3701 69,3,2024-09-07 08:33:50:762,1,133,8,0,238,1740,133,0 70,0,2024-09-07 08:33:51:540,34237,2.8,34404,1.8,68963,2.2,91870,4.00 70,1,2024-09-07 08:33:50:815,234136,234136,0,0,109710476024,1145526617,231730,1944,462,367,391725,0 70,2,2024-09-07 08:33:51:325,168331,168331,0,0,8367313,0,4044 70,3,2024-09-07 08:33:50:748,1,133,5,0,854,1808,133,0 71,0,2024-09-07 08:33:51:357,33070,2.6,33145,1.9,65839,4.2,88817,4.00 71,1,2024-09-07 08:33:51:616,233805,233805,0,0,109274494299,1150632611,229711,3572,522,368,391682,0 71,2,2024-09-07 08:33:51:069,169634,169634,0,0,8194651,0,2470 71,3,2024-09-07 08:33:51:756,1,133,23,0,644,2489,133,0 72,0,2024-09-07 08:33:51:044,35135,0.5,34249,0.7,67207,0.4,91175,2.25 72,1,2024-09-07 08:33:51:025,233570,233570,0,0,109440944726,1147777353,230329,2755,486,370,391819,0 72,2,2024-09-07 08:33:51:767,166669,166669,0,0,9756089,0,2570 72,3,2024-09-07 08:33:51:761,1,133,33,0,325,2257,133,0 73,0,2024-09-07 08:33:51:103,31807,0.4,32552,0.6,66634,0.3,86789,2.25 73,1,2024-09-07 08:33:50:766,233818,233818,0,0,109284679891,1137853151,232110,1594,114,368,391627,0 73,2,2024-09-07 08:33:51:739,168622,168622,0,0,9045461,0,3482 73,3,2024-09-07 08:33:50:975,1,133,9,0,274,2381,133,0 74,0,2024-09-07 08:33:51:349,34571,0.6,35094,0.9,67121,0.7,91521,2.50 74,1,2024-09-07 08:33:50:635,234115,234115,0,0,109259829563,1145103955,231357,2139,619,382,391681,0 74,2,2024-09-07 08:33:51:008,165265,165265,0,0,8946609,0,4253 74,3,2024-09-07 08:33:51:442,1,133,3,0,246,2057,133,0 75,0,2024-09-07 08:33:51:770,34789,0.7,34667,0.9,69258,0.7,93121,2.50 75,1,2024-09-07 08:33:51:590,233344,233344,0,0,109450435633,1151192479,230143,2762,439,381,391579,0 75,2,2024-09-07 08:33:51:350,168640,168640,0,0,8599618,0,4766 75,3,2024-09-07 08:33:51:067,1,133,1,0,535,1700,133,0 76,0,2024-09-07 08:33:50:579,32645,0.4,32387,0.7,64658,0.4,87133,2.25 76,1,2024-09-07 08:33:50:818,233684,233684,0,0,109108252478,1141970775,231720,1454,510,382,391692,0 76,2,2024-09-07 08:33:51:065,170273,170273,0,0,7233701,0,3064 76,3,2024-09-07 08:33:51:152,1,133,8,0,175,1578,133,0 77,0,2024-09-07 08:33:51:703,32021,0.5,32201,0.7,64489,0.5,84874,2.00 77,1,2024-09-07 08:33:50:842,234159,234159,0,0,109269779233,1142362328,232659,1419,81,383,391808,0 77,2,2024-09-07 08:33:51:282,168395,168395,0,0,7574443,0,3890 77,3,2024-09-07 08:33:51:098,1,133,13,0,305,1863,133,0 78,0,2024-09-07 08:33:51:717,32154,0.6,32153,0.8,64591,0.6,85527,2.00 78,1,2024-09-07 08:33:50:613,234243,234243,0,0,108810915111,1133946830,232411,1626,206,367,391589,0 78,2,2024-09-07 08:33:51:404,164795,164795,0,0,6864605,0,2114 78,3,2024-09-07 08:33:51:134,1,133,11,0,181,1530,133,0 79,0,2024-09-07 08:33:51:368,34320,0.5,34999,0.8,71705,0.5,93634,2.75 79,1,2024-09-07 08:33:50:570,234829,234829,0,0,109551058073,1138318099,232815,1843,171,369,391682,0 79,2,2024-09-07 08:33:51:068,170948,170948,0,0,7221491,0,3212 79,3,2024-09-07 08:33:50:751,1,133,3,0,418,2665,133,0 80,0,2024-09-07 08:33:51:086,31315,0.8,32085,0.9,61820,0.8,83817,2.25 80,1,2024-09-07 08:33:51:628,233112,233112,0,0,109284642260,1142971339,230631,2322,159,368,391673,0 80,2,2024-09-07 08:33:51:096,170672,170672,0,0,7917103,0,4433 80,3,2024-09-07 08:33:50:575,1,133,15,0,190,2462,133,0 81,0,2024-09-07 08:33:51:571,32249,0.5,33158,0.6,63524,0.4,85274,1.75 81,1,2024-09-07 08:33:51:654,233666,233666,0,0,108753262651,1141766300,231225,2169,272,382,391879,0 81,2,2024-09-07 08:33:51:124,167343,167343,0,0,8256136,0,3993 81,3,2024-09-07 08:33:51:123,1,133,9,0,193,1452,133,0 82,0,2024-09-07 08:33:51:542,32103,0.6,32253,0.8,64784,0.6,85875,2.00 82,1,2024-09-07 08:33:50:589,233679,233675,0,4,109382225887,1145523290,231238,1861,576,382,391558,4 82,2,2024-09-07 08:33:51:695,165293,165293,0,0,7434399,0,3986 82,3,2024-09-07 08:33:51:754,1,133,4,0,227,1753,133,0 83,0,2024-09-07 08:33:51:544,34539,0.9,34372,0.9,68029,1.0,91630,2.50 83,1,2024-09-07 08:33:50:554,233942,233942,0,0,108910429267,1141849225,231521,2218,203,382,391584,0 83,2,2024-09-07 08:33:50:781,169281,169281,0,0,7476847,0,3119 83,3,2024-09-07 08:33:50:750,1,133,1,0,133,1307,133,0 84,0,2024-09-07 08:33:51:767,32550,2.0,32212,1.6,64834,1.6,86924,3.00 84,1,2024-09-07 08:33:51:043,232925,232925,0,0,109284577728,1150572722,229633,2841,451,368,391852,0 84,2,2024-09-07 08:33:50:576,169289,169289,0,0,8657155,0,3801 84,3,2024-09-07 08:33:51:144,1,133,1,0,236,1575,133,0 85,0,2024-09-07 08:33:51:011,32359,0.5,32317,0.8,68554,0.4,88588,2.25 85,1,2024-09-07 08:33:50:568,232499,232499,0,0,108863931835,1161463989,227111,4312,1076,382,391679,0 85,2,2024-09-07 08:33:50:869,167721,167721,0,0,9328953,0,3656 85,3,2024-09-07 08:33:50:690,1,133,11,0,115,1406,133,0 86,0,2024-09-07 08:33:50:890,33127,0.6,34071,0.7,65443,0.6,88373,2.00 86,1,2024-09-07 08:33:50:842,233403,233403,0,0,109118848135,1150092966,229468,3392,543,366,391961,0 86,2,2024-09-07 08:33:50:873,166226,166225,1,0,9717135,0,5004 86,3,2024-09-07 08:33:50:590,1,133,2,0,286,2035,133,0 87,0,2024-09-07 08:33:51:344,33995,2.1,34048,1.3,67791,3.1,91669,2.75 87,1,2024-09-07 08:33:50:568,232714,232714,0,0,109116897796,1149391102,229526,2798,390,366,391788,0 87,2,2024-09-07 08:33:51:076,167006,167006,0,0,7787426,0,3515 87,3,2024-09-07 08:33:51:819,1,133,24,0,335,2561,133,0 88,0,2024-09-07 08:33:51:445,34864,0.8,35001,0.8,69849,0.9,93453,2.00 88,1,2024-09-07 08:33:50:568,232392,232392,0,0,109461484860,1155627791,228412,2818,1162,367,391787,0 88,2,2024-09-07 08:33:50:695,169370,169370,0,0,8868586,0,3583 88,3,2024-09-07 08:33:51:274,1,133,3,1,435,2006,133,0 89,0,2024-09-07 08:33:51:788,34593,0.5,33519,0.7,66470,0.4,90405,1.75 89,1,2024-09-07 08:33:50:554,232114,232114,0,0,108893483782,1159705721,226751,4430,933,382,391866,0 89,2,2024-09-07 08:33:51:138,168344,168344,0,0,9116258,0,2910 89,3,2024-09-07 08:33:51:792,1,133,9,0,325,2935,133,0 90,0,2024-09-07 08:33:51:640,31245,0.4,31882,0.6,65619,0.4,85257,1.75 90,1,2024-09-07 08:33:50:591,233854,233854,0,0,108647884949,1145300720,231005,2691,158,382,391825,0 90,2,2024-09-07 08:33:51:418,167332,167332,0,0,9844351,0,3060 90,3,2024-09-07 08:33:50:932,1,133,3,1,200,1696,133,0 91,0,2024-09-07 08:33:50:943,33499,0.5,32255,0.7,67179,0.5,88973,1.75 91,1,2024-09-07 08:33:50:564,233315,233315,0,0,109380744768,1155094657,229594,3228,493,381,391960,0 91,2,2024-09-07 08:33:51:338,163352,163352,0,0,9046839,0,2445 91,3,2024-09-07 08:33:50:607,1,133,17,0,155,1580,133,0 92,0,2024-09-07 08:33:51:455,35907,0.6,36700,0.7,70333,0.7,95163,1.75 92,1,2024-09-07 08:33:50:590,233624,233624,0,0,109542167846,1147513870,231609,1618,397,382,391717,0 92,2,2024-09-07 08:33:51:361,171326,171326,0,0,7245077,0,2279 92,3,2024-09-07 08:33:51:009,1,133,30,0,68,1256,133,0 93,0,2024-09-07 08:33:50:968,32053,0.5,32814,0.7,62902,0.4,85408,1.75 93,1,2024-09-07 08:33:50:815,233644,233644,0,0,109645846740,1148330929,231058,2084,502,366,391689,0 93,2,2024-09-07 08:33:50:934,169620,169620,0,0,7403639,0,2509 93,3,2024-09-07 08:33:51:407,1,133,7,0,143,1484,133,0 94,0,2024-09-07 08:33:51:623,31730,0.3,31994,0.5,63400,0.3,83921,1.75 94,1,2024-09-07 08:33:50:574,233610,233610,0,0,108605025767,1140318787,231300,2218,92,381,391850,0 94,2,2024-09-07 08:33:50:762,168005,168005,0,0,7318439,0,2443 94,3,2024-09-07 08:33:51:690,1,133,12,0,264,2176,133,0 95,0,2024-09-07 08:33:51:343,31869,0.4,31791,0.6,63810,0.3,84688,1.75 95,1,2024-09-07 08:33:50:853,234144,234144,0,0,109881957457,1144600318,232393,1597,154,367,391600,0 95,2,2024-09-07 08:33:51:021,164088,164088,0,0,7459291,0,3308 95,3,2024-09-07 08:33:51:711,1,133,6,0,307,2143,133,0 96,0,2024-09-07 08:33:51:025,35450,0.8,35534,0.8,71007,0.8,94512,2.00 96,1,2024-09-07 08:33:51:597,233427,233427,0,0,108580341957,1141470463,230844,1942,641,385,391894,0 96,2,2024-09-07 08:33:51:272,169818,169818,0,0,8105129,0,4042 96,3,2024-09-07 08:33:51:144,1,133,3,0,188,1617,133,0 97,0,2024-09-07 08:33:51:310,31708,0.5,31663,0.6,63326,0.5,83882,1.75 97,1,2024-09-07 08:33:50:768,233672,233672,0,0,109969571012,1148512248,230913,2212,547,367,392140,0 97,2,2024-09-07 08:33:50:608,169429,169429,0,0,7372266,0,3036 97,3,2024-09-07 08:33:50:577,1,133,7,1,165,1650,133,0 98,0,2024-09-07 08:33:51:703,32872,0.3,32708,0.4,65823,0.2,87165,1.50 98,1,2024-09-07 08:33:50:573,233893,233893,0,0,109593692186,1146312999,232107,1700,86,382,391997,0 98,2,2024-09-07 08:33:50:772,168544,168544,0,0,7702514,0,3080 98,3,2024-09-07 08:33:50:698,1,133,1,1,840,2857,133,0 99,0,2024-09-07 08:33:51:448,33243,0.3,33278,0.5,66603,0.3,88267,1.75 99,1,2024-09-07 08:33:51:723,233739,233739,0,0,108961919669,1141405334,231125,1962,652,381,391744,0 99,2,2024-09-07 08:33:51:417,167535,167535,0,0,7405502,0,2615 99,3,2024-09-07 08:33:50:594,1,133,1,0,129,1032,133,0 100,0,2024-09-07 08:33:51:485,34472,1.7,34529,1.7,69071,2.9,92666,2.75 100,1,2024-09-07 08:33:50:550,231957,231957,0,0,108301945521,1156363944,226799,4228,930,381,391989,0 100,2,2024-09-07 08:33:51:824,167656,167645,11,0,8912272,0,5417 100,3,2024-09-07 08:33:51:739,1,133,2,0,559,3392,133,0 101,0,2024-09-07 08:33:51:703,34047,3.6,33108,1.9,65203,4.4,89916,3.50 101,1,2024-09-07 08:33:50:555,232475,232475,0,0,108841234660,1158777252,227315,3694,1466,368,391709,0 101,2,2024-09-07 08:33:51:757,168663,168663,0,0,9834534,0,4644 101,3,2024-09-07 08:33:50:947,1,133,14,0,448,1944,133,0 102,0,2024-09-07 08:33:50:965,33212,0.6,34455,0.8,69136,0.5,90618,2.00 102,1,2024-09-07 08:33:51:142,232930,232930,0,0,108925351833,1153706260,228924,3297,709,369,391831,0 102,2,2024-09-07 08:33:51:744,168251,168197,54,0,9398470,0,6768 102,3,2024-09-07 08:33:51:613,1,133,1,0,410,1606,133,0 103,0,2024-09-07 08:33:51:600,33561,0.4,33496,0.6,63326,0.4,87166,1.75 103,1,2024-09-07 08:33:51:626,232441,232441,0,0,109457360250,1160462838,228114,3121,1206,381,391829,0 103,2,2024-09-07 08:33:50:598,167355,167355,0,0,8286739,0,2104 103,3,2024-09-07 08:33:50:757,1,133,7,0,916,2843,133,0 104,0,2024-09-07 08:33:51:019,33904,2.1,33996,1.4,67095,3.2,91522,3.00 104,1,2024-09-07 08:33:51:604,233745,233745,0,0,109134502264,1158064010,228851,3937,957,366,391948,0 104,2,2024-09-07 08:33:51:672,164586,164586,0,0,8626981,0,3941 104,3,2024-09-07 08:33:51:417,1,133,2,1,1245,4890,133,0 105,0,2024-09-07 08:33:51:037,34373,1.0,33339,1.2,69406,1.4,92078,3.50 105,1,2024-09-07 08:33:50:579,233615,233615,0,0,109329552019,1153709910,229445,3399,771,367,391797,0 105,2,2024-09-07 08:33:51:325,168804,168804,0,0,8410930,0,3509 105,3,2024-09-07 08:33:51:305,1,133,8,1,399,2198,133,0 106,0,2024-09-07 08:33:50:947,31671,0.7,32458,0.9,66100,0.7,87041,2.25 106,1,2024-09-07 08:33:51:766,233000,233000,0,0,108490067986,1144079298,229311,3305,384,369,391767,0 106,2,2024-09-07 08:33:50:755,168974,168974,0,0,8514630,0,2795 106,3,2024-09-07 08:33:50:682,1,133,2,0,405,2231,133,0 107,0,2024-09-07 08:33:51:104,32141,0.4,32031,0.6,63800,0.4,85081,1.75 107,1,2024-09-07 08:33:50:598,232804,232804,0,0,108340383194,1144271340,229851,2727,226,381,392234,0 107,2,2024-09-07 08:33:51:293,167900,167899,1,0,8656986,0,5024 107,3,2024-09-07 08:33:51:761,1,133,15,0,353,1758,133,0 108,0,2024-09-07 08:33:51:793,32286,0.4,32578,0.6,64290,0.4,85925,1.75 108,1,2024-09-07 08:33:51:303,233398,233398,0,0,109484165915,1147044021,230831,2258,309,368,391857,0 108,2,2024-09-07 08:33:51:758,163498,163498,0,0,7775104,0,2647 108,3,2024-09-07 08:33:51:333,1,133,0,0,288,2131,133,0 109,0,2024-09-07 08:33:51:756,35683,0.5,35479,0.7,70661,0.5,95320,1.75 109,1,2024-09-07 08:33:50:603,232998,232998,0,0,109430184570,1152391642,230525,2011,462,383,391812,0 109,2,2024-09-07 08:33:50:925,169177,169177,0,0,8300921,0,3617 109,3,2024-09-07 08:33:51:149,1,133,12,1,249,2048,133,0 110,0,2024-09-07 08:33:51:752,31585,0.5,30733,0.7,64128,0.5,83885,1.75 110,1,2024-09-07 08:33:51:651,233910,233910,0,0,108942865044,1137931898,231549,1661,700,370,391667,0 110,2,2024-09-07 08:33:51:304,170345,170345,0,0,7381305,0,2915 110,3,2024-09-07 08:33:50:700,1,133,17,0,406,2035,133,0 111,0,2024-09-07 08:33:51:424,32361,0.2,31881,0.4,63842,0.2,85419,1.50 111,1,2024-09-07 08:33:51:000,234371,234371,0,0,110335902171,1148647940,232625,1414,332,382,391690,0 111,2,2024-09-07 08:33:51:124,167896,167896,0,0,8211753,0,2763 111,3,2024-09-07 08:33:50:920,1,133,2,0,379,2389,133,0 112,0,2024-09-07 08:33:50:941,32475,0.3,32432,0.5,64857,0.2,86090,1.50 112,1,2024-09-07 08:33:50:839,234173,234173,0,0,109368010723,1142318688,231849,1899,425,381,391580,0 112,2,2024-09-07 08:33:51:139,164875,164874,1,0,7975719,0,5036 112,3,2024-09-07 08:33:50:594,1,133,1,0,282,1606,133,0 113,0,2024-09-07 08:33:50:881,34337,0.4,34041,0.6,69034,0.4,92734,1.75 113,1,2024-09-07 08:33:51:692,234633,234633,0,0,110300886109,1146575755,232226,1919,488,366,391661,0 113,2,2024-09-07 08:33:51:303,170627,170627,0,0,7136522,0,3813 113,3,2024-09-07 08:33:50:684,1,133,22,0,288,2191,133,0 114,0,2024-09-07 08:33:50:888,33313,0.8,33907,0.8,66275,0.6,88592,2.00 114,1,2024-09-07 08:33:50:718,233809,233809,0,0,109606226962,1153777068,229707,2640,1462,381,391534,0 114,2,2024-09-07 08:33:50:880,169186,169186,0,0,7391475,0,3925 114,3,2024-09-07 08:33:51:279,1,133,0,0,395,1926,133,0 115,0,2024-09-07 08:33:50:554,33426,0.3,33761,0.4,67561,0.2,89354,1.50 115,1,2024-09-07 08:33:50:571,234033,234033,0,0,109694484635,1150056701,230394,2818,821,382,391602,0 115,2,2024-09-07 08:33:51:127,168481,168481,0,0,6939040,0,2152 115,3,2024-09-07 08:33:51:003,1,133,2,0,159,1037,133,0 116,0,2024-09-07 08:33:51:698,33291,0.7,32905,0.8,66170,0.7,88283,2.00 116,1,2024-09-07 08:33:50:826,232225,232225,0,0,109143269501,1168037800,227359,3114,1752,382,391677,0 116,2,2024-09-07 08:33:51:753,165862,165862,0,0,9957973,0,3529 116,3,2024-09-07 08:33:50:919,1,133,1,0,252,2089,133,0 117,0,2024-09-07 08:33:50:970,33976,2.0,34100,1.3,67676,3.1,91583,2.25 117,1,2024-09-07 08:33:51:588,233413,233413,0,0,108239301006,1141925536,229808,3179,426,370,392033,0 117,2,2024-09-07 08:33:51:124,168055,168055,0,0,7795003,0,3700 117,3,2024-09-07 08:33:51:059,1,133,9,0,490,3085,133,0 118,0,2024-09-07 08:33:51:774,33933,1.1,34945,1.0,71012,1.3,92725,2.50 118,1,2024-09-07 08:33:50:591,232856,232856,0,0,108708583917,1154620031,228238,3328,1290,368,391736,0 118,2,2024-09-07 08:33:51:590,168968,168968,0,0,8842289,0,2781 118,3,2024-09-07 08:33:51:768,1,133,3,0,235,1975,133,0 119,0,2024-09-07 08:33:51:344,33216,0.8,33431,0.9,67683,0.9,89484,2.00 119,1,2024-09-07 08:33:50:552,233574,233574,0,0,109590782376,1148654902,231205,2082,287,370,391641,0 119,2,2024-09-07 08:33:51:265,167672,167672,0,0,8323058,0,3526 119,3,2024-09-07 08:33:51:328,1,133,10,0,443,2705,133,0 120,0,2024-09-07 08:33:51:586,31863,0.5,31985,0.7,63794,0.5,85265,2.00 120,1,2024-09-07 08:33:50:889,233617,233617,0,0,108689401089,1146680467,230538,2820,259,368,391961,0 120,2,2024-09-07 08:33:50:776,167626,167625,1,0,10194423,0,5281 120,3,2024-09-07 08:33:51:290,1,133,3,0,241,1928,133,0 121,0,2024-09-07 08:33:51:689,32782,1.5,33267,1.2,66092,2.3,88673,2.25 121,1,2024-09-07 08:33:51:661,233643,233643,0,0,109042706190,1145754900,230943,2415,285,367,391840,0 121,2,2024-09-07 08:33:51:126,163318,163318,0,0,9083164,0,4127 121,3,2024-09-07 08:33:50:727,1,133,9,0,269,1936,133,0 122,0,2024-09-07 08:33:51:765,35162,1.3,34332,1.2,71725,1.4,95132,2.25 122,1,2024-09-07 08:33:50:865,232803,232803,0,0,108483493678,1146433403,228803,3339,661,366,392130,0 122,2,2024-09-07 08:33:51:323,169426,169426,0,0,10297897,0,3364 122,3,2024-09-07 08:33:50:599,1,133,1,0,411,3554,133,0 123,0,2024-09-07 08:33:50:960,31971,0.9,31042,0.9,64907,1.1,85147,2.25 123,1,2024-09-07 08:33:50:573,234207,234207,0,0,109710782014,1162480601,229196,4317,694,369,391823,0 123,2,2024-09-07 08:33:51:023,168170,168169,1,0,8876416,0,5215 123,3,2024-09-07 08:33:51:136,1,133,15,1,168,1904,133,0 124,0,2024-09-07 08:33:50:920,32664,0.3,32684,0.5,61601,0.2,84233,1.50 124,1,2024-09-07 08:33:51:026,233818,233818,0,0,109096661787,1136496507,231912,1553,353,367,392178,0 124,2,2024-09-07 08:33:51:010,168533,168533,0,0,7370136,0,3101 124,3,2024-09-07 08:33:50:758,1,133,2,0,490,2127,133,0 125,0,2024-09-07 08:33:51:425,31954,0.5,31810,0.6,63887,0.5,84776,1.75 125,1,2024-09-07 08:33:50:855,233378,233378,0,0,109507766058,1147631499,231052,2051,275,384,391702,0 125,2,2024-09-07 08:33:51:122,164453,164453,0,0,7096465,0,2180 125,3,2024-09-07 08:33:51:168,1,133,12,1,284,1847,133,0 126,0,2024-09-07 08:33:51:417,35459,0.8,36400,0.8,69523,0.9,95131,2.00 126,1,2024-09-07 08:33:50:567,234272,234272,0,0,109614133975,1140279490,232698,1497,77,365,391987,0 126,2,2024-09-07 08:33:50:618,170539,170539,0,0,7506904,0,3186 126,3,2024-09-07 08:33:50:908,1,133,37,0,136,2001,133,0 127,0,2024-09-07 08:33:51:596,31414,0.5,31691,0.6,63367,0.5,83977,1.75 127,1,2024-09-07 08:33:50:573,233836,233836,0,0,108761458400,1131060516,231937,1863,36,365,391816,0 127,2,2024-09-07 08:33:50:639,169747,169747,0,0,6950534,0,1803 127,3,2024-09-07 08:33:51:274,1,133,2,0,99,963,133,0 128,0,2024-09-07 08:33:51:525,33069,0.3,32911,0.5,65641,0.2,87137,1.50 128,1,2024-09-07 08:33:51:630,234319,234319,0,0,109848937985,1140879254,233353,894,72,367,391680,0 128,2,2024-09-07 08:33:51:384,167256,167256,0,0,7351754,0,2107 128,3,2024-09-07 08:33:50:772,1,133,9,0,333,2157,133,0 129,0,2024-09-07 08:33:51:006,33522,0.3,33360,0.5,66562,0.3,88199,1.75 129,1,2024-09-07 08:33:50:568,233135,233135,0,0,109020408485,1147297150,230226,2517,392,379,391835,0 129,2,2024-09-07 08:33:50:686,165823,165823,0,0,7548187,0,4031 129,3,2024-09-07 08:33:50:688,1,133,16,1,173,1806,133,0 130,0,2024-09-07 08:33:51:724,35046,1.0,34800,0.9,69849,1.4,93746,2.00 130,1,2024-09-07 08:33:50:598,233877,233877,0,0,109251095434,1142905593,231827,1981,69,381,391825,0 130,2,2024-09-07 08:33:51:126,168436,168436,0,0,7507012,0,4067 130,3,2024-09-07 08:33:51:291,1,133,8,0,207,1274,133,0 131,0,2024-09-07 08:33:51:925,33842,0.7,33887,0.8,68575,0.9,90402,1.75 131,1,2024-09-07 08:33:51:819,234760,234760,0,0,109398380746,1142022286,233313,1241,206,384,391865,0 131,2,2024-09-07 08:33:50:567,169831,169831,0,0,7011542,0,2415 131,3,2024-09-07 08:33:51:688,1,133,5,0,392,1701,133,0 132,0,2024-09-07 08:33:51:413,33658,0.5,34211,0.8,68484,0.5,90978,2.00 132,1,2024-09-07 08:33:50:588,231915,231915,0,0,108456229527,1155622894,226525,4362,1028,381,391760,0 132,2,2024-09-07 08:33:50:703,167310,167310,0,0,10144376,0,4606 132,3,2024-09-07 08:33:51:688,1,133,1,0,356,2690,133,0 133,0,2024-09-07 08:33:51:524,31860,0.4,32492,0.6,66648,0.4,86395,1.75 133,1,2024-09-07 08:33:50:585,231931,231931,0,0,109115978687,1159809938,227436,3857,638,383,391914,0 133,2,2024-09-07 08:33:51:087,167408,167408,0,0,10093875,0,4315 133,3,2024-09-07 08:33:51:298,1,133,8,1,187,1265,133,0 134,0,2024-09-07 08:33:50:946,34264,0.7,34037,0.9,68736,0.8,91555,2.25 134,1,2024-09-07 08:33:50:589,232708,232708,0,0,108871562396,1149850688,228370,3118,1220,366,391718,0 134,2,2024-09-07 08:33:51:759,165001,165001,0,0,8065502,0,3096 134,3,2024-09-07 08:33:50:794,1,133,1,0,739,2841,133,0 135,0,2024-09-07 08:33:51:099,33790,1.2,33704,1.2,71277,1.3,92174,2.50 135,1,2024-09-07 08:33:51:587,232628,232628,0,0,108546927416,1149173503,228621,3306,701,380,391725,0 135,2,2024-09-07 08:33:50:690,169581,169581,0,0,9004543,0,3981 135,3,2024-09-07 08:33:51:002,1,133,1,0,89,817,133,0 136,0,2024-09-07 08:33:51:647,32984,0.6,32791,0.8,66045,0.6,88023,2.00 136,1,2024-09-07 08:33:51:443,232960,232960,0,0,108867081866,1146173296,229704,3083,173,384,391641,0 136,2,2024-09-07 08:33:51:137,170328,170328,0,0,8672584,0,3506 136,3,2024-09-07 08:33:51:121,1,133,5,0,108,1187,133,0 137,0,2024-09-07 08:33:50:934,32869,0.5,31988,0.7,63239,0.5,85307,2.00 137,1,2024-09-07 08:33:50:575,233397,233397,0,0,109371285754,1149950677,229652,3430,315,367,391608,0 137,2,2024-09-07 08:33:51:705,167903,167903,0,0,9853179,0,3185 137,3,2024-09-07 08:33:50:772,1,133,13,1,227,1726,133,0 138,0,2024-09-07 08:33:51:749,31716,1.8,31842,1.3,64244,2.7,85925,2.50 138,1,2024-09-07 08:33:51:690,233928,233928,0,0,109543782373,1150524300,230949,2630,349,368,391954,0 138,2,2024-09-07 08:33:50:595,164501,164501,0,0,7836158,0,3263 138,3,2024-09-07 08:33:50:610,1,133,1,0,1160,2595,133,0 139,0,2024-09-07 08:33:51:368,34520,3.3,34535,1.9,69940,4.6,93895,3.50 139,1,2024-09-07 08:33:50:609,232377,232377,0,0,108123892057,1154286163,227430,3633,1314,381,391892,0 139,2,2024-09-07 08:33:50:704,168040,168040,0,0,9039938,0,3097 139,3,2024-09-07 08:33:51:672,1,133,3,0,244,1727,133,0 140,0,2024-09-07 08:33:51:614,31675,0.4,31516,0.6,63215,0.3,83937,1.75 140,1,2024-09-07 08:33:51:537,234697,234697,0,0,110048239202,1138071374,233252,1206,239,365,391606,0 140,2,2024-09-07 08:33:50:698,170561,170561,0,0,7713456,0,3388 140,3,2024-09-07 08:33:50:768,1,133,5,0,247,1355,133,0 141,0,2024-09-07 08:33:51:706,31921,0.2,32970,0.4,63251,0.1,85362,1.50 141,1,2024-09-07 08:33:50:864,234180,234180,0,0,109741129007,1142507895,232331,1497,352,379,391614,0 141,2,2024-09-07 08:33:51:686,168010,168010,0,0,7073815,0,2342 141,3,2024-09-07 08:33:51:044,1,133,16,0,147,1211,133,0 142,0,2024-09-07 08:33:51:309,32802,0.3,32549,0.5,64551,0.3,86221,1.75 142,1,2024-09-07 08:33:50:586,233983,233983,0,0,109558219538,1143772255,232812,1037,134,383,391649,0 142,2,2024-09-07 08:33:51:301,163570,163538,32,0,8313502,0,6028 142,3,2024-09-07 08:33:51:754,1,133,1,0,484,2097,133,0 143,0,2024-09-07 08:33:51:370,34469,0.7,34553,0.7,69533,0.8,92590,2.00 143,1,2024-09-07 08:33:50:557,233954,233954,0,0,108972159520,1135307745,231883,2030,41,367,391619,0 143,2,2024-09-07 08:33:50:774,169450,169450,0,0,7703939,0,2669 143,3,2024-09-07 08:33:51:152,1,133,9,0,303,2358,133,0 144,0,2024-09-07 08:33:51:493,31817,1.2,32640,1.9,66286,1.5,87236,2.50 144,1,2024-09-07 08:33:50:600,233093,233093,0,0,109013406756,1148165127,230807,2083,203,381,391649,0 144,2,2024-09-07 08:33:51:757,169927,169927,0,0,7621093,0,3473 144,3,2024-09-07 08:33:51:742,1,133,9,0,249,1990,133,0 145,0,2024-09-07 08:33:51:365,32445,0.6,32400,0.8,68740,0.5,88885,2.25 145,1,2024-09-07 08:33:50:558,231678,231678,0,0,108332512627,1150270250,227323,3621,734,383,391615,0 145,2,2024-09-07 08:33:51:438,167423,167423,0,0,8703830,0,3903 145,3,2024-09-07 08:33:50:896,1,133,19,0,151,1731,133,0 146,0,2024-09-07 08:33:51:626,33015,0.5,32907,0.7,66463,0.5,87956,2.00 146,1,2024-09-07 08:33:51:591,233985,233985,0,0,109229526258,1152289197,229550,3577,858,368,391600,0 146,2,2024-09-07 08:33:51:699,167079,167079,0,0,8238341,0,2498 146,3,2024-09-07 08:33:51:274,1,133,38,0,1520,5078,133,0 147,0,2024-09-07 08:33:51:713,34056,1.4,33941,1.2,67194,1.8,91469,2.75 147,1,2024-09-07 08:33:51:375,234391,234391,0,0,109666906801,1143815324,231959,2040,392,368,391791,0 147,2,2024-09-07 08:33:51:017,167213,167213,0,0,7469871,0,2789 147,3,2024-09-07 08:33:50:921,1,133,1,0,371,1836,133,0 0,0,2024-09-07 08:34:01:716,31270,0.5,31287,0.7,66208,0.4,85842,1.75 0,1,2024-09-07 08:34:00:801,235256,235256,0,0,111000006940,1164971892,233535,1589,132,372,391692,0 0,2,2024-09-07 08:34:01:073,169377,169377,0,0,8105861,0,4480 0,3,2024-09-07 08:34:00:975,1,134,1,0,247,1925,134,0 1,0,2024-09-07 08:34:01:771,33500,1.8,33259,1.4,66894,2.5,89733,2.50 1,1,2024-09-07 08:34:00:565,234459,234459,0,0,109852209292,1158574375,231033,2561,865,372,391857,0 1,2,2024-09-07 08:34:00:646,165550,165550,0,0,7113187,0,3267 1,3,2024-09-07 08:34:01:309,1,134,22,0,262,1800,134,0 2,0,2024-09-07 08:34:01:574,35542,0.9,35667,1.0,70929,1.2,94966,2.25 2,1,2024-09-07 08:34:00:871,234977,234977,0,0,110193589646,1149521211,233533,1238,206,380,391558,0 2,2,2024-09-07 08:34:01:266,170480,170480,0,0,7886235,0,3594 2,3,2024-09-07 08:34:00:690,1,134,1,0,357,1613,134,0 3,0,2024-09-07 08:34:01:759,31992,0.6,32070,0.8,64225,0.6,85418,2.00 3,1,2024-09-07 08:34:01:624,235089,235089,0,0,109820014269,1151584688,231986,2679,424,382,391516,0 3,2,2024-09-07 08:34:01:148,170826,170803,23,0,8060914,0,5851 3,3,2024-09-07 08:34:01:752,1,134,1,0,103,911,134,0 4,0,2024-09-07 08:34:01:773,31014,0.3,31740,0.5,64564,0.2,84530,1.75 4,1,2024-09-07 08:34:00:602,235247,235247,0,0,109518335207,1157197989,232292,2435,520,371,391846,0 4,2,2024-09-07 08:34:01:023,168582,168582,0,0,9607200,0,4528 4,3,2024-09-07 08:34:01:040,1,134,16,0,287,2031,134,0 5,0,2024-09-07 08:34:01:400,32168,0.6,31995,0.7,64220,0.7,85523,1.75 5,1,2024-09-07 08:34:00:788,234396,234396,0,0,110027843711,1166288035,230262,3149,985,368,392005,0 5,2,2024-09-07 08:34:01:836,164820,164820,0,0,8142690,0,2259 5,3,2024-09-07 08:34:01:743,1,134,14,0,238,2220,134,0 6,0,2024-09-07 08:34:00:920,36179,1.2,35734,1.2,71100,1.9,96125,2.50 6,1,2024-09-07 08:34:00:747,235258,235258,0,0,110285139720,1161050490,231882,2729,647,381,391617,0 6,2,2024-09-07 08:34:01:117,171366,171366,0,0,8586372,0,4816 6,3,2024-09-07 08:34:01:274,1,134,1,0,340,2217,134,0 7,0,2024-09-07 08:34:01:537,31081,0.6,31138,0.8,62516,0.6,83215,2.00 7,1,2024-09-07 08:34:00:868,235190,235190,0,0,109788638461,1155043183,232473,2586,131,382,391664,0 7,2,2024-09-07 08:34:00:772,170536,170536,0,0,7651287,0,2981 7,3,2024-09-07 08:34:00:863,1,134,11,0,305,1518,134,0 8,0,2024-09-07 08:34:01:329,32877,0.3,33059,0.5,65393,0.2,87383,1.50 8,1,2024-09-07 08:34:01:019,234752,234752,0,0,109851314073,1167414656,229527,3639,1586,368,391956,0 8,2,2024-09-07 08:34:00:794,167310,167310,0,0,9182406,0,2986 8,3,2024-09-07 08:34:00:586,1,134,101,0,357,2400,134,0 9,0,2024-09-07 08:34:01:104,33326,0.4,32386,0.5,67584,0.3,88099,1.75 9,1,2024-09-07 08:34:00:557,234888,234888,0,0,110398392674,1171177998,230434,3330,1124,370,391753,0 9,2,2024-09-07 08:34:01:083,166111,166111,0,0,8608185,0,3360 9,3,2024-09-07 08:34:01:762,1,134,15,0,496,2144,134,0 10,0,2024-09-07 08:34:01:638,35194,0.4,35130,0.6,70382,0.4,94007,1.75 10,1,2024-09-07 08:34:00:589,234939,234939,0,0,109375669422,1154974905,230477,3821,641,381,391741,0 10,2,2024-09-07 08:34:00:762,170271,170271,0,0,8914044,0,2940 10,3,2024-09-07 08:34:00:881,1,134,1,0,177,1140,134,0 11,0,2024-09-07 08:34:01:023,33115,1.3,32316,1.2,67836,2.7,90237,2.50 11,1,2024-09-07 08:34:00:574,234646,234646,0,0,109978870630,1163652717,229415,3961,1270,384,391537,0 11,2,2024-09-07 08:34:01:123,170283,170283,0,0,8311038,0,3411 11,3,2024-09-07 08:34:01:299,1,134,0,0,720,2762,134,0 12,0,2024-09-07 08:34:01:020,34659,0.3,34336,0.5,68991,0.2,91654,1.50 12,1,2024-09-07 08:34:00:941,235009,235009,0,0,109691792075,1151052356,232190,2409,410,370,391837,0 12,2,2024-09-07 08:34:01:545,169950,169950,0,0,8425428,0,3469 12,3,2024-09-07 08:34:01:065,1,134,1,0,358,2469,134,0 13,0,2024-09-07 08:34:01:336,32910,0.4,33067,0.5,65903,0.3,87861,1.75 13,1,2024-09-07 08:34:01:524,235397,235397,0,0,110041769843,1157812050,233351,1668,378,384,391717,0 13,2,2024-09-07 08:34:00:603,169546,169546,0,0,7884151,0,3287 13,3,2024-09-07 08:34:01:763,1,134,8,1,467,2947,134,0 14,0,2024-09-07 08:34:00:620,34706,0.5,34734,0.7,69003,0.5,92187,2.00 14,1,2024-09-07 08:34:01:561,236643,236643,0,0,109932398911,1144064931,234625,1856,162,364,391571,0 14,2,2024-09-07 08:34:00:769,167100,167100,0,0,7842145,0,2896 14,3,2024-09-07 08:34:01:116,1,134,4,0,1168,2816,134,0 15,0,2024-09-07 08:34:01:555,35058,0.6,35076,0.9,70416,0.7,93708,2.25 15,1,2024-09-07 08:34:01:622,235028,235028,0,0,109975656737,1148165397,233373,1547,108,381,391579,0 15,2,2024-09-07 08:34:01:002,171115,171115,0,0,6597818,0,3043 15,3,2024-09-07 08:34:01:411,1,134,1,0,1126,4182,134,0 16,0,2024-09-07 08:34:00:984,33044,0.6,33433,0.8,66150,0.6,88623,2.25 16,1,2024-09-07 08:34:00:564,235243,235243,0,0,109884249561,1153831876,233038,1946,259,372,391756,0 16,2,2024-09-07 08:34:01:440,169688,169688,0,0,8770279,0,4719 16,3,2024-09-07 08:34:01:154,1,134,14,0,231,1994,134,0 17,0,2024-09-07 08:34:01:783,33237,0.4,32335,0.6,63519,0.4,85624,1.75 17,1,2024-09-07 08:34:00:575,234621,234621,0,0,109885650688,1161240309,231273,2677,671,368,391688,0 17,2,2024-09-07 08:34:01:666,171100,171100,0,0,8008649,0,2857 17,3,2024-09-07 08:34:00:574,1,134,16,0,268,2327,134,0 18,0,2024-09-07 08:34:00:943,31774,1.0,32215,1.0,64390,1.2,85936,2.50 18,1,2024-09-07 08:34:01:638,235060,235060,0,0,110209074865,1148662491,233093,1733,234,368,391564,0 18,2,2024-09-07 08:34:01:761,165175,165175,0,0,7816783,0,3541 18,3,2024-09-07 08:34:00:903,1,134,5,0,163,1547,134,0 19,0,2024-09-07 08:34:01:549,35367,1.0,35750,1.0,70630,1.2,94307,3.00 19,1,2024-09-07 08:34:00:571,235564,235564,0,0,110227092120,1155844257,231782,3066,716,367,391777,0 19,2,2024-09-07 08:34:01:752,171870,171870,0,0,7227049,0,3988 19,3,2024-09-07 08:34:01:129,1,134,0,0,524,1434,134,0 20,0,2024-09-07 08:34:01:352,31638,0.8,31591,0.9,63204,0.9,84204,2.50 20,1,2024-09-07 08:34:00:575,234984,234984,0,0,109953131932,1159896980,231557,3038,389,369,391822,0 20,2,2024-09-07 08:34:00:944,171452,171452,0,0,8284833,0,3721 20,3,2024-09-07 08:34:00:601,1,134,0,0,414,2757,134,0 21,0,2024-09-07 08:34:01:142,32533,0.4,32487,0.5,64659,0.3,85395,1.75 21,1,2024-09-07 08:34:01:538,234246,234246,0,0,109378614940,1157620995,230736,2979,531,368,391962,0 21,2,2024-09-07 08:34:01:072,168901,168901,0,0,8943965,0,3747 21,3,2024-09-07 08:34:01:414,1,134,13,0,103,1848,134,0 22,0,2024-09-07 08:34:01:725,32199,0.9,32314,1.0,64239,0.9,85438,2.25 22,1,2024-09-07 08:34:01:092,234600,234600,0,0,109614880707,1164637695,229110,3981,1509,382,391667,0 22,2,2024-09-07 08:34:00:761,165617,165617,0,0,7553370,0,3134 22,3,2024-09-07 08:34:01:066,1,134,25,0,228,1524,134,0 23,0,2024-09-07 08:34:01:374,34560,0.9,34741,1.0,69901,1.0,93540,2.50 23,1,2024-09-07 08:34:01:008,235620,235620,0,0,109955983880,1157463252,231598,2540,1482,365,391549,0 23,2,2024-09-07 08:34:01:092,170772,170772,0,0,7970624,0,3010 23,3,2024-09-07 08:34:01:754,1,134,1,0,645,1725,134,0 24,0,2024-09-07 08:34:00:855,33518,0.6,33538,0.7,67125,0.6,88768,1.75 24,1,2024-09-07 08:34:00:587,235190,235190,0,0,110259031416,1158307248,232591,1834,765,369,391640,0 24,2,2024-09-07 08:34:01:076,169903,169903,0,0,9222202,0,2942 24,3,2024-09-07 08:34:01:697,1,134,1,0,234,1689,134,0 25,0,2024-09-07 08:34:01:346,34583,0.4,33687,0.6,66067,0.3,89826,1.75 25,1,2024-09-07 08:34:00:568,235156,235156,0,0,110145120604,1162995552,231481,3133,542,371,391788,0 25,2,2024-09-07 08:34:01:608,167969,167969,0,0,9311253,0,3978 25,3,2024-09-07 08:34:01:000,1,134,46,0,158,1579,134,0 26,0,2024-09-07 08:34:01:740,33051,0.4,32418,0.6,67945,0.3,88817,1.75 26,1,2024-09-07 08:34:01:541,235465,235465,0,0,109674811912,1158950791,230848,3716,901,381,391564,0 26,2,2024-09-07 08:34:00:871,167701,167701,0,0,8404992,0,2809 26,3,2024-09-07 08:34:01:712,1,134,1,0,796,2127,134,0 27,0,2024-09-07 08:34:01:725,34796,0.6,34882,0.7,69020,0.7,93087,1.75 27,1,2024-09-07 08:34:01:676,236359,236359,0,0,110816051683,1154665658,234556,1480,323,381,391539,0 27,2,2024-09-07 08:34:00:878,167285,167285,0,0,9080450,0,3409 27,3,2024-09-07 08:34:01:015,1,134,11,0,564,1709,134,0 28,0,2024-09-07 08:34:01:398,34720,1.0,35081,0.9,70304,1.3,93635,2.75 28,1,2024-09-07 08:34:00:796,235397,235397,0,0,110954509633,1159801756,233306,1755,336,383,391646,0 28,2,2024-09-07 08:34:01:765,171096,171096,0,0,6971667,0,2915 28,3,2024-09-07 08:34:01:779,1,134,224,0,502,1922,134,0 29,0,2024-09-07 08:34:01:362,34639,0.4,33918,0.6,66337,0.3,90904,1.75 29,1,2024-09-07 08:34:01:565,236572,236572,0,0,110166750853,1145774239,234274,1762,536,369,391621,0 29,2,2024-09-07 08:34:00:870,170139,170139,0,0,6798535,0,4018 29,3,2024-09-07 08:34:00:968,1,134,8,0,105,1141,134,0 30,0,2024-09-07 08:34:01:468,32165,0.6,31325,0.8,65533,0.6,85927,2.25 30,1,2024-09-07 08:34:00:571,236013,236013,0,0,110693225216,1153750231,234071,1664,278,382,391672,0 30,2,2024-09-07 08:34:01:285,170386,170386,0,0,7018389,0,3161 30,3,2024-09-07 08:34:00:589,1,134,2,0,195,1137,134,0 31,0,2024-09-07 08:34:01:760,33216,0.7,33340,0.8,67302,0.7,89761,2.25 31,1,2024-09-07 08:34:00:571,237215,237215,0,0,110927041216,1139114346,236598,551,66,356,391553,0 31,2,2024-09-07 08:34:01:275,166061,166061,0,0,8325701,0,3525 31,3,2024-09-07 08:34:01:705,1,134,1,0,220,1142,134,0 32,0,2024-09-07 08:34:01:422,35686,0.6,36064,0.7,71922,0.5,95760,1.75 32,1,2024-09-07 08:34:00:807,236205,236205,0,0,110470082482,1151445245,234466,1464,275,382,391595,0 32,2,2024-09-07 08:34:00:946,171051,171051,0,0,7012169,0,3155 32,3,2024-09-07 08:34:01:015,1,134,2,0,227,1397,134,0 33,0,2024-09-07 08:34:01:505,32514,0.4,32054,0.6,64695,0.3,86358,1.75 33,1,2024-09-07 08:34:00:575,236441,236441,0,0,110603067093,1150189453,234216,2053,172,369,391730,0 33,2,2024-09-07 08:34:00:777,170873,170840,33,0,8927167,0,7012 33,3,2024-09-07 08:34:00:897,1,134,16,0,329,1670,134,0 34,0,2024-09-07 08:34:00:936,31824,0.3,32865,0.5,63083,0.2,84528,1.75 34,1,2024-09-07 08:34:01:047,236527,236527,0,0,110998717473,1146931842,235532,989,6,367,391562,0 34,2,2024-09-07 08:34:00:770,168718,168718,0,0,7896120,0,3577 34,3,2024-09-07 08:34:01:694,1,134,9,0,148,900,134,0 35,0,2024-09-07 08:34:00:880,31726,0.5,32116,0.6,64001,0.5,85687,1.75 35,1,2024-09-07 08:34:01:067,235668,235668,0,0,110602834898,1154420527,232871,2027,770,384,391589,0 35,2,2024-09-07 08:34:01:585,165012,165012,0,0,7000674,0,2653 35,3,2024-09-07 08:34:00:907,1,134,11,0,418,1856,134,0 36,0,2024-09-07 08:34:01:518,35830,1.4,35536,1.2,71737,1.7,95786,2.75 36,1,2024-09-07 08:34:00:587,234859,234859,0,0,109689210317,1156954345,230251,3321,1287,366,391759,0 36,2,2024-09-07 08:34:01:752,170221,170221,0,0,8668387,0,3303 36,3,2024-09-07 08:34:00:874,1,134,15,0,378,1716,134,0 37,0,2024-09-07 08:34:01:379,31324,0.6,31419,0.8,62556,0.6,84139,2.00 37,1,2024-09-07 08:34:00:570,234271,234264,0,7,109678305898,1161904135,229509,2738,2017,365,391518,0 37,2,2024-09-07 08:34:01:147,171055,171040,15,0,8606683,0,5815 37,3,2024-09-07 08:34:01:767,1,134,2,0,724,2390,134,0 38,0,2024-09-07 08:34:01:465,32764,0.4,31732,0.6,66254,0.3,86940,2.00 38,1,2024-09-07 08:34:01:609,235703,235703,0,0,110342368310,1159528016,232022,3108,573,368,391821,0 38,2,2024-09-07 08:34:00:763,168626,168579,47,0,10031445,0,6710 38,3,2024-09-07 08:34:01:001,1,134,45,0,603,2043,134,0 39,0,2024-09-07 08:34:01:762,34076,0.7,33295,0.7,65138,0.7,88383,2.00 39,1,2024-09-07 08:34:00:725,235627,235627,0,0,109527452808,1149638041,231841,2985,801,365,391524,0 39,2,2024-09-07 08:34:01:418,166591,166591,0,0,7516977,0,2689 39,3,2024-09-07 08:34:00:722,1,134,2,0,276,1970,134,0 40,0,2024-09-07 08:34:01:502,34467,1.1,35144,1.4,69697,1.4,93454,3.25 40,1,2024-09-07 08:34:00:590,235318,235318,0,0,109454739337,1153286445,231011,3540,767,368,391591,0 40,2,2024-09-07 08:34:01:321,169601,169600,1,0,9386436,0,5137 40,3,2024-09-07 08:34:01:158,1,134,5,0,181,1466,134,0 41,0,2024-09-07 08:34:01:027,33149,2.5,33832,2.0,64971,3.9,88846,4.50 41,1,2024-09-07 08:34:00:770,235253,235253,0,0,110121054863,1159764009,231070,3652,531,370,391742,0 41,2,2024-09-07 08:34:00:768,169548,169548,0,0,9225033,0,3356 41,3,2024-09-07 08:34:01:677,1,134,2,0,366,1870,134,0 42,0,2024-09-07 08:34:01:489,33659,0.6,33992,0.9,67823,0.5,89762,2.25 42,1,2024-09-07 08:34:01:439,234142,234142,0,0,109987861183,1162912654,229626,3558,958,380,391675,0 42,2,2024-09-07 08:34:01:137,168296,168296,0,0,8970019,0,3568 42,3,2024-09-07 08:34:01:009,1,134,2,0,446,1363,134,0 43,0,2024-09-07 08:34:00:921,32840,0.7,32128,0.9,66730,0.7,87946,2.00 43,1,2024-09-07 08:34:00:580,235119,235119,0,0,110305369512,1155787515,231898,2428,793,366,391604,0 43,2,2024-09-07 08:34:01:738,169591,169591,0,0,8423069,0,3812 43,3,2024-09-07 08:34:01:752,1,134,91,0,325,2044,134,0 44,0,2024-09-07 08:34:00:875,34789,0.6,34590,0.8,69486,0.6,92754,2.00 44,1,2024-09-07 08:34:00:576,235806,235806,0,0,110004236044,1138846699,234266,1410,130,356,391809,0 44,2,2024-09-07 08:34:01:284,166713,166713,0,0,6786876,0,1877 44,3,2024-09-07 08:34:01:096,1,134,1,0,817,1705,134,0 45,0,2024-09-07 08:34:01:763,34640,0.8,33930,0.9,71383,0.9,94548,2.25 45,1,2024-09-07 08:34:01:020,235715,235715,0,0,110580109222,1149093350,234695,1010,10,382,391917,0 45,2,2024-09-07 08:34:01:284,171195,171195,0,0,7338816,0,3596 45,3,2024-09-07 08:34:00:948,1,134,18,0,226,1362,134,0 46,0,2024-09-07 08:34:00:957,32923,0.4,32884,0.6,66032,0.3,87821,1.75 46,1,2024-09-07 08:34:00:575,236258,236258,0,0,110409232364,1144638624,234771,1381,106,366,391524,0 46,2,2024-09-07 08:34:00:593,170965,170965,0,0,7276487,0,2920 46,3,2024-09-07 08:34:01:130,1,134,1,0,363,1940,134,0 47,0,2024-09-07 08:34:01:123,32121,0.3,32371,0.5,64924,0.3,85272,1.75 47,1,2024-09-07 08:34:00:567,236412,236412,0,0,110039433860,1138742129,235258,1139,15,366,391605,0 47,2,2024-09-07 08:34:00:908,170637,170637,0,0,7718390,0,2558 47,3,2024-09-07 08:34:01:118,1,134,1,0,529,1516,134,0 48,0,2024-09-07 08:34:01:543,32669,0.3,32338,0.5,64967,0.3,86476,1.75 48,1,2024-09-07 08:34:01:025,235917,235917,0,0,110799312019,1156064454,233860,1877,180,384,391710,0 48,2,2024-09-07 08:34:00:699,165140,165140,0,0,6270654,0,2083 48,3,2024-09-07 08:34:00:754,1,134,10,0,339,1346,134,0 49,0,2024-09-07 08:34:01:724,36659,0.5,35978,0.7,70149,0.5,96100,1.75 49,1,2024-09-07 08:34:01:025,234905,234905,0,0,110193067265,1154282542,232173,1892,840,382,391583,0 49,2,2024-09-07 08:34:01:796,171551,171551,0,0,7483708,0,3900 49,3,2024-09-07 08:34:01:419,1,134,16,0,408,1776,134,0 50,0,2024-09-07 08:34:01:515,31763,0.4,31227,0.6,62596,0.3,84029,1.75 50,1,2024-09-07 08:34:01:016,236558,236558,0,0,110754579059,1153740601,234034,2215,309,368,391530,0 50,2,2024-09-07 08:34:01:067,171139,171139,0,0,7135870,0,2253 50,3,2024-09-07 08:34:01:297,1,134,4,0,335,1271,134,0 51,0,2024-09-07 08:34:01:689,33009,0.3,32411,0.4,63215,0.2,85805,1.75 51,1,2024-09-07 08:34:01:681,235837,235837,0,0,111417477849,1155940879,233884,1167,786,367,391637,0 51,2,2024-09-07 08:34:01:332,168918,168918,0,0,6705713,0,3337 51,3,2024-09-07 08:34:01:041,1,134,2,0,162,847,134,0 52,0,2024-09-07 08:34:01:425,32496,0.6,32593,0.8,65355,0.6,85985,2.25 52,1,2024-09-07 08:34:00:575,234512,234512,0,0,108976238229,1156358602,228858,4500,1154,368,391722,0 52,2,2024-09-07 08:34:01:755,163934,163896,38,0,9092890,0,6742 52,3,2024-09-07 08:34:00:674,1,134,1,0,1782,3424,134,0 53,0,2024-09-07 08:34:01:748,34634,1.2,33620,1.1,70310,1.4,93031,3.00 53,1,2024-09-07 08:34:00:771,233964,233964,0,0,110269943555,1171916781,228349,3721,1894,367,391702,0 53,2,2024-09-07 08:34:01:309,171433,171433,0,0,7504459,0,2262 53,3,2024-09-07 08:34:00:703,1,134,12,0,133,1138,134,0 54,0,2024-09-07 08:34:01:619,31960,3.3,32362,1.6,64002,1.8,85929,4.50 54,1,2024-09-07 08:34:00:584,235156,235156,0,0,110672498590,1160461520,231526,3079,551,367,391532,0 54,2,2024-09-07 08:34:00:887,170637,170631,6,0,9066783,0,5382 54,3,2024-09-07 08:34:00:769,1,134,9,0,676,2779,134,0 55,0,2024-09-07 08:34:01:761,32436,0.5,33327,0.8,67781,0.4,87728,2.50 55,1,2024-09-07 08:34:00:784,234280,234280,0,0,109313315665,1151681113,229243,4250,787,367,391731,0 55,2,2024-09-07 08:34:00:729,168249,168249,0,0,8988011,0,3275 55,3,2024-09-07 08:34:00:674,1,134,1,0,304,1623,134,0 56,0,2024-09-07 08:34:01:584,34200,1.3,32368,1.2,66275,1.9,89083,2.50 56,1,2024-09-07 08:34:00:575,234944,234944,0,0,110673224644,1178500688,229826,4216,902,382,391678,0 56,2,2024-09-07 08:34:01:307,167374,167374,0,0,9408314,0,3567 56,3,2024-09-07 08:34:01:062,1,134,26,0,405,2117,134,0 57,0,2024-09-07 08:34:00:945,33799,3.0,33774,1.7,67589,4.8,90995,4.50 57,1,2024-09-07 08:34:00:989,235073,235073,0,0,109718454313,1152596574,232482,2421,170,368,391773,0 57,2,2024-09-07 08:34:01:316,168876,168876,0,0,9501220,0,3178 57,3,2024-09-07 08:34:01:738,1,134,8,0,359,2595,134,0 58,0,2024-09-07 08:34:00:580,33865,1.7,32901,1.3,69081,2.8,90252,3.25 58,1,2024-09-07 08:34:00:578,235884,235881,0,3,111152785585,1166424432,232370,3115,396,369,391516,3 58,2,2024-09-07 08:34:01:076,170611,170611,0,0,8507540,0,2549 58,3,2024-09-07 08:34:01:078,1,134,1,0,219,1195,134,0 59,0,2024-09-07 08:34:01:901,33893,0.9,33605,1.0,67303,1.0,89679,3.00 59,1,2024-09-07 08:34:00:804,235476,235476,0,0,110235296338,1159415445,231856,2698,922,369,391515,0 59,2,2024-09-07 08:34:00:593,170492,170492,0,0,7704181,0,2604 59,3,2024-09-07 08:34:01:739,1,134,8,0,1015,2777,134,0 60,0,2024-09-07 08:34:01:728,32556,0.4,32626,0.6,64739,0.4,86041,1.75 60,1,2024-09-07 08:34:00:773,236886,236886,0,0,110915790610,1152464898,235562,970,354,370,391761,0 60,2,2024-09-07 08:34:01:142,169461,169461,0,0,8611871,0,3811 60,3,2024-09-07 08:34:01:258,1,134,5,0,124,1489,134,0 61,0,2024-09-07 08:34:01:519,33270,1.4,33530,1.1,66882,2.0,89673,2.25 61,1,2024-09-07 08:34:00:772,235439,235439,0,0,110706668177,1163746264,232377,2524,538,382,391589,0 61,2,2024-09-07 08:34:01:134,166776,166776,0,0,7144423,0,2079 61,3,2024-09-07 08:34:01:689,1,134,28,0,199,1795,134,0 62,0,2024-09-07 08:34:01:706,35927,0.9,36800,0.9,70232,1.2,95482,2.00 62,1,2024-09-07 08:34:01:136,237201,237195,0,6,110643250261,1144222029,235701,1456,38,365,391715,6 62,2,2024-09-07 08:34:01:644,169600,169599,1,0,8840104,0,5555 62,3,2024-09-07 08:34:01:154,1,134,7,0,287,1089,134,0 63,0,2024-09-07 08:34:01:465,32134,0.6,32170,0.7,64410,0.6,85813,2.00 63,1,2024-09-07 08:34:00:804,236729,236723,0,6,111230501245,1154477085,235613,1090,20,381,391542,6 63,2,2024-09-07 08:34:00:763,170741,170741,0,0,7037022,0,2674 63,3,2024-09-07 08:34:01:733,1,134,3,0,667,2231,134,0 64,0,2024-09-07 08:34:01:517,31794,0.4,31647,0.6,63412,0.4,84608,1.75 64,1,2024-09-07 08:34:00:752,235806,235806,0,0,109829968521,1153820853,232366,2388,1052,371,391783,0 64,2,2024-09-07 08:34:01:143,170599,170580,19,0,7853987,0,6121 64,3,2024-09-07 08:34:01:141,1,134,0,0,265,1728,134,0 65,0,2024-09-07 08:34:01:676,31357,1.3,31903,1.0,63584,1.7,84887,2.75 65,1,2024-09-07 08:34:00:865,234987,234987,0,0,109845836340,1153568425,232592,2173,222,382,391770,0 65,2,2024-09-07 08:34:01:698,165631,165631,0,0,8215860,0,3367 65,3,2024-09-07 08:34:01:688,1,134,17,0,163,1492,134,0 66,0,2024-09-07 08:34:01:773,35436,1.0,35377,1.0,71205,1.3,95045,2.75 66,1,2024-09-07 08:34:01:296,236290,236290,0,0,110565144800,1152763064,234421,1698,171,380,391588,0 66,2,2024-09-07 08:34:01:146,172490,172490,0,0,7642927,0,4956 66,3,2024-09-07 08:34:01:080,1,134,0,0,291,1650,134,0 67,0,2024-09-07 08:34:01:444,31725,1.1,31656,1.1,63326,1.4,84234,2.75 67,1,2024-09-07 08:34:00:773,235167,235166,0,1,109951024640,1155604257,231721,2699,746,381,391787,1 67,2,2024-09-07 08:34:00:589,171662,171662,0,0,7217375,0,2889 67,3,2024-09-07 08:34:01:757,1,134,1,0,138,1221,134,0 68,0,2024-09-07 08:34:00:579,33075,0.5,32995,0.7,65775,0.4,87141,2.00 68,1,2024-09-07 08:34:00:576,234503,234503,0,0,109500307086,1154556450,231681,1805,1017,381,391953,0 68,2,2024-09-07 08:34:01:051,167587,167522,65,0,10454782,0,6698 68,3,2024-09-07 08:34:00:728,1,134,159,0,417,1921,134,0 69,0,2024-09-07 08:34:01:742,33100,0.9,33383,0.9,66253,1.1,87943,2.25 69,1,2024-09-07 08:34:01:023,234180,234180,0,0,109782931588,1167175654,230350,2627,1203,384,391611,0 69,2,2024-09-07 08:34:01:740,166166,166166,0,0,9002067,0,3701 69,3,2024-09-07 08:34:00:761,1,134,21,0,238,1761,134,0 70,0,2024-09-07 08:34:01:570,34601,2.7,34762,1.8,69685,2.2,92825,4.00 70,1,2024-09-07 08:34:00:803,235875,235875,0,0,110501800601,1153741292,233468,1945,462,367,391725,0 70,2,2024-09-07 08:34:01:325,169723,169723,0,0,8421568,0,4044 70,3,2024-09-07 08:34:00:746,1,134,4,0,854,1812,134,0 71,0,2024-09-07 08:34:01:359,33166,2.6,33239,1.9,66022,4.2,89059,4.00 71,1,2024-09-07 08:34:01:597,235604,235604,0,0,109900520546,1157132001,231509,3572,523,368,391682,0 71,2,2024-09-07 08:34:01:072,171160,171160,0,0,8256689,0,2470 71,3,2024-09-07 08:34:01:749,1,134,8,0,644,2497,134,0 72,0,2024-09-07 08:34:01:029,35379,0.5,34488,0.7,67653,0.4,91773,2.25 72,1,2024-09-07 08:34:01:025,235341,235341,0,0,110255254955,1156156021,232100,2755,486,370,391819,0 72,2,2024-09-07 08:34:01:756,167892,167892,0,0,9903947,0,2570 72,3,2024-09-07 08:34:01:754,1,134,1,0,325,2258,134,0 73,0,2024-09-07 08:34:01:107,32115,0.4,32891,0.6,67323,0.3,87691,2.25 73,1,2024-09-07 08:34:00:782,235644,235644,0,0,110155918887,1147249379,233869,1660,115,368,391627,0 73,2,2024-09-07 08:34:01:739,169527,169527,0,0,9207749,0,3482 73,3,2024-09-07 08:34:00:976,1,134,12,0,274,2393,134,0 74,0,2024-09-07 08:34:01:323,34877,0.6,35387,0.9,67704,0.7,92272,2.50 74,1,2024-09-07 08:34:00:638,235846,235846,0,0,109945790920,1152794528,233065,2162,619,382,391681,0 74,2,2024-09-07 08:34:01:002,166733,166733,0,0,9030515,0,4253 74,3,2024-09-07 08:34:01:442,1,134,1,0,246,2058,134,0 75,0,2024-09-07 08:34:01:779,35201,0.8,35120,1.0,70163,0.8,94678,2.75 75,1,2024-09-07 08:34:01:586,235082,235082,0,0,110217551429,1159418989,231869,2774,439,381,391579,0 75,2,2024-09-07 08:34:01:352,169964,169964,0,0,8651012,0,4766 75,3,2024-09-07 08:34:01:072,1,134,1,0,535,1701,134,0 76,0,2024-09-07 08:34:00:639,32978,0.4,32713,0.7,65321,0.4,87884,2.25 76,1,2024-09-07 08:34:00:808,235451,235451,0,0,109898316298,1150567376,233467,1474,510,382,391692,0 76,2,2024-09-07 08:34:01:071,171354,171354,0,0,7283010,0,3064 76,3,2024-09-07 08:34:01:154,1,134,17,0,175,1595,134,0 77,0,2024-09-07 08:34:01:721,32171,0.5,32360,0.7,64797,0.5,85262,2.00 77,1,2024-09-07 08:34:00:836,236102,236102,0,0,110350229893,1153644769,234600,1421,81,383,391808,0 77,2,2024-09-07 08:34:01:285,169635,169635,0,0,7700312,0,3890 77,3,2024-09-07 08:34:01:094,1,134,8,0,305,1871,134,0 78,0,2024-09-07 08:34:01:723,32396,0.6,32411,0.8,65097,0.6,86119,2.00 78,1,2024-09-07 08:34:00:635,235988,235988,0,0,109439774563,1141032850,234118,1664,206,367,391589,0 78,2,2024-09-07 08:34:01:408,166132,166132,0,0,6953063,0,2114 78,3,2024-09-07 08:34:01:134,1,134,1,0,181,1531,134,0 79,0,2024-09-07 08:34:01:346,34712,0.5,35398,0.8,72518,0.5,94624,2.75 79,1,2024-09-07 08:34:00:571,236587,236587,0,0,110351060289,1146644967,234573,1843,171,369,391682,0 79,2,2024-09-07 08:34:01:072,172178,172178,0,0,7329939,0,3212 79,3,2024-09-07 08:34:00:749,1,134,1,0,418,2666,134,0 80,0,2024-09-07 08:34:01:082,31487,0.8,32245,0.9,62141,0.8,84273,2.25 80,1,2024-09-07 08:34:01:621,234810,234810,0,0,110200612740,1152784455,232304,2347,159,368,391673,0 80,2,2024-09-07 08:34:01:098,172017,172017,0,0,7942621,0,4433 80,3,2024-09-07 08:34:00:587,1,134,2,0,190,2464,134,0 81,0,2024-09-07 08:34:01:535,32339,0.5,33266,0.6,63723,0.4,85518,1.75 81,1,2024-09-07 08:34:01:656,235302,235302,0,0,109509751456,1149638208,232861,2169,272,382,391879,0 81,2,2024-09-07 08:34:01:125,168672,168672,0,0,8427248,0,3993 81,3,2024-09-07 08:34:01:117,1,134,9,0,193,1461,134,0 82,0,2024-09-07 08:34:01:533,32200,0.6,32347,0.8,64987,0.6,86205,2.00 82,1,2024-09-07 08:34:00:599,235432,235428,0,4,110180477709,1153740027,232991,1861,576,382,391558,4 82,2,2024-09-07 08:34:01:694,166215,166215,0,0,7576536,0,3986 82,3,2024-09-07 08:34:01:753,1,134,1,0,227,1754,134,0 83,0,2024-09-07 08:34:01:528,35017,0.9,34825,0.9,68930,1.0,93070,2.50 83,1,2024-09-07 08:34:00:551,235753,235753,0,0,110038352955,1153437035,233332,2218,203,382,391584,0 83,2,2024-09-07 08:34:00:770,170533,170533,0,0,7512258,0,3119 83,3,2024-09-07 08:34:00:749,1,134,119,0,133,1426,134,0 84,0,2024-09-07 08:34:01:950,32671,2.0,32315,1.6,65052,1.6,87243,3.00 84,1,2024-09-07 08:34:01:041,234724,234724,0,0,110079472700,1158944067,231430,2842,452,368,391852,0 84,2,2024-09-07 08:34:00:576,170771,170771,0,0,8702843,0,3801 84,3,2024-09-07 08:34:01:145,1,134,8,0,236,1583,134,0 85,0,2024-09-07 08:34:01:038,32485,0.5,32427,0.8,68806,0.4,88837,2.25 85,1,2024-09-07 08:34:00:562,234212,234212,0,0,109576564091,1168922402,228824,4312,1076,382,391679,0 85,2,2024-09-07 08:34:00:870,168932,168932,0,0,9413503,0,3656 85,3,2024-09-07 08:34:00:688,1,134,9,0,115,1415,134,0 86,0,2024-09-07 08:34:00:903,33347,0.6,34296,0.7,65853,0.6,88907,2.00 86,1,2024-09-07 08:34:00:844,235165,235165,0,0,110037932760,1159576732,231230,3392,543,366,391961,0 86,2,2024-09-07 08:34:00:858,166929,166928,1,0,9756399,0,5004 86,3,2024-09-07 08:34:00:596,1,134,187,0,286,2222,134,0 87,0,2024-09-07 08:34:01:341,34359,2.1,34457,1.3,68599,3.1,92929,2.75 87,1,2024-09-07 08:34:00:555,234474,234474,0,0,109871499939,1157377989,231285,2799,390,366,391788,0 87,2,2024-09-07 08:34:01:067,168464,168464,0,0,7996437,0,3515 87,3,2024-09-07 08:34:01:805,1,134,3,0,335,2564,134,0 88,0,2024-09-07 08:34:01:440,35083,0.8,35198,0.8,70251,0.9,93747,2.00 88,1,2024-09-07 08:34:00:573,234163,234163,0,0,110258097467,1164215539,230161,2840,1162,367,391787,0 88,2,2024-09-07 08:34:00:693,170737,170737,0,0,9024710,0,3583 88,3,2024-09-07 08:34:01:266,1,134,2,1,435,2008,134,0 89,0,2024-09-07 08:34:01:776,34910,0.5,33807,0.7,67041,0.4,91198,1.75 89,1,2024-09-07 08:34:00:555,233796,233796,0,0,109958935631,1171000172,228389,4474,933,382,391866,0 89,2,2024-09-07 08:34:01:137,169391,169391,0,0,9173469,0,2910 89,3,2024-09-07 08:34:01:798,1,134,19,0,325,2954,134,0 90,0,2024-09-07 08:34:01:631,31452,0.4,32090,0.6,66028,0.4,85824,1.75 90,1,2024-09-07 08:34:00:596,235624,235624,0,0,109409574489,1153173671,232775,2691,158,382,391825,0 90,2,2024-09-07 08:34:01:422,168384,168384,0,0,9921983,0,3060 90,3,2024-09-07 08:34:00:944,1,134,9,1,200,1705,134,0 91,0,2024-09-07 08:34:00:959,33923,0.5,32682,0.7,68005,0.5,90244,1.75 91,1,2024-09-07 08:34:00:569,235041,235041,0,0,110068544522,1162282731,231319,3228,494,381,391960,0 91,2,2024-09-07 08:34:01:337,164832,164832,0,0,9113927,0,2445 91,3,2024-09-07 08:34:00:607,1,134,2,0,155,1582,134,0 92,0,2024-09-07 08:34:01:446,36189,0.6,37015,0.7,70943,0.7,95857,1.75 92,1,2024-09-07 08:34:00:622,235394,235394,0,0,110410365306,1157126393,233261,1736,397,382,391717,0 92,2,2024-09-07 08:34:01:372,172627,172627,0,0,7359353,0,2279 92,3,2024-09-07 08:34:01:011,1,134,4,0,68,1260,134,0 93,0,2024-09-07 08:34:00:957,32362,0.5,33153,0.7,63501,0.4,86253,1.75 93,1,2024-09-07 08:34:00:807,235186,235186,0,0,110386163427,1156690635,232500,2184,502,366,391689,0 93,2,2024-09-07 08:34:00:948,170707,170707,0,0,7516227,0,2509 93,3,2024-09-07 08:34:01:408,1,134,8,0,143,1492,134,0 94,0,2024-09-07 08:34:01:609,31894,0.3,32157,0.5,63709,0.3,84399,1.75 94,1,2024-09-07 08:34:00:565,235407,235407,0,0,109638490218,1151328102,233052,2263,92,381,391850,0 94,2,2024-09-07 08:34:00:763,169485,169485,0,0,7532171,0,2443 94,3,2024-09-07 08:34:01:689,1,134,81,0,264,2257,134,0 95,0,2024-09-07 08:34:01:355,32179,0.4,32083,0.6,64427,0.3,85486,1.75 95,1,2024-09-07 08:34:00:870,235821,235821,0,0,110512539754,1151457178,234068,1599,154,367,391600,0 95,2,2024-09-07 08:34:01:021,165307,165307,0,0,7535145,0,3308 95,3,2024-09-07 08:34:01:713,1,134,718,0,718,2861,134,0 96,0,2024-09-07 08:34:01:062,35902,0.7,35980,0.8,71910,0.8,95693,2.00 96,1,2024-09-07 08:34:01:585,235144,235144,0,0,109373910779,1149688819,232559,1944,641,385,391894,0 96,2,2024-09-07 08:34:01:268,170951,170951,0,0,8167054,0,4042 96,3,2024-09-07 08:34:01:141,1,134,2,0,188,1619,134,0 97,0,2024-09-07 08:34:01:388,31831,0.5,31775,0.6,63571,0.5,84213,1.75 97,1,2024-09-07 08:34:00:771,235346,235346,0,0,110728404174,1156482427,232587,2212,547,367,392140,0 97,2,2024-09-07 08:34:00:624,170865,170865,0,0,7460352,0,3036 97,3,2024-09-07 08:34:00:575,1,134,8,1,165,1658,134,0 98,0,2024-09-07 08:34:01:726,32992,0.3,32829,0.4,66089,0.2,87498,1.50 98,1,2024-09-07 08:34:00:575,235600,235600,0,0,110285407376,1153863815,233802,1712,86,382,391997,0 98,2,2024-09-07 08:34:00:775,169917,169917,0,0,7812982,0,3080 98,3,2024-09-07 08:34:00:699,1,134,5,1,840,2862,134,0 99,0,2024-09-07 08:34:01:461,33287,0.3,33312,0.5,66678,0.3,88267,1.75 99,1,2024-09-07 08:34:01:741,235685,235685,0,0,109672401483,1149517516,232936,2076,673,381,391744,0 99,2,2024-09-07 08:34:01:424,168230,168230,0,0,7442595,0,2615 99,3,2024-09-07 08:34:00:582,1,134,1,0,129,1033,134,0 100,0,2024-09-07 08:34:01:461,34839,1.7,34860,1.7,69845,2.9,93576,2.75 100,1,2024-09-07 08:34:00:550,233719,233719,0,0,109077195219,1164410774,228559,4230,930,381,391989,0 100,2,2024-09-07 08:34:01:824,169075,169064,11,0,8971043,0,5417 100,3,2024-09-07 08:34:01:731,1,134,14,0,559,3406,134,0 101,0,2024-09-07 08:34:01:703,34151,3.6,33222,1.9,65385,4.4,90168,3.50 101,1,2024-09-07 08:34:00:553,234061,234061,0,0,109733392839,1168733755,228738,3847,1476,368,391709,0 101,2,2024-09-07 08:34:01:761,170130,170130,0,0,9887223,0,4644 101,3,2024-09-07 08:34:00:942,1,134,8,0,448,1952,134,0 102,0,2024-09-07 08:34:00:962,33427,0.6,34715,0.8,69580,0.5,91216,2.00 102,1,2024-09-07 08:34:01:146,234710,234710,0,0,109469854586,1159485430,230703,3298,709,369,391831,0 102,2,2024-09-07 08:34:01:742,169599,169545,54,0,9446227,0,6768 102,3,2024-09-07 08:34:01:622,1,134,5,0,410,1611,134,0 103,0,2024-09-07 08:34:01:592,33901,0.4,33840,0.6,63887,0.4,88094,1.75 103,1,2024-09-07 08:34:01:637,234211,234211,0,0,110279608759,1168980147,229884,3121,1206,381,391829,0 103,2,2024-09-07 08:34:00:586,168260,168260,0,0,8323290,0,2104 103,3,2024-09-07 08:34:00:759,1,134,1,0,916,2844,134,0 104,0,2024-09-07 08:34:01:060,34181,2.2,34310,1.4,67719,3.4,92211,3.00 104,1,2024-09-07 08:34:01:613,235602,235602,0,0,110066215399,1167899579,230705,3939,958,366,391948,0 104,2,2024-09-07 08:34:01:669,166078,166078,0,0,8699981,0,3941 104,3,2024-09-07 08:34:01:416,1,134,1,1,1245,4891,134,0 105,0,2024-09-07 08:34:01:052,34743,1.1,33781,1.3,70262,1.8,93751,3.75 105,1,2024-09-07 08:34:00:560,235535,235535,0,0,110088523897,1161997202,231361,3402,772,367,391797,0 105,2,2024-09-07 08:34:01:329,170080,170080,0,0,8490939,0,3509 105,3,2024-09-07 08:34:01:306,1,134,13,1,399,2211,134,0 106,0,2024-09-07 08:34:00:968,31982,0.7,32794,0.9,66734,0.8,87938,2.25 106,1,2024-09-07 08:34:01:752,234781,234781,0,0,109396860352,1153451475,231092,3305,384,369,391767,0 106,2,2024-09-07 08:34:00:774,170210,170210,0,0,8581285,0,2795 106,3,2024-09-07 08:34:00:681,1,134,1,0,405,2232,134,0 107,0,2024-09-07 08:34:01:127,32278,0.4,32199,0.6,64128,0.4,85479,1.75 107,1,2024-09-07 08:34:00:585,234614,234614,0,0,109035677803,1151755834,231661,2727,226,381,392234,0 107,2,2024-09-07 08:34:01:291,169059,169058,1,0,8805558,0,5024 107,3,2024-09-07 08:34:01:755,1,134,3,0,353,1761,134,0 108,0,2024-09-07 08:34:01:825,32557,0.4,32796,0.6,64791,0.4,86573,1.75 108,1,2024-09-07 08:34:01:297,235167,235167,0,0,110182571593,1154665120,232585,2273,309,368,391857,0 108,2,2024-09-07 08:34:01:764,164692,164692,0,0,7880628,0,2647 108,3,2024-09-07 08:34:01:330,1,134,0,0,288,2131,134,0 109,0,2024-09-07 08:34:01:761,36071,0.5,35851,0.7,71426,0.5,96365,1.75 109,1,2024-09-07 08:34:00:584,234539,234539,0,0,110267198087,1161637871,231976,2101,462,383,391812,0 109,2,2024-09-07 08:34:00:927,170383,170383,0,0,8404388,0,3617 109,3,2024-09-07 08:34:01:141,1,134,14,1,249,2062,134,0 110,0,2024-09-07 08:34:01:772,31758,0.5,30877,0.7,64440,0.5,84361,1.75 110,1,2024-09-07 08:34:01:645,235683,235683,0,0,109754554799,1146564657,233319,1664,700,370,391667,0 110,2,2024-09-07 08:34:01:307,171600,171600,0,0,7490149,0,2915 110,3,2024-09-07 08:34:00:693,1,134,1,0,406,2036,134,0 111,0,2024-09-07 08:34:01:417,32467,0.2,31984,0.4,64055,0.2,85679,1.50 111,1,2024-09-07 08:34:01:000,236159,236159,0,0,110916638413,1154872944,234406,1421,332,382,391690,0 111,2,2024-09-07 08:34:01:116,169175,169175,0,0,8289395,0,2763 111,3,2024-09-07 08:34:00:915,1,134,90,0,379,2479,134,0 112,0,2024-09-07 08:34:00:932,32581,0.3,32523,0.5,65055,0.2,86411,1.50 112,1,2024-09-07 08:34:00:865,236011,236011,0,0,110199203347,1150843767,233687,1899,425,381,391580,0 112,2,2024-09-07 08:34:01:383,165855,165854,1,0,8000267,0,5036 112,3,2024-09-07 08:34:00:592,1,134,1,0,282,1607,134,0 113,0,2024-09-07 08:34:00:885,34801,0.4,34466,0.6,69984,0.4,94042,1.75 113,1,2024-09-07 08:34:01:702,236371,236371,0,0,111062806260,1154438488,233962,1921,488,366,391661,0 113,2,2024-09-07 08:34:01:318,172031,172031,0,0,7184730,0,3813 113,3,2024-09-07 08:34:00:686,1,134,7,0,288,2198,134,0 114,0,2024-09-07 08:34:00:891,33413,0.8,34017,0.8,66447,0.6,88878,2.00 114,1,2024-09-07 08:34:00:716,235603,235603,0,0,110396459885,1162447956,231478,2663,1462,381,391534,0 114,2,2024-09-07 08:34:00:879,170593,170593,0,0,7434256,0,3925 114,3,2024-09-07 08:34:01:277,1,134,1,0,395,1927,134,0 115,0,2024-09-07 08:34:00:554,33537,0.3,33869,0.4,67807,0.2,89608,1.50 115,1,2024-09-07 08:34:00:571,235831,235831,0,0,110311012188,1156448283,232192,2818,821,382,391602,0 115,2,2024-09-07 08:34:01:126,169871,169871,0,0,6967815,0,2152 115,3,2024-09-07 08:34:01:002,1,134,1,0,159,1038,134,0 116,0,2024-09-07 08:34:01:710,33515,0.7,33120,0.8,66613,0.7,88797,2.00 116,1,2024-09-07 08:34:00:812,234009,234009,0,0,109795756431,1174955136,229143,3114,1752,382,391677,0 116,2,2024-09-07 08:34:01:753,166513,166513,0,0,9993376,0,3529 116,3,2024-09-07 08:34:00:912,1,134,3,0,252,2092,134,0 117,0,2024-09-07 08:34:00:993,34392,2.0,34546,1.3,68516,3.1,92845,2.25 117,1,2024-09-07 08:34:01:586,235146,235146,0,0,108972895648,1149916852,231506,3214,426,370,392033,0 117,2,2024-09-07 08:34:01:118,169510,169510,0,0,7846791,0,3700 117,3,2024-09-07 08:34:01:071,1,134,10,0,490,3095,134,0 118,0,2024-09-07 08:34:01:766,34107,1.1,35142,1.0,71419,1.3,93009,2.50 118,1,2024-09-07 08:34:00:587,234648,234648,0,0,109611915474,1163884260,230030,3328,1290,368,391736,0 118,2,2024-09-07 08:34:01:586,170519,170519,0,0,8923287,0,2781 118,3,2024-09-07 08:34:01:764,1,134,1,0,235,1976,134,0 119,0,2024-09-07 08:34:01:342,33507,0.8,33740,0.9,68262,0.9,90287,2.00 119,1,2024-09-07 08:34:00:548,235282,235282,0,0,110650094782,1159874025,232866,2129,287,370,391641,0 119,2,2024-09-07 08:34:01:266,168695,168695,0,0,8469443,0,3526 119,3,2024-09-07 08:34:01:331,1,134,1,0,443,2706,134,0 120,0,2024-09-07 08:34:01:598,32044,0.5,32190,0.7,64222,0.5,85843,2.00 120,1,2024-09-07 08:34:00:859,235403,235403,0,0,109513048025,1155371867,232324,2820,259,368,391961,0 120,2,2024-09-07 08:34:00:782,168772,168771,1,0,10265574,0,5281 120,3,2024-09-07 08:34:01:290,1,134,1,0,241,1929,134,0 121,0,2024-09-07 08:34:01:690,33170,1.5,33699,1.2,66965,2.3,89678,2.25 121,1,2024-09-07 08:34:01:657,235316,235316,0,0,109797399910,1153606415,232616,2415,285,367,391840,0 121,2,2024-09-07 08:34:01:125,164911,164911,0,0,9164694,0,4127 121,3,2024-09-07 08:34:00:728,1,134,12,0,269,1948,134,0 122,0,2024-09-07 08:34:01:855,35476,1.3,34630,1.2,72345,1.4,95848,2.25 122,1,2024-09-07 08:34:00:867,234515,234515,0,0,109278691214,1155068940,230508,3346,661,366,392130,0 122,2,2024-09-07 08:34:01:326,170716,170716,0,0,10381687,0,3364 122,3,2024-09-07 08:34:00:620,1,134,3,0,411,3557,134,0 123,0,2024-09-07 08:34:00:971,32311,0.9,31343,0.9,65546,1.1,86031,2.25 123,1,2024-09-07 08:34:00:558,235980,235980,0,0,110517832383,1170846974,230969,4317,694,369,391823,0 123,2,2024-09-07 08:34:01:023,169169,169168,1,0,8924890,0,5215 123,3,2024-09-07 08:34:01:136,1,134,3,1,168,1907,134,0 124,0,2024-09-07 08:34:00:947,32820,0.3,32846,0.5,61934,0.2,84714,1.50 124,1,2024-09-07 08:34:01:028,235500,235500,0,0,109871556453,1144741487,233580,1567,353,367,392178,0 124,2,2024-09-07 08:34:01:020,169820,169820,0,0,7505162,0,3101 124,3,2024-09-07 08:34:00:767,1,134,3,0,490,2130,134,0 125,0,2024-09-07 08:34:01:421,32260,0.5,32124,0.6,64481,0.5,85595,1.75 125,1,2024-09-07 08:34:00:888,235250,235250,0,0,110240415829,1155626243,232911,2064,275,384,391702,0 125,2,2024-09-07 08:34:01:116,165576,165576,0,0,7137239,0,2180 125,3,2024-09-07 08:34:01:131,1,134,18,1,284,1865,134,0 126,0,2024-09-07 08:34:01:464,35877,0.8,36884,0.8,70428,0.9,96254,2.00 126,1,2024-09-07 08:34:00:551,236016,236016,0,0,110561275425,1150122876,234442,1497,77,365,391987,0 126,2,2024-09-07 08:34:00:610,171791,171791,0,0,7593904,0,3186 126,3,2024-09-07 08:34:00:909,1,134,7,0,136,2008,134,0 127,0,2024-09-07 08:34:01:627,31516,0.5,31827,0.6,63618,0.5,84293,1.75 127,1,2024-09-07 08:34:00:579,235492,235492,0,0,109628958157,1140215005,233592,1864,36,365,391816,0 127,2,2024-09-07 08:34:00:639,171132,171132,0,0,7186862,0,2264 127,3,2024-09-07 08:34:01:266,1,134,1,0,99,964,134,0 128,0,2024-09-07 08:34:01:528,33187,0.3,33034,0.5,65891,0.2,87474,1.50 128,1,2024-09-07 08:34:01:611,236078,236078,0,0,110675746571,1149537371,235112,894,72,367,391680,0 128,2,2024-09-07 08:34:01:384,168718,168718,0,0,7424754,0,2107 128,3,2024-09-07 08:34:00:777,1,134,5,0,333,2162,134,0 129,0,2024-09-07 08:34:01:003,33550,0.3,33405,0.5,66639,0.3,88199,1.75 129,1,2024-09-07 08:34:00:578,234993,234993,0,0,110016884641,1157567394,232082,2519,392,379,391835,0 129,2,2024-09-07 08:34:00:694,166587,166587,0,0,7651726,0,4031 129,3,2024-09-07 08:34:00:694,1,134,8,1,173,1814,134,0 130,0,2024-09-07 08:34:01:733,35432,1.0,35149,0.9,70548,1.4,94633,2.00 130,1,2024-09-07 08:34:00:583,235695,235695,0,0,109997621048,1150688912,233645,1981,69,381,391825,0 130,2,2024-09-07 08:34:01:125,169913,169913,0,0,7590467,0,4067 130,3,2024-09-07 08:34:01:297,1,134,22,0,207,1296,134,0 131,0,2024-09-07 08:34:01:940,33927,0.7,33995,0.8,68744,0.9,90633,1.75 131,1,2024-09-07 08:34:01:841,236601,236601,0,0,110276550089,1151120798,235154,1241,206,384,391865,0 131,2,2024-09-07 08:34:00:568,171178,171178,0,0,7118863,0,2415 131,3,2024-09-07 08:34:01:698,1,134,26,0,392,1727,134,0 132,0,2024-09-07 08:34:01:422,33916,0.5,34428,0.8,68922,0.4,91571,2.00 132,1,2024-09-07 08:34:00:581,233649,233649,0,0,109336174890,1164909368,228256,4365,1028,381,391760,0 132,2,2024-09-07 08:34:00:699,168491,168491,0,0,10178106,0,4606 132,3,2024-09-07 08:34:01:697,1,134,2,0,356,2692,134,0 133,0,2024-09-07 08:34:01:523,32152,0.4,32794,0.6,67294,0.4,87302,2.00 133,1,2024-09-07 08:34:00:593,233629,233629,0,0,109925233617,1168350349,229134,3857,638,383,391914,0 133,2,2024-09-07 08:34:01:093,168303,168303,0,0,10143761,0,4315 133,3,2024-09-07 08:34:01:299,1,134,11,1,187,1276,134,0 134,0,2024-09-07 08:34:00:969,34576,0.7,34331,0.9,69356,0.8,92264,2.25 134,1,2024-09-07 08:34:00:584,234435,234435,0,0,109489833084,1156277961,230097,3118,1220,366,391718,0 134,2,2024-09-07 08:34:01:773,166414,166414,0,0,8116707,0,3096 134,3,2024-09-07 08:34:00:753,1,134,1,0,739,2842,134,0 135,0,2024-09-07 08:34:01:136,34253,1.3,34152,1.2,72230,1.4,93184,2.50 135,1,2024-09-07 08:34:01:586,234388,234388,0,0,109540987834,1160306609,230210,3477,701,380,391725,0 135,2,2024-09-07 08:34:00:692,170812,170812,0,0,9097931,0,3981 135,3,2024-09-07 08:34:01:003,1,134,2,0,89,819,134,0 136,0,2024-09-07 08:34:01:637,33285,0.6,33147,0.8,66693,0.6,88832,2.00 136,1,2024-09-07 08:34:01:441,234654,234654,0,0,109646268141,1154428146,231398,3083,173,384,391641,0 136,2,2024-09-07 08:34:01:137,171547,171547,0,0,8772328,0,3506 136,3,2024-09-07 08:34:01:109,1,134,5,0,108,1192,134,0 137,0,2024-09-07 08:34:00:944,33042,0.5,32152,0.7,63596,0.5,85696,2.00 137,1,2024-09-07 08:34:00:615,234997,234997,0,0,110072426360,1157797541,231175,3505,317,367,391608,0 137,2,2024-09-07 08:34:01:705,169085,169085,0,0,9931107,0,3185 137,3,2024-09-07 08:34:00:770,1,134,9,1,227,1735,134,0 138,0,2024-09-07 08:34:01:751,31963,1.8,32108,1.3,64782,2.6,86516,2.50 138,1,2024-09-07 08:34:01:692,235677,235677,0,0,110292884844,1158631638,232667,2661,349,368,391954,0 138,2,2024-09-07 08:34:00:594,165781,165781,0,0,7980671,0,3263 138,3,2024-09-07 08:34:00:610,1,134,4,0,1160,2599,134,0 139,0,2024-09-07 08:34:01:426,34909,3.4,34954,1.9,70760,4.6,95010,3.50 139,1,2024-09-07 08:34:00:571,234141,234141,0,0,109123788200,1164663314,229193,3634,1314,381,391892,0 139,2,2024-09-07 08:34:00:706,169392,169392,0,0,9179541,0,3097 139,3,2024-09-07 08:34:01:662,1,134,5,0,244,1732,134,0 140,0,2024-09-07 08:34:01:590,31852,0.4,31664,0.6,63554,0.3,84416,1.75 140,1,2024-09-07 08:34:01:536,236459,236459,0,0,110766667802,1145853771,234971,1248,240,365,391606,0 140,2,2024-09-07 08:34:00:690,171902,171902,0,0,7883174,0,3388 140,3,2024-09-07 08:34:00:771,1,134,1,0,247,1356,134,0 141,0,2024-09-07 08:34:01:698,32025,0.2,33064,0.4,63442,0.1,85610,1.50 141,1,2024-09-07 08:34:00:860,236003,236003,0,0,110422332174,1149638136,234154,1497,352,379,391614,0 141,2,2024-09-07 08:34:01:690,169253,169253,0,0,7216203,0,2342 141,3,2024-09-07 08:34:01:049,1,134,8,0,147,1219,134,0 142,0,2024-09-07 08:34:01:309,32899,0.3,32660,0.5,64765,0.3,86546,1.50 142,1,2024-09-07 08:34:00:594,235735,235735,0,0,110237941335,1151369825,234514,1087,134,383,391649,0 142,2,2024-09-07 08:34:01:299,164557,164525,32,0,8403168,0,6028 142,3,2024-09-07 08:34:01:751,1,134,5,0,484,2102,134,0 143,0,2024-09-07 08:34:01:373,34946,0.7,35058,0.7,70457,0.9,93872,2.00 143,1,2024-09-07 08:34:00:565,235621,235621,0,0,109756052684,1143981374,233522,2058,41,367,391619,0 143,2,2024-09-07 08:34:00:777,170870,170870,0,0,7811487,0,2669 143,3,2024-09-07 08:34:01:141,1,134,16,0,303,2374,134,0 144,0,2024-09-07 08:34:01:506,31909,1.2,32738,1.9,66495,1.5,87544,2.50 144,1,2024-09-07 08:34:00:567,234944,234944,0,0,109976598332,1158795159,232562,2179,203,381,391649,0 144,2,2024-09-07 08:34:01:764,171370,171370,0,0,7681852,0,3473 144,3,2024-09-07 08:34:01:753,1,134,4,0,249,1994,134,0 145,0,2024-09-07 08:34:01:430,32555,0.6,32520,0.8,68972,0.5,89133,2.25 145,1,2024-09-07 08:34:00:552,233450,233450,0,0,109207395593,1159338565,229095,3621,734,383,391615,0 145,2,2024-09-07 08:34:01:444,168845,168845,0,0,8745320,0,3903 145,3,2024-09-07 08:34:00:911,1,134,8,0,151,1739,134,0 146,0,2024-09-07 08:34:01:591,33219,0.5,33123,0.7,66884,0.4,88461,2.00 146,1,2024-09-07 08:34:01:598,235857,235857,0,0,109694502024,1157354440,231418,3581,858,368,391600,0 146,2,2024-09-07 08:34:01:698,167774,167774,0,0,8272540,0,2498 146,3,2024-09-07 08:34:01:275,1,134,1,0,1520,5079,134,0 147,0,2024-09-07 08:34:01:705,34468,1.4,34359,1.2,68034,1.7,92683,2.75 147,1,2024-09-07 08:34:01:384,236161,236161,0,0,110576526243,1154068663,233560,2176,425,368,391791,0 147,2,2024-09-07 08:34:01:016,168642,168642,0,0,7660509,0,2789 147,3,2024-09-07 08:34:00:913,1,134,1,0,371,1837,134,0 0,0,2024-09-07 08:34:11:753,31497,0.5,31499,0.7,66647,0.4,86428,1.75 0,1,2024-09-07 08:34:10:801,237143,237143,0,0,111760916881,1173013329,235422,1589,132,372,391692,0 0,2,2024-09-07 08:34:11:071,170292,170292,0,0,8136944,0,4480 0,3,2024-09-07 08:34:10:975,1,135,10,0,247,1935,135,0 1,0,2024-09-07 08:34:11:768,33966,1.8,33728,1.4,67823,2.4,91031,2.50 1,1,2024-09-07 08:34:10:570,236195,236195,0,0,110709014636,1167568827,232769,2561,865,372,391857,0 1,2,2024-09-07 08:34:10:656,167080,167080,0,0,7204708,0,3267 1,3,2024-09-07 08:34:11:313,1,135,9,0,262,1809,135,0 2,0,2024-09-07 08:34:11:571,35836,0.9,35918,1.0,71494,1.2,95729,2.25 2,1,2024-09-07 08:34:10:859,236799,236799,0,0,111056095536,1158566900,235355,1238,206,380,391558,0 2,2,2024-09-07 08:34:11:270,171800,171800,0,0,7924265,0,3594 2,3,2024-09-07 08:34:10:690,1,135,1,0,357,1614,135,0 3,0,2024-09-07 08:34:11:749,32318,0.6,32423,0.8,64891,0.6,86332,2.00 3,1,2024-09-07 08:34:11:621,236880,236880,0,0,110519541924,1158950362,233777,2679,424,382,391516,0 3,2,2024-09-07 08:34:11:142,171995,171972,23,0,8086653,0,5851 3,3,2024-09-07 08:34:11:756,1,135,27,0,103,938,135,0 4,0,2024-09-07 08:34:11:762,31176,0.3,31934,0.5,64910,0.2,84980,1.75 4,1,2024-09-07 08:34:10:591,237088,237088,0,0,110211431412,1164429312,234131,2437,520,371,391846,0 4,2,2024-09-07 08:34:11:018,169871,169871,0,0,9709879,0,4528 4,3,2024-09-07 08:34:11:030,1,135,2,0,287,2033,135,0 5,0,2024-09-07 08:34:11:369,32516,0.6,32312,0.7,64884,0.6,86412,1.75 5,1,2024-09-07 08:34:10:755,236179,236179,0,0,110905601717,1175560724,232013,3181,985,368,392005,0 5,2,2024-09-07 08:34:11:844,166122,166122,0,0,8197271,0,2259 5,3,2024-09-07 08:34:11:739,1,135,8,0,238,2228,135,0 6,0,2024-09-07 08:34:10:917,36615,1.2,36189,1.1,71950,1.9,97293,2.50 6,1,2024-09-07 08:34:10:755,236984,236984,0,0,110955069078,1168516254,233603,2734,647,381,391617,0 6,2,2024-09-07 08:34:11:116,172605,172605,0,0,8626515,0,4816 6,3,2024-09-07 08:34:11:273,1,135,5,0,340,2222,135,0 7,0,2024-09-07 08:34:11:537,31219,0.6,31249,0.8,62747,0.6,83529,2.00 7,1,2024-09-07 08:34:10:850,236895,236895,0,0,110625004022,1163711918,234178,2586,131,382,391664,0 7,2,2024-09-07 08:34:10:772,171910,171910,0,0,7708536,0,2981 7,3,2024-09-07 08:34:10:853,1,135,0,0,305,1518,135,0 8,0,2024-09-07 08:34:11:345,33008,0.3,33179,0.5,65663,0.2,87708,1.50 8,1,2024-09-07 08:34:11:016,236170,236170,0,0,110632814160,1175751551,230863,3720,1587,368,391956,0 8,2,2024-09-07 08:34:10:790,168619,168619,0,0,9387054,0,2986 8,3,2024-09-07 08:34:10:586,1,135,3,0,357,2403,135,0 9,0,2024-09-07 08:34:11:111,33338,0.4,32401,0.5,67609,0.3,88099,1.75 9,1,2024-09-07 08:34:10:551,236568,236568,0,0,111143539174,1179208202,232099,3345,1124,370,391753,0 9,2,2024-09-07 08:34:11:088,166952,166952,0,0,8642225,0,3360 9,3,2024-09-07 08:34:11:765,1,135,33,0,496,2177,135,0 10,0,2024-09-07 08:34:11:640,35524,0.4,35486,0.6,71144,0.4,94904,1.75 10,1,2024-09-07 08:34:10:583,236698,236698,0,0,110148047222,1163036649,232236,3821,641,381,391741,0 10,2,2024-09-07 08:34:10:762,171704,171704,0,0,8979570,0,2940 10,3,2024-09-07 08:34:10:873,1,135,26,0,177,1166,135,0 11,0,2024-09-07 08:34:11:009,33219,1.3,32403,1.2,68024,2.6,90485,2.50 11,1,2024-09-07 08:34:10:572,236275,236275,0,0,110735236581,1171915092,231017,3988,1270,384,391537,0 11,2,2024-09-07 08:34:11:123,171742,171742,0,0,8628066,0,3411 11,3,2024-09-07 08:34:11:299,1,135,15,0,720,2777,135,0 12,0,2024-09-07 08:34:10:985,34884,0.3,34567,0.5,69472,0.2,92220,1.50 12,1,2024-09-07 08:34:10:944,236760,236760,0,0,110446200301,1159147714,233925,2425,410,370,391837,0 12,2,2024-09-07 08:34:11:545,171272,171272,0,0,8604853,0,3469 12,3,2024-09-07 08:34:11:059,1,135,4,0,358,2473,135,0 13,0,2024-09-07 08:34:11:347,33253,0.4,33393,0.5,66575,0.3,88741,1.75 13,1,2024-09-07 08:34:11:527,237234,237234,0,0,110728816156,1166047451,234983,1844,407,384,391717,0 13,2,2024-09-07 08:34:10:595,170293,170293,0,0,7944525,0,3287 13,3,2024-09-07 08:34:11:770,1,135,14,1,467,2961,135,0 14,0,2024-09-07 08:34:10:573,34999,0.5,35039,0.7,69590,0.5,92873,2.00 14,1,2024-09-07 08:34:11:568,238414,238414,0,0,110781642988,1153377052,236304,1947,163,364,391571,0 14,2,2024-09-07 08:34:10:764,168591,168591,0,0,7953212,0,2896 14,3,2024-09-07 08:34:11:115,1,135,1,0,1168,2817,135,0 15,0,2024-09-07 08:34:11:562,35496,0.8,35556,1.0,71420,0.9,95124,2.50 15,1,2024-09-07 08:34:11:608,236802,236802,0,0,110677214598,1155776710,235119,1575,108,381,391579,0 15,2,2024-09-07 08:34:11:002,172559,172559,0,0,6693018,0,3043 15,3,2024-09-07 08:34:11:404,1,135,1,0,1126,4183,135,0 16,0,2024-09-07 08:34:10:952,33379,0.6,33760,0.8,66740,0.5,89479,2.25 16,1,2024-09-07 08:34:10:563,237185,237185,0,0,110653711663,1161950773,234980,1946,259,372,391756,0 16,2,2024-09-07 08:34:11:443,170754,170754,0,0,8817574,0,4719 16,3,2024-09-07 08:34:11:154,1,135,1,0,231,1995,135,0 17,0,2024-09-07 08:34:11:767,33394,0.4,32470,0.6,63835,0.4,86022,1.75 17,1,2024-09-07 08:34:10:568,236395,236395,0,0,110857279761,1171542447,233047,2677,671,368,391688,0 17,2,2024-09-07 08:34:11:673,172271,172271,0,0,8059197,0,2857 17,3,2024-09-07 08:34:10:574,1,135,12,0,268,2339,135,0 18,0,2024-09-07 08:34:10:944,32009,1.0,32472,1.0,64881,1.1,86548,2.25 18,1,2024-09-07 08:34:11:638,236773,236773,0,0,110995529647,1157088330,234783,1756,234,368,391564,0 18,2,2024-09-07 08:34:11:755,166576,166576,0,0,7897806,0,3541 18,3,2024-09-07 08:34:10:904,1,135,0,0,163,1547,135,0 19,0,2024-09-07 08:34:11:552,35767,1.0,36144,1.0,71370,1.1,95228,3.00 19,1,2024-09-07 08:34:10:574,237440,237440,0,0,110975263441,1163494188,233658,3066,716,367,391777,0 19,2,2024-09-07 08:34:11:756,173202,173202,0,0,7327407,0,3988 19,3,2024-09-07 08:34:11:132,1,135,6,0,524,1440,135,0 20,0,2024-09-07 08:34:11:393,31815,0.8,31736,0.9,63562,0.9,84644,2.50 20,1,2024-09-07 08:34:10:567,236785,236785,0,0,110726915023,1167954550,233358,3038,389,369,391822,0 20,2,2024-09-07 08:34:10:928,172646,172646,0,0,8313935,0,3721 20,3,2024-09-07 08:34:10:592,1,135,2,0,414,2759,135,0 21,0,2024-09-07 08:34:11:174,32631,0.4,32581,0.5,64873,0.3,85640,1.75 21,1,2024-09-07 08:34:11:556,236056,236056,0,0,110268393579,1167696674,232435,3090,531,368,391962,0 21,2,2024-09-07 08:34:11:098,170254,170254,0,0,9030977,0,3747 21,3,2024-09-07 08:34:11:404,1,135,14,0,103,1862,135,0 22,0,2024-09-07 08:34:11:720,32312,0.9,32424,1.0,64482,0.9,85760,2.25 22,1,2024-09-07 08:34:11:028,236297,236297,0,0,110379238018,1172700149,230806,3982,1509,382,391667,0 22,2,2024-09-07 08:34:10:759,166711,166711,0,0,7655973,0,3134 22,3,2024-09-07 08:34:11:065,1,135,1,0,228,1525,135,0 23,0,2024-09-07 08:34:11:370,35028,0.9,35245,1.0,70896,1.0,94791,2.50 23,1,2024-09-07 08:34:11:008,237315,237315,0,0,110630224209,1164771882,233239,2594,1482,365,391549,0 23,2,2024-09-07 08:34:11:091,171960,171960,0,0,8029619,0,3010 23,3,2024-09-07 08:34:11:755,1,135,1,0,645,1726,135,0 24,0,2024-09-07 08:34:10:812,33624,0.6,33638,0.7,67349,0.6,89057,1.75 24,1,2024-09-07 08:34:10:587,236924,236924,0,0,111025037998,1167620553,233981,2144,799,369,391640,0 24,2,2024-09-07 08:34:11:069,171262,171262,0,0,9331182,0,2942 24,3,2024-09-07 08:34:11:686,1,135,1,0,234,1690,135,0 25,0,2024-09-07 08:34:11:418,34707,0.4,33792,0.6,66276,0.3,90085,1.75 25,1,2024-09-07 08:34:10:560,236906,236906,0,0,111071034693,1172546616,233231,3133,542,371,391788,0 25,2,2024-09-07 08:34:11:611,169433,169433,0,0,9382321,0,3978 25,3,2024-09-07 08:34:11:000,1,135,17,0,158,1596,135,0 26,0,2024-09-07 08:34:11:739,33251,0.4,32597,0.6,68344,0.3,89341,1.75 26,1,2024-09-07 08:34:11:554,237318,237318,0,0,110581266481,1168812231,232661,3756,901,381,391564,0 26,2,2024-09-07 08:34:10:862,168468,168468,0,0,8433201,0,2809 26,3,2024-09-07 08:34:11:723,1,135,2,0,796,2129,135,0 27,0,2024-09-07 08:34:11:741,35253,0.6,35271,0.7,69851,0.6,94253,1.75 27,1,2024-09-07 08:34:11:675,238166,238166,0,0,111698546350,1164244679,236351,1492,323,381,391539,0 27,2,2024-09-07 08:34:10:873,168804,168804,0,0,9192206,0,3409 27,3,2024-09-07 08:34:11:016,1,135,45,0,564,1754,135,0 28,0,2024-09-07 08:34:11:397,34846,1.0,35213,0.9,70573,1.3,93917,2.75 28,1,2024-09-07 08:34:10:817,237049,237049,0,0,111891433435,1170061821,234906,1807,336,383,391646,0 28,2,2024-09-07 08:34:11:767,172657,172657,0,0,7093366,0,2915 28,3,2024-09-07 08:34:11:776,1,135,4,0,502,1926,135,0 29,0,2024-09-07 08:34:11:360,34938,0.4,34174,0.6,66909,0.3,91689,1.75 29,1,2024-09-07 08:34:11:560,238162,238162,0,0,111060710998,1155780074,235826,1800,536,369,391621,0 29,2,2024-09-07 08:34:10:861,171246,171246,0,0,6866613,0,4018 29,3,2024-09-07 08:34:10:990,1,135,17,0,105,1158,135,0 30,0,2024-09-07 08:34:11:461,32388,0.6,31539,0.8,65989,0.6,86508,2.25 30,1,2024-09-07 08:34:10:571,237693,237693,0,0,111533399587,1162820587,235722,1693,278,382,391672,0 30,2,2024-09-07 08:34:11:273,171378,171378,0,0,7079885,0,3161 30,3,2024-09-07 08:34:10:581,1,135,4,0,195,1141,135,0 31,0,2024-09-07 08:34:11:763,33668,0.7,33813,0.8,68228,0.8,91070,2.25 31,1,2024-09-07 08:34:10:566,238695,238695,0,0,111687216390,1147230561,238045,580,70,356,391553,0 31,2,2024-09-07 08:34:11:277,167495,167495,0,0,8548090,0,3525 31,3,2024-09-07 08:34:11:721,1,135,1,0,220,1143,135,0 32,0,2024-09-07 08:34:11:422,35941,0.6,36354,0.7,72518,0.5,96450,1.75 32,1,2024-09-07 08:34:10:804,238020,238020,0,0,111426946933,1161281913,236281,1464,275,382,391595,0 32,2,2024-09-07 08:34:10:939,172311,172311,0,0,7064557,0,3155 32,3,2024-09-07 08:34:11:014,1,135,2,0,227,1399,135,0 33,0,2024-09-07 08:34:11:499,32839,0.4,32348,0.6,65361,0.3,87255,1.75 33,1,2024-09-07 08:34:10:575,238217,238217,0,0,111465573451,1159072106,235992,2053,172,369,391730,0 33,2,2024-09-07 08:34:10:758,172010,171977,33,0,8960196,0,7012 33,3,2024-09-07 08:34:10:895,1,135,13,0,329,1683,135,0 34,0,2024-09-07 08:34:10:931,31990,0.3,33043,0.5,63450,0.2,84983,1.75 34,1,2024-09-07 08:34:11:044,238300,238300,0,0,111932160046,1156435181,237305,989,6,367,391562,0 34,2,2024-09-07 08:34:10:766,170091,170091,0,0,7980669,0,3577 34,3,2024-09-07 08:34:11:688,1,135,0,0,148,900,135,0 35,0,2024-09-07 08:34:10:865,32065,0.5,32436,0.6,64654,0.5,86584,1.75 35,1,2024-09-07 08:34:11:066,237453,237453,0,0,111430209061,1162868821,234656,2027,770,384,391589,0 35,2,2024-09-07 08:34:11:583,166288,166288,0,0,7088266,0,2653 35,3,2024-09-07 08:34:10:906,1,135,46,0,418,1902,135,0 36,0,2024-09-07 08:34:11:519,36272,1.3,35989,1.2,72620,1.7,96977,2.75 36,1,2024-09-07 08:34:10:585,236625,236625,0,0,110630954536,1166543461,232017,3321,1287,366,391759,0 36,2,2024-09-07 08:34:11:756,171542,171542,0,0,8706457,0,3303 36,3,2024-09-07 08:34:10:863,1,135,1,0,378,1717,135,0 37,0,2024-09-07 08:34:11:377,31437,0.6,31560,0.8,62774,0.6,84466,2.00 37,1,2024-09-07 08:34:10:569,236040,236033,0,7,110594152572,1171308387,231278,2738,2017,365,391518,0 37,2,2024-09-07 08:34:11:146,172418,172403,15,0,8812417,0,5815 37,3,2024-09-07 08:34:11:771,1,135,12,0,724,2402,135,0 38,0,2024-09-07 08:34:11:438,32878,0.4,31840,0.6,66476,0.3,87260,2.00 38,1,2024-09-07 08:34:11:605,237861,237861,0,0,111211785374,1169794041,233851,3378,632,368,391821,0 38,2,2024-09-07 08:34:10:760,170267,170220,47,0,10480944,0,6710 38,3,2024-09-07 08:34:11:001,1,135,2,0,603,2045,135,0 39,0,2024-09-07 08:34:11:764,34092,0.7,33310,0.7,65162,0.7,88383,2.00 39,1,2024-09-07 08:34:10:716,237378,237378,0,0,110263721955,1157324163,233592,2985,801,365,391524,0 39,2,2024-09-07 08:34:11:416,167433,167433,0,0,7562128,0,2689 39,3,2024-09-07 08:34:10:713,1,135,45,0,276,2015,135,0 40,0,2024-09-07 08:34:11:494,34837,1.1,35467,1.4,70360,1.4,94369,3.25 40,1,2024-09-07 08:34:10:576,236936,236936,0,0,110211883095,1161038084,232629,3540,767,368,391591,0 40,2,2024-09-07 08:34:11:310,170888,170887,1,0,9561603,0,5137 40,3,2024-09-07 08:34:11:146,1,135,10,0,181,1476,135,0 41,0,2024-09-07 08:34:11:024,33251,2.5,33920,2.0,65170,3.9,89081,4.50 41,1,2024-09-07 08:34:10:774,237006,237006,0,0,111053902609,1169494469,232823,3652,531,370,391742,0 41,2,2024-09-07 08:34:10:759,171050,171050,0,0,9302231,0,3356 41,3,2024-09-07 08:34:11:676,1,135,47,0,366,1917,135,0 42,0,2024-09-07 08:34:11:475,33852,0.6,34200,0.9,68282,0.5,90359,2.25 42,1,2024-09-07 08:34:11:455,235772,235772,0,0,110621309848,1170574608,231081,3733,958,380,391675,0 42,2,2024-09-07 08:34:11:133,169654,169654,0,0,9105122,0,3568 42,3,2024-09-07 08:34:11:014,1,135,1,0,446,1364,135,0 43,0,2024-09-07 08:34:10:917,33174,0.7,32418,0.9,67387,0.7,88779,2.00 43,1,2024-09-07 08:34:10:576,236825,236825,0,0,111415182580,1167472600,233599,2433,793,366,391604,0 43,2,2024-09-07 08:34:11:736,170331,170331,0,0,8528687,0,3812 43,3,2024-09-07 08:34:11:755,1,135,18,0,325,2062,135,0 44,0,2024-09-07 08:34:10:862,35068,0.6,34884,0.8,70012,0.6,93461,2.00 44,1,2024-09-07 08:34:10:567,237633,237633,0,0,110822456867,1150247855,235640,1569,424,356,391809,0 44,2,2024-09-07 08:34:11:273,168234,168234,0,0,6894863,0,1877 44,3,2024-09-07 08:34:11:098,1,135,271,0,817,1976,135,0 45,0,2024-09-07 08:34:11:782,35090,1.0,34434,1.0,72302,1.1,95954,2.25 45,1,2024-09-07 08:34:11:005,237613,237613,0,0,111485107951,1158749074,236575,1028,10,382,391917,0 45,2,2024-09-07 08:34:11:271,172676,172676,0,0,7457376,0,3596 45,3,2024-09-07 08:34:10:934,1,135,8,0,226,1370,135,0 46,0,2024-09-07 08:34:10:976,33242,0.4,33207,0.6,66697,0.3,88666,1.75 46,1,2024-09-07 08:34:10:583,238012,238012,0,0,111261053162,1155004166,236208,1582,222,366,391524,0 46,2,2024-09-07 08:34:10:594,172186,172186,0,0,7416489,0,2920 46,3,2024-09-07 08:34:11:132,1,135,1,0,363,1941,135,0 47,0,2024-09-07 08:34:11:106,32253,0.3,32522,0.5,65243,0.2,85678,1.75 47,1,2024-09-07 08:34:10:567,237938,237938,0,0,110710186608,1146003603,236758,1165,15,366,391605,0 47,2,2024-09-07 08:34:10:908,171883,171883,0,0,7772778,0,2558 47,3,2024-09-07 08:34:11:125,1,135,1,0,529,1517,135,0 48,0,2024-09-07 08:34:11:497,32922,0.3,32576,0.5,65448,0.3,87092,1.75 48,1,2024-09-07 08:34:11:037,237772,237772,0,0,111532293190,1163632009,235715,1877,180,384,391710,0 48,2,2024-09-07 08:34:10:701,166524,166524,0,0,6412449,0,2083 48,3,2024-09-07 08:34:10:763,1,135,20,0,339,1366,135,0 49,0,2024-09-07 08:34:11:729,37046,0.5,36394,0.7,70940,0.5,97137,1.75 49,1,2024-09-07 08:34:11:024,236698,236698,0,0,110967685946,1162365694,233966,1892,840,382,391583,0 49,2,2024-09-07 08:34:11:797,172899,172899,0,0,7512983,0,3900 49,3,2024-09-07 08:34:11:416,1,135,8,0,408,1784,135,0 50,0,2024-09-07 08:34:11:509,31936,0.4,31414,0.6,62939,0.3,84496,1.75 50,1,2024-09-07 08:34:11:018,238354,238354,0,0,111651517735,1162881846,235830,2215,309,368,391530,0 50,2,2024-09-07 08:34:11:067,172298,172298,0,0,7169311,0,2253 50,3,2024-09-07 08:34:11:292,1,135,1,0,335,1272,135,0 51,0,2024-09-07 08:34:11:684,33098,0.3,32524,0.4,63406,0.2,86048,1.75 51,1,2024-09-07 08:34:11:680,237516,237516,0,0,112110702300,1163255272,235563,1167,786,367,391637,0 51,2,2024-09-07 08:34:11:323,170250,170250,0,0,6825403,0,3337 51,3,2024-09-07 08:34:11:030,1,135,1,0,162,848,135,0 52,0,2024-09-07 08:34:11:414,32628,0.6,32689,0.8,65590,0.6,86336,2.25 52,1,2024-09-07 08:34:10:576,236246,236246,0,0,110113425036,1168235189,230591,4501,1154,368,391722,0 52,2,2024-09-07 08:34:11:756,165011,164973,38,0,9155755,0,6742 52,3,2024-09-07 08:34:10:674,1,135,1,0,1782,3425,135,0 53,0,2024-09-07 08:34:11:740,35115,1.2,34094,1.1,71342,1.4,94344,3.00 53,1,2024-09-07 08:34:10:790,235769,235769,0,0,111087529798,1180444735,230154,3721,1894,367,391702,0 53,2,2024-09-07 08:34:11:299,172686,172686,0,0,7613673,0,2262 53,3,2024-09-07 08:34:10:697,1,135,14,0,133,1152,135,0 54,0,2024-09-07 08:34:11:615,32062,3.2,32487,1.6,64213,1.8,86205,4.50 54,1,2024-09-07 08:34:10:581,237199,237199,0,0,111521531797,1170528242,233265,3363,571,367,391532,0 54,2,2024-09-07 08:34:10:864,172085,172079,6,0,9168555,0,5382 54,3,2024-09-07 08:34:10:762,1,135,1,0,676,2780,135,0 55,0,2024-09-07 08:34:11:763,32536,0.5,33441,0.8,67978,0.4,87970,2.50 55,1,2024-09-07 08:34:10:764,236288,236288,0,0,110048784083,1159909885,231103,4398,787,367,391731,0 55,2,2024-09-07 08:34:10:729,169697,169697,0,0,9020800,0,3275 55,3,2024-09-07 08:34:10:674,1,135,1,0,304,1624,135,0 56,0,2024-09-07 08:34:11:563,34413,1.3,32563,1.2,66687,1.9,89596,2.50 56,1,2024-09-07 08:34:10:575,236728,236728,0,0,111325384823,1185464569,231610,4216,902,382,391678,0 56,2,2024-09-07 08:34:11:313,168107,168107,0,0,9539415,0,3567 56,3,2024-09-07 08:34:11:060,1,135,2,0,405,2119,135,0 57,0,2024-09-07 08:34:11:020,34217,3.0,34190,1.7,68470,4.7,92131,4.50 57,1,2024-09-07 08:34:11:006,236759,236759,0,0,110591766581,1162361446,234054,2535,170,368,391773,0 57,2,2024-09-07 08:34:11:331,170339,170339,0,0,9639772,0,3178 57,3,2024-09-07 08:34:11:751,1,135,5,0,359,2600,135,0 58,0,2024-09-07 08:34:10:556,33996,1.7,33026,1.4,69329,2.8,90552,3.25 58,1,2024-09-07 08:34:10:575,237568,237565,0,3,111723044158,1172605904,234053,3116,396,369,391516,3 58,2,2024-09-07 08:34:11:075,172035,172035,0,0,8786297,0,2549 58,3,2024-09-07 08:34:11:068,1,135,7,0,219,1202,135,0 59,0,2024-09-07 08:34:11:741,34221,0.9,33913,1.0,67877,0.9,90434,3.00 59,1,2024-09-07 08:34:10:808,237182,237182,0,0,110710962651,1164793326,233549,2711,922,369,391515,0 59,2,2024-09-07 08:34:10:583,171594,171594,0,0,7740477,0,2604 59,3,2024-09-07 08:34:11:741,1,135,51,0,1015,2828,135,0 60,0,2024-09-07 08:34:11:706,32760,0.4,32855,0.6,65172,0.4,86579,1.75 60,1,2024-09-07 08:34:10:772,238591,238591,0,0,111584212501,1160146838,237151,1084,356,370,391761,0 60,2,2024-09-07 08:34:11:150,170421,170421,0,0,8712864,0,3811 60,3,2024-09-07 08:34:11:259,1,135,1,0,124,1490,135,0 61,0,2024-09-07 08:34:11:499,33709,1.5,33953,1.2,67786,2.2,90625,2.25 61,1,2024-09-07 08:34:10:771,237117,237117,0,0,111482026754,1172113119,234033,2546,538,382,391589,0 61,2,2024-09-07 08:34:11:116,168159,168159,0,0,7327937,0,2079 61,3,2024-09-07 08:34:11:687,1,135,12,0,199,1807,135,0 62,0,2024-09-07 08:34:11:708,36208,0.9,37084,0.9,70769,1.2,96160,2.25 62,1,2024-09-07 08:34:11:111,238865,238859,0,6,111351709421,1152660135,237182,1639,38,365,391715,6 62,2,2024-09-07 08:34:11:647,170765,170764,1,0,8909892,0,5555 62,3,2024-09-07 08:34:11:147,1,135,13,0,287,1102,135,0 63,0,2024-09-07 08:34:11:463,32471,0.6,32470,0.7,65047,0.6,86803,2.00 63,1,2024-09-07 08:34:10:805,238262,238256,0,6,111979539028,1162852135,237037,1193,26,381,391542,6 63,2,2024-09-07 08:34:10:762,171864,171864,0,0,7123255,0,2674 63,3,2024-09-07 08:34:11:739,1,135,1,0,667,2232,135,0 64,0,2024-09-07 08:34:11:527,31947,0.4,31831,0.6,63767,0.4,85066,1.75 64,1,2024-09-07 08:34:10:757,237646,237646,0,0,110923501615,1165268694,234187,2407,1052,371,391783,0 64,2,2024-09-07 08:34:11:146,171949,171930,19,0,7893203,0,6121 64,3,2024-09-07 08:34:11:145,1,135,0,0,265,1728,135,0 65,0,2024-09-07 08:34:11:713,31686,1.2,32242,1.0,64220,1.7,85801,2.50 65,1,2024-09-07 08:34:10:861,236817,236817,0,0,110644009508,1161912914,234422,2173,222,382,391770,0 65,2,2024-09-07 08:34:11:702,166867,166867,0,0,8251583,0,3367 65,3,2024-09-07 08:34:11:696,1,135,9,0,163,1501,135,0 66,0,2024-09-07 08:34:11:774,35865,1.0,35834,1.0,72104,1.2,96170,2.75 66,1,2024-09-07 08:34:11:293,238111,238111,0,0,111512967569,1162622717,236241,1699,171,380,391588,0 66,2,2024-09-07 08:34:11:136,173752,173752,0,0,7683738,0,4956 66,3,2024-09-07 08:34:11:087,1,135,1,0,291,1651,135,0 67,0,2024-09-07 08:34:11:413,31834,1.1,31774,1.1,63560,1.4,84579,2.75 67,1,2024-09-07 08:34:10:773,236868,236867,0,1,110720154713,1163781132,233421,2700,746,381,391787,1 67,2,2024-09-07 08:34:10:584,173188,173188,0,0,7253728,0,2889 67,3,2024-09-07 08:34:11:755,1,135,1,0,138,1222,135,0 68,0,2024-09-07 08:34:10:585,33195,0.5,33092,0.7,66012,0.4,87470,2.00 68,1,2024-09-07 08:34:10:621,236264,236264,0,0,110581272164,1165930231,233427,1820,1017,381,391953,0 68,2,2024-09-07 08:34:11:044,169127,169062,65,0,10650994,0,6698 68,3,2024-09-07 08:34:10:728,1,135,9,0,417,1930,135,0 69,0,2024-09-07 08:34:11:730,33114,0.9,33397,0.9,66268,1.1,87943,2.25 69,1,2024-09-07 08:34:11:017,235890,235890,0,0,110635779197,1176848778,231903,2773,1214,384,391611,0 69,2,2024-09-07 08:34:11:739,167054,167054,0,0,9039383,0,3701 69,3,2024-09-07 08:34:10:765,1,135,14,0,238,1775,135,0 70,0,2024-09-07 08:34:11:533,34937,2.7,35115,1.8,70394,2.1,93743,4.00 70,1,2024-09-07 08:34:10:802,237641,237641,0,0,111173383670,1160712884,235230,1949,462,367,391725,0 70,2,2024-09-07 08:34:11:325,171111,171111,0,0,8492565,0,4044 70,3,2024-09-07 08:34:10:750,1,135,3,0,854,1815,135,0 71,0,2024-09-07 08:34:11:361,33253,2.6,33328,1.9,66219,4.1,89297,4.00 71,1,2024-09-07 08:34:11:601,237391,237391,0,0,110832131225,1166762915,233296,3572,523,368,391682,0 71,2,2024-09-07 08:34:11:067,172630,172630,0,0,8490223,0,2470 71,3,2024-09-07 08:34:11:754,1,135,2,0,644,2499,135,0 72,0,2024-09-07 08:34:11:031,35605,0.5,34742,0.7,68118,0.4,92345,2.25 72,1,2024-09-07 08:34:11:028,237111,237111,0,0,111020123215,1164349362,233869,2756,486,370,391819,0 72,2,2024-09-07 08:34:11:755,169347,169347,0,0,9973625,0,2570 72,3,2024-09-07 08:34:11:756,1,135,1,0,325,2259,135,0 73,0,2024-09-07 08:34:11:107,32399,0.4,33192,0.6,68007,0.3,88582,2.25 73,1,2024-09-07 08:34:10:766,237405,237405,0,0,111051844385,1156813156,235604,1686,115,368,391627,0 73,2,2024-09-07 08:34:11:741,170341,170341,0,0,9265093,0,3482 73,3,2024-09-07 08:34:10:978,1,135,14,0,274,2407,135,0 74,0,2024-09-07 08:34:11:326,35156,0.6,35673,0.9,68264,0.7,92949,2.50 74,1,2024-09-07 08:34:10:657,237610,237610,0,0,110473694382,1158458453,234829,2162,619,382,391681,0 74,2,2024-09-07 08:34:11:002,168262,168262,0,0,9123442,0,4253 74,3,2024-09-07 08:34:11:443,1,135,522,0,522,2580,135,0 75,0,2024-09-07 08:34:11:774,35684,1.0,35554,1.1,71101,1.1,96326,3.00 75,1,2024-09-07 08:34:11:595,236727,236727,0,0,111043541794,1168866535,233344,2923,460,381,391579,0 75,2,2024-09-07 08:34:11:352,171370,171370,0,0,8719167,0,4766 75,3,2024-09-07 08:34:11:067,1,135,1,0,535,1702,135,0 76,0,2024-09-07 08:34:10:597,33267,0.4,33054,0.7,65971,0.4,88686,2.25 76,1,2024-09-07 08:34:10:806,237300,237300,0,0,110809815555,1160460663,235292,1498,510,382,391692,0 76,2,2024-09-07 08:34:11:061,172493,172493,0,0,7355605,0,3064 76,3,2024-09-07 08:34:11:146,1,135,6,0,175,1601,135,0 77,0,2024-09-07 08:34:11:697,32330,0.5,32540,0.7,65096,0.5,85693,2.00 77,1,2024-09-07 08:34:10:824,237846,237846,0,0,111177944768,1162810159,236268,1497,81,383,391808,0 77,2,2024-09-07 08:34:11:282,170766,170766,0,0,7771456,0,3890 77,3,2024-09-07 08:34:11:096,1,135,17,0,305,1888,135,0 78,0,2024-09-07 08:34:11:721,32634,0.6,32633,0.8,65540,0.6,86727,2.00 78,1,2024-09-07 08:34:10:611,237670,237670,0,0,110391178149,1151200175,235769,1695,206,367,391589,0 78,2,2024-09-07 08:34:11:405,167579,167579,0,0,7032235,0,2114 78,3,2024-09-07 08:34:11:137,1,135,30,0,181,1561,135,0 79,0,2024-09-07 08:34:11:408,35094,0.6,35781,0.8,73266,0.5,95670,2.75 79,1,2024-09-07 08:34:10:573,238175,238175,0,0,110973430510,1153997688,236021,1983,171,369,391682,0 79,2,2024-09-07 08:34:11:069,173482,173482,0,0,7436242,0,3212 79,3,2024-09-07 08:34:10:758,1,135,7,0,418,2673,135,0 80,0,2024-09-07 08:34:11:081,31655,0.8,32431,0.9,62462,0.8,84723,2.25 80,1,2024-09-07 08:34:11:620,236554,236554,0,0,111117847158,1162211241,234047,2348,159,368,391673,0 80,2,2024-09-07 08:34:11:097,173171,173171,0,0,8017714,0,4433 80,3,2024-09-07 08:34:10:575,1,135,1,0,190,2465,135,0 81,0,2024-09-07 08:34:11:566,32440,0.5,33375,0.6,63911,0.4,85760,1.75 81,1,2024-09-07 08:34:11:650,237169,237169,0,0,110383016711,1158855289,234717,2180,272,382,391879,0 81,2,2024-09-07 08:34:11:125,169960,169960,0,0,8468185,0,3993 81,3,2024-09-07 08:34:11:125,1,135,8,0,193,1469,135,0 82,0,2024-09-07 08:34:11:588,32306,0.6,32460,0.8,65202,0.6,86527,2.00 82,1,2024-09-07 08:34:10:583,237219,237215,0,4,110876521172,1160978159,234778,1861,576,382,391558,4 82,2,2024-09-07 08:34:11:699,167314,167314,0,0,7618245,0,3986 82,3,2024-09-07 08:34:11:755,1,135,1,0,227,1755,135,0 83,0,2024-09-07 08:34:11:528,35507,0.9,35309,0.9,69845,1.0,94385,2.25 83,1,2024-09-07 08:34:10:552,237521,237521,0,0,110921824755,1162570412,235100,2218,203,382,391584,0 83,2,2024-09-07 08:34:10:765,171810,171810,0,0,7588114,0,3119 83,3,2024-09-07 08:34:10:749,1,135,23,0,133,1449,135,0 84,0,2024-09-07 08:34:11:824,32756,2.0,32423,1.6,65255,1.6,87541,3.00 84,1,2024-09-07 08:34:11:052,236523,236523,0,0,110992715949,1168968727,233151,2918,454,368,391852,0 84,2,2024-09-07 08:34:10:573,172229,172229,0,0,8814179,0,3801 84,3,2024-09-07 08:34:11:142,1,135,0,0,236,1583,135,0 85,0,2024-09-07 08:34:11:017,32575,0.5,32546,0.8,69012,0.4,89099,2.25 85,1,2024-09-07 08:34:10:566,235948,235948,0,0,110344135888,1177006089,230560,4312,1076,382,391679,0 85,2,2024-09-07 08:34:10:865,170614,170614,0,0,9647229,0,3656 85,3,2024-09-07 08:34:10:685,1,135,8,0,115,1423,135,0 86,0,2024-09-07 08:34:10:892,33540,0.6,34505,0.7,66225,0.6,89401,2.00 86,1,2024-09-07 08:34:10:829,236914,236914,0,0,110844191506,1168061039,232977,3394,543,366,391961,0 86,2,2024-09-07 08:34:10:855,167583,167582,1,0,9773928,0,5004 86,3,2024-09-07 08:34:10:586,1,135,1,0,286,2223,135,0 87,0,2024-09-07 08:34:11:289,34785,2.0,34892,1.3,69447,3.1,94076,2.75 87,1,2024-09-07 08:34:10:552,236184,236184,0,0,110498696163,1164352581,232984,2809,391,366,391788,0 87,2,2024-09-07 08:34:11:067,169900,169900,0,0,8125763,0,3515 87,3,2024-09-07 08:34:11:800,1,135,43,0,335,2607,135,0 88,0,2024-09-07 08:34:11:453,35224,0.8,35337,0.8,70508,1.0,94033,2.00 88,1,2024-09-07 08:34:10:580,235980,235980,0,0,111110834507,1173104991,231977,2840,1163,367,391787,0 88,2,2024-09-07 08:34:10:690,172133,172133,0,0,9299143,0,3583 88,3,2024-09-07 08:34:11:283,1,135,11,1,435,2019,135,0 89,0,2024-09-07 08:34:11:846,35228,0.5,34124,0.7,67631,0.4,91961,1.75 89,1,2024-09-07 08:34:10:553,235546,235546,0,0,110732469589,1179053552,230138,4475,933,382,391866,0 89,2,2024-09-07 08:34:11:136,170477,170477,0,0,9208185,0,2910 89,3,2024-09-07 08:34:11:814,1,135,13,0,325,2967,135,0 90,0,2024-09-07 08:34:11:616,31632,0.4,32322,0.6,66461,0.4,86380,1.75 90,1,2024-09-07 08:34:10:593,237230,237230,0,0,110202424325,1162859253,234024,2952,254,382,391825,0 90,2,2024-09-07 08:34:11:412,169354,169354,0,0,9978924,0,3060 90,3,2024-09-07 08:34:10:930,1,135,1,1,200,1706,135,0 91,0,2024-09-07 08:34:10:925,34376,0.5,33111,0.7,68898,0.5,91287,1.75 91,1,2024-09-07 08:34:10:556,236791,236791,0,0,111065114980,1172603341,233069,3228,494,381,391960,0 91,2,2024-09-07 08:34:11:331,166443,166443,0,0,9194591,0,2445 91,3,2024-09-07 08:34:10:600,1,135,1,0,155,1583,135,0 92,0,2024-09-07 08:34:11:460,36493,0.6,37316,0.7,71485,0.7,96619,1.75 92,1,2024-09-07 08:34:10:584,237248,237248,0,0,111176929690,1165300779,235114,1737,397,382,391717,0 92,2,2024-09-07 08:34:11:369,173832,173832,0,0,7480238,0,2279 92,3,2024-09-07 08:34:11:048,1,135,4,0,68,1264,135,0 93,0,2024-09-07 08:34:10:963,32664,0.5,33476,0.7,64131,0.4,87282,1.75 93,1,2024-09-07 08:34:10:814,236961,236961,0,0,111226736355,1166768967,233973,2441,547,366,391689,0 93,2,2024-09-07 08:34:10:930,171745,171745,0,0,7596051,0,2509 93,3,2024-09-07 08:34:11:416,1,135,9,0,143,1501,135,0 94,0,2024-09-07 08:34:11:622,32069,0.3,32334,0.5,64066,0.3,84861,1.75 94,1,2024-09-07 08:34:10:564,237165,237165,0,0,110445334579,1159881042,234807,2266,92,381,391850,0 94,2,2024-09-07 08:34:10:761,170732,170732,0,0,7644497,0,2443 94,3,2024-09-07 08:34:11:690,1,135,1,0,264,2258,135,0 95,0,2024-09-07 08:34:11:360,32490,0.4,32407,0.6,65064,0.3,86523,1.75 95,1,2024-09-07 08:34:10:854,237478,237478,0,0,111265533358,1160272686,235492,1832,154,367,391600,0 95,2,2024-09-07 08:34:11:016,166510,166510,0,0,7606921,0,3308 95,3,2024-09-07 08:34:11:713,1,135,39,0,718,2900,135,0 96,0,2024-09-07 08:34:11:031,36352,0.7,36410,0.7,72798,0.7,96772,2.00 96,1,2024-09-07 08:34:11:598,236997,236997,0,0,110224160222,1158464999,234410,1946,641,385,391894,0 96,2,2024-09-07 08:34:11:281,172097,172097,0,0,8220630,0,4042 96,3,2024-09-07 08:34:11:149,1,135,2,0,188,1621,135,0 97,0,2024-09-07 08:34:11:342,31956,0.5,31906,0.6,63770,0.5,84525,1.75 97,1,2024-09-07 08:34:10:812,237079,237079,0,0,111427245775,1163810639,234318,2214,547,367,392140,0 97,2,2024-09-07 08:34:10:606,172402,172402,0,0,7567745,0,3036 97,3,2024-09-07 08:34:10:569,1,135,1,1,165,1659,135,0 98,0,2024-09-07 08:34:11:709,33127,0.3,32965,0.4,66329,0.2,87841,1.50 98,1,2024-09-07 08:34:10:574,237430,237430,0,0,111263750755,1164138685,235631,1713,86,382,391997,0 98,2,2024-09-07 08:34:10:780,171370,171370,0,0,7860644,0,3080 98,3,2024-09-07 08:34:10:698,1,135,6,1,840,2868,135,0 99,0,2024-09-07 08:34:11:474,33304,0.3,33325,0.5,66701,0.3,88267,1.75 99,1,2024-09-07 08:34:11:723,237456,237456,0,0,110502059102,1158004594,234707,2076,673,381,391744,0 99,2,2024-09-07 08:34:11:416,169094,169094,0,0,7476692,0,2615 99,3,2024-09-07 08:34:10:581,1,135,1,0,129,1034,135,0 100,0,2024-09-07 08:34:11:472,35182,1.7,35225,1.7,70554,2.8,94516,2.75 100,1,2024-09-07 08:34:10:549,235405,235405,0,0,110041824251,1174540514,230234,4241,930,381,391989,0 100,2,2024-09-07 08:34:11:826,170453,170442,11,0,9035645,0,5417 100,3,2024-09-07 08:34:11:740,1,135,6,0,559,3412,135,0 101,0,2024-09-07 08:34:11:731,34257,3.5,33328,1.9,65570,4.4,90406,3.50 101,1,2024-09-07 08:34:10:553,235808,235808,0,0,110612034832,1178020121,230485,3847,1476,368,391769,0 101,2,2024-09-07 08:34:11:757,171741,171741,0,0,10025900,0,4644 101,3,2024-09-07 08:34:10:966,1,135,2,0,448,1954,135,0 102,0,2024-09-07 08:34:10:942,33633,0.6,34954,0.8,70015,0.5,91791,2.00 102,1,2024-09-07 08:34:11:144,236485,236485,0,0,110226625664,1167714754,232431,3345,709,369,391831,0 102,2,2024-09-07 08:34:11:788,170941,170887,54,0,9556114,0,6768 102,3,2024-09-07 08:34:11:646,1,135,3,0,410,1614,135,0 103,0,2024-09-07 08:34:11:595,34212,0.4,34196,0.6,64523,0.4,88985,1.75 103,1,2024-09-07 08:34:11:628,235976,235976,0,0,111047824677,1177062747,231649,3121,1206,381,391829,0 103,2,2024-09-07 08:34:10:582,169116,169116,0,0,8345496,0,2104 103,3,2024-09-07 08:34:10:757,1,135,1,0,916,2845,135,0 104,0,2024-09-07 08:34:11:010,34439,2.2,34594,1.4,68263,3.4,92895,3.00 104,1,2024-09-07 08:34:11:605,237314,237314,0,0,110576606962,1173881991,232333,4023,958,366,391948,0 104,2,2024-09-07 08:34:11:693,167662,167662,0,0,8762260,0,3941 104,3,2024-09-07 08:34:11:422,1,135,49,1,1245,4940,135,0 105,0,2024-09-07 08:34:11:043,35212,1.5,34238,1.4,71200,2.3,94978,3.75 105,1,2024-09-07 08:34:10:574,237320,237320,0,0,110930612240,1170926592,233131,3417,772,367,391797,0 105,2,2024-09-07 08:34:11:334,171554,171554,0,0,8554768,0,3509 105,3,2024-09-07 08:34:11:317,1,135,9,1,399,2220,135,0 106,0,2024-09-07 08:34:10:935,32288,0.7,33105,0.9,67378,0.8,88784,2.25 106,1,2024-09-07 08:34:11:761,236548,236548,0,0,110192871057,1161972625,232829,3335,384,369,391767,0 106,2,2024-09-07 08:34:10:755,171107,171107,0,0,8785448,0,2795 106,3,2024-09-07 08:34:10:678,1,135,1,0,405,2233,135,0 107,0,2024-09-07 08:34:11:111,32436,0.4,32373,0.6,64408,0.4,85920,1.75 107,1,2024-09-07 08:34:10:587,236322,236322,0,0,109818302603,1160028554,233368,2728,226,381,392234,0 107,2,2024-09-07 08:34:11:293,170247,170246,1,0,8843058,0,5024 107,3,2024-09-07 08:34:11:756,1,135,1,0,353,1762,135,0 108,0,2024-09-07 08:34:11:771,32793,0.4,33041,0.6,65296,0.4,87191,1.75 108,1,2024-09-07 08:34:11:294,236918,236918,0,0,111165941518,1165158132,234296,2313,309,368,391857,0 108,2,2024-09-07 08:34:11:761,166048,166048,0,0,8013106,0,2647 108,3,2024-09-07 08:34:11:330,1,135,15,0,288,2146,135,0 109,0,2024-09-07 08:34:11:780,36484,0.5,36228,0.7,72197,0.5,97404,1.75 109,1,2024-09-07 08:34:10:595,236450,236450,0,0,111423304886,1174635566,233687,2270,493,383,391812,0 109,2,2024-09-07 08:34:10:920,171732,171732,0,0,8499920,0,3617 109,3,2024-09-07 08:34:11:145,1,135,9,1,249,2071,135,0 110,0,2024-09-07 08:34:11:752,31895,0.5,31048,0.7,64814,0.4,84813,1.75 110,1,2024-09-07 08:34:11:647,237167,237167,0,0,110723898731,1156933698,234775,1692,700,370,391667,0 110,2,2024-09-07 08:34:11:313,172842,172842,0,0,7555424,0,2915 110,3,2024-09-07 08:34:10:690,1,135,3,0,406,2039,135,0 111,0,2024-09-07 08:34:11:434,32555,0.2,32071,0.4,64218,0.2,85926,1.50 111,1,2024-09-07 08:34:11:065,237893,237893,0,0,111543635928,1161449128,236139,1422,332,382,391690,0 111,2,2024-09-07 08:34:11:122,170431,170431,0,0,8370497,0,2763 111,3,2024-09-07 08:34:10:913,1,135,1,0,379,2480,135,0 112,0,2024-09-07 08:34:10:930,32695,0.3,32638,0.5,65280,0.2,86734,1.50 112,1,2024-09-07 08:34:10:832,237843,237843,0,0,110990114359,1158966491,235519,1899,425,381,391580,0 112,2,2024-09-07 08:34:11:136,166947,166946,1,0,8126173,0,5036 112,3,2024-09-07 08:34:10:600,1,135,1,0,282,1608,135,0 113,0,2024-09-07 08:34:10:867,35264,0.4,34932,0.6,71012,0.4,95364,1.75 113,1,2024-09-07 08:34:11:689,238214,238214,0,0,111898039992,1163124140,235805,1921,488,366,391661,0 113,2,2024-09-07 08:34:11:308,173344,173344,0,0,7252654,0,3813 113,3,2024-09-07 08:34:10:684,1,135,9,0,288,2207,135,0 114,0,2024-09-07 08:34:10:891,33521,0.8,34118,0.8,66653,0.6,89164,2.00 114,1,2024-09-07 08:34:10:721,237337,237337,0,0,111182883555,1170649174,233212,2663,1462,381,391534,0 114,2,2024-09-07 08:34:10:873,172025,172025,0,0,7522245,0,3925 114,3,2024-09-07 08:34:11:281,1,135,1,0,395,1928,135,0 115,0,2024-09-07 08:34:10:555,33648,0.3,33968,0.4,68023,0.2,89875,1.50 115,1,2024-09-07 08:34:10:571,237588,237588,0,0,111178313069,1165285942,233949,2818,821,382,391602,0 115,2,2024-09-07 08:34:11:125,171332,171332,0,0,7022900,0,2152 115,3,2024-09-07 08:34:11:003,1,135,1,0,159,1039,135,0 116,0,2024-09-07 08:34:11:728,33736,0.7,33316,0.8,67031,0.7,89303,2.00 116,1,2024-09-07 08:34:10:803,235754,235754,0,0,110659145900,1184052243,230887,3114,1753,382,391677,0 116,2,2024-09-07 08:34:11:752,167219,167219,0,0,10036355,0,3529 116,3,2024-09-07 08:34:10:913,1,135,1,0,252,2093,135,0 117,0,2024-09-07 08:34:10:963,34803,2.0,34921,1.3,69388,3.1,93887,2.25 117,1,2024-09-07 08:34:11:590,236830,236830,0,0,109701892897,1157594242,233188,3216,426,370,392033,0 117,2,2024-09-07 08:34:11:122,171005,171005,0,0,7909086,0,3700 117,3,2024-09-07 08:34:11:070,1,135,12,0,490,3107,135,0 118,0,2024-09-07 08:34:11:795,34249,1.1,35268,1.0,71650,1.3,93308,2.25 118,1,2024-09-07 08:34:10:595,236409,236409,0,0,110585312886,1175123109,231599,3492,1318,368,391736,0 118,2,2024-09-07 08:34:11:589,172051,172051,0,0,8963733,0,2781 118,3,2024-09-07 08:34:11:769,1,135,1,0,235,1977,135,0 119,0,2024-09-07 08:34:11:332,33796,0.8,34031,0.9,68849,0.9,91039,2.00 119,1,2024-09-07 08:34:10:548,237064,237064,0,0,111478162591,1168717680,234622,2155,287,370,391641,0 119,2,2024-09-07 08:34:11:261,169972,169972,0,0,8531632,0,3526 119,3,2024-09-07 08:34:11:328,1,135,60,0,443,2766,135,0 120,0,2024-09-07 08:34:11:569,32259,0.5,32393,0.7,64615,0.5,86389,2.00 120,1,2024-09-07 08:34:10:859,237078,237078,0,0,110550013875,1166348678,233999,2820,259,368,391961,0 120,2,2024-09-07 08:34:10:773,169772,169771,1,0,10428709,0,5281 120,3,2024-09-07 08:34:11:292,1,135,2,0,241,1931,135,0 121,0,2024-09-07 08:34:11:708,33599,1.7,34118,1.2,67806,2.5,90640,2.50 121,1,2024-09-07 08:34:11:673,237099,237099,0,0,110476870784,1161060427,234385,2428,286,367,391840,0 121,2,2024-09-07 08:34:11:125,166301,166301,0,0,9237417,0,4127 121,3,2024-09-07 08:34:10:727,1,135,12,0,269,1960,135,0 122,0,2024-09-07 08:34:11:775,35768,1.3,34921,1.2,72933,1.4,96533,2.25 122,1,2024-09-07 08:34:10:871,236290,236290,0,0,110191895198,1164651310,232283,3346,661,366,392130,0 122,2,2024-09-07 08:34:11:324,171956,171956,0,0,10458937,0,3364 122,3,2024-09-07 08:34:10:595,1,135,18,0,411,3575,135,0 123,0,2024-09-07 08:34:10:980,32599,0.9,31666,0.9,66249,1.1,86874,2.25 123,1,2024-09-07 08:34:10:570,237716,237716,0,0,111105559736,1177557396,232652,4370,694,369,391823,0 123,2,2024-09-07 08:34:11:019,170300,170299,1,0,9054985,0,5215 123,3,2024-09-07 08:34:11:146,1,135,2,1,168,1909,135,0 124,0,2024-09-07 08:34:10:935,33000,0.3,33014,0.5,62291,0.2,85165,1.50 124,1,2024-09-07 08:34:11:038,237269,237269,0,0,110742315743,1154770110,235230,1686,353,367,392178,0 124,2,2024-09-07 08:34:11:014,171118,171118,0,0,7585595,0,3101 124,3,2024-09-07 08:34:10:759,1,135,20,0,490,2150,135,0 125,0,2024-09-07 08:34:11:458,32587,0.5,32449,0.6,65187,0.5,86503,1.75 125,1,2024-09-07 08:34:10:874,237029,237029,0,0,111109209188,1164784416,234688,2066,275,384,391702,0 125,2,2024-09-07 08:34:11:116,166856,166856,0,0,7235966,0,2180 125,3,2024-09-07 08:34:11:127,1,135,21,1,284,1886,135,0 126,0,2024-09-07 08:34:11:433,36333,0.8,37285,0.8,71324,0.9,97287,2.00 126,1,2024-09-07 08:34:10:551,237756,237756,0,0,111282472171,1158137236,236136,1543,77,365,391987,0 126,2,2024-09-07 08:34:10:616,172969,172969,0,0,7700152,0,3186 126,3,2024-09-07 08:34:10:907,1,135,3,0,136,2011,135,0 127,0,2024-09-07 08:34:11:605,31649,0.5,31927,0.6,63862,0.5,84589,1.75 127,1,2024-09-07 08:34:10:578,237263,237263,0,0,110249346690,1147456905,235216,2007,40,365,391816,0 127,2,2024-09-07 08:34:10:642,172675,172675,0,0,7332899,0,2264 127,3,2024-09-07 08:34:11:286,1,135,82,0,99,1046,135,0 128,0,2024-09-07 08:34:11:539,33328,0.3,33137,0.5,66149,0.2,87809,1.50 128,1,2024-09-07 08:34:11:608,237790,237790,0,0,111402703573,1157125953,236823,895,72,367,391680,0 128,2,2024-09-07 08:34:11:386,170096,170096,0,0,7489462,0,2107 128,3,2024-09-07 08:34:10:771,1,135,3,0,333,2165,135,0 129,0,2024-09-07 08:34:11:010,33565,0.3,33422,0.5,66660,0.3,88199,1.75 129,1,2024-09-07 08:34:10:575,236707,236707,0,0,111067167029,1168772117,233790,2525,392,379,391835,0 129,2,2024-09-07 08:34:10:686,167381,167381,0,0,7669288,0,4031 129,3,2024-09-07 08:34:10:691,1,135,12,1,173,1826,135,0 130,0,2024-09-07 08:34:11:729,35781,1.0,35490,0.9,71234,1.4,95547,2.00 130,1,2024-09-07 08:34:10:600,237461,237461,0,0,110586588203,1156832119,235411,1981,69,381,391825,0 130,2,2024-09-07 08:34:11:125,171370,171370,0,0,7695763,0,4067 130,3,2024-09-07 08:34:11:292,1,135,1,0,207,1297,135,0 131,0,2024-09-07 08:34:11:927,33999,0.7,34096,0.8,68949,0.9,90877,1.75 131,1,2024-09-07 08:34:11:827,238451,238451,0,0,111320565729,1161798947,237003,1242,206,384,391865,0 131,2,2024-09-07 08:34:10:568,172745,172745,0,0,7180121,0,2415 131,3,2024-09-07 08:34:11:688,1,135,1,0,392,1728,135,0 132,0,2024-09-07 08:34:11:413,34164,0.5,34631,0.8,69383,0.4,92157,2.00 132,1,2024-09-07 08:34:10:594,235466,235466,0,0,110191630140,1173790077,230073,4365,1028,381,391760,0 132,2,2024-09-07 08:34:10:700,169844,169844,0,0,10255083,0,4606 132,3,2024-09-07 08:34:11:688,1,135,1,0,356,2693,135,0 133,0,2024-09-07 08:34:11:535,32475,0.4,33098,0.6,67956,0.4,88189,2.00 133,1,2024-09-07 08:34:10:598,235356,235356,0,0,110770593753,1177150504,230861,3857,638,383,391914,0 133,2,2024-09-07 08:34:11:095,169170,169170,0,0,10264519,0,4315 133,3,2024-09-07 08:34:11:297,1,135,26,1,187,1302,135,0 134,0,2024-09-07 08:34:10:982,34834,0.7,34606,0.9,69916,0.8,92988,2.25 134,1,2024-09-07 08:34:10:589,236191,236191,0,0,110142271572,1163312133,231848,3123,1220,366,391718,0 134,2,2024-09-07 08:34:11:756,167988,167988,0,0,8436294,0,3096 134,3,2024-09-07 08:34:10:751,1,135,13,0,739,2855,135,0 135,0,2024-09-07 08:34:11:157,34661,1.4,34599,1.3,73186,1.6,94723,2.75 135,1,2024-09-07 08:34:11:594,236104,236104,0,0,110451252827,1169678152,231926,3477,701,380,391725,0 135,2,2024-09-07 08:34:10:730,172211,172211,0,0,9243919,0,3981 135,3,2024-09-07 08:34:11:013,1,135,14,0,89,833,135,0 136,0,2024-09-07 08:34:11:620,33619,0.6,33449,0.8,67329,0.6,89652,2.00 136,1,2024-09-07 08:34:11:449,236370,236370,0,0,110514280619,1163421738,233114,3083,173,384,391641,0 136,2,2024-09-07 08:34:11:135,172663,172663,0,0,8864447,0,3506 136,3,2024-09-07 08:34:11:106,1,135,7,0,108,1199,135,0 137,0,2024-09-07 08:34:10:934,33203,0.5,32306,0.7,63864,0.5,86132,2.00 137,1,2024-09-07 08:34:10:576,236781,236781,0,0,110844621476,1166870470,232744,3618,419,367,391608,0 137,2,2024-09-07 08:34:11:708,170153,170153,0,0,10053046,0,3185 137,3,2024-09-07 08:34:10:773,1,135,17,1,227,1752,135,0 138,0,2024-09-07 08:34:11:759,32200,1.8,32346,1.3,65283,2.6,87111,2.50 138,1,2024-09-07 08:34:11:700,237351,237351,0,0,110878422218,1165191797,234324,2678,349,368,391954,0 138,2,2024-09-07 08:34:10:592,167228,167228,0,0,8045124,0,3263 138,3,2024-09-07 08:34:10:614,1,135,18,0,1160,2617,135,0 139,0,2024-09-07 08:34:11:422,35291,3.4,35345,1.9,71465,4.6,96099,3.50 139,1,2024-09-07 08:34:10:595,235882,235882,0,0,109845570151,1172853555,230838,3730,1314,381,391892,0 139,2,2024-09-07 08:34:10:692,170776,170776,0,0,9285553,0,3097 139,3,2024-09-07 08:34:11:675,1,135,2,0,244,1734,135,0 140,0,2024-09-07 08:34:11:609,32035,0.4,31819,0.6,63898,0.3,84854,1.75 140,1,2024-09-07 08:34:11:554,238067,238067,0,0,111490225267,1153382207,236579,1248,240,365,391606,0 140,2,2024-09-07 08:34:10:687,173025,173025,0,0,7955918,0,3388 140,3,2024-09-07 08:34:10:767,1,135,1,0,247,1357,135,0 141,0,2024-09-07 08:34:11:700,32116,0.2,33147,0.4,63621,0.1,85876,1.50 141,1,2024-09-07 08:34:10:859,237758,237758,0,0,111309607954,1159398131,235843,1562,353,379,391614,0 141,2,2024-09-07 08:34:11:689,170458,170458,0,0,7332304,0,2342 141,3,2024-09-07 08:34:11:042,1,135,12,0,147,1231,135,0 142,0,2024-09-07 08:34:11:356,33015,0.3,32766,0.5,65001,0.3,86867,1.50 142,1,2024-09-07 08:34:10:591,237495,237495,0,0,111159712731,1162071489,236021,1317,157,383,391649,0 142,2,2024-09-07 08:34:11:323,165550,165518,32,0,8509510,0,6028 142,3,2024-09-07 08:34:11:755,1,135,2,0,484,2104,135,0 143,0,2024-09-07 08:34:11:395,35392,0.8,35525,0.7,71430,0.9,95245,2.00 143,1,2024-09-07 08:34:10:556,237339,237339,0,0,110386418505,1150719673,235240,2058,41,367,391619,0 143,2,2024-09-07 08:34:10:776,172092,172092,0,0,7903709,0,2669 143,3,2024-09-07 08:34:11:152,1,135,63,0,303,2437,135,0 144,0,2024-09-07 08:34:11:518,32028,1.2,32845,1.8,66694,1.4,87819,2.25 144,1,2024-09-07 08:34:10:571,236692,236692,0,0,110968354707,1169062967,234310,2179,203,381,391649,0 144,2,2024-09-07 08:34:11:756,172776,172776,0,0,7747602,0,3473 144,3,2024-09-07 08:34:11:741,1,135,9,0,249,2003,135,0 145,0,2024-09-07 08:34:11:361,32679,0.6,32618,0.8,69165,0.5,89373,2.25 145,1,2024-09-07 08:34:10:555,235179,235179,0,0,110032165290,1168121334,230803,3642,734,383,391615,0 145,2,2024-09-07 08:34:11:431,170234,170234,0,0,8927339,0,3903 145,3,2024-09-07 08:34:10:902,1,135,13,0,151,1752,135,0 146,0,2024-09-07 08:34:11:602,33416,0.5,33321,0.7,67290,0.4,88978,2.00 146,1,2024-09-07 08:34:11:599,237583,237583,0,0,110385232695,1164638858,233144,3581,858,368,391600,0 146,2,2024-09-07 08:34:11:695,168451,168451,0,0,8345665,0,2498 146,3,2024-09-07 08:34:11:276,1,135,2,0,1520,5081,135,0 147,0,2024-09-07 08:34:11:697,34924,1.4,34793,1.2,68880,1.6,93833,2.75 147,1,2024-09-07 08:34:11:372,237937,237937,0,0,111290000487,1162497244,235185,2327,425,368,391791,0 147,2,2024-09-07 08:34:11:020,170125,170125,0,0,7746451,0,2789 147,3,2024-09-07 08:34:10:914,1,135,1,0,371,1838,135,0 0,0,2024-09-07 08:34:21:712,31686,0.5,31706,0.7,67103,0.4,86999,1.75 0,1,2024-09-07 08:34:20:802,238989,238989,0,0,112531157796,1180971538,237267,1590,132,372,391692,0 0,2,2024-09-07 08:34:21:076,171227,171227,0,0,8165456,0,4480 0,3,2024-09-07 08:34:20:974,1,136,1,0,247,1936,136,0 1,0,2024-09-07 08:34:21:756,34424,1.8,34191,1.4,68743,2.4,92308,2.50 1,1,2024-09-07 08:34:20:566,238072,238072,0,0,111551992717,1176187132,234646,2561,865,372,391857,0 1,2,2024-09-07 08:34:20:658,168497,168497,0,0,7233361,0,3267 1,3,2024-09-07 08:34:21:305,1,136,18,0,262,1827,136,0 2,0,2024-09-07 08:34:21:567,36157,0.9,36234,1.0,72027,1.2,96469,2.25 2,1,2024-09-07 08:34:20:863,238518,238518,0,0,112013759847,1168489804,237074,1238,206,380,391558,0 2,2,2024-09-07 08:34:21:267,173176,173176,0,0,7965674,0,3594 2,3,2024-09-07 08:34:20:696,1,136,6,0,357,1620,136,0 3,0,2024-09-07 08:34:21:745,32641,0.6,32783,0.8,65575,0.6,87247,2.00 3,1,2024-09-07 08:34:21:618,238620,238620,0,0,111380264281,1167982422,235516,2680,424,382,391516,0 3,2,2024-09-07 08:34:21:142,173183,173160,23,0,8137747,0,5851 3,3,2024-09-07 08:34:21:753,1,136,0,0,103,938,136,0 4,0,2024-09-07 08:34:21:827,31329,0.3,32114,0.5,65262,0.2,85471,1.75 4,1,2024-09-07 08:34:20:594,238901,238901,0,0,111136296550,1173893951,235943,2438,520,371,391846,0 4,2,2024-09-07 08:34:21:018,171083,171083,0,0,9773940,0,4528 4,3,2024-09-07 08:34:21:031,1,136,9,0,287,2042,136,0 5,0,2024-09-07 08:34:21:387,32842,0.6,32656,0.7,65524,0.6,87340,1.75 5,1,2024-09-07 08:34:20:756,238028,238028,0,0,111725952795,1184030137,233862,3181,985,368,392005,0 5,2,2024-09-07 08:34:21:829,167485,167485,0,0,8234586,0,2259 5,3,2024-09-07 08:34:21:732,1,136,17,0,238,2245,136,0 6,0,2024-09-07 08:34:20:921,37041,1.2,36619,1.1,72791,1.8,98435,2.50 6,1,2024-09-07 08:34:20:746,238789,238789,0,0,111834700594,1177533704,235407,2735,647,381,391617,0 6,2,2024-09-07 08:34:21:116,173791,173791,0,0,8667220,0,4816 6,3,2024-09-07 08:34:21:284,1,136,1,0,340,2223,136,0 7,0,2024-09-07 08:34:21:538,31331,0.6,31378,0.8,63001,0.6,83862,2.00 7,1,2024-09-07 08:34:20:851,238633,238633,0,0,111506382805,1172802026,235916,2586,131,382,391664,0 7,2,2024-09-07 08:34:20:775,173199,173199,0,0,7812295,0,2981 7,3,2024-09-07 08:34:20:851,1,136,1,0,305,1519,136,0 8,0,2024-09-07 08:34:21:368,33130,0.3,33293,0.5,65917,0.2,88044,1.50 8,1,2024-09-07 08:34:21:033,237912,237912,0,0,111425865971,1184767971,232410,3904,1598,368,391956,0 8,2,2024-09-07 08:34:20:802,169911,169911,0,0,9449435,0,2986 8,3,2024-09-07 08:34:20:587,1,136,2,0,357,2405,136,0 9,0,2024-09-07 08:34:21:128,33349,0.4,32407,0.5,67624,0.3,88101,1.75 9,1,2024-09-07 08:34:20:575,238245,238245,0,0,111954298911,1188028241,233771,3349,1125,370,391753,0 9,2,2024-09-07 08:34:21:101,167909,167909,0,0,8685051,0,3360 9,3,2024-09-07 08:34:21:752,1,136,9,0,496,2186,136,0 10,0,2024-09-07 08:34:21:603,35845,0.4,35848,0.6,71881,0.4,95873,1.75 10,1,2024-09-07 08:34:20:589,238342,238342,0,0,110927003152,1171232538,233876,3825,641,381,391741,0 10,2,2024-09-07 08:34:20:763,173097,173097,0,0,9060904,0,2940 10,3,2024-09-07 08:34:20:870,1,136,0,0,177,1166,136,0 11,0,2024-09-07 08:34:21:013,33309,1.3,32502,1.2,68204,2.6,90724,2.50 11,1,2024-09-07 08:34:20:583,238147,238147,0,0,111505654279,1180374673,232847,4030,1270,384,391537,0 11,2,2024-09-07 08:34:21:123,173120,173120,0,0,8764820,0,3411 11,3,2024-09-07 08:34:21:298,1,136,1,0,720,2778,136,0 12,0,2024-09-07 08:34:20:943,35119,0.3,34768,0.5,69910,0.2,92785,1.50 12,1,2024-09-07 08:34:20:936,238579,238579,0,0,111294105323,1167984902,235744,2425,410,370,391837,0 12,2,2024-09-07 08:34:21:544,172606,172606,0,0,8684599,0,3469 12,3,2024-09-07 08:34:21:070,1,136,1,0,358,2474,136,0 13,0,2024-09-07 08:34:21:337,33586,0.4,33676,0.5,67257,0.3,89569,1.75 13,1,2024-09-07 08:34:21:524,239019,239019,0,0,111459764619,1174262216,236718,1894,407,384,391717,0 13,2,2024-09-07 08:34:20:603,170999,170999,0,0,7994590,0,3287 13,3,2024-09-07 08:34:21:785,1,136,8,1,467,2969,136,0 14,0,2024-09-07 08:34:20:578,35247,0.5,35297,0.7,70118,0.5,93590,2.00 14,1,2024-09-07 08:34:21:560,240120,240120,0,0,111581605832,1161820947,238004,1953,163,364,391571,0 14,2,2024-09-07 08:34:20:764,170080,170080,0,0,8048472,0,2896 14,3,2024-09-07 08:34:21:115,1,136,1,0,1168,2818,136,0 15,0,2024-09-07 08:34:21:555,36012,1.0,36045,1.1,72478,1.3,96933,2.75 15,1,2024-09-07 08:34:21:607,238634,238634,0,0,111738262917,1166659772,236951,1575,108,381,391579,0 15,2,2024-09-07 08:34:20:998,174006,174006,0,0,6815382,0,3043 15,3,2024-09-07 08:34:21:406,1,136,82,0,1126,4265,136,0 16,0,2024-09-07 08:34:20:937,33690,0.6,34091,0.8,67384,0.5,90358,2.25 16,1,2024-09-07 08:34:20:567,238991,238991,0,0,111477218209,1170448535,236786,1946,259,372,391756,0 16,2,2024-09-07 08:34:21:434,171796,171796,0,0,8861259,0,4719 16,3,2024-09-07 08:34:21:152,1,136,1,0,231,1996,136,0 17,0,2024-09-07 08:34:21:786,33551,0.4,32630,0.6,64160,0.4,86481,1.75 17,1,2024-09-07 08:34:20:568,238272,238272,0,0,111892684616,1182259991,234924,2677,671,368,391688,0 17,2,2024-09-07 08:34:21:669,173261,173261,0,0,8086330,0,2857 17,3,2024-09-07 08:34:20:575,1,136,8,0,268,2347,136,0 18,0,2024-09-07 08:34:20:943,32245,1.0,32736,1.0,65382,1.1,87216,2.25 18,1,2024-09-07 08:34:21:637,238508,238508,0,0,111749146185,1164883166,236517,1757,234,368,391564,0 18,2,2024-09-07 08:34:21:756,168052,168052,0,0,7923941,0,3541 18,3,2024-09-07 08:34:20:900,1,136,125,0,163,1672,136,0 19,0,2024-09-07 08:34:21:542,36149,1.0,36528,1.0,72144,1.1,96303,3.00 19,1,2024-09-07 08:34:20:573,239251,239251,0,0,111901639426,1172932328,235469,3066,716,367,391777,0 19,2,2024-09-07 08:34:21:752,174483,174483,0,0,7355063,0,3988 19,3,2024-09-07 08:34:21:129,1,136,1,0,524,1441,136,0 20,0,2024-09-07 08:34:21:352,31992,0.8,31922,0.9,63895,0.9,85114,2.50 20,1,2024-09-07 08:34:20:574,238517,238517,0,0,111661204288,1177753851,235090,3038,389,369,391822,0 20,2,2024-09-07 08:34:20:941,173671,173671,0,0,8384601,0,3721 20,3,2024-09-07 08:34:20:589,1,136,1,0,414,2760,136,0 21,0,2024-09-07 08:34:21:204,32730,0.4,32670,0.5,65058,0.3,85905,1.75 21,1,2024-09-07 08:34:21:547,237857,237857,0,0,110995045283,1175288501,234236,3090,531,368,391962,0 21,2,2024-09-07 08:34:21:067,171521,171521,0,0,9061332,0,3747 21,3,2024-09-07 08:34:21:409,1,136,2,0,103,1864,136,0 22,0,2024-09-07 08:34:21:738,32461,0.9,32538,1.0,64731,0.9,86188,2.25 22,1,2024-09-07 08:34:21:023,238173,238173,0,0,111144244276,1181075110,232671,3993,1509,382,391667,0 22,2,2024-09-07 08:34:20:765,167803,167803,0,0,7732430,0,3134 22,3,2024-09-07 08:34:21:076,1,136,2,0,228,1527,136,0 23,0,2024-09-07 08:34:21:373,35512,0.9,35749,0.9,71868,1.0,96363,2.50 23,1,2024-09-07 08:34:21:024,239061,239061,0,0,111499077458,1173933214,234968,2611,1482,365,391549,0 23,2,2024-09-07 08:34:21:100,173251,173251,0,0,8090575,0,3010 23,3,2024-09-07 08:34:21:754,1,136,1,0,645,1727,136,0 24,0,2024-09-07 08:34:20:824,33741,0.6,33742,0.7,67572,0.6,89359,1.75 24,1,2024-09-07 08:34:20:594,238846,238846,0,0,111887250337,1176550082,235903,2144,799,369,391640,0 24,2,2024-09-07 08:34:21:085,172756,172756,0,0,9398594,0,2942 24,3,2024-09-07 08:34:21:688,1,136,8,0,234,1698,136,0 25,0,2024-09-07 08:34:21:405,34802,0.4,33902,0.6,66513,0.3,90331,1.75 25,1,2024-09-07 08:34:20:558,238745,238745,0,0,111805533581,1180296152,235070,3133,542,371,391788,0 25,2,2024-09-07 08:34:21:614,170824,170824,0,0,9446799,0,3978 25,3,2024-09-07 08:34:21:002,1,136,8,0,158,1604,136,0 26,0,2024-09-07 08:34:21:738,33446,0.4,32781,0.6,68734,0.3,89787,1.75 26,1,2024-09-07 08:34:21:544,239054,239054,0,0,111018842727,1173535293,234395,3758,901,381,391564,0 26,2,2024-09-07 08:34:20:861,169184,169184,0,0,8474654,0,2809 26,3,2024-09-07 08:34:21:712,1,136,1,0,796,2130,136,0 27,0,2024-09-07 08:34:21:729,35704,0.6,35716,0.7,70774,0.6,95348,1.75 27,1,2024-09-07 08:34:21:724,239913,239913,0,0,112532542801,1172904776,238098,1492,323,381,391539,0 27,2,2024-09-07 08:34:20:868,170174,170174,0,0,9317243,0,3409 27,3,2024-09-07 08:34:21:019,1,136,122,0,564,1876,136,0 28,0,2024-09-07 08:34:21:392,34968,1.0,35343,0.9,70807,1.3,94222,2.75 28,1,2024-09-07 08:34:20:803,238832,238832,0,0,112665349783,1178437502,236675,1821,336,383,391646,0 28,2,2024-09-07 08:34:21:765,174034,174034,0,0,7169461,0,2915 28,3,2024-09-07 08:34:21:779,1,136,0,0,502,1926,136,0 29,0,2024-09-07 08:34:21:380,35248,0.4,34459,0.6,67474,0.3,92436,1.75 29,1,2024-09-07 08:34:21:565,240074,240074,0,0,111756400087,1163572630,237648,1883,543,369,391621,0 29,2,2024-09-07 08:34:20:861,172383,172383,0,0,6934886,0,4018 29,3,2024-09-07 08:34:20:962,1,136,17,0,105,1175,136,0 30,0,2024-09-07 08:34:21:459,32598,0.6,31760,0.8,66447,0.6,87085,2.25 30,1,2024-09-07 08:34:20:571,239501,239501,0,0,112272628651,1170742438,237519,1704,278,382,391672,0 30,2,2024-09-07 08:34:21:289,172332,172332,0,0,7118165,0,3161 30,3,2024-09-07 08:34:20:587,1,136,2,0,195,1143,136,0 31,0,2024-09-07 08:34:21:777,34106,0.7,34277,0.8,69156,0.7,92135,2.25 31,1,2024-09-07 08:34:20:567,240676,240676,0,0,112548201295,1156986486,239877,688,111,356,391553,0 31,2,2024-09-07 08:34:21:282,168985,168985,0,0,8731100,0,3525 31,3,2024-09-07 08:34:21:706,1,136,2,0,220,1145,136,0 32,0,2024-09-07 08:34:21:424,36211,0.6,36630,0.7,73093,0.5,97229,1.75 32,1,2024-09-07 08:34:20:814,239803,239803,0,0,112254482036,1169772089,238064,1464,275,382,391595,0 32,2,2024-09-07 08:34:20:937,173569,173569,0,0,7104306,0,3155 32,3,2024-09-07 08:34:21:016,1,136,0,0,227,1399,136,0 33,0,2024-09-07 08:34:21:510,33199,0.4,32693,0.6,66042,0.3,88186,1.75 33,1,2024-09-07 08:34:20:575,240023,240023,0,0,112361823651,1168233360,237797,2054,172,369,391730,0 33,2,2024-09-07 08:34:20:761,173105,173072,33,0,9014760,0,7012 33,3,2024-09-07 08:34:20:896,1,136,109,0,329,1792,136,0 34,0,2024-09-07 08:34:20:933,32154,0.3,33238,0.5,63772,0.2,85479,1.75 34,1,2024-09-07 08:34:21:044,240061,240061,0,0,112680223339,1164085707,239066,989,6,367,391562,0 34,2,2024-09-07 08:34:20:771,171315,171315,0,0,8071427,0,3577 34,3,2024-09-07 08:34:21:688,1,136,9,0,148,909,136,0 35,0,2024-09-07 08:34:20:858,32418,0.5,32808,0.6,65370,0.5,87471,1.75 35,1,2024-09-07 08:34:21:068,239281,239281,0,0,112366764650,1172453549,236484,2027,770,384,391589,0 35,2,2024-09-07 08:34:21:584,167601,167601,0,0,7130468,0,2653 35,3,2024-09-07 08:34:20:911,1,136,1,0,418,1903,136,0 36,0,2024-09-07 08:34:21:520,36683,1.3,36457,1.2,73558,1.6,98122,2.75 36,1,2024-09-07 08:34:20:596,238407,238407,0,0,111588524239,1176564119,233797,3322,1288,366,391759,0 36,2,2024-09-07 08:34:21:751,172917,172917,0,0,8785780,0,3303 36,3,2024-09-07 08:34:20:865,1,136,0,0,378,1717,136,0 37,0,2024-09-07 08:34:21:368,31559,0.6,31678,0.8,63027,0.5,84772,2.00 37,1,2024-09-07 08:34:20:569,237859,237852,0,7,111502817114,1180704746,233097,2738,2017,365,391518,0 37,2,2024-09-07 08:34:21:142,173797,173782,15,0,8851388,0,5815 37,3,2024-09-07 08:34:21:767,1,136,24,0,724,2426,136,0 38,0,2024-09-07 08:34:21:437,32989,0.4,31967,0.6,66727,0.3,87595,2.00 38,1,2024-09-07 08:34:21:604,239615,239615,0,0,111797249962,1175973554,235604,3379,632,368,391821,0 38,2,2024-09-07 08:34:20:760,171632,171585,47,0,10555000,0,6710 38,3,2024-09-07 08:34:20:997,1,136,28,0,603,2073,136,0 39,0,2024-09-07 08:34:21:770,34099,0.7,33321,0.7,65180,0.7,88449,2.00 39,1,2024-09-07 08:34:20:719,239117,239117,0,0,111064937029,1165637408,235331,2985,801,365,391524,0 39,2,2024-09-07 08:34:21:423,168312,168312,0,0,7626703,0,2689 39,3,2024-09-07 08:34:20:714,1,136,4,0,276,2019,136,0 40,0,2024-09-07 08:34:21:497,35200,1.1,35844,1.4,71142,1.3,95310,3.25 40,1,2024-09-07 08:34:20:577,238814,238814,0,0,110964922745,1169435952,234408,3638,768,368,391591,0 40,2,2024-09-07 08:34:21:304,172344,172343,1,0,9668866,0,5137 40,3,2024-09-07 08:34:21:142,1,136,0,0,181,1476,136,0 41,0,2024-09-07 08:34:21:053,33351,2.5,33991,2.0,65350,3.9,89321,4.50 41,1,2024-09-07 08:34:20:783,238842,238842,0,0,112209704614,1181662150,234655,3656,531,370,391742,0 41,2,2024-09-07 08:34:20:759,172452,172452,0,0,9443302,0,3356 41,3,2024-09-07 08:34:21:677,1,136,1,0,366,1918,136,0 42,0,2024-09-07 08:34:21:484,34077,0.6,34436,0.8,68756,0.5,90885,2.25 42,1,2024-09-07 08:34:21:446,237506,237506,0,0,111460724485,1179475047,232810,3738,958,380,391675,0 42,2,2024-09-07 08:34:21:139,171052,171052,0,0,9164914,0,3568 42,3,2024-09-07 08:34:21:011,1,136,11,0,446,1375,136,0 43,0,2024-09-07 08:34:20:922,33497,0.7,32746,0.9,68046,0.7,89718,2.00 43,1,2024-09-07 08:34:20:577,238647,238647,0,0,112281172387,1176717084,235408,2446,793,366,391604,0 43,2,2024-09-07 08:34:21:736,171072,171072,0,0,8554433,0,3812 43,3,2024-09-07 08:34:21:751,1,136,2,0,325,2064,136,0 44,0,2024-09-07 08:34:20:859,35290,0.6,35162,0.8,70514,0.6,94172,2.00 44,1,2024-09-07 08:34:20:569,239435,239435,0,0,111636132482,1158772869,237440,1571,424,356,391809,0 44,2,2024-09-07 08:34:21:266,169706,169706,0,0,6998223,0,1877 44,3,2024-09-07 08:34:21:093,1,136,2,0,817,1978,136,0 45,0,2024-09-07 08:34:21:764,35562,1.3,34900,1.2,73436,1.7,97587,2.50 45,1,2024-09-07 08:34:21:005,239352,239352,0,0,112371943347,1167955485,238314,1028,10,382,391917,0 45,2,2024-09-07 08:34:21:268,174231,174231,0,0,7584471,0,3596 45,3,2024-09-07 08:34:20:935,1,136,2,0,226,1372,136,0 46,0,2024-09-07 08:34:20:953,33565,0.4,33522,0.6,67315,0.3,89498,1.75 46,1,2024-09-07 08:34:20:575,239811,239811,0,0,112365541462,1166438872,238007,1582,222,366,391524,0 46,2,2024-09-07 08:34:20:603,173297,173297,0,0,7505212,0,2920 46,3,2024-09-07 08:34:21:131,1,136,908,0,908,2849,136,0 47,0,2024-09-07 08:34:21:109,32417,0.3,32669,0.5,65546,0.2,86096,1.75 47,1,2024-09-07 08:34:20:567,239824,239824,0,0,111446495336,1154345181,238488,1319,17,366,391605,0 47,2,2024-09-07 08:34:20:913,172912,172912,0,0,7816373,0,2558 47,3,2024-09-07 08:34:21:115,1,136,162,0,529,1679,136,0 48,0,2024-09-07 08:34:21:544,33169,0.3,32800,0.5,65948,0.3,87786,1.75 48,1,2024-09-07 08:34:21:022,239597,239597,0,0,112181448939,1170343209,237539,1878,180,384,391710,0 48,2,2024-09-07 08:34:20:702,167958,167958,0,0,6451922,0,2083 48,3,2024-09-07 08:34:20:753,1,136,1,0,339,1367,136,0 49,0,2024-09-07 08:34:21:716,37445,0.5,36772,0.7,71697,0.5,98143,1.75 49,1,2024-09-07 08:34:21:021,238420,238420,0,0,111831888780,1171236281,235688,1892,840,382,391583,0 49,2,2024-09-07 08:34:21:797,174326,174326,0,0,7578574,0,3900 49,3,2024-09-07 08:34:21:417,1,136,1,0,408,1785,136,0 50,0,2024-09-07 08:34:21:513,32105,0.4,31589,0.6,63295,0.3,85014,1.75 50,1,2024-09-07 08:34:21:019,240145,240145,0,0,112404533905,1170534795,237621,2215,309,368,391530,0 50,2,2024-09-07 08:34:21:075,173316,173316,0,0,7198145,0,2253 50,3,2024-09-07 08:34:21:294,1,136,8,0,335,1280,136,0 51,0,2024-09-07 08:34:21:687,33205,0.3,32616,0.4,63592,0.2,86340,1.75 51,1,2024-09-07 08:34:21:680,239281,239281,0,0,113006943958,1172651507,237314,1181,786,367,391637,0 51,2,2024-09-07 08:34:21:316,171551,171551,0,0,6864489,0,3337 51,3,2024-09-07 08:34:21:027,1,136,2,0,162,850,136,0 52,0,2024-09-07 08:34:21:428,32747,0.6,32809,0.8,65846,0.6,86754,2.25 52,1,2024-09-07 08:34:20:576,237998,237998,0,0,111108796184,1178604132,232342,4502,1154,368,391722,0 52,2,2024-09-07 08:34:21:755,166127,166089,38,0,9229319,0,6742 52,3,2024-09-07 08:34:20:674,1,136,1,0,1782,3426,136,0 53,0,2024-09-07 08:34:21:730,35622,1.2,34576,1.1,72368,1.4,95676,3.00 53,1,2024-09-07 08:34:20:779,237603,237603,0,0,111949048842,1189255975,231988,3721,1894,367,391702,0 53,2,2024-09-07 08:34:21:298,173833,173833,0,0,7682061,0,2262 53,3,2024-09-07 08:34:20:708,1,136,8,0,133,1160,136,0 54,0,2024-09-07 08:34:21:618,32170,3.2,32619,1.6,64453,1.8,86509,4.50 54,1,2024-09-07 08:34:20:580,238955,238955,0,0,112550381149,1181240818,235021,3363,571,367,391532,0 54,2,2024-09-07 08:34:20:865,173556,173550,6,0,9231994,0,5382 54,3,2024-09-07 08:34:20:765,1,136,3,0,676,2783,136,0 55,0,2024-09-07 08:34:21:803,32643,0.5,33540,0.8,68188,0.4,88233,2.50 55,1,2024-09-07 08:34:20:764,238038,238038,0,0,110943028580,1169014630,232853,4398,787,367,391731,0 55,2,2024-09-07 08:34:20:729,171281,171281,0,0,9059168,0,3275 55,3,2024-09-07 08:34:20:675,1,136,1,0,304,1625,136,0 56,0,2024-09-07 08:34:21:645,34605,1.3,32748,1.1,67080,1.8,90079,2.50 56,1,2024-09-07 08:34:20:572,238502,238502,0,0,111964303140,1192320789,233381,4219,902,382,391678,0 56,2,2024-09-07 08:34:21:309,168873,168873,0,0,9573988,0,3567 56,3,2024-09-07 08:34:21:080,1,136,1,0,405,2120,136,0 57,0,2024-09-07 08:34:21:141,34665,3.0,34626,1.7,69318,4.6,93317,4.50 57,1,2024-09-07 08:34:20:986,238358,238358,0,0,111532654921,1172579984,235577,2611,170,368,391773,0 57,2,2024-09-07 08:34:21:325,171830,171830,0,0,9813375,0,3178 57,3,2024-09-07 08:34:21:740,1,136,3,0,359,2603,136,0 58,0,2024-09-07 08:34:20:578,34096,1.7,33152,1.4,69559,2.8,90854,3.25 58,1,2024-09-07 08:34:20:586,239313,239310,0,3,112487715197,1180575184,235798,3116,396,369,391516,3 58,2,2024-09-07 08:34:21:072,173611,173611,0,0,8923099,0,2549 58,3,2024-09-07 08:34:21:075,1,136,2,0,219,1204,136,0 59,0,2024-09-07 08:34:21:746,34505,0.9,34196,1.0,68448,0.9,91204,3.00 59,1,2024-09-07 08:34:20:811,238950,238950,0,0,111261910045,1170739741,235305,2723,922,369,391515,0 59,2,2024-09-07 08:34:20:592,172777,172777,0,0,7797877,0,2604 59,3,2024-09-07 08:34:21:737,1,136,1,0,1015,2829,136,0 60,0,2024-09-07 08:34:21:726,32995,0.4,33053,0.6,65597,0.4,87260,1.75 60,1,2024-09-07 08:34:20:779,240297,240297,0,0,112410182894,1168847632,238857,1084,356,370,391761,0 60,2,2024-09-07 08:34:21:141,171266,171266,0,0,8767256,0,3811 60,3,2024-09-07 08:34:21:258,1,136,3,0,124,1493,136,0 61,0,2024-09-07 08:34:21:512,34157,1.7,34380,1.2,68716,2.5,92188,2.50 61,1,2024-09-07 08:34:20:773,238868,238868,0,0,112462467557,1182801072,235737,2593,538,382,391589,0 61,2,2024-09-07 08:34:21:130,169778,169778,0,0,7547669,0,2079 61,3,2024-09-07 08:34:21:695,1,136,5,0,199,1812,136,0 62,0,2024-09-07 08:34:21:712,36474,0.9,37390,1.0,71352,1.1,97013,2.00 62,1,2024-09-07 08:34:21:110,240562,240556,0,6,112338754412,1162899287,238879,1639,38,365,391715,6 62,2,2024-09-07 08:34:21:644,172100,172099,1,0,8973936,0,5555 62,3,2024-09-07 08:34:21:143,1,136,23,0,287,1125,136,0 63,0,2024-09-07 08:34:21:464,32795,0.6,32806,0.7,65749,0.6,87791,2.00 63,1,2024-09-07 08:34:20:807,240094,240088,0,6,112810318825,1171805326,238851,1211,26,381,391542,6 63,2,2024-09-07 08:34:20:762,172933,172933,0,0,7184910,0,2674 63,3,2024-09-07 08:34:21:732,1,136,1,0,667,2233,136,0 64,0,2024-09-07 08:34:21:560,32126,0.4,32014,0.6,64110,0.4,85521,1.75 64,1,2024-09-07 08:34:20:754,239417,239417,0,0,111705946671,1173301944,235958,2407,1052,371,391783,0 64,2,2024-09-07 08:34:21:141,173227,173208,19,0,7928367,0,6121 64,3,2024-09-07 08:34:21:140,1,136,1,0,265,1729,136,0 65,0,2024-09-07 08:34:21:733,32008,1.2,32587,1.0,64891,1.6,86667,2.50 65,1,2024-09-07 08:34:20:877,238679,238679,0,0,111472338547,1170515775,236284,2173,222,382,391770,0 65,2,2024-09-07 08:34:21:721,168216,168216,0,0,8304060,0,3367 65,3,2024-09-07 08:34:21:690,1,136,10,0,163,1511,136,0 66,0,2024-09-07 08:34:21:785,36288,0.9,36254,1.0,72979,1.2,97349,2.75 66,1,2024-09-07 08:34:21:304,239838,239838,0,0,112266047101,1170555729,237968,1699,171,380,391588,0 66,2,2024-09-07 08:34:21:137,175031,175031,0,0,7719722,0,4956 66,3,2024-09-07 08:34:21:084,1,136,0,0,291,1651,136,0 67,0,2024-09-07 08:34:21:436,31943,1.1,31909,1.1,63806,1.4,84914,2.50 67,1,2024-09-07 08:34:20:766,238787,238786,0,1,111630511526,1173239587,235335,2705,746,381,391787,1 67,2,2024-09-07 08:34:20:584,174458,174458,0,0,7284816,0,2889 67,3,2024-09-07 08:34:21:750,1,136,13,0,138,1235,136,0 68,0,2024-09-07 08:34:20:579,33309,0.5,33228,0.7,66238,0.4,87804,2.00 68,1,2024-09-07 08:34:20:576,238059,238059,0,0,111301706862,1173597040,235221,1821,1017,381,391953,0 68,2,2024-09-07 08:34:21:046,170373,170308,65,0,10811935,0,6698 68,3,2024-09-07 08:34:20:728,1,136,2,0,417,1932,136,0 69,0,2024-09-07 08:34:21:775,33125,0.9,33406,0.9,66281,1.1,88002,2.25 69,1,2024-09-07 08:34:21:033,237610,237610,0,0,111411700671,1185101930,233623,2773,1214,384,391611,0 69,2,2024-09-07 08:34:21:733,168029,168029,0,0,9073839,0,3701 69,3,2024-09-07 08:34:20:762,1,136,11,0,238,1786,136,0 70,0,2024-09-07 08:34:21:561,35307,2.7,35459,1.8,71143,2.1,94708,4.00 70,1,2024-09-07 08:34:20:809,239355,239355,0,0,111928388506,1168460497,236944,1949,462,366,391725,0 70,2,2024-09-07 08:34:21:331,172426,172426,0,0,8594089,0,4044 70,3,2024-09-07 08:34:20:748,1,136,1,0,854,1816,136,0 71,0,2024-09-07 08:34:21:370,33352,2.6,33416,1.9,66394,4.1,89532,4.00 71,1,2024-09-07 08:34:21:596,239190,239190,0,0,111495824783,1174016796,235084,3583,523,368,391682,0 71,2,2024-09-07 08:34:21:085,174104,174104,0,0,8554909,0,2470 71,3,2024-09-07 08:34:21:750,1,136,1,0,644,2500,136,0 72,0,2024-09-07 08:34:21:045,35836,0.5,34958,0.7,68556,0.4,92970,2.25 72,1,2024-09-07 08:34:21:024,238917,238917,0,0,111912560907,1173737566,235675,2756,486,370,391819,0 72,2,2024-09-07 08:34:21:773,170647,170647,0,0,10061131,0,2570 72,3,2024-09-07 08:34:21:756,1,136,50,0,325,2309,136,0 73,0,2024-09-07 08:34:21:137,32703,0.4,33507,0.6,68644,0.3,89456,2.25 73,1,2024-09-07 08:34:20:781,239213,239213,0,0,112016597295,1166749635,237412,1686,115,368,391627,0 73,2,2024-09-07 08:34:21:739,170994,170994,0,0,9323670,0,3482 73,3,2024-09-07 08:34:20:970,1,136,8,0,274,2415,136,0 74,0,2024-09-07 08:34:21:331,35424,0.6,35963,0.9,68806,0.6,93714,2.50 74,1,2024-09-07 08:34:20:640,239391,239391,0,0,111314025836,1167205580,236610,2162,619,382,391681,0 74,2,2024-09-07 08:34:21:003,169708,169708,0,0,9268832,0,4253 74,3,2024-09-07 08:34:21:441,1,136,0,0,522,2580,136,0 75,0,2024-09-07 08:34:21:776,36279,1.4,36071,1.2,72215,1.6,97788,3.00 75,1,2024-09-07 08:34:21:585,238507,238507,0,0,111683115887,1175692402,235124,2923,460,381,391579,0 75,2,2024-09-07 08:34:21:350,172850,172850,0,0,8808435,0,4766 75,3,2024-09-07 08:34:21:075,1,136,2,0,535,1704,136,0 76,0,2024-09-07 08:34:20:580,33554,0.4,33381,0.7,66627,0.4,89666,2.25 76,1,2024-09-07 08:34:20:807,239009,239009,0,0,111681712180,1169648464,237001,1498,510,382,391692,0 76,2,2024-09-07 08:34:21:079,173498,173498,0,0,7457405,0,3064 76,3,2024-09-07 08:34:21:142,1,136,0,0,175,1601,136,0 77,0,2024-09-07 08:34:21:722,32490,0.5,32700,0.7,65431,0.5,86084,2.00 77,1,2024-09-07 08:34:20:828,239595,239595,0,0,111951276313,1170897976,238016,1497,82,383,391808,0 77,2,2024-09-07 08:34:21:285,171715,171715,0,0,7821149,0,3890 77,3,2024-09-07 08:34:21:103,1,136,17,0,305,1905,136,0 78,0,2024-09-07 08:34:21:722,32879,0.6,32870,0.8,66007,0.6,87338,2.00 78,1,2024-09-07 08:34:20:610,239271,239271,0,0,111121553526,1158791922,237370,1695,206,367,391589,0 78,2,2024-09-07 08:34:21:407,168998,168998,0,0,7128165,0,2114 78,3,2024-09-07 08:34:21:138,1,136,1,0,181,1562,136,0 79,0,2024-09-07 08:34:21:355,35452,0.6,36167,0.8,74024,0.5,96710,2.75 79,1,2024-09-07 08:34:20:571,239963,239963,0,0,111685919902,1161834549,237783,2009,171,369,391682,0 79,2,2024-09-07 08:34:21:075,174683,174683,0,0,7559603,0,3212 79,3,2024-09-07 08:34:20:749,1,136,11,0,418,2684,136,0 80,0,2024-09-07 08:34:21:090,31832,0.7,32610,0.9,62821,0.8,85185,2.25 80,1,2024-09-07 08:34:21:629,238363,238363,0,0,111948300874,1170673592,235856,2348,159,368,391673,0 80,2,2024-09-07 08:34:21:092,174188,174188,0,0,8034113,0,4433 80,3,2024-09-07 08:34:20:576,1,136,2,0,190,2467,136,0 81,0,2024-09-07 08:34:21:577,32531,0.5,33486,0.6,64109,0.4,86012,1.75 81,1,2024-09-07 08:34:21:658,238890,238890,0,0,111089028950,1166439114,236418,2200,272,382,391879,0 81,2,2024-09-07 08:34:21:130,171256,171256,0,0,8492237,0,3993 81,3,2024-09-07 08:34:21:119,1,136,8,0,193,1477,136,0 82,0,2024-09-07 08:34:21:598,32427,0.6,32600,0.8,65464,0.6,86838,2.00 82,1,2024-09-07 08:34:20:587,238951,238947,0,4,111730533628,1169761275,236510,1861,576,382,391558,4 82,2,2024-09-07 08:34:21:692,168546,168546,0,0,7646489,0,3986 82,3,2024-09-07 08:34:21:755,1,136,12,0,227,1767,136,0 83,0,2024-09-07 08:34:21:530,35995,0.9,35800,0.9,70806,1.0,95660,2.25 83,1,2024-09-07 08:34:20:551,239305,239305,0,0,111653419653,1170230199,236884,2218,203,382,391584,0 83,2,2024-09-07 08:34:20:764,173037,173037,0,0,7609742,0,3119 83,3,2024-09-07 08:34:20:757,1,136,1,0,133,1450,136,0 84,0,2024-09-07 08:34:21:766,32882,2.0,32528,1.6,65475,1.6,87831,3.00 84,1,2024-09-07 08:34:21:039,238304,238304,0,0,111650955156,1175947726,234932,2918,454,368,391852,0 84,2,2024-09-07 08:34:20:571,173612,173612,0,0,8933537,0,3801 84,3,2024-09-07 08:34:21:150,1,136,2,0,236,1585,136,0 85,0,2024-09-07 08:34:21:028,32645,0.5,32647,0.8,69210,0.4,89349,2.25 85,1,2024-09-07 08:34:20:569,237752,237752,0,0,111198344423,1185927289,232361,4315,1076,382,391679,0 85,2,2024-09-07 08:34:20:866,172112,172112,0,0,9692789,0,3656 85,3,2024-09-07 08:34:20:707,1,136,11,0,115,1434,136,0 86,0,2024-09-07 08:34:20:894,33738,0.6,34700,0.7,66597,0.6,89898,2.00 86,1,2024-09-07 08:34:20:827,238684,238684,0,0,111708933803,1177057913,234747,3394,543,366,391961,0 86,2,2024-09-07 08:34:20:867,168268,168267,1,0,9786439,0,5004 86,3,2024-09-07 08:34:20:588,1,136,1,0,286,2224,136,0 87,0,2024-09-07 08:34:21:329,35228,2.0,35341,1.3,70339,3.0,95244,2.75 87,1,2024-09-07 08:34:20:557,237981,237981,0,0,111202538544,1171869128,234781,2809,391,366,391788,0 87,2,2024-09-07 08:34:21:066,171318,171318,0,0,8179734,0,3515 87,3,2024-09-07 08:34:21:794,1,136,1,0,335,2608,136,0 88,0,2024-09-07 08:34:21:451,35352,0.8,35476,0.8,70749,1.0,94325,2.00 88,1,2024-09-07 08:34:20:570,237748,237748,0,0,111830374872,1180522599,233745,2840,1163,367,391787,0 88,2,2024-09-07 08:34:20:688,173569,173569,0,0,9507671,0,3583 88,3,2024-09-07 08:34:21:268,1,136,15,1,435,2034,136,0 89,0,2024-09-07 08:34:21:768,35525,0.5,34417,0.7,68199,0.4,92725,1.75 89,1,2024-09-07 08:34:20:550,237341,237341,0,0,111573871802,1187919828,231933,4475,933,382,391866,0 89,2,2024-09-07 08:34:21:132,171683,171683,0,0,9281499,0,2910 89,3,2024-09-07 08:34:21:794,1,136,8,0,325,2975,136,0 90,0,2024-09-07 08:34:21:647,31847,0.4,32548,0.6,66925,0.4,86961,1.75 90,1,2024-09-07 08:34:20:599,238973,238973,0,0,111034865295,1171735289,235765,2954,254,382,391825,0 90,2,2024-09-07 08:34:21:412,170315,170315,0,0,10011389,0,3060 90,3,2024-09-07 08:34:20:930,1,136,1,1,200,1707,136,0 91,0,2024-09-07 08:34:20:939,34847,0.5,33556,0.7,69896,0.5,92657,1.75 91,1,2024-09-07 08:34:20:559,238523,238523,0,0,111850490635,1180883057,234800,3229,494,381,391960,0 91,2,2024-09-07 08:34:21:331,167881,167881,0,0,9363084,0,2445 91,3,2024-09-07 08:34:20:605,1,136,12,0,155,1595,136,0 92,0,2024-09-07 08:34:21:492,36739,0.6,37602,0.7,72001,0.7,97235,1.75 92,1,2024-09-07 08:34:20:589,238976,238976,0,0,111939357304,1173440186,236842,1737,397,382,391717,0 92,2,2024-09-07 08:34:21:351,175223,175223,0,0,7637093,0,2279 92,3,2024-09-07 08:34:21:010,1,136,3,0,68,1267,136,0 93,0,2024-09-07 08:34:21:001,33054,0.5,33828,0.7,64773,0.4,88193,1.75 93,1,2024-09-07 08:34:20:807,238701,238701,0,0,112006777928,1175489665,235610,2543,548,366,391689,0 93,2,2024-09-07 08:34:20:954,172878,172878,0,0,7657668,0,2509 93,3,2024-09-07 08:34:21:406,1,136,2,0,143,1503,136,0 94,0,2024-09-07 08:34:21:599,32258,0.3,32501,0.5,64404,0.3,85340,1.75 94,1,2024-09-07 08:34:20:563,238945,238945,0,0,111237489840,1168657062,236531,2322,92,381,391850,0 94,2,2024-09-07 08:34:20:761,171979,171979,0,0,7713929,0,2443 94,3,2024-09-07 08:34:21:688,1,136,2,0,264,2260,136,0 95,0,2024-09-07 08:34:21:349,32865,0.4,32725,0.6,65745,0.4,87393,1.75 95,1,2024-09-07 08:34:20:851,239194,239194,0,0,112233533956,1170767918,237133,1907,154,367,391600,0 95,2,2024-09-07 08:34:21:017,167825,167825,0,0,7681667,0,3308 95,3,2024-09-07 08:34:21:709,1,136,3,0,718,2903,136,0 96,0,2024-09-07 08:34:21:029,36754,0.7,36848,0.7,73687,0.7,97925,2.00 96,1,2024-09-07 08:34:21:583,238703,238703,0,0,111148434302,1168170067,236115,1947,641,385,391894,0 96,2,2024-09-07 08:34:21:270,173328,173328,0,0,8252498,0,4042 96,3,2024-09-07 08:34:21:140,1,136,1,0,188,1622,136,0 97,0,2024-09-07 08:34:21:342,32063,0.5,32034,0.6,64015,0.5,84859,1.75 97,1,2024-09-07 08:34:20:763,238882,238882,0,0,112383281737,1173660453,236120,2215,547,367,392140,0 97,2,2024-09-07 08:34:20:607,173773,173773,0,0,7600925,0,3036 97,3,2024-09-07 08:34:20:570,1,136,1,1,165,1660,136,0 98,0,2024-09-07 08:34:21:715,33269,0.3,33090,0.4,66602,0.2,88177,1.50 98,1,2024-09-07 08:34:20:570,239239,239239,0,0,111959162034,1171330186,237440,1713,86,382,391997,0 98,2,2024-09-07 08:34:20:774,172628,172628,0,0,8004639,0,3080 98,3,2024-09-07 08:34:20:711,1,136,2,1,840,2870,136,0 99,0,2024-09-07 08:34:21:449,33310,0.3,33335,0.5,66714,0.3,88278,1.75 99,1,2024-09-07 08:34:21:723,239149,239149,0,0,111306057373,1166322499,236398,2078,673,381,391744,0 99,2,2024-09-07 08:34:21:417,170025,170025,0,0,7499478,0,2615 99,3,2024-09-07 08:34:20:587,1,136,1,0,129,1035,136,0 100,0,2024-09-07 08:34:21:487,35510,1.7,35591,1.7,71301,2.8,95476,2.75 100,1,2024-09-07 08:34:20:549,237125,237125,0,0,110863968099,1183167675,231953,4241,931,381,391989,0 100,2,2024-09-07 08:34:21:817,171797,171786,11,0,9068788,0,5417 100,3,2024-09-07 08:34:21:731,1,136,1,0,559,3413,136,0 101,0,2024-09-07 08:34:21:701,34347,3.5,33422,1.9,65736,4.4,90649,3.50 101,1,2024-09-07 08:34:20:551,237591,237591,0,0,111562734157,1188074357,232248,3867,1476,368,391769,0 101,2,2024-09-07 08:34:21:756,173305,173305,0,0,10080487,0,4644 101,3,2024-09-07 08:34:20:943,1,136,2,0,448,1956,136,0 102,0,2024-09-07 08:34:20:972,33872,0.6,35191,0.8,70477,0.5,92368,2.00 102,1,2024-09-07 08:34:21:142,238260,238260,0,0,110987545791,1175661204,234203,3347,710,369,391831,0 102,2,2024-09-07 08:34:21:738,172346,172292,54,0,9598059,0,6768 102,3,2024-09-07 08:34:21:613,1,136,2,0,410,1616,136,0 103,0,2024-09-07 08:34:21:595,34527,0.4,34527,0.6,65141,0.4,89877,1.75 103,1,2024-09-07 08:34:21:624,237748,237748,0,0,111750917115,1184715820,233403,3139,1206,381,391829,0 103,2,2024-09-07 08:34:20:587,169747,169747,0,0,8378130,0,2104 103,3,2024-09-07 08:34:20:755,1,136,1,0,916,2846,136,0 104,0,2024-09-07 08:34:21:014,34700,2.2,34875,1.4,68764,3.4,93597,2.75 104,1,2024-09-07 08:34:21:599,239121,239121,0,0,111298213881,1181385825,234140,4023,958,366,391948,0 104,2,2024-09-07 08:34:21:669,169118,169118,0,0,8911057,0,3941 104,3,2024-09-07 08:34:21:416,1,136,4,1,1245,4944,136,0 105,0,2024-09-07 08:34:21:046,35707,1.8,34734,1.5,72270,2.8,96806,4.00 105,1,2024-09-07 08:34:20:570,239031,239031,0,0,111800442124,1180002271,234842,3417,772,367,391797,0 105,2,2024-09-07 08:34:21:341,173064,173064,0,0,8672937,0,3509 105,3,2024-09-07 08:34:21:309,1,136,8,1,399,2228,136,0 106,0,2024-09-07 08:34:20:941,32591,0.7,33422,0.9,68036,0.8,89611,2.25 106,1,2024-09-07 08:34:21:751,238281,238281,0,0,111054502393,1171357709,234532,3365,384,369,391767,0 106,2,2024-09-07 08:34:20:760,172080,172080,0,0,8889528,0,2795 106,3,2024-09-07 08:34:20:677,1,136,2,0,405,2235,136,0 107,0,2024-09-07 08:34:21:101,32592,0.4,32547,0.6,64708,0.4,86327,1.75 107,1,2024-09-07 08:34:20:597,238046,238046,0,0,110676061401,1169015140,235092,2728,226,381,392234,0 107,2,2024-09-07 08:34:21:291,171296,171295,1,0,8910586,0,5024 107,3,2024-09-07 08:34:21:757,1,136,1,0,353,1763,136,0 108,0,2024-09-07 08:34:21:784,33062,0.4,33269,0.6,65810,0.4,87873,1.75 108,1,2024-09-07 08:34:21:319,238660,238660,0,0,112015979403,1174320700,236018,2333,309,368,391857,0 108,2,2024-09-07 08:34:21:755,167534,167534,0,0,8172469,0,2647 108,3,2024-09-07 08:34:21:332,1,136,1,0,288,2147,136,0 109,0,2024-09-07 08:34:21:745,36848,0.5,36611,0.7,72953,0.5,98441,1.75 109,1,2024-09-07 08:34:20:595,238228,238228,0,0,112609355252,1187076659,235465,2270,493,383,391812,0 109,2,2024-09-07 08:34:20:924,173061,173061,0,0,8611032,0,3617 109,3,2024-09-07 08:34:21:149,1,136,27,1,249,2098,136,0 110,0,2024-09-07 08:34:21:761,32062,0.5,31228,0.7,65155,0.4,85335,1.75 110,1,2024-09-07 08:34:21:647,239016,239016,0,0,111810817243,1169034257,236410,1842,764,370,391667,0 110,2,2024-09-07 08:34:21:310,173902,173902,0,0,7627137,0,2915 110,3,2024-09-07 08:34:20:690,1,136,6,0,406,2045,136,0 111,0,2024-09-07 08:34:21:461,32662,0.2,32166,0.4,64400,0.2,86224,1.50 111,1,2024-09-07 08:34:20:999,239600,239600,0,0,112411666091,1170479731,237845,1423,332,382,391690,0 111,2,2024-09-07 08:34:21:116,171760,171760,0,0,8464882,0,2763 111,3,2024-09-07 08:34:20:913,1,136,0,0,379,2480,136,0 112,0,2024-09-07 08:34:20:916,32823,0.3,32771,0.5,65566,0.2,87081,1.50 112,1,2024-09-07 08:34:20:837,239585,239585,0,0,112065511223,1169941312,237261,1899,425,381,391580,0 112,2,2024-09-07 08:34:21:139,168208,168207,1,0,8190836,0,5036 112,3,2024-09-07 08:34:20:594,1,136,3,0,282,1611,136,0 113,0,2024-09-07 08:34:20:870,35711,0.4,35427,0.6,72027,0.4,96690,1.75 113,1,2024-09-07 08:34:21:687,239926,239926,0,0,112598094631,1170613321,237514,1924,488,366,391661,0 113,2,2024-09-07 08:34:21:304,174617,174617,0,0,7294476,0,3813 113,3,2024-09-07 08:34:20:684,1,136,1,0,288,2208,136,0 114,0,2024-09-07 08:34:20:885,33639,0.8,34238,0.8,66867,0.6,89466,2.00 114,1,2024-09-07 08:34:20:720,239130,239130,0,0,112295729294,1182048404,235005,2663,1462,381,391534,0 114,2,2024-09-07 08:34:20:877,173592,173592,0,0,7566856,0,3925 114,3,2024-09-07 08:34:21:284,1,136,1,0,395,1929,136,0 115,0,2024-09-07 08:34:20:557,33766,0.3,34060,0.4,68251,0.2,90129,1.50 115,1,2024-09-07 08:34:20:574,239328,239328,0,0,111922352600,1172946477,235689,2818,821,382,391602,0 115,2,2024-09-07 08:34:21:132,172758,172758,0,0,7120734,0,2152 115,3,2024-09-07 08:34:21:001,1,136,2,0,159,1041,136,0 116,0,2024-09-07 08:34:21:717,33935,0.7,33526,0.8,67432,0.7,89774,2.00 116,1,2024-09-07 08:34:20:807,237405,237405,0,0,111237855574,1190195177,232536,3116,1753,382,391677,0 116,2,2024-09-07 08:34:21:758,167977,167977,0,0,10056628,0,3529 116,3,2024-09-07 08:34:20:912,1,136,3,0,252,2096,136,0 117,0,2024-09-07 08:34:20:961,35227,1.9,35335,1.3,70301,3.0,95111,2.25 117,1,2024-09-07 08:34:21:581,238661,238661,0,0,110860895686,1169492340,235018,3217,426,370,392033,0 117,2,2024-09-07 08:34:21:118,172558,172558,0,0,7993307,0,3700 117,3,2024-09-07 08:34:21:064,1,136,7,0,490,3114,136,0 118,0,2024-09-07 08:34:21:786,34372,1.1,35405,1.0,71915,1.3,93598,2.25 118,1,2024-09-07 08:34:20:597,238177,238177,0,0,111431063377,1183913748,233365,3494,1318,368,391736,0 118,2,2024-09-07 08:34:21:586,173567,173567,0,0,9050514,0,2781 118,3,2024-09-07 08:34:21:764,1,136,2,0,235,1979,136,0 119,0,2024-09-07 08:34:21:335,34087,0.8,34298,0.9,69431,0.8,91843,2.00 119,1,2024-09-07 08:34:20:550,238831,238831,0,0,112319674743,1177405244,236389,2155,287,370,391641,0 119,2,2024-09-07 08:34:21:266,171272,171272,0,0,8577511,0,3526 119,3,2024-09-07 08:34:21:325,1,136,3,0,443,2769,136,0 120,0,2024-09-07 08:34:21:559,32487,0.5,32642,0.7,65047,0.5,87040,2.00 120,1,2024-09-07 08:34:20:870,238866,238866,0,0,111411038255,1175474567,235770,2837,259,368,391961,0 120,2,2024-09-07 08:34:20:776,170675,170674,1,0,10480453,0,5281 120,3,2024-09-07 08:34:21:303,1,136,14,0,241,1945,136,0 121,0,2024-09-07 08:34:21:694,34026,1.9,34538,1.3,68699,3.1,92005,2.50 121,1,2024-09-07 08:34:21:659,238912,238912,0,0,111537891537,1172018792,236195,2431,286,367,391840,0 121,2,2024-09-07 08:34:21:126,167758,167758,0,0,9371685,0,4127 121,3,2024-09-07 08:34:20:727,1,136,9,0,269,1969,136,0 122,0,2024-09-07 08:34:21:777,36050,1.3,35180,1.2,73516,1.4,97317,2.25 122,1,2024-09-07 08:34:20:872,237757,237757,0,0,111049425173,1173804599,233739,3356,662,366,392130,0 122,2,2024-09-07 08:34:21:321,173290,173290,0,0,10557485,0,3364 122,3,2024-09-07 08:34:20:596,1,136,91,0,411,3666,136,0 123,0,2024-09-07 08:34:20:972,32950,0.9,32013,0.9,66977,1.1,87892,2.25 123,1,2024-09-07 08:34:20:819,239260,239260,0,0,111732361966,1184185228,234195,4371,694,369,391823,0 123,2,2024-09-07 08:34:21:019,171423,171422,1,0,9092448,0,5215 123,3,2024-09-07 08:34:21:140,1,136,3,1,168,1912,136,0 124,0,2024-09-07 08:34:20:932,33188,0.3,33192,0.5,62622,0.2,85686,1.50 124,1,2024-09-07 08:34:21:022,239025,239025,0,0,111591923255,1163626215,236984,1687,354,367,392178,0 124,2,2024-09-07 08:34:21:011,172396,172396,0,0,7642282,0,3101 124,3,2024-09-07 08:34:20:760,1,136,3,0,490,2153,136,0 125,0,2024-09-07 08:34:21:427,32939,0.5,32816,0.6,65826,0.5,87513,1.75 125,1,2024-09-07 08:34:20:887,238830,238830,0,0,112123079061,1175427923,236487,2068,275,384,391702,0 125,2,2024-09-07 08:34:21:122,168182,168182,0,0,7402142,0,2180 125,3,2024-09-07 08:34:21:128,1,136,7,1,284,1893,136,0 126,0,2024-09-07 08:34:21:432,36744,0.9,37765,0.8,72213,1.0,98562,2.00 126,1,2024-09-07 08:34:20:561,239541,239541,0,0,112043331322,1166058016,237921,1543,77,365,391987,0 126,2,2024-09-07 08:34:20:610,174179,174179,0,0,7775483,0,3186 126,3,2024-09-07 08:34:20:927,1,136,10,0,136,2021,136,0 127,0,2024-09-07 08:34:21:605,31772,0.5,32064,0.6,64093,0.5,84953,1.75 127,1,2024-09-07 08:34:20:570,239025,239025,0,0,111011813849,1155374930,236977,2008,40,365,391816,0 127,2,2024-09-07 08:34:20:639,173942,173942,0,0,7444624,0,2264 127,3,2024-09-07 08:34:21:268,1,136,14,0,99,1060,136,0 128,0,2024-09-07 08:34:21:528,33450,0.3,33250,0.4,66365,0.2,88158,1.50 128,1,2024-09-07 08:34:21:613,239545,239545,0,0,112154392980,1164936518,238578,895,72,367,391680,0 128,2,2024-09-07 08:34:21:386,171470,171470,0,0,7518151,0,2107 128,3,2024-09-07 08:34:20:769,1,136,5,0,333,2170,136,0 129,0,2024-09-07 08:34:21:003,33568,0.3,33430,0.5,66670,0.3,88213,1.75 129,1,2024-09-07 08:34:20:571,238554,238554,0,0,111768319902,1176114382,235637,2525,392,379,391835,0 129,2,2024-09-07 08:34:20:686,168311,168311,0,0,7688324,0,4031 129,3,2024-09-07 08:34:20:691,1,136,12,1,173,1838,136,0 130,0,2024-09-07 08:34:21:757,36138,1.0,35816,0.9,71904,1.4,96512,2.00 130,1,2024-09-07 08:34:20:586,239244,239244,0,0,111541733615,1166932227,237168,2006,70,381,391825,0 130,2,2024-09-07 08:34:21:128,172754,172754,0,0,7731039,0,4067 130,3,2024-09-07 08:34:21:293,1,136,1,0,207,1298,136,0 131,0,2024-09-07 08:34:21:952,34086,0.7,34188,0.8,69137,0.9,91112,1.75 131,1,2024-09-07 08:34:21:831,240162,240162,0,0,111847379676,1167317517,238713,1243,206,384,391865,0 131,2,2024-09-07 08:34:20:572,174204,174204,0,0,7207644,0,2415 131,3,2024-09-07 08:34:21:698,1,136,5,0,392,1733,136,0 132,0,2024-09-07 08:34:21:419,34375,0.5,34845,0.8,69814,0.4,92770,2.00 132,1,2024-09-07 08:34:20:576,237368,237368,0,0,111496411908,1187177590,231975,4365,1028,381,391760,0 132,2,2024-09-07 08:34:20:702,171291,171291,0,0,10352479,0,4606 132,3,2024-09-07 08:34:21:697,1,136,7,0,356,2700,136,0 133,0,2024-09-07 08:34:21:517,32778,0.4,33439,0.6,68653,0.4,89074,2.00 133,1,2024-09-07 08:34:20:589,237113,237113,0,0,111540631866,1185281763,232617,3858,638,383,391914,0 133,2,2024-09-07 08:34:21:095,169739,169739,0,0,10300526,0,4315 133,3,2024-09-07 08:34:21:298,1,136,12,1,187,1314,136,0 134,0,2024-09-07 08:34:20:968,35109,0.7,34884,0.9,70478,0.8,93672,2.25 134,1,2024-09-07 08:34:20:605,237938,237938,0,0,110976660763,1171997576,233594,3124,1220,366,391718,0 134,2,2024-09-07 08:34:21:758,169511,169511,0,0,8477485,0,3096 134,3,2024-09-07 08:34:20:749,1,136,1,0,739,2856,136,0 135,0,2024-09-07 08:34:21:127,35252,1.6,35133,1.4,74420,1.9,96480,2.75 135,1,2024-09-07 08:34:21:631,237937,237937,0,0,111248230560,1177911043,233759,3477,701,380,391725,0 135,2,2024-09-07 08:34:20:687,173803,173803,0,0,9333067,0,3981 135,3,2024-09-07 08:34:21:004,1,136,1,0,89,834,136,0 136,0,2024-09-07 08:34:21:647,33925,0.6,33771,0.8,67975,0.6,90488,2.00 136,1,2024-09-07 08:34:21:442,238125,238125,0,0,111290236646,1171590195,234869,3083,173,384,391641,0 136,2,2024-09-07 08:34:21:140,173679,173679,0,0,8916575,0,3506 136,3,2024-09-07 08:34:21:106,1,136,3,0,108,1202,136,0 137,0,2024-09-07 08:34:20:939,33336,0.5,32462,0.7,64159,0.5,86512,2.00 137,1,2024-09-07 08:34:20:579,238585,238585,0,0,111789722570,1176519024,234548,3618,419,367,391608,0 137,2,2024-09-07 08:34:21:713,171257,171257,0,0,10179491,0,3185 137,3,2024-09-07 08:34:20:770,1,136,13,1,227,1765,136,0 138,0,2024-09-07 08:34:21:743,32474,1.8,32600,1.3,65774,2.6,87742,2.50 138,1,2024-09-07 08:34:21:691,239126,239126,0,0,111672301686,1173477679,236099,2678,349,368,391954,0 138,2,2024-09-07 08:34:20:588,168608,168608,0,0,8118627,0,3263 138,3,2024-09-07 08:34:20:612,1,136,16,0,1160,2633,136,0 139,0,2024-09-07 08:34:21:404,35679,3.3,35746,1.9,72281,4.6,97078,3.50 139,1,2024-09-07 08:34:20:598,237600,237600,0,0,110779909610,1182684315,232556,3730,1314,381,391892,0 139,2,2024-09-07 08:34:20:713,172013,172013,0,0,9357569,0,3097 139,3,2024-09-07 08:34:21:669,1,136,11,0,244,1745,136,0 140,0,2024-09-07 08:34:21:590,32196,0.4,31979,0.6,64253,0.3,85342,1.75 140,1,2024-09-07 08:34:21:537,239952,239952,0,0,112512617007,1164101112,238464,1248,240,365,391606,0 140,2,2024-09-07 08:34:20:687,174052,174052,0,0,8001920,0,3388 140,3,2024-09-07 08:34:20:778,1,136,29,0,247,1386,136,0 141,0,2024-09-07 08:34:21:701,32212,0.2,33241,0.4,63803,0.1,86135,1.50 141,1,2024-09-07 08:34:20:860,239323,239323,0,0,112180588059,1168431021,237407,1563,353,379,391614,0 141,2,2024-09-07 08:34:21:688,171741,171741,0,0,7463250,0,2342 141,3,2024-09-07 08:34:21:044,1,136,12,0,147,1243,136,0 142,0,2024-09-07 08:34:21:318,33134,0.3,32898,0.5,65246,0.3,87208,1.50 142,1,2024-09-07 08:34:20:587,239303,239303,0,0,112151101022,1172550724,237804,1342,157,383,391649,0 142,2,2024-09-07 08:34:21:307,166667,166635,32,0,8571595,0,6028 142,3,2024-09-07 08:34:21:747,1,136,2,0,484,2106,136,0 143,0,2024-09-07 08:34:21:430,35931,0.8,36012,0.7,72414,0.9,96592,2.00 143,1,2024-09-07 08:34:20:579,239066,239066,0,0,111181894428,1159016297,236965,2060,41,367,391619,0 143,2,2024-09-07 08:34:20:780,173265,173265,0,0,8020289,0,2669 143,3,2024-09-07 08:34:21:140,1,136,47,0,303,2484,136,0 144,0,2024-09-07 08:34:21:510,32135,1.2,32957,1.8,66925,1.4,88120,2.25 144,1,2024-09-07 08:34:20:568,238483,238483,0,0,111871567529,1178327162,236101,2179,203,381,391649,0 144,2,2024-09-07 08:34:21:756,174321,174321,0,0,7786384,0,3473 144,3,2024-09-07 08:34:21:740,1,136,3,0,249,2006,136,0 145,0,2024-09-07 08:34:21:366,32783,0.6,32718,0.8,69366,0.5,89659,2.25 145,1,2024-09-07 08:34:20:552,236899,236899,0,0,110833002760,1176546902,232520,3645,734,383,391615,0 145,2,2024-09-07 08:34:21:429,171692,171692,0,0,8979773,0,3903 145,3,2024-09-07 08:34:20:904,1,136,8,0,151,1760,136,0 146,0,2024-09-07 08:34:21:611,33613,0.5,33501,0.7,67680,0.4,89437,2.00 146,1,2024-09-07 08:34:21:592,239293,239293,0,0,111181693889,1173062654,234852,3582,859,368,391600,0 146,2,2024-09-07 08:34:21:704,169218,169218,0,0,8395503,0,2498 146,3,2024-09-07 08:34:21:284,1,136,1,0,1520,5082,136,0 147,0,2024-09-07 08:34:21:700,35334,1.3,35202,1.2,69731,1.5,94976,2.50 147,1,2024-09-07 08:34:21:375,239806,239806,0,0,112314681399,1173508649,237005,2376,425,368,391791,0 147,2,2024-09-07 08:34:21:010,171584,171584,0,0,7851391,0,2789 147,3,2024-09-07 08:34:20:926,1,136,76,0,371,1914,136,0 0,0,2024-09-07 08:34:31:725,31969,0.5,31981,0.7,67671,0.4,87822,1.75 0,1,2024-09-07 08:34:30:804,240617,240617,0,0,113353487779,1190353672,238667,1816,134,372,391692,0 0,2,2024-09-07 08:34:31:067,171958,171958,0,0,8175856,0,4480 0,3,2024-09-07 08:34:30:974,1,137,2,0,247,1938,137,0 1,0,2024-09-07 08:34:31:823,34805,1.8,34569,1.4,69433,2.4,92964,2.50 1,1,2024-09-07 08:34:30:561,239901,239901,0,0,112205274495,1182947758,236474,2562,865,372,391857,0 1,2,2024-09-07 08:34:30:645,169997,169997,0,0,7269384,0,3267 1,3,2024-09-07 08:34:31:303,1,137,9,0,262,1836,137,0 2,0,2024-09-07 08:34:31:594,36580,0.9,36664,1.0,72842,1.2,97830,2.25 2,1,2024-09-07 08:34:30:860,240248,240248,0,0,112723368905,1175866303,238803,1239,206,380,391745,0 2,2,2024-09-07 08:34:31:266,174583,174583,0,0,7997211,0,3594 2,3,2024-09-07 08:34:30:690,1,137,1,0,357,1621,137,0 3,0,2024-09-07 08:34:31:760,32975,0.6,33101,0.8,66186,0.6,88046,2.00 3,1,2024-09-07 08:34:31:635,240391,240391,0,0,112102071260,1175396083,237287,2680,424,382,391516,0 3,2,2024-09-07 08:34:31:145,174280,174257,23,0,8166908,0,5851 3,3,2024-09-07 08:34:31:769,1,137,8,0,103,946,137,0 4,0,2024-09-07 08:34:31:849,31468,0.3,32275,0.5,65604,0.2,85874,1.75 4,1,2024-09-07 08:34:30:597,240703,240703,0,0,111883977540,1181551470,237745,2438,520,371,391846,0 4,2,2024-09-07 08:34:31:023,172237,172237,0,0,9795292,0,4528 4,3,2024-09-07 08:34:31:035,1,137,9,0,287,2051,137,0 5,0,2024-09-07 08:34:31:406,33134,0.6,32945,0.7,66083,0.6,87981,1.75 5,1,2024-09-07 08:34:30:766,239825,239825,0,0,112437465406,1191389093,235658,3182,985,368,392005,0 5,2,2024-09-07 08:34:31:849,168881,168881,0,0,8252448,0,2259 5,3,2024-09-07 08:34:31:745,1,137,8,0,238,2253,137,0 6,0,2024-09-07 08:34:30:935,37486,1.2,37068,1.1,73604,1.8,99520,2.50 6,1,2024-09-07 08:34:30:748,240516,240516,0,0,112620460910,1185612667,237134,2735,647,381,391617,0 6,2,2024-09-07 08:34:31:120,175260,175260,0,0,8680781,0,4816 6,3,2024-09-07 08:34:31:274,1,137,4,0,340,2227,137,0 7,0,2024-09-07 08:34:31:535,31479,0.6,31531,0.8,63299,0.6,84343,2.00 7,1,2024-09-07 08:34:30:851,240480,240480,0,0,112284955298,1180877837,237763,2586,131,382,391664,0 7,2,2024-09-07 08:34:30:771,174385,174385,0,0,7829595,0,2981 7,3,2024-09-07 08:34:30:851,1,137,0,0,305,1519,137,0 8,0,2024-09-07 08:34:31:328,33239,0.3,33405,0.5,66151,0.2,88306,1.50 8,1,2024-09-07 08:34:31:016,239946,239946,0,0,112284501922,1194052159,234350,3998,1598,368,391956,0 8,2,2024-09-07 08:34:30:794,171173,171173,0,0,9486060,0,2986 8,3,2024-09-07 08:34:30:610,1,137,1,0,357,2406,137,0 9,0,2024-09-07 08:34:31:167,33408,0.4,32459,0.5,67712,0.3,88424,1.75 9,1,2024-09-07 08:34:30:552,239960,239960,0,0,112899048406,1198045166,235479,3356,1125,370,391753,0 9,2,2024-09-07 08:34:31:102,169023,169023,0,0,8704310,0,3360 9,3,2024-09-07 08:34:31:753,1,137,6,0,496,2192,137,0 10,0,2024-09-07 08:34:31:608,36305,0.4,36249,0.6,72765,0.4,97228,1.75 10,1,2024-09-07 08:34:30:607,240185,240185,0,0,111884808171,1181135535,235717,3827,641,381,391741,0 10,2,2024-09-07 08:34:30:765,174444,174444,0,0,9109368,0,2940 10,3,2024-09-07 08:34:30:875,1,137,3,0,177,1169,137,0 11,0,2024-09-07 08:34:31:015,33416,1.3,32599,1.2,68408,2.5,91030,2.50 11,1,2024-09-07 08:34:30:584,239968,239968,0,0,112401877464,1189696504,234668,4030,1270,384,391537,0 11,2,2024-09-07 08:34:31:123,174591,174591,0,0,8842814,0,3411 11,3,2024-09-07 08:34:31:302,1,137,1,0,720,2779,137,0 12,0,2024-09-07 08:34:30:961,35269,0.3,34945,0.5,70259,0.2,93047,1.50 12,1,2024-09-07 08:34:30:933,240271,240271,0,0,112051397639,1175745003,237436,2425,410,370,391837,0 12,2,2024-09-07 08:34:31:568,174086,174086,0,0,8793676,0,3469 12,3,2024-09-07 08:34:31:059,1,137,1,0,358,2475,137,0 13,0,2024-09-07 08:34:31:329,33855,0.4,33956,0.5,67784,0.3,90158,1.75 13,1,2024-09-07 08:34:31:530,240704,240704,0,0,112534676621,1185378158,238402,1895,407,384,391717,0 13,2,2024-09-07 08:34:30:605,171638,171638,0,0,8016515,0,3287 13,3,2024-09-07 08:34:31:782,1,137,16,1,467,2985,137,0 14,0,2024-09-07 08:34:30:570,35624,0.5,35659,0.7,70838,0.5,94753,2.00 14,1,2024-09-07 08:34:31:583,241881,241881,0,0,112466066634,1171220495,239762,1956,163,364,391571,0 14,2,2024-09-07 08:34:30:765,171404,171404,0,0,8115651,0,2896 14,3,2024-09-07 08:34:31:117,1,137,1,0,1168,2819,137,0 15,0,2024-09-07 08:34:31:569,36398,1.3,36348,1.2,73149,1.6,97322,2.75 15,1,2024-09-07 08:34:31:609,240377,240377,0,0,112709828773,1176672723,238694,1575,108,381,391579,0 15,2,2024-09-07 08:34:31:000,175473,175473,0,0,6968260,0,3043 15,3,2024-09-07 08:34:31:406,1,137,1,0,1126,4266,137,0 16,0,2024-09-07 08:34:30:946,34012,0.6,34382,0.8,67966,0.5,91122,2.25 16,1,2024-09-07 08:34:30:586,240775,240775,0,0,112296052318,1178845204,238570,1946,259,372,391756,0 16,2,2024-09-07 08:34:31:433,172908,172908,0,0,9115845,0,4719 16,3,2024-09-07 08:34:31:148,1,137,0,0,231,1996,137,0 17,0,2024-09-07 08:34:31:920,33716,0.4,32822,0.6,64511,0.4,87058,1.75 17,1,2024-09-07 08:34:30:592,240109,240109,0,0,112696722934,1190721809,236761,2677,671,368,391688,0 17,2,2024-09-07 08:34:31:689,174358,174358,0,0,8163477,0,2857 17,3,2024-09-07 08:34:30:610,1,137,24,0,268,2371,137,0 18,0,2024-09-07 08:34:30:947,32611,1.0,33052,1.0,66120,1.2,88111,2.50 18,1,2024-09-07 08:34:31:638,240298,240298,0,0,112605563571,1173701861,238306,1758,234,368,391564,0 18,2,2024-09-07 08:34:31:763,169516,169516,0,0,8000038,0,3541 18,3,2024-09-07 08:34:30:899,1,137,1,0,163,1673,137,0 19,0,2024-09-07 08:34:31:556,36474,1.0,36866,1.0,72785,1.1,97029,3.00 19,1,2024-09-07 08:34:30:572,240986,240986,0,0,112528576061,1179743128,237174,3096,716,367,391777,0 19,2,2024-09-07 08:34:31:767,175655,175655,0,0,7402440,0,3988 19,3,2024-09-07 08:34:31:132,1,137,0,0,524,1441,137,0 20,0,2024-09-07 08:34:31:392,32255,0.8,32159,0.9,64371,0.9,86004,2.50 20,1,2024-09-07 08:34:30:603,240370,240370,0,0,112502421210,1186417240,236943,3038,389,369,391822,0 20,2,2024-09-07 08:34:30:945,174715,174715,0,0,8435519,0,3721 20,3,2024-09-07 08:34:30:588,1,137,5,0,414,2765,137,0 21,0,2024-09-07 08:34:31:187,32865,0.4,32789,0.5,65333,0.3,86381,1.75 21,1,2024-09-07 08:34:31:539,239635,239635,0,0,111798947195,1183494876,236014,3090,531,368,391962,0 21,2,2024-09-07 08:34:31:096,172832,172832,0,0,9079853,0,3747 21,3,2024-09-07 08:34:31:404,1,137,1,0,103,1865,137,0 22,0,2024-09-07 08:34:31:722,32695,0.8,32762,1.0,65163,0.9,87118,2.25 22,1,2024-09-07 08:34:31:024,239902,239902,0,0,111903494628,1188950612,234400,3993,1509,382,391667,0 22,2,2024-09-07 08:34:30:763,169023,169023,0,0,7743451,0,3134 22,3,2024-09-07 08:34:31:069,1,137,26,0,228,1553,137,0 23,0,2024-09-07 08:34:31:370,35986,0.9,36193,0.9,72730,1.0,97556,2.50 23,1,2024-09-07 08:34:31:005,240772,240772,0,0,112061874452,1179696341,236679,2611,1482,365,391549,0 23,2,2024-09-07 08:34:31:092,174438,174438,0,0,8103845,0,3010 23,3,2024-09-07 08:34:31:786,1,137,2,0,645,1729,137,0 24,0,2024-09-07 08:34:30:815,33854,0.6,33855,0.7,67798,0.6,89696,1.75 24,1,2024-09-07 08:34:30:607,240632,240632,0,0,112604252327,1184004496,237689,2144,799,369,391640,0 24,2,2024-09-07 08:34:31:075,174083,174083,0,0,9451295,0,2942 24,3,2024-09-07 08:34:31:692,1,137,1,0,234,1699,137,0 25,0,2024-09-07 08:34:31:340,34917,0.4,34003,0.6,66769,0.3,90680,1.75 25,1,2024-09-07 08:34:30:575,240554,240554,0,0,112639912067,1188957741,236879,3133,542,371,391788,0 25,2,2024-09-07 08:34:31:608,172248,172248,0,0,9490996,0,3978 25,3,2024-09-07 08:34:31:005,1,137,9,0,158,1613,137,0 26,0,2024-09-07 08:34:31:749,33545,0.4,32875,0.6,68932,0.3,89787,1.75 26,1,2024-09-07 08:34:31:542,240817,240817,0,0,111828201189,1181789556,236158,3758,901,381,391564,0 26,2,2024-09-07 08:34:30:861,170054,170054,0,0,8494037,0,2809 26,3,2024-09-07 08:34:31:712,1,137,3,0,796,2133,137,0 27,0,2024-09-07 08:34:31:736,36065,0.6,36115,0.7,71534,0.6,96275,1.75 27,1,2024-09-07 08:34:31:676,241678,241678,0,0,113301205786,1180887940,239863,1492,323,381,391539,0 27,2,2024-09-07 08:34:30:867,171625,171625,0,0,9360602,0,3409 27,3,2024-09-07 08:34:31:015,1,137,1,0,564,1877,137,0 28,0,2024-09-07 08:34:31:399,35066,1.0,35431,0.9,71041,1.3,94480,2.75 28,1,2024-09-07 08:34:30:800,240687,240687,0,0,113491158567,1187209213,238529,1822,336,383,391646,0 28,2,2024-09-07 08:34:31:974,175500,175500,0,0,7324486,0,2915 28,3,2024-09-07 08:34:31:784,1,137,12,0,502,1938,137,0 29,0,2024-09-07 08:34:31:357,35487,0.4,34709,0.6,67937,0.3,93069,1.75 29,1,2024-09-07 08:34:31:561,241853,241853,0,0,112620256886,1172378398,239427,1883,543,369,391621,0 29,2,2024-09-07 08:34:30:861,173705,173705,0,0,7124581,0,4018 29,3,2024-09-07 08:34:30:963,1,137,0,0,105,1175,137,0 30,0,2024-09-07 08:34:31:457,32866,0.6,32019,0.8,67008,0.5,88037,2.25 30,1,2024-09-07 08:34:30:571,241243,241243,0,0,112978789406,1178242784,239260,1705,278,382,391672,0 30,2,2024-09-07 08:34:31:273,173254,173254,0,0,7252462,0,3161 30,3,2024-09-07 08:34:30:596,1,137,1,0,195,1144,137,0 31,0,2024-09-07 08:34:31:775,34439,0.7,34655,0.8,69908,0.7,92829,2.25 31,1,2024-09-07 08:34:30:572,242443,242443,0,0,113143923600,1163173213,241644,688,111,356,391553,0 31,2,2024-09-07 08:34:31:274,170427,170427,0,0,8864379,0,3525 31,3,2024-09-07 08:34:31:706,1,137,1,0,220,1146,137,0 32,0,2024-09-07 08:34:31:418,36591,0.6,37048,0.7,73913,0.5,98691,1.75 32,1,2024-09-07 08:34:30:804,241603,241603,0,0,113086514713,1178474890,239864,1464,275,382,391595,0 32,2,2024-09-07 08:34:30:935,174995,174995,0,0,7160645,0,3155 32,3,2024-09-07 08:34:31:015,1,137,1,0,227,1400,137,0 33,0,2024-09-07 08:34:31:511,33530,0.4,32988,0.6,66735,0.3,89043,1.75 33,1,2024-09-07 08:34:30:577,241754,241754,0,0,113241253177,1177494437,239496,2086,172,369,391730,0 33,2,2024-09-07 08:34:30:775,174282,174249,33,0,9042440,0,7012 33,3,2024-09-07 08:34:30:902,1,137,0,0,329,1792,137,0 34,0,2024-09-07 08:34:30:932,32321,0.3,33406,0.5,64085,0.2,85885,1.75 34,1,2024-09-07 08:34:31:043,241840,241840,0,0,113439286947,1171807008,240845,989,6,367,391562,0 34,2,2024-09-07 08:34:30:769,172523,172523,0,0,8095492,0,3577 34,3,2024-09-07 08:34:31:690,1,137,24,0,148,933,137,0 35,0,2024-09-07 08:34:30:858,32712,0.5,33080,0.6,65925,0.5,88106,1.75 35,1,2024-09-07 08:34:31:066,241083,241083,0,0,113104078828,1180089412,238286,2027,770,384,391589,0 35,2,2024-09-07 08:34:31:584,168977,168977,0,0,7163368,0,2653 35,3,2024-09-07 08:34:30:907,1,137,1,0,418,1904,137,0 36,0,2024-09-07 08:34:31:524,37095,1.3,36872,1.2,74377,1.6,99121,2.75 36,1,2024-09-07 08:34:30:589,240207,240207,0,0,112416665829,1184974635,235597,3322,1288,366,391759,0 36,2,2024-09-07 08:34:31:783,174256,174256,0,0,8799748,0,3303 36,3,2024-09-07 08:34:30:871,1,137,8,0,378,1725,137,0 37,0,2024-09-07 08:34:31:368,31703,0.6,31831,0.8,63317,0.5,85234,2.00 37,1,2024-09-07 08:34:30:580,239682,239675,0,7,112678028153,1192599316,234920,2738,2017,365,391518,0 37,2,2024-09-07 08:34:31:144,174978,174963,15,0,8869527,0,5815 37,3,2024-09-07 08:34:31:772,1,137,1,0,724,2427,137,0 38,0,2024-09-07 08:34:31:439,33094,0.4,32062,0.6,66970,0.3,87841,2.00 38,1,2024-09-07 08:34:31:604,241385,241385,0,0,112561740697,1183728215,237374,3379,632,368,391821,0 38,2,2024-09-07 08:34:30:763,172880,172833,47,0,10567700,0,6710 38,3,2024-09-07 08:34:30:997,1,137,1,0,603,2074,137,0 39,0,2024-09-07 08:34:31:794,34160,0.7,33394,0.7,65307,0.7,88801,2.00 39,1,2024-09-07 08:34:30:716,240813,240813,0,0,111801406063,1173233548,237027,2985,801,365,391524,0 39,2,2024-09-07 08:34:31:416,169407,169407,0,0,7644455,0,2689 39,3,2024-09-07 08:34:30:722,1,137,1,0,276,2020,137,0 40,0,2024-09-07 08:34:31:511,35644,1.1,36262,1.4,71960,1.3,96623,3.25 40,1,2024-09-07 08:34:30:598,240557,240557,0,0,111770122782,1177705053,236151,3638,768,368,391591,0 40,2,2024-09-07 08:34:31:303,173607,173606,1,0,9708137,0,5137 40,3,2024-09-07 08:34:31:143,1,137,2,0,181,1478,137,0 41,0,2024-09-07 08:34:31:023,33463,2.5,34094,2.0,65521,3.8,89619,4.50 41,1,2024-09-07 08:34:30:767,240618,240618,0,0,112827833330,1188019434,236430,3657,531,370,391742,0 41,2,2024-09-07 08:34:30:759,173808,173808,0,0,9476558,0,3356 41,3,2024-09-07 08:34:31:677,1,137,0,0,366,1918,137,0 42,0,2024-09-07 08:34:31:480,34251,0.6,34607,0.8,69080,0.5,91147,2.25 42,1,2024-09-07 08:34:31:440,239303,239303,0,0,112232922297,1187388776,234607,3738,958,380,391675,0 42,2,2024-09-07 08:34:31:135,172514,172514,0,0,9198810,0,3568 42,3,2024-09-07 08:34:31:014,1,137,1,0,446,1376,137,0 43,0,2024-09-07 08:34:30:928,33758,0.7,33010,0.9,68584,0.7,90256,2.00 43,1,2024-09-07 08:34:30:589,240467,240467,0,0,113132682551,1185412447,237228,2446,793,366,391604,0 43,2,2024-09-07 08:34:31:736,171717,171717,0,0,8572455,0,3812 43,3,2024-09-07 08:34:31:753,1,137,5,0,325,2069,137,0 44,0,2024-09-07 08:34:30:872,35647,0.6,35523,0.8,71180,0.6,95367,2.00 44,1,2024-09-07 08:34:30:588,241153,241153,0,0,112415389513,1167300840,239096,1632,425,356,391809,0 44,2,2024-09-07 08:34:31:266,171149,171149,0,0,7091609,0,1877 44,3,2024-09-07 08:34:31:100,1,137,1,0,817,1979,137,0 45,0,2024-09-07 08:34:31:769,35966,1.6,35224,1.3,74053,2.1,97962,2.50 45,1,2024-09-07 08:34:31:005,241096,241096,0,0,113275668195,1177370523,240058,1028,10,382,391917,0 45,2,2024-09-07 08:34:31:267,175761,175761,0,0,7707829,0,3596 45,3,2024-09-07 08:34:30:943,1,137,1,0,226,1373,137,0 46,0,2024-09-07 08:34:30:955,33885,0.4,33850,0.6,67912,0.3,90300,1.75 46,1,2024-09-07 08:34:30:579,241619,241619,0,0,113109716075,1174204232,239815,1582,222,366,391524,0 46,2,2024-09-07 08:34:30:598,174377,174377,0,0,7610711,0,2920 46,3,2024-09-07 08:34:31:131,1,137,5,0,908,2854,137,0 47,0,2024-09-07 08:34:31:111,32640,0.3,32845,0.5,65934,0.2,86720,1.75 47,1,2024-09-07 08:34:30:589,241544,241544,0,0,112127852840,1161738606,240148,1379,17,366,391605,0 47,2,2024-09-07 08:34:30:914,174044,174044,0,0,7868470,0,2558 47,3,2024-09-07 08:34:31:116,1,137,0,0,529,1679,137,0 48,0,2024-09-07 08:34:31:590,33513,0.3,33175,0.5,66641,0.2,89057,1.75 48,1,2024-09-07 08:34:31:030,241440,241440,0,0,112967040601,1178389423,239382,1878,180,384,391710,0 48,2,2024-09-07 08:34:30:702,169507,169507,0,0,6511726,0,2083 48,3,2024-09-07 08:34:30:753,1,137,1,0,339,1368,137,0 49,0,2024-09-07 08:34:31:721,37796,0.5,37128,0.7,72323,0.5,98883,1.75 49,1,2024-09-07 08:34:31:028,240206,240206,0,0,112845737719,1181706570,237474,1892,840,382,391583,0 49,2,2024-09-07 08:34:31:800,175691,175691,0,0,7612623,0,3900 49,3,2024-09-07 08:34:31:415,1,137,1,0,408,1786,137,0 50,0,2024-09-07 08:34:31:509,32368,0.4,31850,0.6,63836,0.3,85948,1.75 50,1,2024-09-07 08:34:31:016,241941,241941,0,0,113395500206,1180635664,239417,2215,309,368,391530,0 50,2,2024-09-07 08:34:31:075,174366,174366,0,0,7247875,0,2253 50,3,2024-09-07 08:34:31:299,1,137,2,0,335,1282,137,0 51,0,2024-09-07 08:34:31:686,33341,0.3,32756,0.4,63840,0.2,86825,1.50 51,1,2024-09-07 08:34:31:687,241067,241067,0,0,113734801779,1180136074,239100,1181,786,367,391637,0 51,2,2024-09-07 08:34:31:316,172869,172869,0,0,6892544,0,3337 51,3,2024-09-07 08:34:31:031,1,137,0,0,162,850,137,0 52,0,2024-09-07 08:34:31:411,32957,0.6,33043,0.8,66307,0.6,87653,2.25 52,1,2024-09-07 08:34:30:587,239758,239758,0,0,112066514278,1188309652,234102,4502,1154,368,391722,0 52,2,2024-09-07 08:34:31:766,167392,167354,38,0,9342376,0,6742 52,3,2024-09-07 08:34:30:692,1,137,1,0,1782,3427,137,0 53,0,2024-09-07 08:34:31:768,36076,1.2,35048,1.1,73294,1.3,96876,3.00 53,1,2024-09-07 08:34:30:776,239426,239426,0,0,112847149823,1198370303,233810,3722,1894,367,391702,0 53,2,2024-09-07 08:34:31:304,175019,175019,0,0,7695230,0,2262 53,3,2024-09-07 08:34:30:699,1,137,11,0,133,1171,137,0 54,0,2024-09-07 08:34:31:618,32278,3.2,32726,1.6,64675,1.8,86828,4.50 54,1,2024-09-07 08:34:30:589,240758,240758,0,0,113212513133,1187986753,236824,3363,571,367,391532,0 54,2,2024-09-07 08:34:30:866,174961,174955,6,0,9267994,0,5382 54,3,2024-09-07 08:34:30:763,1,137,1,0,676,2784,137,0 55,0,2024-09-07 08:34:31:776,32754,0.5,33655,0.8,68405,0.4,88575,2.50 55,1,2024-09-07 08:34:30:765,239841,239841,0,0,111643972696,1176221264,234656,4398,787,367,391731,0 55,2,2024-09-07 08:34:30:730,172672,172672,0,0,9073839,0,3275 55,3,2024-09-07 08:34:30:679,1,137,1,0,304,1626,137,0 56,0,2024-09-07 08:34:31:560,34695,1.3,32847,1.1,67271,1.8,90080,2.50 56,1,2024-09-07 08:34:30:598,240322,240322,0,0,112655732273,1199555877,235200,4219,903,382,391678,0 56,2,2024-09-07 08:34:31:321,169694,169694,0,0,9590211,0,3567 56,3,2024-09-07 08:34:31:059,1,137,1,0,405,2121,137,0 57,0,2024-09-07 08:34:30:941,35052,2.9,35033,1.7,70128,4.6,94277,4.50 57,1,2024-09-07 08:34:30:991,240371,240371,0,0,112391191710,1181769328,237556,2645,170,368,391773,0 57,2,2024-09-07 08:34:31:326,173241,173241,0,0,9858963,0,3178 57,3,2024-09-07 08:34:31:753,1,137,1,0,359,2604,137,0 58,0,2024-09-07 08:34:30:574,34200,1.7,33248,1.4,69790,2.8,91109,3.25 58,1,2024-09-07 08:34:30:606,241043,241040,0,3,113163651985,1187620974,237528,3116,396,369,391516,3 58,2,2024-09-07 08:34:31:085,175036,175036,0,0,8971783,0,2549 58,3,2024-09-07 08:34:31:072,1,137,1,0,219,1205,137,0 59,0,2024-09-07 08:34:31:743,34760,0.9,34443,1.0,68953,0.9,91795,3.00 59,1,2024-09-07 08:34:30:806,240750,240750,0,0,112227387925,1180567443,237104,2724,922,369,391515,0 59,2,2024-09-07 08:34:30:597,174057,174057,0,0,7823096,0,2604 59,3,2024-09-07 08:34:31:745,1,137,1,0,1015,2830,137,0 60,0,2024-09-07 08:34:31:714,33283,0.4,33335,0.6,66125,0.4,88093,1.75 60,1,2024-09-07 08:34:30:771,241913,241913,0,0,112989602094,1174892861,240470,1087,356,370,391761,0 60,2,2024-09-07 08:34:31:156,172130,172130,0,0,8904702,0,3811 60,3,2024-09-07 08:34:31:258,1,137,1,0,124,1494,137,0 61,0,2024-09-07 08:34:31:505,34534,1.8,34730,1.2,69484,2.6,92981,2.50 61,1,2024-09-07 08:34:30:771,240672,240672,0,0,113350134455,1192601988,237530,2604,538,382,391589,0 61,2,2024-09-07 08:34:31:117,171284,171284,0,0,7646081,0,2079 61,3,2024-09-07 08:34:31:688,1,137,7,0,199,1819,137,0 62,0,2024-09-07 08:34:31:709,36870,0.9,37806,0.9,72133,1.1,98169,2.25 62,1,2024-09-07 08:34:31:152,242278,242272,0,6,113156446053,1171277653,240595,1639,38,365,391715,6 62,2,2024-09-07 08:34:31:644,173527,173526,1,0,9034964,0,5555 62,3,2024-09-07 08:34:31:148,1,137,6,0,287,1131,137,0 63,0,2024-09-07 08:34:31:462,33151,0.6,33137,0.7,66380,0.6,88622,2.00 63,1,2024-09-07 08:34:30:806,241902,241896,0,6,113554480848,1179493543,240659,1211,26,381,391542,6 63,2,2024-09-07 08:34:30:763,174020,174020,0,0,7249678,0,2674 63,3,2024-09-07 08:34:31:741,1,137,118,0,667,2351,137,0 64,0,2024-09-07 08:34:31:524,32279,0.4,32185,0.6,64445,0.4,85929,1.75 64,1,2024-09-07 08:34:30:764,241135,241135,0,0,112361508662,1180137287,237676,2407,1052,371,391783,0 64,2,2024-09-07 08:34:31:150,174357,174338,19,0,8066335,0,6121 64,3,2024-09-07 08:34:31:144,1,137,1,0,265,1730,137,0 65,0,2024-09-07 08:34:31:672,32285,1.2,32883,1.0,65487,1.6,87299,2.50 65,1,2024-09-07 08:34:30:865,240485,240485,0,0,112188497817,1178072055,238089,2174,222,382,391770,0 65,2,2024-09-07 08:34:31:708,169552,169552,0,0,8383441,0,3367 65,3,2024-09-07 08:34:31:686,1,137,1,0,163,1512,137,0 66,0,2024-09-07 08:34:31:776,36702,0.9,36676,1.0,73805,1.1,98362,2.75 66,1,2024-09-07 08:34:31:293,241603,241603,0,0,113231101841,1180584939,239733,1699,171,380,391588,0 66,2,2024-09-07 08:34:31:133,176388,176388,0,0,7766910,0,4956 66,3,2024-09-07 08:34:31:079,1,137,1,0,291,1652,137,0 67,0,2024-09-07 08:34:31:427,32100,1.1,32040,1.1,64110,1.4,85353,2.50 67,1,2024-09-07 08:34:30:766,240563,240562,0,1,112622088124,1183477671,237111,2705,746,381,391787,1 67,2,2024-09-07 08:34:30:598,175633,175633,0,0,7364974,0,2889 67,3,2024-09-07 08:34:31:751,1,137,1,0,138,1236,137,0 68,0,2024-09-07 08:34:30:568,33431,0.5,33327,0.7,66463,0.4,88058,2.00 68,1,2024-09-07 08:34:30:584,239865,239865,0,0,112134048743,1182150778,237027,1821,1017,381,391953,0 68,2,2024-09-07 08:34:31:043,171727,171662,65,0,10831978,0,6698 68,3,2024-09-07 08:34:30:729,1,137,2,0,417,1934,137,0 69,0,2024-09-07 08:34:31:741,33185,0.9,33474,0.9,66393,1.1,88347,2.25 69,1,2024-09-07 08:34:31:015,239366,239366,0,0,112219317833,1193412597,235379,2773,1214,384,391611,0 69,2,2024-09-07 08:34:31:746,169128,169128,0,0,9085660,0,3701 69,3,2024-09-07 08:34:30:767,1,137,16,0,238,1802,137,0 70,0,2024-09-07 08:34:31:567,35718,2.6,35881,1.7,71976,2.0,96057,4.00 70,1,2024-09-07 08:34:30:805,241209,241209,0,0,112955030344,1178858702,238798,1949,462,366,391725,0 70,2,2024-09-07 08:34:31:325,173695,173695,0,0,8615553,0,4044 70,3,2024-09-07 08:34:30:745,1,137,1,0,854,1817,137,0 71,0,2024-09-07 08:34:31:358,33445,2.6,33522,1.9,66575,4.1,89821,4.00 71,1,2024-09-07 08:34:31:606,240903,240903,0,0,112517752529,1184353238,236797,3583,523,368,391682,0 71,2,2024-09-07 08:34:31:070,175584,175584,0,0,8596018,0,2470 71,3,2024-09-07 08:34:31:750,1,137,1,0,644,2501,137,0 72,0,2024-09-07 08:34:31:035,35994,0.5,35143,0.7,68874,0.4,93266,2.25 72,1,2024-09-07 08:34:31:035,240715,240715,0,0,112744909679,1182283675,237473,2756,486,370,391819,0 72,2,2024-09-07 08:34:31:768,172133,172133,0,0,10095976,0,2570 72,3,2024-09-07 08:34:31:760,1,137,1,0,325,2310,137,0 73,0,2024-09-07 08:34:31:116,32969,0.4,33776,0.6,69208,0.3,89969,2.25 73,1,2024-09-07 08:34:30:768,240906,240906,0,0,112653712292,1173267424,239104,1687,115,368,391627,0 73,2,2024-09-07 08:34:31:742,171682,171682,0,0,9342774,0,3482 73,3,2024-09-07 08:34:30:976,1,137,8,0,274,2423,137,0 74,0,2024-09-07 08:34:31:325,35784,0.6,36308,0.9,69486,0.6,94944,2.50 74,1,2024-09-07 08:34:30:635,241221,241221,0,0,112154384473,1175780274,238440,2162,619,382,391681,0 74,2,2024-09-07 08:34:31:004,171320,171320,0,0,9311558,0,4253 74,3,2024-09-07 08:34:31:442,1,137,59,0,522,2639,137,0 75,0,2024-09-07 08:34:31:792,36624,1.6,36396,1.3,72895,2.1,98158,3.00 75,1,2024-09-07 08:34:31:584,240275,240275,0,0,112608626275,1185192179,236892,2923,460,381,391579,0 75,2,2024-09-07 08:34:31:350,174364,174364,0,0,8859386,0,4766 75,3,2024-09-07 08:34:31:067,1,137,1,0,535,1705,137,0 76,0,2024-09-07 08:34:30:615,33855,0.4,33673,0.7,67221,0.4,90455,2.25 76,1,2024-09-07 08:34:30:806,240796,240796,0,0,112559776824,1179086491,238737,1549,510,382,391692,0 76,2,2024-09-07 08:34:31:189,174575,174575,0,0,7502962,0,3064 76,3,2024-09-07 08:34:31:149,1,137,8,0,175,1609,137,0 77,0,2024-09-07 08:34:31:712,32669,0.5,32897,0.7,65797,0.5,86706,2.00 77,1,2024-09-07 08:34:30:835,241447,241447,0,0,112572996811,1177489251,239868,1497,82,383,391808,0 77,2,2024-09-07 08:34:31:280,172726,172726,0,0,7948103,0,3890 77,3,2024-09-07 08:34:31:108,1,137,1,0,305,1906,137,0 78,0,2024-09-07 08:34:31:794,33205,0.6,33194,0.8,66720,0.6,88598,2.00 78,1,2024-09-07 08:34:30:612,241042,241042,0,0,111836093726,1167481051,238844,1952,246,367,391589,0 78,2,2024-09-07 08:34:31:406,170439,170439,0,0,7279716,0,2114 78,3,2024-09-07 08:34:31:134,1,137,3,0,181,1565,137,0 79,0,2024-09-07 08:34:31:349,35771,0.6,36489,0.8,74739,0.6,97415,2.75 79,1,2024-09-07 08:34:30:573,241743,241743,0,0,112568094574,1170958401,239563,2009,171,369,391682,0 79,2,2024-09-07 08:34:31:068,175972,175972,0,0,7628426,0,3212 79,3,2024-09-07 08:34:30:759,1,137,8,0,418,2692,137,0 80,0,2024-09-07 08:34:31:082,32070,0.7,32858,0.9,63282,0.8,86046,2.25 80,1,2024-09-07 08:34:31:626,240182,240182,0,0,112767697304,1179116328,237675,2348,159,368,391673,0 80,2,2024-09-07 08:34:31:091,175298,175298,0,0,8059080,0,4433 80,3,2024-09-07 08:34:30:597,1,137,1,0,190,2468,137,0 81,0,2024-09-07 08:34:31:551,32667,0.5,33626,0.6,64371,0.4,86492,1.75 81,1,2024-09-07 08:34:31:741,240634,240634,0,0,111770493112,1173560105,238161,2201,272,382,391879,0 81,2,2024-09-07 08:34:31:126,172686,172686,0,0,8541290,0,3993 81,3,2024-09-07 08:34:31:117,1,137,10,0,193,1487,137,0 82,0,2024-09-07 08:34:31:540,32688,0.6,32819,0.8,65892,0.6,87754,2.00 82,1,2024-09-07 08:34:30:589,240752,240748,0,4,112650677886,1179383668,238310,1862,576,382,391558,4 82,2,2024-09-07 08:34:31:691,169914,169914,0,0,7683736,0,3986 82,3,2024-09-07 08:34:31:782,1,137,1,0,227,1768,137,0 83,0,2024-09-07 08:34:31:526,36476,0.9,36294,0.9,71752,1.0,96864,2.25 83,1,2024-09-07 08:34:30:552,241086,241086,0,0,112434425093,1178401043,238665,2218,203,382,391584,0 83,2,2024-09-07 08:34:30:764,174382,174382,0,0,7632971,0,3119 83,3,2024-09-07 08:34:30:755,1,137,1,0,133,1451,137,0 84,0,2024-09-07 08:34:31:887,33010,1.9,32648,1.6,65695,1.6,88173,3.00 84,1,2024-09-07 08:34:31:044,240062,240062,0,0,112424269848,1183927834,236689,2919,454,368,391852,0 84,2,2024-09-07 08:34:30:575,175012,175012,0,0,8963972,0,3801 84,3,2024-09-07 08:34:31:144,1,137,2,0,236,1587,137,0 85,0,2024-09-07 08:34:31:027,32759,0.5,32750,0.8,69423,0.4,89692,2.25 85,1,2024-09-07 08:34:30:560,239572,239572,0,0,111976010126,1193955591,234181,4315,1076,382,391679,0 85,2,2024-09-07 08:34:30:865,173489,173489,0,0,9712367,0,3656 85,3,2024-09-07 08:34:30:693,1,137,6,0,115,1440,137,0 86,0,2024-09-07 08:34:30:920,33837,0.6,34835,0.7,66800,0.6,89906,2.00 86,1,2024-09-07 08:34:30:930,240466,240466,0,0,112507870986,1185254630,236529,3394,543,366,391961,0 86,2,2024-09-07 08:34:30:854,169091,169090,1,0,9795125,0,5004 86,3,2024-09-07 08:34:30:604,1,137,1,0,286,2225,137,0 87,0,2024-09-07 08:34:31:285,35617,2.0,35712,1.3,71174,3.0,96181,2.75 87,1,2024-09-07 08:34:30:550,239732,239732,0,0,112033691933,1180365293,236531,2810,391,366,391788,0 87,2,2024-09-07 08:34:31:084,172719,172719,0,0,8252842,0,3515 87,3,2024-09-07 08:34:31:799,1,137,1,0,335,2609,137,0 88,0,2024-09-07 08:34:31:463,35455,0.8,35572,0.8,70976,0.9,94561,2.00 88,1,2024-09-07 08:34:30:570,239577,239577,0,0,112599362787,1188454040,235572,2841,1164,367,391787,0 88,2,2024-09-07 08:34:30:706,175031,175031,0,0,9567956,0,3583 88,3,2024-09-07 08:34:31:266,1,137,1,1,435,2035,137,0 89,0,2024-09-07 08:34:31:847,35813,0.5,34654,0.7,68675,0.4,93345,1.75 89,1,2024-09-07 08:34:30:551,239051,239051,0,0,112228442304,1194698760,233641,4477,933,382,391866,0 89,2,2024-09-07 08:34:31:170,173077,173077,0,0,9315595,0,2910 89,3,2024-09-07 08:34:31:792,1,137,18,0,325,2993,137,0 90,0,2024-09-07 08:34:31:623,32103,0.4,32792,0.6,67464,0.4,87873,1.75 90,1,2024-09-07 08:34:30:598,240750,240750,0,0,111900355741,1180740237,237540,2955,255,382,391825,0 90,2,2024-09-07 08:34:31:406,171084,171084,0,0,10030404,0,3060 90,3,2024-09-07 08:34:30:944,1,137,6,1,200,1713,137,0 91,0,2024-09-07 08:34:30:946,35212,0.5,33912,0.7,70630,0.6,93481,1.75 91,1,2024-09-07 08:34:30:564,240363,240363,0,0,112808790131,1190785580,236639,3229,495,381,391960,0 91,2,2024-09-07 08:34:31:337,169357,169357,0,0,9411603,0,2445 91,3,2024-09-07 08:34:30:608,1,137,7,0,155,1602,137,0 92,0,2024-09-07 08:34:31:501,37130,0.6,38016,0.8,72736,0.7,98710,1.75 92,1,2024-09-07 08:34:30:589,240799,240799,0,0,112712260108,1181600763,238663,1738,398,382,391717,0 92,2,2024-09-07 08:34:31:368,176686,176686,0,0,7692148,0,2279 92,3,2024-09-07 08:34:31:021,1,137,0,0,68,1267,137,0 93,0,2024-09-07 08:34:31:010,33377,0.5,34171,0.7,65399,0.4,89043,1.75 93,1,2024-09-07 08:34:30:806,240593,240593,0,0,112851209635,1184405979,237499,2545,549,366,391689,0 93,2,2024-09-07 08:34:30:959,174062,174062,0,0,7737322,0,2509 93,3,2024-09-07 08:34:31:407,1,137,55,0,143,1558,137,0 94,0,2024-09-07 08:34:31:606,32424,0.3,32662,0.5,64775,0.3,85738,1.75 94,1,2024-09-07 08:34:30:603,240642,240642,0,0,112214309562,1178921809,238216,2334,92,381,391850,0 94,2,2024-09-07 08:34:30:764,173144,173144,0,0,7765305,0,2443 94,3,2024-09-07 08:34:31:690,1,137,3,0,264,2263,137,0 95,0,2024-09-07 08:34:31:385,33140,0.4,33002,0.6,66315,0.4,88064,1.75 95,1,2024-09-07 08:34:30:852,241073,241073,0,0,112920198166,1179161236,238716,2178,179,367,391600,0 95,2,2024-09-07 08:34:31:016,169117,169117,0,0,7768797,0,3308 95,3,2024-09-07 08:34:31:712,1,137,1,0,718,2904,137,0 96,0,2024-09-07 08:34:31:151,37183,0.7,37268,0.7,74515,0.7,98943,1.75 96,1,2024-09-07 08:34:31:595,240493,240493,0,0,112072472588,1177739515,237904,1948,641,385,391894,0 96,2,2024-09-07 08:34:31:269,174747,174747,0,0,8300839,0,4042 96,3,2024-09-07 08:34:31:145,1,137,1,0,188,1623,137,0 97,0,2024-09-07 08:34:31:361,32229,0.5,32204,0.6,64312,0.5,85349,1.75 97,1,2024-09-07 08:34:30:852,240769,240769,0,0,113160193693,1181761003,238005,2216,548,367,392140,0 97,2,2024-09-07 08:34:30:613,174949,174949,0,0,7655204,0,3036 97,3,2024-09-07 08:34:30:571,1,137,9,1,165,1669,137,0 98,0,2024-09-07 08:34:31:705,33376,0.3,33191,0.4,66832,0.2,88433,1.50 98,1,2024-09-07 08:34:30:598,241018,241018,0,0,112859961255,1180703188,239219,1713,86,382,391997,0 98,2,2024-09-07 08:34:30:770,174009,174009,0,0,8051892,0,3080 98,3,2024-09-07 08:34:30:700,1,137,13,1,840,2883,137,0 99,0,2024-09-07 08:34:31:451,33378,0.3,33396,0.5,66832,0.3,88614,1.75 99,1,2024-09-07 08:34:31:724,240951,240951,0,0,112075700729,1175404553,237908,2349,694,381,391744,0 99,2,2024-09-07 08:34:31:416,171074,171074,0,0,7526456,0,2615 99,3,2024-09-07 08:34:30:610,1,137,9,0,129,1044,137,0 100,0,2024-09-07 08:34:31:498,35938,1.7,36021,1.6,72109,2.8,96792,2.75 100,1,2024-09-07 08:34:30:548,239018,239018,0,0,111818962692,1193024499,233844,4243,931,381,391989,0 100,2,2024-09-07 08:34:31:825,173027,173016,11,0,9097508,0,5417 100,3,2024-09-07 08:34:31:734,1,137,8,0,559,3421,137,0 101,0,2024-09-07 08:34:31:795,34462,3.5,33535,1.9,65932,4.4,90952,3.50 101,1,2024-09-07 08:34:30:550,239359,239359,0,0,112407287319,1196750268,234016,3867,1476,368,391769,0 101,2,2024-09-07 08:34:31:785,174771,174771,0,0,10118862,0,4644 101,3,2024-09-07 08:34:30:951,1,137,2,0,448,1958,137,0 102,0,2024-09-07 08:34:30:965,34009,0.6,35361,0.8,70805,0.5,92631,2.00 102,1,2024-09-07 08:34:31:144,240013,240013,0,0,111950846503,1185451862,235956,3347,710,369,391831,0 102,2,2024-09-07 08:34:31:742,173881,173827,54,0,9624935,0,6768 102,3,2024-09-07 08:34:31:617,1,137,0,0,410,1616,137,0 103,0,2024-09-07 08:34:31:598,34795,0.4,34785,0.6,65613,0.4,90391,1.75 103,1,2024-09-07 08:34:31:625,239483,239483,0,0,112389947836,1191651809,235100,3176,1207,381,391829,0 103,2,2024-09-07 08:34:30:601,170502,170502,0,0,8388956,0,2104 103,3,2024-09-07 08:34:30:755,1,137,2,0,916,2848,137,0 104,0,2024-09-07 08:34:31:199,35043,2.1,35226,1.4,69439,3.3,94794,2.75 104,1,2024-09-07 08:34:31:615,240943,240943,0,0,112202379108,1190653832,235961,4024,958,366,391948,0 104,2,2024-09-07 08:34:31:692,170629,170629,0,0,8962414,0,3941 104,3,2024-09-07 08:34:31:429,1,137,4,1,1245,4948,137,0 105,0,2024-09-07 08:34:31:085,36117,2.1,35080,1.6,73024,3.0,97216,4.00 105,1,2024-09-07 08:34:30:559,240929,240929,0,0,112697280471,1189328473,236739,3418,772,367,391797,0 105,2,2024-09-07 08:34:31:332,174622,174622,0,0,8718016,0,3509 105,3,2024-09-07 08:34:31:305,1,137,4,1,399,2232,137,0 106,0,2024-09-07 08:34:30:942,32901,0.7,33733,0.9,68718,0.7,90375,2.25 106,1,2024-09-07 08:34:31:772,239996,239996,0,0,111800823208,1179054648,236245,3367,384,369,391767,0 106,2,2024-09-07 08:34:30:764,173168,173168,0,0,8919014,0,2795 106,3,2024-09-07 08:34:30:679,1,137,3,0,405,2238,137,0 107,0,2024-09-07 08:34:31:109,32785,0.4,32740,0.6,65063,0.4,86905,1.75 107,1,2024-09-07 08:34:30:608,239886,239886,0,0,111607284251,1178679560,236931,2729,226,381,392234,0 107,2,2024-09-07 08:34:31:300,172330,172329,1,0,8952700,0,5024 107,3,2024-09-07 08:34:31:770,1,137,1,0,353,1764,137,0 108,0,2024-09-07 08:34:31:851,33401,0.4,33610,0.6,66467,0.4,89126,1.75 108,1,2024-09-07 08:34:31:294,240434,240434,0,0,112814305364,1182603002,237789,2336,309,368,391857,0 108,2,2024-09-07 08:34:31:772,169091,169091,0,0,8248233,0,2647 108,3,2024-09-07 08:34:31:330,1,137,2,0,288,2149,137,0 109,0,2024-09-07 08:34:31:926,37132,0.5,36954,0.7,73615,0.5,99169,1.75 109,1,2024-09-07 08:34:30:599,240086,240086,0,0,113404009765,1195363854,237323,2270,493,383,391812,0 109,2,2024-09-07 08:34:30:925,174312,174312,0,0,8656382,0,3617 109,3,2024-09-07 08:34:31:155,1,137,8,1,249,2106,137,0 110,0,2024-09-07 08:34:31:781,32334,0.5,31498,0.7,65684,0.4,86274,1.75 110,1,2024-09-07 08:34:31:644,240931,240931,0,0,112572327500,1177024515,238324,1843,764,370,391667,0 110,2,2024-09-07 08:34:31:312,175031,175031,0,0,7680499,0,2915 110,3,2024-09-07 08:34:30:692,1,137,32,0,406,2077,137,0 111,0,2024-09-07 08:34:31:413,32800,0.2,32308,0.4,64649,0.2,86690,1.50 111,1,2024-09-07 08:34:31:013,241379,241379,0,0,113190548261,1178549679,239623,1424,332,382,391690,0 111,2,2024-09-07 08:34:31:118,173093,173093,0,0,8544160,0,2763 111,3,2024-09-07 08:34:30:913,1,137,1,0,379,2481,137,0 112,0,2024-09-07 08:34:30:973,33040,0.3,32955,0.5,66007,0.2,87999,1.50 112,1,2024-09-07 08:34:30:838,241312,241312,0,0,112982109630,1179348260,238987,1900,425,381,391580,0 112,2,2024-09-07 08:34:31:145,169447,169446,1,0,8311359,0,5036 112,3,2024-09-07 08:34:30:610,1,137,8,0,282,1619,137,0 113,0,2024-09-07 08:34:30:869,36196,0.4,35892,0.6,72962,0.4,97880,1.75 113,1,2024-09-07 08:34:31:689,241696,241696,0,0,113392512721,1178680003,239284,1924,488,366,391661,0 113,2,2024-09-07 08:34:31:304,175849,175849,0,0,7317582,0,3813 113,3,2024-09-07 08:34:30:693,1,137,1,0,288,2209,137,0 114,0,2024-09-07 08:34:30:877,33758,0.8,34342,0.8,67090,0.6,89791,2.00 114,1,2024-09-07 08:34:30:722,240921,240921,0,0,113102342038,1190269488,236796,2663,1462,381,391534,0 114,2,2024-09-07 08:34:30:873,174989,174989,0,0,7620199,0,3925 114,3,2024-09-07 08:34:31:277,1,137,8,0,395,1937,137,0 115,0,2024-09-07 08:34:30:557,33887,0.3,34174,0.4,68466,0.2,90478,1.50 115,1,2024-09-07 08:34:30:571,241005,241005,0,0,112693842841,1180879506,237366,2818,821,382,391602,0 115,2,2024-09-07 08:34:31:125,174184,174184,0,0,7142181,0,2152 115,3,2024-09-07 08:34:31:005,1,137,0,0,159,1041,137,0 116,0,2024-09-07 08:34:31:732,34056,0.7,33623,0.8,67627,0.7,89782,2.00 116,1,2024-09-07 08:34:30:803,239141,239141,0,0,112066096065,1198725687,234270,3118,1753,382,391677,0 116,2,2024-09-07 08:34:31:754,168918,168918,0,0,10087439,0,3529 116,3,2024-09-07 08:34:30:913,1,137,0,0,252,2096,137,0 117,0,2024-09-07 08:34:30:998,35619,1.9,35739,1.3,71127,3.0,96105,2.25 117,1,2024-09-07 08:34:31:582,240463,240463,0,0,111813126087,1179160797,236819,3218,426,370,392033,0 117,2,2024-09-07 08:34:31:128,173934,173934,0,0,8048407,0,3700 117,3,2024-09-07 08:34:31:067,1,137,3,0,490,3117,137,0 118,0,2024-09-07 08:34:31:778,34462,1.1,35510,1.0,72104,1.3,93841,2.25 118,1,2024-09-07 08:34:30:616,239955,239955,0,0,112097260879,1190806080,235142,3495,1318,368,391736,0 118,2,2024-09-07 08:34:31:585,175169,175169,0,0,9095908,0,2781 118,3,2024-09-07 08:34:31:781,1,137,1,0,235,1980,137,0 119,0,2024-09-07 08:34:31:362,34361,0.8,34530,0.9,69968,0.8,92437,2.00 119,1,2024-09-07 08:34:30:549,240629,240629,0,0,113239029666,1186786221,238187,2155,287,370,391641,0 119,2,2024-09-07 08:34:31:265,172679,172679,0,0,8606667,0,3526 119,3,2024-09-07 08:34:31:327,1,137,6,0,443,2775,137,0 120,0,2024-09-07 08:34:31:628,32768,0.5,32894,0.7,65584,0.4,87987,2.00 120,1,2024-09-07 08:34:30:863,240707,240707,0,0,112196901896,1183565976,237610,2838,259,368,391961,0 120,2,2024-09-07 08:34:30:769,171517,171516,1,0,10505013,0,5281 120,3,2024-09-07 08:34:31:300,1,137,1,0,241,1946,137,0 121,0,2024-09-07 08:34:31:794,34413,2.0,34917,1.3,69499,3.3,92889,2.50 121,1,2024-09-07 08:34:31:702,240744,240744,0,0,112307340739,1179957929,238026,2431,287,367,391840,0 121,2,2024-09-07 08:34:31:131,169371,169371,0,0,9436783,0,4127 121,3,2024-09-07 08:34:30:735,1,137,13,0,269,1982,137,0 122,0,2024-09-07 08:34:31:795,36472,1.3,35583,1.3,74339,1.4,98840,2.25 122,1,2024-09-07 08:34:30:862,239615,239615,0,0,111799591004,1182064236,235487,3423,705,366,392130,0 122,2,2024-09-07 08:34:31:319,174662,174662,0,0,10601642,0,3364 122,3,2024-09-07 08:34:30:600,1,137,6,0,411,3672,137,0 123,0,2024-09-07 08:34:30:971,33283,0.9,32355,0.9,67675,1.1,88767,2.25 123,1,2024-09-07 08:34:30:571,241125,241125,0,0,112539674436,1192684322,236057,4374,694,369,391823,0 123,2,2024-09-07 08:34:31:036,172510,172509,1,0,9117951,0,5215 123,3,2024-09-07 08:34:31:134,1,137,1,1,168,1913,137,0 124,0,2024-09-07 08:34:30:921,33363,0.3,33364,0.5,62958,0.2,86105,1.50 124,1,2024-09-07 08:34:31:026,240739,240739,0,0,112184475766,1170197420,238667,1718,354,367,392178,0 124,2,2024-09-07 08:34:31:022,173534,173534,0,0,7730307,0,3101 124,3,2024-09-07 08:34:30:769,1,137,0,0,490,2153,137,0 125,0,2024-09-07 08:34:31:450,33195,0.5,33092,0.6,66393,0.5,88144,1.75 125,1,2024-09-07 08:34:30:857,240562,240562,0,0,113097733432,1185827063,238207,2080,275,384,391702,0 125,2,2024-09-07 08:34:31:122,169568,169568,0,0,7445155,0,2180 125,3,2024-09-07 08:34:31:132,1,137,8,1,284,1901,137,0 126,0,2024-09-07 08:34:31:415,37193,0.9,38183,0.8,73038,1.0,99589,2.00 126,1,2024-09-07 08:34:30:552,241312,241312,0,0,112824001340,1174180705,239692,1543,77,365,391987,0 126,2,2024-09-07 08:34:30:613,175486,175486,0,0,7906098,0,3186 126,3,2024-09-07 08:34:30:908,1,137,2,0,136,2023,137,0 127,0,2024-09-07 08:34:31:618,31921,0.5,32225,0.6,64372,0.5,85407,1.75 127,1,2024-09-07 08:34:30:585,240776,240776,0,0,111808277430,1163559002,238728,2008,40,365,391816,0 127,2,2024-09-07 08:34:30:637,175151,175151,0,0,7526407,0,2264 127,3,2024-09-07 08:34:31:267,1,137,1,0,99,1061,137,0 128,0,2024-09-07 08:34:31:665,33570,0.3,33367,0.4,66598,0.2,88415,1.50 128,1,2024-09-07 08:34:31:607,241341,241341,0,0,113100618878,1174593808,240374,895,72,367,391680,0 128,2,2024-09-07 08:34:31:429,172766,172766,0,0,7562622,0,2107 128,3,2024-09-07 08:34:30:771,1,137,3,0,333,2173,137,0 129,0,2024-09-07 08:34:30:996,33619,0.3,33494,0.5,66791,0.3,88538,1.75 129,1,2024-09-07 08:34:30:588,240398,240398,0,0,112341730042,1182051047,237480,2526,392,379,391835,0 129,2,2024-09-07 08:34:30:693,169426,169426,0,0,7722971,0,4031 129,3,2024-09-07 08:34:30:693,1,137,11,1,173,1849,137,0 130,0,2024-09-07 08:34:31:718,36527,0.9,36241,0.9,72783,1.3,97836,2.00 130,1,2024-09-07 08:34:30:614,241032,241032,0,0,112268865141,1174455588,238956,2006,70,381,391825,0 130,2,2024-09-07 08:34:31:125,174053,174053,0,0,7762373,0,4067 130,3,2024-09-07 08:34:31:303,1,137,1,0,207,1299,137,0 131,0,2024-09-07 08:34:31:928,34169,0.7,34281,0.7,69357,0.9,91401,1.75 131,1,2024-09-07 08:34:31:834,241919,241919,0,0,112617238296,1175444723,240466,1247,206,384,391865,0 131,2,2024-09-07 08:34:30:601,175577,175577,0,0,7262599,0,2415 131,3,2024-09-07 08:34:31:690,1,137,14,0,392,1747,137,0 132,0,2024-09-07 08:34:31:453,34537,0.5,35016,0.8,70153,0.4,93035,2.00 132,1,2024-09-07 08:34:30:593,239223,239223,0,0,112371860204,1196103552,233829,4366,1028,381,391760,0 132,2,2024-09-07 08:34:30:701,172833,172833,0,0,10391437,0,4606 132,3,2024-09-07 08:34:31:689,1,137,5,0,356,2705,137,0 133,0,2024-09-07 08:34:31:574,33028,0.4,33706,0.6,69195,0.4,89587,2.00 133,1,2024-09-07 08:34:30:598,238877,238877,0,0,112393793107,1194013590,234381,3858,638,383,391914,0 133,2,2024-09-07 08:34:31:088,170440,170440,0,0,10312120,0,4315 133,3,2024-09-07 08:34:31:310,1,137,10,1,187,1324,137,0 134,0,2024-09-07 08:34:30:946,35442,0.7,35212,0.9,71141,0.7,94838,2.25 134,1,2024-09-07 08:34:30:599,239740,239740,0,0,111950937165,1181955535,235396,3124,1220,366,391718,0 134,2,2024-09-07 08:34:31:783,171074,171074,0,0,8503679,0,3096 134,3,2024-09-07 08:34:30:752,1,137,1,0,739,2857,137,0 135,0,2024-09-07 08:34:31:104,35565,1.7,35461,1.4,75124,2.2,96820,2.75 135,1,2024-09-07 08:34:31:590,239729,239729,0,0,112229819786,1187917235,235550,3478,701,380,391725,0 135,2,2024-09-07 08:34:30:695,175414,175414,0,0,9367123,0,3981 135,3,2024-09-07 08:34:31:003,1,137,10,0,89,844,137,0 136,0,2024-09-07 08:34:31:691,34213,0.6,34059,0.8,68607,0.6,91314,2.00 136,1,2024-09-07 08:34:31:444,239881,239881,0,0,112481379657,1183917642,236624,3084,173,384,391641,0 136,2,2024-09-07 08:34:31:152,174847,174847,0,0,8953691,0,3506 136,3,2024-09-07 08:34:31:106,1,137,44,0,108,1246,137,0 137,0,2024-09-07 08:34:30:952,33528,0.5,32663,0.7,64495,0.4,87104,2.00 137,1,2024-09-07 08:34:30:607,240368,240368,0,0,112645272963,1185217525,236331,3618,419,367,391608,0 137,2,2024-09-07 08:34:31:706,172345,172345,0,0,10212908,0,3185 137,3,2024-09-07 08:34:30:769,1,137,9,1,227,1774,137,0 138,0,2024-09-07 08:34:31:753,32837,1.8,32976,1.3,66525,2.5,89036,2.50 138,1,2024-09-07 08:34:31:685,240868,240868,0,0,112362084137,1180585736,237841,2678,349,368,391954,0 138,2,2024-09-07 08:34:30:611,170227,170227,0,0,8196660,0,3263 138,3,2024-09-07 08:34:30:615,1,137,1,0,1160,2634,137,0 139,0,2024-09-07 08:34:31:380,36007,3.3,36120,1.9,72931,4.6,97830,3.50 139,1,2024-09-07 08:34:30:581,239395,239395,0,0,111634998796,1191570455,234351,3730,1314,381,391892,0 139,2,2024-09-07 08:34:30:699,173293,173293,0,0,9400191,0,3097 139,3,2024-09-07 08:34:31:663,1,137,1,0,244,1746,137,0 140,0,2024-09-07 08:34:31:604,32457,0.4,32222,0.6,64820,0.3,86168,1.75 140,1,2024-09-07 08:34:31:536,241686,241686,0,0,113352156857,1173122466,240180,1266,240,365,391606,0 140,2,2024-09-07 08:34:30:690,175128,175128,0,0,8048054,0,3388 140,3,2024-09-07 08:34:30:769,1,137,10,0,247,1396,137,0 141,0,2024-09-07 08:34:31:704,32351,0.2,33379,0.4,64092,0.1,86593,1.50 141,1,2024-09-07 08:34:30:862,241133,241133,0,0,112956803007,1176724507,239195,1585,353,379,391614,0 141,2,2024-09-07 08:34:31:689,173087,173087,0,0,7583567,0,2342 141,3,2024-09-07 08:34:31:042,1,137,12,0,147,1255,137,0 142,0,2024-09-07 08:34:31:359,33359,0.3,33098,0.5,65671,0.3,88038,1.75 142,1,2024-09-07 08:34:30:587,241050,241050,0,0,112915710201,1180684968,239547,1346,157,383,391649,0 142,2,2024-09-07 08:34:31:305,167932,167900,32,0,8639681,0,6028 142,3,2024-09-07 08:34:31:758,1,137,0,0,484,2106,137,0 143,0,2024-09-07 08:34:31:413,36395,0.8,36440,0.8,73376,0.9,97689,2.00 143,1,2024-09-07 08:34:30:560,240808,240808,0,0,112102879926,1168510552,238706,2061,41,367,391619,0 143,2,2024-09-07 08:34:30:772,174440,174440,0,0,8070288,0,2669 143,3,2024-09-07 08:34:31:143,1,137,1,0,303,2485,137,0 144,0,2024-09-07 08:34:31:499,32240,1.2,33075,1.8,67148,1.4,88447,2.25 144,1,2024-09-07 08:34:30:587,240346,240346,0,0,112753091455,1187500414,237964,2179,203,381,391649,0 144,2,2024-09-07 08:34:31:766,175776,175776,0,0,7822203,0,3473 144,3,2024-09-07 08:34:31:745,1,137,1,0,249,2007,137,0 145,0,2024-09-07 08:34:31:360,32903,0.6,32828,0.8,69594,0.5,89983,2.25 145,1,2024-09-07 08:34:30:552,238742,238742,0,0,111755844715,1185983981,234363,3645,734,383,391615,0 145,2,2024-09-07 08:34:31:434,173093,173093,0,0,9001936,0,3903 145,3,2024-09-07 08:34:30:899,1,137,2,0,151,1762,137,0 146,0,2024-09-07 08:34:31:620,33709,0.5,33588,0.7,67898,0.4,89439,2.00 146,1,2024-09-07 08:34:31:607,241097,241097,0,0,112062715940,1182154273,236656,3582,859,368,391600,0 146,2,2024-09-07 08:34:31:726,170019,170019,0,0,8431004,0,2498 146,3,2024-09-07 08:34:31:274,1,137,17,0,1520,5099,137,0 147,0,2024-09-07 08:34:31:698,35738,1.3,35589,1.2,70513,1.4,95866,2.50 147,1,2024-09-07 08:34:31:394,241500,241500,0,0,113027390020,1182210353,238426,2581,493,368,391791,0 147,2,2024-09-07 08:34:31:017,173064,173064,0,0,7934100,0,2789 147,3,2024-09-07 08:34:30:924,1,137,12,0,371,1926,137,0 0,0,2024-09-07 08:34:41:827,32289,0.5,32281,0.7,68314,0.4,88797,1.75 0,1,2024-09-07 08:34:40:806,242453,242453,0,0,113937469259,1196359210,240502,1817,134,372,391692,0 0,2,2024-09-07 08:34:41:090,172643,172643,0,0,8187902,0,4480 0,3,2024-09-07 08:34:40:976,1,138,24,0,247,1962,138,0 1,0,2024-09-07 08:34:41:805,35110,1.7,34865,1.4,69999,2.4,93774,2.50 1,1,2024-09-07 08:34:40:564,241683,241683,0,0,113095797738,1192040263,238256,2562,865,372,391857,0 1,2,2024-09-07 08:34:40:653,171522,171522,0,0,7317473,0,3267 1,3,2024-09-07 08:34:41:310,1,138,1,0,262,1837,138,0 2,0,2024-09-07 08:34:41:576,37083,1.0,37153,1.0,73846,1.3,99452,2.25 2,1,2024-09-07 08:34:40:875,242014,242014,0,0,113734656549,1186155568,240569,1239,206,380,391745,0 2,2,2024-09-07 08:34:41:268,176151,176151,0,0,8103213,0,3594 2,3,2024-09-07 08:34:40:693,1,138,3,0,357,1624,138,0 3,0,2024-09-07 08:34:41:752,33258,0.6,33409,0.8,66835,0.5,88909,2.00 3,1,2024-09-07 08:34:41:624,242147,242147,0,0,113023872348,1184972982,239043,2680,424,382,391516,0 3,2,2024-09-07 08:34:41:148,175276,175253,23,0,8200971,0,5851 3,3,2024-09-07 08:34:41:767,1,138,2,0,103,948,138,0 4,0,2024-09-07 08:34:41:822,31648,0.3,32437,0.5,65947,0.2,86304,1.75 4,1,2024-09-07 08:34:40:606,242474,242474,0,0,112700972652,1189916077,239516,2438,520,371,391846,0 4,2,2024-09-07 08:34:41:024,173299,173299,0,0,9805576,0,4528 4,3,2024-09-07 08:34:41:046,1,138,13,0,287,2064,138,0 5,0,2024-09-07 08:34:41:462,33379,0.6,33193,0.7,66579,0.6,88619,1.75 5,1,2024-09-07 08:34:40:764,241657,241657,0,0,113203119672,1199190760,237490,3182,985,368,392005,0 5,2,2024-09-07 08:34:41:846,170320,170320,0,0,8268281,0,2259 5,3,2024-09-07 08:34:41:756,1,138,10,0,238,2263,138,0 6,0,2024-09-07 08:34:40:932,37884,1.2,37464,1.1,74419,1.8,100561,2.50 6,1,2024-09-07 08:34:40:753,242339,242339,0,0,113368910915,1193252780,238957,2735,647,381,391617,0 6,2,2024-09-07 08:34:41:117,176617,176617,0,0,8690140,0,4816 6,3,2024-09-07 08:34:41:274,1,138,1,0,340,2228,138,0 7,0,2024-09-07 08:34:41:537,31646,0.6,31706,0.8,63635,0.5,84780,2.00 7,1,2024-09-07 08:34:40:852,242316,242316,0,0,113070029527,1188894407,239599,2586,131,382,391664,0 7,2,2024-09-07 08:34:40:770,175575,175575,0,0,7839490,0,2981 7,3,2024-09-07 08:34:40:854,1,138,1,0,305,1520,138,0 8,0,2024-09-07 08:34:41:413,33332,0.3,33511,0.5,66324,0.2,88550,1.50 8,1,2024-09-07 08:34:41:159,241765,241765,0,0,113206446349,1203456287,236168,3998,1599,368,391956,0 8,2,2024-09-07 08:34:40:799,172417,172417,0,0,9512468,0,2986 8,3,2024-09-07 08:34:40:584,1,138,3,0,357,2409,138,0 9,0,2024-09-07 08:34:41:363,33507,0.4,32548,0.5,67904,0.3,88746,1.75 9,1,2024-09-07 08:34:40:588,241723,241723,0,0,113583355454,1205090074,237242,3356,1125,370,391753,0 9,2,2024-09-07 08:34:41:101,170203,170203,0,0,8732395,0,3360 9,3,2024-09-07 08:34:41:782,1,138,25,0,496,2217,138,0 10,0,2024-09-07 08:34:41:610,36733,0.4,36742,0.6,73722,0.4,98563,1.75 10,1,2024-09-07 08:34:40:586,241917,241917,0,0,112826411741,1190745966,237449,3827,641,381,391741,0 10,2,2024-09-07 08:34:40:770,175558,175558,0,0,9123847,0,2940 10,3,2024-09-07 08:34:40:870,1,138,1,0,177,1170,138,0 11,0,2024-09-07 08:34:41:015,33507,1.3,32696,1.2,68594,2.4,91317,2.50 11,1,2024-09-07 08:34:40:575,241736,241736,0,0,113271811347,1198580772,236436,4030,1270,384,391537,0 11,2,2024-09-07 08:34:41:130,176006,176006,0,0,8872591,0,3411 11,3,2024-09-07 08:34:41:302,1,138,1,0,720,2780,138,0 12,0,2024-09-07 08:34:40:984,35398,0.3,35066,0.5,70480,0.2,93289,1.50 12,1,2024-09-07 08:34:40:972,242096,242096,0,0,112930265069,1184937736,239260,2426,410,370,391837,0 12,2,2024-09-07 08:34:41:548,175604,175604,0,0,8865639,0,3469 12,3,2024-09-07 08:34:41:062,1,138,1,0,358,2476,138,0 13,0,2024-09-07 08:34:41:432,34096,0.4,34182,0.5,68205,0.3,90674,1.75 13,1,2024-09-07 08:34:41:562,242492,242492,0,0,113331819349,1193640668,240190,1895,407,384,391717,0 13,2,2024-09-07 08:34:40:605,172346,172346,0,0,8035522,0,3287 13,3,2024-09-07 08:34:41:778,1,138,21,1,467,3006,138,0 14,0,2024-09-07 08:34:40:577,36050,0.5,36064,0.7,71563,0.5,95905,2.00 14,1,2024-09-07 08:34:41:573,243639,243639,0,0,113358821651,1180401626,241520,1956,163,364,391571,0 14,2,2024-09-07 08:34:40:768,172837,172837,0,0,8198392,0,2896 14,3,2024-09-07 08:34:41:117,1,138,23,0,1168,2842,138,0 15,0,2024-09-07 08:34:41:561,36560,1.3,36532,1.2,73544,1.8,97605,2.75 15,1,2024-09-07 08:34:41:610,242153,242153,0,0,113667180251,1186508055,240470,1575,108,381,391619,0 15,2,2024-09-07 08:34:40:999,177026,177026,0,0,7011320,0,3043 15,3,2024-09-07 08:34:41:413,1,138,1,0,1126,4267,138,0 16,0,2024-09-07 08:34:40:994,34330,0.6,34654,0.8,68564,0.5,91931,2.25 16,1,2024-09-07 08:34:40:574,242514,242514,0,0,112930812728,1185379584,240307,1947,260,372,391756,0 16,2,2024-09-07 08:34:41:447,174140,174140,0,0,9139126,0,4719 16,3,2024-09-07 08:34:41:172,1,138,1,0,231,1997,138,0 17,0,2024-09-07 08:34:41:873,33928,0.4,33050,0.6,64909,0.4,87641,1.75 17,1,2024-09-07 08:34:40:601,241894,241894,0,0,113518087637,1199280379,238546,2677,671,368,391688,0 17,2,2024-09-07 08:34:41:668,175354,175354,0,0,8186257,0,2857 17,3,2024-09-07 08:34:40:581,1,138,14,0,268,2385,138,0 18,0,2024-09-07 08:34:40:945,33047,1.0,33468,1.0,66981,1.2,89702,2.50 18,1,2024-09-07 08:34:41:642,241998,241998,0,0,113337439350,1181149808,240006,1758,234,368,391564,0 18,2,2024-09-07 08:34:41:755,171020,171020,0,0,8085954,0,3541 18,3,2024-09-07 08:34:40:896,1,138,1,0,163,1674,138,0 19,0,2024-09-07 08:34:41:555,36774,1.0,37208,1.0,73357,1.1,97750,3.00 19,1,2024-09-07 08:34:40:569,242740,242740,0,0,113448285772,1189165494,238927,3097,716,367,391777,0 19,2,2024-09-07 08:34:41:757,177018,177018,0,0,7425240,0,3988 19,3,2024-09-07 08:34:41:138,1,138,2,0,524,1443,138,0 20,0,2024-09-07 08:34:41:414,32577,0.8,32473,0.9,65020,0.9,86958,2.50 20,1,2024-09-07 08:34:40:579,242067,242067,0,0,113380336567,1195372348,238639,3039,389,369,391822,0 20,2,2024-09-07 08:34:40:959,175948,175948,0,0,8448034,0,3721 20,3,2024-09-07 08:34:40:599,1,138,2,0,414,2767,138,0 21,0,2024-09-07 08:34:41:182,33035,0.4,32957,0.5,65660,0.3,86875,1.75 21,1,2024-09-07 08:34:41:548,241449,241449,0,0,112507426256,1190755680,237828,3090,531,368,391962,0 21,2,2024-09-07 08:34:41:125,174036,174036,0,0,9097503,0,3747 21,3,2024-09-07 08:34:41:419,1,138,1,0,103,1866,138,0 22,0,2024-09-07 08:34:41:727,33013,0.8,33073,0.9,65773,0.9,88037,2.25 22,1,2024-09-07 08:34:41:024,241646,241646,0,0,112870309201,1198765394,236144,3993,1509,382,391667,0 22,2,2024-09-07 08:34:40:766,170395,170395,0,0,7753986,0,3134 22,3,2024-09-07 08:34:41:069,1,138,1,0,228,1554,138,0 23,0,2024-09-07 08:34:41:389,36452,0.9,36650,0.9,73605,0.9,98706,2.50 23,1,2024-09-07 08:34:41:007,242560,242560,0,0,112844958346,1187636144,238466,2612,1482,365,391549,0 23,2,2024-09-07 08:34:41:092,175774,175774,0,0,8113237,0,3010 23,3,2024-09-07 08:34:41:754,1,138,1,0,645,1730,138,0 24,0,2024-09-07 08:34:40:843,33970,0.6,33971,0.7,68042,0.6,90017,1.75 24,1,2024-09-07 08:34:40:601,242378,242378,0,0,113347066664,1191619513,239435,2144,799,369,391640,0 24,2,2024-09-07 08:34:41:088,175516,175516,0,0,9495258,0,2942 24,3,2024-09-07 08:34:41:705,1,138,9,0,234,1708,138,0 25,0,2024-09-07 08:34:41:405,35045,0.4,34135,0.6,67005,0.3,91024,1.75 25,1,2024-09-07 08:34:40:561,242274,242274,0,0,113488405353,1197637754,238599,3133,542,371,391788,0 25,2,2024-09-07 08:34:41:647,173529,173529,0,0,9513361,0,3978 25,3,2024-09-07 08:34:41:018,1,138,8,0,158,1621,138,0 26,0,2024-09-07 08:34:41:727,33572,0.4,32906,0.6,69014,0.3,89787,1.75 26,1,2024-09-07 08:34:41:553,242521,242521,0,0,112537365989,1189080482,237862,3758,901,381,391564,0 26,2,2024-09-07 08:34:40:861,170999,170999,0,0,8510820,0,2809 26,3,2024-09-07 08:34:41:714,1,138,12,0,796,2145,138,0 27,0,2024-09-07 08:34:41:731,36429,0.6,36493,0.7,72280,0.6,97220,1.75 27,1,2024-09-07 08:34:41:679,243456,243456,0,0,114084413549,1188932714,241640,1493,323,381,391539,0 27,2,2024-09-07 08:34:40:870,173027,173027,0,0,9404255,0,3409 27,3,2024-09-07 08:34:41:018,1,138,5,0,564,1882,138,0 28,0,2024-09-07 08:34:41:390,35154,1.0,35509,0.9,71240,1.3,94718,2.75 28,1,2024-09-07 08:34:40:802,242465,242465,0,0,114447957374,1197397294,240306,1823,336,383,391646,0 28,2,2024-09-07 08:34:41:773,177007,177007,0,0,7371474,0,2915 28,3,2024-09-07 08:34:41:805,1,138,0,0,502,1938,138,0 29,0,2024-09-07 08:34:41:368,35749,0.4,34947,0.6,68402,0.3,93659,1.75 29,1,2024-09-07 08:34:41:568,243594,243594,0,0,113331491955,1179675415,241168,1883,543,369,391621,0 29,2,2024-09-07 08:34:40:862,175029,175029,0,0,7190233,0,4018 29,3,2024-09-07 08:34:40:963,1,138,1,0,105,1176,138,0 30,0,2024-09-07 08:34:41:476,33178,0.6,32326,0.8,67636,0.5,88945,2.25 30,1,2024-09-07 08:34:40:573,243020,243020,0,0,113715174786,1185944201,241037,1705,278,382,391672,0 30,2,2024-09-07 08:34:41:277,173896,173896,0,0,7268805,0,3161 30,3,2024-09-07 08:34:40:580,1,138,1,0,195,1145,138,0 31,0,2024-09-07 08:34:41:773,34736,0.7,34956,0.8,70507,0.7,93518,2.00 31,1,2024-09-07 08:34:40:565,244144,244144,0,0,113944406872,1171370771,243345,688,111,356,391553,0 31,2,2024-09-07 08:34:41:284,171883,171883,0,0,8939536,0,3525 31,3,2024-09-07 08:34:41:705,1,138,1,0,220,1147,138,0 32,0,2024-09-07 08:34:41:425,37112,0.6,37577,0.7,74951,0.5,100215,1.75 32,1,2024-09-07 08:34:40:805,243373,243373,0,0,113920943922,1187029442,241634,1464,275,382,391595,0 32,2,2024-09-07 08:34:40:936,176462,176462,0,0,7190150,0,3155 32,3,2024-09-07 08:34:41:019,1,138,2,0,227,1402,138,0 33,0,2024-09-07 08:34:41:497,33860,0.4,33314,0.6,67366,0.3,89894,1.75 33,1,2024-09-07 08:34:40:582,243531,243531,0,0,113893879127,1184156209,241273,2086,172,369,391730,0 33,2,2024-09-07 08:34:40:758,175246,175213,33,0,9064342,0,7012 33,3,2024-09-07 08:34:40:903,1,138,2,0,329,1794,138,0 34,0,2024-09-07 08:34:40:944,32476,0.3,33567,0.5,64412,0.2,86298,1.75 34,1,2024-09-07 08:34:41:064,243655,243655,0,0,114263682080,1180180832,242660,989,6,367,391562,0 34,2,2024-09-07 08:34:40:766,173522,173522,0,0,8107934,0,3577 34,3,2024-09-07 08:34:41:697,1,138,2,0,148,935,138,0 35,0,2024-09-07 08:34:40:862,32945,0.5,33338,0.6,66477,0.5,88718,1.75 35,1,2024-09-07 08:34:41:089,242861,242861,0,0,113584233974,1185066393,240064,2027,770,384,391589,0 35,2,2024-09-07 08:34:41:591,170436,170436,0,0,7196363,0,2653 35,3,2024-09-07 08:34:40:912,1,138,10,0,418,1914,138,0 36,0,2024-09-07 08:34:41:525,37455,1.3,37276,1.1,75171,1.6,100139,2.75 36,1,2024-09-07 08:34:40:583,241973,241973,0,0,113144976381,1192381294,237363,3322,1288,366,391759,0 36,2,2024-09-07 08:34:41:759,175558,175558,0,0,8809812,0,3303 36,3,2024-09-07 08:34:40:862,1,138,10,0,378,1735,138,0 37,0,2024-09-07 08:34:41:379,31874,0.6,31993,0.8,63627,0.5,85692,2.00 37,1,2024-09-07 08:34:40:573,241530,241523,0,7,113565719478,1201778442,236768,2738,2017,365,391518,0 37,2,2024-09-07 08:34:41:151,176082,176067,15,0,8877238,0,5815 37,3,2024-09-07 08:34:41:770,1,138,3,0,724,2430,138,0 38,0,2024-09-07 08:34:41:471,33199,0.4,32164,0.6,67158,0.3,88091,2.00 38,1,2024-09-07 08:34:41:629,243153,243153,0,0,113424105123,1192473930,239142,3379,632,368,391821,0 38,2,2024-09-07 08:34:40:767,174301,174254,47,0,10580102,0,6710 38,3,2024-09-07 08:34:41:000,1,138,1,0,603,2075,138,0 39,0,2024-09-07 08:34:41:786,34277,0.7,33497,0.7,65521,0.7,89123,2.00 39,1,2024-09-07 08:34:40:715,242536,242536,0,0,112805052173,1183397195,238750,2985,801,365,391524,0 39,2,2024-09-07 08:34:41:418,170562,170562,0,0,7659701,0,2689 39,3,2024-09-07 08:34:40:712,1,138,0,0,276,2020,138,0 40,0,2024-09-07 08:34:41:544,36158,1.1,36752,1.4,72894,1.3,97979,3.25 40,1,2024-09-07 08:34:40:579,242342,242342,0,0,112784914173,1187989640,237936,3638,768,368,391591,0 40,2,2024-09-07 08:34:41:303,174744,174743,1,0,9727219,0,5137 40,3,2024-09-07 08:34:41:174,1,138,4,0,181,1482,138,0 41,0,2024-09-07 08:34:41:050,33563,2.5,34197,2.0,65739,3.8,89901,4.50 41,1,2024-09-07 08:34:40:771,242347,242347,0,0,113472753018,1194632259,238159,3657,531,370,391742,0 41,2,2024-09-07 08:34:40:760,175355,175355,0,0,9517171,0,3356 41,3,2024-09-07 08:34:41:676,1,138,1,0,366,1919,138,0 42,0,2024-09-07 08:34:41:477,34362,0.6,34717,0.8,69332,0.5,91400,2.25 42,1,2024-09-07 08:34:41:440,241174,241174,0,0,112913521800,1194410817,236478,3738,958,380,391675,0 42,2,2024-09-07 08:34:41:142,174034,174034,0,0,9238326,0,3568 42,3,2024-09-07 08:34:41:011,1,138,1,0,446,1377,138,0 43,0,2024-09-07 08:34:40:923,33967,0.7,33245,0.9,69018,0.7,90774,2.00 43,1,2024-09-07 08:34:40:577,242329,242329,0,0,114179674810,1196102160,239090,2446,793,366,391604,0 43,2,2024-09-07 08:34:41:740,172443,172443,0,0,8581718,0,3812 43,3,2024-09-07 08:34:41:762,1,138,5,0,325,2074,138,0 44,0,2024-09-07 08:34:40:899,36048,0.6,35903,0.8,71990,0.6,96579,2.00 44,1,2024-09-07 08:34:40:564,242850,242850,0,0,113311328355,1176378898,240793,1632,425,356,391809,0 44,2,2024-09-07 08:34:41:268,172543,172543,0,0,7160842,0,1877 44,3,2024-09-07 08:34:41:135,1,138,1,0,817,1980,138,0 45,0,2024-09-07 08:34:41:787,36154,1.6,35399,1.3,74422,2.2,98252,2.50 45,1,2024-09-07 08:34:41:009,242802,242802,0,0,114313194533,1188184808,241763,1029,10,382,391917,0 45,2,2024-09-07 08:34:41:269,177214,177214,0,0,7755701,0,3596 45,3,2024-09-07 08:34:40:963,1,138,1,0,226,1374,138,0 46,0,2024-09-07 08:34:40:955,34191,0.4,34168,0.6,68523,0.3,91102,2.00 46,1,2024-09-07 08:34:40:583,243394,243394,0,0,113818942458,1181452195,241590,1582,222,366,391524,0 46,2,2024-09-07 08:34:40:597,175610,175610,0,0,7654418,0,2920 46,3,2024-09-07 08:34:41:141,1,138,3,0,908,2857,138,0 47,0,2024-09-07 08:34:41:111,32866,0.3,33055,0.5,66346,0.2,87302,1.75 47,1,2024-09-07 08:34:40:568,243327,243327,0,0,112971154935,1170568930,241931,1379,17,366,391605,0 47,2,2024-09-07 08:34:40:913,174947,174947,0,0,7902057,0,2558 47,3,2024-09-07 08:34:41:143,1,138,2,0,529,1681,138,0 48,0,2024-09-07 08:34:41:502,33948,0.3,33582,0.5,67516,0.2,90266,1.75 48,1,2024-09-07 08:34:41:029,243189,243189,0,0,113758979052,1186486972,241131,1878,180,384,391710,0 48,2,2024-09-07 08:34:40:702,171004,171004,0,0,6549178,0,2083 48,3,2024-09-07 08:34:40:753,1,138,1,0,339,1369,138,0 49,0,2024-09-07 08:34:41:733,38075,0.5,37474,0.7,72865,0.5,99587,1.75 49,1,2024-09-07 08:34:41:024,241970,241970,0,0,113437633499,1187845353,239237,1893,840,382,391583,0 49,2,2024-09-07 08:34:41:804,176965,176965,0,0,7650245,0,3900 49,3,2024-09-07 08:34:41:416,1,138,1,0,408,1787,138,0 50,0,2024-09-07 08:34:41:511,32669,0.4,32135,0.6,64503,0.3,86883,1.75 50,1,2024-09-07 08:34:41:013,243730,243730,0,0,114060449016,1187439113,241206,2215,309,368,391530,0 50,2,2024-09-07 08:34:41:068,175507,175507,0,0,7270772,0,2253 50,3,2024-09-07 08:34:41:302,1,138,3,0,335,1285,138,0 51,0,2024-09-07 08:34:41:694,33514,0.3,32933,0.4,64127,0.2,87312,1.50 51,1,2024-09-07 08:34:41:681,242905,242905,0,0,114547001706,1188421851,240938,1181,786,367,391637,0 51,2,2024-09-07 08:34:41:317,174130,174130,0,0,6911886,0,3337 51,3,2024-09-07 08:34:41:037,1,138,1,0,162,851,138,0 52,0,2024-09-07 08:34:41:423,33260,0.6,33346,0.8,66911,0.6,88549,2.25 52,1,2024-09-07 08:34:40:586,241579,241579,0,0,112960826236,1197389414,235923,4502,1154,368,391722,0 52,2,2024-09-07 08:34:41:759,168693,168655,38,0,9351997,0,6742 52,3,2024-09-07 08:34:40:677,1,138,2,0,1782,3429,138,0 53,0,2024-09-07 08:34:41:758,36511,1.1,35497,1.1,74265,1.3,98035,3.00 53,1,2024-09-07 08:34:40:770,241248,241248,0,0,113718597702,1207197227,235632,3722,1894,367,391702,0 53,2,2024-09-07 08:34:41:303,176350,176350,0,0,7705262,0,2262 53,3,2024-09-07 08:34:40:700,1,138,1,0,133,1172,138,0 54,0,2024-09-07 08:34:41:628,32387,3.1,32856,1.6,64915,1.7,87154,4.50 54,1,2024-09-07 08:34:40:581,242516,242516,0,0,113989854463,1195869351,238582,3363,571,367,391532,0 54,2,2024-09-07 08:34:40:866,176248,176242,6,0,9337740,0,5382 54,3,2024-09-07 08:34:40:767,1,138,2,0,676,2786,138,0 55,0,2024-09-07 08:34:41:774,32870,0.5,33779,0.8,68651,0.4,88926,2.50 55,1,2024-09-07 08:34:40:768,241673,241673,0,0,112379255867,1183711909,236488,4398,787,367,391731,0 55,2,2024-09-07 08:34:40:729,173981,173981,0,0,9084396,0,3275 55,3,2024-09-07 08:34:40:677,1,138,1,0,304,1627,138,0 56,0,2024-09-07 08:34:41:553,34736,1.3,32879,1.1,67350,1.8,90080,2.50 56,1,2024-09-07 08:34:40:581,242046,242046,0,0,113445592731,1207645812,236924,4219,903,382,391678,0 56,2,2024-09-07 08:34:41:310,170651,170651,0,0,9613041,0,3567 56,3,2024-09-07 08:34:41:099,1,138,2,0,405,2123,138,0 57,0,2024-09-07 08:34:40:965,35405,2.9,35377,1.7,70836,4.5,95197,4.25 57,1,2024-09-07 08:34:41:014,242140,242140,0,0,113297146407,1190993145,239325,2645,170,368,391773,0 57,2,2024-09-07 08:34:41:321,174655,174655,0,0,9890984,0,3178 57,3,2024-09-07 08:34:41:743,1,138,8,0,359,2612,138,0 58,0,2024-09-07 08:34:40:560,34278,1.7,33340,1.3,69986,2.8,91350,3.25 58,1,2024-09-07 08:34:40:587,242861,242858,0,3,114151000825,1197667516,239346,3116,396,369,391516,3 58,2,2024-09-07 08:34:41:084,176454,176454,0,0,9006664,0,2549 58,3,2024-09-07 08:34:41:067,1,138,1,0,219,1206,138,0 59,0,2024-09-07 08:34:41:766,34996,0.9,34703,1.0,69451,0.9,92401,3.00 59,1,2024-09-07 08:34:40:806,242526,242526,0,0,112874403758,1187211564,238880,2724,922,369,391515,0 59,2,2024-09-07 08:34:40:583,175544,175544,0,0,7846759,0,2604 59,3,2024-09-07 08:34:41:743,1,138,15,0,1015,2845,138,0 60,0,2024-09-07 08:34:41:740,33594,0.4,33664,0.6,66764,0.4,88914,1.75 60,1,2024-09-07 08:34:40:790,243740,243740,0,0,113848412178,1183999257,242288,1096,356,370,391761,0 60,2,2024-09-07 08:34:41:143,172853,172853,0,0,8928625,0,3811 60,3,2024-09-07 08:34:41:264,1,138,4,0,124,1498,138,0 61,0,2024-09-07 08:34:41:490,34817,1.8,35058,1.2,70053,2.6,93678,2.50 61,1,2024-09-07 08:34:40:771,242382,242382,0,0,113966179189,1199182705,239239,2605,538,382,391589,0 61,2,2024-09-07 08:34:41:132,172734,172734,0,0,7719484,0,2079 61,3,2024-09-07 08:34:41:688,1,138,1,0,199,1820,138,0 62,0,2024-09-07 08:34:41:715,37404,1.0,38223,1.0,73105,1.3,99578,2.25 62,1,2024-09-07 08:34:41:119,244089,244083,0,6,114027700909,1180211797,242405,1639,39,365,391715,6 62,2,2024-09-07 08:34:41:645,175150,175149,1,0,9122145,0,5555 62,3,2024-09-07 08:34:41:145,1,138,1,0,287,1132,138,0 63,0,2024-09-07 08:34:41:464,33468,0.6,33441,0.7,67053,0.6,89447,2.00 63,1,2024-09-07 08:34:40:807,243656,243650,0,6,114211798730,1186413771,242413,1211,26,381,391542,6 63,2,2024-09-07 08:34:40:768,175052,175052,0,0,7287893,0,2674 63,3,2024-09-07 08:34:41:743,1,138,1,0,667,2352,138,0 64,0,2024-09-07 08:34:41:530,32428,0.4,32341,0.6,64790,0.3,86335,1.75 64,1,2024-09-07 08:34:40:761,243014,243014,0,0,113439758917,1191180124,239555,2407,1052,371,391783,0 64,2,2024-09-07 08:34:41:149,175392,175373,19,0,8098845,0,6121 64,3,2024-09-07 08:34:41:148,1,138,1,0,265,1731,138,0 65,0,2024-09-07 08:34:41:687,32534,1.2,33155,1.0,65980,1.6,87918,2.50 65,1,2024-09-07 08:34:40:866,242271,242271,0,0,112919707376,1186016142,239830,2219,222,382,391770,0 65,2,2024-09-07 08:34:41:704,171093,171093,0,0,8415683,0,3367 65,3,2024-09-07 08:34:41:697,1,138,1,0,163,1513,138,0 66,0,2024-09-07 08:34:41:812,37094,0.9,37068,1.0,74638,1.1,99344,2.50 66,1,2024-09-07 08:34:41:293,243355,243355,0,0,113935713449,1187872681,241485,1699,171,380,391588,0 66,2,2024-09-07 08:34:41:139,177705,177705,0,0,7790638,0,4956 66,3,2024-09-07 08:34:41:089,1,138,1,0,291,1653,138,0 67,0,2024-09-07 08:34:41:419,32271,1.0,32189,1.1,64434,1.4,85818,2.50 67,1,2024-09-07 08:34:40:767,242303,242302,0,1,113555439467,1193022538,238850,2706,746,381,391787,1 67,2,2024-09-07 08:34:40:583,176688,176688,0,0,7397599,0,2889 67,3,2024-09-07 08:34:41:756,1,138,1,0,138,1237,138,0 68,0,2024-09-07 08:34:40:578,33546,0.5,33414,0.7,66661,0.4,88302,2.00 68,1,2024-09-07 08:34:40:575,241658,241658,0,0,112904842737,1190036739,238820,1821,1017,381,391953,0 68,2,2024-09-07 08:34:41:084,173023,172958,65,0,10843837,0,6698 68,3,2024-09-07 08:34:40:728,1,138,2,0,417,1936,138,0 69,0,2024-09-07 08:34:41:741,33284,0.9,33591,0.9,66581,1.1,88687,2.25 69,1,2024-09-07 08:34:41:036,241123,241123,0,0,113017222391,1201567871,237136,2773,1214,384,391611,0 69,2,2024-09-07 08:34:41:734,170346,170346,0,0,9096222,0,3701 69,3,2024-09-07 08:34:40:768,1,138,13,0,238,1815,138,0 70,0,2024-09-07 08:34:41:553,36183,2.6,36365,1.7,72922,2.0,97377,3.75 70,1,2024-09-07 08:34:40:803,243018,243018,0,0,113758978834,1187015075,240606,1950,462,366,391725,0 70,2,2024-09-07 08:34:41:330,174877,174877,0,0,8629574,0,4044 70,3,2024-09-07 08:34:40:759,1,138,4,0,854,1821,138,0 71,0,2024-09-07 08:34:41:368,33564,2.6,33613,1.9,66786,4.1,90112,4.00 71,1,2024-09-07 08:34:41:602,242641,242641,0,0,113492132840,1194203293,238535,3583,523,368,391682,0 71,2,2024-09-07 08:34:41:068,177175,177175,0,0,8610949,0,2470 71,3,2024-09-07 08:34:41:761,1,138,1,0,644,2502,138,0 72,0,2024-09-07 08:34:41:035,36121,0.5,35280,0.7,69119,0.4,93510,2.25 72,1,2024-09-07 08:34:41:029,242521,242521,0,0,113430408635,1189327837,239279,2756,486,370,391819,0 72,2,2024-09-07 08:34:41:756,173641,173641,0,0,10127175,0,2570 72,3,2024-09-07 08:34:41:767,1,138,5,0,325,2315,138,0 73,0,2024-09-07 08:34:41:167,33208,0.4,33994,0.6,69661,0.3,90503,2.25 73,1,2024-09-07 08:34:40:774,242751,242751,0,0,113686459381,1183774787,240949,1687,115,368,391627,0 73,2,2024-09-07 08:34:41:744,172442,172442,0,0,9363204,0,3482 73,3,2024-09-07 08:34:40:976,1,138,12,0,274,2435,138,0 74,0,2024-09-07 08:34:41:324,36142,0.6,36738,0.9,70299,0.6,96171,2.50 74,1,2024-09-07 08:34:40:649,242963,242963,0,0,113080204504,1185215846,240181,2162,620,382,391681,0 74,2,2024-09-07 08:34:41:002,172739,172739,0,0,9346510,0,4253 74,3,2024-09-07 08:34:41:445,1,138,0,0,522,2639,138,0 75,0,2024-09-07 08:34:41:777,36788,1.7,36565,1.3,73263,2.3,98450,3.00 75,1,2024-09-07 08:34:41:595,242139,242139,0,0,113370681761,1193093618,238756,2923,460,381,391579,0 75,2,2024-09-07 08:34:41:361,175970,175970,0,0,8893629,0,4766 75,3,2024-09-07 08:34:41:067,1,138,1,0,535,1706,138,0 76,0,2024-09-07 08:34:40:731,34136,0.4,33948,0.7,67776,0.4,91160,2.25 76,1,2024-09-07 08:34:40:819,242570,242570,0,0,113243319044,1186179204,240511,1549,510,382,391692,0 76,2,2024-09-07 08:34:41:071,175792,175792,0,0,7579919,0,3064 76,3,2024-09-07 08:34:41:181,1,138,1,0,175,1610,138,0 77,0,2024-09-07 08:34:41:700,32877,0.5,33106,0.7,66221,0.5,87323,2.00 77,1,2024-09-07 08:34:40:837,243229,243229,0,0,113413082824,1186264245,241646,1501,82,383,391808,0 77,2,2024-09-07 08:34:41:292,173635,173635,0,0,7991962,0,3890 77,3,2024-09-07 08:34:41:100,1,138,6,0,305,1912,138,0 78,0,2024-09-07 08:34:41:729,33587,0.6,33618,0.8,67678,0.6,89841,2.00 78,1,2024-09-07 08:34:40:609,242852,242852,0,0,112811673571,1178062186,240584,2017,251,367,391589,0 78,2,2024-09-07 08:34:41:405,172005,172005,0,0,7369436,0,2114 78,3,2024-09-07 08:34:41:140,1,138,1,0,181,1566,138,0 79,0,2024-09-07 08:34:41:364,36069,0.6,36753,0.8,75325,0.6,98260,2.75 79,1,2024-09-07 08:34:40:577,243439,243439,0,0,113412849332,1179570523,241259,2009,171,369,391682,0 79,2,2024-09-07 08:34:41:090,177303,177303,0,0,7692260,0,3212 79,3,2024-09-07 08:34:40:750,1,138,64,0,418,2756,138,0 80,0,2024-09-07 08:34:41:133,32400,0.7,33165,0.9,63908,0.8,86928,2.25 80,1,2024-09-07 08:34:41:634,241935,241935,0,0,113445580639,1186206108,239428,2348,159,368,391673,0 80,2,2024-09-07 08:34:41:111,176460,176460,0,0,8087230,0,4433 80,3,2024-09-07 08:34:40:575,1,138,35,0,190,2503,138,0 81,0,2024-09-07 08:34:41:581,32807,0.5,33782,0.6,64693,0.4,86959,1.75 81,1,2024-09-07 08:34:41:667,242469,242469,0,0,112775918086,1183874615,239994,2203,272,382,391879,0 81,2,2024-09-07 08:34:41:124,173878,173878,0,0,8565353,0,3993 81,3,2024-09-07 08:34:41:122,1,138,1,0,193,1488,138,0 82,0,2024-09-07 08:34:41:537,32996,0.6,33129,0.8,66537,0.6,88677,2.00 82,1,2024-09-07 08:34:40:583,242572,242568,0,4,113545677133,1188520325,240130,1862,576,382,391558,4 82,2,2024-09-07 08:34:41:698,171194,171194,0,0,7718048,0,3986 82,3,2024-09-07 08:34:41:754,1,138,10,0,227,1778,138,0 83,0,2024-09-07 08:34:41:537,36892,0.9,36746,0.9,72712,1.0,97983,2.25 83,1,2024-09-07 08:34:40:556,242802,242802,0,0,113134302723,1185774924,240381,2218,203,382,391584,0 83,2,2024-09-07 08:34:40:770,175713,175713,0,0,7661555,0,3119 83,3,2024-09-07 08:34:40:757,1,138,4,0,133,1455,138,0 84,0,2024-09-07 08:34:41:819,33128,1.9,32762,1.6,65938,1.6,88487,3.00 84,1,2024-09-07 08:34:41:049,241795,241795,0,0,113261996637,1192445923,238421,2920,454,368,391852,0 84,2,2024-09-07 08:34:40:592,176342,176342,0,0,8977281,0,3801 84,3,2024-09-07 08:34:41:142,1,138,5,0,236,1592,138,0 85,0,2024-09-07 08:34:41:020,32877,0.5,32879,0.8,69692,0.4,90030,2.25 85,1,2024-09-07 08:34:40:574,241423,241423,0,0,112714359207,1201564796,236032,4315,1076,382,391679,0 85,2,2024-09-07 08:34:40:865,174832,174832,0,0,9728635,0,3656 85,3,2024-09-07 08:34:40:688,1,138,31,0,115,1471,138,0 86,0,2024-09-07 08:34:40:992,33876,0.6,34878,0.7,66875,0.6,89906,2.00 86,1,2024-09-07 08:34:40:833,242321,242321,0,0,113243549351,1192766696,238384,3394,543,366,391961,0 86,2,2024-09-07 08:34:40:878,169971,169970,1,0,9804198,0,5004 86,3,2024-09-07 08:34:40:615,1,138,2,0,286,2227,138,0 87,0,2024-09-07 08:34:41:340,35981,2.0,36074,1.3,71890,3.0,97110,2.50 87,1,2024-09-07 08:34:40:551,241546,241546,0,0,113341722677,1193580164,238344,2811,391,366,391788,0 87,2,2024-09-07 08:34:41:107,174163,174163,0,0,8276742,0,3515 87,3,2024-09-07 08:34:41:811,1,138,0,0,335,2609,138,0 88,0,2024-09-07 08:34:41:459,35561,0.8,35664,0.8,71177,0.9,94799,2.00 88,1,2024-09-07 08:34:40:574,241411,241411,0,0,113582084003,1198587110,237403,2844,1164,367,391787,0 88,2,2024-09-07 08:34:40:694,176547,176547,0,0,9611101,0,3583 88,3,2024-09-07 08:34:41:267,1,138,2,1,435,2037,138,0 89,0,2024-09-07 08:34:41:792,36015,0.5,34900,0.7,69102,0.4,93944,1.75 89,1,2024-09-07 08:34:40:554,240908,240908,0,0,113199431196,1204658793,235498,4477,933,382,391866,0 89,2,2024-09-07 08:34:41:137,174503,174503,0,0,9352067,0,2910 89,3,2024-09-07 08:34:41:805,1,138,8,0,325,3001,138,0 90,0,2024-09-07 08:34:41:660,32416,0.4,33097,0.6,68058,0.4,88781,1.75 90,1,2024-09-07 08:34:40:607,242547,242547,0,0,112726712224,1189222746,239337,2955,255,382,391825,0 90,2,2024-09-07 08:34:41:423,171783,171783,0,0,10042751,0,3060 90,3,2024-09-07 08:34:40:983,1,138,29,1,200,1742,138,0 91,0,2024-09-07 08:34:40:946,35497,0.5,34197,0.7,71321,0.6,94192,1.75 91,1,2024-09-07 08:34:40:558,242173,242173,0,0,113990262211,1202838070,238448,3230,495,381,391960,0 91,2,2024-09-07 08:34:41:353,170872,170872,0,0,9450280,0,2445 91,3,2024-09-07 08:34:40:606,1,138,216,0,216,1818,138,0 92,0,2024-09-07 08:34:41:591,37596,0.7,38538,0.8,73727,0.8,100197,2.00 92,1,2024-09-07 08:34:40:581,242574,242574,0,0,113556463770,1190624020,240423,1751,400,382,391717,0 92,2,2024-09-07 08:34:41:378,178141,178141,0,0,7757331,0,2279 92,3,2024-09-07 08:34:41:043,1,138,7,0,68,1274,138,0 93,0,2024-09-07 08:34:41:001,33736,0.5,34489,0.7,66032,0.4,89913,1.75 93,1,2024-09-07 08:34:40:807,242354,242354,0,0,113496342340,1191169501,239260,2545,549,366,391689,0 93,2,2024-09-07 08:34:40:931,175037,175037,0,0,7783160,0,2509 93,3,2024-09-07 08:34:41:411,1,138,1,0,143,1559,138,0 94,0,2024-09-07 08:34:41:654,32586,0.3,32841,0.5,65101,0.3,86139,1.75 94,1,2024-09-07 08:34:40:564,242363,242363,0,0,113001364444,1187090491,239936,2335,92,381,391850,0 94,2,2024-09-07 08:34:40:774,174224,174224,0,0,7795186,0,2443 94,3,2024-09-07 08:34:41:695,1,138,4,0,264,2267,138,0 95,0,2024-09-07 08:34:41:388,33390,0.4,33270,0.6,66841,0.4,88677,1.75 95,1,2024-09-07 08:34:40:853,242863,242863,0,0,113843747237,1188797046,240506,2178,179,367,391600,0 95,2,2024-09-07 08:34:41:030,170605,170605,0,0,7898186,0,3308 95,3,2024-09-07 08:34:41:732,1,138,3,0,718,2907,138,0 96,0,2024-09-07 08:34:41:032,37577,0.7,37651,0.7,75343,0.7,99958,1.75 96,1,2024-09-07 08:34:41:586,242288,242288,0,0,112936871896,1186613748,239699,1948,641,385,391894,0 96,2,2024-09-07 08:34:41:268,176074,176074,0,0,8335051,0,4042 96,3,2024-09-07 08:34:41:172,1,138,3,0,188,1626,138,0 97,0,2024-09-07 08:34:41:322,32382,0.5,32369,0.6,64681,0.5,85839,1.75 97,1,2024-09-07 08:34:40:769,242518,242518,0,0,113785069789,1188204448,239754,2216,548,367,392140,0 97,2,2024-09-07 08:34:40:611,176057,176057,0,0,7681859,0,3036 97,3,2024-09-07 08:34:40:572,1,138,1,1,165,1670,138,0 98,0,2024-09-07 08:34:41:694,33484,0.2,33306,0.4,67009,0.2,88686,1.50 98,1,2024-09-07 08:34:40:571,242825,242825,0,0,113762205293,1189956268,241025,1714,86,382,391997,0 98,2,2024-09-07 08:34:40:771,175240,175240,0,0,8097242,0,3080 98,3,2024-09-07 08:34:40:701,1,138,6,1,840,2889,138,0 99,0,2024-09-07 08:34:41:465,33482,0.3,33497,0.5,67001,0.3,88930,1.75 99,1,2024-09-07 08:34:41:734,242688,242688,0,0,112970257080,1184748764,239645,2349,694,381,391744,0 99,2,2024-09-07 08:34:41:425,172308,172308,0,0,7555262,0,2615 99,3,2024-09-07 08:34:40:586,1,138,2,0,129,1046,138,0 100,0,2024-09-07 08:34:41:473,36385,1.6,36515,1.6,73056,2.7,98110,2.75 100,1,2024-09-07 08:34:40:550,240760,240760,0,0,112483912410,1199891415,235583,4246,931,381,391989,0 100,2,2024-09-07 08:34:41:824,174292,174281,11,0,9112415,0,5417 100,3,2024-09-07 08:34:41:732,1,138,1,0,559,3422,138,0 101,0,2024-09-07 08:34:41:717,34597,3.5,33644,1.9,66146,4.4,91273,3.50 101,1,2024-09-07 08:34:40:551,241138,241138,0,0,113189797163,1204730795,235794,3868,1476,368,391769,0 101,2,2024-09-07 08:34:41:759,176278,176278,0,0,10135055,0,4644 101,3,2024-09-07 08:34:40:961,1,138,0,0,448,1958,138,0 102,0,2024-09-07 08:34:40:959,34144,0.6,35469,0.8,71052,0.5,92886,2.00 102,1,2024-09-07 08:34:41:146,241744,241744,0,0,112713810814,1193248545,237686,3347,711,369,391831,0 102,2,2024-09-07 08:34:41:743,175290,175236,54,0,9638864,0,6768 102,3,2024-09-07 08:34:41:624,1,138,9,0,410,1625,138,0 103,0,2024-09-07 08:34:41:620,35027,0.4,35030,0.6,66045,0.4,90900,1.75 103,1,2024-09-07 08:34:41:630,241241,241241,0,0,113204966522,1200031349,236857,3176,1208,381,391829,0 103,2,2024-09-07 08:34:40:582,171190,171190,0,0,8394678,0,2104 103,3,2024-09-07 08:34:40:757,1,138,3,0,916,2851,138,0 104,0,2024-09-07 08:34:41:028,35440,2.1,35652,1.4,70209,3.2,95999,2.75 104,1,2024-09-07 08:34:41:615,242669,242669,0,0,113255615465,1201445088,237685,4026,958,366,391948,0 104,2,2024-09-07 08:34:41:669,172128,172128,0,0,9009554,0,3941 104,3,2024-09-07 08:34:41:421,1,138,1,1,1245,4949,138,0 105,0,2024-09-07 08:34:41:041,36297,2.1,35264,1.6,73455,3.0,97497,4.00 105,1,2024-09-07 08:34:40:562,242767,242767,0,0,113429978669,1196879589,238576,3419,772,367,391797,0 105,2,2024-09-07 08:34:41:329,176156,176156,0,0,8760678,0,3509 105,3,2024-09-07 08:34:41:304,1,138,1,1,399,2233,138,0 106,0,2024-09-07 08:34:41:000,33203,0.7,34052,0.9,69316,0.7,91182,2.25 106,1,2024-09-07 08:34:41:768,241785,241785,0,0,112665092821,1187961468,238034,3367,384,369,391767,0 106,2,2024-09-07 08:34:40:791,174385,174385,0,0,8943070,0,2795 106,3,2024-09-07 08:34:40:677,1,138,3,0,405,2241,138,0 107,0,2024-09-07 08:34:41:116,32994,0.4,32949,0.6,65478,0.3,87500,1.75 107,1,2024-09-07 08:34:40:632,241645,241645,0,0,112548117650,1188312173,238690,2729,226,381,392234,0 107,2,2024-09-07 08:34:41:303,173337,173336,1,0,8971932,0,5024 107,3,2024-09-07 08:34:41:790,1,138,1,0,353,1765,138,0 108,0,2024-09-07 08:34:41:831,33805,0.4,34029,0.6,67291,0.4,90399,1.75 108,1,2024-09-07 08:34:41:309,242191,242191,0,0,113705892904,1191748436,239546,2336,309,368,391857,0 108,2,2024-09-07 08:34:41:779,170591,170591,0,0,8312290,0,2647 108,3,2024-09-07 08:34:41:351,1,138,11,0,288,2160,138,0 109,0,2024-09-07 08:34:42:141,37428,0.5,37235,0.7,74157,0.5,99891,1.75 109,1,2024-09-07 08:34:40:618,241878,241878,0,0,114388620610,1205520637,239115,2270,493,383,391812,0 109,2,2024-09-07 08:34:40:928,175692,175692,0,0,8728068,0,3617 109,3,2024-09-07 08:34:41:141,1,138,49,1,249,2155,138,0 110,0,2024-09-07 08:34:41:751,32651,0.5,31802,0.7,66357,0.4,87197,1.75 110,1,2024-09-07 08:34:41:643,242702,242702,0,0,113403087731,1185552916,240094,1844,764,370,391667,0 110,2,2024-09-07 08:34:41:310,176078,176078,0,0,7717593,0,2915 110,3,2024-09-07 08:34:40:693,1,138,1,0,406,2078,138,0 111,0,2024-09-07 08:34:41:415,32965,0.2,32461,0.4,65007,0.2,87159,1.50 111,1,2024-09-07 08:34:41:000,243188,243188,0,0,114250349629,1189559118,241425,1431,332,382,391690,0 111,2,2024-09-07 08:34:41:116,174297,174297,0,0,8590311,0,2763 111,3,2024-09-07 08:34:40:918,1,138,0,0,379,2481,138,0 112,0,2024-09-07 08:34:40:928,33354,0.3,33252,0.5,66608,0.2,88937,1.50 112,1,2024-09-07 08:34:40:825,243199,243199,0,0,113914531125,1188902169,240874,1900,425,381,391580,0 112,2,2024-09-07 08:34:41:141,170824,170823,1,0,8336448,0,5036 112,3,2024-09-07 08:34:40:599,1,138,3,0,282,1622,138,0 113,0,2024-09-07 08:34:40:888,36647,0.4,36323,0.6,73857,0.4,99047,1.75 113,1,2024-09-07 08:34:41:691,243526,243526,0,0,114225464794,1187316242,241114,1924,488,366,391661,0 113,2,2024-09-07 08:34:41:321,177267,177267,0,0,7348596,0,3813 113,3,2024-09-07 08:34:40:695,1,138,2,0,288,2211,138,0 114,0,2024-09-07 08:34:40:890,33873,0.8,34448,0.8,67314,0.6,90102,2.00 114,1,2024-09-07 08:34:40:716,242733,242733,0,0,113916440741,1198747370,238608,2663,1462,381,391534,0 114,2,2024-09-07 08:34:40:872,176198,176198,0,0,7640579,0,3925 114,3,2024-09-07 08:34:41:283,1,138,1,0,395,1938,138,0 115,0,2024-09-07 08:34:40:556,34024,0.3,34275,0.4,68705,0.2,90826,1.50 115,1,2024-09-07 08:34:40:575,242732,242732,0,0,113610730863,1190225711,239093,2818,821,382,391602,0 115,2,2024-09-07 08:34:41:138,175496,175496,0,0,7169517,0,2152 115,3,2024-09-07 08:34:41:017,1,138,3,0,159,1044,138,0 116,0,2024-09-07 08:34:41:721,34077,0.7,33665,0.8,67704,0.7,89782,2.00 116,1,2024-09-07 08:34:40:803,240860,240860,0,0,112727357126,1205582821,235989,3118,1753,382,391677,0 116,2,2024-09-07 08:34:41:862,169904,169904,0,0,10096399,0,3529 116,3,2024-09-07 08:34:40:918,1,138,1,0,252,2097,138,0 117,0,2024-09-07 08:34:40:969,35969,1.9,36110,1.3,71800,3.0,97037,2.25 117,1,2024-09-07 08:34:41:580,242225,242225,0,0,112355542704,1184799553,238580,3219,426,370,392033,0 117,2,2024-09-07 08:34:41:118,175244,175244,0,0,8062839,0,3700 117,3,2024-09-07 08:34:41:070,1,138,0,0,490,3117,138,0 118,0,2024-09-07 08:34:41:782,34540,1.1,35600,1.0,72297,1.3,94084,2.25 118,1,2024-09-07 08:34:40:586,241806,241806,0,0,112849428010,1198515308,236988,3500,1318,368,391736,0 118,2,2024-09-07 08:34:41:598,176561,176561,0,0,9109146,0,2781 118,3,2024-09-07 08:34:41:802,1,138,1,0,235,1981,138,0 119,0,2024-09-07 08:34:41:340,34595,0.8,34768,0.9,70451,0.8,93017,2.00 119,1,2024-09-07 08:34:40:589,242411,242411,0,0,113824112109,1192775154,239968,2156,287,370,391641,0 119,2,2024-09-07 08:34:41:265,174062,174062,0,0,8623199,0,3526 119,3,2024-09-07 08:34:41:330,1,138,3,0,443,2778,138,0 120,0,2024-09-07 08:34:41:587,33094,0.5,33204,0.7,66228,0.4,88874,2.00 120,1,2024-09-07 08:34:40:867,242550,242550,0,0,113243895508,1194244044,239452,2839,259,368,391961,0 120,2,2024-09-07 08:34:40:770,172251,172250,1,0,10521671,0,5281 120,3,2024-09-07 08:34:41:291,1,138,1,0,241,1947,138,0 121,0,2024-09-07 08:34:41:686,34693,2.0,35213,1.3,70062,3.3,93562,2.50 121,1,2024-09-07 08:34:41:662,242500,242500,0,0,113195584062,1189059861,239781,2431,288,367,391840,0 121,2,2024-09-07 08:34:41:130,170928,170928,0,0,9473697,0,4127 121,3,2024-09-07 08:34:40:727,1,138,1,0,269,1983,138,0 122,0,2024-09-07 08:34:41:784,37028,1.3,36068,1.3,75425,1.5,100433,2.25 122,1,2024-09-07 08:34:40:868,241400,241400,0,0,112497918991,1189229785,237272,3423,705,366,392130,0 122,2,2024-09-07 08:34:41:340,176272,176272,0,0,10642095,0,3364 122,3,2024-09-07 08:34:40:602,1,138,6,0,411,3678,138,0 123,0,2024-09-07 08:34:40:976,33631,0.9,32678,0.9,68323,1.1,89567,2.25 123,1,2024-09-07 08:34:40:562,242913,242913,0,0,113212981472,1199613536,237845,4374,694,369,391823,0 123,2,2024-09-07 08:34:41:020,173630,173629,1,0,9136733,0,5215 123,3,2024-09-07 08:34:41:147,1,138,1,1,168,1914,138,0 124,0,2024-09-07 08:34:40:968,33552,0.3,33529,0.5,63261,0.2,86525,1.50 124,1,2024-09-07 08:34:41:032,242488,242488,0,0,112982029609,1178428889,240416,1718,354,367,392178,0 124,2,2024-09-07 08:34:41:012,174626,174626,0,0,7769131,0,3101 124,3,2024-09-07 08:34:40:766,1,138,0,0,490,2153,138,0 125,0,2024-09-07 08:34:41:448,33437,0.5,33312,0.6,66916,0.5,88799,1.75 125,1,2024-09-07 08:34:40:855,242359,242359,0,0,113843242306,1193603134,240004,2080,275,384,391702,0 125,2,2024-09-07 08:34:41:117,171101,171101,0,0,7522597,0,2180 125,3,2024-09-07 08:34:41:137,1,138,1,1,284,1902,138,0 126,0,2024-09-07 08:34:41:431,37597,0.9,38602,0.8,73807,1.0,100635,2.00 126,1,2024-09-07 08:34:40:575,243059,243059,0,0,113644702862,1182657220,241439,1543,77,365,391987,0 126,2,2024-09-07 08:34:40:624,176825,176825,0,0,7959401,0,3186 126,3,2024-09-07 08:34:40:922,1,138,150,0,150,2173,138,0 127,0,2024-09-07 08:34:41:705,32102,0.5,32399,0.6,64673,0.5,85867,1.75 127,1,2024-09-07 08:34:40:574,242472,242472,0,0,112611379874,1171768713,240424,2008,40,365,391816,0 127,2,2024-09-07 08:34:40:641,176195,176195,0,0,7555104,0,2264 127,3,2024-09-07 08:34:41:267,1,138,1,0,99,1062,138,0 128,0,2024-09-07 08:34:41:530,33666,0.3,33462,0.4,66793,0.2,88656,1.50 128,1,2024-09-07 08:34:41:609,243135,243135,0,0,114058377343,1184377253,242168,895,72,367,391680,0 128,2,2024-09-07 08:34:41:383,174192,174192,0,0,7604383,0,2107 128,3,2024-09-07 08:34:40:769,1,138,1,0,333,2174,138,0 129,0,2024-09-07 08:34:41:030,33722,0.3,33600,0.5,66998,0.3,88861,1.75 129,1,2024-09-07 08:34:40:568,242197,242197,0,0,113250880045,1191363411,239279,2526,392,379,391835,0 129,2,2024-09-07 08:34:40:696,170638,170638,0,0,7750553,0,4031 129,3,2024-09-07 08:34:40:693,1,138,8,1,173,1857,138,0 130,0,2024-09-07 08:34:41:772,37035,0.9,36713,0.9,73749,1.3,99172,2.00 130,1,2024-09-07 08:34:40:610,242866,242866,0,0,113311125454,1185099487,240790,2006,70,381,391825,0 130,2,2024-09-07 08:34:41:136,175289,175289,0,0,7783841,0,4067 130,3,2024-09-07 08:34:41:299,1,138,13,0,207,1312,138,0 131,0,2024-09-07 08:34:41:967,34265,0.7,34398,0.7,69546,0.9,91697,1.75 131,1,2024-09-07 08:34:41:859,243748,243748,0,0,113383649412,1183341730,242293,1249,206,384,391865,0 131,2,2024-09-07 08:34:40:567,177152,177152,0,0,7326588,0,2415 131,3,2024-09-07 08:34:41:713,1,138,4,0,392,1751,138,0 132,0,2024-09-07 08:34:41:428,34671,0.5,35132,0.8,70402,0.4,93286,2.00 132,1,2024-09-07 08:34:40:578,241021,241021,0,0,113180968505,1204370926,235627,4366,1028,381,391760,0 132,2,2024-09-07 08:34:40:704,174435,174435,0,0,10410591,0,4606 132,3,2024-09-07 08:34:41:697,1,138,3,0,356,2708,138,0 133,0,2024-09-07 08:34:41:528,33253,0.4,33932,0.6,69622,0.4,90095,2.00 133,1,2024-09-07 08:34:40:592,240703,240703,0,0,113281102226,1203061276,236207,3858,638,383,391914,0 133,2,2024-09-07 08:34:41:100,171211,171211,0,0,10320281,0,4315 133,3,2024-09-07 08:34:41:307,1,138,3,1,187,1327,138,0 134,0,2024-09-07 08:34:40:952,35833,0.7,35604,0.9,71913,0.7,95982,2.25 134,1,2024-09-07 08:34:40:584,241547,241547,0,0,112920105941,1191761285,237203,3124,1220,366,391718,0 134,2,2024-09-07 08:34:41:768,172504,172504,0,0,8514340,0,3096 134,3,2024-09-07 08:34:40:753,1,138,1,0,739,2858,138,0 135,0,2024-09-07 08:34:41:124,35757,1.8,35659,1.4,75509,2.2,97134,2.75 135,1,2024-09-07 08:34:41:589,241463,241463,0,0,112988203787,1195661262,237284,3478,701,380,391725,0 135,2,2024-09-07 08:34:40:689,176947,176947,0,0,9382763,0,3981 135,3,2024-09-07 08:34:41:007,1,138,1,0,89,845,138,0 136,0,2024-09-07 08:34:41:621,34508,0.6,34347,0.8,69214,0.6,92092,2.00 136,1,2024-09-07 08:34:41:447,241659,241659,0,0,113417091659,1193473901,238402,3084,173,384,391641,0 136,2,2024-09-07 08:34:41:136,176059,176059,0,0,8977434,0,3506 136,3,2024-09-07 08:34:41:111,1,138,1,0,108,1247,138,0 137,0,2024-09-07 08:34:40:932,33746,0.5,32849,0.7,64893,0.4,87696,2.00 137,1,2024-09-07 08:34:40:576,242149,242149,0,0,113543643236,1194378577,238112,3618,419,367,391608,0 137,2,2024-09-07 08:34:41:728,173318,173318,0,0,10243695,0,3185 137,3,2024-09-07 08:34:40:769,1,138,1,1,227,1775,138,0 138,0,2024-09-07 08:34:41:756,33257,1.7,33442,1.3,67411,2.5,90326,2.50 138,1,2024-09-07 08:34:41:696,242684,242684,0,0,113100315726,1188168765,239657,2678,349,368,391954,0 138,2,2024-09-07 08:34:40:585,171805,171805,0,0,8234960,0,3263 138,3,2024-09-07 08:34:40:616,1,138,45,0,1160,2679,138,0 139,0,2024-09-07 08:34:41:369,36288,3.3,36394,1.9,73467,4.5,98523,3.50 139,1,2024-09-07 08:34:40:580,241190,241190,0,0,112363528747,1199032567,236146,3730,1314,381,391892,0 139,2,2024-09-07 08:34:40:702,174620,174620,0,0,9471245,0,3097 139,3,2024-09-07 08:34:41:671,1,138,1,0,244,1747,138,0 140,0,2024-09-07 08:34:41:595,32780,0.4,32567,0.6,65489,0.3,87115,1.75 140,1,2024-09-07 08:34:41:541,243366,243366,0,0,113953433414,1179366047,241860,1266,240,365,391606,0 140,2,2024-09-07 08:34:40:690,176248,176248,0,0,8095266,0,3388 140,3,2024-09-07 08:34:40:769,1,138,0,0,247,1396,138,0 141,0,2024-09-07 08:34:41:706,32514,0.2,33538,0.4,64387,0.1,87092,1.50 141,1,2024-09-07 08:34:40:866,242962,242962,0,0,113960777525,1187079890,241024,1585,353,379,391614,0 141,2,2024-09-07 08:34:41:692,174378,174378,0,0,7645821,0,2342 141,3,2024-09-07 08:34:41:055,1,138,8,0,147,1263,138,0 142,0,2024-09-07 08:34:41:320,33689,0.3,33400,0.5,66333,0.3,88971,1.75 142,1,2024-09-07 08:34:40:586,242777,242777,0,0,113725628452,1189041887,241274,1346,157,383,391649,0 142,2,2024-09-07 08:34:41:306,169387,169355,32,0,8704313,0,6028 142,3,2024-09-07 08:34:41:759,1,138,2,0,484,2108,138,0 143,0,2024-09-07 08:34:41:378,36845,0.8,36860,0.8,74281,1.0,98829,2.00 143,1,2024-09-07 08:34:40:558,242629,242629,0,0,113312806389,1180861928,240527,2061,41,367,391619,0 143,2,2024-09-07 08:34:40:771,175728,175728,0,0,8118787,0,2669 143,3,2024-09-07 08:34:41:147,1,138,9,0,303,2494,138,0 144,0,2024-09-07 08:34:41:501,32352,1.2,33197,1.8,67373,1.4,88776,2.25 144,1,2024-09-07 08:34:40:575,242057,242057,0,0,113468084862,1194843393,239675,2179,203,381,391649,0 144,2,2024-09-07 08:34:41:758,177090,177090,0,0,7847520,0,3473 144,3,2024-09-07 08:34:41:770,1,138,3,0,249,2010,138,0 145,0,2024-09-07 08:34:41:363,33030,0.6,32945,0.8,69824,0.5,90339,2.25 145,1,2024-09-07 08:34:40:571,240516,240516,0,0,112438795725,1192983102,236137,3645,734,383,391615,0 145,2,2024-09-07 08:34:41:442,174384,174384,0,0,9013051,0,3903 145,3,2024-09-07 08:34:40:902,1,138,1,0,151,1763,138,0 146,0,2024-09-07 08:34:41:601,33743,0.5,33623,0.7,67954,0.4,89439,2.00 146,1,2024-09-07 08:34:41:593,242865,242865,0,0,112816818274,1189848775,238424,3582,859,368,391629,0 146,2,2024-09-07 08:34:41:699,171044,171044,0,0,8454906,0,2498 146,3,2024-09-07 08:34:41:274,1,138,1,0,1520,5100,138,0 147,0,2024-09-07 08:34:41:696,36101,1.3,35947,1.1,71234,1.4,96794,2.50 147,1,2024-09-07 08:34:41:377,243355,243355,0,0,114122944451,1193712297,240270,2592,493,368,391791,0 147,2,2024-09-07 08:34:41:028,174433,174433,0,0,7984083,0,2789 147,3,2024-09-07 08:34:40:919,1,138,3,0,371,1929,138,0 0,0,2024-09-07 08:34:51:718,32584,0.5,32606,0.7,68959,0.4,89684,1.75 0,1,2024-09-07 08:34:50:812,244244,244244,0,0,114709654520,1204385602,242289,1821,134,372,391692,0 0,2,2024-09-07 08:34:51:080,173380,173380,0,0,8223333,0,4480 0,3,2024-09-07 08:34:50:973,1,139,4,0,247,1966,139,0 1,0,2024-09-07 08:34:51:816,35377,1.7,35129,1.4,70537,2.3,94423,2.50 1,1,2024-09-07 08:34:50:569,243476,243476,0,0,113918271986,1200738007,240046,2563,867,372,391857,0 1,2,2024-09-07 08:34:50:646,173004,173004,0,0,7372658,0,3267 1,3,2024-09-07 08:34:51:308,1,139,25,0,262,1862,139,0 2,0,2024-09-07 08:34:51:571,37626,1.1,37693,1.1,74949,1.5,100963,2.50 2,1,2024-09-07 08:34:50:875,243836,243836,0,0,114519221724,1194245160,242391,1239,206,380,391745,0 2,2,2024-09-07 08:34:51:266,177619,177619,0,0,8129762,0,3594 2,3,2024-09-07 08:34:50:692,1,139,24,0,357,1648,139,0 3,0,2024-09-07 08:34:51:750,33545,0.6,33671,0.8,67461,0.5,89741,2.00 3,1,2024-09-07 08:34:51:618,243921,243921,0,0,113928692666,1194518236,240813,2684,424,382,391516,0 3,2,2024-09-07 08:34:51:142,176439,176416,23,0,8224025,0,5851 3,3,2024-09-07 08:34:51:768,1,139,2,0,103,950,139,0 4,0,2024-09-07 08:34:51:809,31774,0.3,32589,0.5,66256,0.2,86701,1.75 4,1,2024-09-07 08:34:50:603,244181,244181,0,0,113683738881,1199944483,241223,2438,520,371,391846,0 4,2,2024-09-07 08:34:51:028,174399,174399,0,0,9817483,0,4528 4,3,2024-09-07 08:34:51:033,1,139,8,0,287,2072,139,0 5,0,2024-09-07 08:34:51:432,33629,0.6,33449,0.7,67072,0.6,89238,1.75 5,1,2024-09-07 08:34:50:767,243359,243359,0,0,113913235256,1206518151,239192,3182,985,368,392005,0 5,2,2024-09-07 08:34:51:833,171856,171856,0,0,8290312,0,2259 5,3,2024-09-07 08:34:51:740,1,139,4,0,238,2267,139,0 6,0,2024-09-07 08:34:50:930,38287,1.1,37864,1.1,75189,1.7,101573,2.50 6,1,2024-09-07 08:34:50:755,244188,244188,0,0,114163164772,1201339031,240806,2735,647,381,391617,0 6,2,2024-09-07 08:34:51:119,177931,177931,0,0,8699207,0,4816 6,3,2024-09-07 08:34:51:274,1,139,1,0,340,2229,139,0 7,0,2024-09-07 08:34:51:537,31826,0.6,31871,0.8,63964,0.5,85227,2.00 7,1,2024-09-07 08:34:50:870,244106,244106,0,0,114122382219,1199557089,241389,2586,131,382,391664,0 7,2,2024-09-07 08:34:50:775,176723,176723,0,0,7847549,0,2981 7,3,2024-09-07 08:34:50:860,1,139,0,0,305,1520,139,0 8,0,2024-09-07 08:34:51:329,33439,0.3,33607,0.5,66529,0.2,88800,1.50 8,1,2024-09-07 08:34:51:034,243494,243494,0,0,114127132165,1213008012,237897,3998,1599,368,391956,0 8,2,2024-09-07 08:34:50:803,173720,173720,0,0,9533992,0,2986 8,3,2024-09-07 08:34:50:589,1,139,2,0,357,2411,139,0 9,0,2024-09-07 08:34:51:120,33638,0.4,32646,0.5,68131,0.3,89072,1.75 9,1,2024-09-07 08:34:50:560,243478,243478,0,0,114577124272,1215245675,238996,3357,1125,370,391753,0 9,2,2024-09-07 08:34:51:105,171520,171520,0,0,8782205,0,3360 9,3,2024-09-07 08:34:51:762,1,139,2,0,496,2219,139,0 10,0,2024-09-07 08:34:51:607,37216,0.4,37256,0.6,74736,0.3,99904,1.75 10,1,2024-09-07 08:34:50:590,243673,243673,0,0,113542702290,1198043157,239205,3827,641,381,391741,0 10,2,2024-09-07 08:34:50:765,176758,176758,0,0,9135979,0,2940 10,3,2024-09-07 08:34:50:871,1,139,1,0,177,1171,139,0 11,0,2024-09-07 08:34:51:018,33616,1.2,32819,1.2,68804,2.3,91615,2.50 11,1,2024-09-07 08:34:50:575,243516,243516,0,0,114061850288,1206632981,238216,4030,1270,384,391537,0 11,2,2024-09-07 08:34:51:123,177486,177486,0,0,8889481,0,3411 11,3,2024-09-07 08:34:51:307,1,139,1,0,720,2781,139,0 12,0,2024-09-07 08:34:50:969,35512,0.3,35167,0.5,70684,0.2,93543,1.50 12,1,2024-09-07 08:34:50:938,243764,243764,0,0,113680941296,1192949696,240922,2432,410,370,391837,0 12,2,2024-09-07 08:34:51:541,176910,176910,0,0,8977817,0,3469 12,3,2024-09-07 08:34:51:078,1,139,10,0,358,2486,139,0 13,0,2024-09-07 08:34:51:341,34328,0.4,34384,0.5,68649,0.3,91212,1.75 13,1,2024-09-07 08:34:51:524,244205,244205,0,0,114251687841,1203280967,241900,1897,408,384,391717,0 13,2,2024-09-07 08:34:50:610,173121,173121,0,0,8102447,0,3287 13,3,2024-09-07 08:34:51:777,1,139,46,1,467,3052,139,0 14,0,2024-09-07 08:34:50:566,36457,0.5,36547,0.7,72390,0.5,97070,2.00 14,1,2024-09-07 08:34:51:563,245038,245038,0,0,114189770869,1189076079,242908,1967,163,364,391571,0 14,2,2024-09-07 08:34:50:767,174163,174163,0,0,8286101,0,2896 14,3,2024-09-07 08:34:51:122,1,139,3,0,1168,2845,139,0 15,0,2024-09-07 08:34:51:561,36702,1.3,36679,1.2,73832,1.9,97916,2.75 15,1,2024-09-07 08:34:51:608,243745,243745,0,0,114570412386,1196188343,242006,1631,108,381,391619,0 15,2,2024-09-07 08:34:50:998,178486,178486,0,0,7060581,0,3043 15,3,2024-09-07 08:34:51:416,1,139,1,0,1126,4268,139,0 16,0,2024-09-07 08:34:50:987,34612,0.5,34948,0.8,69157,0.5,92648,2.25 16,1,2024-09-07 08:34:50:572,244246,244246,0,0,113738497779,1193827157,242037,1949,260,372,391756,0 16,2,2024-09-07 08:34:51:444,175549,175549,0,0,9195115,0,4719 16,3,2024-09-07 08:34:51:142,1,139,1,0,231,1998,139,0 17,0,2024-09-07 08:34:51:779,34121,0.4,33255,0.6,65314,0.4,88218,1.75 17,1,2024-09-07 08:34:50:672,243665,243665,0,0,114155597280,1206006224,240317,2677,671,368,391688,0 17,2,2024-09-07 08:34:51:668,176095,176095,0,0,8202749,0,2857 17,3,2024-09-07 08:34:50:589,1,139,1,0,268,2386,139,0 18,0,2024-09-07 08:34:50:941,33516,1.0,33921,1.0,67922,1.2,90660,2.50 18,1,2024-09-07 08:34:51:641,243791,243791,0,0,114214301857,1190156801,241799,1758,234,368,391564,0 18,2,2024-09-07 08:34:51:761,172699,172699,0,0,8152592,0,3541 18,3,2024-09-07 08:34:50:900,1,139,21,0,163,1695,139,0 19,0,2024-09-07 08:34:51:545,37062,1.0,37508,1.0,73903,1.1,98494,3.00 19,1,2024-09-07 08:34:50:571,244474,244474,0,0,114268294523,1197569048,240661,3097,716,367,391777,0 19,2,2024-09-07 08:34:51:762,178490,178490,0,0,7464859,0,3988 19,3,2024-09-07 08:34:51:132,1,139,1,0,524,1444,139,0 20,0,2024-09-07 08:34:51:380,32900,0.8,32787,0.9,65708,0.9,87864,2.50 20,1,2024-09-07 08:34:50:579,243744,243744,0,0,114170805834,1203466107,240316,3039,389,369,391822,0 20,2,2024-09-07 08:34:50:943,177047,177047,0,0,8460888,0,3721 20,3,2024-09-07 08:34:50:590,1,139,1,0,414,2768,139,0 21,0,2024-09-07 08:34:51:129,33222,0.4,33142,0.5,65993,0.3,87343,1.75 21,1,2024-09-07 08:34:51:553,243315,243315,0,0,113228927248,1198179030,239693,3091,531,368,391962,0 21,2,2024-09-07 08:34:51:067,175281,175281,0,0,9111013,0,3747 21,3,2024-09-07 08:34:51:415,1,139,0,0,103,1866,139,0 22,0,2024-09-07 08:34:51:720,33324,0.8,33443,0.9,66383,0.9,88956,2.25 22,1,2024-09-07 08:34:51:031,243388,243388,0,0,113559346873,1205793619,237886,3993,1509,382,391667,0 22,2,2024-09-07 08:34:50:764,171766,171766,0,0,7763443,0,3134 22,3,2024-09-07 08:34:51:068,1,139,0,0,228,1554,139,0 23,0,2024-09-07 08:34:51:384,36874,0.8,37061,0.9,74485,0.9,99886,2.50 23,1,2024-09-07 08:34:51:002,244369,244369,0,0,113864877472,1197947158,240275,2612,1482,365,391549,0 23,2,2024-09-07 08:34:51:100,177176,177176,0,0,8122592,0,3010 23,3,2024-09-07 08:34:51:759,1,139,1,0,645,1731,139,0 24,0,2024-09-07 08:34:50:825,34082,0.6,34097,0.7,68298,0.6,90337,1.75 24,1,2024-09-07 08:34:50:588,244127,244127,0,0,114117024766,1199736568,241177,2151,799,369,391640,0 24,2,2024-09-07 08:34:51:075,176629,176629,0,0,9530145,0,2942 24,3,2024-09-07 08:34:51:689,1,139,1,0,234,1709,139,0 25,0,2024-09-07 08:34:51:343,35180,0.4,34271,0.6,67256,0.3,91355,1.75 25,1,2024-09-07 08:34:50:592,244155,244155,0,0,114424105113,1207263783,240479,3133,543,371,391788,0 25,2,2024-09-07 08:34:51:615,174868,174868,0,0,9546577,0,3978 25,3,2024-09-07 08:34:51:007,1,139,19,0,158,1640,139,0 26,0,2024-09-07 08:34:51:734,33582,0.4,32917,0.6,69038,0.3,89787,1.75 26,1,2024-09-07 08:34:51:543,244302,244302,0,0,113359828504,1197493436,239643,3758,901,381,391564,0 26,2,2024-09-07 08:34:50:861,172102,172102,0,0,8522023,0,2809 26,3,2024-09-07 08:34:51:712,1,139,1,0,796,2146,139,0 27,0,2024-09-07 08:34:51:728,36800,0.6,36831,0.7,72977,0.6,98137,1.75 27,1,2024-09-07 08:34:51:677,245316,245316,0,0,114897363283,1197262471,243500,1493,323,381,391539,0 27,2,2024-09-07 08:34:50:886,174356,174356,0,0,9420513,0,3409 27,3,2024-09-07 08:34:51:015,1,139,14,0,564,1896,139,0 28,0,2024-09-07 08:34:51:406,35257,1.0,35587,0.9,71426,1.3,94945,2.75 28,1,2024-09-07 08:34:50:810,244234,244234,0,0,115444039029,1207682305,242075,1823,336,383,391646,0 28,2,2024-09-07 08:34:51:767,178391,178391,0,0,7439222,0,2915 28,3,2024-09-07 08:34:51:786,1,139,5,0,502,1943,139,0 29,0,2024-09-07 08:34:51:378,35990,0.4,35146,0.6,68873,0.3,94223,1.75 29,1,2024-09-07 08:34:51:565,245426,245426,0,0,114250511015,1189148761,243000,1883,543,369,391621,0 29,2,2024-09-07 08:34:50:863,176476,176476,0,0,7273397,0,4018 29,3,2024-09-07 08:34:50:969,1,139,2,0,105,1178,139,0 30,0,2024-09-07 08:34:51:467,33485,0.6,32667,0.8,68264,0.5,89818,2.00 30,1,2024-09-07 08:34:50:573,244812,244812,0,0,114582101120,1194831682,242828,1706,278,382,391672,0 30,2,2024-09-07 08:34:51:274,174600,174600,0,0,7295205,0,3161 30,3,2024-09-07 08:34:50:590,1,139,2,0,195,1147,139,0 31,0,2024-09-07 08:34:51:771,35021,0.7,35221,0.8,71087,0.7,94209,2.00 31,1,2024-09-07 08:34:50:571,245847,245847,0,0,114692773686,1179113666,245048,688,111,356,391553,0 31,2,2024-09-07 08:34:51:276,173383,173383,0,0,8979506,0,3525 31,3,2024-09-07 08:34:51:706,1,139,11,0,220,1158,139,0 32,0,2024-09-07 08:34:51:436,37664,0.6,38108,0.7,76059,0.5,101747,1.75 32,1,2024-09-07 08:34:50:807,245080,245080,0,0,114700072451,1195171319,243341,1464,275,382,391595,0 32,2,2024-09-07 08:34:50:946,178010,178010,0,0,7252066,0,3155 32,3,2024-09-07 08:34:51:015,1,139,1,0,227,1403,139,0 33,0,2024-09-07 08:34:51:503,34192,0.4,33629,0.6,67992,0.3,90745,1.75 33,1,2024-09-07 08:34:50:591,245292,245292,0,0,114831322240,1193682807,243034,2086,172,369,391730,0 33,2,2024-09-07 08:34:50:759,176291,176258,33,0,9088268,0,7012 33,3,2024-09-07 08:34:50:897,1,139,0,0,329,1794,139,0 34,0,2024-09-07 08:34:50:932,32641,0.3,33729,0.5,64749,0.2,86716,1.75 34,1,2024-09-07 08:34:51:044,245472,245472,0,0,115189773027,1189675225,244477,989,6,367,391562,0 34,2,2024-09-07 08:34:50:766,174559,174559,0,0,8122858,0,3577 34,3,2024-09-07 08:34:51:689,1,139,0,0,148,935,139,0 35,0,2024-09-07 08:34:50:873,33208,0.5,33613,0.6,66980,0.5,89339,1.75 35,1,2024-09-07 08:34:51:067,244612,244612,0,0,114642273723,1195799858,241815,2027,770,384,391589,0 35,2,2024-09-07 08:34:51:582,171964,171964,0,0,7243097,0,2653 35,3,2024-09-07 08:34:50:907,1,139,2,0,418,1916,139,0 36,0,2024-09-07 08:34:51:530,37850,1.2,37625,1.1,76027,1.6,101128,2.75 36,1,2024-09-07 08:34:50:591,243750,243750,0,0,113995027773,1200989970,239140,3322,1288,366,391759,0 36,2,2024-09-07 08:34:51:751,176903,176903,0,0,8820539,0,3303 36,3,2024-09-07 08:34:50:869,1,139,0,0,378,1735,139,0 37,0,2024-09-07 08:34:51:369,32024,0.6,32158,0.8,63941,0.5,86161,2.00 37,1,2024-09-07 08:34:50:571,243333,243326,0,7,114597962335,1212256988,238569,2739,2018,365,391518,0 37,2,2024-09-07 08:34:51:141,177162,177147,15,0,8885899,0,5815 37,3,2024-09-07 08:34:51:766,1,139,2,0,724,2432,139,0 38,0,2024-09-07 08:34:51:446,33307,0.4,32261,0.6,67351,0.3,88352,2.00 38,1,2024-09-07 08:34:51:605,244907,244907,0,0,114283708649,1201171102,240896,3379,632,368,391821,0 38,2,2024-09-07 08:34:50:768,175635,175588,47,0,10590045,0,6710 38,3,2024-09-07 08:34:51:001,1,139,1,0,603,2076,139,0 39,0,2024-09-07 08:34:51:772,34395,0.7,33617,0.7,65744,0.7,89461,2.00 39,1,2024-09-07 08:34:50:729,244310,244310,0,0,113689544529,1192338941,240524,2985,801,365,391524,0 39,2,2024-09-07 08:34:51:418,171877,171877,0,0,7672294,0,2689 39,3,2024-09-07 08:34:50:717,1,139,0,0,276,2020,139,0 40,0,2024-09-07 08:34:51:497,36684,1.1,37276,1.3,73895,1.2,99319,3.25 40,1,2024-09-07 08:34:50:580,244138,244138,0,0,113702573998,1197334059,239732,3638,768,368,391591,0 40,2,2024-09-07 08:34:51:308,175976,175975,1,0,9741132,0,5137 40,3,2024-09-07 08:34:51:149,1,139,0,0,181,1482,139,0 41,0,2024-09-07 08:34:51:061,33673,2.5,34328,2.0,65996,3.8,90215,4.50 41,1,2024-09-07 08:34:50:769,244060,244060,0,0,114188261710,1201936018,239872,3657,531,370,391742,0 41,2,2024-09-07 08:34:50:759,176706,176706,0,0,9554167,0,3356 41,3,2024-09-07 08:34:51:683,1,139,1,0,366,1920,139,0 42,0,2024-09-07 08:34:51:481,34467,0.6,34827,0.8,69538,0.5,91655,2.25 42,1,2024-09-07 08:34:51:444,242925,242925,0,0,113669003821,1202116201,238229,3738,958,380,391675,0 42,2,2024-09-07 08:34:51:132,175519,175519,0,0,9260536,0,3568 42,3,2024-09-07 08:34:51:009,1,139,1,0,446,1378,139,0 43,0,2024-09-07 08:34:50:921,34182,0.7,33445,0.9,69410,0.7,91255,2.00 43,1,2024-09-07 08:34:50:580,244089,244089,0,0,114937879198,1203839349,240850,2446,793,366,391604,0 43,2,2024-09-07 08:34:51:739,173247,173247,0,0,8590708,0,3812 43,3,2024-09-07 08:34:51:756,1,139,13,0,325,2087,139,0 44,0,2024-09-07 08:34:50:876,36483,0.6,36365,0.8,72854,0.6,97751,2.00 44,1,2024-09-07 08:34:50:566,244683,244683,0,0,113924642711,1182681096,242626,1632,425,356,391809,0 44,2,2024-09-07 08:34:51:267,173942,173942,0,0,7196193,0,1877 44,3,2024-09-07 08:34:51:107,1,139,1,0,817,1981,139,0 45,0,2024-09-07 08:34:51:768,36282,1.7,35550,1.3,74692,2.2,98543,2.50 45,1,2024-09-07 08:34:51:004,244504,244504,0,0,115008120438,1195467509,243465,1029,10,382,391917,0 45,2,2024-09-07 08:34:51:268,178746,178746,0,0,7792918,0,3596 45,3,2024-09-07 08:34:50:940,1,139,1,0,226,1375,139,0 46,0,2024-09-07 08:34:50:952,34476,0.4,34435,0.6,69112,0.3,91836,1.75 46,1,2024-09-07 08:34:50:580,245126,245126,0,0,114553032231,1189074270,243322,1582,222,366,391524,0 46,2,2024-09-07 08:34:50:596,176894,176894,0,0,7746437,0,2920 46,3,2024-09-07 08:34:51:130,1,139,0,0,908,2857,139,0 47,0,2024-09-07 08:34:51:111,33075,0.3,33267,0.5,66808,0.2,87883,1.75 47,1,2024-09-07 08:34:50:571,244947,244947,0,0,114013252150,1181210770,243551,1379,17,366,391605,0 47,2,2024-09-07 08:34:50:908,175774,175774,0,0,7948456,0,2558 47,3,2024-09-07 08:34:51:121,1,139,18,0,529,1699,139,0 48,0,2024-09-07 08:34:51:499,34417,0.3,34043,0.5,68356,0.2,91468,1.75 48,1,2024-09-07 08:34:51:032,244993,244993,0,0,114627581416,1195381215,242935,1878,180,384,391710,0 48,2,2024-09-07 08:34:50:699,172493,172493,0,0,6573627,0,2083 48,3,2024-09-07 08:34:50:752,1,139,2,0,339,1371,139,0 49,0,2024-09-07 08:34:51:728,38390,0.5,37748,0.7,73406,0.5,100325,1.75 49,1,2024-09-07 08:34:51:023,243747,243747,0,0,114260108217,1196254382,241014,1893,840,382,391583,0 49,2,2024-09-07 08:34:52:006,178480,178480,0,0,7671903,0,3900 49,3,2024-09-07 08:34:51:422,1,139,0,0,408,1787,139,0 50,0,2024-09-07 08:34:51:538,32999,0.4,32455,0.6,65199,0.3,87826,1.75 50,1,2024-09-07 08:34:51:014,245538,245538,0,0,115026384107,1197258935,243014,2215,309,368,391530,0 50,2,2024-09-07 08:34:51:068,176702,176702,0,0,7301789,0,2253 50,3,2024-09-07 08:34:51:298,1,139,1,0,335,1286,139,0 51,0,2024-09-07 08:34:51:685,33688,0.3,33118,0.4,64489,0.2,87799,1.50 51,1,2024-09-07 08:34:51:690,244693,244693,0,0,115569131086,1198789458,242726,1181,786,367,391637,0 51,2,2024-09-07 08:34:51:316,175245,175245,0,0,6982492,0,3337 51,3,2024-09-07 08:34:51:027,1,139,10,0,162,861,139,0 52,0,2024-09-07 08:34:51:425,33585,0.6,33664,0.8,67556,0.6,89488,2.25 52,1,2024-09-07 08:34:50:574,243331,243331,0,0,113599190403,1203879335,237675,4502,1154,368,391722,0 52,2,2024-09-07 08:34:51:760,170102,170064,38,0,9361718,0,6742 52,3,2024-09-07 08:34:50:678,1,139,1,0,1782,3430,139,0 53,0,2024-09-07 08:34:51:778,36939,1.1,35922,1.0,75186,1.3,99155,3.00 53,1,2024-09-07 08:34:50:775,243083,243083,0,0,114514815794,1215279211,237467,3722,1894,367,391702,0 53,2,2024-09-07 08:34:51:298,177676,177676,0,0,7715287,0,2262 53,3,2024-09-07 08:34:50:697,1,139,1,0,133,1173,139,0 54,0,2024-09-07 08:34:51:616,32507,3.1,33000,1.6,65182,1.7,87485,4.50 54,1,2024-09-07 08:34:50:581,244366,244366,0,0,114737872813,1203456693,240432,3363,571,367,391532,0 54,2,2024-09-07 08:34:50:870,177439,177433,6,0,9345902,0,5382 54,3,2024-09-07 08:34:50:764,1,139,1,0,676,2787,139,0 55,0,2024-09-07 08:34:51:768,32979,0.5,33914,0.7,68906,0.4,89265,2.50 55,1,2024-09-07 08:34:50:765,243443,243443,0,0,113436765093,1194380331,238258,4398,787,367,391731,0 55,2,2024-09-07 08:34:50:731,175307,175307,0,0,9092741,0,3275 55,3,2024-09-07 08:34:50:677,1,139,0,0,304,1627,139,0 56,0,2024-09-07 08:34:51:623,34745,1.3,32892,1.1,67379,1.8,90080,2.50 56,1,2024-09-07 08:34:50:581,243853,243853,0,0,114287855523,1216259432,238731,4219,903,382,391678,0 56,2,2024-09-07 08:34:51:313,171783,171783,0,0,9626350,0,3567 56,3,2024-09-07 08:34:51:073,1,139,10,0,405,2133,139,0 57,0,2024-09-07 08:34:50:962,35741,2.9,35726,1.7,71531,4.5,96138,4.25 57,1,2024-09-07 08:34:50:992,243943,243943,0,0,114057766499,1198824508,241128,2645,170,368,391773,0 57,2,2024-09-07 08:34:51:322,175901,175901,0,0,9915395,0,3178 57,3,2024-09-07 08:34:51:749,1,139,20,0,359,2632,139,0 58,0,2024-09-07 08:34:50:570,34366,1.7,33446,1.3,70178,2.7,91588,3.00 58,1,2024-09-07 08:34:50:589,244689,244686,0,3,115017782378,1206491453,241173,3116,397,369,391516,3 58,2,2024-09-07 08:34:51:076,177930,177930,0,0,9031294,0,2549 58,3,2024-09-07 08:34:51:068,1,139,0,0,219,1206,139,0 59,0,2024-09-07 08:34:51:746,35263,0.9,34940,1.0,69891,0.9,93025,3.00 59,1,2024-09-07 08:34:50:808,244333,244333,0,0,113695909684,1195539421,240687,2724,922,369,391515,0 59,2,2024-09-07 08:34:50:591,177092,177092,0,0,7876254,0,2604 59,3,2024-09-07 08:34:51:738,1,139,0,0,1015,2845,139,0 60,0,2024-09-07 08:34:51:743,33903,0.4,33971,0.6,67444,0.4,89921,1.75 60,1,2024-09-07 08:34:50:787,245456,245456,0,0,114732228909,1193123452,244004,1096,356,370,391761,0 60,2,2024-09-07 08:34:51:140,173470,173470,0,0,8970736,0,3811 60,3,2024-09-07 08:34:51:258,1,139,5,0,124,1503,139,0 61,0,2024-09-07 08:34:51:526,35129,1.8,35355,1.2,70630,2.6,94382,2.50 61,1,2024-09-07 08:34:50:775,243903,243903,0,0,114622603462,1206055036,240760,2605,538,382,391589,0 61,2,2024-09-07 08:34:51:127,174270,174270,0,0,7767715,0,2079 61,3,2024-09-07 08:34:51:691,1,139,31,0,199,1851,139,0 62,0,2024-09-07 08:34:51:721,37903,1.2,38739,1.0,74129,1.6,101097,2.50 62,1,2024-09-07 08:34:51:119,245902,245896,0,6,114842731333,1188552614,244218,1639,39,365,391715,6 62,2,2024-09-07 08:34:51:659,176408,176407,1,0,9325625,0,5555 62,3,2024-09-07 08:34:51:149,1,139,2,0,287,1134,139,0 63,0,2024-09-07 08:34:51:475,33767,0.6,33781,0.7,67673,0.6,90295,2.00 63,1,2024-09-07 08:34:50:806,245471,245465,0,6,114983381252,1194352768,244228,1211,26,381,391542,6 63,2,2024-09-07 08:34:50:765,176144,176144,0,0,7343829,0,2674 63,3,2024-09-07 08:34:51:732,1,139,1,0,667,2353,139,0 64,0,2024-09-07 08:34:51:525,32582,0.4,32497,0.6,65095,0.3,86746,1.75 64,1,2024-09-07 08:34:50:753,244734,244734,0,0,114365254771,1200729552,241275,2407,1052,371,391783,0 64,2,2024-09-07 08:34:51:141,176412,176393,19,0,8137745,0,6121 64,3,2024-09-07 08:34:51:150,1,139,2,0,265,1733,139,0 65,0,2024-09-07 08:34:51:702,32766,1.2,33394,1.0,66453,1.5,88545,2.50 65,1,2024-09-07 08:34:50:865,244009,244009,0,0,113841641132,1195564214,241568,2219,222,382,391770,0 65,2,2024-09-07 08:34:51:703,172594,172594,0,0,8445340,0,3367 65,3,2024-09-07 08:34:51:695,1,139,6,0,163,1519,139,0 66,0,2024-09-07 08:34:51:790,37493,0.9,37452,1.0,75401,1.0,100422,2.50 66,1,2024-09-07 08:34:51:297,245198,245198,0,0,114945155695,1198205168,243328,1699,171,380,391588,0 66,2,2024-09-07 08:34:51:137,179097,179097,0,0,7855235,0,4956 66,3,2024-09-07 08:34:51:079,1,139,1,0,291,1654,139,0 67,0,2024-09-07 08:34:51:426,32434,1.0,32355,1.0,64748,1.3,86284,2.50 67,1,2024-09-07 08:34:50:767,243977,243976,0,1,114228686817,1200214061,240511,2719,746,381,391787,1 67,2,2024-09-07 08:34:50:608,177789,177789,0,0,7458639,0,2889 67,3,2024-09-07 08:34:51:750,1,139,1,0,138,1238,139,0 68,0,2024-09-07 08:34:50:577,33647,0.5,33497,0.7,66857,0.4,88574,2.00 68,1,2024-09-07 08:34:50:579,243391,243391,0,0,113649232702,1197635899,240553,1821,1017,381,391953,0 68,2,2024-09-07 08:34:51:049,174387,174322,65,0,10856743,0,6698 68,3,2024-09-07 08:34:50:739,1,139,8,0,417,1944,139,0 69,0,2024-09-07 08:34:51:746,33410,0.9,33709,0.9,66802,1.0,89023,2.25 69,1,2024-09-07 08:34:51:017,242899,242899,0,0,113849059536,1210062393,238912,2773,1214,384,391611,0 69,2,2024-09-07 08:34:51:745,171702,171702,0,0,9106897,0,3701 69,3,2024-09-07 08:34:50:767,1,139,6,0,238,1821,139,0 70,0,2024-09-07 08:34:51:536,36670,2.5,36838,1.7,73926,1.9,98724,3.75 70,1,2024-09-07 08:34:50:811,244827,244827,0,0,114482937713,1194361983,242415,1950,462,366,391725,0 70,2,2024-09-07 08:34:51:327,176125,176125,0,0,8637958,0,4044 70,3,2024-09-07 08:34:50:753,1,139,1,0,854,1822,139,0 71,0,2024-09-07 08:34:51:373,33673,2.6,33712,1.9,67031,4.1,90403,4.00 71,1,2024-09-07 08:34:51:599,244412,244412,0,0,114297967609,1202363066,240306,3583,523,368,391682,0 71,2,2024-09-07 08:34:51:072,178624,178624,0,0,8621989,0,2470 71,3,2024-09-07 08:34:51:764,1,139,1,0,644,2503,139,0 72,0,2024-09-07 08:34:51:031,36216,0.5,35394,0.7,69320,0.4,93781,2.25 72,1,2024-09-07 08:34:51:026,244302,244302,0,0,114288536358,1198058306,241059,2757,486,370,391819,0 72,2,2024-09-07 08:34:51:760,175079,175079,0,0,10144671,0,2570 72,3,2024-09-07 08:34:51:760,1,139,1,0,325,2316,139,0 73,0,2024-09-07 08:34:51:182,33390,0.4,34208,0.6,70092,0.3,91018,2.25 73,1,2024-09-07 08:34:50:788,244542,244542,0,0,114385478971,1190966535,242739,1688,115,368,391627,0 73,2,2024-09-07 08:34:51:739,173183,173183,0,0,9373640,0,3482 73,3,2024-09-07 08:34:50:986,1,139,11,0,274,2446,139,0 74,0,2024-09-07 08:34:51:349,36595,0.6,37215,0.9,71144,0.6,97297,2.50 74,1,2024-09-07 08:34:50:636,244723,244723,0,0,113801133407,1192602630,241941,2162,620,382,391681,0 74,2,2024-09-07 08:34:51:013,174184,174184,0,0,9375705,0,4253 74,3,2024-09-07 08:34:51:447,1,139,1,0,522,2640,139,0 75,0,2024-09-07 08:34:51:772,36933,1.7,36681,1.3,73530,2.4,98758,3.00 75,1,2024-09-07 08:34:51:585,243898,243898,0,0,114296328523,1202579663,240515,2923,460,381,391579,0 75,2,2024-09-07 08:34:51:350,177472,177472,0,0,8920006,0,4766 75,3,2024-09-07 08:34:51:067,1,139,2,0,535,1708,139,0 76,0,2024-09-07 08:34:50:597,34430,0.4,34247,0.7,68345,0.4,92068,2.25 76,1,2024-09-07 08:34:50:806,244374,244374,0,0,114249667711,1196538365,242315,1549,510,382,391692,0 76,2,2024-09-07 08:34:51:064,177225,177225,0,0,7691911,0,3064 76,3,2024-09-07 08:34:51:142,1,139,1,0,175,1611,139,0 77,0,2024-09-07 08:34:51:702,33083,0.5,33318,0.7,66644,0.5,87944,2.00 77,1,2024-09-07 08:34:50:828,244916,244916,0,0,114320833439,1195547509,243332,1502,82,383,391808,0 77,2,2024-09-07 08:34:51:297,174463,174463,0,0,8006546,0,3890 77,3,2024-09-07 08:34:51:108,1,139,4,0,305,1916,139,0 78,0,2024-09-07 08:34:51:740,34025,0.6,34074,0.8,68559,0.5,91132,2.00 78,1,2024-09-07 08:34:50:648,244346,244346,0,0,113648550241,1186976359,242057,2038,251,367,391589,0 78,2,2024-09-07 08:34:51:423,173384,173384,0,0,7478572,0,2114 78,3,2024-09-07 08:34:51:140,1,139,0,0,181,1566,139,0 79,0,2024-09-07 08:34:51:367,36327,0.6,37024,0.8,75858,0.6,99003,2.75 79,1,2024-09-07 08:34:50:580,245188,245188,0,0,114145135262,1187267778,243006,2011,171,369,391682,0 79,2,2024-09-07 08:34:51:098,178648,178648,0,0,7757864,0,3212 79,3,2024-09-07 08:34:50:754,1,139,50,0,418,2806,139,0 80,0,2024-09-07 08:34:51:087,32749,0.7,33476,0.9,64567,0.8,87771,2.25 80,1,2024-09-07 08:34:51:626,243627,243627,0,0,114431111200,1196351955,241120,2348,159,368,391673,0 80,2,2024-09-07 08:34:51:113,177556,177556,0,0,8116929,0,4433 80,3,2024-09-07 08:34:50:580,1,139,1,0,190,2504,139,0 81,0,2024-09-07 08:34:51:616,32957,0.5,33959,0.6,65042,0.4,87466,1.75 81,1,2024-09-07 08:34:51:652,244149,244149,0,0,113704771310,1193405370,241674,2203,272,382,391879,0 81,2,2024-09-07 08:34:51:144,174992,174992,0,0,8584243,0,3993 81,3,2024-09-07 08:34:51:125,1,139,2,0,193,1490,139,0 82,0,2024-09-07 08:34:51:533,33323,0.6,33469,0.8,67171,0.5,89576,2.00 82,1,2024-09-07 08:34:50:591,244368,244364,0,4,114411503667,1197411529,241925,1863,576,382,391558,4 82,2,2024-09-07 08:34:51:700,172646,172646,0,0,7745965,0,3986 82,3,2024-09-07 08:34:51:757,1,139,1,0,227,1779,139,0 83,0,2024-09-07 08:34:51:538,37303,0.9,37191,0.9,73572,1.0,99136,2.25 83,1,2024-09-07 08:34:50:571,244481,244481,0,0,114031841065,1195188621,242060,2218,203,382,391584,0 83,2,2024-09-07 08:34:50:765,176987,176987,0,0,7688826,0,3119 83,3,2024-09-07 08:34:50:756,1,139,1,0,133,1456,139,0 84,0,2024-09-07 08:34:51:779,33240,1.9,32894,1.6,66191,1.5,88796,3.00 84,1,2024-09-07 08:34:51:052,243539,243539,0,0,114110338176,1201080768,240164,2921,454,368,391852,0 84,2,2024-09-07 08:34:50:584,177514,177514,0,0,8985129,0,3801 84,3,2024-09-07 08:34:51:154,1,139,7,0,236,1599,139,0 85,0,2024-09-07 08:34:51:025,33008,0.5,32988,0.7,69954,0.4,90374,2.25 85,1,2024-09-07 08:34:50:570,243198,243198,0,0,113589480024,1210483782,237806,4316,1076,382,391679,0 85,2,2024-09-07 08:34:50:868,176182,176182,0,0,9738454,0,3656 85,3,2024-09-07 08:34:50:684,1,139,1,0,115,1472,139,0 86,0,2024-09-07 08:34:50:894,33891,0.6,34891,0.7,66899,0.6,89906,2.00 86,1,2024-09-07 08:34:50:828,244146,244146,0,0,113951504820,1199985777,240209,3394,543,366,391961,0 86,2,2024-09-07 08:34:50:858,171061,171060,1,0,9812660,0,5004 86,3,2024-09-07 08:34:50:593,1,139,4,0,286,2231,139,0 87,0,2024-09-07 08:34:51:287,36356,1.9,36395,1.3,72545,3.0,98003,2.50 87,1,2024-09-07 08:34:50:554,243401,243401,0,0,114339917163,1203715185,240198,2812,391,366,391788,0 87,2,2024-09-07 08:34:51:077,175492,175492,0,0,8288707,0,3515 87,3,2024-09-07 08:34:51:807,1,139,4,0,335,2613,139,0 88,0,2024-09-07 08:34:51:452,35653,0.8,35765,0.8,71351,0.9,95039,2.00 88,1,2024-09-07 08:34:50:593,243180,243180,0,0,114471776338,1207621250,239172,2844,1164,367,391787,0 88,2,2024-09-07 08:34:50:696,178013,178013,0,0,9629986,0,3583 88,3,2024-09-07 08:34:51:269,1,139,2,1,435,2039,139,0 89,0,2024-09-07 08:34:51:776,36252,0.5,35084,0.7,69522,0.4,94521,1.75 89,1,2024-09-07 08:34:50:565,242671,242671,0,0,113951745344,1212406393,237261,4477,933,382,391866,0 89,2,2024-09-07 08:34:51:146,175967,175967,0,0,9375404,0,2910 89,3,2024-09-07 08:34:51:798,1,139,1,0,325,3002,139,0 90,0,2024-09-07 08:34:51:673,32718,0.4,33425,0.6,68763,0.3,89654,1.75 90,1,2024-09-07 08:34:50:593,244306,244306,0,0,113493134427,1197070009,241095,2955,256,382,391825,0 90,2,2024-09-07 08:34:51:405,172484,172484,0,0,10050289,0,3060 90,3,2024-09-07 08:34:50:944,1,139,20,1,200,1762,139,0 91,0,2024-09-07 08:34:50:932,35756,0.5,34482,0.7,71871,0.6,94875,1.75 91,1,2024-09-07 08:34:50:569,243965,243965,0,0,115011495675,1213230047,240240,3230,495,381,391960,0 91,2,2024-09-07 08:34:51:341,172378,172378,0,0,9477280,0,2445 91,3,2024-09-07 08:34:50:617,1,139,1,0,216,1819,139,0 92,0,2024-09-07 08:34:51:454,38153,0.8,39068,0.9,74828,1.0,101848,2.00 92,1,2024-09-07 08:34:50:589,244361,244361,0,0,114587126337,1201319179,242209,1752,400,382,391717,0 92,2,2024-09-07 08:34:51:351,179666,179666,0,0,8019755,0,2801 92,3,2024-09-07 08:34:51:011,1,139,0,0,68,1274,139,0 93,0,2024-09-07 08:34:50:983,34071,0.5,34838,0.7,66648,0.4,90747,1.75 93,1,2024-09-07 08:34:50:805,244175,244175,0,0,114353578415,1199953164,241081,2545,549,366,391689,0 93,2,2024-09-07 08:34:50:932,176211,176211,0,0,7820733,0,2509 93,3,2024-09-07 08:34:51:411,1,139,1,0,143,1560,139,0 94,0,2024-09-07 08:34:51:657,32750,0.3,33007,0.5,65414,0.3,86547,1.75 94,1,2024-09-07 08:34:50:575,244147,244147,0,0,114034047464,1197724895,241718,2337,92,381,391850,0 94,2,2024-09-07 08:34:50:775,175245,175245,0,0,7818995,0,2443 94,3,2024-09-07 08:34:51:695,1,139,41,0,264,2308,139,0 95,0,2024-09-07 08:34:51:351,33643,0.4,33500,0.6,67325,0.4,89314,1.75 95,1,2024-09-07 08:34:50:869,244643,244643,0,0,114926703945,1199938815,242285,2179,179,367,391600,0 95,2,2024-09-07 08:34:51:017,172228,172228,0,0,7968603,0,3308 95,3,2024-09-07 08:34:51:709,1,139,20,0,718,2927,139,0 96,0,2024-09-07 08:34:51:039,37957,0.7,38071,0.7,76092,0.7,100961,1.75 96,1,2024-09-07 08:34:51:586,244033,244033,0,0,113678262880,1194266043,241444,1948,641,385,391894,0 96,2,2024-09-07 08:34:51:269,177291,177291,0,0,8360237,0,4042 96,3,2024-09-07 08:34:51:141,1,139,1,0,188,1627,139,0 97,0,2024-09-07 08:34:51:358,32550,0.5,32544,0.6,65006,0.5,86305,1.75 97,1,2024-09-07 08:34:50:775,244313,244313,0,0,114673242803,1197239929,241549,2216,548,367,392140,0 97,2,2024-09-07 08:34:50:629,177273,177273,0,0,7730300,0,3036 97,3,2024-09-07 08:34:50:579,1,139,5,1,165,1675,139,0 98,0,2024-09-07 08:34:51:872,33594,0.2,33391,0.4,67191,0.2,88931,1.50 98,1,2024-09-07 08:34:50:583,244600,244600,0,0,114681464743,1199379124,242800,1714,86,382,391997,0 98,2,2024-09-07 08:34:50:783,176579,176579,0,0,8131861,0,3080 98,3,2024-09-07 08:34:50:719,1,139,27,1,840,2916,139,0 99,0,2024-09-07 08:34:51:466,33596,0.3,33600,0.5,67230,0.3,89276,1.75 99,1,2024-09-07 08:34:51:755,244467,244467,0,0,113670835717,1191954074,241423,2350,694,381,391744,0 99,2,2024-09-07 08:34:51:422,173577,173577,0,0,7578661,0,2615 99,3,2024-09-07 08:34:50:587,1,139,1,0,129,1047,139,0 100,0,2024-09-07 08:34:51:467,36907,1.6,36971,1.6,73995,2.7,99487,2.75 100,1,2024-09-07 08:34:50:566,242556,242556,0,0,113180707599,1207037357,237379,4246,931,381,391989,0 100,2,2024-09-07 08:34:51:817,175526,175515,11,0,9124062,0,5417 100,3,2024-09-07 08:34:51:744,1,139,1,0,559,3423,139,0 101,0,2024-09-07 08:34:51:719,34731,3.5,33746,1.9,66366,4.4,91586,3.25 101,1,2024-09-07 08:34:50:563,242953,242953,0,0,113867387755,1211645418,237609,3868,1476,368,391769,0 101,2,2024-09-07 08:34:51:759,177802,177802,0,0,10148521,0,4644 101,3,2024-09-07 08:34:50:942,1,139,2,0,448,1960,139,0 102,0,2024-09-07 08:34:51:001,34242,0.6,35577,0.8,71268,0.5,93159,2.00 102,1,2024-09-07 08:34:51:143,243535,243535,0,0,113640177697,1202669491,239474,3350,711,369,391831,0 102,2,2024-09-07 08:34:51:746,176697,176643,54,0,9649552,0,6768 102,3,2024-09-07 08:34:51:613,1,139,5,0,410,1630,139,0 103,0,2024-09-07 08:34:51:650,35243,0.4,35252,0.6,66483,0.4,91424,1.75 103,1,2024-09-07 08:34:51:648,243016,243016,0,0,114082866087,1208983889,238632,3176,1208,381,391829,0 103,2,2024-09-07 08:34:50:616,171987,171987,0,0,8401111,0,2104 103,3,2024-09-07 08:34:50:757,1,139,1,0,916,2852,139,0 104,0,2024-09-07 08:34:51:049,35868,2.1,36082,1.4,71068,3.1,97210,2.75 104,1,2024-09-07 08:34:51:604,244408,244408,0,0,114131428567,1210423878,239424,4026,958,366,391948,0 104,2,2024-09-07 08:34:51:667,173543,173543,0,0,9030479,0,3941 104,3,2024-09-07 08:34:51:421,1,139,2,1,1245,4951,139,0 105,0,2024-09-07 08:34:51:248,36432,2.1,35412,1.6,73752,3.1,97799,4.00 105,1,2024-09-07 08:34:50:613,244520,244520,0,0,114208615133,1204795500,240329,3419,772,367,391797,0 105,2,2024-09-07 08:34:51:347,177721,177721,0,0,8781074,0,3509 105,3,2024-09-07 08:34:51:316,1,139,1,1,399,2234,139,0 106,0,2024-09-07 08:34:50:971,33470,0.7,34346,0.9,69915,0.7,91959,2.25 106,1,2024-09-07 08:34:51:752,243634,243634,0,0,113835302218,1199851543,239882,3368,384,369,391767,0 106,2,2024-09-07 08:34:50:763,175761,175761,0,0,8964298,0,2795 106,3,2024-09-07 08:34:50:677,1,139,12,0,405,2253,139,0 107,0,2024-09-07 08:34:51:131,33198,0.4,33183,0.6,65914,0.3,88104,1.75 107,1,2024-09-07 08:34:50:619,243375,243375,0,0,113205227460,1195054575,240415,2733,227,381,392234,0 107,2,2024-09-07 08:34:51:299,174066,174065,1,0,8981050,0,5024 107,3,2024-09-07 08:34:51:771,1,139,1,0,353,1766,139,0 108,0,2024-09-07 08:34:51:821,34233,0.4,34490,0.6,68175,0.4,91618,1.75 108,1,2024-09-07 08:34:51:307,243809,243809,0,0,114285362960,1197848503,241164,2336,309,368,391857,0 108,2,2024-09-07 08:34:51:762,172152,172152,0,0,8370594,0,2647 108,3,2024-09-07 08:34:51:335,1,139,667,0,667,2827,139,0 109,0,2024-09-07 08:34:51:748,37685,0.5,37539,0.7,74720,0.5,100553,1.75 109,1,2024-09-07 08:34:50:599,243620,243620,0,0,115131835033,1213356572,240855,2271,494,383,391812,0 109,2,2024-09-07 08:34:50:921,177227,177227,0,0,8782301,0,3617 109,3,2024-09-07 08:34:51:140,1,139,8,1,249,2163,139,0 110,0,2024-09-07 08:34:51:802,33044,0.5,32092,0.7,67022,0.4,88107,1.75 110,1,2024-09-07 08:34:51:667,244496,244496,0,0,114280785621,1194572735,241888,1844,764,370,391667,0 110,2,2024-09-07 08:34:51:314,177176,177176,0,0,7789165,0,2915 110,3,2024-09-07 08:34:50:698,1,139,9,0,406,2087,139,0 111,0,2024-09-07 08:34:51:434,33137,0.2,32639,0.4,65364,0.2,87626,1.50 111,1,2024-09-07 08:34:51:015,244909,244909,0,0,115267502900,1200295260,243138,1439,332,382,391690,0 111,2,2024-09-07 08:34:51:126,175415,175415,0,0,8636357,0,2763 111,3,2024-09-07 08:34:50:919,1,139,1,0,379,2482,139,0 112,0,2024-09-07 08:34:50:922,33674,0.3,33580,0.5,67302,0.2,89875,1.50 112,1,2024-09-07 08:34:50:831,245002,245002,0,0,114713608077,1197176514,242677,1900,425,381,391580,0 112,2,2024-09-07 08:34:51:133,172183,172182,1,0,8366708,0,5036 112,3,2024-09-07 08:34:50:602,1,139,2,0,282,1624,139,0 113,0,2024-09-07 08:34:50:872,37091,0.4,36774,0.6,74769,0.4,100197,1.75 113,1,2024-09-07 08:34:51:697,245312,245312,0,0,115109444478,1196400660,242900,1924,488,366,391661,0 113,2,2024-09-07 08:34:51:308,178623,178623,0,0,7373460,0,3813 113,3,2024-09-07 08:34:50:683,1,139,1,0,288,2212,139,0 114,0,2024-09-07 08:34:50:878,33985,0.8,34581,0.8,67562,0.6,90411,2.00 114,1,2024-09-07 08:34:50:719,244560,244560,0,0,114781310599,1207603481,240435,2663,1462,381,391534,0 114,2,2024-09-07 08:34:50:878,177444,177444,0,0,7711097,0,3925 114,3,2024-09-07 08:34:51:277,1,139,0,0,395,1938,139,0 115,0,2024-09-07 08:34:50:559,34153,0.3,34398,0.4,68928,0.2,91146,1.50 115,1,2024-09-07 08:34:50:571,244496,244496,0,0,114500254565,1199324233,240857,2818,821,382,391602,0 115,2,2024-09-07 08:34:51:125,176830,176830,0,0,7193965,0,2152 115,3,2024-09-07 08:34:51:002,1,139,1,0,159,1045,139,0 116,0,2024-09-07 08:34:51:725,34089,0.7,33680,0.8,67725,0.7,89782,2.00 116,1,2024-09-07 08:34:50:830,242627,242627,0,0,113461276643,1213075736,237756,3118,1753,382,391677,0 116,2,2024-09-07 08:34:51:767,171012,171012,0,0,10104498,0,3529 116,3,2024-09-07 08:34:50:912,1,139,1,0,252,2098,139,0 117,0,2024-09-07 08:34:50:966,36282,1.9,36445,1.3,72543,2.9,97966,2.25 117,1,2024-09-07 08:34:51:601,244026,244026,0,0,113321160647,1194599373,240381,3219,426,370,392033,0 117,2,2024-09-07 08:34:51:143,176518,176518,0,0,8073807,0,3700 117,3,2024-09-07 08:34:51:064,1,139,3,0,490,3120,139,0 118,0,2024-09-07 08:34:51:781,34626,1.1,35675,1.0,72468,1.3,94317,2.25 118,1,2024-09-07 08:34:50:601,243526,243526,0,0,113447734491,1204633918,238708,3500,1318,368,391736,0 118,2,2024-09-07 08:34:51:590,177963,177963,0,0,9120471,0,2781 118,3,2024-09-07 08:34:51:775,1,139,5,0,235,1986,139,0 119,0,2024-09-07 08:34:51:375,34826,0.8,34993,0.9,70887,0.8,93615,2.00 119,1,2024-09-07 08:34:50:559,244188,244188,0,0,114460152471,1199262265,241744,2157,287,370,391641,0 119,2,2024-09-07 08:34:51:274,175582,175582,0,0,8636282,0,3526 119,3,2024-09-07 08:34:51:327,1,139,2,0,443,2780,139,0 120,0,2024-09-07 08:34:51:563,33403,0.5,33558,0.7,66861,0.4,89748,2.00 120,1,2024-09-07 08:34:50:871,244347,244347,0,0,114071738705,1202657411,241248,2840,259,368,391961,0 120,2,2024-09-07 08:34:50:772,172865,172864,1,0,10530941,0,5281 120,3,2024-09-07 08:34:51:300,1,139,2,0,241,1949,139,0 121,0,2024-09-07 08:34:51:692,34958,2.0,35460,1.3,70601,3.3,94273,2.50 121,1,2024-09-07 08:34:51:658,244323,244323,0,0,114167521086,1198964740,241604,2431,288,367,391840,0 121,2,2024-09-07 08:34:51:130,172411,172411,0,0,9511521,0,4127 121,3,2024-09-07 08:34:50:744,1,139,39,0,269,2022,139,0 122,0,2024-09-07 08:34:51:807,37564,1.3,36599,1.3,76543,1.5,101916,2.25 122,1,2024-09-07 08:34:50:864,243172,243172,0,0,113409235483,1198498395,239044,3423,705,366,392130,0 122,2,2024-09-07 08:34:51:320,177863,177863,0,0,10673879,0,3364 122,3,2024-09-07 08:34:50:602,1,139,3,0,411,3681,139,0 123,0,2024-09-07 08:34:50:963,33964,0.9,32996,0.9,68986,1.1,90411,2.25 123,1,2024-09-07 08:34:50:572,244678,244678,0,0,114188696826,1209576038,239610,4374,694,369,391823,0 123,2,2024-09-07 08:34:51:022,174705,174704,1,0,9170010,0,5215 123,3,2024-09-07 08:34:51:132,1,139,1,1,168,1915,139,0 124,0,2024-09-07 08:34:50:941,33705,0.3,33706,0.5,63585,0.2,86936,1.50 124,1,2024-09-07 08:34:51:033,244139,244139,0,0,113728629956,1186156379,242066,1718,355,367,392178,0 124,2,2024-09-07 08:34:51:010,175582,175582,0,0,7839467,0,3101 124,3,2024-09-07 08:34:50:772,1,139,1,0,490,2154,139,0 125,0,2024-09-07 08:34:51:426,33662,0.5,33551,0.6,67398,0.5,89419,1.75 125,1,2024-09-07 08:34:50:861,244250,244250,0,0,114949850436,1204984395,241894,2081,275,384,391702,0 125,2,2024-09-07 08:34:51:122,172574,172574,0,0,7615649,0,2180 125,3,2024-09-07 08:34:51:127,1,139,86,1,284,1988,139,0 126,0,2024-09-07 08:34:51:453,37988,0.9,38986,0.8,74545,0.9,101650,2.00 126,1,2024-09-07 08:34:50:553,244871,244871,0,0,114541525435,1191946726,243250,1544,77,365,391987,0 126,2,2024-09-07 08:34:50:623,178129,178129,0,0,8011550,0,3186 126,3,2024-09-07 08:34:50:911,1,139,1,0,150,2174,139,0 127,0,2024-09-07 08:34:51:672,32301,0.5,32566,0.6,65035,0.5,86344,1.75 127,1,2024-09-07 08:34:50:574,244193,244193,0,0,113374630828,1179756672,242138,2015,40,365,391816,0 127,2,2024-09-07 08:34:50:637,177346,177346,0,0,7600074,0,2264 127,3,2024-09-07 08:34:51:269,1,139,15,0,99,1077,139,0 128,0,2024-09-07 08:34:51:541,33759,0.3,33568,0.4,67009,0.2,88911,1.50 128,1,2024-09-07 08:34:51:610,244919,244919,0,0,114797403874,1191907809,243952,895,72,367,391680,0 128,2,2024-09-07 08:34:51:397,175489,175489,0,0,7667055,0,2107 128,3,2024-09-07 08:34:50:776,1,139,8,0,333,2182,139,0 129,0,2024-09-07 08:34:51:013,33834,0.3,33710,0.5,67221,0.3,89176,1.75 129,1,2024-09-07 08:34:50:587,243970,243970,0,0,113869178686,1197849461,241052,2526,392,379,391835,0 129,2,2024-09-07 08:34:50:686,171926,171926,0,0,7779009,0,4031 129,3,2024-09-07 08:34:50:691,1,139,3,1,173,1860,139,0 130,0,2024-09-07 08:34:51:736,37543,0.9,37191,0.9,74708,1.3,100466,2.00 130,1,2024-09-07 08:34:50:590,244655,244655,0,0,114189208511,1194335524,242573,2011,71,381,391825,0 130,2,2024-09-07 08:34:51:125,176511,176511,0,0,7814873,0,4067 130,3,2024-09-07 08:34:51:298,1,139,6,0,207,1318,139,0 131,0,2024-09-07 08:34:51:936,34389,0.7,34511,0.7,69776,0.9,91998,1.75 131,1,2024-09-07 08:34:51:851,245563,245563,0,0,114254330108,1192574526,244105,1252,206,384,391865,0 131,2,2024-09-07 08:34:50:571,178644,178644,0,0,7360297,0,2415 131,3,2024-09-07 08:34:51:707,1,139,1,0,392,1752,139,0 132,0,2024-09-07 08:34:51:412,34783,0.5,35234,0.8,70602,0.4,93535,2.00 132,1,2024-09-07 08:34:50:581,242865,242865,0,0,114120135466,1213939962,237471,4366,1028,381,391760,0 132,2,2024-09-07 08:34:50:699,175768,175768,0,0,10427620,0,4606 132,3,2024-09-07 08:34:51:689,1,139,29,0,356,2737,139,0 133,0,2024-09-07 08:34:51:529,33464,0.4,34134,0.6,70010,0.4,90600,2.00 133,1,2024-09-07 08:34:50:591,242544,242544,0,0,114515518347,1215570632,238048,3858,638,383,391914,0 133,2,2024-09-07 08:34:51:106,172026,172026,0,0,10325678,0,4315 133,3,2024-09-07 08:34:51:298,1,139,1,1,187,1328,139,0 134,0,2024-09-07 08:34:50:943,36200,0.7,36042,0.9,72758,0.7,97157,2.25 134,1,2024-09-07 08:34:50:592,243353,243353,0,0,113884725811,1201534665,239008,3125,1220,366,391718,0 134,2,2024-09-07 08:34:51:786,174029,174029,0,0,8527722,0,3096 134,3,2024-09-07 08:34:50:748,1,139,1,0,739,2859,139,0 135,0,2024-09-07 08:34:51:131,35900,1.8,35796,1.4,75801,2.2,97436,2.75 135,1,2024-09-07 08:34:51:585,243224,243224,0,0,113969516351,1205629306,239044,3479,701,380,391725,0 135,2,2024-09-07 08:34:50:696,178431,178431,0,0,9395480,0,3981 135,3,2024-09-07 08:34:51:001,1,139,1,0,89,846,139,0 136,0,2024-09-07 08:34:51:629,34798,0.6,34641,0.8,69849,0.6,92830,2.00 136,1,2024-09-07 08:34:51:453,243469,243469,0,0,114341253018,1202870953,240212,3084,173,384,391641,0 136,2,2024-09-07 08:34:51:133,177318,177318,0,0,8993564,0,3506 136,3,2024-09-07 08:34:51:108,1,139,10,0,108,1257,139,0 137,0,2024-09-07 08:34:50:930,33970,0.5,33041,0.7,65301,0.4,88289,2.00 137,1,2024-09-07 08:34:50:583,243835,243835,0,0,113981961317,1198870800,239798,3618,419,367,391608,0 137,2,2024-09-07 08:34:51:710,174078,174078,0,0,10250997,0,3185 137,3,2024-09-07 08:34:50:775,1,139,26,1,227,1801,139,0 138,0,2024-09-07 08:34:51:766,33735,1.7,33885,1.3,68336,2.4,91566,2.50 138,1,2024-09-07 08:34:51:713,244423,244423,0,0,114256583587,1199866882,241395,2679,349,368,391954,0 138,2,2024-09-07 08:34:50:590,173335,173335,0,0,8254851,0,3263 138,3,2024-09-07 08:34:50:622,1,139,1,0,1160,2680,139,0 139,0,2024-09-07 08:34:51:394,36552,3.3,36669,1.9,74013,4.5,99185,3.50 139,1,2024-09-07 08:34:50:599,242957,242957,0,0,113168081510,1207289116,237911,3732,1314,381,391892,0 139,2,2024-09-07 08:34:50:724,176156,176156,0,0,9523874,0,3097 139,3,2024-09-07 08:34:51:665,1,139,1,0,244,1748,139,0 140,0,2024-09-07 08:34:51:591,33110,0.4,32922,0.6,66216,0.3,88149,1.75 140,1,2024-09-07 08:34:51:538,245086,245086,0,0,114977177814,1189783641,243580,1266,240,365,391606,0 140,2,2024-09-07 08:34:50:687,177407,177407,0,0,8142693,0,3388 140,3,2024-09-07 08:34:50:767,1,139,4,0,247,1400,139,0 141,0,2024-09-07 08:34:51:710,32689,0.2,33724,0.4,64721,0.1,87595,1.50 141,1,2024-09-07 08:34:50:869,244773,244773,0,0,114771858877,1195399484,242835,1585,353,379,391614,0 141,2,2024-09-07 08:34:51:690,175716,175716,0,0,7680385,0,2342 141,3,2024-09-07 08:34:51:043,1,139,8,0,147,1271,139,0 142,0,2024-09-07 08:34:51:329,34043,0.3,33737,0.5,67009,0.3,89934,1.75 142,1,2024-09-07 08:34:50:584,244476,244476,0,0,114364623739,1195598905,242973,1346,157,383,391649,0 142,2,2024-09-07 08:34:51:310,170744,170712,32,0,8772713,0,6028 142,3,2024-09-07 08:34:51:756,1,139,1,0,484,2109,139,0 143,0,2024-09-07 08:34:51:374,37284,0.8,37303,0.8,75182,1.0,100056,2.00 143,1,2024-09-07 08:34:50:565,244358,244358,0,0,113854813553,1186558586,242256,2061,41,367,391619,0 143,2,2024-09-07 08:34:50:778,177063,177063,0,0,8160844,0,2669 143,3,2024-09-07 08:34:51:140,1,139,3,0,303,2497,139,0 144,0,2024-09-07 08:34:51:508,32463,1.2,33328,1.8,67617,1.4,89083,2.25 144,1,2024-09-07 08:34:50:565,243847,243847,0,0,114345668125,1203879384,241464,2180,203,381,391649,0 144,2,2024-09-07 08:34:51:758,178300,178300,0,0,7880756,0,3473 144,3,2024-09-07 08:34:51:751,1,139,1,0,249,2011,139,0 145,0,2024-09-07 08:34:51:392,33127,0.6,33060,0.8,70082,0.5,90665,2.25 145,1,2024-09-07 08:34:50:557,242335,242335,0,0,113341044480,1202168962,237956,3645,734,383,391615,0 145,2,2024-09-07 08:34:51:456,175823,175823,0,0,9028051,0,3903 145,3,2024-09-07 08:34:50:899,1,139,1,0,151,1764,139,0 146,0,2024-09-07 08:34:51:602,33754,0.5,33637,0.7,67985,0.4,89439,2.00 146,1,2024-09-07 08:34:51:588,244606,244606,0,0,113570664518,1197515736,240165,3582,859,368,391629,0 146,2,2024-09-07 08:34:51:698,172117,172117,0,0,8493133,0,2498 146,3,2024-09-07 08:34:51:281,1,139,6,0,1520,5106,139,0 147,0,2024-09-07 08:34:51:712,36425,1.3,36300,1.1,71956,1.3,97750,2.50 147,1,2024-09-07 08:34:51:379,245131,245131,0,0,114777560786,1200698935,242038,2600,493,368,391791,0 147,2,2024-09-07 08:34:51:014,175875,175875,0,0,8023932,0,2789 147,3,2024-09-07 08:34:50:913,1,139,5,0,371,1934,139,0 0,0,2024-09-07 08:35:01:744,32887,0.5,32946,0.7,69658,0.4,90573,1.75 0,1,2024-09-07 08:35:00:816,245970,245970,0,0,115608140218,1213579737,244015,1821,134,372,391692,0 0,2,2024-09-07 08:35:01:067,174028,174028,0,0,8234302,0,4480 0,3,2024-09-07 08:35:00:974,1,140,16,0,247,1982,140,0 1,0,2024-09-07 08:35:01:760,35616,1.7,35414,1.4,71069,2.3,95209,2.50 1,1,2024-09-07 08:35:00:567,245259,245259,0,0,114566284205,1207590608,241829,2563,867,372,391857,0 1,2,2024-09-07 08:35:00:651,174442,174442,0,0,7402472,0,3267 1,3,2024-09-07 08:35:01:323,1,140,16,0,262,1878,140,0 2,0,2024-09-07 08:35:01:567,38171,1.2,38256,1.1,76077,1.7,102437,2.25 2,1,2024-09-07 08:35:00:870,245683,245683,0,0,115504328194,1204294609,244238,1239,206,380,391745,0 2,2,2024-09-07 08:35:01:277,179219,179219,0,0,8177771,0,3594 2,3,2024-09-07 08:35:00:710,1,140,1,0,357,1649,140,0 3,0,2024-09-07 08:35:01:759,33860,0.6,33976,0.8,68110,0.5,90593,2.00 3,1,2024-09-07 08:35:01:626,245694,245694,0,0,114609369225,1201538303,242586,2684,424,382,391516,0 3,2,2024-09-07 08:35:01:163,177572,177549,23,0,8239410,0,5851 3,3,2024-09-07 08:35:01:752,1,140,14,0,103,964,140,0 4,0,2024-09-07 08:35:01:891,31903,0.3,32744,0.5,66573,0.2,87100,1.75 4,1,2024-09-07 08:35:00:609,245977,245977,0,0,114569023709,1209001292,243018,2439,520,371,391846,0 4,2,2024-09-07 08:35:01:044,175380,175380,0,0,9827641,0,4528 4,3,2024-09-07 08:35:01:037,1,140,19,0,287,2091,140,0 5,0,2024-09-07 08:35:01:438,33871,0.6,33702,0.7,67559,0.6,89901,1.75 5,1,2024-09-07 08:35:00:789,245176,245176,0,0,114842630692,1215995625,241009,3182,985,368,392005,0 5,2,2024-09-07 08:35:01:848,173508,173508,0,0,8303667,0,2259 5,3,2024-09-07 08:35:01:733,1,140,1,0,238,2268,140,0 6,0,2024-09-07 08:35:00:922,38684,1.1,38238,1.1,75959,1.7,102546,2.50 6,1,2024-09-07 08:35:00:752,245999,245999,0,0,114915284909,1208997335,242617,2735,647,381,391617,0 6,2,2024-09-07 08:35:01:123,179312,179312,0,0,8706930,0,4816 6,3,2024-09-07 08:35:01:292,1,140,8,0,340,2237,140,0 7,0,2024-09-07 08:35:01:536,32004,0.6,32058,0.8,64346,0.5,85743,2.00 7,1,2024-09-07 08:35:00:865,245815,245815,0,0,115128193231,1209757215,243098,2586,131,382,391664,0 7,2,2024-09-07 08:35:00:781,177990,177990,0,0,7854811,0,2981 7,3,2024-09-07 08:35:00:858,1,140,1,0,305,1521,140,0 8,0,2024-09-07 08:35:01:433,33532,0.3,33692,0.4,66711,0.2,89060,1.50 8,1,2024-09-07 08:35:01:037,245323,245323,0,0,114971735209,1221619907,239725,3999,1599,368,391956,0 8,2,2024-09-07 08:35:00:791,174929,174929,0,0,9556987,0,2986 8,3,2024-09-07 08:35:00:605,1,140,92,0,357,2503,140,0 9,0,2024-09-07 08:35:01:112,33754,0.4,32755,0.5,68352,0.3,89412,1.75 9,1,2024-09-07 08:35:00:553,245181,245181,0,0,115501218034,1224629040,240698,3358,1125,370,391753,0 9,2,2024-09-07 08:35:01:104,172945,172945,0,0,8799893,0,3360 9,3,2024-09-07 08:35:01:756,1,140,298,0,496,2517,140,0 10,0,2024-09-07 08:35:01:603,37732,0.4,37745,0.6,75752,0.3,101187,1.75 10,1,2024-09-07 08:35:00:590,245455,245455,0,0,114274522015,1205510647,240987,3827,641,381,391741,0 10,2,2024-09-07 08:35:00:775,178041,178041,0,0,9157166,0,2940 10,3,2024-09-07 08:35:00:871,1,140,7,0,177,1178,140,0 11,0,2024-09-07 08:35:01:034,33727,1.2,32934,1.2,69030,2.1,91906,2.50 11,1,2024-09-07 08:35:00:579,245336,245336,0,0,114774020517,1213919940,240036,4030,1270,384,391537,0 11,2,2024-09-07 08:35:01:130,178813,178813,0,0,8916637,0,3411 11,3,2024-09-07 08:35:01:299,1,140,1,0,720,2782,140,0 12,0,2024-09-07 08:35:01:011,35609,0.3,35265,0.5,70899,0.2,93779,1.50 12,1,2024-09-07 08:35:00:938,245599,245599,0,0,114362813073,1200340773,242726,2463,410,370,391837,0 12,2,2024-09-07 08:35:01:542,178418,178418,0,0,9094367,0,3469 12,3,2024-09-07 08:35:01:068,1,140,1,0,358,2487,140,0 13,0,2024-09-07 08:35:01:360,34525,0.4,34557,0.5,69028,0.3,91706,1.75 13,1,2024-09-07 08:35:01:528,245930,245930,0,0,114911107289,1210686433,243518,2004,408,384,391717,0 13,2,2024-09-07 08:35:00:604,174212,174212,0,0,8205674,0,3287 13,3,2024-09-07 08:35:01:772,1,140,0,0,467,3052,140,0 14,0,2024-09-07 08:35:00:564,36854,0.5,37004,0.7,73192,0.5,98196,2.00 14,1,2024-09-07 08:35:01:567,247114,247114,0,0,115137821739,1199549771,244850,2101,163,364,391571,0 14,2,2024-09-07 08:35:00:775,175694,175694,0,0,8378979,0,2896 14,3,2024-09-07 08:35:01:119,1,140,3,0,1168,2848,140,0 15,0,2024-09-07 08:35:01:605,36812,1.3,36804,1.2,74051,1.9,98203,2.75 15,1,2024-09-07 08:35:01:628,245687,245687,0,0,115189192634,1203447381,243775,1803,109,381,391619,0 15,2,2024-09-07 08:35:00:998,179946,179946,0,0,7141020,0,3043 15,3,2024-09-07 08:35:01:416,1,140,1,0,1126,4269,140,0 16,0,2024-09-07 08:35:00:982,34928,0.5,35264,0.8,69716,0.5,93509,2.00 16,1,2024-09-07 08:35:00:609,246156,246156,0,0,114599117621,1202732270,243946,1950,260,372,391756,0 16,2,2024-09-07 08:35:01:439,176986,176986,0,0,9227656,0,4719 16,3,2024-09-07 08:35:01:157,1,140,1,0,231,1999,140,0 17,0,2024-09-07 08:35:01:864,34324,0.4,33482,0.6,65748,0.4,88862,1.75 17,1,2024-09-07 08:35:00:574,245382,245382,0,0,114990292788,1214604433,242034,2677,671,368,391688,0 17,2,2024-09-07 08:35:01:668,176887,176887,0,0,8226096,0,2857 17,3,2024-09-07 08:35:00:574,1,140,5,0,268,2391,140,0 18,0,2024-09-07 08:35:00:960,33982,1.0,34367,1.0,68805,1.2,92093,2.50 18,1,2024-09-07 08:35:01:638,245495,245495,0,0,114916817808,1197350161,243502,1759,234,368,391564,0 18,2,2024-09-07 08:35:01:761,174203,174203,0,0,8182818,0,3541 18,3,2024-09-07 08:35:00:896,1,140,1,0,163,1696,140,0 19,0,2024-09-07 08:35:01:585,37350,1.0,37796,1.0,74418,1.1,99248,3.00 19,1,2024-09-07 08:35:00:570,246341,246341,0,0,115308780692,1208145261,242528,3097,716,367,391777,0 19,2,2024-09-07 08:35:01:751,179981,179981,0,0,7497255,0,3988 19,3,2024-09-07 08:35:01:131,1,140,1,0,524,1445,140,0 20,0,2024-09-07 08:35:01:369,33239,0.7,33112,0.9,66375,0.8,88752,2.25 20,1,2024-09-07 08:35:00:598,245499,245499,0,0,115011010339,1212051304,242070,3040,389,369,391822,0 20,2,2024-09-07 08:35:00:948,178092,178092,0,0,8470082,0,3721 20,3,2024-09-07 08:35:00:609,1,140,8,0,414,2776,140,0 21,0,2024-09-07 08:35:01:301,33394,0.4,33348,0.5,66322,0.3,87833,1.75 21,1,2024-09-07 08:35:01:544,245092,245092,0,0,114103132490,1207109632,241470,3091,531,368,391962,0 21,2,2024-09-07 08:35:01:066,176289,176289,0,0,9119842,0,3747 21,3,2024-09-07 08:35:01:422,1,140,1,0,103,1867,140,0 22,0,2024-09-07 08:35:01:720,33654,0.8,33741,0.9,67047,0.8,89868,2.25 22,1,2024-09-07 08:35:01:032,245154,245154,0,0,114286630323,1213231725,239652,3993,1509,382,391667,0 22,2,2024-09-07 08:35:00:765,173191,173191,0,0,7775342,0,3134 22,3,2024-09-07 08:35:01:067,1,140,1,0,228,1555,140,0 23,0,2024-09-07 08:35:01:376,37325,0.8,37503,0.9,75311,0.9,101000,2.50 23,1,2024-09-07 08:35:01:003,246097,246097,0,0,114617063668,1205559856,242003,2612,1482,365,391549,0 23,2,2024-09-07 08:35:01:100,178387,178387,0,0,8130319,0,3010 23,3,2024-09-07 08:35:01:755,1,140,1,0,645,1732,140,0 24,0,2024-09-07 08:35:00:857,34193,0.6,34207,0.7,68551,0.6,90663,1.75 24,1,2024-09-07 08:35:00:616,245899,245899,0,0,114806720372,1206782952,242949,2151,799,369,391640,0 24,2,2024-09-07 08:35:01:069,177701,177701,0,0,9547200,0,2942 24,3,2024-09-07 08:35:01:703,1,140,1,0,234,1710,140,0 25,0,2024-09-07 08:35:01:427,35317,0.4,34417,0.6,67482,0.3,91668,1.75 25,1,2024-09-07 08:35:00:565,245907,245907,0,0,115121549223,1214425726,242230,3134,543,371,391788,0 25,2,2024-09-07 08:35:01:606,176220,176220,0,0,9563848,0,3978 25,3,2024-09-07 08:35:01:009,1,140,15,0,158,1655,140,0 26,0,2024-09-07 08:35:01:725,33591,0.4,32928,0.6,69050,0.3,89826,1.75 26,1,2024-09-07 08:35:01:543,246122,246122,0,0,114501531327,1209053350,241463,3758,901,381,391564,0 26,2,2024-09-07 08:35:00:861,173331,173331,0,0,8533498,0,2809 26,3,2024-09-07 08:35:01:711,1,140,0,0,796,2146,140,0 27,0,2024-09-07 08:35:01:774,37146,0.6,37194,0.7,73704,0.6,99079,1.75 27,1,2024-09-07 08:35:01:678,247044,247044,0,0,115675119882,1205179083,245227,1494,323,381,391539,0 27,2,2024-09-07 08:35:00:869,175643,175643,0,0,9433088,0,3409 27,3,2024-09-07 08:35:01:026,1,140,1,0,564,1897,140,0 28,0,2024-09-07 08:35:01:398,35342,1.0,35664,0.9,71627,1.3,95175,2.75 28,1,2024-09-07 08:35:00:803,246029,246029,0,0,116195705554,1215576122,243869,1824,336,383,391646,0 28,2,2024-09-07 08:35:01:773,179816,179816,0,0,7522581,0,2915 28,3,2024-09-07 08:35:01:791,1,140,1,0,502,1944,140,0 29,0,2024-09-07 08:35:01:372,36209,0.4,35373,0.6,69285,0.3,94785,1.75 29,1,2024-09-07 08:35:01:566,247185,247185,0,0,115417791753,1201005126,244759,1883,543,369,391621,0 29,2,2024-09-07 08:35:00:862,177983,177983,0,0,7321323,0,4018 29,3,2024-09-07 08:35:00:963,1,140,2,0,105,1180,140,0 30,0,2024-09-07 08:35:01:460,33809,0.6,32968,0.8,68947,0.5,90640,2.00 30,1,2024-09-07 08:35:00:575,246635,246635,0,0,115451998135,1203720138,244651,1706,278,382,391672,0 30,2,2024-09-07 08:35:01:278,175262,175262,0,0,7307264,0,3161 30,3,2024-09-07 08:35:00:588,1,140,1,0,195,1148,140,0 31,0,2024-09-07 08:35:01:762,35269,0.7,35492,0.8,71625,0.7,95055,2.00 31,1,2024-09-07 08:35:00:569,247621,247621,0,0,115413534260,1186554667,246822,688,111,356,391553,0 31,2,2024-09-07 08:35:01:279,174877,174877,0,0,9010094,0,3525 31,3,2024-09-07 08:35:01:706,1,140,1,0,220,1159,140,0 32,0,2024-09-07 08:35:01:426,38244,0.6,38616,0.7,77108,0.5,103150,1.75 32,1,2024-09-07 08:35:00:812,246811,246811,0,0,115436433381,1202732027,245072,1464,275,382,391595,0 32,2,2024-09-07 08:35:00:938,179415,179415,0,0,7284101,0,3155 32,3,2024-09-07 08:35:01:026,1,140,1,0,227,1404,140,0 33,0,2024-09-07 08:35:01:498,34499,0.4,33917,0.6,68626,0.3,91600,1.75 33,1,2024-09-07 08:35:00:578,247050,247050,0,0,115778921950,1203293241,244792,2086,172,369,391730,0 33,2,2024-09-07 08:35:00:775,177576,177543,33,0,9119353,0,7012 33,3,2024-09-07 08:35:00:900,1,140,0,0,329,1794,140,0 34,0,2024-09-07 08:35:00:933,32826,0.3,33902,0.5,65040,0.2,87153,1.75 34,1,2024-09-07 08:35:01:058,247260,247260,0,0,115927949526,1197183048,246265,989,6,367,391562,0 34,2,2024-09-07 08:35:00:768,175489,175489,0,0,8140380,0,3577 34,3,2024-09-07 08:35:01:702,1,140,2,0,148,937,140,0 35,0,2024-09-07 08:35:00:879,33446,0.5,33861,0.6,67479,0.5,90008,1.75 35,1,2024-09-07 08:35:01:067,246420,246420,0,0,115433056318,1203884124,243623,2027,770,384,391589,0 35,2,2024-09-07 08:35:01:583,173558,173558,0,0,7267724,0,2653 35,3,2024-09-07 08:35:00:910,1,140,1,0,418,1917,140,0 36,0,2024-09-07 08:35:01:525,38229,1.2,38024,1.1,76816,1.5,102088,2.75 36,1,2024-09-07 08:35:00:597,245555,245555,0,0,114818666072,1209339287,240945,3322,1288,366,391759,0 36,2,2024-09-07 08:35:01:756,178266,178266,0,0,8831007,0,3303 36,3,2024-09-07 08:35:00:868,1,140,5,0,378,1740,140,0 37,0,2024-09-07 08:35:01:370,32164,0.6,32332,0.8,64258,0.5,86647,2.00 37,1,2024-09-07 08:35:00:569,245163,245156,0,7,115546072211,1221860333,240399,2739,2018,365,391518,0 37,2,2024-09-07 08:35:01:145,178380,178365,15,0,8894141,0,5815 37,3,2024-09-07 08:35:01:772,1,140,3,0,724,2435,140,0 38,0,2024-09-07 08:35:01:439,33424,0.4,32343,0.6,67552,0.3,88632,2.00 38,1,2024-09-07 08:35:01:625,246723,246723,0,0,115032059309,1208763996,242712,3379,632,368,391821,0 38,2,2024-09-07 08:35:00:769,176913,176866,47,0,10597050,0,6710 38,3,2024-09-07 08:35:00:997,1,140,2,0,603,2078,140,0 39,0,2024-09-07 08:35:01:782,34528,0.6,33722,0.7,65988,0.7,89920,2.00 39,1,2024-09-07 08:35:00:717,246101,246101,0,0,114785638630,1203401332,242315,2985,801,365,391524,0 39,2,2024-09-07 08:35:01:429,173164,173164,0,0,7680126,0,2689 39,3,2024-09-07 08:35:00:729,1,140,2,0,276,2022,140,0 40,0,2024-09-07 08:35:01:490,37165,1.0,37731,1.3,74916,1.2,100624,3.25 40,1,2024-09-07 08:35:00:583,245940,245940,0,0,114440843553,1204860807,241534,3638,768,368,391591,0 40,2,2024-09-07 08:35:01:317,177319,177318,1,0,9754061,0,5137 40,3,2024-09-07 08:35:01:162,1,140,1,0,181,1483,140,0 41,0,2024-09-07 08:35:01:035,33795,2.5,34449,1.9,66196,3.8,90509,4.50 41,1,2024-09-07 08:35:00:776,245835,245835,0,0,114758110705,1207757922,241647,3657,531,370,391742,0 41,2,2024-09-07 08:35:00:765,178064,178064,0,0,9585896,0,3356 41,3,2024-09-07 08:35:01:677,1,140,1,0,366,1921,140,0 42,0,2024-09-07 08:35:01:476,34564,0.5,34941,0.8,69733,0.5,91921,2.25 42,1,2024-09-07 08:35:01:439,244684,244684,0,0,114467637516,1210234118,239988,3738,958,380,391675,0 42,2,2024-09-07 08:35:01:170,176775,176775,0,0,9276630,0,3568 42,3,2024-09-07 08:35:01:014,1,140,2,0,446,1380,140,0 43,0,2024-09-07 08:35:00:925,34357,0.7,33644,0.9,69801,0.7,91717,2.00 43,1,2024-09-07 08:35:00:576,245882,245882,0,0,115879332753,1213358399,242643,2446,793,366,391604,0 43,2,2024-09-07 08:35:01:738,174162,174162,0,0,8599533,0,3812 43,3,2024-09-07 08:35:01:751,1,140,1,0,325,2088,140,0 44,0,2024-09-07 08:35:00:859,36892,0.6,36793,0.8,73708,0.6,98912,2.00 44,1,2024-09-07 08:35:00:563,246443,246443,0,0,114677168904,1190328384,244386,1632,425,356,391809,0 44,2,2024-09-07 08:35:01:269,175310,175310,0,0,7234163,0,1877 44,3,2024-09-07 08:35:01:098,1,140,1,0,817,1982,140,0 45,0,2024-09-07 08:35:01:766,36400,1.6,35660,1.3,74939,2.2,98823,2.50 45,1,2024-09-07 08:35:01:006,246312,246312,0,0,115788429123,1203635529,245273,1029,10,382,391917,0 45,2,2024-09-07 08:35:01:288,180176,180176,0,0,7844704,0,3596 45,3,2024-09-07 08:35:00:937,1,140,14,0,226,1389,140,0 46,0,2024-09-07 08:35:00:956,34770,0.4,34734,0.6,69704,0.3,92612,1.75 46,1,2024-09-07 08:35:00:576,246937,246937,0,0,115261284489,1196363093,245130,1585,222,366,391524,0 46,2,2024-09-07 08:35:00:603,178360,178360,0,0,7850647,0,2920 46,3,2024-09-07 08:35:01:155,1,140,1,0,908,2858,140,0 47,0,2024-09-07 08:35:01:134,33290,0.3,33478,0.5,67253,0.2,88476,1.75 47,1,2024-09-07 08:35:00:567,246869,246869,0,0,114913953864,1190615794,245471,1381,17,366,391605,0 47,2,2024-09-07 08:35:00:925,176406,176406,0,0,7964057,0,2558 47,3,2024-09-07 08:35:01:119,1,140,1,0,529,1700,140,0 48,0,2024-09-07 08:35:01:521,34893,0.3,34508,0.5,69288,0.2,92714,1.75 48,1,2024-09-07 08:35:01:033,246795,246795,0,0,115637131250,1205641168,244737,1878,180,384,391710,0 48,2,2024-09-07 08:35:00:730,173997,173997,0,0,6606639,0,2083 48,3,2024-09-07 08:35:00:758,1,140,0,0,339,1371,140,0 49,0,2024-09-07 08:35:01:715,38686,0.5,38030,0.7,73920,0.5,101116,1.75 49,1,2024-09-07 08:35:01:036,245593,245593,0,0,115324591852,1207149130,242859,1894,840,382,391583,0 49,2,2024-09-07 08:35:01:805,179948,179948,0,0,7697513,0,3900 49,3,2024-09-07 08:35:01:416,1,140,3,0,408,1790,140,0 50,0,2024-09-07 08:35:01:522,33323,0.4,32804,0.6,65896,0.3,88718,1.75 50,1,2024-09-07 08:35:01:010,247323,247323,0,0,115905324369,1206233455,244799,2215,309,368,391530,0 50,2,2024-09-07 08:35:01:068,177710,177710,0,0,7318378,0,2253 50,3,2024-09-07 08:35:01:298,1,140,0,0,335,1286,140,0 51,0,2024-09-07 08:35:01:686,33867,0.3,33271,0.4,64859,0.2,88269,1.50 51,1,2024-09-07 08:35:01:680,246451,246451,0,0,116469677364,1207956467,244484,1181,786,367,391637,0 51,2,2024-09-07 08:35:01:316,176375,176375,0,0,7013824,0,3337 51,3,2024-09-07 08:35:01:031,1,140,2,0,162,863,140,0 52,0,2024-09-07 08:35:01:416,33939,0.6,33994,0.8,68209,0.6,90338,2.25 52,1,2024-09-07 08:35:00:589,245038,245038,0,0,114364893435,1211642337,239381,4502,1155,368,391722,0 52,2,2024-09-07 08:35:01:761,171647,171609,38,0,9374706,0,6742 52,3,2024-09-07 08:35:00:690,1,140,1,0,1782,3431,140,0 53,0,2024-09-07 08:35:01:730,37379,1.1,36359,1.0,76093,1.3,100339,3.00 53,1,2024-09-07 08:35:00:785,244888,244888,0,0,115385148812,1224106084,239272,3722,1894,367,391702,0 53,2,2024-09-07 08:35:01:300,178976,178976,0,0,7723461,0,2262 53,3,2024-09-07 08:35:00:712,1,140,23,0,133,1196,140,0 54,0,2024-09-07 08:35:01:635,32630,3.1,33118,1.5,65425,1.7,87833,4.50 54,1,2024-09-07 08:35:00:581,246101,246101,0,0,115563213315,1211820077,242167,3363,571,367,391532,0 54,2,2024-09-07 08:35:00:869,178449,178443,6,0,9352101,0,5382 54,3,2024-09-07 08:35:00:774,1,140,1,0,676,2788,140,0 55,0,2024-09-07 08:35:01:768,33097,0.5,34044,0.7,69142,0.4,89573,2.50 55,1,2024-09-07 08:35:00:768,245192,245192,0,0,114450344662,1204613424,240007,4398,787,367,391731,0 55,2,2024-09-07 08:35:00:733,176578,176578,0,0,9101990,0,3275 55,3,2024-09-07 08:35:00:687,1,140,2,0,304,1629,140,0 56,0,2024-09-07 08:35:01:562,34751,1.3,32903,1.1,67398,1.8,90099,2.50 56,1,2024-09-07 08:35:00:585,245639,245639,0,0,115209708571,1225675415,240517,4219,903,382,391678,0 56,2,2024-09-07 08:35:01:311,172993,172993,0,0,9642418,0,3567 56,3,2024-09-07 08:35:01:060,1,140,1,0,405,2134,140,0 57,0,2024-09-07 08:35:00:948,36100,2.8,36053,1.7,72239,4.5,97051,4.25 57,1,2024-09-07 08:35:00:992,245775,245775,0,0,114867115828,1207163114,242960,2645,170,368,391773,0 57,2,2024-09-07 08:35:01:325,177212,177212,0,0,9935713,0,3178 57,3,2024-09-07 08:35:01:743,1,140,2,0,359,2634,140,0 58,0,2024-09-07 08:35:00:569,34443,1.7,33539,1.3,70352,2.7,91847,3.00 58,1,2024-09-07 08:35:00:581,246447,246444,0,3,115561209066,1212036297,242931,3116,397,369,391516,3 58,2,2024-09-07 08:35:01:081,179436,179436,0,0,9048811,0,2549 58,3,2024-09-07 08:35:01:071,1,140,1,0,219,1207,140,0 59,0,2024-09-07 08:35:01:746,35462,0.8,35165,1.0,70320,0.9,93617,3.00 59,1,2024-09-07 08:35:00:811,246060,246060,0,0,114336449206,1202173880,242414,2724,922,369,391515,0 59,2,2024-09-07 08:35:00:608,178483,178483,0,0,7891602,0,2604 59,3,2024-09-07 08:35:01:740,1,140,1,0,1015,2846,140,0 60,0,2024-09-07 08:35:01:734,34229,0.4,34293,0.6,68091,0.4,90744,1.75 60,1,2024-09-07 08:35:00:799,247210,247210,0,0,115629424132,1202494512,245756,1097,357,370,391761,0 60,2,2024-09-07 08:35:01:146,174181,174181,0,0,8997198,0,3811 60,3,2024-09-07 08:35:01:267,1,140,10,0,124,1513,140,0 61,0,2024-09-07 08:35:01:503,35394,1.8,35623,1.2,71165,2.6,95098,2.50 61,1,2024-09-07 08:35:00:785,245782,245782,0,0,115343030359,1214705745,242349,2881,552,382,391589,0 61,2,2024-09-07 08:35:01:121,175793,175793,0,0,7871421,0,2079 61,3,2024-09-07 08:35:01:702,1,140,4,0,199,1855,140,0 62,0,2024-09-07 08:35:01:710,38471,1.5,39266,1.1,75191,2.3,102836,2.50 62,1,2024-09-07 08:35:01:127,247679,247673,0,6,115488819633,1195270567,245995,1639,39,365,391715,6 62,2,2024-09-07 08:35:01:644,178097,178096,1,0,9617948,0,5555 62,3,2024-09-07 08:35:01:143,1,140,7,0,287,1141,140,0 63,0,2024-09-07 08:35:01:455,34066,0.6,34083,0.7,68286,0.6,91145,2.00 63,1,2024-09-07 08:35:00:812,247250,247244,0,6,115764193021,1202532661,246007,1211,26,381,391542,6 63,2,2024-09-07 08:35:00:768,177300,177300,0,0,7371964,0,2674 63,3,2024-09-07 08:35:01:733,1,140,1,0,667,2354,140,0 64,0,2024-09-07 08:35:01:513,32751,0.4,32666,0.6,65402,0.3,87170,1.75 64,1,2024-09-07 08:35:00:767,246551,246551,0,0,115182099419,1209172831,243089,2409,1053,371,391783,0 64,2,2024-09-07 08:35:01:149,177443,177424,19,0,8157016,0,6121 64,3,2024-09-07 08:35:01:161,1,140,5,0,265,1738,140,0 65,0,2024-09-07 08:35:01:699,33013,1.2,33632,1.0,66960,1.5,89271,2.50 65,1,2024-09-07 08:35:00:863,245824,245824,0,0,114605128888,1203469243,243383,2219,222,382,391770,0 65,2,2024-09-07 08:35:01:696,174039,174039,0,0,8470367,0,3367 65,3,2024-09-07 08:35:01:688,1,140,19,0,163,1538,140,0 66,0,2024-09-07 08:35:01:771,37879,0.9,37799,1.0,76207,1.0,101422,2.50 66,1,2024-09-07 08:35:01:299,246913,246913,0,0,115679327309,1205726914,245043,1699,171,380,391588,0 66,2,2024-09-07 08:35:01:132,180384,180384,0,0,7915074,0,4956 66,3,2024-09-07 08:35:01:079,1,140,3,0,291,1657,140,0 67,0,2024-09-07 08:35:01:483,32598,1.0,32517,1.0,65101,1.3,86750,2.50 67,1,2024-09-07 08:35:00:779,245834,245833,0,1,114950979858,1207674363,242368,2719,746,381,391787,1 67,2,2024-09-07 08:35:00:583,179037,179037,0,0,7482197,0,2889 67,3,2024-09-07 08:35:01:755,1,140,1,0,138,1239,140,0 68,0,2024-09-07 08:35:00:570,33748,0.5,33611,0.7,67074,0.4,88820,2.00 68,1,2024-09-07 08:35:00:575,245226,245226,0,0,114521555187,1206556707,242385,1823,1018,381,391953,0 68,2,2024-09-07 08:35:01:058,175722,175657,65,0,10867821,0,6698 68,3,2024-09-07 08:35:00:738,1,140,1,0,417,1945,140,0 69,0,2024-09-07 08:35:01:734,33556,0.9,33823,0.9,67040,1.0,89469,2.25 69,1,2024-09-07 08:35:01:027,244681,244681,0,0,114541796600,1217153070,240694,2773,1214,384,391611,0 69,2,2024-09-07 08:35:01:733,173005,173005,0,0,9115533,0,3701 69,3,2024-09-07 08:35:00:772,1,140,8,0,238,1829,140,0 70,0,2024-09-07 08:35:01:565,37157,2.5,37345,1.7,74870,1.9,100014,3.50 70,1,2024-09-07 08:35:00:812,246618,246618,0,0,115187076859,1201507258,244206,1950,462,366,391725,0 70,2,2024-09-07 08:35:01:348,177473,177473,0,0,8647073,0,4044 70,3,2024-09-07 08:35:00:751,1,140,1,0,854,1823,140,0 71,0,2024-09-07 08:35:01:367,33788,2.5,33815,1.9,67271,4.1,90687,4.00 71,1,2024-09-07 08:35:01:596,246257,246257,0,0,115127024076,1210804034,242150,3584,523,368,391682,0 71,2,2024-09-07 08:35:01:068,179965,179965,0,0,8630669,0,2470 71,3,2024-09-07 08:35:01:755,1,140,1,0,644,2504,140,0 72,0,2024-09-07 08:35:01:028,36323,0.5,35501,0.7,69535,0.4,94031,2.25 72,1,2024-09-07 08:35:01:030,246093,246093,0,0,115014583996,1205474592,242850,2757,486,370,391819,0 72,2,2024-09-07 08:35:01:756,176510,176510,0,0,10166205,0,2570 72,3,2024-09-07 08:35:01:756,1,140,3,0,325,2319,140,0 73,0,2024-09-07 08:35:01:107,33587,0.4,34385,0.6,70458,0.3,91520,2.25 73,1,2024-09-07 08:35:00:774,246360,246360,0,0,115188826095,1199140364,244557,1688,115,368,391627,0 73,2,2024-09-07 08:35:01:749,174131,174131,0,0,9384930,0,3482 73,3,2024-09-07 08:35:00:977,1,140,3,0,274,2449,140,0 74,0,2024-09-07 08:35:01:342,37041,0.6,37670,0.9,71992,0.6,98469,2.50 74,1,2024-09-07 08:35:00:636,246447,246447,0,0,114485606235,1199585140,243665,2162,620,382,391681,0 74,2,2024-09-07 08:35:01:005,175523,175523,0,0,9391228,0,4253 74,3,2024-09-07 08:35:01:449,1,140,42,0,522,2682,140,0 75,0,2024-09-07 08:35:01:767,37067,1.7,36824,1.3,73769,2.4,99034,3.00 75,1,2024-09-07 08:35:01:585,245659,245659,0,0,115106571670,1210822874,242276,2923,460,381,391579,0 75,2,2024-09-07 08:35:01:356,178946,178946,0,0,8964172,0,4766 75,3,2024-09-07 08:35:01:068,1,140,3,0,535,1711,140,0 76,0,2024-09-07 08:35:00:614,34701,0.4,34531,0.7,68963,0.4,92819,2.25 76,1,2024-09-07 08:35:00:832,246184,246184,0,0,115219511692,1206524762,244125,1549,510,382,391692,0 76,2,2024-09-07 08:35:01:072,178615,178615,0,0,7747687,0,3064 76,3,2024-09-07 08:35:01:157,1,140,5,0,175,1616,140,0 77,0,2024-09-07 08:35:01:701,33295,0.5,33539,0.7,67072,0.5,88539,2.00 77,1,2024-09-07 08:35:00:836,246734,246734,0,0,115241932446,1205100230,245150,1502,82,383,391808,0 77,2,2024-09-07 08:35:01:286,175239,175239,0,0,8029948,0,3890 77,3,2024-09-07 08:35:01:103,1,140,0,0,305,1916,140,0 78,0,2024-09-07 08:35:01:737,34490,0.6,34531,0.8,69478,0.6,92401,2.00 78,1,2024-09-07 08:35:00:617,246381,246381,0,0,114477388464,1195889780,244055,2075,251,367,391589,0 78,2,2024-09-07 08:35:01:418,175024,175024,0,0,7551296,0,2114 78,3,2024-09-07 08:35:01:166,1,140,1,0,181,1567,140,0 79,0,2024-09-07 08:35:01:368,36602,0.6,37296,0.8,76413,0.5,99667,2.75 79,1,2024-09-07 08:35:00:582,246978,246978,0,0,115182070502,1197827487,244796,2011,171,369,391682,0 79,2,2024-09-07 08:35:01:069,180079,180079,0,0,7808566,0,3212 79,3,2024-09-07 08:35:00:752,1,140,1,0,418,2807,140,0 80,0,2024-09-07 08:35:01:081,33072,0.7,33825,0.9,65284,0.8,88860,2.25 80,1,2024-09-07 08:35:01:625,245466,245466,0,0,115145872142,1203771825,242958,2349,159,368,391673,0 80,2,2024-09-07 08:35:01:094,178584,178584,0,0,8135121,0,4433 80,3,2024-09-07 08:35:00:574,1,140,1,0,190,2505,140,0 81,0,2024-09-07 08:35:01:565,33118,0.5,34129,0.6,65396,0.4,87958,1.75 81,1,2024-09-07 08:35:01:670,245911,245911,0,0,114625310049,1202822477,243436,2203,272,382,391879,0 81,2,2024-09-07 08:35:01:139,176096,176096,0,0,8605017,0,3993 81,3,2024-09-07 08:35:01:120,1,140,0,0,193,1490,140,0 82,0,2024-09-07 08:35:01:536,33660,0.6,33772,0.8,67842,0.5,90470,2.00 82,1,2024-09-07 08:35:00:610,246196,246192,0,4,115260541589,1206127429,243753,1863,576,382,391558,4 82,2,2024-09-07 08:35:01:693,174076,174076,0,0,7803556,0,3986 82,3,2024-09-07 08:35:01:759,1,140,3,0,227,1782,140,0 83,0,2024-09-07 08:35:01:529,37746,0.8,37615,0.9,74460,0.9,100341,2.25 83,1,2024-09-07 08:35:00:554,246303,246303,0,0,114872214201,1203838438,243882,2218,203,382,391584,0 83,2,2024-09-07 08:35:00:769,178415,178415,0,0,7719037,0,3119 83,3,2024-09-07 08:35:00:757,1,140,3,0,133,1459,140,0 84,0,2024-09-07 08:35:01:807,33366,1.9,33022,1.5,66469,1.5,89146,3.00 84,1,2024-09-07 08:35:01:128,245328,245328,0,0,115218250392,1212288917,241951,2923,454,368,391852,0 84,2,2024-09-07 08:35:00:578,178657,178657,0,0,8995292,0,3801 84,3,2024-09-07 08:35:01:144,1,140,3,0,236,1602,140,0 85,0,2024-09-07 08:35:01:006,33119,0.5,33116,0.7,70198,0.4,90704,2.25 85,1,2024-09-07 08:35:00:566,244967,244967,0,0,114390563813,1218678519,239575,4316,1076,382,391679,0 85,2,2024-09-07 08:35:00:869,177435,177435,0,0,9748240,0,3656 85,3,2024-09-07 08:35:00:693,1,140,2,0,115,1474,140,0 86,0,2024-09-07 08:35:00:925,33901,0.6,34898,0.7,66914,0.6,89924,2.00 86,1,2024-09-07 08:35:00:867,245913,245913,0,0,114878799334,1209389738,241976,3394,543,366,391961,0 86,2,2024-09-07 08:35:00:869,172281,172280,1,0,9823109,0,5004 86,3,2024-09-07 08:35:00:596,1,140,2,0,286,2233,140,0 87,0,2024-09-07 08:35:01:308,36711,1.9,36754,1.3,73239,3.0,98967,2.50 87,1,2024-09-07 08:35:00:551,245161,245161,0,0,115114637707,1211606017,241957,2813,391,366,391788,0 87,2,2024-09-07 08:35:01:067,176658,176658,0,0,8300635,0,3515 87,3,2024-09-07 08:35:01:805,1,140,0,0,335,2613,140,0 88,0,2024-09-07 08:35:01:535,35740,0.8,35849,0.8,71530,0.9,95302,2.00 88,1,2024-09-07 08:35:00:660,244922,244922,0,0,115263237640,1215669861,240914,2844,1164,367,391787,0 88,2,2024-09-07 08:35:00:688,179496,179496,0,0,9657427,0,3583 88,3,2024-09-07 08:35:01:278,1,140,2,1,435,2041,140,0 89,0,2024-09-07 08:35:01:779,36455,0.5,35335,0.7,69942,0.4,95091,1.75 89,1,2024-09-07 08:35:00:567,244453,244453,0,0,114785144796,1220951207,239042,4478,933,382,391866,0 89,2,2024-09-07 08:35:01:132,177360,177360,0,0,9402533,0,2910 89,3,2024-09-07 08:35:01:811,1,140,7,0,325,3009,140,0 90,0,2024-09-07 08:35:01:844,33051,0.4,33730,0.6,69397,0.3,90520,1.75 90,1,2024-09-07 08:35:00:605,246174,246174,0,0,114333296807,1205678144,242963,2955,256,382,391825,0 90,2,2024-09-07 08:35:01:409,173170,173170,0,0,10058411,0,3060 90,3,2024-09-07 08:35:00:939,1,140,31,1,200,1793,140,0 91,0,2024-09-07 08:35:00:965,36004,0.5,34731,0.7,72407,0.6,95577,1.75 91,1,2024-09-07 08:35:00:563,245754,245754,0,0,116019789491,1223493962,242029,3230,495,381,391960,0 91,2,2024-09-07 08:35:01:332,173783,173783,0,0,9495511,0,2445 91,3,2024-09-07 08:35:00:600,1,140,1,0,216,1820,140,0 92,0,2024-09-07 08:35:01:448,38688,0.9,39627,0.9,75936,1.0,103282,2.00 92,1,2024-09-07 08:35:00:580,246170,246170,0,0,115684690380,1212622614,244018,1752,400,382,391717,0 92,2,2024-09-07 08:35:01:357,181134,181134,0,0,8066569,0,2801 92,3,2024-09-07 08:35:01:009,1,140,3,0,68,1277,140,0 93,0,2024-09-07 08:35:00:963,34371,0.5,35145,0.7,67265,0.4,91611,1.75 93,1,2024-09-07 08:35:00:812,245904,245904,0,0,115007168840,1206988172,242807,2548,549,366,391692,0 93,2,2024-09-07 08:35:00:928,177431,177431,0,0,7872184,0,2509 93,3,2024-09-07 08:35:01:417,1,140,3,0,143,1563,140,0 94,0,2024-09-07 08:35:01:644,32897,0.3,33196,0.5,65739,0.2,86965,1.75 94,1,2024-09-07 08:35:00:564,245916,245916,0,0,115081825141,1208415143,243486,2338,92,381,391850,0 94,2,2024-09-07 08:35:00:779,176187,176187,0,0,7847059,0,2443 94,3,2024-09-07 08:35:01:707,1,140,10,0,264,2318,140,0 95,0,2024-09-07 08:35:01:400,33882,0.4,33749,0.6,67797,0.4,89985,1.75 95,1,2024-09-07 08:35:00:855,246366,246366,0,0,115622023774,1207133098,244008,2179,179,367,391600,0 95,2,2024-09-07 08:35:01:029,173749,173749,0,0,8014705,0,3308 95,3,2024-09-07 08:35:01:726,1,140,1,0,718,2928,140,0 96,0,2024-09-07 08:35:01:052,38338,0.7,38435,0.7,76844,0.7,101982,1.75 96,1,2024-09-07 08:35:01:584,245763,245763,0,0,114596709409,1203806310,243171,1951,641,385,391894,0 96,2,2024-09-07 08:35:01:279,178630,178630,0,0,8396059,0,4042 96,3,2024-09-07 08:35:01:155,1,140,29,0,188,1656,140,0 97,0,2024-09-07 08:35:01:319,32718,0.5,32704,0.6,65330,0.5,86767,1.75 97,1,2024-09-07 08:35:00:769,246146,246146,0,0,115447133155,1205190609,243382,2216,548,367,392140,0 97,2,2024-09-07 08:35:00:617,178386,178386,0,0,7749774,0,3036 97,3,2024-09-07 08:35:00:574,1,140,1,1,165,1676,140,0 98,0,2024-09-07 08:35:01:775,33693,0.2,33481,0.4,67379,0.2,89206,1.50 98,1,2024-09-07 08:35:00:569,246370,246370,0,0,115260205090,1205396178,244570,1714,86,382,391997,0 98,2,2024-09-07 08:35:00:776,177775,177775,0,0,8169942,0,3080 98,3,2024-09-07 08:35:00:728,1,140,3,1,840,2919,140,0 99,0,2024-09-07 08:35:01:465,33719,0.3,33732,0.5,67465,0.3,89649,1.75 99,1,2024-09-07 08:35:01:740,246259,246259,0,0,114372443075,1199273604,243213,2352,694,381,391744,0 99,2,2024-09-07 08:35:01:419,174891,174891,0,0,7637509,0,2615 99,3,2024-09-07 08:35:00:581,1,140,1,0,129,1048,140,0 100,0,2024-09-07 08:35:01:484,37410,1.6,37476,1.6,74984,2.6,100828,2.75 100,1,2024-09-07 08:35:00:563,244202,244202,0,0,113883827168,1214249573,239024,4247,931,381,391989,0 100,2,2024-09-07 08:35:01:823,176909,176898,11,0,9136255,0,5417 100,3,2024-09-07 08:35:01:732,1,140,3,0,559,3426,140,0 101,0,2024-09-07 08:35:01:762,34835,3.5,33879,1.9,66593,4.4,91890,3.25 101,1,2024-09-07 08:35:00:561,244743,244743,0,0,114673476242,1219840059,239399,3868,1476,368,391769,0 101,2,2024-09-07 08:35:01:760,179088,179088,0,0,10157960,0,4644 101,3,2024-09-07 08:35:00:947,1,140,3,0,448,1963,140,0 102,0,2024-09-07 08:35:00:969,34341,0.6,35670,0.8,71460,0.5,93408,2.00 102,1,2024-09-07 08:35:01:192,245305,245305,0,0,114333964120,1209746134,241244,3350,711,369,391831,0 102,2,2024-09-07 08:35:01:759,178110,178056,54,0,9667315,0,6768 102,3,2024-09-07 08:35:01:626,1,140,1,0,410,1631,140,0 103,0,2024-09-07 08:35:01:697,35472,0.4,35474,0.6,66843,0.4,91929,1.75 103,1,2024-09-07 08:35:01:634,244815,244815,0,0,115041141223,1218800413,240430,3177,1208,381,391829,0 103,2,2024-09-07 08:35:00:589,172950,172950,0,0,8409902,0,2104 103,3,2024-09-07 08:35:00:774,1,140,13,0,916,2865,140,0 104,0,2024-09-07 08:35:01:086,36301,2.0,36542,1.4,71942,3.0,98372,2.75 104,1,2024-09-07 08:35:01:844,246205,246205,0,0,114979111014,1219109126,241220,4026,959,366,391948,0 104,2,2024-09-07 08:35:01:666,174905,174905,0,0,9051524,0,3941 104,3,2024-09-07 08:35:01:425,1,140,9,1,1245,4960,140,0 105,0,2024-09-07 08:35:01:058,36550,2.1,35533,1.6,74008,3.1,98092,4.00 105,1,2024-09-07 08:35:00:561,246337,246337,0,0,114986087458,1212753068,242145,3420,772,367,391797,0 105,2,2024-09-07 08:35:01:335,179104,179104,0,0,8802200,0,3509 105,3,2024-09-07 08:35:01:310,1,140,1,1,399,2235,140,0 106,0,2024-09-07 08:35:00:970,33773,0.7,34638,0.9,70529,0.7,92722,2.25 106,1,2024-09-07 08:35:01:767,245432,245432,0,0,114912951595,1210848249,241677,3371,384,369,391767,0 106,2,2024-09-07 08:35:00:768,177192,177192,0,0,8984513,0,2795 106,3,2024-09-07 08:35:00:688,1,140,8,0,405,2261,140,0 107,0,2024-09-07 08:35:01:150,33412,0.4,33406,0.6,66356,0.3,88704,1.75 107,1,2024-09-07 08:35:00:873,245155,245155,0,0,114114872422,1204367017,242193,2735,227,381,392234,0 107,2,2024-09-07 08:35:01:299,174766,174765,1,0,8991129,0,5024 107,3,2024-09-07 08:35:01:756,1,140,2,0,353,1768,140,0 108,0,2024-09-07 08:35:01:793,34681,0.4,34923,0.6,69087,0.4,92909,1.75 108,1,2024-09-07 08:35:01:337,245606,245606,0,0,115106561757,1206343333,242960,2337,309,368,391857,0 108,2,2024-09-07 08:35:01:770,173598,173598,0,0,8490569,0,2647 108,3,2024-09-07 08:35:01:341,1,140,325,0,667,3152,140,0 109,0,2024-09-07 08:35:01:807,37977,0.5,37815,0.7,75281,0.5,101345,1.75 109,1,2024-09-07 08:35:00:596,245413,245413,0,0,115796607722,1220245809,242647,2272,494,383,391812,0 109,2,2024-09-07 08:35:00:938,178800,178800,0,0,8827192,0,3617 109,3,2024-09-07 08:35:01:148,1,140,5,1,249,2168,140,0 110,0,2024-09-07 08:35:01:805,33409,0.5,32411,0.7,67687,0.4,89048,1.75 110,1,2024-09-07 08:35:01:660,246194,246194,0,0,115273877010,1204775139,243586,1844,764,370,391667,0 110,2,2024-09-07 08:35:01:305,178269,178269,0,0,7825698,0,2915 110,3,2024-09-07 08:35:00:690,1,140,1,0,406,2088,140,0 111,0,2024-09-07 08:35:01:435,33312,0.2,32832,0.4,65696,0.2,88125,1.50 111,1,2024-09-07 08:35:01:002,246694,246694,0,0,116183368348,1209706557,244923,1439,332,382,391690,0 111,2,2024-09-07 08:35:01:123,176466,176466,0,0,8666376,0,2763 111,3,2024-09-07 08:35:00:924,1,140,17,0,379,2499,140,0 112,0,2024-09-07 08:35:00:916,34006,0.3,33911,0.5,67985,0.2,90758,1.50 112,1,2024-09-07 08:35:00:837,246821,246821,0,0,115820084780,1208432650,244496,1900,425,381,391580,0 112,2,2024-09-07 08:35:01:158,173618,173617,1,0,8419612,0,5036 112,3,2024-09-07 08:35:00:619,1,140,2,0,282,1626,140,0 113,0,2024-09-07 08:35:00:888,37551,0.4,37254,0.6,75638,0.4,101370,1.75 113,1,2024-09-07 08:35:01:685,247036,247036,0,0,115997371906,1205415679,244624,1924,488,366,391661,0 113,2,2024-09-07 08:35:01:305,179939,179939,0,0,7402578,0,3813 113,3,2024-09-07 08:35:00:689,1,140,9,0,288,2221,140,0 114,0,2024-09-07 08:35:00:881,34111,0.8,34697,0.8,67816,0.6,90739,2.00 114,1,2024-09-07 08:35:00:740,246420,246420,0,0,115608804036,1216078334,242295,2663,1462,381,391534,0 114,2,2024-09-07 08:35:00:873,178503,178503,0,0,7739684,0,3925 114,3,2024-09-07 08:35:01:277,1,140,5,0,395,1943,140,0 115,0,2024-09-07 08:35:00:556,34279,0.3,34520,0.4,69175,0.2,91480,1.50 115,1,2024-09-07 08:35:00:577,246279,246279,0,0,115148040998,1205986151,242640,2818,821,382,391602,0 115,2,2024-09-07 08:35:01:130,178194,178194,0,0,7228413,0,2152 115,3,2024-09-07 08:35:01:002,1,140,2,0,159,1047,140,0 116,0,2024-09-07 08:35:01:725,34094,0.7,33689,0.8,67740,0.7,89812,2.00 116,1,2024-09-07 08:35:00:813,244380,244380,0,0,114193549484,1220568487,239509,3118,1753,382,391677,0 116,2,2024-09-07 08:35:01:757,172198,172198,0,0,10113697,0,3529 116,3,2024-09-07 08:35:00:915,1,140,3,0,252,2101,140,0 117,0,2024-09-07 08:35:01:009,36634,1.8,36784,1.3,73224,2.9,98873,2.25 117,1,2024-09-07 08:35:01:583,245748,245748,0,0,114089040817,1202420352,242102,3219,427,370,392033,0 117,2,2024-09-07 08:35:01:124,177760,177760,0,0,8082966,0,3700 117,3,2024-09-07 08:35:01:065,1,140,1,0,490,3121,140,0 118,0,2024-09-07 08:35:01:814,34722,1.1,35770,1.0,72648,1.3,94564,2.25 118,1,2024-09-07 08:35:00:598,245340,245340,0,0,114371732119,1214020563,240522,3500,1318,368,391736,0 118,2,2024-09-07 08:35:01:588,179420,179420,0,0,9131579,0,2781 118,3,2024-09-07 08:35:01:773,1,140,22,0,235,2008,140,0 119,0,2024-09-07 08:35:01:366,35071,0.8,35214,0.9,71347,0.8,94199,2.00 119,1,2024-09-07 08:35:00:551,245930,245930,0,0,115307399506,1207896273,243484,2159,287,370,391641,0 119,2,2024-09-07 08:35:01:272,177017,177017,0,0,8648400,0,3526 119,3,2024-09-07 08:35:01:349,1,140,4,0,443,2784,140,0 120,0,2024-09-07 08:35:01:568,33740,0.5,33851,0.7,67465,0.4,90616,2.00 120,1,2024-09-07 08:35:00:862,246181,246181,0,0,114907502034,1211203692,243082,2840,259,368,391961,0 120,2,2024-09-07 08:35:00:773,173571,173570,1,0,10541066,0,5281 120,3,2024-09-07 08:35:01:301,1,140,172,0,241,2121,140,0 121,0,2024-09-07 08:35:01:853,35235,2.0,35709,1.3,71125,3.3,95023,2.50 121,1,2024-09-07 08:35:01:667,246049,246049,0,0,115047364286,1207921334,243330,2431,288,367,391840,0 121,2,2024-09-07 08:35:01:134,173895,173895,0,0,9530298,0,4127 121,3,2024-09-07 08:35:00:753,1,140,5,0,269,2027,140,0 122,0,2024-09-07 08:35:01:773,38121,1.3,37151,1.2,77689,1.5,103311,2.25 122,1,2024-09-07 08:35:00:863,244899,244899,0,0,114152590758,1206055191,240770,3424,705,366,392130,0 122,2,2024-09-07 08:35:01:337,179413,179413,0,0,10691101,0,3364 122,3,2024-09-07 08:35:00:621,1,140,1,0,411,3682,140,0 123,0,2024-09-07 08:35:01:017,34266,0.9,33294,0.9,69646,1.0,91246,2.25 123,1,2024-09-07 08:35:00:571,246489,246489,0,0,114886555326,1216689055,241421,4374,694,369,391823,0 123,2,2024-09-07 08:35:01:037,175978,175977,1,0,9185889,0,5215 123,3,2024-09-07 08:35:01:159,1,140,3,1,168,1918,140,0 124,0,2024-09-07 08:35:00:949,33882,0.3,33862,0.5,63899,0.2,87363,1.50 124,1,2024-09-07 08:35:01:027,246022,246022,0,0,114833303007,1197814106,243946,1721,355,367,392178,0 124,2,2024-09-07 08:35:01:013,176590,176590,0,0,7898081,0,3101 124,3,2024-09-07 08:35:00:769,1,140,1,0,490,2155,140,0 125,0,2024-09-07 08:35:01:427,33911,0.5,33789,0.6,67889,0.5,90069,1.75 125,1,2024-09-07 08:35:00:880,246035,246035,0,0,115988489427,1215717836,243679,2081,275,384,391702,0 125,2,2024-09-07 08:35:01:121,174098,174098,0,0,7723714,0,2180 125,3,2024-09-07 08:35:01:135,1,140,3,1,284,1991,140,0 126,0,2024-09-07 08:35:01:443,38408,0.9,39394,0.8,75293,0.9,102661,2.00 126,1,2024-09-07 08:35:00:553,246646,246646,0,0,115292485897,1199604830,245025,1544,77,365,391987,0 126,2,2024-09-07 08:35:00:626,179448,179448,0,0,8050623,0,3186 126,3,2024-09-07 08:35:00:927,1,140,1,0,150,2175,140,0 127,0,2024-09-07 08:35:01:611,32458,0.5,32761,0.6,65351,0.5,86816,1.75 127,1,2024-09-07 08:35:00:578,245967,245967,0,0,114311777604,1189391268,243912,2015,40,365,391816,0 127,2,2024-09-07 08:35:00:649,178434,178434,0,0,7648722,0,2264 127,3,2024-09-07 08:35:01:283,1,140,4,0,99,1081,140,0 128,0,2024-09-07 08:35:01:527,33855,0.3,33671,0.4,67184,0.2,89173,1.50 128,1,2024-09-07 08:35:01:606,246728,246728,0,0,115860239995,1202716463,245760,896,72,367,391680,0 128,2,2024-09-07 08:35:01:390,176797,176797,0,0,7690694,0,2107 128,3,2024-09-07 08:35:00:771,1,140,3,0,333,2185,140,0 129,0,2024-09-07 08:35:01:079,33980,0.3,33819,0.5,67479,0.3,89532,1.75 129,1,2024-09-07 08:35:00:573,245677,245677,0,0,114640205139,1205887862,242759,2526,392,379,391835,0 129,2,2024-09-07 08:35:00:712,173217,173217,0,0,7836739,0,4031 129,3,2024-09-07 08:35:00:690,1,140,1,1,173,1861,140,0 130,0,2024-09-07 08:35:01:724,38017,0.9,37661,0.8,75717,1.3,101804,2.00 130,1,2024-09-07 08:35:00:588,246409,246409,0,0,115003302466,1202649606,244327,2011,71,381,391825,0 130,2,2024-09-07 08:35:01:132,177860,177860,0,0,7846646,0,4067 130,3,2024-09-07 08:35:01:310,1,140,2,0,207,1320,140,0 131,0,2024-09-07 08:35:01:944,34514,0.7,34601,0.7,69993,0.9,92297,1.75 131,1,2024-09-07 08:35:01:826,247383,247383,0,0,114962285503,1199885329,245925,1252,206,384,391865,0 131,2,2024-09-07 08:35:00:568,179939,179939,0,0,7411247,0,2415 131,3,2024-09-07 08:35:01:709,1,140,5,0,392,1757,140,0 132,0,2024-09-07 08:35:01:442,34891,0.5,35332,0.8,70796,0.4,93796,2.00 132,1,2024-09-07 08:35:00:579,244680,244680,0,0,114681403507,1219741298,239285,4366,1029,381,391760,0 132,2,2024-09-07 08:35:00:729,177144,177144,0,0,10437572,0,4606 132,3,2024-09-07 08:35:01:702,1,140,2,0,356,2739,140,0 133,0,2024-09-07 08:35:01:525,33649,0.4,34333,0.6,70403,0.4,91095,2.00 133,1,2024-09-07 08:35:00:600,244382,244382,0,0,115458610321,1225178346,239886,3858,638,383,391914,0 133,2,2024-09-07 08:35:01:106,172951,172951,0,0,10333089,0,4315 133,3,2024-09-07 08:35:01:304,1,140,18,1,187,1346,140,0 134,0,2024-09-07 08:35:00:978,36645,0.7,36438,0.9,73634,0.7,98268,2.25 134,1,2024-09-07 08:35:00:589,245178,245178,0,0,114766561640,1210474668,240831,3126,1221,366,391718,0 134,2,2024-09-07 08:35:01:756,175413,175413,0,0,8543055,0,3096 134,3,2024-09-07 08:35:00:759,1,140,1,0,739,2860,140,0 135,0,2024-09-07 08:35:01:200,36024,1.8,35925,1.4,76032,2.3,97724,2.75 135,1,2024-09-07 08:35:01:590,245041,245041,0,0,114600087193,1212123914,240859,3481,701,380,391725,0 135,2,2024-09-07 08:35:00:689,180006,180006,0,0,9406217,0,3981 135,3,2024-09-07 08:35:01:012,1,140,4,0,89,850,140,0 136,0,2024-09-07 08:35:01:624,35079,0.6,34937,0.8,70433,0.6,93616,2.00 136,1,2024-09-07 08:35:01:441,245263,245263,0,0,115163876942,1211265846,242005,3085,173,384,391641,0 136,2,2024-09-07 08:35:01:167,178747,178747,0,0,9018041,0,3506 136,3,2024-09-07 08:35:01:117,1,140,6,0,108,1263,140,0 137,0,2024-09-07 08:35:00:939,34186,0.5,33254,0.7,65740,0.4,88869,2.00 137,1,2024-09-07 08:35:00:599,245577,245577,0,0,114655308671,1205721830,241540,3618,419,367,391608,0 137,2,2024-09-07 08:35:01:704,174729,174729,0,0,10259018,0,3185 137,3,2024-09-07 08:35:00:780,1,140,1,1,227,1802,140,0 138,0,2024-09-07 08:35:01:757,34201,1.7,34319,1.3,69256,2.4,92783,2.50 138,1,2024-09-07 08:35:01:692,246121,246121,0,0,115030645910,1207746858,243093,2679,349,368,391954,0 138,2,2024-09-07 08:35:00:597,174825,174825,0,0,8277685,0,3263 138,3,2024-09-07 08:35:00:616,1,140,1,0,1160,2681,140,0 139,0,2024-09-07 08:35:01:402,36794,3.3,36955,1.9,74575,4.5,100058,3.25 139,1,2024-09-07 08:35:00:578,244730,244730,0,0,114023656240,1216021827,239683,3733,1314,381,391892,0 139,2,2024-09-07 08:35:00:698,177811,177811,0,0,9557194,0,3097 139,3,2024-09-07 08:35:01:670,1,140,2,0,244,1750,140,0 140,0,2024-09-07 08:35:01:591,33459,0.4,33266,0.6,66867,0.3,89063,1.75 140,1,2024-09-07 08:35:01:542,247007,247007,0,0,115911352019,1199521039,245492,1275,240,365,391606,0 140,2,2024-09-07 08:35:00:686,178527,178527,0,0,8173320,0,3388 140,3,2024-09-07 08:35:00:772,1,140,5,0,247,1405,140,0 141,0,2024-09-07 08:35:01:713,32874,0.2,33918,0.4,65073,0.1,88068,1.50 141,1,2024-09-07 08:35:00:865,246496,246496,0,0,115333781208,1201289949,244558,1585,353,379,391614,0 141,2,2024-09-07 08:35:01:686,176862,176862,0,0,7706218,0,2342 141,3,2024-09-07 08:35:01:058,1,140,1,0,147,1272,140,0 142,0,2024-09-07 08:35:01:351,34375,0.3,34089,0.5,67661,0.3,90800,1.75 142,1,2024-09-07 08:35:00:604,246391,246391,0,0,115256959043,1205185880,244856,1377,158,383,391649,0 142,2,2024-09-07 08:35:01:303,172143,172111,32,0,8875750,0,6028 142,3,2024-09-07 08:35:01:755,1,140,1,0,484,2110,140,0 143,0,2024-09-07 08:35:01:386,37732,0.8,37770,0.8,76037,1.0,101262,2.00 143,1,2024-09-07 08:35:00:562,246046,246046,0,0,114777971117,1195954193,243944,2061,41,367,391619,0 143,2,2024-09-07 08:35:00:788,178378,178378,0,0,8197702,0,2669 143,3,2024-09-07 08:35:01:167,1,140,1,0,303,2498,140,0 144,0,2024-09-07 08:35:01:544,32563,1.2,33447,1.8,67864,1.4,89435,2.25 144,1,2024-09-07 08:35:00:659,245554,245554,0,0,115225408880,1212995581,243170,2181,203,381,391649,0 144,2,2024-09-07 08:35:01:755,179473,179473,0,0,7918810,0,3473 144,3,2024-09-07 08:35:01:753,1,140,2,0,249,2013,140,0 145,0,2024-09-07 08:35:01:399,33244,0.6,33172,0.8,70337,0.5,90991,2.25 145,1,2024-09-07 08:35:00:556,244149,244149,0,0,114275490745,1211674130,239770,3645,734,383,391615,0 145,2,2024-09-07 08:35:01:429,177163,177163,0,0,9044016,0,3903 145,3,2024-09-07 08:35:00:900,1,140,0,0,151,1764,140,0 146,0,2024-09-07 08:35:01:601,33759,0.5,33646,0.7,68001,0.4,89466,2.00 146,1,2024-09-07 08:35:01:591,246387,246387,0,0,114203328247,1203993191,241946,3582,859,368,391629,0 146,2,2024-09-07 08:35:01:696,173316,173316,0,0,8510005,0,2498 146,3,2024-09-07 08:35:01:282,1,140,1,0,1520,5107,140,0 147,0,2024-09-07 08:35:01:712,36767,1.2,36654,1.1,72709,1.3,98692,2.50 147,1,2024-09-07 08:35:01:384,246876,246876,0,0,115588048721,1209178820,243783,2600,493,368,391791,0 147,2,2024-09-07 08:35:01:010,177162,177162,0,0,8073794,0,2789 147,3,2024-09-07 08:35:00:912,1,140,7,0,371,1941,140,0 0,0,2024-09-07 08:35:11:782,33129,0.5,33208,0.7,70216,0.4,91069,1.75 0,1,2024-09-07 08:35:10:818,247788,247788,0,0,116373633936,1221516021,245833,1821,134,372,391692,0 0,2,2024-09-07 08:35:11:088,174849,174849,0,0,8265545,0,4480 0,3,2024-09-07 08:35:10:981,1,141,10,0,247,1992,141,0 1,0,2024-09-07 08:35:11:794,35978,1.7,35714,1.4,71762,2.3,96310,2.50 1,1,2024-09-07 08:35:10:556,247007,247007,0,0,115249308970,1214641072,243577,2563,867,372,391857,0 1,2,2024-09-07 08:35:10:647,175977,175977,0,0,7454453,0,3267 1,3,2024-09-07 08:35:11:302,1,141,4,0,262,1882,141,0 2,0,2024-09-07 08:35:11:574,38502,1.2,38651,1.1,76750,1.8,102724,2.25 2,1,2024-09-07 08:35:10:863,247529,247529,0,0,116210722483,1211593595,246084,1239,206,380,391745,0 2,2,2024-09-07 08:35:11:266,180631,180631,0,0,8231355,0,3594 2,3,2024-09-07 08:35:10:693,1,141,5,0,357,1654,141,0 3,0,2024-09-07 08:35:11:747,34139,0.5,34286,0.8,68739,0.5,91367,2.00 3,1,2024-09-07 08:35:11:619,247422,247422,0,0,115189254806,1207566355,244314,2684,424,382,391516,0 3,2,2024-09-07 08:35:11:147,179039,179016,23,0,8282770,0,5851 3,3,2024-09-07 08:35:11:759,1,141,1,0,103,965,141,0 4,0,2024-09-07 08:35:12:128,32078,0.3,32939,0.5,66924,0.2,87700,1.75 4,1,2024-09-07 08:35:10:594,247728,247728,0,0,115253151848,1216022398,244768,2440,520,371,391846,0 4,2,2024-09-07 08:35:11:017,176182,176182,0,0,9835350,0,4528 4,3,2024-09-07 08:35:11:027,1,141,1,0,287,2092,141,0 5,0,2024-09-07 08:35:11:432,34213,0.6,34024,0.7,68248,0.6,91113,1.75 5,1,2024-09-07 08:35:10:759,246910,246910,0,0,115540651264,1223158772,242743,3182,985,368,392005,0 5,2,2024-09-07 08:35:11:862,175033,175033,0,0,8318072,0,2259 5,3,2024-09-07 08:35:11:752,1,141,11,0,238,2279,141,0 6,0,2024-09-07 08:35:10:923,39019,1.1,38586,1.1,76587,1.6,103249,2.50 6,1,2024-09-07 08:35:10:749,247815,247815,0,0,115662523611,1216607285,244433,2735,647,381,391617,0 6,2,2024-09-07 08:35:11:122,180838,180838,0,0,8721118,0,4816 6,3,2024-09-07 08:35:11:278,1,141,0,0,340,2237,141,0 7,0,2024-09-07 08:35:11:536,32261,0.6,32339,0.8,64889,0.5,86675,2.00 7,1,2024-09-07 08:35:10:857,247631,247631,0,0,115919606947,1217829015,244914,2586,131,382,391664,0 7,2,2024-09-07 08:35:10:772,179086,179086,0,0,7860996,0,2981 7,3,2024-09-07 08:35:10:860,1,141,5,0,305,1526,141,0 8,0,2024-09-07 08:35:11:332,33662,0.3,33844,0.4,67012,0.2,89542,1.50 8,1,2024-09-07 08:35:11:016,247149,247149,0,0,115869386891,1230788571,241551,3999,1599,368,391956,0 8,2,2024-09-07 08:35:10:809,176032,176032,0,0,9572309,0,2986 8,3,2024-09-07 08:35:10:604,1,141,1,0,357,2504,141,0 9,0,2024-09-07 08:35:11:126,33977,0.4,32983,0.5,68784,0.3,90303,1.75 9,1,2024-09-07 08:35:10:555,246935,246935,0,0,116079346112,1230601496,242451,3358,1126,370,391753,0 9,2,2024-09-07 08:35:11:084,174294,174294,0,0,8815990,0,3360 9,3,2024-09-07 08:35:11:996,1,141,19,0,496,2536,141,0 10,0,2024-09-07 08:35:11:603,38219,0.4,38206,0.6,76679,0.3,102352,1.75 10,1,2024-09-07 08:35:10:594,247309,247309,0,0,115028347919,1213236785,242841,3827,641,381,391741,0 10,2,2024-09-07 08:35:10:767,179411,179411,0,0,9172623,0,2940 10,3,2024-09-07 08:35:10:871,1,141,1,0,177,1179,141,0 11,0,2024-09-07 08:35:11:012,33852,1.2,33022,1.1,69276,2.1,92230,2.50 11,1,2024-09-07 08:35:10:575,247077,247077,0,0,115468613502,1221020048,241777,4030,1270,384,391537,0 11,2,2024-09-07 08:35:11:127,180080,180080,0,0,8929308,0,3411 11,3,2024-09-07 08:35:11:297,1,141,1,0,720,2783,141,0 12,0,2024-09-07 08:35:10:966,35726,0.3,35361,0.5,71129,0.2,94122,1.50 12,1,2024-09-07 08:35:10:958,247388,247388,0,0,115083576315,1207833058,244514,2464,410,370,391837,0 12,2,2024-09-07 08:35:11:560,179818,179818,0,0,9122330,0,3469 12,3,2024-09-07 08:35:11:076,1,141,2,0,358,2489,141,0 13,0,2024-09-07 08:35:11:381,34631,0.4,34671,0.5,69243,0.3,91708,1.75 13,1,2024-09-07 08:35:11:525,247635,247635,0,0,115581820585,1217660982,245223,2004,408,384,391717,0 13,2,2024-09-07 08:35:10:598,175255,175255,0,0,8228663,0,3287 13,3,2024-09-07 08:35:11:770,1,141,2,0,467,3054,141,0 14,0,2024-09-07 08:35:10:561,37286,0.5,37371,0.7,74014,0.5,99144,2.00 14,1,2024-09-07 08:35:11:571,248925,248925,0,0,116020300052,1208501321,246661,2101,163,364,391571,0 14,2,2024-09-07 08:35:10:767,177038,177038,0,0,8415174,0,2896 14,3,2024-09-07 08:35:11:123,1,141,1,0,1168,2849,141,0 15,0,2024-09-07 08:35:11:566,36906,1.3,36913,1.2,74251,1.9,98434,2.75 15,1,2024-09-07 08:35:11:611,247558,247558,0,0,116111062754,1212869227,245646,1803,109,381,391619,0 15,2,2024-09-07 08:35:10:998,181519,181519,0,0,7183085,0,3043 15,3,2024-09-07 08:35:11:405,1,141,0,0,1126,4269,141,0 16,0,2024-09-07 08:35:10:954,35171,0.5,35521,0.8,70253,0.5,94102,2.00 16,1,2024-09-07 08:35:10:564,247918,247918,0,0,115523410552,1212238357,245708,1950,260,372,391756,0 16,2,2024-09-07 08:35:11:433,178355,178355,0,0,9268390,0,4719 16,3,2024-09-07 08:35:11:151,1,141,12,0,231,2011,141,0 17,0,2024-09-07 08:35:11:775,34605,0.4,33760,0.6,66260,0.4,89735,1.75 17,1,2024-09-07 08:35:10:567,247120,247120,0,0,115734973732,1222316085,243772,2677,671,368,391688,0 17,2,2024-09-07 08:35:11:666,177547,177547,0,0,8246101,0,2857 17,3,2024-09-07 08:35:10:577,1,141,26,0,268,2417,141,0 18,0,2024-09-07 08:35:10:947,34324,1.0,34735,1.0,69518,1.2,92793,2.50 18,1,2024-09-07 08:35:11:638,247339,247339,0,0,115702097335,1205429363,245346,1759,234,368,391564,0 18,2,2024-09-07 08:35:11:766,175712,175712,0,0,8288794,0,3541 18,3,2024-09-07 08:35:10:904,1,141,1,0,163,1697,141,0 19,0,2024-09-07 08:35:11:545,37754,1.0,38198,1.0,75268,1.0,100806,2.75 19,1,2024-09-07 08:35:10:566,248148,248148,0,0,116217386896,1217531048,244335,3097,716,367,391777,0 19,2,2024-09-07 08:35:11:983,181636,181636,0,0,7548666,0,3988 19,3,2024-09-07 08:35:11:143,1,141,1,0,524,1446,141,0 20,0,2024-09-07 08:35:11:363,33573,0.7,33411,0.9,67011,0.8,89594,2.25 20,1,2024-09-07 08:35:10:579,247221,247221,0,0,115889487267,1221020130,243792,3040,389,369,391822,0 20,2,2024-09-07 08:35:10:946,179157,179157,0,0,8480924,0,3721 20,3,2024-09-07 08:35:10:592,1,141,1,0,414,2777,141,0 21,0,2024-09-07 08:35:11:207,33568,0.4,33528,0.5,66675,0.3,88245,1.75 21,1,2024-09-07 08:35:11:540,246881,246881,0,0,115180865581,1218068776,243259,3091,531,368,391962,0 21,2,2024-09-07 08:35:11:072,177384,177384,0,0,9132282,0,3747 21,3,2024-09-07 08:35:11:405,1,141,1,0,103,1868,141,0 22,0,2024-09-07 08:35:11:748,33938,0.8,34034,0.9,67645,0.8,90492,2.25 22,1,2024-09-07 08:35:11:023,246804,246804,0,0,114902233926,1219527408,241302,3993,1509,382,391667,0 22,2,2024-09-07 08:35:10:760,174688,174688,0,0,7786815,0,3134 22,3,2024-09-07 08:35:11:069,1,141,30,0,228,1585,141,0 23,0,2024-09-07 08:35:11:369,37750,0.8,37897,0.9,76118,0.9,102003,2.50 23,1,2024-09-07 08:35:11:004,247815,247815,0,0,115355714562,1213060355,243721,2612,1482,365,391549,0 23,2,2024-09-07 08:35:11:092,179669,179669,0,0,8140987,0,3010 23,3,2024-09-07 08:35:11:758,1,141,1,0,645,1733,141,0 24,0,2024-09-07 08:35:10:852,34332,0.6,34343,0.7,68829,0.6,91101,1.75 24,1,2024-09-07 08:35:10:588,247643,247643,0,0,115680052489,1215715200,244693,2151,799,369,391640,0 24,2,2024-09-07 08:35:11:071,178741,178741,0,0,9562480,0,2942 24,3,2024-09-07 08:35:11:686,1,141,1,0,234,1711,141,0 25,0,2024-09-07 08:35:11:379,35431,0.4,34520,0.6,67700,0.3,91918,1.75 25,1,2024-09-07 08:35:10:562,247713,247713,0,0,115835606722,1221761125,244036,3134,543,371,391788,0 25,2,2024-09-07 08:35:11:629,177549,177549,0,0,9583808,0,3978 25,3,2024-09-07 08:35:11:005,1,141,21,0,158,1676,141,0 26,0,2024-09-07 08:35:11:734,33661,0.4,32990,0.6,69187,0.3,90168,1.75 26,1,2024-09-07 08:35:11:541,247864,247864,0,0,115017734035,1214348496,243205,3758,901,381,391564,0 26,2,2024-09-07 08:35:10:863,174702,174702,0,0,8545804,0,2809 26,3,2024-09-07 08:35:11:719,1,141,1,0,796,2147,141,0 27,0,2024-09-07 08:35:11:753,37554,0.6,37604,0.7,74598,0.6,100400,1.75 27,1,2024-09-07 08:35:11:676,248852,248852,0,0,116627119245,1214861768,247035,1494,323,381,391539,0 27,2,2024-09-07 08:35:10:866,176906,176906,0,0,9445351,0,3409 27,3,2024-09-07 08:35:11:015,1,141,1,0,564,1898,141,0 28,0,2024-09-07 08:35:11:393,35448,1.0,35781,0.9,71842,1.3,95475,2.75 28,1,2024-09-07 08:35:10:797,247864,247864,0,0,117026901753,1224160667,245704,1824,336,383,391646,0 28,2,2024-09-07 08:35:11:771,181330,181330,0,0,7580902,0,2915 28,3,2024-09-07 08:35:11:780,1,141,1,0,502,1945,141,0 29,0,2024-09-07 08:35:11:355,36378,0.4,35527,0.6,69587,0.3,95035,1.75 29,1,2024-09-07 08:35:11:562,248954,248954,0,0,116108893751,1208064605,246528,1883,543,369,391621,0 29,2,2024-09-07 08:35:10:876,179283,179283,0,0,7341781,0,4018 29,3,2024-09-07 08:35:10:965,1,141,2,0,105,1182,141,0 30,0,2024-09-07 08:35:11:464,34077,0.6,33216,0.8,69485,0.5,91170,2.00 30,1,2024-09-07 08:35:10:575,248495,248495,0,0,116143976315,1210816521,246511,1706,278,382,391672,0 30,2,2024-09-07 08:35:11:274,176140,176140,0,0,7329208,0,3161 30,3,2024-09-07 08:35:10:581,1,141,0,0,195,1148,141,0 31,0,2024-09-07 08:35:11:768,35606,0.7,35852,0.8,72342,0.7,96234,2.00 31,1,2024-09-07 08:35:10:574,249360,249360,0,0,116205407434,1194575535,248561,688,111,356,391553,0 31,2,2024-09-07 08:35:11:282,176283,176283,0,0,9029037,0,3525 31,3,2024-09-07 08:35:11:706,1,141,0,0,220,1159,141,0 32,0,2024-09-07 08:35:11:418,38572,0.6,38963,0.7,77814,0.5,103438,1.75 32,1,2024-09-07 08:35:10:815,248566,248566,0,0,116346244101,1212110514,246827,1464,275,382,391595,0 32,2,2024-09-07 08:35:10:939,180937,180937,0,0,7356961,0,3155 32,3,2024-09-07 08:35:11:015,1,141,2,0,227,1406,141,0 33,0,2024-09-07 08:35:11:493,34813,0.4,34226,0.6,69200,0.3,92382,1.75 33,1,2024-09-07 08:35:10:574,248861,248861,0,0,116695561779,1212647613,246603,2086,172,369,391730,0 33,2,2024-09-07 08:35:10:767,178911,178878,33,0,9150359,0,7012 33,3,2024-09-07 08:35:10:904,1,141,1,0,329,1795,141,0 34,0,2024-09-07 08:35:10:938,33047,0.3,34073,0.5,65450,0.2,87765,1.75 34,1,2024-09-07 08:35:11:044,249062,249062,0,0,116961727229,1207733771,248067,989,6,367,391562,0 34,2,2024-09-07 08:35:10:768,176253,176253,0,0,8152530,0,3577 34,3,2024-09-07 08:35:11:689,1,141,13,0,148,950,141,0 35,0,2024-09-07 08:35:10:874,33823,0.5,34208,0.6,68167,0.5,91271,1.75 35,1,2024-09-07 08:35:11:071,248247,248247,0,0,116190192241,1211684775,245450,2027,770,384,391589,0 35,2,2024-09-07 08:35:11:582,174983,174983,0,0,7307181,0,2653 35,3,2024-09-07 08:35:10:910,1,141,0,0,418,1917,141,0 36,0,2024-09-07 08:35:11:517,38589,1.2,38364,1.1,77463,1.5,102774,2.75 36,1,2024-09-07 08:35:10:589,247310,247310,0,0,115474792724,1216024681,242700,3322,1288,366,391759,0 36,2,2024-09-07 08:35:11:757,179761,179761,0,0,8844175,0,3303 36,3,2024-09-07 08:35:10:865,1,141,5,0,378,1745,141,0 37,0,2024-09-07 08:35:11:371,32429,0.6,32602,0.8,64757,0.5,87558,2.00 37,1,2024-09-07 08:35:10:568,246962,246955,0,7,116337915654,1229885142,242198,2739,2018,365,391518,0 37,2,2024-09-07 08:35:11:164,179468,179453,15,0,8910971,0,5815 37,3,2024-09-07 08:35:11:771,1,141,2,0,724,2437,141,0 38,0,2024-09-07 08:35:11:459,33545,0.4,32483,0.6,67828,0.3,89102,2.00 38,1,2024-09-07 08:35:11:611,248440,248440,0,0,115830049203,1216850955,244429,3379,632,368,391821,0 38,2,2024-09-07 08:35:10:760,178140,178093,47,0,10607282,0,6710 38,3,2024-09-07 08:35:10:997,1,141,1,0,603,2079,141,0 39,0,2024-09-07 08:35:11:770,34781,0.6,33962,0.7,66418,0.7,90750,2.00 39,1,2024-09-07 08:35:10:735,247871,247871,0,0,115805883342,1213707373,244085,2985,801,365,391524,0 39,2,2024-09-07 08:35:11:420,174537,174537,0,0,7689282,0,2689 39,3,2024-09-07 08:35:10:716,1,141,1,0,276,2023,141,0 40,0,2024-09-07 08:35:11:489,37624,1.0,38176,1.3,75819,1.2,101757,3.25 40,1,2024-09-07 08:35:10:576,247806,247806,0,0,115276257216,1213328893,243400,3638,768,368,391591,0 40,2,2024-09-07 08:35:11:302,178620,178619,1,0,9772046,0,5137 40,3,2024-09-07 08:35:11:144,1,141,7,0,181,1490,141,0 41,0,2024-09-07 08:35:11:024,33923,2.4,34583,1.9,66420,3.8,90825,4.50 41,1,2024-09-07 08:35:10:778,247646,247646,0,0,115610183315,1216386377,243457,3658,531,370,391742,0 41,2,2024-09-07 08:35:10:759,179261,179261,0,0,9608534,0,3356 41,3,2024-09-07 08:35:11:676,1,141,2,0,366,1923,141,0 42,0,2024-09-07 08:35:11:479,34663,0.5,35057,0.8,69981,0.5,92242,2.25 42,1,2024-09-07 08:35:11:443,246464,246464,0,0,115135546394,1217050111,241767,3739,958,380,391675,0 42,2,2024-09-07 08:35:11:137,178161,178161,0,0,9289838,0,3568 42,3,2024-09-07 08:35:11:009,1,141,1,0,446,1381,141,0 43,0,2024-09-07 08:35:10:928,34467,0.7,33748,0.9,70014,0.7,91718,2.00 43,1,2024-09-07 08:35:10:576,247700,247700,0,0,116773894393,1222413876,244461,2446,793,366,391604,0 43,2,2024-09-07 08:35:11:739,175237,175237,0,0,8618689,0,3812 43,3,2024-09-07 08:35:11:979,1,141,11,0,325,2099,141,0 44,0,2024-09-07 08:35:10:863,37268,0.6,37221,0.8,74442,0.6,99840,2.00 44,1,2024-09-07 08:35:10:564,248173,248173,0,0,115484371239,1198546867,246116,1632,425,356,391809,0 44,2,2024-09-07 08:35:11:267,176628,176628,0,0,7261831,0,1877 44,3,2024-09-07 08:35:11:094,1,141,19,0,817,2001,141,0 45,0,2024-09-07 08:35:11:991,36495,1.6,35758,1.3,75164,2.2,99071,2.50 45,1,2024-09-07 08:35:11:005,248097,248097,0,0,116485338181,1210768070,247058,1029,10,382,391917,0 45,2,2024-09-07 08:35:11:268,181631,181631,0,0,7883531,0,3596 45,3,2024-09-07 08:35:10:938,1,141,4,0,226,1393,141,0 46,0,2024-09-07 08:35:10:987,35058,0.4,34987,0.6,70219,0.3,93175,1.75 46,1,2024-09-07 08:35:10:576,248682,248682,0,0,116140578001,1205323842,246875,1585,222,366,391524,0 46,2,2024-09-07 08:35:10:604,179797,179797,0,0,7882304,0,2920 46,3,2024-09-07 08:35:11:153,1,141,1,0,908,2859,141,0 47,0,2024-09-07 08:35:11:120,33562,0.3,33755,0.5,67811,0.2,89362,1.75 47,1,2024-09-07 08:35:10:566,248688,248688,0,0,115896150660,1200550202,247290,1381,17,366,391605,0 47,2,2024-09-07 08:35:10:914,177127,177127,0,0,7982460,0,2558 47,3,2024-09-07 08:35:11:122,1,141,16,0,529,1716,141,0 48,0,2024-09-07 08:35:11:533,35267,0.3,34843,0.5,70048,0.2,93387,1.75 48,1,2024-09-07 08:35:11:028,248461,248461,0,0,116393938413,1213380999,246403,1878,180,384,391710,0 48,2,2024-09-07 08:35:10:703,175581,175581,0,0,6638018,0,2083 48,3,2024-09-07 08:35:10:754,1,141,1,0,339,1372,141,0 49,0,2024-09-07 08:35:11:713,39106,0.5,38431,0.7,74701,0.5,102442,1.75 49,1,2024-09-07 08:35:11:024,247359,247359,0,0,116282293951,1216952354,244624,1895,840,382,391583,0 49,2,2024-09-07 08:35:11:799,181501,181501,0,0,7753403,0,3900 49,3,2024-09-07 08:35:11:416,1,141,90,0,408,1880,141,0 50,0,2024-09-07 08:35:11:507,33621,0.4,33138,0.6,66559,0.3,89546,1.75 50,1,2024-09-07 08:35:11:015,249023,249023,0,0,117015652189,1217466426,246499,2215,309,368,391530,0 50,2,2024-09-07 08:35:11:070,178881,178881,0,0,7360568,0,2253 50,3,2024-09-07 08:35:11:293,1,141,1,0,335,1287,141,0 51,0,2024-09-07 08:35:11:686,34041,0.3,33424,0.4,65161,0.2,88685,1.50 51,1,2024-09-07 08:35:11:680,248293,248293,0,0,117288786727,1216451984,246326,1181,786,367,391637,0 51,2,2024-09-07 08:35:11:316,177409,177409,0,0,7037654,0,3337 51,3,2024-09-07 08:35:11:027,1,141,1,0,162,864,141,0 52,0,2024-09-07 08:35:11:417,34257,0.6,34287,0.8,68795,0.6,90946,2.25 52,1,2024-09-07 08:35:10:580,246863,246863,0,0,115278305309,1220909576,241202,4506,1155,368,391722,0 52,2,2024-09-07 08:35:11:758,173225,173187,38,0,9390194,0,6742 52,3,2024-09-07 08:35:10:677,1,141,2,0,1782,3433,141,0 53,0,2024-09-07 08:35:11:741,37756,1.1,36783,1.0,76899,1.3,101307,3.00 53,1,2024-09-07 08:35:10:793,246679,246679,0,0,116033870864,1230723197,241062,3723,1894,367,391702,0 53,2,2024-09-07 08:35:11:298,180181,180181,0,0,7734595,0,2262 53,3,2024-09-07 08:35:10:701,1,141,5,0,133,1201,141,0 54,0,2024-09-07 08:35:11:618,32782,3.0,33251,1.5,65690,1.7,88288,4.50 54,1,2024-09-07 08:35:10:580,247807,247807,0,0,116459601858,1220898979,243873,3363,571,367,391532,0 54,2,2024-09-07 08:35:10:865,179635,179629,6,0,9359525,0,5382 54,3,2024-09-07 08:35:10:766,1,141,0,0,676,2788,141,0 55,0,2024-09-07 08:35:11:769,33195,0.5,34150,0.7,69365,0.4,89833,2.50 55,1,2024-09-07 08:35:10:764,246966,246966,0,0,115289875095,1213125081,241781,4398,787,365,391731,0 55,2,2024-09-07 08:35:10:732,178015,178015,0,0,9111543,0,3275 55,3,2024-09-07 08:35:10:682,1,141,6,0,304,1635,141,0 56,0,2024-09-07 08:35:11:597,34799,1.3,32983,1.1,67530,1.8,90414,2.50 56,1,2024-09-07 08:35:10:571,247437,247437,0,0,116082793013,1234608714,242315,4219,903,382,391678,0 56,2,2024-09-07 08:35:11:304,174353,174353,0,0,9667346,0,3567 56,3,2024-09-07 08:35:11:064,1,141,1,0,405,2135,141,0 57,0,2024-09-07 08:35:11:002,36518,2.8,36520,1.7,73078,4.4,98365,4.25 57,1,2024-09-07 08:35:10:994,247455,247455,0,0,115366329996,1212312615,244639,2646,170,368,391960,0 57,2,2024-09-07 08:35:11:322,178451,178451,0,0,9951781,0,3178 57,3,2024-09-07 08:35:11:759,1,141,0,0,359,2634,141,0 58,0,2024-09-07 08:35:10:556,34550,1.7,33635,1.3,70554,2.7,92134,3.00 58,1,2024-09-07 08:35:10:575,248289,248286,0,3,116448654077,1221064153,244773,3116,397,369,391516,3 58,2,2024-09-07 08:35:11:071,180898,180898,0,0,9083800,0,2549 58,3,2024-09-07 08:35:11:073,1,141,1,0,219,1208,141,0 59,0,2024-09-07 08:35:11:761,35631,0.8,35333,1.0,70622,0.9,93873,3.00 59,1,2024-09-07 08:35:10:807,247798,247798,0,0,115361036811,1212528718,244152,2724,922,369,391515,0 59,2,2024-09-07 08:35:10:589,179736,179736,0,0,7909078,0,2604 59,3,2024-09-07 08:35:11:738,1,141,3,0,1015,2849,141,0 60,0,2024-09-07 08:35:11:725,34490,0.4,34538,0.6,68598,0.4,91273,1.75 60,1,2024-09-07 08:35:10:795,248980,248980,0,0,116596826573,1212358792,247526,1097,357,370,391761,0 60,2,2024-09-07 08:35:11:154,175065,175065,0,0,9021750,0,3811 60,3,2024-09-07 08:35:11:272,1,141,7,0,124,1520,141,0 61,0,2024-09-07 08:35:11:544,35723,1.8,35981,1.2,71826,2.6,96275,2.50 61,1,2024-09-07 08:35:10:782,247577,247577,0,0,116157169723,1223043873,244144,2881,552,382,391589,0 61,2,2024-09-07 08:35:11:124,177280,177280,0,0,7907287,0,2079 61,3,2024-09-07 08:35:11:695,1,141,1,0,199,1856,141,0 62,0,2024-09-07 08:35:11:708,38832,1.6,39602,1.1,75868,2.7,103197,2.50 62,1,2024-09-07 08:35:11:115,249433,249427,0,6,116330867770,1203836282,247749,1639,39,365,391715,6 62,2,2024-09-07 08:35:11:652,179636,179635,1,0,9649393,0,5555 62,3,2024-09-07 08:35:11:143,1,141,1,0,287,1142,141,0 63,0,2024-09-07 08:35:11:463,34374,0.6,34419,0.7,68889,0.6,91931,2.00 63,1,2024-09-07 08:35:10:807,249009,249003,0,6,116825606447,1213319493,247766,1211,26,381,391542,6 63,2,2024-09-07 08:35:10:763,178569,178569,0,0,7408433,0,2674 63,3,2024-09-07 08:35:11:746,1,141,1,0,667,2355,141,0 64,0,2024-09-07 08:35:11:526,32912,0.4,32831,0.6,65826,0.3,87738,1.75 64,1,2024-09-07 08:35:10:750,248313,248313,0,0,115738004572,1215024286,244851,2409,1053,371,391783,0 64,2,2024-09-07 08:35:11:150,178169,178150,19,0,8206985,0,6121 64,3,2024-09-07 08:35:11:156,1,141,1,0,265,1739,141,0 65,0,2024-09-07 08:35:11:688,33337,1.1,33937,1.0,67682,1.5,90533,2.50 65,1,2024-09-07 08:35:10:882,247598,247598,0,0,115431363582,1212003229,245156,2220,222,382,391770,0 65,2,2024-09-07 08:35:11:703,175416,175416,0,0,8524346,0,3367 65,3,2024-09-07 08:35:11:694,1,141,1,0,163,1539,141,0 66,0,2024-09-07 08:35:11:790,38198,0.8,38132,1.0,76847,1.0,102142,2.50 66,1,2024-09-07 08:35:11:292,248616,248616,0,0,116570320340,1214946088,246746,1699,171,380,391588,0 66,2,2024-09-07 08:35:11:152,181785,181785,0,0,7935016,0,4956 66,3,2024-09-07 08:35:11:081,1,141,0,0,291,1657,141,0 67,0,2024-09-07 08:35:11:424,32857,1.0,32765,1.0,65602,1.3,87675,2.50 67,1,2024-09-07 08:35:10:769,247649,247648,0,1,115736609962,1215872439,244183,2719,746,381,391787,1 67,2,2024-09-07 08:35:10:595,180197,180197,0,0,7511489,0,2889 67,3,2024-09-07 08:35:11:756,1,141,1,0,138,1240,141,0 68,0,2024-09-07 08:35:10:599,33867,0.5,33741,0.7,67319,0.4,89293,2.00 68,1,2024-09-07 08:35:10:575,246928,246928,0,0,115161634498,1213122401,244087,1823,1018,381,391953,0 68,2,2024-09-07 08:35:11:044,176931,176866,65,0,10876338,0,6698 68,3,2024-09-07 08:35:10:736,1,141,1,0,417,1946,141,0 69,0,2024-09-07 08:35:11:735,33799,0.9,34048,0.9,67483,1.0,90317,2.25 69,1,2024-09-07 08:35:11:016,246479,246479,0,0,115308633311,1224983989,242492,2773,1214,384,391611,0 69,2,2024-09-07 08:35:11:736,174553,174553,0,0,9126925,0,3701 69,3,2024-09-07 08:35:10:771,1,141,2,0,238,1831,141,0 70,0,2024-09-07 08:35:11:546,37625,2.5,37799,1.7,75833,1.8,101164,3.50 70,1,2024-09-07 08:35:10:800,248411,248411,0,0,115950297272,1209271202,245997,1952,462,366,391725,0 70,2,2024-09-07 08:35:11:325,178827,178827,0,0,8659175,0,4044 70,3,2024-09-07 08:35:10:750,1,141,1,0,854,1824,141,0 71,0,2024-09-07 08:35:11:364,33905,2.5,33922,1.9,67492,4.0,91017,4.00 71,1,2024-09-07 08:35:11:599,247999,247999,0,0,115952723906,1219189885,243891,3585,523,368,391682,0 71,2,2024-09-07 08:35:11:075,181122,181122,0,0,8640744,0,2470 71,3,2024-09-07 08:35:11:756,1,141,3,0,644,2507,141,0 72,0,2024-09-07 08:35:11:069,36435,0.5,35623,0.7,69749,0.4,94369,2.25 72,1,2024-09-07 08:35:11:041,247799,247799,0,0,115798220726,1213463311,244556,2757,486,370,391819,0 72,2,2024-09-07 08:35:11:756,177879,177879,0,0,10184189,0,2570 72,3,2024-09-07 08:35:11:759,1,141,22,0,325,2341,141,0 73,0,2024-09-07 08:35:11:132,33688,0.4,34487,0.6,70700,0.3,91520,2.25 73,1,2024-09-07 08:35:10:783,248097,248097,0,0,115722827214,1204610164,246294,1688,115,368,391627,0 73,2,2024-09-07 08:35:11:760,175243,175243,0,0,9397320,0,3482 73,3,2024-09-07 08:35:10:978,1,141,49,0,274,2498,141,0 74,0,2024-09-07 08:35:11:324,37430,0.6,38113,0.8,72749,0.6,99367,2.50 74,1,2024-09-07 08:35:10:635,248226,248226,0,0,115367969444,1208565844,245444,2162,620,382,391681,0 74,2,2024-09-07 08:35:11:005,176866,176866,0,0,9405760,0,4253 74,3,2024-09-07 08:35:11:453,1,141,0,0,522,2682,141,0 75,0,2024-09-07 08:35:11:776,37170,1.7,36937,1.3,73979,2.4,99289,3.00 75,1,2024-09-07 08:35:11:584,247419,247419,0,0,116022367366,1220125486,244036,2923,460,381,391579,0 75,2,2024-09-07 08:35:11:356,180269,180269,0,0,8976614,0,4766 75,3,2024-09-07 08:35:11:073,1,141,0,0,535,1711,141,0 76,0,2024-09-07 08:35:10:628,34966,0.4,34814,0.7,69514,0.4,93393,2.25 76,1,2024-09-07 08:35:10:823,247905,247905,0,0,115798084076,1212552252,245846,1549,510,382,391692,0 76,2,2024-09-07 08:35:11:066,180007,180007,0,0,7812122,0,3064 76,3,2024-09-07 08:35:11:154,1,141,1,0,175,1617,141,0 77,0,2024-09-07 08:35:11:735,33610,0.5,33817,0.7,67583,0.5,89410,2.00 77,1,2024-09-07 08:35:10:828,248587,248587,0,0,116282200426,1215682362,247003,1502,82,383,391808,0 77,2,2024-09-07 08:35:11:283,175923,175923,0,0,8046359,0,3890 77,3,2024-09-07 08:35:11:095,1,141,2,0,305,1918,141,0 78,0,2024-09-07 08:35:11:746,34852,0.6,34865,0.8,70191,0.6,93096,2.00 78,1,2024-09-07 08:35:10:610,248112,248112,0,0,115191627752,1203185659,245786,2075,251,367,391589,0 78,2,2024-09-07 08:35:11:406,176583,176583,0,0,7575821,0,2114 78,3,2024-09-07 08:35:11:135,1,141,1,0,181,1568,141,0 79,0,2024-09-07 08:35:11:357,37010,0.6,37708,0.8,77263,0.5,101054,2.75 79,1,2024-09-07 08:35:10:575,248703,248703,0,0,116076648835,1206935138,246521,2011,171,369,391682,0 79,2,2024-09-07 08:35:11:071,181577,181577,0,0,7840623,0,3212 79,3,2024-09-07 08:35:10:749,1,141,1,0,418,2808,141,0 80,0,2024-09-07 08:35:11:113,33388,0.7,34155,0.9,65915,0.8,89657,2.25 80,1,2024-09-07 08:35:11:621,247192,247192,0,0,115999248189,1212547469,244684,2349,159,368,391673,0 80,2,2024-09-07 08:35:11:107,179689,179689,0,0,8162549,0,4433 80,3,2024-09-07 08:35:10:577,1,141,7,0,190,2512,141,0 81,0,2024-09-07 08:35:11:995,33291,0.5,34304,0.6,65724,0.4,88393,1.75 81,1,2024-09-07 08:35:11:653,247765,247765,0,0,115497578989,1211850156,245290,2203,272,382,391879,0 81,2,2024-09-07 08:35:11:168,177118,177118,0,0,8664152,0,3993 81,3,2024-09-07 08:35:11:154,1,141,8,0,193,1498,141,0 82,0,2024-09-07 08:35:11:987,33944,0.6,34056,0.8,68465,0.5,91106,2.00 82,1,2024-09-07 08:35:10:594,248018,248014,0,4,116323212973,1217093385,245575,1863,576,382,391558,4 82,2,2024-09-07 08:35:11:697,175650,175650,0,0,7835912,0,3986 82,3,2024-09-07 08:35:11:757,1,141,1,0,227,1783,141,0 83,0,2024-09-07 08:35:11:558,38184,0.8,38026,0.9,75259,0.9,101308,2.25 83,1,2024-09-07 08:35:10:551,247982,247982,0,0,115684733796,1212275082,245561,2218,203,382,391584,0 83,2,2024-09-07 08:35:10:768,179685,179685,0,0,7797443,0,3119 83,3,2024-09-07 08:35:10:749,1,141,241,0,241,1700,141,0 84,0,2024-09-07 08:35:11:784,33506,1.9,33162,1.5,66759,1.5,89596,3.00 84,1,2024-09-07 08:35:11:040,247063,247063,0,0,115918714927,1219419311,243686,2923,454,368,391852,0 84,2,2024-09-07 08:35:10:575,179800,179800,0,0,9005151,0,3801 84,3,2024-09-07 08:35:11:162,1,141,1,0,236,1603,141,0 85,0,2024-09-07 08:35:11:004,33221,0.5,33225,0.7,70408,0.4,90956,2.25 85,1,2024-09-07 08:35:10:559,246816,246816,0,0,115124870551,1226202345,241424,4316,1076,382,391679,0 85,2,2024-09-07 08:35:10:864,178708,178708,0,0,9759341,0,3656 85,3,2024-09-07 08:35:10:685,1,141,1,0,115,1475,141,0 86,0,2024-09-07 08:35:10:900,33959,0.6,34954,0.7,67021,0.6,90249,2.00 86,1,2024-09-07 08:35:10:843,247661,247661,0,0,115692105038,1217682420,243722,3396,543,366,391961,0 86,2,2024-09-07 08:35:10:861,173515,173514,1,0,9834417,0,5004 86,3,2024-09-07 08:35:10:611,1,141,7,0,286,2240,141,0 87,0,2024-09-07 08:35:11:303,37128,1.9,37156,1.3,74075,2.9,100313,2.50 87,1,2024-09-07 08:35:10:656,247035,247035,0,0,115986562481,1220482571,243830,2814,391,366,391788,0 87,2,2024-09-07 08:35:11:070,177848,177848,0,0,8312471,0,3515 87,3,2024-09-07 08:35:11:798,1,141,1,0,335,2614,141,0 88,0,2024-09-07 08:35:11:439,35859,0.8,35945,0.8,71743,0.9,95590,2.00 88,1,2024-09-07 08:35:10:576,246677,246677,0,0,115909750872,1222277226,242669,2844,1164,367,391787,0 88,2,2024-09-07 08:35:10:690,180930,180930,0,0,9680882,0,3583 88,3,2024-09-07 08:35:11:268,1,141,0,0,435,2041,141,0 89,0,2024-09-07 08:35:11:771,36655,0.5,35504,0.7,70241,0.4,95350,1.75 89,1,2024-09-07 08:35:10:555,246210,246210,0,0,115604607965,1229401856,240798,4479,933,382,391866,0 89,2,2024-09-07 08:35:11:152,178704,178704,0,0,9417217,0,2910 89,3,2024-09-07 08:35:11:795,1,141,1,0,325,3010,141,0 90,0,2024-09-07 08:35:11:629,33285,0.4,33992,0.6,69931,0.3,91012,1.75 90,1,2024-09-07 08:35:10:611,247871,247871,0,0,115005034076,1212583200,244660,2955,256,382,391825,0 90,2,2024-09-07 08:35:11:412,174099,174099,0,0,10070404,0,3060 90,3,2024-09-07 08:35:10:931,1,141,16,1,200,1809,141,0 91,0,2024-09-07 08:35:10:966,36356,0.5,35081,0.7,73063,0.6,96723,1.75 91,1,2024-09-07 08:35:10:581,247493,247493,0,0,116774190326,1231263663,243767,3231,495,381,391960,0 91,2,2024-09-07 08:35:11:344,175257,175257,0,0,9517854,0,2445 91,3,2024-09-07 08:35:10:628,1,141,1,0,216,1821,141,0 92,0,2024-09-07 08:35:11:451,39053,0.9,39977,0.9,76593,1.0,103561,2.00 92,1,2024-09-07 08:35:10:581,247992,247992,0,0,116469623847,1220706000,245840,1752,400,382,391717,0 92,2,2024-09-07 08:35:11:357,182639,182639,0,0,8116556,0,2801 92,3,2024-09-07 08:35:11:009,1,141,4,0,68,1281,141,0 93,0,2024-09-07 08:35:10:957,34684,0.5,35457,0.7,67855,0.4,92390,1.75 93,1,2024-09-07 08:35:10:816,247675,247675,0,0,116248056037,1219528663,244578,2548,549,366,391692,0 93,2,2024-09-07 08:35:10:928,178746,178746,0,0,7928995,0,2509 93,3,2024-09-07 08:35:11:406,1,141,12,0,143,1575,141,0 94,0,2024-09-07 08:35:11:624,33068,0.3,33378,0.5,66130,0.2,87578,1.75 94,1,2024-09-07 08:35:10:573,247775,247775,0,0,115808337500,1215900921,245345,2338,92,381,391850,0 94,2,2024-09-07 08:35:10:769,176989,176989,0,0,7868182,0,2443 94,3,2024-09-07 08:35:11:707,1,141,3,0,264,2321,141,0 95,0,2024-09-07 08:35:11:365,34198,0.4,34083,0.6,68472,0.3,91212,1.75 95,1,2024-09-07 08:35:10:858,248216,248216,0,0,116447900119,1215615760,245858,2179,179,367,391600,0 95,2,2024-09-07 08:35:11:016,175221,175221,0,0,8048248,0,3308 95,3,2024-09-07 08:35:11:716,1,141,2,0,718,2930,141,0 96,0,2024-09-07 08:35:11:027,38665,0.7,38773,0.7,77513,0.7,102696,1.75 96,1,2024-09-07 08:35:11:583,247585,247585,0,0,115437243112,1212389292,244993,1951,641,385,391894,0 96,2,2024-09-07 08:35:11:268,180095,180095,0,0,8422330,0,4042 96,3,2024-09-07 08:35:11:151,1,141,2,0,188,1658,141,0 97,0,2024-09-07 08:35:11:311,32985,0.5,32962,0.6,65838,0.5,87682,1.75 97,1,2024-09-07 08:35:10:763,247931,247931,0,0,116202986660,1212905765,245167,2216,548,367,392140,0 97,2,2024-09-07 08:35:10:611,179504,179504,0,0,7782133,0,3036 97,3,2024-09-07 08:35:10:569,1,141,1,1,165,1677,141,0 98,0,2024-09-07 08:35:11:705,33829,0.2,33606,0.4,67660,0.2,89707,1.50 98,1,2024-09-07 08:35:10:571,248078,248078,0,0,116048599984,1213675108,246275,1716,87,382,391997,0 98,2,2024-09-07 08:35:10:776,179017,179017,0,0,8212028,0,3080 98,3,2024-09-07 08:35:10:703,1,141,33,1,840,2952,141,0 99,0,2024-09-07 08:35:11:457,33982,0.3,33978,0.5,67907,0.3,90581,1.75 99,1,2024-09-07 08:35:11:728,248033,248033,0,0,115364455869,1209594946,244983,2356,694,381,391744,0 99,2,2024-09-07 08:35:11:425,176312,176312,0,0,7758717,0,2615 99,3,2024-09-07 08:35:10:590,1,141,0,0,129,1048,141,0 100,0,2024-09-07 08:35:11:492,37891,1.5,37935,1.6,75879,2.6,101970,2.75 100,1,2024-09-07 08:35:10:548,245945,245945,0,0,114639456187,1222032845,240767,4247,931,381,391989,0 100,2,2024-09-07 08:35:11:823,178260,178249,11,0,9148523,0,5417 100,3,2024-09-07 08:35:11:732,1,141,18,0,559,3444,141,0 101,0,2024-09-07 08:35:11:747,34950,3.5,34019,1.8,66812,4.3,92214,3.25 101,1,2024-09-07 08:35:10:562,246502,246502,0,0,115529206962,1228545363,241156,3870,1476,368,391769,0 101,2,2024-09-07 08:35:11:779,180269,180269,0,0,10169890,0,4644 101,3,2024-09-07 08:35:10:941,1,141,1,0,448,1964,141,0 102,0,2024-09-07 08:35:10:996,34456,0.6,35785,0.8,71684,0.5,93739,2.00 102,1,2024-09-07 08:35:11:151,247070,247070,0,0,115173941594,1218340656,243007,3352,711,369,391831,0 102,2,2024-09-07 08:35:11:760,179488,179434,54,0,9680650,0,6768 102,3,2024-09-07 08:35:11:617,1,141,3,0,410,1634,141,0 103,0,2024-09-07 08:35:11:605,35581,0.4,35584,0.6,67033,0.4,91929,1.75 103,1,2024-09-07 08:35:11:629,246544,246544,0,0,115709525260,1225696629,242159,3177,1208,381,391829,0 103,2,2024-09-07 08:35:10:598,174028,174028,0,0,8420847,0,2104 103,3,2024-09-07 08:35:10:758,1,141,5,0,916,2870,141,0 104,0,2024-09-07 08:35:11:018,36715,2.0,36912,1.4,72709,2.9,99311,2.75 104,1,2024-09-07 08:35:11:599,247992,247992,0,0,115880161200,1228277849,243007,4026,959,366,391948,0 104,2,2024-09-07 08:35:11:666,176191,176191,0,0,9083538,0,3941 104,3,2024-09-07 08:35:11:418,1,141,1,1,1245,4961,141,0 105,0,2024-09-07 08:35:11:031,36652,2.1,35630,1.6,74229,3.1,98326,4.00 105,1,2024-09-07 08:35:10:556,248060,248060,0,0,115723279131,1220261775,243868,3420,772,367,391797,0 105,2,2024-09-07 08:35:11:325,180547,180547,0,0,8821591,0,3509 105,3,2024-09-07 08:35:11:317,1,141,1,1,399,2236,141,0 106,0,2024-09-07 08:35:11:006,34026,0.7,34888,0.9,71089,0.7,93299,2.25 106,1,2024-09-07 08:35:11:759,247214,247214,0,0,115886023199,1220771489,243458,3372,384,369,391767,0 106,2,2024-09-07 08:35:10:767,178586,178586,0,0,9027882,0,2795 106,3,2024-09-07 08:35:10:684,1,141,10,0,405,2271,141,0 107,0,2024-09-07 08:35:11:110,33688,0.4,33671,0.6,66914,0.3,89574,1.75 107,1,2024-09-07 08:35:10:628,246908,246908,0,0,115063162910,1214069346,243946,2735,227,381,392234,0 107,2,2024-09-07 08:35:11:295,175399,175398,1,0,8999826,0,5024 107,3,2024-09-07 08:35:11:761,1,141,51,0,353,1819,141,0 108,0,2024-09-07 08:35:11:811,35078,0.4,35289,0.6,69830,0.4,93621,1.75 108,1,2024-09-07 08:35:11:296,247437,247437,0,0,115996642636,1215511487,244791,2337,309,368,391857,0 108,2,2024-09-07 08:35:11:765,175147,175147,0,0,8543934,0,2647 108,3,2024-09-07 08:35:11:346,1,141,13,0,667,3165,141,0 109,0,2024-09-07 08:35:11:845,38351,0.5,38228,0.7,76122,0.5,102863,1.75 109,1,2024-09-07 08:35:10:593,247234,247234,0,0,116660993216,1229168213,244468,2272,494,383,391812,0 109,2,2024-09-07 08:35:10:930,180340,180340,0,0,8868730,0,3617 109,3,2024-09-07 08:35:11:163,1,141,10,1,249,2178,141,0 110,0,2024-09-07 08:35:11:779,33745,0.4,32691,0.7,68342,0.4,89920,1.75 110,1,2024-09-07 08:35:11:653,248003,248003,0,0,115927183741,1211529904,245395,1844,764,370,391667,0 110,2,2024-09-07 08:35:11:303,179413,179413,0,0,7849108,0,2915 110,3,2024-09-07 08:35:10:702,1,141,1,0,406,2089,141,0 111,0,2024-09-07 08:35:11:412,33483,0.2,33002,0.4,66038,0.2,88530,1.50 111,1,2024-09-07 08:35:11:004,248499,248499,0,0,117150981305,1219563605,246727,1440,332,382,391690,0 111,2,2024-09-07 08:35:11:121,177466,177466,0,0,8684700,0,2763 111,3,2024-09-07 08:35:10:913,1,141,3,0,379,2502,141,0 112,0,2024-09-07 08:35:10:912,34285,0.3,34221,0.5,68515,0.2,91365,1.50 112,1,2024-09-07 08:35:10:831,248653,248653,0,0,116697253494,1217412275,246328,1900,425,381,391580,0 112,2,2024-09-07 08:35:11:143,175194,175193,1,0,8484699,0,5036 112,3,2024-09-07 08:35:10:595,1,141,6,0,282,1632,141,0 113,0,2024-09-07 08:35:10:879,37976,0.4,37647,0.6,76471,0.4,102386,1.75 113,1,2024-09-07 08:35:11:685,248742,248742,0,0,116961864357,1215236032,246329,1925,488,366,391661,0 113,2,2024-09-07 08:35:11:303,181144,181144,0,0,7440709,0,3813 113,3,2024-09-07 08:35:10:685,1,141,1,0,288,2222,141,0 114,0,2024-09-07 08:35:10:877,34237,0.8,34839,0.8,68105,0.6,91196,2.00 114,1,2024-09-07 08:35:10:716,248219,248219,0,0,116399842820,1224176423,244094,2663,1462,381,391534,0 114,2,2024-09-07 08:35:10:873,179720,179720,0,0,7762824,0,3925 114,3,2024-09-07 08:35:11:281,1,141,1,0,395,1944,141,0 115,0,2024-09-07 08:35:10:556,34410,0.3,34627,0.4,69413,0.2,91736,1.50 115,1,2024-09-07 08:35:10:570,248121,248121,0,0,116010873702,1214835152,244482,2818,821,382,391602,0 115,2,2024-09-07 08:35:11:133,179517,179517,0,0,7332286,0,2152 115,3,2024-09-07 08:35:11:004,1,141,1,0,159,1048,141,0 116,0,2024-09-07 08:35:11:764,34156,0.7,33747,0.8,67861,0.7,90138,2.00 116,1,2024-09-07 08:35:10:804,246114,246114,0,0,115061705969,1229411651,241243,3118,1753,382,391677,0 116,2,2024-09-07 08:35:11:765,173543,173543,0,0,10124152,0,3529 116,3,2024-09-07 08:35:10:913,1,141,3,0,252,2104,141,0 117,0,2024-09-07 08:35:10:985,37081,1.8,37207,1.2,74030,2.9,100202,2.25 117,1,2024-09-07 08:35:11:586,247524,247524,0,0,115036050083,1212050595,243878,3219,427,370,392033,0 117,2,2024-09-07 08:35:11:156,178886,178886,0,0,8092323,0,3700 117,3,2024-09-07 08:35:11:059,1,141,1,0,490,3122,141,0 118,0,2024-09-07 08:35:11:778,34808,1.1,35874,1.0,72843,1.3,94859,2.25 118,1,2024-09-07 08:35:10:609,247027,247027,0,0,115266880005,1223114470,242209,3500,1318,368,391736,0 118,2,2024-09-07 08:35:11:588,180897,180897,0,0,9148459,0,2781 118,3,2024-09-07 08:35:11:772,1,141,3,0,235,2011,141,0 119,0,2024-09-07 08:35:11:373,35252,0.7,35374,0.9,71655,0.7,94459,2.00 119,1,2024-09-07 08:35:10:558,247735,247735,0,0,116000087480,1215007701,245288,2160,287,370,391641,0 119,2,2024-09-07 08:35:11:266,178485,178485,0,0,8662037,0,3526 119,3,2024-09-07 08:35:11:326,1,141,2,0,443,2786,141,0 120,0,2024-09-07 08:35:11:568,34012,0.5,34088,0.7,68014,0.4,91132,2.00 120,1,2024-09-07 08:35:10:863,247945,247945,0,0,115552990488,1217798847,244846,2840,259,368,391961,0 120,2,2024-09-07 08:35:10:770,174360,174359,1,0,10548875,0,5281 120,3,2024-09-07 08:35:11:303,1,141,11,0,241,2132,141,0 121,0,2024-09-07 08:35:11:732,35576,2.0,36048,1.3,71824,3.2,96189,2.50 121,1,2024-09-07 08:35:11:665,247843,247843,0,0,115822601435,1215827852,245122,2433,288,367,391840,0 121,2,2024-09-07 08:35:11:145,175317,175317,0,0,9548974,0,4127 121,3,2024-09-07 08:35:10:730,1,141,5,0,269,2032,141,0 122,0,2024-09-07 08:35:11:859,38452,1.3,37456,1.2,78410,1.5,103593,2.25 122,1,2024-09-07 08:35:10:862,246679,246679,0,0,115046035488,1215179261,242549,3425,705,366,392130,0 122,2,2024-09-07 08:35:11:320,181047,181047,0,0,10709849,0,3364 122,3,2024-09-07 08:35:10:596,1,141,5,0,411,3687,141,0 123,0,2024-09-07 08:35:10:986,34571,0.9,33589,0.9,70297,1.0,92042,2.25 123,1,2024-09-07 08:35:10:573,248230,248230,0,0,115640472648,1224378243,243162,4374,694,369,391823,0 123,2,2024-09-07 08:35:11:019,177409,177408,1,0,9201130,0,5215 123,3,2024-09-07 08:35:11:154,1,141,3,1,168,1921,141,0 124,0,2024-09-07 08:35:10:930,34081,0.3,34031,0.5,64264,0.2,87958,1.50 124,1,2024-09-07 08:35:11:027,247752,247752,0,0,115785537022,1207540495,245673,1724,355,367,392178,0 124,2,2024-09-07 08:35:11:010,177418,177418,0,0,7916720,0,3101 124,3,2024-09-07 08:35:10:758,1,141,1,0,490,2156,141,0 125,0,2024-09-07 08:35:11:433,34248,0.5,34134,0.6,68601,0.5,91257,1.75 125,1,2024-09-07 08:35:10:860,247830,247830,0,0,116553690948,1221584760,245474,2081,275,384,391702,0 125,2,2024-09-07 08:35:11:117,175648,175648,0,0,7771114,0,2180 125,3,2024-09-07 08:35:11:155,1,141,2,1,284,1993,141,0 126,0,2024-09-07 08:35:11:579,38730,0.8,39732,0.8,75922,0.9,103398,2.00 126,1,2024-09-07 08:35:10:553,248374,248374,0,0,116346747877,1210353675,246752,1545,77,365,391987,0 126,2,2024-09-07 08:35:10:613,180903,180903,0,0,8118660,0,3186 126,3,2024-09-07 08:35:10:923,1,141,3,0,150,2178,141,0 127,0,2024-09-07 08:35:11:663,32695,0.5,33022,0.6,65849,0.5,87702,1.75 127,1,2024-09-07 08:35:10:569,247731,247731,0,0,115182904670,1198243551,245676,2015,40,365,391816,0 127,2,2024-09-07 08:35:10:637,179553,179553,0,0,7675486,0,2264 127,3,2024-09-07 08:35:11:274,1,141,22,0,99,1103,141,0 128,0,2024-09-07 08:35:11:820,33989,0.3,33815,0.4,67474,0.2,89664,1.50 128,1,2024-09-07 08:35:11:682,248388,248388,0,0,116672333390,1211130724,247420,896,72,367,391680,0 128,2,2024-09-07 08:35:11:389,177921,177921,0,0,7730882,0,2107 128,3,2024-09-07 08:35:10:767,1,141,1,0,333,2186,141,0 129,0,2024-09-07 08:35:11:073,34198,0.3,34038,0.5,67926,0.3,90450,1.75 129,1,2024-09-07 08:35:10:567,247379,247379,0,0,115315076248,1212848642,244461,2526,392,379,391835,0 129,2,2024-09-07 08:35:10:686,174689,174689,0,0,7871484,0,4031 129,3,2024-09-07 08:35:10:689,1,141,1,1,173,1862,141,0 130,0,2024-09-07 08:35:11:756,38494,0.9,38166,0.8,76649,1.2,102982,2.00 130,1,2024-09-07 08:35:10:593,248166,248166,0,0,115705198440,1209855085,246084,2011,71,381,391825,0 130,2,2024-09-07 08:35:11:133,179223,179223,0,0,7883334,0,4067 130,3,2024-09-07 08:35:11:296,1,141,4,0,207,1324,141,0 131,0,2024-09-07 08:35:11:946,34631,0.7,34712,0.7,70237,0.9,92616,1.75 131,1,2024-09-07 08:35:11:841,249149,249149,0,0,115903320696,1209561871,247691,1252,206,384,391865,0 131,2,2024-09-07 08:35:10:575,181163,181163,0,0,7472488,0,2415 131,3,2024-09-07 08:35:11:703,1,141,3,0,392,1760,141,0 132,0,2024-09-07 08:35:11:417,34993,0.5,35449,0.8,71039,0.4,94149,2.00 132,1,2024-09-07 08:35:10:579,246439,246439,0,0,115344466762,1226542881,241043,4367,1029,381,391760,0 132,2,2024-09-07 08:35:10:704,178479,178479,0,0,10449814,0,4606 132,3,2024-09-07 08:35:11:689,1,141,15,0,356,2754,141,0 133,0,2024-09-07 08:35:11:525,33738,0.4,34427,0.6,70599,0.4,91095,2.00 133,1,2024-09-07 08:35:10:595,246168,246168,0,0,116067278471,1231440742,241671,3859,638,383,391914,0 133,2,2024-09-07 08:35:11:088,174031,174031,0,0,10343295,0,4315 133,3,2024-09-07 08:35:11:301,1,141,3,1,187,1349,141,0 134,0,2024-09-07 08:35:10:949,37068,0.7,36811,0.9,74429,0.7,99198,2.25 134,1,2024-09-07 08:35:10:647,246914,246914,0,0,115557309852,1218495352,242567,3126,1221,366,391718,0 134,2,2024-09-07 08:35:11:759,176642,176642,0,0,8553302,0,3096 134,3,2024-09-07 08:35:10:748,1,141,0,0,739,2860,141,0 135,0,2024-09-07 08:35:11:141,36136,1.8,36031,1.4,76279,2.2,97966,2.75 135,1,2024-09-07 08:35:11:584,246813,246813,0,0,115310190934,1219394454,242631,3481,701,380,391725,0 135,2,2024-09-07 08:35:10:686,181427,181427,0,0,9419977,0,3981 135,3,2024-09-07 08:35:11:004,1,141,1,0,89,851,141,0 136,0,2024-09-07 08:35:11:648,35352,0.6,35208,0.8,70944,0.6,94212,2.00 136,1,2024-09-07 08:35:11:454,247098,247098,0,0,115869869850,1218524165,243840,3085,173,384,391641,0 136,2,2024-09-07 08:35:11:137,180107,180107,0,0,9041732,0,3506 136,3,2024-09-07 08:35:11:126,1,141,7,0,108,1270,141,0 137,0,2024-09-07 08:35:10:954,34476,0.5,33548,0.7,66214,0.4,89731,2.00 137,1,2024-09-07 08:35:10:576,247416,247416,0,0,115626610011,1215571538,243379,3618,419,367,391608,0 137,2,2024-09-07 08:35:11:724,175423,175423,0,0,10269419,0,3185 137,3,2024-09-07 08:35:10:771,1,141,2,1,227,1804,141,0 138,0,2024-09-07 08:35:11:795,34585,1.7,34640,1.3,69970,2.3,93446,2.50 138,1,2024-09-07 08:35:11:697,247914,247914,0,0,115750849526,1215100089,244885,2680,349,368,391954,0 138,2,2024-09-07 08:35:10:593,176435,176435,0,0,8307891,0,3263 138,3,2024-09-07 08:35:10:618,1,141,1,0,1160,2682,141,0 139,0,2024-09-07 08:35:11:466,37208,3.2,37350,1.9,75387,4.5,101603,3.25 139,1,2024-09-07 08:35:10:573,246555,246555,0,0,114901377769,1224971402,241508,3733,1314,381,391892,0 139,2,2024-09-07 08:35:10:696,179340,179340,0,0,9581136,0,3097 139,3,2024-09-07 08:35:11:671,1,141,1,0,244,1751,141,0 140,0,2024-09-07 08:35:11:593,33771,0.4,33587,0.6,67562,0.3,89906,1.75 140,1,2024-09-07 08:35:11:535,248813,248813,0,0,116641836215,1206981599,247298,1275,240,365,391606,0 140,2,2024-09-07 08:35:10:687,179551,179551,0,0,8204125,0,3388 140,3,2024-09-07 08:35:10:768,1,141,0,0,247,1405,141,0 141,0,2024-09-07 08:35:11:701,33020,0.2,34078,0.4,65366,0.1,88484,1.50 141,1,2024-09-07 08:35:10:863,248329,248329,0,0,116455257824,1212697718,246391,1585,353,379,391614,0 141,2,2024-09-07 08:35:11:685,177957,177957,0,0,7725273,0,2342 141,3,2024-09-07 08:35:11:043,1,141,2,0,147,1274,141,0 142,0,2024-09-07 08:35:11:335,34659,0.3,34391,0.5,68296,0.3,91454,1.75 142,1,2024-09-07 08:35:10:594,248127,248127,0,0,116028728119,1213148219,246591,1377,159,383,391649,0 142,2,2024-09-07 08:35:11:302,173732,173700,32,0,8902955,0,6028 142,3,2024-09-07 08:35:11:759,1,141,1,0,484,2111,141,0 143,0,2024-09-07 08:35:11:382,38167,0.8,38181,0.8,76829,0.9,102306,2.00 143,1,2024-09-07 08:35:10:556,247885,247885,0,0,115796140313,1206283523,245783,2061,41,367,391619,0 143,2,2024-09-07 08:35:10:770,179738,179738,0,0,8224301,0,2669 143,3,2024-09-07 08:35:11:146,1,141,8,0,303,2506,141,0 144,0,2024-09-07 08:35:11:582,32682,1.1,33576,1.8,68131,1.4,89881,2.25 144,1,2024-09-07 08:35:10:580,247343,247343,0,0,116103439757,1222193279,244957,2183,203,381,391649,0 144,2,2024-09-07 08:35:11:763,180571,180571,0,0,7962271,0,3473 144,3,2024-09-07 08:35:11:760,1,141,2,0,249,2015,141,0 145,0,2024-09-07 08:35:11:549,33345,0.6,33280,0.8,70573,0.5,91248,2.25 145,1,2024-09-07 08:35:10:553,245971,245971,0,0,115388870344,1222971385,241592,3645,734,383,391615,0 145,2,2024-09-07 08:35:11:461,178523,178523,0,0,9055954,0,3903 145,3,2024-09-07 08:35:10:901,1,141,2,0,151,1766,141,0 146,0,2024-09-07 08:35:11:651,33809,0.5,33705,0.7,68136,0.4,89794,2.00 146,1,2024-09-07 08:35:11:591,248185,248185,0,0,114938622794,1211487384,243744,3582,859,368,391629,0 146,2,2024-09-07 08:35:11:702,174622,174622,0,0,8539187,0,2498 146,3,2024-09-07 08:35:11:282,1,141,0,0,1520,5107,141,0 147,0,2024-09-07 08:35:11:730,37161,1.2,37070,1.1,73552,1.2,100054,2.50 147,1,2024-09-07 08:35:11:383,248675,248675,0,0,116417676538,1217645073,245582,2600,493,368,391791,0 147,2,2024-09-07 08:35:11:010,178408,178408,0,0,8098173,0,2789 147,3,2024-09-07 08:35:10:913,1,141,2,0,371,1943,141,0 0,0,2024-09-07 08:35:21:714,33338,0.5,33442,0.7,70695,0.4,91611,1.75 0,1,2024-09-07 08:35:20:801,249592,249592,0,0,117056801587,1228552675,247636,1822,134,372,391692,0 0,2,2024-09-07 08:35:21:067,175842,175842,0,0,8287296,0,4480 0,3,2024-09-07 08:35:20:977,1,142,5,0,247,1997,142,0 1,0,2024-09-07 08:35:21:774,36382,1.7,36092,1.4,72517,2.3,97536,2.50 1,1,2024-09-07 08:35:20:569,248853,248853,0,0,116248684562,1224864930,245421,2565,867,372,391857,0 1,2,2024-09-07 08:35:20:641,177270,177270,0,0,7521072,0,3267 1,3,2024-09-07 08:35:21:306,1,142,2,0,262,1884,142,0 2,0,2024-09-07 08:35:21:573,38692,1.3,38833,1.1,77116,1.8,103025,2.25 2,1,2024-09-07 08:35:20:859,249325,249325,0,0,116919764265,1218897296,247880,1239,206,380,391745,0 2,2,2024-09-07 08:35:21:269,182029,182029,0,0,8296878,0,3594 2,3,2024-09-07 08:35:20:694,1,142,0,0,357,1654,142,0 3,0,2024-09-07 08:35:21:747,34427,0.5,34572,0.8,69301,0.5,92147,2.00 3,1,2024-09-07 08:35:21:618,249186,249186,0,0,115928187356,1215221846,246078,2684,424,382,391516,0 3,2,2024-09-07 08:35:21:142,180453,180430,23,0,8317710,0,5851 3,3,2024-09-07 08:35:21:755,1,142,1,0,103,966,142,0 4,0,2024-09-07 08:35:21:818,32277,0.3,33115,0.5,67367,0.2,88268,1.75 4,1,2024-09-07 08:35:20:593,249453,249453,0,0,116048895178,1224150250,246493,2440,520,371,391846,0 4,2,2024-09-07 08:35:21:019,176862,176862,0,0,9843373,0,4528 4,3,2024-09-07 08:35:21:028,1,142,5,0,287,2097,142,0 5,0,2024-09-07 08:35:21:425,34623,0.6,34455,0.7,69124,0.6,92367,1.75 5,1,2024-09-07 08:35:20:766,248587,248587,0,0,116388547624,1231854078,244420,3182,985,368,392005,0 5,2,2024-09-07 08:35:21:834,176627,176627,0,0,8333839,0,2259 5,3,2024-09-07 08:35:21:735,1,142,11,0,238,2290,142,0 6,0,2024-09-07 08:35:20:934,39298,1.1,38900,1.1,77184,1.6,103943,2.50 6,1,2024-09-07 08:35:20:749,249570,249570,0,0,116723065855,1227347341,246188,2735,647,381,391617,0 6,2,2024-09-07 08:35:21:115,182437,182437,0,0,8736321,0,4816 6,3,2024-09-07 08:35:21:279,1,142,2,0,340,2239,142,0 7,0,2024-09-07 08:35:21:538,32602,0.6,32636,0.8,65494,0.5,87609,2.00 7,1,2024-09-07 08:35:20:851,249436,249436,0,0,116810953032,1226905759,246719,2586,131,382,391664,0 7,2,2024-09-07 08:35:20:769,180176,180176,0,0,7868200,0,2981 7,3,2024-09-07 08:35:20:851,1,142,1,0,305,1527,142,0 8,0,2024-09-07 08:35:21:338,33815,0.3,34000,0.4,67334,0.2,90006,1.50 8,1,2024-09-07 08:35:21:018,248942,248942,0,0,116778233917,1240017602,243344,3999,1599,368,391956,0 8,2,2024-09-07 08:35:20:791,177047,177047,0,0,9584297,0,2986 8,3,2024-09-07 08:35:20:586,1,142,1,0,357,2505,142,0 9,0,2024-09-07 08:35:21:133,34294,0.3,33305,0.5,69396,0.3,91211,1.75 9,1,2024-09-07 08:35:20:550,248646,248646,0,0,116819039797,1238168295,244162,3358,1126,370,391753,0 9,2,2024-09-07 08:35:21:088,175831,175831,0,0,8832892,0,3360 9,3,2024-09-07 08:35:21:761,1,142,6,0,496,2542,142,0 10,0,2024-09-07 08:35:21:604,38673,0.4,38660,0.6,77555,0.3,103517,1.75 10,1,2024-09-07 08:35:20:583,249065,249065,0,0,115740472266,1220513604,244595,3829,641,381,391741,0 10,2,2024-09-07 08:35:20:762,180746,180746,0,0,9186005,0,2940 10,3,2024-09-07 08:35:20:876,1,142,0,0,177,1179,142,0 11,0,2024-09-07 08:35:21:011,33947,1.2,33142,1.1,69519,2.0,92536,2.50 11,1,2024-09-07 08:35:20:571,248889,248889,0,0,116359409852,1230086711,243588,4031,1270,384,391537,0 11,2,2024-09-07 08:35:21:125,181178,181178,0,0,8943591,0,3411 11,3,2024-09-07 08:35:21:298,1,142,0,0,720,2783,142,0 12,0,2024-09-07 08:35:20:944,35850,0.3,35472,0.5,71361,0.2,94484,1.50 12,1,2024-09-07 08:35:20:933,249065,249065,0,0,115943969407,1216648632,246191,2464,410,370,391837,0 12,2,2024-09-07 08:35:21:541,181077,181077,0,0,9180853,0,3469 12,3,2024-09-07 08:35:21:064,1,142,15,0,358,2504,142,0 13,0,2024-09-07 08:35:21:407,34675,0.4,34715,0.5,69313,0.3,91708,1.75 13,1,2024-09-07 08:35:21:524,249303,249303,0,0,116357333964,1225623070,246891,2004,408,384,391717,0 13,2,2024-09-07 08:35:20:600,176422,176422,0,0,8270882,0,3287 13,3,2024-09-07 08:35:21:770,1,142,7,0,467,3061,142,0 14,0,2024-09-07 08:35:20:563,37638,0.5,37760,0.7,74748,0.5,100035,2.00 14,1,2024-09-07 08:35:21:561,250605,250605,0,0,116715450789,1215616170,248341,2101,163,364,391571,0 14,2,2024-09-07 08:35:20:766,178166,178166,0,0,8433876,0,2896 14,3,2024-09-07 08:35:21:115,1,142,1,0,1168,2850,142,0 15,0,2024-09-07 08:35:21:555,36999,1.3,37003,1.2,74431,1.8,98664,2.75 15,1,2024-09-07 08:35:21:608,249359,249359,0,0,117014600847,1222231654,247447,1803,109,381,391619,0 15,2,2024-09-07 08:35:20:998,182929,182929,0,0,7203642,0,3043 15,3,2024-09-07 08:35:21:405,1,142,0,0,1126,4269,142,0 16,0,2024-09-07 08:35:20:945,35406,0.5,35738,0.8,70738,0.5,94685,2.00 16,1,2024-09-07 08:35:20:576,249702,249702,0,0,116439194314,1221579070,247492,1950,260,372,391756,0 16,2,2024-09-07 08:35:21:443,179978,179978,0,0,9361455,0,4719 16,3,2024-09-07 08:35:21:151,1,142,8,0,231,2019,142,0 17,0,2024-09-07 08:35:21:773,34931,0.4,34072,0.6,66877,0.4,90589,1.75 17,1,2024-09-07 08:35:20:568,248913,248913,0,0,116584558800,1230972599,245565,2677,671,368,391688,0 17,2,2024-09-07 08:35:21:670,178273,178273,0,0,8261472,0,2857 17,3,2024-09-07 08:35:20:581,1,142,1,0,268,2418,142,0 18,0,2024-09-07 08:35:20:946,34640,1.0,35035,1.0,70092,1.1,93406,2.50 18,1,2024-09-07 08:35:21:640,249107,249107,0,0,116330777135,1211926647,247114,1759,234,368,391564,0 18,2,2024-09-07 08:35:21:756,177102,177102,0,0,8314947,0,3541 18,3,2024-09-07 08:35:20:896,1,142,1,0,163,1698,142,0 19,0,2024-09-07 08:35:21:549,38318,0.9,38719,1.0,76246,1.0,102145,2.75 19,1,2024-09-07 08:35:20:575,249973,249973,0,0,117152672368,1227042689,246160,3097,716,367,391777,0 19,2,2024-09-07 08:35:21:757,183185,183185,0,0,7613831,0,3988 19,3,2024-09-07 08:35:21:129,1,142,2,0,524,1448,142,0 20,0,2024-09-07 08:35:21:399,33859,0.7,33749,0.8,67613,0.8,90441,2.25 20,1,2024-09-07 08:35:20:567,249044,249044,0,0,116827981513,1230566955,245615,3040,389,369,391822,0 20,2,2024-09-07 08:35:20:932,180398,180398,0,0,8492901,0,3721 20,3,2024-09-07 08:35:20:590,1,142,2,0,414,2779,142,0 21,0,2024-09-07 08:35:21:143,33719,0.4,33682,0.5,66992,0.3,88647,1.75 21,1,2024-09-07 08:35:21:538,248636,248636,0,0,115968632319,1226079215,245014,3091,531,368,391962,0 21,2,2024-09-07 08:35:21:067,178297,178297,0,0,9140691,0,3747 21,3,2024-09-07 08:35:21:404,1,142,1,0,103,1869,142,0 22,0,2024-09-07 08:35:21:724,34202,0.8,34262,0.9,68093,0.8,91130,2.25 22,1,2024-09-07 08:35:21:022,248559,248559,0,0,115506579979,1225724966,243056,3994,1509,382,391667,0 22,2,2024-09-07 08:35:20:760,176315,176315,0,0,7802007,0,3134 22,3,2024-09-07 08:35:21:066,1,142,1,0,228,1586,142,0 23,0,2024-09-07 08:35:21:384,38147,0.8,38297,0.9,76916,0.9,103010,2.50 23,1,2024-09-07 08:35:21:005,249556,249556,0,0,116336502525,1222961832,245462,2612,1482,365,391549,0 23,2,2024-09-07 08:35:21:092,180943,180943,0,0,8150983,0,3010 23,3,2024-09-07 08:35:21:755,1,142,1,0,645,1734,142,0 24,0,2024-09-07 08:35:20:838,34488,0.6,34516,0.7,69127,0.6,91553,1.75 24,1,2024-09-07 08:35:20:590,249457,249457,0,0,116437084421,1223423487,246507,2151,799,369,391640,0 24,2,2024-09-07 08:35:21:090,179989,179989,0,0,9576358,0,2942 24,3,2024-09-07 08:35:21:699,1,142,11,0,234,1722,142,0 25,0,2024-09-07 08:35:21:372,35543,0.4,34625,0.6,67891,0.3,92175,1.75 25,1,2024-09-07 08:35:20:571,249594,249594,0,0,116654473774,1230130877,245915,3136,543,371,391788,0 25,2,2024-09-07 08:35:21:606,178852,178852,0,0,9599112,0,3978 25,3,2024-09-07 08:35:21:009,1,142,7,0,158,1683,142,0 26,0,2024-09-07 08:35:21:728,33753,0.4,33091,0.5,69411,0.3,90497,1.75 26,1,2024-09-07 08:35:21:541,249632,249632,0,0,115950820431,1223813999,244973,3758,901,381,391564,0 26,2,2024-09-07 08:35:20:861,176081,176081,0,0,8558593,0,2809 26,3,2024-09-07 08:35:21:714,1,142,2,0,796,2149,142,0 27,0,2024-09-07 08:35:21:736,37991,0.6,38043,0.7,75578,0.6,101677,1.75 27,1,2024-09-07 08:35:21:680,250710,250710,0,0,117419676560,1222939148,248893,1494,323,381,391539,0 27,2,2024-09-07 08:35:20:867,178212,178212,0,0,9457255,0,3409 27,3,2024-09-07 08:35:21:019,1,142,1,0,564,1899,142,0 28,0,2024-09-07 08:35:21:401,35554,1.0,35906,0.9,72061,1.3,95772,2.50 28,1,2024-09-07 08:35:20:820,249608,249608,0,0,117711461839,1231190333,247448,1824,336,383,391646,0 28,2,2024-09-07 08:35:21:771,182692,182692,0,0,7600324,0,2915 28,3,2024-09-07 08:35:21:788,1,142,1,0,502,1946,142,0 29,0,2024-09-07 08:35:21:360,36519,0.4,35663,0.6,69821,0.3,95299,1.75 29,1,2024-09-07 08:35:21:563,250684,250684,0,0,116859800825,1215703293,248258,1883,543,369,391621,0 29,2,2024-09-07 08:35:20:861,180584,180584,0,0,7356004,0,4018 29,3,2024-09-07 08:35:20:963,1,142,1,0,105,1183,142,0 30,0,2024-09-07 08:35:21:464,34300,0.6,33418,0.8,69936,0.5,91698,2.00 30,1,2024-09-07 08:35:20:571,250268,250268,0,0,116746140137,1216998681,248284,1706,278,382,391672,0 30,2,2024-09-07 08:35:21:278,177044,177044,0,0,7341363,0,3161 30,3,2024-09-07 08:35:20:583,1,142,0,0,195,1148,142,0 31,0,2024-09-07 08:35:21:764,36003,0.7,36250,0.8,73144,0.7,97415,2.00 31,1,2024-09-07 08:35:20:571,251091,251091,0,0,116835882658,1200972683,250292,688,111,356,391553,0 31,2,2024-09-07 08:35:21:278,177531,177531,0,0,9073027,0,3525 31,3,2024-09-07 08:35:21:707,1,142,0,0,220,1159,142,0 32,0,2024-09-07 08:35:21:418,38758,0.6,39156,0.7,78234,0.5,103726,1.75 32,1,2024-09-07 08:35:20:806,250300,250300,0,0,117260509511,1221448703,248561,1464,275,382,391595,0 32,2,2024-09-07 08:35:20:935,182343,182343,0,0,7379823,0,3155 32,3,2024-09-07 08:35:21:019,1,142,5,0,227,1411,142,0 33,0,2024-09-07 08:35:21:493,35126,0.4,34513,0.6,69832,0.3,93136,1.75 33,1,2024-09-07 08:35:20:579,250624,250624,0,0,117455506520,1220400983,248366,2086,172,369,391730,0 33,2,2024-09-07 08:35:20:762,180275,180242,33,0,9200434,0,7012 33,3,2024-09-07 08:35:20:896,1,142,24,0,329,1819,142,0 34,0,2024-09-07 08:35:20:941,33264,0.3,34294,0.5,65852,0.2,88373,1.75 34,1,2024-09-07 08:35:21:044,250812,250812,0,0,117846588119,1216885703,249817,989,6,367,391562,0 34,2,2024-09-07 08:35:20:769,176954,176954,0,0,8170563,0,3577 34,3,2024-09-07 08:35:21:688,1,142,2,0,148,952,142,0 35,0,2024-09-07 08:35:20:859,34214,0.5,34620,0.6,69031,0.5,92553,1.75 35,1,2024-09-07 08:35:21:067,250057,250057,0,0,116984177878,1219788248,247260,2027,770,384,391589,0 35,2,2024-09-07 08:35:21:584,176576,176576,0,0,7444424,0,2653 35,3,2024-09-07 08:35:20:915,1,142,0,0,418,1917,142,0 36,0,2024-09-07 08:35:21:524,38860,1.2,38642,1.1,78059,1.5,103500,2.75 36,1,2024-09-07 08:35:20:586,249094,249094,0,0,116287116509,1224257554,244484,3322,1288,366,391759,0 36,2,2024-09-07 08:35:21:757,181326,181326,0,0,8856107,0,3303 36,3,2024-09-07 08:35:20:872,1,142,1,0,378,1746,142,0 37,0,2024-09-07 08:35:21:369,32746,0.6,32905,0.8,65338,0.5,88462,2.00 37,1,2024-09-07 08:35:20:572,248765,248758,0,7,117112863536,1237747689,244001,2739,2018,365,391518,0 37,2,2024-09-07 08:35:21:145,180585,180570,15,0,8919293,0,5815 37,3,2024-09-07 08:35:21:771,1,142,1,0,724,2438,142,0 38,0,2024-09-07 08:35:21:446,33699,0.4,32647,0.6,68160,0.3,89580,2.00 38,1,2024-09-07 08:35:21:606,250233,250233,0,0,116789580627,1226552621,246222,3379,632,368,391821,0 38,2,2024-09-07 08:35:20:761,179186,179139,47,0,10613328,0,6710 38,3,2024-09-07 08:35:20:997,1,142,0,0,603,2079,142,0 39,0,2024-09-07 08:35:21:765,35099,0.6,34261,0.7,67001,0.7,91768,2.00 39,1,2024-09-07 08:35:20:720,249653,249653,0,0,116706310329,1222820163,245867,2985,801,365,391524,0 39,2,2024-09-07 08:35:21:422,176022,176022,0,0,7699591,0,2689 39,3,2024-09-07 08:35:20:731,1,142,1,0,276,2024,142,0 40,0,2024-09-07 08:35:21:504,38064,1.0,38639,1.3,76742,1.2,102907,3.25 40,1,2024-09-07 08:35:20:576,249576,249576,0,0,116169041840,1222381518,245169,3639,768,368,391591,0 40,2,2024-09-07 08:35:21:311,179980,179979,1,0,9783246,0,5137 40,3,2024-09-07 08:35:21:148,1,142,2,0,181,1492,142,0 41,0,2024-09-07 08:35:21:027,34036,2.4,34708,1.9,66656,3.8,91133,4.50 41,1,2024-09-07 08:35:20:769,249463,249463,0,0,116399796970,1224417767,245274,3658,531,370,391742,0 41,2,2024-09-07 08:35:20:762,180382,180382,0,0,9619406,0,3356 41,3,2024-09-07 08:35:21:678,1,142,0,0,366,1923,142,0 42,0,2024-09-07 08:35:21:482,34801,0.5,35181,0.8,70245,0.5,92570,2.25 42,1,2024-09-07 08:35:21:442,248234,248234,0,0,116072391629,1226553824,243537,3739,958,380,391675,0 42,2,2024-09-07 08:35:21:133,179565,179565,0,0,9304533,0,3568 42,3,2024-09-07 08:35:21:009,1,142,1,0,446,1382,142,0 43,0,2024-09-07 08:35:20:925,34510,0.7,33785,0.9,70082,0.7,91718,2.00 43,1,2024-09-07 08:35:20:577,249433,249433,0,0,117421427687,1229009216,246194,2446,793,366,391604,0 43,2,2024-09-07 08:35:21:746,176505,176505,0,0,8629573,0,3812 43,3,2024-09-07 08:35:21:754,1,142,0,0,325,2099,142,0 44,0,2024-09-07 08:35:20:927,37627,0.6,37580,0.8,75142,0.6,100719,2.00 44,1,2024-09-07 08:35:20:569,249955,249955,0,0,116270819422,1206616320,247898,1632,425,356,391809,0 44,2,2024-09-07 08:35:21:271,177842,177842,0,0,7280795,0,1877 44,3,2024-09-07 08:35:21:099,1,142,1,0,817,2002,142,0 45,0,2024-09-07 08:35:21:764,36592,1.6,35865,1.3,75364,2.2,99315,2.50 45,1,2024-09-07 08:35:21:010,249893,249893,0,0,117198286791,1218273524,248854,1029,10,382,391917,0 45,2,2024-09-07 08:35:21:272,183115,183115,0,0,7914118,0,3596 45,3,2024-09-07 08:35:20:934,1,142,1,0,226,1394,142,0 46,0,2024-09-07 08:35:20:956,35300,0.4,35211,0.6,70697,0.3,93778,1.75 46,1,2024-09-07 08:35:20:575,250379,250379,0,0,117075213828,1214806495,248572,1585,222,366,391524,0 46,2,2024-09-07 08:35:20:601,181273,181273,0,0,7903300,0,2920 46,3,2024-09-07 08:35:21:131,1,142,1,0,908,2860,142,0 47,0,2024-09-07 08:35:21:107,33861,0.3,34064,0.5,68438,0.2,90265,1.75 47,1,2024-09-07 08:35:20:592,250495,250495,0,0,116786617902,1209572482,249096,1382,17,366,391605,0 47,2,2024-09-07 08:35:20:912,177791,177791,0,0,7991503,0,2558 47,3,2024-09-07 08:35:21:115,1,142,1,0,529,1717,142,0 48,0,2024-09-07 08:35:21:493,35550,0.3,35160,0.5,70618,0.2,94089,1.75 48,1,2024-09-07 08:35:21:022,250289,250289,0,0,116858721487,1218280541,248231,1878,180,384,391710,0 48,2,2024-09-07 08:35:20:702,177081,177081,0,0,6658120,0,2083 48,3,2024-09-07 08:35:20:757,1,142,11,0,339,1383,142,0 49,0,2024-09-07 08:35:21:712,39626,0.5,38935,0.7,75666,0.5,104047,1.75 49,1,2024-09-07 08:35:21:026,249174,249174,0,0,117051449189,1224833860,246439,1895,840,382,391583,0 49,2,2024-09-07 08:35:21:798,183003,183003,0,0,7792986,0,3900 49,3,2024-09-07 08:35:21:422,1,142,392,0,408,2272,142,0 50,0,2024-09-07 08:35:21:512,33939,0.4,33484,0.6,67200,0.3,90386,1.75 50,1,2024-09-07 08:35:21:011,250825,250825,0,0,117830804426,1225908290,248301,2215,309,368,391530,0 50,2,2024-09-07 08:35:21:068,180177,180177,0,0,7418961,0,2253 50,3,2024-09-07 08:35:21:291,1,142,2,0,335,1289,142,0 51,0,2024-09-07 08:35:21:708,34176,0.3,33608,0.4,65478,0.2,89096,1.50 51,1,2024-09-07 08:35:21:683,250066,250066,0,0,118180775320,1225539783,248099,1181,786,367,391637,0 51,2,2024-09-07 08:35:21:316,178292,178292,0,0,7053254,0,3337 51,3,2024-09-07 08:35:21:027,1,142,1,0,162,865,142,0 52,0,2024-09-07 08:35:21:437,34517,0.6,34552,0.8,69274,0.6,91577,2.25 52,1,2024-09-07 08:35:20:584,248725,248725,0,0,116340354712,1231644062,243063,4507,1155,368,391722,0 52,2,2024-09-07 08:35:21:755,174797,174759,38,0,9412867,0,6742 52,3,2024-09-07 08:35:20:678,1,142,12,0,1782,3445,142,0 53,0,2024-09-07 08:35:21:744,38186,1.1,37165,1.0,77720,1.2,102401,3.00 53,1,2024-09-07 08:35:20:781,248458,248458,0,0,116763053783,1238128367,242840,3724,1894,367,391702,0 53,2,2024-09-07 08:35:21:299,181674,181674,0,0,7746596,0,2262 53,3,2024-09-07 08:35:20:701,1,142,1,0,133,1202,142,0 54,0,2024-09-07 08:35:21:615,32955,3.0,33423,1.5,66038,1.7,88768,4.50 54,1,2024-09-07 08:35:20:600,249537,249537,0,0,117264801100,1229052188,245603,3363,571,367,391532,0 54,2,2024-09-07 08:35:20:871,180760,180754,6,0,9370362,0,5382 54,3,2024-09-07 08:35:20:765,1,142,1,0,676,2789,142,0 55,0,2024-09-07 08:35:21:777,33299,0.5,34270,0.7,69558,0.4,90082,2.25 55,1,2024-09-07 08:35:20:766,248762,248762,0,0,116197118908,1222298925,243577,4398,787,365,391731,0 55,2,2024-09-07 08:35:20:732,179217,179217,0,0,9119017,0,3275 55,3,2024-09-07 08:35:20:678,1,142,2,0,304,1637,142,0 56,0,2024-09-07 08:35:21:594,34903,1.3,33077,1.1,67718,1.8,90739,2.50 56,1,2024-09-07 08:35:20:571,249209,249209,0,0,116979177712,1243755472,244086,4220,903,382,391678,0 56,2,2024-09-07 08:35:21:320,175620,175620,0,0,9685122,0,3567 56,3,2024-09-07 08:35:21:079,1,142,13,0,405,2148,142,0 57,0,2024-09-07 08:35:20:952,36971,2.8,37006,1.7,74042,4.4,99710,4.25 57,1,2024-09-07 08:35:21:003,249283,249283,0,0,116079389163,1219645070,246467,2646,170,368,391960,0 57,2,2024-09-07 08:35:21:316,179752,179752,0,0,9966618,0,3178 57,3,2024-09-07 08:35:21:773,1,142,1,0,359,2635,142,0 58,0,2024-09-07 08:35:20:561,34655,1.7,33747,1.3,70765,2.7,92449,3.00 58,1,2024-09-07 08:35:20:575,250059,250056,0,3,117225982283,1228949838,246543,3116,397,369,391516,3 58,2,2024-09-07 08:35:21:071,182327,182327,0,0,9096835,0,2549 58,3,2024-09-07 08:35:21:077,1,142,4,0,219,1212,142,0 59,0,2024-09-07 08:35:21:741,35743,0.8,35456,1.0,70854,0.9,94129,3.00 59,1,2024-09-07 08:35:20:804,249563,249563,0,0,116355193423,1222582305,245917,2724,922,369,391515,0 59,2,2024-09-07 08:35:20:594,181053,181053,0,0,7923108,0,2604 59,3,2024-09-07 08:35:21:746,1,142,1,0,1015,2850,142,0 60,0,2024-09-07 08:35:21:777,34698,0.4,34765,0.6,69047,0.4,91789,1.75 60,1,2024-09-07 08:35:20:772,250881,250881,0,0,117539126560,1221966974,249427,1097,357,370,391761,0 60,2,2024-09-07 08:35:21:155,175977,175977,0,0,9091346,0,3811 60,3,2024-09-07 08:35:21:272,1,142,10,0,124,1530,142,0 61,0,2024-09-07 08:35:21:507,36103,1.8,36381,1.2,72596,2.5,97432,2.25 61,1,2024-09-07 08:35:20:769,249395,249395,0,0,116951786538,1231201232,245962,2881,552,382,391589,0 61,2,2024-09-07 08:35:21:116,178680,178680,0,0,8033154,0,2079 61,3,2024-09-07 08:35:21:691,1,142,3,0,199,1859,142,0 62,0,2024-09-07 08:35:21:707,39012,1.7,39793,1.1,76248,2.7,103483,2.50 62,1,2024-09-07 08:35:21:112,251232,251226,0,6,117003619432,1210824005,249548,1639,39,365,391715,6 62,2,2024-09-07 08:35:21:644,181116,181115,1,0,9678549,0,5555 62,3,2024-09-07 08:35:21:143,1,142,1,0,287,1143,142,0 63,0,2024-09-07 08:35:21:463,34675,0.6,34692,0.7,69463,0.6,92722,2.00 63,1,2024-09-07 08:35:20:804,250713,250707,0,6,117488418353,1220116414,249470,1211,26,381,391542,6 63,2,2024-09-07 08:35:20:763,180046,180046,0,0,7433821,0,2674 63,3,2024-09-07 08:35:21:732,1,142,2,0,667,2357,142,0 64,0,2024-09-07 08:35:21:517,33122,0.4,33021,0.6,66235,0.3,88259,1.75 64,1,2024-09-07 08:35:20:751,250037,250037,0,0,116586984786,1223781793,246575,2409,1053,371,391783,0 64,2,2024-09-07 08:35:21:144,178937,178918,19,0,8219834,0,6121 64,3,2024-09-07 08:35:21:144,1,142,3,0,265,1742,142,0 65,0,2024-09-07 08:35:21:692,33792,1.1,34328,1.0,68541,1.5,91757,2.50 65,1,2024-09-07 08:35:20:866,249318,249318,0,0,116313922233,1221114521,246876,2220,222,382,391770,0 65,2,2024-09-07 08:35:21:708,176920,176920,0,0,8561934,0,3367 65,3,2024-09-07 08:35:21:686,1,142,10,0,163,1549,142,0 66,0,2024-09-07 08:35:21:766,38476,0.8,38426,1.0,77424,0.9,102836,2.50 66,1,2024-09-07 08:35:21:300,250560,250560,0,0,117467357714,1224427750,248685,1704,171,380,391588,0 66,2,2024-09-07 08:35:21:131,183295,183295,0,0,7973688,0,4956 66,3,2024-09-07 08:35:21:081,1,142,1,0,291,1658,142,0 67,0,2024-09-07 08:35:21:439,33186,1.0,33065,1.0,66247,1.3,88552,2.50 67,1,2024-09-07 08:35:20:766,249321,249320,0,1,116502458104,1223773307,245855,2719,746,381,391787,1 67,2,2024-09-07 08:35:20:593,181320,181320,0,0,7535975,0,2889 67,3,2024-09-07 08:35:21:756,1,142,1,0,138,1241,142,0 68,0,2024-09-07 08:35:20:578,34011,0.5,33900,0.7,67656,0.4,89782,2.00 68,1,2024-09-07 08:35:20:585,248753,248753,0,0,116269281084,1224386444,245912,1823,1018,381,391953,0 68,2,2024-09-07 08:35:21:047,177908,177843,65,0,10886864,0,6698 68,3,2024-09-07 08:35:20:735,1,142,11,0,417,1957,142,0 69,0,2024-09-07 08:35:21:760,34100,0.9,34351,0.9,68086,1.0,91226,2.25 69,1,2024-09-07 08:35:21:017,248313,248313,0,0,116135330310,1233451378,244325,2774,1214,384,391611,0 69,2,2024-09-07 08:35:21:744,176083,176083,0,0,9140114,0,3701 69,3,2024-09-07 08:35:20:762,1,142,2,0,238,1833,142,0 70,0,2024-09-07 08:35:21:537,38042,2.4,38224,1.6,76695,1.8,102321,3.50 70,1,2024-09-07 08:35:20:827,250170,250170,0,0,116641038619,1216283268,247756,1952,462,366,391725,0 70,2,2024-09-07 08:35:21:325,180278,180278,0,0,8675895,0,4044 70,3,2024-09-07 08:35:20:759,1,142,27,0,854,1851,142,0 71,0,2024-09-07 08:35:21:358,34014,2.5,34032,1.9,67729,4.0,91333,4.00 71,1,2024-09-07 08:35:21:596,249736,249736,0,0,116687015572,1226641557,245628,3585,523,368,391682,0 71,2,2024-09-07 08:35:21:067,182150,182150,0,0,8648161,0,2470 71,3,2024-09-07 08:35:21:756,1,142,1,0,644,2508,142,0 72,0,2024-09-07 08:35:21:029,36565,0.5,35744,0.7,70001,0.4,94714,2.25 72,1,2024-09-07 08:35:21:023,249608,249608,0,0,116555874706,1221206611,246365,2757,486,369,391819,0 72,2,2024-09-07 08:35:21:769,179208,179208,0,0,10196876,0,2570 72,3,2024-09-07 08:35:21:764,1,142,8,0,325,2349,142,0 73,0,2024-09-07 08:35:21:135,33731,0.4,34520,0.6,70771,0.3,91520,2.25 73,1,2024-09-07 08:35:20:767,249846,249846,0,0,116519295343,1212715676,248043,1688,115,368,391627,0 73,2,2024-09-07 08:35:21:761,176471,176471,0,0,9412311,0,3482 73,3,2024-09-07 08:35:20:969,1,142,0,0,274,2498,142,0 74,0,2024-09-07 08:35:21:337,37790,0.6,38492,0.8,73441,0.6,100307,2.50 74,1,2024-09-07 08:35:20:637,249949,249949,0,0,116188621292,1217011869,247165,2164,620,382,391681,0 74,2,2024-09-07 08:35:21:010,178084,178084,0,0,9416645,0,4253 74,3,2024-09-07 08:35:21:442,1,142,1,0,522,2683,142,0 75,0,2024-09-07 08:35:21:786,37270,1.7,37030,1.3,74154,2.3,99548,3.00 75,1,2024-09-07 08:35:21:585,249188,249188,0,0,116761042511,1227645343,245805,2923,460,381,391579,0 75,2,2024-09-07 08:35:21:350,181701,181701,0,0,8992897,0,4766 75,3,2024-09-07 08:35:21:072,1,142,1,0,535,1712,142,0 76,0,2024-09-07 08:35:20:657,35166,0.4,35040,0.7,70041,0.4,93883,2.25 76,1,2024-09-07 08:35:20:815,249680,249680,0,0,116673313963,1221501005,247621,1549,510,382,391692,0 76,2,2024-09-07 08:35:21:064,181465,181465,0,0,7855841,0,3064 76,3,2024-09-07 08:35:21:143,1,142,2,0,175,1619,142,0 77,0,2024-09-07 08:35:21:760,33907,0.5,34129,0.7,68251,0.5,90238,1.75 77,1,2024-09-07 08:35:20:852,250363,250363,0,0,117156183061,1224845505,248777,1504,82,383,391808,0 77,2,2024-09-07 08:35:21:297,176664,176664,0,0,8076019,0,3890 77,3,2024-09-07 08:35:21:101,1,142,5,0,305,1923,142,0 78,0,2024-09-07 08:35:21:728,35172,0.6,35144,0.8,70777,0.6,93781,2.00 78,1,2024-09-07 08:35:20:613,249894,249894,0,0,116169719986,1213150743,247566,2076,252,367,391589,0 78,2,2024-09-07 08:35:21:405,178122,178122,0,0,7601589,0,2114 78,3,2024-09-07 08:35:21:142,1,142,9,0,181,1577,142,0 79,0,2024-09-07 08:35:21:370,37537,0.6,38244,0.8,78292,0.5,102537,2.75 79,1,2024-09-07 08:35:20:571,250544,250544,0,0,117169251035,1218005656,248362,2011,171,369,391682,0 79,2,2024-09-07 08:35:21:068,183078,183078,0,0,7879210,0,3212 79,3,2024-09-07 08:35:20:761,1,142,9,0,418,2817,142,0 80,0,2024-09-07 08:35:21:095,33716,0.7,34472,0.9,66543,0.7,90528,2.25 80,1,2024-09-07 08:35:21:622,248989,248989,0,0,116684326229,1219582800,246481,2349,159,368,391673,0 80,2,2024-09-07 08:35:21:094,180954,180954,0,0,8201171,0,4433 80,3,2024-09-07 08:35:20:588,1,142,1,0,190,2513,142,0 81,0,2024-09-07 08:35:21:544,33446,0.5,34463,0.6,66003,0.4,88770,1.75 81,1,2024-09-07 08:35:21:660,249595,249595,0,0,116321677536,1220361449,247120,2203,272,382,391879,0 81,2,2024-09-07 08:35:21:129,178062,178062,0,0,8678858,0,3993 81,3,2024-09-07 08:35:21:120,1,142,7,0,193,1505,142,0 82,0,2024-09-07 08:35:21:537,34182,0.6,34346,0.8,68963,0.5,91723,2.00 82,1,2024-09-07 08:35:20:584,249871,249867,0,4,117235548846,1226472459,247428,1863,576,382,391558,4 82,2,2024-09-07 08:35:21:702,177124,177124,0,0,7916025,0,3986 82,3,2024-09-07 08:35:21:755,1,142,1,0,227,1784,142,0 83,0,2024-09-07 08:35:21:577,38587,0.8,38435,0.9,76039,0.9,102390,2.25 83,1,2024-09-07 08:35:20:552,249779,249779,0,0,116381788481,1219696134,247347,2229,203,382,391584,0 83,2,2024-09-07 08:35:20:766,181004,181004,0,0,7820722,0,3119 83,3,2024-09-07 08:35:20:749,1,142,2,0,241,1702,142,0 84,0,2024-09-07 08:35:21:769,33657,1.9,33313,1.5,67106,1.5,90032,3.00 84,1,2024-09-07 08:35:21:040,248852,248852,0,0,116644548826,1226817778,245475,2923,454,368,391852,0 84,2,2024-09-07 08:35:20:576,181022,181022,0,0,9015184,0,3801 84,3,2024-09-07 08:35:21:141,1,142,2,0,236,1605,142,0 85,0,2024-09-07 08:35:21:015,33331,0.5,33325,0.7,70625,0.4,91201,2.25 85,1,2024-09-07 08:35:20:559,248600,248600,0,0,116048649582,1235611454,243208,4316,1076,382,391679,0 85,2,2024-09-07 08:35:20:865,179993,179993,0,0,9771555,0,3656 85,3,2024-09-07 08:35:20:703,1,142,1,0,115,1476,142,0 86,0,2024-09-07 08:35:20:985,34056,0.6,35053,0.7,67207,0.6,90574,2.00 86,1,2024-09-07 08:35:20:917,249393,249393,0,0,116513032612,1226037445,245454,3396,543,366,391961,0 86,2,2024-09-07 08:35:20:878,174827,174826,1,0,9847583,0,5004 86,3,2024-09-07 08:35:20:601,1,142,1,0,286,2241,142,0 87,0,2024-09-07 08:35:21:300,37578,1.9,37595,1.3,75059,2.9,101656,2.50 87,1,2024-09-07 08:35:20:687,248908,248908,0,0,117121584174,1231965881,245702,2815,391,366,391788,0 87,2,2024-09-07 08:35:21:070,179131,179131,0,0,8325598,0,3515 87,3,2024-09-07 08:35:21:804,1,142,1,0,335,2615,142,0 88,0,2024-09-07 08:35:21:470,35959,0.8,36052,0.8,71941,0.9,95877,2.00 88,1,2024-09-07 08:35:20:570,248418,248418,0,0,116613083522,1229427475,244409,2845,1164,367,391787,0 88,2,2024-09-07 08:35:20:698,182334,182334,0,0,9695259,0,3583 88,3,2024-09-07 08:35:21:270,1,142,4,0,435,2045,142,0 89,0,2024-09-07 08:35:21:770,36782,0.5,35625,0.7,70467,0.4,95631,1.75 89,1,2024-09-07 08:35:20:556,247963,247963,0,0,116466324092,1238188797,242551,4479,933,382,391866,0 89,2,2024-09-07 08:35:21:132,179946,179946,0,0,9429150,0,2910 89,3,2024-09-07 08:35:21:797,1,142,1,0,325,3011,142,0 90,0,2024-09-07 08:35:21:639,33486,0.4,34223,0.6,70366,0.3,91531,1.75 90,1,2024-09-07 08:35:20:597,249705,249705,0,0,115950732551,1222240662,246494,2955,256,382,391825,0 90,2,2024-09-07 08:35:21:413,175097,175097,0,0,10081814,0,3060 90,3,2024-09-07 08:35:20:946,1,142,6,1,200,1815,142,0 91,0,2024-09-07 08:35:20:944,36729,0.5,35472,0.7,73901,0.6,97866,1.75 91,1,2024-09-07 08:35:20:591,249289,249289,0,0,117432120161,1238026366,245562,3232,495,381,391960,0 91,2,2024-09-07 08:35:21:333,176652,176652,0,0,9544991,0,2445 91,3,2024-09-07 08:35:20:622,1,142,10,0,216,1831,142,0 92,0,2024-09-07 08:35:21:464,39236,0.9,40182,0.9,77001,1.1,103855,2.00 92,1,2024-09-07 08:35:20:583,249759,249759,0,0,117339271465,1229679383,247607,1752,400,382,391717,0 92,2,2024-09-07 08:35:21:350,184187,184187,0,0,8138666,0,2801 92,3,2024-09-07 08:35:21:009,1,142,5,0,68,1286,142,0 93,0,2024-09-07 08:35:20:952,34992,0.5,35754,0.7,68419,0.4,93172,1.75 93,1,2024-09-07 08:35:20:805,249417,249417,0,0,117152692609,1228807260,246320,2548,549,366,391692,0 93,2,2024-09-07 08:35:20:928,180183,180183,0,0,7991228,0,2509 93,3,2024-09-07 08:35:21:407,1,142,22,0,143,1597,142,0 94,0,2024-09-07 08:35:21:617,33277,0.3,33570,0.5,66516,0.2,88124,1.75 94,1,2024-09-07 08:35:20:597,249483,249483,0,0,116617160640,1224174044,247052,2339,92,381,391850,0 94,2,2024-09-07 08:35:20:762,177613,177613,0,0,7875643,0,2443 94,3,2024-09-07 08:35:21:688,1,142,2,0,264,2323,142,0 95,0,2024-09-07 08:35:21:345,34614,0.4,34520,0.6,69323,0.3,92409,1.75 95,1,2024-09-07 08:35:20:853,250009,250009,0,0,117100761285,1222474826,247651,2179,179,367,391600,0 95,2,2024-09-07 08:35:21:024,176779,176779,0,0,8111011,0,3308 95,3,2024-09-07 08:35:21:728,1,142,1,0,718,2931,142,0 96,0,2024-09-07 08:35:21:032,38964,0.7,39074,0.7,78133,0.7,103429,1.75 96,1,2024-09-07 08:35:21:587,249343,249343,0,0,116522869598,1223432723,246751,1951,641,385,391894,0 96,2,2024-09-07 08:35:21:269,181757,181757,0,0,8514919,0,4042 96,3,2024-09-07 08:35:21:141,1,142,0,0,188,1658,142,0 97,0,2024-09-07 08:35:21:328,33284,0.5,33242,0.6,66482,0.5,88631,1.75 97,1,2024-09-07 08:35:20:779,249671,249671,0,0,116952843400,1220834828,246897,2226,548,367,392140,0 97,2,2024-09-07 08:35:20:612,180589,180589,0,0,7803861,0,3036 97,3,2024-09-07 08:35:20:569,1,142,0,0,165,1677,142,0 98,0,2024-09-07 08:35:21:710,34031,0.2,33759,0.4,67929,0.2,90183,1.50 98,1,2024-09-07 08:35:20:575,249846,249846,0,0,116698206957,1220460229,248041,1718,87,382,391997,0 98,2,2024-09-07 08:35:20:769,180104,180104,0,0,8238871,0,3080 98,3,2024-09-07 08:35:20:701,1,142,1,1,840,2953,142,0 99,0,2024-09-07 08:35:21:464,34270,0.3,34291,0.5,68523,0.3,91480,1.50 99,1,2024-09-07 08:35:21:723,249798,249798,0,0,116050120746,1216661799,246748,2356,694,381,391744,0 99,2,2024-09-07 08:35:21:427,177797,177797,0,0,7795362,0,2615 99,3,2024-09-07 08:35:20:586,1,142,2,0,129,1050,142,0 100,0,2024-09-07 08:35:21:475,38352,1.5,38366,1.5,76787,2.6,103136,2.75 100,1,2024-09-07 08:35:20:548,247773,247773,0,0,115524294318,1231054409,242595,4247,931,381,391989,0 100,2,2024-09-07 08:35:21:819,179548,179537,11,0,9165942,0,5417 100,3,2024-09-07 08:35:21:732,1,142,1,0,559,3445,142,0 101,0,2024-09-07 08:35:21:703,35057,3.5,34135,1.8,67045,4.3,92530,3.25 101,1,2024-09-07 08:35:20:551,248288,248288,0,0,116365498802,1237083465,242942,3870,1476,368,391769,0 101,2,2024-09-07 08:35:21:772,181296,181296,0,0,10182393,0,4644 101,3,2024-09-07 08:35:20:948,1,142,0,0,448,1964,142,0 102,0,2024-09-07 08:35:20:949,34556,0.6,35905,0.8,71939,0.5,94076,2.00 102,1,2024-09-07 08:35:21:147,248904,248904,0,0,116041376839,1227186595,244841,3352,711,369,391831,0 102,2,2024-09-07 08:35:21:746,180810,180756,54,0,9692600,0,6768 102,3,2024-09-07 08:35:21:617,1,142,1,0,410,1635,142,0 103,0,2024-09-07 08:35:21:600,35628,0.4,35617,0.6,67108,0.4,91929,1.75 103,1,2024-09-07 08:35:21:629,248284,248284,0,0,116548233997,1234274494,243899,3177,1208,381,391829,0 103,2,2024-09-07 08:35:20:614,175256,175256,0,0,8433627,0,2104 103,3,2024-09-07 08:35:20:758,1,142,1,0,916,2871,142,0 104,0,2024-09-07 08:35:21:010,37043,2.0,37284,1.4,73416,2.9,100216,2.75 104,1,2024-09-07 08:35:21:605,249744,249744,0,0,116419812142,1233787714,244759,4026,959,366,391948,0 104,2,2024-09-07 08:35:21:675,177493,177493,0,0,9100849,0,3941 104,3,2024-09-07 08:35:21:422,1,142,2,1,1245,4963,142,0 105,0,2024-09-07 08:35:21:040,36758,2.1,35708,1.6,74422,3.0,98557,4.00 105,1,2024-09-07 08:35:20:563,249788,249788,0,0,116516614943,1228309883,245596,3420,772,367,391797,0 105,2,2024-09-07 08:35:21:329,182120,182120,0,0,8839982,0,3509 105,3,2024-09-07 08:35:21:304,1,142,1,1,399,2237,142,0 106,0,2024-09-07 08:35:20:946,34249,0.7,35116,0.9,71549,0.7,93894,2.25 106,1,2024-09-07 08:35:21:757,248995,248995,0,0,116741751654,1229449101,245238,3373,384,369,391767,0 106,2,2024-09-07 08:35:20:762,179983,179983,0,0,9048803,0,2795 106,3,2024-09-07 08:35:20:686,1,142,18,0,405,2289,142,0 107,0,2024-09-07 08:35:21:123,33989,0.4,33983,0.6,67528,0.3,90443,1.75 107,1,2024-09-07 08:35:20:586,248760,248760,0,0,115781481959,1221447209,245798,2735,227,381,392234,0 107,2,2024-09-07 08:35:21:292,176109,176108,1,0,9007122,0,5024 107,3,2024-09-07 08:35:21:776,1,142,1,0,353,1820,142,0 108,0,2024-09-07 08:35:21:800,35406,0.4,35579,0.6,70435,0.4,94315,1.75 108,1,2024-09-07 08:35:21:296,249311,249311,0,0,116921281133,1224995566,246665,2337,309,368,391857,0 108,2,2024-09-07 08:35:21:758,176614,176614,0,0,8566655,0,2647 108,3,2024-09-07 08:35:21:333,1,142,1,0,667,3166,142,0 109,0,2024-09-07 08:35:21:774,38837,0.5,38748,0.7,77147,0.5,104323,1.75 109,1,2024-09-07 08:35:20:585,249003,249003,0,0,117451884364,1237345590,246236,2273,494,383,391812,0 109,2,2024-09-07 08:35:20:924,181909,181909,0,0,8906363,0,3617 109,3,2024-09-07 08:35:21:144,1,142,1,1,249,2179,142,0 110,0,2024-09-07 08:35:21:787,34073,0.4,32975,0.7,68972,0.4,90728,1.75 110,1,2024-09-07 08:35:21:644,249712,249712,0,0,116619651126,1218599463,247104,1844,764,370,391667,0 110,2,2024-09-07 08:35:21:313,180697,180697,0,0,7878958,0,2915 110,3,2024-09-07 08:35:20:697,1,142,39,0,406,2128,142,0 111,0,2024-09-07 08:35:21:479,33619,0.2,33165,0.4,66332,0.2,88933,1.50 111,1,2024-09-07 08:35:21:000,250340,250340,0,0,118075223853,1229083518,248568,1440,332,382,391690,0 111,2,2024-09-07 08:35:21:116,178448,178448,0,0,8711979,0,2763 111,3,2024-09-07 08:35:20:915,1,142,1,0,379,2503,142,0 112,0,2024-09-07 08:35:20:911,34571,0.3,34469,0.5,69038,0.2,92004,1.50 112,1,2024-09-07 08:35:20:824,250460,250460,0,0,117646739287,1227093310,248135,1900,425,381,391580,0 112,2,2024-09-07 08:35:21:133,176761,176760,1,0,8534939,0,5036 112,3,2024-09-07 08:35:20:592,1,142,161,0,282,1793,142,0 113,0,2024-09-07 08:35:20:872,38380,0.4,38105,0.6,77262,0.4,103422,1.75 113,1,2024-09-07 08:35:21:689,250437,250437,0,0,117691115928,1222669555,248024,1925,488,366,391661,0 113,2,2024-09-07 08:35:21:318,182488,182488,0,0,7469821,0,3813 113,3,2024-09-07 08:35:20:688,1,142,0,0,288,2222,142,0 114,0,2024-09-07 08:35:20:875,34396,0.8,35004,0.7,68450,0.6,91655,2.00 114,1,2024-09-07 08:35:20:730,249943,249943,0,0,117149255804,1231829748,245818,2663,1462,381,391534,0 114,2,2024-09-07 08:35:20:880,180837,180837,0,0,7790746,0,3925 114,3,2024-09-07 08:35:21:278,1,142,3,0,395,1947,142,0 115,0,2024-09-07 08:35:20:555,34503,0.2,34739,0.4,69593,0.2,91992,1.50 115,1,2024-09-07 08:35:20:571,249855,249855,0,0,116973530461,1224645709,246216,2818,821,382,391602,0 115,2,2024-09-07 08:35:21:125,180728,180728,0,0,7372058,0,2152 115,3,2024-09-07 08:35:21:001,1,142,1,0,159,1049,142,0 116,0,2024-09-07 08:35:21:719,34250,0.7,33831,0.8,68057,0.7,90467,2.00 116,1,2024-09-07 08:35:20:810,247991,247991,0,0,115870514788,1237670316,243120,3118,1753,382,391677,0 116,2,2024-09-07 08:35:21:762,174855,174855,0,0,10135525,0,3529 116,3,2024-09-07 08:35:20:913,1,142,2,0,252,2106,142,0 117,0,2024-09-07 08:35:20:958,37552,1.8,37651,1.2,74980,2.8,101565,2.25 117,1,2024-09-07 08:35:21:586,249340,249340,0,0,116074010222,1222585857,245694,3219,427,370,392033,0 117,2,2024-09-07 08:35:21:118,180151,180151,0,0,8107134,0,3700 117,3,2024-09-07 08:35:21:064,1,142,1,0,490,3123,142,0 118,0,2024-09-07 08:35:21:774,34914,1.1,35978,1.0,73079,1.3,95147,2.25 118,1,2024-09-07 08:35:20:623,248802,248802,0,0,115980133948,1230396256,243984,3500,1318,368,391736,0 118,2,2024-09-07 08:35:21:586,182256,182256,0,0,9161977,0,2781 118,3,2024-09-07 08:35:21:773,1,142,1,0,235,2012,142,0 119,0,2024-09-07 08:35:21:336,35362,0.7,35489,0.9,71875,0.7,94691,2.00 119,1,2024-09-07 08:35:20:553,249501,249501,0,0,116909491580,1224254591,247054,2160,287,370,391641,0 119,2,2024-09-07 08:35:21:261,179773,179773,0,0,8673822,0,3526 119,3,2024-09-07 08:35:21:325,1,142,1,0,443,2787,142,0 120,0,2024-09-07 08:35:21:567,34219,0.5,34298,0.7,68483,0.4,91648,2.00 120,1,2024-09-07 08:35:20:860,249775,249775,0,0,116471698611,1227226840,246676,2840,259,368,391961,0 120,2,2024-09-07 08:35:20:789,175442,175441,1,0,10563422,0,5281 120,3,2024-09-07 08:35:21:296,1,142,1,0,241,2133,142,0 121,0,2024-09-07 08:35:21:725,35989,2.0,36483,1.3,72618,3.2,97359,2.50 121,1,2024-09-07 08:35:21:661,249593,249593,0,0,116421391381,1221967714,246872,2433,288,367,391840,0 121,2,2024-09-07 08:35:21:128,176780,176780,0,0,9570125,0,4127 121,3,2024-09-07 08:35:20:731,1,142,70,0,269,2102,142,0 122,0,2024-09-07 08:35:21:788,38642,1.3,37627,1.2,78819,1.5,103877,2.25 122,1,2024-09-07 08:35:20:862,248483,248483,0,0,115898959025,1223856901,244353,3425,705,366,392130,0 122,2,2024-09-07 08:35:21:325,182485,182485,0,0,10724591,0,3364 122,3,2024-09-07 08:35:20:593,1,142,1,0,411,3688,142,0 123,0,2024-09-07 08:35:20:955,34860,0.9,33871,0.9,70916,1.0,92820,2.25 123,1,2024-09-07 08:35:20:561,249963,249963,0,0,116347344864,1231587898,244894,4375,694,369,391823,0 123,2,2024-09-07 08:35:21:019,178800,178799,1,0,9222192,0,5215 123,3,2024-09-07 08:35:21:135,1,142,1,1,168,1922,142,0 124,0,2024-09-07 08:35:20:943,34283,0.3,34245,0.5,64621,0.2,88553,1.50 124,1,2024-09-07 08:35:21:022,249533,249533,0,0,116549554477,1215321069,247454,1724,355,367,392178,0 124,2,2024-09-07 08:35:21:010,178116,178116,0,0,7928254,0,3101 124,3,2024-09-07 08:35:20:758,1,142,2,0,490,2158,142,0 125,0,2024-09-07 08:35:21:431,34666,0.5,34578,0.6,69491,0.5,92514,1.75 125,1,2024-09-07 08:35:20:860,249577,249577,0,0,117223311515,1228475550,247220,2082,275,384,391702,0 125,2,2024-09-07 08:35:21:118,177158,177158,0,0,7796565,0,2180 125,3,2024-09-07 08:35:21:129,1,142,0,0,284,1993,142,0 126,0,2024-09-07 08:35:21:475,39017,0.8,40024,0.8,76500,0.9,104139,2.00 126,1,2024-09-07 08:35:20:553,250142,250142,0,0,117163736440,1218705802,248520,1545,77,365,391987,0 126,2,2024-09-07 08:35:20:611,182433,182433,0,0,8202603,0,3186 126,3,2024-09-07 08:35:20:919,1,142,12,0,150,2190,142,0 127,0,2024-09-07 08:35:21:641,32991,0.5,33357,0.6,66473,0.5,88621,1.75 127,1,2024-09-07 08:35:20:584,249503,249503,0,0,116587473809,1212442533,247448,2015,40,365,391816,0 127,2,2024-09-07 08:35:20:638,180657,180657,0,0,7699942,0,2264 127,3,2024-09-07 08:35:21:270,1,142,17,0,99,1120,142,0 128,0,2024-09-07 08:35:21:541,34153,0.3,33984,0.4,67790,0.2,90139,1.50 128,1,2024-09-07 08:35:21:608,250230,250230,0,0,117384910321,1218607391,249260,898,72,367,391680,0 128,2,2024-09-07 08:35:21:383,179018,179018,0,0,7760285,0,2107 128,3,2024-09-07 08:35:20:769,1,142,10,0,333,2196,142,0 129,0,2024-09-07 08:35:20:993,34518,0.3,34345,0.5,68549,0.3,91378,1.75 129,1,2024-09-07 08:35:20:567,249120,249120,0,0,116115542779,1221153246,246201,2527,392,379,391835,0 129,2,2024-09-07 08:35:20:689,176152,176152,0,0,7967136,0,4031 129,3,2024-09-07 08:35:20:694,1,142,3,1,173,1865,142,0 130,0,2024-09-07 08:35:21:728,38927,0.9,38632,0.8,77485,1.2,104114,2.00 130,1,2024-09-07 08:35:20:592,249922,249922,0,0,116389525048,1216905373,247840,2011,71,381,391825,0 130,2,2024-09-07 08:35:21:125,180512,180512,0,0,7907324,0,4067 130,3,2024-09-07 08:35:21:291,1,142,2,0,207,1326,142,0 131,0,2024-09-07 08:35:21:965,34752,0.7,34813,0.7,70479,0.9,92944,1.75 131,1,2024-09-07 08:35:21:827,250921,250921,0,0,116921457433,1219943441,249463,1252,206,384,391865,0 131,2,2024-09-07 08:35:20:567,182186,182186,0,0,7494710,0,2415 131,3,2024-09-07 08:35:21:690,1,142,2,0,392,1762,142,0 132,0,2024-09-07 08:35:21:411,35104,0.5,35569,0.8,71294,0.4,94487,2.00 132,1,2024-09-07 08:35:20:582,248183,248183,0,0,116181943898,1235101361,242786,4368,1029,381,391760,0 132,2,2024-09-07 08:35:20:703,179850,179850,0,0,10461984,0,4606 132,3,2024-09-07 08:35:21:688,1,142,2,0,356,2756,142,0 133,0,2024-09-07 08:35:21:529,33770,0.4,34473,0.6,70696,0.4,91095,2.00 133,1,2024-09-07 08:35:20:586,247930,247930,0,0,116991936071,1240863452,243433,3859,638,383,391914,0 133,2,2024-09-07 08:35:21:088,175239,175239,0,0,10352380,0,4315 133,3,2024-09-07 08:35:21:300,1,142,1,1,187,1350,142,0 134,0,2024-09-07 08:35:21:033,37455,0.7,37191,0.9,75151,0.7,100100,2.25 134,1,2024-09-07 08:35:20:595,248684,248684,0,0,116434205712,1227394193,244336,3126,1222,366,391718,0 134,2,2024-09-07 08:35:21:756,177814,177814,0,0,8566758,0,3096 134,3,2024-09-07 08:35:20:752,1,142,0,0,739,2860,142,0 135,0,2024-09-07 08:35:21:120,36231,1.8,36120,1.4,76481,2.2,98219,2.75 135,1,2024-09-07 08:35:21:585,248572,248572,0,0,116158964389,1228065851,244390,3481,701,380,391725,0 135,2,2024-09-07 08:35:20:694,182942,182942,0,0,9434284,0,3981 135,3,2024-09-07 08:35:21:011,1,142,1,0,89,852,142,0 136,0,2024-09-07 08:35:21:621,35595,0.6,35443,0.8,71421,0.6,94809,2.00 136,1,2024-09-07 08:35:21:448,248850,248850,0,0,116616653202,1226161065,245592,3085,173,384,391641,0 136,2,2024-09-07 08:35:21:135,181535,181535,0,0,9060047,0,3506 136,3,2024-09-07 08:35:21:109,1,142,0,0,108,1270,142,0 137,0,2024-09-07 08:35:20:940,34811,0.5,33857,0.7,66797,0.4,90623,2.00 137,1,2024-09-07 08:35:20:575,249196,249196,0,0,116446189524,1223886885,245159,3618,419,367,391608,0 137,2,2024-09-07 08:35:21:707,176125,176125,0,0,10277483,0,3185 137,3,2024-09-07 08:35:20:770,1,142,2,1,227,1806,142,0 138,0,2024-09-07 08:35:21:795,34903,1.6,34953,1.3,70572,2.3,94114,2.50 138,1,2024-09-07 08:35:21:705,249659,249659,0,0,116561631217,1223349978,246627,2682,350,368,391954,0 138,2,2024-09-07 08:35:20:590,177815,177815,0,0,8330868,0,3263 138,3,2024-09-07 08:35:20:612,1,142,9,0,1160,2691,142,0 139,0,2024-09-07 08:35:21:372,37683,3.2,37880,1.8,76374,4.5,103032,3.25 139,1,2024-09-07 08:35:20:572,248368,248368,0,0,115720764104,1233391893,243318,3736,1314,381,391892,0 139,2,2024-09-07 08:35:20:695,180804,180804,0,0,9604081,0,3097 139,3,2024-09-07 08:35:21:673,1,142,1,0,244,1752,142,0 140,0,2024-09-07 08:35:21:592,34112,0.4,33905,0.6,68185,0.3,90753,1.75 140,1,2024-09-07 08:35:21:536,250618,250618,0,0,117530673328,1216089363,249103,1275,240,365,391606,0 140,2,2024-09-07 08:35:20:695,180706,180706,0,0,8257666,0,3388 140,3,2024-09-07 08:35:20:769,1,142,0,0,247,1405,142,0 141,0,2024-09-07 08:35:21:700,33172,0.2,34273,0.4,65673,0.1,88900,1.50 141,1,2024-09-07 08:35:20:862,250139,250139,0,0,117270263941,1221098237,248201,1585,353,379,391614,0 141,2,2024-09-07 08:35:21:693,178893,178893,0,0,7790274,0,2342 141,3,2024-09-07 08:35:21:043,1,142,1,0,147,1275,142,0 142,0,2024-09-07 08:35:21:319,34931,0.3,34661,0.5,68776,0.3,92046,1.75 142,1,2024-09-07 08:35:20:585,249900,249900,0,0,116656801175,1219617326,248363,1378,159,383,391649,0 142,2,2024-09-07 08:35:21:301,175324,175292,32,0,8948166,0,6028 142,3,2024-09-07 08:35:21:756,1,142,1,0,484,2112,142,0 143,0,2024-09-07 08:35:21:369,38554,0.8,38531,0.8,77650,0.9,103330,2.00 143,1,2024-09-07 08:35:20:565,249687,249687,0,0,116657040182,1215065103,247585,2061,41,367,391619,0 143,2,2024-09-07 08:35:20:781,181046,181046,0,0,8303528,0,2669 143,3,2024-09-07 08:35:21:151,1,142,5,0,303,2511,142,0 144,0,2024-09-07 08:35:21:514,32848,1.1,33732,1.8,68444,1.3,90338,2.25 144,1,2024-09-07 08:35:20:567,249106,249106,0,0,116823852494,1229659802,246720,2183,203,381,391649,0 144,2,2024-09-07 08:35:21:758,181764,181764,0,0,8007196,0,3473 144,3,2024-09-07 08:35:21:742,1,142,3,0,249,2018,142,0 145,0,2024-09-07 08:35:21:371,33430,0.6,33368,0.8,70770,0.5,91487,2.00 145,1,2024-09-07 08:35:20:556,247774,247774,0,0,115941367531,1228690931,243392,3648,734,383,391615,0 145,2,2024-09-07 08:35:21:431,179800,179800,0,0,9069670,0,3903 145,3,2024-09-07 08:35:20:900,1,142,1,0,151,1767,142,0 146,0,2024-09-07 08:35:21:628,33918,0.5,33801,0.7,68329,0.4,90134,2.00 146,1,2024-09-07 08:35:21:596,249939,249939,0,0,116047722519,1222726656,245497,3583,859,368,391629,0 146,2,2024-09-07 08:35:21:697,176068,176068,0,0,8555507,0,2498 146,3,2024-09-07 08:35:21:277,1,142,2,0,1520,5109,142,0 147,0,2024-09-07 08:35:21:773,37638,1.2,37536,1.1,74506,1.2,101406,2.50 147,1,2024-09-07 08:35:21:372,250550,250550,0,0,117147883419,1225114965,247457,2600,493,368,391791,0 147,2,2024-09-07 08:35:21:012,179735,179735,0,0,8129219,0,2789 147,3,2024-09-07 08:35:20:915,1,142,7,0,371,1950,142,0 0,0,2024-09-07 08:35:31:746,33508,0.5,33644,0.7,71071,0.4,92104,1.75 0,1,2024-09-07 08:35:30:801,251338,251338,0,0,118012944813,1238398090,249381,1822,135,372,391692,0 0,2,2024-09-07 08:35:31:086,176927,176927,0,0,8323717,0,4480 0,3,2024-09-07 08:35:30:975,1,143,1,0,247,1998,143,0 1,0,2024-09-07 08:35:31:909,36842,1.6,36509,1.4,73354,2.3,98725,2.50 1,1,2024-09-07 08:35:30:557,250631,250631,0,0,117307699828,1235697326,247199,2565,867,372,391857,0 1,2,2024-09-07 08:35:30:643,178522,178522,0,0,7547822,0,3267 1,3,2024-09-07 08:35:31:303,1,143,6,0,262,1890,143,0 2,0,2024-09-07 08:35:31:570,38844,1.3,38992,1.1,77399,1.8,103326,2.25 2,1,2024-09-07 08:35:30:867,251019,251019,0,0,117588820025,1225885511,249573,1240,206,380,391745,0 2,2,2024-09-07 08:35:31:265,183418,183418,0,0,8440443,0,3594 2,3,2024-09-07 08:35:30:690,1,143,2,0,357,1656,143,0 3,0,2024-09-07 08:35:31:748,34719,0.5,34865,0.8,69888,0.5,92928,2.00 3,1,2024-09-07 08:35:31:622,250879,250879,0,0,116674268206,1222845147,247771,2684,424,382,391516,0 3,2,2024-09-07 08:35:31:142,181910,181887,23,0,8345290,0,5851 3,3,2024-09-07 08:35:31:751,1,143,4,0,103,970,143,0 4,0,2024-09-07 08:35:31:783,32482,0.3,33332,0.5,67809,0.2,88842,1.75 4,1,2024-09-07 08:35:30:647,251247,251247,0,0,116875418410,1232582035,248287,2440,520,371,391846,0 4,2,2024-09-07 08:35:31:037,177529,177529,0,0,9849591,0,4528 4,3,2024-09-07 08:35:31:047,1,143,9,0,287,2106,143,0 5,0,2024-09-07 08:35:31:380,35090,0.6,34892,0.7,70038,0.6,93627,1.75 5,1,2024-09-07 08:35:30:759,250347,250347,0,0,117072556007,1238904435,246177,3184,986,368,392005,0 5,2,2024-09-07 08:35:31:832,178126,178126,0,0,8349112,0,2259 5,3,2024-09-07 08:35:31:750,1,143,21,0,238,2311,143,0 6,0,2024-09-07 08:35:30:929,39572,1.1,39175,1.1,77736,1.5,104677,2.50 6,1,2024-09-07 08:35:30:753,251424,251424,0,0,117769945701,1237964842,248042,2735,647,381,391617,0 6,2,2024-09-07 08:35:31:132,183977,183977,0,0,8748158,0,4816 6,3,2024-09-07 08:35:31:297,1,143,1,0,340,2240,143,0 7,0,2024-09-07 08:35:31:537,32939,0.6,32955,0.8,66192,0.5,88542,2.00 7,1,2024-09-07 08:35:30:853,251196,251196,0,0,117568803169,1234642714,248479,2586,131,382,391664,0 7,2,2024-09-07 08:35:30:770,181272,181272,0,0,7877415,0,2981 7,3,2024-09-07 08:35:30:852,1,143,2,0,305,1529,143,0 8,0,2024-09-07 08:35:31:368,34012,0.3,34167,0.4,67673,0.2,90496,1.50 8,1,2024-09-07 08:35:31:017,250691,250691,0,0,117471653691,1247094348,245091,4001,1599,368,391956,0 8,2,2024-09-07 08:35:30:797,178084,178084,0,0,9596974,0,2986 8,3,2024-09-07 08:35:30:585,1,143,11,0,357,2516,143,0 9,0,2024-09-07 08:35:31:133,34651,0.3,33622,0.5,70121,0.3,92088,1.75 9,1,2024-09-07 08:35:30:554,250390,250390,0,0,117480794845,1244931713,245906,3358,1126,370,391753,0 9,2,2024-09-07 08:35:31:100,177414,177414,0,0,8853113,0,3360 9,3,2024-09-07 08:35:31:756,1,143,3,0,496,2545,143,0 10,0,2024-09-07 08:35:31:607,39129,0.4,39087,0.6,78353,0.3,104671,1.75 10,1,2024-09-07 08:35:30:582,250828,250828,0,0,116415807319,1227412457,246358,3829,641,381,391741,0 10,2,2024-09-07 08:35:30:768,182034,182034,0,0,9198189,0,2940 10,3,2024-09-07 08:35:30:871,1,143,0,0,177,1179,143,0 11,0,2024-09-07 08:35:31:011,34073,1.2,33246,1.1,69771,2.0,92845,2.50 11,1,2024-09-07 08:35:30:571,250693,250693,0,0,117086972726,1237516838,245392,4031,1270,384,391537,0 11,2,2024-09-07 08:35:31:124,182210,182210,0,0,8951344,0,3411 11,3,2024-09-07 08:35:31:300,1,143,1,0,720,2784,143,0 12,0,2024-09-07 08:35:31:037,35963,0.3,35596,0.5,71605,0.2,94817,1.50 12,1,2024-09-07 08:35:30:978,250913,250913,0,0,116780657947,1225394595,248039,2464,410,370,391837,0 12,2,2024-09-07 08:35:31:557,182438,182438,0,0,9206529,0,3469 12,3,2024-09-07 08:35:31:059,1,143,1,0,358,2505,143,0 13,0,2024-09-07 08:35:31:388,34688,0.4,34728,0.5,69343,0.3,91708,1.75 13,1,2024-09-07 08:35:31:546,251025,251025,0,0,117048663124,1232806315,248613,2004,408,384,391717,0 13,2,2024-09-07 08:35:30:597,177681,177681,0,0,8309464,0,3287 13,3,2024-09-07 08:35:31:762,1,143,61,0,467,3122,143,0 14,0,2024-09-07 08:35:30:563,37981,0.5,38109,0.7,75478,0.5,100963,2.00 14,1,2024-09-07 08:35:31:564,252397,252397,0,0,117666028641,1225260872,250133,2101,163,364,391571,0 14,2,2024-09-07 08:35:30:767,179391,179391,0,0,8453519,0,2896 14,3,2024-09-07 08:35:31:128,1,143,9,0,1168,2859,143,0 15,0,2024-09-07 08:35:31:561,37078,1.3,37091,1.2,74598,1.8,98907,2.75 15,1,2024-09-07 08:35:31:616,251122,251122,0,0,117784847937,1230088204,249210,1803,109,381,391619,0 15,2,2024-09-07 08:35:30:998,184371,184371,0,0,7232938,0,3043 15,3,2024-09-07 08:35:31:405,1,143,1,0,1126,4270,143,0 16,0,2024-09-07 08:35:30:995,35659,0.5,35954,0.8,71211,0.5,95299,2.00 16,1,2024-09-07 08:35:30:595,251462,251462,0,0,117296574955,1230383558,249250,1951,261,372,391756,0 16,2,2024-09-07 08:35:31:443,181350,181350,0,0,9388991,0,4719 16,3,2024-09-07 08:35:31:143,1,143,120,0,231,2139,143,0 17,0,2024-09-07 08:35:31:801,35269,0.4,34388,0.6,67506,0.4,91492,1.75 17,1,2024-09-07 08:35:30:572,250674,250674,0,0,117484913683,1240148148,247325,2678,671,368,391688,0 17,2,2024-09-07 08:35:31:666,179134,179134,0,0,8274665,0,2857 17,3,2024-09-07 08:35:30:576,1,143,1,0,268,2419,143,0 18,0,2024-09-07 08:35:30:965,34938,1.0,35293,1.0,70647,1.1,94175,2.50 18,1,2024-09-07 08:35:31:639,250928,250928,0,0,117349198275,1222350975,248935,1759,234,368,391564,0 18,2,2024-09-07 08:35:31:773,178612,178612,0,0,8355859,0,3541 18,3,2024-09-07 08:35:30:897,1,143,3,0,163,1701,143,0 19,0,2024-09-07 08:35:31:542,38806,1.0,39248,1.0,77250,1.1,103626,2.75 19,1,2024-09-07 08:35:30:566,251743,251743,0,0,117917154459,1234856731,247930,3097,716,367,391777,0 19,2,2024-09-07 08:35:31:751,184653,184653,0,0,7638694,0,3988 19,3,2024-09-07 08:35:31:130,1,143,2,0,524,1450,143,0 20,0,2024-09-07 08:35:31:374,34155,0.7,34073,0.8,68283,0.8,91265,2.25 20,1,2024-09-07 08:35:30:567,250846,250846,0,0,117409162136,1236587170,247414,3042,390,369,391822,0 20,2,2024-09-07 08:35:30:960,181733,181733,0,0,8509118,0,3721 20,3,2024-09-07 08:35:30:596,1,143,0,0,414,2779,143,0 21,0,2024-09-07 08:35:31:209,33863,0.4,33836,0.5,67300,0.3,89068,1.75 21,1,2024-09-07 08:35:31:537,250380,250380,0,0,116697658631,1233554948,246758,3091,531,368,391962,0 21,2,2024-09-07 08:35:31:085,179103,179103,0,0,9149227,0,3747 21,3,2024-09-07 08:35:31:407,1,143,13,0,103,1882,143,0 22,0,2024-09-07 08:35:31:725,34454,0.8,34502,0.9,68616,0.8,91750,2.25 22,1,2024-09-07 08:35:31:047,250298,250298,0,0,116403044770,1234838000,244794,3995,1509,382,391667,0 22,2,2024-09-07 08:35:30:761,177850,177850,0,0,7818996,0,3134 22,3,2024-09-07 08:35:31:071,1,143,1,0,228,1587,143,0 23,0,2024-09-07 08:35:31:374,38522,0.8,38672,0.9,77692,0.8,104042,2.25 23,1,2024-09-07 08:35:31:005,251349,251349,0,0,117264506128,1232356012,247255,2612,1482,365,391549,0 23,2,2024-09-07 08:35:31:092,182443,182443,0,0,8163434,0,3010 23,3,2024-09-07 08:35:31:754,1,143,0,0,645,1734,143,0 24,0,2024-09-07 08:35:30:826,34643,0.6,34684,0.7,69475,0.6,92023,1.75 24,1,2024-09-07 08:35:30:585,251271,251271,0,0,117139391864,1230620644,248321,2151,799,369,391640,0 24,2,2024-09-07 08:35:31:072,181145,181145,0,0,9587977,0,2942 24,3,2024-09-07 08:35:31:715,1,143,9,0,234,1731,143,0 25,0,2024-09-07 08:35:31:364,35647,0.4,34731,0.6,68068,0.3,92441,1.75 25,1,2024-09-07 08:35:30:560,251350,251350,0,0,117507167535,1238820858,247670,3137,543,371,391788,0 25,2,2024-09-07 08:35:31:632,179933,179933,0,0,9610495,0,3978 25,3,2024-09-07 08:35:31:004,1,143,1,0,158,1684,143,0 26,0,2024-09-07 08:35:31:732,33869,0.4,33202,0.5,69655,0.3,90816,1.75 26,1,2024-09-07 08:35:31:549,251371,251371,0,0,116732655945,1231762962,246712,3758,901,381,391564,0 26,2,2024-09-07 08:35:30:874,177470,177470,0,0,8580600,0,2809 26,3,2024-09-07 08:35:31:721,1,143,1,0,796,2150,143,0 27,0,2024-09-07 08:35:31:743,38508,0.6,38529,0.7,76577,0.5,103126,1.75 27,1,2024-09-07 08:35:31:676,252566,252566,0,0,118405662010,1232949799,250749,1494,323,381,391539,0 27,2,2024-09-07 08:35:30:869,179591,179591,0,0,9470009,0,3409 27,3,2024-09-07 08:35:31:016,1,143,1,0,564,1900,143,0 28,0,2024-09-07 08:35:31:393,35652,1.0,35999,0.9,72253,1.3,96073,2.50 28,1,2024-09-07 08:35:30:798,251378,251378,0,0,118582026041,1240179877,249218,1824,336,383,391646,0 28,2,2024-09-07 08:35:31:773,183784,183784,0,0,7619093,0,2915 28,3,2024-09-07 08:35:31:781,1,143,18,0,502,1964,143,0 29,0,2024-09-07 08:35:31:401,36621,0.4,35759,0.6,70020,0.3,95546,1.75 29,1,2024-09-07 08:35:31:561,252501,252501,0,0,117752747109,1224767521,250075,1883,543,369,391621,0 29,2,2024-09-07 08:35:30:875,181885,181885,0,0,7381348,0,4018 29,3,2024-09-07 08:35:30:963,1,143,1,0,105,1184,143,0 30,0,2024-09-07 08:35:31:477,34491,0.6,33588,0.7,70318,0.5,92214,2.00 30,1,2024-09-07 08:35:30:571,252062,252062,0,0,117785625447,1227551301,250078,1706,278,382,391672,0 30,2,2024-09-07 08:35:31:275,178038,178038,0,0,7355841,0,3161 30,3,2024-09-07 08:35:30:581,1,143,3,0,195,1151,143,0 31,0,2024-09-07 08:35:31:761,36423,0.7,36710,0.8,73982,0.6,98505,2.00 31,1,2024-09-07 08:35:30:570,252810,252810,0,0,117784043508,1210524778,252011,688,111,356,391553,0 31,2,2024-09-07 08:35:31:275,178837,178837,0,0,9138789,0,3525 31,3,2024-09-07 08:35:31:707,1,143,1,0,220,1160,143,0 32,0,2024-09-07 08:35:31:427,38888,0.6,39277,0.7,78510,0.5,104009,1.75 32,1,2024-09-07 08:35:30:804,252082,252082,0,0,118095384865,1230027062,250343,1464,275,382,391595,0 32,2,2024-09-07 08:35:30:941,183803,183803,0,0,7464777,0,3155 32,3,2024-09-07 08:35:31:016,1,143,15,0,227,1426,143,0 33,0,2024-09-07 08:35:31:522,35409,0.4,34831,0.6,70402,0.3,93897,1.75 33,1,2024-09-07 08:35:30:575,252417,252417,0,0,118336065189,1229374901,250159,2086,172,369,391730,0 33,2,2024-09-07 08:35:30:760,181739,181706,33,0,9256649,0,7012 33,3,2024-09-07 08:35:30:894,1,143,0,0,329,1819,143,0 34,0,2024-09-07 08:35:30:932,33494,0.3,34561,0.4,66264,0.2,88957,1.75 34,1,2024-09-07 08:35:31:044,252673,252673,0,0,118918855407,1227734181,251678,989,6,367,391562,0 34,2,2024-09-07 08:35:30:767,177605,177605,0,0,8188484,0,3577 34,3,2024-09-07 08:35:31:701,1,143,1,0,148,953,143,0 35,0,2024-09-07 08:35:30:861,34651,0.5,35059,0.6,69932,0.4,93777,1.75 35,1,2024-09-07 08:35:31:069,251818,251818,0,0,117462097069,1224747975,249021,2027,770,384,391589,0 35,2,2024-09-07 08:35:31:583,178043,178043,0,0,7488493,0,2653 35,3,2024-09-07 08:35:30:908,1,143,1,0,418,1918,143,0 36,0,2024-09-07 08:35:31:546,39134,1.2,38918,1.1,78633,1.5,104219,2.75 36,1,2024-09-07 08:35:30:589,250872,250872,0,0,117059610599,1232103553,246262,3322,1288,366,391759,0 36,2,2024-09-07 08:35:31:752,182890,182890,0,0,8872907,0,3303 36,3,2024-09-07 08:35:30:873,1,143,1,0,378,1747,143,0 37,0,2024-09-07 08:35:31:390,33096,0.6,33250,0.8,65994,0.5,89401,2.00 37,1,2024-09-07 08:35:30:570,250480,250473,0,7,117924864434,1245990985,245716,2739,2018,365,391518,0 37,2,2024-09-07 08:35:31:148,181710,181695,15,0,8928763,0,5815 37,3,2024-09-07 08:35:31:773,1,143,1,0,724,2439,143,0 38,0,2024-09-07 08:35:31:477,33865,0.4,32799,0.6,68491,0.3,90057,2.00 38,1,2024-09-07 08:35:31:613,251984,251984,0,0,117539660820,1234188047,247973,3379,632,368,391821,0 38,2,2024-09-07 08:35:30:760,180198,180151,47,0,10620407,0,6710 38,3,2024-09-07 08:35:30:997,1,143,2,0,603,2081,143,0 39,0,2024-09-07 08:35:31:767,35467,0.6,34596,0.7,67599,0.7,92663,2.00 39,1,2024-09-07 08:35:30:716,251438,251438,0,0,117532007280,1231172447,247652,2985,801,365,391524,0 39,2,2024-09-07 08:35:31:440,177604,177604,0,0,7710803,0,2689 39,3,2024-09-07 08:35:30:713,1,143,1,0,276,2025,143,0 40,0,2024-09-07 08:35:31:548,38492,1.0,39102,1.3,77645,1.1,104049,3.25 40,1,2024-09-07 08:35:30:580,251459,251459,0,0,117462819761,1235468410,247049,3642,768,368,391591,0 40,2,2024-09-07 08:35:31:304,181368,181367,1,0,9796767,0,5137 40,3,2024-09-07 08:35:31:143,1,143,1,0,181,1493,143,0 41,0,2024-09-07 08:35:31:041,34160,2.4,34825,1.9,66878,3.8,91454,4.50 41,1,2024-09-07 08:35:30:773,251227,251227,0,0,117145816932,1232006415,247038,3658,531,370,391742,0 41,2,2024-09-07 08:35:30:760,181497,181497,0,0,9629722,0,3356 41,3,2024-09-07 08:35:31:677,1,143,1,0,366,1924,143,0 42,0,2024-09-07 08:35:31:479,34910,0.5,35310,0.8,70482,0.5,92903,2.25 42,1,2024-09-07 08:35:31:457,250015,250015,0,0,117035361828,1236318993,245318,3739,958,380,391675,0 42,2,2024-09-07 08:35:31:133,180874,180874,0,0,9316394,0,3568 42,3,2024-09-07 08:35:31:010,1,143,4,0,446,1386,143,0 43,0,2024-09-07 08:35:30:920,34527,0.7,33798,0.9,70115,0.7,91718,2.00 43,1,2024-09-07 08:35:30:575,251189,251189,0,0,118207110874,1237001370,247948,2448,793,366,391604,0 43,2,2024-09-07 08:35:31:740,177816,177816,0,0,8640978,0,3812 43,3,2024-09-07 08:35:31:755,1,143,1,0,325,2100,143,0 44,0,2024-09-07 08:35:30:865,37979,0.6,37929,0.8,75853,0.6,101657,2.00 44,1,2024-09-07 08:35:30:564,251662,251662,0,0,116845977614,1212451301,249605,1632,425,356,391809,0 44,2,2024-09-07 08:35:31:322,178943,178943,0,0,7304799,0,1877 44,3,2024-09-07 08:35:31:101,1,143,7,0,817,2009,143,0 45,0,2024-09-07 08:35:31:761,36689,1.6,35944,1.3,75550,2.2,99538,2.50 45,1,2024-09-07 08:35:31:010,251644,251644,0,0,118098995431,1227494944,250605,1029,10,382,391917,0 45,2,2024-09-07 08:35:31:267,184573,184573,0,0,7959924,0,3596 45,3,2024-09-07 08:35:30:947,1,143,1,0,226,1395,143,0 46,0,2024-09-07 08:35:30:957,35546,0.4,35436,0.6,71142,0.3,94376,1.75 46,1,2024-09-07 08:35:30:575,252199,252199,0,0,117804480776,1222309758,250392,1585,222,366,391524,0 46,2,2024-09-07 08:35:30:596,182643,182643,0,0,7928251,0,2920 46,3,2024-09-07 08:35:31:131,1,143,1,0,908,2861,143,0 47,0,2024-09-07 08:35:31:109,34195,0.3,34404,0.5,69105,0.2,91153,1.75 47,1,2024-09-07 08:35:30:567,252212,252212,0,0,117594096615,1217784615,250813,1382,17,366,391605,0 47,2,2024-09-07 08:35:30:908,178640,178640,0,0,8003507,0,2558 47,3,2024-09-07 08:35:31:116,1,143,1,0,529,1718,143,0 48,0,2024-09-07 08:35:31:498,35836,0.3,35437,0.5,71191,0.2,94765,1.75 48,1,2024-09-07 08:35:31:032,252103,252103,0,0,117558623951,1225466858,250044,1879,180,384,391710,0 48,2,2024-09-07 08:35:30:700,178558,178558,0,0,6714593,0,2083 48,3,2024-09-07 08:35:30:753,1,143,0,0,339,1383,143,0 49,0,2024-09-07 08:35:31:714,40226,0.6,39510,0.7,76760,0.6,105658,1.75 49,1,2024-09-07 08:35:31:021,250934,250934,0,0,117674284108,1231291951,248199,1895,840,382,391583,0 49,2,2024-09-07 08:35:31:797,184385,184385,0,0,7822618,0,3900 49,3,2024-09-07 08:35:31:439,1,143,1,0,408,2273,143,0 50,0,2024-09-07 08:35:31:511,34244,0.4,33802,0.6,67832,0.3,91195,1.75 50,1,2024-09-07 08:35:31:011,252714,252714,0,0,118636290514,1234106941,250190,2215,309,368,391530,0 50,2,2024-09-07 08:35:31:071,181560,181560,0,0,7448737,0,2253 50,3,2024-09-07 08:35:31:298,1,143,1,0,335,1290,143,0 51,0,2024-09-07 08:35:31:686,34333,0.3,33784,0.4,65766,0.2,89486,1.50 51,1,2024-09-07 08:35:31:689,251789,251789,0,0,118955847356,1233574136,249822,1181,786,367,391637,0 51,2,2024-09-07 08:35:31:317,179055,179055,0,0,7069865,0,3337 51,3,2024-09-07 08:35:31:029,1,143,1,0,162,866,143,0 52,0,2024-09-07 08:35:31:416,34762,0.6,34798,0.8,69744,0.6,92216,2.25 52,1,2024-09-07 08:35:30:575,250541,250541,0,0,117132551152,1239694254,244879,4507,1155,368,391722,0 52,2,2024-09-07 08:35:31:774,176340,176302,38,0,9432754,0,6742 52,3,2024-09-07 08:35:30:674,1,143,3,0,1782,3448,143,0 53,0,2024-09-07 08:35:31:736,38599,1.1,37525,1.0,78532,1.2,103389,3.00 53,1,2024-09-07 08:35:30:774,250296,250296,0,0,117624860182,1246883319,244678,3724,1894,367,391702,0 53,2,2024-09-07 08:35:31:301,183167,183167,0,0,7761232,0,2262 53,3,2024-09-07 08:35:30:696,1,143,6,0,133,1208,143,0 54,0,2024-09-07 08:35:31:618,33120,3.0,33585,1.5,66374,1.6,89225,4.50 54,1,2024-09-07 08:35:30:581,251366,251366,0,0,118053064855,1237047754,247432,3363,571,367,391532,0 54,2,2024-09-07 08:35:30:867,181951,181945,6,0,9379039,0,5382 54,3,2024-09-07 08:35:30:766,1,143,1,0,676,2790,143,0 55,0,2024-09-07 08:35:31:763,33409,0.5,34375,0.7,69745,0.4,90319,2.25 55,1,2024-09-07 08:35:30:767,250433,250433,0,0,116864131732,1229074141,245247,4399,787,365,391731,0 55,2,2024-09-07 08:35:30:734,180348,180348,0,0,9125867,0,3275 55,3,2024-09-07 08:35:30:674,1,143,13,0,304,1650,143,0 56,0,2024-09-07 08:35:31:583,35020,1.3,33202,1.1,67926,1.8,91064,2.50 56,1,2024-09-07 08:35:30:576,250942,250942,0,0,117638083430,1250571912,245818,4221,903,382,391678,0 56,2,2024-09-07 08:35:31:307,177036,177036,0,0,9700075,0,3567 56,3,2024-09-07 08:35:31:059,1,143,3,0,405,2151,143,0 57,0,2024-09-07 08:35:30:941,37428,2.7,37503,1.6,75072,4.3,101053,4.25 57,1,2024-09-07 08:35:30:996,251024,251024,0,0,116656202412,1225568517,248208,2646,170,368,391960,0 57,2,2024-09-07 08:35:31:317,181132,181132,0,0,9982405,0,3178 57,3,2024-09-07 08:35:31:750,1,143,1,0,359,2636,143,0 58,0,2024-09-07 08:35:30:561,34773,1.7,33867,1.3,71001,2.7,92756,3.00 58,1,2024-09-07 08:35:30:576,251884,251881,0,3,117997412077,1236782259,248368,3116,397,369,391516,3 58,2,2024-09-07 08:35:31:071,183513,183513,0,0,9105901,0,2549 58,3,2024-09-07 08:35:31:070,1,143,13,0,219,1225,143,0 59,0,2024-09-07 08:35:31:754,35831,0.8,35568,1.0,71076,0.9,94397,3.00 59,1,2024-09-07 08:35:30:806,251415,251415,0,0,117336850720,1232528855,247768,2725,922,369,391515,0 59,2,2024-09-07 08:35:30:584,182333,182333,0,0,7934597,0,2604 59,3,2024-09-07 08:35:31:753,1,143,1,0,1015,2851,143,0 60,0,2024-09-07 08:35:31:714,34874,0.4,34962,0.6,69444,0.3,92304,1.75 60,1,2024-09-07 08:35:30:772,252618,252618,0,0,118287582780,1229592846,251164,1097,357,370,391761,0 60,2,2024-09-07 08:35:31:141,177104,177104,0,0,9118661,0,3811 60,3,2024-09-07 08:35:31:258,1,143,1,0,124,1531,143,0 61,0,2024-09-07 08:35:31:506,36533,1.7,36821,1.2,73452,2.5,98588,2.25 61,1,2024-09-07 08:35:30:819,251204,251204,0,0,117658780617,1238511739,247771,2881,552,382,391589,0 61,2,2024-09-07 08:35:31:117,179975,179975,0,0,8059880,0,2079 61,3,2024-09-07 08:35:31:688,1,143,1,0,199,1860,143,0 62,0,2024-09-07 08:35:31:733,39164,1.7,39936,1.1,76496,2.8,103763,2.50 62,1,2024-09-07 08:35:31:113,252984,252978,0,6,117947977238,1220404914,251300,1639,39,365,391715,6 62,2,2024-09-07 08:35:31:645,182597,182596,1,0,9704055,0,5555 62,3,2024-09-07 08:35:31:143,1,143,1,0,287,1144,143,0 63,0,2024-09-07 08:35:31:505,34965,0.6,35002,0.7,70062,0.6,93508,2.00 63,1,2024-09-07 08:35:30:805,252543,252537,0,6,118248589147,1227891459,251299,1212,26,381,391542,6 63,2,2024-09-07 08:35:30:772,181514,181514,0,0,7453400,0,2674 63,3,2024-09-07 08:35:31:733,1,143,1,0,667,2358,143,0 64,0,2024-09-07 08:35:31:511,33342,0.4,33264,0.6,66666,0.3,88866,1.75 64,1,2024-09-07 08:35:30:753,251798,251798,0,0,117572674110,1233878876,248336,2409,1053,371,391783,0 64,2,2024-09-07 08:35:31:141,179600,179581,19,0,8243969,0,6121 64,3,2024-09-07 08:35:31:144,1,143,0,0,265,1742,143,0 65,0,2024-09-07 08:35:31:692,34222,1.1,34759,1.0,69443,1.4,93010,2.50 65,1,2024-09-07 08:35:30:859,251040,251040,0,0,117105546283,1229716766,248536,2282,222,382,391770,0 65,2,2024-09-07 08:35:31:703,178381,178381,0,0,8598530,0,3367 65,3,2024-09-07 08:35:31:702,1,143,2,0,163,1551,143,0 66,0,2024-09-07 08:35:31:776,38733,0.8,38724,1.0,77979,0.9,103547,2.50 66,1,2024-09-07 08:35:31:303,252337,252337,0,0,118466389665,1234696970,250462,1704,171,380,391588,0 66,2,2024-09-07 08:35:31:136,184864,184864,0,0,8028523,0,4956 66,3,2024-09-07 08:35:31:086,1,143,1,0,291,1659,143,0 67,0,2024-09-07 08:35:31:455,33525,1.0,33403,1.0,66936,1.3,89506,2.50 67,1,2024-09-07 08:35:30:770,251159,251158,0,1,117290653337,1231877808,247693,2719,746,381,391787,1 67,2,2024-09-07 08:35:30:584,182410,182410,0,0,7568369,0,2889 67,3,2024-09-07 08:35:31:751,1,143,1,0,138,1242,143,0 68,0,2024-09-07 08:35:30:585,34201,0.5,34064,0.7,68020,0.4,90289,2.00 68,1,2024-09-07 08:35:30:569,250532,250532,0,0,117120597045,1233067539,247691,1823,1018,381,391953,0 68,2,2024-09-07 08:35:31:044,179034,178969,65,0,10895124,0,6698 68,3,2024-09-07 08:35:30:740,1,143,1,0,417,1958,143,0 69,0,2024-09-07 08:35:31:733,34445,0.9,34652,0.9,68764,1.0,92145,2.25 69,1,2024-09-07 08:35:31:017,250075,250075,0,0,116834483543,1240636454,246087,2774,1214,384,391611,0 69,2,2024-09-07 08:35:31:733,177681,177681,0,0,9155291,0,3701 69,3,2024-09-07 08:35:30:760,1,143,3,0,238,1836,143,0 70,0,2024-09-07 08:35:31:540,38490,2.4,38660,1.6,77635,1.7,103492,3.25 70,1,2024-09-07 08:35:30:832,251962,251962,0,0,117507782272,1225067952,249547,1953,462,366,391725,0 70,2,2024-09-07 08:35:31:327,181624,181624,0,0,8695158,0,4044 70,3,2024-09-07 08:35:30:753,1,143,1,0,854,1852,143,0 71,0,2024-09-07 08:35:31:427,34122,2.5,34158,1.9,67947,4.0,91665,4.00 71,1,2024-09-07 08:35:31:608,251497,251497,0,0,117470398681,1234582588,247389,3585,523,368,391682,0 71,2,2024-09-07 08:35:31:099,183232,183232,0,0,8655558,0,2470 71,3,2024-09-07 08:35:31:757,1,143,10,0,644,2518,143,0 72,0,2024-09-07 08:35:31:027,36668,0.5,35865,0.7,70258,0.4,95059,2.25 72,1,2024-09-07 08:35:31:022,251431,251431,0,0,117365782580,1229455698,248188,2757,486,369,391819,0 72,2,2024-09-07 08:35:31:756,180483,180483,0,0,10210747,0,2570 72,3,2024-09-07 08:35:31:755,1,143,3,0,325,2352,143,0 73,0,2024-09-07 08:35:31:148,33749,0.4,34533,0.6,70799,0.3,91520,2.25 73,1,2024-09-07 08:35:30:773,251691,251691,0,0,117313425053,1220795141,249888,1688,115,368,391627,0 73,2,2024-09-07 08:35:31:739,177723,177723,0,0,9428105,0,3482 73,3,2024-09-07 08:35:30:978,1,143,2,0,274,2500,143,0 74,0,2024-09-07 08:35:31:342,38134,0.6,38823,0.8,74141,0.6,101241,2.50 74,1,2024-09-07 08:35:30:639,251671,251671,0,0,116964126900,1224918039,248887,2164,620,382,391681,0 74,2,2024-09-07 08:35:31:003,179290,179290,0,0,9428677,0,4253 74,3,2024-09-07 08:35:31:465,1,143,2,0,522,2685,143,0 75,0,2024-09-07 08:35:31:769,37369,1.6,37116,1.3,74306,2.3,99808,3.00 75,1,2024-09-07 08:35:31:585,250995,250995,0,0,117783393243,1238006810,247612,2923,460,381,391579,0 75,2,2024-09-07 08:35:31:358,183231,183231,0,0,9013363,0,4766 75,3,2024-09-07 08:35:31:071,1,143,5,0,535,1717,143,0 76,0,2024-09-07 08:35:30:585,35392,0.4,35267,0.7,70540,0.4,94572,2.25 76,1,2024-09-07 08:35:30:805,251426,251426,0,0,117394692893,1228939363,249366,1549,511,382,391692,0 76,2,2024-09-07 08:35:31:062,182852,182852,0,0,7887451,0,3064 76,3,2024-09-07 08:35:31:143,1,143,35,0,175,1654,143,0 77,0,2024-09-07 08:35:31:781,34243,0.5,34480,0.7,68919,0.5,91179,1.75 77,1,2024-09-07 08:35:30:824,252222,252222,0,0,117995640313,1233484674,250636,1504,82,383,391808,0 77,2,2024-09-07 08:35:31:287,177413,177413,0,0,8088524,0,3890 77,3,2024-09-07 08:35:31:096,1,143,10,0,305,1933,143,0 78,0,2024-09-07 08:35:31:730,35442,0.6,35437,0.8,71326,0.5,94468,2.00 78,1,2024-09-07 08:35:30:619,251693,251693,0,0,117104021545,1222697989,249364,2077,252,367,391589,0 78,2,2024-09-07 08:35:31:405,179531,179531,0,0,7636666,0,2114 78,3,2024-09-07 08:35:31:133,1,143,4,0,181,1581,143,0 79,0,2024-09-07 08:35:31:374,38032,0.6,38802,0.8,79367,0.5,104024,2.75 79,1,2024-09-07 08:35:30:571,252303,252303,0,0,117869499562,1225156224,250121,2011,171,369,391682,0 79,2,2024-09-07 08:35:31:070,184713,184713,0,0,7911936,0,3212 79,3,2024-09-07 08:35:30:750,1,143,34,0,418,2851,143,0 80,0,2024-09-07 08:35:31:084,34017,0.7,34787,0.9,67154,0.7,91328,2.25 80,1,2024-09-07 08:35:31:622,250806,250806,0,0,117432383074,1227273626,248298,2349,159,368,391673,0 80,2,2024-09-07 08:35:31:091,182226,182226,0,0,8246391,0,4433 80,3,2024-09-07 08:35:30:575,1,143,2,0,190,2515,143,0 81,0,2024-09-07 08:35:31:589,33610,0.5,34630,0.6,66308,0.4,89160,1.75 81,1,2024-09-07 08:35:31:656,251275,251275,0,0,116839414676,1225793148,248800,2203,272,382,391879,0 81,2,2024-09-07 08:35:31:143,178803,178803,0,0,8697044,0,3993 81,3,2024-09-07 08:35:31:123,1,143,5,0,193,1510,143,0 82,0,2024-09-07 08:35:31:566,34425,0.6,34594,0.8,69418,0.5,92336,2.00 82,1,2024-09-07 08:35:30:582,251677,251673,0,4,117981293696,1234128541,249234,1863,576,382,391558,4 82,2,2024-09-07 08:35:31:704,178610,178610,0,0,7952293,0,3986 82,3,2024-09-07 08:35:31:752,1,143,1,0,227,1785,143,0 83,0,2024-09-07 08:35:31:538,38958,0.8,38853,0.9,76865,0.9,103405,2.25 83,1,2024-09-07 08:35:30:555,251518,251518,0,0,116967209174,1225901660,249086,2229,203,382,391584,0 83,2,2024-09-07 08:35:30:772,182566,182566,0,0,7862541,0,3119 83,3,2024-09-07 08:35:30:756,1,143,20,0,241,1722,143,0 84,0,2024-09-07 08:35:31:789,33816,1.8,33485,1.5,67439,1.5,90478,3.00 84,1,2024-09-07 08:35:31:057,250648,250648,0,0,117477126688,1235275196,247271,2923,454,368,391852,0 84,2,2024-09-07 08:35:30:572,182208,182208,0,0,9028609,0,3801 84,3,2024-09-07 08:35:31:141,1,143,2,0,236,1607,143,0 85,0,2024-09-07 08:35:31:005,33428,0.5,33411,0.7,70812,0.4,91450,2.25 85,1,2024-09-07 08:35:30:567,250344,250344,0,0,116911757807,1244421608,244951,4317,1076,382,391679,0 85,2,2024-09-07 08:35:30:875,181156,181156,0,0,9781704,0,3656 85,3,2024-09-07 08:35:30:697,1,143,7,0,115,1483,143,0 86,0,2024-09-07 08:35:30:907,34168,0.6,35180,0.7,67427,0.6,90891,2.00 86,1,2024-09-07 08:35:30:828,251143,251143,0,0,117202431268,1233080896,247204,3396,543,366,391961,0 86,2,2024-09-07 08:35:30:858,176160,176159,1,0,9863932,0,5004 86,3,2024-09-07 08:35:30:592,1,143,7,0,286,2248,143,0 87,0,2024-09-07 08:35:31:288,38041,1.8,38037,1.3,76113,2.9,103000,2.50 87,1,2024-09-07 08:35:30:574,250681,250681,0,0,117923721128,1240137675,247475,2815,391,366,391788,0 87,2,2024-09-07 08:35:31:072,180509,180509,0,0,8340560,0,3515 87,3,2024-09-07 08:35:31:798,1,143,1,0,335,2616,143,0 88,0,2024-09-07 08:35:31:480,36060,0.8,36164,0.8,72153,0.9,96161,2.00 88,1,2024-09-07 08:35:30:575,250182,250182,0,0,117399716899,1237437855,246172,2846,1164,367,391787,0 88,2,2024-09-07 08:35:30:688,183438,183438,0,0,9706425,0,3583 88,3,2024-09-07 08:35:31:268,1,143,1,0,435,2046,143,0 89,0,2024-09-07 08:35:31:769,36881,0.5,35724,0.7,70688,0.4,95887,1.75 89,1,2024-09-07 08:35:30:564,249694,249694,0,0,117104688493,1244776598,244280,4481,933,382,391866,0 89,2,2024-09-07 08:35:31:136,181356,181356,0,0,9447593,0,2910 89,3,2024-09-07 08:35:31:793,1,143,3,0,325,3014,143,0 90,0,2024-09-07 08:35:31:649,33681,0.4,34424,0.5,70761,0.3,92045,1.75 90,1,2024-09-07 08:35:30:593,251500,251500,0,0,116984739550,1232770427,248288,2956,256,382,391825,0 90,2,2024-09-07 08:35:31:407,176208,176208,0,0,10094651,0,3060 90,3,2024-09-07 08:35:30:930,1,143,1,1,200,1816,143,0 91,0,2024-09-07 08:35:30:942,37157,0.5,35878,0.7,74701,0.6,99038,1.75 91,1,2024-09-07 08:35:30:561,251034,251034,0,0,118068599160,1244589247,247307,3232,495,381,391960,0 91,2,2024-09-07 08:35:31:331,177930,177930,0,0,9557298,0,2445 91,3,2024-09-07 08:35:30:609,1,143,1,0,216,1832,143,0 92,0,2024-09-07 08:35:31:464,39366,0.9,40329,0.9,77260,1.0,104137,2.00 92,1,2024-09-07 08:35:30:587,251501,251501,0,0,118004194209,1236541690,249348,1753,400,382,391717,0 92,2,2024-09-07 08:35:31:359,185659,185659,0,0,8165353,0,2801 92,3,2024-09-07 08:35:31:011,1,143,5,0,68,1291,143,0 93,0,2024-09-07 08:35:30:968,35315,0.5,36074,0.7,68997,0.4,93987,1.75 93,1,2024-09-07 08:35:30:811,251191,251191,0,0,117882653853,1236259317,248094,2548,549,366,391692,0 93,2,2024-09-07 08:35:30:928,181640,181640,0,0,8013749,0,2509 93,3,2024-09-07 08:35:31:408,1,143,0,0,143,1597,143,0 94,0,2024-09-07 08:35:31:645,33511,0.3,33770,0.5,66955,0.2,88703,1.75 94,1,2024-09-07 08:35:30:587,251332,251332,0,0,117467041616,1232875622,248898,2342,92,381,391850,0 94,2,2024-09-07 08:35:30:763,178294,178294,0,0,7886744,0,2443 94,3,2024-09-07 08:35:31:688,1,143,9,0,264,2332,143,0 95,0,2024-09-07 08:35:31:346,35040,0.4,35006,0.6,70212,0.3,93638,1.75 95,1,2024-09-07 08:35:30:875,251804,251804,0,0,117903778899,1230746982,249443,2181,180,367,391662,0 95,2,2024-09-07 08:35:31:016,178289,178289,0,0,8138825,0,3308 95,3,2024-09-07 08:35:31:709,1,143,1,0,718,2932,143,0 96,0,2024-09-07 08:35:31:026,39236,0.7,39334,0.7,78657,0.7,104145,1.75 96,1,2024-09-07 08:35:31:583,251077,251077,0,0,117281007365,1231257663,248485,1951,641,385,391894,0 96,2,2024-09-07 08:35:31:269,183306,183306,0,0,8641029,0,4042 96,3,2024-09-07 08:35:31:144,1,143,18,0,188,1676,143,0 97,0,2024-09-07 08:35:31:355,33631,0.5,33602,0.6,67131,0.5,89561,1.75 97,1,2024-09-07 08:35:30:781,251509,251509,0,0,117996672238,1231454392,248735,2226,548,367,392140,0 97,2,2024-09-07 08:35:30:607,181683,181683,0,0,7825520,0,3036 97,3,2024-09-07 08:35:30:569,1,143,2,0,165,1679,143,0 98,0,2024-09-07 08:35:31:702,34191,0.2,33931,0.4,68294,0.2,90668,1.50 98,1,2024-09-07 08:35:30:571,251625,251625,0,0,117563307283,1229337978,249819,1719,87,382,391997,0 98,2,2024-09-07 08:35:30:770,181059,181059,0,0,8273422,0,3080 98,3,2024-09-07 08:35:30:718,1,143,17,1,840,2970,143,0 99,0,2024-09-07 08:35:31:458,34595,0.3,34650,0.5,69165,0.3,92366,1.50 99,1,2024-09-07 08:35:31:723,251553,251553,0,0,116884111180,1225350387,248502,2357,694,381,391744,0 99,2,2024-09-07 08:35:31:447,179326,179326,0,0,7826779,0,2615 99,3,2024-09-07 08:35:30:582,1,143,8,0,129,1058,143,0 100,0,2024-09-07 08:35:31:481,38790,1.5,38854,1.5,77683,2.5,104291,2.75 100,1,2024-09-07 08:35:30:555,249571,249571,0,0,116443609487,1240441923,244392,4248,931,381,391989,0 100,2,2024-09-07 08:35:31:817,180805,180794,11,0,9177288,0,5417 100,3,2024-09-07 08:35:31:739,1,143,1,0,559,3446,143,0 101,0,2024-09-07 08:35:31:713,35175,3.5,34267,1.8,67277,4.3,92840,3.25 101,1,2024-09-07 08:35:30:556,250062,250062,0,0,117165155563,1245219599,244715,3871,1476,368,391769,0 101,2,2024-09-07 08:35:31:758,182421,182421,0,0,10192528,0,4644 101,3,2024-09-07 08:35:30:949,1,143,1,0,448,1965,143,0 102,0,2024-09-07 08:35:30:979,34664,0.6,36045,0.8,72189,0.5,94399,2.00 102,1,2024-09-07 08:35:31:144,250705,250705,0,0,116792122344,1234841024,246641,3353,711,369,391831,0 102,2,2024-09-07 08:35:31:751,182182,182128,54,0,9705101,0,6768 102,3,2024-09-07 08:35:31:612,1,143,4,0,410,1639,143,0 103,0,2024-09-07 08:35:31:604,35641,0.4,35632,0.6,67129,0.4,91929,1.75 103,1,2024-09-07 08:35:31:628,250047,250047,0,0,117348591267,1242440050,245662,3177,1208,381,391829,0 103,2,2024-09-07 08:35:30:581,176542,176542,0,0,8443770,0,2104 103,3,2024-09-07 08:35:30:758,1,143,1,0,916,2872,143,0 104,0,2024-09-07 08:35:31:012,37397,1.9,37650,1.4,74103,2.8,101148,2.75 104,1,2024-09-07 08:35:31:602,251564,251564,0,0,117154338132,1241279411,246579,4026,959,366,391948,0 104,2,2024-09-07 08:35:31:666,178639,178639,0,0,9114097,0,3941 104,3,2024-09-07 08:35:31:420,1,143,3,1,1245,4966,143,0 105,0,2024-09-07 08:35:31:031,36861,2.1,35809,1.6,74604,3.0,98785,4.00 105,1,2024-09-07 08:35:30:557,251582,251582,0,0,117464492814,1237955827,247389,3421,772,367,391797,0 105,2,2024-09-07 08:35:31:323,183518,183518,0,0,8858132,0,3509 105,3,2024-09-07 08:35:31:304,1,143,4,1,399,2241,143,0 106,0,2024-09-07 08:35:30:949,34469,0.7,35363,0.9,72021,0.7,94489,2.25 106,1,2024-09-07 08:35:31:754,250725,250725,0,0,117471741786,1236894806,246968,3373,384,369,391767,0 106,2,2024-09-07 08:35:30:759,181318,181318,0,0,9064397,0,2795 106,3,2024-09-07 08:35:30:682,1,143,10,0,405,2299,143,0 107,0,2024-09-07 08:35:31:109,34322,0.4,34303,0.6,68182,0.3,91339,1.75 107,1,2024-09-07 08:35:30:585,250477,250477,0,0,116409703992,1227895911,247515,2735,227,381,392234,0 107,2,2024-09-07 08:35:31:303,176886,176885,1,0,9017747,0,5024 107,3,2024-09-07 08:35:31:755,1,143,1,0,353,1821,143,0 108,0,2024-09-07 08:35:31:907,35658,0.4,35853,0.6,71016,0.4,94993,1.75 108,1,2024-09-07 08:35:31:331,251028,251028,0,0,117578216665,1231808273,248380,2338,310,368,391857,0 108,2,2024-09-07 08:35:31:777,178036,178036,0,0,8595844,0,2647 108,3,2024-09-07 08:35:31:331,1,143,6,0,667,3172,143,0 109,0,2024-09-07 08:35:31:811,39379,0.5,39285,0.7,78180,0.5,105846,1.75 109,1,2024-09-07 08:35:30:605,250745,250745,0,0,118124239786,1244272328,247977,2274,494,383,391812,0 109,2,2024-09-07 08:35:30:933,183430,183430,0,0,8934560,0,3617 109,3,2024-09-07 08:35:31:148,1,143,5,1,249,2184,143,0 110,0,2024-09-07 08:35:31:771,34365,0.4,33321,0.7,69635,0.4,91573,1.75 110,1,2024-09-07 08:35:31:645,251452,251452,0,0,117531158403,1227845959,248844,1844,764,370,391667,0 110,2,2024-09-07 08:35:31:311,182130,182130,0,0,7905166,0,2915 110,3,2024-09-07 08:35:30:696,1,143,8,0,406,2136,143,0 111,0,2024-09-07 08:35:31:416,33776,0.2,33312,0.4,66626,0.2,89344,1.50 111,1,2024-09-07 08:35:31:001,252078,252078,0,0,118938547075,1237928681,250306,1440,332,382,391690,0 111,2,2024-09-07 08:35:31:117,179268,179268,0,0,8724414,0,2763 111,3,2024-09-07 08:35:30:913,1,143,1,0,379,2504,143,0 112,0,2024-09-07 08:35:30:922,34801,0.3,34724,0.5,69540,0.2,92621,1.50 112,1,2024-09-07 08:35:30:836,252265,252265,0,0,118663275969,1237477494,249940,1900,425,381,391580,0 112,2,2024-09-07 08:35:31:137,178254,178253,1,0,8589967,0,5036 112,3,2024-09-07 08:35:30:599,1,143,6,0,282,1799,143,0 113,0,2024-09-07 08:35:30:887,38792,0.4,38516,0.6,78056,0.4,104475,1.75 113,1,2024-09-07 08:35:31:692,252231,252231,0,0,118303991105,1228984889,249818,1925,488,366,391661,0 113,2,2024-09-07 08:35:31:305,183932,183932,0,0,7541196,0,3813 113,3,2024-09-07 08:35:30:685,1,143,4,0,288,2226,143,0 114,0,2024-09-07 08:35:30:884,34560,0.8,35151,0.7,68787,0.6,92146,2.00 114,1,2024-09-07 08:35:30:716,251767,251767,0,0,117954554612,1240227201,247641,2663,1463,381,391534,0 114,2,2024-09-07 08:35:30:873,182046,182046,0,0,7821893,0,3925 114,3,2024-09-07 08:35:31:278,1,143,1,0,395,1948,143,0 115,0,2024-09-07 08:35:30:559,34609,0.2,34832,0.4,69801,0.2,92241,1.50 115,1,2024-09-07 08:35:30:571,251694,251694,0,0,117871452094,1233880200,248055,2818,821,382,391602,0 115,2,2024-09-07 08:35:31:125,181989,181989,0,0,7418801,0,2152 115,3,2024-09-07 08:35:31:002,1,143,1,0,159,1050,143,0 116,0,2024-09-07 08:35:31:715,34354,0.7,33960,0.8,68255,0.7,90815,2.00 116,1,2024-09-07 08:35:30:803,249770,249770,0,0,116635422221,1245501622,244898,3119,1753,382,391677,0 116,2,2024-09-07 08:35:31:753,176208,176208,0,0,10147573,0,3529 116,3,2024-09-07 08:35:30:911,1,143,2,0,252,2108,143,0 117,0,2024-09-07 08:35:30:967,38060,1.7,38133,1.2,75991,2.8,102889,2.25 117,1,2024-09-07 08:35:31:607,251146,251146,0,0,117243041702,1234416127,247500,3219,427,370,392033,0 117,2,2024-09-07 08:35:31:125,181518,181518,0,0,8121848,0,3700 117,3,2024-09-07 08:35:31:059,1,143,10,0,490,3133,143,0 118,0,2024-09-07 08:35:31:767,35020,1.1,36080,1.0,73307,1.3,95439,2.25 118,1,2024-09-07 08:35:30:635,250647,250647,0,0,117034828077,1241095681,245829,3500,1318,368,391736,0 118,2,2024-09-07 08:35:31:586,183483,183483,0,0,9175146,0,2781 118,3,2024-09-07 08:35:31:764,1,143,1,0,235,2013,143,0 119,0,2024-09-07 08:35:31:337,35461,0.7,35594,0.9,72095,0.7,94954,2.00 119,1,2024-09-07 08:35:30:549,251244,251244,0,0,117937509946,1234676472,248797,2160,287,370,391641,0 119,2,2024-09-07 08:35:31:261,181058,181058,0,0,8686782,0,3526 119,3,2024-09-07 08:35:31:325,1,143,1,0,443,2788,143,0 120,0,2024-09-07 08:35:31:567,34436,0.5,34523,0.7,68914,0.4,92190,2.00 120,1,2024-09-07 08:35:30:866,251568,251568,0,0,117196562490,1234628750,248469,2840,259,368,391961,0 120,2,2024-09-07 08:35:30:777,176514,176513,1,0,10578153,0,5281 120,3,2024-09-07 08:35:31:301,1,143,7,0,241,2140,143,0 121,0,2024-09-07 08:35:31:721,36425,1.9,36904,1.3,73444,3.2,98540,2.50 121,1,2024-09-07 08:35:31:718,251395,251395,0,0,117470264935,1232633498,248673,2434,288,367,391840,0 121,2,2024-09-07 08:35:31:130,178008,178008,0,0,9583103,0,4127 121,3,2024-09-07 08:35:30:733,1,143,2,0,269,2104,143,0 122,0,2024-09-07 08:35:31:769,38771,1.3,37767,1.2,79126,1.5,104166,2.25 122,1,2024-09-07 08:35:30:906,250283,250283,0,0,116733933547,1232343464,246153,3425,705,366,392130,0 122,2,2024-09-07 08:35:31:324,183954,183954,0,0,10740350,0,3364 122,3,2024-09-07 08:35:30:597,1,143,1,0,411,3689,143,0 123,0,2024-09-07 08:35:30:981,35133,0.9,34158,0.9,71524,1.0,93598,2.25 123,1,2024-09-07 08:35:30:559,251718,251718,0,0,117162800236,1239882539,246649,4375,694,369,391823,0 123,2,2024-09-07 08:35:31:019,180247,180246,1,0,9240058,0,5215 123,3,2024-09-07 08:35:31:133,1,143,2,1,168,1924,143,0 124,0,2024-09-07 08:35:30:925,34507,0.3,34454,0.5,65045,0.2,89127,1.50 124,1,2024-09-07 08:35:31:079,251330,251330,0,0,117432870673,1224366198,249251,1724,355,367,392178,0 124,2,2024-09-07 08:35:31:011,178812,178812,0,0,7942029,0,3101 124,3,2024-09-07 08:35:30:759,1,143,19,0,490,2177,143,0 125,0,2024-09-07 08:35:31:428,35099,0.5,35064,0.6,70335,0.4,93756,1.75 125,1,2024-09-07 08:35:30:859,251416,251416,0,0,118145559051,1237934290,249059,2082,275,384,391702,0 125,2,2024-09-07 08:35:31:126,178585,178585,0,0,7851670,0,2180 125,3,2024-09-07 08:35:31:135,1,143,4,0,284,1997,143,0 126,0,2024-09-07 08:35:31:421,39290,0.8,40315,0.8,77058,0.9,104846,2.00 126,1,2024-09-07 08:35:30:553,251857,251857,0,0,117900217198,1226239942,250234,1545,78,365,391987,0 126,2,2024-09-07 08:35:30:616,183983,183983,0,0,8247698,0,3186 126,3,2024-09-07 08:35:30:908,1,143,1,0,150,2191,143,0 127,0,2024-09-07 08:35:31:620,33356,0.5,33712,0.6,67142,0.5,89554,1.75 127,1,2024-09-07 08:35:30:574,251225,251225,0,0,117537466006,1222090291,249170,2015,40,365,391816,0 127,2,2024-09-07 08:35:30:637,181852,181852,0,0,7726731,0,2264 127,3,2024-09-07 08:35:31:268,1,143,1,0,99,1121,143,0 128,0,2024-09-07 08:35:31:559,34326,0.3,34144,0.4,68152,0.2,90629,1.50 128,1,2024-09-07 08:35:31:607,252029,252029,0,0,118139848256,1226442805,251058,899,72,367,391680,0 128,2,2024-09-07 08:35:31:383,180107,180107,0,0,7780395,0,2107 128,3,2024-09-07 08:35:30:772,1,143,1,0,333,2197,143,0 129,0,2024-09-07 08:35:31:003,34874,0.3,34665,0.5,69202,0.3,92252,1.75 129,1,2024-09-07 08:35:30:567,250963,250963,0,0,117082585695,1231169834,248043,2528,392,379,391835,0 129,2,2024-09-07 08:35:30:688,177856,177856,0,0,8002757,0,4031 129,3,2024-09-07 08:35:30:687,1,143,0,0,173,1865,143,0 130,0,2024-09-07 08:35:31:745,39373,0.9,39098,0.8,78431,1.2,105245,2.00 130,1,2024-09-07 08:35:30:585,251735,251735,0,0,117066062742,1224204825,249637,2027,71,381,391825,0 130,2,2024-09-07 08:35:31:126,181809,181809,0,0,7936559,0,4067 130,3,2024-09-07 08:35:31:302,1,143,1,0,207,1327,143,0 131,0,2024-09-07 08:35:31:934,34881,0.7,34947,0.7,70701,0.9,93271,1.75 131,1,2024-09-07 08:35:31:822,252713,252713,0,0,117900983946,1230023253,251254,1253,206,384,391865,0 131,2,2024-09-07 08:35:30:569,183313,183313,0,0,7514589,0,2415 131,3,2024-09-07 08:35:31:701,1,143,1,0,392,1763,143,0 132,0,2024-09-07 08:35:31:418,35224,0.5,35719,0.8,71524,0.4,94823,2.00 132,1,2024-09-07 08:35:30:575,249917,249917,0,0,116887324135,1242328422,244520,4368,1029,381,391760,0 132,2,2024-09-07 08:35:30:705,181203,181203,0,0,10479447,0,4606 132,3,2024-09-07 08:35:31:702,1,143,1,0,356,2757,143,0 133,0,2024-09-07 08:35:31:528,33781,0.4,34492,0.6,70729,0.4,91095,2.00 133,1,2024-09-07 08:35:30:585,249786,249786,0,0,117684821075,1247976594,245289,3859,638,383,391914,0 133,2,2024-09-07 08:35:31:088,176594,176594,0,0,10370805,0,4315 133,3,2024-09-07 08:35:31:298,1,143,5,1,187,1355,143,0 134,0,2024-09-07 08:35:30:962,37811,0.7,37574,0.8,75890,0.7,101026,2.25 134,1,2024-09-07 08:35:30:584,250454,250454,0,0,117189787491,1235084789,246106,3126,1222,366,391718,0 134,2,2024-09-07 08:35:31:773,179009,179009,0,0,8580149,0,3096 134,3,2024-09-07 08:35:30:751,1,143,0,0,739,2860,143,0 135,0,2024-09-07 08:35:31:119,36311,1.8,36189,1.4,76672,2.2,98459,2.75 135,1,2024-09-07 08:35:31:585,250345,250345,0,0,116856799713,1235214587,246163,3481,701,380,391725,0 135,2,2024-09-07 08:35:30:697,184501,184501,0,0,9455008,0,3981 135,3,2024-09-07 08:35:31:003,1,143,0,0,89,852,143,0 136,0,2024-09-07 08:35:31:620,35818,0.6,35662,0.8,71862,0.5,95383,2.00 136,1,2024-09-07 08:35:31:470,250566,250566,0,0,117416547593,1234341517,247308,3085,173,384,391641,0 136,2,2024-09-07 08:35:31:134,182912,182912,0,0,9080531,0,3506 136,3,2024-09-07 08:35:31:111,1,143,1,0,108,1271,143,0 137,0,2024-09-07 08:35:30:936,35105,0.5,34157,0.7,67428,0.4,91510,2.00 137,1,2024-09-07 08:35:30:574,250888,250888,0,0,117171015534,1231270532,246851,3618,419,367,391608,0 137,2,2024-09-07 08:35:31:706,176897,176897,0,0,10286432,0,3185 137,3,2024-09-07 08:35:30:769,1,143,1,1,227,1807,143,0 138,0,2024-09-07 08:35:31:752,35166,1.6,35206,1.2,71098,2.3,94805,2.50 138,1,2024-09-07 08:35:31:690,251361,251361,0,0,117347396178,1231327511,248329,2682,350,368,391954,0 138,2,2024-09-07 08:35:30:586,179308,179308,0,0,8347451,0,3263 138,3,2024-09-07 08:35:30:617,1,143,1,0,1160,2692,143,0 139,0,2024-09-07 08:35:31:372,38240,3.2,38418,1.8,77407,4.4,104552,3.25 139,1,2024-09-07 08:35:30:571,250116,250116,0,0,116562073415,1242006111,245065,3737,1314,381,391892,0 139,2,2024-09-07 08:35:30:703,182352,182352,0,0,9627020,0,3097 139,3,2024-09-07 08:35:31:662,1,143,1,0,244,1753,143,0 140,0,2024-09-07 08:35:31:592,34441,0.4,34228,0.6,68857,0.3,91582,1.75 140,1,2024-09-07 08:35:31:546,252440,252440,0,0,118457018195,1225491464,250925,1275,240,365,391606,0 140,2,2024-09-07 08:35:30:691,182029,182029,0,0,8287259,0,3388 140,3,2024-09-07 08:35:30:772,1,143,2,0,247,1407,143,0 141,0,2024-09-07 08:35:31:701,33316,0.2,34410,0.4,65990,0.1,89305,1.50 141,1,2024-09-07 08:35:30:859,251918,251918,0,0,117976658565,1228318063,249980,1585,353,379,391614,0 141,2,2024-09-07 08:35:31:701,179654,179654,0,0,7802993,0,2342 141,3,2024-09-07 08:35:31:043,1,143,1,0,147,1276,143,0 142,0,2024-09-07 08:35:31:318,35148,0.3,34894,0.5,69281,0.3,92698,1.75 142,1,2024-09-07 08:35:30:592,251698,251698,0,0,117597159146,1229250392,250161,1378,159,383,391649,0 142,2,2024-09-07 08:35:31:299,176787,176755,32,0,8998787,0,6028 142,3,2024-09-07 08:35:31:752,1,143,1,0,484,2113,143,0 143,0,2024-09-07 08:35:31:375,38935,0.8,38909,0.8,78456,0.9,104384,2.00 143,1,2024-09-07 08:35:30:564,251567,251567,0,0,117660591674,1225299760,249465,2061,41,367,391619,0 143,2,2024-09-07 08:35:30:769,182535,182535,0,0,8329981,0,2669 143,3,2024-09-07 08:35:31:150,1,143,6,0,303,2517,143,0 144,0,2024-09-07 08:35:31:499,33010,1.1,33894,1.8,68807,1.3,90814,2.25 144,1,2024-09-07 08:35:30:586,250834,250834,0,0,117540865102,1237129012,248448,2183,203,381,391649,0 144,2,2024-09-07 08:35:31:773,182828,182828,0,0,8032528,0,3473 144,3,2024-09-07 08:35:31:739,1,143,0,0,249,2018,143,0 145,0,2024-09-07 08:35:31:366,33522,0.6,33459,0.8,70982,0.5,91735,2.00 145,1,2024-09-07 08:35:30:554,249530,249530,0,0,116847235031,1237914175,245147,3649,734,383,391615,0 145,2,2024-09-07 08:35:31:443,180871,180871,0,0,9080040,0,3903 145,3,2024-09-07 08:35:30:895,1,143,1,0,151,1768,143,0 146,0,2024-09-07 08:35:31:602,34020,0.5,33926,0.7,68558,0.4,90454,2.00 146,1,2024-09-07 08:35:31:589,251682,251682,0,0,116977690876,1232166775,247239,3584,859,368,391629,0 146,2,2024-09-07 08:35:31:703,177480,177480,0,0,8574481,0,2498 146,3,2024-09-07 08:35:31:296,1,143,9,0,1520,5118,143,0 147,0,2024-09-07 08:35:31:703,38123,1.1,38002,1.1,75517,1.2,102734,2.50 147,1,2024-09-07 08:35:31:378,252318,252318,0,0,117927958075,1233113984,249225,2600,493,368,391791,0 147,2,2024-09-07 08:35:31:011,181115,181115,0,0,8158902,0,2789 147,3,2024-09-07 08:35:30:913,1,143,3,0,371,1953,143,0 0,0,2024-09-07 08:35:41:757,33731,0.5,33837,0.7,71464,0.4,92559,1.75 0,1,2024-09-07 08:35:40:802,253029,253029,0,0,118883056743,1247362771,251071,1823,135,372,391692,0 0,2,2024-09-07 08:35:41:067,178129,178129,0,0,8355526,0,4480 0,3,2024-09-07 08:35:40:973,1,144,12,0,247,2010,144,0 1,0,2024-09-07 08:35:41:767,37267,1.6,36934,1.4,74180,2.2,99867,2.50 1,1,2024-09-07 08:35:40:589,252385,252385,0,0,118406714141,1247019059,248953,2565,867,372,391857,0 1,2,2024-09-07 08:35:40:655,179766,179766,0,0,7575924,0,3267 1,3,2024-09-07 08:35:41:320,1,144,4,0,262,1894,144,0 2,0,2024-09-07 08:35:41:588,38975,1.3,39100,1.1,77646,1.8,103627,2.25 2,1,2024-09-07 08:35:40:860,252752,252752,0,0,118354901782,1233752175,251306,1240,206,380,391745,0 2,2,2024-09-07 08:35:41:269,184928,184928,0,0,8477618,0,3594 2,3,2024-09-07 08:35:40:693,1,144,9,0,357,1665,144,0 3,0,2024-09-07 08:35:41:743,35021,0.5,35167,0.8,70517,0.5,93690,2.00 3,1,2024-09-07 08:35:41:618,252656,252656,0,0,117599534318,1232406113,249548,2684,424,382,391516,0 3,2,2024-09-07 08:35:41:143,183316,183293,23,0,8393985,0,5851 3,3,2024-09-07 08:35:41:755,1,144,0,0,103,970,144,0 4,0,2024-09-07 08:35:41:785,32703,0.3,33559,0.5,68260,0.2,89455,1.75 4,1,2024-09-07 08:35:40:629,252990,252990,0,0,117650810636,1240528029,250029,2440,521,371,391846,0 4,2,2024-09-07 08:35:41:017,178285,178285,0,0,9857319,0,4528 4,3,2024-09-07 08:35:41:042,1,144,8,0,287,2114,144,0 5,0,2024-09-07 08:35:41:385,35504,0.5,35365,0.7,71046,0.6,94796,1.75 5,1,2024-09-07 08:35:40:755,252161,252161,0,0,117930778826,1247648223,247990,3185,986,368,392005,0 5,2,2024-09-07 08:35:41:830,179522,179522,0,0,8365853,0,2259 5,3,2024-09-07 08:35:41:732,1,144,1,0,238,2312,144,0 6,0,2024-09-07 08:35:40:957,39868,1.0,39428,1.1,78231,1.5,105377,2.50 6,1,2024-09-07 08:35:40:748,253255,253255,0,0,118638147215,1246816362,249873,2735,647,381,391617,0 6,2,2024-09-07 08:35:41:117,185514,185514,0,0,8761270,0,4816 6,3,2024-09-07 08:35:41:274,1,144,1,0,340,2241,144,0 7,0,2024-09-07 08:35:41:533,33294,0.6,33309,0.8,66868,0.5,89391,2.00 7,1,2024-09-07 08:35:40:850,252965,252965,0,0,118398473642,1243101892,250247,2587,131,382,391664,0 7,2,2024-09-07 08:35:40:770,182465,182465,0,0,7888976,0,2981 7,3,2024-09-07 08:35:40:851,1,144,3,0,305,1532,144,0 8,0,2024-09-07 08:35:41:327,34189,0.3,34342,0.4,68061,0.2,90975,1.50 8,1,2024-09-07 08:35:41:024,252496,252496,0,0,118317320421,1255698758,246896,4001,1599,368,391956,0 8,2,2024-09-07 08:35:40:792,179036,179036,0,0,9609868,0,2986 8,3,2024-09-07 08:35:40:613,1,144,1,0,357,2517,144,0 9,0,2024-09-07 08:35:41:120,34997,0.3,33967,0.5,70797,0.3,93000,1.75 9,1,2024-09-07 08:35:40:558,252192,252192,0,0,118382333631,1254096413,247708,3358,1126,370,391753,0 9,2,2024-09-07 08:35:41:093,178926,178926,0,0,8870954,0,3360 9,3,2024-09-07 08:35:41:755,1,144,14,0,496,2559,144,0 10,0,2024-09-07 08:35:41:605,39607,0.4,39478,0.6,79202,0.3,105800,1.75 10,1,2024-09-07 08:35:40:615,252554,252554,0,0,117507590562,1238476255,248084,3829,641,381,391741,0 10,2,2024-09-07 08:35:40:764,183462,183462,0,0,9212749,0,2940 10,3,2024-09-07 08:35:40:878,1,144,1,0,177,1180,144,0 11,0,2024-09-07 08:35:41:009,34188,1.1,33358,1.1,70012,1.9,93169,2.50 11,1,2024-09-07 08:35:40:574,252481,252481,0,0,118090372298,1247698497,247180,4031,1270,384,391537,0 11,2,2024-09-07 08:35:41:124,183325,183325,0,0,8959764,0,3411 11,3,2024-09-07 08:35:41:302,1,144,2,0,720,2786,144,0 12,0,2024-09-07 08:35:40:987,36069,0.3,35734,0.5,71838,0.2,95142,1.50 12,1,2024-09-07 08:35:40:944,252683,252683,0,0,117501568321,1232776117,249809,2464,410,370,391837,0 12,2,2024-09-07 08:35:41:545,183725,183725,0,0,9228662,0,3469 12,3,2024-09-07 08:35:41:062,1,144,0,0,358,2505,144,0 13,0,2024-09-07 08:35:41:350,34694,0.4,34734,0.5,69353,0.3,91712,1.75 13,1,2024-09-07 08:35:41:524,252782,252782,0,0,117807707884,1240652013,250370,2004,408,382,391717,0 13,2,2024-09-07 08:35:40:613,179044,179044,0,0,8341480,0,3287 13,3,2024-09-07 08:35:41:766,1,144,2,0,467,3124,144,0 14,0,2024-09-07 08:35:40:569,38329,0.5,38459,0.7,76176,0.5,101911,2.00 14,1,2024-09-07 08:35:41:560,254174,254174,0,0,118520232093,1233935714,251910,2101,163,364,391571,0 14,2,2024-09-07 08:35:40:764,180701,180701,0,0,8508677,0,2896 14,3,2024-09-07 08:35:41:119,1,144,0,0,1168,2859,144,0 15,0,2024-09-07 08:35:41:554,37176,1.3,37192,1.2,74801,1.8,99141,2.75 15,1,2024-09-07 08:35:41:609,252908,252908,0,0,118480921618,1237216121,250996,1803,109,381,391619,0 15,2,2024-09-07 08:35:40:998,185768,185768,0,0,7254045,0,3043 15,3,2024-09-07 08:35:41:404,1,144,0,0,1126,4270,144,0 16,0,2024-09-07 08:35:40:936,35864,0.5,36184,0.8,71683,0.5,95902,2.00 16,1,2024-09-07 08:35:40:563,253298,253298,0,0,118243007926,1240120525,251085,1952,261,372,391756,0 16,2,2024-09-07 08:35:41:464,182659,182659,0,0,9429821,0,4719 16,3,2024-09-07 08:35:41:143,1,144,6,0,231,2145,144,0 17,0,2024-09-07 08:35:41:806,35594,0.4,34716,0.6,68155,0.4,92331,1.75 17,1,2024-09-07 08:35:40:574,252487,252487,0,0,118269940322,1248291526,249137,2679,671,368,391688,0 17,2,2024-09-07 08:35:41:668,179979,179979,0,0,8291621,0,2857 17,3,2024-09-07 08:35:40:605,1,144,1,0,268,2420,144,0 18,0,2024-09-07 08:35:40:950,35212,1.0,35575,1.0,71153,1.1,94912,2.50 18,1,2024-09-07 08:35:41:638,252689,252689,0,0,118199240469,1231034017,250695,1760,234,368,391564,0 18,2,2024-09-07 08:35:41:762,179932,179932,0,0,8381861,0,3541 18,3,2024-09-07 08:35:40:904,1,144,0,0,163,1701,144,0 19,0,2024-09-07 08:35:41:544,39321,1.1,39777,1.1,78352,1.3,105132,2.75 19,1,2024-09-07 08:35:40:574,253614,253614,0,0,118750948289,1243424418,249801,3097,716,367,391777,0 19,2,2024-09-07 08:35:41:756,186147,186147,0,0,7690017,0,3988 19,3,2024-09-07 08:35:41:134,1,144,8,0,524,1458,144,0 20,0,2024-09-07 08:35:41:404,34486,0.7,34374,0.8,68991,0.8,92083,2.25 20,1,2024-09-07 08:35:40:567,252579,252579,0,0,118198183522,1244616238,249146,3043,390,369,391822,0 20,2,2024-09-07 08:35:40:931,183143,183143,0,0,8528199,0,3721 20,3,2024-09-07 08:35:40:614,1,144,8,0,414,2787,144,0 21,0,2024-09-07 08:35:41:133,34024,0.4,34005,0.5,67620,0.3,89525,1.75 21,1,2024-09-07 08:35:41:535,252212,252212,0,0,117664005072,1243380071,248590,3091,531,368,391962,0 21,2,2024-09-07 08:35:41:070,179816,179816,0,0,9158785,0,3747 21,3,2024-09-07 08:35:41:408,1,144,8,0,103,1890,144,0 22,0,2024-09-07 08:35:41:723,34684,0.7,34733,0.9,69081,0.8,92337,2.25 22,1,2024-09-07 08:35:41:025,252063,252063,0,0,117412076230,1245091587,246559,3995,1509,382,391667,0 22,2,2024-09-07 08:35:40:764,179376,179376,0,0,7831128,0,3134 22,3,2024-09-07 08:35:41:068,1,144,14,0,228,1601,144,0 23,0,2024-09-07 08:35:41:371,38921,0.8,39048,0.9,78412,0.8,104949,2.25 23,1,2024-09-07 08:35:41:007,253083,253083,0,0,118143425557,1241261224,248989,2612,1482,365,391549,0 23,2,2024-09-07 08:35:41:095,183968,183968,0,0,8182332,0,3010 23,3,2024-09-07 08:35:41:754,1,144,1,0,645,1735,144,0 24,0,2024-09-07 08:35:40:870,34805,0.6,34888,0.7,69809,0.6,92492,1.75 24,1,2024-09-07 08:35:40:615,253057,253057,0,0,118123661254,1240614732,250107,2151,799,369,391640,0 24,2,2024-09-07 08:35:41:069,182253,182253,0,0,9601088,0,2942 24,3,2024-09-07 08:35:41:691,1,144,1,0,234,1732,144,0 25,0,2024-09-07 08:35:41:351,35748,0.4,34813,0.6,68260,0.3,92709,1.75 25,1,2024-09-07 08:35:40:566,253171,253171,0,0,118317531946,1247104677,249491,3137,543,371,391788,0 25,2,2024-09-07 08:35:41:607,181087,181087,0,0,9623312,0,3978 25,3,2024-09-07 08:35:41:000,1,144,10,0,158,1694,144,0 26,0,2024-09-07 08:35:41:723,34002,0.4,33312,0.5,69943,0.3,91238,1.75 26,1,2024-09-07 08:35:41:552,253171,253171,0,0,117732868761,1241894065,248512,3758,901,381,391564,0 26,2,2024-09-07 08:35:40:861,179001,179001,0,0,8597364,0,2809 26,3,2024-09-07 08:35:41:736,1,144,0,0,796,2150,144,0 27,0,2024-09-07 08:35:41:728,38999,0.5,39042,0.7,77531,0.5,104381,1.75 27,1,2024-09-07 08:35:41:676,254356,254356,0,0,119044478696,1239492437,252539,1494,323,381,391539,0 27,2,2024-09-07 08:35:40:885,180921,180921,0,0,9482654,0,3409 27,3,2024-09-07 08:35:41:020,1,144,10,0,564,1910,144,0 28,0,2024-09-07 08:35:41:390,35735,1.0,36109,0.9,72486,1.3,96351,2.50 28,1,2024-09-07 08:35:40:796,253194,253194,0,0,119612027338,1250635156,251034,1824,336,383,391646,0 28,2,2024-09-07 08:35:41:767,184976,184976,0,0,7635069,0,2915 28,3,2024-09-07 08:35:41:789,1,144,4,0,502,1968,144,0 29,0,2024-09-07 08:35:41:355,36721,0.4,35853,0.6,70200,0.3,95811,1.75 29,1,2024-09-07 08:35:41:560,254270,254270,0,0,118635540751,1233733232,251844,1883,543,369,391621,0 29,2,2024-09-07 08:35:40:866,183255,183255,0,0,7408142,0,4018 29,3,2024-09-07 08:35:40:963,1,144,2,0,105,1186,144,0 30,0,2024-09-07 08:35:41:458,34703,0.6,33771,0.7,70685,0.5,92616,2.00 30,1,2024-09-07 08:35:40:614,253820,253820,0,0,118596875384,1235809117,251835,1707,278,382,391672,0 30,2,2024-09-07 08:35:41:275,179231,179231,0,0,7369894,0,3161 30,3,2024-09-07 08:35:40:627,1,144,1,0,195,1152,144,0 31,0,2024-09-07 08:35:41:759,36810,0.6,37141,0.8,74810,0.6,99678,2.00 31,1,2024-09-07 08:35:40:565,254556,254556,0,0,118531753665,1218082210,253757,688,111,356,391553,0 31,2,2024-09-07 08:35:41:281,180065,180065,0,0,9152788,0,3525 31,3,2024-09-07 08:35:41:705,1,144,11,0,220,1171,144,0 32,0,2024-09-07 08:35:41:421,39002,0.6,39379,0.7,78744,0.5,104305,1.75 32,1,2024-09-07 08:35:40:805,253778,253778,0,0,118806844856,1237332069,252039,1464,275,382,391595,0 32,2,2024-09-07 08:35:40:937,185189,185189,0,0,7482945,0,3155 32,3,2024-09-07 08:35:41:014,1,144,1,0,227,1427,144,0 33,0,2024-09-07 08:35:41:504,35699,0.4,35098,0.6,70979,0.3,94687,1.75 33,1,2024-09-07 08:35:40:575,254247,254247,0,0,119057122503,1236767795,251989,2086,172,369,391730,0 33,2,2024-09-07 08:35:40:759,183075,183042,33,0,9294009,0,7012 33,3,2024-09-07 08:35:40:904,1,144,1,0,329,1820,144,0 34,0,2024-09-07 08:35:40:933,33712,0.3,34795,0.4,66683,0.2,89611,1.50 34,1,2024-09-07 08:35:41:044,254460,254460,0,0,119497072809,1233625956,253465,989,6,367,391562,0 34,2,2024-09-07 08:35:40:766,178323,178323,0,0,8202248,0,3577 34,3,2024-09-07 08:35:41:688,1,144,1,0,148,954,144,0 35,0,2024-09-07 08:35:40:859,35112,0.5,35527,0.6,70841,0.4,94985,1.75 35,1,2024-09-07 08:35:41:070,253620,253620,0,0,118312231722,1233435887,250822,2028,770,384,391589,0 35,2,2024-09-07 08:35:41:583,179515,179515,0,0,7519261,0,2653 35,3,2024-09-07 08:35:40:907,1,144,1,0,418,1919,144,0 36,0,2024-09-07 08:35:41:532,39442,1.2,39219,1.1,79237,1.4,105051,2.75 36,1,2024-09-07 08:35:40:628,252633,252633,0,0,117858295561,1240217239,248023,3322,1288,366,391759,0 36,2,2024-09-07 08:35:41:754,184404,184404,0,0,8888902,0,3303 36,3,2024-09-07 08:35:40:863,1,144,3,0,378,1750,144,0 37,0,2024-09-07 08:35:41:370,33428,0.6,33588,0.8,66704,0.5,90270,2.00 37,1,2024-09-07 08:35:40:568,252302,252295,0,7,118662569532,1253523483,247538,2739,2018,365,391518,0 37,2,2024-09-07 08:35:41:142,182910,182895,15,0,8942380,0,5815 37,3,2024-09-07 08:35:41:766,1,144,1,0,724,2440,144,0 38,0,2024-09-07 08:35:41:443,34024,0.4,32963,0.6,68848,0.3,90542,2.00 38,1,2024-09-07 08:35:41:605,253783,253783,0,0,118554944448,1244463915,249772,3379,632,368,391821,0 38,2,2024-09-07 08:35:40:764,181238,181191,47,0,10629245,0,6710 38,3,2024-09-07 08:35:40:998,1,144,3,0,603,2084,144,0 39,0,2024-09-07 08:35:41:761,35752,0.6,34949,0.7,68299,0.7,93433,2.00 39,1,2024-09-07 08:35:40:721,253214,253214,0,0,118324203025,1239197849,249428,2985,801,365,391524,0 39,2,2024-09-07 08:35:41:418,179135,179135,0,0,7726252,0,2689 39,3,2024-09-07 08:35:40:713,1,144,1,0,276,2026,144,0 40,0,2024-09-07 08:35:41:518,38900,1.0,39550,1.3,78521,1.1,105210,3.25 40,1,2024-09-07 08:35:40:603,253232,253232,0,0,118240304873,1243354264,248822,3642,768,368,391591,0 40,2,2024-09-07 08:35:41:304,182787,182786,1,0,9811084,0,5137 40,3,2024-09-07 08:35:41:142,1,144,2,0,181,1495,144,0 41,0,2024-09-07 08:35:41:052,34281,2.4,34939,1.9,67108,3.7,91793,4.50 41,1,2024-09-07 08:35:40:768,253025,253025,0,0,118152925432,1242211779,248836,3658,531,370,391742,0 41,2,2024-09-07 08:35:40:759,182656,182656,0,0,9639830,0,3356 41,3,2024-09-07 08:35:41:679,1,144,1,0,366,1925,144,0 42,0,2024-09-07 08:35:41:477,35035,0.5,35421,0.8,70759,0.5,93227,2.25 42,1,2024-09-07 08:35:41:439,251731,251731,0,0,117831954040,1244425793,247034,3739,958,380,391675,0 42,2,2024-09-07 08:35:41:133,182074,182074,0,0,9327360,0,3568 42,3,2024-09-07 08:35:41:010,1,144,5,0,446,1391,144,0 43,0,2024-09-07 08:35:40:918,34532,0.7,33806,0.9,70133,0.7,91750,2.00 43,1,2024-09-07 08:35:40:626,253055,253055,0,0,119213421320,1247184478,249814,2448,793,366,391604,0 43,2,2024-09-07 08:35:41:738,179097,179097,0,0,8654097,0,3812 43,3,2024-09-07 08:35:41:753,1,144,4,0,325,2104,144,0 44,0,2024-09-07 08:35:40:860,38327,0.6,38301,0.8,76573,0.6,102614,2.00 44,1,2024-09-07 08:35:40:563,253469,253469,0,0,117662970227,1220710643,251412,1632,425,356,391809,0 44,2,2024-09-07 08:35:41:283,180249,180249,0,0,7330433,0,1877 44,3,2024-09-07 08:35:41:098,1,144,1,0,817,2010,144,0 45,0,2024-09-07 08:35:41:774,36777,1.6,36032,1.3,75757,2.2,99791,2.50 45,1,2024-09-07 08:35:41:013,253398,253398,0,0,119007687567,1236747179,252359,1029,10,382,391917,0 45,2,2024-09-07 08:35:41:267,185993,185993,0,0,7995159,0,3596 45,3,2024-09-07 08:35:40:936,1,144,1,0,226,1396,144,0 46,0,2024-09-07 08:35:40:954,35774,0.4,35644,0.6,71571,0.3,94952,1.75 46,1,2024-09-07 08:35:40:615,253968,253968,0,0,118676600390,1231194709,252161,1585,222,366,391524,0 46,2,2024-09-07 08:35:40:612,183965,183965,0,0,7959036,0,2920 46,3,2024-09-07 08:35:41:134,1,144,0,0,908,2861,144,0 47,0,2024-09-07 08:35:41:111,34521,0.3,34720,0.5,69754,0.2,92044,1.75 47,1,2024-09-07 08:35:40:569,253969,253969,0,0,118435763742,1226315932,252570,1382,17,366,391605,0 47,2,2024-09-07 08:35:40:908,179656,179656,0,0,8015558,0,2558 47,3,2024-09-07 08:35:41:115,1,144,1,0,529,1719,144,0 48,0,2024-09-07 08:35:41:539,36088,0.3,35684,0.5,71708,0.2,95510,1.75 48,1,2024-09-07 08:35:41:024,253833,253833,0,0,118421915023,1234283441,251773,1879,181,384,391710,0 48,2,2024-09-07 08:35:40:709,180024,180024,0,0,6749228,0,2083 48,3,2024-09-07 08:35:40:756,1,144,1,0,339,1384,144,0 49,0,2024-09-07 08:35:41:715,40831,0.6,40020,0.7,77841,0.7,107045,1.75 49,1,2024-09-07 08:35:41:027,252717,252717,0,0,118568682940,1240472422,249982,1895,840,382,391583,0 49,2,2024-09-07 08:35:41:796,185941,185941,0,0,7881989,0,3900 49,3,2024-09-07 08:35:41:417,1,144,1,0,408,2274,144,0 50,0,2024-09-07 08:35:41:513,34546,0.4,34153,0.6,68469,0.3,92033,1.75 50,1,2024-09-07 08:35:41:013,254531,254531,0,0,119151566855,1239398824,252007,2215,309,368,391530,0 50,2,2024-09-07 08:35:41:070,182982,182982,0,0,7482121,0,2253 50,3,2024-09-07 08:35:41:291,1,144,1,0,335,1291,144,0 51,0,2024-09-07 08:35:41:687,34476,0.3,33956,0.4,66041,0.2,89922,1.50 51,1,2024-09-07 08:35:41:682,253563,253563,0,0,119783456361,1242072730,251596,1181,786,367,391637,0 51,2,2024-09-07 08:35:41:316,179722,179722,0,0,7080922,0,3337 51,3,2024-09-07 08:35:41:028,1,144,11,0,162,877,144,0 52,0,2024-09-07 08:35:41:422,35009,0.6,35041,0.8,70208,0.6,92890,2.25 52,1,2024-09-07 08:35:40:575,252344,252344,0,0,117934568768,1247841319,246682,4507,1155,368,391722,0 52,2,2024-09-07 08:35:41:763,177926,177888,38,0,9454064,0,6742 52,3,2024-09-07 08:35:40:674,1,144,5,0,1782,3453,144,0 53,0,2024-09-07 08:35:41:749,38998,1.0,37933,1.0,79301,1.2,104341,3.00 53,1,2024-09-07 08:35:40:778,252052,252052,0,0,118307835814,1253833524,246433,3725,1894,367,391702,0 53,2,2024-09-07 08:35:41:303,184603,184603,0,0,7774371,0,2262 53,3,2024-09-07 08:35:40:697,1,144,1,0,133,1209,144,0 54,0,2024-09-07 08:35:41:624,33313,2.9,33776,1.5,66697,1.6,89655,4.25 54,1,2024-09-07 08:35:40:625,253111,253111,0,0,118971598413,1246340511,249177,3363,571,367,391532,0 54,2,2024-09-07 08:35:40:865,183000,182994,6,0,9389765,0,5382 54,3,2024-09-07 08:35:40:763,1,144,1,0,676,2791,144,0 55,0,2024-09-07 08:35:41:767,33485,0.5,34478,0.7,69954,0.4,90598,2.25 55,1,2024-09-07 08:35:40:764,252235,252235,0,0,117797458739,1238518764,247049,4399,787,365,391731,0 55,2,2024-09-07 08:35:40:729,181437,181437,0,0,9134850,0,3275 55,3,2024-09-07 08:35:40:674,1,144,1,0,304,1651,144,0 56,0,2024-09-07 08:35:41:556,35162,1.3,33340,1.1,68170,1.8,91424,2.50 56,1,2024-09-07 08:35:40:570,252696,252696,0,0,118485295452,1259222203,247572,4221,903,382,391678,0 56,2,2024-09-07 08:35:41:304,178514,178514,0,0,9718783,0,3567 56,3,2024-09-07 08:35:41:066,1,144,0,0,405,2151,144,0 57,0,2024-09-07 08:35:40:934,37926,2.7,37971,1.6,76069,4.2,102454,4.25 57,1,2024-09-07 08:35:40:992,252799,252799,0,0,117605177069,1235182449,249983,2646,170,368,391960,0 57,2,2024-09-07 08:35:41:316,182525,182525,0,0,9999244,0,3178 57,3,2024-09-07 08:35:41:738,1,144,3,0,359,2639,144,0 58,0,2024-09-07 08:35:40:562,34883,1.6,33971,1.3,71224,2.7,93066,3.00 58,1,2024-09-07 08:35:40:575,253678,253675,0,3,118754530992,1244478212,250162,3116,397,369,391516,3 58,2,2024-09-07 08:35:41:071,184525,184525,0,0,9113763,0,2549 58,3,2024-09-07 08:35:41:068,1,144,13,0,219,1238,144,0 59,0,2024-09-07 08:35:41:742,35940,0.8,35664,1.0,71277,0.9,94646,3.00 59,1,2024-09-07 08:35:40:831,253165,253165,0,0,117929982742,1238577352,249518,2725,922,369,391515,0 59,2,2024-09-07 08:35:40:634,183675,183675,0,0,7944010,0,2604 59,3,2024-09-07 08:35:41:737,1,144,1,0,1015,2852,144,0 60,0,2024-09-07 08:35:41:709,35070,0.4,35167,0.6,69836,0.3,92737,1.75 60,1,2024-09-07 08:35:40:780,254388,254388,0,0,119187324918,1238772085,252934,1097,357,370,391761,0 60,2,2024-09-07 08:35:41:140,178309,178309,0,0,9157333,0,3811 60,3,2024-09-07 08:35:41:261,1,144,2,0,124,1533,144,0 61,0,2024-09-07 08:35:41:490,36985,1.7,37269,1.2,74340,2.4,99782,2.25 61,1,2024-09-07 08:35:40:770,252985,252985,0,0,118395604761,1246097204,249552,2881,552,382,391589,0 61,2,2024-09-07 08:35:41:185,181172,181172,0,0,8080389,0,2079 61,3,2024-09-07 08:35:41:687,1,144,5,0,199,1865,144,0 62,0,2024-09-07 08:35:41:717,39273,1.7,40043,1.1,76751,2.8,104049,2.50 62,1,2024-09-07 08:35:41:121,254768,254762,0,6,118963597921,1230681287,253084,1639,39,365,391715,6 62,2,2024-09-07 08:35:41:648,184117,184116,1,0,9727031,0,5555 62,3,2024-09-07 08:35:41:143,1,144,1,0,287,1145,144,0 63,0,2024-09-07 08:35:41:461,35237,0.6,35284,0.7,70664,0.6,94266,2.00 63,1,2024-09-07 08:35:40:804,254315,254309,0,6,118916667651,1234784667,253071,1212,26,381,391542,6 63,2,2024-09-07 08:35:40:765,182909,182909,0,0,7478422,0,2674 63,3,2024-09-07 08:35:41:734,1,144,1,0,667,2359,144,0 64,0,2024-09-07 08:35:41:515,33548,0.4,33473,0.6,67140,0.3,89437,1.75 64,1,2024-09-07 08:35:40:753,253523,253523,0,0,118313020323,1241483800,250061,2409,1053,371,391783,0 64,2,2024-09-07 08:35:41:142,180328,180309,19,0,8256847,0,6121 64,3,2024-09-07 08:35:41:141,1,144,1,0,265,1743,144,0 65,0,2024-09-07 08:35:41:684,34683,1.1,35234,1.0,70386,1.4,94143,2.50 65,1,2024-09-07 08:35:40:862,252808,252808,0,0,117857766717,1237491458,250304,2282,222,382,391770,0 65,2,2024-09-07 08:35:41:692,179877,179877,0,0,8641716,0,3367 65,3,2024-09-07 08:35:41:682,1,144,2,0,163,1553,144,0 66,0,2024-09-07 08:35:41:765,39010,0.8,38982,0.9,78555,0.9,104327,2.50 66,1,2024-09-07 08:35:41:293,254111,254111,0,0,119351666873,1243765705,252236,1704,171,380,391588,0 66,2,2024-09-07 08:35:41:133,186376,186376,0,0,8075369,0,4956 66,3,2024-09-07 08:35:41:078,1,144,1,0,291,1660,144,0 67,0,2024-09-07 08:35:41:424,33904,1.0,33715,1.0,67632,1.2,90417,2.50 67,1,2024-09-07 08:35:40:767,252910,252909,0,1,118066684284,1239826797,249444,2719,746,381,391787,1 67,2,2024-09-07 08:35:40:627,183613,183613,0,0,7598620,0,2889 67,3,2024-09-07 08:35:41:753,1,144,0,0,138,1242,144,0 68,0,2024-09-07 08:35:40:569,34381,0.5,34244,0.7,68369,0.4,90780,2.00 68,1,2024-09-07 08:35:40:570,252331,252331,0,0,118054361097,1242579823,249490,1823,1018,381,391953,0 68,2,2024-09-07 08:35:41:055,180012,179947,65,0,10902382,0,6698 68,3,2024-09-07 08:35:40:728,1,144,1,0,417,1959,144,0 69,0,2024-09-07 08:35:41:738,34763,0.8,34964,0.9,69431,1.0,93002,2.25 69,1,2024-09-07 08:35:41:016,251790,251790,0,0,117702743235,1249488030,247802,2774,1214,384,391611,0 69,2,2024-09-07 08:35:41:736,179243,179243,0,0,9174133,0,3701 69,3,2024-09-07 08:35:40:763,1,144,5,0,238,1841,144,0 70,0,2024-09-07 08:35:41:542,38930,2.3,39092,1.6,78518,1.7,104618,3.25 70,1,2024-09-07 08:35:40:826,253703,253703,0,0,118241102234,1232522431,251288,1953,462,366,391725,0 70,2,2024-09-07 08:35:41:334,182875,182875,0,0,8705938,0,4044 70,3,2024-09-07 08:35:40:749,1,144,1,0,854,1853,144,0 71,0,2024-09-07 08:35:41:421,34253,2.5,34285,1.9,68207,4.0,91988,3.75 71,1,2024-09-07 08:35:41:608,253288,253288,0,0,118450979937,1244508636,249180,3585,523,368,391682,0 71,2,2024-09-07 08:35:41:069,184402,184402,0,0,8673067,0,2470 71,3,2024-09-07 08:35:41:753,1,144,0,0,644,2518,144,0 72,0,2024-09-07 08:35:41:026,36785,0.5,35993,0.7,70510,0.4,95410,2.25 72,1,2024-09-07 08:35:41:027,253195,253195,0,0,118036457683,1236309724,249952,2757,486,369,391819,0 72,2,2024-09-07 08:35:41:771,181719,181719,0,0,10221122,0,2570 72,3,2024-09-07 08:35:41:764,1,144,1,0,325,2353,144,0 73,0,2024-09-07 08:35:41:109,33759,0.4,34540,0.6,70808,0.3,91531,2.25 73,1,2024-09-07 08:35:40:766,253490,253490,0,0,118041730396,1228205532,251687,1688,115,368,391627,0 73,2,2024-09-07 08:35:41:739,179111,179111,0,0,9443507,0,3482 73,3,2024-09-07 08:35:40:971,1,144,1,0,274,2501,144,0 74,0,2024-09-07 08:35:41:340,38488,0.6,39201,0.8,74853,0.6,102225,2.50 74,1,2024-09-07 08:35:40:638,253388,253388,0,0,117760786255,1233020226,250604,2164,620,382,391681,0 74,2,2024-09-07 08:35:41:023,180623,180623,0,0,9439558,0,4253 74,3,2024-09-07 08:35:41:446,1,144,1,0,522,2686,144,0 75,0,2024-09-07 08:35:41:783,37452,1.6,37206,1.3,74489,2.3,100055,3.00 75,1,2024-09-07 08:35:41:604,252817,252817,0,0,118369893539,1244008279,249434,2923,460,381,391579,0 75,2,2024-09-07 08:35:41:351,184616,184616,0,0,9028589,0,4766 75,3,2024-09-07 08:35:41:067,1,144,8,0,535,1725,144,0 76,0,2024-09-07 08:35:40:588,35638,0.4,35475,0.7,70965,0.4,95215,2.25 76,1,2024-09-07 08:35:40:805,253213,253213,0,0,118213835135,1237310454,251153,1549,511,382,391692,0 76,2,2024-09-07 08:35:41:063,184096,184096,0,0,7914224,0,3064 76,3,2024-09-07 08:35:41:143,1,144,17,0,175,1671,144,0 77,0,2024-09-07 08:35:41:705,34591,0.5,34819,0.7,69562,0.5,92048,1.75 77,1,2024-09-07 08:35:40:828,254010,254010,0,0,118649397507,1240268495,252424,1504,82,383,391808,0 77,2,2024-09-07 08:35:41:288,178376,178376,0,0,8105368,0,3890 77,3,2024-09-07 08:35:41:094,1,144,1,0,305,1934,144,0 78,0,2024-09-07 08:35:41:717,35714,0.6,35694,0.8,71869,0.5,95162,2.00 78,1,2024-09-07 08:35:40:610,253472,253472,0,0,117956258643,1231345837,251143,2077,252,367,391589,0 78,2,2024-09-07 08:35:41:408,180942,180942,0,0,7666044,0,2114 78,3,2024-09-07 08:35:41:132,1,144,2,0,181,1583,144,0 79,0,2024-09-07 08:35:41:358,38545,0.6,39376,0.8,80497,0.6,105596,2.75 79,1,2024-09-07 08:35:40:624,254103,254103,0,0,118865629819,1235296174,251920,2012,171,369,391682,0 79,2,2024-09-07 08:35:41:068,186248,186248,0,0,7942196,0,3212 79,3,2024-09-07 08:35:40:753,1,144,1,0,418,2852,144,0 80,0,2024-09-07 08:35:41:081,34310,0.7,35099,0.9,67748,0.7,92099,2.25 80,1,2024-09-07 08:35:41:625,252597,252597,0,0,118419096576,1237320225,250088,2350,159,368,391673,0 80,2,2024-09-07 08:35:41:091,183690,183690,0,0,8270205,0,4433 80,3,2024-09-07 08:35:40:623,1,144,2,0,190,2517,144,0 81,0,2024-09-07 08:35:41:535,33775,0.5,34803,0.6,66654,0.4,89577,1.75 81,1,2024-09-07 08:35:41:650,253002,253002,0,0,117532830662,1233117294,250527,2203,272,382,391879,0 81,2,2024-09-07 08:35:41:145,179449,179449,0,0,8720501,0,3993 81,3,2024-09-07 08:35:41:116,1,144,12,0,193,1522,144,0 82,0,2024-09-07 08:35:41:534,34656,0.6,34853,0.8,69949,0.5,93010,2.00 82,1,2024-09-07 08:35:40:619,253424,253420,0,4,118619765520,1240774399,250981,1863,576,382,391558,4 82,2,2024-09-07 08:35:41:693,180143,180143,0,0,7980371,0,3986 82,3,2024-09-07 08:35:41:753,1,144,2,0,227,1787,144,0 83,0,2024-09-07 08:35:41:523,39349,0.8,39227,0.9,77662,0.9,104391,2.25 83,1,2024-09-07 08:35:40:563,253322,253322,0,0,117724903072,1233687805,250890,2229,203,382,391584,0 83,2,2024-09-07 08:35:40:770,184040,184040,0,0,7909405,0,3119 83,3,2024-09-07 08:35:40:753,1,144,1,0,241,1723,144,0 84,0,2024-09-07 08:35:41:806,33965,1.8,33651,1.5,67810,1.5,90915,3.00 84,1,2024-09-07 08:35:41:060,252453,252453,0,0,118448360772,1245206512,249076,2923,454,368,391852,0 84,2,2024-09-07 08:35:40:576,183281,183281,0,0,9043477,0,3801 84,3,2024-09-07 08:35:41:143,1,144,2,0,236,1609,144,0 85,0,2024-09-07 08:35:41:075,33512,0.5,33499,0.7,71001,0.4,91709,2.25 85,1,2024-09-07 08:35:40:561,252096,252096,0,0,117678017936,1252259655,246702,4318,1076,382,391679,0 85,2,2024-09-07 08:35:40:867,182211,182211,0,0,9791277,0,3656 85,3,2024-09-07 08:35:40:693,1,144,1,0,115,1484,144,0 86,0,2024-09-07 08:35:40:891,34308,0.6,35301,0.7,67632,0.6,91231,2.00 86,1,2024-09-07 08:35:40:825,252880,252880,0,0,117787901040,1239111249,248941,3396,543,366,391961,0 86,2,2024-09-07 08:35:40:856,177634,177633,1,0,9883547,0,5004 86,3,2024-09-07 08:35:40:615,1,144,39,0,286,2287,144,0 87,0,2024-09-07 08:35:41:325,38544,1.8,38563,1.2,77127,2.8,104318,2.50 87,1,2024-09-07 08:35:40:550,252469,252469,0,0,119074616067,1251801860,249263,2815,391,366,391788,0 87,2,2024-09-07 08:35:41:068,181764,181764,0,0,8356454,0,3515 87,3,2024-09-07 08:35:41:794,1,144,1,0,335,2617,144,0 88,0,2024-09-07 08:35:41:489,36173,0.8,36268,0.8,72372,0.9,96467,2.00 88,1,2024-09-07 08:35:40:589,251945,251945,0,0,118266198291,1246270613,247935,2846,1164,367,391787,0 88,2,2024-09-07 08:35:40:695,184598,184598,0,0,9719466,0,3583 88,3,2024-09-07 08:35:41:271,1,144,1,0,435,2047,144,0 89,0,2024-09-07 08:35:41:791,37003,0.5,35811,0.7,70881,0.4,96147,1.75 89,1,2024-09-07 08:35:40:557,251489,251489,0,0,118192945739,1255901185,246068,4488,933,382,391866,0 89,2,2024-09-07 08:35:41:181,182693,182693,0,0,9470214,0,2910 89,3,2024-09-07 08:35:41:797,1,144,1,0,325,3015,144,0 90,0,2024-09-07 08:35:41:622,33871,0.4,34585,0.5,71159,0.3,92502,1.75 90,1,2024-09-07 08:35:40:607,253311,253311,0,0,117987100382,1243007556,250099,2956,256,382,391825,0 90,2,2024-09-07 08:35:41:407,177517,177517,0,0,10109516,0,3060 90,3,2024-09-07 08:35:40:932,1,144,0,0,200,1816,144,0 91,0,2024-09-07 08:35:40:941,37590,0.5,36302,0.7,75634,0.5,100214,1.75 91,1,2024-09-07 08:35:40:563,252710,252710,0,0,118671973120,1250846743,248982,3233,495,381,391960,0 91,2,2024-09-07 08:35:41:337,179217,179217,0,0,9573338,0,2445 91,3,2024-09-07 08:35:40:606,1,144,2,0,216,1834,144,0 92,0,2024-09-07 08:35:41:457,39490,0.9,40465,0.9,77504,1.0,104451,2.00 92,1,2024-09-07 08:35:40:634,253266,253266,0,0,118817983182,1244956479,251113,1753,400,382,391717,0 92,2,2024-09-07 08:35:41:351,187130,187130,0,0,8208992,0,2801 92,3,2024-09-07 08:35:41:012,1,144,0,0,68,1291,144,0 93,0,2024-09-07 08:35:40:978,35638,0.5,36387,0.7,69573,0.4,94809,1.75 93,1,2024-09-07 08:35:40:820,252978,252978,0,0,118651003537,1244095151,249881,2548,549,366,391692,0 93,2,2024-09-07 08:35:40:932,183165,183165,0,0,8063527,0,2509 93,3,2024-09-07 08:35:41:421,1,144,37,0,143,1634,144,0 94,0,2024-09-07 08:35:41:604,33726,0.3,33995,0.5,67408,0.2,89332,1.75 94,1,2024-09-07 08:35:40:568,253132,253132,0,0,118426626705,1242889105,250697,2343,92,381,391850,0 94,2,2024-09-07 08:35:40:766,178924,178924,0,0,7905442,0,2443 94,3,2024-09-07 08:35:41:690,1,144,2,0,264,2334,144,0 95,0,2024-09-07 08:35:41:364,35439,0.4,35401,0.6,71163,0.3,94855,1.75 95,1,2024-09-07 08:35:40:853,253537,253537,0,0,118513097094,1237074013,251173,2183,181,367,391662,0 95,2,2024-09-07 08:35:41:028,179857,179857,0,0,8186455,0,3308 95,3,2024-09-07 08:35:41:709,1,144,6,0,718,2938,144,0 96,0,2024-09-07 08:35:41:105,39537,0.6,39612,0.7,79240,0.7,104862,1.75 96,1,2024-09-07 08:35:41:592,252794,252794,0,0,118064957062,1239380833,250201,1951,642,385,391894,0 96,2,2024-09-07 08:35:41:301,184773,184773,0,0,8687191,0,4042 96,3,2024-09-07 08:35:41:151,1,144,7,0,188,1683,144,0 97,0,2024-09-07 08:35:41:500,34007,0.5,33913,0.6,67802,0.5,90504,1.75 97,1,2024-09-07 08:35:40:772,253273,253273,0,0,118947636606,1241288768,250495,2230,548,367,392140,0 97,2,2024-09-07 08:35:40:608,182899,182899,0,0,7867331,0,3036 97,3,2024-09-07 08:35:40:569,1,144,7,0,165,1686,144,0 98,0,2024-09-07 08:35:41:729,34367,0.2,34115,0.4,68623,0.2,91118,1.50 98,1,2024-09-07 08:35:40:570,253399,253399,0,0,118449810642,1238438259,251592,1720,87,382,391997,0 98,2,2024-09-07 08:35:40:770,182117,182117,0,0,8305620,0,3080 98,3,2024-09-07 08:35:40:698,1,144,34,1,840,3004,144,0 99,0,2024-09-07 08:35:41:450,34928,0.3,34960,0.5,69865,0.3,93270,1.50 99,1,2024-09-07 08:35:41:724,253385,253385,0,0,117864113196,1235422286,250333,2358,694,381,391744,0 99,2,2024-09-07 08:35:41:421,180912,180912,0,0,7875640,0,2615 99,3,2024-09-07 08:35:40:619,1,144,1,0,129,1059,144,0 100,0,2024-09-07 08:35:41:491,39251,1.4,39280,1.5,78547,2.5,105463,2.75 100,1,2024-09-07 08:35:40:549,251255,251255,0,0,117167816440,1247889380,246076,4248,931,381,391989,0 100,2,2024-09-07 08:35:41:819,182171,182160,11,0,9199846,0,5417 100,3,2024-09-07 08:35:41:735,1,144,14,0,559,3460,144,0 101,0,2024-09-07 08:35:41:827,35284,3.4,34393,1.8,67517,4.3,93167,3.25 101,1,2024-09-07 08:35:40:550,251770,251770,0,0,117881874614,1252535839,246423,3871,1476,368,391769,0 101,2,2024-09-07 08:35:41:782,183660,183660,0,0,10207373,0,4644 101,3,2024-09-07 08:35:40:943,1,144,1,0,448,1966,144,0 102,0,2024-09-07 08:35:40:985,34769,0.6,36180,0.8,72439,0.5,94732,2.00 102,1,2024-09-07 08:35:41:150,252505,252505,0,0,117596883092,1243047655,248441,3353,711,369,391831,0 102,2,2024-09-07 08:35:41:739,183429,183375,54,0,9730798,0,6768 102,3,2024-09-07 08:35:41:613,1,144,0,0,410,1639,144,0 103,0,2024-09-07 08:35:41:589,35650,0.4,35640,0.6,67144,0.4,91955,1.75 103,1,2024-09-07 08:35:41:629,251810,251810,0,0,118075464478,1249897237,247425,3177,1208,381,391829,0 103,2,2024-09-07 08:35:40:620,177872,177872,0,0,8460125,0,2104 103,3,2024-09-07 08:35:40:755,1,144,3,0,916,2875,144,0 104,0,2024-09-07 08:35:41:263,37755,1.9,38002,1.3,74788,2.8,102148,2.75 104,1,2024-09-07 08:35:41:671,253370,253370,0,0,117901681004,1248931575,248385,4026,959,366,391948,0 104,2,2024-09-07 08:35:41:676,179925,179925,0,0,9133298,0,3941 104,3,2024-09-07 08:35:41:426,1,144,49,1,1245,5015,144,0 105,0,2024-09-07 08:35:41:078,36942,2.1,35904,1.6,74809,3.0,99025,4.00 105,1,2024-09-07 08:35:40:559,253379,253379,0,0,118345820436,1246947286,249184,3423,772,367,391797,0 105,2,2024-09-07 08:35:41:333,184865,184865,0,0,8874776,0,3509 105,3,2024-09-07 08:35:41:306,1,144,1,1,399,2242,144,0 106,0,2024-09-07 08:35:40:984,34685,0.7,35568,0.9,72527,0.7,95047,2.25 106,1,2024-09-07 08:35:41:756,252512,252512,0,0,118164882049,1243990682,248755,3373,384,369,391767,0 106,2,2024-09-07 08:35:40:770,182703,182703,0,0,9078615,0,2795 106,3,2024-09-07 08:35:40:683,1,144,3,0,405,2302,144,0 107,0,2024-09-07 08:35:41:140,34639,0.4,34610,0.6,68821,0.3,92237,1.75 107,1,2024-09-07 08:35:40:615,252320,252320,0,0,117324135719,1237250718,249357,2736,227,381,392234,0 107,2,2024-09-07 08:35:41:299,177866,177865,1,0,9029078,0,5024 107,3,2024-09-07 08:35:41:764,1,144,3,0,353,1824,144,0 108,0,2024-09-07 08:35:41:812,35942,0.4,36124,0.6,71562,0.4,95735,1.75 108,1,2024-09-07 08:35:41:293,252844,252844,0,0,118322311821,1239461767,250196,2338,310,368,391857,0 108,2,2024-09-07 08:35:41:766,179397,179397,0,0,8626495,0,2647 108,3,2024-09-07 08:35:41:336,1,144,0,0,667,3172,144,0 109,0,2024-09-07 08:35:41:810,39933,0.5,39821,0.7,79301,0.5,107276,1.75 109,1,2024-09-07 08:35:40:635,252544,252544,0,0,118903662809,1252281570,249776,2274,494,383,391812,0 109,2,2024-09-07 08:35:40:936,184850,184850,0,0,8986673,0,3617 109,3,2024-09-07 08:35:41:143,1,144,4,1,249,2188,144,0 110,0,2024-09-07 08:35:41:751,34695,0.4,33664,0.7,70287,0.4,92400,1.75 110,1,2024-09-07 08:35:41:650,253250,253250,0,0,118515108668,1237845695,250642,1844,764,370,391667,0 110,2,2024-09-07 08:35:41:315,183636,183636,0,0,7928768,0,2915 110,3,2024-09-07 08:35:40:698,1,144,0,0,406,2136,144,0 111,0,2024-09-07 08:35:41:415,33940,0.2,33472,0.4,66934,0.2,89763,1.50 111,1,2024-09-07 08:35:41:008,253891,253891,0,0,119599030376,1244715314,252119,1440,332,382,391690,0 111,2,2024-09-07 08:35:41:116,179888,179888,0,0,8742997,0,2763 111,3,2024-09-07 08:35:40:917,1,144,1,0,379,2505,144,0 112,0,2024-09-07 08:35:40:937,35006,0.3,34953,0.5,70028,0.2,93231,1.50 112,1,2024-09-07 08:35:40:825,254074,254074,0,0,119489802831,1245922956,251749,1900,425,381,391580,0 112,2,2024-09-07 08:35:41:133,179705,179704,1,0,8637329,0,5036 112,3,2024-09-07 08:35:40:613,1,144,2,0,282,1801,144,0 113,0,2024-09-07 08:35:40:873,39132,0.4,38898,0.6,78824,0.4,105506,1.75 113,1,2024-09-07 08:35:41:688,254000,254000,0,0,118936983282,1235543953,251587,1925,488,366,391661,0 113,2,2024-09-07 08:35:41:308,185439,185439,0,0,7576337,0,3813 113,3,2024-09-07 08:35:40:689,1,144,29,0,288,2255,144,0 114,0,2024-09-07 08:35:40:879,34733,0.8,35327,0.7,69135,0.6,92619,2.00 114,1,2024-09-07 08:35:40:721,253615,253615,0,0,118760646496,1248494777,249489,2663,1463,381,391534,0 114,2,2024-09-07 08:35:40:879,183159,183159,0,0,7866846,0,3925 114,3,2024-09-07 08:35:41:278,1,144,0,0,395,1948,144,0 115,0,2024-09-07 08:35:40:557,34702,0.2,34940,0.4,69998,0.2,92488,1.50 115,1,2024-09-07 08:35:40:575,253432,253432,0,0,118786040950,1243223651,249793,2818,821,382,391602,0 115,2,2024-09-07 08:35:41:125,183037,183037,0,0,7443972,0,2152 115,3,2024-09-07 08:35:41:002,1,144,1,0,159,1051,144,0 116,0,2024-09-07 08:35:41:768,34501,0.6,34062,0.8,68499,0.7,91202,2.00 116,1,2024-09-07 08:35:40:806,251534,251534,0,0,117414419365,1253528507,246661,3120,1753,382,391677,0 116,2,2024-09-07 08:35:41:786,177704,177704,0,0,10170445,0,3529 116,3,2024-09-07 08:35:40:935,1,144,37,0,252,2145,144,0 117,0,2024-09-07 08:35:41:032,38555,1.7,38620,1.2,76969,2.7,104224,2.25 117,1,2024-09-07 08:35:41:604,252847,252847,0,0,117853957384,1240692646,249201,3219,427,370,392033,0 117,2,2024-09-07 08:35:41:123,182819,182819,0,0,8137822,0,3700 117,3,2024-09-07 08:35:41:063,1,144,4,0,490,3137,144,0 118,0,2024-09-07 08:35:41:787,35134,1.1,36200,1.0,73527,1.3,95727,2.25 118,1,2024-09-07 08:35:40:615,252453,252453,0,0,117824064237,1249175915,247635,3500,1318,368,391736,0 118,2,2024-09-07 08:35:41:587,184473,184473,0,0,9186465,0,2781 118,3,2024-09-07 08:35:41:765,1,144,2,0,235,2015,144,0 119,0,2024-09-07 08:35:41:377,35566,0.7,35692,0.9,72302,0.7,95199,2.00 119,1,2024-09-07 08:35:40:551,253026,253026,0,0,118482398721,1240289847,250577,2162,287,370,391641,0 119,2,2024-09-07 08:35:41:266,182320,182320,0,0,8702095,0,3526 119,3,2024-09-07 08:35:41:341,1,144,10,0,443,2798,144,0 120,0,2024-09-07 08:35:41:622,34631,0.5,34747,0.7,69316,0.4,92679,2.00 120,1,2024-09-07 08:35:40:861,253330,253330,0,0,117837451831,1241177391,250231,2840,259,368,391961,0 120,2,2024-09-07 08:35:40:788,177695,177694,1,0,10591827,0,5281 120,3,2024-09-07 08:35:41:292,1,144,1,0,241,2141,144,0 121,0,2024-09-07 08:35:41:955,36843,1.9,37353,1.3,74280,3.1,99718,2.50 121,1,2024-09-07 08:35:41:723,253153,253153,0,0,118146008017,1239567221,250429,2436,288,367,391840,0 121,2,2024-09-07 08:35:41:204,179298,179298,0,0,9603609,0,4127 121,3,2024-09-07 08:35:40:732,1,144,1,0,269,2105,144,0 122,0,2024-09-07 08:35:41:840,38907,1.3,37881,1.2,79376,1.5,104468,2.25 122,1,2024-09-07 08:35:40:867,252039,252039,0,0,117468018690,1239836712,247909,3425,705,366,392130,0 122,2,2024-09-07 08:35:41:321,185420,185420,0,0,10762764,0,3364 122,3,2024-09-07 08:35:40:606,1,144,0,0,411,3689,144,0 123,0,2024-09-07 08:35:40:966,35388,0.9,34427,0.9,72143,1.0,94351,2.25 123,1,2024-09-07 08:35:40:563,253488,253488,0,0,118179596361,1250214921,248417,4377,694,369,391823,0 123,2,2024-09-07 08:35:41:019,181721,181720,1,0,9254686,0,5215 123,3,2024-09-07 08:35:41:133,1,144,0,0,168,1924,144,0 124,0,2024-09-07 08:35:40:950,34718,0.3,34649,0.5,65469,0.2,89722,1.50 124,1,2024-09-07 08:35:41:057,253070,253070,0,0,118085085393,1231066894,250991,1724,355,367,392178,0 124,2,2024-09-07 08:35:41:015,179526,179526,0,0,7952971,0,3101 124,3,2024-09-07 08:35:40:758,1,144,1,0,490,2178,144,0 125,0,2024-09-07 08:35:41:492,35550,0.4,35524,0.6,71239,0.4,95018,1.75 125,1,2024-09-07 08:35:40:860,253236,253236,0,0,118931599472,1246041108,250879,2082,275,384,391702,0 125,2,2024-09-07 08:35:41:161,180135,180135,0,0,7916625,0,2180 125,3,2024-09-07 08:35:41:134,1,144,1,0,284,1998,144,0 126,0,2024-09-07 08:35:41:461,39586,0.8,40588,0.8,77615,0.9,105626,2.00 126,1,2024-09-07 08:35:40:558,253602,253602,0,0,118865982245,1236115372,251979,1545,78,365,391987,0 126,2,2024-09-07 08:35:40:610,185422,185422,0,0,8286940,0,3186 126,3,2024-09-07 08:35:40:919,1,144,18,0,150,2209,144,0 127,0,2024-09-07 08:35:41:614,33728,0.5,34031,0.6,67797,0.5,90481,1.75 127,1,2024-09-07 08:35:40:569,252983,252983,0,0,118356061409,1230516975,250927,2016,40,365,391816,0 127,2,2024-09-07 08:35:40:637,183111,183111,0,0,7774438,0,2264 127,3,2024-09-07 08:35:41:268,1,144,243,0,243,1364,144,0 128,0,2024-09-07 08:35:41:555,34495,0.3,34330,0.4,68495,0.2,91120,1.50 128,1,2024-09-07 08:35:41:632,253812,253812,0,0,118978170193,1235087498,252840,900,72,367,391680,0 128,2,2024-09-07 08:35:41:386,181054,181054,0,0,7812274,0,2107 128,3,2024-09-07 08:35:40:767,1,144,37,0,333,2234,144,0 129,0,2024-09-07 08:35:41:035,35192,0.3,34980,0.5,69886,0.3,93132,1.75 129,1,2024-09-07 08:35:40:577,252670,252670,0,0,117741486729,1238007977,249749,2529,392,379,391835,0 129,2,2024-09-07 08:35:40:686,179400,179400,0,0,8067479,0,4031 129,3,2024-09-07 08:35:40:693,1,144,6,0,173,1871,144,0 130,0,2024-09-07 08:35:41:779,39789,0.9,39532,0.8,79316,1.2,106441,2.00 130,1,2024-09-07 08:35:40:616,253518,253518,0,0,117885222891,1232615451,251419,2028,71,381,391825,0 130,2,2024-09-07 08:35:41:139,183201,183201,0,0,7973846,0,4067 130,3,2024-09-07 08:35:41:294,1,144,3,0,207,1330,144,0 131,0,2024-09-07 08:35:41:927,34989,0.7,35096,0.7,70960,0.9,93606,1.75 131,1,2024-09-07 08:35:41:819,254570,254570,0,0,118590081617,1237143256,253110,1254,206,384,391865,0 131,2,2024-09-07 08:35:40:569,184500,184500,0,0,7561332,0,2415 131,3,2024-09-07 08:35:41:688,1,144,6,0,392,1769,144,0 132,0,2024-09-07 08:35:41:455,35339,0.5,35823,0.7,71767,0.4,95155,2.00 132,1,2024-09-07 08:35:40:629,251775,251775,0,0,117768692896,1251336240,246377,4369,1029,381,391760,0 132,2,2024-09-07 08:35:40:704,182515,182515,0,0,10493369,0,4606 132,3,2024-09-07 08:35:41:688,1,144,45,0,356,2802,144,0 133,0,2024-09-07 08:35:41:578,33790,0.4,34496,0.6,70747,0.4,91124,2.00 133,1,2024-09-07 08:35:40:628,251512,251512,0,0,118214141427,1253479492,247015,3859,638,383,391914,0 133,2,2024-09-07 08:35:41:090,178011,178011,0,0,10389925,0,4315 133,3,2024-09-07 08:35:41:313,1,144,1,1,187,1356,144,0 134,0,2024-09-07 08:35:40:951,38169,0.6,37951,0.8,76626,0.7,101949,2.00 134,1,2024-09-07 08:35:40:619,252250,252250,0,0,117976770431,1243104325,247900,3128,1222,366,391718,0 134,2,2024-09-07 08:35:41:757,180316,180316,0,0,8593623,0,3096 134,3,2024-09-07 08:35:40:749,1,144,4,0,739,2864,144,0 135,0,2024-09-07 08:35:41:107,36393,1.8,36266,1.4,76862,2.2,98694,2.75 135,1,2024-09-07 08:35:41:598,252034,252034,0,0,117809910680,1244952041,247851,3482,701,380,391725,0 135,2,2024-09-07 08:35:40:687,185756,185756,0,0,9476288,0,3981 135,3,2024-09-07 08:35:41:002,1,144,1,0,89,853,144,0 136,0,2024-09-07 08:35:41:636,36039,0.6,35878,0.8,72280,0.5,95957,2.00 136,1,2024-09-07 08:35:41:447,252391,252391,0,0,118373151127,1244104997,249132,3086,173,384,391641,0 136,2,2024-09-07 08:35:41:315,184215,184215,0,0,9096425,0,3506 136,3,2024-09-07 08:35:41:114,1,144,8,0,108,1279,144,0 137,0,2024-09-07 08:35:40:951,35479,0.5,34490,0.7,68043,0.4,92400,2.00 137,1,2024-09-07 08:35:40:611,252719,252719,0,0,118156026681,1241252805,248682,3618,419,367,391608,0 137,2,2024-09-07 08:35:41:706,177830,177830,0,0,10296980,0,3185 137,3,2024-09-07 08:35:40:770,1,144,3,1,227,1810,144,0 138,0,2024-09-07 08:35:41:764,35413,1.6,35450,1.2,71625,2.3,95491,2.50 138,1,2024-09-07 08:35:41:690,253117,253117,0,0,118411803190,1242104118,250085,2682,350,368,391954,0 138,2,2024-09-07 08:35:40:625,180748,180748,0,0,8363411,0,3263 138,3,2024-09-07 08:35:40:610,1,144,1,0,1160,2693,144,0 139,0,2024-09-07 08:35:41:384,38803,3.1,38946,1.8,78490,4.4,105977,3.25 139,1,2024-09-07 08:35:40:587,251840,251840,0,0,117145488450,1248029554,246789,3737,1314,381,391892,0 139,2,2024-09-07 08:35:40:695,183842,183842,0,0,9644122,0,3097 139,3,2024-09-07 08:35:41:663,1,144,1,0,244,1754,144,0 140,0,2024-09-07 08:35:41:591,34755,0.4,34546,0.6,69460,0.3,92423,1.75 140,1,2024-09-07 08:35:41:535,254221,254221,0,0,119192202231,1233108552,252706,1275,240,365,391606,0 140,2,2024-09-07 08:35:40:687,183459,183459,0,0,8320932,0,3388 140,3,2024-09-07 08:35:40:769,1,144,1,0,247,1408,144,0 141,0,2024-09-07 08:35:41:720,33456,0.2,34590,0.4,66286,0.1,89726,1.50 141,1,2024-09-07 08:35:40:864,253727,253727,0,0,119056588632,1239344637,251789,1585,353,379,391614,0 141,2,2024-09-07 08:35:41:697,180344,180344,0,0,7812073,0,2342 141,3,2024-09-07 08:35:41:044,1,144,3,0,147,1279,144,0 142,0,2024-09-07 08:35:41:372,35405,0.3,35163,0.5,69756,0.3,93340,1.75 142,1,2024-09-07 08:35:40:622,253488,253488,0,0,118334016125,1236834346,251951,1378,159,383,391649,0 142,2,2024-09-07 08:35:41:309,178277,178245,32,0,9032545,0,6028 142,3,2024-09-07 08:35:41:753,1,144,1,0,484,2114,144,0 143,0,2024-09-07 08:35:41:401,39365,0.8,39292,0.8,79215,0.9,105361,2.00 143,1,2024-09-07 08:35:40:590,253288,253288,0,0,118519701407,1234100201,251185,2061,42,367,391619,0 143,2,2024-09-07 08:35:40:784,184146,184146,0,0,8369063,0,2669 143,3,2024-09-07 08:35:41:184,1,144,4,0,303,2521,144,0 144,0,2024-09-07 08:35:41:566,33178,1.1,34051,1.8,69163,1.3,91270,2.25 144,1,2024-09-07 08:35:40:577,252595,252595,0,0,118347840770,1245611954,250209,2183,203,381,391649,0 144,2,2024-09-07 08:35:41:769,183924,183924,0,0,8092200,0,3473 144,3,2024-09-07 08:35:41:746,1,144,5,0,249,2023,144,0 145,0,2024-09-07 08:35:41:432,33611,0.6,33556,0.8,71181,0.5,91994,2.00 145,1,2024-09-07 08:35:40:552,251279,251279,0,0,117605100478,1245660442,246896,3649,734,383,391615,0 145,2,2024-09-07 08:35:41:437,181961,181961,0,0,9090976,0,3903 145,3,2024-09-07 08:35:40:906,1,144,2,0,151,1770,144,0 146,0,2024-09-07 08:35:41:653,34154,0.5,34051,0.7,68803,0.4,90797,2.00 146,1,2024-09-07 08:35:41:607,253489,253489,0,0,117822609450,1240749393,249046,3584,859,368,391629,0 146,2,2024-09-07 08:35:41:694,178935,178935,0,0,8590287,0,2498 146,3,2024-09-07 08:35:41:276,1,144,1,0,1520,5119,144,0 147,0,2024-09-07 08:35:41:740,38622,1.1,38491,1.1,76530,1.1,104022,2.50 147,1,2024-09-07 08:35:41:374,254039,254039,0,0,118960533741,1243633869,250945,2600,494,368,391791,0 147,2,2024-09-07 08:35:41:011,182531,182531,0,0,8202800,0,2789 147,3,2024-09-07 08:35:40:923,1,144,2,0,371,1955,144,0 0,0,2024-09-07 08:35:51:710,33832,0.5,33933,0.7,71655,0.4,92560,1.75 0,1,2024-09-07 08:35:50:802,254863,254863,0,0,119678275636,1255503851,252905,1823,135,372,391692,0 0,2,2024-09-07 08:35:51:084,179350,179350,0,0,8388628,0,4480 0,3,2024-09-07 08:35:50:974,1,145,2,0,247,2012,145,0 1,0,2024-09-07 08:35:51:803,37695,1.6,37369,1.3,74945,2.2,100786,2.50 1,1,2024-09-07 08:35:50:566,254177,254177,0,0,119204264222,1255196674,250744,2566,867,372,391857,0 1,2,2024-09-07 08:35:50:687,180889,180889,0,0,7599396,0,3267 1,3,2024-09-07 08:35:51:306,1,145,2,0,262,1896,145,0 2,0,2024-09-07 08:35:51:571,39071,1.2,39215,1.1,77874,1.8,103856,2.25 2,1,2024-09-07 08:35:50:859,254460,254460,0,0,119354038484,1243924112,253014,1240,206,380,391745,0 2,2,2024-09-07 08:35:51:266,186375,186375,0,0,8503842,0,3594 2,3,2024-09-07 08:35:50:693,1,145,2,0,357,1667,145,0 3,0,2024-09-07 08:35:51:745,35269,0.5,35435,0.8,71010,0.5,94271,2.00 3,1,2024-09-07 08:35:51:621,254381,254381,0,0,118438235920,1240990723,251273,2684,424,382,391516,0 3,2,2024-09-07 08:35:51:142,184613,184590,23,0,8417743,0,5851 3,3,2024-09-07 08:35:51:755,1,145,1,0,103,971,145,0 4,0,2024-09-07 08:35:51:885,33002,0.3,33831,0.5,68811,0.2,90372,1.75 4,1,2024-09-07 08:35:50:601,254753,254753,0,0,118365037281,1247981703,251792,2440,521,371,391846,0 4,2,2024-09-07 08:35:51:043,179093,179093,0,0,9869863,0,4528 4,3,2024-09-07 08:35:51:031,1,145,15,0,287,2129,145,0 5,0,2024-09-07 08:35:51:392,35861,0.5,35780,0.7,71770,0.6,95454,1.75 5,1,2024-09-07 08:35:50:759,253880,253880,0,0,118763510377,1256199545,249709,3185,986,368,392005,0 5,2,2024-09-07 08:35:51:829,181003,181003,0,0,8392807,0,2259 5,3,2024-09-07 08:35:51:735,1,145,1,0,238,2313,145,0 6,0,2024-09-07 08:35:50:923,40291,1.0,39820,1.1,79063,1.4,106910,2.50 6,1,2024-09-07 08:35:50:750,255028,255028,0,0,119433779340,1254978963,251646,2735,647,381,391617,0 6,2,2024-09-07 08:35:51:115,186883,186883,0,0,8798435,0,4816 6,3,2024-09-07 08:35:51:277,1,145,0,0,340,2241,145,0 7,0,2024-09-07 08:35:51:538,33597,0.6,33625,0.8,67492,0.5,90248,2.00 7,1,2024-09-07 08:35:50:850,254746,254746,0,0,119139309439,1250686965,252028,2587,131,382,391664,0 7,2,2024-09-07 08:35:50:771,183887,183887,0,0,7915139,0,2981 7,3,2024-09-07 08:35:50:851,1,145,39,0,305,1571,145,0 8,0,2024-09-07 08:35:51:362,34340,0.3,34528,0.4,68378,0.2,91382,1.50 8,1,2024-09-07 08:35:51:045,254303,254303,0,0,119072012193,1263390636,248703,4001,1599,368,391956,0 8,2,2024-09-07 08:35:50:792,179760,179760,0,0,9616899,0,2986 8,3,2024-09-07 08:35:50:586,1,145,1,0,357,2518,145,0 9,0,2024-09-07 08:35:51:125,35279,0.3,34261,0.5,71398,0.3,93613,1.75 9,1,2024-09-07 08:35:50:554,253956,253956,0,0,119213844739,1262546805,249472,3358,1126,370,391753,0 9,2,2024-09-07 08:35:51:100,180384,180384,0,0,8884694,0,3360 9,3,2024-09-07 08:35:51:754,1,145,1,0,496,2560,145,0 10,0,2024-09-07 08:35:51:607,40021,0.4,39854,0.6,80039,0.3,106790,1.75 10,1,2024-09-07 08:35:50:592,254344,254344,0,0,118320116923,1246738581,249874,3829,641,381,391741,0 10,2,2024-09-07 08:35:50:762,184976,184976,0,0,9225696,0,2940 10,3,2024-09-07 08:35:50:871,1,145,1,0,177,1181,145,0 11,0,2024-09-07 08:35:51:083,34325,1.1,33502,1.1,70318,1.9,93624,2.50 11,1,2024-09-07 08:35:50:572,254267,254267,0,0,118867919828,1255613740,248966,4031,1270,384,391537,0 11,2,2024-09-07 08:35:51:122,184503,184503,0,0,8968074,0,3411 11,3,2024-09-07 08:35:51:299,1,145,1,0,720,2787,145,0 12,0,2024-09-07 08:35:50:976,36164,0.3,35840,0.5,72060,0.2,95381,1.50 12,1,2024-09-07 08:35:50:939,254445,254445,0,0,118524089440,1243127614,251571,2464,410,370,391837,0 12,2,2024-09-07 08:35:51:561,184880,184880,0,0,9244280,0,3469 12,3,2024-09-07 08:35:51:061,1,145,7,0,358,2512,145,0 13,0,2024-09-07 08:35:51:340,34752,0.4,34793,0.5,69476,0.3,92039,1.75 13,1,2024-09-07 08:35:51:524,254597,254597,0,0,118740396076,1250174864,252185,2004,408,382,391717,0 13,2,2024-09-07 08:35:50:597,180481,180481,0,0,8357605,0,3287 13,3,2024-09-07 08:35:51:766,1,145,1,0,467,3125,145,0 14,0,2024-09-07 08:35:50:566,38731,0.5,38908,0.7,77003,0.5,103288,2.00 14,1,2024-09-07 08:35:51:563,255931,255931,0,0,119142525556,1240254981,253666,2102,163,364,391571,0 14,2,2024-09-07 08:35:50:770,182089,182089,0,0,8558430,0,2896 14,3,2024-09-07 08:35:51:123,1,145,1,0,1168,2860,145,0 15,0,2024-09-07 08:35:51:556,37300,1.3,37299,1.2,75020,1.8,99447,2.75 15,1,2024-09-07 08:35:51:612,254735,254735,0,0,119352720827,1246119503,252823,1803,109,381,391619,0 15,2,2024-09-07 08:35:50:998,186858,186858,0,0,7269607,0,3043 15,3,2024-09-07 08:35:51:407,1,145,4,0,1126,4274,145,0 16,0,2024-09-07 08:35:50:985,36045,0.5,36376,0.8,72040,0.5,96167,2.00 16,1,2024-09-07 08:35:50:577,255117,255117,0,0,119462521134,1252655652,252904,1952,261,372,391756,0 16,2,2024-09-07 08:35:51:467,183956,183956,0,0,9478328,0,4719 16,3,2024-09-07 08:35:51:149,1,145,1,0,231,2146,145,0 17,0,2024-09-07 08:35:51:769,35875,0.4,34977,0.6,68631,0.4,92833,1.75 17,1,2024-09-07 08:35:50:574,254272,254272,0,0,119021355262,1255972554,250922,2679,671,368,391688,0 17,2,2024-09-07 08:35:51:668,181149,181149,0,0,8317848,0,2857 17,3,2024-09-07 08:35:50:578,1,145,2,0,268,2422,145,0 18,0,2024-09-07 08:35:50:950,35525,1.0,35892,1.0,71838,1.1,96045,2.50 18,1,2024-09-07 08:35:51:641,254366,254366,0,0,119120963645,1240402646,252372,1760,234,368,391564,0 18,2,2024-09-07 08:35:51:755,181281,181281,0,0,8402652,0,3541 18,3,2024-09-07 08:35:50:896,1,145,0,0,163,1701,145,0 19,0,2024-09-07 08:35:51:549,39667,1.2,40094,1.1,79042,1.5,105455,2.75 19,1,2024-09-07 08:35:50:567,255374,255374,0,0,119548604200,1251590598,251561,3097,716,367,391777,0 19,2,2024-09-07 08:35:51:755,187628,187628,0,0,7728569,0,3988 19,3,2024-09-07 08:35:51:138,1,145,10,0,524,1468,145,0 20,0,2024-09-07 08:35:51:373,34783,0.7,34654,0.8,69598,0.8,92853,2.25 20,1,2024-09-07 08:35:50:567,254375,254375,0,0,119031693485,1253287078,250942,3043,390,369,391822,0 20,2,2024-09-07 08:35:50:929,184630,184630,0,0,8587646,0,3721 20,3,2024-09-07 08:35:50:588,1,145,0,0,414,2787,145,0 21,0,2024-09-07 08:35:51:220,34201,0.4,34201,0.5,67965,0.3,90111,1.75 21,1,2024-09-07 08:35:51:537,253901,253901,0,0,118308832063,1250028872,250278,3092,531,368,391962,0 21,2,2024-09-07 08:35:51:072,180482,180482,0,0,9171479,0,3747 21,3,2024-09-07 08:35:51:405,1,145,2,0,103,1892,145,0 22,0,2024-09-07 08:35:51:723,35062,0.7,35096,0.9,69778,0.8,93661,2.25 22,1,2024-09-07 08:35:51:032,253851,253851,0,0,118328871381,1254483286,248346,3996,1509,382,391667,0 22,2,2024-09-07 08:35:50:760,180847,180847,0,0,7894995,0,3134 22,3,2024-09-07 08:35:51:068,1,145,1,0,228,1602,145,0 23,0,2024-09-07 08:35:51:375,39269,0.8,39347,0.9,79099,0.8,105560,2.25 23,1,2024-09-07 08:35:51:011,254906,254906,0,0,118954249288,1249651697,250812,2612,1482,365,391549,0 23,2,2024-09-07 08:35:51:091,185456,185456,0,0,8214907,0,3010 23,3,2024-09-07 08:35:51:754,1,145,1,0,645,1736,145,0 24,0,2024-09-07 08:35:50:846,35052,0.6,35108,0.7,70310,0.6,93397,1.75 24,1,2024-09-07 08:35:50:586,254830,254830,0,0,118931050899,1248803650,251879,2152,799,369,391640,0 24,2,2024-09-07 08:35:51:111,183402,183402,0,0,9612133,0,2942 24,3,2024-09-07 08:35:51:686,1,145,1,0,234,1733,145,0 25,0,2024-09-07 08:35:51:354,35872,0.4,34949,0.6,68548,0.3,93182,1.75 25,1,2024-09-07 08:35:50:560,254904,254904,0,0,118980363227,1253868697,251224,3137,543,371,391788,0 25,2,2024-09-07 08:35:51:629,182070,182070,0,0,9633018,0,3978 25,3,2024-09-07 08:35:51:016,1,145,3,0,158,1697,145,0 26,0,2024-09-07 08:35:51:728,34249,0.4,33525,0.5,70440,0.3,92135,1.75 26,1,2024-09-07 08:35:51:540,254864,254864,0,0,118568802173,1250393449,250205,3758,901,381,391564,0 26,2,2024-09-07 08:35:50:861,180525,180525,0,0,8608073,0,2809 26,3,2024-09-07 08:35:51:712,1,145,0,0,796,2150,145,0 27,0,2024-09-07 08:35:51:729,39471,0.5,39519,0.7,78455,0.5,105590,1.75 27,1,2024-09-07 08:35:51:676,256180,256180,0,0,119786982712,1247060083,254363,1494,323,381,391539,0 27,2,2024-09-07 08:35:50:868,182176,182176,0,0,9496374,0,3409 27,3,2024-09-07 08:35:51:021,1,145,4,0,564,1914,145,0 28,0,2024-09-07 08:35:51:398,35839,1.0,36219,0.9,72736,1.3,96682,2.50 28,1,2024-09-07 08:35:50:802,254965,254965,0,0,120240497843,1257072217,252805,1824,336,383,391646,0 28,2,2024-09-07 08:35:51:764,186099,186099,0,0,7645330,0,2915 28,3,2024-09-07 08:35:51:776,1,145,1,0,502,1969,145,0 29,0,2024-09-07 08:35:51:367,36845,0.4,35966,0.6,70407,0.3,96153,1.75 29,1,2024-09-07 08:35:51:566,256027,256027,0,0,119434936537,1241835561,253601,1883,543,369,391621,0 29,2,2024-09-07 08:35:50:861,184553,184553,0,0,7421076,0,4018 29,3,2024-09-07 08:35:50:972,1,145,1,0,105,1187,145,0 30,0,2024-09-07 08:35:51:467,34823,0.5,33846,0.7,70869,0.5,92616,2.00 30,1,2024-09-07 08:35:50:579,255619,255619,0,0,119441151954,1244415442,253634,1707,278,382,391672,0 30,2,2024-09-07 08:35:51:274,180541,180541,0,0,7381051,0,3161 30,3,2024-09-07 08:35:50:581,1,145,2,0,195,1154,145,0 31,0,2024-09-07 08:35:51:767,37178,0.6,37527,0.8,75579,0.6,100578,2.00 31,1,2024-09-07 08:35:50:564,256392,256392,0,0,119243964149,1225280802,255592,688,112,356,391553,0 31,2,2024-09-07 08:35:51:281,181325,181325,0,0,9165320,0,3525 31,3,2024-09-07 08:35:51:711,1,145,1,0,220,1172,145,0 32,0,2024-09-07 08:35:51:441,39111,0.6,39491,0.7,78965,0.5,104552,1.75 32,1,2024-09-07 08:35:50:804,255558,255558,0,0,119680414033,1246249269,253818,1465,275,382,391595,0 32,2,2024-09-07 08:35:50:936,186751,186751,0,0,7523950,0,3155 32,3,2024-09-07 08:35:51:018,1,145,16,0,227,1443,145,0 33,0,2024-09-07 08:35:51:505,35968,0.4,35364,0.6,71494,0.3,95293,1.75 33,1,2024-09-07 08:35:50:575,256054,256054,0,0,120012243159,1246481626,253796,2086,172,369,391730,0 33,2,2024-09-07 08:35:50:761,184511,184478,33,0,9324613,0,7012 33,3,2024-09-07 08:35:50:895,1,145,0,0,329,1820,145,0 34,0,2024-09-07 08:35:50:934,33949,0.3,35088,0.4,67230,0.2,90476,1.50 34,1,2024-09-07 08:35:51:044,256159,256159,0,0,120199217322,1240771623,255164,989,6,367,391562,0 34,2,2024-09-07 08:35:50:766,179159,179159,0,0,8220216,0,3577 34,3,2024-09-07 08:35:51:688,1,145,1,0,148,955,145,0 35,0,2024-09-07 08:35:50:863,35477,0.5,35875,0.6,71635,0.4,95658,1.75 35,1,2024-09-07 08:35:51:069,255368,255368,0,0,119123921057,1241780706,252570,2028,770,384,391589,0 35,2,2024-09-07 08:35:51:583,180916,180916,0,0,7549993,0,2653 35,3,2024-09-07 08:35:50:907,1,145,1,0,418,1920,145,0 36,0,2024-09-07 08:35:51:590,39827,1.2,39663,1.1,80063,1.4,106538,2.50 36,1,2024-09-07 08:35:50:583,254411,254411,0,0,118854420935,1250302664,249801,3322,1288,366,391759,0 36,2,2024-09-07 08:35:51:755,185863,185863,0,0,8922195,0,3303 36,3,2024-09-07 08:35:50:863,1,145,22,0,378,1772,145,0 37,0,2024-09-07 08:35:51:373,33758,0.5,33881,0.8,67397,0.5,91112,2.00 37,1,2024-09-07 08:35:50:568,254165,254158,0,7,119561571230,1262730817,249401,2739,2018,365,391518,0 37,2,2024-09-07 08:35:51:142,184329,184314,15,0,8961544,0,5815 37,3,2024-09-07 08:35:51:775,1,145,0,0,724,2440,145,0 38,0,2024-09-07 08:35:51:456,34192,0.4,33091,0.6,69221,0.3,90953,2.00 38,1,2024-09-07 08:35:51:605,255467,255467,0,0,119174737317,1250815976,251456,3379,632,368,391821,0 38,2,2024-09-07 08:35:50:760,182027,181980,47,0,10648883,0,6710 38,3,2024-09-07 08:35:50:997,1,145,1,0,603,2085,145,0 39,0,2024-09-07 08:35:51:762,36013,0.6,35207,0.7,68857,0.6,94107,2.00 39,1,2024-09-07 08:35:50:716,254942,254942,0,0,119253437172,1248604394,251156,2985,801,365,391524,0 39,2,2024-09-07 08:35:51:422,180635,180635,0,0,7758194,0,2689 39,3,2024-09-07 08:35:50:717,1,145,0,0,276,2026,145,0 40,0,2024-09-07 08:35:51:508,39292,1.0,39953,1.3,79326,1.1,106278,3.25 40,1,2024-09-07 08:35:50:580,255009,255009,0,0,119002076052,1251081069,250599,3642,768,368,391591,0 40,2,2024-09-07 08:35:51:321,184237,184236,1,0,9823877,0,5137 40,3,2024-09-07 08:35:51:150,1,145,1,0,181,1496,145,0 41,0,2024-09-07 08:35:51:032,34420,2.4,35085,1.9,67374,3.7,92225,4.50 41,1,2024-09-07 08:35:50:772,254778,254778,0,0,119157499988,1252388104,250589,3658,531,370,391742,0 41,2,2024-09-07 08:35:50:761,183760,183760,0,0,9650259,0,3356 41,3,2024-09-07 08:35:51:681,1,145,1,0,366,1926,145,0 42,0,2024-09-07 08:35:51:483,35147,0.5,35548,0.8,70945,0.5,93467,2.25 42,1,2024-09-07 08:35:51:439,253624,253624,0,0,118629134874,1252545836,248927,3739,958,380,391675,0 42,2,2024-09-07 08:35:51:135,183177,183177,0,0,9336479,0,3568 42,3,2024-09-07 08:35:51:019,1,145,2,0,446,1393,145,0 43,0,2024-09-07 08:35:50:919,34603,0.7,33869,0.9,70254,0.7,92115,2.00 43,1,2024-09-07 08:35:50:575,254826,254826,0,0,119945510926,1254602911,251585,2448,793,366,391604,0 43,2,2024-09-07 08:35:51:737,180509,180509,0,0,8666673,0,3812 43,3,2024-09-07 08:35:51:754,1,145,1,0,325,2105,145,0 44,0,2024-09-07 08:35:50:864,38727,0.6,38723,0.8,77385,0.6,103899,2.00 44,1,2024-09-07 08:35:50:563,255247,255247,0,0,118328074558,1227439446,253189,1633,425,356,391809,0 44,2,2024-09-07 08:35:51:268,181655,181655,0,0,7344835,0,1877 44,3,2024-09-07 08:35:51:094,1,145,1,0,817,2011,145,0 45,0,2024-09-07 08:35:51:761,36869,1.6,36130,1.3,75945,2.2,100076,2.50 45,1,2024-09-07 08:35:51:007,255206,255206,0,0,119934999581,1246168797,254167,1029,10,382,391917,0 45,2,2024-09-07 08:35:51:278,187037,187037,0,0,8012031,0,3596 45,3,2024-09-07 08:35:50:937,1,145,2,0,226,1398,145,0 46,0,2024-09-07 08:35:50:964,35931,0.4,35802,0.6,71918,0.3,95205,1.75 46,1,2024-09-07 08:35:50:578,255725,255725,0,0,119504727830,1239596174,253918,1585,222,366,391524,0 46,2,2024-09-07 08:35:50:594,185347,185347,0,0,7972593,0,2920 46,3,2024-09-07 08:35:51:138,1,145,24,0,908,2885,145,0 47,0,2024-09-07 08:35:51:104,34787,0.3,34978,0.5,70273,0.2,92562,1.75 47,1,2024-09-07 08:35:50:566,255757,255757,0,0,119048619778,1232546644,254358,1382,17,366,391605,0 47,2,2024-09-07 08:35:50:909,180731,180731,0,0,8027491,0,2558 47,3,2024-09-07 08:35:51:114,1,145,1,0,529,1720,145,0 48,0,2024-09-07 08:35:51:497,36471,0.3,36036,0.5,72356,0.2,96585,1.75 48,1,2024-09-07 08:35:51:022,255657,255657,0,0,119289061042,1243137110,253597,1879,181,384,391710,0 48,2,2024-09-07 08:35:50:708,181365,181365,0,0,6776096,0,2083 48,3,2024-09-07 08:35:50:757,1,145,1,0,339,1385,145,0 49,0,2024-09-07 08:35:51:710,41178,0.6,40364,0.7,78479,0.7,107336,1.75 49,1,2024-09-07 08:35:51:022,254523,254523,0,0,119391972250,1248878290,251788,1895,840,382,391583,0 49,2,2024-09-07 08:35:51:800,187407,187407,0,0,7942349,0,3900 49,3,2024-09-07 08:35:51:425,1,145,1,0,408,2275,145,0 50,0,2024-09-07 08:35:51:507,34841,0.4,34453,0.6,69069,0.3,92775,1.75 50,1,2024-09-07 08:35:51:019,256293,256293,0,0,120148426825,1249502279,253769,2215,309,368,391530,0 50,2,2024-09-07 08:35:51:069,184476,184476,0,0,7520013,0,2253 50,3,2024-09-07 08:35:51:291,1,145,0,0,335,1291,145,0 51,0,2024-09-07 08:35:51:691,34667,0.3,34140,0.4,66399,0.2,90488,1.50 51,1,2024-09-07 08:35:51:682,255332,255332,0,0,120451786600,1248975836,253365,1181,786,367,391637,0 51,2,2024-09-07 08:35:51:324,180463,180463,0,0,7090195,0,3337 51,3,2024-09-07 08:35:51:030,1,145,1,0,162,878,145,0 52,0,2024-09-07 08:35:51:422,35372,0.6,35397,0.8,70886,0.6,94167,2.25 52,1,2024-09-07 08:35:50:579,254139,254139,0,0,118788372982,1256518830,248477,4507,1155,368,391722,0 52,2,2024-09-07 08:35:51:755,179324,179286,38,0,9480478,0,6742 52,3,2024-09-07 08:35:50:674,1,145,3,0,1782,3456,145,0 53,0,2024-09-07 08:35:51:744,39319,1.0,38244,1.0,79975,1.2,105074,3.00 53,1,2024-09-07 08:35:50:774,253836,253836,0,0,119114254992,1262069931,248217,3725,1894,367,391702,0 53,2,2024-09-07 08:35:51:306,186201,186201,0,0,7830975,0,2262 53,3,2024-09-07 08:35:50:707,1,145,1,0,133,1210,145,0 54,0,2024-09-07 08:35:51:621,33578,2.8,34021,1.5,67210,1.6,90662,4.25 54,1,2024-09-07 08:35:50:579,254848,254848,0,0,119867056269,1255488862,250914,3363,571,367,391532,0 54,2,2024-09-07 08:35:50:865,184092,184086,6,0,9410705,0,5382 54,3,2024-09-07 08:35:50:764,1,145,1,0,676,2792,145,0 55,0,2024-09-07 08:35:51:772,33599,0.5,34621,0.7,70232,0.4,91066,2.25 55,1,2024-09-07 08:35:50:767,253978,253978,0,0,118716259975,1247918635,248792,4399,787,365,391731,0 55,2,2024-09-07 08:35:50:742,182403,182403,0,0,9165478,0,3275 55,3,2024-09-07 08:35:50:678,1,145,1,0,304,1652,145,0 56,0,2024-09-07 08:35:51:555,35402,1.3,33553,1.1,68633,1.8,92335,2.50 56,1,2024-09-07 08:35:50:575,254442,254442,0,0,119442730542,1268977623,249318,4221,903,381,391678,0 56,2,2024-09-07 08:35:51:314,180064,180064,0,0,9737024,0,3567 56,3,2024-09-07 08:35:51:067,1,145,4,0,405,2155,145,0 57,0,2024-09-07 08:35:50:951,38394,2.7,38467,1.6,77009,4.1,103596,4.25 57,1,2024-09-07 08:35:51:009,254593,254593,0,0,118571899432,1244972073,251777,2646,170,368,391960,0 57,2,2024-09-07 08:35:51:330,183783,183783,0,0,10009629,0,3178 57,3,2024-09-07 08:35:51:738,1,145,3,0,359,2642,145,0 58,0,2024-09-07 08:35:50:562,34992,1.6,34092,1.3,71451,2.7,93400,3.00 58,1,2024-09-07 08:35:50:577,255603,255600,0,3,119848407795,1255555947,252085,3118,397,367,391516,3 58,2,2024-09-07 08:35:51:074,185637,185637,0,0,9128098,0,2549 58,3,2024-09-07 08:35:51:067,1,145,0,0,219,1238,145,0 59,0,2024-09-07 08:35:51:750,36045,0.8,35779,1.0,71493,0.9,94972,3.00 59,1,2024-09-07 08:35:50:809,254889,254889,0,0,118788293641,1247275778,251242,2725,922,369,391515,0 59,2,2024-09-07 08:35:50:584,184967,184967,0,0,7953757,0,2604 59,3,2024-09-07 08:35:51:737,1,145,0,0,1015,2852,145,0 60,0,2024-09-07 08:35:51:714,35159,0.4,35268,0.6,70023,0.3,92737,1.75 60,1,2024-09-07 08:35:50:790,256101,256101,0,0,119867435748,1245738969,254647,1097,357,370,391761,0 60,2,2024-09-07 08:35:51:141,179583,179583,0,0,9174411,0,3811 60,3,2024-09-07 08:35:51:267,1,145,1,0,124,1534,145,0 61,0,2024-09-07 08:35:51:534,37409,1.7,37676,1.2,75138,2.4,100720,2.25 61,1,2024-09-07 08:35:50:775,254719,254719,0,0,119272102046,1255160977,251285,2882,552,382,391589,0 61,2,2024-09-07 08:35:51:128,182317,182317,0,0,8098078,0,2079 61,3,2024-09-07 08:35:51:698,1,145,9,0,199,1874,145,0 62,0,2024-09-07 08:35:51:708,39368,1.7,40154,1.1,76954,2.7,104294,2.50 62,1,2024-09-07 08:35:51:119,256540,256534,0,6,119836428945,1239521761,254856,1639,39,365,391715,6 62,2,2024-09-07 08:35:51:643,185632,185631,1,0,9745226,0,5555 62,3,2024-09-07 08:35:51:143,1,145,1,0,287,1146,145,0 63,0,2024-09-07 08:35:51:453,35501,0.6,35537,0.7,71195,0.6,94857,2.00 63,1,2024-09-07 08:35:50:804,256054,256048,0,6,119726588697,1243049769,254810,1212,26,381,391542,6 63,2,2024-09-07 08:35:50:762,184323,184323,0,0,7495741,0,2674 63,3,2024-09-07 08:35:51:735,1,145,2,0,667,2361,145,0 64,0,2024-09-07 08:35:51:527,33810,0.4,33771,0.6,67713,0.3,90307,1.75 64,1,2024-09-07 08:35:50:751,255329,255329,0,0,119499157444,1253663258,251867,2409,1053,371,391783,0 64,2,2024-09-07 08:35:51:150,181122,181103,19,0,8267436,0,6121 64,3,2024-09-07 08:35:51:140,1,145,0,0,265,1743,145,0 65,0,2024-09-07 08:35:51:676,35014,1.1,35594,1.0,71098,1.3,94842,2.50 65,1,2024-09-07 08:35:50:861,254584,254584,0,0,118560802805,1244798536,252080,2282,222,382,391770,0 65,2,2024-09-07 08:35:51:696,181286,181286,0,0,8684201,0,3367 65,3,2024-09-07 08:35:51:683,1,145,4,0,163,1557,145,0 66,0,2024-09-07 08:35:51:768,39439,0.8,39434,0.9,79408,0.9,105758,2.50 66,1,2024-09-07 08:35:51:293,255913,255913,0,0,120144495472,1252109227,254038,1704,171,380,391588,0 66,2,2024-09-07 08:35:51:132,187752,187752,0,0,8098259,0,4956 66,3,2024-09-07 08:35:51:094,1,145,1,0,291,1661,145,0 67,0,2024-09-07 08:35:51:415,34236,1.0,34084,1.0,68300,1.2,91230,2.50 67,1,2024-09-07 08:35:50:766,254588,254587,0,1,118783067323,1247159813,251122,2719,746,381,391787,1 67,2,2024-09-07 08:35:50:583,185037,185037,0,0,7625638,0,2889 67,3,2024-09-07 08:35:51:754,1,145,1,0,138,1243,145,0 68,0,2024-09-07 08:35:50:569,34544,0.5,34389,0.7,68700,0.4,91175,2.00 68,1,2024-09-07 08:35:50:570,254118,254118,0,0,119075556624,1252969004,251277,1823,1018,381,391953,0 68,2,2024-09-07 08:35:51:044,180837,180772,65,0,10918624,0,6698 68,3,2024-09-07 08:35:50:731,1,145,1,0,417,1960,145,0 69,0,2024-09-07 08:35:51:727,35051,0.8,35273,0.9,69995,1.0,93620,2.25 69,1,2024-09-07 08:35:51:019,253600,253600,0,0,118605426020,1258782561,249612,2774,1214,384,391611,0 69,2,2024-09-07 08:35:51:735,180847,180847,0,0,9226371,0,3701 69,3,2024-09-07 08:35:50:760,1,145,1,0,238,1842,145,0 70,0,2024-09-07 08:35:51:538,39345,2.3,39495,1.6,79351,1.7,105619,3.25 70,1,2024-09-07 08:35:50:801,255446,255446,0,0,118994328085,1240246928,253031,1953,462,366,391725,0 70,2,2024-09-07 08:35:51:325,184347,184347,0,0,8729800,0,4044 70,3,2024-09-07 08:35:50:749,1,145,194,0,854,2047,145,0 71,0,2024-09-07 08:35:51:361,34407,2.5,34429,1.9,68493,4.0,92440,3.75 71,1,2024-09-07 08:35:51:597,255050,255050,0,0,119325787138,1253398870,250942,3585,523,368,391682,0 71,2,2024-09-07 08:35:51:071,185590,185590,0,0,8705450,0,2470 71,3,2024-09-07 08:35:51:755,1,145,2,0,644,2520,145,0 72,0,2024-09-07 08:35:51:023,36903,0.5,36084,0.7,70718,0.4,95665,2.25 72,1,2024-09-07 08:35:51:021,254981,254981,0,0,119130992992,1247365123,251738,2757,486,369,391819,0 72,2,2024-09-07 08:35:51:755,182941,182941,0,0,10229862,0,2570 72,3,2024-09-07 08:35:51:755,1,145,1,0,325,2354,145,0 73,0,2024-09-07 08:35:51:115,33819,0.4,34592,0.6,70928,0.3,91849,2.25 73,1,2024-09-07 08:35:50:768,255277,255277,0,0,118775440047,1235655997,253474,1688,115,368,391627,0 73,2,2024-09-07 08:35:51:739,180454,180454,0,0,9455463,0,3482 73,3,2024-09-07 08:35:50:980,1,145,1,0,274,2502,145,0 74,0,2024-09-07 08:35:51:324,38918,0.6,39658,0.8,75720,0.6,103579,2.50 74,1,2024-09-07 08:35:50:635,255134,255134,0,0,118557427482,1241134820,252350,2164,620,382,391681,0 74,2,2024-09-07 08:35:51:007,182123,182123,0,0,9452231,0,4253 74,3,2024-09-07 08:35:51:445,1,145,2,0,522,2688,145,0 75,0,2024-09-07 08:35:51:771,37551,1.6,37326,1.3,74669,2.3,100338,3.00 75,1,2024-09-07 08:35:51:586,254609,254609,0,0,119137097066,1251834620,251226,2923,460,381,391579,0 75,2,2024-09-07 08:35:51:352,185790,185790,0,0,9041556,0,4766 75,3,2024-09-07 08:35:51:104,1,145,1,0,535,1726,145,0 76,0,2024-09-07 08:35:50:618,35808,0.4,35624,0.7,71315,0.3,95475,2.25 76,1,2024-09-07 08:35:50:811,254965,254965,0,0,118821340933,1243568373,252905,1549,511,382,391692,0 76,2,2024-09-07 08:35:51:062,185407,185407,0,0,7932942,0,3064 76,3,2024-09-07 08:35:51:148,1,145,2,0,175,1673,145,0 77,0,2024-09-07 08:35:51:700,34835,0.5,35078,0.7,70068,0.5,92564,1.75 77,1,2024-09-07 08:35:50:826,255803,255803,0,0,119582764391,1249792107,254217,1504,82,383,391808,0 77,2,2024-09-07 08:35:51:284,179462,179462,0,0,8115333,0,3890 77,3,2024-09-07 08:35:51:112,1,145,0,0,305,1934,145,0 78,0,2024-09-07 08:35:51:753,36031,0.6,36013,0.8,72550,0.5,96341,2.00 78,1,2024-09-07 08:35:50:620,255216,255216,0,0,118827354198,1240160078,252887,2077,252,367,391589,0 78,2,2024-09-07 08:35:51:406,182216,182216,0,0,7683395,0,2114 78,3,2024-09-07 08:35:51:133,1,145,0,0,181,1583,145,0 79,0,2024-09-07 08:35:51:353,38859,0.6,39725,0.8,81188,0.6,105902,2.75 79,1,2024-09-07 08:35:50:572,255927,255927,0,0,119648660183,1243304641,253744,2012,171,369,391682,0 79,2,2024-09-07 08:35:51:069,187656,187656,0,0,7958708,0,3212 79,3,2024-09-07 08:35:50:757,1,145,1,0,418,2853,145,0 80,0,2024-09-07 08:35:51:085,34617,0.7,35432,0.8,68330,0.7,92870,2.25 80,1,2024-09-07 08:35:51:624,254414,254414,0,0,119544180218,1248826690,251905,2350,159,368,391673,0 80,2,2024-09-07 08:35:51:092,185144,185144,0,0,8300877,0,4433 80,3,2024-09-07 08:35:50:586,1,145,27,0,190,2544,145,0 81,0,2024-09-07 08:35:51:537,33964,0.5,34998,0.6,67004,0.4,90130,1.75 81,1,2024-09-07 08:35:51:650,254807,254807,0,0,118305175344,1241064377,252332,2203,272,382,391879,0 81,2,2024-09-07 08:35:51:129,180140,180140,0,0,8730321,0,3993 81,3,2024-09-07 08:35:51:126,1,145,14,0,193,1536,145,0 82,0,2024-09-07 08:35:51:543,34989,0.5,35232,0.8,70671,0.5,94224,2.00 82,1,2024-09-07 08:35:50:604,255213,255209,0,4,119604350447,1250892905,252769,1864,576,382,391558,4 82,2,2024-09-07 08:35:51:695,181689,181689,0,0,8001687,0,3986 82,3,2024-09-07 08:35:51:755,1,145,1,0,227,1788,145,0 83,0,2024-09-07 08:35:51:526,39680,0.8,39572,0.9,78350,0.9,105085,2.25 83,1,2024-09-07 08:35:50:553,255035,255035,0,0,118663344634,1243311739,252603,2229,203,382,391584,0 83,2,2024-09-07 08:35:50:764,185567,185567,0,0,7954411,0,3119 83,3,2024-09-07 08:35:50:748,1,145,3,0,241,1726,145,0 84,0,2024-09-07 08:35:51:805,34209,1.8,33916,1.5,68370,1.4,91851,3.00 84,1,2024-09-07 08:35:51:070,254179,254179,0,0,119280354793,1253726608,250801,2924,454,368,391852,0 84,2,2024-09-07 08:35:50:575,184468,184468,0,0,9066002,0,3801 84,3,2024-09-07 08:35:51:142,1,145,1,0,236,1610,145,0 85,0,2024-09-07 08:35:51:032,33628,0.5,33626,0.7,71275,0.4,92182,2.25 85,1,2024-09-07 08:35:50:563,253903,253903,0,0,118617533535,1262009117,248509,4318,1076,382,391679,0 85,2,2024-09-07 08:35:50:870,183202,183202,0,0,9816960,0,3656 85,3,2024-09-07 08:35:50:686,1,145,789,0,789,2273,145,0 86,0,2024-09-07 08:35:50:925,34556,0.6,35549,0.7,68051,0.6,92111,2.00 86,1,2024-09-07 08:35:50:834,254608,254608,0,0,118617343327,1247655321,250668,3397,543,366,391961,0 86,2,2024-09-07 08:35:50:864,179109,179108,1,0,9920593,0,5004 86,3,2024-09-07 08:35:50:597,1,145,16,0,286,2303,145,0 87,0,2024-09-07 08:35:51:315,39042,1.8,39045,1.2,78095,2.8,105479,2.50 87,1,2024-09-07 08:35:50:578,254219,254219,0,0,119604019764,1257328126,251013,2815,391,366,391788,0 87,2,2024-09-07 08:35:51:074,183027,183027,0,0,8383056,0,3515 87,3,2024-09-07 08:35:51:797,1,145,9,0,335,2626,145,0 88,0,2024-09-07 08:35:51:477,36278,0.8,36392,0.8,72618,0.9,96772,2.00 88,1,2024-09-07 08:35:50:581,253642,253642,0,0,118958642947,1253328893,249630,2847,1165,365,391787,0 88,2,2024-09-07 08:35:50:687,185723,185723,0,0,9728877,0,3583 88,3,2024-09-07 08:35:51:271,1,145,1,0,435,2048,145,0 89,0,2024-09-07 08:35:51:889,37111,0.4,35922,0.7,71110,0.4,96472,1.75 89,1,2024-09-07 08:35:50:569,253330,253330,0,0,119075699162,1264920521,247908,4489,933,382,391866,0 89,2,2024-09-07 08:35:51:133,183957,183957,0,0,9483856,0,2910 89,3,2024-09-07 08:35:51:800,1,145,22,0,325,3037,145,0 90,0,2024-09-07 08:35:51:637,33984,0.4,34699,0.5,71339,0.3,92503,1.75 90,1,2024-09-07 08:35:50:591,255089,255089,0,0,119077553512,1254078737,251876,2957,256,382,391825,0 90,2,2024-09-07 08:35:51:406,178855,178855,0,0,10120289,0,3060 90,3,2024-09-07 08:35:50:937,1,145,6,0,200,1822,145,0 91,0,2024-09-07 08:35:50:977,37992,0.5,36683,0.7,76412,0.5,101134,1.75 91,1,2024-09-07 08:35:50:557,254474,254474,0,0,119537836493,1259702765,250746,3233,495,381,391960,0 91,2,2024-09-07 08:35:51:341,180432,180432,0,0,9585207,0,2445 91,3,2024-09-07 08:35:50:612,1,145,1,0,216,1835,145,0 92,0,2024-09-07 08:35:51:473,39624,0.9,40568,0.9,77690,1.0,104701,2.00 92,1,2024-09-07 08:35:50:580,255011,255011,0,0,119401803330,1250967137,252858,1753,400,382,391717,0 92,2,2024-09-07 08:35:51:350,188509,188509,0,0,8226135,0,2801 92,3,2024-09-07 08:35:51:009,1,145,1,0,68,1292,145,0 93,0,2024-09-07 08:35:50:974,35892,0.5,36636,0.7,70074,0.4,95393,1.75 93,1,2024-09-07 08:35:50:805,254779,254779,0,0,119434520424,1252069771,251682,2548,549,366,391692,0 93,2,2024-09-07 08:35:50:928,184568,184568,0,0,8078111,0,2509 93,3,2024-09-07 08:35:51:406,1,145,1,0,143,1635,145,0 94,0,2024-09-07 08:35:51:621,34012,0.3,34270,0.5,67912,0.2,90227,1.75 94,1,2024-09-07 08:35:50:587,254988,254988,0,0,119098705160,1249865502,252553,2343,92,381,391850,0 94,2,2024-09-07 08:35:50:774,179782,179782,0,0,7915629,0,2443 94,3,2024-09-07 08:35:51:688,1,145,3,0,264,2337,145,0 95,0,2024-09-07 08:35:51:347,35794,0.4,35769,0.6,71945,0.3,95566,1.75 95,1,2024-09-07 08:35:50:862,255263,255263,0,0,119426906300,1246348074,252899,2183,181,367,391662,0 95,2,2024-09-07 08:35:51:027,181247,181247,0,0,8205229,0,3308 95,3,2024-09-07 08:35:51:709,1,145,22,0,718,2960,145,0 96,0,2024-09-07 08:35:51:214,39951,0.6,39988,0.7,80055,0.6,106377,1.75 96,1,2024-09-07 08:35:51:583,254450,254450,0,0,118755831382,1246535065,251856,1952,642,385,391894,0 96,2,2024-09-07 08:35:51:274,186273,186273,0,0,8755728,0,4042 96,3,2024-09-07 08:35:51:156,1,145,5,0,188,1688,145,0 97,0,2024-09-07 08:35:51:355,34322,0.5,34199,0.6,68450,0.5,91349,1.75 97,1,2024-09-07 08:35:50:777,255099,255099,0,0,119984031857,1251794152,252321,2230,548,367,392140,0 97,2,2024-09-07 08:35:50:622,184336,184336,0,0,7912726,0,3036 97,3,2024-09-07 08:35:50:572,1,145,1,0,165,1687,145,0 98,0,2024-09-07 08:35:51:695,34539,0.2,34268,0.4,68946,0.2,91506,1.50 98,1,2024-09-07 08:35:50:572,255201,255201,0,0,119435719186,1248573317,253392,1722,87,382,391997,0 98,2,2024-09-07 08:35:50:769,182917,182917,0,0,8315357,0,3080 98,3,2024-09-07 08:35:50:699,1,145,7,1,840,3011,145,0 99,0,2024-09-07 08:35:51:457,35214,0.3,35225,0.4,70459,0.3,93910,1.50 99,1,2024-09-07 08:35:51:726,255186,255186,0,0,118701194939,1243996777,252134,2358,694,381,391744,0 99,2,2024-09-07 08:35:51:417,182468,182468,0,0,7926138,0,2615 99,3,2024-09-07 08:35:50:589,1,145,5,0,129,1064,145,0 100,0,2024-09-07 08:35:51:468,39693,1.4,39732,1.5,79344,2.5,106498,2.75 100,1,2024-09-07 08:35:50:554,253050,253050,0,0,118116919733,1257657057,247870,4249,931,381,391989,0 100,2,2024-09-07 08:35:51:817,183621,183610,11,0,9256721,0,5417 100,3,2024-09-07 08:35:51:736,1,145,2,0,559,3462,145,0 101,0,2024-09-07 08:35:51:717,35454,3.4,34515,1.8,67803,4.3,93656,3.25 101,1,2024-09-07 08:35:50:559,253525,253525,0,0,118722856290,1261192079,248177,3872,1476,368,391769,0 101,2,2024-09-07 08:35:51:755,184753,184753,0,0,10235154,0,4644 101,3,2024-09-07 08:35:50:943,1,145,5,0,448,1971,145,0 102,0,2024-09-07 08:35:50:954,34876,0.6,36293,0.8,72643,0.5,94970,2.00 102,1,2024-09-07 08:35:51:149,254343,254343,0,0,118361981266,1250918150,250279,3353,711,369,391831,0 102,2,2024-09-07 08:35:51:737,184597,184543,54,0,9753901,0,6768 102,3,2024-09-07 08:35:51:613,1,145,4,0,410,1643,145,0 103,0,2024-09-07 08:35:51:599,35710,0.4,35696,0.6,67269,0.4,92281,1.75 103,1,2024-09-07 08:35:51:625,253550,253550,0,0,118863272880,1258015168,249164,3178,1208,381,391829,0 103,2,2024-09-07 08:35:50:611,179289,179289,0,0,8498727,0,2104 103,3,2024-09-07 08:35:50:759,1,145,2,0,916,2877,145,0 104,0,2024-09-07 08:35:51:018,38193,1.9,38406,1.3,75595,2.7,103464,2.50 104,1,2024-09-07 08:35:51:601,255149,255149,0,0,118710741776,1257168383,250164,4026,959,366,391948,0 104,2,2024-09-07 08:35:51:671,181330,181330,0,0,9153208,0,3941 104,3,2024-09-07 08:35:51:423,1,145,1,1,1245,5016,145,0 105,0,2024-09-07 08:35:51:046,37045,2.1,36014,1.6,74998,3.0,99312,4.00 105,1,2024-09-07 08:35:50:565,255235,255235,0,0,119111130840,1254734348,251040,3423,772,367,391797,0 105,2,2024-09-07 08:35:51:325,185997,185997,0,0,8889832,0,3509 105,3,2024-09-07 08:35:51:309,1,145,1,1,399,2243,145,0 106,0,2024-09-07 08:35:50:971,34854,0.7,35753,0.9,72834,0.7,95297,2.25 106,1,2024-09-07 08:35:51:756,254310,254310,0,0,119096635888,1253476244,250552,3374,384,369,391767,0 106,2,2024-09-07 08:35:50:760,183958,183958,0,0,9092297,0,2795 106,3,2024-09-07 08:35:50:678,1,145,2,0,405,2304,145,0 107,0,2024-09-07 08:35:51:120,34899,0.4,34876,0.6,69331,0.3,92755,1.75 107,1,2024-09-07 08:35:50:592,254133,254133,0,0,118473686790,1248974547,251168,2737,228,381,392234,0 107,2,2024-09-07 08:35:51:292,178985,178984,1,0,9041207,0,5024 107,3,2024-09-07 08:35:51:757,1,145,1,0,353,1825,145,0 108,0,2024-09-07 08:35:51:778,36289,0.4,36470,0.6,72258,0.4,96877,1.75 108,1,2024-09-07 08:35:51:298,254635,254635,0,0,119256359876,1248944289,251987,2338,310,368,391857,0 108,2,2024-09-07 08:35:51:756,180648,180648,0,0,8644034,0,2647 108,3,2024-09-07 08:35:51:330,1,145,1,0,667,3173,145,0 109,0,2024-09-07 08:35:51:767,40281,0.5,40156,0.7,80040,0.5,107558,1.75 109,1,2024-09-07 08:35:50:595,254231,254231,0,0,119496208812,1258399850,251462,2274,495,383,391812,0 109,2,2024-09-07 08:35:50:922,186267,186267,0,0,9006345,0,3617 109,3,2024-09-07 08:35:51:145,1,145,5,1,249,2193,145,0 110,0,2024-09-07 08:35:51:756,34984,0.4,33938,0.7,70918,0.4,93173,1.75 110,1,2024-09-07 08:35:51:649,255074,255074,0,0,119359824013,1246432489,252466,1844,764,370,391667,0 110,2,2024-09-07 08:35:51:319,185176,185176,0,0,7948342,0,2915 110,3,2024-09-07 08:35:50:694,1,145,1,0,406,2137,145,0 111,0,2024-09-07 08:35:51:414,34106,0.2,33662,0.4,67321,0.1,90351,1.50 111,1,2024-09-07 08:35:51:007,255690,255690,0,0,120199618603,1250906501,253916,1442,332,382,391690,0 111,2,2024-09-07 08:35:51:115,180627,180627,0,0,8749851,0,2763 111,3,2024-09-07 08:35:50:914,1,145,1,0,379,2506,145,0 112,0,2024-09-07 08:35:50:913,35363,0.3,35314,0.5,70720,0.2,94506,1.50 112,1,2024-09-07 08:35:50:827,255873,255873,0,0,120136480870,1252599391,253548,1900,425,381,391580,0 112,2,2024-09-07 08:35:51:134,181164,181163,1,0,8665630,0,5036 112,3,2024-09-07 08:35:50:592,1,145,1,0,282,1802,145,0 113,0,2024-09-07 08:35:50:867,39451,0.4,39253,0.6,79437,0.4,106160,1.75 113,1,2024-09-07 08:35:51:693,255748,255748,0,0,119717002271,1243485873,253335,1925,488,366,391661,0 113,2,2024-09-07 08:35:51:310,186943,186943,0,0,7611862,0,3813 113,3,2024-09-07 08:35:50:686,1,145,81,0,288,2336,145,0 114,0,2024-09-07 08:35:50:877,34999,0.8,35567,0.7,69607,0.5,93538,2.00 114,1,2024-09-07 08:35:50:718,255385,255385,0,0,119677901268,1257820312,251259,2663,1463,381,391534,0 114,2,2024-09-07 08:35:50:872,184275,184275,0,0,7891630,0,3925 114,3,2024-09-07 08:35:51:280,1,145,1,0,395,1949,145,0 115,0,2024-09-07 08:35:50:582,34837,0.2,35059,0.4,70259,0.2,92971,1.50 115,1,2024-09-07 08:35:50:573,255254,255254,0,0,119643400333,1251977436,251615,2818,821,382,391602,0 115,2,2024-09-07 08:35:51:131,184053,184053,0,0,7455783,0,2152 115,3,2024-09-07 08:35:51:008,1,145,3,0,159,1054,145,0 116,0,2024-09-07 08:35:51:731,34711,0.6,34284,0.8,68940,0.7,92088,2.00 116,1,2024-09-07 08:35:50:813,253366,253366,0,0,118165896590,1261302108,248492,3121,1753,382,391677,0 116,2,2024-09-07 08:35:51:756,179273,179273,0,0,10226298,0,3529 116,3,2024-09-07 08:35:50:912,1,145,2,0,252,2147,145,0 117,0,2024-09-07 08:35:50:959,39008,1.7,39119,1.2,77976,2.6,105414,2.25 117,1,2024-09-07 08:35:51:578,254454,254454,0,0,118676234051,1249126759,250806,3221,427,370,392033,0 117,2,2024-09-07 08:35:51:121,184055,184055,0,0,8168496,0,3700 117,3,2024-09-07 08:35:51:060,1,145,1,0,490,3138,145,0 118,0,2024-09-07 08:35:51:770,35246,1.1,36321,1.0,73795,1.3,96040,2.25 118,1,2024-09-07 08:35:50:604,254203,254203,0,0,118638216763,1257507450,249384,3500,1319,368,391736,0 118,2,2024-09-07 08:35:51:586,185400,185400,0,0,9218087,0,2781 118,3,2024-09-07 08:35:51:778,1,145,1,0,235,2016,145,0 119,0,2024-09-07 08:35:51:332,35666,0.7,35801,0.9,72512,0.7,95531,2.00 119,1,2024-09-07 08:35:50:561,254851,254851,0,0,119545396378,1251121228,252401,2163,287,370,391641,0 119,2,2024-09-07 08:35:51:263,183702,183702,0,0,8732592,0,3526 119,3,2024-09-07 08:35:51:324,1,145,1,0,443,2799,145,0 120,0,2024-09-07 08:35:51:567,34728,0.5,34855,0.7,69528,0.4,92679,2.00 120,1,2024-09-07 08:35:50:861,255070,255070,0,0,118476035211,1247706782,251971,2840,259,368,391961,0 120,2,2024-09-07 08:35:50:775,178992,178991,1,0,10611396,0,5281 120,3,2024-09-07 08:35:51:290,1,145,2,0,241,2143,145,0 121,0,2024-09-07 08:35:51:707,37242,1.9,37740,1.3,75033,3.1,100644,2.50 121,1,2024-09-07 08:35:51:665,254942,254942,0,0,118937270949,1247612171,252218,2436,288,367,391840,0 121,2,2024-09-07 08:35:51:134,180522,180522,0,0,9615630,0,4127 121,3,2024-09-07 08:35:50:730,1,145,23,0,269,2128,145,0 122,0,2024-09-07 08:35:51:791,39023,1.3,37969,1.2,79597,1.5,104714,2.25 122,1,2024-09-07 08:35:50:860,253810,253810,0,0,118336837978,1248665328,249680,3425,705,366,392130,0 122,2,2024-09-07 08:35:51:319,186767,186767,0,0,10774787,0,3364 122,3,2024-09-07 08:35:50:596,1,145,2,0,411,3691,145,0 123,0,2024-09-07 08:35:50:971,35655,0.8,34696,0.9,72692,1.0,94926,2.25 123,1,2024-09-07 08:35:50:567,255242,255242,0,0,118989383564,1258449306,250171,4377,694,369,391823,0 123,2,2024-09-07 08:35:51:019,183083,183082,1,0,9267607,0,5215 123,3,2024-09-07 08:35:51:146,1,145,1,0,168,1925,145,0 124,0,2024-09-07 08:35:50:987,35004,0.3,34932,0.5,65973,0.2,90577,1.50 124,1,2024-09-07 08:35:51:025,254874,254874,0,0,119056553578,1240928011,252795,1724,355,367,392178,0 124,2,2024-09-07 08:35:51:022,180388,180388,0,0,7963175,0,3101 124,3,2024-09-07 08:35:50:774,1,145,5,0,490,2183,145,0 125,0,2024-09-07 08:35:51:476,35919,0.4,35907,0.6,71977,0.4,95700,1.75 125,1,2024-09-07 08:35:50:856,255039,255039,0,0,119813190350,1255030144,252681,2083,275,384,391702,0 125,2,2024-09-07 08:35:51:128,181579,181579,0,0,7933547,0,2180 125,3,2024-09-07 08:35:51:135,1,145,2,0,284,2000,145,0 126,0,2024-09-07 08:35:51:446,39973,0.8,41038,0.8,78409,0.9,107126,2.00 126,1,2024-09-07 08:35:50:609,255346,255346,0,0,119683303007,1244410267,253722,1546,78,365,391987,0 126,2,2024-09-07 08:35:50:625,186916,186916,0,0,8318866,0,3186 126,3,2024-09-07 08:35:50:909,1,145,1,0,150,2210,145,0 127,0,2024-09-07 08:35:51:600,34044,0.4,34324,0.6,68451,0.5,91322,1.75 127,1,2024-09-07 08:35:50:568,254729,254729,0,0,119045533864,1237523509,252672,2017,40,365,391816,0 127,2,2024-09-07 08:35:50:638,184436,184436,0,0,7791895,0,2264 127,3,2024-09-07 08:35:51:281,1,145,21,0,243,1385,145,0 128,0,2024-09-07 08:35:51:540,34657,0.3,34482,0.4,68814,0.2,91518,1.50 128,1,2024-09-07 08:35:51:628,255506,255506,0,0,119729287053,1242766841,254534,900,72,367,391680,0 128,2,2024-09-07 08:35:51:392,181845,181845,0,0,7823028,0,2107 128,3,2024-09-07 08:35:50:777,1,145,5,0,333,2239,145,0 129,0,2024-09-07 08:35:51:081,35503,0.3,35274,0.5,70477,0.3,93754,1.75 129,1,2024-09-07 08:35:50:567,254455,254455,0,0,118491738112,1245733456,251534,2529,392,379,391835,0 129,2,2024-09-07 08:35:50:687,180911,180911,0,0,8103131,0,4031 129,3,2024-09-07 08:35:50:690,1,145,1,0,173,1872,145,0 130,0,2024-09-07 08:35:51:722,40180,0.9,39906,0.8,80178,1.1,107447,2.00 130,1,2024-09-07 08:35:50:588,255296,255296,0,0,118911777031,1243246634,253195,2030,71,381,391825,0 130,2,2024-09-07 08:35:51:132,184668,184668,0,0,8002890,0,4067 130,3,2024-09-07 08:35:51:302,1,145,8,0,207,1338,145,0 131,0,2024-09-07 08:35:51:959,35126,0.7,35237,0.7,71265,0.8,94064,1.75 131,1,2024-09-07 08:35:51:820,256262,256262,0,0,119543569954,1246897251,254801,1255,206,384,391865,0 131,2,2024-09-07 08:35:50:575,185524,185524,0,0,7582100,0,2415 131,3,2024-09-07 08:35:51:688,1,145,5,0,392,1774,145,0 132,0,2024-09-07 08:35:51:469,35452,0.5,35919,0.7,71988,0.4,95404,2.00 132,1,2024-09-07 08:35:50:580,253593,253593,0,0,118884440887,1262806703,248194,4369,1030,381,391760,0 132,2,2024-09-07 08:35:50:706,183748,183748,0,0,10518024,0,4606 132,3,2024-09-07 08:35:51:696,1,145,6,0,356,2808,145,0 133,0,2024-09-07 08:35:51:548,33846,0.4,34561,0.6,70876,0.4,91441,2.00 133,1,2024-09-07 08:35:50:617,253245,253245,0,0,118939339710,1260998170,248747,3860,638,383,391914,0 133,2,2024-09-07 08:35:51:115,179435,179435,0,0,10414870,0,4315 133,3,2024-09-07 08:35:51:298,1,145,0,0,187,1356,145,0 134,0,2024-09-07 08:35:50:948,38560,0.6,38370,0.8,77470,0.7,103283,2.00 134,1,2024-09-07 08:35:50:604,254018,254018,0,0,118768000075,1251211191,249668,3128,1222,366,391718,0 134,2,2024-09-07 08:35:51:789,181685,181685,0,0,8631442,0,3096 134,3,2024-09-07 08:35:50:749,1,145,1,0,739,2865,145,0 135,0,2024-09-07 08:35:51:109,36488,1.8,36368,1.4,77081,2.2,99015,2.50 135,1,2024-09-07 08:35:51:585,253892,253892,0,0,118724135969,1254373760,249708,3483,701,380,391725,0 135,2,2024-09-07 08:35:50:687,186901,186901,0,0,9509935,0,3981 135,3,2024-09-07 08:35:51:007,1,145,1,0,89,854,145,0 136,0,2024-09-07 08:35:51:630,36203,0.6,36043,0.8,72592,0.5,96221,2.00 136,1,2024-09-07 08:35:51:451,254184,254184,0,0,119351337808,1254054111,250925,3086,173,384,391641,0 136,2,2024-09-07 08:35:51:141,185595,185595,0,0,9108970,0,3506 136,3,2024-09-07 08:35:51:114,1,145,1,0,108,1280,145,0 137,0,2024-09-07 08:35:50:947,35746,0.5,34750,0.7,68579,0.4,92909,2.00 137,1,2024-09-07 08:35:50:579,254553,254553,0,0,119298528426,1252836273,250516,3618,419,367,391608,0 137,2,2024-09-07 08:35:51:715,178995,178995,0,0,10311700,0,3185 137,3,2024-09-07 08:35:50:771,1,145,7,1,227,1817,145,0 138,0,2024-09-07 08:35:51:755,35761,1.6,35817,1.2,72342,2.2,96639,2.50 138,1,2024-09-07 08:35:51:688,254879,254879,0,0,119251367860,1250627405,251847,2682,350,368,391954,0 138,2,2024-09-07 08:35:50:588,182084,182084,0,0,8377022,0,3263 138,3,2024-09-07 08:35:50:615,1,145,4,0,1160,2697,145,0 139,0,2024-09-07 08:35:51:363,39148,3.1,39304,1.8,79214,4.4,106271,3.25 139,1,2024-09-07 08:35:50:578,253659,253659,0,0,117889052210,1255665308,248605,3740,1314,381,391892,0 139,2,2024-09-07 08:35:50:694,185395,185395,0,0,9660738,0,3097 139,3,2024-09-07 08:35:51:664,1,145,1,0,244,1755,145,0 140,0,2024-09-07 08:35:51:615,35093,0.4,34821,0.6,70051,0.3,93230,1.75 140,1,2024-09-07 08:35:51:545,256008,256008,0,0,119804970408,1239345035,254493,1275,240,365,391606,0 140,2,2024-09-07 08:35:50:686,184925,184925,0,0,8336605,0,3388 140,3,2024-09-07 08:35:50:773,1,145,1,0,247,1409,145,0 141,0,2024-09-07 08:35:51:702,33635,0.2,34783,0.4,66659,0.1,90284,1.50 141,1,2024-09-07 08:35:50:859,255560,255560,0,0,119761846928,1246568460,253622,1585,353,379,391614,0 141,2,2024-09-07 08:35:51:686,181030,181030,0,0,7819087,0,2342 141,3,2024-09-07 08:35:51:051,1,145,2,0,147,1281,145,0 142,0,2024-09-07 08:35:51:326,35721,0.3,35501,0.5,70420,0.3,94605,1.75 142,1,2024-09-07 08:35:50:603,255284,255284,0,0,119206604256,1245738513,253746,1379,159,383,391649,0 142,2,2024-09-07 08:35:51:299,179750,179718,32,0,9057729,0,6028 142,3,2024-09-07 08:35:51:761,1,145,1,0,484,2115,145,0 143,0,2024-09-07 08:35:51:404,39697,0.8,39626,0.8,79856,0.9,106065,2.00 143,1,2024-09-07 08:35:50:559,255147,255147,0,0,119259186454,1241626538,253044,2061,42,367,391619,0 143,2,2024-09-07 08:35:50:792,185704,185704,0,0,8393216,0,2669 143,3,2024-09-07 08:35:51:203,1,145,25,0,303,2546,145,0 144,0,2024-09-07 08:35:51:495,33429,1.1,34322,1.7,69662,1.3,92190,2.25 144,1,2024-09-07 08:35:50:570,254331,254331,0,0,119288401338,1255218337,251945,2183,203,381,391649,0 144,2,2024-09-07 08:35:51:756,185127,185127,0,0,8112577,0,3473 144,3,2024-09-07 08:35:51:739,1,145,2,0,249,2025,145,0 145,0,2024-09-07 08:35:51:371,33739,0.6,33683,0.8,71475,0.5,92464,2.00 145,1,2024-09-07 08:35:50:554,252988,252988,0,0,118274311429,1252636638,248603,3651,734,383,391615,0 145,2,2024-09-07 08:35:51:436,183027,183027,0,0,9111479,0,3903 145,3,2024-09-07 08:35:50:900,1,145,0,0,151,1770,145,0 146,0,2024-09-07 08:35:51:615,34428,0.5,34255,0.7,69270,0.4,91751,2.00 146,1,2024-09-07 08:35:51:589,255199,255199,0,0,118682342168,1249472242,250755,3585,859,368,391629,0 146,2,2024-09-07 08:35:51:697,180515,180515,0,0,8605694,0,2498 146,3,2024-09-07 08:35:51:284,1,145,2,0,1520,5121,145,0 147,0,2024-09-07 08:35:51:721,39141,1.1,38980,1.1,77435,1.1,105190,2.50 147,1,2024-09-07 08:35:51:380,255878,255878,0,0,119580401839,1249978469,252783,2601,494,368,391791,0 147,2,2024-09-07 08:35:51:020,183889,183889,0,0,8218576,0,2789 147,3,2024-09-07 08:35:50:915,1,145,1,0,371,1956,145,0 0,0,2024-09-07 08:36:01:733,33874,0.5,33963,0.7,71730,0.4,92560,1.75 0,1,2024-09-07 08:36:00:801,256658,256658,0,0,120467872833,1263754725,254695,1828,135,372,391692,0 0,2,2024-09-07 08:36:01:067,180740,180740,0,0,8414676,0,4480 0,3,2024-09-07 08:36:00:974,1,146,6,0,247,2018,146,0 1,0,2024-09-07 08:36:01:770,38077,1.6,37734,1.3,75671,2.2,101734,2.50 1,1,2024-09-07 08:36:00:568,255966,255966,0,0,119908327854,1262601852,252533,2566,867,372,391857,0 1,2,2024-09-07 08:36:00:691,182224,182224,0,0,7639886,0,3267 1,3,2024-09-07 08:36:01:303,1,146,6,0,262,1902,146,0 2,0,2024-09-07 08:36:01:567,39160,1.2,39275,1.1,78055,1.8,104094,2.25 2,1,2024-09-07 08:36:00:859,256276,256276,0,0,120151322973,1252097899,254830,1240,206,380,391745,0 2,2,2024-09-07 08:36:01:266,187781,187781,0,0,8532813,0,3594 2,3,2024-09-07 08:36:00:691,1,146,0,0,357,1667,146,0 3,0,2024-09-07 08:36:01:760,35520,0.5,35660,0.7,71462,0.5,94859,2.00 3,1,2024-09-07 08:36:01:627,256166,256166,0,0,119144551385,1248217134,253058,2684,424,380,391516,0 3,2,2024-09-07 08:36:01:142,185861,185838,23,0,8461162,0,5851 3,3,2024-09-07 08:36:01:752,1,146,10,0,103,981,146,0 4,0,2024-09-07 08:36:01:794,33290,0.3,34135,0.5,69438,0.2,91223,1.75 4,1,2024-09-07 08:36:00:614,256552,256552,0,0,119336649292,1257950976,253591,2440,521,371,391846,0 4,2,2024-09-07 08:36:01:021,179987,179987,0,0,9887402,0,4528 4,3,2024-09-07 08:36:01:027,1,146,1,0,287,2130,146,0 5,0,2024-09-07 08:36:01:378,36142,0.5,36090,0.7,72360,0.6,96174,1.75 5,1,2024-09-07 08:36:00:763,255697,255697,0,0,119712561362,1266126689,251526,3185,986,368,392005,0 5,2,2024-09-07 08:36:01:831,182359,182359,0,0,8440275,0,2259 5,3,2024-09-07 08:36:01:742,1,146,12,0,238,2325,146,0 6,0,2024-09-07 08:36:00:924,40760,1.0,40298,1.1,80062,1.4,108356,2.50 6,1,2024-09-07 08:36:00:746,256799,256799,0,0,120288628504,1263716370,253417,2735,647,381,391617,0 6,2,2024-09-07 08:36:01:119,188458,188458,0,0,8826954,0,4816 6,3,2024-09-07 08:36:01:283,1,146,3,0,340,2244,146,0 7,0,2024-09-07 08:36:01:543,33937,0.6,33961,0.8,68137,0.5,91106,2.00 7,1,2024-09-07 08:36:00:854,256542,256542,0,0,120204070987,1261577015,253824,2587,131,382,391664,0 7,2,2024-09-07 08:36:00:773,185413,185413,0,0,7951290,0,2981 7,3,2024-09-07 08:36:00:855,1,146,1,0,305,1572,146,0 8,0,2024-09-07 08:36:01:367,34499,0.3,34665,0.4,68717,0.2,91793,1.50 8,1,2024-09-07 08:36:01:029,256098,256098,0,0,119858682110,1271402357,250498,4001,1599,367,391956,0 8,2,2024-09-07 08:36:00:812,180423,180423,0,0,9622995,0,2986 8,3,2024-09-07 08:36:00:589,1,146,4,0,357,2522,146,0 9,0,2024-09-07 08:36:01:107,35523,0.3,34507,0.5,71908,0.3,94240,1.75 9,1,2024-09-07 08:36:00:550,255772,255772,0,0,120225053271,1272795417,251287,3359,1126,370,391753,0 9,2,2024-09-07 08:36:01:083,181891,181891,0,0,8897146,0,3360 9,3,2024-09-07 08:36:01:752,1,146,29,0,496,2589,146,0 10,0,2024-09-07 08:36:01:604,40426,0.4,40218,0.6,80848,0.3,107800,1.75 10,1,2024-09-07 08:36:00:590,256151,256151,0,0,119181057160,1255491143,251681,3829,641,381,391741,0 10,2,2024-09-07 08:36:00:762,186464,186464,0,0,9240313,0,2940 10,3,2024-09-07 08:36:00:874,1,146,1,0,177,1182,146,0 11,0,2024-09-07 08:36:01:015,34504,1.1,33669,1.1,70638,1.8,94089,2.50 11,1,2024-09-07 08:36:00:578,256159,256159,0,0,119727336504,1264353053,250858,4031,1270,384,391537,0 11,2,2024-09-07 08:36:01:122,185606,185606,0,0,8978411,0,3411 11,3,2024-09-07 08:36:01:316,1,146,9,0,720,2796,146,0 12,0,2024-09-07 08:36:00:973,36277,0.3,35944,0.5,72268,0.2,95611,1.50 12,1,2024-09-07 08:36:00:940,256297,256297,0,0,119424484865,1252295115,253422,2465,410,370,391837,0 12,2,2024-09-07 08:36:01:553,185895,185895,0,0,9256911,0,3469 12,3,2024-09-07 08:36:01:065,1,146,48,0,358,2560,146,0 13,0,2024-09-07 08:36:01:342,34844,0.4,34903,0.5,69661,0.3,92367,1.75 13,1,2024-09-07 08:36:01:545,256380,256380,0,0,119746464679,1260444668,253966,2006,408,382,391717,0 13,2,2024-09-07 08:36:00:607,182000,182000,0,0,8376077,0,3287 13,3,2024-09-07 08:36:01:762,1,146,1,0,467,3126,146,0 14,0,2024-09-07 08:36:00:566,39204,0.5,39353,0.7,77895,0.5,104660,1.75 14,1,2024-09-07 08:36:01:565,257674,257674,0,0,120015034940,1249095532,255409,2102,163,364,391571,0 14,2,2024-09-07 08:36:00:768,183452,183452,0,0,8582669,0,2896 14,3,2024-09-07 08:36:01:115,1,146,1,0,1168,2861,146,0 15,0,2024-09-07 08:36:01:563,37401,1.3,37420,1.2,75196,1.8,99741,2.75 15,1,2024-09-07 08:36:01:618,256475,256475,0,0,120192173519,1254700030,254563,1803,109,381,391619,0 15,2,2024-09-07 08:36:00:998,187895,187895,0,0,7277893,0,3043 15,3,2024-09-07 08:36:01:416,1,146,5,0,1126,4279,146,0 16,0,2024-09-07 08:36:00:985,36160,0.5,36489,0.8,72290,0.5,96415,2.00 16,1,2024-09-07 08:36:00:588,256868,256868,0,0,120082202294,1259144573,254652,1955,261,372,391756,0 16,2,2024-09-07 08:36:01:438,185315,185315,0,0,9514275,0,4719 16,3,2024-09-07 08:36:01:146,1,146,11,0,231,2157,146,0 17,0,2024-09-07 08:36:01:782,36086,0.4,35201,0.6,69058,0.4,93331,1.75 17,1,2024-09-07 08:36:00:597,256050,256050,0,0,119686404947,1262890290,252699,2680,671,368,391688,0 17,2,2024-09-07 08:36:01:679,182478,182478,0,0,8351991,0,2857 17,3,2024-09-07 08:36:00:584,1,146,14,0,268,2436,146,0 18,0,2024-09-07 08:36:00:957,35941,0.9,36284,1.0,72642,1.1,97124,2.25 18,1,2024-09-07 08:36:01:637,256229,256229,0,0,119886161058,1248237916,254235,1760,234,368,391564,0 18,2,2024-09-07 08:36:01:759,182492,182492,0,0,8437917,0,3541 18,3,2024-09-07 08:36:00:897,1,146,1,0,163,1702,146,0 19,0,2024-09-07 08:36:01:555,39873,1.2,40274,1.1,79441,1.5,105748,2.75 19,1,2024-09-07 08:36:00:568,257066,257066,0,0,120398022278,1260273411,253253,3097,716,367,391777,0 19,2,2024-09-07 08:36:01:753,189070,189070,0,0,7761541,0,3988 19,3,2024-09-07 08:36:01:129,1,146,0,0,524,1468,146,0 20,0,2024-09-07 08:36:01:382,35099,0.7,34958,0.8,70186,0.7,93664,2.25 20,1,2024-09-07 08:36:00:576,256128,256128,0,0,120011452010,1263322119,252694,3044,390,369,391822,0 20,2,2024-09-07 08:36:00:936,186124,186124,0,0,8640177,0,3721 20,3,2024-09-07 08:36:00:640,1,146,2,0,414,2789,146,0 21,0,2024-09-07 08:36:01:149,34401,0.3,34403,0.5,68376,0.3,90677,1.75 21,1,2024-09-07 08:36:01:544,255752,255752,0,0,119403163816,1261342626,252128,3093,531,368,391962,0 21,2,2024-09-07 08:36:01:068,181182,181182,0,0,9195168,0,3747 21,3,2024-09-07 08:36:01:415,1,146,1,0,103,1893,146,0 22,0,2024-09-07 08:36:01:751,35522,0.7,35528,0.9,70597,0.8,94872,2.25 22,1,2024-09-07 08:36:01:023,255663,255663,0,0,119131545469,1262916121,250158,3996,1509,382,391667,0 22,2,2024-09-07 08:36:00:762,182303,182303,0,0,7926561,0,3134 22,3,2024-09-07 08:36:01:069,1,146,85,0,228,1687,146,0 23,0,2024-09-07 08:36:01:373,39549,0.8,39647,0.9,79744,0.8,106366,2.25 23,1,2024-09-07 08:36:01:004,256661,256661,0,0,119881645538,1259231271,252567,2612,1482,365,391549,0 23,2,2024-09-07 08:36:01:093,187009,187009,0,0,8306550,0,3010 23,3,2024-09-07 08:36:01:754,1,146,6,0,645,1742,146,0 24,0,2024-09-07 08:36:00:816,35349,0.6,35404,0.7,70946,0.6,94325,1.75 24,1,2024-09-07 08:36:00:580,256634,256634,0,0,119739967755,1257040564,253683,2152,799,369,391640,0 24,2,2024-09-07 08:36:01:076,184652,184652,0,0,9623732,0,2942 24,3,2024-09-07 08:36:01:690,1,146,1,0,234,1734,146,0 25,0,2024-09-07 08:36:01:382,36033,0.4,35102,0.6,68905,0.3,93645,1.75 25,1,2024-09-07 08:36:00:569,256730,256730,0,0,119783448814,1262030830,253049,3138,543,371,391788,0 25,2,2024-09-07 08:36:01:635,183076,183076,0,0,9641857,0,3978 25,3,2024-09-07 08:36:01:011,1,146,6,0,158,1703,146,0 26,0,2024-09-07 08:36:01:726,34560,0.4,33820,0.5,71076,0.3,92975,1.75 26,1,2024-09-07 08:36:01:542,256751,256751,0,0,119648358403,1261329221,252092,3758,901,381,391564,0 26,2,2024-09-07 08:36:00:861,182135,182135,0,0,8619001,0,2809 26,3,2024-09-07 08:36:01:714,1,146,4,0,796,2154,146,0 27,0,2024-09-07 08:36:01:730,39936,0.5,39970,0.7,79308,0.5,106744,1.75 27,1,2024-09-07 08:36:01:680,257929,257929,0,0,120320001359,1252538225,256112,1494,323,381,391539,0 27,2,2024-09-07 08:36:00:867,183488,183488,0,0,9506190,0,3409 27,3,2024-09-07 08:36:01:018,1,146,0,0,564,1914,146,0 28,0,2024-09-07 08:36:01:389,35954,1.0,36327,0.9,72988,1.3,97001,2.50 28,1,2024-09-07 08:36:00:804,256786,256786,0,0,120762771044,1262476190,254626,1824,336,383,391646,0 28,2,2024-09-07 08:36:01:763,187283,187283,0,0,7657857,0,2915 28,3,2024-09-07 08:36:01:776,1,146,1,0,502,1970,146,0 29,0,2024-09-07 08:36:01:380,36971,0.4,36118,0.6,70657,0.3,96497,1.75 29,1,2024-09-07 08:36:01:564,257829,257829,0,0,120386891314,1251465487,255403,1883,543,369,391621,0 29,2,2024-09-07 08:36:00:864,185813,185813,0,0,7437421,0,4018 29,3,2024-09-07 08:36:00:963,1,146,2,0,105,1189,146,0 30,0,2024-09-07 08:36:01:456,34860,0.5,33889,0.7,70957,0.5,92616,2.00 30,1,2024-09-07 08:36:00:578,257413,257413,0,0,120190208433,1252064963,255427,1708,278,382,391672,0 30,2,2024-09-07 08:36:01:275,181994,181994,0,0,7396710,0,3161 30,3,2024-09-07 08:36:00:580,1,146,0,0,195,1154,146,0 31,0,2024-09-07 08:36:01:766,37566,0.6,37900,0.8,76356,0.6,101505,2.00 31,1,2024-09-07 08:36:00:564,258140,258140,0,0,120040644713,1233306059,257340,688,112,356,391553,0 31,2,2024-09-07 08:36:01:282,182598,182598,0,0,9181076,0,3525 31,3,2024-09-07 08:36:01:939,1,146,1,0,220,1173,146,0 32,0,2024-09-07 08:36:01:422,39194,0.6,39580,0.7,79147,0.5,104802,1.75 32,1,2024-09-07 08:36:00:817,257340,257340,0,0,120546684573,1255130699,255598,1467,275,382,391595,0 32,2,2024-09-07 08:36:00:935,188131,188131,0,0,7548827,0,3155 32,3,2024-09-07 08:36:01:031,1,146,1,0,227,1444,146,0 33,0,2024-09-07 08:36:01:504,36190,0.4,35600,0.6,71978,0.3,95863,1.75 33,1,2024-09-07 08:36:00:576,257826,257826,0,0,120873493720,1255236219,255568,2086,172,369,391730,0 33,2,2024-09-07 08:36:00:759,185855,185822,33,0,9364348,0,7012 33,3,2024-09-07 08:36:00:898,1,146,1,0,329,1821,146,0 34,0,2024-09-07 08:36:00:933,34271,0.3,35399,0.4,67828,0.2,91356,1.50 34,1,2024-09-07 08:36:01:050,258056,258056,0,0,121031738593,1249359763,257061,989,6,367,391562,0 34,2,2024-09-07 08:36:00:773,180195,180195,0,0,8237198,0,3577 34,3,2024-09-07 08:36:01:688,1,146,7,0,148,962,146,0 35,0,2024-09-07 08:36:00:887,35766,0.5,36191,0.6,72251,0.4,96348,1.75 35,1,2024-09-07 08:36:01:068,257093,257093,0,0,120155402769,1252329786,254294,2029,770,384,391589,0 35,2,2024-09-07 08:36:01:589,182244,182244,0,0,7592404,0,2653 35,3,2024-09-07 08:36:00:907,1,146,1,0,418,1921,146,0 36,0,2024-09-07 08:36:01:524,40347,1.1,40205,1.1,81117,1.4,108052,2.50 36,1,2024-09-07 08:36:00:600,256125,256125,0,0,119658758272,1258788916,251507,3330,1288,366,391759,0 36,2,2024-09-07 08:36:01:751,187488,187488,0,0,8984085,0,3303 36,3,2024-09-07 08:36:00:867,1,146,2,0,378,1774,146,0 37,0,2024-09-07 08:36:01:372,34066,0.5,34177,0.8,68086,0.5,91978,2.00 37,1,2024-09-07 08:36:00:573,255984,255977,0,7,120284538322,1270171650,251220,2739,2018,365,391560,0 37,2,2024-09-07 08:36:01:142,185754,185739,15,0,8989157,0,5815 37,3,2024-09-07 08:36:01:769,1,146,1,0,724,2441,146,0 38,0,2024-09-07 08:36:01:436,34343,0.4,33266,0.6,69548,0.3,91353,2.00 38,1,2024-09-07 08:36:01:611,257136,257136,0,0,120065286796,1259880876,253125,3379,632,368,391821,0 38,2,2024-09-07 08:36:00:760,182703,182656,47,0,10675283,0,6710 38,3,2024-09-07 08:36:00:997,1,146,1,0,603,2086,146,0 39,0,2024-09-07 08:36:01:760,36311,0.6,35464,0.7,69379,0.6,94754,2.00 39,1,2024-09-07 08:36:00:718,256699,256699,0,0,119986141560,1256063813,252913,2985,801,365,391524,0 39,2,2024-09-07 08:36:01:417,182192,182192,0,0,7786460,0,2689 39,3,2024-09-07 08:36:00:713,1,146,2,0,276,2028,146,0 40,0,2024-09-07 08:36:01:500,39700,1.0,40368,1.2,80130,1.1,107298,3.25 40,1,2024-09-07 08:36:00:576,256813,256813,0,0,119919740972,1260376525,252403,3642,768,368,391591,0 40,2,2024-09-07 08:36:01:304,185704,185703,1,0,9838515,0,5137 40,3,2024-09-07 08:36:01:142,1,146,9,0,181,1505,146,0 41,0,2024-09-07 08:36:01:046,34596,2.4,35257,1.9,67691,3.7,92713,4.50 41,1,2024-09-07 08:36:00:785,256508,256508,0,0,119969746238,1260619008,252319,3658,531,370,391742,0 41,2,2024-09-07 08:36:00:763,184822,184822,0,0,9662946,0,3356 41,3,2024-09-07 08:36:01:680,1,146,1,0,366,1927,146,0 42,0,2024-09-07 08:36:01:480,35258,0.5,35643,0.8,71147,0.5,93724,2.25 42,1,2024-09-07 08:36:01:441,255444,255444,0,0,119452180549,1260907280,250747,3739,958,380,391675,0 42,2,2024-09-07 08:36:01:133,184207,184207,0,0,9347059,0,3568 42,3,2024-09-07 08:36:01:012,1,146,1,0,446,1394,146,0 43,0,2024-09-07 08:36:00:919,34714,0.7,33951,0.9,70435,0.7,92432,2.00 43,1,2024-09-07 08:36:00:577,256622,256622,0,0,120742740148,1262665591,253381,2448,793,366,391604,0 43,2,2024-09-07 08:36:01:741,182088,182088,0,0,8679516,0,3812 43,3,2024-09-07 08:36:01:750,1,146,1,0,325,2106,146,0 44,0,2024-09-07 08:36:00:872,39179,0.6,39169,0.8,78338,0.5,105264,2.00 44,1,2024-09-07 08:36:00:567,256946,256946,0,0,119186448506,1236094141,254888,1633,425,356,391809,0 44,2,2024-09-07 08:36:01:273,182962,182962,0,0,7357769,0,1877 44,3,2024-09-07 08:36:01:093,1,146,1,0,817,2012,146,0 45,0,2024-09-07 08:36:01:758,36981,1.6,36240,1.3,76184,2.1,100367,2.50 45,1,2024-09-07 08:36:01:010,257013,257013,0,0,120598647151,1252981929,255974,1029,10,382,391917,0 45,2,2024-09-07 08:36:01:282,188093,188093,0,0,8035200,0,3596 45,3,2024-09-07 08:36:00:951,1,146,1,0,226,1399,146,0 46,0,2024-09-07 08:36:00:961,36062,0.4,35931,0.6,72168,0.3,95464,1.75 46,1,2024-09-07 08:36:00:577,257455,257455,0,0,120307808806,1247753873,255647,1585,223,366,391524,0 46,2,2024-09-07 08:36:00:606,186657,186657,0,0,7985204,0,2920 46,3,2024-09-07 08:36:01:130,1,146,1,0,908,2886,146,0 47,0,2024-09-07 08:36:01:103,35007,0.3,35199,0.5,70689,0.2,93084,1.75 47,1,2024-09-07 08:36:00:568,257585,257585,0,0,119735466248,1239543492,256183,1385,17,366,391605,0 47,2,2024-09-07 08:36:00:908,182001,182001,0,0,8037706,0,2558 47,3,2024-09-07 08:36:01:115,1,146,1,0,529,1721,146,0 48,0,2024-09-07 08:36:01:516,36875,0.3,36423,0.5,73202,0.2,97862,1.75 48,1,2024-09-07 08:36:01:033,257443,257443,0,0,119966855154,1250135757,255383,1879,181,384,391710,0 48,2,2024-09-07 08:36:00:699,182591,182591,0,0,6807115,0,2083 48,3,2024-09-07 08:36:00:758,1,146,17,0,339,1402,146,0 49,0,2024-09-07 08:36:01:723,41408,0.6,40580,0.7,78889,0.7,107645,1.75 49,1,2024-09-07 08:36:01:028,256323,256323,0,0,120177323165,1257025636,253588,1895,840,382,391583,0 49,2,2024-09-07 08:36:01:800,188922,188922,0,0,8009582,0,3900 49,3,2024-09-07 08:36:01:418,1,146,0,0,408,2275,146,0 50,0,2024-09-07 08:36:01:519,35157,0.4,34763,0.6,69693,0.3,93610,1.75 50,1,2024-09-07 08:36:01:010,258070,258070,0,0,120915923576,1257344046,255546,2215,309,368,391530,0 50,2,2024-09-07 08:36:01:067,185967,185967,0,0,7543613,0,2253 50,3,2024-09-07 08:36:01:292,1,146,7,0,335,1298,146,0 51,0,2024-09-07 08:36:01:693,34878,0.3,34353,0.4,66814,0.2,91073,1.50 51,1,2024-09-07 08:36:01:679,257068,257068,0,0,121226746637,1256889091,255101,1181,786,367,391637,0 51,2,2024-09-07 08:36:01:316,181152,181152,0,0,7108527,0,3337 51,3,2024-09-07 08:36:01:031,1,146,3,0,162,881,146,0 52,0,2024-09-07 08:36:01:426,35832,0.6,35817,0.8,71744,0.6,95405,2.25 52,1,2024-09-07 08:36:00:578,255969,255969,0,0,119766780727,1266760543,250300,4514,1155,368,391722,0 52,2,2024-09-07 08:36:01:757,180795,180757,38,0,9507743,0,6742 52,3,2024-09-07 08:36:00:695,1,146,4,0,1782,3460,146,0 53,0,2024-09-07 08:36:01:766,39602,1.0,38532,1.0,80556,1.2,105757,3.00 53,1,2024-09-07 08:36:00:775,255683,255683,0,0,119927656612,1270425780,250064,3725,1894,367,391702,0 53,2,2024-09-07 08:36:01:321,187754,187754,0,0,7874507,0,2262 53,3,2024-09-07 08:36:00:698,1,146,24,0,133,1234,146,0 54,0,2024-09-07 08:36:01:632,33892,2.8,34333,1.5,67823,1.5,91533,4.25 54,1,2024-09-07 08:36:00:599,256607,256607,0,0,120667611195,1264056566,252637,3399,571,367,391532,0 54,2,2024-09-07 08:36:00:865,185358,185352,6,0,9495523,0,5382 54,3,2024-09-07 08:36:00:762,1,146,3,0,676,2795,146,0 55,0,2024-09-07 08:36:01:761,33753,0.5,34761,0.7,70564,0.4,91536,2.25 55,1,2024-09-07 08:36:00:764,255802,255802,0,0,119407769260,1255074815,250616,4399,787,365,391731,0 55,2,2024-09-07 08:36:00:733,183458,183458,0,0,9189126,0,3275 55,3,2024-09-07 08:36:00:697,1,146,2,0,304,1654,146,0 56,0,2024-09-07 08:36:01:589,35739,1.3,33833,1.1,69275,1.8,93274,2.50 56,1,2024-09-07 08:36:00:578,256156,256156,0,0,120458427728,1279305811,251032,4221,903,381,391678,0 56,2,2024-09-07 08:36:01:305,181584,181584,0,0,9755370,0,3567 56,3,2024-09-07 08:36:01:066,1,146,2,0,405,2157,146,0 57,0,2024-09-07 08:36:00:974,38852,2.6,38916,1.6,77874,4.1,104797,4.25 57,1,2024-09-07 08:36:00:998,256319,256319,0,0,119507529105,1254438390,253503,2646,170,368,391960,0 57,2,2024-09-07 08:36:01:318,185123,185123,0,0,10028458,0,3178 57,3,2024-09-07 08:36:01:745,1,146,21,0,359,2663,146,0 58,0,2024-09-07 08:36:00:565,35105,1.6,34216,1.3,71685,2.7,93705,3.00 58,1,2024-09-07 08:36:00:576,257419,257416,0,3,120494208828,1262138087,253901,3118,397,367,391516,3 58,2,2024-09-07 08:36:01:071,186683,186683,0,0,9138246,0,2549 58,3,2024-09-07 08:36:01:068,1,146,1,0,219,1239,146,0 59,0,2024-09-07 08:36:01:751,36164,0.8,35928,1.0,71718,0.9,95303,3.00 59,1,2024-09-07 08:36:00:804,256672,256672,0,0,119674834876,1256253894,253025,2725,922,369,391515,0 59,2,2024-09-07 08:36:00:599,186208,186208,0,0,7965433,0,2604 59,3,2024-09-07 08:36:01:747,1,146,1,0,1015,2853,146,0 60,0,2024-09-07 08:36:01:722,35191,0.4,35316,0.6,70092,0.3,92737,1.75 60,1,2024-09-07 08:36:00:786,257773,257773,0,0,120598643342,1253172939,256319,1097,357,370,391761,0 60,2,2024-09-07 08:36:01:146,180947,180947,0,0,9189989,0,3811 60,3,2024-09-07 08:36:01:264,1,146,1,0,124,1535,146,0 61,0,2024-09-07 08:36:01:496,37750,1.6,38065,1.2,75857,2.4,101648,2.25 61,1,2024-09-07 08:36:00:777,256479,256479,0,0,120169564770,1264300690,253045,2882,552,382,391589,0 61,2,2024-09-07 08:36:01:116,183606,183606,0,0,8130717,0,2079 61,3,2024-09-07 08:36:01:690,1,146,0,0,199,1874,146,0 62,0,2024-09-07 08:36:01:719,39477,1.6,40248,1.1,77164,2.7,104530,2.50 62,1,2024-09-07 08:36:01:113,258313,258307,0,6,120536177925,1246639022,256629,1639,39,365,391715,6 62,2,2024-09-07 08:36:01:645,186876,186875,1,0,9760144,0,5555 62,3,2024-09-07 08:36:01:144,1,146,0,0,287,1146,146,0 63,0,2024-09-07 08:36:01:453,35754,0.6,35791,0.7,71643,0.6,95447,2.00 63,1,2024-09-07 08:36:00:805,257837,257831,0,6,120570579994,1251734202,256593,1212,26,381,391542,6 63,2,2024-09-07 08:36:00:762,185618,185618,0,0,7510011,0,2674 63,3,2024-09-07 08:36:01:736,1,146,1,0,667,2362,146,0 64,0,2024-09-07 08:36:01:589,34129,0.4,34098,0.6,68348,0.3,91177,1.75 64,1,2024-09-07 08:36:00:751,257139,257139,0,0,120414842029,1263003745,253677,2409,1053,371,391783,0 64,2,2024-09-07 08:36:01:144,182058,182039,19,0,8285053,0,6121 64,3,2024-09-07 08:36:01:142,1,146,2,0,265,1745,146,0 65,0,2024-09-07 08:36:01:693,35339,1.0,35910,0.9,71670,1.3,95561,2.50 65,1,2024-09-07 08:36:00:862,256319,256319,0,0,119528284256,1254733453,253815,2282,222,382,391770,0 65,2,2024-09-07 08:36:01:695,182580,182580,0,0,8711931,0,3367 65,3,2024-09-07 08:36:01:687,1,146,1,0,163,1558,146,0 66,0,2024-09-07 08:36:01:767,39948,0.8,39963,0.9,80415,0.8,107282,2.50 66,1,2024-09-07 08:36:01:293,257663,257663,0,0,120857991450,1259423966,255788,1704,171,380,391588,0 66,2,2024-09-07 08:36:01:133,189221,189221,0,0,8141132,0,4956 66,3,2024-09-07 08:36:01:079,1,146,2,0,291,1663,146,0 67,0,2024-09-07 08:36:01:428,34550,1.0,34398,1.0,68944,1.2,92057,2.50 67,1,2024-09-07 08:36:00:776,256395,256394,0,1,119647400758,1255988948,252929,2719,746,381,391787,1 67,2,2024-09-07 08:36:00:588,186441,186441,0,0,7663457,0,2889 67,3,2024-09-07 08:36:01:750,1,146,1,0,138,1244,146,0 68,0,2024-09-07 08:36:00:563,34720,0.5,34519,0.7,69030,0.4,91591,2.00 68,1,2024-09-07 08:36:00:570,255901,255901,0,0,119790658902,1260351562,253059,1824,1018,381,391953,0 68,2,2024-09-07 08:36:01:044,181501,181436,65,0,10931745,0,6698 68,3,2024-09-07 08:36:00:732,1,146,1,0,417,1961,146,0 69,0,2024-09-07 08:36:01:742,35309,0.8,35535,0.9,70509,1.0,94228,2.25 69,1,2024-09-07 08:36:01:019,255319,255319,0,0,119312496752,1266097889,251330,2775,1214,384,391611,0 69,2,2024-09-07 08:36:01:735,182404,182404,0,0,9269617,0,3701 69,3,2024-09-07 08:36:00:770,1,146,46,0,238,1888,146,0 70,0,2024-09-07 08:36:01:567,39694,2.3,39892,1.6,80152,1.6,106610,3.25 70,1,2024-09-07 08:36:00:801,257232,257232,0,0,120037902146,1250936607,254817,1953,462,366,391725,0 70,2,2024-09-07 08:36:01:325,185866,185866,0,0,8764828,0,4044 70,3,2024-09-07 08:36:00:748,1,146,4,0,854,2051,146,0 71,0,2024-09-07 08:36:01:380,34552,2.5,34597,1.9,68798,3.9,92888,3.75 71,1,2024-09-07 08:36:01:607,256780,256780,0,0,120219165842,1262479330,252672,3585,523,368,391682,0 71,2,2024-09-07 08:36:01:067,186616,186616,0,0,8722345,0,2470 71,3,2024-09-07 08:36:01:750,1,146,1,0,644,2521,146,0 72,0,2024-09-07 08:36:01:030,37005,0.5,36197,0.7,70929,0.4,95913,2.25 72,1,2024-09-07 08:36:01:020,256745,256745,0,0,119943625995,1255615944,253502,2757,486,369,391819,0 72,2,2024-09-07 08:36:01:759,184006,184006,0,0,10240339,0,2570 72,3,2024-09-07 08:36:01:763,1,146,13,0,325,2367,146,0 73,0,2024-09-07 08:36:01:165,33926,0.4,34680,0.6,71123,0.3,92163,2.25 73,1,2024-09-07 08:36:00:769,257052,257052,0,0,119602221446,1244031253,255249,1688,115,368,391627,0 73,2,2024-09-07 08:36:01:756,181984,181984,0,0,9470728,0,3482 73,3,2024-09-07 08:36:00:970,1,146,2,0,274,2504,146,0 74,0,2024-09-07 08:36:01:334,39367,0.6,40130,0.8,76643,0.5,104890,2.25 74,1,2024-09-07 08:36:00:637,256882,256882,0,0,119206080222,1247772336,254098,2164,620,382,391681,0 74,2,2024-09-07 08:36:01:005,183482,183482,0,0,9463960,0,4253 74,3,2024-09-07 08:36:01:444,1,146,1,0,522,2689,146,0 75,0,2024-09-07 08:36:01:779,37657,1.6,37436,1.3,74860,2.2,100633,3.00 75,1,2024-09-07 08:36:01:722,256433,256433,0,0,120030626148,1260939611,253048,2925,460,381,391579,0 75,2,2024-09-07 08:36:01:350,186947,186947,0,0,9055008,0,4766 75,3,2024-09-07 08:36:01:067,1,146,3,0,535,1729,146,0 76,0,2024-09-07 08:36:00:617,35945,0.4,35753,0.7,71543,0.3,95738,2.25 76,1,2024-09-07 08:36:00:819,256788,256788,0,0,119743613635,1252967011,254728,1549,511,382,391692,0 76,2,2024-09-07 08:36:01:074,186838,186838,0,0,7956906,0,3064 76,3,2024-09-07 08:36:01:142,1,146,1,0,175,1674,146,0 77,0,2024-09-07 08:36:01:698,35070,0.5,35296,0.7,70539,0.5,93077,1.75 77,1,2024-09-07 08:36:00:824,257606,257606,0,0,120200348005,1256131902,256019,1505,82,383,391808,0 77,2,2024-09-07 08:36:01:282,180655,180655,0,0,8129803,0,3890 77,3,2024-09-07 08:36:01:102,1,146,1,0,305,1935,146,0 78,0,2024-09-07 08:36:01:727,36453,0.6,36402,0.8,73373,0.5,97490,2.00 78,1,2024-09-07 08:36:00:615,256984,256984,0,0,119684348836,1248907734,254655,2077,252,367,391589,0 78,2,2024-09-07 08:36:01:427,183408,183408,0,0,7695556,0,2114 78,3,2024-09-07 08:36:01:133,1,146,7,0,181,1590,146,0 79,0,2024-09-07 08:36:01:355,39056,0.6,39921,0.8,81539,0.6,106191,2.75 79,1,2024-09-07 08:36:00:592,257671,257671,0,0,120448283463,1251420294,255488,2012,171,369,391682,0 79,2,2024-09-07 08:36:01:068,189144,189144,0,0,7974381,0,3212 79,3,2024-09-07 08:36:00:753,1,146,1,0,418,2854,146,0 80,0,2024-09-07 08:36:01:091,34928,0.7,35729,0.8,68883,0.7,93650,2.25 80,1,2024-09-07 08:36:01:627,256190,256190,0,0,120337700970,1256931708,253681,2350,159,368,391673,0 80,2,2024-09-07 08:36:01:097,186571,186571,0,0,8318385,0,4433 80,3,2024-09-07 08:36:00:575,1,146,0,0,190,2544,146,0 81,0,2024-09-07 08:36:01:590,34155,0.5,35201,0.6,67399,0.4,90699,1.75 81,1,2024-09-07 08:36:01:652,256518,256518,0,0,119071156986,1248937526,254043,2203,272,382,391879,0 81,2,2024-09-07 08:36:01:125,180879,180879,0,0,8748096,0,3993 81,3,2024-09-07 08:36:01:121,1,146,3,0,193,1539,146,0 82,0,2024-09-07 08:36:01:552,35452,0.5,35604,0.8,71507,0.5,95485,2.00 82,1,2024-09-07 08:36:00:588,257076,257072,0,4,120461182914,1259702453,254632,1864,576,382,391558,4 82,2,2024-09-07 08:36:01:696,183229,183229,0,0,8031525,0,3986 82,3,2024-09-07 08:36:01:752,1,146,8,0,227,1796,146,0 83,0,2024-09-07 08:36:01:531,39949,0.8,39880,0.9,78919,0.9,105836,2.25 83,1,2024-09-07 08:36:00:551,256863,256863,0,0,119256266437,1249611350,254429,2230,204,382,391690,0 83,2,2024-09-07 08:36:00:765,187079,187079,0,0,7989884,0,3119 83,3,2024-09-07 08:36:00:752,1,146,16,0,241,1742,146,0 84,0,2024-09-07 08:36:01:791,34527,1.7,34216,1.5,69004,1.4,92814,3.00 84,1,2024-09-07 08:36:01:075,255937,255937,0,0,119997397402,1261069586,252559,2924,454,368,391852,0 84,2,2024-09-07 08:36:00:578,185726,185726,0,0,9086863,0,3801 84,3,2024-09-07 08:36:01:141,1,146,1,0,236,1611,146,0 85,0,2024-09-07 08:36:01:016,33774,0.5,33785,0.7,71581,0.4,92667,2.00 85,1,2024-09-07 08:36:00:564,255545,255545,0,0,119433035291,1270487692,250151,4318,1076,382,391679,0 85,2,2024-09-07 08:36:00:865,184128,184128,0,0,9837116,0,3656 85,3,2024-09-07 08:36:00:688,1,146,1,0,789,2274,146,0 86,0,2024-09-07 08:36:00:894,34858,0.6,35830,0.7,68655,0.6,93034,2.00 86,1,2024-09-07 08:36:00:824,256339,256339,0,0,119432295505,1256199146,252395,3401,543,366,391961,0 86,2,2024-09-07 08:36:00:858,180620,180619,1,0,10058377,0,5004 86,3,2024-09-07 08:36:00:587,1,146,24,0,286,2327,146,0 87,0,2024-09-07 08:36:01:299,39512,1.8,39452,1.2,78938,2.8,106615,2.50 87,1,2024-09-07 08:36:00:557,256033,256033,0,0,120580765150,1267286470,252826,2816,391,366,391788,0 87,2,2024-09-07 08:36:01:068,184332,184332,0,0,8448981,0,3515 87,3,2024-09-07 08:36:01:804,1,146,157,0,335,2783,146,0 88,0,2024-09-07 08:36:01:458,36385,0.8,36543,0.8,72873,0.9,97083,2.00 88,1,2024-09-07 08:36:00:569,255435,255435,0,0,119764967107,1261575101,251417,2853,1165,365,391787,0 88,2,2024-09-07 08:36:00:691,186877,186877,0,0,9738461,0,3583 88,3,2024-09-07 08:36:01:268,1,146,1,0,435,2049,146,0 89,0,2024-09-07 08:36:01:808,37209,0.4,36049,0.7,71332,0.4,96808,1.75 89,1,2024-09-07 08:36:00:550,255168,255168,0,0,119929277471,1273644156,249743,4491,934,382,391866,0 89,2,2024-09-07 08:36:01:142,185215,185215,0,0,9501034,0,2910 89,3,2024-09-07 08:36:01:811,1,146,0,0,325,3037,146,0 90,0,2024-09-07 08:36:01:674,34015,0.4,34740,0.5,71414,0.3,92503,1.75 90,1,2024-09-07 08:36:00:608,256905,256905,0,0,120013107552,1263615991,253690,2959,256,382,391825,0 90,2,2024-09-07 08:36:01:406,180222,180222,0,0,10132951,0,3060 90,3,2024-09-07 08:36:00:947,1,146,1,0,200,1823,146,0 91,0,2024-09-07 08:36:00:937,38373,0.5,37004,0.7,77140,0.5,102038,1.75 91,1,2024-09-07 08:36:00:562,256256,256256,0,0,120413732570,1268632795,252526,3235,495,381,391960,0 91,2,2024-09-07 08:36:01:337,181727,181727,0,0,9595938,0,2445 91,3,2024-09-07 08:36:00:598,1,146,9,0,216,1844,146,0 92,0,2024-09-07 08:36:01:469,39718,0.9,40664,0.9,77864,1.0,104927,2.00 92,1,2024-09-07 08:36:00:580,256791,256791,0,0,120054912280,1257675690,254638,1753,400,382,391717,0 92,2,2024-09-07 08:36:01:351,189841,189841,0,0,8243525,0,2801 92,3,2024-09-07 08:36:01:009,1,146,3,0,68,1295,146,0 93,0,2024-09-07 08:36:00:961,36116,0.4,36891,0.7,70551,0.4,95991,1.75 93,1,2024-09-07 08:36:00:805,256535,256535,0,0,120347425107,1261359644,253438,2548,549,366,391692,0 93,2,2024-09-07 08:36:00:933,185809,185809,0,0,8095222,0,2509 93,3,2024-09-07 08:36:01:405,1,146,8,0,143,1643,146,0 94,0,2024-09-07 08:36:01:606,34353,0.3,34565,0.5,68531,0.2,91129,1.75 94,1,2024-09-07 08:36:00:565,256753,256753,0,0,119982397809,1258924986,254317,2344,92,381,391850,0 94,2,2024-09-07 08:36:00:761,180820,180820,0,0,7926826,0,2443 94,3,2024-09-07 08:36:01:693,1,146,3,0,264,2340,146,0 95,0,2024-09-07 08:36:01:345,36117,0.4,36072,0.6,72532,0.3,96291,1.75 95,1,2024-09-07 08:36:00:876,257075,257075,0,0,120191499551,1254133894,254711,2183,181,367,391662,0 95,2,2024-09-07 08:36:01:033,182750,182750,0,0,8223370,0,3308 95,3,2024-09-07 08:36:01:709,1,146,27,0,718,2987,146,0 96,0,2024-09-07 08:36:01:032,40442,0.6,40448,0.7,81145,0.6,107888,1.75 96,1,2024-09-07 08:36:01:618,256272,256272,0,0,119783438091,1257112567,253677,1953,642,385,391894,0 96,2,2024-09-07 08:36:01:281,187690,187690,0,0,8815521,0,4042 96,3,2024-09-07 08:36:01:149,1,146,2,0,188,1690,146,0 97,0,2024-09-07 08:36:01:344,34644,0.5,34510,0.6,69112,0.5,92205,1.75 97,1,2024-09-07 08:36:00:771,256874,256874,0,0,120955175913,1261692657,254096,2230,548,367,392140,0 97,2,2024-09-07 08:36:00:607,185816,185816,0,0,7940485,0,3036 97,3,2024-09-07 08:36:00:569,1,146,2,0,165,1689,146,0 98,0,2024-09-07 08:36:01:782,34697,0.2,34429,0.4,69278,0.2,91914,1.50 98,1,2024-09-07 08:36:00:581,256963,256963,0,0,120277302875,1257257881,255154,1722,87,382,391997,0 98,2,2024-09-07 08:36:00:770,183619,183619,0,0,8333926,0,3080 98,3,2024-09-07 08:36:00:717,1,146,0,0,840,3011,146,0 99,0,2024-09-07 08:36:01:450,35481,0.3,35472,0.4,70982,0.3,94516,1.50 99,1,2024-09-07 08:36:01:725,256913,256913,0,0,119636508872,1253574400,253861,2358,694,381,391744,0 99,2,2024-09-07 08:36:01:418,184087,184087,0,0,7969373,0,2615 99,3,2024-09-07 08:36:00:581,1,146,1,0,129,1065,146,0 100,0,2024-09-07 08:36:01:496,40104,1.4,40137,1.5,80156,2.5,107536,2.50 100,1,2024-09-07 08:36:00:554,254779,254779,0,0,118973913836,1266527601,249598,4250,931,381,391989,0 100,2,2024-09-07 08:36:01:823,185155,185144,11,0,9295238,0,5417 100,3,2024-09-07 08:36:01:734,1,146,8,0,559,3470,146,0 101,0,2024-09-07 08:36:01:705,35608,3.4,34680,1.8,68118,4.3,94109,3.25 101,1,2024-09-07 08:36:00:552,255311,255311,0,0,119613144579,1270299988,249963,3872,1476,368,391769,0 101,2,2024-09-07 08:36:01:766,185753,185753,0,0,10280555,0,4644 101,3,2024-09-07 08:36:00:956,1,146,2,0,448,1973,146,0 102,0,2024-09-07 08:36:00:964,34970,0.6,36380,0.8,72865,0.5,95226,2.00 102,1,2024-09-07 08:36:01:157,256065,256065,0,0,119513640367,1262644642,252001,3353,711,369,391831,0 102,2,2024-09-07 08:36:01:746,185640,185586,54,0,9809117,0,6768 102,3,2024-09-07 08:36:01:615,1,146,2,0,410,1645,146,0 103,0,2024-09-07 08:36:01:593,35806,0.4,35793,0.6,67462,0.4,92597,1.75 103,1,2024-09-07 08:36:01:635,255343,255343,0,0,119746242339,1267518387,250921,3214,1208,381,391829,0 103,2,2024-09-07 08:36:00:582,180781,180781,0,0,8534672,0,2104 103,3,2024-09-07 08:36:00:758,1,146,1,0,916,2878,146,0 104,0,2024-09-07 08:36:01:015,38687,1.8,38873,1.3,76527,2.6,104810,2.50 104,1,2024-09-07 08:36:01:601,256994,256994,0,0,119517155146,1265373590,252007,4028,959,366,391948,0 104,2,2024-09-07 08:36:01:673,182665,182665,0,0,9169819,0,3941 104,3,2024-09-07 08:36:01:418,1,146,5,1,1245,5021,146,0 105,0,2024-09-07 08:36:01:085,37142,2.1,36091,1.6,75226,3.0,99600,4.00 105,1,2024-09-07 08:36:00:555,257060,257060,0,0,119843569824,1262203250,252864,3423,773,367,391797,0 105,2,2024-09-07 08:36:01:348,187092,187092,0,0,8900130,0,3509 105,3,2024-09-07 08:36:01:317,1,146,1,1,399,2244,146,0 106,0,2024-09-07 08:36:00:951,34966,0.7,35878,0.9,73081,0.7,95540,2.25 106,1,2024-09-07 08:36:01:766,256107,256107,0,0,120204963834,1264705818,252348,3375,384,369,391767,0 106,2,2024-09-07 08:36:00:758,185274,185274,0,0,9103547,0,2795 106,3,2024-09-07 08:36:00:697,1,146,3,0,405,2307,146,0 107,0,2024-09-07 08:36:01:111,35124,0.4,35102,0.6,69792,0.3,93280,1.75 107,1,2024-09-07 08:36:00:590,255896,255896,0,0,119216870675,1256623791,252929,2739,228,381,392234,0 107,2,2024-09-07 08:36:01:296,180277,180276,1,0,9056075,0,5024 107,3,2024-09-07 08:36:01:757,1,146,2,0,353,1827,146,0 108,0,2024-09-07 08:36:01:793,36721,0.4,36914,0.6,73075,0.4,98045,1.75 108,1,2024-09-07 08:36:01:301,256455,256455,0,0,120338404144,1259943051,253806,2339,310,368,391857,0 108,2,2024-09-07 08:36:01:764,181857,181857,0,0,8661810,0,2647 108,3,2024-09-07 08:36:01:339,1,146,1,0,667,3174,146,0 109,0,2024-09-07 08:36:01:768,40462,0.5,40356,0.7,80428,0.5,107857,1.75 109,1,2024-09-07 08:36:00:602,255933,255933,0,0,120296418991,1266717351,253161,2276,496,383,392132,0 109,2,2024-09-07 08:36:00:924,187780,187780,0,0,9024217,0,3617 109,3,2024-09-07 08:36:01:141,1,146,1,1,249,2194,146,0 110,0,2024-09-07 08:36:01:761,35284,0.4,34211,0.7,71539,0.4,93970,1.75 110,1,2024-09-07 08:36:01:649,256869,256869,0,0,120072809454,1253692642,254261,1844,764,370,391667,0 110,2,2024-09-07 08:36:01:307,186574,186574,0,0,7965312,0,2915 110,3,2024-09-07 08:36:00:697,1,146,1,0,406,2138,146,0 111,0,2024-09-07 08:36:01:446,34317,0.2,33885,0.4,67742,0.1,90927,1.50 111,1,2024-09-07 08:36:01:013,257449,257449,0,0,121007719243,1259143718,255675,1442,332,382,391690,0 111,2,2024-09-07 08:36:01:116,181302,181302,0,0,8756723,0,2763 111,3,2024-09-07 08:36:00:913,1,146,1,0,379,2507,146,0 112,0,2024-09-07 08:36:00:924,35805,0.3,35734,0.5,71621,0.2,95749,1.50 112,1,2024-09-07 08:36:00:825,257658,257658,0,0,120918151775,1260598760,255333,1900,425,381,391580,0 112,2,2024-09-07 08:36:01:137,182701,182700,1,0,8714355,0,5036 112,3,2024-09-07 08:36:00:598,1,146,0,0,282,1802,146,0 113,0,2024-09-07 08:36:00:882,39746,0.4,39533,0.6,80072,0.4,106920,1.75 113,1,2024-09-07 08:36:01:691,257559,257559,0,0,120862886201,1255116403,255146,1925,488,366,391661,0 113,2,2024-09-07 08:36:01:303,188511,188511,0,0,7644324,0,3813 113,3,2024-09-07 08:36:00:698,1,146,1,0,288,2337,146,0 114,0,2024-09-07 08:36:00:878,35336,0.7,35874,0.7,70257,0.5,94437,2.00 114,1,2024-09-07 08:36:00:716,257179,257179,0,0,120485892591,1266098260,253052,2664,1463,381,391534,0 114,2,2024-09-07 08:36:00:882,185549,185549,0,0,7918503,0,3925 114,3,2024-09-07 08:36:01:279,1,146,0,0,395,1949,146,0 115,0,2024-09-07 08:36:00:562,34999,0.2,35237,0.4,70603,0.2,93438,1.50 115,1,2024-09-07 08:36:00:578,256999,256999,0,0,120421575772,1259966906,253360,2818,821,382,391602,0 115,2,2024-09-07 08:36:01:125,184962,184962,0,0,7480658,0,2152 115,3,2024-09-07 08:36:01:004,1,146,1,0,159,1055,146,0 116,0,2024-09-07 08:36:01:710,34991,0.6,34594,0.8,69544,0.7,92986,2.00 116,1,2024-09-07 08:36:00:803,255084,255084,0,0,119077459231,1270915779,250201,3130,1753,382,391677,0 116,2,2024-09-07 08:36:01:785,180804,180804,0,0,10290890,0,3529 116,3,2024-09-07 08:36:00:912,1,146,16,0,252,2163,146,0 117,0,2024-09-07 08:36:01:040,39445,1.7,39553,1.2,78859,2.6,106562,2.25 117,1,2024-09-07 08:36:01:593,256454,256454,0,0,119799524514,1260871976,252792,3235,427,370,392033,0 117,2,2024-09-07 08:36:01:118,185485,185485,0,0,8203139,0,3700 117,3,2024-09-07 08:36:01:059,1,146,1,0,490,3139,146,0 118,0,2024-09-07 08:36:01:801,35383,1.1,36440,1.0,74036,1.3,96366,2.25 118,1,2024-09-07 08:36:00:591,256002,256002,0,0,119381320256,1265126487,251181,3502,1319,368,391736,0 118,2,2024-09-07 08:36:01:590,186635,186635,0,0,9319148,0,2781 118,3,2024-09-07 08:36:01:774,1,146,1,0,235,2017,146,0 119,0,2024-09-07 08:36:01:339,35790,0.7,35915,0.9,72718,0.7,95857,2.00 119,1,2024-09-07 08:36:00:548,256612,256612,0,0,120284400572,1259138600,254139,2186,287,370,391641,0 119,2,2024-09-07 08:36:01:261,184974,184974,0,0,8765680,0,3526 119,3,2024-09-07 08:36:01:326,1,146,2,0,443,2801,146,0 120,0,2024-09-07 08:36:01:564,34770,0.5,34877,0.7,69597,0.4,92679,2.00 120,1,2024-09-07 08:36:00:869,256802,256802,0,0,119284805425,1255947504,253702,2841,259,368,391961,0 120,2,2024-09-07 08:36:00:774,180416,180415,1,0,10624196,0,5281 120,3,2024-09-07 08:36:01:299,1,146,17,0,241,2160,146,0 121,0,2024-09-07 08:36:01:715,37604,1.9,38129,1.3,75751,3.0,101576,2.50 121,1,2024-09-07 08:36:01:669,256688,256688,0,0,119583659284,1254217689,253964,2436,288,367,391840,0 121,2,2024-09-07 08:36:01:126,181808,181808,0,0,9629869,0,4127 121,3,2024-09-07 08:36:00:727,1,146,1,0,269,2129,146,0 122,0,2024-09-07 08:36:01:813,39099,1.3,38071,1.2,79792,1.5,104953,2.25 122,1,2024-09-07 08:36:00:859,255581,255581,0,0,119412247853,1259533219,251450,3426,705,366,392130,0 122,2,2024-09-07 08:36:01:375,188158,188158,0,0,10788934,0,3364 122,3,2024-09-07 08:36:00:605,1,146,3,0,411,3694,146,0 123,0,2024-09-07 08:36:00:963,35872,0.8,34917,0.9,73168,1.0,95505,2.25 123,1,2024-09-07 08:36:00:566,257032,257032,0,0,119821145825,1266904837,251961,4377,694,369,391823,0 123,2,2024-09-07 08:36:01:019,184391,184390,1,0,9279609,0,5215 123,3,2024-09-07 08:36:01:135,1,146,1,0,168,1926,146,0 124,0,2024-09-07 08:36:00:986,35294,0.3,35252,0.5,66625,0.2,91446,1.50 124,1,2024-09-07 08:36:01:063,256676,256676,0,0,119785801487,1248353470,254597,1724,355,367,392178,0 124,2,2024-09-07 08:36:01:017,181321,181321,0,0,7977127,0,3101 124,3,2024-09-07 08:36:00:766,1,146,4,0,490,2187,146,0 125,0,2024-09-07 08:36:01:459,36203,0.4,36205,0.6,72555,0.4,96407,1.75 125,1,2024-09-07 08:36:00:859,256809,256809,0,0,120369254398,1260765942,254451,2083,275,384,391702,0 125,2,2024-09-07 08:36:01:118,182973,182973,0,0,7950867,0,2180 125,3,2024-09-07 08:36:01:126,1,146,1,0,284,2001,146,0 126,0,2024-09-07 08:36:01:453,40477,0.8,41530,0.8,79392,0.9,108632,2.00 126,1,2024-09-07 08:36:00:556,257159,257159,0,0,120396530350,1251725524,255535,1546,78,365,391987,0 126,2,2024-09-07 08:36:00:622,188298,188298,0,0,8338599,0,3186 126,3,2024-09-07 08:36:00:907,1,146,1,0,150,2211,146,0 127,0,2024-09-07 08:36:01:599,34375,0.4,34671,0.6,69094,0.5,92163,1.75 127,1,2024-09-07 08:36:00:580,256513,256513,0,0,119833959981,1245546783,254456,2017,40,365,391816,0 127,2,2024-09-07 08:36:00:643,185874,185874,0,0,7812234,0,2264 127,3,2024-09-07 08:36:01:279,1,146,2,0,243,1387,146,0 128,0,2024-09-07 08:36:01:539,34801,0.3,34626,0.4,69138,0.2,91911,1.50 128,1,2024-09-07 08:36:01:627,257254,257254,0,0,120471769347,1250396321,256282,900,72,367,391680,0 128,2,2024-09-07 08:36:01:391,182535,182535,0,0,7835319,0,2107 128,3,2024-09-07 08:36:00:772,1,146,1,0,333,2240,146,0 129,0,2024-09-07 08:36:01:001,35757,0.3,35516,0.5,70960,0.3,94361,1.75 129,1,2024-09-07 08:36:00:580,256205,256205,0,0,119286608585,1253939071,253283,2530,392,379,391835,0 129,2,2024-09-07 08:36:00:697,182382,182382,0,0,8151405,0,4031 129,3,2024-09-07 08:36:00:698,1,146,1,0,173,1873,146,0 130,0,2024-09-07 08:36:01:734,40578,0.8,40281,0.8,80968,1.1,108435,2.00 130,1,2024-09-07 08:36:00:597,257085,257085,0,0,119742408377,1251732035,254984,2030,71,381,391825,0 130,2,2024-09-07 08:36:01:133,186238,186238,0,0,8055126,0,4067 130,3,2024-09-07 08:36:01:299,1,146,131,0,207,1469,146,0 131,0,2024-09-07 08:36:01:987,35276,0.6,35386,0.7,71588,0.8,94508,1.75 131,1,2024-09-07 08:36:01:820,258142,258142,0,0,120449002024,1256173218,256681,1255,206,383,391865,0 131,2,2024-09-07 08:36:00:569,186641,186641,0,0,7610400,0,2415 131,3,2024-09-07 08:36:01:688,1,146,0,0,392,1774,146,0 132,0,2024-09-07 08:36:01:439,35567,0.5,36026,0.7,72179,0.4,95644,2.00 132,1,2024-09-07 08:36:00:579,255378,255378,0,0,119750569292,1271824796,249979,4369,1030,381,391760,0 132,2,2024-09-07 08:36:00:700,184780,184780,0,0,10538465,0,4606 132,3,2024-09-07 08:36:01:693,1,146,1,0,356,2809,146,0 133,0,2024-09-07 08:36:01:535,33949,0.4,34668,0.6,71089,0.4,91764,2.00 133,1,2024-09-07 08:36:00:598,255114,255114,0,0,120051114901,1272366465,250616,3860,638,383,391914,0 133,2,2024-09-07 08:36:01:096,180928,180928,0,0,10448311,0,4315 133,3,2024-09-07 08:36:01:312,1,146,2,0,187,1358,146,0 134,0,2024-09-07 08:36:00:941,39015,0.6,38842,0.8,78385,0.7,104606,2.00 134,1,2024-09-07 08:36:00:591,255719,255719,0,0,119429760672,1257987672,251369,3128,1222,366,391718,0 134,2,2024-09-07 08:36:01:759,182943,182943,0,0,8652944,0,3096 134,3,2024-09-07 08:36:00:750,1,146,3,0,739,2868,146,0 135,0,2024-09-07 08:36:01:118,36585,1.8,36479,1.4,77303,2.2,99298,2.50 135,1,2024-09-07 08:36:01:589,255670,255670,0,0,119524816572,1262753090,251486,3483,701,380,391725,0 135,2,2024-09-07 08:36:00:721,187926,187926,0,0,9535693,0,3981 135,3,2024-09-07 08:36:01:004,1,146,1,0,89,855,146,0 136,0,2024-09-07 08:36:01:660,36333,0.6,36158,0.8,72839,0.5,96476,2.00 136,1,2024-09-07 08:36:01:441,255982,255982,0,0,120337505609,1264094394,252723,3086,173,384,391641,0 136,2,2024-09-07 08:36:01:134,186906,186906,0,0,9123067,0,3506 136,3,2024-09-07 08:36:01:106,1,146,1,0,108,1281,146,0 137,0,2024-09-07 08:36:00:979,35975,0.5,34955,0.7,69025,0.4,93451,1.75 137,1,2024-09-07 08:36:00:578,256396,256396,0,0,120151586117,1261500011,252358,3619,419,367,391608,0 137,2,2024-09-07 08:36:01:749,180174,180174,0,0,10324809,0,3185 137,3,2024-09-07 08:36:00:775,1,146,1,1,227,1818,146,0 138,0,2024-09-07 08:36:01:786,36193,1.5,36276,1.2,73159,2.2,97763,2.50 138,1,2024-09-07 08:36:01:699,256600,256600,0,0,120107943482,1259371481,253567,2683,350,368,391954,0 138,2,2024-09-07 08:36:00:608,183376,183376,0,0,8391543,0,3263 138,3,2024-09-07 08:36:00:705,1,146,12,0,1160,2709,146,0 139,0,2024-09-07 08:36:01:384,39349,3.1,39531,1.8,79572,4.4,106565,3.25 139,1,2024-09-07 08:36:00:580,255520,255520,0,0,118792679101,1264886436,250465,3741,1314,381,391892,0 139,2,2024-09-07 08:36:00:701,186830,186830,0,0,9677557,0,3097 139,3,2024-09-07 08:36:01:667,1,146,1,0,244,1756,146,0 140,0,2024-09-07 08:36:01:604,35414,0.4,35088,0.6,70658,0.3,94002,1.75 140,1,2024-09-07 08:36:01:540,257758,257758,0,0,120843809004,1249823604,256243,1275,240,365,391606,0 140,2,2024-09-07 08:36:00:689,186401,186401,0,0,8354914,0,3388 140,3,2024-09-07 08:36:00:771,1,146,1,0,247,1410,146,0 141,0,2024-09-07 08:36:01:710,33865,0.2,34975,0.4,67097,0.1,90879,1.50 141,1,2024-09-07 08:36:00:861,257320,257320,0,0,120547948181,1254563355,255382,1585,353,379,391614,0 141,2,2024-09-07 08:36:01:685,181707,181707,0,0,7826063,0,2342 141,3,2024-09-07 08:36:01:044,1,146,3,0,147,1284,146,0 142,0,2024-09-07 08:36:01:319,36122,0.3,35929,0.5,71250,0.3,95844,1.75 142,1,2024-09-07 08:36:00:592,257061,257061,0,0,120004395662,1253933122,255523,1379,159,383,391649,0 142,2,2024-09-07 08:36:01:303,181236,181204,32,0,9086230,0,6028 142,3,2024-09-07 08:36:01:750,1,146,17,0,484,2132,146,0 143,0,2024-09-07 08:36:01:462,39975,0.8,39921,0.8,80452,0.9,106783,2.00 143,1,2024-09-07 08:36:00:561,256960,256960,0,0,120335949709,1252566332,254857,2061,42,367,391619,0 143,2,2024-09-07 08:36:00:774,187216,187216,0,0,8414561,0,2669 143,3,2024-09-07 08:36:01:143,1,146,3,0,303,2549,146,0 144,0,2024-09-07 08:36:01:505,33737,1.1,34694,1.7,70298,1.2,93075,2.25 144,1,2024-09-07 08:36:00:565,256115,256115,0,0,119917536160,1261795563,253728,2184,203,381,391649,0 144,2,2024-09-07 08:36:01:758,186392,186392,0,0,8143399,0,3473 144,3,2024-09-07 08:36:01:742,1,146,5,0,249,2030,146,0 145,0,2024-09-07 08:36:01:509,33893,0.5,33849,0.8,71828,0.5,92958,2.00 145,1,2024-09-07 08:36:00:559,254801,254801,0,0,119133421580,1261439426,250415,3651,735,383,391615,0 145,2,2024-09-07 08:36:01:442,183943,183943,0,0,9135029,0,3903 145,3,2024-09-07 08:36:00:899,1,146,13,0,151,1783,146,0 146,0,2024-09-07 08:36:01:666,34740,0.5,34576,0.7,69890,0.4,92647,2.00 146,1,2024-09-07 08:36:01:593,256995,256995,0,0,119727348081,1260046803,252551,3585,859,368,391629,0 146,2,2024-09-07 08:36:01:699,182125,182125,0,0,8621297,0,2498 146,3,2024-09-07 08:36:01:274,1,146,1,0,1520,5122,146,0 147,0,2024-09-07 08:36:01:702,39611,1.1,39450,1.0,78367,1.1,106386,2.50 147,1,2024-09-07 08:36:01:380,257691,257691,0,0,120286775214,1257182833,254596,2601,494,368,391791,0 147,2,2024-09-07 08:36:01:015,185067,185067,0,0,8236467,0,2789 147,3,2024-09-07 08:36:00:914,1,146,5,0,371,1961,146,0 0,0,2024-09-07 08:36:11:734,33882,0.5,33983,0.7,71758,0.4,92560,1.75 0,1,2024-09-07 08:36:10:806,258393,258393,0,0,121131989527,1270641971,256430,1828,135,372,391692,0 0,2,2024-09-07 08:36:11:069,182138,182138,0,0,8455531,0,4480 0,3,2024-09-07 08:36:10:973,1,147,1,0,247,2019,147,0 1,0,2024-09-07 08:36:11:768,38454,1.6,38064,1.3,76403,2.2,102547,2.50 1,1,2024-09-07 08:36:10:571,257714,257714,0,0,120636686998,1270273338,254281,2566,867,372,391857,0 1,2,2024-09-07 08:36:10:645,183608,183608,0,0,7667308,0,3267 1,3,2024-09-07 08:36:11:302,1,147,7,0,262,1909,147,0 2,0,2024-09-07 08:36:11:568,39238,1.2,39375,1.1,78269,1.8,104323,2.25 2,1,2024-09-07 08:36:10:868,258008,258008,0,0,121102871957,1261820627,256562,1240,206,380,391745,0 2,2,2024-09-07 08:36:11:271,188955,188955,0,0,8564422,0,3594 2,3,2024-09-07 08:36:10:700,1,147,1,0,357,1668,147,0 3,0,2024-09-07 08:36:11:746,35763,0.5,35894,0.7,71940,0.5,95439,2.00 3,1,2024-09-07 08:36:11:619,257955,257955,0,0,120402487644,1260991935,254847,2684,424,380,391516,0 3,2,2024-09-07 08:36:11:142,187206,187183,23,0,8486551,0,5851 3,3,2024-09-07 08:36:11:756,1,147,0,0,103,981,147,0 4,0,2024-09-07 08:36:11:835,33602,0.3,34482,0.5,70133,0.2,92142,1.75 4,1,2024-09-07 08:36:10:601,258296,258296,0,0,120024091059,1265148435,255335,2440,521,371,391846,0 4,2,2024-09-07 08:36:11:018,180969,180969,0,0,9922641,0,4528 4,3,2024-09-07 08:36:11:035,1,147,3,0,287,2133,147,0 5,0,2024-09-07 08:36:11:439,36435,0.5,36373,0.7,72915,0.6,96861,1.75 5,1,2024-09-07 08:36:10:768,257432,257432,0,0,120408763857,1273354434,253261,3185,986,368,392005,0 5,2,2024-09-07 08:36:11:835,183625,183625,0,0,8485918,0,2259 5,3,2024-09-07 08:36:11:736,1,147,2,0,238,2327,147,0 6,0,2024-09-07 08:36:10:949,41299,1.0,40869,1.0,81134,1.4,109825,2.50 6,1,2024-09-07 08:36:10:747,258573,258573,0,0,121145252665,1272467819,255191,2735,647,381,391617,0 6,2,2024-09-07 08:36:11:119,189967,189967,0,0,8874463,0,4816 6,3,2024-09-07 08:36:11:280,1,147,1,0,340,2245,147,0 7,0,2024-09-07 08:36:11:544,34249,0.5,34284,0.8,68785,0.5,91927,2.00 7,1,2024-09-07 08:36:10:854,258353,258353,0,0,121025417221,1270038484,255635,2587,131,382,391664,0 7,2,2024-09-07 08:36:10:771,186914,186914,0,0,8033959,0,2981 7,3,2024-09-07 08:36:10:852,1,147,1,0,305,1573,147,0 8,0,2024-09-07 08:36:11:332,34649,0.3,34839,0.4,69043,0.2,92182,1.50 8,1,2024-09-07 08:36:11:047,257888,257888,0,0,120720573843,1280154917,252288,4001,1599,367,391956,0 8,2,2024-09-07 08:36:10:822,181081,181081,0,0,9629611,0,2986 8,3,2024-09-07 08:36:10:590,1,147,10,0,357,2532,147,0 9,0,2024-09-07 08:36:11:110,35755,0.3,34728,0.5,72404,0.3,94858,1.75 9,1,2024-09-07 08:36:10:582,257559,257559,0,0,121072447142,1281419419,253074,3359,1126,370,391753,0 9,2,2024-09-07 08:36:11:089,183440,183440,0,0,8923243,0,3360 9,3,2024-09-07 08:36:11:771,1,147,8,0,496,2597,147,0 10,0,2024-09-07 08:36:11:608,40812,0.4,40612,0.6,81569,0.3,108815,1.75 10,1,2024-09-07 08:36:10:596,257926,257926,0,0,120252855487,1266367427,253456,3829,641,381,391741,0 10,2,2024-09-07 08:36:10:762,187960,187960,0,0,9255816,0,2940 10,3,2024-09-07 08:36:10:884,1,147,1,0,177,1183,147,0 11,0,2024-09-07 08:36:11:036,34649,1.1,33842,1.1,70969,1.7,94548,2.25 11,1,2024-09-07 08:36:10:576,258043,258043,0,0,120510808873,1272339962,252742,4031,1270,384,391537,0 11,2,2024-09-07 08:36:11:126,186826,186826,0,0,8987680,0,3411 11,3,2024-09-07 08:36:11:313,1,147,4,0,720,2800,147,0 12,0,2024-09-07 08:36:11:000,36373,0.3,36043,0.5,72452,0.2,95860,1.50 12,1,2024-09-07 08:36:10:960,258082,258082,0,0,120020936937,1258439666,255207,2465,410,370,391837,0 12,2,2024-09-07 08:36:11:567,186910,186910,0,0,9269060,0,3469 12,3,2024-09-07 08:36:11:074,1,147,80,0,358,2640,147,0 13,0,2024-09-07 08:36:11:341,34944,0.4,35009,0.5,69857,0.3,92687,1.75 13,1,2024-09-07 08:36:11:531,258091,258091,0,0,120569643042,1268924075,255677,2006,408,382,391717,0 13,2,2024-09-07 08:36:10:606,183633,183633,0,0,8404007,0,3287 13,3,2024-09-07 08:36:11:763,1,147,3,0,467,3129,147,0 14,0,2024-09-07 08:36:10:569,39704,0.5,39843,0.7,78853,0.5,106037,1.75 14,1,2024-09-07 08:36:11:572,259479,259479,0,0,120944762294,1258500057,257214,2102,163,364,391571,0 14,2,2024-09-07 08:36:10:765,184709,184709,0,0,8599939,0,2896 14,3,2024-09-07 08:36:11:118,1,147,18,0,1168,2879,147,0 15,0,2024-09-07 08:36:11:585,37502,1.3,37530,1.2,75449,1.7,100027,2.75 15,1,2024-09-07 08:36:11:617,258273,258273,0,0,121066607369,1263600629,256361,1803,109,381,391619,0 15,2,2024-09-07 08:36:11:003,189100,189100,0,0,7288813,0,3043 15,3,2024-09-07 08:36:11:409,1,147,1,0,1126,4280,147,0 16,0,2024-09-07 08:36:10:957,36262,0.5,36596,0.8,72489,0.5,96669,2.00 16,1,2024-09-07 08:36:10:573,258646,258646,0,0,120849649921,1267059532,256428,1957,261,372,391756,0 16,2,2024-09-07 08:36:11:434,186672,186672,0,0,9554403,0,4719 16,3,2024-09-07 08:36:11:149,1,147,9,0,231,2166,147,0 17,0,2024-09-07 08:36:11:773,36291,0.4,35398,0.6,69490,0.4,93835,1.75 17,1,2024-09-07 08:36:10:589,257806,257806,0,0,120389276823,1270124069,254455,2680,671,368,391688,0 17,2,2024-09-07 08:36:11:678,183724,183724,0,0,8369796,0,2857 17,3,2024-09-07 08:36:10:579,1,147,2,0,268,2438,147,0 18,0,2024-09-07 08:36:10:960,36355,0.9,36707,1.0,73515,1.0,98357,2.25 18,1,2024-09-07 08:36:11:639,258077,258077,0,0,120927147227,1258997314,256070,1773,234,368,391564,0 18,2,2024-09-07 08:36:11:770,183662,183662,0,0,8463673,0,3541 18,3,2024-09-07 08:36:10:937,1,147,1,0,163,1703,147,0 19,0,2024-09-07 08:36:11:543,40006,1.2,40431,1.1,79746,1.5,106044,2.75 19,1,2024-09-07 08:36:10:578,258815,258815,0,0,121058970028,1267031635,255002,3097,716,367,391777,0 19,2,2024-09-07 08:36:11:770,190573,190573,0,0,7778948,0,3988 19,3,2024-09-07 08:36:11:136,1,147,1,0,524,1469,147,0 20,0,2024-09-07 08:36:11:358,35384,0.7,35271,0.8,70763,0.7,94454,2.25 20,1,2024-09-07 08:36:10:580,257891,257891,0,0,120843338547,1271958029,254457,3044,390,369,391822,0 20,2,2024-09-07 08:36:10:934,187506,187506,0,0,8673181,0,3721 20,3,2024-09-07 08:36:10:589,1,147,17,0,414,2806,147,0 21,0,2024-09-07 08:36:11:190,34600,0.3,34604,0.5,68825,0.3,91246,1.75 21,1,2024-09-07 08:36:11:553,257528,257528,0,0,120271375914,1270317290,253903,3094,531,368,391962,0 21,2,2024-09-07 08:36:11:069,182086,182086,0,0,9224752,0,3747 21,3,2024-09-07 08:36:11:409,1,147,19,0,103,1912,147,0 22,0,2024-09-07 08:36:11:724,36004,0.7,36052,0.9,71524,0.7,96239,2.00 22,1,2024-09-07 08:36:11:023,257474,257474,0,0,119941667963,1271343188,251969,3996,1509,382,391667,0 22,2,2024-09-07 08:36:10:762,183650,183650,0,0,7950227,0,3134 22,3,2024-09-07 08:36:11:068,1,147,1,0,228,1688,147,0 23,0,2024-09-07 08:36:11:369,39823,0.7,39938,0.9,80260,0.8,107114,2.25 23,1,2024-09-07 08:36:11:006,258406,258406,0,0,120735725913,1267971784,254312,2612,1482,365,391549,0 23,2,2024-09-07 08:36:11:092,188467,188467,0,0,8349047,0,3010 23,3,2024-09-07 08:36:11:784,1,147,2,0,645,1744,147,0 24,0,2024-09-07 08:36:10:894,35674,0.6,35755,0.7,71636,0.6,95226,1.75 24,1,2024-09-07 08:36:10:600,258351,258351,0,0,120491707316,1264710803,255399,2153,799,369,391640,0 24,2,2024-09-07 08:36:11:070,186064,186064,0,0,9638208,0,2942 24,3,2024-09-07 08:36:11:699,1,147,11,0,234,1745,147,0 25,0,2024-09-07 08:36:11:345,36229,0.4,35283,0.6,69218,0.3,94119,1.75 25,1,2024-09-07 08:36:10:560,258477,258477,0,0,120476046840,1269137673,254794,3140,543,371,391788,0 25,2,2024-09-07 08:36:11:610,183900,183900,0,0,9649396,0,3978 25,3,2024-09-07 08:36:11:000,1,147,1,0,158,1704,147,0 26,0,2024-09-07 08:36:11:733,34909,0.4,34157,0.5,71766,0.3,93917,1.75 26,1,2024-09-07 08:36:11:545,258557,258557,0,0,120555046889,1270535358,253898,3758,901,381,391564,0 26,2,2024-09-07 08:36:10:882,183572,183572,0,0,8630812,0,2809 26,3,2024-09-07 08:36:11:715,1,147,1,0,796,2155,147,0 27,0,2024-09-07 08:36:11:737,40394,0.5,40412,0.7,80138,0.5,107920,1.75 27,1,2024-09-07 08:36:11:680,259697,259697,0,0,121257455292,1262042876,257880,1494,323,381,391539,0 27,2,2024-09-07 08:36:10:867,184989,184989,0,0,9518086,0,3409 27,3,2024-09-07 08:36:11:015,1,147,1,0,564,1915,147,0 28,0,2024-09-07 08:36:11:394,36105,1.0,36446,0.9,73229,1.2,97341,2.50 28,1,2024-09-07 08:36:10:801,258564,258564,0,0,121511217977,1270155356,256403,1825,336,383,391646,0 28,2,2024-09-07 08:36:11:772,188424,188424,0,0,7671250,0,2915 28,3,2024-09-07 08:36:11:780,1,147,13,0,502,1983,147,0 29,0,2024-09-07 08:36:11:368,37069,0.4,36257,0.6,70891,0.3,96832,1.75 29,1,2024-09-07 08:36:11:569,259590,259590,0,0,121102027123,1258739876,257164,1883,543,369,391621,0 29,2,2024-09-07 08:36:10:896,186998,186998,0,0,7454484,0,4018 29,3,2024-09-07 08:36:10:973,1,147,10,0,105,1199,147,0 30,0,2024-09-07 08:36:11:489,34872,0.5,33900,0.7,70979,0.5,92616,2.00 30,1,2024-09-07 08:36:10:572,259241,259241,0,0,121028595247,1260588515,257255,1708,278,382,391672,0 30,2,2024-09-07 08:36:11:292,183424,183424,0,0,7409845,0,3161 30,3,2024-09-07 08:36:10:587,1,147,1,0,195,1155,147,0 31,0,2024-09-07 08:36:11:765,37896,0.6,38274,0.8,77076,0.6,102415,2.00 31,1,2024-09-07 08:36:10:578,259864,259864,0,0,120881324226,1241776132,259064,688,112,356,391553,0 31,2,2024-09-07 08:36:11:280,183921,183921,0,0,9202472,0,3525 31,3,2024-09-07 08:36:11:712,1,147,9,0,220,1182,147,0 32,0,2024-09-07 08:36:11:422,39280,0.6,39679,0.7,79336,0.5,105047,1.75 32,1,2024-09-07 08:36:10:810,259142,259142,0,0,121481011751,1264669528,257400,1467,275,382,391595,0 32,2,2024-09-07 08:36:10:937,189354,189354,0,0,7568053,0,3155 32,3,2024-09-07 08:36:11:023,1,147,1,0,227,1445,147,0 33,0,2024-09-07 08:36:11:503,36397,0.4,35832,0.6,72415,0.3,96456,1.75 33,1,2024-09-07 08:36:10:574,259605,259605,0,0,121663758682,1263320336,257347,2086,172,369,391730,0 33,2,2024-09-07 08:36:10:760,187210,187177,33,0,9388554,0,7012 33,3,2024-09-07 08:36:10:916,1,147,7,0,329,1828,147,0 34,0,2024-09-07 08:36:10:933,34618,0.3,35732,0.4,68455,0.2,92252,1.50 34,1,2024-09-07 08:36:11:052,259867,259867,0,0,122021366530,1259495390,258872,989,6,367,391562,0 34,2,2024-09-07 08:36:10:766,181263,181263,0,0,8263690,0,3577 34,3,2024-09-07 08:36:11:699,1,147,2,0,148,964,147,0 35,0,2024-09-07 08:36:10:862,36052,0.5,36474,0.6,72780,0.4,97054,1.75 35,1,2024-09-07 08:36:11:069,258859,258859,0,0,120902353068,1260016583,256060,2029,770,384,391589,0 35,2,2024-09-07 08:36:11:582,183509,183509,0,0,7612691,0,2653 35,3,2024-09-07 08:36:10:936,1,147,2,0,418,1923,147,0 36,0,2024-09-07 08:36:11:526,40915,1.1,40716,1.1,82179,1.4,109580,2.50 36,1,2024-09-07 08:36:10:583,257912,257912,0,0,120613445167,1268532820,253294,3330,1288,366,391759,0 36,2,2024-09-07 08:36:11:756,188939,188939,0,0,9025619,0,3303 36,3,2024-09-07 08:36:10:864,1,147,1,0,378,1775,147,0 37,0,2024-09-07 08:36:11:373,34383,0.5,34476,0.8,68698,0.5,92796,2.00 37,1,2024-09-07 08:36:10:569,257719,257712,0,7,121002753223,1277494994,252955,2739,2018,365,391560,0 37,2,2024-09-07 08:36:11:151,187179,187164,15,0,9022105,0,5815 37,3,2024-09-07 08:36:11:769,1,147,0,0,724,2441,147,0 38,0,2024-09-07 08:36:11:443,34496,0.4,33436,0.6,69870,0.3,91746,2.00 38,1,2024-09-07 08:36:11:606,258889,258889,0,0,120846046456,1267889431,254878,3379,632,368,391821,0 38,2,2024-09-07 08:36:10:761,183340,183293,47,0,10682692,0,6710 38,3,2024-09-07 08:36:11:005,1,147,4,0,603,2090,147,0 39,0,2024-09-07 08:36:11:762,36562,0.6,35721,0.7,69865,0.6,95287,2.00 39,1,2024-09-07 08:36:10:722,258468,258468,0,0,120815910781,1264522249,254682,2985,801,365,391524,0 39,2,2024-09-07 08:36:11:417,183631,183631,0,0,7814352,0,2689 39,3,2024-09-07 08:36:10:713,1,147,2,0,276,2030,147,0 40,0,2024-09-07 08:36:11:502,40044,1.0,40786,1.2,80931,1.1,108308,3.25 40,1,2024-09-07 08:36:10:594,258662,258662,0,0,120610088808,1267419866,254252,3642,768,368,391591,0 40,2,2024-09-07 08:36:11:304,187136,187135,1,0,9863600,0,5137 40,3,2024-09-07 08:36:11:142,1,147,1,0,181,1506,147,0 41,0,2024-09-07 08:36:11:039,34767,2.4,35421,1.9,68016,3.7,93167,4.50 41,1,2024-09-07 08:36:10:767,258219,258219,0,0,120964974858,1270697896,254030,3658,531,370,391742,0 41,2,2024-09-07 08:36:10:759,185943,185943,0,0,9671820,0,3356 41,3,2024-09-07 08:36:11:679,1,147,1,0,366,1928,147,0 42,0,2024-09-07 08:36:11:477,35354,0.5,35743,0.8,71318,0.5,93979,2.25 42,1,2024-09-07 08:36:11:439,257189,257189,0,0,120127685336,1267807234,252492,3739,958,380,391675,0 42,2,2024-09-07 08:36:11:137,185238,185238,0,0,9359371,0,3568 42,3,2024-09-07 08:36:11:013,1,147,1,0,446,1395,147,0 43,0,2024-09-07 08:36:10:953,34831,0.7,34064,0.9,70640,0.7,92760,2.00 43,1,2024-09-07 08:36:10:583,258389,258389,0,0,121424724334,1269604936,255148,2448,793,366,391604,0 43,2,2024-09-07 08:36:11:737,183600,183600,0,0,8692472,0,3812 43,3,2024-09-07 08:36:11:769,1,147,0,0,325,2106,147,0 44,0,2024-09-07 08:36:10:885,39651,0.5,39683,0.8,79342,0.5,106621,2.00 44,1,2024-09-07 08:36:10:568,258652,258652,0,0,120058281040,1244873705,256594,1633,425,356,391809,0 44,2,2024-09-07 08:36:11:274,184250,184250,0,0,7372325,0,1877 44,3,2024-09-07 08:36:11:108,1,147,4,0,817,2016,147,0 45,0,2024-09-07 08:36:11:786,37084,1.6,36343,1.3,76388,2.1,100658,2.50 45,1,2024-09-07 08:36:11:007,258882,258882,0,0,121356744274,1260730510,257843,1029,10,382,391917,0 45,2,2024-09-07 08:36:11:297,189269,189269,0,0,8054665,0,3596 45,3,2024-09-07 08:36:10:939,1,147,12,0,226,1411,147,0 46,0,2024-09-07 08:36:10:955,36158,0.4,36034,0.6,72381,0.3,95717,1.75 46,1,2024-09-07 08:36:10:580,259251,259251,0,0,121110528963,1255887171,257443,1585,223,366,391524,0 46,2,2024-09-07 08:36:10:598,187988,187988,0,0,7995100,0,2920 46,3,2024-09-07 08:36:11:133,1,147,1,0,908,2887,147,0 47,0,2024-09-07 08:36:11:109,35212,0.3,35406,0.5,71089,0.2,93607,1.75 47,1,2024-09-07 08:36:10:567,259347,259347,0,0,120664672142,1248928988,257945,1385,17,366,391605,0 47,2,2024-09-07 08:36:10:938,183267,183267,0,0,8050285,0,2558 47,3,2024-09-07 08:36:11:118,1,147,53,0,529,1774,147,0 48,0,2024-09-07 08:36:11:500,37284,0.3,36869,0.5,74043,0.2,99014,1.75 48,1,2024-09-07 08:36:11:022,259188,259188,0,0,120950582669,1260203400,257128,1879,181,384,391710,0 48,2,2024-09-07 08:36:10:701,183888,183888,0,0,6828415,0,2083 48,3,2024-09-07 08:36:10:752,1,147,1,0,339,1403,147,0 49,0,2024-09-07 08:36:11:735,41570,0.6,40711,0.7,79183,0.7,107945,1.75 49,1,2024-09-07 08:36:11:030,258060,258060,0,0,120955065278,1265004119,255325,1895,840,382,391583,0 49,2,2024-09-07 08:36:11:797,190309,190309,0,0,8031704,0,3900 49,3,2024-09-07 08:36:11:416,1,147,1,0,408,2276,147,0 50,0,2024-09-07 08:36:11:516,35448,0.4,35057,0.6,70256,0.3,94394,1.75 50,1,2024-09-07 08:36:11:011,260031,260031,0,0,121707642436,1265516957,257507,2215,309,368,391530,0 50,2,2024-09-07 08:36:11:069,187436,187436,0,0,7577665,0,2253 50,3,2024-09-07 08:36:11:293,1,147,1,0,335,1299,147,0 51,0,2024-09-07 08:36:11:690,35105,0.3,34583,0.4,67240,0.2,91642,1.50 51,1,2024-09-07 08:36:11:681,258756,258756,0,0,122029910252,1265058962,256789,1181,786,367,391637,0 51,2,2024-09-07 08:36:11:320,181983,181983,0,0,7120190,0,3337 51,3,2024-09-07 08:36:11:039,1,147,4,0,162,885,147,0 52,0,2024-09-07 08:36:11:422,36286,0.6,36271,0.8,72583,0.6,96663,2.25 52,1,2024-09-07 08:36:10:580,257735,257735,0,0,120607358509,1275392166,252065,4515,1155,368,391722,0 52,2,2024-09-07 08:36:11:756,182295,182257,38,0,9542206,0,6742 52,3,2024-09-07 08:36:10:686,1,147,1,0,1782,3461,147,0 53,0,2024-09-07 08:36:11:751,39879,1.0,38814,1.0,81139,1.2,106462,2.75 53,1,2024-09-07 08:36:10:774,257410,257410,0,0,120693282361,1278224720,251791,3725,1894,367,391702,0 53,2,2024-09-07 08:36:11:298,189251,189251,0,0,7978863,0,2262 53,3,2024-09-07 08:36:10:698,1,147,0,0,133,1234,147,0 54,0,2024-09-07 08:36:11:621,34233,2.7,34681,1.5,68509,1.5,92408,4.25 54,1,2024-09-07 08:36:10:583,258314,258314,0,0,121350711036,1271036538,254344,3399,571,367,391532,0 54,2,2024-09-07 08:36:10:865,186677,186671,6,0,9535705,0,5382 54,3,2024-09-07 08:36:10:763,1,147,1,0,676,2796,147,0 55,0,2024-09-07 08:36:11:763,33947,0.5,34942,0.7,70937,0.4,92013,2.25 55,1,2024-09-07 08:36:10:772,257530,257530,0,0,120129614051,1262467745,252344,4399,787,365,391731,0 55,2,2024-09-07 08:36:10:731,184240,184240,0,0,9202907,0,3275 55,3,2024-09-07 08:36:10:675,1,147,4,0,304,1658,147,0 56,0,2024-09-07 08:36:11:551,36102,1.3,34166,1.1,69931,1.8,94171,2.50 56,1,2024-09-07 08:36:10:571,257938,257938,0,0,121016321429,1285091912,252814,4221,903,381,391678,0 56,2,2024-09-07 08:36:11:314,183113,183113,0,0,9769925,0,3567 56,3,2024-09-07 08:36:11:060,1,147,2,0,405,2159,147,0 57,0,2024-09-07 08:36:10:957,39303,2.6,39348,1.6,78734,4.0,105946,4.25 57,1,2024-09-07 08:36:10:987,258061,258061,0,0,120305193662,1262543622,255245,2646,170,368,391960,0 57,2,2024-09-07 08:36:11:319,186625,186625,0,0,10041680,0,3178 57,3,2024-09-07 08:36:11:741,1,147,2,0,359,2665,147,0 58,0,2024-09-07 08:36:10:586,35219,1.6,34336,1.3,71913,2.6,94018,3.00 58,1,2024-09-07 08:36:10:583,259208,259205,0,3,121234313001,1269688224,255690,3118,397,367,391516,3 58,2,2024-09-07 08:36:11:076,187807,187807,0,0,9147499,0,2549 58,3,2024-09-07 08:36:11:068,1,147,1,0,219,1240,147,0 59,0,2024-09-07 08:36:11:748,36267,0.8,36038,1.0,71942,0.9,95634,3.00 59,1,2024-09-07 08:36:10:804,258463,258463,0,0,120476342189,1264384116,254816,2725,922,369,391515,0 59,2,2024-09-07 08:36:10:583,187419,187419,0,0,7977042,0,2604 59,3,2024-09-07 08:36:11:740,1,147,0,0,1015,2853,147,0 60,0,2024-09-07 08:36:11:715,35205,0.4,35325,0.6,70124,0.3,92737,1.75 60,1,2024-09-07 08:36:10:774,259595,259595,0,0,121481223492,1262166362,258141,1097,357,370,391761,0 60,2,2024-09-07 08:36:11:158,182294,182294,0,0,9214534,0,3811 60,3,2024-09-07 08:36:11:289,1,147,6,0,124,1541,147,0 61,0,2024-09-07 08:36:11:516,38070,1.6,38426,1.2,76583,2.3,102561,2.25 61,1,2024-09-07 08:36:10:778,258223,258223,0,0,121036758936,1273145503,254789,2882,552,382,391589,0 61,2,2024-09-07 08:36:11:129,184961,184961,0,0,8147530,0,2079 61,3,2024-09-07 08:36:11:697,1,147,8,0,199,1882,147,0 62,0,2024-09-07 08:36:11:719,39564,1.6,40344,1.1,77352,2.7,104761,2.50 62,1,2024-09-07 08:36:11:111,260099,260093,0,6,121520395244,1256590097,258415,1639,39,365,391715,6 62,2,2024-09-07 08:36:11:645,188073,188072,1,0,9771479,0,5555 62,3,2024-09-07 08:36:11:145,1,147,3,0,287,1149,147,0 63,0,2024-09-07 08:36:11:452,35985,0.6,35994,0.7,72106,0.6,96057,2.00 63,1,2024-09-07 08:36:10:808,259549,259543,0,6,121493445815,1261099838,258305,1212,26,381,391542,6 63,2,2024-09-07 08:36:10:762,186977,186977,0,0,7529123,0,2674 63,3,2024-09-07 08:36:11:735,1,147,1,0,667,2363,147,0 64,0,2024-09-07 08:36:11:524,34450,0.4,34419,0.6,69042,0.3,92055,1.75 64,1,2024-09-07 08:36:10:763,258925,258925,0,0,121234447706,1271420236,255463,2409,1053,371,391783,0 64,2,2024-09-07 08:36:11:153,183101,183082,19,0,8302906,0,6121 64,3,2024-09-07 08:36:11:148,1,147,2,0,265,1747,147,0 65,0,2024-09-07 08:36:11:788,35634,1.0,36175,0.9,72203,1.3,96242,2.50 65,1,2024-09-07 08:36:10:866,258101,258101,0,0,120491441627,1264584871,255596,2283,222,382,391770,0 65,2,2024-09-07 08:36:11:713,183887,183887,0,0,8737773,0,3367 65,3,2024-09-07 08:36:11:712,1,147,7,0,163,1565,147,0 66,0,2024-09-07 08:36:11:767,40501,0.8,40505,0.9,81463,0.8,108547,2.50 66,1,2024-09-07 08:36:11:298,259410,259410,0,0,121526481090,1266347664,257535,1704,171,380,391588,0 66,2,2024-09-07 08:36:11:135,190624,190624,0,0,8168790,0,4956 66,3,2024-09-07 08:36:11:078,1,147,1,0,291,1664,147,0 67,0,2024-09-07 08:36:11:431,34866,0.9,34742,1.0,69550,1.2,92873,2.50 67,1,2024-09-07 08:36:10:805,258204,258203,0,1,120657192272,1266303201,254738,2719,746,381,391787,1 67,2,2024-09-07 08:36:10:600,187961,187961,0,0,7692296,0,2889 67,3,2024-09-07 08:36:11:756,1,147,2,0,138,1246,147,0 68,0,2024-09-07 08:36:10:576,34872,0.5,34678,0.7,69337,0.4,92007,2.00 68,1,2024-09-07 08:36:10:678,257720,257720,0,0,120558910303,1268269966,254878,1824,1018,381,391953,0 68,2,2024-09-07 08:36:11:054,182111,182046,65,0,10944140,0,6698 68,3,2024-09-07 08:36:10:730,1,147,1,0,417,1962,147,0 69,0,2024-09-07 08:36:11:742,35524,0.8,35766,0.9,70985,0.9,94865,2.25 69,1,2024-09-07 08:36:11:016,257141,257141,0,0,120128962707,1274497906,253151,2776,1214,384,391611,0 69,2,2024-09-07 08:36:11:736,183896,183896,0,0,9321832,0,3701 69,3,2024-09-07 08:36:10:770,1,147,25,0,238,1913,147,0 70,0,2024-09-07 08:36:11:539,40058,2.2,40282,1.6,80960,1.6,107682,3.25 70,1,2024-09-07 08:36:10:801,258915,258915,0,0,120691617486,1257606458,256500,1953,462,366,391725,0 70,2,2024-09-07 08:36:11:325,187432,187432,0,0,8789752,0,4044 70,3,2024-09-07 08:36:10:745,1,147,1,0,854,2052,147,0 71,0,2024-09-07 08:36:11:357,34708,2.4,34758,1.9,69138,3.9,93343,3.75 71,1,2024-09-07 08:36:11:600,258517,258517,0,0,120773844262,1268183635,254409,3585,523,368,391682,0 71,2,2024-09-07 08:36:11:068,187688,187688,0,0,8742918,0,2470 71,3,2024-09-07 08:36:11:754,1,147,1,0,644,2522,147,0 72,0,2024-09-07 08:36:11:041,37114,0.5,36303,0.7,71129,0.4,96159,2.25 72,1,2024-09-07 08:36:11:028,258539,258539,0,0,120923755767,1265551279,255296,2757,486,369,391819,0 72,2,2024-09-07 08:36:11:760,185052,185052,0,0,10249179,0,2570 72,3,2024-09-07 08:36:11:768,1,147,7,0,325,2374,147,0 73,0,2024-09-07 08:36:11:103,34024,0.4,34801,0.6,71348,0.3,92483,2.25 73,1,2024-09-07 08:36:10:771,258962,258962,0,0,120670232061,1254846163,257159,1688,115,368,391627,0 73,2,2024-09-07 08:36:11:747,183446,183446,0,0,9484753,0,3482 73,3,2024-09-07 08:36:10:970,1,147,1,0,274,2505,147,0 74,0,2024-09-07 08:36:11:333,39885,0.6,40632,0.8,77521,0.5,106217,2.25 74,1,2024-09-07 08:36:10:641,258638,258638,0,0,120014634234,1256017881,255854,2164,620,382,391681,0 74,2,2024-09-07 08:36:11:006,184731,184731,0,0,9475343,0,4253 74,3,2024-09-07 08:36:11:445,1,147,1,0,522,2690,147,0 75,0,2024-09-07 08:36:11:783,37769,1.6,37548,1.3,75109,2.2,100927,3.00 75,1,2024-09-07 08:36:11:589,258225,258225,0,0,120811606068,1268904106,254840,2925,460,381,391579,0 75,2,2024-09-07 08:36:11:353,188104,188104,0,0,9066411,0,4766 75,3,2024-09-07 08:36:11:068,1,147,1,0,535,1730,147,0 76,0,2024-09-07 08:36:10:608,36048,0.4,35845,0.7,71748,0.3,95994,2.25 76,1,2024-09-07 08:36:10:808,258564,258564,0,0,120469115681,1260389829,256504,1549,511,382,391692,0 76,2,2024-09-07 08:36:11:071,188136,188136,0,0,7970806,0,3064 76,3,2024-09-07 08:36:11:148,1,147,2,0,175,1676,147,0 77,0,2024-09-07 08:36:11:718,35266,0.5,35480,0.7,70944,0.5,93594,1.75 77,1,2024-09-07 08:36:10:832,259438,259438,0,0,121004586684,1264375572,257850,1506,82,383,391808,0 77,2,2024-09-07 08:36:11:292,181935,181935,0,0,8142612,0,3890 77,3,2024-09-07 08:36:11:107,1,147,5,0,305,1940,147,0 78,0,2024-09-07 08:36:11:783,36898,0.5,36839,0.8,74209,0.5,98703,2.00 78,1,2024-09-07 08:36:10:615,258832,258832,0,0,120663082124,1258796038,256503,2077,252,367,391589,0 78,2,2024-09-07 08:36:11:410,184670,184670,0,0,7709648,0,2114 78,3,2024-09-07 08:36:11:133,1,147,23,0,181,1613,147,0 79,0,2024-09-07 08:36:11:350,39205,0.6,40050,0.8,81832,0.6,106470,2.75 79,1,2024-09-07 08:36:10:573,259453,259453,0,0,121234678634,1259384263,257270,2012,171,369,391682,0 79,2,2024-09-07 08:36:11:069,190726,190726,0,0,7986218,0,3212 79,3,2024-09-07 08:36:10:751,1,147,6,0,418,2860,147,0 80,0,2024-09-07 08:36:11:105,35231,0.7,36051,0.8,69485,0.7,94426,2.25 80,1,2024-09-07 08:36:11:620,257978,257978,0,0,121081433414,1264594368,255469,2350,159,368,391673,0 80,2,2024-09-07 08:36:11:097,187980,187980,0,0,8346198,0,4433 80,3,2024-09-07 08:36:10:582,1,147,2,0,190,2546,147,0 81,0,2024-09-07 08:36:11:572,34353,0.5,35415,0.6,67798,0.4,91277,1.75 81,1,2024-09-07 08:36:11:679,258285,258285,0,0,119891824462,1257454761,255809,2204,272,382,391879,0 81,2,2024-09-07 08:36:11:138,181715,181715,0,0,8768078,0,3993 81,3,2024-09-07 08:36:11:118,1,147,3,0,193,1542,147,0 82,0,2024-09-07 08:36:11:537,35929,0.5,36096,0.8,72419,0.5,96720,2.00 82,1,2024-09-07 08:36:10:599,258822,258818,0,4,121034362776,1265670619,256378,1864,576,382,391558,4 82,2,2024-09-07 08:36:11:700,184728,184728,0,0,8076322,0,3986 82,3,2024-09-07 08:36:11:756,1,147,1,0,227,1797,147,0 83,0,2024-09-07 08:36:11:531,40210,0.8,40117,0.9,79534,0.9,106544,2.25 83,1,2024-09-07 08:36:10:556,258619,258619,0,0,120062878741,1258274932,256172,2243,204,382,391690,0 83,2,2024-09-07 08:36:10:764,188630,188630,0,0,8061686,0,3119 83,3,2024-09-07 08:36:10:751,1,147,3,0,241,1745,147,0 84,0,2024-09-07 08:36:11:766,34875,1.7,34567,1.5,69683,1.4,93695,3.00 84,1,2024-09-07 08:36:11:040,257668,257668,0,0,120754499864,1268926748,254290,2924,454,368,391852,0 84,2,2024-09-07 08:36:10:572,187117,187117,0,0,9120005,0,3801 84,3,2024-09-07 08:36:11:141,1,147,0,0,236,1611,147,0 85,0,2024-09-07 08:36:11:043,33947,0.5,33961,0.7,71927,0.4,93144,2.00 85,1,2024-09-07 08:36:10:559,257405,257405,0,0,120317970316,1279607620,252010,4318,1077,382,391679,0 85,2,2024-09-07 08:36:10:867,184896,184896,0,0,9853342,0,3656 85,3,2024-09-07 08:36:10:702,1,147,11,0,789,2285,147,0 86,0,2024-09-07 08:36:10:918,35191,0.6,36147,0.7,69241,0.6,93944,2.00 86,1,2024-09-07 08:36:10:844,258081,258081,0,0,120634858419,1268454011,254136,3402,543,366,391961,0 86,2,2024-09-07 08:36:10:866,182146,182145,1,0,10083285,0,5004 86,3,2024-09-07 08:36:10:592,1,147,1,0,286,2328,147,0 87,0,2024-09-07 08:36:11:315,39944,1.7,39890,1.2,79803,2.7,107783,2.50 87,1,2024-09-07 08:36:10:605,257781,257781,0,0,121273317663,1274396807,254573,2817,391,366,391788,0 87,2,2024-09-07 08:36:11:071,185802,185802,0,0,8488837,0,3515 87,3,2024-09-07 08:36:11:793,1,147,1,0,335,2784,147,0 88,0,2024-09-07 08:36:11:453,36511,0.8,36648,0.8,73127,0.9,97387,2.00 88,1,2024-09-07 08:36:10:573,257242,257242,0,0,120583317109,1269890755,253224,2853,1165,365,391787,0 88,2,2024-09-07 08:36:10:711,187989,187989,0,0,9748760,0,3583 88,3,2024-09-07 08:36:11:268,1,147,1,0,435,2050,147,0 89,0,2024-09-07 08:36:11:772,37337,0.4,36180,0.7,71574,0.4,97131,1.75 89,1,2024-09-07 08:36:10:557,256971,256971,0,0,120591526668,1280451070,251544,4492,935,382,391866,0 89,2,2024-09-07 08:36:11:147,186480,186480,0,0,9521493,0,2910 89,3,2024-09-07 08:36:11:792,1,147,1,0,325,3038,147,0 90,0,2024-09-07 08:36:11:627,34029,0.4,34752,0.5,71440,0.3,92503,1.75 90,1,2024-09-07 08:36:10:594,258696,258696,0,0,120758693501,1271288891,255481,2959,256,382,391825,0 90,2,2024-09-07 08:36:11:409,181663,181663,0,0,10150600,0,3060 90,3,2024-09-07 08:36:10:934,1,147,1,0,200,1824,147,0 91,0,2024-09-07 08:36:10:974,38733,0.5,37333,0.6,77837,0.5,102977,1.75 91,1,2024-09-07 08:36:10:581,257953,257953,0,0,121258103445,1277281810,254222,3236,495,381,392047,0 91,2,2024-09-07 08:36:11:331,183181,183181,0,0,9617045,0,2445 91,3,2024-09-07 08:36:10:612,1,147,4,0,216,1848,147,0 92,0,2024-09-07 08:36:11:458,39815,0.9,40773,0.9,78041,1.0,105171,2.00 92,1,2024-09-07 08:36:10:583,258581,258581,0,0,120784109309,1265138426,256428,1753,400,382,391717,0 92,2,2024-09-07 08:36:11:351,190972,190972,0,0,8257173,0,2801 92,3,2024-09-07 08:36:11:013,1,147,5,0,68,1300,147,0 93,0,2024-09-07 08:36:10:965,36344,0.4,37119,0.6,71000,0.4,96578,1.75 93,1,2024-09-07 08:36:10:808,258321,258321,0,0,121049168120,1268501814,255224,2548,549,366,391692,0 93,2,2024-09-07 08:36:10:933,187070,187070,0,0,8108669,0,2509 93,3,2024-09-07 08:36:11:406,1,147,1,0,143,1644,147,0 94,0,2024-09-07 08:36:11:619,34658,0.3,34921,0.5,69184,0.2,91974,1.50 94,1,2024-09-07 08:36:10:574,258503,258503,0,0,120843441476,1267724250,256067,2344,92,381,391850,0 94,2,2024-09-07 08:36:10:764,181834,181834,0,0,7939802,0,2443 94,3,2024-09-07 08:36:11:688,1,147,4,0,264,2344,147,0 95,0,2024-09-07 08:36:11:355,36379,0.4,36337,0.6,73081,0.3,96965,1.75 95,1,2024-09-07 08:36:10:869,258879,258879,0,0,121082029660,1263213623,256515,2183,181,367,391662,0 95,2,2024-09-07 08:36:11:024,183945,183945,0,0,8240136,0,3308 95,3,2024-09-07 08:36:11:712,1,147,14,0,718,3001,147,0 96,0,2024-09-07 08:36:11:076,40986,0.6,41015,0.7,82218,0.6,109374,1.75 96,1,2024-09-07 08:36:11:589,257911,257911,0,0,120701788680,1266554589,255315,1954,642,385,391894,0 96,2,2024-09-07 08:36:11:298,189167,189167,0,0,8843695,0,4042 96,3,2024-09-07 08:36:11:146,1,147,41,0,188,1731,147,0 97,0,2024-09-07 08:36:11:375,34952,0.5,34836,0.6,69790,0.5,93045,1.75 97,1,2024-09-07 08:36:10:765,258680,258680,0,0,121711460636,1269406277,255901,2231,548,367,392140,0 97,2,2024-09-07 08:36:10:606,187237,187237,0,0,7961547,0,3036 97,3,2024-09-07 08:36:10:571,1,147,2,0,165,1691,147,0 98,0,2024-09-07 08:36:11:718,34864,0.2,34602,0.4,69599,0.2,92319,1.50 98,1,2024-09-07 08:36:10:578,258688,258688,0,0,120896706831,1263751211,256879,1722,87,382,391997,0 98,2,2024-09-07 08:36:10:778,184275,184275,0,0,8351667,0,3080 98,3,2024-09-07 08:36:10:704,1,147,1,0,840,3012,147,0 99,0,2024-09-07 08:36:11:461,35727,0.3,35713,0.4,71465,0.2,95163,1.50 99,1,2024-09-07 08:36:11:724,258677,258677,0,0,120295690672,1260431472,255623,2360,694,381,391744,0 99,2,2024-09-07 08:36:11:421,185570,185570,0,0,8004085,0,2615 99,3,2024-09-07 08:36:10:582,1,147,3,0,129,1068,147,0 100,0,2024-09-07 08:36:11:459,40504,1.4,40522,1.4,80956,2.4,108553,2.50 100,1,2024-09-07 08:36:10:558,256626,256626,0,0,119876847532,1275871314,251445,4250,931,381,391989,0 100,2,2024-09-07 08:36:11:817,186662,186651,11,0,9367915,0,5417 100,3,2024-09-07 08:36:11:735,1,147,1,0,559,3471,147,0 101,0,2024-09-07 08:36:11:711,35783,3.4,34844,1.8,68444,4.3,94574,3.25 101,1,2024-09-07 08:36:10:557,257112,257112,0,0,120382546410,1278195763,251763,3873,1476,368,391769,0 101,2,2024-09-07 08:36:11:756,186838,186838,0,0,10295921,0,4644 101,3,2024-09-07 08:36:10:944,1,147,1,0,448,1974,147,0 102,0,2024-09-07 08:36:11:028,35068,0.6,36481,0.8,73041,0.5,95461,2.00 102,1,2024-09-07 08:36:11:200,257745,257745,0,0,120258040765,1270445366,253678,3356,711,369,391883,0 102,2,2024-09-07 08:36:11:743,186695,186641,54,0,9834616,0,6768 102,3,2024-09-07 08:36:11:616,1,147,4,0,410,1649,147,0 103,0,2024-09-07 08:36:11:603,35907,0.4,35928,0.6,67685,0.4,92938,1.75 103,1,2024-09-07 08:36:11:648,257048,257048,0,0,120509148000,1275553988,252626,3214,1208,381,391829,0 103,2,2024-09-07 08:36:10:596,182380,182380,0,0,8583403,0,2104 103,3,2024-09-07 08:36:10:766,1,147,2,0,916,2880,147,0 104,0,2024-09-07 08:36:11:026,39172,1.8,39359,1.3,77583,2.6,106133,2.50 104,1,2024-09-07 08:36:11:606,258744,258744,0,0,120479821611,1275139104,253756,4029,959,366,391948,0 104,2,2024-09-07 08:36:11:671,183916,183916,0,0,9182595,0,3941 104,3,2024-09-07 08:36:11:417,1,147,2,1,1245,5023,147,0 105,0,2024-09-07 08:36:11:028,37229,2.1,36202,1.6,75468,3.0,99874,4.00 105,1,2024-09-07 08:36:10:556,258794,258794,0,0,120416720433,1268092393,254598,3423,773,367,391797,0 105,2,2024-09-07 08:36:11:329,188240,188240,0,0,8911540,0,3509 105,3,2024-09-07 08:36:11:315,1,147,4,1,399,2248,147,0 106,0,2024-09-07 08:36:10:987,35052,0.7,35972,0.9,73319,0.7,95807,2.25 106,1,2024-09-07 08:36:11:755,257918,257918,0,0,121016458626,1273011135,254157,3377,384,369,391767,0 106,2,2024-09-07 08:36:10:766,186694,186694,0,0,9120945,0,2795 106,3,2024-09-07 08:36:10:690,1,147,4,0,405,2311,147,0 107,0,2024-09-07 08:36:11:169,35341,0.4,35312,0.6,70224,0.3,93807,1.75 107,1,2024-09-07 08:36:10:628,257640,257640,0,0,120090273239,1265561117,254671,2740,229,381,392234,0 107,2,2024-09-07 08:36:11:295,181578,181577,1,0,9070105,0,5024 107,3,2024-09-07 08:36:11:763,1,147,5,0,353,1832,147,0 108,0,2024-09-07 08:36:11:804,37099,0.4,37355,0.6,73940,0.4,99222,1.75 108,1,2024-09-07 08:36:11:319,258185,258185,0,0,121190560709,1268619875,255536,2339,310,368,391857,0 108,2,2024-09-07 08:36:11:786,183172,183172,0,0,8686077,0,2647 108,3,2024-09-07 08:36:11:331,1,147,14,0,667,3188,147,0 109,0,2024-09-07 08:36:11:769,40617,0.5,40481,0.7,80691,0.5,108147,1.75 109,1,2024-09-07 08:36:10:615,257729,257729,0,0,121041954859,1274350530,254956,2277,496,383,392132,0 109,2,2024-09-07 08:36:10:924,189396,189396,0,0,9042381,0,3617 109,3,2024-09-07 08:36:11:150,1,147,5,1,249,2199,147,0 110,0,2024-09-07 08:36:11:778,35585,0.4,34503,0.7,72126,0.4,94765,1.75 110,1,2024-09-07 08:36:11:643,258731,258731,0,0,121342467304,1266505065,256123,1844,764,370,391667,0 110,2,2024-09-07 08:36:11:310,187930,187930,0,0,7984467,0,2915 110,3,2024-09-07 08:36:10:703,1,147,78,0,406,2216,147,0 111,0,2024-09-07 08:36:11:419,34554,0.2,34090,0.4,68209,0.1,91502,1.50 111,1,2024-09-07 08:36:11:011,259263,259263,0,0,121873396766,1267949309,257489,1442,332,382,391690,0 111,2,2024-09-07 08:36:11:119,182066,182066,0,0,8764666,0,2763 111,3,2024-09-07 08:36:10:935,1,147,1,0,379,2508,147,0 112,0,2024-09-07 08:36:10:946,36260,0.3,36192,0.5,72501,0.2,96954,1.50 112,1,2024-09-07 08:36:10:835,259444,259444,0,0,121734427667,1268937552,257119,1900,425,381,391580,0 112,2,2024-09-07 08:36:11:137,184062,184061,1,0,8755698,0,5036 112,3,2024-09-07 08:36:10:595,1,147,1,0,282,1803,147,0 113,0,2024-09-07 08:36:10:878,40032,0.4,39815,0.6,80639,0.3,107638,1.75 113,1,2024-09-07 08:36:11:698,259290,259290,0,0,121596957096,1262586424,256877,1925,488,366,391661,0 113,2,2024-09-07 08:36:11:303,189999,189999,0,0,7681924,0,3813 113,3,2024-09-07 08:36:10:689,1,147,1,0,288,2338,147,0 114,0,2024-09-07 08:36:10:891,35654,0.7,36234,0.7,70962,0.5,95322,2.00 114,1,2024-09-07 08:36:10:726,258954,258954,0,0,121053665458,1271951251,254827,2664,1463,381,391534,0 114,2,2024-09-07 08:36:10:886,187024,187024,0,0,7960791,0,3925 114,3,2024-09-07 08:36:11:284,1,147,5,0,395,1954,147,0 115,0,2024-09-07 08:36:10:559,35157,0.2,35419,0.4,70930,0.2,93915,1.50 115,1,2024-09-07 08:36:10:573,258805,258805,0,0,121306799988,1269039465,255166,2818,821,382,391602,0 115,2,2024-09-07 08:36:11:125,185761,185761,0,0,7492643,0,2152 115,3,2024-09-07 08:36:11:003,1,147,1,0,159,1056,147,0 116,0,2024-09-07 08:36:11:707,35341,0.6,34912,0.8,70210,0.6,93912,2.00 116,1,2024-09-07 08:36:10:808,256840,256840,0,0,119771472314,1278197390,251957,3130,1753,382,391677,0 116,2,2024-09-07 08:36:11:762,182361,182361,0,0,10347665,0,3529 116,3,2024-09-07 08:36:10:931,1,147,0,0,252,2163,147,0 117,0,2024-09-07 08:36:10:962,39860,1.6,39953,1.2,79701,2.6,107690,2.25 117,1,2024-09-07 08:36:11:577,258256,258256,0,0,120530587882,1268442833,254591,3238,427,370,392033,0 117,2,2024-09-07 08:36:11:119,186937,186937,0,0,8242217,0,3700 117,3,2024-09-07 08:36:11:059,1,147,2,0,490,3141,147,0 118,0,2024-09-07 08:36:11:783,35523,1.1,36571,1.0,74286,1.3,96696,2.25 118,1,2024-09-07 08:36:10:595,257774,257774,0,0,120044963933,1272066001,252953,3502,1319,368,391736,0 118,2,2024-09-07 08:36:11:588,187692,187692,0,0,9360517,0,2781 118,3,2024-09-07 08:36:11:764,1,147,1,0,235,2018,147,0 119,0,2024-09-07 08:36:11:408,35914,0.7,36045,0.9,72995,0.7,96170,2.00 119,1,2024-09-07 08:36:10:560,258402,258402,0,0,121113140527,1267644721,255929,2186,287,370,391641,0 119,2,2024-09-07 08:36:11:298,186095,186095,0,0,8789434,0,3526 119,3,2024-09-07 08:36:11:345,1,147,22,0,443,2823,147,0 120,0,2024-09-07 08:36:11:573,34781,0.5,34891,0.7,69624,0.4,92679,2.00 120,1,2024-09-07 08:36:10:866,258633,258633,0,0,120228770313,1265518222,255533,2841,259,368,391961,0 120,2,2024-09-07 08:36:10:772,181825,181824,1,0,10637110,0,5281 120,3,2024-09-07 08:36:11:292,1,147,2,0,241,2162,147,0 121,0,2024-09-07 08:36:11:689,37975,1.8,38465,1.3,76452,3.0,102500,2.50 121,1,2024-09-07 08:36:11:662,258516,258516,0,0,120434647151,1262868692,255792,2436,288,367,391840,0 121,2,2024-09-07 08:36:11:127,183227,183227,0,0,9646421,0,4127 121,3,2024-09-07 08:36:10:726,1,147,2,0,269,2131,147,0 122,0,2024-09-07 08:36:11:787,39190,1.3,38166,1.2,79972,1.5,105208,2.25 122,1,2024-09-07 08:36:10:860,257342,257342,0,0,120480890429,1270412568,253211,3426,705,366,392130,0 122,2,2024-09-07 08:36:11:321,189382,189382,0,0,10799329,0,3364 122,3,2024-09-07 08:36:10:593,1,147,2,0,411,3696,147,0 123,0,2024-09-07 08:36:10:971,36085,0.8,35151,0.9,73620,1.0,96107,2.25 123,1,2024-09-07 08:36:10:575,258714,258714,0,0,120514476275,1273968612,253642,4378,694,369,391823,0 123,2,2024-09-07 08:36:11:020,185783,185782,1,0,9293159,0,5215 123,3,2024-09-07 08:36:11:135,1,147,1,0,168,1927,147,0 124,0,2024-09-07 08:36:10:949,35622,0.3,35586,0.5,67264,0.2,92326,1.50 124,1,2024-09-07 08:36:11:030,258448,258448,0,0,120709164443,1257743908,256369,1724,355,367,392178,0 124,2,2024-09-07 08:36:11:010,182424,182424,0,0,7989914,0,3101 124,3,2024-09-07 08:36:10:764,1,147,0,0,490,2187,147,0 125,0,2024-09-07 08:36:11:455,36461,0.4,36469,0.6,73111,0.4,97103,1.75 125,1,2024-09-07 08:36:10:859,258621,258621,0,0,121237121498,1269706091,256263,2083,275,384,391702,0 125,2,2024-09-07 08:36:11:119,184266,184266,0,0,7966485,0,2180 125,3,2024-09-07 08:36:11:134,1,147,2,0,284,2003,147,0 126,0,2024-09-07 08:36:11:463,41032,0.8,42100,0.8,80470,0.9,110167,2.00 126,1,2024-09-07 08:36:10:560,258939,258939,0,0,121125768281,1259197196,257313,1548,78,365,391987,0 126,2,2024-09-07 08:36:10:613,189829,189829,0,0,8357118,0,3186 126,3,2024-09-07 08:36:10:936,1,147,1,0,150,2212,147,0 127,0,2024-09-07 08:36:11:622,34675,0.4,34980,0.6,69700,0.4,92980,1.75 127,1,2024-09-07 08:36:10:577,258275,258275,0,0,120794578980,1255302903,256216,2019,40,365,391816,0 127,2,2024-09-07 08:36:10:636,187326,187326,0,0,7831599,0,2264 127,3,2024-09-07 08:36:11:268,1,147,1,0,243,1388,147,0 128,0,2024-09-07 08:36:11:546,34969,0.3,34764,0.4,69451,0.2,92323,1.50 128,1,2024-09-07 08:36:11:612,259043,259043,0,0,121194974973,1257863501,258071,900,72,367,391680,0 128,2,2024-09-07 08:36:11:394,183284,183284,0,0,7850344,0,2107 128,3,2024-09-07 08:36:10:767,1,147,5,0,333,2245,147,0 129,0,2024-09-07 08:36:11:000,35980,0.3,35755,0.5,71448,0.3,94979,1.75 129,1,2024-09-07 08:36:10:567,257971,257971,0,0,120181741357,1263155659,255049,2530,392,379,391835,0 129,2,2024-09-07 08:36:10:694,183766,183766,0,0,8186095,0,4031 129,3,2024-09-07 08:36:10:692,1,147,1,0,173,1874,147,0 130,0,2024-09-07 08:36:11:743,40963,0.8,40669,0.8,81702,1.1,109464,2.00 130,1,2024-09-07 08:36:10:593,258850,258850,0,0,120605718096,1260588824,256748,2031,71,381,391825,0 130,2,2024-09-07 08:36:11:128,187890,187890,0,0,8094870,0,4067 130,3,2024-09-07 08:36:11:292,1,147,450,0,450,1919,147,0 131,0,2024-09-07 08:36:11:963,35459,0.6,35577,0.7,71913,0.8,94968,1.75 131,1,2024-09-07 08:36:11:821,259874,259874,0,0,121372309469,1265771178,258412,1256,206,383,391865,0 131,2,2024-09-07 08:36:10:572,187730,187730,0,0,7646447,0,2415 131,3,2024-09-07 08:36:11:700,1,147,13,0,392,1787,147,0 132,0,2024-09-07 08:36:11:429,35657,0.5,36133,0.7,72353,0.4,95892,2.00 132,1,2024-09-07 08:36:10:588,257165,257165,0,0,120620186289,1280786520,251766,4369,1030,381,391760,0 132,2,2024-09-07 08:36:10:700,185811,185811,0,0,10569554,0,4606 132,3,2024-09-07 08:36:11:702,1,147,3,0,356,2812,147,0 133,0,2024-09-07 08:36:11:525,34045,0.4,34770,0.6,71341,0.4,92086,2.00 133,1,2024-09-07 08:36:10:583,256916,256916,0,0,120685056291,1278968748,252417,3861,638,383,391914,0 133,2,2024-09-07 08:36:11:102,182465,182465,0,0,10479394,0,4315 133,3,2024-09-07 08:36:11:313,1,147,2,0,187,1360,147,0 134,0,2024-09-07 08:36:10:957,39535,0.6,39315,0.8,79349,0.7,105898,2.00 134,1,2024-09-07 08:36:10:591,257538,257538,0,0,120134233505,1265247615,253188,3128,1222,366,391718,0 134,2,2024-09-07 08:36:11:756,184221,184221,0,0,8681089,0,3096 134,3,2024-09-07 08:36:10:750,1,147,9,0,739,2877,147,0 135,0,2024-09-07 08:36:11:098,36685,1.8,36593,1.4,77521,2.2,99586,2.50 135,1,2024-09-07 08:36:11:604,257442,257442,0,0,120315853748,1270979321,253257,3484,701,380,391725,0 135,2,2024-09-07 08:36:10:686,188961,188961,0,0,9599679,0,3981 135,3,2024-09-07 08:36:11:010,1,147,6,0,89,861,147,0 136,0,2024-09-07 08:36:11:621,36443,0.6,36263,0.8,73053,0.5,96730,2.00 136,1,2024-09-07 08:36:11:445,257791,257791,0,0,121072188944,1271629237,254532,3086,173,384,391641,0 136,2,2024-09-07 08:36:11:146,188261,188261,0,0,9137356,0,3506 136,3,2024-09-07 08:36:11:115,1,147,0,0,108,1281,147,0 137,0,2024-09-07 08:36:10:932,36197,0.5,35177,0.7,69413,0.4,93986,1.75 137,1,2024-09-07 08:36:10:580,258208,258208,0,0,120958732095,1269743339,254170,3619,419,367,391608,0 137,2,2024-09-07 08:36:11:713,181501,181501,0,0,10344962,0,3185 137,3,2024-09-07 08:36:10:772,1,147,2,1,227,1820,147,0 138,0,2024-09-07 08:36:11:757,36622,1.5,36722,1.2,73998,2.2,98965,2.50 138,1,2024-09-07 08:36:11:690,258341,258341,0,0,120779323166,1266226526,255308,2683,350,368,391954,0 138,2,2024-09-07 08:36:10:594,184598,184598,0,0,8406363,0,3263 138,3,2024-09-07 08:36:10:621,1,147,8,0,1160,2717,147,0 139,0,2024-09-07 08:36:11:376,39489,3.1,39653,1.8,79856,4.4,106858,3.25 139,1,2024-09-07 08:36:10:580,257304,257304,0,0,119616269780,1273344696,252247,3743,1314,381,391892,0 139,2,2024-09-07 08:36:10:697,188335,188335,0,0,9694629,0,3097 139,3,2024-09-07 08:36:11:666,1,147,2,0,244,1758,147,0 140,0,2024-09-07 08:36:11:615,35691,0.4,35388,0.6,71280,0.3,94810,1.75 140,1,2024-09-07 08:36:11:539,259506,259506,0,0,121443934091,1255913899,257991,1275,240,365,391606,0 140,2,2024-09-07 08:36:10:693,187801,187801,0,0,8368652,0,3388 140,3,2024-09-07 08:36:10:767,1,147,1,0,247,1411,147,0 141,0,2024-09-07 08:36:11:709,34090,0.2,35187,0.4,67528,0.1,91461,1.50 141,1,2024-09-07 08:36:10:867,259056,259056,0,0,121353074116,1262751796,257118,1585,353,379,391614,0 141,2,2024-09-07 08:36:11:698,182514,182514,0,0,7833997,0,2342 141,3,2024-09-07 08:36:11:043,1,147,1,0,147,1285,147,0 142,0,2024-09-07 08:36:11:379,36583,0.3,36401,0.5,72166,0.3,97085,1.75 142,1,2024-09-07 08:36:10:598,258800,258800,0,0,120731742232,1261406023,257259,1382,159,383,391728,0 142,2,2024-09-07 08:36:11:347,182609,182577,32,0,9108357,0,6028 142,3,2024-09-07 08:36:11:760,1,147,1,0,484,2133,147,0 143,0,2024-09-07 08:36:11:384,40248,0.8,40188,0.8,81017,0.9,107491,2.00 143,1,2024-09-07 08:36:10:567,258765,258765,0,0,121094403582,1260309820,256662,2061,42,367,391619,0 143,2,2024-09-07 08:36:10:783,188704,188704,0,0,8431934,0,2669 143,3,2024-09-07 08:36:11:146,1,147,7,0,303,2556,147,0 144,0,2024-09-07 08:36:11:529,34031,1.1,35076,1.7,70964,1.2,94020,2.25 144,1,2024-09-07 08:36:10:598,257907,257907,0,0,120784744290,1270736276,255520,2184,203,381,391649,0 144,2,2024-09-07 08:36:11:768,187660,187660,0,0,8173929,0,3473 144,3,2024-09-07 08:36:11:739,1,147,5,0,249,2035,147,0 145,0,2024-09-07 08:36:11:364,34055,0.5,34021,0.8,72160,0.5,93438,2.00 145,1,2024-09-07 08:36:10:563,256592,256592,0,0,119907740155,1269486794,252206,3651,735,383,391615,0 145,2,2024-09-07 08:36:11:429,184742,184742,0,0,9150007,0,3903 145,3,2024-09-07 08:36:10:894,1,147,5,0,151,1788,147,0 146,0,2024-09-07 08:36:11:660,35065,0.5,34890,0.7,70566,0.4,93559,2.00 146,1,2024-09-07 08:36:11:589,258755,258755,0,0,120487209101,1267761135,254311,3585,859,368,391629,0 146,2,2024-09-07 08:36:11:716,183632,183632,0,0,8640381,0,2498 146,3,2024-09-07 08:36:11:280,1,147,1,0,1520,5123,147,0 147,0,2024-09-07 08:36:11:729,40060,1.0,39908,1.0,79208,1.0,107543,2.50 147,1,2024-09-07 08:36:11:379,259502,259502,0,0,121153234997,1266049575,256405,2602,495,368,391791,0 147,2,2024-09-07 08:36:11:014,186525,186525,0,0,8257983,0,2789 147,3,2024-09-07 08:36:10:937,1,147,2,0,371,1963,147,0 0,0,2024-09-07 08:36:21:760,33895,0.5,33990,0.7,71768,0.4,92582,1.75 0,1,2024-09-07 08:36:20:813,260113,260113,0,0,122109579684,1280708106,258150,1828,135,372,391692,0 0,2,2024-09-07 08:36:21:066,183626,183626,0,0,8480272,0,4480 0,3,2024-09-07 08:36:20:974,1,148,1,0,247,2020,148,0 1,0,2024-09-07 08:36:21:808,38810,1.5,38423,1.3,77122,2.1,103480,2.25 1,1,2024-09-07 08:36:20:572,259521,259521,0,0,121464926107,1278866709,256087,2567,867,372,391857,0 1,2,2024-09-07 08:36:20:645,184883,184883,0,0,7701932,0,3267 1,3,2024-09-07 08:36:21:313,1,148,15,0,262,1924,148,0 2,0,2024-09-07 08:36:21:581,39328,1.2,39475,1.1,78472,1.8,104572,2.25 2,1,2024-09-07 08:36:20:866,259917,259917,0,0,122049202802,1271521731,258471,1240,206,380,391745,0 2,2,2024-09-07 08:36:21:266,190021,190021,0,0,8593814,0,3594 2,3,2024-09-07 08:36:20:692,1,148,1,0,357,1669,148,0 3,0,2024-09-07 08:36:21:749,35974,0.5,36126,0.7,72403,0.5,95977,2.00 3,1,2024-09-07 08:36:21:621,259624,259624,0,0,120950310732,1266668552,256516,2684,424,380,391516,0 3,2,2024-09-07 08:36:21:147,188549,188526,23,0,8515380,0,5851 3,3,2024-09-07 08:36:21:753,1,148,1,0,103,982,148,0 4,0,2024-09-07 08:36:21:822,33893,0.3,34813,0.5,70786,0.2,92983,1.75 4,1,2024-09-07 08:36:20:592,260093,260093,0,0,121178520701,1276946727,257131,2441,521,371,391846,0 4,2,2024-09-07 08:36:21:033,182181,182181,0,0,9947017,0,4528 4,3,2024-09-07 08:36:21:027,1,148,9,0,287,2142,148,0 5,0,2024-09-07 08:36:21:381,36700,0.5,36645,0.7,73458,0.5,97582,1.75 5,1,2024-09-07 08:36:20:757,259188,259188,0,0,121346298231,1282955039,255017,3185,986,368,392005,0 5,2,2024-09-07 08:36:21:829,184771,184771,0,0,8511326,0,2259 5,3,2024-09-07 08:36:21:741,1,148,9,0,238,2336,148,0 6,0,2024-09-07 08:36:20:918,41828,1.0,41432,1.1,82208,1.4,111327,2.50 6,1,2024-09-07 08:36:20:748,260390,260390,0,0,121711550618,1278363256,257008,2735,647,381,391617,0 6,2,2024-09-07 08:36:21:116,191390,191390,0,0,8898642,0,4816 6,3,2024-09-07 08:36:21:275,1,148,3,0,340,2248,148,0 7,0,2024-09-07 08:36:21:540,34590,0.5,34606,0.8,69446,0.5,92800,2.00 7,1,2024-09-07 08:36:20:850,260150,260150,0,0,121881958137,1278827424,257432,2587,131,382,391664,0 7,2,2024-09-07 08:36:20:779,188382,188382,0,0,8052741,0,2981 7,3,2024-09-07 08:36:20:851,1,148,0,0,305,1573,148,0 8,0,2024-09-07 08:36:21:411,34812,0.3,34989,0.4,69378,0.2,92605,1.50 8,1,2024-09-07 08:36:21:019,259643,259643,0,0,121482619116,1287929442,254042,4002,1599,367,391956,0 8,2,2024-09-07 08:36:20:802,181855,181855,0,0,9638174,0,2986 8,3,2024-09-07 08:36:20:588,1,148,1,0,357,2533,148,0 9,0,2024-09-07 08:36:21:110,35999,0.3,34964,0.5,72910,0.3,95501,1.75 9,1,2024-09-07 08:36:20:553,259255,259255,0,0,121682406471,1287661690,254770,3359,1126,370,391753,0 9,2,2024-09-07 08:36:21:084,184865,184865,0,0,8937020,0,3360 9,3,2024-09-07 08:36:21:760,1,148,17,0,496,2614,148,0 10,0,2024-09-07 08:36:21:612,41184,0.4,40980,0.6,82284,0.3,109754,1.75 10,1,2024-09-07 08:36:20:583,259745,259745,0,0,121259935191,1276587847,255275,3829,641,381,391741,0 10,2,2024-09-07 08:36:20:762,189534,189534,0,0,9268569,0,2940 10,3,2024-09-07 08:36:20:877,1,148,1,0,177,1184,148,0 11,0,2024-09-07 08:36:21:013,34825,1.1,33996,1.1,71332,1.7,95014,2.25 11,1,2024-09-07 08:36:20:574,259861,259861,0,0,121881236606,1286179787,254560,4031,1270,384,391537,0 11,2,2024-09-07 08:36:21:124,188170,188170,0,0,8997803,0,3411 11,3,2024-09-07 08:36:21:298,1,148,1,0,720,2801,148,0 12,0,2024-09-07 08:36:20:989,36460,0.3,36140,0.5,72632,0.2,96122,1.50 12,1,2024-09-07 08:36:20:945,259802,259802,0,0,120573340755,1264133424,256926,2466,410,370,391837,0 12,2,2024-09-07 08:36:21:543,187840,187840,0,0,9279316,0,3469 12,3,2024-09-07 08:36:21:066,1,148,7,0,358,2647,148,0 13,0,2024-09-07 08:36:21:332,35055,0.4,35127,0.5,70087,0.3,93053,1.75 13,1,2024-09-07 08:36:21:533,259793,259793,0,0,121327220219,1276705284,257379,2006,408,382,391717,0 13,2,2024-09-07 08:36:20:597,185182,185182,0,0,8424218,0,3287 13,3,2024-09-07 08:36:21:762,1,148,7,0,467,3136,148,0 14,0,2024-09-07 08:36:20:586,40198,0.5,40388,0.7,79868,0.4,107378,1.75 14,1,2024-09-07 08:36:21:565,261265,261265,0,0,121754466747,1266726200,259000,2102,163,364,391571,0 14,2,2024-09-07 08:36:20:767,185981,185981,0,0,8611336,0,2896 14,3,2024-09-07 08:36:21:117,1,148,1,0,1168,2880,148,0 15,0,2024-09-07 08:36:21:559,37608,1.2,37642,1.2,75634,1.7,100315,2.75 15,1,2024-09-07 08:36:21:608,260028,260028,0,0,121909906720,1272173394,258116,1803,109,381,391619,0 15,2,2024-09-07 08:36:21:000,190353,190353,0,0,7302435,0,3043 15,3,2024-09-07 08:36:21:407,1,148,1,0,1126,4281,148,0 16,0,2024-09-07 08:36:20:993,36356,0.5,36694,0.8,72692,0.5,96911,2.00 16,1,2024-09-07 08:36:20:582,260385,260385,0,0,121626944324,1275036119,258167,1957,261,372,391756,0 16,2,2024-09-07 08:36:21:449,187899,187899,0,0,9585530,0,4719 16,3,2024-09-07 08:36:21:157,1,148,26,0,231,2192,148,0 17,0,2024-09-07 08:36:21:801,36465,0.4,35578,0.6,69850,0.4,94297,1.75 17,1,2024-09-07 08:36:20:578,259593,259593,0,0,121226198852,1278748157,256240,2682,671,368,391688,0 17,2,2024-09-07 08:36:21:673,185024,185024,0,0,8393089,0,2857 17,3,2024-09-07 08:36:20:574,1,148,1,0,268,2439,148,0 18,0,2024-09-07 08:36:20:954,36806,0.9,37147,1.0,74407,1.0,99425,2.25 18,1,2024-09-07 08:36:21:645,259855,259855,0,0,121715487039,1267113213,257848,1773,234,368,391564,0 18,2,2024-09-07 08:36:21:760,185003,185003,0,0,8517397,0,3541 18,3,2024-09-07 08:36:20:895,1,148,1,0,163,1704,148,0 19,0,2024-09-07 08:36:21:544,40144,1.2,40537,1.1,80012,1.5,106340,2.75 19,1,2024-09-07 08:36:20:571,260447,260447,0,0,121702920653,1273635590,256634,3097,716,367,391777,0 19,2,2024-09-07 08:36:21:754,191918,191918,0,0,7801882,0,3988 19,3,2024-09-07 08:36:21:131,1,148,1,0,524,1470,148,0 20,0,2024-09-07 08:36:21:368,35671,0.7,35567,0.8,71354,0.7,95203,2.25 20,1,2024-09-07 08:36:20:575,259623,259623,0,0,121485117895,1278596718,256189,3044,390,369,391822,0 20,2,2024-09-07 08:36:20:939,188809,188809,0,0,8702842,0,3721 20,3,2024-09-07 08:36:20:590,1,148,2,0,414,2808,148,0 21,0,2024-09-07 08:36:21:167,34803,0.3,34844,0.5,69252,0.3,91835,1.75 21,1,2024-09-07 08:36:21:542,259326,259326,0,0,121124956633,1279118358,255700,3095,531,368,391962,0 21,2,2024-09-07 08:36:21:066,182992,182992,0,0,9279420,0,3747 21,3,2024-09-07 08:36:21:406,1,148,3,0,103,1915,148,0 22,0,2024-09-07 08:36:21:727,36468,0.7,36514,0.9,72431,0.7,97371,2.00 22,1,2024-09-07 08:36:21:023,259202,259202,0,0,120687392318,1279037061,253697,3996,1509,382,391667,0 22,2,2024-09-07 08:36:20:760,185045,185045,0,0,8028251,0,3134 22,3,2024-09-07 08:36:21:066,1,148,1,0,228,1689,148,0 23,0,2024-09-07 08:36:21:372,40125,0.7,40262,0.9,80805,0.8,107785,2.25 23,1,2024-09-07 08:36:21:006,260194,260194,0,0,121912600532,1279945340,256100,2612,1482,365,391549,0 23,2,2024-09-07 08:36:21:093,189928,189928,0,0,8399325,0,3010 23,3,2024-09-07 08:36:21:754,1,148,2,0,645,1746,148,0 24,0,2024-09-07 08:36:20:841,36037,0.6,36100,0.7,72340,0.6,96148,1.75 24,1,2024-09-07 08:36:20:604,260114,260114,0,0,121363599179,1273581961,257162,2153,799,369,391640,0 24,2,2024-09-07 08:36:21:078,187509,187509,0,0,9654276,0,2942 24,3,2024-09-07 08:36:21:693,1,148,2,0,234,1747,148,0 25,0,2024-09-07 08:36:21:339,36411,0.4,35466,0.6,69540,0.3,94591,1.75 25,1,2024-09-07 08:36:20:576,260195,260195,0,0,121219020917,1276719929,256510,3142,543,371,391788,0 25,2,2024-09-07 08:36:21:619,184590,184590,0,0,9657361,0,3978 25,3,2024-09-07 08:36:21:015,1,148,1,0,158,1705,148,0 26,0,2024-09-07 08:36:21:735,35231,0.4,34479,0.5,72434,0.3,94796,1.75 26,1,2024-09-07 08:36:21:541,260317,260317,0,0,121300264749,1278146448,255657,3759,901,381,391564,0 26,2,2024-09-07 08:36:20:865,185118,185118,0,0,8643013,0,2809 26,3,2024-09-07 08:36:21:723,1,148,1,0,796,2156,148,0 27,0,2024-09-07 08:36:21:730,40802,0.5,40832,0.7,80966,0.5,109029,1.75 27,1,2024-09-07 08:36:21:686,261484,261484,0,0,122015766159,1269764598,259667,1494,323,381,391539,0 27,2,2024-09-07 08:36:20:867,186567,186567,0,0,9533781,0,3409 27,3,2024-09-07 08:36:21:015,1,148,0,0,564,1915,148,0 28,0,2024-09-07 08:36:21:389,36223,1.0,36557,0.9,73468,1.2,97665,2.50 28,1,2024-09-07 08:36:20:807,260346,260346,0,0,122208319874,1277281771,258185,1825,336,383,391646,0 28,2,2024-09-07 08:36:21:769,189509,189509,0,0,7681066,0,2915 28,3,2024-09-07 08:36:21:776,1,148,8,0,502,1991,148,0 29,0,2024-09-07 08:36:21:377,37191,0.4,36382,0.6,71134,0.3,97162,1.75 29,1,2024-09-07 08:36:21:581,261379,261379,0,0,121826926541,1266109466,258952,1884,543,369,391621,0 29,2,2024-09-07 08:36:20:863,188084,188084,0,0,7468357,0,4018 29,3,2024-09-07 08:36:20:971,1,148,1,0,105,1200,148,0 30,0,2024-09-07 08:36:21:471,34878,0.5,33907,0.7,70993,0.5,92688,2.00 30,1,2024-09-07 08:36:20:575,260958,260958,0,0,122055321285,1271020973,258972,1708,278,382,391672,0 30,2,2024-09-07 08:36:21:274,184816,184816,0,0,7423881,0,3161 30,3,2024-09-07 08:36:20:603,1,148,7,0,195,1162,148,0 31,0,2024-09-07 08:36:21:771,38261,0.6,38630,0.8,77798,0.6,103471,2.00 31,1,2024-09-07 08:36:20:565,261575,261575,0,0,121858703640,1251591636,260775,688,112,356,391553,0 31,2,2024-09-07 08:36:21:275,185277,185277,0,0,9214499,0,3525 31,3,2024-09-07 08:36:21:710,1,148,1,0,220,1183,148,0 32,0,2024-09-07 08:36:21:427,39357,0.6,39780,0.7,79486,0.5,105290,1.75 32,1,2024-09-07 08:36:20:808,260879,260879,0,0,122249056552,1272504079,259137,1467,275,382,391595,0 32,2,2024-09-07 08:36:20:944,190528,190528,0,0,7588741,0,3155 32,3,2024-09-07 08:36:21:019,1,148,0,0,227,1445,148,0 33,0,2024-09-07 08:36:21:497,36650,0.3,36054,0.6,72887,0.3,96998,1.75 33,1,2024-09-07 08:36:20:574,261351,261351,0,0,122566056710,1272527899,259093,2086,172,369,391730,0 33,2,2024-09-07 08:36:20:762,188628,188595,33,0,9411597,0,7012 33,3,2024-09-07 08:36:20:900,1,148,1,0,329,1829,148,0 34,0,2024-09-07 08:36:20:942,34933,0.3,36054,0.4,69099,0.2,93128,1.50 34,1,2024-09-07 08:36:21:044,261619,261619,0,0,123044473174,1269854257,260624,989,6,367,391562,0 34,2,2024-09-07 08:36:20:766,182500,182500,0,0,8291872,0,3577 34,3,2024-09-07 08:36:21:695,1,148,5,0,148,969,148,0 35,0,2024-09-07 08:36:20:862,36337,0.5,36737,0.6,73311,0.4,97795,1.75 35,1,2024-09-07 08:36:21:066,260693,260693,0,0,121670979321,1267877901,257894,2029,770,384,391589,0 35,2,2024-09-07 08:36:21:586,184794,184794,0,0,7650777,0,2653 35,3,2024-09-07 08:36:20:919,1,148,1,0,418,1924,148,0 36,0,2024-09-07 08:36:21:572,41453,1.1,41301,1.0,83250,1.3,110967,2.50 36,1,2024-09-07 08:36:20:595,259726,259726,0,0,121402474280,1276573686,255108,3330,1288,366,391759,0 36,2,2024-09-07 08:36:21:774,190497,190497,0,0,9069200,0,3303 36,3,2024-09-07 08:36:20:863,1,148,258,0,378,2033,148,0 37,0,2024-09-07 08:36:21:379,34697,0.5,34763,0.8,69287,0.5,93622,2.00 37,1,2024-09-07 08:36:20:574,259534,259527,0,7,121825698805,1285908153,254769,2739,2019,365,391560,0 37,2,2024-09-07 08:36:21:142,188616,188601,15,0,9071562,0,5815 37,3,2024-09-07 08:36:21:768,1,148,1,0,724,2442,148,0 38,0,2024-09-07 08:36:21:441,34661,0.4,33583,0.6,70194,0.3,92169,2.00 38,1,2024-09-07 08:36:21:617,260407,260407,0,0,121491007292,1274655451,256386,3389,632,368,391821,0 38,2,2024-09-07 08:36:20:760,184059,184012,47,0,10696074,0,6710 38,3,2024-09-07 08:36:20:997,1,148,2,0,603,2092,148,0 39,0,2024-09-07 08:36:21:808,36818,0.6,35979,0.7,70344,0.6,96035,2.00 39,1,2024-09-07 08:36:20:724,260220,260220,0,0,121791126125,1274649447,256434,2985,801,365,391524,0 39,2,2024-09-07 08:36:21:419,185138,185138,0,0,7842930,0,2689 39,3,2024-09-07 08:36:20:716,1,148,5,0,276,2035,148,0 40,0,2024-09-07 08:36:21:511,40411,1.0,41223,1.2,81721,1.0,109342,3.00 40,1,2024-09-07 08:36:20:581,260507,260507,0,0,121385991359,1275312975,256097,3642,768,368,391591,0 40,2,2024-09-07 08:36:21:305,188602,188601,1,0,9877509,0,5137 40,3,2024-09-07 08:36:21:141,1,148,2,0,181,1508,148,0 41,0,2024-09-07 08:36:21:024,34948,2.4,35593,1.9,68326,3.7,93635,4.50 41,1,2024-09-07 08:36:20:770,259941,259941,0,0,121665898079,1277818115,255752,3658,531,370,391742,0 41,2,2024-09-07 08:36:20:759,187170,187170,0,0,9680808,0,3356 41,3,2024-09-07 08:36:21:676,1,148,1,0,366,1929,148,0 42,0,2024-09-07 08:36:21:477,35461,0.5,35864,0.8,71528,0.4,94277,2.25 42,1,2024-09-07 08:36:21:439,258977,258977,0,0,121194570176,1278695681,254280,3739,958,380,391675,0 42,2,2024-09-07 08:36:21:142,186160,186160,0,0,9371919,0,3568 42,3,2024-09-07 08:36:21:009,1,148,1,0,446,1396,148,0 43,0,2024-09-07 08:36:20:933,34968,0.7,34175,0.9,70908,0.7,93137,2.00 43,1,2024-09-07 08:36:20:581,260173,260173,0,0,122351123535,1278983557,256932,2448,793,366,391604,0 43,2,2024-09-07 08:36:21:739,185230,185230,0,0,8709719,0,3812 43,3,2024-09-07 08:36:21:752,1,148,1,0,325,2107,148,0 44,0,2024-09-07 08:36:20:860,40146,0.5,40148,0.8,80323,0.5,107908,2.00 44,1,2024-09-07 08:36:20:570,260419,260419,0,0,120986490753,1254238602,258361,1633,425,356,391809,0 44,2,2024-09-07 08:36:21:272,185688,185688,0,0,7387621,0,1877 44,3,2024-09-07 08:36:21:093,1,148,6,0,817,2022,148,0 45,0,2024-09-07 08:36:21:762,37191,1.6,36434,1.3,76636,2.1,100966,2.50 45,1,2024-09-07 08:36:21:007,260670,260670,0,0,122155529159,1268883994,259631,1029,10,382,391917,0 45,2,2024-09-07 08:36:21:271,190466,190466,0,0,8069741,0,3596 45,3,2024-09-07 08:36:20:938,1,148,1,0,226,1412,148,0 46,0,2024-09-07 08:36:20:955,36281,0.4,36136,0.6,72571,0.3,95962,1.75 46,1,2024-09-07 08:36:20:577,261081,261081,0,0,121851756485,1263399127,259273,1585,223,366,391524,0 46,2,2024-09-07 08:36:20:610,189271,189271,0,0,8009346,0,2920 46,3,2024-09-07 08:36:21:131,1,148,1,0,908,2888,148,0 47,0,2024-09-07 08:36:21:102,35399,0.3,35612,0.5,71472,0.2,94070,1.75 47,1,2024-09-07 08:36:20:572,261090,261090,0,0,121424415306,1256620042,259688,1385,17,366,391605,0 47,2,2024-09-07 08:36:20:909,184627,184627,0,0,8066677,0,2558 47,3,2024-09-07 08:36:21:122,1,148,1,0,529,1775,148,0 48,0,2024-09-07 08:36:21:505,37687,0.3,37328,0.5,74845,0.2,100206,1.75 48,1,2024-09-07 08:36:21:027,261026,261026,0,0,122129762043,1272223946,258965,1880,181,384,391710,0 48,2,2024-09-07 08:36:20:705,185146,185146,0,0,6865792,0,2083 48,3,2024-09-07 08:36:20:755,1,148,6,0,339,1409,148,0 49,0,2024-09-07 08:36:21:722,41722,0.6,40828,0.7,79429,0.7,108237,1.75 49,1,2024-09-07 08:36:21:021,259789,259789,0,0,121707880505,1272755240,257054,1895,840,382,391583,0 49,2,2024-09-07 08:36:21:807,191618,191618,0,0,8073128,0,3900 49,3,2024-09-07 08:36:21:424,1,148,1,0,408,2277,148,0 50,0,2024-09-07 08:36:21:529,35741,0.3,35373,0.6,70863,0.3,95126,1.75 50,1,2024-09-07 08:36:21:010,261824,261824,0,0,122373033204,1272298784,259300,2215,309,368,391530,0 50,2,2024-09-07 08:36:21:067,188783,188783,0,0,7605474,0,2253 50,3,2024-09-07 08:36:21:291,1,148,1,0,335,1300,148,0 51,0,2024-09-07 08:36:21:693,35334,0.3,34806,0.4,67679,0.2,92244,1.50 51,1,2024-09-07 08:36:21:714,260557,260557,0,0,122961584422,1274585042,258590,1181,786,367,391637,0 51,2,2024-09-07 08:36:21:317,183039,183039,0,0,7132035,0,3337 51,3,2024-09-07 08:36:21:034,1,148,1,0,162,886,148,0 52,0,2024-09-07 08:36:21:423,36710,0.5,36723,0.7,73515,0.5,97792,2.25 52,1,2024-09-07 08:36:20:581,259519,259519,0,0,121601907518,1285545376,253849,4515,1155,368,391722,0 52,2,2024-09-07 08:36:21:762,183669,183631,38,0,9591683,0,6742 52,3,2024-09-07 08:36:20:674,1,148,0,0,1782,3461,148,0 53,0,2024-09-07 08:36:21:745,40173,1.0,39094,1.0,81682,1.2,107174,2.75 53,1,2024-09-07 08:36:20:773,259231,259231,0,0,121554943372,1287113356,253612,3725,1894,367,391702,0 53,2,2024-09-07 08:36:21:312,190674,190674,0,0,8015263,0,2262 53,3,2024-09-07 08:36:20:702,1,148,1,0,133,1235,148,0 54,0,2024-09-07 08:36:21:631,34586,2.6,35052,1.4,69208,1.4,93326,4.25 54,1,2024-09-07 08:36:20:581,260065,260065,0,0,122017722051,1277845486,256095,3399,571,367,391532,0 54,2,2024-09-07 08:36:20:865,188041,188035,6,0,9600774,0,5382 54,3,2024-09-07 08:36:20:763,1,148,359,0,676,3155,148,0 55,0,2024-09-07 08:36:21:779,34126,0.5,35122,0.7,71305,0.4,92483,2.25 55,1,2024-09-07 08:36:20:765,259317,259317,0,0,120968394905,1271209709,254130,4400,787,365,391731,0 55,2,2024-09-07 08:36:20:744,184918,184918,0,0,9218809,0,3275 55,3,2024-09-07 08:36:20:674,1,148,0,0,304,1658,148,0 56,0,2024-09-07 08:36:21:624,36456,1.3,34499,1.1,70668,1.8,95076,2.50 56,1,2024-09-07 08:36:20:581,259694,259694,0,0,121694490392,1292068503,254570,4221,903,381,391678,0 56,2,2024-09-07 08:36:21:309,184590,184590,0,0,9785446,0,3567 56,3,2024-09-07 08:36:21:062,1,148,1,0,405,2160,148,0 57,0,2024-09-07 08:36:20:951,39729,2.6,39759,1.6,79628,4.0,107071,4.25 57,1,2024-09-07 08:36:20:998,259812,259812,0,0,121272640164,1272359357,256996,2646,170,368,391960,0 57,2,2024-09-07 08:36:21:317,188259,188259,0,0,10060484,0,3178 57,3,2024-09-07 08:36:21:738,1,148,1,0,359,2666,148,0 58,0,2024-09-07 08:36:20:564,35326,1.6,34457,1.3,72176,2.6,94334,3.00 58,1,2024-09-07 08:36:20:601,260981,260978,0,3,122066957406,1278136018,257463,3118,397,367,391516,3 58,2,2024-09-07 08:36:21:078,188943,188943,0,0,9157024,0,2549 58,3,2024-09-07 08:36:21:067,1,148,2,0,219,1242,148,0 59,0,2024-09-07 08:36:21:784,36405,0.8,36155,1.0,72198,0.9,95963,3.00 59,1,2024-09-07 08:36:20:808,260201,260201,0,0,121016858819,1269915313,256554,2725,922,369,391515,0 59,2,2024-09-07 08:36:20:602,188534,188534,0,0,7997730,0,2604 59,3,2024-09-07 08:36:21:745,1,148,1,0,1015,2854,148,0 60,0,2024-09-07 08:36:21:707,35211,0.4,35331,0.6,70144,0.3,92792,1.75 60,1,2024-09-07 08:36:20:774,261432,261432,0,0,122439880950,1271898515,259978,1097,357,370,391761,0 60,2,2024-09-07 08:36:21:142,183729,183729,0,0,9231761,0,3811 60,3,2024-09-07 08:36:21:258,1,148,1,0,124,1542,148,0 61,0,2024-09-07 08:36:21:528,38430,1.6,38777,1.2,77311,2.3,103506,2.25 61,1,2024-09-07 08:36:20:779,260024,260024,0,0,121801590611,1280958587,256590,2882,552,382,391589,0 61,2,2024-09-07 08:36:21:116,186262,186262,0,0,8165659,0,2079 61,3,2024-09-07 08:36:21:696,1,148,3,0,199,1885,148,0 62,0,2024-09-07 08:36:21:861,39646,1.6,40420,1.1,77517,2.7,105007,2.50 62,1,2024-09-07 08:36:21:111,261903,261897,0,6,122293845925,1264427620,260219,1639,39,365,391715,6 62,2,2024-09-07 08:36:21:649,189104,189103,1,0,9782104,0,5555 62,3,2024-09-07 08:36:21:149,1,148,1,0,287,1150,148,0 63,0,2024-09-07 08:36:21:489,36202,0.6,36217,0.7,72563,0.5,96660,2.00 63,1,2024-09-07 08:36:20:804,261347,261341,0,6,122165332054,1267993461,260103,1212,26,381,391542,6 63,2,2024-09-07 08:36:20:763,188311,188311,0,0,7541829,0,2674 63,3,2024-09-07 08:36:21:743,1,148,8,0,667,2371,148,0 64,0,2024-09-07 08:36:21:521,34799,0.4,34757,0.6,69736,0.3,92884,1.75 64,1,2024-09-07 08:36:20:758,260723,260723,0,0,122156074714,1280877820,257260,2410,1053,371,391783,0 64,2,2024-09-07 08:36:21:144,184348,184329,19,0,8333027,0,6121 64,3,2024-09-07 08:36:21:141,1,148,3,0,265,1750,148,0 65,0,2024-09-07 08:36:21:693,35904,1.0,36430,0.9,72735,1.3,97022,2.50 65,1,2024-09-07 08:36:20:867,259888,259888,0,0,121285074148,1272749485,257383,2283,222,382,391770,0 65,2,2024-09-07 08:36:21:696,184970,184970,0,0,8756581,0,3367 65,3,2024-09-07 08:36:21:690,1,148,1,0,163,1566,148,0 66,0,2024-09-07 08:36:21:798,41044,0.8,41033,0.9,82588,0.9,110220,2.50 66,1,2024-09-07 08:36:21:293,261248,261248,0,0,122214243366,1273468065,259373,1704,171,380,391588,0 66,2,2024-09-07 08:36:21:132,192160,192160,0,0,8198241,0,4956 66,3,2024-09-07 08:36:21:085,1,148,0,0,291,1664,148,0 67,0,2024-09-07 08:36:21:432,35187,0.9,35029,1.0,70136,1.2,93695,2.50 67,1,2024-09-07 08:36:20:766,260023,260022,0,1,121315481660,1273204377,256556,2720,746,381,391787,1 67,2,2024-09-07 08:36:20:583,189324,189324,0,0,7765011,0,2889 67,3,2024-09-07 08:36:21:765,1,148,12,0,138,1258,148,0 68,0,2024-09-07 08:36:20:581,35012,0.5,34808,0.7,69656,0.4,92402,2.00 68,1,2024-09-07 08:36:20:579,259434,259434,0,0,121179188024,1274700389,256591,1825,1018,381,391953,0 68,2,2024-09-07 08:36:21:046,182913,182848,65,0,10957883,0,6698 68,3,2024-09-07 08:36:20:736,1,148,6,0,417,1968,148,0 69,0,2024-09-07 08:36:21:731,35774,0.8,36032,0.9,71463,0.9,95588,2.25 69,1,2024-09-07 08:36:21:017,258885,258885,0,0,121076697750,1284163731,254895,2776,1214,384,391611,0 69,2,2024-09-07 08:36:21:738,185331,185331,0,0,9418733,0,3701 69,3,2024-09-07 08:36:20:766,1,148,10,0,238,1923,148,0 70,0,2024-09-07 08:36:21:612,40449,2.2,40674,1.5,81707,1.5,108672,3.00 70,1,2024-09-07 08:36:20:802,260589,260589,0,0,121681278641,1267642120,258174,1953,462,366,391725,0 70,2,2024-09-07 08:36:21:326,188993,188993,0,0,8839258,0,4044 70,3,2024-09-07 08:36:20:746,1,148,1,0,854,2053,148,0 71,0,2024-09-07 08:36:21:375,34869,2.4,34930,1.9,69461,3.9,93799,3.75 71,1,2024-09-07 08:36:21:596,260239,260239,0,0,121555189688,1276173663,256130,3586,523,368,391682,0 71,2,2024-09-07 08:36:21:067,188859,188859,0,0,8815879,0,2470 71,3,2024-09-07 08:36:21:769,1,148,5,0,644,2527,148,0 72,0,2024-09-07 08:36:21:064,37212,0.5,36402,0.7,71314,0.4,96424,2.25 72,1,2024-09-07 08:36:21:020,260284,260284,0,0,121779996804,1274244416,257041,2757,486,369,391819,0 72,2,2024-09-07 08:36:21:763,186005,186005,0,0,10257361,0,2570 72,3,2024-09-07 08:36:21:759,1,148,1,0,325,2375,148,0 73,0,2024-09-07 08:36:21:118,34131,0.4,34954,0.6,71611,0.3,92798,2.25 73,1,2024-09-07 08:36:20:766,260667,260667,0,0,121508442865,1263358961,258864,1688,115,368,391627,0 73,2,2024-09-07 08:36:21:740,184931,184931,0,0,9500872,0,3482 73,3,2024-09-07 08:36:20:980,1,148,1,0,274,2506,148,0 74,0,2024-09-07 08:36:21:378,40377,0.5,41147,0.8,78464,0.5,107519,2.25 74,1,2024-09-07 08:36:20:637,260404,260404,0,0,120875863947,1264797861,257619,2164,621,382,391681,0 74,2,2024-09-07 08:36:21:022,186059,186059,0,0,9486974,0,4253 74,3,2024-09-07 08:36:21:443,1,148,1,0,522,2691,148,0 75,0,2024-09-07 08:36:21:784,37884,1.6,37661,1.3,75334,2.1,101221,3.00 75,1,2024-09-07 08:36:21:594,259944,259944,0,0,121419886647,1275194034,256559,2925,460,381,391579,0 75,2,2024-09-07 08:36:21:352,189267,189267,0,0,9081248,0,4766 75,3,2024-09-07 08:36:21:067,1,148,1,0,535,1731,148,0 76,0,2024-09-07 08:36:20:598,36140,0.4,35944,0.7,71925,0.3,96261,2.25 76,1,2024-09-07 08:36:20:836,260333,260333,0,0,121420321736,1270128706,258273,1549,511,382,391692,0 76,2,2024-09-07 08:36:21:063,189529,189529,0,0,7988729,0,3064 76,3,2024-09-07 08:36:21:147,1,148,1,0,175,1677,148,0 77,0,2024-09-07 08:36:21:748,35460,0.5,35668,0.7,71339,0.5,94053,1.75 77,1,2024-09-07 08:36:20:826,261252,261252,0,0,122072076935,1275235790,259664,1506,82,383,391808,0 77,2,2024-09-07 08:36:21:281,183183,183183,0,0,8158708,0,3890 77,3,2024-09-07 08:36:21:106,1,148,4,0,305,1944,148,0 78,0,2024-09-07 08:36:21:727,37324,0.5,37279,0.8,75100,0.5,99890,2.00 78,1,2024-09-07 08:36:20:614,260629,260629,0,0,121474300161,1267021397,258300,2077,252,367,391589,0 78,2,2024-09-07 08:36:21:409,185959,185959,0,0,7721362,0,2114 78,3,2024-09-07 08:36:21:138,1,148,1,0,181,1614,148,0 79,0,2024-09-07 08:36:21:369,39318,0.6,40167,0.8,82080,0.5,106746,2.75 79,1,2024-09-07 08:36:20:575,261165,261165,0,0,122024576299,1267432844,258982,2012,171,369,391682,0 79,2,2024-09-07 08:36:21:085,192025,192025,0,0,7998654,0,3212 79,3,2024-09-07 08:36:20:757,1,148,8,0,418,2868,148,0 80,0,2024-09-07 08:36:21:101,35534,0.7,36361,0.8,70061,0.7,95156,2.25 80,1,2024-09-07 08:36:21:633,259702,259702,0,0,121725817013,1271300198,257193,2350,159,368,391673,0 80,2,2024-09-07 08:36:21:092,189317,189317,0,0,8388276,0,4433 80,3,2024-09-07 08:36:20:581,1,148,3,0,190,2549,148,0 81,0,2024-09-07 08:36:21:551,34544,0.5,35651,0.6,68243,0.4,91850,1.75 81,1,2024-09-07 08:36:21:650,260052,260052,0,0,120906127651,1267925954,257576,2204,272,382,391879,0 81,2,2024-09-07 08:36:21:133,182600,182600,0,0,8791072,0,3993 81,3,2024-09-07 08:36:21:117,1,148,2,0,193,1544,148,0 82,0,2024-09-07 08:36:21:563,36384,0.5,36542,0.8,73390,0.5,97907,2.00 82,1,2024-09-07 08:36:20:583,260602,260598,0,4,121816254620,1273809816,258158,1864,576,382,391558,4 82,2,2024-09-07 08:36:21:697,186032,186032,0,0,8102643,0,3986 82,3,2024-09-07 08:36:21:755,1,148,10,0,227,1807,148,0 83,0,2024-09-07 08:36:21:554,40523,0.8,40400,0.9,80092,0.9,107231,2.25 83,1,2024-09-07 08:36:20:560,260337,260337,0,0,120819863462,1266035456,257890,2243,204,382,391690,0 83,2,2024-09-07 08:36:20:764,190118,190118,0,0,8115696,0,3119 83,3,2024-09-07 08:36:20:751,1,148,9,0,241,1754,148,0 84,0,2024-09-07 08:36:21:785,35214,1.6,34907,1.5,70357,1.3,94622,2.75 84,1,2024-09-07 08:36:21:058,259452,259452,0,0,121563228778,1277258541,256071,2927,454,368,391852,0 84,2,2024-09-07 08:36:20:580,188475,188475,0,0,9149268,0,3801 84,3,2024-09-07 08:36:21:160,1,148,3,0,236,1614,148,0 85,0,2024-09-07 08:36:21:068,34129,0.5,34123,0.7,72312,0.4,93637,2.00 85,1,2024-09-07 08:36:20:570,259118,259118,0,0,121052480634,1287162026,253723,4318,1077,382,391806,0 85,2,2024-09-07 08:36:20:866,185578,185578,0,0,9865609,0,3656 85,3,2024-09-07 08:36:20:692,1,148,85,0,789,2370,148,0 86,0,2024-09-07 08:36:20:883,35532,0.6,36487,0.7,69892,0.6,94885,2.00 86,1,2024-09-07 08:36:20:832,259853,259853,0,0,121656184835,1278905760,255906,3404,543,366,391961,0 86,2,2024-09-07 08:36:20:854,183668,183667,1,0,10118645,0,5004 86,3,2024-09-07 08:36:20:596,1,148,15,0,286,2343,148,0 87,0,2024-09-07 08:36:21:305,40377,1.7,40334,1.2,80709,2.7,108948,2.50 87,1,2024-09-07 08:36:20:561,259542,259542,0,0,122100376595,1282898577,256332,2819,391,366,391788,0 87,2,2024-09-07 08:36:21:068,187305,187305,0,0,8547239,0,3515 87,3,2024-09-07 08:36:21:816,1,148,1,0,335,2785,148,0 88,0,2024-09-07 08:36:21:460,36654,0.8,36775,0.8,73390,0.9,97733,2.00 88,1,2024-09-07 08:36:20:575,259062,259062,0,0,121386874874,1278061733,255043,2853,1166,365,391787,0 88,2,2024-09-07 08:36:20:709,189059,189059,0,0,9761132,0,3583 88,3,2024-09-07 08:36:21:279,1,148,1,0,435,2051,148,0 89,0,2024-09-07 08:36:21:788,37451,0.4,36317,0.7,71821,0.4,97478,1.75 89,1,2024-09-07 08:36:20:572,258748,258748,0,0,121505113765,1289782784,253321,4492,935,382,391866,0 89,2,2024-09-07 08:36:21:158,187506,187506,0,0,9533545,0,2910 89,3,2024-09-07 08:36:21:793,1,148,1,0,325,3039,148,0 90,0,2024-09-07 08:36:21:630,34035,0.4,34756,0.5,71460,0.3,92534,1.75 90,1,2024-09-07 08:36:20:597,260504,260504,0,0,121546412132,1279361498,257288,2960,256,382,391825,0 90,2,2024-09-07 08:36:21:409,183157,183157,0,0,10198895,0,3060 90,3,2024-09-07 08:36:20:952,1,148,3,0,200,1827,148,0 91,0,2024-09-07 08:36:20:945,39069,0.5,37671,0.6,78472,0.5,103951,1.75 91,1,2024-09-07 08:36:20:557,259751,259751,0,0,121890280868,1283783143,256020,3236,495,381,392047,0 91,2,2024-09-07 08:36:21:336,184472,184472,0,0,9631982,0,2445 91,3,2024-09-07 08:36:20:602,1,148,1,0,216,1849,148,0 92,0,2024-09-07 08:36:21:459,39908,0.9,40860,0.9,78210,1.0,105412,2.00 92,1,2024-09-07 08:36:20:581,260315,260315,0,0,121526448358,1272736161,258162,1753,400,382,391717,0 92,2,2024-09-07 08:36:21:363,192052,192052,0,0,8270307,0,2801 92,3,2024-09-07 08:36:21:014,1,148,2,0,68,1302,148,0 93,0,2024-09-07 08:36:21:078,36569,0.4,37337,0.6,71449,0.4,97159,1.75 93,1,2024-09-07 08:36:20:807,260097,260097,0,0,121903915507,1277189167,257000,2548,549,366,391692,0 93,2,2024-09-07 08:36:20:939,188467,188467,0,0,8125275,0,2509 93,3,2024-09-07 08:36:21:409,1,148,1,0,143,1645,148,0 94,0,2024-09-07 08:36:21:628,34961,0.3,35244,0.5,69843,0.2,92852,1.50 94,1,2024-09-07 08:36:20:588,260314,260314,0,0,121613585868,1275625714,257878,2344,92,381,391850,0 94,2,2024-09-07 08:36:20:771,183091,183091,0,0,7957392,0,2443 94,3,2024-09-07 08:36:21:695,1,148,3,0,264,2347,148,0 95,0,2024-09-07 08:36:21:353,36658,0.4,36623,0.5,73666,0.3,97649,1.75 95,1,2024-09-07 08:36:20:872,260673,260673,0,0,122136558087,1273885493,258308,2184,181,367,391662,0 95,2,2024-09-07 08:36:21:020,185217,185217,0,0,8255688,0,3308 95,3,2024-09-07 08:36:21:715,1,148,1,0,718,3002,148,0 96,0,2024-09-07 08:36:21:067,41516,0.6,41553,0.7,83338,0.6,110872,1.75 96,1,2024-09-07 08:36:21:587,259662,259662,0,0,121731528491,1277109344,257066,1954,642,385,391894,0 96,2,2024-09-07 08:36:21:268,190719,190719,0,0,8895620,0,4042 96,3,2024-09-07 08:36:21:147,1,148,44,0,188,1775,148,0 97,0,2024-09-07 08:36:21:327,35264,0.5,35126,0.6,70407,0.5,93859,1.75 97,1,2024-09-07 08:36:20:766,260442,260442,0,0,122727539349,1279853306,257662,2232,548,367,392140,0 97,2,2024-09-07 08:36:20:612,188710,188710,0,0,7996458,0,3036 97,3,2024-09-07 08:36:20:575,1,148,3,0,165,1694,148,0 98,0,2024-09-07 08:36:21:714,35009,0.2,34764,0.4,69909,0.2,92739,1.50 98,1,2024-09-07 08:36:20:593,260443,260443,0,0,121887336420,1273826632,258634,1722,87,382,391997,0 98,2,2024-09-07 08:36:20:781,184968,184968,0,0,8362826,0,3080 98,3,2024-09-07 08:36:20:702,1,148,2,0,840,3014,148,0 99,0,2024-09-07 08:36:21:453,35976,0.3,35949,0.4,71936,0.2,95778,1.50 99,1,2024-09-07 08:36:21:723,260451,260451,0,0,120950189104,1267200976,257396,2360,695,381,391744,0 99,2,2024-09-07 08:36:21:417,187051,187051,0,0,8037848,0,2615 99,3,2024-09-07 08:36:20:591,1,148,5,0,129,1073,148,0 100,0,2024-09-07 08:36:21:474,40930,1.4,40886,1.4,81724,2.4,109574,2.50 100,1,2024-09-07 08:36:20:575,258398,258398,0,0,120656332270,1283921446,253217,4250,931,381,391989,0 100,2,2024-09-07 08:36:21:817,188131,188120,11,0,9421817,0,5417 100,3,2024-09-07 08:36:21:731,1,148,17,0,559,3488,148,0 101,0,2024-09-07 08:36:21:726,35968,3.4,34992,1.8,68810,4.2,95041,3.25 101,1,2024-09-07 08:36:20:568,258899,258899,0,0,121082362199,1285497786,253550,3873,1476,368,391769,0 101,2,2024-09-07 08:36:21:762,188106,188106,0,0,10315685,0,4644 101,3,2024-09-07 08:36:20:942,1,148,1,0,448,1975,148,0 102,0,2024-09-07 08:36:20:970,35156,0.6,36580,0.8,73249,0.5,95705,2.00 102,1,2024-09-07 08:36:21:160,259484,259484,0,0,120954661340,1277617491,255417,3356,711,369,391883,0 102,2,2024-09-07 08:36:21:748,187644,187590,54,0,9846825,0,6768 102,3,2024-09-07 08:36:21:613,1,148,4,0,410,1653,148,0 103,0,2024-09-07 08:36:21:618,36040,0.4,36052,0.6,67973,0.4,93302,1.75 103,1,2024-09-07 08:36:21:632,258801,258801,0,0,121333142747,1284232767,254379,3214,1208,381,391829,0 103,2,2024-09-07 08:36:20:606,183888,183888,0,0,8685680,0,2104 103,3,2024-09-07 08:36:20:758,1,148,4,0,916,2884,148,0 104,0,2024-09-07 08:36:21:055,39751,1.7,39845,1.3,78586,2.5,107470,2.50 104,1,2024-09-07 08:36:21:602,260507,260507,0,0,121018658558,1280675902,255519,4029,959,366,391948,0 104,2,2024-09-07 08:36:21:672,185192,185192,0,0,9198238,0,3941 104,3,2024-09-07 08:36:21:418,1,148,2,1,1245,5025,148,0 105,0,2024-09-07 08:36:21:089,37332,2.1,36319,1.6,75701,3.0,100171,4.00 105,1,2024-09-07 08:36:20:567,260549,260549,0,0,121292834336,1277018127,256353,3423,773,367,391797,0 105,2,2024-09-07 08:36:21:323,189408,189408,0,0,8956513,0,3509 105,3,2024-09-07 08:36:21:317,1,148,2,1,399,2250,148,0 106,0,2024-09-07 08:36:20:972,35154,0.7,36065,0.9,73513,0.7,96081,2.25 106,1,2024-09-07 08:36:21:775,259716,259716,0,0,121810660709,1281222961,255955,3377,384,369,391767,0 106,2,2024-09-07 08:36:20:762,187871,187871,0,0,9132377,0,2795 106,3,2024-09-07 08:36:20:678,1,148,0,0,405,2311,148,0 107,0,2024-09-07 08:36:21:121,35531,0.4,35529,0.6,70628,0.3,94296,1.75 107,1,2024-09-07 08:36:20:591,259417,259417,0,0,120940970227,1274264032,256447,2741,229,381,392234,0 107,2,2024-09-07 08:36:21:291,182900,182899,1,0,9084682,0,5024 107,3,2024-09-07 08:36:21:760,1,148,1,0,353,1833,148,0 108,0,2024-09-07 08:36:21:799,37527,0.4,37781,0.6,74779,0.4,100337,1.75 108,1,2024-09-07 08:36:21:293,259961,259961,0,0,122001191765,1276903105,257311,2340,310,368,391857,0 108,2,2024-09-07 08:36:21:773,184464,184464,0,0,8702942,0,2647 108,3,2024-09-07 08:36:21:334,1,148,1,0,667,3189,148,0 109,0,2024-09-07 08:36:21:765,40748,0.5,40597,0.7,80916,0.5,108413,1.75 109,1,2024-09-07 08:36:20:610,259461,259461,0,0,122012642627,1284251532,256688,2277,496,383,392132,0 109,2,2024-09-07 08:36:20:923,190664,190664,0,0,9058404,0,3617 109,3,2024-09-07 08:36:21:147,1,148,1,1,249,2200,148,0 110,0,2024-09-07 08:36:21:769,35891,0.4,34762,0.7,72732,0.4,95495,1.75 110,1,2024-09-07 08:36:21:643,260568,260568,0,0,122086126414,1274143998,257955,1848,765,370,391667,0 110,2,2024-09-07 08:36:21:306,189216,189216,0,0,8001451,0,2915 110,3,2024-09-07 08:36:20:692,1,148,6,0,406,2222,148,0 111,0,2024-09-07 08:36:21:445,34805,0.2,34303,0.4,68616,0.1,92127,1.50 111,1,2024-09-07 08:36:21:002,261047,261047,0,0,122704246322,1276409778,259273,1442,332,382,391690,0 111,2,2024-09-07 08:36:21:123,183005,183005,0,0,8774264,0,2763 111,3,2024-09-07 08:36:20:928,1,148,4,0,379,2512,148,0 112,0,2024-09-07 08:36:20:936,36720,0.3,36649,0.5,73426,0.2,98255,1.50 112,1,2024-09-07 08:36:20:841,261283,261283,0,0,122530166331,1277134828,258958,1900,425,381,391580,0 112,2,2024-09-07 08:36:21:142,185366,185365,1,0,8777269,0,5036 112,3,2024-09-07 08:36:20:595,1,148,9,0,282,1812,148,0 113,0,2024-09-07 08:36:20:874,40298,0.4,40100,0.6,81137,0.3,108427,1.75 113,1,2024-09-07 08:36:21:693,261094,261094,0,0,122493968657,1271736633,258681,1925,488,366,391661,0 113,2,2024-09-07 08:36:21:303,191421,191421,0,0,7740483,0,3813 113,3,2024-09-07 08:36:20:698,1,148,1,0,288,2339,148,0 114,0,2024-09-07 08:36:20:882,36013,0.7,36586,0.7,71600,0.5,96260,2.00 114,1,2024-09-07 08:36:20:722,260697,260697,0,0,121689942968,1278539598,256569,2665,1463,381,391534,0 114,2,2024-09-07 08:36:20:878,188528,188528,0,0,7998462,0,3925 114,3,2024-09-07 08:36:21:278,1,148,1,0,395,1955,148,0 115,0,2024-09-07 08:36:20:573,35335,0.2,35583,0.4,71284,0.2,94397,1.50 115,1,2024-09-07 08:36:20:574,260668,260668,0,0,122189714691,1278189386,257029,2818,821,382,391602,0 115,2,2024-09-07 08:36:21:128,186420,186420,0,0,7509001,0,2152 115,3,2024-09-07 08:36:21:006,1,148,0,0,159,1056,148,0 116,0,2024-09-07 08:36:21:738,35707,0.6,35242,0.8,70873,0.6,94829,2.00 116,1,2024-09-07 08:36:20:807,258543,258543,0,0,120575993969,1286632230,253659,3131,1753,382,391677,0 116,2,2024-09-07 08:36:21:769,183876,183876,0,0,10382552,0,3529 116,3,2024-09-07 08:36:20:928,1,148,18,0,252,2181,148,0 117,0,2024-09-07 08:36:20:975,40237,1.6,40371,1.2,80579,2.5,108840,2.25 117,1,2024-09-07 08:36:21:582,260001,260001,0,0,121514154196,1278452191,256336,3238,427,370,392033,0 117,2,2024-09-07 08:36:21:127,188415,188415,0,0,8274182,0,3700 117,3,2024-09-07 08:36:21:066,1,148,6,0,490,3147,148,0 118,0,2024-09-07 08:36:21:780,35640,1.1,36697,1.0,74549,1.3,97012,2.25 118,1,2024-09-07 08:36:20:598,259489,259489,0,0,120749146239,1279409400,254667,3503,1319,368,391736,0 118,2,2024-09-07 08:36:21:587,188709,188709,0,0,9377369,0,2781 118,3,2024-09-07 08:36:21:764,1,148,6,0,235,2024,148,0 119,0,2024-09-07 08:36:21:337,36029,0.7,36182,0.8,73251,0.7,96491,2.00 119,1,2024-09-07 08:36:20:571,260210,260210,0,0,122074490608,1277472841,257737,2186,287,370,391641,0 119,2,2024-09-07 08:36:21:261,187192,187192,0,0,8818636,0,3526 119,3,2024-09-07 08:36:21:329,1,148,0,0,443,2823,148,0 120,0,2024-09-07 08:36:21:552,34787,0.5,34904,0.7,69640,0.4,92698,2.00 120,1,2024-09-07 08:36:20:878,260388,260388,0,0,120847666567,1271870636,257286,2843,259,368,391961,0 120,2,2024-09-07 08:36:20:809,183271,183270,1,0,10697221,0,5281 120,3,2024-09-07 08:36:21:296,1,148,1,0,241,2163,148,0 121,0,2024-09-07 08:36:21:885,38331,1.8,38826,1.3,77198,2.9,103465,2.50 121,1,2024-09-07 08:36:21:723,260310,260310,0,0,121274231704,1271497008,257585,2437,288,367,391840,0 121,2,2024-09-07 08:36:21:132,184562,184562,0,0,9658656,0,4127 121,3,2024-09-07 08:36:20:732,1,148,6,0,269,2137,148,0 122,0,2024-09-07 08:36:21:769,39283,1.3,38265,1.2,80156,1.5,105450,2.25 122,1,2024-09-07 08:36:20:884,259159,259159,0,0,121408043374,1279829345,255027,3426,706,366,392130,0 122,2,2024-09-07 08:36:21:332,190510,190510,0,0,10809971,0,3364 122,3,2024-09-07 08:36:20:598,1,148,0,0,411,3696,148,0 123,0,2024-09-07 08:36:21:051,36295,0.8,35360,0.9,74107,1.0,96676,2.25 123,1,2024-09-07 08:36:20:563,260365,260365,0,0,121239501516,1281330840,255293,4378,694,369,391823,0 123,2,2024-09-07 08:36:21:023,187219,187218,1,0,9307468,0,5215 123,3,2024-09-07 08:36:21:141,1,148,1,0,168,1928,148,0 124,0,2024-09-07 08:36:21:023,35943,0.3,35914,0.5,67862,0.2,93169,1.50 124,1,2024-09-07 08:36:21:022,260281,260281,0,0,121655474956,1267400072,258202,1724,355,367,392178,0 124,2,2024-09-07 08:36:21:014,183664,183664,0,0,8005082,0,3101 124,3,2024-09-07 08:36:20:771,1,148,27,0,490,2214,148,0 125,0,2024-09-07 08:36:21:447,36716,0.4,36731,0.6,73629,0.4,97796,1.75 125,1,2024-09-07 08:36:20:904,260422,260422,0,0,122174540417,1279308526,258063,2084,275,384,391702,0 125,2,2024-09-07 08:36:21:116,185546,185546,0,0,7985855,0,2180 125,3,2024-09-07 08:36:21:131,1,148,6,0,284,2009,148,0 126,0,2024-09-07 08:36:21:433,41589,0.8,42667,0.8,81613,0.9,111598,2.00 126,1,2024-09-07 08:36:20:560,260758,260758,0,0,121782359142,1265891504,259132,1548,78,365,391987,0 126,2,2024-09-07 08:36:20:612,191405,191405,0,0,8377688,0,3186 126,3,2024-09-07 08:36:20:946,1,148,1,0,150,2213,148,0 127,0,2024-09-07 08:36:21:635,34980,0.4,35318,0.6,70327,0.4,93806,1.75 127,1,2024-09-07 08:36:20:574,260126,260126,0,0,121808334318,1265561152,258067,2019,40,365,391816,0 127,2,2024-09-07 08:36:20:647,188826,188826,0,0,7847673,0,2264 127,3,2024-09-07 08:36:21:268,1,148,3,0,243,1391,148,0 128,0,2024-09-07 08:36:21:574,35113,0.3,34929,0.4,69763,0.2,92726,1.50 128,1,2024-09-07 08:36:21:627,260828,260828,0,0,122027039086,1266374933,259856,900,72,367,391680,0 128,2,2024-09-07 08:36:21:397,183936,183936,0,0,7861509,0,2107 128,3,2024-09-07 08:36:20:767,1,148,7,0,333,2252,148,0 129,0,2024-09-07 08:36:21:037,36196,0.3,36005,0.5,71942,0.2,95587,1.75 129,1,2024-09-07 08:36:20:576,259716,259716,0,0,121196450847,1273549916,256794,2530,392,379,391835,0 129,2,2024-09-07 08:36:20:688,185397,185397,0,0,8224708,0,4031 129,3,2024-09-07 08:36:20:692,1,148,3,0,173,1877,148,0 130,0,2024-09-07 08:36:21:721,41380,0.8,41050,0.8,82492,1.1,110451,2.00 130,1,2024-09-07 08:36:20:590,260648,260648,0,0,121325242428,1267994357,258546,2031,71,381,391825,0 130,2,2024-09-07 08:36:21:132,189448,189448,0,0,8116583,0,4067 130,3,2024-09-07 08:36:21:293,1,148,3,0,450,1922,148,0 131,0,2024-09-07 08:36:21:953,35626,0.6,35747,0.7,72277,0.8,95483,1.75 131,1,2024-09-07 08:36:21:831,261642,261642,0,0,122036757445,1272642968,260179,1257,206,383,391865,0 131,2,2024-09-07 08:36:20:585,189015,189015,0,0,7678653,0,2415 131,3,2024-09-07 08:36:21:697,1,148,1,0,392,1788,148,0 132,0,2024-09-07 08:36:21:547,35742,0.5,36218,0.7,72536,0.4,96156,2.00 132,1,2024-09-07 08:36:20:582,258939,258939,0,0,121367315554,1288711429,253540,4369,1030,381,391760,0 132,2,2024-09-07 08:36:20:742,186789,186789,0,0,10593155,0,4606 132,3,2024-09-07 08:36:21:706,1,148,2,0,356,2814,148,0 133,0,2024-09-07 08:36:21:538,34165,0.4,34872,0.6,71600,0.4,92424,1.75 133,1,2024-09-07 08:36:20:595,258642,258642,0,0,121585026102,1288188270,254143,3861,638,383,391914,0 133,2,2024-09-07 08:36:21:093,184077,184077,0,0,10531005,0,4315 133,3,2024-09-07 08:36:21:296,1,148,31,0,187,1391,148,0 134,0,2024-09-07 08:36:21:017,39994,0.6,39808,0.8,80382,0.6,107207,2.00 134,1,2024-09-07 08:36:20:584,259212,259212,0,0,121064778353,1274755328,254858,3132,1222,366,391718,0 134,2,2024-09-07 08:36:21:761,185597,185597,0,0,8798877,0,3096 134,3,2024-09-07 08:36:20:763,1,148,11,0,739,2888,148,0 135,0,2024-09-07 08:36:21:150,36799,1.7,36709,1.4,77762,2.2,99880,2.50 135,1,2024-09-07 08:36:21:604,259124,259124,0,0,121271911992,1280796572,254939,3484,701,380,391725,0 135,2,2024-09-07 08:36:20:708,190153,190153,0,0,9667885,0,3981 135,3,2024-09-07 08:36:21:012,1,148,0,0,89,861,148,0 136,0,2024-09-07 08:36:21:656,36539,0.6,36378,0.8,73235,0.5,96984,2.00 136,1,2024-09-07 08:36:21:465,259578,259578,0,0,121846030035,1279564599,256319,3086,173,384,391641,0 136,2,2024-09-07 08:36:21:142,189516,189516,0,0,9150517,0,3506 136,3,2024-09-07 08:36:21:109,1,148,1,0,108,1282,148,0 137,0,2024-09-07 08:36:20:948,36397,0.5,35364,0.7,69761,0.4,94478,1.75 137,1,2024-09-07 08:36:20:575,259998,259998,0,0,121752204943,1277854336,255960,3619,419,367,391608,0 137,2,2024-09-07 08:36:21:712,182742,182742,0,0,10359270,0,3185 137,3,2024-09-07 08:36:20:779,1,148,2,1,227,1822,148,0 138,0,2024-09-07 08:36:21:759,37033,1.5,37141,1.2,74868,2.1,100119,2.50 138,1,2024-09-07 08:36:21:695,260075,260075,0,0,121550074762,1274061379,257042,2683,350,368,391954,0 138,2,2024-09-07 08:36:20:586,185951,185951,0,0,8452132,0,3263 138,3,2024-09-07 08:36:20:621,1,148,1,0,1160,2718,148,0 139,0,2024-09-07 08:36:21:380,39576,3.1,39769,1.8,80110,4.4,107125,3.25 139,1,2024-09-07 08:36:20:575,259063,259063,0,0,120543478407,1282793925,254006,3743,1314,381,391892,0 139,2,2024-09-07 08:36:20:698,189590,189590,0,0,9707963,0,3097 139,3,2024-09-07 08:36:21:676,1,148,6,0,244,1764,148,0 140,0,2024-09-07 08:36:21:589,36007,0.4,35693,0.6,71859,0.3,95554,1.75 140,1,2024-09-07 08:36:21:536,261215,261215,0,0,122195372045,1263527801,259700,1275,240,365,391606,0 140,2,2024-09-07 08:36:20:693,189219,189219,0,0,8384576,0,3388 140,3,2024-09-07 08:36:20:769,1,148,1,0,247,1412,148,0 141,0,2024-09-07 08:36:21:705,34305,0.2,35412,0.4,67954,0.1,92130,1.50 141,1,2024-09-07 08:36:20:898,260883,260883,0,0,122241500512,1271820514,258945,1585,353,379,391614,0 141,2,2024-09-07 08:36:21:693,183422,183422,0,0,7844693,0,2342 141,3,2024-09-07 08:36:21:043,1,148,1,0,147,1286,148,0 142,0,2024-09-07 08:36:21:392,37046,0.3,36925,0.5,73084,0.3,98264,1.75 142,1,2024-09-07 08:36:20:611,260527,260527,0,0,121444914185,1268748136,258986,1382,159,383,391728,0 142,2,2024-09-07 08:36:21:307,184081,184049,32,0,9128391,0,6028 142,3,2024-09-07 08:36:21:747,1,148,3,0,484,2136,148,0 143,0,2024-09-07 08:36:21:510,40512,0.8,40460,0.8,81542,0.9,108267,2.00 143,1,2024-09-07 08:36:20:582,260505,260505,0,0,121800165295,1267492192,258402,2061,42,367,391619,0 143,2,2024-09-07 08:36:20:782,190210,190210,0,0,8460538,0,2669 143,3,2024-09-07 08:36:21:141,1,148,1,0,303,2557,148,0 144,0,2024-09-07 08:36:21:502,34380,1.0,35412,1.6,71623,1.2,94898,2.25 144,1,2024-09-07 08:36:20:565,259739,259739,0,0,121571868279,1278851874,257352,2184,203,381,391649,0 144,2,2024-09-07 08:36:21:763,188992,188992,0,0,8221351,0,3473 144,3,2024-09-07 08:36:21:743,1,148,4,0,249,2039,148,0 145,0,2024-09-07 08:36:21:382,34224,0.5,34211,0.8,72507,0.5,93891,2.00 145,1,2024-09-07 08:36:20:569,258438,258438,0,0,120766856851,1278340781,254051,3652,735,383,391615,0 145,2,2024-09-07 08:36:21:439,185448,185448,0,0,9164620,0,3903 145,3,2024-09-07 08:36:20:905,1,148,15,0,151,1803,148,0 146,0,2024-09-07 08:36:21:641,35394,0.5,35266,0.7,71218,0.4,94423,2.00 146,1,2024-09-07 08:36:21:593,260482,260482,0,0,121008700388,1273107886,256038,3585,859,368,391629,0 146,2,2024-09-07 08:36:21:711,185204,185204,0,0,8657587,0,2498 146,3,2024-09-07 08:36:21:275,1,148,1,0,1520,5124,148,0 147,0,2024-09-07 08:36:21:705,40451,1.0,40336,1.0,80079,1.0,108668,2.50 147,1,2024-09-07 08:36:21:372,261261,261261,0,0,121856251557,1273207121,258164,2602,495,368,391791,0 147,2,2024-09-07 08:36:21:010,188035,188035,0,0,8280445,0,2789 147,3,2024-09-07 08:36:20:915,1,148,2,0,371,1965,148,0 0,0,2024-09-07 08:36:31:710,33968,0.5,34051,0.7,71886,0.4,92921,1.75 0,1,2024-09-07 08:36:30:810,261949,261949,0,0,122940632745,1289246188,259984,1830,135,372,391692,0 0,2,2024-09-07 08:36:31:067,185184,185184,0,0,8508233,0,4480 0,3,2024-09-07 08:36:30:982,1,149,1,0,247,2021,149,0 1,0,2024-09-07 08:36:31:771,39229,1.5,38846,1.3,77905,2.1,104945,2.25 1,1,2024-09-07 08:36:30:565,261258,261258,0,0,122094448192,1285410685,257824,2567,867,372,391857,0 1,2,2024-09-07 08:36:30:650,186207,186207,0,0,7728587,0,3267 1,3,2024-09-07 08:36:31:316,1,149,9,0,262,1933,149,0 2,0,2024-09-07 08:36:31:570,39422,1.2,39570,1.1,78681,1.8,104865,2.25 2,1,2024-09-07 08:36:30:870,261701,261701,0,0,122955552574,1280762583,260255,1240,206,380,391745,0 2,2,2024-09-07 08:36:31:269,191199,191199,0,0,8622368,0,3594 2,3,2024-09-07 08:36:30:690,1,149,0,0,357,1669,149,0 3,0,2024-09-07 08:36:31:745,36130,0.5,36278,0.7,72711,0.5,96242,2.00 3,1,2024-09-07 08:36:31:618,261484,261484,0,0,121727730904,1274746269,258376,2684,424,380,391516,0 3,2,2024-09-07 08:36:31:142,189833,189810,23,0,8552987,0,5851 3,3,2024-09-07 08:36:31:753,1,149,2,0,103,984,149,0 4,0,2024-09-07 08:36:31:764,34145,0.3,35081,0.5,71299,0.2,93483,1.75 4,1,2024-09-07 08:36:30:591,261889,261889,0,0,121789224661,1283264103,258927,2441,521,371,391846,0 4,2,2024-09-07 08:36:31:021,183450,183450,0,0,10030610,0,4528 4,3,2024-09-07 08:36:31:027,1,149,1,0,287,2143,149,0 5,0,2024-09-07 08:36:31:384,37037,0.5,37006,0.7,74081,0.5,98728,1.75 5,1,2024-09-07 08:36:30:755,260927,260927,0,0,122107225602,1290869737,256754,3187,986,368,392005,0 5,2,2024-09-07 08:36:31:842,185908,185908,0,0,8565695,0,2259 5,3,2024-09-07 08:36:31:732,1,149,1,0,238,2337,149,0 6,0,2024-09-07 08:36:30:924,42207,1.0,41812,1.1,82919,1.4,111617,2.50 6,1,2024-09-07 08:36:30:746,262158,262158,0,0,122606714299,1287574529,258776,2735,647,381,391617,0 6,2,2024-09-07 08:36:31:116,192843,192843,0,0,8936771,0,4816 6,3,2024-09-07 08:36:31:274,1,149,1,0,340,2249,149,0 7,0,2024-09-07 08:36:31:534,34883,0.5,34892,0.8,70048,0.5,93552,2.00 7,1,2024-09-07 08:36:30:853,261870,261870,0,0,122644339253,1286765325,259152,2587,131,382,391664,0 7,2,2024-09-07 08:36:30:776,189770,189770,0,0,8098779,0,2981 7,3,2024-09-07 08:36:30:852,1,149,28,0,305,1601,149,0 8,0,2024-09-07 08:36:31:356,34995,0.3,35165,0.4,69768,0.2,93154,1.50 8,1,2024-09-07 08:36:31:019,261404,261404,0,0,122395246121,1297203898,255803,4002,1599,367,391956,0 8,2,2024-09-07 08:36:30:807,182698,182698,0,0,9651411,0,2986 8,3,2024-09-07 08:36:30:592,1,149,5,0,357,2538,149,0 9,0,2024-09-07 08:36:31:106,36327,0.3,35281,0.5,73576,0.3,96752,1.75 9,1,2024-09-07 08:36:30:556,261041,261041,0,0,122857004620,1299550589,256555,3360,1126,370,391753,0 9,2,2024-09-07 08:36:31:089,186329,186329,0,0,8954076,0,3360 9,3,2024-09-07 08:36:31:752,1,149,3,0,496,2617,149,0 10,0,2024-09-07 08:36:31:601,41534,0.4,41305,0.6,82949,0.3,110517,1.75 10,1,2024-09-07 08:36:30:594,261555,261555,0,0,122108935369,1285227211,257084,3830,641,381,391741,0 10,2,2024-09-07 08:36:30:774,190979,190979,0,0,9293241,0,2940 10,3,2024-09-07 08:36:30:871,1,149,1,0,177,1185,149,0 11,0,2024-09-07 08:36:31:014,35071,1.0,34235,1.1,71852,1.5,95921,2.25 11,1,2024-09-07 08:36:30:573,261646,261646,0,0,122671609081,1294237633,256345,4031,1270,384,391537,0 11,2,2024-09-07 08:36:31:124,189632,189632,0,0,9012364,0,3411 11,3,2024-09-07 08:36:31:297,1,149,0,0,720,2801,149,0 12,0,2024-09-07 08:36:31:025,36586,0.3,36271,0.5,72907,0.2,96592,1.50 12,1,2024-09-07 08:36:30:945,261525,261525,0,0,121498708831,1273541017,258648,2467,410,370,391837,0 12,2,2024-09-07 08:36:31:555,188584,188584,0,0,9287175,0,3469 12,3,2024-09-07 08:36:31:073,1,149,14,0,358,2661,149,0 13,0,2024-09-07 08:36:31:351,35312,0.4,35345,0.5,70581,0.3,93974,1.75 13,1,2024-09-07 08:36:31:524,261490,261490,0,0,122079917844,1284419538,259076,2006,408,382,391717,0 13,2,2024-09-07 08:36:30:595,186724,186724,0,0,8441373,0,3287 13,3,2024-09-07 08:36:31:770,1,149,0,0,467,3136,149,0 14,0,2024-09-07 08:36:30:568,40707,0.5,40812,0.7,80802,0.4,108569,1.75 14,1,2024-09-07 08:36:31:561,263102,263102,0,0,122788159864,1277203780,260837,2102,163,364,391571,0 14,2,2024-09-07 08:36:30:773,187463,187463,0,0,8629668,0,2896 14,3,2024-09-07 08:36:31:121,1,149,1,0,1168,2881,149,0 15,0,2024-09-07 08:36:31:553,37728,1.2,37755,1.2,75880,1.7,100624,2.75 15,1,2024-09-07 08:36:31:617,261741,261741,0,0,122643616546,1279655994,259829,1803,109,381,391619,0 15,2,2024-09-07 08:36:31:005,191566,191566,0,0,7315114,0,3043 15,3,2024-09-07 08:36:31:405,1,149,1,0,1126,4282,149,0 16,0,2024-09-07 08:36:30:940,36472,0.5,36815,0.8,72927,0.5,97252,2.00 16,1,2024-09-07 08:36:30:566,262200,262200,0,0,122448477264,1283459671,259979,1960,261,370,391756,0 16,2,2024-09-07 08:36:31:440,189011,189011,0,0,9604342,0,4719 16,3,2024-09-07 08:36:31:146,1,149,1,0,231,2193,149,0 17,0,2024-09-07 08:36:31:762,36573,0.4,35675,0.6,70048,0.4,94301,1.75 17,1,2024-09-07 08:36:30:578,261297,261297,0,0,121834944178,1285036761,257944,2682,671,368,391688,0 17,2,2024-09-07 08:36:31:669,186504,186504,0,0,8417687,0,2857 17,3,2024-09-07 08:36:30:581,1,149,1,0,268,2440,149,0 18,0,2024-09-07 08:36:30:950,37204,0.9,37524,0.9,75164,1.0,100474,2.25 18,1,2024-09-07 08:36:31:642,261674,261674,0,0,122562881070,1275746648,259667,1773,234,368,391564,0 18,2,2024-09-07 08:36:31:755,186357,186357,0,0,8542643,0,3541 18,3,2024-09-07 08:36:30:896,1,149,12,0,163,1716,149,0 19,0,2024-09-07 08:36:31:546,40243,1.2,40630,1.1,80239,1.5,106573,2.75 19,1,2024-09-07 08:36:30:565,262212,262212,0,0,122665600208,1283372922,258399,3097,716,367,391777,0 19,2,2024-09-07 08:36:31:752,193053,193053,0,0,7815029,0,3988 19,3,2024-09-07 08:36:31:129,1,149,1,0,524,1471,149,0 20,0,2024-09-07 08:36:31:364,35933,0.7,35811,0.8,71866,0.7,95787,2.25 20,1,2024-09-07 08:36:30:567,261416,261416,0,0,122255023036,1286719331,257958,3068,390,369,391822,0 20,2,2024-09-07 08:36:30:928,190035,190035,0,0,8745098,0,3721 20,3,2024-09-07 08:36:30:589,1,149,12,0,414,2820,149,0 21,0,2024-09-07 08:36:31:223,35079,0.3,35137,0.5,69836,0.3,92694,1.75 21,1,2024-09-07 08:36:31:548,261159,261159,0,0,121868385272,1286817313,257533,3095,531,368,391962,0 21,2,2024-09-07 08:36:31:067,184205,184205,0,0,9336643,0,3747 21,3,2024-09-07 08:36:31:404,1,149,1,0,103,1916,149,0 22,0,2024-09-07 08:36:31:721,36835,0.7,36912,0.9,73206,0.7,98085,2.00 22,1,2024-09-07 08:36:31:027,260973,260973,0,0,121709228886,1289589846,255468,3996,1509,382,391667,0 22,2,2024-09-07 08:36:30:777,186259,186259,0,0,8070736,0,3134 22,3,2024-09-07 08:36:31:066,1,149,39,0,228,1728,149,0 23,0,2024-09-07 08:36:31:388,40539,0.8,40656,0.9,81645,0.8,109305,2.25 23,1,2024-09-07 08:36:31:003,261903,261903,0,0,122642356907,1287466996,257809,2612,1482,365,391549,0 23,2,2024-09-07 08:36:31:092,191478,191478,0,0,8479428,0,3010 23,3,2024-09-07 08:36:31:754,1,149,3,0,645,1749,149,0 24,0,2024-09-07 08:36:30:843,36370,0.6,36434,0.7,72928,0.6,96968,1.75 24,1,2024-09-07 08:36:30:594,261773,261773,0,0,122208171889,1282193216,258820,2154,799,369,391640,0 24,2,2024-09-07 08:36:31:073,188960,188960,0,0,9673040,0,2942 24,3,2024-09-07 08:36:31:686,1,149,1,0,234,1748,149,0 25,0,2024-09-07 08:36:31:383,36588,0.4,35644,0.6,69867,0.3,95008,1.75 25,1,2024-09-07 08:36:30:558,261999,261999,0,0,121866927526,1283351491,258314,3142,543,371,391788,0 25,2,2024-09-07 08:36:31:608,185236,185236,0,0,9663382,0,3978 25,3,2024-09-07 08:36:31:004,1,149,1,0,158,1706,149,0 26,0,2024-09-07 08:36:31:725,35531,0.4,34748,0.5,73020,0.3,95419,1.75 26,1,2024-09-07 08:36:31:542,262102,262102,0,0,122055874957,1285859432,257442,3759,901,381,391564,0 26,2,2024-09-07 08:36:30:870,186644,186644,0,0,8662099,0,2809 26,3,2024-09-07 08:36:31:716,1,149,1,0,796,2157,149,0 27,0,2024-09-07 08:36:31:746,41202,0.5,41248,0.6,81796,0.5,110017,1.75 27,1,2024-09-07 08:36:31:676,263278,263278,0,0,122689518748,1276665810,261459,1496,323,381,391539,0 27,2,2024-09-07 08:36:30:874,188213,188213,0,0,9548225,0,3409 27,3,2024-09-07 08:36:31:015,1,149,1,0,564,1916,149,0 28,0,2024-09-07 08:36:31:404,36374,0.9,36685,0.9,73760,1.2,98137,2.50 28,1,2024-09-07 08:36:30:803,262203,262203,0,0,123093595778,1286300572,260041,1826,336,383,391646,0 28,2,2024-09-07 08:36:31:765,190614,190614,0,0,7692208,0,2915 28,3,2024-09-07 08:36:31:780,1,149,1,0,502,1992,149,0 29,0,2024-09-07 08:36:31:362,37310,0.4,36495,0.6,71341,0.3,97408,1.75 29,1,2024-09-07 08:36:31:561,263162,263162,0,0,122660680739,1274553992,260735,1884,543,369,391621,0 29,2,2024-09-07 08:36:30:870,189110,189110,0,0,7477448,0,4018 29,3,2024-09-07 08:36:30:971,1,149,1,0,105,1201,149,0 30,0,2024-09-07 08:36:31:462,34940,0.5,33970,0.7,71109,0.5,93030,2.00 30,1,2024-09-07 08:36:30:571,262729,262729,0,0,122809103980,1278702017,260743,1708,278,382,391672,0 30,2,2024-09-07 08:36:31:274,186305,186305,0,0,7442280,0,3161 30,3,2024-09-07 08:36:30:590,1,149,1,0,195,1163,149,0 31,0,2024-09-07 08:36:31:759,38669,0.6,39031,0.8,78639,0.6,104788,2.00 31,1,2024-09-07 08:36:30:565,263378,263378,0,0,122712135688,1260182593,262578,688,112,356,391553,0 31,2,2024-09-07 08:36:31:275,186627,186627,0,0,9229602,0,3525 31,3,2024-09-07 08:36:31:708,1,149,1,0,220,1184,149,0 32,0,2024-09-07 08:36:31:423,39461,0.6,39888,0.7,79712,0.5,105589,1.75 32,1,2024-09-07 08:36:30:812,262670,262670,0,0,123030592284,1280482758,260928,1467,275,382,391595,0 32,2,2024-09-07 08:36:30:935,191631,191631,0,0,7608792,0,3155 32,3,2024-09-07 08:36:31:023,1,149,1,0,227,1446,149,0 33,0,2024-09-07 08:36:31:509,36824,0.3,36229,0.6,73186,0.3,97259,1.75 33,1,2024-09-07 08:36:30:580,263183,263183,0,0,123110405361,1278119774,260925,2086,172,369,391730,0 33,2,2024-09-07 08:36:30:779,189976,189943,33,0,9437046,0,7012 33,3,2024-09-07 08:36:30:895,1,149,3,0,329,1832,149,0 34,0,2024-09-07 08:36:30:930,35207,0.3,36331,0.4,69630,0.2,93636,1.50 34,1,2024-09-07 08:36:31:045,263386,263386,0,0,123691058931,1276447139,262391,989,6,367,391562,0 34,2,2024-09-07 08:36:30:776,183738,183738,0,0,8324663,0,3577 34,3,2024-09-07 08:36:31:688,1,149,5,0,148,974,149,0 35,0,2024-09-07 08:36:30:861,36665,0.4,37056,0.6,73990,0.4,98988,1.75 35,1,2024-09-07 08:36:31:069,262386,262386,0,0,122276848379,1274109921,259587,2029,770,384,391589,0 35,2,2024-09-07 08:36:31:592,186022,186022,0,0,7671848,0,2653 35,3,2024-09-07 08:36:30:908,1,149,2,0,418,1926,149,0 36,0,2024-09-07 08:36:31:519,41801,1.1,41650,1.0,83910,1.3,111246,2.50 36,1,2024-09-07 08:36:30:583,261540,261540,0,0,122411417399,1286941807,256922,3330,1288,366,391759,0 36,2,2024-09-07 08:36:31:754,192003,192003,0,0,9132192,0,3303 36,3,2024-09-07 08:36:30:869,1,149,0,0,378,2033,149,0 37,0,2024-09-07 08:36:31:371,34987,0.5,35060,0.8,69897,0.5,94344,2.00 37,1,2024-09-07 08:36:30:569,261166,261159,0,7,122678726691,1294576460,256401,2739,2019,365,391560,0 37,2,2024-09-07 08:36:31:143,189872,189857,15,0,9094361,0,5815 37,3,2024-09-07 08:36:31:766,1,149,1,0,724,2443,149,0 38,0,2024-09-07 08:36:31:440,34838,0.4,33763,0.6,70535,0.3,92752,2.00 38,1,2024-09-07 08:36:31:605,262379,262379,0,0,122634237404,1286555853,258342,3405,632,368,391821,0 38,2,2024-09-07 08:36:30:773,184925,184878,47,0,10739809,0,6710 38,3,2024-09-07 08:36:31:003,1,149,3,0,603,2095,149,0 39,0,2024-09-07 08:36:31:772,37187,0.6,36342,0.7,70982,0.6,97215,2.00 39,1,2024-09-07 08:36:30:716,261981,261981,0,0,122489949118,1281876317,258195,2985,801,365,391524,0 39,2,2024-09-07 08:36:31:422,186584,186584,0,0,7863404,0,2689 39,3,2024-09-07 08:36:30:715,1,149,13,0,276,2048,149,0 40,0,2024-09-07 08:36:31:501,40751,1.0,41556,1.2,82397,1.0,110062,3.00 40,1,2024-09-07 08:36:30:588,262340,262340,0,0,121923176200,1280804250,257929,3643,768,368,391591,0 40,2,2024-09-07 08:36:31:305,190073,190072,1,0,9889818,0,5137 40,3,2024-09-07 08:36:31:143,1,149,1,0,181,1509,149,0 41,0,2024-09-07 08:36:31:039,35185,2.4,35834,1.9,68825,3.7,94569,4.50 41,1,2024-09-07 08:36:30:768,261632,261632,0,0,122614215649,1287420642,257443,3658,531,370,391742,0 41,2,2024-09-07 08:36:30:760,188529,188529,0,0,9693755,0,3356 41,3,2024-09-07 08:36:31:676,1,149,2,0,366,1931,149,0 42,0,2024-09-07 08:36:31:478,35592,0.5,35995,0.8,71768,0.4,94737,2.25 42,1,2024-09-07 08:36:31:440,260738,260738,0,0,121955318229,1286456905,256041,3739,958,380,391675,0 42,2,2024-09-07 08:36:31:141,186912,186912,0,0,9386071,0,3568 42,3,2024-09-07 08:36:31:018,1,149,0,0,446,1396,149,0 43,0,2024-09-07 08:36:30:922,35199,0.7,34377,0.9,71372,0.7,94041,2.00 43,1,2024-09-07 08:36:30:587,261965,261965,0,0,123132816780,1286897628,258724,2448,793,366,391604,0 43,2,2024-09-07 08:36:31:736,186746,186746,0,0,8734951,0,3812 43,3,2024-09-07 08:36:31:749,1,149,1,0,325,2108,149,0 44,0,2024-09-07 08:36:30:880,40614,0.5,40666,0.7,81265,0.5,109013,2.00 44,1,2024-09-07 08:36:30:566,262209,262209,0,0,122000237473,1264445355,260151,1633,425,356,391809,0 44,2,2024-09-07 08:36:31:267,187120,187120,0,0,7401549,0,1877 44,3,2024-09-07 08:36:31:098,1,149,2,0,817,2024,149,0 45,0,2024-09-07 08:36:31:781,37293,1.6,36552,1.3,76867,2.1,101294,2.50 45,1,2024-09-07 08:36:31:013,262481,262481,0,0,122849015508,1275970702,261442,1029,10,382,391917,0 45,2,2024-09-07 08:36:31:273,191629,191629,0,0,8085516,0,3596 45,3,2024-09-07 08:36:30:940,1,149,1,0,226,1413,149,0 46,0,2024-09-07 08:36:30:961,36386,0.4,36241,0.6,72784,0.3,96290,1.75 46,1,2024-09-07 08:36:30:578,262834,262834,0,0,122567952189,1270670059,261026,1585,223,366,391524,0 46,2,2024-09-07 08:36:30:593,190448,190448,0,0,8022279,0,2920 46,3,2024-09-07 08:36:31:131,1,149,7,0,908,2895,149,0 47,0,2024-09-07 08:36:31:132,35500,0.3,35721,0.5,71673,0.2,94071,1.75 47,1,2024-09-07 08:36:30:566,262919,262919,0,0,122415938279,1266635091,261517,1385,17,366,391605,0 47,2,2024-09-07 08:36:30:908,186046,186046,0,0,8078257,0,2558 47,3,2024-09-07 08:36:31:117,1,149,2,0,529,1777,149,0 48,0,2024-09-07 08:36:31:499,38071,0.3,37750,0.5,75619,0.2,101140,1.75 48,1,2024-09-07 08:36:31:024,262871,262871,0,0,123036746778,1281571322,260810,1880,181,384,391710,0 48,2,2024-09-07 08:36:30:704,186571,186571,0,0,6928369,0,2083 48,3,2024-09-07 08:36:30:755,1,149,1,0,339,1410,149,0 49,0,2024-09-07 08:36:31:750,41822,0.6,40937,0.7,79646,0.7,108473,1.75 49,1,2024-09-07 08:36:31:024,261547,261547,0,0,122561738752,1281459257,258812,1895,840,382,391583,0 49,2,2024-09-07 08:36:31:802,192783,192783,0,0,8090923,0,3900 49,3,2024-09-07 08:36:31:419,1,149,0,0,408,2277,149,0 50,0,2024-09-07 08:36:31:541,35983,0.3,35629,0.6,71383,0.3,95728,1.75 50,1,2024-09-07 08:36:31:016,263601,263601,0,0,123241179145,1281122727,261077,2215,309,368,391530,0 50,2,2024-09-07 08:36:31:067,190101,190101,0,0,7622428,0,2253 50,3,2024-09-07 08:36:31:292,1,149,1,0,335,1301,149,0 51,0,2024-09-07 08:36:31:694,35597,0.3,35062,0.4,68268,0.2,93135,1.50 51,1,2024-09-07 08:36:31:683,262301,262301,0,0,123808689428,1283212792,260333,1182,786,367,391637,0 51,2,2024-09-07 08:36:31:317,184149,184149,0,0,7156173,0,3337 51,3,2024-09-07 08:36:31:033,1,149,2,0,162,888,149,0 52,0,2024-09-07 08:36:31:434,37081,0.5,37047,0.7,74214,0.5,98451,2.25 52,1,2024-09-07 08:36:30:579,261310,261310,0,0,122412737422,1293997834,255639,4516,1155,368,391722,0 52,2,2024-09-07 08:36:31:760,184947,184909,38,0,9637407,0,6742 52,3,2024-09-07 08:36:30:684,1,149,2,0,1782,3463,149,0 53,0,2024-09-07 08:36:31:797,40593,1.0,39535,1.0,82494,1.2,108630,2.75 53,1,2024-09-07 08:36:30:782,260991,260991,0,0,122518549550,1296907550,255372,3725,1894,367,391702,0 53,2,2024-09-07 08:36:31:298,192098,192098,0,0,8059807,0,2262 53,3,2024-09-07 08:36:30:700,1,149,8,0,133,1243,149,0 54,0,2024-09-07 08:36:31:617,34902,2.5,35345,1.4,69889,1.4,94163,4.25 54,1,2024-09-07 08:36:30:582,261751,261751,0,0,122866723275,1286505896,257781,3399,571,367,391532,0 54,2,2024-09-07 08:36:30:870,189521,189515,6,0,9641233,0,5382 54,3,2024-09-07 08:36:30:772,1,149,0,0,676,3155,149,0 55,0,2024-09-07 08:36:31:763,34276,0.5,35298,0.7,71675,0.4,92910,2.25 55,1,2024-09-07 08:36:30:776,261165,261165,0,0,122098836795,1282708906,255978,4400,787,365,391731,0 55,2,2024-09-07 08:36:30:729,185602,185602,0,0,9228120,0,3275 55,3,2024-09-07 08:36:30:674,1,149,1,0,304,1659,149,0 56,0,2024-09-07 08:36:31:573,36752,1.2,34775,1.1,71262,1.7,95714,2.50 56,1,2024-09-07 08:36:30:574,261550,261550,0,0,122463242516,1299971825,256426,4221,903,381,391678,0 56,2,2024-09-07 08:36:31:307,186153,186153,0,0,9806915,0,3567 56,3,2024-09-07 08:36:31:061,1,149,26,0,405,2186,149,0 57,0,2024-09-07 08:36:30:956,40115,2.5,40180,1.6,80484,3.9,108156,4.00 57,1,2024-09-07 08:36:30:988,261668,261668,0,0,122107687420,1280860957,258851,2647,170,368,391960,0 57,2,2024-09-07 08:36:31:316,189880,189880,0,0,10081523,0,3178 57,3,2024-09-07 08:36:31:740,1,149,1,0,359,2667,149,0 58,0,2024-09-07 08:36:30:556,35462,1.6,34608,1.3,72457,2.6,94787,3.00 58,1,2024-09-07 08:36:30:578,262669,262666,0,3,122931217367,1286894291,259151,3118,397,367,391516,3 58,2,2024-09-07 08:36:31:071,189980,189980,0,0,9167928,0,2549 58,3,2024-09-07 08:36:31:069,1,149,1,0,219,1243,149,0 59,0,2024-09-07 08:36:31:744,36510,0.8,36262,1.0,72417,0.9,96213,3.00 59,1,2024-09-07 08:36:30:804,261980,261980,0,0,121952018129,1279412006,258333,2725,922,369,391515,0 59,2,2024-09-07 08:36:30:583,189534,189534,0,0,8007439,0,2604 59,3,2024-09-07 08:36:31:744,1,149,1,0,1015,2855,149,0 60,0,2024-09-07 08:36:31:724,35274,0.4,35386,0.6,70270,0.3,93126,1.75 60,1,2024-09-07 08:36:30:780,263224,263224,0,0,123302654526,1280663545,261770,1097,357,370,391761,0 60,2,2024-09-07 08:36:31:141,185336,185336,0,0,9251105,0,3811 60,3,2024-09-07 08:36:31:260,1,149,1,0,124,1543,149,0 61,0,2024-09-07 08:36:31:504,38870,1.6,39167,1.2,78145,2.3,104830,2.25 61,1,2024-09-07 08:36:30:775,261829,261829,0,0,122494558369,1288064045,258395,2882,552,382,391589,0 61,2,2024-09-07 08:36:31:122,187498,187498,0,0,8181662,0,2079 61,3,2024-09-07 08:36:31:688,1,149,3,0,199,1888,149,0 62,0,2024-09-07 08:36:31:708,39751,1.6,40522,1.1,77704,2.7,105329,2.50 62,1,2024-09-07 08:36:31:112,263724,263718,0,6,123270375471,1274333907,262040,1639,39,365,391715,6 62,2,2024-09-07 08:36:31:655,190218,190217,1,0,9793487,0,5555 62,3,2024-09-07 08:36:31:153,1,149,9,0,287,1159,149,0 63,0,2024-09-07 08:36:31:453,36383,0.6,36379,0.7,72856,0.5,96941,2.00 63,1,2024-09-07 08:36:30:816,263115,263109,0,6,122847274626,1274970444,261871,1212,26,381,391542,6 63,2,2024-09-07 08:36:30:776,189570,189570,0,0,7553484,0,2674 63,3,2024-09-07 08:36:31:735,1,149,1,0,667,2372,149,0 64,0,2024-09-07 08:36:31:511,35038,0.4,35024,0.6,70248,0.3,93425,1.75 64,1,2024-09-07 08:36:30:754,262494,262494,0,0,123086723010,1290387250,259031,2410,1053,371,391783,0 64,2,2024-09-07 08:36:31:144,185630,185611,19,0,8361838,0,6121 64,3,2024-09-07 08:36:31:140,1,149,2,0,265,1752,149,0 65,0,2024-09-07 08:36:31:694,36256,1.0,36805,0.9,73444,1.2,98218,2.50 65,1,2024-09-07 08:36:30:887,261675,261675,0,0,122301507686,1283121564,259170,2283,222,382,391770,0 65,2,2024-09-07 08:36:31:693,186235,186235,0,0,8801932,0,3367 65,3,2024-09-07 08:36:31:687,1,149,1,0,163,1567,149,0 66,0,2024-09-07 08:36:31:776,41362,0.8,41378,0.9,83247,0.9,110536,2.50 66,1,2024-09-07 08:36:31:293,263033,263033,0,0,122939884016,1280937129,261158,1704,171,380,391588,0 66,2,2024-09-07 08:36:31:132,193690,193690,0,0,8228735,0,4956 66,3,2024-09-07 08:36:31:079,1,149,20,0,291,1684,149,0 67,0,2024-09-07 08:36:31:417,35503,0.9,35320,1.0,70759,1.1,94491,2.50 67,1,2024-09-07 08:36:30:773,261822,261821,0,1,122275208407,1282972583,258355,2720,746,381,391787,1 67,2,2024-09-07 08:36:30:583,190675,190675,0,0,7795925,0,2889 67,3,2024-09-07 08:36:31:750,1,149,1,0,138,1259,149,0 68,0,2024-09-07 08:36:30:564,35196,0.5,34988,0.7,70035,0.4,92967,2.00 68,1,2024-09-07 08:36:30:570,261164,261164,0,0,122074047669,1283908703,258320,1826,1018,381,391953,0 68,2,2024-09-07 08:36:31:044,183690,183625,65,0,10971999,0,6698 68,3,2024-09-07 08:36:30:731,1,149,1,0,417,1969,149,0 69,0,2024-09-07 08:36:31:728,36101,0.8,36381,0.9,72132,0.9,96754,2.25 69,1,2024-09-07 08:36:31:021,260693,260693,0,0,121892584518,1292633528,256703,2776,1214,384,391611,0 69,2,2024-09-07 08:36:31:733,186743,186743,0,0,9459004,0,3701 69,3,2024-09-07 08:36:30:773,1,149,1,0,238,1924,149,0 70,0,2024-09-07 08:36:31:551,40742,2.2,41006,1.5,82315,1.5,109414,3.00 70,1,2024-09-07 08:36:30:814,262362,262362,0,0,122365419552,1274757501,259947,1953,462,366,391725,0 70,2,2024-09-07 08:36:31:325,190481,190481,0,0,8881177,0,4044 70,3,2024-09-07 08:36:30:752,1,149,11,0,854,2064,149,0 71,0,2024-09-07 08:36:31:366,35094,2.4,35218,1.8,70005,3.8,94696,3.75 71,1,2024-09-07 08:36:31:603,262023,262023,0,0,122208070844,1282853854,257914,3586,523,368,391682,0 71,2,2024-09-07 08:36:31:069,190224,190224,0,0,8862135,0,2470 71,3,2024-09-07 08:36:31:750,1,149,1,0,644,2528,149,0 72,0,2024-09-07 08:36:31:031,37347,0.5,36524,0.7,71570,0.4,96887,2.25 72,1,2024-09-07 08:36:31:025,262036,262036,0,0,122735975912,1283933620,258793,2757,486,369,391819,0 72,2,2024-09-07 08:36:31:755,186779,186779,0,0,10267923,0,2570 72,3,2024-09-07 08:36:31:754,1,149,5,0,325,2380,149,0 73,0,2024-09-07 08:36:31:100,34375,0.4,35207,0.6,72070,0.3,93711,2.25 73,1,2024-09-07 08:36:30:785,262498,262498,0,0,122379702458,1272204585,260694,1689,115,368,391627,0 73,2,2024-09-07 08:36:31:741,186490,186490,0,0,9516933,0,3482 73,3,2024-09-07 08:36:30:975,1,149,2,0,274,2508,149,0 74,0,2024-09-07 08:36:31:331,40862,0.5,41567,0.8,79374,0.5,108668,2.25 74,1,2024-09-07 08:36:30:635,262110,262110,0,0,121655733338,1272807501,259324,2165,621,382,391681,0 74,2,2024-09-07 08:36:31:016,187482,187482,0,0,9510700,0,4253 74,3,2024-09-07 08:36:31:442,1,149,1,0,522,2692,149,0 75,0,2024-09-07 08:36:31:770,37998,1.6,37790,1.3,75584,2.0,101545,3.00 75,1,2024-09-07 08:36:31:591,261674,261674,0,0,122252492012,1283665139,258289,2925,460,381,391579,0 75,2,2024-09-07 08:36:31:354,190590,190590,0,0,9098653,0,4766 75,3,2024-09-07 08:36:31:068,1,149,3,0,535,1734,149,0 76,0,2024-09-07 08:36:30:604,36252,0.4,36033,0.7,72135,0.3,96610,2.25 76,1,2024-09-07 08:36:30:816,262092,262092,0,0,122190325241,1277994759,260032,1549,511,382,391692,0 76,2,2024-09-07 08:36:31:062,190665,190665,0,0,8002311,0,3064 76,3,2024-09-07 08:36:31:143,1,149,0,0,175,1677,149,0 77,0,2024-09-07 08:36:31:708,35562,0.5,35765,0.7,71536,0.5,94053,1.75 77,1,2024-09-07 08:36:30:831,263041,263041,0,0,122885162190,1283536582,261453,1506,82,383,391808,0 77,2,2024-09-07 08:36:31:285,184537,184537,0,0,8181977,0,3890 77,3,2024-09-07 08:36:31:099,1,149,5,0,305,1949,149,0 78,0,2024-09-07 08:36:31:718,37740,0.5,37668,0.8,75893,0.5,100789,2.00 78,1,2024-09-07 08:36:30:612,262392,262392,0,0,122141447870,1273815778,260062,2077,253,367,391589,0 78,2,2024-09-07 08:36:31:405,187380,187380,0,0,7732755,0,2114 78,3,2024-09-07 08:36:31:140,1,149,1,0,181,1615,149,0 79,0,2024-09-07 08:36:31:378,39413,0.6,40269,0.8,82303,0.5,106992,2.75 79,1,2024-09-07 08:36:30:571,262959,262959,0,0,122748665134,1274786562,260775,2013,171,369,391682,0 79,2,2024-09-07 08:36:31:071,193205,193205,0,0,8017320,0,3212 79,3,2024-09-07 08:36:30:749,1,149,1,0,418,2869,149,0 80,0,2024-09-07 08:36:31:081,35784,0.7,36616,0.8,70559,0.7,95762,2.25 80,1,2024-09-07 08:36:31:619,261400,261400,0,0,122152148568,1275749495,258891,2350,159,368,391673,0 80,2,2024-09-07 08:36:31:094,190643,190643,0,0,8417170,0,4433 80,3,2024-09-07 08:36:30:590,1,149,11,0,190,2560,149,0 81,0,2024-09-07 08:36:31:545,34820,0.5,35932,0.6,68814,0.4,92755,1.75 81,1,2024-09-07 08:36:31:652,261850,261850,0,0,121741262161,1276467773,259374,2204,272,382,391879,0 81,2,2024-09-07 08:36:31:126,183782,183782,0,0,8809217,0,3993 81,3,2024-09-07 08:36:31:121,1,149,3,0,193,1547,149,0 82,0,2024-09-07 08:36:31:534,36746,0.5,36933,0.8,74129,0.5,98645,2.00 82,1,2024-09-07 08:36:30:583,262452,262448,0,4,122696087647,1282823242,260008,1864,576,382,391558,4 82,2,2024-09-07 08:36:31:691,187228,187228,0,0,8119769,0,3986 82,3,2024-09-07 08:36:31:752,1,149,1,0,227,1808,149,0 83,0,2024-09-07 08:36:31:528,40976,0.8,40839,0.9,80988,0.9,108865,2.25 83,1,2024-09-07 08:36:30:553,262128,262128,0,0,121981941162,1277847674,259681,2243,204,382,391690,0 83,2,2024-09-07 08:36:30:776,191473,191473,0,0,8136576,0,3119 83,3,2024-09-07 08:36:30:756,1,149,12,0,241,1766,149,0 84,0,2024-09-07 08:36:31:843,35527,1.6,35235,1.4,71047,1.3,95505,2.75 84,1,2024-09-07 08:36:31:043,261269,261269,0,0,122409979119,1286034410,257885,2930,454,368,391852,0 84,2,2024-09-07 08:36:30:579,189927,189927,0,0,9207651,0,3801 84,3,2024-09-07 08:36:31:142,1,149,2,0,236,1616,149,0 85,0,2024-09-07 08:36:31:008,34290,0.5,34279,0.7,72669,0.4,94046,2.00 85,1,2024-09-07 08:36:30:565,260894,260894,0,0,121876874661,1295792717,255499,4318,1077,382,391806,0 85,2,2024-09-07 08:36:30:873,186239,186239,0,0,9878303,0,3656 85,3,2024-09-07 08:36:30:685,1,149,24,0,789,2394,149,0 86,0,2024-09-07 08:36:30:883,35820,0.6,36797,0.7,70482,0.6,95515,2.00 86,1,2024-09-07 08:36:30:831,261637,261637,0,0,122556381082,1288126190,257690,3404,543,366,391961,0 86,2,2024-09-07 08:36:30:856,185193,185192,1,0,10187282,0,5004 86,3,2024-09-07 08:36:30:586,1,149,1,0,286,2344,149,0 87,0,2024-09-07 08:36:31:299,40799,1.7,40750,1.2,81539,2.7,109991,2.50 87,1,2024-09-07 08:36:30:550,261323,261323,0,0,122714302034,1289273559,258112,2820,391,366,391788,0 87,2,2024-09-07 08:36:31:069,188841,188841,0,0,8604801,0,3515 87,3,2024-09-07 08:36:31:800,1,149,5,0,335,2790,149,0 88,0,2024-09-07 08:36:31:574,36802,0.8,36942,0.8,73650,0.9,98186,2.00 88,1,2024-09-07 08:36:30:646,260892,260892,0,0,122017230495,1284492888,256873,2853,1166,365,391787,0 88,2,2024-09-07 08:36:30:709,190160,190160,0,0,9772429,0,3583 88,3,2024-09-07 08:36:31:271,1,149,2,0,435,2053,149,0 89,0,2024-09-07 08:36:31:990,37572,0.4,36415,0.7,72043,0.4,97733,1.75 89,1,2024-09-07 08:36:30:553,260540,260540,0,0,122426338351,1299187891,255113,4492,935,382,391866,0 89,2,2024-09-07 08:36:31:135,188581,188581,0,0,9548631,0,2910 89,3,2024-09-07 08:36:31:801,1,149,49,0,325,3088,149,0 90,0,2024-09-07 08:36:31:675,34082,0.4,34828,0.5,71583,0.3,92863,1.75 90,1,2024-09-07 08:36:30:593,262252,262252,0,0,122213246446,1286275788,259034,2961,257,382,391825,0 90,2,2024-09-07 08:36:31:424,184665,184665,0,0,10220788,0,3060 90,3,2024-09-07 08:36:30:937,1,149,6,0,200,1833,149,0 91,0,2024-09-07 08:36:30:943,39501,0.5,38076,0.6,79272,0.5,105248,1.75 91,1,2024-09-07 08:36:30:563,261491,261491,0,0,122472413488,1289797200,257760,3236,495,381,392047,0 91,2,2024-09-07 08:36:31:338,185757,185757,0,0,9649466,0,2445 91,3,2024-09-07 08:36:30:613,1,149,1,0,216,1850,149,0 92,0,2024-09-07 08:36:31:485,40023,0.9,40957,0.9,78401,1.0,105706,2.00 92,1,2024-09-07 08:36:30:585,262059,262059,0,0,122314135711,1280812763,259905,1754,400,382,391717,0 92,2,2024-09-07 08:36:31:351,193217,193217,0,0,8285668,0,2801 92,3,2024-09-07 08:36:31:024,1,149,1,0,68,1303,149,0 93,0,2024-09-07 08:36:30:973,36710,0.4,37484,0.6,71803,0.4,97434,1.75 93,1,2024-09-07 08:36:30:813,261898,261898,0,0,122846608686,1286764496,258801,2548,549,366,391692,0 93,2,2024-09-07 08:36:30:930,189827,189827,0,0,8144805,0,2509 93,3,2024-09-07 08:36:31:416,1,149,1,0,143,1646,149,0 94,0,2024-09-07 08:36:31:604,35231,0.3,35504,0.5,70388,0.2,93404,1.50 94,1,2024-09-07 08:36:30:565,262077,262077,0,0,122458489690,1284270137,259640,2344,93,381,391850,0 94,2,2024-09-07 08:36:30:773,184341,184341,0,0,7968827,0,2443 94,3,2024-09-07 08:36:31:688,1,149,15,0,264,2362,149,0 95,0,2024-09-07 08:36:31:422,36989,0.4,36958,0.5,74370,0.3,98808,1.75 95,1,2024-09-07 08:36:30:853,262513,262513,0,0,122992423445,1282625950,260148,2184,181,367,391662,0 95,2,2024-09-07 08:36:31:019,186443,186443,0,0,8271986,0,3308 95,3,2024-09-07 08:36:31:712,1,149,1,0,718,3003,149,0 96,0,2024-09-07 08:36:31:073,41892,0.6,41907,0.7,84071,0.6,111164,1.75 96,1,2024-09-07 08:36:31:584,261427,261427,0,0,122408788694,1284126852,258831,1954,642,385,391894,0 96,2,2024-09-07 08:36:31:275,192130,192130,0,0,8944748,0,4042 96,3,2024-09-07 08:36:31:150,1,149,5,0,188,1780,149,0 97,0,2024-09-07 08:36:31:312,35611,0.5,35434,0.6,71052,0.5,94645,1.75 97,1,2024-09-07 08:36:30:779,262238,262238,0,0,123297015592,1285782183,259458,2232,548,367,392140,0 97,2,2024-09-07 08:36:30:613,190018,190018,0,0,8020826,0,3036 97,3,2024-09-07 08:36:30:569,1,149,0,0,165,1694,149,0 98,0,2024-09-07 08:36:31:703,35198,0.2,34964,0.4,70262,0.2,93330,1.50 98,1,2024-09-07 08:36:30:571,262264,262264,0,0,122591267167,1281053752,260455,1722,87,382,391997,0 98,2,2024-09-07 08:36:30:781,185731,185731,0,0,8374493,0,3080 98,3,2024-09-07 08:36:30:700,1,149,3,0,840,3017,149,0 99,0,2024-09-07 08:36:31:456,36336,0.3,36280,0.4,72658,0.2,97064,1.50 99,1,2024-09-07 08:36:31:730,262252,262252,0,0,122011227757,1278024368,259196,2360,696,381,391744,0 99,2,2024-09-07 08:36:31:427,188523,188523,0,0,8079063,0,2615 99,3,2024-09-07 08:36:30:581,1,149,1,0,129,1074,149,0 100,0,2024-09-07 08:36:31:470,41242,1.3,41222,1.4,82368,2.4,110313,2.50 100,1,2024-09-07 08:36:30:553,260108,260108,0,0,121492997356,1292779410,254924,4253,931,381,391989,0 100,2,2024-09-07 08:36:31:853,189615,189604,11,0,9479518,0,5417 100,3,2024-09-07 08:36:31:833,1,149,1,0,559,3489,149,0 101,0,2024-09-07 08:36:31:733,36218,3.4,35258,1.8,69311,4.2,95943,3.25 101,1,2024-09-07 08:36:30:586,260606,260606,0,0,121900202656,1294035757,255256,3874,1476,368,391769,0 101,2,2024-09-07 08:36:31:759,189492,189492,0,0,10360310,0,4644 101,3,2024-09-07 08:36:30:943,1,149,33,0,448,2008,149,0 102,0,2024-09-07 08:36:30:954,35287,0.6,36703,0.8,73483,0.5,96209,2.00 102,1,2024-09-07 08:36:31:144,261260,261260,0,0,121895962733,1287459839,257192,3357,711,369,391883,0 102,2,2024-09-07 08:36:31:745,188420,188366,54,0,9872724,0,6768 102,3,2024-09-07 08:36:31:618,1,149,3,0,410,1656,149,0 103,0,2024-09-07 08:36:31:596,36290,0.4,36281,0.6,68435,0.4,94195,1.75 103,1,2024-09-07 08:36:31:629,260539,260539,0,0,122040326077,1291770901,256106,3225,1208,381,391829,0 103,2,2024-09-07 08:36:30:586,185473,185473,0,0,8734052,0,2104 103,3,2024-09-07 08:36:30:773,1,149,1,0,916,2885,149,0 104,0,2024-09-07 08:36:31:044,40225,1.7,40290,1.3,79497,2.5,108616,2.50 104,1,2024-09-07 08:36:31:601,262361,262361,0,0,121764211095,1288336139,257370,4030,961,366,391948,0 104,2,2024-09-07 08:36:31:669,186681,186681,0,0,9218426,0,3941 104,3,2024-09-07 08:36:31:428,1,149,6,1,1245,5031,149,0 105,0,2024-09-07 08:36:31:046,37452,2.1,36445,1.5,75961,3.0,100488,4.00 105,1,2024-09-07 08:36:30:580,262402,262402,0,0,122126807176,1285577949,258206,3423,773,367,391797,0 105,2,2024-09-07 08:36:31:329,190437,190437,0,0,8970011,0,3509 105,3,2024-09-07 08:36:31:304,1,149,1,1,399,2251,149,0 106,0,2024-09-07 08:36:30:941,35274,0.7,36179,0.9,73716,0.7,96405,2.25 106,1,2024-09-07 08:36:31:755,261466,261466,0,0,122588749490,1289155459,257705,3377,384,369,391767,0 106,2,2024-09-07 08:36:30:812,188974,188974,0,0,9144735,0,2795 106,3,2024-09-07 08:36:30:680,1,149,1,0,405,2312,149,0 107,0,2024-09-07 08:36:31:112,35640,0.4,35620,0.6,70842,0.3,94306,1.75 107,1,2024-09-07 08:36:30:589,261163,261163,0,0,121970966941,1284743419,258191,2742,230,381,392234,0 107,2,2024-09-07 08:36:31:293,184480,184479,1,0,9103275,0,5024 107,3,2024-09-07 08:36:31:755,1,149,1,0,353,1834,149,0 108,0,2024-09-07 08:36:31:798,37918,0.4,38157,0.6,75528,0.4,101224,1.75 108,1,2024-09-07 08:36:31:313,261743,261743,0,0,122929353110,1286350404,259093,2340,310,368,391857,0 108,2,2024-09-07 08:36:31:755,185737,185737,0,0,8721344,0,2647 108,3,2024-09-07 08:36:31:338,1,149,2,0,667,3191,149,0 109,0,2024-09-07 08:36:31:754,40862,0.5,40703,0.7,81127,0.5,108654,1.75 109,1,2024-09-07 08:36:30:588,261235,261235,0,0,122771468274,1292044413,258461,2278,496,383,392132,0 109,2,2024-09-07 08:36:30:921,191811,191811,0,0,9074739,0,3617 109,3,2024-09-07 08:36:31:140,1,149,4,1,249,2204,149,0 110,0,2024-09-07 08:36:31:754,36118,0.4,35014,0.7,73235,0.4,96075,1.75 110,1,2024-09-07 08:36:31:656,262384,262384,0,0,122911518420,1282530780,259771,1848,765,370,391667,0 110,2,2024-09-07 08:36:31:307,190567,190567,0,0,8020703,0,2915 110,3,2024-09-07 08:36:30:690,1,149,1,0,406,2223,149,0 111,0,2024-09-07 08:36:31:437,35085,0.2,34570,0.4,69154,0.1,93008,1.50 111,1,2024-09-07 08:36:31:009,262793,262793,0,0,123428942038,1283806346,261019,1442,332,382,391690,0 111,2,2024-09-07 08:36:31:122,184167,184167,0,0,8789456,0,2763 111,3,2024-09-07 08:36:30:922,1,149,4,0,379,2516,149,0 112,0,2024-09-07 08:36:30:923,37077,0.3,37033,0.5,74159,0.2,98937,1.50 112,1,2024-09-07 08:36:30:831,262940,262940,0,0,123152722066,1283521323,260615,1900,425,381,391580,0 112,2,2024-09-07 08:36:31:137,186641,186640,1,0,8822720,0,5036 112,3,2024-09-07 08:36:30:595,1,149,22,0,282,1834,149,0 113,0,2024-09-07 08:36:30:881,40691,0.4,40520,0.6,81931,0.3,109921,1.75 113,1,2024-09-07 08:36:31:689,262853,262853,0,0,123205701391,1278969712,260440,1925,488,366,391661,0 113,2,2024-09-07 08:36:31:305,192925,192925,0,0,7765616,0,3813 113,3,2024-09-07 08:36:30:686,1,149,13,0,288,2352,149,0 114,0,2024-09-07 08:36:30:876,36353,0.7,36920,0.7,72265,0.5,97110,2.00 114,1,2024-09-07 08:36:30:716,262388,262388,0,0,122472738418,1286542769,258260,2665,1463,381,391534,0 114,2,2024-09-07 08:36:30:874,189937,189937,0,0,8016185,0,3925 114,3,2024-09-07 08:36:31:280,1,149,2,0,395,1957,149,0 115,0,2024-09-07 08:36:30:554,35491,0.2,35737,0.4,71629,0.2,94804,1.50 115,1,2024-09-07 08:36:30:581,262431,262431,0,0,123277531527,1289306624,258791,2819,821,382,391602,0 115,2,2024-09-07 08:36:31:125,187102,187102,0,0,7523641,0,2152 115,3,2024-09-07 08:36:31:004,1,149,1,0,159,1057,149,0 116,0,2024-09-07 08:36:31:727,36022,0.6,35516,0.8,71468,0.6,95469,2.00 116,1,2024-09-07 08:36:30:835,260267,260267,0,0,121336509837,1294810956,255370,3144,1753,382,391677,0 116,2,2024-09-07 08:36:31:757,185435,185435,0,0,10415547,0,3529 116,3,2024-09-07 08:36:30:920,1,149,1,0,252,2182,149,0 117,0,2024-09-07 08:36:30:962,40687,1.6,40755,1.2,81408,2.5,109883,2.25 117,1,2024-09-07 08:36:31:586,261807,261807,0,0,122363315550,1287176415,258140,3240,427,370,392033,0 117,2,2024-09-07 08:36:31:120,189967,189967,0,0,8338260,0,3700 117,3,2024-09-07 08:36:31:068,1,149,58,0,490,3205,149,0 118,0,2024-09-07 08:36:31:773,35807,1.1,36848,1.0,74865,1.3,97487,2.25 118,1,2024-09-07 08:36:30:589,261215,261215,0,0,121592430231,1288054492,256391,3504,1320,368,391736,0 118,2,2024-09-07 08:36:31:613,189779,189779,0,0,9432744,0,2781 118,3,2024-09-07 08:36:31:764,1,149,4,0,235,2028,149,0 119,0,2024-09-07 08:36:31:340,36141,0.7,36289,0.8,73490,0.7,96750,2.00 119,1,2024-09-07 08:36:30:547,261952,261952,0,0,123040065091,1287373904,259479,2186,287,370,391641,0 119,2,2024-09-07 08:36:31:272,188193,188193,0,0,8849854,0,3526 119,3,2024-09-07 08:36:31:331,1,149,2,0,443,2825,149,0 120,0,2024-09-07 08:36:31:557,34837,0.5,34968,0.7,69759,0.4,93020,2.00 120,1,2024-09-07 08:36:30:868,262202,262202,0,0,121629127591,1279848998,259100,2843,259,368,391961,0 120,2,2024-09-07 08:36:30:778,184850,184849,1,0,10714528,0,5281 120,3,2024-09-07 08:36:31:290,1,149,2,0,241,2165,149,0 121,0,2024-09-07 08:36:31:717,38772,1.8,39243,1.2,78053,2.8,104755,2.50 121,1,2024-09-07 08:36:31:661,262032,262032,0,0,122093324749,1279931260,259304,2440,288,367,391840,0 121,2,2024-09-07 08:36:31:126,185866,185866,0,0,9680134,0,4127 121,3,2024-09-07 08:36:30:730,1,149,6,0,269,2143,149,0 122,0,2024-09-07 08:36:31:794,39394,1.3,38366,1.2,80358,1.5,105743,2.25 122,1,2024-09-07 08:36:30:874,260901,260901,0,0,122285228263,1288750931,256769,3426,706,366,392130,0 122,2,2024-09-07 08:36:31:322,191637,191637,0,0,10827386,0,3364 122,3,2024-09-07 08:36:30:594,1,149,1,0,411,3697,149,0 123,0,2024-09-07 08:36:30:969,36455,0.8,35525,0.9,74418,1.0,96919,2.25 123,1,2024-09-07 08:36:30:560,262203,262203,0,0,122310756514,1292219263,257131,4378,694,369,391823,0 123,2,2024-09-07 08:36:31:024,188576,188575,1,0,9326588,0,5215 123,3,2024-09-07 08:36:31:133,1,149,1,0,168,1929,149,0 124,0,2024-09-07 08:36:30:929,36243,0.3,36204,0.5,68411,0.2,93732,1.50 124,1,2024-09-07 08:36:31:024,262079,262079,0,0,122319060593,1274218735,259999,1724,356,367,392178,0 124,2,2024-09-07 08:36:31:010,184923,184923,0,0,8021396,0,3101 124,3,2024-09-07 08:36:30:760,1,149,2,0,490,2216,149,0 125,0,2024-09-07 08:36:31:511,37063,0.4,37069,0.6,74334,0.4,98964,1.75 125,1,2024-09-07 08:36:30:874,262227,262227,0,0,122948763546,1287266499,259867,2085,275,384,391702,0 125,2,2024-09-07 08:36:31:119,186833,186833,0,0,8001037,0,2180 125,3,2024-09-07 08:36:31:133,1,149,5,0,284,2014,149,0 126,0,2024-09-07 08:36:31:435,41964,0.8,43032,0.8,82274,0.9,111889,2.00 126,1,2024-09-07 08:36:30:557,262523,262523,0,0,122796044824,1276213963,260894,1551,78,365,391987,0 126,2,2024-09-07 08:36:30:614,192816,192816,0,0,8397070,0,3186 126,3,2024-09-07 08:36:30:912,1,149,8,0,150,2221,149,0 127,0,2024-09-07 08:36:31:613,35299,0.4,35618,0.6,70909,0.4,94550,1.75 127,1,2024-09-07 08:36:30:569,261953,261953,0,0,122717206082,1274794258,259894,2019,40,365,391816,0 127,2,2024-09-07 08:36:30:647,190264,190264,0,0,7866881,0,2264 127,3,2024-09-07 08:36:31:271,1,149,1,0,243,1392,149,0 128,0,2024-09-07 08:36:31:555,35324,0.3,35123,0.4,70174,0.2,93324,1.50 128,1,2024-09-07 08:36:31:614,262493,262493,0,0,122885653464,1275139410,261521,900,72,367,391680,0 128,2,2024-09-07 08:36:31:385,184766,184766,0,0,7873758,0,2107 128,3,2024-09-07 08:36:30:776,1,149,1,0,333,2253,149,0 129,0,2024-09-07 08:36:31:006,36506,0.3,36346,0.5,72618,0.2,96873,1.75 129,1,2024-09-07 08:36:30:579,261495,261495,0,0,122120092777,1283010757,258573,2530,392,379,391835,0 129,2,2024-09-07 08:36:30:691,186860,186860,0,0,8267462,0,4031 129,3,2024-09-07 08:36:30:692,1,149,1,0,173,1878,149,0 130,0,2024-09-07 08:36:31:731,41707,0.8,41344,0.8,83148,1.1,111199,2.00 130,1,2024-09-07 08:36:30:584,262369,262369,0,0,122153989555,1276472715,260266,2032,71,381,391825,0 130,2,2024-09-07 08:36:31:127,190902,190902,0,0,8141707,0,4067 130,3,2024-09-07 08:36:31:291,1,149,1,0,450,1923,149,0 131,0,2024-09-07 08:36:31:949,35881,0.6,36017,0.7,72836,0.8,96391,1.75 131,1,2024-09-07 08:36:31:823,263420,263420,0,0,122755575697,1280114831,261956,1258,206,383,391865,0 131,2,2024-09-07 08:36:30:571,190345,190345,0,0,7709456,0,2415 131,3,2024-09-07 08:36:31:688,1,149,4,0,392,1792,149,0 132,0,2024-09-07 08:36:31:453,35889,0.5,36331,0.7,72794,0.4,96607,2.00 132,1,2024-09-07 08:36:30:579,260746,260746,0,0,121902428043,1294346931,255346,4370,1030,381,391760,0 132,2,2024-09-07 08:36:30:703,187502,187502,0,0,10614181,0,4606 132,3,2024-09-07 08:36:31:698,1,149,14,0,356,2828,149,0 133,0,2024-09-07 08:36:31:606,34387,0.4,35092,0.6,72113,0.4,93331,1.75 133,1,2024-09-07 08:36:30:590,260387,260387,0,0,122364090937,1296381672,255887,3862,638,383,391914,0 133,2,2024-09-07 08:36:31:094,185541,185541,0,0,10590277,0,4315 133,3,2024-09-07 08:36:31:300,1,149,5,0,187,1396,149,0 134,0,2024-09-07 08:36:30:959,40453,0.6,40275,0.8,81293,0.6,108378,2.00 134,1,2024-09-07 08:36:30:595,261048,261048,0,0,122025164435,1284664557,256693,3133,1222,366,391718,0 134,2,2024-09-07 08:36:31:757,187006,187006,0,0,8880788,0,3096 134,3,2024-09-07 08:36:30:750,1,149,3,0,739,2891,149,0 135,0,2024-09-07 08:36:31:105,36910,1.7,36819,1.4,78005,2.1,100198,2.50 135,1,2024-09-07 08:36:31:592,260882,260882,0,0,122045489476,1288869435,256697,3484,701,380,391725,0 135,2,2024-09-07 08:36:30:688,191138,191138,0,0,9711375,0,3981 135,3,2024-09-07 08:36:31:005,1,149,2,0,89,863,149,0 136,0,2024-09-07 08:36:31:629,36637,0.6,36471,0.8,73451,0.5,97314,2.00 136,1,2024-09-07 08:36:31:442,261394,261394,0,0,122486145998,1286183012,258135,3086,173,384,391641,0 136,2,2024-09-07 08:36:31:143,190662,190662,0,0,9162377,0,3506 136,3,2024-09-07 08:36:31:106,1,149,4,0,108,1286,149,0 137,0,2024-09-07 08:36:30:921,36513,0.5,35474,0.7,69966,0.4,94484,1.75 137,1,2024-09-07 08:36:30:581,261768,261768,0,0,122591560543,1286412857,257730,3619,419,367,391608,0 137,2,2024-09-07 08:36:31:731,184187,184187,0,0,10380173,0,3185 137,3,2024-09-07 08:36:30:776,1,149,1,1,227,1823,149,0 138,0,2024-09-07 08:36:31:759,37439,1.5,37537,1.2,75667,2.1,101057,2.50 138,1,2024-09-07 08:36:31:685,261857,261857,0,0,122257670323,1281284158,258821,2685,351,368,391954,0 138,2,2024-09-07 08:36:30:589,187348,187348,0,0,8479171,0,3263 138,3,2024-09-07 08:36:30:611,1,149,1,0,1160,2719,149,0 139,0,2024-09-07 08:36:31:372,39679,3.1,39857,1.8,80323,4.4,107357,3.25 139,1,2024-09-07 08:36:30:582,260801,260801,0,0,121549122176,1293076919,255743,3744,1314,381,391892,0 139,2,2024-09-07 08:36:30:693,190771,190771,0,0,9721429,0,3097 139,3,2024-09-07 08:36:31:668,1,149,21,0,244,1785,149,0 140,0,2024-09-07 08:36:31:588,36277,0.4,35971,0.6,72416,0.3,96124,1.75 140,1,2024-09-07 08:36:31:536,263016,263016,0,0,123033898919,1272037374,261501,1275,240,365,391606,0 140,2,2024-09-07 08:36:30:687,190583,190583,0,0,8397518,0,3388 140,3,2024-09-07 08:36:30:767,1,149,9,0,247,1421,149,0 141,0,2024-09-07 08:36:31:700,34578,0.2,35713,0.4,68476,0.1,92992,1.50 141,1,2024-09-07 08:36:30:862,262652,262652,0,0,123124978608,1280802927,260714,1585,353,379,391614,0 141,2,2024-09-07 08:36:31:690,184589,184589,0,0,7852802,0,2342 141,3,2024-09-07 08:36:31:043,1,149,0,0,147,1286,149,0 142,0,2024-09-07 08:36:31:376,37433,0.3,37281,0.5,73782,0.3,98963,1.75 142,1,2024-09-07 08:36:30:592,262256,262256,0,0,122282508686,1277320783,260714,1383,159,383,391728,0 142,2,2024-09-07 08:36:31:302,185435,185403,32,0,9149159,0,6028 142,3,2024-09-07 08:36:31:748,1,149,2,0,484,2138,149,0 143,0,2024-09-07 08:36:31:400,40909,0.7,40905,0.7,82341,0.8,109777,2.00 143,1,2024-09-07 08:36:30:567,262235,262235,0,0,122627840773,1275951948,260130,2063,42,367,391619,0 143,2,2024-09-07 08:36:30:778,191674,191674,0,0,8484800,0,2669 143,3,2024-09-07 08:36:31:141,1,149,4,0,303,2561,149,0 144,0,2024-09-07 08:36:31:519,34697,1.0,35733,1.6,72286,1.1,95738,2.25 144,1,2024-09-07 08:36:30:569,261531,261531,0,0,122507440296,1288424601,259142,2186,203,381,391649,0 144,2,2024-09-07 08:36:31:757,190520,190520,0,0,8255483,0,3473 144,3,2024-09-07 08:36:31:747,1,149,2,0,249,2041,149,0 145,0,2024-09-07 08:36:31:381,34376,0.5,34373,0.8,72851,0.5,94276,2.00 145,1,2024-09-07 08:36:30:556,260252,260252,0,0,121612341411,1287176948,255865,3652,735,383,391615,0 145,2,2024-09-07 08:36:31:434,186098,186098,0,0,9182132,0,3903 145,3,2024-09-07 08:36:30:906,1,149,4,0,151,1807,149,0 146,0,2024-09-07 08:36:31:621,35678,0.5,35544,0.7,71785,0.4,95039,2.00 146,1,2024-09-07 08:36:31:591,262267,262267,0,0,121865910566,1281848666,257823,3585,859,368,391629,0 146,2,2024-09-07 08:36:31:699,186796,186796,0,0,8684647,0,2498 146,3,2024-09-07 08:36:31:280,1,149,5,0,1520,5129,149,0 147,0,2024-09-07 08:36:31:698,40867,1.0,40744,1.0,80955,1.0,109693,2.50 147,1,2024-09-07 08:36:31:378,263045,263045,0,0,122743590943,1282217141,259948,2602,495,368,391791,0 147,2,2024-09-07 08:36:31:019,189764,189764,0,0,8303370,0,2789 147,3,2024-09-07 08:36:30:914,1,149,7,0,371,1972,149,0 0,0,2024-09-07 08:36:41:790,34060,0.5,34149,0.7,72121,0.4,93235,1.75 0,1,2024-09-07 08:36:40:804,263614,263614,0,0,123794162003,1298018157,261649,1830,135,372,391692,0 0,2,2024-09-07 08:36:41:093,186720,186720,0,0,8535182,0,4480 0,3,2024-09-07 08:36:40:983,1,150,1,0,247,2022,150,0 1,0,2024-09-07 08:36:41:817,39700,1.5,39307,1.3,78880,2.1,106308,2.25 1,1,2024-09-07 08:36:40:584,262958,262958,0,0,122865564650,1293419338,259523,2568,867,372,391857,0 1,2,2024-09-07 08:36:40:657,187584,187584,0,0,7750207,0,3267 1,3,2024-09-07 08:36:41:309,1,150,2,0,262,1935,150,0 2,0,2024-09-07 08:36:41:578,39552,1.2,39678,1.1,78869,1.8,105157,2.25 2,1,2024-09-07 08:36:40:869,263516,263516,0,0,123782952466,1289291956,262070,1240,206,380,391745,0 2,2,2024-09-07 08:36:41:265,192380,192380,0,0,8650277,0,3594 2,3,2024-09-07 08:36:40:707,1,150,1,0,357,1670,150,0 3,0,2024-09-07 08:36:41:751,36238,0.5,36404,0.7,72930,0.5,96505,2.00 3,1,2024-09-07 08:36:41:661,263257,263257,0,0,122603498451,1283785073,260149,2684,424,380,391516,0 3,2,2024-09-07 08:36:41:160,191116,191093,23,0,8587731,0,5851 3,3,2024-09-07 08:36:41:776,1,150,1,0,103,985,150,0 4,0,2024-09-07 08:36:41:948,34352,0.3,35289,0.5,71741,0.2,94004,1.75 4,1,2024-09-07 08:36:40:641,263605,263605,0,0,122659569319,1292240855,260643,2441,521,371,391846,0 4,2,2024-09-07 08:36:41:036,184775,184775,0,0,10060421,0,4528 4,3,2024-09-07 08:36:41:033,1,150,1,0,287,2144,150,0 5,0,2024-09-07 08:36:41:423,37412,0.5,37394,0.7,74851,0.5,99861,1.75 5,1,2024-09-07 08:36:40:803,262792,262792,0,0,123100581041,1301352377,258594,3212,986,368,392005,0 5,2,2024-09-07 08:36:41:840,187229,187229,0,0,8613433,0,2259 5,3,2024-09-07 08:36:41:759,1,150,1,0,238,2338,150,0 6,0,2024-09-07 08:36:40:917,42412,1.0,41989,1.0,83318,1.4,111940,2.50 6,1,2024-09-07 08:36:40:750,263888,263888,0,0,123458657326,1296322053,260506,2735,647,381,391617,0 6,2,2024-09-07 08:36:41:124,194171,194171,0,0,8970651,0,4816 6,3,2024-09-07 08:36:41:275,1,150,25,0,340,2274,150,0 7,0,2024-09-07 08:36:41:545,35176,0.5,35162,0.7,70618,0.5,94314,2.00 7,1,2024-09-07 08:36:41:084,263710,263710,0,0,123619837459,1296789752,260992,2587,131,382,391664,0 7,2,2024-09-07 08:36:40:775,191056,191056,0,0,8142992,0,2981 7,3,2024-09-07 08:36:40:861,1,150,1,0,305,1602,150,0 8,0,2024-09-07 08:36:41:390,35173,0.3,35356,0.4,70203,0.2,93765,1.50 8,1,2024-09-07 08:36:41:080,263228,263228,0,0,123205536508,1305473484,257627,4002,1599,367,391956,0 8,2,2024-09-07 08:36:40:804,183671,183671,0,0,9664212,0,2986 8,3,2024-09-07 08:36:40:619,1,150,16,0,357,2554,150,0 9,0,2024-09-07 08:36:41:111,36746,0.3,35670,0.5,74436,0.3,98025,1.75 9,1,2024-09-07 08:36:40:621,262874,262874,0,0,123689643362,1308059918,258387,3361,1126,370,391753,0 9,2,2024-09-07 08:36:41:091,187699,187699,0,0,8975605,0,3360 9,3,2024-09-07 08:36:41:772,1,150,6,0,496,2623,150,0 10,0,2024-09-07 08:36:41:604,41809,0.4,41580,0.6,83527,0.3,111226,1.75 10,1,2024-09-07 08:36:40:592,263299,263299,0,0,122903602634,1293387621,258828,3830,641,381,391741,0 10,2,2024-09-07 08:36:40:815,192416,192416,0,0,9315917,0,2940 10,3,2024-09-07 08:36:40:876,1,150,1,0,177,1186,150,0 11,0,2024-09-07 08:36:41:034,35377,1.0,34534,1.1,72437,1.4,96838,2.25 11,1,2024-09-07 08:36:40:609,263419,263419,0,0,123295256974,1300655931,258117,4032,1270,384,391537,0 11,2,2024-09-07 08:36:41:130,191056,191056,0,0,9026527,0,3411 11,3,2024-09-07 08:36:41:299,1,150,2,0,720,2803,150,0 12,0,2024-09-07 08:36:40:991,36756,0.3,36405,0.5,73258,0.2,97057,1.50 12,1,2024-09-07 08:36:41:182,263261,263261,0,0,122322378906,1281965584,260378,2471,412,370,391837,0 12,2,2024-09-07 08:36:41:585,189291,189291,0,0,9295435,0,3469 12,3,2024-09-07 08:36:41:068,1,150,0,0,358,2661,150,0 13,0,2024-09-07 08:36:41:359,35595,0.4,35657,0.5,71183,0.3,94900,1.75 13,1,2024-09-07 08:36:41:569,263264,263264,0,0,122907042187,1292914337,260849,2007,408,382,391717,0 13,2,2024-09-07 08:36:40:613,188290,188290,0,0,8459751,0,3287 13,3,2024-09-07 08:36:41:771,1,150,1,0,467,3137,150,0 14,0,2024-09-07 08:36:40:663,41161,0.5,41258,0.7,81722,0.4,109714,1.75 14,1,2024-09-07 08:36:41:604,264873,264873,0,0,123555931200,1284986886,262608,2102,163,364,391571,0 14,2,2024-09-07 08:36:40:824,188862,188862,0,0,8644789,0,2896 14,3,2024-09-07 08:36:41:119,1,150,3,0,1168,2884,150,0 15,0,2024-09-07 08:36:41:576,37862,1.2,37876,1.2,76092,1.7,100949,2.75 15,1,2024-09-07 08:36:41:627,263446,263446,0,0,123405514304,1287429385,261533,1804,109,381,391619,0 15,2,2024-09-07 08:36:41:006,192654,192654,0,0,7326409,0,3043 15,3,2024-09-07 08:36:41:406,1,150,1,0,1126,4283,150,0 16,0,2024-09-07 08:36:41:101,36589,0.5,36949,0.8,73169,0.5,97593,2.00 16,1,2024-09-07 08:36:40:591,264043,264043,0,0,123272162843,1291901553,261821,1961,261,370,391756,0 16,2,2024-09-07 08:36:41:461,190032,190032,0,0,9620659,0,4719 16,3,2024-09-07 08:36:41:147,1,150,5,0,231,2198,150,0 17,0,2024-09-07 08:36:41:819,36612,0.4,35716,0.6,70103,0.4,94301,1.75 17,1,2024-09-07 08:36:40:618,263029,263029,0,0,122616376706,1293155743,259676,2682,671,368,391688,0 17,2,2024-09-07 08:36:41:682,188042,188042,0,0,8460951,0,2857 17,3,2024-09-07 08:36:40:610,1,150,1,0,268,2441,150,0 18,0,2024-09-07 08:36:40:952,37609,0.9,37865,0.9,75842,1.0,101391,2.25 18,1,2024-09-07 08:36:41:721,263441,263441,0,0,123582622840,1286094943,261434,1773,234,368,391564,0 18,2,2024-09-07 08:36:41:767,187649,187649,0,0,8557426,0,3541 18,3,2024-09-07 08:36:40:901,1,150,1,0,163,1717,150,0 19,0,2024-09-07 08:36:41:548,40322,1.2,40728,1.1,80417,1.5,106815,2.75 19,1,2024-09-07 08:36:40:608,263992,263992,0,0,123514739379,1292023535,260179,3097,716,367,391777,0 19,2,2024-09-07 08:36:41:751,193978,193978,0,0,7824868,0,3988 19,3,2024-09-07 08:36:41:128,1,150,1,0,524,1472,150,0 20,0,2024-09-07 08:36:41:355,36182,0.7,36032,0.8,72314,0.7,96372,2.25 20,1,2024-09-07 08:36:40:612,263191,263191,0,0,123056891533,1294960629,259733,3068,390,369,391822,0 20,2,2024-09-07 08:36:40:935,191385,191385,0,0,8808282,0,3721 20,3,2024-09-07 08:36:40:636,1,150,1,0,414,2821,150,0 21,0,2024-09-07 08:36:41:213,35377,0.3,35466,0.5,70443,0.3,93585,1.75 21,1,2024-09-07 08:36:41:538,262959,262959,0,0,122867743985,1297159822,259333,3095,531,368,391962,0 21,2,2024-09-07 08:36:41:083,185397,185397,0,0,9364673,0,3747 21,3,2024-09-07 08:36:41:408,1,150,1,0,103,1917,150,0 22,0,2024-09-07 08:36:41:729,37121,0.7,37194,0.9,73778,0.7,98735,2.00 22,1,2024-09-07 08:36:41:030,262722,262722,0,0,122353304895,1296207593,257217,3996,1509,382,391667,0 22,2,2024-09-07 08:36:40:771,187480,187480,0,0,8097800,0,3134 22,3,2024-09-07 08:36:41:079,1,150,2,0,228,1730,150,0 23,0,2024-09-07 08:36:41:385,41076,0.8,41184,0.9,82665,0.9,110683,2.50 23,1,2024-09-07 08:36:41:007,263634,263634,0,0,123487128054,1296107189,259540,2612,1482,365,391549,0 23,2,2024-09-07 08:36:41:106,192963,192963,0,0,8523236,0,3010 23,3,2024-09-07 08:36:41:783,1,150,0,0,645,1749,150,0 24,0,2024-09-07 08:36:40:888,36666,0.6,36779,0.7,73563,0.6,97807,1.75 24,1,2024-09-07 08:36:40:586,263610,263610,0,0,123078497110,1291056319,260657,2154,799,369,391640,0 24,2,2024-09-07 08:36:41:097,190345,190345,0,0,9689860,0,2942 24,3,2024-09-07 08:36:41:707,1,150,7,0,234,1755,150,0 25,0,2024-09-07 08:36:41:496,36744,0.4,35831,0.6,70237,0.3,95413,1.75 25,1,2024-09-07 08:36:40:604,263734,263734,0,0,122508377070,1289923041,260049,3142,543,371,391788,0 25,2,2024-09-07 08:36:42:087,185945,185945,0,0,9673114,0,3978 25,3,2024-09-07 08:36:41:004,1,150,1,0,158,1707,150,0 26,0,2024-09-07 08:36:41:745,35816,0.4,35014,0.5,73505,0.3,96039,1.75 26,1,2024-09-07 08:36:41:570,263833,263833,0,0,122739841396,1292840392,259173,3759,901,381,391564,0 26,2,2024-09-07 08:36:40:875,188142,188142,0,0,8693240,0,2809 26,3,2024-09-07 08:36:41:718,1,150,0,0,796,2157,150,0 27,0,2024-09-07 08:36:41:743,41612,0.5,41673,0.6,82590,0.5,111024,1.75 27,1,2024-09-07 08:36:41:685,265014,265014,0,0,123277445780,1282707881,263195,1496,323,381,391539,0 27,2,2024-09-07 08:36:40:871,189834,189834,0,0,9567572,0,3409 27,3,2024-09-07 08:36:41:024,1,150,1,0,564,1917,150,0 28,0,2024-09-07 08:36:41:391,36539,0.9,36848,0.9,74099,1.2,98588,2.50 28,1,2024-09-07 08:36:40:798,264020,264020,0,0,124102877566,1296543660,261858,1826,336,383,391646,0 28,2,2024-09-07 08:36:41:784,191868,191868,0,0,7702384,0,2915 28,3,2024-09-07 08:36:41:783,1,150,1,0,502,1993,150,0 29,0,2024-09-07 08:36:41:365,37408,0.4,36610,0.6,71536,0.3,97663,1.75 29,1,2024-09-07 08:36:41:569,264955,264955,0,0,123453163734,1282593344,262528,1884,543,369,391621,0 29,2,2024-09-07 08:36:40:871,190025,190025,0,0,7490098,0,4018 29,3,2024-09-07 08:36:40:984,1,150,1,0,105,1202,150,0 30,0,2024-09-07 08:36:41:477,35045,0.5,34064,0.7,71327,0.5,93353,2.00 30,1,2024-09-07 08:36:40:577,264643,264643,0,0,123697407043,1287740541,262657,1708,278,382,391672,0 30,2,2024-09-07 08:36:41:281,187856,187856,0,0,7458695,0,3161 30,3,2024-09-07 08:36:40:595,1,150,1,0,195,1164,150,0 31,0,2024-09-07 08:36:41:773,39107,0.6,39464,0.7,79588,0.6,106086,2.00 31,1,2024-09-07 08:36:40:573,265202,265202,0,0,123538753758,1268497277,264402,688,112,356,391553,0 31,2,2024-09-07 08:36:41:279,188021,188021,0,0,9240081,0,3525 31,3,2024-09-07 08:36:41:707,1,150,1,0,220,1185,150,0 32,0,2024-09-07 08:36:41:432,39564,0.6,39986,0.7,79936,0.5,105872,1.75 32,1,2024-09-07 08:36:40:809,264482,264482,0,0,123904313029,1289423619,262740,1467,275,382,391595,0 32,2,2024-09-07 08:36:40:946,192769,192769,0,0,7631926,0,3155 32,3,2024-09-07 08:36:41:021,1,150,0,0,227,1446,150,0 33,0,2024-09-07 08:36:41:501,36952,0.3,36341,0.5,73403,0.3,97511,1.75 33,1,2024-09-07 08:36:40:611,265018,265018,0,0,123955278949,1286718135,262760,2086,172,369,391730,0 33,2,2024-09-07 08:36:40:765,191204,191171,33,0,9454618,0,7012 33,3,2024-09-07 08:36:40:903,1,150,1,0,329,1833,150,0 34,0,2024-09-07 08:36:40:945,35413,0.3,36583,0.4,70072,0.2,94141,1.50 34,1,2024-09-07 08:36:41:048,265183,265183,0,0,124474664505,1284421854,264188,989,6,367,391562,0 34,2,2024-09-07 08:36:40:770,185107,185107,0,0,8352694,0,3577 34,3,2024-09-07 08:36:41:697,1,150,1,0,148,975,150,0 35,0,2024-09-07 08:36:40:878,37062,0.4,37467,0.6,74770,0.4,100152,1.75 35,1,2024-09-07 08:36:41:080,264221,264221,0,0,123143662589,1282966886,261422,2029,770,384,391589,0 35,2,2024-09-07 08:36:41:645,187344,187344,0,0,7686352,0,2653 35,3,2024-09-07 08:36:40:916,1,150,1,0,418,1927,150,0 36,0,2024-09-07 08:36:41:543,41998,1.1,41834,1.0,84298,1.3,111538,2.50 36,1,2024-09-07 08:36:40:610,263381,263381,0,0,123476715672,1297796658,258763,3330,1288,366,391759,0 36,2,2024-09-07 08:36:41:758,193311,193311,0,0,9168379,0,3303 36,3,2024-09-07 08:36:40:868,1,150,100,0,378,2133,150,0 37,0,2024-09-07 08:36:41:373,35273,0.5,35373,0.8,70474,0.5,95146,2.00 37,1,2024-09-07 08:36:40:608,263041,263034,0,7,123491783982,1303213992,258261,2754,2019,365,391560,0 37,2,2024-09-07 08:36:41:144,191171,191156,15,0,9127433,0,5815 37,3,2024-09-07 08:36:41:774,1,150,1,0,724,2444,150,0 38,0,2024-09-07 08:36:41:450,35042,0.4,33978,0.6,70932,0.3,93330,2.00 38,1,2024-09-07 08:36:41:611,264070,264070,0,0,123361107549,1294077494,260033,3405,632,368,391821,0 38,2,2024-09-07 08:36:40:770,185878,185831,47,0,10756470,0,6710 38,3,2024-09-07 08:36:40:999,1,150,8,0,603,2103,150,0 39,0,2024-09-07 08:36:41:773,37628,0.6,36810,0.7,71838,0.6,98589,2.00 39,1,2024-09-07 08:36:40:722,263660,263660,0,0,123242488437,1289660808,259873,2986,801,365,391524,0 39,2,2024-09-07 08:36:41:430,187945,187945,0,0,7916042,0,2689 39,3,2024-09-07 08:36:40:726,1,150,1,0,276,2049,150,0 40,0,2024-09-07 08:36:41:507,41054,0.9,41823,1.2,82978,1.0,110779,3.00 40,1,2024-09-07 08:36:40:602,264039,264039,0,0,122693408328,1288638916,259628,3643,768,368,391591,0 40,2,2024-09-07 08:36:41:305,191623,191622,1,0,9905115,0,5137 40,3,2024-09-07 08:36:41:146,1,150,6,0,181,1515,150,0 41,0,2024-09-07 08:36:41:044,35505,2.3,36147,1.9,69453,3.7,95488,4.50 41,1,2024-09-07 08:36:40:775,263393,263393,0,0,123417357673,1295582123,259203,3658,532,370,391742,0 41,2,2024-09-07 08:36:40:818,189963,189963,0,0,9724452,0,3356 41,3,2024-09-07 08:36:41:726,1,150,0,0,366,1931,150,0 42,0,2024-09-07 08:36:41:481,35776,0.5,36159,0.8,72091,0.4,95204,2.25 42,1,2024-09-07 08:36:41:439,262554,262554,0,0,122922981918,1296282416,257857,3739,958,380,391675,0 42,2,2024-09-07 08:36:41:142,187650,187650,0,0,9393588,0,3568 42,3,2024-09-07 08:36:41:016,1,150,1,0,446,1397,150,0 43,0,2024-09-07 08:36:40:924,35474,0.7,34686,0.9,71976,0.7,95007,2.00 43,1,2024-09-07 08:36:40:612,263732,263732,0,0,123741756831,1293094164,260490,2449,793,366,391604,0 43,2,2024-09-07 08:36:41:736,188145,188145,0,0,8765196,0,3812 43,3,2024-09-07 08:36:41:756,1,150,1,0,325,2109,150,0 44,0,2024-09-07 08:36:40:873,41047,0.5,41161,0.7,82139,0.5,110186,2.00 44,1,2024-09-07 08:36:40:591,263950,263950,0,0,122855027569,1273062498,261892,1633,425,356,391809,0 44,2,2024-09-07 08:36:41:279,188642,188642,0,0,7415400,0,1877 44,3,2024-09-07 08:36:41:096,1,150,2,0,817,2026,150,0 45,0,2024-09-07 08:36:41:773,37407,1.6,36668,1.3,77108,2.1,101608,2.25 45,1,2024-09-07 08:36:41:011,264235,264235,0,0,123545894572,1283074633,263196,1029,10,382,391917,0 45,2,2024-09-07 08:36:41:271,192681,192681,0,0,8100615,0,3596 45,3,2024-09-07 08:36:40:941,1,150,1,0,226,1414,150,0 46,0,2024-09-07 08:36:40:958,36503,0.4,36356,0.6,73038,0.3,96612,1.75 46,1,2024-09-07 08:36:40:608,264688,264688,0,0,123358372788,1278679095,262880,1585,223,366,391524,0 46,2,2024-09-07 08:36:40:623,191412,191412,0,0,8029876,0,2920 46,3,2024-09-07 08:36:41:137,1,150,1,0,908,2896,150,0 47,0,2024-09-07 08:36:41:103,35537,0.3,35764,0.5,71758,0.2,94071,1.75 47,1,2024-09-07 08:36:40:608,264681,264681,0,0,123284773917,1275419156,263279,1385,17,366,391605,0 47,2,2024-09-07 08:36:40:914,187510,187510,0,0,8095223,0,2558 47,3,2024-09-07 08:36:41:123,1,150,1,0,529,1778,150,0 48,0,2024-09-07 08:36:41:509,38432,0.3,38113,0.5,76326,0.2,102038,1.75 48,1,2024-09-07 08:36:41:029,264695,264695,0,0,123621111959,1287596050,262634,1880,181,384,391710,0 48,2,2024-09-07 08:36:40:719,187969,187969,0,0,6947700,0,2083 48,3,2024-09-07 08:36:40:760,1,150,1,0,339,1411,150,0 49,0,2024-09-07 08:36:41:720,41908,0.6,41064,0.7,79821,0.7,108725,1.75 49,1,2024-09-07 08:36:41:030,263363,263363,0,0,123330973821,1289321521,260628,1895,840,382,391583,0 49,2,2024-09-07 08:36:41:801,193865,193865,0,0,8104949,0,3900 49,3,2024-09-07 08:36:41:426,1,150,4,0,408,2281,150,0 50,0,2024-09-07 08:36:41:514,36214,0.3,35869,0.5,71884,0.3,96307,1.75 50,1,2024-09-07 08:36:41:013,265388,265388,0,0,123937280631,1288248182,262864,2215,309,368,391530,0 50,2,2024-09-07 08:36:41:080,191511,191511,0,0,7653643,0,2253 50,3,2024-09-07 08:36:41:291,1,150,1,0,335,1302,150,0 51,0,2024-09-07 08:36:41:741,35907,0.3,35389,0.4,68925,0.2,94002,1.50 51,1,2024-09-07 08:36:41:697,264090,264090,0,0,124788253977,1293133497,262122,1182,786,367,391637,0 51,2,2024-09-07 08:36:41:326,185362,185362,0,0,7172218,0,3337 51,3,2024-09-07 08:36:41:027,1,150,1,0,162,889,150,0 52,0,2024-09-07 08:36:41:414,37376,0.5,37366,0.7,74802,0.5,99154,2.25 52,1,2024-09-07 08:36:40:586,263084,263084,0,0,123067842686,1300755007,257413,4516,1155,368,391722,0 52,2,2024-09-07 08:36:41:762,186199,186161,38,0,9674560,0,6742 52,3,2024-09-07 08:36:40:675,1,150,37,0,1782,3500,150,0 53,0,2024-09-07 08:36:41:732,41102,1.0,39990,1.0,83519,1.2,110191,2.75 53,1,2024-09-07 08:36:40:835,262767,262767,0,0,123252078100,1304627585,257140,3733,1894,367,391702,0 53,2,2024-09-07 08:36:41:303,193561,193561,0,0,8103967,0,2262 53,3,2024-09-07 08:36:40:696,1,150,271,0,271,1514,150,0 54,0,2024-09-07 08:36:41:645,35210,2.5,35643,1.4,70541,1.3,94972,4.25 54,1,2024-09-07 08:36:40:613,263538,263538,0,0,123757007432,1295571903,259568,3399,571,367,391532,0 54,2,2024-09-07 08:36:40:867,191014,191008,6,0,9665715,0,5382 54,3,2024-09-07 08:36:40:813,1,150,1,0,676,3156,150,0 55,0,2024-09-07 08:36:41:775,34425,0.5,35447,0.7,72015,0.4,93302,2.25 55,1,2024-09-07 08:36:40:769,262935,262935,0,0,122914560083,1291008216,257748,4400,787,365,391731,0 55,2,2024-09-07 08:36:40:732,186322,186322,0,0,9239701,0,3275 55,3,2024-09-07 08:36:40:678,1,150,0,0,304,1659,150,0 56,0,2024-09-07 08:36:41:582,37022,1.2,35013,1.1,71753,1.7,96332,2.50 56,1,2024-09-07 08:36:40:613,263301,263301,0,0,123454990670,1310099926,258177,4221,903,381,391678,0 56,2,2024-09-07 08:36:41:307,187593,187593,0,0,9826856,0,3567 56,3,2024-09-07 08:36:41:076,1,150,13,0,405,2199,150,0 57,0,2024-09-07 08:36:40:957,40504,2.5,40559,1.5,81265,3.9,109187,4.00 57,1,2024-09-07 08:36:41:009,263400,263400,0,0,123227983625,1292197540,260583,2647,170,368,391960,0 57,2,2024-09-07 08:36:41:325,191436,191436,0,0,10101123,0,3178 57,3,2024-09-07 08:36:41:747,1,150,0,0,359,2667,150,0 58,0,2024-09-07 08:36:40:618,35631,1.6,34766,1.3,72777,2.6,95221,3.00 58,1,2024-09-07 08:36:40:586,264462,264459,0,3,123742698016,1295141742,260944,3118,397,367,391516,3 58,2,2024-09-07 08:36:41:086,191271,191271,0,0,9185448,0,2549 58,3,2024-09-07 08:36:41:079,1,150,1,0,219,1244,150,0 59,0,2024-09-07 08:36:41:766,36615,0.8,36354,1.0,72600,0.8,96460,3.00 59,1,2024-09-07 08:36:40:814,263733,263733,0,0,122921288778,1289239500,260086,2725,922,369,391515,0 59,2,2024-09-07 08:36:40:589,190528,190528,0,0,8021052,0,2604 59,3,2024-09-07 08:36:41:742,1,150,6,0,1015,2861,150,0 60,0,2024-09-07 08:36:41:756,35382,0.4,35488,0.6,70445,0.3,93453,1.75 60,1,2024-09-07 08:36:40:779,265002,265002,0,0,124063563547,1288421988,263546,1098,358,370,391761,0 60,2,2024-09-07 08:36:41:153,186836,186836,0,0,9268946,0,3811 60,3,2024-09-07 08:36:41:264,1,150,1,0,124,1544,150,0 61,0,2024-09-07 08:36:41:550,39374,1.5,39653,1.1,79101,2.3,106163,2.25 61,1,2024-09-07 08:36:40:771,263676,263676,0,0,123583080093,1299140016,260242,2882,552,382,391589,0 61,2,2024-09-07 08:36:41:133,188825,188825,0,0,8196911,0,2079 61,3,2024-09-07 08:36:41:733,1,150,5,0,199,1893,150,0 62,0,2024-09-07 08:36:41:715,39863,1.6,40633,1.1,77915,2.7,105612,2.50 62,1,2024-09-07 08:36:41:111,265527,265521,0,6,124200848237,1283744913,263843,1639,39,365,391715,6 62,2,2024-09-07 08:36:41:649,191504,191503,1,0,9804391,0,5555 62,3,2024-09-07 08:36:41:146,1,150,1,0,287,1160,150,0 63,0,2024-09-07 08:36:41:466,36481,0.6,36489,0.7,73073,0.5,97187,2.00 63,1,2024-09-07 08:36:40:806,264880,264874,0,6,123658146126,1283255486,263634,1214,26,381,391677,6 63,2,2024-09-07 08:36:40:772,190882,190882,0,0,7568064,0,2674 63,3,2024-09-07 08:36:41:740,1,150,0,0,667,2372,150,0 64,0,2024-09-07 08:36:41:532,35250,0.4,35243,0.6,70691,0.3,93958,1.75 64,1,2024-09-07 08:36:40:756,264326,264326,0,0,123763077176,1297328997,260863,2410,1053,371,391783,0 64,2,2024-09-07 08:36:41:148,186992,186973,19,0,8387433,0,6121 64,3,2024-09-07 08:36:41:146,1,150,1,0,265,1753,150,0 65,0,2024-09-07 08:36:41:781,36654,1.0,37198,0.9,74259,1.2,99405,2.50 65,1,2024-09-07 08:36:40:901,263489,263489,0,0,123495607825,1295300239,260984,2283,222,382,391770,0 65,2,2024-09-07 08:36:41:703,187659,187659,0,0,8830607,0,3367 65,3,2024-09-07 08:36:41:696,1,150,9,0,163,1576,150,0 66,0,2024-09-07 08:36:41:795,41549,0.8,41576,0.9,83613,0.8,110829,2.50 66,1,2024-09-07 08:36:41:293,264847,264847,0,0,123799446829,1289715727,262972,1704,171,380,391588,0 66,2,2024-09-07 08:36:41:141,195028,195028,0,0,8266567,0,4956 66,3,2024-09-07 08:36:41:079,1,150,1,0,291,1685,150,0 67,0,2024-09-07 08:36:41:416,35816,0.9,35575,1.0,71365,1.1,95286,2.50 67,1,2024-09-07 08:36:40:815,263590,263589,0,1,123025510465,1290641905,260123,2720,746,381,391787,1 67,2,2024-09-07 08:36:40:613,192000,192000,0,0,7821598,0,2889 67,3,2024-09-07 08:36:41:761,1,150,1,0,138,1260,150,0 68,0,2024-09-07 08:36:40:566,35392,0.5,35204,0.7,70430,0.4,93584,2.00 68,1,2024-09-07 08:36:40:614,262954,262954,0,0,122905286505,1292521830,260110,1826,1018,381,391953,0 68,2,2024-09-07 08:36:41:060,184700,184635,65,0,10998653,0,6698 68,3,2024-09-07 08:36:40:736,1,150,10,0,417,1979,150,0 69,0,2024-09-07 08:36:41:734,36507,0.8,36754,0.9,72990,0.9,98031,2.25 69,1,2024-09-07 08:36:41:025,262482,262482,0,0,123092972392,1304871532,258491,2777,1214,384,391611,0 69,2,2024-09-07 08:36:41:741,188181,188181,0,0,9485352,0,3701 69,3,2024-09-07 08:36:40:813,1,150,6,0,238,1930,150,0 70,0,2024-09-07 08:36:41:600,41024,2.2,41309,1.5,82875,1.5,110112,3.00 70,1,2024-09-07 08:36:40:804,264168,264168,0,0,123571709305,1286972515,261753,1953,462,366,391725,0 70,2,2024-09-07 08:36:41:329,191913,191913,0,0,8906189,0,4044 70,3,2024-09-07 08:36:40:749,1,150,1,0,854,2065,150,0 71,0,2024-09-07 08:36:41:359,35407,2.4,35521,1.8,70651,3.7,95609,3.75 71,1,2024-09-07 08:36:41:656,263757,263757,0,0,122994356903,1290941453,259648,3586,523,368,391682,0 71,2,2024-09-07 08:36:41:081,191709,191709,0,0,8893159,0,2470 71,3,2024-09-07 08:36:41:753,1,150,7,0,644,2535,150,0 72,0,2024-09-07 08:36:41:038,37512,0.5,36680,0.7,71913,0.4,97363,2.25 72,1,2024-09-07 08:36:41:029,263868,263868,0,0,123460640307,1291339340,260625,2757,486,369,391819,0 72,2,2024-09-07 08:36:41:765,187500,187500,0,0,10276711,0,2570 72,3,2024-09-07 08:36:41:761,1,150,8,0,325,2388,150,0 73,0,2024-09-07 08:36:41:099,34648,0.4,35523,0.6,72667,0.3,94617,2.25 73,1,2024-09-07 08:36:40:787,264230,264230,0,0,123225039587,1280828018,262426,1689,115,368,391627,0 73,2,2024-09-07 08:36:41:748,187973,187973,0,0,9536359,0,3482 73,3,2024-09-07 08:36:40:975,1,150,1,0,274,2509,150,0 74,0,2024-09-07 08:36:41:324,41323,0.5,42053,0.8,80263,0.5,109801,2.25 74,1,2024-09-07 08:36:40:651,263915,263915,0,0,122575017793,1282173821,261129,2165,621,382,391681,0 74,2,2024-09-07 08:36:41:008,189080,189080,0,0,9527688,0,4253 74,3,2024-09-07 08:36:41:456,1,150,2,0,522,2694,150,0 75,0,2024-09-07 08:36:41:804,38122,1.6,37922,1.3,75821,1.9,101863,3.00 75,1,2024-09-07 08:36:41:650,263491,263491,0,0,123212699818,1293415461,260106,2925,460,381,391579,0 75,2,2024-09-07 08:36:41:355,191676,191676,0,0,9111503,0,4766 75,3,2024-09-07 08:36:41:079,1,150,1,0,535,1735,150,0 76,0,2024-09-07 08:36:40:632,36366,0.4,36151,0.7,72367,0.3,96945,2.25 76,1,2024-09-07 08:36:40:817,263870,263870,0,0,123191797982,1288192835,261810,1549,511,382,391692,0 76,2,2024-09-07 08:36:41:061,191649,191649,0,0,8012495,0,3064 76,3,2024-09-07 08:36:41:156,1,150,0,0,175,1677,150,0 77,0,2024-09-07 08:36:41:754,35602,0.5,35804,0.7,71608,0.5,94053,1.75 77,1,2024-09-07 08:36:40:851,264861,264861,0,0,123754240876,1292396247,263273,1506,82,383,391808,0 77,2,2024-09-07 08:36:41:288,186129,186129,0,0,8202028,0,3890 77,3,2024-09-07 08:36:41:099,1,150,1,0,305,1950,150,0 78,0,2024-09-07 08:36:41:726,38091,0.5,38005,0.8,76650,0.5,101695,2.00 78,1,2024-09-07 08:36:40:655,264195,264195,0,0,122976918061,1282280250,261865,2077,253,367,391589,0 78,2,2024-09-07 08:36:41:407,188785,188785,0,0,7745546,0,2114 78,3,2024-09-07 08:36:41:137,1,150,11,0,181,1626,150,0 79,0,2024-09-07 08:36:41:351,39494,0.6,40377,0.8,82496,0.5,107218,2.75 79,1,2024-09-07 08:36:40:592,264701,264701,0,0,123599524190,1283409887,262517,2013,171,369,391682,0 79,2,2024-09-07 08:36:41:084,194287,194287,0,0,8026340,0,3212 79,3,2024-09-07 08:36:40:759,1,150,1,0,418,2870,150,0 80,0,2024-09-07 08:36:41:086,36034,0.6,36865,0.8,71000,0.7,96348,2.25 80,1,2024-09-07 08:36:41:661,263226,263226,0,0,122957208342,1283952817,260716,2351,159,368,391673,0 80,2,2024-09-07 08:36:41:093,191979,191979,0,0,8440265,0,4433 80,3,2024-09-07 08:36:40:584,1,150,1,0,190,2561,150,0 81,0,2024-09-07 08:36:41:580,35143,0.5,36259,0.6,69378,0.4,93610,1.75 81,1,2024-09-07 08:36:41:673,263557,263557,0,0,122486030049,1284135451,261079,2206,272,382,391879,0 81,2,2024-09-07 08:36:41:145,184962,184962,0,0,8831829,0,3993 81,3,2024-09-07 08:36:41:123,1,150,6,0,193,1553,150,0 82,0,2024-09-07 08:36:41:639,37064,0.5,37222,0.8,74728,0.5,99329,2.00 82,1,2024-09-07 08:36:40:609,264225,264221,0,4,123636820275,1292429965,261781,1864,576,382,391558,4 82,2,2024-09-07 08:36:41:734,188472,188472,0,0,8139929,0,3986 82,3,2024-09-07 08:36:41:764,1,150,9,0,227,1817,150,0 83,0,2024-09-07 08:36:41:545,41477,0.8,41334,0.9,82076,0.8,110383,2.25 83,1,2024-09-07 08:36:40:579,263890,263890,0,0,122639125655,1284611631,261443,2243,204,382,391690,0 83,2,2024-09-07 08:36:40:771,192895,192895,0,0,8161857,0,3119 83,3,2024-09-07 08:36:40:754,1,150,103,0,241,1869,150,0 84,0,2024-09-07 08:36:41:832,35846,1.6,35561,1.4,71714,1.3,96362,2.75 84,1,2024-09-07 08:36:41:053,263061,263061,0,0,123448059788,1296782803,259676,2931,454,368,391852,0 84,2,2024-09-07 08:36:40:588,191405,191405,0,0,9259248,0,3801 84,3,2024-09-07 08:36:41:147,1,150,14,0,236,1630,150,0 85,0,2024-09-07 08:36:41:015,34436,0.5,34412,0.7,73011,0.4,94447,2.00 85,1,2024-09-07 08:36:40:620,262621,262621,0,0,122946580236,1306758330,257216,4325,1080,382,392006,0 85,2,2024-09-07 08:36:40:882,186997,186997,0,0,9889184,0,3656 85,3,2024-09-07 08:36:40:700,1,150,22,0,789,2416,150,0 86,0,2024-09-07 08:36:41:118,36075,0.6,37054,0.7,71008,0.6,96135,2.00 86,1,2024-09-07 08:36:40:840,263449,263449,0,0,123431996307,1297067282,259502,3404,543,366,391961,0 86,2,2024-09-07 08:36:40:878,186670,186669,1,0,10223254,0,5004 86,3,2024-09-07 08:36:40:591,1,150,6,0,286,2350,150,0 87,0,2024-09-07 08:36:41:369,41189,1.7,41134,1.2,82321,2.6,111044,2.50 87,1,2024-09-07 08:36:40:599,263089,263089,0,0,123230887335,1294682722,259878,2820,391,366,391788,0 87,2,2024-09-07 08:36:41:083,190443,190443,0,0,8683681,0,3515 87,3,2024-09-07 08:36:41:807,1,150,8,0,335,2798,150,0 88,0,2024-09-07 08:36:41:468,36954,0.7,37110,0.8,73955,0.9,98646,2.00 88,1,2024-09-07 08:36:40:590,262703,262703,0,0,122858351835,1293083599,258684,2853,1166,365,391787,0 88,2,2024-09-07 08:36:40:704,191392,191392,0,0,9787313,0,3583 88,3,2024-09-07 08:36:41:279,1,150,5,0,435,2058,150,0 89,0,2024-09-07 08:36:41:814,37679,0.4,36524,0.7,72254,0.4,97972,1.75 89,1,2024-09-07 08:36:40:586,262375,262375,0,0,123093936184,1306054639,256947,4493,935,382,391866,0 89,2,2024-09-07 08:36:41:143,189478,189478,0,0,9561835,0,2910 89,3,2024-09-07 08:36:41:801,1,150,9,0,325,3097,150,0 90,0,2024-09-07 08:36:41:629,34170,0.4,34955,0.5,71798,0.3,93184,1.75 90,1,2024-09-07 08:36:40:606,264073,264073,0,0,123012965287,1294499420,260855,2961,257,382,391825,0 90,2,2024-09-07 08:36:41:409,186180,186180,0,0,10254170,0,3060 90,3,2024-09-07 08:36:40:946,1,150,1,0,200,1834,150,0 91,0,2024-09-07 08:36:40:979,39982,0.5,38530,0.6,80263,0.5,106565,1.75 91,1,2024-09-07 08:36:40:609,263228,263228,0,0,123296157641,1298216126,259497,3236,495,381,392047,0 91,2,2024-09-07 08:36:41:341,187129,187129,0,0,9674716,0,2445 91,3,2024-09-07 08:36:40:611,1,150,4,0,216,1854,150,0 92,0,2024-09-07 08:36:41:461,40135,0.9,41051,0.9,78591,1.0,106001,2.00 92,1,2024-09-07 08:36:40:615,263849,263849,0,0,123240944475,1290271648,261693,1756,400,382,391717,0 92,2,2024-09-07 08:36:41:358,194421,194421,0,0,8299908,0,2801 92,3,2024-09-07 08:36:41:009,1,150,1,0,68,1304,150,0 93,0,2024-09-07 08:36:41:038,36854,0.4,37623,0.6,72041,0.4,97683,1.75 93,1,2024-09-07 08:36:40:825,263696,263696,0,0,123427548780,1292706779,260599,2548,549,366,391692,0 93,2,2024-09-07 08:36:40:935,191221,191221,0,0,8161415,0,2509 93,3,2024-09-07 08:36:41:413,1,150,9,0,143,1655,150,0 94,0,2024-09-07 08:36:41:769,35475,0.3,35718,0.5,70830,0.2,93925,1.50 94,1,2024-09-07 08:36:40:610,263830,263830,0,0,123306497757,1292935666,261393,2344,93,381,391850,0 94,2,2024-09-07 08:36:40:824,185699,185699,0,0,7990724,0,2443 94,3,2024-09-07 08:36:41:730,1,150,7,0,264,2369,150,0 95,0,2024-09-07 08:36:41:354,37399,0.4,37381,0.5,75193,0.3,99960,1.75 95,1,2024-09-07 08:36:40:865,264341,264341,0,0,123820748572,1291040235,261975,2185,181,367,391662,0 95,2,2024-09-07 08:36:41:032,187836,187836,0,0,8287469,0,3308 95,3,2024-09-07 08:36:41:711,1,150,1,0,718,3004,150,0 96,0,2024-09-07 08:36:41:052,42071,0.6,42101,0.7,84507,0.6,111456,1.75 96,1,2024-09-07 08:36:41:657,263210,263210,0,0,123341407812,1293643042,260614,1954,642,385,391894,0 96,2,2024-09-07 08:36:41:278,193514,193514,0,0,8983325,0,4042 96,3,2024-09-07 08:36:41:145,1,150,3,0,188,1783,150,0 97,0,2024-09-07 08:36:41:318,35877,0.5,35748,0.6,71647,0.4,95396,1.75 97,1,2024-09-07 08:36:40:776,263987,263987,0,0,124081921697,1293782348,261207,2232,548,367,392140,0 97,2,2024-09-07 08:36:40:656,191366,191366,0,0,8037298,0,3036 97,3,2024-09-07 08:36:40:608,1,150,124,0,165,1818,150,0 98,0,2024-09-07 08:36:41:768,35419,0.2,35161,0.4,70674,0.2,93893,1.50 98,1,2024-09-07 08:36:40:588,264058,264058,0,0,123599670283,1291347354,262248,1722,88,382,391997,0 98,2,2024-09-07 08:36:40:852,186658,186658,0,0,8392947,0,3080 98,3,2024-09-07 08:36:40:703,1,150,4,0,840,3021,150,0 99,0,2024-09-07 08:36:41:508,36766,0.3,36668,0.4,73477,0.2,98305,1.50 99,1,2024-09-07 08:36:41:729,264061,264061,0,0,123033996936,1288441495,261005,2360,696,381,391744,0 99,2,2024-09-07 08:36:41:425,189859,189859,0,0,8108174,0,2615 99,3,2024-09-07 08:36:40:613,1,150,28,0,129,1102,150,0 100,0,2024-09-07 08:36:41:498,41519,1.3,41495,1.4,82922,2.4,111030,2.50 100,1,2024-09-07 08:36:40:579,261815,261815,0,0,122500599775,1303379401,256626,4258,931,381,391989,0 100,2,2024-09-07 08:36:41:826,191087,191076,11,0,9524841,0,5417 100,3,2024-09-07 08:36:41:732,1,150,6,0,559,3495,150,0 101,0,2024-09-07 08:36:41:889,36564,3.3,35573,1.8,69933,4.2,96912,3.25 101,1,2024-09-07 08:36:40:586,262431,262431,0,0,122747453033,1302869447,257078,3876,1477,368,391769,0 101,2,2024-09-07 08:36:41:800,190824,190824,0,0,10392732,0,4644 101,3,2024-09-07 08:36:40:950,1,150,2,0,448,2010,150,0 102,0,2024-09-07 08:36:41:013,35448,0.6,36852,0.8,73852,0.5,96726,2.00 102,1,2024-09-07 08:36:41:147,262950,262950,0,0,122739277606,1296090372,258882,3357,711,369,391883,0 102,2,2024-09-07 08:36:41:754,189122,189068,54,0,9882873,0,6768 102,3,2024-09-07 08:36:41:619,1,150,4,0,410,1660,150,0 103,0,2024-09-07 08:36:41:701,36586,0.4,36569,0.6,69006,0.4,95105,1.75 103,1,2024-09-07 08:36:41:705,262308,262308,0,0,122903003017,1300653751,257875,3225,1208,381,391829,0 103,2,2024-09-07 08:36:40:619,187066,187066,0,0,8809587,0,2104 103,3,2024-09-07 08:36:40:771,1,150,1,0,916,2886,150,0 104,0,2024-09-07 08:36:41:013,40663,1.6,40757,1.3,80376,2.4,109791,2.50 104,1,2024-09-07 08:36:41:613,264183,264183,0,0,122628450465,1297119331,259191,4030,962,366,391948,0 104,2,2024-09-07 08:36:41:675,188108,188108,0,0,9237066,0,3941 104,3,2024-09-07 08:36:41:438,1,150,1,1,1245,5032,150,0 105,0,2024-09-07 08:36:41:095,37574,2.0,36556,1.5,76207,3.0,100816,4.00 105,1,2024-09-07 08:36:40:618,264208,264208,0,0,123187610680,1296347777,260011,3423,774,367,391797,0 105,2,2024-09-07 08:36:41:327,191520,191520,0,0,8984505,0,3509 105,3,2024-09-07 08:36:41:309,1,150,1,1,399,2252,150,0 106,0,2024-09-07 08:36:41:016,35396,0.7,36287,0.9,73939,0.7,96731,2.25 106,1,2024-09-07 08:36:41:976,263358,263358,0,0,123503372478,1298480142,259596,3378,384,369,391767,0 106,2,2024-09-07 08:36:40:798,190056,190056,0,0,9156616,0,2795 106,3,2024-09-07 08:36:40:681,1,150,2,0,405,2314,150,0 107,0,2024-09-07 08:36:41:114,35677,0.4,35663,0.6,70915,0.3,94306,1.75 107,1,2024-09-07 08:36:40:615,262905,262905,0,0,122881585933,1294048530,259933,2742,230,381,392234,0 107,2,2024-09-07 08:36:41:292,186046,186045,1,0,9126458,0,5024 107,3,2024-09-07 08:36:41:767,1,150,30,0,353,1864,150,0 108,0,2024-09-07 08:36:41:963,38292,0.4,38515,0.6,76268,0.4,102187,1.75 108,1,2024-09-07 08:36:41:313,263531,263531,0,0,123778879977,1295024819,260879,2342,310,368,391857,0 108,2,2024-09-07 08:36:41:776,187077,187077,0,0,8737971,0,2647 108,3,2024-09-07 08:36:41:337,1,150,13,0,667,3204,150,0 109,0,2024-09-07 08:36:41:804,40968,0.5,40792,0.7,81309,0.5,108896,1.75 109,1,2024-09-07 08:36:40:590,263082,263082,0,0,123533489778,1299860750,260308,2278,496,383,392132,0 109,2,2024-09-07 08:36:40:928,192877,192877,0,0,9087404,0,3617 109,3,2024-09-07 08:36:41:147,1,150,1,1,249,2205,150,0 110,0,2024-09-07 08:36:41:778,36334,0.4,35263,0.7,73739,0.4,96669,1.75 110,1,2024-09-07 08:36:41:660,264138,264138,0,0,123738138183,1290920521,261525,1848,765,370,391667,0 110,2,2024-09-07 08:36:41:310,191930,191930,0,0,8039906,0,2915 110,3,2024-09-07 08:36:40:706,1,150,5,0,406,2228,150,0 111,0,2024-09-07 08:36:41:419,35374,0.2,34872,0.4,69764,0.1,93884,1.50 111,1,2024-09-07 08:36:41:004,264582,264582,0,0,124144018181,1291113423,262808,1442,332,382,391690,0 111,2,2024-09-07 08:36:41:124,185373,185373,0,0,8799355,0,2763 111,3,2024-09-07 08:36:40:922,1,150,1,0,379,2517,150,0 112,0,2024-09-07 08:36:40:975,37364,0.3,37316,0.5,74703,0.2,99604,1.50 112,1,2024-09-07 08:36:40:852,264769,264769,0,0,123810625223,1290278480,262444,1900,425,381,391580,0 112,2,2024-09-07 08:36:41:142,187901,187900,1,0,8846917,0,5036 112,3,2024-09-07 08:36:40:611,1,150,5,0,282,1839,150,0 113,0,2024-09-07 08:36:40:879,41196,0.4,41043,0.6,82889,0.4,111423,1.75 113,1,2024-09-07 08:36:41:732,264560,264560,0,0,124027720811,1287315022,262147,1925,488,366,391661,0 113,2,2024-09-07 08:36:41:313,194279,194279,0,0,7797325,0,3813 113,3,2024-09-07 08:36:40:694,1,150,8,0,288,2360,150,0 114,0,2024-09-07 08:36:40:882,36673,0.7,37254,0.7,72908,0.5,97942,2.00 114,1,2024-09-07 08:36:40:722,264194,264194,0,0,123138548571,1293351784,260066,2665,1463,381,391534,0 114,2,2024-09-07 08:36:40:885,191398,191398,0,0,8048419,0,3925 114,3,2024-09-07 08:36:41:284,1,150,3,0,395,1960,150,0 115,0,2024-09-07 08:36:40:596,35647,0.2,35906,0.4,71953,0.2,95208,1.50 115,1,2024-09-07 08:36:40:608,264240,264240,0,0,123895575777,1295673529,260600,2819,821,382,391602,0 115,2,2024-09-07 08:36:41:129,187867,187867,0,0,7533845,0,2152 115,3,2024-09-07 08:36:41:004,1,150,0,0,159,1057,150,0 116,0,2024-09-07 08:36:41:724,36287,0.6,35773,0.8,71982,0.6,96076,2.00 116,1,2024-09-07 08:36:40:813,262065,262065,0,0,122286389677,1304598751,257166,3146,1753,382,391677,0 116,2,2024-09-07 08:36:41:765,186782,186782,0,0,10452745,0,3529 116,3,2024-09-07 08:36:40:911,1,150,88,0,252,2270,150,0 117,0,2024-09-07 08:36:40:996,41103,1.6,41107,1.2,82232,2.4,110952,2.25 117,1,2024-09-07 08:36:41:590,263538,263538,0,0,123380575614,1297528801,259871,3240,427,370,392033,0 117,2,2024-09-07 08:36:41:125,191504,191504,0,0,8372340,0,3700 117,3,2024-09-07 08:36:41:075,1,150,2,0,490,3207,150,0 118,0,2024-09-07 08:36:41:833,35953,1.1,37018,1.0,75211,1.3,97929,2.25 118,1,2024-09-07 08:36:40:626,262963,262963,0,0,122513584942,1297581074,258136,3507,1320,368,391736,0 118,2,2024-09-07 08:36:41:649,190977,190977,0,0,9476463,0,2781 118,3,2024-09-07 08:36:41:804,1,150,1,0,235,2029,150,0 119,0,2024-09-07 08:36:41:352,36221,0.7,36382,0.8,73696,0.7,96986,2.00 119,1,2024-09-07 08:36:40:613,263733,263733,0,0,123749291394,1294682585,261260,2186,287,369,391641,0 119,2,2024-09-07 08:36:41:270,189195,189195,0,0,8876388,0,3526 119,3,2024-09-07 08:36:41:346,1,150,1,0,443,2826,150,0 120,0,2024-09-07 08:36:41:565,34942,0.5,35054,0.7,69986,0.4,93351,2.00 120,1,2024-09-07 08:36:40:873,263894,263894,0,0,122515845232,1288879723,260792,2843,259,368,391961,0 120,2,2024-09-07 08:36:40:817,186431,186430,1,0,10736584,0,5281 120,3,2024-09-07 08:36:41:307,1,150,0,0,241,2165,150,0 121,0,2024-09-07 08:36:41:711,39219,1.7,39692,1.2,78987,2.7,106110,2.50 121,1,2024-09-07 08:36:41:675,263728,263728,0,0,122656994241,1285711666,261000,2440,288,367,391840,0 121,2,2024-09-07 08:36:41:134,187176,187176,0,0,9705675,0,4127 121,3,2024-09-07 08:36:40:738,1,150,5,0,269,2148,150,0 122,0,2024-09-07 08:36:41:791,39489,1.3,38489,1.2,80552,1.5,106057,2.25 122,1,2024-09-07 08:36:40:873,262759,262759,0,0,123165249803,1297714249,258627,3426,706,366,392130,0 122,2,2024-09-07 08:36:41:337,192860,192860,0,0,10843513,0,3364 122,3,2024-09-07 08:36:40:653,1,150,1,0,411,3698,150,0 123,0,2024-09-07 08:36:40:986,36589,0.8,35645,0.9,74679,1.0,97191,2.25 123,1,2024-09-07 08:36:40:573,263912,263912,0,0,123074071374,1300019031,258840,4378,694,369,391823,0 123,2,2024-09-07 08:36:41:019,189778,189777,1,0,9342847,0,5215 123,3,2024-09-07 08:36:41:188,1,150,2,0,168,1931,150,0 124,0,2024-09-07 08:36:40:961,36478,0.3,36434,0.5,68831,0.2,94236,1.50 124,1,2024-09-07 08:36:41:044,263855,263855,0,0,123165015014,1282830387,261772,1727,356,367,392178,0 124,2,2024-09-07 08:36:41:021,186247,186247,0,0,8037770,0,3101 124,3,2024-09-07 08:36:40:769,1,150,2,0,490,2218,150,0 125,0,2024-09-07 08:36:41:421,37448,0.4,37483,0.6,75131,0.4,100186,1.75 125,1,2024-09-07 08:36:40:863,264037,264037,0,0,123799515715,1295971919,261677,2085,275,384,391702,0 125,2,2024-09-07 08:36:41:122,188188,188188,0,0,8014155,0,2180 125,3,2024-09-07 08:36:41:133,1,150,4,0,284,2018,150,0 126,0,2024-09-07 08:36:41:461,42128,0.8,43257,0.8,82619,0.9,112182,2.00 126,1,2024-09-07 08:36:40:556,264293,264293,0,0,123614418217,1284514447,262664,1551,78,365,391987,0 126,2,2024-09-07 08:36:40:651,194214,194214,0,0,8412137,0,3186 126,3,2024-09-07 08:36:40:916,1,150,29,0,150,2250,150,0 127,0,2024-09-07 08:36:41:606,35602,0.4,35937,0.6,71532,0.4,95330,1.75 127,1,2024-09-07 08:36:40:620,263733,263733,0,0,123475015158,1282496306,261673,2019,41,365,391816,0 127,2,2024-09-07 08:36:40:645,191649,191649,0,0,7879391,0,2264 127,3,2024-09-07 08:36:41:275,1,150,1,0,243,1393,150,0 128,0,2024-09-07 08:36:41:612,35518,0.3,35308,0.4,70630,0.2,93925,1.50 128,1,2024-09-07 08:36:41:695,264418,264418,0,0,123732646252,1283808799,263446,900,72,367,391680,0 128,2,2024-09-07 08:36:41:383,185703,185703,0,0,7893650,0,2107 128,3,2024-09-07 08:36:40:769,1,150,4,0,333,2257,150,0 129,0,2024-09-07 08:36:41:032,36936,0.3,36747,0.5,73381,0.2,98145,1.75 129,1,2024-09-07 08:36:40:611,263231,263231,0,0,122881050216,1290838439,260309,2530,392,379,391835,0 129,2,2024-09-07 08:36:40:702,188272,188272,0,0,8284948,0,4031 129,3,2024-09-07 08:36:40:694,1,150,1,0,173,1879,150,0 130,0,2024-09-07 08:36:41:759,41977,0.8,41646,0.8,83751,1.1,111920,2.00 130,1,2024-09-07 08:36:40:594,264169,264169,0,0,123196903650,1287177872,262066,2032,71,381,391825,0 130,2,2024-09-07 08:36:41:129,192427,192427,0,0,8163923,0,4067 130,3,2024-09-07 08:36:41:300,1,150,4,0,450,1927,150,0 131,0,2024-09-07 08:36:41:951,36197,0.6,36350,0.7,73481,0.8,97293,1.75 131,1,2024-09-07 08:36:41:829,265176,265176,0,0,123483289499,1287587306,263712,1258,206,383,391865,0 131,2,2024-09-07 08:36:40:575,191751,191751,0,0,7744148,0,2415 131,3,2024-09-07 08:36:41:697,1,150,1,0,392,1793,150,0 132,0,2024-09-07 08:36:41:418,36058,0.5,36498,0.7,73131,0.4,97108,2.00 132,1,2024-09-07 08:36:40:614,262512,262512,0,0,122719110547,1302745506,257111,4371,1030,381,391760,0 132,2,2024-09-07 08:36:40:703,188207,188207,0,0,10636561,0,4606 132,3,2024-09-07 08:36:41:697,1,150,1,0,356,2829,150,0 133,0,2024-09-07 08:36:41:549,34674,0.4,35388,0.6,72710,0.3,94270,1.75 133,1,2024-09-07 08:36:40:593,262151,262151,0,0,123017886236,1303270092,257651,3862,638,383,391914,0 133,2,2024-09-07 08:36:41:087,187044,187044,0,0,10643388,0,4315 133,3,2024-09-07 08:36:41:303,1,150,2,0,187,1398,150,0 134,0,2024-09-07 08:36:40:952,40912,0.6,40717,0.8,82174,0.6,109529,2.00 134,1,2024-09-07 08:36:40:610,262807,262807,0,0,122935429284,1294043177,258452,3133,1222,366,391718,0 134,2,2024-09-07 08:36:41:808,188488,188488,0,0,8949191,0,3096 134,3,2024-09-07 08:36:40:750,1,150,4,0,739,2895,150,0 135,0,2024-09-07 08:36:41:102,37021,1.7,36935,1.4,78251,2.1,100520,2.50 135,1,2024-09-07 08:36:41:590,262666,262666,0,0,122930967586,1298180316,258481,3484,701,380,391725,0 135,2,2024-09-07 08:36:40:716,192162,192162,0,0,9752080,0,3981 135,3,2024-09-07 08:36:41:004,1,150,1,0,89,864,150,0 136,0,2024-09-07 08:36:41:693,36750,0.6,36596,0.8,73695,0.5,97663,2.00 136,1,2024-09-07 08:36:41:450,263223,263223,0,0,123621286223,1297735278,259964,3086,173,384,391641,0 136,2,2024-09-07 08:36:41:142,191782,191782,0,0,9174263,0,3506 136,3,2024-09-07 08:36:41:110,1,150,0,0,108,1286,150,0 137,0,2024-09-07 08:36:40:965,36554,0.5,35513,0.7,70040,0.4,94484,1.75 137,1,2024-09-07 08:36:40:606,263535,263535,0,0,123443376166,1295075539,259497,3619,419,367,391608,0 137,2,2024-09-07 08:36:41:741,185754,185754,0,0,10405136,0,3185 137,3,2024-09-07 08:36:40:770,1,150,1,1,227,1824,150,0 138,0,2024-09-07 08:36:41:762,37789,1.5,37891,1.2,76393,2.1,101977,2.50 138,1,2024-09-07 08:36:41:693,263623,263623,0,0,123099543532,1289833366,260587,2685,351,368,391954,0 138,2,2024-09-07 08:36:40:608,188740,188740,0,0,8496168,0,3263 138,3,2024-09-07 08:36:40:644,1,150,201,0,1160,2920,150,0 139,0,2024-09-07 08:36:41:405,39776,3.1,39965,1.8,80510,4.4,107598,3.25 139,1,2024-09-07 08:36:40:609,262590,262590,0,0,122358038182,1301361724,257532,3744,1314,381,391892,0 139,2,2024-09-07 08:36:40:708,191781,191781,0,0,9734611,0,3097 139,3,2024-09-07 08:36:41:745,1,150,8,0,244,1793,150,0 140,0,2024-09-07 08:36:41:596,36516,0.4,36187,0.6,72906,0.3,96704,1.75 140,1,2024-09-07 08:36:41:537,264765,264765,0,0,123911508993,1280933128,263250,1275,240,365,391606,0 140,2,2024-09-07 08:36:40:697,191888,191888,0,0,8408383,0,3388 140,3,2024-09-07 08:36:40:774,1,150,1,0,247,1422,150,0 141,0,2024-09-07 08:36:41:743,34900,0.2,36046,0.4,69101,0.1,93885,1.50 141,1,2024-09-07 08:36:40:875,264405,264405,0,0,123726778086,1286953882,262467,1585,353,379,391614,0 141,2,2024-09-07 08:36:41:733,185865,185865,0,0,7865902,0,2342 141,3,2024-09-07 08:36:41:074,1,150,1,0,147,1287,150,0 142,0,2024-09-07 08:36:41:329,37739,0.3,37563,0.5,74368,0.3,99703,1.75 142,1,2024-09-07 08:36:40:609,264022,264022,0,0,122989043969,1284556565,262480,1383,159,383,391728,0 142,2,2024-09-07 08:36:41:299,186694,186662,32,0,9162687,0,6028 142,3,2024-09-07 08:36:41:747,1,150,0,0,484,2138,150,0 143,0,2024-09-07 08:36:41:382,41394,0.7,41428,0.7,83388,0.8,111296,2.00 143,1,2024-09-07 08:36:40:595,264118,264118,0,0,123549116879,1285353831,262012,2064,42,367,391619,0 143,2,2024-09-07 08:36:40:771,193234,193234,0,0,8511317,0,2669 143,3,2024-09-07 08:36:41:151,1,150,1,0,303,2562,150,0 144,0,2024-09-07 08:36:41:521,35013,1.0,36062,1.6,72978,1.1,96602,2.25 144,1,2024-09-07 08:36:40:610,263308,263308,0,0,122969440670,1293286253,260918,2187,203,381,391649,0 144,2,2024-09-07 08:36:41:784,191923,191923,0,0,8277586,0,3473 144,3,2024-09-07 08:36:41:750,1,150,5,0,249,2046,150,0 145,0,2024-09-07 08:36:41:521,34547,0.5,34523,0.8,73214,0.4,94741,2.00 145,1,2024-09-07 08:36:40:646,261984,261984,0,0,122443110057,1295738340,257596,3652,736,383,391615,0 145,2,2024-09-07 08:36:41:692,186898,186898,0,0,9207477,0,3903 145,3,2024-09-07 08:36:40:912,1,150,26,0,151,1833,150,0 146,0,2024-09-07 08:36:41:659,35938,0.5,35796,0.7,72279,0.4,95665,2.00 146,1,2024-09-07 08:36:41:623,264045,264045,0,0,122892025417,1292269503,259601,3585,859,368,391629,0 146,2,2024-09-07 08:36:41:709,188301,188301,0,0,8709023,0,2498 146,3,2024-09-07 08:36:41:278,1,150,2,0,1520,5131,150,0 147,0,2024-09-07 08:36:41:764,41249,1.0,41126,1.0,81707,1.0,110685,2.50 147,1,2024-09-07 08:36:41:384,264863,264863,0,0,123478386513,1289699181,261766,2602,495,368,391791,0 147,2,2024-09-07 08:36:41:025,191276,191276,0,0,8319716,0,2789 147,3,2024-09-07 08:36:40:916,1,150,1,0,371,1973,150,0 0,0,2024-09-07 08:36:51:727,34169,0.5,34282,0.7,72371,0.4,93569,1.75 0,1,2024-09-07 08:36:50:801,265459,265459,0,0,124826570702,1308654859,263493,1830,136,372,391772,0 0,2,2024-09-07 08:36:51:067,188296,188296,0,0,8561078,0,4480 0,3,2024-09-07 08:36:50:975,1,151,1,0,247,2023,151,0 1,0,2024-09-07 08:36:51:811,40167,1.5,39833,1.3,79878,2.1,107650,2.25 1,1,2024-09-07 08:36:50:578,264778,264778,0,0,123746150250,1302495945,261343,2568,867,372,391857,0 1,2,2024-09-07 08:36:50:649,189068,189068,0,0,7778454,0,3267 1,3,2024-09-07 08:36:51:302,1,151,2,0,262,1937,151,0 2,0,2024-09-07 08:36:51:575,39657,1.2,39791,1.1,79088,1.8,105457,2.25 2,1,2024-09-07 08:36:50:863,265274,265274,0,0,124343219288,1295101260,263828,1240,206,380,391745,0 2,2,2024-09-07 08:36:51:266,193576,193576,0,0,8666364,0,3594 2,3,2024-09-07 08:36:50:692,1,151,0,0,357,1670,151,0 3,0,2024-09-07 08:36:51:753,36336,0.5,36513,0.7,73147,0.5,96763,2.00 3,1,2024-09-07 08:36:51:623,265041,265041,0,0,123356385200,1291491982,261933,2684,424,380,391516,0 3,2,2024-09-07 08:36:51:143,192328,192305,23,0,8612134,0,5851 3,3,2024-09-07 08:36:51:771,1,151,1,0,103,986,151,0 4,0,2024-09-07 08:36:51:793,34523,0.3,35482,0.5,72160,0.2,94495,1.75 4,1,2024-09-07 08:36:50:619,265320,265320,0,0,123762756164,1303602763,262358,2441,521,371,391846,0 4,2,2024-09-07 08:36:51:018,186225,186225,0,0,10094567,0,4528 4,3,2024-09-07 08:36:51:027,1,151,4,0,287,2148,151,0 5,0,2024-09-07 08:36:51:392,37850,0.5,37785,0.7,75661,0.5,101059,1.75 5,1,2024-09-07 08:36:50:771,264506,264506,0,0,123624333066,1306877613,260306,3214,986,368,392005,0 5,2,2024-09-07 08:36:51:843,188565,188565,0,0,8714003,0,2259 5,3,2024-09-07 08:36:51:735,1,151,4,0,238,2342,151,0 6,0,2024-09-07 08:36:50:925,42549,1.0,42128,1.0,83616,1.4,112222,2.50 6,1,2024-09-07 08:36:50:751,265656,265656,0,0,124225734351,1304175533,262272,2737,647,381,391617,0 6,2,2024-09-07 08:36:51:117,195400,195400,0,0,9009612,0,4816 6,3,2024-09-07 08:36:51:275,1,151,1,0,340,2275,151,0 7,0,2024-09-07 08:36:51:538,35463,0.5,35440,0.7,71191,0.5,95072,2.00 7,1,2024-09-07 08:36:50:865,265418,265418,0,0,124373445418,1304650355,262700,2587,131,382,391664,0 7,2,2024-09-07 08:36:50:772,192432,192432,0,0,8195033,0,2981 7,3,2024-09-07 08:36:50:852,1,151,37,0,305,1639,151,0 8,0,2024-09-07 08:36:51:336,35400,0.3,35553,0.4,70617,0.2,94349,1.50 8,1,2024-09-07 08:36:51:031,264904,264904,0,0,123974635688,1313319049,259303,4002,1599,367,391956,0 8,2,2024-09-07 08:36:50:808,184801,184801,0,0,9677874,0,2986 8,3,2024-09-07 08:36:50:594,1,151,20,0,357,2574,151,0 9,0,2024-09-07 08:36:51:125,37226,0.3,36096,0.5,75318,0.3,99288,1.75 9,1,2024-09-07 08:36:50:561,264613,264613,0,0,124564882431,1317106373,260120,3364,1129,370,391753,0 9,2,2024-09-07 08:36:51:088,188938,188938,0,0,8989753,0,3360 9,3,2024-09-07 08:36:51:772,1,151,14,0,496,2637,151,0 10,0,2024-09-07 08:36:51:616,42082,0.4,41858,0.6,84069,0.3,111941,1.75 10,1,2024-09-07 08:36:50:590,265127,265127,0,0,123766831051,1302169552,260656,3830,641,381,391741,0 10,2,2024-09-07 08:36:50:765,193838,193838,0,0,9329915,0,2940 10,3,2024-09-07 08:36:50:875,1,151,1,0,177,1187,151,0 11,0,2024-09-07 08:36:51:010,35738,1.0,34863,1.1,73119,1.2,97765,2.25 11,1,2024-09-07 08:36:50:574,265304,265304,0,0,124084905849,1308719788,260002,4032,1270,384,391537,0 11,2,2024-09-07 08:36:51:123,192481,192481,0,0,9038618,0,3411 11,3,2024-09-07 08:36:51:308,1,151,7,0,720,2810,151,0 12,0,2024-09-07 08:36:50:951,36924,0.3,36599,0.5,73587,0.2,97540,1.50 12,1,2024-09-07 08:36:50:945,265029,265029,0,0,123365843839,1292597668,262144,2473,412,370,391837,0 12,2,2024-09-07 08:36:51:569,190005,190005,0,0,9306112,0,3469 12,3,2024-09-07 08:36:51:063,1,151,1,0,358,2662,151,0 13,0,2024-09-07 08:36:51:395,35949,0.4,35973,0.5,71856,0.3,95833,1.75 13,1,2024-09-07 08:36:51:532,264995,264995,0,0,123621881969,1300309915,262580,2007,408,382,391717,0 13,2,2024-09-07 08:36:50:595,189835,189835,0,0,8481385,0,3287 13,3,2024-09-07 08:36:51:781,1,151,9,0,467,3146,151,0 14,0,2024-09-07 08:36:50:568,41625,0.5,41676,0.7,82650,0.4,110848,1.75 14,1,2024-09-07 08:36:51:565,266603,266603,0,0,124538660052,1294923313,264338,2102,163,364,391571,0 14,2,2024-09-07 08:36:50:765,190450,190450,0,0,8660166,0,2896 14,3,2024-09-07 08:36:51:115,1,151,1,0,1168,2885,151,0 15,0,2024-09-07 08:36:51:555,37990,1.2,38002,1.2,76318,1.6,101270,2.75 15,1,2024-09-07 08:36:51:610,265218,265218,0,0,124186080360,1295378417,263305,1804,109,381,391619,0 15,2,2024-09-07 08:36:50:998,193774,193774,0,0,7336506,0,3043 15,3,2024-09-07 08:36:51:413,1,151,2,0,1126,4285,151,0 16,0,2024-09-07 08:36:50:996,36726,0.5,37072,0.7,73409,0.5,97924,2.00 16,1,2024-09-07 08:36:50:578,265773,265773,0,0,124023280267,1299649361,263550,1962,261,370,391756,0 16,2,2024-09-07 08:36:51:434,191077,191077,0,0,9638767,0,4719 16,3,2024-09-07 08:36:51:142,1,151,0,0,231,2198,151,0 17,0,2024-09-07 08:36:51:793,36628,0.4,35730,0.6,70132,0.4,94301,1.75 17,1,2024-09-07 08:36:50:594,264897,264897,0,0,123518630030,1302405825,261544,2682,671,368,391688,0 17,2,2024-09-07 08:36:51:687,189532,189532,0,0,8500640,0,2857 17,3,2024-09-07 08:36:50:582,1,151,2,0,268,2443,151,0 18,0,2024-09-07 08:36:50:957,37957,0.9,38210,0.9,76513,1.0,102305,2.25 18,1,2024-09-07 08:36:51:640,265202,265202,0,0,124703771514,1297444007,263195,1773,234,368,391564,0 18,2,2024-09-07 08:36:51:754,188939,188939,0,0,8573559,0,3541 18,3,2024-09-07 08:36:50:896,1,151,3,0,163,1720,151,0 19,0,2024-09-07 08:36:51:557,40423,1.2,40814,1.1,80604,1.5,107045,2.75 19,1,2024-09-07 08:36:50:582,265836,265836,0,0,124465173586,1301655785,262023,3097,716,367,391777,0 19,2,2024-09-07 08:36:51:752,195057,195057,0,0,7839457,0,3988 19,3,2024-09-07 08:36:51:129,1,151,1,0,524,1473,151,0 20,0,2024-09-07 08:36:51:393,36399,0.6,36259,0.8,72795,0.7,96975,2.25 20,1,2024-09-07 08:36:50:571,264894,264894,0,0,123724627771,1301949308,261436,3068,390,369,391822,0 20,2,2024-09-07 08:36:50:929,192643,192643,0,0,8865545,0,3721 20,3,2024-09-07 08:36:50:594,1,151,2,0,414,2823,151,0 21,0,2024-09-07 08:36:51:161,35709,0.3,35779,0.5,71087,0.3,94496,1.75 21,1,2024-09-07 08:36:51:538,264704,264704,0,0,123604852578,1305186912,261041,3131,532,368,391962,0 21,2,2024-09-07 08:36:51:067,186693,186693,0,0,9437775,0,3747 21,3,2024-09-07 08:36:51:416,1,151,2,0,103,1919,151,0 22,0,2024-09-07 08:36:51:733,37434,0.7,37466,0.9,74353,0.7,99414,2.00 22,1,2024-09-07 08:36:51:023,264443,264443,0,0,123167594544,1304631451,258938,3996,1509,382,391667,0 22,2,2024-09-07 08:36:50:765,188697,188697,0,0,8127182,0,3134 22,3,2024-09-07 08:36:51:066,1,151,3,0,228,1733,151,0 23,0,2024-09-07 08:36:51:369,41594,0.8,41726,0.9,83729,1.0,112154,2.50 23,1,2024-09-07 08:36:51:004,265449,265449,0,0,124376223179,1305184924,261355,2612,1482,365,391549,0 23,2,2024-09-07 08:36:51:110,194379,194379,0,0,8577747,0,3010 23,3,2024-09-07 08:36:51:755,1,151,1,0,645,1750,151,0 24,0,2024-09-07 08:36:50:893,36988,0.6,37068,0.7,74202,0.6,98590,1.75 24,1,2024-09-07 08:36:50:594,265354,265354,0,0,123845761621,1298942190,262400,2155,799,369,391640,0 24,2,2024-09-07 08:36:51:069,191786,191786,0,0,9707527,0,2942 24,3,2024-09-07 08:36:51:709,1,151,22,0,234,1777,151,0 25,0,2024-09-07 08:36:51:360,36898,0.4,35975,0.6,70601,0.3,95835,1.75 25,1,2024-09-07 08:36:50:562,265411,265411,0,0,123387608376,1298920676,261725,3143,543,371,391788,0 25,2,2024-09-07 08:36:51:631,186696,186696,0,0,9684696,0,3978 25,3,2024-09-07 08:36:50:999,1,151,2,0,158,1709,151,0 26,0,2024-09-07 08:36:51:722,36047,0.4,35240,0.5,73972,0.3,96651,1.75 26,1,2024-09-07 08:36:51:544,265571,265571,0,0,123566578176,1301260480,260911,3759,901,381,391564,0 26,2,2024-09-07 08:36:50:862,189579,189579,0,0,8712538,0,2809 26,3,2024-09-07 08:36:51:723,1,151,1,0,796,2158,151,0 27,0,2024-09-07 08:36:51:725,42010,0.5,42095,0.6,83396,0.5,112085,1.75 27,1,2024-09-07 08:36:51:683,266812,266812,0,0,124386332163,1293948570,264993,1496,323,381,391539,0 27,2,2024-09-07 08:36:50:867,191331,191331,0,0,9585953,0,3409 27,3,2024-09-07 08:36:51:015,1,151,0,0,564,1917,151,0 28,0,2024-09-07 08:36:51:413,36704,0.9,37013,0.9,74402,1.2,99052,2.50 28,1,2024-09-07 08:36:50:802,265793,265793,0,0,124864990109,1304333423,263631,1826,336,383,391646,0 28,2,2024-09-07 08:36:51:769,193260,193260,0,0,7712767,0,2915 28,3,2024-09-07 08:36:51:781,1,151,0,0,502,1993,151,0 29,0,2024-09-07 08:36:51:388,37499,0.4,36714,0.6,71749,0.3,97896,1.75 29,1,2024-09-07 08:36:51:568,266782,266782,0,0,124081389091,1288986169,264355,1884,543,369,391621,0 29,2,2024-09-07 08:36:50:862,190841,190841,0,0,7498819,0,4018 29,3,2024-09-07 08:36:50:963,1,151,1,0,105,1203,151,0 30,0,2024-09-07 08:36:51:468,35147,0.5,34166,0.7,71570,0.5,93683,2.00 30,1,2024-09-07 08:36:50:573,266464,266464,0,0,124637001508,1297288014,264477,1709,278,382,391672,0 30,2,2024-09-07 08:36:51:274,189396,189396,0,0,7479823,0,3161 30,3,2024-09-07 08:36:50:591,1,151,1,0,195,1165,151,0 31,0,2024-09-07 08:36:51:778,39622,0.6,39919,0.7,80609,0.6,107393,2.00 31,1,2024-09-07 08:36:50:579,266978,266978,0,0,124629903707,1279467636,266178,688,112,356,391553,0 31,2,2024-09-07 08:36:51:275,189483,189483,0,0,9253469,0,3525 31,3,2024-09-07 08:36:51:708,1,151,2,0,220,1187,151,0 32,0,2024-09-07 08:36:51:442,39665,0.6,40100,0.7,80149,0.5,106167,1.75 32,1,2024-09-07 08:36:50:816,266240,266240,0,0,124677848370,1297336969,264498,1467,275,382,391595,0 32,2,2024-09-07 08:36:50:938,193923,193923,0,0,7649092,0,3155 32,3,2024-09-07 08:36:51:015,1,151,1,0,227,1447,151,0 33,0,2024-09-07 08:36:51:511,37049,0.3,36447,0.5,73598,0.3,97760,1.75 33,1,2024-09-07 08:36:50:582,266808,266808,0,0,124721417801,1294528662,264550,2086,172,369,391730,0 33,2,2024-09-07 08:36:50:766,192417,192384,33,0,9468471,0,7012 33,3,2024-09-07 08:36:50:895,1,151,2,0,329,1835,151,0 34,0,2024-09-07 08:36:50:939,35637,0.3,36775,0.4,70476,0.2,94660,1.50 34,1,2024-09-07 08:36:51:044,266876,266876,0,0,125438339691,1294171175,265881,989,6,367,391562,0 34,2,2024-09-07 08:36:50:766,186453,186453,0,0,8376276,0,3577 34,3,2024-09-07 08:36:51:698,1,151,64,0,148,1039,151,0 35,0,2024-09-07 08:36:50:858,37521,0.4,37859,0.6,75618,0.4,101305,1.75 35,1,2024-09-07 08:36:51:067,265970,265970,0,0,123916301229,1290870371,263171,2029,770,384,391589,0 35,2,2024-09-07 08:36:51:586,188670,188670,0,0,7710379,0,2653 35,3,2024-09-07 08:36:50:912,1,151,30,0,418,1957,151,0 36,0,2024-09-07 08:36:51:528,42154,1.1,41965,1.0,84587,1.3,111832,2.50 36,1,2024-09-07 08:36:50:593,265195,265195,0,0,124182909286,1305071286,260576,3331,1288,366,391759,0 36,2,2024-09-07 08:36:51:759,194427,194427,0,0,9185716,0,3303 36,3,2024-09-07 08:36:50:864,1,151,1,0,378,2134,151,0 37,0,2024-09-07 08:36:51:403,35572,0.5,35683,0.7,71073,0.5,95956,2.00 37,1,2024-09-07 08:36:50:572,264837,264830,0,7,124195304751,1310505382,260057,2754,2019,365,391560,0 37,2,2024-09-07 08:36:51:142,192586,192571,15,0,9165724,0,5815 37,3,2024-09-07 08:36:51:770,1,151,1,0,724,2445,151,0 38,0,2024-09-07 08:36:51:446,35270,0.4,34180,0.6,71362,0.3,93953,2.00 38,1,2024-09-07 08:36:51:605,265896,265896,0,0,124345159026,1304154711,261859,3405,632,368,391821,0 38,2,2024-09-07 08:36:50:760,187026,186979,47,0,10771327,0,6710 38,3,2024-09-07 08:36:50:998,1,151,1,0,603,2104,151,0 39,0,2024-09-07 08:36:51:771,38070,0.6,37254,0.7,72749,0.6,99851,2.00 39,1,2024-09-07 08:36:50:721,265591,265591,0,0,124237605046,1300040742,261803,2987,801,365,391524,0 39,2,2024-09-07 08:36:51:418,189189,189189,0,0,7940520,0,2689 39,3,2024-09-07 08:36:50:712,1,151,1,0,276,2050,151,0 40,0,2024-09-07 08:36:51:507,41345,0.9,42129,1.2,83541,1.0,111497,3.00 40,1,2024-09-07 08:36:50:582,265792,265792,0,0,123460117595,1296429662,261380,3643,769,368,391591,0 40,2,2024-09-07 08:36:51:303,193025,193024,1,0,9918580,0,5137 40,3,2024-09-07 08:36:51:153,1,151,1,0,181,1516,151,0 41,0,2024-09-07 08:36:51:025,35839,2.3,36508,1.8,70115,3.6,96398,4.25 41,1,2024-09-07 08:36:50:770,265176,265176,0,0,124085099716,1302395363,260986,3658,532,370,391742,0 41,2,2024-09-07 08:36:50:759,191375,191375,0,0,9742051,0,3356 41,3,2024-09-07 08:36:51:689,1,151,11,0,366,1942,151,0 42,0,2024-09-07 08:36:51:476,35936,0.5,36344,0.8,72462,0.4,95675,2.25 42,1,2024-09-07 08:36:51:439,264346,264346,0,0,123742642359,1304617827,259649,3739,958,380,391675,0 42,2,2024-09-07 08:36:51:136,188358,188358,0,0,9400254,0,3568 42,3,2024-09-07 08:36:51:009,1,151,1,0,446,1398,151,0 43,0,2024-09-07 08:36:50:923,35799,0.7,35027,0.9,72684,0.6,95957,2.00 43,1,2024-09-07 08:36:50:582,265526,265526,0,0,124629993081,1302090023,262284,2449,793,366,391604,0 43,2,2024-09-07 08:36:51:742,189761,189761,0,0,8781368,0,3812 43,3,2024-09-07 08:36:51:749,1,151,2,0,325,2111,151,0 44,0,2024-09-07 08:36:50:883,41491,0.5,41650,0.7,82971,0.5,111330,2.00 44,1,2024-09-07 08:36:50:563,265699,265699,0,0,123652659661,1281108892,263641,1633,425,356,391809,0 44,2,2024-09-07 08:36:51:266,190253,190253,0,0,7430926,0,1877 44,3,2024-09-07 08:36:51:105,1,151,29,0,817,2055,151,0 45,0,2024-09-07 08:36:51:758,37543,1.5,36795,1.2,77341,2.1,101940,2.25 45,1,2024-09-07 08:36:51:010,266011,266011,0,0,124318591259,1290952768,264972,1029,10,382,391917,0 45,2,2024-09-07 08:36:51:268,193844,193844,0,0,8111413,0,3596 45,3,2024-09-07 08:36:50:935,1,151,1,0,226,1415,151,0 46,0,2024-09-07 08:36:50:962,36629,0.4,36484,0.6,73263,0.3,96949,1.75 46,1,2024-09-07 08:36:50:581,266453,266453,0,0,124282577657,1288047575,264645,1585,223,366,391524,0 46,2,2024-09-07 08:36:50:598,192460,192460,0,0,8039122,0,2920 46,3,2024-09-07 08:36:51:136,1,151,1,0,908,2897,151,0 47,0,2024-09-07 08:36:51:115,35551,0.3,35779,0.5,71777,0.2,94071,1.75 47,1,2024-09-07 08:36:50:573,266516,266516,0,0,124029456821,1282960998,265114,1385,17,366,391605,0 47,2,2024-09-07 08:36:50:912,189004,189004,0,0,8107093,0,2558 47,3,2024-09-07 08:36:51:116,1,151,1,0,529,1779,151,0 48,0,2024-09-07 08:36:51:517,38798,0.3,38448,0.5,77037,0.2,102974,1.75 48,1,2024-09-07 08:36:51:023,266441,266441,0,0,124417075319,1295723538,264380,1880,181,384,391710,0 48,2,2024-09-07 08:36:50:698,189271,189271,0,0,6965509,0,2083 48,3,2024-09-07 08:36:50:755,1,151,15,0,339,1426,151,0 49,0,2024-09-07 08:36:51:726,41989,0.6,41162,0.7,80004,0.7,108960,1.75 49,1,2024-09-07 08:36:51:021,265121,265121,0,0,124033794025,1296508418,262386,1895,840,382,391583,0 49,2,2024-09-07 08:36:51:809,194948,194948,0,0,8120544,0,3900 49,3,2024-09-07 08:36:51:416,1,151,22,0,408,2303,151,0 50,0,2024-09-07 08:36:51:527,36443,0.3,36102,0.5,72348,0.2,96907,1.75 50,1,2024-09-07 08:36:51:010,267218,267218,0,0,124688944985,1295934734,264694,2215,309,368,391530,0 50,2,2024-09-07 08:36:51:067,192810,192810,0,0,7679389,0,2253 50,3,2024-09-07 08:36:51:291,1,151,23,0,335,1325,151,0 51,0,2024-09-07 08:36:51:689,36217,0.3,35708,0.4,69590,0.2,94873,1.50 51,1,2024-09-07 08:36:51:683,265862,265862,0,0,125596158564,1301335980,263893,1183,786,367,391637,0 51,2,2024-09-07 08:36:51:318,186656,186656,0,0,7188105,0,3337 51,3,2024-09-07 08:36:51:029,1,151,0,0,162,889,151,0 52,0,2024-09-07 08:36:51:453,37650,0.5,37640,0.7,75399,0.5,99836,2.25 52,1,2024-09-07 08:36:50:581,264905,264905,0,0,123873641733,1309031121,259234,4516,1155,368,391722,0 52,2,2024-09-07 08:36:51:757,187431,187393,38,0,9703397,0,6742 52,3,2024-09-07 08:36:50:677,1,151,1,0,1782,3501,151,0 53,0,2024-09-07 08:36:51:756,41658,1.0,40472,1.0,84586,1.2,111699,2.75 53,1,2024-09-07 08:36:50:824,264588,264588,0,0,124101049474,1313540622,258960,3734,1894,367,391702,0 53,2,2024-09-07 08:36:51:309,195067,195067,0,0,8134937,0,2262 53,3,2024-09-07 08:36:50:697,1,151,3,0,271,1517,151,0 54,0,2024-09-07 08:36:51:624,35494,2.4,35943,1.4,71151,1.3,95789,4.25 54,1,2024-09-07 08:36:50:584,265311,265311,0,0,124375263110,1302025931,261341,3399,571,367,391532,0 54,2,2024-09-07 08:36:50:866,192339,192333,6,0,9729594,0,5382 54,3,2024-09-07 08:36:50:764,1,151,1,0,676,3157,151,0 55,0,2024-09-07 08:36:51:761,34578,0.5,35585,0.7,72348,0.4,93707,2.25 55,1,2024-09-07 08:36:50:765,264751,264751,0,0,123717899393,1299213553,259564,4400,787,365,391731,0 55,2,2024-09-07 08:36:50:728,187154,187154,0,0,9259031,0,3275 55,3,2024-09-07 08:36:50:675,1,151,20,0,304,1679,151,0 56,0,2024-09-07 08:36:51:584,37266,1.2,35253,1.1,72245,1.7,96941,2.50 56,1,2024-09-07 08:36:50:606,265079,265079,0,0,124183460710,1317594401,259952,4224,903,381,391678,0 56,2,2024-09-07 08:36:51:308,189062,189062,0,0,9846275,0,3567 56,3,2024-09-07 08:36:51:059,1,151,0,0,405,2199,151,0 57,0,2024-09-07 08:36:50:968,40863,2.5,40950,1.5,82011,3.8,110189,4.00 57,1,2024-09-07 08:36:50:996,265232,265232,0,0,124113866321,1301223016,262414,2648,170,368,391960,0 57,2,2024-09-07 08:36:51:331,192964,192964,0,0,10124421,0,3178 57,3,2024-09-07 08:36:51:742,1,151,1,0,359,2668,151,0 58,0,2024-09-07 08:36:50:565,35781,1.6,34927,1.3,73142,2.6,95661,3.00 58,1,2024-09-07 08:36:50:581,266263,266260,0,3,124547532694,1303323488,262745,3118,397,367,391516,3 58,2,2024-09-07 08:36:51:070,192645,192645,0,0,9199636,0,2549 58,3,2024-09-07 08:36:51:099,1,151,4,0,219,1248,151,0 59,0,2024-09-07 08:36:51:750,36720,0.8,36446,1.0,72755,0.8,96697,3.00 59,1,2024-09-07 08:36:50:816,265394,265394,0,0,123623353493,1296377124,261747,2725,922,369,391515,0 59,2,2024-09-07 08:36:50:602,191319,191319,0,0,8028253,0,2604 59,3,2024-09-07 08:36:51:742,1,151,5,0,1015,2866,151,0 60,0,2024-09-07 08:36:51:711,35495,0.4,35625,0.6,70700,0.3,93785,1.75 60,1,2024-09-07 08:36:50:788,266683,266683,0,0,124687911839,1294800557,265226,1099,358,370,391761,0 60,2,2024-09-07 08:36:51:141,188297,188297,0,0,9285050,0,3811 60,3,2024-09-07 08:36:51:258,1,151,1,0,124,1545,151,0 61,0,2024-09-07 08:36:51:524,39874,1.5,40088,1.1,80020,2.2,107439,2.25 61,1,2024-09-07 08:36:50:778,265402,265402,0,0,124476955668,1308319549,261968,2882,552,382,391589,0 61,2,2024-09-07 08:36:51:123,190316,190316,0,0,8216120,0,2079 61,3,2024-09-07 08:36:51:690,1,151,3,0,199,1896,151,0 62,0,2024-09-07 08:36:51:720,39969,1.6,40730,1.1,78111,2.7,105898,2.50 62,1,2024-09-07 08:36:51:111,267409,267403,0,6,125238206237,1294234077,265725,1639,39,365,391715,6 62,2,2024-09-07 08:36:51:662,192617,192616,1,0,9813716,0,5555 62,3,2024-09-07 08:36:51:143,1,151,2,0,287,1162,151,0 63,0,2024-09-07 08:36:51:455,36584,0.6,36560,0.7,73288,0.5,97454,2.00 63,1,2024-09-07 08:36:50:815,266689,266683,0,6,124662025141,1293430033,265443,1214,26,381,391677,6 63,2,2024-09-07 08:36:50:769,191993,191993,0,0,7578737,0,2674 63,3,2024-09-07 08:36:51:741,1,151,3,0,667,2375,151,0 64,0,2024-09-07 08:36:51:527,35452,0.4,35409,0.6,71101,0.3,94446,1.75 64,1,2024-09-07 08:36:50:783,266188,266188,0,0,124377622803,1303657262,262725,2410,1053,371,391783,0 64,2,2024-09-07 08:36:51:142,188477,188458,19,0,8409541,0,6121 64,3,2024-09-07 08:36:51:142,1,151,1,0,265,1754,151,0 65,0,2024-09-07 08:36:51:691,37098,1.0,37637,0.9,75125,1.2,100538,2.25 65,1,2024-09-07 08:36:50:873,265274,265274,0,0,124596857213,1306585126,262769,2283,222,382,391770,0 65,2,2024-09-07 08:36:51:699,188944,188944,0,0,8854226,0,3367 65,3,2024-09-07 08:36:51:687,1,151,2,0,163,1578,151,0 66,0,2024-09-07 08:36:51:803,41689,0.8,41726,0.9,83870,0.8,111114,2.50 66,1,2024-09-07 08:36:51:293,266600,266600,0,0,124595089548,1297892669,264725,1704,171,380,391588,0 66,2,2024-09-07 08:36:51:132,196166,196166,0,0,8291814,0,4956 66,3,2024-09-07 08:36:51:086,1,151,0,0,291,1685,151,0 67,0,2024-09-07 08:36:51:424,36113,0.9,35855,1.0,71981,1.1,96059,2.50 67,1,2024-09-07 08:36:50:771,265293,265292,0,1,123836232193,1298903653,261826,2720,746,381,391787,1 67,2,2024-09-07 08:36:50:589,193393,193393,0,0,7841765,0,2889 67,3,2024-09-07 08:36:51:767,1,151,3,0,138,1263,151,0 68,0,2024-09-07 08:36:50:719,35592,0.5,35421,0.7,70847,0.4,94169,2.00 68,1,2024-09-07 08:36:50:578,264557,264557,0,0,123609783977,1299983377,261713,1826,1018,381,391953,0 68,2,2024-09-07 08:36:51:044,185748,185683,65,0,11036337,0,6698 68,3,2024-09-07 08:36:50:742,1,151,3,0,417,1982,151,0 69,0,2024-09-07 08:36:51:767,36965,0.8,37206,0.9,73883,0.9,99402,2.25 69,1,2024-09-07 08:36:51:019,264282,264282,0,0,123927219379,1313464148,260291,2777,1214,384,391611,0 69,2,2024-09-07 08:36:51:733,189398,189398,0,0,9565279,0,3701 69,3,2024-09-07 08:36:50:770,1,151,4,0,238,1934,151,0 70,0,2024-09-07 08:36:51:532,41315,2.1,41589,1.5,83466,1.5,110818,3.00 70,1,2024-09-07 08:36:50:802,265868,265868,0,0,124419924740,1296059817,263412,1994,462,366,391725,0 70,2,2024-09-07 08:36:51:328,193350,193350,0,0,8946339,0,4044 70,3,2024-09-07 08:36:50:745,1,151,1,0,854,2066,151,0 71,0,2024-09-07 08:36:51:361,35733,2.4,35850,1.8,71295,3.7,96532,3.75 71,1,2024-09-07 08:36:51:606,265482,265482,0,0,123888565307,1300095110,261373,3586,523,368,391682,0 71,2,2024-09-07 08:36:51:067,193098,193098,0,0,8924593,0,2470 71,3,2024-09-07 08:36:51:757,1,151,15,0,644,2550,151,0 72,0,2024-09-07 08:36:51:034,37705,0.5,36843,0.7,72282,0.4,97843,2.25 72,1,2024-09-07 08:36:51:123,265579,265579,0,0,124330463350,1300194709,262336,2757,486,369,391819,0 72,2,2024-09-07 08:36:51:771,188215,188215,0,0,10284900,0,2570 72,3,2024-09-07 08:36:51:772,1,151,4,0,325,2392,151,0 73,0,2024-09-07 08:36:51:121,34985,0.4,35867,0.6,73318,0.3,95515,2.00 73,1,2024-09-07 08:36:50:770,266064,266064,0,0,123912620434,1287834534,264259,1690,115,367,391627,0 73,2,2024-09-07 08:36:51:761,189507,189507,0,0,9556843,0,3482 73,3,2024-09-07 08:36:50:969,1,151,4,0,274,2513,151,0 74,0,2024-09-07 08:36:51:327,41730,0.5,42528,0.8,81163,0.5,110922,2.25 74,1,2024-09-07 08:36:50:648,265684,265684,0,0,123499614233,1291588196,262898,2165,621,382,391681,0 74,2,2024-09-07 08:36:51:012,190764,190764,0,0,9552234,0,4253 74,3,2024-09-07 08:36:51:443,1,151,5,0,522,2699,151,0 75,0,2024-09-07 08:36:51:773,38238,1.6,38035,1.3,76041,1.9,102174,3.00 75,1,2024-09-07 08:36:51:589,265303,265303,0,0,124093233472,1302369352,261918,2925,460,381,391579,0 75,2,2024-09-07 08:36:51:358,192700,192700,0,0,9124293,0,4766 75,3,2024-09-07 08:36:51:086,1,151,0,0,535,1735,151,0 76,0,2024-09-07 08:36:50:610,36506,0.4,36288,0.7,72620,0.3,97270,2.25 76,1,2024-09-07 08:36:50:815,265714,265714,0,0,124044996828,1296936176,263654,1549,511,382,391692,0 76,2,2024-09-07 08:36:51:067,192735,192735,0,0,8029994,0,3064 76,3,2024-09-07 08:36:51:142,1,151,1,0,175,1678,151,0 77,0,2024-09-07 08:36:51:700,35607,0.5,35816,0.7,71631,0.5,94053,1.75 77,1,2024-09-07 08:36:50:824,266609,266609,0,0,124435187413,1299418274,265018,1509,82,383,391808,0 77,2,2024-09-07 08:36:51:284,187697,187697,0,0,8224397,0,3890 77,3,2024-09-07 08:36:51:109,1,151,1,0,305,1951,151,0 78,0,2024-09-07 08:36:51:720,38463,0.5,38343,0.8,77281,0.5,102619,2.00 78,1,2024-09-07 08:36:50:613,265902,265902,0,0,123738156107,1289990026,263572,2077,253,367,391589,0 78,2,2024-09-07 08:36:51:405,190047,190047,0,0,7766511,0,2114 78,3,2024-09-07 08:36:51:135,1,151,1,0,181,1627,151,0 79,0,2024-09-07 08:36:51:353,39572,0.6,40457,0.8,82694,0.5,107457,2.75 79,1,2024-09-07 08:36:50:582,266429,266429,0,0,124205680077,1289589571,264242,2015,172,369,391682,0 79,2,2024-09-07 08:36:51:102,195362,195362,0,0,8035519,0,3212 79,3,2024-09-07 08:36:50:749,1,151,1,0,418,2871,151,0 80,0,2024-09-07 08:36:51:110,36288,0.6,37093,0.8,71437,0.6,96951,2.25 80,1,2024-09-07 08:36:51:622,265019,265019,0,0,123662996813,1291238773,262508,2351,160,368,391673,0 80,2,2024-09-07 08:36:51:101,193258,193258,0,0,8464055,0,4433 80,3,2024-09-07 08:36:50:593,1,151,6,0,190,2567,151,0 81,0,2024-09-07 08:36:51:567,35470,0.4,36562,0.6,70046,0.4,94517,1.75 81,1,2024-09-07 08:36:51:666,265390,265390,0,0,123342266619,1292937462,262912,2206,272,382,391879,0 81,2,2024-09-07 08:36:51:129,186321,186321,0,0,8857910,0,3993 81,3,2024-09-07 08:36:51:122,1,151,0,0,193,1553,151,0 82,0,2024-09-07 08:36:51:538,37336,0.5,37545,0.8,75282,0.5,100019,2.00 82,1,2024-09-07 08:36:50:592,266017,266013,0,4,124416206788,1300398575,263573,1864,576,382,391558,4 82,2,2024-09-07 08:36:51:691,189773,189773,0,0,8157457,0,3986 82,3,2024-09-07 08:36:51:754,1,151,1,0,227,1818,151,0 83,0,2024-09-07 08:36:51:540,42044,0.8,41931,0.9,83200,0.9,111961,2.25 83,1,2024-09-07 08:36:50:558,265706,265706,0,0,123771072377,1296128069,263259,2243,204,382,391690,0 83,2,2024-09-07 08:36:50:770,194272,194272,0,0,8187308,0,3119 83,3,2024-09-07 08:36:50:748,1,151,2,0,241,1871,151,0 84,0,2024-09-07 08:36:51:853,36146,1.5,35902,1.4,72329,1.2,97168,2.75 84,1,2024-09-07 08:36:51:046,264731,264731,0,0,124135592862,1303887571,261346,2931,454,368,391852,0 84,2,2024-09-07 08:36:50:582,192754,192754,0,0,9287931,0,3801 84,3,2024-09-07 08:36:51:142,1,151,5,0,236,1635,151,0 85,0,2024-09-07 08:36:51:018,34604,0.5,34545,0.7,73345,0.4,94854,2.00 85,1,2024-09-07 08:36:50:564,264452,264452,0,0,123762244663,1315238171,259047,4325,1080,382,392006,0 85,2,2024-09-07 08:36:50:867,187821,187821,0,0,9901607,0,3656 85,3,2024-09-07 08:36:50:693,1,151,11,0,789,2427,151,0 86,0,2024-09-07 08:36:50:886,36322,0.6,37309,0.7,71464,0.6,96731,2.00 86,1,2024-09-07 08:36:50:824,265186,265186,0,0,124134530023,1304282181,261239,3404,543,366,391961,0 86,2,2024-09-07 08:36:50:854,188075,188074,1,0,10296441,0,5004 86,3,2024-09-07 08:36:50:594,1,151,3,0,286,2353,151,0 87,0,2024-09-07 08:36:51:284,41567,1.7,41486,1.2,83109,2.6,112055,2.50 87,1,2024-09-07 08:36:50:563,264861,264861,0,0,124057739216,1303146969,261650,2820,391,366,391788,0 87,2,2024-09-07 08:36:51:067,191815,191815,0,0,8726638,0,3515 87,3,2024-09-07 08:36:51:797,1,151,2,0,335,2800,151,0 88,0,2024-09-07 08:36:51:484,37134,0.7,37266,0.8,74313,0.9,99113,2.00 88,1,2024-09-07 08:36:50:595,264497,264497,0,0,123670665979,1301393833,260477,2853,1167,365,391787,0 88,2,2024-09-07 08:36:50:693,192717,192717,0,0,9802331,0,3583 88,3,2024-09-07 08:36:51:279,1,151,1,0,435,2059,151,0 89,0,2024-09-07 08:36:51:859,37782,0.4,36632,0.7,72453,0.4,98213,1.75 89,1,2024-09-07 08:36:50:571,264202,264202,0,0,124082602251,1316114951,258774,4493,935,382,391866,0 89,2,2024-09-07 08:36:51:132,190282,190282,0,0,9571731,0,2910 89,3,2024-09-07 08:36:51:806,1,151,12,0,325,3109,151,0 90,0,2024-09-07 08:36:51:615,34299,0.4,35090,0.5,72040,0.3,93515,1.75 90,1,2024-09-07 08:36:50:612,265903,265903,0,0,123767632017,1302246626,262685,2961,257,382,391825,0 90,2,2024-09-07 08:36:51:414,187643,187643,0,0,10278191,0,3060 90,3,2024-09-07 08:36:50:937,1,151,4,0,200,1838,151,0 91,0,2024-09-07 08:36:50:956,40480,0.5,39057,0.6,81275,0.5,107870,1.75 91,1,2024-09-07 08:36:50:559,264948,264948,0,0,124042997299,1305870324,261216,3237,495,381,392047,0 91,2,2024-09-07 08:36:51:330,188674,188674,0,0,9695472,0,2445 91,3,2024-09-07 08:36:50:613,1,151,2,0,216,1856,151,0 92,0,2024-09-07 08:36:51:447,40226,0.9,41179,0.9,78792,1.0,106284,2.00 92,1,2024-09-07 08:36:50:590,265595,265595,0,0,123901902886,1297079965,263439,1756,400,382,391717,0 92,2,2024-09-07 08:36:51:350,195574,195574,0,0,8312597,0,2801 92,3,2024-09-07 08:36:51:009,1,151,3,0,68,1307,151,0 93,0,2024-09-07 08:36:50:966,36957,0.4,37719,0.6,72254,0.4,97941,1.75 93,1,2024-09-07 08:36:50:850,265479,265479,0,0,124449610144,1303060737,262381,2549,549,366,391692,0 93,2,2024-09-07 08:36:50:929,192450,192450,0,0,8176482,0,2509 93,3,2024-09-07 08:36:51:416,1,151,2,0,143,1657,151,0 94,0,2024-09-07 08:36:51:605,35685,0.3,35926,0.5,71207,0.2,94433,1.50 94,1,2024-09-07 08:36:50:564,265622,265622,0,0,123967665995,1299748248,263184,2344,94,381,391850,0 94,2,2024-09-07 08:36:50:770,187108,187108,0,0,8006355,0,2443 94,3,2024-09-07 08:36:51:687,1,151,2,0,264,2371,151,0 95,0,2024-09-07 08:36:51:353,37834,0.4,37796,0.5,76104,0.3,101128,1.75 95,1,2024-09-07 08:36:50:865,266104,266104,0,0,124420528869,1297184581,263738,2185,181,367,391662,0 95,2,2024-09-07 08:36:51:016,189220,189220,0,0,8320930,0,3308 95,3,2024-09-07 08:36:51:709,1,151,2,0,718,3006,151,0 96,0,2024-09-07 08:36:51:052,42202,0.6,42249,0.7,84822,0.6,111756,1.75 96,1,2024-09-07 08:36:51:586,264955,264955,0,0,124039560513,1300844279,262359,1954,642,385,391894,0 96,2,2024-09-07 08:36:51:281,194709,194709,0,0,9002451,0,4042 96,3,2024-09-07 08:36:51:144,1,151,1,0,188,1784,151,0 97,0,2024-09-07 08:36:51:319,36173,0.5,36067,0.6,72245,0.4,96169,1.75 97,1,2024-09-07 08:36:50:799,265731,265731,0,0,125032791891,1303428664,262951,2232,548,367,392140,0 97,2,2024-09-07 08:36:50:607,192629,192629,0,0,8064629,0,3036 97,3,2024-09-07 08:36:50:584,1,151,13,0,165,1831,151,0 98,0,2024-09-07 08:36:51:704,35607,0.2,35372,0.4,71146,0.2,94457,1.50 98,1,2024-09-07 08:36:50:599,265826,265826,0,0,124382219191,1299357739,264016,1722,88,382,391997,0 98,2,2024-09-07 08:36:50:773,187818,187818,0,0,8409394,0,3080 98,3,2024-09-07 08:36:50:698,1,151,2,0,840,3023,151,0 99,0,2024-09-07 08:36:51:489,37194,0.3,37145,0.4,74334,0.2,99546,1.50 99,1,2024-09-07 08:36:51:722,265784,265784,0,0,123723784815,1295535658,262728,2360,696,381,391744,0 99,2,2024-09-07 08:36:51:417,191143,191143,0,0,8166350,0,2615 99,3,2024-09-07 08:36:50:583,1,151,2,0,129,1104,151,0 100,0,2024-09-07 08:36:51:467,41788,1.3,41785,1.4,83470,2.3,111581,2.50 100,1,2024-09-07 08:36:50:562,263597,263597,0,0,123159640816,1310652459,258372,4294,931,381,391989,0 100,2,2024-09-07 08:36:51:838,192407,192396,11,0,9582182,0,5417 100,3,2024-09-07 08:36:51:734,1,151,1,0,559,3496,151,0 101,0,2024-09-07 08:36:51:738,36896,3.3,35915,1.8,70561,4.1,97800,3.25 101,1,2024-09-07 08:36:50:571,264205,264205,0,0,123559329401,1311330016,258852,3876,1477,368,391769,0 101,2,2024-09-07 08:36:51:765,192217,192217,0,0,10455258,0,4644 101,3,2024-09-07 08:36:50:950,1,151,3,0,448,2013,151,0 102,0,2024-09-07 08:36:50:953,35628,0.6,37036,0.8,74193,0.5,97195,2.00 102,1,2024-09-07 08:36:51:161,264783,264783,0,0,123523189208,1304157187,260715,3357,711,369,391883,0 102,2,2024-09-07 08:36:51:751,189810,189756,54,0,9907951,0,6768 102,3,2024-09-07 08:36:51:615,1,151,3,0,410,1663,151,0 103,0,2024-09-07 08:36:51:595,36938,0.4,36922,0.6,69649,0.4,96006,1.75 103,1,2024-09-07 08:36:51:625,264006,264006,0,0,123718181733,1309107845,259571,3227,1208,381,391829,0 103,2,2024-09-07 08:36:50:586,188588,188588,0,0,8860556,0,2104 103,3,2024-09-07 08:36:50:755,1,151,0,0,916,2886,151,0 104,0,2024-09-07 08:36:51:010,41090,1.6,41211,1.3,81217,2.3,110958,2.50 104,1,2024-09-07 08:36:51:606,265948,265948,0,0,123496103460,1305989322,260953,4033,962,365,391948,0 104,2,2024-09-07 08:36:51:670,189802,189802,0,0,9258337,0,3941 104,3,2024-09-07 08:36:51:416,1,151,5,1,1245,5037,151,0 105,0,2024-09-07 08:36:51:058,37702,2.0,36683,1.5,76431,3.0,101152,4.00 105,1,2024-09-07 08:36:50:589,266055,266055,0,0,123952820402,1304154835,261856,3425,774,367,391797,0 105,2,2024-09-07 08:36:51:328,192619,192619,0,0,8997843,0,3509 105,3,2024-09-07 08:36:51:315,1,151,11,1,399,2263,151,0 106,0,2024-09-07 08:36:50:955,35523,0.7,36413,0.9,74207,0.7,97088,2.25 106,1,2024-09-07 08:36:51:751,265115,265115,0,0,124274980221,1306328435,261353,3378,384,369,391767,0 106,2,2024-09-07 08:36:50:756,191025,191025,0,0,9165786,0,2795 106,3,2024-09-07 08:36:50:678,1,151,4,0,405,2318,151,0 107,0,2024-09-07 08:36:51:125,35691,0.4,35677,0.6,70942,0.3,94306,1.75 107,1,2024-09-07 08:36:50:596,264708,264708,0,0,123477289719,1300192674,261735,2743,230,381,392234,0 107,2,2024-09-07 08:36:51:291,187568,187567,1,0,9145072,0,5024 107,3,2024-09-07 08:36:51:771,1,151,0,0,353,1864,151,0 108,0,2024-09-07 08:36:51:783,38640,0.4,38867,0.6,76963,0.4,103070,1.75 108,1,2024-09-07 08:36:51:303,265186,265186,0,0,124419078537,1301611322,262534,2342,310,368,391857,0 108,2,2024-09-07 08:36:51:760,188304,188304,0,0,8755019,0,2647 108,3,2024-09-07 08:36:51:334,1,151,9,0,667,3213,151,0 109,0,2024-09-07 08:36:51:794,41058,0.5,40868,0.7,81516,0.5,109143,1.75 109,1,2024-09-07 08:36:50:597,264781,264781,0,0,124123189721,1305955801,262007,2278,496,383,392132,0 109,2,2024-09-07 08:36:50:935,193915,193915,0,0,9099816,0,3617 109,3,2024-09-07 08:36:51:148,1,151,0,0,249,2205,151,0 110,0,2024-09-07 08:36:51:768,36571,0.4,35494,0.7,74162,0.4,97274,1.75 110,1,2024-09-07 08:36:51:667,265931,265931,0,0,124744086532,1301121221,263318,1848,765,370,391667,0 110,2,2024-09-07 08:36:51:308,193200,193200,0,0,8062201,0,2915 110,3,2024-09-07 08:36:50:690,1,151,1,0,406,2229,151,0 111,0,2024-09-07 08:36:51:424,35713,0.2,35184,0.4,70414,0.1,94772,1.50 111,1,2024-09-07 08:36:50:999,266327,266327,0,0,125050993394,1300315848,264553,1442,332,382,391690,0 111,2,2024-09-07 08:36:51:117,186667,186667,0,0,8810621,0,2763 111,3,2024-09-07 08:36:50:913,1,151,4,0,379,2521,151,0 112,0,2024-09-07 08:36:50:921,37648,0.3,37586,0.5,75243,0.2,100247,1.50 112,1,2024-09-07 08:36:50:830,266499,266499,0,0,124630073151,1298664513,264174,1900,425,381,391580,0 112,2,2024-09-07 08:36:51:136,189040,189039,1,0,8861739,0,5036 112,3,2024-09-07 08:36:50:594,1,151,10,0,282,1849,151,0 113,0,2024-09-07 08:36:50:871,41725,0.4,41595,0.6,83997,0.4,112838,1.75 113,1,2024-09-07 08:36:51:699,266347,266347,0,0,124822438562,1295414505,263934,1925,488,366,391661,0 113,2,2024-09-07 08:36:51:302,195755,195755,0,0,7819358,0,3813 113,3,2024-09-07 08:36:50:690,1,151,1,0,288,2361,151,0 114,0,2024-09-07 08:36:50:875,36996,0.7,37567,0.7,73532,0.5,98779,2.00 114,1,2024-09-07 08:36:50:715,265943,265943,0,0,123734315628,1299484208,261815,2665,1463,381,391534,0 114,2,2024-09-07 08:36:50:875,192858,192858,0,0,8076691,0,3925 114,3,2024-09-07 08:36:51:282,1,151,2,0,395,1962,151,0 115,0,2024-09-07 08:36:50:597,35813,0.2,36081,0.4,72252,0.2,95622,1.50 115,1,2024-09-07 08:36:50:580,266074,266074,0,0,124822357092,1305082434,262434,2819,821,382,391602,0 115,2,2024-09-07 08:36:51:124,188806,188806,0,0,7547403,0,2152 115,3,2024-09-07 08:36:51:001,1,151,2,0,159,1059,151,0 116,0,2024-09-07 08:36:51:737,36543,0.6,35989,0.8,72459,0.6,96701,2.00 116,1,2024-09-07 08:36:50:815,263886,263886,0,0,123432244481,1316350668,258985,3148,1753,382,391677,0 116,2,2024-09-07 08:36:51:751,188233,188233,0,0,10518301,0,3529 116,3,2024-09-07 08:36:50:912,1,151,1,0,252,2271,151,0 117,0,2024-09-07 08:36:50:971,41513,1.5,41484,1.1,82962,2.4,111953,2.25 117,1,2024-09-07 08:36:51:581,265354,265354,0,0,124341693744,1307375985,261687,3240,427,370,392033,0 117,2,2024-09-07 08:36:51:140,193053,193053,0,0,8414624,0,3700 117,3,2024-09-07 08:36:51:060,1,151,51,0,490,3258,151,0 118,0,2024-09-07 08:36:51:766,36121,1.1,37187,1.0,75556,1.3,98400,2.25 118,1,2024-09-07 08:36:50:592,264798,264798,0,0,123452803691,1307236647,259971,3507,1320,368,391736,0 118,2,2024-09-07 08:36:51:586,192282,192282,0,0,9514682,0,2781 118,3,2024-09-07 08:36:51:770,1,151,3,0,235,2032,151,0 119,0,2024-09-07 08:36:51:354,36319,0.7,36475,0.8,73876,0.7,97225,2.00 119,1,2024-09-07 08:36:50:575,265459,265459,0,0,124401987902,1301378276,262986,2186,287,369,391641,0 119,2,2024-09-07 08:36:51:261,190037,190037,0,0,8896508,0,3526 119,3,2024-09-07 08:36:51:334,1,151,1,0,443,2827,151,0 120,0,2024-09-07 08:36:51:585,35065,0.5,35175,0.7,70196,0.4,93676,2.00 120,1,2024-09-07 08:36:50:864,265716,265716,0,0,123384455466,1297735595,262614,2843,259,368,391961,0 120,2,2024-09-07 08:36:50:771,187987,187986,1,0,10755919,0,5281 120,3,2024-09-07 08:36:51:289,1,151,0,0,241,2165,151,0 121,0,2024-09-07 08:36:51:703,39732,1.7,40202,1.2,79946,2.6,107472,2.25 121,1,2024-09-07 08:36:51:661,265533,265533,0,0,123768333134,1296960167,262804,2441,288,367,391840,0 121,2,2024-09-07 08:36:51:133,188672,188672,0,0,9729915,0,4127 121,3,2024-09-07 08:36:50:727,1,151,4,0,269,2152,151,0 122,0,2024-09-07 08:36:51:800,39588,1.3,38576,1.2,80790,1.5,106343,2.25 122,1,2024-09-07 08:36:50:863,264513,264513,0,0,123973581937,1305930188,260380,3427,706,366,392130,0 122,2,2024-09-07 08:36:51:320,194049,194049,0,0,10858642,0,3364 122,3,2024-09-07 08:36:50:599,1,151,7,0,411,3705,151,0 123,0,2024-09-07 08:36:50:953,36676,0.8,35741,0.8,74880,1.0,97460,2.25 123,1,2024-09-07 08:36:50:570,265677,265677,0,0,123834927944,1307759745,260605,4378,694,369,391823,0 123,2,2024-09-07 08:36:51:019,191019,191018,1,0,9359092,0,5215 123,3,2024-09-07 08:36:51:138,1,151,13,0,168,1944,151,0 124,0,2024-09-07 08:36:50:923,36687,0.3,36644,0.5,69208,0.2,94741,1.50 124,1,2024-09-07 08:36:51:026,265674,265674,0,0,124039483787,1291745339,263590,1728,356,367,392178,0 124,2,2024-09-07 08:36:51:012,187638,187638,0,0,8055456,0,3101 124,3,2024-09-07 08:36:50:757,1,151,5,0,490,2223,151,0 125,0,2024-09-07 08:36:51:449,37907,0.4,37921,0.6,75985,0.4,101371,1.75 125,1,2024-09-07 08:36:50:869,265825,265825,0,0,124528517413,1303430779,263464,2086,275,384,391702,0 125,2,2024-09-07 08:36:51:118,189715,189715,0,0,8030043,0,2180 125,3,2024-09-07 08:36:51:133,1,151,0,0,284,2018,151,0 126,0,2024-09-07 08:36:51:438,42278,0.8,43396,0.8,82887,0.9,112478,2.00 126,1,2024-09-07 08:36:50:559,266072,266072,0,0,124664237124,1295135364,264443,1551,78,365,391987,0 126,2,2024-09-07 08:36:50:625,195441,195441,0,0,8427280,0,3186 126,3,2024-09-07 08:36:50:912,1,151,2,0,150,2252,151,0 127,0,2024-09-07 08:36:51:659,35892,0.4,36230,0.6,72106,0.4,96117,1.75 127,1,2024-09-07 08:36:50:572,265550,265550,0,0,124224576651,1290116966,263490,2019,41,365,391816,0 127,2,2024-09-07 08:36:50:638,193041,193041,0,0,7908782,0,2264 127,3,2024-09-07 08:36:51:267,1,151,2,0,243,1395,151,0 128,0,2024-09-07 08:36:51:533,35714,0.3,35508,0.4,71016,0.2,94512,1.50 128,1,2024-09-07 08:36:51:618,266136,266136,0,0,124447458263,1291112826,265164,900,72,367,391680,0 128,2,2024-09-07 08:36:51:387,186800,186800,0,0,7910757,0,2107 128,3,2024-09-07 08:36:50:769,1,151,1,0,333,2258,151,0 129,0,2024-09-07 08:36:51:016,37386,0.3,37226,0.5,74261,0.2,99371,1.50 129,1,2024-09-07 08:36:50:597,264983,264983,0,0,123628076021,1298541773,262060,2531,392,379,391835,0 129,2,2024-09-07 08:36:50:693,189598,189598,0,0,8306729,0,4031 129,3,2024-09-07 08:36:50:692,1,151,4,0,173,1883,151,0 130,0,2024-09-07 08:36:51:753,42234,0.8,41927,0.8,84286,1.0,112632,2.00 130,1,2024-09-07 08:36:50:689,265808,265808,0,0,123958340666,1294981403,263705,2032,71,381,391825,0 130,2,2024-09-07 08:36:51:127,193946,193946,0,0,8193237,0,4067 130,3,2024-09-07 08:36:51:292,1,151,5,0,450,1932,151,0 131,0,2024-09-07 08:36:51:959,36485,0.6,36696,0.7,74193,0.8,98209,1.75 131,1,2024-09-07 08:36:51:829,266895,266895,0,0,124107736318,1294004081,265431,1258,206,383,391865,0 131,2,2024-09-07 08:36:50:574,193269,193269,0,0,7769749,0,2415 131,3,2024-09-07 08:36:51:698,1,151,3,0,392,1796,151,0 132,0,2024-09-07 08:36:51:418,36242,0.5,36682,0.7,73472,0.4,97590,2.00 132,1,2024-09-07 08:36:50:577,264291,264291,0,0,123649423212,1312426329,258890,4371,1030,381,391760,0 132,2,2024-09-07 08:36:50:702,188892,188892,0,0,10664519,0,4606 132,3,2024-09-07 08:36:51:698,1,151,3,0,356,2832,151,0 133,0,2024-09-07 08:36:51:535,34994,0.4,35706,0.6,73393,0.3,95163,1.75 133,1,2024-09-07 08:36:50:612,263920,263920,0,0,123857722232,1311953375,259420,3862,638,383,391914,0 133,2,2024-09-07 08:36:51:087,188398,188398,0,0,10680729,0,4315 133,3,2024-09-07 08:36:51:304,1,151,1,0,187,1399,151,0 134,0,2024-09-07 08:36:50:944,41335,0.6,41126,0.8,83100,0.6,110695,2.00 134,1,2024-09-07 08:36:50:590,264512,264512,0,0,124062683247,1305517663,260156,3134,1222,366,391718,0 134,2,2024-09-07 08:36:51:769,189990,189990,0,0,9020115,0,3096 134,3,2024-09-07 08:36:50:751,1,151,0,0,739,2895,151,0 135,0,2024-09-07 08:36:51:125,37125,1.7,37044,1.4,78498,2.1,100851,2.50 135,1,2024-09-07 08:36:51:594,264408,264408,0,0,123872874697,1307888789,260222,3484,702,380,391725,0 135,2,2024-09-07 08:36:50:693,193285,193285,0,0,9775883,0,3981 135,3,2024-09-07 08:36:51:014,1,151,0,0,89,864,151,0 136,0,2024-09-07 08:36:51:631,36878,0.6,36717,0.8,73942,0.5,97982,2.00 136,1,2024-09-07 08:36:51:441,265008,265008,0,0,124373210401,1305448744,261748,3087,173,384,391641,0 136,2,2024-09-07 08:36:51:141,192801,192801,0,0,9184526,0,3506 136,3,2024-09-07 08:36:51:107,1,151,2,0,108,1288,151,0 137,0,2024-09-07 08:36:50:937,36574,0.5,35527,0.7,70074,0.4,94484,1.75 137,1,2024-09-07 08:36:50:581,265342,265342,0,0,124306540379,1303832187,261304,3619,419,367,391608,0 137,2,2024-09-07 08:36:51:711,187199,187199,0,0,10421129,0,3185 137,3,2024-09-07 08:36:50:775,1,151,4,1,227,1828,151,0 138,0,2024-09-07 08:36:51:779,38129,1.4,38233,1.2,77122,2.0,102930,2.50 138,1,2024-09-07 08:36:51:708,265428,265428,0,0,123835047769,1297337931,262391,2686,351,368,391954,0 138,2,2024-09-07 08:36:50:593,190059,190059,0,0,8513642,0,3263 138,3,2024-09-07 08:36:50:618,1,151,12,0,1160,2932,151,0 139,0,2024-09-07 08:36:51:378,39867,3.1,40050,1.8,80683,4.4,107852,3.25 139,1,2024-09-07 08:36:50:583,264378,264378,0,0,123303867216,1311001712,259320,3744,1314,381,391892,0 139,2,2024-09-07 08:36:50:694,192889,192889,0,0,9747765,0,3097 139,3,2024-09-07 08:36:51:672,1,151,11,0,244,1804,151,0 140,0,2024-09-07 08:36:51:590,36746,0.4,36409,0.6,73372,0.3,97312,1.75 140,1,2024-09-07 08:36:51:540,266500,266500,0,0,124727580510,1289186851,264985,1275,240,365,391606,0 140,2,2024-09-07 08:36:50:728,193147,193147,0,0,8424314,0,3388 140,3,2024-09-07 08:36:50:767,1,151,1,0,247,1423,151,0 141,0,2024-09-07 08:36:51:707,35271,0.2,36380,0.4,69726,0.1,94792,1.50 141,1,2024-09-07 08:36:50:863,266149,266149,0,0,124579548766,1295632267,264210,1586,353,379,391614,0 141,2,2024-09-07 08:36:51:687,187200,187200,0,0,7879769,0,2342 141,3,2024-09-07 08:36:51:061,1,151,10,0,147,1297,151,0 142,0,2024-09-07 08:36:51:319,37979,0.3,37823,0.5,74909,0.3,100398,1.50 142,1,2024-09-07 08:36:50:587,265883,265883,0,0,124058026832,1295457999,264341,1383,159,383,391728,0 142,2,2024-09-07 08:36:51:301,187875,187843,32,0,9174819,0,6028 142,3,2024-09-07 08:36:51:746,1,151,0,0,484,2138,151,0 143,0,2024-09-07 08:36:51:384,41940,0.7,41961,0.7,84445,0.8,112763,2.00 143,1,2024-09-07 08:36:50:581,265961,265961,0,0,124698415560,1297031762,263855,2064,42,367,391619,0 143,2,2024-09-07 08:36:50:777,194679,194679,0,0,8527907,0,2669 143,3,2024-09-07 08:36:51:141,1,151,3,0,303,2565,151,0 144,0,2024-09-07 08:36:51:517,35330,1.0,36379,1.6,73606,1.1,97463,2.25 144,1,2024-09-07 08:36:50:577,265095,265095,0,0,123659393078,1300376701,262704,2188,203,381,391649,0 144,2,2024-09-07 08:36:51:757,193301,193301,0,0,8302655,0,3473 144,3,2024-09-07 08:36:51:751,1,151,22,0,249,2068,151,0 145,0,2024-09-07 08:36:51:361,34684,0.5,34671,0.8,73525,0.4,95148,2.00 145,1,2024-09-07 08:36:50:566,263739,263739,0,0,123290629594,1304482668,259351,3652,736,383,391615,0 145,2,2024-09-07 08:36:51:440,187702,187702,0,0,9228821,0,3903 145,3,2024-09-07 08:36:50:898,1,151,1,0,151,1834,151,0 146,0,2024-09-07 08:36:51:606,36186,0.4,36049,0.7,72766,0.4,96296,2.00 146,1,2024-09-07 08:36:51:586,265789,265789,0,0,123772196525,1301218404,261345,3585,859,368,391629,0 146,2,2024-09-07 08:36:51:698,189795,189795,0,0,8728562,0,2498 146,3,2024-09-07 08:36:51:274,1,151,4,0,1520,5135,151,0 147,0,2024-09-07 08:36:51:716,41663,1.0,41529,1.0,82504,1.0,111703,2.50 147,1,2024-09-07 08:36:51:378,266647,266647,0,0,124212011658,1297180328,263549,2603,495,368,391791,0 147,2,2024-09-07 08:36:51:013,192722,192722,0,0,8335950,0,2789 147,3,2024-09-07 08:36:50:913,1,151,0,0,371,1973,151,0 0,0,2024-09-07 08:37:01:717,34275,0.5,34387,0.7,72631,0.4,93945,1.75 0,1,2024-09-07 08:37:00:804,267151,267151,0,0,125586031830,1316472758,265185,1830,136,372,391772,0 0,2,2024-09-07 08:37:01:081,189773,189773,0,0,8583989,0,4480 0,3,2024-09-07 08:37:00:979,1,152,4,0,247,2027,152,0 1,0,2024-09-07 08:37:01:770,40699,1.5,40332,1.3,80899,2.0,108963,2.25 1,1,2024-09-07 08:37:00:604,266616,266616,0,0,124557019355,1310847215,263181,2568,867,372,391857,0 1,2,2024-09-07 08:37:00:640,190634,190634,0,0,7812668,0,3267 1,3,2024-09-07 08:37:01:311,1,152,8,0,262,1945,152,0 2,0,2024-09-07 08:37:01:586,39763,1.2,39883,1.1,79325,1.7,105752,2.25 2,1,2024-09-07 08:37:00:864,267009,267009,0,0,125297939554,1305268701,265502,1301,206,380,391745,0 2,2,2024-09-07 08:37:01:266,194590,194590,0,0,8677326,0,3594 2,3,2024-09-07 08:37:00:699,1,152,0,0,357,1670,152,0 3,0,2024-09-07 08:37:01:745,36425,0.5,36633,0.7,73340,0.5,97027,2.00 3,1,2024-09-07 08:37:01:620,266850,266850,0,0,124279463547,1300902799,263742,2684,424,380,391516,0 3,2,2024-09-07 08:37:01:142,193495,193472,23,0,8622798,0,5851 3,3,2024-09-07 08:37:01:751,1,152,1,0,103,987,152,0 4,0,2024-09-07 08:37:01:775,34717,0.3,35692,0.5,72541,0.2,95007,1.75 4,1,2024-09-07 08:37:00:612,267226,267226,0,0,124588105000,1312104086,264262,2443,521,371,391846,0 4,2,2024-09-07 08:37:01:019,187795,187795,0,0,10125199,0,4528 4,3,2024-09-07 08:37:01:034,1,152,1,0,287,2149,152,0 5,0,2024-09-07 08:37:01:386,38298,0.5,38232,0.6,76509,0.5,102184,1.75 5,1,2024-09-07 08:37:00:784,266309,266309,0,0,124393388337,1314805900,262109,3214,986,368,392005,0 5,2,2024-09-07 08:37:01:829,189805,189805,0,0,8763164,0,2259 5,3,2024-09-07 08:37:01:733,1,152,1,0,238,2343,152,0 6,0,2024-09-07 08:37:00:969,42679,1.0,42253,1.0,83864,1.4,112525,2.50 6,1,2024-09-07 08:37:00:760,267367,267367,0,0,124967207175,1312131565,263968,2752,647,381,391617,0 6,2,2024-09-07 08:37:01:115,196450,196450,0,0,9053762,0,4816 6,3,2024-09-07 08:37:01:274,1,152,0,0,340,2275,152,0 7,0,2024-09-07 08:37:01:548,35743,0.5,35731,0.7,71757,0.5,95819,2.00 7,1,2024-09-07 08:37:00:862,267220,267220,0,0,125349393444,1314615218,264502,2587,131,382,391664,0 7,2,2024-09-07 08:37:00:781,193728,193728,0,0,8253013,0,2981 7,3,2024-09-07 08:37:00:856,1,152,2,0,305,1641,152,0 8,0,2024-09-07 08:37:01:442,35613,0.3,35773,0.4,71055,0.2,94919,1.50 8,1,2024-09-07 08:37:01:041,266709,266709,0,0,124786084339,1321602216,261108,4002,1599,367,391956,0 8,2,2024-09-07 08:37:00:804,185897,185897,0,0,9696066,0,2986 8,3,2024-09-07 08:37:00:588,1,152,8,0,357,2582,152,0 9,0,2024-09-07 08:37:01:146,37669,0.3,36536,0.5,76231,0.3,100504,1.75 9,1,2024-09-07 08:37:00:570,266505,266505,0,0,125392291963,1325567471,262012,3364,1129,370,391753,0 9,2,2024-09-07 08:37:01:116,190116,190116,0,0,9011969,0,3360 9,3,2024-09-07 08:37:01:761,1,152,1,0,496,2638,152,0 10,0,2024-09-07 08:37:01:623,42349,0.4,42122,0.6,84639,0.3,112751,1.75 10,1,2024-09-07 08:37:00:585,266892,266892,0,0,124446690945,1309124205,262421,3830,641,381,391741,0 10,2,2024-09-07 08:37:00:772,195303,195303,0,0,9345860,0,2940 10,3,2024-09-07 08:37:00:874,1,152,9,0,177,1196,152,0 11,0,2024-09-07 08:37:01:011,36100,0.9,35211,1.1,73786,1.2,98644,2.25 11,1,2024-09-07 08:37:00:577,267086,267086,0,0,124889620965,1316935685,261784,4032,1270,384,391537,0 11,2,2024-09-07 08:37:01:126,193941,193941,0,0,9061751,0,3411 11,3,2024-09-07 08:37:01:298,1,152,7,0,720,2817,152,0 12,0,2024-09-07 08:37:01:028,37118,0.3,36800,0.5,73922,0.2,98021,1.50 12,1,2024-09-07 08:37:00:947,266824,266824,0,0,124166853589,1300781212,263939,2473,412,370,391837,0 12,2,2024-09-07 08:37:01:554,190749,190749,0,0,9313818,0,3469 12,3,2024-09-07 08:37:01:069,1,152,16,0,358,2678,152,0 13,0,2024-09-07 08:37:01:339,36297,0.4,36302,0.5,72480,0.3,96723,1.75 13,1,2024-09-07 08:37:01:532,266790,266790,0,0,124530188222,1309604903,264375,2007,408,382,391717,0 13,2,2024-09-07 08:37:00:618,191332,191332,0,0,8497755,0,3287 13,3,2024-09-07 08:37:01:772,1,152,2,0,467,3148,152,0 14,0,2024-09-07 08:37:00:581,42077,0.5,42117,0.7,83552,0.4,111996,1.75 14,1,2024-09-07 08:37:01:563,268477,268477,0,0,125336488334,1303001951,266212,2102,163,364,391571,0 14,2,2024-09-07 08:37:00:773,191975,191975,0,0,8682132,0,2896 14,3,2024-09-07 08:37:01:117,1,152,1,0,1168,2886,152,0 15,0,2024-09-07 08:37:01:557,38117,1.2,38123,1.2,76585,1.6,101601,2.75 15,1,2024-09-07 08:37:01:608,267013,267013,0,0,124997002042,1303643817,265100,1804,109,381,391619,0 15,2,2024-09-07 08:37:00:999,195093,195093,0,0,7349446,0,3043 15,3,2024-09-07 08:37:01:409,1,152,1,0,1126,4286,152,0 16,0,2024-09-07 08:37:00:948,36857,0.5,37203,0.7,73657,0.5,98264,2.00 16,1,2024-09-07 08:37:00:579,267527,267527,0,0,124622385203,1305863786,265304,1962,261,370,391756,0 16,2,2024-09-07 08:37:01:439,192027,192027,0,0,9651471,0,4719 16,3,2024-09-07 08:37:01:142,1,152,0,0,231,2198,152,0 17,0,2024-09-07 08:37:01:776,36635,0.4,35738,0.6,70151,0.4,94337,1.75 17,1,2024-09-07 08:37:00:578,266560,266560,0,0,124307474221,1310477757,263206,2683,671,368,391688,0 17,2,2024-09-07 08:37:01:668,191072,191072,0,0,8540279,0,2857 17,3,2024-09-07 08:37:00:586,1,152,1,0,268,2444,152,0 18,0,2024-09-07 08:37:00:952,38290,0.9,38555,0.9,77209,1.0,103293,2.25 18,1,2024-09-07 08:37:01:639,267000,267000,0,0,125573081616,1306267008,264993,1773,234,368,391564,0 18,2,2024-09-07 08:37:01:766,190334,190334,0,0,8591281,0,3541 18,3,2024-09-07 08:37:00:901,1,152,1,0,163,1721,152,0 19,0,2024-09-07 08:37:01:541,40517,1.2,40900,1.1,80829,1.5,107298,2.75 19,1,2024-09-07 08:37:00:573,267556,267556,0,0,125032093249,1307461107,263743,3097,716,367,391777,0 19,2,2024-09-07 08:37:01:752,196314,196314,0,0,7856569,0,3988 19,3,2024-09-07 08:37:01:129,1,152,1,0,524,1474,152,0 20,0,2024-09-07 08:37:01:364,36587,0.6,36504,0.8,73260,0.7,97541,2.25 20,1,2024-09-07 08:37:00:641,266592,266592,0,0,124370819424,1308643287,263133,3069,390,369,391822,0 20,2,2024-09-07 08:37:00:948,193890,193890,0,0,8894978,0,3721 20,3,2024-09-07 08:37:00:589,1,152,9,0,414,2832,152,0 21,0,2024-09-07 08:37:01:153,36038,0.3,36103,0.5,71723,0.3,95388,1.75 21,1,2024-09-07 08:37:01:541,266453,266453,0,0,124426770912,1313767968,262789,3132,532,368,391962,0 21,2,2024-09-07 08:37:01:080,188083,188083,0,0,9538071,0,3747 21,3,2024-09-07 08:37:01:409,1,152,2,0,103,1921,152,0 22,0,2024-09-07 08:37:01:727,37715,0.6,37725,0.8,74931,0.7,100194,2.00 22,1,2024-09-07 08:37:01:030,266131,266131,0,0,123891633649,1312272714,260622,4000,1509,382,391667,0 22,2,2024-09-07 08:37:00:774,189948,189948,0,0,8290411,0,3134 22,3,2024-09-07 08:37:01:066,1,152,6,0,228,1739,152,0 23,0,2024-09-07 08:37:01:369,42144,0.9,42278,0.9,84819,1.1,113741,2.50 23,1,2024-09-07 08:37:01:003,267137,267137,0,0,125148837525,1313271631,263042,2613,1482,365,391549,0 23,2,2024-09-07 08:37:01:096,195741,195741,0,0,8652575,0,3010 23,3,2024-09-07 08:37:01:754,1,152,1,0,645,1751,152,0 24,0,2024-09-07 08:37:00:902,37282,0.5,37356,0.7,74824,0.6,99425,1.75 24,1,2024-09-07 08:37:00:603,267195,267195,0,0,124659856245,1307280449,264239,2157,799,369,391640,0 24,2,2024-09-07 08:37:01:069,193136,193136,0,0,9750882,0,2942 24,3,2024-09-07 08:37:01:686,1,152,3,0,234,1780,152,0 25,0,2024-09-07 08:37:01:381,37047,0.4,36128,0.6,70901,0.3,96233,1.75 25,1,2024-09-07 08:37:00:578,267081,267081,0,0,124449706314,1309785376,263395,3143,543,371,391788,0 25,2,2024-09-07 08:37:01:616,187702,187702,0,0,9700407,0,3978 25,3,2024-09-07 08:37:01:009,1,152,10,0,158,1719,152,0 26,0,2024-09-07 08:37:01:734,36291,0.3,35463,0.5,74442,0.3,97339,1.75 26,1,2024-09-07 08:37:01:550,267219,267219,0,0,124359616129,1309321170,262559,3759,901,381,391564,0 26,2,2024-09-07 08:37:00:865,191085,191085,0,0,8753080,0,2809 26,3,2024-09-07 08:37:01:717,1,152,5,0,796,2163,152,0 27,0,2024-09-07 08:37:01:736,42425,0.5,42455,0.6,84157,0.5,113070,1.75 27,1,2024-09-07 08:37:01:691,268631,268631,0,0,125166140404,1301891366,266812,1496,323,381,391539,0 27,2,2024-09-07 08:37:00:874,192866,192866,0,0,9625052,0,3409 27,3,2024-09-07 08:37:01:022,1,152,1,0,564,1918,152,0 28,0,2024-09-07 08:37:01:391,36892,0.9,37180,0.9,74754,1.2,99506,2.50 28,1,2024-09-07 08:37:00:811,267562,267562,0,0,125829872531,1314138327,265400,1826,336,383,391646,0 28,2,2024-09-07 08:37:01:765,194686,194686,0,0,7725092,0,2915 28,3,2024-09-07 08:37:01:776,1,152,1,0,502,1994,152,0 29,0,2024-09-07 08:37:01:361,37601,0.4,36812,0.6,71918,0.3,98153,1.75 29,1,2024-09-07 08:37:01:563,268613,268613,0,0,125175168482,1300039743,266185,1885,543,369,391621,0 29,2,2024-09-07 08:37:00:866,191463,191463,0,0,7504027,0,4018 29,3,2024-09-07 08:37:00:965,1,152,1,0,105,1204,152,0 30,0,2024-09-07 08:37:01:467,35290,0.5,34296,0.7,71809,0.5,94116,2.00 30,1,2024-09-07 08:37:00:573,268273,268273,0,0,125524552850,1306316984,266286,1709,278,382,391672,0 30,2,2024-09-07 08:37:01:275,190889,190889,0,0,7491747,0,3161 30,3,2024-09-07 08:37:00:584,1,152,1,0,195,1166,152,0 31,0,2024-09-07 08:37:01:767,40084,0.6,40432,0.7,81570,0.5,108661,2.00 31,1,2024-09-07 08:37:00:572,268765,268765,0,0,125377241490,1286989503,267965,688,112,356,391553,0 31,2,2024-09-07 08:37:01:274,191075,191075,0,0,9268689,0,3525 31,3,2024-09-07 08:37:01:708,1,152,145,0,220,1332,152,0 32,0,2024-09-07 08:37:01:438,39782,0.6,40220,0.7,80383,0.5,106486,1.75 32,1,2024-09-07 08:37:00:859,268058,268058,0,0,125443797534,1305224776,266316,1467,275,382,391595,0 32,2,2024-09-07 08:37:00:943,195092,195092,0,0,7668312,0,3155 32,3,2024-09-07 08:37:01:027,1,152,1,0,227,1448,152,0 33,0,2024-09-07 08:37:01:491,37155,0.3,36534,0.5,73778,0.3,98016,1.75 33,1,2024-09-07 08:37:00:580,268583,268583,0,0,125590693292,1303401856,266324,2087,172,369,391730,0 33,2,2024-09-07 08:37:00:761,193379,193346,33,0,9481819,0,7012 33,3,2024-09-07 08:37:00:899,1,152,1,0,329,1836,152,0 34,0,2024-09-07 08:37:00:937,35844,0.3,36973,0.4,70863,0.2,95160,1.50 34,1,2024-09-07 08:37:01:044,268719,268719,0,0,126329135842,1303184278,267724,989,6,367,391562,0 34,2,2024-09-07 08:37:00:783,187976,187976,0,0,8395338,0,3577 34,3,2024-09-07 08:37:01:706,1,152,1,0,148,1040,152,0 35,0,2024-09-07 08:37:00:865,37961,0.4,38302,0.6,76487,0.4,102478,1.75 35,1,2024-09-07 08:37:01:067,267744,267744,0,0,124547669090,1297349681,264945,2029,770,384,391589,0 35,2,2024-09-07 08:37:01:583,190077,190077,0,0,7751463,0,2653 35,3,2024-09-07 08:37:00:920,1,152,1,0,418,1958,152,0 36,0,2024-09-07 08:37:01:525,42274,1.1,42101,1.0,84814,1.3,112102,2.50 36,1,2024-09-07 08:37:00:587,267041,267041,0,0,125132180098,1314928448,262422,3331,1288,366,391759,0 36,2,2024-09-07 08:37:01:752,195476,195476,0,0,9202907,0,3303 36,3,2024-09-07 08:37:00:863,1,152,1,0,378,2135,152,0 37,0,2024-09-07 08:37:01:372,35840,0.5,35986,0.7,71706,0.5,96704,2.00 37,1,2024-09-07 08:37:00:572,266617,266610,0,7,125067165446,1319435359,261837,2754,2019,365,391560,0 37,2,2024-09-07 08:37:01:146,193871,193856,15,0,9307108,0,5815 37,3,2024-09-07 08:37:01:768,1,152,1,0,724,2446,152,0 38,0,2024-09-07 08:37:01:451,35506,0.4,34384,0.6,71826,0.3,94539,2.00 38,1,2024-09-07 08:37:01:604,267618,267618,0,0,125120502666,1312169750,263581,3405,632,368,391821,0 38,2,2024-09-07 08:37:00:774,188235,188188,47,0,10788437,0,6710 38,3,2024-09-07 08:37:01:003,1,152,0,0,603,2104,152,0 39,0,2024-09-07 08:37:01:761,38610,0.6,37724,0.7,73682,0.6,100881,2.00 39,1,2024-09-07 08:37:00:720,267428,267428,0,0,125064756728,1308485760,263640,2987,801,365,391524,0 39,2,2024-09-07 08:37:01:422,190387,190387,0,0,7972854,0,2689 39,3,2024-09-07 08:37:00:718,1,152,3,0,276,2053,152,0 40,0,2024-09-07 08:37:01:506,41626,0.9,42394,1.2,84137,1.0,112232,3.00 40,1,2024-09-07 08:37:00:589,267603,267603,0,0,124104651441,1302991408,263191,3643,769,368,391591,0 40,2,2024-09-07 08:37:01:307,194515,194514,1,0,9948583,0,5137 40,3,2024-09-07 08:37:01:147,1,152,17,0,181,1533,152,0 41,0,2024-09-07 08:37:01:097,36174,2.3,36896,1.8,70764,3.6,97296,4.25 41,1,2024-09-07 08:37:00:773,266933,266933,0,0,124900492777,1310716458,262743,3658,532,370,391742,0 41,2,2024-09-07 08:37:00:783,192849,192849,0,0,9809117,0,3356 41,3,2024-09-07 08:37:01:676,1,152,1,0,366,1943,152,0 42,0,2024-09-07 08:37:01:479,36111,0.5,36515,0.8,72847,0.4,96147,2.25 42,1,2024-09-07 08:37:01:449,266157,266157,0,0,124777117342,1315128141,261460,3739,958,380,391675,0 42,2,2024-09-07 08:37:01:133,189076,189076,0,0,9409106,0,3568 42,3,2024-09-07 08:37:01:013,1,152,1,0,446,1399,152,0 43,0,2024-09-07 08:37:00:932,36124,0.6,35350,0.9,73347,0.6,96854,2.00 43,1,2024-09-07 08:37:00:584,267296,267296,0,0,125387039928,1309793793,264054,2449,793,366,391604,0 43,2,2024-09-07 08:37:01:736,191200,191200,0,0,8807072,0,3812 43,3,2024-09-07 08:37:01:749,1,152,1,0,325,2112,152,0 44,0,2024-09-07 08:37:00:871,41960,0.5,42085,0.7,83876,0.5,112489,1.75 44,1,2024-09-07 08:37:00:572,267505,267505,0,0,124713284056,1291787659,265447,1633,425,356,391809,0 44,2,2024-09-07 08:37:01:271,191765,191765,0,0,7447183,0,1877 44,3,2024-09-07 08:37:01:102,1,152,1,0,817,2056,152,0 45,0,2024-09-07 08:37:01:759,37669,1.5,36904,1.2,77600,2.0,102279,2.25 45,1,2024-09-07 08:37:01:011,267733,267733,0,0,124863207207,1296543676,266694,1029,10,382,391917,0 45,2,2024-09-07 08:37:01:271,195071,195071,0,0,8127214,0,3596 45,3,2024-09-07 08:37:00:942,1,152,1,0,226,1416,152,0 46,0,2024-09-07 08:37:00:962,36768,0.4,36615,0.6,73500,0.3,97287,1.75 46,1,2024-09-07 08:37:00:593,268199,268199,0,0,125081271562,1296135037,266391,1585,223,366,391524,0 46,2,2024-09-07 08:37:00:598,193426,193426,0,0,8051470,0,2920 46,3,2024-09-07 08:37:01:132,1,152,1,0,908,2898,152,0 47,0,2024-09-07 08:37:01:120,35561,0.3,35782,0.5,71785,0.2,94100,1.75 47,1,2024-09-07 08:37:00:573,268301,268301,0,0,125016023437,1292925853,266899,1385,17,366,391605,0 47,2,2024-09-07 08:37:01:131,190538,190538,0,0,8126324,0,2558 47,3,2024-09-07 08:37:01:115,1,152,1,0,529,1780,152,0 48,0,2024-09-07 08:37:01:519,39176,0.3,38778,0.5,77789,0.2,103926,1.75 48,1,2024-09-07 08:37:01:025,268298,268298,0,0,125117124666,1302923022,266237,1880,181,384,391710,0 48,2,2024-09-07 08:37:00:700,190578,190578,0,0,6978882,0,2083 48,3,2024-09-07 08:37:00:795,1,152,1,0,339,1427,152,0 49,0,2024-09-07 08:37:01:717,42092,0.6,41247,0.7,80186,0.7,109220,1.75 49,1,2024-09-07 08:37:01:028,266841,266841,0,0,124752064259,1303862012,264105,1896,840,382,391583,0 49,2,2024-09-07 08:37:01:801,196161,196161,0,0,8136024,0,3900 49,3,2024-09-07 08:37:01:420,1,152,1,0,408,2304,152,0 50,0,2024-09-07 08:37:01:525,36666,0.3,36346,0.5,72810,0.2,97465,1.75 50,1,2024-09-07 08:37:01:012,269059,269059,0,0,125623218538,1305426390,266535,2215,309,368,391530,0 50,2,2024-09-07 08:37:01:067,194128,194128,0,0,7699716,0,2253 50,3,2024-09-07 08:37:01:291,1,152,1,0,335,1326,152,0 51,0,2024-09-07 08:37:01:689,36573,0.3,36039,0.4,70178,0.2,95726,1.50 51,1,2024-09-07 08:37:01:692,267599,267599,0,0,126598070016,1311482134,265630,1183,786,367,391637,0 51,2,2024-09-07 08:37:01:320,187953,187953,0,0,7206896,0,3337 51,3,2024-09-07 08:37:01:028,1,152,1,0,162,890,152,0 52,0,2024-09-07 08:37:01:433,37903,0.5,37897,0.7,75923,0.5,100647,2.25 52,1,2024-09-07 08:37:00:587,266624,266624,0,0,124635988230,1317885093,260713,4754,1157,368,391722,0 52,2,2024-09-07 08:37:01:756,188717,188679,38,0,9758038,0,6742 52,3,2024-09-07 08:37:00:677,1,152,1,0,1782,3502,152,0 53,0,2024-09-07 08:37:01:734,42172,1.1,40974,1.0,85741,1.2,113133,2.75 53,1,2024-09-07 08:37:00:789,266384,266384,0,0,124895440998,1321657823,260756,3734,1894,367,391702,0 53,2,2024-09-07 08:37:01:298,196380,196380,0,0,8155109,0,2262 53,3,2024-09-07 08:37:00:700,1,152,0,0,271,1517,152,0 54,0,2024-09-07 08:37:01:617,35782,2.4,36259,1.4,71798,1.2,96525,4.25 54,1,2024-09-07 08:37:00:581,267062,267062,0,0,125173216905,1310184841,263092,3399,571,367,391532,0 54,2,2024-09-07 08:37:00:865,193771,193765,6,0,9753638,0,5382 54,3,2024-09-07 08:37:00:771,1,152,1,0,676,3158,152,0 55,0,2024-09-07 08:37:01:775,34736,0.5,35754,0.7,72618,0.4,94126,2.25 55,1,2024-09-07 08:37:00:772,266568,266568,0,0,124539956023,1307637006,261381,4400,787,365,391731,0 55,2,2024-09-07 08:37:00:735,188092,188092,0,0,9274624,0,3275 55,3,2024-09-07 08:37:00:683,1,152,1,0,304,1680,152,0 56,0,2024-09-07 08:37:01:561,37529,1.2,35457,1.1,72734,1.7,97583,2.50 56,1,2024-09-07 08:37:00:574,266851,266851,0,0,125050487705,1326456949,261724,4224,903,381,391678,0 56,2,2024-09-07 08:37:01:307,190485,190485,0,0,9869778,0,3567 56,3,2024-09-07 08:37:01:067,1,152,2,0,405,2201,152,0 57,0,2024-09-07 08:37:00:939,41252,2.4,41327,1.5,82776,3.7,111220,4.00 57,1,2024-09-07 08:37:00:997,267021,267021,0,0,124839302105,1308621410,264203,2648,170,368,391960,0 57,2,2024-09-07 08:37:01:332,194413,194413,0,0,10143235,0,3178 57,3,2024-09-07 08:37:01:742,1,152,1,0,359,2669,152,0 58,0,2024-09-07 08:37:00:584,35934,1.5,35063,1.3,73523,2.6,96140,3.00 58,1,2024-09-07 08:37:00:586,268036,268033,0,3,125216437992,1310119582,264518,3118,397,367,391516,3 58,2,2024-09-07 08:37:01:071,194085,194085,0,0,9214816,0,2549 58,3,2024-09-07 08:37:01:068,1,152,2,0,219,1250,152,0 59,0,2024-09-07 08:37:01:746,36822,0.8,36530,1.0,72986,0.8,96972,3.00 59,1,2024-09-07 08:37:00:828,267096,267096,0,0,124614802950,1306410515,263449,2725,922,369,391515,0 59,2,2024-09-07 08:37:00:585,192033,192033,0,0,8037120,0,2604 59,3,2024-09-07 08:37:01:738,1,152,1,0,1015,2867,152,0 60,0,2024-09-07 08:37:01:734,35631,0.4,35744,0.6,70925,0.3,94197,1.75 60,1,2024-09-07 08:37:00:790,268524,268524,0,0,125554599220,1303604297,267067,1099,358,370,391761,0 60,2,2024-09-07 08:37:01:144,189788,189788,0,0,9297858,0,3811 60,3,2024-09-07 08:37:01:258,1,152,1,0,124,1546,152,0 61,0,2024-09-07 08:37:01:521,40378,1.5,40574,1.1,81028,2.2,108779,2.25 61,1,2024-09-07 08:37:00:793,267167,267167,0,0,125395998531,1317671005,263732,2883,552,382,391589,0 61,2,2024-09-07 08:37:01:126,191785,191785,0,0,8244061,0,2079 61,3,2024-09-07 08:37:01:696,1,152,1,0,199,1897,152,0 62,0,2024-09-07 08:37:01:710,40089,1.6,40842,1.1,78316,2.7,106200,2.50 62,1,2024-09-07 08:37:01:112,269186,269180,0,6,126019165975,1302144625,267502,1639,39,365,391715,6 62,2,2024-09-07 08:37:01:650,193705,193704,1,0,9822352,0,5555 62,3,2024-09-07 08:37:01:142,1,152,0,0,287,1162,152,0 63,0,2024-09-07 08:37:01:466,36671,0.6,36659,0.7,73476,0.5,97717,2.00 63,1,2024-09-07 08:37:00:812,268485,268479,0,6,125697572096,1303948652,267239,1214,26,381,391677,6 63,2,2024-09-07 08:37:00:772,193071,193071,0,0,7592770,0,2674 63,3,2024-09-07 08:37:01:738,1,152,0,0,667,2375,152,0 64,0,2024-09-07 08:37:01:524,35643,0.4,35593,0.6,71479,0.3,94943,1.75 64,1,2024-09-07 08:37:00:758,267979,267979,0,0,125282915414,1312876930,264515,2410,1054,371,391783,0 64,2,2024-09-07 08:37:01:146,189990,189971,19,0,8439411,0,6121 64,3,2024-09-07 08:37:01:146,1,152,7,0,265,1761,152,0 65,0,2024-09-07 08:37:01:710,37527,0.9,38080,0.9,75964,1.1,101676,2.25 65,1,2024-09-07 08:37:00:878,267012,267012,0,0,125155655597,1312375515,264507,2283,222,382,391770,0 65,2,2024-09-07 08:37:01:697,190223,190223,0,0,8880034,0,3367 65,3,2024-09-07 08:37:01:696,1,152,2,0,163,1580,152,0 66,0,2024-09-07 08:37:01:776,41798,0.8,41862,0.9,84101,0.8,111414,2.50 66,1,2024-09-07 08:37:01:309,268346,268346,0,0,125267761126,1304792325,266471,1704,171,380,391588,0 66,2,2024-09-07 08:37:01:145,197247,197247,0,0,8306348,0,4956 66,3,2024-09-07 08:37:01:080,1,152,3,0,291,1688,152,0 67,0,2024-09-07 08:37:01:426,36414,0.9,36120,1.0,72589,1.1,96820,2.50 67,1,2024-09-07 08:37:00:794,267094,267093,0,1,124652737237,1307294331,263626,2721,746,381,391787,1 67,2,2024-09-07 08:37:00:586,194650,194650,0,0,7923155,0,2889 67,3,2024-09-07 08:37:01:750,1,152,0,0,138,1263,152,0 68,0,2024-09-07 08:37:00:602,35812,0.5,35650,0.7,71298,0.4,94737,2.00 68,1,2024-09-07 08:37:00:576,266501,266501,0,0,124412383544,1308432391,263648,1835,1018,381,391953,0 68,2,2024-09-07 08:37:01:045,186945,186880,65,0,11114220,0,6698 68,3,2024-09-07 08:37:00:734,1,152,7,0,417,1989,152,0 69,0,2024-09-07 08:37:01:738,37430,0.8,37628,0.9,74747,0.9,100514,2.25 69,1,2024-09-07 08:37:01:029,266035,266035,0,0,124672149624,1321377342,262035,2786,1214,384,391611,0 69,2,2024-09-07 08:37:01:736,190655,190655,0,0,9631673,0,3701 69,3,2024-09-07 08:37:00:802,1,152,4,0,238,1938,152,0 70,0,2024-09-07 08:37:01:536,41598,2.1,41876,1.5,83947,1.4,111564,3.00 70,1,2024-09-07 08:37:00:856,267565,267565,0,0,125182930152,1303895935,265109,1994,462,366,391725,0 70,2,2024-09-07 08:37:01:330,194925,194925,0,0,8973065,0,4044 70,3,2024-09-07 08:37:00:768,1,152,4,0,854,2070,152,0 71,0,2024-09-07 08:37:01:361,36051,2.3,36167,1.8,71968,3.6,97465,3.75 71,1,2024-09-07 08:37:01:595,267316,267316,0,0,124894653457,1310640035,263206,3586,524,368,391682,0 71,2,2024-09-07 08:37:01:068,194627,194627,0,0,8952146,0,2470 71,3,2024-09-07 08:37:01:750,1,152,1,0,644,2551,152,0 72,0,2024-09-07 08:37:01:027,37900,0.5,37023,0.7,72634,0.4,98308,2.25 72,1,2024-09-07 08:37:01:029,267438,267438,0,0,125134295370,1308435154,264194,2758,486,369,391819,0 72,2,2024-09-07 08:37:01:756,188857,188857,0,0,10298223,0,2570 72,3,2024-09-07 08:37:01:753,1,152,15,0,325,2407,152,0 73,0,2024-09-07 08:37:01:121,35287,0.4,36239,0.6,73989,0.3,96424,2.00 73,1,2024-09-07 08:37:00:772,267848,267848,0,0,124719089808,1296017727,266043,1690,115,367,391627,0 73,2,2024-09-07 08:37:01:752,190919,190919,0,0,9584057,0,3482 73,3,2024-09-07 08:37:00:978,1,152,8,0,274,2521,152,0 74,0,2024-09-07 08:37:01:328,42191,0.5,42979,0.8,81980,0.5,112116,2.25 74,1,2024-09-07 08:37:00:635,267425,267425,0,0,124221312213,1298962304,264638,2166,621,382,391681,0 74,2,2024-09-07 08:37:01:012,192282,192282,0,0,9581359,0,4253 74,3,2024-09-07 08:37:01:441,1,152,1,0,522,2700,152,0 75,0,2024-09-07 08:37:01:780,38371,1.6,38146,1.3,76290,1.9,102508,3.00 75,1,2024-09-07 08:37:01:585,267076,267076,0,0,124837357137,1309964124,263691,2925,460,381,391579,0 75,2,2024-09-07 08:37:01:353,193927,193927,0,0,9141567,0,4766 75,3,2024-09-07 08:37:01:068,1,152,1,0,535,1736,152,0 76,0,2024-09-07 08:37:00:643,36629,0.4,36405,0.7,72846,0.3,97599,2.25 76,1,2024-09-07 08:37:00:810,267543,267543,0,0,124857593215,1305221964,265483,1549,511,382,391692,0 76,2,2024-09-07 08:37:01:067,193715,193715,0,0,8037797,0,3064 76,3,2024-09-07 08:37:01:153,1,152,2,0,175,1680,152,0 77,0,2024-09-07 08:37:01:703,35617,0.5,35822,0.7,71643,0.5,94076,1.75 77,1,2024-09-07 08:37:00:843,268272,268272,0,0,125246182175,1307695201,266681,1509,82,383,391808,0 77,2,2024-09-07 08:37:01:282,189208,189208,0,0,8243951,0,3890 77,3,2024-09-07 08:37:01:096,1,152,1,0,305,1952,152,0 78,0,2024-09-07 08:37:01:734,38796,0.5,38710,0.7,78001,0.5,103553,2.00 78,1,2024-09-07 08:37:00:611,267693,267693,0,0,124446117792,1297210178,265363,2077,253,367,391589,0 78,2,2024-09-07 08:37:01:405,191361,191361,0,0,7778221,0,2114 78,3,2024-09-07 08:37:01:140,1,152,23,0,181,1650,152,0 79,0,2024-09-07 08:37:01:352,39653,0.6,40540,0.8,82910,0.5,107712,2.75 79,1,2024-09-07 08:37:00:572,268187,268187,0,0,124937676274,1297011488,266000,2015,172,369,391682,0 79,2,2024-09-07 08:37:01:068,196474,196474,0,0,8045797,0,3212 79,3,2024-09-07 08:37:00:759,1,152,1,0,418,2872,152,0 80,0,2024-09-07 08:37:01:074,36496,0.6,37317,0.8,71875,0.6,97512,2.25 80,1,2024-09-07 08:37:01:620,266764,266764,0,0,124389011946,1298669008,264248,2355,161,368,391673,0 80,2,2024-09-07 08:37:01:134,194512,194512,0,0,8480278,0,4433 80,3,2024-09-07 08:37:00:576,1,152,3,0,190,2570,152,0 81,0,2024-09-07 08:37:01:534,35803,0.4,36899,0.6,70689,0.4,95375,1.75 81,1,2024-09-07 08:37:01:654,267197,267197,0,0,124207096781,1301825801,264719,2206,272,382,391879,0 81,2,2024-09-07 08:37:01:135,187602,187602,0,0,8881945,0,3993 81,3,2024-09-07 08:37:01:125,1,152,3,0,193,1556,152,0 82,0,2024-09-07 08:37:01:539,37580,0.5,37824,0.7,75819,0.5,100722,2.00 82,1,2024-09-07 08:37:00:595,267769,267765,0,4,125188468618,1308288262,265324,1865,576,382,391558,4 82,2,2024-09-07 08:37:01:694,190958,190958,0,0,8176570,0,3986 82,3,2024-09-07 08:37:01:751,1,152,2,0,227,1820,152,0 83,0,2024-09-07 08:37:01:523,42559,0.8,42442,0.9,84340,0.8,113287,2.25 83,1,2024-09-07 08:37:00:567,267499,267499,0,0,124577336683,1304381075,265052,2243,204,382,391690,0 83,2,2024-09-07 08:37:00:773,195697,195697,0,0,8247323,0,3119 83,3,2024-09-07 08:37:00:753,1,152,1,0,241,1872,152,0 84,0,2024-09-07 08:37:01:782,36510,1.5,36215,1.4,72936,1.2,97912,2.75 84,1,2024-09-07 08:37:01:055,266610,266610,0,0,124775737833,1310564265,263225,2931,454,368,391852,0 84,2,2024-09-07 08:37:00:587,194091,194091,0,0,9308171,0,3801 84,3,2024-09-07 08:37:01:158,1,152,270,0,270,1905,152,0 85,0,2024-09-07 08:37:01:060,34737,0.5,34699,0.7,73652,0.4,95254,2.00 85,1,2024-09-07 08:37:00:568,266255,266255,0,0,124772830204,1325687446,260850,4325,1080,382,392006,0 85,2,2024-09-07 08:37:00:994,188828,188828,0,0,9961813,0,3656 85,3,2024-09-07 08:37:00:698,1,152,2,0,789,2429,152,0 86,0,2024-09-07 08:37:00:944,36554,0.6,37546,0.7,71908,0.5,97355,2.00 86,1,2024-09-07 08:37:00:861,266921,266921,0,0,125037472279,1313574674,262974,3404,543,366,391961,0 86,2,2024-09-07 08:37:00:864,189462,189461,1,0,10343356,0,5004 86,3,2024-09-07 08:37:00:599,1,152,1,0,286,2354,152,0 87,0,2024-09-07 08:37:01:346,41965,1.6,41856,1.2,83852,2.5,113089,2.50 87,1,2024-09-07 08:37:00:566,266688,266688,0,0,124967855787,1312467508,263475,2822,391,366,391788,0 87,2,2024-09-07 08:37:01:070,193221,193221,0,0,8763237,0,3515 87,3,2024-09-07 08:37:01:802,1,152,0,0,335,2800,152,0 88,0,2024-09-07 08:37:01:513,37286,0.7,37446,0.8,74646,0.9,99582,2.00 88,1,2024-09-07 08:37:00:586,266214,266214,0,0,124394783024,1308800625,262194,2853,1167,365,391787,0 88,2,2024-09-07 08:37:00:698,194095,194095,0,0,9827737,0,3583 88,3,2024-09-07 08:37:01:268,1,152,2,0,435,2061,152,0 89,0,2024-09-07 08:37:01:911,37876,0.4,36704,0.7,72647,0.4,98466,1.75 89,1,2024-09-07 08:37:00:595,265933,265933,0,0,124897946921,1324488952,260505,4493,935,382,391866,0 89,2,2024-09-07 08:37:01:144,191022,191022,0,0,9584551,0,2910 89,3,2024-09-07 08:37:01:800,1,152,23,0,325,3132,152,0 90,0,2024-09-07 08:37:01:653,34411,0.4,35210,0.5,72286,0.3,93875,1.75 90,1,2024-09-07 08:37:00:614,267717,267717,0,0,124700869880,1311787028,264499,2961,257,382,391825,0 90,2,2024-09-07 08:37:01:452,189199,189199,0,0,10312473,0,3060 90,3,2024-09-07 08:37:00:930,1,152,1,0,200,1839,152,0 91,0,2024-09-07 08:37:01:015,40982,0.5,39535,0.6,82313,0.5,109165,1.75 91,1,2024-09-07 08:37:00:591,266577,266577,0,0,125019674071,1316017572,262834,3247,496,381,392047,0 91,2,2024-09-07 08:37:01:343,190253,190253,0,0,9717756,0,2445 91,3,2024-09-07 08:37:00:601,1,152,3,0,216,1859,152,0 92,0,2024-09-07 08:37:01:479,40337,0.9,41290,0.9,79032,1.0,106582,2.00 92,1,2024-09-07 08:37:00:635,267327,267327,0,0,124594965808,1304171731,265171,1756,400,382,391717,0 92,2,2024-09-07 08:37:01:353,196712,196712,0,0,8323167,0,2801 92,3,2024-09-07 08:37:01:040,1,152,1,0,68,1308,152,0 93,0,2024-09-07 08:37:01:002,37067,0.4,37821,0.6,72449,0.4,98181,1.75 93,1,2024-09-07 08:37:00:875,267257,267257,0,0,125215526168,1310854797,264159,2549,549,366,391692,0 93,2,2024-09-07 08:37:00:943,193548,193548,0,0,8191280,0,2509 93,3,2024-09-07 08:37:01:439,1,152,2,0,143,1659,152,0 94,0,2024-09-07 08:37:01:679,35861,0.3,36133,0.5,71619,0.2,94905,1.50 94,1,2024-09-07 08:37:00:587,267316,267316,0,0,124616720407,1306417001,264878,2344,94,381,391850,0 94,2,2024-09-07 08:37:00:774,188680,188680,0,0,8025433,0,2443 94,3,2024-09-07 08:37:01:711,1,152,1,0,264,2372,152,0 95,0,2024-09-07 08:37:01:549,38285,0.4,38268,0.5,76970,0.3,102284,1.75 95,1,2024-09-07 08:37:00:920,267751,267751,0,0,125063490535,1303745821,265383,2187,181,367,391662,0 95,2,2024-09-07 08:37:01:042,190454,190454,0,0,8334937,0,3308 95,3,2024-09-07 08:37:01:709,1,152,1,0,718,3007,152,0 96,0,2024-09-07 08:37:01:036,42311,0.6,42391,0.7,85064,0.6,112043,1.75 96,1,2024-09-07 08:37:01:587,266716,266716,0,0,124801214851,1308632110,264120,1954,642,385,391894,0 96,2,2024-09-07 08:37:01:268,195778,195778,0,0,9015946,0,4042 96,3,2024-09-07 08:37:01:147,1,152,27,0,188,1811,152,0 97,0,2024-09-07 08:37:01:360,36451,0.5,36326,0.6,72848,0.4,96938,1.75 97,1,2024-09-07 08:37:00:794,267480,267480,0,0,125965840382,1313170717,264688,2244,548,367,392140,0 97,2,2024-09-07 08:37:00:623,194022,194022,0,0,8080733,0,3036 97,3,2024-09-07 08:37:00:578,1,152,13,0,165,1844,152,0 98,0,2024-09-07 08:37:01:768,35832,0.2,35591,0.4,71564,0.2,95054,1.50 98,1,2024-09-07 08:37:00:576,267585,267585,0,0,125235084606,1308079828,265775,1722,88,382,391997,0 98,2,2024-09-07 08:37:00:800,189101,189101,0,0,8437135,0,3080 98,3,2024-09-07 08:37:00:705,1,152,1,0,840,3024,152,0 99,0,2024-09-07 08:37:01:473,37645,0.3,37618,0.4,75191,0.2,100747,1.50 99,1,2024-09-07 08:37:01:730,267607,267607,0,0,124585747929,1304401699,264550,2361,696,381,391744,0 99,2,2024-09-07 08:37:01:437,192376,192376,0,0,8185862,0,2615 99,3,2024-09-07 08:37:00:591,1,152,1,0,129,1105,152,0 100,0,2024-09-07 08:37:01:631,42057,1.3,42035,1.4,84039,2.3,112512,2.50 100,1,2024-09-07 08:37:00:675,265286,265286,0,0,123914408536,1318565815,260060,4295,931,381,391989,0 100,2,2024-09-07 08:37:01:916,193851,193840,11,0,9648957,0,5417 100,3,2024-09-07 08:37:01:732,1,152,56,0,559,3552,152,0 101,0,2024-09-07 08:37:01:747,37233,3.3,36272,1.7,71185,4.1,98712,3.25 101,1,2024-09-07 08:37:00:596,265990,265990,0,0,124247772963,1318407679,260636,3877,1477,368,391769,0 101,2,2024-09-07 08:37:01:761,193631,193631,0,0,10507471,0,4644 101,3,2024-09-07 08:37:00:970,1,152,3,0,448,2016,152,0 102,0,2024-09-07 08:37:00:973,35815,0.6,37218,0.8,74535,0.5,97676,2.00 102,1,2024-09-07 08:37:01:141,266535,266535,0,0,124249091104,1311643043,262465,3359,711,369,391883,0 102,2,2024-09-07 08:37:01:742,190486,190432,54,0,9923162,0,6768 102,3,2024-09-07 08:37:01:620,1,152,2,0,410,1665,152,0 103,0,2024-09-07 08:37:01:623,37298,0.4,37271,0.6,70263,0.4,96910,1.75 103,1,2024-09-07 08:37:01:640,265775,265775,0,0,124547022210,1317639463,261340,3227,1208,381,391829,0 103,2,2024-09-07 08:37:00:673,190075,190075,0,0,8887778,0,2104 103,3,2024-09-07 08:37:00:791,1,152,1,0,916,2887,152,0 104,0,2024-09-07 08:37:01:072,41536,1.6,41656,1.3,82121,2.2,112106,2.50 104,1,2024-09-07 08:37:01:601,267699,267699,0,0,124406049965,1315263911,262704,4033,962,365,391948,0 104,2,2024-09-07 08:37:01:668,191215,191215,0,0,9288108,0,3941 104,3,2024-09-07 08:37:01:422,1,152,20,1,1245,5057,152,0 105,0,2024-09-07 08:37:01:103,37821,2.0,36800,1.5,76666,3.0,101463,4.00 105,1,2024-09-07 08:37:00:570,267810,267810,0,0,124704913114,1311804160,263611,3425,774,367,391797,0 105,2,2024-09-07 08:37:01:323,193901,193901,0,0,9014033,0,3509 105,3,2024-09-07 08:37:01:308,1,152,29,1,399,2292,152,0 106,0,2024-09-07 08:37:00:967,35656,0.7,36537,0.9,74459,0.7,97446,2.25 106,1,2024-09-07 08:37:01:774,266894,266894,0,0,125175281475,1315544818,263132,3378,384,369,391767,0 106,2,2024-09-07 08:37:00:771,191991,191991,0,0,9175976,0,2795 106,3,2024-09-07 08:37:00:683,1,152,3,0,405,2321,152,0 107,0,2024-09-07 08:37:01:241,35695,0.4,35682,0.6,70954,0.3,94319,1.75 107,1,2024-09-07 08:37:00:589,266486,266486,0,0,124417327161,1309805708,263512,2744,230,381,392234,0 107,2,2024-09-07 08:37:01:336,189122,189121,1,0,9171864,0,5024 107,3,2024-09-07 08:37:01:755,1,152,1,0,353,1865,152,0 108,0,2024-09-07 08:37:01:813,39013,0.4,39228,0.6,77691,0.4,104049,1.75 108,1,2024-09-07 08:37:01:419,266969,266969,0,0,125096750800,1308724005,264317,2342,310,368,391857,0 108,2,2024-09-07 08:37:01:757,189589,189589,0,0,8772314,0,2647 108,3,2024-09-07 08:37:01:338,1,152,0,0,667,3213,152,0 109,0,2024-09-07 08:37:01:755,41158,0.5,40962,0.7,81698,0.5,109385,1.75 109,1,2024-09-07 08:37:00:595,266603,266603,0,0,124977676781,1314730585,263827,2280,496,383,392132,0 109,2,2024-09-07 08:37:00:923,195137,195137,0,0,9113969,0,3617 109,3,2024-09-07 08:37:01:143,1,152,2,0,249,2207,152,0 110,0,2024-09-07 08:37:01:754,36770,0.4,35694,0.7,74607,0.4,97811,1.75 110,1,2024-09-07 08:37:01:644,267683,267683,0,0,125521701336,1309019648,265070,1848,765,370,391667,0 110,2,2024-09-07 08:37:01:309,194505,194505,0,0,8075852,0,2915 110,3,2024-09-07 08:37:00:736,1,152,3,0,406,2232,152,0 111,0,2024-09-07 08:37:01:414,36043,0.2,35523,0.4,71067,0.1,95617,1.50 111,1,2024-09-07 08:37:01:009,268082,268082,0,0,125688515880,1306841430,266307,1443,332,382,391690,0 111,2,2024-09-07 08:37:01:118,187961,187961,0,0,8821380,0,2763 111,3,2024-09-07 08:37:00:923,1,152,3,0,379,2524,152,0 112,0,2024-09-07 08:37:00:928,37905,0.3,37858,0.5,75807,0.2,100926,1.50 112,1,2024-09-07 08:37:00:861,268332,268332,0,0,125446105864,1306984217,266006,1901,425,381,391580,0 112,2,2024-09-07 08:37:01:135,190342,190341,1,0,8893421,0,5036 112,3,2024-09-07 08:37:00:609,1,152,1,0,282,1850,152,0 113,0,2024-09-07 08:37:00:884,42304,0.4,42160,0.6,85153,0.4,114394,1.75 113,1,2024-09-07 08:37:01:686,268125,268125,0,0,125651977708,1303856542,265711,1926,488,366,391661,0 113,2,2024-09-07 08:37:01:302,197033,197033,0,0,7844946,0,3813 113,3,2024-09-07 08:37:00:697,1,152,1,0,288,2362,152,0 114,0,2024-09-07 08:37:00:884,37316,0.7,37883,0.7,74177,0.5,99644,2.00 114,1,2024-09-07 08:37:00:719,267724,267724,0,0,124408649765,1306368994,263596,2665,1463,381,391534,0 114,2,2024-09-07 08:37:00:875,194220,194220,0,0,8096346,0,3925 114,3,2024-09-07 08:37:01:278,1,152,6,0,395,1968,152,0 115,0,2024-09-07 08:37:00:565,35956,0.2,36212,0.4,72555,0.2,96027,1.50 115,1,2024-09-07 08:37:00:578,267822,267822,0,0,125488424767,1311919519,264182,2819,821,382,391602,0 115,2,2024-09-07 08:37:01:129,189733,189733,0,0,7556075,0,2152 115,3,2024-09-07 08:37:01:001,1,152,1,0,159,1060,152,0 116,0,2024-09-07 08:37:01:752,36774,0.6,36228,0.8,72936,0.6,97393,2.00 116,1,2024-09-07 08:37:00:841,265686,265686,0,0,124467837545,1326910183,260784,3149,1753,382,391677,0 116,2,2024-09-07 08:37:01:752,189589,189589,0,0,10544853,0,3529 116,3,2024-09-07 08:37:00:944,1,152,8,0,252,2279,152,0 117,0,2024-09-07 08:37:01:038,41883,1.5,41901,1.1,83807,2.3,112930,2.25 117,1,2024-09-07 08:37:01:582,267082,267082,0,0,125067677033,1314863733,263414,3241,427,370,392033,0 117,2,2024-09-07 08:37:01:120,194552,194552,0,0,8465618,0,3700 117,3,2024-09-07 08:37:01:060,1,152,28,0,490,3286,152,0 118,0,2024-09-07 08:37:01:825,36302,1.0,37360,1.0,75895,1.3,98892,2.25 118,1,2024-09-07 08:37:00:590,266549,266549,0,0,124049364919,1313558193,261710,3519,1320,368,391736,0 118,2,2024-09-07 08:37:01:586,193689,193689,0,0,9556299,0,2781 118,3,2024-09-07 08:37:01:778,1,152,5,0,235,2037,152,0 119,0,2024-09-07 08:37:01:401,36409,0.7,36562,0.8,74062,0.7,97493,2.00 119,1,2024-09-07 08:37:00:550,267233,267233,0,0,125132801256,1308918827,264759,2187,287,369,391641,0 119,2,2024-09-07 08:37:01:270,190744,190744,0,0,8910302,0,3526 119,3,2024-09-07 08:37:01:338,1,152,2,0,443,2829,152,0 120,0,2024-09-07 08:37:01:570,35183,0.5,35312,0.7,70453,0.4,94023,2.00 120,1,2024-09-07 08:37:00:863,267494,267494,0,0,124284997681,1306866904,264392,2843,259,368,391961,0 120,2,2024-09-07 08:37:00:796,189522,189521,1,0,10775320,0,5281 120,3,2024-09-07 08:37:01:291,1,152,1,0,241,2166,152,0 121,0,2024-09-07 08:37:01:718,40227,1.7,40693,1.2,80973,2.6,108770,2.25 121,1,2024-09-07 08:37:01:660,267288,267288,0,0,124654813519,1305989065,264559,2441,288,367,391840,0 121,2,2024-09-07 08:37:01:133,190217,190217,0,0,9753630,0,4127 121,3,2024-09-07 08:37:00:736,1,152,14,0,269,2166,152,0 122,0,2024-09-07 08:37:01:764,39705,1.3,38666,1.2,81039,1.5,106653,2.25 122,1,2024-09-07 08:37:00:882,266244,266244,0,0,124709147140,1313565843,262111,3427,706,366,392130,0 122,2,2024-09-07 08:37:01:320,195092,195092,0,0,10880546,0,3364 122,3,2024-09-07 08:37:00:597,1,152,1,0,411,3706,152,0 123,0,2024-09-07 08:37:00:976,36783,0.8,35818,0.8,75090,1.0,97713,2.25 123,1,2024-09-07 08:37:00:574,267502,267502,0,0,124842508065,1317991370,262429,4379,694,369,391823,0 123,2,2024-09-07 08:37:01:023,192089,192088,1,0,9388147,0,5215 123,3,2024-09-07 08:37:01:135,1,152,22,0,168,1966,152,0 124,0,2024-09-07 08:37:00:965,36884,0.3,36848,0.5,69596,0.2,95237,1.50 124,1,2024-09-07 08:37:01:023,267559,267559,0,0,125173152988,1303239166,265475,1728,356,367,392178,0 124,2,2024-09-07 08:37:01:019,189176,189176,0,0,8070664,0,3101 124,3,2024-09-07 08:37:00:773,1,152,1,0,490,2224,152,0 125,0,2024-09-07 08:37:01:431,38358,0.4,38384,0.6,76833,0.4,102506,1.75 125,1,2024-09-07 08:37:00:862,267597,267597,0,0,125329640075,1311625786,265236,2086,275,384,391702,0 125,2,2024-09-07 08:37:01:117,191045,191045,0,0,8043891,0,2180 125,3,2024-09-07 08:37:01:132,1,152,8,0,284,2026,152,0 126,0,2024-09-07 08:37:01:427,42407,0.8,43521,0.8,83124,0.9,112762,2.00 126,1,2024-09-07 08:37:00:576,267836,267836,0,0,125278787091,1301397541,266207,1551,78,365,391987,0 126,2,2024-09-07 08:37:00:612,196460,196460,0,0,8436850,0,3186 126,3,2024-09-07 08:37:00:911,1,152,0,0,150,2252,152,0 127,0,2024-09-07 08:37:01:593,36192,0.4,36515,0.6,72662,0.4,96862,1.75 127,1,2024-09-07 08:37:00:573,267373,267373,0,0,125073177305,1298747067,265312,2020,41,365,391816,0 127,2,2024-09-07 08:37:00:637,194307,194307,0,0,7920941,0,2264 127,3,2024-09-07 08:37:01:267,1,152,3,0,243,1398,152,0 128,0,2024-09-07 08:37:01:531,35944,0.3,35731,0.4,71461,0.2,95117,1.50 128,1,2024-09-07 08:37:01:615,267843,267843,0,0,125253975376,1299550637,266868,903,72,367,391680,0 128,2,2024-09-07 08:37:01:384,188027,188027,0,0,7928352,0,2107 128,3,2024-09-07 08:37:00:771,1,152,1,0,333,2259,152,0 129,0,2024-09-07 08:37:01:013,37850,0.3,37702,0.5,75191,0.2,100618,1.50 129,1,2024-09-07 08:37:00:578,266732,266732,0,0,124296535087,1305619688,263808,2532,392,379,391835,0 129,2,2024-09-07 08:37:00:704,190775,190775,0,0,8335871,0,4031 129,3,2024-09-07 08:37:00:699,1,152,1,0,173,1884,152,0 130,0,2024-09-07 08:37:01:717,42503,0.8,42219,0.8,84859,1.0,113423,2.00 130,1,2024-09-07 08:37:00:627,267652,267652,0,0,125017638155,1305809450,265548,2033,71,381,391825,0 130,2,2024-09-07 08:37:01:130,195478,195478,0,0,8219973,0,4067 130,3,2024-09-07 08:37:01:295,1,152,1,0,450,1933,152,0 131,0,2024-09-07 08:37:01:955,36840,0.6,37049,0.7,74865,0.7,99114,1.75 131,1,2024-09-07 08:37:01:822,268700,268700,0,0,125031960765,1303413110,267236,1258,206,383,391865,0 131,2,2024-09-07 08:37:00:580,194764,194764,0,0,7791166,0,2415 131,3,2024-09-07 08:37:01:693,1,152,13,0,392,1809,152,0 132,0,2024-09-07 08:37:01:448,36406,0.5,36859,0.7,73815,0.4,98069,2.00 132,1,2024-09-07 08:37:00:580,266063,266063,0,0,124410096046,1320320433,260660,4372,1031,381,391760,0 132,2,2024-09-07 08:37:00:711,189585,189585,0,0,10678701,0,4606 132,3,2024-09-07 08:37:01:701,1,152,1,0,356,2833,152,0 133,0,2024-09-07 08:37:01:532,35265,0.4,36058,0.6,74090,0.3,96047,1.75 133,1,2024-09-07 08:37:00:590,265702,265702,0,0,124782035954,1321406199,261201,3863,638,383,391914,0 133,2,2024-09-07 08:37:01:104,189885,189885,0,0,10715145,0,4315 133,3,2024-09-07 08:37:01:305,1,152,7,0,187,1406,152,0 134,0,2024-09-07 08:37:00:942,41770,0.6,41600,0.8,83989,0.6,111873,2.00 134,1,2024-09-07 08:37:00:585,266383,266383,0,0,124898969683,1314231909,262027,3134,1222,366,391718,0 134,2,2024-09-07 08:37:01:756,191545,191545,0,0,9060381,0,3096 134,3,2024-09-07 08:37:00:757,1,152,2,0,739,2897,152,0 135,0,2024-09-07 08:37:01:108,37232,1.7,37149,1.4,78758,2.1,101194,2.50 135,1,2024-09-07 08:37:01:585,266128,266128,0,0,124471404199,1314156875,261942,3484,702,380,391725,0 135,2,2024-09-07 08:37:00:700,194608,194608,0,0,9802028,0,3981 135,3,2024-09-07 08:37:01:004,1,152,2,0,89,866,152,0 136,0,2024-09-07 08:37:01:623,37006,0.6,36856,0.8,74222,0.5,98326,2.00 136,1,2024-09-07 08:37:01:443,266753,266753,0,0,125176153742,1313658322,263493,3087,173,384,391641,0 136,2,2024-09-07 08:37:01:134,193693,193693,0,0,9194376,0,3506 136,3,2024-09-07 08:37:01:120,1,152,8,0,108,1296,152,0 137,0,2024-09-07 08:37:00:931,36583,0.5,35532,0.7,70089,0.4,94492,1.75 137,1,2024-09-07 08:37:00:583,267136,267136,0,0,125141465496,1312350755,263098,3619,419,367,391608,0 137,2,2024-09-07 08:37:01:711,188794,188794,0,0,10453285,0,3185 137,3,2024-09-07 08:37:00:784,1,152,1,1,227,1829,152,0 138,0,2024-09-07 08:37:01:748,38495,1.4,38579,1.2,77765,2.0,103882,2.50 138,1,2024-09-07 08:37:01:685,267203,267203,0,0,124614986165,1305380761,264166,2686,351,368,391954,0 138,2,2024-09-07 08:37:00:588,191376,191376,0,0,8534984,0,3263 138,3,2024-09-07 08:37:00:610,1,152,8,0,1160,2940,152,0 139,0,2024-09-07 08:37:01:382,39953,3.1,40138,1.8,80857,4.4,108092,3.25 139,1,2024-09-07 08:37:00:578,266133,266133,0,0,124020412231,1318422580,261073,3745,1315,381,391892,0 139,2,2024-09-07 08:37:00:701,193990,193990,0,0,9762817,0,3097 139,3,2024-09-07 08:37:01:667,1,152,2,0,244,1806,152,0 140,0,2024-09-07 08:37:01:591,36954,0.4,36624,0.6,73827,0.3,97856,1.75 140,1,2024-09-07 08:37:01:540,268213,268213,0,0,125605237885,1298056806,266698,1275,240,365,391606,0 140,2,2024-09-07 08:37:00:699,194325,194325,0,0,8435917,0,3388 140,3,2024-09-07 08:37:00:784,1,152,5,0,247,1428,152,0 141,0,2024-09-07 08:37:01:734,35592,0.2,36690,0.4,70330,0.1,95631,1.50 141,1,2024-09-07 08:37:00:860,267926,267926,0,0,125396823451,1303935141,265987,1586,353,379,391614,0 141,2,2024-09-07 08:37:01:697,188446,188446,0,0,7889903,0,2342 141,3,2024-09-07 08:37:01:058,1,152,5,0,147,1302,152,0 142,0,2024-09-07 08:37:01:313,38262,0.3,38083,0.5,75510,0.3,101102,1.50 142,1,2024-09-07 08:37:00:590,267667,267667,0,0,124785637641,1302919780,266125,1383,159,383,391728,0 142,2,2024-09-07 08:37:01:300,189245,189213,32,0,9208174,0,6028 142,3,2024-09-07 08:37:01:748,1,152,6,0,484,2144,152,0 143,0,2024-09-07 08:37:01:370,42499,0.7,42509,0.7,85561,0.8,114225,2.00 143,1,2024-09-07 08:37:00:592,267743,267743,0,0,125296328702,1303198866,265633,2067,43,367,391619,0 143,2,2024-09-07 08:37:00:786,196044,196044,0,0,8540895,0,2669 143,3,2024-09-07 08:37:01:141,1,152,1,0,303,2566,152,0 144,0,2024-09-07 08:37:01:500,35637,1.0,36673,1.5,74296,1.0,98298,2.25 144,1,2024-09-07 08:37:00:574,266813,266813,0,0,124353297906,1307556737,264422,2188,203,381,391649,0 144,2,2024-09-07 08:37:01:756,194616,194616,0,0,8386384,0,3473 144,3,2024-09-07 08:37:01:741,1,152,14,0,249,2082,152,0 145,0,2024-09-07 08:37:01:365,34829,0.5,34809,0.8,73836,0.4,95563,2.00 145,1,2024-09-07 08:37:00:558,265599,265599,0,0,124345463668,1315238112,261211,3652,736,383,391615,0 145,2,2024-09-07 08:37:01:435,188707,188707,0,0,9248922,0,3903 145,3,2024-09-07 08:37:00:899,1,152,0,0,151,1834,152,0 146,0,2024-09-07 08:37:01:592,36439,0.4,36269,0.7,73276,0.4,96942,2.00 146,1,2024-09-07 08:37:01:589,267634,267634,0,0,124676340407,1310514675,263189,3585,860,368,391629,0 146,2,2024-09-07 08:37:01:696,191110,191110,0,0,8744715,0,2498 146,3,2024-09-07 08:37:01:273,1,152,0,0,1520,5135,152,0 147,0,2024-09-07 08:37:01:717,42074,0.9,41938,1.0,83292,0.9,112672,2.50 147,1,2024-09-07 08:37:01:378,268432,268432,0,0,125193672672,1307131771,265334,2603,495,368,391791,0 147,2,2024-09-07 08:37:01:014,194207,194207,0,0,8350627,0,2789 147,3,2024-09-07 08:37:00:918,1,152,1,0,371,1974,152,0 0,0,2024-09-07 08:37:11:717,34504,0.5,34601,0.7,73146,0.4,94846,1.75 0,1,2024-09-07 08:37:10:803,268864,268864,0,0,126353028939,1324294916,266898,1830,136,372,391772,0 0,2,2024-09-07 08:37:11:072,191360,191360,0,0,8604801,0,4480 0,3,2024-09-07 08:37:10:977,1,153,5,0,247,2032,153,0 1,0,2024-09-07 08:37:11:794,41149,1.4,40788,1.3,81875,2.0,110147,2.25 1,1,2024-09-07 08:37:10:566,268522,268522,0,0,125555004559,1321036297,265087,2568,867,372,391857,0 1,2,2024-09-07 08:37:10:638,192232,192232,0,0,7831237,0,3267 1,3,2024-09-07 08:37:11:319,1,153,0,0,262,1945,153,0 2,0,2024-09-07 08:37:11:567,39866,1.2,40002,1.1,79555,1.7,106069,2.25 2,1,2024-09-07 08:37:10:863,268831,268831,0,0,126150249655,1313950685,267324,1301,206,380,391745,0 2,2,2024-09-07 08:37:11:269,195666,195666,0,0,8685268,0,3594 2,3,2024-09-07 08:37:10:690,1,153,1,0,357,1671,153,0 3,0,2024-09-07 08:37:11:756,36538,0.5,36744,0.7,73559,0.5,97359,2.00 3,1,2024-09-07 08:37:11:621,268584,268584,0,0,125189486377,1310151570,265476,2684,424,380,391516,0 3,2,2024-09-07 08:37:11:150,194500,194477,23,0,8631804,0,5851 3,3,2024-09-07 08:37:11:754,1,153,10,0,103,997,153,0 4,0,2024-09-07 08:37:11:777,34813,0.3,35793,0.5,72752,0.2,95010,1.75 4,1,2024-09-07 08:37:10:598,268963,268963,0,0,125210123495,1318546326,265998,2444,521,371,391846,0 4,2,2024-09-07 08:37:11:022,189293,189293,0,0,10197371,0,4528 4,3,2024-09-07 08:37:11:027,1,153,14,0,287,2163,153,0 5,0,2024-09-07 08:37:11:371,38702,0.5,38601,0.6,77300,0.5,103089,1.75 5,1,2024-09-07 08:37:10:760,268109,268109,0,0,125307905687,1324130054,263909,3214,986,368,392005,0 5,2,2024-09-07 08:37:11:831,191094,191094,0,0,8799815,0,2259 5,3,2024-09-07 08:37:11:746,1,153,1,0,238,2344,153,0 6,0,2024-09-07 08:37:10:918,42787,1.0,42361,1.0,84061,1.4,112757,2.50 6,1,2024-09-07 08:37:10:746,269150,269150,0,0,125676274631,1319431453,265751,2752,647,381,391617,0 6,2,2024-09-07 08:37:11:123,197525,197525,0,0,9077092,0,4816 6,3,2024-09-07 08:37:11:281,1,153,0,0,340,2275,153,0 7,0,2024-09-07 08:37:11:546,36017,0.5,35982,0.7,72248,0.5,96403,2.00 7,1,2024-09-07 08:37:10:850,268948,268948,0,0,126235091148,1324235980,266134,2682,132,382,391664,0 7,2,2024-09-07 08:37:10:770,195108,195108,0,0,8284732,0,2981 7,3,2024-09-07 08:37:10:851,1,153,1,0,305,1642,153,0 8,0,2024-09-07 08:37:11:353,35863,0.3,36041,0.4,71629,0.2,95783,1.50 8,1,2024-09-07 08:37:11:036,268499,268499,0,0,125552815983,1329549704,262898,4002,1599,367,391956,0 8,2,2024-09-07 08:37:10:794,187213,187213,0,0,9740302,0,2986 8,3,2024-09-07 08:37:10:589,1,153,1,0,357,2583,153,0 9,0,2024-09-07 08:37:11:112,38074,0.3,36891,0.5,77027,0.3,101168,1.75 9,1,2024-09-07 08:37:10:553,268249,268249,0,0,125953034604,1331563006,263755,3365,1129,370,391753,0 9,2,2024-09-07 08:37:11:085,191294,191294,0,0,9042597,0,3360 9,3,2024-09-07 08:37:11:778,1,153,1,0,496,2639,153,0 10,0,2024-09-07 08:37:11:606,42788,0.4,42490,0.6,85470,0.3,114225,1.75 10,1,2024-09-07 08:37:10:587,268532,268532,0,0,125434412672,1319301905,264061,3830,641,381,391741,0 10,2,2024-09-07 08:37:10:762,196682,196682,0,0,9378581,0,2940 10,3,2024-09-07 08:37:10:872,1,153,1,0,177,1197,153,0 11,0,2024-09-07 08:37:11:010,36430,0.9,35538,1.0,74459,1.1,99499,2.25 11,1,2024-09-07 08:37:10:571,268889,268889,0,0,125866142007,1326974558,263587,4032,1270,384,391537,0 11,2,2024-09-07 08:37:11:123,195402,195402,0,0,9115849,0,3411 11,3,2024-09-07 08:37:11:300,1,153,1,0,720,2818,153,0 12,0,2024-09-07 08:37:10:939,37291,0.3,36967,0.5,74238,0.2,98430,1.50 12,1,2024-09-07 08:37:10:933,268567,268567,0,0,125041553615,1309703931,265680,2475,412,370,391837,0 12,2,2024-09-07 08:37:11:541,191501,191501,0,0,9322364,0,3469 12,3,2024-09-07 08:37:11:063,1,153,4,0,358,2682,153,0 13,0,2024-09-07 08:37:11:343,36618,0.4,36591,0.5,73058,0.3,97363,1.75 13,1,2024-09-07 08:37:11:540,268567,268567,0,0,125463790981,1319181192,266152,2007,408,382,391717,0 13,2,2024-09-07 08:37:10:596,192804,192804,0,0,8511852,0,3287 13,3,2024-09-07 08:37:11:763,1,153,1,0,467,3149,153,0 14,0,2024-09-07 08:37:10:568,42495,0.5,42529,0.7,84378,0.4,113060,1.75 14,1,2024-09-07 08:37:11:560,270252,270252,0,0,126194291061,1311675882,267987,2102,163,364,391571,0 14,2,2024-09-07 08:37:10:764,193511,193511,0,0,8698793,0,2896 14,3,2024-09-07 08:37:11:116,1,153,1,0,1168,2887,153,0 15,0,2024-09-07 08:37:11:564,38261,1.2,38294,1.2,76882,1.6,102064,2.75 15,1,2024-09-07 08:37:11:614,268804,268804,0,0,125660857756,1310427157,266891,1804,109,381,391619,0 15,2,2024-09-07 08:37:10:998,196552,196552,0,0,7359259,0,3043 15,3,2024-09-07 08:37:11:415,1,153,0,0,1126,4286,153,0 16,0,2024-09-07 08:37:10:985,36962,0.5,37311,0.7,73882,0.5,98523,2.00 16,1,2024-09-07 08:37:10:578,269367,269367,0,0,125548532307,1315338018,267143,1963,261,370,391756,0 16,2,2024-09-07 08:37:11:451,192803,192803,0,0,9659793,0,4719 16,3,2024-09-07 08:37:11:142,1,153,11,0,231,2209,153,0 17,0,2024-09-07 08:37:11:804,36696,0.4,35798,0.6,70262,0.4,94665,1.75 17,1,2024-09-07 08:37:10:571,268338,268338,0,0,124957722779,1317163716,264984,2683,671,368,391688,0 17,2,2024-09-07 08:37:11:666,192663,192663,0,0,8564411,0,2857 17,3,2024-09-07 08:37:10:574,1,153,1,0,268,2445,153,0 18,0,2024-09-07 08:37:10:943,38699,0.9,38969,0.9,78019,0.9,104626,2.25 18,1,2024-09-07 08:37:11:640,268777,268777,0,0,126337878498,1314023886,266770,1773,234,368,391564,0 18,2,2024-09-07 08:37:11:755,191746,191746,0,0,8602758,0,3541 18,3,2024-09-07 08:37:10:898,1,153,1,0,163,1722,153,0 19,0,2024-09-07 08:37:11:555,40616,1.2,40996,1.1,81035,1.5,107582,2.75 19,1,2024-09-07 08:37:10:566,269273,269273,0,0,125813686094,1315385329,265460,3097,716,367,391777,0 19,2,2024-09-07 08:37:11:766,197559,197559,0,0,7876503,0,3988 19,3,2024-09-07 08:37:11:130,1,153,1,0,524,1475,153,0 20,0,2024-09-07 08:37:11:358,36756,0.6,36650,0.8,73595,0.7,97798,2.25 20,1,2024-09-07 08:37:10:566,268354,268354,0,0,125432898085,1319466599,264891,3073,390,369,391822,0 20,2,2024-09-07 08:37:10:930,194991,194991,0,0,8937886,0,3721 20,3,2024-09-07 08:37:10:589,1,153,12,0,414,2844,153,0 21,0,2024-09-07 08:37:11:182,36313,0.3,36349,0.5,72227,0.3,95911,1.75 21,1,2024-09-07 08:37:11:539,268198,268198,0,0,125378623658,1323518059,264534,3132,532,368,391962,0 21,2,2024-09-07 08:37:11:070,189470,189470,0,0,9571461,0,3747 21,3,2024-09-07 08:37:11:413,1,153,1,0,103,1922,153,0 22,0,2024-09-07 08:37:11:721,38087,0.6,38103,0.8,75637,0.6,101425,2.00 22,1,2024-09-07 08:37:11:023,267985,267985,0,0,124534446252,1318953835,262476,4000,1509,382,391667,0 22,2,2024-09-07 08:37:10:770,191352,191352,0,0,8312109,0,3134 22,3,2024-09-07 08:37:11:073,1,153,3,0,228,1742,153,0 23,0,2024-09-07 08:37:11:370,42484,0.9,42620,0.9,85509,1.2,114038,2.50 23,1,2024-09-07 08:37:11:003,268918,268918,0,0,125757581228,1319486331,264823,2613,1482,365,391549,0 23,2,2024-09-07 08:37:11:096,196888,196888,0,0,8675745,0,3010 23,3,2024-09-07 08:37:11:754,1,153,1,0,645,1752,153,0 24,0,2024-09-07 08:37:10:855,37583,0.5,37666,0.7,75354,0.6,100191,1.75 24,1,2024-09-07 08:37:10:584,268934,268934,0,0,125291399677,1313812850,265975,2160,799,369,391640,0 24,2,2024-09-07 08:37:11:071,194482,194482,0,0,9786099,0,2942 24,3,2024-09-07 08:37:11:685,1,153,1,0,234,1781,153,0 25,0,2024-09-07 08:37:11:365,37233,0.4,36295,0.6,71287,0.3,96818,1.75 25,1,2024-09-07 08:37:10:569,268826,268826,0,0,125186764378,1317352789,265139,3144,543,371,391788,0 25,2,2024-09-07 08:37:11:606,188833,188833,0,0,9724537,0,3978 25,3,2024-09-07 08:37:11:000,1,153,1,0,158,1720,153,0 26,0,2024-09-07 08:37:11:728,36633,0.3,35800,0.5,75162,0.3,98604,1.75 26,1,2024-09-07 08:37:11:542,269054,269054,0,0,125468945329,1320673036,264394,3759,901,381,391564,0 26,2,2024-09-07 08:37:10:865,192279,192279,0,0,8808298,0,2809 26,3,2024-09-07 08:37:11:718,1,153,2,0,796,2165,153,0 27,0,2024-09-07 08:37:11:728,42770,0.5,42783,0.6,84784,0.5,113768,1.75 27,1,2024-09-07 08:37:11:677,270459,270459,0,0,126214075106,1312605265,268640,1496,323,381,391539,0 27,2,2024-09-07 08:37:10:877,194272,194272,0,0,9651082,0,3409 27,3,2024-09-07 08:37:11:015,1,153,2,0,564,1920,153,0 28,0,2024-09-07 08:37:11:419,37162,0.9,37426,0.9,75287,1.2,100406,2.50 28,1,2024-09-07 08:37:10:806,269384,269384,0,0,126659471352,1322593830,267222,1826,336,383,391646,0 28,2,2024-09-07 08:37:11:765,196082,196082,0,0,7735470,0,2915 28,3,2024-09-07 08:37:11:782,1,153,5,0,502,1999,153,0 29,0,2024-09-07 08:37:11:379,37750,0.4,36943,0.6,72173,0.3,98634,1.75 29,1,2024-09-07 08:37:11:562,270371,270371,0,0,126007167407,1308472446,267943,1885,543,369,391621,0 29,2,2024-09-07 08:37:10:867,192147,192147,0,0,7508740,0,4018 29,3,2024-09-07 08:37:10:973,1,153,0,0,105,1204,153,0 30,0,2024-09-07 08:37:11:457,35510,0.5,34510,0.7,72269,0.5,95031,2.00 30,1,2024-09-07 08:37:10:571,270017,270017,0,0,126375407676,1314959140,268030,1709,278,382,391672,0 30,2,2024-09-07 08:37:11:283,192295,192295,0,0,7517662,0,3161 30,3,2024-09-07 08:37:10:582,1,153,1,0,195,1167,153,0 31,0,2024-09-07 08:37:11:762,40563,0.6,40895,0.7,82484,0.5,109853,2.00 31,1,2024-09-07 08:37:10:564,270533,270533,0,0,126163599535,1294899545,269733,688,112,356,391553,0 31,2,2024-09-07 08:37:11:277,192631,192631,0,0,9286479,0,3525 31,3,2024-09-07 08:37:11:713,1,153,1,0,220,1333,153,0 32,0,2024-09-07 08:37:11:433,39882,0.6,40313,0.7,80636,0.5,106807,1.75 32,1,2024-09-07 08:37:10:804,269861,269861,0,0,126175346232,1312702814,268119,1467,275,382,391595,0 32,2,2024-09-07 08:37:10:935,196063,196063,0,0,7678399,0,3155 32,3,2024-09-07 08:37:11:015,1,153,1,0,227,1449,153,0 33,0,2024-09-07 08:37:11:525,37264,0.3,36619,0.5,73992,0.3,98349,1.75 33,1,2024-09-07 08:37:10:578,270426,270426,0,0,126418153890,1311787180,268167,2087,172,369,391730,0 33,2,2024-09-07 08:37:10:759,194376,194343,33,0,9495857,0,7012 33,3,2024-09-07 08:37:10:897,1,153,1,0,329,1837,153,0 34,0,2024-09-07 08:37:10:937,35932,0.3,37092,0.4,71052,0.2,95171,1.50 34,1,2024-09-07 08:37:11:044,270479,270479,0,0,127051479326,1310504156,269484,989,6,367,391562,0 34,2,2024-09-07 08:37:10:770,189486,189486,0,0,8410799,0,3577 34,3,2024-09-07 08:37:11:689,1,153,1,0,148,1041,153,0 35,0,2024-09-07 08:37:10:863,38342,0.4,38675,0.6,77314,0.4,103396,1.75 35,1,2024-09-07 08:37:11:070,269477,269477,0,0,125307610120,1305110813,266678,2029,770,384,391589,0 35,2,2024-09-07 08:37:11:586,191410,191410,0,0,7764861,0,2653 35,3,2024-09-07 08:37:10:908,1,153,2,0,418,1960,153,0 36,0,2024-09-07 08:37:11:575,42388,1.1,42186,1.0,85013,1.3,112363,2.50 36,1,2024-09-07 08:37:10:586,268883,268883,0,0,126192757053,1325720363,264264,3331,1288,366,391759,0 36,2,2024-09-07 08:37:11:761,196525,196525,0,0,9231044,0,3303 36,3,2024-09-07 08:37:10:863,1,153,2,0,378,2137,153,0 37,0,2024-09-07 08:37:11:388,36095,0.5,36248,0.7,72212,0.5,97316,2.00 37,1,2024-09-07 08:37:10:572,268367,268360,0,7,125825262912,1327201048,263587,2754,2019,365,391560,0 37,2,2024-09-07 08:37:11:155,195209,195194,15,0,9332385,0,5815 37,3,2024-09-07 08:37:11:766,1,153,11,0,724,2457,153,0 38,0,2024-09-07 08:37:11:446,35790,0.4,34647,0.6,72403,0.3,95438,2.00 38,1,2024-09-07 08:37:11:605,269389,269389,0,0,125970300614,1320828977,265351,3406,632,368,391821,0 38,2,2024-09-07 08:37:10:760,189560,189513,47,0,10818528,0,6710 38,3,2024-09-07 08:37:10:997,1,153,27,0,603,2131,153,0 39,0,2024-09-07 08:37:11:790,39007,0.6,38082,0.7,74397,0.6,101711,2.00 39,1,2024-09-07 08:37:10:716,269191,269191,0,0,125560849429,1313778672,265403,2987,801,365,391524,0 39,2,2024-09-07 08:37:11:428,191675,191675,0,0,8007139,0,2689 39,3,2024-09-07 08:37:10:713,1,153,3,0,276,2056,153,0 40,0,2024-09-07 08:37:11:509,42047,0.9,42793,1.2,85018,1.0,113703,3.00 40,1,2024-09-07 08:37:10:588,269339,269339,0,0,124729293005,1309501925,264927,3643,769,368,391591,0 40,2,2024-09-07 08:37:11:308,195919,195918,1,0,10000835,0,5137 40,3,2024-09-07 08:37:11:151,1,153,55,0,181,1588,153,0 41,0,2024-09-07 08:37:11:028,36507,2.2,37219,1.8,71385,3.6,98079,4.25 41,1,2024-09-07 08:37:10:774,268688,268688,0,0,125692632411,1318877068,264496,3660,532,370,391742,0 41,2,2024-09-07 08:37:10:767,194254,194254,0,0,9852755,0,3356 41,3,2024-09-07 08:37:11:676,1,153,1,0,366,1944,153,0 42,0,2024-09-07 08:37:11:476,36264,0.5,36677,0.8,73148,0.4,96559,2.25 42,1,2024-09-07 08:37:11:454,267959,267959,0,0,125457163738,1322188152,263262,3739,958,380,391675,0 42,2,2024-09-07 08:37:11:135,189896,189896,0,0,9436106,0,3568 42,3,2024-09-07 08:37:11:009,1,153,8,0,446,1407,153,0 43,0,2024-09-07 08:37:10:929,36399,0.6,35631,0.9,73919,0.6,97473,2.00 43,1,2024-09-07 08:37:10:575,269042,269042,0,0,126237039681,1318428335,265800,2449,793,366,391604,0 43,2,2024-09-07 08:37:11:736,192637,192637,0,0,8848897,0,3812 43,3,2024-09-07 08:37:11:748,1,153,1,0,325,2113,153,0 44,0,2024-09-07 08:37:10:862,42362,0.5,42495,0.7,84706,0.5,113517,1.75 44,1,2024-09-07 08:37:10:563,269352,269352,0,0,125518176233,1299928650,267294,1633,425,356,391809,0 44,2,2024-09-07 08:37:11:269,193262,193262,0,0,7459840,0,1877 44,3,2024-09-07 08:37:11:100,1,153,33,0,817,2089,153,0 45,0,2024-09-07 08:37:11:789,37810,1.5,37051,1.2,77905,2.0,102724,2.25 45,1,2024-09-07 08:37:11:013,269523,269523,0,0,125763040180,1305690282,268484,1029,10,382,391917,0 45,2,2024-09-07 08:37:11:273,196533,196533,0,0,8142170,0,3596 45,3,2024-09-07 08:37:10:934,1,153,1,0,226,1417,153,0 46,0,2024-09-07 08:37:10:961,36873,0.4,36726,0.6,73743,0.3,97530,1.75 46,1,2024-09-07 08:37:10:576,270016,270016,0,0,125944094088,1304862681,268208,1585,223,366,391524,0 46,2,2024-09-07 08:37:10:596,194217,194217,0,0,8073258,0,2920 46,3,2024-09-07 08:37:11:134,1,153,0,0,908,2898,153,0 47,0,2024-09-07 08:37:11:107,35613,0.3,35836,0.5,71910,0.2,94430,1.75 47,1,2024-09-07 08:37:10:567,270086,270086,0,0,125790966869,1300782379,268684,1385,17,366,391605,0 47,2,2024-09-07 08:37:10:916,192021,192021,0,0,8137792,0,2558 47,3,2024-09-07 08:37:11:115,1,153,1,0,529,1781,153,0 48,0,2024-09-07 08:37:11:505,39605,0.3,39202,0.5,78618,0.2,105244,1.75 48,1,2024-09-07 08:37:11:031,270028,270028,0,0,125863459194,1310540711,267967,1880,181,384,391710,0 48,2,2024-09-07 08:37:10:714,192100,192100,0,0,6994548,0,2083 48,3,2024-09-07 08:37:10:763,1,153,2,0,339,1429,153,0 49,0,2024-09-07 08:37:11:734,42184,0.6,41357,0.7,80379,0.7,109514,1.75 49,1,2024-09-07 08:37:11:022,268640,268640,0,0,125582569257,1312331148,265904,1896,840,382,391583,0 49,2,2024-09-07 08:37:11:799,197329,197329,0,0,8152197,0,3900 49,3,2024-09-07 08:37:11:417,1,153,2,0,408,2306,153,0 50,0,2024-09-07 08:37:11:510,36830,0.3,36500,0.5,73146,0.2,97712,1.75 50,1,2024-09-07 08:37:11:010,270834,270834,0,0,126639877325,1315697093,268310,2215,309,368,391530,0 50,2,2024-09-07 08:37:11:072,195203,195203,0,0,7709598,0,2253 50,3,2024-09-07 08:37:11:292,1,153,1,0,335,1327,153,0 51,0,2024-09-07 08:37:11:702,36849,0.3,36280,0.4,70738,0.2,96219,1.50 51,1,2024-09-07 08:37:11:679,269328,269328,0,0,127294867043,1318548104,267359,1183,786,367,391637,0 51,2,2024-09-07 08:37:11:319,189323,189323,0,0,7218903,0,3337 51,3,2024-09-07 08:37:11:031,1,153,2,0,162,892,153,0 52,0,2024-09-07 08:37:11:432,38243,0.5,38254,0.7,76617,0.5,101787,2.25 52,1,2024-09-07 08:37:10:588,268474,268474,0,0,125389934314,1325682423,262563,4754,1157,368,391722,0 52,2,2024-09-07 08:37:11:755,190064,190026,38,0,9799880,0,6742 52,3,2024-09-07 08:37:10:673,1,153,15,0,1782,3517,153,0 53,0,2024-09-07 08:37:11:738,42503,1.1,41294,1.0,86428,1.3,113453,2.75 53,1,2024-09-07 08:37:10:782,268098,268098,0,0,125871144756,1331637428,262470,3734,1894,367,391702,0 53,2,2024-09-07 08:37:11:298,197441,197441,0,0,8194558,0,2262 53,3,2024-09-07 08:37:10:697,1,153,1,0,271,1518,153,0 54,0,2024-09-07 08:37:11:621,36073,2.3,36571,1.4,72437,1.2,97382,4.25 54,1,2024-09-07 08:37:10:582,268897,268897,0,0,126158290912,1320160583,264927,3399,571,367,391532,0 54,2,2024-09-07 08:37:10:921,195053,195047,6,0,9778032,0,5382 54,3,2024-09-07 08:37:10:763,1,153,1,0,676,3159,153,0 55,0,2024-09-07 08:37:11:760,34911,0.5,35952,0.7,73011,0.4,94725,2.25 55,1,2024-09-07 08:37:10:764,268386,268386,0,0,125242596594,1314827256,263199,4400,787,365,391731,0 55,2,2024-09-07 08:37:10:729,189238,189238,0,0,9300528,0,3275 55,3,2024-09-07 08:37:10:673,1,153,1,0,304,1681,153,0 56,0,2024-09-07 08:37:11:597,37836,1.2,35807,1.1,73438,1.7,98802,2.50 56,1,2024-09-07 08:37:10:573,268553,268553,0,0,126116043872,1337341582,263426,4224,903,381,391678,0 56,2,2024-09-07 08:37:11:306,191789,191789,0,0,9897866,0,3567 56,3,2024-09-07 08:37:11:062,1,153,4,0,405,2205,153,0 57,0,2024-09-07 08:37:10:947,41579,2.4,41660,1.5,83458,3.7,111925,4.00 57,1,2024-09-07 08:37:10:989,268807,268807,0,0,125518119032,1315754089,265988,2649,170,368,391960,0 57,2,2024-09-07 08:37:11:316,195914,195914,0,0,10180163,0,3178 57,3,2024-09-07 08:37:11:746,1,153,0,0,359,2669,153,0 58,0,2024-09-07 08:37:10:596,36182,1.5,35312,1.3,74000,2.5,97027,3.00 58,1,2024-09-07 08:37:10:581,269831,269828,0,3,126027353682,1318420549,266313,3118,397,367,391516,3 58,2,2024-09-07 08:37:11:071,195618,195618,0,0,9274281,0,2549 58,3,2024-09-07 08:37:11:070,1,153,1043,0,1043,2293,153,0 59,0,2024-09-07 08:37:11:770,36961,0.8,36672,1.0,73286,0.8,97441,3.00 59,1,2024-09-07 08:37:10:807,268901,268901,0,0,125689626468,1317342719,265254,2725,922,369,391515,0 59,2,2024-09-07 08:37:10:587,192748,192748,0,0,8058394,0,2604 59,3,2024-09-07 08:37:11:746,1,153,2,0,1015,2869,153,0 60,0,2024-09-07 08:37:11:728,35839,0.4,35969,0.6,71379,0.3,95092,1.75 60,1,2024-09-07 08:37:10:774,270253,270253,0,0,126316303998,1311344139,268796,1099,358,370,391761,0 60,2,2024-09-07 08:37:11:154,191331,191331,0,0,9319544,0,3811 60,3,2024-09-07 08:37:11:259,1,153,1,0,124,1547,153,0 61,0,2024-09-07 08:37:11:516,40882,1.4,41066,1.1,81993,2.2,109963,2.25 61,1,2024-09-07 08:37:10:770,268993,268993,0,0,125968751562,1323567920,265558,2883,552,382,391589,0 61,2,2024-09-07 08:37:11:116,193347,193347,0,0,8263961,0,2079 61,3,2024-09-07 08:37:11:686,1,153,1,0,199,1898,153,0 62,0,2024-09-07 08:37:11:722,40200,1.6,40962,1.1,78556,2.7,106501,2.50 62,1,2024-09-07 08:37:11:140,271054,271048,0,6,127087579625,1312935456,269370,1639,39,365,391715,6 62,2,2024-09-07 08:37:11:650,194742,194741,1,0,9830502,0,5555 62,3,2024-09-07 08:37:11:143,1,153,1,0,287,1163,153,0 63,0,2024-09-07 08:37:11:480,36775,0.6,36753,0.7,73685,0.5,98055,2.00 63,1,2024-09-07 08:37:10:805,270252,270246,0,6,126419004789,1311323111,269005,1215,26,381,391677,6 63,2,2024-09-07 08:37:10:763,194121,194121,0,0,7600077,0,2674 63,3,2024-09-07 08:37:11:746,1,153,1,0,667,2376,153,0 64,0,2024-09-07 08:37:11:522,35737,0.4,35700,0.6,71672,0.3,94943,1.75 64,1,2024-09-07 08:37:10:751,269756,269756,0,0,126046470853,1320666723,266292,2410,1054,371,391783,0 64,2,2024-09-07 08:37:11:151,191645,191626,19,0,8457352,0,6121 64,3,2024-09-07 08:37:11:157,1,153,7,0,265,1768,153,0 65,0,2024-09-07 08:37:11:674,37903,0.9,38446,0.9,76750,1.1,102597,2.25 65,1,2024-09-07 08:37:10:862,268721,268721,0,0,125918006234,1320181893,266216,2283,222,382,391770,0 65,2,2024-09-07 08:37:11:692,191444,191444,0,0,8896402,0,3367 65,3,2024-09-07 08:37:11:688,1,153,2,0,163,1582,153,0 66,0,2024-09-07 08:37:11:793,41897,0.8,41965,0.9,84323,0.8,111661,2.50 66,1,2024-09-07 08:37:11:294,270126,270126,0,0,126029635260,1312552594,268251,1704,171,380,391588,0 66,2,2024-09-07 08:37:11:135,198396,198396,0,0,8319420,0,4956 66,3,2024-09-07 08:37:11:088,1,153,1,0,291,1689,153,0 67,0,2024-09-07 08:37:11:471,36678,0.9,36368,0.9,73118,1.1,97414,2.50 67,1,2024-09-07 08:37:10:780,268823,268822,0,1,125429762190,1315219522,265355,2721,746,381,391787,1 67,2,2024-09-07 08:37:10:583,196058,196058,0,0,7938125,0,2889 67,3,2024-09-07 08:37:11:778,1,153,1,0,138,1264,153,0 68,0,2024-09-07 08:37:10:565,36066,0.5,35916,0.7,71854,0.4,95630,2.00 68,1,2024-09-07 08:37:10:572,268299,268299,0,0,125353220983,1318135953,265445,1836,1018,381,391953,0 68,2,2024-09-07 08:37:11:044,188313,188248,65,0,11143644,0,6698 68,3,2024-09-07 08:37:10:740,1,153,8,0,417,1997,153,0 69,0,2024-09-07 08:37:11:730,37825,0.8,37987,0.9,75464,0.8,101237,2.25 69,1,2024-09-07 08:37:11:019,267766,267766,0,0,125655462683,1331616701,263764,2787,1215,384,391611,0 69,2,2024-09-07 08:37:11:733,191827,191827,0,0,9701963,0,3701 69,3,2024-09-07 08:37:10:763,1,153,1,0,238,1939,153,0 70,0,2024-09-07 08:37:11:545,41998,2.1,42285,1.5,84772,1.4,113120,3.00 70,1,2024-09-07 08:37:10:802,269317,269317,0,0,126047186243,1312665960,266860,1995,462,366,391725,0 70,2,2024-09-07 08:37:11:326,196409,196409,0,0,9008078,0,4044 70,3,2024-09-07 08:37:10:759,1,153,1,0,854,2071,153,0 71,0,2024-09-07 08:37:11:380,36367,2.3,36499,1.8,72655,3.6,98265,3.75 71,1,2024-09-07 08:37:11:601,269067,269067,0,0,125712254048,1319332209,264951,3592,524,368,391682,0 71,2,2024-09-07 08:37:11:077,195940,195940,0,0,8986410,0,2470 71,3,2024-09-07 08:37:11:752,1,153,2,0,644,2553,153,0 72,0,2024-09-07 08:37:11:024,38073,0.5,37197,0.7,72969,0.4,98743,2.25 72,1,2024-09-07 08:37:11:021,269218,269218,0,0,126122823679,1318470819,265974,2758,486,369,391819,0 72,2,2024-09-07 08:37:11:755,189696,189696,0,0,10320600,0,2570 72,3,2024-09-07 08:37:11:754,1,153,364,0,364,2771,153,0 73,0,2024-09-07 08:37:11:122,35575,0.4,36547,0.6,74553,0.3,97045,2.00 73,1,2024-09-07 08:37:10:776,269631,269631,0,0,125639685780,1305437505,267826,1690,115,367,391627,0 73,2,2024-09-07 08:37:11:740,192370,192370,0,0,9627067,0,3482 73,3,2024-09-07 08:37:10:970,1,153,1,0,274,2522,153,0 74,0,2024-09-07 08:37:11:325,42622,0.5,43437,0.8,82814,0.5,113174,2.25 74,1,2024-09-07 08:37:10:648,269178,269178,0,0,125040432449,1307337231,266391,2166,621,382,391681,0 74,2,2024-09-07 08:37:11:006,193771,193771,0,0,9640028,0,4253 74,3,2024-09-07 08:37:11:442,1,153,1,0,522,2701,153,0 75,0,2024-09-07 08:37:11:772,38529,1.6,38286,1.3,76546,1.9,102969,3.00 75,1,2024-09-07 08:37:11:586,268821,268821,0,0,125648342438,1318346504,265435,2926,460,381,391579,0 75,2,2024-09-07 08:37:11:364,195350,195350,0,0,9166296,0,4766 75,3,2024-09-07 08:37:11:070,1,153,8,0,535,1744,153,0 76,0,2024-09-07 08:37:10:587,36724,0.4,36532,0.7,73062,0.3,97843,2.25 76,1,2024-09-07 08:37:10:807,269386,269386,0,0,125761166038,1314425806,267326,1549,511,382,391692,0 76,2,2024-09-07 08:37:11:064,194548,194548,0,0,8044934,0,3064 76,3,2024-09-07 08:37:11:144,1,153,0,0,175,1680,153,0 77,0,2024-09-07 08:37:11:693,35681,0.5,35883,0.7,71783,0.5,94408,1.75 77,1,2024-09-07 08:37:10:824,270128,270128,0,0,126111266787,1316524767,268537,1509,82,383,391808,0 77,2,2024-09-07 08:37:11:283,190667,190667,0,0,8260987,0,3890 77,3,2024-09-07 08:37:11:104,1,153,1,0,305,1953,153,0 78,0,2024-09-07 08:37:11:722,39214,0.5,39138,0.7,78868,0.5,104882,2.00 78,1,2024-09-07 08:37:10:618,269541,269541,0,0,125661047935,1309470139,267211,2077,253,367,391589,0 78,2,2024-09-07 08:37:11:409,192907,192907,0,0,7789362,0,2114 78,3,2024-09-07 08:37:11:145,1,153,4,0,181,1654,153,0 79,0,2024-09-07 08:37:11:353,39761,0.6,40644,0.8,83103,0.5,107995,2.75 79,1,2024-09-07 08:37:10:581,269953,269953,0,0,125570309696,1303441969,267766,2015,172,369,391682,0 79,2,2024-09-07 08:37:11:078,197618,197618,0,0,8052787,0,3212 79,3,2024-09-07 08:37:10:762,1,153,1,0,418,2873,153,0 80,0,2024-09-07 08:37:11:081,36671,0.6,37496,0.8,72169,0.6,97760,2.25 80,1,2024-09-07 08:37:11:671,268516,268516,0,0,125148701152,1306402249,266000,2355,161,368,391673,0 80,2,2024-09-07 08:37:11:096,195732,195732,0,0,8493304,0,4433 80,3,2024-09-07 08:37:10:574,1,153,2,0,190,2572,153,0 81,0,2024-09-07 08:37:11:539,36068,0.4,37149,0.6,71217,0.4,95874,1.75 81,1,2024-09-07 08:37:11:650,268918,268918,0,0,124992543065,1309838511,266440,2206,272,382,391879,0 81,2,2024-09-07 08:37:11:129,188978,188978,0,0,8895713,0,3993 81,3,2024-09-07 08:37:11:128,1,153,2,0,193,1558,153,0 82,0,2024-09-07 08:37:11:565,37916,0.5,38177,0.7,76505,0.5,101896,2.00 82,1,2024-09-07 08:37:10:583,269518,269514,0,4,125969744107,1316255599,267073,1865,576,382,391558,4 82,2,2024-09-07 08:37:11:690,192279,192279,0,0,8187775,0,3986 82,3,2024-09-07 08:37:11:752,1,153,1,0,227,1821,153,0 83,0,2024-09-07 08:37:11:543,42900,0.8,42813,0.9,85006,0.8,113593,2.25 83,1,2024-09-07 08:37:10:555,269265,269265,0,0,125450031100,1313260828,266818,2243,204,382,391690,0 83,2,2024-09-07 08:37:10:769,196801,196801,0,0,8258146,0,3119 83,3,2024-09-07 08:37:10:759,1,153,2,0,241,1874,153,0 84,0,2024-09-07 08:37:11:788,36821,1.5,36536,1.4,73516,1.2,98701,2.75 84,1,2024-09-07 08:37:11:039,268356,268356,0,0,125495110227,1317917562,264971,2931,454,368,391852,0 84,2,2024-09-07 08:37:10:598,195391,195391,0,0,9331305,0,3801 84,3,2024-09-07 08:37:11:150,1,153,1,0,270,1906,153,0 85,0,2024-09-07 08:37:11:259,34914,0.5,34895,0.7,74010,0.4,95834,2.00 85,1,2024-09-07 08:37:10:561,267985,267985,0,0,125527280388,1333987705,262538,4367,1080,382,392006,0 85,2,2024-09-07 08:37:10:878,189911,189911,0,0,9989476,0,3656 85,3,2024-09-07 08:37:10:691,1,153,22,0,789,2451,153,0 86,0,2024-09-07 08:37:10:936,36910,0.6,37890,0.7,72577,0.6,98598,2.00 86,1,2024-09-07 08:37:10:838,268672,268672,0,0,125788964798,1321330991,264723,3406,543,366,391961,0 86,2,2024-09-07 08:37:10:857,190803,190802,1,0,10369876,0,5004 86,3,2024-09-07 08:37:10:614,1,153,8,0,286,2362,153,0 87,0,2024-09-07 08:37:11:308,42291,1.6,42158,1.2,84496,2.5,113779,2.50 87,1,2024-09-07 08:37:10:554,268414,268414,0,0,125668875270,1319843460,265201,2822,391,366,391788,0 87,2,2024-09-07 08:37:11:068,194627,194627,0,0,8795232,0,3515 87,3,2024-09-07 08:37:11:819,1,153,1,0,335,2801,153,0 88,0,2024-09-07 08:37:11:462,37549,0.7,37695,0.8,75145,0.9,100473,2.00 88,1,2024-09-07 08:37:10:579,267846,267846,0,0,125258193985,1317710551,263824,2855,1167,365,391787,0 88,2,2024-09-07 08:37:10:711,195696,195696,0,0,9865814,0,3583 88,3,2024-09-07 08:37:11:269,1,153,1,0,435,2062,153,0 89,0,2024-09-07 08:37:11:781,38013,0.4,36820,0.7,72895,0.4,98950,1.75 89,1,2024-09-07 08:37:10:553,267664,267664,0,0,125605704721,1331967247,262236,4493,935,382,391866,0 89,2,2024-09-07 08:37:11:170,191701,191701,0,0,9597814,0,2910 89,3,2024-09-07 08:37:11:793,1,153,1,0,325,3133,153,0 90,0,2024-09-07 08:37:11:664,34620,0.4,35421,0.5,72725,0.3,94794,1.75 90,1,2024-09-07 08:37:10:667,269481,269481,0,0,125388277048,1318896368,266262,2962,257,382,391825,0 90,2,2024-09-07 08:37:11:425,190683,190683,0,0,10369183,0,3060 90,3,2024-09-07 08:37:10:948,1,153,3,0,200,1842,153,0 91,0,2024-09-07 08:37:10:987,41425,0.5,39967,0.6,83330,0.5,110385,1.75 91,1,2024-09-07 08:37:10:716,268373,268373,0,0,125686617553,1323042831,264628,3248,497,381,392047,0 91,2,2024-09-07 08:37:11:344,191745,191745,0,0,9774598,0,2445 91,3,2024-09-07 08:37:10:603,1,153,3,0,216,1862,153,0 92,0,2024-09-07 08:37:11:587,40459,0.8,41418,0.9,79240,1.0,106901,2.00 92,1,2024-09-07 08:37:10:583,269096,269096,0,0,125438237479,1312823489,266938,1758,400,382,391717,0 92,2,2024-09-07 08:37:11:364,197858,197858,0,0,8334176,0,2801 92,3,2024-09-07 08:37:11:011,1,153,1,0,68,1309,153,0 93,0,2024-09-07 08:37:11:007,37173,0.4,37941,0.6,72685,0.4,98508,1.75 93,1,2024-09-07 08:37:10:837,269022,269022,0,0,125987875352,1318744979,265924,2549,549,366,391692,0 93,2,2024-09-07 08:37:10:934,194727,194727,0,0,8213058,0,2509 93,3,2024-09-07 08:37:11:411,1,153,2,0,143,1661,153,0 94,0,2024-09-07 08:37:11:627,35964,0.3,36239,0.5,71801,0.2,94914,1.50 94,1,2024-09-07 08:37:10:564,269072,269072,0,0,125500403062,1315464602,266631,2347,94,381,391850,0 94,2,2024-09-07 08:37:10:782,190190,190190,0,0,8043464,0,2443 94,3,2024-09-07 08:37:11:694,1,153,3,0,264,2375,153,0 95,0,2024-09-07 08:37:11:387,38727,0.4,38626,0.5,77771,0.3,103214,1.75 95,1,2024-09-07 08:37:10:853,269604,269604,0,0,125769616154,1311053277,267236,2187,181,367,391662,0 95,2,2024-09-07 08:37:11:021,191721,191721,0,0,8348314,0,3308 95,3,2024-09-07 08:37:11:710,1,153,22,0,718,3029,153,0 96,0,2024-09-07 08:37:11:071,42412,0.6,42502,0.7,85275,0.6,112286,1.75 96,1,2024-09-07 08:37:11:597,268412,268412,0,0,125619099427,1317030625,265816,1954,642,385,391894,0 96,2,2024-09-07 08:37:11:307,197016,197016,0,0,9029525,0,4042 96,3,2024-09-07 08:37:11:141,1,153,14,0,188,1825,153,0 97,0,2024-09-07 08:37:11:462,36718,0.4,36611,0.6,73366,0.4,97542,1.75 97,1,2024-09-07 08:37:10:771,269245,269245,0,0,126692583409,1320584527,266453,2244,548,367,392140,0 97,2,2024-09-07 08:37:10:619,195257,195257,0,0,8093447,0,3036 97,3,2024-09-07 08:37:10:570,1,153,6,0,165,1850,153,0 98,0,2024-09-07 08:37:11:709,36099,0.2,35848,0.4,72098,0.2,95929,1.50 98,1,2024-09-07 08:37:10:583,269395,269395,0,0,126082143897,1316757630,267585,1722,88,382,391997,0 98,2,2024-09-07 08:37:10:782,190430,190430,0,0,8455458,0,3080 98,3,2024-09-07 08:37:10:835,1,153,2,0,840,3026,153,0 99,0,2024-09-07 08:37:11:574,38015,0.3,37968,0.4,75902,0.2,101444,1.50 99,1,2024-09-07 08:37:11:733,269357,269357,0,0,125618284587,1314957318,266299,2362,696,381,391744,0 99,2,2024-09-07 08:37:11:449,193557,193557,0,0,8201174,0,2615 99,3,2024-09-07 08:37:10:582,1,153,4,0,129,1109,153,0 100,0,2024-09-07 08:37:11:514,42487,1.3,42423,1.4,84854,2.3,114036,2.50 100,1,2024-09-07 08:37:10:556,267011,267011,0,0,124695783262,1326647477,261782,4298,931,381,391989,0 100,2,2024-09-07 08:37:11:819,195352,195341,11,0,9676756,0,5417 100,3,2024-09-07 08:37:11:740,1,153,2,0,559,3554,153,0 101,0,2024-09-07 08:37:11:958,37599,3.2,36589,1.7,71841,4.0,99565,3.25 101,1,2024-09-07 08:37:10:573,267722,267722,0,0,125117874438,1327282511,262367,3878,1477,368,391769,0 101,2,2024-09-07 08:37:11:765,194926,194926,0,0,10531790,0,4644 101,3,2024-09-07 08:37:10:979,1,153,4,0,448,2020,153,0 102,0,2024-09-07 08:37:10:969,35980,0.6,37373,0.8,74852,0.5,98089,2.00 102,1,2024-09-07 08:37:11:145,268303,268303,0,0,125219308127,1321606994,264233,3359,711,369,391883,0 102,2,2024-09-07 08:37:11:757,191371,191317,54,0,9954787,0,6768 102,3,2024-09-07 08:37:11:613,1,153,1,0,410,1666,153,0 103,0,2024-09-07 08:37:11:807,37568,0.4,37576,0.6,70858,0.4,97531,1.75 103,1,2024-09-07 08:37:11:763,267565,267565,0,0,125451960803,1327049188,263129,3228,1208,381,391829,0 103,2,2024-09-07 08:37:10:644,191466,191466,0,0,8912667,0,2104 103,3,2024-09-07 08:37:10:778,1,153,5,0,916,2892,153,0 104,0,2024-09-07 08:37:11:067,41967,1.5,42119,1.2,82916,2.2,113157,2.50 104,1,2024-09-07 08:37:11:695,269505,269505,0,0,125425704718,1325678069,264510,4033,962,365,391948,0 104,2,2024-09-07 08:37:11:681,192651,192651,0,0,9336039,0,3941 104,3,2024-09-07 08:37:11:431,1,153,11,1,1245,5068,153,0 105,0,2024-09-07 08:37:11:184,37962,2.0,36954,1.5,76976,3.0,101933,4.00 105,1,2024-09-07 08:37:10:563,269619,269619,0,0,125756974416,1322594845,265418,3427,774,367,391797,0 105,2,2024-09-07 08:37:11:396,195172,195172,0,0,9064305,0,3509 105,3,2024-09-07 08:37:11:329,1,153,4,1,399,2296,153,0 106,0,2024-09-07 08:37:10:978,35761,0.7,36650,0.9,74668,0.7,97703,2.25 106,1,2024-09-07 08:37:11:756,268645,268645,0,0,126047071354,1324653253,264883,3378,384,369,391767,0 106,2,2024-09-07 08:37:10:787,192795,192795,0,0,9210816,0,2795 106,3,2024-09-07 08:37:10:679,1,153,1,0,405,2322,153,0 107,0,2024-09-07 08:37:11:114,35754,0.4,35739,0.6,71055,0.3,94628,1.75 107,1,2024-09-07 08:37:10:608,268291,268291,0,0,125337298507,1319398863,265316,2745,230,381,392234,0 107,2,2024-09-07 08:37:11:301,190671,190670,1,0,9235477,0,5024 107,3,2024-09-07 08:37:11:839,1,153,33,0,353,1898,153,0 108,0,2024-09-07 08:37:11:786,39464,0.4,39633,0.6,78589,0.4,105392,1.75 108,1,2024-09-07 08:37:11:293,268719,268719,0,0,125884647110,1316807556,266067,2342,310,368,391857,0 108,2,2024-09-07 08:37:11:755,190997,190997,0,0,8787304,0,2647 108,3,2024-09-07 08:37:11:343,1,153,1,0,667,3214,153,0 109,0,2024-09-07 08:37:11:759,41244,0.5,41051,0.7,81901,0.5,109669,1.75 109,1,2024-09-07 08:37:10:672,268369,268369,0,0,125872025332,1323854118,265593,2280,496,383,392132,0 109,2,2024-09-07 08:37:10:922,196255,196255,0,0,9123994,0,3617 109,3,2024-09-07 08:37:11:145,1,153,5,0,249,2212,153,0 110,0,2024-09-07 08:37:11:747,36930,0.4,35848,0.7,74946,0.4,98068,1.75 110,1,2024-09-07 08:37:11:656,269437,269437,0,0,126196211493,1315883247,266824,1848,765,370,391667,0 110,2,2024-09-07 08:37:11:310,195623,195623,0,0,8085517,0,2915 110,3,2024-09-07 08:37:10:690,1,153,1,0,406,2233,153,0 111,0,2024-09-07 08:37:11:442,36315,0.2,35800,0.4,71617,0.1,96166,1.50 111,1,2024-09-07 08:37:11:001,269905,269905,0,0,126511023519,1315219742,268130,1443,332,382,391690,0 111,2,2024-09-07 08:37:11:116,189398,189398,0,0,8832733,0,2763 111,3,2024-09-07 08:37:10:914,1,153,9,0,379,2533,153,0 112,0,2024-09-07 08:37:10:990,38229,0.3,38200,0.5,76503,0.2,102119,1.50 112,1,2024-09-07 08:37:10:829,270128,270128,0,0,126186675334,1314566411,267802,1901,425,381,391580,0 112,2,2024-09-07 08:37:11:140,191628,191627,1,0,8907202,0,5036 112,3,2024-09-07 08:37:10:595,1,153,0,0,282,1850,153,0 113,0,2024-09-07 08:37:10:875,42651,0.4,42508,0.6,85859,0.4,114703,1.75 113,1,2024-09-07 08:37:11:686,269876,269876,0,0,126394323333,1311398206,267462,1926,488,366,391661,0 113,2,2024-09-07 08:37:11:309,198156,198156,0,0,7854627,0,3813 113,3,2024-09-07 08:37:10:684,1,153,1,0,288,2363,153,0 114,0,2024-09-07 08:37:10:903,37614,0.7,38194,0.7,74782,0.5,100471,2.00 114,1,2024-09-07 08:37:10:716,269435,269435,0,0,125198077329,1314402944,265307,2665,1463,381,391534,0 114,2,2024-09-07 08:37:10:885,195492,195492,0,0,8107614,0,3925 114,3,2024-09-07 08:37:11:278,1,153,1,0,395,1969,153,0 115,0,2024-09-07 08:37:10:560,36140,0.2,36430,0.4,72942,0.2,96606,1.50 115,1,2024-09-07 08:37:10:571,269588,269588,0,0,126431579009,1321500199,265948,2819,821,382,391602,0 115,2,2024-09-07 08:37:11:138,190802,190802,0,0,7563624,0,2152 115,3,2024-09-07 08:37:11:002,1,153,1,0,159,1061,153,0 116,0,2024-09-07 08:37:11:707,37101,0.6,36580,0.8,73635,0.6,98599,2.00 116,1,2024-09-07 08:37:10:807,267475,267475,0,0,125180145946,1334295199,262572,3149,1754,382,391677,0 116,2,2024-09-07 08:37:11:751,190868,190868,0,0,10639841,0,3529 116,3,2024-09-07 08:37:10:920,1,153,3,0,252,2282,153,0 117,0,2024-09-07 08:37:11:202,42174,1.5,42245,1.1,84464,2.3,113650,2.25 117,1,2024-09-07 08:37:11:578,268899,268899,0,0,125751125844,1322056446,265231,3241,427,370,392033,0 117,2,2024-09-07 08:37:11:186,195912,195912,0,0,8503950,0,3700 117,3,2024-09-07 08:37:11:087,1,153,3,0,490,3289,153,0 118,0,2024-09-07 08:37:11:948,36530,1.0,37637,1.0,76421,1.3,99775,2.25 118,1,2024-09-07 08:37:10:612,268351,268351,0,0,124657645733,1319892939,263511,3520,1320,368,391736,0 118,2,2024-09-07 08:37:11:598,195135,195135,0,0,9599146,0,2781 118,3,2024-09-07 08:37:11:779,1,153,14,0,235,2051,153,0 119,0,2024-09-07 08:37:11:365,36553,0.7,36712,0.8,74328,0.7,97971,2.00 119,1,2024-09-07 08:37:10:554,269005,269005,0,0,125972830527,1317516025,266527,2190,288,369,391641,0 119,2,2024-09-07 08:37:11:270,191413,191413,0,0,8924848,0,3526 119,3,2024-09-07 08:37:11:340,1,153,8,0,443,2837,153,0 120,0,2024-09-07 08:37:11:555,35429,0.5,35541,0.7,70895,0.4,94889,2.00 120,1,2024-09-07 08:37:10:906,269219,269219,0,0,125205547531,1316310779,266117,2843,259,368,391961,0 120,2,2024-09-07 08:37:10:770,191003,191002,1,0,10823591,0,5281 120,3,2024-09-07 08:37:11:290,1,153,1,0,241,2167,153,0 121,0,2024-09-07 08:37:11:766,40689,1.7,41148,1.2,81874,2.5,109897,2.25 121,1,2024-09-07 08:37:11:674,269099,269099,0,0,125591699059,1315884727,266361,2450,288,367,391840,0 121,2,2024-09-07 08:37:11:142,191729,191729,0,0,9815018,0,4127 121,3,2024-09-07 08:37:10:739,1,153,2,0,269,2168,153,0 122,0,2024-09-07 08:37:11:813,39847,1.3,38773,1.2,81271,1.5,106967,2.25 122,1,2024-09-07 08:37:10:860,267971,267971,0,0,125376934394,1320528769,263838,3427,706,366,392130,0 122,2,2024-09-07 08:37:11:326,196134,196134,0,0,10906674,0,3364 122,3,2024-09-07 08:37:10:596,1,153,11,0,411,3717,153,0 123,0,2024-09-07 08:37:10:966,36871,0.8,35931,0.8,75315,1.0,98041,2.25 123,1,2024-09-07 08:37:10:559,269215,269215,0,0,125688449544,1326619174,264142,4379,694,369,391823,0 123,2,2024-09-07 08:37:11:020,193079,193078,1,0,9475841,0,5215 123,3,2024-09-07 08:37:11:142,1,153,1,0,168,1967,153,0 124,0,2024-09-07 08:37:10:962,36993,0.3,36959,0.5,69803,0.2,95246,1.50 124,1,2024-09-07 08:37:11:027,269341,269341,0,0,125780848586,1309438587,267257,1728,356,367,392178,0 124,2,2024-09-07 08:37:11:013,190698,190698,0,0,8085024,0,3101 124,3,2024-09-07 08:37:10:783,1,153,2,0,490,2226,153,0 125,0,2024-09-07 08:37:11:429,38762,0.4,38764,0.6,77564,0.4,103413,1.75 125,1,2024-09-07 08:37:10:944,269355,269355,0,0,125926846203,1317763177,266994,2086,275,384,391702,0 125,2,2024-09-07 08:37:11:121,192346,192346,0,0,8056186,0,2180 125,3,2024-09-07 08:37:11:164,1,153,43,0,284,2069,153,0 126,0,2024-09-07 08:37:11:427,42504,0.8,43629,0.8,83331,0.9,112993,2.00 126,1,2024-09-07 08:37:10:568,269609,269609,0,0,126107051357,1309824187,267979,1552,78,365,391987,0 126,2,2024-09-07 08:37:10:616,197649,197649,0,0,8453242,0,3186 126,3,2024-09-07 08:37:10:920,1,153,3,0,150,2255,153,0 127,0,2024-09-07 08:37:11:595,36457,0.4,36775,0.6,73168,0.4,97472,1.75 127,1,2024-09-07 08:37:10:573,269157,269157,0,0,125955989051,1307709845,267096,2020,41,365,391816,0 127,2,2024-09-07 08:37:10:636,195618,195618,0,0,7936064,0,2264 127,3,2024-09-07 08:37:11:269,1,153,1,0,243,1399,153,0 128,0,2024-09-07 08:37:11:537,36216,0.3,35988,0.4,72007,0.2,95996,1.50 128,1,2024-09-07 08:37:11:616,269659,269659,0,0,125906075994,1306221022,268682,905,72,367,391680,0 128,2,2024-09-07 08:37:11:384,189278,189278,0,0,7940629,0,2107 128,3,2024-09-07 08:37:10:786,1,153,15,0,333,2274,153,0 129,0,2024-09-07 08:37:11:052,38234,0.3,38041,0.5,75945,0.2,101308,1.50 129,1,2024-09-07 08:37:10:593,268474,268474,0,0,125072093480,1313552109,265549,2533,392,379,391835,0 129,2,2024-09-07 08:37:10:696,191966,191966,0,0,8350975,0,4031 129,3,2024-09-07 08:37:10:690,1,153,6,0,173,1890,153,0 130,0,2024-09-07 08:37:11:728,42937,0.8,42646,0.8,85779,1.0,114915,2.00 130,1,2024-09-07 08:37:10:595,269419,269419,0,0,125680084136,1312624262,267315,2033,71,381,391825,0 130,2,2024-09-07 08:37:11:130,197018,197018,0,0,8241832,0,4067 130,3,2024-09-07 08:37:11:293,1,153,3,0,450,1936,153,0 131,0,2024-09-07 08:37:11:931,37170,0.6,37365,0.7,75536,0.7,99965,1.75 131,1,2024-09-07 08:37:11:830,270468,270468,0,0,126034055638,1313623556,269004,1258,206,383,391865,0 131,2,2024-09-07 08:37:10:567,196148,196148,0,0,7806440,0,2415 131,3,2024-09-07 08:37:11:689,1,153,2,0,392,1811,153,0 132,0,2024-09-07 08:37:11:451,36565,0.5,37020,0.7,74141,0.4,98480,2.00 132,1,2024-09-07 08:37:10:578,267821,267821,0,0,125195680945,1328433818,262418,4372,1031,381,391760,0 132,2,2024-09-07 08:37:10:702,190382,190382,0,0,10696784,0,4606 132,3,2024-09-07 08:37:11:694,1,153,1,0,356,2834,153,0 133,0,2024-09-07 08:37:11:517,35554,0.4,36386,0.6,74704,0.3,96670,1.75 133,1,2024-09-07 08:37:10:591,267467,267467,0,0,125490890388,1328725629,262966,3863,638,383,391914,0 133,2,2024-09-07 08:37:11:097,191356,191356,0,0,10735768,0,4315 133,3,2024-09-07 08:37:11:298,1,153,6,0,187,1412,153,0 134,0,2024-09-07 08:37:10:941,42178,0.6,42021,0.8,84790,0.6,112890,2.00 134,1,2024-09-07 08:37:10:585,268202,268202,0,0,125868280281,1324176646,263846,3134,1222,366,391718,0 134,2,2024-09-07 08:37:11:756,192990,192990,0,0,9081363,0,3096 134,3,2024-09-07 08:37:10:751,1,153,1,0,739,2898,153,0 135,0,2024-09-07 08:37:11:127,37373,1.7,37292,1.4,79055,2.1,101663,2.50 135,1,2024-09-07 08:37:11:587,267888,267888,0,0,125572052569,1325385331,263702,3484,702,380,391725,0 135,2,2024-09-07 08:37:10:690,196077,196077,0,0,9839741,0,3981 135,3,2024-09-07 08:37:11:002,1,153,1,0,89,867,153,0 136,0,2024-09-07 08:37:11:643,37113,0.6,36956,0.8,74433,0.5,98575,2.00 136,1,2024-09-07 08:37:11:442,268576,268576,0,0,126014473728,1322340891,265316,3087,173,384,391641,0 136,2,2024-09-07 08:37:11:142,194423,194423,0,0,9208859,0,3506 136,3,2024-09-07 08:37:11:106,1,153,1,0,108,1297,153,0 137,0,2024-09-07 08:37:11:005,36635,0.5,35582,0.7,70182,0.4,94818,1.75 137,1,2024-09-07 08:37:10:594,268878,268878,0,0,125993677589,1321131161,264839,3620,419,367,391608,0 137,2,2024-09-07 08:37:11:707,190335,190335,0,0,10488701,0,3185 137,3,2024-09-07 08:37:10:776,1,153,2,1,227,1831,153,0 138,0,2024-09-07 08:37:11:753,38929,1.4,38988,1.2,78684,2.0,105218,2.25 138,1,2024-09-07 08:37:11:686,268956,268956,0,0,125632862770,1315754342,265918,2686,352,368,391954,0 138,2,2024-09-07 08:37:10:594,192823,192823,0,0,8567887,0,3263 138,3,2024-09-07 08:37:10:615,1,153,2,0,1160,2942,153,0 139,0,2024-09-07 08:37:11:399,40048,3.1,40240,1.8,81052,4.3,108379,3.25 139,1,2024-09-07 08:37:10:584,267856,267856,0,0,124801578016,1326798374,262781,3760,1315,381,391892,0 139,2,2024-09-07 08:37:10:707,195086,195086,0,0,9789714,0,3097 139,3,2024-09-07 08:37:11:662,1,153,9,0,244,1815,153,0 140,0,2024-09-07 08:37:11:597,37112,0.4,36793,0.6,74163,0.3,98116,1.75 140,1,2024-09-07 08:37:11:540,269966,269966,0,0,126372818992,1305824662,268451,1275,240,365,391606,0 140,2,2024-09-07 08:37:10:687,195487,195487,0,0,8443466,0,3388 140,3,2024-09-07 08:37:10:768,1,153,0,0,247,1428,153,0 141,0,2024-09-07 08:37:11:704,35866,0.2,36956,0.4,70806,0.1,96171,1.50 141,1,2024-09-07 08:37:10:860,269773,269773,0,0,126091576333,1311020477,267834,1586,353,379,391614,0 141,2,2024-09-07 08:37:11:685,189826,189826,0,0,7899635,0,2342 141,3,2024-09-07 08:37:11:053,1,153,0,0,147,1302,153,0 142,0,2024-09-07 08:37:11:334,38616,0.3,38435,0.5,76181,0.3,102275,1.50 142,1,2024-09-07 08:37:10:589,269453,269453,0,0,125661660461,1311852864,267911,1383,159,383,391728,0 142,2,2024-09-07 08:37:11:322,190646,190614,32,0,9222519,0,6028 142,3,2024-09-07 08:37:11:747,1,153,1,0,484,2145,153,0 143,0,2024-09-07 08:37:11:382,42826,0.7,42871,0.7,86282,0.8,114514,2.00 143,1,2024-09-07 08:37:10:563,269527,269527,0,0,126001322494,1310387436,267417,2067,43,367,391619,0 143,2,2024-09-07 08:37:10:775,197190,197190,0,0,8551858,0,2669 143,3,2024-09-07 08:37:11:141,1,153,1,0,303,2567,153,0 144,0,2024-09-07 08:37:11:493,35925,1.0,36986,1.5,74915,1.0,99066,2.25 144,1,2024-09-07 08:37:10:565,268547,268547,0,0,125103842659,1315280324,266155,2189,203,381,391649,0 144,2,2024-09-07 08:37:11:764,195867,195867,0,0,8405903,0,3473 144,3,2024-09-07 08:37:11:739,1,153,0,0,249,2082,153,0 145,0,2024-09-07 08:37:11:364,35013,0.5,34987,0.8,74235,0.4,96123,2.00 145,1,2024-09-07 08:37:10:553,267359,267359,0,0,125106580053,1323083391,262971,3652,736,383,391615,0 145,2,2024-09-07 08:37:11:433,189804,189804,0,0,9279772,0,3903 145,3,2024-09-07 08:37:10:898,1,153,1,0,151,1835,153,0 146,0,2024-09-07 08:37:11:650,36814,0.4,36608,0.7,73954,0.4,98228,2.00 146,1,2024-09-07 08:37:11:586,269410,269410,0,0,125614052688,1320085620,264963,3587,860,368,391629,0 146,2,2024-09-07 08:37:11:718,192343,192343,0,0,8816706,0,2498 146,3,2024-09-07 08:37:11:278,1,153,8,0,1520,5143,153,0 147,0,2024-09-07 08:37:11:721,42366,0.9,42260,1.0,83942,0.9,113391,2.50 147,1,2024-09-07 08:37:11:378,270210,270210,0,0,125823762837,1313577813,267112,2603,495,368,391791,0 147,2,2024-09-07 08:37:11:011,195685,195685,0,0,8364621,0,2789 147,3,2024-09-07 08:37:10:923,1,153,1,0,371,1975,153,0 0,0,2024-09-07 08:37:21:731,34794,0.5,34868,0.7,73808,0.4,95739,1.75 0,1,2024-09-07 08:37:20:807,270661,270661,0,0,126938604786,1330309694,268695,1830,136,372,391772,0 0,2,2024-09-07 08:37:21:082,192833,192833,0,0,8620429,0,4480 0,3,2024-09-07 08:37:20:985,1,154,9,0,247,2041,154,0 1,0,2024-09-07 08:37:21:784,41585,1.4,41245,1.3,82746,2.0,111287,2.25 1,1,2024-09-07 08:37:20:569,270277,270277,0,0,126301467938,1328652890,266842,2568,867,372,391857,0 1,2,2024-09-07 08:37:20:644,193789,193789,0,0,7850641,0,3267 1,3,2024-09-07 08:37:21:313,1,154,0,0,262,1945,154,0 2,0,2024-09-07 08:37:21:575,39991,1.2,40135,1.1,79812,1.7,106400,2.25 2,1,2024-09-07 08:37:20:860,270610,270610,0,0,126930396649,1321894287,269103,1301,206,380,391745,0 2,2,2024-09-07 08:37:21:266,196903,196903,0,0,8697652,0,3594 2,3,2024-09-07 08:37:20:692,1,154,1,0,357,1672,154,0 3,0,2024-09-07 08:37:21:751,36678,0.5,36887,0.7,73802,0.5,97691,2.00 3,1,2024-09-07 08:37:21:619,270394,270394,0,0,126060905346,1319028376,267286,2684,424,380,391516,0 3,2,2024-09-07 08:37:21:142,195466,195443,23,0,8643919,0,5851 3,3,2024-09-07 08:37:21:760,1,154,0,0,103,997,154,0 4,0,2024-09-07 08:37:21:789,34851,0.3,35829,0.5,72826,0.2,95010,1.75 4,1,2024-09-07 08:37:20:620,270787,270787,0,0,126092242325,1327828682,267809,2457,521,371,391846,0 4,2,2024-09-07 08:37:21:028,190789,190789,0,0,10223443,0,4528 4,3,2024-09-07 08:37:21:028,1,154,0,0,287,2163,154,0 5,0,2024-09-07 08:37:21:416,39049,0.5,38972,0.6,78042,0.5,103991,1.75 5,1,2024-09-07 08:37:20:801,269905,269905,0,0,126122283272,1332452231,265705,3214,986,368,392005,0 5,2,2024-09-07 08:37:21:835,192480,192480,0,0,8823224,0,2259 5,3,2024-09-07 08:37:21:743,1,154,40,0,238,2384,154,0 6,0,2024-09-07 08:37:20:934,42871,1.0,42455,1.0,84268,1.4,112980,2.50 6,1,2024-09-07 08:37:20:747,270994,270994,0,0,126475178399,1327601883,267595,2752,647,381,391617,0 6,2,2024-09-07 08:37:21:117,198654,198654,0,0,9098366,0,4816 6,3,2024-09-07 08:37:21:283,1,154,2,0,340,2277,154,0 7,0,2024-09-07 08:37:21:571,36272,0.5,36229,0.7,72700,0.5,96968,2.00 7,1,2024-09-07 08:37:20:859,270634,270634,0,0,126982734795,1331872182,267820,2682,132,382,391664,0 7,2,2024-09-07 08:37:20:773,196405,196405,0,0,8311090,0,2981 7,3,2024-09-07 08:37:20:855,1,154,1,0,305,1643,154,0 8,0,2024-09-07 08:37:21:338,36172,0.3,36320,0.4,72255,0.2,96699,1.50 8,1,2024-09-07 08:37:21:048,270224,270224,0,0,126455018842,1338777756,264623,4002,1599,367,391956,0 8,2,2024-09-07 08:37:20:790,188525,188525,0,0,9772625,0,2986 8,3,2024-09-07 08:37:20:598,1,154,2,0,357,2585,154,0 9,0,2024-09-07 08:37:21:136,38358,0.3,37195,0.5,77668,0.3,101900,1.75 9,1,2024-09-07 08:37:20:596,270016,270016,0,0,126746063700,1339733262,265522,3365,1129,370,391753,0 9,2,2024-09-07 08:37:21:091,192553,192553,0,0,9076410,0,3360 9,3,2024-09-07 08:37:21:763,1,154,3,0,496,2642,154,0 10,0,2024-09-07 08:37:21:637,43286,0.4,43000,0.6,86503,0.3,115809,1.75 10,1,2024-09-07 08:37:20:624,270348,270348,0,0,126206100730,1327304338,265877,3830,641,381,391741,0 10,2,2024-09-07 08:37:20:772,198069,198069,0,0,9408609,0,2940 10,3,2024-09-07 08:37:20:874,1,154,17,0,177,1214,154,0 11,0,2024-09-07 08:37:21:012,36771,0.9,35863,1.0,75111,1.0,100321,2.25 11,1,2024-09-07 08:37:20:575,270672,270672,0,0,126664117760,1335220518,265370,4032,1270,384,391537,0 11,2,2024-09-07 08:37:21:124,196767,196767,0,0,9159633,0,3411 11,3,2024-09-07 08:37:21:306,1,154,0,0,720,2818,154,0 12,0,2024-09-07 08:37:20:970,37444,0.3,37133,0.5,74551,0.2,98853,1.50 12,1,2024-09-07 08:37:20:946,270361,270361,0,0,125724195625,1316699145,267474,2475,412,370,391837,0 12,2,2024-09-07 08:37:21:541,192488,192488,0,0,9331323,0,3469 12,3,2024-09-07 08:37:21:287,1,154,2,0,358,2684,154,0 13,0,2024-09-07 08:37:21:333,36892,0.4,36856,0.5,73574,0.3,97978,1.75 13,1,2024-09-07 08:37:21:547,270351,270351,0,0,126248074444,1327211361,267936,2007,408,382,391717,0 13,2,2024-09-07 08:37:20:595,194211,194211,0,0,8528655,0,3287 13,3,2024-09-07 08:37:21:780,1,154,5,0,467,3154,154,0 14,0,2024-09-07 08:37:20:565,42885,0.5,42954,0.7,85189,0.4,114052,1.75 14,1,2024-09-07 08:37:21:565,272070,272070,0,0,127037207501,1320210792,269805,2102,163,364,391571,0 14,2,2024-09-07 08:37:20:776,194931,194931,0,0,8712311,0,2896 14,3,2024-09-07 08:37:21:124,1,154,5,0,1168,2892,154,0 15,0,2024-09-07 08:37:21:559,38436,1.2,38473,1.2,77199,1.5,102550,2.75 15,1,2024-09-07 08:37:21:621,270493,270493,0,0,126347926543,1317428859,268580,1804,109,381,391619,0 15,2,2024-09-07 08:37:21:002,198003,198003,0,0,7372000,0,3043 15,3,2024-09-07 08:37:21:415,1,154,1,0,1126,4287,154,0 16,0,2024-09-07 08:37:20:973,37076,0.5,37416,0.7,74061,0.5,98782,2.00 16,1,2024-09-07 08:37:20:572,271134,271134,0,0,126386443894,1324054311,268910,1963,261,370,391756,0 16,2,2024-09-07 08:37:21:448,193519,193519,0,0,9669965,0,4719 16,3,2024-09-07 08:37:21:146,1,154,2,0,231,2211,154,0 17,0,2024-09-07 08:37:21:888,36802,0.4,35905,0.6,70486,0.4,95012,1.75 17,1,2024-09-07 08:37:20:571,270106,270106,0,0,125843236690,1326199718,266751,2683,672,368,391688,0 17,2,2024-09-07 08:37:21:715,194214,194214,0,0,8587320,0,2857 17,3,2024-09-07 08:37:20:577,1,154,11,0,268,2456,154,0 18,0,2024-09-07 08:37:20:969,39186,0.8,39435,0.9,78958,0.9,105961,2.25 18,1,2024-09-07 08:37:21:641,270574,270574,0,0,127021267088,1320966359,268567,1773,234,368,391564,0 18,2,2024-09-07 08:37:21:762,193225,193225,0,0,8614660,0,3541 18,3,2024-09-07 08:37:20:900,1,154,6,0,163,1728,154,0 19,0,2024-09-07 08:37:21:541,40711,1.2,41116,1.1,81247,1.5,107859,2.75 19,1,2024-09-07 08:37:20:575,270957,270957,0,0,126751308688,1324858371,267144,3097,716,367,391777,0 19,2,2024-09-07 08:37:21:752,198608,198608,0,0,7887617,0,3988 19,3,2024-09-07 08:37:21:130,1,154,1,0,524,1476,154,0 20,0,2024-09-07 08:37:21:363,36876,0.6,36763,0.8,73820,0.7,98055,2.25 20,1,2024-09-07 08:37:20:575,270069,270069,0,0,126304532500,1328447080,266606,3073,390,369,391822,0 20,2,2024-09-07 08:37:20:935,196025,196025,0,0,8960372,0,3721 20,3,2024-09-07 08:37:20:593,1,154,2,0,414,2846,154,0 21,0,2024-09-07 08:37:21:152,36542,0.3,36582,0.5,72713,0.3,96410,1.75 21,1,2024-09-07 08:37:21:539,269926,269926,0,0,126025982633,1330233367,266262,3132,532,368,391962,0 21,2,2024-09-07 08:37:21:081,190965,190965,0,0,9610279,0,3747 21,3,2024-09-07 08:37:21:428,1,154,1,0,103,1923,154,0 22,0,2024-09-07 08:37:21:731,38472,0.6,38514,0.8,76462,0.6,102523,2.00 22,1,2024-09-07 08:37:21:027,269798,269798,0,0,125570204694,1329819119,264258,4031,1509,382,391667,0 22,2,2024-09-07 08:37:20:765,192722,192722,0,0,8337389,0,3134 22,3,2024-09-07 08:37:21:078,1,154,73,0,228,1815,154,0 23,0,2024-09-07 08:37:21:387,42712,0.9,42807,0.9,85895,1.2,114348,2.50 23,1,2024-09-07 08:37:21:003,270679,270679,0,0,126754524431,1329631418,266584,2613,1482,365,391549,0 23,2,2024-09-07 08:37:21:095,198007,198007,0,0,8694295,0,3010 23,3,2024-09-07 08:37:21:765,1,154,6,0,645,1758,154,0 24,0,2024-09-07 08:37:20:907,37896,0.5,37979,0.6,75944,0.6,100984,1.75 24,1,2024-09-07 08:37:20:590,270735,270735,0,0,125889529391,1320035279,267776,2160,799,369,391640,0 24,2,2024-09-07 08:37:21:069,195751,195751,0,0,9820102,0,2942 24,3,2024-09-07 08:37:21:700,1,154,9,0,234,1790,154,0 25,0,2024-09-07 08:37:21:356,37433,0.4,36496,0.6,71689,0.3,97412,1.75 25,1,2024-09-07 08:37:20:559,270530,270530,0,0,126033802450,1326044334,266841,3146,543,371,391788,0 25,2,2024-09-07 08:37:21:617,190046,190046,0,0,9762326,0,3978 25,3,2024-09-07 08:37:21:002,1,154,255,0,255,1975,154,0 26,0,2024-09-07 08:37:21:738,37025,0.3,36198,0.5,76030,0.3,99819,1.75 26,1,2024-09-07 08:37:21:541,270778,270778,0,0,126180359637,1328021739,266118,3759,901,381,391564,0 26,2,2024-09-07 08:37:20:862,193521,193521,0,0,8892572,0,2809 26,3,2024-09-07 08:37:21:715,1,154,2,0,796,2167,154,0 27,0,2024-09-07 08:37:21:741,43040,0.5,43072,0.6,85378,0.5,114477,1.75 27,1,2024-09-07 08:37:21:680,272147,272147,0,0,127262773748,1323248032,270328,1496,323,381,391539,0 27,2,2024-09-07 08:37:20:880,195675,195675,0,0,9693490,0,3409 27,3,2024-09-07 08:37:21:014,1,154,1,0,564,1921,154,0 28,0,2024-09-07 08:37:21:396,37475,0.9,37731,0.9,75901,1.2,101327,2.50 28,1,2024-09-07 08:37:20:803,271200,271200,0,0,127400394222,1330186324,269038,1826,336,383,391646,0 28,2,2024-09-07 08:37:21:775,197574,197574,0,0,7746123,0,2915 28,3,2024-09-07 08:37:21:785,1,154,1,0,502,2000,154,0 29,0,2024-09-07 08:37:21:385,37935,0.4,37124,0.6,72512,0.3,99120,1.75 29,1,2024-09-07 08:37:21:579,272153,272153,0,0,126614751422,1314666312,269725,1885,543,369,391621,0 29,2,2024-09-07 08:37:20:861,192842,192842,0,0,7514116,0,4018 29,3,2024-09-07 08:37:20:971,1,154,3,0,105,1207,154,0 30,0,2024-09-07 08:37:21:467,35824,0.5,34811,0.7,72881,0.5,95977,2.00 30,1,2024-09-07 08:37:20:575,271822,271822,0,0,127016423923,1321503979,269835,1709,278,382,391672,0 30,2,2024-09-07 08:37:21:281,193842,193842,0,0,7536663,0,3161 30,3,2024-09-07 08:37:20:582,1,154,0,0,195,1167,154,0 31,0,2024-09-07 08:37:21:763,41006,0.6,41328,0.7,83314,0.5,111021,2.00 31,1,2024-09-07 08:37:20:568,272271,272271,0,0,126839726054,1301697627,271471,688,112,356,391553,0 31,2,2024-09-07 08:37:21:281,194140,194140,0,0,9303307,0,3525 31,3,2024-09-07 08:37:21:710,1,154,1,0,220,1334,154,0 32,0,2024-09-07 08:37:21:435,40013,0.5,40432,0.7,80873,0.5,107124,1.75 32,1,2024-09-07 08:37:20:811,271614,271614,0,0,127026305657,1321380765,269872,1467,275,382,391595,0 32,2,2024-09-07 08:37:20:937,197324,197324,0,0,7691176,0,3155 32,3,2024-09-07 08:37:21:015,1,154,12,0,227,1461,154,0 33,0,2024-09-07 08:37:21:523,37387,0.3,36741,0.5,74211,0.3,98706,1.75 33,1,2024-09-07 08:37:20:575,272297,272297,0,0,127255745197,1320297717,270038,2087,172,369,391730,0 33,2,2024-09-07 08:37:20:763,195387,195354,33,0,9503560,0,7012 33,3,2024-09-07 08:37:20:902,1,154,1,0,329,1838,154,0 34,0,2024-09-07 08:37:20:932,35964,0.3,37141,0.4,71124,0.2,95171,1.50 34,1,2024-09-07 08:37:21:045,272280,272280,0,0,127929836586,1319396410,271285,989,6,367,391562,0 34,2,2024-09-07 08:37:20:769,191092,191092,0,0,8422045,0,3577 34,3,2024-09-07 08:37:21:687,1,154,0,0,148,1041,154,0 35,0,2024-09-07 08:37:20:873,38735,0.4,39099,0.6,78099,0.4,104331,1.75 35,1,2024-09-07 08:37:21:081,271195,271195,0,0,126177015077,1313946283,268395,2030,770,384,391589,0 35,2,2024-09-07 08:37:21:583,192816,192816,0,0,7783833,0,2653 35,3,2024-09-07 08:37:20:915,1,154,1,0,418,1961,154,0 36,0,2024-09-07 08:37:21:530,42484,1.0,42285,1.0,85194,1.3,112599,2.50 36,1,2024-09-07 08:37:20:583,270645,270645,0,0,126912442199,1333199501,266026,3331,1288,366,391759,0 36,2,2024-09-07 08:37:21:754,197661,197661,0,0,9251455,0,3303 36,3,2024-09-07 08:37:20:872,1,154,9,0,378,2146,154,0 37,0,2024-09-07 08:37:21:395,36389,0.5,36466,0.7,72682,0.4,97904,2.00 37,1,2024-09-07 08:37:20:569,270145,270138,0,7,126571800460,1334838631,265365,2754,2019,365,391560,0 37,2,2024-09-07 08:37:21:145,196392,196377,15,0,9351119,0,5815 37,3,2024-09-07 08:37:21:771,1,154,2,0,724,2459,154,0 38,0,2024-09-07 08:37:21:464,36103,0.4,34942,0.6,73053,0.3,96340,2.00 38,1,2024-09-07 08:37:21:605,271158,271158,0,0,126816457952,1329432591,267120,3406,632,368,391821,0 38,2,2024-09-07 08:37:20:769,190862,190815,47,0,10843649,0,6710 38,3,2024-09-07 08:37:21:000,1,154,7,0,603,2138,154,0 39,0,2024-09-07 08:37:21:777,39318,0.6,38406,0.7,75009,0.6,102392,2.00 39,1,2024-09-07 08:37:20:716,270940,270940,0,0,126258030843,1320892823,267152,2987,801,365,391524,0 39,2,2024-09-07 08:37:21:426,192946,192946,0,0,8022798,0,2689 39,3,2024-09-07 08:37:20:714,1,154,0,0,276,2056,154,0 40,0,2024-09-07 08:37:21:524,42539,0.9,43300,1.2,86014,1.0,115118,3.00 40,1,2024-09-07 08:37:20:582,271131,271131,0,0,125417397465,1316857148,266716,3646,769,368,391591,0 40,2,2024-09-07 08:37:21:323,197211,197210,1,0,10169607,0,5137 40,3,2024-09-07 08:37:21:159,1,154,3,0,181,1591,154,0 41,0,2024-09-07 08:37:21:031,36830,2.2,37541,1.8,72004,3.5,98951,4.25 41,1,2024-09-07 08:37:20:773,270465,270465,0,0,126307680506,1325257143,266272,3661,532,370,391742,0 41,2,2024-09-07 08:37:20:777,195441,195441,0,0,9983843,0,3356 41,3,2024-09-07 08:37:21:675,1,154,2,0,366,1946,154,0 42,0,2024-09-07 08:37:21:474,36409,0.5,36849,0.8,73438,0.4,96953,2.25 42,1,2024-09-07 08:37:21:448,269626,269626,0,0,126420546622,1332239187,264928,3740,958,380,391675,0 42,2,2024-09-07 08:37:21:133,190895,190895,0,0,9457116,0,3568 42,3,2024-09-07 08:37:21:013,1,154,0,0,446,1407,154,0 43,0,2024-09-07 08:37:20:923,36634,0.6,35888,0.9,74469,0.6,98061,2.00 43,1,2024-09-07 08:37:20:577,270806,270806,0,0,127208302849,1328309013,267564,2449,793,366,391604,0 43,2,2024-09-07 08:37:21:740,193987,193987,0,0,8906129,0,3812 43,3,2024-09-07 08:37:21:753,1,154,1,0,325,2114,154,0 44,0,2024-09-07 08:37:20:872,42730,0.5,42884,0.7,85462,0.5,114554,1.75 44,1,2024-09-07 08:37:20:568,271130,271130,0,0,126314271963,1307951952,269072,1633,425,356,391809,0 44,2,2024-09-07 08:37:21:268,194726,194726,0,0,7469799,0,1877 44,3,2024-09-07 08:37:21:093,1,154,1,0,817,2090,154,0 45,0,2024-09-07 08:37:21:764,37958,1.5,37219,1.2,78223,2.0,103185,2.25 45,1,2024-09-07 08:37:21:018,271293,271293,0,0,126668653008,1314897306,270254,1029,10,382,391917,0 45,2,2024-09-07 08:37:21:280,197921,197921,0,0,8154189,0,3596 45,3,2024-09-07 08:37:20:937,1,154,1,0,226,1418,154,0 46,0,2024-09-07 08:37:20:969,36965,0.4,36835,0.6,73944,0.3,97788,1.75 46,1,2024-09-07 08:37:20:576,271795,271795,0,0,126740218585,1312913938,269987,1585,223,366,391524,0 46,2,2024-09-07 08:37:20:593,194924,194924,0,0,8077278,0,2920 46,3,2024-09-07 08:37:21:131,1,154,2,0,908,2900,154,0 47,0,2024-09-07 08:37:21:107,35720,0.3,35956,0.5,72099,0.2,94764,1.75 47,1,2024-09-07 08:37:20:583,271806,271806,0,0,126413618983,1307099437,270404,1385,17,366,391605,0 47,2,2024-09-07 08:37:20:910,193474,193474,0,0,8151750,0,2558 47,3,2024-09-07 08:37:21:114,1,154,1,0,529,1782,154,0 48,0,2024-09-07 08:37:21:498,40056,0.3,39687,0.5,79539,0.2,106570,1.75 48,1,2024-09-07 08:37:21:023,271810,271810,0,0,126683002527,1318896604,269749,1880,181,384,391710,0 48,2,2024-09-07 08:37:20:700,193571,193571,0,0,7011332,0,2083 48,3,2024-09-07 08:37:20:762,1,154,1,0,339,1430,154,0 49,0,2024-09-07 08:37:21:766,42294,0.6,41477,0.7,80596,0.6,109805,1.75 49,1,2024-09-07 08:37:21:023,270362,270362,0,0,126347285139,1320142266,267625,1897,840,382,391583,0 49,2,2024-09-07 08:37:21:805,198421,198421,0,0,8162813,0,3900 49,3,2024-09-07 08:37:21:429,1,154,10,0,408,2316,154,0 50,0,2024-09-07 08:37:21:508,36944,0.3,36626,0.5,73372,0.2,97983,1.75 50,1,2024-09-07 08:37:21:014,272625,272625,0,0,127626720179,1325691906,270100,2216,309,368,391530,0 50,2,2024-09-07 08:37:21:082,196294,196294,0,0,7717658,0,2253 50,3,2024-09-07 08:37:21:291,1,154,2,0,335,1329,154,0 51,0,2024-09-07 08:37:21:689,37044,0.3,36513,0.4,71141,0.2,96761,1.50 51,1,2024-09-07 08:37:21:683,271108,271108,0,0,127970386794,1325396323,269139,1183,786,367,391637,0 51,2,2024-09-07 08:37:21:317,190863,190863,0,0,7236333,0,3337 51,3,2024-09-07 08:37:21:027,1,154,0,0,162,892,154,0 52,0,2024-09-07 08:37:21:461,38669,0.5,38678,0.7,77430,0.5,102954,2.25 52,1,2024-09-07 08:37:20:583,270245,270245,0,0,126313319034,1335120024,264333,4754,1158,368,391722,0 52,2,2024-09-07 08:37:21:760,191276,191238,38,0,9830444,0,6742 52,3,2024-09-07 08:37:20:676,1,154,1,0,1782,3518,154,0 53,0,2024-09-07 08:37:21:736,42686,1.1,41478,1.0,86798,1.3,113754,2.75 53,1,2024-09-07 08:37:20:774,269853,269853,0,0,126391373579,1337122697,264225,3734,1894,367,391702,0 53,2,2024-09-07 08:37:21:305,198552,198552,0,0,8217669,0,2262 53,3,2024-09-07 08:37:20:697,1,154,0,0,271,1518,154,0 54,0,2024-09-07 08:37:21:633,36336,2.3,36858,1.3,73009,1.1,98154,4.25 54,1,2024-09-07 08:37:20:581,270683,270683,0,0,127137101681,1330100105,266713,3399,571,367,391532,0 54,2,2024-09-07 08:37:20:870,196510,196504,6,0,9814323,0,5382 54,3,2024-09-07 08:37:20:763,1,154,2,0,676,3161,154,0 55,0,2024-09-07 08:37:21:761,35095,0.5,36183,0.7,73471,0.4,95304,2.25 55,1,2024-09-07 08:37:20:765,270193,270193,0,0,126023158759,1322759186,265006,4400,787,365,391731,0 55,2,2024-09-07 08:37:20:734,190399,190399,0,0,9318496,0,3275 55,3,2024-09-07 08:37:20:687,1,154,14,0,304,1695,154,0 56,0,2024-09-07 08:37:21:572,38242,1.2,36207,1.1,74220,1.7,100089,2.50 56,1,2024-09-07 08:37:20:579,270188,270188,0,0,126738056565,1343911110,265061,4224,903,381,391678,0 56,2,2024-09-07 08:37:21:315,193088,193088,0,0,9931899,0,3567 56,3,2024-09-07 08:37:21:063,1,154,1,0,405,2206,154,0 57,0,2024-09-07 08:37:20:986,41840,2.4,41963,1.5,84051,3.6,112651,4.00 57,1,2024-09-07 08:37:20:995,270609,270609,0,0,126408927042,1324946545,267788,2651,170,368,391960,0 57,2,2024-09-07 08:37:21:317,197379,197379,0,0,10218701,0,3178 57,3,2024-09-07 08:37:21:745,1,154,2,0,359,2671,154,0 58,0,2024-09-07 08:37:20:560,36477,1.5,35598,1.3,74643,2.5,97958,3.00 58,1,2024-09-07 08:37:20:584,271552,271549,0,3,126844854895,1326822348,268034,3118,397,367,391516,3 58,2,2024-09-07 08:37:21:071,197024,197024,0,0,9320794,0,2549 58,3,2024-09-07 08:37:21:081,1,154,2,0,1043,2295,154,0 59,0,2024-09-07 08:37:21:766,37149,0.8,36848,1.0,73615,0.8,97938,3.00 59,1,2024-09-07 08:37:20:813,270578,270578,0,0,126680977231,1327468962,266931,2725,922,369,391515,0 59,2,2024-09-07 08:37:20:584,193400,193400,0,0,8095093,0,2604 59,3,2024-09-07 08:37:21:744,1,154,1,0,1015,2870,154,0 60,0,2024-09-07 08:37:21:747,36143,0.4,36258,0.6,72050,0.3,95993,1.75 60,1,2024-09-07 08:37:20:776,272022,272022,0,0,127067639987,1318987874,270565,1099,358,370,391761,0 60,2,2024-09-07 08:37:21:150,192766,192766,0,0,9332520,0,3811 60,3,2024-09-07 08:37:21:258,1,154,6,0,124,1553,154,0 61,0,2024-09-07 08:37:21:509,41341,1.4,41522,1.1,82818,2.1,111128,2.25 61,1,2024-09-07 08:37:20:777,270743,270743,0,0,126662733943,1330669271,267308,2883,552,382,391589,0 61,2,2024-09-07 08:37:21:125,194946,194946,0,0,8278551,0,2079 61,3,2024-09-07 08:37:21:692,1,154,5,0,199,1903,154,0 62,0,2024-09-07 08:37:21:731,40330,1.6,41087,1.1,78770,2.7,106820,2.50 62,1,2024-09-07 08:37:21:118,272866,272860,0,6,127873470251,1320899518,271182,1639,39,365,391715,6 62,2,2024-09-07 08:37:21:650,196083,196082,1,0,9839621,0,5555 62,3,2024-09-07 08:37:21:145,1,154,1,0,287,1164,154,0 63,0,2024-09-07 08:37:21:457,36893,0.5,36878,0.7,73932,0.5,98385,2.00 63,1,2024-09-07 08:37:20:822,272059,272053,0,6,127386636338,1321148404,270812,1215,26,381,391677,6 63,2,2024-09-07 08:37:20:773,195097,195097,0,0,7605952,0,2674 63,3,2024-09-07 08:37:21:740,1,154,3,0,667,2379,154,0 64,0,2024-09-07 08:37:21:587,35776,0.4,35735,0.6,71753,0.3,94943,1.75 64,1,2024-09-07 08:37:20:767,271650,271650,0,0,126689513003,1327264909,268185,2411,1054,371,391783,0 64,2,2024-09-07 08:37:21:152,193197,193178,19,0,8471576,0,6121 64,3,2024-09-07 08:37:21:152,1,154,13,0,265,1781,154,0 65,0,2024-09-07 08:37:21:714,38260,0.9,38804,0.9,77436,1.1,103529,2.25 65,1,2024-09-07 08:37:20:859,270553,270553,0,0,126737658138,1328617591,268046,2283,224,382,391770,0 65,2,2024-09-07 08:37:21:696,192754,192754,0,0,8911498,0,3367 65,3,2024-09-07 08:37:21:687,1,154,10,0,163,1592,154,0 66,0,2024-09-07 08:37:21:781,41988,0.8,42064,0.9,84497,0.8,111891,2.50 66,1,2024-09-07 08:37:21:300,271928,271928,0,0,126921044494,1321642644,270053,1704,171,380,391588,0 66,2,2024-09-07 08:37:21:137,199567,199567,0,0,8336058,0,4956 66,3,2024-09-07 08:37:21:081,1,154,1,0,291,1690,154,0 67,0,2024-09-07 08:37:21:486,36935,0.9,36622,0.9,73604,1.1,97996,2.50 67,1,2024-09-07 08:37:20:767,270597,270596,0,1,126346442527,1324541189,267129,2721,746,381,391787,1 67,2,2024-09-07 08:37:20:587,197321,197321,0,0,7950628,0,2889 67,3,2024-09-07 08:37:21:765,1,154,3,0,138,1267,154,0 68,0,2024-09-07 08:37:20:567,36380,0.5,36230,0.7,72453,0.4,96482,2.00 68,1,2024-09-07 08:37:20:579,270071,270071,0,0,126350272641,1328420058,267217,1836,1018,381,391953,0 68,2,2024-09-07 08:37:21:046,189693,189628,65,0,11163596,0,6698 68,3,2024-09-07 08:37:20:738,1,154,14,0,417,2011,154,0 69,0,2024-09-07 08:37:21:754,38124,0.7,38276,0.9,76046,0.8,101921,2.25 69,1,2024-09-07 08:37:21:025,269582,269582,0,0,126511636766,1340469558,265580,2787,1215,384,391611,0 69,2,2024-09-07 08:37:21:739,193127,193127,0,0,9743858,0,3701 69,3,2024-09-07 08:37:20:769,1,154,5,0,238,1944,154,0 70,0,2024-09-07 08:37:21:572,42506,2.1,42793,1.5,85760,1.4,114574,3.00 70,1,2024-09-07 08:37:20:808,271070,271070,0,0,127020780795,1322537234,268613,1995,462,366,391725,0 70,2,2024-09-07 08:37:21:329,197773,197773,0,0,9049551,0,4044 70,3,2024-09-07 08:37:20:745,1,154,48,0,854,2119,154,0 71,0,2024-09-07 08:37:21:374,36672,2.3,36808,1.8,73322,3.5,99116,3.75 71,1,2024-09-07 08:37:21:609,270885,270885,0,0,126597677502,1328401677,266769,3592,524,368,391682,0 71,2,2024-09-07 08:37:21:080,197304,197304,0,0,9016271,0,2470 71,3,2024-09-07 08:37:21:750,1,154,1,0,644,2554,154,0 72,0,2024-09-07 08:37:21:024,38222,0.5,37350,0.7,73275,0.4,99155,2.25 72,1,2024-09-07 08:37:21:035,271014,271014,0,0,126833087017,1326053173,267760,2768,486,369,391819,0 72,2,2024-09-07 08:37:21:771,190722,190722,0,0,10342367,0,2570 72,3,2024-09-07 08:37:21:761,1,154,1,0,364,2772,154,0 73,0,2024-09-07 08:37:21:183,35809,0.4,36802,0.6,75081,0.3,97656,2.00 73,1,2024-09-07 08:37:20:775,271311,271311,0,0,126218714957,1311411606,269506,1690,115,367,391627,0 73,2,2024-09-07 08:37:21:746,193734,193734,0,0,9670131,0,3482 73,3,2024-09-07 08:37:20:984,1,154,10,0,274,2532,154,0 74,0,2024-09-07 08:37:21:333,43031,0.5,43837,0.7,83612,0.5,114183,2.25 74,1,2024-09-07 08:37:20:643,270821,270821,0,0,125916271339,1316565584,268031,2168,622,382,391681,0 74,2,2024-09-07 08:37:21:003,195189,195189,0,0,9678877,0,4253 74,3,2024-09-07 08:37:21:448,1,154,1,0,522,2702,154,0 75,0,2024-09-07 08:37:21:785,38700,1.5,38439,1.3,76876,1.8,103445,3.00 75,1,2024-09-07 08:37:21:624,270409,270409,0,0,126336043691,1325739896,267016,2933,460,381,391579,0 75,2,2024-09-07 08:37:21:354,196812,196812,0,0,9197507,0,4766 75,3,2024-09-07 08:37:21:080,1,154,13,0,535,1757,154,0 76,0,2024-09-07 08:37:20:590,36824,0.4,36638,0.7,73244,0.3,98080,2.25 76,1,2024-09-07 08:37:20:825,271114,271114,0,0,126512430041,1322108608,269054,1549,511,382,391692,0 76,2,2024-09-07 08:37:21:068,195231,195231,0,0,8050868,0,3064 76,3,2024-09-07 08:37:21:151,1,154,5,0,175,1685,154,0 77,0,2024-09-07 08:37:21:738,35783,0.5,35974,0.7,71991,0.5,94743,1.75 77,1,2024-09-07 08:37:20:830,271968,271968,0,0,126733441590,1322942672,270376,1510,82,383,391808,0 77,2,2024-09-07 08:37:21:294,192197,192197,0,0,8286460,0,3890 77,3,2024-09-07 08:37:21:098,1,154,14,0,305,1967,154,0 78,0,2024-09-07 08:37:21:748,39705,0.5,39595,0.7,79855,0.5,106218,2.00 78,1,2024-09-07 08:37:20:622,271328,271328,0,0,126376694362,1316741751,268998,2077,253,367,391589,0 78,2,2024-09-07 08:37:21:406,194429,194429,0,0,7802063,0,2114 78,3,2024-09-07 08:37:21:133,1,154,1,0,181,1655,154,0 79,0,2024-09-07 08:37:21:377,39862,0.6,40741,0.8,83312,0.5,108303,2.75 79,1,2024-09-07 08:37:20:575,271724,271724,0,0,126718185273,1315032531,269537,2015,172,369,391682,0 79,2,2024-09-07 08:37:21:088,198661,198661,0,0,8059445,0,3212 79,3,2024-09-07 08:37:20:749,1,154,1,0,418,2874,154,0 80,0,2024-09-07 08:37:21:103,36795,0.6,37632,0.8,72392,0.6,98000,2.25 80,1,2024-09-07 08:37:21:623,270341,270341,0,0,126095391373,1316021904,267824,2356,161,368,391673,0 80,2,2024-09-07 08:37:21:093,196797,196797,0,0,8503811,0,4433 80,3,2024-09-07 08:37:20:576,1,154,9,0,190,2581,154,0 81,0,2024-09-07 08:37:21:571,36282,0.4,37376,0.6,71626,0.4,96379,1.75 81,1,2024-09-07 08:37:21:656,270667,270667,0,0,125859349298,1318684369,268189,2206,272,382,391879,0 81,2,2024-09-07 08:37:21:124,190426,190426,0,0,8919589,0,3993 81,3,2024-09-07 08:37:21:127,1,154,6,0,193,1564,154,0 82,0,2024-09-07 08:37:21:539,38335,0.5,38580,0.7,77332,0.5,103093,2.00 82,1,2024-09-07 08:37:20:593,271328,271324,0,4,126786125816,1324574794,268883,1865,576,382,391558,4 82,2,2024-09-07 08:37:21:690,193679,193679,0,0,8201620,0,3986 82,3,2024-09-07 08:37:21:778,1,154,0,0,227,1821,154,0 83,0,2024-09-07 08:37:21:576,43070,0.8,43031,0.9,85394,0.8,113889,2.25 83,1,2024-09-07 08:37:20:558,271020,271020,0,0,126397633215,1322923246,268573,2243,204,382,391690,0 83,2,2024-09-07 08:37:20:764,197871,197871,0,0,8268329,0,3119 83,3,2024-09-07 08:37:20:756,1,154,0,0,241,1874,154,0 84,0,2024-09-07 08:37:21:799,37101,1.4,36852,1.4,74115,1.2,99498,2.75 84,1,2024-09-07 08:37:21:040,270158,270158,0,0,126271506846,1325849077,266773,2931,454,368,391852,0 84,2,2024-09-07 08:37:20:590,196685,196685,0,0,9401436,0,3801 84,3,2024-09-07 08:37:21:148,1,154,5,0,270,1911,154,0 85,0,2024-09-07 08:37:21:022,35104,0.5,35093,0.7,74458,0.4,96406,2.00 85,1,2024-09-07 08:37:20:564,269710,269710,0,0,126165120792,1340743433,264263,4367,1080,382,392006,0 85,2,2024-09-07 08:37:20:876,191109,191109,0,0,10012217,0,3656 85,3,2024-09-07 08:37:20:687,1,154,7,0,789,2458,154,0 86,0,2024-09-07 08:37:20:902,37362,0.6,38360,0.7,73420,0.6,99877,2.00 86,1,2024-09-07 08:37:20:830,270443,270443,0,0,126496811811,1328632102,266493,3407,543,366,391961,0 86,2,2024-09-07 08:37:20:858,192116,192115,1,0,10403186,0,5004 86,3,2024-09-07 08:37:20:587,1,154,1,0,286,2363,154,0 87,0,2024-09-07 08:37:21:321,42566,1.6,42433,1.2,85081,2.5,114504,2.50 87,1,2024-09-07 08:37:20:559,270193,270193,0,0,126346085387,1326798554,266980,2822,391,366,391788,0 87,2,2024-09-07 08:37:21:081,196040,196040,0,0,8822525,0,3515 87,3,2024-09-07 08:37:21:800,1,154,1,0,335,2802,154,0 88,0,2024-09-07 08:37:21:456,37844,0.7,38016,0.8,75768,0.9,101382,2.00 88,1,2024-09-07 08:37:20:601,269724,269724,0,0,126031098341,1325888125,265700,2857,1167,365,391787,0 88,2,2024-09-07 08:37:20:695,197177,197177,0,0,9913358,0,3583 88,3,2024-09-07 08:37:21:277,1,154,23,0,435,2085,154,0 89,0,2024-09-07 08:37:21:802,38196,0.4,36960,0.7,73217,0.4,99446,1.75 89,1,2024-09-07 08:37:20:553,269427,269427,0,0,126809311005,1344274386,263999,4493,935,382,391866,0 89,2,2024-09-07 08:37:21:134,192487,192487,0,0,9623059,0,2910 89,3,2024-09-07 08:37:21:799,1,154,4,0,325,3137,154,0 90,0,2024-09-07 08:37:21:628,34924,0.4,35709,0.5,73325,0.3,95698,1.75 90,1,2024-09-07 08:37:20:607,271220,271220,0,0,126229765930,1327700547,267999,2964,257,382,391825,0 90,2,2024-09-07 08:37:21:417,192123,192123,0,0,10478457,0,3060 90,3,2024-09-07 08:37:20:937,1,154,17,0,200,1859,154,0 91,0,2024-09-07 08:37:20:931,41895,0.5,40404,0.6,84214,0.5,111464,1.75 91,1,2024-09-07 08:37:20:565,270122,270122,0,0,126371641461,1330179229,266377,3248,497,381,392047,0 91,2,2024-09-07 08:37:21:340,193256,193256,0,0,9839393,0,2445 91,3,2024-09-07 08:37:20:605,1,154,2,0,216,1864,154,0 92,0,2024-09-07 08:37:21:510,40556,0.8,41554,0.9,79472,1.0,107219,2.00 92,1,2024-09-07 08:37:20:582,270866,270866,0,0,126452417575,1323175206,268703,1762,401,382,392136,0 92,2,2024-09-07 08:37:21:350,199088,199088,0,0,8350729,0,2801 92,3,2024-09-07 08:37:21:014,1,154,4,0,68,1313,154,0 93,0,2024-09-07 08:37:20:968,37291,0.4,38081,0.6,72923,0.4,98858,1.75 93,1,2024-09-07 08:37:20:810,270777,270777,0,0,126759058116,1326655623,267678,2550,549,366,391692,0 93,2,2024-09-07 08:37:20:932,195648,195648,0,0,8223733,0,2509 93,3,2024-09-07 08:37:21:415,1,154,4,0,143,1665,154,0 94,0,2024-09-07 08:37:21:629,36006,0.3,36283,0.5,71882,0.2,94914,1.50 94,1,2024-09-07 08:37:20:569,270905,270905,0,0,126299406153,1323669681,268464,2347,94,381,391850,0 94,2,2024-09-07 08:37:20:764,191850,191850,0,0,8061043,0,2443 94,3,2024-09-07 08:37:21:694,1,154,6,0,264,2381,154,0 95,0,2024-09-07 08:37:21:401,39103,0.4,38994,0.5,78497,0.3,104185,1.75 95,1,2024-09-07 08:37:20:875,271340,271340,0,0,126458119798,1318085385,268971,2188,181,367,391662,0 95,2,2024-09-07 08:37:21:025,193119,193119,0,0,8363451,0,3308 95,3,2024-09-07 08:37:21:712,1,154,1,0,718,3030,154,0 96,0,2024-09-07 08:37:21:033,42493,0.6,42593,0.7,85468,0.6,112525,1.75 96,1,2024-09-07 08:37:21:583,270257,270257,0,0,126484058773,1325891347,267661,1954,642,385,391894,0 96,2,2024-09-07 08:37:21:281,198231,198231,0,0,9044862,0,4042 96,3,2024-09-07 08:37:21:140,1,154,3,0,188,1828,154,0 97,0,2024-09-07 08:37:21:342,36968,0.4,36846,0.6,73821,0.4,98130,1.75 97,1,2024-09-07 08:37:20:803,271075,271075,0,0,127565975730,1329488229,268283,2244,548,367,392140,0 97,2,2024-09-07 08:37:20:626,196581,196581,0,0,8111458,0,3036 97,3,2024-09-07 08:37:20:576,1,154,35,0,165,1885,154,0 98,0,2024-09-07 08:37:21:711,36383,0.2,36128,0.4,72663,0.2,96799,1.50 98,1,2024-09-07 08:37:20:609,271181,271181,0,0,126871682876,1324844141,269371,1722,88,382,391997,0 98,2,2024-09-07 08:37:20:806,191794,191794,0,0,8469484,0,3080 98,3,2024-09-07 08:37:20:699,1,154,8,0,840,3034,154,0 99,0,2024-09-07 08:37:21:454,38303,0.3,38285,0.4,76531,0.2,102139,1.50 99,1,2024-09-07 08:37:21:730,271169,271169,0,0,126482006573,1323784010,268111,2362,696,381,391744,0 99,2,2024-09-07 08:37:21:426,194844,194844,0,0,8214913,0,2615 99,3,2024-09-07 08:37:20:582,1,154,1,0,129,1110,154,0 100,0,2024-09-07 08:37:21:481,43028,1.3,42947,1.4,85917,2.2,115540,2.50 100,1,2024-09-07 08:37:20:556,268736,268736,0,0,125487151132,1334961918,263506,4299,931,381,391989,0 100,2,2024-09-07 08:37:21:828,196643,196632,11,0,9700132,0,5417 100,3,2024-09-07 08:37:21:732,1,154,1,0,559,3555,154,0 101,0,2024-09-07 08:37:21:746,37917,3.2,36924,1.7,72457,4.0,100400,3.00 101,1,2024-09-07 08:37:20:554,269563,269563,0,0,126086988616,1337249283,264206,3880,1477,368,391769,0 101,2,2024-09-07 08:37:21:766,196230,196230,0,0,10579260,0,4644 101,3,2024-09-07 08:37:20:953,1,154,1,0,448,2021,154,0 102,0,2024-09-07 08:37:20:943,36148,0.6,37542,0.8,75186,0.5,98498,2.00 102,1,2024-09-07 08:37:21:153,270077,270077,0,0,126168969955,1331354191,266007,3359,711,369,391883,0 102,2,2024-09-07 08:37:21:746,192345,192291,54,0,9987463,0,6768 102,3,2024-09-07 08:37:21:618,1,154,3,0,410,1669,154,0 103,0,2024-09-07 08:37:21:623,37814,0.4,37870,0.6,71352,0.3,98145,1.75 103,1,2024-09-07 08:37:21:628,269349,269349,0,0,126134588872,1334122520,264913,3228,1208,381,391829,0 103,2,2024-09-07 08:37:20:605,192904,192904,0,0,8966608,0,2104 103,3,2024-09-07 08:37:20:767,1,154,6,0,916,2898,154,0 104,0,2024-09-07 08:37:21:013,42375,1.5,42535,1.2,83738,2.0,114137,2.50 104,1,2024-09-07 08:37:21:607,271284,271284,0,0,126328698065,1335028487,266289,4033,962,365,391948,0 104,2,2024-09-07 08:37:21:674,194159,194159,0,0,9624923,0,3941 104,3,2024-09-07 08:37:21:425,1,154,21,1,1245,5089,154,0 105,0,2024-09-07 08:37:21:052,38124,2.0,37091,1.5,77319,3.0,102389,4.00 105,1,2024-09-07 08:37:20:557,271336,271336,0,0,126502993358,1330279746,267133,3429,774,367,391797,0 105,2,2024-09-07 08:37:21:336,196609,196609,0,0,9122746,0,3509 105,3,2024-09-07 08:37:21:316,1,154,8,1,399,2304,154,0 106,0,2024-09-07 08:37:20:940,35845,0.7,36742,0.9,74878,0.7,97946,2.25 106,1,2024-09-07 08:37:21:762,270255,270255,0,0,126820695700,1332596478,266492,3379,384,369,391767,0 106,2,2024-09-07 08:37:20:759,193541,193541,0,0,9228102,0,2795 106,3,2024-09-07 08:37:20:681,1,154,1,0,405,2323,154,0 107,0,2024-09-07 08:37:21:108,35844,0.4,35856,0.6,71244,0.3,94946,1.75 107,1,2024-09-07 08:37:20:585,269977,269977,0,0,126205530513,1328414686,267001,2746,230,381,392234,0 107,2,2024-09-07 08:37:21:303,192201,192200,1,0,9274253,0,5024 107,3,2024-09-07 08:37:21:761,1,154,0,0,353,1898,154,0 108,0,2024-09-07 08:37:21:788,39919,0.4,40092,0.6,79543,0.4,106728,1.75 108,1,2024-09-07 08:37:21:321,270421,270421,0,0,126845102608,1326570303,267769,2342,310,368,391857,0 108,2,2024-09-07 08:37:21:774,192459,192459,0,0,8802235,0,2647 108,3,2024-09-07 08:37:21:339,1,154,7,0,667,3221,154,0 109,0,2024-09-07 08:37:21:840,41360,0.5,41157,0.7,82081,0.5,109948,1.75 109,1,2024-09-07 08:37:20:591,270108,270108,0,0,126742513452,1332750935,267331,2281,496,383,392132,0 109,2,2024-09-07 08:37:20:925,197304,197304,0,0,9133558,0,3617 109,3,2024-09-07 08:37:21:152,1,154,1,0,249,2213,154,0 110,0,2024-09-07 08:37:21:759,37035,0.4,35978,0.7,75194,0.4,98315,1.75 110,1,2024-09-07 08:37:21:647,271170,271170,0,0,127020431007,1324261608,268556,1849,765,370,391667,0 110,2,2024-09-07 08:37:21:316,196654,196654,0,0,8094986,0,2915 110,3,2024-09-07 08:37:20:693,1,154,1,0,406,2234,154,0 111,0,2024-09-07 08:37:21:431,36520,0.2,36006,0.4,72073,0.1,96681,1.50 111,1,2024-09-07 08:37:21:003,271683,271683,0,0,127424654937,1324522879,269908,1443,332,382,391690,0 111,2,2024-09-07 08:37:21:126,190909,190909,0,0,8848837,0,2763 111,3,2024-09-07 08:37:20:913,1,154,3,0,379,2536,154,0 112,0,2024-09-07 08:37:20:918,38616,0.3,38603,0.5,77260,0.2,103311,1.50 112,1,2024-09-07 08:37:20:832,271957,271957,0,0,126936356772,1322223954,269631,1901,425,381,391580,0 112,2,2024-09-07 08:37:21:138,192953,192952,1,0,8920046,0,5036 112,3,2024-09-07 08:37:20:597,1,154,24,0,282,1874,154,0 113,0,2024-09-07 08:37:20:881,42846,0.4,42718,0.6,86258,0.4,115009,1.75 113,1,2024-09-07 08:37:21:689,271678,271678,0,0,127085048190,1318418884,269264,1926,488,366,391661,0 113,2,2024-09-07 08:37:21:308,199215,199215,0,0,7863268,0,3813 113,3,2024-09-07 08:37:20:693,1,154,2,0,288,2365,154,0 114,0,2024-09-07 08:37:20:876,37910,0.7,38497,0.7,75399,0.5,101280,2.00 114,1,2024-09-07 08:37:20:730,271217,271217,0,0,125808851487,1320651386,267089,2665,1463,381,391534,0 114,2,2024-09-07 08:37:20:882,196823,196823,0,0,8121175,0,3925 114,3,2024-09-07 08:37:21:280,1,154,0,0,395,1969,154,0 115,0,2024-09-07 08:37:20:565,36349,0.2,36646,0.4,73337,0.2,97171,1.50 115,1,2024-09-07 08:37:20:575,271356,271356,0,0,127009285130,1327408497,267715,2820,821,382,391602,0 115,2,2024-09-07 08:37:21:125,192025,192025,0,0,7579767,0,2152 115,3,2024-09-07 08:37:21:002,1,154,1,0,159,1062,154,0 116,0,2024-09-07 08:37:21:761,37546,0.6,37026,0.8,74452,0.6,99914,2.00 116,1,2024-09-07 08:37:20:814,269292,269292,0,0,126111498168,1343918616,264388,3150,1754,382,391677,0 116,2,2024-09-07 08:37:21:766,192160,192160,0,0,10687382,0,3529 116,3,2024-09-07 08:37:20:912,1,154,19,0,252,2301,154,0 117,0,2024-09-07 08:37:20:970,42459,1.5,42549,1.1,85003,2.3,114368,2.25 117,1,2024-09-07 08:37:21:586,270648,270648,0,0,126460961105,1329425647,266980,3241,427,370,392033,0 117,2,2024-09-07 08:37:21:147,197510,197510,0,0,8562815,0,3700 117,3,2024-09-07 08:37:21:061,1,154,2,0,490,3291,154,0 118,0,2024-09-07 08:37:21:780,36820,1.0,37947,1.0,77029,1.2,100675,2.25 118,1,2024-09-07 08:37:20:611,270137,270137,0,0,125733576472,1330950266,265296,3520,1321,366,391736,0 118,2,2024-09-07 08:37:21:586,196567,196567,0,0,9634878,0,2781 118,3,2024-09-07 08:37:21:764,1,154,0,0,235,2051,154,0 119,0,2024-09-07 08:37:21:368,36712,0.7,36853,0.8,74621,0.7,98449,2.00 119,1,2024-09-07 08:37:20:560,270812,270812,0,0,126857411813,1326572251,268333,2191,288,369,391641,0 119,2,2024-09-07 08:37:21:264,192151,192151,0,0,8934732,0,3526 119,3,2024-09-07 08:37:21:332,1,154,2,0,443,2839,154,0 120,0,2024-09-07 08:37:21:582,35765,0.5,35809,0.7,71507,0.4,95776,2.00 120,1,2024-09-07 08:37:20:859,270984,270984,0,0,126087045217,1326159702,267703,3022,259,368,391961,0 120,2,2024-09-07 08:37:20:778,192447,192446,1,0,10882641,0,5281 120,3,2024-09-07 08:37:21:291,1,154,2,0,241,2169,154,0 121,0,2024-09-07 08:37:21:723,41161,1.6,41568,1.2,82723,2.5,111009,2.25 121,1,2024-09-07 08:37:21:666,270858,270858,0,0,126299338829,1323537143,268119,2451,288,367,391840,0 121,2,2024-09-07 08:37:21:151,193226,193226,0,0,9869512,0,4127 121,3,2024-09-07 08:37:20:737,1,154,44,0,269,2212,154,0 122,0,2024-09-07 08:37:21:801,39977,1.3,38904,1.2,81507,1.5,107284,2.25 122,1,2024-09-07 08:37:20:859,269752,269752,0,0,125997797085,1327021633,265619,3427,706,366,392130,0 122,2,2024-09-07 08:37:21:326,197307,197307,0,0,10952662,0,3364 122,3,2024-09-07 08:37:20:607,1,154,7,0,411,3724,154,0 123,0,2024-09-07 08:37:20:967,37003,0.8,36027,0.8,75560,1.0,98358,2.25 123,1,2024-09-07 08:37:20:564,270936,270936,0,0,126439018658,1334329352,265863,4379,694,369,391823,0 123,2,2024-09-07 08:37:21:022,193964,193963,1,0,9500358,0,5215 123,3,2024-09-07 08:37:21:133,1,154,2,0,168,1969,154,0 124,0,2024-09-07 08:37:20:925,37031,0.3,36989,0.5,69884,0.2,95246,1.50 124,1,2024-09-07 08:37:21:023,271151,271151,0,0,126530844866,1317106435,269066,1729,356,367,392178,0 124,2,2024-09-07 08:37:21:021,192175,192175,0,0,8098744,0,3101 124,3,2024-09-07 08:37:20:767,1,154,6,0,490,2232,154,0 125,0,2024-09-07 08:37:21:449,39148,0.4,39142,0.6,78320,0.4,104328,1.75 125,1,2024-09-07 08:37:20:857,271196,271196,0,0,126878340943,1327437552,268835,2086,275,384,391702,0 125,2,2024-09-07 08:37:21:125,193678,193678,0,0,8066264,0,2180 125,3,2024-09-07 08:37:21:129,1,154,12,0,284,2081,154,0 126,0,2024-09-07 08:37:21:448,42586,0.8,43735,0.8,83523,0.8,113231,2.00 126,1,2024-09-07 08:37:20:564,271340,271340,0,0,127091933563,1319793196,269710,1552,78,365,391987,0 126,2,2024-09-07 08:37:20:629,198891,198891,0,0,8463165,0,3186 126,3,2024-09-07 08:37:20:910,1,154,3,0,150,2258,154,0 127,0,2024-09-07 08:37:21:622,36716,0.4,37004,0.6,73642,0.4,98050,1.75 127,1,2024-09-07 08:37:20:571,270932,270932,0,0,126880997340,1317078823,268870,2021,41,365,391816,0 127,2,2024-09-07 08:37:20:639,196807,196807,0,0,7945717,0,2264 127,3,2024-09-07 08:37:21:276,1,154,1,0,243,1400,154,0 128,0,2024-09-07 08:37:21:590,36540,0.3,36286,0.4,72641,0.2,96880,1.50 128,1,2024-09-07 08:37:21:608,271466,271466,0,0,126843458228,1315731358,270489,905,72,367,391680,0 128,2,2024-09-07 08:37:21:392,190625,190625,0,0,7958132,0,2107 128,3,2024-09-07 08:37:20:772,1,154,1,0,333,2275,154,0 129,0,2024-09-07 08:37:21:023,38532,0.3,38335,0.5,76539,0.2,101944,1.50 129,1,2024-09-07 08:37:20:577,270244,270244,0,0,125840912171,1321461529,267318,2534,392,379,391835,0 129,2,2024-09-07 08:37:20:687,193207,193207,0,0,8363251,0,4031 129,3,2024-09-07 08:37:20:694,1,154,1,0,173,1891,154,0 130,0,2024-09-07 08:37:21:767,43437,0.8,43154,0.8,86758,1.0,116515,2.00 130,1,2024-09-07 08:37:20:625,271207,271207,0,0,126442494822,1320426813,269103,2033,71,381,391825,0 130,2,2024-09-07 08:37:21:127,198330,198330,0,0,8259438,0,4067 130,3,2024-09-07 08:37:21:300,1,154,2,0,450,1938,154,0 131,0,2024-09-07 08:37:21:942,37476,0.6,37674,0.7,76178,0.7,100792,1.75 131,1,2024-09-07 08:37:21:831,272265,272265,0,0,126889705104,1322377279,270800,1259,206,383,391865,0 131,2,2024-09-07 08:37:20:568,197460,197460,0,0,7818852,0,2415 131,3,2024-09-07 08:37:21:688,1,154,2,0,392,1813,154,0 132,0,2024-09-07 08:37:21:420,36737,0.5,37195,0.7,74456,0.4,98898,2.00 132,1,2024-09-07 08:37:20:576,269581,269581,0,0,125992046832,1336591925,264178,4372,1031,381,391760,0 132,2,2024-09-07 08:37:20:704,191309,191309,0,0,10719178,0,4606 132,3,2024-09-07 08:37:21:697,1,154,28,0,356,2862,154,0 133,0,2024-09-07 08:37:21:521,35805,0.4,36637,0.6,75201,0.3,97327,1.75 133,1,2024-09-07 08:37:20:583,269196,269196,0,0,126135293241,1335461221,264695,3863,638,383,391914,0 133,2,2024-09-07 08:37:21:087,192798,192798,0,0,10764648,0,4315 133,3,2024-09-07 08:37:21:306,1,154,1,0,187,1413,154,0 134,0,2024-09-07 08:37:20:943,42563,0.6,42389,0.8,85603,0.6,113919,2.00 134,1,2024-09-07 08:37:20:595,270026,270026,0,0,126746910189,1333294395,265670,3134,1222,366,391718,0 134,2,2024-09-07 08:37:21:767,194596,194596,0,0,9146363,0,3096 134,3,2024-09-07 08:37:20:749,1,154,1,0,739,2899,154,0 135,0,2024-09-07 08:37:21:137,37527,1.7,37466,1.4,79393,2.1,102108,2.50 135,1,2024-09-07 08:37:21:585,269706,269706,0,0,126477336956,1334693026,265520,3484,702,380,391725,0 135,2,2024-09-07 08:37:20:698,197480,197480,0,0,9866391,0,3981 135,3,2024-09-07 08:37:21:002,1,154,2,0,89,869,154,0 136,0,2024-09-07 08:37:21:624,37215,0.6,37051,0.8,74620,0.5,98823,2.00 136,1,2024-09-07 08:37:21:446,270307,270307,0,0,126882653080,1331574510,267015,3119,173,384,391641,0 136,2,2024-09-07 08:37:21:133,195103,195103,0,0,9224166,0,3506 136,3,2024-09-07 08:37:21:110,1,154,2,0,108,1299,154,0 137,0,2024-09-07 08:37:20:924,36725,0.5,35678,0.7,70363,0.4,95148,1.75 137,1,2024-09-07 08:37:20:578,270729,270729,0,0,126715703253,1328566626,266690,3620,419,367,391608,0 137,2,2024-09-07 08:37:21:737,191850,191850,0,0,10534148,0,3185 137,3,2024-09-07 08:37:20:772,1,154,3,1,227,1834,154,0 138,0,2024-09-07 08:37:21:766,39426,1.4,39420,1.1,79547,2.0,106560,2.25 138,1,2024-09-07 08:37:21:702,270746,270746,0,0,126601824993,1325639404,267708,2686,352,368,391954,0 138,2,2024-09-07 08:37:20:591,194365,194365,0,0,8625963,0,3263 138,3,2024-09-07 08:37:20:620,1,154,3,0,1160,2945,154,0 139,0,2024-09-07 08:37:21:501,40156,3.0,40350,1.8,81286,4.3,108697,3.25 139,1,2024-09-07 08:37:20:623,269483,269483,0,0,125856286976,1337685689,264408,3760,1315,381,391892,0 139,2,2024-09-07 08:37:20:709,196165,196165,0,0,9824320,0,3097 139,3,2024-09-07 08:37:21:667,1,154,2,0,244,1817,154,0 140,0,2024-09-07 08:37:21:600,37201,0.4,36894,0.6,74404,0.3,98385,1.75 140,1,2024-09-07 08:37:21:539,271778,271778,0,0,127143076868,1313629556,270263,1275,240,365,391606,0 140,2,2024-09-07 08:37:20:687,196559,196559,0,0,8452646,0,3388 140,3,2024-09-07 08:37:20:767,1,154,3,0,247,1431,154,0 141,0,2024-09-07 08:37:21:708,36083,0.2,37201,0.4,71243,0.1,96696,1.50 141,1,2024-09-07 08:37:20:860,271563,271563,0,0,126783582563,1318079758,269624,1586,353,379,391614,0 141,2,2024-09-07 08:37:21:687,191354,191354,0,0,7910209,0,2342 141,3,2024-09-07 08:37:21:044,1,154,2,0,147,1304,154,0 142,0,2024-09-07 08:37:21:319,39049,0.3,38830,0.5,76916,0.2,103453,1.50 142,1,2024-09-07 08:37:20:584,271264,271264,0,0,126603959665,1321453408,269722,1383,159,383,391728,0 142,2,2024-09-07 08:37:21:303,191907,191875,32,0,9235078,0,6028 142,3,2024-09-07 08:37:21:764,1,154,6,0,484,2151,154,0 143,0,2024-09-07 08:37:21:384,43020,0.7,43037,0.7,86686,0.8,114809,2.00 143,1,2024-09-07 08:37:20:561,271268,271268,0,0,126772092727,1318215211,269158,2067,43,367,391619,0 143,2,2024-09-07 08:37:20:792,198266,198266,0,0,8561361,0,2669 143,3,2024-09-07 08:37:21:145,1,154,1,0,303,2568,154,0 144,0,2024-09-07 08:37:21:506,36208,1.0,37268,1.5,75524,1.0,99838,2.25 144,1,2024-09-07 08:37:20:576,270320,270320,0,0,125843820167,1322870846,267927,2190,203,381,391649,0 144,2,2024-09-07 08:37:21:762,197217,197217,0,0,8417689,0,3473 144,3,2024-09-07 08:37:21:741,1,154,2,0,249,2084,154,0 145,0,2024-09-07 08:37:21:377,35208,0.5,35186,0.8,74667,0.4,96704,2.00 145,1,2024-09-07 08:37:20:553,269127,269127,0,0,125915246548,1331385689,264739,3652,736,383,391615,0 145,2,2024-09-07 08:37:21:430,191019,191019,0,0,9304811,0,3903 145,3,2024-09-07 08:37:20:913,1,154,3,0,151,1838,154,0 146,0,2024-09-07 08:37:21:628,37223,0.4,37021,0.7,74772,0.4,99402,2.00 146,1,2024-09-07 08:37:21:587,271177,271177,0,0,126472773573,1328972234,266728,3589,860,368,391629,0 146,2,2024-09-07 08:37:21:709,193549,193549,0,0,8846864,0,2498 146,3,2024-09-07 08:37:21:281,1,154,1,0,1520,5144,154,0 147,0,2024-09-07 08:37:21:703,42668,0.9,42528,1.0,84524,0.9,114097,2.25 147,1,2024-09-07 08:37:21:377,271952,271952,0,0,126719971298,1322671581,268853,2604,495,368,391791,0 147,2,2024-09-07 08:37:21:012,197220,197220,0,0,8377667,0,2789 147,3,2024-09-07 08:37:20:913,1,154,1,0,371,1976,154,0 0,0,2024-09-07 08:37:31:732,35136,0.4,35187,0.7,74511,0.4,96664,1.75 0,1,2024-09-07 08:37:30:800,272447,272447,0,0,127722867810,1338392932,270481,1830,136,372,391772,0 0,2,2024-09-07 08:37:31:087,194344,194344,0,0,8638374,0,4480 0,3,2024-09-07 08:37:30:979,1,155,1,0,247,2042,155,0 1,0,2024-09-07 08:37:31:755,42021,1.4,41692,1.3,83642,1.9,112444,2.25 1,1,2024-09-07 08:37:30:589,272105,272105,0,0,126943060499,1335219928,268670,2568,867,372,391857,0 1,2,2024-09-07 08:37:30:652,195321,195321,0,0,7868518,0,3267 1,3,2024-09-07 08:37:31:318,1,155,3,0,262,1948,155,0 2,0,2024-09-07 08:37:31:566,40120,1.2,40266,1.1,80073,1.7,106733,2.25 2,1,2024-09-07 08:37:30:863,272337,272337,0,0,127748414880,1330219864,270830,1301,206,380,391745,0 2,2,2024-09-07 08:37:31:266,198344,198344,0,0,8709686,0,3594 2,3,2024-09-07 08:37:30:692,1,155,1,0,357,1673,155,0 3,0,2024-09-07 08:37:31:764,36798,0.5,37015,0.7,74045,0.5,98026,2.00 3,1,2024-09-07 08:37:31:620,272141,272141,0,0,126682410532,1325380896,269032,2685,424,380,391516,0 3,2,2024-09-07 08:37:31:143,196235,196212,23,0,8649084,0,5851 3,3,2024-09-07 08:37:31:752,1,155,2,0,103,999,155,0 4,0,2024-09-07 08:37:31:847,34861,0.3,35845,0.5,72856,0.2,95010,1.75 4,1,2024-09-07 08:37:30:610,272518,272518,0,0,127047768303,1337580359,269540,2457,521,371,391846,0 4,2,2024-09-07 08:37:31:018,192267,192267,0,0,10251700,0,4528 4,3,2024-09-07 08:37:31:034,1,155,5,0,287,2168,155,0 5,0,2024-09-07 08:37:31:382,39431,0.5,39335,0.6,78744,0.5,104899,1.75 5,1,2024-09-07 08:37:30:756,271756,271756,0,0,127033038590,1341780671,267555,3214,987,368,392005,0 5,2,2024-09-07 08:37:31:847,193900,193900,0,0,8863487,0,2259 5,3,2024-09-07 08:37:31:736,1,155,1,0,238,2385,155,0 6,0,2024-09-07 08:37:30:922,42974,1.0,42548,1.0,84461,1.4,113223,2.50 6,1,2024-09-07 08:37:30:754,272870,272870,0,0,127280979106,1335841446,269471,2752,647,381,391617,0 6,2,2024-09-07 08:37:31:121,199876,199876,0,0,9126549,0,4816 6,3,2024-09-07 08:37:31:278,1,155,12,0,340,2289,155,0 7,0,2024-09-07 08:37:31:534,36525,0.5,36446,0.7,73185,0.4,97562,2.00 7,1,2024-09-07 08:37:30:855,272351,272351,0,0,127683544916,1339041079,269537,2682,132,382,391664,0 7,2,2024-09-07 08:37:30:770,197629,197629,0,0,8330091,0,2981 7,3,2024-09-07 08:37:30:851,1,155,5,0,305,1648,155,0 8,0,2024-09-07 08:37:31:367,36498,0.3,36622,0.4,72912,0.2,97575,1.50 8,1,2024-09-07 08:37:31:021,271980,271980,0,0,127140004580,1345928094,266377,4004,1599,367,391956,0 8,2,2024-09-07 08:37:30:794,189900,189900,0,0,9823148,0,2986 8,3,2024-09-07 08:37:30:586,1,155,0,0,357,2585,155,0 9,0,2024-09-07 08:37:31:157,38640,0.3,37439,0.5,78255,0.3,102601,1.75 9,1,2024-09-07 08:37:30:579,271719,271719,0,0,127418667065,1346681570,267224,3365,1130,370,391753,0 9,2,2024-09-07 08:37:31:107,193930,193930,0,0,9116491,0,3360 9,3,2024-09-07 08:37:31:760,1,155,1,0,496,2643,155,0 10,0,2024-09-07 08:37:31:603,43878,0.4,43523,0.6,87547,0.3,117314,1.75 10,1,2024-09-07 08:37:30:585,272133,272133,0,0,126771082103,1333211121,267662,3830,641,381,391741,0 10,2,2024-09-07 08:37:30:764,199183,199183,0,0,9430624,0,2940 10,3,2024-09-07 08:37:30:874,1,155,8,0,177,1222,155,0 11,0,2024-09-07 08:37:31:008,37075,0.9,36178,1.0,75731,1.0,101175,2.25 11,1,2024-09-07 08:37:30:573,272458,272458,0,0,127430715052,1343164614,267155,4033,1270,384,391537,0 11,2,2024-09-07 08:37:31:132,198020,198020,0,0,9203975,0,3411 11,3,2024-09-07 08:37:31:305,1,155,0,0,720,2818,155,0 12,0,2024-09-07 08:37:30:949,37602,0.3,37285,0.5,74834,0.2,99257,1.50 12,1,2024-09-07 08:37:30:937,272100,272100,0,0,126723267168,1326848288,269213,2475,412,370,391837,0 12,2,2024-09-07 08:37:31:557,193644,193644,0,0,9341380,0,3469 12,3,2024-09-07 08:37:31:059,1,155,1,0,358,2685,155,0 13,0,2024-09-07 08:37:31:360,37106,0.4,37097,0.5,74028,0.3,98592,1.75 13,1,2024-09-07 08:37:31:536,272236,272236,0,0,127355812871,1338505925,269821,2007,408,382,391717,0 13,2,2024-09-07 08:37:30:601,195371,195371,0,0,8542582,0,3287 13,3,2024-09-07 08:37:31:777,1,155,39,0,467,3193,155,0 14,0,2024-09-07 08:37:30:562,43273,0.4,43358,0.7,85989,0.4,115071,1.75 14,1,2024-09-07 08:37:31:571,273931,273931,0,0,128009083573,1330028616,271666,2102,163,364,391571,0 14,2,2024-09-07 08:37:30:765,196375,196375,0,0,8723548,0,2896 14,3,2024-09-07 08:37:31:121,1,155,1,0,1168,2893,155,0 15,0,2024-09-07 08:37:31:557,38609,1.2,38647,1.2,77548,1.5,103015,2.75 15,1,2024-09-07 08:37:31:611,272240,272240,0,0,127346805321,1327550411,270327,1804,109,381,391619,0 15,2,2024-09-07 08:37:31:006,199419,199419,0,0,7383064,0,3043 15,3,2024-09-07 08:37:31:405,1,155,0,0,1126,4287,155,0 16,0,2024-09-07 08:37:30:945,37182,0.5,37504,0.7,74267,0.5,99043,2.00 16,1,2024-09-07 08:37:30:563,272865,272865,0,0,127123653357,1331594155,270640,1963,262,370,391756,0 16,2,2024-09-07 08:37:31:434,194235,194235,0,0,9677092,0,4719 16,3,2024-09-07 08:37:31:157,1,155,1,0,231,2212,155,0 17,0,2024-09-07 08:37:31:798,36934,0.4,36041,0.6,70715,0.4,95339,1.75 17,1,2024-09-07 08:37:30:576,271853,271853,0,0,126511359517,1333094565,268498,2683,672,368,391688,0 17,2,2024-09-07 08:37:31:685,195722,195722,0,0,8604619,0,2857 17,3,2024-09-07 08:37:30:573,1,155,12,0,268,2468,155,0 18,0,2024-09-07 08:37:30:947,39707,0.8,39943,0.9,79876,0.9,107310,2.25 18,1,2024-09-07 08:37:31:640,272398,272398,0,0,127802778637,1328914905,270391,1773,234,368,391564,0 18,2,2024-09-07 08:37:31:796,194880,194880,0,0,8628036,0,3541 18,3,2024-09-07 08:37:30:901,1,155,1,0,163,1729,155,0 19,0,2024-09-07 08:37:31:543,40815,1.2,41241,1.1,81448,1.5,108146,2.75 19,1,2024-09-07 08:37:30:565,272692,272692,0,0,127573624513,1333183680,268879,3097,716,367,391777,0 19,2,2024-09-07 08:37:31:753,199738,199738,0,0,7895173,0,3988 19,3,2024-09-07 08:37:31:141,1,155,7,0,524,1483,155,0 20,0,2024-09-07 08:37:31:360,36970,0.6,36868,0.8,74017,0.7,98300,2.25 20,1,2024-09-07 08:37:30:568,271832,271832,0,0,127090649056,1336532551,268369,3073,390,369,391822,0 20,2,2024-09-07 08:37:30:939,197057,197057,0,0,8980021,0,3721 20,3,2024-09-07 08:37:30:590,1,155,7,0,414,2853,155,0 21,0,2024-09-07 08:37:31:134,36742,0.3,36790,0.5,73133,0.3,96930,1.75 21,1,2024-09-07 08:37:31:543,271694,271694,0,0,126743030900,1337672613,268029,3133,532,368,391962,0 21,2,2024-09-07 08:37:31:084,192560,192560,0,0,9661192,0,3747 21,3,2024-09-07 08:37:31:405,1,155,1,0,103,1924,155,0 22,0,2024-09-07 08:37:31:729,38898,0.6,38955,0.8,77290,0.6,103697,2.00 22,1,2024-09-07 08:37:31:029,271522,271522,0,0,126402262035,1338352058,265981,4032,1509,382,391667,0 22,2,2024-09-07 08:37:30:768,193941,193941,0,0,8365539,0,3134 22,3,2024-09-07 08:37:31:075,1,155,1,0,228,1816,155,0 23,0,2024-09-07 08:37:31:377,42838,0.9,42947,0.9,86174,1.2,114642,2.50 23,1,2024-09-07 08:37:31:058,272359,272359,0,0,127547585368,1337701647,268264,2613,1482,365,391549,0 23,2,2024-09-07 08:37:31:098,199147,199147,0,0,8714614,0,3010 23,3,2024-09-07 08:37:31:754,1,155,33,0,645,1791,155,0 24,0,2024-09-07 08:37:30:832,38206,0.5,38287,0.6,76488,0.6,101767,1.75 24,1,2024-09-07 08:37:30:586,272557,272557,0,0,126787259649,1329237889,269597,2161,799,369,391640,0 24,2,2024-09-07 08:37:31:084,197115,197115,0,0,9858532,0,2942 24,3,2024-09-07 08:37:31:698,1,155,3,0,234,1793,155,0 25,0,2024-09-07 08:37:31:375,37653,0.3,36701,0.5,72074,0.3,97993,1.75 25,1,2024-09-07 08:37:30:560,272276,272276,0,0,126727017782,1333189207,268587,3146,543,371,391788,0 25,2,2024-09-07 08:37:31:612,191315,191315,0,0,9798819,0,3978 25,3,2024-09-07 08:37:31:001,1,155,2,0,255,1977,155,0 26,0,2024-09-07 08:37:31:728,37490,0.3,36650,0.5,76957,0.3,101086,1.75 26,1,2024-09-07 08:37:31:546,272574,272574,0,0,127071276614,1337198895,267914,3759,901,381,391564,0 26,2,2024-09-07 08:37:30:884,194694,194694,0,0,8937995,0,2809 26,3,2024-09-07 08:37:31:718,1,155,1,0,796,2168,155,0 27,0,2024-09-07 08:37:31:740,43285,0.5,43352,0.6,85917,0.5,115207,1.75 27,1,2024-09-07 08:37:31:681,273920,273920,0,0,128012785751,1330897055,272101,1496,323,381,391539,0 27,2,2024-09-07 08:37:30:867,197188,197188,0,0,9792684,0,3409 27,3,2024-09-07 08:37:31:019,1,155,9,0,564,1930,155,0 28,0,2024-09-07 08:37:31:402,37807,0.9,38036,0.9,76544,1.2,102279,2.50 28,1,2024-09-07 08:37:30:799,272952,272952,0,0,128120461681,1337540029,270790,1826,336,383,391646,0 28,2,2024-09-07 08:37:31:767,198944,198944,0,0,7761651,0,2915 28,3,2024-09-07 08:37:31:780,1,155,1,0,502,2001,155,0 29,0,2024-09-07 08:37:31:363,38122,0.4,37295,0.6,72862,0.3,99607,1.75 29,1,2024-09-07 08:37:31:571,274000,274000,0,0,127388066516,1322522559,271572,1885,543,369,391621,0 29,2,2024-09-07 08:37:30:894,193623,193623,0,0,7523203,0,4018 29,3,2024-09-07 08:37:30:974,1,155,14,0,105,1221,155,0 30,0,2024-09-07 08:37:31:463,36168,0.5,35119,0.7,73564,0.5,96900,2.00 30,1,2024-09-07 08:37:30:575,273633,273633,0,0,127876378281,1330251497,271646,1709,278,382,391672,0 30,2,2024-09-07 08:37:31:279,195243,195243,0,0,7546755,0,3161 30,3,2024-09-07 08:37:30:583,1,155,5,0,195,1172,155,0 31,0,2024-09-07 08:37:31:778,41438,0.5,41761,0.7,84141,0.5,112010,2.00 31,1,2024-09-07 08:37:30:564,274002,274002,0,0,127680531816,1310149324,273201,689,112,356,391553,0 31,2,2024-09-07 08:37:31:278,195603,195603,0,0,9315636,0,3525 31,3,2024-09-07 08:37:31:720,1,155,2,0,220,1336,155,0 32,0,2024-09-07 08:37:31:424,40130,0.5,40548,0.7,81100,0.5,107459,1.75 32,1,2024-09-07 08:37:30:804,273305,273305,0,0,127762522619,1328898436,271563,1467,275,382,391595,0 32,2,2024-09-07 08:37:30:936,198734,198734,0,0,7706674,0,3155 32,3,2024-09-07 08:37:31:015,1,155,1,0,227,1462,155,0 33,0,2024-09-07 08:37:31:538,37504,0.3,36865,0.5,74504,0.3,99031,1.75 33,1,2024-09-07 08:37:30:596,274049,274049,0,0,128114191321,1329002466,271790,2087,172,369,391730,0 33,2,2024-09-07 08:37:30:770,196161,196128,33,0,9509828,0,7012 33,3,2024-09-07 08:37:30:899,1,155,0,0,329,1838,155,0 34,0,2024-09-07 08:37:30:938,35990,0.3,37154,0.4,71155,0.2,95171,1.50 34,1,2024-09-07 08:37:31:044,274011,274011,0,0,128753396558,1327724663,273016,989,6,367,391562,0 34,2,2024-09-07 08:37:30:766,192652,192652,0,0,8432829,0,3577 34,3,2024-09-07 08:37:31:697,1,155,0,0,148,1041,155,0 35,0,2024-09-07 08:37:30:872,39105,0.4,39440,0.6,78806,0.4,105245,1.75 35,1,2024-09-07 08:37:31:076,272928,272928,0,0,126904192318,1321350645,270128,2030,770,384,391589,0 35,2,2024-09-07 08:37:31:588,194323,194323,0,0,7801591,0,2653 35,3,2024-09-07 08:37:30:907,1,155,6,0,418,1967,155,0 36,0,2024-09-07 08:37:31:535,42552,1.0,42358,1.0,85366,1.3,112838,2.50 36,1,2024-09-07 08:37:30:587,272472,272472,0,0,127505363775,1339269018,267853,3331,1288,366,391759,0 36,2,2024-09-07 08:37:31:758,198753,198753,0,0,9280323,0,3303 36,3,2024-09-07 08:37:30:863,1,155,37,0,378,2183,155,0 37,0,2024-09-07 08:37:31:410,36636,0.5,36671,0.7,73153,0.4,98504,2.00 37,1,2024-09-07 08:37:30:583,271908,271901,0,7,127375934438,1343048037,267128,2754,2019,365,391560,0 37,2,2024-09-07 08:37:31:143,197592,197577,15,0,9366736,0,5815 37,3,2024-09-07 08:37:31:792,1,155,1,0,724,2460,155,0 38,0,2024-09-07 08:37:31:444,36404,0.4,35265,0.6,73751,0.3,97194,2.00 38,1,2024-09-07 08:37:31:620,272906,272906,0,0,127463270330,1336078829,268868,3406,632,368,391821,0 38,2,2024-09-07 08:37:30:766,192259,192212,47,0,10875507,0,6710 38,3,2024-09-07 08:37:30:997,1,155,5,0,603,2143,155,0 39,0,2024-09-07 08:37:31:767,39566,0.6,38697,0.7,75526,0.6,103091,2.00 39,1,2024-09-07 08:37:30:717,272761,272761,0,0,126975040266,1328174458,268973,2987,801,365,391524,0 39,2,2024-09-07 08:37:31:418,194361,194361,0,0,8050339,0,2689 39,3,2024-09-07 08:37:30:721,1,155,1,0,276,2057,155,0 40,0,2024-09-07 08:37:31:557,43081,0.9,43849,1.2,87098,1.0,116693,3.00 40,1,2024-09-07 08:37:30:576,272838,272838,0,0,126135831730,1324403880,268423,3646,769,368,391591,0 40,2,2024-09-07 08:37:31:315,198379,198378,1,0,10224070,0,5137 40,3,2024-09-07 08:37:31:143,1,155,9,0,181,1600,155,0 41,0,2024-09-07 08:37:31:029,37142,2.2,37868,1.8,72661,3.5,99810,4.25 41,1,2024-09-07 08:37:30:773,272182,272182,0,0,126950315841,1331829534,267989,3661,532,370,391742,0 41,2,2024-09-07 08:37:30:759,196804,196804,0,0,10031910,0,3356 41,3,2024-09-07 08:37:31:680,1,155,0,0,366,1946,155,0 42,0,2024-09-07 08:37:31:527,36587,0.5,37017,0.8,73754,0.4,97367,2.25 42,1,2024-09-07 08:37:31:463,271531,271531,0,0,127303747560,1341564773,266819,3754,958,380,391675,0 42,2,2024-09-07 08:37:31:148,192138,192138,0,0,9507725,0,3568 42,3,2024-09-07 08:37:31:010,1,155,14,0,446,1421,155,0 43,0,2024-09-07 08:37:30:937,36871,0.6,36105,0.9,74967,0.6,98687,2.00 43,1,2024-09-07 08:37:30:577,272610,272610,0,0,127928700523,1335697060,269367,2450,793,366,391604,0 43,2,2024-09-07 08:37:31:739,195307,195307,0,0,8941567,0,3812 43,3,2024-09-07 08:37:31:757,1,155,2,0,325,2116,155,0 44,0,2024-09-07 08:37:30:860,43097,0.5,43282,0.7,86252,0.5,115585,1.75 44,1,2024-09-07 08:37:30:564,272888,272888,0,0,127181408740,1316691754,270830,1633,425,356,391809,0 44,2,2024-09-07 08:37:31:268,196217,196217,0,0,7481375,0,1877 44,3,2024-09-07 08:37:31:094,1,155,1,0,817,2091,155,0 45,0,2024-09-07 08:37:31:763,38107,1.5,37385,1.2,78554,1.9,103636,2.25 45,1,2024-09-07 08:37:31:013,273063,273063,0,0,127495993283,1323321609,272024,1029,10,382,391917,0 45,2,2024-09-07 08:37:31:274,199397,199397,0,0,8167896,0,3596 45,3,2024-09-07 08:37:30:933,1,155,3,0,226,1421,155,0 46,0,2024-09-07 08:37:30:973,37079,0.4,36930,0.6,74148,0.3,98047,1.75 46,1,2024-09-07 08:37:30:575,273592,273592,0,0,127618850859,1321829139,271783,1586,223,366,391524,0 46,2,2024-09-07 08:37:30:611,195634,195634,0,0,8082736,0,2920 46,3,2024-09-07 08:37:31:141,1,155,1,0,908,2901,155,0 47,0,2024-09-07 08:37:31:118,35840,0.3,36067,0.5,72352,0.2,95090,1.75 47,1,2024-09-07 08:37:30:576,273624,273624,0,0,127281475916,1315877849,272222,1385,17,366,391605,0 47,2,2024-09-07 08:37:30:908,194974,194974,0,0,8162963,0,2558 47,3,2024-09-07 08:37:31:117,1,155,5,0,529,1787,155,0 48,0,2024-09-07 08:37:31:500,40590,0.3,40190,0.5,80521,0.2,107941,1.75 48,1,2024-09-07 08:37:31:023,273560,273560,0,0,127469334175,1326910742,271499,1880,181,384,391710,0 48,2,2024-09-07 08:37:30:712,195213,195213,0,0,7024625,0,2083 48,3,2024-09-07 08:37:30:753,1,155,1,0,339,1431,155,0 49,0,2024-09-07 08:37:31:725,42421,0.6,41593,0.7,80799,0.6,110118,1.75 49,1,2024-09-07 08:37:31:021,272149,272149,0,0,127247713193,1329320575,269412,1897,840,382,391583,0 49,2,2024-09-07 08:37:31:806,199478,199478,0,0,8171353,0,3900 49,3,2024-09-07 08:37:31:427,1,155,7,0,408,2323,155,0 50,0,2024-09-07 08:37:31:538,37048,0.3,36732,0.5,73589,0.2,98237,1.75 50,1,2024-09-07 08:37:31:012,274435,274435,0,0,128444008922,1333961734,271910,2216,309,368,391530,0 50,2,2024-09-07 08:37:31:078,197346,197346,0,0,7728184,0,2253 50,3,2024-09-07 08:37:31:293,1,155,1,0,335,1330,155,0 51,0,2024-09-07 08:37:31:691,37252,0.3,36739,0.4,71515,0.2,97275,1.50 51,1,2024-09-07 08:37:31:688,272910,272910,0,0,128550262748,1331302475,270941,1183,786,367,391637,0 51,2,2024-09-07 08:37:31:321,192355,192355,0,0,7246979,0,3337 51,3,2024-09-07 08:37:31:031,1,155,9,0,162,901,155,0 52,0,2024-09-07 08:37:31:417,39102,0.5,39127,0.7,78299,0.5,104115,2.25 52,1,2024-09-07 08:37:30:576,272098,272098,0,0,127091632153,1343174904,266186,4754,1158,368,391722,0 52,2,2024-09-07 08:37:31:763,192581,192543,38,0,9858592,0,6742 52,3,2024-09-07 08:37:30:676,1,155,1,0,1782,3519,155,0 53,0,2024-09-07 08:37:31:730,42853,1.1,41617,1.0,87077,1.3,114049,2.75 53,1,2024-09-07 08:37:30:771,271645,271645,0,0,127150638205,1344889192,266017,3734,1894,367,391702,0 53,2,2024-09-07 08:37:31:307,199634,199634,0,0,8246402,0,2262 53,3,2024-09-07 08:37:30:697,1,155,103,0,271,1621,155,0 54,0,2024-09-07 08:37:31:618,36627,2.2,37148,1.3,73615,1.1,98944,4.25 54,1,2024-09-07 08:37:30:588,272399,272399,0,0,127842205536,1337279022,268429,3399,571,367,391532,0 54,2,2024-09-07 08:37:30:884,197751,197745,6,0,9843425,0,5382 54,3,2024-09-07 08:37:30:763,1,155,4,0,676,3165,155,0 55,0,2024-09-07 08:37:31:767,35350,0.5,36417,0.7,73896,0.4,95906,2.25 55,1,2024-09-07 08:37:30:764,271934,271934,0,0,126805716291,1330817734,266747,4400,787,365,391731,0 55,2,2024-09-07 08:37:30:734,191671,191671,0,0,9339044,0,3275 55,3,2024-09-07 08:37:30:678,1,155,1,0,304,1696,155,0 56,0,2024-09-07 08:37:31:612,38716,1.2,36666,1.1,75132,1.6,101319,2.50 56,1,2024-09-07 08:37:30:575,271964,271964,0,0,127661113536,1353473792,266837,4224,903,381,391678,0 56,2,2024-09-07 08:37:31:357,194259,194259,0,0,9979723,0,3567 56,3,2024-09-07 08:37:31:061,1,155,1,0,405,2207,155,0 57,0,2024-09-07 08:37:30:949,42123,2.4,42228,1.5,84619,3.6,113371,4.00 57,1,2024-09-07 08:37:31:007,272444,272444,0,0,127263338054,1333860202,269616,2658,170,368,391960,0 57,2,2024-09-07 08:37:31:329,198798,198798,0,0,10272192,0,3178 57,3,2024-09-07 08:37:31:742,1,155,15,0,359,2686,155,0 58,0,2024-09-07 08:37:30:560,36858,1.4,35934,1.3,75286,2.4,98847,3.00 58,1,2024-09-07 08:37:30:575,273375,273372,0,3,127684240673,1335387549,269857,3118,397,367,391516,3 58,2,2024-09-07 08:37:31:083,198414,198414,0,0,9369245,0,2549 58,3,2024-09-07 08:37:31:075,1,155,2,0,1043,2297,155,0 59,0,2024-09-07 08:37:31:756,37318,0.8,37009,1.0,73946,0.8,98416,3.00 59,1,2024-09-07 08:37:30:804,272365,272365,0,0,127325170531,1334108580,268718,2725,922,369,391515,0 59,2,2024-09-07 08:37:30:584,194240,194240,0,0,8111853,0,2604 59,3,2024-09-07 08:37:31:742,1,155,1,0,1015,2871,155,0 60,0,2024-09-07 08:37:31:731,36474,0.4,36563,0.6,72735,0.3,96906,1.75 60,1,2024-09-07 08:37:30:787,273770,273770,0,0,127738764905,1325831581,272313,1099,358,370,391761,0 60,2,2024-09-07 08:37:31:192,194151,194151,0,0,9347071,0,3811 60,3,2024-09-07 08:37:31:273,1,155,4,0,124,1557,155,0 61,0,2024-09-07 08:37:31:544,41775,1.4,41994,1.1,83681,2.1,112317,2.25 61,1,2024-09-07 08:37:30:770,272511,272511,0,0,127364361939,1337883927,269076,2883,552,382,391589,0 61,2,2024-09-07 08:37:31:142,196415,196415,0,0,8296795,0,2079 61,3,2024-09-07 08:37:31:700,1,155,6,0,199,1909,155,0 62,0,2024-09-07 08:37:31:707,40447,1.6,41209,1.1,79002,2.6,107137,2.50 62,1,2024-09-07 08:37:31:120,274669,274663,0,6,128774120598,1330019573,272985,1639,39,365,391715,6 62,2,2024-09-07 08:37:31:644,197485,197484,1,0,9850757,0,5555 62,3,2024-09-07 08:37:31:148,1,155,2,0,287,1166,155,0 63,0,2024-09-07 08:37:31:470,37010,0.5,36999,0.7,74182,0.5,98732,2.00 63,1,2024-09-07 08:37:30:804,273879,273873,0,6,128168606354,1329125110,272632,1215,26,381,391677,6 63,2,2024-09-07 08:37:30:762,195854,195854,0,0,7610808,0,2674 63,3,2024-09-07 08:37:31:733,1,155,0,0,667,2379,155,0 64,0,2024-09-07 08:37:31:584,35785,0.4,35745,0.6,71776,0.3,94943,1.75 64,1,2024-09-07 08:37:30:862,273476,273476,0,0,127406534731,1334611229,270011,2411,1054,371,391783,0 64,2,2024-09-07 08:37:31:153,194683,194664,19,0,8485720,0,6121 64,3,2024-09-07 08:37:31:153,1,155,8,0,265,1789,155,0 65,0,2024-09-07 08:37:31:748,38673,0.9,39144,0.9,78146,1.1,104444,2.25 65,1,2024-09-07 08:37:30:868,272350,272350,0,0,127400695281,1335428394,269843,2283,224,382,391770,0 65,2,2024-09-07 08:37:31:702,194245,194245,0,0,8938657,0,3367 65,3,2024-09-07 08:37:31:686,1,155,24,0,163,1616,155,0 66,0,2024-09-07 08:37:31:803,42079,0.8,42147,0.9,84679,0.8,112144,2.50 66,1,2024-09-07 08:37:31:301,273759,273759,0,0,127512648177,1327696473,271884,1704,171,380,391588,0 66,2,2024-09-07 08:37:31:135,200736,200736,0,0,8346479,0,4956 66,3,2024-09-07 08:37:31:084,1,155,2,0,291,1692,155,0 67,0,2024-09-07 08:37:31:424,37145,0.9,36830,0.9,74077,1.1,98579,2.50 67,1,2024-09-07 08:37:30:766,272411,272410,0,1,127122161745,1332470932,268943,2721,746,381,391787,1 67,2,2024-09-07 08:37:30:588,198485,198485,0,0,7964415,0,2889 67,3,2024-09-07 08:37:31:756,1,155,9,0,138,1276,155,0 68,0,2024-09-07 08:37:30:596,36720,0.5,36562,0.7,73088,0.4,97389,2.00 68,1,2024-09-07 08:37:30:576,271928,271928,0,0,127175045156,1336930206,269074,1836,1018,381,391953,0 68,2,2024-09-07 08:37:31:044,191070,191005,65,0,11190300,0,6698 68,3,2024-09-07 08:37:30:728,1,155,1,0,417,2012,155,0 69,0,2024-09-07 08:37:31:732,38372,0.7,38541,0.9,76618,0.8,102612,2.25 69,1,2024-09-07 08:37:31:016,271391,271391,0,0,127497356851,1350544952,267387,2789,1215,384,391611,0 69,2,2024-09-07 08:37:31:753,194371,194371,0,0,9787171,0,3701 69,3,2024-09-07 08:37:30:759,1,155,3,0,238,1947,155,0 70,0,2024-09-07 08:37:31:544,43078,2.0,43358,1.5,86851,1.4,116136,3.00 70,1,2024-09-07 08:37:30:801,272831,272831,0,0,127941507576,1331926614,270374,1995,462,366,391725,0 70,2,2024-09-07 08:37:31:329,199000,199000,0,0,9063141,0,4044 70,3,2024-09-07 08:37:30:745,1,155,1,0,854,2120,155,0 71,0,2024-09-07 08:37:31:363,37035,2.2,37137,1.7,73938,3.4,99982,3.75 71,1,2024-09-07 08:37:31:599,272647,272647,0,0,127556848721,1338118814,268531,3592,524,368,391682,0 71,2,2024-09-07 08:37:31:072,198694,198694,0,0,9034783,0,2470 71,3,2024-09-07 08:37:31:752,1,155,1,0,644,2555,155,0 72,0,2024-09-07 08:37:31:065,38394,0.5,37519,0.7,73563,0.4,99568,2.25 72,1,2024-09-07 08:37:31:037,272727,272727,0,0,127542692023,1333329786,269473,2768,486,369,391819,0 72,2,2024-09-07 08:37:31:767,191795,191795,0,0,10369228,0,2570 72,3,2024-09-07 08:37:31:766,1,155,10,0,364,2782,155,0 73,0,2024-09-07 08:37:31:225,36054,0.4,37031,0.6,75580,0.3,98280,2.00 73,1,2024-09-07 08:37:30:782,273121,273121,0,0,126887807508,1318383337,271316,1690,115,367,391627,0 73,2,2024-09-07 08:37:31:759,195030,195030,0,0,9711266,0,3482 73,3,2024-09-07 08:37:30:977,1,155,42,0,274,2574,155,0 74,0,2024-09-07 08:37:31:334,43416,0.5,44226,0.7,84360,0.5,115217,2.25 74,1,2024-09-07 08:37:30:641,272561,272561,0,0,126729918378,1325061207,269771,2168,622,382,391681,0 74,2,2024-09-07 08:37:31:004,196587,196587,0,0,9738106,0,4253 74,3,2024-09-07 08:37:31:444,1,155,47,0,522,2749,155,0 75,0,2024-09-07 08:37:31:769,38876,1.5,38618,1.3,77192,1.8,103884,3.00 75,1,2024-09-07 08:37:31:588,272254,272254,0,0,127292706928,1335671721,268861,2933,460,381,391579,0 75,2,2024-09-07 08:37:31:355,198236,198236,0,0,9280178,0,4766 75,3,2024-09-07 08:37:31:076,1,155,1,0,535,1758,155,0 76,0,2024-09-07 08:37:30:592,36931,0.4,36714,0.7,73454,0.3,98322,2.25 76,1,2024-09-07 08:37:30:812,272896,272896,0,0,127185088877,1329046250,270836,1549,511,382,391692,0 76,2,2024-09-07 08:37:31:062,195923,195923,0,0,8057447,0,3064 76,3,2024-09-07 08:37:31:143,1,155,2,0,175,1687,155,0 77,0,2024-09-07 08:37:31:753,35883,0.5,36082,0.7,72214,0.5,95059,1.75 77,1,2024-09-07 08:37:30:858,273684,273684,0,0,127560493437,1331375207,272092,1510,82,383,391808,0 77,2,2024-09-07 08:37:31:313,193687,193687,0,0,8304085,0,3890 77,3,2024-09-07 08:37:31:099,1,155,18,0,305,1985,155,0 78,0,2024-09-07 08:37:31:746,40168,0.5,40089,0.7,80809,0.5,107564,2.00 78,1,2024-09-07 08:37:30:610,273217,273217,0,0,127290127949,1325982304,270887,2077,253,367,391589,0 78,2,2024-09-07 08:37:31:409,195937,195937,0,0,7815161,0,2114 78,3,2024-09-07 08:37:31:140,1,155,2,0,181,1657,155,0 79,0,2024-09-07 08:37:31:379,39964,0.6,40855,0.8,83531,0.5,108594,2.75 79,1,2024-09-07 08:37:30:576,273505,273505,0,0,127621902460,1324175232,271318,2015,172,369,391682,0 79,2,2024-09-07 08:37:31:077,199742,199742,0,0,8066884,0,3212 79,3,2024-09-07 08:37:30:754,1,155,8,0,418,2882,155,0 80,0,2024-09-07 08:37:31:120,36901,0.6,37750,0.8,72593,0.6,98269,2.25 80,1,2024-09-07 08:37:31:621,272114,272114,0,0,127071281834,1325943365,269597,2356,161,368,391673,0 80,2,2024-09-07 08:37:31:098,197876,197876,0,0,8512139,0,4433 80,3,2024-09-07 08:37:30:575,1,155,1,0,190,2582,155,0 81,0,2024-09-07 08:37:31:592,36497,0.4,37595,0.6,72034,0.4,96886,1.75 81,1,2024-09-07 08:37:31:656,272396,272396,0,0,126562091650,1325876203,269918,2206,272,382,391879,0 81,2,2024-09-07 08:37:31:134,192061,192061,0,0,8936545,0,3993 81,3,2024-09-07 08:37:31:119,1,155,1,0,193,1565,155,0 82,0,2024-09-07 08:37:31:537,38774,0.5,39005,0.7,78126,0.5,104220,2.00 82,1,2024-09-07 08:37:30:586,273132,273128,0,4,127463402492,1331490024,270686,1865,577,382,391558,4 82,2,2024-09-07 08:37:31:691,195002,195002,0,0,8215141,0,3986 82,3,2024-09-07 08:37:31:752,1,155,11,0,227,1832,155,0 83,0,2024-09-07 08:37:31:528,43211,0.8,43182,0.9,85679,0.8,114179,2.25 83,1,2024-09-07 08:37:30:551,272774,272774,0,0,127057346865,1329696999,270327,2243,204,382,391690,0 83,2,2024-09-07 08:37:30:768,198972,198972,0,0,8279422,0,3119 83,3,2024-09-07 08:37:30:752,1,155,3,0,241,1877,155,0 84,0,2024-09-07 08:37:31:772,37385,1.4,37133,1.4,74714,1.2,100240,2.75 84,1,2024-09-07 08:37:31:043,272004,272004,0,0,126996151650,1333291144,268618,2932,454,368,391852,0 84,2,2024-09-07 08:37:30:579,198002,198002,0,0,9428356,0,3801 84,3,2024-09-07 08:37:31:143,1,155,1,0,270,1912,155,0 85,0,2024-09-07 08:37:31:067,35310,0.5,35290,0.7,74935,0.4,96986,2.00 85,1,2024-09-07 08:37:30:568,271471,271471,0,0,126965038099,1349137932,266022,4369,1080,382,392006,0 85,2,2024-09-07 08:37:30:891,192395,192395,0,0,10043688,0,3656 85,3,2024-09-07 08:37:30:693,1,155,3,0,789,2461,155,0 86,0,2024-09-07 08:37:30:899,37819,0.6,38830,0.7,74286,0.5,101087,2.00 86,1,2024-09-07 08:37:30:834,272176,272176,0,0,127137730141,1335274147,268224,3408,544,366,391961,0 86,2,2024-09-07 08:37:30:865,193290,193289,1,0,10428294,0,5004 86,3,2024-09-07 08:37:30:601,1,155,11,0,286,2374,155,0 87,0,2024-09-07 08:37:31:330,42862,1.6,42693,1.2,85634,2.4,115241,2.50 87,1,2024-09-07 08:37:30:550,272018,272018,0,0,127041525077,1333933267,268805,2822,391,366,391788,0 87,2,2024-09-07 08:37:31:077,197491,197491,0,0,8870852,0,3515 87,3,2024-09-07 08:37:31:805,1,155,1,0,335,2803,155,0 88,0,2024-09-07 08:37:31:529,38180,0.7,38343,0.8,76445,0.9,102309,2.00 88,1,2024-09-07 08:37:30:582,271418,271418,0,0,126805217118,1334088445,267389,2862,1167,365,391787,0 88,2,2024-09-07 08:37:30:713,198541,198541,0,0,9992209,0,3583 88,3,2024-09-07 08:37:31:276,1,155,5,0,435,2090,155,0 89,0,2024-09-07 08:37:31:826,38354,0.4,37135,0.7,73556,0.4,99907,1.75 89,1,2024-09-07 08:37:30:565,271157,271157,0,0,127497951849,1351407315,265729,4493,935,382,391866,0 89,2,2024-09-07 08:37:31:210,193275,193275,0,0,9654717,0,2910 89,3,2024-09-07 08:37:31:806,1,155,0,0,325,3137,155,0 90,0,2024-09-07 08:37:31:646,35253,0.4,36017,0.5,73980,0.3,96578,1.75 90,1,2024-09-07 08:37:30:591,273027,273027,0,0,127221483243,1337922919,269806,2964,257,382,391825,0 90,2,2024-09-07 08:37:31:407,193707,193707,0,0,10542640,0,3060 90,3,2024-09-07 08:37:30:934,1,155,5,0,200,1864,155,0 91,0,2024-09-07 08:37:30:959,42359,0.5,40806,0.6,85073,0.5,112655,1.75 91,1,2024-09-07 08:37:30:583,271842,271842,0,0,127100189510,1337797585,268096,3249,497,381,392047,0 91,2,2024-09-07 08:37:31:372,194911,194911,0,0,9900282,0,2445 91,3,2024-09-07 08:37:30:600,1,155,1,0,216,1865,155,0 92,0,2024-09-07 08:37:31:855,40661,0.8,41670,0.9,79695,1.0,107535,2.00 92,1,2024-09-07 08:37:30:591,272714,272714,0,0,127272555685,1331579115,270549,1763,402,382,392136,0 92,2,2024-09-07 08:37:31:360,200542,200542,0,0,8366650,0,2801 92,3,2024-09-07 08:37:31:011,1,155,4,0,68,1317,155,0 93,0,2024-09-07 08:37:31:012,37442,0.4,38211,0.6,73147,0.4,99190,1.75 93,1,2024-09-07 08:37:30:810,272563,272563,0,0,127453115453,1333770941,269461,2553,549,366,391692,0 93,2,2024-09-07 08:37:30:932,196447,196447,0,0,8232992,0,2509 93,3,2024-09-07 08:37:31:413,1,155,6,0,143,1671,155,0 94,0,2024-09-07 08:37:31:616,36022,0.3,36297,0.5,71908,0.2,94914,1.50 94,1,2024-09-07 08:37:30:563,272675,272675,0,0,127197055020,1332808120,270234,2347,94,381,391850,0 94,2,2024-09-07 08:37:30:773,193228,193228,0,0,8072849,0,2443 94,3,2024-09-07 08:37:31:709,1,155,10,0,264,2391,155,0 95,0,2024-09-07 08:37:31:359,39438,0.4,39329,0.5,79245,0.3,105104,1.75 95,1,2024-09-07 08:37:30:852,273138,273138,0,0,127052136783,1324152523,270767,2190,181,367,391662,0 95,2,2024-09-07 08:37:31:017,194577,194577,0,0,8377231,0,3308 95,3,2024-09-07 08:37:31:727,1,155,8,0,718,3038,155,0 96,0,2024-09-07 08:37:31:054,42593,0.6,42691,0.7,85646,0.6,112761,1.75 96,1,2024-09-07 08:37:31:599,271998,271998,0,0,127419800478,1335419801,269402,1954,642,385,391894,0 96,2,2024-09-07 08:37:31:289,199352,199352,0,0,9061490,0,4042 96,3,2024-09-07 08:37:31:151,1,155,10,0,188,1838,155,0 97,0,2024-09-07 08:37:31:420,37220,0.4,37090,0.6,74264,0.4,98717,1.75 97,1,2024-09-07 08:37:30:770,272900,272900,0,0,128253399006,1336501397,270107,2245,548,367,392140,0 97,2,2024-09-07 08:37:30:619,197712,197712,0,0,8121524,0,3036 97,3,2024-09-07 08:37:30:583,1,155,2,0,165,1887,155,0 98,0,2024-09-07 08:37:31:731,36687,0.2,36493,0.4,73332,0.2,97665,1.50 98,1,2024-09-07 08:37:30:591,272893,272893,0,0,127817566220,1334509088,271080,1723,90,382,391997,0 98,2,2024-09-07 08:37:30:771,193194,193194,0,0,8484129,0,3080 98,3,2024-09-07 08:37:30:702,1,155,1,0,840,3035,155,0 99,0,2024-09-07 08:37:31:476,38589,0.3,38573,0.4,77065,0.2,102837,1.50 99,1,2024-09-07 08:37:31:725,273009,273009,0,0,127448366014,1333635790,269951,2362,696,381,391744,0 99,2,2024-09-07 08:37:31:418,196255,196255,0,0,8230169,0,2615 99,3,2024-09-07 08:37:30:587,1,155,2,0,129,1112,155,0 100,0,2024-09-07 08:37:31:602,43557,1.2,43475,1.3,86965,2.2,117030,2.50 100,1,2024-09-07 08:37:30:573,270457,270457,0,0,126121381923,1341771466,265226,4300,931,381,391989,0 100,2,2024-09-07 08:37:31:820,197766,197755,11,0,9726463,0,5417 100,3,2024-09-07 08:37:31:738,1,155,101,0,559,3656,155,0 101,0,2024-09-07 08:37:31:759,38235,3.2,37238,1.7,73141,3.9,101234,3.00 101,1,2024-09-07 08:37:30:553,271266,271266,0,0,127004924715,1346598001,265909,3880,1477,368,391769,0 101,2,2024-09-07 08:37:31:845,197614,197614,0,0,10643370,0,4644 101,3,2024-09-07 08:37:30:951,1,155,1,0,448,2022,155,0 102,0,2024-09-07 08:37:30:986,36302,0.6,37695,0.8,75533,0.5,98889,2.00 102,1,2024-09-07 08:37:31:152,271911,271911,0,0,126742924088,1337309485,267841,3359,711,369,391883,0 102,2,2024-09-07 08:37:31:743,193387,193333,54,0,10005848,0,6768 102,3,2024-09-07 08:37:31:621,1,155,8,0,410,1677,155,0 103,0,2024-09-07 08:37:31:648,38077,0.4,38081,0.6,71805,0.3,98825,1.75 103,1,2024-09-07 08:37:31:636,271044,271044,0,0,126847830804,1341441725,266608,3228,1208,381,391829,0 103,2,2024-09-07 08:37:30:589,194214,194214,0,0,8991786,0,2104 103,3,2024-09-07 08:37:30:756,1,155,10,0,916,2908,155,0 104,0,2024-09-07 08:37:31:018,42755,1.5,42927,1.2,84523,2.0,115179,2.50 104,1,2024-09-07 08:37:31:607,272978,272978,0,0,126988339322,1341841788,267983,4033,962,365,391948,0 104,2,2024-09-07 08:37:31:672,195607,195607,0,0,9692078,0,3941 104,3,2024-09-07 08:37:31:417,1,155,3,1,1245,5092,155,0 105,0,2024-09-07 08:37:31:050,38288,2.0,37250,1.5,77682,2.9,102828,4.00 105,1,2024-09-07 08:37:30:607,273114,273114,0,0,127382618500,1339362606,268910,3430,774,367,391797,0 105,2,2024-09-07 08:37:31:357,198003,198003,0,0,9166572,0,3509 105,3,2024-09-07 08:37:31:319,1,155,4,1,399,2308,155,0 106,0,2024-09-07 08:37:30:955,35939,0.7,36862,0.9,75054,0.7,98179,2.25 106,1,2024-09-07 08:37:31:758,272108,272108,0,0,127512958321,1340082593,268322,3402,384,369,391767,0 106,2,2024-09-07 08:37:30:765,194199,194199,0,0,9239987,0,2795 106,3,2024-09-07 08:37:30:681,1,155,3,0,405,2326,155,0 107,0,2024-09-07 08:37:31:141,35957,0.4,35965,0.6,71502,0.3,95286,1.75 107,1,2024-09-07 08:37:30:590,271848,271848,0,0,127171659976,1338439360,268872,2746,230,381,392234,0 107,2,2024-09-07 08:37:31:294,193686,193685,1,0,9326565,0,5024 107,3,2024-09-07 08:37:31:760,1,155,186,0,353,2084,155,0 108,0,2024-09-07 08:37:31:788,40393,0.4,40552,0.6,80500,0.4,108078,1.75 108,1,2024-09-07 08:37:31:333,272215,272215,0,0,127831514384,1336561249,269563,2342,310,368,391857,0 108,2,2024-09-07 08:37:31:755,193980,193980,0,0,8816695,0,2647 108,3,2024-09-07 08:37:31:330,1,155,3,0,667,3224,155,0 109,0,2024-09-07 08:37:31:761,41457,0.5,41268,0.7,82301,0.5,110233,1.75 109,1,2024-09-07 08:37:30:588,271985,271985,0,0,127547852462,1341023644,269208,2281,496,383,392132,0 109,2,2024-09-07 08:37:30:928,198447,198447,0,0,9144952,0,3617 109,3,2024-09-07 08:37:31:142,1,155,6,0,249,2219,155,0 110,0,2024-09-07 08:37:31:760,37147,0.4,36075,0.7,75415,0.4,98559,1.75 110,1,2024-09-07 08:37:31:645,272938,272938,0,0,127881818191,1332994985,270324,1849,765,370,391667,0 110,2,2024-09-07 08:37:31:310,197714,197714,0,0,8112564,0,2915 110,3,2024-09-07 08:37:30:697,1,155,2,0,406,2236,155,0 111,0,2024-09-07 08:37:31:418,36722,0.2,36189,0.4,72466,0.1,97235,1.50 111,1,2024-09-07 08:37:31:005,273519,273519,0,0,128314028702,1333563059,271744,1443,332,382,391690,0 111,2,2024-09-07 08:37:31:140,192450,192450,0,0,8862229,0,2763 111,3,2024-09-07 08:37:30:932,1,155,1,0,379,2537,155,0 112,0,2024-09-07 08:37:30:914,39041,0.3,39006,0.5,78118,0.2,104459,1.50 112,1,2024-09-07 08:37:30:824,273719,273719,0,0,127712650890,1330143790,271393,1901,425,381,391580,0 112,2,2024-09-07 08:37:31:133,194254,194253,1,0,8931022,0,5036 112,3,2024-09-07 08:37:30:591,1,155,1,0,282,1875,155,0 113,0,2024-09-07 08:37:30:908,42997,0.4,42867,0.6,86530,0.4,115306,1.75 113,1,2024-09-07 08:37:31:686,273391,273391,0,0,127732469858,1325010457,270977,1926,488,366,391661,0 113,2,2024-09-07 08:37:31:306,200370,200370,0,0,7873252,0,3813 113,3,2024-09-07 08:37:30:700,1,155,1,0,288,2366,155,0 114,0,2024-09-07 08:37:30:909,38227,0.7,38789,0.7,75999,0.5,102064,2.00 114,1,2024-09-07 08:37:30:733,272956,272956,0,0,126773720955,1330432705,268828,2665,1463,381,391534,0 114,2,2024-09-07 08:37:30:876,198131,198131,0,0,8135960,0,3925 114,3,2024-09-07 08:37:31:278,1,155,1,0,395,1970,155,0 115,0,2024-09-07 08:37:30:555,36537,0.2,36883,0.4,73775,0.2,97751,1.50 115,1,2024-09-07 08:37:30:574,273108,273108,0,0,127948910945,1336941802,269467,2820,821,382,391602,0 115,2,2024-09-07 08:37:31:130,193196,193196,0,0,7588830,0,2152 115,3,2024-09-07 08:37:31:010,1,155,1,0,159,1063,155,0 116,0,2024-09-07 08:37:31:936,37983,0.6,37469,0.8,75380,0.6,101121,2.00 116,1,2024-09-07 08:37:30:804,270960,270960,0,0,126709481453,1350226182,266056,3150,1754,382,391677,0 116,2,2024-09-07 08:37:31:826,193396,193396,0,0,10717055,0,3529 116,3,2024-09-07 08:37:30:929,1,155,5,0,252,2306,155,0 117,0,2024-09-07 08:37:31:477,42742,1.5,42811,1.1,85582,2.2,115105,2.25 117,1,2024-09-07 08:37:31:818,272423,272423,0,0,127256646390,1337656049,268755,3241,427,370,392033,0 117,2,2024-09-07 08:37:31:148,198980,198980,0,0,8607164,0,3700 117,3,2024-09-07 08:37:31:090,1,155,27,0,490,3318,155,0 118,0,2024-09-07 08:37:31:851,37141,1.0,38299,1.0,77706,1.2,101594,2.25 118,1,2024-09-07 08:37:30:593,271943,271943,0,0,126521237474,1339005670,267102,3520,1321,366,391736,0 118,2,2024-09-07 08:37:31:595,198011,198011,0,0,9684294,0,2781 118,3,2024-09-07 08:37:31:781,1,155,37,0,235,2088,155,0 119,0,2024-09-07 08:37:31:365,36894,0.7,37016,0.8,74996,0.6,98927,2.00 119,1,2024-09-07 08:37:30:551,272587,272587,0,0,127444028112,1332654892,270108,2191,288,369,391641,0 119,2,2024-09-07 08:37:31:275,192998,192998,0,0,8945633,0,3526 119,3,2024-09-07 08:37:31:328,1,155,4,0,443,2843,155,0 120,0,2024-09-07 08:37:31:823,36089,0.5,36118,0.7,72194,0.4,96726,2.00 120,1,2024-09-07 08:37:30:860,272780,272780,0,0,126990685891,1335538357,269498,3022,260,368,391961,0 120,2,2024-09-07 08:37:30:778,193796,193795,1,0,10958013,0,5281 120,3,2024-09-07 08:37:31:302,1,155,7,0,241,2176,155,0 121,0,2024-09-07 08:37:31:842,41602,1.6,42005,1.2,83625,2.5,112257,2.25 121,1,2024-09-07 08:37:31:666,272601,272601,0,0,127097591437,1331882596,269862,2451,288,367,391840,0 121,2,2024-09-07 08:37:31:132,194673,194673,0,0,9914292,0,4127 121,3,2024-09-07 08:37:30:795,1,155,2,0,269,2214,155,0 122,0,2024-09-07 08:37:31:772,40108,1.3,39031,1.2,81758,1.4,107625,2.25 122,1,2024-09-07 08:37:30:861,271510,271510,0,0,126872195620,1335957850,267376,3428,706,366,392130,0 122,2,2024-09-07 08:37:31:334,198590,198590,0,0,10984216,0,3364 122,3,2024-09-07 08:37:30:610,1,155,15,0,411,3739,155,0 123,0,2024-09-07 08:37:30:955,37120,0.8,36173,0.8,75793,1.0,98702,2.00 123,1,2024-09-07 08:37:30:560,272684,272684,0,0,127226699764,1342471777,267611,4379,694,369,391823,0 123,2,2024-09-07 08:37:31:019,194762,194761,1,0,9524139,0,5215 123,3,2024-09-07 08:37:31:158,1,155,3,0,168,1972,155,0 124,0,2024-09-07 08:37:30:968,37042,0.3,37010,0.5,69923,0.2,95246,1.50 124,1,2024-09-07 08:37:31:023,272901,272901,0,0,127262037171,1324562296,270816,1729,356,367,392178,0 124,2,2024-09-07 08:37:31:018,193642,193642,0,0,8112858,0,3101 124,3,2024-09-07 08:37:30:764,1,155,2,0,490,2234,155,0 125,0,2024-09-07 08:37:31:473,39515,0.4,39497,0.6,79021,0.4,105267,1.75 125,1,2024-09-07 08:37:30:868,272980,272980,0,0,127773744301,1336553457,270618,2087,275,384,391702,0 125,2,2024-09-07 08:37:31:125,195158,195158,0,0,8094591,0,2180 125,3,2024-09-07 08:37:31:132,1,155,7,0,284,2088,155,0 126,0,2024-09-07 08:37:31:471,42684,0.8,43819,0.8,83716,0.8,113471,2.00 126,1,2024-09-07 08:37:30:552,273122,273122,0,0,128110218442,1330114438,271490,1554,78,365,391987,0 126,2,2024-09-07 08:37:30:613,199983,199983,0,0,8473149,0,3186 126,3,2024-09-07 08:37:30:908,1,155,1,0,150,2259,155,0 127,0,2024-09-07 08:37:31:609,36939,0.4,37228,0.6,74054,0.4,98632,1.75 127,1,2024-09-07 08:37:30:577,272705,272705,0,0,127789168991,1326293140,270642,2022,41,365,391816,0 127,2,2024-09-07 08:37:30:641,197966,197966,0,0,7956005,0,2264 127,3,2024-09-07 08:37:31:293,1,155,1,0,243,1401,155,0 128,0,2024-09-07 08:37:31:568,36869,0.3,36594,0.4,73273,0.2,97786,1.50 128,1,2024-09-07 08:37:31:619,273341,273341,0,0,127570924431,1323153954,272364,905,72,367,391680,0 128,2,2024-09-07 08:37:31:386,192086,192086,0,0,7971782,0,2107 128,3,2024-09-07 08:37:30:772,1,155,41,0,333,2316,155,0 129,0,2024-09-07 08:37:31:118,38798,0.3,38634,0.5,77087,0.2,102657,1.50 129,1,2024-09-07 08:37:30:583,272000,272000,0,0,126954520036,1332773479,269073,2534,393,379,391835,0 129,2,2024-09-07 08:37:30:708,194520,194520,0,0,8378498,0,4031 129,3,2024-09-07 08:37:30:704,1,155,4,0,173,1895,155,0 130,0,2024-09-07 08:37:31:744,43947,0.8,43712,0.8,87846,1.0,118045,2.00 130,1,2024-09-07 08:37:30:584,272919,272919,0,0,127515289100,1331319290,270815,2033,71,381,391825,0 130,2,2024-09-07 08:37:31:133,199439,199439,0,0,8268939,0,4067 130,3,2024-09-07 08:37:31:292,1,155,3,0,450,1941,155,0 131,0,2024-09-07 08:37:31:942,37771,0.6,37997,0.7,76841,0.7,101637,1.75 131,1,2024-09-07 08:37:31:826,274012,274012,0,0,127744144366,1331082472,272547,1259,206,383,391865,0 131,2,2024-09-07 08:37:30:568,198809,198809,0,0,7833622,0,2415 131,3,2024-09-07 08:37:31:695,1,155,3,0,392,1816,155,0 132,0,2024-09-07 08:37:31:422,36899,0.5,37339,0.7,74804,0.4,99294,2.00 132,1,2024-09-07 08:37:30:577,271409,271409,0,0,127060177021,1347480004,266006,4372,1031,381,391760,0 132,2,2024-09-07 08:37:30:710,192330,192330,0,0,10734811,0,4606 132,3,2024-09-07 08:37:31:688,1,155,137,0,356,2999,155,0 133,0,2024-09-07 08:37:31:550,36056,0.4,36913,0.6,75696,0.3,97964,1.75 133,1,2024-09-07 08:37:30:586,271007,271007,0,0,126861864048,1342977152,266505,3863,639,383,391914,0 133,2,2024-09-07 08:37:31:099,194008,194008,0,0,10794138,0,4315 133,3,2024-09-07 08:37:31:318,1,155,5,0,187,1418,155,0 134,0,2024-09-07 08:37:30:957,42910,0.6,42788,0.8,86382,0.6,114960,2.00 134,1,2024-09-07 08:37:30:590,271801,271801,0,0,127546302544,1341591035,267445,3134,1222,366,391718,0 134,2,2024-09-07 08:37:31:756,196007,196007,0,0,9177184,0,3096 134,3,2024-09-07 08:37:30:752,1,155,11,0,739,2910,155,0 135,0,2024-09-07 08:37:31:110,37682,1.7,37664,1.4,79713,2.0,102567,2.50 135,1,2024-09-07 08:37:31:612,271482,271482,0,0,127294415331,1343126514,267294,3485,703,380,391725,0 135,2,2024-09-07 08:37:30:693,198888,198888,0,0,9913163,0,3981 135,3,2024-09-07 08:37:31:011,1,155,1,0,89,870,155,0 136,0,2024-09-07 08:37:31:716,37322,0.6,37155,0.8,74803,0.5,99066,2.00 136,1,2024-09-07 08:37:31:446,271936,271936,0,0,127525552901,1338260602,268644,3119,173,384,391641,0 136,2,2024-09-07 08:37:31:146,195840,195840,0,0,9249741,0,3506 136,3,2024-09-07 08:37:31:111,1,155,2,0,108,1301,155,0 137,0,2024-09-07 08:37:31:051,36835,0.5,35786,0.7,70564,0.4,95472,1.75 137,1,2024-09-07 08:37:30:583,272459,272459,0,0,127759678881,1339359857,268420,3620,419,367,391608,0 137,2,2024-09-07 08:37:31:707,193347,193347,0,0,10609204,0,3185 137,3,2024-09-07 08:37:30:778,1,155,14,1,227,1848,155,0 138,0,2024-09-07 08:37:31:834,39927,1.4,39930,1.1,80573,1.9,107862,2.25 138,1,2024-09-07 08:37:31:698,272431,272431,0,0,127491560615,1334843178,269391,2688,352,368,391954,0 138,2,2024-09-07 08:37:30:587,195863,195863,0,0,8672119,0,3263 138,3,2024-09-07 08:37:30:618,1,155,4,0,1160,2949,155,0 139,0,2024-09-07 08:37:31:412,40270,3.0,40461,1.8,81511,4.3,108952,3.25 139,1,2024-09-07 08:37:30:591,271289,271289,0,0,126768510170,1347884477,266065,3900,1324,381,391892,0 139,2,2024-09-07 08:37:30:695,197255,197255,0,0,9859043,0,3097 139,3,2024-09-07 08:37:31:669,1,155,1,0,244,1818,155,0 140,0,2024-09-07 08:37:31:605,37338,0.4,37007,0.6,74602,0.3,98630,1.75 140,1,2024-09-07 08:37:31:550,273526,273526,0,0,127992973325,1322210263,272011,1275,240,365,391606,0 140,2,2024-09-07 08:37:30:693,197622,197622,0,0,8458929,0,3388 140,3,2024-09-07 08:37:30:768,1,155,0,0,247,1431,155,0 141,0,2024-09-07 08:37:31:714,36260,0.2,37404,0.4,71632,0.1,97204,1.50 141,1,2024-09-07 08:37:30:868,273302,273302,0,0,127739044583,1327764606,271363,1586,353,379,391614,0 141,2,2024-09-07 08:37:31:686,192874,192874,0,0,7925719,0,2342 141,3,2024-09-07 08:37:31:045,1,155,1,0,147,1305,155,0 142,0,2024-09-07 08:37:31:345,39471,0.3,39218,0.5,77801,0.2,104617,1.50 142,1,2024-09-07 08:37:30:589,273088,273088,0,0,127714171487,1332730781,271545,1384,159,383,391728,0 142,2,2024-09-07 08:37:31:310,193228,193196,32,0,9247425,0,6028 142,3,2024-09-07 08:37:31:752,1,155,8,0,484,2159,155,0 143,0,2024-09-07 08:37:31:409,43183,0.7,43192,0.7,86955,0.8,115122,2.00 143,1,2024-09-07 08:37:30:560,273055,273055,0,0,127640062686,1327036397,270945,2067,43,367,391619,0 143,2,2024-09-07 08:37:30:792,199335,199335,0,0,8572665,0,2669 143,3,2024-09-07 08:37:31:151,1,155,31,0,303,2599,155,0 144,0,2024-09-07 08:37:31:506,36493,0.9,37576,1.5,76084,1.0,100598,2.25 144,1,2024-09-07 08:37:30:570,272080,272080,0,0,126561388127,1330218480,269686,2191,203,381,391649,0 144,2,2024-09-07 08:37:31:765,198563,198563,0,0,8428684,0,3473 144,3,2024-09-07 08:37:31:759,1,155,1,0,249,2085,155,0 145,0,2024-09-07 08:37:31:373,35415,0.5,35406,0.8,75106,0.4,97299,2.00 145,1,2024-09-07 08:37:30:567,270913,270913,0,0,126782171623,1340269807,266524,3653,736,383,391615,0 145,2,2024-09-07 08:37:31:438,192268,192268,0,0,9326613,0,3903 145,3,2024-09-07 08:37:30:901,1,155,1,0,151,1839,155,0 146,0,2024-09-07 08:37:31:600,37681,0.4,37454,0.7,75690,0.4,100704,2.00 146,1,2024-09-07 08:37:31:595,272933,272933,0,0,127031771124,1334877136,268484,3589,860,368,391629,0 146,2,2024-09-07 08:37:31:709,194651,194651,0,0,8872891,0,2498 146,3,2024-09-07 08:37:31:293,1,155,16,0,1520,5160,155,0 147,0,2024-09-07 08:37:31:722,42944,0.9,42843,1.0,85061,0.9,114843,2.25 147,1,2024-09-07 08:37:31:381,273595,273595,0,0,127436016518,1329949457,270495,2605,495,368,391791,0 147,2,2024-09-07 08:37:31:017,198691,198691,0,0,8390217,0,2789 147,3,2024-09-07 08:37:30:918,1,155,8,0,371,1984,155,0 0,0,2024-09-07 08:37:41:749,35472,0.4,35513,0.7,75192,0.4,97595,1.75 0,1,2024-09-07 08:37:40:806,274225,274225,0,0,128772930962,1349064292,272259,1830,136,372,391772,0 0,2,2024-09-07 08:37:41:067,195720,195720,0,0,8666293,0,4480 0,3,2024-09-07 08:37:40:974,1,156,2,0,247,2044,156,0 1,0,2024-09-07 08:37:41:782,42445,1.4,42135,1.2,84481,1.9,113612,2.25 1,1,2024-09-07 08:37:40:564,273866,273866,0,0,127839454150,1344407504,270430,2569,867,371,391857,0 1,2,2024-09-07 08:37:40:647,196792,196792,0,0,7884820,0,3267 1,3,2024-09-07 08:37:41:320,1,156,6,0,262,1954,156,0 2,0,2024-09-07 08:37:41:587,40247,1.2,40397,1.1,80318,1.7,107077,2.25 2,1,2024-09-07 08:37:40:862,274063,274063,0,0,128756227414,1340443526,272556,1301,206,380,391745,0 2,2,2024-09-07 08:37:41:266,199786,199786,0,0,8722813,0,3594 2,3,2024-09-07 08:37:40:733,1,156,1,0,357,1674,156,0 3,0,2024-09-07 08:37:41:749,36944,0.5,37149,0.7,74323,0.5,98363,2.00 3,1,2024-09-07 08:37:41:628,273896,273896,0,0,127626568277,1334954822,270787,2685,424,380,391516,0 3,2,2024-09-07 08:37:41:154,196957,196934,23,0,8653858,0,5851 3,3,2024-09-07 08:37:41:752,1,156,6,0,103,1005,156,0 4,0,2024-09-07 08:37:41:779,34869,0.3,35853,0.5,72872,0.2,95029,1.75 4,1,2024-09-07 08:37:40:610,274308,274308,0,0,127874859149,1346104668,271329,2457,522,371,391846,0 4,2,2024-09-07 08:37:41:023,193792,193792,0,0,10284379,0,4528 4,3,2024-09-07 08:37:41:027,1,156,9,0,287,2177,156,0 5,0,2024-09-07 08:37:41:403,39746,0.5,39725,0.6,79436,0.5,105835,1.75 5,1,2024-09-07 08:37:40:763,273606,273606,0,0,127897762532,1350651179,269404,3215,987,368,392005,0 5,2,2024-09-07 08:37:41:832,195513,195513,0,0,8892962,0,2259 5,3,2024-09-07 08:37:41:742,1,156,5,0,238,2390,156,0 6,0,2024-09-07 08:37:40:923,43063,1.0,42628,1.0,84660,1.4,113463,2.50 6,1,2024-09-07 08:37:40:747,274596,274596,0,0,128120281802,1344407599,271197,2752,647,381,391617,0 6,2,2024-09-07 08:37:41:117,200925,200925,0,0,9148606,0,4816 6,3,2024-09-07 08:37:41:275,1,156,1,0,340,2290,156,0 7,0,2024-09-07 08:37:41:540,36736,0.5,36657,0.7,73621,0.4,98104,2.00 7,1,2024-09-07 08:37:40:859,274121,274121,0,0,128599067670,1348437854,271307,2682,132,382,391664,0 7,2,2024-09-07 08:37:40:772,198694,198694,0,0,8353834,0,2981 7,3,2024-09-07 08:37:40:850,1,156,0,0,305,1648,156,0 8,0,2024-09-07 08:37:41:373,36853,0.3,36924,0.4,73596,0.2,98433,1.50 8,1,2024-09-07 08:37:41:021,273804,273804,0,0,127958299139,1354311031,268201,4004,1599,367,391956,0 8,2,2024-09-07 08:37:40:794,191354,191354,0,0,9885275,0,2986 8,3,2024-09-07 08:37:40:591,1,156,7,0,357,2592,156,0 9,0,2024-09-07 08:37:41:118,38897,0.3,37717,0.5,78768,0.3,103307,1.75 9,1,2024-09-07 08:37:40:579,273565,273565,0,0,128276292866,1355506381,269068,3367,1130,370,391753,0 9,2,2024-09-07 08:37:41:089,195269,195269,0,0,9171907,0,3360 9,3,2024-09-07 08:37:41:759,1,156,5,0,496,2648,156,0 10,0,2024-09-07 08:37:41:611,44439,0.4,44117,0.6,88676,0.3,118681,1.75 10,1,2024-09-07 08:37:40:584,273901,273901,0,0,127662576089,1342355955,269430,3830,641,381,391741,0 10,2,2024-09-07 08:37:40:762,200235,200235,0,0,9463715,0,2940 10,3,2024-09-07 08:37:40:873,1,156,13,0,177,1235,156,0 11,0,2024-09-07 08:37:41:019,37383,0.8,36496,1.0,76396,0.9,101997,2.25 11,1,2024-09-07 08:37:40:571,274165,274165,0,0,128191574147,1350940651,268862,4033,1270,384,391537,0 11,2,2024-09-07 08:37:41:124,199338,199338,0,0,9235809,0,3411 11,3,2024-09-07 08:37:41:301,1,156,0,0,720,2818,156,0 12,0,2024-09-07 08:37:40:953,37755,0.3,37448,0.5,75120,0.2,99670,1.50 12,1,2024-09-07 08:37:40:943,273876,273876,0,0,127659608570,1336346362,270989,2475,412,370,391837,0 12,2,2024-09-07 08:37:41:572,194931,194931,0,0,9351945,0,3469 12,3,2024-09-07 08:37:41:063,1,156,1,0,358,2686,156,0 13,0,2024-09-07 08:37:41:381,37349,0.4,37352,0.5,74512,0.3,99238,1.75 13,1,2024-09-07 08:37:41:540,273924,273924,0,0,128111199098,1346262988,271507,2009,408,382,391717,0 13,2,2024-09-07 08:37:40:596,196595,196595,0,0,8563693,0,3287 13,3,2024-09-07 08:37:41:772,1,156,6,0,467,3199,156,0 14,0,2024-09-07 08:37:40:580,43643,0.4,43758,0.6,86787,0.4,116109,1.75 14,1,2024-09-07 08:37:41:570,275775,275775,0,0,128956110149,1339617393,273509,2103,163,364,391571,0 14,2,2024-09-07 08:37:40:767,197867,197867,0,0,8737134,0,2896 14,3,2024-09-07 08:37:41:116,1,156,0,0,1168,2893,156,0 15,0,2024-09-07 08:37:41:558,38775,1.1,38814,1.1,77875,1.5,103561,2.50 15,1,2024-09-07 08:37:41:619,273962,273962,0,0,128035774647,1334574429,272048,1805,109,381,391619,0 15,2,2024-09-07 08:37:40:998,200974,200974,0,0,7397219,0,3043 15,3,2024-09-07 08:37:41:405,1,156,2,0,1126,4289,156,0 16,0,2024-09-07 08:37:40:956,37254,0.5,37596,0.7,74452,0.5,99290,2.00 16,1,2024-09-07 08:37:40:571,274637,274637,0,0,128017069498,1340695859,272412,1963,262,370,391756,0 16,2,2024-09-07 08:37:41:437,194884,194884,0,0,9683060,0,4719 16,3,2024-09-07 08:37:41:156,1,156,1,0,231,2213,156,0 17,0,2024-09-07 08:37:41:799,37051,0.4,36151,0.6,70939,0.4,95776,1.75 17,1,2024-09-07 08:37:40:568,273666,273666,0,0,127372007519,1341855584,270311,2683,672,368,391688,0 17,2,2024-09-07 08:37:41:668,197283,197283,0,0,8625740,0,2857 17,3,2024-09-07 08:37:40:577,1,156,1,0,268,2469,156,0 18,0,2024-09-07 08:37:40:986,40176,0.8,40471,0.9,80878,0.9,108653,2.25 18,1,2024-09-07 08:37:41:642,274211,274211,0,0,128509405861,1336118884,272204,1773,234,368,391564,0 18,2,2024-09-07 08:37:41:755,196463,196463,0,0,8644552,0,3541 18,3,2024-09-07 08:37:40:895,1,156,1,0,163,1730,156,0 19,0,2024-09-07 08:37:41:570,40933,1.2,41373,1.1,81666,1.5,108443,2.75 19,1,2024-09-07 08:37:40:581,274457,274457,0,0,128194245535,1339518350,270644,3097,716,367,391777,0 19,2,2024-09-07 08:37:41:758,201074,201074,0,0,7910720,0,3988 19,3,2024-09-07 08:37:41:136,1,156,2,0,524,1485,156,0 20,0,2024-09-07 08:37:41:369,37059,0.6,36957,0.8,74193,0.7,98546,2.25 20,1,2024-09-07 08:37:40:573,273648,273648,0,0,127919295031,1345045582,270183,3074,391,369,391822,0 20,2,2024-09-07 08:37:40:937,198075,198075,0,0,9002573,0,3721 20,3,2024-09-07 08:37:40:589,1,156,5,0,414,2858,156,0 21,0,2024-09-07 08:37:41:140,36931,0.3,36981,0.5,73501,0.3,97418,1.75 21,1,2024-09-07 08:37:41:553,273512,273512,0,0,127539163282,1345834890,269847,3133,532,368,391962,0 21,2,2024-09-07 08:37:41:067,194128,194128,0,0,9710034,0,3747 21,3,2024-09-07 08:37:41:415,1,156,2,0,103,1926,156,0 22,0,2024-09-07 08:37:41:722,39353,0.6,39351,0.8,78166,0.6,104846,2.00 22,1,2024-09-07 08:37:41:024,273355,273355,0,0,127399033527,1348556102,267813,4033,1509,382,391667,0 22,2,2024-09-07 08:37:40:767,195396,195396,0,0,8395987,0,3134 22,3,2024-09-07 08:37:41:067,1,156,0,0,228,1816,156,0 23,0,2024-09-07 08:37:41:378,42960,0.9,43054,0.9,86405,1.2,114930,2.50 23,1,2024-09-07 08:37:41:002,274134,274134,0,0,128503605611,1347520682,270039,2613,1482,365,391549,0 23,2,2024-09-07 08:37:41:095,200241,200241,0,0,8734580,0,3010 23,3,2024-09-07 08:37:41:754,1,156,1,0,645,1792,156,0 24,0,2024-09-07 08:37:40:841,38479,0.5,38558,0.6,77051,0.5,102462,1.75 24,1,2024-09-07 08:37:40:584,274345,274345,0,0,127584818063,1337459740,271385,2161,799,369,391640,0 24,2,2024-09-07 08:37:41:077,198335,198335,0,0,9894840,0,2942 24,3,2024-09-07 08:37:41:696,1,156,4,0,234,1797,156,0 25,0,2024-09-07 08:37:41:419,37857,0.3,36924,0.5,72518,0.3,98490,1.75 25,1,2024-09-07 08:37:40:573,274037,274037,0,0,127602237781,1342128375,270347,3147,543,371,391788,0 25,2,2024-09-07 08:37:41:610,192706,192706,0,0,9831517,0,3978 25,3,2024-09-07 08:37:41:018,1,156,6,0,255,1983,156,0 26,0,2024-09-07 08:37:41:725,37960,0.3,37109,0.5,77871,0.3,102119,1.75 26,1,2024-09-07 08:37:41:549,274349,274349,0,0,128011789982,1346796966,269689,3759,901,381,391564,0 26,2,2024-09-07 08:37:40:861,195986,195986,0,0,8979566,0,2809 26,3,2024-09-07 08:37:41:717,1,156,1,0,796,2169,156,0 27,0,2024-09-07 08:37:41:727,43578,0.5,43608,0.6,86418,0.5,115747,1.75 27,1,2024-09-07 08:37:41:679,275796,275796,0,0,128902388853,1340033493,273976,1497,323,381,391539,0 27,2,2024-09-07 08:37:40:866,198491,198491,0,0,9833529,0,3409 27,3,2024-09-07 08:37:41:022,1,156,1,0,564,1931,156,0 28,0,2024-09-07 08:37:41:417,38143,0.9,38381,0.9,77256,1.2,103194,2.50 28,1,2024-09-07 08:37:40:799,274737,274737,0,0,129046300728,1346956377,272575,1826,336,383,391646,0 28,2,2024-09-07 08:37:41:763,200291,200291,0,0,7774938,0,2915 28,3,2024-09-07 08:37:41:775,1,156,1,0,502,2002,156,0 29,0,2024-09-07 08:37:41:385,38298,0.4,37494,0.6,73204,0.3,100091,1.75 29,1,2024-09-07 08:37:41:566,275720,275720,0,0,128188541651,1330627133,273292,1885,543,369,391621,0 29,2,2024-09-07 08:37:40:860,194525,194525,0,0,7533589,0,4018 29,3,2024-09-07 08:37:40:975,1,156,1,0,105,1222,156,0 30,0,2024-09-07 08:37:41:468,36506,0.5,35444,0.7,74236,0.4,97758,2.00 30,1,2024-09-07 08:37:40:580,275379,275379,0,0,128430532815,1335954999,273392,1709,278,382,391672,0 30,2,2024-09-07 08:37:41:276,196657,196657,0,0,7559589,0,3161 30,3,2024-09-07 08:37:40:582,1,156,32,0,195,1204,156,0 31,0,2024-09-07 08:37:41:760,41900,0.5,42211,0.7,85023,0.5,113326,2.00 31,1,2024-09-07 08:37:40:568,275759,275759,0,0,128506703761,1318467381,274958,689,112,356,391553,0 31,2,2024-09-07 08:37:41:275,196966,196966,0,0,9328702,0,3525 31,3,2024-09-07 08:37:41:708,1,156,1,0,220,1337,156,0 32,0,2024-09-07 08:37:41:436,40250,0.5,40652,0.7,81322,0.5,107794,1.75 32,1,2024-09-07 08:37:40:804,275094,275094,0,0,128659165435,1338040093,273352,1467,275,382,391595,0 32,2,2024-09-07 08:37:40:935,200147,200147,0,0,7723280,0,3155 32,3,2024-09-07 08:37:41:015,1,156,1,0,227,1463,156,0 33,0,2024-09-07 08:37:41:505,37634,0.3,36980,0.5,74770,0.3,99352,1.75 33,1,2024-09-07 08:37:40:584,275784,275784,0,0,129092102388,1338891036,273525,2087,172,369,391730,0 33,2,2024-09-07 08:37:40:759,196893,196860,33,0,9514812,0,7012 33,3,2024-09-07 08:37:40:896,1,156,1,0,329,1839,156,0 34,0,2024-09-07 08:37:40:933,35998,0.3,37161,0.4,71168,0.2,95190,1.50 34,1,2024-09-07 08:37:41:049,275765,275765,0,0,129486830798,1335185083,274770,989,6,367,391562,0 34,2,2024-09-07 08:37:40:776,194083,194083,0,0,8454498,0,3577 34,3,2024-09-07 08:37:41:689,1,156,1,0,148,1042,156,0 35,0,2024-09-07 08:37:40:879,39482,0.4,39786,0.6,79499,0.4,106231,1.75 35,1,2024-09-07 08:37:41:068,274681,274681,0,0,127797394996,1330445373,271881,2030,770,384,391589,0 35,2,2024-09-07 08:37:41:592,195823,195823,0,0,7824697,0,2653 35,3,2024-09-07 08:37:40:914,1,156,2,0,418,1969,156,0 36,0,2024-09-07 08:37:41:530,42632,1.0,42457,1.0,85543,1.3,113090,2.50 36,1,2024-09-07 08:37:40:586,274322,274322,0,0,128446272895,1348972699,269703,3331,1288,366,391759,0 36,2,2024-09-07 08:37:41:766,199833,199833,0,0,9292369,0,3303 36,3,2024-09-07 08:37:40:862,1,156,1,0,378,2184,156,0 37,0,2024-09-07 08:37:41:396,36864,0.5,36878,0.7,73618,0.4,99055,2.00 37,1,2024-09-07 08:37:40:569,273671,273664,0,7,127984282769,1349309304,268891,2754,2019,365,391560,0 37,2,2024-09-07 08:37:41:143,198702,198687,15,0,9378828,0,5815 37,3,2024-09-07 08:37:41:766,1,156,1,0,724,2461,156,0 38,0,2024-09-07 08:37:41:439,36685,0.4,35594,0.6,74435,0.3,98023,2.00 38,1,2024-09-07 08:37:41:619,274659,274659,0,0,128137613180,1343021654,270621,3406,632,368,391821,0 38,2,2024-09-07 08:37:40:776,193802,193755,47,0,10909731,0,6710 38,3,2024-09-07 08:37:40:997,1,156,17,0,603,2160,156,0 39,0,2024-09-07 08:37:41:772,39846,0.6,38944,0.7,76081,0.6,103852,2.00 39,1,2024-09-07 08:37:40:735,274508,274508,0,0,127674742451,1335331260,270720,2987,801,365,391524,0 39,2,2024-09-07 08:37:41:417,195614,195614,0,0,8080052,0,2689 39,3,2024-09-07 08:37:40:713,1,156,3,0,276,2060,156,0 40,0,2024-09-07 08:37:41:496,43611,1.0,44397,1.2,88203,1.0,118172,3.00 40,1,2024-09-07 08:37:40:579,274581,274581,0,0,126822820532,1331485870,270166,3646,769,368,391591,0 40,2,2024-09-07 08:37:41:303,199372,199371,1,0,10265310,0,5137 40,3,2024-09-07 08:37:41:153,1,156,1,0,181,1601,156,0 41,0,2024-09-07 08:37:41:023,37420,2.2,38166,1.7,73250,3.5,100676,4.25 41,1,2024-09-07 08:37:40:768,273970,273970,0,0,127838426158,1340910574,269777,3661,532,370,391742,0 41,2,2024-09-07 08:37:40:763,198255,198255,0,0,10074987,0,3356 41,3,2024-09-07 08:37:41:681,1,156,9,0,366,1955,156,0 42,0,2024-09-07 08:37:41:480,36732,0.5,37170,0.8,74089,0.4,97813,2.25 42,1,2024-09-07 08:37:41:441,273284,273284,0,0,128201346630,1350752290,268572,3754,958,380,391675,0 42,2,2024-09-07 08:37:41:143,193363,193363,0,0,9531061,0,3568 42,3,2024-09-07 08:37:41:009,1,156,7,0,446,1428,156,0 43,0,2024-09-07 08:37:40:924,37095,0.6,36345,0.9,75474,0.6,99372,2.00 43,1,2024-09-07 08:37:40:580,274461,274461,0,0,128818742596,1344830881,271218,2450,793,366,391604,0 43,2,2024-09-07 08:37:41:739,196552,196552,0,0,8971199,0,3812 43,3,2024-09-07 08:37:41:749,1,156,1,0,325,2117,156,0 44,0,2024-09-07 08:37:40:869,43483,0.5,43686,0.7,87006,0.5,116658,1.75 44,1,2024-09-07 08:37:40:580,274770,274770,0,0,128058221662,1325522650,272712,1633,425,356,391809,0 44,2,2024-09-07 08:37:41:395,197620,197620,0,0,7492671,0,1877 44,3,2024-09-07 08:37:41:093,1,156,1,0,817,2092,156,0 45,0,2024-09-07 08:37:41:782,38274,1.5,37570,1.2,78929,1.9,104155,2.25 45,1,2024-09-07 08:37:41:019,274867,274867,0,0,128534083460,1333845836,273828,1029,10,382,391917,0 45,2,2024-09-07 08:37:41:271,200789,200789,0,0,8179608,0,3596 45,3,2024-09-07 08:37:40:937,1,156,12,0,226,1433,156,0 46,0,2024-09-07 08:37:40:954,37176,0.4,37027,0.6,74355,0.3,98313,1.75 46,1,2024-09-07 08:37:40:579,275356,275356,0,0,128442717921,1330158750,273547,1586,223,366,391524,0 46,2,2024-09-07 08:37:40:598,196364,196364,0,0,8087648,0,2920 46,3,2024-09-07 08:37:41:130,1,156,1,0,908,2902,156,0 47,0,2024-09-07 08:37:41:103,35950,0.3,36183,0.5,72606,0.2,95455,1.75 47,1,2024-09-07 08:37:40:572,275412,275412,0,0,128245745542,1325616343,274010,1385,17,366,391605,0 47,2,2024-09-07 08:37:40:914,196403,196403,0,0,8175865,0,2558 47,3,2024-09-07 08:37:41:115,1,156,2,0,529,1789,156,0 48,0,2024-09-07 08:37:41:490,41069,0.3,40695,0.5,81482,0.2,109224,1.75 48,1,2024-09-07 08:37:41:026,275369,275369,0,0,128444035145,1336816684,273308,1880,181,384,391710,0 48,2,2024-09-07 08:37:40:698,196806,196806,0,0,7037883,0,2083 48,3,2024-09-07 08:37:40:759,1,156,1,0,339,1432,156,0 49,0,2024-09-07 08:37:41:715,42538,0.6,41711,0.7,81028,0.6,110408,1.75 49,1,2024-09-07 08:37:41:026,273891,273891,0,0,128050807095,1337497264,271154,1897,840,382,391583,0 49,2,2024-09-07 08:37:41:801,200807,200807,0,0,8181026,0,3900 49,3,2024-09-07 08:37:41:416,1,156,7,0,408,2330,156,0 50,0,2024-09-07 08:37:41:514,37143,0.3,36837,0.5,73791,0.2,98496,1.75 50,1,2024-09-07 08:37:41:011,276270,276270,0,0,129228167155,1341918002,273744,2217,309,368,391530,0 50,2,2024-09-07 08:37:41:067,198236,198236,0,0,7733851,0,2253 50,3,2024-09-07 08:37:41:291,1,156,1,0,335,1331,156,0 51,0,2024-09-07 08:37:41:692,37440,0.3,36936,0.4,71891,0.2,97726,1.50 51,1,2024-09-07 08:37:41:686,274617,274617,0,0,129090074011,1336788278,272648,1183,786,367,391637,0 51,2,2024-09-07 08:37:41:332,193831,193831,0,0,7259579,0,3337 51,3,2024-09-07 08:37:41:027,1,156,1,0,162,902,156,0 52,0,2024-09-07 08:37:41:413,39536,0.5,39547,0.7,79161,0.5,105226,2.25 52,1,2024-09-07 08:37:40:589,273866,273866,0,0,128085754687,1353274932,267954,4754,1158,368,391722,0 52,2,2024-09-07 08:37:41:755,193993,193955,38,0,9890335,0,6742 52,3,2024-09-07 08:37:40:681,1,156,1,0,1782,3520,156,0 53,0,2024-09-07 08:37:41:736,42987,1.1,41732,1.0,87304,1.3,114329,2.75 53,1,2024-09-07 08:37:40:772,273505,273505,0,0,128018962428,1353702121,267877,3734,1894,367,391702,0 53,2,2024-09-07 08:37:41:302,200776,200776,0,0,8272547,0,2262 53,3,2024-09-07 08:37:40:713,1,156,2,0,271,1623,156,0 54,0,2024-09-07 08:37:41:618,36908,2.2,37446,1.3,74205,1.1,99677,4.25 54,1,2024-09-07 08:37:40:581,274162,274162,0,0,128809628765,1347137358,270192,3399,571,367,391532,0 54,2,2024-09-07 08:37:40:865,199067,199061,6,0,9867089,0,5382 54,3,2024-09-07 08:37:40:763,1,156,1,0,676,3166,156,0 55,0,2024-09-07 08:37:41:764,35575,0.5,36654,0.7,74352,0.4,96513,2.25 55,1,2024-09-07 08:37:40:765,273778,273778,0,0,127742061460,1340313463,268591,4400,787,365,391731,0 55,2,2024-09-07 08:37:40:732,193091,193091,0,0,9356421,0,3275 55,3,2024-09-07 08:37:40:674,1,156,3,0,304,1699,156,0 56,0,2024-09-07 08:37:41:556,39172,1.2,37116,1.1,76066,1.6,102600,2.50 56,1,2024-09-07 08:37:40:573,273702,273702,0,0,128475447163,1361961976,268573,4225,904,381,391678,0 56,2,2024-09-07 08:37:41:303,195510,195510,0,0,10054257,0,3567 56,3,2024-09-07 08:37:41:064,1,156,1,0,405,2208,156,0 57,0,2024-09-07 08:37:40:935,42399,2.3,42496,1.5,85193,3.5,114109,4.00 57,1,2024-09-07 08:37:40:991,274184,274184,0,0,128126382117,1342683354,271355,2659,170,368,391960,0 57,2,2024-09-07 08:37:41:321,199987,199987,0,0,10312248,0,3178 57,3,2024-09-07 08:37:41:753,1,156,20,0,359,2706,156,0 58,0,2024-09-07 08:37:40:574,37207,1.4,36289,1.3,75962,2.4,99807,3.00 58,1,2024-09-07 08:37:40:575,275149,275146,0,3,128400165515,1342893545,271631,3118,397,367,391516,3 58,2,2024-09-07 08:37:41:071,199793,199793,0,0,9406090,0,2549 58,3,2024-09-07 08:37:41:068,1,156,12,0,1043,2309,156,0 59,0,2024-09-07 08:37:41:746,37488,0.8,37184,1.0,74300,0.8,98897,3.00 59,1,2024-09-07 08:37:40:809,274119,274119,0,0,128067849993,1341726962,270472,2725,922,369,391515,0 59,2,2024-09-07 08:37:40:590,195198,195198,0,0,8131092,0,2604 59,3,2024-09-07 08:37:41:738,1,156,0,0,1015,2871,156,0 60,0,2024-09-07 08:37:41:711,36785,0.4,36883,0.6,73443,0.3,97792,1.75 60,1,2024-09-07 08:37:40:774,275512,275512,0,0,128312669685,1331709190,274055,1099,358,370,391761,0 60,2,2024-09-07 08:37:41:147,195579,195579,0,0,9365342,0,3811 60,3,2024-09-07 08:37:41:270,1,156,1,0,124,1558,156,0 61,0,2024-09-07 08:37:41:507,42216,1.4,42421,1.1,84601,2.1,113429,2.25 61,1,2024-09-07 08:37:40:773,274248,274248,0,0,127933252540,1343748497,270813,2883,552,382,391589,0 61,2,2024-09-07 08:37:41:123,197849,197849,0,0,8309270,0,2079 61,3,2024-09-07 08:37:41:688,1,156,3,0,199,1912,156,0 62,0,2024-09-07 08:37:41:711,40559,1.6,41326,1.1,79220,2.6,107445,2.50 62,1,2024-09-07 08:37:41:117,276472,276466,0,6,129646303402,1338842191,274788,1639,39,365,391715,6 62,2,2024-09-07 08:37:41:647,199054,199053,1,0,9863526,0,5555 62,3,2024-09-07 08:37:41:156,1,156,1,0,287,1167,156,0 63,0,2024-09-07 08:37:41:452,37151,0.5,37116,0.7,74432,0.5,99057,2.00 63,1,2024-09-07 08:37:40:811,275660,275654,0,6,128872629923,1336293970,274413,1215,26,381,391677,6 63,2,2024-09-07 08:37:40:763,196593,196593,0,0,7617170,0,2674 63,3,2024-09-07 08:37:41:739,1,156,1,0,667,2380,156,0 64,0,2024-09-07 08:37:41:541,35795,0.4,35756,0.6,71792,0.3,94979,1.75 64,1,2024-09-07 08:37:40:755,275250,275250,0,0,128284931618,1343542905,271784,2412,1054,371,391783,0 64,2,2024-09-07 08:37:41:143,196217,196198,19,0,8503752,0,6121 64,3,2024-09-07 08:37:41:153,1,156,1,0,265,1790,156,0 65,0,2024-09-07 08:37:41:719,39023,0.9,39520,0.9,78835,1.0,105440,2.25 65,1,2024-09-07 08:37:40:862,274118,274118,0,0,128114996207,1342757044,271611,2283,224,382,391770,0 65,2,2024-09-07 08:37:41:697,195698,195698,0,0,8953538,0,3367 65,3,2024-09-07 08:37:41:686,1,156,1,0,163,1617,156,0 66,0,2024-09-07 08:37:41:767,42172,0.8,42224,0.9,84834,0.8,112369,2.50 66,1,2024-09-07 08:37:41:298,275538,275538,0,0,128376316177,1336479313,273663,1704,171,380,391588,0 66,2,2024-09-07 08:37:41:135,201836,201836,0,0,8356802,0,4956 66,3,2024-09-07 08:37:41:079,1,156,1,0,291,1693,156,0 67,0,2024-09-07 08:37:41:434,37375,0.9,37077,0.9,74548,1.0,99139,2.50 67,1,2024-09-07 08:37:40:768,274222,274221,0,1,127949508523,1340902039,270754,2721,746,381,391787,1 67,2,2024-09-07 08:37:40:584,199574,199574,0,0,7976485,0,2889 67,3,2024-09-07 08:37:41:765,1,156,1,0,138,1277,156,0 68,0,2024-09-07 08:37:40:581,37042,0.5,36887,0.7,73735,0.4,98278,2.00 68,1,2024-09-07 08:37:40:574,273667,273667,0,0,127853020664,1343932391,270813,1836,1018,381,391953,0 68,2,2024-09-07 08:37:41:047,192492,192427,65,0,11220957,0,6698 68,3,2024-09-07 08:37:40:733,1,156,14,0,417,2026,156,0 69,0,2024-09-07 08:37:41:739,38624,0.7,38809,0.9,77149,0.8,103362,2.25 69,1,2024-09-07 08:37:41:016,273178,273178,0,0,128275601248,1358576468,269173,2790,1215,384,391611,0 69,2,2024-09-07 08:37:41:739,195739,195739,0,0,9839052,0,3701 69,3,2024-09-07 08:37:40:785,1,156,9,0,238,1956,156,0 70,0,2024-09-07 08:37:41:546,43592,2.0,43916,1.4,87996,1.3,117618,3.00 70,1,2024-09-07 08:37:40:802,274565,274565,0,0,128854924003,1341207179,272108,1995,462,366,391725,0 70,2,2024-09-07 08:37:41:335,200129,200129,0,0,9088355,0,4044 70,3,2024-09-07 08:37:40:753,1,156,1,0,854,2121,156,0 71,0,2024-09-07 08:37:41:370,37369,2.2,37417,1.7,74586,3.4,100811,3.75 71,1,2024-09-07 08:37:41:602,274473,274473,0,0,128590135690,1348599903,270356,3592,525,368,391682,0 71,2,2024-09-07 08:37:41:238,200011,200011,0,0,9090857,0,2470 71,3,2024-09-07 08:37:41:750,1,156,1,0,644,2556,156,0 72,0,2024-09-07 08:37:41:040,38575,0.5,37666,0.7,73894,0.4,99973,2.25 72,1,2024-09-07 08:37:41:023,274465,274465,0,0,128370545372,1341928563,271211,2768,486,369,391819,0 72,2,2024-09-07 08:37:41:766,193012,193012,0,0,10389242,0,2570 72,3,2024-09-07 08:37:41:754,1,156,203,0,364,2985,156,0 73,0,2024-09-07 08:37:41:105,36319,0.4,37279,0.6,76109,0.3,98888,2.00 73,1,2024-09-07 08:37:40:770,274715,274715,0,0,127578990942,1325601520,272910,1690,115,367,391627,0 73,2,2024-09-07 08:37:41:740,196288,196288,0,0,9740905,0,3482 73,3,2024-09-07 08:37:40:968,1,156,1,0,274,2575,156,0 74,0,2024-09-07 08:37:41:331,43833,0.5,44598,0.7,85121,0.5,116087,2.25 74,1,2024-09-07 08:37:40:640,274313,274313,0,0,127411856042,1332056782,271523,2168,622,382,391681,0 74,2,2024-09-07 08:37:41:002,198153,198153,0,0,9782593,0,4253 74,3,2024-09-07 08:37:41:451,1,156,1,0,522,2750,156,0 75,0,2024-09-07 08:37:41:774,39073,1.5,38800,1.3,77507,1.8,104324,3.00 75,1,2024-09-07 08:37:41:590,274043,274043,0,0,128405704565,1346993942,270650,2933,460,381,391579,0 75,2,2024-09-07 08:37:41:361,199623,199623,0,0,9364865,0,4766 75,3,2024-09-07 08:37:41:067,1,156,74,0,535,1832,156,0 76,0,2024-09-07 08:37:40:587,37028,0.4,36825,0.7,73644,0.3,98581,2.25 76,1,2024-09-07 08:37:40:805,274649,274649,0,0,128093262685,1338301191,272588,1550,511,382,391692,0 76,2,2024-09-07 08:37:41:065,196629,196629,0,0,8063561,0,3064 76,3,2024-09-07 08:37:41:153,1,156,156,0,175,1843,156,0 77,0,2024-09-07 08:37:41:706,36001,0.5,36218,0.7,72462,0.4,95418,1.75 77,1,2024-09-07 08:37:40:825,275445,275445,0,0,128349597841,1339463612,273851,1511,83,383,391808,0 77,2,2024-09-07 08:37:41:281,195121,195121,0,0,8320552,0,3890 77,3,2024-09-07 08:37:41:094,1,156,1,0,305,1986,156,0 78,0,2024-09-07 08:37:41:730,40707,0.5,40575,0.7,81805,0.5,108856,2.00 78,1,2024-09-07 08:37:40:617,275036,275036,0,0,128038520021,1333611422,272706,2077,253,367,391589,0 78,2,2024-09-07 08:37:41:408,197520,197520,0,0,7829795,0,2114 78,3,2024-09-07 08:37:41:133,1,156,1,0,181,1658,156,0 79,0,2024-09-07 08:37:41:352,40062,0.6,40975,0.8,83776,0.5,108886,2.75 79,1,2024-09-07 08:37:40:579,275377,275377,0,0,128529820196,1333361669,273190,2015,172,369,391682,0 79,2,2024-09-07 08:37:41:068,200904,200904,0,0,8078593,0,3212 79,3,2024-09-07 08:37:40:757,1,156,2,0,418,2884,156,0 80,0,2024-09-07 08:37:41:075,37015,0.6,37852,0.8,72791,0.6,98539,2.25 80,1,2024-09-07 08:37:41:626,273904,273904,0,0,127755652638,1332919839,271387,2356,161,368,391673,0 80,2,2024-09-07 08:37:41:090,198809,198809,0,0,8521342,0,4433 80,3,2024-09-07 08:37:40:576,1,156,6,0,190,2588,156,0 81,0,2024-09-07 08:37:41:575,36672,0.4,37796,0.6,72395,0.4,97363,1.75 81,1,2024-09-07 08:37:41:650,274129,274129,0,0,127354304281,1333979058,271651,2206,272,382,391879,0 81,2,2024-09-07 08:37:41:125,193622,193622,0,0,8950874,0,3993 81,3,2024-09-07 08:37:41:124,1,156,1,0,193,1566,156,0 82,0,2024-09-07 08:37:41:545,39215,0.5,39426,0.7,78990,0.5,105411,2.00 82,1,2024-09-07 08:37:40:588,274951,274947,0,4,128261593133,1339629828,272505,1865,577,382,391558,4 82,2,2024-09-07 08:37:41:691,196333,196333,0,0,8226664,0,3986 82,3,2024-09-07 08:37:41:752,1,156,1,0,227,1833,156,0 83,0,2024-09-07 08:37:41:523,43339,0.8,43285,0.9,85918,0.8,114486,2.25 83,1,2024-09-07 08:37:40:563,274489,274489,0,0,127950943838,1338780123,272042,2243,204,382,391690,0 83,2,2024-09-07 08:37:40:766,200222,200222,0,0,8292790,0,3119 83,3,2024-09-07 08:37:40:760,1,156,8,0,241,1885,156,0 84,0,2024-09-07 08:37:41:771,37662,1.4,37438,1.3,75263,1.1,101019,2.75 84,1,2024-09-07 08:37:41:039,273786,273786,0,0,127801078526,1341506668,270399,2933,454,368,391852,0 84,2,2024-09-07 08:37:40:579,199299,199299,0,0,9476827,0,3801 84,3,2024-09-07 08:37:41:142,1,156,2,0,270,1914,156,0 85,0,2024-09-07 08:37:41:001,35517,0.5,35519,0.7,75388,0.4,97587,2.00 85,1,2024-09-07 08:37:40:567,273305,273305,0,0,127828331179,1358037440,267856,4369,1080,382,392006,0 85,2,2024-09-07 08:37:40:867,193699,193699,0,0,10077519,0,3656 85,3,2024-09-07 08:37:40:686,1,156,2,0,789,2463,156,0 86,0,2024-09-07 08:37:40:915,38230,0.6,39360,0.7,75219,0.5,102370,2.00 86,1,2024-09-07 08:37:40:827,274002,274002,0,0,128078604010,1345064639,270049,3408,545,366,391961,0 86,2,2024-09-07 08:37:40:854,194590,194589,1,0,10456833,0,5004 86,3,2024-09-07 08:37:40:588,1,156,11,0,286,2385,156,0 87,0,2024-09-07 08:37:41:307,43124,1.6,42956,1.2,86262,2.4,115987,2.50 87,1,2024-09-07 08:37:40:562,273862,273862,0,0,127886416823,1342724071,270649,2822,391,366,391788,0 87,2,2024-09-07 08:37:41:067,198774,198774,0,0,8903727,0,3515 87,3,2024-09-07 08:37:41:800,1,156,2,0,335,2805,156,0 88,0,2024-09-07 08:37:41:464,38532,0.7,38696,0.8,77127,0.9,103219,2.00 88,1,2024-09-07 08:37:40:580,273188,273188,0,0,127677779180,1343051274,269155,2865,1168,365,391787,0 88,2,2024-09-07 08:37:40:687,199858,199858,0,0,10026598,0,3583 88,3,2024-09-07 08:37:41:278,1,156,1,0,435,2091,156,0 89,0,2024-09-07 08:37:41:801,38522,0.4,37307,0.7,73901,0.4,100359,1.75 89,1,2024-09-07 08:37:40:567,272897,272897,0,0,128188651587,1358692904,267467,4495,935,382,391866,0 89,2,2024-09-07 08:37:41:132,194258,194258,0,0,9713064,0,2910 89,3,2024-09-07 08:37:41:808,1,156,1,0,325,3138,156,0 90,0,2024-09-07 08:37:41:627,35541,0.4,36348,0.5,74683,0.3,97472,1.75 90,1,2024-09-07 08:37:40:601,274784,274784,0,0,127983536730,1345827021,271562,2965,257,382,391825,0 90,2,2024-09-07 08:37:41:409,195038,195038,0,0,10592806,0,3060 90,3,2024-09-07 08:37:40:934,1,156,12,0,200,1876,156,0 91,0,2024-09-07 08:37:40:966,42811,0.5,41243,0.6,85961,0.5,113779,1.75 91,1,2024-09-07 08:37:40:572,273632,273632,0,0,127882965297,1345992564,269885,3250,497,381,392047,0 91,2,2024-09-07 08:37:41:331,196302,196302,0,0,9945056,0,2445 91,3,2024-09-07 08:37:40:600,1,156,0,0,216,1865,156,0 92,0,2024-09-07 08:37:41:465,40774,0.8,41782,0.9,79954,1.0,107877,2.00 92,1,2024-09-07 08:37:40:582,274509,274509,0,0,128295669958,1342032997,272340,1767,402,382,392136,0 92,2,2024-09-07 08:37:41:351,201904,201904,0,0,8382658,0,2801 92,3,2024-09-07 08:37:41:009,1,156,1,0,68,1318,156,0 93,0,2024-09-07 08:37:40:968,37567,0.4,38311,0.6,73392,0.4,99519,1.75 93,1,2024-09-07 08:37:40:805,274277,274277,0,0,128098190049,1340390049,271175,2553,549,366,391692,0 93,2,2024-09-07 08:37:40:928,197106,197106,0,0,8240425,0,2509 93,3,2024-09-07 08:37:41:415,1,156,1,0,143,1672,156,0 94,0,2024-09-07 08:37:41:622,36030,0.3,36303,0.5,71920,0.2,94931,1.50 94,1,2024-09-07 08:37:40:569,274407,274407,0,0,127894255561,1339963639,271965,2348,94,381,391850,0 94,2,2024-09-07 08:37:40:795,194793,194793,0,0,8103079,0,2443 94,3,2024-09-07 08:37:41:692,1,156,1,0,264,2392,156,0 95,0,2024-09-07 08:37:41:367,39833,0.4,39667,0.5,79998,0.3,106061,1.75 95,1,2024-09-07 08:37:40:884,274951,274951,0,0,127829186605,1332058250,272579,2190,182,367,391662,0 95,2,2024-09-07 08:37:41:019,196163,196163,0,0,8395459,0,3308 95,3,2024-09-07 08:37:41:721,1,156,4,0,718,3042,156,0 96,0,2024-09-07 08:37:41:059,42693,0.6,42794,0.7,85834,0.6,112996,1.75 96,1,2024-09-07 08:37:41:585,273870,273870,0,0,128460485454,1346108106,271273,1955,642,385,391894,0 96,2,2024-09-07 08:37:41:271,200442,200442,0,0,9078895,0,4042 96,3,2024-09-07 08:37:41:142,1,156,2,0,188,1840,156,0 97,0,2024-09-07 08:37:41:311,37459,0.4,37324,0.6,74686,0.4,99288,1.75 97,1,2024-09-07 08:37:40:767,274804,274804,0,0,129069425479,1344852660,272009,2247,548,367,392140,0 97,2,2024-09-07 08:37:40:616,198828,198828,0,0,8133641,0,3036 97,3,2024-09-07 08:37:40:580,1,156,3,0,165,1890,156,0 98,0,2024-09-07 08:37:41:716,36989,0.2,36824,0.4,73977,0.2,98493,1.50 98,1,2024-09-07 08:37:40:575,274686,274686,0,0,128604326004,1342560210,272873,1723,90,382,391997,0 98,2,2024-09-07 08:37:40:772,194652,194652,0,0,8502276,0,3080 98,3,2024-09-07 08:37:40:699,1,156,28,0,840,3063,156,0 99,0,2024-09-07 08:37:41:513,38850,0.3,38845,0.4,77576,0.2,103536,1.50 99,1,2024-09-07 08:37:41:746,274831,274831,0,0,128625707174,1345586232,271773,2362,696,381,391744,0 99,2,2024-09-07 08:37:41:418,197595,197595,0,0,8243247,0,2615 99,3,2024-09-07 08:37:40:581,1,156,1,0,129,1113,156,0 100,0,2024-09-07 08:37:41:501,44108,1.2,44032,1.3,88065,2.2,118485,2.50 100,1,2024-09-07 08:37:40:562,272172,272172,0,0,126860624998,1349459904,266940,4301,931,381,391989,0 100,2,2024-09-07 08:37:41:822,198862,198851,11,0,9748325,0,5417 100,3,2024-09-07 08:37:41:738,1,156,8,0,559,3664,156,0 101,0,2024-09-07 08:37:41:731,38543,3.2,37559,1.7,73742,3.9,102065,3.00 101,1,2024-09-07 08:37:40:567,273071,273071,0,0,127665181934,1353542487,267713,3881,1477,368,391769,0 101,2,2024-09-07 08:37:41:757,199043,199043,0,0,10702024,0,4644 101,3,2024-09-07 08:37:40:943,1,156,1,0,448,2023,156,0 102,0,2024-09-07 08:37:40:968,36451,0.6,37853,0.8,75833,0.4,99298,2.00 102,1,2024-09-07 08:37:41:154,273745,273745,0,0,127534676601,1345424444,269675,3359,711,369,391883,0 102,2,2024-09-07 08:37:41:741,194587,194533,54,0,10028612,0,6768 102,3,2024-09-07 08:37:41:626,1,156,2,0,410,1679,156,0 103,0,2024-09-07 08:37:41:624,38348,0.4,38352,0.6,72252,0.3,99495,1.75 103,1,2024-09-07 08:37:41:651,272838,272838,0,0,127660936623,1349904887,268400,3230,1208,381,391829,0 103,2,2024-09-07 08:37:40:583,195489,195489,0,0,9014478,0,2104 103,3,2024-09-07 08:37:40:765,1,156,1,0,916,2909,156,0 104,0,2024-09-07 08:37:41:022,43143,1.4,43341,1.2,85295,1.9,116180,2.50 104,1,2024-09-07 08:37:41:612,274742,274742,0,0,127730748373,1349709446,269744,4036,962,365,391948,0 104,2,2024-09-07 08:37:41:668,197112,197112,0,0,9755824,0,3941 104,3,2024-09-07 08:37:41:417,1,156,1,1,1245,5093,156,0 105,0,2024-09-07 08:37:41:037,38455,2.0,37431,1.5,78043,2.9,103292,4.00 105,1,2024-09-07 08:37:40:627,274882,274882,0,0,128145115338,1347307374,270675,3433,774,367,391797,0 105,2,2024-09-07 08:37:41:322,199475,199475,0,0,9219974,0,3509 105,3,2024-09-07 08:37:41:320,1,156,64,1,399,2372,156,0 106,0,2024-09-07 08:37:40:970,36033,0.7,36969,0.9,75247,0.7,98430,2.25 106,1,2024-09-07 08:37:41:773,273944,273944,0,0,128164793948,1346893646,270158,3402,384,369,391767,0 106,2,2024-09-07 08:37:40:760,194931,194931,0,0,9261349,0,2795 106,3,2024-09-07 08:37:40:685,1,156,1,0,405,2327,156,0 107,0,2024-09-07 08:37:41:132,36064,0.4,36102,0.6,71723,0.3,95637,1.75 107,1,2024-09-07 08:37:40:604,273609,273609,0,0,127973894978,1346754957,270633,2746,230,381,392234,0 107,2,2024-09-07 08:37:41:293,195202,195201,1,0,9369380,0,5024 107,3,2024-09-07 08:37:41:754,1,156,2,0,353,2086,156,0 108,0,2024-09-07 08:37:41:865,40898,0.4,41065,0.6,81517,0.4,109359,1.75 108,1,2024-09-07 08:37:41:300,274030,274030,0,0,128604369408,1344432330,271376,2343,311,368,391857,0 108,2,2024-09-07 08:37:41:764,195396,195396,0,0,8832974,0,2647 108,3,2024-09-07 08:37:41:336,1,156,6,0,667,3230,156,0 109,0,2024-09-07 08:37:41:758,41564,0.5,41370,0.7,82549,0.5,110542,1.75 109,1,2024-09-07 08:37:40:591,273806,273806,0,0,128320126697,1348927828,271029,2281,496,383,392132,0 109,2,2024-09-07 08:37:40:930,199692,199692,0,0,9155151,0,3617 109,3,2024-09-07 08:37:41:153,1,156,12,0,249,2231,156,0 110,0,2024-09-07 08:37:41:798,37251,0.4,36161,0.7,75615,0.4,98827,1.75 110,1,2024-09-07 08:37:41:646,274800,274800,0,0,128764180477,1341953171,272186,1849,765,370,391667,0 110,2,2024-09-07 08:37:41:304,198677,198677,0,0,8120851,0,2915 110,3,2024-09-07 08:37:40:697,1,156,40,0,406,2276,156,0 111,0,2024-09-07 08:37:41:420,36922,0.2,36401,0.4,72842,0.1,97695,1.50 111,1,2024-09-07 08:37:41:009,275318,275318,0,0,129141503092,1341986170,273543,1443,332,382,391690,0 111,2,2024-09-07 08:37:41:122,193972,193972,0,0,8873634,0,2763 111,3,2024-09-07 08:37:40:917,1,156,5,0,379,2542,156,0 112,0,2024-09-07 08:37:40:919,39484,0.3,39457,0.5,78980,0.2,105602,1.50 112,1,2024-09-07 08:37:40:824,275492,275492,0,0,128508390836,1338238421,273166,1901,425,381,391580,0 112,2,2024-09-07 08:37:41:133,195580,195579,1,0,8941901,0,5036 112,3,2024-09-07 08:37:40:597,1,156,1,0,282,1876,156,0 113,0,2024-09-07 08:37:40:869,43110,0.4,42993,0.6,86747,0.4,115604,1.75 113,1,2024-09-07 08:37:41:689,275199,275199,0,0,128706882399,1334864747,272785,1926,488,366,391661,0 113,2,2024-09-07 08:37:41:303,201549,201549,0,0,7885533,0,3813 113,3,2024-09-07 08:37:40:686,1,156,1,0,288,2367,156,0 114,0,2024-09-07 08:37:40:875,38520,0.7,39073,0.7,76573,0.5,102838,2.00 114,1,2024-09-07 08:37:40:716,274789,274789,0,0,127734682473,1340186796,270660,2666,1463,381,391534,0 114,2,2024-09-07 08:37:40:874,199386,199386,0,0,8149425,0,3925 114,3,2024-09-07 08:37:41:281,1,156,1,0,395,1971,156,0 115,0,2024-09-07 08:37:40:566,36762,0.2,37101,0.4,74236,0.2,98331,1.50 115,1,2024-09-07 08:37:40:584,274870,274870,0,0,128715648999,1344768805,271228,2821,821,382,391602,0 115,2,2024-09-07 08:37:41:126,194523,194523,0,0,7598792,0,2152 115,3,2024-09-07 08:37:41:010,1,156,1,0,159,1064,156,0 116,0,2024-09-07 08:37:41:755,38444,0.6,37905,0.8,76303,0.6,102329,2.00 116,1,2024-09-07 08:37:40:804,272704,272704,0,0,127364630390,1357239992,267800,3150,1754,382,391677,0 116,2,2024-09-07 08:37:41:755,194697,194697,0,0,10740507,0,3529 116,3,2024-09-07 08:37:40:912,1,156,1,0,252,2307,156,0 117,0,2024-09-07 08:37:40:970,43035,1.4,43111,1.1,86159,2.2,115793,2.25 117,1,2024-09-07 08:37:41:591,274160,274160,0,0,127827660996,1343649426,270492,3241,427,370,392033,0 117,2,2024-09-07 08:37:41:118,200344,200344,0,0,8650173,0,3700 117,3,2024-09-07 08:37:41:059,1,156,1,0,490,3319,156,0 118,0,2024-09-07 08:37:41:851,37504,1.0,38645,1.0,78397,1.2,102519,2.25 118,1,2024-09-07 08:37:40:604,273707,273707,0,0,127273551641,1346739488,268865,3521,1321,366,391736,0 118,2,2024-09-07 08:37:41:590,199294,199294,0,0,9709724,0,2781 118,3,2024-09-07 08:37:41:769,1,156,21,0,235,2109,156,0 119,0,2024-09-07 08:37:41:464,37079,0.7,37184,0.8,75365,0.6,99411,2.00 119,1,2024-09-07 08:37:40:568,274438,274438,0,0,128364990752,1342058515,271959,2191,288,369,391641,0 119,2,2024-09-07 08:37:41:268,193955,193955,0,0,8965671,0,3526 119,3,2024-09-07 08:37:41:325,1,156,2,0,443,2845,156,0 120,0,2024-09-07 08:37:41:584,36455,0.5,36461,0.7,72908,0.4,97647,2.00 120,1,2024-09-07 08:37:40:866,274640,274640,0,0,127834877597,1344250172,271358,3022,260,368,391961,0 120,2,2024-09-07 08:37:40:772,195222,195221,1,0,11000525,0,5281 120,3,2024-09-07 08:37:41:300,1,156,4,0,241,2180,156,0 121,0,2024-09-07 08:37:41:698,42038,1.6,42456,1.2,84508,2.5,113401,2.25 121,1,2024-09-07 08:37:41:661,274387,274387,0,0,127919794235,1340427202,271647,2452,288,367,391840,0 121,2,2024-09-07 08:37:41:129,196177,196177,0,0,9954128,0,4127 121,3,2024-09-07 08:37:40:731,1,156,8,0,269,2222,156,0 122,0,2024-09-07 08:37:41:806,40230,1.3,39134,1.2,81989,1.4,107961,2.25 122,1,2024-09-07 08:37:40:861,273387,273387,0,0,127782844112,1345286783,269253,3428,706,366,392130,0 122,2,2024-09-07 08:37:41:333,200032,200032,0,0,11028456,0,3364 122,3,2024-09-07 08:37:40:597,1,156,1,0,411,3740,156,0 123,0,2024-09-07 08:37:40:961,37238,0.8,36298,0.8,76009,1.0,99032,2.00 123,1,2024-09-07 08:37:40:569,274495,274495,0,0,127835996206,1348752378,269421,4380,694,369,391823,0 123,2,2024-09-07 08:37:41:025,195360,195359,1,0,9543669,0,5215 123,3,2024-09-07 08:37:41:132,1,156,2,0,168,1974,156,0 124,0,2024-09-07 08:37:40:971,37052,0.3,37018,0.5,69939,0.2,95249,1.50 124,1,2024-09-07 08:37:41:026,274641,274641,0,0,128272729651,1334777625,272556,1729,356,367,392178,0 124,2,2024-09-07 08:37:41:010,195128,195128,0,0,8125834,0,3101 124,3,2024-09-07 08:37:40:766,1,156,3,0,490,2237,156,0 125,0,2024-09-07 08:37:41:451,39885,0.4,39862,0.6,79749,0.4,106224,1.75 125,1,2024-09-07 08:37:40:855,274799,274799,0,0,128446605942,1343459651,272437,2087,275,384,391702,0 125,2,2024-09-07 08:37:41:122,196629,196629,0,0,8110611,0,2180 125,3,2024-09-07 08:37:41:129,1,156,4,0,284,2092,156,0 126,0,2024-09-07 08:37:41:432,42783,0.8,43915,0.8,83913,0.8,113724,2.00 126,1,2024-09-07 08:37:40:559,274859,274859,0,0,128892921361,1338060282,273227,1554,78,365,391987,0 126,2,2024-09-07 08:37:40:616,201117,201117,0,0,8481790,0,3186 126,3,2024-09-07 08:37:40:907,1,156,2,0,150,2261,156,0 127,0,2024-09-07 08:37:41:631,37181,0.4,37420,0.6,74491,0.4,99197,1.75 127,1,2024-09-07 08:37:40:569,274452,274452,0,0,128573890209,1334245289,272389,2022,41,365,391816,0 127,2,2024-09-07 08:37:40:640,199075,199075,0,0,7964266,0,2264 127,3,2024-09-07 08:37:41:268,1,156,0,0,243,1401,156,0 128,0,2024-09-07 08:37:41:536,37208,0.3,36922,0.4,73944,0.2,98622,1.50 128,1,2024-09-07 08:37:41:612,275150,275150,0,0,128294629637,1330554652,274173,905,72,367,391680,0 128,2,2024-09-07 08:37:41:392,193607,193607,0,0,7989849,0,2107 128,3,2024-09-07 08:37:40:773,1,156,0,0,333,2316,156,0 129,0,2024-09-07 08:37:41:015,39077,0.3,38907,0.5,77612,0.2,103369,1.50 129,1,2024-09-07 08:37:40:582,273756,273756,0,0,127633516217,1339798736,270829,2534,393,379,391835,0 129,2,2024-09-07 08:37:40:734,195846,195846,0,0,8393567,0,4031 129,3,2024-09-07 08:37:40:687,1,156,2,0,173,1897,156,0 130,0,2024-09-07 08:37:41:752,44452,0.8,44262,0.8,88921,1.0,119398,2.00 130,1,2024-09-07 08:37:40:586,274727,274727,0,0,128127709144,1337613624,272620,2036,71,381,391825,0 130,2,2024-09-07 08:37:41:125,200495,200495,0,0,8280253,0,4067 130,3,2024-09-07 08:37:41:291,1,156,1,0,450,1942,156,0 131,0,2024-09-07 08:37:41:962,38089,0.6,38277,0.7,77459,0.7,102462,1.75 131,1,2024-09-07 08:37:41:823,275846,275846,0,0,128650045049,1340322136,274381,1259,206,383,391865,0 131,2,2024-09-07 08:37:40:569,200187,200187,0,0,7845657,0,2415 131,3,2024-09-07 08:37:41:698,1,156,16,0,392,1832,156,0 132,0,2024-09-07 08:37:41:426,37050,0.5,37497,0.7,75119,0.4,99694,2.00 132,1,2024-09-07 08:37:40:580,273151,273151,0,0,127782867786,1355177353,267738,4382,1031,381,391760,0 132,2,2024-09-07 08:37:40:697,193534,193534,0,0,10751887,0,4606 132,3,2024-09-07 08:37:41:699,1,156,5,0,356,3004,156,0 133,0,2024-09-07 08:37:41:581,36277,0.4,37139,0.6,76182,0.3,98603,1.75 133,1,2024-09-07 08:37:40:586,272846,272846,0,0,127800280634,1352596952,268343,3863,640,383,391914,0 133,2,2024-09-07 08:37:41:096,195223,195223,0,0,10823380,0,4315 133,3,2024-09-07 08:37:41:304,1,156,4,0,187,1422,156,0 134,0,2024-09-07 08:37:40:966,43307,0.6,43167,0.8,87144,0.6,115998,2.00 134,1,2024-09-07 08:37:40:600,273541,273541,0,0,128144745992,1347857013,269185,3134,1222,366,391718,0 134,2,2024-09-07 08:37:41:758,197575,197575,0,0,9202582,0,3096 134,3,2024-09-07 08:37:40:749,1,156,46,0,739,2956,156,0 135,0,2024-09-07 08:37:41:114,37855,1.7,37836,1.4,80074,2.0,103053,2.50 135,1,2024-09-07 08:37:41:603,273289,273289,0,0,128171130473,1352137827,269101,3485,703,380,391725,0 135,2,2024-09-07 08:37:40:687,200377,200377,0,0,9947075,0,3981 135,3,2024-09-07 08:37:41:014,1,156,2,0,89,872,156,0 136,0,2024-09-07 08:37:41:616,37421,0.5,37248,0.8,75015,0.5,99311,2.00 136,1,2024-09-07 08:37:41:444,273722,273722,0,0,128387820701,1347138698,270429,3120,173,383,391641,0 136,2,2024-09-07 08:37:41:133,196453,196453,0,0,9262294,0,3506 136,3,2024-09-07 08:37:41:106,1,156,2,0,108,1303,156,0 137,0,2024-09-07 08:37:40:929,36959,0.5,35909,0.7,70787,0.4,95806,1.75 137,1,2024-09-07 08:37:40:578,274232,274232,0,0,128614215887,1348249094,270193,3620,419,367,391608,0 137,2,2024-09-07 08:37:41:712,194783,194783,0,0,10645206,0,3185 137,3,2024-09-07 08:37:40:782,1,156,6,1,227,1854,156,0 138,0,2024-09-07 08:37:41:787,40410,1.3,40422,1.1,81595,1.9,109223,2.25 138,1,2024-09-07 08:37:41:689,274285,274285,0,0,128374755949,1343921610,271245,2688,352,368,391954,0 138,2,2024-09-07 08:37:40:591,197384,197384,0,0,8714491,0,3263 138,3,2024-09-07 08:37:40:618,1,156,15,0,1160,2964,156,0 139,0,2024-09-07 08:37:41:417,40375,3.0,40563,1.8,81733,4.3,109259,3.25 139,1,2024-09-07 08:37:40:580,273052,273052,0,0,127532702298,1355810484,267827,3901,1324,381,391892,0 139,2,2024-09-07 08:37:40:701,198474,198474,0,0,9903992,0,3097 139,3,2024-09-07 08:37:41:665,1,156,6,0,244,1824,156,0 140,0,2024-09-07 08:37:41:590,37436,0.4,37103,0.6,74788,0.3,98903,1.75 140,1,2024-09-07 08:37:41:542,275329,275329,0,0,128896486008,1331339035,273813,1276,240,365,391606,0 140,2,2024-09-07 08:37:40:688,198520,198520,0,0,8464645,0,3388 140,3,2024-09-07 08:37:40:772,1,156,2,0,247,1433,156,0 141,0,2024-09-07 08:37:41:713,36465,0.2,37600,0.4,71996,0.1,97630,1.50 141,1,2024-09-07 08:37:40:860,275030,275030,0,0,128675292224,1337257554,273091,1586,353,379,391614,0 141,2,2024-09-07 08:37:41:689,194470,194470,0,0,7940094,0,2342 141,3,2024-09-07 08:37:41:047,1,156,1,0,147,1306,156,0 142,0,2024-09-07 08:37:41:315,39919,0.3,39611,0.5,78658,0.2,105746,1.50 142,1,2024-09-07 08:37:40:584,274839,274839,0,0,128554043474,1341319067,273295,1385,159,383,391728,0 142,2,2024-09-07 08:37:41:316,194517,194485,32,0,9258863,0,6028 142,3,2024-09-07 08:37:41:749,1,156,4,0,484,2163,156,0 143,0,2024-09-07 08:37:41:412,43311,0.7,43316,0.7,87187,0.8,115433,2.00 143,1,2024-09-07 08:37:40:565,274799,274799,0,0,128550328234,1336251106,272689,2067,43,367,391619,0 143,2,2024-09-07 08:37:40:774,200584,200584,0,0,8585343,0,2669 143,3,2024-09-07 08:37:41:153,1,156,2,0,303,2601,156,0 144,0,2024-09-07 08:37:41:514,36752,0.9,37852,1.5,76668,0.9,101301,2.25 144,1,2024-09-07 08:37:40:568,273867,273867,0,0,127557191875,1340345408,271473,2191,203,381,391649,0 144,2,2024-09-07 08:37:41:772,199777,199777,0,0,8439226,0,3473 144,3,2024-09-07 08:37:41:748,1,156,10,0,249,2095,156,0 145,0,2024-09-07 08:37:41:426,35631,0.5,35625,0.8,75577,0.4,97910,2.00 145,1,2024-09-07 08:37:40:571,272620,272620,0,0,127700406730,1349688069,268228,3655,737,383,391615,0 145,2,2024-09-07 08:37:41:447,193576,193576,0,0,9364403,0,3903 145,3,2024-09-07 08:37:40:901,1,156,1,0,151,1840,156,0 146,0,2024-09-07 08:37:41:614,38136,0.4,37910,0.7,76600,0.4,101920,2.00 146,1,2024-09-07 08:37:41:587,274655,274655,0,0,127882259568,1343640741,270202,3593,860,368,391629,0 146,2,2024-09-07 08:37:41:705,195955,195955,0,0,8912309,0,2498 146,3,2024-09-07 08:37:41:274,1,156,11,0,1520,5171,156,0 147,0,2024-09-07 08:37:41:738,43221,0.9,43099,1.0,85633,0.9,115597,2.25 147,1,2024-09-07 08:37:41:398,275319,275319,0,0,128312066459,1338832088,272219,2605,495,368,391791,0 147,2,2024-09-07 08:37:41:011,200084,200084,0,0,8402642,0,2789 147,3,2024-09-07 08:37:40:915,1,156,3,0,371,1987,156,0 0,0,2024-09-07 08:37:51:710,35769,0.4,35793,0.7,75790,0.4,98213,1.75 0,1,2024-09-07 08:37:50:801,275988,275988,0,0,129718749015,1358691133,274022,1830,136,372,391772,0 0,2,2024-09-07 08:37:51:071,196958,196958,0,0,8676023,0,4480 0,3,2024-09-07 08:37:50:977,1,157,0,0,247,2044,157,0 1,0,2024-09-07 08:37:51:766,42868,1.4,42526,1.2,85293,1.9,114641,2.25 1,1,2024-09-07 08:37:50:567,275648,275648,0,0,128433561118,1350501956,272212,2569,867,371,391857,0 1,2,2024-09-07 08:37:50:641,198283,198283,0,0,7898045,0,3267 1,3,2024-09-07 08:37:51:306,1,157,1,0,262,1955,157,0 2,0,2024-09-07 08:37:51:569,40377,1.2,40533,1.1,80625,1.7,107542,2.25 2,1,2024-09-07 08:37:50:860,275880,275880,0,0,129519406829,1348254671,274372,1302,206,380,391745,0 2,2,2024-09-07 08:37:51:266,201238,201238,0,0,8738762,0,3594 2,3,2024-09-07 08:37:50:696,1,157,13,0,357,1687,157,0 3,0,2024-09-07 08:37:51:757,37045,0.5,37253,0.7,74531,0.5,98604,2.00 3,1,2024-09-07 08:37:51:619,275663,275663,0,0,128719967352,1346035020,272554,2685,424,380,391516,0 3,2,2024-09-07 08:37:51:145,197621,197598,23,0,8658763,0,5851 3,3,2024-09-07 08:37:51:759,1,157,0,0,103,1005,157,0 4,0,2024-09-07 08:37:51:793,34920,0.3,35911,0.5,72991,0.2,95361,1.75 4,1,2024-09-07 08:37:50:594,276144,276144,0,0,129085145797,1358394402,273165,2457,522,371,391846,0 4,2,2024-09-07 08:37:51:026,195272,195272,0,0,10318282,0,4528 4,3,2024-09-07 08:37:51:031,1,157,2,0,287,2179,157,0 5,0,2024-09-07 08:37:51:413,40157,0.5,40147,0.6,80285,0.5,107212,1.75 5,1,2024-09-07 08:37:50:759,275352,275352,0,0,128664760975,1358500759,271150,3215,987,368,392005,0 5,2,2024-09-07 08:37:51:833,197016,197016,0,0,8919120,0,2259 5,3,2024-09-07 08:37:51:739,1,157,1,0,238,2391,157,0 6,0,2024-09-07 08:37:50:925,43155,1.0,42711,1.0,84879,1.4,113767,2.50 6,1,2024-09-07 08:37:50:750,276391,276391,0,0,128843488968,1351869463,272992,2752,647,381,391617,0 6,2,2024-09-07 08:37:51:124,202026,202026,0,0,9175811,0,4816 6,3,2024-09-07 08:37:51:275,1,157,1,0,340,2291,157,0 7,0,2024-09-07 08:37:51:537,36916,0.5,36812,0.7,73925,0.4,98370,2.00 7,1,2024-09-07 08:37:50:853,275895,275895,0,0,129513652955,1357789410,273079,2684,132,382,391664,0 7,2,2024-09-07 08:37:50:771,199740,199740,0,0,8395383,0,2981 7,3,2024-09-07 08:37:50:851,1,157,45,0,305,1693,157,0 8,0,2024-09-07 08:37:51:336,37138,0.3,37185,0.4,74128,0.2,98925,1.50 8,1,2024-09-07 08:37:51:022,275508,275508,0,0,128989941092,1364943216,269905,4004,1599,367,391956,0 8,2,2024-09-07 08:37:50:789,192858,192858,0,0,9961502,0,2986 8,3,2024-09-07 08:37:50:586,1,157,0,0,357,2592,157,0 9,0,2024-09-07 08:37:51:110,39223,0.3,38033,0.5,79488,0.3,104469,1.75 9,1,2024-09-07 08:37:50:556,275285,275285,0,0,128976813059,1363216941,270735,3420,1130,370,391753,0 9,2,2024-09-07 08:37:51:083,196578,196578,0,0,9204056,0,3360 9,3,2024-09-07 08:37:51:753,1,157,2,0,496,2650,157,0 10,0,2024-09-07 08:37:51:605,44804,0.4,44450,0.6,89371,0.3,118990,1.75 10,1,2024-09-07 08:37:50:583,275631,275631,0,0,128675978925,1352759763,271160,3830,641,381,391741,0 10,2,2024-09-07 08:37:50:775,201358,201358,0,0,9501862,0,2940 10,3,2024-09-07 08:37:50:871,1,157,1,0,177,1236,157,0 11,0,2024-09-07 08:37:51:010,37693,0.8,36807,1.0,77027,0.9,102810,2.25 11,1,2024-09-07 08:37:50:577,275992,275992,0,0,128884752730,1358099232,270689,4033,1270,384,391537,0 11,2,2024-09-07 08:37:51:125,200763,200763,0,0,9269916,0,3411 11,3,2024-09-07 08:37:51:298,1,157,0,0,720,2818,157,0 12,0,2024-09-07 08:37:50:965,37955,0.3,37605,0.5,75489,0.2,100259,1.50 12,1,2024-09-07 08:37:50:943,275651,275651,0,0,128413154865,1344055718,272763,2476,412,370,391837,0 12,2,2024-09-07 08:37:51:541,196301,196301,0,0,9371825,0,3469 12,3,2024-09-07 08:37:51:059,1,157,1,0,358,2687,157,0 13,0,2024-09-07 08:37:51:373,37693,0.3,37669,0.5,75175,0.3,100443,1.75 13,1,2024-09-07 08:37:51:534,275669,275669,0,0,129014459588,1355583325,273250,2010,409,382,391717,0 13,2,2024-09-07 08:37:50:596,197821,197821,0,0,8578830,0,3287 13,3,2024-09-07 08:37:51:761,1,157,1,0,467,3200,157,0 14,0,2024-09-07 08:37:50:580,44002,0.4,44146,0.6,87500,0.4,116815,1.75 14,1,2024-09-07 08:37:51:561,277568,277568,0,0,129837810573,1348550847,275301,2104,163,364,391571,0 14,2,2024-09-07 08:37:50:764,199318,199318,0,0,8751513,0,2896 14,3,2024-09-07 08:37:51:115,1,157,1,0,1168,2894,157,0 15,0,2024-09-07 08:37:51:557,39025,1.1,39077,1.1,78418,1.4,104458,2.50 15,1,2024-09-07 08:37:51:607,275678,275678,0,0,128731053059,1341662122,273764,1805,109,381,391619,0 15,2,2024-09-07 08:37:51:016,202381,202381,0,0,7410156,0,3043 15,3,2024-09-07 08:37:51:405,1,157,3,0,1126,4292,157,0 16,0,2024-09-07 08:37:50:947,37368,0.5,37722,0.7,74702,0.5,99775,2.00 16,1,2024-09-07 08:37:50:573,276449,276449,0,0,128814811722,1348842850,274224,1963,262,370,391756,0 16,2,2024-09-07 08:37:51:439,195763,195763,0,0,9691929,0,4719 16,3,2024-09-07 08:37:51:144,1,157,8,0,231,2221,157,0 17,0,2024-09-07 08:37:51:831,37264,0.4,36342,0.6,71432,0.4,96703,1.75 17,1,2024-09-07 08:37:50:572,275409,275409,0,0,128125126043,1349546510,272054,2683,672,368,391688,0 17,2,2024-09-07 08:37:51:683,198755,198755,0,0,8638231,0,2857 17,3,2024-09-07 08:37:50:581,1,157,1,0,268,2470,157,0 18,0,2024-09-07 08:37:50:948,40674,0.8,40927,0.9,81789,0.9,109792,2.25 18,1,2024-09-07 08:37:51:642,275990,275990,0,0,129369398338,1344843936,273982,1774,234,368,391564,0 18,2,2024-09-07 08:37:51:755,197912,197912,0,0,8654146,0,3541 18,3,2024-09-07 08:37:50:897,1,157,2,0,163,1732,157,0 19,0,2024-09-07 08:37:51:541,41033,1.2,41499,1.1,81898,1.5,108764,2.75 19,1,2024-09-07 08:37:50:572,276154,276154,0,0,129270882627,1350389491,272341,3097,716,367,391777,0 19,2,2024-09-07 08:37:51:753,202474,202474,0,0,7920758,0,3988 19,3,2024-09-07 08:37:51:129,1,157,1,0,524,1486,157,0 20,0,2024-09-07 08:37:51:371,37153,0.6,37077,0.8,74433,0.7,98886,2.25 20,1,2024-09-07 08:37:50:575,275355,275355,0,0,128773394241,1353783074,271890,3074,391,369,391822,0 20,2,2024-09-07 08:37:50:928,198824,198824,0,0,9028806,0,3721 20,3,2024-09-07 08:37:50:588,1,157,0,0,414,2858,157,0 21,0,2024-09-07 08:37:51:154,37046,0.3,37082,0.5,73730,0.3,97422,1.75 21,1,2024-09-07 08:37:51:539,275349,275349,0,0,128315296940,1353850541,271684,3133,532,368,391962,0 21,2,2024-09-07 08:37:51:074,195625,195625,0,0,9743427,0,3747 21,3,2024-09-07 08:37:51:404,1,157,6,0,103,1932,157,0 22,0,2024-09-07 08:37:51:724,39722,0.6,39725,0.8,78955,0.6,105785,2.00 22,1,2024-09-07 08:37:51:024,275089,275089,0,0,128454859019,1359290203,269547,4033,1509,382,391667,0 22,2,2024-09-07 08:37:50:763,196726,196726,0,0,8415687,0,3134 22,3,2024-09-07 08:37:51:066,1,157,2,0,228,1818,157,0 23,0,2024-09-07 08:37:51:369,43071,0.9,43158,0.9,86592,1.2,115171,2.50 23,1,2024-09-07 08:37:51:008,275937,275937,0,0,129427542490,1356910447,271842,2613,1482,365,391549,0 23,2,2024-09-07 08:37:51:093,201370,201370,0,0,8748044,0,3010 23,3,2024-09-07 08:37:51:770,1,157,1,0,645,1793,157,0 24,0,2024-09-07 08:37:50:898,38740,0.5,38801,0.6,77568,0.5,103061,1.75 24,1,2024-09-07 08:37:50:583,276115,276115,0,0,128665321889,1348551771,273155,2161,799,369,391640,0 24,2,2024-09-07 08:37:51:071,199539,199539,0,0,9927091,0,2942 24,3,2024-09-07 08:37:51:695,1,157,1,0,234,1798,157,0 25,0,2024-09-07 08:37:51:357,38132,0.4,37195,0.6,73049,0.3,99314,1.75 25,1,2024-09-07 08:37:50:567,275803,275803,0,0,128298221692,1349319999,272113,3147,543,371,391788,0 25,2,2024-09-07 08:37:51:606,194134,194134,0,0,9898271,0,3978 25,3,2024-09-07 08:37:51:012,1,157,6,0,255,1989,157,0 26,0,2024-09-07 08:37:51:727,38328,0.3,37465,0.5,78605,0.3,102864,1.75 26,1,2024-09-07 08:37:51:558,276067,276067,0,0,128799198771,1354893783,271407,3759,901,381,391564,0 26,2,2024-09-07 08:37:50:866,197506,197506,0,0,9055988,0,2809 26,3,2024-09-07 08:37:51:712,1,157,49,0,796,2218,157,0 27,0,2024-09-07 08:37:51:725,43887,0.5,43953,0.6,86954,0.5,116522,1.75 27,1,2024-09-07 08:37:51:676,277581,277581,0,0,129544777483,1346793235,275761,1497,323,381,391539,0 27,2,2024-09-07 08:37:50:868,199586,199586,0,0,9869588,0,3409 27,3,2024-09-07 08:37:51:016,1,157,0,0,564,1931,157,0 28,0,2024-09-07 08:37:51:394,38522,0.9,38707,0.9,77916,1.2,104056,2.50 28,1,2024-09-07 08:37:50:798,276601,276601,0,0,129937556540,1356039264,274439,1826,336,383,391646,0 28,2,2024-09-07 08:37:51:771,201614,201614,0,0,7792651,0,2915 28,3,2024-09-07 08:37:51:776,1,157,0,0,502,2002,157,0 29,0,2024-09-07 08:37:51:358,38493,0.3,37652,0.6,73521,0.3,100516,1.75 29,1,2024-09-07 08:37:51:566,277559,277559,0,0,128921259568,1338103732,275131,1885,543,369,391621,0 29,2,2024-09-07 08:37:50:878,195613,195613,0,0,7544009,0,4018 29,3,2024-09-07 08:37:50:966,1,157,1,0,105,1223,157,0 30,0,2024-09-07 08:37:51:464,36795,0.5,35732,0.7,74821,0.4,98367,2.00 30,1,2024-09-07 08:37:50:576,277133,277133,0,0,129327299399,1345072829,275146,1709,278,382,391672,0 30,2,2024-09-07 08:37:51:274,198014,198014,0,0,7573806,0,3161 30,3,2024-09-07 08:37:50:581,1,157,2,0,195,1206,157,0 31,0,2024-09-07 08:37:51:762,42336,0.5,42641,0.7,85858,0.5,114343,2.00 31,1,2024-09-07 08:37:50:565,277540,277540,0,0,129434757486,1327813244,276739,689,112,356,391553,0 31,2,2024-09-07 08:37:51:275,198476,198476,0,0,9352960,0,3525 31,3,2024-09-07 08:37:51:706,1,157,1,0,220,1338,157,0 32,0,2024-09-07 08:37:51:422,40400,0.5,40799,0.7,81587,0.5,108250,1.75 32,1,2024-09-07 08:37:50:814,276874,276874,0,0,129627708576,1347869011,275132,1467,275,382,391595,0 32,2,2024-09-07 08:37:50:935,201582,201582,0,0,7733312,0,3155 32,3,2024-09-07 08:37:51:017,1,157,1,0,227,1464,157,0 33,0,2024-09-07 08:37:51:497,37729,0.3,37082,0.5,74973,0.3,99581,1.75 33,1,2024-09-07 08:37:50:582,277578,277578,0,0,129721635442,1345296154,275319,2087,172,369,391730,0 33,2,2024-09-07 08:37:50:759,197569,197536,33,0,9519319,0,7012 33,3,2024-09-07 08:37:50:897,1,157,10,0,329,1849,157,0 34,0,2024-09-07 08:37:50:933,36061,0.3,37225,0.4,71286,0.2,95494,1.50 34,1,2024-09-07 08:37:51:044,277497,277497,0,0,130315074583,1343556890,276502,989,6,367,391562,0 34,2,2024-09-07 08:37:50:770,195600,195600,0,0,8464216,0,3577 34,3,2024-09-07 08:37:51:688,1,157,1,0,148,1043,157,0 35,0,2024-09-07 08:37:50:868,39900,0.4,40177,0.5,80332,0.4,107581,1.75 35,1,2024-09-07 08:37:51:068,276430,276430,0,0,128569063303,1338298570,273630,2030,770,384,391589,0 35,2,2024-09-07 08:37:51:585,197524,197524,0,0,7843044,0,2653 35,3,2024-09-07 08:37:50:907,1,157,2,0,418,1971,157,0 36,0,2024-09-07 08:37:51:525,42734,1.0,42569,1.0,85747,1.3,113384,2.50 36,1,2024-09-07 08:37:50:590,276134,276134,0,0,129397315197,1358666693,271514,3332,1288,366,391759,0 36,2,2024-09-07 08:37:51:751,200899,200899,0,0,9311310,0,3303 36,3,2024-09-07 08:37:50:866,1,157,0,0,378,2184,157,0 37,0,2024-09-07 08:37:51:385,37020,0.5,37026,0.7,73941,0.4,99309,2.00 37,1,2024-09-07 08:37:50:572,275421,275414,0,7,128720501544,1356800567,270641,2754,2019,365,391560,0 37,2,2024-09-07 08:37:51:146,199771,199756,15,0,9406521,0,5815 37,3,2024-09-07 08:37:51:766,1,157,1,0,724,2462,157,0 38,0,2024-09-07 08:37:51:438,36927,0.4,35893,0.6,74925,0.3,98557,2.00 38,1,2024-09-07 08:37:51:608,276377,276377,0,0,128909156932,1350912221,272339,3406,632,368,391821,0 38,2,2024-09-07 08:37:50:761,195321,195274,47,0,10933306,0,6710 38,3,2024-09-07 08:37:50:997,1,157,27,0,603,2187,157,0 39,0,2024-09-07 08:37:51:762,40183,0.6,39299,0.7,76777,0.6,104976,2.00 39,1,2024-09-07 08:37:50:716,276324,276324,0,0,128643858919,1345151967,272536,2987,801,365,391524,0 39,2,2024-09-07 08:37:51:423,196875,196875,0,0,8094120,0,2689 39,3,2024-09-07 08:37:50:717,1,157,4,0,276,2064,157,0 40,0,2024-09-07 08:37:51:525,43979,1.0,44741,1.2,88900,1.0,118490,3.00 40,1,2024-09-07 08:37:50:577,276403,276403,0,0,127692854633,1340409143,271988,3646,769,368,391591,0 40,2,2024-09-07 08:37:51:306,200478,200477,1,0,10300097,0,5137 40,3,2024-09-07 08:37:51:144,1,157,1,0,181,1602,157,0 41,0,2024-09-07 08:37:51:030,37747,2.1,38473,1.7,73805,3.4,101430,4.25 41,1,2024-09-07 08:37:50:773,275712,275712,0,0,129010130826,1352813306,271519,3661,532,370,391742,0 41,2,2024-09-07 08:37:50:759,199568,199568,0,0,10106431,0,3356 41,3,2024-09-07 08:37:51:676,1,157,4,0,366,1959,157,0 42,0,2024-09-07 08:37:51:480,36908,0.5,37356,0.8,74467,0.4,98422,2.25 42,1,2024-09-07 08:37:51:441,275038,275038,0,0,128872700659,1357755641,270323,3757,958,380,391675,0 42,2,2024-09-07 08:37:51:136,194556,194556,0,0,9571443,0,3568 42,3,2024-09-07 08:37:51:012,1,157,1,0,446,1429,157,0 43,0,2024-09-07 08:37:50:917,37419,0.6,36655,0.9,76148,0.6,100563,2.00 43,1,2024-09-07 08:37:50:577,276275,276275,0,0,129393407217,1350722908,273032,2450,793,366,391604,0 43,2,2024-09-07 08:37:51:743,197723,197723,0,0,9008378,0,3812 43,3,2024-09-07 08:37:51:750,1,157,3,0,325,2120,157,0 44,0,2024-09-07 08:37:50:863,43827,0.5,44033,0.7,87673,0.5,117346,1.75 44,1,2024-09-07 08:37:50:567,276536,276536,0,0,128869520729,1333711839,274478,1633,425,356,391809,0 44,2,2024-09-07 08:37:51:271,199106,199106,0,0,7507794,0,1877 44,3,2024-09-07 08:37:51:098,1,157,1,0,817,2093,157,0 45,0,2024-09-07 08:37:51:764,38536,1.5,37842,1.2,79422,1.9,105086,2.25 45,1,2024-09-07 08:37:51:009,276640,276640,0,0,129513241948,1343818431,275601,1029,10,382,391917,0 45,2,2024-09-07 08:37:51:268,202112,202112,0,0,8192640,0,3596 45,3,2024-09-07 08:37:50:934,1,157,1,0,226,1434,157,0 46,0,2024-09-07 08:37:50:959,37333,0.4,37164,0.6,74624,0.3,98778,1.75 46,1,2024-09-07 08:37:50:576,277170,277170,0,0,129130754269,1337140211,275361,1586,223,366,391524,0 46,2,2024-09-07 08:37:50:594,197167,197167,0,0,8096543,0,2920 46,3,2024-09-07 08:37:51:135,1,157,1,0,908,2903,157,0 47,0,2024-09-07 08:37:51:107,36194,0.3,36399,0.5,73060,0.2,96376,1.75 47,1,2024-09-07 08:37:50:572,277123,277123,0,0,129122131969,1334479786,275721,1385,17,366,391605,0 47,2,2024-09-07 08:37:50:908,197921,197921,0,0,8191012,0,2558 47,3,2024-09-07 08:37:51:115,1,157,1,0,529,1790,157,0 48,0,2024-09-07 08:37:51:490,41552,0.3,41175,0.5,82500,0.2,110410,1.75 48,1,2024-09-07 08:37:51:027,277064,277064,0,0,129378886043,1346309701,275003,1880,181,384,391710,0 48,2,2024-09-07 08:37:50:699,198341,198341,0,0,7047057,0,2083 48,3,2024-09-07 08:37:50:757,1,157,1,0,339,1433,157,0 49,0,2024-09-07 08:37:51:716,42641,0.6,41817,0.7,81243,0.6,110727,1.75 49,1,2024-09-07 08:37:51:027,275686,275686,0,0,128845602990,1345590947,272949,1897,840,382,391583,0 49,2,2024-09-07 08:37:51:801,202269,202269,0,0,8190592,0,3900 49,3,2024-09-07 08:37:51:416,1,157,5,0,408,2335,157,0 50,0,2024-09-07 08:37:51:512,37268,0.3,36966,0.5,74021,0.2,98829,1.75 50,1,2024-09-07 08:37:51:013,278059,278059,0,0,130071671810,1350496773,275533,2217,309,368,391530,0 50,2,2024-09-07 08:37:51:067,199070,199070,0,0,7741505,0,2253 50,3,2024-09-07 08:37:51:290,1,157,1,0,335,1332,157,0 51,0,2024-09-07 08:37:51:689,37543,0.3,37053,0.4,72084,0.2,97727,1.50 51,1,2024-09-07 08:37:51:681,276412,276412,0,0,130081757509,1346803761,274443,1183,786,367,391637,0 51,2,2024-09-07 08:37:51:316,195401,195401,0,0,7269624,0,3337 51,3,2024-09-07 08:37:51:035,1,157,2,0,162,904,157,0 52,0,2024-09-07 08:37:51:422,39942,0.5,39948,0.7,79908,0.5,106157,2.25 52,1,2024-09-07 08:37:50:578,275616,275616,0,0,128804854810,1360604964,269704,4754,1158,368,391722,0 52,2,2024-09-07 08:37:51:759,195425,195387,38,0,9907989,0,6742 52,3,2024-09-07 08:37:50:674,1,157,3,0,1782,3523,157,0 53,0,2024-09-07 08:37:51:732,43097,1.1,41837,1.0,87505,1.3,114587,2.75 53,1,2024-09-07 08:37:50:775,275264,275264,0,0,128701560824,1360841304,269636,3734,1894,367,391702,0 53,2,2024-09-07 08:37:51:297,201875,201875,0,0,8286897,0,2262 53,3,2024-09-07 08:37:50:697,1,157,1,0,271,1624,157,0 54,0,2024-09-07 08:37:51:618,37172,2.1,37694,1.3,74712,1.0,100275,4.00 54,1,2024-09-07 08:37:50:580,275970,275970,0,0,129641993334,1355627268,272000,3399,571,367,391532,0 54,2,2024-09-07 08:37:50:866,200215,200209,6,0,9882581,0,5382 54,3,2024-09-07 08:37:50:770,1,157,5,0,676,3171,157,0 55,0,2024-09-07 08:37:51:785,35841,0.5,36940,0.7,74898,0.4,97363,2.25 55,1,2024-09-07 08:37:50:768,275546,275546,0,0,128450241154,1347529841,270359,4400,787,365,391731,0 55,2,2024-09-07 08:37:50:730,194433,194433,0,0,9378387,0,3275 55,3,2024-09-07 08:37:50:673,1,157,0,0,304,1699,157,0 56,0,2024-09-07 08:37:51:567,39551,1.1,37467,1.0,76792,1.6,103286,2.50 56,1,2024-09-07 08:37:50:588,275551,275551,0,0,129301601444,1370586448,270422,4225,904,381,391678,0 56,2,2024-09-07 08:37:51:304,196918,196918,0,0,10121832,0,3567 56,3,2024-09-07 08:37:51:062,1,157,1,0,405,2209,157,0 57,0,2024-09-07 08:37:50:947,42798,2.3,42854,1.5,86037,3.5,115531,4.00 57,1,2024-09-07 08:37:51:025,275961,275961,0,0,129035037554,1351976786,273132,2659,170,368,391960,0 57,2,2024-09-07 08:37:51:319,201170,201170,0,0,10335402,0,3178 57,3,2024-09-07 08:37:51:740,1,157,9,0,359,2715,157,0 58,0,2024-09-07 08:37:50:565,37530,1.4,36593,1.2,76613,2.3,100626,3.00 58,1,2024-09-07 08:37:50:578,276930,276927,0,3,129414793435,1353239994,273412,3118,397,367,391516,3 58,2,2024-09-07 08:37:51:071,201028,201028,0,0,9451515,0,2549 58,3,2024-09-07 08:37:51:069,1,157,1,0,1043,2310,157,0 59,0,2024-09-07 08:37:51:760,37635,0.8,37359,1.0,74610,0.8,99302,3.00 59,1,2024-09-07 08:37:50:805,275859,275859,0,0,128789022191,1349205223,272212,2725,922,369,391515,0 59,2,2024-09-07 08:37:50:583,196302,196302,0,0,8154051,0,2604 59,3,2024-09-07 08:37:51:743,1,157,1,0,1015,2872,157,0 60,0,2024-09-07 08:37:51:711,37092,0.4,37164,0.6,73983,0.3,98402,1.75 60,1,2024-09-07 08:37:50:795,277279,277279,0,0,129461582431,1343362694,275822,1099,358,370,391761,0 60,2,2024-09-07 08:37:51:146,197022,197022,0,0,9381837,0,3811 60,3,2024-09-07 08:37:51:258,1,157,1,0,124,1559,157,0 61,0,2024-09-07 08:37:51:494,42636,1.3,42846,1.1,85460,2.0,114450,2.25 61,1,2024-09-07 08:37:50:779,275912,275912,0,0,128473927425,1349399150,272474,2885,553,382,391589,0 61,2,2024-09-07 08:37:51:122,199344,199344,0,0,8326271,0,2079 61,3,2024-09-07 08:37:51:687,1,157,0,0,199,1912,157,0 62,0,2024-09-07 08:37:51:712,40720,1.6,41482,1.1,79506,2.6,107934,2.25 62,1,2024-09-07 08:37:51:110,278230,278224,0,6,130345889125,1345953846,276546,1639,39,365,391715,6 62,2,2024-09-07 08:37:51:644,200572,200571,1,0,9881738,0,5555 62,3,2024-09-07 08:37:51:144,1,157,2,0,287,1169,157,0 63,0,2024-09-07 08:37:51:454,37246,0.5,37221,0.7,74633,0.5,99305,2.00 63,1,2024-09-07 08:37:50:805,277430,277424,0,6,129525365236,1343005431,276182,1216,26,381,391677,6 63,2,2024-09-07 08:37:50:762,197246,197246,0,0,7624244,0,2674 63,3,2024-09-07 08:37:51:744,1,157,2,0,667,2382,157,0 64,0,2024-09-07 08:37:51:551,35868,0.4,35819,0.6,71907,0.3,95328,1.75 64,1,2024-09-07 08:37:50:794,276962,276962,0,0,128952089254,1350341485,273496,2412,1054,371,391783,0 64,2,2024-09-07 08:37:51:163,197782,197763,19,0,8516677,0,6121 64,3,2024-09-07 08:37:51:140,1,157,10,0,265,1800,157,0 65,0,2024-09-07 08:37:51:692,39462,0.9,39956,0.9,79682,1.0,106815,2.25 65,1,2024-09-07 08:37:50:871,275928,275928,0,0,128925969215,1351050867,273421,2283,224,382,391770,0 65,2,2024-09-07 08:37:51:693,197274,197274,0,0,8967686,0,3367 65,3,2024-09-07 08:37:51:683,1,157,1,0,163,1618,157,0 66,0,2024-09-07 08:37:51:782,42245,0.8,42334,0.9,85041,0.8,112656,2.50 66,1,2024-09-07 08:37:51:293,277311,277311,0,0,129139347656,1344288245,275436,1704,171,380,391588,0 66,2,2024-09-07 08:37:51:139,203050,203050,0,0,8366247,0,4956 66,3,2024-09-07 08:37:51:082,1,157,0,0,291,1693,157,0 67,0,2024-09-07 08:37:51:425,37545,0.9,37246,0.9,74862,1.0,99400,2.50 67,1,2024-09-07 08:37:50:773,276008,276007,0,1,128906187789,1350623386,272540,2721,746,381,391787,1 67,2,2024-09-07 08:37:50:585,200534,200534,0,0,7989293,0,2889 67,3,2024-09-07 08:37:51:750,1,157,0,0,138,1277,157,0 68,0,2024-09-07 08:37:50:593,37352,0.4,37159,0.7,74281,0.4,98797,2.00 68,1,2024-09-07 08:37:50:589,275436,275436,0,0,128807722574,1353735374,272582,1836,1018,381,391953,0 68,2,2024-09-07 08:37:51:053,194062,193997,65,0,11255376,0,6698 68,3,2024-09-07 08:37:50:743,1,157,10,0,417,2036,157,0 69,0,2024-09-07 08:37:51:734,39000,0.7,39185,0.8,77876,0.8,104494,2.25 69,1,2024-09-07 08:37:51:055,274995,274995,0,0,129454696262,1370596907,270990,2790,1215,384,391611,0 69,2,2024-09-07 08:37:51:733,197031,197031,0,0,9861116,0,3701 69,3,2024-09-07 08:37:50:770,1,157,10,0,238,1966,157,0 70,0,2024-09-07 08:37:51:537,43909,1.9,44254,1.4,88722,1.3,117904,3.00 70,1,2024-09-07 08:37:50:801,276312,276312,0,0,129618718639,1348982191,273855,1995,462,366,391725,0 70,2,2024-09-07 08:37:51:325,201294,201294,0,0,9105919,0,4044 70,3,2024-09-07 08:37:50:748,1,157,12,0,854,2133,157,0 71,0,2024-09-07 08:37:51:365,37681,2.2,37709,1.7,75168,3.3,101588,3.75 71,1,2024-09-07 08:37:51:595,276262,276262,0,0,129387955218,1356733707,272145,3592,525,368,391682,0 71,2,2024-09-07 08:37:51:071,201391,201391,0,0,9112871,0,2470 71,3,2024-09-07 08:37:51:750,1,157,1,0,644,2557,157,0 72,0,2024-09-07 08:37:51:090,38772,0.5,37832,0.7,74247,0.4,100567,2.25 72,1,2024-09-07 08:37:51:028,276221,276221,0,0,128992349979,1348358796,272965,2770,486,369,391819,0 72,2,2024-09-07 08:37:51:755,194252,194252,0,0,10421405,0,2570 72,3,2024-09-07 08:37:51:767,1,157,10,0,364,2995,157,0 73,0,2024-09-07 08:37:51:117,36650,0.4,37651,0.6,76817,0.3,100124,2.00 73,1,2024-09-07 08:37:50:772,276434,276434,0,0,128630096243,1336374891,274629,1690,115,367,391627,0 73,2,2024-09-07 08:37:51:750,197562,197562,0,0,9775668,0,3482 73,3,2024-09-07 08:37:50:982,1,157,1,0,274,2576,157,0 74,0,2024-09-07 08:37:51:340,44144,0.5,44955,0.7,85814,0.5,116883,2.25 74,1,2024-09-07 08:37:50:635,276136,276136,0,0,128410713144,1342330214,273345,2169,622,382,391681,0 74,2,2024-09-07 08:37:51:002,199604,199604,0,0,9822654,0,4253 74,3,2024-09-07 08:37:51:441,1,157,3,0,522,2753,157,0 75,0,2024-09-07 08:37:51:796,39351,1.5,39063,1.2,78023,1.8,105283,2.75 75,1,2024-09-07 08:37:51:585,275761,275761,0,0,129214646656,1355292308,272368,2933,460,381,391579,0 75,2,2024-09-07 08:37:51:350,201053,201053,0,0,9401323,0,4766 75,3,2024-09-07 08:37:51:072,1,157,1,0,535,1833,157,0 76,0,2024-09-07 08:37:50:615,37141,0.4,36947,0.7,73890,0.3,99088,2.25 76,1,2024-09-07 08:37:50:806,276393,276393,0,0,128852336665,1346111591,274332,1550,511,382,391692,0 76,2,2024-09-07 08:37:51:071,197449,197449,0,0,8072383,0,3064 76,3,2024-09-07 08:37:51:144,1,157,2,0,175,1845,157,0 77,0,2024-09-07 08:37:51:728,36199,0.5,36434,0.7,72917,0.4,96377,1.75 77,1,2024-09-07 08:37:50:832,277140,277140,0,0,129035740092,1346507155,275546,1511,83,383,391808,0 77,2,2024-09-07 08:37:51:282,196483,196483,0,0,8353245,0,3890 77,3,2024-09-07 08:37:51:097,1,157,4,0,305,1990,157,0 78,0,2024-09-07 08:37:51:736,41208,0.5,41060,0.7,82748,0.5,110005,2.00 78,1,2024-09-07 08:37:50:623,276868,276868,0,0,129084621512,1344237084,274538,2077,253,367,391589,0 78,2,2024-09-07 08:37:51:405,199008,199008,0,0,7845879,0,2114 78,3,2024-09-07 08:37:51:135,1,157,2,0,181,1660,157,0 79,0,2024-09-07 08:37:51:349,40166,0.6,41090,0.8,84014,0.5,109196,2.75 79,1,2024-09-07 08:37:50:576,277199,277199,0,0,129492095880,1343107667,275012,2015,172,369,391682,0 79,2,2024-09-07 08:37:51:069,202229,202229,0,0,8089724,0,3212 79,3,2024-09-07 08:37:50:756,1,157,2,0,418,2886,157,0 80,0,2024-09-07 08:37:51:144,37130,0.6,37975,0.8,73007,0.6,98867,2.25 80,1,2024-09-07 08:37:51:622,275655,275655,0,0,128538193229,1340877810,273138,2356,161,368,391673,0 80,2,2024-09-07 08:37:51:107,199575,199575,0,0,8526911,0,4433 80,3,2024-09-07 08:37:50:589,1,157,1,0,190,2589,157,0 81,0,2024-09-07 08:37:51:544,36782,0.4,37887,0.6,72598,0.4,97363,1.75 81,1,2024-09-07 08:37:51:655,275957,275957,0,0,128439538130,1345028846,273479,2206,272,382,391879,0 81,2,2024-09-07 08:37:51:137,195189,195189,0,0,8966980,0,3993 81,3,2024-09-07 08:37:51:119,1,157,8,0,193,1574,157,0 82,0,2024-09-07 08:37:51:538,39609,0.5,39800,0.7,79760,0.4,106332,2.00 82,1,2024-09-07 08:37:50:584,276805,276801,0,4,128950992418,1346679287,274359,1865,577,381,391558,4 82,2,2024-09-07 08:37:51:690,197759,197759,0,0,8237389,0,3986 82,3,2024-09-07 08:37:51:752,1,157,0,0,227,1833,157,0 83,0,2024-09-07 08:37:51:526,43424,0.8,43387,0.9,86110,0.8,114718,2.25 83,1,2024-09-07 08:37:50:551,276251,276251,0,0,128710084314,1346517542,273804,2243,204,382,391690,0 83,2,2024-09-07 08:37:50:764,201325,201325,0,0,8299636,0,3119 83,3,2024-09-07 08:37:50:748,1,157,3,0,241,1888,157,0 84,0,2024-09-07 08:37:51:789,37905,1.4,37719,1.3,75779,1.1,101573,2.75 84,1,2024-09-07 08:37:51:047,275536,275536,0,0,128671846291,1350407572,272149,2933,454,368,391852,0 84,2,2024-09-07 08:37:50:581,200520,200520,0,0,9503045,0,3801 84,3,2024-09-07 08:37:51:151,1,157,2,0,270,1916,157,0 85,0,2024-09-07 08:37:51:040,35752,0.5,35795,0.7,75944,0.4,98470,2.00 85,1,2024-09-07 08:37:50:572,275048,275048,0,0,128439538548,1364392989,269599,4369,1080,382,392006,0 85,2,2024-09-07 08:37:50:901,195066,195066,0,0,10116284,0,3656 85,3,2024-09-07 08:37:50:700,1,157,2,0,789,2465,157,0 86,0,2024-09-07 08:37:50:881,38601,0.6,39712,0.7,75956,0.5,103072,2.00 86,1,2024-09-07 08:37:50:831,275728,275728,0,0,128916993298,1353632452,271773,3410,545,366,391961,0 86,2,2024-09-07 08:37:50:866,195973,195972,1,0,10490403,0,5004 86,3,2024-09-07 08:37:50:592,1,157,1,0,286,2386,157,0 87,0,2024-09-07 08:37:51:296,43529,1.6,43409,1.2,87099,2.4,117487,2.50 87,1,2024-09-07 08:37:50:552,275645,275645,0,0,128527210613,1349337961,272431,2823,391,366,391788,0 87,2,2024-09-07 08:37:51:068,199961,199961,0,0,8921503,0,3515 87,3,2024-09-07 08:37:51:805,1,157,7,0,335,2812,157,0 88,0,2024-09-07 08:37:51:594,38842,0.7,38982,0.8,77788,0.8,104076,2.00 88,1,2024-09-07 08:37:50:577,274899,274899,0,0,128527189396,1351710362,270864,2865,1170,365,391787,0 88,2,2024-09-07 08:37:50:697,201149,201149,0,0,10066342,0,3583 88,3,2024-09-07 08:37:51:281,1,157,5,0,435,2096,157,0 89,0,2024-09-07 08:37:51:840,38692,0.4,37460,0.7,74234,0.4,100773,1.75 89,1,2024-09-07 08:37:50:553,274653,274653,0,0,129074327024,1367807391,269223,4495,935,382,391866,0 89,2,2024-09-07 08:37:51:137,195367,195367,0,0,9749418,0,2910 89,3,2024-09-07 08:37:51:793,1,157,15,0,325,3153,157,0 90,0,2024-09-07 08:37:51:660,35828,0.4,36638,0.5,75295,0.3,98104,1.75 90,1,2024-09-07 08:37:50:602,276526,276526,0,0,128612434583,1352454650,273304,2965,257,382,391825,0 90,2,2024-09-07 08:37:51:406,196352,196352,0,0,10653513,0,3060 90,3,2024-09-07 08:37:50:931,1,157,15,0,200,1891,157,0 91,0,2024-09-07 08:37:50:962,43215,0.5,41682,0.6,86749,0.5,114832,1.75 91,1,2024-09-07 08:37:50:562,275433,275433,0,0,128638061846,1353888507,271686,3250,497,381,392047,0 91,2,2024-09-07 08:37:51:339,197775,197775,0,0,10023611,0,2445 91,3,2024-09-07 08:37:50:606,1,157,1,0,216,1866,157,0 92,0,2024-09-07 08:37:51:453,40915,0.8,41920,0.9,80236,1.0,108337,2.00 92,1,2024-09-07 08:37:50:580,276342,276342,0,0,129230927235,1351600910,274173,1767,402,382,392136,0 92,2,2024-09-07 08:37:51:351,203441,203441,0,0,8400189,0,2801 92,3,2024-09-07 08:37:51:012,1,157,1,0,68,1319,157,0 93,0,2024-09-07 08:37:50:964,37677,0.4,38441,0.6,73613,0.4,99777,1.75 93,1,2024-09-07 08:37:50:806,276056,276056,0,0,128942362397,1348996818,272954,2553,549,366,391692,0 93,2,2024-09-07 08:37:50:927,197907,197907,0,0,8251087,0,2509 93,3,2024-09-07 08:37:51:407,1,157,4,0,143,1676,157,0 94,0,2024-09-07 08:37:51:615,36078,0.3,36352,0.5,72018,0.2,95251,1.50 94,1,2024-09-07 08:37:50:568,276208,276208,0,0,128804846782,1349294282,273765,2349,94,381,391850,0 94,2,2024-09-07 08:37:50:763,196287,196287,0,0,8121240,0,2443 94,3,2024-09-07 08:37:51:690,1,157,1,0,264,2393,157,0 95,0,2024-09-07 08:37:51:356,40294,0.4,40076,0.5,80793,0.3,107402,1.75 95,1,2024-09-07 08:37:50:858,276659,276659,0,0,128497900108,1338922190,274286,2191,182,367,391662,0 95,2,2024-09-07 08:37:51:019,197711,197711,0,0,8419381,0,3308 95,3,2024-09-07 08:37:51:709,1,157,2,0,718,3044,157,0 96,0,2024-09-07 08:37:51:051,42788,0.6,42884,0.7,86082,0.6,113288,1.75 96,1,2024-09-07 08:37:51:593,275698,275698,0,0,129216807860,1353848210,273101,1955,642,385,391894,0 96,2,2024-09-07 08:37:51:272,201623,201623,0,0,9089531,0,4042 96,3,2024-09-07 08:37:51:145,1,157,2,0,188,1842,157,0 97,0,2024-09-07 08:37:51:393,37624,0.4,37462,0.6,75021,0.4,99539,1.75 97,1,2024-09-07 08:37:50:764,276547,276547,0,0,129858745184,1352913196,273751,2248,548,367,392140,0 97,2,2024-09-07 08:37:50:628,199844,199844,0,0,8143208,0,3036 97,3,2024-09-07 08:37:50:571,1,157,1,0,165,1891,157,0 98,0,2024-09-07 08:37:51:700,37233,0.2,37106,0.4,74513,0.2,98996,1.50 98,1,2024-09-07 08:37:50:575,276451,276451,0,0,129457759458,1351278967,274638,1723,90,382,391997,0 98,2,2024-09-07 08:37:50:772,196026,196026,0,0,8513183,0,3080 98,3,2024-09-07 08:37:50:709,1,157,1,0,840,3064,157,0 99,0,2024-09-07 08:37:51:454,39210,0.3,39182,0.4,78271,0.2,104711,1.50 99,1,2024-09-07 08:37:51:723,276606,276606,0,0,129372829321,1353235227,273546,2364,696,381,391744,0 99,2,2024-09-07 08:37:51:425,198952,198952,0,0,8255857,0,2615 99,3,2024-09-07 08:37:50:581,1,157,4,0,129,1117,157,0 100,0,2024-09-07 08:37:51:510,44492,1.2,44381,1.3,88777,2.1,118798,2.50 100,1,2024-09-07 08:37:50:550,273896,273896,0,0,127772497089,1359067773,268643,4322,931,381,391989,0 100,2,2024-09-07 08:37:51:818,200020,200009,11,0,9766303,0,5417 100,3,2024-09-07 08:37:51:737,1,157,6,0,559,3670,157,0 101,0,2024-09-07 08:37:51:898,38870,3.1,37893,1.7,74346,3.9,102841,3.00 101,1,2024-09-07 08:37:50:561,274888,274888,0,0,128662011558,1363726547,269530,3881,1477,368,391769,0 101,2,2024-09-07 08:37:51:797,200444,200444,0,0,10732055,0,4644 101,3,2024-09-07 08:37:50:943,1,157,1,0,448,2024,157,0 102,0,2024-09-07 08:37:50:993,36618,0.6,38013,0.8,76235,0.4,99873,2.00 102,1,2024-09-07 08:37:51:169,275573,275573,0,0,128517462991,1355523609,271502,3359,712,369,391883,0 102,2,2024-09-07 08:37:51:738,195806,195752,54,0,10051258,0,6768 102,3,2024-09-07 08:37:51:613,1,157,3,0,410,1682,157,0 103,0,2024-09-07 08:37:51:733,38692,0.4,38689,0.6,72908,0.3,100742,1.75 103,1,2024-09-07 08:37:51:644,274642,274642,0,0,128560403765,1359142762,270203,3231,1208,381,391829,0 103,2,2024-09-07 08:37:50:586,196635,196635,0,0,9039714,0,2104 103,3,2024-09-07 08:37:50:759,1,157,2,0,916,2911,157,0 104,0,2024-09-07 08:37:51:046,43484,1.4,43664,1.2,85987,1.9,116881,2.50 104,1,2024-09-07 08:37:51:609,276398,276398,0,0,128691751827,1359496948,271400,4036,962,365,391948,0 104,2,2024-09-07 08:37:51:681,198645,198645,0,0,9803647,0,3941 104,3,2024-09-07 08:37:51:417,1,157,1,1,1245,5094,157,0 105,0,2024-09-07 08:37:51:041,38719,2.0,37681,1.5,78551,2.9,104238,4.00 105,1,2024-09-07 08:37:50:559,276612,276612,0,0,128808903911,1354223395,272405,3433,774,367,391797,0 105,2,2024-09-07 08:37:51:331,200929,200929,0,0,9287270,0,3509 105,3,2024-09-07 08:37:51:314,1,157,1,1,399,2373,157,0 106,0,2024-09-07 08:37:51:006,36166,0.7,37119,0.9,75503,0.7,98895,2.25 106,1,2024-09-07 08:37:51:751,275783,275783,0,0,128971561477,1355242086,271997,3402,384,369,391767,0 106,2,2024-09-07 08:37:50:773,195736,195736,0,0,9278520,0,2795 106,3,2024-09-07 08:37:50:718,1,157,45,0,405,2372,157,0 107,0,2024-09-07 08:37:51:111,36264,0.4,36312,0.6,72137,0.3,96533,1.75 107,1,2024-09-07 08:37:50:596,275358,275358,0,0,128669972845,1353942862,272381,2747,230,381,392234,0 107,2,2024-09-07 08:37:51:290,196717,196716,1,0,9399408,0,5024 107,3,2024-09-07 08:37:51:773,1,157,34,0,353,2120,157,0 108,0,2024-09-07 08:37:51:779,41366,0.4,41505,0.6,82459,0.4,110518,1.75 108,1,2024-09-07 08:37:51:293,275783,275783,0,0,129303039962,1351579180,273128,2344,311,368,391857,0 108,2,2024-09-07 08:37:51:772,196846,196846,0,0,8849338,0,2647 108,3,2024-09-07 08:37:51:336,1,157,0,0,667,3230,157,0 109,0,2024-09-07 08:37:51:761,41686,0.5,41470,0.7,82798,0.5,110866,1.75 109,1,2024-09-07 08:37:50:584,275529,275529,0,0,128935776388,1355309562,272752,2281,496,383,392132,0 109,2,2024-09-07 08:37:50:921,201033,201033,0,0,9173800,0,3617 109,3,2024-09-07 08:37:51:140,1,157,11,0,249,2242,157,0 110,0,2024-09-07 08:37:51:764,37359,0.4,36276,0.7,75825,0.4,99174,1.75 110,1,2024-09-07 08:37:51:643,276645,276645,0,0,129573785403,1350205494,274031,1849,765,370,391667,0 110,2,2024-09-07 08:37:51:302,199422,199422,0,0,8129824,0,2915 110,3,2024-09-07 08:37:50:694,1,157,10,0,406,2286,157,0 111,0,2024-09-07 08:37:51:414,37027,0.2,36490,0.4,73046,0.1,97695,1.50 111,1,2024-09-07 08:37:51:014,277098,277098,0,0,129901779238,1349744736,275323,1443,332,382,391690,0 111,2,2024-09-07 08:37:51:115,195408,195408,0,0,8889852,0,2763 111,3,2024-09-07 08:37:50:913,1,157,1,0,379,2543,157,0 112,0,2024-09-07 08:37:50:920,39862,0.3,39856,0.5,79761,0.2,106552,1.50 112,1,2024-09-07 08:37:50:827,277317,277317,0,0,129404637207,1347368326,274990,1901,426,381,391580,0 112,2,2024-09-07 08:37:51:136,197006,197005,1,0,8955970,0,5036 112,3,2024-09-07 08:37:50:593,1,157,1,0,282,1877,157,0 113,0,2024-09-07 08:37:50:890,43205,0.4,43104,0.6,86962,0.4,115836,1.75 113,1,2024-09-07 08:37:51:736,276951,276951,0,0,129477264438,1342671064,274536,1927,488,366,391661,0 113,2,2024-09-07 08:37:51:303,202672,202672,0,0,7894257,0,3813 113,3,2024-09-07 08:37:50:683,1,157,6,0,288,2373,157,0 114,0,2024-09-07 08:37:50:879,38764,0.6,39325,0.7,77085,0.5,103424,2.00 114,1,2024-09-07 08:37:50:724,276554,276554,0,0,128473508573,1347708274,272425,2666,1463,381,391534,0 114,2,2024-09-07 08:37:50:877,200592,200592,0,0,8156421,0,3925 114,3,2024-09-07 08:37:51:280,1,157,12,0,395,1983,157,0 115,0,2024-09-07 08:37:50:568,37013,0.2,37386,0.4,74804,0.2,99221,1.50 115,1,2024-09-07 08:37:50:576,276622,276622,0,0,129240125945,1350147728,272980,2821,821,382,391602,0 115,2,2024-09-07 08:37:51:131,196036,196036,0,0,7607955,0,2152 115,3,2024-09-07 08:37:51:012,1,157,1,0,159,1065,157,0 116,0,2024-09-07 08:37:52:000,38784,0.6,38240,0.8,76998,0.6,103015,2.00 116,1,2024-09-07 08:37:50:804,274411,274411,0,0,128132729379,1365147310,269507,3150,1754,382,391677,0 116,2,2024-09-07 08:37:51:823,196050,196050,0,0,10766230,0,3529 116,3,2024-09-07 08:37:50:912,1,157,0,0,252,2307,157,0 117,0,2024-09-07 08:37:50:973,43389,1.4,43469,1.1,86940,2.2,117269,2.25 117,1,2024-09-07 08:37:51:639,275964,275964,0,0,128610501075,1351702588,272296,3241,427,370,392033,0 117,2,2024-09-07 08:37:51:133,201590,201590,0,0,8671520,0,3700 117,3,2024-09-07 08:37:51:060,1,157,6,0,490,3325,157,0 118,0,2024-09-07 08:37:51:789,37838,1.0,38958,1.0,79069,1.2,103378,2.25 118,1,2024-09-07 08:37:50:588,275412,275412,0,0,128196775350,1356142044,270569,3522,1321,366,391736,0 118,2,2024-09-07 08:37:51:592,200644,200644,0,0,9741700,0,2781 118,3,2024-09-07 08:37:51:773,1,157,11,0,235,2120,157,0 119,0,2024-09-07 08:37:51:382,37248,0.7,37359,0.8,75709,0.6,99812,2.00 119,1,2024-09-07 08:37:50:570,276164,276164,0,0,129291641991,1351657540,273684,2192,288,369,391641,0 119,2,2024-09-07 08:37:51:271,195060,195060,0,0,8991214,0,3526 119,3,2024-09-07 08:37:51:339,1,157,23,0,443,2868,157,0 120,0,2024-09-07 08:37:51:752,36743,0.4,36758,0.7,73486,0.4,98274,2.00 120,1,2024-09-07 08:37:50:968,276245,276245,0,0,128445042904,1350655859,272959,3025,261,368,391961,0 120,2,2024-09-07 08:37:50:775,196598,196597,1,0,11048811,0,5281 120,3,2024-09-07 08:37:51:296,1,157,16,0,241,2196,157,0 121,0,2024-09-07 08:37:51:764,42446,1.6,42862,1.2,85354,2.4,114404,2.25 121,1,2024-09-07 08:37:51:668,276149,276149,0,0,128885929904,1350375189,273409,2452,288,367,391840,0 121,2,2024-09-07 08:37:51:127,197650,197650,0,0,10001787,0,4127 121,3,2024-09-07 08:37:50:727,1,157,1,0,269,2223,157,0 122,0,2024-09-07 08:37:51:776,40376,1.3,39268,1.2,82282,1.4,108390,2.25 122,1,2024-09-07 08:37:50:862,275107,275107,0,0,128586255318,1353686167,270972,3428,707,366,392130,0 122,2,2024-09-07 08:37:51:320,201479,201479,0,0,11103289,0,3364 122,3,2024-09-07 08:37:50:595,1,157,4,0,411,3744,157,0 123,0,2024-09-07 08:37:50:987,37350,0.8,36399,0.8,76219,1.0,99260,2.00 123,1,2024-09-07 08:37:50:567,276245,276245,0,0,128661348722,1357331611,271169,4382,694,369,391823,0 123,2,2024-09-07 08:37:51:020,195992,195991,1,0,9567488,0,5215 123,3,2024-09-07 08:37:51:138,1,157,1,0,168,1975,157,0 124,0,2024-09-07 08:37:50:974,37124,0.3,37078,0.5,70054,0.2,95570,1.50 124,1,2024-09-07 08:37:51:030,276399,276399,0,0,129085494164,1343025788,274314,1729,356,367,392178,0 124,2,2024-09-07 08:37:51:014,196671,196671,0,0,8141972,0,3101 124,3,2024-09-07 08:37:50:759,1,157,1,0,490,2238,157,0 125,0,2024-09-07 08:37:51:514,40291,0.4,40290,0.6,80606,0.4,107550,1.75 125,1,2024-09-07 08:37:50:873,276591,276591,0,0,129466054399,1353813799,274228,2088,275,384,391702,0 125,2,2024-09-07 08:37:51:121,198200,198200,0,0,8126882,0,2180 125,3,2024-09-07 08:37:51:138,1,157,20,0,284,2112,157,0 126,0,2024-09-07 08:37:51:436,42898,0.8,44012,0.8,84110,0.8,114016,2.00 126,1,2024-09-07 08:37:50:580,276660,276660,0,0,129729488400,1346571415,275028,1554,78,365,391987,0 126,2,2024-09-07 08:37:50:625,202130,202130,0,0,8492722,0,3186 126,3,2024-09-07 08:37:50:907,1,157,3,0,150,2264,157,0 127,0,2024-09-07 08:37:51:624,37349,0.4,37566,0.6,74840,0.4,99445,1.75 127,1,2024-09-07 08:37:50:575,276238,276238,0,0,129333986616,1342030725,274174,2023,41,365,391816,0 127,2,2024-09-07 08:37:50:637,200155,200155,0,0,7978833,0,2264 127,3,2024-09-07 08:37:51:269,1,157,1,0,243,1402,157,0 128,0,2024-09-07 08:37:51:569,37460,0.3,37215,0.4,74497,0.2,99131,1.50 128,1,2024-09-07 08:37:51:617,276876,276876,0,0,128855611641,1336314147,275898,906,72,367,391680,0 128,2,2024-09-07 08:37:51:390,195163,195163,0,0,8002803,0,2107 128,3,2024-09-07 08:37:50:772,1,157,5,0,333,2321,157,0 129,0,2024-09-07 08:37:51:001,39407,0.3,39225,0.5,78304,0.2,104528,1.50 129,1,2024-09-07 08:37:50:574,275438,275438,0,0,128421797969,1347900637,272511,2534,393,379,391835,0 129,2,2024-09-07 08:37:50:686,197103,197103,0,0,8404629,0,4031 129,3,2024-09-07 08:37:50:688,1,157,13,0,173,1910,157,0 130,0,2024-09-07 08:37:51:746,44822,0.8,44607,0.8,89607,1.0,119695,2.00 130,1,2024-09-07 08:37:50:598,276516,276516,0,0,128985784672,1346353738,274409,2036,71,381,391825,0 130,2,2024-09-07 08:37:51:129,201606,201606,0,0,8288593,0,4067 130,3,2024-09-07 08:37:51:304,1,157,1,0,450,1943,157,0 131,0,2024-09-07 08:37:51:949,38380,0.6,38577,0.7,78050,0.7,103216,1.75 131,1,2024-09-07 08:37:51:823,277621,277621,0,0,129525168833,1349265358,276155,1260,206,383,391865,0 131,2,2024-09-07 08:37:50:572,201510,201510,0,0,7858093,0,2415 131,3,2024-09-07 08:37:51:693,1,157,6,0,392,1838,157,0 132,0,2024-09-07 08:37:51:469,37247,0.5,37687,0.7,75476,0.4,100252,2.00 132,1,2024-09-07 08:37:50:582,274955,274955,0,0,128579451094,1363362508,269542,4382,1031,381,391760,0 132,2,2024-09-07 08:37:50:700,194932,194932,0,0,10773769,0,4606 132,3,2024-09-07 08:37:51:688,1,157,4,0,356,3008,157,0 133,0,2024-09-07 08:37:51:549,36614,0.4,37473,0.6,76857,0.3,99837,1.75 133,1,2024-09-07 08:37:50:587,274689,274689,0,0,128659788301,1361451396,270185,3863,641,383,391914,0 133,2,2024-09-07 08:37:51:090,196433,196433,0,0,10843234,0,4315 133,3,2024-09-07 08:37:51:297,1,157,10,0,187,1432,157,0 134,0,2024-09-07 08:37:50:978,43627,0.6,43527,0.8,87785,0.6,116729,2.00 134,1,2024-09-07 08:37:50:591,275259,275259,0,0,128776918271,1354721092,270880,3157,1222,366,391718,0 134,2,2024-09-07 08:37:51:771,199115,199115,0,0,9229019,0,3096 134,3,2024-09-07 08:37:50:752,1,157,4,0,739,2960,157,0 135,0,2024-09-07 08:37:51:131,38115,1.7,38057,1.4,80642,2.0,104013,2.50 135,1,2024-09-07 08:37:51:589,275059,275059,0,0,129099996975,1361715822,270869,3486,704,380,391805,0 135,2,2024-09-07 08:37:50:687,201785,201785,0,0,9970219,0,3981 135,3,2024-09-07 08:37:51:012,1,157,2,0,89,874,157,0 136,0,2024-09-07 08:37:51:650,37559,0.5,37401,0.8,75260,0.5,99818,2.00 136,1,2024-09-07 08:37:51:444,275459,275459,0,0,129003354091,1353736779,272162,3124,173,383,391641,0 136,2,2024-09-07 08:37:51:165,197334,197334,0,0,9284240,0,3506 136,3,2024-09-07 08:37:51:108,1,157,21,0,108,1324,157,0 137,0,2024-09-07 08:37:50:990,37194,0.5,36131,0.7,71236,0.4,96701,1.75 137,1,2024-09-07 08:37:50:582,275921,275921,0,0,129353765564,1356368078,271788,3714,419,367,391608,0 137,2,2024-09-07 08:37:51:707,196185,196185,0,0,10696580,0,3185 137,3,2024-09-07 08:37:50:771,1,157,5,1,227,1859,157,0 138,0,2024-09-07 08:37:51:755,40877,1.3,40889,1.1,82544,1.8,110390,2.25 138,1,2024-09-07 08:37:51:688,275984,275984,0,0,129096900763,1351358952,272944,2688,352,368,391954,0 138,2,2024-09-07 08:37:50:595,198831,198831,0,0,8759586,0,3263 138,3,2024-09-07 08:37:50:627,1,157,1,0,1160,2965,157,0 139,0,2024-09-07 08:37:51:373,40490,3.0,40686,1.8,81962,4.3,109569,3.25 139,1,2024-09-07 08:37:50:586,274717,274717,0,0,128151012144,1362312662,269491,3902,1324,381,391892,0 139,2,2024-09-07 08:37:50:704,199842,199842,0,0,9961732,0,3097 139,3,2024-09-07 08:37:51:671,1,157,2,0,244,1826,157,0 140,0,2024-09-07 08:37:51:593,37550,0.4,37231,0.6,75010,0.3,99223,1.75 140,1,2024-09-07 08:37:51:537,277047,277047,0,0,129749229302,1339977965,275531,1276,240,365,391606,0 140,2,2024-09-07 08:37:50:686,199273,199273,0,0,8481120,0,3388 140,3,2024-09-07 08:37:50:772,1,157,1,0,247,1434,157,0 141,0,2024-09-07 08:37:51:703,36579,0.2,37693,0.4,72192,0.1,97639,1.50 141,1,2024-09-07 08:37:50:860,276798,276798,0,0,129457591672,1345219118,274859,1586,353,379,391614,0 141,2,2024-09-07 08:37:51:686,196031,196031,0,0,7958540,0,2342 141,3,2024-09-07 08:37:51:044,1,157,1,0,147,1307,157,0 142,0,2024-09-07 08:37:51:363,40329,0.3,40015,0.5,79418,0.2,106667,1.50 142,1,2024-09-07 08:37:50:585,276543,276543,0,0,129559454494,1351521478,274999,1385,159,383,391728,0 142,2,2024-09-07 08:37:51:309,196022,195990,32,0,9278638,0,6028 142,3,2024-09-07 08:37:51:750,1,157,5,0,484,2168,157,0 143,0,2024-09-07 08:37:51:458,43421,0.7,43423,0.7,87381,0.8,115671,2.00 143,1,2024-09-07 08:37:50:561,276559,276559,0,0,129388165876,1344742936,274449,2067,43,367,391619,0 143,2,2024-09-07 08:37:50:772,201723,201723,0,0,8597601,0,2669 143,3,2024-09-07 08:37:51:140,1,157,1,0,303,2602,157,0 144,0,2024-09-07 08:37:51:496,37020,0.9,38133,1.4,77226,0.9,101881,2.25 144,1,2024-09-07 08:37:50:572,275601,275601,0,0,128191951782,1346902887,273206,2192,203,381,391649,0 144,2,2024-09-07 08:37:51:773,200851,200851,0,0,8450917,0,3473 144,3,2024-09-07 08:37:51:743,1,157,5,0,249,2100,157,0 145,0,2024-09-07 08:37:51:372,35893,0.5,35910,0.8,76129,0.4,98757,2.00 145,1,2024-09-07 08:37:50:556,274377,274377,0,0,128570505741,1358588368,269984,3656,737,383,391615,0 145,2,2024-09-07 08:37:51:438,194890,194890,0,0,9386897,0,3903 145,3,2024-09-07 08:37:50:905,1,157,1,0,151,1841,157,0 146,0,2024-09-07 08:37:51:620,38498,0.4,38299,0.7,77364,0.4,102649,2.00 146,1,2024-09-07 08:37:51:664,276405,276405,0,0,128744438900,1352426514,271951,3594,860,368,391629,0 146,2,2024-09-07 08:37:51:720,197385,197385,0,0,8960564,0,2498 146,3,2024-09-07 08:37:51:274,1,157,1,0,1520,5172,157,0 147,0,2024-09-07 08:37:51:711,43612,0.9,43484,1.0,86448,0.9,117026,2.25 147,1,2024-09-07 08:37:51:372,277103,277103,0,0,129165164371,1347519976,274003,2605,495,368,391791,0 147,2,2024-09-07 08:37:51:018,201254,201254,0,0,8416055,0,2789 147,3,2024-09-07 08:37:50:916,1,157,1,0,371,1988,157,0 0,0,2024-09-07 08:38:01:717,36020,0.4,36068,0.7,76311,0.4,98833,1.75 0,1,2024-09-07 08:38:00:806,277727,277727,0,0,130472889877,1366376227,275761,1830,136,372,391772,0 0,2,2024-09-07 08:38:01:072,198229,198229,0,0,8686971,0,4480 0,3,2024-09-07 08:38:00:994,1,158,2,0,247,2046,158,0 1,0,2024-09-07 08:38:01:756,43255,1.4,42927,1.2,86082,1.9,115655,2.25 1,1,2024-09-07 08:38:00:561,277424,277424,0,0,129225877589,1358585944,273988,2569,867,371,391857,0 1,2,2024-09-07 08:38:00:644,199695,199695,0,0,7911037,0,3267 1,3,2024-09-07 08:38:01:303,1,158,11,0,262,1966,158,0 2,0,2024-09-07 08:38:01:569,40526,1.2,40706,1.1,80950,1.7,107967,2.25 2,1,2024-09-07 08:38:00:861,277649,277649,0,0,130333292623,1356542618,276141,1302,206,380,391745,0 2,2,2024-09-07 08:38:01:274,202765,202765,0,0,8749718,0,3594 2,3,2024-09-07 08:38:00:692,1,158,1,0,357,1688,158,0 3,0,2024-09-07 08:38:01:745,37135,0.5,37345,0.7,74733,0.5,98852,2.00 3,1,2024-09-07 08:38:01:618,277485,277485,0,0,129451140117,1353496415,274376,2685,424,380,391516,0 3,2,2024-09-07 08:38:01:143,198343,198320,23,0,8663314,0,5851 3,3,2024-09-07 08:38:01:752,1,158,2,0,103,1007,158,0 4,0,2024-09-07 08:38:01:771,35019,0.3,35998,0.5,73178,0.2,95700,1.75 4,1,2024-09-07 08:38:00:610,277921,277921,0,0,130165882832,1369376647,274942,2457,522,371,391846,0 4,2,2024-09-07 08:38:01:023,196673,196673,0,0,10341510,0,4528 4,3,2024-09-07 08:38:01:029,1,158,1,0,287,2180,158,0 5,0,2024-09-07 08:38:01:401,40590,0.5,40618,0.6,81243,0.5,108538,1.75 5,1,2024-09-07 08:38:00:771,277125,277125,0,0,129396916317,1366051211,272920,3217,988,368,392005,0 5,2,2024-09-07 08:38:01:837,198547,198547,0,0,8944841,0,2259 5,3,2024-09-07 08:38:01:732,1,158,1,0,238,2392,158,0 6,0,2024-09-07 08:38:00:927,43261,1.0,42832,1.0,85074,1.4,114067,2.50 6,1,2024-09-07 08:38:00:749,278161,278161,0,0,129776148223,1361438160,274762,2752,647,381,391617,0 6,2,2024-09-07 08:38:01:116,203304,203304,0,0,9193422,0,4816 6,3,2024-09-07 08:38:01:277,1,158,29,0,340,2320,158,0 7,0,2024-09-07 08:38:01:536,37061,0.5,36933,0.7,74167,0.4,98622,2.00 7,1,2024-09-07 08:38:00:852,277720,277720,0,0,130619856584,1369045667,274903,2685,132,382,391664,0 7,2,2024-09-07 08:38:00:776,200716,200716,0,0,8407699,0,2981 7,3,2024-09-07 08:38:00:851,1,158,1,0,305,1694,158,0 8,0,2024-09-07 08:38:01:396,37345,0.3,37419,0.4,74573,0.2,99430,1.50 8,1,2024-09-07 08:38:01:016,277247,277247,0,0,129763905206,1372983732,271637,4010,1600,367,391956,0 8,2,2024-09-07 08:38:00:807,194396,194396,0,0,10018912,0,2986 8,3,2024-09-07 08:38:00:622,1,158,12,0,357,2604,158,0 9,0,2024-09-07 08:38:01:105,39619,0.3,38447,0.5,80334,0.3,105622,1.75 9,1,2024-09-07 08:38:00:556,277063,277063,0,0,129866630388,1372439903,272513,3420,1130,370,391753,0 9,2,2024-09-07 08:38:01:086,197994,197994,0,0,9238077,0,3360 9,3,2024-09-07 08:38:01:766,1,158,0,0,496,2650,158,0 10,0,2024-09-07 08:38:01:603,44991,0.4,44671,0.6,89761,0.3,119270,1.75 10,1,2024-09-07 08:38:00:583,277511,277511,0,0,129487107829,1361297619,273040,3830,641,381,391741,0 10,2,2024-09-07 08:38:00:764,202516,202516,0,0,9538161,0,2940 10,3,2024-09-07 08:38:00:872,1,158,1,0,177,1237,158,0 11,0,2024-09-07 08:38:01:015,37972,0.8,37086,1.0,77641,0.9,103602,2.25 11,1,2024-09-07 08:38:00:573,277762,277762,0,0,129536129708,1365013647,272458,4034,1270,384,391537,0 11,2,2024-09-07 08:38:01:125,202061,202061,0,0,9301272,0,3411 11,3,2024-09-07 08:38:01:302,1,158,4,0,720,2822,158,0 12,0,2024-09-07 08:38:01:005,38152,0.3,37808,0.5,75927,0.2,100831,1.50 12,1,2024-09-07 08:38:00:950,277471,277471,0,0,129189841939,1351998074,274581,2478,412,370,391837,0 12,2,2024-09-07 08:38:01:552,197564,197564,0,0,9387468,0,3469 12,3,2024-09-07 08:38:01:059,1,158,1,0,358,2688,158,0 13,0,2024-09-07 08:38:01:369,38131,0.3,38081,0.5,76012,0.3,101706,1.75 13,1,2024-09-07 08:38:01:530,277494,277494,0,0,129636970020,1362071843,275073,2012,409,382,391717,0 13,2,2024-09-07 08:38:00:634,199099,199099,0,0,8596000,0,3287 13,3,2024-09-07 08:38:01:779,1,158,2,0,467,3202,158,0 14,0,2024-09-07 08:38:00:586,44271,0.4,44439,0.6,88058,0.4,117549,1.75 14,1,2024-09-07 08:38:01:560,279376,279376,0,0,130427929983,1354581373,277109,2104,163,364,391571,0 14,2,2024-09-07 08:38:00:764,200675,200675,0,0,8764214,0,2896 14,3,2024-09-07 08:38:01:115,1,158,1,0,1168,2895,158,0 15,0,2024-09-07 08:38:01:555,39372,1.1,39405,1.1,79037,1.4,105412,2.50 15,1,2024-09-07 08:38:01:616,277498,277498,0,0,129756841023,1352065676,275584,1805,109,381,391619,0 15,2,2024-09-07 08:38:00:999,203695,203695,0,0,7421825,0,3043 15,3,2024-09-07 08:38:01:405,1,158,0,0,1126,4292,158,0 16,0,2024-09-07 08:38:00:970,37527,0.5,37868,0.7,74991,0.5,100252,2.00 16,1,2024-09-07 08:38:00:571,278226,278226,0,0,129775021690,1358612058,276001,1963,262,370,391756,0 16,2,2024-09-07 08:38:01:439,196769,196769,0,0,9699489,0,4719 16,3,2024-09-07 08:38:01:142,1,158,1,0,231,2222,158,0 17,0,2024-09-07 08:38:01:792,37581,0.4,36652,0.6,72045,0.4,97631,1.75 17,1,2024-09-07 08:38:00:610,277165,277165,0,0,129045972019,1358919323,273808,2685,672,368,391688,0 17,2,2024-09-07 08:38:01:673,200094,200094,0,0,8650452,0,2857 17,3,2024-09-07 08:38:00:600,1,158,2,0,268,2472,158,0 18,0,2024-09-07 08:38:00:958,41114,0.8,41367,0.9,82694,0.8,110959,2.25 18,1,2024-09-07 08:38:01:638,277811,277811,0,0,130200623963,1353268372,275803,1774,234,368,391564,0 18,2,2024-09-07 08:38:01:765,199414,199414,0,0,8663199,0,3541 18,3,2024-09-07 08:38:00:898,1,158,0,0,163,1732,158,0 19,0,2024-09-07 08:38:01:545,41153,1.2,41619,1.1,82140,1.5,109066,2.75 19,1,2024-09-07 08:38:00:570,277882,277882,0,0,130117947759,1358958336,274069,3097,716,367,391777,0 19,2,2024-09-07 08:38:01:965,203960,203960,0,0,7931135,0,3988 19,3,2024-09-07 08:38:01:132,1,158,1,0,524,1487,158,0 20,0,2024-09-07 08:38:01:379,37261,0.6,37196,0.8,74662,0.7,99215,2.25 20,1,2024-09-07 08:38:00:573,277079,277079,0,0,129568844525,1361895541,273614,3074,391,369,391822,0 20,2,2024-09-07 08:38:00:961,199517,199517,0,0,9038325,0,3721 20,3,2024-09-07 08:38:00:609,1,158,4,0,414,2862,158,0 21,0,2024-09-07 08:38:01:149,37087,0.3,37116,0.5,73795,0.3,97422,1.75 21,1,2024-09-07 08:38:01:548,277172,277172,0,0,128840045587,1359383616,273507,3133,532,368,391962,0 21,2,2024-09-07 08:38:01:071,197095,197095,0,0,9780399,0,3747 21,3,2024-09-07 08:38:01:405,1,158,4,0,103,1936,158,0 22,0,2024-09-07 08:38:01:722,40072,0.6,40066,0.8,79705,0.6,106691,2.00 22,1,2024-09-07 08:38:01:023,276860,276860,0,0,129253165227,1367438351,271318,4033,1509,382,391667,0 22,2,2024-09-07 08:38:00:765,198300,198300,0,0,8433454,0,3134 22,3,2024-09-07 08:38:01:070,1,158,2,0,228,1820,158,0 23,0,2024-09-07 08:38:01:374,43172,0.9,43273,0.9,86762,1.2,115411,2.50 23,1,2024-09-07 08:38:01:019,277731,277731,0,0,130195097636,1364702023,273636,2613,1482,365,391549,0 23,2,2024-09-07 08:38:01:099,202397,202397,0,0,8763745,0,3010 23,3,2024-09-07 08:38:01:755,1,158,1,0,645,1794,158,0 24,0,2024-09-07 08:38:01:004,38989,0.5,39039,0.6,78068,0.5,103635,1.75 24,1,2024-09-07 08:38:00:619,277884,277884,0,0,129371163979,1355951741,274923,2162,799,369,391640,0 24,2,2024-09-07 08:38:01:086,200685,200685,0,0,9980753,0,2942 24,3,2024-09-07 08:38:01:686,1,158,3,0,234,1801,158,0 25,0,2024-09-07 08:38:01:363,38462,0.4,37510,0.6,73638,0.3,100265,1.75 25,1,2024-09-07 08:38:00:572,277588,277588,0,0,129294541143,1359540679,273898,3147,543,371,391788,0 25,2,2024-09-07 08:38:01:615,195506,195506,0,0,9996600,0,3978 25,3,2024-09-07 08:38:01:009,1,158,1,0,255,1990,158,0 26,0,2024-09-07 08:38:01:727,38625,0.3,37765,0.5,79197,0.3,103531,1.75 26,1,2024-09-07 08:38:01:541,277792,277792,0,0,129786510324,1365058486,273132,3759,901,381,391564,0 26,2,2024-09-07 08:38:00:861,198842,198842,0,0,9142034,0,2809 26,3,2024-09-07 08:38:01:715,1,158,1,0,796,2219,158,0 27,0,2024-09-07 08:38:01:738,44419,0.5,44454,0.7,88161,0.6,118220,1.75 27,1,2024-09-07 08:38:01:684,279334,279334,0,0,130334725283,1354980009,277514,1497,323,381,391539,0 27,2,2024-09-07 08:38:00:874,200707,200707,0,0,9916086,0,3409 27,3,2024-09-07 08:38:01:018,1,158,0,0,564,1931,158,0 28,0,2024-09-07 08:38:01:395,38848,0.9,39030,0.9,78562,1.1,104895,2.50 28,1,2024-09-07 08:38:00:799,278374,278374,0,0,130675249671,1363587861,276212,1826,336,383,391646,0 28,2,2024-09-07 08:38:01:766,202952,202952,0,0,7806440,0,2915 28,3,2024-09-07 08:38:01:782,1,158,1,0,502,2003,158,0 29,0,2024-09-07 08:38:01:415,38644,0.3,37824,0.6,73855,0.3,100924,1.75 29,1,2024-09-07 08:38:01:565,279353,279353,0,0,129699972671,1346023078,276925,1885,543,369,391621,0 29,2,2024-09-07 08:38:00:870,196795,196795,0,0,7554520,0,4018 29,3,2024-09-07 08:38:00:966,1,158,3,0,105,1226,158,0 30,0,2024-09-07 08:38:01:458,37048,0.5,36025,0.7,75301,0.4,98998,2.00 30,1,2024-09-07 08:38:00:571,278854,278854,0,0,130182562213,1353769248,276867,1709,278,382,391672,0 30,2,2024-09-07 08:38:01:274,199199,199199,0,0,7588070,0,3161 30,3,2024-09-07 08:38:00:581,1,158,0,0,195,1206,158,0 31,0,2024-09-07 08:38:01:774,42716,0.5,43055,0.7,86676,0.5,115384,2.00 31,1,2024-09-07 08:38:00:570,279270,279270,0,0,130166998111,1335185387,278469,689,112,356,391553,0 31,2,2024-09-07 08:38:01:276,199966,199966,0,0,9367410,0,3525 31,3,2024-09-07 08:38:01:706,1,158,0,0,220,1338,158,0 32,0,2024-09-07 08:38:01:435,40568,0.5,40935,0.7,81919,0.5,108690,1.75 32,1,2024-09-07 08:38:00:804,278619,278619,0,0,130347115836,1355217927,276877,1467,275,382,391595,0 32,2,2024-09-07 08:38:00:946,203057,203057,0,0,7743966,0,3155 32,3,2024-09-07 08:38:01:024,1,158,5,0,227,1469,158,0 33,0,2024-09-07 08:38:01:517,37822,0.3,37179,0.5,75167,0.3,99824,1.75 33,1,2024-09-07 08:38:00:582,279315,279315,0,0,130507691877,1353257413,277056,2087,172,369,391730,0 33,2,2024-09-07 08:38:00:764,198256,198223,33,0,9523222,0,7012 33,3,2024-09-07 08:38:00:909,1,158,10,0,329,1859,158,0 34,0,2024-09-07 08:38:00:935,36166,0.3,37331,0.4,71488,0.2,95837,1.50 34,1,2024-09-07 08:38:01:046,279253,279253,0,0,131130862813,1351808955,278258,989,6,367,391562,0 34,2,2024-09-07 08:38:00:768,197120,197120,0,0,8476822,0,3577 34,3,2024-09-07 08:38:01:688,1,158,1,0,148,1044,158,0 35,0,2024-09-07 08:38:00:861,40362,0.4,40646,0.5,81329,0.4,108901,1.75 35,1,2024-09-07 08:38:01:074,278150,278150,0,0,129595642257,1348709016,275350,2030,770,384,391589,0 35,2,2024-09-07 08:38:01:584,199120,199120,0,0,7857188,0,2653 35,3,2024-09-07 08:38:00:916,1,158,0,0,418,1971,158,0 36,0,2024-09-07 08:38:01:537,42828,1.0,42676,1.0,85974,1.3,113674,2.50 36,1,2024-09-07 08:38:00:583,277946,277946,0,0,130095704913,1365803089,273326,3332,1288,366,391759,0 36,2,2024-09-07 08:38:01:757,202146,202146,0,0,9331084,0,3303 36,3,2024-09-07 08:38:00:863,1,158,1,0,378,2185,158,0 37,0,2024-09-07 08:38:01:385,37133,0.5,37142,0.7,74154,0.4,99564,2.00 37,1,2024-09-07 08:38:00:578,277231,277224,0,7,129458211863,1364299376,272451,2754,2019,365,391560,0 37,2,2024-09-07 08:38:01:149,200782,200767,15,0,9424276,0,5815 37,3,2024-09-07 08:38:01:765,1,158,1,0,724,2463,158,0 38,0,2024-09-07 08:38:01:439,37141,0.4,36138,0.6,75361,0.3,99062,2.00 38,1,2024-09-07 08:38:01:605,278146,278146,0,0,129731115875,1359257867,274108,3406,632,368,391821,0 38,2,2024-09-07 08:38:00:763,196881,196834,47,0,10971569,0,6710 38,3,2024-09-07 08:38:00:997,1,158,1,0,603,2188,158,0 39,0,2024-09-07 08:38:01:767,40617,0.6,39722,0.7,77541,0.6,106100,2.00 39,1,2024-09-07 08:38:00:718,278095,278095,0,0,129241040682,1351311852,274307,2987,801,365,391524,0 39,2,2024-09-07 08:38:01:428,198232,198232,0,0,8109072,0,2689 39,3,2024-09-07 08:38:00:714,1,158,1,0,276,2065,158,0 40,0,2024-09-07 08:38:01:492,44214,1.0,44925,1.2,89290,1.0,118782,3.00 40,1,2024-09-07 08:38:00:582,278189,278189,0,0,128775267294,1351606668,273774,3646,769,368,391591,0 40,2,2024-09-07 08:38:01:313,201713,201712,1,0,10337648,0,5137 40,3,2024-09-07 08:38:01:142,1,158,2,0,181,1604,158,0 41,0,2024-09-07 08:38:01:025,38031,2.1,38818,1.7,74396,3.4,102172,4.25 41,1,2024-09-07 08:38:00:768,277529,277529,0,0,129882708627,1361761971,273335,3661,533,370,391742,0 41,2,2024-09-07 08:38:00:763,200762,200762,0,0,10133363,0,3356 41,3,2024-09-07 08:38:01:676,1,158,6,0,366,1965,158,0 42,0,2024-09-07 08:38:01:476,37135,0.5,37573,0.8,74859,0.4,98970,2.25 42,1,2024-09-07 08:38:01:439,276892,276892,0,0,129553075208,1365062745,272177,3757,958,380,391675,0 42,2,2024-09-07 08:38:01:138,195848,195848,0,0,9701045,0,3568 42,3,2024-09-07 08:38:01:009,1,158,1,0,446,1430,158,0 43,0,2024-09-07 08:38:00:925,37850,0.6,37069,0.9,76996,0.6,101639,2.00 43,1,2024-09-07 08:38:00:577,278062,278062,0,0,130162773755,1358656197,274819,2450,793,366,391604,0 43,2,2024-09-07 08:38:01:736,198975,198975,0,0,9041425,0,3812 43,3,2024-09-07 08:38:01:749,1,158,18,0,325,2138,158,0 44,0,2024-09-07 08:38:00:858,44151,0.5,44354,0.7,88315,0.5,118083,1.75 44,1,2024-09-07 08:38:00:577,278330,278330,0,0,129632466691,1341417838,276272,1633,425,356,391809,0 44,2,2024-09-07 08:38:01:288,200473,200473,0,0,7525350,0,1877 44,3,2024-09-07 08:38:01:093,1,158,0,0,817,2093,158,0 45,0,2024-09-07 08:38:01:759,38904,1.4,38150,1.2,80077,1.8,106040,2.25 45,1,2024-09-07 08:38:01:005,278371,278371,0,0,130267428479,1351509714,277332,1029,10,382,391917,0 45,2,2024-09-07 08:38:01:273,203461,203461,0,0,8207349,0,3596 45,3,2024-09-07 08:38:00:939,1,158,224,0,226,1658,158,0 46,0,2024-09-07 08:38:00:952,37483,0.4,37334,0.6,74974,0.3,99283,1.75 46,1,2024-09-07 08:38:00:578,278863,278863,0,0,129824156136,1344190463,277054,1586,223,366,391524,0 46,2,2024-09-07 08:38:00:594,198150,198150,0,0,8108249,0,2920 46,3,2024-09-07 08:38:01:131,1,158,2,0,908,2905,158,0 47,0,2024-09-07 08:38:01:108,36502,0.3,36736,0.5,73661,0.2,97316,1.75 47,1,2024-09-07 08:38:00:573,278923,278923,0,0,129867950399,1342065919,277521,1385,17,366,391605,0 47,2,2024-09-07 08:38:00:918,199231,199231,0,0,8204437,0,2558 47,3,2024-09-07 08:38:01:115,1,158,1,0,529,1791,158,0 48,0,2024-09-07 08:38:01:511,41999,0.3,41598,0.5,83432,0.2,111546,1.75 48,1,2024-09-07 08:38:01:031,278941,278941,0,0,130406058909,1356740607,276880,1880,181,384,391710,0 48,2,2024-09-07 08:38:00:705,199843,199843,0,0,7058807,0,2083 48,3,2024-09-07 08:38:00:753,1,158,0,0,339,1433,158,0 49,0,2024-09-07 08:38:01:730,42769,0.6,41937,0.7,81461,0.6,111074,1.75 49,1,2024-09-07 08:38:01:031,277464,277464,0,0,129622991190,1353513998,274726,1898,840,382,391583,0 49,2,2024-09-07 08:38:01:798,203689,203689,0,0,8199755,0,3900 49,3,2024-09-07 08:38:01:416,1,158,7,0,408,2342,158,0 50,0,2024-09-07 08:38:01:541,37395,0.3,37106,0.5,74284,0.2,99168,1.75 50,1,2024-09-07 08:38:01:010,279921,279921,0,0,131007446333,1359975776,277395,2217,309,368,391530,0 50,2,2024-09-07 08:38:01:072,199812,199812,0,0,7745705,0,2253 50,3,2024-09-07 08:38:01:302,1,158,1,0,335,1333,158,0 51,0,2024-09-07 08:38:01:690,37584,0.3,37087,0.4,72144,0.2,97727,1.50 51,1,2024-09-07 08:38:01:680,278136,278136,0,0,130812527280,1354206732,276167,1183,786,367,391637,0 51,2,2024-09-07 08:38:01:316,196977,196977,0,0,7279900,0,3337 51,3,2024-09-07 08:38:01:027,1,158,1,0,162,905,158,0 52,0,2024-09-07 08:38:01:420,40320,0.5,40295,0.7,80657,0.5,107083,2.00 52,1,2024-09-07 08:38:00:582,277418,277418,0,0,129539970219,1368095450,271506,4754,1158,368,391722,0 52,2,2024-09-07 08:38:01:756,196906,196868,38,0,9934263,0,6742 52,3,2024-09-07 08:38:00:675,1,158,1,0,1782,3524,158,0 53,0,2024-09-07 08:38:01:752,43191,1.1,41925,1.0,87712,1.3,114834,2.75 53,1,2024-09-07 08:38:00:773,277094,277094,0,0,129569008586,1369644674,271466,3734,1894,367,391702,0 53,2,2024-09-07 08:38:01:306,202980,202980,0,0,8301369,0,2262 53,3,2024-09-07 08:38:00:701,1,158,1,0,271,1625,158,0 54,0,2024-09-07 08:38:01:615,37408,2.1,37926,1.3,75165,1.0,100852,4.00 54,1,2024-09-07 08:38:00:583,277745,277745,0,0,130475567663,1364097770,273775,3399,571,367,391532,0 54,2,2024-09-07 08:38:00:864,201245,201239,6,0,9895236,0,5382 54,3,2024-09-07 08:38:00:763,1,158,2,0,676,3173,158,0 55,0,2024-09-07 08:38:01:762,36146,0.5,37295,0.7,75556,0.4,98241,2.25 55,1,2024-09-07 08:38:00:768,277378,277378,0,0,129306594157,1356244794,272191,4400,787,365,391731,0 55,2,2024-09-07 08:38:00:729,195787,195787,0,0,9397115,0,3275 55,3,2024-09-07 08:38:00:675,1,158,1,0,304,1700,158,0 56,0,2024-09-07 08:38:01:567,39872,1.1,37722,1.0,77416,1.6,103972,2.50 56,1,2024-09-07 08:38:00:614,277334,277334,0,0,130336309166,1381486875,272172,4258,904,381,391678,0 56,2,2024-09-07 08:38:01:315,198122,198122,0,0,10161597,0,3567 56,3,2024-09-07 08:38:01:061,1,158,0,0,405,2209,158,0 57,0,2024-09-07 08:38:00:985,43304,2.3,43362,1.5,87005,3.4,117103,4.00 57,1,2024-09-07 08:38:01:008,277792,277792,0,0,129818362791,1360071233,274963,2659,170,368,391960,0 57,2,2024-09-07 08:38:01:323,202239,202239,0,0,10363227,0,3178 57,3,2024-09-07 08:38:01:746,1,158,10,0,359,2725,158,0 58,0,2024-09-07 08:38:00:560,37855,1.4,36899,1.2,77281,2.2,101520,3.00 58,1,2024-09-07 08:38:00:577,278633,278630,0,3,130250914789,1361804740,275114,3119,397,367,391516,3 58,2,2024-09-07 08:38:01:072,202359,202359,0,0,9490013,0,2549 58,3,2024-09-07 08:38:01:071,1,158,1,0,1043,2311,158,0 59,0,2024-09-07 08:38:01:741,37826,0.8,37537,1.0,74931,0.8,99732,3.00 59,1,2024-09-07 08:38:00:814,277566,277566,0,0,129486990250,1356466031,273919,2725,922,369,391515,0 59,2,2024-09-07 08:38:00:602,197524,197524,0,0,8182256,0,2604 59,3,2024-09-07 08:38:01:737,1,158,1,0,1015,2873,158,0 60,0,2024-09-07 08:38:01:737,37341,0.4,37416,0.6,74486,0.3,99035,1.75 60,1,2024-09-07 08:38:00:778,279090,279090,0,0,130359902943,1352466847,277633,1099,358,370,391761,0 60,2,2024-09-07 08:38:01:152,198205,198205,0,0,9397266,0,3811 60,3,2024-09-07 08:38:01:271,1,158,8,0,124,1567,158,0 61,0,2024-09-07 08:38:01:584,43036,1.3,43249,1.0,86218,2.0,115469,2.25 61,1,2024-09-07 08:38:00:790,277696,277696,0,0,129415811961,1358992017,274258,2885,553,382,391589,0 61,2,2024-09-07 08:38:01:118,200855,200855,0,0,8344034,0,2079 61,3,2024-09-07 08:38:01:687,1,158,1,0,199,1913,158,0 62,0,2024-09-07 08:38:01:709,40883,1.6,41630,1.1,79799,2.6,108373,2.25 62,1,2024-09-07 08:38:01:116,279998,279992,0,6,131046972535,1353123044,278314,1639,39,365,391715,6 62,2,2024-09-07 08:38:01:644,201974,201973,1,0,9896680,0,5555 62,3,2024-09-07 08:38:01:143,1,158,1,0,287,1170,158,0 63,0,2024-09-07 08:38:01:466,37346,0.5,37321,0.7,74856,0.5,99537,2.00 63,1,2024-09-07 08:38:00:804,279279,279273,0,6,130580041058,1353695689,278031,1216,26,381,391677,6 63,2,2024-09-07 08:38:00:763,197990,197990,0,0,7631571,0,2674 63,3,2024-09-07 08:38:01:732,1,158,1,0,667,2383,158,0 64,0,2024-09-07 08:38:01:522,35958,0.4,35909,0.6,72087,0.3,95658,1.75 64,1,2024-09-07 08:38:00:753,278745,278745,0,0,129938715700,1360334379,275279,2412,1054,370,391783,0 64,2,2024-09-07 08:38:01:156,199334,199315,19,0,8528915,0,6121 64,3,2024-09-07 08:38:01:143,1,158,2,0,265,1802,158,0 65,0,2024-09-07 08:38:01:720,39929,0.8,40470,0.9,80618,1.0,108137,2.25 65,1,2024-09-07 08:38:00:865,277699,277699,0,0,129480525781,1356759109,275192,2283,224,382,391770,0 65,2,2024-09-07 08:38:01:693,198743,198743,0,0,8980122,0,3367 65,3,2024-09-07 08:38:01:687,1,158,2,0,163,1620,158,0 66,0,2024-09-07 08:38:01:786,42346,0.8,42437,0.9,85253,0.8,112944,2.50 66,1,2024-09-07 08:38:01:304,279028,279028,0,0,129878788109,1351823324,277153,1704,171,380,391588,0 66,2,2024-09-07 08:38:01:137,204282,204282,0,0,8377233,0,4956 66,3,2024-09-07 08:38:01:079,1,158,5,0,291,1698,158,0 67,0,2024-09-07 08:38:01:413,37656,0.9,37378,0.9,75078,1.0,99668,2.50 67,1,2024-09-07 08:38:00:766,277763,277762,0,1,129618810600,1357890056,274295,2721,746,381,391787,1 67,2,2024-09-07 08:38:00:600,201510,201510,0,0,7995480,0,2889 67,3,2024-09-07 08:38:01:756,1,158,1,0,138,1278,158,0 68,0,2024-09-07 08:38:00:684,37564,0.4,37391,0.7,74685,0.4,99325,2.00 68,1,2024-09-07 08:38:00:584,277297,277297,0,0,129676156156,1362656428,274442,1837,1018,381,391953,0 68,2,2024-09-07 08:38:01:046,195551,195486,65,0,11284309,0,6698 68,3,2024-09-07 08:38:00:739,1,158,25,0,417,2061,158,0 69,0,2024-09-07 08:38:01:931,39386,0.7,39591,0.8,78717,0.8,105712,2.25 69,1,2024-09-07 08:38:01:016,276755,276755,0,0,130026450781,1376540187,272750,2790,1215,384,391611,0 69,2,2024-09-07 08:38:01:737,198342,198342,0,0,9889634,0,3701 69,3,2024-09-07 08:38:00:774,1,158,6,0,238,1972,158,0 70,0,2024-09-07 08:38:01:582,44105,1.9,44451,1.4,89121,1.3,118196,3.00 70,1,2024-09-07 08:38:00:801,278081,278081,0,0,130543820246,1358359518,275624,1995,462,366,391725,0 70,2,2024-09-07 08:38:01:324,202472,202472,0,0,9120856,0,4044 70,3,2024-09-07 08:38:00:751,1,158,2,0,854,2135,158,0 71,0,2024-09-07 08:38:01:381,37989,2.1,38031,1.7,75748,3.2,102374,3.75 71,1,2024-09-07 08:38:01:608,278038,278038,0,0,130176640503,1364758308,273921,3592,525,368,391682,0 71,2,2024-09-07 08:38:01:066,202644,202644,0,0,9126640,0,2470 71,3,2024-09-07 08:38:01:769,1,158,9,0,644,2566,158,0 72,0,2024-09-07 08:38:01:096,38974,0.5,38038,0.7,74590,0.4,101156,2.25 72,1,2024-09-07 08:38:01:021,277986,277986,0,0,129766795684,1356267527,274729,2771,486,369,391819,0 72,2,2024-09-07 08:38:01:761,195659,195659,0,0,10477380,0,2570 72,3,2024-09-07 08:38:01:765,1,158,228,0,364,3223,158,0 73,0,2024-09-07 08:38:01:100,37050,0.4,38064,0.6,77681,0.3,101322,2.00 73,1,2024-09-07 08:38:00:769,278206,278206,0,0,129626032231,1346635771,276401,1690,115,367,391627,0 73,2,2024-09-07 08:38:01:754,198881,198881,0,0,9815001,0,3482 73,3,2024-09-07 08:38:00:978,1,158,2,0,274,2578,158,0 74,0,2024-09-07 08:38:01:329,44443,0.5,45235,0.7,86426,0.5,117624,2.25 74,1,2024-09-07 08:38:00:635,277865,277865,0,0,129158354588,1350125012,275074,2169,622,382,391681,0 74,2,2024-09-07 08:38:01:003,200900,200900,0,0,9876872,0,4253 74,3,2024-09-07 08:38:01:441,1,158,1,0,522,2754,158,0 75,0,2024-09-07 08:38:01:774,39689,1.5,39391,1.2,78675,1.7,106240,2.75 75,1,2024-09-07 08:38:01:586,277523,277523,0,0,129992109811,1363269229,274130,2933,460,381,391579,0 75,2,2024-09-07 08:38:01:363,202355,202355,0,0,9443454,0,4766 75,3,2024-09-07 08:38:01:075,1,158,1,0,535,1834,158,0 76,0,2024-09-07 08:38:00:600,37313,0.4,37115,0.7,74197,0.3,99553,2.25 76,1,2024-09-07 08:38:00:811,278119,278119,0,0,129804698389,1355799257,276058,1550,511,382,391692,0 76,2,2024-09-07 08:38:01:075,198391,198391,0,0,8085308,0,3064 76,3,2024-09-07 08:38:01:149,1,158,1,0,175,1846,158,0 77,0,2024-09-07 08:38:01:719,36492,0.5,36715,0.7,73494,0.4,97308,1.75 77,1,2024-09-07 08:38:00:850,278894,278894,0,0,129732916882,1353652070,277299,1512,83,383,391808,0 77,2,2024-09-07 08:38:01:282,197857,197857,0,0,8378660,0,3890 77,3,2024-09-07 08:38:01:096,1,158,1,0,305,1991,158,0 78,0,2024-09-07 08:38:01:720,41664,0.5,41465,0.7,83651,0.4,111183,2.00 78,1,2024-09-07 08:38:00:622,278629,278629,0,0,129771706835,1351250137,276299,2077,253,367,391589,0 78,2,2024-09-07 08:38:01:406,200464,200464,0,0,7860343,0,2114 78,3,2024-09-07 08:38:01:137,1,158,1,0,181,1661,158,0 79,0,2024-09-07 08:38:01:364,40273,0.6,41202,0.8,84265,0.5,109507,2.75 79,1,2024-09-07 08:38:00:570,278970,278970,0,0,130419947407,1352551860,276783,2015,172,369,391682,0 79,2,2024-09-07 08:38:01:075,203674,203674,0,0,8104541,0,3212 79,3,2024-09-07 08:38:00:753,1,158,0,0,418,2886,158,0 80,0,2024-09-07 08:38:01:149,37244,0.6,38108,0.8,73234,0.6,99211,2.25 80,1,2024-09-07 08:38:01:636,277428,277428,0,0,129163485423,1347270697,274911,2356,161,368,391673,0 80,2,2024-09-07 08:38:01:100,200259,200259,0,0,8531865,0,4433 80,3,2024-09-07 08:38:00:608,1,158,20,0,190,2609,158,0 81,0,2024-09-07 08:38:01:543,36823,0.4,37923,0.6,72673,0.4,97363,1.75 81,1,2024-09-07 08:38:01:653,277731,277731,0,0,129366797266,1354478700,275253,2206,272,382,391879,0 81,2,2024-09-07 08:38:01:140,196712,196712,0,0,8980076,0,3993 81,3,2024-09-07 08:38:01:124,1,158,22,0,193,1596,158,0 82,0,2024-09-07 08:38:01:539,39995,0.5,40184,0.7,80505,0.4,107235,2.00 82,1,2024-09-07 08:38:00:590,278536,278532,0,4,129723888371,1354556848,276090,1865,577,381,391558,4 82,2,2024-09-07 08:38:01:691,199228,199228,0,0,8246852,0,3986 82,3,2024-09-07 08:38:01:762,1,158,13,0,227,1846,158,0 83,0,2024-09-07 08:38:01:522,43515,0.8,43488,0.8,86290,0.8,114958,2.25 83,1,2024-09-07 08:38:00:557,278027,278027,0,0,129472413758,1354285195,275580,2243,204,382,391690,0 83,2,2024-09-07 08:38:00:764,202366,202366,0,0,8306435,0,3119 83,3,2024-09-07 08:38:00:754,1,158,1,0,241,1889,158,0 84,0,2024-09-07 08:38:01:795,38129,1.4,37938,1.3,76313,1.1,102170,2.75 84,1,2024-09-07 08:38:01:054,277314,277314,0,0,129431189733,1358251487,273925,2935,454,368,391852,0 84,2,2024-09-07 08:38:00:581,201564,201564,0,0,9518338,0,3801 84,3,2024-09-07 08:38:01:142,1,158,2,0,270,1918,158,0 85,0,2024-09-07 08:38:01:061,36103,0.5,36093,0.7,76500,0.4,99372,2.00 85,1,2024-09-07 08:38:00:667,276891,276891,0,0,129509778032,1375431393,271442,4369,1080,382,392006,0 85,2,2024-09-07 08:38:00:866,196646,196646,0,0,10144390,0,3656 85,3,2024-09-07 08:38:00:695,1,158,1,0,789,2466,158,0 86,0,2024-09-07 08:38:00:924,38896,0.5,40005,0.7,76564,0.5,103773,2.00 86,1,2024-09-07 08:38:00:825,277461,277461,0,0,129827908405,1362922778,273504,3412,545,366,391961,0 86,2,2024-09-07 08:38:00:864,197281,197280,1,0,10513029,0,5004 86,3,2024-09-07 08:38:00:596,1,158,0,0,286,2386,158,0 87,0,2024-09-07 08:38:01:335,44020,1.5,43883,1.1,88138,2.3,118949,2.50 87,1,2024-09-07 08:38:00:550,277401,277401,0,0,129099510782,1355216653,274187,2823,391,366,391788,0 87,2,2024-09-07 08:38:01:077,200995,200995,0,0,8933708,0,3515 87,3,2024-09-07 08:38:01:806,1,158,1,0,335,2813,158,0 88,0,2024-09-07 08:38:01:529,39175,0.7,39321,0.8,78413,0.8,104907,1.75 88,1,2024-09-07 08:38:00:572,276663,276663,0,0,129315815822,1359858456,272625,2868,1170,365,391787,0 88,2,2024-09-07 08:38:00:707,202432,202432,0,0,10120109,0,3583 88,3,2024-09-07 08:38:01:318,1,158,24,0,435,2120,158,0 89,0,2024-09-07 08:38:01:829,38836,0.4,37624,0.7,74536,0.4,101165,1.75 89,1,2024-09-07 08:38:00:550,276396,276396,0,0,129659699364,1374004035,270965,4496,935,382,391866,0 89,2,2024-09-07 08:38:01:134,196561,196561,0,0,9778120,0,2910 89,3,2024-09-07 08:38:01:803,1,158,2,0,325,3155,158,0 90,0,2024-09-07 08:38:01:644,36118,0.4,36865,0.5,75797,0.3,98718,1.75 90,1,2024-09-07 08:38:00:591,278250,278250,0,0,129340890631,1360007085,275027,2966,257,382,391825,0 90,2,2024-09-07 08:38:01:420,197463,197463,0,0,10710818,0,3060 90,3,2024-09-07 08:38:00:938,1,158,5,0,200,1896,158,0 91,0,2024-09-07 08:38:00:954,43597,0.5,42059,0.6,87572,0.5,115853,1.75 91,1,2024-09-07 08:38:00:563,277172,277172,0,0,129521656061,1362936549,273424,3251,497,381,392047,0 91,2,2024-09-07 08:38:01:337,199307,199307,0,0,10065484,0,2445 91,3,2024-09-07 08:38:00:606,1,158,1,0,216,1867,158,0 92,0,2024-09-07 08:38:01:482,41103,0.8,42076,0.9,80561,1.0,108779,1.75 92,1,2024-09-07 08:38:00:609,278128,278128,0,0,130086837623,1360364257,275958,1768,402,382,392136,0 92,2,2024-09-07 08:38:01:368,204929,204929,0,0,8422225,0,2801 92,3,2024-09-07 08:38:01:015,1,158,2,0,68,1321,158,0 93,0,2024-09-07 08:38:00:965,37777,0.4,38565,0.6,73807,0.4,100037,1.75 93,1,2024-09-07 08:38:00:808,277808,277808,0,0,129730962807,1357051630,274706,2553,549,366,391692,0 93,2,2024-09-07 08:38:00:936,198580,198580,0,0,8262059,0,2509 93,3,2024-09-07 08:38:01:408,1,158,25,0,143,1701,158,0 94,0,2024-09-07 08:38:01:625,36173,0.3,36456,0.5,72225,0.2,95580,1.50 94,1,2024-09-07 08:38:00:584,277966,277966,0,0,129824474111,1359700623,275522,2349,95,381,391850,0 94,2,2024-09-07 08:38:00:780,197750,197750,0,0,8138647,0,2443 94,3,2024-09-07 08:38:01:689,1,158,3,0,264,2396,158,0 95,0,2024-09-07 08:38:01:504,40773,0.4,40545,0.5,81711,0.3,108741,1.75 95,1,2024-09-07 08:38:00:861,278415,278415,0,0,129584176078,1349931009,276041,2192,182,367,391662,0 95,2,2024-09-07 08:38:01:031,199249,199249,0,0,8438630,0,3308 95,3,2024-09-07 08:38:01:801,1,158,1,0,718,3045,158,0 96,0,2024-09-07 08:38:01:051,42894,0.6,42986,0.7,86279,0.6,113576,1.75 96,1,2024-09-07 08:38:01:590,277449,277449,0,0,130123997447,1363134026,274852,1955,642,385,391894,0 96,2,2024-09-07 08:38:01:274,202892,202892,0,0,9101880,0,4042 96,3,2024-09-07 08:38:01:141,1,158,3,0,188,1845,158,0 97,0,2024-09-07 08:38:01:350,37737,0.4,37585,0.6,75285,0.4,99792,1.75 97,1,2024-09-07 08:38:00:764,278301,278301,0,0,130617549825,1360635499,275505,2248,548,367,392140,0 97,2,2024-09-07 08:38:00:642,200919,200919,0,0,8151475,0,3036 97,3,2024-09-07 08:38:00:575,1,158,3,0,165,1894,158,0 98,0,2024-09-07 08:38:01:755,37444,0.2,37340,0.4,74921,0.2,99486,1.50 98,1,2024-09-07 08:38:00:575,278268,278268,0,0,130128770930,1358147759,276453,1725,90,382,391997,0 98,2,2024-09-07 08:38:00:780,197537,197537,0,0,8530259,0,3080 98,3,2024-09-07 08:38:00:702,1,158,2,0,840,3066,158,0 99,0,2024-09-07 08:38:01:482,39583,0.3,39612,0.4,79087,0.2,105854,1.50 99,1,2024-09-07 08:38:01:730,278354,278354,0,0,130165631982,1361342866,275294,2364,696,381,391744,0 99,2,2024-09-07 08:38:01:417,200373,200373,0,0,8269558,0,2615 99,3,2024-09-07 08:38:00:598,1,158,12,0,129,1129,158,0 100,0,2024-09-07 08:38:01:467,44684,1.2,44595,1.3,89170,2.1,119086,2.50 100,1,2024-09-07 08:38:00:553,275674,275674,0,0,128776076700,1369367169,270420,4323,931,381,391989,0 100,2,2024-09-07 08:38:01:827,201244,201233,11,0,9784148,0,5417 100,3,2024-09-07 08:38:01:731,1,158,3,0,559,3673,158,0 101,0,2024-09-07 08:38:01:718,39178,3.1,38175,1.7,74917,3.8,103595,3.00 101,1,2024-09-07 08:38:00:571,276565,276565,0,0,129405387608,1371356198,271205,3883,1477,368,391769,0 101,2,2024-09-07 08:38:01:757,201739,201739,0,0,10767241,0,4644 101,3,2024-09-07 08:38:00:944,1,158,1,0,448,2025,158,0 102,0,2024-09-07 08:38:00:964,36815,0.6,38215,0.8,76647,0.4,100444,2.00 102,1,2024-09-07 08:38:01:145,277342,277342,0,0,129463120560,1365155559,273271,3359,712,369,391883,0 102,2,2024-09-07 08:38:01:742,197136,197082,54,0,10084903,0,6768 102,3,2024-09-07 08:38:01:618,1,158,1,0,410,1683,158,0 103,0,2024-09-07 08:38:01:662,39135,0.4,39126,0.6,73738,0.3,102021,1.75 103,1,2024-09-07 08:38:01:628,276356,276356,0,0,129296441137,1366760211,271914,3233,1209,381,391829,0 103,2,2024-09-07 08:38:00:587,197942,197942,0,0,9060148,0,2104 103,3,2024-09-07 08:38:00:760,1,158,3,0,916,2914,158,0 104,0,2024-09-07 08:38:01:087,43789,1.4,43977,1.2,86591,1.9,117632,2.50 104,1,2024-09-07 08:38:01:705,278275,278275,0,0,129582139163,1368844892,273276,4037,962,365,391948,0 104,2,2024-09-07 08:38:01:667,200047,200047,0,0,9874479,0,3941 104,3,2024-09-07 08:38:01:424,1,158,4,1,1245,5098,158,0 105,0,2024-09-07 08:38:01:247,39024,1.9,37953,1.5,79204,2.9,105168,3.75 105,1,2024-09-07 08:38:00:564,278399,278399,0,0,129597162182,1362384553,274192,3433,774,367,391797,0 105,2,2024-09-07 08:38:01:330,202229,202229,0,0,9349487,0,3509 105,3,2024-09-07 08:38:01:311,1,158,6,1,399,2379,158,0 106,0,2024-09-07 08:38:00:961,36316,0.7,37280,0.9,75813,0.7,99360,2.25 106,1,2024-09-07 08:38:01:758,277501,277501,0,0,129785815037,1363636806,273713,3404,384,369,391767,0 106,2,2024-09-07 08:38:00:761,196612,196612,0,0,9307680,0,2795 106,3,2024-09-07 08:38:00:682,1,158,3,0,405,2375,158,0 107,0,2024-09-07 08:38:01:110,36553,0.4,36624,0.6,72754,0.3,97452,1.75 107,1,2024-09-07 08:38:00:594,277128,277128,0,0,129779024209,1365262789,274151,2747,230,381,392234,0 107,2,2024-09-07 08:38:01:293,198084,198083,1,0,9459203,0,5024 107,3,2024-09-07 08:38:01:756,1,158,240,0,353,2360,158,0 108,0,2024-09-07 08:38:01:827,41825,0.4,41952,0.6,83380,0.4,111680,1.75 108,1,2024-09-07 08:38:01:306,277561,277561,0,0,130094234320,1359639386,274906,2344,311,368,391857,0 108,2,2024-09-07 08:38:01:775,198300,198300,0,0,8869462,0,2647 108,3,2024-09-07 08:38:01:336,1,158,0,0,667,3230,158,0 109,0,2024-09-07 08:38:01:769,41822,0.5,41565,0.7,83040,0.5,111183,1.75 109,1,2024-09-07 08:38:00:605,277319,277319,0,0,129848640139,1364626315,274542,2281,496,383,392132,0 109,2,2024-09-07 08:38:00:949,202494,202494,0,0,9194749,0,3617 109,3,2024-09-07 08:38:01:148,1,158,1,0,249,2243,158,0 110,0,2024-09-07 08:38:01:785,37482,0.4,36391,0.6,76045,0.3,99507,1.75 110,1,2024-09-07 08:38:01:648,278422,278422,0,0,130347660978,1358076496,275808,1849,765,370,391667,0 110,2,2024-09-07 08:38:01:311,200120,200120,0,0,8139654,0,2915 110,3,2024-09-07 08:38:00:696,1,158,10,0,406,2296,158,0 111,0,2024-09-07 08:38:01:413,37066,0.2,36530,0.4,73110,0.1,97695,1.50 111,1,2024-09-07 08:38:01:005,278857,278857,0,0,130662691851,1357496584,277081,1444,332,382,391690,0 111,2,2024-09-07 08:38:01:116,197007,197007,0,0,8907321,0,2763 111,3,2024-09-07 08:38:00:916,1,158,1,0,379,2544,158,0 112,0,2024-09-07 08:38:00:917,40231,0.3,40238,0.5,80472,0.2,107481,1.50 112,1,2024-09-07 08:38:00:825,279104,279104,0,0,130213395227,1355611470,276777,1901,426,381,391580,0 112,2,2024-09-07 08:38:01:133,198451,198450,1,0,8965835,0,5036 112,3,2024-09-07 08:38:00:595,1,158,1,0,282,1878,158,0 113,0,2024-09-07 08:38:00:869,43301,0.4,43205,0.6,87185,0.4,116078,1.75 113,1,2024-09-07 08:38:01:695,278662,278662,0,0,130316180809,1351167038,276247,1927,488,366,391661,0 113,2,2024-09-07 08:38:01:322,203755,203755,0,0,7902210,0,3813 113,3,2024-09-07 08:38:00:685,1,158,1,0,288,2374,158,0 114,0,2024-09-07 08:38:00:890,38995,0.6,39578,0.7,77503,0.5,104010,2.00 114,1,2024-09-07 08:38:00:725,278300,278300,0,0,129300978265,1356116128,274171,2666,1463,381,391534,0 114,2,2024-09-07 08:38:00:882,201721,201721,0,0,8163540,0,3925 114,3,2024-09-07 08:38:01:279,1,158,1,0,395,1984,158,0 115,0,2024-09-07 08:38:00:562,37313,0.2,37703,0.4,75409,0.2,100099,1.50 115,1,2024-09-07 08:38:00:573,278471,278471,0,0,130063532566,1358530533,274828,2822,821,382,391602,0 115,2,2024-09-07 08:38:01:134,197567,197567,0,0,7618132,0,2152 115,3,2024-09-07 08:38:01:002,1,158,1,0,159,1066,158,0 116,0,2024-09-07 08:38:01:732,39099,0.6,38537,0.8,77597,0.6,103698,2.00 116,1,2024-09-07 08:38:00:810,276187,276187,0,0,128868326682,1372732686,271283,3150,1754,382,391677,0 116,2,2024-09-07 08:38:01:752,197441,197441,0,0,10787297,0,3529 116,3,2024-09-07 08:38:00:923,1,158,47,0,252,2354,158,0 117,0,2024-09-07 08:38:00:986,43837,1.4,43998,1.1,87994,2.1,118798,2.25 117,1,2024-09-07 08:38:01:583,277728,277728,0,0,129567275282,1361476461,274060,3241,427,370,392033,0 117,2,2024-09-07 08:38:01:143,202608,202608,0,0,8690851,0,3700 117,3,2024-09-07 08:38:01:062,1,158,12,0,490,3337,158,0 118,0,2024-09-07 08:38:01:832,38146,1.0,39268,0.9,79677,1.2,104210,2.25 118,1,2024-09-07 08:38:00:587,277257,277257,0,0,128955906776,1363971920,272413,3523,1321,366,391736,0 118,2,2024-09-07 08:38:01:600,201995,201995,0,0,9765240,0,2781 118,3,2024-09-07 08:38:01:791,1,158,7,0,235,2127,158,0 119,0,2024-09-07 08:38:01:510,37385,0.7,37517,0.8,76046,0.6,100209,2.00 119,1,2024-09-07 08:38:00:550,277874,277874,0,0,130079044453,1359707848,275393,2193,288,369,391641,0 119,2,2024-09-07 08:38:01:261,196293,196293,0,0,9006777,0,3526 119,3,2024-09-07 08:38:01:331,1,158,44,0,443,2912,158,0 120,0,2024-09-07 08:38:01:573,37003,0.4,37025,0.7,74020,0.4,98903,2.00 120,1,2024-09-07 08:38:00:866,278049,278049,0,0,129442895499,1361006818,274762,3026,261,368,391961,0 120,2,2024-09-07 08:38:00:777,197840,197839,1,0,11107653,0,5281 120,3,2024-09-07 08:38:01:309,1,158,13,0,241,2209,158,0 121,0,2024-09-07 08:38:01:739,42864,1.6,43274,1.2,86151,2.4,115461,2.25 121,1,2024-09-07 08:38:01:671,277878,277878,0,0,129742749462,1359347120,275123,2467,288,367,391840,0 121,2,2024-09-07 08:38:01:203,199191,199191,0,0,10088061,0,4127 121,3,2024-09-07 08:38:00:733,1,158,1,0,269,2224,158,0 122,0,2024-09-07 08:38:01:779,40527,1.3,39442,1.2,82638,1.4,108858,2.25 122,1,2024-09-07 08:38:00:873,276782,276782,0,0,129387267384,1362038846,272647,3428,707,366,392130,0 122,2,2024-09-07 08:38:01:335,202937,202937,0,0,11169109,0,3364 122,3,2024-09-07 08:38:00:605,1,158,1,0,411,3745,158,0 123,0,2024-09-07 08:38:01:045,37448,0.8,36492,0.8,76418,1.0,99522,2.00 123,1,2024-09-07 08:38:00:569,277972,277972,0,0,129609286889,1367043257,272896,4382,694,369,391823,0 123,2,2024-09-07 08:38:01:031,196764,196763,1,0,9604613,0,5215 123,3,2024-09-07 08:38:01:132,1,158,1,0,168,1976,158,0 124,0,2024-09-07 08:38:01:002,37227,0.3,37181,0.5,70242,0.2,95897,1.50 124,1,2024-09-07 08:38:01:031,278172,278172,0,0,130048784058,1352860253,276087,1729,356,367,392178,0 124,2,2024-09-07 08:38:01:013,198139,198139,0,0,8162547,0,3101 124,3,2024-09-07 08:38:00:783,1,158,3,0,490,2241,158,0 125,0,2024-09-07 08:38:01:477,40792,0.4,40752,0.6,81527,0.4,108841,1.75 125,1,2024-09-07 08:38:00:956,278289,278289,0,0,130058904000,1359926800,275924,2090,275,384,391702,0 125,2,2024-09-07 08:38:01:136,199743,199743,0,0,8152669,0,2180 125,3,2024-09-07 08:38:01:142,1,158,1,0,284,2113,158,0 126,0,2024-09-07 08:38:01:418,43007,0.8,44122,0.8,84333,0.8,114322,2.00 126,1,2024-09-07 08:38:00:564,278440,278440,0,0,130529645566,1354715915,276806,1556,78,365,391987,0 126,2,2024-09-07 08:38:00:616,203315,203315,0,0,8509382,0,3186 126,3,2024-09-07 08:38:00:915,1,158,6,0,150,2270,158,0 127,0,2024-09-07 08:38:01:598,37440,0.4,37685,0.6,75093,0.4,99711,1.75 127,1,2024-09-07 08:38:00:586,278055,278055,0,0,129984031136,1348666474,275991,2023,41,365,391816,0 127,2,2024-09-07 08:38:00:642,201216,201216,0,0,7988815,0,2264 127,3,2024-09-07 08:38:01:270,1,158,4,0,243,1406,158,0 128,0,2024-09-07 08:38:01:567,37670,0.3,37438,0.4,74948,0.2,99637,1.50 128,1,2024-09-07 08:38:01:618,278626,278626,0,0,129743543485,1345361889,277648,906,72,367,391680,0 128,2,2024-09-07 08:38:01:387,196748,196748,0,0,8017589,0,2107 128,3,2024-09-07 08:38:00:774,1,158,30,0,333,2351,158,0 129,0,2024-09-07 08:38:01:042,39797,0.3,39628,0.5,79056,0.2,105658,1.50 129,1,2024-09-07 08:38:00:594,277110,277110,0,0,129242750242,1356307731,274183,2534,393,379,391835,0 129,2,2024-09-07 08:38:00:693,198444,198444,0,0,8416597,0,4031 129,3,2024-09-07 08:38:00:692,1,158,4,0,173,1914,158,0 130,0,2024-09-07 08:38:01:743,45027,0.8,44801,0.8,89994,0.9,119997,2.00 130,1,2024-09-07 08:38:00:614,278340,278340,0,0,129879401982,1355468473,276231,2037,72,381,391825,0 130,2,2024-09-07 08:38:01:127,202787,202787,0,0,8296945,0,4067 130,3,2024-09-07 08:38:01:305,1,158,1,0,450,1944,158,0 131,0,2024-09-07 08:38:01:945,38661,0.6,38853,0.7,78670,0.7,103969,1.75 131,1,2024-09-07 08:38:01:825,279348,279348,0,0,130144649984,1355619096,277882,1260,206,383,391865,0 131,2,2024-09-07 08:38:00:574,202845,202845,0,0,7867687,0,2415 131,3,2024-09-07 08:38:01:701,1,158,4,0,392,1842,158,0 132,0,2024-09-07 08:38:01:417,37433,0.5,37912,0.7,75870,0.4,100829,2.00 132,1,2024-09-07 08:38:00:577,276629,276629,0,0,129273202621,1370505666,271215,4383,1031,381,391760,0 132,2,2024-09-07 08:38:00:727,196288,196288,0,0,10799970,0,4606 132,3,2024-09-07 08:38:01:688,1,158,4,0,356,3012,158,0 133,0,2024-09-07 08:38:01:541,37014,0.4,37859,0.6,77651,0.3,101028,1.75 133,1,2024-09-07 08:38:00:605,276413,276413,0,0,129466110251,1369706094,271908,3864,641,383,391914,0 133,2,2024-09-07 08:38:01:088,197677,197677,0,0,10873472,0,4315 133,3,2024-09-07 08:38:01:300,1,158,3,0,187,1435,158,0 134,0,2024-09-07 08:38:00:984,43942,0.6,43830,0.8,88390,0.6,117445,2.00 134,1,2024-09-07 08:38:00:637,277012,277012,0,0,129595780262,1363099385,272633,3157,1222,366,391718,0 134,2,2024-09-07 08:38:01:780,200334,200334,0,0,9245035,0,3096 134,3,2024-09-07 08:38:00:752,1,158,10,0,739,2970,158,0 135,0,2024-09-07 08:38:01:126,38398,1.7,38375,1.3,81323,2.0,104880,2.50 135,1,2024-09-07 08:38:01:618,276819,276819,0,0,130146105040,1372387058,272629,3486,704,380,391805,0 135,2,2024-09-07 08:38:00:695,203083,203083,0,0,9986526,0,3981 135,3,2024-09-07 08:38:01:019,1,158,8,0,89,882,158,0 136,0,2024-09-07 08:38:01:629,37711,0.5,37566,0.8,75569,0.5,100299,2.00 136,1,2024-09-07 08:38:01:440,277133,277133,0,0,129722240567,1361434002,273816,3144,173,383,391641,0 136,2,2024-09-07 08:38:01:137,198273,198273,0,0,9334544,0,3506 136,3,2024-09-07 08:38:01:110,1,158,2,0,108,1326,158,0 137,0,2024-09-07 08:38:00:930,37509,0.5,36422,0.7,71788,0.4,97616,1.75 137,1,2024-09-07 08:38:00:580,277656,277656,0,0,130166698755,1364711460,273522,3715,419,367,391708,0 137,2,2024-09-07 08:38:01:707,197546,197546,0,0,10765560,0,3185 137,3,2024-09-07 08:38:00:773,1,158,11,1,227,1870,158,0 138,0,2024-09-07 08:38:01:802,41317,1.3,41322,1.1,83419,1.8,111529,2.25 138,1,2024-09-07 08:38:01:686,277723,277723,0,0,129779702782,1358452994,274683,2688,352,368,391954,0 138,2,2024-09-07 08:38:00:597,200293,200293,0,0,8805818,0,3263 138,3,2024-09-07 08:38:00:611,1,158,200,0,1160,3165,158,0 139,0,2024-09-07 08:38:01:368,40612,3.0,40807,1.8,82187,4.3,109891,3.25 139,1,2024-09-07 08:38:00:589,276515,276515,0,0,128864865437,1370138914,271245,3946,1324,381,391892,0 139,2,2024-09-07 08:38:00:698,201252,201252,0,0,9999590,0,3097 139,3,2024-09-07 08:38:01:668,1,158,2,0,244,1828,158,0 140,0,2024-09-07 08:38:01:592,37671,0.4,37337,0.6,75280,0.3,99546,1.75 140,1,2024-09-07 08:38:01:536,278931,278931,0,0,130542087678,1347997389,277415,1276,240,365,391606,0 140,2,2024-09-07 08:38:00:698,200032,200032,0,0,8490702,0,3388 140,3,2024-09-07 08:38:00:773,1,158,1,0,247,1435,158,0 141,0,2024-09-07 08:38:01:704,36614,0.2,37734,0.4,72259,0.1,97639,1.50 141,1,2024-09-07 08:38:00:863,278602,278602,0,0,130314694175,1353925157,276663,1586,353,379,391614,0 141,2,2024-09-07 08:38:01:687,197584,197584,0,0,7972000,0,2342 141,3,2024-09-07 08:38:01:047,1,158,1,0,147,1308,158,0 142,0,2024-09-07 08:38:01:317,40691,0.3,40418,0.5,80138,0.2,107565,1.50 142,1,2024-09-07 08:38:00:590,278278,278278,0,0,130301754773,1359127515,276734,1385,159,383,391728,0 142,2,2024-09-07 08:38:01:308,197596,197564,32,0,9297124,0,6028 142,3,2024-09-07 08:38:01:746,1,158,1,0,484,2169,158,0 143,0,2024-09-07 08:38:01:381,43535,0.7,43518,0.7,87577,0.8,115913,2.00 143,1,2024-09-07 08:38:00:583,278313,278313,0,0,130093824086,1351931574,276203,2067,43,367,391619,0 143,2,2024-09-07 08:38:00:776,202747,202747,0,0,8613076,0,2669 143,3,2024-09-07 08:38:01:140,1,158,2,0,303,2604,158,0 144,0,2024-09-07 08:38:01:507,37239,0.9,38370,1.4,77745,0.9,102458,2.25 144,1,2024-09-07 08:38:00:571,277355,277355,0,0,129086824566,1356012851,274960,2192,203,381,391649,0 144,2,2024-09-07 08:38:01:759,201879,201879,0,0,8458647,0,3473 144,3,2024-09-07 08:38:01:740,1,158,2,0,249,2102,158,0 145,0,2024-09-07 08:38:01:364,36193,0.5,36214,0.8,76768,0.4,99634,2.00 145,1,2024-09-07 08:38:00:559,276132,276132,0,0,129386343214,1366935969,271739,3656,737,383,391615,0 145,2,2024-09-07 08:38:01:442,196459,196459,0,0,9416174,0,3903 145,3,2024-09-07 08:38:00:900,1,158,1,0,151,1842,158,0 146,0,2024-09-07 08:38:01:616,38770,0.4,38580,0.7,77936,0.4,103324,2.00 146,1,2024-09-07 08:38:01:586,277998,277998,0,0,129422493012,1359595174,273544,3594,860,368,391629,0 146,2,2024-09-07 08:38:01:701,198690,198690,0,0,8992057,0,2498 146,3,2024-09-07 08:38:01:274,1,158,1,0,1520,5173,158,0 147,0,2024-09-07 08:38:01:791,44078,0.9,44045,1.0,87484,0.9,118547,2.25 147,1,2024-09-07 08:38:01:380,278826,278826,0,0,130075318415,1356741601,275726,2605,495,368,391791,0 147,2,2024-09-07 08:38:01:010,202415,202415,0,0,8429649,0,2789 147,3,2024-09-07 08:38:00:918,1,158,12,0,371,2000,158,0 0,0,2024-09-07 08:38:11:756,36241,0.4,36310,0.7,76832,0.4,99454,1.75 0,1,2024-09-07 08:38:10:869,279511,279511,0,0,131205930670,1373871687,277545,1830,136,372,391772,0 0,2,2024-09-07 08:38:11:073,199499,199499,0,0,8699293,0,4480 0,3,2024-09-07 08:38:10:975,1,159,1,0,247,2047,159,0 1,0,2024-09-07 08:38:11:761,43663,1.3,43332,1.2,86832,1.9,116690,2.25 1,1,2024-09-07 08:38:10:578,279206,279206,0,0,130266956772,1369133070,275770,2569,867,371,391857,0 1,2,2024-09-07 08:38:10:649,201127,201127,0,0,7923397,0,3267 1,3,2024-09-07 08:38:11:323,1,159,2,0,262,1968,159,0 2,0,2024-09-07 08:38:11:572,40704,1.2,40876,1.1,81278,1.7,108426,2.25 2,1,2024-09-07 08:38:10:870,279472,279472,0,0,131041119893,1363761992,277964,1302,206,380,391745,0 2,2,2024-09-07 08:38:11:267,204322,204322,0,0,8759897,0,3594 2,3,2024-09-07 08:38:10:691,1,159,1,0,357,1689,159,0 3,0,2024-09-07 08:38:11:778,37239,0.5,37448,0.7,74935,0.5,99087,2.00 3,1,2024-09-07 08:38:11:621,279256,279256,0,0,130227993561,1361398851,276147,2685,424,380,391516,0 3,2,2024-09-07 08:38:11:145,199217,199194,23,0,8668309,0,5851 3,3,2024-09-07 08:38:11:754,1,159,2,0,103,1009,159,0 4,0,2024-09-07 08:38:11:873,35121,0.3,36111,0.5,73421,0.2,96013,1.75 4,1,2024-09-07 08:38:10:607,279719,279719,0,0,130779889349,1375702280,276740,2457,522,371,391846,0 4,2,2024-09-07 08:38:11:039,198111,198111,0,0,10410819,0,4528 4,3,2024-09-07 08:38:11:038,1,159,4,0,287,2184,159,0 5,0,2024-09-07 08:38:11:430,41058,0.5,41053,0.6,82194,0.5,109810,1.75 5,1,2024-09-07 08:38:10:814,278898,278898,0,0,130137451411,1373814044,274693,3217,988,368,392005,0 5,2,2024-09-07 08:38:11:864,200055,200055,0,0,8980333,0,2259 5,3,2024-09-07 08:38:11:737,1,159,4,0,238,2396,159,0 6,0,2024-09-07 08:38:10:929,43362,1.0,42947,1.0,85283,1.3,114363,2.25 6,1,2024-09-07 08:38:10:751,279976,279976,0,0,130524138811,1369180274,276577,2752,647,381,391617,0 6,2,2024-09-07 08:38:11:127,204625,204625,0,0,9226350,0,4816 6,3,2024-09-07 08:38:11:279,1,159,5,0,340,2325,159,0 7,0,2024-09-07 08:38:11:535,37158,0.5,37049,0.7,74394,0.4,98887,2.00 7,1,2024-09-07 08:38:10:850,279528,279528,0,0,131481649507,1377875104,276711,2685,132,382,391664,0 7,2,2024-09-07 08:38:10:772,201523,201523,0,0,8422160,0,2981 7,3,2024-09-07 08:38:10:868,1,159,5,0,305,1699,159,0 8,0,2024-09-07 08:38:11:385,37535,0.3,37623,0.4,74928,0.2,99950,1.50 8,1,2024-09-07 08:38:11:034,279099,279099,0,0,130446566333,1380072755,273488,4010,1601,367,391956,0 8,2,2024-09-07 08:38:10:808,195876,195876,0,0,10069585,0,2986 8,3,2024-09-07 08:38:10:604,1,159,16,0,357,2620,159,0 9,0,2024-09-07 08:38:11:179,40028,0.3,38871,0.5,81208,0.3,106827,1.75 9,1,2024-09-07 08:38:10:569,278794,278794,0,0,130510835938,1379426009,274241,3423,1130,370,391753,0 9,2,2024-09-07 08:38:11:086,199469,199469,0,0,9290966,0,3360 9,3,2024-09-07 08:38:11:759,1,159,2,0,496,2652,159,0 10,0,2024-09-07 08:38:11:601,45144,0.4,44812,0.6,90040,0.3,119571,1.75 10,1,2024-09-07 08:38:10:587,279291,279291,0,0,130288218779,1369570349,274820,3830,641,381,391741,0 10,2,2024-09-07 08:38:10:762,203606,203606,0,0,9567471,0,2940 10,3,2024-09-07 08:38:10:883,1,159,2,0,177,1239,159,0 11,0,2024-09-07 08:38:11:011,38309,0.8,37384,1.0,78223,0.9,104377,2.25 11,1,2024-09-07 08:38:10:578,279528,279528,0,0,130172963602,1371671603,274224,4034,1270,384,391537,0 11,2,2024-09-07 08:38:11:130,203180,203180,0,0,9340126,0,3411 11,3,2024-09-07 08:38:11:299,1,159,0,0,720,2822,159,0 12,0,2024-09-07 08:38:10:999,38364,0.3,38011,0.5,76350,0.2,101402,1.50 12,1,2024-09-07 08:38:10:948,279201,279201,0,0,129823713417,1358561228,276311,2478,412,370,391837,0 12,2,2024-09-07 08:38:11:547,199088,199088,0,0,9405930,0,3469 12,3,2024-09-07 08:38:11:063,1,159,7,0,358,2695,159,0 13,0,2024-09-07 08:38:11:373,38586,0.3,38506,0.5,76869,0.3,102947,1.75 13,1,2024-09-07 08:38:11:541,279243,279243,0,0,130746158523,1373380113,276822,2012,409,382,391717,0 13,2,2024-09-07 08:38:10:605,200488,200488,0,0,8619897,0,3287 13,3,2024-09-07 08:38:11:765,1,159,25,0,467,3227,159,0 14,0,2024-09-07 08:38:10:564,44559,0.4,44708,0.6,88654,0.4,118263,1.75 14,1,2024-09-07 08:38:11:561,281117,281117,0,0,131139759292,1361803311,278850,2104,163,364,391571,0 14,2,2024-09-07 08:38:10:764,201852,201852,0,0,8776676,0,2896 14,3,2024-09-07 08:38:11:118,1,159,1,0,1168,2896,159,0 15,0,2024-09-07 08:38:11:567,39701,1.1,39743,1.1,79717,1.3,106337,2.50 15,1,2024-09-07 08:38:11:609,279257,279257,0,0,130573389989,1360373531,277342,1806,109,381,391619,0 15,2,2024-09-07 08:38:10:997,204954,204954,0,0,7434333,0,3043 15,3,2024-09-07 08:38:11:406,1,159,4,0,1126,4296,159,0 16,0,2024-09-07 08:38:10:942,37702,0.5,38027,0.7,75322,0.5,100731,2.00 16,1,2024-09-07 08:38:10:583,279985,279985,0,0,130581852052,1366811400,277759,1964,262,370,391756,0 16,2,2024-09-07 08:38:11:434,197784,197784,0,0,9706985,0,4719 16,3,2024-09-07 08:38:11:148,1,159,8,0,231,2230,159,0 17,0,2024-09-07 08:38:11:792,37928,0.4,36977,0.6,72693,0.4,98533,1.75 17,1,2024-09-07 08:38:10:568,278979,278979,0,0,130063676658,1369265660,275622,2685,672,368,391688,0 17,2,2024-09-07 08:38:11:675,201499,201499,0,0,8664412,0,2857 17,3,2024-09-07 08:38:10:577,1,159,1,0,268,2473,159,0 18,0,2024-09-07 08:38:10:966,41560,0.8,41793,0.9,83534,0.8,112125,2.25 18,1,2024-09-07 08:38:11:669,279634,279634,0,0,131000734602,1361393114,277626,1774,234,368,391564,0 18,2,2024-09-07 08:38:11:760,200850,200850,0,0,8671324,0,3541 18,3,2024-09-07 08:38:10:899,1,159,2,0,163,1734,159,0 19,0,2024-09-07 08:38:11:544,41273,1.2,41751,1.0,82375,1.4,109402,2.75 19,1,2024-09-07 08:38:10:565,279572,279572,0,0,130901972374,1366904419,275759,3097,716,367,391777,0 19,2,2024-09-07 08:38:11:754,205457,205457,0,0,7941857,0,3988 19,3,2024-09-07 08:38:11:133,1,159,4,0,524,1491,159,0 20,0,2024-09-07 08:38:11:439,37387,0.6,37334,0.8,74900,0.7,99538,2.25 20,1,2024-09-07 08:38:10:574,278811,278811,0,0,130423620368,1370689490,275345,3075,391,369,391822,0 20,2,2024-09-07 08:38:10:947,200174,200174,0,0,9048208,0,3721 20,3,2024-09-07 08:38:10:593,1,159,1,0,414,2863,159,0 21,0,2024-09-07 08:38:11:189,37096,0.3,37130,0.5,73833,0.3,97422,1.75 21,1,2024-09-07 08:38:11:543,278967,278967,0,0,129781545986,1369010355,275302,3133,532,368,391962,0 21,2,2024-09-07 08:38:11:067,198595,198595,0,0,9866040,0,3747 21,3,2024-09-07 08:38:11:407,1,159,9,0,103,1945,159,0 22,0,2024-09-07 08:38:11:719,40446,0.6,40456,0.8,80429,0.6,107639,2.00 22,1,2024-09-07 08:38:11:023,278649,278649,0,0,130015203785,1375236499,273107,4033,1509,382,391667,0 22,2,2024-09-07 08:38:10:760,199786,199786,0,0,8459322,0,3134 22,3,2024-09-07 08:38:11:066,1,159,0,0,228,1820,159,0 23,0,2024-09-07 08:38:11:368,43271,0.9,43353,0.9,86943,1.2,115658,2.50 23,1,2024-09-07 08:38:11:007,279487,279487,0,0,130867329517,1371570657,275391,2614,1482,365,391549,0 23,2,2024-09-07 08:38:11:093,203480,203480,0,0,8784941,0,3010 23,3,2024-09-07 08:38:11:756,1,159,3,0,645,1797,159,0 24,0,2024-09-07 08:38:10:911,39228,0.5,39267,0.6,78506,0.5,104238,1.75 24,1,2024-09-07 08:38:10:587,279553,279553,0,0,130046317996,1362927674,276592,2162,799,369,391640,0 24,2,2024-09-07 08:38:11:083,201592,201592,0,0,10011730,0,2942 24,3,2024-09-07 08:38:11:689,1,159,1,0,234,1802,159,0 25,0,2024-09-07 08:38:11:520,38774,0.4,37855,0.6,74283,0.3,101162,1.75 25,1,2024-09-07 08:38:10:603,279314,279314,0,0,130334325570,1370214595,275624,3147,543,371,391788,0 25,2,2024-09-07 08:38:11:614,197084,197084,0,0,10102142,0,3978 25,3,2024-09-07 08:38:11:000,1,159,64,0,255,2054,159,0 26,0,2024-09-07 08:38:11:721,38897,0.3,38033,0.5,79721,0.3,104243,1.75 26,1,2024-09-07 08:38:11:543,279588,279588,0,0,130338464096,1370751626,274927,3760,901,381,391564,0 26,2,2024-09-07 08:38:10:869,200138,200138,0,0,9260590,0,2809 26,3,2024-09-07 08:38:11:712,1,159,13,0,796,2232,159,0 27,0,2024-09-07 08:38:11:732,44991,0.6,44978,0.7,89239,0.6,119786,1.75 27,1,2024-09-07 08:38:11:678,281016,281016,0,0,131128738110,1363165046,279196,1497,323,381,391539,0 27,2,2024-09-07 08:38:10:900,201765,201765,0,0,9943872,0,3409 27,3,2024-09-07 08:38:11:016,1,159,0,0,564,1931,159,0 28,0,2024-09-07 08:38:11:438,39181,0.8,39354,0.9,79185,1.1,105748,2.50 28,1,2024-09-07 08:38:10:806,280167,280167,0,0,131629433651,1373288445,278005,1826,336,383,391646,0 28,2,2024-09-07 08:38:11:766,204282,204282,0,0,7819752,0,2915 28,3,2024-09-07 08:38:11:779,1,159,3,0,502,2006,159,0 29,0,2024-09-07 08:38:11:380,38811,0.3,37987,0.6,74128,0.3,101332,1.75 29,1,2024-09-07 08:38:11:563,281154,281154,0,0,130360684448,1352758026,278726,1885,543,369,391621,0 29,2,2024-09-07 08:38:10:870,198051,198051,0,0,7568836,0,4018 29,3,2024-09-07 08:38:10:962,1,159,1,0,105,1227,159,0 30,0,2024-09-07 08:38:11:459,37265,0.5,36289,0.7,75793,0.4,99623,2.00 30,1,2024-09-07 08:38:10:578,280630,280630,0,0,130762805807,1359717099,278643,1709,278,382,391672,0 30,2,2024-09-07 08:38:11:273,200345,200345,0,0,7599963,0,3161 30,3,2024-09-07 08:38:10:584,1,159,1,0,195,1207,159,0 31,0,2024-09-07 08:38:11:763,43100,0.5,43456,0.7,87512,0.5,116448,2.00 31,1,2024-09-07 08:38:10:568,281046,281046,0,0,131037617640,1343951495,280245,689,112,356,391553,0 31,2,2024-09-07 08:38:11:274,201409,201409,0,0,9382410,0,3525 31,3,2024-09-07 08:38:11:707,1,159,1,0,220,1339,159,0 32,0,2024-09-07 08:38:11:436,40751,0.5,41102,0.7,82292,0.5,109156,1.75 32,1,2024-09-07 08:38:10:806,280400,280400,0,0,131272087675,1364616089,278658,1467,275,382,391595,0 32,2,2024-09-07 08:38:10:936,204530,204530,0,0,7756823,0,3155 32,3,2024-09-07 08:38:11:019,1,159,1,0,227,1470,159,0 33,0,2024-09-07 08:38:11:498,37914,0.3,37290,0.5,75347,0.3,100074,1.75 33,1,2024-09-07 08:38:10:582,281072,281072,0,0,131240039270,1360688835,278813,2087,172,369,391730,0 33,2,2024-09-07 08:38:10:759,199067,199034,33,0,9528280,0,7012 33,3,2024-09-07 08:38:10:915,1,159,1,0,329,1860,159,0 34,0,2024-09-07 08:38:10:945,36283,0.3,37432,0.4,71731,0.2,96156,1.50 34,1,2024-09-07 08:38:11:044,280977,280977,0,0,131977217908,1360362079,279982,989,6,367,391562,0 34,2,2024-09-07 08:38:10:766,198499,198499,0,0,8484261,0,3577 34,3,2024-09-07 08:38:11:688,1,159,1,0,148,1045,159,0 35,0,2024-09-07 08:38:10:881,40849,0.4,41131,0.5,82283,0.3,110240,1.75 35,1,2024-09-07 08:38:11:066,279966,279966,0,0,130505045327,1357937998,277166,2030,770,384,391589,0 35,2,2024-09-07 08:38:11:584,200641,200641,0,0,7867836,0,2653 35,3,2024-09-07 08:38:10:915,1,159,1,0,418,1972,159,0 36,0,2024-09-07 08:38:11:525,42931,1.0,42793,1.0,86174,1.3,113962,2.50 36,1,2024-09-07 08:38:10:589,279773,279773,0,0,130913712147,1374120019,275153,3332,1288,366,391759,0 36,2,2024-09-07 08:38:11:755,203500,203500,0,0,9356699,0,3303 36,3,2024-09-07 08:38:10:871,1,159,1,0,378,2186,159,0 37,0,2024-09-07 08:38:11:430,37234,0.5,37235,0.7,74371,0.4,99808,2.00 37,1,2024-09-07 08:38:10:585,278998,278991,0,7,130162886082,1371461418,274218,2754,2019,365,391560,0 37,2,2024-09-07 08:38:11:152,201618,201603,15,0,9438531,0,5815 37,3,2024-09-07 08:38:11:775,1,159,6,0,724,2469,159,0 38,0,2024-09-07 08:38:11:442,37366,0.4,36351,0.6,75752,0.3,99579,2.00 38,1,2024-09-07 08:38:11:606,279953,279953,0,0,130376286319,1365854166,275915,3406,632,368,391821,0 38,2,2024-09-07 08:38:10:760,198459,198412,47,0,10989688,0,6710 38,3,2024-09-07 08:38:10:996,1,159,0,0,603,2188,159,0 39,0,2024-09-07 08:38:11:764,41065,0.6,40163,0.7,78374,0.6,107167,2.00 39,1,2024-09-07 08:38:10:720,279858,279858,0,0,129987584415,1358891524,276070,2987,801,365,391524,0 39,2,2024-09-07 08:38:11:417,199633,199633,0,0,8126544,0,2689 39,3,2024-09-07 08:38:10:714,1,159,1,0,276,2066,159,0 40,0,2024-09-07 08:38:11:550,44358,1.0,45044,1.2,89591,1.0,119081,3.00 40,1,2024-09-07 08:38:10:576,279953,279953,0,0,129763408459,1361791756,275538,3646,769,368,391591,0 40,2,2024-09-07 08:38:11:313,202781,202780,1,0,10396078,0,5137 40,3,2024-09-07 08:38:11:144,1,159,13,0,181,1617,159,0 41,0,2024-09-07 08:38:11:032,38316,2.1,39116,1.7,74966,3.4,102971,4.25 41,1,2024-09-07 08:38:10:774,279207,279207,0,0,130746611833,1370737846,275013,3661,533,370,391742,0 41,2,2024-09-07 08:38:10:759,201874,201874,0,0,10187413,0,3356 41,3,2024-09-07 08:38:11:677,1,159,1,0,366,1966,159,0 42,0,2024-09-07 08:38:11:492,37347,0.5,37751,0.8,75307,0.4,99594,2.25 42,1,2024-09-07 08:38:11:440,278568,278568,0,0,130309281815,1372987111,273853,3757,958,380,391675,0 42,2,2024-09-07 08:38:11:134,197239,197239,0,0,9739908,0,3568 42,3,2024-09-07 08:38:11:012,1,159,0,0,446,1430,159,0 43,0,2024-09-07 08:38:10:918,38319,0.6,37478,0.9,77931,0.6,103004,2.00 43,1,2024-09-07 08:38:10:580,279800,279800,0,0,130945631673,1366726751,276557,2450,793,366,391604,0 43,2,2024-09-07 08:38:11:739,200291,200291,0,0,9111179,0,3812 43,3,2024-09-07 08:38:11:753,1,159,1,0,325,2139,159,0 44,0,2024-09-07 08:38:10:865,44419,0.5,44604,0.7,88887,0.5,118807,1.75 44,1,2024-09-07 08:38:10:568,280090,280090,0,0,130470487374,1349880574,278032,1633,425,356,391809,0 44,2,2024-09-07 08:38:11:267,201597,201597,0,0,7535883,0,1877 44,3,2024-09-07 08:38:11:093,1,159,0,0,817,2093,159,0 45,0,2024-09-07 08:38:11:774,39192,1.4,38499,1.2,80769,1.7,106928,2.25 45,1,2024-09-07 08:38:11:013,280134,280134,0,0,131012400805,1359116751,279095,1029,10,382,391917,0 45,2,2024-09-07 08:38:11:271,204812,204812,0,0,8220953,0,3596 45,3,2024-09-07 08:38:10:934,1,159,1,0,226,1659,159,0 46,0,2024-09-07 08:38:10:955,37662,0.3,37503,0.6,75293,0.3,99777,1.75 46,1,2024-09-07 08:38:10:580,280701,280701,0,0,130878847864,1354863168,278892,1586,223,366,391524,0 46,2,2024-09-07 08:38:10:597,199269,199269,0,0,8121219,0,2920 46,3,2024-09-07 08:38:11:134,1,159,2,0,908,2907,159,0 47,0,2024-09-07 08:38:11:112,36831,0.3,37065,0.5,74323,0.2,98233,1.75 47,1,2024-09-07 08:38:10:570,280757,280757,0,0,130714949100,1350637818,279355,1385,17,366,391605,0 47,2,2024-09-07 08:38:10:911,200527,200527,0,0,8215169,0,2558 47,3,2024-09-07 08:38:11:128,1,159,8,0,529,1799,159,0 48,0,2024-09-07 08:38:11:502,42423,0.3,42055,0.5,84270,0.2,112681,1.75 48,1,2024-09-07 08:38:11:032,280710,280710,0,0,131148164777,1364309978,278649,1880,181,384,391710,0 48,2,2024-09-07 08:38:10:699,201267,201267,0,0,7066957,0,2083 48,3,2024-09-07 08:38:10:758,1,159,0,0,339,1433,159,0 49,0,2024-09-07 08:38:11:718,42886,0.6,42072,0.7,81673,0.6,111397,1.75 49,1,2024-09-07 08:38:11:031,279267,279267,0,0,130536083575,1362785388,276529,1898,840,382,391583,0 49,2,2024-09-07 08:38:11:800,205253,205253,0,0,8214172,0,3900 49,3,2024-09-07 08:38:11:416,1,159,1,0,408,2343,159,0 50,0,2024-09-07 08:38:11:516,37509,0.3,37213,0.5,74530,0.2,99506,1.75 50,1,2024-09-07 08:38:11:013,281678,281678,0,0,131735529794,1367349987,279152,2217,309,368,391530,0 50,2,2024-09-07 08:38:11:067,200534,200534,0,0,7750921,0,2253 50,3,2024-09-07 08:38:11:291,1,159,1,0,335,1334,159,0 51,0,2024-09-07 08:38:11:689,37592,0.3,37105,0.4,72169,0.2,97727,1.50 51,1,2024-09-07 08:38:11:689,279914,279914,0,0,131855321237,1364721868,277945,1183,786,366,391637,0 51,2,2024-09-07 08:38:11:319,198442,198442,0,0,7291227,0,3337 51,3,2024-09-07 08:38:11:042,1,159,2,0,162,907,159,0 52,0,2024-09-07 08:38:11:416,40717,0.5,40631,0.7,81363,0.5,107887,2.00 52,1,2024-09-07 08:38:10:588,279195,279195,0,0,130394654268,1376782953,273283,4754,1158,368,391722,0 52,2,2024-09-07 08:38:11:759,198519,198481,38,0,9966800,0,6742 52,3,2024-09-07 08:38:10:681,1,159,1,0,1782,3525,159,0 53,0,2024-09-07 08:38:11:756,43309,1.1,42027,1.0,87879,1.3,115062,2.75 53,1,2024-09-07 08:38:10:776,278824,278824,0,0,130381080047,1377954528,273196,3734,1894,367,391702,0 53,2,2024-09-07 08:38:11:303,204056,204056,0,0,8324838,0,2262 53,3,2024-09-07 08:38:10:707,1,159,1,0,271,1626,159,0 54,0,2024-09-07 08:38:11:653,37630,2.1,38142,1.3,75605,1.0,101423,4.00 54,1,2024-09-07 08:38:10:585,279482,279482,0,0,131137466574,1370848625,275512,3399,571,367,391532,0 54,2,2024-09-07 08:38:10:869,202246,202240,6,0,9908635,0,5382 54,3,2024-09-07 08:38:10:763,1,159,6,0,676,3179,159,0 55,0,2024-09-07 08:38:11:763,36449,0.5,37629,0.7,76173,0.4,99114,2.25 55,1,2024-09-07 08:38:10:764,279164,279164,0,0,130266444752,1365996282,273976,4401,787,365,391731,0 55,2,2024-09-07 08:38:10:739,197286,197286,0,0,9426408,0,3275 55,3,2024-09-07 08:38:10:678,1,159,1,0,304,1701,159,0 56,0,2024-09-07 08:38:11:564,40127,1.1,37985,1.0,78004,1.6,104681,2.50 56,1,2024-09-07 08:38:10:571,279015,279015,0,0,131010355462,1388562593,273853,4258,904,381,391678,0 56,2,2024-09-07 08:38:11:303,199575,199575,0,0,10230468,0,3567 56,3,2024-09-07 08:38:11:061,1,159,2,0,405,2211,159,0 57,0,2024-09-07 08:38:10:945,43854,2.3,43908,1.5,87946,3.4,118569,4.00 57,1,2024-09-07 08:38:10:997,279570,279570,0,0,130608914838,1368358296,276739,2661,170,368,391960,0 57,2,2024-09-07 08:38:11:333,203286,203286,0,0,10401060,0,3178 57,3,2024-09-07 08:38:11:748,1,159,2,0,359,2727,159,0 58,0,2024-09-07 08:38:10:556,38164,1.3,37221,1.2,77931,2.2,102359,3.00 58,1,2024-09-07 08:38:10:580,280447,280444,0,3,131084551073,1370408707,276928,3119,397,367,391516,3 58,2,2024-09-07 08:38:11:072,203638,203638,0,0,9523384,0,2549 58,3,2024-09-07 08:38:11:071,1,159,3,0,1043,2314,159,0 59,0,2024-09-07 08:38:11:754,37992,0.8,37691,0.9,75231,0.8,100133,2.75 59,1,2024-09-07 08:38:10:805,279391,279391,0,0,130298006439,1364862137,275744,2725,922,369,391515,0 59,2,2024-09-07 08:38:10:607,198853,198853,0,0,8224085,0,2604 59,3,2024-09-07 08:38:11:742,1,159,1,0,1015,2874,159,0 60,0,2024-09-07 08:38:11:750,37575,0.4,37665,0.6,74964,0.3,99656,1.75 60,1,2024-09-07 08:38:10:778,280941,280941,0,0,131063663247,1359662698,279484,1099,358,370,391761,0 60,2,2024-09-07 08:38:11:180,199393,199393,0,0,9409854,0,3811 60,3,2024-09-07 08:38:11:266,1,159,1,0,124,1568,159,0 61,0,2024-09-07 08:38:11:521,43410,1.3,43617,1.0,86979,2.0,116508,2.25 61,1,2024-09-07 08:38:10:810,279437,279437,0,0,130381840557,1368841571,275998,2886,553,382,391589,0 61,2,2024-09-07 08:38:11:128,202299,202299,0,0,8359010,0,2079 61,3,2024-09-07 08:38:11:704,1,159,12,0,199,1925,159,0 62,0,2024-09-07 08:38:11:715,41054,1.5,41804,1.1,80126,2.6,108815,2.25 62,1,2024-09-07 08:38:11:128,281798,281792,0,6,131971036439,1362482207,280114,1639,39,365,391715,6 62,2,2024-09-07 08:38:11:659,203418,203417,1,0,9909717,0,5555 62,3,2024-09-07 08:38:11:183,1,159,1,0,287,1171,159,0 63,0,2024-09-07 08:38:11:461,37436,0.5,37438,0.7,75008,0.5,99781,2.00 63,1,2024-09-07 08:38:10:805,280951,280945,0,6,131091673956,1358945767,279703,1216,26,381,391677,6 63,2,2024-09-07 08:38:10:761,198793,198793,0,0,7639159,0,2674 63,3,2024-09-07 08:38:11:736,1,159,4,0,667,2387,159,0 64,0,2024-09-07 08:38:11:562,36067,0.4,36024,0.6,72296,0.3,96001,1.75 64,1,2024-09-07 08:38:10:761,280463,280463,0,0,130857960883,1369659211,276997,2412,1054,370,391783,0 64,2,2024-09-07 08:38:11:148,200759,200740,19,0,8540544,0,6121 64,3,2024-09-07 08:38:11:141,1,159,1,0,265,1803,159,0 65,0,2024-09-07 08:38:11:736,40445,0.8,40950,0.9,81619,1.0,109466,2.25 65,1,2024-09-07 08:38:10:860,279506,279506,0,0,130559684183,1367745471,276999,2283,224,382,391770,0 65,2,2024-09-07 08:38:11:704,200321,200321,0,0,8992122,0,3367 65,3,2024-09-07 08:38:11:690,1,159,6,0,163,1626,159,0 66,0,2024-09-07 08:38:11:768,42441,0.8,42544,0.9,85465,0.8,113230,2.50 66,1,2024-09-07 08:38:11:302,280809,280809,0,0,130801363021,1361207894,278934,1704,171,380,391588,0 66,2,2024-09-07 08:38:11:136,205646,205646,0,0,8387622,0,4956 66,3,2024-09-07 08:38:11:081,1,159,5,0,291,1703,159,0 67,0,2024-09-07 08:38:11:428,37752,0.9,37474,0.9,75290,1.0,99912,2.50 67,1,2024-09-07 08:38:10:773,279552,279551,0,1,130321055239,1365056688,276084,2721,746,381,391787,1 67,2,2024-09-07 08:38:10:589,202274,202274,0,0,8000016,0,2889 67,3,2024-09-07 08:38:11:755,1,159,11,0,138,1289,159,0 68,0,2024-09-07 08:38:10:573,37758,0.4,37589,0.7,75088,0.4,99848,2.00 68,1,2024-09-07 08:38:10:570,279065,279065,0,0,130444163338,1370725218,276210,1837,1018,381,391953,0 68,2,2024-09-07 08:38:11:044,197094,197029,65,0,11303839,0,6698 68,3,2024-09-07 08:38:10:740,1,159,1,0,417,2062,159,0 69,0,2024-09-07 08:38:11:765,39822,0.7,39999,0.8,79590,0.7,106816,2.25 69,1,2024-09-07 08:38:11:025,278571,278571,0,0,130813372690,1384689108,274564,2792,1215,384,391611,0 69,2,2024-09-07 08:38:11:738,199855,199855,0,0,9924694,0,3701 69,3,2024-09-07 08:38:10:770,1,159,8,0,238,1980,159,0 70,0,2024-09-07 08:38:11:544,44228,1.9,44576,1.4,89341,1.3,118492,2.75 70,1,2024-09-07 08:38:10:814,279861,279861,0,0,131284926482,1365901586,277404,1995,462,366,391725,0 70,2,2024-09-07 08:38:11:325,203638,203638,0,0,9140684,0,4044 70,3,2024-09-07 08:38:10:757,1,159,0,0,854,2135,159,0 71,0,2024-09-07 08:38:11:369,38267,2.1,38291,1.7,76349,3.2,103153,3.75 71,1,2024-09-07 08:38:11:595,279825,279825,0,0,130946998774,1372609503,275708,3592,525,368,391682,0 71,2,2024-09-07 08:38:11:067,203736,203736,0,0,9151292,0,2470 71,3,2024-09-07 08:38:11:754,1,159,1,0,644,2567,159,0 72,0,2024-09-07 08:38:11:028,39192,0.5,38273,0.7,74989,0.4,101751,2.25 72,1,2024-09-07 08:38:11:021,279676,279676,0,0,130333165581,1362214386,276419,2771,486,369,391819,0 72,2,2024-09-07 08:38:11:757,196983,196983,0,0,10515445,0,2570 72,3,2024-09-07 08:38:11:759,1,159,4,0,364,3227,159,0 73,0,2024-09-07 08:38:11:098,37483,0.4,38504,0.6,78562,0.3,102600,2.00 73,1,2024-09-07 08:38:10:779,279902,279902,0,0,130609616852,1356722347,278097,1690,115,367,391627,0 73,2,2024-09-07 08:38:11:758,200154,200154,0,0,9850831,0,3482 73,3,2024-09-07 08:38:10:973,1,159,1,0,274,2579,159,0 74,0,2024-09-07 08:38:11:333,44746,0.5,45504,0.7,86962,0.5,118332,2.25 74,1,2024-09-07 08:38:10:647,279610,279610,0,0,129929987900,1358092886,276819,2169,622,382,391681,0 74,2,2024-09-07 08:38:11:010,202116,202116,0,0,9956557,0,4253 74,3,2024-09-07 08:38:11:442,1,159,3,0,522,2757,159,0 75,0,2024-09-07 08:38:11:779,40026,1.4,39749,1.2,79335,1.7,107165,2.75 75,1,2024-09-07 08:38:11:585,279321,279321,0,0,130760241020,1371141758,275928,2933,460,381,391579,0 75,2,2024-09-07 08:38:11:356,203628,203628,0,0,9495602,0,4766 75,3,2024-09-07 08:38:11:076,1,159,22,0,535,1856,159,0 76,0,2024-09-07 08:38:10:632,37469,0.4,37308,0.7,74545,0.3,100043,2.25 76,1,2024-09-07 08:38:10:815,279875,279875,0,0,130654617082,1364458584,277814,1550,511,382,391692,0 76,2,2024-09-07 08:38:11:061,199417,199417,0,0,8098734,0,3064 76,3,2024-09-07 08:38:11:177,1,159,1,0,175,1847,159,0 77,0,2024-09-07 08:38:11:734,36822,0.5,37053,0.7,74194,0.4,98196,1.75 77,1,2024-09-07 08:38:10:832,280657,280657,0,0,130473596288,1361241650,279062,1512,83,383,391808,0 77,2,2024-09-07 08:38:11:284,199103,199103,0,0,8395835,0,3890 77,3,2024-09-07 08:38:11:101,1,159,7,0,305,1998,159,0 78,0,2024-09-07 08:38:11:730,42097,0.5,41898,0.7,84521,0.4,112357,2.00 78,1,2024-09-07 08:38:10:622,280351,280351,0,0,130364527888,1357295620,278021,2077,253,367,391589,0 78,2,2024-09-07 08:38:11:415,201975,201975,0,0,7875668,0,2114 78,3,2024-09-07 08:38:11:134,1,159,2,0,181,1663,159,0 79,0,2024-09-07 08:38:11:346,40397,0.6,41344,0.8,84515,0.5,109820,2.75 79,1,2024-09-07 08:38:10:578,280703,280703,0,0,130975191337,1358208106,278516,2015,172,369,391682,0 79,2,2024-09-07 08:38:11:071,205165,205165,0,0,8119653,0,3212 79,3,2024-09-07 08:38:10:752,1,159,1,0,418,2887,159,0 80,0,2024-09-07 08:38:11:158,37353,0.6,38255,0.8,73475,0.6,99538,2.25 80,1,2024-09-07 08:38:11:644,279228,279228,0,0,130050991409,1356289177,276710,2357,161,368,391673,0 80,2,2024-09-07 08:38:11:091,200947,200947,0,0,8536611,0,4433 80,3,2024-09-07 08:38:10:589,1,159,0,0,190,2609,159,0 81,0,2024-09-07 08:38:11:546,36830,0.4,37941,0.6,72697,0.4,97363,1.75 81,1,2024-09-07 08:38:11:658,279518,279518,0,0,130273179632,1363726838,277039,2207,272,382,391879,0 81,2,2024-09-07 08:38:11:137,198176,198176,0,0,8992474,0,3993 81,3,2024-09-07 08:38:11:122,1,159,9,0,193,1605,159,0 82,0,2024-09-07 08:38:11:534,40344,0.5,40538,0.7,81220,0.4,108127,2.00 82,1,2024-09-07 08:38:10:589,280393,280389,0,4,130617967694,1363671796,277946,1866,577,381,391558,4 82,2,2024-09-07 08:38:11:694,200702,200702,0,0,8257693,0,3986 82,3,2024-09-07 08:38:11:753,1,159,1,0,227,1847,159,0 83,0,2024-09-07 08:38:11:537,43602,0.8,43584,0.8,86480,0.8,115180,2.25 83,1,2024-09-07 08:38:10:562,279807,279807,0,0,130150365433,1361211299,277360,2243,204,382,391690,0 83,2,2024-09-07 08:38:10:818,203369,203369,0,0,8314144,0,3119 83,3,2024-09-07 08:38:10:753,1,159,3,0,241,1892,159,0 84,0,2024-09-07 08:38:11:832,38350,1.3,38154,1.3,76745,1.1,102748,2.75 84,1,2024-09-07 08:38:11:062,279013,279013,0,0,130133669513,1365428207,275623,2936,454,368,391852,0 84,2,2024-09-07 08:38:10:581,202672,202672,0,0,9554383,0,3801 84,3,2024-09-07 08:38:11:196,1,159,20,0,270,1938,159,0 85,0,2024-09-07 08:38:11:042,36429,0.5,36465,0.7,77198,0.4,100255,2.00 85,1,2024-09-07 08:38:10:568,278681,278681,0,0,130304588969,1383588619,273231,4370,1080,382,392006,0 85,2,2024-09-07 08:38:10:873,198222,198222,0,0,10182252,0,3656 85,3,2024-09-07 08:38:10:694,1,159,2,0,789,2468,159,0 86,0,2024-09-07 08:38:10:910,39186,0.5,40259,0.7,77143,0.5,104460,2.00 86,1,2024-09-07 08:38:10:827,279138,279138,0,0,130729415302,1372150778,275181,3412,545,366,391961,0 86,2,2024-09-07 08:38:10:862,198545,198544,1,0,10532791,0,5004 86,3,2024-09-07 08:38:10:606,1,159,1,0,286,2387,159,0 87,0,2024-09-07 08:38:11:352,44583,1.5,44450,1.1,89223,2.2,120477,2.25 87,1,2024-09-07 08:38:10:555,279198,279198,0,0,130019708064,1364657924,275984,2823,391,366,391788,0 87,2,2024-09-07 08:38:11:068,202121,202121,0,0,8947907,0,3515 87,3,2024-09-07 08:38:11:810,1,159,4,0,335,2817,159,0 88,0,2024-09-07 08:38:11:459,39506,0.7,39642,0.8,79032,0.8,105752,1.75 88,1,2024-09-07 08:38:10:584,278426,278426,0,0,130054231897,1367477248,274388,2868,1170,365,391787,0 88,2,2024-09-07 08:38:10:695,203692,203692,0,0,10168322,0,3583 88,3,2024-09-07 08:38:11:280,1,159,7,0,435,2127,159,0 89,0,2024-09-07 08:38:11:794,38993,0.4,37751,0.7,74834,0.4,101572,1.75 89,1,2024-09-07 08:38:10:552,278150,278150,0,0,130364753917,1381239118,272719,4496,935,382,391866,0 89,2,2024-09-07 08:38:11:136,197915,197915,0,0,9829432,0,2910 89,3,2024-09-07 08:38:11:795,1,159,3,0,325,3158,159,0 90,0,2024-09-07 08:38:11:642,36356,0.4,37098,0.5,76288,0.3,99284,1.75 90,1,2024-09-07 08:38:10:609,280035,280035,0,0,130145579865,1368331091,276812,2966,257,382,391825,0 90,2,2024-09-07 08:38:11:410,198742,198742,0,0,10808789,0,3060 90,3,2024-09-07 08:38:10:935,1,159,8,0,200,1904,159,0 91,0,2024-09-07 08:38:10:962,43996,0.5,42427,0.6,88418,0.5,116910,1.75 91,1,2024-09-07 08:38:10:572,278886,278886,0,0,130464706717,1372827632,275135,3254,497,381,392047,0 91,2,2024-09-07 08:38:11:352,200769,200769,0,0,10146015,0,2445 91,3,2024-09-07 08:38:10:607,1,159,7,0,216,1874,159,0 92,0,2024-09-07 08:38:11:467,41284,0.8,42265,0.9,80870,1.0,109227,1.75 92,1,2024-09-07 08:38:10:585,279917,279917,0,0,130990631557,1369594638,277747,1768,402,382,392136,0 92,2,2024-09-07 08:38:11:360,206329,206329,0,0,8435795,0,2801 92,3,2024-09-07 08:38:11:013,1,159,5,0,68,1326,159,0 93,0,2024-09-07 08:38:10:999,37868,0.4,38665,0.6,73982,0.4,100284,1.75 93,1,2024-09-07 08:38:10:811,279506,279506,0,0,130420616071,1364096981,276402,2555,549,366,391692,0 93,2,2024-09-07 08:38:10:929,199396,199396,0,0,8271586,0,2509 93,3,2024-09-07 08:38:11:407,1,159,1,0,143,1702,159,0 94,0,2024-09-07 08:38:11:645,36264,0.3,36574,0.5,72442,0.2,95911,1.50 94,1,2024-09-07 08:38:10:568,279714,279714,0,0,130553188111,1367178991,277270,2349,95,381,391850,0 94,2,2024-09-07 08:38:10:773,199072,199072,0,0,8158254,0,2443 94,3,2024-09-07 08:38:11:694,1,159,9,0,264,2405,159,0 95,0,2024-09-07 08:38:11:382,41278,0.4,41056,0.5,82660,0.3,110026,1.75 95,1,2024-09-07 08:38:10:863,280229,280229,0,0,130361466070,1357870066,277855,2192,182,367,391662,0 95,2,2024-09-07 08:38:11:026,200744,200744,0,0,8457817,0,3308 95,3,2024-09-07 08:38:11:716,1,159,3,0,718,3048,159,0 96,0,2024-09-07 08:38:11:034,43006,0.6,43100,0.7,86473,0.6,113869,1.75 96,1,2024-09-07 08:38:11:620,279235,279235,0,0,130991637870,1371962652,276638,1955,642,385,391894,0 96,2,2024-09-07 08:38:11:272,204275,204275,0,0,9112787,0,4042 96,3,2024-09-07 08:38:11:182,1,159,1,0,188,1846,159,0 97,0,2024-09-07 08:38:11:350,37828,0.4,37701,0.6,75492,0.4,100042,1.75 97,1,2024-09-07 08:38:10:766,280110,280110,0,0,131270831473,1367314885,277314,2248,548,367,392140,0 97,2,2024-09-07 08:38:10:617,201692,201692,0,0,8157240,0,3036 97,3,2024-09-07 08:38:10:578,1,159,4,0,165,1898,159,0 98,0,2024-09-07 08:38:11:742,37653,0.2,37581,0.4,75325,0.2,100008,1.50 98,1,2024-09-07 08:38:10:578,280109,280109,0,0,130909002195,1366198947,278293,1726,90,382,391997,0 98,2,2024-09-07 08:38:10:771,199018,199018,0,0,8545115,0,3080 98,3,2024-09-07 08:38:10:701,1,159,1,0,840,3067,159,0 99,0,2024-09-07 08:38:11:477,39994,0.3,40003,0.4,79957,0.2,107004,1.50 99,1,2024-09-07 08:38:11:748,280108,280108,0,0,131014564363,1370032136,277047,2365,696,381,391744,0 99,2,2024-09-07 08:38:11:421,201850,201850,0,0,8291115,0,2615 99,3,2024-09-07 08:38:10:584,1,159,4,0,129,1133,159,0 100,0,2024-09-07 08:38:11:489,44809,1.2,44717,1.3,89463,2.1,119387,2.50 100,1,2024-09-07 08:38:10:588,277463,277463,0,0,129798838067,1379821781,272209,4323,931,381,391989,0 100,2,2024-09-07 08:38:11:820,202334,202323,11,0,9797290,0,5417 100,3,2024-09-07 08:38:11:737,1,159,1,0,559,3674,159,0 101,0,2024-09-07 08:38:11:808,39496,3.0,38470,1.6,75517,3.7,104372,3.00 101,1,2024-09-07 08:38:10:564,278433,278433,0,0,130311675591,1380736799,273072,3884,1477,368,391769,0 101,2,2024-09-07 08:38:11:770,202837,202837,0,0,10782007,0,4644 101,3,2024-09-07 08:38:10:953,1,159,1,0,448,2026,159,0 102,0,2024-09-07 08:38:10:948,37048,0.6,38422,0.8,77079,0.4,101028,2.00 102,1,2024-09-07 08:38:11:178,279104,279104,0,0,130247381954,1373562086,275019,3373,712,369,391883,0 102,2,2024-09-07 08:38:11:737,198528,198474,54,0,10127088,0,6768 102,3,2024-09-07 08:38:11:627,1,159,5,0,410,1688,159,0 103,0,2024-09-07 08:38:11:616,39595,0.4,39625,0.6,74663,0.3,103275,1.75 103,1,2024-09-07 08:38:11:636,278050,278050,0,0,130118579319,1375206401,273608,3233,1209,381,391829,0 103,2,2024-09-07 08:38:10:597,199343,199343,0,0,9078873,0,2104 103,3,2024-09-07 08:38:10:758,1,159,1,0,916,2915,159,0 104,0,2024-09-07 08:38:11:056,44036,1.4,44251,1.2,87159,1.8,118357,2.50 104,1,2024-09-07 08:38:11:605,280017,280017,0,0,130208136844,1375401430,275018,4037,962,365,391948,0 104,2,2024-09-07 08:38:11:687,201201,201201,0,0,9935429,0,3941 104,3,2024-09-07 08:38:11:417,1,159,3,1,1245,5101,159,0 105,0,2024-09-07 08:38:11:055,39376,1.9,38259,1.5,79892,2.9,106107,3.75 105,1,2024-09-07 08:38:10:568,280166,280166,0,0,130285210110,1369433303,275959,3433,774,367,391797,0 105,2,2024-09-07 08:38:11:331,203429,203429,0,0,9385166,0,3509 105,3,2024-09-07 08:38:11:304,1,159,153,1,399,2532,159,0 106,0,2024-09-07 08:38:10:939,36479,0.7,37449,0.9,76176,0.7,99846,2.25 106,1,2024-09-07 08:38:11:792,279255,279255,0,0,130682780967,1372796601,275467,3404,384,369,391767,0 106,2,2024-09-07 08:38:10:755,197799,197799,0,0,9393333,0,2795 106,3,2024-09-07 08:38:10:681,1,159,29,0,405,2404,159,0 107,0,2024-09-07 08:38:11:100,36860,0.4,36981,0.6,73402,0.3,98347,1.75 107,1,2024-09-07 08:38:10:606,278855,278855,0,0,130675881786,1374551897,275875,2750,230,381,392234,0 107,2,2024-09-07 08:38:11:296,199346,199345,1,0,9504121,0,5024 107,3,2024-09-07 08:38:11:760,1,159,7,0,353,2367,159,0 108,0,2024-09-07 08:38:11:817,42262,0.4,42338,0.6,84263,0.4,112823,1.75 108,1,2024-09-07 08:38:11:349,279351,279351,0,0,130830460638,1367186763,276696,2344,311,368,391857,0 108,2,2024-09-07 08:38:11:755,199732,199732,0,0,8888457,0,2647 108,3,2024-09-07 08:38:11:330,1,159,1,0,667,3231,159,0 109,0,2024-09-07 08:38:11:753,41949,0.5,41692,0.7,83296,0.5,111516,1.75 109,1,2024-09-07 08:38:10:596,279083,279083,0,0,130484153569,1371189320,276306,2281,496,383,392132,0 109,2,2024-09-07 08:38:10:948,203945,203945,0,0,9213667,0,3617 109,3,2024-09-07 08:38:11:148,1,159,17,0,249,2260,159,0 110,0,2024-09-07 08:38:11:787,37618,0.4,36508,0.6,76302,0.3,99834,1.75 110,1,2024-09-07 08:38:11:656,280174,280174,0,0,130970172177,1364448941,277560,1849,765,370,391667,0 110,2,2024-09-07 08:38:11:310,200805,200805,0,0,8148484,0,2915 110,3,2024-09-07 08:38:10:700,1,159,10,0,406,2306,159,0 111,0,2024-09-07 08:38:11:415,37079,0.2,36538,0.4,73133,0.1,97695,1.50 111,1,2024-09-07 08:38:11:014,280582,280582,0,0,131493938521,1365962220,278806,1444,332,382,391690,0 111,2,2024-09-07 08:38:11:126,198558,198558,0,0,8932650,0,2763 111,3,2024-09-07 08:38:10:936,1,159,2,0,379,2546,159,0 112,0,2024-09-07 08:38:10:911,40624,0.3,40618,0.5,81201,0.2,108389,1.50 112,1,2024-09-07 08:38:10:825,280906,280906,0,0,131227955143,1365909392,278579,1901,426,381,391580,0 112,2,2024-09-07 08:38:11:135,200093,200092,1,0,8980879,0,5036 112,3,2024-09-07 08:38:10:598,1,159,2,0,282,1880,159,0 113,0,2024-09-07 08:38:10:867,43401,0.4,43297,0.6,87354,0.4,116338,1.75 113,1,2024-09-07 08:38:11:696,280397,280397,0,0,131117373222,1359277952,277982,1927,488,366,391661,0 113,2,2024-09-07 08:38:11:304,204942,204942,0,0,7909776,0,3813 113,3,2024-09-07 08:38:10:688,1,159,4,0,288,2378,159,0 114,0,2024-09-07 08:38:10:891,39205,0.6,39810,0.7,77964,0.5,104603,2.00 114,1,2024-09-07 08:38:10:720,280112,280112,0,0,130024769296,1363497866,275983,2666,1463,381,391534,0 114,2,2024-09-07 08:38:10:884,202807,202807,0,0,8170028,0,3925 114,3,2024-09-07 08:38:11:280,1,159,2,0,395,1986,159,0 115,0,2024-09-07 08:38:10:557,37632,0.2,38032,0.4,76027,0.2,100978,1.50 115,1,2024-09-07 08:38:10:578,280175,280175,0,0,130906838088,1367091206,276532,2822,821,382,391602,0 115,2,2024-09-07 08:38:11:137,199109,199109,0,0,7627644,0,2152 115,3,2024-09-07 08:38:11:012,1,159,1,0,159,1067,159,0 116,0,2024-09-07 08:38:11:711,39393,0.6,38835,0.8,78129,0.6,104398,2.00 116,1,2024-09-07 08:38:10:807,277882,277882,0,0,129623946408,1380478663,272978,3150,1754,382,391677,0 116,2,2024-09-07 08:38:11:755,198710,198710,0,0,10811728,0,3529 116,3,2024-09-07 08:38:10:923,1,159,73,0,252,2427,159,0 117,0,2024-09-07 08:38:10:988,44389,1.4,44546,1.1,89063,2.0,120330,2.00 117,1,2024-09-07 08:38:11:585,279501,279501,0,0,130520938114,1371198992,275832,3242,427,370,392033,0 117,2,2024-09-07 08:38:11:133,203764,203764,0,0,8708954,0,3700 117,3,2024-09-07 08:38:11:063,1,159,1,0,490,3338,159,0 118,0,2024-09-07 08:38:11:802,38456,1.0,39597,0.9,80315,1.2,105036,2.25 118,1,2024-09-07 08:38:10:594,279018,279018,0,0,129622105232,1370807607,274174,3523,1321,366,391736,0 118,2,2024-09-07 08:38:11:590,203266,203266,0,0,9784975,0,2781 118,3,2024-09-07 08:38:11:770,1,159,15,0,235,2142,159,0 119,0,2024-09-07 08:38:11:391,37545,0.7,37682,0.8,76388,0.6,100621,2.00 119,1,2024-09-07 08:38:10:558,279590,279590,0,0,130860378172,1367715080,277109,2193,288,369,391641,0 119,2,2024-09-07 08:38:11:272,197648,197648,0,0,9028747,0,3526 119,3,2024-09-07 08:38:11:335,1,159,2,0,443,2914,159,0 120,0,2024-09-07 08:38:11:630,37244,0.4,37286,0.7,74541,0.4,99550,2.00 120,1,2024-09-07 08:38:10:862,279888,279888,0,0,130263230325,1369514506,276599,3027,262,368,391961,0 120,2,2024-09-07 08:38:10:779,199007,199006,1,0,11146900,0,5281 120,3,2024-09-07 08:38:11:292,1,159,73,0,241,2282,159,0 121,0,2024-09-07 08:38:11:725,43252,1.5,43692,1.2,86911,2.4,116439,2.25 121,1,2024-09-07 08:38:11:847,279650,279650,0,0,130394414974,1366047136,276894,2468,288,367,391840,0 121,2,2024-09-07 08:38:11:131,200664,200664,0,0,10128100,0,4127 121,3,2024-09-07 08:38:10:741,1,159,1,0,269,2225,159,0 122,0,2024-09-07 08:38:11:881,40705,1.3,39589,1.2,82977,1.4,109301,2.25 122,1,2024-09-07 08:38:10:862,278695,278695,0,0,130239743353,1370992911,274560,3428,707,366,392130,0 122,2,2024-09-07 08:38:11:328,204274,204274,0,0,11227567,0,3364 122,3,2024-09-07 08:38:10:597,1,159,53,0,411,3798,159,0 123,0,2024-09-07 08:38:10:961,37545,0.8,36579,0.8,76619,1.0,99758,2.00 123,1,2024-09-07 08:38:10:608,279773,279773,0,0,130686191223,1378000841,274697,4382,694,369,391823,0 123,2,2024-09-07 08:38:11:024,197587,197586,1,0,9629417,0,5215 123,3,2024-09-07 08:38:11:135,1,159,1,0,168,1977,159,0 124,0,2024-09-07 08:38:10:949,37350,0.3,37286,0.5,70482,0.2,96229,1.50 124,1,2024-09-07 08:38:11:025,279897,279897,0,0,130859411966,1361092529,277812,1729,356,367,392178,0 124,2,2024-09-07 08:38:11:013,199567,199567,0,0,8178836,0,3101 124,3,2024-09-07 08:38:10:775,1,159,14,0,490,2255,159,0 125,0,2024-09-07 08:38:11:445,41268,0.4,41231,0.6,82520,0.4,110244,1.75 125,1,2024-09-07 08:38:10:860,280126,280126,0,0,130849647853,1368028242,277761,2090,275,384,391702,0 125,2,2024-09-07 08:38:11:120,201349,201349,0,0,8168513,0,2180 125,3,2024-09-07 08:38:11:130,1,159,1,0,284,2114,159,0 126,0,2024-09-07 08:38:11:426,43094,0.8,44221,0.8,84556,0.8,114604,2.00 126,1,2024-09-07 08:38:10:582,280261,280261,0,0,131421974962,1363777714,278627,1556,78,365,391987,0 126,2,2024-09-07 08:38:10:622,204736,204736,0,0,8528556,0,3186 126,3,2024-09-07 08:38:10:911,1,159,1,0,150,2271,159,0 127,0,2024-09-07 08:38:11:624,37545,0.4,37782,0.6,75300,0.4,99963,1.75 127,1,2024-09-07 08:38:10:583,279841,279841,0,0,130903416434,1358014447,277777,2023,41,365,391816,0 127,2,2024-09-07 08:38:10:660,202002,202002,0,0,7998129,0,2264 127,3,2024-09-07 08:38:11:280,1,159,7,0,243,1413,159,0 128,0,2024-09-07 08:38:11:547,37883,0.3,37630,0.4,75357,0.2,100139,1.50 128,1,2024-09-07 08:38:11:632,280338,280338,0,0,130637689123,1354414762,279360,906,72,367,391680,0 128,2,2024-09-07 08:38:11:394,198311,198311,0,0,8029449,0,2107 128,3,2024-09-07 08:38:10:773,1,159,16,0,333,2367,159,0 129,0,2024-09-07 08:38:11:037,40237,0.3,40023,0.5,79917,0.2,106845,1.50 129,1,2024-09-07 08:38:10:603,278811,278811,0,0,129982720920,1363860578,275884,2534,393,379,391835,0 129,2,2024-09-07 08:38:10:687,199865,199865,0,0,8427468,0,4031 129,3,2024-09-07 08:38:10:693,1,159,3,0,173,1917,159,0 130,0,2024-09-07 08:38:11:744,45181,0.8,44937,0.8,90270,0.9,120298,2.00 130,1,2024-09-07 08:38:10:602,280113,280113,0,0,130667657507,1363521144,278004,2037,72,381,391825,0 130,2,2024-09-07 08:38:11:126,203940,203940,0,0,8306821,0,4067 130,3,2024-09-07 08:38:11:292,1,159,1,0,450,1945,159,0 131,0,2024-09-07 08:38:11:970,38953,0.6,39122,0.7,79253,0.7,104749,1.75 131,1,2024-09-07 08:38:11:844,281140,281140,0,0,130995990151,1364316597,279673,1261,206,383,391865,0 131,2,2024-09-07 08:38:10:571,203942,203942,0,0,7877627,0,2415 131,3,2024-09-07 08:38:11:689,1,159,2,0,392,1844,159,0 132,0,2024-09-07 08:38:11:426,37667,0.5,38141,0.7,76308,0.4,101423,2.00 132,1,2024-09-07 08:38:10:581,278451,278451,0,0,130157136096,1379542642,273037,4383,1031,381,391760,0 132,2,2024-09-07 08:38:10:705,197727,197727,0,0,10825415,0,4606 132,3,2024-09-07 08:38:11:691,1,159,1,0,356,3013,159,0 133,0,2024-09-07 08:38:11:564,37431,0.4,38286,0.6,78587,0.3,102254,1.75 133,1,2024-09-07 08:38:10:597,278139,278139,0,0,130237389608,1377602905,273633,3865,641,383,391914,0 133,2,2024-09-07 08:38:11:090,199018,199018,0,0,10897490,0,4315 133,3,2024-09-07 08:38:11:315,1,159,13,0,187,1448,159,0 134,0,2024-09-07 08:38:10:963,44192,0.6,44118,0.8,88950,0.6,118157,2.00 134,1,2024-09-07 08:38:10:609,278805,278805,0,0,130358981851,1370994072,274426,3157,1222,366,391718,0 134,2,2024-09-07 08:38:11:758,201561,201561,0,0,9261560,0,3096 134,3,2024-09-07 08:38:10:751,1,159,10,0,739,2980,159,0 135,0,2024-09-07 08:38:11:121,38702,1.6,38661,1.3,81981,1.9,105806,2.50 135,1,2024-09-07 08:38:11:636,278555,278555,0,0,130953299417,1380727414,274365,3486,704,380,391805,0 135,2,2024-09-07 08:38:10:694,204421,204421,0,0,10014905,0,3981 135,3,2024-09-07 08:38:11:007,1,159,2,0,89,884,159,0 136,0,2024-09-07 08:38:11:629,37872,0.5,37772,0.8,75905,0.5,100761,2.00 136,1,2024-09-07 08:38:11:500,278922,278922,0,0,130294618707,1367419929,275605,3144,173,383,391641,0 136,2,2024-09-07 08:38:11:142,199410,199410,0,0,9366408,0,3506 136,3,2024-09-07 08:38:11:114,1,159,1,0,108,1327,159,0 137,0,2024-09-07 08:38:10:983,37856,0.5,36772,0.7,72434,0.4,98532,1.75 137,1,2024-09-07 08:38:10:658,279313,279313,0,0,130988755241,1373358887,275179,3715,419,367,391708,0 137,2,2024-09-07 08:38:11:718,198798,198798,0,0,10840129,0,3185 137,3,2024-09-07 08:38:10:773,1,159,14,1,227,1884,159,0 138,0,2024-09-07 08:38:11:838,41733,1.3,41769,1.1,84288,1.8,112562,2.25 138,1,2024-09-07 08:38:11:692,279518,279518,0,0,130508102014,1366092944,276477,2689,352,368,391954,0 138,2,2024-09-07 08:38:10:603,201724,201724,0,0,8866181,0,3263 138,3,2024-09-07 08:38:10:627,1,159,0,0,1160,3165,159,0 139,0,2024-09-07 08:38:11:399,40727,3.0,40934,1.7,82438,4.3,110217,3.25 139,1,2024-09-07 08:38:10:587,278253,278253,0,0,129834494703,1380175347,272983,3946,1324,381,391892,0 139,2,2024-09-07 08:38:10:702,202706,202706,0,0,10062451,0,3097 139,3,2024-09-07 08:38:11:704,1,159,1,0,244,1829,159,0 140,0,2024-09-07 08:38:11:589,37791,0.4,37470,0.6,75509,0.3,99871,1.75 140,1,2024-09-07 08:38:11:536,280670,280670,0,0,131315184913,1355811329,279154,1276,240,365,391606,0 140,2,2024-09-07 08:38:10:695,200713,200713,0,0,8497282,0,3388 140,3,2024-09-07 08:38:10:774,1,159,1,0,247,1436,159,0 141,0,2024-09-07 08:38:11:702,36626,0.2,37747,0.4,72285,0.1,97639,1.50 141,1,2024-09-07 08:38:10:863,280383,280383,0,0,131150583183,1362411585,278444,1586,353,379,391614,0 141,2,2024-09-07 08:38:11:696,199059,199059,0,0,7988517,0,2342 141,3,2024-09-07 08:38:11:043,1,159,1,0,147,1309,159,0 142,0,2024-09-07 08:38:11:353,41054,0.3,40798,0.5,80864,0.2,108523,1.50 142,1,2024-09-07 08:38:10:594,280052,280052,0,0,131113330439,1367413958,278508,1385,159,383,391728,0 142,2,2024-09-07 08:38:11:312,199082,199050,32,0,9311679,0,6028 142,3,2024-09-07 08:38:11:760,1,159,36,0,484,2205,159,0 143,0,2024-09-07 08:38:11:379,43625,0.7,43607,0.7,87745,0.8,116164,2.00 143,1,2024-09-07 08:38:10:560,280136,280136,0,0,130879380412,1359920172,278026,2067,43,367,391619,0 143,2,2024-09-07 08:38:10:773,203848,203848,0,0,8625121,0,2669 143,3,2024-09-07 08:38:11:148,1,159,2,0,303,2606,159,0 144,0,2024-09-07 08:38:11:548,37453,0.9,38598,1.4,78229,0.9,103079,2.25 144,1,2024-09-07 08:38:10:573,279240,279240,0,0,129976178456,1365106290,276843,2194,203,381,391649,0 144,2,2024-09-07 08:38:11:757,202895,202895,0,0,8466730,0,3473 144,3,2024-09-07 08:38:11:747,1,159,3,0,249,2105,159,0 145,0,2024-09-07 08:38:11:367,36500,0.5,36518,0.8,77464,0.4,100546,2.00 145,1,2024-09-07 08:38:10:562,277847,277847,0,0,130092430105,1374296815,273454,3656,737,383,391615,0 145,2,2024-09-07 08:38:11:437,198014,198014,0,0,9453826,0,3903 145,3,2024-09-07 08:38:10:911,1,159,1,0,151,1843,159,0 146,0,2024-09-07 08:38:11:602,39065,0.4,38859,0.6,78458,0.4,104041,2.00 146,1,2024-09-07 08:38:11:586,279805,279805,0,0,130166901950,1367365399,275350,3595,860,368,391629,0 146,2,2024-09-07 08:38:11:713,200012,200012,0,0,9029250,0,2498 146,3,2024-09-07 08:38:11:283,1,159,3,0,1520,5176,159,0 147,0,2024-09-07 08:38:11:867,44624,0.9,44566,0.9,88565,0.8,120073,2.25 147,1,2024-09-07 08:38:11:375,280617,280617,0,0,130876857616,1364908040,277516,2605,496,368,391791,0 147,2,2024-09-07 08:38:11:010,203566,203566,0,0,8442220,0,2789 147,3,2024-09-07 08:38:10:923,1,159,0,0,371,2000,159,0 0,0,2024-09-07 08:38:21:748,36491,0.4,36543,0.6,77348,0.4,100087,1.75 0,1,2024-09-07 08:38:20:862,281293,281293,0,0,132015572096,1382182791,279327,1830,136,372,391772,0 0,2,2024-09-07 08:38:21:069,200755,200755,0,0,8714021,0,4480 0,3,2024-09-07 08:38:20:977,1,160,3,0,247,2050,160,0 1,0,2024-09-07 08:38:21:867,44063,1.3,43700,1.2,87606,1.8,117619,2.25 1,1,2024-09-07 08:38:20:601,280997,280997,0,0,131026943514,1376961031,277560,2570,867,371,391857,0 1,2,2024-09-07 08:38:20:645,202372,202372,0,0,7940785,0,3267 1,3,2024-09-07 08:38:21:309,1,160,0,0,262,1968,160,0 2,0,2024-09-07 08:38:21:600,40916,1.2,41064,1.1,81630,1.7,108890,2.25 2,1,2024-09-07 08:38:20:862,281198,281198,0,0,131758560032,1371072415,279690,1302,206,380,391745,0 2,2,2024-09-07 08:38:21:276,205582,205582,0,0,8770047,0,3594 2,3,2024-09-07 08:38:20:710,1,160,3,0,357,1692,160,0 3,0,2024-09-07 08:38:21:751,37339,0.5,37550,0.7,75135,0.5,99356,2.00 3,1,2024-09-07 08:38:21:622,281036,281036,0,0,130989083585,1369154449,277927,2685,424,380,391516,0 3,2,2024-09-07 08:38:21:145,200188,200165,23,0,8676094,0,5851 3,3,2024-09-07 08:38:21:758,1,160,2,0,103,1011,160,0 4,0,2024-09-07 08:38:21:851,35222,0.3,36213,0.4,73685,0.2,96386,1.50 4,1,2024-09-07 08:38:20:600,281440,281440,0,0,131330544256,1381499254,278459,2459,522,371,391846,0 4,2,2024-09-07 08:38:21:026,199371,199371,0,0,10437687,0,4528 4,3,2024-09-07 08:38:21:027,1,160,4,0,287,2188,160,0 5,0,2024-09-07 08:38:21:378,41538,0.5,41553,0.6,83182,0.5,111157,1.75 5,1,2024-09-07 08:38:20:769,280721,280721,0,0,131004516393,1382854373,276514,3219,988,368,392005,0 5,2,2024-09-07 08:38:21:864,201483,201483,0,0,9013396,0,2259 5,3,2024-09-07 08:38:21:734,1,160,2,0,238,2398,160,0 6,0,2024-09-07 08:38:20:925,43466,1.0,43053,1.0,85498,1.3,114654,2.25 6,1,2024-09-07 08:38:20:761,281649,281649,0,0,131435235223,1378460724,278249,2752,648,381,391617,0 6,2,2024-09-07 08:38:21:118,205976,205976,0,0,9252476,0,4816 6,3,2024-09-07 08:38:21:279,1,160,7,0,340,2332,160,0 7,0,2024-09-07 08:38:21:537,37255,0.5,37137,0.7,74571,0.4,99151,2.00 7,1,2024-09-07 08:38:20:850,281289,281289,0,0,132090668938,1384174858,278471,2686,132,382,391664,0 7,2,2024-09-07 08:38:20:776,202184,202184,0,0,8430787,0,2981 7,3,2024-09-07 08:38:20:852,1,160,7,0,305,1706,160,0 8,0,2024-09-07 08:38:21:339,37724,0.3,37819,0.4,75327,0.2,100459,1.50 8,1,2024-09-07 08:38:21:025,280841,280841,0,0,131200348506,1387894998,275230,4010,1601,367,391956,0 8,2,2024-09-07 08:38:20:795,197312,197312,0,0,10104835,0,2986 8,3,2024-09-07 08:38:20:600,1,160,1,0,357,2621,160,0 9,0,2024-09-07 08:38:21:176,40483,0.3,39248,0.5,82047,0.3,107993,1.75 9,1,2024-09-07 08:38:20:555,280496,280496,0,0,131546741324,1390142462,275942,3424,1130,370,391753,0 9,2,2024-09-07 08:38:21:108,200944,200944,0,0,9330282,0,3360 9,3,2024-09-07 08:38:21:762,1,160,19,0,496,2671,160,0 10,0,2024-09-07 08:38:21:608,45281,0.4,44937,0.6,90302,0.3,119852,1.75 10,1,2024-09-07 08:38:20:583,281088,281088,0,0,131239795763,1379553579,276617,3830,641,381,391741,0 10,2,2024-09-07 08:38:20:764,204719,204719,0,0,9600573,0,2940 10,3,2024-09-07 08:38:20:889,1,160,1,0,177,1240,160,0 11,0,2024-09-07 08:38:21:016,38600,0.8,37644,1.0,78798,0.9,105170,2.25 11,1,2024-09-07 08:38:20:588,281268,281268,0,0,131081967036,1381000134,275964,4034,1270,384,391537,0 11,2,2024-09-07 08:38:21:124,204203,204203,0,0,9367090,0,3411 11,3,2024-09-07 08:38:21:298,1,160,1,0,720,2823,160,0 12,0,2024-09-07 08:38:21:036,38578,0.3,38236,0.5,76768,0.2,101968,1.50 12,1,2024-09-07 08:38:20:985,281012,281012,0,0,131035494992,1370874498,278120,2479,413,370,391837,0 12,2,2024-09-07 08:38:21:541,200529,200529,0,0,9446474,0,3469 12,3,2024-09-07 08:38:21:060,1,160,5,0,358,2700,160,0 13,0,2024-09-07 08:38:21:506,39060,0.3,38966,0.5,77828,0.3,104204,1.75 13,1,2024-09-07 08:38:21:548,281024,281024,0,0,131466191941,1380800005,278602,2013,409,382,391717,0 13,2,2024-09-07 08:38:20:614,201787,201787,0,0,8640920,0,3287 13,3,2024-09-07 08:38:21:763,1,160,2,0,467,3229,160,0 14,0,2024-09-07 08:38:20:572,44853,0.4,44998,0.6,89218,0.4,118960,1.75 14,1,2024-09-07 08:38:21:568,282889,282889,0,0,131973180225,1370280277,280622,2104,163,364,391571,0 14,2,2024-09-07 08:38:20:764,203008,203008,0,0,8787145,0,2896 14,3,2024-09-07 08:38:21:116,1,160,5,0,1168,2901,160,0 15,0,2024-09-07 08:38:21:566,40051,1.0,40070,1.1,80364,1.3,107211,2.50 15,1,2024-09-07 08:38:21:608,281035,281035,0,0,131409095470,1368963248,279120,1806,109,381,391619,0 15,2,2024-09-07 08:38:21:000,206300,206300,0,0,7447947,0,3043 15,3,2024-09-07 08:38:21:405,1,160,1,0,1126,4297,160,0 16,0,2024-09-07 08:38:21:017,37898,0.5,38209,0.7,75695,0.5,101219,2.00 16,1,2024-09-07 08:38:20:563,281810,281810,0,0,131667061835,1377911994,279584,1964,262,370,391756,0 16,2,2024-09-07 08:38:21:434,198969,198969,0,0,9720390,0,4719 16,3,2024-09-07 08:38:21:142,1,160,5,0,231,2235,160,0 17,0,2024-09-07 08:38:21:769,38281,0.4,37311,0.6,73323,0.4,99413,1.75 17,1,2024-09-07 08:38:20:581,280744,280744,0,0,130954295738,1378379102,277386,2686,672,368,391688,0 17,2,2024-09-07 08:38:21:681,202725,202725,0,0,8680819,0,2857 17,3,2024-09-07 08:38:20:574,1,160,1,0,268,2474,160,0 18,0,2024-09-07 08:38:20:946,42019,0.8,42229,0.9,84384,0.8,113251,2.25 18,1,2024-09-07 08:38:21:641,281375,281375,0,0,131754590629,1369049773,279367,1774,234,368,391564,0 18,2,2024-09-07 08:38:21:760,202387,202387,0,0,8684164,0,3541 18,3,2024-09-07 08:38:20:910,1,160,0,0,163,1734,160,0 19,0,2024-09-07 08:38:21:562,41405,1.2,41864,1.0,82614,1.4,109750,2.75 19,1,2024-09-07 08:38:20:576,281405,281405,0,0,131984170311,1377837965,277592,3097,716,367,391777,0 19,2,2024-09-07 08:38:21:759,206874,206874,0,0,7951584,0,3988 19,3,2024-09-07 08:38:21:130,1,160,0,0,524,1491,160,0 20,0,2024-09-07 08:38:21:361,37507,0.6,37455,0.8,75144,0.7,99861,2.25 20,1,2024-09-07 08:38:20:579,280582,280582,0,0,131443935340,1381115480,277115,3076,391,369,391822,0 20,2,2024-09-07 08:38:20:979,200870,200870,0,0,9061232,0,3721 20,3,2024-09-07 08:38:20:596,1,160,42,0,414,2905,160,0 21,0,2024-09-07 08:38:21:232,37099,0.3,37137,0.5,73844,0.3,97428,1.75 21,1,2024-09-07 08:38:21:556,280733,280733,0,0,130538289936,1377034533,277064,3135,534,368,391962,0 21,2,2024-09-07 08:38:21:085,200092,200092,0,0,9892680,0,3747 21,3,2024-09-07 08:38:21:408,1,160,2,0,103,1947,160,0 22,0,2024-09-07 08:38:21:752,40781,0.6,40820,0.8,81100,0.6,108608,2.00 22,1,2024-09-07 08:38:21:025,280432,280432,0,0,130942894894,1384698328,274890,4033,1509,382,391667,0 22,2,2024-09-07 08:38:20:773,201342,201342,0,0,8489485,0,3134 22,3,2024-09-07 08:38:21:067,1,160,1,0,228,1821,160,0 23,0,2024-09-07 08:38:21:379,43378,0.9,43442,0.9,87118,1.2,115914,2.50 23,1,2024-09-07 08:38:21:004,281270,281270,0,0,131683289207,1379916386,277174,2614,1482,365,391549,0 23,2,2024-09-07 08:38:21:100,204698,204698,0,0,8802121,0,3010 23,3,2024-09-07 08:38:21:762,1,160,13,0,645,1810,160,0 24,0,2024-09-07 08:38:20:894,39450,0.5,39458,0.6,78959,0.5,104815,1.75 24,1,2024-09-07 08:38:20:596,281421,281421,0,0,130919843034,1371997686,278459,2163,799,369,391640,0 24,2,2024-09-07 08:38:21:070,202546,202546,0,0,10048017,0,2942 24,3,2024-09-07 08:38:21:686,1,160,15,0,234,1817,160,0 25,0,2024-09-07 08:38:21:390,39120,0.4,38186,0.6,74927,0.3,102100,1.75 25,1,2024-09-07 08:38:20:593,281132,281132,0,0,131045835124,1377890639,277441,3148,543,371,391788,0 25,2,2024-09-07 08:38:21:614,198652,198652,0,0,10177909,0,3978 25,3,2024-09-07 08:38:21:022,1,160,1,0,255,2055,160,0 26,0,2024-09-07 08:38:21:726,39144,0.3,38319,0.5,80262,0.3,105024,1.75 26,1,2024-09-07 08:38:21:540,281384,281384,0,0,131021330869,1377820717,276723,3760,901,381,391564,0 26,2,2024-09-07 08:38:20:862,201512,201512,0,0,9319935,0,2809 26,3,2024-09-07 08:38:21:715,1,160,1,0,796,2233,160,0 27,0,2024-09-07 08:38:21:741,45535,0.6,45535,0.7,90287,0.6,121276,2.00 27,1,2024-09-07 08:38:21:682,282803,282803,0,0,131851936162,1370780233,280983,1497,323,381,391539,0 27,2,2024-09-07 08:38:20:870,202929,202929,0,0,9970795,0,3409 27,3,2024-09-07 08:38:21:017,1,160,4,0,564,1935,160,0 28,0,2024-09-07 08:38:21:400,39499,0.8,39662,0.9,79838,1.1,106564,2.50 28,1,2024-09-07 08:38:20:822,281864,281864,0,0,132406572753,1381230204,279702,1826,336,383,391646,0 28,2,2024-09-07 08:38:21:769,205534,205534,0,0,7836512,0,2915 28,3,2024-09-07 08:38:21:784,1,160,0,0,502,2006,160,0 29,0,2024-09-07 08:38:21:359,38981,0.3,38178,0.6,74428,0.3,101752,1.75 29,1,2024-09-07 08:38:21:562,282876,282876,0,0,131145759156,1360718220,280447,1886,543,369,391621,0 29,2,2024-09-07 08:38:20:864,199388,199388,0,0,7588714,0,4018 29,3,2024-09-07 08:38:20:985,1,160,1,0,105,1228,160,0 30,0,2024-09-07 08:38:21:463,37496,0.5,36519,0.7,76272,0.4,100295,2.00 30,1,2024-09-07 08:38:20:572,282319,282319,0,0,131266812171,1364882676,280331,1710,278,382,391672,0 30,2,2024-09-07 08:38:21:286,201611,201611,0,0,7612728,0,3161 30,3,2024-09-07 08:38:20:581,1,160,1,0,195,1208,160,0 31,0,2024-09-07 08:38:21:768,43502,0.5,43829,0.7,88274,0.5,117330,2.00 31,1,2024-09-07 08:38:20:568,282803,282803,0,0,131822177374,1351851433,282002,689,112,356,391553,0 31,2,2024-09-07 08:38:21:279,202747,202747,0,0,9398355,0,3525 31,3,2024-09-07 08:38:21:714,1,160,5,0,220,1344,160,0 32,0,2024-09-07 08:38:21:425,40927,0.5,41268,0.7,82605,0.5,109633,1.75 32,1,2024-09-07 08:38:20:814,282141,282141,0,0,131865419467,1370707658,280398,1468,275,382,391595,0 32,2,2024-09-07 08:38:20:959,205874,205874,0,0,7767931,0,3155 32,3,2024-09-07 08:38:21:015,1,160,1,0,227,1471,160,0 33,0,2024-09-07 08:38:21:501,38023,0.3,37385,0.5,75519,0.3,100327,1.75 33,1,2024-09-07 08:38:20:579,282789,282789,0,0,132015320798,1368556591,280530,2087,172,369,391730,0 33,2,2024-09-07 08:38:20:763,200048,200015,33,0,9535351,0,7012 33,3,2024-09-07 08:38:20:901,1,160,0,0,329,1860,160,0 34,0,2024-09-07 08:38:20:971,36409,0.3,37559,0.4,71993,0.2,96479,1.50 34,1,2024-09-07 08:38:21:049,282815,282815,0,0,132732770849,1368040886,281819,990,6,367,391562,0 34,2,2024-09-07 08:38:20:766,199901,199901,0,0,8494644,0,3577 34,3,2024-09-07 08:38:21:699,1,160,1,0,148,1046,160,0 35,0,2024-09-07 08:38:20:880,41321,0.4,41613,0.5,83269,0.3,111465,1.75 35,1,2024-09-07 08:38:21:069,281719,281719,0,0,131354855134,1366572803,278919,2030,770,384,391589,0 35,2,2024-09-07 08:38:21:588,202117,202117,0,0,7877207,0,2653 35,3,2024-09-07 08:38:20:909,1,160,1,0,418,1973,160,0 36,0,2024-09-07 08:38:21:538,43050,1.0,42912,1.0,86399,1.3,114247,2.50 36,1,2024-09-07 08:38:20:606,281548,281548,0,0,131773345984,1382879630,276928,3332,1288,366,391759,0 36,2,2024-09-07 08:38:21:751,204992,204992,0,0,9382429,0,3303 36,3,2024-09-07 08:38:20:872,1,160,14,0,378,2200,160,0 37,0,2024-09-07 08:38:21:372,37338,0.5,37339,0.7,74559,0.4,100067,2.00 37,1,2024-09-07 08:38:20:593,280771,280764,0,7,130853616361,1378512951,275991,2754,2019,365,391560,0 37,2,2024-09-07 08:38:21:148,202250,202235,15,0,9447229,0,5815 37,3,2024-09-07 08:38:21:769,1,160,2,0,724,2471,160,0 38,0,2024-09-07 08:38:21:444,37551,0.4,36532,0.6,76160,0.3,100039,2.00 38,1,2024-09-07 08:38:21:606,281710,281710,0,0,131111490855,1373323955,277672,3406,632,368,391821,0 38,2,2024-09-07 08:38:20:764,199990,199943,47,0,11015210,0,6710 38,3,2024-09-07 08:38:21:003,1,160,1,0,603,2189,160,0 39,0,2024-09-07 08:38:21:773,41521,0.6,40609,0.7,79212,0.6,108488,2.00 39,1,2024-09-07 08:38:20:717,281644,281644,0,0,130784839075,1367020774,277856,2987,801,365,391524,0 39,2,2024-09-07 08:38:21:418,201213,201213,0,0,8163853,0,2689 39,3,2024-09-07 08:38:20:724,1,160,1,0,276,2067,160,0 40,0,2024-09-07 08:38:21:520,44477,1.0,45156,1.2,89853,1.0,119378,3.00 40,1,2024-09-07 08:38:20:583,281746,281746,0,0,130636939395,1370736870,277331,3646,769,368,391591,0 40,2,2024-09-07 08:38:21:303,203869,203868,1,0,10514991,0,5137 40,3,2024-09-07 08:38:21:142,1,160,2,0,181,1619,160,0 41,0,2024-09-07 08:38:21:048,38596,2.0,39395,1.7,75551,3.3,103740,4.25 41,1,2024-09-07 08:38:20:776,281003,281003,0,0,131436079092,1377862550,276809,3661,533,370,391742,0 41,2,2024-09-07 08:38:20:764,202911,202911,0,0,10212265,0,3356 41,3,2024-09-07 08:38:21:681,1,160,0,0,366,1966,160,0 42,0,2024-09-07 08:38:21:508,37566,0.5,37975,0.8,75778,0.4,100278,2.25 42,1,2024-09-07 08:38:21:444,280443,280443,0,0,131052243964,1380754013,275728,3757,958,380,391675,0 42,2,2024-09-07 08:38:21:140,198693,198693,0,0,9820826,0,3568 42,3,2024-09-07 08:38:21:018,1,160,3,0,446,1433,160,0 43,0,2024-09-07 08:38:20:924,38735,0.6,37946,0.9,78936,0.6,104276,2.00 43,1,2024-09-07 08:38:20:580,281255,281255,0,0,131989913460,1377401492,278011,2451,793,366,391604,0 43,2,2024-09-07 08:38:21:745,201601,201601,0,0,9135272,0,3812 43,3,2024-09-07 08:38:21:750,1,160,1,0,325,2140,160,0 44,0,2024-09-07 08:38:20:864,44692,0.5,44867,0.7,89431,0.5,119543,1.75 44,1,2024-09-07 08:38:20:594,281924,281924,0,0,131443784915,1359695051,279866,1633,425,356,391809,0 44,2,2024-09-07 08:38:21:268,202659,202659,0,0,7549684,0,1877 44,3,2024-09-07 08:38:21:112,1,160,1,0,817,2094,160,0 45,0,2024-09-07 08:38:21:765,39507,1.4,38846,1.2,81454,1.7,107837,2.25 45,1,2024-09-07 08:38:21:008,281809,281809,0,0,132122831300,1370385809,280768,1031,10,382,391917,0 45,2,2024-09-07 08:38:21:275,206178,206178,0,0,8240565,0,3596 45,3,2024-09-07 08:38:20:941,1,160,10,0,226,1669,160,0 46,0,2024-09-07 08:38:20:982,37831,0.3,37692,0.6,75636,0.3,100264,1.75 46,1,2024-09-07 08:38:20:580,282468,282468,0,0,131951585318,1365704570,280659,1586,223,366,391524,0 46,2,2024-09-07 08:38:20:598,200613,200613,0,0,8138292,0,2920 46,3,2024-09-07 08:38:21:131,1,160,1,0,908,2908,160,0 47,0,2024-09-07 08:38:21:112,37180,0.3,37426,0.5,75031,0.2,99141,1.75 47,1,2024-09-07 08:38:20:567,282590,282590,0,0,131700282452,1360597721,281188,1385,17,366,391605,0 47,2,2024-09-07 08:38:20:919,201740,201740,0,0,8227506,0,2558 47,3,2024-09-07 08:38:21:114,1,160,21,0,529,1820,160,0 48,0,2024-09-07 08:38:21:502,42888,0.3,42515,0.4,85166,0.2,113859,1.75 48,1,2024-09-07 08:38:21:025,282579,282579,0,0,132179930510,1374775944,280518,1880,181,384,391710,0 48,2,2024-09-07 08:38:20:722,202728,202728,0,0,7079704,0,2083 48,3,2024-09-07 08:38:20:755,1,160,1,0,339,1434,160,0 49,0,2024-09-07 08:38:21:721,43011,0.6,42189,0.7,81890,0.6,111730,1.75 49,1,2024-09-07 08:38:21:030,281071,281071,0,0,131244244567,1370022805,278333,1898,840,382,391583,0 49,2,2024-09-07 08:38:21:798,206778,206778,0,0,8224537,0,3900 49,3,2024-09-07 08:38:21:416,1,160,38,0,408,2381,160,0 50,0,2024-09-07 08:38:21:518,37637,0.3,37328,0.5,74782,0.2,99836,1.75 50,1,2024-09-07 08:38:21:019,283469,283469,0,0,132497803895,1375083846,280943,2217,309,368,391530,0 50,2,2024-09-07 08:38:21:069,201240,201240,0,0,7754640,0,2253 50,3,2024-09-07 08:38:21:292,1,160,1,0,335,1335,160,0 51,0,2024-09-07 08:38:21:695,37595,0.3,37114,0.4,72181,0.2,97765,1.50 51,1,2024-09-07 08:38:21:683,281701,281701,0,0,132591836226,1372183790,279732,1183,786,365,391637,0 51,2,2024-09-07 08:38:21:317,200150,200150,0,0,7301342,0,3337 51,3,2024-09-07 08:38:21:035,1,160,4,0,162,911,160,0 52,0,2024-09-07 08:38:21:423,41062,0.5,40978,0.7,82066,0.5,108970,2.00 52,1,2024-09-07 08:38:20:593,280949,280949,0,0,130907436925,1382043664,275037,4754,1158,368,391722,0 52,2,2024-09-07 08:38:21:757,200113,200075,38,0,9990046,0,6742 52,3,2024-09-07 08:38:20:675,1,160,0,0,1782,3525,160,0 53,0,2024-09-07 08:38:21:750,43409,1.1,42109,1.0,88064,1.3,115323,2.75 53,1,2024-09-07 08:38:20:775,280606,280606,0,0,131321857037,1387504035,274978,3734,1894,367,391702,0 53,2,2024-09-07 08:38:21:297,205165,205165,0,0,8344533,0,2262 53,3,2024-09-07 08:38:20:721,1,160,1,0,271,1627,160,0 54,0,2024-09-07 08:38:21:625,37855,2.0,38366,1.3,76055,1.0,101956,4.00 54,1,2024-09-07 08:38:20:579,281335,281335,0,0,131825350611,1377849546,277365,3399,571,367,391532,0 54,2,2024-09-07 08:38:20:865,203234,203228,6,0,9919102,0,5382 54,3,2024-09-07 08:38:20:764,1,160,2,0,676,3181,160,0 55,0,2024-09-07 08:38:21:766,36765,0.5,37966,0.7,76799,0.4,99982,2.25 55,1,2024-09-07 08:38:20:791,280871,280871,0,0,131116447405,1374639263,275683,4401,787,365,391731,0 55,2,2024-09-07 08:38:20:744,198725,198725,0,0,9449706,0,3275 55,3,2024-09-07 08:38:20:679,1,160,5,0,304,1706,160,0 56,0,2024-09-07 08:38:21:648,40405,1.1,38236,1.0,78543,1.6,105352,2.50 56,1,2024-09-07 08:38:20:623,280851,280851,0,0,131646187944,1395170197,275689,4258,904,381,391678,0 56,2,2024-09-07 08:38:21:311,200867,200867,0,0,10263430,0,3567 56,3,2024-09-07 08:38:21:059,1,160,19,0,405,2230,160,0 57,0,2024-09-07 08:38:20:974,44405,2.4,44433,1.5,89046,3.4,120106,3.75 57,1,2024-09-07 08:38:21:023,281320,281320,0,0,131246647923,1375205558,278489,2661,170,368,391960,0 57,2,2024-09-07 08:38:21:316,204469,204469,0,0,10451025,0,3178 57,3,2024-09-07 08:38:21:745,1,160,10,0,359,2737,160,0 58,0,2024-09-07 08:38:20:563,38496,1.3,37482,1.2,78593,2.1,103178,3.00 58,1,2024-09-07 08:38:20:582,282261,282258,0,3,131915416674,1378876387,278742,3119,397,367,391516,3 58,2,2024-09-07 08:38:21:073,204843,204843,0,0,9555512,0,2549 58,3,2024-09-07 08:38:21:072,1,160,1,0,1043,2315,160,0 59,0,2024-09-07 08:38:21:752,38156,0.8,37856,0.9,75559,0.8,100573,2.75 59,1,2024-09-07 08:38:20:810,281209,281209,0,0,131149423572,1373535821,277562,2725,922,369,391515,0 59,2,2024-09-07 08:38:20:593,200218,200218,0,0,8356940,0,2604 59,3,2024-09-07 08:38:21:750,1,160,6,0,1015,2880,160,0 60,0,2024-09-07 08:38:21:760,37798,0.4,37905,0.6,75468,0.3,100364,1.75 60,1,2024-09-07 08:38:20:781,282694,282694,0,0,131972217817,1368881260,281237,1099,358,370,391761,0 60,2,2024-09-07 08:38:21:145,200686,200686,0,0,9423348,0,3811 60,3,2024-09-07 08:38:21:267,1,160,3,0,124,1571,160,0 61,0,2024-09-07 08:38:21:553,43766,1.3,44010,1.0,87710,1.9,117506,2.25 61,1,2024-09-07 08:38:20:781,281269,281269,0,0,131205066505,1377246801,277830,2886,553,382,391589,0 61,2,2024-09-07 08:38:21:123,203633,203633,0,0,8373709,0,2079 61,3,2024-09-07 08:38:21:695,1,160,1,0,199,1926,160,0 62,0,2024-09-07 08:38:21:716,41244,1.5,41992,1.1,80470,2.6,109325,2.25 62,1,2024-09-07 08:38:21:113,283546,283540,0,6,132805973016,1370952767,281862,1639,39,365,391715,6 62,2,2024-09-07 08:38:21:647,204679,204678,1,0,9936954,0,5555 62,3,2024-09-07 08:38:21:145,1,160,1,0,287,1172,160,0 63,0,2024-09-07 08:38:21:453,37529,0.5,37534,0.7,75221,0.5,100042,2.00 63,1,2024-09-07 08:38:20:807,282716,282710,0,6,131902564933,1367187099,281468,1216,26,381,391677,6 63,2,2024-09-07 08:38:20:773,199781,199781,0,0,7649222,0,2674 63,3,2024-09-07 08:38:21:734,1,160,0,0,667,2387,160,0 64,0,2024-09-07 08:38:21:541,36202,0.4,36163,0.6,72535,0.3,96362,1.75 64,1,2024-09-07 08:38:20:817,282248,282248,0,0,131722153379,1378432155,278782,2412,1054,370,391783,0 64,2,2024-09-07 08:38:21:146,202076,202057,19,0,8556325,0,6121 64,3,2024-09-07 08:38:21:142,1,160,8,0,265,1811,160,0 65,0,2024-09-07 08:38:21:699,40894,0.8,41409,0.8,82651,0.9,110723,2.25 65,1,2024-09-07 08:38:20:869,281325,281325,0,0,131382473327,1376162435,278818,2283,224,382,391770,0 65,2,2024-09-07 08:38:21:705,201686,201686,0,0,9005168,0,3367 65,3,2024-09-07 08:38:21:687,1,160,2,0,163,1628,160,0 66,0,2024-09-07 08:38:21:769,42559,0.8,42667,0.9,85702,0.8,113513,2.50 66,1,2024-09-07 08:38:21:297,282584,282584,0,0,131557440391,1368930855,280709,1704,171,380,391588,0 66,2,2024-09-07 08:38:21:138,207056,207056,0,0,8400322,0,4956 66,3,2024-09-07 08:38:21:085,1,160,1,0,291,1704,160,0 67,0,2024-09-07 08:38:21:414,37848,0.8,37579,0.9,75519,1.0,100160,2.50 67,1,2024-09-07 08:38:20:767,281362,281361,0,1,131274178031,1374748252,277894,2721,746,381,391787,1 67,2,2024-09-07 08:38:20:598,203018,203018,0,0,8004860,0,2889 67,3,2024-09-07 08:38:21:750,1,160,1,0,138,1290,160,0 68,0,2024-09-07 08:38:20:607,37943,0.4,37782,0.7,75490,0.4,100360,2.00 68,1,2024-09-07 08:38:20:578,280816,280816,0,0,131223978717,1378724841,277961,1837,1018,381,391953,0 68,2,2024-09-07 08:38:21:049,198635,198570,65,0,11331136,0,6698 68,3,2024-09-07 08:38:20:738,1,160,6,0,417,2068,160,0 69,0,2024-09-07 08:38:21:762,40272,0.7,40441,0.8,80409,0.7,107973,2.25 69,1,2024-09-07 08:38:21:037,280342,280342,0,0,131471474490,1391478725,276334,2793,1215,384,391611,0 69,2,2024-09-07 08:38:21:735,201471,201471,0,0,9949538,0,3701 69,3,2024-09-07 08:38:20:774,1,160,1,0,238,1981,160,0 70,0,2024-09-07 08:38:21:546,44362,1.9,44670,1.4,89589,1.3,118778,2.75 70,1,2024-09-07 08:38:20:813,281657,281657,0,0,132117831370,1374363055,279200,1995,462,366,391725,0 70,2,2024-09-07 08:38:21:325,204723,204723,0,0,9162040,0,4044 70,3,2024-09-07 08:38:20:746,1,160,4,0,854,2139,160,0 71,0,2024-09-07 08:38:21:365,38562,2.1,38573,1.7,76969,3.1,103936,3.50 71,1,2024-09-07 08:38:21:626,281580,281580,0,0,131691349291,1380182968,277463,3592,525,368,391682,0 71,2,2024-09-07 08:38:21:067,204951,204951,0,0,9169061,0,2470 71,3,2024-09-07 08:38:21:750,1,160,1,0,644,2568,160,0 72,0,2024-09-07 08:38:21:082,39419,0.5,38503,0.7,75435,0.4,102343,2.25 72,1,2024-09-07 08:38:21:025,281424,281424,0,0,131082504891,1369906911,278167,2771,486,369,391819,0 72,2,2024-09-07 08:38:21:786,198488,198488,0,0,10568356,0,2570 72,3,2024-09-07 08:38:21:767,1,160,1,0,364,3228,160,0 73,0,2024-09-07 08:38:21:136,37986,0.4,38952,0.6,79532,0.3,103837,2.00 73,1,2024-09-07 08:38:20:768,281647,281647,0,0,131692944909,1367883237,279841,1691,115,367,391627,0 73,2,2024-09-07 08:38:21:738,201574,201574,0,0,9888568,0,3482 73,3,2024-09-07 08:38:20:971,1,160,2,0,274,2581,160,0 74,0,2024-09-07 08:38:21:327,45002,0.5,45782,0.7,87470,0.5,118960,2.25 74,1,2024-09-07 08:38:20:651,281358,281358,0,0,130908650194,1368107090,278567,2169,622,382,391681,0 74,2,2024-09-07 08:38:21:004,203206,203206,0,0,9988876,0,4253 74,3,2024-09-07 08:38:21:442,1,160,3,0,522,2760,160,0 75,0,2024-09-07 08:38:21:795,40371,1.4,40097,1.2,80029,1.6,107994,2.75 75,1,2024-09-07 08:38:21:585,281110,281110,0,0,131453802101,1378339220,277717,2933,460,381,391579,0 75,2,2024-09-07 08:38:21:350,205005,205005,0,0,9610162,0,4766 75,3,2024-09-07 08:38:21:072,1,160,1,0,535,1857,160,0 76,0,2024-09-07 08:38:20:600,37650,0.4,37491,0.7,74903,0.3,100526,2.25 76,1,2024-09-07 08:38:20:811,281664,281664,0,0,131373059445,1371820572,279603,1550,511,382,391692,0 76,2,2024-09-07 08:38:21:062,200633,200633,0,0,8113252,0,3064 76,3,2024-09-07 08:38:21:144,1,160,6,0,175,1853,160,0 77,0,2024-09-07 08:38:21:716,37147,0.5,37385,0.7,74877,0.4,99089,1.75 77,1,2024-09-07 08:38:20:844,282486,282486,0,0,131223737144,1368939804,280891,1512,83,383,391808,0 77,2,2024-09-07 08:38:21:288,200394,200394,0,0,8413668,0,3890 77,3,2024-09-07 08:38:21:099,1,160,1,0,305,1999,160,0 78,0,2024-09-07 08:38:21:749,42547,0.5,42358,0.7,85437,0.4,113481,2.00 78,1,2024-09-07 08:38:20:627,282068,282068,0,0,131084143882,1364651057,279738,2077,253,367,391589,0 78,2,2024-09-07 08:38:21:425,203446,203446,0,0,7889319,0,2114 78,3,2024-09-07 08:38:21:133,1,160,1,0,181,1664,160,0 79,0,2024-09-07 08:38:21:356,40523,0.6,41469,0.8,84774,0.5,110164,2.75 79,1,2024-09-07 08:38:20:579,282487,282487,0,0,131653368853,1365099916,280300,2015,172,369,391682,0 79,2,2024-09-07 08:38:21:073,206628,206628,0,0,8142592,0,3212 79,3,2024-09-07 08:38:20:754,1,160,0,0,418,2887,160,0 80,0,2024-09-07 08:38:21:111,37471,0.6,38386,0.8,73739,0.6,99876,2.25 80,1,2024-09-07 08:38:21:629,280926,280926,0,0,130810129696,1364006342,278407,2358,161,368,391673,0 80,2,2024-09-07 08:38:21:101,201692,201692,0,0,8541686,0,4433 80,3,2024-09-07 08:38:20:584,1,160,1,0,190,2610,160,0 81,0,2024-09-07 08:38:21:560,36844,0.4,37950,0.6,72709,0.4,97385,1.75 81,1,2024-09-07 08:38:21:653,281307,281307,0,0,131171830337,1372875611,278828,2207,272,382,391879,0 81,2,2024-09-07 08:38:21:133,199746,199746,0,0,9004886,0,3993 81,3,2024-09-07 08:38:21:132,1,160,1,0,193,1606,160,0 82,0,2024-09-07 08:38:21:543,40655,0.5,40891,0.7,81941,0.4,109082,2.00 82,1,2024-09-07 08:38:20:598,282186,282182,0,4,131254750531,1370198416,279738,1866,578,381,391558,4 82,2,2024-09-07 08:38:21:701,202274,202274,0,0,8268234,0,3986 82,3,2024-09-07 08:38:21:752,1,160,5,0,227,1852,160,0 83,0,2024-09-07 08:38:21:535,43667,0.8,43680,0.8,86669,0.8,115420,2.25 83,1,2024-09-07 08:38:20:552,281622,281622,0,0,130830838137,1368191979,279175,2243,204,382,391690,0 83,2,2024-09-07 08:38:20:764,204645,204645,0,0,8322229,0,3119 83,3,2024-09-07 08:38:20:754,1,160,1,0,241,1893,160,0 84,0,2024-09-07 08:38:21:794,38592,1.3,38408,1.3,77208,1.1,103320,2.75 84,1,2024-09-07 08:38:21:046,280755,280755,0,0,130759695504,1371859426,277365,2936,454,368,391852,0 84,2,2024-09-07 08:38:20:576,203669,203669,0,0,9571300,0,3801 84,3,2024-09-07 08:38:21:144,1,160,2,0,270,1940,160,0 85,0,2024-09-07 08:38:21:025,36730,0.5,36765,0.7,77872,0.4,101129,2.00 85,1,2024-09-07 08:38:20:563,280519,280519,0,0,131052723237,1391266129,275069,4370,1080,382,392006,0 85,2,2024-09-07 08:38:20:871,199708,199708,0,0,10207722,0,3656 85,3,2024-09-07 08:38:20:707,1,160,6,0,789,2474,160,0 86,0,2024-09-07 08:38:20:901,39473,0.5,40547,0.7,77669,0.5,105167,1.75 86,1,2024-09-07 08:38:20:827,280902,280902,0,0,131754138727,1382553144,276945,3412,545,366,391961,0 86,2,2024-09-07 08:38:20:858,199818,199817,1,0,10549930,0,5004 86,3,2024-09-07 08:38:20:597,1,160,1,0,286,2388,160,0 87,0,2024-09-07 08:38:21:390,45166,1.5,44964,1.1,90322,2.2,121951,2.25 87,1,2024-09-07 08:38:20:550,281002,281002,0,0,130974287507,1374382027,277788,2823,391,366,391788,0 87,2,2024-09-07 08:38:21:069,203237,203237,0,0,8965155,0,3515 87,3,2024-09-07 08:38:21:802,1,160,1,0,335,2818,160,0 88,0,2024-09-07 08:38:21:502,39829,0.7,39966,0.7,79691,0.8,106578,1.75 88,1,2024-09-07 08:38:20:585,280152,280152,0,0,130904856456,1376203470,276114,2868,1170,365,391787,0 88,2,2024-09-07 08:38:20:733,204963,204963,0,0,10203233,0,3583 88,3,2024-09-07 08:38:21:268,1,160,0,0,435,2127,160,0 89,0,2024-09-07 08:38:21:782,39153,0.4,37909,0.7,75130,0.4,102000,1.75 89,1,2024-09-07 08:38:20:570,279869,279869,0,0,131299461230,1390898990,274438,4496,935,382,391866,0 89,2,2024-09-07 08:38:21:247,199127,199127,0,0,9964276,0,2910 89,3,2024-09-07 08:38:21:802,1,160,5,0,325,3163,160,0 90,0,2024-09-07 08:38:21:696,36596,0.4,37336,0.5,76788,0.3,99982,1.75 90,1,2024-09-07 08:38:20:609,281736,281736,0,0,130940438619,1376582914,278512,2967,257,382,391825,0 90,2,2024-09-07 08:38:21:409,200004,200004,0,0,10895938,0,3060 90,3,2024-09-07 08:38:20:932,1,160,1,0,200,1905,160,0 91,0,2024-09-07 08:38:20:939,44426,0.5,42829,0.6,89204,0.5,117955,1.75 91,1,2024-09-07 08:38:20:562,280667,280667,0,0,131309863125,1381494933,276916,3254,497,381,392047,0 91,2,2024-09-07 08:38:21:334,202117,202117,0,0,10197417,0,2445 91,3,2024-09-07 08:38:20:611,1,160,4,0,216,1878,160,0 92,0,2024-09-07 08:38:21:481,41442,0.8,42444,0.9,81199,1.0,109705,1.75 92,1,2024-09-07 08:38:20:580,281693,281693,0,0,131746198435,1377327036,279522,1769,402,382,392136,0 92,2,2024-09-07 08:38:21:358,207665,207665,0,0,8451459,0,2801 92,3,2024-09-07 08:38:21:017,1,160,1,0,68,1327,160,0 93,0,2024-09-07 08:38:21:029,37959,0.4,38754,0.6,74196,0.4,100537,1.75 93,1,2024-09-07 08:38:20:823,281309,281309,0,0,131146819915,1371485059,278205,2555,549,366,391692,0 93,2,2024-09-07 08:38:20:941,200411,200411,0,0,8283917,0,2509 93,3,2024-09-07 08:38:21:405,1,160,2,0,143,1704,160,0 94,0,2024-09-07 08:38:21:685,36385,0.3,36700,0.5,72698,0.2,96259,1.50 94,1,2024-09-07 08:38:20:584,281546,281546,0,0,131225690781,1374076177,279102,2349,95,381,391850,0 94,2,2024-09-07 08:38:20:767,200528,200528,0,0,8173946,0,2443 94,3,2024-09-07 08:38:21:699,1,160,1,0,264,2406,160,0 95,0,2024-09-07 08:38:21:383,41768,0.3,41548,0.5,83672,0.3,111346,1.75 95,1,2024-09-07 08:38:20:870,281894,281894,0,0,131302928101,1367394819,279520,2192,182,367,391662,0 95,2,2024-09-07 08:38:21:024,202201,202201,0,0,8474093,0,3308 95,3,2024-09-07 08:38:21:720,1,160,3,0,718,3051,160,0 96,0,2024-09-07 08:38:21:307,43090,0.6,43222,0.7,86699,0.6,114156,1.75 96,1,2024-09-07 08:38:21:603,281037,281037,0,0,131666094285,1378878917,278440,1955,642,385,391894,0 96,2,2024-09-07 08:38:21:307,205688,205688,0,0,9128237,0,4042 96,3,2024-09-07 08:38:21:148,1,160,12,0,188,1858,160,0 97,0,2024-09-07 08:38:21:334,37919,0.4,37792,0.6,75668,0.4,100288,1.75 97,1,2024-09-07 08:38:20:770,281913,281913,0,0,132021089711,1374940598,279117,2248,548,367,392140,0 97,2,2024-09-07 08:38:20:628,202507,202507,0,0,8163262,0,3036 97,3,2024-09-07 08:38:20:586,1,160,5,0,165,1903,160,0 98,0,2024-09-07 08:38:21:831,37853,0.2,37763,0.4,75729,0.2,100478,1.50 98,1,2024-09-07 08:38:20:582,281934,281934,0,0,131597048466,1373246796,280118,1726,90,382,391997,0 98,2,2024-09-07 08:38:20:770,200544,200544,0,0,8558497,0,3080 98,3,2024-09-07 08:38:20:722,1,160,7,0,840,3074,160,0 99,0,2024-09-07 08:38:21:692,40409,0.3,40443,0.4,80831,0.2,108150,1.50 99,1,2024-09-07 08:38:21:739,281894,281894,0,0,131926785143,1379327298,278833,2365,696,381,391744,0 99,2,2024-09-07 08:38:21:436,203310,203310,0,0,8305304,0,2615 99,3,2024-09-07 08:38:20:596,1,160,49,0,129,1182,160,0 100,0,2024-09-07 08:38:21:479,44933,1.2,44813,1.3,89710,2.1,119686,2.50 100,1,2024-09-07 08:38:20:603,279317,279317,0,0,130669916690,1388836779,274063,4323,931,381,391989,0 100,2,2024-09-07 08:38:21:837,203489,203478,11,0,9818155,0,5417 100,3,2024-09-07 08:38:21:736,1,160,8,0,559,3682,160,0 101,0,2024-09-07 08:38:21:748,39793,3.0,38782,1.6,76079,3.7,105138,3.00 101,1,2024-09-07 08:38:20:595,280203,280203,0,0,131028748981,1388111043,274842,3884,1477,368,391769,0 101,2,2024-09-07 08:38:21:768,203923,203923,0,0,10811421,0,4644 101,3,2024-09-07 08:38:20:974,1,160,13,0,448,2039,160,0 102,0,2024-09-07 08:38:20:998,37235,0.5,38661,0.8,77563,0.4,101631,2.00 102,1,2024-09-07 08:38:21:152,280928,280928,0,0,130998628706,1381252745,276843,3373,712,369,391883,0 102,2,2024-09-07 08:38:21:753,199985,199931,54,0,10152891,0,6768 102,3,2024-09-07 08:38:21:620,1,160,15,0,410,1703,160,0 103,0,2024-09-07 08:38:21:621,40046,0.4,40035,0.6,75565,0.3,104485,1.75 103,1,2024-09-07 08:38:21:635,279828,279828,0,0,130697591326,1381254136,275384,3235,1209,381,391829,0 103,2,2024-09-07 08:38:20:597,200730,200730,0,0,9105540,0,2104 103,3,2024-09-07 08:38:20:756,1,160,1,0,916,2916,160,0 104,0,2024-09-07 08:38:21:039,44321,1.4,44508,1.2,87723,1.8,119067,2.50 104,1,2024-09-07 08:38:21:614,281762,281762,0,0,131017401924,1383947778,276761,4039,962,365,391948,0 104,2,2024-09-07 08:38:21:685,202235,202235,0,0,9964158,0,3941 104,3,2024-09-07 08:38:21:427,1,160,4,1,1245,5105,160,0 105,0,2024-09-07 08:38:21:132,39718,1.9,38582,1.5,80548,2.9,107026,3.75 105,1,2024-09-07 08:38:20:561,281943,281943,0,0,131012782637,1376911326,277735,3434,774,367,391797,0 105,2,2024-09-07 08:38:21:326,204816,204816,0,0,9408868,0,3509 105,3,2024-09-07 08:38:21:323,1,160,0,0,399,2532,160,0 106,0,2024-09-07 08:38:20:962,36635,0.6,37610,0.9,76533,0.7,100320,2.25 106,1,2024-09-07 08:38:21:765,280997,280997,0,0,131633575594,1382684013,277209,3404,384,369,391767,0 106,2,2024-09-07 08:38:20:756,198915,198915,0,0,9414720,0,2795 106,3,2024-09-07 08:38:20:707,1,160,4,0,405,2408,160,0 107,0,2024-09-07 08:38:21:140,37182,0.4,37296,0.6,74103,0.3,99297,1.75 107,1,2024-09-07 08:38:20:599,280627,280627,0,0,131534621315,1383551443,277647,2750,230,381,392234,0 107,2,2024-09-07 08:38:21:305,200706,200705,1,0,9549709,0,5024 107,3,2024-09-07 08:38:21:761,1,160,1,0,353,2368,160,0 108,0,2024-09-07 08:38:21:827,42704,0.4,42784,0.6,85092,0.4,113954,1.75 108,1,2024-09-07 08:38:21:297,281055,281055,0,0,131550851986,1374677221,278397,2346,312,368,391857,0 108,2,2024-09-07 08:38:21:771,201198,201198,0,0,8915964,0,2647 108,3,2024-09-07 08:38:21:330,1,160,1,0,667,3232,160,0 109,0,2024-09-07 08:38:21:819,42066,0.5,41820,0.7,83529,0.5,111828,1.75 109,1,2024-09-07 08:38:20:614,280869,280869,0,0,131435290802,1381031756,278092,2281,496,383,392132,0 109,2,2024-09-07 08:38:20:985,205320,205320,0,0,9259562,0,3617 109,3,2024-09-07 08:38:21:146,1,160,3,0,249,2263,160,0 110,0,2024-09-07 08:38:21:815,37764,0.4,36650,0.6,76609,0.3,100179,1.75 110,1,2024-09-07 08:38:21:652,281869,281869,0,0,131660207956,1371490569,279255,1849,765,370,391667,0 110,2,2024-09-07 08:38:21:309,201490,201490,0,0,8159474,0,2915 110,3,2024-09-07 08:38:20:694,1,160,4,0,406,2310,160,0 111,0,2024-09-07 08:38:21:417,37085,0.2,36541,0.4,73145,0.1,97719,1.50 111,1,2024-09-07 08:38:21:002,282374,282374,0,0,132289891075,1374100990,280598,1444,332,382,391690,0 111,2,2024-09-07 08:38:21:116,199944,199944,0,0,8950123,0,2763 111,3,2024-09-07 08:38:20:923,1,160,1,0,379,2547,160,0 112,0,2024-09-07 08:38:20:920,40987,0.3,40926,0.5,81909,0.2,109268,1.50 112,1,2024-09-07 08:38:20:828,282688,282688,0,0,131904352731,1372814776,280361,1901,426,381,391580,0 112,2,2024-09-07 08:38:21:133,201645,201644,1,0,8990336,0,5036 112,3,2024-09-07 08:38:20:598,1,160,11,0,282,1891,160,0 113,0,2024-09-07 08:38:20:878,43492,0.4,43396,0.6,87533,0.4,116580,1.75 113,1,2024-09-07 08:38:21:685,282245,282245,0,0,132059831730,1368805122,279830,1927,488,366,391661,0 113,2,2024-09-07 08:38:21:303,206148,206148,0,0,7916903,0,3813 113,3,2024-09-07 08:38:20:690,1,160,340,0,340,2718,160,0 114,0,2024-09-07 08:38:20:877,39432,0.6,40020,0.7,78403,0.5,105156,2.00 114,1,2024-09-07 08:38:20:717,281761,281761,0,0,131105285346,1374431965,277632,2666,1463,381,391534,0 114,2,2024-09-07 08:38:20:885,203728,203728,0,0,8176235,0,3925 114,3,2024-09-07 08:38:21:283,1,160,1,0,395,1987,160,0 115,0,2024-09-07 08:38:20:568,37943,0.2,38353,0.4,76692,0.2,101853,1.50 115,1,2024-09-07 08:38:20:572,281933,281933,0,0,131666238657,1374818107,278290,2822,821,382,391602,0 115,2,2024-09-07 08:38:21:129,200532,200532,0,0,7636653,0,2152 115,3,2024-09-07 08:38:21:006,1,160,1,0,159,1068,160,0 116,0,2024-09-07 08:38:21:725,39643,0.6,39123,0.8,78643,0.6,105154,2.00 116,1,2024-09-07 08:38:20:818,279588,279588,0,0,130667258533,1391118402,274684,3150,1754,382,391677,0 116,2,2024-09-07 08:38:21:751,200119,200119,0,0,10833644,0,3529 116,3,2024-09-07 08:38:20:945,1,160,3,0,252,2430,160,0 117,0,2024-09-07 08:38:21:088,44941,1.4,45093,1.1,90211,1.9,121794,2.00 117,1,2024-09-07 08:38:21:580,281285,281285,0,0,131146471372,1377624874,277615,3242,428,370,392033,0 117,2,2024-09-07 08:38:21:121,204898,204898,0,0,8726943,0,3700 117,3,2024-09-07 08:38:21:073,1,160,17,0,490,3355,160,0 118,0,2024-09-07 08:38:21:784,38778,1.0,39928,0.9,80942,1.2,105847,2.25 118,1,2024-09-07 08:38:20:623,280783,280783,0,0,130456592267,1379297042,275937,3525,1321,366,391736,0 118,2,2024-09-07 08:38:21:586,204516,204516,0,0,9810375,0,2781 118,3,2024-09-07 08:38:21:766,1,160,2,0,235,2144,160,0 119,0,2024-09-07 08:38:21:427,37672,0.7,37843,0.8,76704,0.6,101027,2.00 119,1,2024-09-07 08:38:20:557,281426,281426,0,0,131734899705,1376672514,278944,2194,288,369,391641,0 119,2,2024-09-07 08:38:21:276,199022,199022,0,0,9052514,0,3526 119,3,2024-09-07 08:38:21:340,1,160,13,0,443,2927,160,0 120,0,2024-09-07 08:38:21:585,37458,0.4,37541,0.7,75013,0.4,100166,2.00 120,1,2024-09-07 08:38:20:887,281572,281572,0,0,130962802356,1376948354,278281,3029,262,368,391961,0 120,2,2024-09-07 08:38:20:843,200233,200232,1,0,11249547,0,5281 120,3,2024-09-07 08:38:21:293,1,160,1,0,241,2283,160,0 121,0,2024-09-07 08:38:21:767,43629,1.5,44049,1.2,87710,2.3,117436,2.25 121,1,2024-09-07 08:38:21:667,281444,281444,0,0,131228089393,1374710374,278687,2469,288,367,391840,0 121,2,2024-09-07 08:38:21:163,201963,201963,0,0,10165588,0,4127 121,3,2024-09-07 08:38:20:742,1,160,36,0,269,2261,160,0 122,0,2024-09-07 08:38:21:787,40842,1.3,39772,1.2,83331,1.4,109786,2.25 122,1,2024-09-07 08:38:20:965,280386,280386,0,0,131106225031,1380067908,276250,3429,707,366,392130,0 122,2,2024-09-07 08:38:21:336,205556,205556,0,0,11255938,0,3364 122,3,2024-09-07 08:38:20:601,1,160,11,0,411,3809,160,0 123,0,2024-09-07 08:38:21:024,37622,0.8,36676,0.8,76817,1.0,100010,2.00 123,1,2024-09-07 08:38:20:565,281463,281463,0,0,131523604185,1386638425,276387,4382,694,369,391823,0 123,2,2024-09-07 08:38:21:030,198482,198481,1,0,9655705,0,5215 123,3,2024-09-07 08:38:21:132,1,160,15,0,168,1992,160,0 124,0,2024-09-07 08:38:20:936,37462,0.3,37404,0.5,70695,0.2,96572,1.50 124,1,2024-09-07 08:38:21:024,281709,281709,0,0,131563760756,1368276973,279624,1729,356,367,392178,0 124,2,2024-09-07 08:38:21:018,200974,200974,0,0,8194569,0,3101 124,3,2024-09-07 08:38:20:773,1,160,8,0,490,2263,160,0 125,0,2024-09-07 08:38:21:460,41767,0.4,41763,0.6,83553,0.4,111533,1.75 125,1,2024-09-07 08:38:20:865,281863,281863,0,0,131685177386,1376541200,279498,2090,275,384,391702,0 125,2,2024-09-07 08:38:21:117,202768,202768,0,0,8191242,0,2180 125,3,2024-09-07 08:38:21:149,1,160,1,0,284,2115,160,0 126,0,2024-09-07 08:38:21:470,43218,0.8,44338,0.8,84793,0.8,114903,2.00 126,1,2024-09-07 08:38:20:557,282068,282068,0,0,132253883191,1372221480,280434,1556,78,365,391987,0 126,2,2024-09-07 08:38:20:619,206194,206194,0,0,8545929,0,3186 126,3,2024-09-07 08:38:20:940,1,160,1,0,150,2272,160,0 127,0,2024-09-07 08:38:21:648,37649,0.4,37881,0.6,75501,0.4,100248,1.75 127,1,2024-09-07 08:38:20:582,281561,281561,0,0,131535183614,1364445307,279497,2023,41,365,391816,0 127,2,2024-09-07 08:38:20:640,202673,202673,0,0,8005845,0,2264 127,3,2024-09-07 08:38:21:268,1,160,1,0,243,1414,160,0 128,0,2024-09-07 08:38:21:554,38065,0.3,37838,0.4,75784,0.2,100609,1.50 128,1,2024-09-07 08:38:21:612,282128,282128,0,0,131383684468,1362002709,281150,906,72,367,391680,0 128,2,2024-09-07 08:38:21:384,199883,199883,0,0,8044001,0,2107 128,3,2024-09-07 08:38:20:775,1,160,1,0,333,2368,160,0 129,0,2024-09-07 08:38:21:027,40665,0.3,40450,0.5,80826,0.2,107987,1.50 129,1,2024-09-07 08:38:20:572,280560,280560,0,0,130755932060,1371768871,277633,2534,393,379,391835,0 129,2,2024-09-07 08:38:20:705,201458,201458,0,0,8443160,0,4031 129,3,2024-09-07 08:38:20:705,1,160,9,0,173,1926,160,0 130,0,2024-09-07 08:38:21:752,45307,0.8,45058,0.8,90510,0.9,120590,2.00 130,1,2024-09-07 08:38:20:586,281834,281834,0,0,131500749001,1372000675,279725,2037,72,381,391825,0 130,2,2024-09-07 08:38:21:132,205082,205082,0,0,8315050,0,4067 130,3,2024-09-07 08:38:21:294,1,160,2,0,450,1947,160,0 131,0,2024-09-07 08:38:21:935,39247,0.6,39412,0.7,79821,0.7,105476,1.75 131,1,2024-09-07 08:38:21:821,282938,282938,0,0,131719988888,1371715425,281470,1262,206,383,391865,0 131,2,2024-09-07 08:38:20:574,204983,204983,0,0,7886043,0,2415 131,3,2024-09-07 08:38:21:696,1,160,2,0,392,1846,160,0 132,0,2024-09-07 08:38:21:425,37847,0.5,38363,0.7,76746,0.4,102029,1.75 132,1,2024-09-07 08:38:20:601,280270,280270,0,0,130993507432,1388102187,274856,4383,1031,381,391760,0 132,2,2024-09-07 08:38:20:701,199272,199272,0,0,10847187,0,4606 132,3,2024-09-07 08:38:21:703,1,160,8,0,356,3021,160,0 133,0,2024-09-07 08:38:21:541,37903,0.4,38769,0.6,79516,0.3,103471,1.75 133,1,2024-09-07 08:38:20:594,279964,279964,0,0,131060517085,1386067663,275457,3866,641,383,391914,0 133,2,2024-09-07 08:38:21:087,200333,200333,0,0,10924541,0,4315 133,3,2024-09-07 08:38:21:302,1,160,2,0,187,1450,160,0 134,0,2024-09-07 08:38:20:964,44483,0.6,44400,0.8,89471,0.6,118887,2.00 134,1,2024-09-07 08:38:20:587,280630,280630,0,0,131239487020,1380011851,276249,3159,1222,366,391718,0 134,2,2024-09-07 08:38:21:767,202647,202647,0,0,9282729,0,3096 134,3,2024-09-07 08:38:20:771,1,160,2,0,739,2982,160,0 135,0,2024-09-07 08:38:21:115,39010,1.6,38984,1.3,82627,1.9,106710,2.50 135,1,2024-09-07 08:38:21:619,280302,280302,0,0,131721569383,1388603800,276112,3486,704,380,391805,0 135,2,2024-09-07 08:38:20:705,205727,205727,0,0,10035457,0,3981 135,3,2024-09-07 08:38:21:015,1,160,75,0,89,959,160,0 136,0,2024-09-07 08:38:21:639,38036,0.5,37937,0.8,76249,0.5,101230,2.00 136,1,2024-09-07 08:38:21:444,280676,280676,0,0,131450495852,1379491908,277328,3175,173,383,391641,0 136,2,2024-09-07 08:38:21:133,200647,200647,0,0,9408891,0,3506 136,3,2024-09-07 08:38:21:115,1,160,5,0,108,1332,160,0 137,0,2024-09-07 08:38:20:925,38237,0.4,37113,0.7,73078,0.4,99468,1.75 137,1,2024-09-07 08:38:20:588,281171,281171,0,0,131778477468,1381536352,277036,3715,420,367,391708,0 137,2,2024-09-07 08:38:21:712,200047,200047,0,0,10873554,0,3185 137,3,2024-09-07 08:38:20:776,1,160,1,1,227,1885,160,0 138,0,2024-09-07 08:38:21:807,42150,1.3,42191,1.1,85185,1.8,113800,2.25 138,1,2024-09-07 08:38:21:704,281250,281250,0,0,131336319222,1374902659,278178,2720,352,368,391954,0 138,2,2024-09-07 08:38:20:601,203143,203143,0,0,8939088,0,3263 138,3,2024-09-07 08:38:20:617,1,160,3,0,1160,3168,160,0 139,0,2024-09-07 08:38:21:418,40845,3.0,41058,1.7,82678,4.3,110556,3.25 139,1,2024-09-07 08:38:20:584,279994,279994,0,0,130525189572,1387516316,274721,3949,1324,381,391892,0 139,2,2024-09-07 08:38:20:709,204139,204139,0,0,10109109,0,3097 139,3,2024-09-07 08:38:21:665,1,160,1,0,244,1830,160,0 140,0,2024-09-07 08:38:21:597,37925,0.4,37592,0.6,75768,0.3,100193,1.75 140,1,2024-09-07 08:38:21:540,282444,282444,0,0,132188413645,1364659472,280927,1277,240,365,391606,0 140,2,2024-09-07 08:38:20:704,201431,201431,0,0,8502586,0,3388 140,3,2024-09-07 08:38:20:767,1,160,3,0,247,1439,160,0 141,0,2024-09-07 08:38:21:708,36634,0.2,37758,0.4,72308,0.1,97693,1.50 141,1,2024-09-07 08:38:20:864,282177,282177,0,0,131959542966,1370633786,280238,1586,353,379,391614,0 141,2,2024-09-07 08:38:21:686,200577,200577,0,0,8005766,0,2342 141,3,2024-09-07 08:38:21:043,1,160,0,0,147,1309,160,0 142,0,2024-09-07 08:38:21:371,41416,0.3,41150,0.5,81570,0.2,109461,1.50 142,1,2024-09-07 08:38:20:612,281831,281831,0,0,131696073273,1373451517,280286,1386,159,383,391728,0 142,2,2024-09-07 08:38:21:312,200686,200654,32,0,9333081,0,6028 142,3,2024-09-07 08:38:21:765,1,160,113,0,484,2318,160,0 143,0,2024-09-07 08:38:21:403,43727,0.7,43681,0.7,87939,0.8,116404,2.00 143,1,2024-09-07 08:38:20:562,281871,281871,0,0,131634665194,1367592268,279761,2067,43,367,391619,0 143,2,2024-09-07 08:38:20:772,205157,205157,0,0,8643511,0,2669 143,3,2024-09-07 08:38:21:145,1,160,1,0,303,2607,160,0 144,0,2024-09-07 08:38:21:520,37657,0.9,38812,1.4,78685,0.9,103644,2.25 144,1,2024-09-07 08:38:20:588,280991,280991,0,0,130582010749,1371335902,278593,2195,203,381,391649,0 144,2,2024-09-07 08:38:21:767,203835,203835,0,0,8473978,0,3473 144,3,2024-09-07 08:38:21:742,1,160,1,0,249,2106,160,0 145,0,2024-09-07 08:38:21:417,36826,0.5,36840,0.7,78196,0.4,101417,2.00 145,1,2024-09-07 08:38:20:559,279606,279606,0,0,130904189823,1382619913,275212,3657,737,383,391615,0 145,2,2024-09-07 08:38:21:433,199549,199549,0,0,9480160,0,3903 145,3,2024-09-07 08:38:20:897,1,160,3,0,151,1846,160,0 146,0,2024-09-07 08:38:21:648,39322,0.4,39131,0.6,79010,0.4,104793,2.00 146,1,2024-09-07 08:38:21:585,281555,281555,0,0,131069569725,1376907366,277072,3623,860,368,391629,0 146,2,2024-09-07 08:38:21:707,201259,201259,0,0,9197362,0,2498 146,3,2024-09-07 08:38:21:276,1,160,2,0,1520,5178,160,0 147,0,2024-09-07 08:38:21:727,45173,0.8,45101,0.9,89712,0.8,121491,2.25 147,1,2024-09-07 08:38:21:381,282422,282422,0,0,131860364660,1374928580,279319,2606,497,368,391791,0 147,2,2024-09-07 08:38:21:013,204694,204694,0,0,8458502,0,2789 147,3,2024-09-07 08:38:20:918,1,160,6,0,371,2006,160,0 0,0,2024-09-07 08:38:31:714,36787,0.4,36893,0.6,78058,0.4,101303,1.75 0,1,2024-09-07 08:38:30:806,283058,283058,0,0,132798780785,1390162909,281092,1830,136,372,391772,0 0,2,2024-09-07 08:38:31:072,202137,202137,0,0,8726434,0,4480 0,3,2024-09-07 08:38:30:973,1,161,1,0,247,2051,161,0 1,0,2024-09-07 08:38:31:809,44374,1.3,44048,1.2,88229,1.8,118363,2.25 1,1,2024-09-07 08:38:30:563,282798,282798,0,0,131789562469,1384778605,279361,2570,867,371,391857,0 1,2,2024-09-07 08:38:30:650,203552,203552,0,0,7957599,0,3267 1,3,2024-09-07 08:38:31:314,1,161,10,0,262,1978,161,0 2,0,2024-09-07 08:38:31:568,41148,1.2,41317,1.1,82116,1.7,109862,2.25 2,1,2024-09-07 08:38:30:859,282956,282956,0,0,132649110939,1380122884,281448,1302,206,380,391745,0 2,2,2024-09-07 08:38:31:266,206839,206839,0,0,8779452,0,3594 2,3,2024-09-07 08:38:30:692,1,161,1,0,357,1693,161,0 3,0,2024-09-07 08:38:31:750,37479,0.5,37683,0.7,75404,0.5,99867,2.00 3,1,2024-09-07 08:38:31:624,282833,282833,0,0,131691356794,1376318638,279724,2685,424,380,391516,0 3,2,2024-09-07 08:38:31:142,201323,201300,23,0,8683183,0,5851 3,3,2024-09-07 08:38:31:762,1,161,0,0,103,1011,161,0 4,0,2024-09-07 08:38:31:812,35451,0.3,36459,0.4,74115,0.2,97296,1.50 4,1,2024-09-07 08:38:30:595,283261,283261,0,0,132140862174,1389761027,280280,2459,522,371,391846,0 4,2,2024-09-07 08:38:31:018,200701,200701,0,0,10450925,0,4528 4,3,2024-09-07 08:38:31:038,1,161,31,0,287,2219,161,0 5,0,2024-09-07 08:38:31:393,42030,0.5,42035,0.6,84131,0.5,112343,1.75 5,1,2024-09-07 08:38:30:757,282442,282442,0,0,131839782241,1391370759,278235,3219,988,368,392005,0 5,2,2024-09-07 08:38:31:844,202895,202895,0,0,9027389,0,2259 5,3,2024-09-07 08:38:31:745,1,161,16,0,238,2414,161,0 6,0,2024-09-07 08:38:30:952,43574,1.0,43158,1.0,85715,1.3,114975,2.25 6,1,2024-09-07 08:38:30:746,283445,283445,0,0,132223162846,1386476198,280045,2752,648,381,391617,0 6,2,2024-09-07 08:38:31:115,207426,207426,0,0,9262804,0,4816 6,3,2024-09-07 08:38:31:277,1,161,1,0,340,2333,161,0 7,0,2024-09-07 08:38:31:560,37388,0.5,37236,0.7,74783,0.4,99494,2.00 7,1,2024-09-07 08:38:30:851,283076,283076,0,0,133029730553,1393729259,280258,2686,132,382,391664,0 7,2,2024-09-07 08:38:30:769,202856,202856,0,0,8436615,0,2981 7,3,2024-09-07 08:38:30:851,1,161,1,0,305,1707,161,0 8,0,2024-09-07 08:38:31:327,37830,0.3,37928,0.4,75522,0.2,100460,1.50 8,1,2024-09-07 08:38:31:029,282556,282556,0,0,131942413946,1395532946,276945,4010,1601,367,391956,0 8,2,2024-09-07 08:38:30:800,198836,198836,0,0,10132161,0,2986 8,3,2024-09-07 08:38:30:585,1,161,1,0,357,2622,161,0 9,0,2024-09-07 08:38:31:116,40868,0.3,39596,0.5,82827,0.3,108914,1.75 9,1,2024-09-07 08:38:30:550,282279,282279,0,0,132333833563,1398314041,277725,3424,1130,370,391753,0 9,2,2024-09-07 08:38:31:112,202515,202515,0,0,9381516,0,3360 9,3,2024-09-07 08:38:31:757,1,161,1,0,496,2672,161,0 10,0,2024-09-07 08:38:31:599,45387,0.4,45040,0.6,90512,0.3,120091,1.75 10,1,2024-09-07 08:38:30:593,282795,282795,0,0,132074818262,1388163298,278324,3830,641,381,391741,0 10,2,2024-09-07 08:38:30:766,205766,205766,0,0,9624926,0,2940 10,3,2024-09-07 08:38:30:875,1,161,0,0,177,1240,161,0 11,0,2024-09-07 08:38:31:012,38863,0.7,37867,1.0,79348,0.8,105779,2.25 11,1,2024-09-07 08:38:30:571,283116,283116,0,0,131886251189,1389428993,277802,4044,1270,384,391537,0 11,2,2024-09-07 08:38:31:123,205303,205303,0,0,9380578,0,3411 11,3,2024-09-07 08:38:31:310,1,161,2,0,720,2825,161,0 12,0,2024-09-07 08:38:30:944,38850,0.3,38517,0.5,77338,0.2,102863,1.50 12,1,2024-09-07 08:38:30:937,282763,282763,0,0,131773626424,1378442351,279870,2479,414,370,391837,0 12,2,2024-09-07 08:38:31:549,202087,202087,0,0,9476613,0,3469 12,3,2024-09-07 08:38:31:062,1,161,5,0,358,2705,161,0 13,0,2024-09-07 08:38:31:375,39456,0.3,39294,0.5,78580,0.3,104894,1.75 13,1,2024-09-07 08:38:31:535,282841,282841,0,0,132184498329,1388220430,280419,2013,409,382,391717,0 13,2,2024-09-07 08:38:30:606,203071,203071,0,0,8667108,0,3287 13,3,2024-09-07 08:38:31:766,1,161,71,0,467,3300,161,0 14,0,2024-09-07 08:38:30:561,45261,0.4,45404,0.6,90032,0.4,120425,1.75 14,1,2024-09-07 08:38:31:561,284649,284649,0,0,132721530513,1377950141,282382,2104,163,364,391571,0 14,2,2024-09-07 08:38:30:767,204267,204267,0,0,8812039,0,2896 14,3,2024-09-07 08:38:31:116,1,161,2,0,1168,2903,161,0 15,0,2024-09-07 08:38:31:553,40370,1.0,40405,1.1,81013,1.3,108073,2.50 15,1,2024-09-07 08:38:31:607,282802,282802,0,0,132036420297,1375403012,280887,1806,109,381,391619,0 15,2,2024-09-07 08:38:30:999,207616,207616,0,0,7469887,0,3043 15,3,2024-09-07 08:38:31:407,1,161,10,0,1126,4307,161,0 16,0,2024-09-07 08:38:30:942,38061,0.5,38356,0.7,76017,0.5,101622,2.00 16,1,2024-09-07 08:38:30:564,283576,283576,0,0,132350182798,1384888181,281350,1964,262,370,391756,0 16,2,2024-09-07 08:38:31:438,200269,200269,0,0,9737928,0,4719 16,3,2024-09-07 08:38:31:145,1,161,2,0,231,2237,161,0 17,0,2024-09-07 08:38:31:788,38579,0.4,37609,0.6,73877,0.4,100035,1.75 17,1,2024-09-07 08:38:30:570,282499,282499,0,0,131841788084,1387444084,279140,2687,672,368,391688,0 17,2,2024-09-07 08:38:31:672,204008,204008,0,0,8692230,0,2857 17,3,2024-09-07 08:38:30:574,1,161,1,0,268,2475,161,0 18,0,2024-09-07 08:38:30:956,42440,0.7,42613,0.9,85216,0.8,114146,2.25 18,1,2024-09-07 08:38:31:639,283203,283203,0,0,132709692645,1378704011,281195,1774,234,368,391564,0 18,2,2024-09-07 08:38:31:758,203863,203863,0,0,8697317,0,3541 18,3,2024-09-07 08:38:30:897,1,161,1,0,163,1735,161,0 19,0,2024-09-07 08:38:31:541,41558,1.2,42016,1.0,82895,1.4,110216,2.75 19,1,2024-09-07 08:38:30:569,283190,283190,0,0,132804052223,1386150762,279377,3097,716,367,391777,0 19,2,2024-09-07 08:38:31:758,208173,208173,0,0,7960491,0,3988 19,3,2024-09-07 08:38:31:131,1,161,1,0,524,1492,161,0 20,0,2024-09-07 08:38:31:348,37621,0.6,37568,0.8,75365,0.7,100111,2.25 20,1,2024-09-07 08:38:30:570,282357,282357,0,0,132205718128,1388902207,278889,3077,391,369,391822,0 20,2,2024-09-07 08:38:30:932,201693,201693,0,0,9068455,0,3721 20,3,2024-09-07 08:38:30:588,1,161,4,0,414,2909,161,0 21,0,2024-09-07 08:38:31:133,37147,0.3,37180,0.5,73972,0.3,97761,1.75 21,1,2024-09-07 08:38:31:539,282539,282539,0,0,131412730521,1385929608,278870,3135,534,368,391962,0 21,2,2024-09-07 08:38:31:094,201466,201466,0,0,9905800,0,3747 21,3,2024-09-07 08:38:31:405,1,161,2,0,103,1949,161,0 22,0,2024-09-07 08:38:31:722,41177,0.6,41256,0.8,81927,0.6,109967,2.00 22,1,2024-09-07 08:38:31:025,282153,282153,0,0,131634288985,1391794886,276611,4033,1509,382,391667,0 22,2,2024-09-07 08:38:30:759,202776,202776,0,0,8502439,0,3134 22,3,2024-09-07 08:38:31:070,1,161,3,0,228,1824,161,0 23,0,2024-09-07 08:38:31:391,43478,0.9,43533,0.9,87313,1.2,116229,2.50 23,1,2024-09-07 08:38:31:006,283004,283004,0,0,132511258126,1388337987,278908,2614,1482,365,391549,0 23,2,2024-09-07 08:38:31:093,206157,206157,0,0,8812257,0,3010 23,3,2024-09-07 08:38:31:756,1,161,0,0,645,1810,161,0 24,0,2024-09-07 08:38:30:866,39644,0.5,39645,0.6,79298,0.5,105062,1.75 24,1,2024-09-07 08:38:30:580,283193,283193,0,0,131596029986,1379006186,280231,2163,799,369,391640,0 24,2,2024-09-07 08:38:31:072,203381,203381,0,0,10061179,0,2942 24,3,2024-09-07 08:38:31:706,1,161,11,0,234,1828,161,0 25,0,2024-09-07 08:38:31:361,39394,0.4,38457,0.6,75403,0.3,102629,1.75 25,1,2024-09-07 08:38:30:558,282869,282869,0,0,131902528207,1386633341,279178,3148,543,371,391788,0 25,2,2024-09-07 08:38:31:606,200224,200224,0,0,10213930,0,3978 25,3,2024-09-07 08:38:31:000,1,161,2,0,255,2057,161,0 26,0,2024-09-07 08:38:31:730,39486,0.3,38666,0.5,80966,0.3,106184,1.75 26,1,2024-09-07 08:38:31:542,283176,283176,0,0,131784371329,1385626752,278512,3763,901,381,391748,0 26,2,2024-09-07 08:38:30:861,203004,203004,0,0,9365125,0,2809 26,3,2024-09-07 08:38:31:716,1,161,4,0,796,2237,161,0 27,0,2024-09-07 08:38:31:730,45877,0.6,45881,0.7,90937,0.6,121584,2.00 27,1,2024-09-07 08:38:31:678,284592,284592,0,0,132940743906,1381872381,282772,1497,323,381,391539,0 27,2,2024-09-07 08:38:30:874,204079,204079,0,0,9994482,0,3409 27,3,2024-09-07 08:38:31:015,1,161,1,0,564,1936,161,0 28,0,2024-09-07 08:38:31:388,39793,0.8,39956,0.9,80406,1.1,107325,2.50 28,1,2024-09-07 08:38:30:800,283617,283617,0,0,133307300743,1390455206,281450,1830,337,383,391646,0 28,2,2024-09-07 08:38:31:768,206617,206617,0,0,7859443,0,2915 28,3,2024-09-07 08:38:31:781,1,161,7,0,502,2013,161,0 29,0,2024-09-07 08:38:31:372,39158,0.3,38372,0.6,74768,0.3,102306,1.75 29,1,2024-09-07 08:38:31:561,284575,284575,0,0,131921611443,1368639144,282144,1888,543,369,391621,0 29,2,2024-09-07 08:38:30:862,200848,200848,0,0,7612369,0,4018 29,3,2024-09-07 08:38:30:962,1,161,2,0,105,1230,161,0 30,0,2024-09-07 08:38:31:459,37857,0.5,36856,0.7,77000,0.4,101628,2.00 30,1,2024-09-07 08:38:30:572,284112,284112,0,0,132455883139,1376940469,282123,1711,278,382,391672,0 30,2,2024-09-07 08:38:31:275,203017,203017,0,0,7633404,0,3161 30,3,2024-09-07 08:38:30:580,1,161,0,0,195,1208,161,0 31,0,2024-09-07 08:38:31:758,43825,0.5,44178,0.7,88935,0.5,118095,2.00 31,1,2024-09-07 08:38:30:569,284618,284618,0,0,132729156363,1361019587,283817,689,112,356,391553,0 31,2,2024-09-07 08:38:31:275,203906,203906,0,0,9421444,0,3525 31,3,2024-09-07 08:38:31:712,1,161,1,0,220,1345,161,0 32,0,2024-09-07 08:38:31:419,41200,0.5,41507,0.7,83127,0.5,110585,1.75 32,1,2024-09-07 08:38:30:803,283974,283974,0,0,132864982934,1380860019,282231,1468,275,382,391595,0 32,2,2024-09-07 08:38:30:934,207183,207183,0,0,7777506,0,3155 32,3,2024-09-07 08:38:31:015,1,161,1,0,227,1472,161,0 33,0,2024-09-07 08:38:31:559,38173,0.3,37536,0.5,75780,0.3,100825,1.75 33,1,2024-09-07 08:38:30:575,284566,284566,0,0,132997285448,1378488401,282307,2087,172,369,391730,0 33,2,2024-09-07 08:38:30:760,201142,201109,33,0,9541660,0,7012 33,3,2024-09-07 08:38:30:904,1,161,1,0,329,1861,161,0 34,0,2024-09-07 08:38:30:931,36618,0.3,37814,0.4,72440,0.2,97419,1.50 34,1,2024-09-07 08:38:31:046,284570,284570,0,0,133513958391,1375943431,283572,992,6,367,391562,0 34,2,2024-09-07 08:38:30:783,201223,201223,0,0,8502009,0,3577 34,3,2024-09-07 08:38:31:692,1,161,2,0,148,1048,161,0 35,0,2024-09-07 08:38:30:863,41763,0.4,42079,0.5,84261,0.3,112788,1.75 35,1,2024-09-07 08:38:31:071,283470,283470,0,0,132198866484,1375146720,280670,2030,770,384,391589,0 35,2,2024-09-07 08:38:31:589,203659,203659,0,0,7888542,0,2653 35,3,2024-09-07 08:38:30:912,1,161,0,0,418,1973,161,0 36,0,2024-09-07 08:38:31:548,43168,1.0,43020,1.0,86639,1.2,114583,2.50 36,1,2024-09-07 08:38:30:584,283344,283344,0,0,132571180655,1391087354,278721,3334,1289,366,391759,0 36,2,2024-09-07 08:38:31:751,206528,206528,0,0,9395747,0,3303 36,3,2024-09-07 08:38:30:862,1,161,8,0,378,2208,161,0 37,0,2024-09-07 08:38:31:380,37432,0.5,37437,0.7,74771,0.4,100391,2.00 37,1,2024-09-07 08:38:30:572,282566,282559,0,7,131570203741,1385798138,277786,2754,2019,365,391560,0 37,2,2024-09-07 08:38:31:147,202946,202931,15,0,9455491,0,5815 37,3,2024-09-07 08:38:31:766,1,161,1,0,724,2472,161,0 38,0,2024-09-07 08:38:31:440,37642,0.4,36624,0.6,76359,0.3,100039,2.00 38,1,2024-09-07 08:38:31:607,283511,283511,0,0,131939514995,1381707865,279473,3406,632,368,391821,0 38,2,2024-09-07 08:38:30:760,201497,201450,47,0,11026436,0,6710 38,3,2024-09-07 08:38:31:002,1,161,1,0,603,2190,161,0 39,0,2024-09-07 08:38:31:767,41880,0.6,40989,0.7,79960,0.6,109416,2.00 39,1,2024-09-07 08:38:30:727,283440,283440,0,0,131605158181,1375339772,279652,2987,801,365,391524,0 39,2,2024-09-07 08:38:31:422,202677,202677,0,0,8176913,0,2689 39,3,2024-09-07 08:38:30:713,1,161,1,0,276,2068,161,0 40,0,2024-09-07 08:38:31:496,44587,0.9,45247,1.2,90054,1.0,119617,3.00 40,1,2024-09-07 08:38:30:577,283513,283513,0,0,131436514483,1378930114,279098,3646,769,368,391591,0 40,2,2024-09-07 08:38:31:303,204989,204988,1,0,10549937,0,5137 40,3,2024-09-07 08:38:31:149,1,161,1,0,181,1620,161,0 41,0,2024-09-07 08:38:31:034,38862,2.0,39632,1.7,76054,3.3,104357,4.25 41,1,2024-09-07 08:38:30:768,282768,282768,0,0,132320423638,1386882381,278574,3661,533,370,391742,0 41,2,2024-09-07 08:38:30:766,204059,204059,0,0,10240534,0,3356 41,3,2024-09-07 08:38:31:676,1,161,12,0,366,1978,161,0 42,0,2024-09-07 08:38:31:474,37847,0.5,38241,0.8,76296,0.4,101143,2.25 42,1,2024-09-07 08:38:31:439,282267,282267,0,0,131862573256,1389065890,277552,3757,958,380,391675,0 42,2,2024-09-07 08:38:31:133,200182,200182,0,0,9859772,0,3568 42,3,2024-09-07 08:38:31:011,1,161,2,0,446,1435,161,0 43,0,2024-09-07 08:38:30:921,39126,0.6,38280,0.9,79732,0.6,104946,2.00 43,1,2024-09-07 08:38:30:576,283219,283219,0,0,132620205729,1384379778,279881,2545,793,366,391604,0 43,2,2024-09-07 08:38:31:742,202929,202929,0,0,9157332,0,3812 43,3,2024-09-07 08:38:31:749,1,161,1,0,325,2141,161,0 44,0,2024-09-07 08:38:30:882,45054,0.5,45270,0.7,90273,0.5,121042,1.75 44,1,2024-09-07 08:38:30:569,283704,283704,0,0,132386178417,1369316804,281646,1633,425,356,391809,0 44,2,2024-09-07 08:38:31:273,203702,203702,0,0,7568284,0,1877 44,3,2024-09-07 08:38:31:098,1,161,2,0,817,2096,161,0 45,0,2024-09-07 08:38:31:763,39862,1.3,39144,1.2,82155,1.6,108638,2.25 45,1,2024-09-07 08:38:31:006,283538,283538,0,0,132994360547,1379283127,282497,1031,10,382,391917,0 45,2,2024-09-07 08:38:31:275,207435,207435,0,0,8263646,0,3596 45,3,2024-09-07 08:38:30:934,1,161,1,0,226,1670,161,0 46,0,2024-09-07 08:38:30:951,38007,0.3,37843,0.6,75980,0.3,100681,1.75 46,1,2024-09-07 08:38:30:575,284267,284267,0,0,132734177050,1373643739,282458,1586,223,366,391524,0 46,2,2024-09-07 08:38:30:592,201907,201907,0,0,8161395,0,2920 46,3,2024-09-07 08:38:31:131,1,161,1,0,908,2909,161,0 47,0,2024-09-07 08:38:31:107,37471,0.3,37724,0.5,75614,0.2,99758,1.75 47,1,2024-09-07 08:38:30:569,284423,284423,0,0,132615687280,1369874100,283021,1385,17,366,391605,0 47,2,2024-09-07 08:38:30:913,203025,203025,0,0,8242411,0,2558 47,3,2024-09-07 08:38:31:118,1,161,14,0,529,1834,161,0 48,0,2024-09-07 08:38:31:500,43310,0.3,42921,0.4,85976,0.2,114941,1.75 48,1,2024-09-07 08:38:31:028,284404,284404,0,0,133062856485,1383788823,282343,1880,181,384,391710,0 48,2,2024-09-07 08:38:30:700,204326,204326,0,0,7089703,0,2083 48,3,2024-09-07 08:38:30:755,1,161,6,0,339,1440,161,0 49,0,2024-09-07 08:38:31:719,43172,0.6,42341,0.7,82169,0.6,112197,1.75 49,1,2024-09-07 08:38:31:028,282797,282797,0,0,132137674519,1379109155,280059,1898,840,382,391583,0 49,2,2024-09-07 08:38:31:797,208162,208162,0,0,8235082,0,3900 49,3,2024-09-07 08:38:31:421,1,161,1,0,408,2382,161,0 50,0,2024-09-07 08:38:31:510,37735,0.3,37437,0.5,74986,0.2,100073,1.75 50,1,2024-09-07 08:38:31:012,285239,285239,0,0,133295865623,1383170735,282713,2217,309,368,391530,0 50,2,2024-09-07 08:38:31:071,202039,202039,0,0,7759869,0,2253 50,3,2024-09-07 08:38:31:294,1,161,0,0,335,1335,161,0 51,0,2024-09-07 08:38:31:686,37654,0.3,37175,0.4,72304,0.2,98071,1.50 51,1,2024-09-07 08:38:31:686,283527,283527,0,0,133377083009,1380129234,281558,1183,786,365,391637,0 51,2,2024-09-07 08:38:31:324,201624,201624,0,0,7312549,0,3337 51,3,2024-09-07 08:38:31:027,1,161,1,0,162,912,161,0 52,0,2024-09-07 08:38:31:415,41512,0.5,41402,0.7,82881,0.5,110325,2.00 52,1,2024-09-07 08:38:30:583,282700,282700,0,0,131717247135,1390305160,276786,4755,1159,368,391722,0 52,2,2024-09-07 08:38:31:758,201660,201622,38,0,10009211,0,6742 52,3,2024-09-07 08:38:30:674,1,161,1,0,1782,3526,161,0 53,0,2024-09-07 08:38:31:741,43500,1.1,42220,1.0,88261,1.3,115610,2.75 53,1,2024-09-07 08:38:30:770,282417,282417,0,0,132113007126,1395541702,276789,3734,1894,367,391702,0 53,2,2024-09-07 08:38:31:298,206674,206674,0,0,8386431,0,2262 53,3,2024-09-07 08:38:30:699,1,161,3,0,271,1630,161,0 54,0,2024-09-07 08:38:31:614,37992,2.0,38517,1.2,76354,1.0,102201,4.00 54,1,2024-09-07 08:38:30:582,283074,283074,0,0,132543530612,1385195137,279104,3399,571,367,391532,0 54,2,2024-09-07 08:38:30:865,204072,204066,6,0,9924875,0,5382 54,3,2024-09-07 08:38:30:765,1,161,1,0,676,3182,161,0 55,0,2024-09-07 08:38:31:766,37033,0.4,38259,0.7,77346,0.3,100512,2.25 55,1,2024-09-07 08:38:30:765,282739,282739,0,0,132008531570,1383678337,277551,4401,787,365,391731,0 55,2,2024-09-07 08:38:30:729,200246,200246,0,0,9461478,0,3275 55,3,2024-09-07 08:38:30:678,1,161,1,0,304,1707,161,0 56,0,2024-09-07 08:38:31:599,40768,1.1,38535,1.0,79215,1.5,106517,2.50 56,1,2024-09-07 08:38:30:580,282659,282659,0,0,132459680354,1403586753,277497,4258,904,381,391678,0 56,2,2024-09-07 08:38:31:323,202355,202355,0,0,10296310,0,3567 56,3,2024-09-07 08:38:31:061,1,161,3,0,405,2233,161,0 57,0,2024-09-07 08:38:30:947,44766,2.4,44785,1.5,89780,3.4,120443,3.75 57,1,2024-09-07 08:38:31:003,283221,283221,0,0,132071090659,1383756144,280387,2664,170,368,391960,0 57,2,2024-09-07 08:38:31:331,205621,205621,0,0,10472977,0,3178 57,3,2024-09-07 08:38:31:741,1,161,2,0,359,2739,161,0 58,0,2024-09-07 08:38:30:558,38803,1.3,37787,1.2,79203,2.0,103931,2.75 58,1,2024-09-07 08:38:30:575,284010,284007,0,3,132787593564,1387751741,280491,3119,397,367,391516,3 58,2,2024-09-07 08:38:31:071,206026,206026,0,0,9572950,0,2549 58,3,2024-09-07 08:38:31:070,1,161,1,0,1043,2316,161,0 59,0,2024-09-07 08:38:31:752,38333,0.8,38029,0.9,75936,0.8,101153,2.75 59,1,2024-09-07 08:38:30:820,282989,282989,0,0,132158633155,1383791726,279342,2725,922,369,391515,0 59,2,2024-09-07 08:38:30:582,201677,201677,0,0,8399814,0,2604 59,3,2024-09-07 08:38:31:741,1,161,2,0,1015,2882,161,0 60,0,2024-09-07 08:38:31:720,38161,0.4,38254,0.6,76182,0.3,101622,1.75 60,1,2024-09-07 08:38:30:773,284439,284439,0,0,132821238709,1377587037,282981,1100,358,370,391761,0 60,2,2024-09-07 08:38:31:141,202077,202077,0,0,9454256,0,3811 60,3,2024-09-07 08:38:31:268,1,161,1,0,124,1572,161,0 61,0,2024-09-07 08:38:31:511,44112,1.3,44328,1.0,88357,1.9,118225,2.25 61,1,2024-09-07 08:38:30:781,283008,283008,0,0,131991884678,1385326460,279569,2886,553,382,391589,0 61,2,2024-09-07 08:38:31:118,204812,204812,0,0,8396064,0,2079 61,3,2024-09-07 08:38:31:691,1,161,1,0,199,1927,161,0 62,0,2024-09-07 08:38:31:710,41519,1.5,42270,1.1,80970,2.5,110253,2.25 62,1,2024-09-07 08:38:31:110,285286,285280,0,6,133676250170,1379804399,283601,1640,39,365,391715,6 62,2,2024-09-07 08:38:31:647,206147,206146,1,0,9980193,0,5555 62,3,2024-09-07 08:38:31:146,1,161,1,0,287,1173,161,0 63,0,2024-09-07 08:38:31:452,37688,0.5,37676,0.7,75519,0.5,100527,2.00 63,1,2024-09-07 08:38:30:804,284442,284436,0,6,132915496455,1377511970,283194,1216,26,381,391677,6 63,2,2024-09-07 08:38:30:761,200879,200879,0,0,7701351,0,2674 63,3,2024-09-07 08:38:31:741,1,161,5,0,667,2392,161,0 64,0,2024-09-07 08:38:31:573,36462,0.4,36376,0.6,73015,0.3,97291,1.75 64,1,2024-09-07 08:38:30:760,284014,284014,0,0,132657004727,1387919300,280547,2413,1054,370,391783,0 64,2,2024-09-07 08:38:31:154,203308,203289,19,0,8566427,0,6121 64,3,2024-09-07 08:38:31:141,1,161,14,0,265,1825,161,0 65,0,2024-09-07 08:38:31:727,41355,0.8,41907,0.8,83566,0.9,111846,2.25 65,1,2024-09-07 08:38:30:865,283092,283092,0,0,132435985127,1386860339,280585,2283,224,382,391770,0 65,2,2024-09-07 08:38:31:702,203241,203241,0,0,9023915,0,3367 65,3,2024-09-07 08:38:31:689,1,161,14,0,163,1642,161,0 66,0,2024-09-07 08:38:31:772,42669,0.8,42775,0.9,85953,0.8,113835,2.50 66,1,2024-09-07 08:38:31:296,284358,284358,0,0,132452067349,1378027671,282483,1704,171,380,391588,0 66,2,2024-09-07 08:38:31:139,208483,208483,0,0,8410636,0,4956 66,3,2024-09-07 08:38:31:086,1,161,1,0,291,1705,161,0 67,0,2024-09-07 08:38:31:415,37948,0.8,37674,0.9,75750,1.0,100504,2.50 67,1,2024-09-07 08:38:30:766,283105,283104,0,1,132044664405,1382602890,279637,2721,746,381,391787,1 67,2,2024-09-07 08:38:30:587,203735,203735,0,0,8010794,0,2889 67,3,2024-09-07 08:38:31:759,1,161,0,0,138,1290,161,0 68,0,2024-09-07 08:38:30:572,38053,0.4,37881,0.7,75725,0.4,100372,2.00 68,1,2024-09-07 08:38:30:571,282511,282511,0,0,132133668296,1387984107,279655,1837,1019,381,391953,0 68,2,2024-09-07 08:38:31:051,200205,200140,65,0,11349455,0,6698 68,3,2024-09-07 08:38:30:728,1,161,1,0,417,2069,161,0 69,0,2024-09-07 08:38:31:770,40663,0.7,40832,0.8,81233,0.7,108899,2.25 69,1,2024-09-07 08:38:31:024,282078,282078,0,0,132189575941,1398883359,278069,2794,1215,384,391994,0 69,2,2024-09-07 08:38:31:745,202989,202989,0,0,9963389,0,3701 69,3,2024-09-07 08:38:30:766,1,161,1,0,238,1982,161,0 70,0,2024-09-07 08:38:31:540,44458,1.9,44787,1.4,89799,1.3,119013,2.75 70,1,2024-09-07 08:38:30:800,283436,283436,0,0,132899741173,1382299338,280979,1995,462,366,391725,0 70,2,2024-09-07 08:38:31:326,205786,205786,0,0,9171841,0,4044 70,3,2024-09-07 08:38:30:745,1,161,26,0,854,2165,161,0 71,0,2024-09-07 08:38:31:358,38828,2.1,38854,1.7,77506,3.1,104518,3.50 71,1,2024-09-07 08:38:31:602,283370,283370,0,0,132367880426,1387063174,279253,3592,525,368,391682,0 71,2,2024-09-07 08:38:31:071,205906,205906,0,0,9176221,0,2470 71,3,2024-09-07 08:38:31:759,1,161,1,0,644,2569,161,0 72,0,2024-09-07 08:38:31:033,39702,0.4,38801,0.7,75901,0.4,103231,2.25 72,1,2024-09-07 08:38:31:026,283111,283111,0,0,131729287759,1376620853,279853,2772,486,369,391819,0 72,2,2024-09-07 08:38:31:776,199992,199992,0,0,10615904,0,2570 72,3,2024-09-07 08:38:31:764,1,161,2,0,364,3230,161,0 73,0,2024-09-07 08:38:31:124,38343,0.4,39323,0.6,80316,0.3,104509,2.00 73,1,2024-09-07 08:38:30:768,283398,283398,0,0,132611092429,1377240502,281592,1691,115,367,391627,0 73,2,2024-09-07 08:38:31:742,202849,202849,0,0,9919579,0,3482 73,3,2024-09-07 08:38:30:974,1,161,2,0,274,2583,161,0 74,0,2024-09-07 08:38:31:326,45410,0.5,46245,0.7,88249,0.5,120507,2.25 74,1,2024-09-07 08:38:30:639,283101,283101,0,0,131717109367,1376368135,280310,2169,622,382,391681,0 74,2,2024-09-07 08:38:31:002,204308,204308,0,0,10020582,0,4253 74,3,2024-09-07 08:38:31:442,1,161,1,0,522,2761,161,0 75,0,2024-09-07 08:38:31:776,40711,1.4,40416,1.2,80720,1.6,108869,2.75 75,1,2024-09-07 08:38:31:586,282866,282866,0,0,132041612357,1384421392,279473,2933,460,381,391579,0 75,2,2024-09-07 08:38:31:355,206376,206376,0,0,9638849,0,4766 75,3,2024-09-07 08:38:31:070,1,161,2,0,535,1859,161,0 76,0,2024-09-07 08:38:30:590,37824,0.4,37659,0.7,75219,0.3,100936,2.25 76,1,2024-09-07 08:38:30:808,283549,283549,0,0,132218406770,1380499789,281488,1550,511,382,391692,0 76,2,2024-09-07 08:38:31:062,201934,201934,0,0,8135399,0,3064 76,3,2024-09-07 08:38:31:145,1,161,9,0,175,1862,161,0 77,0,2024-09-07 08:38:31:708,37421,0.5,37687,0.7,75451,0.4,99698,1.75 77,1,2024-09-07 08:38:30:835,284307,284307,0,0,132118368424,1378073287,282712,1512,83,383,391808,0 77,2,2024-09-07 08:38:31:297,201557,201557,0,0,8435219,0,3890 77,3,2024-09-07 08:38:31:115,1,161,17,0,305,2016,161,0 78,0,2024-09-07 08:38:31:721,42970,0.5,42731,0.7,86250,0.4,114512,2.00 78,1,2024-09-07 08:38:30:609,283998,283998,0,0,131983937977,1373835144,281668,2077,253,367,391589,0 78,2,2024-09-07 08:38:31:408,204885,204885,0,0,7911162,0,2114 78,3,2024-09-07 08:38:31:138,1,161,4,0,181,1668,161,0 79,0,2024-09-07 08:38:31:360,40666,0.5,41627,0.8,85099,0.5,110533,2.75 79,1,2024-09-07 08:38:30:571,284262,284262,0,0,132438639845,1373114837,282075,2015,172,369,391682,0 79,2,2024-09-07 08:38:31:071,208001,208001,0,0,8167835,0,3212 79,3,2024-09-07 08:38:30:755,1,161,2,0,418,2889,161,0 80,0,2024-09-07 08:38:31:083,37587,0.6,38495,0.8,73949,0.6,100110,2.25 80,1,2024-09-07 08:38:31:623,282745,282745,0,0,131761393087,1373673862,280226,2358,161,368,391673,0 80,2,2024-09-07 08:38:31:106,202551,202551,0,0,8548547,0,4433 80,3,2024-09-07 08:38:30:581,1,161,9,0,190,2619,161,0 81,0,2024-09-07 08:38:31:540,36903,0.4,38029,0.6,72840,0.4,97744,1.75 81,1,2024-09-07 08:38:31:657,283101,283101,0,0,131968515861,1381013210,280622,2207,272,382,391879,0 81,2,2024-09-07 08:38:31:125,201166,201166,0,0,9017942,0,3993 81,3,2024-09-07 08:38:31:117,1,161,1,0,193,1607,161,0 82,0,2024-09-07 08:38:31:553,41091,0.5,41356,0.7,82818,0.4,110462,2.00 82,1,2024-09-07 08:38:30:584,283863,283859,0,4,131960740478,1377399058,281415,1866,578,381,391558,4 82,2,2024-09-07 08:38:31:690,203730,203730,0,0,8278161,0,3986 82,3,2024-09-07 08:38:31:761,1,161,8,0,227,1860,161,0 83,0,2024-09-07 08:38:31:529,43766,0.8,43783,0.8,86877,0.8,115709,2.25 83,1,2024-09-07 08:38:30:554,283399,283399,0,0,131813794095,1378171832,280952,2243,204,382,391690,0 83,2,2024-09-07 08:38:30:767,206004,206004,0,0,8331194,0,3119 83,3,2024-09-07 08:38:30:755,1,161,1,0,241,1894,161,0 84,0,2024-09-07 08:38:31:794,38741,1.3,38563,1.3,77552,1.1,103584,2.75 84,1,2024-09-07 08:38:31:041,282602,282602,0,0,131690688153,1381429249,279207,2941,454,368,391852,0 84,2,2024-09-07 08:38:30:585,204444,204444,0,0,9579661,0,3801 84,3,2024-09-07 08:38:31:141,1,161,1,0,270,1941,161,0 85,0,2024-09-07 08:38:31:040,36985,0.5,36983,0.7,78444,0.4,101609,2.00 85,1,2024-09-07 08:38:30:559,282328,282328,0,0,131662713321,1397697401,276878,4370,1080,382,392006,0 85,2,2024-09-07 08:38:30:943,201189,201189,0,0,10224403,0,3656 85,3,2024-09-07 08:38:30:686,1,161,14,0,789,2488,161,0 86,0,2024-09-07 08:38:30:876,39834,0.5,40916,0.7,78380,0.5,106357,1.75 86,1,2024-09-07 08:38:30:824,282725,282725,0,0,132566339807,1390858002,278767,3413,545,366,391961,0 86,2,2024-09-07 08:38:30:859,201293,201292,1,0,10565014,0,5004 86,3,2024-09-07 08:38:30:594,1,161,1,0,286,2389,161,0 87,0,2024-09-07 08:38:31:297,45514,1.5,45317,1.1,91038,2.2,122272,2.25 87,1,2024-09-07 08:38:30:570,282802,282802,0,0,131733966877,1382147289,279587,2824,391,366,391788,0 87,2,2024-09-07 08:38:31:083,204341,204341,0,0,8975840,0,3515 87,3,2024-09-07 08:38:31:797,1,161,18,0,335,2836,161,0 88,0,2024-09-07 08:38:31:443,40152,0.7,40291,0.7,80313,0.8,107345,1.75 88,1,2024-09-07 08:38:30:591,282020,282020,0,0,131885420089,1386348300,277981,2869,1170,365,391787,0 88,2,2024-09-07 08:38:30:692,206100,206100,0,0,10228631,0,3583 88,3,2024-09-07 08:38:31:269,1,161,3,0,435,2130,161,0 89,0,2024-09-07 08:38:31:778,39350,0.4,38096,0.7,75494,0.4,102587,1.75 89,1,2024-09-07 08:38:30:550,281624,281624,0,0,132107295596,1399233348,276191,4497,936,382,391866,0 89,2,2024-09-07 08:38:31:131,200486,200486,0,0,9989393,0,2910 89,3,2024-09-07 08:38:31:795,1,161,1,0,325,3164,161,0 90,0,2024-09-07 08:38:31:627,36930,0.4,37686,0.5,77509,0.3,101235,1.75 90,1,2024-09-07 08:38:30:598,283543,283543,0,0,131774384534,1385154929,280319,2967,257,382,391825,0 90,2,2024-09-07 08:38:31:407,201438,201438,0,0,10923588,0,3060 90,3,2024-09-07 08:38:30:934,1,161,3,0,200,1908,161,0 91,0,2024-09-07 08:38:30:936,44772,0.5,43147,0.6,89917,0.5,118671,1.75 91,1,2024-09-07 08:38:30:564,282421,282421,0,0,132082647916,1389418072,278670,3254,497,381,392047,0 91,2,2024-09-07 08:38:31:335,203367,203367,0,0,10228487,0,2445 91,3,2024-09-07 08:38:30:599,1,161,10,0,216,1888,161,0 92,0,2024-09-07 08:38:31:451,41697,0.8,42713,0.9,81653,1.0,110623,1.75 92,1,2024-09-07 08:38:30:590,283408,283408,0,0,132382588310,1383895507,281237,1769,402,382,392136,0 92,2,2024-09-07 08:38:31:353,208984,208984,0,0,8473489,0,2801 92,3,2024-09-07 08:38:31:011,1,161,7,0,68,1334,161,0 93,0,2024-09-07 08:38:30:968,38079,0.4,38880,0.6,74462,0.4,100998,1.75 93,1,2024-09-07 08:38:30:805,283144,283144,0,0,132047652087,1380700147,280039,2556,549,366,391692,0 93,2,2024-09-07 08:38:30:934,201491,201491,0,0,8308964,0,2509 93,3,2024-09-07 08:38:31:407,1,161,1,0,143,1705,161,0 94,0,2024-09-07 08:38:31:632,36585,0.3,36936,0.5,73176,0.2,97166,1.50 94,1,2024-09-07 08:38:30:563,283285,283285,0,0,131880615954,1380815058,280841,2349,95,381,391850,0 94,2,2024-09-07 08:38:30:766,201761,201761,0,0,8203784,0,2443 94,3,2024-09-07 08:38:31:692,1,161,5,0,264,2411,161,0 95,0,2024-09-07 08:38:31:348,42241,0.3,42052,0.5,84577,0.3,112480,1.75 95,1,2024-09-07 08:38:30:858,283590,283590,0,0,132039847443,1374929208,281214,2192,184,367,391662,0 95,2,2024-09-07 08:38:31:016,203562,203562,0,0,8505327,0,3308 95,3,2024-09-07 08:38:31:712,1,161,7,0,718,3058,161,0 96,0,2024-09-07 08:38:31:041,43215,0.6,43340,0.7,86931,0.6,114462,1.75 96,1,2024-09-07 08:38:31:593,282846,282846,0,0,132502765687,1387428033,280249,1955,642,385,391894,0 96,2,2024-09-07 08:38:31:272,207130,207130,0,0,9139946,0,4042 96,3,2024-09-07 08:38:31:146,1,161,2,0,188,1860,161,0 97,0,2024-09-07 08:38:31:319,38027,0.4,37907,0.6,75893,0.4,100622,1.75 97,1,2024-09-07 08:38:30:767,283703,283703,0,0,132855837639,1383449255,280905,2249,549,367,392140,0 97,2,2024-09-07 08:38:30:612,203177,203177,0,0,8168522,0,3036 97,3,2024-09-07 08:38:30:577,1,161,6,0,165,1909,161,0 98,0,2024-09-07 08:38:31:761,37954,0.2,37856,0.4,75931,0.2,100481,1.50 98,1,2024-09-07 08:38:30:572,283674,283674,0,0,132276413840,1380210390,281857,1726,91,382,391997,0 98,2,2024-09-07 08:38:30:772,202040,202040,0,0,8571452,0,3080 98,3,2024-09-07 08:38:30:700,1,161,1,0,840,3075,161,0 99,0,2024-09-07 08:38:31:465,40778,0.3,40814,0.4,81606,0.2,109101,1.50 99,1,2024-09-07 08:38:31:735,283657,283657,0,0,132585603586,1386082769,280596,2365,696,381,391744,0 99,2,2024-09-07 08:38:31:426,204881,204881,0,0,8324559,0,2615 99,3,2024-09-07 08:38:30:582,1,161,7,0,129,1189,161,0 100,0,2024-09-07 08:38:31:461,45042,1.2,44921,1.3,89903,2.1,119946,2.50 100,1,2024-09-07 08:38:30:548,281086,281086,0,0,131310666195,1395511106,275832,4323,931,381,391989,0 100,2,2024-09-07 08:38:31:857,204653,204642,11,0,9830292,0,5417 100,3,2024-09-07 08:38:31:745,1,161,3,0,559,3685,161,0 101,0,2024-09-07 08:38:31:706,40062,3.0,39043,1.6,76594,3.6,105784,3.00 101,1,2024-09-07 08:38:30:550,281981,281981,0,0,131669522965,1394698922,276620,3884,1477,368,391769,0 101,2,2024-09-07 08:38:31:768,204832,204832,0,0,10822494,0,4644 101,3,2024-09-07 08:38:30:942,1,161,1,0,448,2040,161,0 102,0,2024-09-07 08:38:30:997,37502,0.5,38941,0.8,78109,0.4,102549,2.00 102,1,2024-09-07 08:38:31:147,282714,282714,0,0,131719232572,1388589319,278629,3373,712,369,391883,0 102,2,2024-09-07 08:38:31:742,201434,201380,54,0,10165318,0,6768 102,3,2024-09-07 08:38:31:618,1,161,3,0,410,1706,161,0 103,0,2024-09-07 08:38:31:670,40424,0.4,40429,0.6,76243,0.3,105204,1.75 103,1,2024-09-07 08:38:31:653,281653,281653,0,0,131589468113,1390355094,277208,3236,1209,381,391829,0 103,2,2024-09-07 08:38:30:581,202012,202012,0,0,9117725,0,2104 103,3,2024-09-07 08:38:30:755,1,161,11,0,916,2927,161,0 104,0,2024-09-07 08:38:31:013,44708,1.3,44863,1.2,88537,1.7,120496,2.50 104,1,2024-09-07 08:38:31:604,283468,283468,0,0,131828245276,1392259895,278465,4040,963,365,391948,0 104,2,2024-09-07 08:38:31:673,203429,203429,0,0,9988021,0,3941 104,3,2024-09-07 08:38:31:424,1,161,5,1,1245,5110,161,0 105,0,2024-09-07 08:38:31:034,40083,1.9,38900,1.5,81192,2.8,107838,3.75 105,1,2024-09-07 08:38:30:561,283703,283703,0,0,132047579337,1387544152,279493,3435,775,367,391797,0 105,2,2024-09-07 08:38:31:322,206083,206083,0,0,9443106,0,3509 105,3,2024-09-07 08:38:31:311,1,161,2,0,399,2534,161,0 106,0,2024-09-07 08:38:30:976,36789,0.6,37785,0.9,76894,0.7,100731,2.25 106,1,2024-09-07 08:38:31:758,282852,282852,0,0,132470087487,1391296476,279064,3404,384,369,391767,0 106,2,2024-09-07 08:38:30:755,200235,200235,0,0,9456570,0,2795 106,3,2024-09-07 08:38:30:684,1,161,2,0,405,2410,161,0 107,0,2024-09-07 08:38:31:102,37457,0.4,37612,0.6,74707,0.3,99935,1.75 107,1,2024-09-07 08:38:30:584,282423,282423,0,0,132340393079,1391831240,279443,2750,230,381,392234,0 107,2,2024-09-07 08:38:31:295,201835,201834,1,0,9578415,0,5024 107,3,2024-09-07 08:38:31:764,1,161,6,0,353,2374,161,0 108,0,2024-09-07 08:38:31:779,43123,0.4,43202,0.6,85928,0.4,114960,1.75 108,1,2024-09-07 08:38:31:297,282871,282871,0,0,132289002636,1382274411,280213,2346,312,368,391857,0 108,2,2024-09-07 08:38:31:765,202613,202613,0,0,8951658,0,2647 108,3,2024-09-07 08:38:31:331,1,161,6,0,667,3238,161,0 109,0,2024-09-07 08:38:31:785,42215,0.5,41964,0.7,83801,0.5,112306,1.75 109,1,2024-09-07 08:38:30:601,282513,282513,0,0,132174603878,1388738828,279736,2281,496,383,392132,0 109,2,2024-09-07 08:38:30:925,206704,206704,0,0,9299809,0,3617 109,3,2024-09-07 08:38:31:143,1,161,13,0,249,2276,161,0 110,0,2024-09-07 08:38:31:873,37901,0.4,36756,0.6,76784,0.3,100419,1.75 110,1,2024-09-07 08:38:31:657,283592,283592,0,0,132428512519,1379308300,280978,1849,765,370,391667,0 110,2,2024-09-07 08:38:31:318,202350,202350,0,0,8176922,0,2915 110,3,2024-09-07 08:38:30:691,1,161,1,0,406,2311,161,0 111,0,2024-09-07 08:38:31:430,37152,0.2,36610,0.4,73285,0.1,98043,1.50 111,1,2024-09-07 08:38:31:000,284161,284161,0,0,133361716492,1385018390,282385,1444,332,382,391690,0 111,2,2024-09-07 08:38:31:115,201419,201419,0,0,8969483,0,2763 111,3,2024-09-07 08:38:30:917,1,161,1,0,379,2548,161,0 112,0,2024-09-07 08:38:30:922,41394,0.3,41321,0.5,82672,0.2,110591,1.50 112,1,2024-09-07 08:38:30:824,284473,284473,0,0,132549320489,1379409959,282146,1901,426,381,391580,0 112,2,2024-09-07 08:38:31:138,203060,203059,1,0,8998936,0,5036 112,3,2024-09-07 08:38:30:594,1,161,2,0,282,1893,161,0 113,0,2024-09-07 08:38:30:871,43584,0.4,43496,0.6,87718,0.4,116885,1.75 113,1,2024-09-07 08:38:31:688,284038,284038,0,0,132905467019,1377375168,281623,1927,488,366,391661,0 113,2,2024-09-07 08:38:31:303,207477,207477,0,0,7927531,0,3813 113,3,2024-09-07 08:38:30:685,1,161,1,0,340,2719,161,0 114,0,2024-09-07 08:38:30:875,39573,0.6,40187,0.7,78735,0.5,105405,2.00 114,1,2024-09-07 08:38:30:727,283487,283487,0,0,131802014079,1381528921,279358,2666,1463,381,391534,0 114,2,2024-09-07 08:38:30:874,204512,204512,0,0,8180828,0,3925 114,3,2024-09-07 08:38:31:283,1,161,1,0,395,1988,161,0 115,0,2024-09-07 08:38:30:554,38197,0.2,38623,0.4,77193,0.2,102357,1.50 115,1,2024-09-07 08:38:30:571,283693,283693,0,0,132518016295,1383469119,280050,2822,821,382,391602,0 115,2,2024-09-07 08:38:31:132,202130,202130,0,0,7654287,0,2152 115,3,2024-09-07 08:38:31:002,1,161,0,0,159,1068,161,0 116,0,2024-09-07 08:38:31:793,40027,0.6,39477,0.8,79331,0.6,106291,2.00 116,1,2024-09-07 08:38:30:809,281307,281307,0,0,131278415098,1397425179,276403,3150,1754,382,391677,0 116,2,2024-09-07 08:38:31:762,201604,201604,0,0,10851124,0,3529 116,3,2024-09-07 08:38:30:918,1,161,2,0,252,2432,161,0 117,0,2024-09-07 08:38:30:964,45317,1.3,45446,1.1,90968,1.9,122103,2.00 117,1,2024-09-07 08:38:31:577,283016,283016,0,0,131777982023,1384126293,279341,3247,428,370,392033,0 117,2,2024-09-07 08:38:31:119,206064,206064,0,0,8747824,0,3700 117,3,2024-09-07 08:38:31:061,1,161,2,0,490,3357,161,0 118,0,2024-09-07 08:38:31:845,39062,1.0,40242,0.9,81584,1.2,106636,2.25 118,1,2024-09-07 08:38:30:590,282492,282492,0,0,131199603964,1386865152,277646,3525,1321,366,391736,0 118,2,2024-09-07 08:38:31:589,205641,205641,0,0,9818943,0,2781 118,3,2024-09-07 08:38:31:767,1,161,13,0,235,2157,161,0 119,0,2024-09-07 08:38:31:357,37851,0.7,38038,0.8,77084,0.6,101638,2.00 119,1,2024-09-07 08:38:30:560,283212,283212,0,0,132439436466,1383847303,280730,2194,288,369,391641,0 119,2,2024-09-07 08:38:31:262,200389,200389,0,0,9062672,0,3526 119,3,2024-09-07 08:38:31:325,1,161,0,0,443,2927,161,0 120,0,2024-09-07 08:38:31:548,37790,0.4,37892,0.7,75695,0.4,101387,2.00 120,1,2024-09-07 08:38:30:858,283405,283405,0,0,131965784982,1387328233,280111,3032,262,368,391961,0 120,2,2024-09-07 08:38:30:770,201602,201601,1,0,11285396,0,5281 120,3,2024-09-07 08:38:31:296,1,161,7,0,241,2290,161,0 121,0,2024-09-07 08:38:31:690,43934,1.5,44377,1.2,88342,2.3,118140,2.25 121,1,2024-09-07 08:38:31:656,283155,283155,0,0,131953601610,1382226393,280398,2469,288,367,391840,0 121,2,2024-09-07 08:38:31:127,203191,203191,0,0,10200307,0,4127 121,3,2024-09-07 08:38:30:730,1,161,0,0,269,2261,161,0 122,0,2024-09-07 08:38:31:790,41114,1.3,40043,1.2,83837,1.4,110696,2.25 122,1,2024-09-07 08:38:30:862,282228,282228,0,0,131859459601,1387923181,278092,3429,707,366,392130,0 122,2,2024-09-07 08:38:31:318,206980,206980,0,0,11291841,0,3364 122,3,2024-09-07 08:38:30:605,1,161,2,0,411,3811,161,0 123,0,2024-09-07 08:38:30:998,37750,0.8,36815,0.8,77065,0.9,100491,2.00 123,1,2024-09-07 08:38:30:562,283188,283188,0,0,132159745074,1393174050,278112,4382,694,369,391823,0 123,2,2024-09-07 08:38:31:020,199520,199519,1,0,9707510,0,5215 123,3,2024-09-07 08:38:31:133,1,161,3,0,168,1995,161,0 124,0,2024-09-07 08:38:30:923,37693,0.3,37660,0.5,71157,0.2,97513,1.50 124,1,2024-09-07 08:38:31:084,283502,283502,0,0,132459598202,1377483685,281417,1729,356,367,392178,0 124,2,2024-09-07 08:38:31:015,202235,202235,0,0,8218542,0,3101 124,3,2024-09-07 08:38:30:759,1,161,1,0,490,2264,161,0 125,0,2024-09-07 08:38:31:439,42264,0.4,42208,0.5,84499,0.4,112650,1.75 125,1,2024-09-07 08:38:30:866,283669,283669,0,0,132676498659,1386654332,281304,2090,275,384,391702,0 125,2,2024-09-07 08:38:31:118,204267,204267,0,0,8227786,0,2180 125,3,2024-09-07 08:38:31:132,1,161,1,0,284,2116,161,0 126,0,2024-09-07 08:38:31:417,43320,0.8,44456,0.8,85022,0.8,115224,2.00 126,1,2024-09-07 08:38:30:551,283817,283817,0,0,133151940653,1381359020,282183,1556,78,365,391987,0 126,2,2024-09-07 08:38:30:612,207714,207714,0,0,8580450,0,3186 126,3,2024-09-07 08:38:30:914,1,161,58,0,150,2330,161,0 127,0,2024-09-07 08:38:31:600,37773,0.4,37982,0.6,75714,0.4,100592,1.75 127,1,2024-09-07 08:38:30:572,283312,283312,0,0,132349131372,1372796752,281248,2023,41,365,391816,0 127,2,2024-09-07 08:38:30:647,203282,203282,0,0,8023003,0,2264 127,3,2024-09-07 08:38:31:266,1,161,1,0,243,1415,161,0 128,0,2024-09-07 08:38:31:539,38154,0.3,37956,0.4,76003,0.2,100613,1.50 128,1,2024-09-07 08:38:31:614,283830,283830,0,0,132220453046,1370491559,282851,907,72,367,391680,0 128,2,2024-09-07 08:38:31:390,201422,201422,0,0,8057092,0,2107 128,3,2024-09-07 08:38:30:779,1,161,3,0,333,2371,161,0 129,0,2024-09-07 08:38:31:004,41049,0.3,40856,0.5,81602,0.2,108914,1.50 129,1,2024-09-07 08:38:30:575,282382,282382,0,0,131523186852,1379604973,279455,2534,393,379,391835,0 129,2,2024-09-07 08:38:30:690,202920,202920,0,0,8455524,0,4031 129,3,2024-09-07 08:38:30:699,1,161,4,0,173,1930,161,0 130,0,2024-09-07 08:38:31:725,45426,0.8,45162,0.8,90722,0.9,120838,2.00 130,1,2024-09-07 08:38:30:592,283592,283592,0,0,132361549684,1380770838,281482,2038,72,381,391825,0 130,2,2024-09-07 08:38:31:132,206185,206185,0,0,8324672,0,4067 130,3,2024-09-07 08:38:31:295,1,161,1,0,450,1948,161,0 131,0,2024-09-07 08:38:31:938,39512,0.6,39677,0.7,80339,0.6,106098,1.75 131,1,2024-09-07 08:38:31:821,284680,284680,0,0,132490916194,1379587169,283211,1263,206,383,391865,0 131,2,2024-09-07 08:38:30:572,205998,205998,0,0,7894590,0,2415 131,3,2024-09-07 08:38:31:693,1,161,2,0,392,1848,161,0 132,0,2024-09-07 08:38:31:443,38124,0.4,38642,0.7,77289,0.4,102907,1.75 132,1,2024-09-07 08:38:30:592,282110,282110,0,0,132004006914,1398378099,276696,4383,1031,381,391760,0 132,2,2024-09-07 08:38:30:703,200716,200716,0,0,10866772,0,4606 132,3,2024-09-07 08:38:31:688,1,161,14,0,356,3035,161,0 133,0,2024-09-07 08:38:31:538,38245,0.4,39111,0.6,80272,0.3,104184,1.75 133,1,2024-09-07 08:38:30:594,281685,281685,0,0,131672816840,1392438219,277177,3867,641,383,391914,0 133,2,2024-09-07 08:38:31:087,201614,201614,0,0,10939237,0,4315 133,3,2024-09-07 08:38:31:297,1,161,1,0,187,1451,161,0 134,0,2024-09-07 08:38:30:978,44907,0.6,44838,0.8,90288,0.6,120419,2.00 134,1,2024-09-07 08:38:30:592,282427,282427,0,0,131867289336,1386506412,278043,3161,1223,366,391718,0 134,2,2024-09-07 08:38:31:775,203718,203718,0,0,9292511,0,3096 134,3,2024-09-07 08:38:30:757,1,161,1,0,739,2983,161,0 135,0,2024-09-07 08:38:31:101,39304,1.6,39300,1.3,83312,1.9,107581,2.50 135,1,2024-09-07 08:38:31:588,282133,282133,0,0,132578409467,1397386435,277942,3487,704,380,391805,0 135,2,2024-09-07 08:38:30:693,207154,207154,0,0,10052390,0,3981 135,3,2024-09-07 08:38:31:003,1,161,1,0,89,960,161,0 136,0,2024-09-07 08:38:31:627,38194,0.5,38097,0.8,76572,0.5,101640,2.00 136,1,2024-09-07 08:38:31:441,282456,282456,0,0,132383487346,1389170908,279108,3175,173,383,391641,0 136,2,2024-09-07 08:38:31:134,201908,201908,0,0,9460695,0,3506 136,3,2024-09-07 08:38:31:110,1,161,1,0,108,1333,161,0 137,0,2024-09-07 08:38:30:931,38524,0.4,37414,0.7,73669,0.4,100075,1.75 137,1,2024-09-07 08:38:30:600,282893,282893,0,0,132548543897,1389574960,278755,3718,420,367,391708,0 137,2,2024-09-07 08:38:31:713,201252,201252,0,0,10900541,0,3185 137,3,2024-09-07 08:38:30:769,1,161,1,1,227,1886,161,0 138,0,2024-09-07 08:38:31:762,42585,1.3,42615,1.1,85991,1.8,114880,2.25 138,1,2024-09-07 08:38:31:685,283022,283022,0,0,132098193802,1382675587,279950,2720,352,368,391954,0 138,2,2024-09-07 08:38:30:592,204628,204628,0,0,8986316,0,3263 138,3,2024-09-07 08:38:30:617,1,161,1,0,1160,3169,161,0 139,0,2024-09-07 08:38:31:371,40987,3.0,41214,1.7,82985,4.3,111013,3.25 139,1,2024-09-07 08:38:30:573,281845,281845,0,0,131507562066,1397649780,276570,3951,1324,381,391892,0 139,2,2024-09-07 08:38:30:693,205577,205577,0,0,10135467,0,3097 139,3,2024-09-07 08:38:31:679,1,161,2,0,244,1832,161,0 140,0,2024-09-07 08:38:31:596,38022,0.4,37692,0.6,75975,0.3,100459,1.75 140,1,2024-09-07 08:38:31:544,284218,284218,0,0,132952318467,1372438877,282701,1277,240,365,391606,0 140,2,2024-09-07 08:38:30:688,202251,202251,0,0,8520191,0,3388 140,3,2024-09-07 08:38:30:767,1,161,1,0,247,1440,161,0 141,0,2024-09-07 08:38:31:700,36697,0.2,37821,0.4,72428,0.1,98017,1.50 141,1,2024-09-07 08:38:30:862,283942,283942,0,0,132806132193,1379248143,282003,1586,353,379,391614,0 141,2,2024-09-07 08:38:31:686,201888,201888,0,0,8029297,0,2342 141,3,2024-09-07 08:38:31:049,1,161,13,0,147,1322,161,0 142,0,2024-09-07 08:38:31:325,41838,0.3,41617,0.5,82399,0.2,110766,1.50 142,1,2024-09-07 08:38:30:590,283517,283517,0,0,132352997300,1380196595,281972,1386,159,383,391728,0 142,2,2024-09-07 08:38:31:310,202182,202150,32,0,9364050,0,6028 142,3,2024-09-07 08:38:31:756,1,161,3,0,484,2321,161,0 143,0,2024-09-07 08:38:31:380,43830,0.7,43794,0.7,88138,0.8,116699,2.00 143,1,2024-09-07 08:38:30:562,283648,283648,0,0,132442612380,1375823899,281538,2067,43,367,391619,0 143,2,2024-09-07 08:38:30:770,206589,206589,0,0,8669561,0,2669 143,3,2024-09-07 08:38:31:147,1,161,5,0,303,2612,161,0 144,0,2024-09-07 08:38:31:511,37801,0.9,38959,1.4,78997,0.8,103884,2.25 144,1,2024-09-07 08:38:30:570,282706,282706,0,0,131244916210,1378124398,280307,2196,203,381,391649,0 144,2,2024-09-07 08:38:31:767,204583,204583,0,0,8481320,0,3473 144,3,2024-09-07 08:38:31:739,1,161,1,0,249,2107,161,0 145,0,2024-09-07 08:38:31:363,37085,0.5,37087,0.7,78732,0.4,101919,2.00 145,1,2024-09-07 08:38:30:555,281380,281380,0,0,131897716959,1392735478,276986,3657,737,383,391615,0 145,2,2024-09-07 08:38:31:432,201034,201034,0,0,9496128,0,3903 145,3,2024-09-07 08:38:30:905,1,161,2,0,151,1848,161,0 146,0,2024-09-07 08:38:31:646,39695,0.4,39462,0.6,79681,0.4,105910,2.00 146,1,2024-09-07 08:38:31:594,283320,283320,0,0,132147548849,1387914955,278837,3623,860,368,391629,0 146,2,2024-09-07 08:38:31:709,202728,202728,0,0,9230368,0,2498 146,3,2024-09-07 08:38:31:273,1,161,1,0,1520,5179,161,0 147,0,2024-09-07 08:38:31:709,45517,0.8,45447,0.9,90381,0.8,121795,2.25 147,1,2024-09-07 08:38:31:374,284185,284185,0,0,132594979917,1382427099,281081,2607,497,368,391791,0 147,2,2024-09-07 08:38:31:014,205899,205899,0,0,8481128,0,2789 147,3,2024-09-07 08:38:30:918,1,161,3,0,371,2009,161,0 0,0,2024-09-07 08:38:41:718,37242,0.4,37285,0.6,78926,0.4,102558,1.75 0,1,2024-09-07 08:38:40:806,284856,284856,0,0,133546079085,1397770897,282890,1830,136,372,391772,0 0,2,2024-09-07 08:38:41:068,203405,203405,0,0,8737062,0,4480 0,3,2024-09-07 08:38:40:978,1,162,16,0,247,2067,162,0 1,0,2024-09-07 08:38:41:798,44653,1.3,44331,1.2,88857,1.8,119062,2.25 1,1,2024-09-07 08:38:40:565,284482,284482,0,0,132694053352,1393975216,281045,2570,867,371,391857,0 1,2,2024-09-07 08:38:40:647,204622,204622,0,0,7967038,0,3267 1,3,2024-09-07 08:38:41:305,1,162,1,0,262,1979,162,0 2,0,2024-09-07 08:38:41:577,41456,1.2,41604,1.0,82693,1.7,110798,2.25 2,1,2024-09-07 08:38:40:861,284738,284738,0,0,133626518554,1390037762,283230,1302,206,380,391745,0 2,2,2024-09-07 08:38:41:266,208264,208264,0,0,8788561,0,3594 2,3,2024-09-07 08:38:40:690,1,162,1,0,357,1694,162,0 3,0,2024-09-07 08:38:41:745,37640,0.5,37857,0.7,75707,0.4,100345,2.00 3,1,2024-09-07 08:38:41:619,284607,284607,0,0,132393510554,1383485800,281498,2685,424,380,391516,0 3,2,2024-09-07 08:38:41:141,202563,202540,23,0,8695433,0,5851 3,3,2024-09-07 08:38:41:753,1,162,1,0,103,1012,162,0 4,0,2024-09-07 08:38:41:780,35771,0.3,36750,0.4,74743,0.2,98230,1.50 4,1,2024-09-07 08:38:40:596,285115,285115,0,0,132890303436,1397413198,282134,2459,522,371,391846,0 4,2,2024-09-07 08:38:41:019,201850,201850,0,0,10461886,0,4528 4,3,2024-09-07 08:38:41:027,1,162,2,0,287,2221,162,0 5,0,2024-09-07 08:38:41:380,42509,0.5,42502,0.6,85094,0.5,113504,1.75 5,1,2024-09-07 08:38:40:759,284227,284227,0,0,132891677108,1402049919,280019,3220,988,368,392005,0 5,2,2024-09-07 08:38:41:829,204247,204247,0,0,9041138,0,2259 5,3,2024-09-07 08:38:41:731,1,162,1,0,238,2415,162,0 6,0,2024-09-07 08:38:40:925,43687,1.0,43289,1.0,85933,1.3,115290,2.25 6,1,2024-09-07 08:38:40:762,285180,285180,0,0,132787556765,1392260515,281780,2752,648,381,391617,0 6,2,2024-09-07 08:38:41:116,208936,208936,0,0,9273124,0,4816 6,3,2024-09-07 08:38:41:274,1,162,0,0,340,2333,162,0 7,0,2024-09-07 08:38:41:536,37523,0.5,37366,0.7,75043,0.4,99825,2.00 7,1,2024-09-07 08:38:40:851,284839,284839,0,0,133649024764,1400094755,282021,2686,132,382,391664,0 7,2,2024-09-07 08:38:40:770,203531,203531,0,0,8446904,0,2981 7,3,2024-09-07 08:38:40:851,1,162,4,0,305,1711,162,0 8,0,2024-09-07 08:38:41:330,37866,0.3,37969,0.4,75600,0.2,100460,1.50 8,1,2024-09-07 08:38:41:016,284312,284312,0,0,132637028120,1402651962,278701,4010,1601,367,391956,0 8,2,2024-09-07 08:38:40:799,200304,200304,0,0,10227339,0,2986 8,3,2024-09-07 08:38:40:585,1,162,1,0,357,2623,162,0 9,0,2024-09-07 08:38:41:118,41239,0.3,39964,0.5,83565,0.3,109844,1.75 9,1,2024-09-07 08:38:40:553,284131,284131,0,0,133377909030,1408998628,279577,3424,1130,370,391753,0 9,2,2024-09-07 08:38:41:086,203974,203974,0,0,9441378,0,3360 9,3,2024-09-07 08:38:41:753,1,162,0,0,496,2672,162,0 10,0,2024-09-07 08:38:41:610,45492,0.4,45131,0.6,90698,0.3,120346,1.75 10,1,2024-09-07 08:38:40:588,284529,284529,0,0,132802304317,1395639391,280058,3830,641,381,391741,0 10,2,2024-09-07 08:38:40:767,207004,207004,0,0,9656824,0,2940 10,3,2024-09-07 08:38:40:876,1,162,1,0,177,1241,162,0 11,0,2024-09-07 08:38:41:008,39100,0.7,38103,1.0,79828,0.8,106376,2.25 11,1,2024-09-07 08:38:40:574,284905,284905,0,0,132613335688,1396933778,279591,4044,1270,384,391537,0 11,2,2024-09-07 08:38:41:123,206258,206258,0,0,9408988,0,3411 11,3,2024-09-07 08:38:41:308,1,162,2,0,720,2827,162,0 12,0,2024-09-07 08:38:41:015,39171,0.3,38823,0.5,77959,0.2,103741,1.50 12,1,2024-09-07 08:38:40:967,284523,284523,0,0,132803004796,1388931854,281629,2480,414,370,391837,0 12,2,2024-09-07 08:38:41:542,203620,203620,0,0,9508488,0,3469 12,3,2024-09-07 08:38:41:065,1,162,5,0,358,2710,162,0 13,0,2024-09-07 08:38:41:371,39730,0.3,39597,0.5,79186,0.3,105550,1.75 13,1,2024-09-07 08:38:41:545,284647,284647,0,0,132932078911,1395986700,282225,2013,409,382,391717,0 13,2,2024-09-07 08:38:40:595,204404,204404,0,0,8692292,0,3287 13,3,2024-09-07 08:38:41:764,1,162,1,0,467,3301,162,0 14,0,2024-09-07 08:38:40:589,45766,0.4,45877,0.6,91057,0.4,121900,1.75 14,1,2024-09-07 08:38:41:561,286411,286411,0,0,133571693803,1386576181,284144,2104,163,364,391571,0 14,2,2024-09-07 08:38:40:767,205330,205330,0,0,8840639,0,2896 14,3,2024-09-07 08:38:41:119,1,162,3,0,1168,2906,162,0 15,0,2024-09-07 08:38:41:555,40681,1.0,40707,1.1,81680,1.2,108895,2.50 15,1,2024-09-07 08:38:41:609,284576,284576,0,0,132796886666,1383201817,282660,1807,109,381,391619,0 15,2,2024-09-07 08:38:40:998,208902,208902,0,0,7498376,0,3043 15,3,2024-09-07 08:38:41:407,1,162,2,0,1126,4309,162,0 16,0,2024-09-07 08:38:40:949,38239,0.5,38528,0.7,76332,0.5,102032,2.00 16,1,2024-09-07 08:38:40:584,285398,285398,0,0,133145332469,1392976270,283172,1964,262,370,391756,0 16,2,2024-09-07 08:38:41:434,201664,201664,0,0,9749294,0,4719 16,3,2024-09-07 08:38:41:142,1,162,5,0,231,2242,162,0 17,0,2024-09-07 08:38:41:821,38840,0.4,37870,0.6,74361,0.4,100674,1.75 17,1,2024-09-07 08:38:40:585,284285,284285,0,0,132541534273,1394595804,280926,2687,672,368,391688,0 17,2,2024-09-07 08:38:41:675,205318,205318,0,0,8703352,0,2857 17,3,2024-09-07 08:38:40:574,1,162,0,0,268,2475,162,0 18,0,2024-09-07 08:38:40:948,42834,0.7,43013,0.9,86039,0.8,115273,2.25 18,1,2024-09-07 08:38:41:638,284967,284967,0,0,133368479896,1385398884,282959,1774,234,367,391564,0 18,2,2024-09-07 08:38:41:754,205226,205226,0,0,8705977,0,3541 18,3,2024-09-07 08:38:40:902,1,162,0,0,163,1735,162,0 19,0,2024-09-07 08:38:41:541,41720,1.2,42194,1.0,83187,1.4,110689,2.75 19,1,2024-09-07 08:38:40:566,284931,284931,0,0,133520027749,1393419137,281117,3098,716,367,391777,0 19,2,2024-09-07 08:38:41:754,209512,209512,0,0,7969368,0,3988 19,3,2024-09-07 08:38:41:129,1,162,8,0,524,1500,162,0 20,0,2024-09-07 08:38:41:366,37717,0.6,37672,0.8,75576,0.6,100366,2.25 20,1,2024-09-07 08:38:40:585,284120,284120,0,0,133017196138,1397147879,280652,3077,391,369,391822,0 20,2,2024-09-07 08:38:40:929,202602,202602,0,0,9075853,0,3721 20,3,2024-09-07 08:38:40:596,1,162,1,0,414,2910,162,0 21,0,2024-09-07 08:38:41:134,37237,0.3,37274,0.5,74178,0.3,98090,1.75 21,1,2024-09-07 08:38:41:537,284257,284257,0,0,132225491151,1394193288,280588,3135,534,368,391962,0 21,2,2024-09-07 08:38:41:069,202806,202806,0,0,9917873,0,3747 21,3,2024-09-07 08:38:41:406,1,162,11,0,103,1960,162,0 22,0,2024-09-07 08:38:41:722,41623,0.6,41700,0.8,82857,0.6,111351,2.00 22,1,2024-09-07 08:38:41:023,283881,283881,0,0,132261569456,1398222275,278339,4033,1509,382,391667,0 22,2,2024-09-07 08:38:40:767,204357,204357,0,0,8513548,0,3134 22,3,2024-09-07 08:38:41:066,1,162,0,0,228,1824,162,0 23,0,2024-09-07 08:38:41:383,43596,0.9,43642,0.9,87540,1.2,116539,2.50 23,1,2024-09-07 08:38:41:012,284763,284763,0,0,133410794774,1397449384,280667,2614,1482,365,391549,0 23,2,2024-09-07 08:38:41:092,207510,207510,0,0,8822178,0,3010 23,3,2024-09-07 08:38:41:753,1,162,1,0,645,1811,162,0 24,0,2024-09-07 08:38:40:821,39780,0.5,39771,0.6,79552,0.5,105318,1.75 24,1,2024-09-07 08:38:40:594,284964,284964,0,0,132374044987,1386987865,282002,2163,799,369,391640,0 24,2,2024-09-07 08:38:41:072,204090,204090,0,0,10078006,0,2942 24,3,2024-09-07 08:38:41:700,1,162,33,0,234,1861,162,0 25,0,2024-09-07 08:38:41:372,39626,0.4,38696,0.6,75857,0.3,103142,1.75 25,1,2024-09-07 08:38:40:567,284616,284616,0,0,132728139659,1395217718,280924,3149,543,371,391788,0 25,2,2024-09-07 08:38:41:610,201804,201804,0,0,10270084,0,3978 25,3,2024-09-07 08:38:41:003,1,162,25,0,255,2082,162,0 26,0,2024-09-07 08:38:41:738,39900,0.3,39028,0.5,81785,0.3,107374,1.75 26,1,2024-09-07 08:38:41:542,284971,284971,0,0,132687475072,1394847142,280307,3763,901,381,391748,0 26,2,2024-09-07 08:38:40:861,204518,204518,0,0,9435368,0,2809 26,3,2024-09-07 08:38:41:712,1,162,1,0,796,2238,162,0 27,0,2024-09-07 08:38:41:725,46080,0.6,46057,0.7,91349,0.6,121870,2.00 27,1,2024-09-07 08:38:41:676,286321,286321,0,0,133692110228,1389559150,284501,1497,323,381,391558,0 27,2,2024-09-07 08:38:40:867,205164,205164,0,0,10019932,0,3409 27,3,2024-09-07 08:38:41:015,1,162,34,0,564,1970,162,0 28,0,2024-09-07 08:38:41:416,40124,0.8,40240,0.8,80987,1.1,108072,2.50 28,1,2024-09-07 08:38:40:801,285431,285431,0,0,134042755030,1398029749,283264,1830,337,383,391646,0 28,2,2024-09-07 08:38:41:764,207577,207577,0,0,7892880,0,2915 28,3,2024-09-07 08:38:41:788,1,162,5,0,502,2018,162,0 29,0,2024-09-07 08:38:41:361,39371,0.3,38599,0.6,75144,0.3,102889,1.75 29,1,2024-09-07 08:38:41:561,286371,286371,0,0,132724573402,1376884468,283940,1888,543,369,391621,0 29,2,2024-09-07 08:38:40:860,202311,202311,0,0,7662187,0,4018 29,3,2024-09-07 08:38:40:964,1,162,5,0,105,1235,162,0 30,0,2024-09-07 08:38:41:475,38271,0.5,37281,0.7,77855,0.4,102859,2.00 30,1,2024-09-07 08:38:40:574,285723,285723,0,0,133362819917,1386168517,283733,1712,278,382,391672,0 30,2,2024-09-07 08:38:41:279,204316,204316,0,0,7673201,0,3161 30,3,2024-09-07 08:38:40:584,1,162,5,0,195,1213,162,0 31,0,2024-09-07 08:38:41:758,44104,0.5,44449,0.7,89492,0.5,118808,2.00 31,1,2024-09-07 08:38:40:564,286423,286423,0,0,133722999167,1371139155,285621,690,112,356,391553,0 31,2,2024-09-07 08:38:41:275,204974,204974,0,0,9439575,0,3525 31,3,2024-09-07 08:38:41:711,1,162,1,0,220,1346,162,0 32,0,2024-09-07 08:38:41:423,41489,0.5,41822,0.7,83775,0.5,111507,1.75 32,1,2024-09-07 08:38:40:804,285716,285716,0,0,133669906519,1389056334,283973,1468,275,382,391595,0 32,2,2024-09-07 08:38:40:937,208510,208510,0,0,7788159,0,3155 32,3,2024-09-07 08:38:41:020,1,162,3,0,227,1475,162,0 33,0,2024-09-07 08:38:41:507,38346,0.3,37677,0.5,76126,0.3,101295,1.75 33,1,2024-09-07 08:38:40:580,286335,286335,0,0,134072525993,1389346499,284076,2087,172,369,391730,0 33,2,2024-09-07 08:38:40:761,202340,202307,33,0,9549359,0,7012 33,3,2024-09-07 08:38:40:899,1,162,1,0,329,1862,162,0 34,0,2024-09-07 08:38:40:932,36941,0.3,38115,0.4,73016,0.2,98322,1.50 34,1,2024-09-07 08:38:41:088,286253,286253,0,0,134361490992,1384502756,285255,992,6,367,391562,0 34,2,2024-09-07 08:38:40:767,202454,202454,0,0,8510405,0,3577 34,3,2024-09-07 08:38:41:690,1,162,1,0,148,1049,162,0 35,0,2024-09-07 08:38:40:858,42213,0.4,42528,0.5,85177,0.3,113947,1.75 35,1,2024-09-07 08:38:41:068,285305,285305,0,0,132839415251,1381693570,282505,2030,770,384,391589,0 35,2,2024-09-07 08:38:41:586,205192,205192,0,0,7906918,0,2653 35,3,2024-09-07 08:38:40:918,1,162,4,0,418,1977,162,0 36,0,2024-09-07 08:38:41:524,43294,1.0,43141,1.0,86895,1.2,114894,2.50 36,1,2024-09-07 08:38:40:584,285105,285105,0,0,133351445171,1399028679,280482,3334,1289,366,391759,0 36,2,2024-09-07 08:38:41:759,208000,208000,0,0,9408032,0,3303 36,3,2024-09-07 08:38:40:867,1,162,11,0,378,2219,162,0 37,0,2024-09-07 08:38:41:394,37556,0.5,37557,0.7,75020,0.4,100739,2.00 37,1,2024-09-07 08:38:40:572,284334,284327,0,7,132317504722,1393400656,279554,2754,2019,365,391560,0 37,2,2024-09-07 08:38:41:149,203695,203680,15,0,9461807,0,5815 37,3,2024-09-07 08:38:41:769,1,162,1,0,724,2473,162,0 38,0,2024-09-07 08:38:41:444,37684,0.4,36661,0.6,76425,0.3,100039,2.00 38,1,2024-09-07 08:38:41:609,285284,285284,0,0,132753583684,1389962998,281246,3406,632,368,391821,0 38,2,2024-09-07 08:38:40:761,202958,202911,47,0,11041121,0,6710 38,3,2024-09-07 08:38:40:997,1,162,1,0,603,2191,162,0 39,0,2024-09-07 08:38:41:772,42237,0.6,41362,0.7,80651,0.6,110337,2.00 39,1,2024-09-07 08:38:40:716,285188,285188,0,0,132795726746,1387397902,281400,2987,801,365,391524,0 39,2,2024-09-07 08:38:41:417,204216,204216,0,0,8187036,0,2689 39,3,2024-09-07 08:38:40:713,1,162,3,0,276,2071,162,0 40,0,2024-09-07 08:38:41:493,44679,0.9,45339,1.2,90245,1.0,119851,3.00 40,1,2024-09-07 08:38:40:580,285284,285284,0,0,132214887988,1386891995,280869,3646,769,368,391591,0 40,2,2024-09-07 08:38:41:308,206162,206161,1,0,10604208,0,5137 40,3,2024-09-07 08:38:41:151,1,162,36,0,181,1656,162,0 41,0,2024-09-07 08:38:41:033,39111,2.0,39878,1.7,76527,3.3,104952,4.25 41,1,2024-09-07 08:38:40:772,284519,284519,0,0,132980872332,1393650192,280325,3661,533,370,391742,0 41,2,2024-09-07 08:38:40:762,205033,205033,0,0,10258643,0,3356 41,3,2024-09-07 08:38:41:676,1,162,0,0,366,1978,162,0 42,0,2024-09-07 08:38:41:474,38165,0.5,38552,0.8,76934,0.4,102026,2.25 42,1,2024-09-07 08:38:41:439,284022,284022,0,0,132916264644,1399867929,279307,3757,958,380,391675,0 42,2,2024-09-07 08:38:41:138,201781,201781,0,0,9886540,0,3568 42,3,2024-09-07 08:38:41:010,1,162,1,0,446,1436,162,0 43,0,2024-09-07 08:38:40:918,39407,0.6,38542,0.8,80360,0.6,105580,2.00 43,1,2024-09-07 08:38:40:585,284949,284949,0,0,133437932748,1392786052,281611,2545,793,366,391604,0 43,2,2024-09-07 08:38:41:743,204248,204248,0,0,9220923,0,3812 43,3,2024-09-07 08:38:41:749,1,162,1,0,325,2142,162,0 44,0,2024-09-07 08:38:40:923,45576,0.5,45787,0.7,91232,0.5,122542,1.75 44,1,2024-09-07 08:38:40:593,285582,285582,0,0,133303951490,1378676973,283524,1633,425,356,391809,0 44,2,2024-09-07 08:38:41:268,204855,204855,0,0,7584218,0,1877 44,3,2024-09-07 08:38:41:103,1,162,6,0,817,2102,162,0 45,0,2024-09-07 08:38:41:772,40178,1.3,39462,1.2,82776,1.6,109497,2.25 45,1,2024-09-07 08:38:41:011,285321,285321,0,0,133922899994,1388754238,284280,1031,10,382,391917,0 45,2,2024-09-07 08:38:41:273,208669,208669,0,0,8292276,0,3596 45,3,2024-09-07 08:38:40:939,1,162,1,0,226,1671,162,0 46,0,2024-09-07 08:38:40:958,38176,0.3,38023,0.6,76305,0.3,101078,1.75 46,1,2024-09-07 08:38:40:585,285935,285935,0,0,133449366977,1380912342,284126,1586,223,366,391524,0 46,2,2024-09-07 08:38:40:596,203161,203161,0,0,8188814,0,2920 46,3,2024-09-07 08:38:41:131,1,162,2,0,908,2911,162,0 47,0,2024-09-07 08:38:41:106,37724,0.3,37984,0.5,76104,0.2,100372,1.75 47,1,2024-09-07 08:38:40:567,286269,286269,0,0,133693439617,1380799989,284867,1385,17,366,391605,0 47,2,2024-09-07 08:38:40:920,204308,204308,0,0,8266874,0,2558 47,3,2024-09-07 08:38:41:115,1,162,1,0,529,1835,162,0 48,0,2024-09-07 08:38:41:525,43722,0.3,43309,0.4,86767,0.2,115958,1.75 48,1,2024-09-07 08:38:41:023,286164,286164,0,0,133756660161,1390890589,284103,1880,181,384,391710,0 48,2,2024-09-07 08:38:40:701,205664,205664,0,0,7102210,0,2083 48,3,2024-09-07 08:38:40:758,1,162,5,0,339,1445,162,0 49,0,2024-09-07 08:38:41:810,43356,0.6,42497,0.7,82488,0.6,112650,1.75 49,1,2024-09-07 08:38:41:306,284601,284601,0,0,132866440495,1386553946,281863,1898,840,382,391583,0 49,2,2024-09-07 08:38:41:802,209486,209486,0,0,8245621,0,3900 49,3,2024-09-07 08:38:41:417,1,162,2,0,408,2384,162,0 50,0,2024-09-07 08:38:41:509,37835,0.3,37550,0.5,75146,0.2,100325,1.75 50,1,2024-09-07 08:38:41:011,287062,287062,0,0,134125045160,1391564083,284536,2217,309,368,391530,0 50,2,2024-09-07 08:38:41:068,203029,203029,0,0,7766438,0,2253 50,3,2024-09-07 08:38:41:293,1,162,1,0,335,1336,162,0 51,0,2024-09-07 08:38:41:683,37735,0.3,37265,0.4,72505,0.2,98393,1.50 51,1,2024-09-07 08:38:41:690,285295,285295,0,0,134118081143,1387626501,283326,1183,786,365,391637,0 51,2,2024-09-07 08:38:41:316,203017,203017,0,0,7320431,0,3337 51,3,2024-09-07 08:38:41:037,1,162,1,0,162,913,162,0 52,0,2024-09-07 08:38:41:438,41992,0.5,41847,0.7,83840,0.5,111629,2.00 52,1,2024-09-07 08:38:40:579,284420,284420,0,0,132392146548,1397219306,278506,4755,1159,368,391722,0 52,2,2024-09-07 08:38:41:756,203203,203165,38,0,10021627,0,6742 52,3,2024-09-07 08:38:40:674,1,162,3,0,1782,3529,162,0 53,0,2024-09-07 08:38:41:743,43602,1.0,42321,1.0,88473,1.2,115898,2.75 53,1,2024-09-07 08:38:40:776,284230,284230,0,0,132944854076,1403979541,278602,3734,1894,367,391702,0 53,2,2024-09-07 08:38:41:303,208101,208101,0,0,8398017,0,2262 53,3,2024-09-07 08:38:40:702,1,162,0,0,271,1630,162,0 54,0,2024-09-07 08:38:41:620,38099,2.0,38639,1.2,76592,1.0,102455,4.00 54,1,2024-09-07 08:38:40:581,284803,284803,0,0,133222658385,1392091054,280833,3399,571,367,391532,0 54,2,2024-09-07 08:38:40:867,204752,204746,6,0,9931614,0,5382 54,3,2024-09-07 08:38:40:764,1,162,5,0,676,3187,162,0 55,0,2024-09-07 08:38:41:775,37233,0.4,38477,0.7,77808,0.3,101019,2.25 55,1,2024-09-07 08:38:40:765,284538,284538,0,0,132890409981,1392599138,279350,4401,787,365,391731,0 55,2,2024-09-07 08:38:40:733,201850,201850,0,0,9471010,0,3275 55,3,2024-09-07 08:38:40:674,1,162,1,0,304,1708,162,0 56,0,2024-09-07 08:38:41:554,41207,1.1,38908,1.0,80014,1.5,107647,2.25 56,1,2024-09-07 08:38:40:598,284360,284360,0,0,133175952427,1411013464,279198,4258,904,381,391678,0 56,2,2024-09-07 08:38:41:304,203780,203780,0,0,10327270,0,3567 56,3,2024-09-07 08:38:41:064,1,162,1,0,405,2234,162,0 57,0,2024-09-07 08:38:40:943,44997,2.4,44994,1.5,90180,3.4,120726,3.75 57,1,2024-09-07 08:38:41:000,284966,284966,0,0,132944891506,1392694319,282131,2665,170,368,391960,0 57,2,2024-09-07 08:38:41:322,206775,206775,0,0,10495694,0,3178 57,3,2024-09-07 08:38:41:742,1,162,1,0,359,2740,162,0 58,0,2024-09-07 08:38:40:557,39110,1.2,38094,1.2,79838,1.9,104713,2.75 58,1,2024-09-07 08:38:40:583,285666,285663,0,3,133701156369,1397034330,282147,3119,397,367,391516,3 58,2,2024-09-07 08:38:41:074,207149,207149,0,0,9590309,0,2549 58,3,2024-09-07 08:38:41:068,1,162,21,0,1043,2337,162,0 59,0,2024-09-07 08:38:41:745,38535,0.8,38233,0.9,76344,0.8,101711,2.75 59,1,2024-09-07 08:38:40:804,284800,284800,0,0,133041448335,1392810395,281153,2725,922,369,391515,0 59,2,2024-09-07 08:38:40:588,203109,203109,0,0,8429462,0,2604 59,3,2024-09-07 08:38:41:737,1,162,7,0,1015,2889,162,0 60,0,2024-09-07 08:38:41:710,38611,0.4,38647,0.6,76980,0.3,102807,1.75 60,1,2024-09-07 08:38:40:772,286164,286164,0,0,133558256331,1385131505,284706,1100,358,370,391761,0 60,2,2024-09-07 08:38:41:144,203418,203418,0,0,9493410,0,3811 60,3,2024-09-07 08:38:41:259,1,162,1,0,124,1573,162,0 61,0,2024-09-07 08:38:41:542,44420,1.2,44649,1.0,88936,1.9,118968,2.25 61,1,2024-09-07 08:38:40:776,284784,284784,0,0,132913148352,1394751144,281344,2887,553,382,391589,0 61,2,2024-09-07 08:38:41:116,205943,205943,0,0,8416389,0,2079 61,3,2024-09-07 08:38:41:689,1,162,2,0,199,1929,162,0 62,0,2024-09-07 08:38:41:720,41873,1.5,42605,1.1,81590,2.4,111170,2.25 62,1,2024-09-07 08:38:41:111,287020,287014,0,6,134211024738,1385272101,285335,1640,39,365,391715,6 62,2,2024-09-07 08:38:41:645,207470,207469,1,0,10000070,0,5555 62,3,2024-09-07 08:38:41:143,1,162,1,0,287,1174,162,0 63,0,2024-09-07 08:38:41:454,37860,0.5,37845,0.7,75878,0.5,101019,2.00 63,1,2024-09-07 08:38:40:804,286119,286113,0,6,133779159877,1386341393,284871,1216,26,381,391677,6 63,2,2024-09-07 08:38:40:765,202117,202117,0,0,7732235,0,2674 63,3,2024-09-07 08:38:41:733,1,162,1,0,667,2393,162,0 64,0,2024-09-07 08:38:41:583,36776,0.4,36719,0.6,73621,0.3,98236,1.75 64,1,2024-09-07 08:38:40:755,285791,285791,0,0,133313463738,1394630519,282323,2414,1054,370,391783,0 64,2,2024-09-07 08:38:41:150,204529,204510,19,0,8577370,0,6121 64,3,2024-09-07 08:38:41:151,1,162,2,0,265,1827,162,0 65,0,2024-09-07 08:38:41:693,41810,0.8,42354,0.8,84443,0.9,112964,2.25 65,1,2024-09-07 08:38:40:873,284818,284818,0,0,133105955171,1393753815,282311,2283,224,382,391770,0 65,2,2024-09-07 08:38:41:694,204710,204710,0,0,9035543,0,3367 65,3,2024-09-07 08:38:41:689,1,162,0,0,163,1642,162,0 66,0,2024-09-07 08:38:41:772,42793,0.8,42884,0.9,86171,0.8,114147,2.50 66,1,2024-09-07 08:38:41:293,286152,286152,0,0,133252583575,1386189544,284277,1704,171,380,391588,0 66,2,2024-09-07 08:38:41:136,209988,209988,0,0,8429804,0,4956 66,3,2024-09-07 08:38:41:086,1,162,7,0,291,1712,162,0 67,0,2024-09-07 08:38:41:417,38076,0.8,37794,0.9,75999,1.0,100865,2.50 67,1,2024-09-07 08:38:40:767,284972,284971,0,1,132708690406,1389405810,281504,2721,746,381,391787,1 67,2,2024-09-07 08:38:40:583,204436,204436,0,0,8015465,0,2889 67,3,2024-09-07 08:38:41:749,1,162,0,0,138,1290,162,0 68,0,2024-09-07 08:38:40:564,38093,0.4,37926,0.7,75801,0.4,100372,2.00 68,1,2024-09-07 08:38:40:575,284289,284289,0,0,132895457694,1395789172,281433,1837,1019,381,391953,0 68,2,2024-09-07 08:38:41:050,201689,201624,65,0,11366421,0,6698 68,3,2024-09-07 08:38:40:732,1,162,1,0,417,2070,162,0 69,0,2024-09-07 08:38:41:759,41025,0.7,41179,0.8,81916,0.7,109784,2.25 69,1,2024-09-07 08:38:41:025,283805,283805,0,0,132861170692,1405768747,279795,2795,1215,384,391994,0 69,2,2024-09-07 08:38:41:743,204640,204640,0,0,9995383,0,3701 69,3,2024-09-07 08:38:40:770,1,162,42,0,238,2024,162,0 70,0,2024-09-07 08:38:41:552,44546,1.9,44871,1.4,89990,1.3,119258,2.75 70,1,2024-09-07 08:38:40:801,285206,285206,0,0,133673278016,1390155944,282749,1995,462,366,391725,0 70,2,2024-09-07 08:38:41:337,207080,207080,0,0,9181595,0,4044 70,3,2024-09-07 08:38:40:745,1,162,1,0,854,2166,162,0 71,0,2024-09-07 08:38:41:369,39054,2.1,39096,1.7,77946,3.0,105118,3.50 71,1,2024-09-07 08:38:41:600,285163,285163,0,0,133119993045,1394694963,281046,3592,525,368,391682,0 71,2,2024-09-07 08:38:41:067,206932,206932,0,0,9182550,0,2470 71,3,2024-09-07 08:38:41:753,1,162,0,0,644,2569,162,0 72,0,2024-09-07 08:38:41:026,39999,0.4,39100,0.7,76473,0.4,104127,2.25 72,1,2024-09-07 08:38:41:024,284889,284889,0,0,132565634473,1385333009,281623,2780,486,369,391819,0 72,2,2024-09-07 08:38:41:755,201632,201632,0,0,10664856,0,2570 72,3,2024-09-07 08:38:41:754,1,162,1,0,364,3231,162,0 73,0,2024-09-07 08:38:41:105,38631,0.4,39600,0.6,80942,0.3,105196,2.00 73,1,2024-09-07 08:38:40:775,285175,285175,0,0,133520946775,1386517613,283369,1691,115,367,391627,0 73,2,2024-09-07 08:38:41:742,204154,204154,0,0,9958819,0,3482 73,3,2024-09-07 08:38:40:982,1,162,9,0,274,2592,162,0 74,0,2024-09-07 08:38:41:322,45909,0.5,46811,0.7,89275,0.5,122046,2.25 74,1,2024-09-07 08:38:40:653,284806,284806,0,0,132591691078,1385296323,282014,2170,622,382,391681,0 74,2,2024-09-07 08:38:41:003,205389,205389,0,0,10041548,0,4253 74,3,2024-09-07 08:38:41:447,1,162,5,0,522,2766,162,0 75,0,2024-09-07 08:38:41:774,41049,1.3,40727,1.2,81381,1.6,109766,2.75 75,1,2024-09-07 08:38:41:589,284593,284593,0,0,132845260319,1392701286,281198,2935,460,381,391579,0 75,2,2024-09-07 08:38:41:351,207614,207614,0,0,9661673,0,4766 75,3,2024-09-07 08:38:41:068,1,162,2,0,535,1861,162,0 76,0,2024-09-07 08:38:40:592,38019,0.4,37794,0.7,75527,0.3,101343,2.25 76,1,2024-09-07 08:38:40:804,285293,285293,0,0,133062304405,1389145473,283232,1550,511,382,391692,0 76,2,2024-09-07 08:38:41:070,203193,203193,0,0,8170364,0,3064 76,3,2024-09-07 08:38:41:156,1,162,5,0,175,1867,162,0 77,0,2024-09-07 08:38:41:780,37698,0.5,37926,0.6,75935,0.4,100321,1.75 77,1,2024-09-07 08:38:40:824,286062,286062,0,0,132927165740,1386440232,284466,1512,84,383,391808,0 77,2,2024-09-07 08:38:41:284,202928,202928,0,0,8469646,0,3890 77,3,2024-09-07 08:38:41:095,1,162,4,0,305,2020,162,0 78,0,2024-09-07 08:38:41:747,43389,0.5,43150,0.7,87037,0.4,115539,2.00 78,1,2024-09-07 08:38:40:616,285672,285672,0,0,132705013419,1381189580,283342,2077,253,367,391589,0 78,2,2024-09-07 08:38:41:408,206154,206154,0,0,7945470,0,2114 78,3,2024-09-07 08:38:41:134,1,162,1,0,181,1669,162,0 79,0,2024-09-07 08:38:41:352,40830,0.5,41775,0.8,85419,0.5,111060,2.75 79,1,2024-09-07 08:38:40:580,286006,286006,0,0,133249447272,1381383563,283819,2015,172,369,391682,0 79,2,2024-09-07 08:38:41:068,209239,209239,0,0,8202740,0,3212 79,3,2024-09-07 08:38:40:753,1,162,3,0,418,2892,162,0 80,0,2024-09-07 08:38:41:110,37696,0.6,38607,0.8,74178,0.6,100374,2.25 80,1,2024-09-07 08:38:41:623,284520,284520,0,0,132631857093,1382525593,282001,2358,161,368,391673,0 80,2,2024-09-07 08:38:41:091,203506,203506,0,0,8556212,0,4433 80,3,2024-09-07 08:38:40:588,1,162,5,0,190,2624,162,0 81,0,2024-09-07 08:38:41:576,37016,0.4,38129,0.6,73028,0.4,98063,1.75 81,1,2024-09-07 08:38:41:654,284889,284889,0,0,132891250339,1390416252,282410,2207,272,382,391879,0 81,2,2024-09-07 08:38:41:124,202553,202553,0,0,9029152,0,3993 81,3,2024-09-07 08:38:41:122,1,162,2,0,193,1609,162,0 82,0,2024-09-07 08:38:41:532,41576,0.5,41838,0.7,83730,0.4,111830,2.00 82,1,2024-09-07 08:38:40:583,285676,285672,0,4,132627864709,1384228509,283228,1866,578,381,391558,4 82,2,2024-09-07 08:38:41:690,205209,205209,0,0,8289385,0,3986 82,3,2024-09-07 08:38:41:752,1,162,1,0,227,1861,162,0 83,0,2024-09-07 08:38:41:525,43853,0.8,43903,0.8,87121,0.8,116000,2.25 83,1,2024-09-07 08:38:40:564,285161,285161,0,0,132645465324,1386650212,282714,2243,204,382,391690,0 83,2,2024-09-07 08:38:40:766,207522,207522,0,0,8342654,0,3119 83,3,2024-09-07 08:38:40:752,1,162,1,0,241,1895,162,0 84,0,2024-09-07 08:38:41:837,38853,1.3,38695,1.3,77774,1.1,103829,2.75 84,1,2024-09-07 08:38:41:061,284384,284384,0,0,132578132830,1390466548,280988,2942,454,368,391852,0 84,2,2024-09-07 08:38:40:595,205138,205138,0,0,9585939,0,3801 84,3,2024-09-07 08:38:41:141,1,162,1,0,270,1942,162,0 85,0,2024-09-07 08:38:41:029,37223,0.5,37185,0.7,78857,0.4,102122,2.00 85,1,2024-09-07 08:38:40:572,284095,284095,0,0,132269938990,1403986581,278644,4371,1080,382,392006,0 85,2,2024-09-07 08:38:40:869,202778,202778,0,0,10241488,0,3656 85,3,2024-09-07 08:38:40:687,1,162,6,0,789,2494,162,0 86,0,2024-09-07 08:38:40:880,40220,0.5,41340,0.7,79183,0.5,107547,1.75 86,1,2024-09-07 08:38:40:826,284527,284527,0,0,133451955578,1399857914,280568,3414,545,366,391961,0 86,2,2024-09-07 08:38:40:863,202795,202794,1,0,10580217,0,5004 86,3,2024-09-07 08:38:40:587,1,162,3,0,286,2392,162,0 87,0,2024-09-07 08:38:41:304,45715,1.5,45511,1.1,91444,2.1,122582,2.25 87,1,2024-09-07 08:38:40:557,284570,284570,0,0,132596152480,1390921900,281354,2824,392,366,391788,0 87,2,2024-09-07 08:38:41:068,205409,205409,0,0,8985640,0,3515 87,3,2024-09-07 08:38:41:796,1,162,1,0,335,2837,162,0 88,0,2024-09-07 08:38:41:448,40436,0.7,40594,0.7,80891,0.8,108100,1.75 88,1,2024-09-07 08:38:40:587,283739,283739,0,0,132914625551,1396846255,279700,2869,1170,365,391787,0 88,2,2024-09-07 08:38:40:690,207176,207176,0,0,10272137,0,3583 88,3,2024-09-07 08:38:41:267,1,162,1,0,435,2131,162,0 89,0,2024-09-07 08:38:41:777,39556,0.4,38320,0.7,75906,0.4,103175,1.75 89,1,2024-09-07 08:38:40:550,283309,283309,0,0,132744280999,1405805443,277876,4497,936,382,391866,0 89,2,2024-09-07 08:38:41:162,202069,202069,0,0,10049412,0,2910 89,3,2024-09-07 08:38:41:795,1,162,2,0,325,3166,162,0 90,0,2024-09-07 08:38:41:633,37357,0.4,38119,0.5,78351,0.3,102479,1.75 90,1,2024-09-07 08:38:40:595,285333,285333,0,0,132515619336,1392780358,282109,2967,257,382,391825,0 90,2,2024-09-07 08:38:41:414,202790,202790,0,0,10974788,0,3060 90,3,2024-09-07 08:38:40:939,1,162,1,0,200,1909,162,0 91,0,2024-09-07 08:38:40:937,45043,0.5,43433,0.6,90479,0.5,119396,1.75 91,1,2024-09-07 08:38:40:556,284189,284189,0,0,132811003340,1396914096,280437,3255,497,381,392047,0 91,2,2024-09-07 08:38:41:331,204418,204418,0,0,10252563,0,2445 91,3,2024-09-07 08:38:40:607,1,162,1,0,216,1889,162,0 92,0,2024-09-07 08:38:41:447,42006,0.8,43025,0.9,82255,0.9,111537,1.75 92,1,2024-09-07 08:38:40:588,285125,285125,0,0,133230614736,1392564851,282954,1769,402,382,392136,0 92,2,2024-09-07 08:38:41:351,210300,210300,0,0,8549072,0,2801 92,3,2024-09-07 08:38:41:010,1,162,2,0,68,1336,162,0 93,0,2024-09-07 08:38:40:972,38203,0.4,39045,0.6,74747,0.4,101448,1.75 93,1,2024-09-07 08:38:40:806,284835,284835,0,0,133035484878,1390747988,281729,2557,549,366,391692,0 93,2,2024-09-07 08:38:40:927,202744,202744,0,0,8351557,0,2509 93,3,2024-09-07 08:38:41:406,1,162,1,0,143,1706,162,0 94,0,2024-09-07 08:38:41:719,36912,0.3,37253,0.5,73796,0.2,98085,1.50 94,1,2024-09-07 08:38:40:576,284943,284943,0,0,132675715601,1388993505,282499,2349,95,381,391850,0 94,2,2024-09-07 08:38:40:770,203065,203065,0,0,8241435,0,2443 94,3,2024-09-07 08:38:41:694,1,162,16,0,264,2427,162,0 95,0,2024-09-07 08:38:41:401,42697,0.3,42492,0.5,85453,0.3,113718,1.75 95,1,2024-09-07 08:38:40:901,285292,285292,0,0,133054952826,1385253929,282915,2193,184,367,391662,0 95,2,2024-09-07 08:38:41:037,205110,205110,0,0,8542144,0,3308 95,3,2024-09-07 08:38:41:724,1,162,2,0,718,3060,162,0 96,0,2024-09-07 08:38:41:026,43332,0.6,43457,0.7,87180,0.6,114782,1.75 96,1,2024-09-07 08:38:41:584,284658,284658,0,0,133309509577,1395715896,282061,1955,642,385,391894,0 96,2,2024-09-07 08:38:41:269,208574,208574,0,0,9154136,0,4042 96,3,2024-09-07 08:38:41:140,1,162,0,0,188,1860,162,0 97,0,2024-09-07 08:38:41:339,38151,0.4,38037,0.6,76135,0.4,100962,1.75 97,1,2024-09-07 08:38:40:786,285502,285502,0,0,133664311249,1391687195,282700,2252,550,367,392140,0 97,2,2024-09-07 08:38:40:613,203851,203851,0,0,8174822,0,3036 97,3,2024-09-07 08:38:40:588,1,162,1,0,165,1910,162,0 98,0,2024-09-07 08:38:41:705,37991,0.2,37890,0.4,76002,0.2,100481,1.50 98,1,2024-09-07 08:38:40:577,285441,285441,0,0,132926114337,1386869163,283624,1726,91,382,391997,0 98,2,2024-09-07 08:38:40:774,203542,203542,0,0,8584669,0,3080 98,3,2024-09-07 08:38:40:706,1,162,5,0,840,3080,162,0 99,0,2024-09-07 08:38:41:530,41128,0.3,41176,0.4,82324,0.2,110038,1.50 99,1,2024-09-07 08:38:41:733,285313,285313,0,0,133306784392,1393491247,282252,2365,696,381,391744,0 99,2,2024-09-07 08:38:41:418,206302,206302,0,0,8341630,0,2615 99,3,2024-09-07 08:38:40:595,1,162,1,0,129,1190,162,0 100,0,2024-09-07 08:38:41:473,45127,1.2,45005,1.3,90100,2.1,120191,2.50 100,1,2024-09-07 08:38:40:553,282839,282839,0,0,132156811298,1404238937,277585,4323,931,381,391989,0 100,2,2024-09-07 08:38:41:817,205833,205822,11,0,9844801,0,5417 100,3,2024-09-07 08:38:41:734,1,162,2,0,559,3687,162,0 101,0,2024-09-07 08:38:41:734,40305,2.9,39270,1.6,77031,3.6,106380,3.00 101,1,2024-09-07 08:38:40:550,283713,283713,0,0,132410449553,1402253156,278352,3884,1477,368,391769,0 101,2,2024-09-07 08:38:41:757,205827,205827,0,0,10831933,0,4644 101,3,2024-09-07 08:38:40:950,1,162,1,0,448,2041,162,0 102,0,2024-09-07 08:38:40:993,37796,0.5,39218,0.7,78697,0.4,103421,2.00 102,1,2024-09-07 08:38:41:169,284606,284606,0,0,132625076811,1397820790,280521,3373,712,369,391883,0 102,2,2024-09-07 08:38:41:737,202985,202931,54,0,10181636,0,6768 102,3,2024-09-07 08:38:41:622,1,162,3,0,410,1709,162,0 103,0,2024-09-07 08:38:41:657,40730,0.4,40726,0.6,76805,0.3,105881,1.75 103,1,2024-09-07 08:38:41:630,283406,283406,0,0,132345761348,1398098397,278961,3236,1209,381,391829,0 103,2,2024-09-07 08:38:40:588,203342,203342,0,0,9130925,0,2104 103,3,2024-09-07 08:38:40:755,1,162,1,0,916,2928,162,0 104,0,2024-09-07 08:38:41:011,45193,1.3,45389,1.2,89575,1.6,122037,2.50 104,1,2024-09-07 08:38:41:603,285199,285199,0,0,132613231922,1400349362,280196,4040,963,365,391948,0 104,2,2024-09-07 08:38:41:675,204645,204645,0,0,10015136,0,3941 104,3,2024-09-07 08:38:41:416,1,162,8,1,1245,5118,162,0 105,0,2024-09-07 08:38:41:031,40373,1.8,39205,1.5,81831,2.8,108641,3.75 105,1,2024-09-07 08:38:40:554,285502,285502,0,0,132742912438,1394754345,281291,3436,775,367,391797,0 105,2,2024-09-07 08:38:41:325,207339,207339,0,0,9487863,0,3509 105,3,2024-09-07 08:38:41:305,1,162,84,0,399,2618,162,0 106,0,2024-09-07 08:38:40:945,36933,0.6,37945,0.8,77231,0.6,101138,2.25 106,1,2024-09-07 08:38:41:756,284612,284612,0,0,133262614253,1399518151,280823,3405,384,369,391767,0 106,2,2024-09-07 08:38:40:815,201522,201522,0,0,9493538,0,2795 106,3,2024-09-07 08:38:40:677,1,162,6,0,405,2416,162,0 107,0,2024-09-07 08:38:41:120,37729,0.4,37883,0.6,75172,0.3,100599,1.75 107,1,2024-09-07 08:38:40:609,284175,284175,0,0,133146490422,1400493865,281178,2767,230,381,392234,0 107,2,2024-09-07 08:38:41:296,203153,203152,1,0,9609951,0,5024 107,3,2024-09-07 08:38:41:755,1,162,1,0,353,2375,162,0 108,0,2024-09-07 08:38:41:808,43529,0.4,43609,0.6,86691,0.4,116002,1.75 108,1,2024-09-07 08:38:41:315,284617,284617,0,0,133169063363,1391227051,281959,2346,312,368,391857,0 108,2,2024-09-07 08:38:41:786,203936,203936,0,0,8979877,0,2647 108,3,2024-09-07 08:38:41:339,1,162,12,0,667,3250,162,0 109,0,2024-09-07 08:38:41:806,42380,0.5,42139,0.7,84122,0.5,112756,1.75 109,1,2024-09-07 08:38:40:599,284322,284322,0,0,133215269276,1399451313,281544,2282,496,383,392132,0 109,2,2024-09-07 08:38:40:937,208035,208035,0,0,9325186,0,3617 109,3,2024-09-07 08:38:41:144,1,162,8,0,249,2284,162,0 110,0,2024-09-07 08:38:41:800,37992,0.4,36843,0.6,76984,0.3,100661,1.75 110,1,2024-09-07 08:38:41:645,285357,285357,0,0,133306883194,1388240063,282743,1849,765,370,391667,0 110,2,2024-09-07 08:38:41:306,203288,203288,0,0,8196084,0,2915 110,3,2024-09-07 08:38:40:691,1,162,2,0,406,2313,162,0 111,0,2024-09-07 08:38:41:418,37248,0.2,36725,0.4,73503,0.1,98389,1.50 111,1,2024-09-07 08:38:41:000,285927,285927,0,0,134226662587,1393925120,284151,1444,332,382,391690,0 111,2,2024-09-07 08:38:41:116,202795,202795,0,0,9000138,0,2763 111,3,2024-09-07 08:38:40:918,1,162,1,0,379,2549,162,0 112,0,2024-09-07 08:38:40:916,41862,0.3,41792,0.5,83638,0.2,111905,1.50 112,1,2024-09-07 08:38:40:824,286167,286167,0,0,133161290547,1385666294,283840,1901,426,381,391580,0 112,2,2024-09-07 08:38:41:133,204656,204655,1,0,9011309,0,5036 112,3,2024-09-07 08:38:40:594,1,162,1,0,282,1894,162,0 113,0,2024-09-07 08:38:40:867,43694,0.4,43610,0.6,87938,0.4,117192,1.75 113,1,2024-09-07 08:38:41:685,285844,285844,0,0,133729647333,1385741616,283428,1928,488,366,391661,0 113,2,2024-09-07 08:38:41:305,208862,208862,0,0,7938382,0,3813 113,3,2024-09-07 08:38:40:683,1,162,1,0,340,2720,162,0 114,0,2024-09-07 08:38:40:873,39668,0.6,40300,0.7,79001,0.5,105652,2.00 114,1,2024-09-07 08:38:40:716,285158,285158,0,0,132625236630,1389887537,281029,2666,1463,381,391534,0 114,2,2024-09-07 08:38:40:875,205223,205223,0,0,8185860,0,3925 114,3,2024-09-07 08:38:41:281,1,162,0,0,395,1988,162,0 115,0,2024-09-07 08:38:40:558,38412,0.2,38839,0.4,77651,0.2,102875,1.50 115,1,2024-09-07 08:38:40:572,285464,285464,0,0,133370985151,1392134682,281821,2822,821,382,391602,0 115,2,2024-09-07 08:38:41:125,203631,203631,0,0,7672842,0,2152 115,3,2024-09-07 08:38:41:004,1,162,1,0,159,1069,162,0 116,0,2024-09-07 08:38:41:705,40402,0.6,39891,0.8,80112,0.6,107432,2.00 116,1,2024-09-07 08:38:40:812,283049,283049,0,0,132151723919,1406347244,278144,3151,1754,382,391677,0 116,2,2024-09-07 08:38:41:753,203125,203125,0,0,10865819,0,3529 116,3,2024-09-07 08:38:40:922,1,162,1,0,252,2433,162,0 117,0,2024-09-07 08:38:40:981,45525,1.3,45675,1.1,91366,1.9,122409,2.00 117,1,2024-09-07 08:38:41:587,284837,284837,0,0,132371042869,1390225702,281160,3248,429,370,392033,0 117,2,2024-09-07 08:38:41:118,207085,207085,0,0,8758207,0,3700 117,3,2024-09-07 08:38:41:064,1,162,1,0,490,3358,162,0 118,0,2024-09-07 08:38:41:769,39322,1.0,40539,0.9,82153,1.2,107380,2.25 118,1,2024-09-07 08:38:40:596,284200,284200,0,0,131987580154,1394872799,279354,3525,1321,366,391736,0 118,2,2024-09-07 08:38:41:590,206740,206740,0,0,9828957,0,2781 118,3,2024-09-07 08:38:41:765,1,162,8,0,235,2165,162,0 119,0,2024-09-07 08:38:41:345,38055,0.7,38228,0.8,77473,0.6,102217,2.00 119,1,2024-09-07 08:38:40:575,285012,285012,0,0,133232761549,1391916307,282529,2195,288,369,391641,0 119,2,2024-09-07 08:38:41:266,201848,201848,0,0,9076804,0,3526 119,3,2024-09-07 08:38:41:330,1,162,1,0,443,2928,162,0 120,0,2024-09-07 08:38:41:556,38218,0.4,38320,0.7,76528,0.4,102647,2.00 120,1,2024-09-07 08:38:40:867,285181,285181,0,0,132698996888,1394853408,281887,3032,262,368,391961,0 120,2,2024-09-07 08:38:40:773,202958,202957,1,0,11317380,0,5281 120,3,2024-09-07 08:38:41:293,1,162,1,0,241,2291,162,0 121,0,2024-09-07 08:38:41:693,44232,1.5,44684,1.1,88905,2.3,118868,2.25 121,1,2024-09-07 08:38:41:655,284898,284898,0,0,133047456129,1393594127,282133,2476,289,367,391840,0 121,2,2024-09-07 08:38:41:129,204306,204306,0,0,10223032,0,4127 121,3,2024-09-07 08:38:40:731,1,162,6,0,269,2267,162,0 122,0,2024-09-07 08:38:41:768,41447,1.2,40359,1.2,84513,1.4,111628,2.25 122,1,2024-09-07 08:38:40:877,283908,283908,0,0,132680443032,1396382826,279771,3430,707,366,392130,0 122,2,2024-09-07 08:38:41:332,208311,208311,0,0,11314472,0,3364 122,3,2024-09-07 08:38:40:594,1,162,1,0,411,3812,162,0 123,0,2024-09-07 08:38:40:981,37921,0.8,36977,0.8,77433,0.9,100984,2.00 123,1,2024-09-07 08:38:40:562,285002,285002,0,0,133194612130,1403735726,279926,4382,694,369,391823,0 123,2,2024-09-07 08:38:41:030,200787,200786,1,0,9766362,0,5215 123,3,2024-09-07 08:38:41:136,1,162,6,0,168,2001,162,0 124,0,2024-09-07 08:38:40:942,38021,0.3,37970,0.5,71728,0.2,98384,1.50 124,1,2024-09-07 08:38:41:026,285225,285225,0,0,133397330339,1387145909,283137,1732,356,367,392178,0 124,2,2024-09-07 08:38:41:011,203484,203484,0,0,8252420,0,3101 124,3,2024-09-07 08:38:40:787,1,162,3,0,490,2267,162,0 125,0,2024-09-07 08:38:41:430,42696,0.4,42648,0.5,85371,0.4,113829,1.75 125,1,2024-09-07 08:38:40:866,285485,285485,0,0,133525706160,1395438820,283117,2092,276,384,391702,0 125,2,2024-09-07 08:38:41:118,205663,205663,0,0,8258889,0,2180 125,3,2024-09-07 08:38:41:127,1,162,0,0,284,2116,162,0 126,0,2024-09-07 08:38:41:446,43438,0.8,44609,0.8,85255,0.8,115542,2.00 126,1,2024-09-07 08:38:40:551,285551,285551,0,0,134016926516,1390192897,283917,1556,78,365,391987,0 126,2,2024-09-07 08:38:40:611,209146,209146,0,0,8606760,0,3186 126,3,2024-09-07 08:38:40:917,1,162,3,0,150,2333,162,0 127,0,2024-09-07 08:38:41:694,37894,0.4,38101,0.6,75954,0.4,100935,1.75 127,1,2024-09-07 08:38:40:581,285089,285089,0,0,133252067096,1381975002,283025,2023,41,365,391816,0 127,2,2024-09-07 08:38:40:646,204010,204010,0,0,8041142,0,2264 127,3,2024-09-07 08:38:41:267,1,162,5,0,243,1420,162,0 128,0,2024-09-07 08:38:41:526,38192,0.3,37987,0.4,76078,0.2,100613,1.50 128,1,2024-09-07 08:38:41:609,285643,285643,0,0,132988214402,1378320582,284664,907,72,367,391680,0 128,2,2024-09-07 08:38:41:383,202840,202840,0,0,8070087,0,2107 128,3,2024-09-07 08:38:40:774,1,162,1,0,333,2372,162,0 129,0,2024-09-07 08:38:41:003,41420,0.3,41216,0.4,82321,0.2,109839,1.50 129,1,2024-09-07 08:38:40:576,284075,284075,0,0,132301948081,1387552950,281148,2534,393,379,391835,0 129,2,2024-09-07 08:38:40:693,204516,204516,0,0,8470106,0,4031 129,3,2024-09-07 08:38:40:694,1,162,3,0,173,1933,162,0 130,0,2024-09-07 08:38:41:738,45500,0.8,45256,0.8,90899,0.9,121076,2.00 130,1,2024-09-07 08:38:40:636,285339,285339,0,0,133124141206,1388540726,283229,2038,72,381,391825,0 130,2,2024-09-07 08:38:41:164,207432,207432,0,0,8334545,0,4067 130,3,2024-09-07 08:38:41:292,1,162,1,0,450,1949,162,0 131,0,2024-09-07 08:38:42:045,39725,0.6,39902,0.7,80786,0.6,106665,1.75 131,1,2024-09-07 08:38:41:853,286430,286430,0,0,133211493800,1386951587,284961,1263,206,383,391865,0 131,2,2024-09-07 08:38:40:567,206988,206988,0,0,7902274,0,2415 131,3,2024-09-07 08:38:41:690,1,162,1,0,392,1849,162,0 132,0,2024-09-07 08:38:41:425,38435,0.4,38946,0.7,77923,0.4,103814,1.75 132,1,2024-09-07 08:38:40:584,283887,283887,0,0,132744402263,1406017466,278473,4383,1031,381,391760,0 132,2,2024-09-07 08:38:40:727,202280,202280,0,0,10882021,0,4606 132,3,2024-09-07 08:38:41:690,1,162,2,0,356,3037,162,0 133,0,2024-09-07 08:38:41:539,38538,0.4,39415,0.6,80883,0.3,104884,1.75 133,1,2024-09-07 08:38:40:586,283479,283479,0,0,132750744867,1403407541,278971,3867,641,383,391914,0 133,2,2024-09-07 08:38:41:093,202934,202934,0,0,10969186,0,4315 133,3,2024-09-07 08:38:41:297,1,162,1,0,187,1452,162,0 134,0,2024-09-07 08:38:41:024,45386,0.6,45355,0.7,91299,0.6,121924,2.00 134,1,2024-09-07 08:38:40:597,284261,284261,0,0,132937692606,1397352271,279877,3161,1223,366,391718,0 134,2,2024-09-07 08:38:41:763,204917,204917,0,0,9303734,0,3096 134,3,2024-09-07 08:38:40:775,1,162,5,0,739,2988,162,0 135,0,2024-09-07 08:38:41:115,39584,1.6,39620,1.3,83980,1.9,108394,2.50 135,1,2024-09-07 08:38:41:603,283973,283973,0,0,133333792159,1405141247,279781,3488,704,380,391805,0 135,2,2024-09-07 08:38:40:693,208411,208411,0,0,10064122,0,3981 135,3,2024-09-07 08:38:41:005,1,162,1,0,89,961,162,0 136,0,2024-09-07 08:38:41:636,38351,0.5,38262,0.7,76894,0.5,102046,2.00 136,1,2024-09-07 08:38:41:442,284236,284236,0,0,133016045615,1395906345,280885,3178,173,383,391641,0 136,2,2024-09-07 08:38:41:142,203166,203166,0,0,9496657,0,3506 136,3,2024-09-07 08:38:41:107,1,162,2,0,108,1335,162,0 137,0,2024-09-07 08:38:40:930,38769,0.4,37693,0.7,74165,0.4,100681,1.75 137,1,2024-09-07 08:38:40:592,284691,284691,0,0,133521346319,1399496559,280553,3718,420,367,391708,0 137,2,2024-09-07 08:38:41:712,202576,202576,0,0,10931592,0,3185 137,3,2024-09-07 08:38:40:772,1,162,1,1,227,1887,162,0 138,0,2024-09-07 08:38:41:773,42971,1.3,43004,1.1,86768,1.8,115874,2.25 138,1,2024-09-07 08:38:41:688,284794,284794,0,0,133012144537,1391975142,281722,2720,352,368,391954,0 138,2,2024-09-07 08:38:40:595,205986,205986,0,0,9014009,0,3263 138,3,2024-09-07 08:38:40:613,1,162,1,0,1160,3170,162,0 139,0,2024-09-07 08:38:41:401,41155,3.0,41363,1.7,83342,4.3,111481,3.25 139,1,2024-09-07 08:38:40:575,283541,283541,0,0,132155084920,1404333590,278265,3952,1324,381,391892,0 139,2,2024-09-07 08:38:40:710,206939,206939,0,0,10223662,0,3097 139,3,2024-09-07 08:38:41:679,1,162,42,0,244,1874,162,0 140,0,2024-09-07 08:38:41:596,38118,0.4,37786,0.6,76176,0.3,100700,1.75 140,1,2024-09-07 08:38:41:536,286014,286014,0,0,133838797274,1381531451,284495,1279,240,365,391606,0 140,2,2024-09-07 08:38:40:688,203239,203239,0,0,8552150,0,3388 140,3,2024-09-07 08:38:40:770,1,162,19,0,247,1459,162,0 141,0,2024-09-07 08:38:41:705,36798,0.2,37940,0.4,72621,0.1,98352,1.50 141,1,2024-09-07 08:38:40:869,285687,285687,0,0,133662927124,1388051909,283748,1586,353,379,391614,0 141,2,2024-09-07 08:38:41:689,203267,203267,0,0,8058029,0,2342 141,3,2024-09-07 08:38:41:045,1,162,1,0,147,1323,162,0 142,0,2024-09-07 08:38:41:312,42327,0.3,42070,0.5,83336,0.2,112140,1.50 142,1,2024-09-07 08:38:40:591,285303,285303,0,0,133245352776,1389418582,283758,1386,159,383,391728,0 142,2,2024-09-07 08:38:41:306,203598,203566,32,0,9487072,0,6028 142,3,2024-09-07 08:38:41:762,1,162,10,0,484,2331,162,0 143,0,2024-09-07 08:38:41:383,43924,0.7,43901,0.7,88353,0.8,116991,2.00 143,1,2024-09-07 08:38:40:592,285487,285487,0,0,133212877178,1383722788,283377,2067,43,367,391619,0 143,2,2024-09-07 08:38:40:781,208015,208015,0,0,8695223,0,2669 143,3,2024-09-07 08:38:41:141,1,162,1,0,303,2613,162,0 144,0,2024-09-07 08:38:41:495,37908,0.9,39063,1.4,79244,0.8,104143,2.25 144,1,2024-09-07 08:38:40:565,284414,284414,0,0,132205987225,1387934700,282015,2196,203,381,391649,0 144,2,2024-09-07 08:38:41:755,205225,205225,0,0,8486611,0,3473 144,3,2024-09-07 08:38:41:741,1,162,3,0,249,2110,162,0 145,0,2024-09-07 08:38:41:384,37291,0.5,37306,0.7,79179,0.4,102423,2.00 145,1,2024-09-07 08:38:40:552,283168,283168,0,0,132713880903,1401066888,278774,3657,737,383,391615,0 145,2,2024-09-07 08:38:41:430,202511,202511,0,0,9508666,0,3903 145,3,2024-09-07 08:38:40:901,1,162,1,0,151,1849,162,0 146,0,2024-09-07 08:38:41:612,40149,0.4,39879,0.6,80428,0.4,107083,2.00 146,1,2024-09-07 08:38:41:590,285074,285074,0,0,132751673438,1394415635,280562,3652,860,368,391629,0 146,2,2024-09-07 08:38:41:699,204149,204149,0,0,9257875,0,2498 146,3,2024-09-07 08:38:41:274,1,162,2,0,1520,5181,162,0 147,0,2024-09-07 08:38:41:719,45728,0.8,45629,0.9,90772,0.8,122090,2.25 147,1,2024-09-07 08:38:41:378,285870,285870,0,0,133475236401,1391480054,282765,2608,497,368,391791,0 147,2,2024-09-07 08:38:41:018,207004,207004,0,0,8511367,0,2789 147,3,2024-09-07 08:38:40:949,1,162,1,0,371,2010,162,0 0,0,2024-09-07 08:38:51:770,37661,0.4,37712,0.6,79859,0.4,103821,1.75 0,1,2024-09-07 08:38:50:806,286565,286565,0,0,134194332880,1404427955,284599,1830,136,372,391772,0 0,2,2024-09-07 08:38:51:076,204717,204717,0,0,8749503,0,4480 0,3,2024-09-07 08:38:50:974,1,163,2,0,247,2069,163,0 1,0,2024-09-07 08:38:51:808,44939,1.3,44615,1.2,89433,1.8,119830,2.25 1,1,2024-09-07 08:38:50:557,286272,286272,0,0,133519118807,1402386974,282835,2570,867,371,391857,0 1,2,2024-09-07 08:38:50:639,205677,205677,0,0,7978391,0,3267 1,3,2024-09-07 08:38:51:318,1,163,15,0,262,1994,163,0 2,0,2024-09-07 08:38:51:578,41800,1.2,41928,1.0,83349,1.6,111696,2.25 2,1,2024-09-07 08:38:50:860,286484,286484,0,0,134351899928,1397433863,284976,1302,206,380,391745,0 2,2,2024-09-07 08:38:51:266,209603,209603,0,0,8800517,0,3594 2,3,2024-09-07 08:38:50:690,1,163,1,0,357,1695,163,0 3,0,2024-09-07 08:38:51:745,37798,0.5,38034,0.7,76058,0.4,100833,2.00 3,1,2024-09-07 08:38:51:618,286392,286392,0,0,133233313973,1392026938,283283,2685,424,380,391516,0 3,2,2024-09-07 08:38:51:142,203869,203846,23,0,8704932,0,5851 3,3,2024-09-07 08:38:51:757,1,163,1,0,103,1013,163,0 4,0,2024-09-07 08:38:51:791,36074,0.3,37123,0.4,75483,0.2,99172,1.50 4,1,2024-09-07 08:38:50:595,286868,286868,0,0,133780989638,1406514092,283883,2462,523,371,391846,0 4,2,2024-09-07 08:38:51:018,203043,203043,0,0,10473633,0,4528 4,3,2024-09-07 08:38:51:028,1,163,2,0,287,2223,163,0 5,0,2024-09-07 08:38:51:641,42993,0.5,42965,0.6,85939,0.5,114716,1.75 5,1,2024-09-07 08:38:50:756,285976,285976,0,0,133653493466,1409843024,281768,3220,988,368,392005,0 5,2,2024-09-07 08:38:51:853,205694,205694,0,0,9059934,0,2259 5,3,2024-09-07 08:38:51:733,1,163,1,0,238,2416,163,0 6,0,2024-09-07 08:38:50:926,43796,1.0,43405,1.0,86172,1.3,115617,2.25 6,1,2024-09-07 08:38:50:750,286909,286909,0,0,133490848327,1399424902,283509,2752,648,381,391617,0 6,2,2024-09-07 08:38:51:116,210225,210225,0,0,9282977,0,4816 6,3,2024-09-07 08:38:51:279,1,163,5,0,340,2338,163,0 7,0,2024-09-07 08:38:51:543,37639,0.5,37502,0.7,75309,0.4,100175,2.00 7,1,2024-09-07 08:38:50:854,286614,286614,0,0,134369260660,1407453535,283796,2686,132,382,391664,0 7,2,2024-09-07 08:38:50:770,204343,204343,0,0,8454835,0,2981 7,3,2024-09-07 08:38:50:851,1,163,1,0,305,1712,163,0 8,0,2024-09-07 08:38:51:397,37888,0.3,37981,0.4,75640,0.2,100460,1.50 8,1,2024-09-07 08:38:51:033,286040,286040,0,0,133453577426,1411275311,280427,4012,1601,367,391956,0 8,2,2024-09-07 08:38:50:812,201718,201718,0,0,10263788,0,2986 8,3,2024-09-07 08:38:50:585,1,163,1,0,357,2624,163,0 9,0,2024-09-07 08:38:51:118,41617,0.3,40296,0.5,84245,0.3,110787,1.75 9,1,2024-09-07 08:38:50:558,285966,285966,0,0,134417338070,1419683362,281412,3424,1130,370,391753,0 9,2,2024-09-07 08:38:51:083,205436,205436,0,0,9475931,0,3360 9,3,2024-09-07 08:38:51:758,1,163,11,0,496,2683,163,0 10,0,2024-09-07 08:38:51:600,45574,0.4,45231,0.6,90885,0.3,120594,1.75 10,1,2024-09-07 08:38:50:583,286288,286288,0,0,133432923237,1402159485,281817,3830,641,381,391741,0 10,2,2024-09-07 08:38:50:763,208421,208421,0,0,9687074,0,2940 10,3,2024-09-07 08:38:50:881,1,163,0,0,177,1241,163,0 11,0,2024-09-07 08:38:51:013,39341,0.7,38328,0.9,80271,0.8,106967,2.25 11,1,2024-09-07 08:38:50:570,286635,286635,0,0,133319383573,1404159750,281321,4044,1270,384,391537,0 11,2,2024-09-07 08:38:51:123,207009,207009,0,0,9426973,0,3411 11,3,2024-09-07 08:38:51:298,1,163,14,0,720,2841,163,0 12,0,2024-09-07 08:38:50:953,39505,0.3,39184,0.5,78571,0.2,104628,1.50 12,1,2024-09-07 08:38:50:937,286303,286303,0,0,133623385940,1397476179,283409,2480,414,370,391837,0 12,2,2024-09-07 08:38:51:557,205180,205180,0,0,9547081,0,3469 12,3,2024-09-07 08:38:51:059,1,163,3,0,358,2713,163,0 13,0,2024-09-07 08:38:51:388,39981,0.3,39856,0.5,79773,0.3,106224,1.75 13,1,2024-09-07 08:38:51:528,286395,286395,0,0,133944745449,1406381354,283973,2013,409,382,391717,0 13,2,2024-09-07 08:38:50:625,205843,205843,0,0,8719426,0,3287 13,3,2024-09-07 08:38:51:767,1,163,1,0,467,3302,163,0 14,0,2024-09-07 08:38:50:561,46267,0.4,46444,0.6,92180,0.4,123392,1.75 14,1,2024-09-07 08:38:51:575,288177,288177,0,0,134297326854,1393966130,285910,2104,163,364,391571,0 14,2,2024-09-07 08:38:50:764,206501,206501,0,0,8881087,0,2896 14,3,2024-09-07 08:38:51:115,1,163,9,0,1168,2915,163,0 15,0,2024-09-07 08:38:51:561,41010,1.0,41018,1.1,82316,1.2,109706,2.50 15,1,2024-09-07 08:38:51:609,286289,286289,0,0,133644221689,1391846904,284373,1807,109,381,391619,0 15,2,2024-09-07 08:38:50:999,210061,210061,0,0,7518439,0,3043 15,3,2024-09-07 08:38:51:417,1,163,1,0,1126,4310,163,0 16,0,2024-09-07 08:38:50:948,38416,0.5,38687,0.7,76654,0.5,102431,2.00 16,1,2024-09-07 08:38:50:567,287138,287138,0,0,133911893979,1400813250,284912,1964,262,370,391756,0 16,2,2024-09-07 08:38:51:440,203096,203096,0,0,9763699,0,4719 16,3,2024-09-07 08:38:51:141,1,163,20,0,231,2262,163,0 17,0,2024-09-07 08:38:51:922,39097,0.4,38115,0.6,74827,0.4,101303,1.75 17,1,2024-09-07 08:38:50:568,286044,286044,0,0,133332477404,1402675756,282685,2687,672,368,391688,0 17,2,2024-09-07 08:38:51:675,206580,206580,0,0,8721222,0,2857 17,3,2024-09-07 08:38:50:576,1,163,9,0,268,2484,163,0 18,0,2024-09-07 08:38:50:983,43202,0.7,43423,0.8,86843,0.8,116300,2.25 18,1,2024-09-07 08:38:51:646,286770,286770,0,0,134228061458,1394106156,284762,1774,234,367,391564,0 18,2,2024-09-07 08:38:51:755,206372,206372,0,0,8713187,0,3541 18,3,2024-09-07 08:38:50:905,1,163,1,0,163,1736,163,0 19,0,2024-09-07 08:38:51:563,41926,1.2,42372,1.0,83548,1.4,111140,2.75 19,1,2024-09-07 08:38:50:566,286658,286658,0,0,134212636527,1400441174,282844,3098,716,367,391777,0 19,2,2024-09-07 08:38:51:759,210865,210865,0,0,7978611,0,3988 19,3,2024-09-07 08:38:51:130,1,163,0,0,524,1500,163,0 20,0,2024-09-07 08:38:51:385,37809,0.6,37752,0.8,75787,0.6,100610,2.25 20,1,2024-09-07 08:38:50:569,285816,285816,0,0,133630223653,1403446384,282348,3077,391,369,391822,0 20,2,2024-09-07 08:38:50:928,203713,203713,0,0,9086730,0,3721 20,3,2024-09-07 08:38:50:589,1,163,1,0,414,2911,163,0 21,0,2024-09-07 08:38:51:171,37347,0.3,37382,0.5,74399,0.3,98417,1.75 21,1,2024-09-07 08:38:51:558,286076,286076,0,0,133053298382,1402655458,282407,3135,534,368,391962,0 21,2,2024-09-07 08:38:51:076,204039,204039,0,0,9963262,0,3747 21,3,2024-09-07 08:38:51:429,1,163,42,0,103,2002,163,0 22,0,2024-09-07 08:38:51:726,42112,0.6,42171,0.8,83871,0.5,112646,2.00 22,1,2024-09-07 08:38:51:023,285643,285643,0,0,133237649826,1408133975,280100,4034,1509,382,391667,0 22,2,2024-09-07 08:38:50:761,205715,205715,0,0,8527735,0,3134 22,3,2024-09-07 08:38:51:073,1,163,1,0,228,1825,163,0 23,0,2024-09-07 08:38:51:376,43713,0.9,43738,0.9,87753,1.2,116856,2.50 23,1,2024-09-07 08:38:51:010,286525,286525,0,0,134165040263,1405103359,282429,2614,1482,365,391549,0 23,2,2024-09-07 08:38:51:094,208997,208997,0,0,8836967,0,3010 23,3,2024-09-07 08:38:51:754,1,163,1,0,645,1812,163,0 24,0,2024-09-07 08:38:50:823,39896,0.5,39891,0.6,79755,0.5,105567,1.75 24,1,2024-09-07 08:38:50:590,286770,286770,0,0,133079595080,1394199007,283808,2163,799,369,391640,0 24,2,2024-09-07 08:38:51:076,204751,204751,0,0,10090359,0,2942 24,3,2024-09-07 08:38:51:691,1,163,0,0,234,1861,163,0 25,0,2024-09-07 08:38:51:365,39822,0.4,38911,0.6,76225,0.3,103662,1.75 25,1,2024-09-07 08:38:50:558,286301,286301,0,0,133296442727,1401084979,282609,3149,543,371,391788,0 25,2,2024-09-07 08:38:51:606,203270,203270,0,0,10348365,0,3978 25,3,2024-09-07 08:38:51:004,1,163,1,0,255,2083,163,0 26,0,2024-09-07 08:38:51:726,40337,0.3,39448,0.5,82716,0.3,108555,1.75 26,1,2024-09-07 08:38:51:541,286726,286726,0,0,133596499913,1404087692,282062,3763,901,381,391748,0 26,2,2024-09-07 08:38:50:861,205991,205991,0,0,9497843,0,2809 26,3,2024-09-07 08:38:51:714,1,163,5,0,796,2243,163,0 27,0,2024-09-07 08:38:51:725,46222,0.6,46193,0.7,91613,0.6,122169,2.00 27,1,2024-09-07 08:38:51:676,288060,288060,0,0,134446566552,1397391693,286240,1497,323,381,391558,0 27,2,2024-09-07 08:38:50:868,206244,206244,0,0,10036188,0,3409 27,3,2024-09-07 08:38:51:014,1,163,0,0,564,1970,163,0 28,0,2024-09-07 08:38:51:414,40443,0.8,40528,0.8,81600,1.1,108832,2.50 28,1,2024-09-07 08:38:50:806,287174,287174,0,0,134833899072,1406139985,285007,1830,337,383,391646,0 28,2,2024-09-07 08:38:51:773,208671,208671,0,0,7931313,0,2915 28,3,2024-09-07 08:38:51:781,1,163,1,0,502,2019,163,0 29,0,2024-09-07 08:38:51:365,39594,0.3,38776,0.5,75593,0.3,103510,1.75 29,1,2024-09-07 08:38:51:561,288136,288136,0,0,133515700010,1385086290,285704,1889,543,369,391621,0 29,2,2024-09-07 08:38:50:866,203807,203807,0,0,7687352,0,4018 29,3,2024-09-07 08:38:50:968,1,163,3,0,105,1238,163,0 30,0,2024-09-07 08:38:51:463,38712,0.5,37736,0.7,78782,0.4,104095,2.00 30,1,2024-09-07 08:38:50:570,287579,287579,0,0,134110895160,1393993432,285583,1718,278,382,391672,0 30,2,2024-09-07 08:38:51:274,205602,205602,0,0,7702267,0,3161 30,3,2024-09-07 08:38:50:581,1,163,1,0,195,1214,163,0 31,0,2024-09-07 08:38:51:768,44392,0.5,44727,0.7,90057,0.5,119537,2.00 31,1,2024-09-07 08:38:50:567,288179,288179,0,0,134483530371,1378848875,287377,690,112,356,391553,0 31,2,2024-09-07 08:38:51:275,206062,206062,0,0,9461393,0,3525 31,3,2024-09-07 08:38:51:712,1,163,0,0,220,1346,163,0 32,0,2024-09-07 08:38:51:454,41819,0.5,42173,0.7,84432,0.5,112414,1.75 32,1,2024-09-07 08:38:50:804,287448,287448,0,0,134520300016,1397774599,285704,1469,275,382,391595,0 32,2,2024-09-07 08:38:50:936,209884,209884,0,0,7798972,0,3155 32,3,2024-09-07 08:38:51:018,1,163,1,0,227,1476,163,0 33,0,2024-09-07 08:38:51:514,38523,0.3,37868,0.5,76502,0.3,101779,1.75 33,1,2024-09-07 08:38:50:576,288095,288095,0,0,134934174841,1398075911,285836,2087,172,369,391730,0 33,2,2024-09-07 08:38:50:759,203716,203683,33,0,9559532,0,7012 33,3,2024-09-07 08:38:50:915,1,163,8,0,329,1870,163,0 34,0,2024-09-07 08:38:50:940,37306,0.3,38406,0.4,73675,0.2,99257,1.50 34,1,2024-09-07 08:38:51:044,287924,287924,0,0,134901377727,1389993879,286926,992,6,367,391562,0 34,2,2024-09-07 08:38:50:771,203608,203608,0,0,8522179,0,3577 34,3,2024-09-07 08:38:51:689,1,163,2,0,148,1051,163,0 35,0,2024-09-07 08:38:50:861,42620,0.4,42954,0.5,86028,0.3,115141,1.75 35,1,2024-09-07 08:38:51:080,287038,287038,0,0,133692102966,1390356966,284238,2030,770,384,391589,0 35,2,2024-09-07 08:38:51:594,206711,206711,0,0,7918996,0,2653 35,3,2024-09-07 08:38:50:910,1,163,1,0,418,1978,163,0 36,0,2024-09-07 08:38:51:540,43430,1.0,43266,1.0,87132,1.2,115213,2.50 36,1,2024-09-07 08:38:50:583,286908,286908,0,0,134021462125,1405863423,282285,3334,1289,366,391759,0 36,2,2024-09-07 08:38:51:758,209353,209353,0,0,9422430,0,3303 36,3,2024-09-07 08:38:50:864,1,163,0,0,378,2219,163,0 37,0,2024-09-07 08:38:51:413,37680,0.5,37656,0.7,75287,0.4,101095,2.00 37,1,2024-09-07 08:38:50:569,286107,286100,0,7,133126911099,1401618263,281327,2754,2019,365,391560,0 37,2,2024-09-07 08:38:51:141,204581,204566,15,0,9469854,0,5815 37,3,2024-09-07 08:38:51:778,1,163,6,0,724,2479,163,0 38,0,2024-09-07 08:38:51:449,37698,0.4,36676,0.6,76455,0.3,100039,2.00 38,1,2024-09-07 08:38:51:605,287107,287107,0,0,133753110639,1400064738,283068,3407,632,368,391821,0 38,2,2024-09-07 08:38:50:760,204404,204357,47,0,11050863,0,6710 38,3,2024-09-07 08:38:50:997,1,163,2,0,603,2193,163,0 39,0,2024-09-07 08:38:51:767,42583,0.6,41725,0.7,81317,0.6,111246,2.00 39,1,2024-09-07 08:38:50:725,286896,286896,0,0,133469384848,1394233771,283108,2987,801,365,391524,0 39,2,2024-09-07 08:38:51:425,205707,205707,0,0,8199812,0,2689 39,3,2024-09-07 08:38:50:723,1,163,19,0,276,2090,163,0 40,0,2024-09-07 08:38:51:528,44755,0.9,45424,1.2,90432,1.0,120106,3.00 40,1,2024-09-07 08:38:50:576,287103,287103,0,0,132889128752,1393872209,282688,3646,769,368,391591,0 40,2,2024-09-07 08:38:51:305,207536,207535,1,0,10673517,0,5137 40,3,2024-09-07 08:38:51:141,1,163,1,0,181,1657,163,0 41,0,2024-09-07 08:38:51:026,39337,2.0,40123,1.7,76948,3.3,105548,4.25 41,1,2024-09-07 08:38:50:768,286295,286295,0,0,134000803507,1404015599,282101,3661,533,370,391742,0 41,2,2024-09-07 08:38:50:760,205843,205843,0,0,10275580,0,3356 41,3,2024-09-07 08:38:51:676,1,163,0,0,366,1978,163,0 42,0,2024-09-07 08:38:51:483,38498,0.5,38890,0.8,77599,0.4,102895,2.25 42,1,2024-09-07 08:38:51:439,285780,285780,0,0,133680213920,1407661160,281065,3757,958,380,391675,0 42,2,2024-09-07 08:38:51:132,203294,203294,0,0,9918703,0,3568 42,3,2024-09-07 08:38:51:014,1,163,0,0,446,1436,163,0 43,0,2024-09-07 08:38:50:927,39666,0.6,38785,0.8,80903,0.6,106322,2.00 43,1,2024-09-07 08:38:50:576,286739,286739,0,0,134101393559,1399669731,283401,2545,793,366,391604,0 43,2,2024-09-07 08:38:51:740,205722,205722,0,0,9265278,0,3812 43,3,2024-09-07 08:38:51:754,1,163,1,0,325,2143,163,0 44,0,2024-09-07 08:38:50:902,46078,0.5,46295,0.7,92347,0.5,124046,1.75 44,1,2024-09-07 08:38:50:566,287460,287460,0,0,134384969908,1389611346,285402,1633,425,356,391809,0 44,2,2024-09-07 08:38:51:267,206000,206000,0,0,7604474,0,1877 44,3,2024-09-07 08:38:51:094,1,163,8,0,817,2110,163,0 45,0,2024-09-07 08:38:51:758,40467,1.3,39791,1.1,83402,1.5,110351,2.25 45,1,2024-09-07 08:38:51:006,287130,287130,0,0,134914973742,1398893830,286089,1031,10,382,391917,0 45,2,2024-09-07 08:38:51:271,209865,209865,0,0,8342782,0,3596 45,3,2024-09-07 08:38:50:942,1,163,18,0,226,1689,163,0 46,0,2024-09-07 08:38:50:958,38330,0.3,38164,0.6,76626,0.3,101477,1.75 46,1,2024-09-07 08:38:50:576,287829,287829,0,0,134497546000,1391562917,286020,1586,223,366,391524,0 46,2,2024-09-07 08:38:50:593,204565,204565,0,0,8219031,0,2920 46,3,2024-09-07 08:38:51:134,1,163,4,0,908,2915,163,0 47,0,2024-09-07 08:38:51:129,37949,0.3,38211,0.5,76575,0.2,101009,1.75 47,1,2024-09-07 08:38:50:576,288092,288092,0,0,134618149907,1390201076,286688,1387,17,366,391605,0 47,2,2024-09-07 08:38:50:908,205714,205714,0,0,8312213,0,2558 47,3,2024-09-07 08:38:51:119,1,163,2,0,529,1837,163,0 48,0,2024-09-07 08:38:51:516,44098,0.3,43697,0.4,87585,0.2,117007,1.75 48,1,2024-09-07 08:38:51:027,287987,287987,0,0,134383704560,1397314832,285926,1880,181,384,391710,0 48,2,2024-09-07 08:38:50:699,206843,206843,0,0,7109710,0,2083 48,3,2024-09-07 08:38:50:753,1,163,0,0,339,1445,163,0 49,0,2024-09-07 08:38:51:724,43530,0.6,42679,0.7,82823,0.6,113094,1.75 49,1,2024-09-07 08:38:51:028,286424,286424,0,0,134011272712,1398224497,283686,1898,840,382,391583,0 49,2,2024-09-07 08:38:51:803,210806,210806,0,0,8255639,0,3900 49,3,2024-09-07 08:38:51:429,1,163,1,0,408,2385,163,0 50,0,2024-09-07 08:38:51:519,37927,0.3,37634,0.5,75321,0.2,100572,1.75 50,1,2024-09-07 08:38:51:011,288770,288770,0,0,134949786777,1399908720,286243,2218,309,368,391530,0 50,2,2024-09-07 08:38:51:088,204151,204151,0,0,7773938,0,2253 50,3,2024-09-07 08:38:51:299,1,163,8,0,335,1344,163,0 51,0,2024-09-07 08:38:51:687,37848,0.3,37385,0.4,72727,0.2,98700,1.50 51,1,2024-09-07 08:38:51:681,287024,287024,0,0,134859703440,1395140656,285055,1183,786,365,391637,0 51,2,2024-09-07 08:38:51:324,204344,204344,0,0,7329864,0,3337 51,3,2024-09-07 08:38:51:027,1,163,0,0,162,913,163,0 52,0,2024-09-07 08:38:51:435,42480,0.5,42345,0.7,84847,0.5,112955,2.00 52,1,2024-09-07 08:38:50:576,286214,286214,0,0,133048645657,1403908871,280300,4755,1159,368,391722,0 52,2,2024-09-07 08:38:51:764,204648,204610,38,0,10035568,0,6742 52,3,2024-09-07 08:38:50:679,1,163,1,0,1782,3530,163,0 53,0,2024-09-07 08:38:51:734,43713,1.0,42436,1.0,88680,1.2,116184,2.75 53,1,2024-09-07 08:38:50:780,286028,286028,0,0,133883475675,1413503155,280400,3734,1894,367,391702,0 53,2,2024-09-07 08:38:51:299,209643,209643,0,0,8413430,0,2262 53,3,2024-09-07 08:38:50:698,1,163,5,0,271,1635,163,0 54,0,2024-09-07 08:38:51:618,38223,2.0,38758,1.2,76788,1.0,102713,4.00 54,1,2024-09-07 08:38:50:580,286541,286541,0,0,134237794293,1402359505,282571,3399,571,367,391532,0 54,2,2024-09-07 08:38:50:865,205513,205507,6,0,9936929,0,5382 54,3,2024-09-07 08:38:50:763,1,163,1,0,676,3188,163,0 55,0,2024-09-07 08:38:51:766,37433,0.4,38664,0.7,78233,0.3,101530,2.25 55,1,2024-09-07 08:38:50:764,286321,286321,0,0,133768262111,1401507566,281131,4403,787,365,391731,0 55,2,2024-09-07 08:38:50:742,203323,203323,0,0,9482394,0,3275 55,3,2024-09-07 08:38:50:676,1,163,1,0,304,1709,163,0 56,0,2024-09-07 08:38:51:586,41649,1.1,39297,1.0,80841,1.5,108862,2.25 56,1,2024-09-07 08:38:50:572,286074,286074,0,0,134011248798,1419608374,280912,4258,904,381,391678,0 56,2,2024-09-07 08:38:51:308,205315,205315,0,0,10366151,0,3567 56,3,2024-09-07 08:38:51:059,1,163,1,0,405,2235,163,0 57,0,2024-09-07 08:38:50:980,45149,2.4,45130,1.5,90472,3.4,121015,3.75 57,1,2024-09-07 08:38:50:993,286752,286752,0,0,133733550589,1400747838,283917,2665,170,368,391960,0 57,2,2024-09-07 08:38:51:318,207822,207822,0,0,10519308,0,3178 57,3,2024-09-07 08:38:51:743,1,163,1,0,359,2741,163,0 58,0,2024-09-07 08:38:50:561,39392,1.2,38379,1.2,80390,1.8,105493,2.75 58,1,2024-09-07 08:38:50:576,287430,287427,0,3,134876250806,1409083291,283911,3119,397,367,391516,3 58,2,2024-09-07 08:38:51:075,208184,208184,0,0,9608590,0,2549 58,3,2024-09-07 08:38:51:083,1,163,2,0,1043,2339,163,0 59,0,2024-09-07 08:38:51:775,38758,0.8,38450,0.9,76774,0.8,102328,2.75 59,1,2024-09-07 08:38:50:804,286577,286577,0,0,134050571380,1403257859,282927,2728,922,369,391515,0 59,2,2024-09-07 08:38:50:583,204657,204657,0,0,8466226,0,2604 59,3,2024-09-07 08:38:51:741,1,163,4,0,1015,2893,163,0 60,0,2024-09-07 08:38:51:708,39031,0.4,39102,0.6,77881,0.3,104081,1.75 60,1,2024-09-07 08:38:50:773,287955,287955,0,0,134381668196,1393608123,286497,1100,358,370,391761,0 60,2,2024-09-07 08:38:51:146,204734,204734,0,0,9529301,0,3811 60,3,2024-09-07 08:38:51:260,1,163,7,0,124,1580,163,0 61,0,2024-09-07 08:38:51:502,44723,1.2,44941,1.0,89464,1.9,119700,2.00 61,1,2024-09-07 08:38:50:774,286610,286610,0,0,133756490437,1403393517,283170,2887,553,382,391589,0 61,2,2024-09-07 08:38:51:131,207132,207132,0,0,8457101,0,2079 61,3,2024-09-07 08:38:51:687,1,163,1,0,199,1930,163,0 62,0,2024-09-07 08:38:51:708,42227,1.5,42959,1.1,82197,2.3,112119,2.25 62,1,2024-09-07 08:38:51:111,288821,288815,0,6,134807793257,1391456886,287136,1640,39,365,391715,6 62,2,2024-09-07 08:38:51:644,208821,208820,1,0,10031237,0,5555 62,3,2024-09-07 08:38:51:147,1,163,0,0,287,1174,163,0 63,0,2024-09-07 08:38:51:453,38061,0.5,38024,0.7,76213,0.5,101511,2.00 63,1,2024-09-07 08:38:50:805,287868,287862,0,6,134454937979,1393267320,286620,1216,26,381,391677,6 63,2,2024-09-07 08:38:50:762,203440,203440,0,0,7768856,0,2674 63,3,2024-09-07 08:38:51:731,1,163,19,0,667,2412,163,0 64,0,2024-09-07 08:38:51:521,37112,0.4,37027,0.6,74275,0.3,99160,1.75 64,1,2024-09-07 08:38:50:750,287581,287581,0,0,133993712771,1401577360,284113,2414,1054,370,391783,0 64,2,2024-09-07 08:38:51:143,205762,205743,19,0,8588146,0,6121 64,3,2024-09-07 08:38:51:141,1,163,12,0,265,1839,163,0 65,0,2024-09-07 08:38:51:711,42254,0.8,42791,0.8,85320,0.9,114121,2.25 65,1,2024-09-07 08:38:50:859,286502,286502,0,0,133649483446,1399354824,283995,2283,224,382,391770,0 65,2,2024-09-07 08:38:51:698,206133,206133,0,0,9048167,0,3367 65,3,2024-09-07 08:38:51:683,1,163,1,0,163,1643,163,0 66,0,2024-09-07 08:38:51:769,42888,0.7,43011,0.9,86400,0.8,114470,2.50 66,1,2024-09-07 08:38:51:297,287915,287915,0,0,133965373695,1393464742,286040,1704,171,380,391588,0 66,2,2024-09-07 08:38:51:131,211335,211335,0,0,8441128,0,4956 66,3,2024-09-07 08:38:51:082,1,163,2,0,291,1714,163,0 67,0,2024-09-07 08:38:51:422,38205,0.8,37930,0.9,76260,1.0,101205,2.50 67,1,2024-09-07 08:38:50:766,286761,286760,0,1,133720662201,1399678687,283293,2721,746,381,391787,1 67,2,2024-09-07 08:38:50:583,205275,205275,0,0,8022148,0,2889 67,3,2024-09-07 08:38:51:760,1,163,1,0,138,1291,163,0 68,0,2024-09-07 08:38:50:576,38107,0.4,37943,0.7,75830,0.4,100372,2.00 68,1,2024-09-07 08:38:50:572,286056,286056,0,0,133633598879,1403350513,283200,1837,1019,381,391953,0 68,2,2024-09-07 08:38:51:043,203082,203017,65,0,11380120,0,6698 68,3,2024-09-07 08:38:50:746,1,163,2,0,417,2072,163,0 69,0,2024-09-07 08:38:51:727,41400,0.7,41522,0.8,82640,0.7,110706,2.25 69,1,2024-09-07 08:38:51:024,285562,285562,0,0,133829020802,1415623303,281552,2795,1215,384,391994,0 69,2,2024-09-07 08:38:51:743,206104,206104,0,0,10018939,0,3701 69,3,2024-09-07 08:38:50:763,1,163,1,0,238,2025,163,0 70,0,2024-09-07 08:38:51:573,44631,1.9,44958,1.4,90142,1.3,119508,2.75 70,1,2024-09-07 08:38:50:804,286938,286938,0,0,134354565978,1397084105,284481,1995,462,366,391725,0 70,2,2024-09-07 08:38:51:327,208491,208491,0,0,9194944,0,4044 70,3,2024-09-07 08:38:50:749,1,163,33,0,854,2199,163,0 71,0,2024-09-07 08:38:51:391,39279,2.0,39346,1.6,78386,3.0,105679,3.50 71,1,2024-09-07 08:38:51:601,286957,286957,0,0,133924876969,1402866018,282840,3592,525,368,391682,0 71,2,2024-09-07 08:38:51:074,207814,207814,0,0,9189253,0,2470 71,3,2024-09-07 08:38:51:760,1,163,1,0,644,2570,163,0 72,0,2024-09-07 08:38:51:066,40315,0.4,39455,0.7,77109,0.4,105015,2.25 72,1,2024-09-07 08:38:51:021,286630,286630,0,0,133382305360,1393655705,283364,2780,486,369,391819,0 72,2,2024-09-07 08:38:51:755,203143,203143,0,0,10700480,0,2570 72,3,2024-09-07 08:38:51:763,1,163,1,0,364,3232,163,0 73,0,2024-09-07 08:38:51:118,38900,0.4,39902,0.6,81475,0.3,105852,2.00 73,1,2024-09-07 08:38:50:780,286944,286944,0,0,134173883450,1393201480,285137,1692,115,367,391627,0 73,2,2024-09-07 08:38:51:743,205609,205609,0,0,9994453,0,3482 73,3,2024-09-07 08:38:50:978,1,163,1,0,274,2593,163,0 74,0,2024-09-07 08:38:51:333,46436,0.5,47414,0.7,90331,0.5,123502,2.25 74,1,2024-09-07 08:38:50:635,286644,286644,0,0,133413816913,1393736513,283852,2170,622,382,391681,0 74,2,2024-09-07 08:38:51:005,206490,206490,0,0,10062061,0,4253 74,3,2024-09-07 08:38:51:443,1,163,1,0,522,2767,163,0 75,0,2024-09-07 08:38:51:774,41364,1.3,41060,1.2,82061,1.5,110520,2.75 75,1,2024-09-07 08:38:51:590,286393,286393,0,0,133691033603,1401326637,282998,2935,460,381,391579,0 75,2,2024-09-07 08:38:51:353,208781,208781,0,0,9684646,0,4766 75,3,2024-09-07 08:38:51:076,1,163,5,0,535,1866,163,0 76,0,2024-09-07 08:38:50:606,38170,0.4,37950,0.7,75815,0.3,101753,2.25 76,1,2024-09-07 08:38:50:806,287033,287033,0,0,133820796645,1396926108,284972,1550,511,382,391692,0 76,2,2024-09-07 08:38:51:080,204658,204658,0,0,8201330,0,3064 76,3,2024-09-07 08:38:51:146,1,163,10,0,175,1877,163,0 77,0,2024-09-07 08:38:51:699,37931,0.5,38161,0.6,76435,0.4,100933,1.75 77,1,2024-09-07 08:38:50:826,287839,287839,0,0,133813319186,1395547028,286243,1512,84,383,391808,0 77,2,2024-09-07 08:38:51:303,204321,204321,0,0,8518562,0,3890 77,3,2024-09-07 08:38:51:094,1,163,1,0,305,2021,163,0 78,0,2024-09-07 08:38:51:742,43800,0.5,43522,0.7,87844,0.4,116512,2.00 78,1,2024-09-07 08:38:50:618,287389,287389,0,0,133648429474,1390821066,285059,2077,253,367,391589,0 78,2,2024-09-07 08:38:51:415,207315,207315,0,0,7980797,0,2114 78,3,2024-09-07 08:38:51:133,1,163,2,0,181,1671,163,0 79,0,2024-09-07 08:38:51:368,40985,0.5,41941,0.8,85804,0.5,111483,2.75 79,1,2024-09-07 08:38:50:571,287815,287815,0,0,133980737017,1388909265,285628,2015,172,369,391682,0 79,2,2024-09-07 08:38:51:084,210529,210529,0,0,8222476,0,3212 79,3,2024-09-07 08:38:50:749,1,163,1,0,418,2893,163,0 80,0,2024-09-07 08:38:51:079,37805,0.6,38704,0.8,74353,0.6,100624,2.25 80,1,2024-09-07 08:38:51:630,286256,286256,0,0,133648125800,1392825102,283737,2358,161,368,391673,0 80,2,2024-09-07 08:38:51:094,204573,204573,0,0,8566352,0,4433 80,3,2024-09-07 08:38:50:579,1,163,2,0,190,2626,163,0 81,0,2024-09-07 08:38:51:546,37112,0.4,38260,0.6,73253,0.4,98399,1.75 81,1,2024-09-07 08:38:51:652,286615,286615,0,0,133707737448,1398779059,284135,2208,272,382,391879,0 81,2,2024-09-07 08:38:51:125,203837,203837,0,0,9041503,0,3993 81,3,2024-09-07 08:38:51:119,1,163,1,0,193,1610,163,0 82,0,2024-09-07 08:38:51:548,42079,0.5,42313,0.7,84722,0.4,113131,2.00 82,1,2024-09-07 08:38:50:583,287492,287488,0,4,133509689583,1393210921,285043,1867,578,381,391558,4 82,2,2024-09-07 08:38:51:691,206544,206544,0,0,8298502,0,3986 82,3,2024-09-07 08:38:51:765,1,163,9,0,227,1870,163,0 83,0,2024-09-07 08:38:51:529,43965,0.8,44004,0.8,87343,0.8,116298,2.25 83,1,2024-09-07 08:38:50:553,286966,286966,0,0,133407753754,1394434290,284519,2243,204,382,391690,0 83,2,2024-09-07 08:38:50:764,209027,209027,0,0,8353866,0,3119 83,3,2024-09-07 08:38:50:749,1,163,1,0,241,1896,163,0 84,0,2024-09-07 08:38:51:795,38954,1.3,38797,1.3,77998,1.0,104072,2.75 84,1,2024-09-07 08:38:51:045,286123,286123,0,0,133371974903,1398612159,282726,2943,454,368,391852,0 84,2,2024-09-07 08:38:50:570,205820,205820,0,0,9594075,0,3801 84,3,2024-09-07 08:38:51:141,1,163,10,0,270,1952,163,0 85,0,2024-09-07 08:38:51:008,37420,0.5,37401,0.7,79291,0.4,102617,2.00 85,1,2024-09-07 08:38:50:559,285823,285823,0,0,133072443303,1412228575,280370,4373,1080,382,392006,0 85,2,2024-09-07 08:38:50:866,204223,204223,0,0,10260922,0,3656 85,3,2024-09-07 08:38:50:691,1,163,6,0,789,2500,163,0 86,0,2024-09-07 08:38:50:878,40657,0.5,41744,0.7,80050,0.5,108659,1.75 86,1,2024-09-07 08:38:50:830,286349,286349,0,0,134229123409,1407774186,282389,3415,545,366,391961,0 86,2,2024-09-07 08:38:50:854,204278,204277,1,0,10592960,0,5004 86,3,2024-09-07 08:38:50:586,1,163,1,0,286,2393,163,0 87,0,2024-09-07 08:38:51:312,45867,1.4,45654,1.1,91714,2.1,122879,2.25 87,1,2024-09-07 08:38:50:554,286339,286339,0,0,133457798038,1399675783,283123,2824,392,366,391788,0 87,2,2024-09-07 08:38:51:068,206537,206537,0,0,8996937,0,3515 87,3,2024-09-07 08:38:51:813,1,163,3,0,335,2840,163,0 88,0,2024-09-07 08:38:51:588,40729,0.7,40896,0.7,81479,0.8,108895,1.75 88,1,2024-09-07 08:38:50:586,285565,285565,0,0,133709176627,1404979084,281526,2869,1170,365,391787,0 88,2,2024-09-07 08:38:50:698,208215,208215,0,0,10293905,0,3583 88,3,2024-09-07 08:38:51:272,1,163,2,0,435,2133,163,0 89,0,2024-09-07 08:38:51:808,39783,0.4,38517,0.7,76334,0.4,103751,1.75 89,1,2024-09-07 08:38:50:550,285066,285066,0,0,133618807810,1414813102,279632,4498,936,382,391866,0 89,2,2024-09-07 08:38:51:134,203596,203596,0,0,10090940,0,2910 89,3,2024-09-07 08:38:51:799,1,163,2,0,325,3168,163,0 90,0,2024-09-07 08:38:51:644,37799,0.4,38600,0.5,79302,0.3,103695,1.75 90,1,2024-09-07 08:38:50:589,287074,287074,0,0,133342150063,1401268763,283846,2971,257,382,391825,0 90,2,2024-09-07 08:38:51:418,204063,204063,0,0,10998501,0,3060 90,3,2024-09-07 08:38:50:935,1,163,1,0,200,1910,163,0 91,0,2024-09-07 08:38:50:928,45328,0.5,43700,0.6,91061,0.5,120135,1.75 91,1,2024-09-07 08:38:50:560,285932,285932,0,0,133522021530,1404199617,282180,3255,497,381,392047,0 91,2,2024-09-07 08:38:51:346,205481,205481,0,0,10278898,0,2445 91,3,2024-09-07 08:38:50:601,1,163,0,0,216,1889,163,0 92,0,2024-09-07 08:38:51:466,42322,0.8,43382,0.9,82916,0.9,112466,1.75 92,1,2024-09-07 08:38:50:582,286935,286935,0,0,134025704104,1400743074,284763,1769,403,382,392136,0 92,2,2024-09-07 08:38:51:359,211594,211594,0,0,8572561,0,2801 92,3,2024-09-07 08:38:51:009,1,163,2,0,68,1338,163,0 93,0,2024-09-07 08:38:50:976,38379,0.4,39231,0.6,75095,0.4,101912,1.75 93,1,2024-09-07 08:38:50:806,286586,286586,0,0,134164917768,1402227132,283476,2560,550,366,391692,0 93,2,2024-09-07 08:38:50:948,204087,204087,0,0,8382598,0,2509 93,3,2024-09-07 08:38:51:414,1,163,4,0,143,1710,163,0 94,0,2024-09-07 08:38:51:607,37225,0.3,37566,0.5,74444,0.2,98967,1.50 94,1,2024-09-07 08:38:50:568,286637,286637,0,0,133352941246,1395951304,284192,2350,95,381,391850,0 94,2,2024-09-07 08:38:50:761,204243,204243,0,0,8266935,0,2443 94,3,2024-09-07 08:38:51:688,1,163,5,0,264,2432,163,0 95,0,2024-09-07 08:38:51:391,43129,0.3,42938,0.5,86386,0.3,114869,1.75 95,1,2024-09-07 08:38:50:852,287093,287093,0,0,133745683541,1392532537,284712,2197,184,367,391662,0 95,2,2024-09-07 08:38:51:015,206520,206520,0,0,8577149,0,3308 95,3,2024-09-07 08:38:51:737,1,163,2,0,718,3062,163,0 96,0,2024-09-07 08:38:51:082,43449,0.6,43582,0.7,87409,0.6,115081,1.75 96,1,2024-09-07 08:38:51:589,286375,286375,0,0,134069240176,1403502063,283775,1957,643,385,391894,0 96,2,2024-09-07 08:38:51:283,209906,209906,0,0,9169757,0,4042 96,3,2024-09-07 08:38:51:149,1,163,2,0,188,1862,163,0 97,0,2024-09-07 08:38:51:326,38292,0.4,38177,0.6,76377,0.4,101289,1.75 97,1,2024-09-07 08:38:50:768,287238,287238,0,0,134445394276,1399633339,284435,2253,550,367,392140,0 97,2,2024-09-07 08:38:50:607,204660,204660,0,0,8182291,0,3036 97,3,2024-09-07 08:38:50:568,1,163,0,0,165,1910,163,0 98,0,2024-09-07 08:38:51:742,38008,0.2,37902,0.4,76030,0.2,100481,1.50 98,1,2024-09-07 08:38:50:570,287216,287216,0,0,133622896491,1393994461,285398,1727,91,382,391997,0 98,2,2024-09-07 08:38:50:769,205040,205040,0,0,8597891,0,3080 98,3,2024-09-07 08:38:50:705,1,163,3,0,840,3083,163,0 99,0,2024-09-07 08:38:51:505,41456,0.3,41493,0.4,83031,0.2,110951,1.50 99,1,2024-09-07 08:38:51:748,287142,287142,0,0,134123791696,1401851110,284080,2366,696,381,391744,0 99,2,2024-09-07 08:38:51:662,207800,207800,0,0,8358711,0,2615 99,3,2024-09-07 08:38:50:581,1,163,20,0,129,1210,163,0 100,0,2024-09-07 08:38:51:499,45215,1.2,45082,1.3,90289,2.1,120441,2.50 100,1,2024-09-07 08:38:50:550,284589,284589,0,0,132876927571,1411653219,279335,4323,931,381,391989,0 100,2,2024-09-07 08:38:51:825,207246,207235,11,0,9867186,0,5417 100,3,2024-09-07 08:38:51:733,1,163,1,0,559,3688,163,0 101,0,2024-09-07 08:38:51:720,40534,2.9,39523,1.6,77500,3.5,106981,3.00 101,1,2024-09-07 08:38:50:573,285466,285466,0,0,133213456740,1410461242,280104,3885,1477,368,391769,0 101,2,2024-09-07 08:38:51:757,206585,206585,0,0,10842538,0,4644 101,3,2024-09-07 08:38:50:943,1,163,2,0,448,2043,163,0 102,0,2024-09-07 08:38:50:983,38138,0.5,39514,0.7,79387,0.4,104301,2.00 102,1,2024-09-07 08:38:51:142,286366,286366,0,0,133393292328,1405668126,282281,3373,712,369,391883,0 102,2,2024-09-07 08:38:51:737,204470,204416,54,0,10194880,0,6768 102,3,2024-09-07 08:38:51:622,1,163,1,0,410,1710,163,0 103,0,2024-09-07 08:38:51:602,41004,0.4,41008,0.6,77365,0.3,106554,1.75 103,1,2024-09-07 08:38:51:704,285158,285158,0,0,133041087521,1405214625,280711,3238,1209,381,391829,0 103,2,2024-09-07 08:38:50:582,204821,204821,0,0,9144259,0,2104 103,3,2024-09-07 08:38:50:755,1,163,1,0,916,2929,163,0 104,0,2024-09-07 08:38:51:084,45698,1.3,45919,1.2,90702,1.5,123466,2.25 104,1,2024-09-07 08:38:51:613,286959,286959,0,0,133241008803,1406889339,281953,4042,964,365,391948,0 104,2,2024-09-07 08:38:51:692,205764,205764,0,0,10040854,0,3941 104,3,2024-09-07 08:38:51:420,1,163,3,1,1245,5121,163,0 105,0,2024-09-07 08:38:51:048,40703,1.8,39510,1.4,82462,2.8,109461,3.75 105,1,2024-09-07 08:38:50:554,287215,287215,0,0,133457982053,1402221795,283004,3436,775,367,391797,0 105,2,2024-09-07 08:38:51:325,208525,208525,0,0,9515927,0,3509 105,3,2024-09-07 08:38:51:304,1,163,4,0,399,2622,163,0 106,0,2024-09-07 08:38:50:940,37088,0.6,38101,0.8,77527,0.6,101546,2.25 106,1,2024-09-07 08:38:51:755,286360,286360,0,0,133960480474,1406693328,282571,3405,384,369,391767,0 106,2,2024-09-07 08:38:50:756,203018,203018,0,0,9520206,0,2795 106,3,2024-09-07 08:38:50:679,1,163,2,0,405,2418,163,0 107,0,2024-09-07 08:38:51:105,37964,0.4,38109,0.6,75661,0.3,101224,1.75 107,1,2024-09-07 08:38:50:592,286069,286069,0,0,133861934983,1407954078,283071,2768,230,381,392234,0 107,2,2024-09-07 08:38:51:324,204526,204525,1,0,9639347,0,5024 107,3,2024-09-07 08:38:51:766,1,163,4,0,353,2379,163,0 108,0,2024-09-07 08:38:51:835,43926,0.4,43990,0.6,87508,0.4,117005,1.75 108,1,2024-09-07 08:38:51:316,286344,286344,0,0,134342550657,1403340148,283686,2346,312,368,391857,0 108,2,2024-09-07 08:38:51:759,205079,205079,0,0,8998942,0,2647 108,3,2024-09-07 08:38:51:340,1,163,19,0,667,3269,163,0 109,0,2024-09-07 08:38:51:850,42584,0.5,42306,0.7,84456,0.5,113247,1.75 109,1,2024-09-07 08:38:50:583,286079,286079,0,0,134284065863,1410450438,283300,2283,496,383,392132,0 109,2,2024-09-07 08:38:50:939,209345,209345,0,0,9362758,0,3617 109,3,2024-09-07 08:38:51:155,1,163,7,0,249,2291,163,0 110,0,2024-09-07 08:38:51:750,38099,0.4,36932,0.6,77165,0.3,100920,1.75 110,1,2024-09-07 08:38:51:645,287204,287204,0,0,134407545370,1399491766,284590,1849,765,370,391667,0 110,2,2024-09-07 08:38:51:310,204468,204468,0,0,8221583,0,2915 110,3,2024-09-07 08:38:50:702,1,163,1,0,406,2314,163,0 111,0,2024-09-07 08:38:51:416,37353,0.2,36856,0.4,73719,0.1,98713,1.50 111,1,2024-09-07 08:38:50:999,287678,287678,0,0,134949947593,1401373846,285902,1444,332,382,391690,0 111,2,2024-09-07 08:38:51:117,204091,204091,0,0,9029901,0,2763 111,3,2024-09-07 08:38:50:914,1,163,1,0,379,2550,163,0 112,0,2024-09-07 08:38:50:925,42339,0.3,42251,0.5,84582,0.2,113199,1.50 112,1,2024-09-07 08:38:50:827,287916,287916,0,0,134096743913,1395165305,285589,1901,426,381,391580,0 112,2,2024-09-07 08:38:51:133,206081,206080,1,0,9023759,0,5036 112,3,2024-09-07 08:38:50:599,1,163,2,0,282,1896,163,0 113,0,2024-09-07 08:38:50:882,43809,0.4,43737,0.6,88167,0.4,117488,1.75 113,1,2024-09-07 08:38:51:699,287627,287627,0,0,134558861868,1394161302,285211,1928,488,366,391661,0 113,2,2024-09-07 08:38:51:307,210324,210324,0,0,7948186,0,3813 113,3,2024-09-07 08:38:50:687,1,163,9,0,340,2729,163,0 114,0,2024-09-07 08:38:50:874,39789,0.6,40422,0.7,79249,0.5,105912,2.00 114,1,2024-09-07 08:38:50:716,286927,286927,0,0,133480141334,1398577431,282798,2666,1463,381,391534,0 114,2,2024-09-07 08:38:50:881,205930,205930,0,0,8190869,0,3925 114,3,2024-09-07 08:38:51:279,1,163,1,0,395,1989,163,0 115,0,2024-09-07 08:38:50:555,38637,0.2,39035,0.4,78054,0.2,103397,1.50 115,1,2024-09-07 08:38:50:570,287258,287258,0,0,134163693149,1400196866,283615,2822,821,382,391602,0 115,2,2024-09-07 08:38:51:125,205238,205238,0,0,7686123,0,2152 115,3,2024-09-07 08:38:51:002,1,163,1,0,159,1070,163,0 116,0,2024-09-07 08:38:51:732,40844,0.6,40298,0.8,81000,0.5,108564,2.00 116,1,2024-09-07 08:38:50:806,284769,284769,0,0,133096925254,1416075682,279857,3155,1757,382,391677,0 116,2,2024-09-07 08:38:51:775,204702,204702,0,0,10885445,0,3529 116,3,2024-09-07 08:38:50:915,1,163,4,0,252,2437,163,0 117,0,2024-09-07 08:38:50:999,45685,1.3,45827,1.1,91645,1.8,122707,2.00 117,1,2024-09-07 08:38:51:607,286571,286571,0,0,133259869376,1399287556,282894,3248,429,370,392033,0 117,2,2024-09-07 08:38:51:128,208067,208067,0,0,8769907,0,3700 117,3,2024-09-07 08:38:51:077,1,163,5,0,490,3363,163,0 118,0,2024-09-07 08:38:51:795,39605,0.9,40805,0.9,82812,1.1,108157,2.25 118,1,2024-09-07 08:38:50:591,286045,286045,0,0,132874725634,1403877563,281198,3526,1321,366,391736,0 118,2,2024-09-07 08:38:51:596,207771,207771,0,0,9838308,0,2781 118,3,2024-09-07 08:38:51:775,1,163,5,0,235,2170,163,0 119,0,2024-09-07 08:38:51:376,38300,0.7,38438,0.8,77887,0.6,102846,2.00 119,1,2024-09-07 08:38:50:551,286886,286886,0,0,134301896534,1402770844,284403,2195,288,369,391641,0 119,2,2024-09-07 08:38:51:262,203541,203541,0,0,9095097,0,3526 119,3,2024-09-07 08:38:51:344,1,163,1,0,443,2929,163,0 120,0,2024-09-07 08:38:51:623,38684,0.4,38774,0.7,77459,0.4,103886,2.00 120,1,2024-09-07 08:38:50:877,287007,287007,0,0,133539084995,1403444643,283713,3032,262,368,391961,0 120,2,2024-09-07 08:38:50:771,204215,204214,1,0,11347549,0,5281 120,3,2024-09-07 08:38:51:290,1,163,1,0,241,2292,163,0 121,0,2024-09-07 08:38:51:693,44497,1.5,44935,1.1,89430,2.2,119544,2.25 121,1,2024-09-07 08:38:51:670,286623,286623,0,0,134031730377,1403695145,283856,2478,289,367,391840,0 121,2,2024-09-07 08:38:51:143,205435,205435,0,0,10257148,0,4127 121,3,2024-09-07 08:38:50:727,1,163,1,0,269,2268,163,0 122,0,2024-09-07 08:38:51:770,41738,1.2,40682,1.2,85215,1.4,112546,2.25 122,1,2024-09-07 08:38:50:869,285693,285693,0,0,133471255898,1404563998,281556,3430,707,366,392130,0 122,2,2024-09-07 08:38:51:319,209643,209643,0,0,11335997,0,3364 122,3,2024-09-07 08:38:50:600,1,163,1,0,411,3813,163,0 123,0,2024-09-07 08:38:50:955,38106,0.8,37136,0.8,77774,0.9,101474,2.00 123,1,2024-09-07 08:38:50:559,286670,286670,0,0,133894304360,1411010176,281594,4382,694,369,391823,0 123,2,2024-09-07 08:38:51:019,202041,202040,1,0,9823753,0,5215 123,3,2024-09-07 08:38:51:132,1,163,1,0,168,2002,163,0 124,0,2024-09-07 08:38:50:948,38392,0.3,38325,0.5,72378,0.2,99350,1.50 124,1,2024-09-07 08:38:51:050,286988,286988,0,0,134017728751,1393597185,284900,1732,356,367,392178,0 124,2,2024-09-07 08:38:51:015,204725,204725,0,0,8273093,0,3101 124,3,2024-09-07 08:38:50:768,1,163,9,0,490,2276,163,0 125,0,2024-09-07 08:38:51:460,43119,0.4,43113,0.5,86265,0.4,115021,1.75 125,1,2024-09-07 08:38:50:858,287203,287203,0,0,134247302842,1402956149,284835,2092,276,384,391702,0 125,2,2024-09-07 08:38:51:116,207134,207134,0,0,8301339,0,2180 125,3,2024-09-07 08:38:51:133,1,163,1,0,284,2117,163,0 126,0,2024-09-07 08:38:51:430,43545,0.8,44722,0.8,85491,0.8,115868,2.00 126,1,2024-09-07 08:38:50:551,287515,287515,0,0,134865811809,1398890633,285881,1556,78,365,391987,0 126,2,2024-09-07 08:38:50:610,210567,210567,0,0,8646029,0,3186 126,3,2024-09-07 08:38:50:907,1,163,4,0,150,2337,163,0 127,0,2024-09-07 08:38:51:622,38025,0.4,38218,0.6,76224,0.4,101241,1.75 127,1,2024-09-07 08:38:50:570,286939,286939,0,0,134173744472,1391370683,284874,2024,41,365,391816,0 127,2,2024-09-07 08:38:50:637,204818,204818,0,0,8055605,0,2264 127,3,2024-09-07 08:38:51:268,1,163,6,0,243,1426,163,0 128,0,2024-09-07 08:38:51:644,38203,0.3,37997,0.4,76098,0.2,100613,1.50 128,1,2024-09-07 08:38:51:643,287324,287324,0,0,134007622017,1388639570,286345,907,72,367,391680,0 128,2,2024-09-07 08:38:51:388,204300,204300,0,0,8086604,0,2107 128,3,2024-09-07 08:38:50:767,1,163,1,0,333,2373,163,0 129,0,2024-09-07 08:38:51:019,41757,0.3,41582,0.4,83044,0.2,110806,1.50 129,1,2024-09-07 08:38:50:580,285753,285753,0,0,132997575088,1394669242,282825,2535,393,379,391835,0 129,2,2024-09-07 08:38:50:689,206030,206030,0,0,8486430,0,4031 129,3,2024-09-07 08:38:50:688,1,163,1,0,173,1934,163,0 130,0,2024-09-07 08:38:51:741,45572,0.8,45339,0.8,91064,0.9,121303,2.00 130,1,2024-09-07 08:38:50:585,287059,287059,0,0,133851108771,1395980448,284949,2038,72,381,391825,0 130,2,2024-09-07 08:38:51:128,208843,208843,0,0,8345639,0,4067 130,3,2024-09-07 08:38:51:293,1,163,2,0,450,1951,163,0 131,0,2024-09-07 08:38:51:957,39958,0.6,40130,0.7,81216,0.6,107256,1.75 131,1,2024-09-07 08:38:51:829,288204,288204,0,0,134199422519,1397002862,286734,1264,206,383,391865,0 131,2,2024-09-07 08:38:50:568,207787,207787,0,0,7908831,0,2415 131,3,2024-09-07 08:38:51:698,1,163,1,0,392,1850,163,0 132,0,2024-09-07 08:38:51:421,38772,0.4,39284,0.7,78588,0.4,104686,1.75 132,1,2024-09-07 08:38:50:586,285772,285772,0,0,133739405650,1416139763,280358,4383,1031,381,391760,0 132,2,2024-09-07 08:38:50:698,203743,203743,0,0,10895093,0,4606 132,3,2024-09-07 08:38:51:693,1,163,1,0,356,3038,163,0 133,0,2024-09-07 08:38:51:554,38827,0.4,39680,0.6,81456,0.3,105567,1.75 133,1,2024-09-07 08:38:50:585,285314,285314,0,0,133783186543,1413919047,280806,3867,641,383,391914,0 133,2,2024-09-07 08:38:51:096,204310,204310,0,0,10993076,0,4315 133,3,2024-09-07 08:38:51:303,1,163,2,0,187,1454,163,0 134,0,2024-09-07 08:38:50:966,45905,0.6,45881,0.7,92348,0.6,123431,2.00 134,1,2024-09-07 08:38:50:585,286041,286041,0,0,133728749502,1405405129,281657,3161,1223,366,391718,0 134,2,2024-09-07 08:38:51:755,206186,206186,0,0,9317245,0,3096 134,3,2024-09-07 08:38:50:750,1,163,17,0,739,3005,163,0 135,0,2024-09-07 08:38:51:104,39905,1.6,39914,1.3,84690,1.8,109220,2.50 135,1,2024-09-07 08:38:51:589,285743,285743,0,0,133963380648,1411620008,281551,3488,704,380,391805,0 135,2,2024-09-07 08:38:50:688,209550,209550,0,0,10074521,0,3981 135,3,2024-09-07 08:38:51:002,1,163,1,0,89,962,163,0 136,0,2024-09-07 08:38:51:621,38508,0.5,38416,0.7,77233,0.5,102474,2.00 136,1,2024-09-07 08:38:51:445,285954,285954,0,0,133742661590,1403574182,282603,3178,173,383,391641,0 136,2,2024-09-07 08:38:51:136,204515,204515,0,0,9536686,0,3506 136,3,2024-09-07 08:38:51:106,1,163,5,0,108,1340,163,0 137,0,2024-09-07 08:38:50:957,39034,0.4,37903,0.7,74637,0.4,101291,1.75 137,1,2024-09-07 08:38:50:603,286491,286491,0,0,134304571073,1407519335,282353,3718,420,367,391708,0 137,2,2024-09-07 08:38:51:720,203932,203932,0,0,10976702,0,3185 137,3,2024-09-07 08:38:50:769,1,163,20,1,227,1907,163,0 138,0,2024-09-07 08:38:51:753,43370,1.3,43430,1.1,87492,1.8,116918,2.25 138,1,2024-09-07 08:38:51:688,286476,286476,0,0,133881104151,1400833684,283403,2721,352,368,391954,0 138,2,2024-09-07 08:38:50:595,207133,207133,0,0,9038677,0,3263 138,3,2024-09-07 08:38:50:611,1,163,1,0,1160,3171,163,0 139,0,2024-09-07 08:38:51:385,41327,3.0,41536,1.7,83693,4.3,111908,3.25 139,1,2024-09-07 08:38:50:572,285232,285232,0,0,132854072445,1411741400,279954,3954,1324,381,391892,0 139,2,2024-09-07 08:38:50:692,208315,208315,0,0,10289919,0,3097 139,3,2024-09-07 08:38:51:668,1,163,1,0,244,1875,163,0 140,0,2024-09-07 08:38:51:601,38220,0.4,37904,0.6,76364,0.3,100941,1.75 140,1,2024-09-07 08:38:51:540,287790,287790,0,0,134502960789,1388287520,286270,1280,240,365,391606,0 140,2,2024-09-07 08:38:50:688,204290,204290,0,0,8565769,0,3388 140,3,2024-09-07 08:38:50:767,1,163,2,0,247,1461,163,0 141,0,2024-09-07 08:38:51:712,36901,0.2,38067,0.4,72853,0.1,98692,1.50 141,1,2024-09-07 08:38:50:864,287579,287579,0,0,134493952957,1396528342,285640,1586,353,379,391614,0 141,2,2024-09-07 08:38:51:688,204548,204548,0,0,8076888,0,2342 141,3,2024-09-07 08:38:51:043,1,163,2,0,147,1325,163,0 142,0,2024-09-07 08:38:51:323,42809,0.3,42555,0.5,84313,0.2,113478,1.50 142,1,2024-09-07 08:38:50:587,287096,287096,0,0,134081670376,1398001263,285551,1386,159,383,391728,0 142,2,2024-09-07 08:38:51:401,205164,205132,32,0,9517006,0,6028 142,3,2024-09-07 08:38:51:755,1,163,1,0,484,2332,163,0 143,0,2024-09-07 08:38:51:417,44028,0.7,44007,0.7,88614,0.8,117287,2.00 143,1,2024-09-07 08:38:50:577,287291,287291,0,0,134119919388,1392966084,285181,2067,43,367,391619,0 143,2,2024-09-07 08:38:50:778,209420,209420,0,0,8731117,0,2669 143,3,2024-09-07 08:38:51:141,1,163,2,0,303,2615,163,0 144,0,2024-09-07 08:38:51:500,37998,0.9,39169,1.4,79463,0.8,104391,2.25 144,1,2024-09-07 08:38:50:564,286092,286092,0,0,133025538296,1396271743,283693,2196,203,381,391649,0 144,2,2024-09-07 08:38:51:755,205914,205914,0,0,8495747,0,3473 144,3,2024-09-07 08:38:51:741,1,163,1,0,249,2111,163,0 145,0,2024-09-07 08:38:51:379,37475,0.5,37502,0.7,79598,0.4,102923,2.00 145,1,2024-09-07 08:38:50:557,284944,284944,0,0,133649196023,1410609893,280549,3658,737,383,391615,0 145,2,2024-09-07 08:38:51:430,204112,204112,0,0,9531037,0,3903 145,3,2024-09-07 08:38:50:901,1,163,1,0,151,1850,163,0 146,0,2024-09-07 08:38:51:664,40571,0.4,40304,0.6,81288,0.4,108212,2.00 146,1,2024-09-07 08:38:51:593,286843,286843,0,0,133444569884,1401646888,282325,3658,860,368,391629,0 146,2,2024-09-07 08:38:51:731,205597,205597,0,0,9285523,0,2498 146,3,2024-09-07 08:38:51:275,1,163,1,0,1520,5182,163,0 147,0,2024-09-07 08:38:51:708,45869,0.8,45786,0.9,91066,0.8,122399,2.25 147,1,2024-09-07 08:38:51:393,287667,287667,0,0,134417952077,1401088991,284562,2608,497,368,391791,0 147,2,2024-09-07 08:38:51:009,208201,208201,0,0,8532738,0,2789 147,3,2024-09-07 08:38:50:913,1,163,1,0,371,2011,163,0 0,0,2024-09-07 08:39:01:754,38104,0.4,38111,0.6,80826,0.4,105020,1.75 0,1,2024-09-07 08:39:00:817,288339,288339,0,0,135011012878,1412778437,286373,1830,136,372,391772,0 0,2,2024-09-07 08:39:01:072,206130,206130,0,0,8763978,0,4480 0,3,2024-09-07 08:39:00:983,1,164,1,0,247,2070,164,0 1,0,2024-09-07 08:39:01:914,45187,1.3,44888,1.2,90024,1.8,120639,2.25 1,1,2024-09-07 08:39:00:577,288045,288045,0,0,134234857073,1409735518,284607,2571,867,371,391857,0 1,2,2024-09-07 08:39:00:661,206809,206809,0,0,7988939,0,3267 1,3,2024-09-07 08:39:01:327,1,164,2,0,262,1996,164,0 2,0,2024-09-07 08:39:01:569,42107,1.1,42317,1.0,84050,1.6,112580,2.25 2,1,2024-09-07 08:39:00:859,288280,288280,0,0,135252769028,1406583292,286772,1302,206,380,391745,0 2,2,2024-09-07 08:39:01:277,210800,210800,0,0,8810961,0,3594 2,3,2024-09-07 08:39:00:694,1,164,0,0,357,1695,164,0 3,0,2024-09-07 08:39:01:746,37960,0.5,38219,0.7,76388,0.4,101309,2.00 3,1,2024-09-07 08:39:01:619,288109,288109,0,0,133817793758,1398014038,285000,2685,424,380,391516,0 3,2,2024-09-07 08:39:01:142,205230,205207,23,0,8715635,0,5851 3,3,2024-09-07 08:39:01:758,1,164,10,0,103,1023,164,0 4,0,2024-09-07 08:39:01:794,36411,0.3,37449,0.4,76128,0.2,100055,1.50 4,1,2024-09-07 08:39:00:637,288664,288664,0,0,134495495046,1413847556,285679,2462,523,371,391846,0 4,2,2024-09-07 08:39:01:018,204336,204336,0,0,10488079,0,4528 4,3,2024-09-07 08:39:01:034,1,164,9,0,287,2232,164,0 5,0,2024-09-07 08:39:01:405,43443,0.5,43422,0.6,86794,0.5,115830,1.75 5,1,2024-09-07 08:39:00:765,287743,287743,0,0,134599980327,1419454016,283534,3221,988,368,392005,0 5,2,2024-09-07 08:39:01:834,206949,206949,0,0,9071819,0,2259 5,3,2024-09-07 08:39:01:732,1,164,5,0,238,2421,164,0 6,0,2024-09-07 08:39:00:920,43904,1.0,43526,1.0,86448,1.3,115956,2.25 6,1,2024-09-07 08:39:00:752,288674,288674,0,0,134254243292,1407204743,285274,2752,648,381,391617,0 6,2,2024-09-07 08:39:01:120,211458,211458,0,0,9292045,0,4816 6,3,2024-09-07 08:39:01:274,1,164,1,0,340,2339,164,0 7,0,2024-09-07 08:39:01:551,37743,0.5,37633,0.7,75562,0.4,100498,2.00 7,1,2024-09-07 08:39:00:850,288440,288440,0,0,135275985183,1416679417,285621,2687,132,382,391664,0 7,2,2024-09-07 08:39:00:770,205291,205291,0,0,8460783,0,2981 7,3,2024-09-07 08:39:00:851,1,164,1,0,305,1713,164,0 8,0,2024-09-07 08:39:01:390,37899,0.3,37990,0.4,75657,0.2,100472,1.50 8,1,2024-09-07 08:39:01:065,287846,287846,0,0,134413435885,1421052812,282232,4013,1601,367,391956,0 8,2,2024-09-07 08:39:00:795,202934,202934,0,0,10298391,0,2986 8,3,2024-09-07 08:39:00:597,1,164,14,0,357,2638,164,0 9,0,2024-09-07 08:39:01:134,41971,0.3,40642,0.5,85008,0.3,111749,1.75 9,1,2024-09-07 08:39:00:564,287702,287702,0,0,135252947640,1428223463,283148,3424,1130,370,391753,0 9,2,2024-09-07 08:39:01:100,206991,206991,0,0,9552907,0,3360 9,3,2024-09-07 08:39:01:757,1,164,16,0,496,2699,164,0 10,0,2024-09-07 08:39:01:600,45672,0.4,45330,0.6,91075,0.3,120849,1.75 10,1,2024-09-07 08:39:00:594,288086,288086,0,0,134409389946,1412210492,283615,3830,641,381,391741,0 10,2,2024-09-07 08:39:00:777,209894,209894,0,0,9742215,0,2940 10,3,2024-09-07 08:39:00:881,1,164,0,0,177,1241,164,0 11,0,2024-09-07 08:39:01:009,39562,0.7,38525,0.9,80735,0.8,107530,2.25 11,1,2024-09-07 08:39:00:572,288452,288452,0,0,134229697510,1413625453,283136,4046,1270,384,391537,0 11,2,2024-09-07 08:39:01:126,207661,207661,0,0,9437179,0,3411 11,3,2024-09-07 08:39:01:301,1,164,22,0,720,2863,164,0 12,0,2024-09-07 08:39:01:006,39810,0.3,39494,0.5,79248,0.2,105522,1.50 12,1,2024-09-07 08:39:00:949,288028,288028,0,0,134393792000,1405356343,285134,2480,414,370,391837,0 12,2,2024-09-07 08:39:01:559,206719,206719,0,0,9582680,0,3469 12,3,2024-09-07 08:39:01:082,1,164,0,0,358,2713,164,0 13,0,2024-09-07 08:39:01:544,40257,0.3,40102,0.5,80269,0.3,106946,1.75 13,1,2024-09-07 08:39:01:545,288159,288159,0,0,134521026998,1412375830,285736,2014,409,382,391717,0 13,2,2024-09-07 08:39:00:623,207463,207463,0,0,8755425,0,3287 13,3,2024-09-07 08:39:01:787,1,164,6,0,467,3308,164,0 14,0,2024-09-07 08:39:00:563,46799,0.4,47054,0.6,93263,0.4,124862,1.75 14,1,2024-09-07 08:39:01:566,289963,289963,0,0,135156856472,1402829288,287696,2104,163,364,391571,0 14,2,2024-09-07 08:39:00:767,207601,207601,0,0,8904488,0,2896 14,3,2024-09-07 08:39:01:118,1,164,1,0,1168,2916,164,0 15,0,2024-09-07 08:39:01:552,41313,1.0,41318,1.1,82947,1.1,110514,2.50 15,1,2024-09-07 08:39:01:608,288027,288027,0,0,134551798960,1401156582,286111,1807,109,381,391619,0 15,2,2024-09-07 08:39:00:997,211075,211075,0,0,7534221,0,3043 15,3,2024-09-07 08:39:01:408,1,164,2,0,1126,4312,164,0 16,0,2024-09-07 08:39:00:959,38574,0.5,38835,0.7,76989,0.5,102823,2.00 16,1,2024-09-07 08:39:00:580,288899,288899,0,0,134787062796,1409725514,286673,1964,262,370,391756,0 16,2,2024-09-07 08:39:01:439,204624,204624,0,0,9781850,0,4719 16,3,2024-09-07 08:39:01:146,1,164,5,0,231,2267,164,0 17,0,2024-09-07 08:39:01:811,39349,0.4,38365,0.6,75298,0.4,101993,1.75 17,1,2024-09-07 08:39:00:580,287803,287803,0,0,133980529903,1409335773,284444,2687,672,368,391688,0 17,2,2024-09-07 08:39:01:678,207936,207936,0,0,8736901,0,2857 17,3,2024-09-07 08:39:00:577,1,164,1,0,268,2485,164,0 18,0,2024-09-07 08:39:00:948,43601,0.7,43802,0.8,87592,0.8,117308,2.25 18,1,2024-09-07 08:39:01:644,288572,288572,0,0,135098353320,1402922612,286562,1776,234,367,391564,0 18,2,2024-09-07 08:39:01:758,207437,207437,0,0,8721468,0,3541 18,3,2024-09-07 08:39:00:914,1,164,1,0,163,1737,164,0 19,0,2024-09-07 08:39:01:539,42093,1.1,42558,1.0,83881,1.4,111649,2.75 19,1,2024-09-07 08:39:00:566,288475,288475,0,0,135017587965,1408601942,284661,3098,716,367,391777,0 19,2,2024-09-07 08:39:01:766,212198,212198,0,0,7993690,0,3988 19,3,2024-09-07 08:39:01:130,1,164,1,0,524,1501,164,0 20,0,2024-09-07 08:39:01:396,37899,0.6,37849,0.8,75970,0.6,100862,2.25 20,1,2024-09-07 08:39:00:596,287547,287547,0,0,134353176900,1410845417,284079,3077,391,369,391822,0 20,2,2024-09-07 08:39:00:939,205017,205017,0,0,9100298,0,3721 20,3,2024-09-07 08:39:00:596,1,164,7,0,414,2918,164,0 21,0,2024-09-07 08:39:01:220,37464,0.3,37513,0.5,74652,0.3,98745,1.75 21,1,2024-09-07 08:39:01:549,287866,287866,0,0,133887073890,1411184611,284196,3136,534,368,391962,0 21,2,2024-09-07 08:39:01:084,205320,205320,0,0,9979439,0,3747 21,3,2024-09-07 08:39:01:409,1,164,1,0,103,2003,164,0 22,0,2024-09-07 08:39:01:745,42613,0.6,42696,0.8,84824,0.5,113943,2.00 22,1,2024-09-07 08:39:01:036,287451,287451,0,0,134120090020,1417105654,281907,4035,1509,382,391667,0 22,2,2024-09-07 08:39:00:759,207172,207172,0,0,8541956,0,3134 22,3,2024-09-07 08:39:01:066,1,164,1,0,228,1826,164,0 23,0,2024-09-07 08:39:01:374,43817,0.9,43846,0.9,87986,1.2,117165,2.50 23,1,2024-09-07 08:39:01:008,288306,288306,0,0,135142056588,1414972358,284210,2614,1482,365,391549,0 23,2,2024-09-07 08:39:01:096,210498,210498,0,0,8846673,0,3010 23,3,2024-09-07 08:39:01:755,1,164,0,0,645,1812,164,0 24,0,2024-09-07 08:39:00:839,39992,0.5,39992,0.6,79963,0.5,105809,1.75 24,1,2024-09-07 08:39:00:615,288521,288521,0,0,133917447109,1402885568,285559,2163,799,369,391640,0 24,2,2024-09-07 08:39:01:090,205471,205471,0,0,10109074,0,2942 24,3,2024-09-07 08:39:01:688,1,164,9,0,234,1870,164,0 25,0,2024-09-07 08:39:01:390,40022,0.4,39139,0.6,76615,0.3,104169,1.75 25,1,2024-09-07 08:39:00:591,288053,288053,0,0,134171243177,1410005886,284361,3149,543,371,391788,0 25,2,2024-09-07 08:39:01:612,204709,204709,0,0,10413060,0,3978 25,3,2024-09-07 08:39:01:005,1,164,3,0,255,2086,164,0 26,0,2024-09-07 08:39:01:726,40779,0.3,39859,0.5,83618,0.3,109665,1.75 26,1,2024-09-07 08:39:01:547,288469,288469,0,0,134287320751,1411381454,283804,3764,901,381,391748,0 26,2,2024-09-07 08:39:00:861,207565,207565,0,0,9557033,0,2809 26,3,2024-09-07 08:39:01:715,1,164,1,0,796,2244,164,0 27,0,2024-09-07 08:39:01:724,46355,0.6,46288,0.7,91838,0.6,122437,2.00 27,1,2024-09-07 08:39:01:680,289802,289802,0,0,135196736926,1405145330,287982,1497,323,381,391558,0 27,2,2024-09-07 08:39:00:872,207472,207472,0,0,10062328,0,3409 27,3,2024-09-07 08:39:01:017,1,164,0,0,564,1970,164,0 28,0,2024-09-07 08:39:01:400,40735,0.8,40813,0.8,82178,1.1,109623,2.50 28,1,2024-09-07 08:39:00:798,289048,289048,0,0,135574862035,1413806340,286881,1830,337,383,391646,0 28,2,2024-09-07 08:39:01:777,209594,209594,0,0,7958818,0,2915 28,3,2024-09-07 08:39:01:794,1,164,30,0,502,2049,164,0 29,0,2024-09-07 08:39:01:378,39838,0.3,39016,0.5,76017,0.3,104137,1.75 29,1,2024-09-07 08:39:01:560,289855,289855,0,0,134310012439,1393187680,287423,1889,543,369,391621,0 29,2,2024-09-07 08:39:00:862,205317,205317,0,0,7716456,0,4018 29,3,2024-09-07 08:39:00:974,1,164,2,0,105,1240,164,0 30,0,2024-09-07 08:39:01:456,39160,0.5,38188,0.7,79668,0.4,105246,2.00 30,1,2024-09-07 08:39:00:575,289336,289336,0,0,135061831165,1403693829,287340,1718,278,382,391672,0 30,2,2024-09-07 08:39:01:273,207039,207039,0,0,7751815,0,3161 30,3,2024-09-07 08:39:00:586,1,164,0,0,195,1214,164,0 31,0,2024-09-07 08:39:01:774,44665,0.5,45008,0.7,90606,0.5,120501,2.00 31,1,2024-09-07 08:39:00:567,289958,289958,0,0,135366511299,1387769838,289156,690,112,356,391553,0 31,2,2024-09-07 08:39:01:274,207291,207291,0,0,9479598,0,3525 31,3,2024-09-07 08:39:01:715,1,164,1,0,220,1347,164,0 32,0,2024-09-07 08:39:01:422,42124,0.5,42532,0.7,85133,0.5,113306,1.75 32,1,2024-09-07 08:39:00:805,289206,289206,0,0,135059306527,1403322409,287462,1469,275,382,391595,0 32,2,2024-09-07 08:39:00:942,211139,211139,0,0,7809642,0,3155 32,3,2024-09-07 08:39:01:015,1,164,1,0,227,1477,164,0 33,0,2024-09-07 08:39:01:514,38714,0.3,38055,0.5,76858,0.3,102267,1.75 33,1,2024-09-07 08:39:00:595,289934,289934,0,0,135765142771,1406495360,287675,2087,172,369,391730,0 33,2,2024-09-07 08:39:00:760,205105,205072,33,0,9573408,0,7012 33,3,2024-09-07 08:39:00:915,1,164,1,0,329,1871,164,0 34,0,2024-09-07 08:39:00:934,37609,0.3,38727,0.4,74344,0.2,100157,1.50 34,1,2024-09-07 08:39:01:048,289722,289722,0,0,135807015801,1399154596,288724,992,6,367,391562,0 34,2,2024-09-07 08:39:00:771,204841,204841,0,0,8534384,0,3577 34,3,2024-09-07 08:39:01:694,1,164,2,0,148,1053,164,0 35,0,2024-09-07 08:39:00:862,43065,0.4,43371,0.5,86919,0.3,116267,1.75 35,1,2024-09-07 08:39:01:070,288763,288763,0,0,134318203093,1396752443,285963,2030,770,384,391589,0 35,2,2024-09-07 08:39:01:588,208044,208044,0,0,7929605,0,2653 35,3,2024-09-07 08:39:00:913,1,164,1,0,418,1979,164,0 36,0,2024-09-07 08:39:01:524,43548,1.0,43387,1.0,87342,1.2,115565,2.50 36,1,2024-09-07 08:39:00:594,288680,288680,0,0,134952712804,1415293236,284057,3334,1289,366,391759,0 36,2,2024-09-07 08:39:01:751,210579,210579,0,0,9431718,0,3303 36,3,2024-09-07 08:39:00:865,1,164,1,0,378,2220,164,0 37,0,2024-09-07 08:39:01:400,37795,0.5,37792,0.7,75506,0.4,101428,2.00 37,1,2024-09-07 08:39:00:597,287826,287819,0,7,133968862862,1410254966,283046,2754,2019,365,391560,0 37,2,2024-09-07 08:39:01:142,205420,205405,15,0,9476658,0,5815 37,3,2024-09-07 08:39:01:766,1,164,10,0,724,2489,164,0 38,0,2024-09-07 08:39:01:437,37708,0.4,36684,0.6,76473,0.3,100065,2.00 38,1,2024-09-07 08:39:01:605,288840,288840,0,0,134374590202,1406384819,284801,3407,632,368,391821,0 38,2,2024-09-07 08:39:00:761,205753,205706,47,0,11060466,0,6710 38,3,2024-09-07 08:39:01:014,1,164,1,0,603,2194,164,0 39,0,2024-09-07 08:39:01:763,42949,0.6,42088,0.7,81996,0.5,112278,2.00 39,1,2024-09-07 08:39:00:717,288645,288645,0,0,134121095143,1400851771,284857,2987,801,365,391524,0 39,2,2024-09-07 08:39:01:423,207245,207245,0,0,8211583,0,2689 39,3,2024-09-07 08:39:00:717,1,164,1,0,276,2091,164,0 40,0,2024-09-07 08:39:01:496,44840,0.9,45530,1.2,90602,1.0,120338,3.00 40,1,2024-09-07 08:39:00:587,288868,288868,0,0,133990894325,1405137731,284453,3646,769,368,391591,0 40,2,2024-09-07 08:39:01:305,208884,208883,1,0,10691886,0,5137 40,3,2024-09-07 08:39:01:142,1,164,2,0,181,1659,164,0 41,0,2024-09-07 08:39:01:039,39593,2.0,40347,1.6,77396,3.2,106139,4.25 41,1,2024-09-07 08:39:00:767,288029,288029,0,0,134634991234,1410563352,283835,3661,533,370,391742,0 41,2,2024-09-07 08:39:00:762,206522,206522,0,0,10295107,0,3356 41,3,2024-09-07 08:39:01:681,1,164,1,0,366,1979,164,0 42,0,2024-09-07 08:39:01:476,38821,0.5,39227,0.8,78227,0.4,103737,2.25 42,1,2024-09-07 08:39:01:439,287490,287490,0,0,134747374883,1418487028,282775,3757,958,380,391675,0 42,2,2024-09-07 08:39:01:136,204867,204867,0,0,9967351,0,3568 42,3,2024-09-07 08:39:01:013,1,164,2,0,446,1438,164,0 43,0,2024-09-07 08:39:00:917,39930,0.6,39025,0.8,81461,0.6,106974,2.00 43,1,2024-09-07 08:39:00:575,288489,288489,0,0,134913700845,1407993594,285151,2545,793,366,391604,0 43,2,2024-09-07 08:39:01:738,207214,207214,0,0,9308158,0,3812 43,3,2024-09-07 08:39:01:749,1,164,1,0,325,2144,164,0 44,0,2024-09-07 08:39:00:896,46604,0.5,46917,0.7,93441,0.5,125524,1.75 44,1,2024-09-07 08:39:00:568,289200,289200,0,0,135114267254,1397045583,287142,1633,425,356,391809,0 44,2,2024-09-07 08:39:01:287,207066,207066,0,0,7621516,0,1877 44,3,2024-09-07 08:39:01:095,1,164,0,0,817,2110,164,0 45,0,2024-09-07 08:39:01:779,40773,1.3,40092,1.1,84016,1.5,111181,2.25 45,1,2024-09-07 08:39:01:025,288929,288929,0,0,135888209039,1408843977,287888,1031,10,382,391917,0 45,2,2024-09-07 08:39:01:272,210953,210953,0,0,8376100,0,3596 45,3,2024-09-07 08:39:00:943,1,164,15,0,226,1704,164,0 46,0,2024-09-07 08:39:00:951,38465,0.3,38316,0.6,76965,0.3,101877,1.75 46,1,2024-09-07 08:39:00:575,289629,289629,0,0,135152428978,1398266004,287820,1586,223,366,391524,0 46,2,2024-09-07 08:39:00:596,206055,206055,0,0,8255600,0,2920 46,3,2024-09-07 08:39:01:137,1,164,2,0,908,2917,164,0 47,0,2024-09-07 08:39:01:107,38185,0.3,38441,0.5,77074,0.2,101663,1.75 47,1,2024-09-07 08:39:00:578,289833,289833,0,0,135130576409,1395521345,288429,1387,17,366,391605,0 47,2,2024-09-07 08:39:00:934,207124,207124,0,0,8339734,0,2558 47,3,2024-09-07 08:39:01:123,1,164,1,0,529,1838,164,0 48,0,2024-09-07 08:39:01:496,44521,0.3,44120,0.4,88364,0.2,117987,1.75 48,1,2024-09-07 08:39:01:038,289751,289751,0,0,135238318771,1406006711,287690,1880,181,384,391710,0 48,2,2024-09-07 08:39:00:702,207889,207889,0,0,7118570,0,2083 48,3,2024-09-07 08:39:00:758,1,164,1,0,339,1446,164,0 49,0,2024-09-07 08:39:01:760,43696,0.6,42847,0.7,83181,0.6,113586,1.75 49,1,2024-09-07 08:39:01:028,288224,288224,0,0,134846844160,1406731715,285486,1898,840,382,391583,0 49,2,2024-09-07 08:39:01:811,212155,212155,0,0,8269038,0,3900 49,3,2024-09-07 08:39:01:421,1,164,1,0,408,2386,164,0 50,0,2024-09-07 08:39:01:519,38023,0.3,37728,0.5,75535,0.2,100844,1.75 50,1,2024-09-07 08:39:01:014,290551,290551,0,0,135981480796,1410329721,288024,2218,309,368,391530,0 50,2,2024-09-07 08:39:01:067,205397,205397,0,0,7781926,0,2253 50,3,2024-09-07 08:39:01:291,1,164,1,0,335,1345,164,0 51,0,2024-09-07 08:39:01:707,37971,0.3,37502,0.4,72979,0.2,99085,1.50 51,1,2024-09-07 08:39:01:688,288876,288876,0,0,135708755658,1403729068,286907,1183,786,365,391637,0 51,2,2024-09-07 08:39:01:330,205621,205621,0,0,7339053,0,3337 51,3,2024-09-07 08:39:01:027,1,164,1,0,162,914,164,0 52,0,2024-09-07 08:39:01:420,42969,0.5,42828,0.7,85775,0.5,114300,2.00 52,1,2024-09-07 08:39:00:577,287996,287996,0,0,133978243934,1413328376,282082,4755,1159,368,391722,0 52,2,2024-09-07 08:39:01:756,206175,206137,38,0,10050076,0,6742 52,3,2024-09-07 08:39:00:681,1,164,1,0,1782,3531,164,0 53,0,2024-09-07 08:39:01:757,43828,1.0,42527,1.0,88918,1.2,116468,2.75 53,1,2024-09-07 08:39:00:771,287857,287857,0,0,134529586231,1420090932,282228,3735,1894,367,391702,0 53,2,2024-09-07 08:39:01:305,211177,211177,0,0,8427694,0,2262 53,3,2024-09-07 08:39:00:701,1,164,1,0,271,1636,164,0 54,0,2024-09-07 08:39:01:620,38322,2.0,38877,1.2,76995,1.0,102997,4.00 54,1,2024-09-07 08:39:00:595,288312,288312,0,0,135103991131,1411155932,284342,3399,571,367,391532,0 54,2,2024-09-07 08:39:00:870,206267,206261,6,0,9942964,0,5382 54,3,2024-09-07 08:39:00:763,1,164,1,0,676,3189,164,0 55,0,2024-09-07 08:39:01:772,37623,0.4,38850,0.7,78638,0.3,102008,2.25 55,1,2024-09-07 08:39:00:764,288093,288093,0,0,134563900139,1409563750,282903,4403,787,365,391731,0 55,2,2024-09-07 08:39:00:736,204866,204866,0,0,9492474,0,3275 55,3,2024-09-07 08:39:00:677,1,164,1,0,304,1710,164,0 56,0,2024-09-07 08:39:01:620,42090,1.1,39702,1.0,81709,1.5,110028,2.25 56,1,2024-09-07 08:39:00:605,287768,287768,0,0,134619723383,1425898760,282606,4258,904,381,391678,0 56,2,2024-09-07 08:39:01:312,206921,206921,0,0,10467831,0,3567 56,3,2024-09-07 08:39:01:084,1,164,62,0,405,2297,164,0 57,0,2024-09-07 08:39:00:964,45250,2.4,45275,1.5,90710,3.3,121318,3.75 57,1,2024-09-07 08:39:01:021,288507,288507,0,0,134497795953,1408749992,285672,2665,170,368,391960,0 57,2,2024-09-07 08:39:01:328,209017,209017,0,0,10549638,0,3178 57,3,2024-09-07 08:39:01:752,1,164,2,0,359,2743,164,0 58,0,2024-09-07 08:39:00:560,39677,1.2,38651,1.2,81026,1.7,106276,2.75 58,1,2024-09-07 08:39:00:731,289082,289079,0,3,135659090711,1417090106,285562,3120,397,367,391516,3 58,2,2024-09-07 08:39:01:079,209168,209168,0,0,9633883,0,2549 58,3,2024-09-07 08:39:01:078,1,164,1,0,1043,2340,164,0 59,0,2024-09-07 08:39:01:821,38969,0.7,38651,0.9,77226,0.8,102917,2.75 59,1,2024-09-07 08:39:00:808,288301,288301,0,0,134793803220,1410860897,284651,2728,922,369,391515,0 59,2,2024-09-07 08:39:00:597,206185,206185,0,0,8499580,0,2604 59,3,2024-09-07 08:39:01:737,1,164,0,0,1015,2893,164,0 60,0,2024-09-07 08:39:01:756,39503,0.4,39538,0.6,78809,0.3,105293,1.75 60,1,2024-09-07 08:39:00:776,289719,289719,0,0,135301478721,1402986721,288260,1101,358,370,391761,0 60,2,2024-09-07 08:39:01:154,206121,206121,0,0,9575280,0,3811 60,3,2024-09-07 08:39:01:276,1,164,1,0,124,1581,164,0 61,0,2024-09-07 08:39:01:503,44980,1.2,45236,1.0,89996,1.9,120444,2.00 61,1,2024-09-07 08:39:00:771,288360,288360,0,0,134710817701,1413132816,284920,2887,553,382,391589,0 61,2,2024-09-07 08:39:01:132,208264,208264,0,0,8475168,0,2079 61,3,2024-09-07 08:39:01:692,1,164,1,0,199,1931,164,0 62,0,2024-09-07 08:39:01:736,42546,1.4,43297,1.1,82882,2.2,113011,2.25 62,1,2024-09-07 08:39:01:117,290582,290576,0,6,135505555498,1398560646,288897,1640,39,365,391715,6 62,2,2024-09-07 08:39:01:652,210051,210050,1,0,10050434,0,5555 62,3,2024-09-07 08:39:01:146,1,164,1,0,287,1175,164,0 63,0,2024-09-07 08:39:01:454,38259,0.5,38217,0.7,76552,0.5,102002,2.00 63,1,2024-09-07 08:39:00:810,289695,289689,0,6,135235474126,1401226994,288447,1216,26,381,391677,6 63,2,2024-09-07 08:39:00:774,204904,204904,0,0,7802385,0,2674 63,3,2024-09-07 08:39:01:732,1,164,0,0,667,2412,164,0 64,0,2024-09-07 08:39:01:559,37461,0.4,37325,0.6,74952,0.3,100041,1.75 64,1,2024-09-07 08:39:00:763,289355,289355,0,0,134768721620,1409472815,285887,2414,1054,370,391783,0 64,2,2024-09-07 08:39:01:153,207080,207061,19,0,8602210,0,6121 64,3,2024-09-07 08:39:01:370,1,164,8,0,265,1847,164,0 65,0,2024-09-07 08:39:01:695,42686,0.7,43217,0.8,86180,0.8,115225,2.25 65,1,2024-09-07 08:39:00:867,288262,288262,0,0,134344069497,1406492599,285755,2283,224,382,391770,0 65,2,2024-09-07 08:39:01:697,207437,207437,0,0,9061438,0,3367 65,3,2024-09-07 08:39:01:688,1,164,0,0,163,1643,164,0 66,0,2024-09-07 08:39:01:821,43008,0.7,43130,0.9,86660,0.8,114780,2.50 66,1,2024-09-07 08:39:01:293,289637,289637,0,0,134891734282,1402874943,287762,1704,171,380,391588,0 66,2,2024-09-07 08:39:01:139,212654,212654,0,0,8455627,0,4956 66,3,2024-09-07 08:39:01:079,1,164,1,0,291,1715,164,0 67,0,2024-09-07 08:39:01:418,38317,0.8,38054,0.9,76498,1.0,101523,2.50 67,1,2024-09-07 08:39:00:768,288464,288463,0,1,134423792454,1406878393,284996,2721,746,381,391787,1 67,2,2024-09-07 08:39:00:598,206261,206261,0,0,8028939,0,2889 67,3,2024-09-07 08:39:01:754,1,164,1,0,138,1292,164,0 68,0,2024-09-07 08:39:00:636,38112,0.4,37949,0.7,75841,0.4,100372,2.00 68,1,2024-09-07 08:39:00:596,287861,287861,0,0,134260649518,1409828599,285005,1837,1019,381,391953,0 68,2,2024-09-07 08:39:01:063,204532,204467,65,0,11391734,0,6698 68,3,2024-09-07 08:39:00:735,1,164,0,0,417,2072,164,0 69,0,2024-09-07 08:39:01:734,41754,0.7,41879,0.8,83312,0.7,111683,2.25 69,1,2024-09-07 08:39:01:044,287317,287317,0,0,134426271359,1421788447,283307,2795,1215,384,391994,0 69,2,2024-09-07 08:39:01:736,207525,207525,0,0,10032783,0,3701 69,3,2024-09-07 08:39:00:761,1,164,1,0,238,2026,164,0 70,0,2024-09-07 08:39:01:547,44727,1.9,45056,1.4,90335,1.3,119763,2.75 70,1,2024-09-07 08:39:00:804,288623,288623,0,0,135037305634,1404017013,286166,1995,462,366,391725,0 70,2,2024-09-07 08:39:01:328,209891,209891,0,0,9204689,0,4044 70,3,2024-09-07 08:39:00:750,1,164,0,0,854,2199,164,0 71,0,2024-09-07 08:39:01:357,39478,2.0,39577,1.6,78798,3.0,106283,3.50 71,1,2024-09-07 08:39:01:603,288695,288695,0,0,134843707010,1412170496,284578,3592,525,368,391682,0 71,2,2024-09-07 08:39:01:071,208511,208511,0,0,9194239,0,2470 71,3,2024-09-07 08:39:01:751,1,164,4,0,644,2574,164,0 72,0,2024-09-07 08:39:01:100,40672,0.4,39750,0.7,77752,0.4,105903,2.25 72,1,2024-09-07 08:39:01:080,288392,288392,0,0,134294029467,1403017983,285126,2780,486,369,391819,0 72,2,2024-09-07 08:39:01:756,204691,204691,0,0,10742120,0,2570 72,3,2024-09-07 08:39:01:754,1,164,14,0,364,3246,164,0 73,0,2024-09-07 08:39:01:138,39130,0.4,40154,0.6,82042,0.3,106550,2.00 73,1,2024-09-07 08:39:00:769,288652,288652,0,0,134927211436,1400866032,286845,1692,115,367,391627,0 73,2,2024-09-07 08:39:01:758,207085,207085,0,0,10034543,0,3482 73,3,2024-09-07 08:39:00:974,1,164,4,0,274,2597,164,0 74,0,2024-09-07 08:39:01:343,46995,0.5,47991,0.7,91394,0.5,124947,2.25 74,1,2024-09-07 08:39:00:652,288398,288398,0,0,134357844029,1403356633,285606,2170,622,382,391681,0 74,2,2024-09-07 08:39:01:004,207589,207589,0,0,10078609,0,4253 74,3,2024-09-07 08:39:01:443,1,164,1,0,522,2768,164,0 75,0,2024-09-07 08:39:01:786,41692,1.3,41371,1.2,82702,1.5,111468,2.75 75,1,2024-09-07 08:39:01:592,288110,288110,0,0,134259381097,1407154522,284715,2935,460,381,391579,0 75,2,2024-09-07 08:39:01:350,209903,209903,0,0,9712641,0,4766 75,3,2024-09-07 08:39:01:074,1,164,1,0,535,1867,164,0 76,0,2024-09-07 08:39:00:621,38329,0.4,38109,0.7,76121,0.3,102161,2.25 76,1,2024-09-07 08:39:00:807,288806,288806,0,0,134674870819,1405700791,286745,1550,511,382,391692,0 76,2,2024-09-07 08:39:01:075,206103,206103,0,0,8232797,0,3064 76,3,2024-09-07 08:39:01:146,1,164,1,0,175,1878,164,0 77,0,2024-09-07 08:39:01:717,38200,0.5,38401,0.6,76958,0.4,101624,1.75 77,1,2024-09-07 08:39:00:828,289549,289549,0,0,134464799366,1402228232,287953,1512,84,383,391808,0 77,2,2024-09-07 08:39:01:313,205669,205669,0,0,8565707,0,3890 77,3,2024-09-07 08:39:01:105,1,164,2,0,305,2023,164,0 78,0,2024-09-07 08:39:01:755,44180,0.5,43906,0.7,88616,0.4,117564,2.00 78,1,2024-09-07 08:39:00:622,289126,289126,0,0,134476397991,1399250152,286796,2077,253,367,391589,0 78,2,2024-09-07 08:39:01:409,208335,208335,0,0,8017985,0,2114 78,3,2024-09-07 08:39:01:136,1,164,1,0,181,1672,164,0 79,0,2024-09-07 08:39:01:374,41141,0.5,42111,0.8,86156,0.5,111949,2.75 79,1,2024-09-07 08:39:00:578,289577,289577,0,0,135018364761,1399423982,287390,2015,172,369,391682,0 79,2,2024-09-07 08:39:01:078,211844,211844,0,0,8243908,0,3212 79,3,2024-09-07 08:39:00:760,1,164,3,0,418,2896,164,0 80,0,2024-09-07 08:39:01:191,37910,0.6,38818,0.8,74535,0.6,100896,2.25 80,1,2024-09-07 08:39:01:630,288068,288068,0,0,134332957731,1399847371,285547,2359,162,368,391673,0 80,2,2024-09-07 08:39:01:118,205880,205880,0,0,8580016,0,4433 80,3,2024-09-07 08:39:00:588,1,164,25,0,190,2651,164,0 81,0,2024-09-07 08:39:01:569,37236,0.4,38380,0.6,73460,0.4,98778,1.75 81,1,2024-09-07 08:39:01:663,288395,288395,0,0,134471362650,1406590306,285914,2209,272,382,391879,0 81,2,2024-09-07 08:39:01:361,205184,205184,0,0,9057443,0,3993 81,3,2024-09-07 08:39:01:146,1,164,14,0,193,1624,164,0 82,0,2024-09-07 08:39:01:543,42611,0.5,42817,0.7,85708,0.4,114467,2.00 82,1,2024-09-07 08:39:00:597,289225,289221,0,4,134306705733,1401347435,286776,1867,578,381,391558,4 82,2,2024-09-07 08:39:01:702,208105,208105,0,0,8315331,0,3986 82,3,2024-09-07 08:39:01:752,1,164,9,0,227,1879,164,0 83,0,2024-09-07 08:39:01:568,44069,0.7,44123,0.8,87590,0.8,116598,2.25 83,1,2024-09-07 08:39:00:552,288706,288706,0,0,134355700008,1404072611,286259,2243,204,382,391690,0 83,2,2024-09-07 08:39:00:764,210603,210603,0,0,8369558,0,3119 83,3,2024-09-07 08:39:00:750,1,164,0,0,241,1896,164,0 84,0,2024-09-07 08:39:01:831,39048,1.3,38882,1.3,78168,1.0,104336,2.75 84,1,2024-09-07 08:39:01:065,287997,287997,0,0,134090024247,1405979829,284598,2943,456,368,391852,0 84,2,2024-09-07 08:39:00:575,206538,206538,0,0,9601934,0,3801 84,3,2024-09-07 08:39:01:155,1,164,1,0,270,1953,164,0 85,0,2024-09-07 08:39:01:011,37611,0.5,37590,0.7,79752,0.4,103129,2.00 85,1,2024-09-07 08:39:00:563,287630,287630,0,0,133981126819,1421508388,282177,4373,1080,382,392006,0 85,2,2024-09-07 08:39:00:873,205745,205745,0,0,10280164,0,3656 85,3,2024-09-07 08:39:00:688,1,164,1,0,789,2501,164,0 86,0,2024-09-07 08:39:00:903,41093,0.5,42201,0.7,80914,0.5,109898,1.75 86,1,2024-09-07 08:39:00:828,288095,288095,0,0,135159208299,1417199788,284135,3415,545,366,391961,0 86,2,2024-09-07 08:39:00:870,205800,205799,1,0,10609667,0,5004 86,3,2024-09-07 08:39:00:598,1,164,8,0,286,2401,164,0 87,0,2024-09-07 08:39:01:325,45991,1.4,45772,1.1,91955,2.1,123171,2.25 87,1,2024-09-07 08:39:00:562,288134,288134,0,0,134389014166,1409133003,284918,2824,392,366,391788,0 87,2,2024-09-07 08:39:01:112,207720,207720,0,0,9006215,0,3515 87,3,2024-09-07 08:39:01:800,1,164,3,0,335,2843,164,0 88,0,2024-09-07 08:39:01:459,41029,0.7,41191,0.7,82052,0.8,109621,1.75 88,1,2024-09-07 08:39:00:577,287391,287391,0,0,134570674007,1413827564,283347,2874,1170,365,391787,0 88,2,2024-09-07 08:39:00:700,209168,209168,0,0,10310944,0,3583 88,3,2024-09-07 08:39:01:269,1,164,91,0,435,2224,164,0 89,0,2024-09-07 08:39:01:836,40023,0.4,38756,0.7,76778,0.4,104321,1.75 89,1,2024-09-07 08:39:00:564,286844,286844,0,0,134359201740,1422414400,281409,4498,937,382,391866,0 89,2,2024-09-07 08:39:01:140,205152,205152,0,0,10127850,0,2910 89,3,2024-09-07 08:39:01:818,1,164,8,0,325,3176,164,0 90,0,2024-09-07 08:39:01:638,38238,0.4,39080,0.5,80255,0.3,104878,1.75 90,1,2024-09-07 08:39:00:632,288813,288813,0,0,134108920104,1409146015,285585,2971,257,382,391825,0 90,2,2024-09-07 08:39:01:411,205429,205429,0,0,11034134,0,3060 90,3,2024-09-07 08:39:00:944,1,164,6,0,200,1916,164,0 91,0,2024-09-07 08:39:00:982,45605,0.5,43960,0.6,91617,0.5,120880,1.75 91,1,2024-09-07 08:39:00:560,287730,287730,0,0,134214315004,1411325742,283978,3255,497,381,392047,0 91,2,2024-09-07 08:39:01:368,206631,206631,0,0,10305038,0,2445 91,3,2024-09-07 08:39:00:598,1,164,7,0,216,1896,164,0 92,0,2024-09-07 08:39:01:451,42673,0.8,43751,0.9,83505,0.9,113380,1.75 92,1,2024-09-07 08:39:00:588,288772,288772,0,0,134814749835,1408922654,286596,1772,404,382,392136,0 92,2,2024-09-07 08:39:01:365,212894,212894,0,0,8602229,0,2801 92,3,2024-09-07 08:39:01:029,1,164,167,0,167,1505,164,0 93,0,2024-09-07 08:39:00:979,38555,0.4,39431,0.6,75449,0.4,102385,1.75 93,1,2024-09-07 08:39:00:810,288341,288341,0,0,134979780109,1410610480,285230,2561,550,366,391692,0 93,2,2024-09-07 08:39:00:935,205480,205480,0,0,8414597,0,2509 93,3,2024-09-07 08:39:01:408,1,164,1,0,143,1711,164,0 94,0,2024-09-07 08:39:01:615,37553,0.3,37894,0.5,75138,0.2,99856,1.50 94,1,2024-09-07 08:39:00:571,288444,288444,0,0,134142810251,1404062561,285999,2350,95,381,391850,0 94,2,2024-09-07 08:39:00:765,205551,205551,0,0,8292908,0,2443 94,3,2024-09-07 08:39:01:713,1,164,34,0,264,2466,164,0 95,0,2024-09-07 08:39:01:378,43610,0.3,43348,0.5,87293,0.3,115974,1.75 95,1,2024-09-07 08:39:00:879,288813,288813,0,0,134524028747,1400481377,286431,2198,184,367,391662,0 95,2,2024-09-07 08:39:01:030,207801,207801,0,0,8601196,0,3308 95,3,2024-09-07 08:39:01:718,1,164,6,0,718,3068,164,0 96,0,2024-09-07 08:39:01:028,43544,0.6,43697,0.7,87637,0.6,115396,1.75 96,1,2024-09-07 08:39:01:591,288151,288151,0,0,134854356572,1411533284,285550,1958,643,385,391894,0 96,2,2024-09-07 08:39:01:287,211188,211188,0,0,9182401,0,4042 96,3,2024-09-07 08:39:01:160,1,164,4,0,188,1866,164,0 97,0,2024-09-07 08:39:01:323,38429,0.4,38301,0.6,76662,0.4,101618,1.75 97,1,2024-09-07 08:39:00:764,288979,288979,0,0,135189086123,1407184482,286175,2254,550,367,392140,0 97,2,2024-09-07 08:39:00:623,205636,205636,0,0,8189621,0,3036 97,3,2024-09-07 08:39:00:580,1,164,1,0,165,1911,164,0 98,0,2024-09-07 08:39:01:751,38019,0.2,37913,0.4,76054,0.2,100520,1.50 98,1,2024-09-07 08:39:00:575,288970,288970,0,0,134296196395,1400894358,287150,1729,91,382,391997,0 98,2,2024-09-07 08:39:00:769,206440,206440,0,0,8610564,0,3080 98,3,2024-09-07 08:39:00:718,1,164,1,0,840,3084,164,0 99,0,2024-09-07 08:39:01:519,41812,0.3,41863,0.4,83675,0.2,111881,1.50 99,1,2024-09-07 08:39:01:724,288935,288935,0,0,134945466590,1410224598,285873,2366,696,381,391744,0 99,2,2024-09-07 08:39:01:425,209232,209232,0,0,8371909,0,2615 99,3,2024-09-07 08:39:00:595,1,164,2,0,129,1212,164,0 100,0,2024-09-07 08:39:01:466,45318,1.2,45175,1.3,90475,2.1,120691,2.50 100,1,2024-09-07 08:39:00:552,286404,286404,0,0,133828602425,1421340171,281150,4323,931,381,391989,0 100,2,2024-09-07 08:39:01:829,208598,208587,11,0,9878316,0,5417 100,3,2024-09-07 08:39:01:744,1,164,1,0,559,3689,164,0 101,0,2024-09-07 08:39:01:817,40761,2.9,39723,1.6,77956,3.4,107550,3.00 101,1,2024-09-07 08:39:00:563,287244,287244,0,0,134132853711,1419795729,281882,3885,1477,368,391769,0 101,2,2024-09-07 08:39:01:762,207293,207293,0,0,10848234,0,4644 101,3,2024-09-07 08:39:00:951,1,164,6,0,448,2049,164,0 102,0,2024-09-07 08:39:00:995,38472,0.5,39843,0.7,80031,0.4,105168,2.00 102,1,2024-09-07 08:39:01:144,288109,288109,0,0,134000190893,1411882160,284024,3373,712,369,391883,0 102,2,2024-09-07 08:39:01:788,206031,205977,54,0,10209969,0,6768 102,3,2024-09-07 08:39:01:637,1,164,0,0,410,1710,164,0 103,0,2024-09-07 08:39:01:627,41265,0.4,41320,0.6,77907,0.3,107292,1.75 103,1,2024-09-07 08:39:01:646,286927,286927,0,0,133814681407,1413185823,282479,3239,1209,381,391829,0 103,2,2024-09-07 08:39:00:595,206393,206393,0,0,9160207,0,2104 103,3,2024-09-07 08:39:00:783,1,164,0,0,916,2929,164,0 104,0,2024-09-07 08:39:01:019,46210,1.2,46447,1.2,91796,1.4,124995,2.25 104,1,2024-09-07 08:39:01:601,288674,288674,0,0,133927412746,1414031561,283665,4044,965,365,391948,0 104,2,2024-09-07 08:39:01:679,206805,206805,0,0,10086513,0,3941 104,3,2024-09-07 08:39:01:428,1,164,2,1,1245,5123,164,0 105,0,2024-09-07 08:39:01:029,41028,1.8,39831,1.4,83135,2.8,110301,3.75 105,1,2024-09-07 08:39:00:554,288842,288842,0,0,134127009075,1409262448,284630,3437,775,367,391797,0 105,2,2024-09-07 08:39:01:329,209610,209610,0,0,9536952,0,3509 105,3,2024-09-07 08:39:01:305,1,164,2,0,399,2624,164,0 106,0,2024-09-07 08:39:01:020,37227,0.6,38267,0.8,77865,0.6,101944,2.25 106,1,2024-09-07 08:39:01:752,288265,288265,0,0,134540137572,1412843468,284476,3405,384,369,391767,0 106,2,2024-09-07 08:39:00:765,204487,204487,0,0,9565557,0,2795 106,3,2024-09-07 08:39:00:684,1,164,1,0,405,2419,164,0 107,0,2024-09-07 08:39:01:134,38193,0.4,38372,0.6,76123,0.3,101869,1.75 107,1,2024-09-07 08:39:00:587,287834,287834,0,0,134665615293,1416255897,284835,2769,230,381,392234,0 107,2,2024-09-07 08:39:01:368,205817,205816,1,0,9668961,0,5024 107,3,2024-09-07 08:39:01:756,1,164,11,0,353,2390,164,0 108,0,2024-09-07 08:39:01:834,44357,0.4,44360,0.6,88268,0.4,118003,1.75 108,1,2024-09-07 08:39:01:316,288155,288155,0,0,135226790415,1412396425,285497,2346,312,368,391857,0 108,2,2024-09-07 08:39:01:756,206120,206120,0,0,9023917,0,2647 108,3,2024-09-07 08:39:01:345,1,164,30,0,667,3299,164,0 109,0,2024-09-07 08:39:01:811,42747,0.5,42473,0.6,84821,0.4,113732,1.75 109,1,2024-09-07 08:39:00:680,287851,287851,0,0,135088398877,1418729104,285071,2284,496,383,392132,0 109,2,2024-09-07 08:39:00:939,210652,210652,0,0,9418475,0,3617 109,3,2024-09-07 08:39:01:177,1,164,21,0,249,2312,164,0 110,0,2024-09-07 08:39:01:765,38198,0.4,37011,0.6,77368,0.3,101179,1.75 110,1,2024-09-07 08:39:01:645,289033,289033,0,0,135329327390,1408872758,286419,1849,765,370,391667,0 110,2,2024-09-07 08:39:01:321,205623,205623,0,0,8242209,0,2915 110,3,2024-09-07 08:39:00:692,1,164,1,0,406,2315,164,0 111,0,2024-09-07 08:39:01:435,37464,0.2,36977,0.4,73976,0.1,99067,1.50 111,1,2024-09-07 08:39:01:005,289483,289483,0,0,135973759872,1411792427,287705,1445,333,382,391690,0 111,2,2024-09-07 08:39:01:116,205221,205221,0,0,9053357,0,2763 111,3,2024-09-07 08:39:00:915,1,164,14,0,379,2564,164,0 112,0,2024-09-07 08:39:00:940,42838,0.3,42780,0.4,85555,0.2,114566,1.50 112,1,2024-09-07 08:39:00:829,289778,289778,0,0,135014777526,1404491187,287451,1901,426,381,391580,0 112,2,2024-09-07 08:39:01:138,207572,207571,1,0,9040606,0,5036 112,3,2024-09-07 08:39:00:596,1,164,0,0,282,1896,164,0 113,0,2024-09-07 08:39:00:896,43927,0.4,43854,0.6,88360,0.4,117787,1.75 113,1,2024-09-07 08:39:01:686,289360,289360,0,0,135312347850,1401802496,286944,1928,488,366,391661,0 113,2,2024-09-07 08:39:01:306,211856,211856,0,0,7962707,0,3813 113,3,2024-09-07 08:39:00:685,1,164,9,0,340,2738,164,0 114,0,2024-09-07 08:39:00:874,39899,0.6,40519,0.7,79470,0.5,106176,2.00 114,1,2024-09-07 08:39:00:718,288632,288632,0,0,134457605702,1408479596,284502,2667,1463,381,391534,0 114,2,2024-09-07 08:39:00:873,206623,206623,0,0,8195543,0,3925 114,3,2024-09-07 08:39:01:278,1,164,1,0,395,1990,164,0 115,0,2024-09-07 08:39:00:558,38842,0.2,39217,0.4,78458,0.2,103899,1.50 115,1,2024-09-07 08:39:00:577,289074,289074,0,0,134864646640,1407351185,285430,2823,821,382,391602,0 115,2,2024-09-07 08:39:01:141,206754,206754,0,0,7696769,0,2152 115,3,2024-09-07 08:39:01:012,1,164,0,0,159,1070,164,0 116,0,2024-09-07 08:39:01:845,41265,0.6,40727,0.7,81839,0.5,109704,2.00 116,1,2024-09-07 08:39:00:817,286498,286498,0,0,133961215502,1424895653,281586,3155,1757,382,391677,0 116,2,2024-09-07 08:39:01:758,206187,206187,0,0,10898163,0,3529 116,3,2024-09-07 08:39:00:935,1,164,1,0,252,2438,164,0 117,0,2024-09-07 08:39:00:986,45807,1.3,45954,1.1,91895,1.8,123002,2.00 117,1,2024-09-07 08:39:01:594,288303,288303,0,0,133796508013,1404802673,284625,3249,429,370,392033,0 117,2,2024-09-07 08:39:01:147,209336,209336,0,0,8783704,0,3700 117,3,2024-09-07 08:39:01:069,1,164,1,0,490,3364,164,0 118,0,2024-09-07 08:39:01:782,39888,0.9,41074,0.9,83391,1.1,108916,2.25 118,1,2024-09-07 08:39:00:592,287848,287848,0,0,133900169587,1414306358,282996,3530,1322,366,391736,0 118,2,2024-09-07 08:39:01:593,208728,208728,0,0,9844462,0,2781 118,3,2024-09-07 08:39:01:766,1,164,8,0,235,2178,164,0 119,0,2024-09-07 08:39:01:388,38526,0.7,38664,0.8,78343,0.6,103434,2.00 119,1,2024-09-07 08:39:00:549,288704,288704,0,0,135156763332,1411477988,286221,2195,288,369,391641,0 119,2,2024-09-07 08:39:01:277,205065,205065,0,0,9108778,0,3526 119,3,2024-09-07 08:39:01:330,1,164,5,0,443,2934,164,0 120,0,2024-09-07 08:39:01:550,39180,0.4,39232,0.7,78337,0.4,105121,2.00 120,1,2024-09-07 08:39:00:864,288751,288751,0,0,134383359989,1412058356,285455,3034,262,368,391961,0 120,2,2024-09-07 08:39:00:770,205496,205495,1,0,11375317,0,5281 120,3,2024-09-07 08:39:01:293,1,164,1,0,241,2293,164,0 121,0,2024-09-07 08:39:01:732,44760,1.5,45191,1.1,90004,2.2,120345,2.25 121,1,2024-09-07 08:39:01:665,288360,288360,0,0,134884198626,1412681088,285587,2484,289,367,391840,0 121,2,2024-09-07 08:39:01:131,206537,206537,0,0,10312941,0,4127 121,3,2024-09-07 08:39:00:736,1,164,2,0,269,2270,164,0 122,0,2024-09-07 08:39:01:830,42085,1.2,40998,1.2,85903,1.4,113463,2.25 122,1,2024-09-07 08:39:00:859,287481,287481,0,0,134337347072,1413412247,283343,3431,707,366,392130,0 122,2,2024-09-07 08:39:01:320,210965,210965,0,0,11367181,0,3364 122,3,2024-09-07 08:39:00:600,1,164,1,0,411,3814,164,0 123,0,2024-09-07 08:39:00:964,38290,0.8,37321,0.8,78114,0.9,101985,2.00 123,1,2024-09-07 08:39:00:564,288397,288397,0,0,134529037501,1417544654,283321,4382,694,369,391823,0 123,2,2024-09-07 08:39:01:020,203413,203412,1,0,9865058,0,5215 123,3,2024-09-07 08:39:01:363,1,164,4,0,168,2006,164,0 124,0,2024-09-07 08:39:00:990,38731,0.3,38635,0.5,73047,0.2,100255,1.50 124,1,2024-09-07 08:39:01:031,288859,288859,0,0,134822470144,1401877012,286771,1732,356,367,392178,0 124,2,2024-09-07 08:39:01:014,205998,205998,0,0,8294553,0,3101 124,3,2024-09-07 08:39:00:764,1,164,7,0,490,2283,164,0 125,0,2024-09-07 08:39:01:478,43555,0.4,43549,0.5,87121,0.4,116195,1.75 125,1,2024-09-07 08:39:00:858,288926,288926,0,0,134877722961,1409529295,286558,2092,276,384,391702,0 125,2,2024-09-07 08:39:01:131,208464,208464,0,0,8334624,0,2180 125,3,2024-09-07 08:39:01:367,1,164,12,0,284,2129,164,0 126,0,2024-09-07 08:39:01:426,43661,0.8,44840,0.8,85738,0.8,116212,2.00 126,1,2024-09-07 08:39:00:552,289266,289266,0,0,135608381539,1406464201,287632,1556,78,365,391987,0 126,2,2024-09-07 08:39:00:612,211889,211889,0,0,8682953,0,3186 126,3,2024-09-07 08:39:00:938,1,164,1,0,150,2338,164,0 127,0,2024-09-07 08:39:01:599,38141,0.4,38341,0.6,76486,0.4,101576,1.75 127,1,2024-09-07 08:39:00:575,288674,288674,0,0,134954716364,1399353588,286608,2025,41,365,391816,0 127,2,2024-09-07 08:39:00:665,205725,205725,0,0,8071277,0,2264 127,3,2024-09-07 08:39:01:267,1,164,35,0,243,1461,164,0 128,0,2024-09-07 08:39:01:573,38211,0.3,38002,0.4,76106,0.2,100631,1.50 128,1,2024-09-07 08:39:01:622,289194,289194,0,0,134761937808,1396346009,288215,907,72,367,391680,0 128,2,2024-09-07 08:39:01:385,205658,205658,0,0,8098883,0,2107 128,3,2024-09-07 08:39:00:774,1,164,3,0,333,2376,164,0 129,0,2024-09-07 08:39:01:008,42112,0.3,41924,0.4,83748,0.2,111740,1.50 129,1,2024-09-07 08:39:00:573,287566,287566,0,0,133835529956,1403235217,284638,2535,393,379,391835,0 129,2,2024-09-07 08:39:00:689,207458,207458,0,0,8502154,0,4031 129,3,2024-09-07 08:39:00:695,1,164,1,0,173,1935,164,0 130,0,2024-09-07 08:39:01:741,45665,0.8,45432,0.8,91275,0.9,121542,2.00 130,1,2024-09-07 08:39:00:585,288852,288852,0,0,134679700094,1404418293,286742,2038,72,381,391825,0 130,2,2024-09-07 08:39:01:130,210332,210332,0,0,8362401,0,4067 130,3,2024-09-07 08:39:01:291,1,164,1,0,450,1952,164,0 131,0,2024-09-07 08:39:01:958,40187,0.6,40371,0.7,81636,0.6,107802,1.75 131,1,2024-09-07 08:39:01:837,289913,289913,0,0,134806066992,1403225290,288443,1264,206,383,391865,0 131,2,2024-09-07 08:39:00:588,208460,208460,0,0,7914645,0,2415 131,3,2024-09-07 08:39:01:696,1,164,3,0,392,1853,164,0 132,0,2024-09-07 08:39:01:433,39088,0.4,39614,0.7,79256,0.4,105576,1.75 132,1,2024-09-07 08:39:00:583,287551,287551,0,0,134692900565,1425849635,282135,4385,1031,381,391760,0 132,2,2024-09-07 08:39:00:698,205216,205216,0,0,10909038,0,4606 132,3,2024-09-07 08:39:01:692,1,164,1,0,356,3039,164,0 133,0,2024-09-07 08:39:01:637,39096,0.4,39956,0.6,81998,0.3,106298,1.75 133,1,2024-09-07 08:39:00:599,287121,287121,0,0,134533562226,1421635515,282612,3868,641,383,391914,0 133,2,2024-09-07 08:39:01:098,205795,205795,0,0,11007990,0,4315 133,3,2024-09-07 08:39:01:303,1,164,1,0,187,1455,164,0 134,0,2024-09-07 08:39:01:000,46455,0.6,46436,0.7,93459,0.6,124916,2.00 134,1,2024-09-07 08:39:00:651,287846,287846,0,0,134477379027,1413055772,283462,3161,1223,366,391718,0 134,2,2024-09-07 08:39:01:765,207219,207219,0,0,9325179,0,3096 134,3,2024-09-07 08:39:00:760,1,164,1,0,739,3006,164,0 135,0,2024-09-07 08:39:01:112,40238,1.6,40200,1.3,85316,1.8,110096,2.50 135,1,2024-09-07 08:39:01:592,287501,287501,0,0,134918848643,1421344443,283309,3488,704,380,391805,0 135,2,2024-09-07 08:39:00:686,210658,210658,0,0,10084250,0,3981 135,3,2024-09-07 08:39:01:003,1,164,7,0,89,969,164,0 136,0,2024-09-07 08:39:01:631,38662,0.5,38574,0.7,77529,0.5,102864,2.00 136,1,2024-09-07 08:39:01:445,287725,287725,0,0,134538890748,1411762917,284373,3179,173,383,391641,0 136,2,2024-09-07 08:39:01:138,206020,206020,0,0,9619126,0,3506 136,3,2024-09-07 08:39:01:116,1,164,1,0,108,1341,164,0 137,0,2024-09-07 08:39:00:954,39282,0.4,38131,0.7,75111,0.4,101883,1.75 137,1,2024-09-07 08:39:00:582,288261,288261,0,0,135106947522,1415761120,284123,3718,420,367,391708,0 137,2,2024-09-07 08:39:01:707,205320,205320,0,0,11016543,0,3185 137,3,2024-09-07 08:39:00:776,1,164,2,1,227,1909,164,0 138,0,2024-09-07 08:39:01:759,43752,1.3,43814,1.1,88257,1.8,117894,2.25 138,1,2024-09-07 08:39:01:689,288305,288305,0,0,134562784743,1408146418,285223,2730,352,368,391954,0 138,2,2024-09-07 08:39:00:587,208170,208170,0,0,9066593,0,3263 138,3,2024-09-07 08:39:00:622,1,164,1,0,1160,3172,164,0 139,0,2024-09-07 08:39:01:381,41483,3.0,41708,1.7,84031,4.3,112364,3.25 139,1,2024-09-07 08:39:00:578,286937,286937,0,0,133862158360,1422258560,281659,3954,1324,381,391892,0 139,2,2024-09-07 08:39:00:693,209754,209754,0,0,10317880,0,3097 139,3,2024-09-07 08:39:01:673,1,164,1,0,244,1876,164,0 140,0,2024-09-07 08:39:01:599,38332,0.4,37999,0.5,76544,0.3,101239,1.75 140,1,2024-09-07 08:39:01:535,289580,289580,0,0,135590847121,1399301550,288060,1280,240,365,391606,0 140,2,2024-09-07 08:39:00:693,205508,205508,0,0,8585834,0,3388 140,3,2024-09-07 08:39:00:767,1,164,1,0,247,1462,164,0 141,0,2024-09-07 08:39:01:719,37034,0.2,38181,0.4,73121,0.1,99074,1.50 141,1,2024-09-07 08:39:00:858,289265,289265,0,0,135239803004,1404257218,287322,1590,353,379,391614,0 141,2,2024-09-07 08:39:01:698,205770,205770,0,0,8103118,0,2342 141,3,2024-09-07 08:39:01:042,1,164,1,0,147,1326,164,0 142,0,2024-09-07 08:39:01:324,43301,0.3,43047,0.5,85386,0.2,114794,1.50 142,1,2024-09-07 08:39:00:590,288836,288836,0,0,134707034108,1404495936,287290,1387,159,383,391728,0 142,2,2024-09-07 08:39:01:302,206518,206486,32,0,9551064,0,6028 142,3,2024-09-07 08:39:01:758,1,164,1,0,484,2333,164,0 143,0,2024-09-07 08:39:01:487,44150,0.7,44117,0.7,88827,0.8,117596,2.00 143,1,2024-09-07 08:39:00:560,288965,288965,0,0,134960576852,1401556923,286855,2067,43,367,391619,0 143,2,2024-09-07 08:39:00:769,210836,210836,0,0,8760092,0,2669 143,3,2024-09-07 08:39:01:140,1,164,11,0,303,2626,164,0 144,0,2024-09-07 08:39:01:510,38089,0.8,39266,1.3,79668,0.8,104642,2.25 144,1,2024-09-07 08:39:00:577,287861,287861,0,0,133959626709,1405769823,285462,2196,203,381,391649,0 144,2,2024-09-07 08:39:01:759,206616,206616,0,0,8501382,0,3473 144,3,2024-09-07 08:39:01:747,1,164,1,0,249,2112,164,0 145,0,2024-09-07 08:39:01:388,37694,0.5,37683,0.7,79994,0.4,103399,2.00 145,1,2024-09-07 08:39:00:557,286795,286795,0,0,134424667444,1418541210,282400,3658,737,383,391615,0 145,2,2024-09-07 08:39:01:432,205581,205581,0,0,9544444,0,3903 145,3,2024-09-07 08:39:00:911,1,164,5,0,151,1855,164,0 146,0,2024-09-07 08:39:01:645,41002,0.4,40731,0.6,82134,0.4,109332,2.00 146,1,2024-09-07 08:39:01:630,288641,288641,0,0,134332688581,1410720171,284123,3658,860,368,391629,0 146,2,2024-09-07 08:39:01:707,207126,207126,0,0,9360795,0,2498 146,3,2024-09-07 08:39:01:274,1,164,15,0,1520,5197,164,0 147,0,2024-09-07 08:39:01:716,45980,0.8,45911,0.9,91301,0.8,122709,2.25 147,1,2024-09-07 08:39:01:384,289424,289424,0,0,135132665416,1408486190,286319,2608,497,368,391791,0 147,2,2024-09-07 08:39:01:018,209585,209585,0,0,8553723,0,2789 147,3,2024-09-07 08:39:00:918,1,164,9,0,371,2020,164,0 0,0,2024-09-07 08:39:11:755,38467,0.4,38469,0.6,81589,0.4,105719,1.75 0,1,2024-09-07 08:39:10:801,290139,290139,0,0,135969268404,1422580128,288173,1830,136,372,391772,0 0,2,2024-09-07 08:39:11:087,207661,207661,0,0,8784647,0,4480 0,3,2024-09-07 08:39:10:996,1,165,7,0,247,2077,165,0 1,0,2024-09-07 08:39:11:794,45614,1.3,45293,1.2,90882,1.8,122158,2.25 1,1,2024-09-07 08:39:10:580,289778,289778,0,0,134646144281,1414099263,286338,2573,867,371,391857,0 1,2,2024-09-07 08:39:10:648,207885,207885,0,0,8006460,0,3267 1,3,2024-09-07 08:39:11:314,1,165,3,0,262,1999,165,0 2,0,2024-09-07 08:39:11:566,42435,1.1,42654,1.0,84758,1.6,113417,2.25 2,1,2024-09-07 08:39:10:861,290011,290011,0,0,135944990523,1413666295,288502,1303,206,380,391745,0 2,2,2024-09-07 08:39:11:266,211917,211917,0,0,8820845,0,3594 2,3,2024-09-07 08:39:10:690,1,165,1,0,357,1696,165,0 3,0,2024-09-07 08:39:11:742,38111,0.5,38396,0.7,76721,0.4,101714,2.00 3,1,2024-09-07 08:39:11:622,289809,289809,0,0,134639810049,1406407857,286700,2685,424,380,391516,0 3,2,2024-09-07 08:39:11:166,206642,206619,23,0,8733066,0,5851 3,3,2024-09-07 08:39:11:759,1,165,7,0,103,1030,165,0 4,0,2024-09-07 08:39:11:879,36676,0.3,37745,0.4,76720,0.2,100666,1.50 4,1,2024-09-07 08:39:10:593,290457,290457,0,0,135210543688,1421162571,287472,2462,523,371,391846,0 4,2,2024-09-07 08:39:11:039,205800,205800,0,0,10501904,0,4528 4,3,2024-09-07 08:39:11:031,1,165,18,0,287,2250,165,0 5,0,2024-09-07 08:39:11:406,43886,0.5,43833,0.6,87572,0.5,116869,1.75 5,1,2024-09-07 08:39:10:757,289526,289526,0,0,135517092847,1428788459,285317,3221,988,368,392005,0 5,2,2024-09-07 08:39:11:841,208062,208062,0,0,9081593,0,2259 5,3,2024-09-07 08:39:11:733,1,165,1,0,238,2422,165,0 6,0,2024-09-07 08:39:10:930,44072,1.0,43683,1.0,86756,1.3,116416,2.25 6,1,2024-09-07 08:39:10:746,290414,290414,0,0,135107956787,1415884547,287014,2752,648,381,391617,0 6,2,2024-09-07 08:39:11:117,212750,212750,0,0,9299438,0,4816 6,3,2024-09-07 08:39:11:279,1,165,1,0,340,2340,165,0 7,0,2024-09-07 08:39:11:547,37850,0.5,37743,0.7,75780,0.4,100756,2.00 7,1,2024-09-07 08:39:10:861,290228,290228,0,0,136046418007,1424549526,287408,2688,132,382,391664,0 7,2,2024-09-07 08:39:10:771,206284,206284,0,0,8465580,0,2981 7,3,2024-09-07 08:39:10:856,1,165,0,0,305,1713,165,0 8,0,2024-09-07 08:39:11:404,37946,0.3,38047,0.4,75780,0.2,100778,1.50 8,1,2024-09-07 08:39:11:016,289620,289620,0,0,135055292346,1427652415,284006,4013,1601,367,391956,0 8,2,2024-09-07 08:39:10:800,204222,204222,0,0,10327514,0,2986 8,3,2024-09-07 08:39:10:616,1,165,5,0,357,2643,165,0 9,0,2024-09-07 08:39:11:136,42363,0.3,41065,0.5,85841,0.3,113084,1.75 9,1,2024-09-07 08:39:10:614,289522,289522,0,0,136224681652,1438231029,284962,3428,1132,370,391753,0 9,2,2024-09-07 08:39:11:085,208305,208305,0,0,9593214,0,3360 9,3,2024-09-07 08:39:11:781,1,165,9,0,496,2708,165,0 10,0,2024-09-07 08:39:11:607,45774,0.4,45425,0.6,91282,0.3,121129,1.75 10,1,2024-09-07 08:39:10:587,289882,289882,0,0,135174876401,1420043465,285411,3830,641,381,391741,0 10,2,2024-09-07 08:39:10:772,211380,211380,0,0,9774196,0,2940 10,3,2024-09-07 08:39:10:871,1,165,0,0,177,1241,165,0 11,0,2024-09-07 08:39:11:008,39720,0.7,38675,0.9,81071,0.8,107802,2.25 11,1,2024-09-07 08:39:10:573,290194,290194,0,0,134911535975,1420600772,284878,4046,1270,384,391537,0 11,2,2024-09-07 08:39:11:123,208407,208407,0,0,9449598,0,3411 11,3,2024-09-07 08:39:11:297,1,165,1,0,720,2864,165,0 12,0,2024-09-07 08:39:10:953,40089,0.3,39748,0.5,79780,0.2,106021,1.50 12,1,2024-09-07 08:39:10:934,289779,289779,0,0,135058111444,1412236295,286883,2482,414,370,391837,0 12,2,2024-09-07 08:39:11:548,208211,208211,0,0,9620148,0,3469 12,3,2024-09-07 08:39:11:076,1,165,0,0,358,2713,165,0 13,0,2024-09-07 08:39:11:412,40597,0.3,40461,0.5,80923,0.3,108102,1.75 13,1,2024-09-07 08:39:11:558,289890,289890,0,0,135297842920,1420389065,287466,2015,409,382,391717,0 13,2,2024-09-07 08:39:10:616,208949,208949,0,0,8796565,0,3287 13,3,2024-09-07 08:39:11:769,1,165,5,0,467,3313,165,0 14,0,2024-09-07 08:39:10:586,47158,0.4,47397,0.6,94007,0.4,125238,1.75 14,1,2024-09-07 08:39:11:567,291754,291754,0,0,135980844302,1411195364,289487,2104,163,364,391571,0 14,2,2024-09-07 08:39:10:768,208767,208767,0,0,8936842,0,2896 14,3,2024-09-07 08:39:11:122,1,165,1,0,1168,2917,165,0 15,0,2024-09-07 08:39:11:559,41621,0.9,41619,1.1,83533,1.1,111289,2.50 15,1,2024-09-07 08:39:11:607,289785,289785,0,0,135544547730,1411270649,287869,1807,109,381,391619,0 15,2,2024-09-07 08:39:11:001,212099,212099,0,0,7549544,0,3043 15,3,2024-09-07 08:39:11:405,1,165,31,0,1126,4343,165,0 16,0,2024-09-07 08:39:10:957,38745,0.5,39030,0.7,77378,0.5,103398,2.00 16,1,2024-09-07 08:39:10:576,290633,290633,0,0,135497790523,1417021785,288405,1966,262,370,391756,0 16,2,2024-09-07 08:39:11:434,206191,206191,0,0,9804476,0,4719 16,3,2024-09-07 08:39:11:153,1,165,2,0,231,2269,165,0 17,0,2024-09-07 08:39:11:855,39721,0.4,38717,0.6,75978,0.4,103227,1.75 17,1,2024-09-07 08:39:10:593,289529,289529,0,0,134881079322,1418537963,286170,2687,672,368,391688,0 17,2,2024-09-07 08:39:11:677,209180,209180,0,0,8755500,0,2857 17,3,2024-09-07 08:39:10:593,1,165,9,0,268,2494,165,0 18,0,2024-09-07 08:39:10:941,43905,0.7,44136,0.8,88262,0.8,118033,2.25 18,1,2024-09-07 08:39:11:638,290346,290346,0,0,135954318437,1411595507,288336,1776,234,367,391564,0 18,2,2024-09-07 08:39:11:776,208542,208542,0,0,8737091,0,3541 18,3,2024-09-07 08:39:10:899,1,165,1,0,163,1738,165,0 19,0,2024-09-07 08:39:11:554,42336,1.1,42813,1.0,84392,1.4,112596,2.75 19,1,2024-09-07 08:39:10:568,290221,290221,0,0,135945924505,1418010399,286407,3098,716,367,391777,0 19,2,2024-09-07 08:39:11:753,213666,213666,0,0,8012419,0,3988 19,3,2024-09-07 08:39:11:131,1,165,1,0,524,1502,165,0 20,0,2024-09-07 08:39:11:398,38041,0.6,37969,0.8,76242,0.6,101319,2.25 20,1,2024-09-07 08:39:10:569,289362,289362,0,0,135208176689,1419551419,285894,3077,391,369,391822,0 20,2,2024-09-07 08:39:10:937,206251,206251,0,0,9111709,0,3721 20,3,2024-09-07 08:39:10:597,1,165,0,0,414,2918,165,0 21,0,2024-09-07 08:39:11:195,37706,0.3,37719,0.5,75130,0.3,99616,1.75 21,1,2024-09-07 08:39:11:557,289634,289634,0,0,134692380988,1419407502,285963,3137,534,368,391962,0 21,2,2024-09-07 08:39:11:089,206479,206479,0,0,9992925,0,3747 21,3,2024-09-07 08:39:11:404,1,165,3,0,103,2006,165,0 22,0,2024-09-07 08:39:11:724,43083,0.5,43148,0.7,85760,0.5,115100,2.00 22,1,2024-09-07 08:39:11:023,289204,289204,0,0,134894078023,1424992725,283660,4035,1509,382,391667,0 22,2,2024-09-07 08:39:10:760,208781,208781,0,0,8555224,0,3134 22,3,2024-09-07 08:39:11:074,1,165,1,0,228,1827,165,0 23,0,2024-09-07 08:39:11:371,43926,0.9,43943,0.9,88222,1.1,117503,2.50 23,1,2024-09-07 08:39:11:006,290114,290114,0,0,136140035246,1425048439,286018,2614,1482,365,391549,0 23,2,2024-09-07 08:39:11:092,211904,211904,0,0,8857393,0,3010 23,3,2024-09-07 08:39:11:753,1,165,1,0,645,1813,165,0 24,0,2024-09-07 08:39:10:865,40102,0.5,40092,0.6,80161,0.5,106150,1.75 24,1,2024-09-07 08:39:10:596,290322,290322,0,0,134723065539,1411166921,287360,2163,799,369,391640,0 24,2,2024-09-07 08:39:11:074,206275,206275,0,0,10120605,0,2942 24,3,2024-09-07 08:39:11:689,1,165,3,0,234,1873,165,0 25,0,2024-09-07 08:39:11:377,40136,0.4,39262,0.6,76807,0.3,104174,1.75 25,1,2024-09-07 08:39:10:569,289747,289747,0,0,134809956052,1416556475,286055,3149,543,371,391788,0 25,2,2024-09-07 08:39:11:612,206143,206143,0,0,10435223,0,3978 25,3,2024-09-07 08:39:11:007,1,165,4,0,255,2090,165,0 26,0,2024-09-07 08:39:11:723,41160,0.3,40235,0.5,84390,0.3,110615,1.75 26,1,2024-09-07 08:39:11:548,290262,290262,0,0,135359441869,1422307964,285597,3764,901,381,391748,0 26,2,2024-09-07 08:39:10:862,209104,209104,0,0,9601891,0,2809 26,3,2024-09-07 08:39:11:728,1,165,1,0,796,2245,165,0 27,0,2024-09-07 08:39:11:736,46441,0.6,46384,0.7,92041,0.6,122646,2.00 27,1,2024-09-07 08:39:11:676,291510,291510,0,0,135807189224,1411403512,289690,1497,323,381,391558,0 27,2,2024-09-07 08:39:10:875,208811,208811,0,0,10079526,0,3409 27,3,2024-09-07 08:39:11:018,1,165,1,0,564,1971,165,0 28,0,2024-09-07 08:39:11:408,40988,0.8,41057,0.8,82706,1.1,110219,2.50 28,1,2024-09-07 08:39:10:797,290823,290823,0,0,136357726876,1421821910,288656,1830,337,383,391646,0 28,2,2024-09-07 08:39:11:787,210439,210439,0,0,7981250,0,2915 28,3,2024-09-07 08:39:11:785,1,165,15,0,502,2064,165,0 29,0,2024-09-07 08:39:11:359,40107,0.3,39267,0.5,76526,0.3,104977,1.75 29,1,2024-09-07 08:39:11:562,291649,291649,0,0,135101135072,1401240191,289217,1889,543,369,391621,0 29,2,2024-09-07 08:39:10:861,206808,206808,0,0,7742940,0,4018 29,3,2024-09-07 08:39:10:966,1,165,1,0,105,1241,165,0 30,0,2024-09-07 08:39:11:457,39544,0.5,38537,0.7,80398,0.4,105797,2.00 30,1,2024-09-07 08:39:10:573,291166,291166,0,0,135890895253,1412196500,289170,1718,278,382,391672,0 30,2,2024-09-07 08:39:11:276,208503,208503,0,0,7806008,0,3161 30,3,2024-09-07 08:39:10:586,1,165,1,0,195,1215,165,0 31,0,2024-09-07 08:39:11:762,45064,0.5,45430,0.7,91411,0.5,121767,2.00 31,1,2024-09-07 08:39:10:569,291803,291803,0,0,136073474398,1394964545,291001,690,112,356,391553,0 31,2,2024-09-07 08:39:11:276,208423,208423,0,0,9512445,0,3525 31,3,2024-09-07 08:39:11:706,1,165,0,0,220,1347,165,0 32,0,2024-09-07 08:39:11:419,42477,0.5,42868,0.7,85854,0.5,114134,1.75 32,1,2024-09-07 08:39:10:817,290917,290917,0,0,136070164367,1413583447,289173,1469,275,382,391595,0 32,2,2024-09-07 08:39:10:950,212334,212334,0,0,7823624,0,3155 32,3,2024-09-07 08:39:11:017,1,165,0,0,227,1477,165,0 33,0,2024-09-07 08:39:11:528,38871,0.3,38240,0.5,77228,0.2,102646,1.75 33,1,2024-09-07 08:39:10:580,291688,291688,0,0,136466411754,1413628607,289429,2087,172,369,391730,0 33,2,2024-09-07 08:39:10:759,206503,206470,33,0,9594396,0,7012 33,3,2024-09-07 08:39:10:911,1,165,1,0,329,1872,165,0 34,0,2024-09-07 08:39:10:941,37907,0.3,39032,0.4,74953,0.2,100771,1.50 34,1,2024-09-07 08:39:11:044,291445,291445,0,0,136608096269,1407264477,290447,992,6,367,391562,0 34,2,2024-09-07 08:39:10:773,206191,206191,0,0,8552978,0,3577 34,3,2024-09-07 08:39:11:688,1,165,0,0,148,1053,165,0 35,0,2024-09-07 08:39:10:869,43464,0.4,43771,0.5,87741,0.3,117314,1.75 35,1,2024-09-07 08:39:11:075,290428,290428,0,0,135161520958,1405341270,287628,2030,770,384,391589,0 35,2,2024-09-07 08:39:11:587,209211,209211,0,0,7941472,0,2653 35,3,2024-09-07 08:39:10:908,1,165,0,0,418,1979,165,0 36,0,2024-09-07 08:39:11:525,43685,1.0,43521,1.0,87615,1.2,116015,2.50 36,1,2024-09-07 08:39:10:589,290461,290461,0,0,135762616188,1423509163,285838,3334,1289,366,391759,0 36,2,2024-09-07 08:39:11:756,211937,211937,0,0,9439410,0,3303 36,3,2024-09-07 08:39:10:876,1,165,4,0,378,2224,165,0 37,0,2024-09-07 08:39:11:371,37894,0.5,37916,0.7,75691,0.4,101671,2.00 37,1,2024-09-07 08:39:10:580,289644,289637,0,7,134677139952,1417465553,284864,2754,2019,365,391560,0 37,2,2024-09-07 08:39:11:154,206537,206522,15,0,9483771,0,5815 37,3,2024-09-07 08:39:11:776,1,165,1,0,724,2490,165,0 38,0,2024-09-07 08:39:11:439,37775,0.4,36739,0.6,76606,0.3,100397,2.00 38,1,2024-09-07 08:39:11:605,290623,290623,0,0,135425023348,1416993747,286584,3407,632,368,391821,0 38,2,2024-09-07 08:39:10:771,206998,206951,47,0,11068279,0,6710 38,3,2024-09-07 08:39:11:004,1,165,1,0,603,2195,165,0 39,0,2024-09-07 08:39:11:762,43342,0.6,42513,0.7,82823,0.5,113616,2.00 39,1,2024-09-07 08:39:10:716,290380,290380,0,0,135067600343,1410402634,286592,2987,801,365,391524,0 39,2,2024-09-07 08:39:11:427,208737,208737,0,0,8222907,0,2689 39,3,2024-09-07 08:39:10:714,1,165,4,0,276,2095,165,0 40,0,2024-09-07 08:39:11:524,44949,0.9,45621,1.2,90795,1.0,120656,3.00 40,1,2024-09-07 08:39:10:590,290595,290595,0,0,134841279952,1413778122,286180,3646,769,368,391591,0 40,2,2024-09-07 08:39:11:306,210389,210388,1,0,10736946,0,5137 40,3,2024-09-07 08:39:11:141,1,165,131,0,181,1790,165,0 41,0,2024-09-07 08:39:11:023,39770,2.0,40499,1.6,77734,3.2,106392,4.25 41,1,2024-09-07 08:39:10:772,289788,289788,0,0,135849260514,1422867374,285593,3661,534,370,391742,0 41,2,2024-09-07 08:39:10:773,207231,207231,0,0,10304439,0,3356 41,3,2024-09-07 08:39:11:676,1,165,1,0,366,1980,165,0 42,0,2024-09-07 08:39:11:487,39097,0.5,39495,0.8,78759,0.4,104274,2.25 42,1,2024-09-07 08:39:11:446,289265,289265,0,0,135512166549,1426296769,284549,3758,958,380,391675,0 42,2,2024-09-07 08:39:11:166,206339,206339,0,0,10002337,0,3568 42,3,2024-09-07 08:39:11:009,1,165,2,0,446,1440,165,0 43,0,2024-09-07 08:39:10:926,40305,0.6,39394,0.8,82182,0.6,108251,2.00 43,1,2024-09-07 08:39:10:589,290326,290326,0,0,135668742896,1415868489,286988,2545,793,366,391604,0 43,2,2024-09-07 08:39:11:736,208822,208822,0,0,9332329,0,3812 43,3,2024-09-07 08:39:11:755,1,165,1,0,325,2145,165,0 44,0,2024-09-07 08:39:10:900,46942,0.5,47308,0.7,94154,0.5,125856,1.75 44,1,2024-09-07 08:39:10:576,291038,291038,0,0,135801686340,1404115033,288980,1633,425,356,391809,0 44,2,2024-09-07 08:39:11:267,208176,208176,0,0,7638129,0,1877 44,3,2024-09-07 08:39:11:092,1,165,0,0,817,2110,165,0 45,0,2024-09-07 08:39:11:783,41070,1.3,40381,1.1,84605,1.5,111936,2.25 45,1,2024-09-07 08:39:11:008,290740,290740,0,0,136782898889,1417996405,289699,1031,10,382,391917,0 45,2,2024-09-07 08:39:11:272,212046,212046,0,0,8402331,0,3596 45,3,2024-09-07 08:39:10:936,1,165,21,0,226,1725,165,0 46,0,2024-09-07 08:39:10:950,38627,0.3,38484,0.6,77322,0.3,102457,1.75 46,1,2024-09-07 08:39:10:589,291472,291472,0,0,136206945283,1409016819,289663,1586,223,366,391524,0 46,2,2024-09-07 08:39:10:598,207610,207610,0,0,8283364,0,2920 46,3,2024-09-07 08:39:11:165,1,165,12,0,908,2929,165,0 47,0,2024-09-07 08:39:11:116,38548,0.3,38796,0.5,77779,0.2,102896,1.75 47,1,2024-09-07 08:39:10:571,291567,291567,0,0,135805475303,1402398150,290163,1387,17,366,391605,0 47,2,2024-09-07 08:39:10:910,208393,208393,0,0,8371984,0,2558 47,3,2024-09-07 08:39:11:122,1,165,14,0,529,1852,165,0 48,0,2024-09-07 08:39:11:501,44848,0.3,44476,0.4,89028,0.2,118712,1.50 48,1,2024-09-07 08:39:11:023,291522,291522,0,0,136284632001,1416625558,289461,1880,181,384,391710,0 48,2,2024-09-07 08:39:10:718,208941,208941,0,0,7131816,0,2083 48,3,2024-09-07 08:39:10:754,1,165,1,0,339,1447,165,0 49,0,2024-09-07 08:39:11:730,43973,0.5,43117,0.7,83711,0.6,114510,1.75 49,1,2024-09-07 08:39:11:020,290015,290015,0,0,135983715023,1418275885,287277,1898,840,382,391583,0 49,2,2024-09-07 08:39:11:800,213461,213461,0,0,8285073,0,3900 49,3,2024-09-07 08:39:11:416,1,165,0,0,408,2386,165,0 50,0,2024-09-07 08:39:11:515,38166,0.3,37875,0.5,75802,0.2,101316,1.75 50,1,2024-09-07 08:39:11:010,292308,292308,0,0,137021489006,1420869748,289781,2218,309,368,391530,0 50,2,2024-09-07 08:39:11:067,206724,206724,0,0,7793488,0,2253 50,3,2024-09-07 08:39:11:293,1,165,1,0,335,1346,165,0 51,0,2024-09-07 08:39:11:684,38238,0.3,37729,0.4,73428,0.2,100011,1.50 51,1,2024-09-07 08:39:11:696,290611,290611,0,0,136577218670,1412532176,288641,1184,786,365,391637,0 51,2,2024-09-07 08:39:11:319,206887,206887,0,0,7351320,0,3337 51,3,2024-09-07 08:39:11:027,1,165,1,0,162,915,165,0 52,0,2024-09-07 08:39:11:419,43500,0.5,43313,0.7,86720,0.5,115465,2.00 52,1,2024-09-07 08:39:10:582,289812,289812,0,0,134651699392,1420181725,283898,4755,1159,368,391722,0 52,2,2024-09-07 08:39:11:754,207484,207446,38,0,10058565,0,6742 52,3,2024-09-07 08:39:10:674,1,165,1,0,1782,3532,165,0 53,0,2024-09-07 08:39:11:749,43935,1.0,42649,1.0,89153,1.2,116770,2.75 53,1,2024-09-07 08:39:10:771,289603,289603,0,0,135504234762,1429959784,283974,3735,1894,367,391702,0 53,2,2024-09-07 08:39:11:311,212551,212551,0,0,8436155,0,2262 53,3,2024-09-07 08:39:10:717,1,165,1,0,271,1637,165,0 54,0,2024-09-07 08:39:11:617,38431,2.0,38994,1.2,77208,0.9,103345,4.00 54,1,2024-09-07 08:39:10:589,290077,290077,0,0,135985743183,1420069448,286107,3399,571,367,391532,0 54,2,2024-09-07 08:39:10:872,207118,207112,6,0,9947395,0,5382 54,3,2024-09-07 08:39:10:771,1,165,2,0,676,3191,165,0 55,0,2024-09-07 08:39:11:765,37709,0.4,38968,0.7,78816,0.3,102012,2.25 55,1,2024-09-07 08:39:10:771,289841,289841,0,0,135311845944,1417139049,284651,4403,787,365,391731,0 55,2,2024-09-07 08:39:10:729,206315,206315,0,0,9500327,0,3275 55,3,2024-09-07 08:39:10:674,1,165,1,0,304,1711,165,0 56,0,2024-09-07 08:39:11:563,42472,1.0,40072,1.0,82426,1.4,110931,2.25 56,1,2024-09-07 08:39:10:574,289507,289507,0,0,135508660860,1434981973,284343,4260,904,381,391678,0 56,2,2024-09-07 08:39:11:315,208363,208363,0,0,10493634,0,3567 56,3,2024-09-07 08:39:11:062,1,165,3,0,405,2300,165,0 57,0,2024-09-07 08:39:10:990,45371,2.4,45382,1.5,90928,3.3,121556,3.75 57,1,2024-09-07 08:39:11:001,290286,290286,0,0,135127198123,1415222459,287451,2665,170,368,391960,0 57,2,2024-09-07 08:39:11:324,210395,210395,0,0,10573782,0,3178 57,3,2024-09-07 08:39:11:740,1,165,1,0,359,2744,165,0 58,0,2024-09-07 08:39:10:562,39945,1.2,38934,1.2,81547,1.6,106872,2.75 58,1,2024-09-07 08:39:10:588,290975,290972,0,3,136513427146,1425938050,287454,3120,398,367,391516,3 58,2,2024-09-07 08:39:11:076,209961,209961,0,0,9649794,0,2549 58,3,2024-09-07 08:39:11:080,1,165,8,0,1043,2348,165,0 59,0,2024-09-07 08:39:11:748,39230,0.7,38940,0.9,77774,0.7,103830,2.75 59,1,2024-09-07 08:39:10:807,290069,290069,0,0,135644326603,1419551160,286419,2728,922,369,391515,0 59,2,2024-09-07 08:39:10:587,207734,207734,0,0,8523054,0,2604 59,3,2024-09-07 08:39:11:745,1,165,1,0,1015,2894,165,0 60,0,2024-09-07 08:39:11:736,39919,0.4,39898,0.6,79534,0.3,105993,1.75 60,1,2024-09-07 08:39:10:778,291498,291498,0,0,136111432415,1411259314,290039,1101,358,370,391761,0 60,2,2024-09-07 08:39:11:161,207542,207542,0,0,9623815,0,3811 60,3,2024-09-07 08:39:11:264,1,165,2,0,124,1583,165,0 61,0,2024-09-07 08:39:11:517,45403,1.2,45657,1.0,90915,1.8,121889,2.00 61,1,2024-09-07 08:39:10:783,290160,290160,0,0,135455127586,1420792106,286718,2889,553,382,391589,0 61,2,2024-09-07 08:39:11:119,209429,209429,0,0,8499934,0,2079 61,3,2024-09-07 08:39:11:691,1,165,1,0,199,1932,165,0 62,0,2024-09-07 08:39:11:724,42868,1.4,43667,1.1,83520,2.1,113836,2.25 62,1,2024-09-07 08:39:11:120,292290,292284,0,6,136380912309,1407436670,290605,1640,39,365,391715,6 62,2,2024-09-07 08:39:11:645,211186,211185,1,0,10074459,0,5555 62,3,2024-09-07 08:39:11:158,1,165,12,0,287,1187,165,0 63,0,2024-09-07 08:39:11:465,38428,0.5,38399,0.7,76911,0.5,102408,2.00 63,1,2024-09-07 08:39:10:809,291449,291443,0,6,136176484980,1410850674,290201,1216,26,381,391677,6 63,2,2024-09-07 08:39:10:788,206280,206280,0,0,7825147,0,2674 63,3,2024-09-07 08:39:11:745,1,165,1,0,667,2413,165,0 64,0,2024-09-07 08:39:11:557,37755,0.4,37613,0.6,75539,0.3,100675,1.75 64,1,2024-09-07 08:39:10:760,291135,291135,0,0,135566148114,1417625619,287667,2414,1054,370,391783,0 64,2,2024-09-07 08:39:11:174,208538,208519,19,0,8619626,0,6121 64,3,2024-09-07 08:39:11:158,1,165,1,0,265,1848,165,0 65,0,2024-09-07 08:39:11:692,43110,0.7,43619,0.8,87011,0.8,116269,2.25 65,1,2024-09-07 08:39:10:869,290004,290004,0,0,135043125990,1413681298,287497,2283,224,382,391770,0 65,2,2024-09-07 08:39:11:713,208700,208700,0,0,9078384,0,3367 65,3,2024-09-07 08:39:11:686,1,165,32,0,163,1675,165,0 66,0,2024-09-07 08:39:11:798,43143,0.7,43276,0.9,86934,0.8,115238,2.50 66,1,2024-09-07 08:39:11:293,291463,291463,0,0,135765922804,1411773364,289588,1704,171,380,391588,0 66,2,2024-09-07 08:39:11:133,213972,213972,0,0,8476774,0,4956 66,3,2024-09-07 08:39:11:079,1,165,1,0,291,1716,165,0 67,0,2024-09-07 08:39:11:414,38426,0.8,38170,0.9,76722,1.0,101770,2.50 67,1,2024-09-07 08:39:10:770,290204,290203,0,1,135222478172,1415055092,286736,2721,746,381,391787,1 67,2,2024-09-07 08:39:10:589,207421,207421,0,0,8045222,0,2889 67,3,2024-09-07 08:39:11:752,1,165,1,0,138,1293,165,0 68,0,2024-09-07 08:39:10:642,38174,0.4,38002,0.7,75950,0.4,100702,2.00 68,1,2024-09-07 08:39:10:584,289565,289565,0,0,135019039536,1417604552,286709,1837,1019,381,391953,0 68,2,2024-09-07 08:39:11:052,205798,205733,65,0,11400926,0,6698 68,3,2024-09-07 08:39:10:733,1,165,12,0,417,2084,165,0 69,0,2024-09-07 08:39:11:777,42203,0.7,42311,0.8,84166,0.7,112992,2.00 69,1,2024-09-07 08:39:11:068,289038,289038,0,0,135077613830,1428488247,285028,2795,1215,384,391994,0 69,2,2024-09-07 08:39:11:746,208980,208980,0,0,10047109,0,3701 69,3,2024-09-07 08:39:10:760,1,165,1,0,238,2027,165,0 70,0,2024-09-07 08:39:11:558,44823,1.9,45147,1.4,90541,1.3,120043,2.75 70,1,2024-09-07 08:39:10:807,290376,290376,0,0,135860272108,1412351963,287919,1995,462,366,391725,0 70,2,2024-09-07 08:39:11:348,211404,211404,0,0,9214454,0,4044 70,3,2024-09-07 08:39:10:745,1,165,2,0,854,2201,165,0 71,0,2024-09-07 08:39:11:358,39633,2.0,39739,1.6,79106,2.9,106532,3.50 71,1,2024-09-07 08:39:11:609,290454,290454,0,0,135662025113,1420459403,286337,3592,525,368,391682,0 71,2,2024-09-07 08:39:11:082,209197,209197,0,0,9200024,0,2470 71,3,2024-09-07 08:39:11:752,1,165,1,0,644,2575,165,0 72,0,2024-09-07 08:39:11:046,40959,0.4,40040,0.7,78247,0.4,106438,2.25 72,1,2024-09-07 08:39:11:029,290188,290188,0,0,134996836533,1410189654,286922,2780,486,369,391819,0 72,2,2024-09-07 08:39:11:773,206244,206244,0,0,10769636,0,2570 72,3,2024-09-07 08:39:11:792,1,165,5,0,364,3251,165,0 73,0,2024-09-07 08:39:11:108,39450,0.4,40507,0.6,82688,0.3,107713,2.00 73,1,2024-09-07 08:39:10:777,290393,290393,0,0,135682405827,1408574653,288586,1692,115,367,391627,0 73,2,2024-09-07 08:39:11:739,208545,208545,0,0,10059615,0,3482 73,3,2024-09-07 08:39:10:980,1,165,4,0,274,2601,165,0 74,0,2024-09-07 08:39:11:372,47354,0.5,48390,0.7,92059,0.6,125254,2.25 74,1,2024-09-07 08:39:10:635,290181,290181,0,0,135047204898,1410417962,287389,2170,622,382,391681,0 74,2,2024-09-07 08:39:11:005,208694,208694,0,0,10093771,0,4253 74,3,2024-09-07 08:39:11:454,1,165,4,0,522,2772,165,0 75,0,2024-09-07 08:39:11:765,42003,1.3,41693,1.2,83335,1.5,112273,2.75 75,1,2024-09-07 08:39:11:587,289875,289875,0,0,135161438638,1416447957,286479,2936,460,381,391579,0 75,2,2024-09-07 08:39:11:349,210946,210946,0,0,9735899,0,4766 75,3,2024-09-07 08:39:11:066,1,165,1,0,535,1868,165,0 76,0,2024-09-07 08:39:10:584,38524,0.4,38295,0.7,76495,0.3,102761,2.25 76,1,2024-09-07 08:39:10:815,290489,290489,0,0,135343026839,1412625974,288428,1550,511,382,391692,0 76,2,2024-09-07 08:39:11:067,207601,207601,0,0,8258399,0,3064 76,3,2024-09-07 08:39:11:153,1,165,1,0,175,1879,165,0 77,0,2024-09-07 08:39:11:755,38548,0.4,38762,0.6,77668,0.4,102848,1.75 77,1,2024-09-07 08:39:10:825,291359,291359,0,0,135232037650,1410090127,289763,1512,84,383,391808,0 77,2,2024-09-07 08:39:11:287,206894,206894,0,0,8619304,0,3890 77,3,2024-09-07 08:39:11:115,1,165,13,0,305,2036,165,0 78,0,2024-09-07 08:39:11:755,44493,0.5,44229,0.7,89254,0.4,118298,2.00 78,1,2024-09-07 08:39:10:610,290877,290877,0,0,135470971636,1409357416,288547,2077,253,367,391589,0 78,2,2024-09-07 08:39:11:405,209459,209459,0,0,8036536,0,2114 78,3,2024-09-07 08:39:11:165,1,165,1,0,181,1673,165,0 79,0,2024-09-07 08:39:11:360,41394,0.5,42389,0.8,86689,0.5,112912,2.50 79,1,2024-09-07 08:39:10:573,291344,291344,0,0,135796046709,1407350839,289157,2015,172,369,391682,0 79,2,2024-09-07 08:39:11:081,213072,213072,0,0,8278243,0,3212 79,3,2024-09-07 08:39:10:754,1,165,0,0,418,2896,165,0 80,0,2024-09-07 08:39:11:133,38032,0.6,38966,0.8,74790,0.6,101379,2.25 80,1,2024-09-07 08:39:11:629,289899,289899,0,0,135166095287,1408392239,287373,2363,163,368,391673,0 80,2,2024-09-07 08:39:11:101,207268,207268,0,0,8600975,0,4433 80,3,2024-09-07 08:39:10:582,1,165,5,0,190,2656,165,0 81,0,2024-09-07 08:39:11:554,37463,0.4,38604,0.6,73886,0.4,99688,1.75 81,1,2024-09-07 08:39:11:657,290198,290198,0,0,135211536059,1414191127,287717,2209,272,382,391879,0 81,2,2024-09-07 08:39:11:126,206371,206371,0,0,9076717,0,3993 81,3,2024-09-07 08:39:11:126,1,165,1,0,193,1625,165,0 82,0,2024-09-07 08:39:11:561,43099,0.5,43270,0.7,86625,0.4,115619,2.00 82,1,2024-09-07 08:39:10:589,290989,290985,0,4,135082559057,1409270952,288540,1867,578,381,391558,4 82,2,2024-09-07 08:39:11:698,209594,209594,0,0,8337428,0,3986 82,3,2024-09-07 08:39:11:752,1,165,0,0,227,1879,165,0 83,0,2024-09-07 08:39:11:545,44180,0.7,44242,0.8,87814,0.8,116909,2.25 83,1,2024-09-07 08:39:10:554,290543,290543,0,0,135217626654,1412891426,288096,2243,204,382,391690,0 83,2,2024-09-07 08:39:10:778,211975,211975,0,0,8385777,0,3119 83,3,2024-09-07 08:39:10:755,1,165,1,0,241,1897,165,0 84,0,2024-09-07 08:39:11:791,39141,1.3,38991,1.3,78389,1.0,104657,2.75 84,1,2024-09-07 08:39:11:091,289759,289759,0,0,134811599279,1413355717,286359,2943,457,368,391852,0 84,2,2024-09-07 08:39:10:573,207358,207358,0,0,9607687,0,3801 84,3,2024-09-07 08:39:11:153,1,165,1,0,270,1954,165,0 85,0,2024-09-07 08:39:11:021,37686,0.5,37694,0.7,79978,0.4,103135,2.00 85,1,2024-09-07 08:39:10:561,289354,289354,0,0,134907096609,1430958289,283901,4373,1080,382,392006,0 85,2,2024-09-07 08:39:10:873,207142,207142,0,0,10291733,0,3656 85,3,2024-09-07 08:39:10:694,1,165,0,0,789,2501,165,0 86,0,2024-09-07 08:39:10:899,41520,0.5,42625,0.7,81671,0.5,110856,1.75 86,1,2024-09-07 08:39:10:918,289921,289921,0,0,135871554050,1424498910,285960,3416,545,366,391961,0 86,2,2024-09-07 08:39:10:884,207340,207339,1,0,10626416,0,5004 86,3,2024-09-07 08:39:10:589,1,165,11,0,286,2412,165,0 87,0,2024-09-07 08:39:11:310,46107,1.4,45892,1.1,92169,2.1,123408,2.25 87,1,2024-09-07 08:39:10:568,289829,289829,0,0,135047684383,1415886390,286613,2824,392,366,391788,0 87,2,2024-09-07 08:39:11:077,209164,209164,0,0,9017142,0,3515 87,3,2024-09-07 08:39:11:793,1,165,3,0,335,2846,165,0 88,0,2024-09-07 08:39:11:526,41269,0.7,41415,0.7,82634,0.8,110206,1.75 88,1,2024-09-07 08:39:10:577,289069,289069,0,0,135355669610,1421852575,285025,2874,1170,365,391787,0 88,2,2024-09-07 08:39:10:693,209965,209965,0,0,10322927,0,3583 88,3,2024-09-07 08:39:11:275,1,165,20,0,435,2244,165,0 89,0,2024-09-07 08:39:11:782,40325,0.4,39046,0.7,77256,0.4,105207,1.75 89,1,2024-09-07 08:39:10:566,288532,288532,0,0,135139668514,1430421825,283097,4498,937,382,391866,0 89,2,2024-09-07 08:39:11:164,206659,206659,0,0,10162492,0,2910 89,3,2024-09-07 08:39:11:792,1,165,2,0,325,3178,165,0 90,0,2024-09-07 08:39:11:647,38602,0.4,39440,0.5,80988,0.3,105584,1.75 90,1,2024-09-07 08:39:10:601,290615,290615,0,0,134944652361,1417739904,287387,2971,257,382,391825,0 90,2,2024-09-07 08:39:11:413,206835,206835,0,0,11068401,0,3060 90,3,2024-09-07 08:39:10:944,1,165,3,0,200,1919,165,0 91,0,2024-09-07 08:39:10:943,46035,0.5,44341,0.6,92416,0.5,122371,1.75 91,1,2024-09-07 08:39:10:557,289425,289425,0,0,134879748768,1418199788,285673,3255,497,381,392047,0 91,2,2024-09-07 08:39:11:350,207732,207732,0,0,10340747,0,2445 91,3,2024-09-07 08:39:10:602,1,165,1,0,216,1897,165,0 92,0,2024-09-07 08:39:11:480,43007,0.8,44067,0.8,84188,0.9,114216,1.75 92,1,2024-09-07 08:39:10:589,290576,290576,0,0,135535077549,1416353068,288398,1774,404,382,392136,0 92,2,2024-09-07 08:39:11:352,214001,214001,0,0,8629768,0,2801 92,3,2024-09-07 08:39:11:020,1,165,16,0,167,1521,165,0 93,0,2024-09-07 08:39:11:008,38705,0.4,39601,0.6,75783,0.4,102812,1.75 93,1,2024-09-07 08:39:10:829,290097,290097,0,0,135809071457,1419089106,286985,2562,550,366,391692,0 93,2,2024-09-07 08:39:10:950,206795,206795,0,0,8457457,0,2509 93,3,2024-09-07 08:39:11:412,1,165,2,0,143,1713,165,0 94,0,2024-09-07 08:39:11:612,37848,0.3,38205,0.5,75729,0.2,100476,1.50 94,1,2024-09-07 08:39:10:585,290127,290127,0,0,134993935889,1412840087,287681,2351,95,381,391850,0 94,2,2024-09-07 08:39:10:776,206917,206917,0,0,8339544,0,2443 94,3,2024-09-07 08:39:11:688,1,165,1,0,264,2467,165,0 95,0,2024-09-07 08:39:11:374,43990,0.3,43745,0.5,88133,0.3,117018,1.75 95,1,2024-09-07 08:39:10:864,290613,290613,0,0,135385166180,1409357456,288226,2203,184,367,391662,0 95,2,2024-09-07 08:39:11:021,208971,208971,0,0,8629633,0,3308 95,3,2024-09-07 08:39:11:729,1,165,1,0,718,3069,165,0 96,0,2024-09-07 08:39:11:095,43672,0.6,43848,0.7,87946,0.6,115862,1.75 96,1,2024-09-07 08:39:11:596,289937,289937,0,0,135616770907,1419350577,287336,1958,643,385,391894,0 96,2,2024-09-07 08:39:11:271,212513,212513,0,0,9203081,0,4042 96,3,2024-09-07 08:39:11:150,1,165,1,0,188,1867,165,0 97,0,2024-09-07 08:39:11:330,38539,0.4,38419,0.6,76877,0.4,101867,1.75 97,1,2024-09-07 08:39:10:780,290791,290791,0,0,136078201891,1416236620,287987,2254,550,367,392140,0 97,2,2024-09-07 08:39:10:608,206762,206762,0,0,8208145,0,3036 97,3,2024-09-07 08:39:10:572,1,165,2,0,165,1913,165,0 98,0,2024-09-07 08:39:11:705,38072,0.2,37979,0.4,76194,0.2,100833,1.50 98,1,2024-09-07 08:39:10:585,290797,290797,0,0,135190148397,1410063587,288976,1730,91,382,391997,0 98,2,2024-09-07 08:39:10:790,207810,207810,0,0,8638576,0,3080 98,3,2024-09-07 08:39:10:705,1,165,6,0,840,3090,165,0 99,0,2024-09-07 08:39:11:550,42236,0.3,42289,0.4,84524,0.2,113266,1.50 99,1,2024-09-07 08:39:11:731,290788,290788,0,0,135781825134,1418863195,287726,2366,696,381,391744,0 99,2,2024-09-07 08:39:11:457,210637,210637,0,0,8398908,0,2615 99,3,2024-09-07 08:39:10:589,1,165,2,0,129,1214,165,0 100,0,2024-09-07 08:39:11:517,45421,1.2,45272,1.3,90696,2.1,121020,2.50 100,1,2024-09-07 08:39:10:548,288121,288121,0,0,134584081540,1429060585,282866,4324,931,381,391989,0 100,2,2024-09-07 08:39:11:822,210067,210056,11,0,9893575,0,5417 100,3,2024-09-07 08:39:11:734,1,165,3,0,559,3692,165,0 101,0,2024-09-07 08:39:11:706,40926,2.9,39881,1.6,78289,3.4,107809,3.00 101,1,2024-09-07 08:39:10:551,289054,289054,0,0,135168149898,1430303587,283692,3885,1477,368,391769,0 101,2,2024-09-07 08:39:11:765,207933,207933,0,0,10853519,0,4644 101,3,2024-09-07 08:39:10:948,1,165,5,0,448,2054,165,0 102,0,2024-09-07 08:39:10:983,38745,0.5,40095,0.7,80593,0.4,105690,2.00 102,1,2024-09-07 08:39:11:161,289906,289906,0,0,134871763509,1420795017,285820,3374,712,369,391883,0 102,2,2024-09-07 08:39:11:747,207484,207430,54,0,10224380,0,6768 102,3,2024-09-07 08:39:11:620,1,165,1,0,410,1711,165,0 103,0,2024-09-07 08:39:11:603,41606,0.4,41670,0.6,78563,0.3,108445,1.75 103,1,2024-09-07 08:39:11:626,288724,288724,0,0,134705259755,1422280631,284276,3239,1209,381,391829,0 103,2,2024-09-07 08:39:10:592,207956,207956,0,0,9173732,0,2104 103,3,2024-09-07 08:39:10:756,1,165,3,0,916,2932,165,0 104,0,2024-09-07 08:39:11:018,46556,1.2,46813,1.1,92462,1.4,125309,2.25 104,1,2024-09-07 08:39:11:601,290424,290424,0,0,134965637737,1424673648,285414,4045,965,365,391948,0 104,2,2024-09-07 08:39:11:674,207894,207894,0,0,10108721,0,3941 104,3,2024-09-07 08:39:11:478,1,165,14,1,1245,5137,165,0 105,0,2024-09-07 08:39:11:109,41319,1.8,40124,1.4,83753,2.8,111098,3.75 105,1,2024-09-07 08:39:10:554,290695,290695,0,0,134934724614,1417586193,286483,3437,775,367,391797,0 105,2,2024-09-07 08:39:11:322,210659,210659,0,0,9551664,0,3509 105,3,2024-09-07 08:39:11:313,1,165,1,0,399,2625,165,0 106,0,2024-09-07 08:39:10:969,37393,0.6,38458,0.8,78236,0.6,102497,2.25 106,1,2024-09-07 08:39:11:756,290101,290101,0,0,135465105895,1422348602,286310,3407,384,369,391767,0 106,2,2024-09-07 08:39:10:772,206063,206063,0,0,9592962,0,2795 106,3,2024-09-07 08:39:10:688,1,165,7,0,405,2426,165,0 107,0,2024-09-07 08:39:11:134,38539,0.4,38727,0.6,76808,0.3,103106,1.75 107,1,2024-09-07 08:39:10:590,289649,289649,0,0,135405215145,1423899332,286649,2769,231,381,392234,0 107,2,2024-09-07 08:39:11:291,207236,207235,1,0,9698314,0,5024 107,3,2024-09-07 08:39:11:759,1,165,1,0,353,2391,165,0 108,0,2024-09-07 08:39:11:875,44702,0.4,44705,0.6,88901,0.4,118750,1.75 108,1,2024-09-07 08:39:11:293,289989,289989,0,0,135972061172,1420062354,287329,2347,313,368,391857,0 108,2,2024-09-07 08:39:11:755,207193,207193,0,0,9048167,0,2647 108,3,2024-09-07 08:39:11:348,1,165,13,0,667,3312,165,0 109,0,2024-09-07 08:39:11:760,43030,0.5,42703,0.6,85308,0.4,114671,1.75 109,1,2024-09-07 08:39:10:590,289617,289617,0,0,135846203116,1426550541,286837,2284,496,383,392132,0 109,2,2024-09-07 08:39:11:159,211993,211993,0,0,9460164,0,3617 109,3,2024-09-07 08:39:11:158,1,165,13,0,249,2325,165,0 110,0,2024-09-07 08:39:11:795,38331,0.4,37150,0.6,77671,0.3,101674,1.75 110,1,2024-09-07 08:39:11:646,290791,290791,0,0,136285494968,1418672634,288177,1849,765,370,391667,0 110,2,2024-09-07 08:39:11:317,206946,206946,0,0,8273297,0,2915 110,3,2024-09-07 08:39:10:690,1,165,1,0,406,2316,165,0 111,0,2024-09-07 08:39:11:425,37683,0.2,37216,0.4,74433,0.1,99979,1.50 111,1,2024-09-07 08:39:11:008,291272,291272,0,0,136651076932,1418736593,289494,1445,333,382,391690,0 111,2,2024-09-07 08:39:11:118,206414,206414,0,0,9082547,0,2763 111,3,2024-09-07 08:39:10:919,1,165,28,0,379,2592,165,0 112,0,2024-09-07 08:39:10:951,43268,0.3,43235,0.4,86441,0.2,115725,1.50 112,1,2024-09-07 08:39:10:825,291568,291568,0,0,135670385373,1411200930,289241,1901,426,381,391580,0 112,2,2024-09-07 08:39:11:146,209051,209050,1,0,9055871,0,5036 112,3,2024-09-07 08:39:10:598,1,165,1,0,282,1897,165,0 113,0,2024-09-07 08:39:10:873,44032,0.4,43955,0.6,88597,0.4,118113,1.75 113,1,2024-09-07 08:39:11:685,291163,291163,0,0,136108680919,1409883095,288746,1929,488,366,391661,0 113,2,2024-09-07 08:39:11:317,213233,213233,0,0,7977907,0,3813 113,3,2024-09-07 08:39:10:690,1,165,0,0,340,2738,165,0 114,0,2024-09-07 08:39:10:883,40012,0.6,40640,0.7,79701,0.4,106499,2.00 114,1,2024-09-07 08:39:10:716,290399,290399,0,0,135287895084,1416933505,286269,2667,1463,381,391534,0 114,2,2024-09-07 08:39:10:873,207308,207308,0,0,8205347,0,3925 114,3,2024-09-07 08:39:11:279,1,165,1,0,395,1991,165,0 115,0,2024-09-07 08:39:10:556,38956,0.2,39317,0.4,78657,0.2,103906,1.50 115,1,2024-09-07 08:39:10:573,290816,290816,0,0,135854867456,1417396567,287172,2823,821,382,391602,0 115,2,2024-09-07 08:39:11:125,208249,208249,0,0,7713297,0,2152 115,3,2024-09-07 08:39:11:007,1,165,5,0,159,1075,165,0 116,0,2024-09-07 08:39:11:712,41645,0.6,41126,0.7,82612,0.5,110604,2.00 116,1,2024-09-07 08:39:10:818,288268,288268,0,0,134736598848,1432868975,283354,3157,1757,382,391677,0 116,2,2024-09-07 08:39:11:762,207758,207758,0,0,10911774,0,3529 116,3,2024-09-07 08:39:11:155,1,165,2,0,252,2440,165,0 117,0,2024-09-07 08:39:11:028,45922,1.3,46075,1.1,92109,1.8,123228,2.00 117,1,2024-09-07 08:39:11:592,290085,290085,0,0,134553347375,1412518199,286407,3249,429,370,392033,0 117,2,2024-09-07 08:39:11:123,210631,210631,0,0,8796802,0,3700 117,3,2024-09-07 08:39:11:063,1,165,7,0,490,3371,165,0 118,0,2024-09-07 08:39:11:815,40159,0.9,41334,0.9,83925,1.1,109525,2.25 118,1,2024-09-07 08:39:10:590,289661,289661,0,0,134890598847,1424376935,284809,3530,1322,366,391736,0 118,2,2024-09-07 08:39:11:587,209566,209566,0,0,9851005,0,2781 118,3,2024-09-07 08:39:11:785,1,165,0,0,235,2178,165,0 119,0,2024-09-07 08:39:11:361,38792,0.6,38971,0.8,78836,0.6,104316,2.00 119,1,2024-09-07 08:39:10:552,290481,290481,0,0,135784493475,1417926126,287995,2198,288,369,391641,0 119,2,2024-09-07 08:39:11:263,206569,206569,0,0,9121890,0,3526 119,3,2024-09-07 08:39:11:382,1,165,1,0,443,2935,165,0 120,0,2024-09-07 08:39:11:605,39551,0.4,39582,0.7,79097,0.4,105804,2.00 120,1,2024-09-07 08:39:10:863,290535,290535,0,0,134995766758,1418375849,287239,3034,262,368,391961,0 120,2,2024-09-07 08:39:10:780,207028,207027,1,0,11398993,0,5281 120,3,2024-09-07 08:39:11:305,1,165,67,0,241,2360,165,0 121,0,2024-09-07 08:39:11:713,45178,1.4,45635,1.1,90844,2.2,121808,2.25 121,1,2024-09-07 08:39:11:673,290121,290121,0,0,135684382825,1420906439,287347,2485,289,367,391840,0 121,2,2024-09-07 08:39:11:137,207655,207655,0,0,10347561,0,4127 121,3,2024-09-07 08:39:10:731,1,165,2,0,269,2272,165,0 122,0,2024-09-07 08:39:11:789,42415,1.2,41291,1.2,86578,1.4,114294,2.25 122,1,2024-09-07 08:39:10:878,289206,289206,0,0,135234893556,1422573255,285068,3431,707,366,392130,0 122,2,2024-09-07 08:39:11:322,212079,212079,0,0,11389090,0,3364 122,3,2024-09-07 08:39:10:614,1,165,15,0,411,3829,165,0 123,0,2024-09-07 08:39:11:010,38459,0.8,37502,0.8,78472,0.9,102360,2.00 123,1,2024-09-07 08:39:10:593,290209,290209,0,0,135289772698,1425320526,285133,4382,694,369,391823,0 123,2,2024-09-07 08:39:11:025,204830,204829,1,0,9910802,0,5215 123,3,2024-09-07 08:39:11:132,1,165,14,0,168,2020,165,0 124,0,2024-09-07 08:39:10:920,38993,0.3,38954,0.5,73606,0.2,100868,1.50 124,1,2024-09-07 08:39:11:024,290647,290647,0,0,135594095986,1409823429,288558,1733,356,367,392178,0 124,2,2024-09-07 08:39:11:013,207376,207376,0,0,8334992,0,3101 124,3,2024-09-07 08:39:10:770,1,165,2,0,490,2285,165,0 125,0,2024-09-07 08:39:11:430,43941,0.4,43936,0.5,87931,0.4,117209,1.75 125,1,2024-09-07 08:39:10:860,290663,290663,0,0,135682057873,1417800843,288295,2092,276,384,391702,0 125,2,2024-09-07 08:39:11:123,209651,209651,0,0,8361967,0,2180 125,3,2024-09-07 08:39:11:132,1,165,5,0,284,2134,165,0 126,0,2024-09-07 08:39:11:435,43795,0.8,44969,0.8,86009,0.8,116679,2.00 126,1,2024-09-07 08:39:10:572,290999,290999,0,0,136415172868,1414744368,289363,1558,78,365,391987,0 126,2,2024-09-07 08:39:10:610,213284,213284,0,0,8719192,0,3186 126,3,2024-09-07 08:39:10:908,1,165,18,0,150,2356,165,0 127,0,2024-09-07 08:39:11:612,38259,0.4,38448,0.6,76706,0.4,101808,1.75 127,1,2024-09-07 08:39:10:577,290393,290393,0,0,135622041037,1406252819,288327,2025,41,365,391816,0 127,2,2024-09-07 08:39:10:640,206813,206813,0,0,8092779,0,2264 127,3,2024-09-07 08:39:11:268,1,165,1,0,243,1462,165,0 128,0,2024-09-07 08:39:11:581,38263,0.3,38069,0.4,76214,0.2,100949,1.50 128,1,2024-09-07 08:39:11:605,290951,290951,0,0,135791548992,1406778432,289972,907,72,367,391680,0 128,2,2024-09-07 08:39:11:387,206993,206993,0,0,8117734,0,2107 128,3,2024-09-07 08:39:10:779,1,165,2,0,333,2378,165,0 129,0,2024-09-07 08:39:11:011,42560,0.3,42327,0.4,84596,0.2,113088,1.50 129,1,2024-09-07 08:39:10:568,289342,289342,0,0,134737453163,1412455181,286413,2536,393,379,391835,0 129,2,2024-09-07 08:39:10:692,208873,208873,0,0,8524217,0,4031 129,3,2024-09-07 08:39:10:692,1,165,3,0,173,1938,165,0 130,0,2024-09-07 08:39:11:797,45774,0.8,45539,0.8,91473,0.9,121859,2.00 130,1,2024-09-07 08:39:10:587,290666,290666,0,0,135577404772,1413603367,288551,2043,72,381,391825,0 130,2,2024-09-07 08:39:11:166,211867,211867,0,0,8380553,0,4067 130,3,2024-09-07 08:39:11:317,1,165,0,0,450,1952,165,0 131,0,2024-09-07 08:39:11:971,40335,0.6,40529,0.7,81970,0.6,108069,1.75 131,1,2024-09-07 08:39:11:879,291663,291663,0,0,135574299077,1411081918,290193,1264,206,383,391865,0 131,2,2024-09-07 08:39:10:592,209112,209112,0,0,7922853,0,2415 131,3,2024-09-07 08:39:11:708,1,165,14,0,392,1867,165,0 132,0,2024-09-07 08:39:11:432,39353,0.4,39882,0.7,79769,0.4,106085,1.75 132,1,2024-09-07 08:39:10:609,289334,289334,0,0,135333134100,1432417025,283918,4385,1031,381,391760,0 132,2,2024-09-07 08:39:10:723,206853,206853,0,0,10925202,0,4606 132,3,2024-09-07 08:39:11:688,1,165,1,0,356,3040,165,0 133,0,2024-09-07 08:39:11:561,39427,0.4,40316,0.6,82723,0.3,107432,1.75 133,1,2024-09-07 08:39:10:587,288907,288907,0,0,135440971712,1430890096,284397,3869,641,383,391914,0 133,2,2024-09-07 08:39:11:087,207541,207541,0,0,11022981,0,4315 133,3,2024-09-07 08:39:11:311,1,165,1,0,187,1456,165,0 134,0,2024-09-07 08:39:11:032,46824,0.5,46778,0.7,94157,0.6,125228,2.00 134,1,2024-09-07 08:39:10:595,289518,289518,0,0,135145577562,1419915630,285132,3163,1223,366,391718,0 134,2,2024-09-07 08:39:11:779,208295,208295,0,0,9335494,0,3096 134,3,2024-09-07 08:39:10:757,1,165,2,0,739,3008,165,0 135,0,2024-09-07 08:39:11:144,40517,1.5,40494,1.3,85962,1.8,110868,2.50 135,1,2024-09-07 08:39:11:597,289276,289276,0,0,135664623125,1428985474,285083,3489,704,380,391805,0 135,2,2024-09-07 08:39:10:695,211685,211685,0,0,10092159,0,3981 135,3,2024-09-07 08:39:11:005,1,165,1,0,89,970,165,0 136,0,2024-09-07 08:39:11:641,38830,0.5,38778,0.7,77889,0.5,103439,2.00 136,1,2024-09-07 08:39:11:442,289581,289581,0,0,135502219591,1421595879,286229,3179,173,383,391641,0 136,2,2024-09-07 08:39:11:166,207622,207622,0,0,9648398,0,3506 136,3,2024-09-07 08:39:11:110,1,165,7,0,108,1348,165,0 137,0,2024-09-07 08:39:10:926,39637,0.4,38485,0.6,75841,0.4,103167,1.75 137,1,2024-09-07 08:39:10:588,290034,290034,0,0,135896193282,1423816902,285896,3718,420,367,391708,0 137,2,2024-09-07 08:39:11:705,206616,206616,0,0,11048419,0,3185 137,3,2024-09-07 08:39:10:771,1,165,6,1,227,1915,165,0 138,0,2024-09-07 08:39:11:746,44071,1.3,44145,1.1,88883,1.7,118580,2.25 138,1,2024-09-07 08:39:11:709,290078,290078,0,0,135570530755,1418385733,286996,2730,352,368,391954,0 138,2,2024-09-07 08:39:10:589,209227,209227,0,0,9090209,0,3263 138,3,2024-09-07 08:39:10:626,1,165,1,0,1160,3173,165,0 139,0,2024-09-07 08:39:11:371,41722,3.0,41973,1.7,84516,4.3,113260,3.25 139,1,2024-09-07 08:39:10:582,288699,288699,0,0,134487142480,1428759294,283420,3955,1324,381,391892,0 139,2,2024-09-07 08:39:10:710,211032,211032,0,0,10348065,0,3097 139,3,2024-09-07 08:39:11:677,1,165,3,0,244,1879,165,0 140,0,2024-09-07 08:39:11:594,38480,0.4,38136,0.5,76826,0.3,101720,1.75 140,1,2024-09-07 08:39:11:552,291356,291356,0,0,136564938754,1409198485,289836,1280,240,365,391606,0 140,2,2024-09-07 08:39:10:693,206839,206839,0,0,8616581,0,3388 140,3,2024-09-07 08:39:10:768,1,165,1,0,247,1463,165,0 141,0,2024-09-07 08:39:11:699,37260,0.2,38432,0.4,73578,0.1,99975,1.50 141,1,2024-09-07 08:39:10:860,291028,291028,0,0,136078167687,1412893345,289085,1590,353,379,391614,0 141,2,2024-09-07 08:39:11:686,206995,206995,0,0,8126295,0,2342 141,3,2024-09-07 08:39:11:053,1,165,0,0,147,1326,165,0 142,0,2024-09-07 08:39:11:358,43774,0.3,43523,0.5,86318,0.2,115971,1.50 142,1,2024-09-07 08:39:10:587,290646,290646,0,0,135488169660,1412558692,289100,1387,159,383,391728,0 142,2,2024-09-07 08:39:11:316,208044,208012,32,0,9591250,0,6028 142,3,2024-09-07 08:39:11:749,1,165,11,0,484,2344,165,0 143,0,2024-09-07 08:39:11:434,44239,0.7,44237,0.7,89045,0.8,117907,2.00 143,1,2024-09-07 08:39:10:556,290761,290761,0,0,135893659489,1411069679,288651,2067,43,367,391619,0 143,2,2024-09-07 08:39:10:782,212185,212185,0,0,8784033,0,2669 143,3,2024-09-07 08:39:11:162,1,165,1,0,303,2627,165,0 144,0,2024-09-07 08:39:11:518,38198,0.8,39365,1.3,79881,0.8,104968,2.25 144,1,2024-09-07 08:39:10:566,289550,289550,0,0,134860907801,1415024219,287150,2197,203,381,391649,0 144,2,2024-09-07 08:39:11:775,207480,207480,0,0,8512963,0,3473 144,3,2024-09-07 08:39:11:740,1,165,1,0,249,2113,165,0 145,0,2024-09-07 08:39:11:385,37795,0.5,37776,0.7,80203,0.4,103402,2.00 145,1,2024-09-07 08:39:10:573,288626,288626,0,0,135057699718,1425051308,284231,3658,737,383,391615,0 145,2,2024-09-07 08:39:11:432,207041,207041,0,0,9557257,0,3903 145,3,2024-09-07 08:39:10:900,1,165,14,0,151,1869,165,0 146,0,2024-09-07 08:39:11:600,41383,0.4,41121,0.6,82876,0.4,110214,2.00 146,1,2024-09-07 08:39:11:585,290388,290388,0,0,135064213267,1418200616,285870,3658,860,368,391629,0 146,2,2024-09-07 08:39:11:695,208656,208656,0,0,9388735,0,2498 146,3,2024-09-07 08:39:11:275,1,165,3,0,1520,5200,165,0 147,0,2024-09-07 08:39:11:747,46087,0.8,46024,0.9,91506,0.8,122943,2.25 147,1,2024-09-07 08:39:11:415,291235,291235,0,0,136056986918,1417880941,288130,2608,497,368,391791,0 147,2,2024-09-07 08:39:11:010,210887,210887,0,0,8609588,0,2789 147,3,2024-09-07 08:39:10:927,1,165,19,0,371,2039,165,0 0,0,2024-09-07 08:39:21:757,38757,0.4,38731,0.6,82212,0.3,106419,1.75 0,1,2024-09-07 08:39:20:801,291897,291897,0,0,136638480150,1429490673,289930,1830,137,372,391772,0 0,2,2024-09-07 08:39:21:067,209090,209090,0,0,8808726,0,4480 0,3,2024-09-07 08:39:20:974,1,166,2,0,247,2079,166,0 1,0,2024-09-07 08:39:21:794,46118,1.2,45817,1.2,91905,1.7,123662,2.25 1,1,2024-09-07 08:39:20:570,291598,291598,0,0,135515473909,1423028875,288158,2573,867,371,391857,0 1,2,2024-09-07 08:39:20:653,208983,208983,0,0,8031864,0,3267 1,3,2024-09-07 08:39:21:310,1,166,19,0,262,2018,166,0 2,0,2024-09-07 08:39:21:573,42741,1.1,42998,1.0,85376,1.6,114233,2.25 2,1,2024-09-07 08:39:20:859,291647,291647,0,0,136724600407,1421614929,290137,1304,206,380,391745,0 2,2,2024-09-07 08:39:21:268,212934,212934,0,0,8833008,0,3594 2,3,2024-09-07 08:39:20:690,1,166,1,0,357,1697,166,0 3,0,2024-09-07 08:39:21:750,38273,0.5,38573,0.7,77036,0.4,102132,2.00 3,1,2024-09-07 08:39:21:620,291503,291503,0,0,135523529220,1415485810,288394,2685,424,380,391516,0 3,2,2024-09-07 08:39:21:145,208186,208163,23,0,8783907,0,5851 3,3,2024-09-07 08:39:21:754,1,166,16,0,103,1046,166,0 4,0,2024-09-07 08:39:21:808,36905,0.3,38015,0.4,77261,0.2,101279,1.50 4,1,2024-09-07 08:39:20:624,292240,292240,0,0,135948424325,1428743996,289255,2462,523,371,391846,0 4,2,2024-09-07 08:39:21:026,207129,207129,0,0,10514880,0,4528 4,3,2024-09-07 08:39:21:026,1,166,0,0,287,2250,166,0 5,0,2024-09-07 08:39:21:376,44297,0.5,44221,0.6,88342,0.5,117899,1.75 5,1,2024-09-07 08:39:20:770,291302,291302,0,0,136196188669,1435729766,287093,3221,988,368,392005,0 5,2,2024-09-07 08:39:21:963,209122,209122,0,0,9089342,0,2259 5,3,2024-09-07 08:39:21:754,1,166,1,0,238,2423,166,0 6,0,2024-09-07 08:39:20:925,44236,1.0,43829,1.0,87062,1.3,116883,2.25 6,1,2024-09-07 08:39:20:746,292121,292121,0,0,136109436748,1426032111,288721,2752,648,381,391617,0 6,2,2024-09-07 08:39:21:120,214115,214115,0,0,9306960,0,4816 6,3,2024-09-07 08:39:21:274,1,166,1,0,340,2341,166,0 7,0,2024-09-07 08:39:21:556,37944,0.5,37853,0.7,75975,0.4,101002,2.00 7,1,2024-09-07 08:39:20:856,292000,292000,0,0,136738222179,1431613360,289180,2688,132,382,391664,0 7,2,2024-09-07 08:39:20:776,207540,207540,0,0,8471937,0,2981 7,3,2024-09-07 08:39:20:852,1,166,1,0,305,1714,166,0 8,0,2024-09-07 08:39:21:350,38058,0.3,38154,0.4,75990,0.2,101103,1.50 8,1,2024-09-07 08:39:21:032,291352,291352,0,0,135939607324,1436693931,285738,4013,1601,367,391956,0 8,2,2024-09-07 08:39:20:801,205469,205469,0,0,10355056,0,2986 8,3,2024-09-07 08:39:20:595,1,166,0,0,357,2643,166,0 9,0,2024-09-07 08:39:21:135,42811,0.3,41521,0.5,86808,0.3,114392,1.75 9,1,2024-09-07 08:39:20:550,291316,291316,0,0,137046406770,1446636225,286756,3428,1132,370,391753,0 9,2,2024-09-07 08:39:21:087,209854,209854,0,0,9621257,0,3360 9,3,2024-09-07 08:39:21:758,1,166,12,0,496,2720,166,0 10,0,2024-09-07 08:39:21:599,45862,0.4,45517,0.6,91483,0.3,121424,1.75 10,1,2024-09-07 08:39:20:587,291612,291612,0,0,136233664159,1430770342,287141,3830,641,381,391741,0 10,2,2024-09-07 08:39:20:772,212840,212840,0,0,9797599,0,2940 10,3,2024-09-07 08:39:20:871,1,166,1,0,177,1242,166,0 11,0,2024-09-07 08:39:21:009,39830,0.7,38801,0.9,81328,0.8,108056,2.25 11,1,2024-09-07 08:39:20:573,292011,292011,0,0,135566669500,1427318551,286695,4046,1270,384,391537,0 11,2,2024-09-07 08:39:21:122,209146,209146,0,0,9459446,0,3411 11,3,2024-09-07 08:39:21:298,1,166,0,0,720,2864,166,0 12,0,2024-09-07 08:39:20:967,40303,0.3,39961,0.5,80203,0.2,106536,1.50 12,1,2024-09-07 08:39:20:947,291601,291601,0,0,135835601674,1420202391,288705,2482,414,370,391837,0 12,2,2024-09-07 08:39:21:550,209619,209619,0,0,9701936,0,3469 12,3,2024-09-07 08:39:21:059,1,166,1,0,358,2714,166,0 13,0,2024-09-07 08:39:21:346,41027,0.3,40847,0.5,81782,0.3,109256,1.75 13,1,2024-09-07 08:39:21:525,291617,291617,0,0,136133164362,1428988799,289193,2015,409,382,391717,0 13,2,2024-09-07 08:39:20:595,210436,210436,0,0,8837347,0,3287 13,3,2024-09-07 08:39:21:762,1,166,1,0,467,3314,166,0 14,0,2024-09-07 08:39:20:585,47396,0.4,47621,0.6,94410,0.4,125518,1.75 14,1,2024-09-07 08:39:21:561,293574,293574,0,0,137072108048,1422243930,291307,2104,163,364,391571,0 14,2,2024-09-07 08:39:20:776,209995,209995,0,0,9000656,0,2896 14,3,2024-09-07 08:39:21:120,1,166,4,0,1168,2921,166,0 15,0,2024-09-07 08:39:21:560,41903,0.9,41918,1.1,84067,1.1,112061,2.50 15,1,2024-09-07 08:39:21:608,291482,291482,0,0,136220391878,1418219192,289566,1807,109,381,391619,0 15,2,2024-09-07 08:39:21:000,212991,212991,0,0,7566773,0,3043 15,3,2024-09-07 08:39:21:406,1,166,1,0,1126,4344,166,0 16,0,2024-09-07 08:39:20:950,38944,0.5,39217,0.7,77788,0.5,103967,2.00 16,1,2024-09-07 08:39:20:564,292419,292419,0,0,136246688683,1424674106,290190,1967,262,370,391756,0 16,2,2024-09-07 08:39:21:441,207673,207673,0,0,9828537,0,4719 16,3,2024-09-07 08:39:21:143,1,166,2,0,231,2271,166,0 17,0,2024-09-07 08:39:21:802,40154,0.4,39190,0.6,76866,0.3,104457,1.75 17,1,2024-09-07 08:39:20:571,291255,291255,0,0,135653939344,1426456839,287895,2687,673,368,391688,0 17,2,2024-09-07 08:39:21:689,210507,210507,0,0,8775542,0,2857 17,3,2024-09-07 08:39:20:588,1,166,7,0,268,2501,166,0 18,0,2024-09-07 08:39:20:957,44156,0.7,44422,0.8,88849,0.8,118754,2.25 18,1,2024-09-07 08:39:21:638,292136,292136,0,0,136715771079,1419351400,290126,1776,234,367,391564,0 18,2,2024-09-07 08:39:21:755,209725,209725,0,0,8749713,0,3541 18,3,2024-09-07 08:39:20:898,1,166,2,0,163,1740,166,0 19,0,2024-09-07 08:39:21:543,42644,1.1,43137,1.0,85010,1.3,113528,2.75 19,1,2024-09-07 08:39:20:569,292010,292010,0,0,136807563732,1426737576,288195,3099,716,367,391777,0 19,2,2024-09-07 08:39:21:753,214982,214982,0,0,8023329,0,3988 19,3,2024-09-07 08:39:21:129,1,166,1,0,524,1503,166,0 20,0,2024-09-07 08:39:21:371,38198,0.6,38123,0.8,76566,0.6,101820,2.25 20,1,2024-09-07 08:39:20:569,291056,291056,0,0,136124804871,1428882423,287587,3078,391,369,391822,0 20,2,2024-09-07 08:39:20:939,207605,207605,0,0,9122967,0,3721 20,3,2024-09-07 08:39:20:597,1,166,1,0,414,2919,166,0 21,0,2024-09-07 08:39:21:167,38019,0.3,38026,0.5,75732,0.3,100506,1.75 21,1,2024-09-07 08:39:21:543,291350,291350,0,0,135332099552,1425964639,287675,3141,534,368,391962,0 21,2,2024-09-07 08:39:21:110,207719,207719,0,0,10004198,0,3747 21,3,2024-09-07 08:39:21:405,1,166,11,0,103,2017,166,0 22,0,2024-09-07 08:39:21:723,43534,0.5,43613,0.7,86686,0.5,116243,2.00 22,1,2024-09-07 08:39:21:027,290947,290947,0,0,135697001668,1433160480,285403,4035,1509,382,391667,0 22,2,2024-09-07 08:39:20:772,210175,210175,0,0,8562888,0,3134 22,3,2024-09-07 08:39:21:073,1,166,1,0,228,1828,166,0 23,0,2024-09-07 08:39:21:368,44046,0.9,44052,0.9,88479,1.1,117809,2.50 23,1,2024-09-07 08:39:21:004,291899,291899,0,0,137201021985,1435772798,287803,2614,1482,365,391549,0 23,2,2024-09-07 08:39:21:092,213222,213222,0,0,8864868,0,3010 23,3,2024-09-07 08:39:21:754,1,166,1,0,645,1814,166,0 24,0,2024-09-07 08:39:20:859,40225,0.5,40223,0.6,80402,0.5,106490,1.75 24,1,2024-09-07 08:39:20:585,292141,292141,0,0,135566373242,1419798576,289177,2165,799,369,391640,0 24,2,2024-09-07 08:39:21:069,207287,207287,0,0,10136984,0,2942 24,3,2024-09-07 08:39:21:695,1,166,1,0,234,1874,166,0 25,0,2024-09-07 08:39:21:365,40179,0.4,39306,0.6,76893,0.3,104174,1.75 25,1,2024-09-07 08:39:20:564,291487,291487,0,0,135642140466,1425084599,287793,3151,543,371,391788,0 25,2,2024-09-07 08:39:21:609,207565,207565,0,0,10466325,0,3978 25,3,2024-09-07 08:39:21:002,1,166,3,0,255,2093,166,0 26,0,2024-09-07 08:39:21:732,41516,0.3,40588,0.5,85146,0.3,111519,1.75 26,1,2024-09-07 08:39:21:545,292092,292092,0,0,136237585737,1431255173,287427,3764,901,381,391748,0 26,2,2024-09-07 08:39:20:861,210628,210628,0,0,9642837,0,2809 26,3,2024-09-07 08:39:21:713,1,166,6,0,796,2251,166,0 27,0,2024-09-07 08:39:21:755,46532,0.6,46490,0.7,92247,0.6,122920,2.00 27,1,2024-09-07 08:39:21:677,293285,293285,0,0,137004276840,1423527991,291465,1497,323,381,391558,0 27,2,2024-09-07 08:39:20:867,210173,210173,0,0,10105574,0,3409 27,3,2024-09-07 08:39:21:015,1,166,3,0,564,1974,166,0 28,0,2024-09-07 08:39:21:389,41202,0.8,41294,0.8,83160,1.1,110805,2.50 28,1,2024-09-07 08:39:20:797,292613,292613,0,0,137321125110,1431801346,290446,1830,337,383,391646,0 28,2,2024-09-07 08:39:21:764,211159,211159,0,0,8016690,0,2915 28,3,2024-09-07 08:39:21:779,1,166,1,0,502,2065,166,0 29,0,2024-09-07 08:39:21:362,40443,0.3,39588,0.5,77106,0.3,105861,1.75 29,1,2024-09-07 08:39:21:560,293413,293413,0,0,136194615792,1412313924,290981,1889,543,369,391621,0 29,2,2024-09-07 08:39:21:018,208303,208303,0,0,7774799,0,4018 29,3,2024-09-07 08:39:20:963,1,166,1,0,105,1242,166,0 30,0,2024-09-07 08:39:21:456,39837,0.5,38845,0.7,81007,0.4,106604,2.00 30,1,2024-09-07 08:39:20:572,292976,292976,0,0,137038011009,1423855347,290980,1718,278,382,391672,0 30,2,2024-09-07 08:39:21:274,209960,209960,0,0,7843114,0,3161 30,3,2024-09-07 08:39:20:585,1,166,8,0,195,1223,166,0 31,0,2024-09-07 08:39:21:758,45536,0.5,45958,0.7,92431,0.5,123285,2.00 31,1,2024-09-07 08:39:20:564,293575,293575,0,0,136798178836,1402289501,292773,690,112,356,391553,0 31,2,2024-09-07 08:39:21:275,209454,209454,0,0,9535846,0,3525 31,3,2024-09-07 08:39:21:707,1,166,1,0,220,1348,166,0 32,0,2024-09-07 08:39:21:429,42791,0.5,43170,0.7,86464,0.5,114976,1.75 32,1,2024-09-07 08:39:20:803,292657,292657,0,0,136855916596,1421623869,290913,1469,275,382,391595,0 32,2,2024-09-07 08:39:20:951,213423,213423,0,0,7837765,0,3155 32,3,2024-09-07 08:39:21:015,1,166,1,0,227,1478,166,0 33,0,2024-09-07 08:39:21:561,39006,0.3,38399,0.5,77488,0.2,103049,1.75 33,1,2024-09-07 08:39:20:578,293438,293438,0,0,137240758522,1421492314,291179,2087,172,369,391730,0 33,2,2024-09-07 08:39:20:776,208010,207977,33,0,9616545,0,7012 33,3,2024-09-07 08:39:20:899,1,166,10,0,329,1882,166,0 34,0,2024-09-07 08:39:20:945,38154,0.3,39293,0.4,75453,0.2,101416,1.50 34,1,2024-09-07 08:39:21:054,293203,293203,0,0,137522499817,1416519143,292205,992,6,367,391562,0 34,2,2024-09-07 08:39:20:766,207535,207535,0,0,8593474,0,3577 34,3,2024-09-07 08:39:21:690,1,166,11,0,148,1064,166,0 35,0,2024-09-07 08:39:20:888,43838,0.4,44159,0.5,88631,0.3,118335,1.75 35,1,2024-09-07 08:39:21:068,292116,292116,0,0,135992301734,1413785330,289316,2030,770,384,391589,0 35,2,2024-09-07 08:39:21:583,210428,210428,0,0,7954528,0,2653 35,3,2024-09-07 08:39:20:909,1,166,1,0,418,1980,166,0 36,0,2024-09-07 08:39:21:524,43839,1.0,43687,1.0,87903,1.2,116470,2.50 36,1,2024-09-07 08:39:20:592,292211,292211,0,0,136588769504,1431889094,287588,3334,1289,366,391759,0 36,2,2024-09-07 08:39:21:751,213343,213343,0,0,9447038,0,3303 36,3,2024-09-07 08:39:20:863,1,166,1,0,378,2225,166,0 37,0,2024-09-07 08:39:21:380,37992,0.5,38013,0.7,75904,0.4,101926,2.00 37,1,2024-09-07 08:39:20:571,291444,291437,0,7,135526124412,1426099938,286664,2754,2019,365,391560,0 37,2,2024-09-07 08:39:21:147,207768,207753,15,0,9490670,0,5815 37,3,2024-09-07 08:39:21:770,1,166,0,0,724,2490,166,0 38,0,2024-09-07 08:39:21:437,37884,0.4,36820,0.6,76812,0.3,100729,2.00 38,1,2024-09-07 08:39:21:606,292468,292468,0,0,136518441695,1428050563,288429,3407,632,368,391821,0 38,2,2024-09-07 08:39:20:772,208171,208124,47,0,11074421,0,6710 38,3,2024-09-07 08:39:20:997,1,166,1,0,603,2196,166,0 39,0,2024-09-07 08:39:21:761,43868,0.5,42967,0.7,83724,0.5,114935,2.00 39,1,2024-09-07 08:39:20:722,292184,292184,0,0,136035023948,1420168812,288396,2987,801,365,391524,0 39,2,2024-09-07 08:39:21:425,210304,210304,0,0,8231146,0,2689 39,3,2024-09-07 08:39:20:712,1,166,1,0,276,2096,166,0 40,0,2024-09-07 08:39:21:493,45060,0.9,45732,1.1,91007,1.0,120944,3.00 40,1,2024-09-07 08:39:20:576,292291,292291,0,0,135458115716,1420067850,287876,3646,769,368,391591,0 40,2,2024-09-07 08:39:21:303,211855,211854,1,0,10757325,0,5137 40,3,2024-09-07 08:39:21:147,1,166,2,0,181,1792,166,0 41,0,2024-09-07 08:39:21:024,39897,2.0,40626,1.6,77939,3.2,106640,4.25 41,1,2024-09-07 08:39:20:776,291556,291556,0,0,136677639697,1431296350,287361,3661,534,370,391742,0 41,2,2024-09-07 08:39:20:765,207864,207864,0,0,10311958,0,3356 41,3,2024-09-07 08:39:21:680,1,166,2,0,366,1982,166,0 42,0,2024-09-07 08:39:21:492,39323,0.5,39708,0.8,79216,0.4,104793,2.25 42,1,2024-09-07 08:39:21:440,290969,290969,0,0,136040348283,1431749488,286253,3758,958,380,391675,0 42,2,2024-09-07 08:39:21:135,207853,207853,0,0,10024294,0,3568 42,3,2024-09-07 08:39:21:015,1,166,1,0,446,1441,166,0 43,0,2024-09-07 08:39:20:918,40705,0.6,39749,0.8,82942,0.6,109413,2.00 43,1,2024-09-07 08:39:20:582,292128,292128,0,0,136571721572,1425020167,288790,2545,793,366,391604,0 43,2,2024-09-07 08:39:21:738,210351,210351,0,0,9357545,0,3812 43,3,2024-09-07 08:39:21:749,1,166,0,0,325,2145,166,0 44,0,2024-09-07 08:39:20:866,47136,0.5,47506,0.7,94500,0.5,126145,1.75 44,1,2024-09-07 08:39:20:563,292846,292846,0,0,136670580739,1413021314,290788,1633,425,356,391809,0 44,2,2024-09-07 08:39:21:276,209317,209317,0,0,7665362,0,1877 44,3,2024-09-07 08:39:21:093,1,166,4,0,817,2114,166,0 45,0,2024-09-07 08:39:21:773,41381,1.2,40662,1.1,85211,1.4,112739,2.25 45,1,2024-09-07 08:39:21:006,292565,292565,0,0,137801417015,1428370574,291524,1031,10,382,391917,0 45,2,2024-09-07 08:39:21:270,212997,212997,0,0,8441278,0,3596 45,3,2024-09-07 08:39:20:935,1,166,32,0,226,1757,166,0 46,0,2024-09-07 08:39:20:952,38853,0.3,38701,0.6,77704,0.3,103058,1.75 46,1,2024-09-07 08:39:20:575,293258,293258,0,0,136844247873,1415584831,291449,1586,223,366,391524,0 46,2,2024-09-07 08:39:20:597,209022,209022,0,0,8320325,0,2920 46,3,2024-09-07 08:39:21:131,1,166,42,0,908,2971,166,0 47,0,2024-09-07 08:39:21:108,39006,0.3,39249,0.5,78668,0.2,104184,1.75 47,1,2024-09-07 08:39:20:570,293439,293439,0,0,136891720904,1413391674,292035,1387,17,366,391605,0 47,2,2024-09-07 08:39:20:909,209731,209731,0,0,8416313,0,2558 47,3,2024-09-07 08:39:21:120,1,166,52,0,529,1904,166,0 48,0,2024-09-07 08:39:21:528,45143,0.3,44782,0.4,89571,0.2,119446,1.50 48,1,2024-09-07 08:39:21:032,293324,293324,0,0,137076114364,1424701694,291263,1880,181,384,391710,0 48,2,2024-09-07 08:39:20:702,210196,210196,0,0,7202298,0,2083 48,3,2024-09-07 08:39:20:754,1,166,1,0,339,1448,166,0 49,0,2024-09-07 08:39:21:717,44299,0.5,43428,0.7,84363,0.6,115404,1.75 49,1,2024-09-07 08:39:21:021,291788,291788,0,0,136794290111,1426570552,289050,1898,840,382,391583,0 49,2,2024-09-07 08:39:21:798,214681,214681,0,0,8295989,0,3900 49,3,2024-09-07 08:39:21:425,1,166,1,0,408,2387,166,0 50,0,2024-09-07 08:39:21:516,38334,0.3,38040,0.5,76158,0.2,101790,1.75 50,1,2024-09-07 08:39:21:010,294052,294052,0,0,137691262566,1427688082,291525,2218,309,368,391530,0 50,2,2024-09-07 08:39:21:075,208079,208079,0,0,7810388,0,2253 50,3,2024-09-07 08:39:21:296,1,166,3,0,335,1349,166,0 51,0,2024-09-07 08:39:21:685,38544,0.3,38041,0.4,74007,0.2,100914,1.50 51,1,2024-09-07 08:39:21:680,292402,292402,0,0,137396914428,1420846183,290432,1184,786,365,391637,0 51,2,2024-09-07 08:39:21:331,208200,208200,0,0,7364758,0,3337 51,3,2024-09-07 08:39:21:026,1,166,1,0,162,916,166,0 52,0,2024-09-07 08:39:21:422,43943,0.5,43780,0.7,87564,0.4,116621,2.00 52,1,2024-09-07 08:39:20:575,291573,291573,0,0,135376219403,1427540804,285658,4756,1159,368,391722,0 52,2,2024-09-07 08:39:21:755,208877,208839,38,0,10067179,0,6742 52,3,2024-09-07 08:39:20:674,1,166,1,0,1782,3533,166,0 53,0,2024-09-07 08:39:21:757,44051,1.0,42773,1.0,89381,1.2,117075,2.75 53,1,2024-09-07 08:39:20:779,291372,291372,0,0,136113338317,1436161945,285743,3735,1894,367,391702,0 53,2,2024-09-07 08:39:21:298,213826,213826,0,0,8443342,0,2262 53,3,2024-09-07 08:39:20:697,1,166,1,0,271,1638,166,0 54,0,2024-09-07 08:39:21:615,38563,1.9,39116,1.2,77459,0.9,103691,4.00 54,1,2024-09-07 08:39:20:585,291856,291856,0,0,136837324264,1428690183,287886,3399,571,367,391532,0 54,2,2024-09-07 08:39:20:866,208118,208112,6,0,9952173,0,5382 54,3,2024-09-07 08:39:20:767,1,166,1,0,676,3192,166,0 55,0,2024-09-07 08:39:21:763,37751,0.4,39007,0.7,78885,0.3,102012,2.25 55,1,2024-09-07 08:39:20:766,291601,291601,0,0,136029336620,1424411328,286411,4403,787,365,391731,0 55,2,2024-09-07 08:39:20:731,207728,207728,0,0,9507417,0,3275 55,3,2024-09-07 08:39:20:674,1,166,1,0,304,1712,166,0 56,0,2024-09-07 08:39:21:566,42861,1.0,40454,1.0,83144,1.4,111869,2.25 56,1,2024-09-07 08:39:20:574,291241,291241,0,0,136141855740,1441519750,286077,4260,904,381,391678,0 56,2,2024-09-07 08:39:21:303,209790,209790,0,0,10517383,0,3567 56,3,2024-09-07 08:39:21:059,1,166,36,0,405,2336,166,0 57,0,2024-09-07 08:39:20:990,45463,2.4,45482,1.5,91125,3.3,121798,3.75 57,1,2024-09-07 08:39:20:989,292022,292022,0,0,135880598216,1422980771,289187,2665,170,368,391960,0 57,2,2024-09-07 08:39:21:323,211789,211789,0,0,10597745,0,3178 57,3,2024-09-07 08:39:21:753,1,166,5,0,359,2749,166,0 58,0,2024-09-07 08:39:20:562,40212,1.2,39164,1.2,82051,1.6,107440,2.75 58,1,2024-09-07 08:39:20:575,292663,292660,0,3,137052066020,1431461106,289142,3120,398,367,391516,3 58,2,2024-09-07 08:39:21:071,210665,210665,0,0,9659875,0,2549 58,3,2024-09-07 08:39:21:072,1,166,1,0,1043,2349,166,0 59,0,2024-09-07 08:39:21:762,39524,0.7,39238,0.9,78423,0.7,104711,2.75 59,1,2024-09-07 08:39:20:804,291803,291803,0,0,136347631691,1426789147,288153,2728,922,369,391515,0 59,2,2024-09-07 08:39:20:585,209296,209296,0,0,8548952,0,2604 59,3,2024-09-07 08:39:21:753,1,166,2,0,1015,2896,166,0 60,0,2024-09-07 08:39:21:709,40202,0.4,40222,0.6,80143,0.3,106710,1.75 60,1,2024-09-07 08:39:20:781,293200,293200,0,0,136906525156,1419386638,291741,1101,358,370,391761,0 60,2,2024-09-07 08:39:21:177,209045,209045,0,0,9659204,0,3811 60,3,2024-09-07 08:39:21:279,1,166,12,0,124,1595,166,0 61,0,2024-09-07 08:39:21:525,45930,1.2,46120,1.0,91918,1.8,123379,2.00 61,1,2024-09-07 08:39:20:780,291907,291907,0,0,136129017256,1427899255,288464,2890,553,382,391589,0 61,2,2024-09-07 08:39:21:132,210471,210471,0,0,8520644,0,2079 61,3,2024-09-07 08:39:21:690,1,166,4,0,199,1936,166,0 62,0,2024-09-07 08:39:21:715,43198,1.4,44051,1.1,84151,2.0,114678,2.25 62,1,2024-09-07 08:39:21:119,294157,294151,0,6,137349738876,1417323341,292472,1640,39,365,391715,6 62,2,2024-09-07 08:39:21:655,212238,212237,1,0,10098000,0,5555 62,3,2024-09-07 08:39:21:148,1,166,1,0,287,1188,166,0 63,0,2024-09-07 08:39:21:455,38596,0.5,38542,0.7,77232,0.5,102809,2.00 63,1,2024-09-07 08:39:20:804,293260,293254,0,6,136812986857,1417395761,292012,1216,26,381,391677,6 63,2,2024-09-07 08:39:20:766,207798,207798,0,0,7949871,0,2674 63,3,2024-09-07 08:39:21:753,1,166,3,0,667,2416,166,0 64,0,2024-09-07 08:39:21:535,37991,0.4,37848,0.6,76065,0.3,101275,1.75 64,1,2024-09-07 08:39:20:780,292874,292874,0,0,136144183524,1423578003,289406,2414,1054,370,391783,0 64,2,2024-09-07 08:39:21:142,209856,209837,19,0,8635126,0,6121 64,3,2024-09-07 08:39:21:143,1,166,1,0,265,1849,166,0 65,0,2024-09-07 08:39:21:757,43505,0.7,44019,0.8,87799,0.8,117333,2.25 65,1,2024-09-07 08:39:20:878,291754,291754,0,0,135796081810,1421395460,289247,2283,224,382,391770,0 65,2,2024-09-07 08:39:21:703,209780,209780,0,0,9107193,0,3367 65,3,2024-09-07 08:39:21:689,1,166,1,0,163,1676,166,0 66,0,2024-09-07 08:39:21:764,43303,0.7,43454,0.9,87277,0.8,115669,2.50 66,1,2024-09-07 08:39:21:301,293289,293289,0,0,136686241798,1421134393,291414,1704,171,380,391588,0 66,2,2024-09-07 08:39:21:138,215359,215359,0,0,8499548,0,4956 66,3,2024-09-07 08:39:21:087,1,166,3,0,291,1719,166,0 67,0,2024-09-07 08:39:21:417,38518,0.8,38282,0.9,76911,1.0,102040,2.50 67,1,2024-09-07 08:39:20:767,291982,291981,0,1,135997978325,1423006318,288514,2721,746,381,391787,1 67,2,2024-09-07 08:39:20:585,208683,208683,0,0,8057549,0,2889 67,3,2024-09-07 08:39:21:751,1,166,1,0,138,1294,166,0 68,0,2024-09-07 08:39:20:582,38270,0.4,38114,0.7,76145,0.4,101039,2.00 68,1,2024-09-07 08:39:20:575,291385,291385,0,0,135890390169,1426490202,288528,1838,1019,381,391953,0 68,2,2024-09-07 08:39:21:045,207008,206943,65,0,11410782,0,6698 68,3,2024-09-07 08:39:20:731,1,166,1,0,417,2085,166,0 69,0,2024-09-07 08:39:21:763,42688,0.7,42784,0.8,85123,0.6,114329,2.00 69,1,2024-09-07 08:39:21:024,290767,290767,0,0,135737776139,1435257448,286757,2795,1215,384,391994,0 69,2,2024-09-07 08:39:21:759,210500,210500,0,0,10057731,0,3701 69,3,2024-09-07 08:39:20:778,1,166,9,0,238,2036,166,0 70,0,2024-09-07 08:39:21:536,44952,1.8,45253,1.4,90742,1.3,120342,2.75 70,1,2024-09-07 08:39:20:815,292187,292187,0,0,136807138859,1421918042,289730,1995,462,366,391725,0 70,2,2024-09-07 08:39:21:334,212880,212880,0,0,9222027,0,4044 70,3,2024-09-07 08:39:20:744,1,166,1,0,854,2202,166,0 71,0,2024-09-07 08:39:21:359,39751,2.0,39849,1.6,79360,2.9,106776,3.50 71,1,2024-09-07 08:39:21:596,292214,292214,0,0,136275437570,1426701008,288097,3592,525,368,391682,0 71,2,2024-09-07 08:39:21:066,209911,209911,0,0,9203448,0,2470 71,3,2024-09-07 08:39:21:751,1,166,1,0,644,2576,166,0 72,0,2024-09-07 08:39:21:096,41212,0.4,40284,0.7,78677,0.3,106960,2.25 72,1,2024-09-07 08:39:21:045,291877,291877,0,0,135721284604,1417674537,288611,2780,486,369,391819,0 72,2,2024-09-07 08:39:21:759,207718,207718,0,0,10796771,0,2570 72,3,2024-09-07 08:39:21:755,1,166,1,0,364,3252,166,0 73,0,2024-09-07 08:39:21:186,39825,0.4,40885,0.6,83534,0.3,108860,2.00 73,1,2024-09-07 08:39:20:772,292164,292164,0,0,136342609428,1415315362,290357,1692,115,367,391627,0 73,2,2024-09-07 08:39:21:741,209978,209978,0,0,10089301,0,3482 73,3,2024-09-07 08:39:20:982,1,166,1,0,274,2602,166,0 74,0,2024-09-07 08:39:21:341,47538,0.5,48573,0.7,92461,0.6,125540,2.25 74,1,2024-09-07 08:39:20:639,291876,291876,0,0,135874925197,1418857284,289084,2170,622,382,391681,0 74,2,2024-09-07 08:39:21:002,209915,209915,0,0,10114069,0,4253 74,3,2024-09-07 08:39:21:442,1,166,0,0,522,2772,166,0 75,0,2024-09-07 08:39:21:773,42309,1.3,41988,1.2,83994,1.4,113064,2.75 75,1,2024-09-07 08:39:21:593,291636,291636,0,0,136050395076,1425501958,288239,2937,460,381,391579,0 75,2,2024-09-07 08:39:21:350,211933,211933,0,0,9757891,0,4766 75,3,2024-09-07 08:39:21:076,1,166,10,0,535,1878,166,0 76,0,2024-09-07 08:39:20:632,38739,0.4,38490,0.7,76926,0.3,103342,2.25 76,1,2024-09-07 08:39:20:806,292233,292233,0,0,135915466723,1418552926,290172,1550,511,382,391692,0 76,2,2024-09-07 08:39:21:093,209135,209135,0,0,8356975,0,3064 76,3,2024-09-07 08:39:21:160,1,166,7,0,175,1886,166,0 77,0,2024-09-07 08:39:21:723,38977,0.4,39210,0.6,78449,0.4,104075,1.75 77,1,2024-09-07 08:39:20:830,293103,293103,0,0,136110987344,1419144857,291507,1512,84,383,391808,0 77,2,2024-09-07 08:39:21:291,208266,208266,0,0,8689449,0,3890 77,3,2024-09-07 08:39:21:104,1,166,7,0,305,2043,166,0 78,0,2024-09-07 08:39:21:723,44787,0.5,44519,0.7,89855,0.4,119024,2.00 78,1,2024-09-07 08:39:20:623,292663,292663,0,0,136343706753,1418584154,290328,2082,253,367,391589,0 78,2,2024-09-07 08:39:21:405,210661,210661,0,0,8056646,0,2114 78,3,2024-09-07 08:39:21:135,1,166,1,0,181,1674,166,0 79,0,2024-09-07 08:39:21:354,41702,0.5,42700,0.8,87324,0.5,113832,2.50 79,1,2024-09-07 08:39:20:572,293074,293074,0,0,136804348236,1418041150,290824,2078,172,369,391682,0 79,2,2024-09-07 08:39:21:069,214269,214269,0,0,8319933,0,3212 79,3,2024-09-07 08:39:20:754,1,166,4,0,418,2900,166,0 80,0,2024-09-07 08:39:21:198,38169,0.6,39125,0.8,75118,0.6,101864,2.25 80,1,2024-09-07 08:39:21:627,291700,291700,0,0,135955460665,1416454324,289173,2364,163,368,391673,0 80,2,2024-09-07 08:39:21:115,208553,208553,0,0,8624437,0,4433 80,3,2024-09-07 08:39:20:582,1,166,0,0,190,2656,166,0 81,0,2024-09-07 08:39:21:558,37792,0.4,38933,0.6,74508,0.4,100588,1.75 81,1,2024-09-07 08:39:21:656,292003,292003,0,0,136158745924,1423892477,289522,2209,272,382,391879,0 81,2,2024-09-07 08:39:21:140,207664,207664,0,0,9099259,0,3993 81,3,2024-09-07 08:39:21:124,1,166,1,0,193,1626,166,0 82,0,2024-09-07 08:39:21:537,43544,0.5,43714,0.7,87502,0.4,116780,2.00 82,1,2024-09-07 08:39:20:585,292716,292712,0,4,135834220091,1416944697,290267,1867,578,381,391558,4 82,2,2024-09-07 08:39:21:691,210880,210880,0,0,8351004,0,3986 82,3,2024-09-07 08:39:21:754,1,166,2,0,227,1881,166,0 83,0,2024-09-07 08:39:21:524,44307,0.7,44363,0.8,88053,0.8,117218,2.25 83,1,2024-09-07 08:39:20:560,292335,292335,0,0,136132245013,1422233526,289888,2243,204,382,391690,0 83,2,2024-09-07 08:39:20:775,213222,213222,0,0,8407226,0,3119 83,3,2024-09-07 08:39:20:754,1,166,1,0,241,1898,166,0 84,0,2024-09-07 08:39:21:775,39273,1.3,39121,1.3,78629,1.0,104975,2.75 84,1,2024-09-07 08:39:21:053,291533,291533,0,0,135389813999,1419284657,288133,2943,457,368,391852,0 84,2,2024-09-07 08:39:20:571,208322,208322,0,0,9614861,0,3801 84,3,2024-09-07 08:39:21:142,1,166,1,0,270,1955,166,0 85,0,2024-09-07 08:39:21:012,37723,0.5,37729,0.7,80071,0.4,103135,2.00 85,1,2024-09-07 08:39:20:567,291149,291149,0,0,135852953019,1440606085,285696,4373,1080,382,392006,0 85,2,2024-09-07 08:39:20:866,208543,208543,0,0,10300932,0,3656 85,3,2024-09-07 08:39:20:684,1,166,2,0,789,2503,166,0 86,0,2024-09-07 08:39:20:952,41887,0.5,43014,0.6,82397,0.5,111782,1.75 86,1,2024-09-07 08:39:20:858,291710,291710,0,0,136563930086,1431554485,287748,3417,545,366,391961,0 86,2,2024-09-07 08:39:20:857,208883,208882,1,0,10636922,0,5004 86,3,2024-09-07 08:39:20:586,1,166,4,0,286,2416,166,0 87,0,2024-09-07 08:39:21:287,46207,1.4,45980,1.1,92349,2.1,123644,2.25 87,1,2024-09-07 08:39:20:556,291643,291643,0,0,135990746904,1425437184,288427,2824,392,366,391788,0 87,2,2024-09-07 08:39:21:067,210585,210585,0,0,9026312,0,3515 87,3,2024-09-07 08:39:21:795,1,166,0,0,335,2846,166,0 88,0,2024-09-07 08:39:21:443,41499,0.7,41642,0.7,83137,0.8,110793,1.75 88,1,2024-09-07 08:39:20:571,290815,290815,0,0,136237145741,1430881877,286770,2875,1170,365,391787,0 88,2,2024-09-07 08:39:20:687,210713,210713,0,0,10341503,0,3583 88,3,2024-09-07 08:39:21:274,1,166,104,0,435,2348,166,0 89,0,2024-09-07 08:39:21:850,40659,0.4,39335,0.6,77920,0.4,106084,1.75 89,1,2024-09-07 08:39:20:550,290310,290310,0,0,135735743865,1436649151,284873,4500,937,382,391866,0 89,2,2024-09-07 08:39:21:137,208153,208153,0,0,10190873,0,2910 89,3,2024-09-07 08:39:21:793,1,166,2,0,325,3180,166,0 90,0,2024-09-07 08:39:21:662,38876,0.4,39713,0.5,81611,0.3,106276,1.75 90,1,2024-09-07 08:39:20:589,292370,292370,0,0,135772353779,1426231028,289141,2972,257,381,391825,0 90,2,2024-09-07 08:39:21:406,208431,208431,0,0,11106860,0,3060 90,3,2024-09-07 08:39:20:930,1,166,3,0,200,1922,166,0 91,0,2024-09-07 08:39:20:929,46535,0.5,44826,0.6,93440,0.5,123837,1.75 91,1,2024-09-07 08:39:20:565,291226,291226,0,0,135857581816,1428198021,287474,3255,497,381,392047,0 91,2,2024-09-07 08:39:21:333,208759,208759,0,0,10361479,0,2445 91,3,2024-09-07 08:39:20:603,1,166,0,0,216,1897,166,0 92,0,2024-09-07 08:39:21:463,43301,0.8,44396,0.8,84844,0.9,115022,1.75 92,1,2024-09-07 08:39:20:586,292319,292319,0,0,136246189432,1423754136,290141,1774,404,382,392136,0 92,2,2024-09-07 08:39:21:374,215069,215069,0,0,8646351,0,2801 92,3,2024-09-07 08:39:21:009,1,166,0,0,167,1521,166,0 93,0,2024-09-07 08:39:20:961,38862,0.4,39769,0.6,76071,0.4,103223,1.75 93,1,2024-09-07 08:39:20:806,291931,291931,0,0,136539544590,1426646021,288819,2562,550,366,391692,0 93,2,2024-09-07 08:39:20:929,208326,208326,0,0,8526354,0,2509 93,3,2024-09-07 08:39:21:406,1,166,1,0,143,1714,166,0 94,0,2024-09-07 08:39:21:619,38097,0.3,38450,0.5,76262,0.2,101085,1.50 94,1,2024-09-07 08:39:20:572,291822,291822,0,0,135955873926,1422683939,289376,2351,95,381,391850,0 94,2,2024-09-07 08:39:20:766,208190,208190,0,0,8362730,0,2443 94,3,2024-09-07 08:39:21:691,1,166,1,0,264,2468,166,0 95,0,2024-09-07 08:39:21:352,44394,0.3,44148,0.5,88921,0.3,118059,1.75 95,1,2024-09-07 08:39:20:852,292347,292347,0,0,135973815827,1415424143,289959,2204,184,367,391662,0 95,2,2024-09-07 08:39:21:032,210057,210057,0,0,8676311,0,3308 95,3,2024-09-07 08:39:21:712,1,166,12,0,718,3081,166,0 96,0,2024-09-07 08:39:21:059,43854,0.6,44024,0.7,88265,0.6,116326,1.75 96,1,2024-09-07 08:39:21:640,291770,291770,0,0,136409335199,1427525052,289168,1959,643,385,391894,0 96,2,2024-09-07 08:39:21:268,213812,213812,0,0,9233592,0,4042 96,3,2024-09-07 08:39:21:141,1,166,3,0,188,1870,166,0 97,0,2024-09-07 08:39:21:396,38619,0.4,38516,0.6,77064,0.4,102123,1.75 97,1,2024-09-07 08:39:20:772,292613,292613,0,0,136872157745,1424373799,289807,2256,550,367,392140,0 97,2,2024-09-07 08:39:20:610,208002,208002,0,0,8227160,0,3036 97,3,2024-09-07 08:39:20:570,1,166,1,0,165,1914,166,0 98,0,2024-09-07 08:39:21:713,38164,0.2,38080,0.4,76394,0.2,101184,1.50 98,1,2024-09-07 08:39:20:571,292618,292618,0,0,136126791833,1419602668,290797,1730,91,382,391997,0 98,2,2024-09-07 08:39:20:772,209001,209001,0,0,8653439,0,3080 98,3,2024-09-07 08:39:20:698,1,166,11,0,840,3101,166,0 99,0,2024-09-07 08:39:21:453,42724,0.3,42774,0.4,85400,0.2,114629,1.50 99,1,2024-09-07 08:39:21:746,292565,292565,0,0,136616234086,1427384151,289502,2367,696,381,391744,0 99,2,2024-09-07 08:39:21:428,212114,212114,0,0,8419723,0,2615 99,3,2024-09-07 08:39:20:588,1,166,1,0,129,1215,166,0 100,0,2024-09-07 08:39:21:490,45533,1.2,45388,1.3,90889,2.1,121305,2.50 100,1,2024-09-07 08:39:20:549,289888,289888,0,0,135572849557,1439133497,284633,4324,931,381,391989,0 100,2,2024-09-07 08:39:21:842,211466,211455,11,0,9904858,0,5417 100,3,2024-09-07 08:39:21:741,1,166,6,0,559,3698,166,0 101,0,2024-09-07 08:39:21:736,41043,2.8,40011,1.6,78513,3.4,108061,3.00 101,1,2024-09-07 08:39:20:558,290878,290878,0,0,136104791394,1439829871,285516,3885,1477,368,391769,0 101,2,2024-09-07 08:39:21:759,208669,208669,0,0,10859312,0,4644 101,3,2024-09-07 08:39:20:949,1,166,1,0,448,2055,166,0 102,0,2024-09-07 08:39:20:944,38957,0.5,40317,0.7,81053,0.4,106205,2.00 102,1,2024-09-07 08:39:21:142,291608,291608,0,0,135742058793,1429629129,287521,3375,712,369,391883,0 102,2,2024-09-07 08:39:21:755,208973,208919,54,0,10234210,0,6768 102,3,2024-09-07 08:39:21:622,1,166,2,0,410,1713,166,0 103,0,2024-09-07 08:39:21:597,42007,0.4,42043,0.6,79348,0.3,109630,1.75 103,1,2024-09-07 08:39:21:625,290489,290489,0,0,135517332148,1430595209,286040,3240,1209,381,391829,0 103,2,2024-09-07 08:39:20:586,209416,209416,0,0,9183380,0,2104 103,3,2024-09-07 08:39:20:767,1,166,0,0,916,2932,166,0 104,0,2024-09-07 08:39:21:019,46759,1.2,47027,1.1,92901,1.4,125609,2.25 104,1,2024-09-07 08:39:21:620,292202,292202,0,0,135535826195,1430566956,287190,4047,965,365,391948,0 104,2,2024-09-07 08:39:21:671,209135,209135,0,0,10132672,0,3941 104,3,2024-09-07 08:39:21:425,1,166,1,1,1245,5138,166,0 105,0,2024-09-07 08:39:21:035,41619,1.7,40390,1.4,84363,2.7,111859,3.75 105,1,2024-09-07 08:39:20:554,292408,292408,0,0,135760733770,1426080963,288196,3437,775,367,391797,0 105,2,2024-09-07 08:39:21:325,211577,211577,0,0,9566864,0,3509 105,3,2024-09-07 08:39:21:309,1,166,12,0,399,2637,166,0 106,0,2024-09-07 08:39:20:942,37573,0.6,38656,0.8,78649,0.6,103075,2.25 106,1,2024-09-07 08:39:21:756,291837,291837,0,0,136392563235,1431765125,288045,3408,384,369,391767,0 106,2,2024-09-07 08:39:20:765,207598,207598,0,0,9622190,0,2795 106,3,2024-09-07 08:39:20:677,1,166,2,0,405,2428,166,0 107,0,2024-09-07 08:39:21:107,38965,0.4,39124,0.6,77649,0.3,104334,1.75 107,1,2024-09-07 08:39:20:587,291487,291487,0,0,136217725633,1432249673,288487,2769,231,381,392234,0 107,2,2024-09-07 08:39:21:302,208620,208619,1,0,9727045,0,5024 107,3,2024-09-07 08:39:21:759,1,166,2,0,353,2393,166,0 108,0,2024-09-07 08:39:21:805,44967,0.4,44992,0.6,89495,0.4,119432,1.75 108,1,2024-09-07 08:39:21:300,291830,291830,0,0,136777558048,1428404717,289170,2347,313,368,391857,0 108,2,2024-09-07 08:39:21:756,208355,208355,0,0,9078795,0,2647 108,3,2024-09-07 08:39:21:335,1,166,1,0,667,3313,166,0 109,0,2024-09-07 08:39:21:774,43350,0.5,43003,0.6,85950,0.4,115552,1.75 109,1,2024-09-07 08:39:20:595,291348,291348,0,0,136596890408,1434609628,288567,2285,496,383,392132,0 109,2,2024-09-07 08:39:20:954,213224,213224,0,0,9482114,0,3617 109,3,2024-09-07 08:39:21:141,1,166,5,0,249,2330,166,0 110,0,2024-09-07 08:39:21:786,38503,0.4,37308,0.6,77997,0.3,102171,1.75 110,1,2024-09-07 08:39:21:647,292549,292549,0,0,136973911285,1425775175,289935,1849,765,370,391667,0 110,2,2024-09-07 08:39:21:312,208137,208137,0,0,8300923,0,2915 110,3,2024-09-07 08:39:20:690,1,166,1,0,406,2317,166,0 111,0,2024-09-07 08:39:21:413,38002,0.2,37543,0.4,75070,0.1,100904,1.50 111,1,2024-09-07 08:39:21:000,293066,293066,0,0,137415620876,1426588669,291288,1445,333,382,391690,0 111,2,2024-09-07 08:39:21:120,207689,207689,0,0,9121975,0,2763 111,3,2024-09-07 08:39:20:921,1,166,0,0,379,2592,166,0 112,0,2024-09-07 08:39:20:914,43690,0.3,43693,0.4,87317,0.2,116889,1.50 112,1,2024-09-07 08:39:20:828,293320,293320,0,0,136365144340,1418295670,290992,1902,426,381,391580,0 112,2,2024-09-07 08:39:21:138,210455,210454,1,0,9077556,0,5036 112,3,2024-09-07 08:39:20:594,1,166,1,0,282,1898,166,0 113,0,2024-09-07 08:39:20:901,44142,0.4,44077,0.6,88843,0.4,118441,1.75 113,1,2024-09-07 08:39:21:691,292992,292992,0,0,137141025169,1420386903,290575,1929,488,366,391661,0 113,2,2024-09-07 08:39:21:315,214577,214577,0,0,7994078,0,3813 113,3,2024-09-07 08:39:20:683,1,166,3,0,340,2741,166,0 114,0,2024-09-07 08:39:20:875,40105,0.6,40777,0.7,79912,0.4,106820,2.00 114,1,2024-09-07 08:39:20:719,292181,292181,0,0,136152585168,1425761195,288050,2668,1463,381,391534,0 114,2,2024-09-07 08:39:20:873,208282,208282,0,0,8213651,0,3925 114,3,2024-09-07 08:39:21:280,1,166,0,0,395,1991,166,0 115,0,2024-09-07 08:39:20:558,39001,0.2,39351,0.4,78737,0.2,103906,1.50 115,1,2024-09-07 08:39:20:571,292587,292587,0,0,136723702479,1426251588,288943,2823,821,382,391602,0 115,2,2024-09-07 08:39:21:127,209590,209590,0,0,7726130,0,2152 115,3,2024-09-07 08:39:21:002,1,166,1,0,159,1076,166,0 116,0,2024-09-07 08:39:21:712,41985,0.5,41508,0.7,83340,0.5,111506,2.00 116,1,2024-09-07 08:39:20:810,289996,289996,0,0,135723759881,1442939720,285081,3158,1757,382,391677,0 116,2,2024-09-07 08:39:21:754,209318,209318,0,0,10923883,0,3529 116,3,2024-09-07 08:39:20:915,1,166,1,0,252,2441,166,0 117,0,2024-09-07 08:39:20:988,46022,1.3,46168,1.1,92324,1.8,123466,2.00 117,1,2024-09-07 08:39:21:579,291798,291798,0,0,135449673035,1421630648,288120,3249,429,370,392033,0 117,2,2024-09-07 08:39:21:127,212195,212195,0,0,8807561,0,3700 117,3,2024-09-07 08:39:21:069,1,166,5,0,490,3376,166,0 118,0,2024-09-07 08:39:21:764,40397,0.9,41557,0.9,84404,1.1,110131,2.25 118,1,2024-09-07 08:39:20:586,291450,291450,0,0,135684992343,1432443854,286598,3530,1322,366,391736,0 118,2,2024-09-07 08:39:21:586,210296,210296,0,0,9855317,0,2781 118,3,2024-09-07 08:39:21:764,1,166,1,0,235,2179,166,0 119,0,2024-09-07 08:39:21:335,39093,0.6,39294,0.8,79436,0.6,105213,2.00 119,1,2024-09-07 08:39:20:548,292260,292260,0,0,136680722383,1427010685,289773,2199,288,369,391641,0 119,2,2024-09-07 08:39:21:261,208130,208130,0,0,9131422,0,3526 119,3,2024-09-07 08:39:21:324,1,166,7,0,443,2942,166,0 120,0,2024-09-07 08:39:21:551,39867,0.4,39887,0.7,79699,0.4,106443,2.00 120,1,2024-09-07 08:39:20:861,292349,292349,0,0,135805751471,1426661579,289051,3036,262,368,391961,0 120,2,2024-09-07 08:39:20:775,208536,208535,1,0,11427963,0,5281 120,3,2024-09-07 08:39:21:308,1,166,4,0,241,2364,166,0 121,0,2024-09-07 08:39:21:699,45699,1.4,46144,1.1,91880,2.1,123200,2.25 121,1,2024-09-07 08:39:21:656,291925,291925,0,0,136436840644,1428657198,289151,2485,289,367,391840,0 121,2,2024-09-07 08:39:21:137,208737,208737,0,0,10367585,0,4127 121,3,2024-09-07 08:39:20:730,1,166,2,0,269,2274,166,0 122,0,2024-09-07 08:39:21:764,42735,1.2,41629,1.1,87250,1.4,115094,2.25 122,1,2024-09-07 08:39:20:961,290981,290981,0,0,136233791038,1432712472,286843,3431,707,366,392130,0 122,2,2024-09-07 08:39:21:324,213142,213142,0,0,11408370,0,3364 122,3,2024-09-07 08:39:20:596,1,166,2,0,411,3831,166,0 123,0,2024-09-07 08:39:20:958,38615,0.8,37638,0.8,78803,0.9,102759,2.00 123,1,2024-09-07 08:39:20:562,291965,291965,0,0,136035059315,1433009366,286889,4382,694,369,391823,0 123,2,2024-09-07 08:39:21:020,206380,206379,1,0,9946015,0,5215 123,3,2024-09-07 08:39:21:134,1,166,1,0,168,2021,166,0 124,0,2024-09-07 08:39:20:937,39267,0.3,39193,0.5,74134,0.2,101488,1.50 124,1,2024-09-07 08:39:21:022,292447,292447,0,0,136646282324,1420588947,290358,1733,356,367,392178,0 124,2,2024-09-07 08:39:21:017,208675,208675,0,0,8359973,0,3101 124,3,2024-09-07 08:39:20:765,1,166,2,0,490,2287,166,0 125,0,2024-09-07 08:39:21:462,44321,0.4,44311,0.5,88805,0.4,118236,1.75 125,1,2024-09-07 08:39:20:857,292426,292426,0,0,136522659110,1426527427,290056,2094,276,384,391702,0 125,2,2024-09-07 08:39:21:129,210743,210743,0,0,8388104,0,2180 125,3,2024-09-07 08:39:21:132,1,166,2,0,284,2136,166,0 126,0,2024-09-07 08:39:21:424,43963,0.7,45124,0.8,86297,0.8,117138,2.00 126,1,2024-09-07 08:39:20:551,292747,292747,0,0,137191448152,1422651671,291110,1559,78,365,391987,0 126,2,2024-09-07 08:39:20:612,214667,214667,0,0,8746937,0,3186 126,3,2024-09-07 08:39:20:907,1,166,2,0,150,2358,166,0 127,0,2024-09-07 08:39:21:600,38366,0.4,38549,0.6,76878,0.4,102041,1.75 127,1,2024-09-07 08:39:20:595,292127,292127,0,0,136469620030,1415119732,290051,2035,41,365,391816,0 127,2,2024-09-07 08:39:20:676,208005,208005,0,0,8129709,0,2264 127,3,2024-09-07 08:39:21:269,1,166,2,0,243,1464,166,0 128,0,2024-09-07 08:39:21:530,38362,0.3,38168,0.4,76407,0.2,101276,1.50 128,1,2024-09-07 08:39:21:606,292718,292718,0,0,136716052087,1416169315,291739,907,72,367,391680,0 128,2,2024-09-07 08:39:21:385,208110,208110,0,0,8137380,0,2107 128,3,2024-09-07 08:39:20:773,1,166,1,0,333,2379,166,0 129,0,2024-09-07 08:39:21:024,43055,0.3,42769,0.4,85528,0.2,114401,1.50 129,1,2024-09-07 08:39:20:575,291085,291085,0,0,135549180513,1420840287,288156,2536,393,379,391835,0 129,2,2024-09-07 08:39:20:686,210358,210358,0,0,8552310,0,4031 129,3,2024-09-07 08:39:20:702,1,166,5,0,173,1943,166,0 130,0,2024-09-07 08:39:21:720,45884,0.7,45639,0.8,91701,0.9,122150,2.00 130,1,2024-09-07 08:39:20:587,292531,292531,0,0,136410019110,1422103351,290416,2043,72,381,391825,0 130,2,2024-09-07 08:39:21:127,213335,213335,0,0,8408725,0,4067 130,3,2024-09-07 08:39:21:296,1,166,1,0,450,1953,166,0 131,0,2024-09-07 08:39:21:943,40456,0.6,40673,0.7,82192,0.6,108320,1.75 131,1,2024-09-07 08:39:21:825,293341,293341,0,0,136396055920,1419465321,291871,1264,206,383,391865,0 131,2,2024-09-07 08:39:20:572,209833,209833,0,0,7931973,0,2415 131,3,2024-09-07 08:39:21:689,1,166,2,0,392,1869,166,0 132,0,2024-09-07 08:39:21:428,39581,0.4,40092,0.7,80235,0.4,106605,1.75 132,1,2024-09-07 08:39:20:575,291172,291172,0,0,135987711984,1439185001,285755,4386,1031,381,391760,0 132,2,2024-09-07 08:39:20:697,208403,208403,0,0,10935348,0,4606 132,3,2024-09-07 08:39:21:693,1,166,2,0,356,3042,166,0 133,0,2024-09-07 08:39:21:573,39820,0.4,40746,0.6,83564,0.3,108638,1.75 133,1,2024-09-07 08:39:20:586,290640,290640,0,0,136355339488,1440208838,286130,3869,641,383,391914,0 133,2,2024-09-07 08:39:21:092,209060,209060,0,0,11032712,0,4315 133,3,2024-09-07 08:39:21:301,1,166,0,0,187,1456,166,0 134,0,2024-09-07 08:39:20:964,47039,0.5,47009,0.7,94558,0.5,125526,2.00 134,1,2024-09-07 08:39:20:591,291330,291330,0,0,135879509365,1427391089,286944,3163,1223,366,391718,0 134,2,2024-09-07 08:39:21:756,209593,209593,0,0,9344058,0,3096 134,3,2024-09-07 08:39:20:764,1,166,1,0,739,3009,166,0 135,0,2024-09-07 08:39:21:099,40803,1.5,40768,1.3,86539,1.8,111637,2.50 135,1,2024-09-07 08:39:21:589,291094,291094,0,0,136347873853,1435992055,286901,3489,704,380,391805,0 135,2,2024-09-07 08:39:20:687,212628,212628,0,0,10098190,0,3981 135,3,2024-09-07 08:39:21:003,1,166,1,0,89,971,166,0 136,0,2024-09-07 08:39:21:630,39046,0.5,38992,0.7,78336,0.5,104027,2.00 136,1,2024-09-07 08:39:21:448,291330,291330,0,0,136187600178,1428745728,287978,3179,173,383,391641,0 136,2,2024-09-07 08:39:21:145,209179,209179,0,0,9673006,0,3506 136,3,2024-09-07 08:39:21:110,1,166,1,0,108,1349,166,0 137,0,2024-09-07 08:39:20:950,40061,0.4,38905,0.6,76707,0.4,104362,1.75 137,1,2024-09-07 08:39:20:584,291818,291818,0,0,136383902537,1428886497,287679,3718,421,367,391708,0 137,2,2024-09-07 08:39:21:718,208005,208005,0,0,11075851,0,3185 137,3,2024-09-07 08:39:20:793,1,166,1,1,227,1916,166,0 138,0,2024-09-07 08:39:21:763,44369,1.3,44434,1.1,89465,1.7,119286,2.25 138,1,2024-09-07 08:39:21:701,291869,291869,0,0,136528583921,1428141068,288786,2731,352,368,391954,0 138,2,2024-09-07 08:39:20:588,210403,210403,0,0,9112148,0,3263 138,3,2024-09-07 08:39:20:624,1,166,2,0,1160,3175,166,0 139,0,2024-09-07 08:39:21:365,42057,3.0,42287,1.7,85118,4.2,114207,3.25 139,1,2024-09-07 08:39:20:615,290475,290475,0,0,135275044048,1436872583,285196,3955,1324,381,391892,0 139,2,2024-09-07 08:39:20:695,212320,212320,0,0,10368681,0,3097 139,3,2024-09-07 08:39:21:665,1,166,1,0,244,1880,166,0 140,0,2024-09-07 08:39:21:589,38653,0.4,38280,0.5,77160,0.3,102208,1.75 140,1,2024-09-07 08:39:21:536,293116,293116,0,0,137351259841,1417198748,291596,1280,240,365,391606,0 140,2,2024-09-07 08:39:20:687,208121,208121,0,0,8683512,0,3388 140,3,2024-09-07 08:39:20:771,1,166,1,0,247,1464,166,0 141,0,2024-09-07 08:39:21:702,37575,0.2,38751,0.4,74160,0.1,100907,1.50 141,1,2024-09-07 08:39:20:862,292736,292736,0,0,136792041436,1420327015,290792,1591,353,379,391614,0 141,2,2024-09-07 08:39:21:691,208369,208369,0,0,8154174,0,2342 141,3,2024-09-07 08:39:21:043,1,166,1,0,147,1327,166,0 142,0,2024-09-07 08:39:21:316,44217,0.3,43943,0.5,87287,0.2,117122,1.50 142,1,2024-09-07 08:39:20:586,292390,292390,0,0,136480252543,1422883863,290844,1387,159,383,391728,0 142,2,2024-09-07 08:39:21:304,209338,209306,32,0,9635467,0,6028 142,3,2024-09-07 08:39:21:753,1,166,4,0,484,2348,166,0 143,0,2024-09-07 08:39:21:394,44365,0.7,44350,0.7,89263,0.8,118236,2.00 143,1,2024-09-07 08:39:20:556,292533,292533,0,0,136713668725,1419444609,290423,2067,43,367,391619,0 143,2,2024-09-07 08:39:20:772,213548,213548,0,0,8827489,0,2669 143,3,2024-09-07 08:39:21:145,1,166,4,0,303,2631,166,0 144,0,2024-09-07 08:39:21:529,38306,0.8,39480,1.3,80109,0.8,105314,2.25 144,1,2024-09-07 08:39:20:565,291316,291316,0,0,135681386839,1423455095,288916,2197,203,381,391649,0 144,2,2024-09-07 08:39:21:755,208458,208458,0,0,8524954,0,3473 144,3,2024-09-07 08:39:21:741,1,166,1,0,249,2114,166,0 145,0,2024-09-07 08:39:21:364,37840,0.5,37829,0.7,80279,0.4,103402,2.00 145,1,2024-09-07 08:39:20:555,290427,290427,0,0,135925299166,1433901531,286031,3659,737,383,391615,0 145,2,2024-09-07 08:39:21:432,208319,208319,0,0,9565699,0,3903 145,3,2024-09-07 08:39:20:910,1,166,9,0,151,1878,166,0 146,0,2024-09-07 08:39:21:615,41732,0.4,41468,0.6,83631,0.4,111152,2.00 146,1,2024-09-07 08:39:21:589,292149,292149,0,0,135645773864,1424197153,287629,3660,860,368,391629,0 146,2,2024-09-07 08:39:21:696,210077,210077,0,0,9421832,0,2498 146,3,2024-09-07 08:39:21:275,1,166,14,0,1520,5214,166,0 147,0,2024-09-07 08:39:21:703,46181,0.8,46133,0.9,91720,0.8,123186,2.25 147,1,2024-09-07 08:39:21:372,293060,293060,0,0,136864619585,1426182406,289955,2608,497,368,391791,0 147,2,2024-09-07 08:39:21:010,212345,212345,0,0,8640543,0,2789 147,3,2024-09-07 08:39:20:916,1,166,1,0,371,2040,166,0 0,0,2024-09-07 08:39:31:725,39023,0.4,38984,0.6,82805,0.3,107086,1.75 0,1,2024-09-07 08:39:30:803,293700,293700,0,0,137435855829,1437678322,291733,1830,137,372,391772,0 0,2,2024-09-07 08:39:31:073,210563,210563,0,0,8829032,0,4480 0,3,2024-09-07 08:39:30:978,1,167,24,0,247,2103,167,0 1,0,2024-09-07 08:39:31:848,46693,1.2,46396,1.2,92973,1.7,125061,2.25 1,1,2024-09-07 08:39:30:566,293363,293363,0,0,136527963426,1433359931,289923,2573,867,371,391857,0 1,2,2024-09-07 08:39:30:648,210151,210151,0,0,8047199,0,3267 1,3,2024-09-07 08:39:31:305,1,167,1,0,262,2019,167,0 2,0,2024-09-07 08:39:31:577,43078,1.1,43303,1.0,85968,1.6,115049,2.25 2,1,2024-09-07 08:39:30:860,293449,293449,0,0,137921701722,1433729124,291939,1304,206,380,391745,0 2,2,2024-09-07 08:39:31:266,213976,213976,0,0,8842768,0,3594 2,3,2024-09-07 08:39:30:691,1,167,0,0,357,1697,167,0 3,0,2024-09-07 08:39:31:748,38430,0.5,38731,0.7,77316,0.4,102548,2.00 3,1,2024-09-07 08:39:31:622,293316,293316,0,0,136574069063,1426154892,290207,2685,424,380,391516,0 3,2,2024-09-07 08:39:31:143,209764,209741,23,0,8805148,0,5851 3,3,2024-09-07 08:39:31:760,1,167,24,0,103,1070,167,0 4,0,2024-09-07 08:39:31:793,37129,0.3,38249,0.4,77734,0.2,101899,1.50 4,1,2024-09-07 08:39:30:607,294010,294010,0,0,136831662936,1437750291,291025,2462,523,371,391846,0 4,2,2024-09-07 08:39:31:018,208413,208413,0,0,10524688,0,4528 4,3,2024-09-07 08:39:31:027,1,167,3,0,287,2253,167,0 5,0,2024-09-07 08:39:31:422,44682,0.5,44579,0.6,89160,0.4,118929,1.75 5,1,2024-09-07 08:39:30:762,292989,292989,0,0,137059413822,1444511068,288780,3221,988,368,392005,0 5,2,2024-09-07 08:39:31:829,210163,210163,0,0,9096387,0,2259 5,3,2024-09-07 08:39:31:744,1,167,29,0,238,2452,167,0 6,0,2024-09-07 08:39:30:922,44399,1.0,43996,1.0,87391,1.3,117329,2.25 6,1,2024-09-07 08:39:30:747,293883,293883,0,0,136871127846,1433779679,290483,2752,648,381,391617,0 6,2,2024-09-07 08:39:31:119,215393,215393,0,0,9312990,0,4816 6,3,2024-09-07 08:39:31:287,1,167,1,0,340,2342,167,0 7,0,2024-09-07 08:39:31:560,38041,0.5,37943,0.7,76153,0.4,101245,2.00 7,1,2024-09-07 08:39:30:854,293759,293759,0,0,137831030059,1442682645,290939,2688,132,382,391664,0 7,2,2024-09-07 08:39:30:791,208861,208861,0,0,8476931,0,2981 7,3,2024-09-07 08:39:30:851,1,167,1,0,305,1715,167,0 8,0,2024-09-07 08:39:31:340,38138,0.3,38266,0.4,76218,0.2,101414,1.50 8,1,2024-09-07 08:39:31:016,293058,293058,0,0,136634152882,1443839576,287444,4013,1601,367,391956,0 8,2,2024-09-07 08:39:30:802,206673,206673,0,0,10373061,0,2986 8,3,2024-09-07 08:39:30:596,1,167,8,0,357,2651,167,0 9,0,2024-09-07 08:39:31:139,43307,0.3,42015,0.5,87813,0.3,115702,1.75 9,1,2024-09-07 08:39:30:568,292989,292989,0,0,137803557690,1454413472,288429,3428,1132,370,391753,0 9,2,2024-09-07 08:39:31:090,211399,211399,0,0,9652246,0,3360 9,3,2024-09-07 08:39:31:764,1,167,10,0,496,2730,167,0 10,0,2024-09-07 08:39:31:612,45989,0.4,45623,0.6,91704,0.3,121720,1.75 10,1,2024-09-07 08:39:30:589,293353,293353,0,0,137005919656,1438692968,288882,3830,641,381,391741,0 10,2,2024-09-07 08:39:30:763,214242,214242,0,0,9819422,0,2940 10,3,2024-09-07 08:39:30:877,1,167,0,0,177,1242,167,0 11,0,2024-09-07 08:39:31:007,39937,0.7,38897,0.9,81560,0.8,108317,2.25 11,1,2024-09-07 08:39:30:575,293801,293801,0,0,136417743466,1436020112,288484,4047,1270,384,391537,0 11,2,2024-09-07 08:39:31:123,209941,209941,0,0,9470632,0,3411 11,3,2024-09-07 08:39:31:299,1,167,2,0,720,2866,167,0 12,0,2024-09-07 08:39:30:942,40503,0.3,40156,0.5,80627,0.2,107050,1.50 12,1,2024-09-07 08:39:30:942,293319,293319,0,0,136468954682,1426814357,290423,2482,414,370,391837,0 12,2,2024-09-07 08:39:31:553,211122,211122,0,0,9733524,0,3469 12,3,2024-09-07 08:39:31:059,1,167,1,0,358,2715,167,0 13,0,2024-09-07 08:39:31:415,41449,0.3,41276,0.5,82653,0.3,110432,1.75 13,1,2024-09-07 08:39:31:525,293426,293426,0,0,137035970221,1438383017,291000,2017,409,382,391717,0 13,2,2024-09-07 08:39:30:611,211916,211916,0,0,8878933,0,3287 13,3,2024-09-07 08:39:31:770,1,167,2,0,467,3316,167,0 14,0,2024-09-07 08:39:30:572,47533,0.4,47768,0.6,94672,0.4,125813,1.75 14,1,2024-09-07 08:39:31:571,295321,295321,0,0,138135263424,1433021530,293054,2104,163,364,391571,0 14,2,2024-09-07 08:39:30:764,211366,211366,0,0,9044936,0,2896 14,3,2024-09-07 08:39:31:127,1,167,11,0,1168,2932,167,0 15,0,2024-09-07 08:39:31:561,42198,0.9,42208,1.1,84686,1.1,112870,2.50 15,1,2024-09-07 08:39:31:611,293218,293218,0,0,137099483753,1427249993,291300,1808,110,381,391619,0 15,2,2024-09-07 08:39:30:998,213744,213744,0,0,7586519,0,3043 15,3,2024-09-07 08:39:31:415,1,167,1,0,1126,4345,167,0 16,0,2024-09-07 08:39:30:946,39143,0.5,39433,0.7,78223,0.4,104538,2.00 16,1,2024-09-07 08:39:30:580,294198,294198,0,0,137069420471,1433074706,291967,1969,262,370,391756,0 16,2,2024-09-07 08:39:31:440,209245,209245,0,0,9848277,0,4719 16,3,2024-09-07 08:39:31:150,1,167,1,0,231,2272,167,0 17,0,2024-09-07 08:39:31:797,40613,0.4,39656,0.6,77802,0.3,105755,1.75 17,1,2024-09-07 08:39:30:594,293061,293061,0,0,136587987810,1435983654,289698,2690,673,368,391688,0 17,2,2024-09-07 08:39:31:675,211943,211943,0,0,8806750,0,2857 17,3,2024-09-07 08:39:30:597,1,167,2,0,268,2503,167,0 18,0,2024-09-07 08:39:30:968,44420,0.7,44721,0.8,89393,0.7,119471,2.25 18,1,2024-09-07 08:39:31:639,293914,293914,0,0,137467233263,1426994584,291904,1776,234,367,391564,0 18,2,2024-09-07 08:39:31:758,210868,210868,0,0,8758922,0,3541 18,3,2024-09-07 08:39:30:898,1,167,114,0,163,1854,167,0 19,0,2024-09-07 08:39:31:544,42956,1.1,43473,1.0,85677,1.3,114446,2.50 19,1,2024-09-07 08:39:30:581,293725,293725,0,0,137565372113,1434428548,289910,3099,716,367,391777,0 19,2,2024-09-07 08:39:31:756,216150,216150,0,0,8035527,0,3988 19,3,2024-09-07 08:39:31:133,1,167,2,0,524,1505,167,0 20,0,2024-09-07 08:39:31:373,38378,0.6,38298,0.8,76915,0.6,102296,2.25 20,1,2024-09-07 08:39:30:569,292878,292878,0,0,137021383288,1438012067,289409,3078,391,369,391822,0 20,2,2024-09-07 08:39:30:943,209021,209021,0,0,9133754,0,3721 20,3,2024-09-07 08:39:30:610,1,167,31,0,414,2950,167,0 21,0,2024-09-07 08:39:31:142,38344,0.3,38357,0.5,76344,0.3,101444,1.75 21,1,2024-09-07 08:39:31:543,293119,293119,0,0,136245890321,1435280378,289443,3142,534,368,391962,0 21,2,2024-09-07 08:39:31:072,209072,209072,0,0,10017783,0,3747 21,3,2024-09-07 08:39:31:413,1,167,2,0,103,2019,167,0 22,0,2024-09-07 08:39:31:728,44002,0.5,44073,0.7,87554,0.5,117383,2.00 22,1,2024-09-07 08:39:31:025,292747,292747,0,0,136463421074,1440968587,287203,4035,1509,382,391667,0 22,2,2024-09-07 08:39:30:759,211382,211382,0,0,8570746,0,3134 22,3,2024-09-07 08:39:31:066,1,167,1,0,228,1829,167,0 23,0,2024-09-07 08:39:31:377,44170,0.9,44168,0.9,88718,1.1,118110,2.50 23,1,2024-09-07 08:39:31:003,293709,293709,0,0,138069407496,1444562261,289611,2616,1482,365,391549,0 23,2,2024-09-07 08:39:31:091,214437,214437,0,0,8871502,0,3010 23,3,2024-09-07 08:39:31:758,1,167,1,0,645,1815,167,0 24,0,2024-09-07 08:39:30:896,40349,0.5,40349,0.6,80632,0.5,106848,1.75 24,1,2024-09-07 08:39:30:611,293941,293941,0,0,136248784760,1426838991,290977,2165,799,369,391640,0 24,2,2024-09-07 08:39:31:078,208355,208355,0,0,10155732,0,2942 24,3,2024-09-07 08:39:31:697,1,167,14,0,234,1888,167,0 25,0,2024-09-07 08:39:31:361,40189,0.4,39317,0.6,76924,0.3,104174,1.75 25,1,2024-09-07 08:39:30:565,293277,293277,0,0,136567761326,1434510720,289583,3151,543,371,391788,0 25,2,2024-09-07 08:39:31:623,208848,208848,0,0,10496566,0,3978 25,3,2024-09-07 08:39:31:000,1,167,1,0,255,2094,167,0 26,0,2024-09-07 08:39:31:725,41898,0.3,40963,0.5,85858,0.3,112447,1.75 26,1,2024-09-07 08:39:31:552,293869,293869,0,0,137209445325,1441141852,289204,3764,901,381,391748,0 26,2,2024-09-07 08:39:30:860,212179,212179,0,0,9691048,0,2809 26,3,2024-09-07 08:39:31:712,1,167,2,0,796,2253,167,0 27,0,2024-09-07 08:39:31:759,46620,0.6,46586,0.7,92423,0.6,123152,2.00 27,1,2024-09-07 08:39:31:676,295101,295101,0,0,137931326550,1432970230,293281,1497,323,381,391558,0 27,2,2024-09-07 08:39:30:877,211592,211592,0,0,10138269,0,3409 27,3,2024-09-07 08:39:31:015,1,167,0,0,564,1974,167,0 28,0,2024-09-07 08:39:31:394,41417,0.8,41520,0.8,83610,1.0,111396,2.50 28,1,2024-09-07 08:39:30:801,294352,294352,0,0,138306591684,1441892221,292185,1830,337,383,391646,0 28,2,2024-09-07 08:39:31:764,211891,211891,0,0,8041858,0,2915 28,3,2024-09-07 08:39:31:783,1,167,6,0,502,2071,167,0 29,0,2024-09-07 08:39:31:387,40788,0.3,39885,0.5,77700,0.3,106755,1.75 29,1,2024-09-07 08:39:31:579,295172,295172,0,0,137426986633,1424793136,292740,1889,543,369,391621,0 29,2,2024-09-07 08:39:30:862,209764,209764,0,0,7811772,0,4018 29,3,2024-09-07 08:39:30:964,1,167,1,0,105,1243,167,0 30,0,2024-09-07 08:39:31:473,40115,0.5,39091,0.7,81575,0.4,107284,2.00 30,1,2024-09-07 08:39:30:572,294731,294731,0,0,137903764813,1432700704,292735,1718,278,382,391672,0 30,2,2024-09-07 08:39:31:282,211525,211525,0,0,7891076,0,3161 30,3,2024-09-07 08:39:30:588,1,167,1,0,195,1224,167,0 31,0,2024-09-07 08:39:31:760,46045,0.5,46506,0.7,93514,0.5,125003,2.00 31,1,2024-09-07 08:39:30:576,295384,295384,0,0,137584671736,1410271223,294582,690,112,356,391553,0 31,2,2024-09-07 08:39:31:274,210592,210592,0,0,9573318,0,3525 31,3,2024-09-07 08:39:31:717,1,167,35,0,220,1383,167,0 32,0,2024-09-07 08:39:31:429,43057,0.5,43492,0.7,87104,0.5,115800,1.75 32,1,2024-09-07 08:39:30:804,294441,294441,0,0,137728191914,1430511147,292697,1469,275,382,391595,0 32,2,2024-09-07 08:39:30:936,214509,214509,0,0,7849225,0,3155 32,3,2024-09-07 08:39:31:015,1,167,1,0,227,1479,167,0 33,0,2024-09-07 08:39:31:501,39179,0.3,38562,0.5,77821,0.2,103490,1.75 33,1,2024-09-07 08:39:30:582,295211,295211,0,0,138141446026,1430633117,292952,2087,172,369,391730,0 33,2,2024-09-07 08:39:30:760,209621,209588,33,0,9632626,0,7012 33,3,2024-09-07 08:39:30:911,1,167,3,0,329,1885,167,0 34,0,2024-09-07 08:39:30:954,38362,0.3,39553,0.4,75914,0.2,102028,1.50 34,1,2024-09-07 08:39:31:049,295040,295040,0,0,138094872644,1422367920,294042,992,6,367,391562,0 34,2,2024-09-07 08:39:30:776,208848,208848,0,0,8606698,0,3577 34,3,2024-09-07 08:39:31:687,1,167,3,0,148,1067,167,0 35,0,2024-09-07 08:39:30:861,44189,0.4,44535,0.5,89365,0.3,119352,1.75 35,1,2024-09-07 08:39:31:067,293908,293908,0,0,137021126730,1424224452,291108,2030,770,384,391589,0 35,2,2024-09-07 08:39:31:587,211601,211601,0,0,7967867,0,2653 35,3,2024-09-07 08:39:30:907,1,167,1,0,418,1981,167,0 36,0,2024-09-07 08:39:31:523,44004,1.0,43862,1.0,88243,1.2,116917,2.50 36,1,2024-09-07 08:39:30:600,294057,294057,0,0,137370525165,1439816919,289434,3334,1289,366,391759,0 36,2,2024-09-07 08:39:31:760,214566,214566,0,0,9453991,0,3303 36,3,2024-09-07 08:39:30:863,1,167,0,0,378,2225,167,0 37,0,2024-09-07 08:39:31:381,38087,0.5,38098,0.7,76074,0.4,102165,2.00 37,1,2024-09-07 08:39:30:569,293166,293159,0,7,136468976186,1435629637,288386,2754,2019,365,391560,0 37,2,2024-09-07 08:39:31:151,209068,209053,15,0,9498963,0,5815 37,3,2024-09-07 08:39:31:770,1,167,0,0,724,2490,167,0 38,0,2024-09-07 08:39:31:461,38006,0.4,36943,0.6,77056,0.3,101050,2.00 38,1,2024-09-07 08:39:31:612,294254,294254,0,0,137384386240,1436808658,290215,3407,632,368,391821,0 38,2,2024-09-07 08:39:30:996,209434,209387,47,0,11079881,0,6710 38,3,2024-09-07 08:39:30:997,1,167,3,0,603,2199,167,0 39,0,2024-09-07 08:39:31:776,44348,0.5,43464,0.7,84717,0.5,116319,2.00 39,1,2024-09-07 08:39:30:717,293958,293958,0,0,136984536059,1429758095,290170,2987,801,365,391524,0 39,2,2024-09-07 08:39:31:419,211792,211792,0,0,8238322,0,2689 39,3,2024-09-07 08:39:30:714,1,167,1,0,276,2097,167,0 40,0,2024-09-07 08:39:31:500,45142,0.9,45822,1.1,91237,1.0,121230,3.00 40,1,2024-09-07 08:39:30:589,294106,294106,0,0,136219002567,1427812173,289691,3646,769,368,391591,0 40,2,2024-09-07 08:39:31:306,213218,213217,1,0,10793418,0,5137 40,3,2024-09-07 08:39:31:142,1,167,1,0,181,1793,167,0 41,0,2024-09-07 08:39:31:023,40007,1.9,40743,1.6,78142,3.2,106889,4.25 41,1,2024-09-07 08:39:30:783,293353,293353,0,0,137527951098,1440064121,289158,3661,534,370,391742,0 41,2,2024-09-07 08:39:30:760,208622,208622,0,0,10321150,0,3356 41,3,2024-09-07 08:39:31:676,1,167,3,0,366,1985,167,0 42,0,2024-09-07 08:39:31:474,39510,0.5,39934,0.8,79636,0.4,105297,2.25 42,1,2024-09-07 08:39:31:439,292688,292688,0,0,136926456840,1440779417,287972,3758,958,380,391675,0 42,2,2024-09-07 08:39:31:145,209273,209273,0,0,10042716,0,3568 42,3,2024-09-07 08:39:31:012,1,167,1,0,446,1442,167,0 43,0,2024-09-07 08:39:30:917,41138,0.6,40131,0.8,83790,0.6,110558,2.00 43,1,2024-09-07 08:39:30:591,293868,293868,0,0,137326657142,1432679312,290530,2545,793,366,391604,0 43,2,2024-09-07 08:39:31:739,211756,211756,0,0,9384678,0,3812 43,3,2024-09-07 08:39:31:759,1,167,1,0,325,2146,167,0 44,0,2024-09-07 08:39:30:867,47307,0.5,47661,0.7,94753,0.5,126452,1.75 44,1,2024-09-07 08:39:30:581,294675,294675,0,0,137503525552,1421585453,292617,1633,425,356,391809,0 44,2,2024-09-07 08:39:31:266,210777,210777,0,0,7709259,0,1877 44,3,2024-09-07 08:39:31:102,1,167,1,0,817,2115,167,0 45,0,2024-09-07 08:39:31:758,41653,1.2,40957,1.1,85808,1.4,113508,2.25 45,1,2024-09-07 08:39:31:020,294368,294368,0,0,138421264791,1434784636,293327,1031,10,382,391917,0 45,2,2024-09-07 08:39:31:280,213763,213763,0,0,8458985,0,3596 45,3,2024-09-07 08:39:30:940,1,167,1,0,226,1758,167,0 46,0,2024-09-07 08:39:30:962,39069,0.3,38917,0.6,78158,0.2,103645,1.75 46,1,2024-09-07 08:39:30:582,294985,294985,0,0,137499832018,1422307031,293176,1586,223,366,391524,0 46,2,2024-09-07 08:39:30:596,210543,210543,0,0,8359977,0,2920 46,3,2024-09-07 08:39:31:133,1,167,66,0,908,3037,167,0 47,0,2024-09-07 08:39:31:106,39436,0.3,39753,0.5,79610,0.2,105453,1.75 47,1,2024-09-07 08:39:30:569,295300,295300,0,0,137656009546,1421166532,293896,1387,17,366,391605,0 47,2,2024-09-07 08:39:30:916,211257,211257,0,0,8445207,0,2558 47,3,2024-09-07 08:39:31:118,1,167,1,0,529,1905,167,0 48,0,2024-09-07 08:39:31:504,45396,0.3,45048,0.4,90089,0.2,120184,1.50 48,1,2024-09-07 08:39:31:036,295064,295064,0,0,137912412723,1433225213,293003,1880,181,384,391710,0 48,2,2024-09-07 08:39:30:699,211317,211317,0,0,7215314,0,2083 48,3,2024-09-07 08:39:30:758,1,167,0,0,339,1448,167,0 49,0,2024-09-07 08:39:31:713,44652,0.5,43734,0.7,84979,0.6,116376,1.75 49,1,2024-09-07 08:39:31:030,293557,293557,0,0,137635049035,1435141861,290819,1898,840,382,391583,0 49,2,2024-09-07 08:39:31:796,215894,215894,0,0,8307316,0,3900 49,3,2024-09-07 08:39:31:431,1,167,1,0,408,2388,167,0 50,0,2024-09-07 08:39:31:518,38518,0.3,38211,0.5,76504,0.2,102259,1.75 50,1,2024-09-07 08:39:31:017,295818,295818,0,0,138266767628,1433563109,293291,2218,309,368,391530,0 50,2,2024-09-07 08:39:31:068,209348,209348,0,0,7822823,0,2253 50,3,2024-09-07 08:39:31:291,1,167,3,0,335,1352,167,0 51,0,2024-09-07 08:39:31:689,38927,0.3,38379,0.4,74677,0.2,101867,1.50 51,1,2024-09-07 08:39:31:682,294305,294305,0,0,138571492059,1432698887,292335,1184,786,365,391637,0 51,2,2024-09-07 08:39:31:324,209576,209576,0,0,7377376,0,3337 51,3,2024-09-07 08:39:31:027,1,167,2,0,162,918,167,0 52,0,2024-09-07 08:39:31:424,44391,0.5,44216,0.7,88483,0.4,117785,2.00 52,1,2024-09-07 08:39:30:582,293355,293355,0,0,136284742989,1436728745,287440,4756,1159,368,391722,0 52,2,2024-09-07 08:39:31:756,209993,209955,38,0,10075662,0,6742 52,3,2024-09-07 08:39:30:674,1,167,5,0,1782,3538,167,0 53,0,2024-09-07 08:39:31:738,44200,1.0,42892,1.0,89622,1.2,117381,2.75 53,1,2024-09-07 08:39:30:783,293117,293117,0,0,136885276235,1443983048,287488,3735,1894,367,391702,0 53,2,2024-09-07 08:39:31:298,215199,215199,0,0,8450229,0,2262 53,3,2024-09-07 08:39:30:698,1,167,2,0,271,1640,167,0 54,0,2024-09-07 08:39:31:620,38681,1.9,39240,1.2,77720,0.9,104029,4.00 54,1,2024-09-07 08:39:30:590,293679,293679,0,0,137641020676,1436833310,289709,3399,571,367,391532,0 54,2,2024-09-07 08:39:30:876,209152,209146,6,0,9957654,0,5382 54,3,2024-09-07 08:39:30:763,1,167,2,0,676,3194,167,0 55,0,2024-09-07 08:39:31:781,37768,0.4,39022,0.7,78907,0.3,102012,2.25 55,1,2024-09-07 08:39:30:764,293308,293308,0,0,136921259729,1433422258,288118,4403,787,365,391731,0 55,2,2024-09-07 08:39:30:733,209068,209068,0,0,9513426,0,3275 55,3,2024-09-07 08:39:30:674,1,167,1,0,304,1713,167,0 56,0,2024-09-07 08:39:31:559,43181,1.0,40810,1.0,83863,1.4,112800,2.25 56,1,2024-09-07 08:39:30:573,292999,292999,0,0,137255147017,1452816057,287835,4260,904,381,391678,0 56,2,2024-09-07 08:39:31:310,211241,211241,0,0,10540005,0,3567 56,3,2024-09-07 08:39:31:059,1,167,1,0,405,2337,167,0 57,0,2024-09-07 08:39:31:028,45556,2.3,45585,1.5,91316,3.3,122055,3.75 57,1,2024-09-07 08:39:30:998,293843,293843,0,0,136599777740,1430371315,291008,2665,170,368,391960,0 57,2,2024-09-07 08:39:31:353,213263,213263,0,0,10621938,0,3178 57,3,2024-09-07 08:39:31:743,1,167,1,0,359,2750,167,0 58,0,2024-09-07 08:39:30:558,40430,1.2,39370,1.2,82485,1.5,108023,2.75 58,1,2024-09-07 08:39:30:581,294406,294403,0,3,137809497186,1439202715,290885,3120,398,367,391516,3 58,2,2024-09-07 08:39:31:072,211358,211358,0,0,9672067,0,2549 58,3,2024-09-07 08:39:31:070,1,167,1,0,1043,2350,167,0 59,0,2024-09-07 08:39:31:746,39864,0.7,39560,0.9,79066,0.7,105600,2.75 59,1,2024-09-07 08:39:30:806,293481,293481,0,0,136999879987,1433455312,289831,2728,922,369,391515,0 59,2,2024-09-07 08:39:30:582,210756,210756,0,0,8570586,0,2604 59,3,2024-09-07 08:39:31:740,1,167,1,0,1015,2897,167,0 60,0,2024-09-07 08:39:31:727,40485,0.4,40505,0.6,80686,0.3,107407,1.75 60,1,2024-09-07 08:39:30:777,294962,294962,0,0,137603026957,1426511716,293503,1101,358,370,391761,0 60,2,2024-09-07 08:39:31:147,210605,210605,0,0,9695540,0,3811 60,3,2024-09-07 08:39:31:266,1,167,1,0,124,1596,167,0 61,0,2024-09-07 08:39:31:522,46478,1.1,46644,1.0,93017,1.7,124835,2.00 61,1,2024-09-07 08:39:30:777,293674,293674,0,0,137066846777,1437535272,290231,2890,553,382,391589,0 61,2,2024-09-07 08:39:31:124,211589,211589,0,0,8545357,0,2079 61,3,2024-09-07 08:39:31:693,1,167,11,0,199,1947,167,0 62,0,2024-09-07 08:39:31:707,43532,1.4,44385,1.0,84735,1.9,115541,2.25 62,1,2024-09-07 08:39:31:118,295912,295906,0,6,138270596673,1426751547,294227,1640,39,365,391715,6 62,2,2024-09-07 08:39:31:644,213273,213272,1,0,10121626,0,5555 62,3,2024-09-07 08:39:31:143,1,167,0,0,287,1188,167,0 63,0,2024-09-07 08:39:31:462,38744,0.5,38695,0.7,77559,0.5,103208,2.00 63,1,2024-09-07 08:39:30:804,294982,294976,0,6,137631956221,1425836316,293734,1216,26,381,391677,6 63,2,2024-09-07 08:39:30:762,209376,209376,0,0,7981149,0,2674 63,3,2024-09-07 08:39:31:744,1,167,1,0,667,2417,167,0 64,0,2024-09-07 08:39:31:547,38241,0.4,38097,0.6,76541,0.3,101877,1.75 64,1,2024-09-07 08:39:30:759,294645,294645,0,0,137176019188,1434034712,291177,2414,1054,370,391783,0 64,2,2024-09-07 08:39:31:145,211162,211143,19,0,8650088,0,6121 64,3,2024-09-07 08:39:31:142,1,167,1,0,265,1850,167,0 65,0,2024-09-07 08:39:31:729,43858,0.7,44413,0.8,88545,0.8,118352,2.25 65,1,2024-09-07 08:39:30:876,293538,293538,0,0,136502675982,1428661501,291031,2283,224,382,391770,0 65,2,2024-09-07 08:39:31:699,210880,210880,0,0,9121707,0,3367 65,3,2024-09-07 08:39:31:685,1,167,1,0,163,1677,167,0 66,0,2024-09-07 08:39:31:793,43498,0.7,43629,0.9,87641,0.8,116142,2.50 66,1,2024-09-07 08:39:31:297,295041,295041,0,0,137480652953,1429233728,293166,1704,171,380,391588,0 66,2,2024-09-07 08:39:31:132,216602,216602,0,0,8519803,0,4956 66,3,2024-09-07 08:39:31:085,1,167,1,0,291,1720,167,0 67,0,2024-09-07 08:39:31:424,38611,0.8,38379,0.9,77096,1.0,102271,2.50 67,1,2024-09-07 08:39:30:766,293696,293695,0,1,136824850752,1431423842,290228,2721,746,381,391787,1 67,2,2024-09-07 08:39:30:596,210012,210012,0,0,8086359,0,2889 67,3,2024-09-07 08:39:31:750,1,167,1,0,138,1295,167,0 68,0,2024-09-07 08:39:30:588,38382,0.4,38219,0.7,76385,0.4,101363,2.00 68,1,2024-09-07 08:39:30:572,293139,293139,0,0,136652782390,1434282639,290282,1838,1019,381,391953,0 68,2,2024-09-07 08:39:31:065,208268,208203,65,0,11418345,0,6698 68,3,2024-09-07 08:39:30:730,1,167,0,0,417,2085,167,0 69,0,2024-09-07 08:39:31:761,43158,0.6,43331,0.8,86073,0.6,115651,2.00 69,1,2024-09-07 08:39:31:018,292553,292553,0,0,136580609226,1443870877,288542,2796,1215,384,391994,0 69,2,2024-09-07 08:39:31:740,211977,211977,0,0,10067374,0,3701 69,3,2024-09-07 08:39:30:786,1,167,10,0,238,2046,167,0 70,0,2024-09-07 08:39:31:538,45077,1.8,45373,1.4,90977,1.3,120659,2.75 70,1,2024-09-07 08:39:30:801,293949,293949,0,0,137544021669,1429384094,291491,1996,462,366,391725,0 70,2,2024-09-07 08:39:31:329,214212,214212,0,0,9228069,0,4044 70,3,2024-09-07 08:39:30:745,1,167,6,0,854,2208,167,0 71,0,2024-09-07 08:39:31:377,39841,2.0,39945,1.6,79569,2.9,107040,3.50 71,1,2024-09-07 08:39:31:596,293969,293969,0,0,137362516856,1437669126,289852,3592,525,368,391682,0 71,2,2024-09-07 08:39:31:067,210752,210752,0,0,9208005,0,2470 71,3,2024-09-07 08:39:31:750,1,167,10,0,644,2586,167,0 72,0,2024-09-07 08:39:31:023,41426,0.4,40488,0.7,79077,0.3,107472,2.00 72,1,2024-09-07 08:39:31:033,293642,293642,0,0,136830151776,1428943048,290376,2780,486,369,391819,0 72,2,2024-09-07 08:39:31:763,209142,209142,0,0,10821276,0,2570 72,3,2024-09-07 08:39:31:762,1,167,1,0,364,3253,167,0 73,0,2024-09-07 08:39:31:148,40263,0.4,41317,0.6,84430,0.3,110044,2.00 73,1,2024-09-07 08:39:30:772,293867,293867,0,0,137048850291,1422496494,292060,1692,115,367,391627,0 73,2,2024-09-07 08:39:31:752,211406,211406,0,0,10123709,0,3482 73,3,2024-09-07 08:39:30:969,1,167,1,0,274,2603,167,0 74,0,2024-09-07 08:39:31:321,47693,0.5,48709,0.7,92757,0.6,125859,2.25 74,1,2024-09-07 08:39:30:642,293639,293639,0,0,136608659899,1426356681,290847,2170,622,382,391681,0 74,2,2024-09-07 08:39:31:002,211296,211296,0,0,10141781,0,4253 74,3,2024-09-07 08:39:31:448,1,167,1,0,522,2773,167,0 75,0,2024-09-07 08:39:31:766,42576,1.2,42262,1.2,84553,1.4,113848,2.75 75,1,2024-09-07 08:39:31:589,293409,293409,0,0,137012978313,1435308057,290012,2937,460,381,391579,0 75,2,2024-09-07 08:39:31:350,212677,212677,0,0,9769098,0,4766 75,3,2024-09-07 08:39:31:072,1,167,1,0,535,1879,167,0 76,0,2024-09-07 08:39:30:594,38945,0.4,38698,0.7,77339,0.3,103931,2.25 76,1,2024-09-07 08:39:30:805,294012,294012,0,0,136891539546,1428531167,291950,1551,511,382,391692,0 76,2,2024-09-07 08:39:31:071,210708,210708,0,0,8396845,0,3064 76,3,2024-09-07 08:39:31:155,1,167,9,0,175,1895,167,0 77,0,2024-09-07 08:39:31:736,39431,0.4,39660,0.6,79373,0.4,105327,1.75 77,1,2024-09-07 08:39:30:828,294912,294912,0,0,137050971831,1428769463,293316,1512,84,383,391808,0 77,2,2024-09-07 08:39:31:297,209737,209737,0,0,8744287,0,3890 77,3,2024-09-07 08:39:31:094,1,167,1,0,305,2044,167,0 78,0,2024-09-07 08:39:31:726,45053,0.5,44805,0.7,90400,0.4,119734,2.00 78,1,2024-09-07 08:39:30:633,294449,294449,0,0,137063664777,1425970247,292114,2082,253,367,391589,0 78,2,2024-09-07 08:39:31:414,211854,211854,0,0,8096201,0,2114 78,3,2024-09-07 08:39:31:144,1,167,1,0,181,1675,167,0 79,0,2024-09-07 08:39:31:348,42006,0.5,43041,0.7,88047,0.5,114707,2.50 79,1,2024-09-07 08:39:30:585,294908,294908,0,0,137946806487,1429618496,292658,2078,172,369,391682,0 79,2,2024-09-07 08:39:31:074,215439,215439,0,0,8337210,0,3212 79,3,2024-09-07 08:39:30:750,1,167,34,0,418,2934,167,0 80,0,2024-09-07 08:39:31:090,38344,0.6,39305,0.8,75458,0.6,102349,2.00 80,1,2024-09-07 08:39:31:630,293387,293387,0,0,136918242010,1426234763,290860,2364,163,368,391673,0 80,2,2024-09-07 08:39:31:093,209950,209950,0,0,8643134,0,4433 80,3,2024-09-07 08:39:30:588,1,167,1,0,190,2657,167,0 81,0,2024-09-07 08:39:31:535,38109,0.4,39265,0.6,75147,0.4,101527,1.75 81,1,2024-09-07 08:39:31:653,293837,293837,0,0,137065128080,1433144586,291356,2209,272,382,391879,0 81,2,2024-09-07 08:39:31:126,209046,209046,0,0,9115276,0,3993 81,3,2024-09-07 08:39:31:123,1,167,1,0,193,1627,167,0 82,0,2024-09-07 08:39:31:538,43954,0.5,44143,0.7,88346,0.4,117911,2.00 82,1,2024-09-07 08:39:30:596,294454,294450,0,4,136611930294,1424870887,292004,1868,578,381,391558,4 82,2,2024-09-07 08:39:31:691,211973,211973,0,0,8362627,0,3986 82,3,2024-09-07 08:39:31:758,1,167,1,0,227,1882,167,0 83,0,2024-09-07 08:39:31:524,44430,0.7,44471,0.8,88315,0.8,117526,2.25 83,1,2024-09-07 08:39:30:557,294116,294116,0,0,136956955629,1430664716,291669,2243,204,382,391690,0 83,2,2024-09-07 08:39:30:764,214548,214548,0,0,8425575,0,3119 83,3,2024-09-07 08:39:30:751,1,167,1,0,241,1899,167,0 84,0,2024-09-07 08:39:31:777,39382,1.3,39236,1.3,78877,1.0,105309,2.75 84,1,2024-09-07 08:39:31:041,293291,293291,0,0,136229988586,1427816764,289890,2944,457,368,391852,0 84,2,2024-09-07 08:39:30:581,209451,209451,0,0,9622301,0,3801 84,3,2024-09-07 08:39:31:141,1,167,2,0,270,1957,167,0 85,0,2024-09-07 08:39:31:007,37743,0.5,37742,0.7,80099,0.4,103135,2.00 85,1,2024-09-07 08:39:30:565,292954,292954,0,0,136727377190,1449526804,287501,4373,1080,382,392006,0 85,2,2024-09-07 08:39:30:869,209842,209842,0,0,10308986,0,3656 85,3,2024-09-07 08:39:30:691,1,167,1,0,789,2504,167,0 86,0,2024-09-07 08:39:30:905,42222,0.5,43362,0.6,83085,0.5,112653,1.75 86,1,2024-09-07 08:39:30:825,293540,293540,0,0,137286857087,1438908906,289578,3417,545,366,391961,0 86,2,2024-09-07 08:39:30:859,210326,210325,1,0,10645940,0,5004 86,3,2024-09-07 08:39:30:603,1,167,1,0,286,2417,167,0 87,0,2024-09-07 08:39:31:311,46295,1.4,46063,1.1,92525,2.1,123883,2.25 87,1,2024-09-07 08:39:30:555,293456,293456,0,0,136964777785,1435311803,290239,2825,392,366,391788,0 87,2,2024-09-07 08:39:31:078,212046,212046,0,0,9036882,0,3515 87,3,2024-09-07 08:39:31:794,1,167,1,0,335,2847,167,0 88,0,2024-09-07 08:39:31:453,41733,0.7,41862,0.7,83614,0.8,111379,1.75 88,1,2024-09-07 08:39:30:587,292665,292665,0,0,136967212681,1438364671,288619,2876,1170,365,391787,0 88,2,2024-09-07 08:39:30:692,211363,211363,0,0,10351220,0,3583 88,3,2024-09-07 08:39:31:278,1,167,1,0,435,2349,167,0 89,0,2024-09-07 08:39:31:832,40999,0.4,39692,0.6,78601,0.4,107018,1.75 89,1,2024-09-07 08:39:30:560,292063,292063,0,0,136613343661,1445668604,286624,4501,938,382,391866,0 89,2,2024-09-07 08:39:31:136,209671,209671,0,0,10219470,0,2910 89,3,2024-09-07 08:39:31:790,1,167,0,0,325,3180,167,0 90,0,2024-09-07 08:39:31:625,39162,0.4,39998,0.5,82156,0.3,106954,1.75 90,1,2024-09-07 08:39:30:597,294185,294185,0,0,136576712836,1434484235,290956,2972,257,381,391825,0 90,2,2024-09-07 08:39:31:416,209939,209939,0,0,11131061,0,3060 90,3,2024-09-07 08:39:30:937,1,167,8,0,200,1930,167,0 91,0,2024-09-07 08:39:31:000,47058,0.5,45369,0.6,94512,0.5,125304,1.75 91,1,2024-09-07 08:39:30:565,292975,292975,0,0,136625196457,1436087510,289223,3255,497,381,392047,0 91,2,2024-09-07 08:39:31:333,209891,209891,0,0,10382186,0,2445 91,3,2024-09-07 08:39:30:609,1,167,3,0,216,1900,167,0 92,0,2024-09-07 08:39:31:492,43593,0.8,44716,0.8,85476,0.9,115827,1.75 92,1,2024-09-07 08:39:30:593,294076,294076,0,0,136882349532,1430362918,291897,1775,404,382,392136,0 92,2,2024-09-07 08:39:31:353,216136,216136,0,0,8670973,0,2801 92,3,2024-09-07 08:39:31:009,1,167,1,0,167,1522,167,0 93,0,2024-09-07 08:39:30:963,39014,0.4,39928,0.6,76349,0.4,103623,1.75 93,1,2024-09-07 08:39:30:811,293666,293666,0,0,137279138281,1434217765,290553,2563,550,366,391692,0 93,2,2024-09-07 08:39:30:941,209749,209749,0,0,8583783,0,2509 93,3,2024-09-07 08:39:31:415,1,167,1,0,143,1715,167,0 94,0,2024-09-07 08:39:31:602,38326,0.3,38704,0.5,76705,0.2,101685,1.50 94,1,2024-09-07 08:39:30:581,293619,293619,0,0,136893505345,1432304252,291173,2351,95,381,391850,0 94,2,2024-09-07 08:39:30:788,209440,209440,0,0,8399374,0,2443 94,3,2024-09-07 08:39:31:688,1,167,2,0,264,2470,167,0 95,0,2024-09-07 08:39:31:341,44774,0.3,44552,0.5,89732,0.3,119024,1.75 95,1,2024-09-07 08:39:30:861,294086,294086,0,0,136824228086,1424100696,291698,2204,184,367,391662,0 95,2,2024-09-07 08:39:31:018,211091,211091,0,0,8699787,0,3308 95,3,2024-09-07 08:39:31:716,1,167,0,0,718,3081,167,0 96,0,2024-09-07 08:39:31:026,44035,0.6,44208,0.7,88627,0.6,116788,1.75 96,1,2024-09-07 08:39:31:600,293516,293516,0,0,137117995941,1434806178,290913,1960,643,385,391894,0 96,2,2024-09-07 08:39:31:274,215201,215201,0,0,9248618,0,4042 96,3,2024-09-07 08:39:31:140,1,167,3,0,188,1873,167,0 97,0,2024-09-07 08:39:31:392,38714,0.4,38614,0.6,77251,0.4,102359,1.75 97,1,2024-09-07 08:39:30:766,294438,294438,0,0,137729177918,1433104824,291631,2257,550,367,392140,0 97,2,2024-09-07 08:39:30:620,209225,209225,0,0,8240146,0,3036 97,3,2024-09-07 08:39:30:571,1,167,3,0,165,1917,167,0 98,0,2024-09-07 08:39:31:700,38271,0.2,38201,0.4,76614,0.2,101508,1.50 98,1,2024-09-07 08:39:30:572,294408,294408,0,0,137020551913,1428720108,292587,1730,91,382,391997,0 98,2,2024-09-07 08:39:30:786,210290,210290,0,0,8671024,0,3080 98,3,2024-09-07 08:39:30:714,1,167,0,0,840,3101,167,0 99,0,2024-09-07 08:39:31:455,43186,0.3,43248,0.4,86326,0.2,115941,1.50 99,1,2024-09-07 08:39:31:728,294408,294408,0,0,137605120659,1437444769,291345,2367,696,381,391744,0 99,2,2024-09-07 08:39:31:422,213641,213641,0,0,8437104,0,2615 99,3,2024-09-07 08:39:30:590,1,167,4,0,129,1219,167,0 100,0,2024-09-07 08:39:31:552,45648,1.2,45512,1.3,91117,2.1,121598,2.50 100,1,2024-09-07 08:39:30:563,291702,291702,0,0,136515534306,1448764023,286447,4324,931,381,391989,0 100,2,2024-09-07 08:39:31:830,212910,212899,11,0,9915926,0,5417 100,3,2024-09-07 08:39:31:735,1,167,2,0,559,3700,167,0 101,0,2024-09-07 08:39:31:722,41161,2.8,40135,1.6,78716,3.3,108323,3.00 101,1,2024-09-07 08:39:30:553,292654,292654,0,0,137037246147,1449285749,287292,3885,1477,368,391769,0 101,2,2024-09-07 08:39:31:771,209467,209467,0,0,10864614,0,4644 101,3,2024-09-07 08:39:30:944,1,167,1,0,448,2056,167,0 102,0,2024-09-07 08:39:30:966,39148,0.5,40494,0.7,81497,0.4,106707,2.00 102,1,2024-09-07 08:39:31:149,293430,293430,0,0,136679546481,1439147216,289341,3377,712,369,391883,0 102,2,2024-09-07 08:39:31:741,210434,210380,54,0,10245345,0,6768 102,3,2024-09-07 08:39:31:620,1,167,3,0,410,1716,167,0 103,0,2024-09-07 08:39:31:618,42446,0.4,42466,0.6,80188,0.3,110766,1.75 103,1,2024-09-07 08:39:31:635,292245,292245,0,0,136440641366,1439979718,287796,3240,1209,381,391829,0 103,2,2024-09-07 08:39:30:587,210958,210958,0,0,9192815,0,2104 103,3,2024-09-07 08:39:30:760,1,167,3,0,916,2935,167,0 104,0,2024-09-07 08:39:31:013,46909,1.2,47186,1.1,93197,1.4,125920,2.25 104,1,2024-09-07 08:39:31:616,293947,293947,0,0,136295426883,1438366681,288935,4047,965,365,391948,0 104,2,2024-09-07 08:39:31:673,210486,210486,0,0,10159074,0,3941 104,3,2024-09-07 08:39:31:430,1,167,5,1,1245,5143,167,0 105,0,2024-09-07 08:39:31:054,41938,1.7,40655,1.4,84964,2.7,112668,3.75 105,1,2024-09-07 08:39:30:605,294171,294171,0,0,136468141742,1433315744,289959,3437,775,367,391797,0 105,2,2024-09-07 08:39:31:326,212332,212332,0,0,9587753,0,3509 105,3,2024-09-07 08:39:31:307,1,167,1,0,399,2638,167,0 106,0,2024-09-07 08:39:30:975,37776,0.6,38882,0.8,79055,0.6,103666,2.25 106,1,2024-09-07 08:39:31:751,293565,293565,0,0,137230168305,1440458857,289773,3408,384,369,391767,0 106,2,2024-09-07 08:39:30:763,209103,209103,0,0,9655711,0,2795 106,3,2024-09-07 08:39:30:679,1,167,3,0,405,2431,167,0 107,0,2024-09-07 08:39:31:128,39452,0.4,39570,0.6,78543,0.3,105606,1.75 107,1,2024-09-07 08:39:30:602,293245,293245,0,0,137009799521,1440418710,290245,2769,231,381,392234,0 107,2,2024-09-07 08:39:31:291,210022,210021,1,0,9757571,0,5024 107,3,2024-09-07 08:39:31:764,1,167,2,0,353,2395,167,0 108,0,2024-09-07 08:39:31:795,45210,0.4,45254,0.6,90065,0.4,120142,1.75 108,1,2024-09-07 08:39:31:294,293649,293649,0,0,137578218850,1436634497,290989,2347,313,368,391857,0 108,2,2024-09-07 08:39:31:756,209508,209508,0,0,9103390,0,2647 108,3,2024-09-07 08:39:31:338,1,167,2,0,667,3315,167,0 109,0,2024-09-07 08:39:31:764,43679,0.5,43336,0.6,86654,0.4,116495,1.75 109,1,2024-09-07 08:39:30:603,293087,293087,0,0,137332928106,1442203927,290306,2285,496,383,392132,0 109,2,2024-09-07 08:39:30:959,214327,214327,0,0,9501350,0,3617 109,3,2024-09-07 08:39:31:147,1,167,8,0,249,2338,167,0 110,0,2024-09-07 08:39:31:780,38655,0.4,37516,0.6,78368,0.3,102635,1.75 110,1,2024-09-07 08:39:31:648,294360,294360,0,0,137714963832,1433362909,291746,1849,765,370,391667,0 110,2,2024-09-07 08:39:31:316,209584,209584,0,0,8326562,0,2915 110,3,2024-09-07 08:39:30:697,1,167,8,0,406,2325,167,0 111,0,2024-09-07 08:39:31:417,38350,0.2,37902,0.4,75686,0.1,101817,1.50 111,1,2024-09-07 08:39:31:000,294808,294808,0,0,138189715293,1434531739,293028,1447,333,382,391690,0 111,2,2024-09-07 08:39:31:119,209018,209018,0,0,9157777,0,2763 111,3,2024-09-07 08:39:30:913,1,167,0,0,379,2592,167,0 112,0,2024-09-07 08:39:30:915,44129,0.3,44134,0.4,88149,0.2,117995,1.50 112,1,2024-09-07 08:39:30:827,295104,295104,0,0,137071349556,1425495343,292776,1902,426,381,391580,0 112,2,2024-09-07 08:39:31:137,211659,211658,1,0,9090930,0,5036 112,3,2024-09-07 08:39:30:595,1,167,0,0,282,1898,167,0 113,0,2024-09-07 08:39:30:879,44272,0.4,44194,0.6,89087,0.4,118753,1.75 113,1,2024-09-07 08:39:31:686,294760,294760,0,0,138134241984,1430431392,292343,1929,488,366,391661,0 113,2,2024-09-07 08:39:31:311,215968,215968,0,0,8006894,0,3813 113,3,2024-09-07 08:39:30:689,1,167,1,0,340,2742,167,0 114,0,2024-09-07 08:39:30:877,40230,0.6,40892,0.7,80157,0.4,107166,2.00 114,1,2024-09-07 08:39:30:719,293913,293913,0,0,137057988596,1434977984,289782,2668,1463,381,391534,0 114,2,2024-09-07 08:39:30:878,209544,209544,0,0,8225242,0,3925 114,3,2024-09-07 08:39:31:279,1,167,1,0,395,1992,167,0 115,0,2024-09-07 08:39:30:571,39017,0.2,39365,0.4,78768,0.2,103906,1.50 115,1,2024-09-07 08:39:30:579,294313,294313,0,0,137426828412,1433451249,290669,2823,821,382,391602,0 115,2,2024-09-07 08:39:31:141,210824,210824,0,0,7736935,0,2152 115,3,2024-09-07 08:39:31:002,1,167,2,0,159,1078,167,0 116,0,2024-09-07 08:39:31:706,42346,0.5,41892,0.7,84054,0.5,112376,2.00 116,1,2024-09-07 08:39:30:804,291701,291701,0,0,136425405432,1450123615,286786,3158,1757,382,391677,0 116,2,2024-09-07 08:39:31:760,210806,210806,0,0,10936073,0,3529 116,3,2024-09-07 08:39:30:912,1,167,0,0,252,2441,167,0 117,0,2024-09-07 08:39:30:984,46113,1.3,46270,1.1,92523,1.8,123719,2.00 117,1,2024-09-07 08:39:31:596,293555,293555,0,0,136420341963,1431475643,289877,3249,429,370,392033,0 117,2,2024-09-07 08:39:31:133,213745,213745,0,0,8818103,0,3700 117,3,2024-09-07 08:39:31:059,1,167,4,0,490,3380,167,0 118,0,2024-09-07 08:39:31:891,40600,0.9,41798,0.9,84871,1.1,110720,2.25 118,1,2024-09-07 08:39:30:597,293246,293246,0,0,136368635214,1439401314,288394,3530,1322,366,391736,0 118,2,2024-09-07 08:39:31:590,211011,211011,0,0,9859691,0,2781 118,3,2024-09-07 08:39:31:784,1,167,2,0,235,2181,167,0 119,0,2024-09-07 08:39:31:368,39413,0.6,39647,0.8,80056,0.5,106093,2.00 119,1,2024-09-07 08:39:30:548,294071,294071,0,0,137765055724,1437999152,291583,2199,289,369,391641,0 119,2,2024-09-07 08:39:31:261,209692,209692,0,0,9141652,0,3526 119,3,2024-09-07 08:39:31:324,1,167,1,0,443,2943,167,0 120,0,2024-09-07 08:39:31:614,40131,0.4,40159,0.7,80216,0.4,107121,2.00 120,1,2024-09-07 08:39:30:881,294133,294133,0,0,136691928372,1435719818,290835,3036,262,368,391961,0 120,2,2024-09-07 08:39:30:791,210014,210013,1,0,11460292,0,5281 120,3,2024-09-07 08:39:31:291,1,167,9,0,241,2373,167,0 121,0,2024-09-07 08:39:31:739,46247,1.4,46684,1.1,92981,2.1,124828,2.25 121,1,2024-09-07 08:39:31:670,293733,293733,0,0,137308151754,1437594137,290959,2485,289,367,391840,0 121,2,2024-09-07 08:39:31:127,209775,209775,0,0,10384882,0,4127 121,3,2024-09-07 08:39:30:732,1,167,3,0,269,2277,167,0 122,0,2024-09-07 08:39:31:815,43060,1.2,41931,1.1,87881,1.4,115944,2.25 122,1,2024-09-07 08:39:30:866,292684,292684,0,0,136889625444,1439422051,288546,3431,707,366,392130,0 122,2,2024-09-07 08:39:31:323,214151,214151,0,0,11418979,0,3364 122,3,2024-09-07 08:39:30:605,1,167,1,0,411,3832,167,0 123,0,2024-09-07 08:39:30:953,38777,0.8,37802,0.8,79145,0.9,103190,2.00 123,1,2024-09-07 08:39:30:575,293729,293729,0,0,136893106938,1441744230,288653,4382,694,369,391823,0 123,2,2024-09-07 08:39:31:019,207932,207931,1,0,9980610,0,5215 123,3,2024-09-07 08:39:31:132,1,167,1,0,168,2022,167,0 124,0,2024-09-07 08:39:30:940,39513,0.3,39447,0.5,74638,0.2,102136,1.50 124,1,2024-09-07 08:39:31:025,294184,294184,0,0,137253763258,1426899339,292095,1733,356,367,392178,0 124,2,2024-09-07 08:39:31:010,209911,209911,0,0,8393735,0,3101 124,3,2024-09-07 08:39:30:758,1,167,4,0,490,2291,167,0 125,0,2024-09-07 08:39:31:484,44720,0.4,44678,0.5,89572,0.4,119266,1.75 125,1,2024-09-07 08:39:30:866,294152,294152,0,0,137192611157,1433468505,291781,2095,276,384,391702,0 125,2,2024-09-07 08:39:31:123,211790,211790,0,0,8410058,0,2180 125,3,2024-09-07 08:39:31:135,1,167,10,0,284,2146,167,0 126,0,2024-09-07 08:39:31:425,44137,0.7,45316,0.8,86610,0.8,117594,2.00 126,1,2024-09-07 08:39:30:559,294509,294509,0,0,137978549741,1430703109,292871,1560,78,365,391987,0 126,2,2024-09-07 08:39:30:613,216060,216060,0,0,8784064,0,3186 126,3,2024-09-07 08:39:30:910,1,167,2,0,150,2360,167,0 127,0,2024-09-07 08:39:31:610,38485,0.4,38633,0.6,77051,0.4,102295,1.75 127,1,2024-09-07 08:39:30:575,293893,293893,0,0,137171429494,1422284047,291817,2035,41,365,391816,0 127,2,2024-09-07 08:39:30:637,209280,209280,0,0,8157417,0,2264 127,3,2024-09-07 08:39:31:267,1,167,1,0,243,1465,167,0 128,0,2024-09-07 08:39:31:527,38479,0.3,38263,0.4,76640,0.2,101614,1.50 128,1,2024-09-07 08:39:31:612,294503,294503,0,0,137587876152,1425045268,293524,907,72,367,391680,0 128,2,2024-09-07 08:39:31:390,209375,209375,0,0,8157084,0,2107 128,3,2024-09-07 08:39:30:785,1,167,2,0,333,2381,167,0 129,0,2024-09-07 08:39:31:031,43538,0.3,43249,0.4,86483,0.2,115749,1.50 129,1,2024-09-07 08:39:30:593,292802,292802,0,0,136171755410,1427249306,289873,2536,393,379,391835,0 129,2,2024-09-07 08:39:30:697,211822,211822,0,0,8570274,0,4031 129,3,2024-09-07 08:39:30:695,1,167,36,0,173,1979,167,0 130,0,2024-09-07 08:39:31:734,45989,0.7,45750,0.7,91908,0.9,122449,2.00 130,1,2024-09-07 08:39:30:593,294280,294280,0,0,137418905675,1432370661,292165,2043,72,381,391825,0 130,2,2024-09-07 08:39:31:131,214644,214644,0,0,8425538,0,4067 130,3,2024-09-07 08:39:31:291,1,167,1,0,450,1954,167,0 131,0,2024-09-07 08:39:31:960,40566,0.6,40778,0.7,82398,0.6,108569,1.75 131,1,2024-09-07 08:39:31:820,295132,295132,0,0,137550162709,1431165475,293662,1264,206,383,391865,0 131,2,2024-09-07 08:39:30:569,210672,210672,0,0,7944416,0,2415 131,3,2024-09-07 08:39:31:692,1,167,1,0,392,1870,167,0 132,0,2024-09-07 08:39:31:437,39799,0.4,40319,0.7,80640,0.4,107112,1.75 132,1,2024-09-07 08:39:30:608,292966,292966,0,0,136745755323,1447008412,287546,4388,1032,381,392097,0 132,2,2024-09-07 08:39:30:708,209833,209833,0,0,10945565,0,4606 132,3,2024-09-07 08:39:31:688,1,167,1,0,356,3043,167,0 133,0,2024-09-07 08:39:31:551,40235,0.4,41193,0.6,84377,0.3,109772,1.75 133,1,2024-09-07 08:39:30:624,292370,292370,0,0,137201284880,1448855168,287860,3869,641,383,391914,0 133,2,2024-09-07 08:39:31:104,210583,210583,0,0,11043600,0,4315 133,3,2024-09-07 08:39:31:299,1,167,1,0,187,1457,167,0 134,0,2024-09-07 08:39:30:966,47153,0.5,47173,0.7,94813,0.5,125805,2.00 134,1,2024-09-07 08:39:30:600,293154,293154,0,0,136787724445,1436591575,288768,3163,1223,366,391718,0 134,2,2024-09-07 08:39:31:777,210978,210978,0,0,9352813,0,3096 134,3,2024-09-07 08:39:30:752,1,167,6,0,739,3015,167,0 135,0,2024-09-07 08:39:31:110,41093,1.5,41060,1.3,87148,1.8,112396,2.50 135,1,2024-09-07 08:39:31:590,292840,292840,0,0,137414332757,1446805924,288647,3489,704,380,391805,0 135,2,2024-09-07 08:39:30:688,213421,213421,0,0,10103308,0,3981 135,3,2024-09-07 08:39:31:002,1,167,15,0,89,986,167,0 136,0,2024-09-07 08:39:31:631,39263,0.5,39224,0.7,78767,0.5,104592,2.00 136,1,2024-09-07 08:39:31:444,293106,293106,0,0,137062893676,1437712492,289754,3179,173,383,391641,0 136,2,2024-09-07 08:39:31:152,210737,210737,0,0,9715942,0,3506 136,3,2024-09-07 08:39:31:119,1,167,1,0,108,1350,167,0 137,0,2024-09-07 08:39:30:969,40529,0.4,39373,0.6,77593,0.4,105591,1.75 137,1,2024-09-07 08:39:30:595,293580,293580,0,0,137024644283,1435605802,289441,3718,421,367,391708,0 137,2,2024-09-07 08:39:31:716,209483,209483,0,0,11108779,0,3185 137,3,2024-09-07 08:39:30:794,1,167,9,1,227,1925,167,0 138,0,2024-09-07 08:39:31:749,44654,1.2,44693,1.1,90015,1.7,120006,2.25 138,1,2024-09-07 08:39:31:690,293587,293587,0,0,137661466467,1439630720,290504,2731,352,368,391954,0 138,2,2024-09-07 08:39:30:599,211491,211491,0,0,9132850,0,3263 138,3,2024-09-07 08:39:30:628,1,167,34,0,1160,3209,167,0 139,0,2024-09-07 08:39:31:379,42377,2.9,42608,1.7,85793,4.2,115092,3.25 139,1,2024-09-07 08:39:30:574,292210,292210,0,0,135851157986,1442928362,286930,3956,1324,381,391892,0 139,2,2024-09-07 08:39:30:692,213528,213528,0,0,10386028,0,3097 139,3,2024-09-07 08:39:31:663,1,167,1,0,244,1881,167,0 140,0,2024-09-07 08:39:31:610,38829,0.4,38465,0.5,77504,0.3,102662,1.75 140,1,2024-09-07 08:39:31:536,294911,294911,0,0,138222246024,1426078023,293391,1280,240,365,391606,0 140,2,2024-09-07 08:39:30:692,209470,209470,0,0,8715575,0,3388 140,3,2024-09-07 08:39:30:772,1,167,1,0,247,1465,167,0 141,0,2024-09-07 08:39:31:703,37939,0.2,39080,0.4,74790,0.1,101776,1.50 141,1,2024-09-07 08:39:30:861,294477,294477,0,0,137679967019,1429603684,292524,1600,353,379,391614,0 141,2,2024-09-07 08:39:31:685,209616,209616,0,0,8176209,0,2342 141,3,2024-09-07 08:39:31:046,1,167,3,0,147,1330,167,0 142,0,2024-09-07 08:39:31:355,44624,0.3,44380,0.5,88132,0.2,118248,1.50 142,1,2024-09-07 08:39:30:589,294107,294107,0,0,137090780932,1429249267,292561,1387,159,383,391728,0 142,2,2024-09-07 08:39:31:300,210526,210494,32,0,9662599,0,6028 142,3,2024-09-07 08:39:31:746,1,167,1,0,484,2349,167,0 143,0,2024-09-07 08:39:31:437,44500,0.7,44474,0.7,89529,0.8,118537,2.00 143,1,2024-09-07 08:39:30:561,294358,294358,0,0,137693186135,1429415907,292248,2067,43,367,391619,0 143,2,2024-09-07 08:39:30:774,214875,214875,0,0,8855533,0,2669 143,3,2024-09-07 08:39:31:143,1,167,31,0,303,2662,167,0 144,0,2024-09-07 08:39:31:531,38425,0.8,39605,1.3,80364,0.8,105647,2.25 144,1,2024-09-07 08:39:30:570,293047,293047,0,0,136687818775,1433687740,290647,2197,203,381,391649,0 144,2,2024-09-07 08:39:31:757,209554,209554,0,0,8537788,0,3473 144,3,2024-09-07 08:39:31:748,1,167,1,0,249,2115,167,0 145,0,2024-09-07 08:39:31:407,37854,0.5,37837,0.7,80300,0.4,103402,2.00 145,1,2024-09-07 08:39:30:556,292131,292131,0,0,136930955322,1444104535,287735,3659,737,383,391615,0 145,2,2024-09-07 08:39:31:430,209638,209638,0,0,9574322,0,3903 145,3,2024-09-07 08:39:30:898,1,167,2,0,151,1880,167,0 146,0,2024-09-07 08:39:31:610,42095,0.4,41814,0.6,84344,0.4,112056,2.00 146,1,2024-09-07 08:39:31:756,293932,293932,0,0,136526017065,1433209440,289412,3660,860,368,391629,0 146,2,2024-09-07 08:39:31:732,211531,211531,0,0,9457419,0,2498 146,3,2024-09-07 08:39:31:274,1,167,1,0,1520,5215,167,0 147,0,2024-09-07 08:39:31:698,46267,0.8,46230,0.9,91922,0.8,123427,2.25 147,1,2024-09-07 08:39:31:386,294888,294888,0,0,137692196687,1434766856,291783,2608,497,368,391791,0 147,2,2024-09-07 08:39:31:014,213828,213828,0,0,8669480,0,2789 147,3,2024-09-07 08:39:30:919,1,167,1,0,371,2041,167,0 0,0,2024-09-07 08:39:41:760,39264,0.4,39263,0.6,83314,0.3,107780,1.75 0,1,2024-09-07 08:39:40:808,295436,295436,0,0,138206706882,1445599934,293469,1830,137,372,391772,0 0,2,2024-09-07 08:39:41:072,212061,212061,0,0,8849655,0,4480 0,3,2024-09-07 08:39:40:984,1,168,1,0,247,2104,168,0 1,0,2024-09-07 08:39:41:760,47260,1.2,46937,1.2,94086,1.7,126488,2.25 1,1,2024-09-07 08:39:40:559,295083,295083,0,0,137291539892,1441170371,291643,2573,867,371,391857,0 1,2,2024-09-07 08:39:40:653,211333,211333,0,0,8060967,0,3267 1,3,2024-09-07 08:39:41:314,1,168,12,0,262,2031,168,0 2,0,2024-09-07 08:39:41:584,43379,1.1,43642,1.0,86595,1.5,115900,2.25 2,1,2024-09-07 08:39:40:860,295246,295246,0,0,138807175717,1442732567,293736,1304,206,380,391745,0 2,2,2024-09-07 08:39:41:266,214900,214900,0,0,8852535,0,3594 2,3,2024-09-07 08:39:40:691,1,168,0,0,357,1697,168,0 3,0,2024-09-07 08:39:41:747,38595,0.5,38893,0.7,77620,0.4,103013,2.00 3,1,2024-09-07 08:39:41:621,295013,295013,0,0,137476809222,1435341645,291904,2685,424,380,391516,0 3,2,2024-09-07 08:39:41:146,211216,211193,23,0,8820351,0,5851 3,3,2024-09-07 08:39:41:752,1,168,50,0,103,1120,168,0 4,0,2024-09-07 08:39:41:767,37377,0.3,38466,0.4,78214,0.2,102553,1.50 4,1,2024-09-07 08:39:40:604,295742,295742,0,0,137500884029,1444599581,292755,2464,523,371,391846,0 4,2,2024-09-07 08:39:41:023,209839,209839,0,0,10535778,0,4528 4,3,2024-09-07 08:39:41:026,1,168,7,0,287,2260,168,0 5,0,2024-09-07 08:39:41:412,45058,0.5,44988,0.6,89909,0.4,119936,1.75 5,1,2024-09-07 08:39:40:775,294782,294782,0,0,138130154727,1455386976,290573,3221,988,368,392005,0 5,2,2024-09-07 08:39:41:831,211325,211325,0,0,9105576,0,2259 5,3,2024-09-07 08:39:41:732,1,168,1,0,238,2453,168,0 6,0,2024-09-07 08:39:40:919,44584,0.9,44170,1.0,87723,1.3,117815,2.25 6,1,2024-09-07 08:39:40:752,295585,295585,0,0,137588703359,1441086645,292185,2752,648,381,391617,0 6,2,2024-09-07 08:39:41:121,216642,216642,0,0,9322365,0,4816 6,3,2024-09-07 08:39:41:289,1,168,1,0,340,2343,168,0 7,0,2024-09-07 08:39:41:548,38153,0.5,38070,0.7,76311,0.4,101511,2.00 7,1,2024-09-07 08:39:40:850,295633,295633,0,0,138618944842,1450726369,292813,2688,132,382,391664,0 7,2,2024-09-07 08:39:40:770,210094,210094,0,0,8482839,0,2981 7,3,2024-09-07 08:39:40:851,1,168,1,0,305,1716,168,0 8,0,2024-09-07 08:39:41:351,38274,0.3,38402,0.4,76476,0.2,101770,1.50 8,1,2024-09-07 08:39:41:023,294755,294755,0,0,137422915234,1452042565,289141,4013,1601,367,391956,0 8,2,2024-09-07 08:39:40:807,207879,207879,0,0,10389820,0,2986 8,3,2024-09-07 08:39:40:596,1,168,16,0,357,2667,168,0 9,0,2024-09-07 08:39:41:119,43831,0.3,42472,0.5,88819,0.3,117069,1.50 9,1,2024-09-07 08:39:40:561,294749,294749,0,0,138546821738,1462042870,290187,3430,1132,370,391753,0 9,2,2024-09-07 08:39:41:095,212788,212788,0,0,9677696,0,3360 9,3,2024-09-07 08:39:41:752,1,168,11,0,496,2741,168,0 10,0,2024-09-07 08:39:41:604,46112,0.4,45719,0.6,91934,0.3,122011,1.75 10,1,2024-09-07 08:39:40:585,295096,295096,0,0,137510427209,1443895764,290625,3830,641,381,391741,0 10,2,2024-09-07 08:39:40:762,215500,215500,0,0,9841181,0,2940 10,3,2024-09-07 08:39:40:887,1,168,1,0,177,1243,168,0 11,0,2024-09-07 08:39:41:046,40031,0.7,38988,0.9,81760,0.8,108580,2.25 11,1,2024-09-07 08:39:40:582,295545,295545,0,0,137209626574,1444105748,290228,4047,1270,384,391537,0 11,2,2024-09-07 08:39:41:130,210844,210844,0,0,9484605,0,3411 11,3,2024-09-07 08:39:41:298,1,168,9,0,720,2875,168,0 12,0,2024-09-07 08:39:40:956,40700,0.3,40349,0.5,81030,0.2,107546,1.50 12,1,2024-09-07 08:39:40:941,295064,295064,0,0,137458080096,1436883320,292167,2483,414,370,391837,0 12,2,2024-09-07 08:39:41:560,212456,212456,0,0,9766861,0,3469 12,3,2024-09-07 08:39:41:059,1,168,3,0,358,2718,168,0 13,0,2024-09-07 08:39:41:403,41834,0.3,41735,0.5,83498,0.3,111590,1.75 13,1,2024-09-07 08:39:41:568,295156,295156,0,0,137787459285,1446189903,292730,2017,409,382,391717,0 13,2,2024-09-07 08:39:40:603,213384,213384,0,0,8912089,0,3287 13,3,2024-09-07 08:39:41:771,1,168,8,0,467,3324,168,0 14,0,2024-09-07 08:39:40:565,47644,0.4,47901,0.6,94909,0.4,126097,1.75 14,1,2024-09-07 08:39:41:562,297087,297087,0,0,138986492901,1441664047,294820,2104,163,364,391571,0 14,2,2024-09-07 08:39:40:765,212762,212762,0,0,9082673,0,2896 14,3,2024-09-07 08:39:41:118,1,168,24,0,1168,2956,168,0 15,0,2024-09-07 08:39:41:561,42503,0.9,42486,1.0,85245,1.0,113615,2.50 15,1,2024-09-07 08:39:41:621,294995,294995,0,0,137955937439,1436006905,293077,1808,110,381,391619,0 15,2,2024-09-07 08:39:41:005,214417,214417,0,0,7597484,0,3043 15,3,2024-09-07 08:39:41:408,1,168,1,0,1126,4346,168,0 16,0,2024-09-07 08:39:40:967,39382,0.5,39620,0.7,78658,0.4,105104,2.00 16,1,2024-09-07 08:39:40:570,295937,295937,0,0,137665263068,1439211260,293706,1969,262,370,391756,0 16,2,2024-09-07 08:39:41:450,210769,210769,0,0,9877380,0,4719 16,3,2024-09-07 08:39:41:142,1,168,10,0,231,2282,168,0 17,0,2024-09-07 08:39:41:784,41089,0.4,40117,0.6,78667,0.3,106920,1.75 17,1,2024-09-07 08:39:40:579,294733,294733,0,0,137395262770,1444220904,291370,2690,673,368,391688,0 17,2,2024-09-07 08:39:41:678,213441,213441,0,0,8827105,0,2857 17,3,2024-09-07 08:39:40:588,1,168,2,0,268,2505,168,0 18,0,2024-09-07 08:39:40:951,44708,0.7,44994,0.8,89953,0.7,120134,2.25 18,1,2024-09-07 08:39:41:638,295616,295616,0,0,138329535322,1435733255,293606,1776,234,367,391564,0 18,2,2024-09-07 08:39:41:754,211989,211989,0,0,8773115,0,3541 18,3,2024-09-07 08:39:40:895,1,168,6,0,163,1860,168,0 19,0,2024-09-07 08:39:41:543,43293,1.1,43793,1.0,86346,1.3,115366,2.50 19,1,2024-09-07 08:39:40:569,295450,295450,0,0,138484162587,1443721590,291635,3099,716,367,391777,0 19,2,2024-09-07 08:39:41:757,217220,217220,0,0,8045428,0,3988 19,3,2024-09-07 08:39:41:136,1,168,1,0,524,1506,168,0 20,0,2024-09-07 08:39:41:380,38576,0.6,38494,0.8,77276,0.6,102773,2.25 20,1,2024-09-07 08:39:40:569,294597,294597,0,0,137805607406,1445992545,291127,3079,391,369,391822,0 20,2,2024-09-07 08:39:40:954,210489,210489,0,0,9146910,0,3721 20,3,2024-09-07 08:39:40:601,1,168,3,0,414,2953,168,0 21,0,2024-09-07 08:39:41:152,38688,0.3,38680,0.5,77007,0.3,102360,1.75 21,1,2024-09-07 08:39:41:561,294847,294847,0,0,137073643724,1443702415,291171,3142,534,368,391962,0 21,2,2024-09-07 08:39:41:081,210392,210392,0,0,10036415,0,3747 21,3,2024-09-07 08:39:41:412,1,168,2,0,103,2021,168,0 22,0,2024-09-07 08:39:41:728,44419,0.5,44500,0.7,88494,0.5,118495,2.00 22,1,2024-09-07 08:39:41:031,294570,294570,0,0,137267967985,1449171431,289026,4035,1509,382,391667,0 22,2,2024-09-07 08:39:40:761,212380,212380,0,0,8575910,0,3134 22,3,2024-09-07 08:39:41:071,1,168,1,0,228,1830,168,0 23,0,2024-09-07 08:39:41:372,44299,0.9,44292,0.9,88943,1.1,118457,2.50 23,1,2024-09-07 08:39:41:009,295569,295569,0,0,138749299748,1451478830,291471,2616,1482,365,391549,0 23,2,2024-09-07 08:39:41:106,215814,215814,0,0,8879965,0,3010 23,3,2024-09-07 08:39:41:754,1,168,0,0,645,1815,168,0 24,0,2024-09-07 08:39:40:847,40488,0.5,40459,0.6,80896,0.5,107190,1.75 24,1,2024-09-07 08:39:40:582,295603,295603,0,0,137042615929,1434992063,292638,2166,799,369,391640,0 24,2,2024-09-07 08:39:41:075,209583,209583,0,0,10177300,0,2942 24,3,2024-09-07 08:39:41:687,1,168,0,0,234,1888,168,0 25,0,2024-09-07 08:39:41:349,40196,0.4,39320,0.6,76932,0.3,104187,1.75 25,1,2024-09-07 08:39:40:570,295050,295050,0,0,137254159060,1441585713,291356,3151,543,371,391788,0 25,2,2024-09-07 08:39:41:607,210071,210071,0,0,10517932,0,3978 25,3,2024-09-07 08:39:41:010,1,168,1,0,255,2095,168,0 26,0,2024-09-07 08:39:41:734,42251,0.3,41336,0.5,86619,0.3,113464,1.75 26,1,2024-09-07 08:39:41:553,295622,295622,0,0,138121295672,1450502808,290957,3764,901,381,391748,0 26,2,2024-09-07 08:39:40:861,213650,213650,0,0,9746938,0,2809 26,3,2024-09-07 08:39:41:718,1,168,1,0,796,2254,168,0 27,0,2024-09-07 08:39:41:723,46707,0.5,46688,0.7,92617,0.6,123404,2.00 27,1,2024-09-07 08:39:41:676,296818,296818,0,0,138752651415,1441330182,294998,1497,323,381,391558,0 27,2,2024-09-07 08:39:40:870,213041,213041,0,0,10173777,0,3409 27,3,2024-09-07 08:39:41:023,1,168,1,0,564,1975,168,0 28,0,2024-09-07 08:39:41:397,41625,0.8,41756,0.8,84049,1.0,111971,2.50 28,1,2024-09-07 08:39:40:797,296152,296152,0,0,139210875983,1451102643,293983,1832,337,383,391646,0 28,2,2024-09-07 08:39:41:764,212534,212534,0,0,8056073,0,2915 28,3,2024-09-07 08:39:41:789,1,168,1,0,502,2072,168,0 29,0,2024-09-07 08:39:41:376,41086,0.3,40205,0.5,78370,0.3,107602,1.75 29,1,2024-09-07 08:39:41:574,296928,296928,0,0,138185077928,1432547947,294496,1889,543,369,391621,0 29,2,2024-09-07 08:39:40:861,211136,211136,0,0,7845242,0,4018 29,3,2024-09-07 08:39:40:973,1,168,1,0,105,1244,168,0 30,0,2024-09-07 08:39:41:467,40402,0.5,39348,0.7,82217,0.4,108063,2.00 30,1,2024-09-07 08:39:40:577,296425,296425,0,0,138626791727,1440122671,294429,1718,278,382,391672,0 30,2,2024-09-07 08:39:41:279,212964,212964,0,0,7923616,0,3161 30,3,2024-09-07 08:39:40:585,1,168,1,0,195,1225,168,0 31,0,2024-09-07 08:39:41:761,46595,0.5,47046,0.7,94598,0.5,126182,2.00 31,1,2024-09-07 08:39:40:564,297084,297084,0,0,138431825952,1418855143,296282,690,112,356,391553,0 31,2,2024-09-07 08:39:41:287,211851,211851,0,0,9602037,0,3525 31,3,2024-09-07 08:39:41:707,1,168,5,0,220,1388,168,0 32,0,2024-09-07 08:39:41:482,43349,0.5,43831,0.7,87754,0.5,116606,1.75 32,1,2024-09-07 08:39:40:808,296214,296214,0,0,138645549322,1439853439,294470,1469,275,382,391595,0 32,2,2024-09-07 08:39:40:941,215463,215463,0,0,7857722,0,3155 32,3,2024-09-07 08:39:41:022,1,168,0,0,227,1479,168,0 33,0,2024-09-07 08:39:41:512,39339,0.3,38728,0.5,78130,0.2,103891,1.75 33,1,2024-09-07 08:39:40:581,296913,296913,0,0,138839426167,1437723593,294654,2087,172,369,391730,0 33,2,2024-09-07 08:39:40:768,211196,211163,33,0,9648425,0,7012 33,3,2024-09-07 08:39:40:910,1,168,66,0,329,1951,168,0 34,0,2024-09-07 08:39:40:935,38650,0.3,39806,0.4,76384,0.2,102656,1.50 34,1,2024-09-07 08:39:41:048,296820,296820,0,0,138790899807,1429427313,295822,992,6,367,391562,0 34,2,2024-09-07 08:39:40:766,210142,210142,0,0,8619428,0,3577 34,3,2024-09-07 08:39:41:689,1,168,2,0,148,1069,168,0 35,0,2024-09-07 08:39:40:863,44599,0.4,44923,0.5,90107,0.3,120359,1.75 35,1,2024-09-07 08:39:41:071,295549,295549,0,0,137734779062,1431497963,292749,2030,770,384,391589,0 35,2,2024-09-07 08:39:41:583,212733,212733,0,0,7979331,0,2653 35,3,2024-09-07 08:39:40:914,1,168,0,0,418,1981,168,0 36,0,2024-09-07 08:39:41:534,44182,1.0,44033,1.0,88565,1.2,117426,2.50 36,1,2024-09-07 08:39:40:638,295846,295846,0,0,138155685183,1447777637,291223,3334,1289,366,391759,0 36,2,2024-09-07 08:39:41:751,215827,215827,0,0,9460893,0,3303 36,3,2024-09-07 08:39:40:863,1,168,1,0,378,2226,168,0 37,0,2024-09-07 08:39:41:375,38181,0.5,38187,0.7,76276,0.4,102441,2.00 37,1,2024-09-07 08:39:40:576,294955,294948,0,7,137411890221,1445168323,290175,2754,2019,365,391560,0 37,2,2024-09-07 08:39:41:142,210314,210299,15,0,9506153,0,5815 37,3,2024-09-07 08:39:41:766,1,168,1,0,724,2491,168,0 38,0,2024-09-07 08:39:41:483,38144,0.4,37071,0.6,77313,0.3,101440,2.00 38,1,2024-09-07 08:39:41:609,295945,295945,0,0,138072527859,1443784906,291906,3407,632,368,391821,0 38,2,2024-09-07 08:39:40:768,210672,210625,47,0,11086302,0,6710 38,3,2024-09-07 08:39:40:997,1,168,1,0,603,2200,168,0 39,0,2024-09-07 08:39:41:765,44888,0.5,43960,0.7,85633,0.5,117658,2.00 39,1,2024-09-07 08:39:40:716,295741,295741,0,0,137736423298,1437373979,291953,2987,801,365,391524,0 39,2,2024-09-07 08:39:41:419,213160,213160,0,0,8245703,0,2689 39,3,2024-09-07 08:39:40:715,1,168,1,0,276,2098,168,0 40,0,2024-09-07 08:39:41:496,45231,0.9,45913,1.1,91485,1.0,121513,3.00 40,1,2024-09-07 08:39:40:579,295896,295896,0,0,136990004455,1435681688,291481,3646,769,368,391591,0 40,2,2024-09-07 08:39:41:303,214506,214505,1,0,10824506,0,5137 40,3,2024-09-07 08:39:41:148,1,168,1,0,181,1794,168,0 41,0,2024-09-07 08:39:41:037,40094,1.9,40846,1.6,78360,3.2,107156,4.25 41,1,2024-09-07 08:39:40:769,295133,295133,0,0,138300831774,1448051066,290938,3661,534,370,391742,0 41,2,2024-09-07 08:39:40:768,209585,209585,0,0,10334525,0,3356 41,3,2024-09-07 08:39:41:676,1,168,1,0,366,1986,168,0 42,0,2024-09-07 08:39:41:481,39708,0.5,40125,0.8,80015,0.4,105698,2.25 42,1,2024-09-07 08:39:41:441,294429,294429,0,0,137757079315,1449379695,289713,3758,958,380,391675,0 42,2,2024-09-07 08:39:41:134,210672,210672,0,0,10058388,0,3568 42,3,2024-09-07 08:39:41:013,1,168,2,0,446,1444,168,0 43,0,2024-09-07 08:39:40:929,41568,0.6,40577,0.8,84672,0.5,111710,2.00 43,1,2024-09-07 08:39:40:581,295608,295608,0,0,138097857227,1440532762,292270,2545,793,366,391604,0 43,2,2024-09-07 08:39:41:740,213182,213182,0,0,9414061,0,3812 43,3,2024-09-07 08:39:41:756,1,168,5,0,325,2151,168,0 44,0,2024-09-07 08:39:40:864,47422,0.5,47776,0.7,94988,0.5,126739,1.75 44,1,2024-09-07 08:39:40:568,296407,296407,0,0,138472040106,1431364700,294348,1634,425,356,391809,0 44,2,2024-09-07 08:39:41:279,212160,212160,0,0,7734834,0,1877 44,3,2024-09-07 08:39:41:098,1,168,1,0,817,2116,168,0 45,0,2024-09-07 08:39:41:755,41935,1.2,41213,1.1,86385,1.4,114221,2.25 45,1,2024-09-07 08:39:41:012,296133,296133,0,0,139271292504,1443446101,295092,1031,10,382,391917,0 45,2,2024-09-07 08:39:41:291,214447,214447,0,0,8474960,0,3596 45,3,2024-09-07 08:39:40:937,1,168,1,0,226,1759,168,0 46,0,2024-09-07 08:39:40:960,39283,0.3,39120,0.6,78600,0.2,104246,1.75 46,1,2024-09-07 08:39:40:585,296777,296777,0,0,138154971750,1429011001,294968,1586,223,366,391524,0 46,2,2024-09-07 08:39:40:596,211989,211989,0,0,8413316,0,2920 46,3,2024-09-07 08:39:41:136,1,168,2,0,908,3039,168,0 47,0,2024-09-07 08:39:41:104,39909,0.3,40218,0.5,80584,0.2,106677,1.75 47,1,2024-09-07 08:39:40:571,297057,297057,0,0,138431025952,1429041777,295653,1387,17,366,391605,0 47,2,2024-09-07 08:39:40:915,212726,212726,0,0,8475271,0,2558 47,3,2024-09-07 08:39:41:125,1,168,2,0,529,1907,168,0 48,0,2024-09-07 08:39:41:493,45676,0.3,45304,0.4,90649,0.2,121009,1.50 48,1,2024-09-07 08:39:41:023,296833,296833,0,0,138673957854,1440998991,294772,1880,181,384,391710,0 48,2,2024-09-07 08:39:40:699,212302,212302,0,0,7225260,0,2083 48,3,2024-09-07 08:39:40:758,1,168,1,0,339,1449,168,0 49,0,2024-09-07 08:39:41:738,44986,0.5,44082,0.7,85671,0.6,117301,1.75 49,1,2024-09-07 08:39:41:026,295315,295315,0,0,138448105478,1443439114,292576,1899,840,382,391583,0 49,2,2024-09-07 08:39:41:811,216961,216961,0,0,8318790,0,3900 49,3,2024-09-07 08:39:41:418,1,168,3,0,408,2391,168,0 50,0,2024-09-07 08:39:41:508,38706,0.3,38373,0.5,76870,0.2,102735,1.75 50,1,2024-09-07 08:39:41:014,297574,297574,0,0,138880380799,1439822588,295047,2218,309,368,391530,0 50,2,2024-09-07 08:39:41:073,210827,210827,0,0,7853897,0,2253 50,3,2024-09-07 08:39:41:299,1,168,1,0,335,1353,168,0 51,0,2024-09-07 08:39:41:684,39286,0.3,38736,0.4,75347,0.2,102762,1.50 51,1,2024-09-07 08:39:41:680,296090,296090,0,0,139475834610,1441860686,294120,1184,786,365,391637,0 51,2,2024-09-07 08:39:41:319,210889,210889,0,0,7394154,0,3337 51,3,2024-09-07 08:39:41:033,1,168,12,0,162,930,168,0 52,0,2024-09-07 08:39:41:418,44836,0.5,44652,0.7,89368,0.4,118918,2.00 52,1,2024-09-07 08:39:40:580,295127,295127,0,0,137198589958,1445985133,289212,4756,1159,368,391722,0 52,2,2024-09-07 08:39:41:755,211089,211051,38,0,10082043,0,6742 52,3,2024-09-07 08:39:40:678,1,168,2,0,1782,3540,168,0 53,0,2024-09-07 08:39:41:741,44309,1.0,43009,1.0,89876,1.2,117725,2.75 53,1,2024-09-07 08:39:40:771,294849,294849,0,0,137619968388,1451461081,289217,3738,1894,367,391702,0 53,2,2024-09-07 08:39:41:300,216469,216469,0,0,8458138,0,2262 53,3,2024-09-07 08:39:40:696,1,168,1,0,271,1641,168,0 54,0,2024-09-07 08:39:41:618,38787,1.9,39345,1.2,77959,0.9,104356,3.75 54,1,2024-09-07 08:39:40:581,295460,295460,0,0,138277460745,1443326121,291490,3399,571,367,391659,0 54,2,2024-09-07 08:39:40:868,210345,210339,6,0,9963971,0,5382 54,3,2024-09-07 08:39:40:767,1,168,2,0,676,3196,168,0 55,0,2024-09-07 08:39:41:764,37783,0.4,39031,0.7,78920,0.3,102028,2.25 55,1,2024-09-07 08:39:40:768,295148,295148,0,0,137577872805,1440088149,289958,4403,787,365,391731,0 55,2,2024-09-07 08:39:40:728,210312,210312,0,0,9519618,0,3275 55,3,2024-09-07 08:39:40:678,1,168,2,0,304,1715,168,0 56,0,2024-09-07 08:39:41:562,43542,1.0,41158,1.0,84597,1.4,113735,2.25 56,1,2024-09-07 08:39:40:573,294740,294740,0,0,137988993536,1460371618,289576,4260,904,381,391678,0 56,2,2024-09-07 08:39:41:317,212685,212685,0,0,10584686,0,3567 56,3,2024-09-07 08:39:41:064,1,168,1,0,405,2338,168,0 57,0,2024-09-07 08:39:40:975,45646,2.3,45687,1.5,91503,3.3,122286,3.75 57,1,2024-09-07 08:39:41:091,295638,295638,0,0,137398920266,1438614073,292803,2665,170,368,391960,0 57,2,2024-09-07 08:39:41:320,214709,214709,0,0,10673642,0,3178 57,3,2024-09-07 08:39:41:754,1,168,15,0,359,2765,168,0 58,0,2024-09-07 08:39:40:558,40675,1.2,39586,1.2,82950,1.5,108628,2.75 58,1,2024-09-07 08:39:40:582,296265,296262,0,3,138634979372,1447605747,292744,3120,398,367,391516,3 58,2,2024-09-07 08:39:41:072,212049,212049,0,0,9684404,0,2549 58,3,2024-09-07 08:39:41:077,1,168,1,0,1043,2351,168,0 59,0,2024-09-07 08:39:41:764,40194,0.7,39880,0.9,79704,0.7,106453,2.75 59,1,2024-09-07 08:39:40:807,295328,295328,0,0,137928879056,1442897856,291678,2728,922,369,391515,0 59,2,2024-09-07 08:39:40:585,212254,212254,0,0,8597871,0,2604 59,3,2024-09-07 08:39:41:739,1,168,4,0,1015,2901,168,0 60,0,2024-09-07 08:39:41:732,40761,0.4,40752,0.6,81214,0.3,108026,1.75 60,1,2024-09-07 08:39:40:796,296694,296694,0,0,138541859142,1436039189,295235,1101,358,370,391761,0 60,2,2024-09-07 08:39:41:158,212170,212170,0,0,9721288,0,3811 60,3,2024-09-07 08:39:41:261,1,168,22,0,124,1618,168,0 61,0,2024-09-07 08:39:41:563,47011,1.1,47194,1.0,94116,1.7,126384,2.00 61,1,2024-09-07 08:39:40:784,295396,295396,0,0,137967675360,1446772422,291953,2890,553,382,391589,0 61,2,2024-09-07 08:39:41:125,212827,212827,0,0,8576078,0,2079 61,3,2024-09-07 08:39:41:690,1,168,1,0,199,1948,168,0 62,0,2024-09-07 08:39:41:735,43860,1.4,44695,1.0,85360,1.9,116390,2.25 62,1,2024-09-07 08:39:41:117,297634,297628,0,6,139116558975,1435344185,295949,1640,39,365,391715,6 62,2,2024-09-07 08:39:41:648,214200,214199,1,0,10136151,0,5555 62,3,2024-09-07 08:39:41:145,1,168,0,0,287,1188,168,0 63,0,2024-09-07 08:39:41:469,38919,0.5,38857,0.7,77862,0.5,103656,2.00 63,1,2024-09-07 08:39:40:816,296747,296741,0,6,138522637552,1434993886,295499,1216,26,381,391677,6 63,2,2024-09-07 08:39:40:768,210866,210866,0,0,8011883,0,2674 63,3,2024-09-07 08:39:41:732,1,168,2,0,667,2419,168,0 64,0,2024-09-07 08:39:41:561,38470,0.4,38367,0.6,77074,0.3,102513,1.75 64,1,2024-09-07 08:39:40:767,296340,296340,0,0,137843255583,1440838550,292872,2414,1054,370,391783,0 64,2,2024-09-07 08:39:41:157,212581,212562,19,0,8668655,0,6121 64,3,2024-09-07 08:39:41:144,1,168,1,0,265,1851,168,0 65,0,2024-09-07 08:39:41:732,44265,0.7,44801,0.8,89305,0.8,119303,2.25 65,1,2024-09-07 08:39:40:864,295293,295293,0,0,137342679628,1437333713,292783,2286,224,382,391770,0 65,2,2024-09-07 08:39:41:696,212047,212047,0,0,9139548,0,3367 65,3,2024-09-07 08:39:41:692,1,168,1,0,163,1678,168,0 66,0,2024-09-07 08:39:41:788,43677,0.7,43796,0.9,87999,0.8,116602,2.50 66,1,2024-09-07 08:39:41:311,296813,296813,0,0,138397401199,1438571513,294938,1704,171,380,391588,0 66,2,2024-09-07 08:39:41:131,217924,217924,0,0,8541621,0,4956 66,3,2024-09-07 08:39:41:090,1,168,13,0,291,1733,168,0 67,0,2024-09-07 08:39:41:420,38716,0.8,38478,0.9,77263,1.0,102546,2.50 67,1,2024-09-07 08:39:40:769,295424,295423,0,1,137577602557,1439100668,291956,2721,746,381,391787,1 67,2,2024-09-07 08:39:40:584,211375,211375,0,0,8106359,0,2889 67,3,2024-09-07 08:39:41:750,1,168,1,0,138,1296,168,0 68,0,2024-09-07 08:39:40:577,38506,0.4,38326,0.7,76634,0.4,101685,2.00 68,1,2024-09-07 08:39:40:575,294922,294922,0,0,137410185532,1442032573,292065,1838,1019,381,391953,0 68,2,2024-09-07 08:39:41:051,209553,209488,65,0,11427492,0,6698 68,3,2024-09-07 08:39:40:734,1,168,1,0,417,2086,168,0 69,0,2024-09-07 08:39:41:746,43649,0.6,43825,0.8,87073,0.6,116924,2.00 69,1,2024-09-07 08:39:41:037,294280,294280,0,0,137578527133,1454021406,290268,2797,1215,384,391994,0 69,2,2024-09-07 08:39:41:744,213366,213366,0,0,10078144,0,3701 69,3,2024-09-07 08:39:40:764,1,168,3,0,238,2049,168,0 70,0,2024-09-07 08:39:41:585,45182,1.8,45471,1.4,91203,1.3,120975,2.75 70,1,2024-09-07 08:39:40:801,295755,295755,0,0,138214663032,1436192443,293297,1996,462,366,391725,0 70,2,2024-09-07 08:39:41:334,215500,215500,0,0,9235384,0,4044 70,3,2024-09-07 08:39:40:751,1,168,1,0,854,2209,168,0 71,0,2024-09-07 08:39:41:355,39959,2.0,40051,1.6,79778,2.9,107314,3.50 71,1,2024-09-07 08:39:41:601,295741,295741,0,0,138080358670,1444949244,291624,3592,525,368,391682,0 71,2,2024-09-07 08:39:41:072,211676,211676,0,0,9212518,0,2470 71,3,2024-09-07 08:39:41:751,1,168,1,0,644,2587,168,0 72,0,2024-09-07 08:39:41:049,41623,0.4,40711,0.7,79453,0.3,107966,2.00 72,1,2024-09-07 08:39:41:027,295415,295415,0,0,137652504671,1437443826,292149,2780,486,369,391819,0 72,2,2024-09-07 08:39:41:754,210405,210405,0,0,10860155,0,2570 72,3,2024-09-07 08:39:41:765,1,168,4,0,364,3257,168,0 73,0,2024-09-07 08:39:41:124,40680,0.4,41746,0.6,85328,0.3,111198,2.00 73,1,2024-09-07 08:39:40:766,295619,295619,0,0,138039803232,1432617415,293812,1692,115,367,391750,0 73,2,2024-09-07 08:39:41:740,212990,212990,0,0,10156873,0,3482 73,3,2024-09-07 08:39:40:975,1,168,1,0,274,2604,168,0 74,0,2024-09-07 08:39:41:325,47846,0.5,48819,0.7,93000,0.6,126166,2.25 74,1,2024-09-07 08:39:40:635,295381,295381,0,0,137279643274,1433225410,292589,2170,622,382,391681,0 74,2,2024-09-07 08:39:41:002,212707,212707,0,0,10164217,0,4253 74,3,2024-09-07 08:39:41:449,1,168,2,0,522,2775,168,0 75,0,2024-09-07 08:39:41:765,42872,1.2,42550,1.1,85145,1.4,114590,2.75 75,1,2024-09-07 08:39:41:592,295148,295148,0,0,137695218166,1442274706,291751,2937,460,381,391579,0 75,2,2024-09-07 08:39:41:356,213340,213340,0,0,9776465,0,4766 75,3,2024-09-07 08:39:41:077,1,168,1,0,535,1880,168,0 76,0,2024-09-07 08:39:40:642,39180,0.4,38926,0.7,77762,0.3,104517,2.25 76,1,2024-09-07 08:39:40:808,295782,295782,0,0,137769100806,1437537848,293720,1551,511,382,391692,0 76,2,2024-09-07 08:39:41:061,212234,212234,0,0,8445499,0,3064 76,3,2024-09-07 08:39:41:145,1,168,6,0,175,1901,168,0 77,0,2024-09-07 08:39:41:717,39884,0.4,40149,0.6,80315,0.4,106490,1.75 77,1,2024-09-07 08:39:40:831,296592,296592,0,0,137837408213,1436866941,294996,1512,84,383,391808,0 77,2,2024-09-07 08:39:41:312,211290,211290,0,0,8796864,0,3890 77,3,2024-09-07 08:39:41:107,1,168,5,0,305,2049,168,0 78,0,2024-09-07 08:39:41:726,45337,0.5,45063,0.7,90960,0.4,120433,2.00 78,1,2024-09-07 08:39:40:635,296203,296203,0,0,137827898354,1433770002,293868,2082,253,367,391589,0 78,2,2024-09-07 08:39:41:413,212922,212922,0,0,8110218,0,2114 78,3,2024-09-07 08:39:41:134,1,168,1,0,181,1676,168,0 79,0,2024-09-07 08:39:41:351,42355,0.5,43413,0.7,88757,0.5,115711,2.50 79,1,2024-09-07 08:39:40:573,296724,296724,0,0,138868216369,1439074385,294474,2078,172,369,391682,0 79,2,2024-09-07 08:39:41:068,216511,216511,0,0,8349717,0,3212 79,3,2024-09-07 08:39:40:758,1,168,1,0,418,2935,168,0 80,0,2024-09-07 08:39:41:153,38531,0.6,39491,0.8,75799,0.6,102857,2.00 80,1,2024-09-07 08:39:41:630,295224,295224,0,0,138077079869,1437969346,292697,2364,163,368,391673,0 80,2,2024-09-07 08:39:41:102,211402,211402,0,0,8662464,0,4433 80,3,2024-09-07 08:39:40:584,1,168,3,0,190,2660,168,0 81,0,2024-09-07 08:39:41:561,38466,0.4,39630,0.6,75832,0.4,102452,1.75 81,1,2024-09-07 08:39:41:657,295654,295654,0,0,137727162290,1440013370,293173,2209,272,382,391879,0 81,2,2024-09-07 08:39:41:130,210294,210294,0,0,9130835,0,3993 81,3,2024-09-07 08:39:41:125,1,168,2,0,193,1629,168,0 82,0,2024-09-07 08:39:41:558,44315,0.5,44618,0.7,89188,0.4,119075,2.00 82,1,2024-09-07 08:39:40:584,296165,296161,0,4,137521526414,1434145393,293715,1868,578,381,391558,4 82,2,2024-09-07 08:39:41:694,213047,213047,0,0,8374648,0,3986 82,3,2024-09-07 08:39:41:755,1,168,26,0,227,1908,168,0 83,0,2024-09-07 08:39:41:595,44538,0.7,44598,0.8,88550,0.8,117861,2.25 83,1,2024-09-07 08:39:40:555,295782,295782,0,0,137692778031,1438174790,293335,2243,204,382,391690,0 83,2,2024-09-07 08:39:40:765,215876,215876,0,0,8446094,0,3119 83,3,2024-09-07 08:39:40:751,1,168,1,0,241,1900,168,0 84,0,2024-09-07 08:39:41:784,39498,1.2,39346,1.3,79130,1.0,105628,2.75 84,1,2024-09-07 08:39:41:043,295037,295037,0,0,137134823571,1437011791,291636,2944,457,368,391852,0 84,2,2024-09-07 08:39:40:572,210658,210658,0,0,9632150,0,3801 84,3,2024-09-07 08:39:41:148,1,168,2,0,270,1959,168,0 85,0,2024-09-07 08:39:41:029,37755,0.5,37748,0.7,80113,0.4,103137,2.00 85,1,2024-09-07 08:39:40:588,294756,294756,0,0,137451030378,1456965448,289303,4373,1080,382,392006,0 85,2,2024-09-07 08:39:40:870,210971,210971,0,0,10318480,0,3656 85,3,2024-09-07 08:39:40:708,1,168,1,0,789,2505,168,0 86,0,2024-09-07 08:39:40:908,42561,0.5,43709,0.6,83755,0.5,113608,1.75 86,1,2024-09-07 08:39:40:832,295404,295404,0,0,138320540191,1449365659,291442,3417,545,366,391961,0 86,2,2024-09-07 08:39:40:873,211837,211836,1,0,10655992,0,5004 86,3,2024-09-07 08:39:40:592,1,168,18,0,286,2435,168,0 87,0,2024-09-07 08:39:41:359,46387,1.4,46169,1.1,92721,2.1,124119,2.25 87,1,2024-09-07 08:39:40:554,295242,295242,0,0,137966812690,1445460140,292025,2825,392,366,391788,0 87,2,2024-09-07 08:39:41:071,213459,213459,0,0,9046412,0,3515 87,3,2024-09-07 08:39:41:803,1,168,2,0,335,2849,168,0 88,0,2024-09-07 08:39:41:479,41937,0.6,42090,0.7,84064,0.8,111942,1.75 88,1,2024-09-07 08:39:40:570,294400,294400,0,0,137838683393,1447361240,290352,2878,1170,365,391787,0 88,2,2024-09-07 08:39:40:692,212071,212071,0,0,10364572,0,3583 88,3,2024-09-07 08:39:41:280,1,168,13,0,435,2362,168,0 89,0,2024-09-07 08:39:41:779,41339,0.4,40007,0.6,79229,0.4,107879,1.75 89,1,2024-09-07 08:39:40:561,293845,293845,0,0,137835364760,1458164659,288406,4501,938,382,391866,0 89,2,2024-09-07 08:39:41:141,211224,211224,0,0,10250203,0,2910 89,3,2024-09-07 08:39:41:791,1,168,9,0,325,3189,168,0 90,0,2024-09-07 08:39:41:649,39449,0.4,40259,0.5,82753,0.3,107725,1.75 90,1,2024-09-07 08:39:40:599,295938,295938,0,0,137392239014,1442810283,292708,2973,257,381,391825,0 90,2,2024-09-07 08:39:41:416,211415,211415,0,0,11167268,0,3060 90,3,2024-09-07 08:39:40:934,1,168,3,0,200,1933,168,0 91,0,2024-09-07 08:39:40:970,47627,0.5,45922,0.6,95658,0.5,126764,1.75 91,1,2024-09-07 08:39:40:558,294715,294715,0,0,137559572653,1445719280,290962,3256,497,381,392047,0 91,2,2024-09-07 08:39:41:336,211053,211053,0,0,10402180,0,2445 91,3,2024-09-07 08:39:40:604,1,168,2,0,216,1902,168,0 92,0,2024-09-07 08:39:41:473,43922,0.8,45022,0.8,86079,0.9,116707,1.75 92,1,2024-09-07 08:39:40:599,295772,295772,0,0,137628785868,1438183399,293592,1776,404,382,392136,0 92,2,2024-09-07 08:39:41:380,217034,217034,0,0,8693874,0,2801 92,3,2024-09-07 08:39:41:013,1,168,1,0,167,1523,168,0 93,0,2024-09-07 08:39:41:192,39152,0.4,40101,0.6,76619,0.4,104043,1.75 93,1,2024-09-07 08:39:40:853,295341,295341,0,0,138229130945,1443955142,292226,2565,550,366,391692,0 93,2,2024-09-07 08:39:41:019,211203,211203,0,0,8621898,0,2509 93,3,2024-09-07 08:39:41:421,1,168,4,0,143,1719,168,0 94,0,2024-09-07 08:39:41:772,38550,0.3,38944,0.5,77221,0.2,102358,1.50 94,1,2024-09-07 08:39:40:570,295457,295457,0,0,137924815883,1442830437,293011,2351,95,381,391850,0 94,2,2024-09-07 08:39:40:768,210675,210675,0,0,8424757,0,2443 94,3,2024-09-07 08:39:41:708,1,168,7,0,264,2477,168,0 95,0,2024-09-07 08:39:41:375,45166,0.3,44931,0.5,90479,0.3,120078,1.75 95,1,2024-09-07 08:39:40:851,295840,295840,0,0,137701237451,1433012201,293452,2204,184,367,391662,0 95,2,2024-09-07 08:39:41:051,212321,212321,0,0,8723923,0,3308 95,3,2024-09-07 08:39:41:711,1,168,13,0,718,3094,168,0 96,0,2024-09-07 08:39:41:034,44221,0.6,44359,0.7,88940,0.6,117241,1.75 96,1,2024-09-07 08:39:41:585,295301,295301,0,0,137893098188,1442817977,292696,1962,643,385,391894,0 96,2,2024-09-07 08:39:41:272,216404,216404,0,0,9268037,0,4042 96,3,2024-09-07 08:39:41:141,1,168,2,0,188,1875,168,0 97,0,2024-09-07 08:39:41:354,38808,0.4,38703,0.6,77427,0.4,102613,1.75 97,1,2024-09-07 08:39:40:771,296254,296254,0,0,138755647899,1443564509,293445,2259,550,367,392140,0 97,2,2024-09-07 08:39:40:624,210488,210488,0,0,8259919,0,3036 97,3,2024-09-07 08:39:40:580,1,168,19,0,165,1936,168,0 98,0,2024-09-07 08:39:41:766,38397,0.2,38336,0.4,76883,0.2,101924,1.50 98,1,2024-09-07 08:39:40:577,296161,296161,0,0,138004373547,1438731560,294340,1730,91,382,391997,0 98,2,2024-09-07 08:39:40:779,211644,211644,0,0,8686723,0,3080 98,3,2024-09-07 08:39:40:703,1,168,0,0,840,3101,168,0 99,0,2024-09-07 08:39:41:738,43681,0.3,43729,0.4,87318,0.2,117235,1.50 99,1,2024-09-07 08:39:41:727,296130,296130,0,0,138207248370,1443685741,293066,2368,696,381,391744,0 99,2,2024-09-07 08:39:41:518,215010,215010,0,0,8457420,0,2615 99,3,2024-09-07 08:39:40:583,1,168,4,0,129,1223,168,0 100,0,2024-09-07 08:39:41:476,45774,1.2,45634,1.3,91346,2.1,121890,2.50 100,1,2024-09-07 08:39:40:571,293475,293475,0,0,137270898944,1456566337,288219,4324,932,381,391989,0 100,2,2024-09-07 08:39:41:827,214267,214256,11,0,9929015,0,5417 100,3,2024-09-07 08:39:41:731,1,168,0,0,559,3700,168,0 101,0,2024-09-07 08:39:41:713,41259,2.8,40232,1.6,78908,3.3,108581,3.00 101,1,2024-09-07 08:39:40:559,294392,294392,0,0,137699161294,1456104092,289030,3885,1477,368,391769,0 101,2,2024-09-07 08:39:41:756,210510,210510,0,0,10873738,0,4644 101,3,2024-09-07 08:39:40:949,1,168,6,0,448,2062,168,0 102,0,2024-09-07 08:39:41:032,39338,0.5,40681,0.7,81898,0.4,107244,2.00 102,1,2024-09-07 08:39:41:161,295213,295213,0,0,137591830237,1448399046,291124,3377,712,369,391883,0 102,2,2024-09-07 08:39:41:745,211815,211761,54,0,10255390,0,6768 102,3,2024-09-07 08:39:41:634,1,168,31,0,410,1747,168,0 103,0,2024-09-07 08:39:41:616,42875,0.4,42929,0.6,81032,0.3,111887,1.75 103,1,2024-09-07 08:39:41:653,293963,293963,0,0,137316793816,1448908628,289514,3240,1209,381,391829,0 103,2,2024-09-07 08:39:40:583,212438,212438,0,0,9203117,0,2104 103,3,2024-09-07 08:39:40:758,1,168,2,0,916,2937,168,0 104,0,2024-09-07 08:39:41:034,47023,1.2,47317,1.1,93431,1.4,126213,2.25 104,1,2024-09-07 08:39:41:605,295714,295714,0,0,137058347555,1446252517,290701,4048,965,365,391948,0 104,2,2024-09-07 08:39:41:666,211926,211926,0,0,10202644,0,3941 104,3,2024-09-07 08:39:41:446,1,168,4,1,1245,5147,168,0 105,0,2024-09-07 08:39:41:041,42229,1.7,40955,1.4,85561,2.7,113461,3.75 105,1,2024-09-07 08:39:40:631,295919,295919,0,0,137215647184,1441091584,291704,3439,776,367,391797,0 105,2,2024-09-07 08:39:41:335,212972,212972,0,0,9599578,0,3509 105,3,2024-09-07 08:39:41:314,1,168,1,0,399,2639,168,0 106,0,2024-09-07 08:39:40:952,37991,0.6,39094,0.8,79515,0.6,104242,2.25 106,1,2024-09-07 08:39:41:762,295317,295317,0,0,138007891901,1448413462,291525,3408,384,369,391767,0 106,2,2024-09-07 08:39:40:759,210616,210616,0,0,9694574,0,2795 106,3,2024-09-07 08:39:40:678,1,168,1,0,405,2432,168,0 107,0,2024-09-07 08:39:41:157,39902,0.4,40005,0.6,79477,0.3,106846,1.75 107,1,2024-09-07 08:39:40:595,295075,295075,0,0,137815430233,1448707013,292074,2770,231,381,392234,0 107,2,2024-09-07 08:39:41:336,211511,211510,1,0,9806543,0,5024 107,3,2024-09-07 08:39:41:765,1,168,13,0,353,2408,168,0 108,0,2024-09-07 08:39:41:769,45464,0.4,45531,0.6,90588,0.4,120869,1.75 108,1,2024-09-07 08:39:41:300,295371,295371,0,0,138332418654,1444370239,292711,2347,313,368,391857,0 108,2,2024-09-07 08:39:41:755,210538,210538,0,0,9138903,0,2647 108,3,2024-09-07 08:39:41:335,1,168,17,0,667,3332,168,0 109,0,2024-09-07 08:39:41:759,44017,0.5,43658,0.6,87329,0.4,117430,1.75 109,1,2024-09-07 08:39:40:589,294842,294842,0,0,138126466158,1450384909,292061,2285,496,383,392132,0 109,2,2024-09-07 08:39:40:929,215436,215436,0,0,9524880,0,3617 109,3,2024-09-07 08:39:41:149,1,168,2,0,249,2340,168,0 110,0,2024-09-07 08:39:41:847,38819,0.4,37690,0.6,78743,0.3,103081,1.75 110,1,2024-09-07 08:39:41:643,296107,296107,0,0,138392683358,1440305513,293493,1849,765,370,391667,0 110,2,2024-09-07 08:39:41:317,211083,211083,0,0,8365938,0,2915 110,3,2024-09-07 08:39:40:692,1,168,53,0,406,2378,168,0 111,0,2024-09-07 08:39:41:488,38675,0.2,38249,0.4,76398,0.1,102714,1.50 111,1,2024-09-07 08:39:41:007,296530,296530,0,0,138861016109,1441438280,294750,1447,333,382,391690,0 111,2,2024-09-07 08:39:41:120,210269,210269,0,0,9183273,0,2763 111,3,2024-09-07 08:39:40:914,1,168,8,0,379,2600,168,0 112,0,2024-09-07 08:39:40:942,44558,0.3,44577,0.4,89022,0.2,119122,1.50 112,1,2024-09-07 08:39:40:831,296842,296842,0,0,137785893350,1432787056,294514,1902,426,381,391580,0 112,2,2024-09-07 08:39:41:133,212805,212804,1,0,9108777,0,5036 112,3,2024-09-07 08:39:40:600,1,168,1,0,282,1899,168,0 113,0,2024-09-07 08:39:40:915,44403,0.4,44306,0.6,89316,0.4,119059,1.75 113,1,2024-09-07 08:39:41:689,296584,296584,0,0,138945853862,1438693923,294167,1929,488,366,391661,0 113,2,2024-09-07 08:39:41:315,217322,217322,0,0,8023215,0,3813 113,3,2024-09-07 08:39:40:685,1,168,1,0,340,2743,168,0 114,0,2024-09-07 08:39:40:887,40364,0.6,41019,0.7,80381,0.4,107489,2.00 114,1,2024-09-07 08:39:40:716,295623,295623,0,0,138190378165,1446457856,291492,2668,1463,381,391534,0 114,2,2024-09-07 08:39:40:885,210754,210754,0,0,8238001,0,3925 114,3,2024-09-07 08:39:41:289,1,168,1,0,395,1993,168,0 115,0,2024-09-07 08:39:40:557,39022,0.2,39373,0.4,78784,0.2,103914,1.50 115,1,2024-09-07 08:39:40:572,295976,295976,0,0,138012686109,1439485949,292332,2823,821,382,391602,0 115,2,2024-09-07 08:39:41:125,212175,212175,0,0,7750575,0,2152 115,3,2024-09-07 08:39:41:010,1,168,7,0,159,1085,168,0 116,0,2024-09-07 08:39:41:698,42719,0.5,42261,0.7,84778,0.5,113323,2.00 116,1,2024-09-07 08:39:40:821,293439,293439,0,0,137487405869,1460964234,288524,3158,1757,382,391677,0 116,2,2024-09-07 08:39:41:756,212260,212260,0,0,10951829,0,3529 116,3,2024-09-07 08:39:40:927,1,168,2,0,252,2443,168,0 117,0,2024-09-07 08:39:41:012,46212,1.3,46374,1.0,92706,1.8,123988,2.00 117,1,2024-09-07 08:39:41:583,295272,295272,0,0,137250584098,1439971963,291593,3250,429,370,392033,0 117,2,2024-09-07 08:39:41:128,215195,215195,0,0,8835063,0,3700 117,3,2024-09-07 08:39:41:066,1,168,2,0,490,3382,168,0 118,0,2024-09-07 08:39:41:830,40805,0.9,42014,0.9,85340,1.1,111278,2.25 118,1,2024-09-07 08:39:40:593,295047,295047,0,0,137089521671,1446734408,290194,3530,1323,366,391736,0 118,2,2024-09-07 08:39:41:613,211702,211702,0,0,9864100,0,2781 118,3,2024-09-07 08:39:41:770,1,168,1,0,235,2182,168,0 119,0,2024-09-07 08:39:41:382,39729,0.6,39976,0.8,80708,0.5,106969,2.00 119,1,2024-09-07 08:39:40:548,295850,295850,0,0,138665869034,1447141707,293360,2200,290,369,391641,0 119,2,2024-09-07 08:39:41:263,211153,211153,0,0,9153147,0,3526 119,3,2024-09-07 08:39:41:333,1,168,3,0,443,2946,168,0 120,0,2024-09-07 08:39:41:553,40429,0.4,40412,0.7,80810,0.4,107880,2.00 120,1,2024-09-07 08:39:40:875,295861,295861,0,0,137536846605,1444410035,292561,3038,262,368,391961,0 120,2,2024-09-07 08:39:40:787,211503,211502,1,0,11497727,0,5281 120,3,2024-09-07 08:39:41:294,1,168,10,0,241,2383,168,0 121,0,2024-09-07 08:39:41:726,46771,1.4,47220,1.1,94021,2.0,126226,2.25 121,1,2024-09-07 08:39:41:664,295513,295513,0,0,138179007867,1446486784,292739,2485,289,367,391840,0 121,2,2024-09-07 08:39:41:133,211022,211022,0,0,10417026,0,4127 121,3,2024-09-07 08:39:40:729,1,168,6,0,269,2283,168,0 122,0,2024-09-07 08:39:41:777,43390,1.2,42242,1.1,88523,1.3,116786,2.25 122,1,2024-09-07 08:39:40:859,294441,294441,0,0,137961249560,1450305268,290301,3433,707,366,392130,0 122,2,2024-09-07 08:39:41:333,215044,215044,0,0,11434754,0,3364 122,3,2024-09-07 08:39:40:594,1,168,2,0,411,3834,168,0 123,0,2024-09-07 08:39:40:970,38948,0.8,37946,0.8,79430,0.9,103615,2.00 123,1,2024-09-07 08:39:40:559,295493,295493,0,0,138058134655,1453546292,290417,4382,694,369,391823,0 123,2,2024-09-07 08:39:41:026,209504,209503,1,0,10027080,0,5215 123,3,2024-09-07 08:39:41:141,1,168,0,0,168,2022,168,0 124,0,2024-09-07 08:39:40:962,39756,0.3,39695,0.5,75116,0.2,102768,1.50 124,1,2024-09-07 08:39:41:027,295962,295962,0,0,138053259254,1435186808,293870,1736,356,367,392178,0 124,2,2024-09-07 08:39:41:014,211212,211212,0,0,8421782,0,3101 124,3,2024-09-07 08:39:40:792,1,168,2,0,490,2293,168,0 125,0,2024-09-07 08:39:41:422,45103,0.4,45051,0.5,90329,0.4,120264,1.75 125,1,2024-09-07 08:39:40:862,295930,295930,0,0,138063188019,1442476533,293559,2095,276,384,391702,0 125,2,2024-09-07 08:39:41:119,212984,212984,0,0,8432755,0,2180 125,3,2024-09-07 08:39:41:126,1,168,1,0,284,2147,168,0 126,0,2024-09-07 08:39:41:433,44309,0.7,45504,0.8,86958,0.8,118065,2.00 126,1,2024-09-07 08:39:40:570,296318,296318,0,0,138854726061,1439641048,294680,1560,78,365,391987,0 126,2,2024-09-07 08:39:40:625,217317,217317,0,0,8815715,0,3186 126,3,2024-09-07 08:39:40:920,1,168,5,0,150,2365,168,0 127,0,2024-09-07 08:39:41:602,38571,0.4,38739,0.6,77270,0.4,102552,1.75 127,1,2024-09-07 08:39:40:601,295619,295619,0,0,138237580876,1433096096,293543,2035,41,365,391816,0 127,2,2024-09-07 08:39:40:653,210597,210597,0,0,8191713,0,2264 127,3,2024-09-07 08:39:41:268,1,168,8,0,243,1473,168,0 128,0,2024-09-07 08:39:41:530,38592,0.3,38384,0.4,76886,0.2,101970,1.50 128,1,2024-09-07 08:39:41:608,296298,296298,0,0,138371126702,1433066420,295319,907,72,367,391680,0 128,2,2024-09-07 08:39:41:390,210672,210672,0,0,8180207,0,2107 128,3,2024-09-07 08:39:40:768,1,168,2,0,333,2383,168,0 129,0,2024-09-07 08:39:40:996,44036,0.3,43745,0.4,87423,0.2,117062,1.50 129,1,2024-09-07 08:39:40:578,294501,294501,0,0,137176109161,1437488514,291571,2537,393,379,391835,0 129,2,2024-09-07 08:39:40:712,213056,213056,0,0,8586390,0,4031 129,3,2024-09-07 08:39:40:699,1,168,8,0,173,1987,168,0 130,0,2024-09-07 08:39:41:724,46104,0.7,45873,0.7,92132,0.9,122744,2.00 130,1,2024-09-07 08:39:40:589,295974,295974,0,0,138178896878,1440137114,293859,2043,72,381,391825,0 130,2,2024-09-07 08:39:41:138,216036,216036,0,0,8441444,0,4067 130,3,2024-09-07 08:39:41:298,1,168,10,0,450,1964,168,0 131,0,2024-09-07 08:39:41:930,40676,0.5,40862,0.7,82580,0.6,108850,1.75 131,1,2024-09-07 08:39:41:825,296930,296930,0,0,138336674499,1439223058,295460,1264,206,383,391865,0 131,2,2024-09-07 08:39:40:574,211600,211600,0,0,7954713,0,2415 131,3,2024-09-07 08:39:41:688,1,168,0,0,392,1870,168,0 132,0,2024-09-07 08:39:41:433,39990,0.4,40520,0.7,81004,0.4,107584,1.75 132,1,2024-09-07 08:39:40:585,294691,294691,0,0,137401020281,1453743817,289270,4389,1032,381,392097,0 132,2,2024-09-07 08:39:40:698,211209,211209,0,0,10955716,0,4606 132,3,2024-09-07 08:39:41:692,1,168,3,0,356,3046,168,0 133,0,2024-09-07 08:39:41:524,40618,0.4,41610,0.6,85263,0.3,110929,1.75 133,1,2024-09-07 08:39:40:589,294099,294099,0,0,138050222501,1457571468,289589,3869,641,383,391914,0 133,2,2024-09-07 08:39:41:096,212022,212022,0,0,11056648,0,4315 133,3,2024-09-07 08:39:41:310,1,168,1,0,187,1458,168,0 134,0,2024-09-07 08:39:40:979,47284,0.5,47265,0.7,95070,0.5,126101,2.00 134,1,2024-09-07 08:39:40:590,294893,294893,0,0,137498207023,1443837002,290505,3163,1225,366,391718,0 134,2,2024-09-07 08:39:41:757,212368,212368,0,0,9362756,0,3096 134,3,2024-09-07 08:39:40:758,1,168,9,0,739,3024,168,0 135,0,2024-09-07 08:39:41:169,41374,1.5,41355,1.3,87707,1.7,113155,2.50 135,1,2024-09-07 08:39:41:593,294627,294627,0,0,138291588999,1455740959,290434,3489,704,380,391805,0 135,2,2024-09-07 08:39:40:690,214159,214159,0,0,10108210,0,3981 135,3,2024-09-07 08:39:41:010,1,168,1,0,89,987,168,0 136,0,2024-09-07 08:39:41:626,39476,0.5,39461,0.7,79212,0.5,105165,2.00 136,1,2024-09-07 08:39:41:441,294872,294872,0,0,137948049843,1446787916,291520,3179,173,383,391641,0 136,2,2024-09-07 08:39:41:136,212192,212192,0,0,9748650,0,3506 136,3,2024-09-07 08:39:41:112,1,168,1,0,108,1351,168,0 137,0,2024-09-07 08:39:40:950,40978,0.4,39823,0.6,78496,0.4,106844,1.75 137,1,2024-09-07 08:39:40:597,295254,295254,0,0,137668454536,1442209086,291114,3719,421,367,391708,0 137,2,2024-09-07 08:39:41:712,211057,211057,0,0,11142027,0,3185 137,3,2024-09-07 08:39:40:771,1,168,14,1,227,1939,168,0 138,0,2024-09-07 08:39:41:793,44925,1.2,44990,1.1,90559,1.7,120778,2.25 138,1,2024-09-07 08:39:41:698,295425,295425,0,0,138600748006,1449176151,292342,2731,352,368,391954,0 138,2,2024-09-07 08:39:40:589,212603,212603,0,0,9165345,0,3263 138,3,2024-09-07 08:39:40:616,1,168,3,0,1160,3212,168,0 139,0,2024-09-07 08:39:41:396,42700,2.9,42957,1.7,86511,4.2,116052,3.25 139,1,2024-09-07 08:39:40:578,293932,293932,0,0,136679630977,1451452320,288652,3956,1324,381,391892,0 139,2,2024-09-07 08:39:40:698,214635,214635,0,0,10401714,0,3097 139,3,2024-09-07 08:39:41:663,1,168,1,0,244,1882,168,0 140,0,2024-09-07 08:39:41:591,39012,0.4,38638,0.5,77827,0.3,103147,1.75 140,1,2024-09-07 08:39:41:543,296723,296723,0,0,138897391049,1432947481,295203,1280,240,365,391606,0 140,2,2024-09-07 08:39:40:688,211084,211084,0,0,8744489,0,3388 140,3,2024-09-07 08:39:40:768,1,168,2,0,247,1467,168,0 141,0,2024-09-07 08:39:41:698,38280,0.2,39437,0.4,75481,0.1,102671,1.50 141,1,2024-09-07 08:39:40:859,296336,296336,0,0,138559119622,1438614988,294383,1600,353,379,391614,0 141,2,2024-09-07 08:39:41:688,210922,210922,0,0,8205478,0,2342 141,3,2024-09-07 08:39:41:060,1,168,1,0,147,1331,168,0 142,0,2024-09-07 08:39:41:312,45033,0.3,44818,0.5,89023,0.2,119413,1.50 142,1,2024-09-07 08:39:40:592,295855,295855,0,0,137930965236,1437870262,294308,1388,159,383,391728,0 142,2,2024-09-07 08:39:41:300,211667,211635,32,0,9686620,0,6028 142,3,2024-09-07 08:39:41:754,1,168,1,0,484,2350,168,0 143,0,2024-09-07 08:39:41:383,44632,0.7,44591,0.7,89813,0.8,118901,2.00 143,1,2024-09-07 08:39:40:568,296202,296202,0,0,138251529509,1435174638,294092,2067,43,367,391619,0 143,2,2024-09-07 08:39:40:770,216149,216149,0,0,8880435,0,2669 143,3,2024-09-07 08:39:41:140,1,168,2,0,303,2664,168,0 144,0,2024-09-07 08:39:41:509,38538,0.8,39733,1.3,80605,0.8,105973,2.25 144,1,2024-09-07 08:39:40:566,294726,294726,0,0,137431261439,1441285671,292326,2197,203,381,391649,0 144,2,2024-09-07 08:39:41:762,210790,210790,0,0,8555622,0,3473 144,3,2024-09-07 08:39:41:740,1,168,1,0,249,2116,168,0 145,0,2024-09-07 08:39:41:403,37862,0.5,37841,0.7,80311,0.4,103430,2.00 145,1,2024-09-07 08:39:40:558,293824,293824,0,0,137613862635,1451110184,289428,3659,737,383,391615,0 145,2,2024-09-07 08:39:41:440,210851,210851,0,0,9583160,0,3903 145,3,2024-09-07 08:39:40:896,1,168,2,0,151,1882,168,0 146,0,2024-09-07 08:39:41:599,42473,0.4,42133,0.6,85058,0.4,113025,2.00 146,1,2024-09-07 08:39:41:591,295685,295685,0,0,137339421916,1441536384,291164,3661,860,368,391629,0 146,2,2024-09-07 08:39:41:697,213110,213110,0,0,9498455,0,2498 146,3,2024-09-07 08:39:41:287,1,168,1,0,1520,5216,168,0 147,0,2024-09-07 08:39:41:698,46363,0.8,46324,0.9,92116,0.8,123684,2.25 147,1,2024-09-07 08:39:41:379,296657,296657,0,0,138247155271,1440495806,293552,2608,497,368,391791,0 147,2,2024-09-07 08:39:41:029,215320,215320,0,0,8692917,0,2789 147,3,2024-09-07 08:39:40:919,1,168,2,0,371,2043,168,0 0,0,2024-09-07 08:39:51:739,39585,0.4,39624,0.6,83986,0.3,108912,1.75 0,1,2024-09-07 08:39:50:802,297223,297223,0,0,138948607715,1453437552,295256,1830,137,372,391772,0 0,2,2024-09-07 08:39:51:084,213469,213469,0,0,8902906,0,4480 0,3,2024-09-07 08:39:50:974,1,169,90,0,247,2194,169,0 1,0,2024-09-07 08:39:51:752,47601,1.2,47281,1.1,94791,1.7,126793,2.25 1,1,2024-09-07 08:39:50:575,296944,296944,0,0,138180360845,1450351468,293503,2574,867,371,391857,0 1,2,2024-09-07 08:39:50:664,212603,212603,0,0,8090760,0,3267 1,3,2024-09-07 08:39:51:304,1,169,1,0,262,2032,169,0 2,0,2024-09-07 08:39:51:571,43657,1.1,43971,1.0,87172,1.5,116669,2.25 2,1,2024-09-07 08:39:50:862,297091,297091,0,0,139515235245,1450018925,295581,1304,206,380,391745,0 2,2,2024-09-07 08:39:51:266,215659,215659,0,0,8866969,0,3594 2,3,2024-09-07 08:39:50:696,1,169,1,0,357,1698,169,0 3,0,2024-09-07 08:39:51:757,38784,0.5,39089,0.7,77973,0.4,103599,2.00 3,1,2024-09-07 08:39:51:627,296740,296740,0,0,138105587199,1441856930,293631,2685,424,380,391516,0 3,2,2024-09-07 08:39:51:147,212689,212666,23,0,8849326,0,5851 3,3,2024-09-07 08:39:51:752,1,169,2,0,103,1122,169,0 4,0,2024-09-07 08:39:51:780,37722,0.3,38789,0.4,78901,0.2,103786,1.50 4,1,2024-09-07 08:39:50:594,297498,297498,0,0,138543014411,1455181147,294511,2464,523,371,391846,0 4,2,2024-09-07 08:39:51:255,211266,211266,0,0,10558267,0,4528 4,3,2024-09-07 08:39:51:048,1,169,8,0,287,2268,169,0 5,0,2024-09-07 08:39:51:477,45383,0.5,45315,0.6,90562,0.4,120684,1.75 5,1,2024-09-07 08:39:50:755,296541,296541,0,0,138779077265,1462049201,292332,3221,988,368,392005,0 5,2,2024-09-07 08:39:51:832,212453,212453,0,0,9117253,0,2259 5,3,2024-09-07 08:39:51:738,1,169,0,0,238,2453,169,0 6,0,2024-09-07 08:39:50:919,44819,0.9,44430,1.0,88247,1.3,118696,2.25 6,1,2024-09-07 08:39:50:754,297371,297371,0,0,138580837093,1451157571,293971,2752,648,381,391617,0 6,2,2024-09-07 08:39:51:134,217797,217797,0,0,9332570,0,4816 6,3,2024-09-07 08:39:51:279,1,169,1,0,340,2344,169,0 7,0,2024-09-07 08:39:51:533,38297,0.5,38206,0.7,76584,0.4,102006,2.00 7,1,2024-09-07 08:39:50:851,297350,297350,0,0,139313558938,1457851066,294530,2688,132,382,391664,0 7,2,2024-09-07 08:39:50:771,211512,211512,0,0,8493157,0,2981 7,3,2024-09-07 08:39:50:851,1,169,2,0,305,1718,169,0 8,0,2024-09-07 08:39:51:356,38508,0.3,38625,0.4,76933,0.2,102667,1.50 8,1,2024-09-07 08:39:51:043,296525,296525,0,0,138467065088,1462619282,290911,4013,1601,367,391956,0 8,2,2024-09-07 08:39:50:790,209303,209303,0,0,10404922,0,2986 8,3,2024-09-07 08:39:50:587,1,169,0,0,357,2667,169,0 9,0,2024-09-07 08:39:51:122,44303,0.3,42942,0.5,89777,0.3,118315,1.50 9,1,2024-09-07 08:39:50:555,296480,296480,0,0,139504376202,1471783152,291916,3432,1132,370,391753,0 9,2,2024-09-07 08:39:51:090,214045,214045,0,0,9692251,0,3360 9,3,2024-09-07 08:39:51:753,1,169,15,0,496,2756,169,0 10,0,2024-09-07 08:39:51:602,46212,0.4,45832,0.6,92177,0.3,122317,1.75 10,1,2024-09-07 08:39:50:585,296868,296868,0,0,138529734289,1454229838,292397,3830,641,381,391741,0 10,2,2024-09-07 08:39:50:770,216841,216841,0,0,9855928,0,2940 10,3,2024-09-07 08:39:50:872,1,169,1,0,177,1244,169,0 11,0,2024-09-07 08:39:51:012,40147,0.7,39120,0.9,81979,0.8,108920,2.25 11,1,2024-09-07 08:39:50:579,297282,297282,0,0,138331076994,1455473815,291965,4047,1270,384,391537,0 11,2,2024-09-07 08:39:51:126,212002,212002,0,0,9496205,0,3411 11,3,2024-09-07 08:39:51:304,1,169,1,0,720,2876,169,0 12,0,2024-09-07 08:39:50:968,40801,0.3,40464,0.5,81258,0.2,107546,1.50 12,1,2024-09-07 08:39:50:948,296962,296962,0,0,138259908299,1445107894,294064,2484,414,370,391837,0 12,2,2024-09-07 08:39:51:556,213776,213776,0,0,9800116,0,3469 12,3,2024-09-07 08:39:51:064,1,169,4,0,358,2722,169,0 13,0,2024-09-07 08:39:51:463,42237,0.3,42139,0.5,84254,0.3,112534,1.75 13,1,2024-09-07 08:39:51:548,296905,296905,0,0,138532306710,1453951212,294472,2023,410,382,391717,0 13,2,2024-09-07 08:39:50:618,214859,214859,0,0,8981858,0,3287 13,3,2024-09-07 08:39:51:762,1,169,1,0,467,3325,169,0 14,0,2024-09-07 08:39:50:562,47765,0.4,47987,0.6,95134,0.4,126332,1.75 14,1,2024-09-07 08:39:51:562,298840,298840,0,0,139657033238,1448501021,296573,2104,163,364,391571,0 14,2,2024-09-07 08:39:50:771,214294,214294,0,0,9137112,0,2896 14,3,2024-09-07 08:39:51:121,1,169,1,0,1168,2957,169,0 15,0,2024-09-07 08:39:51:578,42742,0.9,42736,1.0,85751,1.0,114175,2.50 15,1,2024-09-07 08:39:51:612,296740,296740,0,0,138658669392,1443195767,294822,1808,110,381,391619,0 15,2,2024-09-07 08:39:51:006,215173,215173,0,0,7614276,0,3043 15,3,2024-09-07 08:39:51:419,1,169,48,0,1126,4394,169,0 16,0,2024-09-07 08:39:50:957,39661,0.5,39899,0.7,79199,0.4,105991,2.00 16,1,2024-09-07 08:39:50:575,297609,297609,0,0,138463100853,1447630439,295374,1973,262,370,391756,0 16,2,2024-09-07 08:39:51:446,212248,212248,0,0,9981462,0,4719 16,3,2024-09-07 08:39:51:147,1,169,11,0,231,2293,169,0 17,0,2024-09-07 08:39:51:769,41469,0.4,40481,0.6,79374,0.3,107634,1.75 17,1,2024-09-07 08:39:50:572,296609,296609,0,0,138329427744,1453883378,293245,2691,673,368,391688,0 17,2,2024-09-07 08:39:51:672,214975,214975,0,0,8860558,0,2857 17,3,2024-09-07 08:39:50:574,1,169,1,0,268,2506,169,0 18,0,2024-09-07 08:39:50:945,45148,0.7,45370,0.8,90756,0.7,121285,2.25 18,1,2024-09-07 08:39:51:649,297406,297406,0,0,139237504705,1445046422,295396,1776,234,367,391564,0 18,2,2024-09-07 08:39:51:755,213071,213071,0,0,8797750,0,3541 18,3,2024-09-07 08:39:50:898,1,169,1,0,163,1861,169,0 19,0,2024-09-07 08:39:51:541,43629,1.1,44103,1.0,86990,1.3,116161,2.50 19,1,2024-09-07 08:39:50:571,297200,297200,0,0,139248343907,1451495575,293385,3099,716,367,391777,0 19,2,2024-09-07 08:39:51:755,218180,218180,0,0,8094926,0,3988 19,3,2024-09-07 08:39:51:139,1,169,5,0,524,1511,169,0 20,0,2024-09-07 08:39:51:422,38738,0.6,38653,0.8,77608,0.6,103189,2.25 20,1,2024-09-07 08:39:50:571,296400,296400,0,0,138530932994,1453410273,292930,3079,391,369,391822,0 20,2,2024-09-07 08:39:50:936,212006,212006,0,0,9163780,0,3721 20,3,2024-09-07 08:39:50:589,1,169,6,0,414,2959,169,0 21,0,2024-09-07 08:39:51:157,38976,0.3,39000,0.5,77577,0.3,102963,1.75 21,1,2024-09-07 08:39:51:537,296610,296610,0,0,137897608278,1452116499,292933,3143,534,368,391962,0 21,2,2024-09-07 08:39:51:089,211690,211690,0,0,10056735,0,3747 21,3,2024-09-07 08:39:51:428,1,169,2,0,103,2023,169,0 22,0,2024-09-07 08:39:51:723,44849,0.5,44911,0.7,89296,0.5,119459,2.00 22,1,2024-09-07 08:39:51:026,296270,296270,0,0,138239519589,1459026299,290726,4035,1509,382,391667,0 22,2,2024-09-07 08:39:50:765,213493,213493,0,0,8584890,0,3134 22,3,2024-09-07 08:39:51:069,1,169,1,0,228,1831,169,0 23,0,2024-09-07 08:39:51:384,44447,0.9,44431,0.9,89227,1.1,118934,2.50 23,1,2024-09-07 08:39:51:006,297357,297357,0,0,139528495248,1459378675,293259,2616,1482,365,391549,0 23,2,2024-09-07 08:39:51:099,217187,217187,0,0,8890969,0,3010 23,3,2024-09-07 08:39:51:758,1,169,2,0,645,1817,169,0 24,0,2024-09-07 08:39:50:830,40598,0.5,40578,0.6,81118,0.5,107445,1.75 24,1,2024-09-07 08:39:50:587,297408,297408,0,0,137868421640,1443413718,294443,2166,799,369,391640,0 24,2,2024-09-07 08:39:51:083,210850,210850,0,0,10195397,0,2942 24,3,2024-09-07 08:39:51:697,1,169,1,0,234,1889,169,0 25,0,2024-09-07 08:39:51:425,40245,0.3,39389,0.5,77034,0.3,104506,1.75 25,1,2024-09-07 08:39:50:680,296817,296817,0,0,138439864305,1453605619,293122,3152,543,371,391788,0 25,2,2024-09-07 08:39:51:631,211341,211341,0,0,10536535,0,3978 25,3,2024-09-07 08:39:51:007,1,169,1,0,255,2096,169,0 26,0,2024-09-07 08:39:51:729,42641,0.3,41756,0.5,87519,0.3,114797,1.75 26,1,2024-09-07 08:39:51:558,297428,297428,0,0,139099650162,1460454970,292762,3765,901,381,391748,0 26,2,2024-09-07 08:39:50:863,215155,215155,0,0,9777059,0,2809 26,3,2024-09-07 08:39:51:721,1,169,1,0,796,2255,169,0 27,0,2024-09-07 08:39:51:733,46805,0.5,46785,0.7,92838,0.5,123696,2.00 27,1,2024-09-07 08:39:51:684,298591,298591,0,0,139424526537,1448187081,296771,1497,323,381,391558,0 27,2,2024-09-07 08:39:50:868,214484,214484,0,0,10186129,0,3409 27,3,2024-09-07 08:39:51:032,1,169,1,0,564,1976,169,0 28,0,2024-09-07 08:39:51:416,41778,0.8,41902,0.8,84368,1.0,112228,2.50 28,1,2024-09-07 08:39:50:800,297971,297971,0,0,139896859377,1458145202,295801,1833,337,383,391646,0 28,2,2024-09-07 08:39:51:764,213319,213319,0,0,8066769,0,2915 28,3,2024-09-07 08:39:51:776,1,169,1,0,502,2073,169,0 29,0,2024-09-07 08:39:51:393,41339,0.3,40460,0.5,78896,0.3,108132,1.75 29,1,2024-09-07 08:39:51:571,298717,298717,0,0,138950490193,1440378063,296285,1889,543,369,391621,0 29,2,2024-09-07 08:39:50:874,212580,212580,0,0,7909035,0,4018 29,3,2024-09-07 08:39:50:971,1,169,115,0,115,1359,169,0 30,0,2024-09-07 08:39:51:466,40751,0.4,39707,0.7,82909,0.4,109227,2.00 30,1,2024-09-07 08:39:50:587,298120,298120,0,0,139285181251,1446866369,296124,1718,278,382,391672,0 30,2,2024-09-07 08:39:51:273,214421,214421,0,0,7939840,0,3161 30,3,2024-09-07 08:39:50:583,1,169,0,0,195,1225,169,0 31,0,2024-09-07 08:39:51:768,46929,0.5,47433,0.7,95259,0.5,126471,2.00 31,1,2024-09-07 08:39:50:565,298884,298884,0,0,139373833791,1428358183,298081,691,112,356,391712,0 31,2,2024-09-07 08:39:51:275,213123,213123,0,0,9623712,0,3525 31,3,2024-09-07 08:39:51:708,1,169,95,0,220,1483,169,0 32,0,2024-09-07 08:39:51:418,43646,0.5,44127,0.7,88346,0.4,117383,1.75 32,1,2024-09-07 08:39:50:848,297989,297989,0,0,139601466061,1449607805,296245,1469,275,382,391595,0 32,2,2024-09-07 08:39:50:936,216244,216244,0,0,7873554,0,3155 32,3,2024-09-07 08:39:51:022,1,169,0,0,227,1479,169,0 33,0,2024-09-07 08:39:51:498,39533,0.3,38907,0.5,78503,0.2,104514,1.75 33,1,2024-09-07 08:39:50:591,298703,298703,0,0,139606782076,1445567520,296444,2087,172,369,391730,0 33,2,2024-09-07 08:39:50:761,212668,212635,33,0,9779273,0,7012 33,3,2024-09-07 08:39:50:896,1,169,26,0,329,1977,169,0 34,0,2024-09-07 08:39:50:944,38999,0.3,40196,0.4,77044,0.2,103948,1.50 34,1,2024-09-07 08:39:51:048,298643,298643,0,0,139508834574,1436729265,297645,992,6,367,391562,0 34,2,2024-09-07 08:39:50:769,211606,211606,0,0,8725651,0,3577 34,3,2024-09-07 08:39:51:695,1,169,1,0,148,1070,169,0 35,0,2024-09-07 08:39:50:858,44930,0.4,45286,0.5,90789,0.3,121062,1.75 35,1,2024-09-07 08:39:51:069,297358,297358,0,0,138332876736,1437823896,294558,2030,770,384,391589,0 35,2,2024-09-07 08:39:51:589,213769,213769,0,0,8004799,0,2653 35,3,2024-09-07 08:39:50:911,1,169,2,0,418,1983,169,0 36,0,2024-09-07 08:39:51:520,44447,1.0,44265,1.0,89056,1.2,118366,2.50 36,1,2024-09-07 08:39:50:586,297644,297644,0,0,138884835157,1455245606,293021,3334,1289,366,391759,0 36,2,2024-09-07 08:39:51:751,216976,216976,0,0,9471462,0,3303 36,3,2024-09-07 08:39:50:864,1,169,89,0,378,2315,169,0 37,0,2024-09-07 08:39:51:378,38323,0.5,38335,0.7,76560,0.4,102924,2.00 37,1,2024-09-07 08:39:50:568,296631,296624,0,7,138138914212,1452557799,291851,2754,2019,365,391560,0 37,2,2024-09-07 08:39:51:145,211730,211715,15,0,9518790,0,5815 37,3,2024-09-07 08:39:51:768,1,169,1,0,724,2492,169,0 38,0,2024-09-07 08:39:51:443,38379,0.4,37278,0.6,77768,0.3,102352,2.00 38,1,2024-09-07 08:39:51:606,297636,297636,0,0,138772694924,1450946970,293597,3407,632,368,391821,0 38,2,2024-09-07 08:39:50:771,212057,212010,47,0,11095748,0,6710 38,3,2024-09-07 08:39:51:003,1,169,1,0,603,2201,169,0 39,0,2024-09-07 08:39:51:767,45385,0.5,44459,0.7,86507,0.5,118785,2.00 39,1,2024-09-07 08:39:50:715,297584,297584,0,0,138654689781,1446672805,293796,2987,801,365,391524,0 39,2,2024-09-07 08:39:51:420,214305,214305,0,0,8254137,0,2689 39,3,2024-09-07 08:39:50:724,1,169,8,0,276,2106,169,0 40,0,2024-09-07 08:39:51:491,45346,0.9,46032,1.1,91736,1.0,121842,3.00 40,1,2024-09-07 08:39:50:582,297639,297639,0,0,137903689322,1444948338,293224,3646,769,368,391591,0 40,2,2024-09-07 08:39:51:329,215822,215821,1,0,10837569,0,5137 40,3,2024-09-07 08:39:51:143,1,169,1,0,181,1795,169,0 41,0,2024-09-07 08:39:51:036,40213,1.9,40942,1.6,78560,3.2,107499,4.25 41,1,2024-09-07 08:39:50:770,296887,296887,0,0,139145844364,1456644357,292692,3661,534,370,391742,0 41,2,2024-09-07 08:39:50:761,210650,210650,0,0,10347071,0,3356 41,3,2024-09-07 08:39:51:680,1,169,1,0,366,1987,169,0 42,0,2024-09-07 08:39:51:473,39818,0.5,40233,0.8,80204,0.4,105701,2.25 42,1,2024-09-07 08:39:51:439,296115,296115,0,0,138537440329,1457312427,291399,3758,958,380,391675,0 42,2,2024-09-07 08:39:51:137,211924,211924,0,0,10074191,0,3568 42,3,2024-09-07 08:39:51:009,1,169,1,0,446,1445,169,0 43,0,2024-09-07 08:39:50:922,41957,0.6,40968,0.8,85535,0.5,112645,2.00 43,1,2024-09-07 08:39:50:582,297433,297433,0,0,138837351967,1448037193,294095,2545,793,366,391604,0 43,2,2024-09-07 08:39:51:742,214548,214548,0,0,9430785,0,3812 43,3,2024-09-07 08:39:51:754,1,169,3,0,325,2154,169,0 44,0,2024-09-07 08:39:50:862,47530,0.5,47877,0.7,95213,0.5,126977,1.75 44,1,2024-09-07 08:39:50:563,298162,298162,0,0,139380987704,1440669795,296103,1634,425,356,391809,0 44,2,2024-09-07 08:39:51:267,213697,213697,0,0,7790786,0,1877 44,3,2024-09-07 08:39:51:097,1,169,1,0,817,2117,169,0 45,0,2024-09-07 08:39:51:759,42211,1.2,41455,1.1,86920,1.3,114806,2.25 45,1,2024-09-07 08:39:51:024,297974,297974,0,0,139840865803,1449373597,296933,1031,10,382,391917,0 45,2,2024-09-07 08:39:51:282,215073,215073,0,0,8483616,0,3596 45,3,2024-09-07 08:39:50:946,1,169,1,0,226,1760,169,0 46,0,2024-09-07 08:39:50:959,39550,0.3,39372,0.6,79187,0.2,105142,1.75 46,1,2024-09-07 08:39:50:575,298598,298598,0,0,139020925838,1437803986,296789,1586,223,366,391524,0 46,2,2024-09-07 08:39:50:598,213534,213534,0,0,8440493,0,2920 46,3,2024-09-07 08:39:51:139,1,169,1,0,908,3040,169,0 47,0,2024-09-07 08:39:51:109,40277,0.3,40582,0.5,81310,0.2,107377,1.75 47,1,2024-09-07 08:39:50:571,298868,298868,0,0,139296779732,1437818306,297463,1388,17,366,391605,0 47,2,2024-09-07 08:39:50:908,214297,214297,0,0,8506415,0,2558 47,3,2024-09-07 08:39:51:127,1,169,1,0,529,1908,169,0 48,0,2024-09-07 08:39:51:491,46083,0.3,45695,0.4,91444,0.2,122515,1.50 48,1,2024-09-07 08:39:51:023,298579,298579,0,0,139446211848,1448949268,296517,1881,181,384,391710,0 48,2,2024-09-07 08:39:50:701,213325,213325,0,0,7261270,0,2083 48,3,2024-09-07 08:39:50:754,1,169,1,0,339,1450,169,0 49,0,2024-09-07 08:39:51:711,45327,0.5,44434,0.7,86300,0.5,118131,1.75 49,1,2024-09-07 08:39:51:023,297088,297088,0,0,139146956492,1450633591,294349,1899,840,382,391583,0 49,2,2024-09-07 08:39:51:797,218030,218030,0,0,8347839,0,3900 49,3,2024-09-07 08:39:51:419,1,169,1,0,408,2392,169,0 50,0,2024-09-07 08:39:51:514,38867,0.3,38517,0.5,77210,0.2,103145,1.75 50,1,2024-09-07 08:39:51:010,299347,299347,0,0,139629262913,1447505302,296820,2218,309,368,391530,0 50,2,2024-09-07 08:39:51:083,212346,212346,0,0,7929020,0,2253 50,3,2024-09-07 08:39:51:291,1,169,1,0,335,1354,169,0 51,0,2024-09-07 08:39:51:724,39584,0.3,39052,0.4,75908,0.2,103369,1.50 51,1,2024-09-07 08:39:51:711,297929,297929,0,0,140500580721,1452269062,295959,1184,786,365,391637,0 51,2,2024-09-07 08:39:51:321,212202,212202,0,0,7421524,0,3337 51,3,2024-09-07 08:39:51:037,1,169,6,0,162,936,169,0 52,0,2024-09-07 08:39:51:427,45239,0.5,45035,0.7,90198,0.4,119953,2.00 52,1,2024-09-07 08:39:50:586,296957,296957,0,0,138258437551,1456725006,291041,4757,1159,368,391722,0 52,2,2024-09-07 08:39:51:759,212162,212124,38,0,10090477,0,6742 52,3,2024-09-07 08:39:50:674,1,169,1,0,1782,3541,169,0 53,0,2024-09-07 08:39:51:733,44459,1.0,43146,1.0,90177,1.2,118191,2.75 53,1,2024-09-07 08:39:50:772,296547,296547,0,0,138296889068,1458358303,290915,3738,1894,367,391702,0 53,2,2024-09-07 08:39:51:302,217752,217752,0,0,8469147,0,2262 53,3,2024-09-07 08:39:50:697,1,169,1,0,271,1642,169,0 54,0,2024-09-07 08:39:51:621,38886,1.9,39454,1.2,78178,0.9,104603,3.75 54,1,2024-09-07 08:39:50:588,297234,297234,0,0,139165698929,1452319374,293263,3400,571,367,391659,0 54,2,2024-09-07 08:39:50:873,211626,211620,6,0,9971591,0,5382 54,3,2024-09-07 08:39:50:762,1,169,0,0,676,3196,169,0 55,0,2024-09-07 08:39:51:819,37831,0.4,39091,0.7,79039,0.3,102354,2.25 55,1,2024-09-07 08:39:50:799,296950,296950,0,0,138377205866,1448213830,291760,4403,787,365,391731,0 55,2,2024-09-07 08:39:50:730,211572,211572,0,0,9529193,0,3275 55,3,2024-09-07 08:39:50:674,1,169,1,0,304,1716,169,0 56,0,2024-09-07 08:39:51:599,43987,1.0,41605,1.0,85505,1.3,115035,2.25 56,1,2024-09-07 08:39:50:577,296518,296518,0,0,138845182486,1469199000,291350,4262,906,381,391678,0 56,2,2024-09-07 08:39:51:310,214173,214173,0,0,10602164,0,3567 56,3,2024-09-07 08:39:51:059,1,169,1,0,405,2339,169,0 57,0,2024-09-07 08:39:50:997,45734,2.3,45805,1.5,91694,3.3,122577,3.75 57,1,2024-09-07 08:39:50:997,297462,297462,0,0,138230486505,1447085634,294627,2665,170,368,391960,0 57,2,2024-09-07 08:39:51:329,215994,215994,0,0,10692484,0,3178 57,3,2024-09-07 08:39:51:743,1,169,4,0,359,2769,169,0 58,0,2024-09-07 08:39:50:564,40839,1.2,39751,1.2,83267,1.5,108884,2.75 58,1,2024-09-07 08:39:50:575,298066,298063,0,3,139407689099,1455478171,294545,3120,398,367,391516,3 58,2,2024-09-07 08:39:51:071,212857,212857,0,0,9690577,0,2549 58,3,2024-09-07 08:39:51:068,1,169,1,0,1043,2352,169,0 59,0,2024-09-07 08:39:51:750,40477,0.7,40121,0.9,80224,0.7,106953,2.75 59,1,2024-09-07 08:39:50:804,297123,297123,0,0,138713279588,1450860022,293473,2728,922,369,391515,0 59,2,2024-09-07 08:39:50:588,213778,213778,0,0,8612059,0,2604 59,3,2024-09-07 08:39:51:739,1,169,1,0,1015,2902,169,0 60,0,2024-09-07 08:39:51:717,41108,0.4,41092,0.6,81934,0.3,109318,1.75 60,1,2024-09-07 08:39:50:772,298547,298547,0,0,139580023218,1446639635,297088,1101,358,370,391761,0 60,2,2024-09-07 08:39:51:146,213634,213634,0,0,9761308,0,3811 60,3,2024-09-07 08:39:51:265,1,169,21,0,124,1639,169,0 61,0,2024-09-07 08:39:51:502,47327,1.1,47554,1.0,94842,1.7,126682,2.00 61,1,2024-09-07 08:39:50:774,297160,297160,0,0,138809826165,1455473453,293717,2890,553,382,391589,0 61,2,2024-09-07 08:39:51:127,214306,214306,0,0,8607559,0,2079 61,3,2024-09-07 08:39:51:695,1,169,2,0,199,1950,169,0 62,0,2024-09-07 08:39:51:713,44163,1.4,45010,1.0,85905,1.8,117164,2.25 62,1,2024-09-07 08:39:51:126,299400,299394,0,6,139918264760,1443491260,297715,1640,39,365,391715,6 62,2,2024-09-07 08:39:51:656,214948,214947,1,0,10146584,0,5555 62,3,2024-09-07 08:39:51:145,1,169,1,0,287,1189,169,0 63,0,2024-09-07 08:39:51:491,39090,0.5,39048,0.7,78229,0.5,104224,2.00 63,1,2024-09-07 08:39:50:805,298530,298524,0,6,139386054884,1443946502,297281,1217,26,381,391677,6 63,2,2024-09-07 08:39:50:763,212349,212349,0,0,8036535,0,2674 63,3,2024-09-07 08:39:51:740,1,169,4,0,667,2423,169,0 64,0,2024-09-07 08:39:51:542,38824,0.4,38714,0.6,77767,0.3,103751,1.75 64,1,2024-09-07 08:39:50:755,298101,298101,0,0,138596230111,1448630237,294629,2417,1055,370,391783,0 64,2,2024-09-07 08:39:51:159,214029,214010,19,0,8712751,0,6121 64,3,2024-09-07 08:39:51:146,1,169,1,0,265,1852,169,0 65,0,2024-09-07 08:39:51:697,44630,0.7,45096,0.8,89961,0.7,120047,2.25 65,1,2024-09-07 08:39:50:872,297053,297053,0,0,138047142624,1444696282,294542,2287,224,382,391770,0 65,2,2024-09-07 08:39:51:704,213157,213157,0,0,9176035,0,3367 65,3,2024-09-07 08:39:51:686,1,169,6,0,163,1684,169,0 66,0,2024-09-07 08:39:51:768,43961,0.7,44034,0.9,88501,0.8,117551,2.50 66,1,2024-09-07 08:39:51:299,298620,298620,0,0,139158282208,1446387156,296745,1704,171,380,391588,0 66,2,2024-09-07 08:39:51:137,219067,219067,0,0,8565387,0,4956 66,3,2024-09-07 08:39:51:082,1,169,2,0,291,1735,169,0 67,0,2024-09-07 08:39:51:430,38856,0.8,38611,0.9,77511,1.0,103041,2.50 67,1,2024-09-07 08:39:50:773,297150,297149,0,1,138389697361,1447436422,293681,2722,746,381,391787,1 67,2,2024-09-07 08:39:50:585,212815,212815,0,0,8134048,0,2889 67,3,2024-09-07 08:39:51:750,1,169,1,0,138,1297,169,0 68,0,2024-09-07 08:39:50:609,38732,0.4,38548,0.7,77088,0.4,102584,2.00 68,1,2024-09-07 08:39:50:582,296700,296700,0,0,138398357324,1452133638,293842,1838,1020,381,391953,0 68,2,2024-09-07 08:39:51:053,210986,210921,65,0,11440788,0,6698 68,3,2024-09-07 08:39:50:727,1,169,1,0,417,2087,169,0 69,0,2024-09-07 08:39:51:740,44125,0.6,44307,0.8,88016,0.6,118114,2.00 69,1,2024-09-07 08:39:51:027,296033,296033,0,0,138510435531,1463534141,292021,2797,1215,384,391994,0 69,2,2024-09-07 08:39:51:735,214652,214652,0,0,10095684,0,3701 69,3,2024-09-07 08:39:50:764,1,169,7,0,238,2056,169,0 70,0,2024-09-07 08:39:51:606,45298,1.8,45567,1.4,91438,1.2,121314,2.75 70,1,2024-09-07 08:39:50:809,297473,297473,0,0,139171597974,1445884352,295015,1996,462,366,391725,0 70,2,2024-09-07 08:39:51:329,216778,216778,0,0,9247202,0,4044 70,3,2024-09-07 08:39:50:752,1,169,1,0,854,2210,169,0 71,0,2024-09-07 08:39:51:365,40080,2.0,40159,1.6,80023,2.9,107644,3.50 71,1,2024-09-07 08:39:51:598,297517,297517,0,0,138928682206,1453540464,293400,3592,525,368,391682,0 71,2,2024-09-07 08:39:51:069,212771,212771,0,0,9220141,0,2470 71,3,2024-09-07 08:39:51:750,1,169,1,0,644,2588,169,0 72,0,2024-09-07 08:39:51:060,41733,0.4,40837,0.7,79661,0.3,107977,2.00 72,1,2024-09-07 08:39:51:026,297169,297169,0,0,138257445758,1443615347,293903,2780,486,369,391819,0 72,2,2024-09-07 08:39:51:759,211777,211777,0,0,10875019,0,2570 72,3,2024-09-07 08:39:51:758,1,169,9,0,364,3266,169,0 73,0,2024-09-07 08:39:51:143,41085,0.4,42135,0.6,86102,0.3,112129,2.00 73,1,2024-09-07 08:39:50:789,297361,297361,0,0,138788083777,1440242147,295554,1692,115,367,391750,0 73,2,2024-09-07 08:39:51:741,214521,214521,0,0,10173120,0,3482 73,3,2024-09-07 08:39:50:982,1,169,5,0,274,2609,169,0 74,0,2024-09-07 08:39:51:330,47944,0.5,48919,0.7,93196,0.5,126402,2.25 74,1,2024-09-07 08:39:50:636,297141,297141,0,0,138177622058,1442347435,294348,2171,622,382,391681,0 74,2,2024-09-07 08:39:51:003,214176,214176,0,0,10178105,0,4253 74,3,2024-09-07 08:39:51:457,1,169,1,0,522,2776,169,0 75,0,2024-09-07 08:39:51:766,43133,1.2,42791,1.1,85679,1.4,115179,2.75 75,1,2024-09-07 08:39:51:594,296913,296913,0,0,138485709248,1450329310,293516,2937,460,381,391579,0 75,2,2024-09-07 08:39:51:356,214044,214044,0,0,9782603,0,4766 75,3,2024-09-07 08:39:51:073,1,169,5,0,535,1885,169,0 76,0,2024-09-07 08:39:50:588,39447,0.4,39165,0.7,78276,0.3,105176,2.25 76,1,2024-09-07 08:39:50:806,297528,297528,0,0,138606559693,1446118200,295465,1552,511,382,391692,0 76,2,2024-09-07 08:39:51:071,213729,213729,0,0,8534008,0,3064 76,3,2024-09-07 08:39:51:147,1,169,77,0,175,1978,169,0 77,0,2024-09-07 08:39:51:717,40252,0.4,40519,0.6,81018,0.4,107176,1.75 77,1,2024-09-07 08:39:50:824,298382,298382,0,0,138776448092,1446584518,296785,1513,84,383,391808,0 77,2,2024-09-07 08:39:51:308,212720,212720,0,0,8831039,0,3890 77,3,2024-09-07 08:39:51:107,1,169,12,0,305,2061,169,0 78,0,2024-09-07 08:39:51:717,45753,0.4,45459,0.7,91780,0.4,121905,2.00 78,1,2024-09-07 08:39:50:610,298014,298014,0,0,138615752725,1441804997,295679,2082,253,367,391589,0 78,2,2024-09-07 08:39:51:417,214047,214047,0,0,8151810,0,2114 78,3,2024-09-07 08:39:51:138,1,169,4,0,181,1680,169,0 79,0,2024-09-07 08:39:51:350,42669,0.5,43721,0.7,89436,0.5,116572,2.50 79,1,2024-09-07 08:39:50:571,298549,298549,0,0,139637227176,1446894830,296299,2078,172,369,391682,0 79,2,2024-09-07 08:39:51:075,217579,217579,0,0,8364468,0,3212 79,3,2024-09-07 08:39:50:754,1,169,9,0,418,2944,169,0 80,0,2024-09-07 08:39:51:093,38704,0.6,39672,0.8,76098,0.6,103234,2.00 80,1,2024-09-07 08:39:51:625,296973,296973,0,0,138982562872,1447221319,294446,2364,163,368,391673,0 80,2,2024-09-07 08:39:51:096,212969,212969,0,0,8753049,0,4433 80,3,2024-09-07 08:39:50:581,1,169,1,0,190,2661,169,0 81,0,2024-09-07 08:39:51:547,38761,0.4,39921,0.6,76458,0.4,103077,1.75 81,1,2024-09-07 08:39:51:663,297393,297393,0,0,138462469148,1447571736,294912,2209,272,382,391879,0 81,2,2024-09-07 08:39:51:141,211589,211589,0,0,9200723,0,3993 81,3,2024-09-07 08:39:51:127,1,169,3,0,193,1632,169,0 82,0,2024-09-07 08:39:51:539,44737,0.5,45039,0.7,90040,0.4,120106,1.75 82,1,2024-09-07 08:39:50:588,297927,297923,0,4,138315795045,1442310796,295477,1868,578,381,391558,4 82,2,2024-09-07 08:39:51:693,214121,214121,0,0,8398380,0,3986 82,3,2024-09-07 08:39:51:752,1,169,1,0,227,1909,169,0 83,0,2024-09-07 08:39:51:524,44684,0.7,44750,0.8,88823,0.8,118315,2.25 83,1,2024-09-07 08:39:50:556,297548,297548,0,0,138743822241,1449752212,294973,2352,223,382,391690,0 83,2,2024-09-07 08:39:50:764,217155,217155,0,0,8469938,0,3119 83,3,2024-09-07 08:39:50:764,1,169,1,0,241,1901,169,0 84,0,2024-09-07 08:39:51:794,39601,1.2,39457,1.3,79323,1.0,105883,2.75 84,1,2024-09-07 08:39:51:051,296843,296843,0,0,137948525337,1445289007,293442,2944,457,368,391852,0 84,2,2024-09-07 08:39:50:572,211900,211900,0,0,9643692,0,3801 84,3,2024-09-07 08:39:51:152,1,169,15,0,270,1974,169,0 85,0,2024-09-07 08:39:51:122,37811,0.5,37799,0.7,80237,0.4,103484,2.00 85,1,2024-09-07 08:39:50:568,296554,296554,0,0,138293593177,1465593121,291101,4373,1080,382,392006,0 85,2,2024-09-07 08:39:50:866,212331,212331,0,0,10333333,0,3656 85,3,2024-09-07 08:39:50:685,1,169,1,0,789,2506,169,0 86,0,2024-09-07 08:39:50:909,42998,0.5,44154,0.6,84575,0.5,114916,1.75 86,1,2024-09-07 08:39:50:826,297208,297208,0,0,139232551661,1458631569,293246,3417,545,366,391961,0 86,2,2024-09-07 08:39:50:867,213260,213259,1,0,10669155,0,5004 86,3,2024-09-07 08:39:50:588,1,169,9,0,286,2444,169,0 87,0,2024-09-07 08:39:51:387,46487,1.4,46261,1.1,92923,2.1,124385,2.25 87,1,2024-09-07 08:39:50:560,297031,297031,0,0,138874229709,1454673707,293814,2825,392,366,391788,0 87,2,2024-09-07 08:39:51:070,214821,214821,0,0,9059774,0,3515 87,3,2024-09-07 08:39:51:798,1,169,18,0,335,2867,169,0 88,0,2024-09-07 08:39:51:462,42098,0.6,42240,0.7,84411,0.8,112210,1.75 88,1,2024-09-07 08:39:50:572,296223,296223,0,0,138516391813,1454310853,292175,2878,1170,365,391787,0 88,2,2024-09-07 08:39:50:688,212827,212827,0,0,10373791,0,3583 88,3,2024-09-07 08:39:51:275,1,169,4,0,435,2366,169,0 89,0,2024-09-07 08:39:51:780,41609,0.4,40260,0.6,79756,0.4,108379,1.75 89,1,2024-09-07 08:39:50:562,295546,295546,0,0,138690301702,1466883473,290107,4501,938,382,391866,0 89,2,2024-09-07 08:39:51:141,212647,212647,0,0,10267944,0,2910 89,3,2024-09-07 08:39:51:791,1,169,2,0,325,3191,169,0 90,0,2024-09-07 08:39:51:744,39797,0.4,40587,0.5,83457,0.3,108884,1.75 90,1,2024-09-07 08:39:50:595,297677,297677,0,0,138150090571,1450589840,294447,2973,257,381,391825,0 90,2,2024-09-07 08:39:51:421,212832,212832,0,0,11186384,0,3060 90,3,2024-09-07 08:39:50:944,1,169,4,0,200,1937,169,0 91,0,2024-09-07 08:39:51:019,47975,0.5,46302,0.6,96345,0.5,127057,1.75 91,1,2024-09-07 08:39:50:581,296469,296469,0,0,138398581935,1454305481,292715,3257,497,381,392047,0 91,2,2024-09-07 08:39:51:331,212502,212502,0,0,10417425,0,2445 91,3,2024-09-07 08:39:50:604,1,169,1,0,216,1903,169,0 92,0,2024-09-07 08:39:51:475,44246,0.7,45340,0.8,86627,0.9,117530,1.75 92,1,2024-09-07 08:39:50:592,297575,297575,0,0,138462065515,1446745291,295394,1777,404,382,392136,0 92,2,2024-09-07 08:39:51:358,217805,217805,0,0,8718150,0,2801 92,3,2024-09-07 08:39:51:072,1,169,1,0,167,1524,169,0 93,0,2024-09-07 08:39:51:041,39326,0.4,40283,0.6,77005,0.4,104648,1.75 93,1,2024-09-07 08:39:50:806,297147,297147,0,0,138914504859,1450979982,294032,2565,550,366,391692,0 93,2,2024-09-07 08:39:50:929,212791,212791,0,0,8657379,0,2509 93,3,2024-09-07 08:39:51:413,1,169,2,0,143,1721,169,0 94,0,2024-09-07 08:39:51:635,38920,0.3,39271,0.5,77906,0.2,103594,1.50 94,1,2024-09-07 08:39:50:563,297169,297169,0,0,138661097026,1450415722,294720,2354,95,381,391850,0 94,2,2024-09-07 08:39:50:768,212153,212153,0,0,8460910,0,2443 94,3,2024-09-07 08:39:51:696,1,169,1,0,264,2478,169,0 95,0,2024-09-07 08:39:51:357,45495,0.3,45255,0.5,91167,0.3,120792,1.75 95,1,2024-09-07 08:39:50:854,297607,297607,0,0,138550339986,1441769588,295218,2205,184,367,391662,0 95,2,2024-09-07 08:39:51:055,213506,213506,0,0,8747470,0,3308 95,3,2024-09-07 08:39:51:718,1,169,1,0,718,3095,169,0 96,0,2024-09-07 08:39:51:068,44470,0.6,44571,0.7,89445,0.6,118133,1.75 96,1,2024-09-07 08:39:51:655,297099,297099,0,0,138732752900,1451407659,294492,1964,643,385,391894,0 96,2,2024-09-07 08:39:51:268,217655,217655,0,0,9291610,0,4042 96,3,2024-09-07 08:39:51:143,1,169,7,0,188,1882,169,0 97,0,2024-09-07 08:39:51:387,38933,0.4,38817,0.6,77673,0.4,103097,1.75 97,1,2024-09-07 08:39:50:779,298014,298014,0,0,139628037670,1452496098,295205,2259,550,367,392140,0 97,2,2024-09-07 08:39:50:630,211864,211864,0,0,8344269,0,3036 97,3,2024-09-07 08:39:50:583,1,169,5,0,165,1941,169,0 98,0,2024-09-07 08:39:51:734,38628,0.2,38599,0.4,77395,0.2,102804,1.50 98,1,2024-09-07 08:39:50:581,297876,297876,0,0,138705535191,1445949756,296055,1730,91,382,391997,0 98,2,2024-09-07 08:39:50:779,212966,212966,0,0,8717431,0,3080 98,3,2024-09-07 08:39:50:714,1,169,51,0,840,3152,169,0 99,0,2024-09-07 08:39:51:494,44114,0.3,44173,0.4,88227,0.2,118372,1.50 99,1,2024-09-07 08:39:51:726,297986,297986,0,0,139181739447,1453731609,294921,2369,696,381,391744,0 99,2,2024-09-07 08:39:51:435,216282,216282,0,0,8522299,0,2615 99,3,2024-09-07 08:39:50:585,1,169,28,0,129,1251,169,0 100,0,2024-09-07 08:39:51:475,45874,1.1,45757,1.3,91577,2.1,122221,2.50 100,1,2024-09-07 08:39:50:558,295263,295263,0,0,138313460356,1467171387,290006,4325,932,381,391989,0 100,2,2024-09-07 08:39:51:826,215564,215553,11,0,9939966,0,5417 100,3,2024-09-07 08:39:51:733,1,169,4,0,559,3704,169,0 101,0,2024-09-07 08:39:51:715,41386,2.8,40361,1.6,79139,3.3,108902,3.00 101,1,2024-09-07 08:39:50:561,296224,296224,0,0,138743133106,1466751632,290860,3887,1477,368,391769,0 101,2,2024-09-07 08:39:51:756,211557,211557,0,0,10885360,0,4644 101,3,2024-09-07 08:39:50:958,1,169,2,0,448,2064,169,0 102,0,2024-09-07 08:39:51:010,39465,0.5,40794,0.7,82131,0.4,107255,2.00 102,1,2024-09-07 08:39:51:164,296938,296938,0,0,138199497311,1454669063,292849,3377,712,369,391883,0 102,2,2024-09-07 08:39:51:741,213013,212959,54,0,10267429,0,6768 102,3,2024-09-07 08:39:51:622,1,169,1,0,410,1748,169,0 103,0,2024-09-07 08:39:51:778,43265,0.4,43357,0.6,81789,0.3,112809,1.75 103,1,2024-09-07 08:39:51:652,295808,295808,0,0,138190467532,1457842529,291359,3240,1209,381,391829,0 103,2,2024-09-07 08:39:50:589,213950,213950,0,0,9217192,0,2104 103,3,2024-09-07 08:39:50:755,1,169,5,0,916,2942,169,0 104,0,2024-09-07 08:39:51:139,47145,1.2,47451,1.1,93633,1.4,126467,2.25 104,1,2024-09-07 08:39:51:606,297501,297501,0,0,138097511778,1456792671,292488,4048,965,365,391948,0 104,2,2024-09-07 08:39:51:672,213495,213495,0,0,10217886,0,3941 104,3,2024-09-07 08:39:51:419,1,169,1,1,1245,5148,169,0 105,0,2024-09-07 08:39:51:075,42479,1.7,41208,1.4,86099,2.6,114079,3.75 105,1,2024-09-07 08:39:50:561,297598,297598,0,0,137852829868,1447581170,293382,3439,777,367,391797,0 105,2,2024-09-07 08:39:51:333,213671,213671,0,0,9607204,0,3509 105,3,2024-09-07 08:39:51:305,1,169,3,0,399,2642,169,0 106,0,2024-09-07 08:39:50:969,38266,0.6,39369,0.8,80058,0.6,105097,2.25 106,1,2024-09-07 08:39:51:834,297111,297111,0,0,138778420078,1456265165,293317,3410,384,369,391767,0 106,2,2024-09-07 08:39:50:758,212177,212177,0,0,9716957,0,2795 106,3,2024-09-07 08:39:50:678,1,169,4,0,405,2436,169,0 107,0,2024-09-07 08:39:51:126,40280,0.4,40399,0.6,80186,0.3,107525,1.75 107,1,2024-09-07 08:39:50:596,296888,296888,0,0,138582216796,1456583938,293887,2770,231,381,392234,0 107,2,2024-09-07 08:39:51:291,213175,213174,1,0,9824024,0,5024 107,3,2024-09-07 08:39:51:758,1,169,8,0,353,2416,169,0 108,0,2024-09-07 08:39:51:774,45868,0.4,45941,0.6,91365,0.4,122408,1.75 108,1,2024-09-07 08:39:51:308,297066,297066,0,0,139067157206,1451892901,294406,2347,313,368,391857,0 108,2,2024-09-07 08:39:51:755,211609,211609,0,0,9160384,0,2647 108,3,2024-09-07 08:39:51:338,1,169,4,0,667,3336,169,0 109,0,2024-09-07 08:39:51:747,44336,0.5,43968,0.6,88002,0.4,118274,1.75 109,1,2024-09-07 08:39:50:600,296576,296576,0,0,138712125165,1456467536,293793,2287,496,383,392132,0 109,2,2024-09-07 08:39:50:927,216396,216396,0,0,9542482,0,3617 109,3,2024-09-07 08:39:51:145,1,169,1,0,249,2341,169,0 110,0,2024-09-07 08:39:51:793,38968,0.4,37833,0.6,79067,0.3,103480,1.75 110,1,2024-09-07 08:39:51:662,297881,297881,0,0,139424118596,1450813307,295267,1849,765,370,391667,0 110,2,2024-09-07 08:39:51:343,212586,212586,0,0,8402199,0,2915 110,3,2024-09-07 08:39:50:696,1,169,1,0,406,2379,169,0 111,0,2024-09-07 08:39:51:435,38966,0.2,38522,0.4,76954,0.1,103333,1.50 111,1,2024-09-07 08:39:51:015,298288,298288,0,0,139576669187,1448799203,296508,1447,333,382,391690,0 111,2,2024-09-07 08:39:51:128,211472,211472,0,0,9204833,0,2763 111,3,2024-09-07 08:39:50:913,1,169,1,0,379,2601,169,0 112,0,2024-09-07 08:39:50:931,44981,0.3,45008,0.4,89857,0.2,120133,1.50 112,1,2024-09-07 08:39:50:824,298517,298517,0,0,138730912498,1442401379,296189,1902,426,381,391580,0 112,2,2024-09-07 08:39:51:138,214011,214010,1,0,9144110,0,5036 112,3,2024-09-07 08:39:50:599,1,169,1,0,282,1900,169,0 113,0,2024-09-07 08:39:50:888,44555,0.4,44427,0.6,89588,0.4,119514,1.75 113,1,2024-09-07 08:39:51:695,298308,298308,0,0,139550998976,1444903630,295891,1929,488,366,391661,0 113,2,2024-09-07 08:39:51:314,218606,218606,0,0,8048800,0,3813 113,3,2024-09-07 08:39:50:684,1,169,2,0,340,2745,169,0 114,0,2024-09-07 08:39:50:882,40471,0.6,41110,0.7,80611,0.4,107726,2.00 114,1,2024-09-07 08:39:50:717,297383,297383,0,0,138938605699,1454076154,293252,2668,1463,381,391534,0 114,2,2024-09-07 08:39:50:873,212048,212048,0,0,8313606,0,3925 114,3,2024-09-07 08:39:51:279,1,169,1,0,395,1994,169,0 115,0,2024-09-07 08:39:50:557,39083,0.2,39429,0.4,78877,0.2,104235,1.50 115,1,2024-09-07 08:39:50:571,297757,297757,0,0,138956634103,1449103840,294113,2823,821,382,391602,0 115,2,2024-09-07 08:39:51:128,213370,213370,0,0,7789146,0,2152 115,3,2024-09-07 08:39:51:005,1,169,1,0,159,1086,169,0 116,0,2024-09-07 08:39:51:713,43173,0.5,42627,0.7,85690,0.5,114666,2.00 116,1,2024-09-07 08:39:50:832,295214,295214,0,0,138260374002,1468873661,290298,3159,1757,382,391677,0 116,2,2024-09-07 08:39:51:751,213759,213759,0,0,10966196,0,3529 116,3,2024-09-07 08:39:50:924,1,169,5,0,252,2448,169,0 117,0,2024-09-07 08:39:51:002,46308,1.3,46466,1.0,92918,1.8,124282,2.00 117,1,2024-09-07 08:39:51:583,297004,297004,0,0,138076034002,1448381147,293325,3250,429,370,392033,0 117,2,2024-09-07 08:39:51:226,216611,216611,0,0,8847795,0,3700 117,3,2024-09-07 08:39:51:063,1,169,17,0,490,3399,169,0 118,0,2024-09-07 08:39:51:789,40939,0.9,42173,0.9,85666,1.1,111523,2.25 118,1,2024-09-07 08:39:50:594,296902,296902,0,0,138052689773,1456535960,292049,3530,1323,366,391736,0 118,2,2024-09-07 08:39:51:602,212555,212555,0,0,9870748,0,2781 118,3,2024-09-07 08:39:51:771,1,169,2,0,235,2184,169,0 119,0,2024-09-07 08:39:51:396,39985,0.6,40261,0.8,81266,0.5,107486,2.00 119,1,2024-09-07 08:39:50:548,297617,297617,0,0,139677402390,1457391540,295127,2200,290,369,391641,0 119,2,2024-09-07 08:39:51:267,212622,212622,0,0,9168983,0,3526 119,3,2024-09-07 08:39:51:340,1,169,1,0,443,2947,169,0 120,0,2024-09-07 08:39:51:561,40784,0.4,40777,0.7,81481,0.4,109062,2.00 120,1,2024-09-07 08:39:50:870,297682,297682,0,0,138444423765,1453641977,294381,3038,263,368,391961,0 120,2,2024-09-07 08:39:50:775,213005,213004,1,0,11515371,0,5281 120,3,2024-09-07 08:39:51:304,1,169,5,0,241,2388,169,0 121,0,2024-09-07 08:39:51:697,47110,1.4,47547,1.1,94750,2.0,126513,2.25 121,1,2024-09-07 08:39:51:655,297281,297281,0,0,138937850421,1454209946,294507,2485,289,367,391840,0 121,2,2024-09-07 08:39:51:128,212373,212373,0,0,10436679,0,4127 121,3,2024-09-07 08:39:50:728,1,169,2,0,269,2285,169,0 122,0,2024-09-07 08:39:51:845,43665,1.2,42532,1.1,89147,1.3,117537,2.25 122,1,2024-09-07 08:39:50:863,296241,296241,0,0,138734402379,1458224605,292095,3438,708,366,392130,0 122,2,2024-09-07 08:39:51:386,215870,215870,0,0,11444696,0,3364 122,3,2024-09-07 08:39:50:603,1,169,0,0,411,3834,169,0 123,0,2024-09-07 08:39:51:027,39141,0.8,38111,0.8,79804,0.9,104193,2.00 123,1,2024-09-07 08:39:50:599,297225,297225,0,0,138947560434,1462586492,292149,4382,694,369,391823,0 123,2,2024-09-07 08:39:51:024,210982,210981,1,0,10055633,0,5215 123,3,2024-09-07 08:39:51:137,1,169,4,0,168,2026,169,0 124,0,2024-09-07 08:39:50:938,40164,0.3,40036,0.5,75776,0.2,104013,1.50 124,1,2024-09-07 08:39:51:113,297806,297806,0,0,138979447583,1444777981,295714,1736,356,367,392178,0 124,2,2024-09-07 08:39:51:023,212695,212695,0,0,8516639,0,3101 124,3,2024-09-07 08:39:50:763,1,169,2,0,490,2295,169,0 125,0,2024-09-07 08:39:51:537,45431,0.4,45366,0.5,90917,0.4,120987,1.75 125,1,2024-09-07 08:39:50:864,297706,297706,0,0,138803330031,1450088936,295334,2095,277,384,391702,0 125,2,2024-09-07 08:39:51:120,214101,214101,0,0,8448978,0,2180 125,3,2024-09-07 08:39:51:140,1,169,1,0,284,2148,169,0 126,0,2024-09-07 08:39:51:449,44558,0.7,45777,0.8,87430,0.8,118973,2.00 126,1,2024-09-07 08:39:50:569,298017,298017,0,0,139498805668,1446258675,296378,1561,78,365,391987,0 126,2,2024-09-07 08:39:50:635,218558,218558,0,0,8836360,0,3186 126,3,2024-09-07 08:39:50:911,1,169,10,0,150,2375,169,0 127,0,2024-09-07 08:39:51:714,38689,0.4,38877,0.6,77540,0.4,103028,1.75 127,1,2024-09-07 08:39:50:584,297426,297426,0,0,139002570646,1440925683,295350,2035,41,365,391816,0 127,2,2024-09-07 08:39:50:636,212020,212020,0,0,8237736,0,2264 127,3,2024-09-07 08:39:51:284,1,169,21,0,243,1494,169,0 128,0,2024-09-07 08:39:51:534,38825,0.3,38598,0.4,77332,0.2,102905,1.50 128,1,2024-09-07 08:39:51:612,298077,298077,0,0,139157258485,1441082226,297098,907,72,367,391680,0 128,2,2024-09-07 08:39:51:396,211957,211957,0,0,8215908,0,2107 128,3,2024-09-07 08:39:50:771,1,169,3,0,333,2386,169,0 129,0,2024-09-07 08:39:51:000,44493,0.3,44225,0.4,88363,0.2,118201,1.50 129,1,2024-09-07 08:39:50:575,296260,296260,0,0,138112260964,1447122935,293330,2537,393,379,391835,0 129,2,2024-09-07 08:39:50:698,214260,214260,0,0,8625375,0,4031 129,3,2024-09-07 08:39:50:717,1,169,6,0,173,1993,169,0 130,0,2024-09-07 08:39:51:832,46234,0.7,45988,0.7,92342,0.9,123067,1.75 130,1,2024-09-07 08:39:50:595,297734,297734,0,0,138889916710,1447450679,295619,2043,72,381,391825,0 130,2,2024-09-07 08:39:51:128,217388,217388,0,0,8473357,0,4067 130,3,2024-09-07 08:39:51:312,1,169,61,0,450,2025,169,0 131,0,2024-09-07 08:39:52:027,40793,0.5,40971,0.7,82826,0.6,109179,1.75 131,1,2024-09-07 08:39:51:860,298632,298632,0,0,138911640079,1445202123,297159,1267,206,383,391865,0 131,2,2024-09-07 08:39:50:575,212755,212755,0,0,7990100,0,2415 131,3,2024-09-07 08:39:51:696,1,169,5,0,392,1875,169,0 132,0,2024-09-07 08:39:51:432,40079,0.4,40633,0.7,81213,0.4,107584,1.75 132,1,2024-09-07 08:39:50:601,296464,296464,0,0,138107287600,1460994461,291042,4390,1032,381,392097,0 132,2,2024-09-07 08:39:50:717,212541,212541,0,0,10968684,0,4606 132,3,2024-09-07 08:39:51:696,1,169,3,0,356,3049,169,0 133,0,2024-09-07 08:39:51:549,40991,0.4,41984,0.6,86053,0.3,111883,1.75 133,1,2024-09-07 08:39:50:588,295810,295810,0,0,138736915360,1464596485,291300,3869,641,383,391914,0 133,2,2024-09-07 08:39:51:101,213551,213551,0,0,11070254,0,4315 133,3,2024-09-07 08:39:51:316,1,169,1,0,187,1459,169,0 134,0,2024-09-07 08:39:50:955,47389,0.5,47362,0.7,95302,0.5,126356,2.00 134,1,2024-09-07 08:39:50:588,296663,296663,0,0,138677598346,1455797761,292274,3164,1225,366,391718,0 134,2,2024-09-07 08:39:51:783,213754,213754,0,0,9379622,0,3096 134,3,2024-09-07 08:39:50:750,1,169,7,0,739,3031,169,0 135,0,2024-09-07 08:39:51:131,41645,1.5,41616,1.3,88239,1.7,113742,2.50 135,1,2024-09-07 08:39:51:612,296336,296336,0,0,139103747211,1464103337,292143,3489,704,380,391805,0 135,2,2024-09-07 08:39:50:690,214834,214834,0,0,10116402,0,3981 135,3,2024-09-07 08:39:51:009,1,169,3,0,89,990,169,0 136,0,2024-09-07 08:39:51:636,39762,0.5,39739,0.7,79745,0.5,106029,2.00 136,1,2024-09-07 08:39:51:441,296586,296586,0,0,138731330631,1454800673,293234,3179,173,383,391641,0 136,2,2024-09-07 08:39:51:138,213613,213613,0,0,9767221,0,3506 136,3,2024-09-07 08:39:51:109,1,169,1,0,108,1352,169,0 137,0,2024-09-07 08:39:50:944,41359,0.4,40184,0.6,79218,0.4,107553,1.75 137,1,2024-09-07 08:39:50:591,296995,296995,0,0,138268651540,1448330592,292854,3720,421,366,391708,0 137,2,2024-09-07 08:39:51:720,212606,212606,0,0,11162857,0,3185 137,3,2024-09-07 08:39:50:780,1,169,4,1,227,1943,169,0 138,0,2024-09-07 08:39:51:892,45339,1.2,45398,1.1,91356,1.7,122283,2.25 138,1,2024-09-07 08:39:51:727,297177,297177,0,0,139391392256,1457256790,294092,2732,353,368,391954,0 138,2,2024-09-07 08:39:50:589,213641,213641,0,0,9178375,0,3263 138,3,2024-09-07 08:39:50:617,1,169,1,0,1160,3213,169,0 139,0,2024-09-07 08:39:51:387,43058,2.9,43295,1.7,87174,4.2,116884,3.00 139,1,2024-09-07 08:39:50:573,295711,295711,0,0,137619520538,1461156073,290430,3957,1324,381,391892,0 139,2,2024-09-07 08:39:50:740,215699,215699,0,0,10413174,0,3097 139,3,2024-09-07 08:39:51:668,1,169,6,0,244,1888,169,0 140,0,2024-09-07 08:39:51:597,39165,0.4,38794,0.5,78162,0.3,103574,1.75 140,1,2024-09-07 08:39:51:539,298462,298462,0,0,139591063548,1440040497,296942,1280,240,365,391606,0 140,2,2024-09-07 08:39:50:687,212651,212651,0,0,8778555,0,3388 140,3,2024-09-07 08:39:50:771,1,169,2,0,247,1469,169,0 141,0,2024-09-07 08:39:51:708,38570,0.2,39713,0.4,76037,0.1,103306,1.50 141,1,2024-09-07 08:39:50:861,298145,298145,0,0,139480390633,1448010564,296192,1600,353,379,391614,0 141,2,2024-09-07 08:39:51:686,212272,212272,0,0,8258351,0,2342 141,3,2024-09-07 08:39:51:044,1,169,18,0,147,1349,169,0 142,0,2024-09-07 08:39:51:320,45460,0.3,45233,0.5,89828,0.2,120431,1.50 142,1,2024-09-07 08:39:50:592,297622,297622,0,0,138727314282,1446029233,296075,1388,159,383,391728,0 142,2,2024-09-07 08:39:51:321,212775,212743,32,0,9708246,0,6028 142,3,2024-09-07 08:39:51:746,1,169,1,0,484,2351,169,0 143,0,2024-09-07 08:39:51:404,44781,0.7,44739,0.7,90103,0.8,119380,2.00 143,1,2024-09-07 08:39:50:575,298002,298002,0,0,139096627574,1443764213,295891,2067,44,367,391619,0 143,2,2024-09-07 08:39:50:774,217455,217455,0,0,8909835,0,2669 143,3,2024-09-07 08:39:51:165,1,169,9,0,303,2673,169,0 144,0,2024-09-07 08:39:51:546,38646,0.8,39828,1.3,80849,0.8,106217,2.25 144,1,2024-09-07 08:39:50:718,296543,296543,0,0,138217198975,1449474294,294141,2199,203,381,391649,0 144,2,2024-09-07 08:39:51:817,212147,212147,0,0,8587760,0,3473 144,3,2024-09-07 08:39:51:747,1,169,5,0,249,2121,169,0 145,0,2024-09-07 08:39:51:388,37911,0.5,37889,0.7,80424,0.4,103746,2.00 145,1,2024-09-07 08:39:50:567,295515,295515,0,0,138349879655,1458677345,291116,3662,737,383,391615,0 145,2,2024-09-07 08:39:51:434,212025,212025,0,0,9598867,0,3903 145,3,2024-09-07 08:39:50:898,1,169,1,0,151,1883,169,0 146,0,2024-09-07 08:39:51:648,42900,0.4,42523,0.6,85865,0.3,114352,2.00 146,1,2024-09-07 08:39:51:599,297435,297435,0,0,138299054349,1451283403,292914,3661,860,368,391629,0 146,2,2024-09-07 08:39:51:708,214524,214524,0,0,9516103,0,2498 146,3,2024-09-07 08:39:51:274,1,169,2,0,1520,5218,169,0 147,0,2024-09-07 08:39:51:996,46483,0.8,46419,0.9,92295,0.8,123973,2.25 147,1,2024-09-07 08:39:51:374,298501,298501,0,0,138999157008,1448172737,295395,2608,498,368,391791,0 147,2,2024-09-07 08:39:51:029,216666,216666,0,0,8721776,0,2789 147,3,2024-09-07 08:39:50:922,1,169,0,0,371,2043,169,0 0,0,2024-09-07 08:40:01:731,39942,0.4,39991,0.6,84795,0.3,110073,1.75 0,1,2024-09-07 08:40:00:801,298983,298983,0,0,139867422436,1463043461,297014,1832,137,372,391772,0 0,2,2024-09-07 08:40:01:067,214980,214980,0,0,8936995,0,4480 0,3,2024-09-07 08:40:00:974,1,170,2,0,247,2196,170,0 1,0,2024-09-07 08:40:01:793,47806,1.2,47467,1.1,95151,1.7,127108,2.25 1,1,2024-09-07 08:40:00:565,298698,298698,0,0,139108902411,1459859999,295257,2574,867,371,391857,0 1,2,2024-09-07 08:40:00:677,214080,214080,0,0,8130501,0,3267 1,3,2024-09-07 08:40:01:302,1,170,3,0,262,2035,170,0 2,0,2024-09-07 08:40:01:567,43934,1.1,44300,1.0,87804,1.5,117454,2.25 2,1,2024-09-07 08:40:00:862,298893,298893,0,0,140291004530,1457998235,297383,1304,206,380,391745,0 2,2,2024-09-07 08:40:01:266,216392,216392,0,0,8890588,0,3594 2,3,2024-09-07 08:40:00:692,1,170,3,0,357,1701,170,0 3,0,2024-09-07 08:40:01:745,38985,0.5,39296,0.7,78384,0.4,104167,2.00 3,1,2024-09-07 08:40:01:621,298521,298521,0,0,139095063957,1451979854,295411,2686,424,380,391516,0 3,2,2024-09-07 08:40:01:142,214201,214178,23,0,8890764,0,5851 3,3,2024-09-07 08:40:01:767,1,170,1,0,103,1123,170,0 4,0,2024-09-07 08:40:01:817,38113,0.3,39243,0.4,79761,0.2,105051,1.50 4,1,2024-09-07 08:40:00:591,299300,299300,0,0,139259862173,1462571083,296313,2464,523,371,391846,0 4,2,2024-09-07 08:40:01:018,212733,212733,0,0,10577781,0,4528 4,3,2024-09-07 08:40:01:027,1,170,1,0,287,2269,170,0 5,0,2024-09-07 08:40:01:383,45666,0.5,45607,0.6,91124,0.4,121383,1.75 5,1,2024-09-07 08:40:00:756,298370,298370,0,0,139566762247,1470125304,294161,3221,988,368,392005,0 5,2,2024-09-07 08:40:01:848,213425,213425,0,0,9127251,0,2259 5,3,2024-09-07 08:40:01:732,1,170,1,0,238,2454,170,0 6,0,2024-09-07 08:40:00:918,45107,0.9,44733,1.0,88867,1.2,119610,2.25 6,1,2024-09-07 08:40:00:748,299244,299244,0,0,139383578196,1459376684,295844,2752,648,381,391617,0 6,2,2024-09-07 08:40:01:121,218779,218779,0,0,9339761,0,4816 6,3,2024-09-07 08:40:01:276,1,170,1,0,340,2345,170,0 7,0,2024-09-07 08:40:01:540,38448,0.5,38354,0.7,76873,0.4,102491,2.00 7,1,2024-09-07 08:40:00:855,299075,299075,0,0,139886976885,1463766530,296255,2688,132,382,391664,0 7,2,2024-09-07 08:40:00:772,212978,212978,0,0,8503808,0,2981 7,3,2024-09-07 08:40:00:854,1,170,3,0,305,1721,170,0 8,0,2024-09-07 08:40:01:396,38820,0.3,38929,0.4,77541,0.2,103600,1.50 8,1,2024-09-07 08:40:01:019,298273,298273,0,0,139464895591,1472762596,292659,4013,1601,367,391956,0 8,2,2024-09-07 08:40:00:790,210608,210608,0,0,10423110,0,2986 8,3,2024-09-07 08:40:00:600,1,170,2,0,357,2669,170,0 9,0,2024-09-07 08:40:01:113,44763,0.3,43368,0.5,90679,0.3,119472,1.50 9,1,2024-09-07 08:40:00:555,298253,298253,0,0,140320729049,1480192801,293688,3433,1132,370,391753,0 9,2,2024-09-07 08:40:01:093,215159,215159,0,0,9706323,0,3360 9,3,2024-09-07 08:40:01:759,1,170,13,0,496,2769,170,0 10,0,2024-09-07 08:40:01:602,46329,0.4,45954,0.6,92417,0.3,122658,1.75 10,1,2024-09-07 08:40:00:609,298619,298619,0,0,139340691912,1462501632,294144,3832,643,381,391741,0 10,2,2024-09-07 08:40:00:768,218222,218222,0,0,9869135,0,2940 10,3,2024-09-07 08:40:00:878,1,170,1,0,177,1245,170,0 11,0,2024-09-07 08:40:01:008,40262,0.7,39221,0.9,82221,0.7,109246,2.25 11,1,2024-09-07 08:40:00:587,299115,299115,0,0,139366047676,1465991912,293798,4047,1270,384,391537,0 11,2,2024-09-07 08:40:01:127,213198,213198,0,0,9509629,0,3411 11,3,2024-09-07 08:40:01:531,1,170,0,0,720,2876,170,0 12,0,2024-09-07 08:40:01:060,40844,0.3,40508,0.5,81327,0.2,107546,1.50 12,1,2024-09-07 08:40:00:954,298699,298699,0,0,139153794978,1454282866,295800,2485,414,370,391837,0 12,2,2024-09-07 08:40:01:555,214981,214981,0,0,9829547,0,3469 12,3,2024-09-07 08:40:01:064,1,170,14,0,358,2736,170,0 13,0,2024-09-07 08:40:01:352,42597,0.3,42514,0.5,85018,0.3,113464,1.75 13,1,2024-09-07 08:40:01:538,298665,298665,0,0,139529573018,1464264667,296232,2023,410,382,391717,0 13,2,2024-09-07 08:40:00:607,216374,216374,0,0,9028039,0,3287 13,3,2024-09-07 08:40:01:772,1,170,1,0,467,3326,170,0 14,0,2024-09-07 08:40:00:575,47844,0.4,48086,0.6,95330,0.4,126579,1.75 14,1,2024-09-07 08:40:01:572,300626,300626,0,0,140469649790,1456783046,298359,2104,163,364,391571,0 14,2,2024-09-07 08:40:00:772,215759,215759,0,0,9160228,0,2896 14,3,2024-09-07 08:40:01:125,1,170,1,0,1168,2958,170,0 15,0,2024-09-07 08:40:01:562,42958,0.9,42987,1.0,86246,1.0,114747,2.50 15,1,2024-09-07 08:40:01:609,298481,298481,0,0,139775525646,1454536473,296563,1808,110,381,391619,0 15,2,2024-09-07 08:40:00:998,215924,215924,0,0,7624666,0,3043 15,3,2024-09-07 08:40:01:415,1,170,5,0,1126,4399,170,0 16,0,2024-09-07 08:40:00:944,39967,0.5,40213,0.7,79839,0.4,106876,2.00 16,1,2024-09-07 08:40:00:589,299408,299408,0,0,139330533809,1456692447,297173,1973,262,370,391756,0 16,2,2024-09-07 08:40:01:453,213711,213711,0,0,10036274,0,4719 16,3,2024-09-07 08:40:01:173,1,170,11,0,231,2304,170,0 17,0,2024-09-07 08:40:01:805,41747,0.4,40813,0.6,79985,0.3,108302,1.75 17,1,2024-09-07 08:40:00:597,298298,298298,0,0,138985012383,1460943126,294934,2691,673,368,391688,0 17,2,2024-09-07 08:40:01:684,216399,216399,0,0,8912148,0,2857 17,3,2024-09-07 08:40:00:589,1,170,0,0,268,2506,170,0 18,0,2024-09-07 08:40:00:960,45630,0.7,45903,0.8,91768,0.7,122930,2.25 18,1,2024-09-07 08:40:01:638,299180,299180,0,0,139875449399,1451584927,297170,1776,234,367,391564,0 18,2,2024-09-07 08:40:01:760,214420,214420,0,0,8820106,0,3541 18,3,2024-09-07 08:40:00:898,1,170,1,0,163,1862,170,0 19,0,2024-09-07 08:40:01:543,43953,1.1,44411,1.0,87622,1.3,117032,2.50 19,1,2024-09-07 08:40:00:567,299009,299009,0,0,140188306568,1461069327,295194,3099,716,367,391777,0 19,2,2024-09-07 08:40:01:760,219170,219170,0,0,8109094,0,3988 19,3,2024-09-07 08:40:01:137,1,170,1,0,524,1512,170,0 20,0,2024-09-07 08:40:01:400,38898,0.6,38816,0.8,77923,0.6,103597,2.25 20,1,2024-09-07 08:40:00:579,298143,298143,0,0,139482926620,1463113174,294672,3080,391,369,391822,0 20,2,2024-09-07 08:40:00:933,213583,213583,0,0,9184017,0,3721 20,3,2024-09-07 08:40:00:592,1,170,2,0,414,2961,170,0 21,0,2024-09-07 08:40:01:166,39231,0.3,39287,0.5,78103,0.3,103569,1.75 21,1,2024-09-07 08:40:01:558,298407,298407,0,0,138724799697,1460562752,294729,3144,534,368,391962,0 21,2,2024-09-07 08:40:01:074,213019,213019,0,0,10074928,0,3747 21,3,2024-09-07 08:40:01:411,1,170,2,0,103,2025,170,0 22,0,2024-09-07 08:40:01:729,45231,0.5,45301,0.7,90113,0.5,120480,2.00 22,1,2024-09-07 08:40:01:022,298007,298007,0,0,139119044781,1467976170,292463,4035,1509,382,391667,0 22,2,2024-09-07 08:40:00:760,214673,214673,0,0,8593435,0,3134 22,3,2024-09-07 08:40:01:067,1,170,1,0,228,1832,170,0 23,0,2024-09-07 08:40:01:384,44607,0.9,44572,0.9,89566,1.1,119376,2.50 23,1,2024-09-07 08:40:01:003,299109,299109,0,0,140409714761,1468403177,295011,2616,1482,365,391549,0 23,2,2024-09-07 08:40:01:094,218429,218429,0,0,8899787,0,3010 23,3,2024-09-07 08:40:01:758,1,170,0,0,645,1817,170,0 24,0,2024-09-07 08:40:00:866,40706,0.5,40657,0.6,81329,0.5,107684,1.75 24,1,2024-09-07 08:40:00:589,299126,299126,0,0,138539457315,1450271931,296160,2167,799,369,391640,0 24,2,2024-09-07 08:40:01:082,212242,212242,0,0,10213827,0,2942 24,3,2024-09-07 08:40:01:697,1,170,0,0,234,1889,170,0 25,0,2024-09-07 08:40:01:363,40347,0.3,39481,0.5,77216,0.3,104831,1.75 25,1,2024-09-07 08:40:00:581,298588,298588,0,0,139208338059,1461451621,294892,3153,543,371,391788,0 25,2,2024-09-07 08:40:01:621,212650,212650,0,0,10558476,0,3978 25,3,2024-09-07 08:40:01:000,1,170,3,0,255,2099,170,0 26,0,2024-09-07 08:40:01:742,43142,0.3,42266,0.5,88496,0.3,116120,1.75 26,1,2024-09-07 08:40:01:570,299255,299255,0,0,139814462977,1467757242,294589,3765,901,381,391748,0 26,2,2024-09-07 08:40:00:862,216588,216588,0,0,9797257,0,2809 26,3,2024-09-07 08:40:01:722,1,170,5,0,796,2260,170,0 27,0,2024-09-07 08:40:01:731,46905,0.5,46878,0.7,93067,0.5,123979,2.00 27,1,2024-09-07 08:40:01:677,300373,300373,0,0,140105564086,1455160832,298553,1497,323,381,391558,0 27,2,2024-09-07 08:40:00:880,215771,215771,0,0,10197336,0,3409 27,3,2024-09-07 08:40:01:015,1,170,3,0,564,1979,170,0 28,0,2024-09-07 08:40:01:409,41920,0.8,42022,0.8,84593,1.0,112488,2.50 28,1,2024-09-07 08:40:00:803,299708,299708,0,0,140612643989,1465480240,297538,1833,337,383,391646,0 28,2,2024-09-07 08:40:01:772,214389,214389,0,0,8096059,0,2915 28,3,2024-09-07 08:40:01:776,1,170,2,0,502,2075,170,0 29,0,2024-09-07 08:40:01:365,41554,0.3,40678,0.5,79317,0.2,108627,1.75 29,1,2024-09-07 08:40:01:565,300460,300460,0,0,139746430984,1448574395,298028,1889,543,369,391621,0 29,2,2024-09-07 08:40:00:866,214003,214003,0,0,7945436,0,4018 29,3,2024-09-07 08:40:00:965,1,170,23,0,115,1382,170,0 30,0,2024-09-07 08:40:01:473,41141,0.4,40101,0.6,83724,0.4,110378,2.00 30,1,2024-09-07 08:40:00:580,299846,299846,0,0,140002088268,1454204661,297850,1718,278,382,391672,0 30,2,2024-09-07 08:40:01:274,215928,215928,0,0,7971067,0,3161 30,3,2024-09-07 08:40:00:598,1,170,3,0,195,1228,170,0 31,0,2024-09-07 08:40:01:788,47139,0.5,47607,0.7,95607,0.5,126766,2.00 31,1,2024-09-07 08:40:00:567,300642,300642,0,0,140588689467,1440580036,299839,691,112,356,391712,0 31,2,2024-09-07 08:40:01:275,214518,214518,0,0,9647895,0,3525 31,3,2024-09-07 08:40:01:706,1,170,0,0,220,1483,170,0 32,0,2024-09-07 08:40:01:432,43927,0.5,44438,0.6,88929,0.4,118146,1.75 32,1,2024-09-07 08:40:00:807,299735,299735,0,0,140489816553,1458669004,297991,1469,275,382,391595,0 32,2,2024-09-07 08:40:00:955,216907,216907,0,0,7898497,0,3155 32,3,2024-09-07 08:40:01:015,1,170,2,0,227,1481,170,0 33,0,2024-09-07 08:40:01:529,39744,0.3,39116,0.5,78931,0.2,105122,1.75 33,1,2024-09-07 08:40:00:580,300460,300460,0,0,140418815472,1454008020,298200,2088,172,369,391730,0 33,2,2024-09-07 08:40:00:759,214218,214185,33,0,9806864,0,7012 33,3,2024-09-07 08:40:00:895,1,170,1,0,329,1978,170,0 34,0,2024-09-07 08:40:00:932,39430,0.3,40634,0.4,77909,0.2,105190,1.50 34,1,2024-09-07 08:40:01:057,300514,300514,0,0,140463168554,1446515446,299516,992,6,367,391562,0 34,2,2024-09-07 08:40:00:766,213126,213126,0,0,8755014,0,3577 34,3,2024-09-07 08:40:01:693,1,170,4,0,148,1074,170,0 35,0,2024-09-07 08:40:00:887,45237,0.4,45589,0.5,91379,0.3,121766,1.75 35,1,2024-09-07 08:40:01:067,299106,299106,0,0,139332540200,1447975058,296306,2030,770,384,391589,0 35,2,2024-09-07 08:40:01:585,214830,214830,0,0,8045752,0,2653 35,3,2024-09-07 08:40:00:908,1,170,1,0,418,1984,170,0 36,0,2024-09-07 08:40:01:532,44783,1.0,44600,1.0,89684,1.2,119286,2.50 36,1,2024-09-07 08:40:00:583,299330,299330,0,0,139428781621,1460790143,294707,3334,1289,366,391759,0 36,2,2024-09-07 08:40:01:753,218103,218103,0,0,9479927,0,3303 36,3,2024-09-07 08:40:00:870,1,170,3,0,378,2318,170,0 37,0,2024-09-07 08:40:01:377,38493,0.5,38514,0.7,76894,0.4,103423,2.00 37,1,2024-09-07 08:40:00:578,298342,298335,0,7,139018701301,1461481897,293562,2754,2019,365,391560,0 37,2,2024-09-07 08:40:01:149,213176,213161,15,0,9531456,0,5815 37,3,2024-09-07 08:40:01:769,1,170,4,0,724,2496,170,0 38,0,2024-09-07 08:40:01:437,38681,0.4,37553,0.6,78412,0.3,103268,1.75 38,1,2024-09-07 08:40:01:615,299535,299535,0,0,139517802564,1458534757,295495,3408,632,368,391821,0 38,2,2024-09-07 08:40:00:768,213388,213341,47,0,11106558,0,6710 38,3,2024-09-07 08:40:01:001,1,170,1,0,603,2202,170,0 39,0,2024-09-07 08:40:01:773,45831,0.5,44896,0.6,87360,0.5,119991,2.00 39,1,2024-09-07 08:40:00:724,299330,299330,0,0,139484838634,1455076177,295542,2987,801,365,391524,0 39,2,2024-09-07 08:40:01:419,215402,215402,0,0,8261537,0,2689 39,3,2024-09-07 08:40:00:713,1,170,1,0,276,2107,170,0 40,0,2024-09-07 08:40:01:500,45468,0.9,46140,1.1,91971,1.0,122160,3.00 40,1,2024-09-07 08:40:00:579,299361,299361,0,0,138812339289,1454162943,294946,3646,769,368,391591,0 40,2,2024-09-07 08:40:01:303,217215,217214,1,0,10852071,0,5137 40,3,2024-09-07 08:40:01:149,1,170,7,0,181,1802,170,0 41,0,2024-09-07 08:40:01:026,40337,1.9,41062,1.6,78795,3.2,107838,4.00 41,1,2024-09-07 08:40:00:768,298679,298679,0,0,139886271163,1464170803,294484,3661,534,370,391742,0 41,2,2024-09-07 08:40:00:759,211841,211841,0,0,10358256,0,3356 41,3,2024-09-07 08:40:01:676,1,170,19,0,366,2006,170,0 42,0,2024-09-07 08:40:01:480,39867,0.5,40268,0.8,80278,0.4,105701,2.25 42,1,2024-09-07 08:40:01:444,297912,297912,0,0,139384544602,1465933685,293196,3758,958,380,391675,0 42,2,2024-09-07 08:40:01:133,213168,213168,0,0,10089108,0,3568 42,3,2024-09-07 08:40:01:010,1,170,1,0,446,1446,170,0 43,0,2024-09-07 08:40:00:918,42339,0.6,41321,0.8,86263,0.5,113614,2.00 43,1,2024-09-07 08:40:00:587,299141,299141,0,0,139562136455,1455400011,295803,2545,793,366,391604,0 43,2,2024-09-07 08:40:01:736,216178,216178,0,0,9451049,0,3812 43,3,2024-09-07 08:40:01:749,1,170,1,0,325,2155,170,0 44,0,2024-09-07 08:40:00:869,47631,0.5,47965,0.7,95421,0.5,127217,1.75 44,1,2024-09-07 08:40:00:563,299987,299987,0,0,140217594573,1449215642,297926,1636,425,356,391809,0 44,2,2024-09-07 08:40:01:279,215151,215151,0,0,7818741,0,1877 44,3,2024-09-07 08:40:01:095,1,170,3,0,817,2120,170,0 45,0,2024-09-07 08:40:01:777,42464,1.2,41660,1.1,87395,1.3,115393,2.25 45,1,2024-09-07 08:40:01:009,299679,299679,0,0,140769357067,1458835606,298638,1031,10,382,391917,0 45,2,2024-09-07 08:40:01:270,215842,215842,0,0,8493663,0,3596 45,3,2024-09-07 08:40:00:942,1,170,1,0,226,1761,170,0 46,0,2024-09-07 08:40:00:968,39840,0.3,39704,0.6,79758,0.2,106018,1.75 46,1,2024-09-07 08:40:00:587,300348,300348,0,0,139658180574,1444290359,298539,1586,223,366,391524,0 46,2,2024-09-07 08:40:00:598,214984,214984,0,0,8470666,0,2920 46,3,2024-09-07 08:40:01:131,1,170,1,0,908,3041,170,0 47,0,2024-09-07 08:40:01:114,40570,0.3,40893,0.5,81923,0.2,108071,1.75 47,1,2024-09-07 08:40:00:570,300619,300619,0,0,139961140470,1444590114,299214,1388,17,366,391605,0 47,2,2024-09-07 08:40:00:913,215709,215709,0,0,8534797,0,2558 47,3,2024-09-07 08:40:01:116,1,170,1,0,529,1909,170,0 48,0,2024-09-07 08:40:01:507,46606,0.3,46206,0.4,92492,0.2,124019,1.50 48,1,2024-09-07 08:40:01:049,300335,300335,0,0,140156399961,1456279858,298273,1881,181,384,391710,0 48,2,2024-09-07 08:40:00:706,214617,214617,0,0,7299254,0,2083 48,3,2024-09-07 08:40:00:755,1,170,7,0,339,1457,170,0 49,0,2024-09-07 08:40:01:716,45640,0.5,44755,0.6,86919,0.5,118955,1.75 49,1,2024-09-07 08:40:01:022,298798,298798,0,0,139942390794,1458810922,296059,1899,840,382,391583,0 49,2,2024-09-07 08:40:01:802,218934,218934,0,0,8362623,0,3900 49,3,2024-09-07 08:40:01:415,1,170,2,0,408,2394,170,0 50,0,2024-09-07 08:40:01:515,39070,0.3,38689,0.5,77518,0.2,103574,1.75 50,1,2024-09-07 08:40:01:010,301073,301073,0,0,140241446173,1454018876,298544,2220,309,368,391530,0 50,2,2024-09-07 08:40:01:067,213820,213820,0,0,7966607,0,2253 50,3,2024-09-07 08:40:01:291,1,170,18,0,335,1372,170,0 51,0,2024-09-07 08:40:01:684,39831,0.2,39307,0.4,76422,0.2,103985,1.50 51,1,2024-09-07 08:40:01:684,299715,299715,0,0,141488564687,1462265440,297745,1184,786,365,391637,0 51,2,2024-09-07 08:40:01:332,213541,213541,0,0,7446945,0,3337 51,3,2024-09-07 08:40:01:027,1,170,1,0,162,937,170,0 52,0,2024-09-07 08:40:01:442,45648,0.5,45423,0.7,90990,0.4,120986,2.00 52,1,2024-09-07 08:40:00:581,298680,298680,0,0,138819482645,1462452837,292764,4757,1159,368,391722,0 52,2,2024-09-07 08:40:01:759,213326,213288,38,0,10099180,0,6742 52,3,2024-09-07 08:40:00:684,1,170,0,0,1782,3541,170,0 53,0,2024-09-07 08:40:01:745,44587,1.0,43291,1.0,90524,1.2,118630,2.75 53,1,2024-09-07 08:40:00:772,298326,298326,0,0,139317491992,1468679619,292694,3738,1894,367,391702,0 53,2,2024-09-07 08:40:01:309,219025,219025,0,0,8478350,0,2262 53,3,2024-09-07 08:40:00:697,1,170,1,0,271,1643,170,0 54,0,2024-09-07 08:40:01:616,38964,1.9,39550,1.2,78379,0.9,104849,3.75 54,1,2024-09-07 08:40:00:587,299026,299026,0,0,139973594999,1460509311,295054,3401,571,367,391659,0 54,2,2024-09-07 08:40:00:865,212933,212927,6,0,9981532,0,5382 54,3,2024-09-07 08:40:00:763,1,170,4,0,676,3200,170,0 55,0,2024-09-07 08:40:01:770,37917,0.4,39187,0.7,79254,0.3,102684,2.25 55,1,2024-09-07 08:40:00:764,298740,298740,0,0,139300159435,1457551008,293550,4403,787,365,391731,0 55,2,2024-09-07 08:40:00:744,212798,212798,0,0,9537650,0,3275 55,3,2024-09-07 08:40:00:674,1,170,1,0,304,1717,170,0 56,0,2024-09-07 08:40:01:586,44461,1.0,42095,1.0,86444,1.3,116368,2.25 56,1,2024-09-07 08:40:00:585,298308,298308,0,0,139801618831,1478953789,293140,4262,906,381,391678,0 56,2,2024-09-07 08:40:01:314,215461,215461,0,0,10617386,0,3567 56,3,2024-09-07 08:40:01:060,1,170,5,0,405,2344,170,0 57,0,2024-09-07 08:40:01:026,45831,2.3,45907,1.5,91892,3.3,122870,3.75 57,1,2024-09-07 08:40:01:001,299169,299169,0,0,139143407673,1456366521,296334,2665,170,368,391960,0 57,2,2024-09-07 08:40:01:328,217335,217335,0,0,10710252,0,3178 57,3,2024-09-07 08:40:01:747,1,170,10,0,359,2779,170,0 58,0,2024-09-07 08:40:00:562,40967,1.1,39881,1.2,83519,1.4,109156,2.75 58,1,2024-09-07 08:40:00:576,299834,299831,0,3,140301350427,1464567445,296313,3120,398,367,391517,3 58,2,2024-09-07 08:40:01:072,213812,213812,0,0,9701522,0,2549 58,3,2024-09-07 08:40:01:068,1,170,1,0,1043,2353,170,0 59,0,2024-09-07 08:40:01:765,40720,0.7,40355,0.9,80658,0.7,107486,2.75 59,1,2024-09-07 08:40:00:824,298929,298929,0,0,139817200570,1462031703,295279,2728,922,369,391515,0 59,2,2024-09-07 08:40:00:587,215148,215148,0,0,8625753,0,2604 59,3,2024-09-07 08:40:01:742,1,170,1,0,1015,2903,170,0 60,0,2024-09-07 08:40:01:719,41516,0.4,41485,0.6,82756,0.3,110554,1.75 60,1,2024-09-07 08:40:00:782,300304,300304,0,0,140401194412,1455044766,298843,1103,358,370,391761,0 60,2,2024-09-07 08:40:01:155,215151,215151,0,0,9792678,0,3811 60,3,2024-09-07 08:40:01:266,1,170,2,0,124,1641,170,0 61,0,2024-09-07 08:40:01:563,47516,1.1,47750,1.0,95210,1.6,126962,2.00 61,1,2024-09-07 08:40:00:784,298892,298892,0,0,139562705395,1463184997,295448,2891,553,382,391589,0 61,2,2024-09-07 08:40:01:141,215778,215778,0,0,8650032,0,2079 61,3,2024-09-07 08:40:01:699,1,170,13,0,199,1963,170,0 62,0,2024-09-07 08:40:01:715,44445,1.3,45314,1.0,86475,1.8,117935,2.25 62,1,2024-09-07 08:40:01:110,301201,301195,0,6,140727241440,1451787172,299516,1640,39,365,391715,6 62,2,2024-09-07 08:40:01:650,215606,215605,1,0,10161372,0,5555 62,3,2024-09-07 08:40:01:155,1,170,1,0,287,1190,170,0 63,0,2024-09-07 08:40:01:464,39293,0.5,39242,0.7,78627,0.5,104794,2.00 63,1,2024-09-07 08:40:00:807,300271,300265,0,6,140361816339,1453894720,299022,1217,26,381,391677,6 63,2,2024-09-07 08:40:00:763,213831,213831,0,0,8071744,0,2674 63,3,2024-09-07 08:40:01:732,1,170,3,0,667,2426,170,0 64,0,2024-09-07 08:40:01:568,39223,0.4,39131,0.6,78599,0.3,105049,1.75 64,1,2024-09-07 08:40:00:755,299855,299855,0,0,139324764809,1456083234,296383,2417,1055,370,391783,0 64,2,2024-09-07 08:40:01:154,215595,215576,19,0,8741465,0,6121 64,3,2024-09-07 08:40:01:142,1,170,1,0,265,1853,170,0 65,0,2024-09-07 08:40:01:694,44916,0.7,45400,0.8,90584,0.7,120769,2.25 65,1,2024-09-07 08:40:00:867,298745,298745,0,0,138644990640,1451124238,296223,2298,224,382,391770,0 65,2,2024-09-07 08:40:01:696,214321,214321,0,0,9196965,0,3367 65,3,2024-09-07 08:40:01:683,1,170,0,0,163,1684,170,0 66,0,2024-09-07 08:40:01:857,44306,0.7,44347,0.9,89136,0.7,118472,2.50 66,1,2024-09-07 08:40:01:293,300410,300410,0,0,139981882334,1454944756,298535,1704,171,380,391588,0 66,2,2024-09-07 08:40:01:143,220161,220161,0,0,8644727,0,4956 66,3,2024-09-07 08:40:01:078,1,170,1,0,291,1736,170,0 67,0,2024-09-07 08:40:01:417,39030,0.8,38791,0.9,77814,1.0,103520,2.50 67,1,2024-09-07 08:40:00:768,298915,298914,0,1,139137803441,1455145712,295446,2722,746,381,391787,1 67,2,2024-09-07 08:40:00:593,214277,214277,0,0,8157584,0,2889 67,3,2024-09-07 08:40:01:750,1,170,9,0,138,1306,170,0 68,0,2024-09-07 08:40:00:571,39009,0.4,38839,0.7,77677,0.4,103484,2.00 68,1,2024-09-07 08:40:00:589,298464,298464,0,0,139187897229,1460227324,295606,1838,1020,381,391953,0 68,2,2024-09-07 08:40:01:044,212305,212240,65,0,11457933,0,6698 68,3,2024-09-07 08:40:00:728,1,170,1,0,417,2088,170,0 69,0,2024-09-07 08:40:01:779,44582,0.6,44783,0.8,88930,0.6,119299,2.00 69,1,2024-09-07 08:40:01:025,297789,297789,0,0,139311972041,1471719226,293777,2797,1215,384,391994,0 69,2,2024-09-07 08:40:01:740,215784,215784,0,0,10106045,0,3701 69,3,2024-09-07 08:40:00:775,1,170,1,0,238,2057,170,0 70,0,2024-09-07 08:40:01:544,45426,1.8,45695,1.4,91674,1.2,121625,2.75 70,1,2024-09-07 08:40:00:802,299258,299258,0,0,139939540511,1453700773,296800,1996,462,366,391725,0 70,2,2024-09-07 08:40:01:328,218177,218177,0,0,9256873,0,4044 70,3,2024-09-07 08:40:00:745,1,170,1,0,854,2211,170,0 71,0,2024-09-07 08:40:01:366,40213,2.0,40263,1.6,80292,2.9,107980,3.50 71,1,2024-09-07 08:40:01:598,299377,299377,0,0,139737266972,1461751126,295260,3592,525,368,391682,0 71,2,2024-09-07 08:40:01:074,214074,214074,0,0,9228869,0,2470 71,3,2024-09-07 08:40:01:750,1,170,1,0,644,2589,170,0 72,0,2024-09-07 08:40:01:032,41775,0.4,40878,0.7,79718,0.3,107977,2.00 72,1,2024-09-07 08:40:01:027,298950,298950,0,0,139082864804,1452012493,295683,2781,486,369,391819,0 72,2,2024-09-07 08:40:01:758,213012,213012,0,0,10889986,0,2570 72,3,2024-09-07 08:40:01:762,1,170,6,0,364,3272,170,0 73,0,2024-09-07 08:40:01:106,41447,0.4,42482,0.6,86847,0.3,113032,2.00 73,1,2024-09-07 08:40:00:781,299155,299155,0,0,139433762423,1446845141,297348,1692,115,367,391750,0 73,2,2024-09-07 08:40:01:750,215973,215973,0,0,10192083,0,3482 73,3,2024-09-07 08:40:00:968,1,170,6,0,274,2615,170,0 74,0,2024-09-07 08:40:01:341,48049,0.5,49013,0.7,93364,0.5,126642,2.25 74,1,2024-09-07 08:40:00:634,298939,298939,0,0,139102901248,1451735183,296146,2171,622,382,391681,0 74,2,2024-09-07 08:40:01:002,215636,215636,0,0,10192537,0,4253 74,3,2024-09-07 08:40:01:451,1,170,1,0,522,2777,170,0 75,0,2024-09-07 08:40:01:768,43369,1.2,43006,1.1,86146,1.3,115781,2.75 75,1,2024-09-07 08:40:01:586,298729,298729,0,0,139257793874,1458223603,295332,2937,460,381,391579,0 75,2,2024-09-07 08:40:01:359,214794,214794,0,0,9795510,0,4766 75,3,2024-09-07 08:40:01:067,1,170,1,0,535,1886,170,0 76,0,2024-09-07 08:40:00:617,39801,0.4,39491,0.7,78887,0.3,106250,2.25 76,1,2024-09-07 08:40:00:824,299224,299224,0,0,139373689961,1454079208,297161,1552,511,382,391692,0 76,2,2024-09-07 08:40:01:068,215193,215193,0,0,8566670,0,3064 76,3,2024-09-07 08:40:01:154,1,170,1,0,175,1979,170,0 77,0,2024-09-07 08:40:01:712,40565,0.4,40804,0.6,81659,0.4,107845,1.75 77,1,2024-09-07 08:40:00:840,300220,300220,0,0,139866298470,1457671217,298622,1514,84,383,391808,0 77,2,2024-09-07 08:40:01:284,214203,214203,0,0,8909090,0,3890 77,3,2024-09-07 08:40:01:094,1,170,2,0,305,2063,170,0 78,0,2024-09-07 08:40:01:733,46276,0.5,46003,0.7,92782,0.4,123412,2.00 78,1,2024-09-07 08:40:00:617,299714,299714,0,0,139294753807,1448846122,297378,2083,253,367,391589,0 78,2,2024-09-07 08:40:01:416,215364,215364,0,0,8183217,0,2114 78,3,2024-09-07 08:40:01:139,1,170,1,0,181,1681,170,0 79,0,2024-09-07 08:40:01:356,42985,0.5,44052,0.7,90069,0.5,117402,2.50 79,1,2024-09-07 08:40:00:573,300400,300400,0,0,140387546852,1454573933,298149,2078,173,369,391682,0 79,2,2024-09-07 08:40:01:072,218571,218571,0,0,8380411,0,3212 79,3,2024-09-07 08:40:00:752,1,170,1,0,418,2945,170,0 80,0,2024-09-07 08:40:01:081,38853,0.6,39854,0.8,76419,0.6,103621,2.00 80,1,2024-09-07 08:40:01:624,298814,298814,0,0,140174767942,1459335445,296287,2364,163,368,391673,0 80,2,2024-09-07 08:40:01:094,214470,214470,0,0,8776171,0,4433 80,3,2024-09-07 08:40:00:593,1,170,9,0,190,2670,170,0 81,0,2024-09-07 08:40:01:599,38999,0.4,40200,0.6,76963,0.4,103678,1.75 81,1,2024-09-07 08:40:01:679,299112,299112,0,0,139180539905,1454943666,296631,2209,272,382,391879,0 81,2,2024-09-07 08:40:01:138,212996,212996,0,0,9234979,0,3993 81,3,2024-09-07 08:40:01:120,1,170,1,0,193,1633,170,0 82,0,2024-09-07 08:40:01:540,45111,0.4,45400,0.7,90833,0.4,121119,1.75 82,1,2024-09-07 08:40:00:583,299728,299724,0,4,139248176490,1451819733,297278,1868,578,381,391558,4 82,2,2024-09-07 08:40:01:698,215328,215328,0,0,8422320,0,3986 82,3,2024-09-07 08:40:01:759,1,170,3,0,227,1912,170,0 83,0,2024-09-07 08:40:01:527,44843,0.7,44880,0.8,89171,0.8,118775,2.25 83,1,2024-09-07 08:40:00:554,299333,299333,0,0,139660565540,1459155051,296758,2352,223,382,391690,0 83,2,2024-09-07 08:40:00:764,218420,218420,0,0,8496535,0,3119 83,3,2024-09-07 08:40:00:754,1,170,3,0,241,1904,170,0 84,0,2024-09-07 08:40:01:845,39704,1.2,39561,1.2,79542,1.0,106144,2.75 84,1,2024-09-07 08:40:01:048,298596,298596,0,0,139133814968,1457316749,295195,2944,457,368,391852,0 84,2,2024-09-07 08:40:00:581,213232,213232,0,0,9659667,0,3801 84,3,2024-09-07 08:40:01:141,1,170,1,0,270,1975,170,0 85,0,2024-09-07 08:40:01:011,37919,0.5,37901,0.7,80437,0.4,103812,2.00 85,1,2024-09-07 08:40:00:580,298286,298286,0,0,139085848613,1473770183,292833,4373,1080,382,392006,0 85,2,2024-09-07 08:40:00:867,213679,213679,0,0,10350181,0,3656 85,3,2024-09-07 08:40:00:692,1,170,3,0,789,2509,170,0 86,0,2024-09-07 08:40:00:887,43480,0.5,44636,0.6,85572,0.5,116246,1.75 86,1,2024-09-07 08:40:00:842,298931,298931,0,0,139954416472,1465987283,294968,3418,545,366,391961,0 86,2,2024-09-07 08:40:00:858,214592,214591,1,0,10685156,0,5004 86,3,2024-09-07 08:40:00:599,1,170,3,0,286,2447,170,0 87,0,2024-09-07 08:40:01:352,46591,1.4,46380,1.1,93135,2.1,124679,2.25 87,1,2024-09-07 08:40:00:554,298827,298827,0,0,139731728647,1463412220,295609,2825,393,366,391788,0 87,2,2024-09-07 08:40:01:073,216213,216213,0,0,9072788,0,3515 87,3,2024-09-07 08:40:01:796,1,170,3,0,335,2870,170,0 88,0,2024-09-07 08:40:01:479,42227,0.6,42357,0.7,84644,0.8,112457,1.75 88,1,2024-09-07 08:40:00:572,298024,298024,0,0,139493976874,1464373244,293970,2881,1173,365,392084,0 88,2,2024-09-07 08:40:00:706,213751,213751,0,0,10392089,0,3583 88,3,2024-09-07 08:40:01:267,1,170,2,0,435,2368,170,0 89,0,2024-09-07 08:40:01:789,41858,0.4,40450,0.6,80173,0.4,108894,1.75 89,1,2024-09-07 08:40:00:557,297267,297267,0,0,139483780595,1474980158,291828,4501,938,382,391866,0 89,2,2024-09-07 08:40:01:135,214074,214074,0,0,10284045,0,2910 89,3,2024-09-07 08:40:01:793,1,170,2,0,325,3193,170,0 90,0,2024-09-07 08:40:01:669,40154,0.4,40985,0.5,84319,0.3,110025,1.75 90,1,2024-09-07 08:40:00:599,299425,299425,0,0,138848792401,1457756944,296194,2974,257,381,391825,0 90,2,2024-09-07 08:40:01:417,214287,214287,0,0,11204953,0,3060 90,3,2024-09-07 08:40:00:929,1,170,4,0,200,1941,170,0 91,0,2024-09-07 08:40:00:947,48174,0.5,46505,0.6,96786,0.4,127343,1.75 91,1,2024-09-07 08:40:00:564,298287,298287,0,0,139409784956,1464615661,294533,3257,497,381,392047,0 91,2,2024-09-07 08:40:01:335,213922,213922,0,0,10442701,0,2445 91,3,2024-09-07 08:40:00:606,1,170,1,0,216,1904,170,0 92,0,2024-09-07 08:40:01:458,44548,0.7,45642,0.8,87241,0.9,118291,1.75 92,1,2024-09-07 08:40:00:591,299340,299340,0,0,139121639899,1453576967,297158,1778,404,382,392136,0 92,2,2024-09-07 08:40:01:355,218527,218527,0,0,8729274,0,2801 92,3,2024-09-07 08:40:01:009,1,170,2,0,167,1526,170,0 93,0,2024-09-07 08:40:00:956,39542,0.4,40507,0.6,77410,0.4,105192,1.75 93,1,2024-09-07 08:40:00:806,298921,298921,0,0,139860900112,1460683712,295803,2567,551,366,391692,0 93,2,2024-09-07 08:40:00:928,214279,214279,0,0,8689794,0,2509 93,3,2024-09-07 08:40:01:409,1,170,2,0,143,1723,170,0 94,0,2024-09-07 08:40:01:794,39346,0.3,39689,0.4,78797,0.2,104827,1.50 94,1,2024-09-07 08:40:00:568,298902,298902,0,0,139654342231,1460550302,296453,2354,95,381,391850,0 94,2,2024-09-07 08:40:00:764,213701,213701,0,0,8487855,0,2443 94,3,2024-09-07 08:40:01:699,1,170,5,0,264,2483,170,0 95,0,2024-09-07 08:40:01:391,45804,0.3,45540,0.5,91789,0.3,121497,1.75 95,1,2024-09-07 08:40:00:855,299400,299400,0,0,139342808074,1449882715,297010,2206,184,367,391662,0 95,2,2024-09-07 08:40:01:016,214627,214627,0,0,8765716,0,3308 95,3,2024-09-07 08:40:01:709,1,170,4,0,718,3099,170,0 96,0,2024-09-07 08:40:01:026,44789,0.6,44880,0.7,90062,0.6,119083,1.75 96,1,2024-09-07 08:40:01:594,298856,298856,0,0,139668502684,1461195400,296247,1966,643,385,391894,0 96,2,2024-09-07 08:40:01:268,218676,218676,0,0,9304641,0,4042 96,3,2024-09-07 08:40:01:148,1,170,1,0,188,1883,170,0 97,0,2024-09-07 08:40:01:318,39099,0.4,38963,0.6,77980,0.4,103617,1.75 97,1,2024-09-07 08:40:00:778,299755,299755,0,0,140631641604,1462769460,296946,2259,550,367,392140,0 97,2,2024-09-07 08:40:00:612,213369,213369,0,0,8417070,0,3036 97,3,2024-09-07 08:40:00:569,1,170,1,0,165,1942,170,0 98,0,2024-09-07 08:40:01:743,38934,0.2,38913,0.4,78031,0.2,103770,1.50 98,1,2024-09-07 08:40:00:578,299625,299625,0,0,139685279046,1456042966,297804,1730,91,382,391997,0 98,2,2024-09-07 08:40:00:785,214306,214306,0,0,8742979,0,3080 98,3,2024-09-07 08:40:00:714,1,170,1,0,840,3153,170,0 99,0,2024-09-07 08:40:01:487,44541,0.3,44669,0.4,89132,0.2,119540,1.50 99,1,2024-09-07 08:40:01:723,299779,299779,0,0,140068516674,1462770405,296714,2369,696,381,391744,0 99,2,2024-09-07 08:40:01:426,217277,217277,0,0,8542517,0,2615 99,3,2024-09-07 08:40:00:581,1,170,3,0,129,1254,170,0 100,0,2024-09-07 08:40:01:562,45987,1.1,45876,1.3,91802,2.0,122529,2.50 100,1,2024-09-07 08:40:00:555,297149,297149,0,0,139310431671,1477379015,291891,4326,932,381,391989,0 100,2,2024-09-07 08:40:01:817,216958,216947,11,0,9955915,0,5417 100,3,2024-09-07 08:40:01:731,1,170,1,0,559,3705,170,0 101,0,2024-09-07 08:40:01:741,41495,2.8,40482,1.6,79371,3.3,109231,3.00 101,1,2024-09-07 08:40:00:555,298055,298055,0,0,139423524283,1473729236,292691,3887,1477,368,391769,0 101,2,2024-09-07 08:40:01:763,212760,212760,0,0,10897956,0,4644 101,3,2024-09-07 08:40:00:942,1,170,1,0,448,2065,170,0 102,0,2024-09-07 08:40:00:962,39494,0.5,40834,0.7,82195,0.4,107255,2.00 102,1,2024-09-07 08:40:01:166,298717,298717,0,0,139108607002,1463902200,294628,3377,712,369,391883,0 102,2,2024-09-07 08:40:01:749,214155,214101,54,0,10276624,0,6768 102,3,2024-09-07 08:40:01:618,1,170,2,0,410,1750,170,0 103,0,2024-09-07 08:40:01:643,43663,0.4,43736,0.6,82474,0.3,113736,1.75 103,1,2024-09-07 08:40:01:634,297611,297611,0,0,138960387138,1465714314,293161,3241,1209,381,391829,0 103,2,2024-09-07 08:40:00:582,215450,215450,0,0,9231173,0,2104 103,3,2024-09-07 08:40:00:756,1,170,4,0,916,2946,170,0 104,0,2024-09-07 08:40:01:012,47261,1.2,47533,1.1,93836,1.4,126706,2.25 104,1,2024-09-07 08:40:01:604,299269,299269,0,0,138931086329,1465283401,294255,4049,965,365,391948,0 104,2,2024-09-07 08:40:01:683,214980,214980,0,0,10235538,0,3941 104,3,2024-09-07 08:40:01:416,1,170,1,1,1245,5149,170,0 105,0,2024-09-07 08:40:01:065,42707,1.6,41421,1.4,86597,2.6,114666,3.75 105,1,2024-09-07 08:40:00:560,299359,299359,0,0,138546820540,1454688656,295143,3439,777,367,391797,0 105,2,2024-09-07 08:40:01:325,214355,214355,0,0,9615013,0,3509 105,3,2024-09-07 08:40:01:311,1,170,4,0,399,2646,170,0 106,0,2024-09-07 08:40:00:961,38568,0.6,39700,0.8,80696,0.6,105980,2.25 106,1,2024-09-07 08:40:01:750,299018,299018,0,0,139766815426,1466376705,295224,3410,384,369,391767,0 106,2,2024-09-07 08:40:00:759,213604,213604,0,0,9737746,0,2795 106,3,2024-09-07 08:40:00:686,1,170,1,0,405,2437,170,0 107,0,2024-09-07 08:40:01:201,40574,0.4,40723,0.6,80748,0.3,108215,1.75 107,1,2024-09-07 08:40:00:591,298582,298582,0,0,139226852937,1463194174,295581,2770,231,381,392234,0 107,2,2024-09-07 08:40:01:292,214600,214599,1,0,9844938,0,5024 107,3,2024-09-07 08:40:01:768,1,170,1,0,353,2417,170,0 108,0,2024-09-07 08:40:01:912,46358,0.4,46451,0.6,92377,0.4,123975,1.75 108,1,2024-09-07 08:40:01:313,298784,298784,0,0,139878558706,1460311117,296124,2347,313,368,391857,0 108,2,2024-09-07 08:40:01:760,212954,212954,0,0,9196405,0,2647 108,3,2024-09-07 08:40:01:351,1,170,8,0,667,3344,170,0 109,0,2024-09-07 08:40:01:763,44656,0.4,44295,0.6,88614,0.4,119135,1.75 109,1,2024-09-07 08:40:00:604,298342,298342,0,0,139533382122,1464909105,295557,2289,496,383,392132,0 109,2,2024-09-07 08:40:00:925,217365,217365,0,0,9568771,0,3617 109,3,2024-09-07 08:40:01:169,1,170,2,0,249,2343,170,0 110,0,2024-09-07 08:40:01:807,39128,0.4,37989,0.6,79371,0.3,103901,1.75 110,1,2024-09-07 08:40:01:650,299636,299636,0,0,140134834535,1458132420,297022,1849,765,370,391667,0 110,2,2024-09-07 08:40:01:314,214141,214141,0,0,8435602,0,2915 110,3,2024-09-07 08:40:00:693,1,170,1,0,406,2380,170,0 111,0,2024-09-07 08:40:01:423,39213,0.2,38769,0.4,77463,0.1,103949,1.50 111,1,2024-09-07 08:40:01:007,300016,300016,0,0,140376014231,1457058765,298236,1447,333,382,391690,0 111,2,2024-09-07 08:40:01:118,212797,212797,0,0,9236466,0,2763 111,3,2024-09-07 08:40:00:919,1,170,7,0,379,2608,170,0 112,0,2024-09-07 08:40:00:922,45374,0.3,45406,0.4,90670,0.2,121172,1.50 112,1,2024-09-07 08:40:00:841,300347,300347,0,0,139429601816,1449572634,298019,1902,426,381,391580,0 112,2,2024-09-07 08:40:01:133,215240,215239,1,0,9164979,0,5036 112,3,2024-09-07 08:40:00:598,1,170,6,0,282,1906,170,0 113,0,2024-09-07 08:40:00:879,44710,0.4,44581,0.5,89912,0.4,119984,1.75 113,1,2024-09-07 08:40:01:695,300105,300105,0,0,140606440546,1455739913,297686,1931,488,366,391661,0 113,2,2024-09-07 08:40:01:309,219778,219778,0,0,8077166,0,3813 113,3,2024-09-07 08:40:00:684,1,170,6,0,340,2751,170,0 114,0,2024-09-07 08:40:00:900,40562,0.6,41187,0.7,80811,0.4,107977,2.00 114,1,2024-09-07 08:40:00:716,299135,299135,0,0,139783101456,1462682762,295004,2668,1463,381,391534,0 114,2,2024-09-07 08:40:00:879,213432,213432,0,0,8368798,0,3925 114,3,2024-09-07 08:40:01:279,1,170,47,0,395,2041,170,0 115,0,2024-09-07 08:40:00:561,39183,0.2,39536,0.4,79076,0.2,104578,1.50 115,1,2024-09-07 08:40:00:571,299521,299521,0,0,139794785376,1457649699,295877,2823,821,382,391602,0 115,2,2024-09-07 08:40:01:130,214711,214711,0,0,7812354,0,2152 115,3,2024-09-07 08:40:01:003,1,170,6,0,159,1092,170,0 116,0,2024-09-07 08:40:01:760,43645,0.5,43077,0.7,86651,0.5,116006,2.00 116,1,2024-09-07 08:40:00:809,296967,296967,0,0,139087222197,1477364429,292050,3159,1758,382,391677,0 116,2,2024-09-07 08:40:01:760,215009,215009,0,0,10979425,0,3529 116,3,2024-09-07 08:40:00:921,1,170,1,0,252,2449,170,0 117,0,2024-09-07 08:40:00:955,46422,1.3,46564,1.0,93121,1.8,124599,2.00 117,1,2024-09-07 08:40:01:585,298710,298710,0,0,138988019959,1457635740,295031,3250,429,370,392033,0 117,2,2024-09-07 08:40:01:122,217963,217963,0,0,8860139,0,3700 117,3,2024-09-07 08:40:01:061,1,170,1,0,490,3400,170,0 118,0,2024-09-07 08:40:01:805,41065,0.9,42300,0.9,85882,1.1,111773,2.25 118,1,2024-09-07 08:40:00:604,298670,298670,0,0,138959541627,1465745964,293816,3530,1324,366,391736,0 118,2,2024-09-07 08:40:01:591,213565,213565,0,0,9878468,0,2781 118,3,2024-09-07 08:40:01:771,1,170,9,0,235,2193,170,0 119,0,2024-09-07 08:40:01:382,40197,0.6,40489,0.8,81702,0.5,108000,2.00 119,1,2024-09-07 08:40:00:554,299414,299414,0,0,140303949328,1463832843,296924,2200,290,369,391641,0 119,2,2024-09-07 08:40:01:263,213950,213950,0,0,9180458,0,3526 119,3,2024-09-07 08:40:01:331,1,170,8,0,443,2955,170,0 120,0,2024-09-07 08:40:01:579,41173,0.4,41162,0.7,82297,0.4,110192,2.00 120,1,2024-09-07 08:40:00:868,299454,299454,0,0,139076832161,1460146918,296153,3038,263,368,391961,0 120,2,2024-09-07 08:40:00:772,214393,214392,1,0,11535707,0,5281 120,3,2024-09-07 08:40:01:298,1,170,1,0,241,2389,170,0 121,0,2024-09-07 08:40:01:689,47314,1.3,47747,1.1,95153,2.0,126806,2.25 121,1,2024-09-07 08:40:01:662,298970,298970,0,0,139630322615,1461284435,296196,2485,289,367,391840,0 121,2,2024-09-07 08:40:01:134,213842,213842,0,0,10458034,0,4127 121,3,2024-09-07 08:40:00:729,1,170,1,0,269,2286,170,0 122,0,2024-09-07 08:40:01:774,43966,1.2,42854,1.1,89734,1.3,118314,2.25 122,1,2024-09-07 08:40:00:869,298001,298001,0,0,139425446647,1465284119,293854,3439,708,366,392130,0 122,2,2024-09-07 08:40:01:320,216562,216562,0,0,11451667,0,3364 122,3,2024-09-07 08:40:00:599,1,170,4,0,411,3838,170,0 123,0,2024-09-07 08:40:00:956,39340,0.8,38304,0.8,80251,0.9,104790,2.00 123,1,2024-09-07 08:40:00:567,299005,299005,0,0,139812995264,1471403760,293929,4382,694,369,391823,0 123,2,2024-09-07 08:40:01:019,212503,212502,1,0,10087768,0,5215 123,3,2024-09-07 08:40:01:143,1,170,2,0,168,2028,170,0 124,0,2024-09-07 08:40:00:959,40565,0.3,40441,0.5,76594,0.2,105234,1.50 124,1,2024-09-07 08:40:01:023,299578,299578,0,0,139787008930,1453023657,297486,1736,356,367,392178,0 124,2,2024-09-07 08:40:01:010,214268,214268,0,0,8548162,0,3101 124,3,2024-09-07 08:40:00:759,1,170,1,0,490,2296,170,0 125,0,2024-09-07 08:40:01:485,45705,0.4,45671,0.5,91503,0.3,121699,1.75 125,1,2024-09-07 08:40:00:857,299437,299437,0,0,139583917337,1458104568,297065,2095,277,384,391702,0 125,2,2024-09-07 08:40:01:124,215181,215181,0,0,8466187,0,2180 125,3,2024-09-07 08:40:01:132,1,170,1,0,284,2149,170,0 126,0,2024-09-07 08:40:01:445,44906,0.7,46094,0.8,88037,0.8,119926,2.00 126,1,2024-09-07 08:40:00:566,299831,299831,0,0,140208890438,1453551793,298191,1562,78,365,391987,0 126,2,2024-09-07 08:40:00:614,219619,219619,0,0,8869275,0,3186 126,3,2024-09-07 08:40:00:920,1,170,2,0,150,2377,170,0 127,0,2024-09-07 08:40:01:597,38830,0.4,39040,0.6,77864,0.4,103526,1.75 127,1,2024-09-07 08:40:00:579,299213,299213,0,0,139957337692,1450650365,297136,2036,41,365,391816,0 127,2,2024-09-07 08:40:00:652,213525,213525,0,0,8289090,0,2264 127,3,2024-09-07 08:40:01:275,1,170,1,0,243,1495,170,0 128,0,2024-09-07 08:40:01:557,39150,0.3,38899,0.4,77931,0.2,103823,1.50 128,1,2024-09-07 08:40:01:621,299814,299814,0,0,140151761863,1451203365,298835,907,72,367,391680,0 128,2,2024-09-07 08:40:01:392,213177,213177,0,0,8239562,0,2107 128,3,2024-09-07 08:40:00:768,1,170,5,0,333,2391,170,0 129,0,2024-09-07 08:40:01:027,44932,0.3,44676,0.4,89287,0.2,119351,1.50 129,1,2024-09-07 08:40:00:575,297947,297947,0,0,138857307467,1454812009,295016,2538,393,379,391835,0 129,2,2024-09-07 08:40:00:697,215331,215331,0,0,8648494,0,4031 129,3,2024-09-07 08:40:00:696,1,170,2,0,173,1995,170,0 130,0,2024-09-07 08:40:01:743,46354,0.7,46104,0.7,92574,0.9,123415,1.75 130,1,2024-09-07 08:40:00:599,299546,299546,0,0,139910921039,1458088170,297431,2043,72,381,391825,0 130,2,2024-09-07 08:40:01:128,218637,218637,0,0,8493547,0,4067 130,3,2024-09-07 08:40:01:291,1,170,1,0,450,2026,170,0 131,0,2024-09-07 08:40:01:930,40931,0.5,41098,0.6,83061,0.6,109509,1.75 131,1,2024-09-07 08:40:01:823,300357,300357,0,0,139673090287,1453079126,298884,1267,206,383,391865,0 131,2,2024-09-07 08:40:00:570,214010,214010,0,0,8041038,0,2415 131,3,2024-09-07 08:40:01:693,1,170,1,0,392,1876,170,0 132,0,2024-09-07 08:40:01:446,40122,0.4,40674,0.7,81283,0.4,107584,1.75 132,1,2024-09-07 08:40:00:587,298216,298216,0,0,139039381806,1470493730,292794,4390,1032,381,392097,0 132,2,2024-09-07 08:40:00:708,213769,213769,0,0,10978439,0,4606 132,3,2024-09-07 08:40:01:694,1,170,30,0,356,3079,170,0 133,0,2024-09-07 08:40:01:540,41394,0.4,42345,0.6,86804,0.3,112788,1.75 133,1,2024-09-07 08:40:00:588,297586,297586,0,0,139491822457,1472328314,293076,3869,641,383,391914,0 133,2,2024-09-07 08:40:01:087,215054,215054,0,0,11082964,0,4315 133,3,2024-09-07 08:40:01:299,1,170,1,0,187,1460,170,0 134,0,2024-09-07 08:40:00:986,47474,0.5,47450,0.7,95488,0.5,126587,2.00 134,1,2024-09-07 08:40:00:590,298475,298475,0,0,139516216405,1464367458,294084,3166,1225,366,391718,0 134,2,2024-09-07 08:40:01:758,215231,215231,0,0,9397461,0,3096 134,3,2024-09-07 08:40:00:757,1,170,2,0,739,3033,170,0 135,0,2024-09-07 08:40:01:106,41859,1.5,41835,1.3,88697,1.7,114297,2.50 135,1,2024-09-07 08:40:01:592,298097,298097,0,0,139783390367,1471108194,293903,3490,704,380,391805,0 135,2,2024-09-07 08:40:00:693,215535,215535,0,0,10123973,0,3981 135,3,2024-09-07 08:40:01:002,1,170,2,0,89,992,170,0 136,0,2024-09-07 08:40:01:625,40051,0.5,40044,0.7,80372,0.5,106899,2.00 136,1,2024-09-07 08:40:01:473,298370,298370,0,0,139446330900,1462156870,295016,3181,173,383,391641,0 136,2,2024-09-07 08:40:01:148,215131,215131,0,0,9792403,0,3506 136,3,2024-09-07 08:40:01:110,1,170,1,0,108,1353,170,0 137,0,2024-09-07 08:40:00:998,41672,0.4,40467,0.6,79853,0.4,108253,1.75 137,1,2024-09-07 08:40:00:579,298757,298757,0,0,139346323083,1459224248,294615,3721,421,366,391708,0 137,2,2024-09-07 08:40:01:714,214216,214216,0,0,11184394,0,3185 137,3,2024-09-07 08:40:00:769,1,170,3,1,227,1946,170,0 138,0,2024-09-07 08:40:01:776,45833,1.2,45883,1.1,92400,1.6,123763,2.25 138,1,2024-09-07 08:40:01:688,298933,298933,0,0,140131084180,1464824811,295847,2733,353,368,391954,0 138,2,2024-09-07 08:40:00:590,214896,214896,0,0,9196301,0,3263 138,3,2024-09-07 08:40:00:613,1,170,2,0,1160,3215,170,0 139,0,2024-09-07 08:40:01:363,43385,2.9,43593,1.7,87822,4.2,117682,3.00 139,1,2024-09-07 08:40:00:572,297413,297413,0,0,138350241769,1468666635,292132,3957,1324,381,391892,0 139,2,2024-09-07 08:40:00:700,216645,216645,0,0,10422544,0,3097 139,3,2024-09-07 08:40:01:666,1,170,1,0,244,1889,170,0 140,0,2024-09-07 08:40:01:594,39335,0.4,38954,0.5,78478,0.3,103998,1.75 140,1,2024-09-07 08:40:01:555,300225,300225,0,0,140337781562,1447644899,298705,1280,240,365,391606,0 140,2,2024-09-07 08:40:00:692,214165,214165,0,0,8804207,0,3388 140,3,2024-09-07 08:40:00:771,1,170,1,0,247,1470,170,0 141,0,2024-09-07 08:40:01:708,38834,0.2,40008,0.4,76523,0.1,103921,1.50 141,1,2024-09-07 08:40:00:864,299858,299858,0,0,140631284842,1459679497,297905,1600,353,379,391614,0 141,2,2024-09-07 08:40:01:687,213636,213636,0,0,8288155,0,2342 141,3,2024-09-07 08:40:01:043,1,170,2,0,147,1351,170,0 142,0,2024-09-07 08:40:01:343,45846,0.3,45607,0.5,90603,0.2,121485,1.50 142,1,2024-09-07 08:40:00:597,299321,299321,0,0,139624311287,1455212259,297773,1389,159,383,391728,0 142,2,2024-09-07 08:40:01:303,213949,213917,32,0,9729091,0,6028 142,3,2024-09-07 08:40:01:747,1,170,1,0,484,2352,170,0 143,0,2024-09-07 08:40:01:372,44949,0.7,44908,0.7,90438,0.7,119829,2.00 143,1,2024-09-07 08:40:00:668,299720,299720,0,0,139747430669,1450434071,297609,2067,44,367,391619,0 143,2,2024-09-07 08:40:00:772,218714,218714,0,0,8932572,0,2669 143,3,2024-09-07 08:40:01:142,1,170,2,0,303,2675,170,0 144,0,2024-09-07 08:40:01:537,38732,0.8,39918,1.3,81054,0.8,106478,2.25 144,1,2024-09-07 08:40:00:570,298323,298323,0,0,138858330226,1456232991,295920,2199,204,381,391649,0 144,2,2024-09-07 08:40:01:759,213639,213639,0,0,8614744,0,3473 144,3,2024-09-07 08:40:01:750,1,170,2,0,249,2123,170,0 145,0,2024-09-07 08:40:01:403,38010,0.5,37988,0.7,80622,0.4,104076,2.00 145,1,2024-09-07 08:40:00:558,297286,297286,0,0,139124556490,1466685926,292887,3662,737,383,391615,0 145,2,2024-09-07 08:40:01:435,213378,213378,0,0,9616012,0,3903 145,3,2024-09-07 08:40:00:897,1,170,1,0,151,1884,170,0 146,0,2024-09-07 08:40:01:602,43349,0.4,42956,0.6,86836,0.3,115688,2.00 146,1,2024-09-07 08:40:01:585,299270,299270,0,0,139513780386,1463657447,294745,3665,860,368,391629,0 146,2,2024-09-07 08:40:01:698,215841,215841,0,0,9537374,0,2498 146,3,2024-09-07 08:40:01:281,1,170,1,0,1520,5219,170,0 147,0,2024-09-07 08:40:01:702,46567,0.8,46519,0.9,92495,0.8,124265,2.25 147,1,2024-09-07 08:40:01:372,300249,300249,0,0,139786820903,1456287499,297141,2610,498,368,391791,0 147,2,2024-09-07 08:40:01:015,218028,218028,0,0,8754003,0,2789 147,3,2024-09-07 08:40:00:921,1,170,0,0,371,2043,170,0 0,0,2024-09-07 08:40:11:725,40412,0.4,40427,0.6,85638,0.3,111211,1.75 0,1,2024-09-07 08:40:10:817,300675,300675,0,0,140805181408,1472656085,298706,1832,137,372,391772,0 0,2,2024-09-07 08:40:11:069,216495,216495,0,0,8986235,0,4480 0,3,2024-09-07 08:40:10:974,1,171,8,0,247,2204,171,0 1,0,2024-09-07 08:40:11:774,47952,1.2,47603,1.1,95430,1.6,127386,2.25 1,1,2024-09-07 08:40:10:565,300512,300512,0,0,139939339310,1468669951,297069,2576,867,371,391857,0 1,2,2024-09-07 08:40:10:657,215472,215472,0,0,8161961,0,3267 1,3,2024-09-07 08:40:11:302,1,171,1,0,262,2036,171,0 2,0,2024-09-07 08:40:11:567,44242,1.1,44596,1.0,88408,1.5,118226,2.25 2,1,2024-09-07 08:40:10:860,300629,300629,0,0,141162590983,1467017341,299119,1304,206,380,391745,0 2,2,2024-09-07 08:40:11:267,217065,217065,0,0,8903787,0,3594 2,3,2024-09-07 08:40:10:690,1,171,5,0,357,1706,171,0 3,0,2024-09-07 08:40:11:746,39193,0.5,39514,0.7,78795,0.4,104745,2.00 3,1,2024-09-07 08:40:11:624,300287,300287,0,0,140099376486,1462251136,297177,2686,424,380,391516,0 3,2,2024-09-07 08:40:11:149,215763,215740,23,0,8919825,0,5851 3,3,2024-09-07 08:40:11:753,1,171,1,0,103,1124,171,0 4,0,2024-09-07 08:40:11:778,38526,0.3,39711,0.4,80641,0.2,106303,1.50 4,1,2024-09-07 08:40:10:597,301027,301027,0,0,140029159995,1470479840,298038,2466,523,371,391846,0 4,2,2024-09-07 08:40:11:019,214318,214318,0,0,10597238,0,4528 4,3,2024-09-07 08:40:11:029,1,171,0,0,287,2269,171,0 5,0,2024-09-07 08:40:11:399,45959,0.5,45887,0.6,91691,0.4,122099,1.75 5,1,2024-09-07 08:40:10:769,300129,300129,0,0,140286289191,1477480051,295920,3221,988,368,392005,0 5,2,2024-09-07 08:40:11:840,214489,214489,0,0,9137277,0,2259 5,3,2024-09-07 08:40:11:737,1,171,18,0,238,2472,171,0 6,0,2024-09-07 08:40:10:918,45451,0.9,45050,1.0,89570,1.2,120559,2.25 6,1,2024-09-07 08:40:10:761,301100,301100,0,0,140131594151,1466995146,297700,2752,648,381,391617,0 6,2,2024-09-07 08:40:11:127,219805,219805,0,0,9346009,0,4816 6,3,2024-09-07 08:40:11:276,1,171,2,0,340,2347,171,0 7,0,2024-09-07 08:40:11:536,38610,0.5,38538,0.7,77189,0.4,102979,2.00 7,1,2024-09-07 08:40:10:851,300890,300890,0,0,140911730637,1474190915,298069,2689,132,382,391664,0 7,2,2024-09-07 08:40:10:779,214603,214603,0,0,8516827,0,2981 7,3,2024-09-07 08:40:10:851,1,171,2,0,305,1723,171,0 8,0,2024-09-07 08:40:11:353,39147,0.3,39253,0.4,78181,0.2,104528,1.50 8,1,2024-09-07 08:40:11:028,299984,299984,0,0,140186856886,1480157246,294370,4013,1601,367,391956,0 8,2,2024-09-07 08:40:10:817,211818,211818,0,0,10443450,0,2986 8,3,2024-09-07 08:40:10:603,1,171,1,0,357,2670,171,0 9,0,2024-09-07 08:40:11:110,45195,0.3,43798,0.5,91538,0.3,120627,1.50 9,1,2024-09-07 08:40:10:820,300102,300102,0,0,141015403767,1487326695,295536,3434,1132,370,391753,0 9,2,2024-09-07 08:40:11:086,216231,216231,0,0,9730146,0,3360 9,3,2024-09-07 08:40:11:752,1,171,1,0,496,2770,171,0 10,0,2024-09-07 08:40:11:612,46455,0.4,46068,0.6,92678,0.3,122972,1.75 10,1,2024-09-07 08:40:10:590,300449,300449,0,0,140025092124,1469521553,295974,3832,643,381,391741,0 10,2,2024-09-07 08:40:10:762,219480,219480,0,0,9882559,0,2940 10,3,2024-09-07 08:40:10:871,1,171,1,0,177,1246,171,0 11,0,2024-09-07 08:40:11:007,40409,0.7,39337,0.9,82483,0.7,109579,2.25 11,1,2024-09-07 08:40:10:579,300919,300919,0,0,140315752027,1475692236,295602,4047,1270,384,391537,0 11,2,2024-09-07 08:40:11:123,214511,214511,0,0,9521050,0,3411 11,3,2024-09-07 08:40:11:300,1,171,2,0,720,2878,171,0 12,0,2024-09-07 08:40:10:972,40859,0.3,40524,0.5,81354,0.2,107546,1.50 12,1,2024-09-07 08:40:10:948,300562,300562,0,0,139923398302,1462249393,297662,2486,414,370,391837,0 12,2,2024-09-07 08:40:11:546,216162,216162,0,0,9852762,0,3469 12,3,2024-09-07 08:40:11:059,1,171,26,0,358,2762,171,0 13,0,2024-09-07 08:40:11:337,42920,0.3,42870,0.5,85698,0.3,114370,1.75 13,1,2024-09-07 08:40:11:527,300369,300369,0,0,140250049788,1471710652,297936,2023,410,382,391717,0 13,2,2024-09-07 08:40:10:604,217921,217921,0,0,9056520,0,3287 13,3,2024-09-07 08:40:11:772,1,171,4,0,467,3330,171,0 14,0,2024-09-07 08:40:10:594,47934,0.4,48184,0.6,95497,0.4,126816,1.75 14,1,2024-09-07 08:40:11:561,302328,302328,0,0,141191754839,1464177457,300061,2104,163,364,391571,0 14,2,2024-09-07 08:40:10:772,217133,217133,0,0,9203585,0,2896 14,3,2024-09-07 08:40:11:121,1,171,1,0,1168,2959,171,0 15,0,2024-09-07 08:40:11:555,43190,0.9,43228,1.0,86700,1.0,115357,2.50 15,1,2024-09-07 08:40:11:609,300282,300282,0,0,140400672308,1460970788,298364,1808,110,381,391619,0 15,2,2024-09-07 08:40:10:997,216746,216746,0,0,7642402,0,3043 15,3,2024-09-07 08:40:11:407,1,171,147,0,1126,4546,171,0 16,0,2024-09-07 08:40:10:983,40328,0.5,40558,0.7,80448,0.4,107749,2.00 16,1,2024-09-07 08:40:10:578,301181,301181,0,0,140195920714,1465574808,298945,1974,262,370,391756,0 16,2,2024-09-07 08:40:11:460,215254,215254,0,0,10087830,0,4719 16,3,2024-09-07 08:40:11:162,1,171,14,0,231,2318,171,0 17,0,2024-09-07 08:40:11:761,42036,0.4,41055,0.6,80504,0.3,109030,1.75 17,1,2024-09-07 08:40:10:581,300025,300025,0,0,139973887239,1471128485,296661,2691,673,368,391688,0 17,2,2024-09-07 08:40:11:677,217905,217905,0,0,8956378,0,2857 17,3,2024-09-07 08:40:10:576,1,171,1,0,268,2507,171,0 18,0,2024-09-07 08:40:10:946,46181,0.7,46473,0.8,92851,0.8,124167,2.25 18,1,2024-09-07 08:40:11:642,300944,300944,0,0,140760968109,1460692985,298934,1776,234,367,391564,0 18,2,2024-09-07 08:40:11:755,215848,215848,0,0,8851614,0,3541 18,3,2024-09-07 08:40:10:899,1,171,2,0,163,1864,171,0 19,0,2024-09-07 08:40:11:554,44301,1.1,44735,1.0,88254,1.2,117812,2.50 19,1,2024-09-07 08:40:10:580,300819,300819,0,0,141037324516,1469744174,297004,3099,716,367,391777,0 19,2,2024-09-07 08:40:11:753,219939,219939,0,0,8121839,0,3988 19,3,2024-09-07 08:40:11:132,1,171,1,0,524,1513,171,0 20,0,2024-09-07 08:40:11:401,39036,0.6,38959,0.8,78215,0.6,104012,2.25 20,1,2024-09-07 08:40:10:826,299924,299924,0,0,140367032258,1472151385,296452,3081,391,369,391822,0 20,2,2024-09-07 08:40:10:934,215134,215134,0,0,9204403,0,3721 20,3,2024-09-07 08:40:10:600,1,171,8,0,414,2969,171,0 21,0,2024-09-07 08:40:11:165,39457,0.3,39520,0.5,78604,0.3,104166,1.75 21,1,2024-09-07 08:40:11:545,300202,300202,0,0,139679984094,1470273004,296524,3144,534,368,391962,0 21,2,2024-09-07 08:40:11:070,214484,214484,0,0,10094107,0,3747 21,3,2024-09-07 08:40:11:406,1,171,0,0,103,2025,171,0 22,0,2024-09-07 08:40:11:725,45626,0.5,45689,0.7,90904,0.5,121493,2.00 22,1,2024-09-07 08:40:11:027,299782,299782,0,0,139833396178,1475264657,294238,4035,1509,382,391667,0 22,2,2024-09-07 08:40:10:760,215834,215834,0,0,8601888,0,3134 22,3,2024-09-07 08:40:11:067,1,171,1,0,228,1833,171,0 23,0,2024-09-07 08:40:11:373,44768,0.9,44754,0.9,89896,1.1,119829,2.50 23,1,2024-09-07 08:40:11:003,300888,300888,0,0,141209341033,1476523891,296790,2616,1482,365,391549,0 23,2,2024-09-07 08:40:11:092,219591,219591,0,0,8908179,0,3010 23,3,2024-09-07 08:40:11:754,1,171,1,0,645,1818,171,0 24,0,2024-09-07 08:40:10:833,40770,0.5,40769,0.6,81529,0.5,107928,1.75 24,1,2024-09-07 08:40:10:611,300863,300863,0,0,139322709612,1458302090,297897,2167,799,369,391640,0 24,2,2024-09-07 08:40:11:080,213701,213701,0,0,10234827,0,2942 24,3,2024-09-07 08:40:11:693,1,171,1,0,234,1890,171,0 25,0,2024-09-07 08:40:11:411,40479,0.3,39608,0.5,77444,0.3,105159,1.75 25,1,2024-09-07 08:40:10:575,300327,300327,0,0,140023515196,1469781426,296630,3154,543,371,391788,0 25,2,2024-09-07 08:40:11:606,214007,214007,0,0,10587278,0,3978 25,3,2024-09-07 08:40:11:006,1,171,6,0,255,2105,171,0 26,0,2024-09-07 08:40:11:727,43632,0.3,42782,0.5,89457,0.3,117438,1.75 26,1,2024-09-07 08:40:11:546,301056,301056,0,0,140733106805,1477118413,296390,3765,901,381,391748,0 26,2,2024-09-07 08:40:10:863,217748,217748,0,0,9812815,0,2809 26,3,2024-09-07 08:40:11:714,1,171,1,0,796,2261,171,0 27,0,2024-09-07 08:40:11:730,47019,0.5,46977,0.7,93284,0.5,124263,2.00 27,1,2024-09-07 08:40:11:678,302170,302170,0,0,140861628097,1462861865,300350,1497,323,381,391558,0 27,2,2024-09-07 08:40:10:869,217093,217093,0,0,10212359,0,3409 27,3,2024-09-07 08:40:11:018,1,171,1,0,564,1980,171,0 28,0,2024-09-07 08:40:11:427,42027,0.8,42133,0.8,84813,1.0,112741,2.50 28,1,2024-09-07 08:40:10:934,301520,301520,0,0,141419610575,1473763912,299350,1833,337,383,391646,0 28,2,2024-09-07 08:40:11:764,215518,215518,0,0,8114206,0,2915 28,3,2024-09-07 08:40:11:776,1,171,3,0,502,2078,171,0 29,0,2024-09-07 08:40:11:357,41735,0.3,40877,0.5,79717,0.2,109124,1.75 29,1,2024-09-07 08:40:11:560,302310,302310,0,0,140622567219,1457524839,299877,1890,543,369,391621,0 29,2,2024-09-07 08:40:10:863,215240,215240,0,0,7979304,0,4018 29,3,2024-09-07 08:40:10:972,1,171,15,0,115,1397,171,0 30,0,2024-09-07 08:40:11:484,41580,0.4,40496,0.6,84540,0.4,111545,2.00 30,1,2024-09-07 08:40:10:577,301596,301596,0,0,140701666101,1461360572,299599,1719,278,382,391672,0 30,2,2024-09-07 08:40:11:280,217406,217406,0,0,8014889,0,3161 30,3,2024-09-07 08:40:10:589,1,171,1,0,195,1229,171,0 31,0,2024-09-07 08:40:11:758,47267,0.5,47744,0.7,95881,0.5,127051,2.00 31,1,2024-09-07 08:40:10:565,302435,302435,0,0,141683822934,1451649745,301632,691,112,356,391712,0 31,2,2024-09-07 08:40:11:286,215963,215963,0,0,9667066,0,3525 31,3,2024-09-07 08:40:11:707,1,171,1,0,220,1484,171,0 32,0,2024-09-07 08:40:11:426,44238,0.5,44742,0.6,89506,0.4,118927,1.75 32,1,2024-09-07 08:40:10:814,301496,301496,0,0,141151490612,1465471150,299752,1469,275,382,391595,0 32,2,2024-09-07 08:40:10:935,217692,217692,0,0,7935585,0,3155 32,3,2024-09-07 08:40:11:018,1,171,1,0,227,1482,171,0 33,0,2024-09-07 08:40:11:497,39941,0.3,39328,0.5,79348,0.2,105695,1.75 33,1,2024-09-07 08:40:10:576,302196,302196,0,0,141356746927,1463555777,299936,2088,172,369,391730,0 33,2,2024-09-07 08:40:10:761,215681,215648,33,0,9851218,0,7012 33,3,2024-09-07 08:40:10:907,1,171,2,0,329,1980,171,0 34,0,2024-09-07 08:40:10:934,39869,0.3,41124,0.4,78767,0.2,106410,1.50 34,1,2024-09-07 08:40:11:050,302290,302290,0,0,141192406236,1453998492,301292,992,6,367,391562,0 34,2,2024-09-07 08:40:10:768,214706,214706,0,0,8808845,0,3577 34,3,2024-09-07 08:40:11:690,1,171,1,0,148,1075,171,0 35,0,2024-09-07 08:40:10:861,45496,0.4,45884,0.5,91917,0.3,122502,1.75 35,1,2024-09-07 08:40:11:080,300882,300882,0,0,140311647037,1458222888,298059,2053,770,384,391589,0 35,2,2024-09-07 08:40:11:585,215907,215907,0,0,8065835,0,2653 35,3,2024-09-07 08:40:10:910,1,171,3,0,418,1987,171,0 36,0,2024-09-07 08:40:11:518,45133,0.9,44940,1.0,90340,1.1,120225,2.50 36,1,2024-09-07 08:40:10:588,301070,301070,0,0,140215463484,1468768854,296447,3334,1289,366,391759,0 36,2,2024-09-07 08:40:11:755,219072,219072,0,0,9486287,0,3303 36,3,2024-09-07 08:40:10:866,1,171,5,0,378,2323,171,0 37,0,2024-09-07 08:40:11:369,38682,0.5,38690,0.7,77259,0.4,103896,2.00 37,1,2024-09-07 08:40:10:572,300079,300072,0,7,139833955082,1469735278,295299,2754,2019,365,391560,0 37,2,2024-09-07 08:40:11:150,214698,214683,15,0,9544299,0,5815 37,3,2024-09-07 08:40:11:767,1,171,0,0,724,2496,171,0 38,0,2024-09-07 08:40:11:449,38998,0.4,37864,0.6,79039,0.3,104150,1.75 38,1,2024-09-07 08:40:11:604,301319,301319,0,0,140416466744,1467639500,297279,3408,632,368,391821,0 38,2,2024-09-07 08:40:10:761,214697,214650,47,0,11116773,0,6710 38,3,2024-09-07 08:40:10:998,1,171,1,0,603,2203,171,0 39,0,2024-09-07 08:40:11:762,46271,0.5,45370,0.6,88228,0.5,121126,2.00 39,1,2024-09-07 08:40:10:716,301112,301112,0,0,140350567695,1463839618,297324,2987,801,365,391524,0 39,2,2024-09-07 08:40:11:416,216567,216567,0,0,8270527,0,2689 39,3,2024-09-07 08:40:10:716,1,171,1,0,276,2108,171,0 40,0,2024-09-07 08:40:11:512,45582,0.9,46267,1.1,92198,1.0,122479,3.00 40,1,2024-09-07 08:40:10:584,301091,301091,0,0,139558806307,1461731342,296676,3646,769,368,391591,0 40,2,2024-09-07 08:40:11:305,218540,218539,1,0,10866427,0,5137 40,3,2024-09-07 08:40:11:142,1,171,2,0,181,1804,171,0 41,0,2024-09-07 08:40:11:025,40449,1.9,41180,1.6,79027,3.2,108161,4.00 41,1,2024-09-07 08:40:10:818,300440,300440,0,0,140845011074,1473880425,296245,3661,534,370,391742,0 41,2,2024-09-07 08:40:10:761,213125,213125,0,0,10371030,0,3356 41,3,2024-09-07 08:40:11:677,1,171,2,0,366,2008,171,0 42,0,2024-09-07 08:40:11:476,39883,0.5,40277,0.8,80298,0.4,105701,2.25 42,1,2024-09-07 08:40:11:444,299659,299659,0,0,140245368306,1474675581,294942,3759,958,380,391675,0 42,2,2024-09-07 08:40:11:134,214453,214453,0,0,10108432,0,3568 42,3,2024-09-07 08:40:11:012,1,171,0,0,446,1446,171,0 43,0,2024-09-07 08:40:10:935,42714,0.6,41609,0.8,87025,0.5,114538,2.00 43,1,2024-09-07 08:40:10:588,300834,300834,0,0,140335668413,1463248970,297496,2545,793,366,391604,0 43,2,2024-09-07 08:40:11:737,217624,217624,0,0,9469996,0,3812 43,3,2024-09-07 08:40:11:749,1,171,1,0,325,2156,171,0 44,0,2024-09-07 08:40:10:858,47712,0.5,48057,0.7,95624,0.5,127442,1.75 44,1,2024-09-07 08:40:10:565,301777,301777,0,0,141204004246,1459166076,299716,1636,425,356,391809,0 44,2,2024-09-07 08:40:11:269,216524,216524,0,0,7838211,0,1877 44,3,2024-09-07 08:40:11:102,1,171,2,0,817,2122,171,0 45,0,2024-09-07 08:40:11:772,42665,1.2,41900,1.1,87851,1.3,115960,2.25 45,1,2024-09-07 08:40:11:005,301482,301482,0,0,141613286167,1467486085,300441,1031,10,382,391917,0 45,2,2024-09-07 08:40:11:273,216633,216633,0,0,8514638,0,3596 45,3,2024-09-07 08:40:10:934,1,171,1,0,226,1762,171,0 46,0,2024-09-07 08:40:10:962,40186,0.3,40048,0.6,80385,0.2,106928,1.75 46,1,2024-09-07 08:40:10:594,302126,302126,0,0,140595601730,1453811905,300317,1586,223,366,391524,0 46,2,2024-09-07 08:40:10:828,216400,216400,0,0,8494571,0,2920 46,3,2024-09-07 08:40:11:134,1,171,1,0,908,3042,171,0 47,0,2024-09-07 08:40:11:122,40870,0.3,41176,0.5,82507,0.2,108790,1.75 47,1,2024-09-07 08:40:10:576,302372,302372,0,0,140837360051,1453501304,300967,1388,17,366,391605,0 47,2,2024-09-07 08:40:10:931,217220,217220,0,0,8582370,0,2558 47,3,2024-09-07 08:40:11:116,1,171,1,0,529,1910,171,0 48,0,2024-09-07 08:40:11:492,47201,0.3,46773,0.4,93574,0.2,125554,1.50 48,1,2024-09-07 08:40:11:024,302122,302122,0,0,140729860302,1462254000,300060,1881,181,384,391710,0 48,2,2024-09-07 08:40:10:699,216003,216003,0,0,7345730,0,2083 48,3,2024-09-07 08:40:10:758,1,171,26,0,339,1483,171,0 49,0,2024-09-07 08:40:11:719,45971,0.5,45087,0.6,87513,0.5,119807,1.75 49,1,2024-09-07 08:40:11:024,300629,300629,0,0,140984102862,1469711022,297879,1910,840,382,391583,0 49,2,2024-09-07 08:40:11:802,219732,219732,0,0,8434109,0,3900 49,3,2024-09-07 08:40:11:415,1,171,37,0,408,2431,171,0 50,0,2024-09-07 08:40:11:508,39224,0.3,38837,0.5,77804,0.2,103996,1.75 50,1,2024-09-07 08:40:11:023,302724,302724,0,0,140961655557,1461348730,300195,2220,309,368,391530,0 50,2,2024-09-07 08:40:11:078,215354,215354,0,0,7997862,0,2253 50,3,2024-09-07 08:40:11:295,1,171,2,0,335,1374,171,0 51,0,2024-09-07 08:40:11:710,40075,0.2,39532,0.4,76901,0.2,104636,1.50 51,1,2024-09-07 08:40:11:691,301468,301468,0,0,142344663861,1471052802,299498,1184,786,365,391637,0 51,2,2024-09-07 08:40:11:317,214984,214984,0,0,7477461,0,3337 51,3,2024-09-07 08:40:11:026,1,171,0,0,162,937,171,0 52,0,2024-09-07 08:40:11:423,46051,0.5,45809,0.7,91776,0.4,122017,2.00 52,1,2024-09-07 08:40:10:594,300491,300491,0,0,139610922782,1470491526,294575,4757,1159,368,391722,0 52,2,2024-09-07 08:40:11:757,214541,214503,38,0,10108464,0,6742 52,3,2024-09-07 08:40:10:677,1,171,2,0,1782,3543,171,0 53,0,2024-09-07 08:40:11:734,44757,1.0,43468,1.0,90858,1.2,119089,2.75 53,1,2024-09-07 08:40:10:787,300063,300063,0,0,140369479019,1479329611,294431,3738,1894,367,391702,0 53,2,2024-09-07 08:40:11:308,220266,220266,0,0,8487782,0,2262 53,3,2024-09-07 08:40:10:710,1,171,7,0,271,1650,171,0 54,0,2024-09-07 08:40:11:616,39076,1.9,39661,1.2,78567,0.9,105092,3.75 54,1,2024-09-07 08:40:10:584,300839,300839,0,0,140905874215,1469941839,296867,3401,571,367,391659,0 54,2,2024-09-07 08:40:10:869,214323,214317,6,0,9992194,0,5382 54,3,2024-09-07 08:40:10:767,1,171,1,0,676,3201,171,0 55,0,2024-09-07 08:40:11:761,38038,0.4,39280,0.7,79488,0.3,103014,2.25 55,1,2024-09-07 08:40:10:777,300561,300561,0,0,140283823645,1467505943,295371,4403,787,365,391731,0 55,2,2024-09-07 08:40:10:734,214239,214239,0,0,9547385,0,3275 55,3,2024-09-07 08:40:10:678,1,171,11,0,304,1728,171,0 56,0,2024-09-07 08:40:11:587,45015,1.0,42548,1.0,87442,1.3,117740,2.25 56,1,2024-09-07 08:40:10:611,300062,300062,0,0,140615103730,1487277874,294894,4262,906,381,391678,0 56,2,2024-09-07 08:40:11:303,216608,216608,0,0,10635300,0,3567 56,3,2024-09-07 08:40:11:058,1,171,1,0,405,2345,171,0 57,0,2024-09-07 08:40:10:977,45939,2.3,46021,1.5,92095,3.3,123182,3.75 57,1,2024-09-07 08:40:11:000,300918,300918,0,0,139675607342,1461896669,298079,2669,170,368,391960,0 57,2,2024-09-07 08:40:11:317,218700,218700,0,0,10732256,0,3178 57,3,2024-09-07 08:40:11:738,1,171,1,0,359,2780,171,0 58,0,2024-09-07 08:40:10:571,41065,1.1,40007,1.2,83741,1.4,109421,2.75 58,1,2024-09-07 08:40:10:596,301591,301588,0,3,141248223103,1474178164,298070,3120,398,367,391517,3 58,2,2024-09-07 08:40:11:070,214931,214931,0,0,9712405,0,2549 58,3,2024-09-07 08:40:11:068,1,171,1,0,1043,2354,171,0 59,0,2024-09-07 08:40:11:742,40915,0.7,40584,0.9,81061,0.7,108010,2.75 59,1,2024-09-07 08:40:10:819,300662,300662,0,0,140654256604,1470561379,297012,2728,922,369,391515,0 59,2,2024-09-07 08:40:10:583,216477,216477,0,0,8647000,0,2604 59,3,2024-09-07 08:40:11:736,1,171,1,0,1015,2904,171,0 60,0,2024-09-07 08:40:11:718,41944,0.4,41889,0.6,83612,0.3,111709,1.75 60,1,2024-09-07 08:40:10:780,302043,302043,0,0,141291163961,1464174221,300582,1103,358,370,391761,0 60,2,2024-09-07 08:40:11:153,216652,216652,0,0,9823374,0,3811 60,3,2024-09-07 08:40:11:258,1,171,15,0,124,1656,171,0 61,0,2024-09-07 08:40:11:491,47674,1.1,47874,1.0,95498,1.6,127268,2.00 61,1,2024-09-07 08:40:10:780,300707,300707,0,0,140549828743,1473282415,297262,2892,553,382,391589,0 61,2,2024-09-07 08:40:11:121,217280,217280,0,0,8675730,0,2079 61,3,2024-09-07 08:40:11:693,1,171,1,0,199,1964,171,0 62,0,2024-09-07 08:40:11:711,44732,1.3,45652,1.0,87084,1.7,118705,2.25 62,1,2024-09-07 08:40:11:124,302982,302976,0,6,141717213947,1461828954,301297,1640,39,365,391715,6 62,2,2024-09-07 08:40:11:645,216269,216268,1,0,10169460,0,5555 62,3,2024-09-07 08:40:11:148,1,171,1,0,287,1191,171,0 63,0,2024-09-07 08:40:11:452,39517,0.5,39453,0.7,79032,0.5,105384,2.00 63,1,2024-09-07 08:40:10:814,302000,301994,0,6,140934341312,1459803958,300751,1217,26,381,391677,6 63,2,2024-09-07 08:40:10:762,215433,215433,0,0,8101644,0,2674 63,3,2024-09-07 08:40:11:736,1,171,1,0,667,2427,171,0 64,0,2024-09-07 08:40:11:515,39662,0.4,39602,0.6,79499,0.3,106304,1.75 64,1,2024-09-07 08:40:10:777,301567,301567,0,0,140070587246,1463733329,298095,2417,1055,370,391783,0 64,2,2024-09-07 08:40:11:144,217134,217115,19,0,8774121,0,6121 64,3,2024-09-07 08:40:11:144,1,171,1,0,265,1854,171,0 65,0,2024-09-07 08:40:11:702,45185,0.7,45660,0.8,91125,0.7,121470,2.25 65,1,2024-09-07 08:40:10:863,300535,300535,0,0,139691249399,1461851394,298013,2298,224,382,391770,0 65,2,2024-09-07 08:40:11:693,215401,215401,0,0,9214558,0,3367 65,3,2024-09-07 08:40:11:683,1,171,9,0,163,1693,171,0 66,0,2024-09-07 08:40:11:776,44649,0.7,44667,0.9,89811,0.7,119414,2.50 66,1,2024-09-07 08:40:11:297,302271,302271,0,0,140922593240,1464553660,300396,1704,171,380,391588,0 66,2,2024-09-07 08:40:11:143,221223,221223,0,0,8672194,0,4956 66,3,2024-09-07 08:40:11:081,1,171,1,0,291,1737,171,0 67,0,2024-09-07 08:40:11:415,39228,0.8,38974,0.9,78146,0.9,104002,2.25 67,1,2024-09-07 08:40:10:770,300715,300714,0,1,140208762740,1466171978,297245,2722,747,381,391787,1 67,2,2024-09-07 08:40:10:590,215861,215861,0,0,8193905,0,2889 67,3,2024-09-07 08:40:11:750,1,171,3,0,138,1309,171,0 68,0,2024-09-07 08:40:10:592,39349,0.4,39172,0.7,78323,0.4,104405,2.00 68,1,2024-09-07 08:40:10:571,300217,300217,0,0,140309745818,1471634489,297358,1839,1020,381,391953,0 68,2,2024-09-07 08:40:11:044,213685,213620,65,0,11469194,0,6698 68,3,2024-09-07 08:40:10:729,1,171,16,0,417,2104,171,0 69,0,2024-09-07 08:40:11:728,45021,0.6,45267,0.8,89814,0.6,120452,2.00 69,1,2024-09-07 08:40:11:018,299564,299564,0,0,140337988967,1482177284,295552,2797,1215,384,391994,0 69,2,2024-09-07 08:40:11:739,216804,216804,0,0,10115938,0,3701 69,3,2024-09-07 08:40:10:768,1,171,10,0,238,2067,171,0 70,0,2024-09-07 08:40:11:548,45557,1.8,45823,1.4,91903,1.2,121956,2.75 70,1,2024-09-07 08:40:10:813,301047,301047,0,0,140776255973,1462181747,298589,1996,462,366,391725,0 70,2,2024-09-07 08:40:11:325,219494,219494,0,0,9266719,0,4044 70,3,2024-09-07 08:40:10:748,1,171,1,0,854,2212,171,0 71,0,2024-09-07 08:40:11:365,40324,2.0,40377,1.6,80583,2.8,108295,3.50 71,1,2024-09-07 08:40:11:596,301188,301188,0,0,140698542521,1471480018,297071,3592,525,368,391682,0 71,2,2024-09-07 08:40:11:068,215377,215377,0,0,9240418,0,2470 71,3,2024-09-07 08:40:11:750,1,171,0,0,644,2589,171,0 72,0,2024-09-07 08:40:11:034,41792,0.4,40894,0.7,79741,0.3,107977,2.00 72,1,2024-09-07 08:40:11:025,300665,300665,0,0,140061733465,1461947865,297398,2781,486,369,391819,0 72,2,2024-09-07 08:40:11:755,214280,214280,0,0,10905775,0,2570 72,3,2024-09-07 08:40:11:754,1,171,11,0,364,3283,171,0 73,0,2024-09-07 08:40:11:161,41798,0.4,42820,0.6,87547,0.3,113957,2.00 73,1,2024-09-07 08:40:10:780,300902,300902,0,0,140178297498,1454424716,299095,1692,115,367,391750,0 73,2,2024-09-07 08:40:11:738,217406,217406,0,0,10212305,0,3482 73,3,2024-09-07 08:40:10:974,1,171,1,0,274,2616,171,0 74,0,2024-09-07 08:40:11:322,48138,0.5,49104,0.7,93544,0.5,126873,2.25 74,1,2024-09-07 08:40:10:635,300699,300699,0,0,139789046952,1458735798,297906,2171,622,382,391681,0 74,2,2024-09-07 08:40:11:008,217013,217013,0,0,10213144,0,4253 74,3,2024-09-07 08:40:11:446,1,171,0,0,522,2777,171,0 75,0,2024-09-07 08:40:11:767,43590,1.2,43233,1.1,86616,1.3,116348,2.75 75,1,2024-09-07 08:40:11:585,300504,300504,0,0,140131604750,1467095634,297107,2937,460,381,391579,0 75,2,2024-09-07 08:40:11:354,215621,215621,0,0,9805378,0,4766 75,3,2024-09-07 08:40:11:067,1,171,1,0,535,1887,171,0 76,0,2024-09-07 08:40:10:595,40130,0.4,39805,0.7,79531,0.3,107177,2.25 76,1,2024-09-07 08:40:10:818,300942,300942,0,0,140344168555,1463989787,298879,1552,511,382,391692,0 76,2,2024-09-07 08:40:11:064,216630,216630,0,0,8598596,0,3064 76,3,2024-09-07 08:40:11:152,1,171,1,0,175,1980,171,0 77,0,2024-09-07 08:40:11:715,40855,0.4,41084,0.6,82194,0.4,108545,1.75 77,1,2024-09-07 08:40:10:830,302031,302031,0,0,140653049335,1465765541,300433,1514,84,383,391808,0 77,2,2024-09-07 08:40:11:291,215734,215734,0,0,8949204,0,3890 77,3,2024-09-07 08:40:11:094,1,171,5,0,305,2068,171,0 78,0,2024-09-07 08:40:11:774,46792,0.5,46592,0.7,93862,0.4,124891,2.00 78,1,2024-09-07 08:40:10:610,301422,301422,0,0,139918923737,1455204838,299086,2083,253,367,391589,0 78,2,2024-09-07 08:40:11:411,216716,216716,0,0,8214726,0,2114 78,3,2024-09-07 08:40:11:142,1,171,21,0,181,1702,171,0 79,0,2024-09-07 08:40:11:352,43296,0.5,44381,0.7,90714,0.5,118195,2.50 79,1,2024-09-07 08:40:10:586,302182,302182,0,0,140974820212,1460587636,299931,2078,173,369,391682,0 79,2,2024-09-07 08:40:11:067,219304,219304,0,0,8392732,0,3212 79,3,2024-09-07 08:40:10:759,1,171,2,0,418,2947,171,0 80,0,2024-09-07 08:40:11:120,39004,0.6,40011,0.8,76744,0.6,104026,2.00 80,1,2024-09-07 08:40:11:628,300633,300633,0,0,140983442708,1467802417,298106,2364,163,368,391673,0 80,2,2024-09-07 08:40:11:103,215957,215957,0,0,8853025,0,4433 80,3,2024-09-07 08:40:10:578,1,171,1,0,190,2671,171,0 81,0,2024-09-07 08:40:11:536,39218,0.4,40476,0.6,77429,0.4,104300,1.75 81,1,2024-09-07 08:40:11:657,300892,300892,0,0,139804924388,1461468512,298411,2209,272,382,391879,0 81,2,2024-09-07 08:40:11:125,214489,214489,0,0,9274490,0,3993 81,3,2024-09-07 08:40:11:120,1,171,0,0,193,1633,171,0 82,0,2024-09-07 08:40:11:536,45522,0.4,45800,0.7,91645,0.4,122132,1.75 82,1,2024-09-07 08:40:10:588,301536,301532,0,4,140060351732,1460254470,299086,1868,578,381,391558,4 82,2,2024-09-07 08:40:11:694,216387,216387,0,0,8455296,0,3986 82,3,2024-09-07 08:40:11:754,1,171,8,0,227,1920,171,0 83,0,2024-09-07 08:40:11:543,45029,0.7,45072,0.8,89505,0.8,119241,2.25 83,1,2024-09-07 08:40:10:574,301059,301059,0,0,140335376570,1466075412,298484,2352,223,382,391690,0 83,2,2024-09-07 08:40:10:768,219504,219504,0,0,8518093,0,3119 83,3,2024-09-07 08:40:10:750,1,171,1,0,241,1905,171,0 84,0,2024-09-07 08:40:11:812,39784,1.2,39655,1.2,79740,1.0,106395,2.75 84,1,2024-09-07 08:40:11:053,300363,300363,0,0,140111857790,1467256845,296961,2945,457,368,391852,0 84,2,2024-09-07 08:40:10:575,214673,214673,0,0,9675432,0,3801 84,3,2024-09-07 08:40:11:146,1,171,2,0,270,1977,171,0 85,0,2024-09-07 08:40:11:028,38021,0.5,38017,0.7,80660,0.4,104137,2.00 85,1,2024-09-07 08:40:10:566,300078,300078,0,0,139753896278,1480642621,294623,4375,1080,382,392006,0 85,2,2024-09-07 08:40:10:871,215006,215006,0,0,10362255,0,3656 85,3,2024-09-07 08:40:10:689,1,171,1,0,789,2510,171,0 86,0,2024-09-07 08:40:10:890,43968,0.5,45185,0.6,86591,0.5,117607,1.75 86,1,2024-09-07 08:40:10:833,300689,300689,0,0,140670251776,1473309366,296726,3418,545,366,391961,0 86,2,2024-09-07 08:40:10:874,215760,215759,1,0,10696565,0,5004 86,3,2024-09-07 08:40:10:826,1,171,1,0,286,2448,171,0 87,0,2024-09-07 08:40:11:338,46701,1.4,46481,1.1,93349,2.1,124978,2.25 87,1,2024-09-07 08:40:10:566,300591,300591,0,0,140426756731,1470510521,297372,2826,393,366,391788,0 87,2,2024-09-07 08:40:11:070,217491,217491,0,0,9085318,0,3515 87,3,2024-09-07 08:40:11:797,1,171,9,0,335,2879,171,0 88,0,2024-09-07 08:40:11:482,42335,0.6,42486,0.7,84886,0.7,112710,1.75 88,1,2024-09-07 08:40:10:589,299791,299791,0,0,140301995510,1472610906,295736,2882,1173,365,392084,0 88,2,2024-09-07 08:40:10:693,214816,214816,0,0,10405511,0,3583 88,3,2024-09-07 08:40:11:267,1,171,0,0,435,2368,171,0 89,0,2024-09-07 08:40:11:798,42031,0.4,40668,0.6,80608,0.3,109417,1.75 89,1,2024-09-07 08:40:10:576,299113,299113,0,0,140211632150,1482436102,293674,4501,938,382,391866,0 89,2,2024-09-07 08:40:11:135,215336,215336,0,0,10304782,0,2910 89,3,2024-09-07 08:40:11:795,1,171,1,0,325,3194,171,0 90,0,2024-09-07 08:40:11:631,40568,0.4,41403,0.5,85173,0.3,111220,1.75 90,1,2024-09-07 08:40:10:617,301095,301095,0,0,139395948145,1463438743,297864,2974,257,381,391825,0 90,2,2024-09-07 08:40:11:412,215824,215824,0,0,11229629,0,3060 90,3,2024-09-07 08:40:10:941,1,171,5,0,200,1946,171,0 91,0,2024-09-07 08:40:10:961,48315,0.5,46634,0.6,97078,0.4,127648,1.75 91,1,2024-09-07 08:40:10:583,300009,300009,0,0,140297655778,1473716342,296253,3258,498,381,392047,0 91,2,2024-09-07 08:40:11:372,215388,215388,0,0,10461945,0,2445 91,3,2024-09-07 08:40:10:608,1,171,1,0,216,1905,171,0 92,0,2024-09-07 08:40:11:483,44819,0.7,45962,0.8,87817,0.9,119047,1.75 92,1,2024-09-07 08:40:10:594,301029,301029,0,0,139869255124,1461233936,298847,1778,404,382,392136,0 92,2,2024-09-07 08:40:11:362,219210,219210,0,0,8740896,0,2801 92,3,2024-09-07 08:40:11:023,1,171,2,0,167,1528,171,0 93,0,2024-09-07 08:40:11:034,39773,0.4,40711,0.6,77862,0.4,105795,1.75 93,1,2024-09-07 08:40:10:840,300759,300759,0,0,140723587002,1469508708,297639,2569,551,366,391692,0 93,2,2024-09-07 08:40:10:934,215847,215847,0,0,8734006,0,2509 93,3,2024-09-07 08:40:11:406,1,171,6,0,143,1729,171,0 94,0,2024-09-07 08:40:11:640,39800,0.3,40180,0.4,79723,0.2,106085,1.50 94,1,2024-09-07 08:40:10:578,300644,300644,0,0,140382267497,1468074962,298195,2354,95,381,391850,0 94,2,2024-09-07 08:40:10:781,215172,215172,0,0,8519711,0,2443 94,3,2024-09-07 08:40:11:693,1,171,1,0,264,2484,171,0 95,0,2024-09-07 08:40:11:346,46085,0.3,45826,0.5,92363,0.3,122235,1.75 95,1,2024-09-07 08:40:10:871,301184,301184,0,0,140172053347,1458419984,298793,2207,184,367,391662,0 95,2,2024-09-07 08:40:11:023,215637,215637,0,0,8789271,0,3308 95,3,2024-09-07 08:40:11:709,1,171,36,0,718,3135,171,0 96,0,2024-09-07 08:40:11:220,45141,0.6,45227,0.6,90780,0.6,120001,1.75 96,1,2024-09-07 08:40:11:589,300642,300642,0,0,140463709006,1469410510,298032,1967,643,385,391894,0 96,2,2024-09-07 08:40:11:273,219688,219688,0,0,9322862,0,4042 96,3,2024-09-07 08:40:11:152,1,171,3,0,188,1886,171,0 97,0,2024-09-07 08:40:11:329,39312,0.4,39134,0.6,78312,0.4,104101,1.75 97,1,2024-09-07 08:40:10:772,301472,301472,0,0,141608702651,1472775801,298663,2259,550,367,392140,0 97,2,2024-09-07 08:40:10:609,214873,214873,0,0,8454902,0,3036 97,3,2024-09-07 08:40:10:578,1,171,2,0,165,1944,171,0 98,0,2024-09-07 08:40:11:720,39273,0.2,39224,0.4,78690,0.2,104718,1.50 98,1,2024-09-07 08:40:10:581,301366,301366,0,0,140541095748,1465076984,299543,1731,92,382,391997,0 98,2,2024-09-07 08:40:10:783,215564,215564,0,0,8776037,0,3080 98,3,2024-09-07 08:40:10:720,1,171,93,0,840,3246,171,0 99,0,2024-09-07 08:40:11:705,44976,0.3,45102,0.4,90055,0.2,120744,1.50 99,1,2024-09-07 08:40:11:723,301570,301570,0,0,141140024562,1473762926,298505,2369,696,381,391744,0 99,2,2024-09-07 08:40:11:417,218411,218411,0,0,8569217,0,2615 99,3,2024-09-07 08:40:10:590,1,171,1,0,129,1255,171,0 100,0,2024-09-07 08:40:11:500,46084,1.1,45979,1.3,92020,2.0,122855,2.50 100,1,2024-09-07 08:40:10:817,298969,298969,0,0,139976672364,1484226008,293710,4327,932,381,391989,0 100,2,2024-09-07 08:40:11:820,218147,218136,11,0,9968686,0,5417 100,3,2024-09-07 08:40:11:733,1,171,1,0,559,3706,171,0 101,0,2024-09-07 08:40:11:710,41631,2.8,40622,1.6,79589,3.2,109570,3.00 101,1,2024-09-07 08:40:10:566,299823,299823,0,0,140001319534,1479671818,294459,3887,1477,368,391769,0 101,2,2024-09-07 08:40:11:776,214052,214052,0,0,10909186,0,4644 101,3,2024-09-07 08:40:10:951,1,171,1,0,448,2066,171,0 102,0,2024-09-07 08:40:10:968,39509,0.5,40848,0.7,82220,0.4,107255,2.00 102,1,2024-09-07 08:40:11:164,300459,300459,0,0,139989847958,1472961063,296367,3379,713,369,391883,0 102,2,2024-09-07 08:40:11:737,215369,215315,54,0,10289049,0,6768 102,3,2024-09-07 08:40:11:615,1,171,1,0,410,1751,171,0 103,0,2024-09-07 08:40:11:626,44023,0.4,44100,0.6,83221,0.3,114657,1.75 103,1,2024-09-07 08:40:11:633,299351,299351,0,0,139823043257,1474543178,294900,3242,1209,381,391829,0 103,2,2024-09-07 08:40:10:586,216949,216949,0,0,9248525,0,2104 103,3,2024-09-07 08:40:10:760,1,171,13,0,916,2959,171,0 104,0,2024-09-07 08:40:11:027,47341,1.2,47618,1.1,94016,1.4,126943,2.25 104,1,2024-09-07 08:40:11:617,300985,300985,0,0,139673272402,1472932682,295971,4049,965,365,391948,0 104,2,2024-09-07 08:40:11:674,216376,216376,0,0,10258452,0,3941 104,3,2024-09-07 08:40:11:447,1,171,5,1,1245,5154,171,0 105,0,2024-09-07 08:40:11:055,42945,1.6,41641,1.4,87059,2.6,115237,3.75 105,1,2024-09-07 08:40:10:580,301106,301106,0,0,139626119506,1465624236,296890,3439,777,367,391797,0 105,2,2024-09-07 08:40:11:328,215156,215156,0,0,9627901,0,3509 105,3,2024-09-07 08:40:11:303,1,171,1,0,399,2647,171,0 106,0,2024-09-07 08:40:11:000,38861,0.6,40016,0.8,81356,0.6,106881,2.25 106,1,2024-09-07 08:40:11:750,300812,300812,0,0,140587461547,1474772934,297018,3410,384,369,391767,0 106,2,2024-09-07 08:40:10:763,214995,214995,0,0,9757758,0,2795 106,3,2024-09-07 08:40:10:682,1,171,3,0,405,2440,171,0 107,0,2024-09-07 08:40:11:153,40875,0.4,40971,0.6,81346,0.3,108911,1.75 107,1,2024-09-07 08:40:10:637,300340,300340,0,0,139972948809,1470904860,297339,2770,231,381,392234,0 107,2,2024-09-07 08:40:11:300,216066,216065,1,0,9872766,0,5024 107,3,2024-09-07 08:40:11:755,1,171,100,0,353,2517,171,0 108,0,2024-09-07 08:40:11:889,46939,0.4,47036,0.6,93429,0.4,125418,1.75 108,1,2024-09-07 08:40:11:301,300577,300577,0,0,140668889603,1468469475,297915,2348,314,368,391857,0 108,2,2024-09-07 08:40:11:761,214381,214381,0,0,9249043,0,2647 108,3,2024-09-07 08:40:11:336,1,171,5,0,667,3349,171,0 109,0,2024-09-07 08:40:11:796,44970,0.4,44612,0.6,89258,0.4,119978,1.75 109,1,2024-09-07 08:40:10:599,300087,300087,0,0,140421297689,1474029663,297302,2289,496,383,392132,0 109,2,2024-09-07 08:40:10:938,218132,218132,0,0,9580904,0,3617 109,3,2024-09-07 08:40:11:155,1,171,3,0,249,2346,171,0 110,0,2024-09-07 08:40:11:777,39286,0.4,38143,0.6,79689,0.3,104310,1.75 110,1,2024-09-07 08:40:11:654,301467,301467,0,0,140907799044,1466039421,298853,1849,765,370,391667,0 110,2,2024-09-07 08:40:11:306,215689,215689,0,0,8467341,0,2915 110,3,2024-09-07 08:40:10:697,1,171,2,0,406,2382,171,0 111,0,2024-09-07 08:40:11:418,39470,0.2,39015,0.4,77929,0.1,104590,1.50 111,1,2024-09-07 08:40:11:001,301816,301816,0,0,141241055092,1465884751,300036,1447,333,382,391690,0 111,2,2024-09-07 08:40:11:124,214313,214313,0,0,9277368,0,2763 111,3,2024-09-07 08:40:10:917,1,171,0,0,379,2608,171,0 112,0,2024-09-07 08:40:10:917,45766,0.3,45799,0.4,91459,0.2,122195,1.50 112,1,2024-09-07 08:40:10:833,302136,302136,0,0,140363115923,1459143814,299808,1902,426,381,391580,0 112,2,2024-09-07 08:40:11:134,216400,216399,1,0,9213216,0,5036 112,3,2024-09-07 08:40:10:594,1,171,3,0,282,1909,171,0 113,0,2024-09-07 08:40:10:868,44881,0.4,44762,0.5,90259,0.3,120441,1.75 113,1,2024-09-07 08:40:11:695,301808,301808,0,0,141447258500,1464332851,299389,1931,488,366,391661,0 113,2,2024-09-07 08:40:11:303,220940,220940,0,0,8110650,0,3813 113,3,2024-09-07 08:40:10:684,1,171,66,0,340,2817,171,0 114,0,2024-09-07 08:40:10:873,40654,0.6,41281,0.7,81003,0.4,108231,2.00 114,1,2024-09-07 08:40:10:715,300892,300892,0,0,140625263553,1471300379,296761,2668,1463,381,391534,0 114,2,2024-09-07 08:40:10:872,214805,214805,0,0,8400510,0,3925 114,3,2024-09-07 08:40:11:286,1,171,1,0,395,2042,171,0 115,0,2024-09-07 08:40:10:573,39287,0.2,39665,0.4,79313,0.2,104918,1.50 115,1,2024-09-07 08:40:10:574,301288,301288,0,0,140678222644,1466684519,297644,2823,821,382,391602,0 115,2,2024-09-07 08:40:11:125,216150,216150,0,0,7847367,0,2152 115,3,2024-09-07 08:40:11:001,1,171,1,0,159,1093,171,0 116,0,2024-09-07 08:40:11:732,44167,0.5,43610,0.7,87659,0.5,117351,2.00 116,1,2024-09-07 08:40:10:812,298653,298653,0,0,139861518602,1485329671,293736,3159,1758,382,391677,0 116,2,2024-09-07 08:40:11:754,216080,216080,0,0,10989858,0,3529 116,3,2024-09-07 08:40:10:925,1,171,0,0,252,2449,171,0 117,0,2024-09-07 08:40:11:058,46545,1.3,46681,1.0,93336,1.8,124892,2.00 117,1,2024-09-07 08:40:11:583,300530,300530,0,0,139897808818,1466868373,296851,3250,429,370,392033,0 117,2,2024-09-07 08:40:11:124,219283,219283,0,0,8873606,0,3700 117,3,2024-09-07 08:40:11:060,1,171,10,0,490,3410,171,0 118,0,2024-09-07 08:40:11:774,41170,0.9,42407,0.9,86098,1.1,112014,2.25 118,1,2024-09-07 08:40:10:597,300449,300449,0,0,139733909353,1473651108,295594,3531,1324,366,391736,0 118,2,2024-09-07 08:40:11:587,214658,214658,0,0,9887840,0,2781 118,3,2024-09-07 08:40:11:764,1,171,1,0,235,2194,171,0 119,0,2024-09-07 08:40:11:357,40424,0.6,40677,0.8,82100,0.5,108521,2.00 119,1,2024-09-07 08:40:10:575,301211,301211,0,0,141152797684,1472474286,298720,2201,290,369,391641,0 119,2,2024-09-07 08:40:11:268,215228,215228,0,0,9191474,0,3526 119,3,2024-09-07 08:40:11:327,1,171,6,0,443,2961,171,0 120,0,2024-09-07 08:40:11:595,41589,0.4,41581,0.7,83143,0.4,111393,2.00 120,1,2024-09-07 08:40:10:876,301248,301248,0,0,139944101073,1469036156,297946,3039,263,368,391961,0 120,2,2024-09-07 08:40:10:791,215864,215863,1,0,11555526,0,5281 120,3,2024-09-07 08:40:11:296,1,171,10,0,241,2399,171,0 121,0,2024-09-07 08:40:11:712,47461,1.3,47893,1.1,95425,2.0,127092,2.25 121,1,2024-09-07 08:40:11:656,300766,300766,0,0,140299625976,1468151310,297990,2486,290,367,391840,0 121,2,2024-09-07 08:40:11:137,215359,215359,0,0,10478477,0,4127 121,3,2024-09-07 08:40:10:730,1,171,15,0,269,2301,171,0 122,0,2024-09-07 08:40:11:766,44254,1.2,43128,1.1,90304,1.3,119121,2.25 122,1,2024-09-07 08:40:10:865,299803,299803,0,0,140244130769,1473644556,295655,3440,708,366,392130,0 122,2,2024-09-07 08:40:11:319,217292,217292,0,0,11462662,0,3364 122,3,2024-09-07 08:40:10:599,1,171,1,0,411,3839,171,0 123,0,2024-09-07 08:40:10:950,39565,0.8,38534,0.8,80729,0.9,105384,2.00 123,1,2024-09-07 08:40:10:580,300780,300780,0,0,140675190322,1480179748,295704,4382,694,369,391823,0 123,2,2024-09-07 08:40:11:019,213974,213973,1,0,10130588,0,5215 123,3,2024-09-07 08:40:11:132,1,171,1,0,168,2029,171,0 124,0,2024-09-07 08:40:10:966,41022,0.3,40935,0.5,77502,0.2,106468,1.50 124,1,2024-09-07 08:40:11:039,301265,301265,0,0,140668267169,1462034581,299173,1736,356,367,392178,0 124,2,2024-09-07 08:40:11:015,215805,215805,0,0,8580150,0,3101 124,3,2024-09-07 08:40:10:762,1,171,1,0,490,2297,171,0 125,0,2024-09-07 08:40:11:473,45997,0.4,45960,0.5,92046,0.3,122421,1.75 125,1,2024-09-07 08:40:10:863,301222,301222,0,0,140436327929,1466871472,298850,2095,277,384,391702,0 125,2,2024-09-07 08:40:11:125,216377,216377,0,0,8485660,0,2180 125,3,2024-09-07 08:40:11:126,1,171,0,0,284,2149,171,0 126,0,2024-09-07 08:40:11:428,45251,0.7,46411,0.8,88723,0.8,120831,1.75 126,1,2024-09-07 08:40:10:566,301583,301583,0,0,141144423892,1463162201,299943,1562,78,365,391987,0 126,2,2024-09-07 08:40:10:630,220664,220664,0,0,8906727,0,3186 126,3,2024-09-07 08:40:10:910,1,171,6,0,150,2383,171,0 127,0,2024-09-07 08:40:11:635,38992,0.4,39210,0.6,78184,0.4,104018,1.75 127,1,2024-09-07 08:40:10:569,300935,300935,0,0,140663986920,1458034159,298857,2037,41,365,391816,0 127,2,2024-09-07 08:40:10:637,215071,215071,0,0,8325924,0,2264 127,3,2024-09-07 08:40:11:267,1,171,1,0,243,1496,171,0 128,0,2024-09-07 08:40:11:546,39455,0.3,39234,0.4,78653,0.2,104766,1.50 128,1,2024-09-07 08:40:11:611,301658,301658,0,0,140994225066,1459930080,300678,908,72,367,391680,0 128,2,2024-09-07 08:40:11:392,214465,214465,0,0,8284838,0,2107 128,3,2024-09-07 08:40:10:778,1,171,24,0,333,2415,171,0 129,0,2024-09-07 08:40:11:026,45415,0.3,45106,0.4,90178,0.2,120472,1.50 129,1,2024-09-07 08:40:10:568,299694,299694,0,0,139711112660,1463589169,296763,2538,393,379,391835,0 129,2,2024-09-07 08:40:10:686,216373,216373,0,0,8675411,0,4031 129,3,2024-09-07 08:40:10:689,1,171,11,0,173,2006,171,0 130,0,2024-09-07 08:40:11:715,46462,0.7,46223,0.7,92816,0.9,123721,1.75 130,1,2024-09-07 08:40:10:591,301276,301276,0,0,140866411036,1467872897,299161,2043,72,381,391825,0 130,2,2024-09-07 08:40:11:126,219954,219954,0,0,8528174,0,4067 130,3,2024-09-07 08:40:11:297,1,171,4,0,450,2030,171,0 131,0,2024-09-07 08:40:11:928,41052,0.5,41242,0.6,83332,0.6,109866,1.75 131,1,2024-09-07 08:40:11:822,302105,302105,0,0,140509321972,1461650821,300632,1267,206,383,391865,0 131,2,2024-09-07 08:40:10:577,215278,215278,0,0,8070838,0,2415 131,3,2024-09-07 08:40:11:693,1,171,1,0,392,1877,171,0 132,0,2024-09-07 08:40:11:442,40135,0.4,40683,0.7,81308,0.4,107584,1.75 132,1,2024-09-07 08:40:10:599,299957,299957,0,0,139630014768,1476555890,294535,4390,1032,381,392097,0 132,2,2024-09-07 08:40:10:701,214891,214891,0,0,10988421,0,4606 132,3,2024-09-07 08:40:11:690,1,171,0,0,356,3079,171,0 133,0,2024-09-07 08:40:11:564,41749,0.4,42718,0.6,87517,0.3,113717,1.75 133,1,2024-09-07 08:40:10:585,299351,299351,0,0,140206895909,1479671108,294840,3870,641,383,391914,0 133,2,2024-09-07 08:40:11:125,216558,216558,0,0,11100957,0,4315 133,3,2024-09-07 08:40:11:304,1,171,1,0,187,1461,171,0 134,0,2024-09-07 08:40:10:946,47570,0.5,47558,0.7,95679,0.5,126831,2.00 134,1,2024-09-07 08:40:10:588,300275,300275,0,0,140253737468,1471927856,295882,3168,1225,366,391718,0 134,2,2024-09-07 08:40:11:756,216691,216691,0,0,9411855,0,3096 134,3,2024-09-07 08:40:10:757,1,171,1,0,739,3034,171,0 135,0,2024-09-07 08:40:11:103,42082,1.5,42056,1.3,89193,1.7,114867,2.50 135,1,2024-09-07 08:40:11:601,299894,299894,0,0,140407282677,1477534246,295700,3490,704,380,391805,0 135,2,2024-09-07 08:40:10:693,216375,216375,0,0,10131509,0,3981 135,3,2024-09-07 08:40:11:010,1,171,1,0,89,993,171,0 136,0,2024-09-07 08:40:11:630,40381,0.5,40348,0.7,80996,0.5,107764,2.00 136,1,2024-09-07 08:40:11:452,300098,300098,0,0,140061810349,1468541564,296742,3182,174,383,391641,0 136,2,2024-09-07 08:40:11:136,216628,216628,0,0,9811342,0,3506 136,3,2024-09-07 08:40:11:114,1,171,3,0,108,1356,171,0 137,0,2024-09-07 08:40:10:939,41962,0.4,40723,0.6,80364,0.3,108943,1.75 137,1,2024-09-07 08:40:10:580,300468,300468,0,0,140002351114,1465920838,296326,3721,421,366,391708,0 137,2,2024-09-07 08:40:11:714,215737,215737,0,0,11202210,0,3185 137,3,2024-09-07 08:40:10:781,1,171,2,1,227,1948,171,0 138,0,2024-09-07 08:40:11:749,46399,1.2,46412,1.0,93478,1.6,125256,2.25 138,1,2024-09-07 08:40:11:685,300695,300695,0,0,140862849813,1472326565,297608,2734,353,368,391954,0 138,2,2024-09-07 08:40:10:595,216242,216242,0,0,9215813,0,3263 138,3,2024-09-07 08:40:10:623,1,171,2,0,1160,3217,171,0 139,0,2024-09-07 08:40:11:380,43688,2.9,43901,1.7,88466,4.1,118545,3.00 139,1,2024-09-07 08:40:10:573,299173,299173,0,0,139271894465,1478109394,293891,3958,1324,381,391892,0 139,2,2024-09-07 08:40:10:697,217383,217383,0,0,10431395,0,3097 139,3,2024-09-07 08:40:11:662,1,171,2,0,244,1891,171,0 140,0,2024-09-07 08:40:11:607,39491,0.4,39110,0.5,78787,0.3,104415,1.75 140,1,2024-09-07 08:40:11:552,301993,301993,0,0,140912180831,1453543453,300473,1280,240,365,391606,0 140,2,2024-09-07 08:40:10:687,215736,215736,0,0,8825196,0,3388 140,3,2024-09-07 08:40:10:771,1,171,1,0,247,1471,171,0 141,0,2024-09-07 08:40:11:702,39084,0.2,40230,0.4,76975,0.1,104568,1.50 141,1,2024-09-07 08:40:10:865,301673,301673,0,0,141443002214,1468002909,299720,1600,353,379,391614,0 141,2,2024-09-07 08:40:11:687,215041,215041,0,0,8311841,0,2342 141,3,2024-09-07 08:40:11:045,1,171,0,0,147,1351,171,0 142,0,2024-09-07 08:40:11:324,46232,0.3,45994,0.5,91405,0.2,122498,1.50 142,1,2024-09-07 08:40:10:587,301064,301064,0,0,140408023024,1463287301,299515,1390,159,383,391728,0 142,2,2024-09-07 08:40:11:300,215112,215080,32,0,9754493,0,6028 142,3,2024-09-07 08:40:11:747,1,171,1,0,484,2353,171,0 143,0,2024-09-07 08:40:11:393,45112,0.7,45072,0.7,90793,0.7,120292,2.00 143,1,2024-09-07 08:40:10:605,301543,301543,0,0,140587390406,1459221991,299417,2082,44,367,391619,0 143,2,2024-09-07 08:40:11:059,219887,219887,0,0,8956845,0,2669 143,3,2024-09-07 08:40:11:144,1,171,3,0,303,2678,171,0 144,0,2024-09-07 08:40:11:507,38825,0.8,40007,1.3,81260,0.8,106716,2.25 144,1,2024-09-07 08:40:10:589,299993,299993,0,0,139491531409,1462928470,297590,2199,204,381,391649,0 144,2,2024-09-07 08:40:11:755,214994,214994,0,0,8657273,0,3473 144,3,2024-09-07 08:40:11:739,1,171,3,0,249,2126,171,0 145,0,2024-09-07 08:40:11:374,38123,0.5,38093,0.7,80872,0.4,104406,2.00 145,1,2024-09-07 08:40:10:574,299072,299072,0,0,139795626326,1473604069,294672,3663,737,383,391615,0 145,2,2024-09-07 08:40:11:429,214726,214726,0,0,9628827,0,3903 145,3,2024-09-07 08:40:10:900,1,171,1,0,151,1885,171,0 146,0,2024-09-07 08:40:11:597,43827,0.4,43464,0.6,87783,0.3,117063,2.00 146,1,2024-09-07 08:40:11:589,301063,301063,0,0,140369433237,1472410803,296538,3665,860,368,391629,0 146,2,2024-09-07 08:40:11:695,216953,216953,0,0,9550109,0,2498 146,3,2024-09-07 08:40:11:288,1,171,3,0,1520,5222,171,0 147,0,2024-09-07 08:40:11:713,46675,0.8,46629,0.9,92715,0.8,124548,2.25 147,1,2024-09-07 08:40:11:376,302002,302002,0,0,140608827515,1464692121,298893,2611,498,368,391791,0 147,2,2024-09-07 08:40:11:015,219333,219333,0,0,8780353,0,2789 147,3,2024-09-07 08:40:10:918,1,171,5,0,371,2048,171,0 0,0,2024-09-07 08:40:21:730,40843,0.4,40838,0.6,86504,0.3,112248,1.75 0,1,2024-09-07 08:40:20:801,302488,302488,0,0,141741475086,1482219474,300519,1832,137,372,391772,0 0,2,2024-09-07 08:40:21:074,217884,217884,0,0,9047112,0,4480 0,3,2024-09-07 08:40:20:997,1,172,2,0,247,2206,172,0 1,0,2024-09-07 08:40:21:759,48075,1.2,47705,1.1,95664,1.6,127653,2.25 1,1,2024-09-07 08:40:20:564,302289,302289,0,0,140734777976,1476890153,298844,2578,867,371,391857,0 1,2,2024-09-07 08:40:20:658,216686,216686,0,0,8236182,0,3267 1,3,2024-09-07 08:40:21:302,1,172,1,0,262,2037,172,0 2,0,2024-09-07 08:40:21:586,44541,1.1,44861,1.0,89005,1.5,118964,2.25 2,1,2024-09-07 08:40:20:864,302361,302361,0,0,141738768545,1472981639,300851,1304,206,380,391745,0 2,2,2024-09-07 08:40:21:272,217810,217810,0,0,8923008,0,3594 2,3,2024-09-07 08:40:20:694,1,172,11,0,357,1717,172,0 3,0,2024-09-07 08:40:21:754,39401,0.5,39746,0.7,79261,0.4,105345,2.00 3,1,2024-09-07 08:40:21:618,302063,302063,0,0,140698813045,1468495574,298953,2686,424,380,391516,0 3,2,2024-09-07 08:40:21:142,217248,217225,23,0,8962034,0,5851 3,3,2024-09-07 08:40:21:775,1,172,9,0,103,1133,172,0 4,0,2024-09-07 08:40:21:786,38972,0.3,40175,0.4,81604,0.2,107471,1.50 4,1,2024-09-07 08:40:20:592,302811,302811,0,0,140697455399,1477357901,299822,2466,523,371,391846,0 4,2,2024-09-07 08:40:21:024,215866,215866,0,0,10615222,0,4528 4,3,2024-09-07 08:40:21:026,1,172,1,0,287,2270,172,0 5,0,2024-09-07 08:40:21:402,46221,0.4,46154,0.6,92264,0.4,122853,1.75 5,1,2024-09-07 08:40:20:758,301876,301876,0,0,141049121573,1485261824,297666,3222,988,368,392005,0 5,2,2024-09-07 08:40:21:829,215767,215767,0,0,9151995,0,2259 5,3,2024-09-07 08:40:21:732,1,172,6,0,238,2478,172,0 6,0,2024-09-07 08:40:20:917,45820,0.9,45357,1.0,90207,1.2,121460,2.25 6,1,2024-09-07 08:40:20:746,302810,302810,0,0,140976355590,1475576831,299410,2752,648,381,391617,0 6,2,2024-09-07 08:40:21:120,220856,220856,0,0,9355178,0,4816 6,3,2024-09-07 08:40:21:274,1,172,2,0,340,2349,172,0 7,0,2024-09-07 08:40:21:537,38803,0.5,38708,0.7,77560,0.4,103430,2.00 7,1,2024-09-07 08:40:20:859,302682,302682,0,0,141588689403,1481137730,299861,2689,132,382,391664,0 7,2,2024-09-07 08:40:20:775,216047,216047,0,0,8527202,0,2981 7,3,2024-09-07 08:40:20:853,1,172,1,0,305,1724,172,0 8,0,2024-09-07 08:40:21:347,39470,0.3,39578,0.4,78919,0.2,105471,1.50 8,1,2024-09-07 08:40:21:034,301817,301817,0,0,141113916656,1489606379,296202,4014,1601,367,391956,0 8,2,2024-09-07 08:40:20:799,213052,213052,0,0,10456869,0,2986 8,3,2024-09-07 08:40:20:590,1,172,2,0,357,2672,172,0 9,0,2024-09-07 08:40:21:105,45634,0.3,44256,0.5,92383,0.3,121777,1.50 9,1,2024-09-07 08:40:20:554,301786,301786,0,0,141876694746,1496180428,297219,3435,1132,370,391753,0 9,2,2024-09-07 08:40:21:086,217361,217361,0,0,9744109,0,3360 9,3,2024-09-07 08:40:21:753,1,172,2,0,496,2772,172,0 10,0,2024-09-07 08:40:21:600,46570,0.4,46189,0.6,92956,0.3,123317,1.75 10,1,2024-09-07 08:40:20:591,302213,302213,0,0,140767750456,1477092932,297738,3832,643,381,391741,0 10,2,2024-09-07 08:40:20:762,220790,220790,0,0,9895115,0,2940 10,3,2024-09-07 08:40:20:877,1,172,0,0,177,1246,172,0 11,0,2024-09-07 08:40:21:019,40535,0.7,39454,0.9,82735,0.7,109902,2.25 11,1,2024-09-07 08:40:20:579,302720,302720,0,0,141113061312,1483818968,297403,4047,1270,384,391537,0 11,2,2024-09-07 08:40:21:133,215870,215870,0,0,9543274,0,3411 11,3,2024-09-07 08:40:21:302,1,172,6,0,720,2884,172,0 12,0,2024-09-07 08:40:21:024,40866,0.3,40531,0.5,81364,0.2,107553,1.50 12,1,2024-09-07 08:40:20:948,302360,302360,0,0,140827910502,1471510185,299459,2486,415,370,391837,0 12,2,2024-09-07 08:40:21:551,217522,217522,0,0,9877256,0,3469 12,3,2024-09-07 08:40:21:076,1,172,2,0,358,2764,172,0 13,0,2024-09-07 08:40:21:367,43270,0.3,43248,0.5,86430,0.3,115264,1.75 13,1,2024-09-07 08:40:21:578,302164,302164,0,0,141142242192,1480858724,299731,2023,410,382,391717,0 13,2,2024-09-07 08:40:20:608,219283,219283,0,0,9084730,0,3287 13,3,2024-09-07 08:40:21:770,1,172,9,0,467,3339,172,0 14,0,2024-09-07 08:40:20:562,48012,0.4,48275,0.6,95666,0.4,127058,1.75 14,1,2024-09-07 08:40:21:561,304112,304112,0,0,141908593934,1471463083,301845,2104,163,364,391571,0 14,2,2024-09-07 08:40:20:775,218399,218399,0,0,9220597,0,2896 14,3,2024-09-07 08:40:21:118,1,172,1,0,1168,2960,172,0 15,0,2024-09-07 08:40:21:560,43401,0.8,43470,1.0,87119,1.0,115921,2.50 15,1,2024-09-07 08:40:21:610,302077,302077,0,0,141321912938,1470384278,300159,1808,110,381,391619,0 15,2,2024-09-07 08:40:21:002,217742,217742,0,0,7657689,0,3043 15,3,2024-09-07 08:40:21:408,1,172,3,0,1126,4549,172,0 16,0,2024-09-07 08:40:20:945,40691,0.5,40884,0.7,81135,0.4,108664,2.00 16,1,2024-09-07 08:40:20:623,302942,302942,0,0,141077992709,1474746110,300705,1975,262,370,391756,0 16,2,2024-09-07 08:40:21:439,216625,216625,0,0,10117364,0,4719 16,3,2024-09-07 08:40:21:159,1,172,2,0,231,2320,172,0 17,0,2024-09-07 08:40:21:776,42328,0.4,41331,0.6,81045,0.3,109720,1.75 17,1,2024-09-07 08:40:20:568,301737,301737,0,0,140640266671,1478052618,298373,2691,673,368,391688,0 17,2,2024-09-07 08:40:21:669,219400,219400,0,0,8996906,0,2857 17,3,2024-09-07 08:40:20:599,1,172,7,0,268,2514,172,0 18,0,2024-09-07 08:40:20:942,46672,0.8,46960,0.9,93877,0.9,125549,2.50 18,1,2024-09-07 08:40:21:644,302674,302674,0,0,141441833895,1467655844,300663,1777,234,367,391564,0 18,2,2024-09-07 08:40:21:759,217249,217249,0,0,8900410,0,3541 18,3,2024-09-07 08:40:20:897,1,172,1,0,163,1865,172,0 19,0,2024-09-07 08:40:21:542,44636,1.0,45064,1.0,88860,1.2,118666,2.50 19,1,2024-09-07 08:40:20:566,302610,302610,0,0,141842092149,1477960290,298795,3099,716,367,391777,0 19,2,2024-09-07 08:40:21:753,220622,220622,0,0,8138330,0,3988 19,3,2024-09-07 08:40:21:132,1,172,3,0,524,1516,172,0 20,0,2024-09-07 08:40:21:437,39189,0.6,39116,0.7,78528,0.6,104433,2.25 20,1,2024-09-07 08:40:20:567,301603,301603,0,0,141026809900,1478915192,298131,3081,391,369,391822,0 20,2,2024-09-07 08:40:20:933,216675,216675,0,0,9223403,0,3721 20,3,2024-09-07 08:40:20:591,1,172,1,0,414,2970,172,0 21,0,2024-09-07 08:40:21:152,39690,0.3,39748,0.5,79108,0.3,104788,1.75 21,1,2024-09-07 08:40:21:545,301956,301956,0,0,140452951342,1478179512,298278,3144,534,368,391962,0 21,2,2024-09-07 08:40:21:072,216103,216103,0,0,10117764,0,3747 21,3,2024-09-07 08:40:21:411,1,172,3,0,103,2028,172,0 22,0,2024-09-07 08:40:21:729,46009,0.5,46063,0.7,91687,0.5,122452,2.00 22,1,2024-09-07 08:40:21:023,301625,301625,0,0,140870581297,1485790480,296081,4035,1509,382,391667,0 22,2,2024-09-07 08:40:20:761,216926,216926,0,0,8612695,0,3134 22,3,2024-09-07 08:40:21:072,1,172,1,0,228,1834,172,0 23,0,2024-09-07 08:40:21:368,44931,0.9,44923,0.9,90271,1.1,120416,2.50 23,1,2024-09-07 08:40:21:004,302650,302650,0,0,141983953017,1484383902,298552,2616,1482,365,391549,0 23,2,2024-09-07 08:40:21:091,220606,220606,0,0,8914654,0,3010 23,3,2024-09-07 08:40:21:754,1,172,1,0,645,1819,172,0 24,0,2024-09-07 08:40:20:896,40859,0.5,40860,0.6,81717,0.5,108163,1.75 24,1,2024-09-07 08:40:20:598,302602,302602,0,0,140268194399,1467950804,299636,2167,799,369,391640,0 24,2,2024-09-07 08:40:21:075,215142,215142,0,0,10252872,0,2942 24,3,2024-09-07 08:40:21:685,1,172,2,0,234,1892,172,0 25,0,2024-09-07 08:40:21:393,40596,0.3,39745,0.5,77675,0.3,105500,1.75 25,1,2024-09-07 08:40:20:560,302151,302151,0,0,140846210365,1478168220,298454,3154,543,371,391788,0 25,2,2024-09-07 08:40:21:614,215254,215254,0,0,10608646,0,3978 25,3,2024-09-07 08:40:21:000,1,172,5,0,255,2110,172,0 26,0,2024-09-07 08:40:21:731,44187,0.3,43252,0.5,90506,0.2,118736,1.75 26,1,2024-09-07 08:40:21:544,302835,302835,0,0,141362849661,1483552637,298169,3765,901,381,391748,0 26,2,2024-09-07 08:40:20:869,218836,218836,0,0,9825391,0,2809 26,3,2024-09-07 08:40:21:716,1,172,1,0,796,2262,172,0 27,0,2024-09-07 08:40:21:727,47136,0.5,47108,0.7,93488,0.5,124565,2.00 27,1,2024-09-07 08:40:21:675,303974,303974,0,0,141792410141,1472307967,302154,1497,323,381,391558,0 27,2,2024-09-07 08:40:20:873,218444,218444,0,0,10224665,0,3409 27,3,2024-09-07 08:40:21:015,1,172,0,0,564,1980,172,0 28,0,2024-09-07 08:40:21:389,42136,0.8,42235,0.8,85017,1.0,112981,2.25 28,1,2024-09-07 08:40:20:802,303286,303286,0,0,142188062813,1481620009,301116,1833,337,383,391646,0 28,2,2024-09-07 08:40:21:770,216734,216734,0,0,8132618,0,2915 28,3,2024-09-07 08:40:21:777,1,172,17,0,502,2095,172,0 29,0,2024-09-07 08:40:21:369,41927,0.3,41062,0.5,80131,0.2,109635,1.75 29,1,2024-09-07 08:40:21:570,304041,304041,0,0,141448171584,1465944278,301608,1890,543,369,391621,0 29,2,2024-09-07 08:40:20:869,216509,216509,0,0,8006371,0,4018 29,3,2024-09-07 08:40:20:964,1,172,1,0,115,1398,172,0 30,0,2024-09-07 08:40:21:465,42027,0.4,40920,0.6,85415,0.4,112692,2.00 30,1,2024-09-07 08:40:20:593,303356,303356,0,0,141585411510,1470402512,301359,1719,278,382,391672,0 30,2,2024-09-07 08:40:21:308,218830,218830,0,0,8046365,0,3161 30,3,2024-09-07 08:40:20:589,1,172,2,0,195,1231,172,0 31,0,2024-09-07 08:40:21:762,47374,0.5,47864,0.7,96130,0.5,127336,2.00 31,1,2024-09-07 08:40:20:566,304204,304204,0,0,142384275131,1458737124,303401,691,112,356,391712,0 31,2,2024-09-07 08:40:21:283,217438,217438,0,0,9693934,0,3525 31,3,2024-09-07 08:40:21:714,1,172,10,0,220,1494,172,0 32,0,2024-09-07 08:40:21:420,44514,0.5,45021,0.6,90067,0.4,119696,1.75 32,1,2024-09-07 08:40:20:809,303297,303297,0,0,141962236170,1473850222,301553,1469,275,382,391595,0 32,2,2024-09-07 08:40:20:935,218449,218449,0,0,7951981,0,3155 32,3,2024-09-07 08:40:21:015,1,172,1,0,227,1483,172,0 33,0,2024-09-07 08:40:21:498,40170,0.3,39526,0.5,79787,0.2,106287,1.75 33,1,2024-09-07 08:40:20:590,303982,303982,0,0,142147024993,1471651734,301722,2088,172,369,391730,0 33,2,2024-09-07 08:40:20:762,217247,217214,33,0,9881011,0,7012 33,3,2024-09-07 08:40:20:895,1,172,1,0,329,1981,172,0 34,0,2024-09-07 08:40:20:932,40333,0.3,41588,0.4,79652,0.2,107656,1.50 34,1,2024-09-07 08:40:21:053,304018,304018,0,0,142048787405,1462804448,303020,992,6,367,391562,0 34,2,2024-09-07 08:40:20:772,216267,216267,0,0,8872981,0,3577 34,3,2024-09-07 08:40:21:688,1,172,1,0,148,1076,172,0 35,0,2024-09-07 08:40:20:872,45768,0.4,46170,0.5,92500,0.3,123251,1.75 35,1,2024-09-07 08:40:21:073,302574,302574,0,0,141091528284,1466322962,299751,2053,770,384,391589,0 35,2,2024-09-07 08:40:21:583,217138,217138,0,0,8087285,0,2653 35,3,2024-09-07 08:40:20:911,1,172,1,0,418,1988,172,0 36,0,2024-09-07 08:40:21:518,45474,0.9,45266,1.0,90999,1.1,121100,2.50 36,1,2024-09-07 08:40:20:597,302878,302878,0,0,140974814470,1476479557,298255,3334,1289,366,391759,0 36,2,2024-09-07 08:40:21:755,219962,219962,0,0,9494185,0,3303 36,3,2024-09-07 08:40:20:869,1,172,2,0,378,2325,172,0 37,0,2024-09-07 08:40:21:373,38863,0.5,38878,0.7,77627,0.4,104364,2.00 37,1,2024-09-07 08:40:20:569,301864,301857,0,7,140734816068,1478866349,297084,2754,2019,365,391560,0 37,2,2024-09-07 08:40:21:145,216220,216205,15,0,9555172,0,5815 37,3,2024-09-07 08:40:21:766,1,172,0,0,724,2496,172,0 38,0,2024-09-07 08:40:21:441,39349,0.4,38201,0.6,79755,0.3,105036,1.75 38,1,2024-09-07 08:40:21:609,303076,303076,0,0,141060765466,1474208764,299035,3409,632,368,391821,0 38,2,2024-09-07 08:40:20:760,216096,216049,47,0,11133224,0,6710 38,3,2024-09-07 08:40:21:009,1,172,13,0,603,2216,172,0 39,0,2024-09-07 08:40:21:771,46719,0.5,45792,0.6,89036,0.5,122246,2.00 39,1,2024-09-07 08:40:20:718,302829,302829,0,0,141269347503,1473147732,299041,2987,801,365,391524,0 39,2,2024-09-07 08:40:21:431,217751,217751,0,0,8280570,0,2689 39,3,2024-09-07 08:40:20:712,1,172,0,0,276,2108,172,0 40,0,2024-09-07 08:40:21:492,45692,0.9,46392,1.1,92439,1.0,122787,3.00 40,1,2024-09-07 08:40:20:578,302858,302858,0,0,140343882086,1469689409,298443,3646,769,368,391591,0 40,2,2024-09-07 08:40:21:303,219874,219873,1,0,10881441,0,5137 40,3,2024-09-07 08:40:21:151,1,172,17,0,181,1821,172,0 41,0,2024-09-07 08:40:21:054,40575,1.9,41307,1.6,79292,3.2,108488,4.00 41,1,2024-09-07 08:40:20:770,302247,302247,0,0,141589453104,1481437219,298052,3661,534,370,391742,0 41,2,2024-09-07 08:40:20:761,214548,214548,0,0,10383530,0,3356 41,3,2024-09-07 08:40:21:675,1,172,1,0,366,2009,172,0 42,0,2024-09-07 08:40:21:485,39892,0.5,40281,0.8,80319,0.4,105756,2.25 42,1,2024-09-07 08:40:21:445,301401,301401,0,0,140915527687,1481526697,296684,3759,958,380,391675,0 42,2,2024-09-07 08:40:21:137,215767,215767,0,0,10120065,0,3568 42,3,2024-09-07 08:40:21:016,1,172,1,0,446,1447,172,0 43,0,2024-09-07 08:40:20:918,43048,0.6,41966,0.8,87708,0.5,115473,2.00 43,1,2024-09-07 08:40:20:599,302600,302600,0,0,141285096086,1472847835,299262,2545,793,366,391604,0 43,2,2024-09-07 08:40:21:736,218933,218933,0,0,9487075,0,3812 43,3,2024-09-07 08:40:21:755,1,172,5,0,325,2161,172,0 44,0,2024-09-07 08:40:20:865,47797,0.5,48138,0.7,95793,0.5,127687,1.75 44,1,2024-09-07 08:40:20:564,303628,303628,0,0,141987742445,1467136637,301567,1636,425,356,391809,0 44,2,2024-09-07 08:40:21:270,217823,217823,0,0,7860172,0,1877 44,3,2024-09-07 08:40:21:093,1,172,1,0,817,2123,172,0 45,0,2024-09-07 08:40:21:762,42907,1.1,42125,1.1,88297,1.3,116529,2.25 45,1,2024-09-07 08:40:21:007,303190,303190,0,0,142283599575,1474357353,302149,1031,10,382,391917,0 45,2,2024-09-07 08:40:21:270,217604,217604,0,0,8529487,0,3596 45,3,2024-09-07 08:40:20:934,1,172,1,0,226,1763,172,0 46,0,2024-09-07 08:40:20:954,40525,0.3,40415,0.6,81044,0.2,107786,1.75 46,1,2024-09-07 08:40:20:575,303897,303897,0,0,141295957048,1460933629,302088,1586,223,366,391524,0 46,2,2024-09-07 08:40:20:598,217734,217734,0,0,8518702,0,2920 46,3,2024-09-07 08:40:21:136,1,172,1,0,908,3043,172,0 47,0,2024-09-07 08:40:21:108,41149,0.3,41452,0.5,83086,0.2,109506,1.75 47,1,2024-09-07 08:40:20:568,304258,304258,0,0,141649201557,1461799194,302853,1388,17,366,391605,0 47,2,2024-09-07 08:40:20:912,218740,218740,0,0,8605178,0,2558 47,3,2024-09-07 08:40:21:118,1,172,1,0,529,1911,172,0 48,0,2024-09-07 08:40:21:494,47709,0.3,47314,0.4,94665,0.2,126907,1.50 48,1,2024-09-07 08:40:21:028,303863,303863,0,0,141595334770,1471151290,301801,1881,181,384,391710,0 48,2,2024-09-07 08:40:20:699,217178,217178,0,0,7381032,0,2083 48,3,2024-09-07 08:40:20:757,1,172,1,0,339,1484,172,0 49,0,2024-09-07 08:40:21:718,46272,0.5,45399,0.6,88126,0.5,120657,1.75 49,1,2024-09-07 08:40:21:031,302409,302409,0,0,141706385307,1477193317,299659,1910,840,382,391583,0 49,2,2024-09-07 08:40:21:797,220392,220392,0,0,8449751,0,3900 49,3,2024-09-07 08:40:21:430,1,172,1,0,408,2432,172,0 50,0,2024-09-07 08:40:21:513,39382,0.3,38981,0.5,78106,0.2,104427,1.75 50,1,2024-09-07 08:40:21:012,304539,304539,0,0,142049168441,1472541423,302010,2220,309,368,391530,0 50,2,2024-09-07 08:40:21:067,216883,216883,0,0,8044258,0,2253 50,3,2024-09-07 08:40:21:298,1,172,3,0,335,1377,172,0 51,0,2024-09-07 08:40:21:739,40328,0.2,39758,0.4,77340,0.2,105275,1.50 51,1,2024-09-07 08:40:21:686,303178,303178,0,0,143171596300,1479471609,301208,1184,786,365,391637,0 51,2,2024-09-07 08:40:21:317,216493,216493,0,0,7508360,0,3337 51,3,2024-09-07 08:40:21:027,1,172,3,0,162,940,172,0 52,0,2024-09-07 08:40:21:418,46421,0.5,46202,0.6,92489,0.4,122962,2.00 52,1,2024-09-07 08:40:20:576,302292,302292,0,0,140439822217,1478896214,296376,4757,1159,368,391722,0 52,2,2024-09-07 08:40:21:759,215606,215568,38,0,10117287,0,6742 52,3,2024-09-07 08:40:20:677,1,172,1,0,1782,3544,172,0 53,0,2024-09-07 08:40:21:739,44922,1.0,43592,1.0,91220,1.2,119597,2.75 53,1,2024-09-07 08:40:20:770,301869,301869,0,0,141000806118,1485767995,296237,3738,1894,367,391702,0 53,2,2024-09-07 08:40:21:298,221272,221272,0,0,8495345,0,2262 53,3,2024-09-07 08:40:20:698,1,172,1,0,271,1651,172,0 54,0,2024-09-07 08:40:21:617,39166,1.9,39762,1.2,78741,0.9,105377,3.75 54,1,2024-09-07 08:40:20:592,302621,302621,0,0,141660779072,1477599587,298649,3401,571,367,391659,0 54,2,2024-09-07 08:40:20:869,215833,215827,6,0,10004111,0,5382 54,3,2024-09-07 08:40:20:764,1,172,2,0,676,3203,172,0 55,0,2024-09-07 08:40:21:776,38165,0.4,39421,0.7,79764,0.3,103380,2.25 55,1,2024-09-07 08:40:20:765,302358,302358,0,0,141204117432,1476812956,297168,4403,787,365,391731,0 55,2,2024-09-07 08:40:20:735,215476,215476,0,0,9557710,0,3275 55,3,2024-09-07 08:40:20:678,1,172,2,0,304,1730,172,0 56,0,2024-09-07 08:40:21:570,45570,1.0,43028,1.0,88444,1.2,119086,2.25 56,1,2024-09-07 08:40:20:578,301844,301844,0,0,141493201330,1496244761,296676,4262,906,381,391678,0 56,2,2024-09-07 08:40:21:303,217676,217676,0,0,10645944,0,3567 56,3,2024-09-07 08:40:21:062,1,172,1,0,405,2346,172,0 57,0,2024-09-07 08:40:20:940,46053,2.3,46138,1.5,92289,3.3,123468,3.75 57,1,2024-09-07 08:40:21:003,302709,302709,0,0,140494348931,1470256447,299870,2669,170,368,391960,0 57,2,2024-09-07 08:40:21:331,220142,220142,0,0,10752458,0,3178 57,3,2024-09-07 08:40:21:742,1,172,1,0,359,2781,172,0 58,0,2024-09-07 08:40:20:592,41171,1.1,40098,1.1,83935,1.4,109667,2.75 58,1,2024-09-07 08:40:20:578,303338,303335,0,3,141961083644,1481423467,299816,3121,398,367,391517,3 58,2,2024-09-07 08:40:21:076,216142,216142,0,0,9724784,0,2549 58,3,2024-09-07 08:40:21:073,1,172,1,0,1043,2355,172,0 59,0,2024-09-07 08:40:21:749,41100,0.7,40790,0.9,81408,0.7,108450,2.75 59,1,2024-09-07 08:40:20:826,302468,302468,0,0,141783201609,1481974286,298818,2728,922,369,391515,0 59,2,2024-09-07 08:40:20:593,217615,217615,0,0,8665216,0,2604 59,3,2024-09-07 08:40:21:742,1,172,1,0,1015,2905,172,0 60,0,2024-09-07 08:40:21:741,42421,0.4,42316,0.6,84476,0.3,112842,1.75 60,1,2024-09-07 08:40:20:785,303816,303816,0,0,142204225034,1473519392,302355,1103,358,370,391761,0 60,2,2024-09-07 08:40:21:146,218194,218194,0,0,9855123,0,3811 60,3,2024-09-07 08:40:21:265,1,172,12,0,124,1668,172,0 61,0,2024-09-07 08:40:21:496,47812,1.1,47992,1.0,95735,1.6,127579,2.00 61,1,2024-09-07 08:40:20:775,302441,302441,0,0,141411286858,1482074631,298996,2892,553,382,391589,0 61,2,2024-09-07 08:40:21:123,218735,218735,0,0,8703718,0,2079 61,3,2024-09-07 08:40:21:688,1,172,2,0,199,1966,172,0 62,0,2024-09-07 08:40:21:713,45003,1.3,45959,1.0,87636,1.7,119482,2.25 62,1,2024-09-07 08:40:21:115,304653,304647,0,6,142525079731,1470028585,302968,1640,39,365,391715,6 62,2,2024-09-07 08:40:21:650,217070,217069,1,0,10179732,0,5555 62,3,2024-09-07 08:40:21:146,1,172,0,0,287,1191,172,0 63,0,2024-09-07 08:40:21:488,39741,0.5,39678,0.7,79469,0.5,105980,2.00 63,1,2024-09-07 08:40:20:808,303765,303759,0,6,141840246583,1469023130,302516,1217,26,381,391677,6 63,2,2024-09-07 08:40:20:763,217020,217020,0,0,8123177,0,2674 63,3,2024-09-07 08:40:21:732,1,172,1,0,667,2428,172,0 64,0,2024-09-07 08:40:21:524,40097,0.4,40079,0.6,80404,0.3,107262,1.75 64,1,2024-09-07 08:40:20:750,303263,303263,0,0,141007474607,1473273454,299791,2417,1055,370,391783,0 64,2,2024-09-07 08:40:21:155,218626,218607,19,0,8803612,0,6121 64,3,2024-09-07 08:40:21:141,1,172,2,0,265,1856,172,0 65,0,2024-09-07 08:40:21:691,45452,0.7,45935,0.8,91640,0.7,122016,2.25 65,1,2024-09-07 08:40:20:875,302253,302253,0,0,140379092911,1468962115,299731,2298,224,382,391770,0 65,2,2024-09-07 08:40:21:695,216728,216728,0,0,9251414,0,3367 65,3,2024-09-07 08:40:21:686,1,172,19,0,163,1712,172,0 66,0,2024-09-07 08:40:21:771,45006,0.7,44988,0.9,90462,0.7,120170,2.50 66,1,2024-09-07 08:40:21:294,304003,304003,0,0,141655556170,1472209200,302128,1704,171,380,391588,0 66,2,2024-09-07 08:40:21:144,222232,222232,0,0,8699162,0,4956 66,3,2024-09-07 08:40:21:096,1,172,0,0,291,1737,172,0 67,0,2024-09-07 08:40:21:444,39410,0.8,39146,0.9,78541,0.9,104421,2.25 67,1,2024-09-07 08:40:20:770,302416,302415,0,1,141072948187,1475068948,298946,2722,747,381,391787,1 67,2,2024-09-07 08:40:20:591,217375,217375,0,0,8239331,0,2889 67,3,2024-09-07 08:40:21:758,1,172,2,0,138,1311,172,0 68,0,2024-09-07 08:40:20:567,39689,0.4,39496,0.7,79034,0.3,105343,2.00 68,1,2024-09-07 08:40:20:579,302027,302027,0,0,141196154407,1480675078,299166,1841,1020,381,391953,0 68,2,2024-09-07 08:40:21:052,215062,214997,65,0,11481431,0,6698 68,3,2024-09-07 08:40:20:739,1,172,1,0,417,2105,172,0 69,0,2024-09-07 08:40:21:732,45497,0.6,45694,0.8,90649,0.6,121610,2.00 69,1,2024-09-07 08:40:21:016,301308,301308,0,0,141112940040,1490109746,297296,2797,1215,384,391994,0 69,2,2024-09-07 08:40:21:733,217935,217935,0,0,10127578,0,3701 69,3,2024-09-07 08:40:20:769,1,172,0,0,238,2067,172,0 70,0,2024-09-07 08:40:21:546,45686,1.8,45930,1.4,92153,1.2,122291,2.75 70,1,2024-09-07 08:40:20:802,302796,302796,0,0,141305535953,1467582588,300338,1996,462,366,391725,0 70,2,2024-09-07 08:40:21:328,220757,220757,0,0,9278447,0,4044 70,3,2024-09-07 08:40:20:744,1,172,1,0,854,2213,172,0 71,0,2024-09-07 08:40:21:416,40471,1.9,40494,1.6,80850,2.8,108618,3.50 71,1,2024-09-07 08:40:21:609,303008,303008,0,0,141563368663,1480294927,298891,3592,525,368,391682,0 71,2,2024-09-07 08:40:21:073,216625,216625,0,0,9251302,0,2470 71,3,2024-09-07 08:40:21:755,1,172,13,0,644,2602,172,0 72,0,2024-09-07 08:40:21:028,41797,0.4,40900,0.7,79751,0.3,107996,2.00 72,1,2024-09-07 08:40:21:025,302423,302423,0,0,140899873608,1470463567,299156,2781,486,369,391819,0 72,2,2024-09-07 08:40:21:759,215555,215555,0,0,10919574,0,2570 72,3,2024-09-07 08:40:21:754,1,172,1,0,364,3284,172,0 73,0,2024-09-07 08:40:21:110,42091,0.4,43175,0.6,88312,0.3,114874,2.00 73,1,2024-09-07 08:40:20:770,302658,302658,0,0,141257889198,1465374090,300851,1692,115,367,391750,0 73,2,2024-09-07 08:40:21:743,218778,218778,0,0,10227398,0,3482 73,3,2024-09-07 08:40:20:970,1,172,1,0,274,2617,172,0 74,0,2024-09-07 08:40:21:335,48231,0.5,49182,0.7,93762,0.5,127120,2.25 74,1,2024-09-07 08:40:20:639,302456,302456,0,0,140645868560,1467452651,299663,2171,622,382,391681,0 74,2,2024-09-07 08:40:21:011,218250,218250,0,0,10223549,0,4253 74,3,2024-09-07 08:40:21:452,1,172,12,0,522,2789,172,0 75,0,2024-09-07 08:40:21:782,43792,1.2,43455,1.1,87076,1.3,116911,2.75 75,1,2024-09-07 08:40:21:587,302289,302289,0,0,140842205180,1474360470,298892,2937,460,381,391579,0 75,2,2024-09-07 08:40:21:365,216615,216615,0,0,9817580,0,4766 75,3,2024-09-07 08:40:21:075,1,172,1,0,535,1888,172,0 76,0,2024-09-07 08:40:20:587,40460,0.4,40132,0.7,80182,0.3,108031,2.25 76,1,2024-09-07 08:40:20:807,302731,302731,0,0,141200841812,1472736900,300668,1552,511,382,391692,0 76,2,2024-09-07 08:40:21:067,217964,217964,0,0,8625318,0,3064 76,3,2024-09-07 08:40:21:141,1,172,2,0,175,1982,172,0 77,0,2024-09-07 08:40:21:729,41139,0.4,41364,0.6,82728,0.4,109289,1.75 77,1,2024-09-07 08:40:20:826,303844,303844,0,0,141339998663,1472846280,302246,1514,84,383,391808,0 77,2,2024-09-07 08:40:21:288,217220,217220,0,0,8980738,0,3890 77,3,2024-09-07 08:40:21:099,1,172,13,0,305,2081,172,0 78,0,2024-09-07 08:40:21:723,47363,0.5,47157,0.7,94916,0.4,126423,2.00 78,1,2024-09-07 08:40:20:612,303259,303259,0,0,140681063612,1462997240,300923,2083,253,367,391589,0 78,2,2024-09-07 08:40:21:409,218062,218062,0,0,8243112,0,2114 78,3,2024-09-07 08:40:21:143,1,172,3,0,181,1705,172,0 79,0,2024-09-07 08:40:21:354,43598,0.5,44667,0.7,91354,0.5,118975,2.50 79,1,2024-09-07 08:40:20:578,303905,303905,0,0,141640346921,1467369269,301654,2078,173,369,391682,0 79,2,2024-09-07 08:40:21:077,220059,220059,0,0,8400726,0,3212 79,3,2024-09-07 08:40:20:749,1,172,1,0,418,2948,172,0 80,0,2024-09-07 08:40:21:088,39152,0.6,40161,0.8,77044,0.6,104422,2.00 80,1,2024-09-07 08:40:21:629,302364,302364,0,0,141590150868,1474052718,299837,2364,163,368,391673,0 80,2,2024-09-07 08:40:21:091,217488,217488,0,0,8883619,0,4433 80,3,2024-09-07 08:40:20:574,1,172,1,0,190,2672,172,0 81,0,2024-09-07 08:40:21:552,39492,0.4,40715,0.6,77914,0.4,104953,1.75 81,1,2024-09-07 08:40:21:650,302635,302635,0,0,140578064069,1469490864,300152,2210,273,382,391879,0 81,2,2024-09-07 08:40:21:137,216045,216045,0,0,9327635,0,3993 81,3,2024-09-07 08:40:21:118,1,172,1,0,193,1634,172,0 82,0,2024-09-07 08:40:21:575,45862,0.4,46183,0.7,92411,0.4,123147,1.75 82,1,2024-09-07 08:40:20:596,303318,303314,0,4,141029958168,1470223777,300868,1868,578,381,391558,4 82,2,2024-09-07 08:40:21:696,217491,217491,0,0,8485471,0,3986 82,3,2024-09-07 08:40:21:753,1,172,1,0,227,1921,172,0 83,0,2024-09-07 08:40:21:548,45208,0.7,45254,0.8,89866,0.8,119729,2.25 83,1,2024-09-07 08:40:20:554,302745,302745,0,0,141203211820,1474935395,300170,2352,223,382,391690,0 83,2,2024-09-07 08:40:20:764,220585,220585,0,0,8536058,0,3119 83,3,2024-09-07 08:40:20:748,1,172,3,0,241,1908,172,0 84,0,2024-09-07 08:40:21:815,39900,1.2,39759,1.2,79930,1.0,106682,2.75 84,1,2024-09-07 08:40:21:066,302143,302143,0,0,140973968315,1476060798,298741,2945,457,368,391852,0 84,2,2024-09-07 08:40:20:580,216202,216202,0,0,9690635,0,3801 84,3,2024-09-07 08:40:21:153,1,172,8,0,270,1985,172,0 85,0,2024-09-07 08:40:21:026,38151,0.5,38140,0.7,80899,0.4,104493,2.00 85,1,2024-09-07 08:40:20:561,301763,301763,0,0,140390275320,1487216452,296307,4376,1080,382,392006,0 85,2,2024-09-07 08:40:20:870,216360,216360,0,0,10376500,0,3656 85,3,2024-09-07 08:40:20:701,1,172,1,0,789,2511,172,0 86,0,2024-09-07 08:40:20:895,44489,0.5,45684,0.6,87607,0.4,118934,1.75 86,1,2024-09-07 08:40:20:831,302385,302385,0,0,141528704693,1482010951,298422,3418,545,366,391961,0 86,2,2024-09-07 08:40:20:875,216869,216868,1,0,10710582,0,5004 86,3,2024-09-07 08:40:20:591,1,172,7,0,286,2455,172,0 87,0,2024-09-07 08:40:21:318,46792,1.4,46597,1.1,93575,2.1,125263,2.25 87,1,2024-09-07 08:40:20:553,302348,302348,0,0,141314533320,1479523202,299128,2827,393,366,391788,0 87,2,2024-09-07 08:40:21:073,218880,218880,0,0,9099367,0,3515 87,3,2024-09-07 08:40:21:795,1,172,2,0,335,2881,172,0 88,0,2024-09-07 08:40:21:458,42434,0.6,42596,0.7,85087,0.7,112986,1.75 88,1,2024-09-07 08:40:20:570,301594,301594,0,0,141072574589,1480476352,297538,2883,1173,365,392084,0 88,2,2024-09-07 08:40:20:694,216013,216013,0,0,10418525,0,3583 88,3,2024-09-07 08:40:21:272,1,172,2,0,435,2370,172,0 89,0,2024-09-07 08:40:21:767,42223,0.4,40862,0.6,80986,0.3,109882,1.75 89,1,2024-09-07 08:40:20:553,300872,300872,0,0,141015091592,1490656727,295433,4501,938,382,391866,0 89,2,2024-09-07 08:40:21:152,216560,216560,0,0,10318401,0,2910 89,3,2024-09-07 08:40:21:791,1,172,1,0,325,3195,172,0 90,0,2024-09-07 08:40:21:670,40963,0.3,41832,0.5,86102,0.3,112344,1.75 90,1,2024-09-07 08:40:20:591,302798,302798,0,0,140264920118,1472314583,299567,2974,257,381,391825,0 90,2,2024-09-07 08:40:21:408,217182,217182,0,0,11245754,0,3060 90,3,2024-09-07 08:40:20:938,1,172,7,0,200,1953,172,0 91,0,2024-09-07 08:40:21:013,48439,0.5,46752,0.6,97335,0.4,127958,1.75 91,1,2024-09-07 08:40:20:564,301832,301832,0,0,141209507319,1483036481,298076,3258,498,381,392047,0 91,2,2024-09-07 08:40:21:345,216941,216941,0,0,10483332,0,2445 91,3,2024-09-07 08:40:20:607,1,172,2,0,216,1907,172,0 92,0,2024-09-07 08:40:21:448,45095,0.7,46252,0.8,88370,0.8,119802,1.75 92,1,2024-09-07 08:40:20:595,302717,302717,0,0,140676522155,1469521029,300535,1778,404,382,392136,0 92,2,2024-09-07 08:40:21:356,219863,219863,0,0,8752091,0,2801 92,3,2024-09-07 08:40:21:009,1,172,5,0,167,1533,172,0 93,0,2024-09-07 08:40:20:965,39984,0.4,40964,0.6,78316,0.4,106367,1.75 93,1,2024-09-07 08:40:20:831,302555,302555,0,0,141410034113,1476588796,299432,2572,551,366,391692,0 93,2,2024-09-07 08:40:20:935,217342,217342,0,0,8769644,0,2509 93,3,2024-09-07 08:40:21:410,1,172,8,0,143,1737,172,0 94,0,2024-09-07 08:40:21:634,40260,0.3,40628,0.4,80624,0.2,107303,1.50 94,1,2024-09-07 08:40:20:567,302331,302331,0,0,141120151428,1475744150,299880,2355,96,381,391850,0 94,2,2024-09-07 08:40:20:766,216656,216656,0,0,8550072,0,2443 94,3,2024-09-07 08:40:21:697,1,172,1,0,264,2485,172,0 95,0,2024-09-07 08:40:21:445,46352,0.3,46104,0.5,92939,0.3,122995,1.75 95,1,2024-09-07 08:40:20:871,303007,303007,0,0,141084332271,1467700635,300616,2207,184,367,391662,0 95,2,2024-09-07 08:40:21:016,216861,216861,0,0,8813772,0,3308 95,3,2024-09-07 08:40:21:719,1,172,1,0,718,3136,172,0 96,0,2024-09-07 08:40:21:034,45484,0.6,45561,0.6,91435,0.6,120953,1.75 96,1,2024-09-07 08:40:21:583,302484,302484,0,0,141462927700,1479682256,299874,1967,643,385,391894,0 96,2,2024-09-07 08:40:21:279,220662,220662,0,0,9340422,0,4042 96,3,2024-09-07 08:40:21:145,1,172,19,0,188,1905,172,0 97,0,2024-09-07 08:40:21:338,39500,0.4,39312,0.6,78664,0.4,104582,1.75 97,1,2024-09-07 08:40:20:779,303261,303261,0,0,142268026969,1479576469,300452,2259,550,367,392140,0 97,2,2024-09-07 08:40:20:613,216433,216433,0,0,8484762,0,3036 97,3,2024-09-07 08:40:20:577,1,172,33,0,165,1977,172,0 98,0,2024-09-07 08:40:21:791,39638,0.2,39558,0.4,79341,0.2,105617,1.50 98,1,2024-09-07 08:40:20:578,303145,303145,0,0,141242695336,1472351378,301321,1731,93,382,391997,0 98,2,2024-09-07 08:40:20:771,216970,216970,0,0,8817382,0,3080 98,3,2024-09-07 08:40:20:698,1,172,2,0,840,3248,172,0 99,0,2024-09-07 08:40:21:488,45413,0.3,45531,0.4,90901,0.2,121874,1.50 99,1,2024-09-07 08:40:21:730,303339,303339,0,0,141767050592,1480410073,300272,2371,696,381,391744,0 99,2,2024-09-07 08:40:21:417,219611,219611,0,0,8613036,0,2615 99,3,2024-09-07 08:40:20:596,1,172,4,0,129,1259,172,0 100,0,2024-09-07 08:40:21:465,46204,1.1,46095,1.3,92236,2.0,123166,2.50 100,1,2024-09-07 08:40:20:548,300667,300667,0,0,140812439841,1492749300,295408,4327,932,381,391989,0 100,2,2024-09-07 08:40:21:818,219370,219359,11,0,9979332,0,5417 100,3,2024-09-07 08:40:21:731,1,172,4,0,559,3710,172,0 101,0,2024-09-07 08:40:21:704,41778,2.8,40753,1.6,79826,3.2,109912,3.00 101,1,2024-09-07 08:40:20:551,301616,301616,0,0,140914944917,1488975670,296251,3888,1477,368,391769,0 101,2,2024-09-07 08:40:21:758,215433,215433,0,0,10923188,0,4644 101,3,2024-09-07 08:40:20:943,1,172,1,0,448,2067,172,0 102,0,2024-09-07 08:40:20:952,39516,0.5,40854,0.7,82238,0.4,107260,2.00 102,1,2024-09-07 08:40:21:142,302195,302195,0,0,140706115981,1480279347,298102,3380,713,369,391883,0 102,2,2024-09-07 08:40:21:744,216749,216695,54,0,10307473,0,6768 102,3,2024-09-07 08:40:21:617,1,172,3,0,410,1754,172,0 103,0,2024-09-07 08:40:21:635,44353,0.4,44475,0.6,83874,0.3,115604,1.75 103,1,2024-09-07 08:40:21:637,301162,301162,0,0,140753893904,1484066446,296711,3242,1209,381,391829,0 103,2,2024-09-07 08:40:20:597,218343,218343,0,0,9262077,0,2104 103,3,2024-09-07 08:40:20:758,1,172,2,0,916,2961,172,0 104,0,2024-09-07 08:40:21:033,47439,1.2,47695,1.1,94200,1.3,127188,2.25 104,1,2024-09-07 08:40:21:599,302679,302679,0,0,140282919528,1479168405,297665,4049,965,365,391948,0 104,2,2024-09-07 08:40:21:666,217703,217703,0,0,10277655,0,3941 104,3,2024-09-07 08:40:21:421,1,172,1,1,1245,5155,172,0 105,0,2024-09-07 08:40:21:037,43184,1.6,41865,1.4,87545,2.6,115824,3.75 105,1,2024-09-07 08:40:20:569,302912,302912,0,0,140554726610,1475029026,298695,3440,777,367,391797,0 105,2,2024-09-07 08:40:21:331,216131,216131,0,0,9639106,0,3509 105,3,2024-09-07 08:40:21:309,1,172,1,0,399,2648,172,0 106,0,2024-09-07 08:40:20:945,39192,0.6,40338,0.8,81988,0.6,107717,2.25 106,1,2024-09-07 08:40:21:756,302670,302670,0,0,141387458385,1482918053,298876,3410,384,369,391767,0 106,2,2024-09-07 08:40:20:758,216421,216421,0,0,9774112,0,2795 106,3,2024-09-07 08:40:20:690,1,172,1,0,405,2441,172,0 107,0,2024-09-07 08:40:21:118,41140,0.4,41226,0.6,81850,0.3,109600,1.75 107,1,2024-09-07 08:40:20:595,302077,302077,0,0,140798045841,1479337817,299075,2771,231,381,392234,0 107,2,2024-09-07 08:40:21:302,217492,217491,1,0,9886823,0,5024 107,3,2024-09-07 08:40:21:764,1,172,20,0,353,2537,172,0 108,0,2024-09-07 08:40:21:782,47519,0.4,47609,0.6,94579,0.4,126955,1.75 108,1,2024-09-07 08:40:21:300,302306,302306,0,0,141412547485,1476232619,299638,2354,314,368,391857,0 108,2,2024-09-07 08:40:21:760,215835,215835,0,0,9288909,0,2647 108,3,2024-09-07 08:40:21:334,1,172,5,0,667,3354,172,0 109,0,2024-09-07 08:40:21:817,45276,0.4,44912,0.6,89903,0.4,120788,1.75 109,1,2024-09-07 08:40:20:618,301958,301958,0,0,141307523125,1483134558,299173,2289,496,383,392132,0 109,2,2024-09-07 08:40:20:922,218820,218820,0,0,9596263,0,3617 109,3,2024-09-07 08:40:21:365,1,172,0,0,249,2346,172,0 110,0,2024-09-07 08:40:21:762,39448,0.4,38289,0.6,80015,0.3,104729,1.75 110,1,2024-09-07 08:40:21:688,303193,303193,0,0,141683084767,1473948299,300579,1849,765,370,391667,0 110,2,2024-09-07 08:40:21:302,217228,217228,0,0,8490626,0,2915 110,3,2024-09-07 08:40:20:698,1,172,1,0,406,2383,172,0 111,0,2024-09-07 08:40:21:418,39705,0.2,39261,0.4,78383,0.1,105280,1.50 111,1,2024-09-07 08:40:21:000,303586,303586,0,0,142116062039,1474795386,301806,1447,333,382,391690,0 111,2,2024-09-07 08:40:21:123,215788,215788,0,0,9306525,0,2763 111,3,2024-09-07 08:40:20:921,1,172,1,0,379,2609,172,0 112,0,2024-09-07 08:40:20:913,46181,0.3,46195,0.4,92275,0.2,123200,1.50 112,1,2024-09-07 08:40:20:825,303940,303940,0,0,141027626648,1465982200,301612,1902,426,381,391580,0 112,2,2024-09-07 08:40:21:136,217424,217423,1,0,9245288,0,5036 112,3,2024-09-07 08:40:20:597,1,172,1,0,282,1910,172,0 113,0,2024-09-07 08:40:20:898,45064,0.4,44939,0.5,90628,0.3,120910,1.75 113,1,2024-09-07 08:40:21:687,303550,303550,0,0,142198314394,1471993567,301131,1931,488,366,391661,0 113,2,2024-09-07 08:40:21:302,221944,221944,0,0,8124308,0,3813 113,3,2024-09-07 08:40:20:683,1,172,0,0,340,2817,172,0 114,0,2024-09-07 08:40:20:894,40769,0.6,41370,0.7,81208,0.4,108477,2.00 114,1,2024-09-07 08:40:20:718,302556,302556,0,0,141255183243,1477787970,298424,2669,1463,381,391534,0 114,2,2024-09-07 08:40:20:878,216233,216233,0,0,8455561,0,3925 114,3,2024-09-07 08:40:21:281,1,172,9,0,395,2051,172,0 115,0,2024-09-07 08:40:20:598,39415,0.2,39805,0.4,79548,0.2,105267,1.50 115,1,2024-09-07 08:40:20:593,303060,303060,0,0,141388882412,1473961471,299416,2823,821,382,391602,0 115,2,2024-09-07 08:40:21:127,217574,217574,0,0,7869246,0,2152 115,3,2024-09-07 08:40:21:011,1,172,0,0,159,1093,172,0 116,0,2024-09-07 08:40:21:706,44653,0.5,44127,0.7,88706,0.5,118662,2.00 116,1,2024-09-07 08:40:20:817,300368,300368,0,0,140635840720,1493260197,295451,3159,1758,382,391677,0 116,2,2024-09-07 08:40:21:755,217212,217212,0,0,11003402,0,3529 116,3,2024-09-07 08:40:20:912,1,172,5,0,252,2454,172,0 117,0,2024-09-07 08:40:20:968,46665,1.3,46806,1.0,93532,1.7,125211,2.00 117,1,2024-09-07 08:40:21:578,302306,302306,0,0,140584376508,1473878349,298627,3250,429,370,392033,0 117,2,2024-09-07 08:40:21:133,220640,220640,0,0,8892565,0,3700 117,3,2024-09-07 08:40:21:063,1,172,1,0,490,3411,172,0 118,0,2024-09-07 08:40:21:769,41265,0.9,42502,0.9,86304,1.1,112272,2.25 118,1,2024-09-07 08:40:20:606,302243,302243,0,0,140527362312,1481718863,297388,3531,1324,366,391736,0 118,2,2024-09-07 08:40:21:590,215924,215924,0,0,9903425,0,2781 118,3,2024-09-07 08:40:21:769,1,172,1,0,235,2195,172,0 119,0,2024-09-07 08:40:21:364,40637,0.6,40872,0.8,82461,0.5,109030,2.00 119,1,2024-09-07 08:40:20:551,303000,303000,0,0,141788590996,1478988227,300508,2202,290,369,391641,0 119,2,2024-09-07 08:40:21:268,216479,216479,0,0,9206407,0,3526 119,3,2024-09-07 08:40:21:326,1,172,4,0,443,2965,172,0 120,0,2024-09-07 08:40:21:582,42029,0.4,42020,0.7,84013,0.4,112565,2.00 120,1,2024-09-07 08:40:20:865,303012,303012,0,0,140722811401,1477008870,299708,3041,263,368,391961,0 120,2,2024-09-07 08:40:20:771,217390,217389,1,0,11575720,0,5281 120,3,2024-09-07 08:40:21:294,1,172,5,0,241,2404,172,0 121,0,2024-09-07 08:40:21:686,47581,1.3,48016,1.1,95695,1.9,127376,2.25 121,1,2024-09-07 08:40:21:659,302526,302526,0,0,141016216444,1475465666,299749,2487,290,367,391840,0 121,2,2024-09-07 08:40:21:137,216805,216805,0,0,10494798,0,4127 121,3,2024-09-07 08:40:20:732,1,172,1,0,269,2302,172,0 122,0,2024-09-07 08:40:21:810,44535,1.2,43390,1.1,90881,1.3,119873,2.25 122,1,2024-09-07 08:40:20:868,301553,301553,0,0,141243063913,1483789096,297405,3440,708,366,392130,0 122,2,2024-09-07 08:40:21:347,218060,218060,0,0,11470484,0,3364 122,3,2024-09-07 08:40:20:594,1,172,3,0,411,3842,172,0 123,0,2024-09-07 08:40:21:001,39813,0.8,38736,0.8,81165,0.9,105977,2.00 123,1,2024-09-07 08:40:20:577,302608,302608,0,0,141728936256,1490914219,297530,4384,694,369,391823,0 123,2,2024-09-07 08:40:21:033,215427,215426,1,0,10160240,0,5215 123,3,2024-09-07 08:40:21:138,1,172,10,0,168,2039,172,0 124,0,2024-09-07 08:40:20:942,41487,0.3,41399,0.5,78391,0.2,107745,1.50 124,1,2024-09-07 08:40:21:045,303074,303074,0,0,141617642187,1471724194,300981,1737,356,367,392178,0 124,2,2024-09-07 08:40:21:014,217273,217273,0,0,8612778,0,3101 124,3,2024-09-07 08:40:20:762,1,172,14,0,490,2311,172,0 125,0,2024-09-07 08:40:21:479,46253,0.4,46246,0.5,92609,0.3,123145,1.75 125,1,2024-09-07 08:40:20:890,302945,302945,0,0,141100258360,1473775916,300573,2095,277,384,391702,0 125,2,2024-09-07 08:40:21:181,217649,217649,0,0,8519383,0,2180 125,3,2024-09-07 08:40:21:126,1,172,6,0,284,2155,172,0 126,0,2024-09-07 08:40:21:466,45623,0.7,46757,0.8,89414,0.8,121723,1.75 126,1,2024-09-07 08:40:20:561,303305,303305,0,0,142152726712,1473494482,301664,1563,78,365,391987,0 126,2,2024-09-07 08:40:20:616,221598,221598,0,0,8921811,0,3186 126,3,2024-09-07 08:40:20:911,1,172,17,0,150,2400,172,0 127,0,2024-09-07 08:40:21:618,39176,0.4,39393,0.6,78544,0.4,104500,1.75 127,1,2024-09-07 08:40:20:579,302721,302721,0,0,141547139171,1467077611,300643,2037,41,365,391816,0 127,2,2024-09-07 08:40:20:645,216571,216571,0,0,8359296,0,2264 127,3,2024-09-07 08:40:21:272,1,172,3,0,243,1499,172,0 128,0,2024-09-07 08:40:21:553,39787,0.3,39596,0.4,79325,0.2,105671,1.50 128,1,2024-09-07 08:40:21:614,303410,303410,0,0,141831005610,1468450262,302430,908,72,367,391680,0 128,2,2024-09-07 08:40:21:406,215975,215975,0,0,8327039,0,2107 128,3,2024-09-07 08:40:20:770,1,172,13,0,333,2428,172,0 129,0,2024-09-07 08:40:21:077,45824,0.3,45515,0.4,91077,0.2,121644,1.50 129,1,2024-09-07 08:40:20:571,301420,301420,0,0,140502637880,1471717651,298489,2538,393,379,391835,0 129,2,2024-09-07 08:40:20:724,217534,217534,0,0,8715204,0,4031 129,3,2024-09-07 08:40:20:694,1,172,9,0,173,2015,172,0 130,0,2024-09-07 08:40:21:757,46577,0.7,46365,0.7,93051,0.9,124067,1.75 130,1,2024-09-07 08:40:20:597,303060,303060,0,0,141732967093,1476802039,300943,2045,72,381,391825,0 130,2,2024-09-07 08:40:21:133,221101,221101,0,0,8595891,0,4067 130,3,2024-09-07 08:40:21:298,1,172,1,0,450,2031,172,0 131,0,2024-09-07 08:40:21:941,41169,0.5,41390,0.6,83578,0.6,110179,1.75 131,1,2024-09-07 08:40:21:826,303876,303876,0,0,141222581606,1469003816,302403,1267,206,383,391865,0 131,2,2024-09-07 08:40:20:568,216647,216647,0,0,8101503,0,2415 131,3,2024-09-07 08:40:21:753,1,172,3,0,392,1880,172,0 132,0,2024-09-07 08:40:21:476,40142,0.4,40689,0.7,81325,0.4,107612,1.75 132,1,2024-09-07 08:40:20:584,301745,301745,0,0,140192722981,1482367884,296323,4390,1032,381,392097,0 132,2,2024-09-07 08:40:20:705,216210,216210,0,0,11000496,0,4606 132,3,2024-09-07 08:40:21:688,1,172,3,0,356,3082,172,0 133,0,2024-09-07 08:40:21:571,42103,0.4,43081,0.5,88227,0.3,114634,1.75 133,1,2024-09-07 08:40:20:603,301162,301162,0,0,140871818474,1486509730,296650,3871,641,383,391914,0 133,2,2024-09-07 08:40:21:111,217872,217872,0,0,11112235,0,4315 133,3,2024-09-07 08:40:21:298,1,172,5,0,187,1466,172,0 134,0,2024-09-07 08:40:20:973,47671,0.5,47643,0.7,95846,0.5,127098,2.00 134,1,2024-09-07 08:40:20:593,301990,301990,0,0,141064896678,1480195587,297597,3168,1225,366,391718,0 134,2,2024-09-07 08:40:21:795,217941,217941,0,0,9428368,0,3096 134,3,2024-09-07 08:40:20:749,1,172,3,0,739,3037,172,0 135,0,2024-09-07 08:40:21:118,42307,1.4,42255,1.3,89668,1.7,115396,2.50 135,1,2024-09-07 08:40:21:596,301584,301584,0,0,141193606893,1485597126,297390,3490,704,380,391805,0 135,2,2024-09-07 08:40:20:689,217341,217341,0,0,10139320,0,3981 135,3,2024-09-07 08:40:21:004,1,172,8,0,89,1001,172,0 136,0,2024-09-07 08:40:21:632,40711,0.5,40683,0.7,81668,0.5,108637,2.00 136,1,2024-09-07 08:40:21:452,301946,301946,0,0,140841791825,1476593361,298590,3182,174,383,391641,0 136,2,2024-09-07 08:40:21:147,217915,217915,0,0,9825397,0,3506 136,3,2024-09-07 08:40:21:111,1,172,1,0,108,1357,172,0 137,0,2024-09-07 08:40:20:963,42277,0.4,41002,0.6,80884,0.3,109662,1.75 137,1,2024-09-07 08:40:20:580,302228,302228,0,0,140720625271,1473238551,298086,3721,421,366,391708,0 137,2,2024-09-07 08:40:21:713,217178,217178,0,0,11219486,0,3185 137,3,2024-09-07 08:40:20:770,1,172,6,1,227,1954,172,0 138,0,2024-09-07 08:40:21:768,46944,1.2,46959,1.0,94600,1.5,126669,2.25 138,1,2024-09-07 08:40:21:687,302454,302454,0,0,141703018823,1480888884,299367,2734,353,368,391954,0 138,2,2024-09-07 08:40:20:593,217702,217702,0,0,9231677,0,3263 138,3,2024-09-07 08:40:20:625,1,172,4,0,1160,3221,172,0 139,0,2024-09-07 08:40:21:406,43993,2.8,44197,1.7,89109,4.1,119348,3.00 139,1,2024-09-07 08:40:20:592,300988,300988,0,0,140221275054,1487809276,295706,3958,1324,381,391892,0 139,2,2024-09-07 08:40:20:697,218013,218013,0,0,10440237,0,3097 139,3,2024-09-07 08:40:21:669,1,172,16,0,244,1907,172,0 140,0,2024-09-07 08:40:21:593,39662,0.4,39261,0.5,79088,0.3,104849,1.75 140,1,2024-09-07 08:40:21:536,303810,303810,0,0,141736232735,1461916800,302290,1280,240,365,391606,0 140,2,2024-09-07 08:40:20:689,217243,217243,0,0,8870503,0,3388 140,3,2024-09-07 08:40:20:774,1,172,1,0,247,1472,172,0 141,0,2024-09-07 08:40:21:700,39340,0.2,40479,0.4,77460,0.1,105228,1.50 141,1,2024-09-07 08:40:20:866,303511,303511,0,0,142545102547,1479191347,301558,1600,353,379,391614,0 141,2,2024-09-07 08:40:21:687,216643,216643,0,0,8341607,0,2342 141,3,2024-09-07 08:40:21:045,1,172,16,0,147,1367,172,0 142,0,2024-09-07 08:40:21:326,46667,0.3,46394,0.5,92141,0.2,123480,1.50 142,1,2024-09-07 08:40:20:591,302835,302835,0,0,141133685456,1470755690,301286,1390,159,383,391728,0 142,2,2024-09-07 08:40:21:300,216281,216249,32,0,9773244,0,6028 142,3,2024-09-07 08:40:21:747,1,172,3,0,484,2356,172,0 143,0,2024-09-07 08:40:21:425,45296,0.7,45214,0.7,91158,0.7,120779,2.00 143,1,2024-09-07 08:40:20:568,303266,303266,0,0,141287835647,1466428641,301140,2082,44,367,391619,0 143,2,2024-09-07 08:40:20:793,220981,220981,0,0,8974776,0,2669 143,3,2024-09-07 08:40:21:142,1,172,2,0,303,2680,172,0 144,0,2024-09-07 08:40:21:544,38932,0.8,40111,1.3,81474,0.8,106982,2.25 144,1,2024-09-07 08:40:20:580,301778,301778,0,0,140289142565,1471236369,299375,2199,204,381,391649,0 144,2,2024-09-07 08:40:21:773,216519,216519,0,0,8692071,0,3473 144,3,2024-09-07 08:40:21:755,1,172,5,0,249,2131,172,0 145,0,2024-09-07 08:40:21:369,38250,0.5,38223,0.7,81130,0.4,104785,2.00 145,1,2024-09-07 08:40:20:640,300778,300778,0,0,140625833576,1482072336,296378,3663,737,383,391615,0 145,2,2024-09-07 08:40:21:429,216084,216084,0,0,9643159,0,3903 145,3,2024-09-07 08:40:20:905,1,172,52,0,151,1937,172,0 146,0,2024-09-07 08:40:21:613,44358,0.4,43933,0.6,88781,0.3,118348,2.00 146,1,2024-09-07 08:40:21:588,302843,302843,0,0,141130100053,1480215373,298317,3666,860,368,391629,0 146,2,2024-09-07 08:40:21:695,218058,218058,0,0,9562903,0,2498 146,3,2024-09-07 08:40:21:274,1,172,3,0,1520,5225,172,0 147,0,2024-09-07 08:40:21:750,46786,0.8,46754,0.9,92934,0.8,124825,2.25 147,1,2024-09-07 08:40:21:376,303715,303715,0,0,141530699464,1474118015,300605,2612,498,368,391791,0 147,2,2024-09-07 08:40:21:018,220697,220697,0,0,8806957,0,2789 147,3,2024-09-07 08:40:20:916,1,172,3,0,371,2051,172,0 0,0,2024-09-07 08:40:31:721,41252,0.4,41190,0.6,87336,0.3,113224,1.75 0,1,2024-09-07 08:40:30:805,304231,304231,0,0,142535539115,1490436449,302260,1834,137,372,391772,0 0,2,2024-09-07 08:40:31:069,219310,219310,0,0,9079765,0,4480 0,3,2024-09-07 08:40:30:981,1,173,8,0,247,2214,173,0 1,0,2024-09-07 08:40:31:797,48190,1.2,47793,1.1,95894,1.6,127897,2.25 1,1,2024-09-07 08:40:30:594,304034,304034,0,0,141512388882,1484930973,300589,2578,867,371,391857,0 1,2,2024-09-07 08:40:30:639,218212,218212,0,0,8464748,0,3267 1,3,2024-09-07 08:40:31:307,1,173,1,0,262,2038,173,0 2,0,2024-09-07 08:40:31:568,44784,1.1,45126,1.0,89518,1.5,119559,2.25 2,1,2024-09-07 08:40:30:859,304108,304108,0,0,142519924494,1480981312,302598,1304,206,380,391745,0 2,2,2024-09-07 08:40:31:302,218679,218679,0,0,8938214,0,3594 2,3,2024-09-07 08:40:30:694,1,173,19,0,357,1736,173,0 3,0,2024-09-07 08:40:31:745,39703,0.5,40015,0.7,79802,0.4,106255,2.00 3,1,2024-09-07 08:40:31:624,303752,303752,0,0,141522653001,1476909041,300642,2686,424,380,391516,0 3,2,2024-09-07 08:40:31:143,218747,218724,23,0,9021203,0,5851 3,3,2024-09-07 08:40:31:753,1,173,1,0,103,1134,173,0 4,0,2024-09-07 08:40:31:879,39317,0.3,40498,0.4,82348,0.2,108180,1.50 4,1,2024-09-07 08:40:30:594,304553,304553,0,0,141538113902,1485952116,301564,2466,523,371,391846,0 4,2,2024-09-07 08:40:31:018,217301,217301,0,0,10632337,0,4528 4,3,2024-09-07 08:40:31:028,1,173,0,0,287,2270,173,0 5,0,2024-09-07 08:40:31:404,46603,0.4,46579,0.6,93099,0.4,124338,1.75 5,1,2024-09-07 08:40:30:760,303642,303642,0,0,141923737518,1494269638,299428,3225,989,368,392005,0 5,2,2024-09-07 08:40:31:882,217182,217182,0,0,9173432,0,2259 5,3,2024-09-07 08:40:31:956,1,173,1,0,238,2479,173,0 6,0,2024-09-07 08:40:30:927,46140,0.9,45661,1.0,90875,1.2,122331,2.25 6,1,2024-09-07 08:40:30:748,304674,304674,0,0,141805637847,1484052639,301274,2752,648,381,391617,0 6,2,2024-09-07 08:40:31:122,221625,221625,0,0,9367020,0,4816 6,3,2024-09-07 08:40:31:301,1,173,8,0,340,2357,173,0 7,0,2024-09-07 08:40:31:537,38965,0.5,38851,0.7,77904,0.4,103839,2.00 7,1,2024-09-07 08:40:30:853,304480,304480,0,0,142362434735,1489053725,301659,2689,132,382,391664,0 7,2,2024-09-07 08:40:30:770,217588,217588,0,0,8549464,0,2981 7,3,2024-09-07 08:40:30:851,1,173,1,0,305,1725,173,0 8,0,2024-09-07 08:40:31:366,39744,0.3,39874,0.4,79486,0.2,106072,1.50 8,1,2024-09-07 08:40:31:016,303538,303538,0,0,141840640618,1497005818,297923,4014,1601,367,391956,0 8,2,2024-09-07 08:40:30:790,214474,214474,0,0,10476167,0,2986 8,3,2024-09-07 08:40:30:589,1,173,1,0,357,2673,173,0 9,0,2024-09-07 08:40:31:102,46038,0.3,44692,0.5,93234,0.3,122790,1.50 9,1,2024-09-07 08:40:30:564,303619,303619,0,0,142927944642,1506861155,299052,3435,1132,370,391753,0 9,2,2024-09-07 08:40:31:089,218479,218479,0,0,9756842,0,3360 9,3,2024-09-07 08:40:31:752,1,173,1,0,496,2773,173,0 10,0,2024-09-07 08:40:31:600,46712,0.4,46326,0.6,93274,0.3,123783,1.75 10,1,2024-09-07 08:40:30:586,304026,304026,0,0,141905479431,1488614954,299551,3832,643,381,391741,0 10,2,2024-09-07 08:40:30:764,222000,222000,0,0,9903595,0,2940 10,3,2024-09-07 08:40:30:872,1,173,0,0,177,1246,173,0 11,0,2024-09-07 08:40:31:011,40619,0.7,39557,0.9,82968,0.7,110155,2.25 11,1,2024-09-07 08:40:30:579,304444,304444,0,0,141782690991,1490651183,299127,4047,1270,384,391537,0 11,2,2024-09-07 08:40:31:136,217287,217287,0,0,9553603,0,3411 11,3,2024-09-07 08:40:31:301,1,173,1,0,720,2885,173,0 12,0,2024-09-07 08:40:31:015,40917,0.3,40591,0.5,81466,0.2,107858,1.50 12,1,2024-09-07 08:40:30:962,304194,304194,0,0,141482478759,1478237494,301293,2486,415,370,391837,0 12,2,2024-09-07 08:40:31:550,218843,218843,0,0,9902200,0,3469 12,3,2024-09-07 08:40:31:060,1,173,8,0,358,2772,173,0 13,0,2024-09-07 08:40:31:446,43682,0.3,43661,0.5,87260,0.3,116591,1.75 13,1,2024-09-07 08:40:31:528,303977,303977,0,0,141879015465,1488473360,301544,2023,410,382,391717,0 13,2,2024-09-07 08:40:30:598,220442,220442,0,0,9105639,0,3287 13,3,2024-09-07 08:40:31:780,1,173,1,0,467,3340,173,0 14,0,2024-09-07 08:40:30:568,48110,0.4,48387,0.6,95883,0.4,127356,1.75 14,1,2024-09-07 08:40:31:560,305894,305894,0,0,142545643115,1477934747,303627,2104,163,364,391571,0 14,2,2024-09-07 08:40:30:765,219747,219747,0,0,9247367,0,2896 14,3,2024-09-07 08:40:31:133,1,173,1,0,1168,2961,173,0 15,0,2024-09-07 08:40:31:556,43556,0.8,43627,1.0,87451,1.0,116174,2.50 15,1,2024-09-07 08:40:31:611,303862,303862,0,0,142276181747,1480082053,301944,1808,110,381,391619,0 15,2,2024-09-07 08:40:30:997,218927,218927,0,0,7673131,0,3043 15,3,2024-09-07 08:40:31:404,1,173,2,0,1126,4551,173,0 16,0,2024-09-07 08:40:30:943,40966,0.5,41153,0.7,81711,0.4,109193,2.00 16,1,2024-09-07 08:40:30:564,304681,304681,0,0,141816813613,1482353513,302444,1975,262,370,391756,0 16,2,2024-09-07 08:40:31:439,217878,217878,0,0,10152557,0,4719 16,3,2024-09-07 08:40:31:152,1,173,7,0,231,2327,173,0 17,0,2024-09-07 08:40:31:784,42708,0.4,41669,0.6,81724,0.3,110975,1.75 17,1,2024-09-07 08:40:30:577,303467,303467,0,0,141445352634,1486339959,300103,2691,673,368,391688,0 17,2,2024-09-07 08:40:31:690,220855,220855,0,0,9044542,0,2857 17,3,2024-09-07 08:40:30:578,1,173,1,0,268,2515,173,0 18,0,2024-09-07 08:40:30:943,47002,0.8,47296,0.9,94608,1.0,126304,2.50 18,1,2024-09-07 08:40:31:638,304313,304313,0,0,142154252608,1474965849,302302,1777,234,367,391564,0 18,2,2024-09-07 08:40:31:765,218654,218654,0,0,8941778,0,3541 18,3,2024-09-07 08:40:30:899,1,173,2,0,163,1867,173,0 19,0,2024-09-07 08:40:31:543,44909,1.0,45353,1.0,89481,1.2,119452,2.50 19,1,2024-09-07 08:40:30:579,304424,304424,0,0,142625499147,1485972907,300609,3099,716,367,391777,0 19,2,2024-09-07 08:40:31:752,221343,221343,0,0,8159701,0,3988 19,3,2024-09-07 08:40:31:136,1,173,1,0,524,1517,173,0 20,0,2024-09-07 08:40:31:403,39367,0.6,39304,0.7,78920,0.6,105004,2.25 20,1,2024-09-07 08:40:30:573,303432,303432,0,0,142067392434,1489512117,299960,3081,391,369,391822,0 20,2,2024-09-07 08:40:30:932,218250,218250,0,0,9245053,0,3721 20,3,2024-09-07 08:40:30:589,1,173,1,0,414,2971,173,0 21,0,2024-09-07 08:40:31:142,39977,0.3,40044,0.5,79781,0.3,106015,1.75 21,1,2024-09-07 08:40:31:545,303746,303746,0,0,141230498502,1486178466,300067,3145,534,368,391962,0 21,2,2024-09-07 08:40:31:067,217567,217567,0,0,10145083,0,3747 21,3,2024-09-07 08:40:31:405,1,173,2,0,103,2030,173,0 22,0,2024-09-07 08:40:31:719,46357,0.5,46378,0.7,92323,0.5,123139,2.00 22,1,2024-09-07 08:40:31:023,303409,303409,0,0,141684966941,1494094105,297865,4035,1509,382,391667,0 22,2,2024-09-07 08:40:30:760,218063,218063,0,0,8624285,0,3134 22,3,2024-09-07 08:40:31:067,1,173,1,0,228,1835,173,0 23,0,2024-09-07 08:40:31:371,45196,0.9,45164,0.9,90762,1.1,121330,2.50 23,1,2024-09-07 08:40:31:003,304484,304484,0,0,142722163212,1491914660,300386,2616,1482,365,391549,0 23,2,2024-09-07 08:40:31:097,221575,221575,0,0,8924693,0,3010 23,3,2024-09-07 08:40:31:764,1,173,0,0,645,1819,173,0 24,0,2024-09-07 08:40:30:904,40975,0.5,40998,0.6,82001,0.5,108639,1.75 24,1,2024-09-07 08:40:30:602,304287,304287,0,0,140973818722,1475148671,301321,2167,799,369,391640,0 24,2,2024-09-07 08:40:31:076,216650,216650,0,0,10268694,0,2942 24,3,2024-09-07 08:40:31:701,1,173,15,0,234,1907,173,0 25,0,2024-09-07 08:40:31:420,40839,0.3,39975,0.5,78124,0.3,106448,1.75 25,1,2024-09-07 08:40:30:592,303879,303879,0,0,141511781435,1484969221,300182,3154,543,371,391788,0 25,2,2024-09-07 08:40:31:612,216562,216562,0,0,10624705,0,3978 25,3,2024-09-07 08:40:31:000,1,173,25,0,255,2135,173,0 26,0,2024-09-07 08:40:31:726,44651,0.3,43687,0.5,91443,0.2,119893,1.75 26,1,2024-09-07 08:40:31:549,304596,304596,0,0,142009976629,1490150708,299930,3765,901,381,391748,0 26,2,2024-09-07 08:40:30:860,219973,219973,0,0,9835118,0,2809 26,3,2024-09-07 08:40:31:715,1,173,1,0,796,2263,173,0 27,0,2024-09-07 08:40:31:726,47261,0.5,47226,0.7,93720,0.5,124878,2.00 27,1,2024-09-07 08:40:31:679,305807,305807,0,0,142842196353,1482953821,303987,1497,323,381,391558,0 27,2,2024-09-07 08:40:30:876,219728,219728,0,0,10234332,0,3409 27,3,2024-09-07 08:40:31:015,1,173,1,0,564,1981,173,0 28,0,2024-09-07 08:40:31:430,42250,0.8,42356,0.8,85250,1.0,113304,2.25 28,1,2024-09-07 08:40:30:802,305054,305054,0,0,142988585429,1489788777,302884,1833,337,383,391646,0 28,2,2024-09-07 08:40:31:781,217995,217995,0,0,8153263,0,2915 28,3,2024-09-07 08:40:31:781,1,173,5,0,502,2100,173,0 29,0,2024-09-07 08:40:31:364,42029,0.3,41163,0.5,80325,0.2,109640,1.75 29,1,2024-09-07 08:40:31:562,305812,305812,0,0,142216348223,1473810898,303379,1890,543,369,391621,0 29,2,2024-09-07 08:40:30:866,217704,217704,0,0,8027110,0,4018 29,3,2024-09-07 08:40:30:966,1,173,1,0,115,1399,173,0 30,0,2024-09-07 08:40:31:467,42421,0.4,41274,0.6,86200,0.4,113591,2.00 30,1,2024-09-07 08:40:30:573,305017,305017,0,0,142235273408,1477067098,303020,1719,278,382,391672,0 30,2,2024-09-07 08:40:31:306,220388,220388,0,0,8068433,0,3161 30,3,2024-09-07 08:40:30:585,1,173,1,0,195,1232,173,0 31,0,2024-09-07 08:40:31:767,47467,0.5,47962,0.7,96336,0.5,127565,2.00 31,1,2024-09-07 08:40:30:568,306024,306024,0,0,143396387064,1468942835,305221,691,112,356,391712,0 31,2,2024-09-07 08:40:31:297,218780,218780,0,0,9726385,0,3525 31,3,2024-09-07 08:40:31:706,1,173,1,0,220,1495,173,0 32,0,2024-09-07 08:40:31:438,44766,0.5,45258,0.6,90589,0.4,120292,1.75 32,1,2024-09-07 08:40:30:818,304992,304992,0,0,142594224111,1480397347,303248,1469,275,382,391595,0 32,2,2024-09-07 08:40:30:938,219162,219162,0,0,7961834,0,3155 32,3,2024-09-07 08:40:31:016,1,173,6,0,227,1489,173,0 33,0,2024-09-07 08:40:31:503,40458,0.3,39798,0.5,80323,0.2,107181,1.75 33,1,2024-09-07 08:40:30:586,305622,305622,0,0,142821490344,1478559662,303362,2088,172,369,391730,0 33,2,2024-09-07 08:40:30:767,218605,218572,33,0,9911080,0,7012 33,3,2024-09-07 08:40:30:897,1,173,1,0,329,1982,173,0 34,0,2024-09-07 08:40:30:933,40688,0.3,42003,0.4,80400,0.2,108354,1.50 34,1,2024-09-07 08:40:31:044,305733,305733,0,0,142892904626,1471379984,304735,992,6,367,391562,0 34,2,2024-09-07 08:40:30:767,217764,217764,0,0,8926653,0,3577 34,3,2024-09-07 08:40:31:702,1,173,10,0,148,1086,173,0 35,0,2024-09-07 08:40:30:879,46203,0.4,46567,0.5,93264,0.3,124692,1.75 35,1,2024-09-07 08:40:31:068,304317,304317,0,0,142048989412,1476093080,301494,2053,770,384,391589,0 35,2,2024-09-07 08:40:31:583,218611,218611,0,0,8115149,0,2653 35,3,2024-09-07 08:40:30:916,1,173,0,0,418,1988,173,0 36,0,2024-09-07 08:40:31:524,45788,0.9,45607,1.0,91639,1.1,121941,2.50 36,1,2024-09-07 08:40:30:586,304635,304635,0,0,141831851281,1485235656,300012,3334,1289,366,391759,0 36,2,2024-09-07 08:40:31:754,220711,220711,0,0,9505744,0,3303 36,3,2024-09-07 08:40:30:864,1,173,1,0,378,2326,173,0 37,0,2024-09-07 08:40:31:391,39029,0.5,39060,0.7,77948,0.4,104787,2.00 37,1,2024-09-07 08:40:30:579,303603,303596,0,7,141695429141,1488601976,298823,2754,2019,365,391560,0 37,2,2024-09-07 08:40:31:145,217676,217661,15,0,9576479,0,5815 37,3,2024-09-07 08:40:31:777,1,173,1,0,724,2497,173,0 38,0,2024-09-07 08:40:31:439,39642,0.4,38471,0.6,80329,0.3,105673,1.75 38,1,2024-09-07 08:40:31:611,304837,304837,0,0,142064040634,1484389690,300795,3410,632,368,391821,0 38,2,2024-09-07 08:40:30:759,217487,217440,47,0,11152496,0,6710 38,3,2024-09-07 08:40:30:997,1,173,1,0,603,2217,173,0 39,0,2024-09-07 08:40:31:766,47157,0.5,46209,0.6,89872,0.5,123276,2.00 39,1,2024-09-07 08:40:30:716,304556,304556,0,0,142328291155,1483860819,300768,2987,801,365,391524,0 39,2,2024-09-07 08:40:31:417,218947,218947,0,0,8294089,0,2689 39,3,2024-09-07 08:40:30:713,1,173,1,0,276,2109,173,0 40,0,2024-09-07 08:40:31:498,45829,0.9,46540,1.1,92748,1.0,123233,3.00 40,1,2024-09-07 08:40:30:600,304580,304580,0,0,141275852322,1479118642,300164,3647,769,368,391591,0 40,2,2024-09-07 08:40:31:303,220999,220998,1,0,10890299,0,5137 40,3,2024-09-07 08:40:31:141,1,173,0,0,181,1821,173,0 41,0,2024-09-07 08:40:31:037,40696,1.9,41425,1.6,79514,3.1,108723,4.00 41,1,2024-09-07 08:40:30:780,303978,303978,0,0,142401643904,1489670483,299782,3662,534,370,391742,0 41,2,2024-09-07 08:40:30:771,216017,216017,0,0,10394474,0,3356 41,3,2024-09-07 08:40:31:679,1,173,1,0,366,2010,173,0 42,0,2024-09-07 08:40:31:482,39949,0.5,40327,0.8,80435,0.4,106086,2.25 42,1,2024-09-07 08:40:31:439,303153,303153,0,0,141792989075,1490444329,298436,3759,958,380,391675,0 42,2,2024-09-07 08:40:31:133,217157,217157,0,0,10132668,0,3568 42,3,2024-09-07 08:40:31:009,1,173,1,0,446,1448,173,0 43,0,2024-09-07 08:40:30:933,43478,0.6,42364,0.8,88614,0.5,116823,2.00 43,1,2024-09-07 08:40:30:579,304317,304317,0,0,142077063092,1480854856,300979,2545,793,366,391604,0 43,2,2024-09-07 08:40:31:736,219930,219930,0,0,9495199,0,3812 43,3,2024-09-07 08:40:31:750,1,173,1,0,325,2162,173,0 44,0,2024-09-07 08:40:30:872,47907,0.5,48236,0.7,95991,0.5,127985,1.75 44,1,2024-09-07 08:40:30:585,305393,305393,0,0,142706913348,1474407985,303332,1636,425,356,391809,0 44,2,2024-09-07 08:40:31:302,219103,219103,0,0,7878267,0,1877 44,3,2024-09-07 08:40:31:096,1,173,2,0,817,2125,173,0 45,0,2024-09-07 08:40:31:762,43087,1.1,42257,1.1,88601,1.2,116799,2.25 45,1,2024-09-07 08:40:31:016,304935,304935,0,0,142937569187,1481059673,303894,1031,10,382,391917,0 45,2,2024-09-07 08:40:31:301,218739,218739,0,0,8544105,0,3596 45,3,2024-09-07 08:40:30:934,1,173,0,0,226,1763,173,0 46,0,2024-09-07 08:40:30:951,40776,0.3,40700,0.6,81585,0.2,108318,1.75 46,1,2024-09-07 08:40:30:578,305630,305630,0,0,141996534322,1468059886,303821,1586,223,366,391524,0 46,2,2024-09-07 08:40:30:596,219005,219005,0,0,8536951,0,2920 46,3,2024-09-07 08:40:31:131,1,173,4,0,908,3047,173,0 47,0,2024-09-07 08:40:31:104,41489,0.3,41773,0.5,83789,0.2,110661,1.75 47,1,2024-09-07 08:40:30:568,306119,306119,0,0,142507355587,1470542298,304714,1388,17,366,391605,0 47,2,2024-09-07 08:40:30:920,220259,220259,0,0,8624376,0,2558 47,3,2024-09-07 08:40:31:115,1,173,0,0,529,1911,173,0 48,0,2024-09-07 08:40:31:498,48090,0.3,47649,0.4,95308,0.2,127222,1.50 48,1,2024-09-07 08:40:31:024,305555,305555,0,0,142439570674,1479778984,303493,1881,181,384,391710,0 48,2,2024-09-07 08:40:30:699,218903,218903,0,0,7716966,0,3031 48,3,2024-09-07 08:40:30:758,1,173,5,0,339,1489,173,0 49,0,2024-09-07 08:40:31:726,46591,0.5,45686,0.6,88675,0.5,121421,1.75 49,1,2024-09-07 08:40:31:021,304196,304196,0,0,142427977742,1484659134,301446,1910,840,382,391583,0 49,2,2024-09-07 08:40:31:797,221049,221049,0,0,8466149,0,3900 49,3,2024-09-07 08:40:31:419,1,173,1,0,408,2433,173,0 50,0,2024-09-07 08:40:31:509,39579,0.3,39185,0.5,78490,0.2,105028,1.75 50,1,2024-09-07 08:40:31:010,306250,306250,0,0,142989602517,1482128373,303721,2220,309,368,391530,0 50,2,2024-09-07 08:40:31:075,218330,218330,0,0,8090691,0,2253 50,3,2024-09-07 08:40:31:294,1,173,1,0,335,1378,173,0 51,0,2024-09-07 08:40:31:688,40688,0.2,40130,0.4,78028,0.2,106589,1.50 51,1,2024-09-07 08:40:31:681,305041,305041,0,0,144108153543,1489021390,303071,1184,786,365,391637,0 51,2,2024-09-07 08:40:31:318,218023,218023,0,0,7530779,0,3337 51,3,2024-09-07 08:40:31:028,1,173,23,0,162,963,173,0 52,0,2024-09-07 08:40:31:429,46741,0.5,46552,0.6,93178,0.4,123665,2.00 52,1,2024-09-07 08:40:30:580,304044,304044,0,0,141418753257,1488821241,298128,4757,1159,368,391722,0 52,2,2024-09-07 08:40:31:765,216758,216720,38,0,10131469,0,6742 52,3,2024-09-07 08:40:30:675,1,173,1,0,1782,3545,173,0 53,0,2024-09-07 08:40:31:766,45163,1.0,43844,1.0,91750,1.2,120432,2.75 53,1,2024-09-07 08:40:30:771,303607,303607,0,0,141723485606,1493125906,297975,3738,1894,367,391702,0 53,2,2024-09-07 08:40:31:298,222272,222272,0,0,8508391,0,2262 53,3,2024-09-07 08:40:30:697,1,173,1,0,271,1652,173,0 54,0,2024-09-07 08:40:31:621,39305,1.8,39912,1.2,79023,0.9,105834,3.75 54,1,2024-09-07 08:40:30:580,304370,304370,0,0,142588982073,1486998117,300398,3401,571,367,391659,0 54,2,2024-09-07 08:40:30:864,217374,217368,6,0,10021800,0,5382 54,3,2024-09-07 08:40:30:763,1,173,4,0,676,3207,173,0 55,0,2024-09-07 08:40:31:772,38393,0.4,39667,0.7,80232,0.3,104291,2.25 55,1,2024-09-07 08:40:30:765,304091,304091,0,0,141824567274,1483146601,298901,4403,787,365,391731,0 55,2,2024-09-07 08:40:30:729,216790,216790,0,0,9571099,0,3275 55,3,2024-09-07 08:40:30:674,1,173,131,0,304,1861,173,0 56,0,2024-09-07 08:40:31:565,46057,0.9,43475,1.0,89384,1.2,120214,2.25 56,1,2024-09-07 08:40:30:580,303632,303632,0,0,142260913923,1504116349,298464,4262,906,381,391678,0 56,2,2024-09-07 08:40:31:333,218796,218796,0,0,10659882,0,3567 56,3,2024-09-07 08:40:31:070,1,173,2,0,405,2348,173,0 57,0,2024-09-07 08:40:30:939,46167,2.3,46279,1.5,92551,3.3,123794,3.75 57,1,2024-09-07 08:40:30:988,304494,304494,0,0,141485327182,1480300159,301653,2671,170,368,391960,0 57,2,2024-09-07 08:40:31:320,221467,221467,0,0,10766468,0,3178 57,3,2024-09-07 08:40:31:738,1,173,1,0,359,2782,173,0 58,0,2024-09-07 08:40:30:561,41295,1.1,40221,1.1,84177,1.4,109991,2.75 58,1,2024-09-07 08:40:30:575,305071,305068,0,3,142801978656,1489955149,301549,3121,398,367,391517,3 58,2,2024-09-07 08:40:31:071,217437,217437,0,0,9736649,0,2549 58,3,2024-09-07 08:40:31:068,1,173,1,0,1043,2356,173,0 59,0,2024-09-07 08:40:31:754,41199,0.7,40887,0.9,81604,0.7,108450,2.75 59,1,2024-09-07 08:40:30:808,304228,304228,0,0,142737283746,1491628983,300577,2728,923,369,391515,0 59,2,2024-09-07 08:40:30:587,218944,218944,0,0,8679012,0,2604 59,3,2024-09-07 08:40:31:742,1,173,4,0,1015,2909,173,0 60,0,2024-09-07 08:40:31:710,42807,0.4,42679,0.5,85287,0.3,113759,1.75 60,1,2024-09-07 08:40:30:776,305620,305620,0,0,142971995644,1481362096,304159,1103,358,370,391761,0 60,2,2024-09-07 08:40:31:147,219675,219675,0,0,9876324,0,3811 60,3,2024-09-07 08:40:31:302,1,173,1,0,124,1669,173,0 61,0,2024-09-07 08:40:31:519,47916,1.1,48092,1.0,95969,1.6,127839,2.00 61,1,2024-09-07 08:40:30:793,304170,304170,0,0,142158206213,1489753756,300725,2892,553,382,391589,0 61,2,2024-09-07 08:40:31:133,220165,220165,0,0,8728289,0,2079 61,3,2024-09-07 08:40:31:703,1,173,1,0,199,1967,173,0 62,0,2024-09-07 08:40:31:726,45266,1.3,46230,1.0,88129,1.7,120071,2.25 62,1,2024-09-07 08:40:31:125,306437,306431,0,6,143097214757,1475903326,304751,1641,39,365,391715,6 62,2,2024-09-07 08:40:31:659,217892,217891,1,0,10191764,0,5555 62,3,2024-09-07 08:40:31:143,1,173,1,0,287,1192,173,0 63,0,2024-09-07 08:40:31:458,40043,0.5,39931,0.7,80050,0.5,106876,1.75 63,1,2024-09-07 08:40:30:805,305545,305539,0,6,142695451467,1477782796,304295,1217,27,381,391677,6 63,2,2024-09-07 08:40:30:761,218442,218442,0,0,8146544,0,2674 63,3,2024-09-07 08:40:31:732,1,173,0,0,667,2428,173,0 64,0,2024-09-07 08:40:31:527,40453,0.4,40441,0.6,81130,0.3,108149,1.75 64,1,2024-09-07 08:40:30:753,304994,304994,0,0,141885360316,1482242177,301522,2417,1055,370,391783,0 64,2,2024-09-07 08:40:31:172,220064,220045,19,0,8838651,0,6121 64,3,2024-09-07 08:40:31:142,1,173,3,0,265,1859,173,0 65,0,2024-09-07 08:40:31:689,45857,0.7,46361,0.8,92428,0.7,123747,2.25 65,1,2024-09-07 08:40:30:859,303969,303969,0,0,141257232266,1478022558,301447,2298,224,382,391770,0 65,2,2024-09-07 08:40:31:704,218069,218069,0,0,9290655,0,3367 65,3,2024-09-07 08:40:31:690,1,173,1,0,163,1713,173,0 66,0,2024-09-07 08:40:31:776,45325,0.7,45318,0.9,91149,0.7,121157,2.50 66,1,2024-09-07 08:40:31:302,305820,305820,0,0,142550949027,1481398458,303945,1704,171,380,391588,0 66,2,2024-09-07 08:40:31:132,222973,222973,0,0,8739596,0,4956 66,3,2024-09-07 08:40:31:079,1,173,2,0,291,1739,173,0 67,0,2024-09-07 08:40:31:414,39578,0.8,39286,0.9,78884,0.9,104898,2.25 67,1,2024-09-07 08:40:30:767,304157,304156,0,1,141926448415,1483866760,300687,2722,747,381,391787,1 67,2,2024-09-07 08:40:30:585,218838,218838,0,0,8290574,0,2889 67,3,2024-09-07 08:40:31:754,1,173,0,0,138,1311,173,0 68,0,2024-09-07 08:40:30:601,39980,0.4,39788,0.6,79594,0.3,105972,2.00 68,1,2024-09-07 08:40:30:583,303808,303808,0,0,141864289764,1487572563,300947,1841,1020,381,391953,0 68,2,2024-09-07 08:40:31:064,216655,216590,65,0,11508317,0,6698 68,3,2024-09-07 08:40:30:728,1,173,1,0,417,2106,173,0 69,0,2024-09-07 08:40:31:753,45925,0.6,46100,0.8,91499,0.6,122632,2.00 69,1,2024-09-07 08:40:31:016,302999,302999,0,0,141738535274,1496554040,298987,2797,1215,384,391994,0 69,2,2024-09-07 08:40:31:734,219058,219058,0,0,10148503,0,3701 69,3,2024-09-07 08:40:30:763,1,173,54,0,238,2121,173,0 70,0,2024-09-07 08:40:31:537,45836,1.8,46071,1.4,92468,1.2,122756,2.75 70,1,2024-09-07 08:40:30:803,304587,304587,0,0,142184458147,1476504064,302129,1996,462,366,391725,0 70,2,2024-09-07 08:40:31:331,221929,221929,0,0,9294582,0,4044 70,3,2024-09-07 08:40:30:747,1,173,1,0,854,2214,173,0 71,0,2024-09-07 08:40:31:371,40579,1.9,40627,1.6,81051,2.8,108870,3.50 71,1,2024-09-07 08:40:31:596,304759,304759,0,0,142300220372,1487822240,300642,3592,525,368,391682,0 71,2,2024-09-07 08:40:31:066,218043,218043,0,0,9277311,0,2470 71,3,2024-09-07 08:40:31:759,1,173,0,0,644,2602,173,0 72,0,2024-09-07 08:40:31:029,41858,0.4,40949,0.7,79859,0.3,108318,2.00 72,1,2024-09-07 08:40:31:033,304211,304211,0,0,141777797806,1479375212,300943,2782,486,369,391819,0 72,2,2024-09-07 08:40:31:768,216962,216962,0,0,10932293,0,2570 72,3,2024-09-07 08:40:31:755,1,173,1,0,364,3285,173,0 73,0,2024-09-07 08:40:31:105,42511,0.4,43587,0.5,89129,0.3,116167,2.00 73,1,2024-09-07 08:40:30:776,304423,304423,0,0,141938090431,1472305235,302616,1692,115,367,391750,0 73,2,2024-09-07 08:40:31:739,219969,219969,0,0,10238499,0,3482 73,3,2024-09-07 08:40:30:977,1,173,5,0,274,2622,173,0 74,0,2024-09-07 08:40:31:326,48326,0.5,49292,0.7,93947,0.5,127417,2.25 74,1,2024-09-07 08:40:30:636,304167,304167,0,0,141733878972,1478519276,301374,2171,622,382,391681,0 74,2,2024-09-07 08:40:31:002,219567,219567,0,0,10234269,0,4253 74,3,2024-09-07 08:40:31:444,1,173,1,0,522,2790,173,0 75,0,2024-09-07 08:40:31:772,43965,1.1,43607,1.1,87402,1.3,117162,2.75 75,1,2024-09-07 08:40:31:585,304002,304002,0,0,141574977823,1481827650,300605,2937,460,381,391579,0 75,2,2024-09-07 08:40:31:350,217719,217719,0,0,9828579,0,4766 75,3,2024-09-07 08:40:31:069,1,173,1,0,535,1889,173,0 76,0,2024-09-07 08:40:30:628,40712,0.4,40386,0.7,80756,0.3,108583,2.25 76,1,2024-09-07 08:40:30:812,304510,304510,0,0,141837010571,1479296083,302446,1553,511,382,391692,0 76,2,2024-09-07 08:40:31:078,219225,219225,0,0,8646889,0,3064 76,3,2024-09-07 08:40:31:142,1,173,0,0,175,1982,173,0 77,0,2024-09-07 08:40:31:729,41463,0.4,41696,0.6,83434,0.4,110451,1.75 77,1,2024-09-07 08:40:30:832,305673,305673,0,0,142233950510,1481998309,304074,1514,85,383,391808,0 77,2,2024-09-07 08:40:31:298,218618,218618,0,0,9013239,0,3890 77,3,2024-09-07 08:40:31:098,1,173,1,0,305,2082,173,0 78,0,2024-09-07 08:40:31:726,47707,0.5,47460,0.7,95622,0.4,126744,2.00 78,1,2024-09-07 08:40:30:647,304983,304983,0,0,141382274997,1470132603,302646,2084,253,367,391589,0 78,2,2024-09-07 08:40:31:404,219616,219616,0,0,8270347,0,2114 78,3,2024-09-07 08:40:31:133,1,173,1,0,181,1706,173,0 79,0,2024-09-07 08:40:31:361,43935,0.5,44944,0.7,91978,0.5,119822,2.50 79,1,2024-09-07 08:40:30:582,305668,305668,0,0,142341204317,1474529731,303417,2078,173,369,391682,0 79,2,2024-09-07 08:40:31:075,220736,220736,0,0,8407679,0,3212 79,3,2024-09-07 08:40:30:755,1,173,11,0,418,2959,173,0 80,0,2024-09-07 08:40:31:137,39341,0.6,40338,0.8,77421,0.6,105039,2.00 80,1,2024-09-07 08:40:31:631,304161,304161,0,0,142247458184,1480887755,301633,2365,163,368,391791,0 80,2,2024-09-07 08:40:31:091,218993,218993,0,0,8922105,0,4433 80,3,2024-09-07 08:40:30:575,1,173,1,0,190,2673,173,0 81,0,2024-09-07 08:40:31:585,39806,0.4,41048,0.6,78606,0.4,106179,1.75 81,1,2024-09-07 08:40:31:673,304415,304415,0,0,141408419572,1478038704,301932,2210,273,382,391879,0 81,2,2024-09-07 08:40:31:133,217550,217550,0,0,9373720,0,3993 81,3,2024-09-07 08:40:31:128,1,173,31,0,193,1665,173,0 82,0,2024-09-07 08:40:31:537,46177,0.4,46491,0.7,93057,0.4,123884,1.75 82,1,2024-09-07 08:40:30:583,305133,305129,0,4,141917447425,1479305324,302683,1868,578,381,391558,4 82,2,2024-09-07 08:40:31:704,218565,218565,0,0,8507853,0,3986 82,3,2024-09-07 08:40:31:753,1,173,2,0,227,1923,173,0 83,0,2024-09-07 08:40:31:533,45476,0.7,45504,0.8,90388,0.8,120658,2.25 83,1,2024-09-07 08:40:30:557,304426,304426,0,0,141952048103,1482699544,301850,2353,223,382,391690,0 83,2,2024-09-07 08:40:30:764,221571,221571,0,0,8554752,0,3119 83,3,2024-09-07 08:40:30:751,1,173,1,0,241,1909,173,0 84,0,2024-09-07 08:40:31:778,40046,1.2,39895,1.2,80209,1.0,107155,2.75 84,1,2024-09-07 08:40:31:039,303972,303972,0,0,141788635219,1484431215,300566,2948,458,368,391852,0 84,2,2024-09-07 08:40:30:579,217727,217727,0,0,9712128,0,3801 84,3,2024-09-07 08:40:31:144,1,173,22,0,270,2007,173,0 85,0,2024-09-07 08:40:31:008,38371,0.4,38335,0.7,81352,0.4,105425,2.00 85,1,2024-09-07 08:40:30:573,303510,303510,0,0,141144453745,1494972948,298052,4378,1080,382,392006,0 85,2,2024-09-07 08:40:30:864,217690,217690,0,0,10395364,0,3656 85,3,2024-09-07 08:40:30:685,1,173,1,0,789,2512,173,0 86,0,2024-09-07 08:40:30:888,44926,0.5,46172,0.6,88576,0.4,120113,1.75 86,1,2024-09-07 08:40:30:829,304173,304173,0,0,142561303876,1492510532,300210,3418,545,366,391961,0 86,2,2024-09-07 08:40:30:858,217968,217967,1,0,10724241,0,5004 86,3,2024-09-07 08:40:30:586,1,173,9,0,286,2464,173,0 87,0,2024-09-07 08:40:31:309,46913,1.4,46700,1.1,93794,2.1,125575,2.25 87,1,2024-09-07 08:40:30:556,304219,304219,0,0,142069141569,1487235559,300998,2828,393,366,391788,0 87,2,2024-09-07 08:40:31:066,220164,220164,0,0,9120076,0,3515 87,3,2024-09-07 08:40:31:796,1,173,8,0,335,2889,173,0 88,0,2024-09-07 08:40:31:505,42533,0.6,42706,0.7,85329,0.7,113305,1.75 88,1,2024-09-07 08:40:30:576,303385,303385,0,0,142070332036,1490610703,299329,2883,1173,365,392084,0 88,2,2024-09-07 08:40:30:687,217272,217272,0,0,10430462,0,3583 88,3,2024-09-07 08:40:31:308,1,173,8,0,435,2378,173,0 89,0,2024-09-07 08:40:31:852,42336,0.4,40963,0.6,81186,0.3,109889,1.75 89,1,2024-09-07 08:40:30:557,302617,302617,0,0,141820748129,1498909126,297177,4502,938,382,391866,0 89,2,2024-09-07 08:40:31:144,217793,217793,0,0,10333427,0,2910 89,3,2024-09-07 08:40:31:792,1,173,2,0,325,3197,173,0 90,0,2024-09-07 08:40:31:624,41353,0.3,42206,0.5,86861,0.3,113313,1.75 90,1,2024-09-07 08:40:30:597,304561,304561,0,0,141228528136,1482120170,301329,2975,257,381,391825,0 90,2,2024-09-07 08:40:31:405,218718,218718,0,0,11262931,0,3060 90,3,2024-09-07 08:40:30:932,1,173,2,0,200,1955,173,0 91,0,2024-09-07 08:40:30:988,48556,0.5,46849,0.6,97541,0.4,128189,1.75 91,1,2024-09-07 08:40:30:570,303676,303676,0,0,142059967477,1491732338,299920,3258,498,381,392047,0 91,2,2024-09-07 08:40:31:334,218435,218435,0,0,10495966,0,2445 91,3,2024-09-07 08:40:30:615,1,173,1,0,216,1908,173,0 92,0,2024-09-07 08:40:31:451,45348,0.7,46524,0.8,88901,0.8,120409,1.75 92,1,2024-09-07 08:40:30:580,304483,304483,0,0,141736624170,1480321497,302300,1779,404,382,392136,0 92,2,2024-09-07 08:40:31:351,220680,220680,0,0,8765427,0,2801 92,3,2024-09-07 08:40:31:011,1,173,0,0,167,1533,173,0 93,0,2024-09-07 08:40:31:006,40269,0.4,41259,0.6,78846,0.4,107276,1.75 93,1,2024-09-07 08:40:30:810,304324,304324,0,0,142335742632,1486041496,301200,2572,552,366,391692,0 93,2,2024-09-07 08:40:30:930,218791,218791,0,0,8804147,0,2509 93,3,2024-09-07 08:40:31:407,1,173,0,0,143,1737,173,0 94,0,2024-09-07 08:40:31:648,40612,0.3,41026,0.4,81354,0.2,108003,1.50 94,1,2024-09-07 08:40:30:586,304130,304130,0,0,141959245233,1484318105,301679,2355,96,381,391850,0 94,2,2024-09-07 08:40:30:764,218105,218105,0,0,8575544,0,2443 94,3,2024-09-07 08:40:31:710,1,173,2,0,264,2487,173,0 95,0,2024-09-07 08:40:31:350,46756,0.3,46519,0.5,93751,0.3,124471,1.75 95,1,2024-09-07 08:40:30:851,304783,304783,0,0,142010344947,1477124401,302391,2208,184,367,391662,0 95,2,2024-09-07 08:40:31:032,218300,218300,0,0,8837697,0,3308 95,3,2024-09-07 08:40:31:719,1,173,12,0,718,3148,173,0 96,0,2024-09-07 08:40:31:094,45780,0.6,45955,0.6,92086,0.6,121777,1.75 96,1,2024-09-07 08:40:31:583,304266,304266,0,0,142454082712,1489880406,301653,1970,643,385,391894,0 96,2,2024-09-07 08:40:31:319,221388,221388,0,0,9354083,0,4042 96,3,2024-09-07 08:40:31:142,1,173,2,0,188,1907,173,0 97,0,2024-09-07 08:40:31:420,39663,0.4,39470,0.5,79009,0.4,104992,1.75 97,1,2024-09-07 08:40:30:772,305121,305121,0,0,143325694213,1490413654,302312,2259,550,367,392140,0 97,2,2024-09-07 08:40:30:610,217995,217995,0,0,8534979,0,3036 97,3,2024-09-07 08:40:30:579,1,173,3,0,165,1980,173,0 98,0,2024-09-07 08:40:31:737,39946,0.2,39816,0.4,79971,0.2,106225,1.50 98,1,2024-09-07 08:40:30:574,304899,304899,0,0,142066116638,1480818013,303074,1732,93,382,391997,0 98,2,2024-09-07 08:40:30:770,218422,218422,0,0,8864963,0,3080 98,3,2024-09-07 08:40:30:698,1,173,1,0,840,3249,173,0 99,0,2024-09-07 08:40:31:464,45835,0.3,45917,0.4,91703,0.2,122857,1.50 99,1,2024-09-07 08:40:31:725,305120,305120,0,0,142603405649,1489051600,302053,2371,696,381,391744,0 99,2,2024-09-07 08:40:31:417,220713,220713,0,0,8646790,0,2615 99,3,2024-09-07 08:40:30:584,1,173,29,0,129,1288,173,0 100,0,2024-09-07 08:40:31:495,46326,1.1,46268,1.3,92506,2.0,123606,2.50 100,1,2024-09-07 08:40:30:564,302396,302396,0,0,141578645522,1500641567,297137,4327,932,381,391989,0 100,2,2024-09-07 08:40:31:818,220456,220445,11,0,10002474,0,5417 100,3,2024-09-07 08:40:31:734,1,173,66,0,559,3776,173,0 101,0,2024-09-07 08:40:31:769,41901,2.7,40851,1.6,80084,3.2,110162,3.00 101,1,2024-09-07 08:40:30:552,303378,303378,0,0,141644514455,1496500024,298013,3888,1477,368,391769,0 101,2,2024-09-07 08:40:31:756,216833,216833,0,0,10949106,0,4644 101,3,2024-09-07 08:40:30:941,1,173,1,0,448,2068,173,0 102,0,2024-09-07 08:40:30:943,39575,0.5,40911,0.7,82340,0.4,107597,2.00 102,1,2024-09-07 08:40:31:152,303955,303955,0,0,141509791661,1488503320,299861,3381,713,369,391883,0 102,2,2024-09-07 08:40:31:737,218095,218041,54,0,10329473,0,6768 102,3,2024-09-07 08:40:31:613,1,173,2,0,410,1756,173,0 103,0,2024-09-07 08:40:31:594,44853,0.4,44902,0.6,84660,0.3,116961,1.75 103,1,2024-09-07 08:40:31:639,302880,302880,0,0,141495843570,1491685036,298429,3242,1209,381,391829,0 103,2,2024-09-07 08:40:30:593,219405,219405,0,0,9282744,0,2104 103,3,2024-09-07 08:40:30:758,1,173,0,0,916,2961,173,0 104,0,2024-09-07 08:40:31:027,47546,1.2,47799,1.1,94420,1.3,127475,2.25 104,1,2024-09-07 08:40:31:599,304422,304422,0,0,140960227143,1486065467,299408,4049,965,365,391948,0 104,2,2024-09-07 08:40:31:684,219068,219068,0,0,10297923,0,3941 104,3,2024-09-07 08:40:31:415,1,173,4,1,1245,5159,173,0 105,0,2024-09-07 08:40:31:055,43337,1.6,42039,1.4,87901,2.5,116071,3.75 105,1,2024-09-07 08:40:30:570,304686,304686,0,0,141432194987,1483946140,300469,3440,777,367,391797,0 105,2,2024-09-07 08:40:31:373,217154,217154,0,0,9648099,0,3509 105,3,2024-09-07 08:40:31:305,1,173,1,0,399,2649,173,0 106,0,2024-09-07 08:40:30:950,39448,0.6,40580,0.8,82507,0.6,108227,2.25 106,1,2024-09-07 08:40:31:752,304461,304461,0,0,142130919059,1490483797,300667,3410,384,369,391767,0 106,2,2024-09-07 08:40:30:759,217647,217647,0,0,9786948,0,2795 106,3,2024-09-07 08:40:30:676,1,173,1,0,405,2442,173,0 107,0,2024-09-07 08:40:31:109,41504,0.4,41564,0.6,82550,0.3,110742,1.75 107,1,2024-09-07 08:40:30:596,303823,303823,0,0,141849754657,1490070087,300821,2771,231,381,392234,0 107,2,2024-09-07 08:40:31:295,218905,218904,1,0,9899391,0,5024 107,3,2024-09-07 08:40:31:759,1,173,7,0,353,2544,173,0 108,0,2024-09-07 08:40:31:789,47873,0.4,47955,0.6,95276,0.4,127248,1.75 108,1,2024-09-07 08:40:31:306,304010,304010,0,0,142190344452,1484178662,301342,2354,314,368,391857,0 108,2,2024-09-07 08:40:31:777,217266,217266,0,0,9319015,0,2647 108,3,2024-09-07 08:40:31:336,1,173,10,0,667,3364,173,0 109,0,2024-09-07 08:40:31:778,45574,0.4,45234,0.6,90551,0.4,121581,1.75 109,1,2024-09-07 08:40:30:584,303713,303713,0,0,141987939300,1490150240,300928,2289,496,383,392132,0 109,2,2024-09-07 08:40:30:932,219479,219479,0,0,9604461,0,3617 109,3,2024-09-07 08:40:31:142,1,173,1,0,249,2347,173,0 110,0,2024-09-07 08:40:31:751,39622,0.4,38489,0.6,80380,0.3,105326,1.75 110,1,2024-09-07 08:40:31:643,305005,305005,0,0,142877814567,1486056095,302391,1849,765,370,391667,0 110,2,2024-09-07 08:40:31:303,218728,218728,0,0,8514753,0,2915 110,3,2024-09-07 08:40:30:690,1,173,11,0,406,2394,173,0 111,0,2024-09-07 08:40:31:414,40073,0.2,39609,0.4,79066,0.1,106574,1.50 111,1,2024-09-07 08:40:31:002,305406,305406,0,0,142999264489,1483799004,303626,1447,333,382,391690,0 111,2,2024-09-07 08:40:31:130,217355,217355,0,0,9330067,0,2763 111,3,2024-09-07 08:40:30:916,1,173,2,0,379,2611,173,0 112,0,2024-09-07 08:40:30:914,46526,0.3,46539,0.4,92901,0.2,123902,1.50 112,1,2024-09-07 08:40:30:829,305709,305709,0,0,141890613073,1474858118,303381,1902,426,380,391580,0 112,2,2024-09-07 08:40:31:133,218458,218457,1,0,9287190,0,5036 112,3,2024-09-07 08:40:30:592,1,173,1,0,282,1911,173,0 113,0,2024-09-07 08:40:30:875,45325,0.4,45183,0.5,91112,0.3,121792,1.75 113,1,2024-09-07 08:40:31:712,305342,305342,0,0,142958241074,1479796246,302923,1931,488,366,391661,0 113,2,2024-09-07 08:40:31:303,222940,222940,0,0,8151252,0,3813 113,3,2024-09-07 08:40:30:684,1,173,1,0,340,2818,173,0 114,0,2024-09-07 08:40:30:877,40890,0.6,41493,0.7,81477,0.4,108963,2.00 114,1,2024-09-07 08:40:30:716,304397,304397,0,0,141980667341,1485422363,300265,2669,1463,381,391534,0 114,2,2024-09-07 08:40:30:874,217935,217935,0,0,8522059,0,3925 114,3,2024-09-07 08:40:31:294,1,173,2,0,395,2053,173,0 115,0,2024-09-07 08:40:30:568,39651,0.2,40057,0.4,79944,0.1,106154,1.50 115,1,2024-09-07 08:40:30:579,304842,304842,0,0,142323839982,1483519455,301198,2823,821,382,391602,0 115,2,2024-09-07 08:40:31:133,218841,218841,0,0,7894323,0,2152 115,3,2024-09-07 08:40:31:002,1,173,1,0,159,1094,173,0 116,0,2024-09-07 08:40:31:734,45117,0.5,44590,0.7,89609,0.5,119858,2.00 116,1,2024-09-07 08:40:30:819,302153,302153,0,0,141477951750,1501898041,297235,3160,1758,382,391677,0 116,2,2024-09-07 08:40:31:756,218316,218316,0,0,11024619,0,3529 116,3,2024-09-07 08:40:30:916,1,173,3,0,252,2457,173,0 117,0,2024-09-07 08:40:31:043,46777,1.3,46928,1.0,93742,1.7,125548,2.00 117,1,2024-09-07 08:40:31:578,304065,304065,0,0,141550087934,1483774882,300386,3250,429,370,392033,0 117,2,2024-09-07 08:40:31:131,221926,221926,0,0,8908702,0,3700 117,3,2024-09-07 08:40:31:061,1,173,3,0,490,3414,173,0 118,0,2024-09-07 08:40:31:797,41366,0.9,42605,0.9,86528,1.1,112608,2.25 118,1,2024-09-07 08:40:30:592,304070,304070,0,0,141527073174,1491939759,299213,3532,1325,366,391736,0 118,2,2024-09-07 08:40:31:589,217268,217268,0,0,9925797,0,2781 118,3,2024-09-07 08:40:31:768,1,173,4,0,235,2199,173,0 119,0,2024-09-07 08:40:31:361,40733,0.6,40989,0.8,82663,0.5,109031,2.00 119,1,2024-09-07 08:40:30:569,304790,304790,0,0,142477398376,1486042015,302297,2203,290,369,391641,0 119,2,2024-09-07 08:40:31:303,217815,217815,0,0,9229571,0,3526 119,3,2024-09-07 08:40:31:335,1,173,5,0,443,2970,173,0 120,0,2024-09-07 08:40:31:636,42404,0.4,42387,0.7,84821,0.4,113467,2.00 120,1,2024-09-07 08:40:30:860,304835,304835,0,0,141411362659,1484064128,301531,3041,263,368,391961,0 120,2,2024-09-07 08:40:30:773,218819,218818,1,0,11594376,0,5281 120,3,2024-09-07 08:40:31:295,1,173,1,0,241,2405,173,0 121,0,2024-09-07 08:40:31:705,47683,1.3,48133,1.1,95881,1.9,127612,2.25 121,1,2024-09-07 08:40:31:666,304276,304276,0,0,141799418679,1483432060,301499,2487,290,367,391840,0 121,2,2024-09-07 08:40:31:136,218195,218195,0,0,10509813,0,4127 121,3,2024-09-07 08:40:30:728,1,173,3,0,269,2305,173,0 122,0,2024-09-07 08:40:31:813,44771,1.1,43630,1.1,91407,1.3,120455,2.25 122,1,2024-09-07 08:40:30:871,303308,303308,0,0,142241550531,1493920325,299160,3440,708,366,392130,0 122,2,2024-09-07 08:40:31:319,218878,218878,0,0,11478038,0,3364 122,3,2024-09-07 08:40:30:594,1,173,1,0,411,3843,173,0 123,0,2024-09-07 08:40:30:976,40085,0.8,39033,0.8,81667,0.9,106842,2.00 123,1,2024-09-07 08:40:30:573,304370,304370,0,0,142509162236,1498879288,299291,4385,694,369,391823,0 123,2,2024-09-07 08:40:31:023,216822,216821,1,0,10182495,0,5215 123,3,2024-09-07 08:40:31:132,1,173,3,0,168,2042,173,0 124,0,2024-09-07 08:40:30:934,41885,0.3,41796,0.5,79122,0.2,108462,1.50 124,1,2024-09-07 08:40:31:027,304908,304908,0,0,142325273976,1478971917,302813,1739,356,367,392178,0 124,2,2024-09-07 08:40:31:010,218766,218766,0,0,8637386,0,3101 124,3,2024-09-07 08:40:30:758,1,173,0,0,490,2311,173,0 125,0,2024-09-07 08:40:31:484,46653,0.4,46665,0.5,93422,0.3,124667,1.75 125,1,2024-09-07 08:40:30:862,304765,304765,0,0,142038023382,1483430678,302393,2095,277,384,391702,0 125,2,2024-09-07 08:40:31:126,218964,218964,0,0,8537180,0,2180 125,3,2024-09-07 08:40:31:132,1,173,1,0,284,2156,173,0 126,0,2024-09-07 08:40:31:442,45936,0.7,47095,0.7,90059,0.8,122570,1.75 126,1,2024-09-07 08:40:30:556,305029,305029,0,0,142843502853,1480543596,303388,1563,78,365,391987,0 126,2,2024-09-07 08:40:30:610,222472,222472,0,0,8931507,0,3186 126,3,2024-09-07 08:40:30:911,1,173,120,0,150,2520,173,0 127,0,2024-09-07 08:40:31:602,39347,0.4,39564,0.6,78856,0.4,104914,1.75 127,1,2024-09-07 08:40:30:579,304516,304516,0,0,142513634887,1476898917,302436,2039,41,365,391816,0 127,2,2024-09-07 08:40:30:639,218177,218177,0,0,8394702,0,2264 127,3,2024-09-07 08:40:31:301,1,173,17,0,243,1516,173,0 128,0,2024-09-07 08:40:31:550,40092,0.3,39883,0.4,79902,0.2,106315,1.50 128,1,2024-09-07 08:40:31:619,305287,305287,0,0,142997766626,1480389738,304307,908,72,367,391680,0 128,2,2024-09-07 08:40:31:383,217509,217509,0,0,8349887,0,2107 128,3,2024-09-07 08:40:30:767,1,173,1,0,333,2429,173,0 129,0,2024-09-07 08:40:31:000,46249,0.3,45928,0.4,91920,0.2,122669,1.50 129,1,2024-09-07 08:40:30:576,303208,303208,0,0,141511020764,1482040241,300275,2539,394,379,391835,0 129,2,2024-09-07 08:40:30:686,218734,218734,0,0,8736266,0,4031 129,3,2024-09-07 08:40:30:688,1,173,1,0,173,2016,173,0 130,0,2024-09-07 08:40:31:770,46731,0.7,46511,0.7,93356,0.9,124518,1.75 130,1,2024-09-07 08:40:30:586,304888,304888,0,0,142363364818,1483317952,302771,2045,72,381,391825,0 130,2,2024-09-07 08:40:31:126,222298,222298,0,0,8625268,0,4067 130,3,2024-09-07 08:40:31:294,1,173,1,0,450,2032,173,0 131,0,2024-09-07 08:40:31:946,41278,0.5,41504,0.6,83827,0.6,110425,1.75 131,1,2024-09-07 08:40:31:837,305550,305550,0,0,142080468973,1477819260,304076,1268,206,383,391865,0 131,2,2024-09-07 08:40:30:568,218082,218082,0,0,8134678,0,2415 131,3,2024-09-07 08:40:31:692,1,173,25,0,392,1905,173,0 132,0,2024-09-07 08:40:31:440,40211,0.4,40736,0.7,81432,0.4,107938,1.75 132,1,2024-09-07 08:40:30:578,303478,303478,0,0,141081174658,1491471739,298056,4390,1032,381,392097,0 132,2,2024-09-07 08:40:30:698,217659,217659,0,0,11022559,0,4606 132,3,2024-09-07 08:40:31:702,1,173,3,0,356,3085,173,0 133,0,2024-09-07 08:40:31:598,42525,0.4,43493,0.5,89061,0.3,115982,1.75 133,1,2024-09-07 08:40:30:583,302980,302980,0,0,141698636608,1495024638,298468,3871,641,383,391914,0 133,2,2024-09-07 08:40:31:090,219098,219098,0,0,11129226,0,4315 133,3,2024-09-07 08:40:31:298,1,173,0,0,187,1466,173,0 134,0,2024-09-07 08:40:30:974,47786,0.5,47737,0.7,96069,0.5,127386,2.00 134,1,2024-09-07 08:40:30:587,303746,303746,0,0,141663406834,1486370283,299350,3170,1226,366,391718,0 134,2,2024-09-07 08:40:31:762,219193,219193,0,0,9445691,0,3096 134,3,2024-09-07 08:40:30:749,1,173,3,0,739,3040,173,0 135,0,2024-09-07 08:40:31:133,42469,1.4,42398,1.3,90014,1.7,115659,2.50 135,1,2024-09-07 08:40:31:586,303268,303268,0,0,141936413489,1493235838,299072,3492,704,380,391805,0 135,2,2024-09-07 08:40:30:689,218491,218491,0,0,10154416,0,3981 135,3,2024-09-07 08:40:31:005,1,173,7,0,89,1008,173,0 136,0,2024-09-07 08:40:31:630,40981,0.5,40930,0.7,82186,0.4,109158,2.00 136,1,2024-09-07 08:40:31:446,303732,303732,0,0,141525589072,1483591778,300376,3182,174,383,391641,0 136,2,2024-09-07 08:40:31:136,219160,219160,0,0,9836963,0,3506 136,3,2024-09-07 08:40:31:108,1,173,7,0,108,1364,173,0 137,0,2024-09-07 08:40:30:932,42574,0.4,41318,0.6,81536,0.3,110790,1.75 137,1,2024-09-07 08:40:30:580,304040,304040,0,0,141676236406,1482922893,299898,3721,421,366,391708,0 137,2,2024-09-07 08:40:31:704,218709,218709,0,0,11233535,0,3185 137,3,2024-09-07 08:40:30:772,1,173,0,0,227,1954,173,0 138,0,2024-09-07 08:40:31:755,47290,1.1,47274,1.0,95299,1.5,126965,2.25 138,1,2024-09-07 08:40:31:685,304285,304285,0,0,142594995091,1489959073,301197,2735,353,368,391954,0 138,2,2024-09-07 08:40:30:591,219202,219202,0,0,9250195,0,3263 138,3,2024-09-07 08:40:30:610,1,173,11,0,1160,3232,173,0 139,0,2024-09-07 08:40:31:360,44290,2.8,44501,1.7,89719,4.1,120082,3.00 139,1,2024-09-07 08:40:30:583,302750,302750,0,0,141089166214,1496713337,297468,3958,1324,381,391892,0 139,2,2024-09-07 08:40:30:702,218701,218701,0,0,10447020,0,3097 139,3,2024-09-07 08:40:31:666,1,173,0,0,244,1907,173,0 140,0,2024-09-07 08:40:31:589,39839,0.4,39448,0.5,79459,0.3,105421,1.75 140,1,2024-09-07 08:40:31:540,305606,305606,0,0,142809538436,1472766547,304086,1280,240,365,391606,0 140,2,2024-09-07 08:40:30:689,218790,218790,0,0,8900257,0,3388 140,3,2024-09-07 08:40:30:768,1,173,1,0,247,1473,173,0 141,0,2024-09-07 08:40:31:712,39730,0.2,40818,0.4,78179,0.1,106505,1.50 141,1,2024-09-07 08:40:30:858,305282,305282,0,0,143393737909,1487844294,303328,1601,353,379,391614,0 141,2,2024-09-07 08:40:31:704,218248,218248,0,0,8361232,0,2342 141,3,2024-09-07 08:40:31:043,1,173,1,0,147,1368,173,0 142,0,2024-09-07 08:40:31:318,46994,0.3,46712,0.5,92813,0.2,124174,1.50 142,1,2024-09-07 08:40:30:586,304528,304528,0,0,141877541733,1478386126,302979,1390,159,383,391728,0 142,2,2024-09-07 08:40:31:300,217497,217465,32,0,9788476,0,6028 142,3,2024-09-07 08:40:31:753,1,173,1,0,484,2357,173,0 143,0,2024-09-07 08:40:31:423,45546,0.7,45481,0.7,91678,0.7,121712,2.00 143,1,2024-09-07 08:40:30:568,304983,304983,0,0,142114417151,1474865645,302857,2082,44,367,391619,0 143,2,2024-09-07 08:40:30:777,222020,222020,0,0,8988590,0,2669 143,3,2024-09-07 08:40:31:142,1,173,4,0,303,2684,173,0 144,0,2024-09-07 08:40:31:509,39054,0.8,40293,1.3,81739,0.8,107458,2.25 144,1,2024-09-07 08:40:30:568,303421,303421,0,0,141289299153,1481517267,301018,2199,204,381,391649,0 144,2,2024-09-07 08:40:31:756,218032,218032,0,0,8735236,0,3473 144,3,2024-09-07 08:40:31:746,1,173,3,0,249,2134,173,0 145,0,2024-09-07 08:40:31:359,38484,0.5,38448,0.7,81601,0.4,105729,2.00 145,1,2024-09-07 08:40:30:557,302564,302564,0,0,141237722517,1488394571,298163,3664,737,382,391615,0 145,2,2024-09-07 08:40:31:448,217370,217370,0,0,9665502,0,3903 145,3,2024-09-07 08:40:30:906,1,173,23,0,151,1960,173,0 146,0,2024-09-07 08:40:31:630,44841,0.4,44445,0.6,89745,0.3,119503,2.00 146,1,2024-09-07 08:40:31:601,304634,304634,0,0,142113420123,1490179699,300107,3666,861,368,391629,0 146,2,2024-09-07 08:40:31:703,219061,219061,0,0,9572134,0,2498 146,3,2024-09-07 08:40:31:296,1,173,2,0,1520,5227,173,0 147,0,2024-09-07 08:40:31:735,46902,0.8,46855,0.9,93193,0.8,125164,2.25 147,1,2024-09-07 08:40:31:373,305422,305422,0,0,142387885193,1482826025,302312,2612,498,368,391791,0 147,2,2024-09-07 08:40:31:012,222057,222057,0,0,8826812,0,2789 147,3,2024-09-07 08:40:30:935,1,173,1,0,371,2052,173,0 0,0,2024-09-07 08:40:41:800,41621,0.4,41532,0.6,88052,0.3,114156,1.75 0,1,2024-09-07 08:40:40:807,306000,306000,0,0,143262655637,1497963755,304028,1835,137,372,391772,0 0,2,2024-09-07 08:40:41:096,220695,220695,0,0,9202629,0,4480 0,3,2024-09-07 08:40:40:989,1,174,6,0,247,2220,174,0 1,0,2024-09-07 08:40:41:785,48280,1.2,47875,1.1,96086,1.6,128126,2.25 1,1,2024-09-07 08:40:40:612,305824,305824,0,0,142539573970,1495578524,302379,2578,867,371,391857,0 1,2,2024-09-07 08:40:40:708,219602,219602,0,0,8496398,0,3267 1,3,2024-09-07 08:40:41:314,1,174,4,0,262,2042,174,0 2,0,2024-09-07 08:40:41:609,45048,1.1,45349,1.0,89962,1.4,120149,2.25 2,1,2024-09-07 08:40:40:862,305860,305860,0,0,143312752677,1489192996,304350,1304,206,380,391745,0 2,2,2024-09-07 08:40:41:276,219688,219688,0,0,8964416,0,3594 2,3,2024-09-07 08:40:40:787,1,174,2,0,357,1738,174,0 3,0,2024-09-07 08:40:41:755,40039,0.5,40355,0.7,80434,0.4,107155,2.00 3,1,2024-09-07 08:40:41:619,305458,305458,0,0,142621403719,1488217555,302348,2686,424,380,391516,0 3,2,2024-09-07 08:40:41:142,220078,220055,23,0,9042232,0,5851 3,3,2024-09-07 08:40:41:754,1,174,0,0,103,1134,174,0 4,0,2024-09-07 08:40:41:835,39631,0.3,40756,0.4,82968,0.2,108860,1.50 4,1,2024-09-07 08:40:40:598,306315,306315,0,0,142503320220,1495806954,303326,2466,523,371,391846,0 4,2,2024-09-07 08:40:41:086,218811,218811,0,0,10657542,0,4528 4,3,2024-09-07 08:40:41:084,1,174,2,0,287,2272,174,0 5,0,2024-09-07 08:40:41:385,47162,0.4,47074,0.6,94129,0.4,125836,1.75 5,1,2024-09-07 08:40:40:804,305437,305437,0,0,142751088718,1502857897,301221,3227,989,368,392005,0 5,2,2024-09-07 08:40:41:851,218704,218704,0,0,9202405,0,2259 5,3,2024-09-07 08:40:41:745,1,174,38,0,238,2517,174,0 6,0,2024-09-07 08:40:40:927,46475,0.9,45965,1.0,91493,1.2,123168,2.25 6,1,2024-09-07 08:40:40:813,306497,306497,0,0,142609224241,1492264358,303097,2752,648,381,391617,0 6,2,2024-09-07 08:40:41:122,222292,222292,0,0,9377245,0,4816 6,3,2024-09-07 08:40:41:277,1,174,2,0,340,2359,174,0 7,0,2024-09-07 08:40:41:549,39145,0.5,39029,0.7,78234,0.4,104242,2.00 7,1,2024-09-07 08:40:40:858,306280,306280,0,0,143075338074,1496351935,303459,2689,132,382,391664,0 7,2,2024-09-07 08:40:40:796,219109,219109,0,0,8570176,0,2981 7,3,2024-09-07 08:40:40:853,1,174,1,0,305,1726,174,0 8,0,2024-09-07 08:40:41:376,39986,0.3,40148,0.4,79980,0.2,106697,1.50 8,1,2024-09-07 08:40:41:021,305269,305269,0,0,142682174467,1505568390,299654,4014,1601,367,391956,0 8,2,2024-09-07 08:40:40:800,216010,216010,0,0,10498187,0,2986 8,3,2024-09-07 08:40:40:788,1,174,2,0,357,2675,174,0 9,0,2024-09-07 08:40:41:167,46440,0.3,45100,0.5,94084,0.3,123812,1.50 9,1,2024-09-07 08:40:40:586,305377,305377,0,0,143771292076,1515463907,300810,3435,1132,370,391753,0 9,2,2024-09-07 08:40:41:100,219559,219559,0,0,9769696,0,3360 9,3,2024-09-07 08:40:41:756,1,174,10,0,496,2783,174,0 10,0,2024-09-07 08:40:41:606,46888,0.4,46479,0.6,93576,0.3,124231,1.75 10,1,2024-09-07 08:40:40:598,305776,305776,0,0,142743075567,1497130897,301301,3832,643,381,391741,0 10,2,2024-09-07 08:40:40:799,223121,223121,0,0,9912336,0,2940 10,3,2024-09-07 08:40:40:876,1,174,1,0,177,1247,174,0 11,0,2024-09-07 08:40:41:009,40729,0.6,39655,0.9,83155,0.7,110423,2.25 11,1,2024-09-07 08:40:40:575,306117,306117,0,0,142554843305,1498520746,300800,4047,1270,384,391537,0 11,2,2024-09-07 08:40:41:131,218686,218686,0,0,9566062,0,3411 11,3,2024-09-07 08:40:41:297,1,174,2,0,720,2887,174,0 12,0,2024-09-07 08:40:41:021,41011,0.3,40689,0.5,81669,0.2,108186,1.50 12,1,2024-09-07 08:40:40:951,305879,305879,0,0,142421883355,1487787943,302978,2486,415,370,391837,0 12,2,2024-09-07 08:40:41:556,220164,220164,0,0,9932374,0,3469 12,3,2024-09-07 08:40:41:066,1,174,8,0,358,2780,174,0 13,0,2024-09-07 08:40:41:428,44144,0.3,44131,0.5,88176,0.3,118007,1.50 13,1,2024-09-07 08:40:41:598,305700,305700,0,0,142712612406,1497055723,303267,2023,410,382,391717,0 13,2,2024-09-07 08:40:40:725,221630,221630,0,0,9126205,0,3287 13,3,2024-09-07 08:40:41:764,1,174,50,0,467,3390,174,0 14,0,2024-09-07 08:40:40:565,48217,0.4,48508,0.6,96106,0.4,127652,1.75 14,1,2024-09-07 08:40:41:563,307697,307697,0,0,143345831252,1486044650,305430,2104,163,364,391571,0 14,2,2024-09-07 08:40:40:799,221042,221042,0,0,9267675,0,2896 14,3,2024-09-07 08:40:41:115,1,174,7,0,1168,2968,174,0 15,0,2024-09-07 08:40:41:577,43673,0.8,43737,1.0,87662,1.0,116435,2.50 15,1,2024-09-07 08:40:41:608,305603,305603,0,0,143059472931,1488087599,303685,1808,110,381,391619,0 15,2,2024-09-07 08:40:41:014,220086,220086,0,0,7683408,0,3043 15,3,2024-09-07 08:40:41:406,1,174,3,0,1126,4554,174,0 16,0,2024-09-07 08:40:41:003,41172,0.5,41374,0.7,82168,0.4,109713,2.00 16,1,2024-09-07 08:40:40:606,306411,306411,0,0,142515646207,1489605067,304172,1977,262,370,391756,0 16,2,2024-09-07 08:40:41:460,219082,219082,0,0,10205308,0,4719 16,3,2024-09-07 08:40:41:142,1,174,56,0,231,2383,174,0 17,0,2024-09-07 08:40:41:792,43113,0.4,42101,0.6,82482,0.3,112139,1.75 17,1,2024-09-07 08:40:40:577,305254,305254,0,0,142410494471,1496435344,301886,2695,673,368,391688,0 17,2,2024-09-07 08:40:41:679,222440,222440,0,0,9084097,0,2857 17,3,2024-09-07 08:40:40:707,1,174,1,0,268,2516,174,0 18,0,2024-09-07 08:40:40:963,47214,0.9,47479,0.9,94999,1.0,126608,2.50 18,1,2024-09-07 08:40:41:639,306178,306178,0,0,142987220136,1483816316,304146,1798,234,367,391564,0 18,2,2024-09-07 08:40:41:759,220040,220040,0,0,8966106,0,3541 18,3,2024-09-07 08:40:40:905,1,174,11,0,163,1878,174,0 19,0,2024-09-07 08:40:41:540,45209,1.0,45625,1.0,90069,1.2,120194,2.50 19,1,2024-09-07 08:40:40:575,306197,306197,0,0,143358520377,1493462486,302382,3099,716,367,391777,0 19,2,2024-09-07 08:40:41:752,222066,222066,0,0,8174479,0,3988 19,3,2024-09-07 08:40:41:132,1,174,1,0,524,1518,174,0 20,0,2024-09-07 08:40:41:361,39543,0.6,39516,0.7,79335,0.6,105604,2.25 20,1,2024-09-07 08:40:40:603,305223,305223,0,0,143246027711,1501630299,301745,3084,394,369,391822,0 20,2,2024-09-07 08:40:40:954,219778,219778,0,0,9273036,0,3721 20,3,2024-09-07 08:40:40:628,1,174,58,0,414,3029,174,0 21,0,2024-09-07 08:40:41:148,40399,0.3,40461,0.5,80649,0.3,107240,1.75 21,1,2024-09-07 08:40:41:567,305478,305478,0,0,142050130890,1494651025,301799,3145,534,368,391962,0 21,2,2024-09-07 08:40:41:078,219129,219129,0,0,10175329,0,3747 21,3,2024-09-07 08:40:41:406,1,174,18,0,103,2048,174,0 22,0,2024-09-07 08:40:41:732,46619,0.5,46678,0.7,92912,0.5,123861,2.00 22,1,2024-09-07 08:40:41:031,305063,305063,0,0,142385711597,1501281100,299519,4035,1509,382,391667,0 22,2,2024-09-07 08:40:40:799,219326,219326,0,0,8641290,0,3134 22,3,2024-09-07 08:40:41:066,1,174,1,0,228,1836,174,0 23,0,2024-09-07 08:40:41:381,45522,0.9,45452,0.9,91362,1.1,122262,2.50 23,1,2024-09-07 08:40:41:020,306238,306238,0,0,143750365950,1502372527,302140,2616,1482,365,391549,0 23,2,2024-09-07 08:40:41:100,222553,222553,0,0,8938355,0,3010 23,3,2024-09-07 08:40:41:758,1,174,1,0,645,1820,174,0 24,0,2024-09-07 08:40:40:827,41138,0.5,41161,0.6,82344,0.5,109123,1.75 24,1,2024-09-07 08:40:40:612,306056,306056,0,0,141834422999,1483936419,303090,2167,799,369,391640,0 24,2,2024-09-07 08:40:41:079,218173,218173,0,0,10287826,0,2942 24,3,2024-09-07 08:40:41:704,1,174,2,0,234,1909,174,0 25,0,2024-09-07 08:40:41:397,41133,0.3,40266,0.5,78709,0.3,107354,1.75 25,1,2024-09-07 08:40:40:558,305630,305630,0,0,142469361105,1494763676,301933,3154,543,371,391788,0 25,2,2024-09-07 08:40:41:626,217924,217924,0,0,10650870,0,3978 25,3,2024-09-07 08:40:41:014,1,174,10,0,255,2145,174,0 26,0,2024-09-07 08:40:41:728,45093,0.3,44150,0.5,92379,0.2,121037,1.75 26,1,2024-09-07 08:40:41:543,306365,306365,0,0,142726123710,1497439669,301699,3765,901,381,391748,0 26,2,2024-09-07 08:40:40:867,221075,221075,0,0,9844994,0,2809 26,3,2024-09-07 08:40:41:723,1,174,1,0,796,2264,174,0 27,0,2024-09-07 08:40:41:761,47380,0.5,47349,0.7,93963,0.5,125220,2.00 27,1,2024-09-07 08:40:41:679,307555,307555,0,0,143535451622,1490016781,305735,1497,323,381,391558,0 27,2,2024-09-07 08:40:40:874,220930,220930,0,0,10242143,0,3409 27,3,2024-09-07 08:40:41:019,1,174,1,0,564,1982,174,0 28,0,2024-09-07 08:40:41:392,42355,0.8,42484,0.8,85483,1.0,113639,2.25 28,1,2024-09-07 08:40:40:804,306842,306842,0,0,143580245498,1495874583,304672,1833,337,383,391646,0 28,2,2024-09-07 08:40:41:766,219234,219234,0,0,8167938,0,2915 28,3,2024-09-07 08:40:41:778,1,174,1,0,502,2101,174,0 29,0,2024-09-07 08:40:41:380,42069,0.3,41204,0.5,80398,0.2,109640,1.75 29,1,2024-09-07 08:40:41:565,307520,307520,0,0,143447172795,1486251562,305087,1890,543,369,391621,0 29,2,2024-09-07 08:40:40:866,219052,219052,0,0,8045650,0,4018 29,3,2024-09-07 08:40:40:970,1,174,1,0,115,1400,174,0 30,0,2024-09-07 08:40:41:473,42777,0.4,41612,0.6,86988,0.3,114531,2.00 30,1,2024-09-07 08:40:40:572,306689,306689,0,0,142993665696,1484790584,304692,1719,278,382,391672,0 30,2,2024-09-07 08:40:41:275,221758,221758,0,0,8089000,0,3161 30,3,2024-09-07 08:40:40:655,1,174,4,0,195,1236,174,0 31,0,2024-09-07 08:40:41:765,47540,0.5,48042,0.7,96538,0.5,127807,2.00 31,1,2024-09-07 08:40:40:584,307788,307788,0,0,144520599792,1480364517,306985,691,112,356,391712,0 31,2,2024-09-07 08:40:41:277,220092,220092,0,0,9745866,0,3525 31,3,2024-09-07 08:40:41:720,1,174,0,0,220,1495,174,0 32,0,2024-09-07 08:40:41:429,45020,0.5,45482,0.6,91043,0.4,120864,1.75 32,1,2024-09-07 08:40:40:806,306764,306764,0,0,143370517966,1488471791,305020,1469,275,382,391595,0 32,2,2024-09-07 08:40:40:936,220149,220149,0,0,7977831,0,3155 32,3,2024-09-07 08:40:41:019,1,174,4,0,227,1493,174,0 33,0,2024-09-07 08:40:41:505,40763,0.3,40070,0.5,80960,0.2,108013,1.75 33,1,2024-09-07 08:40:40:599,307391,307391,0,0,143762340831,1488125093,305129,2090,172,369,391730,0 33,2,2024-09-07 08:40:40:801,220034,220001,33,0,9945783,0,7012 33,3,2024-09-07 08:40:40:902,1,174,2,0,329,1984,174,0 34,0,2024-09-07 08:40:40:960,40987,0.3,42327,0.4,81056,0.2,109048,1.50 34,1,2024-09-07 08:40:41:054,307409,307409,0,0,143615163497,1478721838,306411,992,6,367,391562,0 34,2,2024-09-07 08:40:40:801,219263,219263,0,0,8981034,0,3577 34,3,2024-09-07 08:40:41:692,1,174,12,0,148,1098,174,0 35,0,2024-09-07 08:40:40:859,46690,0.4,47107,0.5,94322,0.3,126106,1.75 35,1,2024-09-07 08:40:41:078,306040,306040,0,0,142965956201,1485517699,303217,2053,770,384,391589,0 35,2,2024-09-07 08:40:41:585,220054,220054,0,0,8156929,0,2653 35,3,2024-09-07 08:40:40:908,1,174,1,0,418,1989,174,0 36,0,2024-09-07 08:40:41:527,46104,0.9,45956,1.0,92282,1.1,122767,2.50 36,1,2024-09-07 08:40:40:656,306396,306396,0,0,142645563465,1493519427,301773,3334,1289,366,391759,0 36,2,2024-09-07 08:40:41:757,221387,221387,0,0,9511603,0,3303 36,3,2024-09-07 08:40:40:874,1,174,2,0,378,2328,174,0 37,0,2024-09-07 08:40:41:379,39203,0.5,39220,0.7,78271,0.4,105188,2.00 37,1,2024-09-07 08:40:40:575,305374,305367,0,7,142391553406,1495728154,300594,2754,2019,365,391560,0 37,2,2024-09-07 08:40:41:142,219199,219184,15,0,9598742,0,5815 37,3,2024-09-07 08:40:41:770,1,174,1,0,724,2498,174,0 38,0,2024-09-07 08:40:41:444,39909,0.4,38716,0.6,80807,0.3,106273,1.75 38,1,2024-09-07 08:40:41:607,306647,306647,0,0,142944619666,1493334234,302605,3410,632,368,391821,0 38,2,2024-09-07 08:40:40:804,218971,218924,47,0,11173644,0,6710 38,3,2024-09-07 08:40:41:007,1,174,1,0,603,2218,174,0 39,0,2024-09-07 08:40:41:767,47605,0.5,46620,0.6,90663,0.4,124377,2.00 39,1,2024-09-07 08:40:40:716,306337,306337,0,0,143074346024,1491444506,302549,2987,801,365,391524,0 39,2,2024-09-07 08:40:41:428,219911,219911,0,0,8305388,0,2689 39,3,2024-09-07 08:40:40:817,1,174,1,0,276,2110,174,0 40,0,2024-09-07 08:40:41:529,45999,0.9,46690,1.1,93080,1.0,123684,3.00 40,1,2024-09-07 08:40:40:608,306358,306358,0,0,141991763872,1486383409,301942,3647,769,368,391591,0 40,2,2024-09-07 08:40:41:303,222130,222129,1,0,10898206,0,5137 40,3,2024-09-07 08:40:41:150,1,174,1,0,181,1822,174,0 41,0,2024-09-07 08:40:41:044,40795,1.9,41542,1.6,79715,3.1,108967,4.00 41,1,2024-09-07 08:40:40:806,305777,305777,0,0,143453871228,1500327876,301581,3662,534,370,391742,0 41,2,2024-09-07 08:40:40:816,217483,217483,0,0,10407529,0,3356 41,3,2024-09-07 08:40:41:677,1,174,0,0,366,2010,174,0 42,0,2024-09-07 08:40:41:489,40033,0.5,40430,0.7,80640,0.4,106406,2.25 42,1,2024-09-07 08:40:41:446,304948,304948,0,0,142777128077,1500418843,300231,3759,958,380,391675,0 42,2,2024-09-07 08:40:41:138,218529,218529,0,0,10146029,0,3568 42,3,2024-09-07 08:40:41:019,1,174,1,0,446,1449,174,0 43,0,2024-09-07 08:40:40:936,43902,0.6,42816,0.8,89560,0.5,118108,2.00 43,1,2024-09-07 08:40:40:579,306060,306060,0,0,142833015042,1488523396,302722,2545,793,366,391604,0 43,2,2024-09-07 08:40:41:747,221133,221133,0,0,9505233,0,3812 43,3,2024-09-07 08:40:41:749,1,174,2,0,325,2164,174,0 44,0,2024-09-07 08:40:40:946,48012,0.5,48350,0.7,96207,0.5,128287,1.75 44,1,2024-09-07 08:40:40:579,307186,307186,0,0,143453202065,1481963078,305125,1636,425,356,391809,0 44,2,2024-09-07 08:40:41:280,220460,220460,0,0,7902689,0,1877 44,3,2024-09-07 08:40:41:100,1,174,0,0,817,2125,174,0 45,0,2024-09-07 08:40:41:759,43193,1.1,42370,1.1,88856,1.2,117053,2.25 45,1,2024-09-07 08:40:41:015,306713,306713,0,0,143632114668,1488180302,305672,1031,10,382,391917,0 45,2,2024-09-07 08:40:41:274,219929,219929,0,0,8559703,0,3596 45,3,2024-09-07 08:40:40:936,1,174,11,0,226,1774,174,0 46,0,2024-09-07 08:40:40:977,41000,0.3,40894,0.6,82051,0.2,108836,1.75 46,1,2024-09-07 08:40:40:707,307425,307425,0,0,142903491380,1477253033,305616,1586,223,366,391524,0 46,2,2024-09-07 08:40:40:599,220204,220204,0,0,8550044,0,2920 46,3,2024-09-07 08:40:41:137,1,174,9,0,908,3056,174,0 47,0,2024-09-07 08:40:41:116,41891,0.3,42173,0.5,84638,0.2,111849,1.50 47,1,2024-09-07 08:40:40:575,307913,307913,0,0,143362347916,1479203520,306506,1390,17,366,391605,0 47,2,2024-09-07 08:40:40:916,221661,221661,0,0,8648626,0,2558 47,3,2024-09-07 08:40:41:128,1,174,0,0,529,1911,174,0 48,0,2024-09-07 08:40:41:492,48271,0.3,47850,0.4,95711,0.2,127508,1.50 48,1,2024-09-07 08:40:41:024,307391,307391,0,0,143231278966,1487923530,305328,1882,181,384,391710,0 48,2,2024-09-07 08:40:40:829,220341,220341,0,0,7749608,0,3031 48,3,2024-09-07 08:40:40:806,1,174,1,0,339,1490,174,0 49,0,2024-09-07 08:40:41:721,46862,0.5,45958,0.6,89278,0.5,122192,1.75 49,1,2024-09-07 08:40:41:023,305944,305944,0,0,143121099099,1491968502,303194,1910,840,382,391583,0 49,2,2024-09-07 08:40:41:796,221783,221783,0,0,8484367,0,3900 49,3,2024-09-07 08:40:41:427,1,174,0,0,408,2433,174,0 50,0,2024-09-07 08:40:41:514,39786,0.3,39385,0.5,78922,0.2,105583,1.75 50,1,2024-09-07 08:40:41:020,308019,308019,0,0,143642170478,1488841475,305490,2220,309,368,391530,0 50,2,2024-09-07 08:40:41:071,219812,219812,0,0,8122557,0,2253 50,3,2024-09-07 08:40:41:295,1,174,3,0,335,1381,174,0 51,0,2024-09-07 08:40:41:685,41161,0.2,40561,0.4,78838,0.2,107884,1.50 51,1,2024-09-07 08:40:41:684,306763,306763,0,0,144763029488,1495823731,304793,1184,786,365,391637,0 51,2,2024-09-07 08:40:41:316,219525,219525,0,0,7609863,0,3337 51,3,2024-09-07 08:40:41:036,1,174,2,0,162,965,174,0 52,0,2024-09-07 08:40:41:425,47049,0.4,46875,0.6,93762,0.4,124392,2.00 52,1,2024-09-07 08:40:40:577,305867,305867,0,0,142184924615,1496656488,299951,4757,1159,368,391722,0 52,2,2024-09-07 08:40:41:760,217991,217953,38,0,10158153,0,6742 52,3,2024-09-07 08:40:40:726,1,174,1,0,1782,3546,174,0 53,0,2024-09-07 08:40:41:824,45459,1.0,44137,1.0,92378,1.2,121450,2.75 53,1,2024-09-07 08:40:40:791,305339,305339,0,0,142465211011,1500692443,299706,3739,1894,367,391702,0 53,2,2024-09-07 08:40:41:300,223314,223314,0,0,8525887,0,2262 53,3,2024-09-07 08:40:40:828,1,174,1,0,271,1653,174,0 54,0,2024-09-07 08:40:41:620,39453,1.8,40069,1.2,79345,0.9,106320,3.75 54,1,2024-09-07 08:40:40:598,306113,306113,0,0,143463288632,1495900371,302141,3401,571,367,391659,0 54,2,2024-09-07 08:40:40:872,218954,218948,6,0,10047756,0,5382 54,3,2024-09-07 08:40:40:796,1,174,1,0,676,3208,174,0 55,0,2024-09-07 08:40:41:767,38685,0.4,39960,0.6,80863,0.3,105231,2.25 55,1,2024-09-07 08:40:40:799,305898,305898,0,0,142537913999,1490452542,300708,4403,787,365,391731,0 55,2,2024-09-07 08:40:40:815,218119,218119,0,0,9599664,0,3275 55,3,2024-09-07 08:40:40:813,1,174,11,0,304,1872,174,0 56,0,2024-09-07 08:40:41:583,46548,0.9,43900,0.9,90333,1.2,121412,2.25 56,1,2024-09-07 08:40:40:580,305400,305400,0,0,143190743624,1513602395,300232,4262,906,381,391678,0 56,2,2024-09-07 08:40:41:312,220059,220059,0,0,10670294,0,3567 56,3,2024-09-07 08:40:41:061,1,174,2,0,405,2350,174,0 57,0,2024-09-07 08:40:40:996,46290,2.3,46407,1.5,92800,3.3,124139,3.75 57,1,2024-09-07 08:40:40:990,306259,306259,0,0,142521622541,1490789054,303418,2671,170,368,391960,0 57,2,2024-09-07 08:40:41:324,222705,222705,0,0,10779142,0,3178 57,3,2024-09-07 08:40:41:743,1,174,1,0,359,2783,174,0 58,0,2024-09-07 08:40:40:563,41429,1.1,40336,1.1,84422,1.4,110306,2.75 58,1,2024-09-07 08:40:40:577,306878,306875,0,3,143456283112,1496627784,303355,3122,398,367,391517,3 58,2,2024-09-07 08:40:41:079,218718,218718,0,0,9747396,0,2549 58,3,2024-09-07 08:40:41:068,1,174,1,0,1043,2357,174,0 59,0,2024-09-07 08:40:41:754,41231,0.7,40920,0.9,81665,0.7,108450,2.75 59,1,2024-09-07 08:40:40:804,306066,306066,0,0,143639086620,1500761738,302415,2728,923,369,391515,0 59,2,2024-09-07 08:40:40:597,220166,220166,0,0,8689965,0,2604 59,3,2024-09-07 08:40:41:741,1,174,0,0,1015,2909,174,0 60,0,2024-09-07 08:40:41:732,43160,0.4,43033,0.5,85981,0.3,114726,1.75 60,1,2024-09-07 08:40:40:793,307335,307335,0,0,143727477554,1489088523,305874,1103,358,370,391761,0 60,2,2024-09-07 08:40:41:142,221048,221048,0,0,9895321,0,3811 60,3,2024-09-07 08:40:41:273,1,174,6,0,124,1675,174,0 61,0,2024-09-07 08:40:41:510,48011,1.1,48202,1.0,96176,1.6,128085,2.00 61,1,2024-09-07 08:40:40:816,305959,305959,0,0,143284572284,1501191715,302514,2892,553,382,391589,0 61,2,2024-09-07 08:40:41:121,221462,221462,0,0,8749768,0,2079 61,3,2024-09-07 08:40:41:693,1,174,3,0,199,1970,174,0 62,0,2024-09-07 08:40:41:741,45499,1.3,46462,1.0,88606,1.6,120652,2.25 62,1,2024-09-07 08:40:41:121,308087,308081,0,6,143786761914,1482927377,306401,1641,39,365,391715,6 62,2,2024-09-07 08:40:41:644,218836,218835,1,0,10209596,0,5555 62,3,2024-09-07 08:40:41:143,1,174,1,0,287,1193,174,0 63,0,2024-09-07 08:40:41:452,40374,0.5,40254,0.6,80706,0.4,107771,1.75 63,1,2024-09-07 08:40:40:804,307385,307379,0,6,143391849106,1484898370,306135,1217,27,381,391677,6 63,2,2024-09-07 08:40:40:796,219909,219909,0,0,8166175,0,2674 63,3,2024-09-07 08:40:41:741,1,174,0,0,667,2428,174,0 64,0,2024-09-07 08:40:41:527,40728,0.4,40757,0.6,81732,0.3,108847,1.75 64,1,2024-09-07 08:40:40:826,306744,306744,0,0,142784632167,1491417126,303272,2417,1055,370,391783,0 64,2,2024-09-07 08:40:41:143,221576,221557,19,0,8949438,0,6121 64,3,2024-09-07 08:40:41:140,1,174,1,0,265,1860,174,0 65,0,2024-09-07 08:40:41:698,46361,0.7,46863,0.8,93434,0.8,125331,2.25 65,1,2024-09-07 08:40:40:879,305701,305701,0,0,142086109488,1486572067,303179,2298,224,382,391770,0 65,2,2024-09-07 08:40:41:712,219532,219532,0,0,9330578,0,3367 65,3,2024-09-07 08:40:41:686,1,174,1,0,163,1714,174,0 66,0,2024-09-07 08:40:41:768,45654,0.7,45673,0.9,91792,0.7,122008,2.50 66,1,2024-09-07 08:40:41:301,307590,307590,0,0,143358888728,1489663375,305715,1704,171,380,391588,0 66,2,2024-09-07 08:40:41:138,223706,223706,0,0,8779578,0,4956 66,3,2024-09-07 08:40:41:082,1,174,1,0,291,1740,174,0 67,0,2024-09-07 08:40:41:432,39730,0.8,39434,0.9,79196,0.9,105311,2.25 67,1,2024-09-07 08:40:40:806,305857,305856,0,1,142776044341,1492568939,302387,2722,747,381,391787,1 67,2,2024-09-07 08:40:40:627,220348,220348,0,0,8352445,0,2889 67,3,2024-09-07 08:40:41:750,1,174,1,0,138,1312,174,0 68,0,2024-09-07 08:40:40:589,40209,0.4,40055,0.6,80106,0.3,106601,2.00 68,1,2024-09-07 08:40:40:583,305554,305554,0,0,142752421031,1496646699,302692,1841,1021,381,391953,0 68,2,2024-09-07 08:40:41:064,218106,218041,65,0,11530562,0,6698 68,3,2024-09-07 08:40:40:825,1,174,1,0,417,2107,174,0 69,0,2024-09-07 08:40:41:747,46330,0.6,46504,0.7,92296,0.5,123681,2.00 69,1,2024-09-07 08:40:41:037,304706,304706,0,0,142339374141,1502755052,300694,2797,1215,384,391994,0 69,2,2024-09-07 08:40:41:753,220157,220157,0,0,10166822,0,3701 69,3,2024-09-07 08:40:40:806,1,174,2,0,238,2123,174,0 70,0,2024-09-07 08:40:41:550,46013,1.8,46266,1.4,92771,1.2,123215,2.75 70,1,2024-09-07 08:40:40:815,306412,306412,0,0,143010830616,1484889429,303954,1996,462,366,391725,0 70,2,2024-09-07 08:40:41:326,222968,222968,0,0,9311021,0,4044 70,3,2024-09-07 08:40:40:807,1,174,1,0,854,2215,174,0 71,0,2024-09-07 08:40:41:361,40681,1.9,40731,1.6,81245,2.8,109125,3.50 71,1,2024-09-07 08:40:41:596,306520,306520,0,0,142989732040,1494858821,302403,3592,525,368,391682,0 71,2,2024-09-07 08:40:41:078,219526,219526,0,0,9297158,0,2470 71,3,2024-09-07 08:40:41:749,1,174,5,0,644,2607,174,0 72,0,2024-09-07 08:40:41:061,41970,0.4,41040,0.7,80029,0.3,108663,2.00 72,1,2024-09-07 08:40:41:022,306006,306006,0,0,142782776093,1489569228,302738,2782,486,369,391819,0 72,2,2024-09-07 08:40:41:759,218237,218237,0,0,10944359,0,2570 72,3,2024-09-07 08:40:41:756,1,174,1,0,364,3286,174,0 73,0,2024-09-07 08:40:41:114,42982,0.4,44028,0.5,90101,0.3,117460,2.00 73,1,2024-09-07 08:40:40:809,306160,306160,0,0,142874643559,1481791169,304353,1692,115,367,391750,0 73,2,2024-09-07 08:40:41:744,221028,221028,0,0,10247065,0,3482 73,3,2024-09-07 08:40:40:985,1,174,4,0,274,2626,174,0 74,0,2024-09-07 08:40:41:330,48423,0.5,49410,0.7,94147,0.5,127696,2.25 74,1,2024-09-07 08:40:40:638,305994,305994,0,0,142694233453,1488282737,303200,2172,622,382,391681,0 74,2,2024-09-07 08:40:41:007,220923,220923,0,0,10248666,0,4253 74,3,2024-09-07 08:40:41:442,1,174,1,0,522,2791,174,0 75,0,2024-09-07 08:40:41:864,44085,1.1,43720,1.1,87647,1.2,117421,2.75 75,1,2024-09-07 08:40:41:603,305787,305787,0,0,142264021141,1488862910,302390,2937,460,381,391579,0 75,2,2024-09-07 08:40:41:355,218938,218938,0,0,9837736,0,4766 75,3,2024-09-07 08:40:41:067,1,174,1,0,535,1890,174,0 76,0,2024-09-07 08:40:40:606,40897,0.4,40589,0.6,81168,0.3,109103,2.25 76,1,2024-09-07 08:40:40:815,306258,306258,0,0,142763845463,1488775284,304193,1554,511,382,391692,0 76,2,2024-09-07 08:40:41:067,220418,220418,0,0,8674005,0,3064 76,3,2024-09-07 08:40:41:143,1,174,5,0,175,1987,174,0 77,0,2024-09-07 08:40:41:713,41867,0.4,42096,0.6,84263,0.4,111605,1.75 77,1,2024-09-07 08:40:40:844,307454,307454,0,0,142984748414,1489690121,305855,1514,85,383,391808,0 77,2,2024-09-07 08:40:41:284,220107,220107,0,0,9053893,0,3890 77,3,2024-09-07 08:40:41:100,1,174,12,0,305,2094,174,0 78,0,2024-09-07 08:40:41:716,47920,0.5,47662,0.7,96026,0.4,127033,2.00 78,1,2024-09-07 08:40:40:719,306860,306860,0,0,142292338897,1479401572,304523,2084,253,367,391646,0 78,2,2024-09-07 08:40:41:407,221046,221046,0,0,8295645,0,2114 78,3,2024-09-07 08:40:41:135,1,174,0,0,181,1706,174,0 79,0,2024-09-07 08:40:41:350,44232,0.5,45232,0.7,92554,0.5,120569,2.50 79,1,2024-09-07 08:40:40:583,307383,307383,0,0,143391068191,1485181857,305131,2079,173,369,391682,0 79,2,2024-09-07 08:40:41:071,221489,221489,0,0,8421457,0,3212 79,3,2024-09-07 08:40:40:805,1,174,1,0,418,2960,174,0 80,0,2024-09-07 08:40:41:108,39537,0.6,40583,0.7,77849,0.6,105599,2.00 80,1,2024-09-07 08:40:41:641,305904,305904,0,0,143265703772,1491306281,303376,2365,163,368,391791,0 80,2,2024-09-07 08:40:41:106,220383,220383,0,0,9043853,0,4433 80,3,2024-09-07 08:40:40:597,1,174,2,0,190,2675,174,0 81,0,2024-09-07 08:40:41:587,40246,0.4,41441,0.6,79453,0.4,107428,1.75 81,1,2024-09-07 08:40:41:653,306123,306123,0,0,142125899575,1485505744,303640,2210,273,382,391879,0 81,2,2024-09-07 08:40:41:138,219129,219129,0,0,9407081,0,3993 81,3,2024-09-07 08:40:41:129,1,174,8,0,193,1673,174,0 82,0,2024-09-07 08:40:41:550,46479,0.4,46772,0.7,93644,0.4,124595,1.75 82,1,2024-09-07 08:40:40:655,306877,306873,0,4,142970367050,1490123775,304427,1868,578,381,391558,4 82,2,2024-09-07 08:40:41:691,219874,219874,0,0,8536145,0,3986 82,3,2024-09-07 08:40:41:756,1,174,1,0,227,1924,174,0 83,0,2024-09-07 08:40:41:588,45788,0.7,45794,0.8,91024,0.8,121600,2.25 83,1,2024-09-07 08:40:40:550,306150,306150,0,0,142734670296,1490798746,303574,2353,223,382,391690,0 83,2,2024-09-07 08:40:40:797,222546,222546,0,0,8569420,0,3119 83,3,2024-09-07 08:40:40:813,1,174,1,0,241,1910,174,0 84,0,2024-09-07 08:40:41:799,40244,1.2,40067,1.2,80530,1.0,107643,2.75 84,1,2024-09-07 08:40:41:054,305657,305657,0,0,142497963011,1491721640,302247,2951,459,368,391852,0 84,2,2024-09-07 08:40:40:593,219349,219349,0,0,9742168,0,3801 84,3,2024-09-07 08:40:41:142,1,174,13,0,270,2020,174,0 85,0,2024-09-07 08:40:41:032,38651,0.4,38617,0.7,81954,0.4,106336,2.00 85,1,2024-09-07 08:40:40:714,305249,305249,0,0,141900021266,1502809490,299790,4379,1080,382,392006,0 85,2,2024-09-07 08:40:40:875,219068,219068,0,0,10429930,0,3656 85,3,2024-09-07 08:40:40:792,1,174,2,0,789,2514,174,0 86,0,2024-09-07 08:40:40:929,45374,0.5,46590,0.6,89418,0.4,121322,1.75 86,1,2024-09-07 08:40:40:828,305960,305960,0,0,143246177616,1499547339,301996,3419,545,366,391961,0 86,2,2024-09-07 08:40:40:859,219138,219137,1,0,10746503,0,5004 86,3,2024-09-07 08:40:40:707,1,174,4,0,286,2468,174,0 87,0,2024-09-07 08:40:41:401,47016,1.4,46823,1.1,94050,2.1,125890,2.25 87,1,2024-09-07 08:40:40:790,305996,305996,0,0,142902516454,1495877066,302772,2829,395,366,391788,0 87,2,2024-09-07 08:40:41:078,221495,221495,0,0,9140104,0,3515 87,3,2024-09-07 08:40:41:828,1,174,1,0,335,2890,174,0 88,0,2024-09-07 08:40:41:468,42644,0.6,42818,0.7,85573,0.7,113639,1.75 88,1,2024-09-07 08:40:40:577,305054,305054,0,0,142842910527,1498484565,300998,2883,1173,365,392084,0 88,2,2024-09-07 08:40:40:819,218644,218644,0,0,10446890,0,3583 88,3,2024-09-07 08:40:41:284,1,174,15,0,435,2393,174,0 89,0,2024-09-07 08:40:41:788,42374,0.4,40999,0.6,81251,0.3,109889,1.75 89,1,2024-09-07 08:40:40:554,304295,304295,0,0,142685169590,1507718621,298855,4502,938,382,391866,0 89,2,2024-09-07 08:40:41:139,219047,219047,0,0,10347369,0,2910 89,3,2024-09-07 08:40:41:795,1,174,1,0,325,3198,174,0 90,0,2024-09-07 08:40:41:625,41711,0.3,42549,0.5,87600,0.3,114199,1.75 90,1,2024-09-07 08:40:40:730,306362,306362,0,0,142061804258,1490656791,303129,2975,258,381,391825,0 90,2,2024-09-07 08:40:41:407,220001,220001,0,0,11278562,0,3060 90,3,2024-09-07 08:40:40:939,1,174,4,0,200,1959,174,0 91,0,2024-09-07 08:40:40:970,48656,0.5,46925,0.6,97730,0.4,128433,1.75 91,1,2024-09-07 08:40:40:788,305503,305503,0,0,143142780434,1502777550,301747,3258,498,381,392047,0 91,2,2024-09-07 08:40:41:345,219725,219725,0,0,10514052,0,2445 91,3,2024-09-07 08:40:40:637,1,174,21,0,216,1929,174,0 92,0,2024-09-07 08:40:41:462,45594,0.7,46796,0.8,89342,0.8,120986,1.75 92,1,2024-09-07 08:40:40:599,306237,306237,0,0,142587507289,1489023458,304054,1779,404,382,392136,0 92,2,2024-09-07 08:40:41:359,221654,221654,0,0,8780714,0,2801 92,3,2024-09-07 08:40:41:026,1,174,2,0,167,1535,174,0 93,0,2024-09-07 08:40:41:033,40574,0.4,41565,0.6,79473,0.4,108153,1.75 93,1,2024-09-07 08:40:40:821,306056,306056,0,0,143165804000,1494513853,302931,2573,552,366,391692,0 93,2,2024-09-07 08:40:40:947,220248,220248,0,0,8833285,0,2509 93,3,2024-09-07 08:40:41:406,1,174,3,0,143,1740,174,0 94,0,2024-09-07 08:40:41:658,40919,0.3,41317,0.4,81962,0.2,108730,1.50 94,1,2024-09-07 08:40:40:574,305825,305825,0,0,142742575992,1492330224,303373,2356,96,381,391850,0 94,2,2024-09-07 08:40:40:802,219635,219635,0,0,8600829,0,2443 94,3,2024-09-07 08:40:41:697,1,174,1,0,264,2488,174,0 95,0,2024-09-07 08:40:41:383,47306,0.3,47016,0.5,94796,0.3,126024,1.75 95,1,2024-09-07 08:40:40:881,306510,306510,0,0,142741961664,1484601931,304118,2208,184,367,391662,0 95,2,2024-09-07 08:40:41:037,219681,219681,0,0,8862636,0,3308 95,3,2024-09-07 08:40:41:719,1,174,3,0,718,3151,174,0 96,0,2024-09-07 08:40:41:147,46108,0.6,46308,0.6,92673,0.6,122672,1.75 96,1,2024-09-07 08:40:41:616,306047,306047,0,0,143298480910,1498613602,303433,1971,643,385,391894,0 96,2,2024-09-07 08:40:41:273,222097,222097,0,0,9370065,0,4042 96,3,2024-09-07 08:40:41:148,1,174,7,0,188,1914,174,0 97,0,2024-09-07 08:40:41:338,39816,0.4,39613,0.5,79325,0.4,105430,1.75 97,1,2024-09-07 08:40:40:805,306973,306973,0,0,144264730729,1500000385,304164,2259,550,367,392140,0 97,2,2024-09-07 08:40:40:825,219628,219628,0,0,8611019,0,3036 97,3,2024-09-07 08:40:40:599,1,174,2,0,165,1982,174,0 98,0,2024-09-07 08:40:41:719,40173,0.2,40054,0.4,80534,0.2,106828,1.50 98,1,2024-09-07 08:40:40:583,306723,306723,0,0,142881581682,1489380127,304898,1732,93,382,391997,0 98,2,2024-09-07 08:40:40:844,220003,220003,0,0,8903975,0,3080 98,3,2024-09-07 08:40:40:824,1,174,10,0,840,3259,174,0 99,0,2024-09-07 08:40:41:491,46260,0.3,46311,0.4,92519,0.2,123964,1.50 99,1,2024-09-07 08:40:41:738,306847,306847,0,0,143301224634,1496254675,303779,2372,696,381,391744,0 99,2,2024-09-07 08:40:41:428,221807,221807,0,0,8710057,0,2615 99,3,2024-09-07 08:40:40:662,1,174,0,0,129,1288,174,0 100,0,2024-09-07 08:40:41:541,46493,1.1,46445,1.3,92793,2.0,124067,2.50 100,1,2024-09-07 08:40:40:563,304153,304153,0,0,142394125577,1509063437,298894,4327,932,381,391989,0 100,2,2024-09-07 08:40:41:842,221432,221421,11,0,10019478,0,5417 100,3,2024-09-07 08:40:41:742,1,174,1,0,559,3777,174,0 101,0,2024-09-07 08:40:41:713,42010,2.7,40931,1.6,80287,3.1,110427,3.00 101,1,2024-09-07 08:40:40:557,305125,305125,0,0,142600213486,1506366009,299756,3891,1478,368,391769,0 101,2,2024-09-07 08:40:41:758,218319,218319,0,0,10985225,0,4644 101,3,2024-09-07 08:40:40:950,1,174,5,0,448,2073,174,0 102,0,2024-09-07 08:40:40:977,39670,0.5,40999,0.7,82538,0.4,107919,2.00 102,1,2024-09-07 08:40:41:144,305675,305675,0,0,142597473529,1499612535,301581,3381,713,369,391883,0 102,2,2024-09-07 08:40:41:751,219474,219420,54,0,10359626,0,6768 102,3,2024-09-07 08:40:41:625,1,174,18,0,410,1774,174,0 103,0,2024-09-07 08:40:41:868,45416,0.4,45346,0.6,85629,0.3,118326,1.75 103,1,2024-09-07 08:40:41:636,304632,304632,0,0,142311545234,1500062475,300181,3242,1209,381,391829,0 103,2,2024-09-07 08:40:40:678,220486,220486,0,0,9298668,0,2104 103,3,2024-09-07 08:40:40:823,1,174,40,0,916,3001,174,0 104,0,2024-09-07 08:40:41:078,47649,1.2,47883,1.1,94640,1.3,127770,2.25 104,1,2024-09-07 08:40:41:613,306134,306134,0,0,141745495215,1494043138,301120,4049,965,365,391948,0 104,2,2024-09-07 08:40:41:675,220400,220400,0,0,10313463,0,3941 104,3,2024-09-07 08:40:41:441,1,174,52,1,1245,5211,174,0 105,0,2024-09-07 08:40:41:078,43443,1.6,42154,1.4,88173,2.5,116327,3.75 105,1,2024-09-07 08:40:40:573,306494,306494,0,0,142406645147,1493861269,302277,3440,777,367,391797,0 105,2,2024-09-07 08:40:41:436,218391,218391,0,0,9662261,0,3509 105,3,2024-09-07 08:40:41:328,1,174,2,0,399,2651,174,0 106,0,2024-09-07 08:40:40:976,39664,0.6,40783,0.8,82995,0.6,108739,2.00 106,1,2024-09-07 08:40:41:750,306243,306243,0,0,142656188886,1495920613,302448,3411,384,369,391767,0 106,2,2024-09-07 08:40:40:802,218854,218854,0,0,9799888,0,2795 106,3,2024-09-07 08:40:40:739,1,174,4,0,405,2446,174,0 107,0,2024-09-07 08:40:41:176,41925,0.4,41949,0.6,83414,0.3,111912,1.75 107,1,2024-09-07 08:40:40:682,305614,305614,0,0,142569399118,1497464037,302612,2771,231,381,392234,0 107,2,2024-09-07 08:40:41:299,220462,220461,1,0,9915885,0,5024 107,3,2024-09-07 08:40:41:761,1,174,8,0,353,2552,174,0 108,0,2024-09-07 08:40:41:853,48056,0.4,48159,0.6,95630,0.4,127558,1.75 108,1,2024-09-07 08:40:41:304,305767,305767,0,0,143031815989,1492857819,303099,2354,314,368,391857,0 108,2,2024-09-07 08:40:41:759,218859,218859,0,0,9368672,0,2647 108,3,2024-09-07 08:40:41:355,1,174,19,0,667,3383,174,0 109,0,2024-09-07 08:40:41:752,45893,0.4,45508,0.6,91164,0.4,122347,1.75 109,1,2024-09-07 08:40:40:658,305473,305473,0,0,142992126321,1500407609,302688,2289,496,383,392132,0 109,2,2024-09-07 08:40:40:974,220180,220180,0,0,9614058,0,3617 109,3,2024-09-07 08:40:41:142,1,174,1,0,249,2348,174,0 110,0,2024-09-07 08:40:41:777,39826,0.4,38689,0.6,80809,0.3,105898,1.75 110,1,2024-09-07 08:40:41:648,306785,306785,0,0,143714314069,1494585281,304170,1850,765,370,391667,0 110,2,2024-09-07 08:40:41:308,220190,220190,0,0,8543830,0,2915 110,3,2024-09-07 08:40:40:822,1,174,2,0,406,2396,174,0 111,0,2024-09-07 08:40:41:415,40532,0.2,40045,0.4,79904,0.1,107844,1.50 111,1,2024-09-07 08:40:41:008,307161,307161,0,0,143697041781,1490974154,305381,1447,333,382,391690,0 111,2,2024-09-07 08:40:41:121,218857,218857,0,0,9351527,0,2763 111,3,2024-09-07 08:40:40:913,1,174,160,0,379,2771,174,0 112,0,2024-09-07 08:40:40:949,46814,0.3,46830,0.4,93509,0.2,124644,1.50 112,1,2024-09-07 08:40:40:835,307444,307444,0,0,142827327618,1484406938,305116,1902,426,380,391580,0 112,2,2024-09-07 08:40:41:144,219598,219597,1,0,9317745,0,5036 112,3,2024-09-07 08:40:40:596,1,174,1,0,282,1912,174,0 113,0,2024-09-07 08:40:40:867,45598,0.4,45519,0.5,91723,0.3,122697,1.75 113,1,2024-09-07 08:40:41:688,307098,307098,0,0,143647539737,1486981500,304679,1931,488,366,391661,0 113,2,2024-09-07 08:40:41:305,223781,223781,0,0,8171681,0,3813 113,3,2024-09-07 08:40:40:826,1,174,1,0,340,2819,174,0 114,0,2024-09-07 08:40:40:909,41040,0.6,41648,0.7,81777,0.4,109443,2.00 114,1,2024-09-07 08:40:40:818,306098,306098,0,0,142809775701,1493904460,301965,2670,1463,381,391534,0 114,2,2024-09-07 08:40:40:877,219470,219470,0,0,8567394,0,3925 114,3,2024-09-07 08:40:41:283,1,174,3,0,395,2056,174,0 115,0,2024-09-07 08:40:40:558,39950,0.2,40379,0.4,80558,0.1,107066,1.50 115,1,2024-09-07 08:40:40:575,306583,306583,0,0,143021297676,1490729707,302937,2825,821,382,391602,0 115,2,2024-09-07 08:40:41:132,220234,220234,0,0,7927121,0,2152 115,3,2024-09-07 08:40:41:019,1,174,1,0,159,1095,174,0 116,0,2024-09-07 08:40:41:742,45547,0.5,45041,0.7,90560,0.5,121014,2.00 116,1,2024-09-07 08:40:40:807,303828,303828,0,0,142092456650,1508250288,298909,3161,1758,380,391677,0 116,2,2024-09-07 08:40:41:783,219449,219449,0,0,11046095,0,3529 116,3,2024-09-07 08:40:40:951,1,174,7,0,252,2464,174,0 117,0,2024-09-07 08:40:40:975,46893,1.3,47039,1.0,93965,1.7,125860,2.00 117,1,2024-09-07 08:40:41:600,305804,305804,0,0,142391499028,1492395610,302124,3250,430,370,392033,0 117,2,2024-09-07 08:40:41:130,223265,223265,0,0,8933738,0,3700 117,3,2024-09-07 08:40:41:079,1,174,4,0,490,3418,174,0 118,0,2024-09-07 08:40:41:797,41468,0.9,42713,0.9,86738,1.1,112929,2.25 118,1,2024-09-07 08:40:40:630,305847,305847,0,0,142400008361,1500895950,300990,3532,1325,366,391736,0 118,2,2024-09-07 08:40:41:589,218586,218586,0,0,9945144,0,2781 118,3,2024-09-07 08:40:41:765,1,174,1,0,235,2200,174,0 119,0,2024-09-07 08:40:41:348,40774,0.6,41016,0.8,82737,0.5,109031,2.00 119,1,2024-09-07 08:40:40:560,306507,306507,0,0,143258204551,1494110922,304014,2203,290,369,391641,0 119,2,2024-09-07 08:40:41:274,219136,219136,0,0,9253729,0,3526 119,3,2024-09-07 08:40:41:326,1,174,4,0,443,2974,174,0 120,0,2024-09-07 08:40:41:566,42766,0.4,42743,0.7,85559,0.4,114434,1.75 120,1,2024-09-07 08:40:40:863,306630,306630,0,0,142506412397,1495181579,303326,3041,263,368,391961,0 120,2,2024-09-07 08:40:40:805,220185,220184,1,0,11607377,0,5281 120,3,2024-09-07 08:40:41:297,1,174,20,0,241,2425,174,0 121,0,2024-09-07 08:40:41:693,47772,1.3,48229,1.1,96078,1.9,127849,2.25 121,1,2024-09-07 08:40:41:683,305996,305996,0,0,142502380633,1490603062,303218,2488,290,367,391840,0 121,2,2024-09-07 08:40:41:142,219593,219593,0,0,10523332,0,4127 121,3,2024-09-07 08:40:40:827,1,174,0,0,269,2305,174,0 122,0,2024-09-07 08:40:41:776,45025,1.1,43868,1.1,91925,1.3,121022,2.25 122,1,2024-09-07 08:40:40:873,305017,305017,0,0,142985802987,1501539346,300867,3441,709,366,392130,0 122,2,2024-09-07 08:40:41:325,219854,219854,0,0,11493132,0,3364 122,3,2024-09-07 08:40:40:602,1,174,1,0,411,3844,174,0 123,0,2024-09-07 08:40:40:964,40408,0.7,39324,0.8,82273,0.9,107746,2.00 123,1,2024-09-07 08:40:40:561,306141,306141,0,0,143252872529,1506488036,301062,4385,694,369,391823,0 123,2,2024-09-07 08:40:41:022,218200,218199,1,0,10208241,0,5215 123,3,2024-09-07 08:40:41:139,1,174,95,0,168,2137,174,0 124,0,2024-09-07 08:40:40:922,42194,0.3,42099,0.5,79704,0.2,109132,1.50 124,1,2024-09-07 08:40:41:031,306693,306693,0,0,142971795171,1485575160,304598,1739,356,367,392178,0 124,2,2024-09-07 08:40:41:017,220291,220291,0,0,8662211,0,3101 124,3,2024-09-07 08:40:40:804,1,174,1,0,490,2312,174,0 125,0,2024-09-07 08:40:41:431,47166,0.4,47143,0.5,94386,0.3,126127,1.75 125,1,2024-09-07 08:40:40:863,306555,306555,0,0,142844473621,1491713457,304182,2096,277,384,391702,0 125,2,2024-09-07 08:40:41:135,220425,220425,0,0,8556138,0,2180 125,3,2024-09-07 08:40:41:128,1,174,13,0,284,2169,174,0 126,0,2024-09-07 08:40:41:448,46289,0.7,47456,0.7,90664,0.8,123443,1.75 126,1,2024-09-07 08:40:40:556,306805,306805,0,0,143681645530,1489193191,305164,1563,78,365,391987,0 126,2,2024-09-07 08:40:40:724,223138,223138,0,0,8939579,0,3186 126,3,2024-09-07 08:40:40:907,1,174,11,0,150,2531,174,0 127,0,2024-09-07 08:40:41:666,39511,0.4,39720,0.6,79193,0.4,105323,1.75 127,1,2024-09-07 08:40:40:576,306237,306237,0,0,143549146060,1487438369,304155,2041,41,365,391816,0 127,2,2024-09-07 08:40:40:708,219749,219749,0,0,8418556,0,2264 127,3,2024-09-07 08:40:41:285,1,174,35,0,243,1551,174,0 128,0,2024-09-07 08:40:41:578,40362,0.3,40165,0.4,80414,0.2,106947,1.50 128,1,2024-09-07 08:40:41:606,307076,307076,0,0,143754571728,1488149208,306095,909,72,367,391680,0 128,2,2024-09-07 08:40:41:383,219021,219021,0,0,8403750,0,2107 128,3,2024-09-07 08:40:40:798,1,174,1,0,333,2430,174,0 129,0,2024-09-07 08:40:41:027,46645,0.3,46341,0.4,92731,0.2,123677,1.50 129,1,2024-09-07 08:40:40:594,304930,304930,0,0,142286399922,1490082059,301997,2539,394,379,391835,0 129,2,2024-09-07 08:40:40:797,219839,219839,0,0,8756818,0,4031 129,3,2024-09-07 08:40:40:791,1,174,6,0,173,2022,174,0 130,0,2024-09-07 08:40:41:749,46908,0.7,46666,0.7,93718,0.9,124960,1.75 130,1,2024-09-07 08:40:40:718,306631,306631,0,0,143542190537,1495385590,304513,2046,72,381,391825,0 130,2,2024-09-07 08:40:41:148,223313,223313,0,0,8653446,0,4067 130,3,2024-09-07 08:40:41:299,1,174,2,0,450,2034,174,0 131,0,2024-09-07 08:40:41:936,41380,0.5,41589,0.6,84046,0.6,110673,1.75 131,1,2024-09-07 08:40:41:856,307312,307312,0,0,142987557413,1487132727,305838,1268,206,383,391865,0 131,2,2024-09-07 08:40:40:604,219533,219533,0,0,8191471,0,2415 131,3,2024-09-07 08:40:41:692,1,174,4,0,392,1909,174,0 132,0,2024-09-07 08:40:41:427,40309,0.4,40835,0.7,81636,0.4,108268,1.75 132,1,2024-09-07 08:40:40:583,305211,305211,0,0,141772333222,1498575768,299789,4390,1032,381,392097,0 132,2,2024-09-07 08:40:40:813,219069,219069,0,0,11041876,0,4606 132,3,2024-09-07 08:40:41:688,1,174,2,0,356,3087,174,0 133,0,2024-09-07 08:40:41:617,42967,0.4,43946,0.5,90045,0.3,117289,1.75 133,1,2024-09-07 08:40:40:598,304701,304701,0,0,142436202615,1502588116,300189,3871,641,383,391914,0 133,2,2024-09-07 08:40:41:087,220231,220231,0,0,11142911,0,4315 133,3,2024-09-07 08:40:41:318,1,174,0,0,187,1466,174,0 134,0,2024-09-07 08:40:40:959,47907,0.5,47855,0.7,96287,0.5,127696,2.00 134,1,2024-09-07 08:40:40:651,305536,305536,0,0,142397203735,1493894502,301139,3171,1226,366,391718,0 134,2,2024-09-07 08:40:41:759,220563,220563,0,0,9468013,0,3096 134,3,2024-09-07 08:40:40:816,1,174,24,0,739,3064,174,0 135,0,2024-09-07 08:40:41:136,42595,1.4,42529,1.2,90263,1.7,115902,2.50 135,1,2024-09-07 08:40:41:625,305031,305031,0,0,142830862508,1502403297,300834,3493,704,380,391805,0 135,2,2024-09-07 08:40:40:807,219616,219616,0,0,10167057,0,3981 135,3,2024-09-07 08:40:41:003,1,174,8,0,89,1016,174,0 136,0,2024-09-07 08:40:41:637,41182,0.5,41144,0.7,82653,0.4,109652,2.00 136,1,2024-09-07 08:40:41:446,305540,305540,0,0,142309264694,1491597822,302184,3182,174,383,391641,0 136,2,2024-09-07 08:40:41:138,220426,220426,0,0,9848962,0,3506 136,3,2024-09-07 08:40:41:112,1,174,9,0,108,1373,174,0 137,0,2024-09-07 08:40:40:935,42956,0.4,41733,0.6,82330,0.3,111897,1.75 137,1,2024-09-07 08:40:40:607,305755,305755,0,0,142323969963,1489519013,301613,3721,421,366,391708,0 137,2,2024-09-07 08:40:41:728,220311,220311,0,0,11248255,0,3185 137,3,2024-09-07 08:40:40:790,1,174,1,0,227,1955,174,0 138,0,2024-09-07 08:40:41:787,47506,1.1,47458,1.0,95701,1.5,127254,2.25 138,1,2024-09-07 08:40:41:697,306093,306093,0,0,143551473986,1499708071,303005,2735,353,368,391954,0 138,2,2024-09-07 08:40:40:749,220650,220650,0,0,9267442,0,3263 138,3,2024-09-07 08:40:40:836,1,174,6,0,1160,3238,174,0 139,0,2024-09-07 08:40:41:405,44596,2.8,44802,1.6,90300,4.1,120835,3.00 139,1,2024-09-07 08:40:40:577,304495,304495,0,0,142093265189,1506952750,299211,3959,1325,381,391892,0 139,2,2024-09-07 08:40:40:732,219425,219425,0,0,10454610,0,3097 139,3,2024-09-07 08:40:41:703,1,174,7,0,244,1914,174,0 140,0,2024-09-07 08:40:41:591,40037,0.3,39636,0.5,79892,0.3,105995,1.75 140,1,2024-09-07 08:40:41:543,307353,307353,0,0,143538721156,1480229334,305833,1280,240,365,391606,0 140,2,2024-09-07 08:40:40:788,220353,220353,0,0,8921290,0,3388 140,3,2024-09-07 08:40:40:798,1,174,1,0,247,1474,174,0 141,0,2024-09-07 08:40:41:705,40142,0.2,41231,0.4,79066,0.1,107727,1.50 141,1,2024-09-07 08:40:40:872,307037,307037,0,0,144243752334,1496487820,305083,1601,353,379,391614,0 141,2,2024-09-07 08:40:41:688,219762,219762,0,0,8384204,0,2342 141,3,2024-09-07 08:40:41:064,1,174,1,0,147,1369,174,0 142,0,2024-09-07 08:40:41:336,47287,0.3,47008,0.5,93401,0.2,124959,1.50 142,1,2024-09-07 08:40:40:629,306357,306357,0,0,142657370527,1486370093,304807,1391,159,383,391728,0 142,2,2024-09-07 08:40:41:307,218784,218752,32,0,9803396,0,6028 142,3,2024-09-07 08:40:41:755,1,174,8,0,484,2365,174,0 143,0,2024-09-07 08:40:41:396,45849,0.7,45766,0.7,92292,0.7,122650,2.00 143,1,2024-09-07 08:40:40:558,306787,306787,0,0,143025196063,1484112288,304661,2082,44,367,391619,0 143,2,2024-09-07 08:40:40:802,222943,222943,0,0,9002036,0,2669 143,3,2024-09-07 08:40:41:141,1,174,4,0,303,2688,174,0 144,0,2024-09-07 08:40:41:570,39227,0.8,40459,1.3,82049,0.8,107952,2.25 144,1,2024-09-07 08:40:40:577,305175,305175,0,0,142146828950,1490350188,302772,2199,204,381,391649,0 144,2,2024-09-07 08:40:41:759,219604,219604,0,0,8786528,0,3473 144,3,2024-09-07 08:40:41:743,1,174,26,0,249,2160,174,0 145,0,2024-09-07 08:40:41:447,38790,0.5,38732,0.7,82207,0.4,106623,2.00 145,1,2024-09-07 08:40:40:565,304290,304290,0,0,142176683259,1497968470,299889,3664,737,382,391615,0 145,2,2024-09-07 08:40:41:443,218690,218690,0,0,9685878,0,3903 145,3,2024-09-07 08:40:40:899,1,174,2,0,151,1962,174,0 146,0,2024-09-07 08:40:41:628,45302,0.4,44877,0.6,90671,0.3,120640,2.00 146,1,2024-09-07 08:40:41:604,306389,306389,0,0,142775932524,1496931602,301862,3666,861,368,391629,0 146,2,2024-09-07 08:40:41:705,220300,220300,0,0,9583653,0,2498 146,3,2024-09-07 08:40:41:276,1,174,1,0,1520,5228,174,0 147,0,2024-09-07 08:40:41:714,47030,0.8,46957,0.9,93413,0.8,125477,2.25 147,1,2024-09-07 08:40:41:383,307212,307212,0,0,143129812932,1490434316,304101,2613,498,368,391791,0 147,2,2024-09-07 08:40:41:021,223363,223363,0,0,8846677,0,2789 147,3,2024-09-07 08:40:40:919,1,174,1,0,371,2053,174,0 0,0,2024-09-07 08:40:51:735,41951,0.4,41861,0.6,88818,0.3,115064,1.75 0,1,2024-09-07 08:40:50:817,307703,307703,0,0,144195595778,1507596159,305731,1835,137,372,391772,0 0,2,2024-09-07 08:40:51:067,221919,221919,0,0,9230751,0,4480 0,3,2024-09-07 08:40:50:974,1,175,1,0,247,2221,175,0 1,0,2024-09-07 08:40:51:791,48354,1.2,47985,1.1,96281,1.6,128360,2.25 1,1,2024-09-07 08:40:50:567,307544,307544,0,0,143249663424,1502905219,304099,2578,867,371,391857,0 1,2,2024-09-07 08:40:50:644,220917,220917,0,0,8532404,0,3267 1,3,2024-09-07 08:40:51:321,1,175,11,0,262,2053,175,0 2,0,2024-09-07 08:40:51:574,45271,1.0,45562,1.0,90401,1.4,120708,2.25 2,1,2024-09-07 08:40:50:863,307644,307644,0,0,144122586369,1497664584,306134,1304,206,380,391745,0 2,2,2024-09-07 08:40:51:268,220770,220770,0,0,8986081,0,3594 2,3,2024-09-07 08:40:50:696,1,175,5,0,357,1743,175,0 3,0,2024-09-07 08:40:51:758,40382,0.5,40675,0.7,81009,0.4,108034,2.00 3,1,2024-09-07 08:40:51:664,307211,307211,0,0,143610941957,1498299601,304100,2687,424,380,391516,0 3,2,2024-09-07 08:40:51:141,221299,221276,23,0,9067741,0,5851 3,3,2024-09-07 08:40:51:760,1,175,2,0,103,1136,175,0 4,0,2024-09-07 08:40:51:781,39900,0.3,41045,0.4,83525,0.2,109535,1.50 4,1,2024-09-07 08:40:50:596,308058,308058,0,0,143398961824,1504998662,305068,2467,523,371,391846,0 4,2,2024-09-07 08:40:51:019,220228,220228,0,0,10677688,0,4528 4,3,2024-09-07 08:40:51:028,1,175,2,0,287,2274,175,0 5,0,2024-09-07 08:40:51:393,47685,0.4,47607,0.6,95207,0.4,127388,1.75 5,1,2024-09-07 08:40:50:767,307142,307142,0,0,143368323432,1509238518,302926,3227,989,368,392005,0 5,2,2024-09-07 08:40:51:842,220184,220184,0,0,9228992,0,2259 5,3,2024-09-07 08:40:51:739,1,175,2,0,238,2519,175,0 6,0,2024-09-07 08:40:50:919,46803,0.9,46283,1.0,92127,1.1,124019,2.25 6,1,2024-09-07 08:40:50:749,308239,308239,0,0,143225981777,1498615615,304839,2752,648,379,391617,0 6,2,2024-09-07 08:40:51:121,223003,223003,0,0,9394661,0,4816 6,3,2024-09-07 08:40:51:296,1,175,0,0,340,2359,175,0 7,0,2024-09-07 08:40:51:543,39300,0.5,39174,0.7,78524,0.4,104627,2.00 7,1,2024-09-07 08:40:50:850,308021,308021,0,0,143970617505,1505513922,305199,2690,132,382,391664,0 7,2,2024-09-07 08:40:50:775,220625,220625,0,0,8589442,0,2981 7,3,2024-09-07 08:40:50:854,1,175,2,0,305,1728,175,0 8,0,2024-09-07 08:40:51:391,40238,0.3,40392,0.4,80438,0.2,107328,1.50 8,1,2024-09-07 08:40:51:017,307020,307020,0,0,143537057510,1514260105,301405,4014,1601,367,391956,0 8,2,2024-09-07 08:40:50:794,217525,217525,0,0,10514064,0,2986 8,3,2024-09-07 08:40:50:601,1,175,3,0,357,2678,175,0 9,0,2024-09-07 08:40:51:208,46821,0.3,45449,0.5,94864,0.3,124861,1.50 9,1,2024-09-07 08:40:50:561,307148,307148,0,0,144563100163,1523555755,302581,3435,1132,370,391753,0 9,2,2024-09-07 08:40:51:083,220662,220662,0,0,9798202,0,3360 9,3,2024-09-07 08:40:51:756,1,175,2,0,496,2785,175,0 10,0,2024-09-07 08:40:51:629,47057,0.4,46663,0.6,93887,0.3,124697,1.75 10,1,2024-09-07 08:40:50:598,307579,307579,0,0,144004894184,1509906887,303104,3832,643,381,391741,0 10,2,2024-09-07 08:40:50:769,224202,224202,0,0,9918796,0,2940 10,3,2024-09-07 08:40:50:876,1,175,0,0,177,1247,175,0 11,0,2024-09-07 08:40:51:013,40817,0.6,39756,0.9,83338,0.7,110670,2.25 11,1,2024-09-07 08:40:50:581,307852,307852,0,0,143412387623,1507237162,302535,4047,1270,384,391537,0 11,2,2024-09-07 08:40:51:130,220266,220266,0,0,9577547,0,3411 11,3,2024-09-07 08:40:51:310,1,175,11,0,720,2898,175,0 12,0,2024-09-07 08:40:50:974,41126,0.3,40806,0.5,81908,0.2,108508,1.50 12,1,2024-09-07 08:40:50:935,307706,307706,0,0,143359381848,1497355061,304804,2487,415,370,391837,0 12,2,2024-09-07 08:40:51:551,221457,221457,0,0,9952808,0,3469 12,3,2024-09-07 08:40:51:061,1,175,2,0,358,2782,175,0 13,0,2024-09-07 08:40:51:389,44663,0.3,44648,0.5,89166,0.3,119303,1.50 13,1,2024-09-07 08:40:51:545,307497,307497,0,0,143535761974,1505522809,305064,2023,410,382,391717,0 13,2,2024-09-07 08:40:50:603,222784,222784,0,0,9149874,0,3287 13,3,2024-09-07 08:40:51:762,1,175,2,0,467,3392,175,0 14,0,2024-09-07 08:40:50:569,48325,0.4,48621,0.6,96307,0.4,127948,1.75 14,1,2024-09-07 08:40:51:561,309428,309428,0,0,143984491238,1492573869,307161,2104,163,364,391571,0 14,2,2024-09-07 08:40:50:768,222409,222409,0,0,9289400,0,2896 14,3,2024-09-07 08:40:51:115,1,175,1,0,1168,2969,175,0 15,0,2024-09-07 08:40:51:566,43750,0.8,43849,1.0,87891,0.9,116680,2.50 15,1,2024-09-07 08:40:51:617,307397,307397,0,0,143531361201,1492980706,305479,1808,110,381,391619,0 15,2,2024-09-07 08:40:50:998,221324,221324,0,0,7712827,0,3043 15,3,2024-09-07 08:40:51:409,1,175,2,0,1126,4556,175,0 16,0,2024-09-07 08:40:50:956,41388,0.5,41585,0.7,82610,0.4,110174,2.00 16,1,2024-09-07 08:40:50:564,308123,308123,0,0,143392069271,1498846783,305865,1996,262,370,391756,0 16,2,2024-09-07 08:40:51:447,220369,220369,0,0,10246057,0,4719 16,3,2024-09-07 08:40:51:163,1,175,1,0,231,2384,175,0 17,0,2024-09-07 08:40:51:829,43545,0.4,42545,0.6,83304,0.4,113225,1.75 17,1,2024-09-07 08:40:50:571,306906,306906,0,0,143331755948,1505970344,303537,2695,674,368,391688,0 17,2,2024-09-07 08:40:51:689,223890,223890,0,0,9127834,0,2857 17,3,2024-09-07 08:40:50:583,1,175,1,0,268,2517,175,0 18,0,2024-09-07 08:40:50:939,47356,0.9,47618,0.9,95281,1.0,126858,2.50 18,1,2024-09-07 08:40:51:639,307981,307981,0,0,143829124725,1492448840,305949,1798,234,367,391564,0 18,2,2024-09-07 08:40:51:767,221469,221469,0,0,8988598,0,3541 18,3,2024-09-07 08:40:50:897,1,175,2,0,163,1880,175,0 19,0,2024-09-07 08:40:51:540,45523,1.0,45917,1.0,90646,1.2,121004,2.50 19,1,2024-09-07 08:40:50:570,307863,307863,0,0,144267594452,1502692195,304048,3099,716,367,391777,0 19,2,2024-09-07 08:40:51:755,222860,222860,0,0,8197652,0,3988 19,3,2024-09-07 08:40:51:138,1,175,5,0,524,1523,175,0 20,0,2024-09-07 08:40:51:397,39797,0.6,39738,0.7,79777,0.6,106156,2.25 20,1,2024-09-07 08:40:50:572,306987,306987,0,0,144266794302,1512014036,303509,3084,394,369,391822,0 20,2,2024-09-07 08:40:50:931,221182,221182,0,0,9289769,0,3721 20,3,2024-09-07 08:40:50:606,1,175,8,0,414,3037,175,0 21,0,2024-09-07 08:40:51:162,40814,0.3,40890,0.5,81524,0.3,108506,1.75 21,1,2024-09-07 08:40:51:552,307240,307240,0,0,142760711202,1501977133,303561,3145,534,368,391962,0 21,2,2024-09-07 08:40:51:083,220649,220649,0,0,10206523,0,3747 21,3,2024-09-07 08:40:51:426,1,175,27,0,103,2075,175,0 22,0,2024-09-07 08:40:51:734,46879,0.5,46972,0.7,93448,0.5,124553,2.00 22,1,2024-09-07 08:40:51:096,306868,306868,0,0,143179801525,1509416790,301323,4036,1509,382,391667,0 22,2,2024-09-07 08:40:50:767,220628,220628,0,0,8662243,0,3134 22,3,2024-09-07 08:40:51:066,1,175,0,0,228,1836,175,0 23,0,2024-09-07 08:40:51:376,45834,0.9,45791,0.9,92039,1.0,123190,2.50 23,1,2024-09-07 08:40:51:006,308037,308037,0,0,144509482600,1510096245,303939,2616,1482,365,391549,0 23,2,2024-09-07 08:40:51:092,223318,223318,0,0,8952292,0,3010 23,3,2024-09-07 08:40:51:760,1,175,2,0,645,1822,175,0 24,0,2024-09-07 08:40:50:885,41316,0.5,41334,0.6,82688,0.5,109632,1.75 24,1,2024-09-07 08:40:50:605,307824,307824,0,0,142540310617,1491157301,304857,2168,799,369,391640,0 24,2,2024-09-07 08:40:51:075,219724,219724,0,0,10306267,0,2942 24,3,2024-09-07 08:40:51:693,1,175,13,0,234,1922,175,0 25,0,2024-09-07 08:40:51:428,41476,0.3,40581,0.5,79363,0.3,108303,1.75 25,1,2024-09-07 08:40:50:565,307390,307390,0,0,143351103670,1503714628,303693,3154,543,371,391788,0 25,2,2024-09-07 08:40:51:617,219408,219408,0,0,10665636,0,3978 25,3,2024-09-07 08:40:51:006,1,175,4,0,255,2149,175,0 26,0,2024-09-07 08:40:51:723,45553,0.3,44571,0.5,93267,0.2,122198,1.75 26,1,2024-09-07 08:40:51:543,308167,308167,0,0,143376008277,1504073149,303501,3765,901,381,391748,0 26,2,2024-09-07 08:40:50:865,222269,222269,0,0,9855715,0,2809 26,3,2024-09-07 08:40:51:724,1,175,2,0,796,2266,175,0 27,0,2024-09-07 08:40:51:741,47513,0.5,47463,0.7,94199,0.5,125544,2.00 27,1,2024-09-07 08:40:51:684,309255,309255,0,0,144357311153,1498361148,307435,1497,323,381,391558,0 27,2,2024-09-07 08:40:50:875,222154,222154,0,0,10251540,0,3409 27,3,2024-09-07 08:40:51:014,1,175,0,0,564,1982,175,0 28,0,2024-09-07 08:40:51:391,42472,0.8,42607,0.8,85726,1.0,113997,2.25 28,1,2024-09-07 08:40:50:799,308594,308594,0,0,144477734593,1505016719,306424,1833,337,383,391646,0 28,2,2024-09-07 08:40:51:766,220679,220679,0,0,8198288,0,2915 28,3,2024-09-07 08:40:51:776,1,175,6,0,502,2107,175,0 29,0,2024-09-07 08:40:51:385,42086,0.3,41226,0.5,80419,0.2,109640,1.75 29,1,2024-09-07 08:40:51:563,309327,309327,0,0,144004710894,1491979430,306894,1890,543,369,391621,0 29,2,2024-09-07 08:40:50:863,220394,220394,0,0,8063150,0,4018 29,3,2024-09-07 08:40:50:963,1,175,1,0,115,1401,175,0 30,0,2024-09-07 08:40:51:465,43146,0.4,41953,0.6,87667,0.3,115452,2.00 30,1,2024-09-07 08:40:50:574,308491,308491,0,0,143558464152,1490686602,306494,1719,278,382,391672,0 30,2,2024-09-07 08:40:51:282,222970,222970,0,0,8110296,0,3161 30,3,2024-09-07 08:40:50:590,1,175,1,0,195,1237,175,0 31,0,2024-09-07 08:40:51:769,47643,0.5,48145,0.7,96693,0.5,128053,2.00 31,1,2024-09-07 08:40:50:573,309679,309679,0,0,145268237357,1487939953,308876,691,112,356,391712,0 31,2,2024-09-07 08:40:51:296,221393,221393,0,0,9763421,0,3525 31,3,2024-09-07 08:40:51:718,1,175,12,0,220,1507,175,0 32,0,2024-09-07 08:40:51:452,45233,0.5,45675,0.6,91482,0.4,121449,1.75 32,1,2024-09-07 08:40:50:817,308489,308489,0,0,144311138045,1498187559,306745,1469,275,382,391595,0 32,2,2024-09-07 08:40:50:964,221273,221273,0,0,8014955,0,3155 32,3,2024-09-07 08:40:51:014,1,175,8,0,227,1501,175,0 33,0,2024-09-07 08:40:51:737,41106,0.3,40417,0.5,81656,0.2,108920,1.75 33,1,2024-09-07 08:40:50:581,309175,309175,0,0,144654605759,1497167635,306913,2090,172,369,391730,0 33,2,2024-09-07 08:40:50:759,221325,221292,33,0,9971651,0,7012 33,3,2024-09-07 08:40:50:903,1,175,13,0,329,1997,175,0 34,0,2024-09-07 08:40:50:945,41270,0.3,42604,0.4,81598,0.2,109744,1.50 34,1,2024-09-07 08:40:51:044,309208,309208,0,0,144286354586,1485586235,308210,992,6,367,391562,0 34,2,2024-09-07 08:40:50:770,220610,220610,0,0,9017318,0,3577 34,3,2024-09-07 08:40:51:688,1,175,59,0,148,1157,175,0 35,0,2024-09-07 08:40:50:876,47228,0.4,47643,0.5,95432,0.3,127686,1.75 35,1,2024-09-07 08:40:51:067,307832,307832,0,0,143736931538,1493413086,305008,2054,770,384,391589,0 35,2,2024-09-07 08:40:51:595,221550,221550,0,0,8196504,0,2653 35,3,2024-09-07 08:40:50:910,1,175,2,0,418,1991,175,0 36,0,2024-09-07 08:40:51:528,46403,0.9,46294,0.9,92940,1.1,123606,2.50 36,1,2024-09-07 08:40:50:589,308142,308142,0,0,143379098997,1501016173,303519,3334,1289,366,391759,0 36,2,2024-09-07 08:40:51:759,222129,222129,0,0,9523295,0,3303 36,3,2024-09-07 08:40:50:863,1,175,1,0,378,2329,175,0 37,0,2024-09-07 08:40:51:381,39372,0.5,39356,0.7,78584,0.4,105593,2.00 37,1,2024-09-07 08:40:50:573,307196,307189,0,7,143456601012,1506534474,302416,2754,2019,365,391560,0 37,2,2024-09-07 08:40:51:148,220697,220682,15,0,9634250,0,5815 37,3,2024-09-07 08:40:51:776,1,175,7,0,724,2505,175,0 38,0,2024-09-07 08:40:51:440,40151,0.4,38953,0.6,81311,0.3,106892,1.75 38,1,2024-09-07 08:40:51:606,308395,308395,0,0,144095119805,1504967959,304353,3410,632,368,391821,0 38,2,2024-09-07 08:40:50:765,220545,220498,47,0,11199753,0,6710 38,3,2024-09-07 08:40:50:997,1,175,1,0,603,2219,175,0 39,0,2024-09-07 08:40:51:767,48015,0.5,47020,0.6,91420,0.4,125406,2.00 39,1,2024-09-07 08:40:50:732,308152,308152,0,0,143852920460,1499346619,304364,2987,801,365,391524,0 39,2,2024-09-07 08:40:51:429,220961,220961,0,0,8316590,0,2689 39,3,2024-09-07 08:40:50:726,1,175,2,0,276,2112,175,0 40,0,2024-09-07 08:40:51:507,46137,0.9,46877,1.1,93408,1.0,124122,3.00 40,1,2024-09-07 08:40:50:591,308125,308125,0,0,142881933209,1495403113,303709,3647,769,368,391591,0 40,2,2024-09-07 08:40:51:317,223174,223173,1,0,10905646,0,5137 40,3,2024-09-07 08:40:51:150,1,175,1,0,181,1823,175,0 41,0,2024-09-07 08:40:51:034,40893,1.9,41658,1.6,79908,3.1,109212,4.00 41,1,2024-09-07 08:40:50:774,307601,307601,0,0,144338784891,1509293075,303405,3662,534,370,391742,0 41,2,2024-09-07 08:40:50:765,219058,219058,0,0,10419215,0,3356 41,3,2024-09-07 08:40:51:686,1,175,2,0,366,2012,175,0 42,0,2024-09-07 08:40:51:477,40161,0.5,40547,0.7,80883,0.4,106712,2.25 42,1,2024-09-07 08:40:51:447,306758,306758,0,0,143548536965,1508265746,302041,3759,958,380,391675,0 42,2,2024-09-07 08:40:51:139,219788,219788,0,0,10157053,0,3568 42,3,2024-09-07 08:40:51:008,1,175,1,0,446,1450,175,0 43,0,2024-09-07 08:40:50:930,44475,0.6,43263,0.8,90534,0.5,119454,2.00 43,1,2024-09-07 08:40:50:581,307886,307886,0,0,143855325298,1498841568,304548,2545,793,366,391604,0 43,2,2024-09-07 08:40:51:736,222191,222191,0,0,9513930,0,3812 43,3,2024-09-07 08:40:51:753,1,175,2,0,325,2166,175,0 44,0,2024-09-07 08:40:50:868,48112,0.5,48462,0.7,96436,0.5,128577,1.75 44,1,2024-09-07 08:40:50:569,308944,308944,0,0,144119375707,1488730148,306883,1636,425,356,391809,0 44,2,2024-09-07 08:40:51:278,221763,221763,0,0,7920068,0,1877 44,3,2024-09-07 08:40:51:104,1,175,3,0,817,2128,175,0 45,0,2024-09-07 08:40:51:771,43307,1.1,42461,1.1,89037,1.2,117305,2.25 45,1,2024-09-07 08:40:51:006,308453,308453,0,0,144558108177,1497616924,307412,1031,10,382,391917,0 45,2,2024-09-07 08:40:51:274,221230,221230,0,0,8576482,0,3596 45,3,2024-09-07 08:40:50:940,1,175,10,0,226,1784,175,0 46,0,2024-09-07 08:40:50:956,41196,0.3,41127,0.6,82475,0.2,109356,1.75 46,1,2024-09-07 08:40:50:595,309236,309236,0,0,143778399449,1486121655,307427,1586,223,366,391524,0 46,2,2024-09-07 08:40:50:601,221398,221398,0,0,8573451,0,2920 46,3,2024-09-07 08:40:51:139,1,175,1,0,908,3057,175,0 47,0,2024-09-07 08:40:51:115,42325,0.3,42612,0.5,85467,0.2,113063,1.50 47,1,2024-09-07 08:40:50:571,309744,309744,0,0,144234102778,1488044588,308337,1390,17,366,391605,0 47,2,2024-09-07 08:40:50:913,223154,223154,0,0,8672106,0,2558 47,3,2024-09-07 08:40:51:127,1,175,1,0,529,1912,175,0 48,0,2024-09-07 08:40:51:507,48427,0.3,47986,0.4,96001,0.2,127822,1.50 48,1,2024-09-07 08:40:51:022,309196,309196,0,0,144180583605,1497614013,307132,1883,181,384,391710,0 48,2,2024-09-07 08:40:50:715,221667,221667,0,0,7776957,0,3031 48,3,2024-09-07 08:40:50:758,1,175,0,0,339,1490,175,0 49,0,2024-09-07 08:40:51:720,47152,0.5,46269,0.6,89824,0.5,122960,1.75 49,1,2024-09-07 08:40:51:036,307747,307747,0,0,144017468811,1501226679,304997,1910,840,382,391583,0 49,2,2024-09-07 08:40:51:800,222547,222547,0,0,8499215,0,3900 49,3,2024-09-07 08:40:51:431,1,175,2,0,408,2435,175,0 50,0,2024-09-07 08:40:51:509,40018,0.3,39570,0.5,79361,0.2,106161,1.75 50,1,2024-09-07 08:40:51:009,309773,309773,0,0,144457365825,1497134284,307244,2220,309,368,391530,0 50,2,2024-09-07 08:40:51:067,221207,221207,0,0,8155412,0,2253 50,3,2024-09-07 08:40:51:300,1,175,1,0,335,1382,175,0 51,0,2024-09-07 08:40:51:687,41630,0.2,40986,0.4,79752,0.2,109139,1.50 51,1,2024-09-07 08:40:51:688,308524,308524,0,0,145500691254,1503474717,306553,1185,786,365,391637,0 51,2,2024-09-07 08:40:51:318,220969,220969,0,0,7729962,0,3337 51,3,2024-09-07 08:40:51:026,1,175,1,0,162,966,175,0 52,0,2024-09-07 08:40:51:431,47348,0.4,47152,0.6,94282,0.4,125083,2.00 52,1,2024-09-07 08:40:50:592,307631,307631,0,0,142986769413,1504839440,301715,4757,1159,368,391722,0 52,2,2024-09-07 08:40:51:759,219304,219266,38,0,10173926,0,6742 52,3,2024-09-07 08:40:50:681,1,175,2,0,1782,3548,175,0 53,0,2024-09-07 08:40:51:742,45762,1.0,44458,0.9,93077,1.2,122292,2.75 53,1,2024-09-07 08:40:50:775,307113,307113,0,0,143435021268,1510552398,301480,3739,1894,367,391702,0 53,2,2024-09-07 08:40:51:309,224106,224106,0,0,8536421,0,2262 53,3,2024-09-07 08:40:50:712,1,175,1,0,271,1654,175,0 54,0,2024-09-07 08:40:51:621,39672,1.8,40253,1.2,79689,0.9,106819,3.75 54,1,2024-09-07 08:40:50:581,307870,307870,0,0,144523460877,1506637846,303898,3401,571,367,391659,0 54,2,2024-09-07 08:40:50:869,220421,220415,6,0,10065870,0,5382 54,3,2024-09-07 08:40:50:769,1,175,0,0,676,3208,175,0 55,0,2024-09-07 08:40:51:768,39006,0.4,40304,0.6,81537,0.3,106154,2.25 55,1,2024-09-07 08:40:50:770,307608,307608,0,0,143200969550,1497207881,302418,4403,787,365,391731,0 55,2,2024-09-07 08:40:50:741,219559,219559,0,0,9622264,0,3275 55,3,2024-09-07 08:40:50:680,1,175,1,0,304,1873,175,0 56,0,2024-09-07 08:40:51:579,46990,0.9,44301,0.9,91245,1.2,122583,2.25 56,1,2024-09-07 08:40:50:582,307181,307181,0,0,144214250451,1524015062,302013,4262,906,381,391678,0 56,2,2024-09-07 08:40:51:304,221298,221298,0,0,10680647,0,3567 56,3,2024-09-07 08:40:51:061,1,175,1,0,405,2351,175,0 57,0,2024-09-07 08:40:50:974,46403,2.3,46520,1.5,93032,3.3,124457,3.75 57,1,2024-09-07 08:40:50:992,308024,308024,0,0,143290104632,1498616855,305183,2671,170,368,391960,0 57,2,2024-09-07 08:40:51:334,223759,223759,0,0,10788611,0,3178 57,3,2024-09-07 08:40:51:744,1,175,6,0,359,2789,175,0 58,0,2024-09-07 08:40:50:576,41538,1.1,40459,1.1,84656,1.4,110637,2.75 58,1,2024-09-07 08:40:50:584,308608,308605,0,3,144195463977,1504136894,305085,3122,398,367,391517,3 58,2,2024-09-07 08:40:51:087,220103,220103,0,0,9759088,0,2549 58,3,2024-09-07 08:40:51:068,1,175,4,0,1043,2361,175,0 59,0,2024-09-07 08:40:51:742,41250,0.7,40934,0.9,81691,0.7,108450,2.75 59,1,2024-09-07 08:40:50:814,307775,307775,0,0,144333231680,1507808973,304124,2728,923,369,391515,0 59,2,2024-09-07 08:40:50:607,221578,221578,0,0,8700682,0,2604 59,3,2024-09-07 08:40:51:739,1,175,0,0,1015,2909,175,0 60,0,2024-09-07 08:40:51:710,43526,0.4,43364,0.5,86665,0.3,115612,1.75 60,1,2024-09-07 08:40:50:784,309149,309149,0,0,144609894509,1498092361,307688,1103,358,370,391761,0 60,2,2024-09-07 08:40:51:141,222270,222270,0,0,9918221,0,3811 60,3,2024-09-07 08:40:51:260,1,175,1,0,124,1676,175,0 61,0,2024-09-07 08:40:51:527,48099,1.1,48296,1.0,96370,1.6,128318,2.00 61,1,2024-09-07 08:40:50:777,307704,307704,0,0,144128506394,1509835696,304259,2892,553,382,391589,0 61,2,2024-09-07 08:40:51:128,222725,222725,0,0,8767208,0,2079 61,3,2024-09-07 08:40:51:695,1,175,4,0,199,1974,175,0 62,0,2024-09-07 08:40:51:713,45732,1.3,46716,1.0,89024,1.6,121253,2.25 62,1,2024-09-07 08:40:51:137,309808,309802,0,6,144401990500,1489267482,308122,1641,39,365,391715,6 62,2,2024-09-07 08:40:51:653,219975,219974,1,0,10227587,0,5555 62,3,2024-09-07 08:40:51:151,1,175,0,0,287,1193,175,0 63,0,2024-09-07 08:40:51:457,40694,0.5,40592,0.6,81319,0.4,108634,1.75 63,1,2024-09-07 08:40:50:818,309164,309158,0,6,144099085380,1492122126,307914,1217,27,381,391677,6 63,2,2024-09-07 08:40:50:770,221161,221161,0,0,8192935,0,2674 63,3,2024-09-07 08:40:51:732,1,175,21,0,667,2449,175,0 64,0,2024-09-07 08:40:51:578,41019,0.4,41038,0.6,82244,0.3,109554,1.75 64,1,2024-09-07 08:40:50:750,308510,308510,0,0,143739517074,1501151698,305038,2417,1055,370,391783,0 64,2,2024-09-07 08:40:51:140,223081,223062,19,0,8975973,0,6121 64,3,2024-09-07 08:40:51:140,1,175,1,0,265,1861,175,0 65,0,2024-09-07 08:40:51:707,46921,0.7,47438,0.8,94531,0.8,126850,2.25 65,1,2024-09-07 08:40:50:875,307447,307447,0,0,142859825323,1494556046,304925,2298,224,382,391770,0 65,2,2024-09-07 08:40:51:707,221078,221078,0,0,9415990,0,3367 65,3,2024-09-07 08:40:51:695,1,175,17,0,163,1731,175,0 66,0,2024-09-07 08:40:51:774,45979,0.7,45970,0.9,92418,0.7,122895,2.50 66,1,2024-09-07 08:40:51:297,309362,309362,0,0,144256225822,1498835893,307486,1704,172,380,391588,0 66,2,2024-09-07 08:40:51:139,224356,224356,0,0,8833257,0,4956 66,3,2024-09-07 08:40:51:091,1,175,16,0,291,1756,175,0 67,0,2024-09-07 08:40:51:430,39885,0.8,39595,0.9,79507,0.9,105724,2.25 67,1,2024-09-07 08:40:50:773,307631,307630,0,1,143518039141,1500293722,304160,2723,747,381,391787,1 67,2,2024-09-07 08:40:50:585,221702,221702,0,0,8390641,0,2889 67,3,2024-09-07 08:40:51:760,1,175,1,0,138,1313,175,0 68,0,2024-09-07 08:40:50:579,40431,0.4,40287,0.6,80599,0.3,107233,2.00 68,1,2024-09-07 08:40:50:581,307376,307376,0,0,143629102406,1505677834,304513,1841,1022,381,391953,0 68,2,2024-09-07 08:40:51:043,219669,219604,65,0,11550195,0,6698 68,3,2024-09-07 08:40:50:734,1,175,10,0,417,2117,175,0 69,0,2024-09-07 08:40:51:789,46727,0.6,46867,0.7,93078,0.5,124689,2.00 69,1,2024-09-07 08:40:51:019,306480,306480,0,0,143248548642,1512031603,302468,2797,1215,384,391994,0 69,2,2024-09-07 08:40:51:734,221314,221314,0,0,10189425,0,3701 69,3,2024-09-07 08:40:50:765,1,175,47,0,238,2170,175,0 70,0,2024-09-07 08:40:51:545,46168,1.8,46422,1.4,93075,1.2,123650,2.75 70,1,2024-09-07 08:40:50:816,308133,308133,0,0,143701036667,1492127205,305675,1996,462,366,391725,0 70,2,2024-09-07 08:40:51:341,224031,224031,0,0,9323470,0,4044 70,3,2024-09-07 08:40:50:746,1,175,1,0,854,2216,175,0 71,0,2024-09-07 08:40:51:375,40787,1.9,40823,1.6,81427,2.8,109384,3.50 71,1,2024-09-07 08:40:51:597,308302,308302,0,0,143837927294,1503473161,304185,3592,525,368,391682,0 71,2,2024-09-07 08:40:51:067,221090,221090,0,0,9316471,0,2470 71,3,2024-09-07 08:40:51:749,1,175,5,0,644,2612,175,0 72,0,2024-09-07 08:40:51:043,42108,0.4,41149,0.7,80247,0.3,109000,2.00 72,1,2024-09-07 08:40:51:053,307795,307795,0,0,143678599202,1498644241,304527,2782,486,369,391819,0 72,2,2024-09-07 08:40:51:761,219537,219537,0,0,10954257,0,2570 72,3,2024-09-07 08:40:51:760,1,175,13,0,364,3299,175,0 73,0,2024-09-07 08:40:51:103,43455,0.3,44517,0.5,91074,0.3,118760,2.00 73,1,2024-09-07 08:40:50:765,307885,307885,0,0,143634038864,1489511766,306078,1692,115,367,391750,0 73,2,2024-09-07 08:40:51:751,222138,222138,0,0,10258579,0,3482 73,3,2024-09-07 08:40:50:976,1,175,1,0,274,2627,175,0 74,0,2024-09-07 08:40:51:336,48552,0.5,49540,0.7,94364,0.5,127991,2.25 74,1,2024-09-07 08:40:50:637,307767,307767,0,0,143629397888,1497774063,304973,2172,622,382,391681,0 74,2,2024-09-07 08:40:51:006,222185,222185,0,0,10261392,0,4253 74,3,2024-09-07 08:40:51:445,1,175,0,0,522,2791,175,0 75,0,2024-09-07 08:40:51:785,44192,1.1,43817,1.1,87850,1.2,117676,2.75 75,1,2024-09-07 08:40:51:585,307600,307600,0,0,143136455185,1497734160,304202,2938,460,381,391579,0 75,2,2024-09-07 08:40:51:353,220233,220233,0,0,9849203,0,4766 75,3,2024-09-07 08:40:51:068,1,175,6,0,535,1896,175,0 76,0,2024-09-07 08:40:50:621,41120,0.4,40794,0.6,81539,0.3,109610,2.25 76,1,2024-09-07 08:40:50:814,307986,307986,0,0,143757609405,1498901320,305921,1554,511,382,391692,0 76,2,2024-09-07 08:40:51:063,221698,221698,0,0,8698518,0,3064 76,3,2024-09-07 08:40:51:149,1,175,1,0,175,1988,175,0 77,0,2024-09-07 08:40:51:706,42256,0.4,42505,0.6,85087,0.4,112780,1.75 77,1,2024-09-07 08:40:50:829,309185,309185,0,0,143749651448,1497577357,307586,1514,85,383,391808,0 77,2,2024-09-07 08:40:51:296,221673,221673,0,0,9087759,0,3890 77,3,2024-09-07 08:40:51:099,1,175,6,0,305,2100,175,0 78,0,2024-09-07 08:40:51:724,48080,0.5,47802,0.7,96322,0.4,127343,2.00 78,1,2024-09-07 08:40:50:613,308591,308591,0,0,143353673937,1490150236,306254,2084,253,367,391646,0 78,2,2024-09-07 08:40:51:410,222391,222391,0,0,8315123,0,2114 78,3,2024-09-07 08:40:51:138,1,175,1,0,181,1707,175,0 79,0,2024-09-07 08:40:51:369,44505,0.5,45537,0.7,93188,0.5,121348,2.50 79,1,2024-09-07 08:40:50:590,309145,309145,0,0,144284869643,1494290549,306893,2079,173,369,391682,0 79,2,2024-09-07 08:40:51:069,222313,222313,0,0,8437794,0,3212 79,3,2024-09-07 08:40:50:757,1,175,1,0,418,2961,175,0 80,0,2024-09-07 08:40:51:094,39734,0.6,40824,0.7,78256,0.6,106185,2.00 80,1,2024-09-07 08:40:51:622,307628,307628,0,0,143972969901,1498639714,305100,2365,163,368,391791,0 80,2,2024-09-07 08:40:51:095,221699,221699,0,0,9074489,0,4433 80,3,2024-09-07 08:40:50:596,1,175,56,0,190,2731,175,0 81,0,2024-09-07 08:40:51:614,40728,0.4,41901,0.6,80288,0.4,108680,1.75 81,1,2024-09-07 08:40:51:664,307847,307847,0,0,143055978687,1495134791,305364,2210,273,382,391879,0 81,2,2024-09-07 08:40:51:132,220590,220590,0,0,9436985,0,3993 81,3,2024-09-07 08:40:51:116,1,175,1,0,193,1674,175,0 82,0,2024-09-07 08:40:51:539,46749,0.4,47038,0.7,94157,0.4,125299,1.75 82,1,2024-09-07 08:40:50:589,308591,308587,0,4,143995999482,1500727024,306135,1874,578,381,391558,4 82,2,2024-09-07 08:40:51:704,221361,221361,0,0,8565356,0,3986 82,3,2024-09-07 08:40:51:753,1,175,0,0,227,1924,175,0 83,0,2024-09-07 08:40:51:542,46144,0.7,46130,0.8,91683,0.8,122535,2.25 83,1,2024-09-07 08:40:50:616,307845,307845,0,0,143597491956,1499661905,305269,2353,223,382,391690,0 83,2,2024-09-07 08:40:50:770,223369,223369,0,0,8581011,0,3119 83,3,2024-09-07 08:40:50:749,1,175,0,0,241,1910,175,0 84,0,2024-09-07 08:40:51:777,40430,1.2,40222,1.2,80883,1.0,108137,2.75 84,1,2024-09-07 08:40:51:039,307432,307432,0,0,143466966538,1501618747,304022,2951,459,368,391852,0 84,2,2024-09-07 08:40:50:588,220878,220878,0,0,9766322,0,3801 84,3,2024-09-07 08:40:51:151,1,175,2,0,270,2022,175,0 85,0,2024-09-07 08:40:51:031,38966,0.4,38951,0.7,82606,0.4,107240,2.00 85,1,2024-09-07 08:40:50:602,306951,306951,0,0,142812248513,1512160514,301492,4379,1080,382,392006,0 85,2,2024-09-07 08:40:50:879,220567,220567,0,0,10449799,0,3656 85,3,2024-09-07 08:40:50:699,1,175,0,0,789,2514,175,0 86,0,2024-09-07 08:40:51:032,45824,0.5,46991,0.6,90285,0.4,122476,1.75 86,1,2024-09-07 08:40:50:857,307637,307637,0,0,143887055731,1506188879,303670,3422,545,366,391961,0 86,2,2024-09-07 08:40:50:875,220288,220287,1,0,10771346,0,5004 86,3,2024-09-07 08:40:50:592,1,175,9,0,286,2477,175,0 87,0,2024-09-07 08:40:51:312,47139,1.4,46930,1.1,94285,2.0,126214,2.25 87,1,2024-09-07 08:40:50:562,307790,307790,0,0,143779458578,1504845266,304566,2829,395,366,391788,0 87,2,2024-09-07 08:40:51:070,222670,222670,0,0,9158364,0,3515 87,3,2024-09-07 08:40:51:809,1,175,18,0,335,2908,175,0 88,0,2024-09-07 08:40:51:466,42764,0.6,42930,0.7,85833,0.7,113972,1.75 88,1,2024-09-07 08:40:50:578,306821,306821,0,0,143493639830,1505145609,302764,2883,1174,365,392084,0 88,2,2024-09-07 08:40:50:690,219962,219962,0,0,10459751,0,3583 88,3,2024-09-07 08:40:51:273,1,175,1,0,435,2394,175,0 89,0,2024-09-07 08:40:51:890,42389,0.4,41012,0.6,81276,0.3,109889,1.75 89,1,2024-09-07 08:40:50:575,306071,306071,0,0,143630605508,1517335804,300631,4502,938,382,391866,0 89,2,2024-09-07 08:40:51:145,220505,220505,0,0,10359777,0,2910 89,3,2024-09-07 08:40:51:797,1,175,6,0,325,3204,175,0 90,0,2024-09-07 08:40:51:745,42033,0.3,42892,0.5,88276,0.3,115086,1.75 90,1,2024-09-07 08:40:50:602,308091,308091,0,0,142817749046,1498398028,304856,2977,258,381,391825,0 90,2,2024-09-07 08:40:51:419,221111,221111,0,0,11290499,0,3060 90,3,2024-09-07 08:40:50:948,1,175,8,0,200,1967,175,0 91,0,2024-09-07 08:40:51:014,48734,0.5,47009,0.6,97910,0.4,128680,1.75 91,1,2024-09-07 08:40:50:566,307231,307231,0,0,143866899029,1510247402,303475,3258,498,381,392047,0 91,2,2024-09-07 08:40:51:354,221052,221052,0,0,10524355,0,2445 91,3,2024-09-07 08:40:50:603,1,175,2,0,216,1931,175,0 92,0,2024-09-07 08:40:51:470,45829,0.7,47011,0.8,89795,0.8,121584,1.75 92,1,2024-09-07 08:40:50:628,308011,308011,0,0,143492035325,1498291047,305827,1780,404,382,392136,0 92,2,2024-09-07 08:40:51:358,222760,222760,0,0,8800596,0,2801 92,3,2024-09-07 08:40:51:008,1,175,14,0,167,1549,175,0 93,0,2024-09-07 08:40:50:960,40881,0.4,41925,0.6,80087,0.4,109037,1.75 93,1,2024-09-07 08:40:50:819,307842,307842,0,0,143945196163,1502501867,304717,2573,552,366,391692,0 93,2,2024-09-07 08:40:50:931,221505,221505,0,0,8861100,0,2509 93,3,2024-09-07 08:40:51:415,1,175,1,0,143,1741,175,0 94,0,2024-09-07 08:40:51:677,41155,0.3,41638,0.4,82543,0.2,109444,1.50 94,1,2024-09-07 08:40:50:584,307604,307604,0,0,143543838900,1500539982,305152,2356,96,381,391850,0 94,2,2024-09-07 08:40:50:774,221132,221132,0,0,8628730,0,2443 94,3,2024-09-07 08:40:51:700,1,175,2,0,264,2490,175,0 95,0,2024-09-07 08:40:51:426,47829,0.3,47542,0.5,95909,0.3,127553,1.75 95,1,2024-09-07 08:40:50:855,308252,308252,0,0,143531215226,1492664210,305860,2208,184,367,391662,0 95,2,2024-09-07 08:40:51:015,221270,221270,0,0,8892629,0,3308 95,3,2024-09-07 08:40:51:710,1,175,6,0,718,3157,175,0 96,0,2024-09-07 08:40:51:122,46420,0.6,46615,0.6,93329,0.5,123499,1.75 96,1,2024-09-07 08:40:51:584,307856,307856,0,0,143986112347,1505779578,305242,1971,643,385,391894,0 96,2,2024-09-07 08:40:51:269,222737,222737,0,0,9421149,0,4042 96,3,2024-09-07 08:40:51:157,1,175,15,0,188,1929,175,0 97,0,2024-09-07 08:40:51:399,39954,0.4,39778,0.5,79632,0.4,105823,1.75 97,1,2024-09-07 08:40:50:791,308753,308753,0,0,145140178257,1508982631,305944,2259,550,367,392140,0 97,2,2024-09-07 08:40:50:616,221110,221110,0,0,8675585,0,3036 97,3,2024-09-07 08:40:50:633,1,175,33,0,165,2015,175,0 98,0,2024-09-07 08:40:51:915,40409,0.2,40295,0.4,80989,0.2,107452,1.50 98,1,2024-09-07 08:40:50:581,308544,308544,0,0,143951043993,1500319292,306717,1734,93,382,391997,0 98,2,2024-09-07 08:40:50:771,221553,221553,0,0,8932835,0,3080 98,3,2024-09-07 08:40:50:711,1,175,52,0,840,3311,175,0 99,0,2024-09-07 08:40:51:483,46668,0.3,46716,0.4,93279,0.2,125016,1.50 99,1,2024-09-07 08:40:51:764,308608,308608,0,0,144128923994,1504839263,305538,2374,696,381,391744,0 99,2,2024-09-07 08:40:51:420,222882,222882,0,0,8744193,0,2615 99,3,2024-09-07 08:40:50:589,1,175,1,0,129,1289,175,0 100,0,2024-09-07 08:40:51:492,46647,1.1,46608,1.2,93117,2.0,124503,2.50 100,1,2024-09-07 08:40:50:555,305976,305976,0,0,143145893331,1516909230,300713,4330,933,381,391989,0 100,2,2024-09-07 08:40:51:832,222530,222519,11,0,10079343,0,5417 100,3,2024-09-07 08:40:51:744,1,175,2,0,559,3779,175,0 101,0,2024-09-07 08:40:51:747,42125,2.7,41039,1.5,80451,3.1,110676,3.00 101,1,2024-09-07 08:40:50:563,306921,306921,0,0,143537553718,1515909432,301552,3891,1478,368,391769,0 101,2,2024-09-07 08:40:51:763,219821,219821,0,0,11017642,0,4644 101,3,2024-09-07 08:40:50:952,1,175,2,0,448,2075,175,0 102,0,2024-09-07 08:40:50:989,39784,0.5,41118,0.7,82765,0.4,108233,2.00 102,1,2024-09-07 08:40:51:154,307382,307382,0,0,143416226943,1508011825,303288,3381,713,369,391883,0 102,2,2024-09-07 08:40:51:743,220730,220676,54,0,10384396,0,6768 102,3,2024-09-07 08:40:51:623,1,175,2,0,410,1776,175,0 103,0,2024-09-07 08:40:51:632,45943,0.4,45857,0.6,86601,0.3,119632,1.75 103,1,2024-09-07 08:40:51:641,306412,306412,0,0,143154599944,1508782948,301961,3242,1209,381,391829,0 103,2,2024-09-07 08:40:50:620,221536,221536,0,0,9330484,0,2104 103,3,2024-09-07 08:40:50:785,1,175,65,0,916,3066,175,0 104,0,2024-09-07 08:40:51:038,47757,1.2,47991,1.1,94872,1.3,128065,2.25 104,1,2024-09-07 08:40:51:607,307962,307962,0,0,142952430378,1506254438,302947,4049,966,365,391948,0 104,2,2024-09-07 08:40:51:671,221774,221774,0,0,10327925,0,3941 104,3,2024-09-07 08:40:51:431,1,175,22,1,1245,5233,175,0 105,0,2024-09-07 08:40:51:039,43552,1.6,42258,1.4,88390,2.5,116577,3.75 105,1,2024-09-07 08:40:50:570,308325,308325,0,0,143220827963,1502178344,304108,3440,777,367,391797,0 105,2,2024-09-07 08:40:51:324,219708,219708,0,0,9674523,0,3509 105,3,2024-09-07 08:40:51:310,1,175,4,0,399,2655,175,0 106,0,2024-09-07 08:40:50:998,39866,0.6,40977,0.8,83435,0.6,109242,2.00 106,1,2024-09-07 08:40:51:756,307958,307958,0,0,143338340694,1502918731,304163,3411,384,369,391767,0 106,2,2024-09-07 08:40:50:788,219983,219983,0,0,9810516,0,2795 106,3,2024-09-07 08:40:50:680,1,175,2,0,405,2448,175,0 107,0,2024-09-07 08:40:51:159,42335,0.4,42395,0.6,84282,0.3,113079,1.75 107,1,2024-09-07 08:40:50:588,307345,307345,0,0,143491791175,1506945117,304342,2772,231,381,392234,0 107,2,2024-09-07 08:40:51:314,221818,221817,1,0,9994396,0,5024 107,3,2024-09-07 08:40:51:814,1,175,3,0,353,2555,175,0 108,0,2024-09-07 08:40:51:781,48210,0.4,48297,0.6,95909,0.4,127841,1.75 108,1,2024-09-07 08:40:51:304,307560,307560,0,0,143927427407,1502041987,304891,2355,314,368,391857,0 108,2,2024-09-07 08:40:51:759,220243,220243,0,0,9406245,0,2647 108,3,2024-09-07 08:40:51:330,1,175,1,0,667,3384,175,0 109,0,2024-09-07 08:40:51:776,46198,0.4,45785,0.6,91767,0.4,123144,1.75 109,1,2024-09-07 08:40:50:585,307292,307292,0,0,143849949522,1509298592,304506,2290,496,383,392132,0 109,2,2024-09-07 08:40:50:921,221077,221077,0,0,9628047,0,3617 109,3,2024-09-07 08:40:51:153,1,175,3,0,249,2351,175,0 110,0,2024-09-07 08:40:51:775,40033,0.4,38881,0.6,81242,0.3,106483,1.75 110,1,2024-09-07 08:40:51:664,308549,308549,0,0,144518465202,1502777759,305934,1850,765,370,391667,0 110,2,2024-09-07 08:40:51:306,221555,221555,0,0,8574900,0,2915 110,3,2024-09-07 08:40:50:696,1,175,1,0,406,2397,175,0 111,0,2024-09-07 08:40:51:435,40994,0.2,40513,0.4,80882,0.1,109063,1.50 111,1,2024-09-07 08:40:51:008,308916,308916,0,0,144527425945,1499441668,307136,1447,333,382,391690,0 111,2,2024-09-07 08:40:51:129,220367,220367,0,0,9379536,0,2763 111,3,2024-09-07 08:40:50:921,1,175,8,0,379,2779,175,0 112,0,2024-09-07 08:40:50:958,47090,0.3,47088,0.4,94127,0.2,125327,1.50 112,1,2024-09-07 08:40:50:837,309128,309128,0,0,143378091199,1490187011,306800,1902,426,380,391580,0 112,2,2024-09-07 08:40:51:139,220876,220875,1,0,9349078,0,5036 112,3,2024-09-07 08:40:50:592,1,175,1,0,282,1913,175,0 113,0,2024-09-07 08:40:50:918,45918,0.4,45867,0.5,92415,0.3,123614,1.75 113,1,2024-09-07 08:40:51:696,308920,308920,0,0,144676791395,1497508667,306501,1931,488,366,391661,0 113,2,2024-09-07 08:40:51:306,224491,224491,0,0,8183460,0,3813 113,3,2024-09-07 08:40:50:688,1,175,1,0,340,2820,175,0 114,0,2024-09-07 08:40:50:876,41209,0.6,41838,0.6,82124,0.4,109920,2.00 114,1,2024-09-07 08:40:50:722,307819,307819,0,0,143420678181,1500196028,303686,2670,1463,381,391534,0 114,2,2024-09-07 08:40:50:875,220893,220893,0,0,8602694,0,3925 114,3,2024-09-07 08:40:51:300,1,175,1,0,395,2057,175,0 115,0,2024-09-07 08:40:50:561,40290,0.2,40703,0.4,81226,0.1,107943,1.50 115,1,2024-09-07 08:40:50:581,308348,308348,0,0,143709755887,1497968871,304702,2825,821,382,391602,0 115,2,2024-09-07 08:40:51:134,221739,221739,0,0,7951995,0,2152 115,3,2024-09-07 08:40:51:002,1,175,3,0,159,1098,175,0 116,0,2024-09-07 08:40:51:730,45945,0.5,45471,0.7,91445,0.5,122172,2.00 116,1,2024-09-07 08:40:50:804,305606,305606,0,0,143154034550,1519047401,300687,3161,1758,380,391677,0 116,2,2024-09-07 08:40:51:762,220656,220656,0,0,11063114,0,3529 116,3,2024-09-07 08:40:50:924,1,175,12,0,252,2476,175,0 117,0,2024-09-07 08:40:50:967,47023,1.2,47184,1.0,94219,1.7,126212,2.00 117,1,2024-09-07 08:40:51:578,307630,307630,0,0,143301737715,1501717805,303950,3250,430,370,392033,0 117,2,2024-09-07 08:40:51:129,224472,224472,0,0,8952129,0,3700 117,3,2024-09-07 08:40:51:060,1,175,2,0,490,3420,175,0 118,0,2024-09-07 08:40:51:813,41585,0.9,42837,0.9,86982,1.1,113282,2.25 118,1,2024-09-07 08:40:50:590,307574,307574,0,0,143302382249,1510089670,302717,3532,1325,366,391736,0 118,2,2024-09-07 08:40:51:599,220024,220024,0,0,9964829,0,2781 118,3,2024-09-07 08:40:51:766,1,175,9,0,235,2209,175,0 119,0,2024-09-07 08:40:51:402,40792,0.6,41022,0.8,82772,0.5,109031,2.00 119,1,2024-09-07 08:40:50:589,308281,308281,0,0,144035899446,1502068013,305787,2203,291,369,391641,0 119,2,2024-09-07 08:40:51:264,220531,220531,0,0,9283553,0,3526 119,3,2024-09-07 08:40:51:337,1,175,19,0,443,2993,175,0 120,0,2024-09-07 08:40:51:590,43114,0.4,43113,0.7,86270,0.4,115305,1.75 120,1,2024-09-07 08:40:50:865,308413,308413,0,0,143388255445,1504157818,305109,3041,263,368,391961,0 120,2,2024-09-07 08:40:50:773,221361,221360,1,0,11617315,0,5281 120,3,2024-09-07 08:40:51:300,1,175,4,0,241,2429,175,0 121,0,2024-09-07 08:40:51:749,47867,1.3,48311,1.1,96254,1.9,128090,2.25 121,1,2024-09-07 08:40:51:677,307743,307743,0,0,143358637907,1499337961,304965,2488,290,367,391840,0 121,2,2024-09-07 08:40:51:137,220923,220923,0,0,10533898,0,4127 121,3,2024-09-07 08:40:50:734,1,175,19,0,269,2324,175,0 122,0,2024-09-07 08:40:51:778,45247,1.1,44111,1.1,92414,1.3,121623,2.25 122,1,2024-09-07 08:40:50:861,306773,306773,0,0,143817017557,1509980238,302623,3441,709,366,392130,0 122,2,2024-09-07 08:40:51:333,220889,220889,0,0,11500949,0,3364 122,3,2024-09-07 08:40:50:605,1,175,17,0,411,3861,175,0 123,0,2024-09-07 08:40:50:996,40741,0.7,39670,0.8,82867,0.9,108626,2.00 123,1,2024-09-07 08:40:50:583,307899,307899,0,0,144207985713,1516188186,302820,4385,694,369,391823,0 123,2,2024-09-07 08:40:51:042,219456,219455,1,0,10261632,0,5215 123,3,2024-09-07 08:40:51:135,1,175,2,0,168,2139,175,0 124,0,2024-09-07 08:40:50:971,42512,0.3,42386,0.5,80209,0.2,109814,1.50 124,1,2024-09-07 08:40:51:025,308453,308453,0,0,143856831465,1494572684,306358,1739,356,367,392178,0 124,2,2024-09-07 08:40:51:017,221655,221655,0,0,8684571,0,3101 124,3,2024-09-07 08:40:50:767,1,175,1,0,490,2313,175,0 125,0,2024-09-07 08:40:51:476,47724,0.4,47685,0.5,95379,0.3,127649,1.75 125,1,2024-09-07 08:40:50:856,308288,308288,0,0,143544906694,1498969075,305915,2096,277,384,391702,0 125,2,2024-09-07 08:40:51:117,221950,221950,0,0,8581141,0,2180 125,3,2024-09-07 08:40:51:133,1,175,0,0,284,2169,175,0 126,0,2024-09-07 08:40:51:468,46606,0.7,47816,0.7,91277,0.8,124273,1.75 126,1,2024-09-07 08:40:50:569,308545,308545,0,0,144603229657,1498603689,306902,1565,78,365,391987,0 126,2,2024-09-07 08:40:50:617,223794,223794,0,0,8959658,0,3186 126,3,2024-09-07 08:40:50:912,1,175,1,0,150,2532,175,0 127,0,2024-09-07 08:40:51:685,39672,0.4,39864,0.5,79494,0.4,105733,1.75 127,1,2024-09-07 08:40:50:575,308033,308033,0,0,144588451779,1497976817,305951,2041,41,365,391816,0 127,2,2024-09-07 08:40:50:637,221204,221204,0,0,8440924,0,2264 127,3,2024-09-07 08:40:51:273,1,175,1,0,243,1552,175,0 128,0,2024-09-07 08:40:51:536,40580,0.3,40411,0.4,80888,0.2,107560,1.50 128,1,2024-09-07 08:40:51:611,308812,308812,0,0,144509481490,1495862351,307831,909,72,367,391680,0 128,2,2024-09-07 08:40:51:385,220672,220672,0,0,8461003,0,2107 128,3,2024-09-07 08:40:50:771,1,175,10,0,333,2440,175,0 129,0,2024-09-07 08:40:51:016,47042,0.3,46770,0.4,93534,0.2,124721,1.50 129,1,2024-09-07 08:40:50:578,306591,306591,0,0,143386395268,1501330911,303658,2539,394,379,391835,0 129,2,2024-09-07 08:40:50:700,220961,220961,0,0,8782761,0,4031 129,3,2024-09-07 08:40:50:693,1,175,7,0,173,2029,175,0 130,0,2024-09-07 08:40:51:722,47073,0.7,46820,0.7,94056,0.9,125395,1.75 130,1,2024-09-07 08:40:50:607,308321,308321,0,0,144205754254,1502201985,306203,2046,72,381,391825,0 130,2,2024-09-07 08:40:51:139,224380,224380,0,0,8687994,0,4067 130,3,2024-09-07 08:40:51:302,1,175,11,0,450,2045,175,0 131,0,2024-09-07 08:40:51:954,41467,0.5,41680,0.6,84233,0.6,110912,1.75 131,1,2024-09-07 08:40:51:827,309111,309111,0,0,143761378262,1495091548,307637,1268,206,383,391865,0 131,2,2024-09-07 08:40:50:589,220939,220939,0,0,8235682,0,2415 131,3,2024-09-07 08:40:51:689,1,175,3,0,392,1912,175,0 132,0,2024-09-07 08:40:51:442,40416,0.4,40960,0.7,81877,0.4,108573,1.75 132,1,2024-09-07 08:40:50:583,306991,306991,0,0,142614029908,1507223928,301569,4390,1032,381,392097,0 132,2,2024-09-07 08:40:50:720,220352,220352,0,0,11058855,0,4606 132,3,2024-09-07 08:40:51:689,1,175,2,0,356,3089,175,0 133,0,2024-09-07 08:40:51:533,43450,0.4,44442,0.5,91031,0.3,118669,1.75 133,1,2024-09-07 08:40:50:590,306435,306435,0,0,143173095012,1510241091,301923,3871,641,383,391914,0 133,2,2024-09-07 08:40:51:091,221303,221303,0,0,11160556,0,4315 133,3,2024-09-07 08:40:51:309,1,175,3,0,187,1469,175,0 134,0,2024-09-07 08:40:50:940,48024,0.5,47971,0.7,96511,0.5,127993,2.00 134,1,2024-09-07 08:40:50:601,307304,307304,0,0,143483963803,1504941255,302904,3173,1227,366,391718,0 134,2,2024-09-07 08:40:51:781,221914,221914,0,0,9498924,0,3096 134,3,2024-09-07 08:40:50:758,1,175,18,0,739,3082,175,0 135,0,2024-09-07 08:40:51:123,42690,1.4,42642,1.2,90467,1.6,116143,2.50 135,1,2024-09-07 08:40:51:585,306764,306764,0,0,143604374503,1510361421,302566,3494,704,380,391805,0 135,2,2024-09-07 08:40:50:696,220905,220905,0,0,10185746,0,3981 135,3,2024-09-07 08:40:51:005,1,175,1,0,89,1017,175,0 136,0,2024-09-07 08:40:51:635,41398,0.5,41313,0.7,83052,0.4,110185,2.00 136,1,2024-09-07 08:40:51:448,307280,307280,0,0,142985270922,1498542004,303924,3182,174,383,391641,0 136,2,2024-09-07 08:40:51:199,221668,221668,0,0,9859623,0,3506 136,3,2024-09-07 08:40:51:137,1,175,3,0,108,1376,175,0 137,0,2024-09-07 08:40:50:960,43408,0.4,42130,0.6,83160,0.3,113033,1.75 137,1,2024-09-07 08:40:50:581,307503,307503,0,0,143108127305,1497493499,303361,3721,421,366,391708,0 137,2,2024-09-07 08:40:51:717,221796,221796,0,0,11262306,0,3185 137,3,2024-09-07 08:40:50:770,1,175,1,0,227,1956,175,0 138,0,2024-09-07 08:40:51:742,47671,1.1,47619,1.0,95988,1.5,127561,2.25 138,1,2024-09-07 08:40:51:703,307772,307772,0,0,144377375909,1508101590,304684,2735,353,368,391954,0 138,2,2024-09-07 08:40:50:597,222011,222011,0,0,9279609,0,3263 138,3,2024-09-07 08:40:50:611,1,175,5,0,1160,3243,175,0 139,0,2024-09-07 08:40:51:402,44889,2.8,45078,1.6,90928,4.1,121668,3.00 139,1,2024-09-07 08:40:50:599,306254,306254,0,0,142815237426,1514364946,300970,3959,1325,381,391892,0 139,2,2024-09-07 08:40:50:714,220201,220201,0,0,10461969,0,3097 139,3,2024-09-07 08:40:51:672,1,175,1,0,244,1915,175,0 140,0,2024-09-07 08:40:51:592,40238,0.3,39852,0.5,80335,0.3,106573,1.75 140,1,2024-09-07 08:40:51:539,309142,309142,0,0,144349548168,1488445909,307622,1280,240,365,391606,0 140,2,2024-09-07 08:40:50:696,221764,221764,0,0,8947913,0,3388 140,3,2024-09-07 08:40:50:769,1,175,1,0,247,1475,175,0 141,0,2024-09-07 08:40:51:701,40628,0.2,41705,0.4,79933,0.1,108988,1.50 141,1,2024-09-07 08:40:50:859,308885,308885,0,0,145293187410,1507228540,306931,1601,353,379,391614,0 141,2,2024-09-07 08:40:51:689,221280,221280,0,0,8410318,0,2342 141,3,2024-09-07 08:40:51:043,1,175,5,0,147,1374,175,0 142,0,2024-09-07 08:40:51:336,47569,0.3,47278,0.5,93954,0.2,125681,1.50 142,1,2024-09-07 08:40:50:593,308052,308052,0,0,143374943235,1493724352,306501,1392,159,383,391728,0 142,2,2024-09-07 08:40:51:301,220116,220084,32,0,9825020,0,6028 142,3,2024-09-07 08:40:51:754,1,175,26,0,484,2391,175,0 143,0,2024-09-07 08:40:51:435,46146,0.7,46112,0.7,92944,0.7,123544,2.00 143,1,2024-09-07 08:40:50:565,308574,308574,0,0,143902468423,1493092197,306447,2083,44,367,391619,0 143,2,2024-09-07 08:40:50:770,223761,223761,0,0,9014590,0,2669 143,3,2024-09-07 08:40:51:142,1,175,3,0,303,2691,175,0 144,0,2024-09-07 08:40:51:519,39430,0.8,40645,1.3,82390,0.7,108449,2.25 144,1,2024-09-07 08:40:50:569,306965,306965,0,0,142892855771,1498196291,304561,2200,204,381,391649,0 144,2,2024-09-07 08:40:51:759,221067,221067,0,0,8829360,0,3473 144,3,2024-09-07 08:40:51:744,1,175,19,0,249,2179,175,0 145,0,2024-09-07 08:40:51:381,39105,0.5,39068,0.7,82861,0.4,107509,2.00 145,1,2024-09-07 08:40:50:575,306023,306023,0,0,142947178552,1505887896,301621,3665,737,382,391615,0 145,2,2024-09-07 08:40:51:441,220187,220187,0,0,9707637,0,3903 145,3,2024-09-07 08:40:50:895,1,175,19,0,151,1981,175,0 146,0,2024-09-07 08:40:51:612,45743,0.4,45293,0.6,91502,0.3,121843,2.00 146,1,2024-09-07 08:40:51:588,308120,308120,0,0,143781824318,1507122816,303592,3667,861,368,391629,0 146,2,2024-09-07 08:40:51:695,221310,221310,0,0,9593122,0,2498 146,3,2024-09-07 08:40:51:289,1,175,7,0,1520,5235,175,0 147,0,2024-09-07 08:40:51:717,47162,0.8,47063,0.9,93652,0.8,125794,2.25 147,1,2024-09-07 08:40:51:387,308965,308965,0,0,143760464111,1496897179,305854,2613,498,368,391791,0 147,2,2024-09-07 08:40:51:010,224548,224548,0,0,8863072,0,2789 147,3,2024-09-07 08:40:50:922,1,175,2,0,371,2055,175,0 0,0,2024-09-07 08:41:01:725,42315,0.4,42252,0.6,89513,0.3,116034,1.75 0,1,2024-09-07 08:41:00:811,309463,309463,0,0,144937820175,1515302833,307491,1835,137,372,391772,0 0,2,2024-09-07 08:41:01:067,223072,223072,0,0,9270073,0,4480 0,3,2024-09-07 08:41:00:977,1,176,0,0,247,2221,176,0 1,0,2024-09-07 08:41:01:758,48421,1.2,48069,1.1,96461,1.6,128599,2.25 1,1,2024-09-07 08:41:00:563,309359,309359,0,0,143900127799,1509626845,305912,2580,867,371,391857,0 1,2,2024-09-07 08:41:00:655,222194,222194,0,0,8583641,0,3267 1,3,2024-09-07 08:41:01:307,1,176,2,0,262,2055,176,0 2,0,2024-09-07 08:41:01:572,45459,1.0,45798,1.0,90861,1.4,121262,2.25 2,1,2024-09-07 08:41:00:862,309394,309394,0,0,144919271697,1505857540,307884,1304,206,380,391745,0 2,2,2024-09-07 08:41:01:266,221893,221893,0,0,9004075,0,3594 2,3,2024-09-07 08:41:00:691,1,176,5,0,357,1748,176,0 3,0,2024-09-07 08:41:01:747,40719,0.5,41040,0.7,81662,0.4,108923,2.00 3,1,2024-09-07 08:41:01:629,308950,308950,0,0,144353550931,1505971331,305839,2687,424,380,391516,0 3,2,2024-09-07 08:41:01:143,222463,222440,23,0,9094804,0,5851 3,3,2024-09-07 08:41:01:760,1,176,2,0,103,1138,176,0 4,0,2024-09-07 08:41:01:782,40147,0.3,41313,0.4,84052,0.2,110261,1.50 4,1,2024-09-07 08:41:00:599,309810,309810,0,0,144112127323,1512402895,306818,2469,523,371,391846,0 4,2,2024-09-07 08:41:01:025,221670,221670,0,0,10712330,0,4528 4,3,2024-09-07 08:41:01:028,1,176,9,0,287,2283,176,0 5,0,2024-09-07 08:41:01:391,48238,0.4,48226,0.6,96265,0.4,128821,1.75 5,1,2024-09-07 08:41:00:758,308980,308980,0,0,144341922952,1519233721,304764,3227,989,368,392005,0 5,2,2024-09-07 08:41:01:829,221571,221571,0,0,9252248,0,2259 5,3,2024-09-07 08:41:01:732,1,176,1,0,238,2520,176,0 6,0,2024-09-07 08:41:00:964,47101,0.9,46607,1.0,92744,1.1,124879,2.25 6,1,2024-09-07 08:41:00:749,310016,310016,0,0,143815379773,1504746778,306616,2752,648,379,391617,0 6,2,2024-09-07 08:41:01:123,223757,223757,0,0,9404680,0,4816 6,3,2024-09-07 08:41:01:274,1,176,1,0,340,2360,176,0 7,0,2024-09-07 08:41:01:562,39460,0.5,39340,0.7,78847,0.4,105075,2.00 7,1,2024-09-07 08:41:00:852,309797,309797,0,0,144790312259,1513942618,306975,2690,132,382,391664,0 7,2,2024-09-07 08:41:00:770,222062,222062,0,0,8613898,0,2981 7,3,2024-09-07 08:41:00:850,1,176,1,0,305,1729,176,0 8,0,2024-09-07 08:41:01:324,40447,0.3,40646,0.4,80903,0.2,107934,1.50 8,1,2024-09-07 08:41:01:019,308780,308780,0,0,144150038482,1520539789,303165,4014,1601,367,391956,0 8,2,2024-09-07 08:41:00:799,219093,219093,0,0,10531376,0,2986 8,3,2024-09-07 08:41:00:596,1,176,1,0,357,2679,176,0 9,0,2024-09-07 08:41:01:117,47208,0.3,45799,0.5,95671,0.3,125865,1.50 9,1,2024-09-07 08:41:00:551,308906,308906,0,0,145343517563,1531507947,304339,3435,1132,370,391753,0 9,2,2024-09-07 08:41:01:083,221912,221912,0,0,9815055,0,3360 9,3,2024-09-07 08:41:01:755,1,176,10,0,496,2795,176,0 10,0,2024-09-07 08:41:01:606,47219,0.4,46817,0.6,94220,0.3,125252,1.75 10,1,2024-09-07 08:41:00:583,309360,309360,0,0,144739648052,1517401001,304885,3832,643,381,391741,0 10,2,2024-09-07 08:41:00:765,225239,225239,0,0,9926793,0,2940 10,3,2024-09-07 08:41:00:876,1,176,1,0,177,1248,176,0 11,0,2024-09-07 08:41:01:007,40917,0.6,39850,0.9,83493,0.7,110912,2.25 11,1,2024-09-07 08:41:00:572,309619,309619,0,0,144227388115,1515522486,304302,4047,1270,384,391537,0 11,2,2024-09-07 08:41:01:125,221771,221771,0,0,9590423,0,3411 11,3,2024-09-07 08:41:01:299,1,176,2,0,720,2900,176,0 12,0,2024-09-07 08:41:00:970,41245,0.3,40941,0.5,82126,0.2,108837,1.50 12,1,2024-09-07 08:41:00:940,309496,309496,0,0,144107685793,1505007751,306594,2487,415,370,391837,0 12,2,2024-09-07 08:41:01:547,222760,222760,0,0,9977444,0,3469 12,3,2024-09-07 08:41:01:059,1,176,2,0,358,2784,176,0 13,0,2024-09-07 08:41:01:364,45169,0.3,45140,0.5,90110,0.3,120605,1.50 13,1,2024-09-07 08:41:01:525,309267,309267,0,0,144282265397,1513247367,306834,2023,410,382,391717,0 13,2,2024-09-07 08:41:00:601,223937,223937,0,0,9167215,0,3287 13,3,2024-09-07 08:41:01:768,1,176,0,0,467,3392,176,0 14,0,2024-09-07 08:41:00:562,48445,0.4,48726,0.6,96521,0.4,128255,1.75 14,1,2024-09-07 08:41:01:562,311122,311122,0,0,144683552008,1499660654,308855,2104,163,364,391571,0 14,2,2024-09-07 08:41:00:764,223687,223687,0,0,9311686,0,2896 14,3,2024-09-07 08:41:01:116,1,176,0,0,1168,2969,176,0 15,0,2024-09-07 08:41:01:556,43855,0.8,43940,1.0,88101,0.9,116953,2.50 15,1,2024-09-07 08:41:01:609,309101,309101,0,0,144179939164,1499629084,307183,1808,110,381,391619,0 15,2,2024-09-07 08:41:00:998,222661,222661,0,0,7729604,0,3043 15,3,2024-09-07 08:41:01:406,1,176,1,0,1126,4557,176,0 16,0,2024-09-07 08:41:00:930,41552,0.5,41757,0.7,83000,0.4,110763,2.00 16,1,2024-09-07 08:41:00:570,309944,309944,0,0,144126090234,1507109119,307545,2137,262,370,391756,0 16,2,2024-09-07 08:41:01:434,221597,221597,0,0,10288814,0,4719 16,3,2024-09-07 08:41:01:142,1,176,1,0,231,2385,176,0 17,0,2024-09-07 08:41:01:797,43977,0.4,42969,0.6,84175,0.4,114517,1.75 17,1,2024-09-07 08:41:00:583,308771,308771,0,0,144323683651,1516511195,305354,2742,675,368,391688,0 17,2,2024-09-07 08:41:01:683,225371,225371,0,0,9163420,0,2857 17,3,2024-09-07 08:41:00:577,1,176,1,0,268,2518,176,0 18,0,2024-09-07 08:41:00:945,47465,0.9,47743,0.9,95546,1.0,127193,2.50 18,1,2024-09-07 08:41:01:640,309773,309773,0,0,144673132347,1501045613,307741,1798,234,367,391564,0 18,2,2024-09-07 08:41:01:761,222782,222782,0,0,9006390,0,3541 18,3,2024-09-07 08:41:00:899,1,176,3,0,163,1883,176,0 19,0,2024-09-07 08:41:01:553,45801,1.0,46202,1.0,91230,1.2,121818,2.50 19,1,2024-09-07 08:41:00:567,309676,309676,0,0,145041455507,1510617858,305861,3099,716,367,391777,0 19,2,2024-09-07 08:41:01:751,223797,223797,0,0,8211654,0,3988 19,3,2024-09-07 08:41:01:129,1,176,13,0,524,1536,176,0 20,0,2024-09-07 08:41:01:364,40045,0.6,39936,0.7,80241,0.6,106765,2.25 20,1,2024-09-07 08:41:00:599,308720,308720,0,0,144991538199,1519471714,305241,3085,394,369,391822,0 20,2,2024-09-07 08:41:00:944,222530,222530,0,0,9320604,0,3721 20,3,2024-09-07 08:41:00:612,1,176,7,0,414,3044,176,0 21,0,2024-09-07 08:41:01:135,41315,0.3,41395,0.5,82413,0.3,109733,1.75 21,1,2024-09-07 08:41:01:540,308985,308985,0,0,143502789982,1509680252,305306,3145,534,368,391962,0 21,2,2024-09-07 08:41:01:067,222093,222093,0,0,10242667,0,3747 21,3,2024-09-07 08:41:01:403,1,176,0,0,103,2075,176,0 22,0,2024-09-07 08:41:01:722,47178,0.5,47273,0.7,94033,0.4,125402,2.00 22,1,2024-09-07 08:41:01:023,308681,308681,0,0,144154798761,1519462870,303136,4036,1509,382,391667,0 22,2,2024-09-07 08:41:00:760,222040,222040,0,0,8681244,0,3134 22,3,2024-09-07 08:41:01:066,1,176,1,0,228,1837,176,0 23,0,2024-09-07 08:41:01:392,46154,0.9,46140,0.9,92733,1.0,124047,2.50 23,1,2024-09-07 08:41:01:011,309805,309805,0,0,145326453379,1518566988,305707,2616,1482,365,391549,0 23,2,2024-09-07 08:41:01:093,224091,224091,0,0,8965787,0,3010 23,3,2024-09-07 08:41:01:764,1,176,7,0,645,1829,176,0 24,0,2024-09-07 08:41:00:829,41511,0.5,41526,0.6,83052,0.5,110101,1.75 24,1,2024-09-07 08:41:00:595,309596,309596,0,0,143558517855,1501529589,306628,2168,800,369,391640,0 24,2,2024-09-07 08:41:01:069,221264,221264,0,0,10327967,0,2942 24,3,2024-09-07 08:41:01:688,1,176,1,0,234,1923,176,0 25,0,2024-09-07 08:41:01:341,41825,0.3,40933,0.5,80021,0.3,109213,1.75 25,1,2024-09-07 08:41:00:565,309215,309215,0,0,144374186401,1514131504,305517,3155,543,371,391788,0 25,2,2024-09-07 08:41:01:625,220956,220956,0,0,10686732,0,3978 25,3,2024-09-07 08:41:01:000,1,176,1,0,255,2150,176,0 26,0,2024-09-07 08:41:01:723,45963,0.3,44994,0.5,94102,0.2,123324,1.75 26,1,2024-09-07 08:41:01:542,309959,309959,0,0,144053429472,1510992009,305293,3765,901,381,391748,0 26,2,2024-09-07 08:41:00:873,223339,223339,0,0,9870012,0,2809 26,3,2024-09-07 08:41:01:712,1,176,0,0,796,2266,176,0 27,0,2024-09-07 08:41:01:725,47640,0.5,47589,0.7,94424,0.5,125920,2.00 27,1,2024-09-07 08:41:01:686,311000,311000,0,0,145124566874,1506170802,309180,1497,323,381,391558,0 27,2,2024-09-07 08:41:00:867,223300,223300,0,0,10261375,0,3409 27,3,2024-09-07 08:41:01:015,1,176,1,0,564,1983,176,0 28,0,2024-09-07 08:41:01:390,42583,0.8,42724,0.8,85995,1.0,114319,2.25 28,1,2024-09-07 08:41:00:816,310403,310403,0,0,145545293991,1515862772,308233,1833,337,383,391646,0 28,2,2024-09-07 08:41:01:765,222183,222183,0,0,8223198,0,2915 28,3,2024-09-07 08:41:01:778,1,176,1,0,502,2108,176,0 29,0,2024-09-07 08:41:01:357,42090,0.3,41230,0.5,80430,0.2,109669,1.75 29,1,2024-09-07 08:41:01:562,311102,311102,0,0,145045477771,1502543749,308668,1891,543,369,391621,0 29,2,2024-09-07 08:41:00:861,221657,221657,0,0,8090313,0,4018 29,3,2024-09-07 08:41:00:967,1,176,1,0,115,1402,176,0 30,0,2024-09-07 08:41:01:456,43457,0.4,42318,0.6,88322,0.3,116452,2.00 30,1,2024-09-07 08:41:00:572,310249,310249,0,0,144345365197,1498701744,308252,1719,278,382,391672,0 30,2,2024-09-07 08:41:01:274,224015,224015,0,0,8122335,0,3161 30,3,2024-09-07 08:41:00:582,1,176,2,0,195,1239,176,0 31,0,2024-09-07 08:41:01:770,47740,0.5,48238,0.7,96888,0.5,128311,2.00 31,1,2024-09-07 08:41:00:565,311563,311563,0,0,146169164341,1497010828,310760,691,112,356,391712,0 31,2,2024-09-07 08:41:01:282,222758,222758,0,0,9794626,0,3525 31,3,2024-09-07 08:41:01:710,1,176,1,0,220,1508,176,0 32,0,2024-09-07 08:41:01:416,45471,0.5,45891,0.6,91940,0.4,122013,1.75 32,1,2024-09-07 08:41:00:807,310340,310340,0,0,145232843725,1507636136,308596,1469,275,382,391595,0 32,2,2024-09-07 08:41:00:939,222455,222455,0,0,8034751,0,3155 32,3,2024-09-07 08:41:01:015,1,176,3,0,227,1504,176,0 33,0,2024-09-07 08:41:01:530,41431,0.3,40742,0.5,82288,0.2,109726,1.75 33,1,2024-09-07 08:41:00:577,310907,310907,0,0,145336798755,1504110874,308645,2090,172,369,391730,0 33,2,2024-09-07 08:41:00:766,222552,222519,33,0,10000999,0,7012 33,3,2024-09-07 08:41:00:903,1,176,1,0,329,1998,176,0 34,0,2024-09-07 08:41:00:944,41540,0.3,42871,0.4,82183,0.2,110458,1.50 34,1,2024-09-07 08:41:01:046,311010,311010,0,0,145241600003,1495295795,310012,992,6,367,391562,0 34,2,2024-09-07 08:41:00:766,222063,222063,0,0,9062560,0,3577 34,3,2024-09-07 08:41:01:690,1,176,3,0,148,1160,176,0 35,0,2024-09-07 08:41:00:862,47739,0.4,48219,0.5,96448,0.3,129121,1.75 35,1,2024-09-07 08:41:01:067,309568,309568,0,0,144703696282,1503237133,306744,2054,770,384,391589,0 35,2,2024-09-07 08:41:01:583,223045,223045,0,0,8224308,0,2653 35,3,2024-09-07 08:41:00:913,1,176,0,0,418,1991,176,0 36,0,2024-09-07 08:41:01:529,46716,0.9,46625,0.9,93553,1.1,124457,2.50 36,1,2024-09-07 08:41:00:583,309849,309849,0,0,144072514507,1508093424,305226,3334,1289,366,391759,0 36,2,2024-09-07 08:41:01:752,222861,222861,0,0,9535697,0,3303 36,3,2024-09-07 08:41:00:865,1,176,2,0,378,2331,176,0 37,0,2024-09-07 08:41:01:370,39534,0.5,39515,0.7,78871,0.4,106000,2.00 37,1,2024-09-07 08:41:00:570,309016,309009,0,7,144240199509,1514548830,304236,2754,2019,365,391560,0 37,2,2024-09-07 08:41:01:142,222187,222172,15,0,9656636,0,5815 37,3,2024-09-07 08:41:01:767,1,176,0,0,724,2505,176,0 38,0,2024-09-07 08:41:01:452,40394,0.4,39204,0.6,81817,0.3,107551,1.75 38,1,2024-09-07 08:41:01:606,310113,310113,0,0,144761329504,1511819787,306071,3410,632,368,391821,0 38,2,2024-09-07 08:41:00:760,222040,221993,47,0,11225487,0,6710 38,3,2024-09-07 08:41:00:998,1,176,1,0,603,2220,176,0 39,0,2024-09-07 08:41:01:796,48417,0.5,47410,0.6,92147,0.4,126365,2.00 39,1,2024-09-07 08:41:00:717,309944,309944,0,0,144875365022,1509687048,306155,2988,801,365,391524,0 39,2,2024-09-07 08:41:01:421,222226,222226,0,0,8341057,0,2689 39,3,2024-09-07 08:41:00:713,1,176,0,0,276,2112,176,0 40,0,2024-09-07 08:41:01:506,46327,0.9,47061,1.1,93753,1.0,124569,3.00 40,1,2024-09-07 08:41:00:606,309944,309944,0,0,143741998570,1504130491,305528,3647,769,368,391591,0 40,2,2024-09-07 08:41:01:316,224183,224182,1,0,10914082,0,5137 40,3,2024-09-07 08:41:01:151,1,176,5,0,181,1828,176,0 41,0,2024-09-07 08:41:01:042,41001,1.9,41756,1.6,80110,3.1,109477,4.00 41,1,2024-09-07 08:41:00:779,309366,309366,0,0,145083295691,1516858387,305169,3663,534,370,391742,0 41,2,2024-09-07 08:41:00:760,220818,220818,0,0,10435945,0,3356 41,3,2024-09-07 08:41:01:678,1,176,2,0,366,2014,176,0 42,0,2024-09-07 08:41:01:481,40279,0.5,40676,0.7,81142,0.4,107200,2.25 42,1,2024-09-07 08:41:01:438,308518,308518,0,0,144294696423,1515868187,303801,3759,958,380,391675,0 42,2,2024-09-07 08:41:01:133,221174,221174,0,0,10170461,0,3568 42,3,2024-09-07 08:41:01:014,1,176,1,0,446,1451,176,0 43,0,2024-09-07 08:41:00:922,44996,0.6,43743,0.8,91551,0.5,120754,2.00 43,1,2024-09-07 08:41:00:577,309653,309653,0,0,144676022759,1507155958,306314,2546,793,366,391604,0 43,2,2024-09-07 08:41:01:736,223336,223336,0,0,9525659,0,3812 43,3,2024-09-07 08:41:01:748,1,176,5,0,325,2171,176,0 44,0,2024-09-07 08:41:00:899,48199,0.5,48568,0.7,96658,0.5,128856,1.75 44,1,2024-09-07 08:41:00:583,310699,310699,0,0,144871980191,1496364629,308638,1636,425,356,391809,0 44,2,2024-09-07 08:41:01:270,223073,223073,0,0,7938734,0,1877 44,3,2024-09-07 08:41:01:093,1,176,1,0,817,2129,176,0 45,0,2024-09-07 08:41:01:758,43397,1.1,42562,1.1,89244,1.2,117573,2.25 45,1,2024-09-07 08:41:01:010,310276,310276,0,0,145287917115,1505107305,309235,1031,10,382,391917,0 45,2,2024-09-07 08:41:01:268,222624,222624,0,0,8595843,0,3596 45,3,2024-09-07 08:41:00:938,1,176,9,0,226,1793,176,0 46,0,2024-09-07 08:41:00:956,41387,0.3,41313,0.6,82857,0.2,109872,1.75 46,1,2024-09-07 08:41:00:577,310942,310942,0,0,144670538907,1495164272,309133,1586,223,366,391524,0 46,2,2024-09-07 08:41:00:595,222835,222835,0,0,8598790,0,2920 46,3,2024-09-07 08:41:01:131,1,176,1,0,908,3058,176,0 47,0,2024-09-07 08:41:01:103,42739,0.3,43045,0.5,86327,0.2,114205,1.50 47,1,2024-09-07 08:41:00:567,311504,311504,0,0,145213970007,1497940790,310097,1390,17,366,391605,0 47,2,2024-09-07 08:41:00:914,224545,224545,0,0,8688863,0,2558 47,3,2024-09-07 08:41:01:115,1,176,1,0,529,1913,176,0 48,0,2024-09-07 08:41:01:493,48573,0.3,48118,0.4,96243,0.2,128128,1.50 48,1,2024-09-07 08:41:01:023,310997,310997,0,0,145021210030,1506230944,308933,1883,181,384,391710,0 48,2,2024-09-07 08:41:00:701,222980,222980,0,0,7799317,0,3031 48,3,2024-09-07 08:41:00:754,1,176,1,0,339,1491,176,0 49,0,2024-09-07 08:41:01:712,47465,0.5,46549,0.6,90394,0.5,123742,1.75 49,1,2024-09-07 08:41:01:028,309472,309472,0,0,145072431751,1512016235,306722,1910,840,382,391583,0 49,2,2024-09-07 08:41:01:798,223662,223662,0,0,8520197,0,3900 49,3,2024-09-07 08:41:01:421,1,176,1,0,408,2436,176,0 50,0,2024-09-07 08:41:01:515,40241,0.3,39749,0.5,79796,0.2,106814,1.75 50,1,2024-09-07 08:41:01:010,311521,311521,0,0,145211634630,1504793908,308992,2220,309,368,391530,0 50,2,2024-09-07 08:41:01:067,222566,222566,0,0,8193464,0,2253 50,3,2024-09-07 08:41:01:290,1,176,1,0,335,1383,176,0 51,0,2024-09-07 08:41:01:685,42096,0.2,41434,0.4,80605,0.2,110333,1.50 51,1,2024-09-07 08:41:01:679,310283,310283,0,0,146435129267,1512948222,308312,1185,786,365,391637,0 51,2,2024-09-07 08:41:01:318,222490,222490,0,0,7778333,0,3337 51,3,2024-09-07 08:41:01:027,1,176,0,0,162,966,176,0 52,0,2024-09-07 08:41:01:412,47614,0.4,47426,0.6,94883,0.4,125898,2.00 52,1,2024-09-07 08:41:00:578,309364,309364,0,0,143747739424,1512648098,303447,4757,1160,368,391722,0 52,2,2024-09-07 08:41:01:756,220713,220675,38,0,10197050,0,6742 52,3,2024-09-07 08:41:00:678,1,176,1,0,1782,3549,176,0 53,0,2024-09-07 08:41:01:751,46101,1.0,44774,0.9,93718,1.2,123128,2.75 53,1,2024-09-07 08:41:00:771,308879,308879,0,0,144251364579,1518898725,303246,3739,1894,367,391702,0 53,2,2024-09-07 08:41:01:298,224772,224772,0,0,8544851,0,2262 53,3,2024-09-07 08:41:00:700,1,176,1,0,271,1655,176,0 54,0,2024-09-07 08:41:01:618,39861,1.8,40442,1.2,80030,0.9,107255,3.75 54,1,2024-09-07 08:41:00:582,309648,309648,0,0,145453093965,1516089840,305676,3401,571,367,391659,0 54,2,2024-09-07 08:41:00:866,221932,221926,6,0,10086110,0,5382 54,3,2024-09-07 08:41:00:763,1,176,19,0,676,3227,176,0 55,0,2024-09-07 08:41:01:764,39352,0.4,40657,0.6,82218,0.3,107040,2.25 55,1,2024-09-07 08:41:00:768,309321,309321,0,0,144103459845,1506345549,304131,4403,787,365,391731,0 55,2,2024-09-07 08:41:00:730,221119,221119,0,0,9654112,0,3275 55,3,2024-09-07 08:41:00:678,1,176,3,0,304,1876,176,0 56,0,2024-09-07 08:41:01:598,47401,0.9,44729,0.9,92121,1.1,123736,2.25 56,1,2024-09-07 08:41:00:570,308891,308891,0,0,145180790259,1533866067,303723,4262,906,381,391678,0 56,2,2024-09-07 08:41:01:315,222367,222367,0,0,10690520,0,3567 56,3,2024-09-07 08:41:01:059,1,176,1,0,405,2352,176,0 57,0,2024-09-07 08:41:00:966,46501,2.3,46646,1.5,93248,3.2,124783,3.75 57,1,2024-09-07 08:41:00:988,309858,309858,0,0,144278995551,1508666537,307017,2671,170,368,391960,0 57,2,2024-09-07 08:41:01:321,224826,224826,0,0,10799802,0,3178 57,3,2024-09-07 08:41:01:740,1,176,1,0,359,2790,176,0 58,0,2024-09-07 08:41:00:572,41664,1.1,40563,1.1,84902,1.4,110972,2.75 58,1,2024-09-07 08:41:00:581,310384,310381,0,3,145000650126,1512309584,306861,3122,398,367,391517,3 58,2,2024-09-07 08:41:01:071,221638,221638,0,0,9771048,0,2549 58,3,2024-09-07 08:41:01:067,1,176,2,0,1043,2363,176,0 59,0,2024-09-07 08:41:01:743,41259,0.7,40944,0.9,81715,0.7,108502,2.75 59,1,2024-09-07 08:41:00:811,309535,309535,0,0,145034171600,1514955836,305884,2728,923,369,391515,0 59,2,2024-09-07 08:41:00:593,222875,222875,0,0,8711545,0,2604 59,3,2024-09-07 08:41:01:736,1,176,1,0,1015,2910,176,0 60,0,2024-09-07 08:41:01:739,43877,0.4,43676,0.5,87411,0.3,116564,1.75 60,1,2024-09-07 08:41:00:772,310883,310883,0,0,145588794359,1508022864,309422,1103,358,370,391761,0 60,2,2024-09-07 08:41:01:141,223287,223287,0,0,9931984,0,3811 60,3,2024-09-07 08:41:01:258,1,176,2,0,124,1678,176,0 61,0,2024-09-07 08:41:01:520,48199,1.1,48390,1.0,96571,1.6,128587,2.00 61,1,2024-09-07 08:41:00:770,309495,309495,0,0,145062143992,1519376466,306050,2892,553,382,391593,0 61,2,2024-09-07 08:41:01:120,223980,223980,0,0,8804197,0,2079 61,3,2024-09-07 08:41:01:698,1,176,1,0,199,1975,176,0 62,0,2024-09-07 08:41:01:712,45945,1.2,46950,1.0,89453,1.6,121814,2.25 62,1,2024-09-07 08:41:01:116,311553,311547,0,6,145113643577,1496488724,309867,1641,39,365,391715,6 62,2,2024-09-07 08:41:01:654,221192,221191,1,0,10238873,0,5555 62,3,2024-09-07 08:41:01:143,1,176,1,0,287,1194,176,0 63,0,2024-09-07 08:41:01:464,41012,0.5,40908,0.6,81989,0.4,109508,1.75 63,1,2024-09-07 08:41:00:866,310949,310943,0,6,144883590974,1500116802,309699,1217,27,381,391677,6 63,2,2024-09-07 08:41:00:771,222372,222372,0,0,8206252,0,2674 63,3,2024-09-07 08:41:01:732,1,176,1,0,667,2450,176,0 64,0,2024-09-07 08:41:01:540,41285,0.4,41320,0.6,82762,0.3,110256,1.75 64,1,2024-09-07 08:41:00:759,310164,310164,0,0,144496875801,1508911533,306692,2417,1055,370,391783,0 64,2,2024-09-07 08:41:01:141,224543,224524,19,0,9007877,0,6121 64,3,2024-09-07 08:41:01:144,1,176,4,0,265,1865,176,0 65,0,2024-09-07 08:41:01:688,47509,0.7,47947,0.8,95647,0.8,128162,2.25 65,1,2024-09-07 08:41:00:869,309234,309234,0,0,143937263506,1505608922,306712,2298,224,382,391770,0 65,2,2024-09-07 08:41:01:705,222489,222489,0,0,9481489,0,3367 65,3,2024-09-07 08:41:01:689,1,176,2,0,163,1733,176,0 66,0,2024-09-07 08:41:01:764,46328,0.7,46287,0.8,93030,0.7,123711,2.50 66,1,2024-09-07 08:41:01:296,311062,311062,0,0,145046237122,1506958498,309186,1704,172,380,391588,0 66,2,2024-09-07 08:41:01:134,225077,225077,0,0,8848136,0,4956 66,3,2024-09-07 08:41:01:081,1,176,22,0,291,1778,176,0 67,0,2024-09-07 08:41:01:416,40044,0.8,39755,0.9,79814,0.9,106117,2.25 67,1,2024-09-07 08:41:00:767,309398,309397,0,1,144559244854,1510971987,305927,2723,747,381,391787,1 67,2,2024-09-07 08:41:00:590,223256,223256,0,0,8538369,0,2889 67,3,2024-09-07 08:41:01:749,1,176,338,0,338,1651,176,0 68,0,2024-09-07 08:41:00:602,40684,0.4,40557,0.6,81029,0.3,107819,2.00 68,1,2024-09-07 08:41:00:582,309193,309193,0,0,144613871092,1515773912,306330,1841,1022,381,391953,0 68,2,2024-09-07 08:41:01:048,221177,221112,65,0,11576522,0,6698 68,3,2024-09-07 08:41:00:729,1,176,5,0,417,2122,176,0 69,0,2024-09-07 08:41:01:728,47071,0.6,47261,0.7,93795,0.5,125587,2.00 69,1,2024-09-07 08:41:01:017,308210,308210,0,0,144001395330,1519858001,304198,2797,1215,384,391994,0 69,2,2024-09-07 08:41:01:733,222592,222592,0,0,10215732,0,3701 69,3,2024-09-07 08:41:00:764,1,176,8,0,238,2178,176,0 70,0,2024-09-07 08:41:01:532,46347,1.7,46586,1.4,93418,1.2,124138,2.75 70,1,2024-09-07 08:41:00:804,309836,309836,0,0,144560589849,1500871085,307378,1996,462,366,391725,0 70,2,2024-09-07 08:41:01:325,224973,224973,0,0,9335681,0,4044 70,3,2024-09-07 08:41:00:745,1,176,24,0,854,2240,176,0 71,0,2024-09-07 08:41:01:383,40890,1.9,40914,1.6,81617,2.8,109643,3.50 71,1,2024-09-07 08:41:01:597,310002,310002,0,0,144678924359,1512054020,305885,3592,525,368,391682,0 71,2,2024-09-07 08:41:01:067,222635,222635,0,0,9336939,0,2470 71,3,2024-09-07 08:41:01:759,1,176,1,0,644,2613,176,0 72,0,2024-09-07 08:41:01:051,42226,0.4,41274,0.7,80505,0.3,109319,2.00 72,1,2024-09-07 08:41:01:043,309603,309603,0,0,144476848227,1506779777,306335,2782,486,369,391819,0 72,2,2024-09-07 08:41:01:758,220830,220830,0,0,10967697,0,2570 72,3,2024-09-07 08:41:01:768,1,176,10,0,364,3309,176,0 73,0,2024-09-07 08:41:01:117,43923,0.3,45010,0.5,92130,0.3,120086,2.00 73,1,2024-09-07 08:41:00:772,309676,309676,0,0,144415281694,1497447310,307868,1693,115,367,391750,0 73,2,2024-09-07 08:41:01:747,223257,223257,0,0,10272191,0,3482 73,3,2024-09-07 08:41:00:969,1,176,1,0,274,2628,176,0 74,0,2024-09-07 08:41:01:322,48658,0.5,49673,0.7,94586,0.5,128272,2.25 74,1,2024-09-07 08:41:00:636,309563,309563,0,0,144435898725,1505990149,306769,2172,622,382,391681,0 74,2,2024-09-07 08:41:01:001,223492,223492,0,0,10273857,0,4253 74,3,2024-09-07 08:41:01:443,1,176,7,0,522,2798,176,0 75,0,2024-09-07 08:41:01:766,44277,1.1,43922,1.1,88060,1.2,117957,2.75 75,1,2024-09-07 08:41:01:591,309335,309335,0,0,143866299858,1505173542,305937,2938,460,381,391579,0 75,2,2024-09-07 08:41:01:350,221581,221581,0,0,9863253,0,4766 75,3,2024-09-07 08:41:01:072,1,176,3,0,535,1899,176,0 76,0,2024-09-07 08:41:00:582,41328,0.4,40986,0.6,81933,0.3,110117,2.25 76,1,2024-09-07 08:41:00:811,309698,309698,0,0,144675702799,1508276500,307633,1554,511,382,391692,0 76,2,2024-09-07 08:41:01:070,223006,223006,0,0,8718913,0,3064 76,3,2024-09-07 08:41:01:150,1,176,11,0,175,1999,176,0 77,0,2024-09-07 08:41:01:698,42667,0.4,42952,0.6,85956,0.4,113975,1.75 77,1,2024-09-07 08:41:00:825,310951,310951,0,0,144480909618,1505082645,309352,1514,85,383,391808,0 77,2,2024-09-07 08:41:01:295,222974,222974,0,0,9115372,0,3890 77,3,2024-09-07 08:41:01:093,1,176,1,0,305,2101,176,0 78,0,2024-09-07 08:41:01:726,48182,0.5,47916,0.7,96579,0.4,127649,2.00 78,1,2024-09-07 08:41:00:611,310294,310294,0,0,144064585377,1497379711,307957,2084,253,367,391646,0 78,2,2024-09-07 08:41:01:405,223716,223716,0,0,8329207,0,2114 78,3,2024-09-07 08:41:01:134,1,176,11,0,181,1718,176,0 79,0,2024-09-07 08:41:01:350,44769,0.5,45834,0.7,93820,0.5,122073,2.50 79,1,2024-09-07 08:41:00:571,310905,310905,0,0,144848528255,1500043016,308653,2079,173,369,391682,0 79,2,2024-09-07 08:41:01:067,223295,223295,0,0,8450024,0,3212 79,3,2024-09-07 08:41:00:749,1,176,0,0,418,2961,176,0 80,0,2024-09-07 08:41:01:078,39961,0.6,41046,0.7,78657,0.6,106754,2.00 80,1,2024-09-07 08:41:01:646,309358,309358,0,0,144721064178,1506339415,306828,2367,163,368,391791,0 80,2,2024-09-07 08:41:01:092,223026,223026,0,0,9096416,0,4433 80,3,2024-09-07 08:41:00:580,1,176,1,0,190,2732,176,0 81,0,2024-09-07 08:41:01:591,41223,0.4,42367,0.6,81190,0.4,109929,1.75 81,1,2024-09-07 08:41:01:661,309614,309614,0,0,143849450812,1503557683,307125,2215,274,382,391879,0 81,2,2024-09-07 08:41:01:128,222033,222033,0,0,9483450,0,3993 81,3,2024-09-07 08:41:01:121,1,176,8,0,193,1682,176,0 82,0,2024-09-07 08:41:01:532,47049,0.4,47323,0.7,94727,0.4,126031,1.75 82,1,2024-09-07 08:41:00:585,310402,310398,0,4,144594953932,1507034622,307942,1878,578,381,391558,4 82,2,2024-09-07 08:41:01:699,222765,222765,0,0,8589926,0,3986 82,3,2024-09-07 08:41:01:752,1,176,1,0,227,1925,176,0 83,0,2024-09-07 08:41:01:530,46502,0.7,46470,0.8,92422,0.8,123451,2.00 83,1,2024-09-07 08:41:00:568,309696,309696,0,0,144455984327,1508730523,307105,2368,223,382,391690,0 83,2,2024-09-07 08:41:00:769,224102,224102,0,0,8589738,0,3119 83,3,2024-09-07 08:41:00:749,1,176,4,0,241,1914,176,0 84,0,2024-09-07 08:41:01:836,40599,1.2,40404,1.2,81239,0.9,108587,2.75 84,1,2024-09-07 08:41:01:041,309232,309232,0,0,144343238428,1510545845,305821,2951,460,368,391852,0 84,2,2024-09-07 08:41:00:572,222343,222343,0,0,9805516,0,3801 84,3,2024-09-07 08:41:01:145,1,176,1,0,270,2023,176,0 85,0,2024-09-07 08:41:01:028,39271,0.4,39295,0.7,83344,0.4,108134,2.00 85,1,2024-09-07 08:41:00:577,308682,308682,0,0,143608902977,1520384616,303223,4379,1080,382,392006,0 85,2,2024-09-07 08:41:00:920,222305,222305,0,0,10488810,0,3656 85,3,2024-09-07 08:41:00:697,1,176,1,0,789,2515,176,0 86,0,2024-09-07 08:41:00:922,46265,0.5,47439,0.6,91136,0.4,123606,1.75 86,1,2024-09-07 08:41:00:832,309475,309475,0,0,144737818000,1514936374,305507,3423,545,366,391961,0 86,2,2024-09-07 08:41:00:862,221377,221376,1,0,10795583,0,5004 86,3,2024-09-07 08:41:00:601,1,176,9,0,286,2486,176,0 87,0,2024-09-07 08:41:01:291,47262,1.4,47049,1.1,94524,2.0,126528,2.25 87,1,2024-09-07 08:41:00:553,309546,309546,0,0,144439477446,1511612376,306322,2829,395,366,391788,0 87,2,2024-09-07 08:41:01:068,223730,223730,0,0,9172284,0,3515 87,3,2024-09-07 08:41:01:794,1,176,2,0,335,2910,176,0 88,0,2024-09-07 08:41:01:468,42889,0.6,43074,0.7,86106,0.7,114302,1.75 88,1,2024-09-07 08:41:00:576,308647,308647,0,0,144331719707,1513672006,304590,2883,1174,365,392084,0 88,2,2024-09-07 08:41:00:692,221441,221441,0,0,10474994,0,3583 88,3,2024-09-07 08:41:01:269,1,176,4,0,435,2398,176,0 89,0,2024-09-07 08:41:01:951,42397,0.4,41016,0.6,81291,0.3,109921,1.75 89,1,2024-09-07 08:41:00:550,307794,307794,0,0,144383717722,1525039741,302354,4502,938,382,391866,0 89,2,2024-09-07 08:41:01:133,221873,221873,0,0,10374855,0,2910 89,3,2024-09-07 08:41:01:797,1,176,74,0,325,3278,176,0 90,0,2024-09-07 08:41:01:622,42363,0.3,43236,0.5,89004,0.3,116049,1.75 90,1,2024-09-07 08:41:00:592,309877,309877,0,0,143606228458,1506455705,306640,2978,259,381,391825,0 90,2,2024-09-07 08:41:01:406,222144,222144,0,0,11302365,0,3060 90,3,2024-09-07 08:41:00:936,1,176,5,0,200,1972,176,0 91,0,2024-09-07 08:41:00:942,48825,0.5,47099,0.6,98092,0.4,128922,1.75 91,1,2024-09-07 08:41:00:563,309016,309016,0,0,144692808961,1518685850,305260,3258,498,381,392047,0 91,2,2024-09-07 08:41:01:337,222375,222375,0,0,10539990,0,2445 91,3,2024-09-07 08:41:00:600,1,176,3,0,216,1934,176,0 92,0,2024-09-07 08:41:01:460,46036,0.7,47247,0.8,90237,0.8,122152,1.75 92,1,2024-09-07 08:41:00:584,309722,309722,0,0,144147710522,1505062202,307534,1784,404,382,392136,0 92,2,2024-09-07 08:41:01:371,224017,224017,0,0,8817656,0,2801 92,3,2024-09-07 08:41:01:010,1,176,5,0,167,1554,176,0 93,0,2024-09-07 08:41:00:954,41207,0.4,42265,0.6,80718,0.3,109899,1.75 93,1,2024-09-07 08:41:00:809,309639,309639,0,0,144783693429,1511045189,306514,2573,552,366,391692,0 93,2,2024-09-07 08:41:00:931,222651,222651,0,0,8880061,0,2509 93,3,2024-09-07 08:41:01:407,1,176,2,0,143,1743,176,0 94,0,2024-09-07 08:41:01:622,41412,0.3,41899,0.4,83092,0.2,110174,1.50 94,1,2024-09-07 08:41:00:567,309327,309327,0,0,144193039816,1507207775,306874,2357,96,381,391850,0 94,2,2024-09-07 08:41:00:782,222703,222703,0,0,8651522,0,2443 94,3,2024-09-07 08:41:01:698,1,176,1,0,264,2491,176,0 95,0,2024-09-07 08:41:01:346,48384,0.3,48065,0.5,97026,0.3,128993,1.75 95,1,2024-09-07 08:41:00:851,310019,310019,0,0,144278847016,1500289955,307627,2208,184,367,391662,0 95,2,2024-09-07 08:41:01:019,222634,222634,0,0,8912038,0,3308 95,3,2024-09-07 08:41:01:709,1,176,64,0,718,3221,176,0 96,0,2024-09-07 08:41:01:036,46761,0.5,46918,0.6,93940,0.5,124321,1.75 96,1,2024-09-07 08:41:01:585,309562,309562,0,0,144667597297,1512901556,306947,1972,643,385,391894,0 96,2,2024-09-07 08:41:01:268,223443,223443,0,0,9433611,0,4042 96,3,2024-09-07 08:41:01:141,1,176,1,0,188,1930,176,0 97,0,2024-09-07 08:41:01:429,40105,0.4,39930,0.5,79961,0.4,106229,1.75 97,1,2024-09-07 08:41:00:778,310541,310541,0,0,145588823572,1513644335,307731,2259,551,367,392140,0 97,2,2024-09-07 08:41:00:608,222518,222518,0,0,8712403,0,3036 97,3,2024-09-07 08:41:00:570,1,176,2,0,165,2017,176,0 98,0,2024-09-07 08:41:01:700,40648,0.2,40547,0.4,81465,0.2,108134,1.50 98,1,2024-09-07 08:41:00:575,310293,310293,0,0,144475630274,1505815106,308466,1734,93,382,391997,0 98,2,2024-09-07 08:41:00:771,223242,223242,0,0,9017004,0,3080 98,3,2024-09-07 08:41:00:700,1,176,1,0,840,3312,176,0 99,0,2024-09-07 08:41:01:450,47074,0.3,47070,0.4,94055,0.2,126025,1.50 99,1,2024-09-07 08:41:01:723,310368,310368,0,0,144904806841,1512847084,307294,2378,696,381,391744,0 99,2,2024-09-07 08:41:01:427,224040,224040,0,0,8785066,0,2615 99,3,2024-09-07 08:41:00:588,1,176,1,0,129,1290,176,0 100,0,2024-09-07 08:41:01:499,46806,1.1,46809,1.2,93486,2.0,124954,2.50 100,1,2024-09-07 08:41:00:557,307733,307733,0,0,144064725056,1526402664,302470,4330,933,381,391989,0 100,2,2024-09-07 08:41:01:835,223452,223441,11,0,10092898,0,5417 100,3,2024-09-07 08:41:01:737,1,176,1,0,559,3780,176,0 101,0,2024-09-07 08:41:01:742,42226,2.7,41144,1.5,80644,3.1,110920,3.00 101,1,2024-09-07 08:41:00:554,308694,308694,0,0,144350384968,1524201044,303324,3892,1478,368,391769,0 101,2,2024-09-07 08:41:01:763,221436,221436,0,0,11038663,0,4644 101,3,2024-09-07 08:41:00:942,1,176,51,0,448,2126,176,0 102,0,2024-09-07 08:41:00:966,39912,0.5,41248,0.7,82987,0.4,108555,2.00 102,1,2024-09-07 08:41:01:143,309136,309136,0,0,144365729984,1517733296,305040,3383,713,369,391883,0 102,2,2024-09-07 08:41:01:737,222119,222065,54,0,10425697,0,6768 102,3,2024-09-07 08:41:01:613,1,176,1,0,410,1777,176,0 103,0,2024-09-07 08:41:01:598,46414,0.4,46353,0.6,87614,0.3,120941,1.75 103,1,2024-09-07 08:41:01:627,308232,308232,0,0,144252475678,1519971992,303780,3242,1210,381,391829,0 103,2,2024-09-07 08:41:00:602,222680,222680,0,0,9355002,0,2104 103,3,2024-09-07 08:41:00:756,1,176,0,0,916,3066,176,0 104,0,2024-09-07 08:41:01:019,47862,1.2,48100,1.1,95110,1.3,128363,2.25 104,1,2024-09-07 08:41:01:612,309676,309676,0,0,143895101088,1515797213,304660,4050,966,365,391948,0 104,2,2024-09-07 08:41:01:678,223072,223072,0,0,10341405,0,3941 104,3,2024-09-07 08:41:01:421,1,176,4,1,1245,5237,176,0 105,0,2024-09-07 08:41:01:031,43636,1.6,42361,1.4,88577,2.5,116834,3.75 105,1,2024-09-07 08:41:00:581,310097,310097,0,0,144167344355,1511769358,305879,3441,777,367,391797,0 105,2,2024-09-07 08:41:01:339,221088,221088,0,0,9687943,0,3509 105,3,2024-09-07 08:41:01:320,1,176,1,0,399,2656,176,0 106,0,2024-09-07 08:41:00:986,40033,0.6,41169,0.8,83837,0.6,109740,2.00 106,1,2024-09-07 08:41:01:751,309763,309763,0,0,144324675475,1512911921,305968,3411,384,369,391767,0 106,2,2024-09-07 08:41:00:756,221387,221387,0,0,9823618,0,2795 106,3,2024-09-07 08:41:00:678,1,176,2,0,405,2450,176,0 107,0,2024-09-07 08:41:01:129,42766,0.4,42782,0.6,85123,0.3,114285,1.75 107,1,2024-09-07 08:41:00:596,309032,309032,0,0,144274644496,1514972490,306029,2772,231,381,392234,0 107,2,2024-09-07 08:41:01:291,223200,223199,1,0,10011784,0,5024 107,3,2024-09-07 08:41:01:758,1,176,1,0,353,2556,176,0 108,0,2024-09-07 08:41:01:794,48334,0.4,48427,0.6,96114,0.4,128129,1.75 108,1,2024-09-07 08:41:01:297,309270,309270,0,0,144657925602,1509512245,306600,2356,314,368,391857,0 108,2,2024-09-07 08:41:01:760,221585,221585,0,0,9432435,0,2647 108,3,2024-09-07 08:41:01:330,1,176,1,0,667,3385,176,0 109,0,2024-09-07 08:41:01:752,46458,0.4,46057,0.6,92370,0.4,123886,1.75 109,1,2024-09-07 08:41:00:669,309117,309117,0,0,144465033912,1515698023,306331,2290,496,383,392132,0 109,2,2024-09-07 08:41:00:921,222058,222058,0,0,9640456,0,3617 109,3,2024-09-07 08:41:01:140,1,176,2,0,249,2353,176,0 110,0,2024-09-07 08:41:01:807,40254,0.4,39116,0.6,81647,0.3,107088,1.75 110,1,2024-09-07 08:41:01:655,310331,310331,0,0,145335556603,1511113271,307716,1850,765,370,391667,0 110,2,2024-09-07 08:41:01:324,222922,222922,0,0,8595750,0,2915 110,3,2024-09-07 08:41:00:690,1,176,22,0,406,2419,176,0 111,0,2024-09-07 08:41:01:422,41444,0.2,40956,0.4,81780,0.1,110248,1.50 111,1,2024-09-07 08:41:01:003,310746,310746,0,0,145417700304,1508494642,308966,1447,333,382,391690,0 111,2,2024-09-07 08:41:01:117,221821,221821,0,0,9405769,0,2763 111,3,2024-09-07 08:41:00:923,1,176,4,0,379,2783,176,0 112,0,2024-09-07 08:41:00:919,47396,0.3,47369,0.4,94697,0.2,126100,1.50 112,1,2024-09-07 08:41:00:824,310873,310873,0,0,144242426191,1499072015,308545,1902,426,380,391580,0 112,2,2024-09-07 08:41:01:132,222310,222309,1,0,9368701,0,5036 112,3,2024-09-07 08:41:00:611,1,176,12,0,282,1925,176,0 113,0,2024-09-07 08:41:00:876,46250,0.4,46199,0.5,93089,0.3,124572,1.75 113,1,2024-09-07 08:41:01:688,310661,310661,0,0,145458464797,1505470188,308242,1931,488,366,391661,0 113,2,2024-09-07 08:41:01:320,225192,225192,0,0,8197802,0,3813 113,3,2024-09-07 08:41:00:693,1,176,5,0,340,2825,176,0 114,0,2024-09-07 08:41:00:882,41386,0.6,42026,0.6,82507,0.4,110417,2.00 114,1,2024-09-07 08:41:00:717,309500,309500,0,0,144318636902,1509333628,305367,2670,1463,381,391534,0 114,2,2024-09-07 08:41:00:876,222481,222481,0,0,8641617,0,3925 114,3,2024-09-07 08:41:01:277,1,176,0,0,395,2057,176,0 115,0,2024-09-07 08:41:00:557,40601,0.2,41030,0.4,81888,0.1,108856,1.50 115,1,2024-09-07 08:41:00:571,310035,310035,0,0,144531475042,1506376454,306389,2825,821,382,391602,0 115,2,2024-09-07 08:41:01:124,223225,223225,0,0,7989652,0,2152 115,3,2024-09-07 08:41:01:001,1,176,1,0,159,1099,176,0 116,0,2024-09-07 08:41:01:845,46388,0.5,45897,0.7,92322,0.5,123337,2.00 116,1,2024-09-07 08:41:00:811,307320,307320,0,0,144026180072,1528048578,302401,3161,1758,380,391677,0 116,2,2024-09-07 08:41:01:822,221766,221766,0,0,11083916,0,3529 116,3,2024-09-07 08:41:00:923,1,176,6,0,252,2482,176,0 117,0,2024-09-07 08:41:00:974,47161,1.2,47304,1.0,94484,1.7,126532,2.00 117,1,2024-09-07 08:41:01:587,309349,309349,0,0,143939512175,1508280546,305666,3253,430,370,392033,0 117,2,2024-09-07 08:41:01:118,225509,225509,0,0,8968252,0,3700 117,3,2024-09-07 08:41:01:059,1,176,2,0,490,3422,176,0 118,0,2024-09-07 08:41:01:777,41707,0.9,42961,0.9,87188,1.1,113614,2.25 118,1,2024-09-07 08:41:00:592,309313,309313,0,0,144156894055,1518796393,304453,3535,1325,366,391736,0 118,2,2024-09-07 08:41:01:586,221584,221584,0,0,9995757,0,2781 118,3,2024-09-07 08:41:01:764,1,176,8,0,235,2217,176,0 119,0,2024-09-07 08:41:01:334,40797,0.6,41027,0.8,82790,0.5,109061,2.00 119,1,2024-09-07 08:41:00:549,310062,310062,0,0,144826567007,1510177543,307568,2203,291,369,391641,0 119,2,2024-09-07 08:41:01:261,221851,221851,0,0,9301794,0,3526 119,3,2024-09-07 08:41:01:324,1,176,13,0,443,3006,176,0 120,0,2024-09-07 08:41:01:634,43462,0.4,43435,0.7,86987,0.4,116250,1.75 120,1,2024-09-07 08:41:00:923,310151,310151,0,0,144192587643,1512358480,306846,3042,263,368,391961,0 120,2,2024-09-07 08:41:00:776,222379,222378,1,0,11627792,0,5281 120,3,2024-09-07 08:41:01:289,1,176,23,0,241,2452,176,0 121,0,2024-09-07 08:41:01:773,47958,1.3,48398,1.1,96435,1.9,128353,2.25 121,1,2024-09-07 08:41:01:686,309535,309535,0,0,144215517004,1508051543,306757,2488,290,367,391840,0 121,2,2024-09-07 08:41:01:125,222237,222237,0,0,10545673,0,4127 121,3,2024-09-07 08:41:00:727,1,176,1,0,269,2325,176,0 122,0,2024-09-07 08:41:01:767,45458,1.1,44312,1.1,92892,1.3,122180,2.25 122,1,2024-09-07 08:41:00:866,308586,308586,0,0,144884145008,1520803337,304436,3441,709,366,392130,0 122,2,2024-09-07 08:41:01:334,222104,222104,0,0,11512255,0,3364 122,3,2024-09-07 08:41:00:607,1,176,1,0,411,3862,176,0 123,0,2024-09-07 08:41:00:983,41037,0.7,40015,0.8,83572,0.9,109497,2.00 123,1,2024-09-07 08:41:00:581,309660,309660,0,0,144850503396,1522747949,304580,4386,694,369,391823,0 123,2,2024-09-07 08:41:01:024,220706,220705,1,0,10277797,0,5215 123,3,2024-09-07 08:41:01:132,1,176,1,0,168,2140,176,0 124,0,2024-09-07 08:41:00:939,42772,0.3,42642,0.5,80723,0.2,110497,1.50 124,1,2024-09-07 08:41:01:032,310299,310299,0,0,144674699678,1502889404,308204,1739,356,367,392178,0 124,2,2024-09-07 08:41:01:015,223170,223170,0,0,8702783,0,3101 124,3,2024-09-07 08:41:00:759,1,176,5,0,490,2318,176,0 125,0,2024-09-07 08:41:01:486,48264,0.4,48200,0.5,96476,0.3,129151,1.75 125,1,2024-09-07 08:41:00:861,310053,310053,0,0,144345318002,1507167744,307679,2097,277,384,391702,0 125,2,2024-09-07 08:41:01:129,223425,223425,0,0,8602749,0,2180 125,3,2024-09-07 08:41:01:125,1,176,1,0,284,2170,176,0 126,0,2024-09-07 08:41:01:435,46893,0.7,48175,0.7,91865,0.8,125117,1.75 126,1,2024-09-07 08:41:00:556,310365,310365,0,0,145340900266,1506190645,308722,1565,78,365,391987,0 126,2,2024-09-07 08:41:00:611,224491,224491,0,0,8969513,0,3186 126,3,2024-09-07 08:41:00:910,1,176,0,0,150,2532,176,0 127,0,2024-09-07 08:41:01:608,39816,0.4,40021,0.5,79832,0.4,106186,1.75 127,1,2024-09-07 08:41:00:571,309706,309706,0,0,145486982801,1507093934,307624,2041,41,365,391816,0 127,2,2024-09-07 08:41:00:646,222717,222717,0,0,8458449,0,2264 127,3,2024-09-07 08:41:01:267,1,176,4,0,243,1556,176,0 128,0,2024-09-07 08:41:01:543,40835,0.3,40664,0.4,81366,0.2,108205,1.50 128,1,2024-09-07 08:41:01:606,310562,310562,0,0,145273768365,1503694001,309580,910,72,367,391680,0 128,2,2024-09-07 08:41:01:386,222150,222150,0,0,8488631,0,2107 128,3,2024-09-07 08:41:00:774,1,176,2,0,333,2442,176,0 129,0,2024-09-07 08:41:01:005,47442,0.3,47159,0.4,94344,0.2,125729,1.50 129,1,2024-09-07 08:41:00:571,308506,308506,0,0,144266618780,1510685112,305561,2551,394,379,391835,0 129,2,2024-09-07 08:41:00:703,222182,222182,0,0,8811316,0,4031 129,3,2024-09-07 08:41:00:691,1,176,1,0,173,2030,176,0 130,0,2024-09-07 08:41:01:728,47255,0.7,47005,0.7,94379,0.9,125902,1.75 130,1,2024-09-07 08:41:00:607,310063,310063,0,0,144829944570,1508635555,307944,2047,72,381,391825,0 130,2,2024-09-07 08:41:01:124,225398,225398,0,0,8707464,0,4067 130,3,2024-09-07 08:41:01:301,1,176,25,0,450,2070,176,0 131,0,2024-09-07 08:41:01:931,41576,0.5,41768,0.6,84451,0.6,111195,1.75 131,1,2024-09-07 08:41:01:820,310938,310938,0,0,144557560805,1503300198,309464,1268,206,383,391865,0 131,2,2024-09-07 08:41:00:567,222611,222611,0,0,8367750,0,2415 131,3,2024-09-07 08:41:01:689,1,176,1,0,392,1913,176,0 132,0,2024-09-07 08:41:01:433,40538,0.4,41089,0.7,82119,0.4,108943,1.75 132,1,2024-09-07 08:41:00:631,308738,308738,0,0,143544874349,1516744863,303315,4391,1032,381,392097,0 132,2,2024-09-07 08:41:00:710,221622,221622,0,0,11087895,0,4606 132,3,2024-09-07 08:41:01:690,1,176,11,0,356,3100,176,0 133,0,2024-09-07 08:41:01:536,43923,0.4,44947,0.5,92034,0.3,119971,1.75 133,1,2024-09-07 08:41:00:592,308138,308138,0,0,144226832867,1520966834,303626,3871,641,383,391914,0 133,2,2024-09-07 08:41:01:087,222489,222489,0,0,11175765,0,4315 133,3,2024-09-07 08:41:01:297,1,176,11,0,187,1480,176,0 134,0,2024-09-07 08:41:00:949,48133,0.5,48087,0.7,96722,0.5,128305,2.00 134,1,2024-09-07 08:41:00:622,309078,309078,0,0,144396948347,1514237389,304677,3174,1227,366,391718,0 134,2,2024-09-07 08:41:01:761,223191,223191,0,0,9521211,0,3096 134,3,2024-09-07 08:41:00:750,1,176,1,0,739,3083,176,0 135,0,2024-09-07 08:41:01:113,42776,1.4,42754,1.2,90667,1.6,116373,2.50 135,1,2024-09-07 08:41:01:591,308547,308547,0,0,144367024010,1518216061,304349,3494,704,380,391805,0 135,2,2024-09-07 08:41:00:687,222263,222263,0,0,10206292,0,3981 135,3,2024-09-07 08:41:01:001,1,176,2,0,89,1019,176,0 136,0,2024-09-07 08:41:01:624,41594,0.5,41495,0.7,83454,0.4,110704,2.00 136,1,2024-09-07 08:41:01:447,309005,309005,0,0,143850230992,1507380166,305649,3182,174,383,391641,0 136,2,2024-09-07 08:41:01:143,223095,223095,0,0,9872234,0,3506 136,3,2024-09-07 08:41:01:114,1,176,6,0,108,1382,176,0 137,0,2024-09-07 08:41:00:980,43830,0.4,42553,0.6,83955,0.3,114184,1.75 137,1,2024-09-07 08:41:00:593,309299,309299,0,0,143932195396,1505868143,305157,3721,421,366,391708,0 137,2,2024-09-07 08:41:01:705,223132,223132,0,0,11276274,0,3185 137,3,2024-09-07 08:41:00:780,1,176,25,0,227,1981,176,0 138,0,2024-09-07 08:41:01:750,47788,1.1,47747,1.0,96238,1.5,127855,2.25 138,1,2024-09-07 08:41:01:688,309544,309544,0,0,145166976856,1516119794,306456,2735,353,368,391954,0 138,2,2024-09-07 08:41:00:594,223293,223293,0,0,9291848,0,3263 138,3,2024-09-07 08:41:00:622,1,176,0,0,1160,3243,176,0 139,0,2024-09-07 08:41:01:369,45186,2.8,45385,1.6,91534,4.1,122387,3.00 139,1,2024-09-07 08:41:00:582,307997,307997,0,0,143661758272,1523077782,302711,3960,1326,381,391892,0 139,2,2024-09-07 08:41:00:694,221239,221239,0,0,10473788,0,3097 139,3,2024-09-07 08:41:01:663,1,176,1,0,244,1916,176,0 140,0,2024-09-07 08:41:01:605,40460,0.3,40076,0.5,80756,0.3,107201,1.75 140,1,2024-09-07 08:41:01:537,310855,310855,0,0,145367679195,1498729134,309335,1280,240,365,391606,0 140,2,2024-09-07 08:41:00:691,223126,223126,0,0,8965818,0,3388 140,3,2024-09-07 08:41:00:768,1,176,2,0,247,1477,176,0 141,0,2024-09-07 08:41:01:724,41074,0.2,42172,0.4,80775,0.1,110191,1.50 141,1,2024-09-07 08:41:00:866,310657,310657,0,0,146144235611,1515923505,308703,1601,353,379,391614,0 141,2,2024-09-07 08:41:01:688,222796,222796,0,0,8431496,0,2342 141,3,2024-09-07 08:41:01:045,1,176,1,0,147,1375,176,0 142,0,2024-09-07 08:41:01:358,47837,0.3,47570,0.5,94456,0.2,126441,1.50 142,1,2024-09-07 08:41:00:614,309810,309810,0,0,144496055571,1505154675,308257,1394,159,383,391728,0 142,2,2024-09-07 08:41:01:313,221637,221605,32,0,9855115,0,6028 142,3,2024-09-07 08:41:01:756,1,176,13,0,484,2404,176,0 143,0,2024-09-07 08:41:01:467,46456,0.7,46407,0.7,93647,0.7,124453,2.00 143,1,2024-09-07 08:41:00:572,310291,310291,0,0,144582480630,1500089339,308164,2083,44,367,391619,0 143,2,2024-09-07 08:41:00:773,224431,224431,0,0,9025082,0,2669 143,3,2024-09-07 08:41:01:144,1,176,2,0,303,2693,176,0 144,0,2024-09-07 08:41:01:508,39596,0.8,40856,1.3,82761,0.7,108894,2.25 144,1,2024-09-07 08:41:00:565,308672,308672,0,0,143667018584,1506216456,306268,2200,204,381,391649,0 144,2,2024-09-07 08:41:01:757,222641,222641,0,0,8862032,0,3473 144,3,2024-09-07 08:41:01:746,1,176,3,0,249,2182,176,0 145,0,2024-09-07 08:41:01:385,39425,0.5,39416,0.7,83556,0.4,108366,2.00 145,1,2024-09-07 08:41:00:555,307863,307863,0,0,143977231287,1516403498,303461,3665,737,382,391615,0 145,2,2024-09-07 08:41:01:442,221692,221692,0,0,9728407,0,3903 145,3,2024-09-07 08:41:00:904,1,176,3,0,151,1984,176,0 146,0,2024-09-07 08:41:01:617,46177,0.4,45732,0.6,92363,0.3,123032,2.00 146,1,2024-09-07 08:41:01:594,309892,309892,0,0,144436810955,1513798426,305364,3667,861,368,391629,0 146,2,2024-09-07 08:41:01:695,222386,222386,0,0,9603467,0,2498 146,3,2024-09-07 08:41:01:274,1,176,1,0,1520,5236,176,0 147,0,2024-09-07 08:41:01:707,47276,0.8,47187,0.9,93892,0.8,126118,2.25 147,1,2024-09-07 08:41:01:380,310682,310682,0,0,144537495537,1504788070,307571,2613,498,368,391791,0 147,2,2024-09-07 08:41:01:013,225627,225627,0,0,8873217,0,2789 147,3,2024-09-07 08:41:00:915,1,176,32,0,371,2087,176,0 0,0,2024-09-07 08:41:11:749,42740,0.4,42669,0.6,90399,0.3,117320,1.75 0,1,2024-09-07 08:41:10:906,311199,311199,0,0,145460186296,1520696912,309227,1835,137,372,391772,0 0,2,2024-09-07 08:41:11:072,224106,224106,0,0,9283840,0,4480 0,3,2024-09-07 08:41:10:979,1,177,0,0,247,2221,177,0 1,0,2024-09-07 08:41:11:818,48519,1.2,48165,1.1,96654,1.6,128894,2.25 1,1,2024-09-07 08:41:10:567,311074,311074,0,0,144594880675,1516765653,307627,2580,867,371,391857,0 1,2,2024-09-07 08:41:10:663,223500,223500,0,0,8604253,0,3267 1,3,2024-09-07 08:41:11:310,1,177,12,0,262,2067,177,0 2,0,2024-09-07 08:41:11:570,45617,1.0,45970,1.0,91176,1.4,121512,2.25 2,1,2024-09-07 08:41:10:860,311115,311115,0,0,145633068570,1513181910,309605,1304,206,380,391745,0 2,2,2024-09-07 08:41:11:278,223203,223203,0,0,9022216,0,3594 2,3,2024-09-07 08:41:10:698,1,177,1,0,357,1749,177,0 3,0,2024-09-07 08:41:11:755,40995,0.4,41281,0.7,82207,0.4,109440,2.00 3,1,2024-09-07 08:41:11:623,310774,310774,0,0,145186602364,1514572532,307663,2687,424,380,391516,0 3,2,2024-09-07 08:41:11:145,223640,223617,23,0,9168361,0,5851 3,3,2024-09-07 08:41:11:752,1,177,1,0,103,1139,177,0 4,0,2024-09-07 08:41:11:801,40505,0.3,41650,0.4,84684,0.2,111421,1.50 4,1,2024-09-07 08:41:10:599,311515,311515,0,0,144904707230,1520601836,308523,2469,523,371,391846,0 4,2,2024-09-07 08:41:11:021,223207,223207,0,0,10752049,0,4528 4,3,2024-09-07 08:41:11:027,1,177,448,0,448,2731,177,0 5,0,2024-09-07 08:41:11:380,48566,0.4,48550,0.6,96961,0.4,129113,1.75 5,1,2024-09-07 08:41:10:768,310783,310783,0,0,145078749498,1526792193,306567,3227,989,368,392005,0 5,2,2024-09-07 08:41:11:842,222952,222952,0,0,9311425,0,2259 5,3,2024-09-07 08:41:11:732,1,177,1,0,238,2521,177,0 6,0,2024-09-07 08:41:10:928,47383,0.9,46879,1.0,93355,1.1,125597,2.25 6,1,2024-09-07 08:41:10:747,311679,311679,0,0,144601414334,1512912778,308277,2754,648,379,391634,0 6,2,2024-09-07 08:41:11:116,224553,224553,0,0,9423743,0,4816 6,3,2024-09-07 08:41:11:284,1,177,4,0,340,2364,177,0 7,0,2024-09-07 08:41:11:538,39641,0.5,39537,0.7,79209,0.4,105689,2.00 7,1,2024-09-07 08:41:10:850,311493,311493,0,0,145356378946,1519817530,308671,2690,132,382,391664,0 7,2,2024-09-07 08:41:10:773,223457,223457,0,0,8651048,0,2981 7,3,2024-09-07 08:41:10:851,1,177,1,0,305,1730,177,0 8,0,2024-09-07 08:41:11:325,40764,0.3,40992,0.4,81598,0.2,109182,1.50 8,1,2024-09-07 08:41:11:023,310455,310455,0,0,144993531984,1529118182,304840,4014,1601,367,391956,0 8,2,2024-09-07 08:41:10:795,220584,220584,0,0,10551873,0,2986 8,3,2024-09-07 08:41:10:587,1,177,1,0,357,2680,177,0 9,0,2024-09-07 08:41:11:124,47541,0.3,46158,0.5,96294,0.3,126573,1.50 9,1,2024-09-07 08:41:10:550,310632,310632,0,0,146132781648,1539533870,306065,3435,1132,370,391753,0 9,2,2024-09-07 08:41:11:086,223285,223285,0,0,9830115,0,3360 9,3,2024-09-07 08:41:11:752,1,177,2,0,496,2797,177,0 10,0,2024-09-07 08:41:11:609,47468,0.4,47081,0.6,94718,0.3,126186,1.75 10,1,2024-09-07 08:41:10:583,311152,311152,0,0,145590129666,1526064416,306677,3832,643,381,391741,0 10,2,2024-09-07 08:41:10:782,226108,226108,0,0,9935596,0,2940 10,3,2024-09-07 08:41:10:877,1,177,1,0,177,1249,177,0 11,0,2024-09-07 08:41:11:020,41031,0.6,39986,0.9,83796,0.7,111381,2.00 11,1,2024-09-07 08:41:10:573,311336,311336,0,0,144980545912,1523197429,306019,4047,1270,384,391537,0 11,2,2024-09-07 08:41:11:130,223355,223355,0,0,9618242,0,3411 11,3,2024-09-07 08:41:11:298,1,177,1,0,720,2901,177,0 12,0,2024-09-07 08:41:10:946,41454,0.3,41162,0.5,82564,0.2,109742,1.50 12,1,2024-09-07 08:41:10:933,311244,311244,0,0,144883004296,1512903093,308342,2487,415,370,391837,0 12,2,2024-09-07 08:41:11:542,224170,224170,0,0,9991501,0,3469 12,3,2024-09-07 08:41:11:061,1,177,1,0,358,2785,177,0 13,0,2024-09-07 08:41:11:382,45637,0.3,45596,0.5,91066,0.3,121778,1.50 13,1,2024-09-07 08:41:11:541,311041,311041,0,0,145070584937,1521352501,308608,2023,410,382,391717,0 13,2,2024-09-07 08:41:10:598,225074,225074,0,0,9178344,0,3287 13,3,2024-09-07 08:41:11:763,1,177,1,0,467,3393,177,0 14,0,2024-09-07 08:41:10:572,48552,0.4,48841,0.6,96746,0.4,128585,1.75 14,1,2024-09-07 08:41:11:570,312920,312920,0,0,145778200216,1510726113,310653,2104,163,364,391571,0 14,2,2024-09-07 08:41:10:780,224800,224800,0,0,9322270,0,2896 14,3,2024-09-07 08:41:11:115,1,177,1,0,1168,2970,177,0 15,0,2024-09-07 08:41:11:553,43963,0.8,44050,1.0,88314,0.9,117288,2.50 15,1,2024-09-07 08:41:11:616,310969,310969,0,0,144949075242,1507489291,309051,1808,110,381,391619,0 15,2,2024-09-07 08:41:10:998,223984,223984,0,0,7741153,0,3043 15,3,2024-09-07 08:41:11:428,1,177,1,0,1126,4558,177,0 16,0,2024-09-07 08:41:10:946,41661,0.5,41874,0.7,83208,0.4,110780,2.00 16,1,2024-09-07 08:41:10:573,311692,311692,0,0,145030831558,1516393918,309293,2137,262,370,391756,0 16,2,2024-09-07 08:41:11:446,223007,223007,0,0,10327469,0,4719 16,3,2024-09-07 08:41:11:142,1,177,4,0,231,2389,177,0 17,0,2024-09-07 08:41:11:759,44384,0.4,43371,0.6,84977,0.4,115397,1.75 17,1,2024-09-07 08:41:10:577,310445,310445,0,0,145147994917,1525050827,307027,2743,675,368,391688,0 17,2,2024-09-07 08:41:11:671,226430,226430,0,0,9189115,0,2857 17,3,2024-09-07 08:41:10:582,1,177,2,0,268,2520,177,0 18,0,2024-09-07 08:41:10:951,47587,0.9,47864,0.9,95754,1.0,127441,2.50 18,1,2024-09-07 08:41:11:640,311500,311500,0,0,145398400257,1508527910,309468,1798,234,367,391564,0 18,2,2024-09-07 08:41:11:755,224074,224074,0,0,9030593,0,3541 18,3,2024-09-07 08:41:10:900,1,177,18,0,163,1901,177,0 19,0,2024-09-07 08:41:11:540,46067,1.0,46451,1.0,91749,1.2,122411,2.50 19,1,2024-09-07 08:41:10:567,311465,311465,0,0,145786235068,1518216800,307650,3099,716,367,391777,0 19,2,2024-09-07 08:41:11:756,224944,224944,0,0,8226835,0,3988 19,3,2024-09-07 08:41:11:135,1,177,1,0,524,1537,177,0 20,0,2024-09-07 08:41:11:372,40294,0.6,40181,0.7,80756,0.6,107624,2.25 20,1,2024-09-07 08:41:10:573,310449,310449,0,0,145774521781,1527693320,306969,3086,394,369,391822,0 20,2,2024-09-07 08:41:10:937,223785,223785,0,0,9348224,0,3721 20,3,2024-09-07 08:41:10:589,1,177,5,0,414,3049,177,0 21,0,2024-09-07 08:41:11:144,41684,0.3,41769,0.5,83131,0.3,110440,1.75 21,1,2024-09-07 08:41:11:547,310721,310721,0,0,144362162365,1518543002,307041,3146,534,368,391962,0 21,2,2024-09-07 08:41:11:069,223520,223520,0,0,10294038,0,3747 21,3,2024-09-07 08:41:11:409,1,177,10,0,103,2085,177,0 22,0,2024-09-07 08:41:11:724,47576,0.5,47701,0.7,94846,0.4,126706,2.00 22,1,2024-09-07 08:41:11:111,310378,310378,0,0,144693851500,1525138395,304833,4036,1509,382,391667,0 22,2,2024-09-07 08:41:10:761,223493,223493,0,0,8712095,0,3134 22,3,2024-09-07 08:41:11:066,1,177,13,0,228,1850,177,0 23,0,2024-09-07 08:41:11:372,46454,0.8,46471,0.9,93365,1.0,124689,2.50 23,1,2024-09-07 08:41:11:003,311601,311601,0,0,146076591491,1526538066,307501,2618,1482,365,391549,0 23,2,2024-09-07 08:41:11:094,224711,224711,0,0,8980344,0,3010 23,3,2024-09-07 08:41:11:754,1,177,0,0,645,1829,177,0 24,0,2024-09-07 08:41:10:869,41674,0.5,41702,0.6,83385,0.5,110520,1.75 24,1,2024-09-07 08:41:10:582,311377,311377,0,0,144489722938,1510994593,308409,2168,800,369,391640,0 24,2,2024-09-07 08:41:11:082,222804,222804,0,0,10344875,0,2942 24,3,2024-09-07 08:41:11:691,1,177,1,0,234,1924,177,0 25,0,2024-09-07 08:41:11:371,42129,0.3,41233,0.5,80624,0.3,109836,1.75 25,1,2024-09-07 08:41:10:567,310993,310993,0,0,145150096771,1522107783,307293,3157,543,371,391788,0 25,2,2024-09-07 08:41:11:612,222463,222463,0,0,10710711,0,3978 25,3,2024-09-07 08:41:11:004,1,177,21,0,255,2171,177,0 26,0,2024-09-07 08:41:11:738,46372,0.3,45390,0.5,94979,0.2,124364,1.75 26,1,2024-09-07 08:41:11:542,311712,311712,0,0,144895316578,1519548769,307046,3765,901,381,391748,0 26,2,2024-09-07 08:41:10:861,224457,224457,0,0,9881851,0,2809 26,3,2024-09-07 08:41:11:719,1,177,10,0,796,2276,177,0 27,0,2024-09-07 08:41:11:725,47777,0.5,47725,0.7,94737,0.5,126390,2.00 27,1,2024-09-07 08:41:11:906,312946,312946,0,0,146259863405,1517701660,311125,1498,323,381,391558,0 27,2,2024-09-07 08:41:10:870,224397,224397,0,0,10270337,0,3409 27,3,2024-09-07 08:41:11:015,1,177,0,0,564,1983,177,0 28,0,2024-09-07 08:41:11:425,42697,0.7,42820,0.8,86235,1.0,114555,2.25 28,1,2024-09-07 08:41:10:799,312132,312132,0,0,146420052591,1524769402,309962,1833,337,383,391646,0 28,2,2024-09-07 08:41:11:773,223739,223739,0,0,8243373,0,2915 28,3,2024-09-07 08:41:11:776,1,177,5,0,502,2113,177,0 29,0,2024-09-07 08:41:11:397,42159,0.3,41295,0.5,80558,0.2,110022,1.75 29,1,2024-09-07 08:41:11:562,312849,312849,0,0,145902010175,1511232479,310415,1891,543,369,391621,0 29,2,2024-09-07 08:41:10:862,223043,223043,0,0,8103168,0,4018 29,3,2024-09-07 08:41:10:963,1,177,1,0,115,1403,177,0 30,0,2024-09-07 08:41:11:463,43858,0.4,42717,0.6,89227,0.3,117823,2.00 30,1,2024-09-07 08:41:10:571,311948,311948,0,0,145181677652,1507200251,309951,1719,278,382,391672,0 30,2,2024-09-07 08:41:11:280,225170,225170,0,0,8135502,0,3161 30,3,2024-09-07 08:41:10:582,1,177,0,0,195,1239,177,0 31,0,2024-09-07 08:41:11:761,47853,0.5,48328,0.7,97103,0.5,128585,2.00 31,1,2024-09-07 08:41:10:564,313385,313385,0,0,147337953091,1508744104,312582,691,112,356,391712,0 31,2,2024-09-07 08:41:11:288,224085,224085,0,0,9808145,0,3525 31,3,2024-09-07 08:41:11:714,1,177,6,0,220,1514,177,0 32,0,2024-09-07 08:41:11:423,45636,0.5,46044,0.6,92296,0.4,122276,1.75 32,1,2024-09-07 08:41:10:810,312064,312064,0,0,145924362084,1514922424,310311,1478,275,382,391595,0 32,2,2024-09-07 08:41:10:935,223742,223742,0,0,8060782,0,3155 32,3,2024-09-07 08:41:11:016,1,177,1,0,227,1505,177,0 33,0,2024-09-07 08:41:11:511,41674,0.3,41009,0.5,82849,0.2,110251,1.75 33,1,2024-09-07 08:41:10:575,312704,312704,0,0,146064902413,1511540364,310442,2090,172,369,391730,0 33,2,2024-09-07 08:41:10:761,223660,223627,33,0,10051517,0,7012 33,3,2024-09-07 08:41:10:904,1,177,5,0,329,2003,177,0 34,0,2024-09-07 08:41:10:930,41892,0.3,43206,0.4,82860,0.2,111628,1.50 34,1,2024-09-07 08:41:11:044,312794,312794,0,0,146112921614,1504279945,311796,992,6,367,391562,0 34,2,2024-09-07 08:41:10:766,223581,223581,0,0,9089241,0,3577 34,3,2024-09-07 08:41:11:688,1,177,1,0,148,1161,177,0 35,0,2024-09-07 08:41:10:861,48104,0.4,48574,0.5,97184,0.3,129429,1.75 35,1,2024-09-07 08:41:11:067,311287,311287,0,0,145519656910,1511596196,308463,2054,770,384,391589,0 35,2,2024-09-07 08:41:11:595,224401,224401,0,0,8250834,0,2653 35,3,2024-09-07 08:41:10:907,1,177,1,0,418,1992,177,0 36,0,2024-09-07 08:41:11:540,47032,0.9,46935,0.9,94171,1.0,125222,2.50 36,1,2024-09-07 08:41:10:584,311581,311581,0,0,144716478075,1514690586,306958,3334,1289,366,391759,0 36,2,2024-09-07 08:41:11:751,223608,223608,0,0,9557170,0,3303 36,3,2024-09-07 08:41:10:869,1,177,23,0,378,2354,177,0 37,0,2024-09-07 08:41:11:379,39708,0.5,39717,0.7,79255,0.4,106600,2.00 37,1,2024-09-07 08:41:10:571,310808,310801,0,7,145122370922,1523580626,306028,2754,2019,365,391560,0 37,2,2024-09-07 08:41:11:150,223581,223566,15,0,9699614,0,5815 37,3,2024-09-07 08:41:11:792,1,177,214,0,724,2719,177,0 38,0,2024-09-07 08:41:11:437,40727,0.4,39536,0.6,82532,0.3,108849,1.75 38,1,2024-09-07 08:41:11:612,311896,311896,0,0,145840268532,1523032314,307846,3418,632,368,391821,0 38,2,2024-09-07 08:41:10:765,223508,223461,47,0,11257589,0,6710 38,3,2024-09-07 08:41:11:000,1,177,2,0,603,2222,177,0 39,0,2024-09-07 08:41:11:766,48723,0.5,47735,0.6,92794,0.4,127105,2.00 39,1,2024-09-07 08:41:10:724,311701,311701,0,0,145881657989,1519881910,307912,2988,801,365,391524,0 39,2,2024-09-07 08:41:11:420,223720,223720,0,0,8373535,0,2689 39,3,2024-09-07 08:41:10:715,1,177,1,0,276,2113,177,0 40,0,2024-09-07 08:41:11:546,46585,0.9,47298,1.1,94261,1.0,125516,3.00 40,1,2024-09-07 08:41:10:582,311644,311644,0,0,144545824707,1512332297,307228,3647,769,368,391591,0 40,2,2024-09-07 08:41:11:310,224969,224968,1,0,10921336,0,5137 40,3,2024-09-07 08:41:11:147,1,177,9,0,181,1837,177,0 41,0,2024-09-07 08:41:11:040,41136,1.9,41910,1.6,80351,3.1,109948,4.00 41,1,2024-09-07 08:41:10:785,311168,311168,0,0,146112940235,1527323585,306971,3663,534,370,391742,0 41,2,2024-09-07 08:41:10:768,222361,222361,0,0,10453982,0,3356 41,3,2024-09-07 08:41:11:689,1,177,2,0,366,2016,177,0 42,0,2024-09-07 08:41:11:479,40505,0.5,40919,0.7,81626,0.4,108103,2.00 42,1,2024-09-07 08:41:11:447,310260,310260,0,0,145008197513,1523145712,305543,3759,958,380,391675,0 42,2,2024-09-07 08:41:11:136,222721,222721,0,0,10187538,0,3568 42,3,2024-09-07 08:41:11:008,1,177,1,0,446,1452,177,0 43,0,2024-09-07 08:41:10:921,45473,0.5,44216,0.8,92509,0.5,121914,2.00 43,1,2024-09-07 08:41:10:576,311386,311386,0,0,145720331123,1517744023,308046,2547,793,366,391696,0 43,2,2024-09-07 08:41:11:743,224375,224375,0,0,9537903,0,3812 43,3,2024-09-07 08:41:11:750,1,177,1,0,325,2172,177,0 44,0,2024-09-07 08:41:10:955,48330,0.5,48687,0.7,96876,0.5,129177,1.75 44,1,2024-09-07 08:41:10:566,312461,312461,0,0,145648255572,1504220112,310400,1636,425,356,391809,0 44,2,2024-09-07 08:41:11:270,224228,224228,0,0,7951416,0,1877 44,3,2024-09-07 08:41:11:104,1,177,2,0,817,2131,177,0 45,0,2024-09-07 08:41:11:763,43537,1.1,42672,1.1,89453,1.2,117905,2.25 45,1,2024-09-07 08:41:11:019,312123,312123,0,0,145984038482,1512228357,311082,1031,10,382,391917,0 45,2,2024-09-07 08:41:11:270,224017,224017,0,0,8609619,0,3596 45,3,2024-09-07 08:41:10:945,1,177,10,0,226,1803,177,0 46,0,2024-09-07 08:41:10:954,41484,0.3,41406,0.5,83078,0.2,109873,1.75 46,1,2024-09-07 08:41:10:575,312702,312702,0,0,145635187997,1504915047,310893,1586,223,366,391524,0 46,2,2024-09-07 08:41:10:592,224137,224137,0,0,8611030,0,2920 46,3,2024-09-07 08:41:11:133,1,177,1,0,908,3059,177,0 47,0,2024-09-07 08:41:11:104,43139,0.3,43441,0.5,87088,0.2,115172,1.50 47,1,2024-09-07 08:41:10:571,313235,313235,0,0,146088702241,1506820475,311827,1391,17,366,391605,0 47,2,2024-09-07 08:41:10:909,225675,225675,0,0,8702141,0,2558 47,3,2024-09-07 08:41:11:115,1,177,1,0,529,1914,177,0 48,0,2024-09-07 08:41:11:503,48674,0.3,48233,0.4,96459,0.2,128358,1.50 48,1,2024-09-07 08:41:11:024,312777,312777,0,0,146036765709,1516726130,310713,1883,181,384,391710,0 48,2,2024-09-07 08:41:10:704,224257,224257,0,0,7821315,0,3031 48,3,2024-09-07 08:41:10:753,1,177,0,0,339,1491,177,0 49,0,2024-09-07 08:41:11:728,47762,0.5,46800,0.6,90859,0.5,124329,1.75 49,1,2024-09-07 08:41:11:024,311309,311309,0,0,145883923222,1520460802,308559,1910,840,382,391583,0 49,2,2024-09-07 08:41:11:812,224782,224782,0,0,8534633,0,3900 49,3,2024-09-07 08:41:11:420,1,177,1,0,408,2437,177,0 50,0,2024-09-07 08:41:11:517,40505,0.3,40007,0.5,80320,0.2,107718,1.75 50,1,2024-09-07 08:41:11:010,313333,313333,0,0,146203974298,1514851784,310804,2220,309,368,391530,0 50,2,2024-09-07 08:41:11:072,223844,223844,0,0,8218857,0,2253 50,3,2024-09-07 08:41:11:292,1,177,12,0,335,1395,177,0 51,0,2024-09-07 08:41:11:706,42444,0.2,41790,0.4,81325,0.2,111044,1.50 51,1,2024-09-07 08:41:11:683,312108,312108,0,0,147231167664,1521034456,310136,1186,786,365,391637,0 51,2,2024-09-07 08:41:11:328,223938,223938,0,0,7799264,0,3337 51,3,2024-09-07 08:41:11:027,1,177,1,0,162,967,177,0 52,0,2024-09-07 08:41:11:420,48030,0.4,47860,0.6,95708,0.4,127377,2.00 52,1,2024-09-07 08:41:10:579,311131,311131,0,0,144377104029,1519204927,305214,4757,1160,368,391722,0 52,2,2024-09-07 08:41:11:793,222168,222130,38,0,10251766,0,6742 52,3,2024-09-07 08:41:10:675,1,177,30,0,1782,3579,177,0 53,0,2024-09-07 08:41:11:753,46437,1.0,45085,0.9,94373,1.2,123971,2.75 53,1,2024-09-07 08:41:10:780,310639,310639,0,0,145140489181,1527922912,305006,3739,1894,367,391702,0 53,2,2024-09-07 08:41:11:298,225428,225428,0,0,8558779,0,2262 53,3,2024-09-07 08:41:10:703,1,177,1,0,271,1656,177,0 54,0,2024-09-07 08:41:11:617,40030,1.8,40594,1.2,80371,0.8,107683,3.50 54,1,2024-09-07 08:41:10:580,311492,311492,0,0,146379084494,1525564433,307520,3401,571,367,391659,0 54,2,2024-09-07 08:41:10:870,223419,223413,6,0,10128808,0,5382 54,3,2024-09-07 08:41:10:780,1,177,1,0,676,3228,177,0 55,0,2024-09-07 08:41:11:766,39628,0.4,40957,0.6,82784,0.3,107641,2.25 55,1,2024-09-07 08:41:10:771,311077,311077,0,0,144819745725,1513641541,305887,4403,787,365,391731,0 55,2,2024-09-07 08:41:10:735,222619,222619,0,0,9679187,0,3275 55,3,2024-09-07 08:41:10:675,1,177,9,0,304,1885,177,0 56,0,2024-09-07 08:41:11:560,47855,0.9,45128,0.9,92902,1.1,124768,2.25 56,1,2024-09-07 08:41:10:582,310645,310645,0,0,145846109899,1540715400,305475,4263,907,381,391678,0 56,2,2024-09-07 08:41:11:307,223405,223405,0,0,10702163,0,3567 56,3,2024-09-07 08:41:11:060,1,177,22,0,405,2374,177,0 57,0,2024-09-07 08:41:10:976,46671,2.3,46778,1.5,93554,3.2,125256,3.75 57,1,2024-09-07 08:41:11:008,311566,311566,0,0,145017002619,1516186513,308725,2671,170,368,391960,0 57,2,2024-09-07 08:41:11:330,225887,225887,0,0,10815059,0,3178 57,3,2024-09-07 08:41:11:747,1,177,1,0,359,2791,177,0 58,0,2024-09-07 08:41:10:615,41768,1.1,40663,1.1,85127,1.4,111224,2.75 58,1,2024-09-07 08:41:10:576,312183,312180,0,3,145853275528,1520940191,308660,3122,398,367,391517,3 58,2,2024-09-07 08:41:11:075,223202,223202,0,0,9790851,0,2549 58,3,2024-09-07 08:41:11:071,1,177,1,0,1043,2364,177,0 59,0,2024-09-07 08:41:11:740,41321,0.7,41018,0.9,81844,0.7,108824,2.75 59,1,2024-09-07 08:41:10:808,311420,311420,0,0,145903857296,1523779303,307769,2728,923,369,391525,0 59,2,2024-09-07 08:41:10:584,224208,224208,0,0,8726873,0,2604 59,3,2024-09-07 08:41:11:741,1,177,0,0,1015,2910,177,0 60,0,2024-09-07 08:41:11:707,44259,0.4,44061,0.5,88297,0.3,117914,1.75 60,1,2024-09-07 08:41:10:782,312623,312623,0,0,146153124998,1513837038,311161,1104,358,370,391761,0 60,2,2024-09-07 08:41:11:163,224383,224383,0,0,9943146,0,3811 60,3,2024-09-07 08:41:11:258,1,177,4,0,124,1682,177,0 61,0,2024-09-07 08:41:11:516,48306,1.1,48490,1.0,96769,1.6,128898,2.00 61,1,2024-09-07 08:41:10:791,311228,311228,0,0,145758287040,1526514938,307783,2892,553,382,391593,0 61,2,2024-09-07 08:41:11:118,225246,225246,0,0,8816814,0,2079 61,3,2024-09-07 08:41:11:698,1,177,2,0,199,1977,177,0 62,0,2024-09-07 08:41:11:712,46118,1.2,47127,1.0,89756,1.6,122060,2.25 62,1,2024-09-07 08:41:11:114,313281,313275,0,6,145872775902,1504178189,311595,1641,39,365,391715,6 62,2,2024-09-07 08:41:11:643,222491,222490,1,0,10248857,0,5555 62,3,2024-09-07 08:41:11:144,1,177,1,0,287,1195,177,0 63,0,2024-09-07 08:41:11:472,41274,0.5,41204,0.6,82499,0.4,110021,1.75 63,1,2024-09-07 08:41:10:809,312676,312670,0,6,145470901282,1506133607,311426,1217,27,381,391677,6 63,2,2024-09-07 08:41:10:770,223539,223539,0,0,8220251,0,2674 63,3,2024-09-07 08:41:11:742,1,177,0,0,667,2450,177,0 64,0,2024-09-07 08:41:11:524,41618,0.4,41673,0.6,83469,0.3,111468,1.75 64,1,2024-09-07 08:41:10:784,311930,311930,0,0,145493154239,1519302062,308458,2417,1055,370,391783,0 64,2,2024-09-07 08:41:11:145,225943,225924,19,0,9057554,0,6121 64,3,2024-09-07 08:41:11:140,1,177,1,0,265,1866,177,0 65,0,2024-09-07 08:41:11:705,47842,0.7,48337,0.8,96360,0.8,128486,2.25 65,1,2024-09-07 08:41:10:861,311005,311005,0,0,144678989442,1513242905,308483,2298,224,382,391770,0 65,2,2024-09-07 08:41:11:719,223895,223895,0,0,9567833,0,3367 65,3,2024-09-07 08:41:11:688,1,177,4,0,163,1737,177,0 66,0,2024-09-07 08:41:11:772,46633,0.7,46586,0.8,93605,0.7,124515,2.25 66,1,2024-09-07 08:41:11:294,312823,312823,0,0,145824800335,1515002734,310946,1705,172,380,391588,0 66,2,2024-09-07 08:41:11:136,225915,225915,0,0,8886209,0,4956 66,3,2024-09-07 08:41:11:079,1,177,1,0,291,1779,177,0 67,0,2024-09-07 08:41:11:423,40246,0.8,39943,0.9,80166,0.9,106715,2.25 67,1,2024-09-07 08:41:10:784,311116,311115,0,1,145507436323,1520714691,307643,2725,747,381,391787,1 67,2,2024-09-07 08:41:10:587,224779,224779,0,0,8559977,0,2889 67,3,2024-09-07 08:41:11:750,1,177,1,0,338,1652,177,0 68,0,2024-09-07 08:41:10:617,41036,0.4,40894,0.6,81667,0.3,108987,2.00 68,1,2024-09-07 08:41:10:571,310943,310943,0,0,145598066506,1525852156,308079,1841,1023,381,391953,0 68,2,2024-09-07 08:41:11:045,222761,222696,65,0,11612240,0,6698 68,3,2024-09-07 08:41:10:749,1,177,3,0,417,2125,177,0 69,0,2024-09-07 08:41:11:737,47394,0.6,47597,0.7,94458,0.5,126298,2.00 69,1,2024-09-07 08:41:11:019,309939,309939,0,0,145006547865,1530195267,305927,2797,1215,384,391994,0 69,2,2024-09-07 08:41:11:743,223980,223980,0,0,10264052,0,3701 69,3,2024-09-07 08:41:10:771,1,177,8,0,238,2186,177,0 70,0,2024-09-07 08:41:11:550,46605,1.7,46845,1.4,93916,1.2,125080,2.75 70,1,2024-09-07 08:41:10:801,311575,311575,0,0,145280542222,1508234754,309117,1996,462,366,391725,0 70,2,2024-09-07 08:41:11:324,225763,225763,0,0,9364011,0,4044 70,3,2024-09-07 08:41:10:745,1,177,1,0,854,2241,177,0 71,0,2024-09-07 08:41:11:368,41033,1.9,41035,1.6,81894,2.8,110116,3.50 71,1,2024-09-07 08:41:11:602,311806,311806,0,0,145776761300,1523302848,307689,3592,525,368,391682,0 71,2,2024-09-07 08:41:11:067,224169,224169,0,0,9374230,0,2470 71,3,2024-09-07 08:41:11:750,1,177,2,0,644,2615,177,0 72,0,2024-09-07 08:41:11:074,42435,0.4,41499,0.7,80923,0.3,110256,2.00 72,1,2024-09-07 08:41:11:020,311336,311336,0,0,145469129307,1516865678,308068,2782,486,369,391819,0 72,2,2024-09-07 08:41:11:755,222347,222347,0,0,10987955,0,2570 72,3,2024-09-07 08:41:11:758,1,177,1,0,364,3310,177,0 73,0,2024-09-07 08:41:11:150,44387,0.3,45484,0.5,93059,0.3,121217,2.00 73,1,2024-09-07 08:41:10:777,311442,311442,0,0,145238625814,1505825185,309634,1693,115,367,391750,0 73,2,2024-09-07 08:41:11:748,224443,224443,0,0,10283714,0,3482 73,3,2024-09-07 08:41:10:981,1,177,2,0,274,2630,177,0 74,0,2024-09-07 08:41:11:342,48764,0.5,49785,0.7,94802,0.5,128599,2.25 74,1,2024-09-07 08:41:10:635,311317,311317,0,0,145282042325,1514601729,308523,2172,622,382,391681,0 74,2,2024-09-07 08:41:11:002,224625,224625,0,0,10283172,0,4253 74,3,2024-09-07 08:41:11:447,1,177,2,0,522,2800,177,0 75,0,2024-09-07 08:41:11:780,44399,1.1,44037,1.1,88300,1.2,118300,2.75 75,1,2024-09-07 08:41:11:586,311073,311073,0,0,144887263421,1515518312,307675,2938,460,381,391579,0 75,2,2024-09-07 08:41:11:355,222963,222963,0,0,9876243,0,4766 75,3,2024-09-07 08:41:11:071,1,177,3,0,535,1902,177,0 76,0,2024-09-07 08:41:10:597,41436,0.4,41092,0.6,82164,0.3,110128,2.25 76,1,2024-09-07 08:41:10:810,311510,311510,0,0,145541240795,1517117444,309445,1554,511,382,391692,0 76,2,2024-09-07 08:41:11:068,224409,224409,0,0,8735519,0,3064 76,3,2024-09-07 08:41:11:144,1,177,0,0,175,1999,177,0 77,0,2024-09-07 08:41:11:711,43081,0.4,43364,0.6,86711,0.3,114897,1.75 77,1,2024-09-07 08:41:10:838,312692,312692,0,0,145349909650,1513956818,311093,1514,85,383,391808,0 77,2,2024-09-07 08:41:11:288,224143,224143,0,0,9128743,0,3890 77,3,2024-09-07 08:41:11:106,1,177,31,0,305,2132,177,0 78,0,2024-09-07 08:41:11:727,48292,0.5,48024,0.7,96815,0.4,127904,2.00 78,1,2024-09-07 08:41:10:611,311990,311990,0,0,144697209811,1503811479,309653,2084,253,367,391646,0 78,2,2024-09-07 08:41:11:409,225125,225125,0,0,8340321,0,2114 78,3,2024-09-07 08:41:11:145,1,177,6,0,181,1724,177,0 79,0,2024-09-07 08:41:11:361,44999,0.5,46080,0.7,94324,0.5,122702,2.50 79,1,2024-09-07 08:41:10:572,312688,312688,0,0,145706643041,1508728809,310436,2079,173,369,391682,0 79,2,2024-09-07 08:41:11:076,224416,224416,0,0,8458022,0,3212 79,3,2024-09-07 08:41:10:758,1,177,1,0,418,2962,177,0 80,0,2024-09-07 08:41:11:140,40216,0.6,41265,0.7,79150,0.5,107658,2.00 80,1,2024-09-07 08:41:11:631,311162,311162,0,0,145813981756,1517561105,308630,2368,164,368,391791,0 80,2,2024-09-07 08:41:11:098,224210,224210,0,0,9121324,0,4433 80,3,2024-09-07 08:41:10:580,1,177,7,0,190,2739,177,0 81,0,2024-09-07 08:41:11:555,41570,0.4,42729,0.6,81948,0.4,110627,1.75 81,1,2024-09-07 08:41:11:651,311334,311334,0,0,144807462671,1513353606,308843,2217,274,382,391879,0 81,2,2024-09-07 08:41:11:135,223519,223519,0,0,9503020,0,3993 81,3,2024-09-07 08:41:11:130,1,177,1,0,193,1683,177,0 82,0,2024-09-07 08:41:11:538,47451,0.4,47760,0.7,95590,0.4,127560,1.75 82,1,2024-09-07 08:41:10:585,312083,312079,0,4,145394208860,1515331482,309622,1879,578,381,391558,4 82,2,2024-09-07 08:41:11:699,224237,224237,0,0,8622765,0,3986 82,3,2024-09-07 08:41:11:755,1,177,1,0,227,1926,177,0 83,0,2024-09-07 08:41:11:566,46820,0.7,46824,0.8,93049,0.8,124309,2.00 83,1,2024-09-07 08:41:10:558,311436,311436,0,0,145235265438,1517090438,308807,2406,223,382,391690,0 83,2,2024-09-07 08:41:10:766,224760,224760,0,0,8602367,0,3119 83,3,2024-09-07 08:41:10:754,1,177,1,0,241,1915,177,0 84,0,2024-09-07 08:41:11:790,40792,1.2,40576,1.2,81553,0.9,109017,2.75 84,1,2024-09-07 08:41:11:040,311012,311012,0,0,145185319063,1519265299,307600,2952,460,368,391967,0 84,2,2024-09-07 08:41:10:572,223772,223772,0,0,9832451,0,3801 84,3,2024-09-07 08:41:11:143,1,177,2,0,270,2025,177,0 85,0,2024-09-07 08:41:11:010,39523,0.4,39588,0.7,83993,0.3,108752,2.00 85,1,2024-09-07 08:41:10:568,310466,310466,0,0,144562854790,1530275173,305007,4379,1080,382,392006,0 85,2,2024-09-07 08:41:10:867,223809,223809,0,0,10526045,0,3656 85,3,2024-09-07 08:41:10:697,1,177,4,0,789,2519,177,0 86,0,2024-09-07 08:41:10:906,46656,0.5,47856,0.6,92022,0.4,124580,1.75 86,1,2024-09-07 08:41:10:825,311289,311289,0,0,145514255766,1522846593,307321,3423,545,366,391961,0 86,2,2024-09-07 08:41:10:874,222546,222545,1,0,10819926,0,5004 86,3,2024-09-07 08:41:10:586,1,177,1,0,286,2487,177,0 87,0,2024-09-07 08:41:11:291,47380,1.4,47188,1.1,94824,2.0,126987,2.25 87,1,2024-09-07 08:41:10:550,311314,311314,0,0,145069614756,1518092969,308089,2830,395,366,391788,0 87,2,2024-09-07 08:41:11:067,224773,224773,0,0,9199982,0,3515 87,3,2024-09-07 08:41:11:800,1,177,14,0,335,2924,177,0 88,0,2024-09-07 08:41:11:468,42997,0.6,43180,0.7,86335,0.7,114551,1.75 88,1,2024-09-07 08:41:10:574,310394,310394,0,0,145100029841,1521482134,306337,2883,1174,365,392084,0 88,2,2024-09-07 08:41:10:690,222938,222938,0,0,10492201,0,3583 88,3,2024-09-07 08:41:11:269,1,177,0,0,435,2398,177,0 89,0,2024-09-07 08:41:11:855,42464,0.4,41085,0.6,81400,0.3,110245,1.75 89,1,2024-09-07 08:41:10:550,309497,309497,0,0,145259261841,1534042257,304056,4503,938,382,391866,0 89,2,2024-09-07 08:41:11:136,223175,223175,0,0,10390835,0,2910 89,3,2024-09-07 08:41:11:806,1,177,1,0,325,3279,177,0 90,0,2024-09-07 08:41:11:813,42769,0.3,43668,0.5,89908,0.3,117433,1.75 90,1,2024-09-07 08:41:10:596,311557,311557,0,0,144238266993,1512924177,308320,2978,259,381,391825,0 90,2,2024-09-07 08:41:11:423,223185,223185,0,0,11312173,0,3060 90,3,2024-09-07 08:41:10:933,1,177,4,0,200,1976,177,0 91,0,2024-09-07 08:41:10:980,48928,0.5,47201,0.6,98301,0.4,129233,1.75 91,1,2024-09-07 08:41:10:567,310806,310806,0,0,145599108656,1527905683,307050,3258,498,381,392047,0 91,2,2024-09-07 08:41:11:335,223679,223679,0,0,10555855,0,2445 91,3,2024-09-07 08:41:10:598,1,177,8,0,216,1942,177,0 92,0,2024-09-07 08:41:11:453,46198,0.7,47415,0.8,90559,0.8,122412,1.75 92,1,2024-09-07 08:41:10:581,311463,311463,0,0,144868497776,1512464545,309275,1784,404,382,392136,0 92,2,2024-09-07 08:41:11:358,225210,225210,0,0,8828263,0,2801 92,3,2024-09-07 08:41:11:009,1,177,2,0,167,1556,177,0 93,0,2024-09-07 08:41:10:956,41451,0.4,42537,0.6,81249,0.3,110420,1.75 93,1,2024-09-07 08:41:10:810,311416,311416,0,0,145633736702,1519721389,308289,2575,552,366,391692,0 93,2,2024-09-07 08:41:10:928,223986,223986,0,0,8901200,0,2509 93,3,2024-09-07 08:41:11:409,1,177,1,0,143,1744,177,0 94,0,2024-09-07 08:41:11:619,41748,0.3,42228,0.4,83753,0.2,111322,1.50 94,1,2024-09-07 08:41:10:564,311061,311061,0,0,145138916260,1516824992,308608,2357,96,381,391850,0 94,2,2024-09-07 08:41:10:770,224189,224189,0,0,8666760,0,2443 94,3,2024-09-07 08:41:11:701,1,177,28,0,264,2519,177,0 95,0,2024-09-07 08:41:11:366,48733,0.3,48420,0.5,97749,0.3,129286,1.75 95,1,2024-09-07 08:41:10:856,311848,311848,0,0,145462848477,1512248746,309456,2208,184,367,391662,0 95,2,2024-09-07 08:41:11:016,223973,223973,0,0,8925448,0,3308 95,3,2024-09-07 08:41:11:724,1,177,13,0,718,3234,177,0 96,0,2024-09-07 08:41:11:047,47068,0.5,47235,0.6,94540,0.5,125096,1.75 96,1,2024-09-07 08:41:11:592,311341,311341,0,0,145428059680,1520794299,308726,1972,643,385,391894,0 96,2,2024-09-07 08:41:11:274,224279,224279,0,0,9456568,0,4042 96,3,2024-09-07 08:41:11:144,1,177,7,0,188,1937,177,0 97,0,2024-09-07 08:41:11:413,40283,0.4,40104,0.5,80356,0.4,106834,1.75 97,1,2024-09-07 08:41:10:771,312258,312258,0,0,146275970164,1520690617,309448,2259,551,367,392140,0 97,2,2024-09-07 08:41:10:606,224006,224006,0,0,8734656,0,3036 97,3,2024-09-07 08:41:10:569,1,177,37,0,165,2054,177,0 98,0,2024-09-07 08:41:11:756,41003,0.2,40922,0.4,82163,0.2,109417,1.50 98,1,2024-09-07 08:41:10:571,311981,311981,0,0,145138975173,1512629670,310154,1734,93,382,391997,0 98,2,2024-09-07 08:41:10:786,224701,224701,0,0,9050458,0,3080 98,3,2024-09-07 08:41:10:704,1,177,5,0,840,3317,177,0 99,0,2024-09-07 08:41:11:522,47412,0.3,47423,0.4,94696,0.2,126776,1.50 99,1,2024-09-07 08:41:11:749,312088,312088,0,0,145578345338,1519764301,309014,2378,696,381,391744,0 99,2,2024-09-07 08:41:11:428,225465,225465,0,0,8822949,0,2615 99,3,2024-09-07 08:41:10:583,1,177,1,0,129,1291,177,0 100,0,2024-09-07 08:41:11:471,47058,1.1,47074,1.2,93990,2.0,125853,2.50 100,1,2024-09-07 08:41:10:548,309498,309498,0,0,144849665953,1534617761,304234,4331,933,381,391989,0 100,2,2024-09-07 08:41:11:841,224219,224208,11,0,10104528,0,5417 100,3,2024-09-07 08:41:11:760,1,177,0,0,559,3780,177,0 101,0,2024-09-07 08:41:11:756,42350,2.7,41285,1.5,80927,3.0,111414,3.00 101,1,2024-09-07 08:41:10:551,310365,310365,0,0,145185797670,1532802699,304993,3893,1479,368,391769,0 101,2,2024-09-07 08:41:11:756,222973,222973,0,0,11099168,0,4644 101,3,2024-09-07 08:41:10:945,1,177,0,0,448,2126,177,0 102,0,2024-09-07 08:41:10:988,40144,0.5,41458,0.7,83418,0.4,109455,2.00 102,1,2024-09-07 08:41:11:150,310831,310831,0,0,145216071167,1526618141,306735,3383,713,369,391883,0 102,2,2024-09-07 08:41:11:743,223608,223554,54,0,10470002,0,6768 102,3,2024-09-07 08:41:11:619,1,177,1,0,410,1778,177,0 103,0,2024-09-07 08:41:11:618,46844,0.4,46844,0.6,88527,0.3,122075,1.75 103,1,2024-09-07 08:41:11:655,309975,309975,0,0,145200206349,1529691061,305522,3243,1210,381,391829,0 103,2,2024-09-07 08:41:10:588,223725,223725,0,0,9403673,0,2104 103,3,2024-09-07 08:41:10:763,1,177,21,0,916,3087,177,0 104,0,2024-09-07 08:41:11:013,47961,1.2,48204,1.1,95327,1.3,128685,2.25 104,1,2024-09-07 08:41:11:606,311455,311455,0,0,144869647128,1525697699,306438,4051,966,365,391948,0 104,2,2024-09-07 08:41:11:690,224283,224283,0,0,10353784,0,3941 104,3,2024-09-07 08:41:11:422,1,177,1,1,1245,5238,177,0 105,0,2024-09-07 08:41:11:050,43756,1.6,42461,1.4,88788,2.5,117179,3.75 105,1,2024-09-07 08:41:10:576,311896,311896,0,0,145222041908,1522447120,307678,3441,777,367,391797,0 105,2,2024-09-07 08:41:11:324,222406,222406,0,0,9714694,0,3509 105,3,2024-09-07 08:41:11:316,1,177,1,0,399,2657,177,0 106,0,2024-09-07 08:41:10:941,40139,0.6,41269,0.8,84049,0.6,109751,2.00 106,1,2024-09-07 08:41:11:751,311566,311566,0,0,145142251973,1521242091,307771,3411,384,369,391767,0 106,2,2024-09-07 08:41:10:757,222708,222708,0,0,9839616,0,2795 106,3,2024-09-07 08:41:10:676,1,177,1,0,405,2451,177,0 107,0,2024-09-07 08:41:11:137,43151,0.4,43177,0.6,85881,0.3,115215,1.75 107,1,2024-09-07 08:41:10:588,310752,310752,0,0,144963368510,1522045945,307749,2772,231,381,392234,0 107,2,2024-09-07 08:41:11:299,224342,224341,1,0,10028743,0,5024 107,3,2024-09-07 08:41:11:763,1,177,30,0,353,2586,177,0 108,0,2024-09-07 08:41:11:797,48438,0.4,48524,0.6,96337,0.4,128386,1.75 108,1,2024-09-07 08:41:11:303,311117,311117,0,0,145534969849,1518438870,308447,2356,314,368,391857,0 108,2,2024-09-07 08:41:11:758,222969,222969,0,0,9448981,0,2647 108,3,2024-09-07 08:41:11:339,1,177,1,0,667,3386,177,0 109,0,2024-09-07 08:41:11:767,46714,0.4,46315,0.6,92882,0.4,124478,1.75 109,1,2024-09-07 08:41:10:586,310899,310899,0,0,145458855516,1525823327,308113,2290,496,383,392132,0 109,2,2024-09-07 08:41:10:923,223228,223228,0,0,9653770,0,3617 109,3,2024-09-07 08:41:11:144,1,177,1,0,249,2354,177,0 110,0,2024-09-07 08:41:11:765,40532,0.4,39389,0.6,82201,0.3,107958,1.75 110,1,2024-09-07 08:41:11:642,312114,312114,0,0,146237264140,1520278011,309498,1851,765,370,391667,0 110,2,2024-09-07 08:41:11:310,224233,224233,0,0,8609658,0,2915 110,3,2024-09-07 08:41:10:726,1,177,1,0,406,2420,177,0 111,0,2024-09-07 08:41:11:419,41775,0.2,41333,0.4,82571,0.1,110944,1.50 111,1,2024-09-07 08:41:11:001,312546,312546,0,0,146170963266,1516176494,310766,1447,333,382,391690,0 111,2,2024-09-07 08:41:11:116,223238,223238,0,0,9421801,0,2763 111,3,2024-09-07 08:41:10:913,1,177,1,0,379,2784,177,0 112,0,2024-09-07 08:41:10:922,47797,0.3,47754,0.4,95537,0.2,127574,1.50 112,1,2024-09-07 08:41:10:824,312648,312648,0,0,144969149891,1506519972,310319,1903,426,380,391580,0 112,2,2024-09-07 08:41:11:136,223716,223715,1,0,9402216,0,5036 112,3,2024-09-07 08:41:10:610,1,177,10,0,282,1935,177,0 113,0,2024-09-07 08:41:10:871,46567,0.4,46554,0.5,93761,0.3,125423,1.75 113,1,2024-09-07 08:41:11:689,312444,312444,0,0,146441174556,1515488763,310025,1931,488,366,391661,0 113,2,2024-09-07 08:41:11:313,225850,225850,0,0,8250487,0,3813 113,3,2024-09-07 08:41:10:684,1,177,1,0,340,2826,177,0 114,0,2024-09-07 08:41:10:878,41567,0.6,42195,0.6,82838,0.4,110812,2.00 114,1,2024-09-07 08:41:10:726,311240,311240,0,0,145272035642,1519006676,307107,2670,1463,381,391534,0 114,2,2024-09-07 08:41:10:878,223942,223942,0,0,8679090,0,3925 114,3,2024-09-07 08:41:11:285,1,177,12,0,395,2069,177,0 115,0,2024-09-07 08:41:10:555,40909,0.2,41321,0.4,82470,0.1,109469,1.50 115,1,2024-09-07 08:41:10:571,311702,311702,0,0,145248404809,1513712727,308056,2825,821,382,391602,0 115,2,2024-09-07 08:41:11:138,224790,224790,0,0,8045408,0,2152 115,3,2024-09-07 08:41:11:002,1,177,2,0,159,1101,177,0 116,0,2024-09-07 08:41:11:711,46772,0.5,46307,0.7,93127,0.5,124349,1.75 116,1,2024-09-07 08:41:10:810,309080,309080,0,0,144727386870,1535430408,304156,3166,1758,380,391677,0 116,2,2024-09-07 08:41:11:760,222909,222909,0,0,11113364,0,3529 116,3,2024-09-07 08:41:10:912,1,177,1,0,252,2483,177,0 117,0,2024-09-07 08:41:10:957,47295,1.2,47455,1.0,94751,1.7,126984,2.00 117,1,2024-09-07 08:41:11:593,311091,311091,0,0,144737522502,1516526064,307406,3255,430,370,392033,0 117,2,2024-09-07 08:41:11:128,226542,226542,0,0,8995719,0,3700 117,3,2024-09-07 08:41:11:068,1,177,7,0,490,3429,177,0 118,0,2024-09-07 08:41:11:796,41808,0.9,43085,0.9,87388,1.1,113855,2.25 118,1,2024-09-07 08:41:10:589,311085,311085,0,0,144963886510,1527059901,306225,3535,1325,366,391736,0 118,2,2024-09-07 08:41:11:590,223113,223113,0,0,10042137,0,2781 118,3,2024-09-07 08:41:11:768,1,177,6,0,235,2223,177,0 119,0,2024-09-07 08:41:11:356,40855,0.6,41088,0.8,82907,0.5,109383,2.00 119,1,2024-09-07 08:41:10:548,311861,311861,0,0,145537175458,1517496141,309367,2203,291,369,391641,0 119,2,2024-09-07 08:41:11:262,223109,223109,0,0,9337096,0,3526 119,3,2024-09-07 08:41:11:325,1,177,12,0,443,3018,177,0 120,0,2024-09-07 08:41:11:605,43898,0.4,43850,0.6,87820,0.4,117602,1.75 120,1,2024-09-07 08:41:10:861,311951,311951,0,0,144939570493,1520051989,308645,3043,263,368,391961,0 120,2,2024-09-07 08:41:10:788,223499,223498,1,0,11642954,0,5281 120,3,2024-09-07 08:41:11:297,1,177,6,0,241,2458,177,0 121,0,2024-09-07 08:41:11:739,48052,1.3,48501,1.1,96624,1.9,128646,2.25 121,1,2024-09-07 08:41:11:658,311266,311266,0,0,144978732212,1515850920,308488,2488,290,367,391840,0 121,2,2024-09-07 08:41:11:131,223547,223547,0,0,10576348,0,4127 121,3,2024-09-07 08:41:10:734,1,177,2,0,269,2327,177,0 122,0,2024-09-07 08:41:11:763,45609,1.1,44462,1.1,93214,1.3,122427,2.25 122,1,2024-09-07 08:41:10:862,310380,310380,0,0,145653024717,1528643646,306229,3442,709,366,392130,0 122,2,2024-09-07 08:41:11:328,223311,223311,0,0,11525696,0,3364 122,3,2024-09-07 08:41:10:599,1,177,2,0,411,3864,177,0 123,0,2024-09-07 08:41:10:976,41294,0.7,40280,0.8,84081,0.9,110006,2.00 123,1,2024-09-07 08:41:10:561,311429,311429,0,0,145467799512,1529077947,306349,4386,694,369,391823,0 123,2,2024-09-07 08:41:11:019,221944,221943,1,0,10293455,0,5215 123,3,2024-09-07 08:41:11:137,1,177,5,0,168,2145,177,0 124,0,2024-09-07 08:41:10:930,43111,0.3,42995,0.4,81375,0.2,111658,1.50 124,1,2024-09-07 08:41:11:024,312017,312017,0,0,145396909785,1510248868,309922,1739,356,367,392178,0 124,2,2024-09-07 08:41:11:032,224594,224594,0,0,8717937,0,3101 124,3,2024-09-07 08:41:10:759,1,177,1,0,490,2319,177,0 125,0,2024-09-07 08:41:11:534,48608,0.4,48540,0.5,97228,0.3,129445,1.75 125,1,2024-09-07 08:41:10:858,311786,311786,0,0,145304408822,1516919747,309412,2097,277,384,391702,0 125,2,2024-09-07 08:41:11:118,224870,224870,0,0,8617143,0,2180 125,3,2024-09-07 08:41:11:130,1,177,2,0,284,2172,177,0 126,0,2024-09-07 08:41:11:423,47181,0.7,48451,0.7,92470,0.8,125883,1.75 126,1,2024-09-07 08:41:10:566,312190,312190,0,0,145913687363,1512051637,310547,1565,78,365,391987,0 126,2,2024-09-07 08:41:10:609,225327,225327,0,0,8977604,0,3186 126,3,2024-09-07 08:41:10:908,1,177,0,0,150,2532,177,0 127,0,2024-09-07 08:41:11:615,40005,0.4,40220,0.5,80184,0.4,106768,1.75 127,1,2024-09-07 08:41:10:571,311421,311421,0,0,146259189821,1514934699,309339,2041,41,365,391816,0 127,2,2024-09-07 08:41:10:637,224148,224148,0,0,8475948,0,2264 127,3,2024-09-07 08:41:11:269,1,177,3,0,243,1559,177,0 128,0,2024-09-07 08:41:11:592,41206,0.3,41038,0.4,82084,0.2,109472,1.50 128,1,2024-09-07 08:41:11:627,312311,312311,0,0,146064379039,1511787073,311329,910,72,367,391680,0 128,2,2024-09-07 08:41:11:388,223676,223676,0,0,8543575,0,2107 128,3,2024-09-07 08:41:10:770,1,177,3,0,333,2445,177,0 129,0,2024-09-07 08:41:11:012,47763,0.3,47529,0.4,94970,0.2,126451,1.50 129,1,2024-09-07 08:41:10:568,310166,310166,0,0,144998601451,1518243445,307220,2552,394,379,391835,0 129,2,2024-09-07 08:41:10:705,223587,223587,0,0,8834119,0,4031 129,3,2024-09-07 08:41:10:704,1,177,3,0,173,2033,177,0 130,0,2024-09-07 08:41:11:725,47487,0.7,47248,0.7,94892,0.9,126828,1.75 130,1,2024-09-07 08:41:10:622,311873,311873,0,0,145762215993,1518143993,309753,2047,73,381,391825,0 130,2,2024-09-07 08:41:11:130,226268,226268,0,0,8720643,0,4067 130,3,2024-09-07 08:41:11:297,1,177,4,0,450,2074,177,0 131,0,2024-09-07 08:41:11:943,41692,0.5,41922,0.6,84737,0.6,111645,1.75 131,1,2024-09-07 08:41:11:823,312598,312598,0,0,145223953720,1510198513,311124,1268,206,383,391865,0 131,2,2024-09-07 08:41:10:568,224100,224100,0,0,8411612,0,2415 131,3,2024-09-07 08:41:11:698,1,177,2,0,392,1915,177,0 132,0,2024-09-07 08:41:11:429,40776,0.4,41312,0.7,82599,0.3,109885,1.75 132,1,2024-09-07 08:41:10:586,310447,310447,0,0,144230669036,1523881198,305024,4391,1032,381,392097,0 132,2,2024-09-07 08:41:10:708,223109,223109,0,0,11120596,0,4606 132,3,2024-09-07 08:41:11:714,1,177,34,0,356,3134,177,0 133,0,2024-09-07 08:41:11:571,44360,0.4,45421,0.5,92986,0.3,121182,1.75 133,1,2024-09-07 08:41:10:679,309854,309854,0,0,145062468405,1529563847,305341,3872,641,383,391914,0 133,2,2024-09-07 08:41:11:087,223575,223575,0,0,11195225,0,4315 133,3,2024-09-07 08:41:11:297,1,177,1,0,187,1481,177,0 134,0,2024-09-07 08:41:10:942,48238,0.5,48204,0.7,96948,0.5,128608,2.00 134,1,2024-09-07 08:41:10:589,310896,310896,0,0,145152645439,1522085475,306495,3174,1227,366,391718,0 134,2,2024-09-07 08:41:11:768,224361,224361,0,0,9550804,0,3096 134,3,2024-09-07 08:41:10:753,1,177,1,0,739,3084,177,0 135,0,2024-09-07 08:41:11:161,42871,1.4,42883,1.2,90892,1.6,116686,2.50 135,1,2024-09-07 08:41:11:586,310226,310226,0,0,145041671242,1525330973,306028,3494,704,380,391805,0 135,2,2024-09-07 08:41:10:698,223630,223630,0,0,10243259,0,3981 135,3,2024-09-07 08:41:11:002,1,177,1,0,89,1020,177,0 136,0,2024-09-07 08:41:11:626,41687,0.5,41612,0.7,83632,0.4,110704,2.00 136,1,2024-09-07 08:41:11:451,310810,310810,0,0,144830903362,1517352989,307454,3182,174,383,391641,0 136,2,2024-09-07 08:41:11:141,224422,224422,0,0,9886998,0,3506 136,3,2024-09-07 08:41:11:111,1,177,1,0,108,1383,177,0 137,0,2024-09-07 08:41:10:946,44234,0.4,42921,0.6,84796,0.3,115104,1.75 137,1,2024-09-07 08:41:10:575,311064,311064,0,0,144862214616,1515295908,306921,3722,421,366,391708,0 137,2,2024-09-07 08:41:11:713,224325,224325,0,0,11288902,0,3185 137,3,2024-09-07 08:41:10:772,1,177,14,0,227,1995,177,0 138,0,2024-09-07 08:41:11:750,47888,1.1,47842,1.0,96419,1.5,128093,2.25 138,1,2024-09-07 08:41:11:708,311278,311278,0,0,145907156935,1523648680,308190,2735,353,368,391954,0 138,2,2024-09-07 08:41:10:588,224536,224536,0,0,9307981,0,3263 138,3,2024-09-07 08:41:10:613,1,177,2,0,1160,3245,177,0 139,0,2024-09-07 08:41:11:372,45458,2.8,45635,1.6,92076,4.0,122976,3.00 139,1,2024-09-07 08:41:10:572,309788,309788,0,0,144429068061,1530962824,304501,3960,1327,381,391892,0 139,2,2024-09-07 08:41:10:738,222255,222255,0,0,10484670,0,3097 139,3,2024-09-07 08:41:11:664,1,177,1,0,244,1917,177,0 140,0,2024-09-07 08:41:11:587,40733,0.3,40312,0.5,81283,0.3,108055,1.75 140,1,2024-09-07 08:41:11:541,312629,312629,0,0,146413521263,1509317527,311109,1280,240,365,391606,0 140,2,2024-09-07 08:41:10:687,224401,224401,0,0,8976531,0,3388 140,3,2024-09-07 08:41:10:773,1,177,3,0,247,1480,177,0 141,0,2024-09-07 08:41:11:707,41453,0.2,42545,0.4,81465,0.1,110932,1.50 141,1,2024-09-07 08:41:10:860,312419,312419,0,0,146756477542,1522194665,310465,1601,353,379,391614,0 141,2,2024-09-07 08:41:11:688,224216,224216,0,0,8446492,0,2342 141,3,2024-09-07 08:41:11:044,1,177,2,0,147,1377,177,0 142,0,2024-09-07 08:41:11:353,48209,0.3,47988,0.5,95220,0.2,127909,1.50 142,1,2024-09-07 08:41:10:583,311522,311522,0,0,145428602816,1514635165,309968,1394,160,383,391728,0 142,2,2024-09-07 08:41:11:301,223113,223081,32,0,9870021,0,6028 142,3,2024-09-07 08:41:11:748,1,177,21,0,484,2425,177,0 143,0,2024-09-07 08:41:11:423,46792,0.7,46736,0.7,94313,0.7,125292,1.75 143,1,2024-09-07 08:41:10:560,312017,312017,0,0,145168125404,1506078352,309890,2083,44,367,391619,0 143,2,2024-09-07 08:41:10:771,225041,225041,0,0,9031050,0,2669 143,3,2024-09-07 08:41:11:144,1,177,1,0,303,2694,177,0 144,0,2024-09-07 08:41:11:493,39751,0.8,41004,1.3,83115,0.7,109292,2.25 144,1,2024-09-07 08:41:10:571,310377,310377,0,0,144513010141,1515090796,307972,2201,204,381,391649,0 144,2,2024-09-07 08:41:11:759,224106,224106,0,0,8955132,0,3473 144,3,2024-09-07 08:41:11:747,1,177,1,0,249,2183,177,0 145,0,2024-09-07 08:41:11:374,39679,0.5,39708,0.7,84136,0.4,108969,2.00 145,1,2024-09-07 08:41:10:560,309554,309554,0,0,144791666495,1524792237,305152,3665,737,382,391615,0 145,2,2024-09-07 08:41:11:439,223210,223210,0,0,9774901,0,3903 145,3,2024-09-07 08:41:10:906,1,177,1,0,151,1985,177,0 146,0,2024-09-07 08:41:11:671,46573,0.4,46151,0.6,93222,0.3,124078,2.00 146,1,2024-09-07 08:41:11:604,311639,311639,0,0,145494250306,1524525222,307111,3667,861,368,391629,0 146,2,2024-09-07 08:41:11:709,223499,223499,0,0,9616237,0,2498 146,3,2024-09-07 08:41:11:286,1,177,2,0,1520,5238,177,0 147,0,2024-09-07 08:41:11:703,47408,0.8,47337,0.9,94189,0.8,126572,2.25 147,1,2024-09-07 08:41:11:373,312389,312389,0,0,145159838479,1511145467,309277,2614,498,368,391791,0 147,2,2024-09-07 08:41:11:043,226567,226567,0,0,8882632,0,2789 147,3,2024-09-07 08:41:10:924,1,177,11,0,371,2098,177,0 0,0,2024-09-07 08:41:21:753,43207,0.4,43106,0.6,91387,0.3,118639,1.75 0,1,2024-09-07 08:41:20:826,313006,313006,0,0,146534088349,1531674817,311034,1835,137,372,391772,0 0,2,2024-09-07 08:41:21:093,225303,225303,0,0,9321956,0,4480 0,3,2024-09-07 08:41:20:976,1,178,6,0,247,2227,178,0 1,0,2024-09-07 08:41:21:824,48630,1.2,48267,1.1,96863,1.6,129200,2.25 1,1,2024-09-07 08:41:20:603,312871,312871,0,0,145428901813,1525343187,309424,2580,867,371,391857,0 1,2,2024-09-07 08:41:20:655,224737,224737,0,0,8621737,0,3267 1,3,2024-09-07 08:41:21:308,1,178,13,0,262,2080,178,0 2,0,2024-09-07 08:41:21:582,45737,1.0,46084,1.0,91413,1.4,121780,2.25 2,1,2024-09-07 08:41:20:868,312962,312962,0,0,146430910559,1521332271,311452,1304,206,380,391745,0 2,2,2024-09-07 08:41:21:273,224493,224493,0,0,9043795,0,3594 2,3,2024-09-07 08:41:20:696,1,178,3,0,357,1752,178,0 3,0,2024-09-07 08:41:21:757,41201,0.4,41496,0.7,82639,0.4,109958,2.00 3,1,2024-09-07 08:41:21:619,312538,312538,0,0,146080692817,1523672441,309427,2687,424,380,391516,0 3,2,2024-09-07 08:41:21:144,224948,224925,23,0,9190217,0,5851 3,3,2024-09-07 08:41:21:753,1,178,7,0,103,1146,178,0 4,0,2024-09-07 08:41:21:810,40886,0.3,42032,0.4,85455,0.2,112554,1.50 4,1,2024-09-07 08:41:20:612,313235,313235,0,0,145546635529,1527263866,310239,2473,523,371,391846,0 4,2,2024-09-07 08:41:21:039,224548,224548,0,0,10785225,0,4528 4,3,2024-09-07 08:41:21:038,1,178,5,0,448,2736,178,0 5,0,2024-09-07 08:41:21:428,48775,0.4,48766,0.6,97374,0.4,129398,1.75 5,1,2024-09-07 08:41:20:786,312464,312464,0,0,146202267896,1538329010,308248,3227,989,368,392005,0 5,2,2024-09-07 08:41:21:842,224158,224158,0,0,9343161,0,2259 5,3,2024-09-07 08:41:21:734,1,178,7,0,238,2528,178,0 6,0,2024-09-07 08:41:20:921,47675,0.9,47161,0.9,93950,1.1,126328,2.25 6,1,2024-09-07 08:41:20:748,313481,313481,0,0,145524980062,1522462070,310079,2754,648,379,391634,0 6,2,2024-09-07 08:41:21:121,225393,225393,0,0,9457652,0,4816 6,3,2024-09-07 08:41:21:276,1,178,1,0,340,2365,178,0 7,0,2024-09-07 08:41:21:548,39853,0.5,39758,0.7,79620,0.4,106284,2.00 7,1,2024-09-07 08:41:20:851,313210,313210,0,0,146156658993,1528086326,310388,2690,132,382,391664,0 7,2,2024-09-07 08:41:20:770,224841,224841,0,0,8690628,0,2981 7,3,2024-09-07 08:41:20:851,1,178,1,0,305,1731,178,0 8,0,2024-09-07 08:41:21:340,41185,0.3,41447,0.4,82417,0.2,110414,1.50 8,1,2024-09-07 08:41:21:021,312220,312220,0,0,145716894782,1536474759,306605,4014,1601,367,391956,0 8,2,2024-09-07 08:41:20:813,221970,221970,0,0,10566462,0,2986 8,3,2024-09-07 08:41:20:592,1,178,4,0,357,2684,178,0 9,0,2024-09-07 08:41:21:212,47853,0.3,46412,0.5,96898,0.3,127328,1.50 9,1,2024-09-07 08:41:20:586,312412,312412,0,0,146986864491,1548205012,307845,3435,1132,370,391753,0 9,2,2024-09-07 08:41:21:094,224799,224799,0,0,9844679,0,3360 9,3,2024-09-07 08:41:21:792,1,178,8,0,496,2805,178,0 10,0,2024-09-07 08:41:21:626,47756,0.4,47402,0.5,95349,0.3,127098,1.75 10,1,2024-09-07 08:41:20:592,312954,312954,0,0,146449519523,1534814442,308479,3832,643,381,391741,0 10,2,2024-09-07 08:41:20:767,226775,226775,0,0,9940623,0,2940 10,3,2024-09-07 08:41:20:877,1,178,1,0,177,1250,178,0 11,0,2024-09-07 08:41:21:006,41201,0.6,40129,0.9,84127,0.7,111838,2.00 11,1,2024-09-07 08:41:20:581,313050,313050,0,0,145930011468,1532835491,307733,4047,1270,384,391537,0 11,2,2024-09-07 08:41:21:132,224877,224877,0,0,9632812,0,3411 11,3,2024-09-07 08:41:21:298,1,178,4,0,720,2905,178,0 12,0,2024-09-07 08:41:20:984,41749,0.3,41463,0.5,83181,0.2,110659,1.50 12,1,2024-09-07 08:41:20:958,313047,313047,0,0,145687899970,1521093256,310145,2487,415,370,391837,0 12,2,2024-09-07 08:41:21:547,225621,225621,0,0,10009923,0,3469 12,3,2024-09-07 08:41:21:059,1,178,7,0,358,2792,178,0 13,0,2024-09-07 08:41:21:475,46074,0.3,46031,0.5,91950,0.3,122975,1.50 13,1,2024-09-07 08:41:21:534,312740,312740,0,0,145824669260,1529114122,310307,2023,410,382,391717,0 13,2,2024-09-07 08:41:20:609,226148,226148,0,0,9189890,0,3287 13,3,2024-09-07 08:41:21:770,1,178,9,0,467,3402,178,0 14,0,2024-09-07 08:41:20:576,48684,0.4,48973,0.6,96970,0.4,128911,1.75 14,1,2024-09-07 08:41:21:571,314624,314624,0,0,146666773179,1519704560,312357,2104,163,364,391571,0 14,2,2024-09-07 08:41:20:767,225961,225961,0,0,9332380,0,2896 14,3,2024-09-07 08:41:21:115,1,178,1,0,1168,2971,178,0 15,0,2024-09-07 08:41:21:558,44101,0.8,44168,1.0,88595,0.9,117635,2.50 15,1,2024-09-07 08:41:21:613,312813,312813,0,0,145983189791,1517984795,310895,1808,110,381,391619,0 15,2,2024-09-07 08:41:20:998,225433,225433,0,0,7756823,0,3043 15,3,2024-09-07 08:41:21:405,1,178,2,0,1126,4560,178,0 16,0,2024-09-07 08:41:20:945,41712,0.5,41909,0.7,83305,0.4,110780,2.00 16,1,2024-09-07 08:41:20:619,313393,313393,0,0,146027070091,1526548404,310994,2137,262,370,391756,0 16,2,2024-09-07 08:41:21:444,224348,224348,0,0,10352334,0,4719 16,3,2024-09-07 08:41:21:143,1,178,0,0,231,2389,178,0 17,0,2024-09-07 08:41:21:846,44773,0.4,43734,0.6,85711,0.4,116379,1.75 17,1,2024-09-07 08:41:20:592,312245,312245,0,0,145917298061,1533019299,308827,2743,675,368,391688,0 17,2,2024-09-07 08:41:21:671,227512,227512,0,0,9203174,0,2857 17,3,2024-09-07 08:41:20:579,1,178,13,0,268,2533,178,0 18,0,2024-09-07 08:41:20:943,47677,0.9,47939,0.9,95944,1.0,127679,2.50 18,1,2024-09-07 08:41:21:641,313275,313275,0,0,146179084540,1516473305,311243,1798,234,367,391564,0 18,2,2024-09-07 08:41:21:762,225411,225411,0,0,9050452,0,3541 18,3,2024-09-07 08:41:20:896,1,178,1,0,163,1902,178,0 19,0,2024-09-07 08:41:21:545,46303,1.0,46700,1.0,92180,1.2,122996,2.50 19,1,2024-09-07 08:41:20:575,313151,313151,0,0,146536861979,1525841293,309336,3099,716,367,391777,0 19,2,2024-09-07 08:41:21:754,226152,226152,0,0,8241479,0,3988 19,3,2024-09-07 08:41:21:132,1,178,5,0,524,1542,178,0 20,0,2024-09-07 08:41:21:446,40595,0.6,40460,0.7,81376,0.6,108460,2.25 20,1,2024-09-07 08:41:20:784,312248,312248,0,0,146741474551,1537561198,308767,3087,394,369,391822,0 20,2,2024-09-07 08:41:20:947,224960,224960,0,0,9370235,0,3721 20,3,2024-09-07 08:41:20:604,1,178,46,0,414,3095,178,0 21,0,2024-09-07 08:41:21:159,41977,0.3,42072,0.5,83734,0.3,111092,1.75 21,1,2024-09-07 08:41:21:549,312393,312393,0,0,145014715622,1525393369,308713,3146,534,368,391962,0 21,2,2024-09-07 08:41:21:072,225007,225007,0,0,10361138,0,3747 21,3,2024-09-07 08:41:21:405,1,178,2,0,103,2087,178,0 22,0,2024-09-07 08:41:21:729,48068,0.5,48186,0.7,95838,0.5,127886,2.00 22,1,2024-09-07 08:41:21:036,312177,312177,0,0,145744254507,1535962453,306632,4036,1509,382,391667,0 22,2,2024-09-07 08:41:20:760,224956,224956,0,0,8771317,0,3134 22,3,2024-09-07 08:41:21:068,1,178,2,0,228,1852,178,0 23,0,2024-09-07 08:41:21:373,46792,0.8,46774,0.9,94015,1.0,125501,2.50 23,1,2024-09-07 08:41:21:010,313327,313327,0,0,147099091269,1537204820,309200,2645,1482,365,391549,0 23,2,2024-09-07 08:41:21:093,225423,225423,0,0,8994864,0,3010 23,3,2024-09-07 08:41:21:757,1,178,1,0,645,1830,178,0 24,0,2024-09-07 08:41:20:873,41816,0.5,41853,0.6,83699,0.5,110914,1.75 24,1,2024-09-07 08:41:20:606,313228,313228,0,0,145604346962,1522309842,310258,2170,800,369,391640,0 24,2,2024-09-07 08:41:21:098,224306,224306,0,0,10363110,0,2942 24,3,2024-09-07 08:41:21:693,1,178,30,0,234,1954,178,0 25,0,2024-09-07 08:41:21:392,42383,0.3,41495,0.5,81105,0.3,110445,1.75 25,1,2024-09-07 08:41:20:566,312726,312726,0,0,146103085121,1531784145,309026,3157,543,371,391788,0 25,2,2024-09-07 08:41:21:614,224081,224081,0,0,10746229,0,3978 25,3,2024-09-07 08:41:21:006,1,178,10,0,255,2181,178,0 26,0,2024-09-07 08:41:21:744,46737,0.3,45791,0.5,95819,0.2,125361,1.75 26,1,2024-09-07 08:41:21:541,313433,313433,0,0,145656311416,1527296054,308767,3765,901,381,391748,0 26,2,2024-09-07 08:41:20:868,225647,225647,0,0,9894623,0,2809 26,3,2024-09-07 08:41:21:714,1,178,1,0,796,2277,178,0 27,0,2024-09-07 08:41:21:734,47953,0.5,47898,0.7,95030,0.5,126805,2.00 27,1,2024-09-07 08:41:21:682,314638,314638,0,0,147034229104,1525587415,312817,1498,323,381,391558,0 27,2,2024-09-07 08:41:20:878,225378,225378,0,0,10278693,0,3409 27,3,2024-09-07 08:41:21:038,1,178,4,0,564,1987,178,0 28,0,2024-09-07 08:41:21:477,42793,0.7,42913,0.8,86411,1.0,114797,2.25 28,1,2024-09-07 08:41:20:813,313904,313904,0,0,147118886208,1531901660,311734,1833,337,383,391646,0 28,2,2024-09-07 08:41:21:770,225280,225280,0,0,8258683,0,2915 28,3,2024-09-07 08:41:21:792,1,178,1,0,502,2114,178,0 29,0,2024-09-07 08:41:21:377,42283,0.3,41394,0.5,80757,0.2,110365,1.75 29,1,2024-09-07 08:41:21:566,314572,314572,0,0,146774135660,1520073886,312138,1891,543,369,391621,0 29,2,2024-09-07 08:41:20:866,224329,224329,0,0,8114582,0,4018 29,3,2024-09-07 08:41:20:967,1,178,0,0,115,1403,178,0 30,0,2024-09-07 08:41:21:473,44340,0.4,43164,0.6,90225,0.3,119159,2.00 30,1,2024-09-07 08:41:20:601,313698,313698,0,0,146104160840,1516570836,311701,1719,278,382,391672,0 30,2,2024-09-07 08:41:21:274,226338,226338,0,0,8145964,0,3161 30,3,2024-09-07 08:41:20:588,1,178,4,0,195,1243,178,0 31,0,2024-09-07 08:41:21:766,47961,0.5,48425,0.7,97329,0.5,128885,2.00 31,1,2024-09-07 08:41:20:603,315235,315235,0,0,148157722761,1516986948,314432,691,112,356,391712,0 31,2,2024-09-07 08:41:21:278,225370,225370,0,0,9819359,0,3525 31,3,2024-09-07 08:41:21:747,1,178,1,0,220,1515,178,0 32,0,2024-09-07 08:41:21:416,45743,0.5,46152,0.6,92538,0.4,122534,1.75 32,1,2024-09-07 08:41:20:830,313866,313866,0,0,146859670014,1524458306,312113,1478,275,382,391595,0 32,2,2024-09-07 08:41:20:945,225087,225087,0,0,8085225,0,3155 32,3,2024-09-07 08:41:21:022,1,178,1,0,227,1506,178,0 33,0,2024-09-07 08:41:21:505,41903,0.3,41243,0.5,83292,0.2,110767,1.75 33,1,2024-09-07 08:41:20:583,314453,314453,0,0,146876055251,1519799664,312190,2091,172,369,391730,0 33,2,2024-09-07 08:41:20:761,225091,225058,33,0,10070247,0,7012 33,3,2024-09-07 08:41:20:898,1,178,2,0,329,2005,178,0 34,0,2024-09-07 08:41:20:939,42295,0.3,43607,0.4,83617,0.2,112820,1.50 34,1,2024-09-07 08:41:21:045,314566,314566,0,0,146879617704,1512057670,313568,992,6,367,391562,0 34,2,2024-09-07 08:41:20:771,224979,224979,0,0,9134998,0,3577 34,3,2024-09-07 08:41:21:695,1,178,3,0,148,1164,178,0 35,0,2024-09-07 08:41:20:859,48287,0.4,48798,0.5,97565,0.3,129728,1.75 35,1,2024-09-07 08:41:21:069,313063,313063,0,0,146399621733,1520551771,310239,2054,770,384,391589,0 35,2,2024-09-07 08:41:21:582,225710,225710,0,0,8275959,0,2653 35,3,2024-09-07 08:41:20:921,1,178,1,0,418,1993,178,0 36,0,2024-09-07 08:41:21:522,47343,0.9,47250,0.9,94750,1.0,126003,2.50 36,1,2024-09-07 08:41:20:589,313359,313359,0,0,145555485247,1523254374,308736,3334,1289,366,391759,0 36,2,2024-09-07 08:41:21:762,224505,224505,0,0,9583676,0,3303 36,3,2024-09-07 08:41:20:868,1,178,0,0,378,2354,178,0 37,0,2024-09-07 08:41:21:370,39916,0.5,39919,0.7,79666,0.4,107180,2.00 37,1,2024-09-07 08:41:20:581,312643,312636,0,7,146142225162,1533985163,307863,2754,2019,365,391560,0 37,2,2024-09-07 08:41:21:143,224929,224914,15,0,9721550,0,5815 37,3,2024-09-07 08:41:21:769,1,178,1,0,724,2720,178,0 38,0,2024-09-07 08:41:21:452,41171,0.4,39941,0.6,83423,0.3,110097,1.75 38,1,2024-09-07 08:41:21:613,313772,313772,0,0,146811135280,1533027478,309722,3418,632,368,391821,0 38,2,2024-09-07 08:41:20:760,224969,224922,47,0,11277476,0,6710 38,3,2024-09-07 08:41:20:998,1,178,1,0,603,2223,178,0 39,0,2024-09-07 08:41:21:763,49020,0.5,48029,0.6,93357,0.4,127753,2.00 39,1,2024-09-07 08:41:20:735,313415,313415,0,0,146451896942,1525795100,309626,2988,801,365,391524,0 39,2,2024-09-07 08:41:21:420,225095,225095,0,0,8474297,0,2689 39,3,2024-09-07 08:41:20:713,1,178,293,0,293,2406,178,0 40,0,2024-09-07 08:41:21:496,46893,0.9,47588,1.1,94903,1.0,126434,3.00 40,1,2024-09-07 08:41:20:604,313397,313397,0,0,145317761884,1520215908,308981,3647,769,368,391591,0 40,2,2024-09-07 08:41:21:303,225685,225684,1,0,10927970,0,5137 40,3,2024-09-07 08:41:21:143,1,178,1,0,181,1838,178,0 41,0,2024-09-07 08:41:21:029,41296,1.8,42104,1.6,80693,3.1,110438,4.00 41,1,2024-09-07 08:41:20:768,312947,312947,0,0,146917891283,1535515138,308750,3663,534,370,391742,0 41,2,2024-09-07 08:41:20:759,223868,223868,0,0,10468991,0,3356 41,3,2024-09-07 08:41:21:682,1,178,0,0,366,2016,178,0 42,0,2024-09-07 08:41:21:489,40817,0.5,41215,0.7,82206,0.4,109015,2.00 42,1,2024-09-07 08:41:21:444,312017,312017,0,0,145936320246,1532582165,307300,3759,958,380,391675,0 42,2,2024-09-07 08:41:21:138,224196,224196,0,0,10201779,0,3568 42,3,2024-09-07 08:41:21:009,1,178,1,0,446,1453,178,0 43,0,2024-09-07 08:41:20:919,45915,0.5,44647,0.8,93406,0.5,123078,2.00 43,1,2024-09-07 08:41:20:580,313156,313156,0,0,146478905788,1525423020,309816,2547,793,366,391696,0 43,2,2024-09-07 08:41:21:742,225407,225407,0,0,9552143,0,3812 43,3,2024-09-07 08:41:21:750,1,178,1,0,325,2173,178,0 44,0,2024-09-07 08:41:20:891,48453,0.5,48807,0.7,97104,0.5,129493,1.75 44,1,2024-09-07 08:41:20:584,314183,314183,0,0,146483564856,1512656323,312121,1637,425,356,391809,0 44,2,2024-09-07 08:41:21:273,225321,225321,0,0,7960742,0,1877 44,3,2024-09-07 08:41:21:093,1,178,0,0,817,2131,178,0 45,0,2024-09-07 08:41:21:758,43659,1.1,42789,1.0,89702,1.2,118248,2.25 45,1,2024-09-07 08:41:21:007,313864,313864,0,0,146884170673,1521380830,312823,1031,10,382,391917,0 45,2,2024-09-07 08:41:21:272,225447,225447,0,0,8621204,0,3596 45,3,2024-09-07 08:41:20:945,1,178,0,0,226,1803,178,0 46,0,2024-09-07 08:41:20:966,41527,0.3,41444,0.5,83151,0.2,109873,1.75 46,1,2024-09-07 08:41:20:592,314508,314508,0,0,146547273424,1514134503,312699,1586,223,366,391524,0 46,2,2024-09-07 08:41:20:598,225333,225333,0,0,8622694,0,2920 46,3,2024-09-07 08:41:21:130,1,178,4,0,908,3063,178,0 47,0,2024-09-07 08:41:21:103,43522,0.3,43792,0.5,87782,0.2,116092,1.50 47,1,2024-09-07 08:41:20:582,315044,315044,0,0,146826656541,1514298038,313636,1391,17,366,391605,0 47,2,2024-09-07 08:41:20:913,226727,226727,0,0,8711882,0,2558 47,3,2024-09-07 08:41:21:115,1,178,1,0,529,1915,178,0 48,0,2024-09-07 08:41:21:501,48762,0.3,48342,0.4,96656,0.2,128598,1.50 48,1,2024-09-07 08:41:21:034,314595,314595,0,0,146856274910,1525143856,312531,1883,181,384,391710,0 48,2,2024-09-07 08:41:20:713,225584,225584,0,0,7842189,0,3031 48,3,2024-09-07 08:41:20:753,1,178,1,0,339,1492,178,0 49,0,2024-09-07 08:41:21:731,47975,0.5,47030,0.6,91342,0.5,124903,1.75 49,1,2024-09-07 08:41:21:029,313094,313094,0,0,146825641224,1530125675,310344,1910,840,382,391583,0 49,2,2024-09-07 08:41:21:815,225929,225929,0,0,8553072,0,3900 49,3,2024-09-07 08:41:21:430,1,178,0,0,408,2437,178,0 50,0,2024-09-07 08:41:21:519,40807,0.3,40312,0.5,80978,0.2,108594,1.75 50,1,2024-09-07 08:41:21:010,315073,315073,0,0,146933886124,1522324234,312544,2220,309,368,391530,0 50,2,2024-09-07 08:41:21:069,225064,225064,0,0,8232245,0,2253 50,3,2024-09-07 08:41:21:291,1,178,1,0,335,1396,178,0 51,0,2024-09-07 08:41:21:700,42758,0.2,42096,0.4,81918,0.2,111735,1.50 51,1,2024-09-07 08:41:21:688,313897,313897,0,0,148124196407,1530079410,311925,1186,786,365,391637,0 51,2,2024-09-07 08:41:21:318,225369,225369,0,0,7824035,0,3337 51,3,2024-09-07 08:41:21:035,1,178,1,0,162,968,178,0 52,0,2024-09-07 08:41:21:416,48539,0.5,48379,0.6,96729,0.4,128904,2.00 52,1,2024-09-07 08:41:20:581,312977,312977,0,0,145142680611,1527234011,307059,4758,1160,368,391722,0 52,2,2024-09-07 08:41:21:760,223613,223575,38,0,10284958,0,6742 52,3,2024-09-07 08:41:20:676,1,178,1,0,1782,3580,178,0 53,0,2024-09-07 08:41:21:743,46745,1.0,45407,0.9,95016,1.2,124821,2.75 53,1,2024-09-07 08:41:20:771,312315,312315,0,0,145915408031,1535928996,306682,3739,1894,367,391702,0 53,2,2024-09-07 08:41:21:298,226141,226141,0,0,8600082,0,2262 53,3,2024-09-07 08:41:20:701,1,178,4,0,271,1660,178,0 54,0,2024-09-07 08:41:21:620,40200,1.7,40775,1.2,80666,0.8,108107,3.50 54,1,2024-09-07 08:41:20:580,313241,313241,0,0,147224349777,1534216845,309268,3402,571,367,391659,0 54,2,2024-09-07 08:41:20:869,224931,224925,6,0,10153319,0,5382 54,3,2024-09-07 08:41:20:766,1,178,18,0,676,3246,178,0 55,0,2024-09-07 08:41:21:766,39875,0.4,41190,0.6,83334,0.3,108279,2.25 55,1,2024-09-07 08:41:20:770,312745,312745,0,0,145495613849,1520575393,307555,4403,787,365,391731,0 55,2,2024-09-07 08:41:20:733,224109,224109,0,0,9705544,0,3275 55,3,2024-09-07 08:41:20:673,1,178,6,0,304,1891,178,0 56,0,2024-09-07 08:41:21:581,48272,0.9,45489,0.9,93691,1.1,125756,2.25 56,1,2024-09-07 08:41:20:611,312432,312432,0,0,146536986756,1547799799,307262,4263,907,381,391678,0 56,2,2024-09-07 08:41:21:303,224656,224656,0,0,10716393,0,3567 56,3,2024-09-07 08:41:21:059,1,178,0,0,405,2374,178,0 57,0,2024-09-07 08:41:20:940,46846,2.3,46936,1.5,93881,3.2,125731,3.75 57,1,2024-09-07 08:41:20:993,313391,313391,0,0,145905284323,1525199742,310549,2672,170,367,391960,0 57,2,2024-09-07 08:41:21:318,226872,226872,0,0,10824210,0,3178 57,3,2024-09-07 08:41:21:743,1,178,0,0,359,2791,178,0 58,0,2024-09-07 08:41:20:560,41864,1.1,40746,1.1,85327,1.4,111466,2.75 58,1,2024-09-07 08:41:20:601,313919,313916,0,3,146886604269,1531379009,310396,3122,398,367,391517,3 58,2,2024-09-07 08:41:21:078,224784,224784,0,0,9806049,0,2549 58,3,2024-09-07 08:41:21:068,1,178,0,0,1043,2364,178,0 59,0,2024-09-07 08:41:21:744,41429,0.7,41119,0.9,82019,0.7,109166,2.75 59,1,2024-09-07 08:41:20:811,313129,313129,0,0,146780677859,1532661326,309478,2728,923,369,391525,0 59,2,2024-09-07 08:41:20:602,225506,225506,0,0,8736209,0,2604 59,3,2024-09-07 08:41:21:745,1,178,1,0,1015,2911,178,0 60,0,2024-09-07 08:41:21:732,44733,0.4,44558,0.5,89245,0.3,119228,1.75 60,1,2024-09-07 08:41:20:773,314440,314440,0,0,147152153640,1523960183,312978,1104,358,370,391761,0 60,2,2024-09-07 08:41:21:144,225597,225597,0,0,9955012,0,3811 60,3,2024-09-07 08:41:21:267,1,178,8,0,124,1690,178,0 61,0,2024-09-07 08:41:21:519,48402,1.1,48612,0.9,96973,1.5,129176,2.00 61,1,2024-09-07 08:41:20:774,312989,312989,0,0,146493325713,1534023435,309544,2892,553,382,391593,0 61,2,2024-09-07 08:41:21:127,226547,226547,0,0,8828141,0,2079 61,3,2024-09-07 08:41:21:695,1,178,1,0,199,1978,178,0 62,0,2024-09-07 08:41:21:711,46236,1.2,47251,1.0,90002,1.6,122317,2.25 62,1,2024-09-07 08:41:21:111,315036,315030,0,6,146774998135,1513297499,313350,1641,39,365,391715,6 62,2,2024-09-07 08:41:21:649,223845,223844,1,0,10259913,0,5555 62,3,2024-09-07 08:41:21:148,1,178,0,0,287,1195,178,0 63,0,2024-09-07 08:41:21:462,41482,0.5,41413,0.6,82971,0.4,110547,1.75 63,1,2024-09-07 08:41:20:811,314356,314350,0,6,146082503751,1512382880,313106,1217,27,381,391677,6 63,2,2024-09-07 08:41:20:771,224820,224820,0,0,8232818,0,2674 63,3,2024-09-07 08:41:21:732,1,178,0,0,667,2450,178,0 64,0,2024-09-07 08:41:21:587,42004,0.4,42063,0.6,84306,0.3,112654,1.75 64,1,2024-09-07 08:41:20:752,313652,313652,0,0,146284427456,1527365126,310180,2417,1055,370,391783,0 64,2,2024-09-07 08:41:21:141,227286,227267,19,0,9077205,0,6121 64,3,2024-09-07 08:41:21:143,1,178,1,0,265,1867,178,0 65,0,2024-09-07 08:41:21:684,48038,0.7,48517,0.8,96742,0.8,128780,2.25 65,1,2024-09-07 08:41:20:869,312723,312723,0,0,145637585097,1523079849,310201,2298,224,382,391770,0 65,2,2024-09-07 08:41:21:695,225161,225161,0,0,9589735,0,3367 65,3,2024-09-07 08:41:21:685,1,178,1,0,163,1738,178,0 66,0,2024-09-07 08:41:21:779,46916,0.7,46865,0.8,94194,0.7,125307,2.25 66,1,2024-09-07 08:41:21:293,314441,314441,0,0,146587701537,1522783291,312564,1705,172,380,391588,0 66,2,2024-09-07 08:41:21:137,226926,226926,0,0,8899617,0,4956 66,3,2024-09-07 08:41:21:083,1,178,1,0,291,1780,178,0 67,0,2024-09-07 08:41:21:422,40434,0.8,40135,0.9,80599,0.9,107268,2.25 67,1,2024-09-07 08:41:20:767,312958,312957,0,1,146367321350,1529570652,309484,2726,747,381,391787,1 67,2,2024-09-07 08:41:20:600,226135,226135,0,0,8591696,0,2889 67,3,2024-09-07 08:41:21:750,1,178,1,0,338,1653,178,0 68,0,2024-09-07 08:41:20:608,41408,0.4,41303,0.6,82483,0.3,110175,2.00 68,1,2024-09-07 08:41:20:582,312637,312637,0,0,146259646842,1532737462,309773,1841,1023,381,391953,0 68,2,2024-09-07 08:41:21:045,224332,224267,65,0,11659641,0,6698 68,3,2024-09-07 08:41:20:735,1,178,5,0,417,2130,178,0 69,0,2024-09-07 08:41:21:732,47687,0.6,47878,0.7,95024,0.5,127013,2.00 69,1,2024-09-07 08:41:21:018,311660,311660,0,0,145958821792,1539962746,307648,2797,1215,384,391994,0 69,2,2024-09-07 08:41:21:744,225412,225412,0,0,10344290,0,3701 69,3,2024-09-07 08:41:20:760,1,178,40,0,238,2226,178,0 70,0,2024-09-07 08:41:21:553,46954,1.7,47142,1.3,94531,1.2,125993,2.75 70,1,2024-09-07 08:41:20:823,313326,313326,0,0,146195523615,1517546066,310867,1997,462,366,391725,0 70,2,2024-09-07 08:41:21:332,226453,226453,0,0,9377699,0,4044 70,3,2024-09-07 08:41:20:749,1,178,84,0,854,2325,178,0 71,0,2024-09-07 08:41:21:366,41183,1.9,41190,1.6,82249,2.8,110617,3.50 71,1,2024-09-07 08:41:21:613,313589,313589,0,0,146659985273,1532294797,309472,3592,525,368,391682,0 71,2,2024-09-07 08:41:21:069,225582,225582,0,0,9418944,0,2470 71,3,2024-09-07 08:41:21:749,1,178,168,0,644,2783,178,0 72,0,2024-09-07 08:41:21:033,42752,0.4,41796,0.7,81513,0.3,111189,2.00 72,1,2024-09-07 08:41:21:022,313150,313150,0,0,146377676352,1526116479,309879,2784,487,369,391819,0 72,2,2024-09-07 08:41:21:755,223953,223953,0,0,11006791,0,2570 72,3,2024-09-07 08:41:21:769,1,178,4,0,364,3314,178,0 73,0,2024-09-07 08:41:21:112,44814,0.3,45949,0.5,93986,0.3,122352,2.00 73,1,2024-09-07 08:41:20:771,313291,313291,0,0,146321907122,1516799569,311483,1693,115,367,391750,0 73,2,2024-09-07 08:41:21:749,225587,225587,0,0,10295675,0,3482 73,3,2024-09-07 08:41:20:980,1,178,4,0,274,2634,178,0 74,0,2024-09-07 08:41:21:352,48876,0.5,49911,0.7,95040,0.5,128919,2.25 74,1,2024-09-07 08:41:20:644,313093,313093,0,0,146239819310,1524328160,310299,2172,622,382,391681,0 74,2,2024-09-07 08:41:21:013,225762,225762,0,0,10291052,0,4253 74,3,2024-09-07 08:41:21:450,1,178,0,0,522,2800,178,0 75,0,2024-09-07 08:41:21:765,44521,1.1,44159,1.1,88554,1.2,118635,2.75 75,1,2024-09-07 08:41:21:589,312768,312768,0,0,145827546476,1525045709,309370,2938,460,381,391579,0 75,2,2024-09-07 08:41:21:357,224534,224534,0,0,9889822,0,4766 75,3,2024-09-07 08:41:21:072,1,178,1,0,535,1903,178,0 76,0,2024-09-07 08:41:20:609,41483,0.4,41147,0.6,82247,0.3,110128,2.25 76,1,2024-09-07 08:41:20:811,313233,313233,0,0,146389899346,1525788480,311167,1555,511,382,391692,0 76,2,2024-09-07 08:41:21:061,225703,225703,0,0,8747913,0,3064 76,3,2024-09-07 08:41:21:144,1,178,1,0,175,2000,178,0 77,0,2024-09-07 08:41:21:729,43441,0.4,43732,0.6,87414,0.3,115819,1.75 77,1,2024-09-07 08:41:20:842,314503,314503,0,0,146061137365,1521254481,312903,1514,86,383,391808,0 77,2,2024-09-07 08:41:21:281,225347,225347,0,0,9147475,0,3890 77,3,2024-09-07 08:41:21:093,1,178,6,0,305,2138,178,0 78,0,2024-09-07 08:41:21:752,48394,0.5,48108,0.7,97017,0.4,128137,2.00 78,1,2024-09-07 08:41:20:612,313772,313772,0,0,145798269494,1514932843,311435,2084,253,367,391646,0 78,2,2024-09-07 08:41:21:406,226444,226444,0,0,8353424,0,2114 78,3,2024-09-07 08:41:21:136,1,178,0,0,181,1724,178,0 79,0,2024-09-07 08:41:21:348,45216,0.5,46330,0.7,94782,0.5,123293,2.50 79,1,2024-09-07 08:41:20:585,314503,314503,0,0,146782519916,1519594697,312251,2079,173,369,391682,0 79,2,2024-09-07 08:41:21:070,225552,225552,0,0,8466668,0,3212 79,3,2024-09-07 08:41:20:750,1,178,1,0,418,2963,178,0 80,0,2024-09-07 08:41:21:084,40526,0.6,41613,0.7,79778,0.5,108512,2.00 80,1,2024-09-07 08:41:21:625,312940,312940,0,0,146523600865,1524851087,310408,2368,164,368,391791,0 80,2,2024-09-07 08:41:21:100,225560,225560,0,0,9139856,0,4433 80,3,2024-09-07 08:41:20:607,1,178,1,0,190,2740,178,0 81,0,2024-09-07 08:41:21:549,41846,0.4,43054,0.6,82536,0.4,111298,1.75 81,1,2024-09-07 08:41:21:653,313160,313160,0,0,145730690687,1522805466,310668,2218,274,382,391879,0 81,2,2024-09-07 08:41:21:126,225024,225024,0,0,9532926,0,3993 81,3,2024-09-07 08:41:21:120,1,178,0,0,193,1683,178,0 82,0,2024-09-07 08:41:21:541,47961,0.4,48265,0.6,96649,0.4,129043,1.75 82,1,2024-09-07 08:41:20:588,313881,313877,0,4,146151740032,1523106398,311420,1879,578,381,391558,4 82,2,2024-09-07 08:41:21:700,225676,225676,0,0,8649402,0,3986 82,3,2024-09-07 08:41:21:751,1,178,6,0,227,1932,178,0 83,0,2024-09-07 08:41:21:550,47132,0.7,47146,0.8,93702,0.7,125151,2.00 83,1,2024-09-07 08:41:20:565,313207,313207,0,0,146106038885,1525996715,310578,2406,223,382,391690,0 83,2,2024-09-07 08:41:20:767,225472,225472,0,0,8614701,0,3119 83,3,2024-09-07 08:41:20:749,1,178,1,0,241,1916,178,0 84,0,2024-09-07 08:41:21:838,40941,1.2,40731,1.2,81873,0.9,109419,2.50 84,1,2024-09-07 08:41:21:040,312745,312745,0,0,146096493100,1528670194,309332,2953,460,368,391967,0 84,2,2024-09-07 08:41:20:610,225315,225315,0,0,9895909,0,3801 84,3,2024-09-07 08:41:21:156,1,178,5,0,270,2030,178,0 85,0,2024-09-07 08:41:21:049,39791,0.4,39856,0.6,84465,0.3,109381,2.00 85,1,2024-09-07 08:41:20:583,312175,312175,0,0,145450178380,1539363589,306716,4379,1080,382,392006,0 85,2,2024-09-07 08:41:20:873,225399,225399,0,0,10591279,0,3656 85,3,2024-09-07 08:41:20:688,1,178,3,0,789,2522,178,0 86,0,2024-09-07 08:41:20:915,47047,0.5,48285,0.6,92771,0.4,125588,1.75 86,1,2024-09-07 08:41:20:852,313065,313065,0,0,146507854626,1533411115,309086,3434,545,366,391961,0 86,2,2024-09-07 08:41:20:871,223789,223788,1,0,10922588,0,5004 86,3,2024-09-07 08:41:20:592,1,178,33,0,286,2520,178,0 87,0,2024-09-07 08:41:21:287,47524,1.4,47336,1.1,95150,2.0,127430,2.25 87,1,2024-09-07 08:41:20:554,313058,313058,0,0,145735313736,1524924560,309833,2830,395,366,391788,0 87,2,2024-09-07 08:41:21:070,225741,225741,0,0,9215936,0,3515 87,3,2024-09-07 08:41:21:808,1,178,0,0,335,2924,178,0 88,0,2024-09-07 08:41:21:476,43090,0.6,43290,0.7,86539,0.7,114802,1.75 88,1,2024-09-07 08:41:20:595,312175,312175,0,0,145811594662,1528770492,308117,2884,1174,365,392084,0 88,2,2024-09-07 08:41:20:692,224463,224463,0,0,10508680,0,3583 88,3,2024-09-07 08:41:21:272,1,178,1,0,435,2399,178,0 89,0,2024-09-07 08:41:21:822,42552,0.4,41190,0.6,81610,0.3,110565,1.75 89,1,2024-09-07 08:41:20:563,311364,311364,0,0,146041020806,1542041688,305923,4503,938,382,391866,0 89,2,2024-09-07 08:41:21:166,224458,224458,0,0,10412891,0,2910 89,3,2024-09-07 08:41:21:801,1,178,5,0,325,3284,178,0 90,0,2024-09-07 08:41:21:636,43234,0.3,44139,0.5,90833,0.3,118757,1.75 90,1,2024-09-07 08:41:20:601,313299,313299,0,0,145049122103,1521225885,310061,2979,259,381,391825,0 90,2,2024-09-07 08:41:21:406,224375,224375,0,0,11326325,0,3060 90,3,2024-09-07 08:41:20:932,1,178,1,0,200,1977,178,0 91,0,2024-09-07 08:41:20:957,49033,0.5,47303,0.6,98522,0.4,129527,1.75 91,1,2024-09-07 08:41:20:596,312633,312633,0,0,146607591291,1538336824,308875,3260,498,381,392047,0 91,2,2024-09-07 08:41:21:337,224968,224968,0,0,10570256,0,2445 91,3,2024-09-07 08:41:20:601,1,178,1,0,216,1943,178,0 92,0,2024-09-07 08:41:21:449,46332,0.7,47523,0.8,90797,0.8,122662,1.75 92,1,2024-09-07 08:41:20:604,313220,313220,0,0,145787140775,1521830228,311031,1785,404,382,392136,0 92,2,2024-09-07 08:41:21:359,226630,226630,0,0,8841680,0,2801 92,3,2024-09-07 08:41:21:011,1,178,2,0,167,1558,178,0 93,0,2024-09-07 08:41:20:976,41684,0.4,42777,0.6,81679,0.3,110929,1.75 93,1,2024-09-07 08:41:20:860,313212,313212,0,0,146601673739,1529518916,310085,2575,552,366,391692,0 93,2,2024-09-07 08:41:20:945,225270,225270,0,0,8914462,0,2509 93,3,2024-09-07 08:41:21:406,1,178,0,0,143,1744,178,0 94,0,2024-09-07 08:41:21:634,42151,0.3,42637,0.4,84590,0.2,112493,1.50 94,1,2024-09-07 08:41:20:604,312847,312847,0,0,145974931222,1525356292,310394,2357,96,381,391850,0 94,2,2024-09-07 08:41:20:764,225492,225492,0,0,8678189,0,2443 94,3,2024-09-07 08:41:21:698,1,178,0,0,264,2519,178,0 95,0,2024-09-07 08:41:21:383,48915,0.3,48620,0.5,98118,0.3,129584,1.75 95,1,2024-09-07 08:41:20:852,313583,313583,0,0,146246123985,1520198889,311191,2208,184,367,391662,0 95,2,2024-09-07 08:41:21:039,225313,225313,0,0,8939256,0,3308 95,3,2024-09-07 08:41:21:733,1,178,4,0,718,3238,178,0 96,0,2024-09-07 08:41:21:059,47350,0.5,47550,0.6,95157,0.5,125882,1.75 96,1,2024-09-07 08:41:21:657,313119,313119,0,0,146174871514,1528436503,310504,1972,643,385,391894,0 96,2,2024-09-07 08:41:21:273,225267,225267,0,0,9477131,0,4042 96,3,2024-09-07 08:41:21:160,1,178,1,0,188,1938,178,0 97,0,2024-09-07 08:41:21:324,40499,0.4,40299,0.5,80752,0.4,107411,1.75 97,1,2024-09-07 08:41:20:766,313969,313969,0,0,147077169005,1528880345,311158,2260,551,367,392140,0 97,2,2024-09-07 08:41:20:612,225422,225422,0,0,8764244,0,3036 97,3,2024-09-07 08:41:20:579,1,178,4,0,165,2058,178,0 98,0,2024-09-07 08:41:21:707,41434,0.2,41335,0.4,83006,0.2,110666,1.50 98,1,2024-09-07 08:41:20:602,313698,313698,0,0,145952459868,1520947396,311871,1734,93,382,391997,0 98,2,2024-09-07 08:41:20:775,226181,226181,0,0,9075130,0,3080 98,3,2024-09-07 08:41:20:710,1,178,1,0,840,3318,178,0 99,0,2024-09-07 08:41:21:456,47700,0.3,47719,0.4,95250,0.2,127494,1.50 99,1,2024-09-07 08:41:21:735,313791,313791,0,0,146251958027,1526691632,310717,2378,696,381,391744,0 99,2,2024-09-07 08:41:21:423,226920,226920,0,0,8863455,0,2615 99,3,2024-09-07 08:41:20:588,1,178,1,0,129,1292,178,0 100,0,2024-09-07 08:41:21:473,47394,1.1,47368,1.2,94630,2.0,126784,2.50 100,1,2024-09-07 08:41:20:559,311281,311281,0,0,145754077743,1543974626,306017,4331,933,381,391989,0 100,2,2024-09-07 08:41:21:843,224964,224953,11,0,10121783,0,5417 100,3,2024-09-07 08:41:21:731,1,178,2,0,559,3782,178,0 101,0,2024-09-07 08:41:21:727,42527,2.7,41457,1.5,81243,3.0,111919,3.00 101,1,2024-09-07 08:41:20:562,312132,312132,0,0,146062617774,1541873452,306759,3894,1479,368,391769,0 101,2,2024-09-07 08:41:21:763,224531,224531,0,0,11154374,0,4644 101,3,2024-09-07 08:41:20:949,1,178,3,0,448,2129,178,0 102,0,2024-09-07 08:41:20:959,40434,0.5,41789,0.7,83993,0.4,110396,2.00 102,1,2024-09-07 08:41:21:144,312531,312531,0,0,146163112220,1536247626,308435,3383,713,369,391883,0 102,2,2024-09-07 08:41:21:738,225116,225062,54,0,10531102,0,6768 102,3,2024-09-07 08:41:21:618,1,178,3,0,410,1781,178,0 103,0,2024-09-07 08:41:21:597,47365,0.4,47315,0.6,89360,0.3,123282,1.75 103,1,2024-09-07 08:41:21:632,311806,311806,0,0,145895926113,1536925552,307353,3243,1210,381,391829,0 103,2,2024-09-07 08:41:20:603,224791,224791,0,0,9444852,0,2104 103,3,2024-09-07 08:41:20:755,1,178,1,0,916,3088,178,0 104,0,2024-09-07 08:41:21:047,48069,1.2,48314,1.1,95537,1.3,129013,2.25 104,1,2024-09-07 08:41:21:601,313202,313202,0,0,145647124730,1533642600,308180,4054,968,365,391948,0 104,2,2024-09-07 08:41:21:676,225440,225440,0,0,10364378,0,3941 104,3,2024-09-07 08:41:21:418,1,178,1,1,1245,5239,178,0 105,0,2024-09-07 08:41:21:054,43869,1.5,42565,1.4,89050,2.5,117511,3.50 105,1,2024-09-07 08:41:20:592,313691,313691,0,0,145917751464,1529557401,309473,3441,777,367,391797,0 105,2,2024-09-07 08:41:21:322,223944,223944,0,0,9731521,0,3509 105,3,2024-09-07 08:41:21:309,1,178,1,0,399,2658,178,0 106,0,2024-09-07 08:41:20:972,40178,0.6,41316,0.8,84127,0.6,109751,2.00 106,1,2024-09-07 08:41:21:751,313324,313324,0,0,145958866568,1529551460,309528,3412,384,369,391767,0 106,2,2024-09-07 08:41:20:762,224018,224018,0,0,9854129,0,2795 106,3,2024-09-07 08:41:20:693,1,178,1,0,405,2452,178,0 107,0,2024-09-07 08:41:21:125,43500,0.4,43548,0.6,86615,0.3,116110,1.75 107,1,2024-09-07 08:41:20:610,312504,312504,0,0,145635251068,1528960507,309501,2772,231,381,392234,0 107,2,2024-09-07 08:41:21:291,225371,225370,1,0,10037851,0,5024 107,3,2024-09-07 08:41:21:755,1,178,3,0,353,2589,178,0 108,0,2024-09-07 08:41:21:842,48552,0.4,48632,0.6,96532,0.4,128644,1.75 108,1,2024-09-07 08:41:21:293,312896,312896,0,0,146402970866,1527250011,310225,2357,314,368,391857,0 108,2,2024-09-07 08:41:21:759,224276,224276,0,0,9467560,0,2647 108,3,2024-09-07 08:41:21:335,1,178,20,0,667,3406,178,0 109,0,2024-09-07 08:41:21:772,46941,0.4,46544,0.6,93361,0.4,125075,1.75 109,1,2024-09-07 08:41:20:619,312698,312698,0,0,146457603414,1536000491,309911,2291,496,383,392132,0 109,2,2024-09-07 08:41:20:941,224450,224450,0,0,9665258,0,3617 109,3,2024-09-07 08:41:21:144,1,178,7,0,249,2361,178,0 110,0,2024-09-07 08:41:21:758,40836,0.4,39679,0.6,82821,0.3,108795,1.75 110,1,2024-09-07 08:41:21:654,313911,313911,0,0,147041381937,1528490989,311288,1856,767,370,391667,0 110,2,2024-09-07 08:41:21:303,225503,225503,0,0,8620995,0,2915 110,3,2024-09-07 08:41:20:698,1,178,0,0,406,2420,178,0 111,0,2024-09-07 08:41:21:420,42115,0.2,41617,0.4,83156,0.1,111610,1.50 111,1,2024-09-07 08:41:21:001,314309,314309,0,0,146867205130,1523288408,312529,1447,333,382,391690,0 111,2,2024-09-07 08:41:21:122,224711,224711,0,0,9434792,0,2763 111,3,2024-09-07 08:41:20:932,1,178,1,0,379,2785,178,0 112,0,2024-09-07 08:41:20:913,48305,0.3,48270,0.4,96574,0.2,129091,1.50 112,1,2024-09-07 08:41:20:834,314366,314366,0,0,145954375262,1516564576,312037,1903,426,380,391580,0 112,2,2024-09-07 08:41:21:137,225172,225171,1,0,9425741,0,5036 112,3,2024-09-07 08:41:20:602,1,178,1,0,282,1936,178,0 113,0,2024-09-07 08:41:20:876,46900,0.4,46876,0.5,94384,0.3,126274,1.75 113,1,2024-09-07 08:41:21:690,314187,314187,0,0,147270369367,1523933471,311768,1931,488,366,391661,0 113,2,2024-09-07 08:41:21:303,226529,226529,0,0,8262003,0,3813 113,3,2024-09-07 08:41:20:697,1,178,3,0,340,2829,178,0 114,0,2024-09-07 08:41:20:878,41711,0.6,42332,0.6,83149,0.4,111219,1.75 114,1,2024-09-07 08:41:20:719,312909,312909,0,0,146052905876,1526959869,308776,2670,1463,381,391534,0 114,2,2024-09-07 08:41:20:878,225454,225454,0,0,8708516,0,3925 114,3,2024-09-07 08:41:21:280,1,178,1,0,395,2070,178,0 115,0,2024-09-07 08:41:20:573,41158,0.2,41603,0.4,82998,0.1,110091,1.50 115,1,2024-09-07 08:41:20:578,313436,313436,0,0,146000176856,1521427587,309790,2825,821,382,391602,0 115,2,2024-09-07 08:41:21:133,226348,226348,0,0,8074569,0,2152 115,3,2024-09-07 08:41:21:006,1,178,1,0,159,1102,178,0 116,0,2024-09-07 08:41:21:742,47129,0.5,46711,0.7,93917,0.5,125354,1.75 116,1,2024-09-07 08:41:20:807,310786,310786,0,0,145674782932,1545247138,305861,3167,1758,380,391677,0 116,2,2024-09-07 08:41:21:760,224091,224091,0,0,11152359,0,3529 116,3,2024-09-07 08:41:20:920,1,178,28,0,252,2511,178,0 117,0,2024-09-07 08:41:20:978,47465,1.2,47613,1.0,95070,1.7,127432,2.00 117,1,2024-09-07 08:41:21:585,312878,312878,0,0,145509542182,1524434993,309193,3255,430,370,392033,0 117,2,2024-09-07 08:41:21:118,227521,227521,0,0,9013221,0,3700 117,3,2024-09-07 08:41:21:076,1,178,1,0,490,3430,178,0 118,0,2024-09-07 08:41:21:793,41905,0.9,43186,0.9,87604,1.1,114118,2.25 118,1,2024-09-07 08:41:20:627,312852,312852,0,0,145653370306,1534124687,307991,3536,1325,366,391736,0 118,2,2024-09-07 08:41:21:586,224592,224592,0,0,10082263,0,2781 118,3,2024-09-07 08:41:21:764,1,178,1,0,235,2224,178,0 119,0,2024-09-07 08:41:21:349,40951,0.6,41214,0.8,83112,0.5,109722,2.00 119,1,2024-09-07 08:41:20:552,313583,313583,0,0,146214405907,1524470185,311089,2203,291,369,391641,0 119,2,2024-09-07 08:41:21:269,224500,224500,0,0,9384648,0,3526 119,3,2024-09-07 08:41:21:335,1,178,1,0,443,3019,178,0 120,0,2024-09-07 08:41:21:572,44340,0.4,44308,0.6,88728,0.4,118909,1.75 120,1,2024-09-07 08:41:20:875,313669,313669,0,0,145747010738,1528309956,310363,3043,263,368,391961,0 120,2,2024-09-07 08:41:20:775,224705,224704,1,0,11656997,0,5281 120,3,2024-09-07 08:41:21:297,1,178,6,0,241,2464,178,0 121,0,2024-09-07 08:41:21:709,48168,1.3,48616,1.1,96832,1.9,128927,2.25 121,1,2024-09-07 08:41:21:662,313037,313037,0,0,145820481163,1524411382,310258,2489,290,367,391840,0 121,2,2024-09-07 08:41:21:126,224776,224776,0,0,10595687,0,4127 121,3,2024-09-07 08:41:20:734,1,178,3,0,269,2330,178,0 122,0,2024-09-07 08:41:21:772,45749,1.1,44587,1.1,93481,1.3,122707,2.25 122,1,2024-09-07 08:41:20:866,312189,312189,0,0,146460045447,1536856477,308037,3443,709,366,392130,0 122,2,2024-09-07 08:41:21:319,224684,224684,0,0,11538015,0,3364 122,3,2024-09-07 08:41:20:603,1,178,1,0,411,3865,178,0 123,0,2024-09-07 08:41:20:958,41527,0.7,40495,0.8,84550,0.9,110522,2.00 123,1,2024-09-07 08:41:20:560,313183,313183,0,0,146185608311,1536379872,308103,4386,694,369,391823,0 123,2,2024-09-07 08:41:21:031,223288,223287,1,0,10318348,0,5215 123,3,2024-09-07 08:41:21:139,1,178,2,0,168,2147,178,0 124,0,2024-09-07 08:41:20:932,43528,0.3,43466,0.4,82149,0.2,112799,1.50 124,1,2024-09-07 08:41:21:023,313831,313831,0,0,146122923398,1517646637,311736,1739,356,367,392178,0 124,2,2024-09-07 08:41:21:017,225908,225908,0,0,8729269,0,3101 124,3,2024-09-07 08:41:20:763,1,178,2,0,490,2321,178,0 125,0,2024-09-07 08:41:21:444,48805,0.4,48744,0.5,97643,0.3,129727,1.75 125,1,2024-09-07 08:41:20:855,313534,313534,0,0,146103132763,1525104138,311159,2098,277,384,391702,0 125,2,2024-09-07 08:41:21:116,226133,226133,0,0,8629905,0,2180 125,3,2024-09-07 08:41:21:139,1,178,23,0,284,2195,178,0 126,0,2024-09-07 08:41:21:444,47489,0.7,48722,0.7,93099,0.7,126669,1.75 126,1,2024-09-07 08:41:20:566,313992,313992,0,0,146914168567,1522182182,312348,1566,78,365,391987,0 126,2,2024-09-07 08:41:20:617,226256,226256,0,0,8984894,0,3186 126,3,2024-09-07 08:41:20:909,1,178,0,0,150,2532,178,0 127,0,2024-09-07 08:41:21:642,40223,0.4,40452,0.5,80593,0.4,107401,1.75 127,1,2024-09-07 08:41:20:600,313201,313201,0,0,147066954561,1523124478,311119,2041,41,365,391816,0 127,2,2024-09-07 08:41:20:644,225516,225516,0,0,8489344,0,2264 127,3,2024-09-07 08:41:21:272,1,178,1,0,243,1560,178,0 128,0,2024-09-07 08:41:21:534,41634,0.3,41486,0.4,82970,0.2,110719,1.50 128,1,2024-09-07 08:41:21:615,314143,314143,0,0,146978428867,1521131067,313160,911,72,367,391680,0 128,2,2024-09-07 08:41:21:389,225066,225066,0,0,8564195,0,2107 128,3,2024-09-07 08:41:20:773,1,178,2,0,333,2447,178,0 129,0,2024-09-07 08:41:21:019,48034,0.3,47812,0.4,95578,0.2,127167,1.50 129,1,2024-09-07 08:41:20:581,311865,311865,0,0,145858601073,1527092820,308919,2552,394,379,391835,0 129,2,2024-09-07 08:41:20:687,225039,225039,0,0,8854612,0,4031 129,3,2024-09-07 08:41:20:688,1,178,1,0,173,2034,178,0 130,0,2024-09-07 08:41:21:756,47767,0.7,47564,0.7,95527,0.9,127734,1.75 130,1,2024-09-07 08:41:20:594,313634,313634,0,0,146555297536,1526281953,311514,2047,73,381,391825,0 130,2,2024-09-07 08:41:21:132,227061,227061,0,0,8731883,0,4067 130,3,2024-09-07 08:41:21:291,1,178,1,0,450,2075,178,0 131,0,2024-09-07 08:41:21:942,41833,0.5,42104,0.6,85052,0.6,112117,1.75 131,1,2024-09-07 08:41:21:822,314335,314335,0,0,146296525648,1521221399,312859,1270,206,383,391865,0 131,2,2024-09-07 08:41:20:601,225646,225646,0,0,8428834,0,2415 131,3,2024-09-07 08:41:21:695,1,178,2,0,392,1917,178,0 132,0,2024-09-07 08:41:21:426,41092,0.4,41606,0.7,83205,0.3,110776,1.75 132,1,2024-09-07 08:41:20:589,312183,312183,0,0,145228554714,1534063762,306760,4391,1032,381,392097,0 132,2,2024-09-07 08:41:20:705,224655,224655,0,0,11212702,0,4606 132,3,2024-09-07 08:41:21:695,1,178,1,0,356,3135,178,0 133,0,2024-09-07 08:41:21:524,44799,0.4,45871,0.5,93868,0.3,122348,1.75 133,1,2024-09-07 08:41:20:618,311583,311583,0,0,145874080223,1537954750,307069,3872,642,383,391914,0 133,2,2024-09-07 08:41:21:103,224624,224624,0,0,11239861,0,4315 133,3,2024-09-07 08:41:21:297,1,178,2,0,187,1483,178,0 134,0,2024-09-07 08:41:20:962,48351,0.5,48308,0.7,97191,0.5,128949,2.00 134,1,2024-09-07 08:41:20:591,312694,312694,0,0,146137793368,1532203756,308292,3175,1227,366,391718,0 134,2,2024-09-07 08:41:21:761,225451,225451,0,0,9574127,0,3096 134,3,2024-09-07 08:41:20:749,1,178,11,0,739,3095,178,0 135,0,2024-09-07 08:41:21:115,42993,1.4,43004,1.2,91139,1.6,117056,2.50 135,1,2024-09-07 08:41:21:589,311966,311966,0,0,145616957456,1531314864,307768,3494,704,380,391805,0 135,2,2024-09-07 08:41:20:694,225007,225007,0,0,10310607,0,3981 135,3,2024-09-07 08:41:21:044,1,178,2,0,89,1022,178,0 136,0,2024-09-07 08:41:21:624,41723,0.5,41649,0.7,83704,0.4,110704,2.00 136,1,2024-09-07 08:41:21:455,312558,312558,0,0,145596424445,1525193089,309200,3184,174,383,391641,0 136,2,2024-09-07 08:41:21:140,225710,225710,0,0,9900476,0,3506 136,3,2024-09-07 08:41:21:112,1,178,2,0,108,1385,178,0 137,0,2024-09-07 08:41:20:958,44610,0.4,43283,0.6,85560,0.3,116054,1.75 137,1,2024-09-07 08:41:20:595,312821,312821,0,0,145494077488,1521756175,308678,3722,421,366,391708,0 137,2,2024-09-07 08:41:21:704,225334,225334,0,0,11301860,0,3185 137,3,2024-09-07 08:41:20:769,1,178,3,0,227,1998,178,0 138,0,2024-09-07 08:41:21:778,47979,1.1,47937,1.0,96612,1.5,128338,2.25 138,1,2024-09-07 08:41:21:690,313059,313059,0,0,146445186965,1529157061,309971,2735,353,368,391954,0 138,2,2024-09-07 08:41:20:603,225866,225866,0,0,9321553,0,3263 138,3,2024-09-07 08:41:20:611,1,178,1,0,1160,3246,178,0 139,0,2024-09-07 08:41:21:386,45703,2.8,45871,1.6,92543,4.0,123571,3.00 139,1,2024-09-07 08:41:20:605,311508,311508,0,0,145161188132,1538457110,306221,3960,1327,381,391892,0 139,2,2024-09-07 08:41:20:692,223476,223476,0,0,10495715,0,3097 139,3,2024-09-07 08:41:21:670,1,178,1,0,244,1918,178,0 140,0,2024-09-07 08:41:21:592,41053,0.3,40613,0.5,81910,0.3,108929,1.75 140,1,2024-09-07 08:41:21:541,314338,314338,0,0,147088317834,1516154848,312818,1280,240,365,391606,0 140,2,2024-09-07 08:41:20:691,225605,225605,0,0,8987710,0,3388 140,3,2024-09-07 08:41:20:770,1,178,1,0,247,1481,178,0 141,0,2024-09-07 08:41:21:705,41785,0.2,42842,0.4,82046,0.1,111644,1.50 141,1,2024-09-07 08:41:20:864,314239,314239,0,0,147464608577,1529412450,312285,1601,353,379,391614,0 141,2,2024-09-07 08:41:21:690,225662,225662,0,0,8461203,0,2342 141,3,2024-09-07 08:41:21:046,1,178,1,0,147,1378,178,0 142,0,2024-09-07 08:41:21:317,48708,0.3,48497,0.5,96231,0.2,129407,1.50 142,1,2024-09-07 08:41:20:590,313310,313310,0,0,146044694342,1520983569,311755,1394,161,383,391728,0 142,2,2024-09-07 08:41:21:299,224514,224482,32,0,9887893,0,6028 142,3,2024-09-07 08:41:21:750,1,178,1,0,484,2426,178,0 143,0,2024-09-07 08:41:21:421,47113,0.7,47069,0.7,94947,0.7,126127,1.75 143,1,2024-09-07 08:41:20:568,313785,313785,0,0,146003609269,1514585292,311658,2083,44,367,391619,0 143,2,2024-09-07 08:41:20:769,225686,225686,0,0,9036704,0,2669 143,3,2024-09-07 08:41:21:142,1,178,5,0,303,2699,178,0 144,0,2024-09-07 08:41:21:498,39902,0.8,41152,1.2,83430,0.7,109705,2.25 144,1,2024-09-07 08:41:20:585,312170,312170,0,0,145282917332,1523084139,309764,2202,204,381,391649,0 144,2,2024-09-07 08:41:21:764,225576,225576,0,0,8982174,0,3473 144,3,2024-09-07 08:41:21:749,1,178,1,0,249,2184,178,0 145,0,2024-09-07 08:41:21:382,39930,0.5,39936,0.7,84647,0.4,109617,2.00 145,1,2024-09-07 08:41:20:559,311376,311376,0,0,145573185419,1532850011,306974,3665,737,382,391615,0 145,2,2024-09-07 08:41:21:438,224644,224644,0,0,9841282,0,3903 145,3,2024-09-07 08:41:20:895,1,178,2,0,151,1987,178,0 146,0,2024-09-07 08:41:21:594,46938,0.4,46537,0.6,93965,0.3,125121,2.00 146,1,2024-09-07 08:41:21:589,313481,313481,0,0,146304845172,1532812737,308953,3667,861,368,391629,0 146,2,2024-09-07 08:41:21:696,224701,224701,0,0,9629673,0,2498 146,3,2024-09-07 08:41:21:274,1,178,1,0,1520,5239,178,0 147,0,2024-09-07 08:41:21:707,47575,0.8,47516,0.9,94493,0.7,127032,2.25 147,1,2024-09-07 08:41:21:374,314179,314179,0,0,145962718774,1519309073,311067,2614,498,368,391791,0 147,2,2024-09-07 08:41:21:014,227615,227615,0,0,8891890,0,2789 147,3,2024-09-07 08:41:20:945,1,178,1,0,371,2099,178,0 0,0,2024-09-07 08:41:31:864,43645,0.4,43560,0.6,92393,0.3,119977,1.75 0,1,2024-09-07 08:41:30:976,314858,314858,0,0,147597949772,1542543791,312886,1835,137,372,391772,0 0,2,2024-09-07 08:41:31:104,226376,226376,0,0,9348354,0,4480 0,3,2024-09-07 08:41:30:980,1,179,12,0,247,2239,179,0 1,0,2024-09-07 08:41:31:905,48744,1.2,48355,1.1,97092,1.6,129485,2.25 1,1,2024-09-07 08:41:30:576,314572,314572,0,0,146552632569,1536743574,311124,2581,867,371,391857,0 1,2,2024-09-07 08:41:30:644,226037,226037,0,0,8639371,0,3267 1,3,2024-09-07 08:41:31:312,1,179,1,0,262,2081,179,0 2,0,2024-09-07 08:41:31:578,45854,1.0,46184,1.0,91607,1.4,122037,2.25 2,1,2024-09-07 08:41:30:862,314738,314738,0,0,147221026588,1529439586,313228,1304,206,380,391745,0 2,2,2024-09-07 08:41:31:267,225906,225906,0,0,9073281,0,3594 2,3,2024-09-07 08:41:30:695,1,179,1,0,357,1753,179,0 3,0,2024-09-07 08:41:31:781,41424,0.4,41703,0.7,83037,0.4,110470,2.00 3,1,2024-09-07 08:41:31:630,314362,314362,0,0,146945033326,1532541756,311251,2687,424,380,391516,0 3,2,2024-09-07 08:41:31:154,226250,226227,23,0,9226014,0,5851 3,3,2024-09-07 08:41:31:763,1,179,8,0,103,1154,179,0 4,0,2024-09-07 08:41:31:884,41303,0.3,42438,0.4,86270,0.2,113747,1.50 4,1,2024-09-07 08:41:30:603,314851,314851,0,0,146618762115,1538363674,311855,2473,523,371,391846,0 4,2,2024-09-07 08:41:31:054,225800,225800,0,0,10823609,0,4528 4,3,2024-09-07 08:41:31:082,1,179,4,0,448,2740,179,0 5,0,2024-09-07 08:41:31:418,48915,0.4,48910,0.6,97627,0.4,129709,1.75 5,1,2024-09-07 08:41:30:769,314317,314317,0,0,146952375773,1546359464,310091,3237,989,368,392005,0 5,2,2024-09-07 08:41:31:851,225460,225460,0,0,9389168,0,2259 5,3,2024-09-07 08:41:31:751,1,179,19,0,238,2547,179,0 6,0,2024-09-07 08:41:30:924,47976,0.9,47451,0.9,94569,1.1,127135,2.25 6,1,2024-09-07 08:41:30:748,315208,315208,0,0,146228348398,1529727470,311806,2754,648,379,391634,0 6,2,2024-09-07 08:41:31:124,226419,226419,0,0,9482585,0,4816 6,3,2024-09-07 08:41:31:280,1,179,7,0,340,2372,179,0 7,0,2024-09-07 08:41:31:535,40068,0.5,39979,0.7,80025,0.4,106891,2.00 7,1,2024-09-07 08:41:30:850,314867,314867,0,0,147143162194,1538192426,312044,2690,133,382,391664,0 7,2,2024-09-07 08:41:30:783,226107,226107,0,0,8715058,0,2981 7,3,2024-09-07 08:41:30:850,1,179,1,0,305,1732,179,0 8,0,2024-09-07 08:41:31:424,41612,0.3,41913,0.4,83330,0.2,111695,1.50 8,1,2024-09-07 08:41:31:049,313936,313936,0,0,146651745126,1545968667,308321,4014,1601,367,391956,0 8,2,2024-09-07 08:41:30:823,223462,223462,0,0,10587330,0,2986 8,3,2024-09-07 08:41:30:595,1,179,4,0,357,2688,179,0 9,0,2024-09-07 08:41:31:175,48177,0.3,46655,0.5,97450,0.3,128064,1.50 9,1,2024-09-07 08:41:30:601,314175,314175,0,0,147661415204,1555204721,309605,3437,1133,370,392001,0 9,2,2024-09-07 08:41:31:103,226234,226234,0,0,9861007,0,3360 9,3,2024-09-07 08:41:31:765,1,179,3,0,496,2808,179,0 10,0,2024-09-07 08:41:31:604,48094,0.4,47717,0.5,95981,0.3,128027,1.75 10,1,2024-09-07 08:41:30:596,314688,314688,0,0,147099083791,1541474455,310213,3832,643,381,391741,0 10,2,2024-09-07 08:41:30:769,227430,227430,0,0,9948980,0,2940 10,3,2024-09-07 08:41:30:876,1,179,1,0,177,1251,179,0 11,0,2024-09-07 08:41:31:021,41395,0.6,40291,0.9,84458,0.7,112341,2.00 11,1,2024-09-07 08:41:30:575,314842,314842,0,0,146903499499,1542723277,309525,4047,1270,384,391537,0 11,2,2024-09-07 08:41:31:129,226377,226377,0,0,9647111,0,3411 11,3,2024-09-07 08:41:31:305,1,179,0,0,720,2905,179,0 12,0,2024-09-07 08:41:30:965,42090,0.3,41804,0.5,83851,0.2,111592,1.50 12,1,2024-09-07 08:41:30:940,314796,314796,0,0,146561099341,1530009000,311891,2490,415,370,391837,0 12,2,2024-09-07 08:41:31:544,227221,227221,0,0,10029080,0,3469 12,3,2024-09-07 08:41:31:087,1,179,1,0,358,2793,179,0 13,0,2024-09-07 08:41:31:446,46521,0.3,46467,0.5,92797,0.3,124137,1.50 13,1,2024-09-07 08:41:31:538,314481,314481,0,0,146665977754,1537762770,312046,2025,410,382,391717,0 13,2,2024-09-07 08:41:30:608,227153,227153,0,0,9201770,0,3287 13,3,2024-09-07 08:41:31:799,1,179,36,0,467,3438,179,0 14,0,2024-09-07 08:41:30:577,48790,0.4,49105,0.6,97220,0.4,129243,1.75 14,1,2024-09-07 08:41:31:564,316443,316443,0,0,147556754704,1528694899,314176,2104,163,364,391571,0 14,2,2024-09-07 08:41:30:769,226985,226985,0,0,9341426,0,2896 14,3,2024-09-07 08:41:31:117,1,179,46,0,1168,3017,179,0 15,0,2024-09-07 08:41:31:567,44222,0.8,44284,1.0,88836,0.9,117947,2.50 15,1,2024-09-07 08:41:31:611,314629,314629,0,0,146935353991,1527651556,312711,1808,110,381,391619,0 15,2,2024-09-07 08:41:31:007,226927,226927,0,0,7770725,0,3043 15,3,2024-09-07 08:41:31:406,1,179,1,0,1126,4561,179,0 16,0,2024-09-07 08:41:30:980,41727,0.5,41924,0.7,83339,0.4,110780,2.00 16,1,2024-09-07 08:41:30:581,315152,315152,0,0,146768119682,1534262414,312753,2137,262,370,391756,0 16,2,2024-09-07 08:41:31:444,225617,225617,0,0,10379627,0,4719 16,3,2024-09-07 08:41:31:153,1,179,4,0,231,2393,179,0 17,0,2024-09-07 08:41:31:955,45124,0.4,44086,0.6,86407,0.4,117298,1.75 17,1,2024-09-07 08:41:30:595,313981,313981,0,0,146716979091,1541217710,310563,2743,675,368,391688,0 17,2,2024-09-07 08:41:31:676,228696,228696,0,0,9226576,0,2857 17,3,2024-09-07 08:41:30:575,1,179,2,0,268,2535,179,0 18,0,2024-09-07 08:41:30:943,47769,0.9,48024,0.9,96095,1.0,127914,2.50 18,1,2024-09-07 08:41:31:652,315063,315063,0,0,146919612988,1524043356,313031,1798,234,367,391564,0 18,2,2024-09-07 08:41:31:755,226767,226767,0,0,9079805,0,3541 18,3,2024-09-07 08:41:30:896,1,179,1,0,163,1903,179,0 19,0,2024-09-07 08:41:31:554,46521,1.0,46937,1.0,92638,1.1,123577,2.50 19,1,2024-09-07 08:41:30:577,314844,314844,0,0,147304040260,1533675626,311029,3099,716,367,391777,0 19,2,2024-09-07 08:41:31:755,227428,227428,0,0,8257228,0,3988 19,3,2024-09-07 08:41:31:136,1,179,4,0,524,1546,179,0 20,0,2024-09-07 08:41:31:447,40902,0.6,40799,0.7,81993,0.5,109352,2.25 20,1,2024-09-07 08:41:30:580,314028,314028,0,0,147495396961,1545304786,310547,3087,394,369,391822,0 20,2,2024-09-07 08:41:30:965,226159,226159,0,0,9414703,0,3721 20,3,2024-09-07 08:41:30:605,1,179,374,0,414,3469,179,0 21,0,2024-09-07 08:41:31:152,42257,0.3,42360,0.5,84270,0.3,111823,1.75 21,1,2024-09-07 08:41:31:547,314076,314076,0,0,145807290077,1533650902,310396,3146,534,368,391962,0 21,2,2024-09-07 08:41:31:086,226553,226553,0,0,10435421,0,3747 21,3,2024-09-07 08:41:31:403,1,179,71,0,103,2158,179,0 22,0,2024-09-07 08:41:31:734,48641,0.6,48682,0.7,96888,0.6,129424,2.00 22,1,2024-09-07 08:41:31:035,313966,313966,0,0,146576757250,1544550066,308421,4036,1509,382,391667,0 22,2,2024-09-07 08:41:30:765,226369,226369,0,0,8806631,0,3134 22,3,2024-09-07 08:41:31:086,1,179,2,0,228,1854,179,0 23,0,2024-09-07 08:41:31:380,47121,0.8,47125,0.9,94615,1.0,126521,2.50 23,1,2024-09-07 08:41:31:015,315133,315133,0,0,147916701212,1545814467,311005,2646,1482,365,391549,0 23,2,2024-09-07 08:41:31:101,226183,226183,0,0,9019756,0,3010 23,3,2024-09-07 08:41:31:755,1,179,81,0,645,1911,179,0 24,0,2024-09-07 08:41:30:859,41945,0.5,42000,0.6,84029,0.5,111314,1.75 24,1,2024-09-07 08:41:30:597,314924,314924,0,0,146398106665,1530416417,311953,2171,800,369,391640,0 24,2,2024-09-07 08:41:31:082,225770,225770,0,0,10384560,0,2942 24,3,2024-09-07 08:41:31:687,1,179,1,0,234,1955,179,0 25,0,2024-09-07 08:41:31:441,42623,0.3,41735,0.5,81554,0.3,111068,1.75 25,1,2024-09-07 08:41:30:560,314452,314452,0,0,147079533215,1541713749,310752,3157,543,371,391788,0 25,2,2024-09-07 08:41:31:647,225571,225571,0,0,10770822,0,3978 25,3,2024-09-07 08:41:31:026,1,179,1,0,255,2182,179,0 26,0,2024-09-07 08:41:31:733,47127,0.3,46150,0.5,96589,0.2,126392,1.75 26,1,2024-09-07 08:41:31:541,315215,315215,0,0,146444842929,1535351528,310549,3765,901,381,391748,0 26,2,2024-09-07 08:41:30:862,227008,227008,0,0,9910548,0,2809 26,3,2024-09-07 08:41:31:712,1,179,1,0,796,2278,179,0 27,0,2024-09-07 08:41:31:728,48133,0.5,48072,0.7,95360,0.5,127341,2.00 27,1,2024-09-07 08:41:31:679,316367,316367,0,0,147987672544,1535308360,314546,1498,323,381,391558,0 27,2,2024-09-07 08:41:30:868,226181,226181,0,0,10285341,0,3409 27,3,2024-09-07 08:41:31:018,1,179,7,0,564,1994,179,0 28,0,2024-09-07 08:41:31:442,42877,0.7,43012,0.8,86606,1.0,115023,2.25 28,1,2024-09-07 08:41:30:797,315763,315763,0,0,148099538849,1541864588,313593,1833,337,383,391646,0 28,2,2024-09-07 08:41:31:774,226847,226847,0,0,8275328,0,2915 28,3,2024-09-07 08:41:31:814,1,179,1,0,502,2115,179,0 29,0,2024-09-07 08:41:31:385,42381,0.3,41489,0.5,80974,0.2,110708,1.75 29,1,2024-09-07 08:41:31:567,316330,316330,0,0,147655510274,1529002393,313896,1891,543,369,391621,0 29,2,2024-09-07 08:41:30:861,225805,225805,0,0,8128051,0,4018 29,3,2024-09-07 08:41:30:970,1,179,1,0,115,1404,179,0 30,0,2024-09-07 08:41:31:464,44817,0.4,43582,0.6,91224,0.3,120474,2.00 30,1,2024-09-07 08:41:30:578,315426,315426,0,0,146907299375,1524730254,313429,1719,278,382,391672,0 30,2,2024-09-07 08:41:31:281,227537,227537,0,0,8156575,0,3161 30,3,2024-09-07 08:41:30:588,1,179,1,0,195,1244,179,0 31,0,2024-09-07 08:41:31:786,48060,0.5,48543,0.7,97550,0.5,129181,2.00 31,1,2024-09-07 08:41:30:572,317098,317098,0,0,148778271270,1523254027,316295,691,112,356,391712,0 31,2,2024-09-07 08:41:31:286,226483,226483,0,0,9829655,0,3525 31,3,2024-09-07 08:41:31:720,1,179,2,0,220,1517,179,0 32,0,2024-09-07 08:41:31:431,45851,0.5,46258,0.6,92741,0.4,122787,1.75 32,1,2024-09-07 08:41:30:809,315577,315577,0,0,147512759690,1531147643,313824,1478,275,382,391595,0 32,2,2024-09-07 08:41:30:946,226481,226481,0,0,8102011,0,3155 32,3,2024-09-07 08:41:31:018,1,179,1,0,227,1507,179,0 33,0,2024-09-07 08:41:31:525,42104,0.3,41429,0.5,83722,0.2,111302,1.75 33,1,2024-09-07 08:41:30:577,316154,316154,0,0,147512448194,1526293475,313891,2091,172,369,391730,0 33,2,2024-09-07 08:41:30:764,226380,226347,33,0,10086209,0,7012 33,3,2024-09-07 08:41:30:904,1,179,1,0,329,2006,179,0 34,0,2024-09-07 08:41:30:932,42718,0.3,44039,0.4,84460,0.2,113985,1.50 34,1,2024-09-07 08:41:31:054,316316,316316,0,0,147466868422,1518043105,315318,992,6,367,391562,0 34,2,2024-09-07 08:41:30:770,226205,226205,0,0,9172698,0,3577 34,3,2024-09-07 08:41:31:688,1,179,0,0,148,1164,179,0 35,0,2024-09-07 08:41:30:865,48418,0.4,48958,0.5,97866,0.3,130014,1.75 35,1,2024-09-07 08:41:31:078,314786,314786,0,0,147062283533,1527340273,311962,2054,770,384,391589,0 35,2,2024-09-07 08:41:31:586,227105,227105,0,0,8303760,0,2653 35,3,2024-09-07 08:41:30:926,1,179,5,0,418,1998,179,0 36,0,2024-09-07 08:41:31:528,47635,0.9,47555,0.9,95324,1.0,126722,2.50 36,1,2024-09-07 08:41:30:593,315191,315191,0,0,146370710841,1531585626,310568,3334,1289,366,391759,0 36,2,2024-09-07 08:41:31:754,225625,225625,0,0,9612230,0,3303 36,3,2024-09-07 08:41:30:873,1,179,9,0,378,2363,179,0 37,0,2024-09-07 08:41:31:387,40148,0.5,40130,0.7,80105,0.4,107753,2.00 37,1,2024-09-07 08:41:30:575,314405,314398,0,7,146852138256,1541326039,309625,2754,2019,365,391560,0 37,2,2024-09-07 08:41:31:154,226252,226237,15,0,9756434,0,5815 37,3,2024-09-07 08:41:31:771,1,179,0,0,724,2720,179,0 38,0,2024-09-07 08:41:31:439,41616,0.4,40383,0.6,84336,0.3,111238,2.00 38,1,2024-09-07 08:41:31:608,315527,315527,0,0,147592473199,1541019094,311477,3418,632,368,391821,0 38,2,2024-09-07 08:41:30:777,226376,226329,47,0,11332314,0,6710 38,3,2024-09-07 08:41:31:014,1,179,0,0,603,2223,179,0 39,0,2024-09-07 08:41:31:762,49309,0.5,48305,0.6,93902,0.4,128463,2.00 39,1,2024-09-07 08:41:30:720,315178,315178,0,0,147295483684,1534399919,311389,2988,801,365,391524,0 39,2,2024-09-07 08:41:31:416,226557,226557,0,0,8550917,0,2689 39,3,2024-09-07 08:41:30:718,1,179,8,0,293,2414,179,0 40,0,2024-09-07 08:41:31:500,47247,0.9,47928,1.1,95580,1.0,127368,3.00 40,1,2024-09-07 08:41:30:579,315099,315099,0,0,146066459875,1527832740,310683,3647,769,368,391591,0 40,2,2024-09-07 08:41:31:308,226331,226330,1,0,10932959,0,5137 40,3,2024-09-07 08:41:31:149,1,179,19,0,181,1857,179,0 41,0,2024-09-07 08:41:31:043,41479,1.8,42279,1.6,81016,3.1,110916,4.00 41,1,2024-09-07 08:41:30:777,314716,314716,0,0,147669104900,1543152784,310519,3663,534,370,391742,0 41,2,2024-09-07 08:41:30:762,225356,225356,0,0,10486829,0,3356 41,3,2024-09-07 08:41:31:680,1,179,0,0,366,2016,179,0 42,0,2024-09-07 08:41:31:489,41154,0.5,41559,0.7,82864,0.4,109908,2.00 42,1,2024-09-07 08:41:31:445,313756,313756,0,0,146560544118,1538952833,309038,3760,958,380,391675,0 42,2,2024-09-07 08:41:31:140,225724,225724,0,0,10222543,0,3568 42,3,2024-09-07 08:41:31:034,1,179,1,0,446,1454,179,0 43,0,2024-09-07 08:41:30:934,46343,0.5,45061,0.8,94257,0.5,124142,2.00 43,1,2024-09-07 08:41:30:576,314920,314920,0,0,147439670346,1535143946,311580,2547,793,366,391696,0 43,2,2024-09-07 08:41:31:753,226635,226635,0,0,9562772,0,3812 43,3,2024-09-07 08:41:31:753,1,179,0,0,325,2173,179,0 44,0,2024-09-07 08:41:30:861,48563,0.5,48938,0.7,97342,0.5,129797,1.75 44,1,2024-09-07 08:41:30:585,316058,316058,0,0,147321090449,1521146367,313996,1637,425,356,391809,0 44,2,2024-09-07 08:41:31:270,226400,226400,0,0,7970409,0,1877 44,3,2024-09-07 08:41:31:104,1,179,1,0,817,2132,179,0 45,0,2024-09-07 08:41:31:762,43776,1.1,42916,1.0,89958,1.2,118582,2.25 45,1,2024-09-07 08:41:31:027,315678,315678,0,0,147729674598,1529991406,314637,1031,10,382,391917,0 45,2,2024-09-07 08:41:31:272,227043,227043,0,0,8638345,0,3596 45,3,2024-09-07 08:41:30:939,1,179,1,0,226,1804,179,0 46,0,2024-09-07 08:41:30:969,41545,0.3,41461,0.5,83179,0.2,109873,1.75 46,1,2024-09-07 08:41:30:581,316190,316190,0,0,147532402387,1524082706,314381,1586,223,366,391524,0 46,2,2024-09-07 08:41:30:595,226616,226616,0,0,8634045,0,2920 46,3,2024-09-07 08:41:31:137,1,179,7,0,908,3070,179,0 47,0,2024-09-07 08:41:31:113,43874,0.3,44138,0.5,88494,0.2,117008,1.50 47,1,2024-09-07 08:41:30:575,316776,316776,0,0,147552644168,1521665340,315368,1391,17,366,391605,0 47,2,2024-09-07 08:41:30:910,227819,227819,0,0,8722243,0,2558 47,3,2024-09-07 08:41:31:119,1,179,1,0,529,1916,179,0 48,0,2024-09-07 08:41:31:492,48851,0.3,48429,0.4,96824,0.2,128841,1.50 48,1,2024-09-07 08:41:31:035,316334,316334,0,0,147666417555,1533432746,314270,1883,181,384,391710,0 48,2,2024-09-07 08:41:30:709,226861,226861,0,0,7858130,0,3031 48,3,2024-09-07 08:41:30:752,1,179,2,0,339,1494,179,0 49,0,2024-09-07 08:41:31:741,48183,0.5,47276,0.6,91804,0.5,125512,1.75 49,1,2024-09-07 08:41:31:035,314870,314870,0,0,148049180620,1542527568,312120,1910,840,382,391583,0 49,2,2024-09-07 08:41:31:817,227302,227302,0,0,8570029,0,3900 49,3,2024-09-07 08:41:31:418,1,179,39,0,408,2476,179,0 50,0,2024-09-07 08:41:31:519,41115,0.3,40639,0.5,81610,0.2,109463,1.75 50,1,2024-09-07 08:41:31:019,316903,316903,0,0,147856212723,1531678445,314374,2220,309,368,391530,0 50,2,2024-09-07 08:41:31:073,226296,226296,0,0,8245636,0,2253 50,3,2024-09-07 08:41:31:300,1,179,4,0,335,1400,179,0 51,0,2024-09-07 08:41:31:713,43047,0.2,42341,0.4,82464,0.2,112436,1.50 51,1,2024-09-07 08:41:31:682,315666,315666,0,0,148881856476,1537822258,313693,1187,786,365,391637,0 51,2,2024-09-07 08:41:31:320,226823,226823,0,0,7863218,0,3337 51,3,2024-09-07 08:41:31:028,1,179,0,0,162,968,179,0 52,0,2024-09-07 08:41:31:426,49096,0.5,48944,0.6,97843,0.4,130413,2.00 52,1,2024-09-07 08:41:30:577,314748,314748,0,0,145779047190,1533786055,308829,4759,1160,368,391722,0 52,2,2024-09-07 08:41:31:764,224975,224937,38,0,10317519,0,6742 52,3,2024-09-07 08:41:30:679,1,179,1,0,1782,3581,179,0 53,0,2024-09-07 08:41:31:739,47085,0.9,45732,0.9,95702,1.1,125686,2.75 53,1,2024-09-07 08:41:30:802,314058,314058,0,0,146939427283,1546481703,308425,3739,1894,367,391702,0 53,2,2024-09-07 08:41:31:305,226888,226888,0,0,8618165,0,2262 53,3,2024-09-07 08:41:30:707,1,179,1,0,271,1661,179,0 54,0,2024-09-07 08:41:31:628,40353,1.7,40940,1.1,80968,0.8,108528,3.50 54,1,2024-09-07 08:41:30:626,315087,315087,0,0,148170083458,1543974699,311114,3402,571,367,391659,0 54,2,2024-09-07 08:41:30:867,226325,226319,6,0,10209981,0,5382 54,3,2024-09-07 08:41:30:768,1,179,2,0,676,3248,179,0 55,0,2024-09-07 08:41:31:772,40114,0.4,41445,0.6,83819,0.3,108900,2.25 55,1,2024-09-07 08:41:30:779,314586,314586,0,0,146559355909,1531366449,309396,4403,787,365,391731,0 55,2,2024-09-07 08:41:30:731,225611,225611,0,0,9735534,0,3275 55,3,2024-09-07 08:41:30:680,1,179,285,0,304,2176,179,0 56,0,2024-09-07 08:41:31:591,48628,0.9,45863,0.9,94451,1.1,126774,2.25 56,1,2024-09-07 08:41:30:613,314210,314210,0,0,147303508736,1555881200,309037,4266,907,381,391678,0 56,2,2024-09-07 08:41:31:303,225997,225997,0,0,10730514,0,3567 56,3,2024-09-07 08:41:31:066,1,179,1,0,405,2375,179,0 57,0,2024-09-07 08:41:30:993,46994,2.3,47107,1.5,94202,3.2,126181,3.75 57,1,2024-09-07 08:41:30:986,315118,315118,0,0,146725467340,1533540008,312275,2673,170,367,391960,0 57,2,2024-09-07 08:41:31:328,227600,227600,0,0,10832094,0,3178 57,3,2024-09-07 08:41:31:759,1,179,3,0,359,2794,179,0 58,0,2024-09-07 08:41:30:565,41960,1.1,40830,1.1,85503,1.3,111719,2.75 58,1,2024-09-07 08:41:30:646,315757,315754,0,3,147714918375,1539795960,312234,3122,398,367,391517,3 58,2,2024-09-07 08:41:31:073,226328,226328,0,0,9855744,0,2549 58,3,2024-09-07 08:41:31:089,1,179,5,0,1043,2369,179,0 59,0,2024-09-07 08:41:31:761,41553,0.7,41215,0.9,82264,0.7,109508,2.75 59,1,2024-09-07 08:41:30:805,314862,314862,0,0,147304992964,1538008580,311211,2728,923,369,391525,0 59,2,2024-09-07 08:41:30:590,226972,226972,0,0,8761443,0,2604 59,3,2024-09-07 08:41:31:749,1,179,2,0,1015,2913,179,0 60,0,2024-09-07 08:41:31:736,45218,0.3,45062,0.5,90209,0.3,120538,1.75 60,1,2024-09-07 08:41:30:792,316222,316222,0,0,147900525161,1531580989,314760,1104,358,370,391761,0 60,2,2024-09-07 08:41:31:143,226694,226694,0,0,9965160,0,3811 60,3,2024-09-07 08:41:31:258,1,179,1,0,124,1691,179,0 61,0,2024-09-07 08:41:31:540,48510,1.0,48715,0.9,97182,1.5,129476,2.00 61,1,2024-09-07 08:41:30:789,314747,314747,0,0,147448616401,1543749284,311302,2892,553,382,391593,0 61,2,2024-09-07 08:41:31:124,227690,227690,0,0,8838149,0,2079 61,3,2024-09-07 08:41:31:687,1,179,1,0,199,1979,179,0 62,0,2024-09-07 08:41:31:720,46345,1.2,47351,1.0,90227,1.6,122566,2.25 62,1,2024-09-07 08:41:31:124,316863,316857,0,6,147589909868,1521544877,315177,1641,39,365,391715,6 62,2,2024-09-07 08:41:31:650,225376,225375,1,0,10272263,0,5555 62,3,2024-09-07 08:41:31:156,1,179,8,0,287,1203,179,0 63,0,2024-09-07 08:41:31:459,41675,0.5,41598,0.6,83376,0.4,111068,1.75 63,1,2024-09-07 08:41:30:808,316164,316158,0,6,147076364530,1522467089,314914,1217,27,381,391677,6 63,2,2024-09-07 08:41:30:761,226187,226187,0,0,8244436,0,2674 63,3,2024-09-07 08:41:31:749,1,179,1,0,667,2451,179,0 64,0,2024-09-07 08:41:31:532,42423,0.4,42493,0.6,85148,0.3,113816,1.75 64,1,2024-09-07 08:41:30:770,315382,315382,0,0,147006204718,1534773082,311910,2417,1055,370,391783,0 64,2,2024-09-07 08:41:31:151,228586,228567,19,0,9116942,0,6121 64,3,2024-09-07 08:41:31:157,1,179,1,0,265,1868,179,0 65,0,2024-09-07 08:41:31:679,48173,0.7,48671,0.8,97008,0.8,129072,2.25 65,1,2024-09-07 08:41:30:862,314473,314473,0,0,146425907860,1531202681,311951,2298,224,382,391770,0 65,2,2024-09-07 08:41:31:699,226583,226583,0,0,9617679,0,3367 65,3,2024-09-07 08:41:31:688,1,179,12,0,163,1750,179,0 66,0,2024-09-07 08:41:31:763,47222,0.7,47154,0.8,94751,0.7,126079,2.25 66,1,2024-09-07 08:41:31:296,316148,316148,0,0,147452800623,1531608487,314271,1705,172,380,391588,0 66,2,2024-09-07 08:41:31:149,227982,227982,0,0,8921041,0,4956 66,3,2024-09-07 08:41:31:081,1,179,7,0,291,1787,179,0 67,0,2024-09-07 08:41:31:450,40639,0.8,40351,0.9,81007,0.9,107852,2.25 67,1,2024-09-07 08:41:30:769,314669,314668,0,1,146970641726,1535774154,311194,2727,747,381,391787,1 67,2,2024-09-07 08:41:30:590,227402,227402,0,0,8605675,0,2889 67,3,2024-09-07 08:41:31:771,1,179,1,0,338,1654,179,0 68,0,2024-09-07 08:41:30:595,41855,0.4,41715,0.6,83356,0.3,111461,2.00 68,1,2024-09-07 08:41:30:591,314382,314382,0,0,146914553296,1539706788,311517,1842,1023,381,391953,0 68,2,2024-09-07 08:41:31:053,225807,225742,65,0,11717423,0,6698 68,3,2024-09-07 08:41:30:742,1,179,3,0,417,2133,179,0 69,0,2024-09-07 08:41:31:739,47947,0.6,48138,0.7,95541,0.5,127677,2.00 69,1,2024-09-07 08:41:31:019,313417,313417,0,0,146701040419,1547741819,309405,2797,1215,384,391994,0 69,2,2024-09-07 08:41:31:738,226891,226891,0,0,10422245,0,3701 69,3,2024-09-07 08:41:30:765,1,179,1,0,238,2227,179,0 70,0,2024-09-07 08:41:31:540,47283,1.7,47470,1.3,95198,1.2,126942,2.75 70,1,2024-09-07 08:41:30:806,315046,315046,0,0,147206774552,1527871749,312587,1997,462,366,391725,0 70,2,2024-09-07 08:41:31:332,227144,227144,0,0,9390195,0,4044 70,3,2024-09-07 08:41:30:747,1,179,1,0,854,2326,179,0 71,0,2024-09-07 08:41:31:356,41357,1.9,41365,1.6,82594,2.7,111079,3.50 71,1,2024-09-07 08:41:31:599,315305,315305,0,0,147585208640,1541883171,311188,3592,525,368,391682,0 71,2,2024-09-07 08:41:31:071,227039,227039,0,0,9458244,0,2470 71,3,2024-09-07 08:41:31:752,1,179,3,0,644,2786,179,0 72,0,2024-09-07 08:41:31:039,43057,0.4,42127,0.7,82166,0.3,112101,2.00 72,1,2024-09-07 08:41:31:056,314862,314862,0,0,147040549396,1532889335,311591,2784,487,369,391819,0 72,2,2024-09-07 08:41:31:770,225437,225437,0,0,11024096,0,2570 72,3,2024-09-07 08:41:31:763,1,179,0,0,364,3314,179,0 73,0,2024-09-07 08:41:31:114,45250,0.3,46373,0.5,94891,0.3,123515,2.00 73,1,2024-09-07 08:41:30:779,315116,315116,0,0,147531280006,1529035416,313307,1694,115,367,391750,0 73,2,2024-09-07 08:41:31:753,226770,226770,0,0,10342595,0,3482 73,3,2024-09-07 08:41:30:977,1,179,1,0,274,2635,179,0 74,0,2024-09-07 08:41:31:321,49002,0.5,50043,0.7,95293,0.5,129243,2.25 74,1,2024-09-07 08:41:30:639,314923,314923,0,0,146980864704,1531908298,312129,2172,622,382,391681,0 74,2,2024-09-07 08:41:31:014,226788,226788,0,0,10300730,0,4253 74,3,2024-09-07 08:41:31:442,1,179,0,0,522,2800,179,0 75,0,2024-09-07 08:41:31:812,44641,1.1,44300,1.1,88808,1.2,118978,2.75 75,1,2024-09-07 08:41:31:595,314509,314509,0,0,146542820621,1532343814,311111,2938,460,381,391579,0 75,2,2024-09-07 08:41:31:350,226027,226027,0,0,9902531,0,4766 75,3,2024-09-07 08:41:31:086,1,179,1,0,535,1904,179,0 76,0,2024-09-07 08:41:30:619,41502,0.4,41158,0.6,82261,0.3,110128,2.25 76,1,2024-09-07 08:41:30:817,315052,315052,0,0,147067267641,1532763716,312985,1556,511,382,391692,0 76,2,2024-09-07 08:41:31:075,226899,226899,0,0,8760251,0,3064 76,3,2024-09-07 08:41:31:150,1,179,0,0,175,2000,179,0 77,0,2024-09-07 08:41:31:711,43797,0.4,44126,0.6,88112,0.3,116739,1.75 77,1,2024-09-07 08:41:30:835,316241,316241,0,0,146855924207,1529405005,314640,1514,87,383,391808,0 77,2,2024-09-07 08:41:31:286,226490,226490,0,0,9162424,0,3890 77,3,2024-09-07 08:41:31:096,1,179,1,0,305,2139,179,0 78,0,2024-09-07 08:41:31:731,48494,0.5,48200,0.7,97194,0.4,128381,2.00 78,1,2024-09-07 08:41:30:619,315522,315522,0,0,146534640467,1522399074,313185,2084,253,367,391646,0 78,2,2024-09-07 08:41:31:411,227771,227771,0,0,8362894,0,2114 78,3,2024-09-07 08:41:31:138,1,179,1,0,181,1725,179,0 79,0,2024-09-07 08:41:31:354,45470,0.5,46554,0.7,95251,0.5,123875,2.50 79,1,2024-09-07 08:41:30:579,316271,316271,0,0,147586899428,1527747816,314019,2079,173,369,391682,0 79,2,2024-09-07 08:41:31:084,226924,226924,0,0,8476175,0,3212 79,3,2024-09-07 08:41:30:752,1,179,1,0,418,2964,179,0 80,0,2024-09-07 08:41:31:081,40825,0.6,41959,0.7,80423,0.5,109381,2.00 80,1,2024-09-07 08:41:31:619,314707,314707,0,0,147197758375,1531839818,312174,2369,164,368,391791,0 80,2,2024-09-07 08:41:31:099,226734,226734,0,0,9184196,0,4433 80,3,2024-09-07 08:41:30:582,1,179,8,0,190,2748,179,0 81,0,2024-09-07 08:41:31:562,42092,0.4,43334,0.6,83068,0.4,112019,1.75 81,1,2024-09-07 08:41:31:659,314866,314866,0,0,146498159229,1530733546,312372,2220,274,382,391879,0 81,2,2024-09-07 08:41:31:129,226409,226409,0,0,9559132,0,3993 81,3,2024-09-07 08:41:31:117,1,179,1,0,193,1684,179,0 82,0,2024-09-07 08:41:31:552,48491,0.4,48822,0.6,97765,0.4,130535,1.75 82,1,2024-09-07 08:41:30:591,315645,315641,0,4,147020620099,1532007767,313184,1879,578,381,391558,4 82,2,2024-09-07 08:41:31:691,227066,227066,0,0,8667795,0,3986 82,3,2024-09-07 08:41:31:753,1,179,1,0,227,1933,179,0 83,0,2024-09-07 08:41:31:533,47453,0.7,47460,0.8,94337,0.7,126004,2.00 83,1,2024-09-07 08:41:30:553,315020,315020,0,0,146999581222,1535137043,312391,2406,223,382,391690,0 83,2,2024-09-07 08:41:30:765,226289,226289,0,0,8629652,0,3119 83,3,2024-09-07 08:41:30:761,1,179,5,0,241,1921,179,0 84,0,2024-09-07 08:41:31:788,41060,1.1,40881,1.2,82204,0.9,109819,2.50 84,1,2024-09-07 08:41:31:063,314532,314532,0,0,146823724453,1536259447,311117,2955,460,368,391967,0 84,2,2024-09-07 08:41:30:605,226701,226701,0,0,9946077,0,3801 84,3,2024-09-07 08:41:31:145,1,179,1,0,270,2031,179,0 85,0,2024-09-07 08:41:31:030,40061,0.4,40086,0.6,84960,0.3,110043,2.00 85,1,2024-09-07 08:41:30:585,313947,313947,0,0,146243359713,1547537973,308488,4379,1080,382,392006,0 85,2,2024-09-07 08:41:30:868,226998,226998,0,0,10626621,0,3656 85,3,2024-09-07 08:41:30:696,1,179,18,0,789,2540,179,0 86,0,2024-09-07 08:41:30:900,47425,0.5,48673,0.6,93522,0.4,126665,1.75 86,1,2024-09-07 08:41:30:826,314854,314854,0,0,147300977258,1541564084,310875,3434,545,366,391961,0 86,2,2024-09-07 08:41:30:854,225116,225115,1,0,10971343,0,5004 86,3,2024-09-07 08:41:30:588,1,179,4,0,286,2524,179,0 87,0,2024-09-07 08:41:31:287,47690,1.4,47484,1.1,95500,2.0,127869,2.25 87,1,2024-09-07 08:41:30:550,314792,314792,0,0,146622706335,1534033913,311566,2831,395,366,391788,0 87,2,2024-09-07 08:41:31:067,226490,226490,0,0,9235600,0,3515 87,3,2024-09-07 08:41:31:817,1,179,63,0,335,2987,179,0 88,0,2024-09-07 08:41:31:487,43187,0.6,43384,0.7,86744,0.7,115038,1.75 88,1,2024-09-07 08:41:30:699,313967,313967,0,0,146770832828,1538506840,309909,2884,1174,365,392084,0 88,2,2024-09-07 08:41:30:717,225962,225962,0,0,10524695,0,3583 88,3,2024-09-07 08:41:31:278,1,179,1,0,435,2400,179,0 89,0,2024-09-07 08:41:31:838,42656,0.4,41326,0.6,81866,0.3,110900,1.75 89,1,2024-09-07 08:41:30:593,313031,313031,0,0,146890014936,1550710695,307590,4503,938,382,391866,0 89,2,2024-09-07 08:41:31:137,225890,225890,0,0,10428410,0,2910 89,3,2024-09-07 08:41:31:810,1,179,1,0,325,3285,179,0 90,0,2024-09-07 08:41:31:637,43719,0.3,44640,0.5,91848,0.3,120123,1.75 90,1,2024-09-07 08:41:30:594,315052,315052,0,0,146243281513,1533351877,311814,2979,259,381,391825,0 90,2,2024-09-07 08:41:31:414,225488,225488,0,0,11339948,0,3060 90,3,2024-09-07 08:41:30:935,1,179,1,0,200,1978,179,0 91,0,2024-09-07 08:41:30:938,49146,0.5,47379,0.6,98750,0.4,129815,1.75 91,1,2024-09-07 08:41:30:569,314460,314460,0,0,147431057347,1546763650,310700,3262,498,381,392047,0 91,2,2024-09-07 08:41:31:347,226129,226129,0,0,10587365,0,2445 91,3,2024-09-07 08:41:30:599,1,179,5,0,216,1948,179,0 92,0,2024-09-07 08:41:31:451,46434,0.7,47645,0.8,91008,0.8,122909,1.75 92,1,2024-09-07 08:41:30:616,314948,314948,0,0,146494757612,1529083743,312759,1785,404,382,392136,0 92,2,2024-09-07 08:41:31:380,228076,228076,0,0,8857407,0,2801 92,3,2024-09-07 08:41:31:028,1,179,1,0,167,1559,179,0 93,0,2024-09-07 08:41:30:985,41915,0.4,43002,0.6,82055,0.3,111467,1.75 93,1,2024-09-07 08:41:30:807,314981,314981,0,0,147578849534,1539453140,311854,2575,552,366,391692,0 93,2,2024-09-07 08:41:30:928,226548,226548,0,0,8929641,0,2509 93,3,2024-09-07 08:41:31:427,1,179,1,0,143,1745,179,0 94,0,2024-09-07 08:41:31:622,42573,0.3,43052,0.4,85478,0.2,113703,1.50 94,1,2024-09-07 08:41:30:580,314605,314605,0,0,146815707312,1533923553,312152,2357,96,381,391850,0 94,2,2024-09-07 08:41:30:765,226651,226651,0,0,8690406,0,2443 94,3,2024-09-07 08:41:31:690,1,179,0,0,264,2519,179,0 95,0,2024-09-07 08:41:31:356,49053,0.3,48769,0.5,98389,0.3,129886,1.75 95,1,2024-09-07 08:41:30:858,315368,315368,0,0,147144631373,1529299454,312976,2208,184,367,391662,0 95,2,2024-09-07 08:41:31:022,226650,226650,0,0,8951273,0,3308 95,3,2024-09-07 08:41:31:720,1,179,1,0,718,3239,179,0 96,0,2024-09-07 08:41:31:107,47657,0.5,47843,0.6,95709,0.5,126657,1.75 96,1,2024-09-07 08:41:31:614,314877,314877,0,0,146936152007,1536250573,312261,1972,644,385,391894,0 96,2,2024-09-07 08:41:31:281,226342,226342,0,0,9493712,0,4042 96,3,2024-09-07 08:41:31:153,1,179,4,0,188,1942,179,0 97,0,2024-09-07 08:41:31:390,40722,0.4,40500,0.5,81172,0.4,107991,1.75 97,1,2024-09-07 08:41:30:791,315784,315784,0,0,147793501619,1536189764,312973,2260,551,367,392140,0 97,2,2024-09-07 08:41:30:609,226676,226676,0,0,8796893,0,3036 97,3,2024-09-07 08:41:30:571,1,179,7,0,165,2065,179,0 98,0,2024-09-07 08:41:31:719,41896,0.2,41804,0.4,83899,0.2,111909,1.50 98,1,2024-09-07 08:41:30:579,315555,315555,0,0,146613381936,1527802404,313727,1735,93,382,391997,0 98,2,2024-09-07 08:41:30:779,227649,227649,0,0,9101974,0,3080 98,3,2024-09-07 08:41:30:709,1,179,1,0,840,3319,179,0 99,0,2024-09-07 08:41:31:456,47972,0.3,48000,0.4,95833,0.2,128231,1.50 99,1,2024-09-07 08:41:31:729,315592,315592,0,0,146974041550,1534137231,312517,2378,697,381,391744,0 99,2,2024-09-07 08:41:31:417,228373,228373,0,0,8930859,0,2615 99,3,2024-09-07 08:41:30:586,1,179,1,0,129,1293,179,0 100,0,2024-09-07 08:41:31:501,47715,1.1,47712,1.2,95299,1.9,127683,2.50 100,1,2024-09-07 08:41:30:566,313074,313074,0,0,146469322484,1551402871,307810,4331,933,381,391989,0 100,2,2024-09-07 08:41:31:823,225643,225632,11,0,10147596,0,5417 100,3,2024-09-07 08:41:31:763,1,179,3,0,559,3785,179,0 101,0,2024-09-07 08:41:31:728,42705,2.7,41628,1.5,81575,3.0,112419,2.75 101,1,2024-09-07 08:41:30:566,313895,313895,0,0,146808140359,1549910188,308520,3896,1479,368,391769,0 101,2,2024-09-07 08:41:31:791,225938,225938,0,0,11226670,0,4644 101,3,2024-09-07 08:41:30:977,1,179,7,0,448,2136,179,0 102,0,2024-09-07 08:41:30:961,40750,0.5,42100,0.7,84684,0.4,111175,2.00 102,1,2024-09-07 08:41:31:150,314358,314358,0,0,147200355780,1546890119,310262,3383,713,369,391883,0 102,2,2024-09-07 08:41:31:749,226700,226646,54,0,10580557,0,6768 102,3,2024-09-07 08:41:31:615,1,179,1,0,410,1782,179,0 103,0,2024-09-07 08:41:31:600,47837,0.4,47757,0.6,90163,0.3,124366,1.75 103,1,2024-09-07 08:41:31:638,313621,313621,0,0,146701265987,1545250710,309168,3243,1210,381,391829,0 103,2,2024-09-07 08:41:30:587,225890,225890,0,0,9498054,0,2104 103,3,2024-09-07 08:41:30:755,1,179,2,0,916,3090,179,0 104,0,2024-09-07 08:41:31:050,48185,1.1,48464,1.1,95780,1.3,129338,2.25 104,1,2024-09-07 08:41:31:631,315018,315018,0,0,146601352576,1543343378,309996,4054,968,365,391948,0 104,2,2024-09-07 08:41:31:673,226425,226425,0,0,10373752,0,3941 104,3,2024-09-07 08:41:31:420,1,179,2,1,1245,5241,179,0 105,0,2024-09-07 08:41:31:145,43987,1.5,42676,1.4,89305,2.5,117830,3.50 105,1,2024-09-07 08:41:30:601,315419,315419,0,0,146732601873,1537849268,311201,3441,777,367,391797,0 105,2,2024-09-07 08:41:31:331,225462,225462,0,0,9750431,0,3509 105,3,2024-09-07 08:41:31:313,1,179,1,0,399,2659,179,0 106,0,2024-09-07 08:41:30:969,40191,0.6,41333,0.8,84160,0.6,109751,2.00 106,1,2024-09-07 08:41:31:776,315104,315104,0,0,146787697654,1537971504,311308,3412,384,369,391767,0 106,2,2024-09-07 08:41:30:769,225278,225278,0,0,9870628,0,2795 106,3,2024-09-07 08:41:30:679,1,179,5,0,405,2457,179,0 107,0,2024-09-07 08:41:31:128,43840,0.4,43873,0.6,87323,0.3,117035,1.75 107,1,2024-09-07 08:41:30:592,314240,314240,0,0,146330576553,1536176420,311236,2773,231,381,392234,0 107,2,2024-09-07 08:41:31:301,226457,226456,1,0,10050066,0,5024 107,3,2024-09-07 08:41:31:763,1,179,14,0,353,2603,179,0 108,0,2024-09-07 08:41:31:931,48667,0.4,48737,0.6,96728,0.4,128890,1.75 108,1,2024-09-07 08:41:31:299,314608,314608,0,0,147282781381,1536200219,311936,2358,314,368,391857,0 108,2,2024-09-07 08:41:31:772,225624,225624,0,0,9485303,0,2647 108,3,2024-09-07 08:41:31:371,1,179,7,0,667,3413,179,0 109,0,2024-09-07 08:41:31:946,47163,0.4,46768,0.6,93804,0.4,125684,1.75 109,1,2024-09-07 08:41:30:590,314467,314467,0,0,147194307992,1543567496,311680,2291,496,383,392132,0 109,2,2024-09-07 08:41:30:960,225794,225794,0,0,9680568,0,3617 109,3,2024-09-07 08:41:31:168,1,179,10,0,249,2371,179,0 110,0,2024-09-07 08:41:31:777,41143,0.4,40011,0.6,83456,0.3,109659,1.75 110,1,2024-09-07 08:41:31:658,315694,315694,0,0,147821723539,1536445992,313071,1856,767,370,391667,0 110,2,2024-09-07 08:41:31:303,226788,226788,0,0,8635412,0,2915 110,3,2024-09-07 08:41:30:697,1,179,3,0,406,2423,179,0 111,0,2024-09-07 08:41:31:489,42367,0.2,41864,0.4,83681,0.1,112322,1.50 111,1,2024-09-07 08:41:31:008,316053,316053,0,0,147965327957,1534411764,314273,1447,333,382,391690,0 111,2,2024-09-07 08:41:31:128,226245,226245,0,0,9449046,0,2763 111,3,2024-09-07 08:41:30:913,1,179,0,0,379,2785,179,0 112,0,2024-09-07 08:41:30:930,48865,0.3,48809,0.4,97676,0.2,130555,1.50 112,1,2024-09-07 08:41:30:825,316103,316103,0,0,146732814680,1524535636,313774,1903,426,380,391580,0 112,2,2024-09-07 08:41:31:146,226658,226657,1,0,9443751,0,5036 112,3,2024-09-07 08:41:30:592,1,179,7,0,282,1943,179,0 113,0,2024-09-07 08:41:30:876,47202,0.4,47209,0.5,95000,0.3,127095,1.75 113,1,2024-09-07 08:41:31:692,315952,315952,0,0,148102776615,1532408110,313533,1931,488,366,391661,0 113,2,2024-09-07 08:41:31:303,227363,227363,0,0,8271490,0,3813 113,3,2024-09-07 08:41:30:684,1,179,1,0,340,2830,179,0 114,0,2024-09-07 08:41:30:875,41868,0.6,42469,0.6,83439,0.4,111625,1.75 114,1,2024-09-07 08:41:30:724,314678,314678,0,0,146941969164,1535990014,310545,2670,1463,381,391534,0 114,2,2024-09-07 08:41:30:877,226832,226832,0,0,8745824,0,3925 114,3,2024-09-07 08:41:31:280,1,179,2,0,395,2072,179,0 115,0,2024-09-07 08:41:30:566,41381,0.2,41850,0.4,83470,0.1,110711,1.50 115,1,2024-09-07 08:41:30:577,315134,315134,0,0,146841641884,1529983531,311488,2825,821,382,391602,0 115,2,2024-09-07 08:41:31:132,227820,227820,0,0,8093823,0,2152 115,3,2024-09-07 08:41:31:007,1,179,4,0,159,1106,179,0 116,0,2024-09-07 08:41:31:709,47533,0.5,47107,0.7,94703,0.5,126374,1.75 116,1,2024-09-07 08:41:30:827,312551,312551,0,0,146612277925,1554919933,307626,3167,1758,380,391677,0 116,2,2024-09-07 08:41:31:764,225434,225434,0,0,11197064,0,3529 116,3,2024-09-07 08:41:30:950,1,179,8,0,252,2519,179,0 117,0,2024-09-07 08:41:31:117,47646,1.2,47789,1.0,95407,1.6,127893,2.00 117,1,2024-09-07 08:41:31:604,314651,314651,0,0,146208367495,1531638393,310966,3255,430,370,392033,0 117,2,2024-09-07 08:41:31:121,228345,228345,0,0,9033745,0,3700 117,3,2024-09-07 08:41:31:066,1,179,1,0,490,3431,179,0 118,0,2024-09-07 08:41:31:768,41992,0.9,43284,0.9,87785,1.0,114374,2.25 118,1,2024-09-07 08:41:30:589,314597,314597,0,0,146553508319,1543356468,309736,3536,1325,366,391736,0 118,2,2024-09-07 08:41:31:590,226083,226083,0,0,10157202,0,2781 118,3,2024-09-07 08:41:31:784,1,179,5,0,235,2229,179,0 119,0,2024-09-07 08:41:31:383,41072,0.6,41345,0.8,83312,0.5,110056,2.00 119,1,2024-09-07 08:41:30:550,315351,315351,0,0,147014858845,1532744500,312857,2203,291,369,391641,0 119,2,2024-09-07 08:41:31:266,225991,225991,0,0,9461865,0,3526 119,3,2024-09-07 08:41:31:330,1,179,6,0,443,3025,179,0 120,0,2024-09-07 08:41:31:618,44795,0.4,44822,0.6,89719,0.3,120200,1.75 120,1,2024-09-07 08:41:30:885,315437,315437,0,0,146385694214,1534887841,312131,3043,263,368,391961,0 120,2,2024-09-07 08:41:30:799,225882,225881,1,0,11681158,0,5281 120,3,2024-09-07 08:41:31:294,1,179,2,0,241,2466,179,0 121,0,2024-09-07 08:41:31:698,48270,1.3,48733,1.1,97057,1.9,129213,2.25 121,1,2024-09-07 08:41:31:666,314792,314792,0,0,146782252441,1534226507,312012,2490,290,367,391840,0 121,2,2024-09-07 08:41:31:140,225980,225980,0,0,10612368,0,4127 121,3,2024-09-07 08:41:30:733,1,179,9,0,269,2339,179,0 122,0,2024-09-07 08:41:31:761,45848,1.1,44701,1.1,93697,1.3,122953,2.25 122,1,2024-09-07 08:41:30:875,313981,313981,0,0,147188673025,1544279691,309828,3444,709,366,392130,0 122,2,2024-09-07 08:41:31:321,226095,226095,0,0,11552208,0,3364 122,3,2024-09-07 08:41:30:606,1,179,4,0,411,3869,179,0 123,0,2024-09-07 08:41:30:967,41712,0.7,40714,0.8,84925,0.8,111055,2.00 123,1,2024-09-07 08:41:30:560,314924,314924,0,0,147319553221,1547845743,309844,4386,694,369,391823,0 123,2,2024-09-07 08:41:31:272,224703,224702,1,0,10335572,0,5215 123,3,2024-09-07 08:41:31:156,1,179,2,0,168,2149,179,0 124,0,2024-09-07 08:41:30:971,43955,0.3,43915,0.4,82964,0.2,113980,1.50 124,1,2024-09-07 08:41:31:035,315577,315577,0,0,146745656420,1524001741,313482,1739,356,367,392178,0 124,2,2024-09-07 08:41:31:035,227053,227053,0,0,8743978,0,3101 124,3,2024-09-07 08:41:30:764,1,179,1,0,490,2322,179,0 125,0,2024-09-07 08:41:31:503,48937,0.4,48883,0.5,97933,0.3,130014,1.75 125,1,2024-09-07 08:41:30:859,315333,315333,0,0,146994886239,1534187733,312958,2098,277,384,391702,0 125,2,2024-09-07 08:41:31:123,227518,227518,0,0,8640099,0,2180 125,3,2024-09-07 08:41:31:138,1,179,1,0,284,2196,179,0 126,0,2024-09-07 08:41:31:457,47760,0.7,49043,0.7,93699,0.7,127454,1.75 126,1,2024-09-07 08:41:30:553,315786,315786,0,0,147643299465,1529583586,314142,1566,78,365,391987,0 126,2,2024-09-07 08:41:30:626,227393,227393,0,0,8995008,0,3186 126,3,2024-09-07 08:41:30:921,1,179,2,0,150,2534,179,0 127,0,2024-09-07 08:41:31:605,40441,0.4,40651,0.5,81018,0.4,107976,1.75 127,1,2024-09-07 08:41:30:577,314937,314937,0,0,148038480617,1532961736,312855,2041,41,365,391816,0 127,2,2024-09-07 08:41:30:639,226736,226736,0,0,8501106,0,2264 127,3,2024-09-07 08:41:31:279,1,179,1,0,243,1561,179,0 128,0,2024-09-07 08:41:31:607,42079,0.3,41938,0.4,83871,0.2,111940,1.50 128,1,2024-09-07 08:41:31:616,315892,315892,0,0,147714842665,1528698130,314908,912,72,367,391680,0 128,2,2024-09-07 08:41:31:446,226449,226449,0,0,8587737,0,2107 128,3,2024-09-07 08:41:30:787,1,179,0,0,333,2447,179,0 129,0,2024-09-07 08:41:31:025,48315,0.3,48075,0.4,96149,0.2,127893,1.50 129,1,2024-09-07 08:41:30:568,313638,313638,0,0,146699765708,1535782307,310691,2552,395,379,391835,0 129,2,2024-09-07 08:41:30:687,226608,226608,0,0,8885996,0,4031 129,3,2024-09-07 08:41:30:693,1,179,1,0,173,2035,179,0 130,0,2024-09-07 08:41:31:737,48096,0.7,47898,0.7,96209,0.9,128651,1.75 130,1,2024-09-07 08:41:30:592,315348,315348,0,0,147282063715,1533754674,313228,2047,73,381,391825,0 130,2,2024-09-07 08:41:31:132,227742,227742,0,0,8743465,0,4067 130,3,2024-09-07 08:41:31:294,1,179,5,0,450,2080,179,0 131,0,2024-09-07 08:41:31:931,42015,0.5,42288,0.6,85406,0.6,112591,1.75 131,1,2024-09-07 08:41:31:828,316096,316096,0,0,147067892076,1529106251,314620,1270,206,383,391865,0 131,2,2024-09-07 08:41:30:577,227227,227227,0,0,8470411,0,2415 131,3,2024-09-07 08:41:31:688,1,179,4,0,392,1921,179,0 132,0,2024-09-07 08:41:31:443,41408,0.4,41955,0.7,83870,0.3,111692,1.75 132,1,2024-09-07 08:41:30:581,313870,313870,0,0,146168788703,1543860550,308446,4392,1032,381,392097,0 132,2,2024-09-07 08:41:30:714,226098,226098,0,0,11244197,0,4606 132,3,2024-09-07 08:41:31:700,1,179,13,0,356,3148,179,0 133,0,2024-09-07 08:41:31:585,45236,0.4,46321,0.5,94728,0.3,123491,1.75 133,1,2024-09-07 08:41:30:588,313354,313354,0,0,146770851754,1547195332,308837,3875,642,383,391914,0 133,2,2024-09-07 08:41:31:092,225738,225738,0,0,11295567,0,4315 133,3,2024-09-07 08:41:31:303,1,179,5,0,187,1488,179,0 134,0,2024-09-07 08:41:30:993,48467,0.5,48438,0.7,97423,0.5,129265,2.00 134,1,2024-09-07 08:41:30:590,314459,314459,0,0,146668743239,1537856239,310057,3175,1227,366,391718,0 134,2,2024-09-07 08:41:31:755,226598,226598,0,0,9602267,0,3096 134,3,2024-09-07 08:41:30:757,1,179,7,0,739,3102,179,0 135,0,2024-09-07 08:41:31:119,43119,1.4,43125,1.2,91415,1.6,117391,2.50 135,1,2024-09-07 08:41:31:632,313658,313658,0,0,146456210767,1540028835,309460,3494,704,380,391805,0 135,2,2024-09-07 08:41:30:691,226433,226433,0,0,10392297,0,3981 135,3,2024-09-07 08:41:31:037,1,179,1,0,89,1023,179,0 136,0,2024-09-07 08:41:31:671,41738,0.5,41664,0.7,83728,0.4,110704,2.00 136,1,2024-09-07 08:41:31:449,314374,314374,0,0,146383091633,1533234379,311015,3184,175,383,391641,0 136,2,2024-09-07 08:41:31:138,226939,226939,0,0,9912017,0,3506 136,3,2024-09-07 08:41:31:122,1,179,2,0,108,1387,179,0 137,0,2024-09-07 08:41:30:929,45009,0.4,43622,0.6,86288,0.3,116973,1.75 137,1,2024-09-07 08:41:30:587,314517,314517,0,0,146324280936,1530176825,310374,3722,421,366,391708,0 137,2,2024-09-07 08:41:31:711,226448,226448,0,0,11311364,0,3185 137,3,2024-09-07 08:41:30:782,1,179,1,0,227,1999,179,0 138,0,2024-09-07 08:41:31:781,48057,1.1,48045,1.0,96786,1.4,128588,2.25 138,1,2024-09-07 08:41:31:687,314875,314875,0,0,147121520244,1536059572,311787,2735,353,368,391954,0 138,2,2024-09-07 08:41:30:592,227209,227209,0,0,9335526,0,3263 138,3,2024-09-07 08:41:30:624,1,179,2,0,1160,3248,179,0 139,0,2024-09-07 08:41:31:361,45933,2.7,46101,1.6,93012,4.0,124141,3.00 139,1,2024-09-07 08:41:30:581,313214,313214,0,0,145906911527,1546104679,307926,3961,1327,381,391892,0 139,2,2024-09-07 08:41:30:697,224821,224821,0,0,10540449,0,3097 139,3,2024-09-07 08:41:31:662,1,179,10,0,244,1928,179,0 140,0,2024-09-07 08:41:31:605,41379,0.3,40911,0.5,82519,0.3,109780,1.75 140,1,2024-09-07 08:41:31:541,316117,316117,0,0,148023626712,1525600713,314596,1281,240,365,391606,0 140,2,2024-09-07 08:41:30:694,226885,226885,0,0,8997486,0,3388 140,3,2024-09-07 08:41:30:768,1,179,1,0,247,1482,179,0 141,0,2024-09-07 08:41:31:721,42054,0.2,43116,0.4,82578,0.1,112321,1.50 141,1,2024-09-07 08:41:30:866,316044,316044,0,0,148178927302,1536690503,314090,1601,353,379,391614,0 141,2,2024-09-07 08:41:31:686,227215,227215,0,0,8475582,0,2342 141,3,2024-09-07 08:41:31:050,1,179,1,0,147,1379,179,0 142,0,2024-09-07 08:41:31:333,49270,0.3,49014,0.5,97269,0.2,130864,1.50 142,1,2024-09-07 08:41:30:589,315054,315054,0,0,146987469306,1530566794,313499,1394,161,383,391728,0 142,2,2024-09-07 08:41:31:312,225943,225911,32,0,9899816,0,6028 142,3,2024-09-07 08:41:31:754,1,179,5,0,484,2431,179,0 143,0,2024-09-07 08:41:31:385,47436,0.6,47401,0.7,95582,0.7,126974,1.75 143,1,2024-09-07 08:41:30:559,315490,315490,0,0,146834787335,1523021565,313363,2083,44,367,391619,0 143,2,2024-09-07 08:41:30:783,226490,226490,0,0,9044305,0,2669 143,3,2024-09-07 08:41:31:148,1,179,1,0,303,2700,179,0 144,0,2024-09-07 08:41:31:501,40047,0.8,41285,1.2,83746,0.7,110100,2.25 144,1,2024-09-07 08:41:30:587,313853,313853,0,0,146449857163,1534951958,311447,2202,204,381,391649,0 144,2,2024-09-07 08:41:31:763,227057,227057,0,0,9025765,0,3473 144,3,2024-09-07 08:41:31:764,1,179,3,0,249,2187,179,0 145,0,2024-09-07 08:41:31:369,40184,0.5,40171,0.7,85180,0.4,110236,2.00 145,1,2024-09-07 08:41:30:552,313029,313029,0,0,146497457752,1542378818,308627,3665,737,382,391615,0 145,2,2024-09-07 08:41:31:430,226182,226182,0,0,9881048,0,3903 145,3,2024-09-07 08:41:30:896,1,179,4,0,151,1991,179,0 146,0,2024-09-07 08:41:31:664,47330,0.4,46930,0.6,94754,0.3,126103,2.00 146,1,2024-09-07 08:41:31:596,315217,315217,0,0,147280085555,1542810115,310689,3667,861,368,391629,0 146,2,2024-09-07 08:41:31:699,226030,226030,0,0,9652747,0,2498 146,3,2024-09-07 08:41:31:283,1,179,7,0,1520,5246,179,0 147,0,2024-09-07 08:41:31:702,47753,0.8,47683,0.9,94820,0.7,127507,2.25 147,1,2024-09-07 08:41:31:379,315926,315926,0,0,146823757938,1528056200,312814,2614,498,368,391791,0 147,2,2024-09-07 08:41:31:047,228376,228376,0,0,8900645,0,2789 147,3,2024-09-07 08:41:30:921,1,179,10,0,371,2109,179,0 0,0,2024-09-07 08:41:41:722,44110,0.4,44015,0.6,93376,0.3,121315,1.75 0,1,2024-09-07 08:41:40:804,316631,316631,0,0,148429844681,1551103148,314659,1835,137,372,391772,0 0,2,2024-09-07 08:41:41:090,227415,227415,0,0,9367715,0,4480 0,3,2024-09-07 08:41:40:979,1,180,0,0,247,2239,180,0 1,0,2024-09-07 08:41:41:756,48860,1.2,48461,1.1,97330,1.6,129754,2.25 1,1,2024-09-07 08:41:40:564,316356,316356,0,0,147267555574,1544102063,312906,2582,868,371,391857,0 1,2,2024-09-07 08:41:40:644,227114,227114,0,0,8657981,0,3267 1,3,2024-09-07 08:41:41:314,1,180,6,0,262,2087,180,0 2,0,2024-09-07 08:41:41:571,45970,1.0,46288,1.0,91790,1.4,122315,2.25 2,1,2024-09-07 08:41:40:866,316531,316531,0,0,147964059984,1537021431,315021,1304,206,380,391745,0 2,2,2024-09-07 08:41:41:266,227378,227378,0,0,9097174,0,3594 2,3,2024-09-07 08:41:40:690,1,180,8,0,357,1761,180,0 3,0,2024-09-07 08:41:41:746,41622,0.4,41901,0.7,83392,0.4,110993,2.00 3,1,2024-09-07 08:41:41:629,316164,316164,0,0,147740245825,1540674624,313053,2687,424,380,391516,0 3,2,2024-09-07 08:41:41:143,227629,227606,23,0,9246963,0,5851 3,3,2024-09-07 08:41:41:751,1,180,1,0,103,1155,180,0 4,0,2024-09-07 08:41:41:767,41705,0.3,42869,0.4,87170,0.2,114844,1.50 4,1,2024-09-07 08:41:40:600,316613,316613,0,0,147655505007,1549291349,313604,2486,523,371,391846,0 4,2,2024-09-07 08:41:41:020,226839,226839,0,0,10848738,0,4528 4,3,2024-09-07 08:41:41:029,1,180,2,0,448,2742,180,0 5,0,2024-09-07 08:41:41:419,49035,0.4,49039,0.6,97875,0.4,130017,1.75 5,1,2024-09-07 08:41:40:764,316072,316072,0,0,147647006148,1553560124,311845,3238,989,368,392005,0 5,2,2024-09-07 08:41:41:852,226768,226768,0,0,9437563,0,2259 5,3,2024-09-07 08:41:41:741,1,180,33,0,238,2580,180,0 6,0,2024-09-07 08:41:40:926,48284,0.8,47739,0.9,95194,1.0,127897,2.25 6,1,2024-09-07 08:41:40:746,316953,316953,0,0,147160908739,1539273078,313551,2754,648,379,391634,0 6,2,2024-09-07 08:41:41:116,227571,227571,0,0,9525213,0,4816 6,3,2024-09-07 08:41:41:274,1,180,1,0,340,2373,180,0 7,0,2024-09-07 08:41:41:536,40315,0.5,40223,0.7,80455,0.4,107452,2.00 7,1,2024-09-07 08:41:40:852,316687,316687,0,0,148298618348,1550000994,313864,2690,133,382,391664,0 7,2,2024-09-07 08:41:40:771,227315,227315,0,0,8743606,0,2981 7,3,2024-09-07 08:41:40:858,1,180,0,0,305,1732,180,0 8,0,2024-09-07 08:41:41:409,42045,0.3,42363,0.4,84276,0.2,112938,1.50 8,1,2024-09-07 08:41:41:027,315666,315666,0,0,147716374723,1556767179,310051,4014,1601,367,391956,0 8,2,2024-09-07 08:41:40:824,224910,224910,0,0,10609394,0,2986 8,3,2024-09-07 08:41:40:592,1,180,18,0,357,2706,180,0 9,0,2024-09-07 08:41:41:126,48445,0.3,46893,0.5,98020,0.3,128768,1.50 9,1,2024-09-07 08:41:40:580,315945,315945,0,0,148331255837,1562080886,311375,3437,1133,370,392001,0 9,2,2024-09-07 08:41:41:086,227707,227707,0,0,9884210,0,3360 9,3,2024-09-07 08:41:41:754,1,180,1,0,496,2809,180,0 10,0,2024-09-07 08:41:41:607,48427,0.4,48057,0.5,96609,0.3,128811,1.75 10,1,2024-09-07 08:41:40:583,316498,316498,0,0,148027574762,1550919211,312023,3832,643,381,391741,0 10,2,2024-09-07 08:41:40:766,228180,228180,0,0,9955264,0,2940 10,3,2024-09-07 08:41:40:882,1,180,15,0,177,1266,180,0 11,0,2024-09-07 08:41:41:040,41580,0.6,40469,0.9,84797,0.7,112862,2.00 11,1,2024-09-07 08:41:40:573,316683,316683,0,0,147811115853,1551977154,311365,4048,1270,384,391537,0 11,2,2024-09-07 08:41:41:123,227808,227808,0,0,9658818,0,3411 11,3,2024-09-07 08:41:41:298,1,180,7,0,720,2912,180,0 12,0,2024-09-07 08:41:40:972,42409,0.3,42108,0.5,84520,0.2,112502,1.50 12,1,2024-09-07 08:41:40:940,316622,316622,0,0,147319014197,1537781379,313716,2491,415,370,391837,0 12,2,2024-09-07 08:41:41:545,228790,228790,0,0,10053900,0,3469 12,3,2024-09-07 08:41:41:060,1,180,1,0,358,2794,180,0 13,0,2024-09-07 08:41:41:374,46968,0.3,46874,0.5,93677,0.3,125300,1.50 13,1,2024-09-07 08:41:41:524,316255,316255,0,0,147532079065,1546687191,313819,2026,410,382,391717,0 13,2,2024-09-07 08:41:40:608,228416,228416,0,0,9226156,0,3287 13,3,2024-09-07 08:41:41:762,1,180,1,0,467,3439,180,0 14,0,2024-09-07 08:41:40:561,48917,0.4,49218,0.6,97453,0.4,129574,1.75 14,1,2024-09-07 08:41:41:560,318208,318208,0,0,148175835763,1534981755,315941,2104,163,364,391571,0 14,2,2024-09-07 08:41:40:766,227995,227995,0,0,9356283,0,2896 14,3,2024-09-07 08:41:41:115,1,180,5,0,1168,3022,180,0 15,0,2024-09-07 08:41:41:556,44348,0.8,44394,1.0,89086,0.9,118261,2.50 15,1,2024-09-07 08:41:41:607,316413,316413,0,0,147784080721,1536292839,314495,1808,110,381,391619,0 15,2,2024-09-07 08:41:41:002,228462,228462,0,0,7785177,0,3043 15,3,2024-09-07 08:41:41:409,1,180,4,0,1126,4565,180,0 16,0,2024-09-07 08:41:41:000,41730,0.5,41931,0.7,83350,0.4,110780,2.00 16,1,2024-09-07 08:41:40:583,316832,316832,0,0,147353580026,1540396561,314432,2138,262,370,391756,0 16,2,2024-09-07 08:41:41:455,227002,227002,0,0,10404484,0,4719 16,3,2024-09-07 08:41:41:146,1,180,2,0,231,2395,180,0 17,0,2024-09-07 08:41:41:848,45486,0.4,44443,0.6,87102,0.4,118217,1.75 17,1,2024-09-07 08:41:40:583,315764,315764,0,0,147745206162,1551798988,312346,2743,675,368,391688,0 17,2,2024-09-07 08:41:41:678,229840,229840,0,0,9252774,0,2857 17,3,2024-09-07 08:41:40:581,1,180,26,0,268,2561,180,0 18,0,2024-09-07 08:41:40:945,47841,0.9,48115,0.9,96268,1.0,128163,2.50 18,1,2024-09-07 08:41:41:643,316837,316837,0,0,147772748170,1532739430,314804,1799,234,367,391564,0 18,2,2024-09-07 08:41:41:760,228146,228146,0,0,9100493,0,3541 18,3,2024-09-07 08:41:40:897,1,180,4,0,163,1907,180,0 19,0,2024-09-07 08:41:41:573,46724,1.0,47169,1.0,93066,1.1,124108,2.50 19,1,2024-09-07 08:41:40:575,316592,316592,0,0,148470783906,1545489406,312777,3099,716,367,391777,0 19,2,2024-09-07 08:41:41:753,228725,228725,0,0,8279423,0,3988 19,3,2024-09-07 08:41:41:129,1,180,1,0,524,1547,180,0 20,0,2024-09-07 08:41:41:417,41240,0.6,41125,0.7,82620,0.5,110217,2.25 20,1,2024-09-07 08:41:40:653,315813,315813,0,0,148176439600,1552346939,312331,3088,394,369,391822,0 20,2,2024-09-07 08:41:40:944,227488,227488,0,0,9463363,0,3721 20,3,2024-09-07 08:41:40:598,1,180,72,0,414,3541,180,0 21,0,2024-09-07 08:41:41:134,42510,0.3,42644,0.5,84809,0.3,112502,1.75 21,1,2024-09-07 08:41:41:536,315819,315819,0,0,146640191361,1542292403,312139,3146,534,368,391962,0 21,2,2024-09-07 08:41:41:089,227849,227849,0,0,10491182,0,3747 21,3,2024-09-07 08:41:41:422,1,180,5,0,103,2163,180,0 22,0,2024-09-07 08:41:41:723,49166,0.7,49200,0.8,97999,0.7,131153,2.25 22,1,2024-09-07 08:41:41:022,315746,315746,0,0,147510963344,1554154985,310201,4036,1509,382,391667,0 22,2,2024-09-07 08:41:40:766,227673,227673,0,0,8846032,0,3134 22,3,2024-09-07 08:41:41:066,1,180,2,0,228,1856,180,0 23,0,2024-09-07 08:41:41:379,47425,0.8,47453,0.9,95262,1.0,127273,2.50 23,1,2024-09-07 08:41:41:007,316890,316890,0,0,148739360804,1554241843,312761,2647,1482,365,391549,0 23,2,2024-09-07 08:41:41:091,227175,227175,0,0,9043053,0,3010 23,3,2024-09-07 08:41:41:753,1,180,3,0,645,1914,180,0 24,0,2024-09-07 08:41:40:840,42088,0.5,42163,0.6,84318,0.5,111724,1.75 24,1,2024-09-07 08:41:40:583,316638,316638,0,0,147223804152,1538819621,313667,2171,800,369,391640,0 24,2,2024-09-07 08:41:41:083,227095,227095,0,0,10401393,0,2942 24,3,2024-09-07 08:41:41:686,1,180,59,0,234,2014,180,0 25,0,2024-09-07 08:41:41:433,42867,0.3,41984,0.5,82034,0.3,111685,1.75 25,1,2024-09-07 08:41:40:625,316261,316261,0,0,147907254577,1550187826,312560,3158,543,371,391788,0 25,2,2024-09-07 08:41:41:606,227063,227063,0,0,10800309,0,3978 25,3,2024-09-07 08:41:41:001,1,180,0,0,255,2182,180,0 26,0,2024-09-07 08:41:41:728,47500,0.3,46527,0.5,97391,0.2,127378,1.75 26,1,2024-09-07 08:41:41:561,316926,316926,0,0,147224786809,1543305871,312260,3765,901,381,391748,0 26,2,2024-09-07 08:41:40:863,228332,228332,0,0,9923087,0,2809 26,3,2024-09-07 08:41:41:714,1,180,20,0,796,2298,180,0 27,0,2024-09-07 08:41:41:729,48314,0.5,48258,0.7,95695,0.5,127952,1.75 27,1,2024-09-07 08:41:41:675,318192,318192,0,0,148739510148,1542968406,316371,1498,323,381,391558,0 27,2,2024-09-07 08:41:40:868,226921,226921,0,0,10291981,0,3409 27,3,2024-09-07 08:41:41:015,1,180,1,0,564,1995,180,0 28,0,2024-09-07 08:41:41:415,42965,0.7,43095,0.8,86799,1.0,115263,2.25 28,1,2024-09-07 08:41:40:800,317462,317462,0,0,148779708433,1548814316,315292,1833,337,383,391646,0 28,2,2024-09-07 08:41:41:764,228414,228414,0,0,8299673,0,2915 28,3,2024-09-07 08:41:41:785,1,180,1,0,502,2116,180,0 29,0,2024-09-07 08:41:41:362,42540,0.3,41608,0.5,81230,0.2,111076,1.75 29,1,2024-09-07 08:41:41:566,318076,318076,0,0,148442523759,1536980104,315642,1891,543,369,391621,0 29,2,2024-09-07 08:41:40:880,227335,227335,0,0,8140189,0,4018 29,3,2024-09-07 08:41:40:971,1,180,1,0,115,1405,180,0 30,0,2024-09-07 08:41:41:460,45275,0.4,44039,0.6,92262,0.3,121836,2.00 30,1,2024-09-07 08:41:40:573,317201,317201,0,0,147736085879,1533174314,315203,1720,278,382,391672,0 30,2,2024-09-07 08:41:41:275,228626,228626,0,0,8165865,0,3161 30,3,2024-09-07 08:41:40:581,1,180,2,0,195,1246,180,0 31,0,2024-09-07 08:41:41:767,48188,0.5,48655,0.7,97764,0.5,129493,2.00 31,1,2024-09-07 08:41:40:564,318892,318892,0,0,149718659394,1532708111,318088,692,112,356,391712,0 31,2,2024-09-07 08:41:41:275,227540,227540,0,0,9837710,0,3525 31,3,2024-09-07 08:41:41:706,1,180,2,0,220,1519,180,0 32,0,2024-09-07 08:41:41:428,45942,0.5,46359,0.6,92929,0.4,123052,1.75 32,1,2024-09-07 08:41:40:804,317343,317343,0,0,148315213814,1539411870,315588,1479,276,382,391595,0 32,2,2024-09-07 08:41:40:935,228013,228013,0,0,8125670,0,3155 32,3,2024-09-07 08:41:41:015,1,180,8,0,227,1515,180,0 33,0,2024-09-07 08:41:41:499,42280,0.3,41607,0.5,84108,0.2,111759,1.75 33,1,2024-09-07 08:41:40:605,317883,317883,0,0,148709707018,1538386351,315620,2091,172,369,391730,0 33,2,2024-09-07 08:41:40:762,227752,227719,33,0,10107324,0,7012 33,3,2024-09-07 08:41:40:897,1,180,1,0,329,2007,180,0 34,0,2024-09-07 08:41:40:935,43168,0.3,44510,0.4,85311,0.2,115169,1.50 34,1,2024-09-07 08:41:41:051,318118,318118,0,0,148433917008,1527849301,317120,992,6,367,391562,0 34,2,2024-09-07 08:41:40:770,227300,227300,0,0,9189142,0,3577 34,3,2024-09-07 08:41:41:688,1,180,2,0,148,1166,180,0 35,0,2024-09-07 08:41:40:871,48533,0.4,49083,0.5,98097,0.3,130328,1.75 35,1,2024-09-07 08:41:41:070,316543,316543,0,0,147928369671,1536198518,313719,2054,770,384,391589,0 35,2,2024-09-07 08:41:41:583,228511,228511,0,0,8337597,0,2653 35,3,2024-09-07 08:41:40:910,1,180,7,0,418,2005,180,0 36,0,2024-09-07 08:41:41:538,47923,0.9,47840,0.9,95926,1.0,127475,2.50 36,1,2024-09-07 08:41:40:582,316989,316989,0,0,147354446917,1541652902,312366,3334,1289,366,391759,0 36,2,2024-09-07 08:41:41:754,226864,226864,0,0,9641119,0,3303 36,3,2024-09-07 08:41:40:864,1,180,1,0,378,2364,180,0 37,0,2024-09-07 08:41:41:377,40372,0.5,40358,0.7,80508,0.4,108354,2.00 37,1,2024-09-07 08:41:40:572,316116,316109,0,7,147568107167,1548739207,311336,2754,2019,365,391560,0 37,2,2024-09-07 08:41:41:144,227492,227477,15,0,9787605,0,5815 37,3,2024-09-07 08:41:41:767,1,180,1,0,724,2721,180,0 38,0,2024-09-07 08:41:41:439,42096,0.4,40822,0.6,85287,0.3,112566,2.00 38,1,2024-09-07 08:41:41:606,317290,317290,0,0,148563450260,1551059281,313239,3419,632,368,391821,0 38,2,2024-09-07 08:41:40:766,227865,227818,47,0,11398031,0,6710 38,3,2024-09-07 08:41:41:001,1,180,1,0,603,2224,180,0 39,0,2024-09-07 08:41:41:766,49584,0.5,48598,0.6,94478,0.4,129156,2.00 39,1,2024-09-07 08:41:40:717,316987,316987,0,0,148171808844,1543415597,313198,2988,801,365,391524,0 39,2,2024-09-07 08:41:41:423,227999,227999,0,0,8571612,0,2689 39,3,2024-09-07 08:41:40:719,1,180,10,0,293,2424,180,0 40,0,2024-09-07 08:41:41:489,47584,0.9,48302,1.1,96273,1.0,128307,3.00 40,1,2024-09-07 08:41:40:577,316825,316825,0,0,146822860636,1535531771,312409,3647,769,368,391591,0 40,2,2024-09-07 08:41:41:311,227031,227030,1,0,10939048,0,5137 40,3,2024-09-07 08:41:41:142,1,180,1,0,181,1858,180,0 41,0,2024-09-07 08:41:41:047,41667,1.8,42470,1.6,81341,3.1,111389,4.00 41,1,2024-09-07 08:41:40:772,316563,316563,0,0,148514012359,1551738705,312366,3663,534,370,391742,0 41,2,2024-09-07 08:41:40:759,226855,226855,0,0,10501385,0,3356 41,3,2024-09-07 08:41:41:677,1,180,18,0,366,2034,180,0 42,0,2024-09-07 08:41:41:491,41503,0.5,41903,0.7,83560,0.4,110776,2.00 42,1,2024-09-07 08:41:41:449,315545,315545,0,0,147271677454,1546205145,310827,3760,958,380,391675,0 42,2,2024-09-07 08:41:41:133,227266,227266,0,0,10243906,0,3568 42,3,2024-09-07 08:41:41:009,1,180,1,0,446,1455,180,0 43,0,2024-09-07 08:41:40:928,46774,0.5,45486,0.8,95134,0.5,125319,2.00 43,1,2024-09-07 08:41:40:584,316712,316712,0,0,148454431868,1545393558,313372,2547,793,366,391696,0 43,2,2024-09-07 08:41:41:741,227861,227861,0,0,9573642,0,3812 43,3,2024-09-07 08:41:41:749,1,180,1,0,325,2174,180,0 44,0,2024-09-07 08:41:40:893,48670,0.5,49053,0.7,97588,0.5,130123,1.75 44,1,2024-09-07 08:41:40:583,317831,317831,0,0,148227199752,1530276653,315769,1637,425,356,391809,0 44,2,2024-09-07 08:41:41:268,227323,227323,0,0,7978612,0,1877 44,3,2024-09-07 08:41:41:100,1,180,0,0,817,2132,180,0 45,0,2024-09-07 08:41:41:758,43902,1.1,43017,1.0,90218,1.2,118892,2.25 45,1,2024-09-07 08:41:41:013,317417,317417,0,0,148426621739,1537111306,316376,1031,10,382,391917,0 45,2,2024-09-07 08:41:41:268,228600,228600,0,0,8653085,0,3596 45,3,2024-09-07 08:41:40:935,1,180,1,0,226,1805,180,0 46,0,2024-09-07 08:41:40:965,41553,0.3,41472,0.5,83189,0.2,109881,1.75 46,1,2024-09-07 08:41:40:583,317936,317936,0,0,148442015719,1533292837,316126,1586,224,366,391524,0 46,2,2024-09-07 08:41:40:593,228010,228010,0,0,8645716,0,2920 46,3,2024-09-07 08:41:41:132,1,180,8,0,908,3078,180,0 47,0,2024-09-07 08:41:41:116,44209,0.3,44476,0.5,89176,0.2,117965,1.50 47,1,2024-09-07 08:41:40:580,318554,318554,0,0,148291719023,1529155971,317146,1391,17,366,391605,0 47,2,2024-09-07 08:41:40:915,229003,229003,0,0,8734928,0,2558 47,3,2024-09-07 08:41:41:117,1,180,0,0,529,1916,180,0 48,0,2024-09-07 08:41:41:494,48943,0.3,48529,0.4,97025,0.2,129090,1.50 48,1,2024-09-07 08:41:41:048,318139,318139,0,0,148647429893,1543460072,316074,1884,181,384,391710,0 48,2,2024-09-07 08:41:40:699,228193,228193,0,0,7872928,0,3031 48,3,2024-09-07 08:41:40:753,1,180,15,0,339,1509,180,0 49,0,2024-09-07 08:41:41:741,48416,0.5,47462,0.6,92227,0.5,126057,1.75 49,1,2024-09-07 08:41:41:022,316689,316689,0,0,148901732315,1551242599,313939,1910,840,382,391583,0 49,2,2024-09-07 08:41:41:796,228596,228596,0,0,8589462,0,3900 49,3,2024-09-07 08:41:41:417,1,180,1,0,408,2477,180,0 50,0,2024-09-07 08:41:41:510,41445,0.3,40964,0.5,82267,0.2,110277,1.75 50,1,2024-09-07 08:41:41:010,318634,318634,0,0,148461789962,1537851743,316105,2220,309,368,391530,0 50,2,2024-09-07 08:41:41:067,227676,227676,0,0,8268030,0,2253 50,3,2024-09-07 08:41:41:296,1,180,5,0,335,1405,180,0 51,0,2024-09-07 08:41:41:694,43361,0.2,42601,0.4,83010,0.2,113218,1.50 51,1,2024-09-07 08:41:41:691,317498,317498,0,0,149748515405,1546619311,315525,1187,786,365,391637,0 51,2,2024-09-07 08:41:41:320,228229,228229,0,0,7884754,0,3337 51,3,2024-09-07 08:41:41:028,1,180,1,0,162,969,180,0 52,0,2024-09-07 08:41:41:434,49638,0.5,49493,0.7,98940,0.4,131816,2.00 52,1,2024-09-07 08:41:40:577,316486,316486,0,0,146580030451,1541998092,310566,4760,1160,368,391722,0 52,2,2024-09-07 08:41:41:755,226354,226316,38,0,10356001,0,6742 52,3,2024-09-07 08:41:40:674,1,180,1,0,1782,3582,180,0 53,0,2024-09-07 08:41:41:754,47392,0.9,46057,0.9,96360,1.1,126503,2.75 53,1,2024-09-07 08:41:40:770,315873,315873,0,0,147569402582,1552993595,310240,3739,1894,367,391702,0 53,2,2024-09-07 08:41:41:298,227909,227909,0,0,8656008,0,2262 53,3,2024-09-07 08:41:40:703,1,180,4,0,271,1665,180,0 54,0,2024-09-07 08:41:41:626,40493,1.7,41106,1.1,81257,0.8,108974,3.50 54,1,2024-09-07 08:41:40:588,316825,316825,0,0,148929443281,1551765837,312852,3402,571,367,391659,0 54,2,2024-09-07 08:41:40:870,227767,227761,6,0,10251299,0,5382 54,3,2024-09-07 08:41:40:765,1,180,1,0,676,3249,180,0 55,0,2024-09-07 08:41:41:760,40339,0.4,41688,0.6,84321,0.3,109566,2.25 55,1,2024-09-07 08:41:40:766,316217,316217,0,0,147147299747,1537437490,311027,4403,787,365,391731,0 55,2,2024-09-07 08:41:40:730,227034,227034,0,0,9779006,0,3275 55,3,2024-09-07 08:41:40:674,1,180,55,0,304,2231,180,0 56,0,2024-09-07 08:41:41:561,49003,0.9,46241,0.9,95229,1.1,127802,2.25 56,1,2024-09-07 08:41:40:588,315963,315963,0,0,147857762841,1561590612,310790,4266,907,381,391678,0 56,2,2024-09-07 08:41:41:312,227453,227453,0,0,10746121,0,3567 56,3,2024-09-07 08:41:41:070,1,180,31,0,405,2406,180,0 57,0,2024-09-07 08:41:40:986,47175,2.2,47279,1.5,94544,3.2,126627,3.75 57,1,2024-09-07 08:41:40:999,316899,316899,0,0,147436544398,1540785989,314056,2673,170,367,391960,0 57,2,2024-09-07 08:41:41:326,228289,228289,0,0,10838514,0,3178 57,3,2024-09-07 08:41:41:740,1,180,2,0,359,2796,180,0 58,0,2024-09-07 08:41:40:569,42069,1.1,40923,1.1,85696,1.3,111969,2.75 58,1,2024-09-07 08:41:40:576,317472,317469,0,3,148667685564,1549462228,313949,3122,398,367,391517,3 58,2,2024-09-07 08:41:41:082,227791,227791,0,0,9869444,0,2549 58,3,2024-09-07 08:41:41:069,1,180,7,0,1043,2376,180,0 59,0,2024-09-07 08:41:41:753,41695,0.7,41330,0.9,82518,0.7,109892,2.75 59,1,2024-09-07 08:41:40:804,316676,316676,0,0,148156603924,1546635969,313025,2728,923,369,391525,0 59,2,2024-09-07 08:41:40:583,228646,228646,0,0,8779759,0,2604 59,3,2024-09-07 08:41:41:740,1,180,1,0,1015,2914,180,0 60,0,2024-09-07 08:41:41:719,45663,0.3,45559,0.5,91248,0.3,121889,1.75 60,1,2024-09-07 08:41:40:774,317991,317991,0,0,148816229548,1540868293,316529,1104,358,370,391761,0 60,2,2024-09-07 08:41:41:148,227663,227663,0,0,9974101,0,3811 60,3,2024-09-07 08:41:41:269,1,180,1,0,124,1692,180,0 61,0,2024-09-07 08:41:41:493,48632,1.0,48850,0.9,97431,1.5,129784,2.00 61,1,2024-09-07 08:41:40:773,316593,316593,0,0,148283801195,1552291406,313148,2892,553,382,391593,0 61,2,2024-09-07 08:41:41:116,228840,228840,0,0,8847323,0,2079 61,3,2024-09-07 08:41:41:686,1,180,0,0,199,1979,180,0 62,0,2024-09-07 08:41:41:761,46438,1.2,47454,1.0,90393,1.6,122812,2.25 62,1,2024-09-07 08:41:41:112,318631,318625,0,6,148418289641,1529953595,316945,1641,39,365,391715,6 62,2,2024-09-07 08:41:41:644,226862,226861,1,0,10288612,0,5555 62,3,2024-09-07 08:41:41:157,1,180,1,0,287,1204,180,0 63,0,2024-09-07 08:41:41:456,41899,0.5,41796,0.6,83776,0.4,111541,1.75 63,1,2024-09-07 08:41:40:804,317960,317954,0,6,147862823068,1530466958,316710,1217,27,381,391677,6 63,2,2024-09-07 08:41:40:762,227515,227515,0,0,8253789,0,2674 63,3,2024-09-07 08:41:41:739,1,180,1,0,667,2452,180,0 64,0,2024-09-07 08:41:41:514,42871,0.4,42910,0.6,86010,0.3,114952,1.75 64,1,2024-09-07 08:41:40:780,317104,317104,0,0,147860318007,1543501352,313632,2417,1055,370,391783,0 64,2,2024-09-07 08:41:41:141,229660,229641,19,0,9134456,0,6121 64,3,2024-09-07 08:41:41:147,1,180,3,0,265,1871,180,0 65,0,2024-09-07 08:41:41:698,48292,0.7,48792,0.8,97235,0.8,129358,2.25 65,1,2024-09-07 08:41:40:868,316255,316255,0,0,147269233240,1539816724,313733,2298,224,382,391770,0 65,2,2024-09-07 08:41:41:696,227911,227911,0,0,9639320,0,3367 65,3,2024-09-07 08:41:41:684,1,180,1,0,163,1751,180,0 66,0,2024-09-07 08:41:41:763,47494,0.7,47444,0.8,95302,0.7,126829,2.25 66,1,2024-09-07 08:41:41:292,317979,317979,0,0,148286501872,1540122163,316102,1705,172,380,391588,0 66,2,2024-09-07 08:41:41:138,229305,229305,0,0,8939219,0,4956 66,3,2024-09-07 08:41:41:087,1,180,8,0,291,1795,180,0 67,0,2024-09-07 08:41:41:435,40853,0.8,40579,0.9,81462,0.9,108433,2.25 67,1,2024-09-07 08:41:40:766,316486,316485,0,1,147815429469,1544405542,313011,2727,747,381,391787,1 67,2,2024-09-07 08:41:40:583,228635,228635,0,0,8622691,0,2889 67,3,2024-09-07 08:41:41:751,1,180,2,0,338,1656,180,0 68,0,2024-09-07 08:41:40:571,42303,0.4,42172,0.6,84310,0.3,112751,2.00 68,1,2024-09-07 08:41:40:578,316202,316202,0,0,147706342803,1547901954,313337,1842,1023,381,391953,0 68,2,2024-09-07 08:41:41:050,227201,227136,65,0,11743961,0,6698 68,3,2024-09-07 08:41:40:731,1,180,0,0,417,2133,180,0 69,0,2024-09-07 08:41:41:736,48212,0.6,48415,0.7,96119,0.5,128377,2.00 69,1,2024-09-07 08:41:41:018,315156,315156,0,0,147321594827,1554160170,311144,2797,1215,384,391994,0 69,2,2024-09-07 08:41:41:734,228470,228470,0,0,10469499,0,3701 69,3,2024-09-07 08:41:40:770,1,180,3,0,238,2230,180,0 70,0,2024-09-07 08:41:41:537,47640,1.6,47820,1.3,95877,1.1,127842,2.75 70,1,2024-09-07 08:41:40:801,316769,316769,0,0,148048076881,1536483573,314310,1997,462,366,391725,0 70,2,2024-09-07 08:41:41:326,227850,227850,0,0,9404392,0,4044 70,3,2024-09-07 08:41:40:745,1,180,1,0,854,2327,180,0 71,0,2024-09-07 08:41:41:363,41531,1.9,41529,1.6,82965,2.7,111558,3.50 71,1,2024-09-07 08:41:41:599,317048,317048,0,0,148389219496,1550135244,312931,3592,525,368,391682,0 71,2,2024-09-07 08:41:41:081,228601,228601,0,0,9497934,0,2470 71,3,2024-09-07 08:41:41:753,1,180,0,0,644,2786,180,0 72,0,2024-09-07 08:41:41:035,43392,0.4,42436,0.6,82833,0.3,112991,2.00 72,1,2024-09-07 08:41:41:038,316631,316631,0,0,147978499211,1542410237,313359,2785,487,369,391819,0 72,2,2024-09-07 08:41:41:760,226989,226989,0,0,11042873,0,2570 72,3,2024-09-07 08:41:41:754,1,180,11,0,364,3325,180,0 73,0,2024-09-07 08:41:41:130,45656,0.3,46799,0.5,95757,0.3,124654,2.00 73,1,2024-09-07 08:41:40:775,316877,316877,0,0,148102731086,1534895204,315066,1696,115,367,391750,0 73,2,2024-09-07 08:41:41:741,228100,228100,0,0,10359258,0,3482 73,3,2024-09-07 08:41:40:977,1,180,17,0,274,2652,180,0 74,0,2024-09-07 08:41:41:330,49126,0.5,50172,0.7,95541,0.5,129607,2.25 74,1,2024-09-07 08:41:40:635,316681,316681,0,0,147917627931,1541422056,313887,2172,622,382,391681,0 74,2,2024-09-07 08:41:41:004,227869,227869,0,0,10308958,0,4253 74,3,2024-09-07 08:41:41:451,1,180,22,0,522,2822,180,0 75,0,2024-09-07 08:41:41:804,44764,1.1,44441,1.1,89063,1.1,119300,2.75 75,1,2024-09-07 08:41:41:596,316222,316222,0,0,147361181380,1540672874,312824,2938,460,381,391579,0 75,2,2024-09-07 08:41:41:359,227571,227571,0,0,9928159,0,4766 75,3,2024-09-07 08:41:41:072,1,180,4,0,535,1908,180,0 76,0,2024-09-07 08:41:40:622,41508,0.4,41163,0.6,82271,0.3,110128,2.25 76,1,2024-09-07 08:41:40:816,316865,316865,0,0,147830108953,1540558147,314798,1556,511,382,391692,0 76,2,2024-09-07 08:41:41:066,228336,228336,0,0,8773191,0,3064 76,3,2024-09-07 08:41:41:142,1,180,1,0,175,2001,180,0 77,0,2024-09-07 08:41:41:711,44148,0.4,44493,0.6,88801,0.3,117721,1.75 77,1,2024-09-07 08:41:40:834,318047,318047,0,0,147610920986,1537129289,316446,1514,87,383,391808,0 77,2,2024-09-07 08:41:41:280,227655,227655,0,0,9177891,0,3890 77,3,2024-09-07 08:41:41:103,1,180,4,0,305,2143,180,0 78,0,2024-09-07 08:41:41:775,48586,0.5,48288,0.7,97365,0.4,128637,2.00 78,1,2024-09-07 08:41:40:617,317315,317315,0,0,147363462587,1530804145,314978,2084,253,367,391646,0 78,2,2024-09-07 08:41:41:419,229064,229064,0,0,8372466,0,2114 78,3,2024-09-07 08:41:41:139,1,180,3,0,181,1728,180,0 79,0,2024-09-07 08:41:41:356,45693,0.5,46753,0.7,95690,0.5,124392,2.50 79,1,2024-09-07 08:41:40:576,318029,318029,0,0,148506494808,1537049107,315777,2079,173,369,391682,0 79,2,2024-09-07 08:41:41:071,228213,228213,0,0,8486141,0,3212 79,3,2024-09-07 08:41:40:750,1,180,0,0,418,2964,180,0 80,0,2024-09-07 08:41:41:113,41132,0.6,42278,0.7,81057,0.5,110245,2.00 80,1,2024-09-07 08:41:41:620,316434,316434,0,0,147774204583,1537749377,313901,2369,164,368,391791,0 80,2,2024-09-07 08:41:41:098,227996,227996,0,0,9214018,0,4433 80,3,2024-09-07 08:41:40:588,1,180,1,0,190,2749,180,0 81,0,2024-09-07 08:41:41:571,42370,0.4,43621,0.6,83603,0.4,112685,1.75 81,1,2024-09-07 08:41:41:666,316599,316599,0,0,147370467291,1539769622,314105,2220,274,382,391879,0 81,2,2024-09-07 08:41:41:128,227864,227864,0,0,9582301,0,3993 81,3,2024-09-07 08:41:41:121,1,180,1,0,193,1685,180,0 82,0,2024-09-07 08:41:41:533,49069,0.4,49380,0.6,98850,0.4,131946,1.75 82,1,2024-09-07 08:41:40:592,317453,317449,0,4,147934928206,1541317637,314992,1879,578,381,391558,4 82,2,2024-09-07 08:41:41:691,228325,228325,0,0,8688780,0,3986 82,3,2024-09-07 08:41:41:752,1,180,6,0,227,1939,180,0 83,0,2024-09-07 08:41:41:528,47745,0.7,47772,0.8,94922,0.7,126818,2.00 83,1,2024-09-07 08:41:40:551,316813,316813,0,0,147840179663,1543708547,314184,2406,223,382,391690,0 83,2,2024-09-07 08:41:40:769,227261,227261,0,0,8642846,0,3119 83,3,2024-09-07 08:41:40:752,1,180,0,0,241,1921,180,0 84,0,2024-09-07 08:41:41:784,41198,1.1,41010,1.2,82490,0.9,110219,2.50 84,1,2024-09-07 08:41:41:041,316281,316281,0,0,147616028134,1544444759,312866,2955,460,368,391967,0 84,2,2024-09-07 08:41:40:572,228111,228111,0,0,9987113,0,3801 84,3,2024-09-07 08:41:41:142,1,180,1,0,270,2032,180,0 85,0,2024-09-07 08:41:41:003,40324,0.4,40320,0.6,85429,0.3,110676,2.00 85,1,2024-09-07 08:41:40:566,315727,315727,0,0,146910166894,1554463868,310268,4379,1080,382,392006,0 85,2,2024-09-07 08:41:40:877,228372,228372,0,0,10678694,0,3656 85,3,2024-09-07 08:41:40:685,1,180,0,0,789,2540,180,0 86,0,2024-09-07 08:41:40:909,47838,0.5,49069,0.6,94272,0.4,127692,1.75 86,1,2024-09-07 08:41:40:826,316579,316579,0,0,147935946821,1548089181,312600,3434,545,366,391961,0 86,2,2024-09-07 08:41:40:861,226552,226551,1,0,11033531,0,5004 86,3,2024-09-07 08:41:40:591,1,180,1,0,286,2525,180,0 87,0,2024-09-07 08:41:41:282,47845,1.4,47643,1.1,95856,2.0,128320,2.25 87,1,2024-09-07 08:41:40:562,316509,316509,0,0,147371081310,1541778430,313283,2831,395,366,391788,0 87,2,2024-09-07 08:41:41:077,227174,227174,0,0,9252929,0,3515 87,3,2024-09-07 08:41:41:794,1,180,1,0,335,2988,180,0 88,0,2024-09-07 08:41:41:463,43266,0.6,43468,0.7,86919,0.7,115308,1.75 88,1,2024-09-07 08:41:40:573,315812,315812,0,0,147724234128,1548217086,311754,2884,1174,365,392084,0 88,2,2024-09-07 08:41:40:704,227547,227547,0,0,10543776,0,3583 88,3,2024-09-07 08:41:41:271,1,180,10,0,435,2410,180,0 89,0,2024-09-07 08:41:41:766,42787,0.4,41445,0.6,82119,0.3,111285,1.75 89,1,2024-09-07 08:41:40:553,314795,314795,0,0,147679188967,1558781389,309353,4504,938,382,391866,0 89,2,2024-09-07 08:41:41:137,227303,227303,0,0,10446422,0,2910 89,3,2024-09-07 08:41:41:796,1,180,1,0,325,3286,180,0 90,0,2024-09-07 08:41:41:624,44193,0.3,45139,0.5,92831,0.3,121446,1.75 90,1,2024-09-07 08:41:40:625,316764,316764,0,0,146944342179,1540528024,313526,2979,259,381,391825,0 90,2,2024-09-07 08:41:41:422,226476,226476,0,0,11350999,0,3060 90,3,2024-09-07 08:41:40:946,1,180,14,0,200,1992,180,0 91,0,2024-09-07 08:41:40:945,49257,0.5,47500,0.6,98971,0.4,130111,1.75 91,1,2024-09-07 08:41:40:567,316192,316192,0,0,148225234360,1554897562,312432,3262,498,381,392047,0 91,2,2024-09-07 08:41:41:331,227194,227194,0,0,10596123,0,2445 91,3,2024-09-07 08:41:40:599,1,180,6,0,216,1954,180,0 92,0,2024-09-07 08:41:41:463,46547,0.7,47726,0.8,91203,0.8,123167,1.75 92,1,2024-09-07 08:41:40:592,316718,316718,0,0,147460290460,1538921510,314529,1785,404,382,392136,0 92,2,2024-09-07 08:41:41:351,229636,229636,0,0,8872897,0,2801 92,3,2024-09-07 08:41:41:008,1,180,0,0,167,1559,180,0 93,0,2024-09-07 08:41:40:962,42097,0.4,43195,0.6,82489,0.3,111971,1.75 93,1,2024-09-07 08:41:40:804,316763,316763,0,0,148180906063,1545628151,313635,2576,552,366,391692,0 93,2,2024-09-07 08:41:40:940,227836,227836,0,0,8954648,0,2509 93,3,2024-09-07 08:41:41:410,1,180,25,0,143,1770,180,0 94,0,2024-09-07 08:41:41:602,42977,0.3,43525,0.4,86429,0.2,114859,1.50 94,1,2024-09-07 08:41:40:563,316368,316368,0,0,147715634293,1543091245,313914,2358,96,381,391850,0 94,2,2024-09-07 08:41:40:780,227769,227769,0,0,8701535,0,2443 94,3,2024-09-07 08:41:41:688,1,180,2,0,264,2521,180,0 95,0,2024-09-07 08:41:41:352,49182,0.3,48885,0.5,98622,0.3,130171,1.75 95,1,2024-09-07 08:41:40:861,317114,317114,0,0,147906550556,1537097654,314718,2211,185,367,391662,0 95,2,2024-09-07 08:41:41:016,227987,227987,0,0,8972214,0,3308 95,3,2024-09-07 08:41:41:720,1,180,1,0,718,3240,180,0 96,0,2024-09-07 08:41:41:039,47946,0.5,48098,0.6,96288,0.5,127391,1.75 96,1,2024-09-07 08:41:41:584,316617,316617,0,0,147725437674,1544382377,313998,1974,645,385,391894,0 96,2,2024-09-07 08:41:41:282,227557,227557,0,0,9516308,0,4042 96,3,2024-09-07 08:41:41:151,1,180,51,0,188,1993,180,0 97,0,2024-09-07 08:41:41:318,40934,0.4,40703,0.5,81594,0.4,108571,1.75 97,1,2024-09-07 08:41:40:770,317496,317496,0,0,148449912821,1542902148,314685,2260,551,367,392140,0 97,2,2024-09-07 08:41:40:617,227938,227938,0,0,8819710,0,3036 97,3,2024-09-07 08:41:40:572,1,180,10,0,165,2075,180,0 98,0,2024-09-07 08:41:41:719,42362,0.2,42275,0.4,84845,0.2,113097,1.50 98,1,2024-09-07 08:41:40:592,317280,317280,0,0,147552897991,1537387840,315452,1735,93,382,391997,0 98,2,2024-09-07 08:41:40:773,229093,229093,0,0,9139491,0,3080 98,3,2024-09-07 08:41:40:707,1,180,8,0,840,3327,180,0 99,0,2024-09-07 08:41:41:487,48261,0.3,48268,0.4,96395,0.2,128912,1.50 99,1,2024-09-07 08:41:41:729,317344,317344,0,0,147874248614,1543345056,314269,2378,697,381,391744,0 99,2,2024-09-07 08:41:41:426,229726,229726,0,0,8957727,0,2615 99,3,2024-09-07 08:41:40:581,1,180,1,0,129,1294,180,0 100,0,2024-09-07 08:41:41:491,48066,1.1,48075,1.2,95960,1.9,128670,2.50 100,1,2024-09-07 08:41:40:553,314812,314812,0,0,147401341995,1561042172,309548,4331,933,381,391989,0 100,2,2024-09-07 08:41:41:838,226325,226314,11,0,10163186,0,5417 100,3,2024-09-07 08:41:41:737,1,180,1,0,559,3786,180,0 101,0,2024-09-07 08:41:41:699,42887,2.6,41822,1.5,81905,2.9,112907,2.75 101,1,2024-09-07 08:41:40:553,315621,315621,0,0,147576411796,1557771827,310245,3897,1479,368,391769,0 101,2,2024-09-07 08:41:41:758,227425,227425,0,0,11273661,0,4644 101,3,2024-09-07 08:41:40:957,1,180,2,0,448,2138,180,0 102,0,2024-09-07 08:41:40:953,41057,0.5,42428,0.7,85393,0.4,112210,2.00 102,1,2024-09-07 08:41:41:143,316090,316090,0,0,147901939054,1554093791,311994,3383,713,369,391883,0 102,2,2024-09-07 08:41:41:741,228202,228148,54,0,10622285,0,6768 102,3,2024-09-07 08:41:41:614,1,180,1,0,410,1783,180,0 103,0,2024-09-07 08:41:41:594,48235,0.4,48225,0.6,90995,0.3,125497,1.75 103,1,2024-09-07 08:41:41:628,315399,315399,0,0,147668516711,1555187183,310946,3243,1210,381,391829,0 103,2,2024-09-07 08:41:40:582,227054,227054,0,0,9518575,0,2104 103,3,2024-09-07 08:41:40:755,1,180,3,0,916,3093,180,0 104,0,2024-09-07 08:41:41:027,48306,1.1,48563,1.1,96021,1.3,129654,2.25 104,1,2024-09-07 08:41:41:609,316816,316816,0,0,147686175824,1554332048,311794,4054,968,365,391948,0 104,2,2024-09-07 08:41:41:676,227410,227410,0,0,10383773,0,3941 104,3,2024-09-07 08:41:41:423,1,180,3,1,1245,5244,180,0 105,0,2024-09-07 08:41:41:042,44108,1.5,42794,1.4,89571,2.4,118160,3.50 105,1,2024-09-07 08:41:40:555,317172,317172,0,0,147553329191,1546210088,312954,3441,777,367,391797,0 105,2,2024-09-07 08:41:41:334,227055,227055,0,0,9769452,0,3509 105,3,2024-09-07 08:41:41:304,1,180,42,0,399,2701,180,0 106,0,2024-09-07 08:41:40:967,40198,0.6,41337,0.8,84174,0.6,109751,2.00 106,1,2024-09-07 08:41:41:750,316831,316831,0,0,147653517469,1546765508,313033,3414,384,369,391767,0 106,2,2024-09-07 08:41:40:756,226654,226654,0,0,9906075,0,2795 106,3,2024-09-07 08:41:40:678,1,180,9,0,405,2466,180,0 107,0,2024-09-07 08:41:41:106,44171,0.4,44218,0.6,88015,0.3,117971,1.75 107,1,2024-09-07 08:41:40:592,316037,316037,0,0,147131298632,1544402084,313033,2773,231,381,392234,0 107,2,2024-09-07 08:41:41:291,227613,227612,1,0,10066352,0,5024 107,3,2024-09-07 08:41:41:755,1,180,5,0,353,2608,180,0 108,0,2024-09-07 08:41:41:798,48754,0.4,48824,0.6,96912,0.4,129127,1.75 108,1,2024-09-07 08:41:41:295,316407,316407,0,0,148064523233,1544170309,313734,2359,314,368,391857,0 108,2,2024-09-07 08:41:41:754,226858,226858,0,0,9502477,0,2647 108,3,2024-09-07 08:41:41:333,1,180,7,0,667,3420,180,0 109,0,2024-09-07 08:41:41:805,47407,0.4,46981,0.6,94221,0.4,126242,1.75 109,1,2024-09-07 08:41:40:607,316192,316192,0,0,147995468578,1551799666,313405,2291,496,383,392132,0 109,2,2024-09-07 08:41:40:951,227090,227090,0,0,9695750,0,3617 109,3,2024-09-07 08:41:41:140,1,180,1,0,249,2372,180,0 110,0,2024-09-07 08:41:41:752,41493,0.4,40331,0.6,84101,0.3,110472,1.75 110,1,2024-09-07 08:41:41:667,317517,317517,0,0,148622901412,1544594251,314894,1856,767,370,391667,0 110,2,2024-09-07 08:41:41:302,228111,228111,0,0,8649230,0,2915 110,3,2024-09-07 08:41:40:702,1,180,0,0,406,2423,180,0 111,0,2024-09-07 08:41:41:427,42653,0.2,42128,0.4,84211,0.1,113045,1.50 111,1,2024-09-07 08:41:41:002,317891,317891,0,0,148769783820,1542609970,316111,1447,333,382,391690,0 111,2,2024-09-07 08:41:41:119,227605,227605,0,0,9459165,0,2763 111,3,2024-09-07 08:41:40:926,1,180,2,0,379,2787,180,0 112,0,2024-09-07 08:41:40:922,49429,0.3,49394,0.4,98767,0.2,132042,1.50 112,1,2024-09-07 08:41:40:826,317848,317848,0,0,147610790084,1533491223,315518,1904,426,380,391580,0 112,2,2024-09-07 08:41:41:138,227968,227967,1,0,9464933,0,5036 112,3,2024-09-07 08:41:40:596,1,180,6,0,282,1949,180,0 113,0,2024-09-07 08:41:40:887,47514,0.4,47522,0.5,95609,0.3,127934,1.75 113,1,2024-09-07 08:41:41:685,317701,317701,0,0,148977591038,1541277774,315282,1931,488,366,391661,0 113,2,2024-09-07 08:41:41:302,228323,228323,0,0,8290059,0,3813 113,3,2024-09-07 08:41:40:697,1,180,6,0,340,2836,180,0 114,0,2024-09-07 08:41:40:881,42022,0.6,42617,0.6,83744,0.4,112057,1.75 114,1,2024-09-07 08:41:40:722,316342,316342,0,0,147748486966,1544193252,312209,2670,1463,381,391534,0 114,2,2024-09-07 08:41:40:873,228187,228187,0,0,8768388,0,3925 114,3,2024-09-07 08:41:41:280,1,180,1,0,395,2073,180,0 115,0,2024-09-07 08:41:40:568,41600,0.2,42061,0.4,83924,0.1,111323,1.50 115,1,2024-09-07 08:41:40:577,316930,316930,0,0,147767143951,1539414478,313284,2825,821,382,391602,0 115,2,2024-09-07 08:41:41:130,229329,229329,0,0,8136206,0,2152 115,3,2024-09-07 08:41:41:007,1,180,1,0,159,1107,180,0 116,0,2024-09-07 08:41:41:707,47916,0.5,47484,0.7,95466,0.5,127395,1.75 116,1,2024-09-07 08:41:40:804,314346,314346,0,0,147465743458,1563683191,309420,3168,1758,380,391677,0 116,2,2024-09-07 08:41:41:751,226904,226904,0,0,11232763,0,3529 116,3,2024-09-07 08:41:40:914,1,180,90,0,252,2609,180,0 117,0,2024-09-07 08:41:40:957,47827,1.2,47980,1.0,95746,1.6,128344,2.00 117,1,2024-09-07 08:41:41:584,316409,316409,0,0,147162605955,1541389710,312724,3255,430,370,392033,0 117,2,2024-09-07 08:41:41:118,229057,229057,0,0,9047207,0,3700 117,3,2024-09-07 08:41:41:069,1,180,1,0,490,3432,180,0 118,0,2024-09-07 08:41:41:777,42078,0.9,43365,0.9,87971,1.0,114615,2.25 118,1,2024-09-07 08:41:40:592,316411,316411,0,0,147406674417,1552112058,311550,3536,1325,366,391736,0 118,2,2024-09-07 08:41:41:586,227557,227557,0,0,10223632,0,2781 118,3,2024-09-07 08:41:41:764,1,180,1,0,235,2230,180,0 119,0,2024-09-07 08:41:41:353,41192,0.6,41465,0.8,83565,0.5,110389,2.00 119,1,2024-09-07 08:41:40:560,317179,317179,0,0,147984184533,1542710219,314685,2203,291,368,391641,0 119,2,2024-09-07 08:41:41:261,227480,227480,0,0,9505420,0,3526 119,3,2024-09-07 08:41:41:337,1,180,25,0,443,3050,180,0 120,0,2024-09-07 08:41:41:564,45251,0.4,45311,0.6,90721,0.3,121507,1.75 120,1,2024-09-07 08:41:40:862,317172,317172,0,0,147083514736,1542014037,313864,3045,263,368,391961,0 120,2,2024-09-07 08:41:40:772,226970,226969,1,0,11696539,0,5281 120,3,2024-09-07 08:41:41:290,1,180,1,0,241,2467,180,0 121,0,2024-09-07 08:41:41:697,48364,1.3,48835,1.1,97282,1.9,129516,2.25 121,1,2024-09-07 08:41:41:660,316619,316619,0,0,147643799685,1542988513,313837,2492,290,367,391840,0 121,2,2024-09-07 08:41:41:126,227049,227049,0,0,10625281,0,4127 121,3,2024-09-07 08:41:40:729,1,180,0,0,269,2339,180,0 122,0,2024-09-07 08:41:41:763,45952,1.1,44807,1.1,93891,1.3,123214,2.25 122,1,2024-09-07 08:41:40:879,315749,315749,0,0,148027481876,1552788383,311596,3444,709,366,392130,0 122,2,2024-09-07 08:41:41:321,227585,227585,0,0,11566090,0,3364 122,3,2024-09-07 08:41:40:608,1,180,3,0,411,3872,180,0 123,0,2024-09-07 08:41:40:956,41919,0.7,40893,0.8,85329,0.8,111550,2.00 123,1,2024-09-07 08:41:40:560,316709,316709,0,0,148055468723,1555332435,311629,4386,694,369,391823,0 123,2,2024-09-07 08:41:41:019,225905,225904,1,0,10351663,0,5215 123,3,2024-09-07 08:41:41:132,1,180,1,0,168,2150,180,0 124,0,2024-09-07 08:41:40:940,44420,0.3,44377,0.4,83813,0.2,115156,1.50 124,1,2024-09-07 08:41:41:023,317337,317337,0,0,147675955938,1533531517,315242,1739,356,367,392178,0 124,2,2024-09-07 08:41:41:010,228131,228131,0,0,8758913,0,3101 124,3,2024-09-07 08:41:40:761,1,180,1,0,490,2323,180,0 125,0,2024-09-07 08:41:41:461,49063,0.4,49001,0.5,98168,0.3,130290,1.75 125,1,2024-09-07 08:41:40:861,317081,317081,0,0,147699646158,1541411676,314706,2098,277,384,391702,0 125,2,2024-09-07 08:41:41:119,228804,228804,0,0,8655070,0,2180 125,3,2024-09-07 08:41:41:127,1,180,1,0,284,2197,180,0 126,0,2024-09-07 08:41:41:431,48071,0.7,49329,0.7,94291,0.7,128219,1.75 126,1,2024-09-07 08:41:40:554,317484,317484,0,0,148472111723,1537990507,315839,1566,79,365,391987,0 126,2,2024-09-07 08:41:40:617,228660,228660,0,0,9004384,0,3186 126,3,2024-09-07 08:41:40:910,1,180,2,0,150,2536,180,0 127,0,2024-09-07 08:41:41:623,40677,0.4,40839,0.5,81468,0.4,108608,1.75 127,1,2024-09-07 08:41:40:573,316744,316744,0,0,149103031391,1543735976,314661,2042,41,365,391816,0 127,2,2024-09-07 08:41:40:637,228045,228045,0,0,8513430,0,2264 127,3,2024-09-07 08:41:41:268,1,180,4,0,243,1565,180,0 128,0,2024-09-07 08:41:41:539,42594,0.3,42373,0.4,84780,0.2,113174,1.50 128,1,2024-09-07 08:41:41:614,317722,317722,0,0,148542359426,1537183056,316738,912,72,367,391680,0 128,2,2024-09-07 08:41:41:391,227936,227936,0,0,8612746,0,2107 128,3,2024-09-07 08:41:40:774,1,180,3,0,333,2450,180,0 129,0,2024-09-07 08:41:40:994,48601,0.3,48352,0.4,96692,0.2,128642,1.50 129,1,2024-09-07 08:41:40:577,315440,315440,0,0,147439807590,1543447710,312491,2554,395,379,391835,0 129,2,2024-09-07 08:41:40:686,228201,228201,0,0,8915966,0,4031 129,3,2024-09-07 08:41:40:688,1,180,3,0,173,2038,180,0 130,0,2024-09-07 08:41:41:719,48469,0.7,48234,0.7,96859,0.8,129560,1.75 130,1,2024-09-07 08:41:40:583,317130,317130,0,0,148194826105,1543077286,315010,2047,73,381,391825,0 130,2,2024-09-07 08:41:41:125,228458,228458,0,0,8752679,0,4067 130,3,2024-09-07 08:41:41:296,1,180,3,0,450,2083,180,0 131,0,2024-09-07 08:41:41:952,42181,0.5,42470,0.6,85775,0.6,113066,1.75 131,1,2024-09-07 08:41:41:827,317894,317894,0,0,148128600594,1539958345,316418,1270,206,383,391865,0 131,2,2024-09-07 08:41:40:573,228765,228765,0,0,8495012,0,2415 131,3,2024-09-07 08:41:41:688,1,180,1,0,392,1922,180,0 132,0,2024-09-07 08:41:41:412,41725,0.4,42270,0.7,84540,0.3,112572,1.75 132,1,2024-09-07 08:41:40:598,315732,315732,0,0,147050016328,1553005016,310308,4392,1032,381,392097,0 132,2,2024-09-07 08:41:40:716,227607,227607,0,0,11294110,0,4606 132,3,2024-09-07 08:41:41:688,1,180,0,0,356,3148,180,0 133,0,2024-09-07 08:41:41:675,45655,0.4,46731,0.5,95657,0.3,124631,1.75 133,1,2024-09-07 08:41:40:586,315098,315098,0,0,147797681121,1557748422,310581,3875,642,383,391914,0 133,2,2024-09-07 08:41:41:098,227010,227010,0,0,11323931,0,4315 133,3,2024-09-07 08:41:41:296,1,180,276,0,276,1764,180,0 134,0,2024-09-07 08:41:40:944,48588,0.5,48547,0.7,97671,0.5,129575,2.00 134,1,2024-09-07 08:41:40:586,316132,316132,0,0,147290603234,1544294776,311730,3175,1227,366,391718,0 134,2,2024-09-07 08:41:41:757,227411,227411,0,0,9624324,0,3096 134,3,2024-09-07 08:41:40:751,1,180,21,0,739,3123,180,0 135,0,2024-09-07 08:41:41:098,43240,1.4,43255,1.2,91692,1.6,117722,2.50 135,1,2024-09-07 08:41:41:585,315410,315410,0,0,147338023456,1549113839,311210,3496,704,380,391805,0 135,2,2024-09-07 08:41:40:687,227903,227903,0,0,10436154,0,3981 135,3,2024-09-07 08:41:41:003,1,180,1,0,89,1024,180,0 136,0,2024-09-07 08:41:41:632,41747,0.5,41670,0.7,83746,0.4,110706,2.00 136,1,2024-09-07 08:41:41:447,316064,316064,0,0,147204217178,1541622618,312705,3184,175,383,391641,0 136,2,2024-09-07 08:41:41:137,228261,228261,0,0,9928946,0,3506 136,3,2024-09-07 08:41:41:110,1,180,1,0,108,1388,180,0 137,0,2024-09-07 08:41:40:938,45409,0.4,43961,0.6,87000,0.3,117897,1.75 137,1,2024-09-07 08:41:40:575,316203,316203,0,0,147051316839,1537581773,312057,3725,421,366,391708,0 137,2,2024-09-07 08:41:41:708,227632,227632,0,0,11326298,0,3185 137,3,2024-09-07 08:41:40:774,1,180,1,0,227,2000,180,0 138,0,2024-09-07 08:41:41:755,48152,1.1,48142,1.0,96946,1.4,128842,2.25 138,1,2024-09-07 08:41:41:685,316609,316609,0,0,147883074504,1543818511,313521,2735,353,368,391954,0 138,2,2024-09-07 08:41:40:595,228547,228547,0,0,9351205,0,3263 138,3,2024-09-07 08:41:40:620,1,180,1,0,1160,3249,180,0 139,0,2024-09-07 08:41:41:363,46152,2.7,46325,1.6,93446,4.0,124701,3.00 139,1,2024-09-07 08:41:40:610,314922,314922,0,0,146641155159,1553623790,309633,3962,1327,381,391892,0 139,2,2024-09-07 08:41:40:694,226205,226205,0,0,10554981,0,3097 139,3,2024-09-07 08:41:41:662,1,180,1,0,244,1929,180,0 140,0,2024-09-07 08:41:41:591,41721,0.3,41244,0.5,83163,0.3,110605,1.75 140,1,2024-09-07 08:41:41:537,317890,317890,0,0,148942282518,1534883574,316369,1281,240,365,391606,0 140,2,2024-09-07 08:41:40:686,228225,228225,0,0,9010520,0,3388 140,3,2024-09-07 08:41:40:769,1,180,1,0,247,1483,180,0 141,0,2024-09-07 08:41:41:718,42358,0.2,43420,0.4,83080,0.1,113089,1.50 141,1,2024-09-07 08:41:40:879,317806,317806,0,0,149048874327,1545523841,315852,1601,353,379,391614,0 141,2,2024-09-07 08:41:41:687,228521,228521,0,0,8490435,0,2342 141,3,2024-09-07 08:41:41:050,1,180,2,0,147,1381,180,0 142,0,2024-09-07 08:41:41:308,49847,0.3,49568,0.4,98316,0.2,132340,1.50 142,1,2024-09-07 08:41:40:585,316859,316859,0,0,147910734956,1539989481,315303,1395,161,383,391728,0 142,2,2024-09-07 08:41:41:299,227311,227279,32,0,9913161,0,6028 142,3,2024-09-07 08:41:41:749,1,180,8,0,484,2439,180,0 143,0,2024-09-07 08:41:41:383,47764,0.6,47716,0.7,96244,0.7,127783,1.75 143,1,2024-09-07 08:41:40:562,317220,317220,0,0,147708375795,1531876904,315092,2084,44,367,391619,0 143,2,2024-09-07 08:41:40:769,227486,227486,0,0,9056279,0,2669 143,3,2024-09-07 08:41:41:147,1,180,0,0,303,2700,180,0 144,0,2024-09-07 08:41:41:497,40201,0.8,41437,1.2,84040,0.7,110527,2.25 144,1,2024-09-07 08:41:40:567,315599,315599,0,0,147418374863,1544816777,313193,2202,204,381,391649,0 144,2,2024-09-07 08:41:41:755,228336,228336,0,0,9043676,0,3473 144,3,2024-09-07 08:41:41:740,1,180,1,0,249,2188,180,0 145,0,2024-09-07 08:41:41:360,40389,0.5,40415,0.7,85684,0.4,110898,2.00 145,1,2024-09-07 08:41:40:554,314768,314768,0,0,147238005786,1550034407,310366,3665,737,382,391615,0 145,2,2024-09-07 08:41:41:428,227641,227641,0,0,9924537,0,3903 145,3,2024-09-07 08:41:40:916,1,180,1,0,151,1992,180,0 146,0,2024-09-07 08:41:41:642,47689,0.4,47327,0.6,95473,0.3,127135,2.00 146,1,2024-09-07 08:41:41:589,317022,317022,0,0,148194314433,1552087934,312493,3668,861,368,391629,0 146,2,2024-09-07 08:41:41:695,227311,227311,0,0,9668711,0,2498 146,3,2024-09-07 08:41:41:274,1,180,10,0,1520,5256,180,0 147,0,2024-09-07 08:41:41:745,47927,0.8,47850,0.9,95199,0.7,127969,2.25 147,1,2024-09-07 08:41:41:387,317731,317731,0,0,147957786246,1539509737,314616,2617,498,368,391791,0 147,2,2024-09-07 08:41:41:009,229063,229063,0,0,8906910,0,2789 147,3,2024-09-07 08:41:40:926,1,180,0,0,371,2109,180,0 0,0,2024-09-07 08:41:51:757,44527,0.4,44502,0.6,94344,0.3,122447,1.75 0,1,2024-09-07 08:41:50:818,318245,318245,0,0,149226368485,1559522202,316255,1853,137,372,391772,0 0,2,2024-09-07 08:41:51:073,228428,228428,0,0,9390300,0,4480 0,3,2024-09-07 08:41:50:991,1,181,8,0,247,2247,181,0 1,0,2024-09-07 08:41:51:774,48964,1.1,48564,1.1,97537,1.6,130079,2.25 1,1,2024-09-07 08:41:50:573,318162,318162,0,0,148119463794,1552971072,314711,2583,868,371,391857,0 1,2,2024-09-07 08:41:50:642,228150,228150,0,0,8681265,0,3267 1,3,2024-09-07 08:41:51:307,1,181,149,0,262,2236,181,0 2,0,2024-09-07 08:41:51:580,46084,1.0,46410,1.0,92018,1.4,122651,2.25 2,1,2024-09-07 08:41:50:868,318312,318312,0,0,149086586465,1548466372,316801,1305,206,380,391745,0 2,2,2024-09-07 08:41:51:269,228875,228875,0,0,9152968,0,3594 2,3,2024-09-07 08:41:50:697,1,181,41,0,357,1802,181,0 3,0,2024-09-07 08:41:51:747,41719,0.4,41992,0.7,83593,0.4,110993,2.00 3,1,2024-09-07 08:41:51:621,317954,317954,0,0,148476685413,1548366721,314843,2687,424,380,391516,0 3,2,2024-09-07 08:41:51:152,228941,228918,23,0,9300998,0,5851 3,3,2024-09-07 08:41:51:758,1,181,2,0,103,1157,181,0 4,0,2024-09-07 08:41:51:813,42091,0.3,43261,0.4,87983,0.2,115771,1.50 4,1,2024-09-07 08:41:50:599,318388,318388,0,0,148633594361,1559583268,315379,2486,523,371,391846,0 4,2,2024-09-07 08:41:51:033,227911,227911,0,0,10981755,0,4528 4,3,2024-09-07 08:41:51:044,1,181,1,0,448,2743,181,0 5,0,2024-09-07 08:41:51:401,49134,0.4,49155,0.6,98082,0.4,130253,1.75 5,1,2024-09-07 08:41:50:783,317813,317813,0,0,148711789433,1564812073,313574,3250,989,368,392005,0 5,2,2024-09-07 08:41:51:851,228095,228095,0,0,9608066,0,2259 5,3,2024-09-07 08:41:51:731,1,181,4,0,238,2584,181,0 6,0,2024-09-07 08:41:50:957,48531,0.8,48003,0.9,95714,1.0,128496,2.25 6,1,2024-09-07 08:41:50:766,318591,318591,0,0,147916872676,1547057898,315189,2754,648,379,391634,0 6,2,2024-09-07 08:41:51:117,228889,228889,0,0,9608955,0,4816 6,3,2024-09-07 08:41:51:277,1,181,14,0,340,2387,181,0 7,0,2024-09-07 08:41:51:548,40575,0.5,40485,0.7,81022,0.4,108310,2.00 7,1,2024-09-07 08:41:50:852,318295,318295,0,0,149096681660,1558571059,315470,2692,133,382,391664,0 7,2,2024-09-07 08:41:50:773,228473,228473,0,0,8830639,0,2981 7,3,2024-09-07 08:41:50:852,1,181,8,0,305,1740,181,0 8,0,2024-09-07 08:41:51:368,42441,0.3,42731,0.4,85008,0.2,113619,1.50 8,1,2024-09-07 08:41:51:029,317346,317346,0,0,148592929489,1565950651,311731,4014,1601,367,391956,0 8,2,2024-09-07 08:41:50:793,226326,226326,0,0,10676573,0,2986 8,3,2024-09-07 08:41:50:594,1,181,57,0,357,2763,181,0 9,0,2024-09-07 08:41:51:131,48813,0.3,47272,0.5,98889,0.3,130245,1.50 9,1,2024-09-07 08:41:50:562,317587,317587,0,0,149244483437,1572151429,312878,3576,1133,370,392001,0 9,2,2024-09-07 08:41:51:084,228961,228961,0,0,9964239,0,3360 9,3,2024-09-07 08:41:51:757,1,181,3,0,496,2812,181,0 10,0,2024-09-07 08:41:51:603,48775,0.4,48380,0.5,97303,0.3,129740,1.75 10,1,2024-09-07 08:41:50:592,318263,318263,0,0,148618730437,1557103667,313788,3832,643,381,391741,0 10,2,2024-09-07 08:41:50:770,228966,228966,0,0,10004920,0,2940 10,3,2024-09-07 08:41:50:879,1,181,12,0,177,1278,181,0 11,0,2024-09-07 08:41:51:020,41764,0.6,40622,0.9,85145,0.7,113281,2.00 11,1,2024-09-07 08:41:50:576,318367,318367,0,0,148474840837,1558918456,313049,4048,1270,384,391537,0 11,2,2024-09-07 08:41:51:134,229237,229237,0,0,9723590,0,3411 11,3,2024-09-07 08:41:51:306,1,181,11,0,720,2923,181,0 12,0,2024-09-07 08:41:51:013,42705,0.3,42385,0.5,85109,0.2,113146,1.50 12,1,2024-09-07 08:41:50:960,318373,318373,0,0,148033419139,1545634355,315405,2553,415,370,391837,0 12,2,2024-09-07 08:41:51:552,230293,230293,0,0,10118412,0,3469 12,3,2024-09-07 08:41:51:069,1,181,14,0,358,2808,181,0 13,0,2024-09-07 08:41:51:399,47406,0.3,47295,0.5,94515,0.3,126340,1.50 13,1,2024-09-07 08:41:51:554,317931,317931,0,0,148159890088,1553212458,315494,2027,410,382,391717,0 13,2,2024-09-07 08:41:50:606,229797,229797,0,0,9259471,0,3287 13,3,2024-09-07 08:41:51:772,1,181,1,0,467,3440,181,0 14,0,2024-09-07 08:41:50:566,49048,0.4,49383,0.6,97690,0.4,130028,1.75 14,1,2024-09-07 08:41:51:562,319948,319948,0,0,148868213131,1542104674,317681,2104,163,364,391571,0 14,2,2024-09-07 08:41:50:770,228833,228833,0,0,9372839,0,2896 14,3,2024-09-07 08:41:51:117,1,181,8,0,1168,3030,181,0 15,0,2024-09-07 08:41:51:564,44460,0.8,44518,1.0,89301,0.9,118511,2.25 15,1,2024-09-07 08:41:51:619,318138,318138,0,0,148644790482,1545400231,316220,1808,110,381,391619,0 15,2,2024-09-07 08:41:50:998,230034,230034,0,0,7851245,0,3043 15,3,2024-09-07 08:41:51:414,1,181,5,0,1126,4570,181,0 16,0,2024-09-07 08:41:51:006,41788,0.5,41980,0.7,83451,0.4,111095,2.00 16,1,2024-09-07 08:41:50:587,318573,318573,0,0,148472452916,1551878628,316172,2139,262,370,391756,0 16,2,2024-09-07 08:41:51:435,228375,228375,0,0,10469641,0,4719 16,3,2024-09-07 08:41:51:166,1,181,7,0,231,2402,181,0 17,0,2024-09-07 08:41:51:878,45911,0.4,44892,0.6,87934,0.4,119512,1.75 17,1,2024-09-07 08:41:50:576,317543,317543,0,0,148412989767,1558743021,314124,2744,675,368,391688,0 17,2,2024-09-07 08:41:51:681,231038,231038,0,0,9297602,0,2857 17,3,2024-09-07 08:41:50:584,1,181,158,0,268,2719,181,0 18,0,2024-09-07 08:41:50:950,47950,0.9,48208,0.9,96483,1.0,128445,2.50 18,1,2024-09-07 08:41:51:648,318584,318584,0,0,148662060940,1541934709,316551,1799,234,367,391564,0 18,2,2024-09-07 08:41:51:758,229242,229242,0,0,9139080,0,3541 18,3,2024-09-07 08:41:50:904,1,181,27,0,163,1934,181,0 19,0,2024-09-07 08:41:51:540,46884,1.0,47318,1.0,93401,1.1,124354,2.50 19,1,2024-09-07 08:41:50:571,318357,318357,0,0,149389929033,1554908235,314542,3099,716,367,391777,0 19,2,2024-09-07 08:41:51:775,230043,230043,0,0,8326010,0,3988 19,3,2024-09-07 08:41:51:129,1,181,3,0,524,1550,181,0 20,0,2024-09-07 08:41:51:398,41479,0.5,41392,0.7,83129,0.5,110739,2.25 20,1,2024-09-07 08:41:50:607,317512,317512,0,0,149100811530,1562247414,314027,3091,394,369,391822,0 20,2,2024-09-07 08:41:50:933,228846,228846,0,0,9527099,0,3721 20,3,2024-09-07 08:41:50:606,1,181,19,0,414,3560,181,0 21,0,2024-09-07 08:41:51:144,42813,0.3,42987,0.5,85463,0.3,113632,1.75 21,1,2024-09-07 08:41:51:553,317419,317419,0,0,147276943546,1549022025,313739,3146,534,368,391962,0 21,2,2024-09-07 08:41:51:069,228913,228913,0,0,10528280,0,3747 21,3,2024-09-07 08:41:51:404,1,181,3,0,103,2166,181,0 22,0,2024-09-07 08:41:51:726,49488,0.7,49522,0.8,98637,0.8,131469,2.25 22,1,2024-09-07 08:41:51:030,317496,317496,0,0,148335275229,1562661122,311951,4036,1509,382,391667,0 22,2,2024-09-07 08:41:50:770,228971,228971,0,0,9019981,0,3134 22,3,2024-09-07 08:41:51:067,1,181,8,0,228,1864,181,0 23,0,2024-09-07 08:41:51:380,47736,0.8,47765,0.9,95836,1.0,128129,2.50 23,1,2024-09-07 08:41:51:030,318188,318188,0,0,149498179247,1562407975,314003,2700,1485,365,391549,0 23,2,2024-09-07 08:41:51:093,228237,228237,0,0,9126468,0,3010 23,3,2024-09-07 08:41:51:757,1,181,1,0,645,1915,181,0 24,0,2024-09-07 08:41:50:884,42292,0.5,42334,0.6,84668,0.5,112303,1.75 24,1,2024-09-07 08:41:50:669,318167,318167,0,0,147885589428,1545706366,315196,2171,800,369,391640,0 24,2,2024-09-07 08:41:51:077,228314,228314,0,0,10531875,0,2942 24,3,2024-09-07 08:41:51:687,1,181,1,0,234,2015,181,0 25,0,2024-09-07 08:41:51:377,43217,0.3,42332,0.5,82684,0.3,112887,1.75 25,1,2024-09-07 08:41:50:558,318007,318007,0,0,148837309691,1559811060,314304,3160,543,371,391788,0 25,2,2024-09-07 08:41:51:638,228486,228486,0,0,10914237,0,3978 25,3,2024-09-07 08:41:51:018,1,181,5,0,255,2187,181,0 26,0,2024-09-07 08:41:51:729,47834,0.3,46829,0.5,98086,0.2,128102,1.75 26,1,2024-09-07 08:41:51:551,318698,318698,0,0,148147172728,1552823375,314032,3765,901,381,391748,0 26,2,2024-09-07 08:41:50:866,229733,229733,0,0,10026918,0,2809 26,3,2024-09-07 08:41:51:716,1,181,8,0,796,2306,181,0 27,0,2024-09-07 08:41:51:739,48578,0.5,48503,0.7,96240,0.5,128632,2.00 27,1,2024-09-07 08:41:51:676,319911,319911,0,0,149434405815,1550609039,318062,1526,323,381,391558,0 27,2,2024-09-07 08:41:50:871,227592,227592,0,0,10322145,0,3409 27,3,2024-09-07 08:41:51:026,1,181,1,0,564,1996,181,0 28,0,2024-09-07 08:41:51:392,43100,0.7,43225,0.8,87090,1.0,115766,2.25 28,1,2024-09-07 08:41:50:810,319220,319220,0,0,149497517275,1556189902,317049,1834,337,383,391646,0 28,2,2024-09-07 08:41:51:768,229848,229848,0,0,8355873,0,2915 28,3,2024-09-07 08:41:51:785,1,181,1,0,502,2117,181,0 29,0,2024-09-07 08:41:51:367,42803,0.3,41823,0.5,81664,0.2,112012,1.75 29,1,2024-09-07 08:41:51:576,319777,319777,0,0,149008351798,1542847609,317343,1891,543,369,391621,0 29,2,2024-09-07 08:41:50:875,228945,228945,0,0,8183772,0,4018 29,3,2024-09-07 08:41:50:972,1,181,3,0,115,1408,181,0 30,0,2024-09-07 08:41:51:463,45715,0.4,44501,0.6,93261,0.3,122965,2.00 30,1,2024-09-07 08:41:50:571,318929,318929,0,0,148653932368,1542688770,316931,1720,278,382,391672,0 30,2,2024-09-07 08:41:51:278,229654,229654,0,0,8230299,0,3161 30,3,2024-09-07 08:41:50:589,1,181,11,0,195,1257,181,0 31,0,2024-09-07 08:41:51:764,48304,0.5,48764,0.7,97994,0.5,129808,2.00 31,1,2024-09-07 08:41:50:564,320641,320641,0,0,150551820008,1541490999,319829,700,112,356,391712,0 31,2,2024-09-07 08:41:51:277,228557,228557,0,0,9877465,0,3525 31,3,2024-09-07 08:41:51:715,1,181,30,0,220,1549,181,0 32,0,2024-09-07 08:41:51:455,46040,0.5,46491,0.6,93140,0.4,123378,1.75 32,1,2024-09-07 08:41:50:804,319025,319025,0,0,148853450724,1545080571,317270,1479,276,382,391595,0 32,2,2024-09-07 08:41:50:936,229618,229618,0,0,8167730,0,3155 32,3,2024-09-07 08:41:51:019,1,181,0,0,227,1515,181,0 33,0,2024-09-07 08:41:51:519,42378,0.3,41710,0.5,84305,0.2,111765,1.75 33,1,2024-09-07 08:41:50:575,319670,319670,0,0,149494519557,1546398956,317407,2091,172,369,391730,0 33,2,2024-09-07 08:41:50:771,229029,228996,33,0,10134095,0,7012 33,3,2024-09-07 08:41:50:895,1,181,0,0,329,2007,181,0 34,0,2024-09-07 08:41:50:932,43612,0.3,44900,0.4,86045,0.2,116051,1.50 34,1,2024-09-07 08:41:51:044,319910,319910,0,0,149441062453,1538101944,318912,992,6,367,391562,0 34,2,2024-09-07 08:41:50:776,228443,228443,0,0,9224162,0,3577 34,3,2024-09-07 08:41:51:698,1,181,18,0,148,1184,181,0 35,0,2024-09-07 08:41:50:861,48639,0.4,49202,0.5,98296,0.3,130569,1.75 35,1,2024-09-07 08:41:51:068,318221,318221,0,0,148808767614,1545293579,315397,2054,770,384,391589,0 35,2,2024-09-07 08:41:51:587,229856,229856,0,0,8366522,0,2653 35,3,2024-09-07 08:41:50:909,1,181,16,0,418,2021,181,0 36,0,2024-09-07 08:41:51:539,48175,0.8,48108,0.9,96442,1.0,128062,2.50 36,1,2024-09-07 08:41:50:591,318625,318625,0,0,148276202790,1551215795,314002,3334,1289,366,391759,0 36,2,2024-09-07 08:41:51:758,228054,228054,0,0,9687579,0,3303 36,3,2024-09-07 08:41:50:864,1,181,340,0,378,2704,181,0 37,0,2024-09-07 08:41:51:382,40649,0.4,40636,0.7,81064,0.4,109197,2.00 37,1,2024-09-07 08:41:50:570,317860,317853,0,7,148394408149,1557241927,313080,2754,2019,365,391560,0 37,2,2024-09-07 08:41:51:152,228601,228586,15,0,9828227,0,5815 37,3,2024-09-07 08:41:51:768,1,181,0,0,724,2721,181,0 38,0,2024-09-07 08:41:51:446,42474,0.4,41141,0.6,86002,0.3,113245,1.75 38,1,2024-09-07 08:41:51:609,319048,319048,0,0,149368532992,1559749708,314957,3459,632,368,391821,0 38,2,2024-09-07 08:41:50:764,229254,229207,47,0,11565552,0,6710 38,3,2024-09-07 08:41:50:999,1,181,689,0,689,2913,181,0 39,0,2024-09-07 08:41:51:797,49983,0.5,48987,0.6,95315,0.4,130588,2.00 39,1,2024-09-07 08:41:50:732,318734,318734,0,0,149045469730,1552959066,314911,3021,802,365,391524,0 39,2,2024-09-07 08:41:51:425,229394,229394,0,0,8721484,0,2689 39,3,2024-09-07 08:41:50:725,1,181,6,0,293,2430,181,0 40,0,2024-09-07 08:41:51:499,47921,0.9,48608,1.1,96910,1.0,129144,3.00 40,1,2024-09-07 08:41:50:584,318424,318424,0,0,147710132854,1545148068,313956,3699,769,368,391591,0 40,2,2024-09-07 08:41:51:307,227787,227786,1,0,10989890,0,5137 40,3,2024-09-07 08:41:51:151,1,181,1,0,181,1859,181,0 41,0,2024-09-07 08:41:51:050,41834,1.8,42645,1.5,81676,3.1,111792,4.00 41,1,2024-09-07 08:41:50:828,318169,318169,0,0,149068266566,1557773106,313972,3663,534,370,391742,0 41,2,2024-09-07 08:41:50:766,228289,228289,0,0,10544270,0,3356 41,3,2024-09-07 08:41:51:675,1,181,1,0,366,2035,181,0 42,0,2024-09-07 08:41:51:502,41779,0.5,42224,0.7,84180,0.4,111391,2.00 42,1,2024-09-07 08:41:51:463,317179,317179,0,0,147946435869,1553152960,312461,3760,958,380,391675,0 42,2,2024-09-07 08:41:51:136,228722,228722,0,0,10314895,0,3568 42,3,2024-09-07 08:41:51:009,1,181,7,0,446,1462,181,0 43,0,2024-09-07 08:41:50:924,47146,0.5,45883,0.8,95967,0.5,126297,2.00 43,1,2024-09-07 08:41:50:576,318427,318427,0,0,149427877896,1555353194,315087,2547,793,366,391696,0 43,2,2024-09-07 08:41:51:744,229246,229246,0,0,9644809,0,3812 43,3,2024-09-07 08:41:51:757,1,181,1,0,325,2175,181,0 44,0,2024-09-07 08:41:50:916,48800,0.5,49157,0.7,97875,0.5,130567,1.75 44,1,2024-09-07 08:41:50:570,319579,319579,0,0,149089517590,1539027987,317517,1637,425,356,391809,0 44,2,2024-09-07 08:41:51:269,228114,228114,0,0,7992180,0,1877 44,3,2024-09-07 08:41:51:110,1,181,1,0,817,2133,181,0 45,0,2024-09-07 08:41:51:759,44019,1.1,43108,1.0,90426,1.2,119148,2.25 45,1,2024-09-07 08:41:51:043,319144,319144,0,0,149061417897,1543738714,318103,1031,10,382,391917,0 45,2,2024-09-07 08:41:51:272,230119,230119,0,0,8696883,0,3596 45,3,2024-09-07 08:41:50:940,1,181,3,0,226,1808,181,0 46,0,2024-09-07 08:41:50:955,41602,0.3,41528,0.5,83304,0.2,110193,1.75 46,1,2024-09-07 08:41:50:575,319666,319666,0,0,149239721585,1541662644,317856,1586,224,366,391524,0 46,2,2024-09-07 08:41:50:604,229424,229424,0,0,8676533,0,2920 46,3,2024-09-07 08:41:51:140,1,181,13,0,908,3091,181,0 47,0,2024-09-07 08:41:51:110,44647,0.3,44893,0.5,90064,0.2,119279,1.50 47,1,2024-09-07 08:41:50:575,320285,320285,0,0,148912391127,1535577729,318877,1391,17,366,391605,0 47,2,2024-09-07 08:41:50:911,230186,230186,0,0,8758327,0,2558 47,3,2024-09-07 08:41:51:117,1,181,1,0,529,1917,181,0 48,0,2024-09-07 08:41:51:504,49047,0.3,48638,0.4,97216,0.2,129396,1.50 48,1,2024-09-07 08:41:51:047,319808,319808,0,0,149333297892,1550529162,317743,1884,181,384,391710,0 48,2,2024-09-07 08:41:50:706,229295,229295,0,0,7900435,0,3031 48,3,2024-09-07 08:41:50:753,1,181,23,0,339,1532,181,0 49,0,2024-09-07 08:41:51:724,48570,0.5,47640,0.6,92583,0.5,126312,1.75 49,1,2024-09-07 08:41:51:063,318356,318356,0,0,149578321133,1558259690,315606,1910,840,382,391583,0 49,2,2024-09-07 08:41:51:797,229937,229937,0,0,8625842,0,3900 49,3,2024-09-07 08:41:51:423,1,181,0,0,408,2477,181,0 50,0,2024-09-07 08:41:51:522,41700,0.3,41190,0.5,82806,0.2,110789,1.75 50,1,2024-09-07 08:41:51:011,320405,320405,0,0,149382481166,1547282689,317875,2221,309,368,391530,0 50,2,2024-09-07 08:41:51:076,229136,229136,0,0,8303484,0,2253 50,3,2024-09-07 08:41:51:291,1,181,8,0,335,1413,181,0 51,0,2024-09-07 08:41:51:682,43727,0.2,42932,0.4,83696,0.2,114390,1.50 51,1,2024-09-07 08:41:51:686,319293,319293,0,0,150735594000,1556650399,317320,1187,786,365,391637,0 51,2,2024-09-07 08:41:51:317,229346,229346,0,0,7910908,0,3337 51,3,2024-09-07 08:41:51:043,1,181,0,0,162,969,181,0 52,0,2024-09-07 08:41:51:439,50005,0.5,49869,0.7,99617,0.5,132095,2.00 52,1,2024-09-07 08:41:50:588,318213,318213,0,0,147456860362,1551180287,312293,4760,1160,368,391722,0 52,2,2024-09-07 08:41:51:758,227697,227659,38,0,10419116,0,6742 52,3,2024-09-07 08:41:50:674,1,181,57,0,1782,3639,181,0 53,0,2024-09-07 08:41:51:736,47678,0.9,46352,0.9,96980,1.1,127212,2.75 53,1,2024-09-07 08:41:50:777,317513,317513,0,0,148561853745,1563611427,311808,3809,1896,367,391702,0 53,2,2024-09-07 08:41:51:310,229054,229054,0,0,8714524,0,2262 53,3,2024-09-07 08:41:50:700,1,181,44,0,271,1709,181,0 54,0,2024-09-07 08:41:51:622,40679,1.7,41272,1.1,81606,0.8,109546,3.50 54,1,2024-09-07 08:41:50:588,318467,318467,0,0,149511275434,1558142986,314472,3424,571,367,391659,0 54,2,2024-09-07 08:41:50:867,228987,228981,6,0,10305655,0,5382 54,3,2024-09-07 08:41:50:763,1,181,24,0,676,3273,181,0 55,0,2024-09-07 08:41:51:779,40703,0.4,42018,0.6,85095,0.3,110773,2.25 55,1,2024-09-07 08:41:50:770,317828,317828,0,0,147944856375,1545904915,312625,4416,787,365,391731,0 55,2,2024-09-07 08:41:50:729,228438,228438,0,0,9926378,0,3275 55,3,2024-09-07 08:41:50:674,1,181,1,0,304,2232,181,0 56,0,2024-09-07 08:41:51:701,49333,0.8,46590,0.9,95921,1.1,128501,2.25 56,1,2024-09-07 08:41:50:579,317680,317680,0,0,148787200117,1571579398,312451,4322,907,381,391678,0 56,2,2024-09-07 08:41:51:311,228909,228909,0,0,10831840,0,3567 56,3,2024-09-07 08:41:51:074,1,181,2,0,405,2408,181,0 57,0,2024-09-07 08:41:50:946,47416,2.2,47531,1.4,95021,3.2,127477,3.75 57,1,2024-09-07 08:41:51:001,318597,318597,0,0,148195193305,1548970710,315704,2723,170,367,391960,0 57,2,2024-09-07 08:41:51:329,228899,228899,0,0,10860651,0,3178 57,3,2024-09-07 08:41:51:738,1,181,7,0,359,2803,181,0 58,0,2024-09-07 08:41:50:584,42204,1.1,41056,1.1,85969,1.3,112437,2.75 58,1,2024-09-07 08:41:50:600,319231,319228,0,3,149365446146,1557072536,315675,3155,398,367,391517,3 58,2,2024-09-07 08:41:51:077,229294,229294,0,0,9982592,0,2549 58,3,2024-09-07 08:41:51:068,1,181,11,0,1043,2387,181,0 59,0,2024-09-07 08:41:51:771,41922,0.7,41536,0.9,82982,0.7,110796,2.75 59,1,2024-09-07 08:41:50:804,318368,318368,0,0,148864192436,1554030388,314717,2728,923,369,391525,0 59,2,2024-09-07 08:41:50:596,230111,230111,0,0,8858124,0,2604 59,3,2024-09-07 08:41:51:738,1,181,46,0,1015,2960,181,0 60,0,2024-09-07 08:41:51:778,46126,0.3,45993,0.5,92174,0.3,122929,1.75 60,1,2024-09-07 08:41:50:779,319756,319756,0,0,149513655743,1548023383,318294,1104,358,370,391761,0 60,2,2024-09-07 08:41:51:160,228806,228806,0,0,10017180,0,3811 60,3,2024-09-07 08:41:51:263,1,181,1,0,124,1693,181,0 61,0,2024-09-07 08:41:51:518,48730,1.0,48980,0.9,97655,1.5,130099,2.00 61,1,2024-09-07 08:41:50:789,318330,318330,0,0,149137235293,1561109323,314885,2892,553,382,391593,0 61,2,2024-09-07 08:41:51:129,229805,229805,0,0,8875933,0,2079 61,3,2024-09-07 08:41:51:693,1,181,14,0,199,1993,181,0 62,0,2024-09-07 08:41:51:720,46544,1.2,47568,1.0,90615,1.6,123151,2.25 62,1,2024-09-07 08:41:51:111,320371,320365,0,6,149282359134,1538871687,318685,1641,39,365,391715,6 62,2,2024-09-07 08:41:51:661,228299,228298,1,0,10325101,0,5555 62,3,2024-09-07 08:41:51:158,1,181,17,0,287,1221,181,0 63,0,2024-09-07 08:41:51:455,41993,0.5,41894,0.6,83956,0.4,111548,1.75 63,1,2024-09-07 08:41:50:804,319706,319700,0,6,148681920698,1538852706,318455,1218,27,381,391677,6 63,2,2024-09-07 08:41:50:763,228745,228745,0,0,8299739,0,2674 63,3,2024-09-07 08:41:51:738,1,181,2,0,667,2454,181,0 64,0,2024-09-07 08:41:51:543,43249,0.4,43313,0.6,86814,0.3,115898,1.75 64,1,2024-09-07 08:41:50:756,318870,318870,0,0,148502391273,1550093528,315398,2417,1055,370,391783,0 64,2,2024-09-07 08:41:51:143,230791,230772,19,0,9162692,0,6121 64,3,2024-09-07 08:41:51:154,1,181,12,0,265,1883,181,0 65,0,2024-09-07 08:41:51:710,48392,0.7,48887,0.8,97455,0.8,129606,2.25 65,1,2024-09-07 08:41:50:872,317777,317777,0,0,148098898777,1548598720,315239,2314,224,382,391770,0 65,2,2024-09-07 08:41:51:706,229217,229217,0,0,9683214,0,3367 65,3,2024-09-07 08:41:51:690,1,181,1,0,163,1752,181,0 66,0,2024-09-07 08:41:51:777,47722,0.6,47742,0.8,95825,0.6,127407,2.25 66,1,2024-09-07 08:41:51:294,319658,319658,0,0,149048391128,1548144315,317770,1716,172,380,391588,0 66,2,2024-09-07 08:41:51:153,230617,230617,0,0,9020299,0,4956 66,3,2024-09-07 08:41:51:080,1,181,12,0,291,1807,181,0 67,0,2024-09-07 08:41:51:449,41107,0.8,40861,0.9,81978,0.8,109277,2.25 67,1,2024-09-07 08:41:50:806,318228,318227,0,1,148517077873,1551672118,314752,2728,747,381,391787,1 67,2,2024-09-07 08:41:50:595,229873,229873,0,0,8654503,0,2889 67,3,2024-09-07 08:41:51:750,1,181,9,0,338,1665,181,0 68,0,2024-09-07 08:41:50:570,42692,0.4,42538,0.6,85054,0.4,113459,2.00 68,1,2024-09-07 08:41:50:582,317758,317758,0,0,148257019788,1553675371,314893,1842,1023,381,391953,0 68,2,2024-09-07 08:41:51:087,228620,228555,65,0,11916654,0,6698 68,3,2024-09-07 08:41:50:736,1,181,7,0,417,2140,181,0 69,0,2024-09-07 08:41:51:767,48666,0.6,48824,0.7,96974,0.6,129809,2.00 69,1,2024-09-07 08:41:51:041,316749,316749,0,0,148246270865,1564004750,312684,2850,1215,384,391994,0 69,2,2024-09-07 08:41:51:765,229859,229859,0,0,10643511,0,3701 69,3,2024-09-07 08:41:50:768,1,181,0,0,238,2230,181,0 70,0,2024-09-07 08:41:51:582,47957,1.6,48158,1.3,96558,1.1,128668,2.75 70,1,2024-09-07 08:41:50:805,318531,318531,0,0,148931707514,1545638651,316072,1997,462,366,391725,0 70,2,2024-09-07 08:41:51:331,228660,228660,0,0,9463210,0,4044 70,3,2024-09-07 08:41:50:772,1,181,9,0,854,2336,181,0 71,0,2024-09-07 08:41:51:378,41692,1.9,41691,1.5,83304,2.7,111982,3.50 71,1,2024-09-07 08:41:51:610,318711,318711,0,0,149247474831,1559262629,314579,3607,525,368,391682,0 71,2,2024-09-07 08:41:51:068,229892,229892,0,0,9677764,0,2470 71,3,2024-09-07 08:41:51:751,1,181,3,0,644,2789,181,0 72,0,2024-09-07 08:41:51:041,43715,0.4,42679,0.6,83399,0.3,113629,2.00 72,1,2024-09-07 08:41:51:028,318418,318418,0,0,148896372606,1552032090,315146,2785,487,369,391819,0 72,2,2024-09-07 08:41:51:775,228424,228424,0,0,11120745,0,2570 72,3,2024-09-07 08:41:51:764,1,181,25,0,364,3350,181,0 73,0,2024-09-07 08:41:51:171,46039,0.3,47240,0.5,96615,0.3,125770,2.00 73,1,2024-09-07 08:41:50:790,318487,318487,0,0,148803887058,1542615909,316643,1729,115,367,391750,0 73,2,2024-09-07 08:41:51:747,229472,229472,0,0,10428847,0,3482 73,3,2024-09-07 08:41:50:982,1,181,4,0,274,2656,181,0 74,0,2024-09-07 08:41:51:347,49283,0.5,50306,0.7,95857,0.5,130057,2.25 74,1,2024-09-07 08:41:50:638,318329,318329,0,0,148771603484,1550494754,315508,2199,622,382,391681,0 74,2,2024-09-07 08:41:51:001,228789,228789,0,0,10352526,0,4253 74,3,2024-09-07 08:41:51:448,1,181,8,0,522,2830,181,0 75,0,2024-09-07 08:41:51:765,44879,1.1,44530,1.1,89286,1.1,119539,2.75 75,1,2024-09-07 08:41:51:592,317917,317917,0,0,148079046750,1548243005,314513,2944,460,381,391579,0 75,2,2024-09-07 08:41:51:362,228896,228896,0,0,10043214,0,4766 75,3,2024-09-07 08:41:51:067,1,181,4,0,535,1912,181,0 76,0,2024-09-07 08:41:50:585,41554,0.4,41229,0.6,82379,0.3,110439,2.00 76,1,2024-09-07 08:41:50:807,318635,318635,0,0,148436589227,1546934380,316566,1557,512,382,391692,0 76,2,2024-09-07 08:41:51:065,229748,229748,0,0,8834110,0,3064 76,3,2024-09-07 08:41:51:154,1,181,1,0,175,2002,181,0 77,0,2024-09-07 08:41:51:757,44552,0.4,44935,0.6,89651,0.3,119012,1.75 77,1,2024-09-07 08:41:50:829,319812,319812,0,0,148469579001,1546033891,318211,1514,87,383,391808,0 77,2,2024-09-07 08:41:51:284,228693,228693,0,0,9198225,0,3890 77,3,2024-09-07 08:41:51:106,1,181,10,0,305,2153,181,0 78,0,2024-09-07 08:41:51:724,48710,0.5,48403,0.7,97569,0.4,128935,2.00 78,1,2024-09-07 08:41:50:628,319099,319099,0,0,148231618888,1539672413,316761,2085,253,367,391646,0 78,2,2024-09-07 08:41:51:422,230222,230222,0,0,8417864,0,2114 78,3,2024-09-07 08:41:51:165,1,181,2,0,181,1730,181,0 79,0,2024-09-07 08:41:51:352,45841,0.5,46911,0.7,96014,0.5,124643,2.50 79,1,2024-09-07 08:41:50:585,319807,319807,0,0,149313891041,1545262347,317555,2079,173,369,391682,0 79,2,2024-09-07 08:41:51:068,229566,229566,0,0,8533045,0,3212 79,3,2024-09-07 08:41:50:753,1,181,1,0,418,2965,181,0 80,0,2024-09-07 08:41:51:141,41376,0.6,42577,0.7,81586,0.5,110758,2.00 80,1,2024-09-07 08:41:51:623,318135,318135,0,0,148652287548,1546828884,315602,2369,164,368,391791,0 80,2,2024-09-07 08:41:51:100,229305,229305,0,0,9250764,0,4433 80,3,2024-09-07 08:41:50:584,1,181,1,0,190,2750,181,0 81,0,2024-09-07 08:41:51:576,42694,0.4,44023,0.6,84289,0.4,113881,1.75 81,1,2024-09-07 08:41:51:661,318318,318318,0,0,147987938410,1546189178,315824,2220,274,382,391879,0 81,2,2024-09-07 08:41:51:125,228994,228994,0,0,9629650,0,3993 81,3,2024-09-07 08:41:51:117,1,181,1,0,193,1686,181,0 82,0,2024-09-07 08:41:51:570,49403,0.4,49720,0.6,99516,0.4,132252,1.75 82,1,2024-09-07 08:41:50:596,319143,319139,0,4,148694592194,1549315398,316682,1879,578,381,391558,4 82,2,2024-09-07 08:41:51:699,229595,229595,0,0,8724766,0,3986 82,3,2024-09-07 08:41:51:757,1,181,14,0,227,1953,181,0 83,0,2024-09-07 08:41:51:547,48037,0.7,48089,0.8,95496,0.7,127597,2.00 83,1,2024-09-07 08:41:50:555,318569,318569,0,0,148631703883,1552001388,315936,2410,223,382,391690,0 83,2,2024-09-07 08:41:50:780,228330,228330,0,0,8680515,0,3119 83,3,2024-09-07 08:41:50:765,1,181,1,0,241,1922,181,0 84,0,2024-09-07 08:41:51:800,41388,1.1,41189,1.2,82855,0.9,110785,2.50 84,1,2024-09-07 08:41:51:053,317999,317999,0,0,148194393636,1550486695,314584,2955,460,368,391967,0 84,2,2024-09-07 08:41:50:575,229427,229427,0,0,10039056,0,3801 84,3,2024-09-07 08:41:51:151,1,181,5,0,270,2037,181,0 85,0,2024-09-07 08:41:51:019,40650,0.4,40624,0.6,86102,0.3,111633,2.00 85,1,2024-09-07 08:41:50:561,317509,317509,0,0,147777260350,1563376634,312050,4379,1080,382,392006,0 85,2,2024-09-07 08:41:50:882,229819,229819,0,0,10871792,0,3656 85,3,2024-09-07 08:41:50:697,1,181,2,0,789,2542,181,0 86,0,2024-09-07 08:41:50:922,48174,0.5,49421,0.6,94928,0.4,128384,1.75 86,1,2024-09-07 08:41:50:841,318309,318309,0,0,149049668888,1559733433,314329,3435,545,366,391961,0 86,2,2024-09-07 08:41:50:862,227926,227925,1,0,11097535,0,5004 86,3,2024-09-07 08:41:50:604,1,181,8,0,286,2533,181,0 87,0,2024-09-07 08:41:51:286,48089,1.4,47899,1.1,96322,2.0,129138,2.25 87,1,2024-09-07 08:41:50:555,318170,318170,0,0,148126040266,1549895466,314934,2841,395,366,391788,0 87,2,2024-09-07 08:41:51:068,227813,227813,0,0,9283082,0,3515 87,3,2024-09-07 08:41:51:794,1,181,1,0,335,2989,181,0 88,0,2024-09-07 08:41:51:459,43381,0.6,43585,0.7,87161,0.7,115765,1.75 88,1,2024-09-07 08:41:50:587,317576,317576,0,0,148392893905,1555347940,313518,2884,1174,365,392084,0 88,2,2024-09-07 08:41:50:694,229028,229028,0,0,10700291,0,3583 88,3,2024-09-07 08:41:51:269,1,181,1,0,435,2411,181,0 89,0,2024-09-07 08:41:51:794,43032,0.4,41679,0.6,82567,0.3,112175,1.75 89,1,2024-09-07 08:41:50:555,316583,316583,0,0,148436652706,1566722010,311141,4504,938,382,391866,0 89,2,2024-09-07 08:41:51:138,228824,228824,0,0,10524108,0,2910 89,3,2024-09-07 08:41:51:794,1,181,12,0,325,3298,181,0 90,0,2024-09-07 08:41:51:636,44677,0.3,45628,0.5,93864,0.3,122609,1.75 90,1,2024-09-07 08:41:50:606,318553,318553,0,0,147750598822,1549038312,315314,2980,259,381,391825,0 90,2,2024-09-07 08:41:51:422,227588,227588,0,0,11398432,0,3060 90,3,2024-09-07 08:41:50:950,1,181,11,0,200,2003,181,0 91,0,2024-09-07 08:41:51:000,49365,0.5,47615,0.6,99214,0.4,130415,1.75 91,1,2024-09-07 08:41:50:564,317932,317932,0,0,149177393179,1564711179,314172,3262,498,381,392047,0 91,2,2024-09-07 08:41:51:338,228243,228243,0,0,10658447,0,2445 91,3,2024-09-07 08:41:50:622,1,181,1,0,216,1955,181,0 92,0,2024-09-07 08:41:51:492,46669,0.7,47833,0.8,91443,0.8,123513,1.75 92,1,2024-09-07 08:41:50:589,318506,318506,0,0,148490247240,1549515201,316317,1785,404,382,392136,0 92,2,2024-09-07 08:41:51:360,231168,231168,0,0,8933157,0,2801 92,3,2024-09-07 08:41:51:018,1,181,3,0,167,1562,181,0 93,0,2024-09-07 08:41:51:009,42205,0.4,43326,0.6,82720,0.3,111973,1.75 93,1,2024-09-07 08:41:50:828,318531,318531,0,0,148844660314,1552675288,315403,2576,552,366,391692,0 93,2,2024-09-07 08:41:50:930,229078,229078,0,0,8988520,0,2509 93,3,2024-09-07 08:41:51:406,1,181,3,0,143,1773,181,0 94,0,2024-09-07 08:41:51:681,43368,0.3,43948,0.4,87259,0.2,115782,1.50 94,1,2024-09-07 08:41:50:571,318142,318142,0,0,148487748616,1551068536,315688,2358,96,381,391850,0 94,2,2024-09-07 08:41:50:766,228861,228861,0,0,8721963,0,2443 94,3,2024-09-07 08:41:51:696,1,181,16,0,264,2537,181,0 95,0,2024-09-07 08:41:51:354,49293,0.3,48969,0.5,98829,0.3,130417,1.75 95,1,2024-09-07 08:41:50:856,318899,318899,0,0,148909309546,1547344119,316502,2212,185,367,391662,0 95,2,2024-09-07 08:41:51:024,229239,229239,0,0,8994079,0,3308 95,3,2024-09-07 08:41:51:717,1,181,9,0,718,3249,181,0 96,0,2024-09-07 08:41:51:095,48203,0.5,48381,0.6,96756,0.5,127989,1.75 96,1,2024-09-07 08:41:51:587,318362,318362,0,0,148743418651,1554924808,315741,1976,645,385,391894,0 96,2,2024-09-07 08:41:51:269,228773,228773,0,0,9570654,0,4042 96,3,2024-09-07 08:41:51:151,1,181,10,0,188,2003,181,0 97,0,2024-09-07 08:41:51:343,41217,0.4,40941,0.5,82113,0.4,109494,1.75 97,1,2024-09-07 08:41:50:771,319289,319289,0,0,149106535896,1549669360,316478,2260,551,367,392140,0 97,2,2024-09-07 08:41:50:614,229078,229078,0,0,8859282,0,3036 97,3,2024-09-07 08:41:50:574,1,181,1,0,165,2076,181,0 98,0,2024-09-07 08:41:51:788,42729,0.2,42624,0.4,85559,0.2,113795,1.50 98,1,2024-09-07 08:41:50:584,319049,319049,0,0,148414340246,1546268992,317221,1735,93,382,391997,0 98,2,2024-09-07 08:41:50:773,230558,230558,0,0,9172825,0,3080 98,3,2024-09-07 08:41:50:703,1,181,12,0,840,3339,181,0 99,0,2024-09-07 08:41:51:470,48713,0.3,48707,0.4,97249,0.2,130478,1.50 99,1,2024-09-07 08:41:51:744,319098,319098,0,0,148724895438,1552206845,316021,2380,697,381,391744,0 99,2,2024-09-07 08:41:51:426,231137,231137,0,0,9033172,0,2615 99,3,2024-09-07 08:41:50:591,1,181,1,0,129,1295,181,0 100,0,2024-09-07 08:41:51:674,48406,1.0,48421,1.2,96651,1.9,129435,2.50 100,1,2024-09-07 08:41:50:577,316494,316494,0,0,148034135813,1567642862,311230,4331,933,381,391989,0 100,2,2024-09-07 08:41:51:821,227134,227123,11,0,10207377,0,5417 100,3,2024-09-07 08:41:51:738,1,181,1,0,559,3787,181,0 101,0,2024-09-07 08:41:51:714,43069,2.6,42019,1.5,82226,2.9,113317,2.75 101,1,2024-09-07 08:41:50:557,317314,317314,0,0,148209343776,1564441970,311938,3897,1479,368,391769,0 101,2,2024-09-07 08:41:51:768,228743,228743,0,0,11529099,0,4644 101,3,2024-09-07 08:41:50:944,1,181,8,0,448,2146,181,0 102,0,2024-09-07 08:41:50:957,41358,0.5,42751,0.7,86006,0.4,112807,2.00 102,1,2024-09-07 08:41:51:152,317831,317831,0,0,148916896544,1564707736,313734,3383,714,369,391883,0 102,2,2024-09-07 08:41:51:744,229605,229551,54,0,10759189,0,6768 102,3,2024-09-07 08:41:51:621,1,181,10,0,410,1793,181,0 103,0,2024-09-07 08:41:51:641,48644,0.4,48674,0.6,91809,0.3,126577,1.75 103,1,2024-09-07 08:41:51:632,317111,317111,0,0,148539655400,1564325343,312658,3243,1210,381,391829,0 103,2,2024-09-07 08:41:50:679,228378,228378,0,0,9650304,0,2104 103,3,2024-09-07 08:41:50:766,1,181,3,0,916,3096,181,0 104,0,2024-09-07 08:41:51:071,48433,1.1,48704,1.1,96311,1.3,130086,2.25 104,1,2024-09-07 08:41:51:603,318555,318555,0,0,148321331964,1561456587,313476,4111,968,365,391948,0 104,2,2024-09-07 08:41:51:666,228197,228197,0,0,10450907,0,3941 104,3,2024-09-07 08:41:51:419,1,181,1,1,1245,5245,181,0 105,0,2024-09-07 08:41:51:061,44215,1.5,42905,1.4,89771,2.4,118393,3.50 105,1,2024-09-07 08:41:50:569,318864,318864,0,0,148342280811,1554547834,314630,3457,777,367,391797,0 105,2,2024-09-07 08:41:51:323,228413,228413,0,0,9830327,0,3509 105,3,2024-09-07 08:41:51:305,1,181,4,0,399,2705,181,0 106,0,2024-09-07 08:41:51:070,40250,0.6,41399,0.8,84292,0.6,110084,2.00 106,1,2024-09-07 08:41:51:755,318529,318529,0,0,148546720064,1555994516,314725,3417,387,369,391767,0 106,2,2024-09-07 08:41:50:777,228153,228153,0,0,9959176,0,2795 106,3,2024-09-07 08:41:50:681,1,181,8,0,405,2474,181,0 107,0,2024-09-07 08:41:51:110,44581,0.4,44642,0.6,88882,0.3,119256,1.75 107,1,2024-09-07 08:41:50:592,317761,317761,0,0,147921715287,1552804989,314749,2781,231,381,392234,0 107,2,2024-09-07 08:41:51:315,228641,228640,1,0,10140530,0,5024 107,3,2024-09-07 08:41:51:769,1,181,59,0,353,2667,181,0 108,0,2024-09-07 08:41:51:860,48854,0.4,48910,0.6,97104,0.4,129409,1.75 108,1,2024-09-07 08:41:51:301,318166,318166,0,0,148761989116,1551343243,315492,2360,314,368,391857,0 108,2,2024-09-07 08:41:51:758,227978,227978,0,0,9530497,0,2647 108,3,2024-09-07 08:41:51:331,1,181,8,0,667,3428,181,0 109,0,2024-09-07 08:41:51:805,47566,0.4,47127,0.6,94567,0.4,126497,1.75 109,1,2024-09-07 08:41:50:611,317889,317889,0,0,149020949251,1562419604,315100,2293,496,383,392132,0 109,2,2024-09-07 08:41:50:933,228488,228488,0,0,9747342,0,3617 109,3,2024-09-07 08:41:51:151,1,181,3,0,249,2375,181,0 110,0,2024-09-07 08:41:51:823,41743,0.4,40593,0.6,84613,0.3,110965,1.75 110,1,2024-09-07 08:41:51:675,319304,319304,0,0,149156178275,1550373015,316679,1858,767,370,391667,0 110,2,2024-09-07 08:41:51:308,229486,229486,0,0,8735723,0,2915 110,3,2024-09-07 08:41:50:711,1,181,36,0,406,2459,181,0 111,0,2024-09-07 08:41:51:486,42982,0.2,42495,0.4,84869,0.1,114223,1.50 111,1,2024-09-07 08:41:51:008,319584,319584,0,0,149649861839,1551835920,317797,1454,333,382,391690,0 111,2,2024-09-07 08:41:51:117,228802,228802,0,0,9483454,0,2763 111,3,2024-09-07 08:41:50:923,1,181,13,0,379,2800,181,0 112,0,2024-09-07 08:41:50:932,49770,0.3,49749,0.4,99491,0.2,132350,1.50 112,1,2024-09-07 08:41:50:834,319510,319510,0,0,148534510394,1542984506,317180,1904,426,380,391580,0 112,2,2024-09-07 08:41:51:153,229230,229229,1,0,9514805,0,5036 112,3,2024-09-07 08:41:50:605,1,181,1,0,282,1950,181,0 113,0,2024-09-07 08:41:50:880,47825,0.4,47827,0.5,96237,0.3,128711,1.75 113,1,2024-09-07 08:41:51:685,319398,319398,0,0,149663644977,1548301643,316979,1931,488,366,391661,0 113,2,2024-09-07 08:41:51:308,229405,229405,0,0,8315532,0,3813 113,3,2024-09-07 08:41:50:692,1,181,0,0,340,2836,181,0 114,0,2024-09-07 08:41:50:880,42195,0.6,42818,0.6,84123,0.4,112660,1.75 114,1,2024-09-07 08:41:50:718,318115,318115,0,0,148644409033,1553386460,313982,2670,1463,381,391534,0 114,2,2024-09-07 08:41:50:878,229521,229521,0,0,8803408,0,3925 114,3,2024-09-07 08:41:51:279,1,181,15,0,395,2088,181,0 115,0,2024-09-07 08:41:50:572,41945,0.2,42397,0.4,84634,0.1,112558,1.50 115,1,2024-09-07 08:41:50:575,318660,318660,0,0,148522300545,1547180730,315014,2825,821,382,391602,0 115,2,2024-09-07 08:41:51:128,230769,230769,0,0,8179242,0,2152 115,3,2024-09-07 08:41:51:035,1,181,1,0,159,1108,181,0 116,0,2024-09-07 08:41:51:712,48271,0.5,47847,0.7,96113,0.5,128053,1.75 116,1,2024-09-07 08:41:50:818,315874,315874,0,0,148213832189,1571596391,310948,3168,1758,380,391677,0 116,2,2024-09-07 08:41:51:752,228246,228246,0,0,11427690,0,3529 116,3,2024-09-07 08:41:50:913,1,181,18,0,252,2627,181,0 117,0,2024-09-07 08:41:51:001,48079,1.2,48249,1.0,96266,1.6,129208,2.00 117,1,2024-09-07 08:41:51:586,318104,318104,0,0,148024945907,1550326517,314419,3255,430,370,392033,0 117,2,2024-09-07 08:41:51:122,229764,229764,0,0,9093302,0,3700 117,3,2024-09-07 08:41:51:063,1,181,10,0,490,3442,181,0 118,0,2024-09-07 08:41:51:846,42241,0.9,43513,0.9,88245,1.0,115089,2.25 118,1,2024-09-07 08:41:50:607,318121,318121,0,0,148186272846,1560350626,313260,3536,1325,366,391736,0 118,2,2024-09-07 08:41:51:602,228944,228944,0,0,10328092,0,2781 118,3,2024-09-07 08:41:51:779,1,181,10,0,235,2240,181,0 119,0,2024-09-07 08:41:51:386,41424,0.6,41703,0.8,84016,0.5,111236,2.00 119,1,2024-09-07 08:41:50:547,318886,318886,0,0,148875485074,1551848604,316392,2203,291,368,391641,0 119,2,2024-09-07 08:41:51:300,229269,229269,0,0,9587517,0,3526 119,3,2024-09-07 08:41:51:331,1,181,13,0,443,3063,181,0 120,0,2024-09-07 08:41:51:570,45708,0.4,45816,0.6,91668,0.3,122623,1.75 120,1,2024-09-07 08:41:50:893,318905,318905,0,0,147773871949,1549253626,315595,3047,263,368,391961,0 120,2,2024-09-07 08:41:50:779,228150,228149,1,0,11762719,0,5281 120,3,2024-09-07 08:41:51:296,1,181,7,0,241,2474,181,0 121,0,2024-09-07 08:41:51:719,48468,1.3,48936,1.1,97511,1.9,129843,2.25 121,1,2024-09-07 08:41:51:664,318367,318367,0,0,148566904744,1552525376,315585,2492,290,367,391840,0 121,2,2024-09-07 08:41:51:130,228042,228042,0,0,10660641,0,4127 121,3,2024-09-07 08:41:50:735,1,181,53,0,269,2392,181,0 122,0,2024-09-07 08:41:51:817,46078,1.1,44888,1.1,94117,1.3,123545,2.25 122,1,2024-09-07 08:41:50:864,317442,317442,0,0,148818082071,1560900658,313288,3445,709,366,392130,0 122,2,2024-09-07 08:41:51:331,229169,229169,0,0,11662262,0,3364 122,3,2024-09-07 08:41:50:607,1,181,12,0,411,3884,181,0 123,0,2024-09-07 08:41:50:966,42032,0.7,40994,0.8,85550,0.8,111558,2.00 123,1,2024-09-07 08:41:50:599,318366,318366,0,0,149008883002,1566349273,313008,4601,757,369,391823,0 123,2,2024-09-07 08:41:51:024,227143,227142,1,0,10436725,0,5215 123,3,2024-09-07 08:41:51:135,1,181,2,0,168,2152,181,0 124,0,2024-09-07 08:41:51:003,44822,0.3,44786,0.4,84554,0.2,116046,1.50 124,1,2024-09-07 08:41:51:038,319047,319047,0,0,148353256040,1540690990,316952,1739,356,367,392178,0 124,2,2024-09-07 08:41:51:016,229143,229143,0,0,8778233,0,3101 124,3,2024-09-07 08:41:50:774,1,181,3,0,490,2326,181,0 125,0,2024-09-07 08:41:51:479,49156,0.4,49082,0.5,98385,0.3,130516,1.75 125,1,2024-09-07 08:41:50:863,318810,318810,0,0,148214441072,1546773571,316434,2099,277,383,391702,0 125,2,2024-09-07 08:41:51:128,230042,230042,0,0,8704665,0,2180 125,3,2024-09-07 08:41:51:129,1,181,35,0,284,2232,181,0 126,0,2024-09-07 08:41:51:433,48338,0.7,49607,0.7,94806,0.7,128806,1.75 126,1,2024-09-07 08:41:50:554,319231,319231,0,0,149479257070,1548200028,317586,1566,79,365,391987,0 126,2,2024-09-07 08:41:50:613,229950,229950,0,0,9048984,0,3186 126,3,2024-09-07 08:41:50:914,1,181,12,0,150,2548,181,0 127,0,2024-09-07 08:41:51:605,40961,0.4,41133,0.5,82010,0.4,109493,1.75 127,1,2024-09-07 08:41:50:576,318466,318466,0,0,149723518836,1550130527,316383,2042,41,365,391816,0 127,2,2024-09-07 08:41:50:649,229301,229301,0,0,8536073,0,2264 127,3,2024-09-07 08:41:51:267,1,181,1,0,243,1566,181,0 128,0,2024-09-07 08:41:51:572,42967,0.3,42775,0.4,85612,0.2,113843,1.50 128,1,2024-09-07 08:41:51:612,319481,319481,0,0,149412794287,1546107175,318496,913,72,367,391680,0 128,2,2024-09-07 08:41:51:394,229457,229457,0,0,8659596,0,2107 128,3,2024-09-07 08:41:50:775,1,181,1,0,333,2451,181,0 129,0,2024-09-07 08:41:51:023,49013,0.3,48737,0.4,97513,0.2,130119,1.50 129,1,2024-09-07 08:41:50:577,317248,317248,0,0,148289792765,1552235619,314299,2554,395,379,391835,0 129,2,2024-09-07 08:41:50:704,229712,229712,0,0,8981963,0,4031 129,3,2024-09-07 08:41:50:688,1,181,3,0,173,2041,181,0 130,0,2024-09-07 08:41:51:846,48804,0.7,48546,0.7,97524,0.8,130408,1.75 130,1,2024-09-07 08:41:50:606,318979,318979,0,0,148966210680,1551037113,316859,2047,73,381,391825,0 130,2,2024-09-07 08:41:51:128,229382,229382,0,0,8789370,0,4067 130,3,2024-09-07 08:41:51:293,1,181,25,0,450,2108,181,0 131,0,2024-09-07 08:41:52:021,42355,0.5,42623,0.6,86101,0.6,113485,1.75 131,1,2024-09-07 08:41:51:837,319570,319570,0,0,148801721351,1546934171,318094,1270,206,383,391865,0 131,2,2024-09-07 08:41:50:597,230272,230272,0,0,8527519,0,2415 131,3,2024-09-07 08:41:51:694,1,181,2,0,392,1924,181,0 132,0,2024-09-07 08:41:51:449,42032,0.4,42582,0.7,85126,0.3,113201,1.75 132,1,2024-09-07 08:41:50:589,317454,317454,0,0,148002935267,1562889174,312030,4392,1032,381,392097,0 132,2,2024-09-07 08:41:50:704,229126,229126,0,0,11346105,0,4606 132,3,2024-09-07 08:41:51:690,1,181,2,0,356,3150,181,0 133,0,2024-09-07 08:41:51:616,46004,0.4,47150,0.5,96525,0.3,125596,1.75 133,1,2024-09-07 08:41:50:604,316876,316876,0,0,148429838363,1564549444,312359,3875,642,383,391914,0 133,2,2024-09-07 08:41:51:093,228447,228447,0,0,11395129,0,4315 133,3,2024-09-07 08:41:51:304,1,181,3,0,276,1767,181,0 134,0,2024-09-07 08:41:50:971,48737,0.5,48693,0.7,97981,0.5,130020,2.00 134,1,2024-09-07 08:41:50:599,317510,317510,0,0,148187676826,1554352832,312977,3306,1227,366,391718,0 134,2,2024-09-07 08:41:51:768,228218,228218,0,0,9650730,0,3096 134,3,2024-09-07 08:41:50:784,1,181,19,0,739,3142,181,0 135,0,2024-09-07 08:41:51:159,43343,1.4,43349,1.2,91899,1.6,117920,2.50 135,1,2024-09-07 08:41:51:592,317122,317122,0,0,148014420701,1556265713,312922,3496,704,380,391805,0 135,2,2024-09-07 08:41:50:688,229423,229423,0,0,10520999,0,3981 135,3,2024-09-07 08:41:51:034,1,181,299,0,299,1323,181,0 136,0,2024-09-07 08:41:51:632,41806,0.5,41738,0.7,83850,0.4,111037,2.00 136,1,2024-09-07 08:41:51:451,317824,317824,0,0,148135578047,1551459356,314465,3184,175,383,391641,0 136,2,2024-09-07 08:41:51:138,229624,229624,0,0,10009929,0,3506 136,3,2024-09-07 08:41:51:109,1,181,2,0,108,1390,181,0 137,0,2024-09-07 08:41:50:922,45826,0.4,44360,0.6,87862,0.3,119194,1.75 137,1,2024-09-07 08:41:50:584,317902,317902,0,0,147802046000,1545576672,313734,3747,421,366,391708,0 137,2,2024-09-07 08:41:51:705,228717,228717,0,0,11404036,0,3185 137,3,2024-09-07 08:41:50:776,1,181,70,0,227,2070,181,0 138,0,2024-09-07 08:41:51:777,48247,1.1,48227,1.0,97131,1.4,129144,2.25 138,1,2024-09-07 08:41:51:712,318202,318202,0,0,148804634567,1554135128,314980,2865,357,368,391954,0 138,2,2024-09-07 08:41:50:624,229646,229646,0,0,9417592,0,3263 138,3,2024-09-07 08:41:50:621,1,181,37,0,1160,3286,181,0 139,0,2024-09-07 08:41:51:383,46327,2.7,46465,1.6,93759,4.0,124968,3.00 139,1,2024-09-07 08:41:50:612,316628,316628,0,0,147327250438,1561069485,311338,3963,1327,381,391892,0 139,2,2024-09-07 08:41:50:712,227459,227459,0,0,10650295,0,3097 139,3,2024-09-07 08:41:51:669,1,181,80,0,244,2009,181,0 140,0,2024-09-07 08:41:51:606,41982,0.3,41531,0.5,83656,0.3,111138,1.75 140,1,2024-09-07 08:41:51:551,319688,319688,0,0,149754571163,1543207889,318167,1281,240,365,391606,0 140,2,2024-09-07 08:41:50:687,229485,229485,0,0,9041971,0,3388 140,3,2024-09-07 08:41:50:773,1,181,39,0,247,1522,181,0 141,0,2024-09-07 08:41:51:740,42720,0.2,43781,0.4,83758,0.1,114212,1.50 141,1,2024-09-07 08:41:50:864,319559,319559,0,0,149940972978,1554712591,317605,1601,353,379,391614,0 141,2,2024-09-07 08:41:51:686,229721,229721,0,0,8514247,0,2342 141,3,2024-09-07 08:41:51:044,1,181,1,0,147,1382,181,0 142,0,2024-09-07 08:41:51:373,50208,0.3,49897,0.4,98975,0.2,132644,1.50 142,1,2024-09-07 08:41:50:592,318573,318573,0,0,148701934080,1548274722,317017,1395,161,383,391728,0 142,2,2024-09-07 08:41:51:301,228670,228638,32,0,9962307,0,6028 142,3,2024-09-07 08:41:51:762,1,181,8,0,484,2447,181,0 143,0,2024-09-07 08:41:51:385,48053,0.6,47999,0.7,96840,0.7,128556,1.75 143,1,2024-09-07 08:41:50:557,318991,318991,0,0,148478564155,1539787044,316863,2084,44,367,391619,0 143,2,2024-09-07 08:41:50:769,228466,228466,0,0,9081721,0,2669 143,3,2024-09-07 08:41:51:162,1,181,3,0,303,2703,181,0 144,0,2024-09-07 08:41:51:562,40363,0.8,41617,1.2,84408,0.7,111069,2.00 144,1,2024-09-07 08:41:50:570,317294,317294,0,0,148097160716,1551940930,314888,2202,204,381,391649,0 144,2,2024-09-07 08:41:51:761,229558,229558,0,0,9092367,0,3473 144,3,2024-09-07 08:41:51:741,1,181,5,0,249,2193,181,0 145,0,2024-09-07 08:41:51:378,40714,0.5,40708,0.7,86381,0.4,112009,2.00 145,1,2024-09-07 08:41:50:565,316497,316497,0,0,147962057310,1557591270,312095,3665,737,382,391615,0 145,2,2024-09-07 08:41:51:438,228894,228894,0,0,10170267,0,3903 145,3,2024-09-07 08:41:50:896,1,181,33,0,151,2025,181,0 146,0,2024-09-07 08:41:51:669,48030,0.4,47669,0.6,96181,0.3,127829,2.00 146,1,2024-09-07 08:41:51:603,318813,318813,0,0,148968494316,1560206433,314284,3668,861,368,391629,0 146,2,2024-09-07 08:41:51:703,228756,228756,0,0,9767206,0,2498 146,3,2024-09-07 08:41:51:278,1,181,8,0,1520,5264,181,0 147,0,2024-09-07 08:41:51:750,48201,0.8,48108,0.9,95740,0.7,128877,2.25 147,1,2024-09-07 08:41:51:392,319461,319461,0,0,148958887536,1549698467,316346,2617,498,368,391791,0 147,2,2024-09-07 08:41:51:017,229662,229662,0,0,8920596,0,2789 147,3,2024-09-07 08:41:50:930,1,181,21,0,371,2130,181,0 0,0,2024-09-07 08:42:01:730,44969,0.4,44912,0.6,95250,0.3,123607,1.75 0,1,2024-09-07 08:42:00:813,319991,319991,0,0,150102316662,1568968668,317964,1890,137,372,391772,0 0,2,2024-09-07 08:42:01:072,229764,229764,0,0,9456233,0,4480 0,3,2024-09-07 08:42:00:987,1,182,12,0,247,2259,182,0 1,0,2024-09-07 08:42:01:777,49076,1.1,48687,1.1,97764,1.6,130412,2.25 1,1,2024-09-07 08:42:00:568,319720,319720,0,0,148921379176,1561163539,316269,2583,868,371,391857,0 1,2,2024-09-07 08:42:00:644,229184,229184,0,0,8709916,0,3267 1,3,2024-09-07 08:42:01:317,1,182,5,0,262,2241,182,0 2,0,2024-09-07 08:42:01:571,46193,1.0,46513,1.0,92258,1.4,122987,2.25 2,1,2024-09-07 08:42:00:863,320066,320066,0,0,149917922878,1556961895,318555,1305,206,380,391745,0 2,2,2024-09-07 08:42:01:279,230380,230380,0,0,9235461,0,3594 2,3,2024-09-07 08:42:00:714,1,182,21,0,357,1823,182,0 3,0,2024-09-07 08:42:01:817,41757,0.4,42032,0.7,83656,0.4,110993,2.00 3,1,2024-09-07 08:42:01:637,319574,319574,0,0,149198416318,1556111794,316457,2693,424,380,391516,0 3,2,2024-09-07 08:42:01:149,230294,230271,23,0,9350565,0,5851 3,3,2024-09-07 08:42:01:755,1,182,8,0,103,1165,182,0 4,0,2024-09-07 08:42:01:788,42432,0.3,43618,0.4,88657,0.2,116693,1.50 4,1,2024-09-07 08:42:00:596,320076,320076,0,0,149322882370,1567003168,317065,2488,523,371,391846,0 4,2,2024-09-07 08:42:01:020,229000,229000,0,0,11191986,0,4528 4,3,2024-09-07 08:42:01:028,1,182,4,0,448,2747,182,0 5,0,2024-09-07 08:42:01:389,49233,0.4,49247,0.6,98262,0.4,130482,1.75 5,1,2024-09-07 08:42:00:772,319614,319614,0,0,149636259609,1574719220,315362,3263,989,368,392005,0 5,2,2024-09-07 08:42:01:852,229197,229197,0,0,9680862,0,2259 5,3,2024-09-07 08:42:01:732,1,182,1,0,238,2585,182,0 6,0,2024-09-07 08:42:00:929,48754,0.8,48255,0.9,96229,1.0,129079,2.25 6,1,2024-09-07 08:42:00:750,320327,320327,0,0,148700574950,1555232308,316925,2754,648,379,391634,0 6,2,2024-09-07 08:42:01:116,230152,230152,0,0,9713342,0,4816 6,3,2024-09-07 08:42:01:278,1,182,42,0,340,2429,182,0 7,0,2024-09-07 08:42:01:560,40879,0.5,40794,0.7,81662,0.4,109243,2.00 7,1,2024-09-07 08:42:00:858,320103,320103,0,0,149996892894,1568887838,317087,2883,133,382,391664,0 7,2,2024-09-07 08:42:00:773,229840,229840,0,0,8988781,0,2981 7,3,2024-09-07 08:42:00:853,1,182,1,0,305,1741,182,0 8,0,2024-09-07 08:42:01:380,42738,0.3,43030,0.4,85622,0.2,114298,1.50 8,1,2024-09-07 08:42:01:143,319003,319003,0,0,149613322793,1576501244,313387,4014,1602,367,391956,0 8,2,2024-09-07 08:42:00:801,227512,227512,0,0,10825233,0,2986 8,3,2024-09-07 08:42:00:597,1,182,18,0,357,2781,182,0 9,0,2024-09-07 08:42:01:117,49303,0.3,47805,0.5,99871,0.3,131710,1.50 9,1,2024-09-07 08:42:00:579,319324,319324,0,0,149967867632,1580260013,314522,3669,1133,370,392001,0 9,2,2024-09-07 08:42:01:089,230230,230230,0,0,10016968,0,3360 9,3,2024-09-07 08:42:01:752,1,182,1,0,496,2813,182,0 10,0,2024-09-07 08:42:01:608,49077,0.4,48723,0.5,97891,0.3,130577,1.75 10,1,2024-09-07 08:42:00:582,320027,320027,0,0,149421394165,1565449815,315552,3832,643,381,391741,0 10,2,2024-09-07 08:42:00:764,229899,229899,0,0,10070658,0,2940 10,3,2024-09-07 08:42:00:871,1,182,15,0,177,1293,182,0 11,0,2024-09-07 08:42:01:035,41927,0.6,40796,0.9,85471,0.7,113703,2.00 11,1,2024-09-07 08:42:00:571,319915,319915,0,0,149129612141,1566097563,314567,4078,1270,384,391537,0 11,2,2024-09-07 08:42:01:123,230550,230550,0,0,9780621,0,3411 11,3,2024-09-07 08:42:01:316,1,182,0,0,720,2923,182,0 12,0,2024-09-07 08:42:00:973,42974,0.3,42632,0.5,85607,0.2,113749,1.50 12,1,2024-09-07 08:42:00:948,320124,320124,0,0,148843411501,1554007438,317156,2553,415,370,391837,0 12,2,2024-09-07 08:42:01:541,231697,231697,0,0,10198203,0,3469 12,3,2024-09-07 08:42:01:059,1,182,213,0,358,3021,182,0 13,0,2024-09-07 08:42:01:380,47793,0.3,47708,0.5,95310,0.3,127303,1.50 13,1,2024-09-07 08:42:01:525,319700,319700,0,0,149177379995,1563768242,317263,2027,410,382,391717,0 13,2,2024-09-07 08:42:00:596,231315,231315,0,0,9362018,0,3287 13,3,2024-09-07 08:42:01:762,1,182,2,0,467,3442,182,0 14,0,2024-09-07 08:42:00:572,49223,0.4,49553,0.6,98007,0.4,130479,1.75 14,1,2024-09-07 08:42:01:561,321776,321776,0,0,149641026679,1550003678,319509,2104,163,364,391571,0 14,2,2024-09-07 08:42:00:764,229636,229636,0,0,9392433,0,2896 14,3,2024-09-07 08:42:01:115,1,182,14,0,1168,3044,182,0 15,0,2024-09-07 08:42:01:564,44556,0.8,44641,1.0,89515,0.9,118758,2.25 15,1,2024-09-07 08:42:01:610,319928,319928,0,0,149631677250,1555478590,318010,1808,110,381,391619,0 15,2,2024-09-07 08:42:01:005,231492,231492,0,0,7876479,0,3043 15,3,2024-09-07 08:42:01:404,1,182,1,0,1126,4571,182,0 16,0,2024-09-07 08:42:01:003,41883,0.5,42073,0.7,83645,0.4,111454,2.00 16,1,2024-09-07 08:42:00:602,320209,320209,0,0,149335273145,1560746348,317808,2139,262,370,391756,0 16,2,2024-09-07 08:42:01:438,229884,229884,0,0,10499522,0,4719 16,3,2024-09-07 08:42:01:147,1,182,10,0,231,2412,182,0 17,0,2024-09-07 08:42:01:896,46403,0.4,45327,0.6,88841,0.4,120864,1.75 17,1,2024-09-07 08:42:00:568,319263,319263,0,0,149126414586,1566176410,315844,2744,675,368,391688,0 17,2,2024-09-07 08:42:01:679,232100,232100,0,0,9337404,0,2857 17,3,2024-09-07 08:42:00:581,1,182,1,0,268,2720,182,0 18,0,2024-09-07 08:42:00:944,48051,0.9,48306,0.9,96684,1.0,128728,2.50 18,1,2024-09-07 08:42:01:641,320285,320285,0,0,149604071176,1551578357,318252,1799,234,367,391564,0 18,2,2024-09-07 08:42:01:755,230379,230379,0,0,9161447,0,3541 18,3,2024-09-07 08:42:00:901,1,182,24,0,163,1958,182,0 19,0,2024-09-07 08:42:01:601,47016,1.0,47439,1.0,93638,1.1,124605,2.50 19,1,2024-09-07 08:42:00:578,320112,320112,0,0,150485475766,1566171340,316297,3099,716,367,391777,0 19,2,2024-09-07 08:42:01:752,231520,231520,0,0,8368035,0,3988 19,3,2024-09-07 08:42:01:133,1,182,1,0,524,1551,182,0 20,0,2024-09-07 08:42:01:350,41701,0.5,41613,0.7,83572,0.5,111227,2.00 20,1,2024-09-07 08:42:00:585,319192,319192,0,0,150125502257,1573366197,315660,3138,394,369,391822,0 20,2,2024-09-07 08:42:00:943,230142,230142,0,0,9604614,0,3721 20,3,2024-09-07 08:42:00:595,1,182,14,0,414,3574,182,0 21,0,2024-09-07 08:42:01:126,43236,0.3,43406,0.5,86267,0.3,114766,1.75 21,1,2024-09-07 08:42:01:556,319181,319181,0,0,148264286691,1559588861,315482,3165,534,368,391962,0 21,2,2024-09-07 08:42:01:080,229984,229984,0,0,10620468,0,3747 21,3,2024-09-07 08:42:01:417,1,182,1,0,103,2167,182,0 22,0,2024-09-07 08:42:01:719,49699,0.7,49714,0.8,99014,0.8,131750,2.25 22,1,2024-09-07 08:42:01:025,319176,319176,0,0,149150426367,1571146128,313630,4037,1509,382,391667,0 22,2,2024-09-07 08:42:00:759,230236,230236,0,0,9103642,0,3134 22,3,2024-09-07 08:42:01:071,1,182,8,0,228,1872,182,0 23,0,2024-09-07 08:42:01:386,48030,0.8,48043,0.8,96434,1.0,128704,2.50 23,1,2024-09-07 08:42:01:016,320053,320053,0,0,150209036951,1571705544,315473,2885,1695,365,391549,0 23,2,2024-09-07 08:42:01:093,229334,229334,0,0,9192251,0,3010 23,3,2024-09-07 08:42:01:754,1,182,15,0,645,1930,182,0 24,0,2024-09-07 08:42:00:917,42494,0.5,42542,0.6,85055,0.5,112887,1.75 24,1,2024-09-07 08:42:00:591,320013,320013,0,0,149029428941,1557665168,317035,2176,802,369,391640,0 24,2,2024-09-07 08:42:01:076,229556,229556,0,0,10597341,0,2942 24,3,2024-09-07 08:42:01:698,1,182,4,0,234,2019,182,0 25,0,2024-09-07 08:42:01:361,43646,0.3,42737,0.5,83525,0.3,113881,1.75 25,1,2024-09-07 08:42:00:581,319745,319745,0,0,149525901015,1567334177,316030,3172,543,371,391788,0 25,2,2024-09-07 08:42:01:610,229869,229869,0,0,11053235,0,3978 25,3,2024-09-07 08:42:01:005,1,182,45,0,255,2232,182,0 26,0,2024-09-07 08:42:01:728,48110,0.3,47123,0.5,98714,0.2,128776,1.75 26,1,2024-09-07 08:42:01:540,320401,320401,0,0,148983686683,1561507236,315735,3765,901,381,391748,0 26,2,2024-09-07 08:42:00:861,231220,231220,0,0,10131565,0,2809 26,3,2024-09-07 08:42:01:716,1,182,8,0,796,2314,182,0 27,0,2024-09-07 08:42:01:751,48881,0.5,48828,0.7,96878,0.5,129554,2.00 27,1,2024-09-07 08:42:01:677,321467,321467,0,0,150178985420,1558422516,319618,1526,323,381,391558,0 27,2,2024-09-07 08:42:00:873,228251,228251,0,0,10350952,0,3409 27,3,2024-09-07 08:42:01:015,1,182,6,0,564,2002,182,0 28,0,2024-09-07 08:42:01:389,43245,0.7,43409,0.8,87415,1.0,116258,2.25 28,1,2024-09-07 08:42:00:797,320981,320981,0,0,150366821252,1565155744,318810,1834,337,383,391646,0 28,2,2024-09-07 08:42:01:764,231278,231278,0,0,8440100,0,2915 28,3,2024-09-07 08:42:01:776,1,182,0,0,502,2117,182,0 29,0,2024-09-07 08:42:01:359,43102,0.3,42110,0.5,82257,0.2,112954,1.75 29,1,2024-09-07 08:42:01:562,321482,321482,0,0,149946613429,1552510020,319048,1891,543,369,391621,0 29,2,2024-09-07 08:42:00:862,230403,230403,0,0,8215247,0,4018 29,3,2024-09-07 08:42:00:970,1,182,1,0,115,1409,182,0 30,0,2024-09-07 08:42:01:458,46121,0.4,44987,0.6,94125,0.3,124104,2.00 30,1,2024-09-07 08:42:00:571,320726,320726,0,0,149457047812,1550899500,318728,1720,278,382,391672,0 30,2,2024-09-07 08:42:01:274,230850,230850,0,0,8283338,0,3161 30,3,2024-09-07 08:42:00:581,1,182,12,0,195,1269,182,0 31,0,2024-09-07 08:42:01:759,48450,0.5,48880,0.7,98212,0.5,130142,2.00 31,1,2024-09-07 08:42:00:578,322451,322451,0,0,151272769187,1548879487,321639,700,112,356,391712,0 31,2,2024-09-07 08:42:01:279,229530,229530,0,0,9896364,0,3525 31,3,2024-09-07 08:42:01:707,1,182,1,0,220,1550,182,0 32,0,2024-09-07 08:42:01:469,46161,0.5,46613,0.6,93376,0.4,123709,1.75 32,1,2024-09-07 08:42:00:812,320808,320808,0,0,149854764830,1555454246,319053,1479,276,382,391595,0 32,2,2024-09-07 08:42:00:942,231107,231107,0,0,8211186,0,3155 32,3,2024-09-07 08:42:01:018,1,182,1,0,227,1516,182,0 33,0,2024-09-07 08:42:01:505,42408,0.3,41754,0.5,84380,0.2,111765,1.75 33,1,2024-09-07 08:42:00:580,321474,321474,0,0,150447764627,1556121944,319211,2091,172,369,391730,0 33,2,2024-09-07 08:42:00:759,230261,230228,33,0,10166068,0,7012 33,3,2024-09-07 08:42:00:900,1,182,1,0,329,2008,182,0 34,0,2024-09-07 08:42:00:935,43965,0.3,45291,0.4,86752,0.2,116978,1.50 34,1,2024-09-07 08:42:01:044,321750,321750,0,0,150386718967,1547801838,320752,992,6,367,391562,0 34,2,2024-09-07 08:42:00:765,229642,229642,0,0,9262773,0,3577 34,3,2024-09-07 08:42:01:689,1,182,45,0,148,1229,182,0 35,0,2024-09-07 08:42:00:863,48744,0.4,49288,0.5,98497,0.3,130825,1.75 35,1,2024-09-07 08:42:01:072,320046,320046,0,0,149643780156,1554102587,317219,2057,770,384,391589,0 35,2,2024-09-07 08:42:01:585,231198,231198,0,0,8392660,0,2653 35,3,2024-09-07 08:42:00:916,1,182,16,0,418,2037,182,0 36,0,2024-09-07 08:42:01:516,48411,0.8,48334,0.9,96894,1.0,128661,2.50 36,1,2024-09-07 08:42:00:582,320485,320485,0,0,149099674110,1560059568,315841,3355,1289,366,391759,0 36,2,2024-09-07 08:42:01:752,229407,229407,0,0,9782023,0,3303 36,3,2024-09-07 08:42:00:863,1,182,45,0,378,2749,182,0 37,0,2024-09-07 08:42:01:388,40938,0.4,40951,0.7,81681,0.4,110042,2.00 37,1,2024-09-07 08:42:00:583,319593,319586,0,7,149398365535,1567855654,314813,2754,2019,365,391560,0 37,2,2024-09-07 08:42:01:146,229851,229836,15,0,9932342,0,5815 37,3,2024-09-07 08:42:01:767,1,182,8,0,724,2729,182,0 38,0,2024-09-07 08:42:01:435,42762,0.4,41408,0.6,86609,0.3,113898,2.00 38,1,2024-09-07 08:42:01:604,320670,320670,0,0,150264816552,1569230125,316572,3466,632,368,391821,0 38,2,2024-09-07 08:42:00:760,230558,230511,47,0,11649736,0,6710 38,3,2024-09-07 08:42:01:003,1,182,130,0,689,3043,182,0 39,0,2024-09-07 08:42:01:763,50452,0.5,49492,0.6,96107,0.5,131634,2.00 39,1,2024-09-07 08:42:00:732,320504,320504,0,0,149735350760,1560433685,316670,3032,802,365,391524,0 39,2,2024-09-07 08:42:01:417,230575,230575,0,0,8793026,0,2689 39,3,2024-09-07 08:42:00:712,1,182,8,0,293,2438,182,0 40,0,2024-09-07 08:42:01:493,48206,0.9,48933,1.1,97590,0.9,129960,3.00 40,1,2024-09-07 08:42:00:578,320174,320174,0,0,148949448276,1558033189,315706,3699,769,368,391591,0 40,2,2024-09-07 08:42:01:312,228697,228696,1,0,11073876,0,5137 40,3,2024-09-07 08:42:01:142,1,182,4,0,181,1863,182,0 41,0,2024-09-07 08:42:01:047,41986,1.8,42800,1.5,81970,3.0,112195,4.00 41,1,2024-09-07 08:42:00:775,319982,319982,0,0,149856250878,1566190462,315775,3673,534,370,391742,0 41,2,2024-09-07 08:42:00:760,229652,229652,0,0,10666735,0,3356 41,3,2024-09-07 08:42:01:690,1,182,220,0,366,2255,182,0 42,0,2024-09-07 08:42:01:477,42047,0.5,42484,0.7,84678,0.4,112015,2.00 42,1,2024-09-07 08:42:01:442,318964,318964,0,0,148616466396,1560339632,314246,3760,958,380,391675,0 42,2,2024-09-07 08:42:01:134,230172,230172,0,0,10355432,0,3568 42,3,2024-09-07 08:42:01:013,1,182,8,0,446,1470,182,0 43,0,2024-09-07 08:42:00:923,47558,0.5,46270,0.8,96786,0.5,127279,2.00 43,1,2024-09-07 08:42:00:578,320248,320248,0,0,150474269288,1566508823,316866,2589,793,366,391696,0 43,2,2024-09-07 08:42:01:746,230711,230711,0,0,9753733,0,3812 43,3,2024-09-07 08:42:01:749,1,182,5,0,325,2180,182,0 44,0,2024-09-07 08:42:00:858,48963,0.5,49306,0.7,98189,0.5,131038,1.75 44,1,2024-09-07 08:42:00:573,321292,321292,0,0,149774857244,1546086643,319230,1637,425,356,391809,0 44,2,2024-09-07 08:42:01:267,228815,228815,0,0,8018130,0,1877 44,3,2024-09-07 08:42:01:094,1,182,9,0,817,2142,182,0 45,0,2024-09-07 08:42:01:763,44130,1.1,43204,1.0,90639,1.1,119395,2.25 45,1,2024-09-07 08:42:01:013,320935,320935,0,0,149833377232,1551703523,319894,1031,10,382,391917,0 45,2,2024-09-07 08:42:01:268,231596,231596,0,0,8731793,0,3596 45,3,2024-09-07 08:42:00:940,1,182,0,0,226,1808,182,0 46,0,2024-09-07 08:42:00:965,41715,0.3,41625,0.5,83501,0.2,110509,1.75 46,1,2024-09-07 08:42:00:578,321382,321382,0,0,150057217877,1550007722,319572,1586,224,366,391524,0 46,2,2024-09-07 08:42:00:596,230895,230895,0,0,8713165,0,2920 46,3,2024-09-07 08:42:01:131,1,182,8,0,908,3099,182,0 47,0,2024-09-07 08:42:01:112,45115,0.3,45343,0.5,91007,0.2,120609,1.50 47,1,2024-09-07 08:42:00:567,322066,322066,0,0,149816907060,1544839429,320658,1391,17,366,391605,0 47,2,2024-09-07 08:42:00:922,231249,231249,0,0,8784928,0,2558 47,3,2024-09-07 08:42:01:115,1,182,1,0,529,1918,182,0 48,0,2024-09-07 08:42:01:553,49146,0.3,48754,0.4,97413,0.2,129683,1.50 48,1,2024-09-07 08:42:01:042,321515,321515,0,0,150076936469,1558242150,319449,1885,181,384,391710,0 48,2,2024-09-07 08:42:00:698,230422,230422,0,0,7942207,0,3031 48,3,2024-09-07 08:42:00:759,1,182,0,0,339,1532,182,0 49,0,2024-09-07 08:42:01:727,48663,0.5,47744,0.6,92785,0.5,126563,1.75 49,1,2024-09-07 08:42:01:026,320113,320113,0,0,150261063415,1565501018,317358,1915,840,382,391583,0 49,2,2024-09-07 08:42:01:799,231481,231481,0,0,8663143,0,3900 49,3,2024-09-07 08:42:01:416,1,182,2,0,408,2479,182,0 50,0,2024-09-07 08:42:01:528,41926,0.3,41415,0.5,83206,0.2,111273,1.75 50,1,2024-09-07 08:42:01:015,322190,322190,0,0,150397755977,1557749446,319659,2222,309,368,391530,0 50,2,2024-09-07 08:42:01:072,230483,230483,0,0,8362283,0,2253 50,3,2024-09-07 08:42:01:298,1,182,10,0,335,1423,182,0 51,0,2024-09-07 08:42:01:696,44181,0.2,43336,0.4,84409,0.2,115529,1.50 51,1,2024-09-07 08:42:01:681,321127,321127,0,0,151537015741,1564917475,319154,1187,786,365,391637,0 51,2,2024-09-07 08:42:01:323,230424,230424,0,0,7940214,0,3337 51,3,2024-09-07 08:42:01:028,1,182,0,0,162,969,182,0 52,0,2024-09-07 08:42:01:433,50200,0.5,50075,0.7,99980,0.5,132405,2.00 52,1,2024-09-07 08:42:00:579,319605,319605,0,0,148415431116,1561665775,313558,4887,1160,368,391722,0 52,2,2024-09-07 08:42:01:755,229027,228989,38,0,10518388,0,6742 52,3,2024-09-07 08:42:00:678,1,182,4,0,1782,3643,182,0 53,0,2024-09-07 08:42:01:740,47983,0.9,46603,0.9,97555,1.1,127987,2.75 53,1,2024-09-07 08:42:00:775,319185,319185,0,0,149291617014,1573010445,313095,4055,2035,367,391702,0 53,2,2024-09-07 08:42:01:318,230233,230233,0,0,8859088,0,2262 53,3,2024-09-07 08:42:00:698,1,182,4,0,271,1713,182,0 54,0,2024-09-07 08:42:01:628,40916,1.7,41462,1.1,82015,0.8,110081,3.50 54,1,2024-09-07 08:42:00:580,320218,320218,0,0,150287300695,1566318337,316223,3424,571,367,391659,0 54,2,2024-09-07 08:42:00:872,230131,230125,6,0,10405114,0,5382 54,3,2024-09-07 08:42:00:768,1,182,8,0,676,3281,182,0 55,0,2024-09-07 08:42:01:762,41125,0.4,42443,0.6,85868,0.3,111853,2.25 55,1,2024-09-07 08:42:00:770,319544,319544,0,0,148648272468,1554058004,314152,4605,787,365,391731,0 55,2,2024-09-07 08:42:00:729,229905,229905,0,0,10037020,0,3275 55,3,2024-09-07 08:42:00:677,1,182,1,0,304,2233,182,0 56,0,2024-09-07 08:42:01:606,49649,0.8,46870,0.9,96523,1.1,129221,2.25 56,1,2024-09-07 08:42:00:578,319394,319394,0,0,149547788587,1579732170,314165,4322,907,381,391678,0 56,2,2024-09-07 08:42:01:306,230438,230438,0,0,10923635,0,3567 56,3,2024-09-07 08:42:01:071,1,182,50,0,405,2458,182,0 57,0,2024-09-07 08:42:00:943,47752,2.2,47803,1.4,95670,3.1,128401,3.75 57,1,2024-09-07 08:42:00:998,320309,320309,0,0,148854554835,1556422165,317383,2756,170,367,391960,0 57,2,2024-09-07 08:42:01:330,229636,229636,0,0,10898771,0,3178 57,3,2024-09-07 08:42:01:748,1,182,1,0,359,2804,182,0 58,0,2024-09-07 08:42:00:605,42347,1.1,41202,1.1,86314,1.3,112919,2.75 58,1,2024-09-07 08:42:00:583,320943,320940,0,3,150250027657,1566575081,317327,3214,399,367,391517,3 58,2,2024-09-07 08:42:01:072,230821,230821,0,0,10073332,0,2549 58,3,2024-09-07 08:42:01:078,1,182,8,0,1043,2395,182,0 59,0,2024-09-07 08:42:01:741,42215,0.7,41846,0.9,83617,0.7,111772,2.75 59,1,2024-09-07 08:42:00:813,319976,319976,0,0,149668573628,1562810101,316285,2768,923,369,391525,0 59,2,2024-09-07 08:42:00:584,231521,231521,0,0,8962093,0,2604 59,3,2024-09-07 08:42:01:737,1,182,2,0,1015,2962,182,0 60,0,2024-09-07 08:42:01:736,46556,0.3,46449,0.5,93049,0.3,124150,1.75 60,1,2024-09-07 08:42:00:793,321548,321548,0,0,150293756142,1556011234,320086,1104,358,370,391761,0 60,2,2024-09-07 08:42:01:149,230089,230089,0,0,10103149,0,3811 60,3,2024-09-07 08:42:01:263,1,182,0,0,124,1693,182,0 61,0,2024-09-07 08:42:01:541,48842,1.0,49113,0.9,97898,1.5,130413,2.00 61,1,2024-09-07 08:42:00:771,320024,320024,0,0,150133926443,1571247908,316578,2893,553,382,391593,0 61,2,2024-09-07 08:42:01:116,230733,230733,0,0,8904314,0,2079 61,3,2024-09-07 08:42:01:695,1,182,0,0,199,1993,182,0 62,0,2024-09-07 08:42:01:731,46659,1.2,47674,1.0,90847,1.6,123495,2.25 62,1,2024-09-07 08:42:01:111,322040,322034,0,6,150138284144,1547865485,320340,1655,39,365,391715,6 62,2,2024-09-07 08:42:01:647,229832,229831,1,0,10354417,0,5555 62,3,2024-09-07 08:42:01:143,1,182,17,0,287,1238,182,0 63,0,2024-09-07 08:42:01:451,42030,0.5,41942,0.6,84033,0.4,111548,1.75 63,1,2024-09-07 08:42:00:812,321486,321480,0,6,149652451604,1549176396,320214,1239,27,381,391677,6 63,2,2024-09-07 08:42:00:770,230124,230124,0,0,8354294,0,2674 63,3,2024-09-07 08:42:01:732,1,182,2,0,667,2456,182,0 64,0,2024-09-07 08:42:01:564,43619,0.4,43676,0.6,87532,0.3,116836,1.75 64,1,2024-09-07 08:42:00:753,320583,320583,0,0,149224349793,1557496675,317111,2417,1055,370,391783,0 64,2,2024-09-07 08:42:01:147,231908,231889,19,0,9207991,0,6121 64,3,2024-09-07 08:42:01:140,1,182,16,0,265,1899,182,0 65,0,2024-09-07 08:42:01:698,48483,0.7,48986,0.8,97637,0.8,129837,2.25 65,1,2024-09-07 08:42:00:858,319697,319697,0,0,148877921212,1556989851,317094,2379,224,382,391770,0 65,2,2024-09-07 08:42:01:695,230569,230569,0,0,9736550,0,3367 65,3,2024-09-07 08:42:01:689,1,182,1,0,163,1753,182,0 66,0,2024-09-07 08:42:01:767,47947,0.6,47988,0.8,96282,0.6,127977,2.25 66,1,2024-09-07 08:42:01:297,321348,321348,0,0,149844033350,1556338049,319460,1716,172,380,391588,0 66,2,2024-09-07 08:42:01:132,231838,231838,0,0,9084277,0,4956 66,3,2024-09-07 08:42:01:079,1,182,12,0,291,1819,182,0 67,0,2024-09-07 08:42:01:414,41401,0.8,41165,0.9,82594,0.8,110160,2.25 67,1,2024-09-07 08:42:00:769,320021,320020,0,1,149158979837,1558433077,316545,2728,747,381,391787,1 67,2,2024-09-07 08:42:00:582,231119,231119,0,0,8678296,0,2889 67,3,2024-09-07 08:42:01:750,1,182,4,0,338,1669,182,0 68,0,2024-09-07 08:42:00:590,43006,0.4,42834,0.6,85667,0.4,114148,2.00 68,1,2024-09-07 08:42:00:570,319454,319454,0,0,149024636428,1562524515,316470,1961,1023,381,391953,0 68,2,2024-09-07 08:42:01:072,229974,229909,65,0,12014560,0,6698 68,3,2024-09-07 08:42:00:750,1,182,1,0,417,2141,182,0 69,0,2024-09-07 08:42:01:741,49156,0.6,49334,0.8,97930,0.7,131082,2.25 69,1,2024-09-07 08:42:01:028,318632,318632,0,0,149283645326,1575174482,314498,2919,1215,384,391994,0 69,2,2024-09-07 08:42:01:741,231131,231131,0,0,10790960,0,3701 69,3,2024-09-07 08:42:00:760,1,182,698,0,698,2928,182,0 70,0,2024-09-07 08:42:01:534,48304,1.6,48486,1.3,97211,1.1,129557,2.75 70,1,2024-09-07 08:42:00:801,320266,320266,0,0,149743429891,1553961002,317807,1997,462,366,391725,0 70,2,2024-09-07 08:42:01:329,229658,229658,0,0,9512740,0,4044 70,3,2024-09-07 08:42:00:751,1,182,0,0,854,2336,182,0 71,0,2024-09-07 08:42:01:357,41879,1.8,41858,1.5,83612,2.6,112388,3.50 71,1,2024-09-07 08:42:01:599,320514,320514,0,0,150092931885,1568440170,316374,3615,525,368,391682,0 71,2,2024-09-07 08:42:01:071,231184,231184,0,0,9731160,0,2470 71,3,2024-09-07 08:42:01:750,1,182,69,0,644,2858,182,0 72,0,2024-09-07 08:42:01:046,43951,0.4,42922,0.6,83916,0.3,114247,2.00 72,1,2024-09-07 08:42:01:031,320092,320092,0,0,149584779738,1559216933,316820,2785,487,369,391819,0 72,2,2024-09-07 08:42:01:755,229883,229883,0,0,11186566,0,2570 72,3,2024-09-07 08:42:01:754,1,182,9,0,364,3359,182,0 73,0,2024-09-07 08:42:01:099,46449,0.3,47565,0.5,97412,0.3,126816,2.00 73,1,2024-09-07 08:42:00:773,320350,320350,0,0,149727165297,1552339097,318503,1732,115,367,391750,0 73,2,2024-09-07 08:42:01:750,230978,230978,0,0,10520141,0,3482 73,3,2024-09-07 08:42:00:974,1,182,14,0,274,2670,182,0 74,0,2024-09-07 08:42:01:323,49438,0.5,50474,0.7,96156,0.5,130524,2.25 74,1,2024-09-07 08:42:00:635,320114,320114,0,0,149567624748,1559101477,317287,2205,622,382,391681,0 74,2,2024-09-07 08:42:01:013,229448,229448,0,0,10417740,0,4253 74,3,2024-09-07 08:42:01:443,1,182,1,0,522,2831,182,0 75,0,2024-09-07 08:42:01:766,44959,1.1,44629,1.1,89511,1.1,119777,2.75 75,1,2024-09-07 08:42:01:586,319674,319674,0,0,149112226856,1558967512,316270,2944,460,381,391579,0 75,2,2024-09-07 08:42:01:350,230560,230560,0,0,10109753,0,4766 75,3,2024-09-07 08:42:01:071,1,182,1,0,535,1913,182,0 76,0,2024-09-07 08:42:00:582,41649,0.4,41325,0.6,82560,0.3,110769,2.00 76,1,2024-09-07 08:42:00:817,320387,320387,0,0,149315044068,1555958980,318318,1557,512,382,391692,0 76,2,2024-09-07 08:42:01:063,231304,231304,0,0,8905610,0,3064 76,3,2024-09-07 08:42:01:142,1,182,1,0,175,2003,182,0 77,0,2024-09-07 08:42:01:721,45026,0.4,45452,0.6,90552,0.3,120354,1.75 77,1,2024-09-07 08:42:00:838,321485,321485,0,0,149462650784,1556271237,319881,1516,88,383,391808,0 77,2,2024-09-07 08:42:01:284,229715,229715,0,0,9231127,0,3890 77,3,2024-09-07 08:42:01:094,1,182,16,0,305,2169,182,0 78,0,2024-09-07 08:42:01:721,48818,0.4,48506,0.7,97789,0.4,129225,2.00 78,1,2024-09-07 08:42:00:610,320884,320884,0,0,149010362938,1547615308,318546,2085,253,367,391646,0 78,2,2024-09-07 08:42:01:408,231259,231259,0,0,8437998,0,2114 78,3,2024-09-07 08:42:01:133,1,182,12,0,181,1742,182,0 79,0,2024-09-07 08:42:01:345,45956,0.5,47026,0.7,96268,0.5,124901,2.50 79,1,2024-09-07 08:42:00:580,321641,321641,0,0,150119754603,1553567733,319389,2079,173,369,391682,0 79,2,2024-09-07 08:42:01:072,231067,231067,0,0,8559572,0,3212 79,3,2024-09-07 08:42:00:761,1,182,1,0,418,2966,182,0 80,0,2024-09-07 08:42:01:111,41597,0.5,42784,0.7,82036,0.5,111324,2.00 80,1,2024-09-07 08:42:01:628,319904,319904,0,0,149638364525,1557203944,317371,2369,164,368,391791,0 80,2,2024-09-07 08:42:01:109,230701,230701,0,0,9285382,0,4433 80,3,2024-09-07 08:42:00:584,1,182,8,0,190,2758,182,0 81,0,2024-09-07 08:42:01:691,43114,0.4,44481,0.6,85113,0.4,115059,1.75 81,1,2024-09-07 08:42:01:682,320061,320061,0,0,148868817909,1555493354,317564,2223,274,382,391879,0 81,2,2024-09-07 08:42:01:125,230012,230012,0,0,9671809,0,3993 81,3,2024-09-07 08:42:01:117,1,182,1,0,193,1687,182,0 82,0,2024-09-07 08:42:01:544,49620,0.4,49926,0.6,99915,0.4,132555,1.75 82,1,2024-09-07 08:42:00:583,320908,320904,0,4,149572022122,1558333527,318447,1879,578,381,391558,4 82,2,2024-09-07 08:42:01:700,230891,230891,0,0,8753228,0,3986 82,3,2024-09-07 08:42:01:757,1,182,34,0,227,1987,182,0 83,0,2024-09-07 08:42:01:556,48311,0.7,48407,0.8,96079,0.7,128397,2.00 83,1,2024-09-07 08:42:00:578,320342,320342,0,0,149350232687,1559520036,317708,2410,224,382,391690,0 83,2,2024-09-07 08:42:00:769,229604,229604,0,0,8710153,0,3119 83,3,2024-09-07 08:42:00:749,1,182,0,0,241,1922,182,0 84,0,2024-09-07 08:42:01:914,41582,1.1,41402,1.2,83243,0.9,111346,2.50 84,1,2024-09-07 08:42:01:040,319610,319610,0,0,148871019249,1558156285,316125,3025,460,368,391967,0 84,2,2024-09-07 08:42:00:618,230592,230592,0,0,10150961,0,3801 84,3,2024-09-07 08:42:01:175,1,182,136,0,270,2173,182,0 85,0,2024-09-07 08:42:01:057,41083,0.5,41087,0.7,87020,0.4,113019,2.00 85,1,2024-09-07 08:42:00:562,319183,319183,0,0,148425106419,1570633439,313649,4454,1080,382,392006,0 85,2,2024-09-07 08:42:00:906,231198,231198,0,0,11010451,0,3656 85,3,2024-09-07 08:42:00:687,1,182,15,0,789,2557,182,0 86,0,2024-09-07 08:42:00:917,48470,0.5,49717,0.6,95535,0.4,129111,1.75 86,1,2024-09-07 08:42:00:824,319964,319964,0,0,150041537821,1570075287,315983,3436,545,366,391961,0 86,2,2024-09-07 08:42:00:854,229365,229364,1,0,11201813,0,5004 86,3,2024-09-07 08:42:00:590,1,182,1,0,286,2534,182,0 87,0,2024-09-07 08:42:01:299,48412,1.3,48215,1.1,96969,2.0,130103,2.25 87,1,2024-09-07 08:42:00:551,319901,319901,0,0,149079550797,1560649172,316539,2967,395,366,391788,0 87,2,2024-09-07 08:42:01:071,228494,228494,0,0,9329423,0,3515 87,3,2024-09-07 08:42:01:797,1,182,14,0,335,3003,182,0 88,0,2024-09-07 08:42:01:602,43533,0.6,43740,0.7,87492,0.7,116238,1.75 88,1,2024-09-07 08:42:00:582,319189,319189,0,0,149287733780,1565481656,314952,2992,1245,365,392084,0 88,2,2024-09-07 08:42:00:694,230387,230387,0,0,10837606,0,3583 88,3,2024-09-07 08:42:01:278,1,182,2,0,435,2413,182,0 89,0,2024-09-07 08:42:01:784,43338,0.4,41970,0.6,83132,0.3,113046,1.75 89,1,2024-09-07 08:42:00:559,318330,318330,0,0,149104464891,1573701588,312888,4504,938,382,391866,0 89,2,2024-09-07 08:42:01:133,230338,230338,0,0,10596152,0,2910 89,3,2024-09-07 08:42:01:797,1,182,4,0,325,3302,182,0 90,0,2024-09-07 08:42:01:670,45095,0.3,46111,0.5,94773,0.3,123729,1.75 90,1,2024-09-07 08:42:00:610,320310,320310,0,0,148802486005,1559860818,317071,2980,259,381,391825,0 90,2,2024-09-07 08:42:01:466,228798,228798,0,0,11593027,0,3060 90,3,2024-09-07 08:42:00:932,1,182,17,0,200,2020,182,0 91,0,2024-09-07 08:42:00:934,49476,0.5,47729,0.6,99439,0.4,130732,1.75 91,1,2024-09-07 08:42:00:564,319663,319663,0,0,150162658679,1574904758,315902,3263,498,381,392047,0 91,2,2024-09-07 08:42:01:334,229139,229139,0,0,10695807,0,2445 91,3,2024-09-07 08:42:00:597,1,182,1,0,216,1956,182,0 92,0,2024-09-07 08:42:01:516,46788,0.7,47951,0.8,91672,0.8,123837,1.75 92,1,2024-09-07 08:42:00:639,320182,320182,0,0,149142337551,1556444637,317973,1805,404,382,392136,0 92,2,2024-09-07 08:42:01:354,232617,232617,0,0,8966790,0,2801 92,3,2024-09-07 08:42:01:016,1,182,1,0,167,1563,182,0 93,0,2024-09-07 08:42:00:972,42234,0.4,43355,0.6,82792,0.3,111973,1.75 93,1,2024-09-07 08:42:00:855,320224,320224,0,0,149460586171,1558986382,317096,2576,552,366,391692,0 93,2,2024-09-07 08:42:00:933,230406,230406,0,0,9095187,0,2509 93,3,2024-09-07 08:42:01:413,1,182,1,0,143,1774,182,0 94,0,2024-09-07 08:42:01:626,43729,0.3,44306,0.4,87980,0.2,116706,1.50 94,1,2024-09-07 08:42:00:589,319844,319844,0,0,149339246467,1559929674,317390,2358,96,381,391850,0 94,2,2024-09-07 08:42:00:762,229934,229934,0,0,8756521,0,2443 94,3,2024-09-07 08:42:01:700,1,182,9,0,264,2546,182,0 95,0,2024-09-07 08:42:01:363,49375,0.3,49079,0.5,99038,0.3,130654,1.75 95,1,2024-09-07 08:42:00:861,320615,320615,0,0,149779197529,1556235970,318218,2212,185,367,391662,0 95,2,2024-09-07 08:42:01:019,230524,230524,0,0,9014588,0,3308 95,3,2024-09-07 08:42:01:711,1,182,11,0,718,3260,182,0 96,0,2024-09-07 08:42:01:068,48448,0.5,48601,0.6,97214,0.5,128580,1.75 96,1,2024-09-07 08:42:01:592,320134,320134,0,0,149922440216,1567006715,317512,1977,645,385,391894,0 96,2,2024-09-07 08:42:01:274,230023,230023,0,0,9626338,0,4042 96,3,2024-09-07 08:42:01:143,1,182,3,0,188,2006,182,0 97,0,2024-09-07 08:42:01:334,41530,0.4,41278,0.5,82743,0.4,110387,1.75 97,1,2024-09-07 08:42:00:771,321020,321020,0,0,149801474524,1556849176,318209,2260,551,367,392140,0 97,2,2024-09-07 08:42:00:607,230424,230424,0,0,8946407,0,3036 97,3,2024-09-07 08:42:00:576,1,182,1,0,165,2077,182,0 98,0,2024-09-07 08:42:01:699,43002,0.2,42890,0.4,86124,0.2,114501,1.50 98,1,2024-09-07 08:42:00:584,320797,320797,0,0,149371164979,1556127438,318969,1735,93,382,391997,0 98,2,2024-09-07 08:42:00:772,231965,231965,0,0,9219820,0,3080 98,3,2024-09-07 08:42:00:714,1,182,8,0,840,3347,182,0 99,0,2024-09-07 08:42:01:471,49240,0.3,49209,0.4,98275,0.2,132040,1.50 99,1,2024-09-07 08:42:01:726,320920,320920,0,0,149392227206,1559150263,317843,2380,697,381,391744,0 99,2,2024-09-07 08:42:01:438,232436,232436,0,0,9135500,0,2615 99,3,2024-09-07 08:42:00:580,1,182,1,0,129,1296,182,0 100,0,2024-09-07 08:42:01:527,48698,1.0,48754,1.2,97288,1.9,130327,2.50 100,1,2024-09-07 08:42:00:564,318201,318201,0,0,148681521613,1574759686,312897,4371,933,381,391989,0 100,2,2024-09-07 08:42:01:826,228024,228013,11,0,10264033,0,5417 100,3,2024-09-07 08:42:01:742,1,182,1,0,559,3788,182,0 101,0,2024-09-07 08:42:01:738,43233,2.6,42192,1.5,82509,2.8,113717,2.75 101,1,2024-09-07 08:42:00:551,319036,319036,0,0,148975761775,1573307651,313509,4044,1483,368,391769,0 101,2,2024-09-07 08:42:01:835,230197,230197,0,0,11631307,0,4644 101,3,2024-09-07 08:42:00:954,1,182,3,0,448,2149,182,0 102,0,2024-09-07 08:42:00:958,41600,0.5,43028,0.7,86529,0.4,113462,2.00 102,1,2024-09-07 08:42:01:142,319500,319500,0,0,149695001851,1573132784,315395,3391,714,369,391883,0 102,2,2024-09-07 08:42:01:739,230983,230929,54,0,11097272,0,6768 102,3,2024-09-07 08:42:01:623,1,182,51,0,410,1844,182,0 103,0,2024-09-07 08:42:01:594,49016,0.4,49060,0.6,92537,0.3,127632,1.75 103,1,2024-09-07 08:42:01:627,318513,318513,0,0,149161309654,1572383353,313683,3556,1274,381,391829,0 103,2,2024-09-07 08:42:00:685,229634,229634,0,0,9769148,0,2104 103,3,2024-09-07 08:42:00:769,1,182,8,0,916,3104,182,0 104,0,2024-09-07 08:42:01:305,48590,1.1,48866,1.1,96625,1.2,130535,2.25 104,1,2024-09-07 08:42:01:619,320278,320278,0,0,149273135138,1571309806,315199,4111,968,365,391948,0 104,2,2024-09-07 08:42:01:713,228900,228900,0,0,10475101,0,3941 104,3,2024-09-07 08:42:01:437,1,182,7,1,1245,5252,182,0 105,0,2024-09-07 08:42:01:038,44294,1.5,42987,1.4,89979,2.4,118642,3.50 105,1,2024-09-07 08:42:00:558,320619,320619,0,0,149010499234,1561579362,316385,3457,777,367,391797,0 105,2,2024-09-07 08:42:01:321,229974,229974,0,0,9942106,0,3509 105,3,2024-09-07 08:42:01:316,1,182,1,0,399,2706,182,0 106,0,2024-09-07 08:42:00:950,40341,0.6,41497,0.8,84468,0.6,110407,2.00 106,1,2024-09-07 08:42:01:750,320116,320116,0,0,149193986012,1563477215,316173,3556,387,369,391767,0 106,2,2024-09-07 08:42:00:760,229592,229592,0,0,10107705,0,2795 106,3,2024-09-07 08:42:00:677,1,182,8,0,405,2482,182,0 107,0,2024-09-07 08:42:01:099,45059,0.4,45105,0.6,89818,0.3,120551,1.75 107,1,2024-09-07 08:42:00:585,319546,319546,0,0,148832734902,1562199679,316533,2782,231,381,392234,0 107,2,2024-09-07 08:42:01:298,229682,229681,1,0,10218824,0,5024 107,3,2024-09-07 08:42:01:756,1,182,2,0,353,2669,182,0 108,0,2024-09-07 08:42:01:767,48946,0.4,49023,0.6,97285,0.4,129692,1.75 108,1,2024-09-07 08:42:01:305,319992,319992,0,0,149647250535,1560488034,317318,2360,314,368,391857,0 108,2,2024-09-07 08:42:01:756,229094,229094,0,0,9572066,0,2647 108,3,2024-09-07 08:42:01:333,1,182,7,0,667,3435,182,0 109,0,2024-09-07 08:42:01:811,47690,0.4,47239,0.6,94818,0.4,126749,1.75 109,1,2024-09-07 08:42:00:608,319629,319629,0,0,149646628136,1569016443,316839,2294,496,383,392132,0 109,2,2024-09-07 08:42:00:926,229980,229980,0,0,9807627,0,3617 109,3,2024-09-07 08:42:01:140,1,182,1,0,249,2376,182,0 110,0,2024-09-07 08:42:01:764,41963,0.4,40823,0.6,85041,0.3,111487,1.75 110,1,2024-09-07 08:42:01:650,321099,321099,0,0,149581412231,1554786614,318474,1858,767,370,391667,0 110,2,2024-09-07 08:42:01:318,230811,230811,0,0,8829919,0,2915 110,3,2024-09-07 08:42:00:703,1,182,2,0,406,2461,182,0 111,0,2024-09-07 08:42:01:421,43373,0.2,42866,0.4,85711,0.1,115358,1.50 111,1,2024-09-07 08:42:01:005,321462,321462,0,0,150560726811,1561343687,319672,1457,333,382,391690,0 111,2,2024-09-07 08:42:01:116,229831,229831,0,0,9504688,0,2763 111,3,2024-09-07 08:42:00:919,1,182,1,0,379,2801,182,0 112,0,2024-09-07 08:42:00:915,49959,0.3,49959,0.4,99903,0.2,132647,1.50 112,1,2024-09-07 08:42:00:825,321205,321205,0,0,149321523917,1551337677,318875,1904,426,380,391580,0 112,2,2024-09-07 08:42:01:133,230710,230709,1,0,9562728,0,5036 112,3,2024-09-07 08:42:00:599,1,182,0,0,282,1950,182,0 113,0,2024-09-07 08:42:00:866,48130,0.4,48142,0.5,96815,0.3,129503,1.75 113,1,2024-09-07 08:42:01:691,321175,321175,0,0,150631233719,1558154664,318756,1931,488,366,391661,0 113,2,2024-09-07 08:42:01:318,230558,230558,0,0,8456189,0,3813 113,3,2024-09-07 08:42:00:684,1,182,12,0,340,2848,182,0 114,0,2024-09-07 08:42:00:877,42381,0.6,43008,0.6,84531,0.4,113235,1.75 114,1,2024-09-07 08:42:00:715,319827,319827,0,0,149215716996,1559295319,315694,2670,1463,381,391534,0 114,2,2024-09-07 08:42:00:872,230709,230709,0,0,8829575,0,3925 114,3,2024-09-07 08:42:01:278,1,182,12,0,395,2100,182,0 115,0,2024-09-07 08:42:00:559,42353,0.2,42822,0.4,85438,0.1,113767,1.50 115,1,2024-09-07 08:42:00:571,320384,320384,0,0,149439527279,1556616236,316738,2825,821,382,391602,0 115,2,2024-09-07 08:42:01:125,232298,232298,0,0,8220802,0,2152 115,3,2024-09-07 08:42:01:011,1,182,10,0,159,1118,182,0 116,0,2024-09-07 08:42:01:769,48536,0.5,48146,0.7,96706,0.5,128762,2.00 116,1,2024-09-07 08:42:00:814,317703,317703,0,0,149010858730,1580158290,312759,3186,1758,380,391677,0 116,2,2024-09-07 08:42:01:761,229733,229733,0,0,11590726,0,3529 116,3,2024-09-07 08:42:00:921,1,182,2,0,252,2629,182,0 117,0,2024-09-07 08:42:00:967,48365,1.2,48563,1.0,96857,1.6,130082,2.00 117,1,2024-09-07 08:42:01:639,319737,319737,0,0,148723152962,1557833613,316049,3258,430,370,392033,0 117,2,2024-09-07 08:42:01:122,230467,230467,0,0,9134953,0,3700 117,3,2024-09-07 08:42:01:086,1,182,14,0,490,3456,182,0 118,0,2024-09-07 08:42:01:809,42373,0.9,43665,0.9,88595,1.0,115561,2.25 118,1,2024-09-07 08:42:00:585,319714,319714,0,0,148956948281,1568411771,314853,3536,1325,366,391736,0 118,2,2024-09-07 08:42:01:586,230429,230429,0,0,10448746,0,2781 118,3,2024-09-07 08:42:01:764,1,182,7,0,235,2247,182,0 119,0,2024-09-07 08:42:01:356,41730,0.6,41991,0.8,84569,0.5,112126,2.00 119,1,2024-09-07 08:42:00:558,320631,320631,0,0,149642909149,1560135638,318109,2230,292,368,391641,0 119,2,2024-09-07 08:42:01:272,230623,230623,0,0,9725103,0,3526 119,3,2024-09-07 08:42:01:324,1,182,12,0,443,3075,182,0 120,0,2024-09-07 08:42:01:614,46153,0.4,46271,0.6,92579,0.3,123787,1.75 120,1,2024-09-07 08:42:00:876,320570,320570,0,0,148649830047,1558464515,317259,3048,263,368,391961,0 120,2,2024-09-07 08:42:00:773,229340,229339,1,0,11838341,0,5281 120,3,2024-09-07 08:42:01:290,1,182,52,0,241,2526,182,0 121,0,2024-09-07 08:42:01:789,48596,1.3,49044,1.1,97788,1.8,130171,2.25 121,1,2024-09-07 08:42:01:688,320158,320158,0,0,149429692997,1561464739,317375,2493,290,367,391840,0 121,2,2024-09-07 08:42:01:126,229002,229002,0,0,10692228,0,4127 121,3,2024-09-07 08:42:00:731,1,182,102,0,269,2494,182,0 122,0,2024-09-07 08:42:01:782,46187,1.1,45001,1.1,94368,1.3,123878,2.25 122,1,2024-09-07 08:42:00:870,319227,319227,0,0,149325322806,1566605279,315055,3463,709,366,392130,0 122,2,2024-09-07 08:42:01:320,230696,230696,0,0,11885171,0,3364 122,3,2024-09-07 08:42:00:595,1,182,17,0,411,3901,182,0 123,0,2024-09-07 08:42:00:985,42070,0.7,41026,0.8,85628,0.8,111558,2.00 123,1,2024-09-07 08:42:00:589,319916,319916,0,0,150113466880,1578243871,314522,4636,758,369,391823,0 123,2,2024-09-07 08:42:01:035,228501,228500,1,0,10515651,0,5215 123,3,2024-09-07 08:42:01:139,1,182,1,0,168,2153,182,0 124,0,2024-09-07 08:42:00:932,45183,0.3,45156,0.4,85279,0.2,116971,1.50 124,1,2024-09-07 08:42:01:044,320902,320902,0,0,149088787597,1548249505,318806,1740,356,367,392178,0 124,2,2024-09-07 08:42:01:019,230475,230475,0,0,8804808,0,3101 124,3,2024-09-07 08:42:00:761,1,182,87,0,490,2413,182,0 125,0,2024-09-07 08:42:01:430,49254,0.4,49194,0.5,98575,0.3,130758,1.75 125,1,2024-09-07 08:42:00:862,320558,320558,0,0,149014434857,1555065504,318182,2099,277,383,391702,0 125,2,2024-09-07 08:42:01:127,231293,231293,0,0,8744482,0,2180 125,3,2024-09-07 08:42:01:131,1,182,137,0,284,2369,182,0 126,0,2024-09-07 08:42:01:453,48590,0.7,49848,0.7,95283,0.7,129416,1.75 126,1,2024-09-07 08:42:00:586,320936,320936,0,0,150095293445,1554522261,319288,1569,79,365,391987,0 126,2,2024-09-07 08:42:00:655,231303,231303,0,0,9100738,0,3186 126,3,2024-09-07 08:42:00:911,1,182,10,0,150,2558,182,0 127,0,2024-09-07 08:42:01:644,41279,0.4,41444,0.5,82610,0.4,110368,1.75 127,1,2024-09-07 08:42:00:581,320105,320105,0,0,150380602746,1556976892,318022,2042,41,365,391816,0 127,2,2024-09-07 08:42:00:642,230514,230514,0,0,8569483,0,2264 127,3,2024-09-07 08:42:01:271,1,182,2,0,243,1568,182,0 128,0,2024-09-07 08:42:01:532,43297,0.3,43100,0.4,86194,0.2,114564,1.50 128,1,2024-09-07 08:42:01:613,321254,321254,0,0,150146937964,1553759385,320269,913,72,367,391680,0 128,2,2024-09-07 08:42:01:384,230792,230792,0,0,8713465,0,2107 128,3,2024-09-07 08:42:00:774,1,182,6,0,333,2457,182,0 129,0,2024-09-07 08:42:00:999,49509,0.3,49258,0.4,98461,0.2,131597,1.50 129,1,2024-09-07 08:42:00:570,318926,318926,0,0,148931081132,1558916988,315977,2554,395,379,391835,0 129,2,2024-09-07 08:42:00:694,231148,231148,0,0,9025188,0,4031 129,3,2024-09-07 08:42:00:694,1,182,469,0,469,2510,182,0 130,0,2024-09-07 08:42:01:741,49140,0.7,48881,0.7,98151,0.8,131271,1.75 130,1,2024-09-07 08:42:00:597,320708,320708,0,0,149898979020,1560621699,318588,2047,73,381,391825,0 130,2,2024-09-07 08:42:01:141,230305,230305,0,0,8817514,0,4067 130,3,2024-09-07 08:42:01:300,1,182,8,0,450,2116,182,0 131,0,2024-09-07 08:42:01:951,42550,0.5,42790,0.6,86425,0.6,113910,1.75 131,1,2024-09-07 08:42:01:827,321364,321364,0,0,149537629616,1554548594,319888,1270,206,383,391865,0 131,2,2024-09-07 08:42:00:573,231638,231638,0,0,8574413,0,2415 131,3,2024-09-07 08:42:01:689,1,182,2,0,392,1926,182,0 132,0,2024-09-07 08:42:01:424,42315,0.4,42850,0.7,85665,0.3,113756,1.75 132,1,2024-09-07 08:42:00:580,319225,319225,0,0,148709597304,1570602597,313801,4392,1032,381,392097,0 132,2,2024-09-07 08:42:00:701,230597,230597,0,0,11503711,0,4606 132,3,2024-09-07 08:42:01:696,1,182,41,0,356,3191,182,0 133,0,2024-09-07 08:42:01:526,46406,0.4,47572,0.5,97364,0.3,126542,1.75 133,1,2024-09-07 08:42:00:583,318543,318543,0,0,149347896810,1574271275,314021,3880,642,383,391914,0 133,2,2024-09-07 08:42:01:088,229818,229818,0,0,11501368,0,4315 133,3,2024-09-07 08:42:01:318,1,182,2,0,276,1769,182,0 134,0,2024-09-07 08:42:01:007,48900,0.5,48887,0.7,98287,0.5,130485,2.00 134,1,2024-09-07 08:42:00:646,319444,319444,0,0,149058002810,1564961110,314583,3578,1283,366,391718,0 134,2,2024-09-07 08:42:01:758,228899,228899,0,0,9686342,0,3096 134,3,2024-09-07 08:42:00:760,1,182,10,0,739,3152,182,0 135,0,2024-09-07 08:42:01:105,43429,1.4,43433,1.2,92141,1.6,118156,2.50 135,1,2024-09-07 08:42:01:585,318751,318751,0,0,148962578507,1566261780,314550,3497,704,380,391805,0 135,2,2024-09-07 08:42:00:691,230904,230904,0,0,10595386,0,3981 135,3,2024-09-07 08:42:01:007,1,182,2,0,299,1325,182,0 136,0,2024-09-07 08:42:01:655,41917,0.5,41849,0.7,84048,0.4,111361,2.00 136,1,2024-09-07 08:42:01:447,319570,319570,0,0,148835185146,1558814500,316211,3184,175,383,391641,0 136,2,2024-09-07 08:42:01:135,231090,231090,0,0,10095368,0,3506 136,3,2024-09-07 08:42:01:106,1,182,1,0,108,1391,182,0 137,0,2024-09-07 08:42:00:938,46333,0.4,44834,0.6,88791,0.3,120577,1.75 137,1,2024-09-07 08:42:00:583,319510,319510,0,0,148844148888,1557635601,315015,3966,529,366,391708,0 137,2,2024-09-07 08:42:01:718,229737,229737,0,0,11554820,0,3185 137,3,2024-09-07 08:42:00:771,1,182,0,0,227,2070,182,0 138,0,2024-09-07 08:42:01:765,48367,1.1,48345,1.0,97337,1.4,129434,2.25 138,1,2024-09-07 08:42:01:691,319902,319902,0,0,149822310255,1565476448,316492,3047,363,368,391954,0 138,2,2024-09-07 08:42:00:592,230682,230682,0,0,9478725,0,3263 138,3,2024-09-07 08:42:00:628,1,182,12,0,1160,3298,182,0 139,0,2024-09-07 08:42:01:373,46440,2.7,46579,1.6,94012,4.0,125223,3.00 139,1,2024-09-07 08:42:00:629,318422,318422,0,0,148202268066,1570175848,313132,3963,1327,381,391892,0 139,2,2024-09-07 08:42:00:754,228932,228932,0,0,10799035,0,3097 139,3,2024-09-07 08:42:01:666,1,182,1,0,244,2010,182,0 140,0,2024-09-07 08:42:01:590,42188,0.3,41770,0.5,84086,0.3,111654,1.75 140,1,2024-09-07 08:42:01:541,321470,321470,0,0,150873230271,1554541967,319949,1281,240,365,391606,0 140,2,2024-09-07 08:42:00:687,230922,230922,0,0,9095201,0,3388 140,3,2024-09-07 08:42:00:771,1,182,0,0,247,1522,182,0 141,0,2024-09-07 08:42:01:725,43117,0.2,44210,0.4,84546,0.1,115399,1.50 141,1,2024-09-07 08:42:00:861,321367,321367,0,0,150835054418,1563982151,319413,1601,353,379,391614,0 141,2,2024-09-07 08:42:01:691,230793,230793,0,0,8585379,0,2342 141,3,2024-09-07 08:42:01:043,1,182,10,0,147,1392,182,0 142,0,2024-09-07 08:42:01:374,50427,0.3,50104,0.4,99385,0.2,132935,1.50 142,1,2024-09-07 08:42:00:584,320252,320252,0,0,149537407766,1557097593,318691,1400,161,383,391728,0 142,2,2024-09-07 08:42:01:317,229983,229951,32,0,10003904,0,6028 142,3,2024-09-07 08:42:01:746,1,182,11,0,484,2458,182,0 143,0,2024-09-07 08:42:01:396,48343,0.6,48285,0.7,97481,0.7,129300,1.75 143,1,2024-09-07 08:42:00:569,320707,320707,0,0,149403618650,1549537616,318560,2103,44,367,391619,0 143,2,2024-09-07 08:42:00:770,229649,229649,0,0,9129281,0,2669 143,3,2024-09-07 08:42:01:145,1,182,2,0,303,2705,182,0 144,0,2024-09-07 08:42:01:501,40575,0.8,41805,1.2,84844,0.7,111662,2.00 144,1,2024-09-07 08:42:00:569,319063,319063,0,0,149126641251,1562568188,316657,2202,204,381,391649,0 144,2,2024-09-07 08:42:01:756,230725,230725,0,0,9138729,0,3473 144,3,2024-09-07 08:42:01:745,1,182,1,0,249,2194,182,0 145,0,2024-09-07 08:42:01:364,41152,0.5,41131,0.7,87232,0.4,113247,2.00 145,1,2024-09-07 08:42:00:594,318289,318289,0,0,148909632787,1567481329,313886,3666,737,382,391615,0 145,2,2024-09-07 08:42:01:448,230380,230380,0,0,10297514,0,3903 145,3,2024-09-07 08:42:00:902,1,182,3,0,151,2028,182,0 146,0,2024-09-07 08:42:01:618,48331,0.4,47973,0.6,96741,0.3,128502,2.00 146,1,2024-09-07 08:42:01:586,320492,320492,0,0,149802142298,1569349639,315880,3751,861,368,391629,0 146,2,2024-09-07 08:42:01:695,230139,230139,0,0,9809907,0,2498 146,3,2024-09-07 08:42:01:300,1,182,2,0,1520,5266,182,0 147,0,2024-09-07 08:42:01:877,48511,0.8,48418,0.9,96338,0.7,129787,2.25 147,1,2024-09-07 08:42:01:373,321240,321240,0,0,149625771524,1556616480,318124,2618,498,368,391791,0 147,2,2024-09-07 08:42:01:015,230460,230460,0,0,8945522,0,2789 147,3,2024-09-07 08:42:00:923,1,182,3,0,371,2133,182,0 0,0,2024-09-07 08:42:11:717,45366,0.4,45362,0.6,96135,0.3,124770,1.75 0,1,2024-09-07 08:42:10:801,321849,321849,0,0,150839364172,1576832157,319822,1890,137,372,391772,0 0,2,2024-09-07 08:42:11:068,231101,231101,0,0,9506738,0,4480 0,3,2024-09-07 08:42:10:973,1,183,51,0,247,2310,183,0 1,0,2024-09-07 08:42:11:750,49190,1.1,48811,1.1,98018,1.6,130732,2.25 1,1,2024-09-07 08:42:10:587,321672,321672,0,0,149698531072,1569684609,318219,2585,868,371,391857,0 1,2,2024-09-07 08:42:10:642,229984,229984,0,0,8737603,0,3267 1,3,2024-09-07 08:42:11:302,1,183,8,0,262,2249,183,0 2,0,2024-09-07 08:42:11:567,46320,1.0,46636,1.0,92520,1.4,123317,2.25 2,1,2024-09-07 08:42:10:863,321708,321708,0,0,150823089148,1566550566,320173,1329,206,380,391745,0 2,2,2024-09-07 08:42:11:270,231861,231861,0,0,9299543,0,3594 2,3,2024-09-07 08:42:10:692,1,183,9,0,357,1832,183,0 3,0,2024-09-07 08:42:11:744,41768,0.4,42044,0.6,83689,0.4,110993,2.00 3,1,2024-09-07 08:42:11:617,321512,321512,0,0,150065763029,1565610556,318309,2779,424,380,391516,0 3,2,2024-09-07 08:42:11:145,231751,231728,23,0,9418181,0,5851 3,3,2024-09-07 08:42:11:757,1,183,0,0,103,1165,183,0 4,0,2024-09-07 08:42:11:767,42747,0.3,44004,0.4,89408,0.2,117591,1.50 4,1,2024-09-07 08:42:10:630,321777,321777,0,0,149968452099,1574086010,318753,2500,524,371,391846,0 4,2,2024-09-07 08:42:11:018,230205,230205,0,0,11266860,0,4528 4,3,2024-09-07 08:42:11:040,1,183,13,0,448,2760,183,0 5,0,2024-09-07 08:42:11:383,49332,0.4,49346,0.6,98452,0.4,130729,1.75 5,1,2024-09-07 08:42:10:761,321348,321348,0,0,150460241258,1583674551,317040,3319,989,368,392005,0 5,2,2024-09-07 08:42:11:829,230340,230340,0,0,9759030,0,2259 5,3,2024-09-07 08:42:11:731,1,183,1,0,238,2586,183,0 6,0,2024-09-07 08:42:10:922,48982,0.8,48472,0.9,96704,1.0,129683,2.25 6,1,2024-09-07 08:42:10:750,321999,321999,0,0,149613991344,1565893726,318310,3030,659,379,391634,0 6,2,2024-09-07 08:42:11:118,231453,231453,0,0,9804139,0,4816 6,3,2024-09-07 08:42:11:280,1,183,8,0,340,2437,183,0 7,0,2024-09-07 08:42:11:551,41214,0.5,41145,0.7,82340,0.4,110112,2.00 7,1,2024-09-07 08:42:10:852,321839,321839,0,0,150916862342,1579255929,318667,3035,137,382,391664,0 7,2,2024-09-07 08:42:10:771,231152,231152,0,0,9057331,0,2981 7,3,2024-09-07 08:42:10:851,1,183,1,0,305,1742,183,0 8,0,2024-09-07 08:42:11:382,43017,0.3,43318,0.4,86163,0.2,114957,1.50 8,1,2024-09-07 08:42:11:020,320840,320840,0,0,150366389855,1584568310,315203,4035,1602,367,391956,0 8,2,2024-09-07 08:42:10:791,228727,228727,0,0,10928672,0,2986 8,3,2024-09-07 08:42:10:614,1,183,70,0,357,2851,183,0 9,0,2024-09-07 08:42:11:148,49841,0.3,48342,0.5,100970,0.3,133232,1.50 9,1,2024-09-07 08:42:10:551,321092,321092,0,0,150775863289,1589158031,316255,3704,1133,370,392001,0 9,2,2024-09-07 08:42:11:093,231621,231621,0,0,10128524,0,3360 9,3,2024-09-07 08:42:11:755,1,183,15,0,496,2828,183,0 10,0,2024-09-07 08:42:11:599,49410,0.4,49033,0.5,98509,0.3,131387,1.75 10,1,2024-09-07 08:42:10:591,321523,321523,0,0,150161030007,1573355485,317032,3848,643,381,391741,0 10,2,2024-09-07 08:42:10:762,230971,230971,0,0,10190516,0,2940 10,3,2024-09-07 08:42:10:875,1,183,9,0,177,1302,183,0 11,0,2024-09-07 08:42:11:021,42080,0.6,40932,0.9,85776,0.6,114116,2.00 11,1,2024-09-07 08:42:10:572,321672,321672,0,0,149788705284,1573921165,316155,4222,1295,384,391537,0 11,2,2024-09-07 08:42:11:127,231768,231768,0,0,9878380,0,3411 11,3,2024-09-07 08:42:11:298,1,183,1,0,720,2924,183,0 12,0,2024-09-07 08:42:10:952,43220,0.3,42879,0.5,86092,0.2,114356,1.50 12,1,2024-09-07 08:42:10:933,321856,321856,0,0,149662797088,1562382288,318888,2553,415,370,391837,0 12,2,2024-09-07 08:42:11:541,233237,233237,0,0,10254469,0,3469 12,3,2024-09-07 08:42:11:067,1,183,4,0,358,3025,183,0 13,0,2024-09-07 08:42:11:370,48198,0.3,48086,0.5,96103,0.3,128329,1.50 13,1,2024-09-07 08:42:11:539,321414,321414,0,0,150068114113,1573064153,318976,2028,410,382,391717,0 13,2,2024-09-07 08:42:10:617,232753,232753,0,0,9415964,0,3287 13,3,2024-09-07 08:42:11:768,1,183,0,0,467,3442,183,0 14,0,2024-09-07 08:42:10:579,49389,0.4,49707,0.6,98355,0.4,130952,1.75 14,1,2024-09-07 08:42:11:562,323546,323546,0,0,150429197954,1558081224,321278,2104,164,364,391571,0 14,2,2024-09-07 08:42:10:767,230315,230315,0,0,9411057,0,2896 14,3,2024-09-07 08:42:11:133,1,183,12,0,1168,3056,183,0 15,0,2024-09-07 08:42:11:553,44657,0.8,44736,1.0,89711,0.9,118992,2.25 15,1,2024-09-07 08:42:11:608,321740,321740,0,0,150508210191,1564489572,319822,1808,110,381,391619,0 15,2,2024-09-07 08:42:11:005,233001,233001,0,0,7930534,0,3043 15,3,2024-09-07 08:42:11:409,1,183,3,0,1126,4574,183,0 16,0,2024-09-07 08:42:10:951,41989,0.5,42204,0.7,83889,0.4,111761,2.00 16,1,2024-09-07 08:42:10:584,322004,322004,0,0,150126993007,1569042476,319603,2139,262,370,391756,0 16,2,2024-09-07 08:42:11:435,231439,231439,0,0,10555224,0,4719 16,3,2024-09-07 08:42:11:144,1,183,1,0,231,2413,183,0 17,0,2024-09-07 08:42:11:768,46947,0.4,45818,0.6,89869,0.4,122196,1.75 17,1,2024-09-07 08:42:10:583,320896,320896,0,0,149756847441,1572741483,317477,2744,675,368,391688,0 17,2,2024-09-07 08:42:11:668,233197,233197,0,0,9366956,0,2857 17,3,2024-09-07 08:42:10:611,1,183,1,0,268,2721,183,0 18,0,2024-09-07 08:42:10:940,48151,0.8,48433,0.9,96946,1.0,129034,2.50 18,1,2024-09-07 08:42:11:637,322125,322125,0,0,150389851630,1559723294,320091,1800,234,367,391564,0 18,2,2024-09-07 08:42:11:756,231350,231350,0,0,9190570,0,3541 18,3,2024-09-07 08:42:10:897,1,183,16,0,163,1974,183,0 19,0,2024-09-07 08:42:11:545,47111,1.0,47543,1.0,93847,1.1,124852,2.50 19,1,2024-09-07 08:42:10:570,321851,321851,0,0,151357954176,1575253277,318034,3101,716,367,391777,0 19,2,2024-09-07 08:42:11:756,232714,232714,0,0,8458196,0,3988 19,3,2024-09-07 08:42:11:154,1,183,45,0,524,1596,183,0 20,0,2024-09-07 08:42:11:389,41912,0.5,41824,0.7,83983,0.5,111733,2.00 20,1,2024-09-07 08:42:10:581,321005,321005,0,0,150824683181,1580894816,317471,3140,394,369,391822,0 20,2,2024-09-07 08:42:10:928,231377,231377,0,0,9670489,0,3721 20,3,2024-09-07 08:42:10:618,1,183,4,0,414,3578,183,0 21,0,2024-09-07 08:42:11:204,43642,0.4,43879,0.5,87081,0.3,115813,1.75 21,1,2024-09-07 08:42:11:542,320786,320786,0,0,148929176171,1566805198,317087,3165,534,368,391962,0 21,2,2024-09-07 08:42:11:155,231066,231066,0,0,10804780,0,3747 21,3,2024-09-07 08:42:11:404,1,183,1,0,103,2168,183,0 22,0,2024-09-07 08:42:11:761,49840,0.7,49863,0.8,99285,0.8,132045,2.25 22,1,2024-09-07 08:42:11:023,320793,320793,0,0,149905627840,1579238442,315216,4068,1509,382,391667,0 22,2,2024-09-07 08:42:10:762,231528,231528,0,0,9256464,0,3134 22,3,2024-09-07 08:42:11:068,1,183,12,0,228,1884,183,0 23,0,2024-09-07 08:42:11:385,48361,0.8,48336,0.8,97055,1.0,129485,2.50 23,1,2024-09-07 08:42:11:003,321772,321772,0,0,150828665983,1581147873,316628,3179,1965,365,391549,0 23,2,2024-09-07 08:42:11:092,230592,230592,0,0,9342762,0,3010 23,3,2024-09-07 08:42:11:755,1,183,8,0,645,1938,183,0 24,0,2024-09-07 08:42:10:820,42737,0.5,42740,0.6,85466,0.5,113469,1.75 24,1,2024-09-07 08:42:10:595,321745,321745,0,0,149959747336,1567258976,318767,2176,802,369,391640,0 24,2,2024-09-07 08:42:11:072,230694,230694,0,0,10674139,0,2942 24,3,2024-09-07 08:42:11:685,1,183,57,0,234,2076,183,0 25,0,2024-09-07 08:42:11:360,44108,0.3,43175,0.5,84373,0.3,115089,1.75 25,1,2024-09-07 08:42:10:601,321442,321442,0,0,150462225544,1577092983,317726,3173,543,371,391788,0 25,2,2024-09-07 08:42:11:606,231260,231260,0,0,11260164,0,3978 25,3,2024-09-07 08:42:11:000,1,183,1,0,255,2233,183,0 26,0,2024-09-07 08:42:11:727,48375,0.3,47372,0.5,99319,0.2,129499,1.75 26,1,2024-09-07 08:42:11:542,322200,322200,0,0,149834044178,1570277253,317533,3766,901,381,391748,0 26,2,2024-09-07 08:42:10:863,232588,232588,0,0,10259833,0,2809 26,3,2024-09-07 08:42:11:711,1,183,8,0,796,2322,183,0 27,0,2024-09-07 08:42:11:724,49228,0.5,49188,0.7,97567,0.5,130512,2.00 27,1,2024-09-07 08:42:11:676,323360,323360,0,0,151069274313,1567973844,321456,1581,323,381,391558,0 27,2,2024-09-07 08:42:10:874,229112,229112,0,0,10392872,0,3409 27,3,2024-09-07 08:42:11:025,1,183,1,0,564,2003,183,0 28,0,2024-09-07 08:42:11:389,43434,0.7,43598,0.8,87763,0.9,116733,2.25 28,1,2024-09-07 08:42:10:798,322723,322723,0,0,151015684321,1571923019,320552,1834,337,383,391646,0 28,2,2024-09-07 08:42:11:777,232742,232742,0,0,8483178,0,2915 28,3,2024-09-07 08:42:11:780,1,183,1,0,502,2118,183,0 29,0,2024-09-07 08:42:11:379,43445,0.3,42405,0.5,82918,0.2,113913,1.75 29,1,2024-09-07 08:42:11:562,323360,323360,0,0,150739331728,1560683331,320926,1891,543,369,391621,0 29,2,2024-09-07 08:42:10:861,231918,231918,0,0,8266225,0,4018 29,3,2024-09-07 08:42:10:963,1,183,1,0,115,1410,183,0 30,0,2024-09-07 08:42:11:465,46554,0.4,45394,0.6,95002,0.3,125260,2.00 30,1,2024-09-07 08:42:10:576,322478,322478,0,0,150318042711,1559667009,320480,1720,278,382,391672,0 30,2,2024-09-07 08:42:11:278,232228,232228,0,0,8323485,0,3161 30,3,2024-09-07 08:42:10:589,1,183,2,0,195,1271,183,0 31,0,2024-09-07 08:42:11:765,48569,0.5,48984,0.7,98463,0.5,130461,2.00 31,1,2024-09-07 08:42:10:565,324248,324248,0,0,151929002087,1555550946,323436,700,112,356,391712,0 31,2,2024-09-07 08:42:11:281,230322,230322,0,0,9916271,0,3525 31,3,2024-09-07 08:42:11:707,1,183,6,0,220,1556,183,0 32,0,2024-09-07 08:42:11:425,46292,0.5,46756,0.6,93638,0.4,124037,1.75 32,1,2024-09-07 08:42:10:808,322551,322551,0,0,150668412417,1563864433,320795,1480,276,382,391595,0 32,2,2024-09-07 08:42:10:940,232640,232640,0,0,8276129,0,3155 32,3,2024-09-07 08:42:11:021,1,183,7,0,227,1523,183,0 33,0,2024-09-07 08:42:11:490,42428,0.3,41770,0.5,84396,0.2,111765,1.75 33,1,2024-09-07 08:42:10:577,323299,323299,0,0,151498093628,1566775813,321036,2091,172,369,391730,0 33,2,2024-09-07 08:42:10:761,231646,231613,33,0,10207981,0,7012 33,3,2024-09-07 08:42:10:894,1,183,1,0,329,2009,183,0 34,0,2024-09-07 08:42:10:932,44305,0.3,45672,0.4,87446,0.2,117872,1.50 34,1,2024-09-07 08:42:11:047,323565,323565,0,0,151311327520,1557291082,322567,992,6,367,391562,0 34,2,2024-09-07 08:42:10:767,230705,230705,0,0,9304986,0,3577 34,3,2024-09-07 08:42:11:688,1,183,8,0,148,1237,183,0 35,0,2024-09-07 08:42:10:861,48832,0.4,49361,0.5,98685,0.3,131060,1.75 35,1,2024-09-07 08:42:11:071,321754,321754,0,0,150305801103,1561103178,318925,2059,770,384,391589,0 35,2,2024-09-07 08:42:11:583,232312,232312,0,0,8420217,0,2653 35,3,2024-09-07 08:42:10:907,1,183,1,0,418,2038,183,0 36,0,2024-09-07 08:42:11:531,48643,0.8,48567,0.9,97337,1.0,129169,2.50 36,1,2024-09-07 08:42:10:588,322283,322283,0,0,150094523326,1570325583,317639,3355,1289,366,391759,0 36,2,2024-09-07 08:42:11:751,230796,230796,0,0,9849772,0,3303 36,3,2024-09-07 08:42:10:863,1,183,1,0,378,2750,183,0 37,0,2024-09-07 08:42:11:369,41282,0.5,41277,0.7,82327,0.4,110747,2.00 37,1,2024-09-07 08:42:10:570,321310,321303,0,7,150254281013,1577155538,316515,2769,2019,365,391560,0 37,2,2024-09-07 08:42:11:147,231205,231190,15,0,10170426,0,5815 37,3,2024-09-07 08:42:11:778,1,183,10,0,724,2739,183,0 38,0,2024-09-07 08:42:11:442,43018,0.4,41716,0.6,87203,0.3,114524,2.00 38,1,2024-09-07 08:42:11:605,322514,322514,0,0,151288394716,1580660236,318276,3594,644,368,391821,0 38,2,2024-09-07 08:42:10:762,231653,231606,47,0,11745093,0,6710 38,3,2024-09-07 08:42:10:998,1,183,8,0,689,3051,183,0 39,0,2024-09-07 08:42:11:766,50836,0.6,49956,0.7,96775,0.5,132638,2.00 39,1,2024-09-07 08:42:10:716,322201,322201,0,0,150664519852,1570218110,318317,3082,802,365,391524,0 39,2,2024-09-07 08:42:11:423,231891,231891,0,0,8953039,0,2689 39,3,2024-09-07 08:42:10:713,1,183,1,0,293,2439,183,0 40,0,2024-09-07 08:42:11:489,48516,0.9,49233,1.1,98295,0.9,130811,3.00 40,1,2024-09-07 08:42:10:591,321891,321891,0,0,149895880084,1568093724,317417,3705,769,368,391591,0 40,2,2024-09-07 08:42:11:303,229825,229824,1,0,11104674,0,5137 40,3,2024-09-07 08:42:11:153,1,183,6,0,181,1869,183,0 41,0,2024-09-07 08:42:11:023,42157,1.8,42965,1.5,82278,3.0,112598,4.00 41,1,2024-09-07 08:42:10:783,321683,321683,0,0,150682692758,1574708009,317476,3673,534,370,391742,0 41,2,2024-09-07 08:42:10:761,230854,230854,0,0,10770114,0,3356 41,3,2024-09-07 08:42:11:681,1,183,52,0,366,2307,183,0 42,0,2024-09-07 08:42:11:477,42304,0.5,42740,0.7,85172,0.4,112622,2.00 42,1,2024-09-07 08:42:11:440,320670,320670,0,0,149504240407,1569642058,315935,3777,958,380,391675,0 42,2,2024-09-07 08:42:11:139,231760,231760,0,0,10488669,0,3568 42,3,2024-09-07 08:42:11:008,1,183,8,0,446,1478,183,0 43,0,2024-09-07 08:42:10:918,47942,0.5,46634,0.8,97542,0.5,128308,2.00 43,1,2024-09-07 08:42:10:581,322036,322036,0,0,151138076817,1573446587,318654,2589,793,366,391696,0 43,2,2024-09-07 08:42:11:735,232186,232186,0,0,9872914,0,3812 43,3,2024-09-07 08:42:11:751,1,183,1,0,325,2181,183,0 44,0,2024-09-07 08:42:10:871,49140,0.5,49458,0.7,98552,0.5,131541,1.75 44,1,2024-09-07 08:42:10:571,323084,323084,0,0,150513789193,1553815111,321022,1637,425,356,391809,0 44,2,2024-09-07 08:42:11:269,229502,229502,0,0,8042536,0,1877 44,3,2024-09-07 08:42:11:094,1,183,1,0,817,2143,183,0 45,0,2024-09-07 08:42:11:762,44247,1.0,43291,1.0,90834,1.1,119654,2.25 45,1,2024-09-07 08:42:11:010,322650,322650,0,0,151060660939,1564227556,321609,1031,10,382,391917,0 45,2,2024-09-07 08:42:11:270,233079,233079,0,0,8787939,0,3596 45,3,2024-09-07 08:42:10:935,1,183,2,0,226,1810,183,0 46,0,2024-09-07 08:42:10:954,41835,0.3,41734,0.5,83733,0.2,110848,1.75 46,1,2024-09-07 08:42:10:621,323098,323098,0,0,150883985069,1558428120,321288,1586,224,366,391524,0 46,2,2024-09-07 08:42:10:613,232470,232470,0,0,8763651,0,2920 46,3,2024-09-07 08:42:11:141,1,183,14,0,908,3113,183,0 47,0,2024-09-07 08:42:11:112,45639,0.3,45830,0.5,92004,0.2,121995,1.50 47,1,2024-09-07 08:42:10:571,323818,323818,0,0,150630918318,1553253772,322410,1391,17,366,391605,0 47,2,2024-09-07 08:42:10:908,232285,232285,0,0,8824713,0,2558 47,3,2024-09-07 08:42:11:133,1,183,6,0,529,1924,183,0 48,0,2024-09-07 08:42:11:505,49244,0.3,48863,0.4,97639,0.2,129971,1.50 48,1,2024-09-07 08:42:11:024,323256,323256,0,0,150910714268,1566881628,321189,1886,181,384,391710,0 48,2,2024-09-07 08:42:10:701,231415,231415,0,0,8007539,0,3031 48,3,2024-09-07 08:42:10:755,1,183,1,0,339,1533,183,0 49,0,2024-09-07 08:42:11:740,48758,0.5,47857,0.6,92979,0.5,126815,1.75 49,1,2024-09-07 08:42:11:021,321824,321824,0,0,151107237813,1574377891,319068,1916,840,382,391583,0 49,2,2024-09-07 08:42:11:797,232964,232964,0,0,8726721,0,3900 49,3,2024-09-07 08:42:11:419,1,183,1,0,408,2480,183,0 50,0,2024-09-07 08:42:11:518,42137,0.3,41594,0.5,83601,0.2,111833,1.75 50,1,2024-09-07 08:42:11:011,323993,323993,0,0,151140369385,1565728220,321458,2226,309,368,391530,0 50,2,2024-09-07 08:42:11:069,231789,231789,0,0,8404969,0,2253 50,3,2024-09-07 08:42:11:290,1,183,8,0,335,1431,183,0 51,0,2024-09-07 08:42:11:689,44580,0.2,43782,0.4,85220,0.2,116695,1.50 51,1,2024-09-07 08:42:11:682,322855,322855,0,0,152255129964,1572326625,320882,1187,786,365,391637,0 51,2,2024-09-07 08:42:11:316,231548,231548,0,0,7980964,0,3337 51,3,2024-09-07 08:42:11:032,1,183,2,0,162,971,183,0 52,0,2024-09-07 08:42:11:412,50366,0.5,50219,0.7,100274,0.5,132687,2.00 52,1,2024-09-07 08:42:10:619,321402,321402,0,0,149188816479,1570545547,315227,5015,1160,368,391722,0 52,2,2024-09-07 08:42:11:757,230408,230370,38,0,10626758,0,6742 52,3,2024-09-07 08:42:10:674,1,183,1,0,1782,3644,183,0 53,0,2024-09-07 08:42:11:731,48269,0.9,46876,0.9,98113,1.1,128793,2.75 53,1,2024-09-07 08:42:10:774,320927,320927,0,0,150003358197,1580797367,314794,4098,2035,367,391702,0 53,2,2024-09-07 08:42:11:298,231397,231397,0,0,8948465,0,2262 53,3,2024-09-07 08:42:10:703,1,183,0,0,271,1713,183,0 54,0,2024-09-07 08:42:11:615,41139,1.6,41688,1.1,82428,0.8,110700,3.50 54,1,2024-09-07 08:42:10:582,321846,321846,0,0,151168972291,1575988268,317770,3505,571,367,391659,0 54,2,2024-09-07 08:42:10:865,231307,231301,6,0,10473435,0,5382 54,3,2024-09-07 08:42:10:763,1,183,21,0,676,3302,183,0 55,0,2024-09-07 08:42:11:764,41574,0.4,42863,0.7,86790,0.4,113219,2.25 55,1,2024-09-07 08:42:10:768,321209,321209,0,0,149566976499,1563859910,315777,4645,787,365,391731,0 55,2,2024-09-07 08:42:10:729,231310,231310,0,0,10308787,0,3275 55,3,2024-09-07 08:42:10:674,1,183,131,0,304,2364,183,0 56,0,2024-09-07 08:42:11:676,49968,0.8,47132,0.9,97038,1.0,129937,2.25 56,1,2024-09-07 08:42:10:582,321162,321162,0,0,150483525316,1589685749,315912,4343,907,381,391678,0 56,2,2024-09-07 08:42:11:303,231709,231709,0,0,10989426,0,3567 56,3,2024-09-07 08:42:11:086,1,183,0,0,405,2458,183,0 57,0,2024-09-07 08:42:10:962,48066,2.2,48169,1.4,96374,3.1,129321,3.75 57,1,2024-09-07 08:42:10:990,322074,322074,0,0,149826341514,1566677878,319146,2758,170,367,391960,0 57,2,2024-09-07 08:42:11:315,230411,230411,0,0,11019176,0,3178 57,3,2024-09-07 08:42:11:746,1,183,6,0,359,2810,183,0 58,0,2024-09-07 08:42:10:560,42516,1.1,41368,1.1,86664,1.3,113396,2.75 58,1,2024-09-07 08:42:10:581,322621,322618,0,3,151186715979,1576385806,318999,3220,399,367,391517,3 58,2,2024-09-07 08:42:11:080,232201,232201,0,0,10155142,0,2549 58,3,2024-09-07 08:42:11:068,1,183,14,0,1043,2409,183,0 59,0,2024-09-07 08:42:11:739,42546,0.7,42168,0.9,84264,0.7,112679,2.75 59,1,2024-09-07 08:42:10:807,321809,321809,0,0,150483388433,1571823287,318033,2853,923,369,391525,0 59,2,2024-09-07 08:42:10:595,233036,233036,0,0,9139125,0,2604 59,3,2024-09-07 08:42:11:736,1,183,5,0,1015,2967,183,0 60,0,2024-09-07 08:42:11:753,46990,0.3,46880,0.5,93946,0.3,125315,1.75 60,1,2024-09-07 08:42:10:773,323246,323246,0,0,151003893254,1563387894,321783,1104,359,370,391761,0 60,2,2024-09-07 08:42:11:163,231467,231467,0,0,10136609,0,3811 60,3,2024-09-07 08:42:11:264,1,183,9,0,124,1702,183,0 61,0,2024-09-07 08:42:11:590,48965,1.0,49215,0.9,98158,1.5,130741,2.00 61,1,2024-09-07 08:42:10:774,321824,321824,0,0,150952117643,1579703494,318378,2893,553,382,391593,0 61,2,2024-09-07 08:42:11:128,231567,231567,0,0,8925100,0,2079 61,3,2024-09-07 08:42:11:687,1,183,6,0,199,1999,183,0 62,0,2024-09-07 08:42:11:707,46797,1.2,47779,1.0,91089,1.6,123821,2.25 62,1,2024-09-07 08:42:11:130,323796,323790,0,6,150725484474,1553950179,322096,1655,39,365,391715,6 62,2,2024-09-07 08:42:11:644,231324,231323,1,0,10402788,0,5555 62,3,2024-09-07 08:42:11:143,1,183,15,0,287,1253,183,0 63,0,2024-09-07 08:42:11:474,42040,0.5,41955,0.6,84069,0.4,111548,1.75 63,1,2024-09-07 08:42:10:804,323254,323248,0,6,150849830979,1561348456,321982,1239,27,381,391677,6 63,2,2024-09-07 08:42:10:762,231540,231540,0,0,8423516,0,2674 63,3,2024-09-07 08:42:11:733,1,183,10,0,667,2466,183,0 64,0,2024-09-07 08:42:11:547,43942,0.4,44009,0.6,88257,0.3,117757,1.75 64,1,2024-09-07 08:42:10:761,322245,322245,0,0,150069173547,1566447918,318773,2417,1055,370,391783,0 64,2,2024-09-07 08:42:11:153,232943,232924,19,0,9243549,0,6121 64,3,2024-09-07 08:42:11:147,1,183,12,0,265,1911,183,0 65,0,2024-09-07 08:42:11:698,48562,0.7,49091,0.8,97818,0.8,130064,2.25 65,1,2024-09-07 08:42:10:862,321489,321489,0,0,149898455475,1567880396,318867,2398,224,382,391770,0 65,2,2024-09-07 08:42:11:698,231734,231734,0,0,9779850,0,3367 65,3,2024-09-07 08:42:11:686,1,183,1,0,163,1754,183,0 66,0,2024-09-07 08:42:11:785,48162,0.6,48247,0.8,96761,0.6,128573,2.25 66,1,2024-09-07 08:42:11:296,323116,323116,0,0,150557014836,1563786373,321228,1716,172,380,391588,0 66,2,2024-09-07 08:42:11:138,233254,233254,0,0,9147346,0,4956 66,3,2024-09-07 08:42:11:088,1,183,15,0,291,1834,183,0 67,0,2024-09-07 08:42:11:412,41723,0.7,41491,0.9,83251,0.8,111026,2.25 67,1,2024-09-07 08:42:10:767,321778,321777,0,1,150542933220,1572619825,318301,2729,747,381,391787,1 67,2,2024-09-07 08:42:10:589,232398,232398,0,0,8715213,0,2889 67,3,2024-09-07 08:42:11:750,1,183,1,0,338,1670,183,0 68,0,2024-09-07 08:42:10:603,43279,0.4,43132,0.6,86228,0.3,114800,2.00 68,1,2024-09-07 08:42:10:583,321118,321118,0,0,149833203717,1571300463,318123,1972,1023,381,391953,0 68,2,2024-09-07 08:42:11:053,231123,231058,65,0,12165012,0,6698 68,3,2024-09-07 08:42:10:729,1,183,1,0,417,2142,183,0 69,0,2024-09-07 08:42:11:730,49560,0.7,49845,0.8,98616,0.8,132095,2.25 69,1,2024-09-07 08:42:11:026,320172,320172,0,0,149937223836,1582231837,316034,2923,1215,384,391994,0 69,2,2024-09-07 08:42:11:754,232490,232490,0,0,10915028,0,3701 69,3,2024-09-07 08:42:10:769,1,183,1,0,698,2929,183,0 70,0,2024-09-07 08:42:11:531,48638,1.6,48821,1.3,97844,1.1,130411,2.75 70,1,2024-09-07 08:42:10:801,321956,321956,0,0,150622627766,1563946994,319346,2107,503,366,391725,0 70,2,2024-09-07 08:42:11:325,230770,230770,0,0,9629633,0,4044 70,3,2024-09-07 08:42:10:745,1,183,10,0,854,2346,183,0 71,0,2024-09-07 08:42:11:358,42040,1.8,42026,1.5,83921,2.6,112801,3.50 71,1,2024-09-07 08:42:11:596,322155,322155,0,0,150712757587,1575298045,317977,3653,525,368,391682,0 71,2,2024-09-07 08:42:11:068,232496,232496,0,0,9838889,0,2470 71,3,2024-09-07 08:42:11:750,1,183,1,0,644,2859,183,0 72,0,2024-09-07 08:42:11:037,44205,0.4,43183,0.6,84394,0.3,114866,2.00 72,1,2024-09-07 08:42:11:028,321913,321913,0,0,150700195601,1570807964,318641,2785,487,369,391819,0 72,2,2024-09-07 08:42:11:815,231335,231335,0,0,11411507,0,2570 72,3,2024-09-07 08:42:11:758,1,183,38,0,364,3397,183,0 73,0,2024-09-07 08:42:11:101,46860,0.3,47963,0.5,98174,0.3,127805,2.00 73,1,2024-09-07 08:42:10:773,322060,322060,0,0,150431049406,1559910769,320181,1764,115,367,391750,0 73,2,2024-09-07 08:42:11:751,232372,232372,0,0,10679223,0,3482 73,3,2024-09-07 08:42:10:972,1,183,3,0,274,2673,183,0 74,0,2024-09-07 08:42:11:333,49615,0.5,50645,0.7,96469,0.5,130980,2.25 74,1,2024-09-07 08:42:10:635,321851,321851,0,0,150415812633,1568162172,318993,2236,622,382,391681,0 74,2,2024-09-07 08:42:11:002,230147,230147,0,0,10469464,0,4253 74,3,2024-09-07 08:42:11:448,1,183,9,0,522,2840,183,0 75,0,2024-09-07 08:42:11:771,45054,1.1,44727,1.1,89722,1.1,120010,2.75 75,1,2024-09-07 08:42:11:585,321411,321411,0,0,149698224611,1565233863,318007,2944,460,381,391579,0 75,2,2024-09-07 08:42:11:352,232092,232092,0,0,10215179,0,4766 75,3,2024-09-07 08:42:11:069,1,183,1,0,535,1914,183,0 76,0,2024-09-07 08:42:10:592,41778,0.4,41447,0.6,82766,0.3,111091,2.00 76,1,2024-09-07 08:42:10:806,322118,322118,0,0,150188222549,1564955904,320049,1557,512,382,391692,0 76,2,2024-09-07 08:42:11:075,232761,232761,0,0,8954094,0,3064 76,3,2024-09-07 08:42:11:150,1,183,96,0,175,2099,183,0 77,0,2024-09-07 08:42:11:718,45531,0.4,45966,0.6,91519,0.3,121688,1.75 77,1,2024-09-07 08:42:10:824,323379,323379,0,0,150458920092,1566648707,321775,1516,88,383,391808,0 77,2,2024-09-07 08:42:11:282,230832,230832,0,0,9260383,0,3890 77,3,2024-09-07 08:42:11:104,1,183,16,0,305,2185,183,0 78,0,2024-09-07 08:42:11:723,48948,0.4,48615,0.7,98003,0.4,129523,2.00 78,1,2024-09-07 08:42:10:612,322594,322594,0,0,149682902323,1554485643,320256,2085,253,367,391646,0 78,2,2024-09-07 08:42:11:405,232299,232299,0,0,8463360,0,2114 78,3,2024-09-07 08:42:11:138,1,183,8,0,181,1750,183,0 79,0,2024-09-07 08:42:11:344,46056,0.5,47128,0.7,96486,0.5,125153,2.50 79,1,2024-09-07 08:42:10:570,323465,323465,0,0,151107447364,1563647989,321212,2079,174,369,391682,0 79,2,2024-09-07 08:42:11:073,232653,232653,0,0,8606690,0,3212 79,3,2024-09-07 08:42:10:749,1,183,2,0,418,2968,183,0 80,0,2024-09-07 08:42:11:090,41791,0.5,43008,0.7,82434,0.5,111838,2.00 80,1,2024-09-07 08:42:11:623,321600,321600,0,0,150369751583,1564920178,319066,2370,164,368,391791,0 80,2,2024-09-07 08:42:11:090,231955,231955,0,0,9324418,0,4433 80,3,2024-09-07 08:42:10:581,1,183,1,0,190,2759,183,0 81,0,2024-09-07 08:42:11:599,43538,0.4,44888,0.6,85930,0.4,116234,1.75 81,1,2024-09-07 08:42:11:650,321790,321790,0,0,149643009762,1563612037,319293,2223,274,382,391879,0 81,2,2024-09-07 08:42:11:130,231122,231122,0,0,9692148,0,3993 81,3,2024-09-07 08:42:11:118,1,183,7,0,193,1694,183,0 82,0,2024-09-07 08:42:11:543,49749,0.4,50061,0.6,100206,0.4,132859,1.75 82,1,2024-09-07 08:42:10:590,322591,322587,0,4,150345926276,1566372902,320129,1880,578,381,391558,4 82,2,2024-09-07 08:42:11:691,231988,231988,0,0,8807715,0,3986 82,3,2024-09-07 08:42:11:755,1,183,8,0,227,1995,183,0 83,0,2024-09-07 08:42:11:527,48622,0.7,48699,0.8,96682,0.7,129127,2.00 83,1,2024-09-07 08:42:10:551,322115,322115,0,0,150171856087,1568004831,319481,2410,224,382,391690,0 83,2,2024-09-07 08:42:10:764,230754,230754,0,0,8760464,0,3119 83,3,2024-09-07 08:42:10:749,1,183,1,0,241,1923,183,0 84,0,2024-09-07 08:42:11:802,41810,1.1,41631,1.2,83651,0.9,111927,2.50 84,1,2024-09-07 08:42:11:045,321389,321389,0,0,149808611348,1568085553,317878,3051,460,368,391967,0 84,2,2024-09-07 08:42:10:575,231774,231774,0,0,10306135,0,3801 84,3,2024-09-07 08:42:11:143,1,183,4,0,270,2177,183,0 85,0,2024-09-07 08:42:11:007,41507,0.5,41499,0.7,87927,0.4,113897,2.25 85,1,2024-09-07 08:42:10:599,320878,320878,0,0,149060256442,1577614739,315343,4455,1080,382,392006,0 85,2,2024-09-07 08:42:10:866,232630,232630,0,0,11141154,0,3656 85,3,2024-09-07 08:42:10:685,1,183,66,0,789,2623,183,0 86,0,2024-09-07 08:42:10:936,48755,0.5,49997,0.6,96062,0.4,129727,1.75 86,1,2024-09-07 08:42:10:828,321658,321658,0,0,150770170683,1578019596,317669,3444,545,366,391961,0 86,2,2024-09-07 08:42:10:855,230757,230756,1,0,11342608,0,5004 86,3,2024-09-07 08:42:10:598,1,183,12,0,286,2546,183,0 87,0,2024-09-07 08:42:11:290,48775,1.3,48511,1.1,97575,1.9,130967,2.25 87,1,2024-09-07 08:42:10:554,321564,321564,0,0,150011026070,1571426425,317931,3185,448,366,391788,0 87,2,2024-09-07 08:42:11:087,229323,229323,0,0,9382278,0,3515 87,3,2024-09-07 08:42:11:794,1,183,8,0,335,3011,183,0 88,0,2024-09-07 08:42:11:448,43704,0.6,43903,0.7,87796,0.7,116717,1.75 88,1,2024-09-07 08:42:10:574,321063,321063,0,0,150100705996,1574296129,316762,3056,1245,365,392084,0 88,2,2024-09-07 08:42:10:689,231915,231915,0,0,10902811,0,3583 88,3,2024-09-07 08:42:11:271,1,183,0,0,435,2413,183,0 89,0,2024-09-07 08:42:11:786,43663,0.4,42297,0.6,83792,0.3,113932,1.75 89,1,2024-09-07 08:42:10:555,320012,320012,0,0,149909768279,1582347841,314569,4505,938,382,391866,0 89,2,2024-09-07 08:42:11:141,231776,231776,0,0,10714108,0,2910 89,3,2024-09-07 08:42:11:795,1,183,41,0,325,3343,183,0 90,0,2024-09-07 08:42:11:647,45589,0.3,46553,0.5,95654,0.3,124909,1.75 90,1,2024-09-07 08:42:10:601,322032,322032,0,0,149882116045,1571061681,318793,2980,259,381,391825,0 90,2,2024-09-07 08:42:11:412,230224,230224,0,0,11669385,0,3060 90,3,2024-09-07 08:42:10:930,1,183,20,0,200,2040,183,0 91,0,2024-09-07 08:42:10:928,49593,0.5,47844,0.6,99685,0.4,131037,1.75 91,1,2024-09-07 08:42:10:564,321435,321435,0,0,151009904503,1584277959,317638,3298,499,381,392047,0 91,2,2024-09-07 08:42:11:336,229864,229864,0,0,10725546,0,2445 91,3,2024-09-07 08:42:10:612,1,183,18,0,216,1974,183,0 92,0,2024-09-07 08:42:11:450,46907,0.7,48070,0.8,91938,0.8,124177,1.75 92,1,2024-09-07 08:42:10:586,321840,321840,0,0,150093240266,1566199671,319631,1805,404,382,392136,0 92,2,2024-09-07 08:42:11:358,234101,234101,0,0,9053075,0,2801 92,3,2024-09-07 08:42:11:009,1,183,1,0,167,1564,183,0 93,0,2024-09-07 08:42:10:986,42250,0.4,43372,0.6,82812,0.3,111973,1.75 93,1,2024-09-07 08:42:10:807,321926,321926,0,0,150238977565,1567005258,318796,2578,552,366,391692,0 93,2,2024-09-07 08:42:10:928,231818,231818,0,0,9142309,0,2509 93,3,2024-09-07 08:42:11:413,1,183,139,0,143,1913,183,0 94,0,2024-09-07 08:42:11:675,44093,0.3,44640,0.4,88710,0.2,117630,1.50 94,1,2024-09-07 08:42:10:564,321575,321575,0,0,149990818463,1566705180,319121,2358,96,381,391850,0 94,2,2024-09-07 08:42:10:763,231044,231044,0,0,8778593,0,2443 94,3,2024-09-07 08:42:11:688,1,183,1,0,264,2547,183,0 95,0,2024-09-07 08:42:11:374,49468,0.3,49187,0.5,99236,0.3,130890,1.75 95,1,2024-09-07 08:42:10:865,322418,322418,0,0,150904272404,1567811831,320019,2214,185,367,391662,0 95,2,2024-09-07 08:42:11:021,231601,231601,0,0,9046719,0,3308 95,3,2024-09-07 08:42:11:720,1,183,1,0,718,3261,183,0 96,0,2024-09-07 08:42:11:027,48676,0.5,48814,0.6,97678,0.5,129144,1.75 96,1,2024-09-07 08:42:11:585,321924,321924,0,0,150881165686,1576873899,319302,1977,645,385,391894,0 96,2,2024-09-07 08:42:11:270,231428,231428,0,0,9695091,0,4042 96,3,2024-09-07 08:42:11:143,1,183,1,0,188,2007,183,0 97,0,2024-09-07 08:42:11:321,41888,0.4,41576,0.5,83397,0.4,111306,1.75 97,1,2024-09-07 08:42:10:763,322851,322851,0,0,150763476046,1566903997,320038,2261,552,367,392140,0 97,2,2024-09-07 08:42:10:611,231738,231738,0,0,8996041,0,3036 97,3,2024-09-07 08:42:10:573,1,183,54,0,165,2131,183,0 98,0,2024-09-07 08:42:11:924,43294,0.2,43144,0.4,86673,0.2,115179,1.50 98,1,2024-09-07 08:42:10:577,322572,322572,0,0,150247752729,1565403268,320722,1757,93,382,391997,0 98,2,2024-09-07 08:42:10:772,233092,233092,0,0,9260609,0,3080 98,3,2024-09-07 08:42:10:703,1,183,9,0,840,3356,183,0 99,0,2024-09-07 08:42:11:520,49786,0.3,49761,0.4,99338,0.2,133473,1.50 99,1,2024-09-07 08:42:11:727,322677,322677,0,0,149996182076,1565511849,319600,2380,697,381,391744,0 99,2,2024-09-07 08:42:11:481,233734,233734,0,0,9199084,0,2615 99,3,2024-09-07 08:42:10:580,1,183,1,0,129,1297,183,0 100,0,2024-09-07 08:42:11:462,49003,1.0,49085,1.2,97906,1.8,131159,2.50 100,1,2024-09-07 08:42:10:552,319989,319989,0,0,149380692871,1582198993,314685,4371,933,381,391989,0 100,2,2024-09-07 08:42:11:818,229097,229086,11,0,10399843,0,5417 100,3,2024-09-07 08:42:11:731,1,183,14,0,559,3802,183,0 101,0,2024-09-07 08:42:11:713,43381,2.6,42361,1.5,82793,2.8,114118,2.75 101,1,2024-09-07 08:42:10:553,320659,320659,0,0,149816271079,1582729815,315002,4174,1483,368,391769,0 101,2,2024-09-07 08:42:11:756,231403,231403,0,0,11697647,0,4644 101,3,2024-09-07 08:42:10:942,1,183,4,0,448,2153,183,0 102,0,2024-09-07 08:42:10:943,41839,0.5,43273,0.7,87057,0.4,114090,2.00 102,1,2024-09-07 08:42:11:144,321291,321291,0,0,150470598712,1582028281,317063,3514,714,369,391883,0 102,2,2024-09-07 08:42:11:736,232422,232368,54,0,11202318,0,6768 102,3,2024-09-07 08:42:11:613,1,183,10,0,410,1854,183,0 103,0,2024-09-07 08:42:11:601,49392,0.4,49464,0.6,93287,0.3,128654,1.75 103,1,2024-09-07 08:42:11:626,320460,320460,0,0,149816641959,1580704537,315348,3787,1325,381,391829,0 103,2,2024-09-07 08:42:10:605,231018,231018,0,0,9887479,0,2104 103,3,2024-09-07 08:42:10:761,1,183,0,0,916,3104,183,0 104,0,2024-09-07 08:42:11:009,48752,1.1,49026,1.1,96967,1.2,130972,2.25 104,1,2024-09-07 08:42:11:599,322082,322082,0,0,150111643557,1580207950,317003,4111,968,365,391948,0 104,2,2024-09-07 08:42:11:673,229559,229559,0,0,10515666,0,3941 104,3,2024-09-07 08:42:11:421,1,183,18,1,1245,5270,183,0 105,0,2024-09-07 08:42:11:039,44392,1.5,43085,1.3,90190,2.4,118886,3.50 105,1,2024-09-07 08:42:10:570,322336,322336,0,0,149814062696,1570040135,318094,3465,777,367,391797,0 105,2,2024-09-07 08:42:11:329,231439,231439,0,0,10022288,0,3509 105,3,2024-09-07 08:42:11:305,1,183,1,0,399,2707,183,0 106,0,2024-09-07 08:42:10:963,40442,0.6,41598,0.8,84707,0.6,110719,2.00 106,1,2024-09-07 08:42:11:755,321908,321908,0,0,149877835734,1571921228,317684,3825,399,369,391767,0 106,2,2024-09-07 08:42:10:756,231070,231070,0,0,10319894,0,2795 106,3,2024-09-07 08:42:10:677,1,183,19,0,405,2501,183,0 107,0,2024-09-07 08:42:11:124,45524,0.4,45631,0.6,90788,0.3,121870,1.75 107,1,2024-09-07 08:42:10:590,321318,321318,0,0,149742770919,1572180740,318251,2836,231,381,392234,0 107,2,2024-09-07 08:42:11:291,230694,230693,1,0,10287026,0,5024 107,3,2024-09-07 08:42:11:767,1,183,1,0,353,2670,183,0 108,0,2024-09-07 08:42:11:778,49041,0.4,49142,0.6,97512,0.4,129981,1.75 108,1,2024-09-07 08:42:11:302,321704,321704,0,0,150526244908,1569558919,319030,2360,314,368,391857,0 108,2,2024-09-07 08:42:11:762,230101,230101,0,0,9607024,0,2647 108,3,2024-09-07 08:42:11:343,1,183,1,0,667,3436,183,0 109,0,2024-09-07 08:42:11:744,47817,0.4,47338,0.6,95037,0.4,127007,1.75 109,1,2024-09-07 08:42:10:644,321465,321465,0,0,150592333178,1578787885,318674,2295,496,383,392132,0 109,2,2024-09-07 08:42:10:925,231456,231456,0,0,9836674,0,3617 109,3,2024-09-07 08:42:11:146,1,183,13,0,249,2389,183,0 110,0,2024-09-07 08:42:11:809,42179,0.4,41036,0.6,85475,0.3,112005,1.75 110,1,2024-09-07 08:42:11:646,322802,322802,0,0,150524374629,1564446885,320177,1858,767,370,391667,0 110,2,2024-09-07 08:42:11:308,232140,232140,0,0,8866215,0,2915 110,3,2024-09-07 08:42:10:706,1,183,8,0,406,2469,183,0 111,0,2024-09-07 08:42:11:413,43806,0.2,43324,0.4,86573,0.1,116453,1.50 111,1,2024-09-07 08:42:11:001,323200,323200,0,0,151248510522,1568475066,321410,1457,333,382,391690,0 111,2,2024-09-07 08:42:11:117,230942,230942,0,0,9541158,0,2763 111,3,2024-09-07 08:42:10:913,1,183,1,0,379,2802,183,0 112,0,2024-09-07 08:42:10:916,50096,0.3,50084,0.4,100202,0.2,132944,1.50 112,1,2024-09-07 08:42:10:824,322911,322911,0,0,150251035318,1560829803,320581,1904,426,380,391580,0 112,2,2024-09-07 08:42:11:140,231991,231990,1,0,9610537,0,5036 112,3,2024-09-07 08:42:10:614,1,183,68,0,282,2018,183,0 113,0,2024-09-07 08:42:10:870,48444,0.4,48396,0.5,97423,0.3,130270,1.75 113,1,2024-09-07 08:42:11:688,322965,322965,0,0,151706261917,1569246182,320546,1931,488,366,391661,0 113,2,2024-09-07 08:42:11:307,231876,231876,0,0,8511089,0,3813 113,3,2024-09-07 08:42:10:688,1,183,328,0,340,3176,183,0 114,0,2024-09-07 08:42:10:875,42579,0.6,43198,0.6,84978,0.4,113844,1.75 114,1,2024-09-07 08:42:10:721,321537,321537,0,0,150009031613,1567503304,317404,2670,1463,381,391534,0 114,2,2024-09-07 08:42:10:874,231867,231867,0,0,8891370,0,3925 114,3,2024-09-07 08:42:11:280,1,183,7,0,395,2107,183,0 115,0,2024-09-07 08:42:10:560,42750,0.2,43245,0.4,86293,0.2,115003,1.50 115,1,2024-09-07 08:42:10:571,322075,322075,0,0,150264254764,1565174365,318429,2825,821,382,391602,0 115,2,2024-09-07 08:42:11:125,233829,233829,0,0,8293347,0,2152 115,3,2024-09-07 08:42:11:002,1,183,37,0,159,1155,183,0 116,0,2024-09-07 08:42:11:767,48816,0.5,48417,0.7,97229,0.5,129511,2.00 116,1,2024-09-07 08:42:10:805,319556,319556,0,0,149628278520,1587110076,314605,3193,1758,380,391677,0 116,2,2024-09-07 08:42:11:751,231053,231053,0,0,11819522,0,3529 116,3,2024-09-07 08:42:10:915,1,183,2,0,252,2631,183,0 117,0,2024-09-07 08:42:10:973,48698,1.2,48864,1.0,97533,1.6,131045,2.00 117,1,2024-09-07 08:42:11:586,321393,321393,0,0,149502995099,1567309071,317454,3464,475,370,392033,0 117,2,2024-09-07 08:42:11:130,231296,231296,0,0,9162085,0,3700 117,3,2024-09-07 08:42:11:080,1,183,63,0,490,3519,183,0 118,0,2024-09-07 08:42:11:769,42529,0.9,43824,0.9,88930,1.0,116047,2.25 118,1,2024-09-07 08:42:10:591,321437,321437,0,0,149616256166,1575654460,316551,3561,1325,366,391736,0 118,2,2024-09-07 08:42:11:586,231870,231870,0,0,10541557,0,2781 118,3,2024-09-07 08:42:11:764,1,183,8,0,235,2255,183,0 119,0,2024-09-07 08:42:11:391,42061,0.6,42349,0.8,85218,0.5,113053,2.00 119,1,2024-09-07 08:42:10:553,322341,322341,0,0,150497469953,1569233546,319813,2236,292,368,391641,0 119,2,2024-09-07 08:42:11:261,232080,232080,0,0,9840707,0,3526 119,3,2024-09-07 08:42:11:324,1,183,9,0,443,3084,183,0 120,0,2024-09-07 08:42:11:557,46572,0.4,46687,0.6,93464,0.3,124953,1.75 120,1,2024-09-07 08:42:10:864,322152,322152,0,0,149749662295,1570617070,318632,3233,287,368,391961,0 120,2,2024-09-07 08:42:10:772,230664,230663,1,0,11981725,0,5281 120,3,2024-09-07 08:42:11:293,1,183,2,0,241,2528,183,0 121,0,2024-09-07 08:42:11:704,48732,1.3,49157,1.1,98018,1.8,130480,2.25 121,1,2024-09-07 08:42:11:659,321847,321847,0,0,150273906609,1570120425,319064,2493,290,367,391840,0 121,2,2024-09-07 08:42:11:134,229782,229782,0,0,10757471,0,4127 121,3,2024-09-07 08:42:10:728,1,183,2,0,269,2496,183,0 122,0,2024-09-07 08:42:11:780,46299,1.1,45136,1.1,94614,1.3,124219,2.25 122,1,2024-09-07 08:42:10:865,320801,320801,0,0,150267797904,1576689731,316537,3555,709,366,392130,0 122,2,2024-09-07 08:42:11:319,232062,232062,0,0,12143012,0,3364 122,3,2024-09-07 08:42:10:610,1,183,8,0,411,3909,183,0 123,0,2024-09-07 08:42:10:965,42086,0.7,41045,0.8,85663,0.8,111558,2.00 123,1,2024-09-07 08:42:10:573,321814,321814,0,0,150744118859,1585558491,316362,4694,758,369,391823,0 123,2,2024-09-07 08:42:11:020,229907,229906,1,0,10576995,0,5215 123,3,2024-09-07 08:42:11:141,1,183,18,0,168,2171,183,0 124,0,2024-09-07 08:42:10:943,45551,0.3,45529,0.4,85933,0.2,117880,1.50 124,1,2024-09-07 08:42:11:024,322603,322603,0,0,149932020746,1557075137,320505,1742,356,367,392178,0 124,2,2024-09-07 08:42:11:010,231651,231651,0,0,8856969,0,3101 124,3,2024-09-07 08:42:10:760,1,183,7,0,490,2420,183,0 125,0,2024-09-07 08:42:11:504,49340,0.4,49300,0.5,98756,0.3,130991,1.75 125,1,2024-09-07 08:42:10:855,322366,322366,0,0,149783326530,1563018447,319990,2099,277,383,391702,0 125,2,2024-09-07 08:42:11:128,232533,232533,0,0,8800350,0,2180 125,3,2024-09-07 08:42:11:135,1,183,1,0,284,2370,183,0 126,0,2024-09-07 08:42:11:421,48816,0.7,50083,0.7,95702,0.7,130002,1.75 126,1,2024-09-07 08:42:10:563,322639,322639,0,0,150914363942,1562934147,320991,1569,79,365,391987,0 126,2,2024-09-07 08:42:10:613,232726,232726,0,0,9164426,0,3186 126,3,2024-09-07 08:42:10:907,1,183,8,0,150,2566,183,0 127,0,2024-09-07 08:42:11:625,41599,0.4,41771,0.5,83304,0.3,111227,1.75 127,1,2024-09-07 08:42:10:570,322024,322024,0,0,151166209954,1565301228,319926,2057,41,365,391816,0 127,2,2024-09-07 08:42:10:637,231920,231920,0,0,8606505,0,2264 127,3,2024-09-07 08:42:11:270,1,183,0,0,243,1568,183,0 128,0,2024-09-07 08:42:11:535,43577,0.3,43364,0.4,86749,0.2,115250,1.50 128,1,2024-09-07 08:42:11:616,323009,323009,0,0,150876343481,1561502417,322009,928,72,367,391680,0 128,2,2024-09-07 08:42:11:383,231952,231952,0,0,8738496,0,2107 128,3,2024-09-07 08:42:10:767,1,183,0,0,333,2457,183,0 129,0,2024-09-07 08:42:11:018,50036,0.3,49814,0.4,99552,0.2,133093,1.50 129,1,2024-09-07 08:42:10:574,320758,320758,0,0,149525922609,1565536981,317760,2603,395,379,391835,0 129,2,2024-09-07 08:42:10:693,232484,232484,0,0,9066621,0,4031 129,3,2024-09-07 08:42:10:691,1,183,1,0,469,2511,183,0 130,0,2024-09-07 08:42:11:722,49457,0.7,49183,0.7,98780,0.8,132119,1.75 130,1,2024-09-07 08:42:10:590,322473,322473,0,0,150889356396,1570974581,320353,2047,73,381,391825,0 130,2,2024-09-07 08:42:11:125,231346,231346,0,0,8883899,0,4067 130,3,2024-09-07 08:42:11:292,1,183,8,0,450,2124,183,0 131,0,2024-09-07 08:42:11:964,42714,0.5,42984,0.6,86726,0.6,114308,1.75 131,1,2024-09-07 08:42:11:821,323115,323115,0,0,150382678972,1563336774,321638,1271,206,383,391865,0 131,2,2024-09-07 08:42:10:574,232867,232867,0,0,8612444,0,2415 131,3,2024-09-07 08:42:11:696,1,183,1,0,392,1927,183,0 132,0,2024-09-07 08:42:11:424,42569,0.4,43094,0.7,86168,0.3,114437,1.75 132,1,2024-09-07 08:42:10:580,320963,320963,0,0,149396491998,1578103799,315534,4397,1032,381,392097,0 132,2,2024-09-07 08:42:10:703,231953,231953,0,0,11610445,0,4606 132,3,2024-09-07 08:42:11:689,1,183,12,0,356,3203,183,0 133,0,2024-09-07 08:42:11:583,46823,0.4,47920,0.5,98141,0.3,127669,1.75 133,1,2024-09-07 08:42:10:583,320335,320335,0,0,150237516075,1583663041,315813,3880,642,383,391914,0 133,2,2024-09-07 08:42:11:090,231194,231194,0,0,11694243,0,4315 133,3,2024-09-07 08:42:11:300,1,183,134,0,276,1903,183,0 134,0,2024-09-07 08:42:10:980,49079,0.5,49072,0.7,98603,0.5,130962,2.00 134,1,2024-09-07 08:42:10:618,321147,321147,0,0,149951195122,1574252282,316286,3578,1283,366,391718,0 134,2,2024-09-07 08:42:11:758,229621,229621,0,0,9737607,0,3096 134,3,2024-09-07 08:42:10:749,1,183,19,0,739,3171,183,0 135,0,2024-09-07 08:42:11:119,43525,1.4,43532,1.2,92330,1.6,118408,2.50 135,1,2024-09-07 08:42:11:586,320605,320605,0,0,149984049210,1576896604,316399,3502,704,380,391805,0 135,2,2024-09-07 08:42:10:691,232289,232289,0,0,10707803,0,3981 135,3,2024-09-07 08:42:11:002,1,183,1,0,299,1326,183,0 136,0,2024-09-07 08:42:11:617,42045,0.5,41979,0.7,84287,0.4,111682,2.00 136,1,2024-09-07 08:42:11:448,321312,321312,0,0,149790842894,1568720885,317952,3185,175,382,391641,0 136,2,2024-09-07 08:42:11:137,232622,232622,0,0,10233938,0,3506 136,3,2024-09-07 08:42:11:121,1,183,59,0,108,1450,183,0 137,0,2024-09-07 08:42:10:932,46838,0.4,45320,0.6,89737,0.3,121932,1.75 137,1,2024-09-07 08:42:10:578,321177,321177,0,0,149670822933,1566473196,316636,4012,529,366,391708,0 137,2,2024-09-07 08:42:11:709,230865,230865,0,0,11698355,0,3185 137,3,2024-09-07 08:42:10:777,1,183,1,0,227,2071,183,0 138,0,2024-09-07 08:42:11:778,48458,1.1,48454,1.0,97564,1.4,129723,2.25 138,1,2024-09-07 08:42:11:687,321577,321577,0,0,150677215447,1574747134,318058,3156,363,368,391954,0 138,2,2024-09-07 08:42:10:587,231782,231782,0,0,9534526,0,3263 138,3,2024-09-07 08:42:10:610,1,183,7,0,1160,3305,183,0 139,0,2024-09-07 08:42:11:409,46544,2.7,46681,1.6,94232,4.0,125480,3.00 139,1,2024-09-07 08:42:10:572,320114,320114,0,0,149078463143,1579953964,314697,4090,1327,381,391892,0 139,2,2024-09-07 08:42:10:693,230360,230360,0,0,10876602,0,3097 139,3,2024-09-07 08:42:11:666,1,183,1,0,244,2011,183,0 140,0,2024-09-07 08:42:11:587,42388,0.3,41952,0.5,84499,0.3,112170,1.75 140,1,2024-09-07 08:42:11:538,323210,323210,0,0,151693314842,1563056633,321688,1282,240,365,391606,0 140,2,2024-09-07 08:42:10:690,232170,232170,0,0,9131878,0,3388 140,3,2024-09-07 08:42:10:767,1,183,0,0,247,1522,183,0 141,0,2024-09-07 08:42:11:698,43564,0.2,44631,0.4,85372,0.1,116572,1.50 141,1,2024-09-07 08:42:10:862,323075,323075,0,0,151461430634,1570430521,321121,1601,353,379,391614,0 141,2,2024-09-07 08:42:11:686,231903,231903,0,0,8624247,0,2342 141,3,2024-09-07 08:42:11:045,1,183,4,0,147,1396,183,0 142,0,2024-09-07 08:42:11:350,50539,0.3,50238,0.4,99688,0.2,133222,1.50 142,1,2024-09-07 08:42:10:614,321961,321961,0,0,150200377009,1563944499,320400,1400,161,383,391728,0 142,2,2024-09-07 08:42:11:303,231285,231253,32,0,10045986,0,6028 142,3,2024-09-07 08:42:11:756,1,183,13,0,484,2471,183,0 143,0,2024-09-07 08:42:11:393,48654,0.6,48594,0.7,98074,0.7,130083,1.75 143,1,2024-09-07 08:42:10:567,322392,322392,0,0,150183953503,1557643400,320245,2103,44,367,391619,0 143,2,2024-09-07 08:42:10:773,230848,230848,0,0,9173363,0,2669 143,3,2024-09-07 08:42:11:144,1,183,12,0,303,2717,183,0 144,0,2024-09-07 08:42:11:505,40757,0.7,42010,1.2,85275,0.7,112256,2.00 144,1,2024-09-07 08:42:10:565,320862,320862,0,0,150113718899,1572684889,318456,2202,204,381,391649,0 144,2,2024-09-07 08:42:11:756,232002,232002,0,0,9175067,0,3473 144,3,2024-09-07 08:42:11:738,1,183,1,0,249,2195,183,0 145,0,2024-09-07 08:42:11:430,41574,0.5,41545,0.7,88204,0.4,114390,2.00 145,1,2024-09-07 08:42:10:553,319848,319848,0,0,149894042578,1578841990,315170,3907,771,382,391615,0 145,2,2024-09-07 08:42:11:439,231744,231744,0,0,10517040,0,3903 145,3,2024-09-07 08:42:10:894,1,183,4,0,151,2032,183,0 146,0,2024-09-07 08:42:11:621,48598,0.4,48271,0.6,97262,0.3,129245,2.00 146,1,2024-09-07 08:42:11:614,322322,322322,0,0,150608152481,1578462664,317631,3830,861,368,391629,0 146,2,2024-09-07 08:42:11:697,231538,231538,0,0,9887128,0,2498 146,3,2024-09-07 08:42:11:278,1,183,10,0,1520,5276,183,0 147,0,2024-09-07 08:42:11:696,48877,0.7,48774,0.9,96979,0.7,130710,2.25 147,1,2024-09-07 08:42:11:377,322949,322949,0,0,150359567798,1564118385,319833,2618,498,368,391791,0 147,2,2024-09-07 08:42:11:010,231262,231262,0,0,8958977,0,2789 147,3,2024-09-07 08:42:10:914,1,183,2,0,371,2135,183,0 0,0,2024-09-07 08:42:21:733,45790,0.4,45814,0.6,97038,0.3,125905,1.75 0,1,2024-09-07 08:42:20:817,323575,323575,0,0,151609804650,1585010312,321548,1890,137,372,391772,0 0,2,2024-09-07 08:42:21:070,232502,232502,0,0,9614992,0,4480 0,3,2024-09-07 08:42:20:996,1,184,39,0,247,2349,184,0 1,0,2024-09-07 08:42:21:752,49307,1.1,48941,1.1,98270,1.6,131079,2.25 1,1,2024-09-07 08:42:20:607,323439,323439,0,0,150601243804,1579117202,319985,2586,868,371,391857,0 1,2,2024-09-07 08:42:20:642,230674,230674,0,0,8775385,0,3267 1,3,2024-09-07 08:42:21:305,1,184,7,0,262,2256,184,0 2,0,2024-09-07 08:42:21:576,46448,1.0,46764,1.0,92781,1.4,123656,2.25 2,1,2024-09-07 08:42:20:866,323567,323567,0,0,151633738269,1575186162,322024,1337,206,380,391745,0 2,2,2024-09-07 08:42:21:273,233313,233313,0,0,9397367,0,3594 2,3,2024-09-07 08:42:20:696,1,184,8,0,357,1840,184,0 3,0,2024-09-07 08:42:21:743,41772,0.4,42057,0.6,83704,0.4,111004,2.00 3,1,2024-09-07 08:42:21:625,323270,323270,0,0,150858089376,1573720772,320067,2779,424,380,391516,0 3,2,2024-09-07 08:42:21:151,233294,233271,23,0,9570243,0,5851 3,3,2024-09-07 08:42:21:754,1,184,1,0,103,1166,184,0 4,0,2024-09-07 08:42:22:166,43091,0.3,44325,0.4,90124,0.2,118517,1.50 4,1,2024-09-07 08:42:20:616,323502,323502,0,0,150898599759,1583875670,320477,2501,524,371,391846,0 4,2,2024-09-07 08:42:21:251,231235,231235,0,0,11415738,0,4528 4,3,2024-09-07 08:42:21:034,1,184,35,0,448,2795,184,0 5,0,2024-09-07 08:42:21:397,49417,0.4,49437,0.6,98631,0.4,130991,1.75 5,1,2024-09-07 08:42:20:773,323010,323010,0,0,151029445938,1590873789,318485,3468,1057,368,392005,0 5,2,2024-09-07 08:42:21:841,231362,231362,0,0,9864799,0,2259 5,3,2024-09-07 08:42:21:734,1,184,1,0,238,2587,184,0 6,0,2024-09-07 08:42:20:933,49178,0.8,48680,0.9,97167,1.0,130257,2.25 6,1,2024-09-07 08:42:20:750,323532,323532,0,0,150354546161,1573828690,319840,3033,659,379,391634,0 6,2,2024-09-07 08:42:21:127,232972,232972,0,0,9887948,0,4816 6,3,2024-09-07 08:42:21:275,1,184,7,0,340,2444,184,0 7,0,2024-09-07 08:42:21:531,41521,0.5,41467,0.7,83012,0.4,110972,2.00 7,1,2024-09-07 08:42:20:850,323430,323430,0,0,151584331448,1587695441,319990,3153,287,382,391664,0 7,2,2024-09-07 08:42:20:785,232403,232403,0,0,9132908,0,2981 7,3,2024-09-07 08:42:20:851,1,184,62,0,305,1804,184,0 8,0,2024-09-07 08:42:21:423,43306,0.3,43587,0.4,86712,0.2,115656,1.50 8,1,2024-09-07 08:42:21:024,322505,322505,0,0,151195115048,1593507902,316819,4084,1602,367,391956,0 8,2,2024-09-07 08:42:20:847,229828,229828,0,0,10986230,0,2986 8,3,2024-09-07 08:42:20:595,1,184,10,0,357,2861,184,0 9,0,2024-09-07 08:42:21:282,50354,0.3,48845,0.5,102060,0.3,134468,1.75 9,1,2024-09-07 08:42:20:564,322822,322822,0,0,151603857804,1597845287,317985,3704,1133,370,392001,0 9,2,2024-09-07 08:42:21:093,232996,232996,0,0,10233662,0,3360 9,3,2024-09-07 08:42:21:777,1,184,12,0,496,2840,184,0 10,0,2024-09-07 08:42:21:604,49706,0.4,49345,0.5,99105,0.3,132214,1.75 10,1,2024-09-07 08:42:20:593,323322,323322,0,0,150819921366,1581173824,318627,3998,697,381,391741,0 10,2,2024-09-07 08:42:20:765,232271,232271,0,0,10279807,0,2940 10,3,2024-09-07 08:42:20:879,1,184,8,0,177,1310,184,0 11,0,2024-09-07 08:42:21:016,42250,0.6,41087,0.8,86091,0.6,114524,2.00 11,1,2024-09-07 08:42:20:573,323435,323435,0,0,150844221856,1585071299,317914,4226,1295,384,391537,0 11,2,2024-09-07 08:42:21:131,233004,233004,0,0,9925358,0,3411 11,3,2024-09-07 08:42:21:307,1,184,0,0,720,2924,184,0 12,0,2024-09-07 08:42:20:996,43455,0.3,43105,0.5,86571,0.2,114973,1.50 12,1,2024-09-07 08:42:21:308,323612,323612,0,0,150363765633,1569612162,320644,2553,415,370,391837,0 12,2,2024-09-07 08:42:21:542,234712,234712,0,0,10304401,0,3469 12,3,2024-09-07 08:42:21:073,1,184,16,0,358,3041,184,0 13,0,2024-09-07 08:42:21:378,48552,0.3,48464,0.5,96874,0.3,129318,1.50 13,1,2024-09-07 08:42:21:545,323091,323091,0,0,150803287374,1580702843,320653,2028,410,382,391717,0 13,2,2024-09-07 08:42:20:598,234139,234139,0,0,9486196,0,3287 13,3,2024-09-07 08:42:21:766,1,184,19,0,467,3461,184,0 14,0,2024-09-07 08:42:20:571,49554,0.4,49892,0.6,98694,0.4,131402,1.75 14,1,2024-09-07 08:42:21:565,325239,325239,0,0,151208441861,1566150236,322970,2105,164,364,391571,0 14,2,2024-09-07 08:42:20:768,231026,231026,0,0,9428396,0,2896 14,3,2024-09-07 08:42:21:129,1,184,1,0,1168,3057,184,0 15,0,2024-09-07 08:42:21:552,44757,0.8,44827,1.0,89871,0.9,119255,2.25 15,1,2024-09-07 08:42:21:614,323564,323564,0,0,151569019043,1575394565,321646,1808,110,381,391619,0 15,2,2024-09-07 08:42:21:027,234444,234444,0,0,7975894,0,3043 15,3,2024-09-07 08:42:21:420,1,184,27,0,1126,4601,184,0 16,0,2024-09-07 08:42:20:988,42119,0.5,42316,0.7,84127,0.4,112106,2.00 16,1,2024-09-07 08:42:20:599,323662,323662,0,0,150882913266,1577981419,320988,2401,273,370,391756,0 16,2,2024-09-07 08:42:21:442,232831,232831,0,0,10614151,0,4719 16,3,2024-09-07 08:42:21:144,1,184,25,0,231,2438,184,0 17,0,2024-09-07 08:42:21:861,47482,0.4,46314,0.6,90854,0.4,123487,1.75 17,1,2024-09-07 08:42:20:585,322571,322571,0,0,150578436378,1581435297,319152,2744,675,368,391688,0 17,2,2024-09-07 08:42:21:684,234400,234400,0,0,9423657,0,2857 17,3,2024-09-07 08:42:20:585,1,184,39,0,268,2760,184,0 18,0,2024-09-07 08:42:20:944,48269,0.8,48552,0.9,97136,1.0,129347,2.50 18,1,2024-09-07 08:42:21:646,323803,323803,0,0,151184083344,1567954897,321768,1800,235,367,391564,0 18,2,2024-09-07 08:42:21:759,232369,232369,0,0,9284369,0,3541 18,3,2024-09-07 08:42:20:897,1,184,0,0,163,1974,184,0 19,0,2024-09-07 08:42:21:551,47200,1.0,47645,1.0,94057,1.1,125112,2.50 19,1,2024-09-07 08:42:20:566,323737,323737,0,0,152293924493,1584935049,319920,3101,716,367,391777,0 19,2,2024-09-07 08:42:21:752,234468,234468,0,0,8677960,0,3988 19,3,2024-09-07 08:42:21:130,1,184,0,0,524,1596,184,0 20,0,2024-09-07 08:42:21:423,42105,0.5,42044,0.7,84383,0.5,112264,2.00 20,1,2024-09-07 08:42:20:575,322784,322784,0,0,151651458031,1589494372,319249,3141,394,369,391822,0 20,2,2024-09-07 08:42:20:968,232623,232623,0,0,9808406,0,3721 20,3,2024-09-07 08:42:20:592,1,184,1,0,414,3579,184,0 21,0,2024-09-07 08:42:21:233,44055,0.4,44293,0.5,87968,0.3,117096,1.75 21,1,2024-09-07 08:42:21:550,322501,322501,0,0,149654816061,1575083725,318717,3250,534,368,391962,0 21,2,2024-09-07 08:42:21:070,232089,232089,0,0,10910324,0,3747 21,3,2024-09-07 08:42:21:416,1,184,32,0,103,2200,184,0 22,0,2024-09-07 08:42:21:718,49972,0.7,49984,0.8,99533,0.8,132377,2.25 22,1,2024-09-07 08:42:21:023,322585,322585,0,0,150555198763,1587052808,316889,4178,1518,382,391667,0 22,2,2024-09-07 08:42:20:765,232704,232704,0,0,9361027,0,3134 22,3,2024-09-07 08:42:21:066,1,184,8,0,228,1892,184,0 23,0,2024-09-07 08:42:21:380,48667,0.8,48614,0.8,97630,0.9,130384,2.50 23,1,2024-09-07 08:42:21:007,323524,323524,0,0,151619072811,1589948543,318294,3265,1965,365,391549,0 23,2,2024-09-07 08:42:21:094,231972,231972,0,0,9404717,0,3010 23,3,2024-09-07 08:42:21:762,1,184,1,0,645,1939,184,0 24,0,2024-09-07 08:42:21:009,42969,0.5,42945,0.6,85877,0.5,114048,1.75 24,1,2024-09-07 08:42:20:594,323306,323306,0,0,150732772455,1575319208,320323,2181,802,369,391640,0 24,2,2024-09-07 08:42:21:108,231916,231916,0,0,10757704,0,2942 24,3,2024-09-07 08:42:21:687,1,184,16,0,234,2092,184,0 25,0,2024-09-07 08:42:21:371,44612,0.4,43608,0.6,85284,0.3,116383,1.75 25,1,2024-09-07 08:42:20:573,323270,323270,0,0,151422750233,1587337435,319547,3180,543,371,391788,0 25,2,2024-09-07 08:42:21:642,232569,232569,0,0,11345470,0,3978 25,3,2024-09-07 08:42:21:007,1,184,4,0,255,2237,184,0 26,0,2024-09-07 08:42:21:722,48674,0.3,47660,0.5,99862,0.2,130237,1.75 26,1,2024-09-07 08:42:21:541,323857,323857,0,0,150755936569,1580150508,319135,3821,901,381,391748,0 26,2,2024-09-07 08:42:20:866,233878,233878,0,0,10367785,0,2809 26,3,2024-09-07 08:42:21:712,1,184,7,0,796,2329,184,0 27,0,2024-09-07 08:42:21:734,49570,0.5,49521,0.7,98238,0.5,131439,2.00 27,1,2024-09-07 08:42:21:680,324984,324984,0,0,151882504209,1576503486,323080,1581,323,381,391558,0 27,2,2024-09-07 08:42:20:866,230002,230002,0,0,10426932,0,3409 27,3,2024-09-07 08:42:21:022,1,184,1,0,564,2004,184,0 28,0,2024-09-07 08:42:21:402,43622,0.7,43792,0.8,88124,0.9,117230,2.25 28,1,2024-09-07 08:42:20:805,324420,324420,0,0,151835065266,1580438626,322249,1834,337,383,391646,0 28,2,2024-09-07 08:42:21:765,234073,234073,0,0,8568379,0,2915 28,3,2024-09-07 08:42:21:778,1,184,3,0,502,2121,184,0 29,0,2024-09-07 08:42:21:367,43817,0.3,42739,0.5,83570,0.2,114796,1.75 29,1,2024-09-07 08:42:21:571,325116,325116,0,0,151361090627,1567094453,322682,1891,543,369,391621,0 29,2,2024-09-07 08:42:20:866,233343,233343,0,0,8349434,0,4018 29,3,2024-09-07 08:42:20:969,1,184,1,0,115,1411,184,0 30,0,2024-09-07 08:42:21:459,47007,0.4,45858,0.6,95872,0.3,126422,2.00 30,1,2024-09-07 08:42:20:598,324254,324254,0,0,151150135975,1568197651,322255,1721,278,382,391672,0 30,2,2024-09-07 08:42:21:278,233666,233666,0,0,8369538,0,3161 30,3,2024-09-07 08:42:20:587,1,184,8,0,195,1279,184,0 31,0,2024-09-07 08:42:21:761,48675,0.5,49071,0.7,98684,0.5,130822,2.00 31,1,2024-09-07 08:42:20:572,326040,326040,0,0,152544441648,1561919735,325228,700,112,356,391712,0 31,2,2024-09-07 08:42:21:283,230965,230965,0,0,9930671,0,3525 31,3,2024-09-07 08:42:21:706,1,184,11,0,220,1567,184,0 32,0,2024-09-07 08:42:21:416,46403,0.5,46887,0.6,93877,0.4,124362,1.75 32,1,2024-09-07 08:42:20:809,324323,324323,0,0,151363305724,1571133244,322567,1480,276,381,391595,0 32,2,2024-09-07 08:42:20:946,234247,234247,0,0,8319370,0,3155 32,3,2024-09-07 08:42:21:021,1,184,18,0,227,1541,184,0 33,0,2024-09-07 08:42:21:501,42437,0.3,41778,0.5,84405,0.2,111789,1.75 33,1,2024-09-07 08:42:20:577,325061,325061,0,0,152109975657,1573081372,322798,2091,172,369,391730,0 33,2,2024-09-07 08:42:20:765,233053,233020,33,0,10460684,0,7012 33,3,2024-09-07 08:42:20:912,1,184,4,0,329,2013,184,0 34,0,2024-09-07 08:42:20:935,44624,0.3,46011,0.4,88175,0.2,118833,1.50 34,1,2024-09-07 08:42:21:047,325302,325302,0,0,152109252980,1565409011,324304,992,6,367,391562,0 34,2,2024-09-07 08:42:20:768,231716,231716,0,0,9472972,0,3577 34,3,2024-09-07 08:42:21:692,1,184,8,0,148,1245,184,0 35,0,2024-09-07 08:42:20:860,48921,0.4,49453,0.5,98877,0.3,131302,1.75 35,1,2024-09-07 08:42:21:070,323540,323540,0,0,151135679924,1569624786,320711,2059,770,384,391589,0 35,2,2024-09-07 08:42:21:583,233382,233382,0,0,8500434,0,2653 35,3,2024-09-07 08:42:20:913,1,184,0,0,418,2038,184,0 36,0,2024-09-07 08:42:21:519,48860,0.8,48802,0.9,97752,1.0,129785,2.50 36,1,2024-09-07 08:42:20:588,324026,324026,0,0,150944366657,1579471142,319377,3360,1289,366,391759,0 36,2,2024-09-07 08:42:21:760,232260,232260,0,0,9922813,0,3303 36,3,2024-09-07 08:42:20:867,1,184,236,0,378,2986,184,0 37,0,2024-09-07 08:42:21:384,41595,0.5,41596,0.7,82988,0.4,111774,2.00 37,1,2024-09-07 08:42:20:576,322845,322838,0,7,150894844788,1584720367,317924,2888,2026,365,391560,0 37,2,2024-09-07 08:42:21:181,232478,232463,15,0,10283144,0,5815 37,3,2024-09-07 08:42:21:769,1,184,185,0,724,2924,184,0 38,0,2024-09-07 08:42:21:445,43261,0.4,41971,0.6,87728,0.3,115316,2.00 38,1,2024-09-07 08:42:21:605,324288,324288,0,0,152018492232,1588808615,319931,3712,645,368,391821,0 38,2,2024-09-07 08:42:20:763,232710,232663,47,0,11796559,0,6710 38,3,2024-09-07 08:42:21:004,1,184,8,0,689,3059,184,0 39,0,2024-09-07 08:42:21:767,51309,0.6,50403,0.7,97616,0.6,134356,2.00 39,1,2024-09-07 08:42:20:721,323817,323817,0,0,151408884154,1577923121,319933,3082,802,365,391524,0 39,2,2024-09-07 08:42:21:428,233058,233058,0,0,9028564,0,2689 39,3,2024-09-07 08:42:20:726,1,184,0,0,293,2439,184,0 40,0,2024-09-07 08:42:21:502,48841,0.9,49530,1.1,98964,0.9,131636,3.00 40,1,2024-09-07 08:42:20:600,323627,323627,0,0,150687272586,1576268562,319152,3706,769,368,391591,0 40,2,2024-09-07 08:42:21:303,231011,231010,1,0,11196261,0,5137 40,3,2024-09-07 08:42:21:157,1,184,41,0,181,1910,184,0 41,0,2024-09-07 08:42:21:029,42311,1.8,43102,1.5,82582,3.0,113028,4.00 41,1,2024-09-07 08:42:20:782,323257,323257,0,0,151609291784,1585142232,318881,3836,540,370,391742,0 41,2,2024-09-07 08:42:20:761,232002,232002,0,0,10810323,0,3356 41,3,2024-09-07 08:42:21:680,1,184,12,0,366,2319,184,0 42,0,2024-09-07 08:42:21:476,42557,0.5,42988,0.7,85661,0.4,113161,2.00 42,1,2024-09-07 08:42:21:447,322191,322191,0,0,150496141998,1580079969,317427,3806,958,380,391675,0 42,2,2024-09-07 08:42:21:140,233132,233132,0,0,10572956,0,3568 42,3,2024-09-07 08:42:21:014,1,184,11,0,446,1489,184,0 43,0,2024-09-07 08:42:20:937,48318,0.5,46988,0.8,98340,0.5,129327,2.00 43,1,2024-09-07 08:42:20:584,323754,323754,0,0,151903378484,1581585101,320372,2589,793,366,391696,0 43,2,2024-09-07 08:42:21:736,233543,233543,0,0,10008266,0,3812 43,3,2024-09-07 08:42:21:749,1,184,3,0,325,2184,184,0 44,0,2024-09-07 08:42:20:874,49305,0.5,49618,0.7,98905,0.5,132003,1.75 44,1,2024-09-07 08:42:20:564,324853,324853,0,0,151334860476,1562212826,322791,1637,425,356,391809,0 44,2,2024-09-07 08:42:21:365,230277,230277,0,0,8090231,0,1877 44,3,2024-09-07 08:42:21:095,1,184,11,0,817,2154,184,0 45,0,2024-09-07 08:42:21:758,44347,1.0,43373,1.0,91041,1.1,119923,2.25 45,1,2024-09-07 08:42:21:012,324475,324475,0,0,151762382802,1571558901,323434,1031,10,382,391917,0 45,2,2024-09-07 08:42:21:278,234559,234559,0,0,8822117,0,3596 45,3,2024-09-07 08:42:20:936,1,184,1,0,226,1811,184,0 46,0,2024-09-07 08:42:20:966,41974,0.3,41852,0.5,83963,0.2,111206,1.75 46,1,2024-09-07 08:42:20:584,324779,324779,0,0,151717357544,1566903521,322969,1586,224,366,391524,0 46,2,2024-09-07 08:42:20:596,233941,233941,0,0,8837882,0,2920 46,3,2024-09-07 08:42:21:131,1,184,8,0,908,3121,184,0 47,0,2024-09-07 08:42:21:138,46155,0.3,46328,0.5,92966,0.2,123331,1.50 47,1,2024-09-07 08:42:20:572,325607,325607,0,0,151394869896,1561090938,324199,1391,17,366,391605,0 47,2,2024-09-07 08:42:20:914,233478,233478,0,0,8877081,0,2558 47,3,2024-09-07 08:42:21:129,1,184,1,0,529,1925,184,0 48,0,2024-09-07 08:42:21:504,49359,0.3,48981,0.4,97881,0.2,130253,1.50 48,1,2024-09-07 08:42:21:024,324934,324934,0,0,151472703122,1572657827,322867,1886,181,384,391710,0 48,2,2024-09-07 08:42:20:709,232379,232379,0,0,8053119,0,3031 48,3,2024-09-07 08:42:20:762,1,184,1,0,339,1534,184,0 49,0,2024-09-07 08:42:21:726,48860,0.5,47966,0.6,93169,0.5,127070,1.75 49,1,2024-09-07 08:42:21:026,323525,323525,0,0,151744410258,1581354811,320750,1935,840,382,391583,0 49,2,2024-09-07 08:42:21:800,234479,234479,0,0,8803197,0,3900 49,3,2024-09-07 08:42:21:420,1,184,1,0,408,2481,184,0 50,0,2024-09-07 08:42:21:517,42316,0.3,41792,0.5,84008,0.2,112303,1.75 50,1,2024-09-07 08:42:21:018,325751,325751,0,0,151854103694,1573073297,323216,2226,309,368,391530,0 50,2,2024-09-07 08:42:21:070,233110,233110,0,0,8619875,0,2263 50,3,2024-09-07 08:42:21:291,1,184,8,0,335,1439,184,0 51,0,2024-09-07 08:42:21:687,45026,0.2,44212,0.4,86140,0.2,117821,1.50 51,1,2024-09-07 08:42:21:680,324644,324644,0,0,153070831674,1580769399,322671,1187,786,365,391637,0 51,2,2024-09-07 08:42:21:317,232631,232631,0,0,8110798,0,3337 51,3,2024-09-07 08:42:21:032,1,184,2,0,162,973,184,0 52,0,2024-09-07 08:42:21:431,50476,0.5,50340,0.7,100489,0.5,132984,2.00 52,1,2024-09-07 08:42:20:587,323072,323072,0,0,150036091674,1579709061,316892,5020,1160,368,391722,0 52,2,2024-09-07 08:42:21:765,231625,231587,38,0,10743225,0,6742 52,3,2024-09-07 08:42:20:678,1,184,107,0,1782,3751,184,0 53,0,2024-09-07 08:42:21:732,48555,0.9,47189,0.9,98720,1.1,129518,2.75 53,1,2024-09-07 08:42:20:776,322611,322611,0,0,150726118503,1588618577,316460,4116,2035,367,391702,0 53,2,2024-09-07 08:42:21:308,232662,232662,0,0,9043586,0,2262 53,3,2024-09-07 08:42:20:705,1,184,0,0,271,1713,184,0 54,0,2024-09-07 08:42:21:623,41385,1.6,41914,1.1,82853,0.8,111292,3.50 54,1,2024-09-07 08:42:20:588,323664,323664,0,0,152012975991,1584983227,319579,3514,571,367,391659,0 54,2,2024-09-07 08:42:20:884,232545,232539,6,0,10645813,0,5382 54,3,2024-09-07 08:42:20:768,1,184,8,0,676,3310,184,0 55,0,2024-09-07 08:42:21:776,42035,0.5,43343,0.7,87679,0.4,114461,2.25 55,1,2024-09-07 08:42:20:768,323038,323038,0,0,150299882181,1571663541,317606,4645,787,365,391731,0 55,2,2024-09-07 08:42:20:743,232528,232528,0,0,10487610,0,3275 55,3,2024-09-07 08:42:20:678,1,184,7,0,304,2371,184,0 56,0,2024-09-07 08:42:21:556,50236,0.8,47399,0.9,97591,1.0,130486,2.25 56,1,2024-09-07 08:42:20:583,322957,322957,0,0,151537244673,1600592280,317707,4343,907,381,391678,0 56,2,2024-09-07 08:42:21:303,233023,233023,0,0,11067594,0,3567 56,3,2024-09-07 08:42:21:070,1,184,9,0,405,2467,184,0 57,0,2024-09-07 08:42:20:936,48393,2.2,48536,1.4,97072,3.1,130249,3.75 57,1,2024-09-07 08:42:21:006,323821,323821,0,0,150747049791,1576120824,320893,2758,170,367,391960,0 57,2,2024-09-07 08:42:21:324,231300,231300,0,0,11092679,0,3178 57,3,2024-09-07 08:42:21:738,1,184,2,0,359,2812,184,0 58,0,2024-09-07 08:42:20:562,42683,1.0,41529,1.1,87036,1.2,113875,2.75 58,1,2024-09-07 08:42:20:598,324408,324405,0,3,151922233487,1584595930,320664,3341,400,367,391517,3 58,2,2024-09-07 08:42:21:074,233563,233563,0,0,10209855,0,2549 58,3,2024-09-07 08:42:21:074,1,184,8,0,1043,2417,184,0 59,0,2024-09-07 08:42:21:743,42894,0.7,42513,0.9,84926,0.7,113600,2.75 59,1,2024-09-07 08:42:20:806,323428,323428,0,0,151503861376,1582492878,319640,2865,923,369,391525,0 59,2,2024-09-07 08:42:20:593,234426,234426,0,0,9327456,0,2604 59,3,2024-09-07 08:42:21:737,1,184,1,0,1015,2968,184,0 60,0,2024-09-07 08:42:21:703,47429,0.3,47320,0.5,94810,0.3,126452,1.75 60,1,2024-09-07 08:42:20:776,324967,324967,0,0,151849562399,1572026457,323503,1105,359,370,391761,0 60,2,2024-09-07 08:42:21:150,232942,232942,0,0,10168818,0,3811 60,3,2024-09-07 08:42:21:276,1,184,1,0,124,1703,184,0 61,0,2024-09-07 08:42:21:502,49077,1.0,49316,0.9,98389,1.5,131061,2.00 61,1,2024-09-07 08:42:20:776,323626,323626,0,0,151817530039,1588650308,320180,2893,553,382,391593,0 61,2,2024-09-07 08:42:21:137,232275,232275,0,0,8950596,0,2079 61,3,2024-09-07 08:42:21:692,1,184,265,0,265,2264,184,0 62,0,2024-09-07 08:42:21:708,46917,1.2,47880,1.0,91358,1.6,124163,2.25 62,1,2024-09-07 08:42:21:140,325524,325518,0,6,151804745395,1564955464,323824,1655,39,365,391715,6 62,2,2024-09-07 08:42:21:646,232903,232902,1,0,10440111,0,5555 62,3,2024-09-07 08:42:21:157,1,184,8,0,287,1261,184,0 63,0,2024-09-07 08:42:21:452,42047,0.5,41961,0.6,84077,0.4,111560,1.75 63,1,2024-09-07 08:42:20:806,324885,324879,0,6,151696461679,1570177642,323609,1243,27,381,391677,6 63,2,2024-09-07 08:42:20:769,233023,233023,0,0,8483890,0,2674 63,3,2024-09-07 08:42:21:734,1,184,9,0,667,2475,184,0 64,0,2024-09-07 08:42:21:521,44265,0.4,44346,0.5,88949,0.3,118685,1.75 64,1,2024-09-07 08:42:20:786,324124,324124,0,0,150896490008,1575045822,320652,2417,1055,370,391783,0 64,2,2024-09-07 08:42:21:163,234023,234004,19,0,9297872,0,6121 64,3,2024-09-07 08:42:21:156,1,184,16,0,265,1927,184,0 65,0,2024-09-07 08:42:21:706,48657,0.7,49187,0.8,98014,0.8,130313,2.25 65,1,2024-09-07 08:42:20:867,323170,323170,0,0,150632886201,1576045831,320465,2481,224,382,391770,0 65,2,2024-09-07 08:42:21:694,232786,232786,0,0,9817448,0,3367 65,3,2024-09-07 08:42:21:683,1,184,0,0,163,1754,184,0 66,0,2024-09-07 08:42:21:771,48372,0.6,48475,0.8,97210,0.6,129139,2.25 66,1,2024-09-07 08:42:21:297,324872,324872,0,0,151502503891,1573474350,322984,1716,172,380,391588,0 66,2,2024-09-07 08:42:21:144,234751,234751,0,0,9261601,0,4956 66,3,2024-09-07 08:42:21:092,1,184,38,0,291,1872,184,0 67,0,2024-09-07 08:42:21:428,42062,0.7,41790,0.9,83931,0.8,111885,2.25 67,1,2024-09-07 08:42:20:777,323567,323566,0,1,151445487404,1581982585,320090,2729,747,381,391787,1 67,2,2024-09-07 08:42:20:589,233650,233650,0,0,8753896,0,2889 67,3,2024-09-07 08:42:21:750,1,184,1,0,338,1671,184,0 68,0,2024-09-07 08:42:20:571,43540,0.4,43384,0.6,86761,0.3,115499,2.00 68,1,2024-09-07 08:42:20:579,322934,322934,0,0,150653422735,1580316073,319913,1998,1023,381,391953,0 68,2,2024-09-07 08:42:21:053,232164,232099,65,0,12215601,0,6698 68,3,2024-09-07 08:42:20:744,1,184,83,0,417,2225,184,0 69,0,2024-09-07 08:42:21:730,49962,0.8,50259,0.9,99869,1.0,133503,2.25 69,1,2024-09-07 08:42:21:032,322017,322017,0,0,150653563522,1590288271,317841,2961,1215,384,391994,0 69,2,2024-09-07 08:42:21:737,233740,233740,0,0,11014812,0,3701 69,3,2024-09-07 08:42:20:767,1,184,1,0,698,2930,184,0 70,0,2024-09-07 08:42:21:532,48955,1.6,49119,1.3,98478,1.1,131222,2.75 70,1,2024-09-07 08:42:20:809,323688,323688,0,0,151643688627,1575036842,321012,2173,503,366,391725,0 70,2,2024-09-07 08:42:21:325,231951,231951,0,0,9691365,0,4044 70,3,2024-09-07 08:42:20:748,1,184,8,0,854,2354,184,0 71,0,2024-09-07 08:42:21:361,42193,1.8,42165,1.5,84207,2.6,113223,3.50 71,1,2024-09-07 08:42:21:598,323879,323879,0,0,151406748739,1583005459,319689,3665,525,368,391682,0 71,2,2024-09-07 08:42:21:074,233743,233743,0,0,9958302,0,2470 71,3,2024-09-07 08:42:21:758,1,184,3,0,644,2862,184,0 72,0,2024-09-07 08:42:21:040,44466,0.4,43443,0.6,84847,0.3,115482,2.00 72,1,2024-09-07 08:42:21:028,323685,323685,0,0,151409980736,1578305356,320413,2785,487,369,391819,0 72,2,2024-09-07 08:42:21:764,232657,232657,0,0,11493861,0,2570 72,3,2024-09-07 08:42:21:756,1,184,3,0,364,3400,184,0 73,0,2024-09-07 08:42:21:115,47240,0.3,48351,0.5,98964,0.3,128834,2.00 73,1,2024-09-07 08:42:20:772,323788,323788,0,0,151385372923,1569807331,321909,1764,115,367,391750,0 73,2,2024-09-07 08:42:21:743,233870,233870,0,0,10789586,0,3482 73,3,2024-09-07 08:42:20:973,1,184,10,0,274,2683,184,0 74,0,2024-09-07 08:42:21:341,49790,0.5,50812,0.7,96795,0.5,131431,2.25 74,1,2024-09-07 08:42:20:635,323601,323601,0,0,151282454072,1577106394,320743,2236,622,382,391681,0 74,2,2024-09-07 08:42:21:005,230860,230860,0,0,10510742,0,4253 74,3,2024-09-07 08:42:21:450,1,184,8,0,522,2848,184,0 75,0,2024-09-07 08:42:21:769,45147,1.0,44816,1.1,89929,1.1,120266,2.75 75,1,2024-09-07 08:42:21:585,323183,323183,0,0,150791227012,1576442670,319779,2944,460,381,391579,0 75,2,2024-09-07 08:42:21:375,233586,233586,0,0,10316128,0,4766 75,3,2024-09-07 08:42:21:069,1,184,64,0,535,1978,184,0 76,0,2024-09-07 08:42:20:597,41896,0.4,41572,0.6,82995,0.3,111415,2.00 76,1,2024-09-07 08:42:20:812,323792,323792,0,0,151178355931,1575057328,321722,1558,512,382,391692,0 76,2,2024-09-07 08:42:21:070,234135,234135,0,0,8988097,0,3064 76,3,2024-09-07 08:42:21:157,1,184,12,0,175,2111,184,0 77,0,2024-09-07 08:42:21:693,46029,0.4,46456,0.6,92509,0.3,122983,1.75 77,1,2024-09-07 08:42:20:835,325109,325109,0,0,151312564616,1575383686,323505,1516,88,383,391808,0 77,2,2024-09-07 08:42:21:285,232035,232035,0,0,9290782,0,3890 77,3,2024-09-07 08:42:21:128,1,184,1,0,305,2186,184,0 78,0,2024-09-07 08:42:21:723,49053,0.4,48716,0.7,98210,0.4,129820,2.00 78,1,2024-09-07 08:42:20:610,324327,324327,0,0,150405336934,1561907860,321989,2085,253,367,391646,0 78,2,2024-09-07 08:42:21:418,233204,233204,0,0,8543900,0,2114 78,3,2024-09-07 08:42:21:143,1,184,13,0,181,1763,184,0 79,0,2024-09-07 08:42:21:367,46148,0.5,47210,0.7,96697,0.5,125404,2.50 79,1,2024-09-07 08:42:20:576,325217,325217,0,0,151872505667,1571562826,322964,2079,174,369,391682,0 79,2,2024-09-07 08:42:21:070,234169,234169,0,0,8648449,0,3212 79,3,2024-09-07 08:42:20:765,1,184,1,0,418,2969,184,0 80,0,2024-09-07 08:42:21:101,41974,0.5,43209,0.7,82762,0.5,112319,2.00 80,1,2024-09-07 08:42:21:625,323263,323263,0,0,150877307522,1570455033,320723,2376,164,368,391791,0 80,2,2024-09-07 08:42:21:106,233371,233371,0,0,9423302,0,4433 80,3,2024-09-07 08:42:20:592,1,184,0,0,190,2759,184,0 81,0,2024-09-07 08:42:21:556,43995,0.4,45318,0.6,86832,0.4,117413,1.75 81,1,2024-09-07 08:42:21:653,323609,323609,0,0,150617076589,1573621428,321112,2223,274,382,391879,0 81,2,2024-09-07 08:42:21:168,232329,232329,0,0,9734394,0,3993 81,3,2024-09-07 08:42:21:141,1,184,5,0,193,1699,184,0 82,0,2024-09-07 08:42:21:547,49869,0.4,50181,0.6,100466,0.4,133148,1.75 82,1,2024-09-07 08:42:20:588,324375,324371,0,4,151246913715,1575746195,321913,1880,578,381,391558,4 82,2,2024-09-07 08:42:21:692,233323,233323,0,0,9140191,0,3986 82,3,2024-09-07 08:42:21:760,1,184,9,0,227,2004,184,0 83,0,2024-09-07 08:42:21:522,48965,0.7,48994,0.8,97257,0.7,129925,2.00 83,1,2024-09-07 08:42:20:553,323804,323804,0,0,151003650523,1576602572,321170,2410,224,382,391690,0 83,2,2024-09-07 08:42:20:772,232000,232000,0,0,8879659,0,3119 83,3,2024-09-07 08:42:20:758,1,184,2,0,241,1925,184,0 84,0,2024-09-07 08:42:21:764,42028,1.1,41835,1.2,84080,0.9,112510,2.50 84,1,2024-09-07 08:42:21:047,323112,323112,0,0,150683487447,1577371032,319595,3055,462,368,391967,0 84,2,2024-09-07 08:42:20:577,233058,233058,0,0,10439634,0,3801 84,3,2024-09-07 08:42:21:142,1,184,9,0,270,2186,184,0 85,0,2024-09-07 08:42:21:078,41891,0.5,41920,0.7,88807,0.5,114731,2.25 85,1,2024-09-07 08:42:20:575,322543,322543,0,0,149958215952,1587628944,316925,4538,1080,382,392006,0 85,2,2024-09-07 08:42:20:867,233954,233954,0,0,11242306,0,3656 85,3,2024-09-07 08:42:20:701,1,184,119,0,789,2742,184,0 86,0,2024-09-07 08:42:20:946,49050,0.5,50308,0.6,96576,0.4,130410,1.75 86,1,2024-09-07 08:42:20:830,323508,323508,0,0,151619511786,1587088606,319506,3457,545,366,391961,0 86,2,2024-09-07 08:42:20:854,232018,232017,1,0,11431418,0,5004 86,3,2024-09-07 08:42:20:596,1,184,7,0,286,2553,184,0 87,0,2024-09-07 08:42:21:287,49116,1.3,48851,1.1,98228,1.9,131743,2.50 87,1,2024-09-07 08:42:20:559,323330,323330,0,0,150842101753,1580257822,319694,3188,448,366,391788,0 87,2,2024-09-07 08:42:21:086,230312,230312,0,0,9500704,0,3515 87,3,2024-09-07 08:42:21:821,1,184,1,0,335,3012,184,0 88,0,2024-09-07 08:42:21:445,43871,0.6,44061,0.7,88129,0.7,117169,1.75 88,1,2024-09-07 08:42:20:579,322780,322780,0,0,150740449247,1580984775,318478,3057,1245,365,392084,0 88,2,2024-09-07 08:42:20:694,233252,233252,0,0,11029903,0,3583 88,3,2024-09-07 08:42:21:275,1,184,1,0,435,2414,184,0 89,0,2024-09-07 08:42:21:790,43990,0.4,42651,0.6,84496,0.3,114822,1.75 89,1,2024-09-07 08:42:20:560,321574,321574,0,0,150865087863,1592279724,316128,4508,938,382,391866,0 89,2,2024-09-07 08:42:21:160,233167,233167,0,0,10846008,0,2910 89,3,2024-09-07 08:42:21:798,1,184,1,0,325,3344,184,0 90,0,2024-09-07 08:42:21:689,46009,0.3,46984,0.5,96494,0.3,126018,1.75 90,1,2024-09-07 08:42:20:596,323759,323759,0,0,150740188453,1579984035,320520,2980,259,381,391825,0 90,2,2024-09-07 08:42:21:425,231600,231600,0,0,11745325,0,3060 90,3,2024-09-07 08:42:20:941,1,184,9,0,200,2049,184,0 91,0,2024-09-07 08:42:20:957,49719,0.5,47962,0.6,99904,0.4,131376,1.75 91,1,2024-09-07 08:42:20:564,323123,323123,0,0,151707351420,1591625465,319325,3299,499,381,392047,0 91,2,2024-09-07 08:42:21:332,230605,230605,0,0,10764725,0,2445 91,3,2024-09-07 08:42:20:600,1,184,14,0,216,1988,184,0 92,0,2024-09-07 08:42:21:451,47031,0.7,48194,0.8,92190,0.8,124524,1.75 92,1,2024-09-07 08:42:20:594,323527,323527,0,0,150777653549,1573295863,321318,1805,404,382,392136,0 92,2,2024-09-07 08:42:21:358,235523,235523,0,0,9116996,0,2801 92,3,2024-09-07 08:42:21:016,1,184,1,0,167,1565,184,0 93,0,2024-09-07 08:42:20:975,42254,0.4,43379,0.6,82823,0.3,111979,1.75 93,1,2024-09-07 08:42:20:815,323671,323671,0,0,151058579386,1575517430,320539,2579,553,366,391692,0 93,2,2024-09-07 08:42:20:936,233208,233208,0,0,9186716,0,2509 93,3,2024-09-07 08:42:21:418,1,184,1,0,143,1914,184,0 94,0,2024-09-07 08:42:21:772,44468,0.3,44986,0.4,89418,0.2,118584,1.50 94,1,2024-09-07 08:42:20:595,323328,323328,0,0,151092501273,1577973093,320873,2359,96,381,391850,0 94,2,2024-09-07 08:42:20:771,232100,232100,0,0,8795724,0,2443 94,3,2024-09-07 08:42:21:721,1,184,8,0,264,2555,184,0 95,0,2024-09-07 08:42:21:353,49566,0.3,49263,0.5,99427,0.3,131137,1.75 95,1,2024-09-07 08:42:20:855,324122,324122,0,0,151821768231,1577178518,321723,2214,185,367,391662,0 95,2,2024-09-07 08:42:21:022,232674,232674,0,0,9070973,0,3308 95,3,2024-09-07 08:42:21:710,1,184,15,0,718,3276,184,0 96,0,2024-09-07 08:42:21:032,48915,0.5,49075,0.6,98110,0.5,129742,1.75 96,1,2024-09-07 08:42:21:592,323617,323617,0,0,151748058176,1586548489,320887,2085,645,385,391894,0 96,2,2024-09-07 08:42:21:268,232900,232900,0,0,9760821,0,4042 96,3,2024-09-07 08:42:21:140,1,184,0,0,188,2007,184,0 97,0,2024-09-07 08:42:21:320,42234,0.4,41898,0.5,84049,0.4,112192,1.75 97,1,2024-09-07 08:42:20:774,324675,324675,0,0,151453689242,1574107021,321862,2261,552,367,392140,0 97,2,2024-09-07 08:42:20:609,232944,232944,0,0,9079943,0,3036 97,3,2024-09-07 08:42:20:574,1,184,1,0,165,2132,184,0 98,0,2024-09-07 08:42:21:699,43558,0.2,43406,0.4,87179,0.2,115935,1.50 98,1,2024-09-07 08:42:20:596,324266,324266,0,0,150832479145,1571607161,322414,1759,93,382,391997,0 98,2,2024-09-07 08:42:20:769,234167,234167,0,0,9309056,0,3080 98,3,2024-09-07 08:42:20:714,1,184,14,0,840,3370,184,0 99,0,2024-09-07 08:42:21:473,50383,0.3,50319,0.4,100486,0.3,135006,1.50 99,1,2024-09-07 08:42:21:724,324424,324424,0,0,150790559367,1574695991,321120,2591,713,381,391744,0 99,2,2024-09-07 08:42:21:435,235032,235032,0,0,9274074,0,2615 99,3,2024-09-07 08:42:20:588,1,184,1,0,129,1298,184,0 100,0,2024-09-07 08:42:21:510,49334,1.0,49386,1.2,98518,1.8,131970,2.50 100,1,2024-09-07 08:42:20:562,321583,321583,0,0,150489834880,1594220161,316198,4452,933,381,391989,0 100,2,2024-09-07 08:42:21:845,230226,230215,11,0,10480242,0,5417 100,3,2024-09-07 08:42:21:735,1,184,3,0,559,3805,184,0 101,0,2024-09-07 08:42:21:715,43512,2.6,42511,1.5,83108,2.8,114482,2.75 101,1,2024-09-07 08:42:20:584,322321,322321,0,0,150670941398,1592861263,316442,4358,1521,368,391769,0 101,2,2024-09-07 08:42:21:757,232588,232588,0,0,11810930,0,4644 101,3,2024-09-07 08:42:20:948,1,184,82,0,448,2235,184,0 102,0,2024-09-07 08:42:20:983,42057,0.5,43507,0.7,87572,0.4,114676,2.00 102,1,2024-09-07 08:42:21:168,322801,322801,0,0,151342730546,1591692295,318438,3647,716,369,391883,0 102,2,2024-09-07 08:42:21:745,233750,233696,54,0,11275601,0,6768 102,3,2024-09-07 08:42:21:639,1,184,14,0,410,1868,184,0 103,0,2024-09-07 08:42:21:709,49799,0.4,49878,0.6,94038,0.3,129663,1.75 103,1,2024-09-07 08:42:21:730,322024,322024,0,0,150686395142,1590076509,316888,3811,1325,381,391829,0 103,2,2024-09-07 08:42:20:594,232500,232500,0,0,9964179,0,2104 103,3,2024-09-07 08:42:20:764,1,184,3,0,916,3107,184,0 104,0,2024-09-07 08:42:21:014,48926,1.1,49205,1.1,97310,1.2,131406,2.25 104,1,2024-09-07 08:42:21:602,323807,323807,0,0,150820071164,1587865500,318727,4112,968,365,391948,0 104,2,2024-09-07 08:42:21:667,230304,230304,0,0,10546463,0,3941 104,3,2024-09-07 08:42:21:430,1,184,4,1,1245,5274,184,0 105,0,2024-09-07 08:42:21:046,44498,1.5,43192,1.3,90377,2.4,119121,3.50 105,1,2024-09-07 08:42:20:577,323940,323940,0,0,150575399202,1578086481,319696,3467,777,367,391797,0 105,2,2024-09-07 08:42:21:326,233005,233005,0,0,10102448,0,3509 105,3,2024-09-07 08:42:21:308,1,184,1,0,399,2708,184,0 106,0,2024-09-07 08:42:20:979,40556,0.6,41721,0.8,84932,0.6,111037,2.00 106,1,2024-09-07 08:42:21:751,323587,323587,0,0,150713556572,1580601767,319363,3825,399,369,391767,0 106,2,2024-09-07 08:42:20:765,232619,232619,0,0,10393786,0,2795 106,3,2024-09-07 08:42:20:688,1,184,12,0,405,2513,184,0 107,0,2024-09-07 08:42:21:108,46013,0.4,46118,0.6,91740,0.3,123104,1.75 107,1,2024-09-07 08:42:20:595,323088,323088,0,0,150587047795,1581195285,320014,2843,231,381,392234,0 107,2,2024-09-07 08:42:21:292,232051,232050,1,0,10344504,0,5024 107,3,2024-09-07 08:42:21:765,1,184,4,0,353,2674,184,0 108,0,2024-09-07 08:42:21:799,49160,0.4,49245,0.6,97741,0.4,130282,1.75 108,1,2024-09-07 08:42:21:335,323430,323430,0,0,151360773167,1578187290,320756,2360,314,368,391857,0 108,2,2024-09-07 08:42:21:765,231110,231110,0,0,9631762,0,2647 108,3,2024-09-07 08:42:21:351,1,184,2,0,667,3438,184,0 109,0,2024-09-07 08:42:21:831,47915,0.4,47443,0.6,95224,0.4,127269,1.75 109,1,2024-09-07 08:42:20:594,323165,323165,0,0,151473880110,1587855897,320372,2296,497,383,392132,0 109,2,2024-09-07 08:42:20:924,232965,232965,0,0,9904810,0,3617 109,3,2024-09-07 08:42:21:160,1,184,10,0,249,2399,184,0 110,0,2024-09-07 08:42:21:779,42391,0.4,41207,0.6,85856,0.3,112460,1.75 110,1,2024-09-07 08:42:21:652,324494,324494,0,0,151151197477,1570964131,321869,1858,767,370,391667,0 110,2,2024-09-07 08:42:21:306,233462,233462,0,0,8894830,0,2915 110,3,2024-09-07 08:42:20:703,1,184,8,0,406,2477,184,0 111,0,2024-09-07 08:42:21:425,44223,0.2,43762,0.4,87450,0.1,117645,1.50 111,1,2024-09-07 08:42:21:012,325015,325015,0,0,152246049144,1578652686,323225,1457,333,382,391690,0 111,2,2024-09-07 08:42:21:129,232150,232150,0,0,9616637,0,2763 111,3,2024-09-07 08:42:20:921,1,184,0,0,379,2802,184,0 112,0,2024-09-07 08:42:20:910,50218,0.3,50197,0.4,100460,0.2,133221,1.50 112,1,2024-09-07 08:42:20:824,324690,324690,0,0,151207651225,1570638067,322357,1907,426,380,391580,0 112,2,2024-09-07 08:42:21:148,233247,233246,1,0,9654878,0,5036 112,3,2024-09-07 08:42:20:593,1,184,1,0,282,2019,184,0 113,0,2024-09-07 08:42:20:875,48704,0.4,48728,0.5,98003,0.3,131021,1.75 113,1,2024-09-07 08:42:21:688,324726,324726,0,0,152395107573,1576315057,322307,1931,488,366,391661,0 113,2,2024-09-07 08:42:21:303,233177,233177,0,0,8561626,0,3813 113,3,2024-09-07 08:42:20:693,1,184,12,0,340,3188,184,0 114,0,2024-09-07 08:42:20:882,42769,0.6,43416,0.6,85408,0.4,114420,1.75 114,1,2024-09-07 08:42:20:727,323293,323293,0,0,150845124150,1576046248,319160,2670,1463,381,391534,0 114,2,2024-09-07 08:42:20:885,233242,233242,0,0,8938289,0,3925 114,3,2024-09-07 08:42:21:282,1,184,12,0,395,2119,184,0 115,0,2024-09-07 08:42:20:554,43211,0.2,43694,0.4,87212,0.2,116177,1.50 115,1,2024-09-07 08:42:20:573,323712,323712,0,0,151000166071,1572973805,320066,2825,821,382,391602,0 115,2,2024-09-07 08:42:21:130,235110,235110,0,0,8381940,0,2152 115,3,2024-09-07 08:42:21:006,1,184,0,0,159,1155,184,0 116,0,2024-09-07 08:42:21:717,49082,0.5,48721,0.7,97782,0.4,130190,2.00 116,1,2024-09-07 08:42:20:809,321161,321161,0,0,150664535033,1598019788,316206,3197,1758,380,391677,0 116,2,2024-09-07 08:42:21:751,232294,232294,0,0,11919520,0,3529 116,3,2024-09-07 08:42:20:915,1,184,2,0,252,2633,184,0 117,0,2024-09-07 08:42:20:975,49035,1.2,49208,1.0,98204,1.5,131879,2.00 117,1,2024-09-07 08:42:21:583,323123,323123,0,0,150673029731,1579944310,319136,3512,475,370,392033,0 117,2,2024-09-07 08:42:21:130,232308,232308,0,0,9215179,0,3700 117,3,2024-09-07 08:42:21:070,1,184,2,0,490,3521,184,0 118,0,2024-09-07 08:42:21:807,42700,0.9,43995,0.9,89296,1.0,116519,2.25 118,1,2024-09-07 08:42:20:605,323166,323166,0,0,150260129837,1583211587,318152,3689,1325,366,391736,0 118,2,2024-09-07 08:42:21:599,233303,233303,0,0,10676835,0,2781 118,3,2024-09-07 08:42:21:776,1,184,11,0,235,2266,184,0 119,0,2024-09-07 08:42:21:393,42393,0.6,42711,0.8,85920,0.5,114016,2.00 119,1,2024-09-07 08:42:20:561,323880,323880,0,0,151462512118,1579525013,321305,2283,292,368,391641,0 119,2,2024-09-07 08:42:21:272,233519,233519,0,0,9977753,0,3526 119,3,2024-09-07 08:42:21:327,1,184,7,0,443,3091,184,0 120,0,2024-09-07 08:42:21:553,47015,0.4,47112,0.6,94332,0.4,126084,1.75 120,1,2024-09-07 08:42:20:863,323975,323975,0,0,150868780314,1582369063,320448,3240,287,368,391961,0 120,2,2024-09-07 08:42:20:785,232112,232111,1,0,12054394,0,5281 120,3,2024-09-07 08:42:21:299,1,184,64,0,241,2592,184,0 121,0,2024-09-07 08:42:21:723,48858,1.3,49287,1.1,98273,1.8,130814,2.25 121,1,2024-09-07 08:42:21:664,323613,323613,0,0,150999428724,1577932578,320829,2494,290,367,391840,0 121,2,2024-09-07 08:42:21:141,230476,230476,0,0,10796900,0,4127 121,3,2024-09-07 08:42:20:730,1,184,6,0,269,2502,184,0 122,0,2024-09-07 08:42:21:810,46419,1.1,45232,1.1,94860,1.3,124540,2.25 122,1,2024-09-07 08:42:20:884,322657,322657,0,0,151050951607,1585078438,318345,3603,709,366,392130,0 122,2,2024-09-07 08:42:21:330,233644,233644,0,0,12221133,0,3364 122,3,2024-09-07 08:42:20:601,1,184,17,0,411,3926,184,0 123,0,2024-09-07 08:42:21:034,42088,0.7,41050,0.8,85677,0.8,111559,2.00 123,1,2024-09-07 08:42:20:576,323365,323365,0,0,151699251966,1595959719,317805,4802,758,369,391823,0 123,2,2024-09-07 08:42:21:048,231339,231338,1,0,10706548,0,5215 123,3,2024-09-07 08:42:21:136,1,184,16,0,168,2187,184,0 124,0,2024-09-07 08:42:20:969,45908,0.3,45895,0.4,86628,0.2,118805,1.50 124,1,2024-09-07 08:42:21:031,324367,324367,0,0,150985386012,1567951850,322267,1744,356,367,392178,0 124,2,2024-09-07 08:42:21:014,232711,232711,0,0,8893018,0,3101 124,3,2024-09-07 08:42:20:758,1,184,2,0,490,2422,184,0 125,0,2024-09-07 08:42:21:434,49449,0.4,49404,0.5,98947,0.3,131236,1.75 125,1,2024-09-07 08:42:20:868,324056,324056,0,0,150711295324,1572548358,321680,2099,277,383,391702,0 125,2,2024-09-07 08:42:21:140,233558,233558,0,0,8853350,0,2180 125,3,2024-09-07 08:42:21:130,1,184,2,0,284,2372,184,0 126,0,2024-09-07 08:42:21:450,49047,0.7,50300,0.7,96143,0.7,130583,1.75 126,1,2024-09-07 08:42:20:562,324375,324375,0,0,151524179951,1569359828,322727,1569,79,365,391987,0 126,2,2024-09-07 08:42:20:620,234196,234196,0,0,9210313,0,3186 126,3,2024-09-07 08:42:20:913,1,184,12,0,150,2578,184,0 127,0,2024-09-07 08:42:21:619,41916,0.4,42109,0.5,83995,0.3,112077,1.75 127,1,2024-09-07 08:42:20:577,323787,323787,0,0,151843124656,1572503007,321680,2066,41,365,391816,0 127,2,2024-09-07 08:42:20:653,233313,233313,0,0,8653841,0,2264 127,3,2024-09-07 08:42:21:283,1,184,17,0,243,1585,184,0 128,0,2024-09-07 08:42:21:534,43835,0.3,43635,0.4,87266,0.2,115935,1.50 128,1,2024-09-07 08:42:21:605,324649,324649,0,0,151586063591,1569988874,323371,1172,106,367,391680,0 128,2,2024-09-07 08:42:21:398,232926,232926,0,0,8814545,0,2107 128,3,2024-09-07 08:42:20:775,1,184,1,0,333,2458,184,0 129,0,2024-09-07 08:42:21:015,50590,0.3,50381,0.4,100678,0.2,134594,1.50 129,1,2024-09-07 08:42:20:588,322340,322340,0,0,150322466258,1574045975,319339,2606,395,379,391835,0 129,2,2024-09-07 08:42:20:694,233661,233661,0,0,9136869,0,4031 129,3,2024-09-07 08:42:20:696,1,184,68,0,469,2579,184,0 130,0,2024-09-07 08:42:21:758,49784,0.7,49540,0.7,99418,0.8,132961,1.75 130,1,2024-09-07 08:42:20:615,324118,324118,0,0,151415715269,1576520536,321998,2047,73,381,391825,0 130,2,2024-09-07 08:42:21:141,232486,232486,0,0,8973153,0,4067 130,3,2024-09-07 08:42:21:312,1,184,15,0,450,2139,184,0 131,0,2024-09-07 08:42:21:932,42878,0.5,43137,0.6,87033,0.6,114762,1.75 131,1,2024-09-07 08:42:21:847,324794,324794,0,0,151153295766,1571333758,323317,1271,206,383,391865,0 131,2,2024-09-07 08:42:20:594,234174,234174,0,0,8652512,0,2415 131,3,2024-09-07 08:42:21:698,1,184,8,0,392,1935,184,0 132,0,2024-09-07 08:42:21:428,42797,0.4,43341,0.7,86666,0.3,115050,1.75 132,1,2024-09-07 08:42:20:592,322722,322722,0,0,150354159271,1588123389,317292,4398,1032,381,392097,0 132,2,2024-09-07 08:42:20:708,233469,233469,0,0,11693938,0,4606 132,3,2024-09-07 08:42:21:692,1,184,1,0,356,3204,184,0 133,0,2024-09-07 08:42:21:535,47201,0.4,48314,0.5,98957,0.3,128669,1.75 133,1,2024-09-07 08:42:20:597,322106,322106,0,0,151061100863,1592292846,317584,3880,642,383,391914,0 133,2,2024-09-07 08:42:21:087,232586,232586,0,0,11840686,0,4315 133,3,2024-09-07 08:42:21:299,1,184,1,0,276,1904,184,0 134,0,2024-09-07 08:42:21:005,49262,0.5,49249,0.7,98918,0.5,131398,2.00 134,1,2024-09-07 08:42:20:598,322620,322620,0,0,150696963236,1582667774,317659,3678,1283,366,391718,0 134,2,2024-09-07 08:42:21:769,230329,230329,0,0,9777558,0,3096 134,3,2024-09-07 08:42:20:776,1,184,25,0,739,3196,184,0 135,0,2024-09-07 08:42:21:155,43611,1.4,43618,1.2,92529,1.6,118651,2.50 135,1,2024-09-07 08:42:21:586,322330,322330,0,0,150794030586,1585487952,318118,3508,704,380,391805,0 135,2,2024-09-07 08:42:20:709,233750,233750,0,0,10877435,0,3981 135,3,2024-09-07 08:42:21:018,1,184,1,0,299,1327,184,0 136,0,2024-09-07 08:42:21:614,42158,0.5,42099,0.7,84546,0.4,112020,2.00 136,1,2024-09-07 08:42:21:442,323001,323001,0,0,150656602195,1577818112,319641,3185,175,382,391641,0 136,2,2024-09-07 08:42:21:141,234177,234177,0,0,10354740,0,3506 136,3,2024-09-07 08:42:21:129,1,184,19,0,108,1469,184,0 137,0,2024-09-07 08:42:20:928,47334,0.4,45834,0.6,90667,0.4,123304,1.75 137,1,2024-09-07 08:42:20:577,322874,322874,0,0,150570978887,1575998261,318327,4018,529,366,391708,0 137,2,2024-09-07 08:42:21:710,232058,232058,0,0,11796095,0,3185 137,3,2024-09-07 08:42:20:784,1,184,1,0,227,2072,184,0 138,0,2024-09-07 08:42:21:813,48587,1.1,48572,1.0,97781,1.4,130005,2.25 138,1,2024-09-07 08:42:21:687,323303,323303,0,0,151530974487,1583698476,319769,3171,363,368,391954,0 138,2,2024-09-07 08:42:20:596,232680,232680,0,0,9615093,0,3263 138,3,2024-09-07 08:42:20:613,1,184,8,0,1160,3313,184,0 139,0,2024-09-07 08:42:21:384,46626,2.7,46783,1.6,94427,3.9,125731,3.00 139,1,2024-09-07 08:42:20:599,321736,321736,0,0,150144087404,1590930715,316319,4090,1327,381,391892,0 139,2,2024-09-07 08:42:20:822,231967,231967,0,0,10981906,0,3097 139,3,2024-09-07 08:42:21:665,1,184,7,0,244,2018,184,0 140,0,2024-09-07 08:42:21:592,42587,0.3,42147,0.5,84866,0.3,112608,1.75 140,1,2024-09-07 08:42:21:540,324921,324921,0,0,152732629147,1573620314,323399,1282,240,365,391606,0 140,2,2024-09-07 08:42:20:697,233525,233525,0,0,9175436,0,3388 140,3,2024-09-07 08:42:20:775,1,184,1,0,247,1523,184,0 141,0,2024-09-07 08:42:21:714,44005,0.2,45088,0.4,86222,0.1,117694,1.50 141,1,2024-09-07 08:42:20:867,324740,324740,0,0,152174467494,1577743366,322786,1601,353,379,391614,0 141,2,2024-09-07 08:42:21:686,233068,233068,0,0,8664795,0,2342 141,3,2024-09-07 08:42:21:046,1,184,0,0,147,1396,184,0 142,0,2024-09-07 08:42:21:306,50662,0.3,50379,0.4,99937,0.2,133503,1.50 142,1,2024-09-07 08:42:20:593,323688,323688,0,0,151128891241,1573484919,322127,1400,161,383,391728,0 142,2,2024-09-07 08:42:21:303,232497,232465,32,0,10083430,0,6028 142,3,2024-09-07 08:42:21:758,1,184,8,0,484,2479,184,0 143,0,2024-09-07 08:42:21:384,48930,0.6,48899,0.7,98661,0.7,130841,1.75 143,1,2024-09-07 08:42:20:560,324135,324135,0,0,150828179582,1564272867,321988,2103,44,367,391619,0 143,2,2024-09-07 08:42:20:778,232034,232034,0,0,9232918,0,2669 143,3,2024-09-07 08:42:21:144,1,184,4,0,303,2721,184,0 144,0,2024-09-07 08:42:21:574,40969,0.7,42233,1.2,85667,0.7,112815,2.00 144,1,2024-09-07 08:42:20:590,322588,322588,0,0,151067072283,1582770236,320173,2211,204,381,391649,0 144,2,2024-09-07 08:42:21:766,233171,233171,0,0,9314902,0,3473 144,3,2024-09-07 08:42:21:742,1,184,0,0,249,2195,184,0 145,0,2024-09-07 08:42:21:390,41992,0.5,41994,0.7,89123,0.4,115566,2.25 145,1,2024-09-07 08:42:20:573,321440,321440,0,0,150656879301,1587223356,316688,3981,771,382,391615,0 145,2,2024-09-07 08:42:21:442,232980,232980,0,0,10626185,0,3903 145,3,2024-09-07 08:42:20:925,1,184,1,0,151,2033,184,0 146,0,2024-09-07 08:42:21:639,48853,0.4,48519,0.6,97819,0.3,129865,2.00 146,1,2024-09-07 08:42:21:587,323890,323890,0,0,151390586192,1586857942,319165,3864,861,368,391770,0 146,2,2024-09-07 08:42:21:696,232809,232809,0,0,9989085,0,2498 146,3,2024-09-07 08:42:21:280,1,184,8,0,1520,5284,184,0 147,0,2024-09-07 08:42:21:716,49229,0.7,49118,0.9,97648,0.7,131621,2.25 147,1,2024-09-07 08:42:21:384,324718,324718,0,0,151134276094,1572192743,321602,2618,498,368,391791,0 147,2,2024-09-07 08:42:21:016,232149,232149,0,0,8980908,0,2789 147,3,2024-09-07 08:42:20:921,1,184,24,0,371,2159,184,0 0,0,2024-09-07 08:42:31:727,46165,0.4,46189,0.6,97901,0.3,126939,1.75 0,1,2024-09-07 08:42:30:808,325472,325472,0,0,152517732079,1594533276,323442,1893,137,372,391772,0 0,2,2024-09-07 08:42:31:067,234101,234101,0,0,9671117,0,4480 0,3,2024-09-07 08:42:30:980,1,185,6,0,247,2355,185,0 1,0,2024-09-07 08:42:31:762,49455,1.1,49112,1.1,98544,1.6,131553,2.25 1,1,2024-09-07 08:42:30:575,325164,325164,0,0,151411016672,1587729904,321710,2586,868,371,391857,0 1,2,2024-09-07 08:42:30:652,231453,231453,0,0,8789254,0,3267 1,3,2024-09-07 08:42:31:309,1,185,46,0,262,2302,185,0 2,0,2024-09-07 08:42:31:573,46576,1.0,46865,1.0,93017,1.4,123897,2.25 2,1,2024-09-07 08:42:30:859,325326,325326,0,0,152511567003,1584254348,323783,1337,206,380,391745,0 2,2,2024-09-07 08:42:31:266,234805,234805,0,0,9492220,0,3594 2,3,2024-09-07 08:42:30:697,1,185,8,0,357,1848,185,0 3,0,2024-09-07 08:42:31:753,41838,0.4,42119,0.6,83843,0.4,111321,1.75 3,1,2024-09-07 08:42:31:639,325043,325043,0,0,151719852687,1582539365,321840,2779,424,380,391516,0 3,2,2024-09-07 08:42:31:154,234790,234767,23,0,9604365,0,5851 3,3,2024-09-07 08:42:31:762,1,185,4,0,103,1170,185,0 4,0,2024-09-07 08:42:31:836,43479,0.3,44779,0.4,90989,0.2,119850,1.50 4,1,2024-09-07 08:42:30:616,325223,325223,0,0,151552073647,1591058544,322167,2531,525,371,391846,0 4,2,2024-09-07 08:42:31:024,232316,232316,0,0,11500975,0,4528 4,3,2024-09-07 08:42:31:028,1,185,4,0,448,2799,185,0 5,0,2024-09-07 08:42:31:375,49517,0.4,49545,0.6,98822,0.4,131284,1.75 5,1,2024-09-07 08:42:30:771,324746,324746,0,0,151782016271,1598810812,320219,3470,1057,368,392005,0 5,2,2024-09-07 08:42:31:832,232375,232375,0,0,9963857,0,2259 5,3,2024-09-07 08:42:31:746,1,185,278,0,278,2865,185,0 6,0,2024-09-07 08:42:30:917,49336,0.8,48865,0.9,97499,1.0,130520,2.25 6,1,2024-09-07 08:42:30:750,325325,325325,0,0,151329880525,1584126888,321618,3048,659,379,391634,0 6,2,2024-09-07 08:42:31:123,234477,234477,0,0,10036054,0,4816 6,3,2024-09-07 08:42:31:274,1,185,12,0,340,2456,185,0 7,0,2024-09-07 08:42:31:544,41789,0.5,41759,0.7,83550,0.4,111503,2.00 7,1,2024-09-07 08:42:30:878,325099,325099,0,0,152454849459,1597392020,321513,3296,290,382,391664,0 7,2,2024-09-07 08:42:30:772,233647,233647,0,0,9294413,0,2981 7,3,2024-09-07 08:42:30:855,1,185,1,0,305,1805,185,0 8,0,2024-09-07 08:42:31:328,43637,0.3,43941,0.4,87374,0.2,116708,1.50 8,1,2024-09-07 08:42:31:022,324161,324161,0,0,151957028954,1601914510,318471,4088,1602,367,391956,0 8,2,2024-09-07 08:42:30:791,230907,230907,0,0,11123767,0,2986 8,3,2024-09-07 08:42:30:584,1,185,7,0,357,2868,185,0 9,0,2024-09-07 08:42:31:143,50711,0.4,49211,0.5,102820,0.3,135025,1.75 9,1,2024-09-07 08:42:30:568,324545,324545,0,0,152465063600,1607115340,319703,3709,1133,370,392001,0 9,2,2024-09-07 08:42:31:083,234251,234251,0,0,10307349,0,3360 9,3,2024-09-07 08:42:31:767,1,185,5,0,496,2845,185,0 10,0,2024-09-07 08:42:31:605,49986,0.4,49656,0.5,99725,0.3,132937,1.75 10,1,2024-09-07 08:42:30:589,324950,324950,0,0,151585097891,1589331909,320252,4001,697,381,391741,0 10,2,2024-09-07 08:42:30:762,233566,233566,0,0,10413915,0,2940 10,3,2024-09-07 08:42:30:878,1,185,8,0,177,1318,185,0 11,0,2024-09-07 08:42:31:008,42433,0.6,41300,0.8,86474,0.6,115094,2.00 11,1,2024-09-07 08:42:30:594,325122,325122,0,0,151789822787,1594978206,319601,4226,1295,384,391537,0 11,2,2024-09-07 08:42:31:133,234242,234242,0,0,10057647,0,3411 11,3,2024-09-07 08:42:31:297,1,185,3,0,720,2927,185,0 12,0,2024-09-07 08:42:30:950,43773,0.3,43443,0.5,87250,0.2,116153,1.50 12,1,2024-09-07 08:42:30:939,325234,325234,0,0,151048654194,1576766026,322265,2553,416,370,391837,0 12,2,2024-09-07 08:42:31:555,236235,236235,0,0,10364056,0,3469 12,3,2024-09-07 08:42:31:066,1,185,27,0,358,3068,185,0 13,0,2024-09-07 08:42:31:342,48893,0.3,48807,0.5,97566,0.3,130053,1.50 13,1,2024-09-07 08:42:31:540,324828,324828,0,0,151676586628,1589873351,322389,2029,410,382,391717,0 13,2,2024-09-07 08:42:30:606,235491,235491,0,0,9603132,0,3287 13,3,2024-09-07 08:42:31:767,1,185,6,0,467,3467,185,0 14,0,2024-09-07 08:42:30:565,49808,0.4,50158,0.6,99174,0.4,132319,1.75 14,1,2024-09-07 08:42:31:565,326948,326948,0,0,151990623337,1574194981,324679,2105,164,364,391571,0 14,2,2024-09-07 08:42:30:766,231796,231796,0,0,9454266,0,2896 14,3,2024-09-07 08:42:31:115,1,185,13,0,1168,3070,185,0 15,0,2024-09-07 08:42:31:556,44893,0.8,44978,1.0,90136,0.9,119736,2.25 15,1,2024-09-07 08:42:31:613,325327,325327,0,0,152619512703,1586247859,323409,1808,110,381,391619,0 15,2,2024-09-07 08:42:30:999,235936,235936,0,0,8021526,0,3043 15,3,2024-09-07 08:42:31:426,1,185,21,0,1126,4622,185,0 16,0,2024-09-07 08:42:30:947,42353,0.4,42509,0.7,84548,0.4,112996,2.00 16,1,2024-09-07 08:42:30:579,325474,325474,0,0,151734366141,1586904008,322800,2401,273,370,391756,0 16,2,2024-09-07 08:42:31:443,234451,234451,0,0,10685276,0,4719 16,3,2024-09-07 08:42:31:145,1,185,2,0,231,2440,185,0 17,0,2024-09-07 08:42:31:826,47971,0.4,46777,0.6,91822,0.4,124724,1.75 17,1,2024-09-07 08:42:30:576,324373,324373,0,0,151554242159,1591494304,320954,2744,675,368,391688,0 17,2,2024-09-07 08:42:31:672,235809,235809,0,0,9463913,0,2857 17,3,2024-09-07 08:42:30:583,1,185,13,0,268,2773,185,0 18,0,2024-09-07 08:42:30:941,48375,0.8,48668,0.9,97377,1.0,129671,2.50 18,1,2024-09-07 08:42:31:639,325588,325588,0,0,151739288810,1573712484,323553,1800,235,367,391564,0 18,2,2024-09-07 08:42:31:758,233114,233114,0,0,9295544,0,3541 18,3,2024-09-07 08:42:30:900,1,185,12,0,163,1986,185,0 19,0,2024-09-07 08:42:31:545,47292,1.0,47757,1.0,94279,1.1,125440,2.50 19,1,2024-09-07 08:42:30:566,325487,325487,0,0,153106927520,1593239896,321670,3101,716,367,391777,0 19,2,2024-09-07 08:42:31:763,235952,235952,0,0,8727757,0,3988 19,3,2024-09-07 08:42:31:133,1,185,1,0,524,1597,185,0 20,0,2024-09-07 08:42:31:389,42214,0.5,42144,0.7,84600,0.5,112267,2.00 20,1,2024-09-07 08:42:30:575,324582,324582,0,0,152316151253,1596731982,321047,3141,394,369,391822,0 20,2,2024-09-07 08:42:30:934,234096,234096,0,0,9974767,0,3721 20,3,2024-09-07 08:42:30:602,1,185,16,0,414,3595,185,0 21,0,2024-09-07 08:42:31:158,44448,0.4,44699,0.6,88778,0.3,118097,1.75 21,1,2024-09-07 08:42:31:554,324333,324333,0,0,150547865555,1584619079,320536,3261,536,368,391962,0 21,2,2024-09-07 08:42:31:067,233171,233171,0,0,11007401,0,3747 21,3,2024-09-07 08:42:31:416,1,185,2,0,103,2202,185,0 22,0,2024-09-07 08:42:31:723,50073,0.7,50074,0.8,99760,0.8,132602,2.25 22,1,2024-09-07 08:42:31:023,324357,324357,0,0,151283020228,1594898273,318643,4195,1519,382,391667,0 22,2,2024-09-07 08:42:30:765,233890,233890,0,0,9418297,0,3134 22,3,2024-09-07 08:42:31:066,1,185,15,0,228,1907,185,0 23,0,2024-09-07 08:42:31:370,48917,0.8,48886,0.8,98168,0.9,130954,2.50 23,1,2024-09-07 08:42:31:003,325218,325218,0,0,152405868941,1599245280,319823,3430,1965,365,391549,0 23,2,2024-09-07 08:42:31:099,233292,233292,0,0,9606767,0,3010 23,3,2024-09-07 08:42:31:757,1,185,578,0,645,2517,185,0 24,0,2024-09-07 08:42:30:815,43225,0.5,43218,0.6,86385,0.5,114867,1.75 24,1,2024-09-07 08:42:30:585,325232,325232,0,0,151612941155,1585394402,322125,2305,802,369,391640,0 24,2,2024-09-07 08:42:31:073,233270,233270,0,0,10917219,0,2942 24,3,2024-09-07 08:42:31:687,1,185,1,0,234,2093,185,0 25,0,2024-09-07 08:42:31:353,44996,0.4,43985,0.6,85972,0.3,117200,1.75 25,1,2024-09-07 08:42:30:558,324952,324952,0,0,152120492792,1594744238,321223,3186,543,371,391788,0 25,2,2024-09-07 08:42:31:606,233702,233702,0,0,11483193,0,3978 25,3,2024-09-07 08:42:31:010,1,185,0,0,255,2237,185,0 26,0,2024-09-07 08:42:31:725,49050,0.3,48067,0.5,100627,0.2,131196,1.75 26,1,2024-09-07 08:42:31:547,325598,325598,0,0,151535647828,1589734492,320567,4061,970,381,391748,0 26,2,2024-09-07 08:42:30:861,235134,235134,0,0,10520732,0,2809 26,3,2024-09-07 08:42:31:714,1,185,14,0,796,2343,185,0 27,0,2024-09-07 08:42:31:724,49913,0.5,49885,0.7,98829,0.5,132203,2.00 27,1,2024-09-07 08:42:31:684,326789,326789,0,0,152568493778,1584085147,324820,1646,323,381,391558,0 27,2,2024-09-07 08:42:30:867,231017,231017,0,0,10571015,0,3409 27,3,2024-09-07 08:42:31:015,1,185,1,0,564,2005,185,0 28,0,2024-09-07 08:42:31:395,43786,0.7,43961,0.8,88428,0.9,117663,2.25 28,1,2024-09-07 08:42:30:803,326133,326133,0,0,152622692599,1588540431,323962,1834,337,383,391646,0 28,2,2024-09-07 08:42:31:764,235375,235375,0,0,8748865,0,2915 28,3,2024-09-07 08:42:31:777,1,185,5,0,502,2126,185,0 29,0,2024-09-07 08:42:31:368,44074,0.3,43062,0.5,84139,0.2,115411,1.75 29,1,2024-09-07 08:42:31:565,326753,326753,0,0,151925270329,1572930795,324318,1891,544,369,391621,0 29,2,2024-09-07 08:42:30:861,234789,234789,0,0,8419205,0,4018 29,3,2024-09-07 08:42:30:963,1,185,1,0,115,1412,185,0 30,0,2024-09-07 08:42:31:461,47419,0.4,46253,0.6,96700,0.3,127447,2.00 30,1,2024-09-07 08:42:30:578,326028,326028,0,0,151860115805,1575527345,324029,1721,278,382,391672,0 30,2,2024-09-07 08:42:31:273,235217,235217,0,0,8447330,0,3161 30,3,2024-09-07 08:42:30:589,1,185,8,0,195,1287,185,0 31,0,2024-09-07 08:42:31:769,48803,0.5,49218,0.7,98950,0.5,131264,2.00 31,1,2024-09-07 08:42:30:576,327782,327782,0,0,153324032033,1569904832,326970,700,112,356,391712,0 31,2,2024-09-07 08:42:31:275,231693,231693,0,0,9960303,0,3525 31,3,2024-09-07 08:42:31:706,1,185,7,0,220,1574,185,0 32,0,2024-09-07 08:42:31:434,46500,0.5,46995,0.6,94092,0.4,124605,1.75 32,1,2024-09-07 08:42:30:804,326089,326089,0,0,152541230319,1583125184,324333,1480,276,381,391595,0 32,2,2024-09-07 08:42:30:948,235701,235701,0,0,8350718,0,3155 32,3,2024-09-07 08:42:31:015,1,185,5,0,227,1546,185,0 33,0,2024-09-07 08:42:31:510,42506,0.3,41836,0.5,84548,0.2,112121,1.75 33,1,2024-09-07 08:42:30:576,326773,326773,0,0,152821004505,1580338254,324510,2091,172,369,391730,0 33,2,2024-09-07 08:42:30:762,234623,234590,33,0,10498353,0,7012 33,3,2024-09-07 08:42:30:897,1,185,1,0,329,2014,185,0 34,0,2024-09-07 08:42:30:930,45050,0.2,46426,0.4,88989,0.2,120197,1.50 34,1,2024-09-07 08:42:31:044,327024,327024,0,0,153012761384,1574684566,326026,992,6,367,391562,0 34,2,2024-09-07 08:42:30:775,232813,232813,0,0,9500750,0,3577 34,3,2024-09-07 08:42:31:689,1,185,12,0,148,1257,185,0 35,0,2024-09-07 08:42:30:862,49010,0.4,49548,0.5,99101,0.3,131590,1.75 35,1,2024-09-07 08:42:31:068,325277,325277,0,0,152104912209,1579472976,322448,2059,770,384,391589,0 35,2,2024-09-07 08:42:31:586,234419,234419,0,0,8522500,0,2653 35,3,2024-09-07 08:42:30:909,1,185,2,0,418,2040,185,0 36,0,2024-09-07 08:42:31:527,49014,0.8,48970,0.9,98083,1.0,130055,2.50 36,1,2024-09-07 08:42:30:593,325762,325762,0,0,151754123375,1588281859,321066,3406,1290,366,391759,0 36,2,2024-09-07 08:42:31:753,233721,233721,0,0,10041107,0,3303 36,3,2024-09-07 08:42:30:864,1,185,1,0,378,2987,185,0 37,0,2024-09-07 08:42:31:418,41847,0.5,41855,0.7,83534,0.4,112337,2.00 37,1,2024-09-07 08:42:30:570,324550,324543,0,7,151631150949,1593060299,319560,2957,2026,365,391560,0 37,2,2024-09-07 08:42:31:155,233759,233744,15,0,10341404,0,5815 37,3,2024-09-07 08:42:31:765,1,185,3,0,724,2927,185,0 38,0,2024-09-07 08:42:31:471,43628,0.4,42286,0.6,88395,0.3,116502,2.00 38,1,2024-09-07 08:42:31:605,325928,325928,0,0,152774121346,1596873500,321565,3718,645,368,391821,0 38,2,2024-09-07 08:42:30:762,233747,233700,47,0,11862093,0,6710 38,3,2024-09-07 08:42:30:999,1,185,7,0,689,3066,185,0 39,0,2024-09-07 08:42:31:767,51698,0.7,50680,0.7,98506,0.8,134797,2.00 39,1,2024-09-07 08:42:30:717,325688,325688,0,0,152668010029,1591093225,321798,3088,802,365,391524,0 39,2,2024-09-07 08:42:31:424,234393,234393,0,0,9364111,0,2689 39,3,2024-09-07 08:42:30:714,1,185,206,0,293,2645,185,0 40,0,2024-09-07 08:42:31:498,49162,0.9,49816,1.1,99576,0.9,132398,3.00 40,1,2024-09-07 08:42:30:576,325204,325204,0,0,151609044015,1586054650,320692,3743,769,368,391591,0 40,2,2024-09-07 08:42:31:303,232328,232327,1,0,11307699,0,5137 40,3,2024-09-07 08:42:31:146,1,185,1,0,181,1911,185,0 41,0,2024-09-07 08:42:31:024,42525,1.7,43310,1.5,82955,3.0,113577,4.00 41,1,2024-09-07 08:42:30:770,325013,325013,0,0,152356373521,1593317215,320609,3862,542,370,391742,0 41,2,2024-09-07 08:42:30:761,233221,233221,0,0,10928938,0,3356 41,3,2024-09-07 08:42:31:678,1,185,3,0,366,2322,185,0 42,0,2024-09-07 08:42:31:479,42890,0.5,43339,0.7,86306,0.4,114343,2.25 42,1,2024-09-07 08:42:31:438,323653,323653,0,0,151126126408,1587404764,318763,3932,958,380,391675,0 42,2,2024-09-07 08:42:31:133,234664,234664,0,0,10658556,0,3568 42,3,2024-09-07 08:42:31:009,1,185,7,0,446,1496,185,0 43,0,2024-09-07 08:42:30:917,48657,0.5,47281,0.8,99001,0.5,130108,2.00 43,1,2024-09-07 08:42:30:576,325531,325531,0,0,152475537588,1587824428,322147,2591,793,366,391696,0 43,2,2024-09-07 08:42:31:735,234996,234996,0,0,10102074,0,3812 43,3,2024-09-07 08:42:31:755,1,185,0,0,325,2184,185,0 44,0,2024-09-07 08:42:30:951,49549,0.5,49868,0.7,99420,0.5,132930,1.75 44,1,2024-09-07 08:42:30:567,326686,326686,0,0,152191016767,1571049313,324624,1637,425,356,391809,0 44,2,2024-09-07 08:42:31:272,231112,231112,0,0,8121673,0,1877 44,3,2024-09-07 08:42:31:101,1,185,21,0,817,2175,185,0 45,0,2024-09-07 08:42:31:767,44479,1.0,43523,1.0,91328,1.1,120420,2.25 45,1,2024-09-07 08:42:31:008,326229,326229,0,0,152683860887,1581120399,325188,1031,10,382,391917,0 45,2,2024-09-07 08:42:31:273,236022,236022,0,0,8892812,0,3596 45,3,2024-09-07 08:42:30:936,1,185,1,0,226,1812,185,0 46,0,2024-09-07 08:42:30:951,42186,0.3,42077,0.5,84404,0.2,112126,1.75 46,1,2024-09-07 08:42:30:576,326641,326641,0,0,152555479765,1575451023,324831,1586,224,366,391524,0 46,2,2024-09-07 08:42:30:593,235396,235396,0,0,8903587,0,2920 46,3,2024-09-07 08:42:31:133,1,185,8,0,908,3129,185,0 47,0,2024-09-07 08:42:31:101,46649,0.3,46791,0.5,93889,0.2,124519,1.50 47,1,2024-09-07 08:42:30:577,327373,327373,0,0,152387288877,1571234489,325961,1395,17,366,391605,0 47,2,2024-09-07 08:42:30:909,234747,234747,0,0,8946687,0,2558 47,3,2024-09-07 08:42:31:114,1,185,1,0,529,1926,185,0 48,0,2024-09-07 08:42:31:506,49493,0.3,49091,0.4,98092,0.2,130559,1.50 48,1,2024-09-07 08:42:31:023,326750,326750,0,0,152312304487,1581350823,324683,1886,181,384,391710,0 48,2,2024-09-07 08:42:30:708,233226,233226,0,0,8067187,0,3031 48,3,2024-09-07 08:42:30:761,1,185,1,0,339,1535,185,0 49,0,2024-09-07 08:42:31:732,48960,0.5,48073,0.6,93383,0.5,127405,1.75 49,1,2024-09-07 08:42:31:021,325098,325098,0,0,152306420646,1587475439,322310,1948,840,382,391583,0 49,2,2024-09-07 08:42:31:800,236075,236075,0,0,8842583,0,3900 49,3,2024-09-07 08:42:31:415,1,185,2,0,408,2483,185,0 50,0,2024-09-07 08:42:31:506,42428,0.3,41906,0.5,84175,0.2,112303,1.75 50,1,2024-09-07 08:42:31:010,327578,327578,0,0,152739808370,1582423997,325042,2227,309,368,391530,0 50,2,2024-09-07 08:42:31:068,234577,234577,0,0,8664789,0,2263 50,3,2024-09-07 08:42:31:296,1,185,13,0,335,1452,185,0 51,0,2024-09-07 08:42:31:686,45445,0.2,44609,0.4,86909,0.2,118752,1.50 51,1,2024-09-07 08:42:31:680,326366,326366,0,0,153781960684,1588044141,324393,1187,786,365,391637,0 51,2,2024-09-07 08:42:31:318,233793,233793,0,0,8143852,0,3337 51,3,2024-09-07 08:42:31:027,1,185,0,0,162,973,185,0 52,0,2024-09-07 08:42:31:420,50582,0.5,50433,0.7,100658,0.5,133216,2.00 52,1,2024-09-07 08:42:30:578,324980,324980,0,0,150843638049,1588515700,318793,5027,1160,368,391722,0 52,2,2024-09-07 08:42:31:767,232766,232728,38,0,10860570,0,6742 52,3,2024-09-07 08:42:30:674,1,185,1,0,1782,3752,185,0 53,0,2024-09-07 08:42:31:730,48782,0.9,47411,0.9,99259,1.1,130109,2.75 53,1,2024-09-07 08:42:30:889,324351,324351,0,0,151700942058,1598609425,318200,4116,2035,367,391702,0 53,2,2024-09-07 08:42:31:297,234026,234026,0,0,9176017,0,2262 53,3,2024-09-07 08:42:30:698,1,185,8,0,271,1721,185,0 54,0,2024-09-07 08:42:31:617,41665,1.6,42187,1.1,83396,0.7,112211,3.25 54,1,2024-09-07 08:42:30:593,325404,325404,0,0,152748192488,1592709514,321319,3514,571,367,391659,0 54,2,2024-09-07 08:42:30:865,233879,233873,6,0,10724203,0,5382 54,3,2024-09-07 08:42:30:763,1,185,8,0,676,3318,185,0 55,0,2024-09-07 08:42:31:766,42368,0.5,43700,0.7,88422,0.4,115166,2.25 55,1,2024-09-07 08:42:30:769,324717,324717,0,0,151054912727,1579774038,319257,4673,787,365,391731,0 55,2,2024-09-07 08:42:30:739,233693,233693,0,0,10531955,0,3275 55,3,2024-09-07 08:42:30:676,1,185,22,0,304,2393,185,0 56,0,2024-09-07 08:42:31:627,50552,0.9,47746,0.9,98163,1.1,131386,2.25 56,1,2024-09-07 08:42:30:583,324563,324563,0,0,152305914881,1608584974,319313,4343,907,381,391678,0 56,2,2024-09-07 08:42:31:304,234354,234354,0,0,11182489,0,3567 56,3,2024-09-07 08:42:31:066,1,185,9,0,405,2476,185,0 57,0,2024-09-07 08:42:31:002,48716,2.2,48853,1.4,97735,3.0,131094,3.50 57,1,2024-09-07 08:42:31:003,325398,325398,0,0,151323362073,1582296242,322469,2759,170,367,391960,0 57,2,2024-09-07 08:42:31:322,232272,232272,0,0,11163202,0,3178 57,3,2024-09-07 08:42:31:748,1,185,4,0,359,2816,185,0 58,0,2024-09-07 08:42:30:580,42842,1.0,41672,1.1,87382,1.2,114261,2.75 58,1,2024-09-07 08:42:30:594,325889,325886,0,3,152731509929,1593486475,322070,3416,400,367,391517,3 58,2,2024-09-07 08:42:31:071,234812,234812,0,0,10416352,0,2549 58,3,2024-09-07 08:42:31:077,1,185,8,0,1043,2425,185,0 59,0,2024-09-07 08:42:31:746,43199,0.7,42789,0.9,85527,0.6,114218,2.75 59,1,2024-09-07 08:42:30:806,325254,325254,0,0,152297518257,1591099131,321457,2874,923,369,391525,0 59,2,2024-09-07 08:42:30:590,235861,235861,0,0,9453719,0,2604 59,3,2024-09-07 08:42:31:746,1,185,2,0,1015,2970,185,0 60,0,2024-09-07 08:42:31:772,47820,0.3,47719,0.5,95733,0.3,127471,1.75 60,1,2024-09-07 08:42:30:793,326706,326706,0,0,152833133324,1582165640,325242,1105,359,370,391761,0 60,2,2024-09-07 08:42:31:149,234381,234381,0,0,10237739,0,3811 60,3,2024-09-07 08:42:31:271,1,185,10,0,124,1713,185,0 61,0,2024-09-07 08:42:31:506,49222,1.0,49469,0.9,98700,1.4,131520,2.00 61,1,2024-09-07 08:42:30:788,325277,325277,0,0,152755451299,1598538486,321802,2922,553,382,391593,0 61,2,2024-09-07 08:42:31:124,232916,232916,0,0,8975653,0,2079 61,3,2024-09-07 08:42:31:686,1,185,10,0,265,2274,185,0 62,0,2024-09-07 08:42:31:938,47032,1.2,47975,1.0,91580,1.6,124406,2.25 62,1,2024-09-07 08:42:31:111,327370,327364,0,6,152625798780,1573514426,325670,1655,39,365,391715,6 62,2,2024-09-07 08:42:31:648,234338,234337,1,0,10496689,0,5555 62,3,2024-09-07 08:42:31:144,1,185,8,0,287,1269,185,0 63,0,2024-09-07 08:42:31:450,42114,0.5,42026,0.6,84196,0.4,111881,1.75 63,1,2024-09-07 08:42:30:805,326778,326772,0,6,152875259580,1582360842,325502,1243,27,381,391677,6 63,2,2024-09-07 08:42:30:765,234610,234610,0,0,8537125,0,2674 63,3,2024-09-07 08:42:31:735,1,185,3,0,667,2478,185,0 64,0,2024-09-07 08:42:31:521,44708,0.4,44762,0.6,89852,0.3,120011,1.75 64,1,2024-09-07 08:42:30:781,325898,325898,0,0,151820630466,1584614036,322426,2417,1055,370,391783,0 64,2,2024-09-07 08:42:31:158,235140,235121,19,0,9325212,0,6121 64,3,2024-09-07 08:42:31:146,1,185,32,0,265,1959,185,0 65,0,2024-09-07 08:42:31:690,48757,0.7,49268,0.8,98218,0.8,130597,2.25 65,1,2024-09-07 08:42:30:890,324975,324975,0,0,151614213726,1586116197,322270,2481,224,382,391770,0 65,2,2024-09-07 08:42:31:711,233811,233811,0,0,9846493,0,3367 65,3,2024-09-07 08:42:31:684,1,185,4,0,163,1758,185,0 66,0,2024-09-07 08:42:31:773,48529,0.6,48632,0.8,97533,0.6,129378,2.25 66,1,2024-09-07 08:42:31:302,326569,326569,0,0,152340518769,1582108765,324681,1716,172,380,391588,0 66,2,2024-09-07 08:42:31:138,236219,236219,0,0,9299420,0,4956 66,3,2024-09-07 08:42:31:079,1,185,8,0,291,1880,185,0 67,0,2024-09-07 08:42:31:430,42298,0.7,42036,0.8,84500,0.8,112396,2.25 67,1,2024-09-07 08:42:30:765,325311,325310,0,1,152350874011,1591208658,321834,2729,747,381,391787,1 67,2,2024-09-07 08:42:30:583,235029,235029,0,0,8808034,0,2889 67,3,2024-09-07 08:42:31:756,1,185,1,0,338,1672,185,0 68,0,2024-09-07 08:42:30:582,43873,0.4,43715,0.7,87388,0.4,116614,2.00 68,1,2024-09-07 08:42:30:592,324670,324670,0,0,151506100853,1589637138,321567,2080,1023,381,391953,0 68,2,2024-09-07 08:42:31:049,233298,233233,65,0,12278546,0,6698 68,3,2024-09-07 08:42:30:739,1,185,148,0,417,2373,185,0 69,0,2024-09-07 08:42:31:766,50328,0.9,50594,0.9,100519,1.1,134211,2.25 69,1,2024-09-07 08:42:31:037,323719,323719,0,0,151218081312,1596292286,319542,2962,1215,384,391994,0 69,2,2024-09-07 08:42:31:764,235012,235012,0,0,11087919,0,3701 69,3,2024-09-07 08:42:30:792,1,185,15,0,698,2945,185,0 70,0,2024-09-07 08:42:31:537,49263,1.5,49427,1.3,99110,1.1,132001,2.75 70,1,2024-09-07 08:42:30:801,325422,325422,0,0,152783395318,1586890858,322744,2175,503,366,391725,0 70,2,2024-09-07 08:42:31:325,233334,233334,0,0,9761485,0,4044 70,3,2024-09-07 08:42:30:749,1,185,12,0,854,2366,185,0 71,0,2024-09-07 08:42:31:357,42390,1.8,42356,1.5,84564,2.6,113836,3.50 71,1,2024-09-07 08:42:31:599,325533,325533,0,0,152324165487,1592612091,321343,3665,525,368,391682,0 71,2,2024-09-07 08:42:31:067,234969,234969,0,0,10207998,0,2579 71,3,2024-09-07 08:42:31:769,1,185,97,0,644,2959,185,0 72,0,2024-09-07 08:42:31:044,44841,0.4,43790,0.7,85560,0.3,116697,2.00 72,1,2024-09-07 08:42:31:061,325081,325081,0,0,152110185137,1587841583,321319,3083,679,369,391819,0 72,2,2024-09-07 08:42:31:767,234217,234217,0,0,11644511,0,2570 72,3,2024-09-07 08:42:31:760,1,185,9,0,364,3409,185,0 73,0,2024-09-07 08:42:31:149,47555,0.3,48706,0.5,99684,0.3,129551,2.00 73,1,2024-09-07 08:42:30:777,325303,325303,0,0,152112368605,1578375888,323198,1988,117,367,391750,0 73,2,2024-09-07 08:42:31:755,235163,235163,0,0,10939810,0,3482 73,3,2024-09-07 08:42:30:993,1,185,1,0,274,2684,185,0 74,0,2024-09-07 08:42:31:324,50069,0.5,51068,0.7,97307,0.5,132395,2.25 74,1,2024-09-07 08:42:30:640,325325,325325,0,0,152245617047,1587382723,322464,2239,622,382,391681,0 74,2,2024-09-07 08:42:31:003,231682,231682,0,0,10558232,0,4253 74,3,2024-09-07 08:42:31:442,1,185,8,0,522,2856,185,0 75,0,2024-09-07 08:42:31:768,45269,1.0,44944,1.1,90205,1.1,120780,2.50 75,1,2024-09-07 08:42:31:585,324629,324629,0,0,151625889564,1585303490,321215,2954,460,381,391579,0 75,2,2024-09-07 08:42:31:350,234959,234959,0,0,10399996,0,4766 75,3,2024-09-07 08:42:31:066,1,185,297,0,535,2275,185,0 76,0,2024-09-07 08:42:30:592,42092,0.4,41768,0.6,83413,0.3,112280,2.25 76,1,2024-09-07 08:42:30:811,325429,325429,0,0,151952634039,1583182947,323359,1558,512,382,391692,0 76,2,2024-09-07 08:42:31:066,235459,235459,0,0,9054417,0,3064 76,3,2024-09-07 08:42:31:144,1,185,2,0,175,2113,185,0 77,0,2024-09-07 08:42:31:707,46498,0.4,46915,0.6,93410,0.3,124145,1.75 77,1,2024-09-07 08:42:30:827,326707,326707,0,0,151943129974,1582643754,324985,1634,88,383,391808,0 77,2,2024-09-07 08:42:31:285,233344,233344,0,0,9362701,0,3890 77,3,2024-09-07 08:42:31:104,1,185,10,0,305,2196,185,0 78,0,2024-09-07 08:42:31:717,49181,0.4,48847,0.7,98444,0.4,130145,2.00 78,1,2024-09-07 08:42:30:618,326028,326028,0,0,151353619730,1571675005,323690,2085,253,367,391646,0 78,2,2024-09-07 08:42:31:424,234016,234016,0,0,8608090,0,2114 78,3,2024-09-07 08:42:31:133,1,185,9,0,181,1772,185,0 79,0,2024-09-07 08:42:31:346,46270,0.5,47327,0.7,96917,0.5,125744,2.50 79,1,2024-09-07 08:42:30:578,326944,326944,0,0,152696720776,1580033050,324691,2079,174,369,391682,0 79,2,2024-09-07 08:42:31:068,235689,235689,0,0,8748728,0,3212 79,3,2024-09-07 08:42:30:748,1,185,1,0,418,2970,185,0 80,0,2024-09-07 08:42:31:080,42076,0.5,43336,0.7,82952,0.5,112322,2.00 80,1,2024-09-07 08:42:31:644,324968,324968,0,0,151769570667,1579647062,322428,2376,164,368,391791,0 80,2,2024-09-07 08:42:31:101,234824,234824,0,0,9478673,0,4433 80,3,2024-09-07 08:42:30:584,1,185,14,0,190,2773,185,0 81,0,2024-09-07 08:42:31:606,44379,0.4,45686,0.6,87554,0.4,118322,1.75 81,1,2024-09-07 08:42:31:655,325454,325454,0,0,151362238882,1581361712,322957,2223,274,382,391879,0 81,2,2024-09-07 08:42:31:126,233505,233505,0,0,9763914,0,3993 81,3,2024-09-07 08:42:31:132,1,185,4,0,193,1703,185,0 82,0,2024-09-07 08:42:31:542,49975,0.4,50279,0.6,100692,0.4,133394,1.75 82,1,2024-09-07 08:42:30:613,326147,326143,0,4,152166906596,1585298745,323685,1880,578,381,391558,4 82,2,2024-09-07 08:42:31:696,234487,234487,0,0,9169657,0,3986 82,3,2024-09-07 08:42:31:762,1,185,8,0,227,2012,185,0 83,0,2024-09-07 08:42:31:528,49213,0.7,49245,0.8,97775,0.7,130498,2.00 83,1,2024-09-07 08:42:30:550,325498,325498,0,0,151954119496,1586503686,322864,2410,224,382,391690,0 83,2,2024-09-07 08:42:30:768,233315,233315,0,0,8947495,0,3119 83,3,2024-09-07 08:42:30:750,1,185,12,0,241,1937,185,0 84,0,2024-09-07 08:42:31:805,42280,1.1,42120,1.2,84637,0.9,113358,2.50 84,1,2024-09-07 08:42:31:039,324857,324857,0,0,151464036892,1586079322,321253,3142,462,368,391967,0 84,2,2024-09-07 08:42:30:609,234391,234391,0,0,10561913,0,3801 84,3,2024-09-07 08:42:31:144,1,185,353,0,353,2539,185,0 85,0,2024-09-07 08:42:31:007,42290,0.6,42257,0.8,89657,0.6,116009,2.25 85,1,2024-09-07 08:42:30:570,324416,324416,0,0,150987389261,1598513105,318783,4553,1080,382,392006,0 85,2,2024-09-07 08:42:30:865,235170,235170,0,0,11328351,0,3656 85,3,2024-09-07 08:42:30:687,1,185,1,0,789,2743,185,0 86,0,2024-09-07 08:42:30:879,49393,0.5,50700,0.6,97227,0.4,131389,1.75 86,1,2024-09-07 08:42:30:828,325224,325224,0,0,152402565865,1595598318,321208,3471,545,366,391961,0 86,2,2024-09-07 08:42:30:861,233308,233307,1,0,11583338,0,5004 86,3,2024-09-07 08:42:30:591,1,185,8,0,286,2561,185,0 87,0,2024-09-07 08:42:31:316,49444,1.3,49215,1.1,98886,1.9,132751,2.50 87,1,2024-09-07 08:42:30:561,325090,325090,0,0,151726302272,1589955539,321371,3271,448,366,391788,0 87,2,2024-09-07 08:42:31:072,231361,231361,0,0,9662612,0,3515 87,3,2024-09-07 08:42:31:819,1,185,47,0,335,3059,185,0 88,0,2024-09-07 08:42:31:454,44037,0.6,44227,0.7,88460,0.7,117553,1.75 88,1,2024-09-07 08:42:30:577,324471,324471,0,0,151379457328,1588365693,320035,3179,1257,365,392084,0 88,2,2024-09-07 08:42:30:700,234547,234547,0,0,11159127,0,3583 88,3,2024-09-07 08:42:31:268,1,185,1,0,435,2415,185,0 89,0,2024-09-07 08:42:31:784,44248,0.4,42926,0.6,85068,0.3,115431,1.75 89,1,2024-09-07 08:42:30:553,323421,323421,0,0,151709775257,1601596695,317928,4555,938,382,391866,0 89,2,2024-09-07 08:42:31:139,234726,234726,0,0,11029674,0,2910 89,3,2024-09-07 08:42:31:791,1,185,1,0,325,3345,185,0 90,0,2024-09-07 08:42:31:667,46416,0.3,47395,0.5,97343,0.3,127115,1.75 90,1,2024-09-07 08:42:30:597,325459,325459,0,0,151580000275,1588895752,322214,2986,259,381,391825,0 90,2,2024-09-07 08:42:31:409,233036,233036,0,0,11901374,0,3060 90,3,2024-09-07 08:42:30:930,1,185,41,0,200,2090,185,0 91,0,2024-09-07 08:42:30:928,49870,0.5,48098,0.6,100200,0.4,131825,1.75 91,1,2024-09-07 08:42:30:561,324880,324880,0,0,152368198408,1598837691,321080,3300,500,381,392047,0 91,2,2024-09-07 08:42:31:345,231338,231338,0,0,10811534,0,2445 91,3,2024-09-07 08:42:30:599,1,185,26,0,216,2014,185,0 92,0,2024-09-07 08:42:31:455,47143,0.7,48313,0.8,92421,0.8,124761,1.75 92,1,2024-09-07 08:42:30:580,325252,325252,0,0,151590911577,1581705067,323043,1805,404,382,392136,0 92,2,2024-09-07 08:42:31:350,237029,237029,0,0,9212240,0,2801 92,3,2024-09-07 08:42:31:009,1,185,5,0,167,1570,185,0 93,0,2024-09-07 08:42:30:959,42312,0.4,43429,0.6,82937,0.3,112283,1.75 93,1,2024-09-07 08:42:30:805,325474,325474,0,0,152164171931,1587103581,322328,2593,553,366,391692,0 93,2,2024-09-07 08:42:30:928,234895,234895,0,0,9263192,0,2509 93,3,2024-09-07 08:42:31:410,1,185,0,0,143,1914,185,0 94,0,2024-09-07 08:42:31:619,44878,0.3,45440,0.4,90253,0.2,119900,1.50 94,1,2024-09-07 08:42:30:571,324988,324988,0,0,152030380711,1587691532,322532,2360,96,381,391850,0 94,2,2024-09-07 08:42:30:770,233147,233147,0,0,8829883,0,2443 94,3,2024-09-07 08:42:31:688,1,185,12,0,264,2567,185,0 95,0,2024-09-07 08:42:31:402,49676,0.3,49369,0.5,99615,0.3,131428,1.75 95,1,2024-09-07 08:42:30:855,325871,325871,0,0,152742419481,1586593752,323472,2214,185,367,391662,0 95,2,2024-09-07 08:42:31:016,233732,233732,0,0,9163152,0,3308 95,3,2024-09-07 08:42:31:728,1,185,22,0,718,3298,185,0 96,0,2024-09-07 08:42:31:028,49080,0.5,49262,0.6,98436,0.5,129993,1.75 96,1,2024-09-07 08:42:31:592,325427,325427,0,0,152603347695,1595420823,322696,2085,646,385,391894,0 96,2,2024-09-07 08:42:31:268,234409,234409,0,0,9829398,0,4042 96,3,2024-09-07 08:42:31:140,1,185,7,0,188,2014,185,0 97,0,2024-09-07 08:42:31:320,42484,0.4,42173,0.5,84573,0.4,112710,1.75 97,1,2024-09-07 08:42:30:767,326389,326389,0,0,152248257152,1582212424,323576,2261,552,367,392140,0 97,2,2024-09-07 08:42:30:621,234223,234223,0,0,9113984,0,3036 97,3,2024-09-07 08:42:30:578,1,185,8,0,165,2140,185,0 98,0,2024-09-07 08:42:31:730,43908,0.2,43750,0.4,87911,0.2,117107,1.50 98,1,2024-09-07 08:42:30:596,325942,325942,0,0,151551451487,1579018207,324090,1759,93,382,391997,0 98,2,2024-09-07 08:42:30:769,235162,235162,0,0,9330861,0,3080 98,3,2024-09-07 08:42:30:704,1,185,9,0,840,3379,185,0 99,0,2024-09-07 08:42:31:447,50738,0.3,50678,0.4,101144,0.3,135302,1.50 99,1,2024-09-07 08:42:31:736,326123,326123,0,0,151465927743,1581631894,322819,2591,713,381,391744,0 99,2,2024-09-07 08:42:31:417,236300,236300,0,0,9321836,0,2615 99,3,2024-09-07 08:42:30:583,1,185,1,0,129,1299,185,0 100,0,2024-09-07 08:42:31:475,49641,1.0,49708,1.2,99115,1.8,132733,2.50 100,1,2024-09-07 08:42:30:550,323350,323350,0,0,151383234913,1603765142,317914,4503,933,381,391989,0 100,2,2024-09-07 08:42:31:833,231565,231554,11,0,10614195,0,5417 100,3,2024-09-07 08:42:31:739,1,185,34,0,559,3839,185,0 101,0,2024-09-07 08:42:31:996,43729,2.6,42676,1.5,83481,2.7,115105,2.75 101,1,2024-09-07 08:42:30:553,323984,323984,0,0,151426294233,1600734599,318103,4360,1521,368,391769,0 101,2,2024-09-07 08:42:31:761,233722,233722,0,0,11942461,0,4644 101,3,2024-09-07 08:42:30:944,1,185,11,0,448,2246,185,0 102,0,2024-09-07 08:42:30:943,42347,0.5,43846,0.7,88190,0.4,115401,2.00 102,1,2024-09-07 08:42:31:147,324658,324658,0,0,151974250432,1599322875,320122,3820,716,369,391883,0 102,2,2024-09-07 08:42:31:743,235168,235114,54,0,11396768,0,6768 102,3,2024-09-07 08:42:31:624,1,185,8,0,410,1876,185,0 103,0,2024-09-07 08:42:31:601,50156,0.4,50201,0.6,94644,0.3,130421,1.75 103,1,2024-09-07 08:42:31:633,323759,323759,0,0,151343406756,1597651322,318529,3905,1325,381,391829,0 103,2,2024-09-07 08:42:30:593,233852,233852,0,0,10037852,0,2104 103,3,2024-09-07 08:42:30:761,1,185,0,0,916,3107,185,0 104,0,2024-09-07 08:42:31:007,49171,1.1,49439,1.1,97797,1.2,132251,2.25 104,1,2024-09-07 08:42:31:652,325533,325533,0,0,151532041943,1595575689,320428,4137,968,365,391948,0 104,2,2024-09-07 08:42:31:675,231142,231142,0,0,10593418,0,3941 104,3,2024-09-07 08:42:31:424,1,185,1,1,1245,5275,185,0 105,0,2024-09-07 08:42:31:033,44642,1.5,43320,1.3,90620,2.4,119619,3.50 105,1,2024-09-07 08:42:30:563,325751,325751,0,0,151448565157,1587330378,321499,3475,777,367,391797,0 105,2,2024-09-07 08:42:31:322,234480,234480,0,0,10214794,0,3509 105,3,2024-09-07 08:42:31:318,1,185,122,0,399,2830,185,0 106,0,2024-09-07 08:42:30:966,40759,0.6,41912,0.8,85409,0.6,111745,2.25 106,1,2024-09-07 08:42:31:764,325366,325366,0,0,151679901904,1591210957,320986,3981,399,369,391767,0 106,2,2024-09-07 08:42:30:769,233988,233988,0,0,10505162,0,2795 106,3,2024-09-07 08:42:30:684,1,185,8,0,405,2521,185,0 107,0,2024-09-07 08:42:31:108,46466,0.4,46564,0.6,92634,0.3,124000,1.75 107,1,2024-09-07 08:42:30:605,324767,324767,0,0,151294765808,1588812886,321691,2845,231,381,392234,0 107,2,2024-09-07 08:42:31:300,233410,233409,1,0,10438555,0,5024 107,3,2024-09-07 08:42:31:770,1,185,8,0,353,2682,185,0 108,0,2024-09-07 08:42:31:781,49270,0.4,49350,0.6,97975,0.4,130597,1.75 108,1,2024-09-07 08:42:31:297,325204,325204,0,0,152235671446,1587240991,322529,2361,314,368,391857,0 108,2,2024-09-07 08:42:31:767,231797,231797,0,0,9660845,0,2647 108,3,2024-09-07 08:42:31:330,1,185,1,0,667,3439,185,0 109,0,2024-09-07 08:42:31:786,48029,0.4,47566,0.6,95453,0.4,127610,1.75 109,1,2024-09-07 08:42:30:592,324762,324762,0,0,152149044813,1595055812,321968,2297,497,383,392132,0 109,2,2024-09-07 08:42:30:926,234426,234426,0,0,9959689,0,3617 109,3,2024-09-07 08:42:31:164,1,185,10,0,249,2409,185,0 110,0,2024-09-07 08:42:31:799,42489,0.4,41301,0.6,86062,0.3,112462,1.75 110,1,2024-09-07 08:42:31:646,326166,326166,0,0,151961281618,1579265212,323541,1858,767,370,391667,0 110,2,2024-09-07 08:42:31:304,234923,234923,0,0,9000255,0,2915 110,3,2024-09-07 08:42:30:693,1,185,8,0,406,2485,185,0 111,0,2024-09-07 08:42:31:414,44639,0.2,44158,0.4,88193,0.1,118551,1.50 111,1,2024-09-07 08:42:31:015,326760,326760,0,0,152973530637,1586258189,324970,1457,333,382,391690,0 111,2,2024-09-07 08:42:31:117,233366,233366,0,0,9658737,0,2763 111,3,2024-09-07 08:42:30:912,1,185,1,0,379,2803,185,0 112,0,2024-09-07 08:42:30:919,50330,0.3,50304,0.4,100665,0.2,133470,1.50 112,1,2024-09-07 08:42:30:824,326449,326449,0,0,152022238359,1579028989,324116,1907,426,380,391580,0 112,2,2024-09-07 08:42:31:138,234403,234402,1,0,9701323,0,5036 112,3,2024-09-07 08:42:30:599,1,185,2,0,282,2021,185,0 113,0,2024-09-07 08:42:30:883,48946,0.4,49012,0.5,98498,0.3,131618,1.75 113,1,2024-09-07 08:42:31:686,326491,326491,0,0,153273310304,1585232673,324071,1932,488,366,391661,0 113,2,2024-09-07 08:42:31:303,234627,234627,0,0,8621120,0,3813 113,3,2024-09-07 08:42:30:693,1,185,5,0,340,3193,185,0 114,0,2024-09-07 08:42:30:881,43031,0.6,43659,0.6,85925,0.4,115326,1.75 114,1,2024-09-07 08:42:30:717,325104,325104,0,0,151748005164,1585334679,320971,2670,1463,381,391534,0 114,2,2024-09-07 08:42:30:883,234689,234689,0,0,8974072,0,3925 114,3,2024-09-07 08:42:31:279,1,185,8,0,395,2127,185,0 115,0,2024-09-07 08:42:30:569,43614,0.2,44104,0.4,87943,0.2,117025,1.50 115,1,2024-09-07 08:42:30:577,325461,325461,0,0,151903704668,1582290142,321815,2825,821,382,391602,0 115,2,2024-09-07 08:42:31:133,236342,236342,0,0,8409381,0,2152 115,3,2024-09-07 08:42:31:002,1,185,1,0,159,1156,185,0 116,0,2024-09-07 08:42:31:712,49433,0.5,49115,0.7,98436,0.5,131395,2.00 116,1,2024-09-07 08:42:30:808,323015,323015,0,0,151521604971,1607912374,317841,3416,1758,380,391677,0 116,2,2024-09-07 08:42:31:757,233760,233760,0,0,11993360,0,3529 116,3,2024-09-07 08:42:30:913,1,185,3,0,252,2636,185,0 117,0,2024-09-07 08:42:30:963,49383,1.1,49515,1.0,98847,1.5,132824,2.00 117,1,2024-09-07 08:42:31:582,324829,324829,0,0,151344019476,1587077442,320842,3512,475,370,392033,0 117,2,2024-09-07 08:42:31:118,233354,233354,0,0,9274715,0,3700 117,3,2024-09-07 08:42:31:059,1,185,25,0,490,3546,185,0 118,0,2024-09-07 08:42:31:774,42853,0.9,44150,0.9,89644,1.0,116918,2.25 118,1,2024-09-07 08:42:30:585,324806,324806,0,0,151053500903,1592034126,319676,3804,1326,366,391736,0 118,2,2024-09-07 08:42:31:591,234409,234409,0,0,10827561,0,2781 118,3,2024-09-07 08:42:31:764,1,185,8,0,235,2274,185,0 119,0,2024-09-07 08:42:31:400,42680,0.6,43000,0.8,86534,0.5,114634,2.00 119,1,2024-09-07 08:42:30:572,325772,325772,0,0,152335895992,1590318487,322790,2676,306,368,391641,0 119,2,2024-09-07 08:42:31:291,234866,234866,0,0,10147082,0,3526 119,3,2024-09-07 08:42:31:336,1,185,2,0,443,3093,185,0 120,0,2024-09-07 08:42:31:792,47463,0.4,47538,0.6,95130,0.4,127210,1.75 120,1,2024-09-07 08:42:30:859,325675,325675,0,0,151621508290,1590592511,322139,3249,287,368,391961,0 120,2,2024-09-07 08:42:30:771,233611,233610,1,0,12149061,0,5281 120,3,2024-09-07 08:42:31:290,1,185,6,0,241,2598,185,0 121,0,2024-09-07 08:42:31:880,49015,1.3,49444,1.1,98560,1.8,131224,2.25 121,1,2024-09-07 08:42:31:660,325206,325206,0,0,151819183393,1586718808,322395,2520,291,367,391840,0 121,2,2024-09-07 08:42:31:125,231190,231190,0,0,10849593,0,4127 121,3,2024-09-07 08:42:30:728,1,185,5,0,269,2507,185,0 122,0,2024-09-07 08:42:31:809,46517,1.1,45344,1.1,95094,1.3,124776,2.25 122,1,2024-09-07 08:42:30:869,324293,324293,0,0,151790306071,1592960749,319976,3608,709,366,392130,0 122,2,2024-09-07 08:42:31:340,235051,235051,0,0,12354788,0,3364 122,3,2024-09-07 08:42:30:612,1,185,12,0,411,3938,185,0 123,0,2024-09-07 08:42:31:030,42147,0.7,41107,0.8,85801,0.8,111901,2.00 123,1,2024-09-07 08:42:30:565,325131,325131,0,0,152434015823,1605699380,319149,5051,931,369,391823,0 123,2,2024-09-07 08:42:31:020,232816,232815,1,0,10839856,0,5215 123,3,2024-09-07 08:42:31:138,1,185,2,0,168,2189,185,0 124,0,2024-09-07 08:42:30:928,46349,0.3,46339,0.4,87424,0.2,120148,1.50 124,1,2024-09-07 08:42:31:022,326091,326091,0,0,151933881664,1577684724,323991,1744,356,367,392178,0 124,2,2024-09-07 08:42:31:014,233827,233827,0,0,8935080,0,3101 124,3,2024-09-07 08:42:30:762,1,185,11,0,490,2433,185,0 125,0,2024-09-07 08:42:31:452,49562,0.4,49503,0.5,99161,0.3,131537,1.75 125,1,2024-09-07 08:42:30:867,325753,325753,0,0,151291350093,1578715870,323377,2099,277,383,391702,0 125,2,2024-09-07 08:42:31:118,234634,234634,0,0,8900640,0,2180 125,3,2024-09-07 08:42:31:136,1,185,0,0,284,2372,185,0 126,0,2024-09-07 08:42:31:434,49217,0.7,50463,0.7,96466,0.7,130813,1.75 126,1,2024-09-07 08:42:30:556,326093,326093,0,0,152588253095,1580245508,324445,1569,79,365,391987,0 126,2,2024-09-07 08:42:30:615,235819,235819,0,0,9325482,0,3186 126,3,2024-09-07 08:42:30:909,1,185,9,0,150,2587,185,0 127,0,2024-09-07 08:42:31:608,42192,0.4,42377,0.5,84573,0.3,112591,1.75 127,1,2024-09-07 08:42:30:571,325519,325519,0,0,152788788306,1582332467,323407,2071,41,365,391816,0 127,2,2024-09-07 08:42:30:649,234664,234664,0,0,8742244,0,2264 127,3,2024-09-07 08:42:31:297,1,185,10,0,243,1595,185,0 128,0,2024-09-07 08:42:31:538,44188,0.3,43976,0.4,88022,0.2,117121,1.50 128,1,2024-09-07 08:42:31:624,326379,326379,0,0,152311501531,1577508065,325101,1172,106,367,391680,0 128,2,2024-09-07 08:42:31:392,234122,234122,0,0,8844079,0,2107 128,3,2024-09-07 08:42:30:778,1,185,1,0,333,2459,185,0 129,0,2024-09-07 08:42:31:003,50945,0.3,50730,0.5,101410,0.3,134904,1.50 129,1,2024-09-07 08:42:30:577,324173,324173,0,0,151152922102,1582705941,321172,2606,395,379,391835,0 129,2,2024-09-07 08:42:30:690,234948,234948,0,0,9174818,0,4031 129,3,2024-09-07 08:42:30:688,1,185,10,0,469,2589,185,0 130,0,2024-09-07 08:42:31:786,50086,0.7,49876,0.7,99999,0.8,133707,1.75 130,1,2024-09-07 08:42:30:591,325947,325947,0,0,151929028889,1582002997,323827,2047,73,381,391825,0 130,2,2024-09-07 08:42:31:134,233879,233879,0,0,9050925,0,4067 130,3,2024-09-07 08:42:31:319,1,185,10,0,450,2149,185,0 131,0,2024-09-07 08:42:31:963,43071,0.5,43321,0.6,87439,0.5,115338,1.75 131,1,2024-09-07 08:42:31:826,326666,326666,0,0,151983646728,1580035236,325187,1273,206,383,391865,0 131,2,2024-09-07 08:42:30:578,235333,235333,0,0,8703738,0,2415 131,3,2024-09-07 08:42:31:689,1,185,4,0,392,1939,185,0 132,0,2024-09-07 08:42:31:414,43145,0.4,43693,0.7,87362,0.3,116169,1.75 132,1,2024-09-07 08:42:30:577,324518,324518,0,0,151180779833,1596822443,319084,4402,1032,381,392097,0 132,2,2024-09-07 08:42:30:698,234799,234799,0,0,11795861,0,4606 132,3,2024-09-07 08:42:31:690,1,185,1,0,356,3205,185,0 133,0,2024-09-07 08:42:31:517,47503,0.4,48619,0.5,99600,0.3,129387,1.75 133,1,2024-09-07 08:42:30:594,323872,323872,0,0,151837925741,1600472486,319350,3880,642,383,391914,0 133,2,2024-09-07 08:42:31:087,233905,233905,0,0,11941672,0,4315 133,3,2024-09-07 08:42:31:297,1,185,1,0,276,1905,185,0 134,0,2024-09-07 08:42:30:956,49518,0.5,49512,0.7,99420,0.5,132293,2.00 134,1,2024-09-07 08:42:30:599,324575,324575,0,0,151528922989,1591774012,319540,3752,1283,366,391718,0 134,2,2024-09-07 08:42:31:763,231191,231191,0,0,9844719,0,3096 134,3,2024-09-07 08:42:30:750,1,185,8,0,739,3204,185,0 135,0,2024-09-07 08:42:31:107,43750,1.4,43729,1.2,92794,1.6,119135,2.50 135,1,2024-09-07 08:42:31:597,324193,324193,0,0,151828109487,1596229665,319980,3508,705,380,391805,0 135,2,2024-09-07 08:42:30:696,235212,235212,0,0,10957795,0,3981 135,3,2024-09-07 08:42:31:002,1,185,1,0,299,1328,185,0 136,0,2024-09-07 08:42:31:615,42392,0.5,42308,0.7,84973,0.4,112891,2.00 136,1,2024-09-07 08:42:31:453,324716,324716,0,0,151520026506,1587675979,321143,3398,175,382,391641,0 136,2,2024-09-07 08:42:31:136,235779,235779,0,0,10451936,0,3506 136,3,2024-09-07 08:42:31:107,1,185,9,0,108,1478,185,0 137,0,2024-09-07 08:42:30:927,47813,0.4,46270,0.6,91600,0.4,124355,1.75 137,1,2024-09-07 08:42:30:578,324573,324573,0,0,151467473922,1585673469,320016,4028,529,366,391708,0 137,2,2024-09-07 08:42:31:706,233290,233290,0,0,11931543,0,3185 137,3,2024-09-07 08:42:30:771,1,185,2,0,227,2074,185,0 138,0,2024-09-07 08:42:31:747,48700,1.1,48703,1.0,98021,1.4,130320,2.25 138,1,2024-09-07 08:42:31:707,324809,324809,0,0,152260711419,1591848726,321243,3203,363,368,391954,0 138,2,2024-09-07 08:42:30:592,233537,233537,0,0,9699614,0,3263 138,3,2024-09-07 08:42:30:610,1,185,7,0,1160,3320,185,0 139,0,2024-09-07 08:42:31:365,46735,2.7,46888,1.6,94677,3.9,126055,3.00 139,1,2024-09-07 08:42:30:581,322977,322977,0,0,150957759062,1599719471,317480,4163,1334,381,391892,0 139,2,2024-09-07 08:42:30:695,233387,233387,0,0,11151800,0,3097 139,3,2024-09-07 08:42:31:663,1,185,20,0,244,2038,185,0 140,0,2024-09-07 08:42:31:588,42686,0.3,42231,0.5,85077,0.3,112608,1.75 140,1,2024-09-07 08:42:31:540,326693,326693,0,0,153562175725,1582162214,325171,1282,240,365,391606,0 140,2,2024-09-07 08:42:30:687,234906,234906,0,0,9243727,0,3388 140,3,2024-09-07 08:42:30:773,1,185,11,0,247,1534,185,0 141,0,2024-09-07 08:42:31:699,44393,0.2,45484,0.4,86978,0.1,118604,1.50 141,1,2024-09-07 08:42:30:860,326583,326583,0,0,152994199839,1586338394,324628,1601,354,379,391614,0 141,2,2024-09-07 08:42:31:686,234182,234182,0,0,8729825,0,2342 141,3,2024-09-07 08:42:31:042,1,185,0,0,147,1396,185,0 142,0,2024-09-07 08:42:31:307,50764,0.3,50480,0.4,100122,0.2,133748,1.50 142,1,2024-09-07 08:42:30:586,325425,325425,0,0,151937051917,1581781539,323863,1401,161,383,391728,0 142,2,2024-09-07 08:42:31:301,233702,233670,32,0,10129397,0,6028 142,3,2024-09-07 08:42:31:761,1,185,10,0,484,2489,185,0 143,0,2024-09-07 08:42:31:393,49208,0.6,49176,0.7,99248,0.7,131417,1.75 143,1,2024-09-07 08:42:30:566,325799,325799,0,0,152084161916,1577111769,323651,2104,44,367,391619,0 143,2,2024-09-07 08:42:30:770,233433,233433,0,0,9292490,0,2669 143,3,2024-09-07 08:42:31:152,1,185,1,0,303,2722,185,0 144,0,2024-09-07 08:42:31:517,41202,0.7,42505,1.2,86236,0.7,113659,2.00 144,1,2024-09-07 08:42:30:579,324362,324362,0,0,152126981588,1593657424,321946,2212,204,381,391649,0 144,2,2024-09-07 08:42:31:930,234522,234522,0,0,9411878,0,3473 144,3,2024-09-07 08:42:31:756,1,185,0,0,249,2195,185,0 145,0,2024-09-07 08:42:31:363,42324,0.5,42333,0.7,89864,0.5,116428,2.25 145,1,2024-09-07 08:42:30:552,323196,323196,0,0,151234735085,1593844986,318389,4036,771,382,391615,0 145,2,2024-09-07 08:42:31:432,234113,234113,0,0,10723977,0,3903 145,3,2024-09-07 08:42:30:903,1,185,18,0,151,2051,185,0 146,0,2024-09-07 08:42:31:607,49241,0.4,48941,0.6,98475,0.3,131070,2.00 146,1,2024-09-07 08:42:31:591,325790,325790,0,0,152423857338,1598431849,320908,4021,861,368,391770,0 146,2,2024-09-07 08:42:31:698,234094,234094,0,0,10080760,0,2498 146,3,2024-09-07 08:42:31:280,1,185,8,0,1520,5292,185,0 147,0,2024-09-07 08:42:31:716,49541,0.7,49428,0.9,98327,0.7,132464,2.25 147,1,2024-09-07 08:42:31:407,326459,326459,0,0,151854076677,1579758801,323343,2618,498,368,391791,0 147,2,2024-09-07 08:42:31:022,233362,233362,0,0,9024187,0,2789 147,3,2024-09-07 08:42:30:918,1,185,4,0,371,2163,185,0 0,0,2024-09-07 08:42:41:715,46509,0.4,46580,0.6,98740,0.3,127981,1.75 0,1,2024-09-07 08:42:40:803,327248,327248,0,0,153714898366,1606750083,325217,1894,137,372,391772,0 0,2,2024-09-07 08:42:41:078,235642,235642,0,0,9728232,0,4480 0,3,2024-09-07 08:42:40:978,1,186,1,0,247,2356,186,0 1,0,2024-09-07 08:42:41:770,49612,1.1,49276,1.1,98861,1.5,131991,2.25 1,1,2024-09-07 08:42:40:565,326913,326913,0,0,152150316648,1595381507,323459,2586,868,371,391857,0 1,2,2024-09-07 08:42:40:643,232138,232138,0,0,8843437,0,3267 1,3,2024-09-07 08:42:41:304,1,186,2,0,262,2304,186,0 2,0,2024-09-07 08:42:41:568,46679,1.0,46970,1.0,93222,1.4,124150,2.25 2,1,2024-09-07 08:42:40:866,327190,327190,0,0,153421689349,1593717187,325647,1337,206,380,391745,0 2,2,2024-09-07 08:42:41:266,236320,236320,0,0,9517580,0,3594 2,3,2024-09-07 08:42:40:692,1,186,11,0,357,1859,186,0 3,0,2024-09-07 08:42:41:744,41949,0.4,42211,0.6,84062,0.4,111659,1.75 3,1,2024-09-07 08:42:41:621,326838,326838,0,0,152725438935,1592811162,323635,2779,424,380,391516,0 3,2,2024-09-07 08:42:41:142,236305,236282,23,0,9652030,0,5851 3,3,2024-09-07 08:42:41:752,1,186,3,0,103,1173,186,0 4,0,2024-09-07 08:42:41:784,43928,0.3,45235,0.4,91986,0.2,121089,1.50 4,1,2024-09-07 08:42:40:591,326997,326997,0,0,152657468004,1602468435,323940,2532,525,371,391846,0 4,2,2024-09-07 08:42:41:022,233572,233572,0,0,11615812,0,4528 4,3,2024-09-07 08:42:41:027,1,186,10,0,448,2809,186,0 5,0,2024-09-07 08:42:41:493,49621,0.4,49662,0.6,99030,0.4,131588,1.75 5,1,2024-09-07 08:42:40:757,326476,326476,0,0,152678064294,1608305063,321949,3470,1057,368,392005,0 5,2,2024-09-07 08:42:41:837,233334,233334,0,0,10014448,0,2259 5,3,2024-09-07 08:42:41:731,1,186,1,0,278,2866,186,0 6,0,2024-09-07 08:42:40:923,49471,0.8,48988,0.9,97749,1.0,130784,2.25 6,1,2024-09-07 08:42:40:746,326985,326985,0,0,151915077084,1590398114,323277,3049,659,379,391634,0 6,2,2024-09-07 08:42:41:116,235973,235973,0,0,10159121,0,4816 6,3,2024-09-07 08:42:41:277,1,186,8,0,340,2464,186,0 7,0,2024-09-07 08:42:41:532,42030,0.5,42000,0.7,83991,0.4,112025,2.00 7,1,2024-09-07 08:42:40:850,326759,326759,0,0,153136367127,1605122745,323088,3380,291,382,391664,0 7,2,2024-09-07 08:42:40:770,234907,234907,0,0,9384667,0,2981 7,3,2024-09-07 08:42:40:852,1,186,3,0,305,1808,186,0 8,0,2024-09-07 08:42:41:362,44058,0.3,44345,0.4,88135,0.2,117897,1.50 8,1,2024-09-07 08:42:41:015,325900,325900,0,0,152491568049,1608349674,320027,4271,1602,367,391956,0 8,2,2024-09-07 08:42:40:790,232113,232113,0,0,11241850,0,2986 8,3,2024-09-07 08:42:40:592,1,186,4,0,357,2872,186,0 9,0,2024-09-07 08:42:41:106,50910,0.4,49401,0.5,103210,0.3,135332,1.75 9,1,2024-09-07 08:42:40:566,326169,326169,0,0,152977607401,1612800523,321322,3714,1133,370,392001,0 9,2,2024-09-07 08:42:41:091,235608,235608,0,0,10434645,0,3360 9,3,2024-09-07 08:42:41:757,1,186,90,0,496,2935,186,0 10,0,2024-09-07 08:42:41:610,50297,0.4,49950,0.5,100321,0.3,133766,1.75 10,1,2024-09-07 08:42:40:593,326803,326803,0,0,152476979188,1598706201,322105,4001,697,381,391741,0 10,2,2024-09-07 08:42:40:763,234789,234789,0,0,10571700,0,2940 10,3,2024-09-07 08:42:40:871,1,186,13,0,177,1331,186,0 11,0,2024-09-07 08:42:41:012,42652,0.6,41517,0.8,86855,0.6,115683,2.00 11,1,2024-09-07 08:42:40:572,326912,326912,0,0,152653790543,1604180958,321383,4234,1295,384,391537,0 11,2,2024-09-07 08:42:41:140,235521,235521,0,0,10221758,0,3411 11,3,2024-09-07 08:42:41:298,1,186,41,0,720,2968,186,0 12,0,2024-09-07 08:42:40:972,44171,0.3,43860,0.5,88134,0.2,117479,1.50 12,1,2024-09-07 08:42:40:941,326934,326934,0,0,152069805931,1587160593,323964,2553,417,370,391837,0 12,2,2024-09-07 08:42:41:548,237501,237501,0,0,10446784,0,3469 12,3,2024-09-07 08:42:41:059,1,186,21,0,358,3089,186,0 13,0,2024-09-07 08:42:41:371,49174,0.3,49124,0.5,98173,0.3,130777,1.50 13,1,2024-09-07 08:42:41:524,326524,326524,0,0,152465426560,1598283259,324083,2031,410,382,391717,0 13,2,2024-09-07 08:42:40:595,236704,236704,0,0,9660513,0,3287 13,3,2024-09-07 08:42:41:768,1,186,0,0,467,3467,186,0 14,0,2024-09-07 08:42:40:563,50087,0.4,50481,0.6,99839,0.4,133248,1.75 14,1,2024-09-07 08:42:41:563,328738,328738,0,0,152780352248,1582400999,326469,2105,164,364,391571,0 14,2,2024-09-07 08:42:40:764,232835,232835,0,0,9500759,0,2896 14,3,2024-09-07 08:42:41:115,1,186,12,0,1168,3082,186,0 15,0,2024-09-07 08:42:41:596,45064,0.8,45146,1.0,90459,0.9,120222,2.25 15,1,2024-09-07 08:42:41:612,327111,327111,0,0,153450665928,1594826095,325193,1808,110,381,391619,0 15,2,2024-09-07 08:42:40:999,237382,237382,0,0,8083383,0,3043 15,3,2024-09-07 08:42:41:412,1,186,16,0,1126,4638,186,0 16,0,2024-09-07 08:42:41:021,42651,0.4,42791,0.7,85150,0.4,113908,2.00 16,1,2024-09-07 08:42:40:588,327218,327218,0,0,152807833920,1597974000,324544,2401,273,370,391756,0 16,2,2024-09-07 08:42:41:434,235873,235873,0,0,10732676,0,4719 16,3,2024-09-07 08:42:41:141,1,186,1,0,231,2441,186,0 17,0,2024-09-07 08:42:41:812,48452,0.4,47229,0.6,92714,0.4,125862,1.75 17,1,2024-09-07 08:42:40:575,326171,326171,0,0,152223262511,1598409857,322752,2744,675,368,391688,0 17,2,2024-09-07 08:42:41:673,237299,237299,0,0,9522877,0,2857 17,3,2024-09-07 08:42:40:578,1,186,135,0,268,2908,186,0 18,0,2024-09-07 08:42:40:962,48492,0.8,48786,0.9,97608,1.0,129990,2.50 18,1,2024-09-07 08:42:41:642,327170,327170,0,0,152617938188,1582914963,325101,1834,235,367,391564,0 18,2,2024-09-07 08:42:41:756,233852,233852,0,0,9308410,0,3541 18,3,2024-09-07 08:42:40:904,1,186,25,0,163,2011,186,0 19,0,2024-09-07 08:42:41:546,47412,1.0,47869,1.0,94529,1.1,125772,2.50 19,1,2024-09-07 08:42:40:575,327327,327327,0,0,153925965921,1601590135,323510,3101,716,367,391777,0 19,2,2024-09-07 08:42:41:758,237396,237396,0,0,8757232,0,3988 19,3,2024-09-07 08:42:41:150,1,186,1,0,524,1598,186,0 20,0,2024-09-07 08:42:41:368,42257,0.5,42181,0.7,84674,0.5,112267,2.00 20,1,2024-09-07 08:42:40:567,326385,326385,0,0,153102004322,1604962836,322850,3141,394,369,391822,0 20,2,2024-09-07 08:42:40:927,235494,235494,0,0,10092432,0,3721 20,3,2024-09-07 08:42:40:597,1,186,122,0,414,3717,186,0 21,0,2024-09-07 08:42:41:125,44820,0.4,45038,0.6,89545,0.3,119013,1.75 21,1,2024-09-07 08:42:41:566,326070,326070,0,0,151604566447,1595801528,322244,3290,536,368,391962,0 21,2,2024-09-07 08:42:41:080,234228,234228,0,0,11055464,0,3747 21,3,2024-09-07 08:42:41:417,1,186,9,0,103,2211,186,0 22,0,2024-09-07 08:42:41:739,50165,0.7,50183,0.8,99965,0.8,132865,2.25 22,1,2024-09-07 08:42:41:023,326099,326099,0,0,152099405340,1603394817,320385,4195,1519,382,391667,0 22,2,2024-09-07 08:42:40:766,234953,234953,0,0,9457992,0,3134 22,3,2024-09-07 08:42:41:070,1,186,10,0,228,1917,186,0 23,0,2024-09-07 08:42:41:376,49161,0.8,49143,0.8,98626,0.9,131531,2.50 23,1,2024-09-07 08:42:41:005,326811,326811,0,0,153158473427,1608225506,321209,3542,2060,365,391549,0 23,2,2024-09-07 08:42:41:092,234891,234891,0,0,9720665,0,3010 23,3,2024-09-07 08:42:41:765,1,186,1,0,645,2518,186,0 24,0,2024-09-07 08:42:40:830,43532,0.5,43503,0.6,86993,0.5,115792,1.75 24,1,2024-09-07 08:42:40:589,326961,326961,0,0,152412112197,1594400166,323707,2452,802,369,391640,0 24,2,2024-09-07 08:42:41:089,234615,234615,0,0,11081304,0,2942 24,3,2024-09-07 08:42:41:693,1,186,17,0,234,2110,186,0 25,0,2024-09-07 08:42:41:398,45292,0.4,44300,0.6,86637,0.3,118113,1.75 25,1,2024-09-07 08:42:40:588,326815,326815,0,0,153063185893,1604652616,323085,3187,543,371,391788,0 25,2,2024-09-07 08:42:41:610,234891,234891,0,0,11628795,0,3978 25,3,2024-09-07 08:42:41:007,1,186,8,0,255,2245,186,0 26,0,2024-09-07 08:42:41:733,49458,0.3,48514,0.5,101465,0.3,132360,1.75 26,1,2024-09-07 08:42:41:548,327105,327105,0,0,152246802384,1597333549,322051,4084,970,381,391748,0 26,2,2024-09-07 08:42:40:865,236372,236372,0,0,10744967,0,2809 26,3,2024-09-07 08:42:41:723,1,186,2,0,796,2345,186,0 27,0,2024-09-07 08:42:41:738,50227,0.5,50194,0.7,99448,0.5,133089,2.00 27,1,2024-09-07 08:42:41:677,328373,328373,0,0,153313790218,1592090646,326370,1680,323,381,391558,0 27,2,2024-09-07 08:42:40:871,232301,232301,0,0,10715206,0,3409 27,3,2024-09-07 08:42:41:017,1,186,2,0,564,2007,186,0 28,0,2024-09-07 08:42:41:432,43965,0.7,44109,0.8,88759,0.9,118071,2.25 28,1,2024-09-07 08:42:40:797,327823,327823,0,0,153405916714,1596942577,325637,1849,337,383,391646,0 28,2,2024-09-07 08:42:41:767,236516,236516,0,0,8847592,0,2915 28,3,2024-09-07 08:42:41:776,1,186,1,0,502,2127,186,0 29,0,2024-09-07 08:42:41:363,44320,0.3,43317,0.5,84649,0.2,116026,1.75 29,1,2024-09-07 08:42:41:561,328591,328591,0,0,152935652396,1583292115,326156,1891,544,369,391621,0 29,2,2024-09-07 08:42:40:862,236196,236196,0,0,8448562,0,4018 29,3,2024-09-07 08:42:40:964,1,186,1,0,115,1413,186,0 30,0,2024-09-07 08:42:41:463,47832,0.4,46634,0.6,97499,0.3,128302,2.00 30,1,2024-09-07 08:42:40:577,327789,327789,0,0,152733230045,1584484655,325790,1721,278,382,391672,0 30,2,2024-09-07 08:42:41:288,236712,236712,0,0,8490601,0,3161 30,3,2024-09-07 08:42:40:580,1,186,8,0,195,1295,186,0 31,0,2024-09-07 08:42:41:760,48961,0.5,49382,0.7,99287,0.5,131705,2.00 31,1,2024-09-07 08:42:40:571,329541,329541,0,0,153948503189,1576331142,328729,700,112,356,391712,0 31,2,2024-09-07 08:42:41:294,232455,232455,0,0,9994864,0,3525 31,3,2024-09-07 08:42:41:709,1,186,2,0,220,1576,186,0 32,0,2024-09-07 08:42:41:432,46599,0.5,47106,0.6,94290,0.4,124844,1.75 32,1,2024-09-07 08:42:40:805,327785,327785,0,0,153346355905,1591379063,326029,1480,276,381,391595,0 32,2,2024-09-07 08:42:40:937,237198,237198,0,0,8401697,0,3155 32,3,2024-09-07 08:42:41:018,1,186,6,0,227,1552,186,0 33,0,2024-09-07 08:42:41:503,42589,0.3,41923,0.5,84761,0.2,112437,1.75 33,1,2024-09-07 08:42:40:575,328544,328544,0,0,153750562773,1589815214,326281,2091,172,369,391730,0 33,2,2024-09-07 08:42:40:758,236123,236090,33,0,10544050,0,7012 33,3,2024-09-07 08:42:40:895,1,186,13,0,329,2027,186,0 34,0,2024-09-07 08:42:40:930,45491,0.3,46924,0.4,89904,0.2,121500,1.50 34,1,2024-09-07 08:42:41:046,328736,328736,0,0,153780836490,1582723454,327738,992,6,367,391562,0 34,2,2024-09-07 08:42:40:767,234041,234041,0,0,9543858,0,3577 34,3,2024-09-07 08:42:41:688,1,186,9,0,148,1266,186,0 35,0,2024-09-07 08:42:40:864,49115,0.4,49640,0.5,99290,0.3,131867,1.75 35,1,2024-09-07 08:42:41:079,326984,326984,0,0,152873883295,1587340382,324155,2059,770,384,391589,0 35,2,2024-09-07 08:42:41:589,235346,235346,0,0,8544064,0,2653 35,3,2024-09-07 08:42:40:911,1,186,1,0,418,2041,186,0 36,0,2024-09-07 08:42:41:518,49145,0.8,49086,0.9,98323,1.0,130322,2.50 36,1,2024-09-07 08:42:40:589,327413,327413,0,0,152447216464,1595701704,322686,3437,1290,366,391759,0 36,2,2024-09-07 08:42:41:753,235204,235204,0,0,10094240,0,3303 36,3,2024-09-07 08:42:40:865,1,186,66,0,378,3053,186,0 37,0,2024-09-07 08:42:41:385,42067,0.5,42061,0.7,83984,0.4,112847,2.00 37,1,2024-09-07 08:42:40:571,326429,326422,0,7,152513787815,1602400136,321410,2986,2026,365,391560,0 37,2,2024-09-07 08:42:41:151,235086,235071,15,0,10450007,0,5815 37,3,2024-09-07 08:42:41:766,1,186,0,0,724,2927,186,0 38,0,2024-09-07 08:42:41:439,44021,0.4,42684,0.6,89234,0.3,117619,2.00 38,1,2024-09-07 08:42:41:608,327792,327792,0,0,153706801203,1606653779,323427,3720,645,368,391821,0 38,2,2024-09-07 08:42:40:760,234926,234879,47,0,11899606,0,6710 38,3,2024-09-07 08:42:40:997,1,186,8,0,689,3074,186,0 39,0,2024-09-07 08:42:41:760,51857,0.7,50869,0.7,98819,0.8,135082,2.00 39,1,2024-09-07 08:42:40:726,327367,327367,0,0,153252038303,1597282368,323477,3088,802,365,391524,0 39,2,2024-09-07 08:42:41:432,235617,235617,0,0,9415471,0,2689 39,3,2024-09-07 08:42:40:720,1,186,2,0,293,2647,186,0 40,0,2024-09-07 08:42:41:499,49453,0.9,50094,1.1,100143,0.9,133174,3.00 40,1,2024-09-07 08:42:40:588,326902,326902,0,0,152570474474,1599096082,321765,4055,1082,368,391591,0 40,2,2024-09-07 08:42:41:306,233598,233597,1,0,11464751,0,5137 40,3,2024-09-07 08:42:41:150,1,186,1,0,181,1912,186,0 41,0,2024-09-07 08:42:41:025,42732,1.7,43549,1.5,83352,3.0,114139,4.00 41,1,2024-09-07 08:42:40:768,326534,326534,0,0,153089560913,1601050432,322130,3862,542,370,391742,0 41,2,2024-09-07 08:42:40:758,234455,234455,0,0,11097331,0,3356 41,3,2024-09-07 08:42:41:681,1,186,8,0,366,2330,186,0 42,0,2024-09-07 08:42:41:474,43346,0.5,43717,0.7,87129,0.4,115528,2.25 42,1,2024-09-07 08:42:41:445,325506,325506,0,0,151893995288,1596860772,320265,4190,1051,380,391675,0 42,2,2024-09-07 08:42:41:134,235868,235868,0,0,10801892,0,3568 42,3,2024-09-07 08:42:41:009,1,186,8,0,446,1504,186,0 43,0,2024-09-07 08:42:40:918,48969,0.5,47568,0.8,99603,0.5,130759,2.00 43,1,2024-09-07 08:42:40:576,327178,327178,0,0,153452128489,1598323373,323788,2597,793,366,391696,0 43,2,2024-09-07 08:42:41:736,236274,236274,0,0,10239120,0,3812 43,3,2024-09-07 08:42:41:753,1,186,1,0,325,2185,186,0 44,0,2024-09-07 08:42:40:864,49850,0.5,50174,0.7,100086,0.5,133801,1.75 44,1,2024-09-07 08:42:40:563,328424,328424,0,0,152970478143,1579314766,326339,1660,425,356,391809,0 44,2,2024-09-07 08:42:41:280,232061,232061,0,0,8147359,0,1877 44,3,2024-09-07 08:42:41:099,1,186,1,0,817,2176,186,0 45,0,2024-09-07 08:42:41:766,44636,1.0,43681,1.0,91652,1.1,120899,2.25 45,1,2024-09-07 08:42:41:005,327953,327953,0,0,153341340545,1587936431,326912,1031,10,382,391917,0 45,2,2024-09-07 08:42:41:268,237411,237411,0,0,8935414,0,3596 45,3,2024-09-07 08:42:40:939,1,186,6,0,226,1818,186,0 46,0,2024-09-07 08:42:40:951,42464,0.3,42379,0.6,85008,0.2,113019,1.75 46,1,2024-09-07 08:42:40:582,328313,328313,0,0,153182911346,1581955167,326503,1586,224,366,391524,0 46,2,2024-09-07 08:42:40:604,236768,236768,0,0,8949585,0,2920 46,3,2024-09-07 08:42:41:140,1,186,11,0,908,3140,186,0 47,0,2024-09-07 08:42:41:108,47096,0.3,47234,0.5,94794,0.2,125684,1.75 47,1,2024-09-07 08:42:40:573,328993,328993,0,0,153224188586,1579746128,327581,1395,17,366,391605,0 47,2,2024-09-07 08:42:40:908,236108,236108,0,0,8990716,0,2558 47,3,2024-09-07 08:42:41:115,1,186,1,0,529,1927,186,0 48,0,2024-09-07 08:42:41:505,49612,0.3,49241,0.4,98325,0.2,130865,1.50 48,1,2024-09-07 08:42:41:021,328538,328538,0,0,152931562141,1587804742,326471,1886,181,384,391710,0 48,2,2024-09-07 08:42:40:699,233917,233917,0,0,8082957,0,3031 48,3,2024-09-07 08:42:40:753,1,186,1,0,339,1536,186,0 49,0,2024-09-07 08:42:41:719,49094,0.5,48196,0.6,93603,0.5,127752,1.75 49,1,2024-09-07 08:42:41:021,327008,327008,0,0,153274337992,1597442008,324220,1948,840,382,391583,0 49,2,2024-09-07 08:42:41:797,237530,237530,0,0,8873525,0,3900 49,3,2024-09-07 08:42:41:430,1,186,1,0,408,2484,186,0 50,0,2024-09-07 08:42:41:509,42459,0.3,41945,0.5,84243,0.2,112303,1.75 50,1,2024-09-07 08:42:41:010,329265,329265,0,0,153486364213,1590519315,326666,2289,310,368,391530,0 50,2,2024-09-07 08:42:41:071,236061,236061,0,0,8810416,0,2263 50,3,2024-09-07 08:42:41:292,1,186,30,0,335,1482,186,0 51,0,2024-09-07 08:42:41:685,45817,0.2,44954,0.4,87668,0.2,119663,1.50 51,1,2024-09-07 08:42:41:680,328099,328099,0,0,154375013139,1594133222,326124,1189,786,365,391637,0 51,2,2024-09-07 08:42:41:316,234863,234863,0,0,8163172,0,3337 51,3,2024-09-07 08:42:41:027,1,186,1,0,162,974,186,0 52,0,2024-09-07 08:42:41:413,50673,0.5,50506,0.7,100848,0.5,133459,2.00 52,1,2024-09-07 08:42:40:575,326710,326710,0,0,151706690775,1597570381,320523,5027,1160,368,391722,0 52,2,2024-09-07 08:42:41:754,233798,233760,38,0,10971313,0,6742 52,3,2024-09-07 08:42:40:684,1,186,1,0,1782,3753,186,0 53,0,2024-09-07 08:42:41:741,49004,0.9,47617,0.9,99753,1.1,130708,2.75 53,1,2024-09-07 08:42:40:787,326057,326057,0,0,152461314179,1606441317,319906,4116,2035,367,391702,0 53,2,2024-09-07 08:42:41:298,235456,235456,0,0,9353453,0,2262 53,3,2024-09-07 08:42:40:698,1,186,1,0,271,1722,186,0 54,0,2024-09-07 08:42:41:626,41982,1.5,42464,1.1,83965,0.7,113110,3.25 54,1,2024-09-07 08:42:40:583,327066,327066,0,0,153585490466,1601513552,322974,3521,571,367,391659,0 54,2,2024-09-07 08:42:40:865,235248,235242,6,0,10774343,0,5382 54,3,2024-09-07 08:42:40:765,1,186,8,0,676,3326,186,0 55,0,2024-09-07 08:42:41:765,42682,0.5,44049,0.7,89025,0.4,115864,2.25 55,1,2024-09-07 08:42:40:764,326366,326366,0,0,151873373742,1588284485,320906,4673,787,365,391731,0 55,2,2024-09-07 08:42:40:728,234706,234706,0,0,10623184,0,3275 55,3,2024-09-07 08:42:40:674,1,186,1,0,304,2394,186,0 56,0,2024-09-07 08:42:41:595,50927,0.9,48128,1.0,98625,1.2,132481,2.25 56,1,2024-09-07 08:42:40:599,326277,326277,0,0,153152825210,1617620316,321027,4343,907,381,391678,0 56,2,2024-09-07 08:42:41:307,235731,235731,0,0,11293093,0,3567 56,3,2024-09-07 08:42:41:074,1,186,0,0,405,2476,186,0 57,0,2024-09-07 08:42:40:992,49009,2.1,49162,1.4,98368,3.0,131936,3.50 57,1,2024-09-07 08:42:40:996,326919,326919,0,0,152157284841,1591382112,323955,2793,171,367,391960,0 57,2,2024-09-07 08:42:41:320,233488,233488,0,0,11315000,0,3178 57,3,2024-09-07 08:42:41:745,1,186,13,0,359,2829,186,0 58,0,2024-09-07 08:42:40:565,43018,1.0,41846,1.1,87687,1.2,114666,2.75 58,1,2024-09-07 08:42:40:581,327707,327704,0,3,153671087278,1605048136,323531,3601,572,367,391517,3 58,2,2024-09-07 08:42:41:094,235972,235972,0,0,10479870,0,2549 58,3,2024-09-07 08:42:41:069,1,186,9,0,1043,2434,186,0 59,0,2024-09-07 08:42:41:740,43471,0.7,43052,0.9,86037,0.6,114815,2.75 59,1,2024-09-07 08:42:40:814,326780,326780,0,0,153170659496,1600832098,322855,2967,958,369,391525,0 59,2,2024-09-07 08:42:40:592,237229,237229,0,0,9601745,0,2604 59,3,2024-09-07 08:42:41:745,1,186,1,0,1015,2971,186,0 60,0,2024-09-07 08:42:41:707,48221,0.3,48081,0.5,96528,0.3,128492,1.75 60,1,2024-09-07 08:42:40:794,328485,328485,0,0,153645810788,1590593111,327021,1105,359,370,391761,0 60,2,2024-09-07 08:42:41:151,235842,235842,0,0,10276257,0,3811 60,3,2024-09-07 08:42:41:259,1,186,1,0,124,1714,186,0 61,0,2024-09-07 08:42:41:508,49386,1.0,49635,0.9,99038,1.4,131998,2.00 61,1,2024-09-07 08:42:40:774,327097,327097,0,0,153581911867,1607161958,323622,2922,553,382,391593,0 61,2,2024-09-07 08:42:41:117,233619,233619,0,0,8994468,0,2079 61,3,2024-09-07 08:42:41:693,1,186,31,0,265,2305,186,0 62,0,2024-09-07 08:42:41:708,47131,1.2,48064,1.0,91755,1.5,124646,2.25 62,1,2024-09-07 08:42:41:113,329146,329140,0,6,153514808558,1582617496,327446,1655,39,365,391715,6 62,2,2024-09-07 08:42:41:644,235923,235922,1,0,10528379,0,5555 62,3,2024-09-07 08:42:41:150,1,186,3,0,287,1272,186,0 63,0,2024-09-07 08:42:41:458,42218,0.5,42125,0.6,84428,0.4,112207,1.75 63,1,2024-09-07 08:42:40:809,328518,328512,0,6,153521480721,1589045766,327242,1243,27,381,391677,6 63,2,2024-09-07 08:42:40:761,236134,236134,0,0,8590288,0,2674 63,3,2024-09-07 08:42:41:732,1,186,1,0,667,2479,186,0 64,0,2024-09-07 08:42:41:589,45172,0.4,45253,0.6,90805,0.3,121356,1.75 64,1,2024-09-07 08:42:40:756,327583,327583,0,0,152562004658,1592222657,324109,2419,1055,370,391783,0 64,2,2024-09-07 08:42:41:141,236420,236401,19,0,9373271,0,6121 64,3,2024-09-07 08:42:41:146,1,186,65,0,265,2024,186,0 65,0,2024-09-07 08:42:41:699,48864,0.7,49368,0.8,98419,0.8,130891,2.25 65,1,2024-09-07 08:42:40:879,326704,326704,0,0,152317482226,1593414402,323999,2481,224,382,391770,0 65,2,2024-09-07 08:42:41:699,234744,234744,0,0,9882576,0,3367 65,3,2024-09-07 08:42:41:689,1,186,1,0,163,1759,186,0 66,0,2024-09-07 08:42:41:770,48628,0.6,48753,0.8,97789,0.6,129632,2.25 66,1,2024-09-07 08:42:41:296,328231,328231,0,0,152994577644,1588995216,326342,1716,173,380,391588,0 66,2,2024-09-07 08:42:41:137,237679,237679,0,0,9366302,0,4956 66,3,2024-09-07 08:42:41:082,1,186,2,0,291,1882,186,0 67,0,2024-09-07 08:42:41:425,42513,0.7,42262,0.8,84901,0.8,112911,2.25 67,1,2024-09-07 08:42:40:775,327125,327124,0,1,153140854396,1599317424,323648,2729,747,381,391787,1 67,2,2024-09-07 08:42:40:590,236347,236347,0,0,8846237,0,2889 67,3,2024-09-07 08:42:41:753,1,186,1,0,338,1673,186,0 68,0,2024-09-07 08:42:40:569,44290,0.5,44129,0.7,88183,0.4,117721,2.00 68,1,2024-09-07 08:42:40:577,326039,326039,0,0,151971719129,1594886012,322910,2103,1026,381,391953,0 68,2,2024-09-07 08:42:41:059,234392,234327,65,0,12367346,0,6698 68,3,2024-09-07 08:42:40:728,1,186,1,0,417,2374,186,0 69,0,2024-09-07 08:42:41:759,50504,0.9,50756,0.9,100866,1.1,134522,2.25 69,1,2024-09-07 08:42:41:032,325398,325398,0,0,152100641860,1605922758,321188,2995,1215,384,391994,0 69,2,2024-09-07 08:42:41:741,236196,236196,0,0,11225434,0,3701 69,3,2024-09-07 08:42:40:771,1,186,11,0,698,2956,186,0 70,0,2024-09-07 08:42:41:541,49580,1.5,49731,1.3,99715,1.1,132788,2.75 70,1,2024-09-07 08:42:40:809,327191,327191,0,0,153888575742,1598289022,324513,2175,503,366,391725,0 70,2,2024-09-07 08:42:41:326,234600,234600,0,0,9893977,0,4044 70,3,2024-09-07 08:42:40:750,1,186,7,0,854,2373,186,0 71,0,2024-09-07 08:42:41:362,42622,1.8,42578,1.5,84970,2.6,114412,3.50 71,1,2024-09-07 08:42:41:607,327164,327164,0,0,153029794112,1600728171,322871,3767,526,368,391682,0 71,2,2024-09-07 08:42:41:079,236314,236314,0,0,10336351,0,2579 71,3,2024-09-07 08:42:41:752,1,186,1,0,644,2960,186,0 72,0,2024-09-07 08:42:41:040,45264,0.5,44209,0.7,86337,0.4,117871,2.25 72,1,2024-09-07 08:42:41:028,326993,326993,0,0,153168112876,1599479031,323091,3154,748,369,391819,0 72,2,2024-09-07 08:42:41:760,235378,235378,0,0,11738728,0,2570 72,3,2024-09-07 08:42:41:760,1,186,0,0,364,3409,186,0 73,0,2024-09-07 08:42:41:123,47834,0.3,48987,0.5,100258,0.3,130236,2.00 73,1,2024-09-07 08:42:40:769,327138,327138,0,0,152985176201,1587687421,325033,1988,117,367,391750,0 73,2,2024-09-07 08:42:41:746,236489,236489,0,0,11039059,0,3482 73,3,2024-09-07 08:42:40:969,1,186,33,0,274,2717,186,0 74,0,2024-09-07 08:42:41:383,50357,0.5,51420,0.7,97906,0.5,133205,2.25 74,1,2024-09-07 08:42:40:644,326722,326722,0,0,152873709399,1595422267,323511,2478,733,382,391681,0 74,2,2024-09-07 08:42:41:005,232604,232604,0,0,10648361,0,4253 74,3,2024-09-07 08:42:41:444,1,186,8,0,522,2864,186,0 75,0,2024-09-07 08:42:41:765,45437,1.0,45125,1.1,90493,1.1,121218,2.50 75,1,2024-09-07 08:42:41:601,326478,326478,0,0,152691959554,1598336603,322613,3251,614,381,391579,0 75,2,2024-09-07 08:42:41:352,236357,236357,0,0,10581081,0,4766 75,3,2024-09-07 08:42:41:082,1,186,0,0,535,2275,186,0 76,0,2024-09-07 08:42:40:594,42404,0.4,42078,0.6,83994,0.3,113197,2.25 76,1,2024-09-07 08:42:40:811,327172,327172,0,0,152652944020,1590661329,325090,1570,512,382,391692,0 76,2,2024-09-07 08:42:41:079,236940,236940,0,0,9127885,0,3064 76,3,2024-09-07 08:42:41:159,1,186,0,0,175,2113,186,0 77,0,2024-09-07 08:42:41:712,46979,0.4,47354,0.6,94280,0.3,125307,1.75 77,1,2024-09-07 08:42:40:836,328526,328526,0,0,153014099995,1593788625,326801,1637,88,383,391808,0 77,2,2024-09-07 08:42:41:290,234751,234751,0,0,9420806,0,3890 77,3,2024-09-07 08:42:41:103,1,186,5,0,305,2201,186,0 78,0,2024-09-07 08:42:41:715,49292,0.4,48965,0.7,98703,0.4,130473,2.00 78,1,2024-09-07 08:42:40:623,327822,327822,0,0,152125246391,1579677959,325484,2085,253,367,391646,0 78,2,2024-09-07 08:42:41:412,234737,234737,0,0,8630849,0,2114 78,3,2024-09-07 08:42:41:138,1,186,8,0,181,1780,186,0 79,0,2024-09-07 08:42:41:355,46368,0.5,47433,0.7,97158,0.5,126085,2.50 79,1,2024-09-07 08:42:40:574,328745,328745,0,0,153450580004,1587793789,326492,2079,174,369,391682,0 79,2,2024-09-07 08:42:41:079,237191,237191,0,0,8802962,0,3212 79,3,2024-09-07 08:42:40:749,1,186,0,0,418,2970,186,0 80,0,2024-09-07 08:42:41:132,42111,0.5,43381,0.7,83029,0.5,112322,2.00 80,1,2024-09-07 08:42:41:621,326770,326770,0,0,152477856871,1587061625,324229,2377,164,368,391791,0 80,2,2024-09-07 08:42:41:092,236381,236381,0,0,9530379,0,4433 80,3,2024-09-07 08:42:40:575,1,186,28,0,190,2801,186,0 81,0,2024-09-07 08:42:41:564,44778,0.4,46076,0.6,88296,0.4,119238,1.75 81,1,2024-09-07 08:42:41:653,327259,327259,0,0,152180047201,1589908482,324761,2224,274,382,391879,0 81,2,2024-09-07 08:42:41:134,234564,234564,0,0,9787057,0,3993 81,3,2024-09-07 08:42:41:124,1,186,162,0,193,1865,186,0 82,0,2024-09-07 08:42:41:544,50074,0.4,50377,0.6,100867,0.4,133633,1.75 82,1,2024-09-07 08:42:40:589,327686,327682,0,4,153348761554,1597433968,325223,1881,578,381,391558,4 82,2,2024-09-07 08:42:41:693,235499,235499,0,0,9192372,0,3986 82,3,2024-09-07 08:42:41:767,1,186,10,0,227,2022,186,0 83,0,2024-09-07 08:42:41:585,49435,0.7,49473,0.8,98248,0.7,131098,2.00 83,1,2024-09-07 08:42:40:561,327222,327222,0,0,153031471765,1597594294,324588,2410,224,382,391690,0 83,2,2024-09-07 08:42:40:765,234762,234762,0,0,8979895,0,3119 83,3,2024-09-07 08:42:40:749,1,186,1,0,241,1938,186,0 84,0,2024-09-07 08:42:41:764,42572,1.1,42424,1.2,85256,0.8,114163,2.50 84,1,2024-09-07 08:42:41:042,326538,326538,0,0,152358788190,1595523394,322931,3145,462,368,391967,0 84,2,2024-09-07 08:42:40:584,235636,235636,0,0,10659935,0,3801 84,3,2024-09-07 08:42:41:151,1,186,7,0,353,2546,186,0 85,0,2024-09-07 08:42:41:013,42568,0.6,42530,0.8,90256,0.6,116686,2.25 85,1,2024-09-07 08:42:40:588,326051,326051,0,0,151796140598,1607515912,320357,4614,1080,382,392006,0 85,2,2024-09-07 08:42:40:893,236217,236217,0,0,11395130,0,3656 85,3,2024-09-07 08:42:40:691,1,186,31,0,789,2774,186,0 86,0,2024-09-07 08:42:40:891,49816,0.5,51171,0.7,98025,0.5,132545,2.00 86,1,2024-09-07 08:42:40:833,327019,327019,0,0,153223669114,1604506547,322990,3484,545,366,391961,0 86,2,2024-09-07 08:42:40:867,234573,234572,1,0,11666692,0,5004 86,3,2024-09-07 08:42:40:592,1,186,10,0,286,2571,186,0 87,0,2024-09-07 08:42:41:312,49765,1.3,49517,1.1,99509,1.9,133580,2.50 87,1,2024-09-07 08:42:40:560,326709,326709,0,0,152566648864,1599384502,322857,3392,460,366,391788,0 87,2,2024-09-07 08:42:41:078,232565,232565,0,0,9772720,0,3515 87,3,2024-09-07 08:42:41:803,1,186,473,0,473,3532,186,0 88,0,2024-09-07 08:42:41:655,44197,0.6,44385,0.7,88758,0.7,117978,1.75 88,1,2024-09-07 08:42:40:579,325766,325766,0,0,152231892251,1597867333,321170,3328,1268,365,392084,0 88,2,2024-09-07 08:42:40:694,235719,235719,0,0,11271132,0,3583 88,3,2024-09-07 08:42:41:267,1,186,9,0,435,2424,186,0 89,0,2024-09-07 08:42:41:835,44509,0.4,43184,0.6,85544,0.3,116106,1.75 89,1,2024-09-07 08:42:40:561,325172,325172,0,0,152543178003,1610877727,319622,4612,938,382,391866,0 89,2,2024-09-07 08:42:41:143,236124,236124,0,0,11208835,0,2910 89,3,2024-09-07 08:42:41:791,1,186,4,0,325,3349,186,0 90,0,2024-09-07 08:42:41:636,46799,0.3,47822,0.5,98165,0.3,128072,1.75 90,1,2024-09-07 08:42:40:590,327031,327031,0,0,152360724253,1597323502,323772,3000,259,381,391825,0 90,2,2024-09-07 08:42:41:412,234427,234427,0,0,12105959,0,3060 90,3,2024-09-07 08:42:40:931,1,186,10,0,200,2100,186,0 91,0,2024-09-07 08:42:40:939,50028,0.4,48218,0.6,100502,0.4,132271,1.75 91,1,2024-09-07 08:42:40:561,326538,326538,0,0,153177910412,1607356675,322738,3300,500,381,392047,0 91,2,2024-09-07 08:42:41:339,231978,231978,0,0,10863381,0,2445 91,3,2024-09-07 08:42:40:603,1,186,3,0,216,2017,186,0 92,0,2024-09-07 08:42:41:491,47243,0.7,48412,0.8,92602,0.8,125017,1.75 92,1,2024-09-07 08:42:40:594,327006,327006,0,0,152377128362,1589792759,324797,1805,404,382,392136,0 92,2,2024-09-07 08:42:41:368,238509,238509,0,0,9275899,0,2801 92,3,2024-09-07 08:42:41:009,1,186,1,0,167,1571,186,0 93,0,2024-09-07 08:42:40:964,42410,0.4,43519,0.6,83126,0.3,112616,1.75 93,1,2024-09-07 08:42:40:817,327288,327288,0,0,152968296091,1595393647,324140,2595,553,366,391692,0 93,2,2024-09-07 08:42:40:936,236542,236542,0,0,9322170,0,2509 93,3,2024-09-07 08:42:41:443,1,186,3,0,143,1917,186,0 94,0,2024-09-07 08:42:41:734,45371,0.3,45963,0.4,91196,0.2,121232,1.50 94,1,2024-09-07 08:42:40:571,326687,326687,0,0,152826598187,1595902765,324229,2362,96,381,391850,0 94,2,2024-09-07 08:42:40:773,234409,234409,0,0,8870563,0,2443 94,3,2024-09-07 08:42:41:694,1,186,18,0,264,2585,186,0 95,0,2024-09-07 08:42:41:360,49767,0.3,49478,0.5,99834,0.3,131746,1.75 95,1,2024-09-07 08:42:40:857,327593,327593,0,0,153529173508,1594666109,325194,2214,185,367,391662,0 95,2,2024-09-07 08:42:41:017,234663,234663,0,0,9197770,0,3308 95,3,2024-09-07 08:42:41:713,1,186,9,0,718,3307,186,0 96,0,2024-09-07 08:42:41:059,49198,0.5,49393,0.6,98685,0.5,130262,1.75 96,1,2024-09-07 08:42:41:606,327121,327121,0,0,153441232269,1604018441,324388,2086,647,385,391894,0 96,2,2024-09-07 08:42:41:271,235865,235865,0,0,9869820,0,4042 96,3,2024-09-07 08:42:41:150,1,186,1,0,188,2015,186,0 97,0,2024-09-07 08:42:41:331,42696,0.4,42391,0.5,85044,0.4,113217,1.75 97,1,2024-09-07 08:42:40:781,328175,328175,0,0,153168602585,1591711168,325361,2261,553,367,392140,0 97,2,2024-09-07 08:42:40:608,235650,235650,0,0,9156669,0,3036 97,3,2024-09-07 08:42:40:584,1,186,1,0,165,2141,186,0 98,0,2024-09-07 08:42:41:744,44326,0.2,44164,0.4,88701,0.2,118215,1.50 98,1,2024-09-07 08:42:40:578,327655,327655,0,0,152338783971,1587074849,325803,1759,93,382,391997,0 98,2,2024-09-07 08:42:40:769,236259,236259,0,0,9354560,0,3080 98,3,2024-09-07 08:42:40:700,1,186,13,0,840,3392,186,0 99,0,2024-09-07 08:42:41:559,50930,0.3,50902,0.4,101529,0.3,135610,1.50 99,1,2024-09-07 08:42:41:727,327907,327907,0,0,152465557915,1591843975,324603,2591,713,381,391744,0 99,2,2024-09-07 08:42:41:432,237557,237557,0,0,9349610,0,2615 99,3,2024-09-07 08:42:40:597,1,186,8,0,129,1307,186,0 100,0,2024-09-07 08:42:41:478,49928,1.0,49989,1.2,99703,1.7,133462,2.50 100,1,2024-09-07 08:42:40:560,325108,325108,0,0,152293995603,1613642140,319646,4529,933,381,391989,0 100,2,2024-09-07 08:42:41:816,232846,232835,11,0,10734476,0,5417 100,3,2024-09-07 08:42:41:731,1,186,11,0,559,3850,186,0 101,0,2024-09-07 08:42:41:712,43924,2.5,42882,1.5,83843,2.7,115671,2.75 101,1,2024-09-07 08:42:40:576,325724,325724,0,0,152275406225,1610104647,319749,4454,1521,368,391769,0 101,2,2024-09-07 08:42:41:756,235019,235019,0,0,12040437,0,4644 101,3,2024-09-07 08:42:40:942,1,186,149,0,448,2395,186,0 102,0,2024-09-07 08:42:41:041,42737,0.6,44258,0.8,89067,0.5,117023,2.00 102,1,2024-09-07 08:42:41:160,326384,326384,0,0,152916811413,1609320088,321838,3830,716,369,391883,0 102,2,2024-09-07 08:42:41:745,236528,236474,54,0,11473649,0,6768 102,3,2024-09-07 08:42:41:613,1,186,10,0,410,1886,186,0 103,0,2024-09-07 08:42:41:647,50450,0.4,50486,0.6,95223,0.3,131122,1.75 103,1,2024-09-07 08:42:41:638,325618,325618,0,0,152334553653,1608358916,320379,3914,1325,381,391829,0 103,2,2024-09-07 08:42:40:618,235201,235201,0,0,10102288,0,2104 103,3,2024-09-07 08:42:40:758,1,186,4,0,916,3111,186,0 104,0,2024-09-07 08:42:41:016,49475,1.1,49769,1.1,98409,1.2,133162,2.25 104,1,2024-09-07 08:42:41:608,327257,327257,0,0,152557463936,1606769516,322120,4169,968,365,391948,0 104,2,2024-09-07 08:42:41:668,231968,231968,0,0,10674649,0,3941 104,3,2024-09-07 08:42:41:430,1,186,231,1,1245,5506,186,0 105,0,2024-09-07 08:42:41:041,44810,1.5,43487,1.3,90987,2.3,120097,3.50 105,1,2024-09-07 08:42:40:564,327419,327419,0,0,152300589894,1596432952,323158,3484,777,367,391797,0 105,2,2024-09-07 08:42:41:325,235801,235801,0,0,10322591,0,3509 105,3,2024-09-07 08:42:41:307,1,186,62,0,399,2892,186,0 106,0,2024-09-07 08:42:40:982,41045,0.6,42195,0.8,86029,0.6,112842,2.25 106,1,2024-09-07 08:42:41:754,327057,327057,0,0,152706958769,1602995090,322381,4219,457,369,391767,0 106,2,2024-09-07 08:42:40:763,235366,235366,0,0,10668439,0,2795 106,3,2024-09-07 08:42:40:677,1,186,8,0,405,2529,186,0 107,0,2024-09-07 08:42:41:224,46911,0.4,47000,0.6,93541,0.4,124941,1.75 107,1,2024-09-07 08:42:40:595,326350,326350,0,0,152019496080,1596563504,323256,2863,231,381,392234,0 107,2,2024-09-07 08:42:41:300,234676,234675,1,0,10611516,0,5024 107,3,2024-09-07 08:42:41:761,1,186,2,0,353,2684,186,0 108,0,2024-09-07 08:42:41:769,49398,0.4,49487,0.6,98212,0.4,130930,1.75 108,1,2024-09-07 08:42:41:316,326929,326929,0,0,152897624975,1594216538,324247,2368,314,368,391857,0 108,2,2024-09-07 08:42:41:756,232581,232581,0,0,9691396,0,2647 108,3,2024-09-07 08:42:41:342,1,186,12,0,667,3451,186,0 109,0,2024-09-07 08:42:41:757,48149,0.4,47676,0.6,95686,0.4,127948,1.75 109,1,2024-09-07 08:42:40:591,326515,326515,0,0,152811109160,1602190585,323704,2314,497,383,392132,0 109,2,2024-09-07 08:42:40:924,235952,235952,0,0,10016913,0,3617 109,3,2024-09-07 08:42:41:140,1,186,1,0,249,2410,186,0 110,0,2024-09-07 08:42:41:761,42521,0.4,41352,0.6,86123,0.3,112462,1.75 110,1,2024-09-07 08:42:41:663,327860,327860,0,0,152705068174,1586931110,325234,1859,767,370,391667,0 110,2,2024-09-07 08:42:41:307,236382,236382,0,0,9059036,0,2915 110,3,2024-09-07 08:42:40:702,1,186,23,0,406,2508,186,0 111,0,2024-09-07 08:42:41:414,44960,0.2,44547,0.4,88935,0.1,119459,1.50 111,1,2024-09-07 08:42:41:030,328481,328481,0,0,153698403928,1593761745,326691,1457,333,382,391690,0 111,2,2024-09-07 08:42:41:116,234469,234469,0,0,9694146,0,2763 111,3,2024-09-07 08:42:40:922,1,186,1,0,379,2804,186,0 112,0,2024-09-07 08:42:40:918,50422,0.3,50391,0.4,100871,0.2,133709,1.50 112,1,2024-09-07 08:42:40:856,328258,328258,0,0,152780283694,1586796509,325925,1907,426,380,391580,0 112,2,2024-09-07 08:42:41:134,235367,235366,1,0,9731314,0,5036 112,3,2024-09-07 08:42:40:592,1,186,2,0,282,2023,186,0 113,0,2024-09-07 08:42:40:874,49195,0.4,49256,0.5,98962,0.3,132195,1.75 113,1,2024-09-07 08:42:41:686,328323,328323,0,0,154182647260,1594462848,325903,1932,488,366,391661,0 113,2,2024-09-07 08:42:41:306,236139,236139,0,0,8662618,0,3813 113,3,2024-09-07 08:42:40:690,1,186,6,0,340,3199,186,0 114,0,2024-09-07 08:42:40:877,43336,0.5,43960,0.6,86545,0.4,116179,1.75 114,1,2024-09-07 08:42:40:721,326832,326832,0,0,152357794987,1591653594,322699,2670,1463,381,391534,0 114,2,2024-09-07 08:42:40:873,236049,236049,0,0,9009339,0,3925 114,3,2024-09-07 08:42:41:278,1,186,12,0,395,2139,186,0 115,0,2024-09-07 08:42:40:573,43910,0.2,44392,0.4,88558,0.2,117695,1.50 115,1,2024-09-07 08:42:40:579,327194,327194,0,0,152895662030,1592375869,323548,2825,821,382,391602,0 115,2,2024-09-07 08:42:41:140,237422,237422,0,0,8435629,0,2152 115,3,2024-09-07 08:42:41:004,1,186,1,0,159,1157,186,0 116,0,2024-09-07 08:42:41:699,49823,0.5,49560,0.7,99352,0.5,132992,2.00 116,1,2024-09-07 08:42:40:806,324808,324808,0,0,152390027663,1617083395,319634,3416,1758,380,391677,0 116,2,2024-09-07 08:42:41:754,235108,235108,0,0,12074995,0,3529 116,3,2024-09-07 08:42:40:924,1,186,10,0,252,2646,186,0 117,0,2024-09-07 08:42:40:958,49679,1.1,49831,1.0,99501,1.5,133666,2.00 117,1,2024-09-07 08:42:41:591,326566,326566,0,0,152237545236,1597052859,322513,3578,475,370,392033,0 117,2,2024-09-07 08:42:41:118,234536,234536,0,0,9357573,0,3700 117,3,2024-09-07 08:42:41:059,1,186,3,0,490,3549,186,0 118,0,2024-09-07 08:42:41:778,43002,0.9,44305,0.9,89960,1.0,117351,2.25 118,1,2024-09-07 08:42:40:594,326422,326422,0,0,151969967108,1601973929,321268,3828,1326,366,391736,0 118,2,2024-09-07 08:42:41:605,235654,235654,0,0,10890900,0,2781 118,3,2024-09-07 08:42:41:765,1,186,8,0,235,2282,186,0 119,0,2024-09-07 08:42:41:422,42935,0.6,43285,0.8,87070,0.5,115259,2.00 119,1,2024-09-07 08:42:40:551,327594,327594,0,0,153177039938,1599605884,324535,2753,306,368,391641,0 119,2,2024-09-07 08:42:41:267,236352,236352,0,0,10243965,0,3526 119,3,2024-09-07 08:42:41:357,1,186,30,0,443,3123,186,0 120,0,2024-09-07 08:42:41:554,47858,0.4,47947,0.6,95937,0.4,128184,1.75 120,1,2024-09-07 08:42:40:865,327396,327396,0,0,152310797245,1597900544,323860,3249,287,368,391961,0 120,2,2024-09-07 08:42:40:770,235020,235019,1,0,12315612,0,5281 120,3,2024-09-07 08:42:41:290,1,186,1,0,241,2599,186,0 121,0,2024-09-07 08:42:41:702,49178,1.3,49606,1.1,98843,1.8,131690,2.25 121,1,2024-09-07 08:42:41:655,326944,326944,0,0,152548693497,1596113197,323694,2886,364,367,391840,0 121,2,2024-09-07 08:42:41:125,231950,231950,0,0,10912106,0,4127 121,3,2024-09-07 08:42:40:735,1,186,1,0,269,2508,186,0 122,0,2024-09-07 08:42:41:761,46611,1.1,45438,1.1,95273,1.3,125012,2.25 122,1,2024-09-07 08:42:40:867,326085,326085,0,0,152936314195,1605637488,321710,3666,709,366,392130,0 122,2,2024-09-07 08:42:41:319,236451,236451,0,0,12448500,0,3364 122,3,2024-09-07 08:42:40:604,1,186,8,0,411,3946,186,0 123,0,2024-09-07 08:42:40:954,42248,0.7,41188,0.8,85992,0.8,112222,2.00 123,1,2024-09-07 08:42:40:566,326285,326285,0,0,153229991192,1614688308,320177,5139,969,369,391823,0 123,2,2024-09-07 08:42:41:019,234201,234200,1,0,10987925,0,5215 123,3,2024-09-07 08:42:41:152,1,186,77,0,168,2266,186,0 124,0,2024-09-07 08:42:40:923,46846,0.3,46799,0.4,88355,0.2,121501,1.50 124,1,2024-09-07 08:42:41:022,327827,327827,0,0,152592730859,1584465648,325727,1744,356,367,392178,0 124,2,2024-09-07 08:42:41:010,235062,235062,0,0,8982827,0,3101 124,3,2024-09-07 08:42:40:758,1,186,1,0,490,2434,186,0 125,0,2024-09-07 08:42:41:424,49661,0.4,49613,0.5,99391,0.3,131840,1.75 125,1,2024-09-07 08:42:40:917,327469,327469,0,0,152084264500,1586911786,325093,2099,277,383,391702,0 125,2,2024-09-07 08:42:41:116,235539,235539,0,0,8935370,0,2180 125,3,2024-09-07 08:42:41:125,1,186,1,0,284,2373,186,0 126,0,2024-09-07 08:42:41:436,49343,0.7,50580,0.7,96720,0.7,131092,1.75 126,1,2024-09-07 08:42:40:606,327868,327868,0,0,153649771624,1591091607,326220,1569,79,365,391987,0 126,2,2024-09-07 08:42:40:617,237414,237414,0,0,9425903,0,3186 126,3,2024-09-07 08:42:40:908,1,186,16,0,150,2603,186,0 127,0,2024-09-07 08:42:41:629,42405,0.4,42596,0.5,85003,0.3,113091,1.75 127,1,2024-09-07 08:42:40:582,327299,327299,0,0,153806333821,1592709736,325187,2071,41,365,391816,0 127,2,2024-09-07 08:42:40:646,236007,236007,0,0,8808874,0,2264 127,3,2024-09-07 08:42:41:267,1,186,2,0,243,1597,186,0 128,0,2024-09-07 08:42:41:532,44595,0.3,44344,0.4,88850,0.2,118278,1.50 128,1,2024-09-07 08:42:41:614,328141,328141,0,0,153045493079,1585051116,326863,1172,106,367,391680,0 128,2,2024-09-07 08:42:41:385,235399,235399,0,0,8930996,0,2107 128,3,2024-09-07 08:42:40:769,1,186,187,0,333,2646,186,0 129,0,2024-09-07 08:42:41:014,51141,0.3,50940,0.5,101804,0.3,135197,1.50 129,1,2024-09-07 08:42:40:577,325921,325921,0,0,152109579413,1592485447,322920,2606,395,379,391835,0 129,2,2024-09-07 08:42:40:696,236173,236173,0,0,9199168,0,4031 129,3,2024-09-07 08:42:40:698,1,186,1,0,469,2590,186,0 130,0,2024-09-07 08:42:41:761,50377,0.7,50204,0.7,100612,0.8,134488,1.75 130,1,2024-09-07 08:42:40:591,327749,327749,0,0,152953230628,1592538361,325629,2047,73,381,391825,0 130,2,2024-09-07 08:42:41:141,235227,235227,0,0,9081291,0,4067 130,3,2024-09-07 08:42:41:297,1,186,8,0,450,2157,186,0 131,0,2024-09-07 08:42:41:954,43254,0.5,43540,0.6,87820,0.5,115906,1.75 131,1,2024-09-07 08:42:41:822,328380,328380,0,0,152760020784,1588015728,326901,1273,206,383,391865,0 131,2,2024-09-07 08:42:40:576,236717,236717,0,0,8747529,0,2415 131,3,2024-09-07 08:42:41:693,1,186,6,0,392,1945,186,0 132,0,2024-09-07 08:42:41:425,43589,0.4,44102,0.7,88156,0.4,117503,2.00 132,1,2024-09-07 08:42:40:586,325972,325972,0,0,152091617322,1606770609,320471,4468,1033,381,392097,0 132,2,2024-09-07 08:42:40:702,236111,236111,0,0,11882787,0,4606 132,3,2024-09-07 08:42:41:694,1,186,2,0,356,3207,186,0 133,0,2024-09-07 08:42:41:526,47808,0.4,48884,0.5,100154,0.3,130112,1.75 133,1,2024-09-07 08:42:40:590,325587,325587,0,0,152407891550,1606702114,321064,3881,642,383,391914,0 133,2,2024-09-07 08:42:41:095,235211,235211,0,0,12050958,0,4315 133,3,2024-09-07 08:42:41:297,1,186,0,0,276,1905,186,0 134,0,2024-09-07 08:42:40:960,49820,0.5,49806,0.7,100045,0.5,133242,2.00 134,1,2024-09-07 08:42:40:588,326325,326325,0,0,152221403785,1599607254,321261,3781,1283,366,391718,0 134,2,2024-09-07 08:42:41:759,232200,232200,0,0,9892271,0,3096 134,3,2024-09-07 08:42:40:749,1,186,8,0,739,3212,186,0 135,0,2024-09-07 08:42:41:099,43915,1.4,43908,1.2,93148,1.6,119651,2.50 135,1,2024-09-07 08:42:41:628,325926,325926,0,0,152755176912,1606289417,321643,3577,706,380,391805,0 135,2,2024-09-07 08:42:40:694,236546,236546,0,0,11129588,0,3981 135,3,2024-09-07 08:42:41:004,1,186,87,0,299,1415,186,0 136,0,2024-09-07 08:42:41:623,42727,0.5,42606,0.7,85572,0.4,113881,2.00 136,1,2024-09-07 08:42:41:445,326262,326262,0,0,152537071730,1599649315,322348,3627,287,382,391641,0 136,2,2024-09-07 08:42:41:138,237088,237088,0,0,10638688,0,3506 136,3,2024-09-07 08:42:41:106,1,186,2,0,108,1480,186,0 137,0,2024-09-07 08:42:40:955,48237,0.4,46698,0.6,92542,0.4,125594,1.75 137,1,2024-09-07 08:42:40:574,326286,326286,0,0,152253378605,1594526018,321646,4111,529,366,391708,0 137,2,2024-09-07 08:42:41:709,234649,234649,0,0,12085310,0,3185 137,3,2024-09-07 08:42:40:776,1,186,11,0,227,2085,186,0 138,0,2024-09-07 08:42:41:741,48820,1.1,48855,1.0,98241,1.4,130631,2.25 138,1,2024-09-07 08:42:41:686,326673,326673,0,0,153155721451,1602888608,322706,3532,435,368,391954,0 138,2,2024-09-07 08:42:40:593,234225,234225,0,0,9777341,0,3263 138,3,2024-09-07 08:42:40:620,1,186,12,0,1160,3332,186,0 139,0,2024-09-07 08:42:41:367,46860,2.7,46999,1.6,94932,3.9,126385,3.00 139,1,2024-09-07 08:42:40:586,324956,324956,0,0,151805277136,1611636550,318890,4386,1680,381,391892,0 139,2,2024-09-07 08:42:40:704,234798,234798,0,0,11246613,0,3097 139,3,2024-09-07 08:42:41:665,1,186,22,0,244,2060,186,0 140,0,2024-09-07 08:42:41:602,42721,0.3,42269,0.5,85150,0.3,112608,1.75 140,1,2024-09-07 08:42:41:537,328509,328509,0,0,154344586934,1590182420,326987,1282,240,365,391606,0 140,2,2024-09-07 08:42:40:689,236398,236398,0,0,9335722,0,3388 140,3,2024-09-07 08:42:40:770,1,186,106,0,247,1640,186,0 141,0,2024-09-07 08:42:41:713,44742,0.2,45888,0.4,87696,0.1,119494,1.50 141,1,2024-09-07 08:42:40:866,328354,328354,0,0,153795404975,1594690758,326398,1602,354,379,391614,0 141,2,2024-09-07 08:42:41:690,235251,235251,0,0,8775269,0,2342 141,3,2024-09-07 08:42:41:043,1,186,1,0,147,1397,186,0 142,0,2024-09-07 08:42:41:309,50863,0.3,50556,0.4,100313,0.2,133982,1.50 142,1,2024-09-07 08:42:40:596,327188,327188,0,0,152825273672,1590865209,325626,1401,161,383,391728,0 142,2,2024-09-07 08:42:41:300,234624,234592,32,0,10165937,0,6028 142,3,2024-09-07 08:42:41:746,1,186,12,0,484,2501,186,0 143,0,2024-09-07 08:42:41:371,49466,0.6,49426,0.7,99695,0.7,131995,1.75 143,1,2024-09-07 08:42:40:561,327697,327697,0,0,153117441983,1587803223,325549,2104,44,367,391619,0 143,2,2024-09-07 08:42:40:769,235000,235000,0,0,9359132,0,2669 143,3,2024-09-07 08:42:41:140,1,186,1,0,303,2723,186,0 144,0,2024-09-07 08:42:41:514,41527,0.7,42790,1.2,86846,0.6,114506,2.00 144,1,2024-09-07 08:42:40:573,326188,326188,0,0,152926067868,1601989587,323772,2212,204,381,391649,0 144,2,2024-09-07 08:42:41:756,235820,235820,0,0,9443533,0,3473 144,3,2024-09-07 08:42:41:747,1,186,20,0,249,2215,186,0 145,0,2024-09-07 08:42:41:358,42620,0.5,42640,0.7,90492,0.5,117118,2.25 145,1,2024-09-07 08:42:40:574,324896,324896,0,0,152260947998,1604881418,320048,4077,771,382,391615,0 145,2,2024-09-07 08:42:41:436,235197,235197,0,0,10780331,0,3903 145,3,2024-09-07 08:42:40:896,1,186,2,0,151,2053,186,0 146,0,2024-09-07 08:42:41:628,49660,0.4,49351,0.6,99345,0.4,132124,2.00 146,1,2024-09-07 08:42:41:607,327347,327347,0,0,153037858246,1606629844,322121,4204,1022,368,391770,0 146,2,2024-09-07 08:42:41:698,235564,235564,0,0,10150911,0,2498 146,3,2024-09-07 08:42:41:276,1,186,8,0,1520,5300,186,0 147,0,2024-09-07 08:42:41:727,49892,0.7,49735,0.9,98995,0.7,133280,2.25 147,1,2024-09-07 08:42:41:374,328218,328218,0,0,152828159425,1589992033,325079,2641,498,368,391791,0 147,2,2024-09-07 08:42:41:009,234513,234513,0,0,9065495,0,2789 147,3,2024-09-07 08:42:40:922,1,186,1,0,371,2164,186,0 0,0,2024-09-07 08:42:51:709,46921,0.4,46944,0.6,99530,0.3,129000,1.75 0,1,2024-09-07 08:42:50:821,328980,328980,0,0,154722765954,1617118281,326949,1894,137,372,391772,0 0,2,2024-09-07 08:42:51:067,237043,237043,0,0,9761106,0,4480 0,3,2024-09-07 08:42:50:981,1,187,26,0,247,2382,187,0 1,0,2024-09-07 08:42:51:844,49752,1.1,49440,1.1,99217,1.5,132435,2.25 1,1,2024-09-07 08:42:50:580,328591,328591,0,0,152881776382,1603120223,325137,2586,868,371,391857,0 1,2,2024-09-07 08:42:50:657,232918,232918,0,0,8857877,0,3267 1,3,2024-09-07 08:42:51:308,1,187,18,0,262,2322,187,0 2,0,2024-09-07 08:42:51:567,46795,1.0,47067,1.0,93378,1.4,124397,2.25 2,1,2024-09-07 08:42:50:865,329032,329032,0,0,154455090773,1604298359,327489,1337,206,380,391745,0 2,2,2024-09-07 08:42:51:274,237797,237797,0,0,9553430,0,3594 2,3,2024-09-07 08:42:50:690,1,187,8,0,357,1867,187,0 3,0,2024-09-07 08:42:51:759,42070,0.4,42326,0.6,84276,0.4,111986,1.75 3,1,2024-09-07 08:42:51:620,328507,328507,0,0,153399609047,1599765653,325304,2779,424,380,391516,0 3,2,2024-09-07 08:42:51:147,237772,237749,23,0,9749257,0,5851 3,3,2024-09-07 08:42:51:758,1,187,8,0,103,1181,187,0 4,0,2024-09-07 08:42:51:793,44422,0.3,45725,0.5,92937,0.2,122130,1.75 4,1,2024-09-07 08:42:50:592,328615,328615,0,0,153424394577,1611382311,325312,2774,529,371,391846,0 4,2,2024-09-07 08:42:51:027,234917,234917,0,0,11750147,0,4528 4,3,2024-09-07 08:42:51:026,1,187,3,0,448,2812,187,0 5,0,2024-09-07 08:42:51:407,49726,0.4,49767,0.6,99257,0.4,131882,1.75 5,1,2024-09-07 08:42:50:755,328163,328163,0,0,153375446715,1615599773,323636,3470,1057,368,392005,0 5,2,2024-09-07 08:42:51:843,234183,234183,0,0,10049512,0,2259 5,3,2024-09-07 08:42:51:732,1,187,1,0,278,2867,187,0 6,0,2024-09-07 08:42:50:916,49583,0.8,49107,0.9,97973,1.0,131045,2.25 6,1,2024-09-07 08:42:50:746,328764,328764,0,0,152989571367,1601665032,325054,3051,659,379,391634,0 6,2,2024-09-07 08:42:51:130,237294,237294,0,0,10199957,0,4816 6,3,2024-09-07 08:42:51:274,1,187,8,0,340,2472,187,0 7,0,2024-09-07 08:42:51:531,42227,0.5,42218,0.7,84369,0.4,112550,2.00 7,1,2024-09-07 08:42:50:850,328375,328375,0,0,153756464117,1612634663,324470,3567,338,382,391664,0 7,2,2024-09-07 08:42:50:769,236330,236330,0,0,9693524,0,2981 7,3,2024-09-07 08:42:50:858,1,187,19,0,305,1827,187,0 8,0,2024-09-07 08:42:51:375,44493,0.3,44779,0.4,88978,0.2,119181,1.50 8,1,2024-09-07 08:42:51:017,327522,327522,0,0,153302816916,1617380393,321533,4387,1602,367,391956,0 8,2,2024-09-07 08:42:50:825,233293,233293,0,0,11340140,0,2986 8,3,2024-09-07 08:42:50:586,1,187,15,0,357,2887,187,0 9,0,2024-09-07 08:42:51:121,51072,0.4,49553,0.5,103499,0.3,135620,1.75 9,1,2024-09-07 08:42:50:557,327846,327846,0,0,153708125103,1622990895,322539,3901,1406,370,392001,0 9,2,2024-09-07 08:42:51:088,236665,236665,0,0,10515780,0,3360 9,3,2024-09-07 08:42:51:752,1,187,1,0,496,2936,187,0 10,0,2024-09-07 08:42:51:609,50592,0.4,50262,0.5,100876,0.3,134542,1.75 10,1,2024-09-07 08:42:50:587,328378,328378,0,0,153277985335,1607683945,323569,4112,697,381,391741,0 10,2,2024-09-07 08:42:50:762,236147,236147,0,0,10681830,0,2940 10,3,2024-09-07 08:42:50:877,1,187,8,0,177,1339,187,0 11,0,2024-09-07 08:42:51:012,42882,0.6,41722,0.8,87291,0.6,116275,2.00 11,1,2024-09-07 08:42:50:572,328303,328303,0,0,153212239001,1610445441,322694,4314,1295,384,391537,0 11,2,2024-09-07 08:42:51:124,236807,236807,0,0,10369680,0,3411 11,3,2024-09-07 08:42:51:297,1,187,1,0,720,2969,187,0 12,0,2024-09-07 08:42:50:971,44581,0.3,44347,0.5,89045,0.2,118757,1.50 12,1,2024-09-07 08:42:50:933,328817,328817,0,0,152922457512,1596025214,325847,2553,417,370,391837,0 12,2,2024-09-07 08:42:51:541,238636,238636,0,0,10490138,0,3469 12,3,2024-09-07 08:42:51:059,1,187,3,0,358,3092,187,0 13,0,2024-09-07 08:42:51:407,49450,0.3,49381,0.5,98726,0.3,131484,1.50 13,1,2024-09-07 08:42:51:572,328247,328247,0,0,153341245930,1607392170,325805,2032,410,382,391717,0 13,2,2024-09-07 08:42:50:607,237934,237934,0,0,9731839,0,3287 13,3,2024-09-07 08:42:51:775,1,187,10,0,467,3477,187,0 14,0,2024-09-07 08:42:50:561,50410,0.4,50833,0.6,100496,0.4,134162,1.75 14,1,2024-09-07 08:42:51:560,330503,330503,0,0,153834828680,1593115488,328234,2105,164,364,391571,0 14,2,2024-09-07 08:42:50:764,233909,233909,0,0,9525181,0,2896 14,3,2024-09-07 08:42:51:122,1,187,16,0,1168,3098,187,0 15,0,2024-09-07 08:42:51:554,45210,0.8,45352,1.0,90808,0.9,120708,2.25 15,1,2024-09-07 08:42:51:608,328822,328822,0,0,154150699151,1602030822,326904,1808,110,381,391619,0 15,2,2024-09-07 08:42:50:998,238668,238668,0,0,8132214,0,3043 15,3,2024-09-07 08:42:51:412,1,187,5,0,1126,4643,187,0 16,0,2024-09-07 08:42:50:979,42960,0.4,43141,0.7,85735,0.4,114788,2.00 16,1,2024-09-07 08:42:50:570,329029,329029,0,0,153599908512,1606151067,326352,2404,273,370,391756,0 16,2,2024-09-07 08:42:51:446,237327,237327,0,0,10796255,0,4719 16,3,2024-09-07 08:42:51:153,1,187,3,0,231,2444,187,0 17,0,2024-09-07 08:42:51:765,48896,0.4,47702,0.6,93542,0.4,127026,1.75 17,1,2024-09-07 08:42:50:577,327999,327999,0,0,153291474763,1609445791,324578,2746,675,368,391688,0 17,2,2024-09-07 08:42:51:666,238743,238743,0,0,9561247,0,2857 17,3,2024-09-07 08:42:50:589,1,187,6,0,268,2914,187,0 18,0,2024-09-07 08:42:50:939,48612,0.8,48892,0.9,97830,1.0,130311,2.50 18,1,2024-09-07 08:42:51:638,329124,329124,0,0,153579365180,1593056806,327006,1883,235,367,391564,0 18,2,2024-09-07 08:42:51:755,234540,234540,0,0,9318197,0,3541 18,3,2024-09-07 08:42:50:896,1,187,7,0,163,2018,187,0 19,0,2024-09-07 08:42:51:538,47555,1.0,47982,1.0,94765,1.1,126092,2.50 19,1,2024-09-07 08:42:50:566,329076,329076,0,0,154771846099,1610296306,325259,3101,716,367,391777,0 19,2,2024-09-07 08:42:51:753,238963,238963,0,0,8795517,0,3988 19,3,2024-09-07 08:42:51:129,1,187,2,0,524,1600,187,0 20,0,2024-09-07 08:42:51:366,42268,0.5,42200,0.7,84703,0.5,112267,2.00 20,1,2024-09-07 08:42:50:585,328132,328132,0,0,154126561768,1615747952,324587,3151,394,369,391822,0 20,2,2024-09-07 08:42:50:939,237124,237124,0,0,10208095,0,3721 20,3,2024-09-07 08:42:50:597,1,187,20,0,414,3737,187,0 21,0,2024-09-07 08:42:51:162,45172,0.4,45359,0.6,90248,0.3,119936,1.75 21,1,2024-09-07 08:42:51:540,327626,327626,0,0,152559640990,1609250555,323068,3524,1034,368,391962,0 21,2,2024-09-07 08:42:51:104,235248,235248,0,0,11122754,0,3747 21,3,2024-09-07 08:42:51:409,1,187,11,0,103,2222,187,0 22,0,2024-09-07 08:42:51:722,50255,0.7,50277,0.8,100139,0.8,133082,2.25 22,1,2024-09-07 08:42:51:024,327862,327862,0,0,152921223790,1611973007,322148,4195,1519,382,391667,0 22,2,2024-09-07 08:42:50:764,235931,235931,0,0,9533662,0,3134 22,3,2024-09-07 08:42:51:066,1,187,8,0,228,1925,187,0 23,0,2024-09-07 08:42:51:371,49362,0.8,49394,0.8,99027,0.9,132202,2.50 23,1,2024-09-07 08:42:51:003,328254,328254,0,0,153944814616,1617422168,322422,3731,2101,365,391549,0 23,2,2024-09-07 08:42:51:110,236436,236436,0,0,9804504,0,3010 23,3,2024-09-07 08:42:51:754,1,187,1,0,645,2519,187,0 24,0,2024-09-07 08:42:50:829,43846,0.5,43843,0.6,87633,0.5,116675,1.75 24,1,2024-09-07 08:42:50:581,328321,328321,0,0,153214159918,1603847812,324881,2585,855,369,391640,0 24,2,2024-09-07 08:42:51:083,235918,235918,0,0,11252051,0,2942 24,3,2024-09-07 08:42:51:688,1,187,468,0,468,2578,187,0 25,0,2024-09-07 08:42:51:457,45582,0.4,44551,0.6,87226,0.3,118802,1.75 25,1,2024-09-07 08:42:50:559,328544,328544,0,0,154041095857,1614987260,324813,3187,544,371,391788,0 25,2,2024-09-07 08:42:51:606,236005,236005,0,0,11774215,0,3978 25,3,2024-09-07 08:42:51:001,1,187,7,0,255,2252,187,0 26,0,2024-09-07 08:42:51:732,49959,0.4,49017,0.5,102539,0.3,133799,1.75 26,1,2024-09-07 08:42:51:541,328976,328976,0,0,153006838541,1606377229,323740,4258,978,381,391748,0 26,2,2024-09-07 08:42:50:862,237569,237569,0,0,10951795,0,2809 26,3,2024-09-07 08:42:51:719,1,187,7,0,796,2352,187,0 27,0,2024-09-07 08:42:51:759,50531,0.5,50520,0.7,100097,0.5,133937,2.00 27,1,2024-09-07 08:42:51:680,330054,330054,0,0,154344365979,1603086870,328039,1692,323,381,391558,0 27,2,2024-09-07 08:42:50:881,233459,233459,0,0,10802081,0,3409 27,3,2024-09-07 08:42:51:015,1,187,1,0,564,2008,187,0 28,0,2024-09-07 08:42:51:389,44119,0.7,44270,0.8,89070,0.9,118491,2.25 28,1,2024-09-07 08:42:50:797,329525,329525,0,0,154229439272,1605422132,327339,1849,337,383,391646,0 28,2,2024-09-07 08:42:51:764,237780,237780,0,0,8938564,0,2915 28,3,2024-09-07 08:42:51:777,1,187,0,0,502,2127,187,0 29,0,2024-09-07 08:42:51:360,44576,0.3,43544,0.5,85105,0.2,116658,1.75 29,1,2024-09-07 08:42:51:563,330405,330405,0,0,154096618449,1595110446,327970,1891,544,369,391621,0 29,2,2024-09-07 08:42:50:865,237588,237588,0,0,8489133,0,4018 29,3,2024-09-07 08:42:50:969,1,187,0,0,115,1413,187,0 30,0,2024-09-07 08:42:51:463,48214,0.4,46992,0.6,98332,0.3,129550,2.00 30,1,2024-09-07 08:42:50:573,329604,329604,0,0,153786301678,1595328217,327605,1721,278,382,391672,0 30,2,2024-09-07 08:42:51:275,237918,237918,0,0,8530866,0,3161 30,3,2024-09-07 08:42:50:580,1,187,10,0,195,1305,187,0 31,0,2024-09-07 08:42:51:761,49103,0.5,49558,0.7,99638,0.5,132170,2.00 31,1,2024-09-07 08:42:50:564,331265,331265,0,0,154678497163,1584035803,330442,711,112,356,391712,0 31,2,2024-09-07 08:42:51:276,233271,233271,0,0,10021111,0,3525 31,3,2024-09-07 08:42:51:706,1,187,1,0,220,1577,187,0 32,0,2024-09-07 08:42:51:427,46691,0.5,47190,0.6,94498,0.4,125086,1.75 32,1,2024-09-07 08:42:50:808,329492,329492,0,0,154073238083,1598879050,327736,1480,276,381,391595,0 32,2,2024-09-07 08:42:50:947,238582,238582,0,0,8435293,0,3155 32,3,2024-09-07 08:42:51:016,1,187,16,0,227,1568,187,0 33,0,2024-09-07 08:42:51:501,42703,0.3,42048,0.5,84981,0.2,112772,1.75 33,1,2024-09-07 08:42:50:575,330317,330317,0,0,154508482400,1597522647,328053,2092,172,369,391730,0 33,2,2024-09-07 08:42:50:759,237696,237663,33,0,10582871,0,7012 33,3,2024-09-07 08:42:50:898,1,187,0,0,329,2027,187,0 34,0,2024-09-07 08:42:50:935,45984,0.3,47449,0.4,90875,0.2,122863,1.50 34,1,2024-09-07 08:42:51:044,330411,330411,0,0,154575218869,1590827317,329413,992,6,367,391562,0 34,2,2024-09-07 08:42:50:769,235478,235478,0,0,9574934,0,3577 34,3,2024-09-07 08:42:51:689,1,187,12,0,148,1278,187,0 35,0,2024-09-07 08:42:50:888,49236,0.4,49764,0.5,99500,0.3,132171,1.75 35,1,2024-09-07 08:42:51:068,328712,328712,0,0,153801712171,1596831417,325883,2059,770,384,391589,0 35,2,2024-09-07 08:42:51:590,236087,236087,0,0,8558121,0,2653 35,3,2024-09-07 08:42:50:919,1,187,2,0,418,2043,187,0 36,0,2024-09-07 08:42:51:534,49269,0.8,49190,0.9,98523,1.0,130590,2.50 36,1,2024-09-07 08:42:50:583,329062,329062,0,0,153214387818,1604691526,324118,3634,1310,366,391759,0 36,2,2024-09-07 08:42:51:751,236684,236684,0,0,10257991,0,3303 36,3,2024-09-07 08:42:50:863,1,187,1,0,378,3054,187,0 37,0,2024-09-07 08:42:51:374,42294,0.5,42242,0.7,84380,0.4,113349,2.00 37,1,2024-09-07 08:42:50:569,328156,328149,0,7,153113336306,1608866059,323134,2989,2026,365,391560,0 37,2,2024-09-07 08:42:51:146,236449,236434,15,0,10633072,0,5815 37,3,2024-09-07 08:42:51:766,1,187,5,0,724,2932,187,0 38,0,2024-09-07 08:42:51:473,44407,0.4,43081,0.6,90010,0.3,118473,2.00 38,1,2024-09-07 08:42:51:606,329470,329470,0,0,154482322119,1614890452,325096,3729,645,368,391821,0 38,2,2024-09-07 08:42:50:760,236046,235999,47,0,11971886,0,6710 38,3,2024-09-07 08:42:50:997,1,187,7,0,689,3081,187,0 39,0,2024-09-07 08:42:51:761,51984,0.7,50991,0.8,99075,0.8,135379,2.00 39,1,2024-09-07 08:42:50:718,329213,329213,0,0,154151405797,1606609913,325318,3093,802,365,391524,0 39,2,2024-09-07 08:42:51:417,236710,236710,0,0,9457040,0,2689 39,3,2024-09-07 08:42:50:714,1,187,1,0,293,2648,187,0 40,0,2024-09-07 08:42:51:489,49748,0.9,50366,1.1,100740,0.9,133949,3.00 40,1,2024-09-07 08:42:50:576,328285,328285,0,0,153238128298,1607368869,322897,4228,1160,368,391591,0 40,2,2024-09-07 08:42:51:308,234950,234949,1,0,11583206,0,5137 40,3,2024-09-07 08:42:51:152,1,187,0,0,181,1912,187,0 41,0,2024-09-07 08:42:51:022,42939,1.7,43774,1.5,83782,3.0,114724,4.00 41,1,2024-09-07 08:42:50:782,328514,328514,0,0,153900132496,1610185832,324052,3920,542,370,391742,0 41,2,2024-09-07 08:42:50:759,235722,235722,0,0,11217533,0,3356 41,3,2024-09-07 08:42:51:676,1,187,2,0,366,2332,187,0 42,0,2024-09-07 08:42:51:511,43800,0.5,44153,0.8,87894,0.4,116520,2.25 42,1,2024-09-07 08:42:51:522,327185,327185,0,0,152766026602,1606590971,321849,4274,1062,380,391675,0 42,2,2024-09-07 08:42:51:134,236980,236980,0,0,10884700,0,3568 42,3,2024-09-07 08:42:51:009,1,187,47,0,446,1551,187,0 43,0,2024-09-07 08:42:50:925,49223,0.5,47823,0.8,100151,0.5,131443,2.00 43,1,2024-09-07 08:42:50:693,328769,328769,0,0,154396319213,1608837177,325240,2734,795,366,391696,0 43,2,2024-09-07 08:42:51:736,237658,237658,0,0,10344709,0,3812 43,3,2024-09-07 08:42:51:749,1,187,467,0,467,2652,187,0 44,0,2024-09-07 08:42:50:863,50180,0.5,50493,0.7,100732,0.5,134764,1.75 44,1,2024-09-07 08:42:50:565,330219,330219,0,0,153776978631,1587633153,328134,1660,425,356,391809,0 44,2,2024-09-07 08:42:51:270,233213,233213,0,0,8174855,0,1877 44,3,2024-09-07 08:42:51:095,1,187,0,0,817,2176,187,0 45,0,2024-09-07 08:42:51:762,44802,1.0,43816,1.0,92030,1.1,121363,2.25 45,1,2024-09-07 08:42:51:009,329762,329762,0,0,154181676859,1596535044,328721,1031,10,382,391917,0 45,2,2024-09-07 08:42:51:270,238646,238646,0,0,8967815,0,3596 45,3,2024-09-07 08:42:50:934,1,187,1,0,226,1819,187,0 46,0,2024-09-07 08:42:51:005,42778,0.3,42694,0.6,85689,0.2,113952,1.75 46,1,2024-09-07 08:42:50:577,330146,330146,0,0,153918859125,1589515437,328336,1586,224,366,391524,0 46,2,2024-09-07 08:42:50:593,238154,238154,0,0,9039085,0,2920 46,3,2024-09-07 08:42:51:133,1,187,23,0,908,3163,187,0 47,0,2024-09-07 08:42:51:105,47565,0.3,47699,0.5,95658,0.2,126802,1.75 47,1,2024-09-07 08:42:50:567,330810,330810,0,0,153849391955,1586253968,329398,1395,17,366,391605,0 47,2,2024-09-07 08:42:50:908,237664,237664,0,0,9073806,0,2558 47,3,2024-09-07 08:42:51:124,1,187,0,0,529,1927,187,0 48,0,2024-09-07 08:42:51:502,49734,0.3,49354,0.4,98566,0.2,131194,1.50 48,1,2024-09-07 08:42:51:027,330319,330319,0,0,153497330994,1593696151,328252,1886,181,384,391710,0 48,2,2024-09-07 08:42:50:703,234553,234553,0,0,8096883,0,3031 48,3,2024-09-07 08:42:50:753,1,187,30,0,339,1566,187,0 49,0,2024-09-07 08:42:51:718,49195,0.5,48326,0.6,93834,0.5,128091,1.75 49,1,2024-09-07 08:42:51:021,328727,328727,0,0,154071665848,1605596231,325939,1948,840,382,391583,0 49,2,2024-09-07 08:42:51:797,239050,239050,0,0,8894758,0,3900 49,3,2024-09-07 08:42:51:421,1,187,8,0,408,2492,187,0 50,0,2024-09-07 08:42:51:528,42462,0.3,41966,0.5,84268,0.2,112303,1.75 50,1,2024-09-07 08:42:51:014,330979,330979,0,0,154116049789,1597055662,328380,2289,310,368,391530,0 50,2,2024-09-07 08:42:51:066,237561,237561,0,0,8835758,0,2263 50,3,2024-09-07 08:42:51:291,1,187,30,0,335,1512,187,0 51,0,2024-09-07 08:42:51:697,46148,0.2,45308,0.4,88343,0.2,120600,1.50 51,1,2024-09-07 08:42:51:683,329913,329913,0,0,155339666347,1603936704,327938,1189,786,365,391637,0 51,2,2024-09-07 08:42:51:320,235983,235983,0,0,8195954,0,3337 51,3,2024-09-07 08:42:51:027,1,187,0,0,162,974,187,0 52,0,2024-09-07 08:42:51:439,50769,0.5,50599,0.7,101032,0.5,133716,2.00 52,1,2024-09-07 08:42:50:576,328422,328422,0,0,152492096411,1605899533,322227,5035,1160,368,391722,0 52,2,2024-09-07 08:42:51:755,234872,234834,38,0,11014244,0,6742 52,3,2024-09-07 08:42:50:674,1,187,1,0,1782,3754,187,0 53,0,2024-09-07 08:42:51:729,49208,0.9,47849,0.9,100202,1.1,131307,2.50 53,1,2024-09-07 08:42:50:781,327853,327853,0,0,153417827278,1616386637,321702,4116,2035,367,391702,0 53,2,2024-09-07 08:42:51:298,236902,236902,0,0,9423281,0,2262 53,3,2024-09-07 08:42:50:697,1,187,1,0,271,1723,187,0 54,0,2024-09-07 08:42:51:627,42294,1.5,42814,1.1,84647,0.7,113981,3.25 54,1,2024-09-07 08:42:50:580,328887,328887,0,0,154474090944,1611074843,324780,3536,571,367,391659,0 54,2,2024-09-07 08:42:50:876,236564,236558,6,0,10812486,0,5382 54,3,2024-09-07 08:42:50:764,1,187,9,0,676,3335,187,0 55,0,2024-09-07 08:42:51:763,42937,0.5,44315,0.7,89599,0.4,116546,2.25 55,1,2024-09-07 08:42:50:770,328204,328204,0,0,152895011390,1598928125,322744,4673,787,365,391731,0 55,2,2024-09-07 08:42:50:739,235785,235785,0,0,10661173,0,3275 55,3,2024-09-07 08:42:50:674,1,187,24,0,304,2418,187,0 56,0,2024-09-07 08:42:51:604,51367,1.0,48558,1.0,99651,1.4,133655,2.50 56,1,2024-09-07 08:42:50:576,327959,327959,0,0,153952468024,1626240979,322709,4343,907,381,391678,0 56,2,2024-09-07 08:42:51:305,237099,237099,0,0,11376675,0,3567 56,3,2024-09-07 08:42:51:065,1,187,4,0,405,2480,187,0 57,0,2024-09-07 08:42:50:958,49326,2.1,49495,1.4,99015,3.0,132764,3.50 57,1,2024-09-07 08:42:51:001,328832,328832,0,0,153050148014,1602823630,325370,3045,417,367,391960,0 57,2,2024-09-07 08:42:51:320,234834,234834,0,0,11431531,0,3178 57,3,2024-09-07 08:42:51:742,1,187,11,0,359,2840,187,0 58,0,2024-09-07 08:42:50:594,43185,1.0,42023,1.1,87998,1.2,115063,2.75 58,1,2024-09-07 08:42:50:587,329088,329085,0,3,154426965759,1614234012,324595,3855,635,367,391517,3 58,2,2024-09-07 08:42:51:074,237109,237109,0,0,10567863,0,2549 58,3,2024-09-07 08:42:51:075,1,187,8,0,1043,2442,187,0 59,0,2024-09-07 08:42:51:740,43698,0.7,43296,0.9,86566,0.6,115419,2.75 59,1,2024-09-07 08:42:50:805,328546,328546,0,0,154223654660,1612616161,324496,3067,983,369,391525,0 59,2,2024-09-07 08:42:50:583,238805,238805,0,0,9754140,0,2604 59,3,2024-09-07 08:42:51:737,1,187,1,0,1015,2972,187,0 60,0,2024-09-07 08:42:51:751,48628,0.3,48477,0.5,97311,0.3,129498,1.75 60,1,2024-09-07 08:42:50:776,330293,330293,0,0,154358067819,1598016739,328829,1105,359,370,391761,0 60,2,2024-09-07 08:42:51:147,237230,237230,0,0,10337933,0,3811 60,3,2024-09-07 08:42:51:260,1,187,3,0,124,1717,187,0 61,0,2024-09-07 08:42:51:501,49552,1.0,49812,0.9,99369,1.4,132438,2.00 61,1,2024-09-07 08:42:50:776,328863,328863,0,0,154221734026,1614251832,325321,2989,553,382,391880,0 61,2,2024-09-07 08:42:51:124,234390,234390,0,0,9022822,0,2079 61,3,2024-09-07 08:42:51:686,1,187,2,0,265,2307,187,0 62,0,2024-09-07 08:42:51:711,47221,1.2,48180,1.0,91950,1.5,124905,2.25 62,1,2024-09-07 08:42:51:123,330875,330869,0,6,154236748201,1590051118,329175,1655,39,365,391715,6 62,2,2024-09-07 08:42:51:650,237399,237398,1,0,10577919,0,5555 62,3,2024-09-07 08:42:51:145,1,187,8,0,287,1280,187,0 63,0,2024-09-07 08:42:51:468,42328,0.5,42234,0.6,84685,0.4,112524,1.75 63,1,2024-09-07 08:42:50:805,330245,330239,0,6,154286344811,1596996673,328969,1243,27,381,391677,6 63,2,2024-09-07 08:42:50:762,237544,237544,0,0,8643599,0,2674 63,3,2024-09-07 08:42:51:734,1,187,5,0,667,2484,187,0 64,0,2024-09-07 08:42:51:546,45688,0.4,45765,0.6,91795,0.3,122676,1.75 64,1,2024-09-07 08:42:50:750,329346,329346,0,0,153462574856,1601529440,325871,2420,1055,370,391783,0 64,2,2024-09-07 08:42:51:149,237803,237784,19,0,9401070,0,6121 64,3,2024-09-07 08:42:51:156,1,187,7,0,265,2031,187,0 65,0,2024-09-07 08:42:51:683,48965,0.7,49475,0.8,98616,0.8,131216,2.25 65,1,2024-09-07 08:42:50:886,328404,328404,0,0,153293515275,1603403012,325698,2482,224,382,391770,0 65,2,2024-09-07 08:42:51:703,235580,235580,0,0,9902595,0,3367 65,3,2024-09-07 08:42:51:682,1,187,1,0,163,1760,187,0 66,0,2024-09-07 08:42:51:769,48729,0.6,48856,0.8,97992,0.6,129896,2.25 66,1,2024-09-07 08:42:51:293,330058,330058,0,0,153913836169,1598453051,328169,1716,173,380,391588,0 66,2,2024-09-07 08:42:51:136,239281,239281,0,0,9424742,0,4956 66,3,2024-09-07 08:42:51:085,1,187,1,0,291,1883,187,0 67,0,2024-09-07 08:42:51:420,42718,0.7,42471,0.8,85316,0.8,113417,2.25 67,1,2024-09-07 08:42:50:769,328904,328903,0,1,153904434009,1607185310,325426,2730,747,381,391787,1 67,2,2024-09-07 08:42:50:583,237800,237800,0,0,8884053,0,2889 67,3,2024-09-07 08:42:51:750,1,187,1,0,338,1674,187,0 68,0,2024-09-07 08:42:50:564,44696,0.5,44543,0.7,89065,0.4,118954,2.00 68,1,2024-09-07 08:42:50:573,328092,328092,0,0,152816684016,1604759200,324668,2322,1102,381,391953,0 68,2,2024-09-07 08:42:51:050,235473,235408,65,0,12414270,0,6698 68,3,2024-09-07 08:42:50:728,1,187,1,0,417,2375,187,0 69,0,2024-09-07 08:42:51:755,50651,0.9,50908,0.9,101172,1.1,134809,2.25 69,1,2024-09-07 08:42:51:038,327144,327144,0,0,153162102902,1617261292,322890,3039,1215,384,391994,0 69,2,2024-09-07 08:42:51:741,237272,237272,0,0,11271279,0,3701 69,3,2024-09-07 08:42:50:760,1,187,7,0,698,2963,187,0 70,0,2024-09-07 08:42:51:545,49903,1.5,49994,1.3,100288,1.0,133576,2.75 70,1,2024-09-07 08:42:50:808,328956,328956,0,0,154612375950,1606611523,326104,2349,503,366,391725,0 70,2,2024-09-07 08:42:51:330,235970,235970,0,0,10004190,0,4044 70,3,2024-09-07 08:42:50:747,1,187,12,0,854,2385,187,0 71,0,2024-09-07 08:42:51:358,42833,1.8,42813,1.5,85407,2.5,115006,3.50 71,1,2024-09-07 08:42:51:596,329014,329014,0,0,153903378628,1610199385,324642,3820,552,368,391682,0 71,2,2024-09-07 08:42:51:068,237475,237475,0,0,10576635,0,2579 71,3,2024-09-07 08:42:51:750,1,187,1,0,644,2961,187,0 72,0,2024-09-07 08:42:51:036,45673,0.5,44634,0.7,87107,0.4,118678,2.25 72,1,2024-09-07 08:42:51:042,328727,328727,0,0,153964283510,1608026387,324825,3154,748,369,391819,0 72,2,2024-09-07 08:42:51:755,236468,236468,0,0,11816901,0,2570 72,3,2024-09-07 08:42:51:754,1,187,29,0,364,3438,187,0 73,0,2024-09-07 08:42:51:107,48083,0.3,49291,0.5,100830,0.3,130957,2.00 73,1,2024-09-07 08:42:50:777,328872,328872,0,0,153806178511,1596524214,326748,2007,117,367,391750,0 73,2,2024-09-07 08:42:51:739,237809,237809,0,0,11168106,0,3482 73,3,2024-09-07 08:42:50:972,1,187,1,0,274,2718,187,0 74,0,2024-09-07 08:42:51:324,50733,0.5,51780,0.7,98625,0.5,134149,2.25 74,1,2024-09-07 08:42:50:636,328543,328543,0,0,153853723521,1606566793,325176,2620,747,382,391681,0 74,2,2024-09-07 08:42:51:009,233647,233647,0,0,10817839,0,4253 74,3,2024-09-07 08:42:51:458,1,187,12,0,522,2876,187,0 75,0,2024-09-07 08:42:51:774,45610,1.0,45282,1.1,90835,1.0,121698,2.50 75,1,2024-09-07 08:42:51:590,328196,328196,0,0,153548212889,1608258939,324076,3459,661,381,391579,0 75,2,2024-09-07 08:42:51:349,237663,237663,0,0,10697518,0,4766 75,3,2024-09-07 08:42:51:066,1,187,0,0,535,2275,187,0 76,0,2024-09-07 08:42:50:584,42753,0.4,42367,0.6,84651,0.3,114064,2.00 76,1,2024-09-07 08:42:50:807,328952,328952,0,0,153548511239,1599826243,326870,1570,512,382,391692,0 76,2,2024-09-07 08:42:51:065,238509,238509,0,0,9211685,0,3064 76,3,2024-09-07 08:42:51:157,1,187,26,0,175,2139,187,0 77,0,2024-09-07 08:42:51:703,47408,0.4,47791,0.6,95165,0.3,126524,1.75 77,1,2024-09-07 08:42:50:832,330242,330242,0,0,153813723908,1602057947,328516,1638,88,383,391808,0 77,2,2024-09-07 08:42:51:288,236282,236282,0,0,9509370,0,3890 77,3,2024-09-07 08:42:51:096,1,187,3,0,305,2204,187,0 78,0,2024-09-07 08:42:51:720,49392,0.4,49081,0.7,98940,0.4,130782,2.00 78,1,2024-09-07 08:42:50:613,329547,329547,0,0,152991925380,1588689012,327209,2085,253,367,391646,0 78,2,2024-09-07 08:42:51:412,235435,235435,0,0,8644565,0,2114 78,3,2024-09-07 08:42:51:132,1,187,8,0,181,1788,187,0 79,0,2024-09-07 08:42:51:348,46485,0.5,47553,0.7,97413,0.5,126405,2.50 79,1,2024-09-07 08:42:50:577,330468,330468,0,0,154158968449,1595087638,328215,2079,174,369,391682,0 79,2,2024-09-07 08:42:51:067,238733,238733,0,0,8852112,0,3212 79,3,2024-09-07 08:42:50:749,1,187,2,0,418,2972,187,0 80,0,2024-09-07 08:42:51:086,42125,0.5,43393,0.7,83061,0.5,112322,2.00 80,1,2024-09-07 08:42:51:620,328576,328576,0,0,153370215251,1596235894,326035,2377,164,368,391791,0 80,2,2024-09-07 08:42:51:096,237959,237959,0,0,9573768,0,4433 80,3,2024-09-07 08:42:50:576,1,187,1,0,190,2802,187,0 81,0,2024-09-07 08:42:51:598,45128,0.4,46440,0.6,88997,0.4,120188,1.75 81,1,2024-09-07 08:42:51:653,328976,328976,0,0,153368849733,1602599753,326386,2316,274,382,391879,0 81,2,2024-09-07 08:42:51:142,235716,235716,0,0,9812721,0,3993 81,3,2024-09-07 08:42:51:130,1,187,13,0,193,1878,187,0 82,0,2024-09-07 08:42:51:538,50172,0.4,50474,0.6,101050,0.4,133872,1.75 82,1,2024-09-07 08:42:50:583,329529,329525,0,4,153994299844,1604603466,327010,1937,578,381,391558,4 82,2,2024-09-07 08:42:51:691,236621,236621,0,0,9217511,0,3986 82,3,2024-09-07 08:42:51:752,1,187,15,0,227,2037,187,0 83,0,2024-09-07 08:42:51:550,49678,0.7,49701,0.8,98709,0.7,131705,2.00 83,1,2024-09-07 08:42:50:553,329070,329070,0,0,153837297892,1606015256,326436,2410,224,382,391690,0 83,2,2024-09-07 08:42:50:764,236221,236221,0,0,9023637,0,3119 83,3,2024-09-07 08:42:50:749,1,187,4,0,241,1942,187,0 84,0,2024-09-07 08:42:51:777,42877,1.1,42754,1.2,85944,0.9,115096,2.50 84,1,2024-09-07 08:42:51:043,328246,328246,0,0,152962193561,1603414770,324281,3398,567,368,391967,0 84,2,2024-09-07 08:42:50:598,236867,236867,0,0,10700815,0,3801 84,3,2024-09-07 08:42:51:146,1,187,1,0,353,2547,187,0 85,0,2024-09-07 08:42:51:002,42836,0.6,42795,0.8,90836,0.6,117369,2.25 85,1,2024-09-07 08:42:50:565,327879,327879,0,0,152408227358,1614403600,322097,4687,1095,382,392006,0 85,2,2024-09-07 08:42:50:869,237265,237265,0,0,11427889,0,3656 85,3,2024-09-07 08:42:50:687,1,187,1,0,789,2775,187,0 86,0,2024-09-07 08:42:50:936,50240,0.5,51651,0.7,98864,0.5,133717,2.00 86,1,2024-09-07 08:42:50:834,328731,328731,0,0,154217840847,1615114123,324700,3486,545,366,391961,0 86,2,2024-09-07 08:42:50:864,235875,235874,1,0,11707261,0,5004 86,3,2024-09-07 08:42:50:586,1,187,17,0,286,2588,187,0 87,0,2024-09-07 08:42:51:291,50061,1.3,49820,1.1,100113,1.9,134223,2.50 87,1,2024-09-07 08:42:50:552,328493,328493,0,0,153249418679,1606545336,324641,3392,460,366,391788,0 87,2,2024-09-07 08:42:51:088,233793,233793,0,0,9871339,0,3515 87,3,2024-09-07 08:42:51:793,1,187,1,0,473,3533,187,0 88,0,2024-09-07 08:42:51:579,44353,0.6,44557,0.7,89046,0.7,118391,1.75 88,1,2024-09-07 08:42:50:593,327532,327532,0,0,153107045681,1609267758,322429,3668,1435,365,392084,0 88,2,2024-09-07 08:42:50:689,237049,237049,0,0,11415529,0,3583 88,3,2024-09-07 08:42:51:409,1,187,16,0,435,2440,187,0 89,0,2024-09-07 08:42:51:779,44754,0.4,43411,0.6,86018,0.3,116740,1.75 89,1,2024-09-07 08:42:50:558,326890,326890,0,0,153494065689,1621502710,321254,4698,938,382,391866,0 89,2,2024-09-07 08:42:51:145,237496,237496,0,0,11354308,0,2910 89,3,2024-09-07 08:42:51:793,1,187,27,0,325,3376,187,0 90,0,2024-09-07 08:42:51:807,47203,0.4,48212,0.5,98944,0.3,129199,1.75 90,1,2024-09-07 08:42:50:593,328795,328795,0,0,153324183256,1607823743,325505,3031,259,381,391825,0 90,2,2024-09-07 08:42:51:424,235764,235764,0,0,12214882,0,3060 90,3,2024-09-07 08:42:50:931,1,187,8,0,200,2108,187,0 91,0,2024-09-07 08:42:50:931,50203,0.4,48394,0.6,100861,0.4,132740,1.75 91,1,2024-09-07 08:42:50:561,328281,328281,0,0,154114484252,1617768012,324397,3384,500,381,392047,0 91,2,2024-09-07 08:42:51:339,232798,232798,0,0,10924368,0,2445 91,3,2024-09-07 08:42:50:600,1,187,1,0,216,2018,187,0 92,0,2024-09-07 08:42:51:513,47342,0.7,48509,0.8,92797,0.8,125250,1.75 92,1,2024-09-07 08:42:50:582,328798,328798,0,0,153092539250,1597165954,326588,1806,404,382,392136,0 92,2,2024-09-07 08:42:51:351,239926,239926,0,0,9337637,0,2801 92,3,2024-09-07 08:42:51:016,1,187,9,0,167,1580,187,0 93,0,2024-09-07 08:42:50:976,42531,0.4,43626,0.6,83364,0.3,112963,1.75 93,1,2024-09-07 08:42:50:808,329104,329104,0,0,153841400210,1604488004,325956,2595,553,366,391692,0 93,2,2024-09-07 08:42:50:929,237950,237950,0,0,9407292,0,2509 93,3,2024-09-07 08:42:51:412,1,187,8,0,143,1925,187,0 94,0,2024-09-07 08:42:51:645,45841,0.3,46437,0.4,92225,0.2,122573,1.50 94,1,2024-09-07 08:42:50:568,328436,328436,0,0,153503060615,1603021294,325978,2362,96,381,391850,0 94,2,2024-09-07 08:42:50:761,235683,235683,0,0,8914463,0,2443 94,3,2024-09-07 08:42:51:701,1,187,8,0,264,2593,187,0 95,0,2024-09-07 08:42:51:401,49877,0.3,49582,0.5,100050,0.3,132046,1.75 95,1,2024-09-07 08:42:50:852,329383,329383,0,0,154209947448,1601704603,326983,2215,185,367,391662,0 95,2,2024-09-07 08:42:51:021,235445,235445,0,0,9244890,0,3308 95,3,2024-09-07 08:42:51:709,1,187,0,0,718,3307,187,0 96,0,2024-09-07 08:42:51:215,49309,0.5,49489,0.6,98898,0.5,130522,1.75 96,1,2024-09-07 08:42:51:624,329013,329013,0,0,154163652274,1611563852,326280,2086,647,385,391894,0 96,2,2024-09-07 08:42:51:285,237441,237441,0,0,9915279,0,4042 96,3,2024-09-07 08:42:51:176,1,187,8,0,188,2023,187,0 97,0,2024-09-07 08:42:51:338,42903,0.4,42597,0.5,85449,0.3,113746,1.75 97,1,2024-09-07 08:42:50:763,329976,329976,0,0,154118224904,1601453890,327161,2262,553,367,392140,0 97,2,2024-09-07 08:42:50:617,237001,237001,0,0,9217204,0,3036 97,3,2024-09-07 08:42:50:569,1,187,7,0,165,2148,187,0 98,0,2024-09-07 08:42:51:773,44764,0.2,44604,0.4,89565,0.2,119433,1.50 98,1,2024-09-07 08:42:50:588,329357,329357,0,0,153170655417,1595599601,327504,1760,93,382,391997,0 98,2,2024-09-07 08:42:50:769,237361,237361,0,0,9465382,0,3080 98,3,2024-09-07 08:42:50:714,1,187,8,0,840,3400,187,0 99,0,2024-09-07 08:42:51:459,51059,0.3,51046,0.4,101790,0.3,135906,1.50 99,1,2024-09-07 08:42:51:724,329671,329671,0,0,153121516856,1598584214,326367,2591,713,381,391744,0 99,2,2024-09-07 08:42:51:419,238595,238595,0,0,9372416,0,2615 99,3,2024-09-07 08:42:50:583,1,187,49,0,129,1356,187,0 100,0,2024-09-07 08:42:51:474,50212,1.0,50251,1.2,100311,1.7,134279,2.50 100,1,2024-09-07 08:42:50:553,326936,326936,0,0,153285779705,1624202845,321451,4552,933,381,391989,0 100,2,2024-09-07 08:42:51:819,234158,234147,11,0,11185741,0,5417 100,3,2024-09-07 08:42:51:732,1,187,4,0,559,3854,187,0 101,0,2024-09-07 08:42:51:756,44129,2.5,43086,1.5,84255,2.6,116255,2.75 101,1,2024-09-07 08:42:50:557,327350,327350,0,0,152977113912,1617481637,321374,4454,1522,368,391769,0 101,2,2024-09-07 08:42:51:775,236361,236361,0,0,12102617,0,4644 101,3,2024-09-07 08:42:50:947,1,187,1,0,448,2396,187,0 102,0,2024-09-07 08:42:50:952,43168,0.6,44703,0.8,89993,0.5,118168,2.00 102,1,2024-09-07 08:42:51:147,328036,328036,0,0,153509271943,1615969340,323401,3918,717,369,391883,0 102,2,2024-09-07 08:42:51:738,237560,237506,54,0,11702535,0,6768 102,3,2024-09-07 08:42:51:622,1,187,8,0,410,1894,187,0 103,0,2024-09-07 08:42:51:650,50739,0.4,50795,0.6,95765,0.3,131865,1.75 103,1,2024-09-07 08:42:51:641,327120,327120,0,0,153110985843,1616602377,321876,3919,1325,381,391829,0 103,2,2024-09-07 08:42:50:582,236535,236535,0,0,10217825,0,2104 103,3,2024-09-07 08:42:50:755,1,187,0,0,916,3111,187,0 104,0,2024-09-07 08:42:51:018,49781,1.1,50119,1.1,99081,1.2,134095,2.25 104,1,2024-09-07 08:42:51:607,329010,329010,0,0,153297065446,1614722144,323868,4174,968,365,391948,0 104,2,2024-09-07 08:42:51:666,233092,233092,0,0,10731097,0,3941 104,3,2024-09-07 08:42:51:429,1,187,4,1,1245,5510,187,0 105,0,2024-09-07 08:42:51:086,44986,1.5,43660,1.3,91356,2.3,120574,3.50 105,1,2024-09-07 08:42:50:562,328910,328910,0,0,153082486785,1605974344,324376,3736,798,367,391797,0 105,2,2024-09-07 08:42:51:326,237083,237083,0,0,10416970,0,3509 105,3,2024-09-07 08:42:51:309,1,187,3,0,399,2895,187,0 106,0,2024-09-07 08:42:50:961,41406,0.6,42529,0.8,86710,0.6,113698,2.25 106,1,2024-09-07 08:42:51:763,328812,328812,0,0,153554727390,1612411529,324029,4326,457,369,391767,0 106,2,2024-09-07 08:42:50:756,236735,236735,0,0,10847007,0,2795 106,3,2024-09-07 08:42:50:680,1,187,15,0,405,2544,187,0 107,0,2024-09-07 08:42:51:111,47330,0.4,47401,0.6,94394,0.4,125920,1.75 107,1,2024-09-07 08:42:50:588,328252,328252,0,0,152798220811,1605400474,325042,2975,235,381,392234,0 107,2,2024-09-07 08:42:51:301,236130,236129,1,0,10766641,0,5024 107,3,2024-09-07 08:42:51:766,1,187,3,0,353,2687,187,0 108,0,2024-09-07 08:42:51:834,49505,0.4,49601,0.6,98437,0.4,131242,1.75 108,1,2024-09-07 08:42:51:294,328582,328582,0,0,153681612351,1602626800,325867,2401,314,368,391857,0 108,2,2024-09-07 08:42:51:759,233231,233231,0,0,9708053,0,2647 108,3,2024-09-07 08:42:51:331,1,187,15,0,667,3466,187,0 109,0,2024-09-07 08:42:51:763,48298,0.4,47819,0.6,95925,0.4,128294,1.75 109,1,2024-09-07 08:42:50:584,328329,328329,0,0,153820334871,1612686841,325518,2314,497,383,392132,0 109,2,2024-09-07 08:42:50:928,237472,237472,0,0,10064495,0,3617 109,3,2024-09-07 08:42:51:145,1,187,16,0,249,2426,187,0 110,0,2024-09-07 08:42:51:747,42527,0.4,41369,0.6,86152,0.3,112462,1.75 110,1,2024-09-07 08:42:51:656,329578,329578,0,0,153505097453,1595187411,326952,1859,767,370,391667,0 110,2,2024-09-07 08:42:51:304,237819,237819,0,0,9159541,0,2915 110,3,2024-09-07 08:42:50:690,1,187,13,0,406,2521,187,0 111,0,2024-09-07 08:42:51:449,45321,0.2,44882,0.4,89634,0.1,120353,1.50 111,1,2024-09-07 08:42:51:017,330191,330191,0,0,154478132045,1601730769,328401,1457,333,382,391690,0 111,2,2024-09-07 08:42:51:126,235722,235722,0,0,9734743,0,2763 111,3,2024-09-07 08:42:50:913,1,187,8,0,379,2812,187,0 112,0,2024-09-07 08:42:50:915,50520,0.3,50492,0.4,101065,0.2,133960,1.50 112,1,2024-09-07 08:42:50:825,330052,330052,0,0,153422802992,1593442468,327719,1907,426,380,391580,0 112,2,2024-09-07 08:42:51:133,236425,236424,1,0,9765259,0,5036 112,3,2024-09-07 08:42:50:591,1,187,7,0,282,2030,187,0 113,0,2024-09-07 08:42:50:873,49408,0.4,49487,0.5,99378,0.3,132796,1.75 113,1,2024-09-07 08:42:51:689,330106,330106,0,0,155199849177,1604775781,327686,1932,488,366,391661,0 113,2,2024-09-07 08:42:51:303,237694,237694,0,0,8695283,0,3813 113,3,2024-09-07 08:42:50:684,1,187,1,0,340,3200,187,0 114,0,2024-09-07 08:42:50:889,43663,0.5,44257,0.6,87172,0.4,117051,1.75 114,1,2024-09-07 08:42:50:722,328652,328652,0,0,153253687603,1600793428,324519,2670,1463,381,391534,0 114,2,2024-09-07 08:42:50:877,237325,237325,0,0,9061475,0,3925 114,3,2024-09-07 08:42:51:278,1,187,8,0,395,2147,187,0 115,0,2024-09-07 08:42:50:564,44206,0.2,44671,0.4,89146,0.2,118405,1.50 115,1,2024-09-07 08:42:50:571,328925,328925,0,0,153768882997,1601589774,325250,2854,821,382,391602,0 115,2,2024-09-07 08:42:51:131,238541,238541,0,0,8457462,0,2152 115,3,2024-09-07 08:42:51:002,1,187,5,0,159,1162,187,0 116,0,2024-09-07 08:42:51:753,50295,0.6,50050,0.8,100301,0.6,134569,2.00 116,1,2024-09-07 08:42:50:806,326303,326303,0,0,153038305037,1626462161,320554,3699,2050,380,391677,0 116,2,2024-09-07 08:42:51:759,236244,236244,0,0,12444496,0,3529 116,3,2024-09-07 08:42:50:914,1,187,0,0,252,2646,187,0 117,0,2024-09-07 08:42:50:960,50038,1.1,50155,1.0,100121,1.5,134478,2.00 117,1,2024-09-07 08:42:51:608,328175,328175,0,0,152966650863,1604663227,324120,3580,475,370,392033,0 117,2,2024-09-07 08:42:51:135,235886,235886,0,0,9473263,0,3700 117,3,2024-09-07 08:42:51:066,1,187,2,0,490,3551,187,0 118,0,2024-09-07 08:42:51:787,43143,0.9,44457,0.9,90303,1.0,117744,2.25 118,1,2024-09-07 08:42:50:585,328043,328043,0,0,152757583239,1611925205,322471,4152,1420,366,391736,0 118,2,2024-09-07 08:42:51:593,236804,236804,0,0,10977857,0,2781 118,3,2024-09-07 08:42:51:765,1,187,10,0,235,2292,187,0 119,0,2024-09-07 08:42:51:356,43190,0.6,43509,0.8,87553,0.5,115924,2.00 119,1,2024-09-07 08:42:50:553,329303,329303,0,0,154038310815,1608402711,326244,2753,306,368,391641,0 119,2,2024-09-07 08:42:51:266,237819,237819,0,0,10290894,0,3526 119,3,2024-09-07 08:42:51:326,1,187,1,0,443,3124,187,0 120,0,2024-09-07 08:42:51:582,48256,0.4,48339,0.6,96723,0.4,129136,1.75 120,1,2024-09-07 08:42:50:868,329056,329056,0,0,153060723091,1606072124,325502,3267,287,368,391961,0 120,2,2024-09-07 08:42:50:771,236311,236310,1,0,12400004,0,5281 120,3,2024-09-07 08:42:51:309,1,187,9,0,241,2608,187,0 121,0,2024-09-07 08:42:51:748,49345,1.3,49787,1.1,99173,1.8,132132,2.25 121,1,2024-09-07 08:42:51:663,328681,328681,0,0,153407990628,1605733904,325331,2986,364,367,391840,0 121,2,2024-09-07 08:42:51:133,232756,232756,0,0,10980595,0,4127 121,3,2024-09-07 08:42:50:733,1,187,4,0,269,2512,187,0 122,0,2024-09-07 08:42:51:765,46721,1.1,45520,1.1,95459,1.3,125273,2.25 122,1,2024-09-07 08:42:50:862,327886,327886,0,0,153809712651,1615723894,323304,3873,709,366,392130,0 122,2,2024-09-07 08:42:51:320,237842,237842,0,0,12766422,0,3364 122,3,2024-09-07 08:42:50:593,1,187,10,0,411,3956,187,0 123,0,2024-09-07 08:42:50:975,42346,0.7,41292,0.8,86224,0.8,112528,2.00 123,1,2024-09-07 08:42:50:558,328228,328228,0,0,154068559060,1626339404,321660,5274,1294,369,391823,0 123,2,2024-09-07 08:42:51:019,235703,235702,1,0,11120839,0,5215 123,3,2024-09-07 08:42:51:133,1,187,5,0,168,2271,187,0 124,0,2024-09-07 08:42:51:072,47358,0.3,47320,0.4,89299,0.2,122845,1.50 124,1,2024-09-07 08:42:51:071,329548,329548,0,0,153406235774,1592762413,327448,1744,356,367,392178,0 124,2,2024-09-07 08:42:51:049,236395,236395,0,0,9045219,0,3101 124,3,2024-09-07 08:42:50:761,1,187,22,0,490,2456,187,0 125,0,2024-09-07 08:42:51:536,49760,0.4,49723,0.5,99612,0.3,132141,1.75 125,1,2024-09-07 08:42:50:861,329103,329103,0,0,152979389480,1596243211,326726,2100,277,383,391702,0 125,2,2024-09-07 08:42:51:131,236342,236342,0,0,8958008,0,2180 125,3,2024-09-07 08:42:51:131,1,187,2,0,284,2375,187,0 126,0,2024-09-07 08:42:51:450,49429,0.7,50702,0.7,96951,0.7,131345,1.75 126,1,2024-09-07 08:42:50:553,329662,329662,0,0,154582322607,1600576356,328014,1569,79,365,391987,0 126,2,2024-09-07 08:42:50:610,239001,239001,0,0,9498102,0,3186 126,3,2024-09-07 08:42:50:908,1,187,12,0,150,2615,187,0 127,0,2024-09-07 08:42:51:616,42612,0.4,42799,0.5,85402,0.3,113624,1.75 127,1,2024-09-07 08:42:50:586,329081,329081,0,0,154476478151,1599698582,326969,2071,41,365,391816,0 127,2,2024-09-07 08:42:50:647,237489,237489,0,0,8878406,0,2264 127,3,2024-09-07 08:42:51:269,1,187,1,0,243,1598,187,0 128,0,2024-09-07 08:42:51:561,45000,0.3,44805,0.4,89684,0.2,119442,1.50 128,1,2024-09-07 08:42:51:638,329919,329919,0,0,153887846306,1593662929,328641,1172,106,367,391680,0 128,2,2024-09-07 08:42:51:424,236465,236465,0,0,8961285,0,2107 128,3,2024-09-07 08:42:50:774,1,187,1,0,333,2647,187,0 129,0,2024-09-07 08:42:51:023,51298,0.3,51092,0.5,102104,0.3,135508,1.50 129,1,2024-09-07 08:42:50:569,327687,327687,0,0,152885296642,1600788591,324658,2634,395,379,391835,0 129,2,2024-09-07 08:42:50:713,237345,237345,0,0,9227041,0,4031 129,3,2024-09-07 08:42:50:704,1,187,1,0,469,2591,187,0 130,0,2024-09-07 08:42:51:792,50678,0.7,50503,0.7,101199,0.8,135293,1.75 130,1,2024-09-07 08:42:50:585,329554,329554,0,0,153799406378,1601275501,327434,2047,73,381,391825,0 130,2,2024-09-07 08:42:51:131,236450,236450,0,0,9150807,0,4067 130,3,2024-09-07 08:42:51:291,1,187,7,0,450,2164,187,0 131,0,2024-09-07 08:42:51:947,43477,0.5,43745,0.6,88247,0.5,116487,1.75 131,1,2024-09-07 08:42:51:847,330172,330172,0,0,153607567573,1596814266,328693,1273,206,383,391865,0 131,2,2024-09-07 08:42:50:567,238146,238146,0,0,8789194,0,2415 131,3,2024-09-07 08:42:51:688,1,187,2,0,392,1947,187,0 132,0,2024-09-07 08:42:51:474,44026,0.5,44547,0.7,89036,0.4,118575,2.00 132,1,2024-09-07 08:42:50:586,327794,327794,0,0,152804823967,1615060048,322090,4639,1065,381,392097,0 132,2,2024-09-07 08:42:50:698,237337,237337,0,0,11931661,0,4606 132,3,2024-09-07 08:42:51:689,1,187,15,0,356,3222,187,0 133,0,2024-09-07 08:42:51:560,48100,0.4,49164,0.6,100726,0.3,130840,1.75 133,1,2024-09-07 08:42:50:591,327223,327223,0,0,153009855733,1613565466,322628,3952,643,383,391914,0 133,2,2024-09-07 08:42:51:094,236439,236439,0,0,12115990,0,4315 133,3,2024-09-07 08:42:51:310,1,187,130,0,276,2035,187,0 134,0,2024-09-07 08:42:50:947,50162,0.5,50158,0.7,100667,0.5,134152,2.00 134,1,2024-09-07 08:42:50:591,327986,327986,0,0,152835140885,1606247619,322921,3782,1283,366,391718,0 134,2,2024-09-07 08:42:51:764,233184,233184,0,0,10230656,0,3847 134,3,2024-09-07 08:42:50:749,1,187,1,0,739,3213,187,0 135,0,2024-09-07 08:42:51:109,44098,1.4,44077,1.2,93502,1.6,120140,2.50 135,1,2024-09-07 08:42:51:585,327309,327309,0,0,153684374063,1617370403,322650,3849,810,380,391805,0 135,2,2024-09-07 08:42:50:688,237730,237730,0,0,11391232,0,3981 135,3,2024-09-07 08:42:51:002,1,187,1,0,299,1416,187,0 136,0,2024-09-07 08:42:51:666,43072,0.5,42939,0.7,86295,0.4,114811,2.00 136,1,2024-09-07 08:42:51:456,327717,327717,0,0,153265508487,1609314850,323370,3878,469,382,391641,0 136,2,2024-09-07 08:42:51:133,238557,238557,0,0,10828026,0,3506 136,3,2024-09-07 08:42:51:122,1,187,4,0,108,1484,187,0 137,0,2024-09-07 08:42:50:932,48660,0.5,47156,0.7,93368,0.4,126510,1.75 137,1,2024-09-07 08:42:50:576,327919,327919,0,0,152954930256,1602191398,323251,4139,529,366,391708,0 137,2,2024-09-07 08:42:51:710,236108,236108,0,0,12227933,0,3185 137,3,2024-09-07 08:42:50:775,1,187,10,0,227,2095,187,0 138,0,2024-09-07 08:42:51:741,48941,1.1,48979,1.0,98473,1.4,130965,2.25 138,1,2024-09-07 08:42:51:685,328302,328302,0,0,153807873843,1610830795,324059,3755,488,368,391954,0 138,2,2024-09-07 08:42:50:587,234861,234861,0,0,9863438,0,3263 138,3,2024-09-07 08:42:50:611,1,187,17,0,1160,3349,187,0 139,0,2024-09-07 08:42:51:368,46995,2.7,47117,1.6,95166,3.9,126729,3.00 139,1,2024-09-07 08:42:50:572,326542,326542,0,0,152671525772,1620896348,320461,4401,1680,381,391892,0 139,2,2024-09-07 08:42:50:696,236198,236198,0,0,11440478,0,3097 139,3,2024-09-07 08:42:51:665,1,187,4,0,244,2064,187,0 140,0,2024-09-07 08:42:51:604,42731,0.3,42278,0.5,85175,0.3,112608,1.75 140,1,2024-09-07 08:42:51:541,330217,330217,0,0,155026386216,1597250337,328695,1282,240,365,391606,0 140,2,2024-09-07 08:42:50:687,237971,237971,0,0,9419528,0,3388 140,3,2024-09-07 08:42:50:774,1,187,2,0,247,1642,187,0 141,0,2024-09-07 08:42:51:698,45069,0.2,46269,0.4,88385,0.1,120406,1.50 141,1,2024-09-07 08:42:50:865,330080,330080,0,0,154366980167,1600626773,328124,1602,354,379,391614,0 141,2,2024-09-07 08:42:51:686,236350,236350,0,0,8815096,0,2342 141,3,2024-09-07 08:42:51:043,1,187,2,0,147,1399,187,0 142,0,2024-09-07 08:42:51:440,50959,0.3,50651,0.4,100468,0.2,134226,1.50 142,1,2024-09-07 08:42:50:590,328977,328977,0,0,153789656519,1600769328,327413,1403,161,383,391728,0 142,2,2024-09-07 08:42:51:317,235660,235628,32,0,10209706,0,6028 142,3,2024-09-07 08:42:51:746,1,187,8,0,484,2509,187,0 143,0,2024-09-07 08:42:51:435,49711,0.6,49646,0.7,100157,0.7,132593,1.75 143,1,2024-09-07 08:42:50:570,329400,329400,0,0,153888062582,1595788904,327252,2104,44,367,391619,0 143,2,2024-09-07 08:42:50:769,236509,236509,0,0,9460834,0,2669 143,3,2024-09-07 08:42:51:149,1,187,2,0,303,2725,187,0 144,0,2024-09-07 08:42:51:528,41865,0.7,43090,1.2,87517,0.6,115414,2.00 144,1,2024-09-07 08:42:50:565,327970,327970,0,0,153592648705,1608932132,325553,2213,204,381,391649,0 144,2,2024-09-07 08:42:51:756,237160,237160,0,0,9536197,0,3473 144,3,2024-09-07 08:42:51:739,1,187,2,0,249,2217,187,0 145,0,2024-09-07 08:42:51:355,42903,0.5,42894,0.7,91062,0.5,117821,2.25 145,1,2024-09-07 08:42:50:553,326619,326619,0,0,153001379570,1613988792,321432,4369,818,382,391615,0 145,2,2024-09-07 08:42:51:449,236247,236247,0,0,10828688,0,3903 145,3,2024-09-07 08:42:50:894,1,187,579,0,579,2632,187,0 146,0,2024-09-07 08:42:51:617,50088,0.4,49803,0.7,100254,0.4,133500,2.00 146,1,2024-09-07 08:42:51:600,328835,328835,0,0,153775361368,1614813313,323566,4246,1023,368,391770,0 146,2,2024-09-07 08:42:51:730,236833,236833,0,0,10303008,0,2498 146,3,2024-09-07 08:42:51:281,1,187,12,0,1520,5312,187,0 147,0,2024-09-07 08:42:51:722,50209,0.7,50059,0.9,99624,0.7,134148,2.25 147,1,2024-09-07 08:42:51:373,329946,329946,0,0,153705542131,1598947288,326807,2641,498,368,391791,0 147,2,2024-09-07 08:42:51:010,235772,235772,0,0,9115981,0,2789 147,3,2024-09-07 08:42:50:913,1,187,3,0,371,2167,187,0 0,0,2024-09-07 08:43:01:768,47325,0.4,47291,0.6,100323,0.3,129960,1.75 0,1,2024-09-07 08:43:00:832,330813,330813,0,0,155629766054,1626398109,328782,1894,137,372,391772,0 0,2,2024-09-07 08:43:01:070,238417,238417,0,0,9790021,0,4480 0,3,2024-09-07 08:43:00:978,1,188,3,0,247,2385,188,0 1,0,2024-09-07 08:43:01:807,49907,1.1,49593,1.1,99569,1.5,132935,2.25 1,1,2024-09-07 08:43:00:561,330271,330271,0,0,153699386837,1611649179,326814,2589,868,371,391857,0 1,2,2024-09-07 08:43:00:647,233869,233869,0,0,8873249,0,3267 1,3,2024-09-07 08:43:01:304,1,188,13,0,262,2335,188,0 2,0,2024-09-07 08:43:01:579,46886,1.0,47155,1.0,93579,1.4,124645,2.25 2,1,2024-09-07 08:43:00:860,330786,330786,0,0,155497048719,1614916026,329243,1337,206,380,391745,0 2,2,2024-09-07 08:43:01:266,239090,239090,0,0,9577264,0,3594 2,3,2024-09-07 08:43:00:693,1,188,12,0,357,1879,188,0 3,0,2024-09-07 08:43:01:743,42180,0.4,42445,0.6,84526,0.4,112346,1.75 3,1,2024-09-07 08:43:01:618,330261,330261,0,0,154230721783,1608272571,327057,2780,424,380,391516,0 3,2,2024-09-07 08:43:01:141,239260,239237,23,0,9776571,0,5851 3,3,2024-09-07 08:43:01:805,1,188,1,0,103,1182,188,0 4,0,2024-09-07 08:43:01:866,44887,0.3,46202,0.5,93960,0.3,123820,1.75 4,1,2024-09-07 08:43:00:601,330318,330318,0,0,154275359103,1620387606,327014,2775,529,371,391846,0 4,2,2024-09-07 08:43:01:048,236343,236343,0,0,11830536,0,4528 4,3,2024-09-07 08:43:01:039,1,188,309,0,448,3121,188,0 5,0,2024-09-07 08:43:01:380,49817,0.4,49873,0.6,99466,0.4,132184,1.75 5,1,2024-09-07 08:43:00:756,329854,329854,0,0,154103600049,1623213132,325325,3472,1057,368,392005,0 5,2,2024-09-07 08:43:01:829,234886,234886,0,0,10075404,0,2259 5,3,2024-09-07 08:43:01:732,1,188,6,0,278,2873,188,0 6,0,2024-09-07 08:43:00:947,49675,0.8,49177,0.9,98180,1.0,131306,2.25 6,1,2024-09-07 08:43:00:747,330500,330500,0,0,153856552470,1610855594,326784,3057,659,379,391634,0 6,2,2024-09-07 08:43:01:117,238823,238823,0,0,10401641,0,4816 6,3,2024-09-07 08:43:01:274,1,188,20,0,340,2492,188,0 7,0,2024-09-07 08:43:01:540,42415,0.5,42401,0.7,84688,0.4,113014,2.00 7,1,2024-09-07 08:43:00:850,330196,330196,0,0,154516531255,1620813170,326236,3605,355,382,391664,0 7,2,2024-09-07 08:43:00:770,237840,237840,0,0,9762320,0,2981 7,3,2024-09-07 08:43:00:852,1,188,15,0,305,1842,188,0 8,0,2024-09-07 08:43:01:361,44914,0.3,45246,0.4,89797,0.2,120352,1.50 8,1,2024-09-07 08:43:01:063,329102,329102,0,0,154386781736,1629129063,323022,4476,1604,367,391956,0 8,2,2024-09-07 08:43:00:881,234245,234245,0,0,11422453,0,2986 8,3,2024-09-07 08:43:00:586,1,188,1,0,357,2888,188,0 9,0,2024-09-07 08:43:01:162,51223,0.4,49701,0.5,103729,0.3,135926,1.75 9,1,2024-09-07 08:43:00:582,329660,329660,0,0,154462628510,1631290613,324347,3907,1406,370,392001,0 9,2,2024-09-07 08:43:01:094,237675,237675,0,0,10565940,0,3360 9,3,2024-09-07 08:43:01:774,1,188,6,0,496,2942,188,0 10,0,2024-09-07 08:43:01:600,50870,0.4,50570,0.5,101483,0.3,135298,1.75 10,1,2024-09-07 08:43:00:583,330237,330237,0,0,154149499122,1617057067,325414,4126,697,381,391741,0 10,2,2024-09-07 08:43:00:761,237649,237649,0,0,10814081,0,2940 10,3,2024-09-07 08:43:00:879,1,188,8,0,177,1347,188,0 11,0,2024-09-07 08:43:01:056,43120,0.6,41955,0.8,87752,0.6,116901,2.00 11,1,2024-09-07 08:43:00:571,330110,330110,0,0,154008824535,1620891844,324044,4609,1457,384,391537,0 11,2,2024-09-07 08:43:01:123,238113,238113,0,0,10463138,0,3411 11,3,2024-09-07 08:43:01:305,1,188,8,0,720,2977,188,0 12,0,2024-09-07 08:43:00:997,45033,0.3,44790,0.5,89938,0.2,119984,1.50 12,1,2024-09-07 08:43:00:959,330621,330621,0,0,154107025075,1608221205,327651,2553,417,370,391837,0 12,2,2024-09-07 08:43:01:543,239793,239793,0,0,10520596,0,3469 12,3,2024-09-07 08:43:01:066,1,188,17,0,358,3109,188,0 13,0,2024-09-07 08:43:01:357,49707,0.3,49647,0.5,99265,0.3,132185,1.50 13,1,2024-09-07 08:43:01:547,329947,329947,0,0,154311500869,1617424248,327505,2032,410,382,391717,0 13,2,2024-09-07 08:43:00:609,239335,239335,0,0,9772278,0,3287 13,3,2024-09-07 08:43:01:804,1,188,6,0,467,3483,188,0 14,0,2024-09-07 08:43:00:574,50762,0.4,51170,0.6,101191,0.4,135068,1.75 14,1,2024-09-07 08:43:01:583,332278,332278,0,0,154574012351,1600712698,330009,2105,164,364,391571,0 14,2,2024-09-07 08:43:00:765,235107,235107,0,0,9556966,0,2896 14,3,2024-09-07 08:43:01:118,1,188,12,0,1168,3110,188,0 15,0,2024-09-07 08:43:01:566,45404,0.7,45553,1.0,91177,0.9,121160,2.25 15,1,2024-09-07 08:43:01:611,330655,330655,0,0,155096708168,1611798092,328736,1809,110,381,391619,0 15,2,2024-09-07 08:43:01:008,239862,239862,0,0,8161378,0,3043 15,3,2024-09-07 08:43:01:421,1,188,9,0,1126,4652,188,0 16,0,2024-09-07 08:43:00:994,43266,0.4,43497,0.7,86416,0.4,115722,2.00 16,1,2024-09-07 08:43:00:680,330829,330829,0,0,154507877138,1615463230,328152,2404,273,370,391756,0 16,2,2024-09-07 08:43:01:435,238740,238740,0,0,10828460,0,4719 16,3,2024-09-07 08:43:01:142,1,188,2,0,231,2446,188,0 17,0,2024-09-07 08:43:01:830,49374,0.4,48146,0.6,94453,0.4,128195,1.75 17,1,2024-09-07 08:43:00:568,329782,329782,0,0,154258390911,1619353008,326361,2746,675,368,391688,0 17,2,2024-09-07 08:43:01:682,240229,240229,0,0,9589197,0,2857 17,3,2024-09-07 08:43:00:577,1,188,2,0,268,2916,188,0 18,0,2024-09-07 08:43:00:969,48725,0.8,49024,0.9,98067,1.0,130645,2.50 18,1,2024-09-07 08:43:01:643,330915,330915,0,0,154472304005,1602145316,328797,1883,235,367,391564,0 18,2,2024-09-07 08:43:01:757,235277,235277,0,0,9331723,0,3541 18,3,2024-09-07 08:43:00:899,1,188,12,0,163,2030,188,0 19,0,2024-09-07 08:43:01:542,47679,0.9,48102,0.9,95012,1.1,126416,2.50 19,1,2024-09-07 08:43:00:571,330880,330880,0,0,155464857479,1617375608,327063,3101,716,367,391777,0 19,2,2024-09-07 08:43:01:753,240475,240475,0,0,8828289,0,3988 19,3,2024-09-07 08:43:01:129,1,188,2,0,524,1602,188,0 20,0,2024-09-07 08:43:01:379,42278,0.5,42208,0.7,84716,0.5,112278,2.00 20,1,2024-09-07 08:43:00:572,329866,329866,0,0,155001879257,1625300979,326235,3237,394,369,391822,0 20,2,2024-09-07 08:43:00:936,238499,238499,0,0,10274530,0,3721 20,3,2024-09-07 08:43:00:592,1,188,1,0,414,3738,188,0 21,0,2024-09-07 08:43:01:145,45503,0.4,45757,0.6,90991,0.3,120858,1.75 21,1,2024-09-07 08:43:01:545,329282,329282,0,0,153277259950,1617308398,324677,3571,1034,368,391962,0 21,2,2024-09-07 08:43:01:080,236427,236427,0,0,11200499,0,3747 21,3,2024-09-07 08:43:01:418,1,188,6,0,103,2228,188,0 22,0,2024-09-07 08:43:01:736,50341,0.7,50387,0.8,100332,0.8,133330,2.25 22,1,2024-09-07 08:43:01:044,329620,329620,0,0,153743971612,1620984138,323832,4269,1519,382,391667,0 22,2,2024-09-07 08:43:00:760,236960,236960,0,0,9622107,0,3134 22,3,2024-09-07 08:43:01:066,1,188,1,0,228,1926,188,0 23,0,2024-09-07 08:43:01:369,49571,0.8,49632,0.8,99456,0.9,132705,2.50 23,1,2024-09-07 08:43:01:005,330261,330261,0,0,154985857599,1631061663,323992,3845,2424,365,391549,0 23,2,2024-09-07 08:43:01:097,237916,237916,0,0,9866643,0,3010 23,3,2024-09-07 08:43:01:758,1,188,1,0,645,2520,188,0 24,0,2024-09-07 08:43:00:852,44175,0.5,44169,0.6,88265,0.5,117552,1.75 24,1,2024-09-07 08:43:00:596,330362,330362,0,0,154084004712,1614096282,326703,2661,998,369,391640,0 24,2,2024-09-07 08:43:01:081,237287,237287,0,0,11348581,0,2942 24,3,2024-09-07 08:43:01:698,1,188,5,0,468,2583,188,0 25,0,2024-09-07 08:43:01:357,45847,0.4,44814,0.6,87797,0.3,119479,2.00 25,1,2024-09-07 08:43:00:562,330326,330326,0,0,154928323566,1624722694,326552,3230,544,371,391788,0 25,2,2024-09-07 08:43:01:623,237137,237137,0,0,11849356,0,3978 25,3,2024-09-07 08:43:01:030,1,188,16,0,255,2268,188,0 26,0,2024-09-07 08:43:01:724,50425,0.4,49484,0.6,103574,0.3,135014,1.75 26,1,2024-09-07 08:43:01:542,330787,330787,0,0,153853555077,1615402909,325546,4263,978,381,391748,0 26,2,2024-09-07 08:43:00:862,238711,238711,0,0,11070191,0,2809 26,3,2024-09-07 08:43:01:718,1,188,128,0,796,2480,188,0 27,0,2024-09-07 08:43:01:736,50842,0.5,50813,0.7,100755,0.5,134764,2.00 27,1,2024-09-07 08:43:01:680,331945,331945,0,0,155253401842,1612767483,329897,1725,323,381,391558,0 27,2,2024-09-07 08:43:00:866,234832,234832,0,0,10858016,0,3409 27,3,2024-09-07 08:43:01:041,1,188,4,0,564,2012,188,0 28,0,2024-09-07 08:43:01:392,44292,0.7,44417,0.8,89369,0.9,118908,2.25 28,1,2024-09-07 08:43:00:807,331328,331328,0,0,155151224378,1614819367,329141,1850,337,383,391646,0 28,2,2024-09-07 08:43:01:766,239093,239093,0,0,8971172,0,2915 28,3,2024-09-07 08:43:01:782,1,188,25,0,502,2152,188,0 29,0,2024-09-07 08:43:01:391,44855,0.3,43757,0.5,85543,0.2,117320,1.75 29,1,2024-09-07 08:43:01:567,332270,332270,0,0,154842083922,1602751391,329834,1892,544,369,391621,0 29,2,2024-09-07 08:43:00:861,238921,238921,0,0,8514978,0,4018 29,3,2024-09-07 08:43:00:963,1,188,1,0,115,1414,188,0 30,0,2024-09-07 08:43:01:458,48594,0.4,47355,0.6,99128,0.3,130531,2.00 30,1,2024-09-07 08:43:00:578,331315,331315,0,0,154786483767,1605508742,329316,1721,278,382,391672,0 30,2,2024-09-07 08:43:01:277,239267,239267,0,0,8562112,0,3161 30,3,2024-09-07 08:43:00:581,1,188,1,0,195,1306,188,0 31,0,2024-09-07 08:43:01:763,49292,0.5,49763,0.6,99957,0.5,132782,2.00 31,1,2024-09-07 08:43:00:567,333009,333009,0,0,155409812008,1591477413,332186,711,112,356,391712,0 31,2,2024-09-07 08:43:01:275,234215,234215,0,0,10044830,0,3525 31,3,2024-09-07 08:43:01:724,1,188,2,0,220,1579,188,0 32,0,2024-09-07 08:43:01:418,46809,0.5,47302,0.6,94698,0.4,125357,1.75 32,1,2024-09-07 08:43:00:808,331267,331267,0,0,154818783921,1606895427,329479,1512,276,381,391595,0 32,2,2024-09-07 08:43:00:940,239975,239975,0,0,8466790,0,3155 32,3,2024-09-07 08:43:01:044,1,188,2,0,227,1570,188,0 33,0,2024-09-07 08:43:01:493,42826,0.3,42174,0.5,85212,0.2,113169,1.75 33,1,2024-09-07 08:43:00:580,332063,332063,0,0,155153025363,1604176493,329799,2092,172,369,391730,0 33,2,2024-09-07 08:43:00:762,239248,239215,33,0,10632854,0,7012 33,3,2024-09-07 08:43:00:894,1,188,1,0,329,2028,188,0 34,0,2024-09-07 08:43:00:936,46474,0.3,47952,0.4,91862,0.2,124233,1.50 34,1,2024-09-07 08:43:01:051,332150,332150,0,0,155735280771,1602607696,331152,992,6,367,391562,0 34,2,2024-09-07 08:43:00:766,236953,236953,0,0,9604821,0,3577 34,3,2024-09-07 08:43:01:696,1,188,12,0,148,1290,188,0 35,0,2024-09-07 08:43:00:869,49345,0.4,49877,0.5,99707,0.3,132467,1.75 35,1,2024-09-07 08:43:01:070,330411,330411,0,0,154648620556,1605527225,327582,2059,770,384,391589,0 35,2,2024-09-07 08:43:01:588,236791,236791,0,0,8567155,0,2653 35,3,2024-09-07 08:43:00:913,1,188,1,0,418,2044,188,0 36,0,2024-09-07 08:43:01:523,49375,0.8,49293,0.9,98757,1.0,130857,2.50 36,1,2024-09-07 08:43:00:604,330807,330807,0,0,154039354196,1613441825,325862,3635,1310,366,391759,0 36,2,2024-09-07 08:43:01:752,238182,238182,0,0,10325137,0,3303 36,3,2024-09-07 08:43:00:863,1,188,1,0,378,3055,188,0 37,0,2024-09-07 08:43:01:384,42497,0.5,42434,0.7,84774,0.4,113851,2.00 37,1,2024-09-07 08:43:00:569,329937,329930,0,7,154061515666,1618634141,324915,2989,2026,365,391560,0 37,2,2024-09-07 08:43:01:141,237924,237909,15,0,10698190,0,5815 37,3,2024-09-07 08:43:01:776,1,188,35,0,724,2967,188,0 38,0,2024-09-07 08:43:01:436,44870,0.4,43508,0.6,90944,0.3,119795,2.00 38,1,2024-09-07 08:43:01:609,331215,331215,0,0,155114791433,1622231240,326748,3822,645,368,391821,0 38,2,2024-09-07 08:43:00:760,236940,236893,47,0,12027846,0,6710 38,3,2024-09-07 08:43:00:998,1,188,2,0,689,3083,188,0 39,0,2024-09-07 08:43:01:763,52084,0.7,51128,0.8,99281,0.8,135655,2.00 39,1,2024-09-07 08:43:00:720,330926,330926,0,0,155136563419,1617013850,326997,3127,802,365,391524,0 39,2,2024-09-07 08:43:01:418,237836,237836,0,0,9515068,0,2689 39,3,2024-09-07 08:43:00:713,1,188,1,0,293,2649,188,0 40,0,2024-09-07 08:43:01:496,50048,0.9,50638,1.1,101343,0.9,134754,3.00 40,1,2024-09-07 08:43:00:579,330208,330208,0,0,153882707059,1615067092,324664,4323,1221,368,391591,0 40,2,2024-09-07 08:43:01:306,236463,236462,1,0,11734655,0,5137 40,3,2024-09-07 08:43:01:145,1,188,0,0,181,1912,188,0 41,0,2024-09-07 08:43:01:045,43170,1.7,44003,1.5,84221,3.0,115316,4.00 41,1,2024-09-07 08:43:00:768,330159,330159,0,0,154717489739,1619099426,325692,3925,542,370,391742,0 41,2,2024-09-07 08:43:00:758,236927,236927,0,0,11282267,0,3356 41,3,2024-09-07 08:43:01:693,1,188,8,0,366,2340,188,0 42,0,2024-09-07 08:43:01:472,44278,0.6,44560,0.8,88817,0.5,117986,2.50 42,1,2024-09-07 08:43:01:442,328651,328651,0,0,153380895702,1613670889,323255,4332,1064,380,391675,0 42,2,2024-09-07 08:43:01:133,238023,238023,0,0,10960671,0,3568 42,3,2024-09-07 08:43:01:048,1,188,8,0,446,1559,188,0 43,0,2024-09-07 08:43:00:921,49490,0.5,48082,0.8,100689,0.5,132200,2.00 43,1,2024-09-07 08:43:00:584,330653,330653,0,0,155250186514,1618398431,327013,2845,795,366,391696,0 43,2,2024-09-07 08:43:01:737,238927,238927,0,0,10431097,0,3812 43,3,2024-09-07 08:43:01:756,1,188,1,0,467,2653,188,0 44,0,2024-09-07 08:43:00:858,50513,0.5,50848,0.7,101433,0.5,135681,1.75 44,1,2024-09-07 08:43:00:570,331969,331969,0,0,154480847190,1594835382,329883,1661,425,356,391809,0 44,2,2024-09-07 08:43:01:269,234534,234534,0,0,8212461,0,1877 44,3,2024-09-07 08:43:01:101,1,188,1,0,817,2177,188,0 45,0,2024-09-07 08:43:01:764,44972,1.0,43989,1.0,92431,1.1,121833,2.25 45,1,2024-09-07 08:43:01:148,331517,331517,0,0,154828961298,1603232364,330476,1031,10,382,391917,0 45,2,2024-09-07 08:43:01:270,239893,239893,0,0,9008182,0,3596 45,3,2024-09-07 08:43:00:934,1,188,0,0,226,1819,188,0 46,0,2024-09-07 08:43:00:950,43130,0.3,43023,0.6,86356,0.2,114866,1.75 46,1,2024-09-07 08:43:00:576,331947,331947,0,0,154697121737,1597460642,330137,1586,224,366,391524,0 46,2,2024-09-07 08:43:00:595,239585,239585,0,0,9092820,0,2920 46,3,2024-09-07 08:43:01:131,1,188,23,0,908,3186,188,0 47,0,2024-09-07 08:43:01:102,48009,0.3,48142,0.5,96565,0.2,127987,1.75 47,1,2024-09-07 08:43:00:588,332615,332615,0,0,154893492639,1596961394,331203,1395,17,366,391605,0 47,2,2024-09-07 08:43:00:911,239129,239129,0,0,9113931,0,2558 47,3,2024-09-07 08:43:01:115,1,188,1,0,529,1928,188,0 48,0,2024-09-07 08:43:01:493,49852,0.3,49481,0.4,98790,0.2,131533,1.50 48,1,2024-09-07 08:43:01:036,332065,332065,0,0,154230981666,1601197195,329998,1886,181,384,391710,0 48,2,2024-09-07 08:43:00:721,235293,235293,0,0,8119725,0,3031 48,3,2024-09-07 08:43:00:753,1,188,0,0,339,1566,188,0 49,0,2024-09-07 08:43:01:714,49348,0.5,48466,0.6,94082,0.5,128427,1.75 49,1,2024-09-07 08:43:01:038,330460,330460,0,0,154749935049,1612576904,327672,1948,840,382,391583,0 49,2,2024-09-07 08:43:01:817,240547,240547,0,0,8922404,0,3900 49,3,2024-09-07 08:43:01:428,1,188,7,0,408,2499,188,0 50,0,2024-09-07 08:43:01:506,42471,0.3,41973,0.5,84283,0.2,112347,1.75 50,1,2024-09-07 08:43:01:049,332773,332773,0,0,154730046053,1603353324,330174,2289,310,368,391530,0 50,2,2024-09-07 08:43:01:067,239049,239049,0,0,8865085,0,2263 50,3,2024-09-07 08:43:01:305,1,188,13,0,335,1525,188,0 51,0,2024-09-07 08:43:01:687,46478,0.2,45661,0.4,89035,0.2,121532,1.50 51,1,2024-09-07 08:43:01:695,331657,331657,0,0,156388597951,1614542305,329682,1189,786,365,391637,0 51,2,2024-09-07 08:43:01:317,237235,237235,0,0,8225150,0,3337 51,3,2024-09-07 08:43:01:030,1,188,19,0,162,993,188,0 52,0,2024-09-07 08:43:01:417,50865,0.5,50687,0.7,101221,0.5,133964,2.00 52,1,2024-09-07 08:43:00:581,330116,330116,0,0,153262575783,1614396044,323859,5097,1160,368,391722,0 52,2,2024-09-07 08:43:01:755,235826,235788,38,0,11085124,0,6742 52,3,2024-09-07 08:43:00:677,1,188,2,0,1782,3756,188,0 53,0,2024-09-07 08:43:01:731,49428,0.9,48083,0.9,100657,1.1,131868,2.50 53,1,2024-09-07 08:43:00:771,329500,329500,0,0,154299393161,1625564095,323348,4117,2035,367,391702,0 53,2,2024-09-07 08:43:01:306,238439,238439,0,0,9481493,0,2262 53,3,2024-09-07 08:43:00:697,1,188,2,0,271,1725,188,0 54,0,2024-09-07 08:43:01:628,42598,1.5,43131,1.1,85292,0.7,114819,3.25 54,1,2024-09-07 08:43:00:580,330559,330559,0,0,155215792175,1618938441,326446,3542,571,367,391659,0 54,2,2024-09-07 08:43:00:865,237890,237884,6,0,10891463,0,5382 54,3,2024-09-07 08:43:00:767,1,188,8,0,676,3343,188,0 55,0,2024-09-07 08:43:01:771,43189,0.5,44611,0.7,90161,0.4,117299,2.25 55,1,2024-09-07 08:43:00:764,329965,329965,0,0,153802181160,1608510922,324502,4676,787,365,391731,0 55,2,2024-09-07 08:43:00:730,236913,236913,0,0,10735168,0,3275 55,3,2024-09-07 08:43:00:673,1,188,1,0,304,2419,188,0 56,0,2024-09-07 08:43:01:644,51771,1.2,48953,1.1,100523,1.6,134819,2.50 56,1,2024-09-07 08:43:00:591,329708,329708,0,0,154555082116,1634080881,324185,4580,943,381,391678,0 56,2,2024-09-07 08:43:01:307,238350,238350,0,0,11511705,0,3567 56,3,2024-09-07 08:43:01:079,1,188,91,0,405,2571,188,0 57,0,2024-09-07 08:43:00:977,49631,2.1,49843,1.4,99637,3.0,133634,3.50 57,1,2024-09-07 08:43:01:054,330452,330452,0,0,153728445831,1610279423,326954,3081,417,367,391960,0 57,2,2024-09-07 08:43:01:322,235958,235958,0,0,11508233,0,3178 57,3,2024-09-07 08:43:01:752,1,188,44,0,359,2884,188,0 58,0,2024-09-07 08:43:00:569,43336,1.0,42176,1.1,88305,1.2,115468,2.75 58,1,2024-09-07 08:43:00:577,331054,331051,0,3,155351821012,1624673031,326403,4004,644,367,391517,3 58,2,2024-09-07 08:43:01:073,238423,238423,0,0,10654774,0,2549 58,3,2024-09-07 08:43:01:078,1,188,1,0,1043,2443,188,0 59,0,2024-09-07 08:43:01:740,43923,0.6,43540,0.9,87056,0.6,116057,2.75 59,1,2024-09-07 08:43:00:807,330429,330429,0,0,155131745365,1622537557,326326,3120,983,369,391525,0 59,2,2024-09-07 08:43:00:583,240051,240051,0,0,9865011,0,2604 59,3,2024-09-07 08:43:01:740,1,188,4,0,1015,2976,188,0 60,0,2024-09-07 08:43:01:715,49004,0.3,48827,0.5,98061,0.3,130481,1.75 60,1,2024-09-07 08:43:00:772,332088,332088,0,0,155126883201,1605909382,330624,1105,359,370,391761,0 60,2,2024-09-07 08:43:01:151,238485,238485,0,0,10367121,0,3811 60,3,2024-09-07 08:43:01:259,1,188,2,0,124,1719,188,0 61,0,2024-09-07 08:43:01:494,49737,1.0,49976,0.9,99719,1.4,132932,2.00 61,1,2024-09-07 08:43:00:778,330626,330626,0,0,154997839104,1622263694,327084,2989,553,382,391880,0 61,2,2024-09-07 08:43:01:123,235312,235312,0,0,9041090,0,2079 61,3,2024-09-07 08:43:01:696,1,188,64,0,265,2371,188,0 62,0,2024-09-07 08:43:01:717,47323,1.1,48283,1.0,92135,1.5,125178,2.25 62,1,2024-09-07 08:43:01:111,332619,332613,0,6,155100386624,1598845421,330919,1655,39,365,391715,6 62,2,2024-09-07 08:43:01:661,238775,238774,1,0,10621456,0,5555 62,3,2024-09-07 08:43:01:143,1,188,2,0,287,1282,188,0 63,0,2024-09-07 08:43:01:489,42452,0.5,42378,0.6,84958,0.4,112897,1.75 63,1,2024-09-07 08:43:00:808,331996,331990,0,6,154973556450,1604051361,330720,1243,27,381,391677,6 63,2,2024-09-07 08:43:00:761,239036,239036,0,0,8682526,0,2674 63,3,2024-09-07 08:43:01:733,1,188,2,0,667,2486,188,0 64,0,2024-09-07 08:43:01:544,46176,0.4,46227,0.6,92792,0.3,123998,1.75 64,1,2024-09-07 08:43:00:759,331085,331085,0,0,154571667348,1612833726,327610,2420,1055,370,391783,0 64,2,2024-09-07 08:43:01:162,239213,239194,19,0,9446309,0,6121 64,3,2024-09-07 08:43:01:141,1,188,1,0,265,2032,188,0 65,0,2024-09-07 08:43:01:700,49092,0.7,49581,0.8,98844,0.8,131505,2.25 65,1,2024-09-07 08:43:00:892,330149,330149,0,0,154166746015,1612456832,327443,2482,224,382,391770,0 65,2,2024-09-07 08:43:01:699,236301,236301,0,0,9913771,0,3367 65,3,2024-09-07 08:43:01:702,1,188,3,0,163,1763,188,0 66,0,2024-09-07 08:43:01:783,48828,0.6,48953,0.8,98201,0.6,130148,2.25 66,1,2024-09-07 08:43:01:294,331818,331818,0,0,154772537755,1607228101,329929,1716,173,380,391588,0 66,2,2024-09-07 08:43:01:139,240721,240721,0,0,9468926,0,4956 66,3,2024-09-07 08:43:01:083,1,188,11,0,291,1894,188,0 67,0,2024-09-07 08:43:01:416,42918,0.7,42652,0.8,85722,0.8,113891,2.25 67,1,2024-09-07 08:43:00:766,330706,330705,0,1,154720405268,1615635925,327228,2730,747,381,391787,1 67,2,2024-09-07 08:43:00:589,239377,239377,0,0,8914015,0,2889 67,3,2024-09-07 08:43:01:756,1,188,1,0,338,1675,188,0 68,0,2024-09-07 08:43:00:618,45140,0.5,44961,0.7,89864,0.4,120140,2.00 68,1,2024-09-07 08:43:00:592,329594,329594,0,0,153889593772,1616531052,326047,2430,1117,381,391953,0 68,2,2024-09-07 08:43:01:054,236572,236507,65,0,12456583,0,6698 68,3,2024-09-07 08:43:00:739,1,188,4,0,417,2379,188,0 69,0,2024-09-07 08:43:01:764,50790,0.9,51005,0.9,101424,1.1,135111,2.25 69,1,2024-09-07 08:43:01:057,328957,328957,0,0,154287365444,1629216974,324689,3053,1215,384,391994,0 69,2,2024-09-07 08:43:01:803,238351,238351,0,0,11328638,0,3701 69,3,2024-09-07 08:43:00:762,1,188,4,0,698,2967,188,0 70,0,2024-09-07 08:43:01:538,50194,1.5,50284,1.3,100850,1.0,134356,2.75 70,1,2024-09-07 08:43:00:808,330640,330640,0,0,155422361439,1615698541,327692,2444,504,366,391725,0 70,2,2024-09-07 08:43:01:328,237370,237370,0,0,10374583,0,4044 70,3,2024-09-07 08:43:00:745,1,188,1,0,854,2386,188,0 71,0,2024-09-07 08:43:01:371,43065,1.8,43058,1.5,85835,2.5,115599,3.50 71,1,2024-09-07 08:43:01:601,330666,330666,0,0,154729283821,1618833436,326294,3820,552,368,391682,0 71,2,2024-09-07 08:43:01:070,238721,238721,0,0,10657754,0,2579 71,3,2024-09-07 08:43:01:779,1,188,7,0,644,2968,188,0 72,0,2024-09-07 08:43:01:093,46092,0.5,45083,0.8,87963,0.5,119879,2.25 72,1,2024-09-07 08:43:01:056,330504,330504,0,0,154549337998,1615087096,326486,3269,749,369,391819,0 72,2,2024-09-07 08:43:01:782,237501,237501,0,0,11928079,0,2570 72,3,2024-09-07 08:43:01:805,1,188,18,0,364,3456,188,0 73,0,2024-09-07 08:43:01:115,48347,0.3,49601,0.5,101417,0.3,131642,2.00 73,1,2024-09-07 08:43:00:781,330565,330565,0,0,154882043196,1608893128,328152,2239,174,367,391750,0 73,2,2024-09-07 08:43:01:741,239014,239014,0,0,11315716,0,3482 73,3,2024-09-07 08:43:00:981,1,188,5,0,274,2723,188,0 74,0,2024-09-07 08:43:01:329,51079,0.5,52129,0.7,99261,0.5,135067,2.25 74,1,2024-09-07 08:43:00:637,330376,330376,0,0,154801520962,1616835850,326982,2647,747,382,391681,0 74,2,2024-09-07 08:43:01:049,234649,234649,0,0,10914342,0,4253 74,3,2024-09-07 08:43:01:444,1,188,0,0,522,2876,188,0 75,0,2024-09-07 08:43:01:778,45790,1.0,45465,1.1,91174,1.0,122180,2.50 75,1,2024-09-07 08:43:01:589,329899,329899,0,0,154205278846,1615306117,325777,3461,661,381,391579,0 75,2,2024-09-07 08:43:01:353,238844,238844,0,0,10815577,0,4766 75,3,2024-09-07 08:43:01:071,1,188,1,0,535,2276,188,0 76,0,2024-09-07 08:43:00:607,43086,0.4,42703,0.6,85333,0.3,115048,2.00 76,1,2024-09-07 08:43:00:816,330751,330751,0,0,154350634897,1608076280,328668,1571,512,382,391692,0 76,2,2024-09-07 08:43:01:078,240095,240095,0,0,9251520,0,3064 76,3,2024-09-07 08:43:01:142,1,188,1,0,175,2140,188,0 77,0,2024-09-07 08:43:01:701,47822,0.4,48219,0.6,96084,0.3,127648,1.75 77,1,2024-09-07 08:43:00:841,331970,331970,0,0,154687271997,1611136882,330244,1638,88,383,391808,0 77,2,2024-09-07 08:43:01:285,237736,237736,0,0,9553800,0,3890 77,3,2024-09-07 08:43:01:095,1,188,2,0,305,2206,188,0 78,0,2024-09-07 08:43:01:732,49496,0.4,49214,0.6,99196,0.4,131121,2.00 78,1,2024-09-07 08:43:00:610,331359,331359,0,0,153910689961,1598096800,329021,2085,253,367,391646,0 78,2,2024-09-07 08:43:01:409,236112,236112,0,0,8657996,0,2114 78,3,2024-09-07 08:43:01:133,1,188,1,0,181,1789,188,0 79,0,2024-09-07 08:43:01:360,46606,0.5,47684,0.7,97654,0.5,126722,2.50 79,1,2024-09-07 08:43:00:571,332208,332208,0,0,154851343200,1602193067,329955,2079,174,369,391682,0 79,2,2024-09-07 08:43:01:072,240178,240178,0,0,8895666,0,3212 79,3,2024-09-07 08:43:00:749,1,188,10,0,418,2982,188,0 80,0,2024-09-07 08:43:01:086,42139,0.5,43405,0.7,83074,0.5,112341,2.00 80,1,2024-09-07 08:43:01:626,330349,330349,0,0,154301782095,1605932775,327808,2377,164,368,391791,0 80,2,2024-09-07 08:43:01:094,239454,239454,0,0,9604752,0,4433 80,3,2024-09-07 08:43:00:585,1,188,19,0,190,2821,188,0 81,0,2024-09-07 08:43:01:591,45480,0.4,46802,0.6,89702,0.4,121155,1.75 81,1,2024-09-07 08:43:01:671,330733,330733,0,0,154149380454,1610687389,328143,2316,274,382,391879,0 81,2,2024-09-07 08:43:01:139,236930,236930,0,0,9840416,0,3993 81,3,2024-09-07 08:43:01:120,1,188,4,0,193,1882,188,0 82,0,2024-09-07 08:43:01:538,50271,0.4,50565,0.6,101237,0.4,134115,1.75 82,1,2024-09-07 08:43:00:583,331255,331251,0,4,154938405901,1614280422,328736,1937,578,381,391558,4 82,2,2024-09-07 08:43:01:697,237612,237612,0,0,9229597,0,3986 82,3,2024-09-07 08:43:01:753,1,188,0,0,227,2037,188,0 83,0,2024-09-07 08:43:01:549,49926,0.7,49891,0.8,99136,0.7,132242,2.00 83,1,2024-09-07 08:43:00:555,330811,330811,0,0,154447037258,1612284109,328177,2410,224,382,391690,0 83,2,2024-09-07 08:43:00:770,237718,237718,0,0,9053090,0,3119 83,3,2024-09-07 08:43:00:755,1,188,1,0,241,1943,188,0 84,0,2024-09-07 08:43:01:792,43202,1.1,43089,1.2,86576,0.9,115972,2.50 84,1,2024-09-07 08:43:01:063,329896,329896,0,0,153967835627,1613880168,325927,3401,568,368,391967,0 84,2,2024-09-07 08:43:00:575,238219,238219,0,0,10768893,0,3801 84,3,2024-09-07 08:43:01:146,1,188,2,0,353,2549,188,0 85,0,2024-09-07 08:43:01:053,43071,0.6,43068,0.8,91399,0.6,118048,2.25 85,1,2024-09-07 08:43:00:562,329303,329303,0,0,153186486471,1623016794,323408,4800,1095,382,392006,0 85,2,2024-09-07 08:43:00:940,238547,238547,0,0,11495948,0,3656 85,3,2024-09-07 08:43:00:685,1,188,1,0,789,2776,188,0 86,0,2024-09-07 08:43:00:958,50707,0.6,52141,0.7,99688,0.6,135042,2.00 86,1,2024-09-07 08:43:00:879,330597,330597,0,0,155006809272,1623343610,326565,3487,545,366,391961,0 86,2,2024-09-07 08:43:00:881,237236,237235,1,0,11929417,0,5004 86,3,2024-09-07 08:43:00:586,1,188,16,0,286,2604,188,0 87,0,2024-09-07 08:43:01:293,50387,1.3,50133,1.1,100786,1.8,135220,2.50 87,1,2024-09-07 08:43:00:559,330266,330266,0,0,154378992266,1618254580,326413,3393,460,366,391788,0 87,2,2024-09-07 08:43:01:084,235077,235077,0,0,9934731,0,3515 87,3,2024-09-07 08:43:01:798,1,188,7,0,473,3540,188,0 88,0,2024-09-07 08:43:01:439,44519,0.6,44726,0.7,89344,0.7,118802,1.75 88,1,2024-09-07 08:43:00:570,329391,329391,0,0,153802589084,1617506619,324093,3839,1459,365,392084,0 88,2,2024-09-07 08:43:00:689,238137,238137,0,0,11609241,0,3583 88,3,2024-09-07 08:43:01:269,1,188,1,0,435,2441,188,0 89,0,2024-09-07 08:43:01:775,45004,0.4,43661,0.6,86471,0.3,117366,1.75 89,1,2024-09-07 08:43:00:555,328612,328612,0,0,154275821647,1629960318,322957,4717,938,382,391866,0 89,2,2024-09-07 08:43:01:165,238904,238904,0,0,11563929,0,2910 89,3,2024-09-07 08:43:01:798,1,188,1,0,325,3377,188,0 90,0,2024-09-07 08:43:01:626,47587,0.4,48603,0.5,99703,0.3,130166,1.75 90,1,2024-09-07 08:43:00:594,330471,330471,0,0,153944800428,1614464632,327181,3031,259,381,391825,0 90,2,2024-09-07 08:43:01:407,237107,237107,0,0,12383816,0,3060 90,3,2024-09-07 08:43:00:939,1,188,5,0,200,2113,188,0 91,0,2024-09-07 08:43:00:942,50373,0.4,48547,0.6,101205,0.4,133175,1.75 91,1,2024-09-07 08:43:00:629,330046,330046,0,0,155033047934,1627942599,326057,3489,500,381,392047,0 91,2,2024-09-07 08:43:01:339,233706,233706,0,0,10990769,0,2445 91,3,2024-09-07 08:43:00:622,1,188,1,0,216,2019,188,0 92,0,2024-09-07 08:43:01:462,47434,0.7,48613,0.8,92964,0.8,125510,1.75 92,1,2024-09-07 08:43:00:581,330553,330553,0,0,154128238202,1607741221,328343,1806,404,382,392136,0 92,2,2024-09-07 08:43:01:351,241266,241266,0,0,9373721,0,2801 92,3,2024-09-07 08:43:01:050,1,188,4,0,167,1584,188,0 93,0,2024-09-07 08:43:01:001,42658,0.4,43765,0.6,83611,0.3,113315,1.75 93,1,2024-09-07 08:43:00:809,330949,330949,0,0,154742775173,1613767909,327800,2596,553,366,391692,0 93,2,2024-09-07 08:43:00:935,239467,239467,0,0,9439580,0,2509 93,3,2024-09-07 08:43:01:422,1,188,1,0,143,1926,188,0 94,0,2024-09-07 08:43:01:611,46350,0.3,46930,0.4,93210,0.2,123917,1.50 94,1,2024-09-07 08:43:00:591,330282,330282,0,0,154477736008,1613059023,327824,2362,96,381,391850,0 94,2,2024-09-07 08:43:00:764,237135,237135,0,0,8953579,0,2443 94,3,2024-09-07 08:43:01:696,1,188,47,0,264,2640,188,0 95,0,2024-09-07 08:43:01:390,49997,0.3,49689,0.5,100280,0.3,132335,1.75 95,1,2024-09-07 08:43:00:852,331132,331132,0,0,155117493640,1611017060,328731,2216,185,367,391662,0 95,2,2024-09-07 08:43:01:047,236185,236185,0,0,9269838,0,3308 95,3,2024-09-07 08:43:01:711,1,188,1,0,718,3308,188,0 96,0,2024-09-07 08:43:01:066,49403,0.5,49576,0.6,99090,0.5,130771,1.75 96,1,2024-09-07 08:43:01:588,330807,330807,0,0,155012073810,1620361206,328073,2087,647,385,391894,0 96,2,2024-09-07 08:43:01:268,238810,238810,0,0,9950788,0,4042 96,3,2024-09-07 08:43:01:141,1,188,2,0,188,2025,188,0 97,0,2024-09-07 08:43:01:338,43097,0.4,42788,0.5,85842,0.3,114247,1.75 97,1,2024-09-07 08:43:00:767,331728,331728,0,0,155051329410,1611127498,328912,2263,553,367,392140,0 97,2,2024-09-07 08:43:00:613,238564,238564,0,0,9245553,0,3036 97,3,2024-09-07 08:43:00:570,1,188,7,0,165,2155,188,0 98,0,2024-09-07 08:43:01:701,45189,0.2,45036,0.4,90425,0.2,120567,1.50 98,1,2024-09-07 08:43:00:578,331145,331145,0,0,154152938777,1605688540,329291,1760,94,382,391997,0 98,2,2024-09-07 08:43:00:771,238407,238407,0,0,9496036,0,3080 98,3,2024-09-07 08:43:00:697,1,188,2,0,840,3402,188,0 99,0,2024-09-07 08:43:01:464,51190,0.3,51165,0.4,102022,0.3,136184,1.50 99,1,2024-09-07 08:43:01:731,331346,331346,0,0,153885345524,1606423109,328042,2591,713,381,391744,0 99,2,2024-09-07 08:43:01:423,239662,239662,0,0,9395099,0,2615 99,3,2024-09-07 08:43:00:587,1,188,9,0,129,1365,188,0 100,0,2024-09-07 08:43:01:478,50521,1.0,50519,1.2,100905,1.7,135060,2.50 100,1,2024-09-07 08:43:00:554,328639,328639,0,0,154025065587,1632269877,323138,4568,933,381,391989,0 100,2,2024-09-07 08:43:01:817,235581,235570,11,0,11272689,0,5417 100,3,2024-09-07 08:43:01:816,1,188,0,0,559,3854,188,0 101,0,2024-09-07 08:43:01:710,44362,2.5,43313,1.5,84715,2.5,116821,2.75 101,1,2024-09-07 08:43:00:554,329133,329133,0,0,153599191978,1624245312,323125,4486,1522,368,391769,0 101,2,2024-09-07 08:43:01:758,237746,237746,0,0,12182332,0,4644 101,3,2024-09-07 08:43:00:943,1,188,3,0,448,2399,188,0 102,0,2024-09-07 08:43:00:983,43610,0.7,45188,0.8,90937,0.6,119521,2.25 102,1,2024-09-07 08:43:01:143,329870,329870,0,0,154268994860,1624230699,325217,3936,717,369,391883,0 102,2,2024-09-07 08:43:01:743,238617,238563,54,0,11750544,0,6768 102,3,2024-09-07 08:43:01:613,1,188,194,0,410,2088,188,0 103,0,2024-09-07 08:43:01:631,51029,0.4,51078,0.6,96307,0.3,132580,1.75 103,1,2024-09-07 08:43:01:633,328887,328887,0,0,153928980859,1625974769,323515,4047,1325,381,391829,0 103,2,2024-09-07 08:43:00:586,237708,237708,0,0,10341365,0,2104 103,3,2024-09-07 08:43:00:773,1,188,14,0,916,3125,188,0 104,0,2024-09-07 08:43:01:094,50102,1.1,50499,1.1,99759,1.2,135012,2.50 104,1,2024-09-07 08:43:01:615,330764,330764,0,0,154153225707,1623757197,325619,4177,968,365,391948,0 104,2,2024-09-07 08:43:01:669,234242,234242,0,0,10780528,0,3941 104,3,2024-09-07 08:43:01:451,1,188,21,1,1245,5531,188,0 105,0,2024-09-07 08:43:01:073,45162,1.4,43815,1.3,91721,2.3,121048,3.50 105,1,2024-09-07 08:43:00:850,330751,330751,0,0,154051048653,1616776331,326122,3827,802,367,391797,0 105,2,2024-09-07 08:43:01:322,238303,238303,0,0,10532283,0,3509 105,3,2024-09-07 08:43:01:304,1,188,111,0,399,3006,188,0 106,0,2024-09-07 08:43:00:966,41714,0.6,42849,0.8,87389,0.6,114641,2.25 106,1,2024-09-07 08:43:01:761,330537,330537,0,0,154303251903,1620428195,325752,4328,457,369,391767,0 106,2,2024-09-07 08:43:00:756,238124,238124,0,0,11101651,0,2795 106,3,2024-09-07 08:43:00:679,1,188,14,0,405,2558,188,0 107,0,2024-09-07 08:43:01:149,47729,0.5,47810,0.7,95183,0.4,126950,1.75 107,1,2024-09-07 08:43:00:589,329796,329796,0,0,153566999998,1613514561,326585,2976,235,381,392234,0 107,2,2024-09-07 08:43:01:309,237557,237556,1,0,10910856,0,5024 107,3,2024-09-07 08:43:01:807,1,188,7,0,353,2694,188,0 108,0,2024-09-07 08:43:01:812,49631,0.4,49724,0.6,98685,0.4,131579,1.75 108,1,2024-09-07 08:43:01:308,330303,330303,0,0,154444970447,1610487444,327588,2401,314,368,391857,0 108,2,2024-09-07 08:43:01:756,233908,233908,0,0,9725419,0,2647 108,3,2024-09-07 08:43:01:331,1,188,0,0,667,3466,188,0 109,0,2024-09-07 08:43:01:812,48425,0.4,47953,0.6,96166,0.4,128625,1.75 109,1,2024-09-07 08:43:00:583,330124,330124,0,0,154583409309,1620745824,327312,2315,497,383,392132,0 109,2,2024-09-07 08:43:00:936,239017,239017,0,0,10115070,0,3617 109,3,2024-09-07 08:43:01:140,1,188,3,0,249,2429,188,0 110,0,2024-09-07 08:43:01:834,42536,0.4,41379,0.6,86173,0.3,112498,1.75 110,1,2024-09-07 08:43:01:643,331292,331292,0,0,154401627784,1604344972,328666,1859,767,370,391667,0 110,2,2024-09-07 08:43:01:312,239317,239317,0,0,9229322,0,2915 110,3,2024-09-07 08:43:00:698,1,188,8,0,406,2529,188,0 111,0,2024-09-07 08:43:01:415,45659,0.2,45234,0.4,90349,0.1,121343,1.50 111,1,2024-09-07 08:43:01:050,331795,331795,0,0,155157954929,1608732613,330005,1457,333,382,391690,0 111,2,2024-09-07 08:43:01:119,236986,236986,0,0,9761534,0,2763 111,3,2024-09-07 08:43:00:919,1,188,1,0,379,2813,188,0 112,0,2024-09-07 08:43:00:914,50632,0.3,50580,0.4,101249,0.2,134215,1.50 112,1,2024-09-07 08:43:00:824,331774,331774,0,0,154201915412,1601559694,329440,1908,426,380,391580,0 112,2,2024-09-07 08:43:01:133,237446,237445,1,0,9798525,0,5036 112,3,2024-09-07 08:43:00:593,1,188,6,0,282,2036,188,0 113,0,2024-09-07 08:43:00:866,49624,0.4,49688,0.5,99797,0.3,133364,1.75 113,1,2024-09-07 08:43:01:697,331883,331883,0,0,156007730961,1613029362,329463,1932,488,366,391661,0 113,2,2024-09-07 08:43:01:306,239274,239274,0,0,8727020,0,3813 113,3,2024-09-07 08:43:00:684,1,188,5,0,340,3205,188,0 114,0,2024-09-07 08:43:00:876,44038,0.5,44560,0.6,87808,0.4,117941,1.75 114,1,2024-09-07 08:43:00:719,330366,330366,0,0,154359002965,1612085928,326233,2670,1463,381,391534,0 114,2,2024-09-07 08:43:00:875,238668,238668,0,0,9081534,0,3925 114,3,2024-09-07 08:43:01:277,1,188,9,0,395,2156,188,0 115,0,2024-09-07 08:43:00:560,44492,0.2,44943,0.4,89647,0.2,119091,1.50 115,1,2024-09-07 08:43:00:572,330713,330713,0,0,154712094851,1611268957,327036,2856,821,382,391602,0 115,2,2024-09-07 08:43:01:130,239631,239631,0,0,8479238,0,2152 115,3,2024-09-07 08:43:01:002,1,188,5,0,159,1167,188,0 116,0,2024-09-07 08:43:01:747,50756,0.6,50528,0.8,101094,0.6,136046,2.00 116,1,2024-09-07 08:43:00:808,327940,327940,0,0,153833859780,1634751131,322191,3699,2050,380,391677,0 116,2,2024-09-07 08:43:01:804,237596,237596,0,0,12551898,0,3529 116,3,2024-09-07 08:43:00:919,1,188,24,0,252,2670,188,0 117,0,2024-09-07 08:43:00:972,50364,1.1,50478,1.0,100760,1.4,135308,2.00 117,1,2024-09-07 08:43:01:583,329995,329995,0,0,153660989642,1612388911,325871,3648,476,370,392033,0 117,2,2024-09-07 08:43:01:118,237263,237263,0,0,9549661,0,3700 117,3,2024-09-07 08:43:01:068,1,188,3,0,490,3554,188,0 118,0,2024-09-07 08:43:01:780,43277,0.9,44586,0.9,90584,1.0,118153,2.25 118,1,2024-09-07 08:43:00:587,329828,329828,0,0,153797092240,1622839914,324235,4167,1426,366,391736,0 118,2,2024-09-07 08:43:01:592,238041,238041,0,0,11220615,0,2781 118,3,2024-09-07 08:43:01:769,1,188,12,0,235,2304,188,0 119,0,2024-09-07 08:43:01:337,43425,0.6,43757,0.8,88018,0.5,116527,2.00 119,1,2024-09-07 08:43:00:560,331113,331113,0,0,154768828283,1616299138,328054,2753,306,368,391641,0 119,2,2024-09-07 08:43:01:268,239176,239176,0,0,10377223,0,3526 119,3,2024-09-07 08:43:01:326,1,188,15,0,443,3139,188,0 120,0,2024-09-07 08:43:01:561,48644,0.4,48719,0.6,97478,0.4,130233,1.75 120,1,2024-09-07 08:43:00:866,330841,330841,0,0,153879784997,1614859334,327287,3267,287,368,391961,0 120,2,2024-09-07 08:43:00:770,237453,237452,1,0,12456007,0,5281 120,3,2024-09-07 08:43:01:297,1,188,2,0,241,2610,188,0 121,0,2024-09-07 08:43:01:758,49518,1.2,49980,1.1,99542,1.8,132647,2.25 121,1,2024-09-07 08:43:01:658,330173,330173,0,0,154120261625,1613384890,326812,2996,365,367,391840,0 121,2,2024-09-07 08:43:01:133,233693,233693,0,0,11077582,0,4127 121,3,2024-09-07 08:43:00:736,1,188,1,0,269,2513,188,0 122,0,2024-09-07 08:43:01:796,46800,1.1,45605,1.1,95637,1.3,125547,2.25 122,1,2024-09-07 08:43:00:859,329553,329553,0,0,154618263088,1624538955,324912,3932,709,366,392130,0 122,2,2024-09-07 08:43:01:319,239137,239137,0,0,12838148,0,3364 122,3,2024-09-07 08:43:00:598,1,188,334,0,411,4290,188,0 123,0,2024-09-07 08:43:00:978,42458,0.7,41407,0.8,86453,0.8,112874,2.00 123,1,2024-09-07 08:43:00:561,330024,330024,0,0,154758727439,1634730545,323248,5452,1324,369,391823,0 123,2,2024-09-07 08:43:01:046,237183,237182,1,0,11319324,0,5215 123,3,2024-09-07 08:43:01:138,1,188,2,0,168,2273,188,0 124,0,2024-09-07 08:43:00:930,47902,0.3,47842,0.4,90305,0.2,124187,1.50 124,1,2024-09-07 08:43:01:169,331412,331412,0,0,154398368477,1603056331,329311,1745,356,367,392178,0 124,2,2024-09-07 08:43:01:049,237802,237802,0,0,9086977,0,3101 124,3,2024-09-07 08:43:00:761,1,188,4,0,490,2460,188,0 125,0,2024-09-07 08:43:01:469,49865,0.4,49837,0.5,99832,0.3,132437,1.75 125,1,2024-09-07 08:43:00:855,330760,330760,0,0,154031261938,1606967793,328382,2101,277,383,391702,0 125,2,2024-09-07 08:43:01:118,237033,237033,0,0,8969470,0,2180 125,3,2024-09-07 08:43:01:132,1,188,1,0,284,2376,188,0 126,0,2024-09-07 08:43:01:463,49531,0.7,50806,0.7,97144,0.7,131604,1.75 126,1,2024-09-07 08:43:00:560,331480,331480,0,0,155452521197,1609438244,329832,1569,79,365,391987,0 126,2,2024-09-07 08:43:00:612,240517,240517,0,0,9532692,0,3186 126,3,2024-09-07 08:43:00:919,1,188,5,0,150,2620,188,0 127,0,2024-09-07 08:43:01:641,42782,0.4,43011,0.5,85784,0.3,114090,1.75 127,1,2024-09-07 08:43:00:569,330847,330847,0,0,155203825721,1607189762,328735,2071,41,365,391816,0 127,2,2024-09-07 08:43:00:640,238964,238964,0,0,8947206,0,2264 127,3,2024-09-07 08:43:01:270,1,188,6,0,243,1604,188,0 128,0,2024-09-07 08:43:01:577,45452,0.3,45251,0.4,90583,0.2,120586,1.50 128,1,2024-09-07 08:43:01:616,331643,331643,0,0,154830917385,1603323869,330364,1173,106,367,391680,0 128,2,2024-09-07 08:43:01:384,237547,237547,0,0,8997881,0,2107 128,3,2024-09-07 08:43:00:768,1,188,1,0,333,2648,188,0 129,0,2024-09-07 08:43:01:035,51442,0.3,51216,0.5,102349,0.3,135818,1.50 129,1,2024-09-07 08:43:00:570,329503,329503,0,0,153841872587,1610617135,326471,2637,395,379,391835,0 129,2,2024-09-07 08:43:00:698,238506,238506,0,0,9248738,0,4031 129,3,2024-09-07 08:43:00:689,1,188,20,0,469,2611,188,0 130,0,2024-09-07 08:43:01:748,50949,0.7,50793,0.7,101791,0.8,136001,1.75 130,1,2024-09-07 08:43:00:583,331303,331303,0,0,154844924068,1611926384,329183,2047,73,381,391825,0 130,2,2024-09-07 08:43:01:141,238092,238092,0,0,9196799,0,4067 130,3,2024-09-07 08:43:01:293,1,188,5,0,450,2169,188,0 131,0,2024-09-07 08:43:01:969,43671,0.5,44003,0.6,88703,0.5,117122,1.75 131,1,2024-09-07 08:43:01:829,331944,331944,0,0,154819324607,1609206065,330464,1274,206,383,391865,0 131,2,2024-09-07 08:43:00:570,239440,239440,0,0,8826217,0,2415 131,3,2024-09-07 08:43:01:694,1,188,3,0,392,1950,188,0 132,0,2024-09-07 08:43:01:427,44490,0.5,44991,0.7,89941,0.4,119867,2.00 132,1,2024-09-07 08:43:00:590,329641,329641,0,0,153810143259,1625777575,323928,4648,1065,381,392097,0 132,2,2024-09-07 08:43:00:702,238377,238377,0,0,11964775,0,4606 132,3,2024-09-07 08:43:01:690,1,188,0,0,356,3222,188,0 133,0,2024-09-07 08:43:01:548,48356,0.4,49443,0.6,101299,0.3,131540,1.75 133,1,2024-09-07 08:43:00:609,329001,329001,0,0,153980834862,1623642824,324405,3953,643,383,391914,0 133,2,2024-09-07 08:43:01:102,237732,237732,0,0,12212976,0,4315 133,3,2024-09-07 08:43:01:309,1,188,1,0,276,2036,188,0 134,0,2024-09-07 08:43:00:981,50499,0.5,50471,0.7,101313,0.5,135078,2.00 134,1,2024-09-07 08:43:00:585,329807,329807,0,0,153544296843,1614007076,324738,3786,1283,366,391718,0 134,2,2024-09-07 08:43:01:760,234352,234352,0,0,10281009,0,3847 134,3,2024-09-07 08:43:00:759,1,188,22,0,739,3235,188,0 135,0,2024-09-07 08:43:01:104,44261,1.4,44258,1.2,93865,1.6,120610,2.50 135,1,2024-09-07 08:43:01:610,329021,329021,0,0,154469785129,1626156407,324227,3897,897,380,391805,0 135,2,2024-09-07 08:43:00:687,238932,238932,0,0,11469499,0,3981 135,3,2024-09-07 08:43:01:003,1,188,3,0,299,1419,188,0 136,0,2024-09-07 08:43:01:668,43407,0.5,43313,0.7,86973,0.5,115760,2.00 136,1,2024-09-07 08:43:01:443,329449,329449,0,0,154233525009,1620176498,324991,3948,510,382,391641,0 136,2,2024-09-07 08:43:01:141,239936,239936,0,0,10977438,0,3506 136,3,2024-09-07 08:43:01:114,1,188,126,0,126,1610,188,0 137,0,2024-09-07 08:43:01:008,49094,0.5,47583,0.7,94221,0.5,127681,2.00 137,1,2024-09-07 08:43:00:582,329649,329649,0,0,153929459451,1614498338,324479,4504,666,366,391708,0 137,2,2024-09-07 08:43:01:727,237480,237480,0,0,12315294,0,3185 137,3,2024-09-07 08:43:00:776,1,188,4,0,227,2099,188,0 138,0,2024-09-07 08:43:01:838,49080,1.1,49120,1.0,98713,1.4,131282,2.25 138,1,2024-09-07 08:43:01:694,330170,330170,0,0,154698479492,1620513551,325875,3807,488,368,391954,0 138,2,2024-09-07 08:43:00:588,235628,235628,0,0,9894311,0,3263 138,3,2024-09-07 08:43:00:611,1,188,4,0,1160,3353,188,0 139,0,2024-09-07 08:43:01:390,47119,2.7,47226,1.6,95400,3.9,127070,3.00 139,1,2024-09-07 08:43:00:571,328415,328415,0,0,153622956399,1632297208,322070,4539,1806,381,391892,0 139,2,2024-09-07 08:43:00:698,237584,237584,0,0,11530063,0,3097 139,3,2024-09-07 08:43:01:679,1,188,10,0,244,2074,188,0 140,0,2024-09-07 08:43:01:606,42740,0.3,42286,0.5,85191,0.3,112657,1.75 140,1,2024-09-07 08:43:01:535,331946,331946,0,0,156006935501,1607210889,330424,1282,240,365,391606,0 140,2,2024-09-07 08:43:00:694,239513,239513,0,0,9449387,0,3388 140,3,2024-09-07 08:43:00:768,1,188,7,0,247,1649,188,0 141,0,2024-09-07 08:43:01:707,45453,0.2,46641,0.4,89093,0.1,121347,1.50 141,1,2024-09-07 08:43:00:860,331837,331837,0,0,155169553781,1608818922,329879,1604,354,379,391614,0 141,2,2024-09-07 08:43:01:699,237680,237680,0,0,8845161,0,2342 141,3,2024-09-07 08:43:01:044,1,188,1,0,147,1400,188,0 142,0,2024-09-07 08:43:01:333,51043,0.3,50737,0.4,100671,0.2,134472,1.50 142,1,2024-09-07 08:43:00:602,330842,330842,0,0,154858432860,1611750775,329277,1403,162,383,391728,0 142,2,2024-09-07 08:43:01:308,236672,236640,32,0,10232074,0,6028 142,3,2024-09-07 08:43:01:780,1,188,1,0,484,2510,188,0 143,0,2024-09-07 08:43:01:388,49937,0.6,49865,0.7,100597,0.7,133160,1.75 143,1,2024-09-07 08:43:00:557,331156,331156,0,0,154429500362,1601398467,329008,2104,44,367,391619,0 143,2,2024-09-07 08:43:00:789,238098,238098,0,0,9524648,0,2669 143,3,2024-09-07 08:43:01:143,1,188,11,0,303,2736,188,0 144,0,2024-09-07 08:43:01:556,42162,0.7,43414,1.2,88164,0.6,116297,2.00 144,1,2024-09-07 08:43:00:567,329712,329712,0,0,154214016398,1615459665,327292,2216,204,381,391649,0 144,2,2024-09-07 08:43:01:783,238534,238534,0,0,9561370,0,3473 144,3,2024-09-07 08:43:01:806,1,188,0,0,249,2217,188,0 145,0,2024-09-07 08:43:01:364,43152,0.5,43183,0.7,91612,0.5,118571,2.25 145,1,2024-09-07 08:43:00:560,328301,328301,0,0,153750430681,1621983220,323105,4377,819,382,391615,0 145,2,2024-09-07 08:43:01:439,237377,237377,0,0,10878457,0,3903 145,3,2024-09-07 08:43:00:901,1,188,5,0,579,2637,188,0 146,0,2024-09-07 08:43:01:655,50601,0.5,50242,0.7,101143,0.4,135081,2.25 146,1,2024-09-07 08:43:01:594,330758,330758,0,0,154617421443,1625592159,325147,4425,1186,368,391770,0 146,2,2024-09-07 08:43:01:710,237889,237889,0,0,10463571,0,2498 146,3,2024-09-07 08:43:01:280,1,188,2,0,1520,5314,188,0 147,0,2024-09-07 08:43:01:835,50499,0.7,50375,0.9,100223,0.7,135005,2.25 147,1,2024-09-07 08:43:01:568,331620,331620,0,0,154528068911,1607359573,328480,2642,498,368,391791,0 147,2,2024-09-07 08:43:01:058,237127,237127,0,0,9150092,0,2789 147,3,2024-09-07 08:43:00:925,1,188,51,0,371,2218,188,0 0,0,2024-09-07 08:43:11:714,47629,0.4,47625,0.6,101008,0.3,130695,1.75 0,1,2024-09-07 08:43:10:807,332526,332526,0,0,156347654229,1633770037,330493,1896,137,372,391772,0 0,2,2024-09-07 08:43:11:080,239717,239717,0,0,9816850,0,4480 0,3,2024-09-07 08:43:10:981,1,189,1,0,247,2386,189,0 1,0,2024-09-07 08:43:11:817,50159,1.1,49839,1.1,100104,1.5,133854,2.25 1,1,2024-09-07 08:43:10:586,332104,332104,0,0,154541127345,1620350864,328646,2590,868,371,391857,0 1,2,2024-09-07 08:43:10:639,234974,234974,0,0,8892284,0,3267 1,3,2024-09-07 08:43:11:303,1,189,3,0,262,2338,189,0 2,0,2024-09-07 08:43:11:575,47012,1.0,47315,1.0,93877,1.4,125170,2.25 2,1,2024-09-07 08:43:10:864,332529,332529,0,0,156347340835,1623609928,330985,1338,206,380,391745,0 2,2,2024-09-07 08:43:11:271,240448,240448,0,0,9592968,0,3594 2,3,2024-09-07 08:43:10:702,1,189,1,0,357,1880,189,0 3,0,2024-09-07 08:43:11:753,42432,0.4,42669,0.6,84980,0.4,113256,1.75 3,1,2024-09-07 08:43:11:620,332025,332025,0,0,155370158696,1619830869,328821,2780,424,380,391516,0 3,2,2024-09-07 08:43:11:142,240667,240644,23,0,9806132,0,5851 3,3,2024-09-07 08:43:11:753,1,189,1,0,103,1183,189,0 4,0,2024-09-07 08:43:11:845,45339,0.3,46689,0.5,94925,0.3,125071,1.75 4,1,2024-09-07 08:43:10:602,332085,332085,0,0,155123544439,1629611782,328727,2829,529,371,391846,0 4,2,2024-09-07 08:43:11:018,237792,237792,0,0,11905103,0,4528 4,3,2024-09-07 08:43:11:026,1,189,3,0,448,3124,189,0 5,0,2024-09-07 08:43:11:434,49925,0.4,49970,0.6,99709,0.4,132506,1.75 5,1,2024-09-07 08:43:10:785,331666,331666,0,0,154953661567,1632087433,327136,3473,1057,368,392005,0 5,2,2024-09-07 08:43:11:845,235523,235523,0,0,10086700,0,2259 5,3,2024-09-07 08:43:11:740,1,189,7,0,278,2880,189,0 6,0,2024-09-07 08:43:10:918,49772,0.8,49290,0.9,98404,1.0,131627,2.25 6,1,2024-09-07 08:43:10:747,332323,332323,0,0,154607886926,1618593122,328607,3057,659,379,391634,0 6,2,2024-09-07 08:43:11:116,240292,240292,0,0,10451659,0,4816 6,3,2024-09-07 08:43:11:274,1,189,29,0,340,2521,189,0 7,0,2024-09-07 08:43:11:531,42517,0.4,42511,0.7,84886,0.4,113017,2.00 7,1,2024-09-07 08:43:10:850,331905,331905,0,0,155464034401,1630652960,327944,3605,356,382,391664,0 7,2,2024-09-07 08:43:10:776,239370,239370,0,0,9838981,0,2981 7,3,2024-09-07 08:43:10:851,1,189,3,0,305,1845,189,0 8,0,2024-09-07 08:43:11:403,45281,0.3,45595,0.4,90592,0.2,121255,1.50 8,1,2024-09-07 08:43:11:021,331010,331010,0,0,155360318397,1639522146,324863,4543,1604,367,391956,0 8,2,2024-09-07 08:43:10:790,235423,235423,0,0,11610710,0,2986 8,3,2024-09-07 08:43:10:595,1,189,23,0,357,2911,189,0 9,0,2024-09-07 08:43:11:100,51322,0.4,49798,0.5,103966,0.3,136160,1.75 9,1,2024-09-07 08:43:10:550,331407,331407,0,0,155241901805,1639507178,326087,3913,1407,370,392001,0 9,2,2024-09-07 08:43:11:086,238636,238636,0,0,10683382,0,3360 9,3,2024-09-07 08:43:11:752,1,189,64,0,496,3006,189,0 10,0,2024-09-07 08:43:11:601,51092,0.4,50802,0.5,101953,0.3,135866,1.75 10,1,2024-09-07 08:43:10:585,331967,331967,0,0,155034687415,1626443463,327122,4148,697,381,391741,0 10,2,2024-09-07 08:43:10:765,239085,239085,0,0,10949837,0,2940 10,3,2024-09-07 08:43:10:880,1,189,65,0,177,1412,189,0 11,0,2024-09-07 08:43:11:015,43377,0.6,42202,0.8,88324,0.6,117758,2.00 11,1,2024-09-07 08:43:10:574,331850,331850,0,0,154870768777,1630472146,325609,4781,1460,384,391537,0 11,2,2024-09-07 08:43:11:126,239385,239385,0,0,10513562,0,3411 11,3,2024-09-07 08:43:11:298,1,189,0,0,720,2977,189,0 12,0,2024-09-07 08:43:10:963,45400,0.3,45158,0.5,90681,0.2,120688,1.50 12,1,2024-09-07 08:43:10:933,332362,332362,0,0,154709418229,1614439870,329391,2554,417,370,391837,0 12,2,2024-09-07 08:43:11:545,240838,240838,0,0,10549127,0,3469 12,3,2024-09-07 08:43:11:059,1,189,1,0,358,3110,189,0 13,0,2024-09-07 08:43:11:399,50119,0.3,50045,0.5,100074,0.3,133660,1.50 13,1,2024-09-07 08:43:11:525,331716,331716,0,0,154956927443,1624194332,329273,2032,411,382,391717,0 13,2,2024-09-07 08:43:10:616,240590,240590,0,0,9807245,0,3287 13,3,2024-09-07 08:43:11:786,1,189,5,0,467,3488,189,0 14,0,2024-09-07 08:43:10:561,51084,0.4,51524,0.6,101854,0.4,135914,1.75 14,1,2024-09-07 08:43:11:561,334102,334102,0,0,155449862931,1609613693,331833,2105,164,364,391571,0 14,2,2024-09-07 08:43:10:766,236411,236411,0,0,9607644,0,2896 14,3,2024-09-07 08:43:11:120,1,189,1,0,1168,3111,189,0 15,0,2024-09-07 08:43:11:566,45563,0.7,45740,1.0,91465,0.8,121558,2.25 15,1,2024-09-07 08:43:11:614,332366,332366,0,0,156045912344,1621511349,330447,1809,110,381,391619,0 15,2,2024-09-07 08:43:10:998,241003,241003,0,0,8245588,0,3043 15,3,2024-09-07 08:43:11:411,1,189,4,0,1126,4656,189,0 16,0,2024-09-07 08:43:10:938,43583,0.4,43792,0.7,86954,0.4,116329,2.00 16,1,2024-09-07 08:43:10:573,332611,332611,0,0,155472980729,1625294663,329934,2404,273,370,391756,0 16,2,2024-09-07 08:43:11:436,240255,240255,0,0,10858541,0,4719 16,3,2024-09-07 08:43:11:148,1,189,1,0,231,2447,189,0 17,0,2024-09-07 08:43:11:771,49779,0.4,48531,0.6,95228,0.4,129197,1.75 17,1,2024-09-07 08:43:10:615,331504,331504,0,0,155060580232,1627578300,328082,2747,675,368,391688,0 17,2,2024-09-07 08:43:11:669,241623,241623,0,0,9608777,0,2857 17,3,2024-09-07 08:43:10:586,1,189,0,0,268,2916,189,0 18,0,2024-09-07 08:43:10:940,48885,0.8,49180,0.9,98351,1.0,131107,2.50 18,1,2024-09-07 08:43:11:646,332732,332732,0,0,155395630179,1611498032,330614,1883,235,367,391564,0 18,2,2024-09-07 08:43:11:768,236058,236058,0,0,9339753,0,3541 18,3,2024-09-07 08:43:10:903,1,189,7,0,163,2037,189,0 19,0,2024-09-07 08:43:11:546,47781,0.9,48205,0.9,95237,1.1,126664,2.50 19,1,2024-09-07 08:43:10:574,332697,332697,0,0,156415411019,1627015109,328880,3101,716,367,391777,0 19,2,2024-09-07 08:43:11:751,241993,241993,0,0,8845926,0,3988 19,3,2024-09-07 08:43:11:134,1,189,4,0,524,1606,189,0 20,0,2024-09-07 08:43:11:354,42345,0.5,42284,0.7,84809,0.5,112621,2.00 20,1,2024-09-07 08:43:10:585,331606,331606,0,0,155807189744,1633742216,327970,3242,394,369,391822,0 20,2,2024-09-07 08:43:10:931,240071,240071,0,0,10318359,0,3721 20,3,2024-09-07 08:43:10:595,1,189,1,0,414,3739,189,0 21,0,2024-09-07 08:43:11:180,45904,0.4,46184,0.6,91845,0.3,122195,1.75 21,1,2024-09-07 08:43:11:546,331032,331032,0,0,154132944743,1626126801,326427,3571,1034,368,391962,0 21,2,2024-09-07 08:43:11:070,237939,237939,0,0,11235962,0,3747 21,3,2024-09-07 08:43:11:404,1,189,1,0,103,2229,189,0 22,0,2024-09-07 08:43:11:729,50445,0.7,50473,0.8,100539,0.8,133617,2.25 22,1,2024-09-07 08:43:11:023,331372,331372,0,0,154565032414,1629402455,325584,4269,1519,382,391667,0 22,2,2024-09-07 08:43:10:764,237725,237725,0,0,9656096,0,3134 22,3,2024-09-07 08:43:11:066,1,189,6,0,228,1932,189,0 23,0,2024-09-07 08:43:11:372,49732,0.8,49815,0.8,99767,0.9,132961,2.50 23,1,2024-09-07 08:43:11:011,332013,332013,0,0,155490178760,1636392872,325744,3845,2424,365,391549,0 23,2,2024-09-07 08:43:11:091,239424,239424,0,0,9892957,0,3010 23,3,2024-09-07 08:43:11:757,1,189,1,0,645,2521,189,0 24,0,2024-09-07 08:43:10:861,44484,0.5,44460,0.6,88757,0.5,118082,1.75 24,1,2024-09-07 08:43:10:594,332151,332151,0,0,155058008909,1624140980,328491,2662,998,369,391640,0 24,2,2024-09-07 08:43:11:074,238696,238696,0,0,11405311,0,2942 24,3,2024-09-07 08:43:11:691,1,189,6,0,468,2589,189,0 25,0,2024-09-07 08:43:11:377,46169,0.4,45198,0.6,88495,0.3,120648,1.75 25,1,2024-09-07 08:43:10:714,332100,332100,0,0,155617685163,1631864423,328326,3230,544,371,391788,0 25,2,2024-09-07 08:43:11:610,238276,238276,0,0,11897223,0,3978 25,3,2024-09-07 08:43:11:010,1,189,1,0,255,2269,189,0 26,0,2024-09-07 08:43:11:753,50835,0.4,49781,0.6,104312,0.4,135896,1.75 26,1,2024-09-07 08:43:11:550,332563,332563,0,0,154765176216,1624813292,327322,4263,978,381,391748,0 26,2,2024-09-07 08:43:10:860,239881,239881,0,0,11227299,0,2809 26,3,2024-09-07 08:43:11:712,1,189,20,0,796,2500,189,0 27,0,2024-09-07 08:43:11:728,51161,0.5,51119,0.7,101355,0.5,135645,2.00 27,1,2024-09-07 08:43:11:683,333689,333689,0,0,156069848375,1621159097,331641,1725,323,381,391558,0 27,2,2024-09-07 08:43:10:866,236198,236198,0,0,10909350,0,3409 27,3,2024-09-07 08:43:11:019,1,189,1,0,564,2013,189,0 28,0,2024-09-07 08:43:11:427,44474,0.7,44616,0.8,89748,0.9,119493,2.25 28,1,2024-09-07 08:43:10:803,333093,333093,0,0,156055018840,1624042603,330906,1850,337,383,391646,0 28,2,2024-09-07 08:43:11:775,240545,240545,0,0,9042701,0,2915 28,3,2024-09-07 08:43:11:775,1,189,2,0,502,2154,189,0 29,0,2024-09-07 08:43:11:368,45196,0.3,44111,0.5,86275,0.2,118576,1.75 29,1,2024-09-07 08:43:11:562,334081,334081,0,0,155501769942,1609466307,331645,1892,544,369,391621,0 29,2,2024-09-07 08:43:10:864,240105,240105,0,0,8538896,0,4018 29,3,2024-09-07 08:43:10:965,1,189,1,0,115,1415,189,0 30,0,2024-09-07 08:43:11:457,48935,0.4,47652,0.6,99818,0.3,131248,2.00 30,1,2024-09-07 08:43:10:571,333132,333132,0,0,155606611082,1613906741,331133,1721,278,382,391672,0 30,2,2024-09-07 08:43:11:282,240516,240516,0,0,8600038,0,3161 30,3,2024-09-07 08:43:10:592,1,189,7,0,195,1313,189,0 31,0,2024-09-07 08:43:11:769,49572,0.5,50050,0.6,100499,0.5,133748,2.00 31,1,2024-09-07 08:43:10:572,334759,334759,0,0,156099068236,1598530156,333935,711,113,356,391712,0 31,2,2024-09-07 08:43:11:518,235327,235327,0,0,10068614,0,3525 31,3,2024-09-07 08:43:11:709,1,189,1,0,220,1580,189,0 32,0,2024-09-07 08:43:11:420,46944,0.5,47437,0.6,94937,0.4,125850,1.75 32,1,2024-09-07 08:43:10:810,332980,332980,0,0,155447903419,1613358575,331192,1512,276,381,391595,0 32,2,2024-09-07 08:43:10:945,241265,241265,0,0,8482719,0,3155 32,3,2024-09-07 08:43:11:019,1,189,1,0,227,1571,189,0 33,0,2024-09-07 08:43:11:513,43057,0.3,42416,0.5,85682,0.2,114069,1.75 33,1,2024-09-07 08:43:10:576,333802,333802,0,0,156173529919,1614508200,331538,2092,172,369,391730,0 33,2,2024-09-07 08:43:10:761,240692,240659,33,0,10656965,0,7012 33,3,2024-09-07 08:43:10:896,1,189,7,0,329,2035,189,0 34,0,2024-09-07 08:43:10:938,46903,0.2,48427,0.4,92825,0.2,125379,1.50 34,1,2024-09-07 08:43:11:044,333907,333907,0,0,156939028113,1614771947,332909,992,6,367,391562,0 34,2,2024-09-07 08:43:10:773,238453,238453,0,0,9625471,0,3577 34,3,2024-09-07 08:43:11:689,1,189,2,0,148,1292,189,0 35,0,2024-09-07 08:43:10:860,49474,0.4,49990,0.5,99959,0.3,132791,1.75 35,1,2024-09-07 08:43:11:071,332133,332133,0,0,155553169305,1614744564,329303,2060,770,384,391589,0 35,2,2024-09-07 08:43:11:584,237511,237511,0,0,8584316,0,2653 35,3,2024-09-07 08:43:10:919,1,189,1,0,418,2045,189,0 36,0,2024-09-07 08:43:11:530,49499,0.8,49422,0.9,98973,1.0,131197,2.50 36,1,2024-09-07 08:43:10:586,332610,332610,0,0,155244327606,1625692445,327665,3635,1310,366,391759,0 36,2,2024-09-07 08:43:11:753,239687,239687,0,0,10344988,0,3303 36,3,2024-09-07 08:43:10:862,1,189,1,0,378,3056,189,0 37,0,2024-09-07 08:43:11:392,42596,0.5,42519,0.7,84989,0.4,113851,2.00 37,1,2024-09-07 08:43:10:573,331721,331714,0,7,154839669327,1626639763,326698,2990,2026,365,391560,0 37,2,2024-09-07 08:43:11:141,239422,239407,15,0,10759620,0,5815 37,3,2024-09-07 08:43:11:770,1,189,1,0,724,2968,189,0 38,0,2024-09-07 08:43:11:445,45234,0.4,43891,0.6,91749,0.3,120715,2.00 38,1,2024-09-07 08:43:11:617,332949,332949,0,0,155851613842,1629732309,328482,3822,645,368,391821,0 38,2,2024-09-07 08:43:10:766,238165,238118,47,0,12062543,0,6710 38,3,2024-09-07 08:43:10:997,1,189,1,0,689,3084,189,0 39,0,2024-09-07 08:43:11:773,52189,0.7,51229,0.8,99480,0.8,135912,2.00 39,1,2024-09-07 08:43:10:731,332698,332698,0,0,155980269581,1625863160,328764,3132,802,365,391524,0 39,2,2024-09-07 08:43:11:421,238861,238861,0,0,9534373,0,2689 39,3,2024-09-07 08:43:10:727,1,189,15,0,293,2664,189,0 40,0,2024-09-07 08:43:11:493,50326,0.8,50894,1.1,101864,0.9,135344,3.00 40,1,2024-09-07 08:43:10:584,331998,331998,0,0,154616848151,1622720442,326454,4323,1221,368,391591,0 40,2,2024-09-07 08:43:11:309,237998,237997,1,0,11823656,0,5137 40,3,2024-09-07 08:43:11:148,1,189,56,0,181,1968,189,0 41,0,2024-09-07 08:43:11:023,43453,1.7,44285,1.5,84774,2.9,116257,4.00 41,1,2024-09-07 08:43:10:776,331918,331918,0,0,155517687341,1627602694,327433,3943,542,370,391742,0 41,2,2024-09-07 08:43:10:766,238250,238250,0,0,11323421,0,3356 41,3,2024-09-07 08:43:11:689,1,189,0,0,366,2340,189,0 42,0,2024-09-07 08:43:11:478,44615,0.6,44938,0.9,89539,0.6,118748,2.50 42,1,2024-09-07 08:43:11:438,330605,330605,0,0,154490251508,1625761800,325078,4454,1073,380,391675,0 42,2,2024-09-07 08:43:11:141,239124,239124,0,0,11013479,0,3568 42,3,2024-09-07 08:43:11:009,1,189,39,0,446,1598,189,0 43,0,2024-09-07 08:43:10:928,49863,0.5,48486,0.8,101479,0.5,133627,2.00 43,1,2024-09-07 08:43:10:585,332383,332383,0,0,156177310223,1627940982,328743,2845,795,366,391696,0 43,2,2024-09-07 08:43:11:742,240252,240252,0,0,10481416,0,3812 43,3,2024-09-07 08:43:11:749,1,189,0,0,467,2653,189,0 44,0,2024-09-07 08:43:10:864,50838,0.5,51209,0.7,102078,0.5,136533,1.75 44,1,2024-09-07 08:43:10:566,333736,333736,0,0,155285153240,1603034303,331650,1661,425,356,391809,0 44,2,2024-09-07 08:43:11:277,235850,235850,0,0,8265254,0,1877 44,3,2024-09-07 08:43:11:092,1,189,1,0,817,2178,189,0 45,0,2024-09-07 08:43:11:757,45119,1.0,44164,1.0,92762,1.1,122235,2.25 45,1,2024-09-07 08:43:11:010,333282,333282,0,0,155598940073,1611131867,332241,1031,10,382,391917,0 45,2,2024-09-07 08:43:11:272,241067,241067,0,0,9031151,0,3596 45,3,2024-09-07 08:43:10:942,1,189,0,0,226,1819,189,0 46,0,2024-09-07 08:43:10:957,43417,0.3,43321,0.5,86904,0.2,115516,1.75 46,1,2024-09-07 08:43:10:585,333704,333704,0,0,155376552164,1604386734,331894,1586,224,366,391524,0 46,2,2024-09-07 08:43:10:594,241099,241099,0,0,9124789,0,2920 46,3,2024-09-07 08:43:11:134,1,189,3,0,908,3189,189,0 47,0,2024-09-07 08:43:11:102,48427,0.3,48561,0.5,97393,0.2,129084,1.75 47,1,2024-09-07 08:43:10:572,334350,334350,0,0,155645059234,1604738155,332938,1395,17,366,391605,0 47,2,2024-09-07 08:43:10:908,240612,240612,0,0,9135454,0,2558 47,3,2024-09-07 08:43:11:121,1,189,1,0,529,1929,189,0 48,0,2024-09-07 08:43:11:508,49983,0.3,49633,0.4,99050,0.2,132001,1.50 48,1,2024-09-07 08:43:11:022,333740,333740,0,0,155112762373,1610176402,331673,1886,181,384,391710,0 48,2,2024-09-07 08:43:10:702,236196,236196,0,0,8131706,0,3031 48,3,2024-09-07 08:43:10:753,1,189,2,0,339,1568,189,0 49,0,2024-09-07 08:43:11:716,49472,0.5,48562,0.6,94319,0.5,128673,1.75 49,1,2024-09-07 08:43:11:021,332188,332188,0,0,155628664746,1621546022,329400,1948,840,382,391583,0 49,2,2024-09-07 08:43:11:799,242002,242002,0,0,8941793,0,3900 49,3,2024-09-07 08:43:11:416,1,189,0,0,408,2499,189,0 50,0,2024-09-07 08:43:11:507,42544,0.3,42042,0.5,84396,0.2,112668,1.75 50,1,2024-09-07 08:43:11:011,334542,334542,0,0,155757823103,1613746449,331942,2290,310,368,391530,0 50,2,2024-09-07 08:43:11:070,240559,240559,0,0,8882753,0,2263 50,3,2024-09-07 08:43:11:291,1,189,2,0,335,1527,189,0 51,0,2024-09-07 08:43:11:701,46927,0.2,46068,0.4,89844,0.2,122896,1.50 51,1,2024-09-07 08:43:11:683,333429,333429,0,0,157218877953,1622975745,331454,1189,786,365,391637,0 51,2,2024-09-07 08:43:11:316,238561,238561,0,0,8240327,0,3337 51,3,2024-09-07 08:43:11:027,1,189,1,0,162,994,189,0 52,0,2024-09-07 08:43:11:425,50955,0.5,50800,0.7,101438,0.5,134240,2.00 52,1,2024-09-07 08:43:10:574,331899,331899,0,0,154202863413,1624114049,325642,5097,1160,368,391722,0 52,2,2024-09-07 08:43:11:763,236602,236564,38,0,11104396,0,6742 52,3,2024-09-07 08:43:10:674,1,189,3,0,1782,3759,189,0 53,0,2024-09-07 08:43:11:764,49612,0.9,48227,0.9,100993,1.1,132142,2.50 53,1,2024-09-07 08:43:10:775,331229,331229,0,0,154999821339,1632764856,325077,4117,2035,367,391702,0 53,2,2024-09-07 08:43:11:297,239881,239881,0,0,9514877,0,2262 53,3,2024-09-07 08:43:10:701,1,189,0,0,271,1725,189,0 54,0,2024-09-07 08:43:11:630,42871,1.4,43388,1.1,85832,0.7,115380,3.25 54,1,2024-09-07 08:43:10:593,332381,332381,0,0,155855065838,1625540760,328268,3542,571,367,391659,0 54,2,2024-09-07 08:43:10:876,239344,239338,6,0,10948042,0,5382 54,3,2024-09-07 08:43:10:766,1,189,1,0,676,3344,189,0 55,0,2024-09-07 08:43:11:784,43544,0.5,45008,0.7,90827,0.4,118472,2.25 55,1,2024-09-07 08:43:10:764,331770,331770,0,0,154727266568,1617883823,326307,4676,787,365,391731,0 55,2,2024-09-07 08:43:10:738,238023,238023,0,0,10771238,0,3275 55,3,2024-09-07 08:43:10:685,1,189,1,0,304,2420,189,0 56,0,2024-09-07 08:43:11:565,52202,1.3,49240,1.1,101406,1.8,135806,2.50 56,1,2024-09-07 08:43:10:606,331556,331556,0,0,155520450216,1644055192,326031,4582,943,381,391678,0 56,2,2024-09-07 08:43:11:322,239495,239495,0,0,11559202,0,3567 56,3,2024-09-07 08:43:11:074,1,189,1,0,405,2572,189,0 57,0,2024-09-07 08:43:11:029,49962,2.1,50169,1.4,100279,2.9,134407,3.50 57,1,2024-09-07 08:43:11:004,332265,332265,0,0,154759466956,1621011014,328766,3081,418,367,391960,0 57,2,2024-09-07 08:43:11:352,237399,237399,0,0,11887755,0,3317 57,3,2024-09-07 08:43:11:748,1,189,28,0,359,2912,189,0 58,0,2024-09-07 08:43:10:574,43511,1.0,42344,1.1,88665,1.2,116051,2.75 58,1,2024-09-07 08:43:10:580,332892,332889,0,3,156314270935,1634528695,328241,4004,644,367,391517,3 58,2,2024-09-07 08:43:11:078,239938,239938,0,0,10709474,0,2549 58,3,2024-09-07 08:43:11:074,1,189,2,0,1043,2445,189,0 59,0,2024-09-07 08:43:11:749,44305,0.6,43900,0.9,87738,0.6,117335,2.75 59,1,2024-09-07 08:43:10:810,332288,332288,0,0,155965260666,1631246744,328185,3120,983,369,391525,0 59,2,2024-09-07 08:43:10:596,241273,241273,0,0,9995986,0,2604 59,3,2024-09-07 08:43:11:740,1,189,157,0,1015,3133,189,0 60,0,2024-09-07 08:43:11:720,49347,0.3,49149,0.5,98743,0.3,131191,1.75 60,1,2024-09-07 08:43:10:782,333922,333922,0,0,155924280955,1614049464,332458,1105,359,370,391761,0 60,2,2024-09-07 08:43:11:153,239862,239862,0,0,10388725,0,3811 60,3,2024-09-07 08:43:11:273,1,189,1,0,124,1720,189,0 61,0,2024-09-07 08:43:11:506,49997,1.0,50222,0.9,100224,1.3,133854,2.00 61,1,2024-09-07 08:43:10:776,332472,332472,0,0,155523566044,1627715182,328930,2989,553,382,391880,0 61,2,2024-09-07 08:43:11:143,236406,236406,0,0,9080125,0,2079 61,3,2024-09-07 08:43:11:698,1,189,9,0,265,2380,189,0 62,0,2024-09-07 08:43:11:713,47465,1.1,48425,1.0,92405,1.5,125659,2.25 62,1,2024-09-07 08:43:11:111,334386,334380,0,6,156213762256,1610168207,332686,1655,39,365,391715,6 62,2,2024-09-07 08:43:11:644,239914,239913,1,0,10643530,0,5555 62,3,2024-09-07 08:43:11:147,1,189,1,0,287,1283,189,0 63,0,2024-09-07 08:43:11:453,42683,0.5,42606,0.6,85439,0.4,113804,1.75 63,1,2024-09-07 08:43:10:811,333731,333725,0,6,155802505090,1612787678,332455,1243,27,381,391677,6 63,2,2024-09-07 08:43:10:765,240451,240451,0,0,8716355,0,2674 63,3,2024-09-07 08:43:11:740,1,189,1,0,667,2487,189,0 64,0,2024-09-07 08:43:11:529,46606,0.4,46738,0.6,93728,0.3,125144,1.75 64,1,2024-09-07 08:43:10:753,332766,332766,0,0,155387872490,1621218045,329291,2420,1055,370,391783,0 64,2,2024-09-07 08:43:11:144,240763,240744,19,0,9469047,0,6121 64,3,2024-09-07 08:43:11:150,1,189,7,0,265,2039,189,0 65,0,2024-09-07 08:43:11:688,49227,0.7,49701,0.8,99089,0.8,131847,2.25 65,1,2024-09-07 08:43:10:863,331973,331973,0,0,154955626202,1620657438,329267,2482,224,382,391770,0 65,2,2024-09-07 08:43:11:699,236993,236993,0,0,9921727,0,3367 65,3,2024-09-07 08:43:11:683,1,189,0,0,163,1763,189,0 66,0,2024-09-07 08:43:11:765,48927,0.6,49068,0.8,98413,0.6,130474,2.25 66,1,2024-09-07 08:43:11:295,333504,333504,0,0,155713708404,1616819055,331615,1716,173,380,391588,0 66,2,2024-09-07 08:43:11:134,242297,242297,0,0,9493160,0,4956 66,3,2024-09-07 08:43:11:089,1,189,5,0,291,1899,189,0 67,0,2024-09-07 08:43:11:415,43002,0.7,42771,0.8,85920,0.8,113894,2.25 67,1,2024-09-07 08:43:10:775,332423,332422,0,1,155338818149,1622056127,328945,2730,747,381,391787,1 67,2,2024-09-07 08:43:10:596,240923,240923,0,0,8933217,0,2889 67,3,2024-09-07 08:43:11:752,1,189,1,0,338,1676,189,0 68,0,2024-09-07 08:43:10:833,45514,0.5,45398,0.7,90662,0.4,121137,2.00 68,1,2024-09-07 08:43:10:578,331489,331489,0,0,154903630188,1627345982,327883,2471,1135,381,391953,0 68,2,2024-09-07 08:43:11:050,237697,237632,65,0,12497616,0,6698 68,3,2024-09-07 08:43:10:746,1,189,10,0,417,2389,189,0 69,0,2024-09-07 08:43:11:734,50896,0.9,51113,0.9,101633,1.1,135379,2.25 69,1,2024-09-07 08:43:11:020,330643,330643,0,0,155004471674,1636637957,326375,3053,1215,384,391994,0 69,2,2024-09-07 08:43:11:737,239346,239346,0,0,11360416,0,3701 69,3,2024-09-07 08:43:10:760,1,189,5,0,698,2972,189,0 70,0,2024-09-07 08:43:11:543,50463,1.5,50543,1.3,101355,1.0,134961,2.75 70,1,2024-09-07 08:43:10:803,332490,332490,0,0,156346595622,1625219889,329524,2462,504,366,391725,0 70,2,2024-09-07 08:43:11:330,238860,238860,0,0,10408657,0,4044 70,3,2024-09-07 08:43:10:752,1,189,8,0,854,2394,189,0 71,0,2024-09-07 08:43:11:358,43332,1.8,43331,1.5,86401,2.5,116470,3.25 71,1,2024-09-07 08:43:11:599,332506,332506,0,0,155492612074,1626777713,328134,3820,552,368,391738,0 71,2,2024-09-07 08:43:11:070,240033,240033,0,0,10685606,0,2579 71,3,2024-09-07 08:43:11:757,1,189,13,0,644,2981,189,0 72,0,2024-09-07 08:43:11:048,46569,0.6,45433,0.8,88723,0.6,121099,2.25 72,1,2024-09-07 08:43:11:040,332275,332275,0,0,155525360086,1625164747,328256,3270,749,369,391819,0 72,2,2024-09-07 08:43:11:754,238572,238572,0,0,11965371,0,2570 72,3,2024-09-07 08:43:11:758,1,189,53,0,364,3509,189,0 73,0,2024-09-07 08:43:11:212,48755,0.4,49997,0.5,102262,0.3,133173,2.00 73,1,2024-09-07 08:43:10:839,332339,332339,0,0,155918731759,1619633691,329925,2240,174,367,391750,0 73,2,2024-09-07 08:43:11:743,240409,240409,0,0,11443096,0,3482 73,3,2024-09-07 08:43:10:977,1,189,4,0,274,2727,189,0 74,0,2024-09-07 08:43:11:326,51413,0.5,52495,0.7,99910,0.5,136077,2.25 74,1,2024-09-07 08:43:10:634,332215,332215,0,0,155565316982,1624679075,328821,2647,747,382,391681,0 74,2,2024-09-07 08:43:11:010,236066,236066,0,0,11165559,0,4253 74,3,2024-09-07 08:43:11:444,1,189,91,0,522,2967,189,0 75,0,2024-09-07 08:43:11:763,45962,1.0,45641,1.1,91498,1.0,122609,2.50 75,1,2024-09-07 08:43:11:593,331657,331657,0,0,154986476006,1623478091,327535,3461,661,381,391579,0 75,2,2024-09-07 08:43:11:352,240075,240075,0,0,10871255,0,4766 75,3,2024-09-07 08:43:11:072,1,189,1,0,535,2277,189,0 76,0,2024-09-07 08:43:10:589,43364,0.4,42981,0.6,85878,0.3,115683,2.00 76,1,2024-09-07 08:43:10:817,332579,332579,0,0,155002267263,1614859636,330495,1571,513,382,391692,0 76,2,2024-09-07 08:43:11:070,241546,241546,0,0,9344672,0,3064 76,3,2024-09-07 08:43:11:162,1,189,4,0,175,2144,189,0 77,0,2024-09-07 08:43:11:725,48259,0.4,48599,0.6,96895,0.3,128681,1.75 77,1,2024-09-07 08:43:10:828,333726,333726,0,0,155544415060,1619918932,332000,1638,88,383,391808,0 77,2,2024-09-07 08:43:11:293,239143,239143,0,0,9607930,0,3890 77,3,2024-09-07 08:43:11:094,1,189,1,0,305,2207,189,0 78,0,2024-09-07 08:43:11:717,49643,0.4,49352,0.6,99495,0.4,131584,2.00 78,1,2024-09-07 08:43:10:610,333070,333070,0,0,154822958749,1607360725,330732,2085,253,367,391646,0 78,2,2024-09-07 08:43:11:419,236912,236912,0,0,8670287,0,2114 78,3,2024-09-07 08:43:11:134,1,189,2,0,181,1791,189,0 79,0,2024-09-07 08:43:11:349,46730,0.5,47795,0.7,97872,0.5,126975,2.50 79,1,2024-09-07 08:43:10:573,333961,333961,0,0,155722159679,1611194380,331707,2080,174,369,391682,0 79,2,2024-09-07 08:43:11:070,241554,241554,0,0,8930708,0,3212 79,3,2024-09-07 08:43:10:749,1,189,1,0,418,2983,189,0 80,0,2024-09-07 08:43:11:093,42193,0.5,43474,0.7,83177,0.5,112663,2.00 80,1,2024-09-07 08:43:11:633,332157,332157,0,0,155019445741,1613290002,329616,2377,164,368,391791,0 80,2,2024-09-07 08:43:11:095,240973,240973,0,0,9622465,0,4433 80,3,2024-09-07 08:43:10:577,1,189,2,0,190,2823,189,0 81,0,2024-09-07 08:43:11:552,45937,0.4,47251,0.6,90544,0.4,122508,1.75 81,1,2024-09-07 08:43:11:653,332515,332515,0,0,154756866566,1616982317,329925,2316,274,382,391879,0 81,2,2024-09-07 08:43:11:144,238365,238365,0,0,9857670,0,3993 81,3,2024-09-07 08:43:11:125,1,189,1,0,193,1883,189,0 82,0,2024-09-07 08:43:11:531,50370,0.4,50681,0.6,101456,0.4,134420,1.75 82,1,2024-09-07 08:43:10:585,332962,332958,0,4,155812302735,1623191719,330443,1937,578,381,391558,4 82,2,2024-09-07 08:43:11:699,238446,238446,0,0,9239264,0,3986 82,3,2024-09-07 08:43:11:752,1,189,9,0,227,2046,189,0 83,0,2024-09-07 08:43:11:531,50073,0.7,50060,0.8,99455,0.7,132495,2.00 83,1,2024-09-07 08:43:10:556,332549,332549,0,0,155150075260,1619512437,329915,2410,224,382,391690,0 83,2,2024-09-07 08:43:10:773,239228,239228,0,0,9070810,0,3119 83,3,2024-09-07 08:43:10:751,1,189,1,0,241,1944,189,0 84,0,2024-09-07 08:43:11:835,43435,1.0,43342,1.2,87076,0.8,116495,2.50 84,1,2024-09-07 08:43:11:064,331571,331571,0,0,154733594678,1621785649,327602,3401,568,368,391967,0 84,2,2024-09-07 08:43:10:580,239754,239754,0,0,10856971,0,3801 84,3,2024-09-07 08:43:11:141,1,189,20,0,353,2569,189,0 85,0,2024-09-07 08:43:11:097,43373,0.6,43418,0.8,92081,0.6,119206,2.25 85,1,2024-09-07 08:43:10:575,331297,331297,0,0,154144201737,1633513745,325257,4945,1095,382,392006,0 85,2,2024-09-07 08:43:10:888,239672,239672,0,0,11521747,0,3656 85,3,2024-09-07 08:43:10:695,1,189,6,0,789,2782,189,0 86,0,2024-09-07 08:43:10:898,51115,0.6,52453,0.8,100659,0.7,135799,2.00 86,1,2024-09-07 08:43:10:826,332327,332327,0,0,155693847339,1630368836,328295,3487,545,366,391961,0 86,2,2024-09-07 08:43:10:854,238341,238340,1,0,11954571,0,5004 86,3,2024-09-07 08:43:10:587,1,189,0,0,286,2604,189,0 87,0,2024-09-07 08:43:11:308,50689,1.3,50391,1.1,101389,1.8,135998,2.50 87,1,2024-09-07 08:43:10:572,332044,332044,0,0,155331729966,1628004482,328189,3395,460,366,391788,0 87,2,2024-09-07 08:43:11:083,236446,236446,0,0,9975341,0,3515 87,3,2024-09-07 08:43:11:804,1,189,0,0,473,3540,189,0 88,0,2024-09-07 08:43:11:474,44708,0.6,44913,0.7,89672,0.7,119378,1.75 88,1,2024-09-07 08:43:10:572,331089,331089,0,0,154724778863,1627585290,325669,3960,1460,365,392084,0 88,2,2024-09-07 08:43:10:717,239454,239454,0,0,11857260,0,3583 88,3,2024-09-07 08:43:11:296,1,189,27,0,435,2468,189,0 89,0,2024-09-07 08:43:11:787,45391,0.4,43992,0.6,87178,0.3,118610,1.75 89,1,2024-09-07 08:43:10:666,330405,330405,0,0,155041029880,1638271932,324739,4728,938,382,391866,0 89,2,2024-09-07 08:43:11:144,239990,239990,0,0,11626705,0,2910 89,3,2024-09-07 08:43:11:798,1,189,3,0,325,3380,189,0 90,0,2024-09-07 08:43:11:624,47910,0.4,48931,0.5,100363,0.3,130877,1.75 90,1,2024-09-07 08:43:10:610,332338,332338,0,0,155026643821,1625594283,329048,3031,259,381,391825,0 90,2,2024-09-07 08:43:11:413,238370,238370,0,0,12430662,0,3060 90,3,2024-09-07 08:43:10:932,1,189,2,0,200,2115,189,0 91,0,2024-09-07 08:43:10:955,50585,0.4,48791,0.6,101699,0.4,134104,1.75 91,1,2024-09-07 08:43:10:557,331865,331865,0,0,155683673905,1634861726,327876,3489,500,381,392047,0 91,2,2024-09-07 08:43:11:569,234846,234846,0,0,11027652,0,2445 91,3,2024-09-07 08:43:10:605,1,189,0,0,216,2019,189,0 92,0,2024-09-07 08:43:11:506,47597,0.7,48760,0.8,93235,0.8,126033,1.75 92,1,2024-09-07 08:43:10:651,332279,332279,0,0,154955864632,1616241931,330069,1806,404,382,392136,0 92,2,2024-09-07 08:43:11:382,242459,242459,0,0,9401953,0,2801 92,3,2024-09-07 08:43:11:016,1,189,5,0,167,1589,189,0 93,0,2024-09-07 08:43:11:104,42916,0.4,43969,0.6,84052,0.3,114253,1.75 93,1,2024-09-07 08:43:10:824,332751,332751,0,0,155683236866,1623443303,329602,2596,553,366,391692,0 93,2,2024-09-07 08:43:10:940,240997,240997,0,0,9467404,0,2509 93,3,2024-09-07 08:43:11:420,1,189,0,0,143,1926,189,0 94,0,2024-09-07 08:43:11:619,46799,0.3,47391,0.4,94199,0.2,125062,1.50 94,1,2024-09-07 08:43:10:572,332065,332065,0,0,155508509118,1623588396,329605,2364,96,381,391850,0 94,2,2024-09-07 08:43:10:765,238611,238611,0,0,9005543,0,2443 94,3,2024-09-07 08:43:11:694,1,189,1,0,264,2641,189,0 95,0,2024-09-07 08:43:11:396,50119,0.3,49797,0.5,100516,0.3,132651,1.75 95,1,2024-09-07 08:43:10:860,332909,332909,0,0,155847187323,1618525005,330508,2216,185,367,391662,0 95,2,2024-09-07 08:43:11:021,236821,236821,0,0,9279632,0,3308 95,3,2024-09-07 08:43:11:717,1,189,1,0,718,3309,189,0 96,0,2024-09-07 08:43:11:125,49513,0.5,49696,0.6,99332,0.5,131119,1.75 96,1,2024-09-07 08:43:11:593,332503,332503,0,0,155812399550,1628565256,329769,2087,647,385,391894,0 96,2,2024-09-07 08:43:11:273,240366,240366,0,0,9982100,0,4042 96,3,2024-09-07 08:43:11:145,1,189,8,0,188,2033,189,0 97,0,2024-09-07 08:43:11:328,43208,0.4,42893,0.5,86035,0.3,114247,1.75 97,1,2024-09-07 08:43:10:774,333481,333481,0,0,155829388795,1619070107,330663,2265,553,367,392140,0 97,2,2024-09-07 08:43:10:611,240146,240146,0,0,9280115,0,3036 97,3,2024-09-07 08:43:10:579,1,189,3,0,165,2158,189,0 98,0,2024-09-07 08:43:11:713,45559,0.2,45438,0.4,91182,0.2,121460,1.50 98,1,2024-09-07 08:43:10:574,332871,332871,0,0,155183661906,1616181932,331017,1760,94,382,391997,0 98,2,2024-09-07 08:43:10:777,239534,239534,0,0,9509983,0,3080 98,3,2024-09-07 08:43:10:706,1,189,2,0,840,3404,189,0 99,0,2024-09-07 08:43:11:461,51296,0.3,51271,0.4,102235,0.3,136411,1.50 99,1,2024-09-07 08:43:11:735,333181,333181,0,0,154608885068,1613853708,329876,2592,713,381,391744,0 99,2,2024-09-07 08:43:11:433,240718,240718,0,0,9413758,0,2615 99,3,2024-09-07 08:43:10:584,1,189,0,0,129,1365,189,0 100,0,2024-09-07 08:43:11:475,50765,1.0,50785,1.2,101423,1.6,135668,2.50 100,1,2024-09-07 08:43:10:556,330362,330362,0,0,154826913541,1640733286,324861,4568,933,381,391989,0 100,2,2024-09-07 08:43:11:820,237063,237052,11,0,11314063,0,5417 100,3,2024-09-07 08:43:11:732,1,189,1,0,559,3855,189,0 101,0,2024-09-07 08:43:11:722,44652,2.5,43596,1.5,85249,2.5,117802,2.75 101,1,2024-09-07 08:43:10:566,330945,330945,0,0,154465316941,1633306141,324918,4505,1522,368,391769,0 101,2,2024-09-07 08:43:11:773,238969,238969,0,0,12216423,0,4644 101,3,2024-09-07 08:43:10:962,1,189,48,0,448,2447,189,0 102,0,2024-09-07 08:43:11:046,43970,0.8,45584,0.9,91680,0.7,120419,2.25 102,1,2024-09-07 08:43:11:144,331576,331576,0,0,154942096852,1631601155,326857,4002,717,369,391883,0 102,2,2024-09-07 08:43:11:736,239782,239728,54,0,11795704,0,6768 102,3,2024-09-07 08:43:11:616,1,189,4,0,410,2092,189,0 103,0,2024-09-07 08:43:11:694,51500,0.4,51502,0.6,97112,0.3,134169,1.75 103,1,2024-09-07 08:43:11:656,330644,330644,0,0,154650291647,1633421293,325271,4048,1325,381,391829,0 103,2,2024-09-07 08:43:10:596,239044,239044,0,0,10411437,0,2104 103,3,2024-09-07 08:43:10:764,1,189,0,0,916,3125,189,0 104,0,2024-09-07 08:43:11:018,50411,1.1,50820,1.1,100389,1.2,135934,2.50 104,1,2024-09-07 08:43:11:655,332469,332469,0,0,155012807634,1632588827,327324,4177,968,365,391948,0 104,2,2024-09-07 08:43:11:676,235479,235479,0,0,10831507,0,3941 104,3,2024-09-07 08:43:11:423,1,189,3,1,1245,5534,189,0 105,0,2024-09-07 08:43:11:114,45326,1.4,43977,1.3,92035,2.3,121460,3.50 105,1,2024-09-07 08:43:10:564,332446,332446,0,0,154978540448,1626525230,327805,3839,802,367,391797,0 105,2,2024-09-07 08:43:11:351,239487,239487,0,0,10572682,0,3509 105,3,2024-09-07 08:43:11:312,1,189,5,0,399,3011,189,0 106,0,2024-09-07 08:43:10:955,41977,0.6,43113,0.8,88014,0.6,115354,2.25 106,1,2024-09-07 08:43:11:750,332219,332219,0,0,155053425373,1628231156,327433,4329,457,369,391767,0 106,2,2024-09-07 08:43:10:762,239544,239544,0,0,11179016,0,2795 106,3,2024-09-07 08:43:10:719,1,189,6,0,405,2564,189,0 107,0,2024-09-07 08:43:11:139,48193,0.5,48245,0.7,96080,0.5,128382,2.00 107,1,2024-09-07 08:43:10:610,331696,331696,0,0,154422904584,1623051979,328438,3023,235,381,392234,0 107,2,2024-09-07 08:43:11:302,238931,238930,1,0,10964180,0,5024 107,3,2024-09-07 08:43:11:760,1,189,2,0,353,2696,189,0 108,0,2024-09-07 08:43:11:771,49768,0.4,49868,0.6,98985,0.4,132028,1.75 108,1,2024-09-07 08:43:11:293,332087,332087,0,0,155444130765,1620649205,329372,2401,314,368,391857,0 108,2,2024-09-07 08:43:11:758,234743,234743,0,0,9749416,0,2647 108,3,2024-09-07 08:43:11:344,1,189,1,0,667,3467,189,0 109,0,2024-09-07 08:43:11:790,48537,0.4,48065,0.6,96370,0.4,128873,1.75 109,1,2024-09-07 08:43:10:596,331843,331843,0,0,155232618073,1627466038,329031,2315,497,383,392132,0 109,2,2024-09-07 08:43:10:920,240497,240497,0,0,10187485,0,3617 109,3,2024-09-07 08:43:11:141,1,189,5,0,249,2434,189,0 110,0,2024-09-07 08:43:11:752,42615,0.4,41450,0.6,86286,0.3,112822,1.75 110,1,2024-09-07 08:43:11:644,333037,333037,0,0,155205406996,1612542700,330411,1859,767,370,391667,0 110,2,2024-09-07 08:43:11:306,240772,240772,0,0,9309047,0,2915 110,3,2024-09-07 08:43:10:697,1,189,3,0,406,2532,189,0 111,0,2024-09-07 08:43:11:414,46059,0.2,45636,0.4,91202,0.1,122669,1.50 111,1,2024-09-07 08:43:11:000,333642,333642,0,0,156154071988,1619079890,331852,1457,333,382,391690,0 111,2,2024-09-07 08:43:11:121,238340,238340,0,0,9829642,0,2763 111,3,2024-09-07 08:43:10:915,1,189,0,0,379,2813,189,0 112,0,2024-09-07 08:43:10:925,50750,0.3,50680,0.4,101446,0.2,134500,1.50 112,1,2024-09-07 08:43:10:824,333580,333580,0,0,155125784308,1610961855,331246,1908,426,380,391580,0 112,2,2024-09-07 08:43:11:134,238261,238260,1,0,9805547,0,5036 112,3,2024-09-07 08:43:10:609,1,189,2,0,282,2038,189,0 113,0,2024-09-07 08:43:10:871,49784,0.4,49855,0.5,100138,0.3,133639,1.75 113,1,2024-09-07 08:43:11:694,333718,333718,0,0,156948584410,1622557269,331298,1932,488,366,391661,0 113,2,2024-09-07 08:43:11:305,240731,240731,0,0,8747606,0,3813 113,3,2024-09-07 08:43:10:691,1,189,2,0,340,3207,189,0 114,0,2024-09-07 08:43:10:876,44289,0.5,44832,0.6,88321,0.4,118460,1.75 114,1,2024-09-07 08:43:10:716,332123,332123,0,0,155239851264,1621139525,327990,2670,1463,381,391534,0 114,2,2024-09-07 08:43:10:881,240107,240107,0,0,9102425,0,3925 114,3,2024-09-07 08:43:11:291,1,189,1,0,395,2157,189,0 115,0,2024-09-07 08:43:10:558,44830,0.2,45289,0.4,90328,0.2,120225,1.50 115,1,2024-09-07 08:43:10:571,332530,332530,0,0,155662447548,1620928985,328853,2856,821,382,391602,0 115,2,2024-09-07 08:43:11:127,240674,240674,0,0,8499906,0,2152 115,3,2024-09-07 08:43:11:006,1,189,4,0,159,1171,189,0 116,0,2024-09-07 08:43:11:741,51160,0.7,50837,0.9,101979,0.7,136473,2.00 116,1,2024-09-07 08:43:10:819,329828,329828,0,0,154779178654,1644571802,324079,3699,2050,380,391677,0 116,2,2024-09-07 08:43:11:779,238726,238726,0,0,12570606,0,3529 116,3,2024-09-07 08:43:10:925,1,189,4,0,252,2674,189,0 117,0,2024-09-07 08:43:11:026,50660,1.1,50786,1.0,101374,1.4,136159,2.00 117,1,2024-09-07 08:43:11:648,331679,331679,0,0,154333382280,1619265878,327555,3648,476,370,392033,0 117,2,2024-09-07 08:43:11:122,238692,238692,0,0,9590216,0,3700 117,3,2024-09-07 08:43:11:069,1,189,10,0,490,3564,189,0 118,0,2024-09-07 08:43:11:772,43470,0.9,44744,0.9,90956,1.0,118768,2.25 118,1,2024-09-07 08:43:10:585,331667,331667,0,0,154830393558,1633426247,326074,4167,1426,366,391736,0 118,2,2024-09-07 08:43:11:593,239280,239280,0,0,11250488,0,2781 118,3,2024-09-07 08:43:11:768,1,189,30,0,235,2334,189,0 119,0,2024-09-07 08:43:11:452,43782,0.6,44097,0.8,88704,0.5,117829,2.00 119,1,2024-09-07 08:43:10:550,332814,332814,0,0,155516803678,1623967985,329755,2753,306,368,391641,0 119,2,2024-09-07 08:43:11:273,240385,240385,0,0,10413565,0,3526 119,3,2024-09-07 08:43:11:343,1,189,7,0,443,3146,189,0 120,0,2024-09-07 08:43:11:605,48981,0.4,49027,0.6,98124,0.4,130952,1.75 120,1,2024-09-07 08:43:10:898,332535,332535,0,0,154792490241,1624463679,328977,3271,287,368,391961,0 120,2,2024-09-07 08:43:10:786,238842,238841,1,0,12635629,0,5281 120,3,2024-09-07 08:43:11:296,1,189,1,0,241,2611,189,0 121,0,2024-09-07 08:43:11:728,49784,1.2,50217,1.1,100084,1.8,133556,2.25 121,1,2024-09-07 08:43:11:670,332054,332054,0,0,155031450166,1623167004,328607,3082,365,367,391840,0 121,2,2024-09-07 08:43:11:125,234780,234780,0,0,11112529,0,4127 121,3,2024-09-07 08:43:10:739,1,189,2,0,269,2515,189,0 122,0,2024-09-07 08:43:11:760,46952,1.1,45749,1.1,95907,1.3,126038,2.25 122,1,2024-09-07 08:43:10:872,331430,331430,0,0,155112547395,1629876060,326787,3934,709,366,392130,0 122,2,2024-09-07 08:43:11:329,240380,240380,0,0,12882619,0,3364 122,3,2024-09-07 08:43:10:626,1,189,165,0,411,4455,189,0 123,0,2024-09-07 08:43:10:987,42690,0.7,41614,0.8,86883,0.8,113794,2.00 123,1,2024-09-07 08:43:10:577,331747,331747,0,0,155715885148,1644609994,324969,5453,1325,369,391823,0 123,2,2024-09-07 08:43:11:018,238623,238622,1,0,11378091,0,5215 123,3,2024-09-07 08:43:11:142,1,189,24,0,168,2297,189,0 124,0,2024-09-07 08:43:10:941,48394,0.3,48347,0.4,91241,0.2,125366,1.50 124,1,2024-09-07 08:43:11:022,333139,333139,0,0,155207062703,1611303898,331037,1746,356,367,392178,0 124,2,2024-09-07 08:43:11:010,239202,239202,0,0,9154834,0,3101 124,3,2024-09-07 08:43:10:765,1,189,7,0,490,2467,189,0 125,0,2024-09-07 08:43:11:439,49974,0.4,49948,0.5,100051,0.3,132757,1.75 125,1,2024-09-07 08:43:10:856,332462,332462,0,0,154840951789,1615285474,330084,2101,277,383,391702,0 125,2,2024-09-07 08:43:11:121,237779,237779,0,0,8986161,0,2180 125,3,2024-09-07 08:43:11:126,1,189,1,0,284,2377,189,0 126,0,2024-09-07 08:43:11:448,49637,0.7,50918,0.7,97377,0.7,131938,1.75 126,1,2024-09-07 08:43:10:557,333284,333284,0,0,156115604472,1616239098,331635,1570,79,365,391987,0 126,2,2024-09-07 08:43:10:625,241901,241901,0,0,9567924,0,3186 126,3,2024-09-07 08:43:10:921,1,189,15,0,150,2635,189,0 127,0,2024-09-07 08:43:11:612,42873,0.4,43115,0.5,85970,0.3,114092,1.75 127,1,2024-09-07 08:43:10:579,332671,332671,0,0,156021506973,1615539092,330559,2071,41,365,391816,0 127,2,2024-09-07 08:43:10:653,240494,240494,0,0,9062547,0,2264 127,3,2024-09-07 08:43:11:512,1,189,6,0,243,1610,189,0 128,0,2024-09-07 08:43:11:526,45824,0.3,45655,0.4,91363,0.2,121480,1.50 128,1,2024-09-07 08:43:11:630,333447,333447,0,0,155639901520,1611567963,332168,1173,106,367,391680,0 128,2,2024-09-07 08:43:11:392,238654,238654,0,0,9018399,0,2107 128,3,2024-09-07 08:43:10:783,1,189,2,0,333,2650,189,0 129,0,2024-09-07 08:43:10:997,51543,0.3,51334,0.5,102548,0.3,136069,1.50 129,1,2024-09-07 08:43:10:570,331268,331268,0,0,154880465517,1621223181,328236,2637,395,379,391835,0 129,2,2024-09-07 08:43:10:691,239652,239652,0,0,9268049,0,4031 129,3,2024-09-07 08:43:10:696,1,189,1,0,469,2612,189,0 130,0,2024-09-07 08:43:11:717,51208,0.7,51054,0.7,102317,0.8,136587,1.75 130,1,2024-09-07 08:43:10:619,333063,333063,0,0,155798530406,1621649158,330942,2048,73,381,391825,0 130,2,2024-09-07 08:43:11:131,239618,239618,0,0,9216307,0,4067 130,3,2024-09-07 08:43:11:294,1,189,12,0,450,2181,189,0 131,0,2024-09-07 08:43:11:955,43934,0.5,44278,0.6,89213,0.5,117958,1.75 131,1,2024-09-07 08:43:11:820,333744,333744,0,0,155641575096,1617618079,332264,1274,206,383,391865,0 131,2,2024-09-07 08:43:10:593,240784,240784,0,0,8843217,0,2415 131,3,2024-09-07 08:43:11:693,1,189,0,0,392,1950,189,0 132,0,2024-09-07 08:43:11:418,44846,0.5,45357,0.7,90707,0.5,120777,2.00 132,1,2024-09-07 08:43:10:585,331460,331460,0,0,154691966975,1634918023,325747,4648,1065,381,392097,0 132,2,2024-09-07 08:43:10:719,239475,239475,0,0,11993383,0,4606 132,3,2024-09-07 08:43:11:701,1,189,6,0,356,3228,189,0 133,0,2024-09-07 08:43:11:555,48755,0.4,49844,0.6,102188,0.3,132980,1.75 133,1,2024-09-07 08:43:10:586,330795,330795,0,0,154851443344,1632602577,326198,3954,643,383,391914,0 133,2,2024-09-07 08:43:11:086,238969,238969,0,0,12249329,0,4315 133,3,2024-09-07 08:43:11:298,1,189,1,0,276,2037,189,0 134,0,2024-09-07 08:43:10:953,50847,0.5,50836,0.7,102001,0.5,135926,2.00 134,1,2024-09-07 08:43:10:615,331588,331588,0,0,154287264143,1621721539,326518,3787,1283,366,391718,0 134,2,2024-09-07 08:43:11:767,235661,235661,0,0,10305941,0,3847 134,3,2024-09-07 08:43:10:756,1,189,4,0,739,3239,189,0 135,0,2024-09-07 08:43:11:104,44392,1.3,44424,1.2,94199,1.5,121046,2.50 135,1,2024-09-07 08:43:11:600,330890,330890,0,0,155125212815,1633262079,326077,3916,897,380,391805,0 135,2,2024-09-07 08:43:10:697,240107,240107,0,0,11572588,0,3981 135,3,2024-09-07 08:43:11:006,1,189,1,0,299,1420,189,0 136,0,2024-09-07 08:43:11:687,43673,0.5,43619,0.7,87541,0.5,116388,2.00 136,1,2024-09-07 08:43:11:446,331277,331277,0,0,154929887907,1627465854,326817,3950,510,382,391641,0 136,2,2024-09-07 08:43:11:134,241406,241406,0,0,11043340,0,3506 136,3,2024-09-07 08:43:11:107,1,189,18,0,126,1628,189,0 137,0,2024-09-07 08:43:10:928,49540,0.5,47984,0.7,94956,0.5,129079,2.00 137,1,2024-09-07 08:43:10:574,331428,331428,0,0,154763987406,1623205750,326256,4505,667,366,391708,0 137,2,2024-09-07 08:43:11:706,238811,238811,0,0,12430860,0,3185 137,3,2024-09-07 08:43:10:781,1,189,2,0,227,2101,189,0 138,0,2024-09-07 08:43:11:754,49200,1.1,49277,1.0,99012,1.4,131741,2.25 138,1,2024-09-07 08:43:11:694,331877,331877,0,0,155624429626,1629991500,327582,3807,488,368,391954,0 138,2,2024-09-07 08:43:10:606,236496,236496,0,0,9925397,0,3263 138,3,2024-09-07 08:43:10:625,1,189,10,0,1160,3363,189,0 139,0,2024-09-07 08:43:11:381,47243,2.7,47328,1.6,95628,3.9,127318,3.00 139,1,2024-09-07 08:43:10:572,330126,330126,0,0,154227855729,1638924855,323781,4539,1806,381,391892,0 139,2,2024-09-07 08:43:10:697,239091,239091,0,0,11608449,0,3097 139,3,2024-09-07 08:43:11:664,1,189,1,0,244,2075,189,0 140,0,2024-09-07 08:43:11:599,42792,0.3,42339,0.5,85308,0.3,112999,1.75 140,1,2024-09-07 08:43:11:537,333631,333631,0,0,156770816707,1615020343,332107,1284,240,365,391606,0 140,2,2024-09-07 08:43:10:690,241015,241015,0,0,9542658,0,3388 140,3,2024-09-07 08:43:10:774,1,189,1,0,247,1650,189,0 141,0,2024-09-07 08:43:11:704,45888,0.2,47118,0.4,89975,0.1,122729,1.50 141,1,2024-09-07 08:43:10:865,333647,333647,0,0,156047040877,1617791594,331689,1604,354,379,391614,0 141,2,2024-09-07 08:43:11:695,239118,239118,0,0,8868051,0,2342 141,3,2024-09-07 08:43:11:043,1,189,1,0,147,1401,189,0 142,0,2024-09-07 08:43:11:316,51147,0.3,50834,0.4,100871,0.2,134766,1.50 142,1,2024-09-07 08:43:10:585,332602,332602,0,0,155839285954,1621774598,331037,1403,162,383,391728,0 142,2,2024-09-07 08:43:11:298,237490,237458,32,0,10253290,0,6028 142,3,2024-09-07 08:43:11:756,1,189,4,0,484,2514,189,0 143,0,2024-09-07 08:43:11:412,50058,0.6,50009,0.7,100916,0.7,133422,1.75 143,1,2024-09-07 08:43:10:556,332859,332859,0,0,155164108706,1608941779,330709,2106,44,367,391619,0 143,2,2024-09-07 08:43:10:780,239617,239617,0,0,9567577,0,2669 143,3,2024-09-07 08:43:11:142,1,189,4,0,303,2740,189,0 144,0,2024-09-07 08:43:11:513,42416,0.7,43697,1.2,88681,0.6,116872,2.00 144,1,2024-09-07 08:43:10:567,331417,331417,0,0,154888458897,1622401316,328996,2217,204,381,391649,0 144,2,2024-09-07 08:43:11:759,239958,239958,0,0,9587308,0,3473 144,3,2024-09-07 08:43:11:739,1,189,8,0,249,2225,189,0 145,0,2024-09-07 08:43:11:384,43509,0.5,43528,0.7,92299,0.5,119677,2.25 145,1,2024-09-07 08:43:10:576,330164,330164,0,0,154545694998,1630402636,324968,4377,819,382,391615,0 145,2,2024-09-07 08:43:11:431,238518,238518,0,0,10906308,0,3903 145,3,2024-09-07 08:43:10:907,1,189,1,0,579,2638,189,0 146,0,2024-09-07 08:43:11:624,51042,0.5,50540,0.7,101991,0.5,135609,2.25 146,1,2024-09-07 08:43:11:593,332516,332516,0,0,155442845313,1634072937,326905,4425,1186,368,391770,0 146,2,2024-09-07 08:43:11:706,239066,239066,0,0,10561341,0,2498 146,3,2024-09-07 08:43:11:288,1,189,9,0,1520,5323,189,0 147,0,2024-09-07 08:43:11:709,50801,0.7,50702,0.9,100783,0.7,135791,2.25 147,1,2024-09-07 08:43:11:395,333463,333463,0,0,155488193275,1617237051,330323,2642,498,368,391791,0 147,2,2024-09-07 08:43:11:010,238557,238557,0,0,9181101,0,2789 147,3,2024-09-07 08:43:10:920,1,189,3,0,371,2221,189,0 0,0,2024-09-07 08:43:21:728,47874,0.4,47893,0.6,101605,0.3,131389,1.75 0,1,2024-09-07 08:43:20:831,334329,334329,0,0,157237602268,1642888498,332296,1896,137,372,391772,0 0,2,2024-09-07 08:43:21:071,241129,241129,0,0,9839435,0,4480 0,3,2024-09-07 08:43:20:974,1,190,13,0,247,2399,190,0 1,0,2024-09-07 08:43:21:766,50495,1.1,50158,1.1,100721,1.5,134749,2.25 1,1,2024-09-07 08:43:20:558,333829,333829,0,0,155199907647,1627092314,330370,2591,868,371,391857,0 1,2,2024-09-07 08:43:20:642,236202,236202,0,0,8907759,0,3267 1,3,2024-09-07 08:43:21:306,1,190,5,0,262,2343,190,0 2,0,2024-09-07 08:43:21:573,47184,1.0,47466,1.0,94210,1.4,125643,2.25 2,1,2024-09-07 08:43:20:866,334356,334356,0,0,157043681972,1630740170,332811,1339,206,380,391745,0 2,2,2024-09-07 08:43:21:266,241600,241600,0,0,9608645,0,3594 2,3,2024-09-07 08:43:20:693,1,190,1,0,357,1881,190,0 3,0,2024-09-07 08:43:21:752,42738,0.4,43006,0.6,85571,0.4,114182,1.75 3,1,2024-09-07 08:43:21:618,333857,333857,0,0,156185954937,1628181079,330653,2780,424,380,391516,0 3,2,2024-09-07 08:43:21:145,242233,242210,23,0,9823505,0,5851 3,3,2024-09-07 08:43:21:752,1,190,2,0,103,1185,190,0 4,0,2024-09-07 08:43:21:788,45785,0.3,47146,0.5,95824,0.3,126171,1.75 4,1,2024-09-07 08:43:20:596,333830,333830,0,0,155901412099,1637607788,330472,2829,529,371,391846,0 4,2,2024-09-07 08:43:21:018,239138,239138,0,0,11942175,0,4528 4,3,2024-09-07 08:43:21:031,1,190,16,0,448,3140,190,0 5,0,2024-09-07 08:43:21:386,50058,0.4,50092,0.6,99937,0.4,132846,1.75 5,1,2024-09-07 08:43:20:763,333440,333440,0,0,156038154898,1643252364,328908,3475,1057,368,392005,0 5,2,2024-09-07 08:43:21:839,236294,236294,0,0,10104765,0,2259 5,3,2024-09-07 08:43:21:739,1,190,4,0,278,2884,190,0 6,0,2024-09-07 08:43:20:920,49909,0.8,49415,0.9,98623,1.0,131964,2.25 6,1,2024-09-07 08:43:20:746,334065,334065,0,0,155543840113,1628137450,330349,3057,659,379,391634,0 6,2,2024-09-07 08:43:21:116,241747,241747,0,0,10535776,0,4816 6,3,2024-09-07 08:43:21:277,1,190,1,0,340,2522,190,0 7,0,2024-09-07 08:43:21:546,42555,0.4,42549,0.7,84957,0.4,113017,2.00 7,1,2024-09-07 08:43:20:856,333713,333713,0,0,156062540801,1636844538,329752,3605,356,382,391664,0 7,2,2024-09-07 08:43:20:771,240915,240915,0,0,9867315,0,2981 7,3,2024-09-07 08:43:20:852,1,190,6,0,305,1851,190,0 8,0,2024-09-07 08:43:21:352,45654,0.3,45953,0.4,91289,0.2,122170,1.50 8,1,2024-09-07 08:43:21:016,332782,332782,0,0,156351153085,1649645340,326635,4543,1604,367,391956,0 8,2,2024-09-07 08:43:20:790,236689,236689,0,0,11691059,0,2986 8,3,2024-09-07 08:43:20:585,1,190,12,0,357,2923,190,0 9,0,2024-09-07 08:43:21:110,51429,0.4,49911,0.5,104152,0.3,136407,1.75 9,1,2024-09-07 08:43:20:571,333144,333144,0,0,156162332775,1648984194,327823,3914,1407,370,392001,0 9,2,2024-09-07 08:43:21:089,239561,239561,0,0,10729363,0,3360 9,3,2024-09-07 08:43:21:755,1,190,17,0,496,3023,190,0 10,0,2024-09-07 08:43:21:618,51311,0.4,51027,0.5,102407,0.3,136461,1.75 10,1,2024-09-07 08:43:20:584,333694,333694,0,0,155866973566,1634989260,328849,4148,697,381,391741,0 10,2,2024-09-07 08:43:20:762,240707,240707,0,0,11013425,0,2940 10,3,2024-09-07 08:43:20:871,1,190,8,0,177,1420,190,0 11,0,2024-09-07 08:43:21:006,43699,0.6,42513,0.8,88941,0.6,118631,2.00 11,1,2024-09-07 08:43:20:574,333579,333579,0,0,155861007032,1640720712,327338,4781,1460,384,391537,0 11,2,2024-09-07 08:43:21:124,240738,240738,0,0,10557268,0,3411 11,3,2024-09-07 08:43:21:297,1,190,0,0,720,2977,190,0 12,0,2024-09-07 08:43:20:951,45725,0.3,45449,0.5,91267,0.2,121340,1.50 12,1,2024-09-07 08:43:20:935,334088,334088,0,0,155521082475,1622753863,331117,2554,417,370,391837,0 12,2,2024-09-07 08:43:21:575,241973,241973,0,0,10574543,0,3469 12,3,2024-09-07 08:43:21:058,1,190,5,0,358,3115,190,0 13,0,2024-09-07 08:43:21:335,50644,0.3,50555,0.5,101143,0.3,135107,1.50 13,1,2024-09-07 08:43:21:533,333466,333466,0,0,155829131128,1633137843,331023,2032,411,382,391717,0 13,2,2024-09-07 08:43:20:600,241811,241811,0,0,9837284,0,3287 13,3,2024-09-07 08:43:21:761,1,190,2,0,467,3490,190,0 14,0,2024-09-07 08:43:20:562,51378,0.4,51809,0.6,102519,0.4,136749,1.75 14,1,2024-09-07 08:43:21:564,335795,335795,0,0,156351276734,1618835067,333526,2105,164,364,391571,0 14,2,2024-09-07 08:43:20:767,237716,237716,0,0,9636189,0,2896 14,3,2024-09-07 08:43:21:118,1,190,5,0,1168,3116,190,0 15,0,2024-09-07 08:43:21:566,45717,0.7,45882,1.0,91764,0.8,121986,2.25 15,1,2024-09-07 08:43:21:608,334098,334098,0,0,156915071898,1630442377,332179,1809,110,381,391619,0 15,2,2024-09-07 08:43:20:998,242283,242283,0,0,8269888,0,3043 15,3,2024-09-07 08:43:21:405,1,190,1,0,1126,4657,190,0 16,0,2024-09-07 08:43:20:976,43837,0.4,44044,0.7,87487,0.4,116939,2.00 16,1,2024-09-07 08:43:20:563,334524,334524,0,0,156419500917,1634934954,331847,2404,273,370,391756,0 16,2,2024-09-07 08:43:21:440,241658,241658,0,0,10876835,0,4719 16,3,2024-09-07 08:43:21:144,1,190,8,0,231,2455,190,0 17,0,2024-09-07 08:43:21:769,50191,0.4,48912,0.6,95974,0.4,130250,1.75 17,1,2024-09-07 08:43:20:567,333286,333286,0,0,155828023015,1635417125,329864,2747,675,368,391688,0 17,2,2024-09-07 08:43:21:667,242993,242993,0,0,9626099,0,2857 17,3,2024-09-07 08:43:20:579,1,190,2,0,268,2918,190,0 18,0,2024-09-07 08:43:20:940,49039,0.8,49355,0.9,98675,0.9,131597,2.50 18,1,2024-09-07 08:43:21:641,334503,334503,0,0,156119017766,1618874458,332385,1883,235,367,391564,0 18,2,2024-09-07 08:43:21:755,237045,237045,0,0,9347656,0,3541 18,3,2024-09-07 08:43:20:896,1,190,1,0,163,2038,190,0 19,0,2024-09-07 08:43:21:544,47879,0.9,48313,0.9,95441,1.1,126918,2.50 19,1,2024-09-07 08:43:20:566,334450,334450,0,0,157035916500,1633337473,330633,3101,716,367,391777,0 19,2,2024-09-07 08:43:21:752,243360,243360,0,0,8858932,0,3988 19,3,2024-09-07 08:43:21:132,1,190,2,0,524,1608,190,0 20,0,2024-09-07 08:43:21:384,42445,0.5,42377,0.7,85003,0.5,112961,2.00 20,1,2024-09-07 08:43:20:585,333411,333411,0,0,156826939831,1644148697,329775,3242,394,369,391822,0 20,2,2024-09-07 08:43:20:932,241513,241513,0,0,10355061,0,3721 20,3,2024-09-07 08:43:20:599,1,190,2,0,414,3741,190,0 21,0,2024-09-07 08:43:21:156,46381,0.4,46632,0.6,92828,0.3,123557,1.75 21,1,2024-09-07 08:43:21:544,332778,332778,0,0,154766444117,1632756666,328173,3571,1034,368,391962,0 21,2,2024-09-07 08:43:21:068,239360,239360,0,0,11275774,0,3747 21,3,2024-09-07 08:43:21:404,1,190,1,0,103,2230,190,0 22,0,2024-09-07 08:43:21:734,50554,0.7,50580,0.8,100746,0.8,133903,2.25 22,1,2024-09-07 08:43:21:030,333087,333087,0,0,155296723879,1636907503,327299,4269,1519,382,391667,0 22,2,2024-09-07 08:43:20:763,238409,238409,0,0,9679773,0,3134 22,3,2024-09-07 08:43:21:066,1,190,8,0,228,1940,190,0 23,0,2024-09-07 08:43:21:368,49842,0.8,49929,0.8,100016,0.9,133219,2.50 23,1,2024-09-07 08:43:21:006,333746,333746,0,0,156245011709,1644114326,327477,3845,2424,365,391549,0 23,2,2024-09-07 08:43:21:092,241046,241046,0,0,9914233,0,3010 23,3,2024-09-07 08:43:21:755,1,190,1,0,645,2522,190,0 24,0,2024-09-07 08:43:20:818,44713,0.5,44685,0.6,89232,0.5,118578,1.75 24,1,2024-09-07 08:43:20:581,333853,333853,0,0,155820399978,1632122847,330193,2662,998,369,391640,0 24,2,2024-09-07 08:43:21:074,240266,240266,0,0,11478264,0,2942 24,3,2024-09-07 08:43:21:697,1,190,0,0,468,2589,190,0 25,0,2024-09-07 08:43:21:352,46546,0.4,45603,0.6,89310,0.3,121826,1.75 25,1,2024-09-07 08:43:20:566,333827,333827,0,0,156457133737,1640575600,330052,3230,545,371,391788,0 25,2,2024-09-07 08:43:21:606,239369,239369,0,0,11937992,0,3978 25,3,2024-09-07 08:43:21:000,1,190,16,0,255,2285,190,0 26,0,2024-09-07 08:43:21:729,51003,0.4,49991,0.6,104679,0.4,136194,1.75 26,1,2024-09-07 08:43:21:543,334040,334040,0,0,156074700108,1638253529,328795,4267,978,381,391748,0 26,2,2024-09-07 08:43:20:861,240935,240935,0,0,11283740,0,2809 26,3,2024-09-07 08:43:21:715,1,190,0,0,796,2500,190,0 27,0,2024-09-07 08:43:21:728,51473,0.5,51434,0.7,101934,0.5,136312,2.00 27,1,2024-09-07 08:43:21:692,335489,335489,0,0,157146393803,1632150963,333441,1725,323,381,391558,0 27,2,2024-09-07 08:43:20:867,237568,237568,0,0,10957549,0,3409 27,3,2024-09-07 08:43:21:015,1,190,1,0,564,2014,190,0 28,0,2024-09-07 08:43:21:408,44683,0.7,44808,0.8,90196,0.9,120064,2.25 28,1,2024-09-07 08:43:20:798,334804,334804,0,0,156684181500,1630653070,332617,1850,337,383,391646,0 28,2,2024-09-07 08:43:21:764,241757,241757,0,0,9072728,0,2915 28,3,2024-09-07 08:43:21:780,1,190,2,0,502,2156,190,0 29,0,2024-09-07 08:43:21:367,45645,0.3,44557,0.5,87149,0.2,119843,1.75 29,1,2024-09-07 08:43:21:564,335764,335764,0,0,156323556322,1617881350,333326,1894,544,369,391621,0 29,2,2024-09-07 08:43:20:867,241136,241136,0,0,8563102,0,4018 29,3,2024-09-07 08:43:20:963,1,190,1,0,115,1416,190,0 30,0,2024-09-07 08:43:21:465,49198,0.4,47922,0.6,100380,0.3,131947,1.75 30,1,2024-09-07 08:43:20:571,334880,334880,0,0,156359207243,1621647577,332880,1721,279,382,391672,0 30,2,2024-09-07 08:43:21:277,241802,241802,0,0,8619115,0,3161 30,3,2024-09-07 08:43:20:581,1,190,8,0,195,1321,190,0 31,0,2024-09-07 08:43:21:762,49879,0.5,50354,0.6,101141,0.4,134651,2.00 31,1,2024-09-07 08:43:20:569,336506,336506,0,0,156762242434,1605277292,335682,711,113,356,391712,0 31,2,2024-09-07 08:43:21:277,236511,236511,0,0,10090077,0,3525 31,3,2024-09-07 08:43:21:707,1,190,13,0,220,1593,190,0 32,0,2024-09-07 08:43:21:454,47096,0.5,47622,0.6,95280,0.4,126323,1.75 32,1,2024-09-07 08:43:20:807,334730,334730,0,0,156654223530,1625584483,332942,1512,276,381,391595,0 32,2,2024-09-07 08:43:20:935,242480,242480,0,0,8497015,0,3155 32,3,2024-09-07 08:43:21:015,1,190,1,0,227,1572,190,0 33,0,2024-09-07 08:43:21:498,43356,0.3,42749,0.5,86254,0.2,114977,1.75 33,1,2024-09-07 08:43:20:576,335576,335576,0,0,156936897284,1622257522,333312,2092,172,369,391730,0 33,2,2024-09-07 08:43:20:770,242278,242245,33,0,10673764,0,7012 33,3,2024-09-07 08:43:20:895,1,190,1,0,329,2036,190,0 34,0,2024-09-07 08:43:20:930,47352,0.2,48848,0.4,93779,0.2,126562,1.50 34,1,2024-09-07 08:43:21:044,335745,335745,0,0,157772964195,1623219677,334747,992,6,367,391562,0 34,2,2024-09-07 08:43:20:771,239847,239847,0,0,9638531,0,3577 34,3,2024-09-07 08:43:21:693,1,190,2,0,148,1294,190,0 35,0,2024-09-07 08:43:20:860,49594,0.4,50110,0.5,100191,0.3,133114,1.75 35,1,2024-09-07 08:43:21:067,333910,333910,0,0,156323535570,1622604183,331080,2060,770,384,391589,0 35,2,2024-09-07 08:43:21:583,238209,238209,0,0,8589536,0,2653 35,3,2024-09-07 08:43:20:907,1,190,2,0,418,2047,190,0 36,0,2024-09-07 08:43:21:515,49627,0.8,49552,0.9,99225,0.9,131530,2.50 36,1,2024-09-07 08:43:20:585,334346,334346,0,0,156140713948,1634810514,329401,3635,1310,366,391759,0 36,2,2024-09-07 08:43:21:751,241108,241108,0,0,10370206,0,3303 36,3,2024-09-07 08:43:20:864,1,190,4,0,378,3060,190,0 37,0,2024-09-07 08:43:21:368,42640,0.5,42548,0.7,85062,0.4,113851,2.00 37,1,2024-09-07 08:43:20:575,333469,333462,0,7,155551675977,1633924319,328446,2990,2026,365,391560,0 37,2,2024-09-07 08:43:21:146,240869,240854,15,0,10815750,0,5815 37,3,2024-09-07 08:43:21:767,1,190,1,0,724,2969,190,0 38,0,2024-09-07 08:43:21:436,45623,0.4,44248,0.6,92529,0.3,121667,2.00 38,1,2024-09-07 08:43:21:607,334731,334731,0,0,156611868300,1637517959,330264,3822,645,368,391821,0 38,2,2024-09-07 08:43:20:760,239440,239393,47,0,12084924,0,6710 38,3,2024-09-07 08:43:21:002,1,190,2,0,689,3086,190,0 39,0,2024-09-07 08:43:21:762,52293,0.7,51321,0.8,99643,0.8,136153,2.00 39,1,2024-09-07 08:43:20:716,334443,334443,0,0,156571236144,1631936084,330509,3132,802,365,391524,0 39,2,2024-09-07 08:43:21:421,239769,239769,0,0,9572961,0,2689 39,3,2024-09-07 08:43:20:715,1,190,0,0,293,2664,190,0 40,0,2024-09-07 08:43:21:490,50565,0.8,51111,1.1,102341,0.9,135935,3.00 40,1,2024-09-07 08:43:20:578,333715,333715,0,0,155267160513,1629516064,328169,4325,1221,368,391591,0 40,2,2024-09-07 08:43:21:304,239627,239626,1,0,11872477,0,5137 40,3,2024-09-07 08:43:21:148,1,190,1,0,181,1969,190,0 41,0,2024-09-07 08:43:21:023,43750,1.7,44581,1.5,85383,2.9,117198,4.00 41,1,2024-09-07 08:43:20:784,333645,333645,0,0,156433408372,1637093896,329160,3943,542,370,391742,0 41,2,2024-09-07 08:43:20:759,239574,239574,0,0,11376726,0,3356 41,3,2024-09-07 08:43:21:692,1,190,1,0,366,2341,190,0 42,0,2024-09-07 08:43:21:473,44928,0.7,45227,0.9,90166,0.6,119428,2.50 42,1,2024-09-07 08:43:21:443,332396,332396,0,0,155393340511,1635038495,326868,4455,1073,380,391675,0 42,2,2024-09-07 08:43:21:135,240245,240245,0,0,11046080,0,3568 42,3,2024-09-07 08:43:21:018,1,190,2,0,446,1600,190,0 43,0,2024-09-07 08:43:20:920,50320,0.6,48970,0.8,102409,0.6,134788,2.00 43,1,2024-09-07 08:43:20:581,334120,334120,0,0,156880073007,1635180137,330479,2846,795,366,391696,0 43,2,2024-09-07 08:43:21:737,241506,241506,0,0,10546706,0,3812 43,3,2024-09-07 08:43:21:750,1,190,1,0,467,2654,190,0 44,0,2024-09-07 08:43:20:863,51143,0.5,51535,0.7,102700,0.5,137349,1.75 44,1,2024-09-07 08:43:20:566,335504,335504,0,0,156172509722,1612087710,333417,1662,425,356,391809,0 44,2,2024-09-07 08:43:21:267,237060,237060,0,0,8284943,0,1877 44,3,2024-09-07 08:43:21:093,1,190,1,0,817,2179,190,0 45,0,2024-09-07 08:43:21:756,45271,1.0,44326,1.0,93079,1.1,122649,2.25 45,1,2024-09-07 08:43:21:005,335019,335019,0,0,156535276508,1620683265,333978,1031,10,382,391917,0 45,2,2024-09-07 08:43:21:268,242399,242399,0,0,9076261,0,3596 45,3,2024-09-07 08:43:20:934,1,190,8,0,226,1827,190,0 46,0,2024-09-07 08:43:20:952,43688,0.3,43572,0.5,87391,0.2,116163,1.75 46,1,2024-09-07 08:43:20:575,335503,335503,0,0,156274658376,1613480398,333693,1586,224,366,391524,0 46,2,2024-09-07 08:43:20:598,242371,242371,0,0,9150022,0,2920 46,3,2024-09-07 08:43:21:133,1,190,3,0,908,3192,190,0 47,0,2024-09-07 08:43:21:101,48844,0.3,48992,0.5,98148,0.2,130085,1.75 47,1,2024-09-07 08:43:20:567,336116,336116,0,0,156397765751,1612665663,334704,1395,17,366,391605,0 47,2,2024-09-07 08:43:20:908,241904,241904,0,0,9157362,0,2558 47,3,2024-09-07 08:43:21:115,1,190,1,0,529,1930,190,0 48,0,2024-09-07 08:43:21:491,50133,0.3,49788,0.4,99372,0.2,132432,1.50 48,1,2024-09-07 08:43:21:022,335543,335543,0,0,156045121331,1619659280,333476,1886,181,384,391710,0 48,2,2024-09-07 08:43:20:699,237211,237211,0,0,8140215,0,3031 48,3,2024-09-07 08:43:20:755,1,190,3,0,339,1571,190,0 49,0,2024-09-07 08:43:21:714,49565,0.5,48696,0.6,94529,0.5,128909,1.75 49,1,2024-09-07 08:43:21:022,333960,333960,0,0,156517084687,1630613244,331170,1950,840,382,391610,0 49,2,2024-09-07 08:43:21:799,243387,243387,0,0,8955984,0,3900 49,3,2024-09-07 08:43:21:420,1,190,22,0,408,2521,190,0 50,0,2024-09-07 08:43:21:512,42648,0.3,42148,0.5,84605,0.2,112998,1.75 50,1,2024-09-07 08:43:21:013,336247,336247,0,0,156574739755,1622037081,333647,2290,310,368,391530,0 50,2,2024-09-07 08:43:21:067,242036,242036,0,0,8899552,0,2263 50,3,2024-09-07 08:43:21:292,1,190,17,0,335,1544,190,0 51,0,2024-09-07 08:43:21:701,47456,0.2,46555,0.4,90749,0.2,124276,1.50 51,1,2024-09-07 08:43:21:694,335211,335211,0,0,158025192279,1631144733,333236,1189,786,365,391637,0 51,2,2024-09-07 08:43:21:316,239965,239965,0,0,8260877,0,3337 51,3,2024-09-07 08:43:21:027,1,190,1,0,162,995,190,0 52,0,2024-09-07 08:43:21:449,51056,0.5,50904,0.7,101649,0.5,134536,2.00 52,1,2024-09-07 08:43:20:576,333620,333620,0,0,155124141378,1633454860,327363,5097,1160,368,391722,0 52,2,2024-09-07 08:43:21:755,237342,237304,38,0,11119949,0,6742 52,3,2024-09-07 08:43:20:675,1,190,1,0,1782,3760,190,0 53,0,2024-09-07 08:43:21:735,49735,0.9,48333,0.9,101265,1.1,132396,2.50 53,1,2024-09-07 08:43:20:778,332905,332905,0,0,155812330131,1641062834,326753,4117,2035,367,391702,0 53,2,2024-09-07 08:43:21:299,241335,241335,0,0,9582121,0,2262 53,3,2024-09-07 08:43:20:697,1,190,0,0,271,1725,190,0 54,0,2024-09-07 08:43:21:618,43113,1.4,43585,1.1,86297,0.7,115846,3.25 54,1,2024-09-07 08:43:20:581,334153,334153,0,0,156703176669,1634180649,330040,3542,571,367,391659,0 54,2,2024-09-07 08:43:20:864,240783,240777,6,0,10977724,0,5382 54,3,2024-09-07 08:43:20:766,1,190,15,0,676,3359,190,0 55,0,2024-09-07 08:43:21:763,43906,0.5,45422,0.7,91629,0.4,119589,2.25 55,1,2024-09-07 08:43:20:775,333522,333522,0,0,155471097252,1625529932,328059,4676,787,365,391731,0 55,2,2024-09-07 08:43:20:728,239068,239068,0,0,10795011,0,3275 55,3,2024-09-07 08:43:20:676,1,190,22,0,304,2442,190,0 56,0,2024-09-07 08:43:21:585,52381,1.3,49410,1.1,101687,1.8,136070,2.50 56,1,2024-09-07 08:43:20:576,333267,333267,0,0,156435807140,1653523718,327742,4582,943,381,391678,0 56,2,2024-09-07 08:43:21:311,240439,240439,0,0,11599476,0,3567 56,3,2024-09-07 08:43:21:068,1,190,1,0,405,2573,190,0 57,0,2024-09-07 08:43:20:961,50258,2.1,50484,1.4,100851,2.9,135158,3.50 57,1,2024-09-07 08:43:20:998,334001,334001,0,0,155460785633,1628279899,330502,3081,418,367,391960,0 57,2,2024-09-07 08:43:21:325,238810,238810,0,0,11999857,0,3317 57,3,2024-09-07 08:43:21:747,1,190,5,0,359,2917,190,0 58,0,2024-09-07 08:43:20:559,43729,1.0,42558,1.1,89082,1.1,116623,2.75 58,1,2024-09-07 08:43:20:576,334528,334525,0,3,157014760632,1641703752,329877,4004,644,367,391517,3 58,2,2024-09-07 08:43:21:073,241199,241199,0,0,10784100,0,2549 58,3,2024-09-07 08:43:21:073,1,190,1,0,1043,2446,190,0 59,0,2024-09-07 08:43:21:764,44741,0.6,44294,0.9,88630,0.6,118590,2.75 59,1,2024-09-07 08:43:20:806,334006,334006,0,0,156727762146,1639310518,329903,3120,983,369,391525,0 59,2,2024-09-07 08:43:20:594,242332,242332,0,0,10053522,0,2604 59,3,2024-09-07 08:43:21:742,1,190,1,0,1015,3134,190,0 60,0,2024-09-07 08:43:21:711,49609,0.3,49448,0.5,99313,0.3,131876,1.75 60,1,2024-09-07 08:43:20:782,335683,335683,0,0,156708846250,1622117712,334218,1106,359,370,391761,0 60,2,2024-09-07 08:43:21:141,241205,241205,0,0,10421900,0,3811 60,3,2024-09-07 08:43:21:259,1,190,4,0,124,1724,190,0 61,0,2024-09-07 08:43:21:499,50321,1.0,50536,0.9,100852,1.3,134800,2.00 61,1,2024-09-07 08:43:20:775,334215,334215,0,0,156563683359,1638338997,330673,2989,553,382,391880,0 61,2,2024-09-07 08:43:21:137,237613,237613,0,0,9102075,0,2079 61,3,2024-09-07 08:43:21:695,1,190,1,0,265,2381,190,0 62,0,2024-09-07 08:43:21:714,47628,1.1,48592,1.0,92726,1.5,126148,2.25 62,1,2024-09-07 08:43:21:117,336144,336138,0,6,157057474835,1618773689,334444,1655,39,365,391715,6 62,2,2024-09-07 08:43:21:645,241094,241093,1,0,10663357,0,5555 62,3,2024-09-07 08:43:21:146,1,190,8,0,287,1291,190,0 63,0,2024-09-07 08:43:21:459,42979,0.5,42930,0.6,86016,0.4,114716,1.75 63,1,2024-09-07 08:43:20:806,335544,335538,0,6,156661637402,1621579497,334268,1243,27,381,391677,6 63,2,2024-09-07 08:43:20:762,241991,241991,0,0,8754381,0,2674 63,3,2024-09-07 08:43:21:735,1,190,1,0,667,2488,190,0 64,0,2024-09-07 08:43:21:538,47029,0.4,47212,0.6,94576,0.3,126308,1.75 64,1,2024-09-07 08:43:20:755,334557,334557,0,0,156293524902,1630427047,331082,2420,1055,370,391783,0 64,2,2024-09-07 08:43:21:149,242247,242228,19,0,9485616,0,6121 64,3,2024-09-07 08:43:21:148,1,190,1,0,265,2040,190,0 65,0,2024-09-07 08:43:21:686,49360,0.7,49836,0.8,99345,0.8,132174,2.25 65,1,2024-09-07 08:43:20:869,333716,333716,0,0,155803729875,1629307889,331010,2482,224,382,391770,0 65,2,2024-09-07 08:43:21:696,237730,237730,0,0,9928455,0,3367 65,3,2024-09-07 08:43:21:693,1,190,16,0,163,1779,190,0 66,0,2024-09-07 08:43:21:766,49047,0.6,49192,0.8,98667,0.6,130821,2.25 66,1,2024-09-07 08:43:21:293,335289,335289,0,0,156781319298,1627637755,333400,1716,173,380,391588,0 66,2,2024-09-07 08:43:21:134,243826,243826,0,0,9515756,0,4956 66,3,2024-09-07 08:43:21:079,1,190,2,0,291,1901,190,0 67,0,2024-09-07 08:43:21:416,43035,0.7,42807,0.8,85988,0.8,113894,2.25 67,1,2024-09-07 08:43:20:771,334180,334179,0,1,156124486275,1630078598,330701,2731,747,380,391787,1 67,2,2024-09-07 08:43:20:583,242443,242443,0,0,8949608,0,2889 67,3,2024-09-07 08:43:21:750,1,190,2,0,338,1678,190,0 68,0,2024-09-07 08:43:20:646,45897,0.5,45772,0.7,91356,0.5,122062,2.00 68,1,2024-09-07 08:43:20:577,333316,333316,0,0,155918844263,1637690935,329709,2471,1136,381,391953,0 68,2,2024-09-07 08:43:21:051,238809,238744,65,0,12540279,0,6698 68,3,2024-09-07 08:43:20:728,1,190,10,0,417,2399,190,0 69,0,2024-09-07 08:43:21:726,51003,0.9,51219,0.9,101832,1.1,135622,2.25 69,1,2024-09-07 08:43:21:016,332341,332341,0,0,155870642990,1645512055,328073,3053,1215,384,391994,0 69,2,2024-09-07 08:43:21:743,240266,240266,0,0,11391301,0,3701 69,3,2024-09-07 08:43:20:761,1,190,1,0,698,2973,190,0 70,0,2024-09-07 08:43:21:534,50684,1.5,50797,1.2,101791,1.0,135575,2.75 70,1,2024-09-07 08:43:20:806,334332,334332,0,0,157361703800,1635637577,331366,2462,504,366,391725,0 70,2,2024-09-07 08:43:21:325,240387,240387,0,0,10430924,0,4044 70,3,2024-09-07 08:43:20:746,1,190,8,0,854,2402,190,0 71,0,2024-09-07 08:43:21:359,43621,1.7,43631,1.5,86984,2.4,117348,3.25 71,1,2024-09-07 08:43:21:596,334233,334233,0,0,156295918027,1634956318,329861,3820,552,368,391738,0 71,2,2024-09-07 08:43:21:067,241355,241355,0,0,10735248,0,2579 71,3,2024-09-07 08:43:21:750,1,190,59,0,644,3040,190,0 72,0,2024-09-07 08:43:21:029,46863,0.6,45760,0.8,89352,0.6,121745,2.25 72,1,2024-09-07 08:43:21:036,334189,334189,0,0,156349741332,1633706646,330170,3270,749,369,391819,0 72,2,2024-09-07 08:43:21:763,239677,239677,0,0,12040176,0,2570 72,3,2024-09-07 08:43:21:757,1,190,0,0,364,3509,190,0 73,0,2024-09-07 08:43:21:114,49246,0.4,50514,0.5,103328,0.3,134659,2.00 73,1,2024-09-07 08:43:20:766,334081,334081,0,0,156636258139,1627307486,331661,2246,174,367,391750,0 73,2,2024-09-07 08:43:21:741,241487,241487,0,0,11532425,0,3482 73,3,2024-09-07 08:43:20:969,1,190,13,0,274,2740,190,0 74,0,2024-09-07 08:43:21:342,51780,0.5,52808,0.7,100572,0.5,136923,2.25 74,1,2024-09-07 08:43:20:635,333877,333877,0,0,156330662596,1632592064,330482,2648,747,382,391681,0 74,2,2024-09-07 08:43:21:002,237395,237395,0,0,11216578,0,4253 74,3,2024-09-07 08:43:21:442,1,190,8,0,522,2975,190,0 75,0,2024-09-07 08:43:21:772,46117,1.0,45813,1.1,91834,1.0,123019,2.50 75,1,2024-09-07 08:43:21:585,333389,333389,0,0,155616844926,1630246712,329267,3461,661,381,391579,0 75,2,2024-09-07 08:43:21:352,241381,241381,0,0,10905195,0,4766 75,3,2024-09-07 08:43:21:074,1,190,1,0,535,2278,190,0 76,0,2024-09-07 08:43:20:593,43603,0.4,43234,0.6,86369,0.3,116210,2.00 76,1,2024-09-07 08:43:20:811,334324,334324,0,0,156136683705,1626408914,332239,1572,513,382,391692,0 76,2,2024-09-07 08:43:21:079,242873,242873,0,0,9388316,0,3064 76,3,2024-09-07 08:43:21:147,1,190,40,0,175,2184,190,0 77,0,2024-09-07 08:43:21:701,48684,0.4,49029,0.6,97670,0.3,129721,1.75 77,1,2024-09-07 08:43:20:831,335506,335506,0,0,156338155654,1628132480,333779,1639,88,383,391808,0 77,2,2024-09-07 08:43:21:281,240333,240333,0,0,9631580,0,3890 77,3,2024-09-07 08:43:21:098,1,190,3,0,305,2210,190,0 78,0,2024-09-07 08:43:21:716,49782,0.4,49514,0.6,99842,0.4,132035,2.00 78,1,2024-09-07 08:43:20:636,334841,334841,0,0,155438301611,1613813638,332503,2085,253,367,391646,0 78,2,2024-09-07 08:43:21:405,237777,237777,0,0,8690134,0,2114 78,3,2024-09-07 08:43:21:134,1,190,83,0,181,1874,190,0 79,0,2024-09-07 08:43:21:366,46816,0.5,47898,0.7,98073,0.5,127233,2.50 79,1,2024-09-07 08:43:20:574,335780,335780,0,0,156734990923,1621507200,333526,2080,174,369,391682,0 79,2,2024-09-07 08:43:21:068,242860,242860,0,0,8952652,0,3212 79,3,2024-09-07 08:43:20:749,1,190,31,0,418,3014,190,0 80,0,2024-09-07 08:43:21:097,42281,0.5,43578,0.7,83367,0.5,113001,2.00 80,1,2024-09-07 08:43:21:620,333869,333869,0,0,155941017042,1622649460,331326,2378,165,368,391791,0 80,2,2024-09-07 08:43:21:103,242403,242403,0,0,9646132,0,4433 80,3,2024-09-07 08:43:20:574,1,190,4,0,190,2827,190,0 81,0,2024-09-07 08:43:21:564,46437,0.4,47758,0.6,91469,0.4,123886,1.75 81,1,2024-09-07 08:43:21:651,334271,334271,0,0,155647132445,1626124023,331676,2320,275,382,391879,0 81,2,2024-09-07 08:43:21:125,239747,239747,0,0,9878885,0,3993 81,3,2024-09-07 08:43:21:117,1,190,0,0,193,1883,190,0 82,0,2024-09-07 08:43:21:533,50483,0.4,50789,0.6,101682,0.4,134721,1.75 82,1,2024-09-07 08:43:20:593,334697,334693,0,4,156282091744,1628054094,332178,1937,578,381,391558,4 82,2,2024-09-07 08:43:21:693,239144,239144,0,0,9244769,0,3986 82,3,2024-09-07 08:43:21:752,1,190,363,0,363,2409,190,0 83,0,2024-09-07 08:43:21:525,50202,0.7,50190,0.8,99698,0.7,132757,2.00 83,1,2024-09-07 08:43:20:551,334291,334291,0,0,155850357349,1626669334,331657,2410,224,382,391690,0 83,2,2024-09-07 08:43:20:771,240813,240813,0,0,9086939,0,3119 83,3,2024-09-07 08:43:20:749,1,190,1,0,241,1945,190,0 84,0,2024-09-07 08:43:21:804,43629,1.0,43569,1.2,87517,0.8,117001,2.50 84,1,2024-09-07 08:43:21:042,333360,333360,0,0,155578344742,1630443183,329391,3401,568,368,391967,0 84,2,2024-09-07 08:43:20:580,241234,241234,0,0,10889314,0,3801 84,3,2024-09-07 08:43:21:146,1,190,95,0,353,2664,190,0 85,0,2024-09-07 08:43:21:002,43779,0.6,43791,0.8,92937,0.6,120349,2.25 85,1,2024-09-07 08:43:20:560,333115,333115,0,0,155022183047,1642571643,327075,4945,1095,382,392006,0 85,2,2024-09-07 08:43:20:867,240779,240779,0,0,11549878,0,3656 85,3,2024-09-07 08:43:20:687,1,190,1,0,789,2783,190,0 86,0,2024-09-07 08:43:20:912,51291,0.7,52601,0.8,101011,0.7,136087,2.00 86,1,2024-09-07 08:43:20:835,334143,334143,0,0,156498072044,1638608186,330108,3490,545,366,391961,0 86,2,2024-09-07 08:43:20:865,239419,239418,1,0,12007773,0,5004 86,3,2024-09-07 08:43:20:587,1,190,1,0,286,2605,190,0 87,0,2024-09-07 08:43:21:302,50957,1.3,50671,1.1,102001,1.8,136753,2.50 87,1,2024-09-07 08:43:20:552,333776,333776,0,0,156363896355,1638515404,329919,3397,460,366,391788,0 87,2,2024-09-07 08:43:21:069,237901,237901,0,0,10004343,0,3515 87,3,2024-09-07 08:43:21:799,1,190,3,0,473,3543,190,0 88,0,2024-09-07 08:43:21:466,44902,0.6,45121,0.7,90072,0.7,119957,1.75 88,1,2024-09-07 08:43:20:571,332823,332823,0,0,155708807987,1637761469,327401,3962,1460,365,392084,0 88,2,2024-09-07 08:43:20:689,240791,240791,0,0,11926922,0,3583 88,3,2024-09-07 08:43:21:268,1,190,2,0,435,2470,190,0 89,0,2024-09-07 08:43:21:862,45882,0.4,44428,0.6,88025,0.3,119877,1.75 89,1,2024-09-07 08:43:20:738,332194,332194,0,0,155682215102,1645167434,326513,4743,938,382,391866,0 89,2,2024-09-07 08:43:21:136,241044,241044,0,0,11692453,0,2910 89,3,2024-09-07 08:43:21:804,1,190,325,0,325,3705,190,0 90,0,2024-09-07 08:43:21:638,48193,0.4,49212,0.5,100966,0.3,131565,1.75 90,1,2024-09-07 08:43:20:594,334142,334142,0,0,155784916093,1633419080,330851,3032,259,381,391825,0 90,2,2024-09-07 08:43:21:411,239717,239717,0,0,12524866,0,3060 90,3,2024-09-07 08:43:20:931,1,190,18,0,200,2133,190,0 91,0,2024-09-07 08:43:20:951,50871,0.4,49106,0.6,102375,0.4,135044,1.75 91,1,2024-09-07 08:43:20:555,333471,333471,0,0,156433866533,1643013655,329446,3524,501,381,392047,0 91,2,2024-09-07 08:43:21:356,236022,236022,0,0,11066199,0,2445 91,3,2024-09-07 08:43:20:598,1,190,1,0,216,2020,190,0 92,0,2024-09-07 08:43:21:457,47757,0.7,48942,0.8,93543,0.8,126517,1.75 92,1,2024-09-07 08:43:20:580,334106,334106,0,0,155809179627,1625126807,331896,1806,404,382,392136,0 92,2,2024-09-07 08:43:21:361,243669,243669,0,0,9441242,0,2801 92,3,2024-09-07 08:43:21:017,1,190,2,0,167,1591,190,0 93,0,2024-09-07 08:43:20:967,43230,0.4,44274,0.6,84645,0.3,115151,1.75 93,1,2024-09-07 08:43:20:824,334544,334544,0,0,156555775841,1632344851,331395,2596,553,366,391692,0 93,2,2024-09-07 08:43:20:933,242504,242504,0,0,9503933,0,2509 93,3,2024-09-07 08:43:21:426,1,190,3,0,143,1929,190,0 94,0,2024-09-07 08:43:21:707,47267,0.3,47865,0.4,95157,0.2,126256,1.50 94,1,2024-09-07 08:43:20:569,333764,333764,0,0,156319855858,1631955580,331304,2364,96,381,391850,0 94,2,2024-09-07 08:43:20:767,240043,240043,0,0,9056806,0,2443 94,3,2024-09-07 08:43:21:699,1,190,2,0,264,2643,190,0 95,0,2024-09-07 08:43:21:365,50245,0.3,49895,0.5,100765,0.3,132995,1.75 95,1,2024-09-07 08:43:20:853,334644,334644,0,0,156825230201,1628526229,332242,2217,185,367,391662,0 95,2,2024-09-07 08:43:21:017,237576,237576,0,0,9302565,0,3308 95,3,2024-09-07 08:43:21:728,1,190,6,0,718,3315,190,0 96,0,2024-09-07 08:43:21:058,49619,0.5,49815,0.6,99549,0.5,131430,1.75 96,1,2024-09-07 08:43:21:594,334249,334249,0,0,156595980751,1636568217,331515,2087,647,385,391894,0 96,2,2024-09-07 08:43:21:279,241912,241912,0,0,10004107,0,4042 96,3,2024-09-07 08:43:21:141,1,190,1,0,188,2034,190,0 97,0,2024-09-07 08:43:21:339,43247,0.4,42928,0.5,86111,0.3,114247,1.75 97,1,2024-09-07 08:43:20:767,335281,335281,0,0,156545285604,1626342354,332463,2265,553,367,392140,0 97,2,2024-09-07 08:43:20:609,241669,241669,0,0,9294735,0,3036 97,3,2024-09-07 08:43:20:568,1,190,1,0,165,2159,190,0 98,0,2024-09-07 08:43:21:753,45907,0.2,45795,0.4,91909,0.2,122350,1.50 98,1,2024-09-07 08:43:20:580,334618,334618,0,0,155959644201,1624107165,332764,1760,94,382,391997,0 98,2,2024-09-07 08:43:20:771,240688,240688,0,0,9528071,0,3080 98,3,2024-09-07 08:43:20:701,1,190,9,0,840,3413,190,0 99,0,2024-09-07 08:43:21:464,51377,0.3,51357,0.4,102407,0.3,136636,1.50 99,1,2024-09-07 08:43:21:731,334980,334980,0,0,155404629655,1622018082,331671,2595,714,381,391744,0 99,2,2024-09-07 08:43:21:426,241670,241670,0,0,9423540,0,2615 99,3,2024-09-07 08:43:20:583,1,190,2,0,129,1367,190,0 100,0,2024-09-07 08:43:21:483,50993,1.0,51048,1.2,101896,1.6,136243,2.50 100,1,2024-09-07 08:43:20:555,332130,332130,0,0,155604577420,1648787774,326629,4568,933,381,391989,0 100,2,2024-09-07 08:43:21:840,238618,238607,11,0,11360508,0,5417 100,3,2024-09-07 08:43:21:731,1,190,0,0,559,3855,190,0 101,0,2024-09-07 08:43:21:712,44977,2.4,43914,1.4,85841,2.4,118727,2.75 101,1,2024-09-07 08:43:20:553,332667,332667,0,0,155073305617,1639623037,326640,4505,1522,368,391769,0 101,2,2024-09-07 08:43:21:761,240275,240275,0,0,12249501,0,4644 101,3,2024-09-07 08:43:20:945,1,190,13,0,448,2460,190,0 102,0,2024-09-07 08:43:20:973,44236,0.8,45882,0.9,92274,0.7,121078,2.25 102,1,2024-09-07 08:43:21:179,333382,333382,0,0,155695264303,1639344861,328661,4004,717,369,391883,0 102,2,2024-09-07 08:43:21:758,240940,240886,54,0,11819499,0,6768 102,3,2024-09-07 08:43:21:630,1,190,12,0,410,2104,190,0 103,0,2024-09-07 08:43:21:679,52058,0.4,52021,0.6,98097,0.4,135653,1.75 103,1,2024-09-07 08:43:21:632,332373,332373,0,0,155500975376,1642145589,327000,4048,1325,381,391829,0 103,2,2024-09-07 08:43:20:587,240251,240251,0,0,10443232,0,2104 103,3,2024-09-07 08:43:20:761,1,190,1,0,916,3126,190,0 104,0,2024-09-07 08:43:21:017,50747,1.1,51166,1.1,101063,1.2,136780,2.50 104,1,2024-09-07 08:43:21:603,334008,334008,0,0,155891408919,1641860128,328857,4183,968,365,391948,0 104,2,2024-09-07 08:43:21:668,236783,236783,0,0,10910306,0,3941 104,3,2024-09-07 08:43:21:424,1,190,1,1,1245,5535,190,0 105,0,2024-09-07 08:43:21:033,45467,1.4,44138,1.3,92344,2.3,121844,3.50 105,1,2024-09-07 08:43:20:554,334265,334265,0,0,155766365257,1634796845,329624,3839,802,367,391797,0 105,2,2024-09-07 08:43:21:332,240831,240831,0,0,10624687,0,3509 105,3,2024-09-07 08:43:21:313,1,190,19,0,399,3030,190,0 106,0,2024-09-07 08:43:20:949,42238,0.6,43358,0.8,88524,0.6,115969,2.25 106,1,2024-09-07 08:43:21:769,334118,334118,0,0,155971029793,1637818654,329332,4329,457,369,391767,0 106,2,2024-09-07 08:43:20:777,240798,240798,0,0,11219405,0,2795 106,3,2024-09-07 08:43:20:685,1,190,470,0,470,3034,190,0 107,0,2024-09-07 08:43:21:114,48566,0.5,48626,0.7,96921,0.5,129387,2.00 107,1,2024-09-07 08:43:20:584,333475,333475,0,0,155127739163,1630359024,330216,3024,235,381,392234,0 107,2,2024-09-07 08:43:21:296,240119,240118,1,0,11072339,0,5024 107,3,2024-09-07 08:43:21:761,1,190,23,0,353,2719,190,0 108,0,2024-09-07 08:43:21:801,49943,0.4,50033,0.6,99322,0.4,132457,1.75 108,1,2024-09-07 08:43:21:301,333902,333902,0,0,156163369428,1628038857,331186,2402,314,368,391857,0 108,2,2024-09-07 08:43:21:755,235713,235713,0,0,9774557,0,2647 108,3,2024-09-07 08:43:21:331,1,190,9,0,667,3476,190,0 109,0,2024-09-07 08:43:21:876,48640,0.4,48147,0.6,96575,0.4,129114,1.75 109,1,2024-09-07 08:43:20:600,333615,333615,0,0,156051132520,1635881636,330803,2315,497,383,392132,0 109,2,2024-09-07 08:43:20:930,241834,241834,0,0,10222788,0,3617 109,3,2024-09-07 08:43:21:148,1,190,8,0,249,2442,190,0 110,0,2024-09-07 08:43:21:779,42711,0.4,41541,0.6,86494,0.3,113150,1.75 110,1,2024-09-07 08:43:21:643,334861,334861,0,0,156220619637,1622858443,332235,1859,767,370,391667,0 110,2,2024-09-07 08:43:21:307,242173,242173,0,0,9371005,0,2915 110,3,2024-09-07 08:43:20:692,1,190,8,0,406,2540,190,0 111,0,2024-09-07 08:43:21:414,46539,0.2,46131,0.4,92128,0.1,124002,1.50 111,1,2024-09-07 08:43:20:999,335330,335330,0,0,156911066520,1626838054,333540,1457,333,382,391690,0 111,2,2024-09-07 08:43:21:117,239758,239758,0,0,9849351,0,2763 111,3,2024-09-07 08:43:20:918,1,190,1,0,379,2814,190,0 112,0,2024-09-07 08:43:20:940,50858,0.3,50780,0.4,101670,0.2,134819,1.50 112,1,2024-09-07 08:43:20:825,335319,335319,0,0,156095042665,1620803497,332985,1908,426,380,391580,0 112,2,2024-09-07 08:43:21:147,238951,238950,1,0,9813431,0,5036 112,3,2024-09-07 08:43:20:592,1,190,1,0,282,2039,190,0 113,0,2024-09-07 08:43:20:882,49930,0.4,49970,0.5,100366,0.3,133915,1.75 113,1,2024-09-07 08:43:21:693,335470,335470,0,0,157541632542,1628597548,333050,1932,488,366,391661,0 113,2,2024-09-07 08:43:21:303,242329,242329,0,0,8763049,0,3813 113,3,2024-09-07 08:43:20:684,1,190,1,0,340,3208,190,0 114,0,2024-09-07 08:43:20:873,44515,0.5,45045,0.6,88767,0.4,119015,1.75 114,1,2024-09-07 08:43:20:716,333864,333864,0,0,156187555554,1630763818,329731,2670,1463,381,391534,0 114,2,2024-09-07 08:43:20:872,241708,241708,0,0,9131146,0,3925 114,3,2024-09-07 08:43:21:280,1,190,7,0,395,2164,190,0 115,0,2024-09-07 08:43:20:555,45250,0.2,45667,0.4,91107,0.1,121372,1.50 115,1,2024-09-07 08:43:20:571,334287,334287,0,0,156447942065,1628940912,330609,2857,821,382,391602,0 115,2,2024-09-07 08:43:21:125,241734,241734,0,0,8507719,0,2152 115,3,2024-09-07 08:43:21:005,1,190,1,0,159,1172,190,0 116,0,2024-09-07 08:43:21:825,51335,0.7,51012,0.9,102338,0.8,136767,2.00 116,1,2024-09-07 08:43:20:811,331674,331674,0,0,155945582535,1656450949,325924,3700,2050,380,391677,0 116,2,2024-09-07 08:43:21:756,239750,239750,0,0,12589998,0,3529 116,3,2024-09-07 08:43:20:922,1,190,1,0,252,2675,190,0 117,0,2024-09-07 08:43:20:955,50951,1.1,51084,1.0,101923,1.4,136906,2.00 117,1,2024-09-07 08:43:21:580,333373,333373,0,0,155036272570,1626546058,329249,3648,476,370,392033,0 117,2,2024-09-07 08:43:21:122,240216,240216,0,0,9621567,0,3700 117,3,2024-09-07 08:43:21:058,1,190,1,0,490,3565,190,0 118,0,2024-09-07 08:43:21:794,43687,0.9,44951,0.9,91384,1.0,119367,2.25 118,1,2024-09-07 08:43:20:589,333455,333455,0,0,155777626664,1643073950,327862,4167,1426,366,391736,0 118,2,2024-09-07 08:43:21:586,240627,240627,0,0,11277267,0,2781 118,3,2024-09-07 08:43:21:764,1,190,11,0,235,2345,190,0 119,0,2024-09-07 08:43:21:374,44184,0.6,44494,0.8,89536,0.5,119082,2.00 119,1,2024-09-07 08:43:20:550,334526,334526,0,0,156199589735,1631052502,331467,2753,306,368,391641,0 119,2,2024-09-07 08:43:21:273,241481,241481,0,0,10432995,0,3526 119,3,2024-09-07 08:43:21:332,1,190,2,0,443,3148,190,0 120,0,2024-09-07 08:43:21:565,49282,0.4,49272,0.6,98716,0.4,131688,1.75 120,1,2024-09-07 08:43:20:859,334174,334174,0,0,155368103376,1630704199,330616,3271,287,368,391961,0 120,2,2024-09-07 08:43:20:777,240132,240131,1,0,12683914,0,5281 120,3,2024-09-07 08:43:21:303,1,190,109,0,241,2720,190,0 121,0,2024-09-07 08:43:21:704,50087,1.2,50527,1.1,100661,1.7,134461,2.25 121,1,2024-09-07 08:43:21:655,333732,333732,0,0,155767444043,1630918251,330285,3082,365,367,391840,0 121,2,2024-09-07 08:43:21:125,235923,235923,0,0,11168591,0,4127 121,3,2024-09-07 08:43:20:727,1,190,0,0,269,2515,190,0 122,0,2024-09-07 08:43:21:772,47120,1.1,45909,1.1,96220,1.2,126494,2.25 122,1,2024-09-07 08:43:20:871,333118,333118,0,0,156050756075,1639558590,328474,3935,709,366,392130,0 122,2,2024-09-07 08:43:21:332,241422,241422,0,0,12920653,0,3364 122,3,2024-09-07 08:43:20:612,1,190,13,0,411,4468,190,0 123,0,2024-09-07 08:43:20:954,42984,0.7,41920,0.8,87453,0.8,114689,2.00 123,1,2024-09-07 08:43:20:564,333551,333551,0,0,156501787279,1652893511,326773,5453,1325,369,391823,0 123,2,2024-09-07 08:43:21:021,240099,240098,1,0,11445717,0,5215 123,3,2024-09-07 08:43:21:133,1,190,2,0,168,2299,190,0 124,0,2024-09-07 08:43:20:935,48839,0.3,48822,0.4,92118,0.2,126528,1.50 124,1,2024-09-07 08:43:21:028,334956,334956,0,0,156177340405,1621245555,332853,1747,356,367,392178,0 124,2,2024-09-07 08:43:21:015,240647,240647,0,0,9193758,0,3101 124,3,2024-09-07 08:43:20:764,1,190,20,0,490,2487,190,0 125,0,2024-09-07 08:43:21:425,50104,0.4,50067,0.5,100300,0.3,133076,1.75 125,1,2024-09-07 08:43:20:855,334288,334288,0,0,155740083159,1624529752,331908,2103,277,383,391702,0 125,2,2024-09-07 08:43:21:119,238467,238467,0,0,9001495,0,2180 125,3,2024-09-07 08:43:21:126,1,190,1,0,284,2378,190,0 126,0,2024-09-07 08:43:21:437,49762,0.7,51022,0.7,97623,0.7,132272,1.75 126,1,2024-09-07 08:43:20:559,335044,335044,0,0,157131602421,1626658197,333394,1571,79,365,391987,0 126,2,2024-09-07 08:43:20:612,243387,243387,0,0,9617738,0,3186 126,3,2024-09-07 08:43:20:920,1,190,1,0,150,2636,190,0 127,0,2024-09-07 08:43:21:601,42916,0.4,43168,0.5,86047,0.3,114092,1.75 127,1,2024-09-07 08:43:20:586,334416,334416,0,0,156598307590,1621473238,332304,2071,41,365,391816,0 127,2,2024-09-07 08:43:20:637,241988,241988,0,0,9101162,0,2264 127,3,2024-09-07 08:43:21:270,1,190,1,0,243,1611,190,0 128,0,2024-09-07 08:43:21:574,46175,0.3,45993,0.4,92095,0.2,122403,1.50 128,1,2024-09-07 08:43:21:619,335164,335164,0,0,156431120135,1619671970,333885,1173,106,367,391680,0 128,2,2024-09-07 08:43:21:399,239875,239875,0,0,9033507,0,2107 128,3,2024-09-07 08:43:20:776,1,190,20,0,333,2670,190,0 129,0,2024-09-07 08:43:20:995,51640,0.3,51431,0.5,102724,0.3,136308,1.50 129,1,2024-09-07 08:43:20:567,333061,333061,0,0,155837897522,1631001789,330029,2637,395,379,391835,0 129,2,2024-09-07 08:43:20:692,240603,240603,0,0,9277782,0,4031 129,3,2024-09-07 08:43:20:693,1,190,1,0,469,2613,190,0 130,0,2024-09-07 08:43:21:730,51441,0.6,51305,0.7,102824,0.8,137185,1.75 130,1,2024-09-07 08:43:20:589,334839,334839,0,0,156852042098,1632396853,332717,2049,73,381,391825,0 130,2,2024-09-07 08:43:21:126,241107,241107,0,0,9235860,0,4067 130,3,2024-09-07 08:43:21:291,1,190,15,0,450,2196,190,0 131,0,2024-09-07 08:43:21:942,44240,0.5,44587,0.6,89857,0.5,118844,1.75 131,1,2024-09-07 08:43:21:824,335458,335458,0,0,156468828900,1626041262,333977,1275,206,383,391865,0 131,2,2024-09-07 08:43:20:576,242106,242106,0,0,8857680,0,2415 131,3,2024-09-07 08:43:21:692,1,190,2,0,392,1952,190,0 132,0,2024-09-07 08:43:21:505,45160,0.5,45665,0.7,91308,0.5,121459,2.00 132,1,2024-09-07 08:43:20:578,333137,333137,0,0,155421008639,1642432011,327423,4649,1065,381,392097,0 132,2,2024-09-07 08:43:20:700,240632,240632,0,0,12012951,0,4606 132,3,2024-09-07 08:43:21:695,1,190,5,0,356,3233,190,0 133,0,2024-09-07 08:43:21:524,49214,0.4,50354,0.6,103251,0.3,134419,1.75 133,1,2024-09-07 08:43:20:631,332545,332545,0,0,155650626313,1640794188,327948,3954,643,383,391914,0 133,2,2024-09-07 08:43:21:091,240222,240222,0,0,12307714,0,4315 133,3,2024-09-07 08:43:21:301,1,190,16,0,276,2053,190,0 134,0,2024-09-07 08:43:20:952,51163,0.5,51158,0.7,102609,0.5,136771,2.00 134,1,2024-09-07 08:43:20:589,333174,333174,0,0,154974200758,1628736425,328104,3787,1283,366,391718,0 134,2,2024-09-07 08:43:21:758,236983,236983,0,0,10345224,0,3847 134,3,2024-09-07 08:43:20:749,1,190,1,0,739,3240,190,0 135,0,2024-09-07 08:43:21:097,44568,1.3,44568,1.2,94575,1.5,121427,2.50 135,1,2024-09-07 08:43:21:597,332518,332518,0,0,156009588455,1642371483,327705,3916,897,380,391805,0 135,2,2024-09-07 08:43:20:695,241352,241352,0,0,11605430,0,3981 135,3,2024-09-07 08:43:21:005,1,190,3,0,299,1423,190,0 136,0,2024-09-07 08:43:21:617,43941,0.5,43885,0.7,88053,0.5,117019,2.00 136,1,2024-09-07 08:43:21:441,332814,332814,0,0,155535083821,1633942664,328354,3950,510,382,391641,0 136,2,2024-09-07 08:43:21:134,242649,242649,0,0,11085042,0,3506 136,3,2024-09-07 08:43:21:107,1,190,13,0,126,1641,190,0 137,0,2024-09-07 08:43:20:928,49990,0.5,48411,0.7,95724,0.5,130114,2.00 137,1,2024-09-07 08:43:20:575,333048,333048,0,0,155583863334,1631756406,327876,4505,667,366,391708,0 137,2,2024-09-07 08:43:21:708,240147,240147,0,0,12493963,0,3185 137,3,2024-09-07 08:43:20:771,1,190,21,0,227,2122,190,0 138,0,2024-09-07 08:43:21:760,49359,1.1,49433,1.0,99312,1.4,132187,2.25 138,1,2024-09-07 08:43:21:688,333635,333635,0,0,156501036470,1639051995,329340,3807,488,368,391954,0 138,2,2024-09-07 08:43:20:671,237406,237406,0,0,9964777,0,3263 138,3,2024-09-07 08:43:20:628,1,190,1,0,1160,3364,190,0 139,0,2024-09-07 08:43:21:364,47357,2.7,47425,1.6,95825,3.9,127549,3.00 139,1,2024-09-07 08:43:20:571,331870,331870,0,0,154903341060,1646268442,325520,4544,1806,381,391892,0 139,2,2024-09-07 08:43:20:699,240460,240460,0,0,11670519,0,3097 139,3,2024-09-07 08:43:21:667,1,190,1,0,244,2076,190,0 140,0,2024-09-07 08:43:21:591,42891,0.3,42432,0.5,85504,0.3,113324,1.75 140,1,2024-09-07 08:43:21:536,335327,335327,0,0,157506191165,1622521078,333803,1284,240,365,391606,0 140,2,2024-09-07 08:43:20:687,242494,242494,0,0,9572942,0,3388 140,3,2024-09-07 08:43:20:767,1,190,16,0,247,1666,190,0 141,0,2024-09-07 08:43:21:734,46380,0.2,47594,0.4,90884,0.1,124036,1.50 141,1,2024-09-07 08:43:20:865,335364,335364,0,0,157164295116,1629164322,333406,1604,354,379,391614,0 141,2,2024-09-07 08:43:21:694,240596,240596,0,0,8896649,0,2342 141,3,2024-09-07 08:43:21:043,1,190,4,0,147,1405,190,0 142,0,2024-09-07 08:43:21:321,51253,0.3,50935,0.4,101078,0.2,135060,1.50 142,1,2024-09-07 08:43:20:586,334408,334408,0,0,156875309554,1632359379,332843,1403,162,383,391728,0 142,2,2024-09-07 08:43:21:301,238252,238220,32,0,10271816,0,6028 142,3,2024-09-07 08:43:21:746,1,190,10,0,484,2524,190,0 143,0,2024-09-07 08:43:21:370,50166,0.6,50134,0.7,101164,0.7,133685,1.75 143,1,2024-09-07 08:43:20:560,334628,334628,0,0,156003046477,1617486351,332478,2106,44,367,391619,0 143,2,2024-09-07 08:43:20:780,241094,241094,0,0,9625827,0,2669 143,3,2024-09-07 08:43:21:144,1,190,2,0,303,2742,190,0 144,0,2024-09-07 08:43:21:522,42640,0.7,43913,1.1,89123,0.6,117373,2.00 144,1,2024-09-07 08:43:20:574,333232,333232,0,0,155504118559,1628757312,330809,2218,205,381,391649,0 144,2,2024-09-07 08:43:21:754,241382,241382,0,0,9605139,0,3473 144,3,2024-09-07 08:43:21:741,1,190,7,0,249,2232,190,0 145,0,2024-09-07 08:43:21:390,43939,0.5,43897,0.7,93117,0.4,120857,2.25 145,1,2024-09-07 08:43:20:565,331857,331857,0,0,155445171768,1639596391,326661,4377,819,382,391615,0 145,2,2024-09-07 08:43:21:431,239625,239625,0,0,10934213,0,3903 145,3,2024-09-07 08:43:20:900,1,190,4,0,579,2642,190,0 146,0,2024-09-07 08:43:21:618,51226,0.5,50726,0.8,102360,0.5,135897,2.25 146,1,2024-09-07 08:43:21:597,334070,334070,0,0,156233110686,1642719647,328406,4478,1186,368,391770,0 146,2,2024-09-07 08:43:21:704,240119,240119,0,0,10638654,0,2498 146,3,2024-09-07 08:43:21:286,1,190,24,0,1520,5347,190,0 147,0,2024-09-07 08:43:21:711,51094,0.7,50995,0.9,101339,0.7,136584,2.25 147,1,2024-09-07 08:43:21:381,335304,335304,0,0,156437212269,1626913785,332164,2642,498,368,391791,0 147,2,2024-09-07 08:43:21:018,240050,240050,0,0,9252409,0,2789 147,3,2024-09-07 08:43:20:913,1,190,8,0,371,2229,190,0 0,0,2024-09-07 08:43:31:733,48151,0.4,48149,0.6,102192,0.3,132124,1.75 0,1,2024-09-07 08:43:30:806,336012,336012,0,0,157920356511,1649900889,333978,1897,137,372,391772,0 0,2,2024-09-07 08:43:31:068,242447,242447,0,0,9872842,0,4480 0,3,2024-09-07 08:43:30:975,1,191,8,0,247,2407,191,0 1,0,2024-09-07 08:43:31:755,50833,1.1,50461,1.1,101420,1.5,135654,2.25 1,1,2024-09-07 08:43:30:569,335635,335635,0,0,156320466658,1638484268,332175,2592,868,371,391857,0 1,2,2024-09-07 08:43:30:639,237418,237418,0,0,8928081,0,3267 1,3,2024-09-07 08:43:31:314,1,191,2,0,262,2345,191,0 2,0,2024-09-07 08:43:31:567,47383,1.0,47635,0.9,94570,1.4,126122,2.25 2,1,2024-09-07 08:43:30:866,336127,336127,0,0,157718258933,1637668557,334582,1339,206,380,391745,0 2,2,2024-09-07 08:43:31:267,242869,242869,0,0,9639986,0,3594 2,3,2024-09-07 08:43:30:694,1,191,12,0,357,1893,191,0 3,0,2024-09-07 08:43:31:755,43082,0.4,43342,0.6,86241,0.4,115079,1.75 3,1,2024-09-07 08:43:31:619,335583,335583,0,0,157042944107,1637028031,332379,2780,424,380,391516,0 3,2,2024-09-07 08:43:31:145,243742,243719,23,0,9861440,0,5851 3,3,2024-09-07 08:43:31:755,1,191,4,0,103,1189,191,0 4,0,2024-09-07 08:43:31:769,46217,0.3,47580,0.5,96704,0.3,127345,1.75 4,1,2024-09-07 08:43:30:597,335472,335472,0,0,156606107331,1645179046,332111,2832,529,371,391846,0 4,2,2024-09-07 08:43:31:018,240425,240425,0,0,11995639,0,4528 4,3,2024-09-07 08:43:31:027,1,191,5,0,448,3145,191,0 5,0,2024-09-07 08:43:31:377,50174,0.4,50203,0.6,100160,0.4,133157,1.75 5,1,2024-09-07 08:43:30:759,335241,335241,0,0,156968044859,1652843238,330709,3475,1057,368,392005,0 5,2,2024-09-07 08:43:31:828,237045,237045,0,0,10173998,0,2259 5,3,2024-09-07 08:43:31:732,1,191,1,0,278,2885,191,0 6,0,2024-09-07 08:43:30:916,50015,0.8,49542,0.9,98866,0.9,132292,2.25 6,1,2024-09-07 08:43:30:750,335798,335798,0,0,156455577651,1637565065,332082,3057,659,379,391634,0 6,2,2024-09-07 08:43:31:118,243216,243216,0,0,10605546,0,4816 6,3,2024-09-07 08:43:31:275,1,191,2,0,340,2524,191,0 7,0,2024-09-07 08:43:31:539,42568,0.4,42560,0.7,84992,0.4,113017,2.00 7,1,2024-09-07 08:43:30:850,335411,335411,0,0,156743707626,1644006632,331449,3606,356,382,391664,0 7,2,2024-09-07 08:43:30:771,242315,242315,0,0,9987102,0,2981 7,3,2024-09-07 08:43:30:856,1,191,3,0,305,1854,191,0 8,0,2024-09-07 08:43:31:327,46041,0.3,46299,0.5,92018,0.2,123111,1.50 8,1,2024-09-07 08:43:31:020,334552,334552,0,0,157200544518,1659005577,328336,4612,1604,367,391956,0 8,2,2024-09-07 08:43:30:790,238092,238092,0,0,11816242,0,2986 8,3,2024-09-07 08:43:30:585,1,191,1,0,357,2924,191,0 9,0,2024-09-07 08:43:31:100,51521,0.4,49994,0.5,104347,0.3,136638,1.75 9,1,2024-09-07 08:43:30:550,334840,334840,0,0,156739597539,1655158402,329518,3915,1407,370,392001,0 9,2,2024-09-07 08:43:31:084,240349,240349,0,0,10772416,0,3360 9,3,2024-09-07 08:43:31:752,1,191,1,0,496,3024,191,0 10,0,2024-09-07 08:43:31:601,51552,0.4,51250,0.5,102863,0.3,137060,1.75 10,1,2024-09-07 08:43:30:584,335185,335185,0,0,156625082866,1643248496,330274,4214,697,381,391741,0 10,2,2024-09-07 08:43:30:762,242239,242239,0,0,11126674,0,2940 10,3,2024-09-07 08:43:30:873,1,191,8,0,177,1428,191,0 11,0,2024-09-07 08:43:31:006,44000,0.5,42841,0.8,89599,0.6,119513,2.00 11,1,2024-09-07 08:43:30:571,335267,335267,0,0,156613919736,1648909054,329019,4788,1460,384,391537,0 11,2,2024-09-07 08:43:31:123,242088,242088,0,0,10637651,0,3411 11,3,2024-09-07 08:43:31:297,1,191,1,0,720,2978,191,0 12,0,2024-09-07 08:43:30:954,46014,0.3,45707,0.5,91788,0.2,122047,1.50 12,1,2024-09-07 08:43:30:933,335822,335822,0,0,156197388336,1629835854,332851,2554,417,370,391837,0 12,2,2024-09-07 08:43:31:547,243144,243144,0,0,10620682,0,3469 12,3,2024-09-07 08:43:31:060,1,191,129,0,358,3244,191,0 13,0,2024-09-07 08:43:31:337,51194,0.3,51094,0.5,102215,0.3,136491,1.50 13,1,2024-09-07 08:43:31:526,335156,335156,0,0,156939331339,1644626155,332712,2033,411,382,391717,0 13,2,2024-09-07 08:43:30:603,242898,242898,0,0,9885070,0,3287 13,3,2024-09-07 08:43:31:766,1,191,2,0,467,3492,191,0 14,0,2024-09-07 08:43:30:561,51666,0.4,52143,0.6,103152,0.4,137597,1.75 14,1,2024-09-07 08:43:31:569,337663,337663,0,0,157344675309,1629024318,335393,2106,164,364,391571,0 14,2,2024-09-07 08:43:30:764,239118,239118,0,0,9678885,0,2896 14,3,2024-09-07 08:43:31:116,1,191,1,0,1168,3117,191,0 15,0,2024-09-07 08:43:31:559,45863,0.7,46035,0.9,92092,0.8,122396,2.25 15,1,2024-09-07 08:43:31:621,335672,335672,0,0,157668540561,1638436956,333752,1810,110,381,391619,0 15,2,2024-09-07 08:43:31:003,243592,243592,0,0,8325374,0,3043 15,3,2024-09-07 08:43:31:407,1,191,9,0,1126,4666,191,0 16,0,2024-09-07 08:43:31:004,44079,0.4,44284,0.7,87963,0.4,117505,2.00 16,1,2024-09-07 08:43:30:568,336257,336257,0,0,157102278857,1641958613,333580,2404,273,370,391756,0 16,2,2024-09-07 08:43:31:434,242842,242842,0,0,10895425,0,4719 16,3,2024-09-07 08:43:31:150,1,191,11,0,231,2466,191,0 17,0,2024-09-07 08:43:31:765,50542,0.4,49289,0.6,96721,0.4,131278,1.75 17,1,2024-09-07 08:43:30:571,335084,335084,0,0,156680348375,1644134828,331662,2747,675,368,391688,0 17,2,2024-09-07 08:43:31:674,244189,244189,0,0,9649182,0,2857 17,3,2024-09-07 08:43:30:578,1,191,27,0,268,2945,191,0 18,0,2024-09-07 08:43:30:942,49194,0.8,49517,0.9,99030,0.9,132054,2.50 18,1,2024-09-07 08:43:31:638,336290,336290,0,0,156818204706,1625992227,334172,1883,235,367,391564,0 18,2,2024-09-07 08:43:31:768,238194,238194,0,0,9369109,0,3541 18,3,2024-09-07 08:43:30:896,1,191,15,0,163,2053,191,0 19,0,2024-09-07 08:43:31:543,47977,0.9,48411,0.9,95649,1.1,127186,2.50 19,1,2024-09-07 08:43:30:569,336186,336186,0,0,158075023751,1643889471,332369,3101,716,367,391777,0 19,2,2024-09-07 08:43:31:755,244646,244646,0,0,8884449,0,3988 19,3,2024-09-07 08:43:31:129,1,191,0,0,524,1608,191,0 20,0,2024-09-07 08:43:31:348,42553,0.5,42509,0.7,85235,0.5,113301,2.00 20,1,2024-09-07 08:43:30:582,335195,335195,0,0,157616419866,1652433732,331556,3245,394,369,391822,0 20,2,2024-09-07 08:43:30:934,242933,242933,0,0,10400322,0,3721 20,3,2024-09-07 08:43:30:589,1,191,113,0,414,3854,191,0 21,0,2024-09-07 08:43:31:131,46903,0.4,47089,0.6,93841,0.3,124950,1.75 21,1,2024-09-07 08:43:31:547,334504,334504,0,0,155510604030,1640861505,329838,3632,1034,368,391962,0 21,2,2024-09-07 08:43:31:068,240827,240827,0,0,11325874,0,3747 21,3,2024-09-07 08:43:31:404,1,191,6,0,103,2236,191,0 22,0,2024-09-07 08:43:31:725,50674,0.7,50694,0.8,100975,0.8,134191,2.25 22,1,2024-09-07 08:43:31:023,334802,334802,0,0,156025088244,1644553723,329014,4269,1519,382,391667,0 22,2,2024-09-07 08:43:30:764,239093,239093,0,0,9700767,0,3134 22,3,2024-09-07 08:43:31:066,1,191,11,0,228,1951,191,0 23,0,2024-09-07 08:43:31:379,49937,0.8,50019,0.8,100230,0.9,133473,2.50 23,1,2024-09-07 08:43:31:004,335553,335553,0,0,156997355969,1652156049,329283,3846,2424,365,391549,0 23,2,2024-09-07 08:43:31:097,242430,242430,0,0,9976928,0,3010 23,3,2024-09-07 08:43:31:753,1,191,0,0,645,2522,191,0 24,0,2024-09-07 08:43:30:876,44894,0.5,44885,0.6,89692,0.5,119078,1.75 24,1,2024-09-07 08:43:30:594,335654,335654,0,0,156597212384,1640866909,331883,2751,1020,369,391640,0 24,2,2024-09-07 08:43:31:069,241727,241727,0,0,11574108,0,2942 24,3,2024-09-07 08:43:31:692,1,191,6,0,468,2595,191,0 25,0,2024-09-07 08:43:31:338,46964,0.4,46038,0.6,90149,0.3,122953,1.75 25,1,2024-09-07 08:43:30:557,335459,335459,0,0,157230948442,1648597751,331684,3230,545,371,391788,0 25,2,2024-09-07 08:43:31:605,240553,240553,0,0,12020078,0,3978 25,3,2024-09-07 08:43:31:006,1,191,5,0,255,2290,191,0 26,0,2024-09-07 08:43:31:727,51135,0.4,50121,0.6,104963,0.4,136482,1.75 26,1,2024-09-07 08:43:31:546,336057,336057,0,0,156863823904,1647465373,330632,4445,980,381,391748,0 26,2,2024-09-07 08:43:30:861,241905,241905,0,0,11378453,0,2809 26,3,2024-09-07 08:43:31:712,1,191,9,0,796,2509,191,0 27,0,2024-09-07 08:43:31:734,51773,0.5,51704,0.7,102517,0.5,137143,2.00 27,1,2024-09-07 08:43:31:676,337163,337163,0,0,157928810570,1640266654,335115,1725,323,381,391558,0 27,2,2024-09-07 08:43:30:867,239028,239028,0,0,11049775,0,3409 27,3,2024-09-07 08:43:31:018,1,191,13,0,564,2027,191,0 28,0,2024-09-07 08:43:31:404,44925,0.7,45040,0.8,90635,0.9,120659,2.25 28,1,2024-09-07 08:43:30:800,336577,336577,0,0,157602950025,1640084079,334389,1851,337,383,391646,0 28,2,2024-09-07 08:43:31:770,243072,243072,0,0,9113762,0,2915 28,3,2024-09-07 08:43:31:776,1,191,5,0,502,2161,191,0 29,0,2024-09-07 08:43:31:360,46126,0.3,45016,0.5,88063,0.2,121069,1.75 29,1,2024-09-07 08:43:31:561,337547,337547,0,0,157018077185,1625011156,335108,1895,544,369,391621,0 29,2,2024-09-07 08:43:30:865,242289,242289,0,0,8600936,0,4018 29,3,2024-09-07 08:43:30:963,1,191,14,0,115,1430,191,0 30,0,2024-09-07 08:43:31:463,49482,0.4,48213,0.6,100946,0.3,132650,1.75 30,1,2024-09-07 08:43:30:571,336622,336622,0,0,157453319654,1632888136,334622,1721,279,382,391672,0 30,2,2024-09-07 08:43:31:274,243159,243159,0,0,8661905,0,3161 30,3,2024-09-07 08:43:30:583,1,191,6,0,195,1327,191,0 31,0,2024-09-07 08:43:31:785,50247,0.5,50675,0.6,101801,0.4,135599,2.00 31,1,2024-09-07 08:43:30:563,338201,338201,0,0,157557388145,1613354598,337377,711,113,356,391712,0 31,2,2024-09-07 08:43:31:280,237853,237853,0,0,10122395,0,3525 31,3,2024-09-07 08:43:31:706,1,191,1,0,220,1594,191,0 32,0,2024-09-07 08:43:31:419,47265,0.5,47774,0.6,95638,0.4,126819,1.75 32,1,2024-09-07 08:43:30:811,336511,336511,0,0,157517489540,1634467186,334722,1513,276,381,391595,0 32,2,2024-09-07 08:43:30:935,243614,243614,0,0,8538073,0,3155 32,3,2024-09-07 08:43:31:018,1,191,1,0,227,1573,191,0 33,0,2024-09-07 08:43:31:508,43683,0.3,43034,0.5,86885,0.2,115872,1.75 33,1,2024-09-07 08:43:30:578,337336,337336,0,0,157962489568,1632650413,335072,2092,172,369,391730,0 33,2,2024-09-07 08:43:30:758,243668,243635,33,0,10691360,0,7012 33,3,2024-09-07 08:43:30:895,1,191,70,0,329,2106,191,0 34,0,2024-09-07 08:43:30:934,47821,0.2,49314,0.4,94633,0.2,127700,1.50 34,1,2024-09-07 08:43:31:051,337516,337516,0,0,158522457909,1630882902,336518,992,6,367,391562,0 34,2,2024-09-07 08:43:30:778,241212,241212,0,0,9676735,0,3577 34,3,2024-09-07 08:43:31:690,1,191,7,0,148,1301,191,0 35,0,2024-09-07 08:43:30:864,49728,0.4,50230,0.5,100471,0.3,133433,1.75 35,1,2024-09-07 08:43:31:068,335636,335636,0,0,157247945597,1632030677,332804,2062,770,384,391589,0 35,2,2024-09-07 08:43:31:583,239025,239025,0,0,8603894,0,2653 35,3,2024-09-07 08:43:30:906,1,191,1,0,418,2048,191,0 36,0,2024-09-07 08:43:31:516,49757,0.8,49688,0.9,99485,0.9,131875,2.50 36,1,2024-09-07 08:43:30:586,336075,336075,0,0,156792038961,1641536110,331129,3636,1310,366,391759,0 36,2,2024-09-07 08:43:31:751,242432,242432,0,0,10470178,0,3303 36,3,2024-09-07 08:43:30:863,1,191,1,0,378,3061,191,0 37,0,2024-09-07 08:43:31:369,42656,0.5,42560,0.7,85088,0.4,113851,2.00 37,1,2024-09-07 08:43:30:569,335245,335238,0,7,156433546153,1643055384,330222,2990,2026,365,391560,0 37,2,2024-09-07 08:43:31:145,242265,242250,15,0,10942802,0,5815 37,3,2024-09-07 08:43:31:769,1,191,0,0,724,2969,191,0 38,0,2024-09-07 08:43:31:439,45934,0.4,44592,0.6,93266,0.3,122549,2.00 38,1,2024-09-07 08:43:31:605,336471,336471,0,0,157434483537,1645932914,332004,3822,645,368,391821,0 38,2,2024-09-07 08:43:30:760,240726,240679,47,0,12136100,0,6710 38,3,2024-09-07 08:43:31:002,1,191,11,0,689,3097,191,0 39,0,2024-09-07 08:43:31:772,52383,0.7,51412,0.8,99817,0.8,136391,2.00 39,1,2024-09-07 08:43:30:717,336128,336128,0,0,157472833337,1641628157,332125,3201,802,365,391524,0 39,2,2024-09-07 08:43:31:425,240505,240505,0,0,9632930,0,2689 39,3,2024-09-07 08:43:30:713,1,191,1,0,293,2665,191,0 40,0,2024-09-07 08:43:31:496,50803,0.8,51336,1.1,102769,0.9,136530,3.00 40,1,2024-09-07 08:43:30:578,335426,335426,0,0,156073586158,1637991040,329880,4325,1221,368,391591,0 40,2,2024-09-07 08:43:31:308,241132,241131,1,0,12002376,0,5137 40,3,2024-09-07 08:43:31:145,1,191,1,0,181,1970,191,0 41,0,2024-09-07 08:43:31:025,44058,1.6,44920,1.5,86007,2.9,118047,3.75 41,1,2024-09-07 08:43:30:771,335445,335445,0,0,157134496175,1644524547,330960,3943,542,370,391742,0 41,2,2024-09-07 08:43:30:759,240917,240917,0,0,11530169,0,3356 41,3,2024-09-07 08:43:31:676,1,191,1,0,366,2342,191,0 42,0,2024-09-07 08:43:31:480,45194,0.7,45495,0.9,90685,0.6,120098,2.50 42,1,2024-09-07 08:43:31:440,334090,334090,0,0,156403902736,1645475726,328562,4455,1073,380,391675,0 42,2,2024-09-07 08:43:31:132,241371,241371,0,0,11166318,0,3568 42,3,2024-09-07 08:43:31:008,1,191,8,0,446,1608,191,0 43,0,2024-09-07 08:43:30:917,50723,0.6,49456,0.9,103229,0.6,136029,2.00 43,1,2024-09-07 08:43:30:577,335816,335816,0,0,157862813447,1645801729,332124,2897,795,366,391696,0 43,2,2024-09-07 08:43:31:736,242707,242707,0,0,10585786,0,3812 43,3,2024-09-07 08:43:31:751,1,191,3,0,467,2657,191,0 44,0,2024-09-07 08:43:30:863,51443,0.5,51833,0.7,103327,0.5,138169,1.75 44,1,2024-09-07 08:43:30:562,336989,336989,0,0,156704403501,1618086686,334867,1697,425,356,391809,0 44,2,2024-09-07 08:43:31:267,238554,238554,0,0,8332530,0,1877 44,3,2024-09-07 08:43:31:093,1,191,87,0,817,2266,191,0 45,0,2024-09-07 08:43:31:756,45441,1.0,44500,1.0,93436,1.0,123056,2.25 45,1,2024-09-07 08:43:31:005,336800,336800,0,0,157261201547,1628274470,335758,1032,10,382,391917,0 45,2,2024-09-07 08:43:31:268,243678,243678,0,0,9111968,0,3596 45,3,2024-09-07 08:43:30:934,1,191,1,0,226,1828,191,0 46,0,2024-09-07 08:43:30:951,43949,0.3,43827,0.5,87881,0.2,116779,1.75 46,1,2024-09-07 08:43:30:580,337285,337285,0,0,157426581462,1625201580,335475,1586,224,366,391524,0 46,2,2024-09-07 08:43:30:595,243506,243506,0,0,9196752,0,2920 46,3,2024-09-07 08:43:31:131,1,191,16,0,908,3208,191,0 47,0,2024-09-07 08:43:31:102,49239,0.3,49372,0.5,98918,0.2,131072,1.75 47,1,2024-09-07 08:43:30:570,337742,337742,0,0,157062671646,1619539599,336330,1395,17,366,391605,0 47,2,2024-09-07 08:43:30:908,243306,243306,0,0,9206470,0,2558 47,3,2024-09-07 08:43:31:114,1,191,79,0,529,2009,191,0 48,0,2024-09-07 08:43:31:490,50312,0.3,49960,0.4,99707,0.2,132916,1.50 48,1,2024-09-07 08:43:31:021,337351,337351,0,0,157104292283,1630421155,335284,1886,181,384,391710,0 48,2,2024-09-07 08:43:30:702,238303,238303,0,0,8164405,0,3031 48,3,2024-09-07 08:43:30:760,1,191,171,0,339,1742,191,0 49,0,2024-09-07 08:43:31:711,49655,0.5,48779,0.6,94726,0.5,129149,1.75 49,1,2024-09-07 08:43:31:026,335733,335733,0,0,157179647657,1637442668,332943,1950,840,382,391610,0 49,2,2024-09-07 08:43:31:800,244636,244636,0,0,8977689,0,3900 49,3,2024-09-07 08:43:31:416,1,191,1,0,408,2522,191,0 50,0,2024-09-07 08:43:31:512,42753,0.3,42251,0.5,84813,0.2,113310,1.75 50,1,2024-09-07 08:43:31:010,338011,338011,0,0,157387502157,1630317201,335411,2290,310,368,391530,0 50,2,2024-09-07 08:43:31:068,243487,243487,0,0,8929151,0,2263 50,3,2024-09-07 08:43:31:292,1,191,12,0,335,1556,191,0 51,0,2024-09-07 08:43:31:691,47974,0.2,47042,0.4,91699,0.2,125619,1.50 51,1,2024-09-07 08:43:31:681,336975,336975,0,0,158907612277,1640185172,335000,1189,786,365,391637,0 51,2,2024-09-07 08:43:31:318,241342,241342,0,0,8290596,0,3337 51,3,2024-09-07 08:43:31:027,1,191,1,0,162,996,191,0 52,0,2024-09-07 08:43:31:412,51169,0.5,50994,0.7,101861,0.5,134826,2.00 52,1,2024-09-07 08:43:30:581,335452,335452,0,0,155976925123,1642239142,329195,5097,1160,368,391722,0 52,2,2024-09-07 08:43:31:754,238022,237984,38,0,11159472,0,6742 52,3,2024-09-07 08:43:30:674,1,191,1,0,1782,3761,191,0 53,0,2024-09-07 08:43:31:729,49833,0.9,48444,0.9,101454,1.1,132643,2.50 53,1,2024-09-07 08:43:30:770,334632,334632,0,0,156688233802,1650190188,328480,4117,2035,367,391702,0 53,2,2024-09-07 08:43:31:297,242771,242771,0,0,9660067,0,2262 53,3,2024-09-07 08:43:30:702,1,191,1,0,271,1726,191,0 54,0,2024-09-07 08:43:31:627,43313,1.4,43777,1.1,86706,0.7,116408,3.25 54,1,2024-09-07 08:43:30:586,335818,335818,0,0,157337033725,1640718059,331705,3542,571,367,391659,0 54,2,2024-09-07 08:43:30:864,242196,242190,6,0,11034902,0,5382 54,3,2024-09-07 08:43:30:763,1,191,8,0,676,3367,191,0 55,0,2024-09-07 08:43:31:761,44343,0.5,45860,0.7,92541,0.4,120771,2.25 55,1,2024-09-07 08:43:30:763,335236,335236,0,0,156347354124,1634428560,329773,4676,787,365,391731,0 55,2,2024-09-07 08:43:30:731,240164,240164,0,0,10859986,0,3275 55,3,2024-09-07 08:43:30:674,1,191,0,0,304,2442,191,0 56,0,2024-09-07 08:43:31:557,52517,1.3,49537,1.2,101927,1.8,136371,2.50 56,1,2024-09-07 08:43:30:572,335015,335015,0,0,157212048898,1662014799,329463,4609,943,381,391678,0 56,2,2024-09-07 08:43:31:306,241420,241420,0,0,11683042,0,3567 56,3,2024-09-07 08:43:31:061,1,191,10,0,405,2583,191,0 57,0,2024-09-07 08:43:30:978,50549,2.1,50763,1.4,101405,2.9,135961,3.50 57,1,2024-09-07 08:43:30:988,335668,335668,0,0,156168899431,1635598318,332168,3082,418,367,391960,0 57,2,2024-09-07 08:43:31:319,240338,240338,0,0,12235585,0,3317 57,3,2024-09-07 08:43:31:775,1,191,9,0,359,2926,191,0 58,0,2024-09-07 08:43:30:554,43931,1.0,42764,1.1,89512,1.1,117179,2.75 58,1,2024-09-07 08:43:30:578,336253,336250,0,3,157818155607,1650529852,331581,4025,644,367,391517,3 58,2,2024-09-07 08:43:31:071,242561,242561,0,0,10902140,0,2549 58,3,2024-09-07 08:43:31:069,1,191,8,0,1043,2454,191,0 59,0,2024-09-07 08:43:31:747,45219,0.6,44750,0.9,89572,0.6,119805,2.75 59,1,2024-09-07 08:43:30:813,335758,335758,0,0,157434919942,1646763021,331655,3120,983,369,391525,0 59,2,2024-09-07 08:43:30:584,243403,243403,0,0,10172642,0,2604 59,3,2024-09-07 08:43:31:737,1,191,1,0,1015,3135,191,0 60,0,2024-09-07 08:43:31:717,49874,0.3,49741,0.5,99861,0.3,132581,1.75 60,1,2024-09-07 08:43:30:774,337418,337418,0,0,157515885078,1630409958,335950,1108,360,370,391761,0 60,2,2024-09-07 08:43:31:140,242486,242486,0,0,10476079,0,3811 60,3,2024-09-07 08:43:31:268,1,191,7,0,124,1731,191,0 61,0,2024-09-07 08:43:31:533,50641,1.0,50870,0.9,101524,1.3,135683,2.00 61,1,2024-09-07 08:43:30:777,335721,335721,0,0,157323968282,1646266297,332179,2989,553,382,391880,0 61,2,2024-09-07 08:43:31:122,238814,238814,0,0,9137545,0,2079 61,3,2024-09-07 08:43:31:699,1,191,17,0,265,2398,191,0 62,0,2024-09-07 08:43:31:712,47785,1.1,48808,1.0,93031,1.5,126621,2.25 62,1,2024-09-07 08:43:31:306,337880,337874,0,6,157961129894,1628039257,336180,1655,39,365,391715,6 62,2,2024-09-07 08:43:31:645,242282,242281,1,0,10792395,0,5555 62,3,2024-09-07 08:43:31:146,1,191,3,0,287,1294,191,0 63,0,2024-09-07 08:43:31:452,43335,0.5,43267,0.6,86677,0.4,115607,1.75 63,1,2024-09-07 08:43:30:811,337318,337312,0,6,157501984638,1630180758,336042,1243,27,381,391677,6 63,2,2024-09-07 08:43:30:762,243518,243518,0,0,8803636,0,2674 63,3,2024-09-07 08:43:31:733,1,191,1,0,667,2489,191,0 64,0,2024-09-07 08:43:31:513,47470,0.4,47623,0.6,95419,0.3,127462,1.75 64,1,2024-09-07 08:43:30:751,336321,336321,0,0,157171808272,1639370194,332846,2420,1055,370,391783,0 64,2,2024-09-07 08:43:31:155,243720,243701,19,0,9512066,0,6121 64,3,2024-09-07 08:43:31:154,1,191,1,0,265,2041,191,0 65,0,2024-09-07 08:43:31:683,49484,0.7,49968,0.8,99577,0.8,132481,2.25 65,1,2024-09-07 08:43:30:861,335426,335426,0,0,156711538532,1638577192,332720,2482,224,382,391770,0 65,2,2024-09-07 08:43:31:696,238516,238516,0,0,9943172,0,3367 65,3,2024-09-07 08:43:31:685,1,191,13,0,163,1792,191,0 66,0,2024-09-07 08:43:31:783,49173,0.6,49315,0.8,98908,0.6,131172,2.25 66,1,2024-09-07 08:43:31:293,337036,337036,0,0,157657466895,1636570150,335147,1716,173,380,391588,0 66,2,2024-09-07 08:43:31:131,245351,245351,0,0,9551602,0,4956 66,3,2024-09-07 08:43:31:082,1,191,8,0,291,1909,191,0 67,0,2024-09-07 08:43:31:416,43051,0.7,42819,0.8,86014,0.8,113894,2.25 67,1,2024-09-07 08:43:30:778,335917,335916,0,1,157107477486,1640096653,332438,2731,747,380,391787,1 67,2,2024-09-07 08:43:30:586,243980,243980,0,0,8978901,0,2889 67,3,2024-09-07 08:43:31:749,1,191,1,0,338,1679,191,0 68,0,2024-09-07 08:43:30:575,46260,0.5,46173,0.7,92069,0.4,122976,2.00 68,1,2024-09-07 08:43:30:571,335112,335112,0,0,156707549149,1645957857,331503,2473,1136,381,391953,0 68,2,2024-09-07 08:43:31:046,240172,240107,65,0,12592767,0,6698 68,3,2024-09-07 08:43:30:739,1,191,5,0,417,2404,191,0 69,0,2024-09-07 08:43:31:782,51090,0.9,51313,0.9,102028,1.1,135862,2.25 69,1,2024-09-07 08:43:31:020,334088,334088,0,0,156747780059,1654797630,329820,3053,1215,384,391994,0 69,2,2024-09-07 08:43:31:740,240990,240990,0,0,11429068,0,3701 69,3,2024-09-07 08:43:30:776,1,191,1,0,698,2974,191,0 70,0,2024-09-07 08:43:31:531,50925,1.4,51009,1.2,102251,1.0,136165,2.75 70,1,2024-09-07 08:43:30:806,336192,336192,0,0,158325504206,1645501957,333226,2462,504,366,391725,0 70,2,2024-09-07 08:43:31:329,241783,241783,0,0,10506153,0,4044 70,3,2024-09-07 08:43:30:745,1,191,8,0,854,2410,191,0 71,0,2024-09-07 08:43:31:375,43955,1.7,43923,1.5,87660,2.4,118246,3.25 71,1,2024-09-07 08:43:31:596,335972,335972,0,0,157144698932,1643831411,331597,3823,552,368,391738,0 71,2,2024-09-07 08:43:31:073,242836,242836,0,0,10809077,0,2579 71,3,2024-09-07 08:43:31:750,1,191,1,0,644,3041,191,0 72,0,2024-09-07 08:43:31:072,47117,0.6,46034,0.8,89857,0.6,122415,2.25 72,1,2024-09-07 08:43:31:040,335890,335890,0,0,157503243758,1645915630,331829,3312,749,369,391819,0 72,2,2024-09-07 08:43:31:759,240835,240835,0,0,12108305,0,2570 72,3,2024-09-07 08:43:31:773,1,191,2,0,364,3511,191,0 73,0,2024-09-07 08:43:31:110,49809,0.4,51031,0.6,104402,0.3,136058,2.00 73,1,2024-09-07 08:43:30:766,335759,335759,0,0,157538919146,1636702640,333339,2246,174,367,391750,0 73,2,2024-09-07 08:43:31:749,242774,242774,0,0,11742608,0,3482 73,3,2024-09-07 08:43:30:969,1,191,63,0,274,2803,191,0 74,0,2024-09-07 08:43:31:324,52106,0.5,53119,0.7,101175,0.5,137618,2.25 74,1,2024-09-07 08:43:30:634,335726,335726,0,0,157238293295,1642067755,332331,2648,747,381,391681,0 74,2,2024-09-07 08:43:31:003,238735,238735,0,0,11278962,0,4253 74,3,2024-09-07 08:43:31:445,1,191,5,0,522,2980,191,0 75,0,2024-09-07 08:43:31:770,46261,1.0,45962,1.1,92152,1.0,123439,2.50 75,1,2024-09-07 08:43:31:586,335100,335100,0,0,156296790556,1637425410,330978,3461,661,381,391579,0 75,2,2024-09-07 08:43:31:350,242695,242695,0,0,11075516,0,4766 75,3,2024-09-07 08:43:31:067,1,191,6,0,535,2284,191,0 76,0,2024-09-07 08:43:30:664,43833,0.4,43480,0.6,86854,0.3,116907,2.00 76,1,2024-09-07 08:43:30:812,336087,336087,0,0,156836887662,1633773497,334002,1572,513,382,391692,0 76,2,2024-09-07 08:43:31:062,244066,244066,0,0,9443296,0,3064 76,3,2024-09-07 08:43:31:169,1,191,1,0,175,2185,191,0 77,0,2024-09-07 08:43:31:717,49115,0.4,49447,0.6,98483,0.3,130719,1.75 77,1,2024-09-07 08:43:30:829,337243,337243,0,0,157001835795,1635206926,335510,1645,88,383,391808,0 77,2,2024-09-07 08:43:31:289,241632,241632,0,0,9709275,0,3890 77,3,2024-09-07 08:43:31:105,1,191,3,0,305,2213,191,0 78,0,2024-09-07 08:43:31:715,49953,0.4,49679,0.6,100193,0.4,132503,2.00 78,1,2024-09-07 08:43:30:627,336644,336644,0,0,156431571323,1624188579,334293,2098,253,367,391646,0 78,2,2024-09-07 08:43:31:406,238855,238855,0,0,8722466,0,2114 78,3,2024-09-07 08:43:31:134,1,191,8,0,181,1882,191,0 79,0,2024-09-07 08:43:31:346,46914,0.5,47985,0.7,98292,0.5,127486,2.50 79,1,2024-09-07 08:43:30:571,337574,337574,0,0,157527110083,1629609858,335319,2081,174,369,391682,0 79,2,2024-09-07 08:43:31:069,244127,244127,0,0,8996139,0,3212 79,3,2024-09-07 08:43:30:748,1,191,1,0,418,3015,191,0 80,0,2024-09-07 08:43:31:083,42409,0.5,43693,0.7,83610,0.5,113325,2.00 80,1,2024-09-07 08:43:31:627,335722,335722,0,0,156902823053,1632448170,333179,2378,165,368,391791,0 80,2,2024-09-07 08:43:31:092,243846,243846,0,0,9674713,0,4433 80,3,2024-09-07 08:43:30:582,1,191,27,0,190,2854,191,0 81,0,2024-09-07 08:43:31:548,46900,0.4,48273,0.6,92456,0.4,125199,1.75 81,1,2024-09-07 08:43:31:650,336047,336047,0,0,156503413702,1634919702,333451,2321,275,382,391879,0 81,2,2024-09-07 08:43:31:126,241209,241209,0,0,9925950,0,3993 81,3,2024-09-07 08:43:31:117,1,191,1,0,193,1884,191,0 82,0,2024-09-07 08:43:31:531,50577,0.4,50892,0.6,101895,0.4,135015,1.75 82,1,2024-09-07 08:43:30:586,336451,336447,0,4,157003960017,1635494346,333931,1938,578,381,391558,4 82,2,2024-09-07 08:43:31:691,239764,239764,0,0,9257245,0,3986 82,3,2024-09-07 08:43:31:752,1,191,9,0,363,2418,191,0 83,0,2024-09-07 08:43:31:526,50318,0.6,50303,0.8,99910,0.7,133008,2.00 83,1,2024-09-07 08:43:30:558,336035,336035,0,0,156644606503,1634795143,333401,2410,224,382,391690,0 83,2,2024-09-07 08:43:30:771,242299,242299,0,0,9119305,0,3119 83,3,2024-09-07 08:43:30:749,1,191,0,0,241,1945,191,0 84,0,2024-09-07 08:43:31:808,43819,1.0,43796,1.2,87927,0.8,117506,2.50 84,1,2024-09-07 08:43:31:075,335076,335076,0,0,156493892350,1639799848,331107,3401,568,368,391967,0 84,2,2024-09-07 08:43:30:583,242807,242807,0,0,11059296,0,3801 84,3,2024-09-07 08:43:31:160,1,191,10,0,353,2674,191,0 85,0,2024-09-07 08:43:31:030,44182,0.6,44205,0.8,93812,0.6,121512,2.25 85,1,2024-09-07 08:43:30:564,334876,334876,0,0,155795532407,1650662957,328835,4946,1095,382,392006,0 85,2,2024-09-07 08:43:30:874,241829,241829,0,0,11623157,0,3656 85,3,2024-09-07 08:43:30:689,1,191,7,0,789,2790,191,0 86,0,2024-09-07 08:43:30:914,51424,0.7,52759,0.8,101265,0.7,136367,2.00 86,1,2024-09-07 08:43:30:844,335976,335976,0,0,157369698645,1647703193,331940,3491,545,366,391961,0 86,2,2024-09-07 08:43:30:879,240465,240464,1,0,12038994,0,5004 86,3,2024-09-07 08:43:30:586,1,191,8,0,286,2613,191,0 87,0,2024-09-07 08:43:31:313,51281,1.3,50966,1.1,102572,1.8,137559,2.50 87,1,2024-09-07 08:43:30:554,335616,335616,0,0,157224887895,1647860013,331731,3425,460,366,391788,0 87,2,2024-09-07 08:43:31:076,239404,239404,0,0,10077422,0,3515 87,3,2024-09-07 08:43:31:799,1,191,1,0,473,3544,191,0 88,0,2024-09-07 08:43:31:455,45144,0.6,45319,0.7,90544,0.7,120518,1.75 88,1,2024-09-07 08:43:30:571,334571,334571,0,0,156533485978,1646438439,329149,3962,1460,365,392084,0 88,2,2024-09-07 08:43:30:690,242030,242030,0,0,12041728,0,3583 88,3,2024-09-07 08:43:31:267,1,191,64,0,435,2534,191,0 89,0,2024-09-07 08:43:31:788,46359,0.4,44850,0.6,88917,0.3,121137,1.75 89,1,2024-09-07 08:43:30:553,333848,333848,0,0,156709564738,1655881082,328165,4745,938,382,391866,0 89,2,2024-09-07 08:43:31:135,242206,242206,0,0,11765437,0,2910 89,3,2024-09-07 08:43:31:799,1,191,1,0,325,3706,191,0 90,0,2024-09-07 08:43:31:616,48451,0.4,49469,0.5,101531,0.3,132287,1.75 90,1,2024-09-07 08:43:30:604,335893,335893,0,0,156475931002,1640777731,332602,3032,259,381,391825,0 90,2,2024-09-07 08:43:31:406,241003,241003,0,0,12666604,0,3060 90,3,2024-09-07 08:43:30:936,1,191,10,0,200,2143,191,0 91,0,2024-09-07 08:43:30:981,51191,0.4,49395,0.6,103019,0.4,135954,1.75 91,1,2024-09-07 08:43:30:561,335191,335191,0,0,157102029434,1650341096,331164,3526,501,381,392047,0 91,2,2024-09-07 08:43:31:331,237419,237419,0,0,11142901,0,2445 91,3,2024-09-07 08:43:30:604,1,191,2,0,216,2022,191,0 92,0,2024-09-07 08:43:31:484,47927,0.7,49120,0.8,93902,0.8,126978,1.75 92,1,2024-09-07 08:43:30:587,335783,335783,0,0,156717366474,1634506995,333573,1806,404,382,392136,0 92,2,2024-09-07 08:43:31:356,244897,244897,0,0,9480006,0,2801 92,3,2024-09-07 08:43:31:011,1,191,1,0,167,1592,191,0 93,0,2024-09-07 08:43:30:973,43590,0.4,44608,0.6,85258,0.3,116089,1.75 93,1,2024-09-07 08:43:30:810,336260,336260,0,0,157361417199,1640831139,333111,2596,553,366,391692,0 93,2,2024-09-07 08:43:30:936,244053,244053,0,0,9665389,0,2509 93,3,2024-09-07 08:43:31:415,1,191,2,0,143,1931,191,0 94,0,2024-09-07 08:43:31:601,47683,0.3,48361,0.4,96050,0.2,127437,1.50 94,1,2024-09-07 08:43:30:570,335627,335627,0,0,157447797250,1643530290,333167,2364,96,381,391850,0 94,2,2024-09-07 08:43:30:771,241480,241480,0,0,9093598,0,2443 94,3,2024-09-07 08:43:31:687,1,191,13,0,264,2656,191,0 95,0,2024-09-07 08:43:31:349,50394,0.3,50025,0.5,101015,0.3,133307,1.75 95,1,2024-09-07 08:43:30:860,336274,336274,0,0,157503501483,1635660028,333870,2218,186,367,391662,0 95,2,2024-09-07 08:43:31:018,238386,238386,0,0,9318893,0,3308 95,3,2024-09-07 08:43:31:709,1,191,1,0,718,3316,191,0 96,0,2024-09-07 08:43:31:042,49721,0.5,49934,0.6,99777,0.5,131755,1.75 96,1,2024-09-07 08:43:31:591,335981,335981,0,0,157274105295,1643565983,333246,2088,647,385,391894,0 96,2,2024-09-07 08:43:31:288,243362,243362,0,0,10028904,0,4042 96,3,2024-09-07 08:43:31:149,1,191,2,0,188,2036,191,0 97,0,2024-09-07 08:43:31:419,43262,0.4,42945,0.5,86144,0.3,114247,1.75 97,1,2024-09-07 08:43:30:773,337064,337064,0,0,157206342847,1633101414,334246,2265,553,367,392140,0 97,2,2024-09-07 08:43:30:606,243229,243229,0,0,9323987,0,3036 97,3,2024-09-07 08:43:30:571,1,191,7,0,165,2166,191,0 98,0,2024-09-07 08:43:31:735,46274,0.2,46151,0.4,92557,0.2,123299,1.50 98,1,2024-09-07 08:43:30:579,336360,336360,0,0,156851364508,1633255208,334506,1760,94,382,391997,0 98,2,2024-09-07 08:43:30:776,242098,242098,0,0,9570992,0,3080 98,3,2024-09-07 08:43:30:706,1,191,13,0,840,3426,191,0 99,0,2024-09-07 08:43:31:460,51466,0.3,51436,0.4,102593,0.3,136875,1.50 99,1,2024-09-07 08:43:31:723,336764,336764,0,0,156278279711,1630978688,333454,2596,714,381,391744,0 99,2,2024-09-07 08:43:31:425,242413,242413,0,0,9434245,0,2615 99,3,2024-09-07 08:43:30:587,1,191,2,0,129,1369,191,0 100,0,2024-09-07 08:43:31:470,51217,0.9,51278,1.1,102356,1.6,136888,2.50 100,1,2024-09-07 08:43:30:597,334002,334002,0,0,156455633185,1657633220,328501,4568,933,381,391989,0 100,2,2024-09-07 08:43:31:816,239876,239865,11,0,11447058,0,5417 100,3,2024-09-07 08:43:31:731,1,191,1,0,559,3856,191,0 101,0,2024-09-07 08:43:31:729,45318,2.4,44217,1.4,86487,2.4,119604,2.75 101,1,2024-09-07 08:43:30:564,334367,334367,0,0,155859065565,1647803841,328340,4505,1522,368,391769,0 101,2,2024-09-07 08:43:31:780,241667,241667,0,0,12317892,0,4644 101,3,2024-09-07 08:43:30:942,1,191,1,0,448,2461,191,0 102,0,2024-09-07 08:43:30:959,44484,0.8,46134,0.9,92826,0.7,121790,2.25 102,1,2024-09-07 08:43:31:145,335142,335142,0,0,156349533024,1646186037,330421,4004,717,369,391883,0 102,2,2024-09-07 08:43:31:737,242038,241984,54,0,11848967,0,6768 102,3,2024-09-07 08:43:31:613,1,191,8,0,410,2112,191,0 103,0,2024-09-07 08:43:31:589,52590,0.4,52550,0.6,99107,0.4,136955,1.75 103,1,2024-09-07 08:43:31:639,334055,334055,0,0,156330233924,1650728119,328681,4049,1325,381,391829,0 103,2,2024-09-07 08:43:30:582,241458,241458,0,0,10516710,0,2104 103,3,2024-09-07 08:43:30:757,1,191,0,0,916,3126,191,0 104,0,2024-09-07 08:43:31:035,51054,1.1,51465,1.1,101702,1.1,137590,2.50 104,1,2024-09-07 08:43:31:615,335954,335954,0,0,156994889532,1653662061,330753,4233,968,365,391948,0 104,2,2024-09-07 08:43:31:667,238250,238250,0,0,11067474,0,3941 104,3,2024-09-07 08:43:31:418,1,191,29,1,1245,5564,191,0 105,0,2024-09-07 08:43:31:042,45633,1.4,44293,1.3,92668,2.3,122269,3.50 105,1,2024-09-07 08:43:30:553,335898,335898,0,0,156551776956,1643088433,331249,3847,802,367,391797,0 105,2,2024-09-07 08:43:31:323,242162,242162,0,0,10760851,0,3509 105,3,2024-09-07 08:43:31:318,1,191,239,0,399,3269,191,0 106,0,2024-09-07 08:43:30:945,42481,0.6,43582,0.8,89033,0.6,116615,2.25 106,1,2024-09-07 08:43:31:750,335580,335580,0,0,156732018746,1645924517,330790,4333,457,369,391767,0 106,2,2024-09-07 08:43:30:758,241853,241853,0,0,11308920,0,2795 106,3,2024-09-07 08:43:30:679,1,191,10,0,470,3044,191,0 107,0,2024-09-07 08:43:31:100,48929,0.5,49024,0.7,97710,0.5,130305,2.00 107,1,2024-09-07 08:43:30:587,335139,335139,0,0,156074922910,1640303779,331879,3025,235,381,392234,0 107,2,2024-09-07 08:43:31:292,241488,241487,1,0,11161845,0,5024 107,3,2024-09-07 08:43:31:754,1,191,0,0,353,2719,191,0 108,0,2024-09-07 08:43:31:777,50092,0.4,50205,0.6,99638,0.4,132927,1.75 108,1,2024-09-07 08:43:31:297,335700,335700,0,0,157081416840,1637525366,332984,2402,314,368,391857,0 108,2,2024-09-07 08:43:31:754,236830,236830,0,0,9930970,0,2647 108,3,2024-09-07 08:43:31:346,1,191,5,0,667,3481,191,0 109,0,2024-09-07 08:43:31:751,48727,0.4,48240,0.6,96771,0.4,129358,1.75 109,1,2024-09-07 08:43:30:584,335277,335277,0,0,156729219277,1643040475,332463,2317,497,383,392132,0 109,2,2024-09-07 08:43:30:921,243148,243148,0,0,10258387,0,3617 109,3,2024-09-07 08:43:31:144,1,191,13,0,249,2455,191,0 110,0,2024-09-07 08:43:31:776,42832,0.4,41663,0.6,86733,0.3,113502,1.75 110,1,2024-09-07 08:43:31:651,336594,336594,0,0,157055856834,1631446975,333967,1860,767,370,391667,0 110,2,2024-09-07 08:43:31:309,243505,243505,0,0,9529528,0,2915 110,3,2024-09-07 08:43:30:690,1,191,8,0,406,2548,191,0 111,0,2024-09-07 08:43:31:412,47035,0.2,46638,0.4,93093,0.1,125369,1.50 111,1,2024-09-07 08:43:31:001,337078,337078,0,0,157783100982,1635778377,335288,1457,333,382,391690,0 111,2,2024-09-07 08:43:31:116,241252,241252,0,0,9895916,0,2763 111,3,2024-09-07 08:43:30:912,1,191,1,0,379,2815,191,0 112,0,2024-09-07 08:43:30:909,50964,0.3,50900,0.4,101884,0.2,135105,1.50 112,1,2024-09-07 08:43:30:830,336981,336981,0,0,157066192264,1630646781,334647,1908,426,380,391580,0 112,2,2024-09-07 08:43:31:133,239635,239634,1,0,9823119,0,5036 112,3,2024-09-07 08:43:30:594,1,191,1,0,282,2040,191,0 113,0,2024-09-07 08:43:30:873,50056,0.4,50074,0.5,100576,0.3,134185,1.75 113,1,2024-09-07 08:43:31:685,337205,337205,0,0,158245212268,1635762958,334785,1932,488,366,391661,0 113,2,2024-09-07 08:43:31:313,243905,243905,0,0,8793206,0,3813 113,3,2024-09-07 08:43:30:684,1,191,1,0,340,3209,191,0 114,0,2024-09-07 08:43:30:877,44729,0.5,45246,0.6,89200,0.4,119521,1.75 114,1,2024-09-07 08:43:30:717,335652,335652,0,0,157080576993,1639865773,331519,2670,1463,381,391534,0 114,2,2024-09-07 08:43:30:873,243280,243280,0,0,9160782,0,3925 114,3,2024-09-07 08:43:31:280,1,191,12,0,395,2176,191,0 115,0,2024-09-07 08:43:30:555,45658,0.2,46137,0.4,91980,0.1,122541,1.50 115,1,2024-09-07 08:43:30:570,335968,335968,0,0,157425858962,1638879566,332290,2857,821,382,391602,0 115,2,2024-09-07 08:43:31:125,242831,242831,0,0,8538197,0,2152 115,3,2024-09-07 08:43:31:002,1,191,2,0,159,1174,191,0 116,0,2024-09-07 08:43:31:723,51458,0.7,51153,0.9,102591,0.8,137053,2.00 116,1,2024-09-07 08:43:30:808,333454,333454,0,0,156872482691,1666157510,327700,3704,2050,380,391677,0 116,2,2024-09-07 08:43:31:760,240811,240811,0,0,12621692,0,3529 116,3,2024-09-07 08:43:30:918,1,191,32,0,252,2707,191,0 117,0,2024-09-07 08:43:30:985,51248,1.1,51348,1.0,102491,1.4,137664,2.00 117,1,2024-09-07 08:43:31:580,335111,335111,0,0,155873889539,1635102804,330987,3648,476,370,392033,0 117,2,2024-09-07 08:43:31:118,241649,241649,0,0,9755931,0,3700 117,3,2024-09-07 08:43:31:061,1,191,11,0,490,3576,191,0 118,0,2024-09-07 08:43:31:768,43898,0.8,45175,0.9,91841,1.0,119950,2.25 118,1,2024-09-07 08:43:30:876,335267,335267,0,0,156718648723,1652715070,329672,4169,1426,366,391736,0 118,2,2024-09-07 08:43:31:586,241880,241880,0,0,11324891,0,2781 118,3,2024-09-07 08:43:31:770,1,191,8,0,235,2353,191,0 119,0,2024-09-07 08:43:31:337,44626,0.6,44962,0.8,90418,0.5,120287,2.00 119,1,2024-09-07 08:43:30:565,336231,336231,0,0,157079570148,1640234590,333172,2753,306,368,391641,0 119,2,2024-09-07 08:43:31:276,242541,242541,0,0,10492550,0,3526 119,3,2024-09-07 08:43:31:325,1,191,82,0,443,3230,191,0 120,0,2024-09-07 08:43:31:586,49548,0.4,49528,0.6,99275,0.4,132420,1.75 120,1,2024-09-07 08:43:30:877,335980,335980,0,0,156370382248,1641135637,332406,3287,287,368,391961,0 120,2,2024-09-07 08:43:30:776,241444,241443,1,0,12791554,0,5281 120,3,2024-09-07 08:43:31:290,1,191,3,0,241,2723,191,0 121,0,2024-09-07 08:43:31:693,50467,1.2,50856,1.0,101369,1.7,135362,2.25 121,1,2024-09-07 08:43:31:660,335449,335449,0,0,156441992807,1638472374,331965,3119,365,367,391840,0 121,2,2024-09-07 08:43:31:126,237210,237210,0,0,11301416,0,4127 121,3,2024-09-07 08:43:30:729,1,191,1,0,269,2516,191,0 122,0,2024-09-07 08:43:31:817,47298,1.1,46091,1.1,96591,1.2,126970,2.25 122,1,2024-09-07 08:43:30:865,334885,334885,0,0,156625077264,1645764110,330236,3940,709,366,392130,0 122,2,2024-09-07 08:43:31:321,242730,242730,0,0,13058787,0,3364 122,3,2024-09-07 08:43:30:594,1,191,11,0,411,4479,191,0 123,0,2024-09-07 08:43:30:983,43294,0.7,42265,0.8,88107,0.8,115617,2.00 123,1,2024-09-07 08:43:30:559,335349,335349,0,0,157346265490,1661787540,328571,5453,1325,369,391823,0 123,2,2024-09-07 08:43:31:019,241563,241562,1,0,11596661,0,5215 123,3,2024-09-07 08:43:31:168,1,191,26,0,168,2325,191,0 124,0,2024-09-07 08:43:30:944,49295,0.3,49269,0.4,93006,0.2,127689,1.50 124,1,2024-09-07 08:43:31:021,336670,336670,0,0,157088325203,1630576380,334567,1747,356,367,392178,0 124,2,2024-09-07 08:43:31:011,241982,241982,0,0,9235205,0,3101 124,3,2024-09-07 08:43:30:768,1,191,22,0,490,2509,191,0 125,0,2024-09-07 08:43:31:540,50231,0.4,50193,0.5,100539,0.3,133414,1.75 125,1,2024-09-07 08:43:30:858,336039,336039,0,0,156602429329,1633527638,333659,2103,277,383,391702,0 125,2,2024-09-07 08:43:31:116,239211,239211,0,0,9054536,0,2180 125,3,2024-09-07 08:43:31:129,1,191,19,0,284,2397,191,0 126,0,2024-09-07 08:43:31:420,49879,0.7,51135,0.7,97855,0.7,132598,1.75 126,1,2024-09-07 08:43:30:569,336730,336730,0,0,158089390718,1636396688,335080,1571,79,365,391987,0 126,2,2024-09-07 08:43:30:610,244779,244779,0,0,9780635,0,3186 126,3,2024-09-07 08:43:30:909,1,191,8,0,150,2644,191,0 127,0,2024-09-07 08:43:31:605,42928,0.4,43181,0.5,86061,0.3,114092,1.75 127,1,2024-09-07 08:43:30:583,336246,336246,0,0,157401755982,1629827082,334134,2071,41,365,391816,0 127,2,2024-09-07 08:43:30:644,243419,243419,0,0,9142397,0,2264 127,3,2024-09-07 08:43:31:274,1,191,4,0,243,1615,191,0 128,0,2024-09-07 08:43:31:567,46535,0.3,46348,0.4,92831,0.2,123338,1.50 128,1,2024-09-07 08:43:31:607,336856,336856,0,0,157125215192,1626795813,335577,1173,106,367,391680,0 128,2,2024-09-07 08:43:31:387,241314,241314,0,0,9063149,0,2107 128,3,2024-09-07 08:43:30:780,1,191,2,0,333,2672,191,0 129,0,2024-09-07 08:43:31:050,51729,0.3,51525,0.5,102916,0.3,136551,1.50 129,1,2024-09-07 08:43:30:593,334831,334831,0,0,156785232791,1640791635,331799,2637,395,379,391835,0 129,2,2024-09-07 08:43:30:699,241384,241384,0,0,9289236,0,4031 129,3,2024-09-07 08:43:30:701,1,191,7,0,469,2620,191,0 130,0,2024-09-07 08:43:31:722,51662,0.6,51512,0.7,103292,0.8,137790,1.75 130,1,2024-09-07 08:43:30:584,336657,336657,0,0,157649170938,1640571101,334535,2049,73,381,391825,0 130,2,2024-09-07 08:43:31:125,242637,242637,0,0,9281997,0,4067 130,3,2024-09-07 08:43:31:291,1,191,8,0,450,2204,191,0 131,0,2024-09-07 08:43:31:958,44571,0.5,44919,0.6,90500,0.5,119719,1.75 131,1,2024-09-07 08:43:31:823,337161,337161,0,0,157155327806,1633121196,335680,1275,206,383,391865,0 131,2,2024-09-07 08:43:30:575,243614,243614,0,0,8880698,0,2415 131,3,2024-09-07 08:43:31:699,1,191,1,0,392,1953,191,0 132,0,2024-09-07 08:43:31:414,45435,0.5,45937,0.7,91817,0.5,122148,2.00 132,1,2024-09-07 08:43:30:588,334888,334888,0,0,156210305806,1650683029,329174,4649,1065,381,392097,0 132,2,2024-09-07 08:43:30:702,241701,241701,0,0,12113648,0,4606 132,3,2024-09-07 08:43:31:689,1,191,0,0,356,3233,191,0 133,0,2024-09-07 08:43:31:564,49748,0.4,50944,0.6,104343,0.3,135900,1.75 133,1,2024-09-07 08:43:30:604,334250,334250,0,0,156371046087,1648798284,329556,4049,645,383,391914,0 133,2,2024-09-07 08:43:31:091,241311,241311,0,0,12333167,0,4315 133,3,2024-09-07 08:43:31:303,1,191,2,0,276,2055,191,0 134,0,2024-09-07 08:43:30:945,51478,0.5,51465,0.7,103282,0.5,137577,2.00 134,1,2024-09-07 08:43:30:586,335015,335015,0,0,155953674862,1639149047,329940,3792,1283,366,391718,0 134,2,2024-09-07 08:43:31:756,238323,238323,0,0,10405910,0,3847 134,3,2024-09-07 08:43:30:751,1,191,1,0,739,3241,191,0 135,0,2024-09-07 08:43:31:105,44725,1.3,44707,1.2,94877,1.5,121869,2.50 135,1,2024-09-07 08:43:31:586,334306,334306,0,0,156934144237,1652093325,329493,3916,897,380,391805,0 135,2,2024-09-07 08:43:30:695,242661,242661,0,0,11643230,0,3981 135,3,2024-09-07 08:43:31:003,1,191,3,0,299,1426,191,0 136,0,2024-09-07 08:43:31:637,44217,0.5,44131,0.7,88568,0.5,117653,2.00 136,1,2024-09-07 08:43:31:482,334710,334710,0,0,156409967300,1644044913,330143,4056,511,382,391641,0 136,2,2024-09-07 08:43:31:132,243828,243828,0,0,11158005,0,3506 136,3,2024-09-07 08:43:31:106,1,191,18,0,126,1659,191,0 137,0,2024-09-07 08:43:31:008,50373,0.5,48818,0.7,96444,0.5,131155,2.00 137,1,2024-09-07 08:43:30:593,334846,334846,0,0,156316637882,1639866504,329607,4571,668,366,391708,0 137,2,2024-09-07 08:43:31:708,241485,241485,0,0,12581455,0,3185 137,3,2024-09-07 08:43:30:770,1,191,9,0,227,2131,191,0 138,0,2024-09-07 08:43:31:755,49508,1.1,49585,1.0,99658,1.3,132625,2.25 138,1,2024-09-07 08:43:31:686,335315,335315,0,0,157313008041,1647490270,331020,3807,488,368,391954,0 138,2,2024-09-07 08:43:30:587,238471,238471,0,0,10003312,0,3263 138,3,2024-09-07 08:43:30:610,1,191,14,0,1160,3378,191,0 139,0,2024-09-07 08:43:31:363,47469,2.7,47513,1.6,96020,3.9,127798,3.00 139,1,2024-09-07 08:43:30:570,333674,333674,0,0,155819926764,1655981660,327313,4555,1806,381,391892,0 139,2,2024-09-07 08:43:30:693,241765,241765,0,0,11725580,0,3097 139,3,2024-09-07 08:43:31:664,1,191,22,0,244,2098,191,0 140,0,2024-09-07 08:43:31:590,42998,0.3,42539,0.5,85723,0.3,113657,1.75 140,1,2024-09-07 08:43:31:541,337043,337043,0,0,158331441651,1631105258,335519,1284,240,365,391606,0 140,2,2024-09-07 08:43:30:687,243881,243881,0,0,9608954,0,3388 140,3,2024-09-07 08:43:30:770,1,191,1,0,247,1667,191,0 141,0,2024-09-07 08:43:31:700,46917,0.2,48108,0.4,91849,0.1,125303,1.50 141,1,2024-09-07 08:43:30:862,337155,337155,0,0,158109169872,1638953450,335197,1604,354,379,391614,0 141,2,2024-09-07 08:43:31:686,242042,242042,0,0,8948456,0,2342 141,3,2024-09-07 08:43:31:043,1,191,8,0,147,1413,191,0 142,0,2024-09-07 08:43:31:343,51367,0.3,51014,0.4,101307,0.2,135349,1.50 142,1,2024-09-07 08:43:30:591,336165,336165,0,0,157741273954,1641381602,334600,1403,162,383,391728,0 142,2,2024-09-07 08:43:31:322,238970,238938,32,0,10294402,0,6028 142,3,2024-09-07 08:43:31:759,1,191,34,0,484,2558,191,0 143,0,2024-09-07 08:43:31:419,50288,0.6,50235,0.7,101375,0.7,133954,1.75 143,1,2024-09-07 08:43:30:591,336375,336375,0,0,156884588008,1626475094,334225,2106,44,367,391619,0 143,2,2024-09-07 08:43:30:795,242608,242608,0,0,9695540,0,2669 143,3,2024-09-07 08:43:31:155,1,191,49,0,303,2791,191,0 144,0,2024-09-07 08:43:31:506,42842,0.7,44111,1.1,89554,0.6,117887,2.00 144,1,2024-09-07 08:43:30:570,335064,335064,0,0,156622858122,1640169533,332640,2219,205,381,391649,0 144,2,2024-09-07 08:43:31:788,242905,242905,0,0,9638050,0,3473 144,3,2024-09-07 08:43:31:764,1,191,21,0,249,2253,191,0 145,0,2024-09-07 08:43:31:360,44371,0.5,44305,0.7,94020,0.4,122135,2.25 145,1,2024-09-07 08:43:30:552,333629,333629,0,0,156223333476,1647692027,328433,4377,819,382,391615,0 145,2,2024-09-07 08:43:31:435,240734,240734,0,0,11014254,0,3903 145,3,2024-09-07 08:43:30:897,1,191,1,0,579,2643,191,0 146,0,2024-09-07 08:43:31:628,51360,0.5,50873,0.8,102630,0.5,136218,2.25 146,1,2024-09-07 08:43:31:611,335963,335963,0,0,157284019244,1654248395,330224,4553,1186,368,391770,0 146,2,2024-09-07 08:43:31:715,241064,241064,0,0,10731747,0,2498 146,3,2024-09-07 08:43:31:279,1,191,9,0,1520,5356,191,0 147,0,2024-09-07 08:43:31:702,51362,0.7,51255,0.9,101928,0.7,137366,2.25 147,1,2024-09-07 08:43:31:371,337046,337046,0,0,157210987149,1634869436,333906,2642,498,368,391791,0 147,2,2024-09-07 08:43:31:010,241463,241463,0,0,9295578,0,2789 147,3,2024-09-07 08:43:30:914,1,191,1,0,371,2230,191,0 0,0,2024-09-07 08:43:41:717,48437,0.4,48390,0.6,102724,0.3,132812,1.75 0,1,2024-09-07 08:43:40:805,337662,337662,0,0,158630708578,1657204616,335627,1898,137,372,391772,0 0,2,2024-09-07 08:43:41:067,243713,243713,0,0,9907370,0,4480 0,3,2024-09-07 08:43:40:975,1,192,11,0,247,2418,192,0 1,0,2024-09-07 08:43:41:815,51182,1.1,50811,1.1,102127,1.4,136563,2.25 1,1,2024-09-07 08:43:40:561,337362,337362,0,0,157166687858,1647249245,333902,2592,868,371,391857,0 1,2,2024-09-07 08:43:40:642,238698,238698,0,0,8956891,0,3267 1,3,2024-09-07 08:43:41:302,1,192,3,0,262,2348,192,0 2,0,2024-09-07 08:43:41:572,47550,1.0,47823,0.9,94895,1.3,126593,2.25 2,1,2024-09-07 08:43:40:864,337949,337949,0,0,158542037313,1646197747,336404,1339,206,380,391745,0 2,2,2024-09-07 08:43:41:275,244219,244219,0,0,9759765,0,3594 2,3,2024-09-07 08:43:40:699,1,192,8,0,357,1901,192,0 3,0,2024-09-07 08:43:41:755,43421,0.4,43661,0.6,86921,0.4,115976,1.75 3,1,2024-09-07 08:43:41:624,337358,337358,0,0,157772230357,1644496186,334153,2781,424,380,391516,0 3,2,2024-09-07 08:43:41:141,245109,245086,23,0,9948417,0,5851 3,3,2024-09-07 08:43:41:753,1,192,1,0,103,1190,192,0 4,0,2024-09-07 08:43:41:806,46636,0.3,48015,0.5,97619,0.3,128523,1.75 4,1,2024-09-07 08:43:40:618,337259,337259,0,0,157293664503,1652411097,333897,2832,530,371,391846,0 4,2,2024-09-07 08:43:41:018,241779,241779,0,0,12042904,0,4528 4,3,2024-09-07 08:43:41:033,1,192,45,0,448,3190,192,0 5,0,2024-09-07 08:43:41:381,50292,0.4,50335,0.6,100402,0.4,133490,1.75 5,1,2024-09-07 08:43:40:785,336982,336982,0,0,157699557207,1660413624,332450,3475,1057,368,392005,0 5,2,2024-09-07 08:43:41:831,238090,238090,0,0,10262800,0,2259 5,3,2024-09-07 08:43:41:748,1,192,28,0,278,2913,192,0 6,0,2024-09-07 08:43:40:923,50129,0.8,49661,0.9,99107,0.9,132600,2.25 6,1,2024-09-07 08:43:40:747,337584,337584,0,0,157434040642,1647670731,333868,3057,659,379,391634,0 6,2,2024-09-07 08:43:41:116,244567,244567,0,0,10684512,0,4816 6,3,2024-09-07 08:43:41:274,1,192,12,0,340,2536,192,0 7,0,2024-09-07 08:43:41:535,42577,0.4,42568,0.7,85011,0.4,113044,2.00 7,1,2024-09-07 08:43:40:850,337119,337119,0,0,157626684832,1653047978,333157,3606,356,382,391664,0 7,2,2024-09-07 08:43:40:790,243663,243663,0,0,10114525,0,2981 7,3,2024-09-07 08:43:40:866,1,192,49,0,305,1903,192,0 8,0,2024-09-07 08:43:41:371,46385,0.3,46659,0.5,92712,0.2,124040,1.50 8,1,2024-09-07 08:43:41:026,335972,335972,0,0,158355640036,1672962493,329296,4865,1811,367,391956,0 8,2,2024-09-07 08:43:40:798,239561,239561,0,0,11899405,0,2986 8,3,2024-09-07 08:43:40:591,1,192,4,0,357,2928,192,0 9,0,2024-09-07 08:43:41:111,51605,0.4,50084,0.5,104538,0.3,136881,1.75 9,1,2024-09-07 08:43:40:556,336201,336201,0,0,157563078330,1664653359,330720,4059,1422,370,392001,0 9,2,2024-09-07 08:43:41:087,241090,241090,0,0,10826429,0,3360 9,3,2024-09-07 08:43:41:771,1,192,8,0,496,3032,192,0 10,0,2024-09-07 08:43:41:617,51792,0.4,51464,0.5,103287,0.3,137626,1.75 10,1,2024-09-07 08:43:40:591,336709,336709,0,0,157449351139,1652914773,331509,4485,715,381,391741,0 10,2,2024-09-07 08:43:40:777,243759,243759,0,0,11256630,0,2940 10,3,2024-09-07 08:43:40:873,1,192,1,0,177,1429,192,0 11,0,2024-09-07 08:43:41:089,44354,0.5,43165,0.8,90274,0.6,120423,2.00 11,1,2024-09-07 08:43:40:571,336377,336377,0,0,157255231364,1656071726,330016,4856,1505,384,391537,0 11,2,2024-09-07 08:43:41:127,243499,243499,0,0,10740668,0,3411 11,3,2024-09-07 08:43:41:298,1,192,14,0,720,2992,192,0 12,0,2024-09-07 08:43:40:950,46273,0.3,46005,0.5,92340,0.2,122696,1.50 12,1,2024-09-07 08:43:40:939,337518,337518,0,0,157235658669,1640924128,334486,2615,417,370,391837,0 12,2,2024-09-07 08:43:41:543,244056,244056,0,0,10681341,0,3469 12,3,2024-09-07 08:43:41:059,1,192,386,0,386,3630,192,0 13,0,2024-09-07 08:43:41:349,51776,0.3,51661,0.5,103265,0.3,137872,1.75 13,1,2024-09-07 08:43:41:537,336971,336971,0,0,157584374296,1651487614,334527,2033,411,382,391717,0 13,2,2024-09-07 08:43:40:599,243942,243942,0,0,9937807,0,3287 13,3,2024-09-07 08:43:41:768,1,192,0,0,467,3492,192,0 14,0,2024-09-07 08:43:40:569,51980,0.4,52481,0.6,103761,0.4,138411,1.75 14,1,2024-09-07 08:43:41:561,339294,339294,0,0,158391704970,1640169839,337019,2111,164,364,391571,0 14,2,2024-09-07 08:43:40:777,240552,240552,0,0,9787585,0,2896 14,3,2024-09-07 08:43:41:116,1,192,12,0,1168,3129,192,0 15,0,2024-09-07 08:43:41:554,46011,0.7,46192,0.9,92409,0.8,122822,2.25 15,1,2024-09-07 08:43:41:614,337629,337629,0,0,158551912629,1647729356,335696,1823,110,381,391619,0 15,2,2024-09-07 08:43:41:002,244883,244883,0,0,8386756,0,3043 15,3,2024-09-07 08:43:41:407,1,192,0,0,1126,4666,192,0 16,0,2024-09-07 08:43:40:930,44307,0.4,44512,0.7,88438,0.4,118177,2.00 16,1,2024-09-07 08:43:40:578,337952,337952,0,0,157872947593,1649863776,335275,2404,273,370,391756,0 16,2,2024-09-07 08:43:41:436,243906,243906,0,0,10919931,0,4719 16,3,2024-09-07 08:43:41:141,1,192,13,0,231,2479,192,0 17,0,2024-09-07 08:43:41:778,50933,0.4,49674,0.6,97479,0.4,132253,1.75 17,1,2024-09-07 08:43:40:571,336718,336718,0,0,157366391139,1651189626,333296,2747,675,368,391688,0 17,2,2024-09-07 08:43:41:684,245568,245568,0,0,9704084,0,2857 17,3,2024-09-07 08:43:40:574,1,192,12,0,268,2957,192,0 18,0,2024-09-07 08:43:40:953,49355,0.8,49681,0.9,99382,0.9,132506,2.50 18,1,2024-09-07 08:43:41:639,337968,337968,0,0,157688194469,1634834769,335850,1883,235,367,391564,0 18,2,2024-09-07 08:43:41:755,239514,239514,0,0,9391332,0,3541 18,3,2024-09-07 08:43:40:895,1,192,11,0,163,2064,192,0 19,0,2024-09-07 08:43:41:553,48083,0.9,48508,0.9,95816,1.1,127461,2.50 19,1,2024-09-07 08:43:40:570,337885,337885,0,0,158914711028,1652516529,334068,3101,716,367,391777,0 19,2,2024-09-07 08:43:41:756,245847,245847,0,0,8913488,0,3988 19,3,2024-09-07 08:43:41:129,1,192,1,0,524,1609,192,0 20,0,2024-09-07 08:43:41:367,42669,0.5,42635,0.7,85454,0.5,113609,2.00 20,1,2024-09-07 08:43:40:579,336971,336971,0,0,158402705112,1660639379,333332,3245,394,369,391822,0 20,2,2024-09-07 08:43:40:940,244389,244389,0,0,10456447,0,3721 20,3,2024-09-07 08:43:40:589,1,192,1,0,414,3855,192,0 21,0,2024-09-07 08:43:41:155,47398,0.4,47610,0.6,94847,0.3,126227,1.75 21,1,2024-09-07 08:43:41:537,336208,336208,0,0,156268573507,1649693312,331336,3822,1050,368,391962,0 21,2,2024-09-07 08:43:41:078,242282,242282,0,0,11468195,0,3747 21,3,2024-09-07 08:43:41:417,1,192,3,0,103,2239,192,0 22,0,2024-09-07 08:43:41:743,50771,0.7,50796,0.8,101208,0.8,134462,2.25 22,1,2024-09-07 08:43:41:026,336668,336668,0,0,157001997665,1654970709,330880,4269,1519,382,391667,0 22,2,2024-09-07 08:43:40:775,239819,239819,0,0,9768846,0,3134 22,3,2024-09-07 08:43:41:068,1,192,16,0,228,1967,192,0 23,0,2024-09-07 08:43:41:377,50055,0.8,50114,0.8,100430,0.9,133740,2.50 23,1,2024-09-07 08:43:41:004,337310,337310,0,0,157979442532,1662433304,331040,3846,2424,365,391549,0 23,2,2024-09-07 08:43:41:097,243992,243992,0,0,10072957,0,3010 23,3,2024-09-07 08:43:41:757,1,192,1,0,645,2523,192,0 24,0,2024-09-07 08:43:40:824,45093,0.5,45092,0.6,90118,0.5,119588,1.75 24,1,2024-09-07 08:43:40:581,337392,337392,0,0,157665316081,1652056078,333617,2754,1021,369,391640,0 24,2,2024-09-07 08:43:41:074,243275,243275,0,0,11762246,0,2942 24,3,2024-09-07 08:43:41:690,1,192,16,0,468,2611,192,0 25,0,2024-09-07 08:43:41:350,47429,0.4,46442,0.6,90997,0.3,124041,2.00 25,1,2024-09-07 08:43:40:561,337274,337274,0,0,158015119774,1656899933,333499,3230,545,371,391788,0 25,2,2024-09-07 08:43:41:608,241727,241727,0,0,12247502,0,3978 25,3,2024-09-07 08:43:41:002,1,192,0,0,255,2290,192,0 26,0,2024-09-07 08:43:41:724,51252,0.4,50229,0.6,105207,0.4,136796,1.75 26,1,2024-09-07 08:43:41:541,337780,337780,0,0,157597723345,1655233993,332354,4446,980,381,391748,0 26,2,2024-09-07 08:43:40:865,242886,242886,0,0,11441684,0,2809 26,3,2024-09-07 08:43:41:716,1,192,8,0,796,2517,192,0 27,0,2024-09-07 08:43:41:728,52073,0.5,51985,0.7,103075,0.5,137830,2.00 27,1,2024-09-07 08:43:41:681,338832,338832,0,0,158709828230,1648599217,336765,1744,323,381,391558,0 27,2,2024-09-07 08:43:40:872,240569,240569,0,0,11256720,0,3409 27,3,2024-09-07 08:43:41:016,1,192,125,0,564,2152,192,0 28,0,2024-09-07 08:43:41:427,45143,0.7,45272,0.8,91089,0.9,121198,2.25 28,1,2024-09-07 08:43:40:796,338303,338303,0,0,158487935016,1649234490,336114,1852,337,383,391646,0 28,2,2024-09-07 08:43:41:774,244526,244526,0,0,9223730,0,2915 28,3,2024-09-07 08:43:41:779,1,192,85,0,502,2246,192,0 29,0,2024-09-07 08:43:41:356,46577,0.3,45509,0.5,88940,0.2,122184,1.75 29,1,2024-09-07 08:43:41:561,339285,339285,0,0,157802731515,1633237544,336846,1895,544,369,391621,0 29,2,2024-09-07 08:43:40:862,243418,243418,0,0,8638558,0,4018 29,3,2024-09-07 08:43:40:969,1,192,58,0,115,1488,192,0 30,0,2024-09-07 08:43:41:462,49756,0.4,48483,0.6,101513,0.3,133206,1.75 30,1,2024-09-07 08:43:40:571,338403,338403,0,0,158495308552,1643609003,336402,1722,279,382,391672,0 30,2,2024-09-07 08:43:41:274,244362,244362,0,0,8723472,0,3161 30,3,2024-09-07 08:43:40:580,1,192,9,0,195,1336,192,0 31,0,2024-09-07 08:43:41:759,50541,0.5,51017,0.6,102484,0.4,136259,2.00 31,1,2024-09-07 08:43:40:567,339943,339943,0,0,158384644121,1622431550,339089,741,113,356,391712,0 31,2,2024-09-07 08:43:41:275,239070,239070,0,0,10170023,0,3525 31,3,2024-09-07 08:43:41:706,1,192,0,0,220,1594,192,0 32,0,2024-09-07 08:43:41:415,47430,0.4,47962,0.6,96005,0.4,127284,1.75 32,1,2024-09-07 08:43:40:803,338297,338297,0,0,158576326722,1645348334,336508,1513,276,381,391595,0 32,2,2024-09-07 08:43:40:937,245007,245007,0,0,8597201,0,3155 32,3,2024-09-07 08:43:41:015,1,192,7,0,227,1580,192,0 33,0,2024-09-07 08:43:41:510,44009,0.3,43360,0.5,87521,0.2,116790,1.75 33,1,2024-09-07 08:43:40:575,339075,339075,0,0,158770694442,1640945394,336811,2092,172,369,391730,0 33,2,2024-09-07 08:43:40:790,244973,244940,33,0,10771438,0,7012 33,3,2024-09-07 08:43:40:904,1,192,1,0,329,2107,192,0 34,0,2024-09-07 08:43:40:938,48255,0.2,49773,0.4,95510,0.2,128853,1.50 34,1,2024-09-07 08:43:41:045,339313,339313,0,0,159191292758,1637801655,338315,992,6,367,391562,0 34,2,2024-09-07 08:43:40:775,242594,242594,0,0,9748277,0,3577 34,3,2024-09-07 08:43:41:697,1,192,2,0,148,1303,192,0 35,0,2024-09-07 08:43:40:861,49866,0.4,50328,0.5,100737,0.3,133761,1.75 35,1,2024-09-07 08:43:41:077,337425,337425,0,0,158075163072,1640482510,334593,2062,770,384,391589,0 35,2,2024-09-07 08:43:41:583,239958,239958,0,0,8663751,0,2653 35,3,2024-09-07 08:43:40:917,1,192,1,0,418,2049,192,0 36,0,2024-09-07 08:43:41:515,49885,0.8,49806,0.9,99739,0.9,132167,2.50 36,1,2024-09-07 08:43:40:589,337776,337776,0,0,157636771091,1650351161,332830,3636,1310,366,391759,0 36,2,2024-09-07 08:43:41:750,243946,243946,0,0,10655430,0,3303 36,3,2024-09-07 08:43:40:865,1,192,0,0,378,3061,192,0 37,0,2024-09-07 08:43:41:396,42664,0.5,42567,0.7,85103,0.4,113872,2.00 37,1,2024-09-07 08:43:40:570,337029,337022,0,7,157323939227,1652227567,332005,2991,2026,365,391560,0 37,2,2024-09-07 08:43:41:153,243713,243698,15,0,11000200,0,5815 37,3,2024-09-07 08:43:41:773,1,192,1,0,724,2970,192,0 38,0,2024-09-07 08:43:41:446,46309,0.4,44909,0.6,93991,0.3,123443,2.00 38,1,2024-09-07 08:43:41:607,338294,338294,0,0,158223567248,1654112422,333827,3822,645,368,391821,0 38,2,2024-09-07 08:43:40:797,242098,242051,47,0,12211609,0,6710 38,3,2024-09-07 08:43:40:998,1,192,13,0,689,3110,192,0 39,0,2024-09-07 08:43:41:764,52470,0.7,51498,0.8,99983,0.8,136641,2.00 39,1,2024-09-07 08:43:40:725,337946,337946,0,0,158213273641,1649545533,333942,3202,802,365,391524,0 39,2,2024-09-07 08:43:41:422,241222,241222,0,0,9662069,0,2689 39,3,2024-09-07 08:43:40:726,1,192,0,0,293,2665,192,0 40,0,2024-09-07 08:43:41:489,51036,0.8,51550,1.1,103202,0.9,137116,3.00 40,1,2024-09-07 08:43:40:580,336907,336907,0,0,156917609031,1647287000,331272,4412,1223,368,391591,0 40,2,2024-09-07 08:43:41:306,242620,242619,1,0,12358324,0,5137 40,3,2024-09-07 08:43:41:153,1,192,1,0,181,1971,192,0 41,0,2024-09-07 08:43:41:025,44396,1.6,45260,1.5,86655,2.9,118907,3.75 41,1,2024-09-07 08:43:40:817,336932,336932,0,0,157897786127,1652493122,332432,3958,542,370,391742,0 41,2,2024-09-07 08:43:40:790,242366,242366,0,0,11877299,0,3356 41,3,2024-09-07 08:43:41:691,1,192,12,0,366,2354,192,0 42,0,2024-09-07 08:43:41:474,45438,0.7,45769,0.9,91206,0.6,120795,2.50 42,1,2024-09-07 08:43:41:449,335857,335857,0,0,157478812019,1656750858,330316,4468,1073,380,391675,0 42,2,2024-09-07 08:43:41:134,242403,242403,0,0,11358073,0,3568 42,3,2024-09-07 08:43:41:009,1,192,7,0,446,1615,192,0 43,0,2024-09-07 08:43:40:919,51163,0.7,49905,0.9,104171,0.7,137268,2.00 43,1,2024-09-07 08:43:40:580,337691,337691,0,0,158554693070,1653162684,333999,2897,795,366,391696,0 43,2,2024-09-07 08:43:41:746,243700,243700,0,0,10685228,0,3812 43,3,2024-09-07 08:43:41:748,1,192,1,0,467,2658,192,0 44,0,2024-09-07 08:43:40:872,51760,0.5,52156,0.7,103952,0.4,139003,1.75 44,1,2024-09-07 08:43:40:570,338854,338854,0,0,157506740142,1626638889,336704,1725,425,356,391809,0 44,2,2024-09-07 08:43:41:270,239994,239994,0,0,8372812,0,1877 44,3,2024-09-07 08:43:41:095,1,192,4,0,817,2270,192,0 45,0,2024-09-07 08:43:41:765,45599,1.0,44647,1.0,93762,1.0,123471,2.25 45,1,2024-09-07 08:43:41:005,338572,338572,0,0,158062046856,1636600857,337530,1032,10,382,391917,0 45,2,2024-09-07 08:43:41:274,244951,244951,0,0,9190833,0,3596 45,3,2024-09-07 08:43:40:940,1,192,2,0,226,1830,192,0 46,0,2024-09-07 08:43:40:992,44212,0.3,44061,0.5,88359,0.2,117421,1.75 46,1,2024-09-07 08:43:40:576,339068,339068,0,0,158074534395,1631974782,337258,1586,224,366,391524,0 46,2,2024-09-07 08:43:40:598,244543,244543,0,0,9258651,0,2920 46,3,2024-09-07 08:43:41:131,1,192,12,0,908,3220,192,0 47,0,2024-09-07 08:43:41:114,49643,0.3,49771,0.5,99754,0.2,132075,1.75 47,1,2024-09-07 08:43:40:569,339542,339542,0,0,157800439500,1627169134,338130,1395,17,366,391605,0 47,2,2024-09-07 08:43:40:910,244676,244676,0,0,9267235,0,2558 47,3,2024-09-07 08:43:41:116,1,192,4,0,529,2013,192,0 48,0,2024-09-07 08:43:41:489,50487,0.3,50159,0.4,100079,0.2,133450,1.50 48,1,2024-09-07 08:43:41:026,339038,339038,0,0,157954804919,1639190329,336971,1886,181,384,391710,0 48,2,2024-09-07 08:43:40:710,239472,239472,0,0,8213240,0,3031 48,3,2024-09-07 08:43:40:786,1,192,17,0,339,1759,192,0 49,0,2024-09-07 08:43:41:735,49735,0.5,48863,0.6,94902,0.4,129423,1.75 49,1,2024-09-07 08:43:41:025,337346,337346,0,0,158155848748,1647402801,334556,1950,840,382,391610,0 49,2,2024-09-07 08:43:41:806,245781,245781,0,0,9007977,0,3900 49,3,2024-09-07 08:43:41:416,1,192,1,0,408,2523,192,0 50,0,2024-09-07 08:43:41:531,42878,0.3,42378,0.5,85066,0.2,113679,1.75 50,1,2024-09-07 08:43:41:010,339715,339715,0,0,158305666047,1639755719,337115,2290,310,368,391530,0 50,2,2024-09-07 08:43:41:077,244939,244939,0,0,8965545,0,2263 50,3,2024-09-07 08:43:41:296,1,192,8,0,335,1564,192,0 51,0,2024-09-07 08:43:41:692,48505,0.2,47589,0.4,92678,0.2,126960,1.50 51,1,2024-09-07 08:43:41:695,338755,338755,0,0,159807736677,1649439635,336780,1189,786,365,391637,0 51,2,2024-09-07 08:43:41:316,242721,242721,0,0,8327038,0,3337 51,3,2024-09-07 08:43:41:027,1,192,6,0,162,1002,192,0 52,0,2024-09-07 08:43:41:415,51267,0.5,51104,0.6,102084,0.5,135123,2.00 52,1,2024-09-07 08:43:40:575,337170,337170,0,0,156843469870,1651178697,330913,5097,1160,368,391722,0 52,2,2024-09-07 08:43:41:767,238744,238706,38,0,11232086,0,6742 52,3,2024-09-07 08:43:40:698,1,192,1,0,1782,3762,192,0 53,0,2024-09-07 08:43:41:737,49953,0.9,48545,0.9,101650,1.1,132908,2.50 53,1,2024-09-07 08:43:40:790,336353,336353,0,0,157528587004,1659106622,330192,4126,2035,367,391702,0 53,2,2024-09-07 08:43:41:298,244216,244216,0,0,9712323,0,2262 53,3,2024-09-07 08:43:40:710,1,192,15,0,271,1741,192,0 54,0,2024-09-07 08:43:41:622,43519,1.4,43980,1.0,87104,0.6,116818,3.25 54,1,2024-09-07 08:43:40:652,337644,337644,0,0,158237281199,1649944140,333531,3542,571,367,391659,0 54,2,2024-09-07 08:43:40:872,243783,243777,6,0,11170277,0,5382 54,3,2024-09-07 08:43:40:777,1,192,8,0,676,3375,192,0 55,0,2024-09-07 08:43:41:764,44761,0.5,46304,0.7,93409,0.4,121952,2.25 55,1,2024-09-07 08:43:40:795,336878,336878,0,0,157177506273,1643010773,331415,4676,787,365,391731,0 55,2,2024-09-07 08:43:40:742,241430,241430,0,0,10962094,0,3275 55,3,2024-09-07 08:43:40:697,1,192,1,0,304,2443,192,0 56,0,2024-09-07 08:43:41:562,52623,1.3,49655,1.2,102150,1.8,136654,2.50 56,1,2024-09-07 08:43:40:571,336729,336729,0,0,157967556671,1669889868,331176,4610,943,381,391678,0 56,2,2024-09-07 08:43:41:307,242382,242382,0,0,11891742,0,3567 56,3,2024-09-07 08:43:41:059,1,192,1,0,405,2584,192,0 57,0,2024-09-07 08:43:40:942,50820,2.0,51065,1.4,101990,2.9,136739,3.50 57,1,2024-09-07 08:43:40:990,337289,337289,0,0,156811930784,1642497322,333789,3082,418,367,391960,0 57,2,2024-09-07 08:43:41:316,241875,241875,0,0,12374658,0,3317 57,3,2024-09-07 08:43:41:743,1,192,3,0,359,2929,192,0 58,0,2024-09-07 08:43:40:561,44118,1.0,42977,1.1,89976,1.1,117750,2.75 58,1,2024-09-07 08:43:40:587,338072,338069,0,3,158722937898,1660340259,333338,4086,645,367,391517,3 58,2,2024-09-07 08:43:41:070,243987,243987,0,0,10984696,0,2549 58,3,2024-09-07 08:43:41:074,1,192,11,0,1043,2465,192,0 59,0,2024-09-07 08:43:41:745,45696,0.7,45209,0.9,90483,0.7,121039,2.75 59,1,2024-09-07 08:43:40:815,337297,337297,0,0,158181653235,1654846196,333186,3128,983,369,391525,0 59,2,2024-09-07 08:43:40:589,244553,244553,0,0,10260120,0,2604 59,3,2024-09-07 08:43:41:738,1,192,1,0,1015,3136,192,0 60,0,2024-09-07 08:43:41:718,50154,0.3,50016,0.5,100407,0.3,133300,1.75 60,1,2024-09-07 08:43:40:798,339149,339149,0,0,158481743555,1640630721,337653,1136,360,370,391761,0 60,2,2024-09-07 08:43:41:142,243767,243767,0,0,10564073,0,3811 60,3,2024-09-07 08:43:41:269,1,192,14,0,124,1745,192,0 61,0,2024-09-07 08:43:41:506,50970,0.9,51179,0.9,102207,1.2,136654,2.00 61,1,2024-09-07 08:43:40:782,337734,337734,0,0,158228991218,1656022617,334155,3026,553,382,391880,0 61,2,2024-09-07 08:43:41:122,240190,240190,0,0,9233861,0,2079 61,3,2024-09-07 08:43:41:698,1,192,14,0,265,2412,192,0 62,0,2024-09-07 08:43:41:706,47965,1.1,48995,1.0,93373,1.5,127101,2.25 62,1,2024-09-07 08:43:41:111,339411,339405,0,6,158767668728,1636302568,337711,1655,39,365,391715,6 62,2,2024-09-07 08:43:41:648,243593,243592,1,0,10859390,0,5555 62,3,2024-09-07 08:43:41:144,1,192,12,0,287,1306,192,0 63,0,2024-09-07 08:43:41:453,43690,0.5,43596,0.6,87363,0.4,116553,1.75 63,1,2024-09-07 08:43:40:804,339133,339127,0,6,158640335672,1641936852,337857,1243,27,381,391677,6 63,2,2024-09-07 08:43:40:768,244798,244798,0,0,8885024,0,2674 63,3,2024-09-07 08:43:41:734,1,192,1,0,667,2490,192,0 64,0,2024-09-07 08:43:41:539,47923,0.4,48052,0.5,96285,0.3,128600,1.75 64,1,2024-09-07 08:43:40:767,338025,338025,0,0,158111903917,1648991695,334550,2420,1055,370,391783,0 64,2,2024-09-07 08:43:41:146,245092,245073,19,0,9566394,0,6121 64,3,2024-09-07 08:43:41:145,1,192,1,0,265,2042,192,0 65,0,2024-09-07 08:43:41:676,49633,0.7,50092,0.8,99850,0.8,132826,2.25 65,1,2024-09-07 08:43:40:858,337226,337226,0,0,157562456675,1647404288,334520,2482,224,382,391770,0 65,2,2024-09-07 08:43:41:699,239518,239518,0,0,9972674,0,3367 65,3,2024-09-07 08:43:41:690,1,192,1,0,163,1793,192,0 66,0,2024-09-07 08:43:41:769,49303,0.6,49442,0.8,99162,0.6,131478,2.25 66,1,2024-09-07 08:43:41:298,338807,338807,0,0,158253483878,1642742102,336918,1716,173,380,391588,0 66,2,2024-09-07 08:43:41:132,246772,246772,0,0,9589439,0,4956 66,3,2024-09-07 08:43:41:079,1,192,9,0,291,1918,192,0 67,0,2024-09-07 08:43:41:438,43057,0.7,42826,0.8,86031,0.8,113913,2.25 67,1,2024-09-07 08:43:40:776,337672,337671,0,1,158022441844,1649466873,334193,2731,747,380,391787,1 67,2,2024-09-07 08:43:40:583,245469,245469,0,0,9034399,0,2889 67,3,2024-09-07 08:43:41:749,1,192,1,0,338,1680,192,0 68,0,2024-09-07 08:43:40:622,46604,0.5,46523,0.7,92775,0.4,123883,2.00 68,1,2024-09-07 08:43:40:590,336726,336726,0,0,157541584530,1654595702,333117,2473,1136,381,391953,0 68,2,2024-09-07 08:43:41:046,241649,241584,65,0,12639370,0,6698 68,3,2024-09-07 08:43:40:738,1,192,5,0,417,2409,192,0 69,0,2024-09-07 08:43:41:735,51192,0.9,51423,0.9,102212,1.1,136115,2.25 69,1,2024-09-07 08:43:41:016,335767,335767,0,0,157645982508,1664097597,331498,3054,1215,384,391994,0 69,2,2024-09-07 08:43:41:735,241681,241681,0,0,11467915,0,3701 69,3,2024-09-07 08:43:40:784,1,192,1,0,698,2975,192,0 70,0,2024-09-07 08:43:41:532,51169,1.4,51201,1.2,102676,1.0,136767,2.75 70,1,2024-09-07 08:43:40:804,337953,337953,0,0,159279797665,1655465348,334958,2491,504,366,391725,0 70,2,2024-09-07 08:43:41:325,243291,243291,0,0,10583258,0,4044 70,3,2024-09-07 08:43:40:756,1,192,59,0,854,2469,192,0 71,0,2024-09-07 08:43:41:355,44245,1.7,44233,1.5,88306,2.4,119117,3.25 71,1,2024-09-07 08:43:41:598,337645,337645,0,0,158118590179,1653899349,333270,3823,552,368,391738,0 71,2,2024-09-07 08:43:41:067,244340,244340,0,0,10954226,0,2579 71,3,2024-09-07 08:43:41:749,1,192,1,0,644,3042,192,0 72,0,2024-09-07 08:43:41:046,47365,0.6,46314,0.8,90399,0.6,123125,2.25 72,1,2024-09-07 08:43:41:023,337437,337437,0,0,158106826621,1652949603,333294,3394,749,369,391819,0 72,2,2024-09-07 08:43:41:756,241925,241925,0,0,12187391,0,2570 72,3,2024-09-07 08:43:41:762,1,192,16,0,364,3527,192,0 73,0,2024-09-07 08:43:41:133,50315,0.4,51544,0.6,105487,0.3,137538,2.25 73,1,2024-09-07 08:43:40:787,337558,337558,0,0,158365560759,1646432910,334953,2391,214,367,391750,0 73,2,2024-09-07 08:43:41:751,243856,243856,0,0,11803402,0,3482 73,3,2024-09-07 08:43:40:978,1,192,159,0,274,2962,192,0 74,0,2024-09-07 08:43:41:328,52463,0.5,53431,0.7,101803,0.5,138608,2.25 74,1,2024-09-07 08:43:40:639,337419,337419,0,0,158188166258,1652597392,333945,2727,747,381,391681,0 74,2,2024-09-07 08:43:41:004,240144,240144,0,0,11513022,0,4253 74,3,2024-09-07 08:43:41:446,1,192,2,0,522,2982,192,0 75,0,2024-09-07 08:43:41:775,46411,1.0,46114,1.1,92502,1.0,123859,2.50 75,1,2024-09-07 08:43:41:585,336554,336554,0,0,157370113626,1648510705,332416,3477,661,381,391579,0 75,2,2024-09-07 08:43:41:350,243975,243975,0,0,11188079,0,4766 75,3,2024-09-07 08:43:41:067,1,192,0,0,535,2284,192,0 76,0,2024-09-07 08:43:40:598,44070,0.4,43709,0.6,87335,0.3,117375,2.00 76,1,2024-09-07 08:43:40:807,337917,337917,0,0,157536284926,1641154345,335832,1572,513,382,391692,0 76,2,2024-09-07 08:43:41:061,245013,245013,0,0,9602524,0,3064 76,3,2024-09-07 08:43:41:151,1,192,1,0,175,2186,192,0 77,0,2024-09-07 08:43:41:727,49491,0.4,49802,0.6,99212,0.3,131728,1.75 77,1,2024-09-07 08:43:40:829,339011,339011,0,0,158026479918,1645791615,337278,1645,88,383,391808,0 77,2,2024-09-07 08:43:41:291,242802,242802,0,0,9786072,0,3890 77,3,2024-09-07 08:43:41:100,1,192,0,0,305,2213,192,0 78,0,2024-09-07 08:43:41:715,50124,0.4,49841,0.6,100521,0.4,132934,2.00 78,1,2024-09-07 08:43:40:614,338361,338361,0,0,157128070921,1631437069,336010,2098,253,367,391646,0 78,2,2024-09-07 08:43:41:407,240101,240101,0,0,8775513,0,2114 78,3,2024-09-07 08:43:41:133,1,192,8,0,181,1890,192,0 79,0,2024-09-07 08:43:41:346,47004,0.5,48092,0.7,98479,0.5,127746,2.50 79,1,2024-09-07 08:43:40:581,339326,339326,0,0,158340628088,1637931584,337071,2081,174,369,391682,0 79,2,2024-09-07 08:43:41:082,245312,245312,0,0,9034787,0,3212 79,3,2024-09-07 08:43:40:755,1,192,3,0,418,3018,192,0 80,0,2024-09-07 08:43:41:110,42494,0.5,43837,0.7,83824,0.5,113666,2.00 80,1,2024-09-07 08:43:41:640,337517,337517,0,0,157773624644,1641513196,334974,2378,165,368,391791,0 80,2,2024-09-07 08:43:41:103,245306,245306,0,0,9741235,0,4433 80,3,2024-09-07 08:43:40:581,1,192,7,0,190,2861,192,0 81,0,2024-09-07 08:43:41:539,47410,0.4,48822,0.6,93452,0.4,126531,1.75 81,1,2024-09-07 08:43:41:651,337802,337802,0,0,157333250708,1643447147,335206,2321,275,382,391879,0 81,2,2024-09-07 08:43:41:125,242532,242532,0,0,9999100,0,3993 81,3,2024-09-07 08:43:41:120,1,192,4,0,193,1888,192,0 82,0,2024-09-07 08:43:41:531,50680,0.4,50993,0.6,102117,0.4,135295,1.75 82,1,2024-09-07 08:43:40:590,338121,338117,0,4,157744430530,1643155837,335601,1938,578,381,391558,4 82,2,2024-09-07 08:43:41:699,240476,240476,0,0,9332980,0,3986 82,3,2024-09-07 08:43:41:757,1,192,14,0,363,2432,192,0 83,0,2024-09-07 08:43:41:539,50420,0.6,50425,0.8,100102,0.7,133292,2.00 83,1,2024-09-07 08:43:40:567,337734,337734,0,0,157331922120,1641842917,335100,2410,224,382,391690,0 83,2,2024-09-07 08:43:40:775,243720,243720,0,0,9157391,0,3119 83,3,2024-09-07 08:43:40:750,1,192,5,0,241,1950,192,0 84,0,2024-09-07 08:43:41:781,43998,1.0,43967,1.2,88296,0.8,117991,2.50 84,1,2024-09-07 08:43:41:040,336876,336876,0,0,157179164331,1647177625,332892,3416,568,368,391967,0 84,2,2024-09-07 08:43:40:571,244287,244287,0,0,11110806,0,3801 84,3,2024-09-07 08:43:41:145,1,192,13,0,353,2687,192,0 85,0,2024-09-07 08:43:41:005,44613,0.6,44585,0.8,94707,0.6,122702,2.25 85,1,2024-09-07 08:43:40:575,336569,336569,0,0,156464185637,1657734488,330527,4947,1095,382,392006,0 85,2,2024-09-07 08:43:40:865,243029,243029,0,0,11673114,0,3656 85,3,2024-09-07 08:43:40:698,1,192,8,0,789,2798,192,0 86,0,2024-09-07 08:43:40:935,51539,0.7,52867,0.8,101487,0.7,136668,2.00 86,1,2024-09-07 08:43:40:832,337706,337706,0,0,158155577013,1655978455,333670,3491,545,366,391961,0 86,2,2024-09-07 08:43:40:872,241381,241380,1,0,12095826,0,5004 86,3,2024-09-07 08:43:40:585,1,192,77,0,286,2690,192,0 87,0,2024-09-07 08:43:41:284,51569,1.2,51305,1.1,103147,1.8,138332,2.50 87,1,2024-09-07 08:43:40:554,337390,337390,0,0,158019148304,1656330281,333505,3425,460,366,391788,0 87,2,2024-09-07 08:43:41:067,240916,240916,0,0,10163700,0,3515 87,3,2024-09-07 08:43:41:806,1,192,1,0,473,3545,192,0 88,0,2024-09-07 08:43:41:439,45376,0.6,45540,0.7,90964,0.7,121099,1.75 88,1,2024-09-07 08:43:40:570,336278,336278,0,0,157304904187,1654704884,330843,3975,1460,365,392084,0 88,2,2024-09-07 08:43:40:702,243327,243327,0,0,12261732,0,3583 88,3,2024-09-07 08:43:41:269,1,192,1,0,435,2535,192,0 89,0,2024-09-07 08:43:41:805,46808,0.4,45313,0.6,89823,0.4,122336,1.75 89,1,2024-09-07 08:43:40:550,335523,335523,0,0,157604179314,1665303096,329840,4745,938,382,391866,0 89,2,2024-09-07 08:43:41:132,243274,243274,0,0,11827370,0,2910 89,3,2024-09-07 08:43:41:794,1,192,4,0,325,3710,192,0 90,0,2024-09-07 08:43:41:624,48686,0.4,49730,0.5,102108,0.3,132993,1.75 90,1,2024-09-07 08:43:40:590,337627,337627,0,0,157603630891,1652664329,334335,3033,259,381,391825,0 90,2,2024-09-07 08:43:41:406,242066,242066,0,0,12815450,0,3060 90,3,2024-09-07 08:43:40:937,1,192,10,0,200,2153,192,0 91,0,2024-09-07 08:43:40:967,51509,0.4,49710,0.6,103718,0.4,136855,1.75 91,1,2024-09-07 08:43:40:559,336991,336991,0,0,158001072851,1659840817,332964,3526,501,381,392047,0 91,2,2024-09-07 08:43:41:334,238678,238678,0,0,11265811,0,2445 91,3,2024-09-07 08:43:40:606,1,192,9,0,216,2031,192,0 92,0,2024-09-07 08:43:41:472,48106,0.7,49330,0.8,94252,0.8,127445,1.75 92,1,2024-09-07 08:43:40:581,337551,337551,0,0,157508438023,1642844474,335341,1806,404,382,392136,0 92,2,2024-09-07 08:43:41:366,246156,246156,0,0,9528741,0,2801 92,3,2024-09-07 08:43:41:011,1,192,5,0,167,1597,192,0 93,0,2024-09-07 08:43:40:957,43957,0.4,44939,0.6,85916,0.3,116984,1.75 93,1,2024-09-07 08:43:40:808,338056,338056,0,0,158224892953,1650159516,334886,2617,553,366,391692,0 93,2,2024-09-07 08:43:40:939,245336,245336,0,0,9774021,0,2509 93,3,2024-09-07 08:43:41:414,1,192,9,0,143,1940,192,0 94,0,2024-09-07 08:43:41:611,48118,0.3,48806,0.4,96939,0.2,128552,1.50 94,1,2024-09-07 08:43:40:567,337300,337300,0,0,158354749803,1653782633,334668,2500,132,381,391850,0 94,2,2024-09-07 08:43:40:784,242671,242671,0,0,9231496,0,2443 94,3,2024-09-07 08:43:41:698,1,192,17,0,264,2673,192,0 95,0,2024-09-07 08:43:41:354,50517,0.3,50147,0.5,101259,0.3,133630,1.75 95,1,2024-09-07 08:43:40:867,338087,338087,0,0,158570513654,1647034858,335651,2250,186,367,391662,0 95,2,2024-09-07 08:43:41:016,239263,239263,0,0,9361349,0,3308 95,3,2024-09-07 08:43:41:716,1,192,13,0,718,3329,192,0 96,0,2024-09-07 08:43:41:023,49826,0.5,50063,0.6,100030,0.5,132075,1.75 96,1,2024-09-07 08:43:41:594,337780,337780,0,0,158018105525,1651241556,335045,2088,647,385,391894,0 96,2,2024-09-07 08:43:41:275,244721,244721,0,0,10075579,0,4042 96,3,2024-09-07 08:43:41:147,1,192,2,0,188,2038,192,0 97,0,2024-09-07 08:43:41:329,43264,0.4,42951,0.5,86157,0.3,114261,1.75 97,1,2024-09-07 08:43:40:779,338761,338761,0,0,157930370934,1640564486,335941,2267,553,367,392140,0 97,2,2024-09-07 08:43:40:606,244508,244508,0,0,9402637,0,3036 97,3,2024-09-07 08:43:40:569,1,192,1,0,165,2167,192,0 98,0,2024-09-07 08:43:41:692,46620,0.2,46474,0.4,93266,0.2,124243,1.50 98,1,2024-09-07 08:43:40:571,338052,338052,0,0,157730428728,1642455267,336196,1762,94,382,391997,0 98,2,2024-09-07 08:43:40:781,243591,243591,0,0,9616852,0,3080 98,3,2024-09-07 08:43:40:700,1,192,14,0,840,3440,192,0 99,0,2024-09-07 08:43:41:483,51552,0.3,51528,0.4,102789,0.3,137138,1.50 99,1,2024-09-07 08:43:41:727,338612,338612,0,0,157305185145,1641493458,335302,2596,714,381,391744,0 99,2,2024-09-07 08:43:41:421,243132,243132,0,0,9443427,0,2615 99,3,2024-09-07 08:43:40:581,1,192,2,0,129,1371,192,0 100,0,2024-09-07 08:43:41:481,51467,0.9,51488,1.1,102768,1.5,137447,2.50 100,1,2024-09-07 08:43:40:548,335773,335773,0,0,157118493791,1664650655,330271,4569,933,381,391989,0 100,2,2024-09-07 08:43:41:820,241631,241620,11,0,11735576,0,5417 100,3,2024-09-07 08:43:41:734,1,192,1,0,559,3857,192,0 101,0,2024-09-07 08:43:41:703,45664,2.3,44541,1.4,87107,2.4,120431,2.75 101,1,2024-09-07 08:43:40:552,336157,336157,0,0,156822395001,1658047548,330126,4509,1522,368,391769,0 101,2,2024-09-07 08:43:41:758,243255,243255,0,0,12483293,0,4644 101,3,2024-09-07 08:43:40:944,1,192,27,0,448,2488,192,0 102,0,2024-09-07 08:43:40:968,44748,0.8,46399,0.9,93348,0.7,122425,2.25 102,1,2024-09-07 08:43:41:148,336827,336827,0,0,157409100291,1657176020,332106,4004,717,369,391883,0 102,2,2024-09-07 08:43:41:745,243125,243071,54,0,11904225,0,6768 102,3,2024-09-07 08:43:41:621,1,192,20,0,410,2132,192,0 103,0,2024-09-07 08:43:41:606,53066,0.5,53082,0.6,100094,0.4,138057,1.75 103,1,2024-09-07 08:43:41:633,335822,335822,0,0,157050429809,1658327769,330444,4053,1325,381,391829,0 103,2,2024-09-07 08:43:40:582,242525,242525,0,0,10554452,0,2104 103,3,2024-09-07 08:43:40:790,1,192,15,0,916,3141,192,0 104,0,2024-09-07 08:43:41:008,51360,1.1,51766,1.1,102328,1.1,138423,2.50 104,1,2024-09-07 08:43:41:601,337733,337733,0,0,157694476499,1661435997,332530,4235,968,365,391948,0 104,2,2024-09-07 08:43:41:672,239687,239687,0,0,11220243,0,3941 104,3,2024-09-07 08:43:41:420,1,192,2,1,1245,5566,192,0 105,0,2024-09-07 08:43:41:048,45775,1.4,44453,1.3,92964,2.2,122690,3.50 105,1,2024-09-07 08:43:40:557,337729,337729,0,0,157658919139,1654986711,333042,3885,802,367,391797,0 105,2,2024-09-07 08:43:41:322,243448,243448,0,0,10877066,0,3509 105,3,2024-09-07 08:43:41:305,1,192,56,0,399,3325,192,0 106,0,2024-09-07 08:43:40:960,42718,0.6,43794,0.8,89520,0.6,117230,2.25 106,1,2024-09-07 08:43:41:817,337546,337546,0,0,157310974344,1652885659,332570,4502,474,369,391767,0 106,2,2024-09-07 08:43:40:785,242830,242830,0,0,11362358,0,2795 106,3,2024-09-07 08:43:40:696,1,192,16,0,470,3060,192,0 107,0,2024-09-07 08:43:41:103,49321,0.6,49397,0.7,98444,0.5,131438,2.00 107,1,2024-09-07 08:43:40:589,336734,336734,0,0,157115094709,1651111699,333468,3031,235,381,392234,0 107,2,2024-09-07 08:43:41:297,242834,242833,1,0,11238208,0,5024 107,3,2024-09-07 08:43:41:765,1,192,17,0,353,2736,192,0 108,0,2024-09-07 08:43:41:796,50266,0.4,50393,0.6,99970,0.4,133394,1.75 108,1,2024-09-07 08:43:41:300,337394,337394,0,0,157865976741,1645716844,334678,2402,314,368,391857,0 108,2,2024-09-07 08:43:41:771,238024,238024,0,0,10025892,0,2647 108,3,2024-09-07 08:43:41:330,1,192,51,0,667,3532,192,0 109,0,2024-09-07 08:43:41:789,48812,0.4,48355,0.6,96954,0.4,129606,1.75 109,1,2024-09-07 08:43:40:599,337043,337043,0,0,157609385698,1652201650,334229,2317,497,383,392132,0 109,2,2024-09-07 08:43:40:925,244379,244379,0,0,10316128,0,3617 109,3,2024-09-07 08:43:41:140,1,192,48,0,249,2503,192,0 110,0,2024-09-07 08:43:41:758,42953,0.3,41785,0.6,87011,0.3,113866,1.75 110,1,2024-09-07 08:43:41:643,338331,338331,0,0,157809230423,1639229209,335703,1861,767,370,391667,0 110,2,2024-09-07 08:43:41:306,244987,244987,0,0,9602541,0,2915 110,3,2024-09-07 08:43:40:690,1,192,16,0,406,2564,192,0 111,0,2024-09-07 08:43:41:412,47542,0.2,47189,0.4,94089,0.1,126620,1.50 111,1,2024-09-07 08:43:41:001,338791,338791,0,0,158407485539,1642267788,337001,1457,333,382,391690,0 111,2,2024-09-07 08:43:41:117,242527,242527,0,0,9972240,0,2763 111,3,2024-09-07 08:43:40:912,1,192,1,0,379,2816,192,0 112,0,2024-09-07 08:43:40:908,51071,0.3,50989,0.4,102121,0.2,135395,1.50 112,1,2024-09-07 08:43:40:825,338712,338712,0,0,157986184833,1640352681,336348,1938,426,380,391580,0 112,2,2024-09-07 08:43:41:132,240317,240316,1,0,9834460,0,5036 112,3,2024-09-07 08:43:40:592,1,192,1,0,282,2041,192,0 113,0,2024-09-07 08:43:40:865,50168,0.4,50159,0.5,100767,0.3,134437,1.75 113,1,2024-09-07 08:43:41:699,338922,338922,0,0,159113911862,1644605319,336502,1932,488,366,391661,0 113,2,2024-09-07 08:43:41:303,245303,245303,0,0,8920792,0,3813 113,3,2024-09-07 08:43:40:687,1,192,0,0,340,3209,192,0 114,0,2024-09-07 08:43:40:885,44932,0.5,45450,0.6,89579,0.4,120018,1.75 114,1,2024-09-07 08:43:40:731,337339,337339,0,0,157754058479,1646777953,333206,2670,1463,381,391534,0 114,2,2024-09-07 08:43:40:873,244706,244706,0,0,9327783,0,3925 114,3,2024-09-07 08:43:41:281,1,192,19,0,395,2195,192,0 115,0,2024-09-07 08:43:40:555,46114,0.2,46566,0.4,92911,0.1,123724,1.50 115,1,2024-09-07 08:43:40:571,337624,337624,0,0,158210203765,1647015932,333946,2857,821,382,391602,0 115,2,2024-09-07 08:43:41:127,243954,243954,0,0,8613012,0,2152 115,3,2024-09-07 08:43:41:016,1,192,1,0,159,1175,192,0 116,0,2024-09-07 08:43:41:703,51568,0.7,51270,0.9,102801,0.8,137343,2.00 116,1,2024-09-07 08:43:40:818,335221,335221,0,0,157656577358,1674375352,329466,3705,2050,380,391677,0 116,2,2024-09-07 08:43:41:751,241806,241806,0,0,12655248,0,3529 116,3,2024-09-07 08:43:40:919,1,192,1,0,252,2708,192,0 117,0,2024-09-07 08:43:40:955,51520,1.1,51615,1.0,103068,1.4,138441,2.00 117,1,2024-09-07 08:43:41:598,336854,336854,0,0,156658269118,1643829446,332642,3736,476,370,392033,0 117,2,2024-09-07 08:43:41:117,243188,243188,0,0,9853098,0,3700 117,3,2024-09-07 08:43:41:059,1,192,3,0,490,3579,192,0 118,0,2024-09-07 08:43:41:780,44095,0.8,45375,0.9,92300,1.0,120564,2.25 118,1,2024-09-07 08:43:40:587,336914,336914,0,0,157432011209,1660219168,331315,4173,1426,366,391736,0 118,2,2024-09-07 08:43:41:591,243307,243307,0,0,11431018,0,2781 118,3,2024-09-07 08:43:41:772,1,192,16,0,235,2369,192,0 119,0,2024-09-07 08:43:41:342,45103,0.6,45442,0.8,91357,0.5,121598,2.00 119,1,2024-09-07 08:43:40:549,337899,337899,0,0,157940334937,1649815520,334714,2879,306,368,391641,0 119,2,2024-09-07 08:43:41:261,243693,243693,0,0,10535537,0,3526 119,3,2024-09-07 08:43:41:325,1,192,7,0,443,3237,192,0 120,0,2024-09-07 08:43:41:546,49859,0.4,49780,0.6,99823,0.4,133138,1.75 120,1,2024-09-07 08:43:40:862,337547,337547,0,0,157070820047,1649718772,333670,3540,337,368,391961,0 120,2,2024-09-07 08:43:40:775,242668,242667,1,0,12884302,0,5281 120,3,2024-09-07 08:43:41:296,1,192,26,0,241,2749,192,0 121,0,2024-09-07 08:43:41:693,50837,1.2,51200,1.1,102052,1.7,136345,2.25 121,1,2024-09-07 08:43:41:675,337178,337178,0,0,157286086099,1647314921,333694,3119,365,367,391840,0 121,2,2024-09-07 08:43:41:125,238446,238446,0,0,11549503,0,4127 121,3,2024-09-07 08:43:40:737,1,192,14,0,269,2530,192,0 122,0,2024-09-07 08:43:41:827,47487,1.1,46242,1.1,96947,1.2,127434,2.25 122,1,2024-09-07 08:43:40:862,336527,336527,0,0,157243235102,1652803878,331802,4015,710,366,392130,0 122,2,2024-09-07 08:43:41:320,244070,244070,0,0,13213596,0,3364 122,3,2024-09-07 08:43:40:594,1,192,9,0,411,4488,192,0 123,0,2024-09-07 08:43:40:965,43639,0.7,42605,0.8,88831,0.8,116521,2.00 123,1,2024-09-07 08:43:40:558,336776,336776,0,0,158026996627,1670272514,329640,5722,1414,369,391823,0 123,2,2024-09-07 08:43:41:019,242876,242875,1,0,11728838,0,5215 123,3,2024-09-07 08:43:41:133,1,192,1,0,168,2326,192,0 124,0,2024-09-07 08:43:40:949,49735,0.3,49739,0.4,93862,0.2,128828,1.50 124,1,2024-09-07 08:43:41:024,338428,338428,0,0,157889661454,1639308621,336257,1814,357,367,392178,0 124,2,2024-09-07 08:43:41:019,243217,243217,0,0,9401851,0,3101 124,3,2024-09-07 08:43:40:767,1,192,1,0,490,2510,192,0 125,0,2024-09-07 08:43:41:457,50341,0.4,50318,0.5,100790,0.3,133722,1.75 125,1,2024-09-07 08:43:40:865,337824,337824,0,0,157590278117,1643734817,335443,2104,277,383,391702,0 125,2,2024-09-07 08:43:41:119,240277,240277,0,0,9153827,0,2180 125,3,2024-09-07 08:43:41:126,1,192,1,0,284,2398,192,0 126,0,2024-09-07 08:43:41:422,50016,0.7,51261,0.7,98126,0.7,132925,1.75 126,1,2024-09-07 08:43:40:563,338477,338477,0,0,159055609215,1646262952,336827,1571,79,365,391987,0 126,2,2024-09-07 08:43:40:618,246191,246191,0,0,9856330,0,3186 126,3,2024-09-07 08:43:40:910,1,192,21,0,150,2665,192,0 127,0,2024-09-07 08:43:41:606,42931,0.4,43188,0.5,86071,0.3,114122,1.75 127,1,2024-09-07 08:43:40:570,337987,337987,0,0,158375596798,1639851135,335875,2071,41,365,391816,0 127,2,2024-09-07 08:43:40:641,244955,244955,0,0,9238664,0,2264 127,3,2024-09-07 08:43:41:269,1,192,0,0,243,1615,192,0 128,0,2024-09-07 08:43:41:523,46901,0.3,46692,0.4,93606,0.2,124293,1.50 128,1,2024-09-07 08:43:41:617,338581,338581,0,0,157798245772,1634152169,337278,1197,106,367,391680,0 128,2,2024-09-07 08:43:41:383,242751,242751,0,0,9118556,0,2107 128,3,2024-09-07 08:43:40:778,1,192,1,0,333,2673,192,0 129,0,2024-09-07 08:43:40:998,51838,0.3,51601,0.5,103096,0.3,136791,1.50 129,1,2024-09-07 08:43:40:575,336599,336599,0,0,157970980567,1653003673,333567,2637,395,379,391835,0 129,2,2024-09-07 08:43:40:703,242104,242104,0,0,9303463,0,4031 129,3,2024-09-07 08:43:40:707,1,192,39,0,469,2659,192,0 130,0,2024-09-07 08:43:41:721,51894,0.6,51753,0.7,103717,0.8,138326,1.75 130,1,2024-09-07 08:43:40:591,338367,338367,0,0,158349430559,1647818731,336243,2050,74,381,391825,0 130,2,2024-09-07 08:43:41:127,244138,244138,0,0,9321082,0,4067 130,3,2024-09-07 08:43:41:296,1,192,20,0,450,2224,192,0 131,0,2024-09-07 08:43:41:947,44939,0.5,45240,0.6,91164,0.5,120588,1.75 131,1,2024-09-07 08:43:41:828,338939,338939,0,0,158268090824,1644628598,337458,1275,206,383,391865,0 131,2,2024-09-07 08:43:40:572,245100,245100,0,0,8925061,0,2415 131,3,2024-09-07 08:43:41:691,1,192,19,0,392,1972,192,0 132,0,2024-09-07 08:43:41:498,45699,0.5,46194,0.7,92354,0.5,122814,2.00 132,1,2024-09-07 08:43:40:581,336658,336658,0,0,157145313025,1660423290,330944,4649,1065,381,392097,0 132,2,2024-09-07 08:43:40:698,242729,242729,0,0,12395221,0,4606 132,3,2024-09-07 08:43:41:692,1,192,1,0,356,3234,192,0 133,0,2024-09-07 08:43:41:523,50240,0.4,51500,0.6,105423,0.4,137369,1.75 133,1,2024-09-07 08:43:40:589,336007,336007,0,0,157248361753,1657914443,331313,4049,645,383,391914,0 133,2,2024-09-07 08:43:41:087,242451,242451,0,0,12443734,0,4315 133,3,2024-09-07 08:43:41:301,1,192,1,0,276,2056,192,0 134,0,2024-09-07 08:43:40:979,51803,0.5,51756,0.7,103917,0.5,138417,2.00 134,1,2024-09-07 08:43:40:585,336651,336651,0,0,156832272785,1648204631,331575,3793,1283,366,391718,0 134,2,2024-09-07 08:43:41:766,239821,239821,0,0,10481558,0,3847 134,3,2024-09-07 08:43:40:766,1,192,13,0,739,3254,192,0 135,0,2024-09-07 08:43:41:145,44874,1.3,44855,1.2,95200,1.5,122228,2.50 135,1,2024-09-07 08:43:41:585,336115,336115,0,0,157686422547,1660109379,331301,3917,897,380,391805,0 135,2,2024-09-07 08:43:40:703,244060,244060,0,0,11848503,0,3981 135,3,2024-09-07 08:43:41:019,1,192,8,0,299,1434,192,0 136,0,2024-09-07 08:43:41:664,44446,0.5,44398,0.7,89005,0.5,118286,2.00 136,1,2024-09-07 08:43:41:443,336435,336435,0,0,157332605063,1653852708,331868,4056,511,382,391641,0 136,2,2024-09-07 08:43:41:133,244847,244847,0,0,11193948,0,3506 136,3,2024-09-07 08:43:41:107,1,192,1,0,126,1660,192,0 137,0,2024-09-07 08:43:40:934,50750,0.5,49196,0.7,97164,0.5,132210,2.00 137,1,2024-09-07 08:43:40:599,336613,336613,0,0,157222269613,1649455901,331356,4587,670,366,391708,0 137,2,2024-09-07 08:43:41:705,242787,242787,0,0,12734519,0,3185 137,3,2024-09-07 08:43:40:788,1,192,22,0,227,2153,192,0 138,0,2024-09-07 08:43:41:770,49671,1.1,49768,1.0,100013,1.3,133062,2.25 138,1,2024-09-07 08:43:41:694,337011,337011,0,0,158116854198,1656830673,332562,3960,489,368,391954,0 138,2,2024-09-07 08:43:40:593,239581,239581,0,0,10099671,0,3263 138,3,2024-09-07 08:43:40:611,1,192,11,0,1160,3389,192,0 139,0,2024-09-07 08:43:41:371,47561,2.7,47625,1.6,96193,3.9,128028,3.00 139,1,2024-09-07 08:43:40:575,335292,335292,0,0,156331668369,1662651401,328653,4793,1846,381,391892,0 139,2,2024-09-07 08:43:40:712,242985,242985,0,0,11859219,0,3097 139,3,2024-09-07 08:43:41:674,1,192,39,0,244,2137,192,0 140,0,2024-09-07 08:43:41:600,43119,0.3,42657,0.5,85997,0.2,114027,1.75 140,1,2024-09-07 08:43:41:538,338866,338866,0,0,159232965040,1640354533,337342,1284,240,365,391606,0 140,2,2024-09-07 08:43:40:697,245373,245373,0,0,9671651,0,3388 140,3,2024-09-07 08:43:40:789,1,192,0,0,247,1667,192,0 141,0,2024-09-07 08:43:41:706,47362,0.2,48613,0.4,92835,0.1,126678,1.50 141,1,2024-09-07 08:43:40:859,338865,338865,0,0,159057236485,1649151413,336890,1621,354,379,391614,0 141,2,2024-09-07 08:43:41:687,243441,243441,0,0,8990563,0,2342 141,3,2024-09-07 08:43:41:045,1,192,1,0,147,1414,192,0 142,0,2024-09-07 08:43:41:309,51482,0.3,51105,0.4,101548,0.2,135645,1.50 142,1,2024-09-07 08:43:40:590,337865,337865,0,0,158340796448,1647835918,336299,1404,162,383,391728,0 142,2,2024-09-07 08:43:41:299,239734,239702,32,0,10341734,0,6028 142,3,2024-09-07 08:43:41:746,1,192,17,0,484,2575,192,0 143,0,2024-09-07 08:43:41:379,50402,0.6,50343,0.7,101577,0.6,134205,1.75 143,1,2024-09-07 08:43:40:557,338117,338117,0,0,157718448283,1635252135,335966,2106,45,367,391619,0 143,2,2024-09-07 08:43:40:775,244065,244065,0,0,9777006,0,2669 143,3,2024-09-07 08:43:41:140,1,192,5,0,303,2796,192,0 144,0,2024-09-07 08:43:41:528,43019,0.7,44295,1.1,89926,0.6,118346,2.00 144,1,2024-09-07 08:43:40:569,336796,336796,0,0,157649712770,1650903604,334370,2221,205,381,391649,0 144,2,2024-09-07 08:43:41:758,244463,244463,0,0,9666875,0,3473 144,3,2024-09-07 08:43:41:757,1,192,58,0,249,2311,192,0 145,0,2024-09-07 08:43:41:365,44741,0.5,44707,0.7,94904,0.4,123294,2.00 145,1,2024-09-07 08:43:40:574,335414,335414,0,0,157387316109,1659883190,330217,4378,819,382,391615,0 145,2,2024-09-07 08:43:41:431,241939,241939,0,0,11053274,0,3903 145,3,2024-09-07 08:43:40:905,1,192,5,0,579,2648,192,0 146,0,2024-09-07 08:43:41:601,51467,0.5,50983,0.8,102871,0.5,136493,2.25 146,1,2024-09-07 08:43:41:596,337703,337703,0,0,158174363359,1663663682,331963,4554,1186,368,391770,0 146,2,2024-09-07 08:43:41:700,242057,242057,0,0,10808569,0,2498 146,3,2024-09-07 08:43:41:274,1,192,8,0,1520,5364,192,0 147,0,2024-09-07 08:43:41:714,51632,0.7,51547,0.9,102493,0.7,138137,2.25 147,1,2024-09-07 08:43:41:375,338774,338774,0,0,158094682187,1644393900,335588,2688,498,368,391791,0 147,2,2024-09-07 08:43:41:016,243082,243082,0,0,9443835,0,2789 147,3,2024-09-07 08:43:40:924,1,192,99,0,371,2329,192,0 0,0,2024-09-07 08:43:51:716,48824,0.4,48776,0.6,103458,0.3,134207,1.75 0,1,2024-09-07 08:43:50:814,339473,339473,0,0,159359355230,1664885659,337437,1899,137,372,391772,0 0,2,2024-09-07 08:43:51:067,244826,244826,0,0,9944883,0,4480 0,3,2024-09-07 08:43:50:991,1,193,16,0,247,2434,193,0 1,0,2024-09-07 08:43:51:775,51524,1.1,51134,1.1,102793,1.4,137340,2.25 1,1,2024-09-07 08:43:50:559,339154,339154,0,0,157817881768,1654304792,335687,2599,868,371,391857,0 1,2,2024-09-07 08:43:50:639,240104,240104,0,0,9037260,0,3267 1,3,2024-09-07 08:43:51:327,1,193,80,0,262,2428,193,0 2,0,2024-09-07 08:43:51:567,47702,1.0,47980,0.9,95228,1.3,127005,2.25 2,1,2024-09-07 08:43:51:148,339757,339757,0,0,159407538109,1655217836,338210,1341,206,380,391745,0 2,2,2024-09-07 08:43:51:270,245609,245609,0,0,9814186,0,3594 2,3,2024-09-07 08:43:50:692,1,193,8,0,357,1909,193,0 3,0,2024-09-07 08:43:51:746,43728,0.4,43935,0.6,87500,0.4,116575,1.75 3,1,2024-09-07 08:43:51:619,339141,339141,0,0,158524575284,1652360694,335936,2781,424,380,391516,0 3,2,2024-09-07 08:43:51:142,246249,246226,23,0,9985987,0,5851 3,3,2024-09-07 08:43:51:752,1,193,1,0,103,1191,193,0 4,0,2024-09-07 08:43:51:970,47035,0.3,48429,0.5,98454,0.3,129541,1.75 4,1,2024-09-07 08:43:50:615,338972,338972,0,0,158215777916,1661935728,335609,2833,530,371,391846,0 4,2,2024-09-07 08:43:51:033,243155,243155,0,0,12137301,0,4528 4,3,2024-09-07 08:43:51:039,1,193,7,0,448,3197,193,0 5,0,2024-09-07 08:43:51:442,50464,0.4,50508,0.6,100706,0.4,133947,1.75 5,1,2024-09-07 08:43:50:765,338798,338798,0,0,158472019895,1668681714,334264,3477,1057,368,392005,0 5,2,2024-09-07 08:43:51:830,239112,239112,0,0,10298567,0,2259 5,3,2024-09-07 08:43:51:742,1,193,1,0,278,2914,193,0 6,0,2024-09-07 08:43:50:924,50231,0.8,49778,0.9,99310,0.9,132852,2.25 6,1,2024-09-07 08:43:51:199,339414,339414,0,0,158136977131,1654905466,335698,3057,659,379,391634,0 6,2,2024-09-07 08:43:51:116,245854,245854,0,0,10715871,0,4816 6,3,2024-09-07 08:43:51:276,1,193,1,0,340,2537,193,0 7,0,2024-09-07 08:43:51:534,42636,0.4,42642,0.7,85135,0.4,113375,2.00 7,1,2024-09-07 08:43:50:883,338909,338909,0,0,158403022968,1661110442,334947,3606,356,382,391664,0 7,2,2024-09-07 08:43:50:772,245053,245053,0,0,10142415,0,2981 7,3,2024-09-07 08:43:50:866,1,193,1,0,305,1904,193,0 8,0,2024-09-07 08:43:51:354,46747,0.3,47059,0.5,93504,0.2,124841,1.50 8,1,2024-09-07 08:43:51:041,337858,337858,0,0,159037180436,1680626165,331088,4959,1811,367,391956,0 8,2,2024-09-07 08:43:50:792,240986,240986,0,0,12304956,0,2986 8,3,2024-09-07 08:43:50:585,1,193,0,0,357,2928,193,0 9,0,2024-09-07 08:43:51:155,51705,0.4,50169,0.5,104762,0.3,137167,1.75 9,1,2024-09-07 08:43:50:581,338046,338046,0,0,158236275679,1673808495,332100,4410,1536,370,392001,0 9,2,2024-09-07 08:43:51:088,241751,241751,0,0,10868921,0,3360 9,3,2024-09-07 08:43:51:752,1,193,15,0,496,3047,193,0 10,0,2024-09-07 08:43:51:600,51953,0.4,51631,0.5,103614,0.3,137878,1.75 10,1,2024-09-07 08:43:50:583,338852,338852,0,0,158243576500,1662272884,333433,4668,751,381,391741,0 10,2,2024-09-07 08:43:50:762,245271,245271,0,0,11317109,0,2940 10,3,2024-09-07 08:43:50:879,1,193,12,0,177,1441,193,0 11,0,2024-09-07 08:43:51:042,44623,0.5,43407,0.8,90854,0.5,120920,2.00 11,1,2024-09-07 08:43:50:570,338053,338053,0,0,157958545023,1666256043,331153,5122,1778,384,391537,0 11,2,2024-09-07 08:43:51:128,245069,245069,0,0,10858212,0,3411 11,3,2024-09-07 08:43:51:301,1,193,1,0,720,2993,193,0 12,0,2024-09-07 08:43:50:987,46619,0.3,46349,0.5,93011,0.2,123877,1.50 12,1,2024-09-07 08:43:50:942,339269,339269,0,0,158213372888,1651097002,336237,2615,417,370,391837,0 12,2,2024-09-07 08:43:51:557,245136,245136,0,0,10747923,0,3469 12,3,2024-09-07 08:43:51:065,1,193,95,0,386,3725,193,0 13,0,2024-09-07 08:43:51:346,52169,0.4,52001,0.5,104028,0.3,138500,1.75 13,1,2024-09-07 08:43:51:541,338473,338473,0,0,158398005485,1660145527,336019,2043,411,382,391717,0 13,2,2024-09-07 08:43:50:609,244918,244918,0,0,10038051,0,3287 13,3,2024-09-07 08:43:51:764,1,193,2,0,467,3494,193,0 14,0,2024-09-07 08:43:50:565,52281,0.4,52815,0.6,104376,0.4,139234,1.75 14,1,2024-09-07 08:43:51:561,341155,341155,0,0,159407481071,1651090532,338843,2148,164,364,391571,0 14,2,2024-09-07 08:43:50:763,242051,242051,0,0,9883463,0,2896 14,3,2024-09-07 08:43:51:115,1,193,1,0,1168,3130,193,0 15,0,2024-09-07 08:43:51:553,46204,0.7,46388,0.9,92759,0.8,123418,2.25 15,1,2024-09-07 08:43:51:608,339380,339380,0,0,159412220943,1656692572,337446,1824,110,381,391619,0 15,2,2024-09-07 08:43:50:998,246202,246202,0,0,8469186,0,3043 15,3,2024-09-07 08:43:51:407,1,193,7,0,1126,4673,193,0 16,0,2024-09-07 08:43:50:988,44620,0.4,44858,0.7,89111,0.4,119310,2.00 16,1,2024-09-07 08:43:50:562,339712,339712,0,0,159002751454,1661667142,337026,2413,273,370,391756,0 16,2,2024-09-07 08:43:51:434,244920,244920,0,0,10958782,0,4719 16,3,2024-09-07 08:43:51:142,1,193,8,0,231,2487,193,0 17,0,2024-09-07 08:43:51:878,51295,0.4,50001,0.6,98077,0.4,132931,1.75 17,1,2024-09-07 08:43:50:574,338495,338495,0,0,158231713305,1660148169,335073,2747,675,368,391688,0 17,2,2024-09-07 08:43:51:681,246885,246885,0,0,9800386,0,2857 17,3,2024-09-07 08:43:50:593,1,193,147,0,268,3104,193,0 18,0,2024-09-07 08:43:50:942,49600,0.8,49961,0.9,99871,0.9,133261,2.50 18,1,2024-09-07 08:43:51:638,339726,339726,0,0,158488617287,1643217666,337608,1883,235,367,391564,0 18,2,2024-09-07 08:43:51:758,240802,240802,0,0,9423014,0,3541 18,3,2024-09-07 08:43:50:897,1,193,1,0,163,2065,193,0 19,0,2024-09-07 08:43:51:539,48210,0.9,48639,0.9,96087,1.1,127926,2.50 19,1,2024-09-07 08:43:50:574,339753,339753,0,0,159568320906,1659387093,335935,3102,716,367,391777,0 19,2,2024-09-07 08:43:51:751,247051,247051,0,0,8954520,0,3988 19,3,2024-09-07 08:43:51:129,1,193,19,0,524,1628,193,0 20,0,2024-09-07 08:43:51:454,42882,0.5,42856,0.7,85913,0.5,114536,2.00 20,1,2024-09-07 08:43:50:591,338776,338776,0,0,159144926201,1668382678,335137,3245,394,369,391822,0 20,2,2024-09-07 08:43:50:941,245760,245760,0,0,10511928,0,3721 20,3,2024-09-07 08:43:50:589,1,193,4,0,414,3859,193,0 21,0,2024-09-07 08:43:51:157,47890,0.4,48072,0.6,95778,0.3,127428,1.75 21,1,2024-09-07 08:43:51:559,337928,337928,0,0,157057875200,1657823541,333055,3823,1050,368,391962,0 21,2,2024-09-07 08:43:51:068,243723,243723,0,0,11529526,0,3747 21,3,2024-09-07 08:43:51:448,1,193,10,0,103,2249,193,0 22,0,2024-09-07 08:43:51:724,50887,0.7,50912,0.8,101450,0.8,134780,2.25 22,1,2024-09-07 08:43:51:251,338372,338372,0,0,158070057835,1666130942,332584,4269,1519,382,391667,0 22,2,2024-09-07 08:43:50:760,240614,240614,0,0,9794456,0,3134 22,3,2024-09-07 08:43:51:066,1,193,11,0,228,1978,193,0 23,0,2024-09-07 08:43:51:373,50175,0.8,50223,0.8,100713,0.9,134080,2.25 23,1,2024-09-07 08:43:51:029,339114,339114,0,0,158919049545,1672065528,332844,3846,2424,365,391549,0 23,2,2024-09-07 08:43:51:092,245402,245402,0,0,10148660,0,3010 23,3,2024-09-07 08:43:51:753,1,193,2,0,645,2525,193,0 24,0,2024-09-07 08:43:50:897,45206,0.5,45190,0.6,90354,0.5,119606,1.75 24,1,2024-09-07 08:43:50:584,339008,339008,0,0,158451915118,1661127458,335101,2879,1028,369,391640,0 24,2,2024-09-07 08:43:51:086,244628,244628,0,0,12119530,0,3400 24,3,2024-09-07 08:43:51:694,1,193,1,0,468,2612,193,0 25,0,2024-09-07 08:43:51:362,47790,0.4,46825,0.6,91794,0.3,125106,2.00 25,1,2024-09-07 08:43:50:568,339001,339001,0,0,159042828606,1667902734,335168,3288,545,371,391788,0 25,2,2024-09-07 08:43:51:612,243034,243034,0,0,12358039,0,3978 25,3,2024-09-07 08:43:51:002,1,193,1,0,255,2291,193,0 26,0,2024-09-07 08:43:51:728,51354,0.4,50332,0.6,105433,0.4,137039,1.75 26,1,2024-09-07 08:43:51:558,339582,339582,0,0,158429536205,1663792466,334156,4446,980,381,391748,0 26,2,2024-09-07 08:43:50:861,243761,243761,0,0,11501518,0,2809 26,3,2024-09-07 08:43:51:713,1,193,8,0,796,2525,193,0 27,0,2024-09-07 08:43:51:723,52325,0.5,52247,0.7,103561,0.5,138487,2.00 27,1,2024-09-07 08:43:51:676,340506,340506,0,0,159521390609,1657984637,338286,1891,329,381,391558,0 27,2,2024-09-07 08:43:50:867,242029,242029,0,0,11331422,0,3409 27,3,2024-09-07 08:43:51:028,1,193,1,0,564,2153,193,0 28,0,2024-09-07 08:43:51:390,45437,0.7,45547,0.8,91608,0.9,122130,2.25 28,1,2024-09-07 08:43:50:816,340090,340090,0,0,159340136279,1658195258,337901,1852,337,383,391646,0 28,2,2024-09-07 08:43:51:765,245939,245939,0,0,9355952,0,2915 28,3,2024-09-07 08:43:51:779,1,193,1,0,502,2247,193,0 29,0,2024-09-07 08:43:51:381,46949,0.3,45874,0.5,89647,0.2,122960,1.75 29,1,2024-09-07 08:43:51:572,340886,340886,0,0,158782464675,1643685980,338395,1947,544,369,391621,0 29,2,2024-09-07 08:43:50:865,244496,244496,0,0,8703688,0,4018 29,3,2024-09-07 08:43:50:985,1,193,1,0,115,1489,193,0 30,0,2024-09-07 08:43:51:468,50148,0.4,48856,0.6,102298,0.3,134329,2.00 30,1,2024-09-07 08:43:50:573,340118,340118,0,0,159452356085,1653624113,338115,1724,279,382,391672,0 30,2,2024-09-07 08:43:51:286,245402,245402,0,0,8840932,0,3161 30,3,2024-09-07 08:43:50:582,1,193,9,0,195,1345,193,0 31,0,2024-09-07 08:43:51:762,50855,0.5,51344,0.6,103168,0.4,137290,2.00 31,1,2024-09-07 08:43:50:570,341680,341680,0,0,159334909656,1632430717,340826,741,113,356,391712,0 31,2,2024-09-07 08:43:51:277,240418,240418,0,0,10272335,0,3525 31,3,2024-09-07 08:43:51:706,1,193,8,0,220,1602,193,0 32,0,2024-09-07 08:43:51:437,47624,0.4,48144,0.6,96337,0.4,127698,1.75 32,1,2024-09-07 08:43:50:824,340103,340103,0,0,159375777112,1653573020,338313,1514,276,381,391595,0 32,2,2024-09-07 08:43:50:949,246327,246327,0,0,8665166,0,3155 32,3,2024-09-07 08:43:51:020,1,193,9,0,227,1589,193,0 33,0,2024-09-07 08:43:51:503,44314,0.3,43669,0.5,88097,0.2,117401,1.50 33,1,2024-09-07 08:43:50:584,340801,340801,0,0,159682840834,1650375433,338537,2092,172,369,391730,0 33,2,2024-09-07 08:43:50:763,246203,246170,33,0,10813655,0,7012 33,3,2024-09-07 08:43:50:899,1,193,2,0,329,2109,193,0 34,0,2024-09-07 08:43:50:932,48643,0.2,50187,0.4,96363,0.2,129860,1.50 34,1,2024-09-07 08:43:51:048,341081,341081,0,0,160402204325,1650122413,340083,992,6,367,391562,0 34,2,2024-09-07 08:43:50:766,243926,243926,0,0,9810264,0,3577 34,3,2024-09-07 08:43:51:688,1,193,8,0,148,1311,193,0 35,0,2024-09-07 08:43:50:859,50007,0.4,50486,0.5,101001,0.3,134222,1.75 35,1,2024-09-07 08:43:51:076,339129,339129,0,0,158833055404,1648421286,336297,2062,770,384,391589,0 35,2,2024-09-07 08:43:51:583,241131,241131,0,0,8684356,0,2653 35,3,2024-09-07 08:43:50:911,1,193,215,0,418,2264,193,0 36,0,2024-09-07 08:43:51:541,49997,0.8,49904,0.9,99971,0.9,132422,2.50 36,1,2024-09-07 08:43:50:585,339476,339476,0,0,158388083987,1658448636,334527,3639,1310,366,391759,0 36,2,2024-09-07 08:43:51:752,245167,245167,0,0,10678263,0,3303 36,3,2024-09-07 08:43:50:863,1,193,1,0,378,3062,193,0 37,0,2024-09-07 08:43:51:374,42707,0.5,42632,0.7,85218,0.4,114205,2.00 37,1,2024-09-07 08:43:50:574,338678,338671,0,7,158151454804,1660736595,333654,2991,2026,365,391560,0 37,2,2024-09-07 08:43:51:144,245118,245103,15,0,11067012,0,5815 37,3,2024-09-07 08:43:51:766,1,193,1,0,724,2971,193,0 38,0,2024-09-07 08:43:51:451,46709,0.4,45356,0.6,94883,0.3,124784,2.00 38,1,2024-09-07 08:43:51:605,340035,340035,0,0,158968774716,1661915014,335568,3822,645,368,391821,0 38,2,2024-09-07 08:43:50:762,243492,243445,47,0,12246762,0,6710 38,3,2024-09-07 08:43:51:027,1,193,17,0,689,3127,193,0 39,0,2024-09-07 08:43:51:762,52569,0.7,51600,0.7,100190,0.8,136938,2.00 39,1,2024-09-07 08:43:50:752,339723,339723,0,0,159031916741,1658015620,335719,3202,802,365,391524,0 39,2,2024-09-07 08:43:51:420,241887,241887,0,0,9695959,0,2689 39,3,2024-09-07 08:43:50:742,1,193,1,0,293,2666,193,0 40,0,2024-09-07 08:43:51:495,51180,0.8,51710,1.0,103488,0.9,137370,3.00 40,1,2024-09-07 08:43:50:583,338814,338814,0,0,157874198090,1657636510,333082,4508,1224,368,391591,0 40,2,2024-09-07 08:43:51:316,243986,243985,1,0,12415859,0,5137 40,3,2024-09-07 08:43:51:147,1,193,139,0,181,2110,193,0 41,0,2024-09-07 08:43:51:039,44635,1.6,45567,1.5,87193,2.9,119462,3.75 41,1,2024-09-07 08:43:50:782,338904,338904,0,0,158770614591,1662146319,334316,4046,542,370,391742,0 41,2,2024-09-07 08:43:50:779,243808,243808,0,0,12176501,0,3356 41,3,2024-09-07 08:43:51:680,1,193,0,0,366,2354,193,0 42,0,2024-09-07 08:43:51:479,45781,0.7,46120,0.9,91897,0.6,121941,2.50 42,1,2024-09-07 08:43:51:445,337602,337602,0,0,158358601900,1666088330,332055,4474,1073,380,391675,0 42,2,2024-09-07 08:43:51:133,243507,243507,0,0,11412962,0,3568 42,3,2024-09-07 08:43:51:017,1,193,13,0,446,1628,193,0 43,0,2024-09-07 08:43:50:922,51585,0.8,50229,0.9,105008,0.8,138097,2.25 43,1,2024-09-07 08:43:50:592,339438,339438,0,0,159319553719,1661120708,335746,2897,795,366,391696,0 43,2,2024-09-07 08:43:51:735,244737,244737,0,0,10792494,0,3812 43,3,2024-09-07 08:43:51:749,1,193,1,0,467,2659,193,0 44,0,2024-09-07 08:43:50:874,52080,0.5,52484,0.7,104551,0.4,139736,1.75 44,1,2024-09-07 08:43:50:563,340497,340497,0,0,158380419310,1635731643,338347,1725,425,356,391809,0 44,2,2024-09-07 08:43:51:269,241438,241438,0,0,8466526,0,1877 44,3,2024-09-07 08:43:51:094,1,193,1,0,817,2271,193,0 45,0,2024-09-07 08:43:51:782,45755,1.0,44826,1.0,94154,1.0,124057,2.25 45,1,2024-09-07 08:43:51:019,340280,340280,0,0,158819781833,1644692871,339236,1034,10,382,391917,0 45,2,2024-09-07 08:43:51:271,246151,246151,0,0,9306405,0,3596 45,3,2024-09-07 08:43:50:940,1,193,17,0,226,1847,193,0 46,0,2024-09-07 08:43:50:953,44550,0.3,44385,0.5,89062,0.2,118495,1.75 46,1,2024-09-07 08:43:50:584,340812,340812,0,0,158862907330,1640310176,339002,1586,224,366,391524,0 46,2,2024-09-07 08:43:50:595,245640,245640,0,0,9316763,0,2920 46,3,2024-09-07 08:43:51:132,1,193,12,0,908,3232,193,0 47,0,2024-09-07 08:43:51:104,49985,0.3,50082,0.5,100410,0.2,132754,1.75 47,1,2024-09-07 08:43:50:570,341281,341281,0,0,158494337147,1634654294,339865,1399,17,366,391605,0 47,2,2024-09-07 08:43:50:934,245950,245950,0,0,9345529,0,2558 47,3,2024-09-07 08:43:51:115,1,193,6,0,529,2019,193,0 48,0,2024-09-07 08:43:51:496,50749,0.3,50393,0.4,100565,0.2,134368,1.50 48,1,2024-09-07 08:43:51:040,340700,340700,0,0,158916820523,1649250834,338633,1886,181,384,391710,0 48,2,2024-09-07 08:43:50:699,240752,240752,0,0,8258859,0,3031 48,3,2024-09-07 08:43:50:762,1,193,8,0,339,1767,193,0 49,0,2024-09-07 08:43:51:718,49877,0.5,49001,0.6,95169,0.4,129901,1.75 49,1,2024-09-07 08:43:51:029,339175,339175,0,0,158876536685,1655025685,336385,1950,840,382,391610,0 49,2,2024-09-07 08:43:51:799,247027,247027,0,0,9039988,0,3900 49,3,2024-09-07 08:43:51:418,1,193,4,0,408,2527,193,0 50,0,2024-09-07 08:43:51:517,43098,0.3,42605,0.5,85591,0.2,114572,1.75 50,1,2024-09-07 08:43:51:023,341403,341403,0,0,159383746261,1650857528,338802,2291,310,368,391530,0 50,2,2024-09-07 08:43:51:067,246383,246383,0,0,9070568,0,2263 50,3,2024-09-07 08:43:51:292,1,193,8,0,335,1572,193,0 51,0,2024-09-07 08:43:51:689,48978,0.2,48054,0.4,93583,0.2,128134,1.50 51,1,2024-09-07 08:43:51:687,340595,340595,0,0,160754595114,1659191250,338619,1190,786,365,391637,0 51,2,2024-09-07 08:43:51:327,244005,244005,0,0,8376312,0,3337 51,3,2024-09-07 08:43:51:036,1,193,1,0,162,1003,193,0 52,0,2024-09-07 08:43:51:458,51391,0.5,51244,0.6,102324,0.5,135458,2.00 52,1,2024-09-07 08:43:50:576,338841,338841,0,0,157579513325,1658782739,332584,5097,1160,368,391722,0 52,2,2024-09-07 08:43:51:755,239576,239538,38,0,11261438,0,6742 52,3,2024-09-07 08:43:50:680,1,193,15,0,1782,3777,193,0 53,0,2024-09-07 08:43:51:731,50070,0.9,48667,0.9,101873,1.1,133238,2.50 53,1,2024-09-07 08:43:50:773,338081,338081,0,0,158360028156,1667818286,331907,4139,2035,367,391702,0 53,2,2024-09-07 08:43:51:302,245579,245579,0,0,9772225,0,2262 53,3,2024-09-07 08:43:50:697,1,193,0,0,271,1741,193,0 54,0,2024-09-07 08:43:51:619,43616,1.3,44083,1.0,87277,0.6,116819,3.25 54,1,2024-09-07 08:43:50:584,339321,339321,0,0,159053484386,1658391186,335208,3542,571,367,391659,0 54,2,2024-09-07 08:43:50:871,245286,245280,6,0,11221074,0,5382 54,3,2024-09-07 08:43:50:763,1,193,8,0,676,3383,193,0 55,0,2024-09-07 08:43:51:760,45148,0.5,46706,0.7,94240,0.4,122874,2.25 55,1,2024-09-07 08:43:50:764,338656,338656,0,0,157915373732,1650703605,333193,4676,787,365,391731,0 55,2,2024-09-07 08:43:50:748,242731,242731,0,0,10998132,0,3275 55,3,2024-09-07 08:43:50:681,1,193,2,0,304,2445,193,0 56,0,2024-09-07 08:43:51:557,52754,1.3,49757,1.2,102363,1.8,136916,2.50 56,1,2024-09-07 08:43:50:592,338260,338260,0,0,158808518839,1679045314,332678,4639,943,381,391678,0 56,2,2024-09-07 08:43:51:317,243161,243161,0,0,11912732,0,3567 56,3,2024-09-07 08:43:51:065,1,193,1,0,405,2585,193,0 57,0,2024-09-07 08:43:50:935,51085,2.0,51312,1.4,102496,2.8,137325,3.50 57,1,2024-09-07 08:43:50:991,339076,339076,0,0,157531971053,1650637713,335486,3171,419,367,391960,0 57,2,2024-09-07 08:43:51:326,243259,243259,0,0,12466358,0,3317 57,3,2024-09-07 08:43:51:738,1,193,1,0,359,2930,193,0 58,0,2024-09-07 08:43:50:591,44393,1.0,43272,1.1,90544,1.1,118587,2.75 58,1,2024-09-07 08:43:50:583,339743,339740,0,3,159378299931,1667244954,335009,4086,645,367,391517,3 58,2,2024-09-07 08:43:51:088,245384,245384,0,0,11087583,0,2549 58,3,2024-09-07 08:43:51:068,1,193,1,0,1043,2466,193,0 59,0,2024-09-07 08:43:51:739,46041,0.7,45562,0.9,91188,0.7,121762,2.75 59,1,2024-09-07 08:43:50:815,339167,339167,0,0,159104423753,1665358962,334889,3295,983,369,391525,0 59,2,2024-09-07 08:43:50:583,245702,245702,0,0,10382654,0,2604 59,3,2024-09-07 08:43:51:736,1,193,2,0,1015,3138,193,0 60,0,2024-09-07 08:43:51:704,50559,0.3,50424,0.5,101230,0.3,134724,1.75 60,1,2024-09-07 08:43:50:789,340868,340868,0,0,159206901900,1648335215,339372,1136,360,370,391761,0 60,2,2024-09-07 08:43:51:146,244853,244853,0,0,10606343,0,3811 60,3,2024-09-07 08:43:51:258,1,193,1,0,124,1746,193,0 61,0,2024-09-07 08:43:51:510,51309,0.9,51519,0.9,102805,1.2,137466,2.00 61,1,2024-09-07 08:43:50:779,339390,339390,0,0,158924123062,1663756481,335739,3098,553,382,391880,0 61,2,2024-09-07 08:43:51:121,241633,241633,0,0,9337060,0,2079 61,3,2024-09-07 08:43:51:687,1,193,0,0,265,2412,193,0 62,0,2024-09-07 08:43:51:707,48141,1.1,49176,1.0,93694,1.5,127508,2.25 62,1,2024-09-07 08:43:51:111,341346,341340,0,6,159725341101,1647600598,339350,1881,109,365,391715,6 62,2,2024-09-07 08:43:51:644,244876,244875,1,0,10943082,0,5555 62,3,2024-09-07 08:43:51:143,1,193,12,0,287,1318,193,0 63,0,2024-09-07 08:43:51:491,43991,0.5,43896,0.6,87944,0.4,117200,1.75 63,1,2024-09-07 08:43:50:805,340849,340843,0,6,159559165644,1651442941,339573,1243,27,381,391677,6 63,2,2024-09-07 08:43:50:769,245890,245890,0,0,9022514,0,2674 63,3,2024-09-07 08:43:51:731,1,193,1,0,667,2491,193,0 64,0,2024-09-07 08:43:51:534,48337,0.4,48462,0.6,97111,0.3,129604,1.75 64,1,2024-09-07 08:43:50:755,339774,339774,0,0,158900599510,1657259111,336299,2420,1055,370,391783,0 64,2,2024-09-07 08:43:51:149,246411,246392,19,0,9614447,0,6121 64,3,2024-09-07 08:43:51:146,1,193,34,0,265,2076,193,0 65,0,2024-09-07 08:43:51:680,49752,0.7,50222,0.8,100154,0.8,133275,2.25 65,1,2024-09-07 08:43:50:867,338974,338974,0,0,158308679696,1655144628,336268,2482,224,382,391770,0 65,2,2024-09-07 08:43:51:697,240597,240597,0,0,9999277,0,3367 65,3,2024-09-07 08:43:51:686,1,193,0,0,163,1793,193,0 66,0,2024-09-07 08:43:51:764,49410,0.6,49540,0.8,99395,0.6,131720,2.25 66,1,2024-09-07 08:43:51:294,340548,340548,0,0,159274323169,1653280466,338659,1716,173,380,391588,0 66,2,2024-09-07 08:43:51:132,248038,248038,0,0,9675885,0,4956 66,3,2024-09-07 08:43:51:079,1,193,7,0,291,1925,193,0 67,0,2024-09-07 08:43:51:441,43139,0.7,42893,0.8,86165,0.8,114242,2.25 67,1,2024-09-07 08:43:50:766,339437,339436,0,1,158938451165,1659082203,335952,2737,747,380,391787,1 67,2,2024-09-07 08:43:50:586,246906,246906,0,0,9117599,0,2889 67,3,2024-09-07 08:43:51:751,1,193,1,0,338,1681,193,0 68,0,2024-09-07 08:43:50:610,47005,0.5,46935,0.7,93597,0.4,125210,2.00 68,1,2024-09-07 08:43:50:584,338568,338568,0,0,158536137270,1664843999,334958,2474,1136,381,391953,0 68,2,2024-09-07 08:43:51:052,243142,243077,65,0,12677901,0,6698 68,3,2024-09-07 08:43:50:747,1,193,7,0,417,2416,193,0 69,0,2024-09-07 08:43:51:740,51283,0.9,51499,0.9,102415,1.1,136411,2.25 69,1,2024-09-07 08:43:51:036,337413,337413,0,0,158368840301,1671823760,333144,3054,1215,384,391994,0 69,2,2024-09-07 08:43:51:733,242387,242387,0,0,11503845,0,3701 69,3,2024-09-07 08:43:50:761,1,193,1,0,698,2976,193,0 70,0,2024-09-07 08:43:51:544,51333,1.4,51329,1.2,103032,1.0,137026,2.50 70,1,2024-09-07 08:43:50:809,339671,339671,0,0,160021087146,1663042474,336676,2491,504,366,391725,0 70,2,2024-09-07 08:43:51:327,244769,244769,0,0,10618680,0,4044 70,3,2024-09-07 08:43:51:208,1,193,8,0,854,2477,193,0 71,0,2024-09-07 08:43:51:358,44528,1.7,44495,1.5,88862,2.3,119648,3.25 71,1,2024-09-07 08:43:51:617,339421,339421,0,0,158826978364,1661259020,335046,3823,552,368,391738,0 71,2,2024-09-07 08:43:51:079,245888,245888,0,0,11035033,0,2579 71,3,2024-09-07 08:43:51:750,1,193,14,0,644,3056,193,0 72,0,2024-09-07 08:43:51:030,47701,0.6,46635,0.8,91060,0.6,124280,2.25 72,1,2024-09-07 08:43:51:034,339331,339331,0,0,158799975082,1660470421,335161,3421,749,369,391819,0 72,2,2024-09-07 08:43:51:754,243072,243072,0,0,12279828,0,2570 72,3,2024-09-07 08:43:51:753,1,193,2,0,364,3529,193,0 73,0,2024-09-07 08:43:51:113,50626,0.4,51862,0.6,106251,0.4,137939,2.25 73,1,2024-09-07 08:43:50:770,339365,339365,0,0,159172545963,1655314087,336760,2391,214,367,391750,0 73,2,2024-09-07 08:43:51:739,244855,244855,0,0,11839537,0,3482 73,3,2024-09-07 08:43:51:201,1,193,30,0,274,2992,193,0 74,0,2024-09-07 08:43:51:345,52775,0.5,53712,0.7,102386,0.5,139244,2.25 74,1,2024-09-07 08:43:50:637,338934,338934,0,0,158859130165,1659602414,335460,2727,747,381,391681,0 74,2,2024-09-07 08:43:51:009,241611,241611,0,0,11626728,0,4253 74,3,2024-09-07 08:43:51:449,1,193,1,0,522,2983,193,0 75,0,2024-09-07 08:43:51:778,46581,1.0,46308,1.1,92887,1.0,124431,2.50 75,1,2024-09-07 08:43:51:585,338490,338490,0,0,158238199097,1658248252,334215,3614,661,381,391579,0 75,2,2024-09-07 08:43:51:349,245047,245047,0,0,11410306,0,4766 75,3,2024-09-07 08:43:51:068,1,193,1,0,535,2285,193,0 76,0,2024-09-07 08:43:50:588,44400,0.4,44058,0.6,87988,0.3,118375,2.25 76,1,2024-09-07 08:43:50:814,339652,339652,0,0,158568829121,1652359151,337527,1612,513,382,391692,0 76,2,2024-09-07 08:43:51:065,246071,246071,0,0,9807097,0,3784 76,3,2024-09-07 08:43:51:142,1,193,1,0,175,2187,193,0 77,0,2024-09-07 08:43:51:697,49795,0.4,50139,0.6,99846,0.3,132463,1.75 77,1,2024-09-07 08:43:50:839,340731,340731,0,0,158930776891,1655352137,338992,1651,88,383,391808,0 77,2,2024-09-07 08:43:51:281,244199,244199,0,0,10048776,0,3890 77,3,2024-09-07 08:43:51:096,1,193,1,0,305,2214,193,0 78,0,2024-09-07 08:43:51:723,50393,0.4,50124,0.6,101006,0.4,133845,2.00 78,1,2024-09-07 08:43:50:611,339960,339960,0,0,157676755453,1638347955,337386,2259,315,367,391646,0 78,2,2024-09-07 08:43:51:433,241287,241287,0,0,8840503,0,2114 78,3,2024-09-07 08:43:51:138,1,193,7,0,181,1897,193,0 79,0,2024-09-07 08:43:51:347,47141,0.5,48236,0.7,98723,0.5,128204,2.50 79,1,2024-09-07 08:43:50:706,341003,341003,0,0,159433704216,1649095614,338748,2081,174,369,391682,0 79,2,2024-09-07 08:43:51:068,246613,246613,0,0,9101695,0,3212 79,3,2024-09-07 08:43:50:754,1,193,18,0,418,3036,193,0 80,0,2024-09-07 08:43:51:122,42753,0.5,44018,0.7,84294,0.5,114594,2.00 80,1,2024-09-07 08:43:51:620,339219,339219,0,0,158471320589,1648755198,336676,2378,165,368,391791,0 80,2,2024-09-07 08:43:51:099,246823,246823,0,0,9832312,0,4433 80,3,2024-09-07 08:43:50:575,1,193,1,0,190,2862,193,0 81,0,2024-09-07 08:43:51:557,47901,0.4,49292,0.6,94352,0.4,127696,1.75 81,1,2024-09-07 08:43:51:660,339591,339591,0,0,158368309150,1654217265,336995,2321,275,382,391879,0 81,2,2024-09-07 08:43:51:133,243915,243915,0,0,10074979,0,3993 81,3,2024-09-07 08:43:51:120,1,193,15,0,193,1903,193,0 82,0,2024-09-07 08:43:51:537,50785,0.4,51100,0.6,102359,0.4,135609,1.75 82,1,2024-09-07 08:43:50:585,339863,339859,0,4,158352200505,1649691197,337343,1938,578,381,391558,4 82,2,2024-09-07 08:43:51:698,241308,241308,0,0,9352700,0,3986 82,3,2024-09-07 08:43:51:753,1,193,8,0,363,2440,193,0 83,0,2024-09-07 08:43:51:521,50533,0.6,50549,0.8,100335,0.7,133646,2.00 83,1,2024-09-07 08:43:50:657,339481,339481,0,0,158026252683,1649132386,336847,2410,224,382,391690,0 83,2,2024-09-07 08:43:50:764,245047,245047,0,0,9188884,0,3119 83,3,2024-09-07 08:43:50:756,1,193,1,0,241,1951,193,0 84,0,2024-09-07 08:43:51:772,44106,1.0,44076,1.1,88489,0.8,117991,2.50 84,1,2024-09-07 08:43:51:058,338565,338565,0,0,157928897818,1654873620,334581,3416,568,368,391967,0 84,2,2024-09-07 08:43:50:573,245751,245751,0,0,11153448,0,3801 84,3,2024-09-07 08:43:51:148,1,193,18,0,353,2705,193,0 85,0,2024-09-07 08:43:51:042,44984,0.6,45007,0.8,95475,0.6,123598,2.25 85,1,2024-09-07 08:43:50:561,338240,338240,0,0,157351518955,1667024248,332197,4948,1095,382,392006,0 85,2,2024-09-07 08:43:50:882,244459,244459,0,0,11702149,0,3656 85,3,2024-09-07 08:43:50:686,1,193,3,0,789,2801,193,0 86,0,2024-09-07 08:43:50:889,51646,0.7,52982,0.8,101702,0.7,136916,2.00 86,1,2024-09-07 08:43:50:842,339498,339498,0,0,158991477758,1664686915,335462,3491,545,366,391961,0 86,2,2024-09-07 08:43:50:864,242183,242182,1,0,12111891,0,5004 86,3,2024-09-07 08:43:50:586,1,193,8,0,286,2698,193,0 87,0,2024-09-07 08:43:51:311,51835,1.2,51531,1.1,103635,1.8,138925,2.50 87,1,2024-09-07 08:43:50:559,339187,339187,0,0,158861928932,1665174440,335294,3433,460,366,391788,0 87,2,2024-09-07 08:43:51:074,242315,242315,0,0,10390460,0,3515 87,3,2024-09-07 08:43:51:800,1,193,2,0,473,3547,193,0 88,0,2024-09-07 08:43:51:480,45635,0.6,45832,0.7,91468,0.7,121970,1.75 88,1,2024-09-07 08:43:50:573,337926,337926,0,0,158186408454,1663746432,332491,3975,1460,365,392084,0 88,2,2024-09-07 08:43:50:691,244812,244812,0,0,12361043,0,3583 88,3,2024-09-07 08:43:51:272,1,193,15,0,435,2550,193,0 89,0,2024-09-07 08:43:51:783,47214,0.4,45685,0.6,90524,0.4,123114,1.75 89,1,2024-09-07 08:43:50:557,337263,337263,0,0,158447444677,1674765439,331489,4830,944,382,391866,0 89,2,2024-09-07 08:43:51:134,244340,244340,0,0,12053411,0,2910 89,3,2024-09-07 08:43:51:791,1,193,13,0,325,3723,193,0 90,0,2024-09-07 08:43:51:640,49058,0.4,50111,0.5,102963,0.3,134326,1.75 90,1,2024-09-07 08:43:50:601,339303,339303,0,0,158642794436,1663542260,336008,3036,259,381,391825,0 90,2,2024-09-07 08:43:51:421,243297,243297,0,0,12982846,0,3060 90,3,2024-09-07 08:43:50:945,1,193,8,0,200,2161,193,0 91,0,2024-09-07 08:43:50:934,51804,0.4,50014,0.6,104412,0.4,137748,1.75 91,1,2024-09-07 08:43:50:561,338696,338696,0,0,158848755500,1668734751,334667,3528,501,381,392047,0 91,2,2024-09-07 08:43:51:333,240047,240047,0,0,11342193,0,2445 91,3,2024-09-07 08:43:50:597,1,193,1,0,216,2032,193,0 92,0,2024-09-07 08:43:51:463,48264,0.7,49509,0.8,94570,0.8,127851,1.75 92,1,2024-09-07 08:43:50:595,339271,339271,0,0,158139715689,1649619712,337060,1807,404,382,392136,0 92,2,2024-09-07 08:43:51:351,247385,247385,0,0,9618347,0,2801 92,3,2024-09-07 08:43:51:025,1,193,5,0,167,1602,193,0 93,0,2024-09-07 08:43:50:985,44232,0.4,45259,0.6,86528,0.3,117593,1.75 93,1,2024-09-07 08:43:50:810,339747,339747,0,0,159235168311,1660670476,336576,2617,554,366,391692,0 93,2,2024-09-07 08:43:50:934,246478,246478,0,0,9874960,0,2509 93,3,2024-09-07 08:43:51:410,1,193,35,0,143,1975,193,0 94,0,2024-09-07 08:43:51:606,48515,0.3,49219,0.4,97763,0.2,129547,1.50 94,1,2024-09-07 08:43:50:570,339065,339065,0,0,159275011328,1663620867,336433,2500,132,381,391850,0 94,2,2024-09-07 08:43:50:763,243853,243853,0,0,9386513,0,2443 94,3,2024-09-07 08:43:51:693,1,193,12,0,264,2685,193,0 95,0,2024-09-07 08:43:51:345,50672,0.3,50295,0.5,101574,0.3,134086,1.75 95,1,2024-09-07 08:43:50:859,339783,339783,0,0,159542575319,1657246936,337347,2250,186,367,391662,0 95,2,2024-09-07 08:43:51:028,240383,240383,0,0,9447597,0,3308 95,3,2024-09-07 08:43:51:712,1,193,3,0,718,3332,193,0 96,0,2024-09-07 08:43:51:107,49926,0.5,50185,0.6,100248,0.5,132309,1.75 96,1,2024-09-07 08:43:51:599,339492,339492,0,0,158771345705,1659030774,336757,2088,647,385,391894,0 96,2,2024-09-07 08:43:51:268,245925,245925,0,0,10134100,0,4042 96,3,2024-09-07 08:43:51:145,1,193,51,0,188,2089,193,0 97,0,2024-09-07 08:43:51:326,43327,0.4,42998,0.5,86278,0.3,114585,1.75 97,1,2024-09-07 08:43:50:784,340552,340552,0,0,158780980287,1649257451,337732,2267,553,367,392140,0 97,2,2024-09-07 08:43:50:607,245988,245988,0,0,9508393,0,3036 97,3,2024-09-07 08:43:50:584,1,193,144,0,165,2311,193,0 98,0,2024-09-07 08:43:51:697,47058,0.2,46886,0.4,94069,0.2,125557,1.50 98,1,2024-09-07 08:43:50:576,339603,339603,0,0,158262002452,1648139771,337747,1762,94,382,391997,0 98,2,2024-09-07 08:43:50:773,245124,245124,0,0,9667025,0,3080 98,3,2024-09-07 08:43:50:712,1,193,8,0,840,3448,193,0 99,0,2024-09-07 08:43:51:533,51642,0.3,51626,0.4,102962,0.3,137439,1.50 99,1,2024-09-07 08:43:51:724,340290,340290,0,0,157988572325,1648822481,336980,2596,714,381,391744,0 99,2,2024-09-07 08:43:51:418,243882,243882,0,0,9462691,0,2615 99,3,2024-09-07 08:43:50:584,1,193,1,0,129,1372,193,0 100,0,2024-09-07 08:43:51:477,51633,0.9,51665,1.1,103100,1.5,137706,2.50 100,1,2024-09-07 08:43:50:561,337538,337538,0,0,157776869401,1671525865,332036,4569,933,381,391989,0 100,2,2024-09-07 08:43:51:827,243130,243119,11,0,11806853,0,5417 100,3,2024-09-07 08:43:51:732,1,193,2,0,559,3859,193,0 101,0,2024-09-07 08:43:51:768,45938,2.3,44818,1.4,87616,2.3,120998,2.75 101,1,2024-09-07 08:43:50:554,337906,337906,0,0,157827994478,1668255763,331875,4509,1522,368,391769,0 101,2,2024-09-07 08:43:51:765,244920,244920,0,0,12535121,0,4644 101,3,2024-09-07 08:43:50:944,1,193,2,0,448,2490,193,0 102,0,2024-09-07 08:43:50:958,45112,0.8,46721,0.9,93974,0.7,123637,2.25 102,1,2024-09-07 08:43:51:149,338599,338599,0,0,158288016545,1666245981,333878,4004,717,369,391883,0 102,2,2024-09-07 08:43:51:739,244207,244153,54,0,11936442,0,6768 102,3,2024-09-07 08:43:51:613,1,193,25,0,410,2157,193,0 103,0,2024-09-07 08:43:51:588,53453,0.5,53451,0.7,100829,0.4,138927,2.00 103,1,2024-09-07 08:43:51:626,337476,337476,0,0,157795707122,1666577908,332047,4104,1325,381,391829,0 103,2,2024-09-07 08:43:50:584,243517,243517,0,0,10645373,0,2104 103,3,2024-09-07 08:43:50:760,1,193,2,0,916,3143,193,0 104,0,2024-09-07 08:43:51:188,51658,1.0,52067,1.1,102896,1.1,139237,2.50 104,1,2024-09-07 08:43:51:601,339463,339463,0,0,158562649620,1670394760,334260,4235,968,365,391948,0 104,2,2024-09-07 08:43:51:667,241110,241110,0,0,11474339,0,3941 104,3,2024-09-07 08:43:51:418,1,193,73,1,1245,5639,193,0 105,0,2024-09-07 08:43:51:302,45987,1.4,44610,1.3,93356,2.2,123276,3.50 105,1,2024-09-07 08:43:50:557,339415,339415,0,0,158444692861,1663103323,334728,3885,802,367,391797,0 105,2,2024-09-07 08:43:51:328,244725,244725,0,0,11135776,0,3509 105,3,2024-09-07 08:43:51:315,1,193,136,0,399,3461,193,0 106,0,2024-09-07 08:43:50:971,43047,0.6,44113,0.8,90162,0.6,118298,2.25 106,1,2024-09-07 08:43:51:766,339252,339252,0,0,158006922103,1660063738,334276,4502,474,369,391767,0 106,2,2024-09-07 08:43:50:797,243895,243895,0,0,11494567,0,2795 106,3,2024-09-07 08:43:50:682,1,193,10,0,470,3070,193,0 107,0,2024-09-07 08:43:51:126,49662,0.6,49711,0.7,99082,0.5,132173,2.00 107,1,2024-09-07 08:43:50:585,338217,338217,0,0,157907335864,1661547295,334433,3435,349,381,392234,0 107,2,2024-09-07 08:43:51:294,244029,244028,1,0,11513365,0,5024 107,3,2024-09-07 08:43:51:765,1,193,2,0,353,2738,193,0 108,0,2024-09-07 08:43:51:866,50531,0.4,50674,0.6,100511,0.4,134314,1.75 108,1,2024-09-07 08:43:51:297,339228,339228,0,0,158712863111,1654794416,336510,2404,314,368,391857,0 108,2,2024-09-07 08:43:51:763,239277,239277,0,0,10154976,0,2647 108,3,2024-09-07 08:43:51:330,1,193,2,0,667,3534,193,0 109,0,2024-09-07 08:43:51:769,48955,0.4,48484,0.6,97229,0.4,130051,1.75 109,1,2024-09-07 08:43:50:584,338684,338684,0,0,158178255457,1658908724,335797,2390,497,383,392132,0 109,2,2024-09-07 08:43:50:925,245651,245651,0,0,10378327,0,3617 109,3,2024-09-07 08:43:51:150,1,193,35,0,249,2538,193,0 110,0,2024-09-07 08:43:51:764,43175,0.3,42019,0.6,87486,0.3,114750,1.75 110,1,2024-09-07 08:43:51:650,340033,340033,0,0,158705523604,1648625577,337403,1863,767,370,391667,0 110,2,2024-09-07 08:43:51:303,246442,246442,0,0,9727800,0,2915 110,3,2024-09-07 08:43:50:691,1,193,205,0,406,2769,193,0 111,0,2024-09-07 08:43:51:414,48040,0.2,47625,0.4,95053,0.2,127715,1.50 111,1,2024-09-07 08:43:51:006,340539,340539,0,0,159165038266,1650194422,338749,1457,333,382,391690,0 111,2,2024-09-07 08:43:51:116,243845,243845,0,0,10063721,0,2763 111,3,2024-09-07 08:43:50:914,1,193,0,0,379,2816,193,0 112,0,2024-09-07 08:43:50:925,51188,0.3,51100,0.4,102338,0.2,135731,1.50 112,1,2024-09-07 08:43:50:843,340527,340527,0,0,159031425485,1651307654,338155,1946,426,380,391580,0 112,2,2024-09-07 08:43:51:143,241124,241123,1,0,9866314,0,5036 112,3,2024-09-07 08:43:50:594,1,193,130,0,282,2171,193,0 113,0,2024-09-07 08:43:50:882,50288,0.4,50267,0.5,100989,0.3,134784,1.75 113,1,2024-09-07 08:43:51:691,340747,340747,0,0,159983504661,1653557253,338325,1933,489,366,391661,0 113,2,2024-09-07 08:43:51:303,246569,246569,0,0,8973090,0,3813 113,3,2024-09-07 08:43:50:685,1,193,1,0,340,3210,193,0 114,0,2024-09-07 08:43:50:905,45040,0.5,45559,0.6,89791,0.4,120020,1.75 114,1,2024-09-07 08:43:50:716,339188,339188,0,0,158998299927,1659501840,335055,2670,1463,381,391534,0 114,2,2024-09-07 08:43:50:873,246198,246198,0,0,9413191,0,3925 114,3,2024-09-07 08:43:51:277,1,193,8,0,395,2203,193,0 115,0,2024-09-07 08:43:50:555,46512,0.2,46962,0.4,93682,0.1,124666,1.50 115,1,2024-09-07 08:43:50:570,339380,339380,0,0,158793622507,1653177994,335702,2857,821,382,391602,0 115,2,2024-09-07 08:43:51:128,245288,245288,0,0,8696296,0,2152 115,3,2024-09-07 08:43:51:018,1,193,1,0,159,1176,193,0 116,0,2024-09-07 08:43:51:816,51668,0.7,51385,0.9,103011,0.8,137568,2.00 116,1,2024-09-07 08:43:50:804,336962,336962,0,0,158423016902,1682229272,331207,3705,2050,380,391677,0 116,2,2024-09-07 08:43:51:751,242598,242598,0,0,12690233,0,3529 116,3,2024-09-07 08:43:50:922,1,193,1,0,252,2709,193,0 117,0,2024-09-07 08:43:50:970,51781,1.1,51847,1.0,103584,1.3,139026,2.00 117,1,2024-09-07 08:43:51:588,338535,338535,0,0,157607012340,1653701203,334311,3748,476,370,392033,0 117,2,2024-09-07 08:43:51:119,244711,244711,0,0,9889351,0,3700 117,3,2024-09-07 08:43:51:063,1,193,4,0,490,3583,193,0 118,0,2024-09-07 08:43:51:779,44331,0.8,45633,0.9,92856,1.0,121462,2.25 118,1,2024-09-07 08:43:50:610,338786,338786,0,0,158282291560,1669287239,333187,4173,1426,366,391736,0 118,2,2024-09-07 08:43:51:586,244813,244813,0,0,11480991,0,2781 118,3,2024-09-07 08:43:51:764,1,193,8,0,235,2377,193,0 119,0,2024-09-07 08:43:51:339,45477,0.6,45795,0.8,92116,0.5,122300,2.00 119,1,2024-09-07 08:43:50:553,339668,339668,0,0,158805145405,1658642633,336483,2879,306,368,391641,0 119,2,2024-09-07 08:43:51:262,244851,244851,0,0,10574299,0,3526 119,3,2024-09-07 08:43:51:326,1,193,3,0,443,3240,193,0 120,0,2024-09-07 08:43:51:552,50151,0.4,50137,0.7,100490,0.4,133807,2.00 120,1,2024-09-07 08:43:50:871,339309,339309,0,0,158198330789,1661669995,335386,3585,338,368,391961,0 120,2,2024-09-07 08:43:50:773,243823,243822,1,0,12938653,0,5281 120,3,2024-09-07 08:43:51:311,1,193,2,0,241,2751,193,0 121,0,2024-09-07 08:43:51:764,51166,1.2,51517,1.1,102694,1.7,137103,2.25 121,1,2024-09-07 08:43:51:660,338892,338892,0,0,158177901291,1656624255,335408,3119,365,367,391840,0 121,2,2024-09-07 08:43:51:130,239817,239817,0,0,11632919,0,4127 121,3,2024-09-07 08:43:50:743,1,193,2,0,269,2532,193,0 122,0,2024-09-07 08:43:51:782,47655,1.1,46398,1.1,97299,1.2,127827,2.25 122,1,2024-09-07 08:43:50:961,338136,338136,0,0,157989075569,1660876719,333389,4037,710,366,392130,0 122,2,2024-09-07 08:43:51:333,245334,245334,0,0,13639291,0,3364 122,3,2024-09-07 08:43:50:634,1,193,8,0,411,4496,193,0 123,0,2024-09-07 08:43:50:954,43945,0.7,42894,0.8,89410,0.8,117141,2.00 123,1,2024-09-07 08:43:50:568,338488,338488,0,0,158922789370,1680761275,331119,5905,1464,369,391823,0 123,2,2024-09-07 08:43:51:020,244050,244049,1,0,11840969,0,5215 123,3,2024-09-07 08:43:51:142,1,193,14,0,168,2340,193,0 124,0,2024-09-07 08:43:50:981,50176,0.3,50127,0.4,94628,0.2,129872,1.50 124,1,2024-09-07 08:43:51:022,340106,340106,0,0,158652393384,1647338645,337935,1814,357,367,392178,0 124,2,2024-09-07 08:43:51:023,244490,244490,0,0,9473796,0,3101 124,3,2024-09-07 08:43:50:761,1,193,0,0,490,2510,193,0 125,0,2024-09-07 08:43:51:466,50484,0.4,50445,0.5,101094,0.3,134132,1.75 125,1,2024-09-07 08:43:50:864,339495,339495,0,0,158160396614,1650101453,337100,2117,278,383,391702,0 125,2,2024-09-07 08:43:51:116,241328,241328,0,0,9254086,0,2180 125,3,2024-09-07 08:43:51:127,1,193,22,0,284,2420,193,0 126,0,2024-09-07 08:43:51:478,50106,0.7,51368,0.7,98358,0.7,133178,1.75 126,1,2024-09-07 08:43:50:585,340143,340143,0,0,159911452235,1655382330,338489,1575,79,365,391987,0 126,2,2024-09-07 08:43:50:619,247429,247429,0,0,9966484,0,3186 126,3,2024-09-07 08:43:50:911,1,193,17,0,150,2682,193,0 127,0,2024-09-07 08:43:51:593,42999,0.4,43246,0.5,86182,0.3,114446,1.75 127,1,2024-09-07 08:43:50:573,339727,339727,0,0,159210035046,1649242505,337470,2214,43,365,391816,0 127,2,2024-09-07 08:43:50:638,246384,246384,0,0,9356048,0,2264 127,3,2024-09-07 08:43:51:267,1,193,11,0,243,1626,193,0 128,0,2024-09-07 08:43:51:564,47324,0.3,47119,0.4,94442,0.2,125607,1.50 128,1,2024-09-07 08:43:51:609,340252,340252,0,0,158592121094,1642352844,338949,1197,106,367,391680,0 128,2,2024-09-07 08:43:51:400,244119,244119,0,0,9176396,0,2107 128,3,2024-09-07 08:43:50:770,1,193,0,0,333,2673,193,0 129,0,2024-09-07 08:43:51:013,51940,0.3,51697,0.5,103291,0.3,137084,1.50 129,1,2024-09-07 08:43:50:572,338309,338309,0,0,158806032539,1661919788,335264,2650,395,379,391835,0 129,2,2024-09-07 08:43:50:688,242852,242852,0,0,9325901,0,4031 129,3,2024-09-07 08:43:50:690,1,193,14,0,469,2673,193,0 130,0,2024-09-07 08:43:51:719,52056,0.6,51912,0.7,104039,0.7,138601,1.75 130,1,2024-09-07 08:43:50:587,340122,340122,0,0,159078635920,1655343757,337998,2050,74,381,391825,0 130,2,2024-09-07 08:43:51:130,245584,245584,0,0,9414725,0,4067 130,3,2024-09-07 08:43:51:294,1,193,8,0,450,2232,193,0 131,0,2024-09-07 08:43:52:001,45207,0.5,45518,0.6,91671,0.5,121110,1.75 131,1,2024-09-07 08:43:51:863,340612,340612,0,0,159186968749,1654662303,339069,1337,206,383,391865,0 131,2,2024-09-07 08:43:50:587,246621,246621,0,0,8981570,0,2415 131,3,2024-09-07 08:43:51:694,1,193,7,0,392,1979,193,0 132,0,2024-09-07 08:43:51:458,46001,0.5,46532,0.7,93060,0.5,124001,2.00 132,1,2024-09-07 08:43:50:589,338418,338418,0,0,158012133937,1669406759,332703,4650,1065,381,392097,0 132,2,2024-09-07 08:43:50:715,243734,243734,0,0,12428229,0,4606 132,3,2024-09-07 08:43:51:699,1,193,7,0,356,3241,193,0 133,0,2024-09-07 08:43:51:570,50561,0.4,51858,0.6,106085,0.4,137749,1.75 133,1,2024-09-07 08:43:50:585,337733,337733,0,0,158016747346,1666001797,333038,4050,645,383,391914,0 133,2,2024-09-07 08:43:51:087,243503,243503,0,0,12458289,0,4315 133,3,2024-09-07 08:43:51:316,1,193,28,0,276,2084,193,0 134,0,2024-09-07 08:43:50:953,52121,0.5,52051,0.7,104517,0.5,139215,2.00 134,1,2024-09-07 08:43:50:603,338408,338408,0,0,157615327723,1656301404,333332,3793,1283,366,391718,0 134,2,2024-09-07 08:43:51:778,241456,241456,0,0,10567336,0,3847 134,3,2024-09-07 08:43:50:751,1,193,10,0,739,3264,193,0 135,0,2024-09-07 08:43:51:096,45055,1.3,45056,1.2,95580,1.5,122790,2.50 135,1,2024-09-07 08:43:51:590,337878,337878,0,0,158535820342,1668857409,333064,3917,897,380,391805,0 135,2,2024-09-07 08:43:50:687,245244,245244,0,0,11915660,0,3981 135,3,2024-09-07 08:43:51:039,1,193,4,0,299,1438,193,0 136,0,2024-09-07 08:43:51:707,44842,0.5,44770,0.7,89691,0.5,119529,2.00 136,1,2024-09-07 08:43:51:475,338110,338110,0,0,157997788261,1662354363,333253,4317,540,382,391641,0 136,2,2024-09-07 08:43:51:133,245940,245940,0,0,11279192,0,3506 136,3,2024-09-07 08:43:51:128,1,193,3,0,126,1663,193,0 137,0,2024-09-07 08:43:50:959,51079,0.5,49534,0.7,97800,0.5,132869,2.00 137,1,2024-09-07 08:43:50:584,338376,338376,0,0,158238110302,1660371157,333055,4651,670,366,391708,0 137,2,2024-09-07 08:43:51:706,244051,244051,0,0,12820347,0,3185 137,3,2024-09-07 08:43:50:772,1,193,1,0,227,2154,193,0 138,0,2024-09-07 08:43:51:788,49932,1.0,50034,1.0,100527,1.3,133956,2.25 138,1,2024-09-07 08:43:51:696,338776,338776,0,0,158904725943,1665109154,334327,3960,489,368,391954,0 138,2,2024-09-07 08:43:50:586,240950,240950,0,0,10246067,0,3263 138,3,2024-09-07 08:43:50:616,1,193,14,0,1160,3403,193,0 139,0,2024-09-07 08:43:51:385,47682,2.7,47768,1.6,96458,3.9,128491,3.00 139,1,2024-09-07 08:43:50:584,336948,336948,0,0,157272328074,1672668628,330302,4800,1846,381,391892,0 139,2,2024-09-07 08:43:50:694,244169,244169,0,0,11918638,0,3097 139,3,2024-09-07 08:43:51:662,1,193,1,0,244,2138,193,0 140,0,2024-09-07 08:43:51:590,43347,0.3,42897,0.5,86495,0.3,114864,1.75 140,1,2024-09-07 08:43:51:535,340384,340384,0,0,159920789671,1647621871,338858,1286,240,365,391606,0 140,2,2024-09-07 08:43:50:690,246683,246683,0,0,9802457,0,3388 140,3,2024-09-07 08:43:50:770,1,193,1,0,247,1668,193,0 141,0,2024-09-07 08:43:51:702,47819,0.2,49081,0.4,93757,0.1,127829,1.50 141,1,2024-09-07 08:43:50:860,340610,340610,0,0,159948448199,1658319517,338635,1621,354,379,391614,0 141,2,2024-09-07 08:43:51:686,244714,244714,0,0,9097679,0,2342 141,3,2024-09-07 08:43:51:045,1,193,176,0,176,1590,193,0 142,0,2024-09-07 08:43:51:425,51602,0.3,51217,0.4,101782,0.2,135953,1.50 142,1,2024-09-07 08:43:50:586,339524,339524,0,0,159139318828,1656299337,337956,1406,162,383,391728,0 142,2,2024-09-07 08:43:51:334,240492,240460,32,0,10398162,0,6028 142,3,2024-09-07 08:43:51:749,1,193,9,0,484,2584,193,0 143,0,2024-09-07 08:43:51:449,50525,0.6,50455,0.7,101802,0.6,134558,1.75 143,1,2024-09-07 08:43:50:557,339852,339852,0,0,158590634282,1644556452,337698,2109,45,367,391619,0 143,2,2024-09-07 08:43:50:772,245505,245505,0,0,9876095,0,2669 143,3,2024-09-07 08:43:51:145,1,193,1,0,303,2797,193,0 144,0,2024-09-07 08:43:51:549,43119,0.7,44383,1.1,90157,0.6,118346,2.00 144,1,2024-09-07 08:43:50:572,338579,338579,0,0,158518855641,1660194829,336131,2243,205,381,391649,0 144,2,2024-09-07 08:43:51:755,245897,245897,0,0,9714642,0,3473 144,3,2024-09-07 08:43:51:739,1,193,2,0,249,2313,193,0 145,0,2024-09-07 08:43:51:372,45140,0.5,45114,0.7,95717,0.4,124221,2.00 145,1,2024-09-07 08:43:50:557,337158,337158,0,0,158273860615,1669047525,331960,4379,819,382,391698,0 145,2,2024-09-07 08:43:51:498,243288,243288,0,0,11096388,0,3903 145,3,2024-09-07 08:43:50:896,1,193,2,0,579,2650,193,0 146,0,2024-09-07 08:43:51:722,51582,0.5,51104,0.8,103066,0.5,136731,2.00 146,1,2024-09-07 08:43:51:594,339225,339225,0,0,158917956667,1671669724,333473,4566,1186,368,391770,0 146,2,2024-09-07 08:43:51:710,242844,242844,0,0,10899318,0,2498 146,3,2024-09-07 08:43:51:297,1,193,23,0,1520,5387,193,0 147,0,2024-09-07 08:43:51:705,51880,0.7,51791,0.9,103005,0.7,138694,2.25 147,1,2024-09-07 08:43:51:382,340467,340467,0,0,158762600120,1651387141,337279,2690,498,368,391791,0 147,2,2024-09-07 08:43:51:010,244541,244541,0,0,9563448,0,2789 147,3,2024-09-07 08:43:50:914,1,193,1,0,371,2330,193,0 0,0,2024-09-07 08:44:01:750,49279,0.4,49216,0.6,104379,0.4,135728,1.75 0,1,2024-09-07 08:44:00:829,341073,341073,0,0,160118983965,1673140223,339021,1915,137,372,391772,0 0,2,2024-09-07 08:44:01:067,245901,245901,0,0,9968373,0,4480 0,3,2024-09-07 08:44:00:974,1,194,8,0,247,2442,194,0 1,0,2024-09-07 08:44:01:775,51865,1.1,51457,1.1,103450,1.4,138264,2.25 1,1,2024-09-07 08:44:00:559,340984,340984,0,0,158493040239,1661430036,337516,2600,868,371,391857,0 1,2,2024-09-07 08:44:00:639,241567,241567,0,0,9106860,0,3267 1,3,2024-09-07 08:44:01:322,1,194,1,0,262,2429,194,0 2,0,2024-09-07 08:44:01:585,47877,1.0,48150,0.9,95536,1.3,127407,2.25 2,1,2024-09-07 08:44:00:870,341467,341467,0,0,160327631039,1664696624,339920,1341,206,380,391745,0 2,2,2024-09-07 08:44:01:266,247066,247066,0,0,9875418,0,3594 2,3,2024-09-07 08:44:00:695,1,194,9,0,357,1918,194,0 3,0,2024-09-07 08:44:01:747,43965,0.4,44170,0.6,88018,0.4,117202,1.75 3,1,2024-09-07 08:44:01:617,340907,340907,0,0,159296875542,1660336550,337702,2781,424,380,391516,0 3,2,2024-09-07 08:44:01:144,247326,247303,23,0,10029191,0,5851 3,3,2024-09-07 08:44:01:752,1,194,20,0,103,1211,194,0 4,0,2024-09-07 08:44:01:774,47413,0.3,48839,0.5,99271,0.3,130550,1.75 4,1,2024-09-07 08:44:00:590,340720,340720,0,0,158895647915,1668992059,337357,2833,530,371,391846,0 4,2,2024-09-07 08:44:01:025,244446,244446,0,0,12184556,0,4528 4,3,2024-09-07 08:44:01:029,1,194,1,0,448,3198,194,0 5,0,2024-09-07 08:44:01:426,50628,0.4,50668,0.6,101041,0.4,134398,1.75 5,1,2024-09-07 08:44:00:765,340528,340528,0,0,159439801752,1678697988,335994,3477,1057,368,392005,0 5,2,2024-09-07 08:44:01:858,240259,240259,0,0,10359605,0,2259 5,3,2024-09-07 08:44:01:749,1,194,5,0,278,2919,194,0 6,0,2024-09-07 08:44:00:936,50332,0.8,49868,0.9,99501,0.9,133100,2.25 6,1,2024-09-07 08:44:00:747,341084,341084,0,0,159036938226,1664123579,337368,3057,659,379,391634,0 6,2,2024-09-07 08:44:01:116,247000,247000,0,0,10758287,0,4816 6,3,2024-09-07 08:44:01:278,1,194,7,0,340,2544,194,0 7,0,2024-09-07 08:44:01:596,42747,0.4,42735,0.7,85360,0.4,113712,2.00 7,1,2024-09-07 08:44:00:885,340685,340685,0,0,159299317301,1670396483,336721,3608,356,382,391664,0 7,2,2024-09-07 08:44:00:769,246659,246659,0,0,10218455,0,2981 7,3,2024-09-07 08:44:00:884,1,194,1,0,305,1905,194,0 8,0,2024-09-07 08:44:01:337,47218,0.3,47465,0.5,94511,0.3,126659,1.50 8,1,2024-09-07 08:44:01:024,339489,339489,0,0,159859924986,1689454720,332689,4989,1811,367,391956,0 8,2,2024-09-07 08:44:00:798,242334,242334,0,0,12395968,0,2986 8,3,2024-09-07 08:44:00:585,1,194,24,0,357,2952,194,0 9,0,2024-09-07 08:44:01:156,51789,0.4,50271,0.5,104979,0.3,137457,1.75 9,1,2024-09-07 08:44:00:551,339783,339783,0,0,159106683386,1682875259,333837,4410,1536,370,392001,0 9,2,2024-09-07 08:44:01:084,242366,242366,0,0,10908807,0,3360 9,3,2024-09-07 08:44:01:760,1,194,16,0,496,3063,194,0 10,0,2024-09-07 08:44:01:604,52080,0.4,51757,0.5,103866,0.3,138136,1.75 10,1,2024-09-07 08:44:00:584,340220,340220,0,0,159078557295,1671054707,334785,4684,751,381,391741,0 10,2,2024-09-07 08:44:00:765,246567,246567,0,0,11537361,0,2940 10,3,2024-09-07 08:44:00:882,1,194,13,0,177,1454,194,0 11,0,2024-09-07 08:44:01:010,44860,0.5,43636,0.8,91292,0.5,121431,2.00 11,1,2024-09-07 08:44:00:575,340136,340136,0,0,158962271084,1678274229,332879,5381,1876,384,391537,0 11,2,2024-09-07 08:44:01:124,246571,246571,0,0,10934721,0,3411 11,3,2024-09-07 08:44:01:301,1,194,1,0,720,2994,194,0 12,0,2024-09-07 08:44:00:986,47012,0.3,46756,0.5,93790,0.2,124935,1.50 12,1,2024-09-07 08:44:00:940,341009,341009,0,0,159254820370,1662068894,337977,2615,417,370,391837,0 12,2,2024-09-07 08:44:01:554,246337,246337,0,0,10817925,0,3469 12,3,2024-09-07 08:44:01:062,1,194,4,0,386,3729,194,0 13,0,2024-09-07 08:44:01:438,52365,0.4,52194,0.5,104428,0.3,138784,1.75 13,1,2024-09-07 08:44:01:545,340157,340157,0,0,159169765789,1670294684,337261,2373,523,382,391717,0 13,2,2024-09-07 08:44:00:602,245849,245849,0,0,10117882,0,3287 13,3,2024-09-07 08:44:01:775,1,194,19,0,467,3513,194,0 14,0,2024-09-07 08:44:00:565,52588,0.4,53092,0.6,104971,0.4,139991,1.75 14,1,2024-09-07 08:44:01:562,342887,342887,0,0,160100718974,1658290440,340575,2148,164,364,391571,0 14,2,2024-09-07 08:44:00:764,243541,243541,0,0,9973269,0,2896 14,3,2024-09-07 08:44:01:115,1,194,13,0,1168,3143,194,0 15,0,2024-09-07 08:44:01:586,46395,0.7,46592,0.9,93198,0.8,123981,2.25 15,1,2024-09-07 08:44:01:608,341086,341086,0,0,160164482518,1664932286,339093,1883,110,381,391619,0 15,2,2024-09-07 08:44:01:001,247406,247406,0,0,8590589,0,3043 15,3,2024-09-07 08:44:01:407,1,194,1,0,1126,4674,194,0 16,0,2024-09-07 08:44:01:025,45023,0.4,45316,0.7,89913,0.4,120268,2.00 16,1,2024-09-07 08:44:00:565,341499,341499,0,0,159732040271,1669300137,338813,2413,273,370,391756,0 16,2,2024-09-07 08:44:01:440,246161,246161,0,0,11028872,0,4719 16,3,2024-09-07 08:44:01:151,1,194,1,0,231,2488,194,0 17,0,2024-09-07 08:44:01:783,51607,0.4,50279,0.6,98620,0.4,133634,1.75 17,1,2024-09-07 08:44:00:571,340206,340206,0,0,159271401156,1671132887,336762,2769,675,368,391688,0 17,2,2024-09-07 08:44:01:719,248087,248087,0,0,9890659,0,2857 17,3,2024-09-07 08:44:00:574,1,194,6,0,268,3110,194,0 18,0,2024-09-07 08:44:00:943,49894,0.8,50279,0.9,100496,0.9,134163,2.50 18,1,2024-09-07 08:44:01:641,341438,341438,0,0,159122710365,1649818523,339320,1883,235,367,391564,0 18,2,2024-09-07 08:44:01:755,242098,242098,0,0,9495617,0,3541 18,3,2024-09-07 08:44:00:934,1,194,8,0,163,2073,194,0 19,0,2024-09-07 08:44:01:548,48353,0.9,48824,0.9,96387,1.1,128417,2.50 19,1,2024-09-07 08:44:00:571,341371,341371,0,0,160313079567,1667091447,337553,3102,716,367,391777,0 19,2,2024-09-07 08:44:01:754,248296,248296,0,0,9028357,0,3988 19,3,2024-09-07 08:44:01:129,1,194,1,0,524,1629,194,0 20,0,2024-09-07 08:44:01:442,43157,0.5,43132,0.7,86513,0.5,115446,2.00 20,1,2024-09-07 08:44:00:573,340475,340475,0,0,159922109780,1676535075,336835,3246,394,369,391822,0 20,2,2024-09-07 08:44:00:936,247071,247071,0,0,10562606,0,3721 20,3,2024-09-07 08:44:00:596,1,194,319,0,414,4178,194,0 21,0,2024-09-07 08:44:01:180,48300,0.4,48523,0.6,96668,0.3,128618,1.75 21,1,2024-09-07 08:44:01:558,339728,339728,0,0,158038511250,1667959461,334854,3824,1050,368,391962,0 21,2,2024-09-07 08:44:01:067,245018,245018,0,0,11565654,0,3747 21,3,2024-09-07 08:44:01:410,1,194,14,0,103,2263,194,0 22,0,2024-09-07 08:44:01:724,51007,0.7,51031,0.8,101703,0.8,135120,2.25 22,1,2024-09-07 08:44:01:023,340094,340094,0,0,158766714028,1673329951,334306,4269,1519,382,391667,0 22,2,2024-09-07 08:44:00:763,241568,241568,0,0,9814901,0,3134 22,3,2024-09-07 08:44:01:072,1,194,14,0,228,1992,194,0 23,0,2024-09-07 08:44:01:382,50303,0.8,50327,0.8,100972,0.9,134446,2.25 23,1,2024-09-07 08:44:01:015,340836,340836,0,0,159813814587,1681274853,334566,3846,2424,365,391549,0 23,2,2024-09-07 08:44:01:099,246817,246817,0,0,10207584,0,3010 23,3,2024-09-07 08:44:01:762,1,194,1,0,645,2526,194,0 24,0,2024-09-07 08:44:00:887,45238,0.5,45239,0.6,90430,0.5,119606,1.75 24,1,2024-09-07 08:44:00:594,340737,340737,0,0,159227547590,1669657013,336772,2937,1028,369,391640,0 24,2,2024-09-07 08:44:01:080,245995,245995,0,0,12186650,0,3400 24,3,2024-09-07 08:44:01:694,1,194,33,0,468,2645,194,0 25,0,2024-09-07 08:44:01:415,48159,0.4,47185,0.6,92514,0.3,126020,2.00 25,1,2024-09-07 08:44:00:572,340821,340821,0,0,159792948281,1675695365,336987,3289,545,371,391788,0 25,2,2024-09-07 08:44:01:615,244340,244340,0,0,12473804,0,3978 25,3,2024-09-07 08:44:01:031,1,194,15,0,255,2306,194,0 26,0,2024-09-07 08:44:01:723,51459,0.4,50419,0.6,105626,0.4,137262,1.75 26,1,2024-09-07 08:44:01:561,341363,341363,0,0,159305874413,1672923187,335936,4447,980,381,391748,0 26,2,2024-09-07 08:44:00:873,244372,244372,0,0,11542465,0,2809 26,3,2024-09-07 08:44:01:711,1,194,8,0,796,2533,194,0 27,0,2024-09-07 08:44:01:725,52544,0.5,52472,0.7,104018,0.5,138953,2.00 27,1,2024-09-07 08:44:01:686,342187,342187,0,0,160128949394,1664533504,339966,1892,329,381,391558,0 27,2,2024-09-07 08:44:00:886,243479,243479,0,0,11467002,0,3409 27,3,2024-09-07 08:44:01:019,1,194,1,0,564,2154,194,0 28,0,2024-09-07 08:44:01:417,45746,0.7,45864,0.8,92288,0.8,123010,2.25 28,1,2024-09-07 08:44:00:830,341749,341749,0,0,160301046368,1668279367,339559,1853,337,383,391646,0 28,2,2024-09-07 08:44:01:766,247397,247397,0,0,9531498,0,2915 28,3,2024-09-07 08:44:01:787,1,194,4,0,502,2251,194,0 29,0,2024-09-07 08:44:01:383,47262,0.3,46202,0.5,90269,0.2,123630,1.75 29,1,2024-09-07 08:44:01:576,342685,342685,0,0,159858984946,1655374786,340075,2066,544,369,391621,0 29,2,2024-09-07 08:44:00:888,245502,245502,0,0,8801554,0,4018 29,3,2024-09-07 08:44:00:967,1,194,1,0,115,1490,194,0 30,0,2024-09-07 08:44:01:470,50574,0.4,49306,0.6,103164,0.4,135386,2.00 30,1,2024-09-07 08:44:00:572,341894,341894,0,0,160502332132,1664690329,339891,1724,279,382,391672,0 30,2,2024-09-07 08:44:01:274,246541,246541,0,0,8940688,0,3161 30,3,2024-09-07 08:44:00:591,1,194,8,0,195,1353,194,0 31,0,2024-09-07 08:44:01:758,51181,0.5,51663,0.6,103814,0.4,137934,2.00 31,1,2024-09-07 08:44:00:567,343376,343376,0,0,160440842915,1643867931,342522,741,113,356,391712,0 31,2,2024-09-07 08:44:01:275,241716,241716,0,0,10354365,0,3525 31,3,2024-09-07 08:44:01:712,1,194,2,0,220,1604,194,0 32,0,2024-09-07 08:44:01:434,47796,0.4,48279,0.6,96674,0.4,128088,1.75 32,1,2024-09-07 08:44:00:824,341907,341907,0,0,160173426471,1661774604,340117,1514,276,381,391595,0 32,2,2024-09-07 08:44:00:954,247677,247677,0,0,8791041,0,3155 32,3,2024-09-07 08:44:01:018,1,194,1,0,227,1590,194,0 33,0,2024-09-07 08:44:01:519,44562,0.3,43934,0.5,88637,0.2,118008,1.50 33,1,2024-09-07 08:44:00:581,342557,342557,0,0,160343234236,1657145583,340293,2092,172,369,391730,0 33,2,2024-09-07 08:44:00:767,247324,247291,33,0,10838436,0,7012 33,3,2024-09-07 08:44:00:929,1,194,2,0,329,2111,194,0 34,0,2024-09-07 08:44:00:955,49043,0.2,50609,0.4,97152,0.2,130899,1.50 34,1,2024-09-07 08:44:01:051,342902,342902,0,0,161209719255,1658622948,341903,992,7,367,391562,0 34,2,2024-09-07 08:44:00:768,245268,245268,0,0,9851206,0,3577 34,3,2024-09-07 08:44:01:689,1,194,5,0,148,1316,194,0 35,0,2024-09-07 08:44:00:888,50169,0.4,50644,0.5,101330,0.3,134670,1.75 35,1,2024-09-07 08:44:01:067,340905,340905,0,0,159662673275,1656943674,338073,2062,770,384,391589,0 35,2,2024-09-07 08:44:01:583,242377,242377,0,0,8715853,0,2653 35,3,2024-09-07 08:44:00:948,1,194,1,0,418,2265,194,0 36,0,2024-09-07 08:44:01:522,50089,0.8,50011,0.9,100177,0.9,132670,2.50 36,1,2024-09-07 08:44:00:582,341240,341240,0,0,159096715686,1665886556,336291,3639,1310,366,391759,0 36,2,2024-09-07 08:44:01:751,246393,246393,0,0,10708541,0,3303 36,3,2024-09-07 08:44:00:881,1,194,10,0,378,3072,194,0 37,0,2024-09-07 08:44:01:388,42787,0.5,42732,0.7,85404,0.4,114523,2.00 37,1,2024-09-07 08:44:00:578,340450,340443,0,7,158856346434,1668016758,335426,2991,2026,365,391560,0 37,2,2024-09-07 08:44:01:150,246517,246502,15,0,11117327,0,5815 37,3,2024-09-07 08:44:01:771,1,194,0,0,724,2971,194,0 38,0,2024-09-07 08:44:01:445,47209,0.4,45817,0.6,95802,0.3,126093,2.00 38,1,2024-09-07 08:44:01:604,341873,341873,0,0,159876838529,1671189906,337406,3822,645,368,391821,0 38,2,2024-09-07 08:44:00:765,244949,244902,47,0,12271854,0,6710 38,3,2024-09-07 08:44:00:997,1,194,8,0,689,3135,194,0 39,0,2024-09-07 08:44:01:766,52666,0.7,51703,0.7,100440,0.8,137223,2.00 39,1,2024-09-07 08:44:00:721,341542,341542,0,0,159792682550,1666113208,337524,3216,802,365,391524,0 39,2,2024-09-07 08:44:01:421,242566,242566,0,0,9714746,0,2689 39,3,2024-09-07 08:44:00:714,1,194,0,0,293,2666,194,0 40,0,2024-09-07 08:44:01:506,51308,0.8,51833,1.0,103732,0.9,137623,3.00 40,1,2024-09-07 08:44:00:581,340582,340582,0,0,158898392951,1668407174,334844,4514,1224,368,391591,0 40,2,2024-09-07 08:44:01:302,245289,245288,1,0,12482453,0,5137 40,3,2024-09-07 08:44:01:144,1,194,0,0,181,2110,194,0 41,0,2024-09-07 08:44:01:026,44831,1.6,45782,1.5,87658,2.9,119950,3.75 41,1,2024-09-07 08:44:00:767,340659,340659,0,0,159655971123,1671252576,336071,4046,542,370,391742,0 41,2,2024-09-07 08:44:00:763,245307,245307,0,0,12293976,0,3356 41,3,2024-09-07 08:44:01:684,1,194,2,0,366,2356,194,0 42,0,2024-09-07 08:44:01:485,46211,0.7,46526,0.9,92687,0.6,123088,2.50 42,1,2024-09-07 08:44:01:440,339375,339375,0,0,159161757628,1674855513,333804,4498,1073,380,391675,0 42,2,2024-09-07 08:44:01:137,244772,244772,0,0,11473337,0,3568 42,3,2024-09-07 08:44:01:009,1,194,7,0,446,1635,194,0 43,0,2024-09-07 08:44:00:934,51773,0.8,50420,0.9,105356,0.8,138383,2.25 43,1,2024-09-07 08:44:00:582,341176,341176,0,0,160230536465,1671471021,337219,3161,796,366,391696,0 43,2,2024-09-07 08:44:01:737,245617,245617,0,0,10859011,0,3812 43,3,2024-09-07 08:44:01:749,1,194,41,0,467,2700,194,0 44,0,2024-09-07 08:44:00:877,52378,0.5,52769,0.7,105119,0.4,140538,1.75 44,1,2024-09-07 08:44:00:577,342273,342273,0,0,159179943556,1644304557,340123,1725,425,356,391809,0 44,2,2024-09-07 08:44:01:268,243016,243016,0,0,8588805,0,1877 44,3,2024-09-07 08:44:01:096,1,194,5,0,817,2276,194,0 45,0,2024-09-07 08:44:01:770,45986,0.9,45015,1.0,94550,1.0,124620,2.25 45,1,2024-09-07 08:44:01:007,341882,341882,0,0,159742350264,1654187685,340837,1035,10,382,391917,0 45,2,2024-09-07 08:44:01:272,247405,247405,0,0,9399251,0,3596 45,3,2024-09-07 08:44:00:944,1,194,1,0,226,1848,194,0 46,0,2024-09-07 08:44:00:965,44957,0.3,44837,0.6,89895,0.2,119740,1.75 46,1,2024-09-07 08:44:00:574,342547,342547,0,0,159646144889,1648665375,340737,1586,224,366,391524,0 46,2,2024-09-07 08:44:00:594,246820,246820,0,0,9424675,0,2920 46,3,2024-09-07 08:44:01:131,1,194,8,0,908,3240,194,0 47,0,2024-09-07 08:44:01:107,50276,0.3,50362,0.5,100974,0.2,133516,1.75 47,1,2024-09-07 08:44:00:570,343028,343028,0,0,159599826863,1646235195,341612,1399,17,366,391605,0 47,2,2024-09-07 08:44:00:935,247233,247233,0,0,9436959,0,2558 47,3,2024-09-07 08:44:01:115,1,194,2,0,529,2021,194,0 48,0,2024-09-07 08:44:01:489,51043,0.3,50718,0.4,101189,0.2,135254,1.50 48,1,2024-09-07 08:44:01:027,342605,342605,0,0,159705281917,1657517678,340537,1887,181,384,391710,0 48,2,2024-09-07 08:44:00:700,242204,242204,0,0,8314652,0,3031 48,3,2024-09-07 08:44:00:752,1,194,18,0,339,1785,194,0 49,0,2024-09-07 08:44:01:711,50063,0.5,49163,0.6,95491,0.4,130361,1.75 49,1,2024-09-07 08:44:01:022,340990,340990,0,0,159583618930,1662417784,338200,1950,840,382,391610,0 49,2,2024-09-07 08:44:01:805,248365,248365,0,0,9108517,0,3900 49,3,2024-09-07 08:44:01:420,1,194,3,0,408,2530,194,0 50,0,2024-09-07 08:44:01:527,43371,0.3,42901,0.5,86187,0.2,115427,1.75 50,1,2024-09-07 08:44:01:019,343190,343190,0,0,160264767475,1659891843,340589,2291,310,368,391530,0 50,2,2024-09-07 08:44:01:066,247633,247633,0,0,9157694,0,2263 50,3,2024-09-07 08:44:01:290,1,194,8,0,335,1580,194,0 51,0,2024-09-07 08:44:01:691,49435,0.2,48493,0.4,94479,0.2,129243,1.50 51,1,2024-09-07 08:44:01:690,342269,342269,0,0,161538228233,1667239492,340293,1190,786,365,391637,0 51,2,2024-09-07 08:44:01:316,245381,245381,0,0,8434472,0,3337 51,3,2024-09-07 08:44:01:027,1,194,1,0,162,1004,194,0 52,0,2024-09-07 08:44:01:414,51530,0.5,51376,0.6,102566,0.4,135791,2.00 52,1,2024-09-07 08:44:00:575,340603,340603,0,0,158659007505,1669908690,334346,5097,1160,368,391722,0 52,2,2024-09-07 08:44:01:755,240517,240479,38,0,11288950,0,6742 52,3,2024-09-07 08:44:00:674,1,194,2,0,1782,3779,194,0 53,0,2024-09-07 08:44:01:729,50199,0.9,48784,0.9,102126,1.0,133590,2.50 53,1,2024-09-07 08:44:00:771,339901,339901,0,0,159645658494,1681303453,333689,4177,2035,367,391702,0 53,2,2024-09-07 08:44:01:297,246827,246827,0,0,9799326,0,2262 53,3,2024-09-07 08:44:00:708,1,194,1,0,271,1742,194,0 54,0,2024-09-07 08:44:01:617,43661,1.3,44122,1.0,87342,0.6,116819,3.25 54,1,2024-09-07 08:44:00:582,341039,341039,0,0,159973400272,1667787187,336926,3542,571,367,391659,0 54,2,2024-09-07 08:44:00:865,246719,246713,6,0,11262141,0,5382 54,3,2024-09-07 08:44:00:766,1,194,15,0,676,3398,194,0 55,0,2024-09-07 08:44:01:763,45483,0.5,47041,0.7,94956,0.4,123779,2.25 55,1,2024-09-07 08:44:00:766,340402,340402,0,0,158683674612,1658574923,334939,4676,787,365,391731,0 55,2,2024-09-07 08:44:00:736,244212,244212,0,0,11056757,0,3275 55,3,2024-09-07 08:44:00:684,1,194,1,0,304,2446,194,0 56,0,2024-09-07 08:44:01:594,52845,1.3,49834,1.2,102527,1.8,137152,2.50 56,1,2024-09-07 08:44:00:571,340144,340144,0,0,159411649061,1685681730,334553,4648,943,381,391678,0 56,2,2024-09-07 08:44:01:302,243839,243839,0,0,11938187,0,3567 56,3,2024-09-07 08:44:01:060,1,194,1,0,405,2586,194,0 57,0,2024-09-07 08:44:00:981,51368,2.0,51558,1.4,102985,2.8,137975,3.50 57,1,2024-09-07 08:44:00:986,340752,340752,0,0,158622444339,1661853780,337162,3171,419,367,391960,0 57,2,2024-09-07 08:44:01:346,244712,244712,0,0,12562923,0,3317 57,3,2024-09-07 08:44:01:746,1,194,2,0,359,2932,194,0 58,0,2024-09-07 08:44:00:608,44716,1.0,43571,1.1,91156,1.1,119568,2.75 58,1,2024-09-07 08:44:00:585,341077,341074,0,3,159992542999,1674320493,336193,4235,646,367,391517,3 58,2,2024-09-07 08:44:01:071,246908,246908,0,0,11214035,0,2549 58,3,2024-09-07 08:44:01:068,1,194,7,0,1043,2473,194,0 59,0,2024-09-07 08:44:01:755,46336,0.7,45848,0.9,91801,0.7,122453,2.75 59,1,2024-09-07 08:44:00:836,340977,340977,0,0,160021544292,1674940492,336699,3295,983,369,391525,0 59,2,2024-09-07 08:44:00:585,246817,246817,0,0,10445801,0,2604 59,3,2024-09-07 08:44:01:740,1,194,3,0,1015,3141,194,0 60,0,2024-09-07 08:44:01:719,50989,0.4,50869,0.6,102057,0.3,135600,1.75 60,1,2024-09-07 08:44:00:774,342645,342645,0,0,159931191298,1655919770,341149,1136,360,370,391761,0 60,2,2024-09-07 08:44:01:146,245997,245997,0,0,10711849,0,3811 60,3,2024-09-07 08:44:01:258,1,194,60,0,124,1806,194,0 61,0,2024-09-07 08:44:01:513,51650,0.9,51818,0.9,103402,1.2,138267,2.00 61,1,2024-09-07 08:44:00:776,341016,341016,0,0,159946738664,1674361216,337365,3098,553,382,391880,0 61,2,2024-09-07 08:44:01:117,243080,243080,0,0,9444817,0,2079 61,3,2024-09-07 08:44:01:692,1,194,1,0,265,2413,194,0 62,0,2024-09-07 08:44:01:709,48286,1.1,49336,1.0,94021,1.5,127899,2.25 62,1,2024-09-07 08:44:01:117,343058,343052,0,6,160660212097,1657159222,341062,1881,109,365,391715,6 62,2,2024-09-07 08:44:01:645,246192,246191,1,0,11053436,0,5555 62,3,2024-09-07 08:44:01:144,1,194,7,0,287,1325,194,0 63,0,2024-09-07 08:44:01:478,44245,0.5,44173,0.6,88410,0.4,117819,1.75 63,1,2024-09-07 08:44:00:815,342597,342591,0,6,160455003634,1661111406,341319,1245,27,381,391677,6 63,2,2024-09-07 08:44:00:766,247032,247032,0,0,9119990,0,2674 63,3,2024-09-07 08:44:01:734,1,194,0,0,667,2491,194,0 64,0,2024-09-07 08:44:01:527,48722,0.4,48841,0.6,97934,0.3,130658,1.75 64,1,2024-09-07 08:44:00:751,341566,341566,0,0,159712715171,1665879437,338082,2429,1055,370,391783,0 64,2,2024-09-07 08:44:01:142,247690,247671,19,0,9814684,0,6121 64,3,2024-09-07 08:44:01:141,1,194,1,0,265,2077,194,0 65,0,2024-09-07 08:44:01:693,49898,0.7,50373,0.8,100466,0.8,133725,2.25 65,1,2024-09-07 08:44:00:884,340771,340771,0,0,159058465016,1662989804,338065,2482,224,382,391770,0 65,2,2024-09-07 08:44:01:701,241885,241885,0,0,10055906,0,3367 65,3,2024-09-07 08:44:01:683,1,194,3,0,163,1796,194,0 66,0,2024-09-07 08:44:01:767,49522,0.6,49630,0.8,99591,0.6,131979,2.25 66,1,2024-09-07 08:44:01:293,342321,342321,0,0,160176951316,1662670249,340432,1716,173,380,391588,0 66,2,2024-09-07 08:44:01:132,249171,249171,0,0,9731264,0,4956 66,3,2024-09-07 08:44:01:079,1,194,51,0,291,1976,194,0 67,0,2024-09-07 08:44:01:432,43227,0.7,42982,0.8,86366,0.8,114575,2.25 67,1,2024-09-07 08:44:00:781,341182,341181,0,1,159700893121,1667122324,337697,2737,747,380,391787,1 67,2,2024-09-07 08:44:00:584,248425,248425,0,0,9175210,0,2889 67,3,2024-09-07 08:44:01:754,1,194,9,0,338,1690,194,0 68,0,2024-09-07 08:44:00:595,47431,0.5,47409,0.7,94535,0.4,126497,2.00 68,1,2024-09-07 08:44:00:570,340321,340321,0,0,159277867525,1672518825,336711,2474,1136,381,391953,0 68,2,2024-09-07 08:44:01:049,244584,244519,65,0,12711777,0,6698 68,3,2024-09-07 08:44:00:735,1,194,1,0,417,2417,194,0 69,0,2024-09-07 08:44:01:746,51389,0.9,51595,0.9,102649,1.1,136728,2.25 69,1,2024-09-07 08:44:01:023,339226,339226,0,0,159198964781,1680689906,334956,3055,1215,384,391994,0 69,2,2024-09-07 08:44:01:736,243020,243020,0,0,11525978,0,3701 69,3,2024-09-07 08:44:00:766,1,194,1,0,698,2977,194,0 70,0,2024-09-07 08:44:01:563,51454,1.4,51443,1.2,103272,1.0,137269,2.50 70,1,2024-09-07 08:44:00:832,341481,341481,0,0,160795701759,1671015998,338486,2491,504,366,391725,0 70,2,2024-09-07 08:44:01:376,246240,246240,0,0,10660084,0,4044 70,3,2024-09-07 08:44:00:747,1,194,7,0,854,2484,194,0 71,0,2024-09-07 08:44:01:363,44757,1.6,44699,1.4,89306,2.3,120207,3.25 71,1,2024-09-07 08:44:01:595,341103,341103,0,0,159631040541,1669441938,336728,3823,552,368,391738,0 71,2,2024-09-07 08:44:01:067,247449,247449,0,0,11088705,0,2579 71,3,2024-09-07 08:44:01:754,1,194,1,0,644,3057,194,0 72,0,2024-09-07 08:44:01:051,48116,0.6,47014,0.8,91851,0.6,125371,2.25 72,1,2024-09-07 08:44:01:032,341023,341023,0,0,159702345686,1669750022,336853,3421,749,369,391819,0 72,2,2024-09-07 08:44:01:760,244178,244178,0,0,12415411,0,2570 72,3,2024-09-07 08:44:01:763,1,194,6,0,364,3535,194,0 73,0,2024-09-07 08:44:01:152,50830,0.4,52053,0.6,106648,0.4,138235,2.25 73,1,2024-09-07 08:44:00:775,341089,341089,0,0,160312158183,1667083831,338483,2392,214,367,391750,0 73,2,2024-09-07 08:44:01:742,245868,245868,0,0,11901169,0,3482 73,3,2024-09-07 08:44:00:973,1,194,87,0,274,3079,194,0 74,0,2024-09-07 08:44:01:323,53042,0.5,53996,0.7,103003,0.5,140078,2.25 74,1,2024-09-07 08:44:00:649,340763,340763,0,0,159626327868,1668456777,337155,2854,754,381,391681,0 74,2,2024-09-07 08:44:01:016,243108,243108,0,0,11781117,0,4253 74,3,2024-09-07 08:44:01:463,1,194,8,0,522,2991,194,0 75,0,2024-09-07 08:44:01:774,46791,0.9,46509,1.1,93324,0.9,125008,2.50 75,1,2024-09-07 08:44:01:591,340321,340321,0,0,159022986818,1666465807,336046,3614,661,381,391579,0 75,2,2024-09-07 08:44:01:354,246450,246450,0,0,11544969,0,4766 75,3,2024-09-07 08:44:01:067,1,194,2,0,535,2287,194,0 76,0,2024-09-07 08:44:00:598,44835,0.4,44485,0.6,88848,0.3,119617,2.25 76,1,2024-09-07 08:44:00:841,341425,341425,0,0,159456638593,1661733164,339291,1621,513,382,391692,0 76,2,2024-09-07 08:44:01:069,247261,247261,0,0,9887574,0,3784 76,3,2024-09-07 08:44:01:141,1,194,35,0,175,2222,194,0 77,0,2024-09-07 08:44:01:708,50080,0.4,50410,0.6,100487,0.3,133186,1.75 77,1,2024-09-07 08:44:00:834,342296,342296,0,0,159963076520,1666793657,340463,1745,88,383,391808,0 77,2,2024-09-07 08:44:01:281,245449,245449,0,0,10153042,0,3890 77,3,2024-09-07 08:44:01:099,1,194,8,0,305,2222,194,0 78,0,2024-09-07 08:44:01:730,50707,0.4,50434,0.6,101628,0.4,134769,2.00 78,1,2024-09-07 08:44:00:631,341489,341489,0,0,158526111889,1647254143,338905,2269,315,367,391646,0 78,2,2024-09-07 08:44:01:410,242706,242706,0,0,8957613,0,2114 78,3,2024-09-07 08:44:01:134,1,194,7,0,181,1904,194,0 79,0,2024-09-07 08:44:01:363,47297,0.5,48417,0.7,99069,0.5,128697,2.50 79,1,2024-09-07 08:44:00:572,342646,342646,0,0,160114920980,1658237517,339938,2372,336,369,391682,0 79,2,2024-09-07 08:44:01:067,247882,247882,0,0,9194445,0,3212 79,3,2024-09-07 08:44:00:748,1,194,1,0,418,3037,194,0 80,0,2024-09-07 08:44:01:121,43062,0.5,44312,0.7,84870,0.5,115485,2.00 80,1,2024-09-07 08:44:01:652,340874,340874,0,0,159105127560,1655877235,338245,2463,166,368,391791,0 80,2,2024-09-07 08:44:01:122,248079,248079,0,0,9881580,0,4433 80,3,2024-09-07 08:44:00:580,1,194,2,0,190,2864,194,0 81,0,2024-09-07 08:44:01:566,48343,0.4,49749,0.6,95229,0.4,128849,1.75 81,1,2024-09-07 08:44:01:658,341347,341347,0,0,159024805102,1661030287,338751,2321,275,382,391879,0 81,2,2024-09-07 08:44:01:129,245167,245167,0,0,10126645,0,3993 81,3,2024-09-07 08:44:01:118,1,194,1,0,193,1904,194,0 82,0,2024-09-07 08:44:01:546,50903,0.4,51240,0.6,102587,0.4,135936,1.75 82,1,2024-09-07 08:44:00:588,341678,341674,0,4,159079701963,1657346344,339158,1938,578,381,391558,4 82,2,2024-09-07 08:44:01:692,242284,242284,0,0,9389184,0,3986 82,3,2024-09-07 08:44:01:754,1,194,12,0,363,2452,194,0 83,0,2024-09-07 08:44:01:529,50647,0.6,50663,0.8,100545,0.7,133981,2.00 83,1,2024-09-07 08:44:00:552,341076,341076,0,0,158789088224,1657266512,338426,2426,224,382,391690,0 83,2,2024-09-07 08:44:00:764,246445,246445,0,0,9268176,0,3119 83,3,2024-09-07 08:44:00:749,1,194,2,0,241,1953,194,0 84,0,2024-09-07 08:44:01:770,44135,1.0,44114,1.1,88567,0.8,117991,2.50 84,1,2024-09-07 08:44:01:049,340363,340363,0,0,158648782883,1662314118,336379,3416,568,368,391967,0 84,2,2024-09-07 08:44:00:571,247172,247172,0,0,11255237,0,3801 84,3,2024-09-07 08:44:01:140,1,194,1,0,353,2706,194,0 85,0,2024-09-07 08:44:01:010,45349,0.6,45405,0.8,96174,0.6,124518,2.25 85,1,2024-09-07 08:44:00:560,340047,340047,0,0,158086057463,1674783953,334003,4949,1095,382,392006,0 85,2,2024-09-07 08:44:00:884,245905,245905,0,0,11755467,0,3656 85,3,2024-09-07 08:44:00:686,1,194,18,0,789,2819,194,0 86,0,2024-09-07 08:44:00:921,51741,0.7,53078,0.8,101881,0.7,137155,2.00 86,1,2024-09-07 08:44:00:839,341239,341239,0,0,159837047759,1673353436,337203,3491,545,366,391961,0 86,2,2024-09-07 08:44:00:881,242847,242846,1,0,12131303,0,5004 86,3,2024-09-07 08:44:00:587,1,194,7,0,286,2705,194,0 87,0,2024-09-07 08:44:01:284,52071,1.2,51750,1.0,104119,1.7,139491,2.50 87,1,2024-09-07 08:44:00:550,340932,340932,0,0,159622483934,1673027362,337039,3433,460,366,391788,0 87,2,2024-09-07 08:44:01:068,243841,243841,0,0,10459603,0,3515 87,3,2024-09-07 08:44:01:805,1,194,5,0,473,3552,194,0 88,0,2024-09-07 08:44:01:527,45924,0.6,46116,0.7,92093,0.7,122830,1.75 88,1,2024-09-07 08:44:00:575,339727,339727,0,0,159117454521,1673719273,334269,3997,1461,365,392084,0 88,2,2024-09-07 08:44:00:689,246300,246300,0,0,12470446,0,3583 88,3,2024-09-07 08:44:01:268,1,194,2,0,435,2552,194,0 89,0,2024-09-07 08:44:01:802,47533,0.4,45981,0.6,91108,0.4,123798,1.75 89,1,2024-09-07 08:44:00:592,339030,339030,0,0,159238810629,1683422434,333247,4839,944,382,391866,0 89,2,2024-09-07 08:44:01:135,245331,245331,0,0,12188857,0,2910 89,3,2024-09-07 08:44:01:796,1,194,214,0,325,3937,194,0 90,0,2024-09-07 08:44:01:626,49498,0.4,50591,0.5,103874,0.3,135474,1.75 90,1,2024-09-07 08:44:00:591,341065,341065,0,0,159301936103,1670464069,337770,3036,259,381,391825,0 90,2,2024-09-07 08:44:01:419,244367,244367,0,0,13038268,0,3060 90,3,2024-09-07 08:44:00:930,1,194,13,0,200,2174,194,0 91,0,2024-09-07 08:44:00:950,52091,0.4,50307,0.6,105085,0.4,138579,1.75 91,1,2024-09-07 08:44:00:558,340496,340496,0,0,159863485748,1679562290,336434,3560,502,381,392047,0 91,2,2024-09-07 08:44:01:334,241417,241417,0,0,11406885,0,2445 91,3,2024-09-07 08:44:00:601,1,194,2,0,216,2034,194,0 92,0,2024-09-07 08:44:01:457,48410,0.7,49669,0.8,94879,0.8,128270,1.75 92,1,2024-09-07 08:44:00:582,340919,340919,0,0,159147170125,1660469666,338708,1807,404,382,392136,0 92,2,2024-09-07 08:44:01:352,248707,248707,0,0,9791179,0,2801 92,3,2024-09-07 08:44:01:010,1,194,20,0,167,1622,194,0 93,0,2024-09-07 08:44:00:971,44463,0.4,45513,0.6,87046,0.3,118224,1.75 93,1,2024-09-07 08:44:00:830,341440,341440,0,0,160097579378,1670081315,338221,2664,555,366,391692,0 93,2,2024-09-07 08:44:00:935,247601,247601,0,0,9998343,0,2509 93,3,2024-09-07 08:44:01:421,1,194,5,0,143,1980,194,0 94,0,2024-09-07 08:44:01:631,48869,0.3,49596,0.4,98541,0.2,130576,1.50 94,1,2024-09-07 08:44:00:572,340773,340773,0,0,160113467891,1672433354,338141,2500,132,381,391850,0 94,2,2024-09-07 08:44:00:763,245145,245145,0,0,9492421,0,2443 94,3,2024-09-07 08:44:01:698,1,194,105,0,264,2790,194,0 95,0,2024-09-07 08:44:01:361,50843,0.3,50468,0.5,101874,0.3,134544,1.75 95,1,2024-09-07 08:44:00:859,341546,341546,0,0,160355694850,1666110626,339104,2256,186,367,391662,0 95,2,2024-09-07 08:44:01:019,241550,241550,0,0,9568785,0,3308 95,3,2024-09-07 08:44:01:712,1,194,103,0,718,3435,194,0 96,0,2024-09-07 08:44:01:041,50020,0.5,50269,0.6,100450,0.5,132557,1.75 96,1,2024-09-07 08:44:01:583,341201,341201,0,0,159626637266,1667980247,338463,2091,647,385,391894,0 96,2,2024-09-07 08:44:01:270,247144,247144,0,0,10199371,0,4042 96,3,2024-09-07 08:44:01:144,1,194,411,0,411,2500,194,0 97,0,2024-09-07 08:44:01:320,43405,0.4,43115,0.5,86494,0.3,114909,1.75 97,1,2024-09-07 08:44:00:777,342327,342327,0,0,159600445883,1657678032,339506,2268,553,367,392140,0 97,2,2024-09-07 08:44:00:609,247537,247537,0,0,9585460,0,3036 97,3,2024-09-07 08:44:00:586,1,194,1,0,165,2312,194,0 98,0,2024-09-07 08:44:01:730,47490,0.2,47352,0.4,95038,0.2,126905,1.50 98,1,2024-09-07 08:44:00:573,341430,341430,0,0,159029173258,1656266302,339574,1762,94,382,391997,0 98,2,2024-09-07 08:44:00:768,246590,246590,0,0,9708772,0,3080 98,3,2024-09-07 08:44:00:703,1,194,21,0,840,3469,194,0 99,0,2024-09-07 08:44:01:469,51750,0.3,51729,0.4,103167,0.3,137741,1.50 99,1,2024-09-07 08:44:01:724,342075,342075,0,0,158998112836,1659209912,338765,2596,714,381,391744,0 99,2,2024-09-07 08:44:01:420,244656,244656,0,0,9500333,0,2615 99,3,2024-09-07 08:44:00:586,1,194,2,0,129,1374,194,0 100,0,2024-09-07 08:44:01:480,51758,0.9,51810,1.1,103324,1.5,137960,2.50 100,1,2024-09-07 08:44:00:551,339297,339297,0,0,158500368665,1679102224,333795,4569,933,381,391989,0 100,2,2024-09-07 08:44:01:820,244667,244656,11,0,11904111,0,5417 100,3,2024-09-07 08:44:01:731,1,194,1,0,559,3860,194,0 101,0,2024-09-07 08:44:01:700,46133,2.3,45039,1.4,88046,2.3,121517,2.75 101,1,2024-09-07 08:44:00:555,339616,339616,0,0,158515622518,1675547126,333584,4510,1522,368,391769,0 101,2,2024-09-07 08:44:01:770,246339,246339,0,0,12604568,0,4644 101,3,2024-09-07 08:44:00:949,1,194,6,0,448,2496,194,0 102,0,2024-09-07 08:44:00:966,45531,0.8,47091,0.9,94784,0.7,124790,2.00 102,1,2024-09-07 08:44:01:142,340277,340277,0,0,159138406618,1674936223,335556,4004,717,369,391883,0 102,2,2024-09-07 08:44:01:739,245508,245454,54,0,11968516,0,6768 102,3,2024-09-07 08:44:01:616,1,194,8,0,410,2165,194,0 103,0,2024-09-07 08:44:01:602,53640,0.5,53638,0.7,101191,0.5,139223,2.00 103,1,2024-09-07 08:44:01:625,339271,339271,0,0,158467998769,1673654269,333842,4104,1325,381,391829,0 103,2,2024-09-07 08:44:00:582,244495,244495,0,0,10674351,0,2104 103,3,2024-09-07 08:44:00:755,1,194,1,0,916,3144,194,0 104,0,2024-09-07 08:44:01:007,51954,1.0,52360,1.1,103495,1.1,140012,2.50 104,1,2024-09-07 08:44:01:603,341005,341005,0,0,159188370482,1677525712,335708,4328,969,365,391948,0 104,2,2024-09-07 08:44:01:669,242508,242508,0,0,11557525,0,3941 104,3,2024-09-07 08:44:01:417,1,194,1,1,1245,5640,194,0 105,0,2024-09-07 08:44:01:047,46188,1.4,44796,1.3,93759,2.2,123839,3.50 105,1,2024-09-07 08:44:00:564,341097,341097,0,0,159461529727,1674153212,336316,3978,803,367,391797,0 105,2,2024-09-07 08:44:01:342,245979,245979,0,0,11356618,0,3509 105,3,2024-09-07 08:44:01:308,1,194,45,0,399,3506,194,0 106,0,2024-09-07 08:44:00:952,43424,0.7,44525,0.8,90983,0.7,119322,2.25 106,1,2024-09-07 08:44:01:770,340905,340905,0,0,158933169925,1670886229,335650,4778,477,369,391767,0 106,2,2024-09-07 08:44:00:756,244997,244997,0,0,11550349,0,2795 106,3,2024-09-07 08:44:00:687,1,194,30,0,470,3100,194,0 107,0,2024-09-07 08:44:01:111,49946,0.6,50010,0.7,99690,0.5,132831,2.00 107,1,2024-09-07 08:44:00:587,340211,340211,0,0,158881267811,1672582388,336219,3582,410,381,392234,0 107,2,2024-09-07 08:44:01:299,245193,245192,1,0,11609449,0,5024 107,3,2024-09-07 08:44:01:755,1,194,0,0,353,2738,194,0 108,0,2024-09-07 08:44:01:778,50866,0.4,50995,0.6,101136,0.4,135199,1.75 108,1,2024-09-07 08:44:01:308,340900,340900,0,0,159247115676,1660586395,338182,2404,314,368,391857,0 108,2,2024-09-07 08:44:01:763,240542,240542,0,0,10278307,0,2647 108,3,2024-09-07 08:44:01:351,1,194,3,0,667,3537,194,0 109,0,2024-09-07 08:44:01:758,49109,0.4,48642,0.6,97550,0.4,130539,1.75 109,1,2024-09-07 08:44:00:583,340438,340438,0,0,158943338905,1667058744,337550,2391,497,383,392132,0 109,2,2024-09-07 08:44:00:949,246842,246842,0,0,10549124,0,3617 109,3,2024-09-07 08:44:01:139,1,194,11,0,249,2549,194,0 110,0,2024-09-07 08:44:01:786,43495,0.4,42295,0.6,88073,0.3,115677,1.75 110,1,2024-09-07 08:44:01:647,341758,341758,0,0,159687102790,1658834809,339128,1863,767,370,391667,0 110,2,2024-09-07 08:44:01:302,247638,247638,0,0,9849204,0,2915 110,3,2024-09-07 08:44:00:703,1,194,12,0,406,2781,194,0 111,0,2024-09-07 08:44:01:418,48483,0.2,48057,0.4,95937,0.2,128891,1.50 111,1,2024-09-07 08:44:01:004,342233,342233,0,0,159905903849,1657969049,340443,1457,333,382,391690,0 111,2,2024-09-07 08:44:01:116,245278,245278,0,0,10246177,0,2763 111,3,2024-09-07 08:44:00:940,1,194,87,0,379,2903,194,0 112,0,2024-09-07 08:44:00:919,51306,0.3,51212,0.4,102574,0.2,136080,1.50 112,1,2024-09-07 08:44:00:832,342342,342342,0,0,160008766533,1661400988,339970,1946,426,380,391580,0 112,2,2024-09-07 08:44:01:137,242040,242039,1,0,9890287,0,5036 112,3,2024-09-07 08:44:00:595,1,194,1,0,282,2172,194,0 113,0,2024-09-07 08:44:00:906,50416,0.4,50400,0.5,101249,0.3,135138,1.75 113,1,2024-09-07 08:44:01:691,342550,342550,0,0,160883429163,1662799885,340128,1933,489,366,391661,0 113,2,2024-09-07 08:44:01:302,247950,247950,0,0,9042867,0,3813 113,3,2024-09-07 08:44:00:684,1,194,11,0,340,3221,194,0 114,0,2024-09-07 08:44:00:886,45065,0.5,45605,0.6,89873,0.4,120020,1.75 114,1,2024-09-07 08:44:00:720,340966,340966,0,0,160068338663,1670498025,336833,2670,1463,381,391534,0 114,2,2024-09-07 08:44:00:877,247587,247587,0,0,9477613,0,3925 114,3,2024-09-07 08:44:01:278,1,194,7,0,395,2210,194,0 115,0,2024-09-07 08:44:00:556,46895,0.2,47283,0.4,94468,0.1,125514,1.50 115,1,2024-09-07 08:44:00:574,341168,341168,0,0,159462789853,1660320676,337490,2857,821,382,391602,0 115,2,2024-09-07 08:44:01:130,246687,246687,0,0,8768730,0,2152 115,3,2024-09-07 08:44:01:008,1,194,1,0,159,1177,194,0 116,0,2024-09-07 08:44:01:699,51755,0.7,51483,0.9,103202,0.8,137803,2.00 116,1,2024-09-07 08:44:00:817,338775,338775,0,0,159252447208,1690853327,333020,3705,2050,380,391782,0 116,2,2024-09-07 08:44:01:751,243235,243235,0,0,12719863,0,3529 116,3,2024-09-07 08:44:00:929,1,194,3,0,252,2712,194,0 117,0,2024-09-07 08:44:00:999,52042,1.0,52100,1.0,104078,1.3,139645,2.00 117,1,2024-09-07 08:44:01:583,340353,340353,0,0,158745787657,1665398572,336128,3749,476,370,392033,0 117,2,2024-09-07 08:44:01:120,246279,246279,0,0,9945197,0,3700 117,3,2024-09-07 08:44:01:060,1,194,11,0,490,3594,194,0 118,0,2024-09-07 08:44:01:830,44634,0.8,45942,0.9,93459,1.0,122319,2.25 118,1,2024-09-07 08:44:00:592,340621,340621,0,0,159050512980,1677247484,335022,4173,1426,366,391736,0 118,2,2024-09-07 08:44:01:593,246399,246399,0,0,11532012,0,2781 118,3,2024-09-07 08:44:01:765,1,194,13,0,235,2390,194,0 119,0,2024-09-07 08:44:01:355,45749,0.6,46069,0.8,92682,0.5,122971,2.00 119,1,2024-09-07 08:44:00:549,341488,341488,0,0,159630058775,1667135840,338302,2880,306,368,391641,0 119,2,2024-09-07 08:44:01:268,245838,245838,0,0,10606486,0,3526 119,3,2024-09-07 08:44:01:341,1,194,1,0,443,3241,194,0 120,0,2024-09-07 08:44:01:577,50504,0.4,50570,0.7,101339,0.4,135212,2.00 120,1,2024-09-07 08:44:00:874,341004,341004,0,0,158900022437,1669069861,337081,3585,338,368,391961,0 120,2,2024-09-07 08:44:00:769,244852,244851,1,0,12995275,0,5281 120,3,2024-09-07 08:44:01:292,1,194,29,0,241,2780,194,0 121,0,2024-09-07 08:44:01:702,51442,1.3,51824,1.1,103304,1.7,138040,2.25 121,1,2024-09-07 08:44:01:657,340652,340652,0,0,159093418844,1666400316,337167,3120,365,367,391840,0 121,2,2024-09-07 08:44:01:144,241245,241245,0,0,11896166,0,4127 121,3,2024-09-07 08:44:00:735,1,194,2,0,269,2534,194,0 122,0,2024-09-07 08:44:01:855,47802,1.1,46566,1.1,97574,1.2,128224,2.25 122,1,2024-09-07 08:44:00:878,339862,339862,0,0,158822300595,1669660680,335093,4059,710,366,392130,0 122,2,2024-09-07 08:44:01:335,246679,246679,0,0,13725328,0,3364 122,3,2024-09-07 08:44:00:610,1,194,10,0,411,4506,194,0 123,0,2024-09-07 08:44:01:003,44204,0.7,43136,0.8,89960,0.8,117754,2.00 123,1,2024-09-07 08:44:00:558,340187,340187,0,0,159762696569,1690672491,332572,6086,1529,369,391823,0 123,2,2024-09-07 08:44:01:019,245086,245085,1,0,11919023,0,5215 123,3,2024-09-07 08:44:01:133,1,194,92,0,168,2432,194,0 124,0,2024-09-07 08:44:00:932,50590,0.3,50501,0.4,95381,0.2,130878,1.50 124,1,2024-09-07 08:44:01:027,341943,341943,0,0,159478815329,1655997442,339770,1816,357,367,392178,0 124,2,2024-09-07 08:44:01:018,245775,245775,0,0,9598755,0,3101 124,3,2024-09-07 08:44:00:764,1,194,2,0,490,2512,194,0 125,0,2024-09-07 08:44:01:437,50638,0.4,50586,0.5,101397,0.3,134617,1.75 125,1,2024-09-07 08:44:00:871,341177,341177,0,0,158916490689,1657985574,338782,2117,278,383,391702,0 125,2,2024-09-07 08:44:01:116,242384,242384,0,0,9362576,0,2180 125,3,2024-09-07 08:44:01:134,1,194,3,0,284,2423,194,0 126,0,2024-09-07 08:44:01:450,50210,0.7,51463,0.7,98551,0.7,133416,1.75 126,1,2024-09-07 08:44:00:551,341992,341992,0,0,160708633371,1664300256,340266,1647,79,365,391987,0 126,2,2024-09-07 08:44:00:614,248616,248616,0,0,10067522,0,3186 126,3,2024-09-07 08:44:00:918,1,194,17,0,150,2699,194,0 127,0,2024-09-07 08:44:01:602,43091,0.4,43344,0.5,86379,0.3,114786,1.75 127,1,2024-09-07 08:44:00:575,341439,341439,0,0,160103014992,1658535115,339182,2214,43,365,391816,0 127,2,2024-09-07 08:44:00:641,247756,247756,0,0,9451276,0,2264 127,3,2024-09-07 08:44:01:268,1,194,57,0,243,1683,194,0 128,0,2024-09-07 08:44:01:524,47827,0.3,47594,0.4,95349,0.2,126961,1.50 128,1,2024-09-07 08:44:01:612,341907,341907,0,0,159594838755,1652785287,340598,1203,106,367,391680,0 128,2,2024-09-07 08:44:01:383,245633,245633,0,0,9253685,0,2107 128,3,2024-09-07 08:44:00:766,1,194,1,0,333,2674,194,0 129,0,2024-09-07 08:44:00:999,52040,0.3,51820,0.5,103487,0.3,137368,1.50 129,1,2024-09-07 08:44:00:570,340036,340036,0,0,159846891197,1672951126,336991,2650,395,379,391835,0 129,2,2024-09-07 08:44:00:687,243579,243579,0,0,9350709,0,4031 129,3,2024-09-07 08:44:00:688,1,194,1,0,469,2674,194,0 130,0,2024-09-07 08:44:01:723,52185,0.6,52037,0.7,104307,0.7,138856,1.75 130,1,2024-09-07 08:44:00:585,341859,341859,0,0,159879915428,1663734097,339735,2050,74,381,391825,0 130,2,2024-09-07 08:44:01:135,247103,247103,0,0,9522083,0,4067 130,3,2024-09-07 08:44:01:291,1,194,8,0,450,2240,194,0 131,0,2024-09-07 08:44:01:934,45429,0.5,45749,0.6,92111,0.5,121634,1.75 131,1,2024-09-07 08:44:01:827,342486,342486,0,0,160058968936,1663800315,340943,1337,206,383,391865,0 131,2,2024-09-07 08:44:00:574,248182,248182,0,0,9069514,0,2415 131,3,2024-09-07 08:44:01:692,1,194,1,0,392,1980,194,0 132,0,2024-09-07 08:44:01:424,46394,0.5,46955,0.7,93897,0.5,125165,2.00 132,1,2024-09-07 08:44:00:591,340212,340212,0,0,158677479501,1676401206,334497,4650,1065,381,392097,0 132,2,2024-09-07 08:44:00:701,244970,244970,0,0,12485160,0,4606 132,3,2024-09-07 08:44:01:689,1,194,1,0,356,3242,194,0 133,0,2024-09-07 08:44:01:524,50757,0.4,52037,0.6,106504,0.4,138048,1.75 133,1,2024-09-07 08:44:00:583,339511,339511,0,0,158926296017,1675397516,334815,4051,645,383,391914,0 133,2,2024-09-07 08:44:01:087,244387,244387,0,0,12485215,0,4315 133,3,2024-09-07 08:44:01:306,1,194,8,0,276,2092,194,0 134,0,2024-09-07 08:44:00:998,52414,0.5,52344,0.7,105112,0.5,139971,2.00 134,1,2024-09-07 08:44:00:587,340196,340196,0,0,158536974400,1665989027,335116,3797,1283,366,391718,0 134,2,2024-09-07 08:44:01:756,242981,242981,0,0,10610251,0,3847 134,3,2024-09-07 08:44:00:749,1,194,12,0,739,3276,194,0 135,0,2024-09-07 08:44:01:098,45258,1.3,45231,1.2,96036,1.5,123410,2.50 135,1,2024-09-07 08:44:01:594,339657,339657,0,0,159506630269,1678866714,334843,3917,897,380,391805,0 135,2,2024-09-07 08:44:00:687,246605,246605,0,0,11947916,0,3981 135,3,2024-09-07 08:44:01:007,1,194,10,0,299,1448,194,0 136,0,2024-09-07 08:44:01:617,45240,0.5,45196,0.7,90568,0.5,120617,2.00 136,1,2024-09-07 08:44:01:464,339875,339875,0,0,158784461294,1671115830,334968,4366,541,382,391641,0 136,2,2024-09-07 08:44:01:133,247156,247156,0,0,11389512,0,3506 136,3,2024-09-07 08:44:01:106,1,194,3,0,126,1666,194,0 137,0,2024-09-07 08:44:00:986,51355,0.5,49808,0.7,98397,0.5,133652,2.00 137,1,2024-09-07 08:44:00:576,340006,340006,0,0,158938975922,1667999664,334645,4691,670,366,391708,0 137,2,2024-09-07 08:44:01:705,245172,245172,0,0,12889376,0,3185 137,3,2024-09-07 08:44:00:768,1,194,0,0,227,2154,194,0 138,0,2024-09-07 08:44:01:888,50232,1.0,50338,1.0,101171,1.3,134897,2.25 138,1,2024-09-07 08:44:01:698,340288,340288,0,0,159664544654,1673253188,335817,3979,492,368,391954,0 138,2,2024-09-07 08:44:00:585,242229,242229,0,0,10357033,0,3263 138,3,2024-09-07 08:44:00:613,1,194,12,0,1160,3415,194,0 139,0,2024-09-07 08:44:01:385,47832,2.7,47912,1.6,96799,3.9,128989,3.00 139,1,2024-09-07 08:44:00:577,338604,338604,0,0,158034846572,1681754716,331732,5026,1846,381,391892,0 139,2,2024-09-07 08:44:00:696,245481,245481,0,0,12029217,0,3097 139,3,2024-09-07 08:44:01:668,1,194,1,0,244,2139,194,0 140,0,2024-09-07 08:44:01:596,43649,0.3,43184,0.5,87104,0.3,115884,1.75 140,1,2024-09-07 08:44:01:553,342298,342298,0,0,160790186660,1657040680,340719,1339,240,365,391606,0 140,2,2024-09-07 08:44:00:690,248045,248045,0,0,9886457,0,3388 140,3,2024-09-07 08:44:00:766,1,194,3,0,247,1671,194,0 141,0,2024-09-07 08:44:01:714,48254,0.2,49534,0.4,94667,0.2,129088,1.50 141,1,2024-09-07 08:44:00:873,342409,342409,0,0,160679628012,1666306211,340420,1635,354,379,391614,0 141,2,2024-09-07 08:44:01:689,245940,245940,0,0,9336901,0,2342 141,3,2024-09-07 08:44:01:048,1,194,31,0,176,1621,194,0 142,0,2024-09-07 08:44:01:319,51722,0.3,51342,0.4,102033,0.2,136295,1.50 142,1,2024-09-07 08:44:00:585,341291,341291,0,0,159907839182,1664615506,339714,1415,162,383,391728,0 142,2,2024-09-07 08:44:01:301,241463,241431,32,0,10479419,0,6028 142,3,2024-09-07 08:44:01:747,1,194,11,0,484,2595,194,0 143,0,2024-09-07 08:44:01:413,50641,0.6,50574,0.7,102035,0.6,134893,1.75 143,1,2024-09-07 08:44:00:556,341599,341599,0,0,159320831167,1652285757,339445,2109,45,367,391619,0 143,2,2024-09-07 08:44:00:770,246869,246869,0,0,9975804,0,2669 143,3,2024-09-07 08:44:01:140,1,194,1,0,303,2798,194,0 144,0,2024-09-07 08:44:01:525,43150,0.7,44415,1.1,90234,0.6,118346,2.00 144,1,2024-09-07 08:44:00:566,340369,340369,0,0,159481745997,1670125727,337920,2244,205,381,391649,0 144,2,2024-09-07 08:44:01:759,247312,247312,0,0,9800524,0,3473 144,3,2024-09-07 08:44:01:747,1,194,1,0,249,2314,194,0 145,0,2024-09-07 08:44:01:380,45486,0.5,45467,0.7,96513,0.4,125135,2.00 145,1,2024-09-07 08:44:00:568,338905,338905,0,0,159097059636,1677620521,333707,4379,819,382,391698,0 145,2,2024-09-07 08:44:01:432,244712,244712,0,0,11144337,0,3903 145,3,2024-09-07 08:44:00:948,1,194,9,0,579,2659,194,0 146,0,2024-09-07 08:44:01:592,51687,0.5,51196,0.8,103258,0.5,136977,2.00 146,1,2024-09-07 08:44:01:584,340971,340971,0,0,159628807522,1681386634,334790,4799,1382,368,391770,0 146,2,2024-09-07 08:44:01:700,243471,243471,0,0,10923874,0,2498 146,3,2024-09-07 08:44:01:277,1,194,1,0,1520,5388,194,0 147,0,2024-09-07 08:44:01:699,52118,0.7,52034,0.9,103442,0.7,139279,2.25 147,1,2024-09-07 08:44:01:373,342140,342140,0,0,159628821659,1660748192,338898,2744,498,368,391791,0 147,2,2024-09-07 08:44:01:009,245956,245956,0,0,9651257,0,2789 147,3,2024-09-07 08:44:00:935,1,194,60,0,371,2390,194,0 0,0,2024-09-07 08:44:11:731,49695,0.4,49704,0.7,105362,0.4,137169,1.75 0,1,2024-09-07 08:44:10:837,342932,342932,0,0,161153342147,1684327230,340842,1953,137,372,391772,0 0,2,2024-09-07 08:44:11:072,247004,247004,0,0,10005781,0,4480 0,3,2024-09-07 08:44:10:986,1,195,10,0,247,2452,195,0 1,0,2024-09-07 08:44:11:755,52159,1.0,51790,1.1,104105,1.4,139059,2.25 1,1,2024-09-07 08:44:10:578,342738,342738,0,0,159336120338,1670375440,339270,2600,868,371,391857,0 1,2,2024-09-07 08:44:10:640,243133,243133,0,0,9176969,0,3267 1,3,2024-09-07 08:44:11:302,1,195,1,0,262,2430,195,0 2,0,2024-09-07 08:44:11:567,48028,1.0,48317,0.9,95840,1.3,127789,2.25 2,1,2024-09-07 08:44:10:867,343290,343290,0,0,161158090907,1673358188,341743,1341,206,380,391745,0 2,2,2024-09-07 08:44:11:269,248395,248395,0,0,9934502,0,3594 2,3,2024-09-07 08:44:10:690,1,195,8,0,357,1926,195,0 3,0,2024-09-07 08:44:11:746,44187,0.4,44419,0.6,88507,0.4,117803,1.75 3,1,2024-09-07 08:44:11:618,342644,342644,0,0,160062743130,1668218018,339439,2781,424,380,391516,0 3,2,2024-09-07 08:44:11:150,248397,248374,23,0,10057977,0,5851 3,3,2024-09-07 08:44:11:752,1,195,12,0,103,1223,195,0 4,0,2024-09-07 08:44:11:786,47801,0.3,49239,0.5,100021,0.3,131597,1.75 4,1,2024-09-07 08:44:10:600,342478,342478,0,0,159930517437,1679738655,339112,2836,530,371,391846,0 4,2,2024-09-07 08:44:11:020,245725,245725,0,0,12223333,0,4528 4,3,2024-09-07 08:44:11:038,1,195,1,0,448,3199,195,0 5,0,2024-09-07 08:44:11:445,50798,0.4,50836,0.6,101388,0.4,134832,1.75 5,1,2024-09-07 08:44:10:766,342257,342257,0,0,160189194726,1686802123,337669,3531,1057,368,392005,0 5,2,2024-09-07 08:44:11:833,241516,241516,0,0,10399400,0,2259 5,3,2024-09-07 08:44:11:739,1,195,6,0,278,2925,195,0 6,0,2024-09-07 08:44:10:929,50435,0.8,49974,0.9,99684,0.9,133339,2.25 6,1,2024-09-07 08:44:10:747,342869,342869,0,0,159684951131,1670812657,339153,3057,659,379,391634,0 6,2,2024-09-07 08:44:11:116,248179,248179,0,0,10832850,0,4816 6,3,2024-09-07 08:44:11:273,1,195,8,0,340,2552,195,0 7,0,2024-09-07 08:44:11:532,42861,0.4,42849,0.7,85606,0.4,114053,2.00 7,1,2024-09-07 08:44:10:856,342389,342389,0,0,159934036420,1677017670,338425,3608,356,382,391664,0 7,2,2024-09-07 08:44:10:776,248128,248128,0,0,10265195,0,2981 7,3,2024-09-07 08:44:10:858,1,195,181,0,305,2086,195,0 8,0,2024-09-07 08:44:11:398,47730,0.3,47967,0.5,95471,0.3,128034,1.50 8,1,2024-09-07 08:44:11:030,341289,341289,0,0,160664332362,1698878130,334246,5208,1835,367,391956,0 8,2,2024-09-07 08:44:10:801,243763,243763,0,0,12500232,0,2986 8,3,2024-09-07 08:44:10:594,1,195,8,0,357,2960,195,0 9,0,2024-09-07 08:44:11:100,51885,0.4,50374,0.5,105229,0.3,137746,1.75 9,1,2024-09-07 08:44:10:553,341563,341563,0,0,159923185154,1691506807,335616,4411,1536,370,392001,0 9,2,2024-09-07 08:44:11:086,243344,243344,0,0,11047873,0,3360 9,3,2024-09-07 08:44:11:752,1,195,9,0,496,3072,195,0 10,0,2024-09-07 08:44:11:601,52181,0.4,51879,0.5,104049,0.3,138389,1.75 10,1,2024-09-07 08:44:10:594,342198,342198,0,0,159946841331,1681465700,336491,4863,844,381,391741,0 10,2,2024-09-07 08:44:10:762,247935,247935,0,0,11620475,0,2940 10,3,2024-09-07 08:44:10:875,1,195,18,0,177,1472,195,0 11,0,2024-09-07 08:44:11:102,45061,0.5,43791,0.8,91735,0.5,121949,2.00 11,1,2024-09-07 08:44:10:585,341798,341798,0,0,159962604800,1688818336,334540,5382,1876,384,391537,0 11,2,2024-09-07 08:44:11:125,247981,247981,0,0,11070602,0,3411 11,3,2024-09-07 08:44:11:298,1,195,22,0,720,3016,195,0 12,0,2024-09-07 08:44:11:000,47421,0.3,47180,0.5,94547,0.3,126203,1.75 12,1,2024-09-07 08:44:10:937,342676,342676,0,0,159813838817,1668297189,339621,2638,417,370,391837,0 12,2,2024-09-07 08:44:11:552,247593,247593,0,0,10886219,0,3469 12,3,2024-09-07 08:44:11:059,1,195,2,0,386,3731,195,0 13,0,2024-09-07 08:44:11:431,52525,0.4,52355,0.5,104728,0.3,139070,1.75 13,1,2024-09-07 08:44:11:533,341846,341846,0,0,159847289075,1677949505,338913,2409,524,382,391717,0 13,2,2024-09-07 08:44:10:595,246687,246687,0,0,10150192,0,3287 13,3,2024-09-07 08:44:11:769,1,195,10,0,467,3523,195,0 14,0,2024-09-07 08:44:10:570,52879,0.4,53400,0.6,105555,0.4,140777,1.75 14,1,2024-09-07 08:44:11:563,344621,344621,0,0,160922569718,1666823261,342309,2148,164,364,391571,0 14,2,2024-09-07 08:44:10:777,245108,245108,0,0,10064639,0,2896 14,3,2024-09-07 08:44:11:117,1,195,8,0,1168,3151,195,0 15,0,2024-09-07 08:44:11:557,46615,0.7,46844,0.9,93651,0.8,124576,2.25 15,1,2024-09-07 08:44:11:607,342870,342870,0,0,160903124739,1673523788,340695,2032,143,381,391619,0 15,2,2024-09-07 08:44:11:002,248928,248928,0,0,8731667,0,3043 15,3,2024-09-07 08:44:11:409,1,195,2,0,1126,4676,195,0 16,0,2024-09-07 08:44:10:940,45461,0.5,45763,0.7,90803,0.4,121806,2.00 16,1,2024-09-07 08:44:10:582,343284,343284,0,0,160500089391,1677462652,340596,2415,273,370,391756,0 16,2,2024-09-07 08:44:11:440,247262,247262,0,0,11100728,0,4719 16,3,2024-09-07 08:44:11:148,1,195,1,0,231,2489,195,0 17,0,2024-09-07 08:44:11:815,51933,0.4,50559,0.6,99173,0.4,134415,1.75 17,1,2024-09-07 08:44:10:587,341965,341965,0,0,160041938867,1679649954,338483,2805,677,368,391688,0 17,2,2024-09-07 08:44:11:666,249306,249306,0,0,9970130,0,2857 17,3,2024-09-07 08:44:10:594,1,195,14,0,268,3124,195,0 18,0,2024-09-07 08:44:10:949,50227,0.8,50619,0.9,101159,0.9,135025,2.50 18,1,2024-09-07 08:44:11:638,343088,343088,0,0,160042233816,1659251982,340970,1883,235,367,391564,0 18,2,2024-09-07 08:44:11:756,243520,243520,0,0,9572431,0,3541 18,3,2024-09-07 08:44:10:911,1,195,7,0,163,2080,195,0 19,0,2024-09-07 08:44:11:545,48515,0.9,48975,0.9,96748,1.1,128906,2.50 19,1,2024-09-07 08:44:10:577,343232,343232,0,0,161210308450,1676462294,339414,3102,716,367,391777,0 19,2,2024-09-07 08:44:11:752,249669,249669,0,0,9093005,0,3988 19,3,2024-09-07 08:44:11:129,1,195,47,0,524,1676,195,0 20,0,2024-09-07 08:44:11:367,43479,0.5,43432,0.7,87138,0.5,116347,2.00 20,1,2024-09-07 08:44:10:587,342193,342193,0,0,160728690264,1684856067,338553,3246,394,369,391822,0 20,2,2024-09-07 08:44:10:936,248296,248296,0,0,10589908,0,3721 20,3,2024-09-07 08:44:10:590,1,195,1,0,414,4179,195,0 21,0,2024-09-07 08:44:11:190,48734,0.4,48994,0.6,97578,0.3,129775,1.75 21,1,2024-09-07 08:44:11:543,341538,341538,0,0,159048815865,1678473684,336664,3824,1050,368,391962,0 21,2,2024-09-07 08:44:11:083,246247,246247,0,0,11644924,0,3747 21,3,2024-09-07 08:44:11:408,1,195,1,0,103,2264,195,0 22,0,2024-09-07 08:44:11:719,51125,0.7,51156,0.8,101953,0.8,135420,2.25 22,1,2024-09-07 08:44:11:030,341806,341806,0,0,159532234793,1681207041,336018,4269,1519,382,391667,0 22,2,2024-09-07 08:44:10:771,242621,242621,0,0,9878829,0,3134 22,3,2024-09-07 08:44:11:066,1,195,8,0,228,2000,195,0 23,0,2024-09-07 08:44:11:371,50429,0.8,50458,0.8,101212,0.9,134754,2.25 23,1,2024-09-07 08:44:11:010,342601,342601,0,0,160623102084,1689628302,336331,3846,2424,365,391549,0 23,2,2024-09-07 08:44:11:092,248059,248059,0,0,10236027,0,3010 23,3,2024-09-07 08:44:11:754,1,195,0,0,645,2526,195,0 24,0,2024-09-07 08:44:10:857,45249,0.5,45262,0.6,90455,0.5,119606,1.75 24,1,2024-09-07 08:44:10:581,342395,342395,0,0,159902907844,1677121315,338403,2964,1028,369,391640,0 24,2,2024-09-07 08:44:11:081,247385,247385,0,0,12264442,0,3400 24,3,2024-09-07 08:44:11:689,1,195,19,0,468,2664,195,0 25,0,2024-09-07 08:44:11:362,48498,0.4,47517,0.6,93217,0.3,126933,2.00 25,1,2024-09-07 08:44:10:578,342550,342550,0,0,160703479704,1685126565,338715,3290,545,371,391788,0 25,2,2024-09-07 08:44:11:606,245703,245703,0,0,12601940,0,3978 25,3,2024-09-07 08:44:11:005,1,195,24,0,255,2330,195,0 26,0,2024-09-07 08:44:11:727,51543,0.4,50513,0.6,105805,0.4,137503,1.75 26,1,2024-09-07 08:44:11:543,343035,343035,0,0,159881767350,1679363018,337575,4480,980,381,391748,0 26,2,2024-09-07 08:44:10:861,244948,244948,0,0,11569462,0,2809 26,3,2024-09-07 08:44:11:718,1,195,9,0,796,2542,195,0 27,0,2024-09-07 08:44:11:728,52789,0.5,52688,0.7,104438,0.5,139688,2.00 27,1,2024-09-07 08:44:11:676,344052,344052,0,0,160919949348,1673435580,341751,1972,329,381,391558,0 27,2,2024-09-07 08:44:10:878,244955,244955,0,0,11546455,0,3409 27,3,2024-09-07 08:44:11:015,1,195,8,0,564,2162,195,0 28,0,2024-09-07 08:44:11:390,46066,0.7,46197,0.8,92994,0.8,123922,2.25 28,1,2024-09-07 08:44:10:824,343253,343253,0,0,161186717272,1678256105,340977,1939,337,383,391646,0 28,2,2024-09-07 08:44:11:771,248835,248835,0,0,9674166,0,2915 28,3,2024-09-07 08:44:11:790,1,195,10,0,502,2261,195,0 29,0,2024-09-07 08:44:11:362,47574,0.3,46511,0.5,90794,0.2,124355,1.75 29,1,2024-09-07 08:44:11:561,344400,344400,0,0,160615191999,1663876756,341704,2152,544,369,391621,0 29,2,2024-09-07 08:44:10:866,246526,246526,0,0,8882705,0,4018 29,3,2024-09-07 08:44:10:969,1,195,3,0,115,1493,195,0 30,0,2024-09-07 08:44:11:463,51034,0.5,49733,0.7,104186,0.4,136539,2.00 30,1,2024-09-07 08:44:10:572,343709,343709,0,0,161184162262,1671909143,341706,1724,279,382,391672,0 30,2,2024-09-07 08:44:11:274,247489,247489,0,0,9008648,0,3161 30,3,2024-09-07 08:44:10:582,1,195,8,0,195,1361,195,0 31,0,2024-09-07 08:44:11:761,51497,0.5,51996,0.6,104452,0.4,138933,2.00 31,1,2024-09-07 08:44:10:571,345178,345178,0,0,161538886808,1655115657,344324,741,113,356,391712,0 31,2,2024-09-07 08:44:11:275,243264,243264,0,0,10509822,0,3525 31,3,2024-09-07 08:44:11:708,1,195,1,0,220,1605,195,0 32,0,2024-09-07 08:44:11:455,47959,0.4,48447,0.6,96976,0.4,128517,1.75 32,1,2024-09-07 08:44:10:841,343627,343627,0,0,161221172322,1672539959,341837,1514,276,381,391595,0 32,2,2024-09-07 08:44:10:949,248911,248911,0,0,8898699,0,3155 32,3,2024-09-07 08:44:11:019,1,195,0,0,227,1590,195,0 33,0,2024-09-07 08:44:11:493,44775,0.3,44184,0.5,89101,0.2,118662,1.75 33,1,2024-09-07 08:44:10:587,344320,344320,0,0,161381643926,1667934559,342056,2092,172,369,391730,0 33,2,2024-09-07 08:44:10:758,248432,248399,33,0,10873470,0,7012 33,3,2024-09-07 08:44:10:911,1,195,98,0,329,2209,195,0 34,0,2024-09-07 08:44:10:930,49430,0.2,51013,0.4,97862,0.2,131933,1.50 34,1,2024-09-07 08:44:11:044,344648,344648,0,0,162143182726,1668108368,343649,992,7,367,391562,0 34,2,2024-09-07 08:44:10:775,246564,246564,0,0,9899981,0,3577 34,3,2024-09-07 08:44:11:687,1,195,8,0,148,1324,195,0 35,0,2024-09-07 08:44:10:874,50324,0.4,50812,0.5,101659,0.3,135129,1.75 35,1,2024-09-07 08:44:11:070,342693,342693,0,0,160663467701,1667554231,339857,2066,770,384,391589,0 35,2,2024-09-07 08:44:11:584,243688,243688,0,0,8750748,0,2653 35,3,2024-09-07 08:44:10:914,1,195,31,0,418,2296,195,0 36,0,2024-09-07 08:44:11:520,50190,0.8,50121,0.9,100381,0.9,132940,2.50 36,1,2024-09-07 08:44:10:586,342979,342979,0,0,159698159527,1672059677,338030,3639,1310,366,391759,0 36,2,2024-09-07 08:44:11:751,247690,247690,0,0,10739715,0,3303 36,3,2024-09-07 08:44:10:863,1,195,1,0,378,3073,195,0 37,0,2024-09-07 08:44:11:428,42909,0.5,42843,0.7,85641,0.4,114838,2.00 37,1,2024-09-07 08:44:10:577,342224,342217,0,7,159715607074,1676864671,337199,2992,2026,365,391560,0 37,2,2024-09-07 08:44:11:142,248051,248036,15,0,11167692,0,5815 37,3,2024-09-07 08:44:11:771,1,195,2,0,724,2973,195,0 38,0,2024-09-07 08:44:11:441,47672,0.4,46273,0.6,96828,0.3,127466,2.00 38,1,2024-09-07 08:44:11:605,343630,343630,0,0,160602706162,1678650172,339163,3822,645,368,391821,0 38,2,2024-09-07 08:44:10:762,246340,246293,47,0,12318042,0,6710 38,3,2024-09-07 08:44:11:002,1,195,11,0,689,3146,195,0 39,0,2024-09-07 08:44:11:761,52750,0.7,51820,0.7,100642,0.8,137520,2.00 39,1,2024-09-07 08:44:10:717,343346,343346,0,0,160640626363,1674876396,339328,3216,802,365,391524,0 39,2,2024-09-07 08:44:11:417,243287,243287,0,0,9743342,0,2689 39,3,2024-09-07 08:44:10:712,1,195,1,0,293,2667,195,0 40,0,2024-09-07 08:44:11:505,51395,0.8,51937,1.0,103945,0.9,137884,3.00 40,1,2024-09-07 08:44:10:578,342260,342260,0,0,159521148819,1675550274,336456,4580,1224,368,391591,0 40,2,2024-09-07 08:44:11:303,246834,246833,1,0,12578227,0,5137 40,3,2024-09-07 08:44:11:147,1,195,11,0,181,2121,195,0 41,0,2024-09-07 08:44:11:022,45057,1.6,45997,1.4,88050,2.8,120487,3.75 41,1,2024-09-07 08:44:10:770,342156,342156,0,0,160473316360,1680178243,337532,4082,542,370,391742,0 41,2,2024-09-07 08:44:10:771,246548,246548,0,0,12488081,0,3356 41,3,2024-09-07 08:44:11:676,1,195,1,0,366,2357,195,0 42,0,2024-09-07 08:44:11:485,46658,0.7,46940,0.9,93512,0.6,124249,2.50 42,1,2024-09-07 08:44:11:445,341169,341169,0,0,159895023767,1682474343,335598,4498,1073,380,391675,0 42,2,2024-09-07 08:44:11:139,246083,246083,0,0,11696584,0,3568 42,3,2024-09-07 08:44:11:013,1,195,15,0,446,1650,195,0 43,0,2024-09-07 08:44:10:921,51894,0.8,50546,1.0,105641,0.8,138698,2.25 43,1,2024-09-07 08:44:10:578,343007,343007,0,0,160874694778,1678322686,339050,3161,796,366,391696,0 43,2,2024-09-07 08:44:11:739,246329,246329,0,0,10904087,0,3812 43,3,2024-09-07 08:44:11:749,1,195,40,0,467,2740,195,0 44,0,2024-09-07 08:44:10:868,52649,0.5,53053,0.7,105719,0.4,141326,1.75 44,1,2024-09-07 08:44:10:577,343984,343984,0,0,159898716928,1652045745,341809,1750,425,356,391809,0 44,2,2024-09-07 08:44:11:267,244335,244335,0,0,8664508,0,1877 44,3,2024-09-07 08:44:11:093,1,195,3,0,817,2279,195,0 45,0,2024-09-07 08:44:11:756,46204,0.9,45231,1.0,94992,1.0,125216,2.25 45,1,2024-09-07 08:44:11:005,343778,343778,0,0,160345056236,1661091890,342682,1086,10,382,391917,0 45,2,2024-09-07 08:44:11:267,248870,248870,0,0,9532385,0,3596 45,3,2024-09-07 08:44:10:939,1,195,1,0,226,1849,195,0 46,0,2024-09-07 08:44:10:951,45371,0.4,45271,0.6,90819,0.3,121004,2.00 46,1,2024-09-07 08:44:10:586,344268,344268,0,0,160595349147,1658458661,342458,1586,224,366,391524,0 46,2,2024-09-07 08:44:10:595,247926,247926,0,0,9472828,0,2920 46,3,2024-09-07 08:44:11:131,1,195,23,0,908,3263,195,0 47,0,2024-09-07 08:44:11:105,50545,0.3,50613,0.5,101513,0.2,134229,1.75 47,1,2024-09-07 08:44:10:573,344715,344715,0,0,160381547128,1655006104,343225,1473,17,366,391605,0 47,2,2024-09-07 08:44:10:913,248439,248439,0,0,9524361,0,2558 47,3,2024-09-07 08:44:11:115,1,195,100,0,529,2121,195,0 48,0,2024-09-07 08:44:11:502,51402,0.3,51049,0.4,101839,0.2,136197,1.50 48,1,2024-09-07 08:44:11:031,344323,344323,0,0,160618942823,1667194840,342255,1887,181,384,391710,0 48,2,2024-09-07 08:44:10:698,243537,243537,0,0,8438951,0,3031 48,3,2024-09-07 08:44:10:756,1,195,2,0,339,1787,195,0 49,0,2024-09-07 08:44:11:715,50263,0.5,49323,0.6,95813,0.4,130862,1.75 49,1,2024-09-07 08:44:11:029,342680,342680,0,0,160496743991,1671827672,339890,1950,840,382,391610,0 49,2,2024-09-07 08:44:11:802,249659,249659,0,0,9287796,0,3900 49,3,2024-09-07 08:44:11:417,1,195,6,0,408,2536,195,0 50,0,2024-09-07 08:44:11:509,43705,0.3,43242,0.5,86804,0.2,116355,1.75 50,1,2024-09-07 08:44:11:020,344897,344897,0,0,161179479560,1669365131,342296,2291,310,368,391530,0 50,2,2024-09-07 08:44:11:070,248855,248855,0,0,9192240,0,2263 50,3,2024-09-07 08:44:11:291,1,195,8,0,335,1588,195,0 51,0,2024-09-07 08:44:11:689,49890,0.2,48881,0.4,95368,0.2,130429,1.50 51,1,2024-09-07 08:44:11:686,344151,344151,0,0,162241069894,1674539810,342175,1190,786,365,391637,0 51,2,2024-09-07 08:44:11:320,246720,246720,0,0,8517127,0,3337 51,3,2024-09-07 08:44:11:033,1,195,1,0,162,1005,195,0 52,0,2024-09-07 08:44:11:422,51656,0.5,51490,0.6,102808,0.4,136124,2.00 52,1,2024-09-07 08:44:10:587,342274,342274,0,0,159182385685,1675278853,336017,5097,1160,368,391722,0 52,2,2024-09-07 08:44:11:754,241548,241510,38,0,11324578,0,6742 52,3,2024-09-07 08:44:10:674,1,195,1,0,1782,3780,195,0 53,0,2024-09-07 08:44:11:745,50310,0.9,48914,0.9,102374,1.0,133937,2.50 53,1,2024-09-07 08:44:10:775,341637,341637,0,0,160393860364,1689043852,335425,4177,2035,367,391702,0 53,2,2024-09-07 08:44:11:298,248103,248103,0,0,9840544,0,2262 53,3,2024-09-07 08:44:10:698,1,195,2,0,271,1744,195,0 54,0,2024-09-07 08:44:11:614,43676,1.3,44133,1.0,87374,0.6,116819,3.25 54,1,2024-09-07 08:44:10:598,342743,342743,0,0,160833603319,1676580078,338630,3542,571,367,391659,0 54,2,2024-09-07 08:44:10:872,248084,248078,6,0,11381268,0,5382 54,3,2024-09-07 08:44:10:776,1,195,8,0,676,3406,195,0 55,0,2024-09-07 08:44:11:760,45813,0.5,47398,0.7,95663,0.4,124718,2.25 55,1,2024-09-07 08:44:10:771,342128,342128,0,0,159476107626,1666699708,336665,4676,787,365,391731,0 55,2,2024-09-07 08:44:10:737,245793,245793,0,0,11091664,0,3275 55,3,2024-09-07 08:44:10:674,1,195,2,0,304,2448,195,0 56,0,2024-09-07 08:44:11:583,52926,1.3,49933,1.2,102715,1.8,137377,2.50 56,1,2024-09-07 08:44:10:600,341757,341757,0,0,160123892005,1693727514,336085,4729,943,381,391678,0 56,2,2024-09-07 08:44:11:305,244579,244579,0,0,11998142,0,3567 56,3,2024-09-07 08:44:11:073,1,195,705,0,705,3291,195,0 57,0,2024-09-07 08:44:10:949,51583,2.0,51776,1.4,103485,2.8,138536,3.50 57,1,2024-09-07 08:44:10:991,342387,342387,0,0,159416397888,1670164903,338797,3171,419,367,391960,0 57,2,2024-09-07 08:44:11:330,246249,246249,0,0,12698504,0,3317 57,3,2024-09-07 08:44:11:741,1,195,11,0,359,2943,195,0 58,0,2024-09-07 08:44:10:565,45055,1.0,43872,1.1,91807,1.0,120402,2.75 58,1,2024-09-07 08:44:10:580,343027,343024,0,3,160720773169,1683138799,337872,4458,694,367,391517,3 58,2,2024-09-07 08:44:11:073,248324,248324,0,0,11292391,0,2549 58,3,2024-09-07 08:44:11:071,1,195,17,0,1043,2490,195,0 59,0,2024-09-07 08:44:11:747,46608,0.7,46110,0.9,92346,0.7,123181,2.75 59,1,2024-09-07 08:44:10:809,342678,342678,0,0,160656840586,1681590138,338400,3295,983,369,391525,0 59,2,2024-09-07 08:44:10:585,247868,247868,0,0,10486748,0,2604 59,3,2024-09-07 08:44:11:739,1,195,0,0,1015,3141,195,0 60,0,2024-09-07 08:44:11:719,51391,0.4,51323,0.6,102619,0.3,136893,1.75 60,1,2024-09-07 08:44:10:772,344348,344348,0,0,161078352302,1668537362,342732,1251,365,370,391761,0 60,2,2024-09-07 08:44:11:141,247039,247039,0,0,10781316,0,3811 60,3,2024-09-07 08:44:11:268,1,195,1,0,124,1807,195,0 61,0,2024-09-07 08:44:11:505,51976,0.9,52117,0.9,104030,1.1,139148,2.00 61,1,2024-09-07 08:44:10:771,342732,342732,0,0,160787115750,1684490406,338777,3323,632,382,391880,0 61,2,2024-09-07 08:44:11:137,244553,244553,0,0,9535526,0,2079 61,3,2024-09-07 08:44:11:687,1,195,0,0,265,2413,195,0 62,0,2024-09-07 08:44:11:722,48466,1.1,49497,1.0,94339,1.5,128322,2.25 62,1,2024-09-07 08:44:11:117,344853,344847,0,6,161681933116,1667664930,342857,1881,109,365,391715,6 62,2,2024-09-07 08:44:11:647,247452,247451,1,0,11126305,0,5555 62,3,2024-09-07 08:44:11:148,1,195,14,0,287,1339,195,0 63,0,2024-09-07 08:44:11:465,44494,0.5,44411,0.6,88906,0.4,118448,1.75 63,1,2024-09-07 08:44:10:809,344275,344269,0,6,160988466954,1667013719,342990,1252,27,381,391677,6 63,2,2024-09-07 08:44:10:771,248154,248154,0,0,9212978,0,2674 63,3,2024-09-07 08:44:11:738,1,195,25,0,667,2516,195,0 64,0,2024-09-07 08:44:11:527,49118,0.4,49240,0.6,98753,0.3,131654,1.75 64,1,2024-09-07 08:44:10:765,343316,343316,0,0,160551244080,1674634519,339830,2431,1055,370,391783,0 64,2,2024-09-07 08:44:11:156,249037,249018,19,0,9887801,0,6121 64,3,2024-09-07 08:44:11:155,1,195,2,0,265,2079,195,0 65,0,2024-09-07 08:44:11:679,50091,0.7,50564,0.8,100816,0.8,134162,2.25 65,1,2024-09-07 08:44:10:862,342478,342478,0,0,159986742904,1672952158,339737,2517,224,382,391770,0 65,2,2024-09-07 08:44:11:698,243143,243143,0,0,10107667,0,3367 65,3,2024-09-07 08:44:11:686,1,195,0,0,163,1796,195,0 66,0,2024-09-07 08:44:11:764,49628,0.6,49738,0.8,99772,0.6,132227,2.25 66,1,2024-09-07 08:44:11:294,344051,344051,0,0,160869122493,1669776822,342162,1716,173,380,391588,0 66,2,2024-09-07 08:44:11:132,250436,250436,0,0,9882557,0,4956 66,3,2024-09-07 08:44:11:089,1,195,13,0,291,1989,195,0 67,0,2024-09-07 08:44:11:479,43331,0.7,43131,0.8,86616,0.8,114899,2.25 67,1,2024-09-07 08:44:10:769,342918,342917,0,1,160529236287,1675997110,339429,2741,747,380,391787,1 67,2,2024-09-07 08:44:10:583,249860,249860,0,0,9291202,0,2889 67,3,2024-09-07 08:44:11:752,1,195,20,0,338,1710,195,0 68,0,2024-09-07 08:44:10:604,47985,0.5,47924,0.7,95519,0.5,127942,2.00 68,1,2024-09-07 08:44:10:576,342042,342042,0,0,160048627153,1680614142,338432,2474,1136,381,391953,0 68,2,2024-09-07 08:44:11:053,246043,245978,65,0,12828093,0,6698 68,3,2024-09-07 08:44:10:728,1,195,4,0,417,2421,195,0 69,0,2024-09-07 08:44:11:771,51509,0.9,51696,0.9,102854,1.1,137019,2.25 69,1,2024-09-07 08:44:11:026,340990,340990,0,0,160028599351,1689247605,336720,3055,1215,384,391994,0 69,2,2024-09-07 08:44:11:740,243902,243902,0,0,11547453,0,3701 69,3,2024-09-07 08:44:10:769,1,195,10,0,698,2987,195,0 70,0,2024-09-07 08:44:11:532,51549,1.4,51551,1.2,103466,1.0,137525,2.50 70,1,2024-09-07 08:44:10:809,343305,343305,0,0,161595154543,1679298480,340310,2491,504,366,391725,0 70,2,2024-09-07 08:44:11:325,247724,247724,0,0,10726000,0,4044 70,3,2024-09-07 08:44:10:758,1,195,10,0,854,2494,195,0 71,0,2024-09-07 08:44:11:356,44989,1.6,44933,1.4,89685,2.3,120759,3.25 71,1,2024-09-07 08:44:11:596,342834,342834,0,0,160404119059,1677319488,338459,3823,552,368,391738,0 71,2,2024-09-07 08:44:11:067,248953,248953,0,0,11186708,0,2579 71,3,2024-09-07 08:44:11:771,1,195,2,0,644,3059,195,0 72,0,2024-09-07 08:44:11:052,48556,0.6,47434,0.8,92673,0.6,126601,2.25 72,1,2024-09-07 08:44:11:041,342809,342809,0,0,160658366661,1679747624,338638,3422,749,369,391819,0 72,2,2024-09-07 08:44:11:756,245598,245598,0,0,12615920,0,2570 72,3,2024-09-07 08:44:11:780,1,195,1,0,364,3536,195,0 73,0,2024-09-07 08:44:11:104,50964,0.4,52209,0.6,106950,0.4,138540,2.25 73,1,2024-09-07 08:44:10:770,342892,342892,0,0,161263877652,1677313560,340256,2422,214,367,391750,0 73,2,2024-09-07 08:44:11:741,246608,246608,0,0,11949408,0,3482 73,3,2024-09-07 08:44:10:969,1,195,64,0,274,3143,195,0 74,0,2024-09-07 08:44:11:329,53361,0.5,54290,0.7,103589,0.5,140897,2.25 74,1,2024-09-07 08:44:10:634,342357,342357,0,0,160436334819,1677137527,338731,2872,754,381,391681,0 74,2,2024-09-07 08:44:11:007,244571,244571,0,0,11854362,0,4253 74,3,2024-09-07 08:44:11:453,1,195,13,0,522,3004,195,0 75,0,2024-09-07 08:44:11:768,47027,0.9,46714,1.1,93729,0.9,125587,2.50 75,1,2024-09-07 08:44:11:588,342053,342053,0,0,159757751197,1674933927,337655,3736,662,381,391579,0 75,2,2024-09-07 08:44:11:350,247692,247692,0,0,11755867,0,4766 75,3,2024-09-07 08:44:11:072,1,195,702,0,702,2989,195,0 76,0,2024-09-07 08:44:10:588,45297,0.4,44904,0.7,89688,0.3,120880,2.25 76,1,2024-09-07 08:44:10:807,342917,342917,0,0,160302057057,1670945846,340731,1673,513,382,391692,0 76,2,2024-09-07 08:44:11:067,248481,248481,0,0,9987957,0,3784 76,3,2024-09-07 08:44:11:148,1,195,1,0,175,2223,195,0 77,0,2024-09-07 08:44:11:699,50351,0.4,50695,0.6,101016,0.3,133925,1.75 77,1,2024-09-07 08:44:10:832,343935,343935,0,0,160633672468,1674071050,342098,1749,88,383,391808,0 77,2,2024-09-07 08:44:11:284,246596,246596,0,0,10215249,0,3890 77,3,2024-09-07 08:44:11:097,1,195,3,0,305,2225,195,0 78,0,2024-09-07 08:44:11:719,51054,0.4,50769,0.6,102345,0.4,135727,2.00 78,1,2024-09-07 08:44:10:620,343328,343328,0,0,159495973715,1657943699,340637,2376,315,367,391646,0 78,2,2024-09-07 08:44:11:406,243935,243935,0,0,9062316,0,2114 78,3,2024-09-07 08:44:11:140,1,195,12,0,181,1916,195,0 79,0,2024-09-07 08:44:11:356,47482,0.5,48592,0.7,99424,0.4,129172,2.50 79,1,2024-09-07 08:44:10:577,344441,344441,0,0,160844328076,1665986205,341733,2372,336,369,391682,0 79,2,2024-09-07 08:44:11:070,249221,249221,0,0,9289339,0,3212 79,3,2024-09-07 08:44:10:756,1,195,9,0,418,3046,195,0 80,0,2024-09-07 08:44:11:076,43386,0.5,44658,0.7,85513,0.5,116372,2.00 80,1,2024-09-07 08:44:11:620,342579,342579,0,0,159978507829,1665117907,339950,2463,166,368,391791,0 80,2,2024-09-07 08:44:11:098,249250,249250,0,0,9946918,0,4433 80,3,2024-09-07 08:44:10:576,1,195,2,0,190,2866,195,0 81,0,2024-09-07 08:44:11:555,48801,0.4,50176,0.6,96036,0.4,129944,1.75 81,1,2024-09-07 08:44:11:657,343133,343133,0,0,159740541553,1668437978,340536,2321,276,382,391879,0 81,2,2024-09-07 08:44:11:127,246435,246435,0,0,10196332,0,3993 81,3,2024-09-07 08:44:11:125,1,195,1,0,193,1905,195,0 82,0,2024-09-07 08:44:11:533,51010,0.4,51372,0.6,102814,0.4,136249,1.75 82,1,2024-09-07 08:44:10:590,343330,343326,0,4,159931592278,1666123556,340810,1938,578,381,391558,4 82,2,2024-09-07 08:44:11:691,243418,243418,0,0,9443265,0,3986 82,3,2024-09-07 08:44:11:752,1,195,1,0,363,2453,195,0 83,0,2024-09-07 08:44:11:523,50769,0.6,50797,0.8,100807,0.7,134311,2.00 83,1,2024-09-07 08:44:10:585,342912,342912,0,0,159622597875,1666415936,340220,2467,225,382,391690,0 83,2,2024-09-07 08:44:10:774,247687,247687,0,0,9304623,0,3119 83,3,2024-09-07 08:44:10:761,1,195,5,0,241,1958,195,0 84,0,2024-09-07 08:44:11:785,44147,1.0,44134,1.1,88587,0.8,117991,2.50 84,1,2024-09-07 08:44:11:101,342040,342040,0,0,159323764371,1669275970,338056,3416,568,368,391967,0 84,2,2024-09-07 08:44:10:574,248586,248586,0,0,11304981,0,3801 84,3,2024-09-07 08:44:11:142,1,195,1,0,353,2707,195,0 85,0,2024-09-07 08:44:11:011,45674,0.6,45759,0.8,96911,0.6,125436,2.25 85,1,2024-09-07 08:44:10:560,341780,341780,0,0,158734185213,1681553096,335736,4949,1095,382,392006,0 85,2,2024-09-07 08:44:10:875,247415,247415,0,0,11792328,0,3656 85,3,2024-09-07 08:44:10:690,1,195,7,0,789,2826,195,0 86,0,2024-09-07 08:44:10:914,51828,0.7,53178,0.8,102078,0.7,137404,2.00 86,1,2024-09-07 08:44:10:831,343003,343003,0,0,160718849896,1682438575,338966,3492,545,366,391961,0 86,2,2024-09-07 08:44:10:854,243575,243574,1,0,12158249,0,5004 86,3,2024-09-07 08:44:10:588,1,195,9,0,286,2714,195,0 87,0,2024-09-07 08:44:11:284,52290,1.2,51982,1.0,104564,1.7,140087,2.50 87,1,2024-09-07 08:44:10:553,342781,342781,0,0,160484605659,1681878700,338888,3433,460,366,391788,0 87,2,2024-09-07 08:44:11:072,245368,245368,0,0,10497561,0,3515 87,3,2024-09-07 08:44:11:797,1,195,1,0,473,3553,195,0 88,0,2024-09-07 08:44:11:446,46228,0.6,46459,0.7,92753,0.7,123655,1.75 88,1,2024-09-07 08:44:10:573,341425,341425,0,0,159836120776,1681289088,335967,3997,1461,365,392084,0 88,2,2024-09-07 08:44:10:691,247787,247787,0,0,12661353,0,3583 88,3,2024-09-07 08:44:11:266,1,195,1,0,435,2553,195,0 89,0,2024-09-07 08:44:11:830,47824,0.4,46247,0.7,91617,0.4,124417,1.75 89,1,2024-09-07 08:44:10:561,340798,340798,0,0,160094691381,1693449309,334812,5041,945,382,391866,0 89,2,2024-09-07 08:44:11:142,246392,246392,0,0,12248854,0,2910 89,3,2024-09-07 08:44:11:795,1,195,1,0,325,3938,195,0 90,0,2024-09-07 08:44:11:619,49979,0.4,51079,0.6,104772,0.4,136520,1.75 90,1,2024-09-07 08:44:10:600,342761,342761,0,0,160201371975,1680072478,339442,3060,259,381,391825,0 90,2,2024-09-07 08:44:11:406,245385,245385,0,0,13092060,0,3060 90,3,2024-09-07 08:44:10:937,1,195,9,0,200,2183,195,0 91,0,2024-09-07 08:44:11:044,52430,0.4,50634,0.6,105717,0.4,139308,1.75 91,1,2024-09-07 08:44:10:558,342217,342217,0,0,160715242847,1688967464,338062,3653,502,381,392047,0 91,2,2024-09-07 08:44:11:334,243016,243016,0,0,11530353,0,2445 91,3,2024-09-07 08:44:10:598,1,195,1,0,216,2035,195,0 92,0,2024-09-07 08:44:11:448,48561,0.6,49828,0.8,95199,0.8,128698,1.75 92,1,2024-09-07 08:44:10:582,342613,342613,0,0,159789947194,1667413763,340402,1807,404,382,392136,0 92,2,2024-09-07 08:44:11:361,249974,249974,0,0,9876984,0,2801 92,3,2024-09-07 08:44:11:016,1,195,23,0,167,1645,195,0 93,0,2024-09-07 08:44:10:975,44705,0.4,45760,0.6,87483,0.3,118838,1.75 93,1,2024-09-07 08:44:10:817,343279,343279,0,0,160896534641,1678820097,339984,2740,555,366,391692,0 93,2,2024-09-07 08:44:10:932,248735,248735,0,0,10160388,0,2509 93,3,2024-09-07 08:44:11:406,1,195,40,0,143,2020,195,0 94,0,2024-09-07 08:44:11:604,49226,0.3,50033,0.4,99338,0.2,131470,1.50 94,1,2024-09-07 08:44:10:571,342562,342562,0,0,160818361116,1680145326,339927,2502,133,381,391850,0 94,2,2024-09-07 08:44:10:777,246458,246458,0,0,9583380,0,2443 94,3,2024-09-07 08:44:11:688,1,195,12,0,264,2802,195,0 95,0,2024-09-07 08:44:11:343,50989,0.3,50621,0.5,102196,0.3,134981,1.75 95,1,2024-09-07 08:44:10:858,343024,343024,0,0,161119968306,1675085968,340367,2462,195,367,391662,0 95,2,2024-09-07 08:44:11:021,242775,242775,0,0,9639363,0,3308 95,3,2024-09-07 08:44:11:709,1,195,1,0,718,3436,195,0 96,0,2024-09-07 08:44:11:030,50110,0.5,50367,0.6,100646,0.5,132796,1.75 96,1,2024-09-07 08:44:11:583,342935,342935,0,0,160491559190,1677233995,340189,2099,647,385,391894,0 96,2,2024-09-07 08:44:11:268,248376,248376,0,0,10252898,0,4042 96,3,2024-09-07 08:44:11:141,1,195,6,0,411,2506,195,0 97,0,2024-09-07 08:44:11:328,43515,0.4,43217,0.5,86718,0.3,115246,1.75 97,1,2024-09-07 08:44:10:782,344081,344081,0,0,160484555996,1666762319,341260,2268,553,367,392140,0 97,2,2024-09-07 08:44:10:641,248935,248935,0,0,9676163,0,3036 97,3,2024-09-07 08:44:10:575,1,195,1,0,165,2313,195,0 98,0,2024-09-07 08:44:11:699,47963,0.2,47819,0.4,96023,0.2,128233,1.50 98,1,2024-09-07 08:44:10:586,343012,343012,0,0,159855469037,1664856360,341155,1763,94,382,391997,0 98,2,2024-09-07 08:44:10:769,247915,247915,0,0,9749514,0,3080 98,3,2024-09-07 08:44:10:704,1,195,11,0,840,3480,195,0 99,0,2024-09-07 08:44:11:506,51852,0.3,51845,0.4,103386,0.3,138030,1.50 99,1,2024-09-07 08:44:11:723,343891,343891,0,0,159805496088,1667747159,340580,2597,714,381,391744,0 99,2,2024-09-07 08:44:11:422,245513,245513,0,0,9536771,0,2615 99,3,2024-09-07 08:44:10:581,1,195,1,0,129,1375,195,0 100,0,2024-09-07 08:44:11:463,51864,0.9,51914,1.1,103533,1.5,138216,2.50 100,1,2024-09-07 08:44:10:562,341101,341101,0,0,159253038201,1686873388,335599,4569,933,381,391989,0 100,2,2024-09-07 08:44:11:827,246108,246097,11,0,11957314,0,5417 100,3,2024-09-07 08:44:11:731,1,195,12,0,559,3872,195,0 101,0,2024-09-07 08:44:11:697,46339,2.3,45222,1.4,88442,2.3,122054,2.75 101,1,2024-09-07 08:44:10:549,341378,341378,0,0,159313949894,1683703367,335346,4510,1522,368,391769,0 101,2,2024-09-07 08:44:11:757,247697,247697,0,0,12655380,0,4644 101,3,2024-09-07 08:44:10:949,1,195,1,0,448,2497,195,0 102,0,2024-09-07 08:44:11:007,45968,0.7,47548,0.9,95691,0.7,126005,2.00 102,1,2024-09-07 08:44:11:166,341986,341986,0,0,159818649258,1682003680,337263,4005,718,369,391883,0 102,2,2024-09-07 08:44:11:739,246934,246880,54,0,12015392,0,6768 102,3,2024-09-07 08:44:11:613,1,195,14,0,410,2179,195,0 103,0,2024-09-07 08:44:11:598,53762,0.5,53782,0.7,101454,0.5,139509,2.00 103,1,2024-09-07 08:44:11:627,340916,340916,0,0,159520859283,1684416124,335485,4106,1325,381,391829,0 103,2,2024-09-07 08:44:10:586,245264,245264,0,0,10700486,0,2104 103,3,2024-09-07 08:44:10:755,1,195,0,0,916,3144,195,0 104,0,2024-09-07 08:44:11:027,52234,1.0,52666,1.1,104101,1.1,140762,2.50 104,1,2024-09-07 08:44:11:610,342881,342881,0,0,159985061427,1686169877,337532,4379,970,365,391948,0 104,2,2024-09-07 08:44:11:671,243946,243946,0,0,11679731,0,3941 104,3,2024-09-07 08:44:11:418,1,195,1,1,1245,5641,195,0 105,0,2024-09-07 08:44:11:075,46414,1.4,45013,1.3,94180,2.2,124419,3.50 105,1,2024-09-07 08:44:10:557,342913,342913,0,0,160341551673,1683477739,338128,3982,803,367,391797,0 105,2,2024-09-07 08:44:11:324,247441,247441,0,0,11455460,0,3509 105,3,2024-09-07 08:44:11:305,1,195,2,0,399,3508,195,0 106,0,2024-09-07 08:44:10:974,43778,0.7,44933,0.9,91788,0.7,120262,2.50 106,1,2024-09-07 08:44:11:764,342656,342656,0,0,159948821914,1681443341,337401,4778,477,369,391767,0 106,2,2024-09-07 08:44:10:766,246059,246059,0,0,11598208,0,2795 106,3,2024-09-07 08:44:10:689,1,195,8,0,470,3108,195,0 107,0,2024-09-07 08:44:11:099,50237,0.6,50250,0.7,100262,0.5,133541,2.00 107,1,2024-09-07 08:44:10:587,341793,341793,0,0,159528790762,1679390069,337801,3582,410,381,392234,0 107,2,2024-09-07 08:44:11:291,246348,246347,1,0,11660728,0,5024 107,3,2024-09-07 08:44:11:771,1,195,8,0,353,2746,195,0 108,0,2024-09-07 08:44:11:824,51187,0.4,51320,0.6,101774,0.4,136156,1.75 108,1,2024-09-07 08:44:11:295,342623,342623,0,0,160065753296,1669469511,339901,2408,314,368,391857,0 108,2,2024-09-07 08:44:11:768,241940,241940,0,0,10401582,0,2647 108,3,2024-09-07 08:44:11:334,1,195,5,0,667,3542,195,0 109,0,2024-09-07 08:44:11:748,49308,0.4,48806,0.6,97897,0.4,131032,1.75 109,1,2024-09-07 08:44:10:594,342116,342116,0,0,159768629243,1675767398,339228,2391,497,383,392132,0 109,2,2024-09-07 08:44:10:956,248378,248378,0,0,10694509,0,3617 109,3,2024-09-07 08:44:11:141,1,195,1,0,249,2550,195,0 110,0,2024-09-07 08:44:11:801,43815,0.4,42596,0.6,88740,0.3,116587,1.75 110,1,2024-09-07 08:44:11:669,343388,343388,0,0,160567979050,1667960415,340755,1866,767,370,391667,0 110,2,2024-09-07 08:44:11:305,248782,248782,0,0,9938597,0,2915 110,3,2024-09-07 08:44:10:691,1,195,18,0,406,2799,195,0 111,0,2024-09-07 08:44:11:419,48917,0.2,48521,0.4,96781,0.2,130088,1.50 111,1,2024-09-07 08:44:11:002,343954,343954,0,0,160778339838,1667068728,342164,1457,333,382,391690,0 111,2,2024-09-07 08:44:11:116,246538,246538,0,0,10324813,0,2763 111,3,2024-09-07 08:44:10:918,1,195,5,0,379,2908,195,0 112,0,2024-09-07 08:44:10:910,51418,0.3,51329,0.4,102798,0.2,136403,1.50 112,1,2024-09-07 08:44:10:830,344156,344156,0,0,160991583835,1671460742,341784,1946,426,380,391580,0 112,2,2024-09-07 08:44:11:138,243075,243074,1,0,9949255,0,5036 112,3,2024-09-07 08:44:10:594,1,195,2,0,282,2174,195,0 113,0,2024-09-07 08:44:10:872,50522,0.4,50523,0.5,101488,0.3,135459,1.75 113,1,2024-09-07 08:44:11:686,344341,344341,0,0,161718661928,1671455364,341919,1933,489,366,391661,0 113,2,2024-09-07 08:44:11:303,249130,249130,0,0,9136101,0,3813 113,3,2024-09-07 08:44:10:696,1,195,5,0,340,3226,195,0 114,0,2024-09-07 08:44:10:885,45075,0.5,45621,0.6,89903,0.4,120020,1.75 114,1,2024-09-07 08:44:10:715,342694,342694,0,0,160931960845,1679472505,338561,2670,1463,381,391534,0 114,2,2024-09-07 08:44:10:881,248992,248992,0,0,9633029,0,3925 114,3,2024-09-07 08:44:11:278,1,195,12,0,395,2222,195,0 115,0,2024-09-07 08:44:10:554,47280,0.2,47661,0.4,95209,0.1,126465,1.50 115,1,2024-09-07 08:44:10:573,342933,342933,0,0,160327246275,1669355308,339255,2857,821,382,391602,0 115,2,2024-09-07 08:44:11:125,248044,248044,0,0,8812412,0,2152 115,3,2024-09-07 08:44:11:009,1,195,0,0,159,1177,195,0 116,0,2024-09-07 08:44:11:698,51851,0.7,51562,0.9,103402,0.8,138040,2.00 116,1,2024-09-07 08:44:10:827,340487,340487,0,0,160033342681,1699758212,334560,3875,2052,380,391782,0 116,2,2024-09-07 08:44:11:772,244056,244056,0,0,12748958,0,3529 116,3,2024-09-07 08:44:10:931,1,195,1,0,252,2713,195,0 117,0,2024-09-07 08:44:10:997,52286,1.0,52328,1.0,104549,1.3,140238,2.00 117,1,2024-09-07 08:44:11:582,342198,342198,0,0,159601309337,1674141535,337973,3749,476,370,392033,0 117,2,2024-09-07 08:44:11:118,247824,247824,0,0,9990483,0,3700 117,3,2024-09-07 08:44:11:062,1,195,5,0,490,3599,195,0 118,0,2024-09-07 08:44:11:775,44989,0.8,46236,0.9,94068,0.9,123250,2.25 118,1,2024-09-07 08:44:10:585,342341,342341,0,0,159769939469,1684699148,336742,4173,1426,366,391736,0 118,2,2024-09-07 08:44:11:600,247848,247848,0,0,11591709,0,2781 118,3,2024-09-07 08:44:11:767,1,195,18,0,235,2408,195,0 119,0,2024-09-07 08:44:11:333,46001,0.6,46344,0.8,93222,0.5,123650,2.00 119,1,2024-09-07 08:44:10:555,343150,343150,0,0,160349647690,1674576225,339962,2881,307,368,391641,0 119,2,2024-09-07 08:44:11:262,246933,246933,0,0,10634755,0,3526 119,3,2024-09-07 08:44:11:326,1,195,1,0,443,3242,195,0 120,0,2024-09-07 08:44:11:542,50932,0.5,51043,0.7,102227,0.4,136779,2.00 120,1,2024-09-07 08:44:10:871,342778,342778,0,0,159886038790,1679424375,338855,3585,338,368,391961,0 120,2,2024-09-07 08:44:10:782,245935,245934,1,0,13095881,0,5281 120,3,2024-09-07 08:44:11:294,1,195,4,0,241,2784,195,0 121,0,2024-09-07 08:44:11:687,51767,1.3,52124,1.1,103994,1.7,138793,2.25 121,1,2024-09-07 08:44:11:658,342513,342513,0,0,159968405039,1675675862,339016,3132,365,367,391840,0 121,2,2024-09-07 08:44:11:130,242918,242918,0,0,12030857,0,4127 121,3,2024-09-07 08:44:10:731,1,195,1,0,269,2535,195,0 122,0,2024-09-07 08:44:11:763,47961,1.1,46730,1.1,97902,1.2,128619,2.25 122,1,2024-09-07 08:44:10:871,341581,341581,0,0,159631426327,1678792086,336709,4162,710,366,392130,0 122,2,2024-09-07 08:44:11:321,247902,247902,0,0,13801105,0,3364 122,3,2024-09-07 08:44:10:603,1,195,8,0,411,4514,195,0 123,0,2024-09-07 08:44:11:006,44429,0.7,43348,0.8,90411,0.8,118340,2.00 123,1,2024-09-07 08:44:10:577,342065,342065,0,0,160471104597,1698271268,334448,6088,1529,369,391823,0 123,2,2024-09-07 08:44:11:019,246134,246133,1,0,11967311,0,5215 123,3,2024-09-07 08:44:11:138,1,195,1,0,168,2433,195,0 124,0,2024-09-07 08:44:10:924,50994,0.3,50908,0.4,96185,0.2,131971,1.50 124,1,2024-09-07 08:44:11:033,343789,343789,0,0,160179167514,1663759796,341559,1873,357,367,392178,0 124,2,2024-09-07 08:44:11:012,247037,247037,0,0,9702090,0,3101 124,3,2024-09-07 08:44:10:761,1,195,26,0,490,2538,195,0 125,0,2024-09-07 08:44:11:473,50804,0.4,50729,0.5,101759,0.3,135060,1.75 125,1,2024-09-07 08:44:10:859,342788,342788,0,0,159683834134,1666392471,340377,2133,278,383,391702,0 125,2,2024-09-07 08:44:11:266,243680,243680,0,0,9468589,0,2180 125,3,2024-09-07 08:44:11:138,1,195,11,0,284,2434,195,0 126,0,2024-09-07 08:44:11:424,50293,0.6,51545,0.7,98748,0.7,133669,1.75 126,1,2024-09-07 08:44:10:603,343360,343360,0,0,161420799791,1672614284,341406,1858,96,365,391987,0 126,2,2024-09-07 08:44:10:647,249792,249792,0,0,10183993,0,3186 126,3,2024-09-07 08:44:10:917,1,195,13,0,150,2712,195,0 127,0,2024-09-07 08:44:11:615,43206,0.4,43474,0.5,86622,0.3,115124,1.75 127,1,2024-09-07 08:44:10:580,343146,343146,0,0,160993396853,1668054367,340864,2239,43,365,391816,0 127,2,2024-09-07 08:44:10:638,249205,249205,0,0,9667323,0,2264 127,3,2024-09-07 08:44:11:281,1,195,1,0,243,1684,195,0 128,0,2024-09-07 08:44:11:527,48308,0.3,48061,0.4,96296,0.2,128290,1.50 128,1,2024-09-07 08:44:11:607,343732,343732,0,0,160333043629,1660570519,342418,1208,106,367,391680,0 128,2,2024-09-07 08:44:11:389,246953,246953,0,0,9319439,0,2107 128,3,2024-09-07 08:44:10:768,1,195,10,0,333,2684,195,0 129,0,2024-09-07 08:44:10:994,52149,0.3,51907,0.5,103706,0.3,137647,1.50 129,1,2024-09-07 08:44:10:583,341749,341749,0,0,160634104089,1681239246,338703,2651,395,379,391835,0 129,2,2024-09-07 08:44:10:691,244300,244300,0,0,9367536,0,4031 129,3,2024-09-07 08:44:10:690,1,195,1,0,469,2675,195,0 130,0,2024-09-07 08:44:11:719,52297,0.6,52127,0.7,104543,0.7,139108,1.75 130,1,2024-09-07 08:44:10:602,343515,343515,0,0,160641060857,1672206156,341353,2088,74,381,391825,0 130,2,2024-09-07 08:44:11:126,248595,248595,0,0,9603772,0,4067 130,3,2024-09-07 08:44:11:293,1,195,9,0,450,2249,195,0 131,0,2024-09-07 08:44:11:931,45620,0.5,45969,0.6,92523,0.5,122168,1.75 131,1,2024-09-07 08:44:11:831,344229,344229,0,0,160769216008,1671587567,342661,1362,206,383,391865,0 131,2,2024-09-07 08:44:10:574,249696,249696,0,0,9117534,0,2415 131,3,2024-09-07 08:44:11:688,1,195,3,0,392,1983,195,0 132,0,2024-09-07 08:44:11:447,46794,0.5,47379,0.7,94765,0.5,126270,2.00 132,1,2024-09-07 08:44:10:588,341858,341858,0,0,159570007561,1685742461,336143,4650,1065,381,392097,0 132,2,2024-09-07 08:44:10:699,246405,246405,0,0,12521067,0,4606 132,3,2024-09-07 08:44:11:687,1,195,1,0,356,3243,195,0 133,0,2024-09-07 08:44:11:526,50893,0.4,52168,0.6,106757,0.4,138328,1.75 133,1,2024-09-07 08:44:10:588,341249,341249,0,0,159713981717,1683930034,336519,4085,645,383,391914,0 133,2,2024-09-07 08:44:11:090,245217,245217,0,0,12508748,0,4315 133,3,2024-09-07 08:44:11:307,1,195,1,0,276,2093,195,0 134,0,2024-09-07 08:44:10:968,52684,0.5,52622,0.7,105695,0.5,140737,2.00 134,1,2024-09-07 08:44:10:724,341924,341924,0,0,159350392473,1674540421,336844,3797,1283,366,391718,0 134,2,2024-09-07 08:44:11:755,244422,244422,0,0,10652903,0,3847 134,3,2024-09-07 08:44:10:769,1,195,12,0,739,3288,195,0 135,0,2024-09-07 08:44:11:130,45449,1.3,45439,1.2,96449,1.5,123984,2.50 135,1,2024-09-07 08:44:11:592,341403,341403,0,0,160325670389,1687319975,336588,3918,897,380,391805,0 135,2,2024-09-07 08:44:10:691,248067,248067,0,0,12000962,0,3981 135,3,2024-09-07 08:44:11:011,1,195,0,0,299,1448,195,0 136,0,2024-09-07 08:44:11:621,45683,0.5,45646,0.7,91479,0.5,121951,2.25 136,1,2024-09-07 08:44:11:454,341555,341555,0,0,159411953053,1677985212,336629,4385,541,382,391641,0 136,2,2024-09-07 08:44:11:162,248280,248280,0,0,11504301,0,3506 136,3,2024-09-07 08:44:11:114,1,195,0,0,126,1666,195,0 137,0,2024-09-07 08:44:10:920,51637,0.5,50101,0.7,98931,0.5,134357,2.00 137,1,2024-09-07 08:44:10:628,341814,341814,0,0,159846297698,1677511532,336452,4692,670,366,391708,0 137,2,2024-09-07 08:44:11:706,246177,246177,0,0,13019890,0,3185 137,3,2024-09-07 08:44:10:770,1,195,0,0,227,2154,195,0 138,0,2024-09-07 08:44:11:750,50552,1.0,50682,1.0,101835,1.3,135859,2.25 138,1,2024-09-07 08:44:11:693,342114,342114,0,0,160402199234,1683162079,337135,4350,629,368,391954,0 138,2,2024-09-07 08:44:10:603,243562,243562,0,0,10430944,0,3263 138,3,2024-09-07 08:44:10:641,1,195,29,0,1160,3444,195,0 139,0,2024-09-07 08:44:11:375,48022,2.6,48073,1.6,97145,3.9,129460,3.00 139,1,2024-09-07 08:44:10:585,340368,340368,0,0,159116225352,1693192777,333480,5042,1846,381,391892,0 139,2,2024-09-07 08:44:10:798,246789,246789,0,0,12083921,0,3097 139,3,2024-09-07 08:44:11:662,1,195,6,0,244,2145,195,0 140,0,2024-09-07 08:44:11:589,43954,0.3,43515,0.5,87754,0.3,116652,1.75 140,1,2024-09-07 08:44:11:537,344056,344056,0,0,161810620244,1667737861,342474,1342,240,365,391606,0 140,2,2024-09-07 08:44:10:690,249215,249215,0,0,9958484,0,3388 140,3,2024-09-07 08:44:10:772,1,195,40,0,247,1711,195,0 141,0,2024-09-07 08:44:11:702,48681,0.2,49988,0.4,95502,0.2,130111,1.50 141,1,2024-09-07 08:44:10:866,344104,344104,0,0,161325006273,1673174283,342115,1635,354,379,391614,0 141,2,2024-09-07 08:44:11:685,247299,247299,0,0,9430946,0,2342 141,3,2024-09-07 08:44:11:043,1,195,1,0,176,1622,195,0 142,0,2024-09-07 08:44:11:318,51846,0.3,51458,0.4,102265,0.2,136594,1.50 142,1,2024-09-07 08:44:10:612,343032,343032,0,0,160685344660,1673632496,341276,1592,164,383,391728,0 142,2,2024-09-07 08:44:11:303,242520,242488,32,0,10537463,0,6028 142,3,2024-09-07 08:44:11:746,1,195,14,0,484,2609,195,0 143,0,2024-09-07 08:44:11:380,50774,0.6,50704,0.7,102270,0.6,135208,1.75 143,1,2024-09-07 08:44:10:561,343369,343369,0,0,160334194831,1663011347,341214,2110,45,367,391619,0 143,2,2024-09-07 08:44:10:776,248106,248106,0,0,10057671,0,2669 143,3,2024-09-07 08:44:11:148,1,195,17,0,303,2815,195,0 144,0,2024-09-07 08:44:11:524,43166,0.7,44432,1.1,90253,0.6,118346,2.00 144,1,2024-09-07 08:44:10:575,342123,342123,0,0,160199807111,1677604519,339674,2244,205,381,391649,0 144,2,2024-09-07 08:44:11:758,248713,248713,0,0,9869739,0,3473 144,3,2024-09-07 08:44:11:744,1,195,1,0,249,2315,195,0 145,0,2024-09-07 08:44:11:389,45822,0.5,45813,0.7,97222,0.4,126075,2.00 145,1,2024-09-07 08:44:10:568,340611,340611,0,0,159910255712,1686021358,335413,4379,819,382,391698,0 145,2,2024-09-07 08:44:11:432,246175,246175,0,0,11192713,0,3903 145,3,2024-09-07 08:44:10:903,1,195,1,0,579,2660,195,0 146,0,2024-09-07 08:44:11:602,51779,0.5,51293,0.8,103436,0.5,137204,2.00 146,1,2024-09-07 08:44:11:585,342647,342647,0,0,160563345757,1691127119,336466,4799,1382,368,391770,0 146,2,2024-09-07 08:44:11:699,244262,244262,0,0,11015060,0,2498 146,3,2024-09-07 08:44:11:280,1,195,23,0,1520,5411,195,0 147,0,2024-09-07 08:44:11:696,52318,0.7,52261,0.9,103869,0.7,139852,2.25 147,1,2024-09-07 08:44:11:373,343940,343940,0,0,160733171284,1672312284,340694,2748,498,368,391791,0 147,2,2024-09-07 08:44:11:015,247424,247424,0,0,9788801,0,2789 147,3,2024-09-07 08:44:10:915,1,195,730,0,730,3120,195,0 0,0,2024-09-07 08:44:21:711,50189,0.5,50250,0.7,106466,0.5,138566,1.75 0,1,2024-09-07 08:44:20:807,344762,344762,0,0,161911068732,1692397299,342672,1953,137,372,391772,0 0,2,2024-09-07 08:44:21:072,247969,247969,0,0,10051418,0,4480 0,3,2024-09-07 08:44:20:974,1,196,1,0,247,2453,196,0 1,0,2024-09-07 08:44:21:754,52480,1.0,52109,1.1,104711,1.4,139874,2.25 1,1,2024-09-07 08:44:20:563,344474,344474,0,0,159941719876,1676712690,341005,2601,868,371,391857,0 1,2,2024-09-07 08:44:20:642,244631,244631,0,0,9287140,0,3267 1,3,2024-09-07 08:44:21:304,1,196,3,0,262,2433,196,0 2,0,2024-09-07 08:44:21:568,48183,1.0,48482,0.9,96197,1.3,128189,2.25 2,1,2024-09-07 08:44:20:861,344968,344968,0,0,162295887980,1685049503,343421,1341,206,380,391745,0 2,2,2024-09-07 08:44:21:266,249627,249627,0,0,9998586,0,3594 2,3,2024-09-07 08:44:20:693,1,196,8,0,357,1934,196,0 3,0,2024-09-07 08:44:21:748,44421,0.4,44667,0.6,89007,0.3,118449,1.75 3,1,2024-09-07 08:44:21:619,344485,344485,0,0,160948008832,1677486252,341279,2782,424,380,391516,0 3,2,2024-09-07 08:44:21:158,249526,249503,23,0,10092649,0,5851 3,3,2024-09-07 08:44:21:757,1,196,1,0,103,1224,196,0 4,0,2024-09-07 08:44:21:775,48170,0.3,49639,0.5,100771,0.3,132587,1.75 4,1,2024-09-07 08:44:20:605,344231,344231,0,0,160632815746,1687191853,340862,2838,531,371,391846,0 4,2,2024-09-07 08:44:21:023,247111,247111,0,0,12268385,0,4528 4,3,2024-09-07 08:44:21:027,1,196,0,0,448,3199,196,0 5,0,2024-09-07 08:44:21:407,50957,0.4,51033,0.6,101705,0.4,135319,1.75 5,1,2024-09-07 08:44:20:766,343986,343986,0,0,161183895470,1696969001,339397,3532,1057,368,392005,0 5,2,2024-09-07 08:44:21:829,242791,242791,0,0,10435326,0,2259 5,3,2024-09-07 08:44:21:737,1,196,1,0,278,2926,196,0 6,0,2024-09-07 08:44:20:945,50523,0.8,50052,0.9,99873,0.9,133600,2.25 6,1,2024-09-07 08:44:20:746,344592,344592,0,0,160607860854,1680241447,340876,3057,659,379,391634,0 6,2,2024-09-07 08:44:21:116,249396,249396,0,0,10877494,0,4816 6,3,2024-09-07 08:44:21:275,1,196,8,0,340,2560,196,0 7,0,2024-09-07 08:44:21:536,42993,0.4,42962,0.7,85853,0.4,114437,2.00 7,1,2024-09-07 08:44:20:852,344098,344098,0,0,160618014028,1684067448,340134,3608,356,382,391664,0 7,2,2024-09-07 08:44:20:770,249444,249444,0,0,10325033,0,2981 7,3,2024-09-07 08:44:20:852,1,196,1,0,305,2087,196,0 8,0,2024-09-07 08:44:21:337,48260,0.3,48436,0.5,96419,0.3,129388,1.75 8,1,2024-09-07 08:44:21:024,343114,343114,0,0,161479326513,1707256280,336069,5210,1835,367,391956,0 8,2,2024-09-07 08:44:20:818,245071,245071,0,0,12695579,0,2986 8,3,2024-09-07 08:44:20:592,1,196,9,0,357,2969,196,0 9,0,2024-09-07 08:44:21:104,51991,0.4,50482,0.5,105447,0.3,138028,1.75 9,1,2024-09-07 08:44:20:557,343330,343330,0,0,160910175662,1702323382,337345,4448,1537,370,392001,0 9,2,2024-09-07 08:44:21:092,244337,244337,0,0,11092577,0,3360 9,3,2024-09-07 08:44:21:757,1,196,9,0,496,3081,196,0 10,0,2024-09-07 08:44:21:611,52267,0.4,51974,0.5,104241,0.3,138658,1.75 10,1,2024-09-07 08:44:20:599,343915,343915,0,0,160785380827,1690155469,338208,4863,844,381,391741,0 10,2,2024-09-07 08:44:20:764,249103,249103,0,0,12546517,0,4264 10,3,2024-09-07 08:44:20:882,1,196,36,0,177,1508,196,0 11,0,2024-09-07 08:44:21:015,45266,0.5,43985,0.8,92133,0.5,122437,2.00 11,1,2024-09-07 08:44:20:576,343227,343227,0,0,160647880902,1696380931,335884,5465,1878,384,391537,0 11,2,2024-09-07 08:44:21:124,249304,249304,0,0,11552874,0,3411 11,3,2024-09-07 08:44:21:307,1,196,5,0,720,3021,196,0 12,0,2024-09-07 08:44:20:978,47848,0.3,47672,0.5,95422,0.3,127288,1.75 12,1,2024-09-07 08:44:20:944,344510,344510,0,0,160450432214,1675704538,341343,2748,419,370,391837,0 12,2,2024-09-07 08:44:21:541,248952,248952,0,0,11114396,0,3469 12,3,2024-09-07 08:44:21:071,1,196,0,0,386,3731,196,0 13,0,2024-09-07 08:44:21:330,52622,0.4,52492,0.5,104978,0.3,139365,1.75 13,1,2024-09-07 08:44:21:549,343577,343577,0,0,160608575173,1686148017,340636,2416,525,382,391717,0 13,2,2024-09-07 08:44:20:668,247295,247295,0,0,10187134,0,3287 13,3,2024-09-07 08:44:21:762,1,196,4,0,467,3527,196,0 14,0,2024-09-07 08:44:20:575,53158,0.4,53667,0.6,106126,0.4,141569,1.75 14,1,2024-09-07 08:44:21:564,346325,346325,0,0,161650947951,1675124925,343919,2242,164,364,391571,0 14,2,2024-09-07 08:44:20:765,246598,246598,0,0,10274853,0,2896 14,3,2024-09-07 08:44:21:115,1,196,12,0,1168,3163,196,0 15,0,2024-09-07 08:44:21:562,46837,0.7,47045,0.9,94102,0.8,125176,2.25 15,1,2024-09-07 08:44:21:609,344551,344551,0,0,161732096933,1682262773,342375,2032,144,381,391619,0 15,2,2024-09-07 08:44:21:003,250357,250357,0,0,8848574,0,3043 15,3,2024-09-07 08:44:21:406,1,196,1,0,1126,4677,196,0 16,0,2024-09-07 08:44:20:949,45902,0.5,46259,0.7,91656,0.5,123106,2.00 16,1,2024-09-07 08:44:20:603,345015,345015,0,0,161203580586,1684747241,342327,2415,273,370,391756,0 16,2,2024-09-07 08:44:21:479,248357,248357,0,0,11144784,0,4719 16,3,2024-09-07 08:44:21:141,1,196,8,0,231,2497,196,0 17,0,2024-09-07 08:44:21:766,52200,0.4,50853,0.6,99740,0.4,135027,1.75 17,1,2024-09-07 08:44:20:589,343664,343664,0,0,160814333828,1687890031,340181,2806,677,368,391688,0 17,2,2024-09-07 08:44:21:667,250417,250417,0,0,10007355,0,2857 17,3,2024-09-07 08:44:20:587,1,196,14,0,268,3138,196,0 18,0,2024-09-07 08:44:20:959,50612,0.8,50917,0.9,101844,0.9,135977,2.50 18,1,2024-09-07 08:44:21:638,344844,344844,0,0,160983891158,1668972442,342725,1884,235,367,391564,0 18,2,2024-09-07 08:44:21:758,244985,244985,0,0,9678445,0,3541 18,3,2024-09-07 08:44:20:900,1,196,12,0,163,2092,196,0 19,0,2024-09-07 08:44:21:541,48678,0.9,49139,0.9,97089,1.0,129357,2.50 19,1,2024-09-07 08:44:20:579,344993,344993,0,0,162275516526,1687506675,341175,3102,716,367,391777,0 19,2,2024-09-07 08:44:21:752,251029,251029,0,0,9148034,0,3988 19,3,2024-09-07 08:44:21:139,1,196,9,0,524,1685,196,0 20,0,2024-09-07 08:44:21:349,43807,0.5,43727,0.7,87801,0.5,117277,2.00 20,1,2024-09-07 08:44:20:586,344022,344022,0,0,161512217595,1692893149,340382,3246,394,369,391822,0 20,2,2024-09-07 08:44:20:935,249335,249335,0,0,10612252,0,3721 20,3,2024-09-07 08:44:20:607,1,196,7,0,414,4186,196,0 21,0,2024-09-07 08:44:21:128,49152,0.4,49432,0.6,98477,0.3,130915,1.75 21,1,2024-09-07 08:44:21:544,343234,343234,0,0,159718734756,1685424865,338360,3824,1050,368,391962,0 21,2,2024-09-07 08:44:21:077,247480,247480,0,0,11724560,0,3747 21,3,2024-09-07 08:44:21:404,1,196,1,0,103,2265,196,0 22,0,2024-09-07 08:44:21:726,51243,0.7,51279,0.8,102206,0.7,135776,2.25 22,1,2024-09-07 08:44:21:025,343573,343573,0,0,160417495428,1690414312,337785,4269,1519,382,391667,0 22,2,2024-09-07 08:44:20:770,243913,243913,0,0,9929246,0,3134 22,3,2024-09-07 08:44:21:066,1,196,8,0,228,2008,196,0 23,0,2024-09-07 08:44:21:371,50555,0.8,50574,0.8,101463,0.9,135061,2.25 23,1,2024-09-07 08:44:21:003,344395,344395,0,0,161415855669,1697849102,338125,3846,2424,365,391549,0 23,2,2024-09-07 08:44:21:092,249332,249332,0,0,10264588,0,3010 23,3,2024-09-07 08:44:21:755,1,196,1,0,645,2527,196,0 24,0,2024-09-07 08:44:20:822,45249,0.5,45267,0.6,90473,0.5,119606,1.75 24,1,2024-09-07 08:44:20:595,344260,344260,0,0,160690425833,1685878048,340133,3099,1028,369,391640,0 24,2,2024-09-07 08:44:21:072,248743,248743,0,0,12688261,0,3607 24,3,2024-09-07 08:44:21:686,1,196,105,0,468,2769,196,0 25,0,2024-09-07 08:44:21:341,48847,0.4,47877,0.6,93890,0.3,127876,2.00 25,1,2024-09-07 08:44:20:574,344291,344291,0,0,161367351001,1692060064,340456,3290,545,371,391788,0 25,2,2024-09-07 08:44:21:616,247040,247040,0,0,12810479,0,3978 25,3,2024-09-07 08:44:21:002,1,196,5,0,255,2335,196,0 26,0,2024-09-07 08:44:21:722,51638,0.4,50597,0.6,106026,0.4,137757,1.75 26,1,2024-09-07 08:44:21:543,344665,344665,0,0,160514722351,1685904071,339205,4480,980,381,391748,0 26,2,2024-09-07 08:44:20:861,245687,245687,0,0,11625919,0,2809 26,3,2024-09-07 08:44:21:712,1,196,7,0,796,2549,196,0 27,0,2024-09-07 08:44:21:728,53003,0.5,52916,0.7,104861,0.5,140157,2.00 27,1,2024-09-07 08:44:21:688,345766,345766,0,0,161803831970,1682612048,343465,1972,329,381,391558,0 27,2,2024-09-07 08:44:20:881,246194,246129,65,0,12721295,0,5699 27,3,2024-09-07 08:44:21:015,1,196,1,0,564,2163,196,0 28,0,2024-09-07 08:44:21:394,46413,0.7,46534,0.8,93569,0.8,124820,2.25 28,1,2024-09-07 08:44:20:800,345077,345077,0,0,162019779369,1688795581,342415,2195,467,383,391646,0 28,2,2024-09-07 08:44:21:764,250377,250377,0,0,9827693,0,2915 28,3,2024-09-07 08:44:21:776,1,196,12,0,502,2273,196,0 29,0,2024-09-07 08:44:21:381,47880,0.3,46786,0.5,91322,0.2,125028,1.75 29,1,2024-09-07 08:44:21:562,345755,345755,0,0,161360069677,1671615637,343058,2153,544,369,391621,0 29,2,2024-09-07 08:44:20:861,247682,247682,0,0,9066572,0,4018 29,3,2024-09-07 08:44:20:963,1,196,3,0,115,1496,196,0 30,0,2024-09-07 08:44:21:464,51506,0.6,50148,0.7,104832,0.5,137678,2.00 30,1,2024-09-07 08:44:20:583,345378,345378,0,0,162144344451,1682559908,343217,1869,292,382,391672,0 30,2,2024-09-07 08:44:21:274,248399,248399,0,0,9069481,0,3161 30,3,2024-09-07 08:44:20:586,1,196,8,0,195,1369,196,0 31,0,2024-09-07 08:44:21:767,51816,0.5,52287,0.6,105058,0.4,139697,2.00 31,1,2024-09-07 08:44:20:589,346887,346887,0,0,162395712314,1664207448,346030,744,113,356,391712,0 31,2,2024-09-07 08:44:21:275,244700,244700,0,0,10620752,0,3525 31,3,2024-09-07 08:44:21:706,1,196,130,0,220,1735,196,0 32,0,2024-09-07 08:44:21:418,48134,0.4,48605,0.6,97244,0.4,128918,1.75 32,1,2024-09-07 08:44:20:814,345455,345455,0,0,162037746507,1681320807,343654,1525,276,381,391595,0 32,2,2024-09-07 08:44:20:935,250197,250197,0,0,8948702,0,3155 32,3,2024-09-07 08:44:21:018,1,196,1,0,227,1591,196,0 33,0,2024-09-07 08:44:21:493,45041,0.3,44430,0.5,89568,0.2,119326,1.50 33,1,2024-09-07 08:44:20:597,346081,346081,0,0,162270327898,1677186375,343817,2092,172,369,391730,0 33,2,2024-09-07 08:44:20:763,249530,249497,33,0,10928681,0,7012 33,3,2024-09-07 08:44:20:894,1,196,129,0,329,2338,196,0 34,0,2024-09-07 08:44:20:930,49835,0.2,51406,0.4,98608,0.2,132923,1.50 34,1,2024-09-07 08:44:21:051,346373,346373,0,0,162813862938,1675042382,345374,992,7,367,391562,0 34,2,2024-09-07 08:44:20:766,247804,247804,0,0,9995156,0,3577 34,3,2024-09-07 08:44:21:688,1,196,13,0,148,1337,196,0 35,0,2024-09-07 08:44:20:858,50492,0.4,50988,0.5,101979,0.3,135638,1.75 35,1,2024-09-07 08:44:21:068,344409,344409,0,0,161561209770,1676762297,341573,2066,770,384,391589,0 35,2,2024-09-07 08:44:21:585,245013,245013,0,0,8792527,0,2653 35,3,2024-09-07 08:44:20:912,1,196,0,0,418,2296,196,0 36,0,2024-09-07 08:44:21:549,50276,0.8,50220,0.9,100555,0.9,133208,2.50 36,1,2024-09-07 08:44:20:585,344725,344725,0,0,160532688301,1680720397,339774,3641,1310,366,391759,0 36,2,2024-09-07 08:44:21:752,249037,249037,0,0,10769394,0,3303 36,3,2024-09-07 08:44:20:863,1,196,1,0,378,3074,196,0 37,0,2024-09-07 08:44:21:382,43027,0.5,42954,0.7,85904,0.4,115198,2.00 37,1,2024-09-07 08:44:20:572,344015,344008,0,7,160326272842,1683261764,338987,2994,2027,365,391560,0 37,2,2024-09-07 08:44:21:142,249417,249402,15,0,11196337,0,5815 37,3,2024-09-07 08:44:21:766,1,196,1,0,724,2974,196,0 38,0,2024-09-07 08:44:21:436,48176,0.4,46785,0.6,97884,0.3,128761,2.00 38,1,2024-09-07 08:44:21:610,345419,345419,0,0,161469424167,1687483023,340952,3822,645,368,391821,0 38,2,2024-09-07 08:44:20:763,247631,247584,47,0,12348479,0,6710 38,3,2024-09-07 08:44:20:999,1,196,12,0,689,3158,196,0 39,0,2024-09-07 08:44:21:762,52874,0.7,51953,0.7,100855,0.8,137823,2.00 39,1,2024-09-07 08:44:20:725,345162,345162,0,0,161716434226,1685984677,341144,3216,802,365,391524,0 39,2,2024-09-07 08:44:21:416,244333,244333,0,0,9811587,0,2689 39,3,2024-09-07 08:44:20:717,1,196,4,0,293,2671,196,0 40,0,2024-09-07 08:44:21:524,51506,0.8,52041,1.0,104126,0.9,138127,3.00 40,1,2024-09-07 08:44:20:593,344145,344145,0,0,160365116878,1685389495,338089,4823,1233,368,391591,0 40,2,2024-09-07 08:44:21:305,248038,248037,1,0,12661938,0,5137 40,3,2024-09-07 08:44:21:141,1,196,1,0,181,2122,196,0 41,0,2024-09-07 08:44:21:030,45251,1.5,46214,1.4,88417,2.8,121004,3.75 41,1,2024-09-07 08:44:20:770,343958,343958,0,0,161375652046,1690536635,339129,4268,561,370,391742,0 41,2,2024-09-07 08:44:20:778,247973,247973,0,0,12736043,0,3356 41,3,2024-09-07 08:44:21:677,1,196,1,0,366,2358,196,0 42,0,2024-09-07 08:44:21:473,47072,0.7,47372,0.9,94332,0.6,124929,2.50 42,1,2024-09-07 08:44:21:441,342852,342852,0,0,160624468676,1690120757,337280,4499,1073,380,391675,0 42,2,2024-09-07 08:44:21:134,247547,247547,0,0,11765701,0,3568 42,3,2024-09-07 08:44:21:009,1,196,8,0,446,1658,196,0 43,0,2024-09-07 08:44:20:920,52005,0.8,50669,1.0,105872,0.8,138976,2.25 43,1,2024-09-07 08:44:20:586,344790,344790,0,0,161773004096,1687911641,340794,3199,797,366,391696,0 43,2,2024-09-07 08:44:21:737,246971,246971,0,0,10921350,0,3812 43,3,2024-09-07 08:44:21:749,1,196,1,0,467,2741,196,0 44,0,2024-09-07 08:44:20:858,52924,0.5,53327,0.7,106311,0.4,142066,1.75 44,1,2024-09-07 08:44:20:564,345644,345644,0,0,160837757566,1661734719,343469,1750,425,356,391809,0 44,2,2024-09-07 08:44:21:266,245837,245837,0,0,8894602,0,1877 44,3,2024-09-07 08:44:21:093,1,196,3,0,817,2282,196,0 45,0,2024-09-07 08:44:21:757,46425,0.9,45434,1.0,95485,1.0,125800,2.25 45,1,2024-09-07 08:44:21:005,345462,345462,0,0,161153670080,1669466237,344366,1086,10,382,391917,0 45,2,2024-09-07 08:44:21:269,250432,250432,0,0,9697481,0,3596 45,3,2024-09-07 08:44:20:935,1,196,2,0,226,1851,196,0 46,0,2024-09-07 08:44:20:949,45792,0.4,45744,0.6,91700,0.3,122182,2.00 46,1,2024-09-07 08:44:20:582,345925,345925,0,0,161395632299,1667136015,344084,1616,225,366,391524,0 46,2,2024-09-07 08:44:20:598,249025,249025,0,0,9528369,0,2920 46,3,2024-09-07 08:44:21:133,1,196,10,0,908,3273,196,0 47,0,2024-09-07 08:44:21:107,50835,0.3,50889,0.5,102054,0.2,134955,1.75 47,1,2024-09-07 08:44:20:579,346428,346428,0,0,161174997043,1663738807,344896,1515,17,366,391605,0 47,2,2024-09-07 08:44:20:913,249482,249482,0,0,9578190,0,2558 47,3,2024-09-07 08:44:21:114,1,196,1,0,529,2122,196,0 48,0,2024-09-07 08:44:21:502,51729,0.3,51387,0.4,102530,0.2,137140,1.50 48,1,2024-09-07 08:44:21:026,346038,346038,0,0,161609096920,1677341434,343970,1887,181,384,391710,0 48,2,2024-09-07 08:44:20:699,245021,245021,0,0,8527866,0,3031 48,3,2024-09-07 08:44:20:752,1,196,8,0,339,1795,196,0 49,0,2024-09-07 08:44:21:713,50451,0.5,49488,0.6,96161,0.4,131344,1.75 49,1,2024-09-07 08:44:21:022,344370,344370,0,0,161246096089,1679591879,341580,1950,840,382,391610,0 49,2,2024-09-07 08:44:21:802,250912,250912,0,0,9347645,0,3900 49,3,2024-09-07 08:44:21:417,1,196,1,0,408,2537,196,0 50,0,2024-09-07 08:44:21:515,44066,0.3,43560,0.5,87492,0.2,117273,1.75 50,1,2024-09-07 08:44:21:011,346697,346697,0,0,162197046541,1680170170,344080,2307,310,368,391530,0 50,2,2024-09-07 08:44:21:071,249929,249929,0,0,9246081,0,2263 50,3,2024-09-07 08:44:21:308,1,196,55,0,335,1643,196,0 51,0,2024-09-07 08:44:21:686,50338,0.2,49300,0.4,96215,0.2,131599,1.50 51,1,2024-09-07 08:44:21:680,345765,345765,0,0,162830006589,1680994652,343765,1214,786,365,391637,0 51,2,2024-09-07 08:44:21:317,247918,247918,0,0,8542793,0,3337 51,3,2024-09-07 08:44:21:030,1,196,1,0,162,1006,196,0 52,0,2024-09-07 08:44:21:412,51775,0.5,51597,0.6,103029,0.4,136462,2.00 52,1,2024-09-07 08:44:20:577,344050,344050,0,0,159996452625,1683554279,337793,5097,1160,368,391722,0 52,2,2024-09-07 08:44:21:758,242843,242805,38,0,11387409,0,6742 52,3,2024-09-07 08:44:20:697,1,196,1,0,1782,3781,196,0 53,0,2024-09-07 08:44:21:745,50429,0.9,49013,0.9,102665,1.0,134253,2.50 53,1,2024-09-07 08:44:20:774,343450,343450,0,0,161310058628,1698550260,337238,4177,2035,367,391702,0 53,2,2024-09-07 08:44:21:309,249272,249272,0,0,9863442,0,2262 53,3,2024-09-07 08:44:20:697,1,196,1,0,271,1745,196,0 54,0,2024-09-07 08:44:21:614,43684,1.3,44136,1.0,87393,0.6,116883,3.25 54,1,2024-09-07 08:44:20:591,344483,344483,0,0,161672533086,1685222769,340370,3542,571,367,391659,0 54,2,2024-09-07 08:44:20:865,249494,249488,6,0,11448365,0,5382 54,3,2024-09-07 08:44:20:763,1,196,8,0,676,3414,196,0 55,0,2024-09-07 08:44:21:764,46159,0.5,47747,0.7,96339,0.4,125672,2.25 55,1,2024-09-07 08:44:20:771,343929,343929,0,0,160390410029,1676054412,338466,4676,787,365,391731,0 55,2,2024-09-07 08:44:20:736,247231,247231,0,0,11163567,0,3275 55,3,2024-09-07 08:44:20:674,1,196,2,0,304,2450,196,0 56,0,2024-09-07 08:44:21:619,53013,1.3,50020,1.1,102894,1.8,137624,2.50 56,1,2024-09-07 08:44:20:582,343593,343593,0,0,161187083575,1704893001,337913,4737,943,381,391678,0 56,2,2024-09-07 08:44:21:308,245340,245340,0,0,12021565,0,3567 56,3,2024-09-07 08:44:21:062,1,196,1,0,705,3292,196,0 57,0,2024-09-07 08:44:20:942,51817,2.0,52000,1.4,103925,2.8,139127,3.50 57,1,2024-09-07 08:44:20:987,344207,344207,0,0,160195249970,1679746896,340258,3442,507,367,391960,0 57,2,2024-09-07 08:44:21:316,247636,247636,0,0,12780885,0,3317 57,3,2024-09-07 08:44:21:743,1,196,6,0,359,2949,196,0 58,0,2024-09-07 08:44:20:591,45399,1.0,44181,1.1,92470,1.0,121357,2.50 58,1,2024-09-07 08:44:20:590,344845,344842,0,3,161569967046,1692007195,339690,4458,694,367,391545,3 58,2,2024-09-07 08:44:21:072,249863,249863,0,0,11607178,0,2549 58,3,2024-09-07 08:44:21:071,1,196,24,0,1043,2514,196,0 59,0,2024-09-07 08:44:21:748,46883,0.7,46370,0.9,92888,0.7,123874,2.75 59,1,2024-09-07 08:44:20:810,344518,344518,0,0,161355936127,1688959490,340240,3295,983,369,391525,0 59,2,2024-09-07 08:44:20:586,249079,249079,0,0,10543778,0,2604 59,3,2024-09-07 08:44:21:737,1,196,0,0,1015,3141,196,0 60,0,2024-09-07 08:44:21:766,51884,0.4,51731,0.6,103926,0.4,138408,1.75 60,1,2024-09-07 08:44:20:775,345675,345675,0,0,161953681470,1678137014,343920,1389,366,370,391761,0 60,2,2024-09-07 08:44:21:155,247996,247996,0,0,10889692,0,3811 60,3,2024-09-07 08:44:21:264,1,196,2,0,124,1809,196,0 61,0,2024-09-07 08:44:21:492,52284,0.9,52437,0.9,104688,1.1,139960,2.00 61,1,2024-09-07 08:44:20:779,344508,344508,0,0,161621641106,1693643054,340515,3361,632,382,391880,0 61,2,2024-09-07 08:44:21:116,246043,246043,0,0,9924005,0,2090 61,3,2024-09-07 08:44:21:689,1,196,2,0,265,2415,196,0 62,0,2024-09-07 08:44:21:722,48604,1.1,49668,0.9,94616,1.4,128756,2.25 62,1,2024-09-07 08:44:21:111,346630,346624,0,6,162734296791,1678903077,344604,1910,110,365,391715,6 62,2,2024-09-07 08:44:21:648,248826,248825,1,0,11199622,0,5555 62,3,2024-09-07 08:44:21:143,1,196,8,0,287,1347,196,0 63,0,2024-09-07 08:44:21:456,44740,0.5,44682,0.6,89376,0.4,119092,1.75 63,1,2024-09-07 08:44:20:810,346075,346069,0,6,162015176124,1677662400,344790,1252,27,381,391677,6 63,2,2024-09-07 08:44:20:770,249246,249246,0,0,9347030,0,2674 63,3,2024-09-07 08:44:21:738,1,196,9,0,667,2525,196,0 64,0,2024-09-07 08:44:21:547,49503,0.4,49617,0.6,99571,0.3,132635,1.75 64,1,2024-09-07 08:44:20:753,345046,345046,0,0,161372392931,1683211028,341560,2431,1055,370,391783,0 64,2,2024-09-07 08:44:21:143,250318,250299,19,0,9934577,0,6121 64,3,2024-09-07 08:44:21:143,1,196,1,0,265,2080,196,0 65,0,2024-09-07 08:44:21:692,50286,0.7,50746,0.8,101159,0.8,134673,2.25 65,1,2024-09-07 08:44:20:865,344165,344165,0,0,160758172404,1681268634,341421,2520,224,382,391770,0 65,2,2024-09-07 08:44:21:694,244378,244378,0,0,10149800,0,3367 65,3,2024-09-07 08:44:21:683,1,196,1,0,163,1797,196,0 66,0,2024-09-07 08:44:21:766,49732,0.6,49817,0.8,99980,0.6,132473,2.25 66,1,2024-09-07 08:44:21:309,345817,345817,0,0,161772574363,1679087687,343928,1716,173,380,391588,0 66,2,2024-09-07 08:44:21:134,251765,251765,0,0,9932262,0,4956 66,3,2024-09-07 08:44:21:079,1,196,239,0,291,2228,196,0 67,0,2024-09-07 08:44:21:413,43423,0.7,43249,0.8,86868,0.8,115234,2.25 67,1,2024-09-07 08:44:20:766,344688,344687,0,1,161541729845,1686596464,341199,2741,747,380,391787,1 67,2,2024-09-07 08:44:20:584,251221,251221,0,0,9363724,0,2889 67,3,2024-09-07 08:44:21:750,1,196,1,0,338,1711,196,0 68,0,2024-09-07 08:44:20:576,48540,0.5,48409,0.7,96526,0.5,129305,2.00 68,1,2024-09-07 08:44:20:576,343867,343867,0,0,160819040996,1688608256,340257,2474,1136,381,391953,0 68,2,2024-09-07 08:44:21:054,247320,247255,65,0,12859283,0,6698 68,3,2024-09-07 08:44:20:730,1,196,7,0,417,2428,196,0 69,0,2024-09-07 08:44:21:732,51601,0.9,51784,0.9,103075,1.1,137311,2.25 69,1,2024-09-07 08:44:21:034,342819,342819,0,0,160706027330,1696330978,338548,3056,1215,384,391994,0 69,2,2024-09-07 08:44:21:738,244855,244855,0,0,11588675,0,3701 69,3,2024-09-07 08:44:20:773,1,196,1,0,698,2988,196,0 70,0,2024-09-07 08:44:21:547,51657,1.4,51644,1.2,103654,1.0,137789,2.50 70,1,2024-09-07 08:44:20:802,345121,345121,0,0,162415716099,1687687618,342126,2491,504,366,391725,0 70,2,2024-09-07 08:44:21:326,249064,249064,0,0,10750023,0,4044 70,3,2024-09-07 08:44:20:746,1,196,12,0,854,2506,196,0 71,0,2024-09-07 08:44:21:356,45199,1.6,45142,1.4,90058,2.3,121239,3.25 71,1,2024-09-07 08:44:21:597,344543,344543,0,0,161199272081,1685524024,340168,3823,552,368,391738,0 71,2,2024-09-07 08:44:21:066,250380,250380,0,0,11267739,0,2579 71,3,2024-09-07 08:44:21:750,1,196,1,0,644,3060,196,0 72,0,2024-09-07 08:44:21:062,49047,0.6,47856,0.8,93536,0.6,127778,2.25 72,1,2024-09-07 08:44:21:034,344522,344522,0,0,161358657063,1687307346,340341,3432,749,369,391819,0 72,2,2024-09-07 08:44:21:762,247051,247051,0,0,12698005,0,2570 72,3,2024-09-07 08:44:21:762,1,196,11,0,364,3547,196,0 73,0,2024-09-07 08:44:21:108,51083,0.4,52327,0.6,107208,0.4,138837,2.25 73,1,2024-09-07 08:44:20:768,344628,344628,0,0,162058103692,1685798912,341992,2422,214,367,391750,0 73,2,2024-09-07 08:44:21:740,247225,247225,0,0,11973643,0,3482 73,3,2024-09-07 08:44:20:969,1,196,1,0,274,3144,196,0 74,0,2024-09-07 08:44:21:322,53656,0.5,54632,0.7,104126,0.5,141633,2.25 74,1,2024-09-07 08:44:20:635,344155,344155,0,0,161145542042,1685224925,340355,3010,790,381,391681,0 74,2,2024-09-07 08:44:21:007,246088,246088,0,0,11990609,0,4253 74,3,2024-09-07 08:44:21:448,1,196,12,0,522,3016,196,0 75,0,2024-09-07 08:44:21:770,47257,0.9,46930,1.1,94172,0.9,126163,2.50 75,1,2024-09-07 08:44:21:586,343864,343864,0,0,160580100703,1683350511,339466,3736,662,381,391579,0 75,2,2024-09-07 08:44:21:350,249202,249202,0,0,12031150,0,4766 75,3,2024-09-07 08:44:21:071,1,196,3,0,702,2992,196,0 76,0,2024-09-07 08:44:20:687,45770,0.4,45378,0.7,90558,0.4,122058,2.50 76,1,2024-09-07 08:44:20:819,344797,344797,0,0,161186300488,1681278286,342348,1903,546,382,391692,0 76,2,2024-09-07 08:44:21:064,249574,249574,0,0,10058538,0,3784 76,3,2024-09-07 08:44:21:154,1,196,0,0,175,2223,196,0 77,0,2024-09-07 08:44:21:731,50643,0.4,50963,0.6,101565,0.3,134520,1.75 77,1,2024-09-07 08:44:20:829,345614,345614,0,0,161487305420,1683556442,343662,1864,88,383,391808,0 77,2,2024-09-07 08:44:21:285,247589,247589,0,0,10340018,0,3890 77,3,2024-09-07 08:44:21:095,1,196,2,0,305,2227,196,0 78,0,2024-09-07 08:44:21:720,51411,0.4,51098,0.7,103051,0.4,136603,2.00 78,1,2024-09-07 08:44:20:616,345056,345056,0,0,160343895307,1667333924,342279,2462,315,367,391646,0 78,2,2024-09-07 08:44:21:407,245342,245342,0,0,9147856,0,2114 78,3,2024-09-07 08:44:21:133,1,196,12,0,181,1928,196,0 79,0,2024-09-07 08:44:21:364,47674,0.5,48773,0.7,99806,0.4,129629,2.50 79,1,2024-09-07 08:44:20:580,346120,346120,0,0,161449502728,1672508005,343412,2372,336,369,391682,0 79,2,2024-09-07 08:44:21:067,250528,250528,0,0,9503985,0,3212 79,3,2024-09-07 08:44:20:749,1,196,1,0,418,3047,196,0 80,0,2024-09-07 08:44:21:073,43731,0.5,45023,0.7,86192,0.5,117266,2.00 80,1,2024-09-07 08:44:21:626,344247,344247,0,0,160816609875,1674225618,341573,2508,166,368,391791,0 80,2,2024-09-07 08:44:21:091,250347,250347,0,0,9996554,0,4433 80,3,2024-09-07 08:44:20:584,1,196,11,0,190,2877,196,0 81,0,2024-09-07 08:44:21:545,49214,0.4,50627,0.6,96854,0.4,131128,1.75 81,1,2024-09-07 08:44:21:657,344936,344936,0,0,160801082926,1679520788,342339,2321,276,382,391879,0 81,2,2024-09-07 08:44:21:128,247765,247765,0,0,10358982,0,3993 81,3,2024-09-07 08:44:21:117,1,196,0,0,193,1905,196,0 82,0,2024-09-07 08:44:21:530,51136,0.4,51487,0.6,103070,0.4,136599,1.75 82,1,2024-09-07 08:44:20:590,345087,345083,0,4,160731306999,1674687225,342567,1938,578,381,391558,4 82,2,2024-09-07 08:44:21:692,244615,244615,0,0,9487064,0,3986 82,3,2024-09-07 08:44:21:757,1,196,1,0,363,2454,196,0 83,0,2024-09-07 08:44:21:526,50896,0.6,50925,0.8,101048,0.7,134639,2.00 83,1,2024-09-07 08:44:20:556,344575,344575,0,0,160390282683,1674528357,341878,2472,225,382,391690,0 83,2,2024-09-07 08:44:20:772,249054,249054,0,0,9364337,0,3119 83,3,2024-09-07 08:44:20:756,1,196,1,0,241,1959,196,0 84,0,2024-09-07 08:44:21:776,44152,1.0,44144,1.1,88603,0.8,118016,2.50 84,1,2024-09-07 08:44:21:039,343821,343821,0,0,160179661581,1678137807,339836,3417,568,368,391967,0 84,2,2024-09-07 08:44:20:573,249968,249968,0,0,11366564,0,3801 84,3,2024-09-07 08:44:21:153,1,196,4,0,353,2711,196,0 85,0,2024-09-07 08:44:21:093,46029,0.6,46097,0.8,97658,0.5,126375,2.25 85,1,2024-09-07 08:44:20:559,343543,343543,0,0,159687204372,1691728334,337496,4952,1095,382,392006,0 85,2,2024-09-07 08:44:20:877,248859,248859,0,0,11846284,0,3656 85,3,2024-09-07 08:44:20:714,1,196,5,0,789,2831,196,0 86,0,2024-09-07 08:44:20:945,51911,0.7,53270,0.8,102230,0.7,137645,2.00 86,1,2024-09-07 08:44:20:825,344621,344621,0,0,161580330644,1691322990,340584,3492,545,366,391961,0 86,2,2024-09-07 08:44:20:867,244339,244338,1,0,12169393,0,5004 86,3,2024-09-07 08:44:20:596,1,196,8,0,286,2722,196,0 87,0,2024-09-07 08:44:21:297,52528,1.2,52219,1.0,105010,1.7,140673,2.50 87,1,2024-09-07 08:44:20:564,344558,344558,0,0,161237863662,1689600632,340665,3433,460,366,391788,0 87,2,2024-09-07 08:44:21:067,246805,246805,0,0,10528718,0,3515 87,3,2024-09-07 08:44:21:798,1,196,1,0,473,3554,196,0 88,0,2024-09-07 08:44:21:455,46561,0.6,46794,0.7,93406,0.7,124038,1.75 88,1,2024-09-07 08:44:20:577,343011,343011,0,0,160611069693,1690207197,337327,4215,1469,365,392084,0 88,2,2024-09-07 08:44:20:702,249290,249290,0,0,13049658,0,3583 88,3,2024-09-07 08:44:21:287,1,196,0,0,435,2553,196,0 89,0,2024-09-07 08:44:21:847,48083,0.4,46542,0.7,92159,0.4,124888,1.75 89,1,2024-09-07 08:44:20:560,342541,342541,0,0,161092293725,1703858158,336554,5042,945,382,391866,0 89,2,2024-09-07 08:44:21:135,247608,247608,0,0,12337398,0,2910 89,3,2024-09-07 08:44:21:849,1,196,15,0,325,3953,196,0 90,0,2024-09-07 08:44:21:655,50294,0.4,51487,0.6,105328,0.4,137233,1.75 90,1,2024-09-07 08:44:20:604,344555,344555,0,0,160942656122,1687720249,341235,3061,259,381,391825,0 90,2,2024-09-07 08:44:21:413,246299,246299,0,0,13272227,0,3060 90,3,2024-09-07 08:44:20:931,1,196,12,0,200,2195,196,0 91,0,2024-09-07 08:44:20:976,52731,0.5,50925,0.6,106364,0.4,139705,1.75 91,1,2024-09-07 08:44:20:561,343710,343710,0,0,161367260719,1696400454,339403,3795,512,381,392047,0 91,2,2024-09-07 08:44:21:332,244638,244638,0,0,11617885,0,2445 91,3,2024-09-07 08:44:20:610,1,196,6,0,216,2041,196,0 92,0,2024-09-07 08:44:21:450,48704,0.6,50001,0.8,95500,0.7,129083,1.75 92,1,2024-09-07 08:44:20:588,344399,344399,0,0,160584804356,1675901534,342185,1810,404,382,392136,0 92,2,2024-09-07 08:44:21:350,251290,251290,0,0,10013391,0,2801 92,3,2024-09-07 08:44:21:017,1,196,24,0,167,1669,196,0 93,0,2024-09-07 08:44:20:963,44964,0.4,46014,0.6,87924,0.3,119412,1.75 93,1,2024-09-07 08:44:20:821,345061,345061,0,0,161529495425,1685452027,341766,2740,555,366,391692,0 93,2,2024-09-07 08:44:20:934,249867,249867,0,0,10316447,0,2509 93,3,2024-09-07 08:44:21:406,1,196,4,0,143,2024,196,0 94,0,2024-09-07 08:44:21:617,49611,0.3,50440,0.5,100108,0.2,132605,1.50 94,1,2024-09-07 08:44:20:568,344283,344283,0,0,161872033553,1691454092,341592,2558,133,381,391850,0 94,2,2024-09-07 08:44:20:770,247798,247798,0,0,9664256,0,2443 94,3,2024-09-07 08:44:21:688,1,196,8,0,264,2810,196,0 95,0,2024-09-07 08:44:21:402,51170,0.3,50794,0.5,102575,0.3,135422,1.75 95,1,2024-09-07 08:44:20:946,344906,344906,0,0,161969205494,1685392180,341974,2594,338,367,391662,0 95,2,2024-09-07 08:44:21:084,244018,244018,0,0,9707096,0,3308 95,3,2024-09-07 08:44:21:716,1,196,301,0,718,3737,196,0 96,0,2024-09-07 08:44:21:109,50201,0.5,50469,0.6,100834,0.5,133043,1.75 96,1,2024-09-07 08:44:21:592,344662,344662,0,0,161035751656,1682933372,341916,2099,647,385,391894,0 96,2,2024-09-07 08:44:21:286,249641,249641,0,0,10305956,0,4042 96,3,2024-09-07 08:44:21:149,1,196,1,0,411,2507,196,0 97,0,2024-09-07 08:44:21:346,43636,0.4,43332,0.5,86956,0.3,115561,1.75 97,1,2024-09-07 08:44:20:794,345884,345884,0,0,161448321309,1676758574,343063,2268,553,367,392140,0 97,2,2024-09-07 08:44:20:608,250237,250237,0,0,9744075,0,3036 97,3,2024-09-07 08:44:20:575,1,196,16,0,165,2329,196,0 98,0,2024-09-07 08:44:21:740,48443,0.2,48348,0.4,97017,0.2,129467,1.50 98,1,2024-09-07 08:44:20:574,344874,344874,0,0,160711756120,1673811131,343009,1771,94,382,391997,0 98,2,2024-09-07 08:44:20:770,249221,249221,0,0,9824750,0,3080 98,3,2024-09-07 08:44:20:708,1,196,7,0,840,3487,196,0 99,0,2024-09-07 08:44:21:527,51960,0.3,51968,0.4,103609,0.3,138323,1.50 99,1,2024-09-07 08:44:21:724,345537,345537,0,0,160566822676,1676076757,342183,2640,714,381,391744,0 99,2,2024-09-07 08:44:21:426,246519,246519,0,0,9589583,0,2615 99,3,2024-09-07 08:44:20:587,1,196,12,0,129,1387,196,0 100,0,2024-09-07 08:44:21:461,51961,0.9,51996,1.1,103753,1.5,138457,2.50 100,1,2024-09-07 08:44:20:561,342756,342756,0,0,159936138558,1694405310,337196,4627,933,381,391989,0 100,2,2024-09-07 08:44:21:818,247531,247520,11,0,12005678,0,5417 100,3,2024-09-07 08:44:21:738,1,196,2,0,559,3874,196,0 101,0,2024-09-07 08:44:21:695,46518,2.2,45418,1.4,88823,2.3,122508,2.75 101,1,2024-09-07 08:44:20:556,343054,343054,0,0,160458400661,1695333794,337022,4510,1522,368,391769,0 101,2,2024-09-07 08:44:21:757,249106,249106,0,0,12743114,0,4644 101,3,2024-09-07 08:44:20:971,1,196,14,0,448,2511,196,0 102,0,2024-09-07 08:44:20:998,46411,0.7,48003,0.8,96540,0.7,127096,2.00 102,1,2024-09-07 08:44:21:141,343801,343801,0,0,160701958593,1691193394,339077,4006,718,369,391883,0 102,2,2024-09-07 08:44:21:742,248434,248380,54,0,12068584,0,6768 102,3,2024-09-07 08:44:21:616,1,196,12,0,410,2191,196,0 103,0,2024-09-07 08:44:21:621,53894,0.5,53898,0.7,101714,0.5,139805,2.00 103,1,2024-09-07 08:44:21:626,342849,342849,0,0,160530244791,1694880993,337415,4109,1325,381,391829,0 103,2,2024-09-07 08:44:20:586,245914,245914,0,0,10723809,0,2104 103,3,2024-09-07 08:44:20:755,1,196,198,0,916,3342,196,0 104,0,2024-09-07 08:44:21:068,52527,1.0,52991,1.1,104677,1.1,141584,2.50 104,1,2024-09-07 08:44:21:611,344676,344676,0,0,160802435310,1694556812,339326,4380,970,365,391948,0 104,2,2024-09-07 08:44:21:670,245390,245390,0,0,12103845,0,3941 104,3,2024-09-07 08:44:21:426,1,196,60,1,1245,5701,196,0 105,0,2024-09-07 08:44:21:087,46638,1.3,45210,1.3,94645,2.2,124993,3.50 105,1,2024-09-07 08:44:20:622,344644,344644,0,0,160994399055,1690827566,339804,4037,803,367,391797,0 105,2,2024-09-07 08:44:21:324,248912,248912,0,0,11560393,0,3509 105,3,2024-09-07 08:44:21:304,1,196,1,0,399,3509,196,0 106,0,2024-09-07 08:44:20:953,44149,0.8,45295,0.9,92524,0.8,121558,2.50 106,1,2024-09-07 08:44:21:751,344420,344420,0,0,160805495636,1690257316,339165,4778,477,369,391767,0 106,2,2024-09-07 08:44:20:767,247132,247132,0,0,11653439,0,2795 106,3,2024-09-07 08:44:20:693,1,196,8,0,470,3116,196,0 107,0,2024-09-07 08:44:21:156,50477,0.6,50500,0.7,100819,0.5,134206,2.00 107,1,2024-09-07 08:44:20:593,343668,343668,0,0,160127349961,1686003016,339639,3619,410,381,392234,0 107,2,2024-09-07 08:44:21:306,247335,247334,1,0,11837968,0,5024 107,3,2024-09-07 08:44:21:767,1,196,18,0,353,2764,196,0 108,0,2024-09-07 08:44:21:846,51522,0.4,51693,0.6,102430,0.4,137082,1.75 108,1,2024-09-07 08:44:21:315,344394,344394,0,0,160968711576,1678937005,341672,2408,314,368,391857,0 108,2,2024-09-07 08:44:21:765,243452,243452,0,0,10630907,0,2647 108,3,2024-09-07 08:44:21:336,1,196,15,0,667,3557,196,0 109,0,2024-09-07 08:44:21:781,49497,0.4,48988,0.6,98245,0.4,131520,1.75 109,1,2024-09-07 08:44:20:591,343778,343778,0,0,160651821687,1685003675,340890,2391,497,383,392132,0 109,2,2024-09-07 08:44:20:934,249632,249632,0,0,10764358,0,3617 109,3,2024-09-07 08:44:21:140,1,196,5,0,249,2555,196,0 110,0,2024-09-07 08:44:21:751,44132,0.4,42960,0.6,89418,0.3,117428,1.75 110,1,2024-09-07 08:44:21:644,345234,345234,0,0,161354817260,1676496563,342577,1890,767,370,391667,0 110,2,2024-09-07 08:44:21:308,249847,249847,0,0,10008182,0,2915 110,3,2024-09-07 08:44:20:703,1,196,1,0,406,2800,196,0 111,0,2024-09-07 08:44:21:412,49348,0.3,48948,0.4,97632,0.2,131324,1.50 111,1,2024-09-07 08:44:21:004,345697,345697,0,0,161510265613,1674755414,343904,1459,334,382,391690,0 111,2,2024-09-07 08:44:21:116,247893,247893,0,0,10400008,0,2763 111,3,2024-09-07 08:44:20:913,1,196,87,0,379,2995,196,0 112,0,2024-09-07 08:44:20:929,51536,0.3,51457,0.4,103048,0.2,136742,1.50 112,1,2024-09-07 08:44:20:842,345759,345759,0,0,161685931059,1678694748,343387,1946,426,380,391580,0 112,2,2024-09-07 08:44:21:136,244219,244218,1,0,10012998,0,5036 112,3,2024-09-07 08:44:20:608,1,196,3,0,282,2177,196,0 113,0,2024-09-07 08:44:20:866,50654,0.4,50625,0.5,101726,0.3,135822,1.75 113,1,2024-09-07 08:44:21:685,346098,346098,0,0,162606368296,1680573084,343676,1933,489,366,391661,0 113,2,2024-09-07 08:44:21:305,250419,250419,0,0,9187088,0,3813 113,3,2024-09-07 08:44:20:693,1,196,206,0,340,3432,196,0 114,0,2024-09-07 08:44:20:873,45084,0.5,45626,0.6,89918,0.4,120037,1.75 114,1,2024-09-07 08:44:20:720,344526,344526,0,0,161814633756,1688564162,340393,2670,1463,381,391534,0 114,2,2024-09-07 08:44:20:873,250402,250402,0,0,9704157,0,3925 114,3,2024-09-07 08:44:21:277,1,196,16,0,395,2238,196,0 115,0,2024-09-07 08:44:20:559,47646,0.2,48039,0.4,95931,0.1,127423,1.50 115,1,2024-09-07 08:44:20:576,344626,344626,0,0,161471397347,1681109236,340948,2857,821,382,391602,0 115,2,2024-09-07 08:44:21:125,249532,249532,0,0,8896480,0,2152 115,3,2024-09-07 08:44:21:002,1,196,1,0,159,1178,196,0 116,0,2024-09-07 08:44:21:702,51953,0.7,51653,0.9,103592,0.7,138289,2.00 116,1,2024-09-07 08:44:20:803,342232,342232,0,0,160733139910,1707098209,336305,3875,2052,380,391782,0 116,2,2024-09-07 08:44:21:751,244715,244715,0,0,12763006,0,3529 116,3,2024-09-07 08:44:20:913,1,196,2,0,252,2715,196,0 117,0,2024-09-07 08:44:21:031,52513,1.0,52548,1.0,104975,1.3,140818,2.00 117,1,2024-09-07 08:44:21:580,343996,343996,0,0,160635470505,1684652535,339771,3749,476,370,392033,0 117,2,2024-09-07 08:44:21:119,249255,249255,0,0,10029208,0,3700 117,3,2024-09-07 08:44:21:062,1,196,115,0,490,3714,196,0 118,0,2024-09-07 08:44:21:780,45308,0.8,46557,0.9,94742,0.9,124104,2.25 118,1,2024-09-07 08:44:20:585,344112,344112,0,0,160664336797,1693909066,338513,4173,1426,366,391736,0 118,2,2024-09-07 08:44:21:600,249368,249368,0,0,11634518,0,2781 118,3,2024-09-07 08:44:21:764,1,196,14,0,235,2422,196,0 119,0,2024-09-07 08:44:21:372,46303,0.6,46602,0.8,93762,0.5,124344,2.00 119,1,2024-09-07 08:44:20:553,344895,344895,0,0,161122152687,1682630456,341704,2884,307,368,391641,0 119,2,2024-09-07 08:44:21:265,248188,248188,0,0,10683936,0,3526 119,3,2024-09-07 08:44:21:329,1,196,3,0,443,3245,196,0 120,0,2024-09-07 08:44:21:553,51419,0.5,51490,0.8,103048,0.5,138571,2.00 120,1,2024-09-07 08:44:20:875,344352,344352,0,0,160715839098,1688356987,340355,3657,340,368,391961,0 120,2,2024-09-07 08:44:20:777,246872,246871,1,0,13144216,0,5281 120,3,2024-09-07 08:44:21:304,1,196,5,0,241,2789,196,0 121,0,2024-09-07 08:44:21:684,52090,1.2,52434,1.1,104609,1.7,139671,2.25 121,1,2024-09-07 08:44:21:663,344017,344017,0,0,160627357448,1682966261,340425,3227,365,367,391840,0 121,2,2024-09-07 08:44:21:125,244410,244410,0,0,12081829,0,4127 121,3,2024-09-07 08:44:20:741,1,196,14,0,269,2549,196,0 122,0,2024-09-07 08:44:21:770,48104,1.1,46893,1.1,98208,1.2,129054,2.25 122,1,2024-09-07 08:44:20:868,342957,342957,0,0,160559154100,1688770163,337979,4265,713,366,392130,0 122,2,2024-09-07 08:44:21:320,248873,248800,73,0,14951060,0,5989 122,3,2024-09-07 08:44:20:607,1,196,8,0,411,4522,196,0 123,0,2024-09-07 08:44:20:962,44666,0.7,43564,0.8,90879,0.8,118987,2.00 123,1,2024-09-07 08:44:20:563,343746,343746,0,0,161193578867,1706846141,335884,6302,1560,369,391823,0 123,2,2024-09-07 08:44:21:020,247265,247264,1,0,12036212,0,5215 123,3,2024-09-07 08:44:21:134,1,196,1,0,168,2434,196,0 124,0,2024-09-07 08:44:20:952,51378,0.3,51322,0.5,96911,0.2,133006,1.50 124,1,2024-09-07 08:44:21:028,345386,345386,0,0,160838211377,1671220888,343093,1935,358,367,392178,0 124,2,2024-09-07 08:44:21:010,248277,248277,0,0,9785911,0,3101 124,3,2024-09-07 08:44:20:762,1,196,3,0,490,2541,196,0 125,0,2024-09-07 08:44:21:450,50969,0.4,50892,0.5,102117,0.3,135503,1.75 125,1,2024-09-07 08:44:20:897,344547,344547,0,0,160292676998,1673253616,342107,2162,278,383,391702,0 125,2,2024-09-07 08:44:21:116,244871,244871,0,0,9552159,0,2180 125,3,2024-09-07 08:44:21:134,1,196,10,0,284,2444,196,0 126,0,2024-09-07 08:44:21:455,50394,0.6,51639,0.7,98932,0.7,133920,1.75 126,1,2024-09-07 08:44:20:564,345342,345342,0,0,162186711962,1682564205,342943,2140,259,365,391987,0 126,2,2024-09-07 08:44:20:620,250948,250948,0,0,10282486,0,3186 126,3,2024-09-07 08:44:20:912,1,196,14,0,150,2726,196,0 127,0,2024-09-07 08:44:21:590,43342,0.4,43603,0.5,86866,0.3,115479,1.75 127,1,2024-09-07 08:44:20:572,344647,344647,0,0,161817409474,1676655406,342361,2243,43,365,391816,0 127,2,2024-09-07 08:44:20:641,250498,250498,0,0,9823507,0,2264 127,3,2024-09-07 08:44:21:268,1,196,15,0,243,1699,196,0 128,0,2024-09-07 08:44:21:543,48798,0.3,48627,0.4,97280,0.2,129633,1.50 128,1,2024-09-07 08:44:21:615,345498,345498,0,0,161435964926,1671900299,344184,1208,106,367,391680,0 128,2,2024-09-07 08:44:21:386,248281,248281,0,0,9412290,0,2107 128,3,2024-09-07 08:44:20:767,1,196,11,0,333,2695,196,0 129,0,2024-09-07 08:44:21:024,52265,0.3,52009,0.5,103916,0.3,137951,1.50 129,1,2024-09-07 08:44:20:567,343554,343554,0,0,161355626320,1688884038,340508,2651,395,379,391835,0 129,2,2024-09-07 08:44:20:692,245229,245229,0,0,9434925,0,4031 129,3,2024-09-07 08:44:20:690,1,196,1,0,469,2676,196,0 130,0,2024-09-07 08:44:21:720,52392,0.6,52246,0.7,104753,0.7,139363,1.75 130,1,2024-09-07 08:44:20:600,345292,345292,0,0,161545179954,1681637344,343130,2088,74,381,391825,0 130,2,2024-09-07 08:44:21:134,249935,249935,0,0,9750024,0,4067 130,3,2024-09-07 08:44:21:319,1,196,12,0,450,2261,196,0 131,0,2024-09-07 08:44:22:027,45813,0.5,46163,0.6,92896,0.5,122591,1.75 131,1,2024-09-07 08:44:21:840,345848,345848,0,0,161704696113,1681354855,344280,1362,206,383,391865,0 131,2,2024-09-07 08:44:20:567,251232,251232,0,0,9172080,0,2415 131,3,2024-09-07 08:44:21:689,1,196,45,0,392,2028,196,0 132,0,2024-09-07 08:44:21:415,47231,0.5,47841,0.7,95639,0.5,127492,2.00 132,1,2024-09-07 08:44:20:576,343671,343671,0,0,160352003838,1694054578,337950,4656,1065,381,392097,0 132,2,2024-09-07 08:44:20:698,247856,247856,0,0,12582730,0,4606 132,3,2024-09-07 08:44:21:697,1,196,9,0,356,3252,196,0 133,0,2024-09-07 08:44:21:525,51015,0.4,52281,0.6,107004,0.4,138625,1.75 133,1,2024-09-07 08:44:20:588,342906,342906,0,0,160497800416,1692031582,338176,4085,645,383,391914,0 133,2,2024-09-07 08:44:21:090,245825,245825,0,0,12533149,0,4315 133,3,2024-09-07 08:44:21:304,1,196,4,0,276,2097,196,0 134,0,2024-09-07 08:44:20:940,52984,0.5,52918,0.7,106297,0.5,141522,2.00 134,1,2024-09-07 08:44:20:587,343698,343698,0,0,160331584070,1684569652,338618,3797,1283,366,391718,0 134,2,2024-09-07 08:44:21:755,245948,245948,0,0,10698987,0,3847 134,3,2024-09-07 08:44:20:753,1,196,13,0,739,3301,196,0 135,0,2024-09-07 08:44:21:098,45665,1.3,45649,1.2,96858,1.5,124625,2.50 135,1,2024-09-07 08:44:21:604,343122,343122,0,0,161307678050,1697438129,338307,3918,897,380,391805,0 135,2,2024-09-07 08:44:20:692,249487,249487,0,0,12052379,0,3981 135,3,2024-09-07 08:44:21:002,1,196,2,0,299,1450,196,0 136,0,2024-09-07 08:44:21:687,46132,0.5,46111,0.7,92410,0.5,123267,2.25 136,1,2024-09-07 08:44:21:459,343328,343328,0,0,160115679999,1685591232,338402,4385,541,382,391641,0 136,2,2024-09-07 08:44:21:135,249282,249282,0,0,11544626,0,3506 136,3,2024-09-07 08:44:21:106,1,196,7,0,126,1673,196,0 137,0,2024-09-07 08:44:21:008,51920,0.5,50388,0.7,99491,0.5,135091,2.00 137,1,2024-09-07 08:44:20:579,342571,342571,0,0,160479620204,1684235110,337147,4742,682,366,391708,0 137,2,2024-09-07 08:44:21:709,247174,247174,0,0,13298580,0,3185 137,3,2024-09-07 08:44:20:773,1,196,1,0,227,2155,196,0 138,0,2024-09-07 08:44:21:848,50891,1.0,51031,1.0,102522,1.3,136713,2.25 138,1,2024-09-07 08:44:21:734,343399,343399,0,0,161044695584,1691258595,338125,4502,772,368,391954,0 138,2,2024-09-07 08:44:20:601,244810,244810,0,0,11286592,0,4988 138,3,2024-09-07 08:44:20:616,1,196,43,0,1160,3487,196,0 139,0,2024-09-07 08:44:21:413,48194,2.6,48267,1.6,97506,3.8,129924,3.00 139,1,2024-09-07 08:44:20:576,341974,341974,0,0,160189124150,1705120930,334949,5178,1847,381,391892,0 139,2,2024-09-07 08:44:20:701,248045,248045,0,0,12165419,0,3097 139,3,2024-09-07 08:44:21:665,1,196,1,0,244,2146,196,0 140,0,2024-09-07 08:44:21:589,44294,0.3,43825,0.5,88413,0.3,117667,1.75 140,1,2024-09-07 08:44:21:540,345789,345789,0,0,162591937142,1675965412,344207,1342,240,365,391606,0 140,2,2024-09-07 08:44:20:699,250305,250305,0,0,10018486,0,3388 140,3,2024-09-07 08:44:20:766,1,196,1,0,247,1712,196,0 141,0,2024-09-07 08:44:21:698,49088,0.2,50404,0.4,96388,0.2,131335,1.50 141,1,2024-09-07 08:44:20:859,345880,345880,0,0,162250310268,1683120714,343875,1651,354,379,391614,0 141,2,2024-09-07 08:44:21:686,248585,248585,0,0,9497524,0,2342 141,3,2024-09-07 08:44:21:046,1,196,21,0,176,1643,196,0 142,0,2024-09-07 08:44:21:344,51960,0.3,51568,0.4,102495,0.2,136930,1.50 142,1,2024-09-07 08:44:20:588,344577,344577,0,0,161365398521,1680942343,342820,1593,164,383,391728,0 142,2,2024-09-07 08:44:21:303,243679,243647,32,0,10614664,0,6028 142,3,2024-09-07 08:44:21:748,1,196,8,0,484,2617,196,0 143,0,2024-09-07 08:44:21:439,50914,0.6,50809,0.7,102522,0.6,135575,1.75 143,1,2024-09-07 08:44:20:557,345127,345127,0,0,161296343974,1673098242,342965,2117,45,367,391619,0 143,2,2024-09-07 08:44:20:775,249261,249261,0,0,10229220,0,2669 143,3,2024-09-07 08:44:21:141,1,196,99,0,303,2914,196,0 144,0,2024-09-07 08:44:21:512,43173,0.7,44443,1.1,90269,0.6,118366,2.00 144,1,2024-09-07 08:44:20:587,343953,343953,0,0,161077420127,1686762412,341504,2244,205,381,391649,0 144,2,2024-09-07 08:44:21:769,250054,250054,0,0,9972283,0,3473 144,3,2024-09-07 08:44:21:754,1,196,3,0,249,2318,196,0 145,0,2024-09-07 08:44:21:411,46155,0.5,46161,0.7,97980,0.4,127038,2.00 145,1,2024-09-07 08:44:20:560,342340,342340,0,0,160729814504,1694427696,337142,4379,819,382,391698,0 145,2,2024-09-07 08:44:21:429,247562,247562,0,0,11244322,0,3903 145,3,2024-09-07 08:44:20:901,1,196,2,0,579,2662,196,0 146,0,2024-09-07 08:44:21:620,51867,0.5,51390,0.8,103609,0.5,137436,2.00 146,1,2024-09-07 08:44:21:607,344459,344459,0,0,161338668453,1699117622,338278,4799,1382,368,391770,0 146,2,2024-09-07 08:44:21:699,244946,244946,0,0,11049995,0,2498 146,3,2024-09-07 08:44:21:275,1,196,8,0,1520,5419,196,0 147,0,2024-09-07 08:44:21:735,52529,0.7,52476,0.8,104308,0.7,140433,2.25 147,1,2024-09-07 08:44:21:404,345713,345713,0,0,161595202052,1681369832,342452,2763,498,368,391791,0 147,2,2024-09-07 08:44:21:012,248847,248847,0,0,9897151,0,2789 147,3,2024-09-07 08:44:20:914,1,196,1,0,730,3121,196,0 0,0,2024-09-07 08:44:31:745,50544,0.5,50555,0.7,107260,0.5,139072,1.75 0,1,2024-09-07 08:44:30:800,346553,346553,0,0,162554608437,1699565446,344417,1999,137,372,391772,0 0,2,2024-09-07 08:44:31:071,248769,248769,0,0,10071230,0,4480 0,3,2024-09-07 08:44:30:976,1,197,1,0,247,2454,197,0 1,0,2024-09-07 08:44:31:828,52796,1.0,52398,1.1,105352,1.3,140728,2.25 1,1,2024-09-07 08:44:30:569,346190,346190,0,0,160716074627,1685061726,342720,2602,868,371,391857,0 1,2,2024-09-07 08:44:30:644,246217,246217,0,0,9344269,0,3267 1,3,2024-09-07 08:44:31:305,1,197,10,0,262,2443,197,0 2,0,2024-09-07 08:44:31:572,48405,1.0,48671,0.9,96574,1.3,128800,2.25 2,1,2024-09-07 08:44:30:860,346812,346812,0,0,162910609388,1691588952,345264,1342,206,380,391745,0 2,2,2024-09-07 08:44:31:273,251076,251076,0,0,10078458,0,3594 2,3,2024-09-07 08:44:30:691,1,197,8,0,357,1942,197,0 3,0,2024-09-07 08:44:31:746,44748,0.4,45035,0.6,89719,0.4,119715,1.75 3,1,2024-09-07 08:44:31:617,346273,346273,0,0,161864296451,1686967049,343067,2782,424,380,391516,0 3,2,2024-09-07 08:44:31:142,250555,250532,23,0,10176927,0,5851 3,3,2024-09-07 08:44:31:758,1,197,1,0,103,1225,197,0 4,0,2024-09-07 08:44:31:867,48495,0.3,50001,0.5,101453,0.3,133332,1.75 4,1,2024-09-07 08:44:30:596,345981,345981,0,0,161482753641,1696242821,342595,2855,531,371,391846,0 4,2,2024-09-07 08:44:31:022,248321,248321,0,0,12299158,0,4528 4,3,2024-09-07 08:44:31:031,1,197,47,0,448,3246,197,0 5,0,2024-09-07 08:44:31:477,51211,0.4,51302,0.6,102202,0.4,136193,1.75 5,1,2024-09-07 08:44:30:755,345881,345881,0,0,162107334995,1706581660,341292,3532,1057,368,392005,0 5,2,2024-09-07 08:44:31:829,244265,244265,0,0,10512794,0,2259 5,3,2024-09-07 08:44:31:732,1,197,8,0,278,2934,197,0 6,0,2024-09-07 08:44:30:915,50677,0.8,50183,0.9,100134,0.9,134079,2.25 6,1,2024-09-07 08:44:30:746,346392,346392,0,0,161593775205,1690277801,342676,3057,659,379,391634,0 6,2,2024-09-07 08:44:31:116,250738,250738,0,0,10936376,0,4816 6,3,2024-09-07 08:44:31:280,1,197,8,0,340,2568,197,0 7,0,2024-09-07 08:44:31:530,43249,0.4,43168,0.7,86332,0.4,115338,2.00 7,1,2024-09-07 08:44:30:855,345896,345896,0,0,161885411189,1696964252,341931,3608,357,382,391664,0 7,2,2024-09-07 08:44:30:771,250674,250674,0,0,10349232,0,2981 7,3,2024-09-07 08:44:30:852,1,197,0,0,305,2087,197,0 8,0,2024-09-07 08:44:31:428,48722,0.3,48916,0.5,97358,0.3,130507,1.75 8,1,2024-09-07 08:44:31:022,344895,344895,0,0,162117356264,1714119806,337838,5222,1835,367,391956,0 8,2,2024-09-07 08:44:30:790,246285,246285,0,0,12836874,0,2986 8,3,2024-09-07 08:44:30:585,1,197,12,0,357,2981,197,0 9,0,2024-09-07 08:44:31:191,52122,0.4,50605,0.5,105668,0.3,138373,1.75 9,1,2024-09-07 08:44:30:562,345046,345046,0,0,161803594685,1711695865,339061,4448,1537,370,392001,0 9,2,2024-09-07 08:44:31:099,245373,245373,0,0,11181957,0,3360 9,3,2024-09-07 08:44:31:785,1,197,125,0,496,3206,197,0 10,0,2024-09-07 08:44:31:599,52369,0.4,52061,0.5,104440,0.3,138981,1.75 10,1,2024-09-07 08:44:30:586,345701,345701,0,0,161749617952,1700475618,339967,4890,844,381,391741,0 10,2,2024-09-07 08:44:30:764,250254,250254,0,0,12770221,0,4264 10,3,2024-09-07 08:44:30:876,1,197,15,0,177,1523,197,0 11,0,2024-09-07 08:44:31:011,45369,0.5,44084,0.8,92348,0.5,122439,2.00 11,1,2024-09-07 08:44:30:570,345369,345369,0,0,161478193227,1706109138,337753,5703,1913,384,391537,0 11,2,2024-09-07 08:44:31:132,250813,250813,0,0,11686782,0,3411 11,3,2024-09-07 08:44:31:300,1,197,13,0,720,3034,197,0 12,0,2024-09-07 08:44:30:960,48234,0.3,48088,0.5,96167,0.3,128269,1.75 12,1,2024-09-07 08:44:30:939,346335,346335,0,0,161407415044,1685796058,343160,2756,419,370,391837,0 12,2,2024-09-07 08:44:31:543,250415,250415,0,0,11223408,0,3469 12,3,2024-09-07 08:44:31:062,1,197,7,0,386,3738,197,0 13,0,2024-09-07 08:44:31:337,52718,0.4,52588,0.5,105191,0.3,139598,1.75 13,1,2024-09-07 08:44:31:529,345421,345421,0,0,161419273730,1694821433,342437,2459,525,382,391717,0 13,2,2024-09-07 08:44:30:612,248018,248018,0,0,10241698,0,3287 13,3,2024-09-07 08:44:31:771,1,197,1,0,467,3528,197,0 14,0,2024-09-07 08:44:30:565,53422,0.4,53945,0.6,106657,0.4,142136,1.75 14,1,2024-09-07 08:44:31:561,347820,347820,0,0,162367280282,1682785123,345413,2243,164,364,391571,0 14,2,2024-09-07 08:44:30:764,248059,248059,0,0,10396770,0,2896 14,3,2024-09-07 08:44:31:117,1,197,2,0,1168,3165,197,0 15,0,2024-09-07 08:44:31:555,47095,0.7,47309,0.9,94656,0.8,126033,2.25 15,1,2024-09-07 08:44:31:608,346425,346425,0,0,162499139998,1690473245,344249,2032,144,381,391619,0 15,2,2024-09-07 08:44:30:998,251719,251719,0,0,8945286,0,3043 15,3,2024-09-07 08:44:31:405,1,197,5,0,1126,4682,197,0 16,0,2024-09-07 08:44:30:947,46294,0.5,46609,0.7,92417,0.5,123893,2.00 16,1,2024-09-07 08:44:30:575,346879,346879,0,0,162077610085,1693849029,344190,2416,273,370,391756,0 16,2,2024-09-07 08:44:31:448,249432,249432,0,0,11176902,0,4719 16,3,2024-09-07 08:44:31:142,1,197,4,0,231,2501,197,0 17,0,2024-09-07 08:44:31:797,52574,0.4,51243,0.6,100441,0.4,136108,1.75 17,1,2024-09-07 08:44:30:582,345473,345473,0,0,161611261350,1696431675,341990,2806,677,368,391688,0 17,2,2024-09-07 08:44:31:687,251502,251502,0,0,10047520,0,2857 17,3,2024-09-07 08:44:30:576,1,197,39,0,268,3177,197,0 18,0,2024-09-07 08:44:30:944,50938,0.8,51287,0.9,102478,0.9,136999,2.50 18,1,2024-09-07 08:44:31:866,346608,346608,0,0,162041497194,1679958781,344489,1884,235,367,391564,0 18,2,2024-09-07 08:44:31:758,246582,246582,0,0,9754074,0,3541 18,3,2024-09-07 08:44:30:896,1,197,13,0,163,2105,197,0 19,0,2024-09-07 08:44:31:551,48847,0.9,49334,0.9,97399,1.0,129771,2.50 19,1,2024-09-07 08:44:30:566,346572,346572,0,0,163013614842,1695695432,342661,3194,717,367,391777,0 19,2,2024-09-07 08:44:31:754,252265,252265,0,0,9187639,0,3988 19,3,2024-09-07 08:44:31:129,1,197,3,0,524,1688,197,0 20,0,2024-09-07 08:44:31:455,44099,0.5,44016,0.7,88393,0.5,117902,2.00 20,1,2024-09-07 08:44:30:571,345817,345817,0,0,162209357968,1700045161,342175,3248,394,369,391822,0 20,2,2024-09-07 08:44:30:945,250432,250432,0,0,10629203,0,3721 20,3,2024-09-07 08:44:30:589,1,197,5,0,414,4191,197,0 21,0,2024-09-07 08:44:31:142,49577,0.4,49837,0.6,99268,0.3,131926,1.75 21,1,2024-09-07 08:44:31:571,345021,345021,0,0,160736716908,1695942459,340147,3824,1050,368,391962,0 21,2,2024-09-07 08:44:31:072,248742,248742,0,0,11759469,0,3747 21,3,2024-09-07 08:44:31:404,1,197,2,0,103,2267,197,0 22,0,2024-09-07 08:44:31:722,51379,0.7,51422,0.8,102507,0.7,136245,2.25 22,1,2024-09-07 08:44:31:025,345328,345328,0,0,161138732569,1697974374,339540,4269,1519,382,391667,0 22,2,2024-09-07 08:44:30:766,245257,245257,0,0,9978633,0,3134 22,3,2024-09-07 08:44:31:071,1,197,1,0,228,2009,197,0 23,0,2024-09-07 08:44:31:386,50660,0.7,50669,0.8,101686,0.9,135305,2.25 23,1,2024-09-07 08:44:31:006,346018,346018,0,0,162080480918,1704841932,339745,3849,2424,365,391549,0 23,2,2024-09-07 08:44:31:094,250501,250501,0,0,10286110,0,3010 23,3,2024-09-07 08:44:31:765,1,197,0,0,645,2527,197,0 24,0,2024-09-07 08:44:30:851,45305,0.5,45313,0.6,90597,0.5,119919,1.75 24,1,2024-09-07 08:44:30:585,346037,346037,0,0,161568844621,1695078330,341906,3103,1028,369,391640,0 24,2,2024-09-07 08:44:31:077,250265,250265,0,0,12811118,0,3607 24,3,2024-09-07 08:44:31:686,1,197,1,0,468,2770,197,0 25,0,2024-09-07 08:44:31:393,49320,0.4,48319,0.6,94696,0.3,129238,2.00 25,1,2024-09-07 08:44:30:578,345985,345985,0,0,162326070461,1702570896,342055,3384,546,371,391788,0 25,2,2024-09-07 08:44:31:615,248315,248315,0,0,12904070,0,3978 25,3,2024-09-07 08:44:31:004,1,197,2,0,255,2337,197,0 26,0,2024-09-07 08:44:31:721,51738,0.4,50688,0.6,106239,0.4,138038,1.75 26,1,2024-09-07 08:44:31:541,346528,346528,0,0,161420083110,1695970364,341033,4515,980,381,391748,0 26,2,2024-09-07 08:44:30:861,246473,246473,0,0,11651632,0,2809 26,3,2024-09-07 08:44:31:712,1,197,8,0,796,2557,197,0 27,0,2024-09-07 08:44:31:743,53182,0.5,53076,0.7,105176,0.5,140441,2.00 27,1,2024-09-07 08:44:31:677,347498,347498,0,0,162686168676,1691786637,345197,1972,329,381,391558,0 27,2,2024-09-07 08:44:30:876,247558,247493,65,0,12780750,0,5699 27,3,2024-09-07 08:44:31:018,1,197,1,0,564,2164,197,0 28,0,2024-09-07 08:44:31:399,46693,0.7,46786,0.8,94098,0.8,125337,2.25 28,1,2024-09-07 08:44:30:797,346709,346709,0,0,162855538828,1697742502,344041,2201,467,383,391646,0 28,2,2024-09-07 08:44:31:766,251789,251789,0,0,10083599,0,2915 28,3,2024-09-07 08:44:31:789,1,197,9,0,502,2282,197,0 29,0,2024-09-07 08:44:31:359,48233,0.3,47171,0.5,91998,0.2,126176,1.75 29,1,2024-09-07 08:44:31:569,347608,347608,0,0,162339247709,1682995468,344646,2399,563,369,391621,0 29,2,2024-09-07 08:44:30:872,249066,249066,0,0,9192397,0,4018 29,3,2024-09-07 08:44:30:962,1,197,1,0,115,1497,197,0 30,0,2024-09-07 08:44:31:457,51914,0.6,50437,0.8,105887,0.6,138516,2.25 30,1,2024-09-07 08:44:30:571,347134,347134,0,0,162974951943,1691192853,344973,1869,292,382,391672,0 30,2,2024-09-07 08:44:31:274,249202,249202,0,0,9124768,0,3161 30,3,2024-09-07 08:44:30:582,1,197,16,0,195,1385,197,0 31,0,2024-09-07 08:44:31:773,52159,0.5,52573,0.6,105649,0.4,140557,2.00 31,1,2024-09-07 08:44:30:572,348650,348650,0,0,163010933183,1670926648,347763,774,113,356,391712,0 31,2,2024-09-07 08:44:31:292,246175,246175,0,0,10716883,0,3525 31,3,2024-09-07 08:44:31:708,1,197,10,0,220,1745,197,0 32,0,2024-09-07 08:44:31:421,48321,0.4,48786,0.6,97640,0.4,129465,1.75 32,1,2024-09-07 08:44:30:804,347194,347194,0,0,162889712968,1690204369,345393,1525,276,381,391595,0 32,2,2024-09-07 08:44:30:939,251717,251717,0,0,8997112,0,3155 32,3,2024-09-07 08:44:31:021,1,197,0,0,227,1591,197,0 33,0,2024-09-07 08:44:31:521,45398,0.3,44767,0.5,90274,0.2,120577,1.75 33,1,2024-09-07 08:44:30:578,347870,347870,0,0,163214134311,1687279217,345549,2149,172,369,391730,0 33,2,2024-09-07 08:44:30:763,250679,250646,33,0,11014885,0,7012 33,3,2024-09-07 08:44:30:895,1,197,1,0,329,2339,197,0 34,0,2024-09-07 08:44:30:961,50188,0.3,51759,0.4,99260,0.2,133617,1.50 34,1,2024-09-07 08:44:31:048,348201,348201,0,0,163630229784,1683446077,347201,993,7,367,391562,0 34,2,2024-09-07 08:44:30:766,248920,248920,0,0,10056148,0,3577 34,3,2024-09-07 08:44:31:694,1,197,8,0,148,1345,197,0 35,0,2024-09-07 08:44:30:863,50738,0.4,51234,0.5,102472,0.3,136559,1.75 35,1,2024-09-07 08:44:31:072,346149,346149,0,0,162775465944,1689843735,343172,2207,770,384,391589,0 35,2,2024-09-07 08:44:31:586,246377,246377,0,0,8894947,0,2653 35,3,2024-09-07 08:44:30:907,1,197,56,0,418,2352,197,0 36,0,2024-09-07 08:44:31:526,50408,0.8,50353,0.9,100823,0.9,133701,2.50 36,1,2024-09-07 08:44:30:583,346533,346533,0,0,161182263976,1687592959,341581,3642,1310,366,391759,0 36,2,2024-09-07 08:44:31:758,250459,250459,0,0,10798591,0,3303 36,3,2024-09-07 08:44:30:863,1,197,1,0,378,3075,197,0 37,0,2024-09-07 08:44:31:378,43249,0.5,43179,0.7,86372,0.4,116095,2.00 37,1,2024-09-07 08:44:30:570,345754,345747,0,7,161326397644,1693593112,340726,2994,2027,365,391560,0 37,2,2024-09-07 08:44:31:142,250526,250511,15,0,11221751,0,5815 37,3,2024-09-07 08:44:31:767,1,197,8,0,724,2982,197,0 38,0,2024-09-07 08:44:31:452,48635,0.4,47218,0.6,98903,0.3,129929,2.00 38,1,2024-09-07 08:44:31:613,347199,347199,0,0,162132524651,1694433292,342731,3823,645,368,391821,0 38,2,2024-09-07 08:44:30:762,248939,248892,47,0,12383817,0,6710 38,3,2024-09-07 08:44:30:998,1,197,8,0,689,3166,197,0 39,0,2024-09-07 08:44:31:769,52987,0.7,52073,0.7,101107,0.8,138148,2.00 39,1,2024-09-07 08:44:30:717,346924,346924,0,0,162659789676,1695748596,342905,3217,802,365,391524,0 39,2,2024-09-07 08:44:31:433,245439,245439,0,0,9867900,0,2689 39,3,2024-09-07 08:44:30:713,1,197,1,0,293,2672,197,0 40,0,2024-09-07 08:44:31:497,51611,0.8,52164,1.0,104358,0.9,138476,3.00 40,1,2024-09-07 08:44:30:578,345896,345896,0,0,161179540428,1693955809,339840,4823,1233,368,391591,0 40,2,2024-09-07 08:44:31:306,249409,249408,1,0,12890587,0,5137 40,3,2024-09-07 08:44:31:142,1,197,333,0,333,2455,197,0 41,0,2024-09-07 08:44:31:026,45354,1.5,46323,1.4,88617,2.8,121008,3.75 41,1,2024-09-07 08:44:30:770,345734,345734,0,0,162433247810,1701312264,340905,4268,561,370,391742,0 41,2,2024-09-07 08:44:30:767,249371,249371,0,0,12818941,0,3356 41,3,2024-09-07 08:44:31:688,1,197,27,0,366,2385,197,0 42,0,2024-09-07 08:44:31:486,47464,0.7,47753,0.9,95136,0.7,126314,2.50 42,1,2024-09-07 08:44:31:444,344572,344572,0,0,161404906106,1698371415,338994,4505,1073,380,391675,0 42,2,2024-09-07 08:44:31:146,248953,248953,0,0,11837623,0,3568 42,3,2024-09-07 08:44:31:012,1,197,9,0,446,1667,197,0 43,0,2024-09-07 08:44:30:924,52127,0.8,50778,1.0,106097,0.8,139215,2.25 43,1,2024-09-07 08:44:30:575,346501,346501,0,0,162332993162,1693723344,342505,3199,797,366,391696,0 43,2,2024-09-07 08:44:31:745,247682,247682,0,0,10950925,0,3812 43,3,2024-09-07 08:44:31:749,1,197,1,0,467,2742,197,0 44,0,2024-09-07 08:44:30:870,53192,0.5,53574,0.7,106847,0.4,142660,1.75 44,1,2024-09-07 08:44:30:563,347430,347430,0,0,161593894317,1670044065,345215,1790,425,356,391809,0 44,2,2024-09-07 08:44:31:273,247270,247270,0,0,9009901,0,1877 44,3,2024-09-07 08:44:31:102,1,197,2,0,817,2284,197,0 45,0,2024-09-07 08:44:31:770,46699,0.9,45711,1.0,96078,1.0,126721,2.25 45,1,2024-09-07 08:44:31:005,347254,347254,0,0,162034954910,1678678374,346157,1087,10,382,391917,0 45,2,2024-09-07 08:44:31:281,251909,251909,0,0,9809671,0,3596 45,3,2024-09-07 08:44:30:938,1,197,16,0,226,1867,197,0 46,0,2024-09-07 08:44:30:991,46177,0.4,46120,0.6,92482,0.4,123103,2.00 46,1,2024-09-07 08:44:30:574,347601,347601,0,0,162109358584,1675824319,345469,1860,272,366,391524,0 46,2,2024-09-07 08:44:30:598,250159,250159,0,0,9618373,0,2920 46,3,2024-09-07 08:44:31:134,1,197,8,0,908,3281,197,0 47,0,2024-09-07 08:44:31:120,51223,0.3,51266,0.5,102840,0.2,136232,1.75 47,1,2024-09-07 08:44:30:567,348167,348167,0,0,161970164921,1672024343,346635,1515,17,366,391605,0 47,2,2024-09-07 08:44:30:908,250475,250475,0,0,9620435,0,2558 47,3,2024-09-07 08:44:31:116,1,197,4,0,529,2126,197,0 48,0,2024-09-07 08:44:31:506,52076,0.3,51709,0.4,103189,0.2,137975,1.50 48,1,2024-09-07 08:44:31:023,347812,347812,0,0,162440031641,1686022408,345744,1887,181,384,391710,0 48,2,2024-09-07 08:44:30:703,246632,246632,0,0,8566617,0,3031 48,3,2024-09-07 08:44:30:761,1,197,1,0,339,1796,197,0 49,0,2024-09-07 08:44:31:713,50632,0.5,49651,0.6,96469,0.4,131762,1.75 49,1,2024-09-07 08:44:31:021,346121,346121,0,0,162149941477,1688902701,343331,1950,840,382,391610,0 49,2,2024-09-07 08:44:31:800,252125,252125,0,0,9443180,0,3900 49,3,2024-09-07 08:44:31:426,1,197,0,0,408,2537,197,0 50,0,2024-09-07 08:44:31:512,44363,0.3,43834,0.5,88087,0.2,117897,1.75 50,1,2024-09-07 08:44:31:017,348414,348414,0,0,162999709395,1688665999,345760,2344,310,368,391530,0 50,2,2024-09-07 08:44:31:072,251038,251038,0,0,9365372,0,2263 50,3,2024-09-07 08:44:31:293,1,197,14,0,335,1657,197,0 51,0,2024-09-07 08:44:31:696,50789,0.2,49740,0.4,97003,0.2,132649,1.50 51,1,2024-09-07 08:44:31:686,347570,347570,0,0,163408207228,1687477960,345522,1262,786,365,391637,0 51,2,2024-09-07 08:44:31:326,249283,249283,0,0,8603799,0,3337 51,3,2024-09-07 08:44:31:033,1,197,1,0,162,1007,197,0 52,0,2024-09-07 08:44:31:419,51916,0.5,51751,0.6,103309,0.4,136938,2.00 52,1,2024-09-07 08:44:30:583,345907,345907,0,0,160764983847,1691473004,339650,5097,1160,368,391722,0 52,2,2024-09-07 08:44:31:765,243985,243947,38,0,11431314,0,6742 52,3,2024-09-07 08:44:30:674,1,197,1,0,1782,3782,197,0 53,0,2024-09-07 08:44:31:743,50526,0.9,49114,0.9,102875,1.0,134514,2.50 53,1,2024-09-07 08:44:30:772,345219,345219,0,0,161876731145,1704406442,339006,4178,2035,367,391702,0 53,2,2024-09-07 08:44:31:301,250601,250601,0,0,9887953,0,2262 53,3,2024-09-07 08:44:30:703,1,197,2,0,271,1747,197,0 54,0,2024-09-07 08:44:31:616,43737,1.3,44191,1.0,87524,0.6,117229,3.25 54,1,2024-09-07 08:44:30:581,346317,346317,0,0,162565085789,1694327691,342204,3542,571,367,391659,0 54,2,2024-09-07 08:44:30:866,250959,250953,6,0,11493823,0,5382 54,3,2024-09-07 08:44:30:765,1,197,1,0,676,3415,197,0 55,0,2024-09-07 08:44:31:808,46592,0.5,48175,0.7,97206,0.4,127016,2.25 55,1,2024-09-07 08:44:30:771,345773,345773,0,0,161120575102,1683566041,340310,4676,787,365,391731,0 55,2,2024-09-07 08:44:30:733,248656,248656,0,0,11206435,0,3275 55,3,2024-09-07 08:44:30:678,1,197,4,0,304,2454,197,0 56,0,2024-09-07 08:44:31:574,53142,1.3,50123,1.1,103101,1.8,137939,2.50 56,1,2024-09-07 08:44:30:572,345293,345293,0,0,161910714071,1712932061,339603,4747,943,381,391678,0 56,2,2024-09-07 08:44:31:303,246176,246176,0,0,12072635,0,3567 56,3,2024-09-07 08:44:31:062,1,197,1,0,705,3293,197,0 57,0,2024-09-07 08:44:30:943,51970,2.0,52170,1.4,104239,2.8,139413,3.50 57,1,2024-09-07 08:44:30:991,345977,345977,0,0,160833683043,1686545563,342028,3442,507,367,391960,0 57,2,2024-09-07 08:44:31:321,249135,249135,0,0,12942128,0,3317 57,3,2024-09-07 08:44:31:744,1,197,11,0,359,2960,197,0 58,0,2024-09-07 08:44:30:554,45691,0.9,44420,1.1,93037,1.0,121894,2.50 58,1,2024-09-07 08:44:30:575,346550,346547,0,3,162283090155,1699531794,341395,4458,694,367,391545,3 58,2,2024-09-07 08:44:31:085,251388,251388,0,0,11731273,0,2549 58,3,2024-09-07 08:44:31:070,1,197,8,0,1043,2522,197,0 59,0,2024-09-07 08:44:31:741,47194,0.7,46719,0.9,93613,0.7,125061,2.75 59,1,2024-09-07 08:44:30:812,346234,346234,0,0,162140293075,1697352508,341950,3301,983,369,391525,0 59,2,2024-09-07 08:44:30:582,250527,250527,0,0,10619888,0,2604 59,3,2024-09-07 08:44:31:745,1,197,7,0,1015,3148,197,0 60,0,2024-09-07 08:44:31:718,52268,0.5,52081,0.6,104677,0.4,139210,1.75 60,1,2024-09-07 08:44:30:775,347660,347660,0,0,162877874750,1689787070,345491,1656,513,370,391761,0 60,2,2024-09-07 08:44:31:141,248715,248715,0,0,10939419,0,3811 60,3,2024-09-07 08:44:31:260,1,197,1,0,124,1810,197,0 61,0,2024-09-07 08:44:31:495,52590,0.9,52754,0.9,105288,1.1,140787,2.00 61,1,2024-09-07 08:44:30:774,346101,346101,0,0,162251753833,1701115011,341979,3479,643,382,391880,0 61,2,2024-09-07 08:44:31:123,247371,247371,0,0,10071365,0,2090 61,3,2024-09-07 08:44:31:689,1,197,1,0,265,2416,197,0 62,0,2024-09-07 08:44:31:709,48792,1.1,49834,0.9,94975,1.4,129360,2.25 62,1,2024-09-07 08:44:31:111,348362,348356,0,6,163511551591,1687046290,346336,1910,110,365,391715,6 62,2,2024-09-07 08:44:31:644,250149,250148,1,0,11305912,0,5555 62,3,2024-09-07 08:44:31:143,1,197,8,0,287,1355,197,0 63,0,2024-09-07 08:44:31:479,45065,0.5,45009,0.6,90072,0.4,120276,1.75 63,1,2024-09-07 08:44:30:804,347762,347756,0,6,162871319741,1686728935,346456,1273,27,381,391677,6 63,2,2024-09-07 08:44:30:764,250442,250442,0,0,9418309,0,2674 63,3,2024-09-07 08:44:31:744,1,197,9,0,667,2534,197,0 64,0,2024-09-07 08:44:31:511,49842,0.4,49954,0.6,100248,0.3,133364,1.75 64,1,2024-09-07 08:44:30:755,346634,346634,0,0,162229191760,1692113651,343148,2431,1055,370,391783,0 64,2,2024-09-07 08:44:31:141,251433,251414,19,0,9958528,0,6121 64,3,2024-09-07 08:44:31:140,1,197,4,0,265,2084,197,0 65,0,2024-09-07 08:44:31:677,50551,0.7,50970,0.8,101651,0.8,135542,2.25 65,1,2024-09-07 08:44:30:859,345798,345798,0,0,161669793861,1690915898,343052,2522,224,382,391770,0 65,2,2024-09-07 08:44:31:693,245733,245733,0,0,10225027,0,3367 65,3,2024-09-07 08:44:31:683,1,197,5,0,163,1802,197,0 66,0,2024-09-07 08:44:31:782,49867,0.6,49957,0.8,100256,0.6,132967,2.25 66,1,2024-09-07 08:44:31:295,347606,347606,0,0,162697656892,1688773105,345717,1716,173,380,391588,0 66,2,2024-09-07 08:44:31:132,253136,253136,0,0,10034461,0,4956 66,3,2024-09-07 08:44:31:081,1,197,10,0,291,2238,197,0 67,0,2024-09-07 08:44:31:422,43644,0.7,43485,0.8,87332,0.7,116176,2.25 67,1,2024-09-07 08:44:30:769,346506,346505,0,1,162350834787,1695119110,343017,2741,747,380,391787,1 67,2,2024-09-07 08:44:30:585,252288,252288,0,0,9409204,0,2889 67,3,2024-09-07 08:44:31:765,1,197,1,0,338,1712,197,0 68,0,2024-09-07 08:44:30:622,49024,0.5,48914,0.7,97454,0.5,130520,2.00 68,1,2024-09-07 08:44:30:580,345647,345647,0,0,161557933304,1696239173,342037,2474,1136,381,391953,0 68,2,2024-09-07 08:44:31:043,248659,248594,65,0,12938204,0,6698 68,3,2024-09-07 08:44:30:738,1,197,6,0,417,2434,197,0 69,0,2024-09-07 08:44:31:754,51744,0.9,51899,0.9,103312,1.1,137614,2.25 69,1,2024-09-07 08:44:31:060,344618,344618,0,0,161388699044,1703430126,340347,3056,1215,384,391994,0 69,2,2024-09-07 08:44:31:733,246003,246003,0,0,11620966,0,3701 69,3,2024-09-07 08:44:30:763,1,197,1,0,698,2989,197,0 70,0,2024-09-07 08:44:31:537,51778,1.4,51756,1.2,103899,1.0,138139,2.50 70,1,2024-09-07 08:44:30:805,346957,346957,0,0,163192946685,1695656987,343960,2493,504,366,391725,0 70,2,2024-09-07 08:44:31:324,250375,250375,0,0,10777104,0,4044 70,3,2024-09-07 08:44:30:757,1,197,6,0,854,2512,197,0 71,0,2024-09-07 08:44:31:366,45283,1.6,45245,1.4,90272,2.2,121239,3.25 71,1,2024-09-07 08:44:31:598,346359,346359,0,0,161859468190,1692339655,341984,3823,552,368,391738,0 71,2,2024-09-07 08:44:31:071,251776,251776,0,0,11313335,0,2579 71,3,2024-09-07 08:44:31:753,1,197,0,0,644,3060,197,0 72,0,2024-09-07 08:44:31:025,49401,0.6,48265,0.8,94312,0.6,128739,2.25 72,1,2024-09-07 08:44:31:025,346272,346272,0,0,162262043337,1696670321,342091,3432,749,369,391819,0 72,2,2024-09-07 08:44:31:761,248480,248480,0,0,12752357,0,2570 72,3,2024-09-07 08:44:31:764,1,197,1,0,364,3548,197,0 73,0,2024-09-07 08:44:31:104,51191,0.4,52423,0.6,107425,0.4,139065,2.25 73,1,2024-09-07 08:44:30:766,346524,346524,0,0,162810930813,1693854971,343884,2426,214,367,391750,0 73,2,2024-09-07 08:44:31:746,247939,247939,0,0,12065779,0,3482 73,3,2024-09-07 08:44:30:968,1,197,7,0,274,3151,197,0 74,0,2024-09-07 08:44:31:334,53941,0.5,54890,0.7,104653,0.5,142215,2.25 74,1,2024-09-07 08:44:30:643,345960,345960,0,0,162000654066,1694228881,342152,3018,790,381,391681,0 74,2,2024-09-07 08:44:31:003,247602,247602,0,0,12212585,0,4253 74,3,2024-09-07 08:44:31:446,1,197,6,0,522,3022,197,0 75,0,2024-09-07 08:44:31:777,47533,0.9,47200,1.1,94715,0.9,127046,2.50 75,1,2024-09-07 08:44:31:593,345593,345593,0,0,161418021901,1692046561,341195,3736,662,381,391579,0 75,2,2024-09-07 08:44:31:363,250773,250773,0,0,12245611,0,4766 75,3,2024-09-07 08:44:31:071,1,197,0,0,702,2992,197,0 76,0,2024-09-07 08:44:30:592,46155,0.5,45760,0.7,91308,0.4,123090,2.50 76,1,2024-09-07 08:44:30:806,346517,346517,0,0,162042283746,1690198260,344068,1903,546,382,391692,0 76,2,2024-09-07 08:44:31:074,250657,250657,0,0,10118148,0,3784 76,3,2024-09-07 08:44:31:143,1,197,6,0,175,2229,197,0 77,0,2024-09-07 08:44:31:696,50986,0.4,51360,0.6,102253,0.3,135519,1.75 77,1,2024-09-07 08:44:30:826,347109,347109,0,0,162300013064,1692868901,344981,2039,89,383,391808,0 77,2,2024-09-07 08:44:31:307,248588,248588,0,0,10401895,0,3890 77,3,2024-09-07 08:44:31:099,1,197,1,0,305,2228,197,0 78,0,2024-09-07 08:44:31:749,51757,0.4,51458,0.7,103717,0.4,137489,2.00 78,1,2024-09-07 08:44:30:611,346824,346824,0,0,161419939740,1678649779,344024,2485,315,367,391646,0 78,2,2024-09-07 08:44:31:405,246899,246899,0,0,9235373,0,2114 78,3,2024-09-07 08:44:31:133,1,197,9,0,181,1937,197,0 79,0,2024-09-07 08:44:31:374,47859,0.5,48953,0.7,100149,0.4,130033,2.50 79,1,2024-09-07 08:44:30:571,347789,347789,0,0,162362722748,1682068573,345081,2372,336,369,391682,0 79,2,2024-09-07 08:44:31:071,251706,251706,0,0,9601734,0,3212 79,3,2024-09-07 08:44:30:749,1,197,14,0,418,3061,197,0 80,0,2024-09-07 08:44:31:087,44011,0.5,45319,0.7,86815,0.5,117882,2.00 80,1,2024-09-07 08:44:31:618,345995,345995,0,0,161643206541,1682852922,343321,2508,166,368,391791,0 80,2,2024-09-07 08:44:31:094,251485,251485,0,0,10087480,0,4433 80,3,2024-09-07 08:44:30:580,1,197,1,0,190,2878,197,0 81,0,2024-09-07 08:44:31:534,49632,0.4,51035,0.6,97633,0.4,132132,1.75 81,1,2024-09-07 08:44:31:656,346430,346430,0,0,161656419382,1688504099,343829,2325,276,382,391879,0 81,2,2024-09-07 08:44:31:132,249073,249073,0,0,10432572,0,3993 81,3,2024-09-07 08:44:31:117,1,197,3,0,193,1908,197,0 82,0,2024-09-07 08:44:31:583,51288,0.4,51633,0.6,103374,0.4,137057,1.75 82,1,2024-09-07 08:44:30:583,346764,346760,0,4,161466907803,1682492435,344244,1938,578,381,391558,4 82,2,2024-09-07 08:44:31:692,245888,245888,0,0,9600766,0,3986 82,3,2024-09-07 08:44:31:782,1,197,6,0,363,2460,197,0 83,0,2024-09-07 08:44:31:532,51000,0.6,51043,0.8,101280,0.7,134885,2.00 83,1,2024-09-07 08:44:30:563,346297,346297,0,0,161061736864,1681475654,343600,2472,225,382,391690,0 83,2,2024-09-07 08:44:30:766,250147,250147,0,0,9413410,0,3119 83,3,2024-09-07 08:44:30:755,1,197,3,0,241,1962,197,0 84,0,2024-09-07 08:44:31:805,44207,1.0,44199,1.1,88730,0.8,118331,2.50 84,1,2024-09-07 08:44:31:038,345590,345590,0,0,161058806307,1687207014,341604,3418,568,368,391967,0 84,2,2024-09-07 08:44:30:571,251416,251416,0,0,11458362,0,3801 84,3,2024-09-07 08:44:31:141,1,197,1,0,353,2712,197,0 85,0,2024-09-07 08:44:31:012,46451,0.6,46502,0.8,98491,0.6,127727,2.25 85,1,2024-09-07 08:44:30:567,345305,345305,0,0,160716997882,1702483477,339257,4953,1095,382,392006,0 85,2,2024-09-07 08:44:30:888,250232,250232,0,0,11942931,0,3656 85,3,2024-09-07 08:44:30:688,1,197,1,0,789,2832,197,0 86,0,2024-09-07 08:44:30:888,52006,0.7,53367,0.8,102417,0.7,137947,2.00 86,1,2024-09-07 08:44:30:827,346391,346391,0,0,162434351602,1701062282,342204,3642,545,366,391961,0 86,2,2024-09-07 08:44:30:854,245170,245169,1,0,12198787,0,5004 86,3,2024-09-07 08:44:30:587,1,197,14,0,286,2736,197,0 87,0,2024-09-07 08:44:31:286,52690,1.2,52399,1.0,105336,1.7,140929,2.50 87,1,2024-09-07 08:44:30:566,346224,346224,0,0,161946267332,1697177851,342320,3444,460,366,391788,0 87,2,2024-09-07 08:44:31:071,248236,248236,0,0,10625443,0,3515 87,3,2024-09-07 08:44:31:794,1,197,3,0,473,3557,197,0 88,0,2024-09-07 08:44:31:448,46812,0.6,47038,0.7,93930,0.7,124938,1.75 88,1,2024-09-07 08:44:30:571,344848,344848,0,0,161424947938,1698934403,339153,4226,1469,365,392084,0 88,2,2024-09-07 08:44:30:689,250748,250748,0,0,13172433,0,3583 88,3,2024-09-07 08:44:31:273,1,197,1,0,435,2554,197,0 89,0,2024-09-07 08:44:31:811,48410,0.4,46902,0.7,92819,0.4,126240,1.75 89,1,2024-09-07 08:44:30:556,344280,344280,0,0,162165144034,1715043976,338293,5042,945,382,391866,0 89,2,2024-09-07 08:44:31:156,248927,248927,0,0,12409572,0,2910 89,3,2024-09-07 08:44:31:799,1,197,10,0,325,3963,197,0 90,0,2024-09-07 08:44:31:617,50579,0.5,51766,0.6,105757,0.4,138236,1.75 90,1,2024-09-07 08:44:30:590,346434,346434,0,0,161915291653,1697826625,343112,3062,260,381,391825,0 90,2,2024-09-07 08:44:31:405,247074,247074,0,0,13328204,0,3060 90,3,2024-09-07 08:44:30:932,1,197,12,0,200,2207,197,0 91,0,2024-09-07 08:44:31:019,52993,0.5,51258,0.6,106984,0.4,140845,1.75 91,1,2024-09-07 08:44:30:612,345637,345637,0,0,162197204592,1707053237,340877,4114,646,381,392047,0 91,2,2024-09-07 08:44:31:344,246259,246259,0,0,11731689,0,2445 91,3,2024-09-07 08:44:30:613,1,197,1,0,216,2042,197,0 92,0,2024-09-07 08:44:31:443,48898,0.6,50183,0.8,95859,0.7,129657,1.75 92,1,2024-09-07 08:44:30:588,346078,346078,0,0,161370146440,1684473516,343825,1849,404,382,392136,0 92,2,2024-09-07 08:44:31:369,252719,252719,0,0,10157762,0,2801 92,3,2024-09-07 08:44:31:020,1,197,1,0,167,1670,197,0 93,0,2024-09-07 08:44:30:954,45291,0.4,46372,0.6,88520,0.3,120496,1.75 93,1,2024-09-07 08:44:30:819,346731,346731,0,0,162196677317,1692707473,343434,2742,555,366,391692,0 93,2,2024-09-07 08:44:30:935,250888,250888,0,0,10375985,0,2509 93,3,2024-09-07 08:44:31:406,1,197,19,0,143,2043,197,0 94,0,2024-09-07 08:44:31:600,49954,0.3,50735,0.5,100767,0.2,133356,1.50 94,1,2024-09-07 08:44:30:563,345921,345921,0,0,162522693858,1698652671,343185,2603,133,381,391850,0 94,2,2024-09-07 08:44:30:775,248837,248837,0,0,9727212,0,2443 94,3,2024-09-07 08:44:31:694,1,197,13,0,264,2823,197,0 95,0,2024-09-07 08:44:31:363,51409,0.3,51039,0.5,103080,0.3,136282,1.75 95,1,2024-09-07 08:44:30:861,346692,346692,0,0,162674967392,1692833040,343759,2595,338,367,391662,0 95,2,2024-09-07 08:44:31:022,245377,245377,0,0,9799567,0,3308 95,3,2024-09-07 08:44:31:710,1,197,1,0,718,3738,197,0 96,0,2024-09-07 08:44:31:030,50333,0.5,50593,0.6,101105,0.5,133543,1.75 96,1,2024-09-07 08:44:31:595,346451,346451,0,0,162056683415,1693449040,343704,2100,647,385,391894,0 96,2,2024-09-07 08:44:31:268,251025,251025,0,0,10405104,0,4042 96,3,2024-09-07 08:44:31:140,1,197,16,0,411,2523,197,0 97,0,2024-09-07 08:44:31:317,43834,0.4,43539,0.5,87419,0.3,116527,1.75 97,1,2024-09-07 08:44:30:765,347676,347676,0,0,162230220871,1684819110,344854,2269,553,367,392140,0 97,2,2024-09-07 08:44:30:607,251375,251375,0,0,9885671,0,3036 97,3,2024-09-07 08:44:30:570,1,197,4,0,165,2333,197,0 98,0,2024-09-07 08:44:31:698,48882,0.2,48842,0.4,98008,0.2,130727,1.50 98,1,2024-09-07 08:44:30:580,346596,346596,0,0,161432364482,1681303986,344731,1771,94,382,391997,0 98,2,2024-09-07 08:44:30:770,250550,250550,0,0,9920650,0,3080 98,3,2024-09-07 08:44:30:702,1,197,9,0,840,3496,197,0 99,0,2024-09-07 08:44:31:456,52063,0.3,52078,0.4,103830,0.3,138642,1.50 99,1,2024-09-07 08:44:31:726,347317,347317,0,0,161481762814,1685605704,343963,2640,714,381,391744,0 99,2,2024-09-07 08:44:31:424,247692,247692,0,0,9654344,0,2615 99,3,2024-09-07 08:44:30:582,1,197,120,0,129,1507,197,0 100,0,2024-09-07 08:44:31:468,52061,0.9,52115,1.1,103980,1.5,138798,2.50 100,1,2024-09-07 08:44:30:549,344561,344561,0,0,161075859279,1706334841,338974,4654,933,381,391989,0 100,2,2024-09-07 08:44:31:821,248770,248759,11,0,12037338,0,5417 100,3,2024-09-07 08:44:31:732,1,197,5,0,559,3879,197,0 101,0,2024-09-07 08:44:31:724,46629,2.2,45524,1.4,89023,2.3,122514,2.75 101,1,2024-09-07 08:44:30:550,344915,344915,0,0,161343170256,1704531486,338883,4510,1522,368,391769,0 101,2,2024-09-07 08:44:31:766,250609,250609,0,0,12779049,0,4644 101,3,2024-09-07 08:44:30:948,1,197,1,0,448,2512,197,0 102,0,2024-09-07 08:44:30:963,46802,0.7,48424,0.8,97295,0.7,128049,2.00 102,1,2024-09-07 08:44:31:143,345588,345588,0,0,161360898565,1697990001,340864,4006,718,369,391883,0 102,2,2024-09-07 08:44:31:745,250001,249947,54,0,12119876,0,6768 102,3,2024-09-07 08:44:31:612,1,197,12,0,410,2203,197,0 103,0,2024-09-07 08:44:31:592,53996,0.5,54018,0.7,101906,0.5,140054,2.00 103,1,2024-09-07 08:44:31:625,344615,344615,0,0,161341481477,1703192030,339181,4109,1325,381,391829,0 103,2,2024-09-07 08:44:30:582,246675,246675,0,0,10759091,0,2104 103,3,2024-09-07 08:44:30:755,1,197,2,0,916,3344,197,0 104,0,2024-09-07 08:44:31:029,52801,1.0,53246,1.1,105198,1.0,142207,2.50 104,1,2024-09-07 08:44:31:601,346387,346387,0,0,161599309788,1702870617,341036,4381,970,365,391948,0 104,2,2024-09-07 08:44:31:672,246807,246807,0,0,12190916,0,3941 104,3,2024-09-07 08:44:31:428,1,197,8,1,1245,5709,197,0 105,0,2024-09-07 08:44:31:069,46900,1.3,45523,1.3,95182,2.1,125880,3.50 105,1,2024-09-07 08:44:30:556,346388,346388,0,0,161880447473,1700287074,341517,4068,803,367,391797,0 105,2,2024-09-07 08:44:31:329,250497,250497,0,0,11801655,0,3509 105,3,2024-09-07 08:44:31:316,1,197,8,0,399,3517,197,0 106,0,2024-09-07 08:44:30:967,44551,0.8,45631,1.0,93461,0.9,122324,2.50 106,1,2024-09-07 08:44:31:756,346142,346142,0,0,161612686790,1699130508,340852,4813,477,369,391767,0 106,2,2024-09-07 08:44:30:756,248154,248154,0,0,11774162,0,2795 106,3,2024-09-07 08:44:30:688,1,197,8,0,470,3124,197,0 107,0,2024-09-07 08:44:31:103,50846,0.6,50867,0.8,101472,0.5,135097,2.00 107,1,2024-09-07 08:44:30:589,345400,345400,0,0,160864230584,1694214491,341265,3720,415,381,392234,0 107,2,2024-09-07 08:44:31:291,248477,248476,1,0,11960064,0,5024 107,3,2024-09-07 08:44:31:763,1,197,149,0,353,2913,197,0 108,0,2024-09-07 08:44:31:818,51827,0.4,52029,0.6,103138,0.4,137905,1.75 108,1,2024-09-07 08:44:31:292,346055,346055,0,0,161633931176,1686036082,343331,2410,314,368,391857,0 108,2,2024-09-07 08:44:31:766,244976,244976,0,0,10768996,0,2647 108,3,2024-09-07 08:44:31:330,1,197,188,0,667,3745,197,0 109,0,2024-09-07 08:44:31:766,49678,0.4,49153,0.6,98576,0.4,131925,1.75 109,1,2024-09-07 08:44:30:589,345516,345516,0,0,161473800695,1694141747,342511,2508,497,383,392132,0 109,2,2024-09-07 08:44:30:933,250940,250940,0,0,10844846,0,3617 109,3,2024-09-07 08:44:31:140,1,197,21,0,249,2576,197,0 110,0,2024-09-07 08:44:31:770,44424,0.4,43227,0.6,90000,0.3,118083,1.75 110,1,2024-09-07 08:44:31:643,346900,346900,0,0,162253275950,1685797416,344243,1890,767,370,391667,0 110,2,2024-09-07 08:44:31:304,251037,251037,0,0,10083494,0,2915 110,3,2024-09-07 08:44:30:693,1,197,8,0,406,2808,197,0 111,0,2024-09-07 08:44:31:449,49788,0.3,49382,0.4,98428,0.2,132333,1.50 111,1,2024-09-07 08:44:31:013,347349,347349,0,0,162345788343,1683613891,345556,1459,334,382,391690,0 111,2,2024-09-07 08:44:31:118,249241,249241,0,0,10468892,0,2763 111,3,2024-09-07 08:44:30:914,1,197,1,0,379,2996,197,0 112,0,2024-09-07 08:44:30:937,51689,0.3,51616,0.4,103307,0.2,137188,1.50 112,1,2024-09-07 08:44:30:826,347600,347600,0,0,162436438574,1686677535,345228,1946,426,380,391580,0 112,2,2024-09-07 08:44:31:136,245523,245522,1,0,10080820,0,5036 112,3,2024-09-07 08:44:30:605,1,197,2,0,282,2179,197,0 113,0,2024-09-07 08:44:30:867,50776,0.4,50743,0.5,101968,0.3,136053,1.75 113,1,2024-09-07 08:44:31:689,347910,347910,0,0,163340007299,1688455926,345471,1950,489,366,391661,0 113,2,2024-09-07 08:44:31:303,251564,251564,0,0,9238138,0,3813 113,3,2024-09-07 08:44:30:689,1,197,0,0,340,3432,197,0 114,0,2024-09-07 08:44:30:895,45135,0.5,45674,0.6,90020,0.4,120371,1.75 114,1,2024-09-07 08:44:30:717,346299,346299,0,0,162622894066,1696935429,342166,2670,1463,381,391534,0 114,2,2024-09-07 08:44:30:877,251676,251676,0,0,9776403,0,3925 114,3,2024-09-07 08:44:31:278,1,197,9,0,395,2247,197,0 115,0,2024-09-07 08:44:30:558,48055,0.2,48430,0.4,96815,0.1,128763,1.50 115,1,2024-09-07 08:44:30:570,346342,346342,0,0,162358319685,1690391937,342664,2857,821,382,391602,0 115,2,2024-09-07 08:44:31:133,250942,250942,0,0,8969277,0,2152 115,3,2024-09-07 08:44:31:004,1,197,75,0,159,1253,197,0 116,0,2024-09-07 08:44:31:701,52035,0.7,51741,0.9,103787,0.7,138591,2.00 116,1,2024-09-07 08:44:30:811,344138,344138,0,0,161715133816,1717644618,338202,3884,2052,380,391782,0 116,2,2024-09-07 08:44:31:751,245477,245477,0,0,12779975,0,3529 116,3,2024-09-07 08:44:30:912,1,197,6,0,252,2721,197,0 117,0,2024-09-07 08:44:30:964,52720,1.0,52700,1.0,105306,1.3,141085,2.00 117,1,2024-09-07 08:44:31:578,345674,345674,0,0,161438274007,1693045729,341449,3749,476,370,392033,0 117,2,2024-09-07 08:44:31:118,250822,250822,0,0,10083030,0,3700 117,3,2024-09-07 08:44:31:065,1,197,2,0,490,3716,197,0 118,0,2024-09-07 08:44:31:795,45576,0.8,46813,0.9,95260,0.9,124657,2.25 118,1,2024-09-07 08:44:30:601,345826,345826,0,0,161431362039,1702072481,340223,4177,1426,366,391736,0 118,2,2024-09-07 08:44:31:591,250857,250857,0,0,11701292,0,2781 118,3,2024-09-07 08:44:31:789,1,197,14,0,235,2436,197,0 119,0,2024-09-07 08:44:31:337,46620,0.6,46944,0.8,94416,0.5,125505,2.00 119,1,2024-09-07 08:44:30:549,346578,346578,0,0,161767375325,1689369401,343386,2885,307,368,391641,0 119,2,2024-09-07 08:44:31:262,249500,249500,0,0,10714811,0,3526 119,3,2024-09-07 08:44:31:330,1,197,1,0,443,3246,197,0 120,0,2024-09-07 08:44:31:542,51844,0.5,51820,0.8,103985,0.5,138893,2.00 120,1,2024-09-07 08:44:30:868,346204,346204,0,0,161757207572,1699524121,342147,3714,343,368,391961,0 120,2,2024-09-07 08:44:30:769,247640,247639,1,0,13188527,0,5281 120,3,2024-09-07 08:44:31:304,1,197,16,0,241,2805,197,0 121,0,2024-09-07 08:44:31:691,52399,1.2,52752,1.1,105201,1.7,140387,2.25 121,1,2024-09-07 08:44:31:690,346043,346043,0,0,161872762855,1696322022,342335,3306,402,367,391840,0 121,2,2024-09-07 08:44:31:146,245920,245920,0,0,12209018,0,4127 121,3,2024-09-07 08:44:30:729,1,197,0,0,269,2549,197,0 122,0,2024-09-07 08:44:31:791,48295,1.1,47071,1.1,98636,1.2,129613,2.25 122,1,2024-09-07 08:44:30:861,344953,344953,0,0,161241705336,1696965795,339731,4482,740,366,392130,0 122,2,2024-09-07 08:44:31:326,250320,250247,73,0,15091996,0,5989 122,3,2024-09-07 08:44:30:600,1,197,10,0,411,4532,197,0 123,0,2024-09-07 08:44:30:974,45017,0.7,43941,0.8,91562,0.8,120164,2.00 123,1,2024-09-07 08:44:30:559,345522,345522,0,0,161828632363,1713485302,337658,6304,1560,369,391823,0 123,2,2024-09-07 08:44:31:031,248379,248378,1,0,12094722,0,5215 123,3,2024-09-07 08:44:31:140,1,197,2,0,168,2436,197,0 124,0,2024-09-07 08:44:30:931,51723,0.3,51686,0.5,97589,0.2,133728,1.50 124,1,2024-09-07 08:44:31:032,347174,347174,0,0,161860732004,1682454881,344761,2055,358,367,392178,0 124,2,2024-09-07 08:44:31:017,249429,249429,0,0,9894073,0,3101 124,3,2024-09-07 08:44:30:760,1,197,2,0,490,2543,197,0 125,0,2024-09-07 08:44:31:442,51214,0.4,51143,0.5,102628,0.3,136397,1.75 125,1,2024-09-07 08:44:30:856,346059,346059,0,0,161128715899,1682090644,343606,2175,278,383,391702,0 125,2,2024-09-07 08:44:31:121,246235,246235,0,0,9670166,0,2180 125,3,2024-09-07 08:44:31:128,1,197,6,0,284,2450,197,0 126,0,2024-09-07 08:44:31:459,50540,0.6,51771,0.7,99197,0.7,134377,1.75 126,1,2024-09-07 08:44:30:556,347030,347030,0,0,163265747653,1693886443,344629,2142,259,365,391987,0 126,2,2024-09-07 08:44:30:610,252280,252280,0,0,10382076,0,3186 126,3,2024-09-07 08:44:30:914,1,197,8,0,150,2734,197,0 127,0,2024-09-07 08:44:31:622,43570,0.4,43832,0.5,87333,0.3,116366,1.75 127,1,2024-09-07 08:44:30:570,346484,346484,0,0,162512198700,1685888866,343809,2523,152,365,391816,0 127,2,2024-09-07 08:44:30:637,251605,251605,0,0,9925409,0,2264 127,3,2024-09-07 08:44:31:271,1,197,30,0,243,1729,197,0 128,0,2024-09-07 08:44:31:532,49276,0.3,49075,0.4,98234,0.2,130772,1.50 128,1,2024-09-07 08:44:31:604,347295,347295,0,0,162321651486,1681090862,345980,1209,106,367,391680,0 128,2,2024-09-07 08:44:31:386,249563,249563,0,0,9453105,0,2107 128,3,2024-09-07 08:44:30:769,1,197,13,0,333,2708,197,0 129,0,2024-09-07 08:44:30:994,52387,0.3,52118,0.5,104143,0.3,138274,1.50 129,1,2024-09-07 08:44:30:570,345157,345157,0,0,162177972800,1697521921,342111,2651,395,379,391835,0 129,2,2024-09-07 08:44:30:690,246351,246351,0,0,9507143,0,4031 129,3,2024-09-07 08:44:30:689,1,197,2,0,469,2678,197,0 130,0,2024-09-07 08:44:31:735,52497,0.6,52369,0.7,104994,0.7,139683,1.75 130,1,2024-09-07 08:44:30:584,347012,347012,0,0,162459933423,1691069489,344850,2088,74,381,391825,0 130,2,2024-09-07 08:44:31:135,251174,251174,0,0,9836359,0,4067 130,3,2024-09-07 08:44:31:291,1,197,3,0,450,2264,197,0 131,0,2024-09-07 08:44:31:963,45911,0.5,46269,0.6,93090,0.5,122591,1.75 131,1,2024-09-07 08:44:31:828,347692,347692,0,0,162550953121,1690278282,346122,1364,206,383,391865,0 131,2,2024-09-07 08:44:30:566,252645,252645,0,0,9253955,0,2415 131,3,2024-09-07 08:44:31:696,1,197,1,0,392,2029,197,0 132,0,2024-09-07 08:44:31:427,47644,0.5,48231,0.7,96460,0.5,128423,2.00 132,1,2024-09-07 08:44:30:576,345386,345386,0,0,161450126720,1705318730,339665,4656,1065,381,392097,0 132,2,2024-09-07 08:44:30:697,249330,249330,0,0,12643298,0,4606 132,3,2024-09-07 08:44:31:688,1,197,1,0,356,3253,197,0 133,0,2024-09-07 08:44:31:593,51128,0.4,52389,0.6,107211,0.4,138861,1.75 133,1,2024-09-07 08:44:30:584,344740,344740,0,0,161292427343,1700343865,340010,4085,645,383,391914,0 133,2,2024-09-07 08:44:31:100,246511,246511,0,0,12546192,0,4315 133,3,2024-09-07 08:44:31:310,1,197,6,0,276,2103,197,0 134,0,2024-09-07 08:44:31:001,53243,0.5,53180,0.7,106803,0.5,142147,2.00 134,1,2024-09-07 08:44:30:585,345397,345397,0,0,161281444405,1694657053,340310,3804,1283,366,391718,0 134,2,2024-09-07 08:44:31:769,247463,247463,0,0,10746829,0,3847 134,3,2024-09-07 08:44:30:749,1,197,8,0,739,3309,197,0 135,0,2024-09-07 08:44:31:164,45912,1.3,45906,1.2,97395,1.5,125465,2.50 135,1,2024-09-07 08:44:31:585,344866,344866,0,0,162265342568,1707313018,340050,3919,897,380,391805,0 135,2,2024-09-07 08:44:30:689,251153,251153,0,0,12153962,0,3981 135,3,2024-09-07 08:44:31:003,1,197,1,0,299,1451,197,0 136,0,2024-09-07 08:44:31:616,46493,0.5,46465,0.7,93134,0.5,123973,2.25 136,1,2024-09-07 08:44:31:449,345113,345113,0,0,160933753170,1694471958,340165,4407,541,382,391641,0 136,2,2024-09-07 08:44:31:133,250350,250350,0,0,11582407,0,3506 136,3,2024-09-07 08:44:31:107,1,197,1,0,126,1674,197,0 137,0,2024-09-07 08:44:30:935,52290,0.6,50778,0.7,100231,0.5,136423,2.00 137,1,2024-09-07 08:44:30:575,344740,344740,0,0,161455253401,1699641893,338410,4961,1369,366,391708,0 137,2,2024-09-07 08:44:31:709,248239,248239,0,0,13340518,0,3185 137,3,2024-09-07 08:44:30:770,1,197,2,0,227,2157,197,0 138,0,2024-09-07 08:44:31:773,51233,1.0,51327,1.0,103173,1.3,137605,2.25 138,1,2024-09-07 08:44:31:688,345340,345340,0,0,161874278110,1701077916,339853,4600,887,368,391954,0 138,2,2024-09-07 08:44:30:603,246262,246262,0,0,11509303,0,4988 138,3,2024-09-07 08:44:30:610,1,197,7,0,1160,3494,197,0 139,0,2024-09-07 08:44:31:431,48392,2.6,48449,1.6,97819,3.8,130332,3.00 139,1,2024-09-07 08:44:30:597,343749,343749,0,0,160850859199,1712969146,336586,5289,1874,381,391892,0 139,2,2024-09-07 08:44:30:697,249268,249268,0,0,12299009,0,3097 139,3,2024-09-07 08:44:31:662,1,197,0,0,244,2146,197,0 140,0,2024-09-07 08:44:31:588,44568,0.3,44100,0.5,88998,0.3,118282,1.75 140,1,2024-09-07 08:44:31:542,347524,347524,0,0,163212214392,1682626025,345942,1342,240,365,391606,0 140,2,2024-09-07 08:44:30:689,251461,251461,0,0,10099739,0,3388 140,3,2024-09-07 08:44:30:771,1,197,2,0,247,1714,197,0 141,0,2024-09-07 08:44:31:702,49527,0.2,50802,0.4,97210,0.2,132411,1.50 141,1,2024-09-07 08:44:30:859,347554,347554,0,0,162909448743,1690367238,345529,1671,354,379,391614,0 141,2,2024-09-07 08:44:31:690,249850,249850,0,0,9612627,0,2342 141,3,2024-09-07 08:44:31:043,1,197,2,0,176,1645,197,0 142,0,2024-09-07 08:44:31:309,52086,0.3,51699,0.4,102789,0.2,137367,1.50 142,1,2024-09-07 08:44:30:625,346148,346148,0,0,162121514299,1689693533,344266,1718,164,383,391728,0 142,2,2024-09-07 08:44:31:301,245001,244969,32,0,10734557,0,6028 142,3,2024-09-07 08:44:31:746,1,197,16,0,484,2633,197,0 143,0,2024-09-07 08:44:31:380,51014,0.6,50911,0.7,102742,0.6,135819,1.75 143,1,2024-09-07 08:44:30:556,346856,346856,0,0,161948617858,1680298360,344675,2136,45,367,391619,0 143,2,2024-09-07 08:44:30:771,250559,250559,0,0,10285650,0,2669 143,3,2024-09-07 08:44:31:140,1,197,2,0,303,2916,197,0 144,0,2024-09-07 08:44:31:534,43239,0.7,44515,1.1,90393,0.6,118677,2.00 144,1,2024-09-07 08:44:30:564,345691,345691,0,0,161907368381,1695539247,343242,2244,205,381,391649,0 144,2,2024-09-07 08:44:31:771,251558,251558,0,0,10017874,0,3473 144,3,2024-09-07 08:44:31:742,1,197,11,0,249,2329,197,0 145,0,2024-09-07 08:44:31:385,46557,0.5,46544,0.7,98798,0.4,128351,2.00 145,1,2024-09-07 08:44:30:556,344098,344098,0,0,161531192027,1702682987,338897,4382,819,382,391698,0 145,2,2024-09-07 08:44:31:434,248886,248886,0,0,11280929,0,3903 145,3,2024-09-07 08:44:30:895,1,197,1,0,579,2663,197,0 146,0,2024-09-07 08:44:31:597,51959,0.5,51475,0.8,103815,0.5,137716,2.00 146,1,2024-09-07 08:44:31:603,346142,346142,0,0,162113721648,1707220471,339961,4799,1382,368,391770,0 146,2,2024-09-07 08:44:31:695,245798,245798,0,0,11146829,0,2498 146,3,2024-09-07 08:44:31:277,1,197,7,0,1520,5426,197,0 147,0,2024-09-07 08:44:31:729,52696,0.7,52642,0.8,104627,0.7,140678,2.25 147,1,2024-09-07 08:44:31:391,347245,347245,0,0,162264280609,1688496364,343980,2767,498,368,391791,0 147,2,2024-09-07 08:44:31:025,250291,250291,0,0,9975572,0,2789 147,3,2024-09-07 08:44:30:914,1,197,1,0,730,3122,197,0 0,0,2024-09-07 08:44:41:786,50737,0.5,50739,0.7,107699,0.5,139377,1.75 0,1,2024-09-07 08:44:40:801,348309,348309,0,0,163347449151,1707893404,346173,1999,137,372,391772,0 0,2,2024-09-07 08:44:41:068,249403,249403,0,0,10103463,0,4480 0,3,2024-09-07 08:44:40:976,1,198,151,0,247,2605,198,0 1,0,2024-09-07 08:44:41:784,53087,1.0,52682,1.1,105926,1.3,141465,2.25 1,1,2024-09-07 08:44:40:687,347922,347922,0,0,161378521051,1692024540,344450,2604,868,371,391857,0 1,2,2024-09-07 08:44:40:655,247853,247853,0,0,9399213,0,3267 1,3,2024-09-07 08:44:41:324,1,198,2,0,262,2445,198,0 2,0,2024-09-07 08:44:41:589,48606,1.0,48876,0.9,96994,1.3,129359,2.25 2,1,2024-09-07 08:44:40:868,348580,348580,0,0,163878168044,1701626785,347032,1342,206,380,391745,0 2,2,2024-09-07 08:44:41:269,252464,252464,0,0,10164556,0,3594 2,3,2024-09-07 08:44:40:691,1,198,13,0,357,1955,198,0 3,0,2024-09-07 08:44:41:751,45225,0.4,45434,0.6,90577,0.4,120942,2.00 3,1,2024-09-07 08:44:41:619,348090,348090,0,0,162661776133,1695295495,344883,2783,424,380,391516,0 3,2,2024-09-07 08:44:41:142,251546,251523,23,0,10271714,0,5851 3,3,2024-09-07 08:44:41:752,1,198,2,0,103,1227,198,0 4,0,2024-09-07 08:44:41:787,48760,0.3,50276,0.5,102059,0.3,134045,1.75 4,1,2024-09-07 08:44:40:614,347700,347700,0,0,162180625122,1703424316,344313,2856,531,371,391846,0 4,2,2024-09-07 08:44:41:030,249364,249364,0,0,12313139,0,4528 4,3,2024-09-07 08:44:41:027,1,198,6,0,448,3252,198,0 5,0,2024-09-07 08:44:41:411,51495,0.4,51633,0.6,102809,0.4,137145,1.75 5,1,2024-09-07 08:44:40:766,347616,347616,0,0,163058100420,1716288898,343026,3533,1057,368,392005,0 5,2,2024-09-07 08:44:41:835,245740,245740,0,0,10547652,0,2259 5,3,2024-09-07 08:44:41:734,1,198,1,0,278,2935,198,0 6,0,2024-09-07 08:44:40:919,50854,0.8,50353,0.9,100483,0.9,134574,2.25 6,1,2024-09-07 08:44:40:756,348237,348237,0,0,162562794579,1700160419,344520,3058,659,379,391634,0 6,2,2024-09-07 08:44:41:123,252035,252035,0,0,10957534,0,4816 6,3,2024-09-07 08:44:41:289,1,198,1,0,340,2569,198,0 7,0,2024-09-07 08:44:41:542,43561,0.4,43478,0.7,86954,0.4,116234,2.00 7,1,2024-09-07 08:44:40:865,347012,347012,0,0,162653234055,1704866579,343016,3637,359,382,391664,0 7,2,2024-09-07 08:44:40:778,251781,251781,0,0,10367937,0,2981 7,3,2024-09-07 08:44:40:854,1,198,9,0,305,2096,198,0 8,0,2024-09-07 08:44:41:434,49176,0.3,49321,0.5,98260,0.3,131633,1.50 8,1,2024-09-07 08:44:41:036,346678,346678,0,0,162929397487,1722444719,339621,5222,1835,367,391956,0 8,2,2024-09-07 08:44:40:795,247481,247481,0,0,12952839,0,2986 8,3,2024-09-07 08:44:40:586,1,198,2,0,357,2983,198,0 9,0,2024-09-07 08:44:41:126,52243,0.4,50722,0.5,105899,0.3,138680,1.75 9,1,2024-09-07 08:44:40:571,346882,346882,0,0,162745205989,1721375077,340897,4448,1537,370,392001,0 9,2,2024-09-07 08:44:41:086,246587,246587,0,0,11236468,0,3360 9,3,2024-09-07 08:44:41:752,1,198,5,0,496,3211,198,0 10,0,2024-09-07 08:44:41:614,52488,0.4,52167,0.5,104679,0.3,139323,1.75 10,1,2024-09-07 08:44:40:593,347438,347438,0,0,162610978998,1709430928,341704,4890,844,381,391741,0 10,2,2024-09-07 08:44:40:766,251487,251487,0,0,12877829,0,4264 10,3,2024-09-07 08:44:40:885,1,198,8,0,177,1531,198,0 11,0,2024-09-07 08:44:41:009,45408,0.5,44120,0.8,92419,0.5,122439,2.00 11,1,2024-09-07 08:44:40:571,347185,347185,0,0,162278594263,1714411315,339569,5703,1913,384,391537,0 11,2,2024-09-07 08:44:41:136,252247,252247,0,0,11748859,0,3411 11,3,2024-09-07 08:44:41:320,1,198,1,0,720,3035,198,0 12,0,2024-09-07 08:44:40:964,48629,0.3,48445,0.5,96911,0.3,129210,1.75 12,1,2024-09-07 08:44:40:955,348092,348092,0,0,162382866920,1696114036,344888,2785,419,370,391837,0 12,2,2024-09-07 08:44:41:547,251696,251696,0,0,11332969,0,3469 12,3,2024-09-07 08:44:41:067,1,198,19,0,386,3757,198,0 13,0,2024-09-07 08:44:41:336,52823,0.4,52678,0.5,105383,0.3,139861,1.75 13,1,2024-09-07 08:44:41:555,347162,347162,0,0,162175967352,1703292266,344084,2553,525,382,391717,0 13,2,2024-09-07 08:44:40:600,248691,248691,0,0,10278631,0,3287 13,3,2024-09-07 08:44:41:775,1,198,50,0,467,3578,198,0 14,0,2024-09-07 08:44:40:579,53660,0.4,54185,0.6,107094,0.4,142731,1.75 14,1,2024-09-07 08:44:41:568,349693,349693,0,0,163428041115,1694400321,347153,2351,189,364,391571,0 14,2,2024-09-07 08:44:40:780,249544,249544,0,0,10485235,0,2896 14,3,2024-09-07 08:44:41:119,1,198,12,0,1168,3177,198,0 15,0,2024-09-07 08:44:41:562,47397,0.7,47636,0.9,95294,0.8,126933,2.25 15,1,2024-09-07 08:44:41:615,348216,348216,0,0,163387953679,1699686758,346040,2032,144,381,391619,0 15,2,2024-09-07 08:44:40:997,253195,253195,0,0,9034535,0,3043 15,3,2024-09-07 08:44:41:412,1,198,1,0,1126,4683,198,0 16,0,2024-09-07 08:44:41:003,46582,0.5,46901,0.7,93036,0.5,124606,2.00 16,1,2024-09-07 08:44:40:623,348557,348557,0,0,162836620531,1702117210,345829,2455,273,370,391756,0 16,2,2024-09-07 08:44:41:438,250604,250604,0,0,11231353,0,4719 16,3,2024-09-07 08:44:41:147,1,198,21,0,231,2522,198,0 17,0,2024-09-07 08:44:41:770,53013,0.4,51724,0.6,101323,0.4,137779,1.75 17,1,2024-09-07 08:44:40:593,347214,347214,0,0,162257301288,1703238056,343731,2806,677,368,391688,0 17,2,2024-09-07 08:44:41:695,252461,252461,0,0,10078163,0,2857 17,3,2024-09-07 08:44:40:596,1,198,1,0,268,3178,198,0 18,0,2024-09-07 08:44:40:941,51266,0.8,51619,0.9,103099,0.9,137796,2.50 18,1,2024-09-07 08:44:41:639,348247,348247,0,0,163030958238,1690109887,346128,1884,235,367,391564,0 18,2,2024-09-07 08:44:41:760,248076,248076,0,0,9846138,0,3541 18,3,2024-09-07 08:44:40:903,1,198,7,0,163,2112,198,0 19,0,2024-09-07 08:44:41:541,48998,0.9,49503,0.9,97723,1.0,130184,2.50 19,1,2024-09-07 08:44:40:570,348404,348404,0,0,163849085576,1705766234,344124,3506,774,367,391777,0 19,2,2024-09-07 08:44:41:760,253638,253638,0,0,9240556,0,3988 19,3,2024-09-07 08:44:41:132,1,198,1,0,524,1689,198,0 20,0,2024-09-07 08:44:41:419,44354,0.5,44280,0.7,88889,0.5,118547,2.00 20,1,2024-09-07 08:44:40:586,347576,347576,0,0,162999523656,1708276861,343934,3248,394,369,391822,0 20,2,2024-09-07 08:44:40:950,251691,251691,0,0,10682743,0,3721 20,3,2024-09-07 08:44:40:591,1,198,2,0,414,4193,198,0 21,0,2024-09-07 08:44:41:204,49986,0.4,50240,0.6,100101,0.3,132949,1.75 21,1,2024-09-07 08:44:41:539,346833,346833,0,0,161773087606,1706507160,341959,3824,1050,368,391962,0 21,2,2024-09-07 08:44:41:078,250058,250058,0,0,11784853,0,3747 21,3,2024-09-07 08:44:41:421,1,198,5,0,103,2272,198,0 22,0,2024-09-07 08:44:41:724,51551,0.7,51591,0.8,102837,0.7,136698,2.25 22,1,2024-09-07 08:44:41:026,346983,346983,0,0,161858780446,1706266279,341082,4382,1519,382,391667,0 22,2,2024-09-07 08:44:40:767,246566,246566,0,0,9995328,0,3134 22,3,2024-09-07 08:44:41:074,1,198,8,0,228,2017,198,0 23,0,2024-09-07 08:44:41:383,50762,0.7,50776,0.8,101890,0.9,135544,2.25 23,1,2024-09-07 08:44:41:010,347769,347769,0,0,162982348014,1714553080,341415,3930,2424,365,391549,0 23,2,2024-09-07 08:44:41:100,251816,251816,0,0,10347391,0,3010 23,3,2024-09-07 08:44:41:760,1,198,1,0,645,2528,198,0 24,0,2024-09-07 08:44:40:825,45389,0.5,45382,0.6,90776,0.5,120266,1.75 24,1,2024-09-07 08:44:40:638,347746,347746,0,0,162122955358,1700906501,343615,3103,1028,369,391640,0 24,2,2024-09-07 08:44:41:092,251606,251606,0,0,12920393,0,3607 24,3,2024-09-07 08:44:41:690,1,198,1,0,468,2771,198,0 25,0,2024-09-07 08:44:41:354,49842,0.4,48800,0.6,95602,0.3,130630,2.00 25,1,2024-09-07 08:44:40:570,347797,347797,0,0,163177987599,1711428092,343867,3384,546,371,391788,0 25,2,2024-09-07 08:44:41:618,249707,249707,0,0,12979430,0,3978 25,3,2024-09-07 08:44:41:006,1,198,2,0,255,2339,198,0 26,0,2024-09-07 08:44:41:749,51847,0.4,50787,0.6,106442,0.4,138346,1.75 26,1,2024-09-07 08:44:41:547,348232,348232,0,0,162335406019,1705409529,342737,4515,980,381,391748,0 26,2,2024-09-07 08:44:40:875,247457,247457,0,0,11706400,0,2809 26,3,2024-09-07 08:44:41:712,1,198,371,0,796,2928,198,0 27,0,2024-09-07 08:44:41:741,53303,0.5,53173,0.7,105415,0.5,140746,2.00 27,1,2024-09-07 08:44:41:695,349205,349205,0,0,163422489908,1699367656,346904,1972,329,381,391558,0 27,2,2024-09-07 08:44:40:878,248936,248871,65,0,12846208,0,5699 27,3,2024-09-07 08:44:41:015,1,198,1,0,564,2165,198,0 28,0,2024-09-07 08:44:41:388,46911,0.7,46988,0.8,94508,0.8,125873,2.25 28,1,2024-09-07 08:44:40:797,348618,348618,0,0,163670807525,1706466296,345932,2219,467,383,391646,0 28,2,2024-09-07 08:44:41:764,253194,253194,0,0,10168682,0,2915 28,3,2024-09-07 08:44:41:778,1,198,1,0,502,2283,198,0 29,0,2024-09-07 08:44:41:355,48621,0.3,47593,0.5,92772,0.2,127392,1.75 29,1,2024-09-07 08:44:41:566,349389,349389,0,0,163124032387,1691107504,346427,2399,563,369,391621,0 29,2,2024-09-07 08:44:40:865,250492,250492,0,0,9245853,0,4018 29,3,2024-09-07 08:44:40:964,1,198,0,0,115,1497,198,0 30,0,2024-09-07 08:44:41:469,52112,0.7,50608,0.8,106200,0.6,138819,2.25 30,1,2024-09-07 08:44:40:571,348990,348990,0,0,163828092284,1700150216,346827,1871,292,382,391672,0 30,2,2024-09-07 08:44:41:286,249892,249892,0,0,9158762,0,3161 30,3,2024-09-07 08:44:40:583,1,198,1,0,195,1386,198,0 31,0,2024-09-07 08:44:41:773,52473,0.5,52892,0.6,106235,0.4,141358,2.00 31,1,2024-09-07 08:44:40:569,350424,350424,0,0,163694323483,1678981056,349350,917,157,356,391712,0 31,2,2024-09-07 08:44:41:278,247666,247666,0,0,10805186,0,3525 31,3,2024-09-07 08:44:41:707,1,198,0,0,220,1745,198,0 32,0,2024-09-07 08:44:41:426,48533,0.4,49019,0.6,98077,0.4,130054,1.75 32,1,2024-09-07 08:44:40:804,348924,348924,0,0,163677767859,1698654588,347092,1556,276,381,391595,0 32,2,2024-09-07 08:44:40:955,253207,253207,0,0,9051874,0,3155 32,3,2024-09-07 08:44:41:015,1,198,1,0,227,1592,198,0 33,0,2024-09-07 08:44:41:492,45818,0.3,45218,0.5,91125,0.2,121800,1.75 33,1,2024-09-07 08:44:40:578,349644,349644,0,0,164202486184,1697469533,347323,2149,172,369,391730,0 33,2,2024-09-07 08:44:40:780,251736,251703,33,0,11071266,0,7012 33,3,2024-09-07 08:44:41:135,1,198,50,0,329,2389,198,0 34,0,2024-09-07 08:44:40:930,50473,0.3,52062,0.4,99819,0.2,134346,1.50 34,1,2024-09-07 08:44:41:055,349961,349961,0,0,164339731388,1690768110,348961,993,7,367,391562,0 34,2,2024-09-07 08:44:40:780,250056,250056,0,0,10208293,0,3577 34,3,2024-09-07 08:44:41:697,1,198,8,0,148,1353,198,0 35,0,2024-09-07 08:44:40:885,51036,0.4,51518,0.5,103108,0.3,137462,1.75 35,1,2024-09-07 08:44:41:088,347925,347925,0,0,163866814766,1701021243,344948,2207,770,384,391589,0 35,2,2024-09-07 08:44:41:585,247855,247855,0,0,8925813,0,2653 35,3,2024-09-07 08:44:40:932,1,198,0,0,418,2352,198,0 36,0,2024-09-07 08:44:41:527,50577,0.8,50497,0.9,101158,0.9,134183,2.50 36,1,2024-09-07 08:44:40:587,348252,348252,0,0,162089690166,1696903410,343300,3642,1310,366,391759,0 36,2,2024-09-07 08:44:41:762,251760,251760,0,0,10813964,0,3303 36,3,2024-09-07 08:44:40:863,1,198,2,0,378,3077,198,0 37,0,2024-09-07 08:44:41:379,43545,0.5,43463,0.7,86961,0.4,117006,2.00 37,1,2024-09-07 08:44:40:570,347547,347540,0,7,162023192081,1700725661,342519,2994,2027,365,391560,0 37,2,2024-09-07 08:44:41:142,251595,251580,15,0,11238505,0,5815 37,3,2024-09-07 08:44:41:774,1,198,1,0,724,2983,198,0 38,0,2024-09-07 08:44:41:438,49085,0.4,47688,0.6,99779,0.3,131077,2.00 38,1,2024-09-07 08:44:41:605,349014,349014,0,0,163049685193,1703751465,344546,3823,645,368,391821,0 38,2,2024-09-07 08:44:40:763,250335,250288,47,0,12408022,0,6710 38,3,2024-09-07 08:44:41:000,1,198,5,0,689,3171,198,0 39,0,2024-09-07 08:44:41:806,53121,0.7,52211,0.7,101300,0.8,138484,2.00 39,1,2024-09-07 08:44:40:723,348785,348785,0,0,163511895411,1704441900,344766,3217,802,365,391524,0 39,2,2024-09-07 08:44:41:417,246638,246638,0,0,9884857,0,2689 39,3,2024-09-07 08:44:40:712,1,198,1,0,293,2673,198,0 40,0,2024-09-07 08:44:41:496,51720,0.8,52275,1.0,104609,0.9,138814,3.00 40,1,2024-09-07 08:44:40:579,347768,347768,0,0,162395282935,1706330040,341712,4823,1233,368,391591,0 40,2,2024-09-07 08:44:41:306,250649,250648,1,0,12946154,0,5137 40,3,2024-09-07 08:44:41:141,1,198,1,0,333,2456,198,0 41,0,2024-09-07 08:44:41:037,45387,1.5,46366,1.4,88694,2.8,121008,3.75 41,1,2024-09-07 08:44:40:767,347490,347490,0,0,163353852075,1710984609,342649,4280,561,370,391742,0 41,2,2024-09-07 08:44:40:766,250897,250897,0,0,12891713,0,3356 41,3,2024-09-07 08:44:41:690,1,198,1,0,366,2386,198,0 42,0,2024-09-07 08:44:41:479,47824,0.7,48097,0.9,95842,0.7,127226,2.50 42,1,2024-09-07 08:44:41:440,346426,346426,0,0,162208972295,1706660290,340844,4509,1073,380,391675,0 42,2,2024-09-07 08:44:41:133,250467,250467,0,0,11894700,0,3568 42,3,2024-09-07 08:44:41:024,1,198,1,0,446,1668,198,0 43,0,2024-09-07 08:44:40:928,52216,0.8,50870,1.0,106267,0.8,139459,2.25 43,1,2024-09-07 08:44:40:589,348237,348237,0,0,163206157396,1702834254,344233,3207,797,366,391696,0 43,2,2024-09-07 08:44:41:736,248411,248411,0,0,10988430,0,3812 43,3,2024-09-07 08:44:41:750,1,198,1,0,467,2743,198,0 44,0,2024-09-07 08:44:40:884,53438,0.5,53818,0.7,107319,0.4,143289,1.75 44,1,2024-09-07 08:44:40:570,349212,349212,0,0,162503304720,1679749945,346979,1808,425,356,391809,0 44,2,2024-09-07 08:44:41:269,248667,248667,0,0,9078147,0,1877 44,3,2024-09-07 08:44:41:099,1,198,1,0,817,2285,198,0 45,0,2024-09-07 08:44:41:772,46999,0.9,46032,1.0,96683,1.0,127634,2.25 45,1,2024-09-07 08:44:41:011,348958,348958,0,0,162813093079,1686775568,347861,1087,10,382,391917,0 45,2,2024-09-07 08:44:41:268,253313,253313,0,0,9894233,0,3596 45,3,2024-09-07 08:44:40:939,1,198,267,0,267,2134,198,0 46,0,2024-09-07 08:44:40:964,46461,0.4,46400,0.6,93072,0.4,123787,2.00 46,1,2024-09-07 08:44:40:584,349411,349411,0,0,162756756612,1682661358,347279,1860,272,366,391524,0 46,2,2024-09-07 08:44:40:597,251405,251405,0,0,9673593,0,2920 46,3,2024-09-07 08:44:41:131,1,198,0,0,908,3281,198,0 47,0,2024-09-07 08:44:41:106,51663,0.3,51745,0.5,103753,0.3,137662,1.75 47,1,2024-09-07 08:44:40:570,349972,349972,0,0,162702010672,1679593320,348440,1515,17,366,391605,0 47,2,2024-09-07 08:44:40:921,251446,251446,0,0,9715221,0,2558 47,3,2024-09-07 08:44:41:118,1,198,0,0,529,2126,198,0 48,0,2024-09-07 08:44:41:490,52384,0.3,52034,0.4,103838,0.2,138795,1.50 48,1,2024-09-07 08:44:41:027,349593,349593,0,0,163233937151,1694258641,347525,1887,181,384,391710,0 48,2,2024-09-07 08:44:40:698,248047,248047,0,0,8613905,0,3031 48,3,2024-09-07 08:44:40:753,1,198,0,0,339,1796,198,0 49,0,2024-09-07 08:44:41:737,50829,0.5,49811,0.6,96770,0.4,132184,1.75 49,1,2024-09-07 08:44:41:029,347913,347913,0,0,162926867501,1696964670,345123,1950,840,382,391610,0 49,2,2024-09-07 08:44:41:804,253494,253494,0,0,9565831,0,3900 49,3,2024-09-07 08:44:41:419,1,198,2,0,408,2539,198,0 50,0,2024-09-07 08:44:41:509,44616,0.3,44060,0.5,88599,0.2,118513,1.75 50,1,2024-09-07 08:44:41:024,350128,350128,0,0,163781630621,1696715318,347474,2344,310,368,391530,0 50,2,2024-09-07 08:44:41:072,252278,252278,0,0,9407935,0,2263 50,3,2024-09-07 08:44:41:297,1,198,38,0,335,1695,198,0 51,0,2024-09-07 08:44:41:689,51163,0.2,50143,0.4,97727,0.2,133624,1.50 51,1,2024-09-07 08:44:41:682,349369,349369,0,0,164266375050,1696322104,347321,1262,786,365,391637,0 51,2,2024-09-07 08:44:41:316,250579,250579,0,0,8638705,0,3337 51,3,2024-09-07 08:44:41:032,1,198,94,0,162,1101,198,0 52,0,2024-09-07 08:44:41:422,52082,0.5,51910,0.6,103596,0.4,137385,2.00 52,1,2024-09-07 08:44:40:591,347605,347605,0,0,161456338189,1698551418,341348,5097,1160,368,391722,0 52,2,2024-09-07 08:44:41:775,245431,245393,38,0,11478968,0,6742 52,3,2024-09-07 08:44:40:677,1,198,21,0,1782,3803,198,0 53,0,2024-09-07 08:44:41:740,50628,0.9,49212,0.9,103096,1.0,134752,2.50 53,1,2024-09-07 08:44:40:778,347066,347066,0,0,162665776105,1712499750,340853,4178,2035,367,391702,0 53,2,2024-09-07 08:44:41:318,251803,251803,0,0,9916485,0,2262 53,3,2024-09-07 08:44:40:696,1,198,26,0,271,1773,198,0 54,0,2024-09-07 08:44:41:618,43820,1.3,44275,1.0,87739,0.6,117557,3.25 54,1,2024-09-07 08:44:40:584,348087,348087,0,0,163317723455,1702066681,343974,3542,571,367,391659,0 54,2,2024-09-07 08:44:40:866,252321,252315,6,0,11513898,0,5382 54,3,2024-09-07 08:44:40:766,1,198,1,0,676,3416,198,0 55,0,2024-09-07 08:44:41:760,47055,0.5,48660,0.7,98168,0.4,128360,2.25 55,1,2024-09-07 08:44:40:764,347601,347601,0,0,162077746984,1693294138,342138,4676,787,365,391731,0 55,2,2024-09-07 08:44:40:734,249903,249903,0,0,11220413,0,3275 55,3,2024-09-07 08:44:40:676,1,198,1,0,304,2455,198,0 56,0,2024-09-07 08:44:41:568,53242,1.3,50237,1.1,103352,1.8,138240,2.50 56,1,2024-09-07 08:44:40:572,347082,347082,0,0,162833098570,1722674600,341382,4757,943,381,391678,0 56,2,2024-09-07 08:44:41:304,247147,247147,0,0,12109736,0,3567 56,3,2024-09-07 08:44:41:064,1,198,1,0,705,3294,198,0 57,0,2024-09-07 08:44:40:975,52098,2.0,52288,1.4,104482,2.7,139667,3.50 57,1,2024-09-07 08:44:40:994,347699,347699,0,0,161673253686,1695215887,343750,3442,507,367,391960,0 57,2,2024-09-07 08:44:41:328,250471,250471,0,0,13016719,0,3317 57,3,2024-09-07 08:44:41:746,1,198,13,0,359,2973,198,0 58,0,2024-09-07 08:44:40:569,45914,0.9,44632,1.1,93484,1.0,122414,2.50 58,1,2024-09-07 08:44:40:584,348257,348254,0,3,163294974656,1709892805,343102,4458,694,367,391545,3 58,2,2024-09-07 08:44:41:072,252909,252909,0,0,11826208,0,2549 58,3,2024-09-07 08:44:41:074,1,198,8,0,1043,2530,198,0 59,0,2024-09-07 08:44:41:779,47587,0.7,47179,0.9,94456,0.7,126217,2.75 59,1,2024-09-07 08:44:40:814,347911,347911,0,0,162935690703,1705576671,343627,3301,983,369,391525,0 59,2,2024-09-07 08:44:40:589,251985,251985,0,0,10701862,0,2604 59,3,2024-09-07 08:44:41:744,1,198,2,0,1015,3150,198,0 60,0,2024-09-07 08:44:41:714,52470,0.5,52270,0.6,105037,0.5,139481,1.75 60,1,2024-09-07 08:44:40:786,349459,349459,0,0,163610027186,1697468082,347290,1656,513,370,391761,0 60,2,2024-09-07 08:44:41:142,249376,249376,0,0,10975480,0,3811 60,3,2024-09-07 08:44:41:263,1,198,1,0,124,1811,198,0 61,0,2024-09-07 08:44:41:533,52889,0.9,53066,0.9,105857,1.1,141541,2.00 61,1,2024-09-07 08:44:40:790,348037,348037,0,0,163191597775,1711012424,343904,3490,643,382,391880,0 61,2,2024-09-07 08:44:41:123,248795,248795,0,0,10160484,0,2090 61,3,2024-09-07 08:44:41:689,1,198,5,0,265,2421,198,0 62,0,2024-09-07 08:44:41:709,48978,1.1,50021,0.9,95382,1.4,129936,2.25 62,1,2024-09-07 08:44:41:116,350246,350240,0,6,164472740171,1697128974,348212,1918,110,365,391715,6 62,2,2024-09-07 08:44:41:654,251687,251686,1,0,11390057,0,5555 62,3,2024-09-07 08:44:41:151,1,198,4,0,287,1359,198,0 63,0,2024-09-07 08:44:41:483,45483,0.5,45458,0.6,90974,0.4,121480,2.00 63,1,2024-09-07 08:44:40:807,349436,349430,0,6,163698297060,1696159247,347992,1391,47,381,391677,6 63,2,2024-09-07 08:44:40:764,251492,251492,0,0,9483279,0,2674 63,3,2024-09-07 08:44:41:734,1,198,69,0,667,2603,198,0 64,0,2024-09-07 08:44:41:533,50143,0.4,50244,0.6,100823,0.3,134103,1.75 64,1,2024-09-07 08:44:40:750,348344,348344,0,0,163102739053,1703963768,344229,2702,1413,370,391783,0 64,2,2024-09-07 08:44:41:146,252457,252438,19,0,10044862,0,6121 64,3,2024-09-07 08:44:41:145,1,198,3,0,265,2087,198,0 65,0,2024-09-07 08:44:41:744,50843,0.7,51313,0.8,102249,0.8,136555,2.25 65,1,2024-09-07 08:44:40:871,347605,347605,0,0,162626681430,1703207893,344346,2816,443,382,391901,0 65,2,2024-09-07 08:44:41:705,247188,247188,0,0,10263188,0,3367 65,3,2024-09-07 08:44:41:699,1,198,7,0,163,1809,198,0 66,0,2024-09-07 08:44:41:771,50027,0.6,50113,0.8,100580,0.6,133431,2.25 66,1,2024-09-07 08:44:41:296,349275,349275,0,0,163548739550,1698327689,347228,1870,177,380,391588,0 66,2,2024-09-07 08:44:41:136,254505,254505,0,0,10082353,0,4956 66,3,2024-09-07 08:44:41:088,1,198,8,0,291,2246,198,0 67,0,2024-09-07 08:44:41:428,43975,0.7,43823,0.8,87964,0.7,117102,2.25 67,1,2024-09-07 08:44:40:781,348332,348331,0,1,163147241871,1703392332,344843,2741,747,380,391787,1 67,2,2024-09-07 08:44:40:584,253367,253367,0,0,9431607,0,2889 67,3,2024-09-07 08:44:41:750,1,198,1,0,338,1713,198,0 68,0,2024-09-07 08:44:40:569,49486,0.5,49340,0.7,98300,0.5,131718,2.00 68,1,2024-09-07 08:44:40:572,347463,347463,0,0,162392786230,1704787919,343853,2474,1136,381,391953,0 68,2,2024-09-07 08:44:41:060,249888,249823,65,0,12955850,0,6698 68,3,2024-09-07 08:44:40:738,1,198,1,0,417,2435,198,0 69,0,2024-09-07 08:44:41:751,51854,0.9,52020,0.9,103585,1.1,137947,2.25 69,1,2024-09-07 08:44:41:025,346389,346389,0,0,162397989462,1713734452,342118,3056,1215,384,391994,0 69,2,2024-09-07 08:44:41:744,247240,247240,0,0,11650807,0,3701 69,3,2024-09-07 08:44:40:767,1,198,12,0,698,3001,198,0 70,0,2024-09-07 08:44:41:538,51912,1.4,51870,1.2,104109,1.0,138481,2.50 70,1,2024-09-07 08:44:40:801,348803,348803,0,0,164068109229,1704551472,345806,2493,504,366,391725,0 70,2,2024-09-07 08:44:41:342,251570,251570,0,0,10795895,0,4044 70,3,2024-09-07 08:44:40:755,1,198,1,0,854,2513,198,0 71,0,2024-09-07 08:44:41:361,45324,1.6,45279,1.4,90329,2.2,121239,3.25 71,1,2024-09-07 08:44:41:608,347968,347968,0,0,162564834314,1700373067,343393,4023,552,368,391738,0 71,2,2024-09-07 08:44:41:075,253255,253255,0,0,11336601,0,2579 71,3,2024-09-07 08:44:41:752,1,198,1,0,644,3061,198,0 72,0,2024-09-07 08:44:41:065,49790,0.6,48649,0.8,95017,0.6,129642,2.25 72,1,2024-09-07 08:44:41:028,348051,348051,0,0,163336404493,1707788255,343870,3432,749,369,391819,0 72,2,2024-09-07 08:44:41:764,249893,249893,0,0,12811545,0,2570 72,3,2024-09-07 08:44:41:780,1,198,13,0,364,3561,198,0 73,0,2024-09-07 08:44:41:136,51290,0.4,52512,0.6,107605,0.4,139320,2.25 73,1,2024-09-07 08:44:40:766,348319,348319,0,0,163489635782,1700822391,345679,2426,214,367,391750,0 73,2,2024-09-07 08:44:41:746,248646,248646,0,0,12091730,0,3482 73,3,2024-09-07 08:44:40:970,1,198,4,0,274,3155,198,0 74,0,2024-09-07 08:44:41:336,54194,0.5,55131,0.7,105149,0.5,142837,2.25 74,1,2024-09-07 08:44:40:641,347754,347754,0,0,162841334714,1702824495,343946,3018,790,381,391681,0 74,2,2024-09-07 08:44:41:002,249115,249115,0,0,12247673,0,4253 74,3,2024-09-07 08:44:41:447,1,198,2,0,522,3024,198,0 75,0,2024-09-07 08:44:41:782,47836,0.9,47488,1.0,95315,0.9,127910,2.50 75,1,2024-09-07 08:44:41:586,347394,347394,0,0,162351212849,1701649114,342996,3736,662,381,391579,0 75,2,2024-09-07 08:44:41:350,252326,252326,0,0,12341645,0,4766 75,3,2024-09-07 08:44:41:068,1,198,4,0,702,2996,198,0 76,0,2024-09-07 08:44:40:613,46481,0.5,46077,0.7,91943,0.5,123808,2.50 76,1,2024-09-07 08:44:40:811,348236,348236,0,0,162914908296,1699481996,345779,1911,546,382,391692,0 76,2,2024-09-07 08:44:41:066,251919,251919,0,0,10184772,0,3784 76,3,2024-09-07 08:44:41:146,1,198,1,0,175,2230,198,0 77,0,2024-09-07 08:44:41:705,51449,0.4,51812,0.6,103154,0.4,136999,1.75 77,1,2024-09-07 08:44:40:828,348870,348870,0,0,163014271189,1702158625,346313,2338,219,383,391808,0 77,2,2024-09-07 08:44:41:296,249520,249520,0,0,10528196,0,3890 77,3,2024-09-07 08:44:41:099,1,198,0,0,305,2228,198,0 78,0,2024-09-07 08:44:41:722,52082,0.4,51787,0.7,104363,0.4,138344,2.00 78,1,2024-09-07 08:44:40:613,348532,348532,0,0,162148534296,1686573858,345692,2525,315,367,391646,0 78,2,2024-09-07 08:44:41:407,248382,248382,0,0,9311215,0,2114 78,3,2024-09-07 08:44:41:135,1,198,1,0,181,1938,198,0 79,0,2024-09-07 08:44:41:355,48025,0.5,49099,0.7,100482,0.4,130499,2.50 79,1,2024-09-07 08:44:40:596,349564,349564,0,0,163414985812,1693160701,346849,2379,336,369,391682,0 79,2,2024-09-07 08:44:41:072,253035,253035,0,0,9666101,0,3212 79,3,2024-09-07 08:44:40:753,1,198,23,0,418,3084,198,0 80,0,2024-09-07 08:44:41:080,44272,0.5,45585,0.7,87288,0.5,118516,2.00 80,1,2024-09-07 08:44:41:674,347744,347744,0,0,162280730367,1689696767,345070,2508,166,368,391791,0 80,2,2024-09-07 08:44:41:102,252627,252627,0,0,10122192,0,4433 80,3,2024-09-07 08:44:40:578,1,198,9,0,190,2887,198,0 81,0,2024-09-07 08:44:41:602,49997,0.4,51454,0.6,98341,0.4,133170,1.75 81,1,2024-09-07 08:44:41:687,348350,348350,0,0,162482951269,1698942452,345343,2650,357,382,391879,0 81,2,2024-09-07 08:44:41:136,250300,250300,0,0,10467714,0,3993 81,3,2024-09-07 08:44:41:132,1,198,26,0,193,1934,198,0 82,0,2024-09-07 08:44:41:537,51443,0.4,51807,0.6,103703,0.4,137513,1.75 82,1,2024-09-07 08:44:40:589,348620,348616,0,4,162747710612,1695872561,346096,1942,578,381,391558,4 82,2,2024-09-07 08:44:41:707,247169,247169,0,0,9654485,0,3986 82,3,2024-09-07 08:44:41:752,1,198,1,0,363,2461,198,0 83,0,2024-09-07 08:44:41:525,51111,0.6,51132,0.8,101473,0.7,135152,2.00 83,1,2024-09-07 08:44:40:564,347997,347997,0,0,161922989008,1690318671,345299,2473,225,382,391690,0 83,2,2024-09-07 08:44:40:765,251438,251438,0,0,9457142,0,3119 83,3,2024-09-07 08:44:40:754,1,198,1,0,241,1963,198,0 84,0,2024-09-07 08:44:41:772,44300,1.0,44293,1.1,88922,0.8,118660,2.50 84,1,2024-09-07 08:44:41:039,347366,347366,0,0,162161667916,1698430800,343380,3418,568,368,391967,0 84,2,2024-09-07 08:44:40:580,252753,252753,0,0,11477242,0,3801 84,3,2024-09-07 08:44:41:144,1,198,1,0,353,2713,198,0 85,0,2024-09-07 08:44:41:022,46962,0.6,46966,0.8,99456,0.5,129066,2.25 85,1,2024-09-07 08:44:40:568,347104,347104,0,0,161763048979,1713197930,341055,4954,1095,382,392006,0 85,2,2024-09-07 08:44:40:879,251539,251539,0,0,11961443,0,3656 85,3,2024-09-07 08:44:40:692,1,198,1,0,789,2833,198,0 86,0,2024-09-07 08:44:40:956,52118,0.7,53476,0.8,102618,0.7,138230,2.00 86,1,2024-09-07 08:44:40:824,348120,348120,0,0,163352498591,1710465754,343933,3642,545,366,391961,0 86,2,2024-09-07 08:44:40:886,246167,246166,1,0,12218124,0,5004 86,3,2024-09-07 08:44:40:586,1,198,17,0,286,2753,198,0 87,0,2024-09-07 08:44:41:311,52802,1.2,52521,1.0,105581,1.7,141189,2.50 87,1,2024-09-07 08:44:40:566,348037,348037,0,0,162544077730,1703666415,344126,3450,461,366,391788,0 87,2,2024-09-07 08:44:41:067,249642,249642,0,0,10657045,0,3515 87,3,2024-09-07 08:44:41:818,1,198,14,0,473,3571,198,0 88,0,2024-09-07 08:44:41:447,47052,0.6,47248,0.7,94358,0.7,125443,1.75 88,1,2024-09-07 08:44:40:582,346599,346599,0,0,162138343979,1706555492,340882,4248,1469,365,392084,0 88,2,2024-09-07 08:44:40:688,252328,252328,0,0,13258897,0,3583 88,3,2024-09-07 08:44:41:268,1,198,2,0,435,2556,198,0 89,0,2024-09-07 08:44:41:803,48815,0.4,47308,0.7,93604,0.4,127415,1.75 89,1,2024-09-07 08:44:40:552,346095,346095,0,0,162919430230,1722946445,340107,5043,945,382,391866,0 89,2,2024-09-07 08:44:41:139,250402,250402,0,0,12486450,0,2910 89,3,2024-09-07 08:44:41:802,1,198,8,0,325,3971,198,0 90,0,2024-09-07 08:44:41:624,50889,0.5,51944,0.6,106687,0.4,138549,1.75 90,1,2024-09-07 08:44:40:592,348118,348118,0,0,162557335696,1704670011,344795,3063,260,381,391825,0 90,2,2024-09-07 08:44:41:411,247764,247764,0,0,13354276,0,3060 90,3,2024-09-07 08:44:40:932,1,198,4,0,200,2211,198,0 91,0,2024-09-07 08:44:40:932,53313,0.5,51534,0.6,107554,0.4,141625,1.75 91,1,2024-09-07 08:44:40:578,347378,347378,0,0,163000037064,1715474963,342618,4114,646,381,392047,0 91,2,2024-09-07 08:44:41:351,247806,247806,0,0,11804392,0,2445 91,3,2024-09-07 08:44:40:602,1,198,4,0,216,2046,198,0 92,0,2024-09-07 08:44:41:499,49118,0.6,50401,0.8,96245,0.7,130262,1.75 92,1,2024-09-07 08:44:40:589,347847,347847,0,0,162342247454,1694456923,345593,1850,404,382,392136,0 92,2,2024-09-07 08:44:41:351,254355,254355,0,0,10250751,0,2801 92,3,2024-09-07 08:44:41:023,1,198,1,0,167,1671,198,0 93,0,2024-09-07 08:44:40:982,45702,0.4,46783,0.6,89368,0.4,121766,1.75 93,1,2024-09-07 08:44:40:806,348150,348150,0,0,162858552935,1699657518,344852,2743,555,366,391692,0 93,2,2024-09-07 08:44:40:953,251973,251973,0,0,10442413,0,2509 93,3,2024-09-07 08:44:41:414,1,198,1,0,143,2044,198,0 94,0,2024-09-07 08:44:41:620,50271,0.3,51049,0.5,101361,0.2,134097,1.50 94,1,2024-09-07 08:44:40:570,347731,347731,0,0,163475241575,1709047091,344938,2660,133,381,391850,0 94,2,2024-09-07 08:44:40:768,249976,249976,0,0,9791022,0,2443 94,3,2024-09-07 08:44:41:688,1,198,10,0,264,2833,198,0 95,0,2024-09-07 08:44:41:462,51740,0.3,51374,0.5,103699,0.3,137274,1.75 95,1,2024-09-07 08:44:40:865,348409,348409,0,0,163431836633,1700703230,345476,2595,338,367,391662,0 95,2,2024-09-07 08:44:41:028,246831,246831,0,0,9874129,0,3308 95,3,2024-09-07 08:44:41:713,1,198,1,0,718,3739,198,0 96,0,2024-09-07 08:44:41:042,50512,0.5,50758,0.6,101457,0.5,134032,1.75 96,1,2024-09-07 08:44:41:594,348212,348212,0,0,162701627026,1700255889,345465,2100,647,385,391894,0 96,2,2024-09-07 08:44:41:283,252284,252284,0,0,10438593,0,4042 96,3,2024-09-07 08:44:41:151,1,198,13,0,411,2536,198,0 97,0,2024-09-07 08:44:41:359,44124,0.4,43838,0.5,88010,0.3,117457,1.75 97,1,2024-09-07 08:44:40:763,349473,349473,0,0,163185820398,1694665259,346648,2272,553,367,392140,0 97,2,2024-09-07 08:44:40:611,252475,252475,0,0,9946637,0,3036 97,3,2024-09-07 08:44:40:579,1,198,2,0,165,2335,198,0 98,0,2024-09-07 08:44:41:715,49361,0.2,49296,0.4,98881,0.2,131880,1.50 98,1,2024-09-07 08:44:40:581,348332,348332,0,0,162663780748,1693936943,346467,1771,94,382,391997,0 98,2,2024-09-07 08:44:40:780,251839,251839,0,0,10009561,0,3080 98,3,2024-09-07 08:44:40:708,1,198,1,0,840,3497,198,0 99,0,2024-09-07 08:44:41:457,52197,0.3,52190,0.4,104077,0.3,138975,1.50 99,1,2024-09-07 08:44:41:741,348987,348987,0,0,162481116752,1695846042,345633,2640,714,381,391744,0 99,2,2024-09-07 08:44:41:419,248901,248901,0,0,9706907,0,2615 99,3,2024-09-07 08:44:40:583,1,198,26,0,129,1533,198,0 100,0,2024-09-07 08:44:41:466,52171,0.9,52243,1.1,104210,1.5,139121,2.50 100,1,2024-09-07 08:44:40:554,346302,346302,0,0,161807022236,1713874908,340715,4654,933,381,391989,0 100,2,2024-09-07 08:44:41:830,249975,249964,11,0,12061690,0,5417 100,3,2024-09-07 08:44:41:739,1,198,3,0,559,3882,198,0 101,0,2024-09-07 08:44:41:708,46667,2.2,45571,1.4,89090,2.3,122514,2.75 101,1,2024-09-07 08:44:40:550,346724,346724,0,0,162176856959,1713058996,340691,4511,1522,368,391769,0 101,2,2024-09-07 08:44:41:764,252176,252176,0,0,12798163,0,4644 101,3,2024-09-07 08:44:40:959,1,198,2,0,448,2514,198,0 102,0,2024-09-07 08:44:40:965,47165,0.7,48827,0.8,98039,0.7,129040,2.00 102,1,2024-09-07 08:44:41:154,347339,347339,0,0,162221049918,1706757132,342615,4006,718,369,391883,0 102,2,2024-09-07 08:44:41:744,251410,251356,54,0,12142529,0,6768 102,3,2024-09-07 08:44:41:620,1,198,5,0,410,2208,198,0 103,0,2024-09-07 08:44:41:680,54104,0.5,54107,0.7,102097,0.5,140312,2.00 103,1,2024-09-07 08:44:41:639,346380,346380,0,0,161996543197,1709957565,340946,4109,1325,381,391829,0 103,2,2024-09-07 08:44:40:589,247334,247334,0,0,10776909,0,2104 103,3,2024-09-07 08:44:40:755,1,198,1,0,916,3345,198,0 104,0,2024-09-07 08:44:41:026,53065,1.0,53480,1.1,105633,1.0,142841,2.50 104,1,2024-09-07 08:44:41:619,348119,348119,0,0,162372801198,1710916266,342768,4381,970,365,391948,0 104,2,2024-09-07 08:44:41:675,248335,248335,0,0,12260317,0,3941 104,3,2024-09-07 08:44:41:431,1,198,3,1,1245,5712,198,0 105,0,2024-09-07 08:44:41:033,47202,1.3,45849,1.3,95854,2.1,126794,3.50 105,1,2024-09-07 08:44:40:561,348127,348127,0,0,162548091997,1707390429,343249,4075,803,367,391797,0 105,2,2024-09-07 08:44:41:337,252062,252062,0,0,11912156,0,3509 105,3,2024-09-07 08:44:41:319,1,198,1,0,399,3518,198,0 106,0,2024-09-07 08:44:40:938,44849,0.9,45924,1.0,94035,0.9,122983,2.50 106,1,2024-09-07 08:44:41:774,347864,347864,0,0,162353996162,1706768353,342574,4813,477,369,391767,0 106,2,2024-09-07 08:44:40:756,249342,249342,0,0,11910428,0,2795 106,3,2024-09-07 08:44:40:684,1,198,9,0,470,3133,198,0 107,0,2024-09-07 08:44:41:117,51340,0.6,51345,0.8,102422,0.6,136911,2.00 107,1,2024-09-07 08:44:40:589,347104,347104,0,0,161852519263,1704796028,342898,3791,415,381,392234,0 107,2,2024-09-07 08:44:41:318,249439,249438,1,0,11991288,0,5024 107,3,2024-09-07 08:44:41:768,1,198,1,0,353,2914,198,0 108,0,2024-09-07 08:44:41:781,52156,0.4,52357,0.6,103790,0.4,138759,1.75 108,1,2024-09-07 08:44:41:316,347887,347887,0,0,162675102246,1697005005,345158,2415,314,368,391857,0 108,2,2024-09-07 08:44:41:776,246524,246524,0,0,10858860,0,2647 108,3,2024-09-07 08:44:41:345,1,198,51,0,667,3796,198,0 109,0,2024-09-07 08:44:41:765,49845,0.4,49300,0.6,98907,0.4,132340,1.75 109,1,2024-09-07 08:44:40:593,347262,347262,0,0,162296644469,1702794122,344257,2508,497,383,392132,0 109,2,2024-09-07 08:44:40:949,252310,252310,0,0,10937432,0,3617 109,3,2024-09-07 08:44:41:144,1,198,7,0,249,2583,198,0 110,0,2024-09-07 08:44:41:798,44667,0.4,43463,0.6,90537,0.3,118704,1.75 110,1,2024-09-07 08:44:41:652,348713,348713,0,0,162990611542,1693523545,346056,1890,767,370,391667,0 110,2,2024-09-07 08:44:41:305,252219,252219,0,0,10165620,0,2915 110,3,2024-09-07 08:44:40:692,1,198,1,0,406,2809,198,0 111,0,2024-09-07 08:44:41:435,50172,0.3,49796,0.4,99215,0.2,133379,1.50 111,1,2024-09-07 08:44:41:017,349171,349171,0,0,163193037419,1692587873,347370,1467,334,382,391690,0 111,2,2024-09-07 08:44:41:121,250462,250462,0,0,10529414,0,2763 111,3,2024-09-07 08:44:40:919,1,198,11,0,379,3007,198,0 112,0,2024-09-07 08:44:40:909,51848,0.3,51777,0.4,103609,0.2,137621,1.50 112,1,2024-09-07 08:44:40:824,349326,349326,0,0,163432600018,1696933201,346954,1946,426,380,391580,0 112,2,2024-09-07 08:44:41:139,246830,246829,1,0,10130116,0,5036 112,3,2024-09-07 08:44:40:592,1,198,11,0,282,2190,198,0 113,0,2024-09-07 08:44:40:877,50879,0.4,50825,0.5,102178,0.3,136312,1.75 113,1,2024-09-07 08:44:41:685,349621,349621,0,0,163939202682,1694711812,347182,1950,489,366,391661,0 113,2,2024-09-07 08:44:41:304,252908,252908,0,0,9283527,0,3813 113,3,2024-09-07 08:44:40:685,1,198,2,0,340,3434,198,0 114,0,2024-09-07 08:44:40:886,45258,0.5,45754,0.6,90208,0.4,120707,1.75 114,1,2024-09-07 08:44:40:716,348061,348061,0,0,163621684250,1707210727,343928,2670,1463,381,391534,0 114,2,2024-09-07 08:44:40:878,252945,252944,1,0,10587314,0,5069 114,3,2024-09-07 08:44:41:286,1,198,1,0,395,2248,198,0 115,0,2024-09-07 08:44:40:559,48529,0.2,48890,0.4,97768,0.2,130066,1.50 115,1,2024-09-07 08:44:40:571,348104,348104,0,0,163402050151,1701209966,344426,2857,821,382,391602,0 115,2,2024-09-07 08:44:41:127,252172,252172,0,0,9004383,0,2152 115,3,2024-09-07 08:44:41:005,1,198,1,0,159,1254,198,0 116,0,2024-09-07 08:44:41:721,52124,0.7,51864,0.9,104009,0.7,138893,2.00 116,1,2024-09-07 08:44:40:863,345927,345927,0,0,162526816901,1725954236,339991,3884,2052,380,391782,0 116,2,2024-09-07 08:44:41:769,246473,246473,0,0,12793261,0,3529 116,3,2024-09-07 08:44:40:914,1,198,4,0,252,2725,198,0 117,0,2024-09-07 08:44:40:997,52834,1.0,52790,1.0,105553,1.3,141335,2.00 117,1,2024-09-07 08:44:41:582,347468,347468,0,0,162297080333,1702046780,343221,3771,476,370,392033,0 117,2,2024-09-07 08:44:41:122,252178,252178,0,0,10113280,0,3700 117,3,2024-09-07 08:44:41:061,1,198,3,0,490,3719,198,0 118,0,2024-09-07 08:44:41:779,45790,0.8,47001,0.9,95728,0.9,125173,2.25 118,1,2024-09-07 08:44:40:600,347636,347636,0,0,162298064204,1710895442,342032,4177,1427,366,391736,0 118,2,2024-09-07 08:44:41:610,252356,252356,0,0,11734234,0,2781 118,3,2024-09-07 08:44:41:766,1,198,0,0,235,2436,198,0 119,0,2024-09-07 08:44:41:352,47008,0.6,47348,0.7,95209,0.5,126655,2.00 119,1,2024-09-07 08:44:40:562,348351,348351,0,0,162697942783,1698852371,345159,2885,307,368,391641,0 119,2,2024-09-07 08:44:41:285,250840,250840,0,0,10742753,0,3526 119,3,2024-09-07 08:44:41:329,1,198,1,0,443,3247,198,0 120,0,2024-09-07 08:44:41:547,52015,0.5,51988,0.8,104319,0.5,139201,2.00 120,1,2024-09-07 08:44:40:889,348009,348009,0,0,162628152279,1708478215,343952,3714,343,368,391961,0 120,2,2024-09-07 08:44:40:794,248293,248292,1,0,13209346,0,5281 120,3,2024-09-07 08:44:41:303,1,198,0,0,241,2805,198,0 121,0,2024-09-07 08:44:41:728,52705,1.2,53046,1.1,105840,1.7,141235,2.25 121,1,2024-09-07 08:44:41:657,347826,347826,0,0,162722384903,1705107426,344118,3306,402,367,391840,0 121,2,2024-09-07 08:44:41:126,247329,247329,0,0,12282481,0,4127 121,3,2024-09-07 08:44:40:733,1,198,10,0,269,2559,198,0 122,0,2024-09-07 08:44:41:833,48496,1.1,47252,1.1,99103,1.2,130206,2.25 122,1,2024-09-07 08:44:40:894,346713,346713,0,0,162300128111,1707882431,341490,4483,740,366,392130,0 122,2,2024-09-07 08:44:41:337,251865,251792,73,0,15137371,0,5989 122,3,2024-09-07 08:44:40:610,1,198,3,0,411,4535,198,0 123,0,2024-09-07 08:44:40:980,45445,0.7,44391,0.8,92392,0.8,121493,2.00 123,1,2024-09-07 08:44:40:581,347209,347209,0,0,162635111775,1721909163,339345,6304,1560,369,391823,0 123,2,2024-09-07 08:44:41:032,249436,249435,1,0,12146849,0,5215 123,3,2024-09-07 08:44:41:133,1,198,22,0,168,2458,198,0 124,0,2024-09-07 08:44:40:928,52014,0.3,51949,0.5,98135,0.2,134450,1.50 124,1,2024-09-07 08:44:41:028,348866,348866,0,0,162606545293,1690547992,346422,2086,358,367,392178,0 124,2,2024-09-07 08:44:41:023,250449,250449,0,0,9942900,0,3101 124,3,2024-09-07 08:44:40:762,1,198,1,0,490,2544,198,0 125,0,2024-09-07 08:44:41:419,51536,0.4,51443,0.5,103235,0.3,137374,1.75 125,1,2024-09-07 08:44:40:870,347999,347999,0,0,162252824210,1693877038,345484,2237,278,383,391702,0 125,2,2024-09-07 08:44:41:125,247594,247594,0,0,9749481,0,2180 125,3,2024-09-07 08:44:41:133,1,198,26,0,284,2476,198,0 126,0,2024-09-07 08:44:41:433,50683,0.6,51937,0.7,99511,0.7,134843,1.75 126,1,2024-09-07 08:44:40:554,348906,348906,0,0,163859244305,1700121093,346504,2143,259,365,391987,0 126,2,2024-09-07 08:44:40:615,253544,253544,0,0,10460924,0,3186 126,3,2024-09-07 08:44:40:908,1,198,3,0,150,2737,198,0 127,0,2024-09-07 08:44:41:590,43894,0.4,44147,0.5,87920,0.3,117293,1.75 127,1,2024-09-07 08:44:40:594,348296,348296,0,0,163491764963,1695989436,345621,2523,152,365,391816,0 127,2,2024-09-07 08:44:40:648,252697,252697,0,0,9977780,0,2264 127,3,2024-09-07 08:44:41:288,1,198,2,0,243,1731,198,0 128,0,2024-09-07 08:44:41:536,49703,0.3,49529,0.4,99147,0.2,131945,1.50 128,1,2024-09-07 08:44:41:605,349063,349063,0,0,163168383101,1690131147,347708,1249,106,367,391680,0 128,2,2024-09-07 08:44:41:390,250836,250836,0,0,9581183,0,2107 128,3,2024-09-07 08:44:40:783,1,198,1,0,333,2709,198,0 129,0,2024-09-07 08:44:40:999,52510,0.3,52232,0.5,104376,0.3,138589,1.50 129,1,2024-09-07 08:44:40:573,346782,346782,0,0,162978464881,1709959720,342937,2918,927,379,391835,0 129,2,2024-09-07 08:44:40:713,247529,247529,0,0,9554762,0,4031 129,3,2024-09-07 08:44:40:690,1,198,5,0,469,2683,198,0 130,0,2024-09-07 08:44:41:723,52624,0.6,52491,0.7,105240,0.7,140017,1.75 130,1,2024-09-07 08:44:40:600,348757,348757,0,0,163414169784,1700883041,346594,2088,75,381,391825,0 130,2,2024-09-07 08:44:41:125,252357,252357,0,0,9900940,0,4067 130,3,2024-09-07 08:44:41:301,1,198,8,0,450,2272,198,0 131,0,2024-09-07 08:44:41:934,45938,0.5,46297,0.6,93172,0.5,122591,1.75 131,1,2024-09-07 08:44:41:826,349385,349385,0,0,163390879572,1699093788,347814,1365,206,383,391865,0 131,2,2024-09-07 08:44:40:597,254052,254052,0,0,9347783,0,2415 131,3,2024-09-07 08:44:41:688,1,198,2,0,392,2031,198,0 132,0,2024-09-07 08:44:41:430,48015,0.5,48599,0.7,97188,0.5,129390,2.00 132,1,2024-09-07 08:44:40:595,347085,347085,0,0,162152067052,1712962688,341302,4718,1065,381,392097,0 132,2,2024-09-07 08:44:40:698,250815,250815,0,0,12667175,0,4606 132,3,2024-09-07 08:44:41:692,1,198,0,0,356,3253,198,0 133,0,2024-09-07 08:44:41:535,51222,0.4,52473,0.6,107429,0.4,139102,1.75 133,1,2024-09-07 08:44:40:608,346437,346437,0,0,161978145000,1707416361,341707,4085,645,383,391914,0 133,2,2024-09-07 08:44:41:100,247169,247169,0,0,12554373,0,4315 133,3,2024-09-07 08:44:41:303,1,198,1,0,276,2104,198,0 134,0,2024-09-07 08:44:41:013,53478,0.5,53390,0.7,107289,0.5,142732,2.00 134,1,2024-09-07 08:44:40:592,347129,347129,0,0,161898211300,1701066508,342041,3805,1283,366,391718,0 134,2,2024-09-07 08:44:41:765,248915,248915,0,0,10765611,0,3847 134,3,2024-09-07 08:44:40:754,1,198,9,0,739,3318,198,0 135,0,2024-09-07 08:44:41:106,46190,1.3,46190,1.2,98004,1.5,126449,2.50 135,1,2024-09-07 08:44:41:584,346616,346616,0,0,163033548427,1715340077,341800,3919,897,380,391805,0 135,2,2024-09-07 08:44:40:687,252626,252626,0,0,12183240,0,3981 135,3,2024-09-07 08:44:41:010,1,198,0,0,299,1451,198,0 136,0,2024-09-07 08:44:41:613,46809,0.5,46786,0.7,93762,0.5,124658,2.25 136,1,2024-09-07 08:44:41:442,346821,346821,0,0,161878972615,1704144313,341872,4408,541,382,391641,0 136,2,2024-09-07 08:44:41:133,251727,251727,0,0,11623573,0,3506 136,3,2024-09-07 08:44:41:111,1,198,30,0,126,1704,198,0 137,0,2024-09-07 08:44:40:939,52808,0.6,51277,0.7,101302,0.6,138097,2.00 137,1,2024-09-07 08:44:40:590,346727,346727,0,0,162467938253,1710053641,340396,4962,1369,366,391708,0 137,2,2024-09-07 08:44:41:706,249284,249284,0,0,13367026,0,3185 137,3,2024-09-07 08:44:40:776,1,198,1,0,227,2158,198,0 138,0,2024-09-07 08:44:41:756,51554,1.0,51636,1.0,103765,1.2,138456,2.25 138,1,2024-09-07 08:44:41:722,347153,347153,0,0,162783900610,1710395070,341666,4600,887,368,391954,0 138,2,2024-09-07 08:44:40:589,247770,247770,0,0,11570336,0,4988 138,3,2024-09-07 08:44:40:617,1,198,2,0,1160,3496,198,0 139,0,2024-09-07 08:44:41:416,48539,2.6,48621,1.6,98125,3.8,130727,3.00 139,1,2024-09-07 08:44:40:579,345602,345602,0,0,161676112184,1721773955,338435,5292,1875,381,391892,0 139,2,2024-09-07 08:44:40:704,250625,250625,0,0,12355469,0,3097 139,3,2024-09-07 08:44:41:664,1,198,1,0,244,2147,198,0 140,0,2024-09-07 08:44:41:599,44845,0.3,44352,0.5,89498,0.3,118888,1.75 140,1,2024-09-07 08:44:41:536,349264,349264,0,0,163961747223,1690657277,347662,1362,240,365,391606,0 140,2,2024-09-07 08:44:40:686,252672,252672,0,0,10152975,0,3388 140,3,2024-09-07 08:44:40:767,1,198,1,0,247,1715,198,0 141,0,2024-09-07 08:44:41:716,49950,0.3,51226,0.4,97979,0.2,133451,1.50 141,1,2024-09-07 08:44:40:879,349402,349402,0,0,163591213368,1697550694,347377,1671,354,379,391614,0 141,2,2024-09-07 08:44:41:691,251126,251126,0,0,9670536,0,2342 141,3,2024-09-07 08:44:41:055,1,198,4,0,176,1649,198,0 142,0,2024-09-07 08:44:41:311,52255,0.3,51873,0.4,103116,0.2,137843,1.50 142,1,2024-09-07 08:44:40:592,348089,348089,0,0,163053619058,1700329629,345970,1897,222,383,391728,0 142,2,2024-09-07 08:44:41:300,246314,246282,32,0,10802436,0,6028 142,3,2024-09-07 08:44:41:750,1,198,1,0,484,2634,198,0 143,0,2024-09-07 08:44:41:378,51121,0.6,51009,0.7,102923,0.6,136063,1.75 143,1,2024-09-07 08:44:40:568,348617,348617,0,0,162793886081,1689265144,346429,2143,45,367,391619,0 143,2,2024-09-07 08:44:40:779,251801,251801,0,0,10371540,0,2669 143,3,2024-09-07 08:44:41:140,1,198,0,0,303,2916,198,0 144,0,2024-09-07 08:44:41:558,43331,0.7,44629,1.1,90573,0.6,119022,2.00 144,1,2024-09-07 08:44:40:589,347444,347444,0,0,162567335583,1702528991,344994,2245,205,381,391649,0 144,2,2024-09-07 08:44:41:777,252913,252913,0,0,10061241,0,3473 144,3,2024-09-07 08:44:41:758,1,198,17,0,249,2346,198,0 145,0,2024-09-07 08:44:41:390,47005,0.5,46983,0.7,99749,0.4,129706,2.00 145,1,2024-09-07 08:44:40:587,345815,345815,0,0,162389113428,1711489891,340613,4383,819,382,391698,0 145,2,2024-09-07 08:44:41:432,250072,250072,0,0,11650818,0,3903 145,3,2024-09-07 08:44:40:904,1,198,1,0,579,2664,198,0 146,0,2024-09-07 08:44:41:625,52059,0.5,51578,0.8,104031,0.5,138022,2.00 146,1,2024-09-07 08:44:41:830,347977,347977,0,0,162976463948,1716075214,341796,4799,1382,368,391770,0 146,2,2024-09-07 08:44:41:702,246805,246805,0,0,11175796,0,2498 146,3,2024-09-07 08:44:41:286,1,198,1,0,1520,5427,198,0 147,0,2024-09-07 08:44:41:706,52794,0.7,52766,0.8,104865,0.7,140923,2.25 147,1,2024-09-07 08:44:41:409,349101,349101,0,0,163152955447,1698960358,345557,2975,569,368,391791,0 147,2,2024-09-07 08:44:41:010,251650,251650,0,0,10077123,0,2789 147,3,2024-09-07 08:44:40:921,1,198,1,0,730,3123,198,0 0,0,2024-09-07 08:44:51:740,50887,0.5,50862,0.7,107995,0.5,139679,1.75 0,1,2024-09-07 08:44:50:801,350028,350028,0,0,164114840485,1715821820,347892,1999,137,372,391772,0 0,2,2024-09-07 08:44:51:067,250056,250056,0,0,10144048,0,4480 0,3,2024-09-07 08:44:50:977,1,199,0,0,247,2605,199,0 1,0,2024-09-07 08:44:51:840,53349,1.0,52967,1.0,106518,1.3,142251,2.25 1,1,2024-09-07 08:44:50:572,349634,349634,0,0,162090689065,1699474634,346161,2605,868,371,391857,0 1,2,2024-09-07 08:44:50:639,249328,249328,0,0,9463991,0,3267 1,3,2024-09-07 08:44:51:378,1,199,10,0,262,2455,199,0 2,0,2024-09-07 08:44:51:569,48810,1.0,49101,0.9,97449,1.3,129944,2.25 2,1,2024-09-07 08:44:50:866,350274,350274,0,0,164553818799,1708811053,348726,1342,206,380,391745,0 2,2,2024-09-07 08:44:51:281,253977,253977,0,0,10320840,0,3594 2,3,2024-09-07 08:44:50:694,1,199,3,0,357,1958,199,0 3,0,2024-09-07 08:44:51:744,45707,0.4,45900,0.6,91486,0.4,122174,2.00 3,1,2024-09-07 08:44:51:619,349825,349825,0,0,163325511855,1702201774,346618,2783,424,380,391523,0 3,2,2024-09-07 08:44:51:145,252621,252598,23,0,10376997,0,5851 3,3,2024-09-07 08:44:51:759,1,199,0,0,103,1227,199,0 4,0,2024-09-07 08:44:51:784,49039,0.3,50532,0.5,102642,0.3,134739,1.75 4,1,2024-09-07 08:44:50:593,349458,349458,0,0,163119998425,1713031848,346070,2856,532,371,391846,0 4,2,2024-09-07 08:44:51:018,250455,250455,0,0,12343999,0,4528 4,3,2024-09-07 08:44:51:027,1,199,1,0,448,3253,199,0 5,0,2024-09-07 08:44:51:398,51827,0.4,51983,0.6,103508,0.4,138098,1.75 5,1,2024-09-07 08:44:50:757,349407,349407,0,0,163865426363,1724552991,344817,3533,1057,368,392005,0 5,2,2024-09-07 08:44:51:834,247337,247337,0,0,10595567,0,2259 5,3,2024-09-07 08:44:51:732,1,199,1,0,278,2936,199,0 6,0,2024-09-07 08:44:50:943,51023,0.8,50519,0.9,100816,0.9,135059,2.25 6,1,2024-09-07 08:44:50:746,350026,350026,0,0,163422689836,1708926052,346309,3058,659,379,391634,0 6,2,2024-09-07 08:44:51:116,253387,253387,0,0,10976759,0,4816 6,3,2024-09-07 08:44:51:275,1,199,3,0,340,2572,199,0 7,0,2024-09-07 08:44:51:540,43921,0.4,43802,0.6,87626,0.4,117177,2.00 7,1,2024-09-07 08:44:50:856,349136,349136,0,0,163714161999,1717820959,344644,3904,588,382,391664,0 7,2,2024-09-07 08:44:50:777,252853,252853,0,0,10385894,0,2981 7,3,2024-09-07 08:44:50:851,1,199,3,0,305,2099,199,0 8,0,2024-09-07 08:44:51:399,49602,0.3,49749,0.5,99131,0.3,132801,1.50 8,1,2024-09-07 08:44:51:025,348431,348431,0,0,163742740151,1730807179,341374,5222,1835,367,391956,0 8,2,2024-09-07 08:44:50:800,248852,248852,0,0,12978531,0,2986 8,3,2024-09-07 08:44:50:592,1,199,1,0,357,2984,199,0 9,0,2024-09-07 08:44:51:103,52357,0.4,50833,0.5,106140,0.3,139000,1.75 9,1,2024-09-07 08:44:50:550,348573,348573,0,0,163348590273,1727549415,342588,4448,1537,370,392001,0 9,2,2024-09-07 08:44:51:083,247871,247871,0,0,11261444,0,3360 9,3,2024-09-07 08:44:51:752,1,199,6,0,496,3217,199,0 10,0,2024-09-07 08:44:51:605,52620,0.3,52275,0.5,104931,0.3,139646,1.75 10,1,2024-09-07 08:44:50:585,349225,349225,0,0,163549924732,1718978858,343491,4890,844,381,391741,0 10,2,2024-09-07 08:44:50:767,252719,252719,0,0,12898163,0,4264 10,3,2024-09-07 08:44:50:871,1,199,4,0,177,1535,199,0 11,0,2024-09-07 08:44:51:008,45421,0.5,44135,0.8,92445,0.5,122439,2.00 11,1,2024-09-07 08:44:50:574,348981,348981,0,0,163122897479,1723031012,341365,5703,1913,384,391537,0 11,2,2024-09-07 08:44:51:122,253803,253803,0,0,11777509,0,3411 11,3,2024-09-07 08:44:51:297,1,199,0,0,720,3035,199,0 12,0,2024-09-07 08:44:51:003,48970,0.3,48758,0.5,97629,0.3,130136,1.75 12,1,2024-09-07 08:44:50:949,349835,349835,0,0,163217274161,1704816974,346631,2785,419,370,391837,0 12,2,2024-09-07 08:44:51:541,253112,253112,0,0,11448780,0,3469 12,3,2024-09-07 08:44:51:059,1,199,9,0,386,3766,199,0 13,0,2024-09-07 08:44:51:331,52917,0.4,52778,0.5,105567,0.3,140105,1.75 13,1,2024-09-07 08:44:51:541,348842,348842,0,0,163031840358,1712172445,345764,2553,525,382,391717,0 13,2,2024-09-07 08:44:50:601,249446,249446,0,0,10315701,0,3287 13,3,2024-09-07 08:44:51:762,1,199,4,0,467,3582,199,0 14,0,2024-09-07 08:44:50:573,53902,0.4,54427,0.6,107551,0.4,143339,1.75 14,1,2024-09-07 08:44:51:578,351516,351516,0,0,164416122918,1704461595,348976,2351,189,364,391571,0 14,2,2024-09-07 08:44:50:764,251030,251030,0,0,10527086,0,2896 14,3,2024-09-07 08:44:51:115,1,199,1,0,1168,3178,199,0 15,0,2024-09-07 08:44:51:628,47694,0.7,47981,0.9,95952,0.7,127780,2.25 15,1,2024-09-07 08:44:51:624,349739,349739,0,0,164165187188,1707791361,347560,2035,144,381,391619,0 15,2,2024-09-07 08:44:50:999,254552,254552,0,0,9104417,0,3043 15,3,2024-09-07 08:44:51:422,1,199,32,0,1126,4715,199,0 16,0,2024-09-07 08:44:50:956,46861,0.5,47168,0.7,93577,0.5,125285,2.00 16,1,2024-09-07 08:44:50:571,350349,350349,0,0,163713488970,1711660751,347560,2516,273,370,391756,0 16,2,2024-09-07 08:44:51:451,252020,252020,0,0,11274884,0,4719 16,3,2024-09-07 08:44:51:144,1,199,1,0,231,2523,199,0 17,0,2024-09-07 08:44:51:774,53533,0.5,52247,0.7,102320,0.4,139375,2.00 17,1,2024-09-07 08:44:50:573,348984,348984,0,0,163391553589,1715137412,345496,2810,678,368,391688,0 17,2,2024-09-07 08:44:51:692,253238,253238,0,0,10102753,0,2857 17,3,2024-09-07 08:44:50:584,1,199,8,0,268,3186,199,0 18,0,2024-09-07 08:44:50:957,51607,0.8,51934,0.9,103745,0.9,138666,2.50 18,1,2024-09-07 08:44:51:640,350088,350088,0,0,163973355065,1700202554,347904,1949,235,367,391564,0 18,2,2024-09-07 08:44:51:755,249616,249616,0,0,9881524,0,3541 18,3,2024-09-07 08:44:50:896,1,199,2,0,163,2114,199,0 19,0,2024-09-07 08:44:51:539,49151,0.9,49668,0.9,98020,1.0,130589,2.50 19,1,2024-09-07 08:44:50:570,350191,350191,0,0,164695201235,1714568509,345911,3506,774,367,391777,0 19,2,2024-09-07 08:44:51:752,255082,255082,0,0,9282677,0,3988 19,3,2024-09-07 08:44:51:135,1,199,1,0,524,1690,199,0 20,0,2024-09-07 08:44:51:424,44577,0.5,44509,0.7,89385,0.5,119201,2.00 20,1,2024-09-07 08:44:50:570,349285,349285,0,0,163949312575,1717964910,345643,3248,394,369,391822,0 20,2,2024-09-07 08:44:50:928,252834,252834,0,0,10695946,0,3721 20,3,2024-09-07 08:44:50:593,1,199,5,0,414,4198,199,0 21,0,2024-09-07 08:44:51:137,50362,0.4,50625,0.6,100878,0.3,133935,1.75 21,1,2024-09-07 08:44:51:536,348586,348586,0,0,162730015312,1716469807,343710,3826,1050,368,391962,0 21,2,2024-09-07 08:44:51:067,251206,251206,0,0,11804807,0,3747 21,3,2024-09-07 08:44:51:421,1,199,1,0,103,2273,199,0 22,0,2024-09-07 08:44:51:721,51717,0.7,51742,0.8,103151,0.7,137148,2.25 22,1,2024-09-07 08:44:51:023,348735,348735,0,0,162702719225,1714956064,342834,4382,1519,382,391667,0 22,2,2024-09-07 08:44:50:761,248019,248019,0,0,10014700,0,3134 22,3,2024-09-07 08:44:51:076,1,199,12,0,228,2029,199,0 23,0,2024-09-07 08:44:51:375,50842,0.7,50871,0.8,102090,0.9,135787,2.25 23,1,2024-09-07 08:44:51:007,349538,349538,0,0,163916547794,1724009109,343184,3930,2424,365,391549,0 23,2,2024-09-07 08:44:51:095,253111,253111,0,0,10375340,0,3010 23,3,2024-09-07 08:44:51:754,1,199,1,0,645,2529,199,0 24,0,2024-09-07 08:44:50:841,45484,0.5,45497,0.6,91022,0.5,120578,1.75 24,1,2024-09-07 08:44:50:593,349435,349435,0,0,162825795336,1708203924,345304,3103,1028,369,391640,0 24,2,2024-09-07 08:44:51:084,252836,252836,0,0,12963348,0,3607 24,3,2024-09-07 08:44:51:695,1,199,2,0,468,2773,199,0 25,0,2024-09-07 08:44:51:424,50346,0.4,49311,0.6,96538,0.3,131985,2.00 25,1,2024-09-07 08:44:50:561,349604,349604,0,0,164032576100,1720195487,345674,3384,546,371,391788,0 25,2,2024-09-07 08:44:51:614,251010,251010,0,0,13010057,0,3978 25,3,2024-09-07 08:44:51:002,1,199,1,0,255,2340,199,0 26,0,2024-09-07 08:44:51:722,51964,0.4,50886,0.6,106669,0.4,138641,1.75 26,1,2024-09-07 08:44:51:541,350001,350001,0,0,163025059297,1712489267,344505,4516,980,381,391748,0 26,2,2024-09-07 08:44:50:861,248490,248490,0,0,11725472,0,2809 26,3,2024-09-07 08:44:51:712,1,199,11,0,796,2939,199,0 27,0,2024-09-07 08:44:51:735,53444,0.5,53270,0.7,105609,0.5,140988,2.00 27,1,2024-09-07 08:44:51:690,350981,350981,0,0,164126623641,1706598166,348680,1972,329,381,391558,0 27,2,2024-09-07 08:44:50:867,250237,250172,65,0,12864317,0,5699 27,3,2024-09-07 08:44:51:015,1,199,1,0,564,2166,199,0 28,0,2024-09-07 08:44:51:396,47113,0.6,47209,0.8,94930,0.8,126389,2.25 28,1,2024-09-07 08:44:50:806,350443,350443,0,0,164664911064,1716991287,347727,2246,470,383,391646,0 28,2,2024-09-07 08:44:51:766,254589,254589,0,0,10263710,0,2915 28,3,2024-09-07 08:44:51:782,1,199,5,0,502,2288,199,0 29,0,2024-09-07 08:44:51:379,49053,0.3,48047,0.5,93613,0.2,128546,1.75 29,1,2024-09-07 08:44:51:562,351157,351157,0,0,164052833398,1700778733,348195,2399,563,369,391621,0 29,2,2024-09-07 08:44:50:860,252051,252051,0,0,9315714,0,4018 29,3,2024-09-07 08:44:50:969,1,199,0,0,115,1497,199,0 30,0,2024-09-07 08:44:51:463,52227,0.7,50766,0.8,106456,0.6,139099,2.25 30,1,2024-09-07 08:44:50:576,350682,350682,0,0,164595064903,1708233853,348519,1871,292,382,391672,0 30,2,2024-09-07 08:44:51:283,250580,250580,0,0,9247352,0,3161 30,3,2024-09-07 08:44:50:581,1,199,8,0,195,1394,199,0 31,0,2024-09-07 08:44:51:766,52774,0.5,53184,0.6,106829,0.4,142128,2.00 31,1,2024-09-07 08:44:50:569,352228,352228,0,0,164410551492,1686249319,351154,917,157,356,391712,0 31,2,2024-09-07 08:44:51:282,249147,249147,0,0,10872917,0,3525 31,3,2024-09-07 08:44:51:714,1,199,1,0,220,1746,199,0 32,0,2024-09-07 08:44:51:421,48748,0.4,49228,0.6,98524,0.4,130654,1.75 32,1,2024-09-07 08:44:50:804,350627,350627,0,0,164409070207,1706447243,348791,1560,276,381,391595,0 32,2,2024-09-07 08:44:50:935,254780,254780,0,0,9099956,0,3155 32,3,2024-09-07 08:44:51:015,1,199,1,0,227,1593,199,0 33,0,2024-09-07 08:44:51:495,46267,0.3,45703,0.5,92010,0.2,123077,1.75 33,1,2024-09-07 08:44:50:575,351417,351417,0,0,165051186491,1706100067,349096,2149,172,369,391730,0 33,2,2024-09-07 08:44:50:759,252925,252892,33,0,11094553,0,7012 33,3,2024-09-07 08:44:50:895,1,199,26,0,329,2415,199,0 34,0,2024-09-07 08:44:50:928,50770,0.3,52361,0.4,100347,0.2,135119,1.50 34,1,2024-09-07 08:44:51:050,351760,351760,0,0,165168671531,1699209603,350760,993,7,367,391562,0 34,2,2024-09-07 08:44:50:766,251098,251098,0,0,10243134,0,3577 34,3,2024-09-07 08:44:51:692,1,199,2,0,148,1355,199,0 35,0,2024-09-07 08:44:50:860,51358,0.4,51819,0.5,103776,0.3,138384,1.75 35,1,2024-09-07 08:44:51:067,349704,349704,0,0,164701635851,1709584519,346727,2207,770,384,391589,0 35,2,2024-09-07 08:44:51:585,249383,249383,0,0,8956468,0,2653 35,3,2024-09-07 08:44:50:907,1,199,1,0,418,2353,199,0 36,0,2024-09-07 08:44:51:524,50768,0.8,50649,0.9,101487,0.9,134657,2.50 36,1,2024-09-07 08:44:50:584,349986,349986,0,0,162880561504,1704935544,345034,3642,1310,366,391759,0 36,2,2024-09-07 08:44:51:752,253010,253010,0,0,10834452,0,3303 36,3,2024-09-07 08:44:50:863,1,199,3,0,378,3080,199,0 37,0,2024-09-07 08:44:51:381,43869,0.5,43774,0.7,87650,0.4,117911,2.00 37,1,2024-09-07 08:44:50:569,349299,349292,0,7,162980533829,1710441572,344271,2994,2027,365,391560,0 37,2,2024-09-07 08:44:51:145,252739,252724,15,0,11295456,0,5815 37,3,2024-09-07 08:44:51:766,1,199,31,0,724,3014,199,0 38,0,2024-09-07 08:44:51:443,49533,0.4,48121,0.6,100662,0.3,132238,2.00 38,1,2024-09-07 08:44:51:607,350800,350800,0,0,163794014513,1711326268,346331,3824,645,368,391821,0 38,2,2024-09-07 08:44:50:761,251685,251638,47,0,12423925,0,6710 38,3,2024-09-07 08:44:50:997,1,199,27,0,689,3198,199,0 39,0,2024-09-07 08:44:51:801,53235,0.7,52339,0.7,101532,0.7,138812,2.00 39,1,2024-09-07 08:44:50:716,350530,350530,0,0,164305543790,1712510695,346511,3217,802,365,391524,0 39,2,2024-09-07 08:44:51:422,247945,247945,0,0,9905945,0,2689 39,3,2024-09-07 08:44:50:715,1,199,1,0,293,2674,199,0 40,0,2024-09-07 08:44:51:505,51839,0.8,52405,1.0,104879,0.9,139151,3.00 40,1,2024-09-07 08:44:50:576,349521,349521,0,0,163264557152,1715251569,343465,4823,1233,368,391591,0 40,2,2024-09-07 08:44:51:349,251816,251815,1,0,12975533,0,5137 40,3,2024-09-07 08:44:51:143,1,199,0,0,333,2456,199,0 41,0,2024-09-07 08:44:51:021,45399,1.5,46383,1.4,88733,2.8,121008,3.75 41,1,2024-09-07 08:44:50:768,349317,349317,0,0,164215124208,1719741239,344476,4280,561,370,391742,0 41,2,2024-09-07 08:44:50:759,252400,252400,0,0,12924281,0,3356 41,3,2024-09-07 08:44:51:675,1,199,2,0,366,2388,199,0 42,0,2024-09-07 08:44:51:474,48152,0.7,48454,0.9,96524,0.7,128152,2.50 42,1,2024-09-07 08:44:51:447,348202,348202,0,0,163349585597,1718229536,342619,4509,1074,380,391675,0 42,2,2024-09-07 08:44:51:134,251941,251941,0,0,11917108,0,3568 42,3,2024-09-07 08:44:51:009,1,199,10,0,446,1678,199,0 43,0,2024-09-07 08:44:50:915,52313,0.8,50967,1.0,106441,0.8,139691,2.25 43,1,2024-09-07 08:44:50:575,350029,350029,0,0,164084008051,1712129044,345993,3239,797,366,391696,0 43,2,2024-09-07 08:44:51:740,249259,249259,0,0,11000789,0,3812 43,3,2024-09-07 08:44:51:749,1,199,3,0,467,2746,199,0 44,0,2024-09-07 08:44:50:858,53643,0.5,54048,0.7,107743,0.4,143850,1.75 44,1,2024-09-07 08:44:50:563,350971,350971,0,0,163330773809,1688409815,348726,1820,425,356,391809,0 44,2,2024-09-07 08:44:51:281,250133,250133,0,0,9191210,0,1877 44,3,2024-09-07 08:44:51:093,1,199,1,0,817,2286,199,0 45,0,2024-09-07 08:44:51:771,47306,0.9,46313,1.0,97297,1.0,128520,2.25 45,1,2024-09-07 08:44:51:006,350656,350656,0,0,163520416343,1694074046,349559,1087,10,382,391917,0 45,2,2024-09-07 08:44:51:284,254567,254567,0,0,9997598,0,3596 45,3,2024-09-07 08:44:50:936,1,199,1,0,267,2135,199,0 46,0,2024-09-07 08:44:50:951,46757,0.4,46670,0.6,93594,0.4,124494,2.00 46,1,2024-09-07 08:44:50:575,351147,351147,0,0,163424516389,1689900997,348972,1903,272,366,391524,0 46,2,2024-09-07 08:44:50:593,252712,252712,0,0,9731926,0,2920 46,3,2024-09-07 08:44:51:133,1,199,0,0,908,3281,199,0 47,0,2024-09-07 08:44:51:110,52210,0.4,52265,0.5,104853,0.3,139483,1.75 47,1,2024-09-07 08:44:50:569,351777,351777,0,0,163587124462,1688598663,350245,1515,17,366,391605,0 47,2,2024-09-07 08:44:50:908,252309,252309,0,0,9751762,0,2558 47,3,2024-09-07 08:44:51:115,1,199,1,0,529,2127,199,0 48,0,2024-09-07 08:44:51:496,52702,0.3,52373,0.4,104456,0.2,139618,1.50 48,1,2024-09-07 08:44:51:025,351292,351292,0,0,163851503403,1700836711,349222,1889,181,384,391710,0 48,2,2024-09-07 08:44:50:699,249654,249654,0,0,8686089,0,3031 48,3,2024-09-07 08:44:50:753,1,199,1,0,339,1797,199,0 49,0,2024-09-07 08:44:51:712,50994,0.5,49971,0.6,97081,0.4,132596,1.75 49,1,2024-09-07 08:44:51:021,349640,349640,0,0,163549920223,1703389973,346850,1950,840,382,391610,0 49,2,2024-09-07 08:44:51:797,254879,254879,0,0,9594481,0,3900 49,3,2024-09-07 08:44:51:435,1,199,1,0,408,2540,199,0 50,0,2024-09-07 08:44:51:755,44868,0.3,44312,0.5,89080,0.2,119169,1.75 50,1,2024-09-07 08:44:51:010,351896,351896,0,0,164648393714,1705707055,349242,2344,310,368,391530,0 50,2,2024-09-07 08:44:51:067,253475,253475,0,0,9447700,0,2263 50,3,2024-09-07 08:44:51:291,1,199,4,0,335,1699,199,0 51,0,2024-09-07 08:44:51:689,51563,0.2,50526,0.4,98497,0.2,134653,1.50 51,1,2024-09-07 08:44:51:691,351183,351183,0,0,165159019191,1705503280,349135,1262,786,365,391637,0 51,2,2024-09-07 08:44:51:372,251691,251691,0,0,8659652,0,3337 51,3,2024-09-07 08:44:51:027,1,199,2,0,162,1103,199,0 52,0,2024-09-07 08:44:51:420,52242,0.5,52083,0.6,103895,0.4,137835,2.00 52,1,2024-09-07 08:44:50:578,349360,349360,0,0,162148460701,1705581624,343103,5097,1160,368,391722,0 52,2,2024-09-07 08:44:51:755,246742,246704,38,0,11499256,0,6742 52,3,2024-09-07 08:44:50:674,1,199,1,0,1782,3804,199,0 53,0,2024-09-07 08:44:51:741,50735,0.9,49310,0.9,103287,1.0,135005,2.50 53,1,2024-09-07 08:44:50:773,348698,348698,0,0,163458080233,1720714029,342484,4179,2035,367,391702,0 53,2,2024-09-07 08:44:51:297,253261,253261,0,0,9958760,0,2262 53,3,2024-09-07 08:44:50:697,1,199,3,0,271,1776,199,0 54,0,2024-09-07 08:44:51:615,43942,1.3,44389,1.0,87944,0.6,117886,3.25 54,1,2024-09-07 08:44:50:583,349811,349811,0,0,164195721421,1710965402,345698,3542,571,367,391659,0 54,2,2024-09-07 08:44:50:865,253535,253529,6,0,11531319,0,5382 54,3,2024-09-07 08:44:50:766,1,199,8,0,676,3424,199,0 55,0,2024-09-07 08:44:51:762,47560,0.5,49159,0.7,99173,0.4,129688,2.25 55,1,2024-09-07 08:44:50:766,349176,349176,0,0,162749474870,1700166056,343712,4677,787,365,391731,0 55,2,2024-09-07 08:44:50:729,251261,251261,0,0,11239360,0,3275 55,3,2024-09-07 08:44:50:675,1,199,175,0,304,2630,199,0 56,0,2024-09-07 08:44:51:595,53377,1.3,50335,1.1,103598,1.8,138536,2.50 56,1,2024-09-07 08:44:50:576,348901,348901,0,0,163694318152,1731499203,343199,4759,943,381,391678,0 56,2,2024-09-07 08:44:51:306,248240,248240,0,0,12129649,0,3567 56,3,2024-09-07 08:44:51:058,1,199,6,0,705,3300,199,0 57,0,2024-09-07 08:44:50:937,52196,2.0,52379,1.4,104683,2.7,139917,3.50 57,1,2024-09-07 08:44:50:994,349487,349487,0,0,162637762019,1705234075,345538,3442,507,367,391960,0 57,2,2024-09-07 08:44:51:343,251741,251741,0,0,13046862,0,3317 57,3,2024-09-07 08:44:51:742,1,199,1,0,359,2974,199,0 58,0,2024-09-07 08:44:50:558,46097,0.9,44827,1.1,93906,1.0,122912,2.50 58,1,2024-09-07 08:44:50:575,349958,349955,0,3,164130085941,1718425630,344803,4458,694,367,391545,3 58,2,2024-09-07 08:44:51:071,254306,254306,0,0,11848039,0,2549 58,3,2024-09-07 08:44:51:068,1,199,12,0,1043,2542,199,0 59,0,2024-09-07 08:44:51:755,47997,0.7,47627,0.9,95290,0.7,127360,2.75 59,1,2024-09-07 08:44:50:803,349767,349767,0,0,163775425806,1714216932,345482,3302,983,369,391525,0 59,2,2024-09-07 08:44:50:583,253452,253452,0,0,10741023,0,2604 59,3,2024-09-07 08:44:51:737,1,199,2,0,1015,3152,199,0 60,0,2024-09-07 08:44:51:764,52606,0.5,52412,0.6,105343,0.5,139820,1.75 60,1,2024-09-07 08:44:50:774,351114,351114,0,0,164464611257,1706472024,348941,1660,513,370,391761,0 60,2,2024-09-07 08:44:51:150,250117,250117,0,0,11002229,0,3811 60,3,2024-09-07 08:44:51:269,1,199,9,0,124,1820,199,0 61,0,2024-09-07 08:44:51:501,53187,0.9,53366,0.9,106435,1.1,142329,2.00 61,1,2024-09-07 08:44:50:778,349803,349803,0,0,163965625356,1719110663,345670,3490,643,382,391880,0 61,2,2024-09-07 08:44:51:117,250382,250382,0,0,10286496,0,2090 61,3,2024-09-07 08:44:51:706,1,199,1,0,265,2422,199,0 62,0,2024-09-07 08:44:51:712,49187,1.0,50256,0.9,95809,1.4,130558,2.25 62,1,2024-09-07 08:44:51:115,351974,351968,0,6,165209624510,1704658290,349940,1918,110,365,391715,6 62,2,2024-09-07 08:44:51:647,253217,253216,1,0,11562286,0,5555 62,3,2024-09-07 08:44:51:143,1,199,57,0,287,1416,199,0 63,0,2024-09-07 08:44:51:461,45954,0.5,45906,0.7,91886,0.5,122800,2.00 63,1,2024-09-07 08:44:50:803,351386,351380,0,6,164619428202,1706010134,349892,1441,47,381,391677,6 63,2,2024-09-07 08:44:50:767,252640,252640,0,0,9537751,0,2674 63,3,2024-09-07 08:44:51:731,1,199,1,0,667,2604,199,0 64,0,2024-09-07 08:44:51:524,50422,0.4,50559,0.6,101377,0.3,134835,1.75 64,1,2024-09-07 08:44:50:767,349957,349957,0,0,164026543900,1713633340,345840,2703,1414,370,391783,0 64,2,2024-09-07 08:44:51:151,253496,253477,19,0,10204760,0,6121 64,3,2024-09-07 08:44:51:147,1,199,10,0,265,2097,199,0 65,0,2024-09-07 08:44:51:677,51177,0.7,51646,0.8,102920,0.8,137500,2.25 65,1,2024-09-07 08:44:50:869,349387,349387,0,0,163632079217,1713784612,346102,2842,443,382,391901,0 65,2,2024-09-07 08:44:51:693,248807,248807,0,0,10307414,0,3367 65,3,2024-09-07 08:44:51:683,1,199,35,0,163,1844,199,0 66,0,2024-09-07 08:44:51:776,50196,0.6,50309,0.8,100962,0.6,133903,2.25 66,1,2024-09-07 08:44:51:292,351008,351008,0,0,164563202969,1708675212,348961,1870,177,380,391588,0 66,2,2024-09-07 08:44:51:134,255739,255739,0,0,10152506,0,4956 66,3,2024-09-07 08:44:51:080,1,199,0,0,291,2246,199,0 67,0,2024-09-07 08:44:51:449,44309,0.7,44134,0.8,88647,0.7,118021,2.25 67,1,2024-09-07 08:44:50:766,350134,350133,0,1,163877410861,1710973542,346644,2742,747,380,391787,1 67,2,2024-09-07 08:44:50:582,254446,254446,0,0,9458024,0,2889 67,3,2024-09-07 08:44:51:751,1,199,4,0,338,1717,199,0 68,0,2024-09-07 08:44:50:613,49920,0.5,49784,0.7,99135,0.5,132856,2.00 68,1,2024-09-07 08:44:50:573,349193,349193,0,0,163162703424,1712788943,345579,2478,1136,381,391953,0 68,2,2024-09-07 08:44:51:050,251222,251157,65,0,13012714,0,6698 68,3,2024-09-07 08:44:50:728,1,199,1,0,417,2436,199,0 69,0,2024-09-07 08:44:51:765,51968,0.9,52150,0.9,103834,1.1,138280,2.25 69,1,2024-09-07 08:44:51:027,348127,348127,0,0,163184031481,1721964786,343856,3056,1215,384,391994,0 69,2,2024-09-07 08:44:51:733,248502,248502,0,0,11698618,0,3701 69,3,2024-09-07 08:44:50:765,1,199,1,0,698,3002,199,0 70,0,2024-09-07 08:44:51:551,52048,1.4,51984,1.2,104382,1.0,138804,2.50 70,1,2024-09-07 08:44:50:801,350567,350567,0,0,164719628535,1711192611,347570,2493,504,366,391725,0 70,2,2024-09-07 08:44:51:345,252787,252787,0,0,10814209,0,4044 70,3,2024-09-07 08:44:50:752,1,199,15,0,854,2528,199,0 71,0,2024-09-07 08:44:51:366,45337,1.6,45296,1.4,90358,2.2,121239,3.25 71,1,2024-09-07 08:44:51:596,349791,349791,0,0,163531771508,1710262761,345214,4025,552,368,391738,0 71,2,2024-09-07 08:44:51:067,254624,254624,0,0,11398221,0,2579 71,3,2024-09-07 08:44:51:751,1,199,3,0,644,3064,199,0 72,0,2024-09-07 08:44:51:035,50142,0.6,49030,0.8,95698,0.6,130572,2.25 72,1,2024-09-07 08:44:51:022,349769,349769,0,0,164030493179,1714928245,345588,3432,749,369,391819,0 72,2,2024-09-07 08:44:51:755,251217,251217,0,0,12846731,0,2570 72,3,2024-09-07 08:44:51:760,1,199,13,0,364,3574,199,0 73,0,2024-09-07 08:44:51:114,51382,0.4,52599,0.6,107782,0.4,139551,2.25 73,1,2024-09-07 08:44:50:768,350118,350118,0,0,164479352468,1710896854,347477,2427,214,367,391750,0 73,2,2024-09-07 08:44:51:741,249524,249524,0,0,12113443,0,3482 73,3,2024-09-07 08:44:50:975,1,199,2,0,274,3157,199,0 74,0,2024-09-07 08:44:51:342,54410,0.5,55384,0.7,105584,0.5,143421,2.25 74,1,2024-09-07 08:44:50:635,349511,349511,0,0,163694111359,1711533608,345701,3020,790,381,391681,0 74,2,2024-09-07 08:44:51:005,250579,250579,0,0,12277854,0,4253 74,3,2024-09-07 08:44:51:446,1,199,8,0,522,3032,199,0 75,0,2024-09-07 08:44:51:768,48156,0.9,47794,1.0,95958,0.9,128807,2.50 75,1,2024-09-07 08:44:51:585,349050,349050,0,0,162988185735,1708191282,344652,3736,662,381,391579,0 75,2,2024-09-07 08:44:51:355,253766,253766,0,0,12366257,0,4766 75,3,2024-09-07 08:44:51:067,1,199,12,0,702,3008,199,0 76,0,2024-09-07 08:44:50:583,46756,0.5,46351,0.7,92499,0.5,124544,2.50 76,1,2024-09-07 08:44:50:804,350074,350074,0,0,163683029582,1707485000,347617,1911,546,382,391692,0 76,2,2024-09-07 08:44:51:068,253290,253290,0,0,10247233,0,3784 76,3,2024-09-07 08:44:51:144,1,199,1,0,175,2231,199,0 77,0,2024-09-07 08:44:51:754,51950,0.5,52298,0.7,104159,0.4,138843,2.00 77,1,2024-09-07 08:44:50:826,350653,350653,0,0,163765315349,1710647022,347968,2454,231,383,391808,0 77,2,2024-09-07 08:44:51:288,250302,250302,0,0,10546549,0,3890 77,3,2024-09-07 08:44:51:101,1,199,1,0,305,2229,199,0 78,0,2024-09-07 08:44:51:747,52376,0.4,52051,0.7,105045,0.4,139195,2.00 78,1,2024-09-07 08:44:50:617,350045,350045,0,0,162889447821,1694701435,347151,2579,315,367,391646,0 78,2,2024-09-07 08:44:51:437,249822,249822,0,0,9397782,0,2114 78,3,2024-09-07 08:44:51:133,1,199,12,0,181,1950,199,0 79,0,2024-09-07 08:44:51:361,48179,0.5,49248,0.7,100770,0.4,130914,2.50 79,1,2024-09-07 08:44:50:571,351321,351321,0,0,164105390071,1700212935,348606,2379,336,369,391682,0 79,2,2024-09-07 08:44:51:068,254429,254429,0,0,9726189,0,3212 79,3,2024-09-07 08:44:50:749,1,199,2,0,418,3086,199,0 80,0,2024-09-07 08:44:51:075,44530,0.5,45853,0.7,87793,0.5,119099,2.00 80,1,2024-09-07 08:44:51:627,349555,349555,0,0,163159190495,1698887236,346881,2508,166,368,391791,0 80,2,2024-09-07 08:44:51:093,253716,253716,0,0,10142784,0,4433 80,3,2024-09-07 08:44:50:591,1,199,11,0,190,2898,199,0 81,0,2024-09-07 08:44:51:590,50369,0.4,51842,0.6,99168,0.4,134147,1.75 81,1,2024-09-07 08:44:51:662,350023,350023,0,0,163101002313,1705434587,347016,2650,357,382,391879,0 81,2,2024-09-07 08:44:51:124,251412,251412,0,0,10557640,0,3993 81,3,2024-09-07 08:44:51:124,1,199,6,0,193,1940,199,0 82,0,2024-09-07 08:44:51:546,51610,0.4,51982,0.6,104024,0.4,137937,1.75 82,1,2024-09-07 08:44:50:582,350423,350419,0,4,163742654040,1706161003,347899,1942,578,381,391558,4 82,2,2024-09-07 08:44:51:696,248639,248639,0,0,9803237,0,3986 82,3,2024-09-07 08:44:51:754,1,199,16,0,363,2477,199,0 83,0,2024-09-07 08:44:51:522,51220,0.6,51223,0.8,101645,0.7,135380,2.00 83,1,2024-09-07 08:44:50:553,349715,349715,0,0,162873800770,1700631656,346910,2580,225,382,391690,0 83,2,2024-09-07 08:44:50:767,252855,252855,0,0,9491279,0,3119 83,3,2024-09-07 08:44:50:749,1,199,1,0,241,1964,199,0 84,0,2024-09-07 08:44:51:776,44400,1.0,44413,1.1,89126,0.8,118981,2.50 84,1,2024-09-07 08:44:51:222,349093,349093,0,0,163265637664,1709611808,345106,3419,568,368,391967,0 84,2,2024-09-07 08:44:50:575,253958,253958,0,0,11504178,0,3801 84,3,2024-09-07 08:44:51:144,1,199,20,0,353,2733,199,0 85,0,2024-09-07 08:44:51:012,47416,0.6,47444,0.8,100477,0.5,130386,2.25 85,1,2024-09-07 08:44:50:560,348847,348847,0,0,162413943698,1720527814,342678,5071,1098,382,392006,0 85,2,2024-09-07 08:44:50:867,252905,252905,0,0,11986771,0,3656 85,3,2024-09-07 08:44:50:687,1,199,1,0,789,2834,199,0 86,0,2024-09-07 08:44:50:953,52234,0.7,53581,0.8,102830,0.7,138525,2.00 86,1,2024-09-07 08:44:50:827,349961,349961,0,0,164058369552,1717764447,345774,3642,545,366,391961,0 86,2,2024-09-07 08:44:50:892,247247,247246,1,0,12230854,0,5004 86,3,2024-09-07 08:44:50:591,1,199,32,0,286,2785,199,0 87,0,2024-09-07 08:44:51:306,52916,1.2,52605,1.0,105800,1.7,141447,2.50 87,1,2024-09-07 08:44:50:550,349817,349817,0,0,163452258393,1712890721,345906,3450,461,366,391788,0 87,2,2024-09-07 08:44:51:072,250823,250823,0,0,10671397,0,3515 87,3,2024-09-07 08:44:51:805,1,199,5,0,473,3576,199,0 88,0,2024-09-07 08:44:51:461,47251,0.6,47451,0.7,94742,0.7,125989,1.75 88,1,2024-09-07 08:44:50:589,348395,348395,0,0,163151472259,1716930927,342677,4248,1470,365,392084,0 88,2,2024-09-07 08:44:50:698,253739,253739,0,0,13443588,0,3583 88,3,2024-09-07 08:44:51:272,1,199,8,0,435,2564,199,0 89,0,2024-09-07 08:44:51:797,49269,0.4,47705,0.7,94428,0.4,128542,1.75 89,1,2024-09-07 08:44:50:550,347857,347857,0,0,163682225602,1730778218,341869,5043,945,382,391866,0 89,2,2024-09-07 08:44:51:138,251832,251832,0,0,12516891,0,2910 89,3,2024-09-07 08:44:51:793,1,199,1,0,325,3972,199,0 90,0,2024-09-07 08:44:51:622,51001,0.5,52091,0.6,106938,0.4,138847,1.75 90,1,2024-09-07 08:44:50:596,349868,349868,0,0,163380813444,1713132989,346545,3063,260,381,391825,0 90,2,2024-09-07 08:44:51:443,248443,248443,0,0,13365799,0,3060 90,3,2024-09-07 08:44:50:943,1,199,20,0,200,2231,199,0 91,0,2024-09-07 08:44:50:942,53599,0.5,51796,0.6,108140,0.4,142408,1.75 91,1,2024-09-07 08:44:50:574,349135,349135,0,0,163754432649,1723516094,344357,4132,646,381,392047,0 91,2,2024-09-07 08:44:51:339,249360,249360,0,0,11833250,0,2445 91,3,2024-09-07 08:44:50:598,1,199,0,0,216,2046,199,0 92,0,2024-09-07 08:44:51:511,49353,0.6,50646,0.8,96688,0.7,130854,1.75 92,1,2024-09-07 08:44:50:608,349436,349436,0,0,163045236020,1701775851,347180,1852,404,382,392136,0 92,2,2024-09-07 08:44:51:361,255830,255830,0,0,10316172,0,2801 92,3,2024-09-07 08:44:51:009,1,199,5,0,167,1676,199,0 93,0,2024-09-07 08:44:50:965,46149,0.4,47238,0.6,90275,0.4,123162,1.75 93,1,2024-09-07 08:44:50:815,349978,349978,0,0,163717431944,1709880262,346361,2992,625,366,391692,0 93,2,2024-09-07 08:44:50:928,253102,253102,0,0,10481609,0,2509 93,3,2024-09-07 08:44:51:420,1,199,3,0,143,2047,199,0 94,0,2024-09-07 08:44:51:654,50535,0.3,51314,0.5,101860,0.2,134825,1.50 94,1,2024-09-07 08:44:50:568,349451,349451,0,0,164240944139,1716993823,346657,2661,133,381,391850,0 94,2,2024-09-07 08:44:50:764,250995,250995,0,0,9845876,0,2443 94,3,2024-09-07 08:44:51:696,1,199,2,0,264,2835,199,0 95,0,2024-09-07 08:44:51:412,52078,0.3,51678,0.5,104373,0.3,138194,1.75 95,1,2024-09-07 08:44:50:858,350235,350235,0,0,164076893177,1707336628,347302,2595,338,367,391662,0 95,2,2024-09-07 08:44:51:020,248246,248246,0,0,10055509,0,3308 95,3,2024-09-07 08:44:51:709,1,199,6,0,718,3745,199,0 96,0,2024-09-07 08:44:51:025,50686,0.5,50936,0.6,101810,0.5,134501,1.75 96,1,2024-09-07 08:44:51:588,349973,349973,0,0,163379488093,1707276344,347226,2100,647,385,391894,0 96,2,2024-09-07 08:44:51:288,253618,253618,0,0,10599120,0,4042 96,3,2024-09-07 08:44:51:149,1,199,1,0,411,2537,199,0 97,0,2024-09-07 08:44:51:352,44432,0.4,44187,0.5,88670,0.3,118339,1.75 97,1,2024-09-07 08:44:50:768,351235,351235,0,0,164069060096,1703760679,348410,2272,553,367,392140,0 97,2,2024-09-07 08:44:50:608,253617,253617,0,0,9990074,0,3036 97,3,2024-09-07 08:44:50:579,1,199,6,0,165,2341,199,0 98,0,2024-09-07 08:44:51:705,49791,0.2,49750,0.4,99787,0.2,132995,1.50 98,1,2024-09-07 08:44:50:594,350074,350074,0,0,163593689250,1703473683,348209,1771,94,382,391997,0 98,2,2024-09-07 08:44:50:781,253049,253049,0,0,10039415,0,3080 98,3,2024-09-07 08:44:50:699,1,199,10,0,840,3507,199,0 99,0,2024-09-07 08:44:51:500,52315,0.3,52299,0.4,104333,0.3,139282,1.50 99,1,2024-09-07 08:44:51:727,350853,350853,0,0,163245835695,1703981210,347496,2643,714,381,391744,0 99,2,2024-09-07 08:44:51:418,250203,250203,0,0,9780615,0,2615 99,3,2024-09-07 08:44:50:581,1,199,1,0,129,1534,199,0 100,0,2024-09-07 08:44:51:499,52304,0.9,52356,1.1,104475,1.4,139478,2.50 100,1,2024-09-07 08:44:50:553,348109,348109,0,0,162864828024,1724732002,342522,4654,933,381,391989,0 100,2,2024-09-07 08:44:51:839,251209,251198,11,0,12090145,0,5417 100,3,2024-09-07 08:44:51:743,1,199,189,0,559,4071,199,0 101,0,2024-09-07 08:44:51:783,46682,2.2,45585,1.4,89123,2.3,122514,2.75 101,1,2024-09-07 08:44:50:555,348538,348538,0,0,163181811074,1723373809,342505,4511,1522,368,391769,0 101,2,2024-09-07 08:44:51:761,253615,253615,0,0,12833914,0,4644 101,3,2024-09-07 08:44:50:942,1,199,27,0,448,2541,199,0 102,0,2024-09-07 08:44:50:961,47509,0.7,49189,0.8,98722,0.6,129948,2.00 102,1,2024-09-07 08:44:51:149,349095,349095,0,0,163101115981,1715750345,344371,4006,718,369,391883,0 102,2,2024-09-07 08:44:51:737,252782,252728,54,0,12170807,0,6768 102,3,2024-09-07 08:44:51:615,1,199,20,0,410,2228,199,0 103,0,2024-09-07 08:44:51:646,54202,0.5,54199,0.7,102277,0.5,140544,2.00 103,1,2024-09-07 08:44:51:632,348162,348162,0,0,163028422575,1720756482,342719,4118,1325,381,391829,0 103,2,2024-09-07 08:44:50:598,248106,248106,0,0,10826011,0,2104 103,3,2024-09-07 08:44:50:757,1,199,22,0,916,3367,199,0 104,0,2024-09-07 08:44:51:006,53288,1.0,53714,1.1,106112,1.0,143414,2.50 104,1,2024-09-07 08:44:51:625,349905,349905,0,0,163280932662,1720169030,344554,4381,970,365,391948,0 104,2,2024-09-07 08:44:51:666,249762,249762,0,0,12294431,0,3941 104,3,2024-09-07 08:44:51:448,1,199,11,1,1245,5723,199,0 105,0,2024-09-07 08:44:51:065,47559,1.3,46169,1.3,96520,2.1,127666,3.50 105,1,2024-09-07 08:44:50:580,349878,349878,0,0,163269461165,1714782269,344999,4076,803,367,391797,0 105,2,2024-09-07 08:44:51:343,253544,253544,0,0,11945868,0,3509 105,3,2024-09-07 08:44:51:321,1,199,1,0,399,3519,199,0 106,0,2024-09-07 08:44:50:987,45121,0.9,46194,1.0,94580,0.9,123676,2.50 106,1,2024-09-07 08:44:51:802,349638,349638,0,0,163134681790,1714781102,344348,4813,477,369,391767,0 106,2,2024-09-07 08:44:50:758,250679,250679,0,0,11941387,0,2795 106,3,2024-09-07 08:44:50:680,1,199,1,0,470,3134,199,0 107,0,2024-09-07 08:44:51:231,51909,0.7,51864,0.8,103464,0.7,138465,2.00 107,1,2024-09-07 08:44:50:593,348800,348800,0,0,162658584098,1713060696,344594,3791,415,381,392234,0 107,2,2024-09-07 08:44:51:292,250251,250250,1,0,12006321,0,5024 107,3,2024-09-07 08:44:51:755,1,199,1,0,353,2915,199,0 108,0,2024-09-07 08:44:51:804,52452,0.4,52669,0.6,104397,0.4,139593,1.75 108,1,2024-09-07 08:44:51:295,349612,349612,0,0,163571342009,1706164958,346883,2415,314,368,391857,0 108,2,2024-09-07 08:44:51:760,248029,248029,0,0,10937007,0,2647 108,3,2024-09-07 08:44:51:353,1,199,11,0,667,3807,199,0 109,0,2024-09-07 08:44:51:810,49991,0.4,49456,0.6,99222,0.4,132743,1.75 109,1,2024-09-07 08:44:50:598,349091,349091,0,0,163298410054,1713172491,346086,2508,497,383,392132,0 109,2,2024-09-07 08:44:50:940,253614,253614,0,0,11011651,0,3617 109,3,2024-09-07 08:44:51:146,1,199,9,0,249,2592,199,0 110,0,2024-09-07 08:44:51:754,44906,0.4,43685,0.6,91044,0.3,119337,1.75 110,1,2024-09-07 08:44:51:645,350486,350486,0,0,163668253058,1700763827,347820,1899,767,370,391667,0 110,2,2024-09-07 08:44:51:305,253322,253322,0,0,10259871,0,2915 110,3,2024-09-07 08:44:50:694,1,199,5,0,406,2814,199,0 111,0,2024-09-07 08:44:51:431,50562,0.3,50194,0.4,99970,0.2,134430,1.50 111,1,2024-09-07 08:44:51:000,351027,351027,0,0,164165105337,1702622798,349226,1467,334,382,391690,0 111,2,2024-09-07 08:44:51:116,251684,251684,0,0,10565872,0,2763 111,3,2024-09-07 08:44:50:916,1,199,12,0,379,3019,199,0 112,0,2024-09-07 08:44:50:940,52013,0.3,51945,0.4,103963,0.2,138069,1.50 112,1,2024-09-07 08:44:50:826,351072,351072,0,0,164153734065,1704338398,348700,1946,426,380,391580,0 112,2,2024-09-07 08:44:51:135,248087,248086,1,0,10173592,0,5036 112,3,2024-09-07 08:44:50:592,1,199,3,0,282,2193,199,0 113,0,2024-09-07 08:44:50:870,50989,0.4,50923,0.5,102362,0.3,136553,1.75 113,1,2024-09-07 08:44:51:687,351401,351401,0,0,164503114066,1700522261,348962,1950,489,366,391661,0 113,2,2024-09-07 08:44:51:305,254269,254269,0,0,9304248,0,3813 113,3,2024-09-07 08:44:50:684,1,199,3,0,340,3437,199,0 114,0,2024-09-07 08:44:50:876,45374,0.5,45861,0.6,90436,0.4,121042,1.75 114,1,2024-09-07 08:44:50:724,349837,349837,0,0,164545225799,1716684950,345704,2670,1463,381,391534,0 114,2,2024-09-07 08:44:50:873,254136,254135,1,0,10767535,0,5069 114,3,2024-09-07 08:44:51:281,1,199,1,0,395,2249,199,0 115,0,2024-09-07 08:44:50:562,49025,0.2,49392,0.4,98799,0.2,131438,1.50 115,1,2024-09-07 08:44:50:571,349877,349877,0,0,164207387440,1709509745,346199,2857,821,382,391602,0 115,2,2024-09-07 08:44:51:126,253413,253413,0,0,9183779,0,2152 115,3,2024-09-07 08:44:51:005,1,199,1,0,159,1255,199,0 116,0,2024-09-07 08:44:51:719,52245,0.7,51965,0.9,104225,0.7,139184,2.00 116,1,2024-09-07 08:44:50:804,347777,347777,0,0,163344997106,1734398092,341841,3884,2052,380,391782,0 116,2,2024-09-07 08:44:51:760,247561,247561,0,0,12810497,0,3529 116,3,2024-09-07 08:44:50:912,1,199,1,0,252,2726,199,0 117,0,2024-09-07 08:44:50:973,52948,1.0,52906,1.0,105762,1.3,141600,2.00 117,1,2024-09-07 08:44:51:584,349290,349290,0,0,163184043515,1711119939,345041,3773,476,370,392033,0 117,2,2024-09-07 08:44:51:128,253405,253405,0,0,10126284,0,3700 117,3,2024-09-07 08:44:51:065,1,199,1,0,490,3720,199,0 118,0,2024-09-07 08:44:51:769,45977,0.8,47200,0.9,96105,0.9,125680,2.25 118,1,2024-09-07 08:44:50:586,349372,349372,0,0,163086798499,1718971615,343768,4177,1427,366,391736,0 118,2,2024-09-07 08:44:51:596,253855,253855,0,0,11759313,0,2781 118,3,2024-09-07 08:44:51:775,1,199,8,0,235,2444,199,0 119,0,2024-09-07 08:44:51:364,47453,0.5,47770,0.7,96052,0.5,127801,2.00 119,1,2024-09-07 08:44:50:567,350112,350112,0,0,163495185090,1707171145,346920,2885,307,368,391641,0 119,2,2024-09-07 08:44:51:261,252388,252388,0,0,10811509,0,3526 119,3,2024-09-07 08:44:51:341,1,199,6,0,443,3253,199,0 120,0,2024-09-07 08:44:51:588,52142,0.5,52117,0.8,104577,0.5,139464,2.00 120,1,2024-09-07 08:44:50:870,349760,349760,0,0,163410035458,1716793698,345676,3741,343,368,391961,0 120,2,2024-09-07 08:44:50:771,249005,249004,1,0,13224784,0,5281 120,3,2024-09-07 08:44:51:292,1,199,33,0,241,2838,199,0 121,0,2024-09-07 08:44:51:744,53007,1.2,53325,1.1,106436,1.7,142026,2.25 121,1,2024-09-07 08:44:51:664,349575,349575,0,0,163756904272,1715644064,345866,3307,402,367,391840,0 121,2,2024-09-07 08:44:51:125,248713,248713,0,0,12533085,0,4127 121,3,2024-09-07 08:44:50:727,1,199,1,0,269,2560,199,0 122,0,2024-09-07 08:44:51:784,48722,1.1,47450,1.1,99523,1.2,130792,2.25 122,1,2024-09-07 08:44:50:859,348489,348489,0,0,163114716916,1716201942,343266,4483,740,366,392130,0 122,2,2024-09-07 08:44:51:323,253447,253374,73,0,15176706,0,5989 122,3,2024-09-07 08:44:50:593,1,199,11,0,411,4546,199,0 123,0,2024-09-07 08:44:50:972,45848,0.7,44790,0.8,93304,0.8,122727,2.00 123,1,2024-09-07 08:44:50:576,349078,349078,0,0,163183698620,1727630634,341214,6304,1560,369,391823,0 123,2,2024-09-07 08:44:51:024,250576,250575,1,0,12175623,0,5215 123,3,2024-09-07 08:44:51:139,1,199,9,0,168,2467,199,0 124,0,2024-09-07 08:44:50:947,52327,0.3,52239,0.5,98663,0.2,135190,1.50 124,1,2024-09-07 08:44:51:022,350652,350652,0,0,163256832165,1697715434,348207,2087,358,367,392178,0 124,2,2024-09-07 08:44:51:010,251569,251569,0,0,10041974,0,3101 124,3,2024-09-07 08:44:50:757,1,199,9,0,490,2553,199,0 125,0,2024-09-07 08:44:51:443,51869,0.4,51784,0.5,103907,0.3,138289,1.75 125,1,2024-09-07 08:44:50:855,349690,349690,0,0,162950241800,1701130937,347175,2237,278,383,391702,0 125,2,2024-09-07 08:44:51:128,249131,249131,0,0,9897914,0,2180 125,3,2024-09-07 08:44:51:127,1,199,13,0,284,2489,199,0 126,0,2024-09-07 08:44:51:452,50869,0.6,52130,0.7,99856,0.7,135311,1.75 126,1,2024-09-07 08:44:50:580,350666,350666,0,0,164511388681,1706866093,348264,2143,259,365,391987,0 126,2,2024-09-07 08:44:50:621,254663,254663,0,0,10575448,0,3186 126,3,2024-09-07 08:44:50:911,1,199,10,0,150,2747,199,0 127,0,2024-09-07 08:44:51:612,44230,0.4,44508,0.5,88576,0.3,118210,1.75 127,1,2024-09-07 08:44:50:570,350130,350130,0,0,164042059581,1701760641,347454,2524,152,365,391816,0 127,2,2024-09-07 08:44:50:637,253799,253799,0,0,10022065,0,2264 127,3,2024-09-07 08:44:51:289,1,199,16,0,243,1747,199,0 128,0,2024-09-07 08:44:51:543,50124,0.3,49995,0.4,100004,0.2,133095,1.50 128,1,2024-09-07 08:44:51:610,350761,350761,0,0,164140129594,1700105994,349406,1249,106,367,391680,0 128,2,2024-09-07 08:44:51:403,252152,252152,0,0,9623087,0,2107 128,3,2024-09-07 08:44:50:767,1,199,1,0,333,2710,199,0 129,0,2024-09-07 08:44:51:040,52618,0.3,52356,0.4,104627,0.3,138905,1.50 129,1,2024-09-07 08:44:50:589,348646,348646,0,0,163840276102,1718876674,344801,2918,927,379,391835,0 129,2,2024-09-07 08:44:50:694,248713,248713,0,0,9746016,0,4031 129,3,2024-09-07 08:44:50:688,1,199,6,0,469,2689,199,0 130,0,2024-09-07 08:44:51:772,52759,0.6,52618,0.7,105462,0.7,140334,1.75 130,1,2024-09-07 08:44:50:585,350535,350535,0,0,164338734409,1710334120,348372,2088,75,381,391825,0 130,2,2024-09-07 08:44:51:127,253600,253600,0,0,9933714,0,4067 130,3,2024-09-07 08:44:51:291,1,199,9,0,450,2281,199,0 131,0,2024-09-07 08:44:52:021,45948,0.5,46305,0.6,93201,0.5,122591,1.75 131,1,2024-09-07 08:44:51:820,351101,351101,0,0,164168123205,1707146852,349530,1365,206,383,391865,0 131,2,2024-09-07 08:44:50:569,255544,255544,0,0,9508757,0,2415 131,3,2024-09-07 08:44:51:690,1,199,6,0,392,2037,199,0 132,0,2024-09-07 08:44:51:431,48379,0.5,48946,0.7,97916,0.4,130308,2.00 132,1,2024-09-07 08:44:50:586,348852,348852,0,0,162879095534,1720501990,343069,4718,1065,381,392097,0 132,2,2024-09-07 08:44:50:709,252132,252132,0,0,12688109,0,4606 132,3,2024-09-07 08:44:51:699,1,199,1,0,356,3254,199,0 133,0,2024-09-07 08:44:51:555,51309,0.4,52570,0.6,107638,0.4,139327,1.75 133,1,2024-09-07 08:44:50:584,348210,348210,0,0,162808229045,1716066802,343480,4085,645,383,391914,0 133,2,2024-09-07 08:44:51:088,247983,247983,0,0,12563362,0,4315 133,3,2024-09-07 08:44:51:308,1,199,3,0,276,2107,199,0 134,0,2024-09-07 08:44:51:001,53694,0.5,53612,0.7,107762,0.5,143328,2.00 134,1,2024-09-07 08:44:50:582,348904,348904,0,0,162660882491,1708926962,343814,3807,1283,366,391718,0 134,2,2024-09-07 08:44:51:775,250460,250460,0,0,10801227,0,3847 134,3,2024-09-07 08:44:50:748,1,199,9,0,739,3327,199,0 135,0,2024-09-07 08:44:51:116,46469,1.3,46501,1.2,98644,1.5,127327,2.50 135,1,2024-09-07 08:44:51:598,348418,348418,0,0,163639705725,1721616613,343601,3920,897,380,391805,0 135,2,2024-09-07 08:44:50:687,254172,254172,0,0,12203704,0,3981 135,3,2024-09-07 08:44:51:014,1,199,0,0,299,1451,199,0 136,0,2024-09-07 08:44:51:701,47079,0.5,47056,0.7,94304,0.5,125324,2.25 136,1,2024-09-07 08:44:51:461,348550,348550,0,0,162706172361,1712669448,343599,4410,541,382,391641,0 136,2,2024-09-07 08:44:51:137,253097,253097,0,0,11645369,0,3506 136,3,2024-09-07 08:44:51:114,1,199,12,0,126,1716,199,0 137,0,2024-09-07 08:44:50:933,53385,0.6,51817,0.8,102330,0.6,139623,2.00 137,1,2024-09-07 08:44:50:585,348459,348459,0,0,163241256584,1717945894,342128,4962,1369,366,391708,0 137,2,2024-09-07 08:44:51:708,250056,250056,0,0,13383033,0,3185 137,3,2024-09-07 08:44:50:769,1,199,1,0,227,2159,199,0 138,0,2024-09-07 08:44:51:753,51844,1.0,51927,1.0,104403,1.2,139272,2.25 138,1,2024-09-07 08:44:51:708,348859,348859,0,0,163693496421,1719638270,343371,4601,887,368,391954,0 138,2,2024-09-07 08:44:50:597,249276,249276,0,0,11733198,0,4988 138,3,2024-09-07 08:44:50:617,1,199,8,0,1160,3504,199,0 139,0,2024-09-07 08:44:51:393,48689,2.6,48767,1.5,98442,3.8,131120,3.00 139,1,2024-09-07 08:44:50:580,347385,347385,0,0,162646021516,1731718648,340218,5292,1875,381,391892,0 139,2,2024-09-07 08:44:50:704,252094,252094,0,0,12381315,0,3097 139,3,2024-09-07 08:44:51:662,1,199,13,0,244,2160,199,0 140,0,2024-09-07 08:44:51:596,45069,0.3,44595,0.5,89988,0.3,119510,1.75 140,1,2024-09-07 08:44:51:541,351079,351079,0,0,164851698724,1699752508,349477,1362,240,365,391606,0 140,2,2024-09-07 08:44:50:687,253744,253744,0,0,10219457,0,3388 140,3,2024-09-07 08:44:50:767,1,199,3,0,247,1718,199,0 141,0,2024-09-07 08:44:51:704,50337,0.3,51630,0.4,98739,0.2,134470,1.50 141,1,2024-09-07 08:44:50:859,351099,351099,0,0,164140163201,1703292673,349074,1671,354,379,391614,0 141,2,2024-09-07 08:44:51:687,252239,252239,0,0,9708790,0,2342 141,3,2024-09-07 08:44:51:042,1,199,18,0,176,1667,199,0 142,0,2024-09-07 08:44:51:342,52432,0.3,52027,0.4,103452,0.2,138300,1.50 142,1,2024-09-07 08:44:50:596,349765,349765,0,0,163762632907,1707723300,347646,1897,222,383,391728,0 142,2,2024-09-07 08:44:51:373,247654,247622,32,0,10981502,0,6028 142,3,2024-09-07 08:44:51:748,1,199,94,0,484,2728,199,0 143,0,2024-09-07 08:44:51:434,51220,0.6,51104,0.7,103120,0.6,136313,1.75 143,1,2024-09-07 08:44:50:562,350390,350390,0,0,163648925932,1698015244,348201,2144,45,367,391619,0 143,2,2024-09-07 08:44:50:771,253155,253155,0,0,10430585,0,2669 143,3,2024-09-07 08:44:51:144,1,199,1,0,303,2917,199,0 144,0,2024-09-07 08:44:51:521,43466,0.7,44749,1.1,90820,0.6,119359,2.00 144,1,2024-09-07 08:44:50:572,349256,349256,0,0,163219155577,1709299134,346806,2245,205,381,391649,0 144,2,2024-09-07 08:44:51:761,254061,254061,0,0,10081361,0,3473 144,3,2024-09-07 08:44:51:751,1,199,3,0,249,2349,199,0 145,0,2024-09-07 08:44:51:400,47468,0.5,47447,0.7,100746,0.4,131028,2.00 145,1,2024-09-07 08:44:50:560,347582,347582,0,0,163198804854,1719833000,342380,4383,819,382,391698,0 145,2,2024-09-07 08:44:51:435,251439,251439,0,0,11672094,0,3903 145,3,2024-09-07 08:44:50:895,1,199,1,0,579,2665,199,0 146,0,2024-09-07 08:44:51:649,52191,0.5,51663,0.8,104256,0.5,138335,2.00 146,1,2024-09-07 08:44:51:610,349673,349673,0,0,163909548591,1725623534,343487,4803,1383,368,391770,0 146,2,2024-09-07 08:44:51:708,247917,247917,0,0,11195908,0,2498 146,3,2024-09-07 08:44:51:290,1,199,50,0,1520,5477,199,0 147,0,2024-09-07 08:44:51:714,52904,0.7,52878,0.8,105069,0.7,141173,2.25 147,1,2024-09-07 08:44:51:405,350825,350825,0,0,163993923161,1707621242,347281,2975,569,368,391791,0 147,2,2024-09-07 08:44:51:009,252883,252883,0,0,10107991,0,2789 147,3,2024-09-07 08:44:50:918,1,199,1,0,730,3124,199,0 0,0,2024-09-07 08:45:01:709,50989,0.5,51020,0.7,108210,0.5,139973,1.75 0,1,2024-09-07 08:45:00:835,351772,351772,0,0,164754528931,1722550043,349636,1999,137,372,391772,0 0,2,2024-09-07 08:45:01:069,250724,250724,0,0,10171865,0,4480 0,3,2024-09-07 08:45:00:979,1,200,13,0,247,2618,200,0 1,0,2024-09-07 08:45:01:747,53649,1.0,53282,1.0,107096,1.3,143027,2.25 1,1,2024-09-07 08:45:00:590,351379,351379,0,0,163223773591,1711150515,347906,2605,868,371,391857,0 1,2,2024-09-07 08:45:00:644,250842,250842,0,0,9573988,0,3267 1,3,2024-09-07 08:45:01:302,1,200,0,0,262,2455,200,0 2,0,2024-09-07 08:45:01:574,49000,1.0,49329,0.9,97899,1.3,130597,2.25 2,1,2024-09-07 08:45:00:861,351957,351957,0,0,165346342470,1716967157,350409,1342,206,380,391745,0 2,2,2024-09-07 08:45:01:266,255401,255401,0,0,10370836,0,3594 2,3,2024-09-07 08:45:00:698,1,200,8,0,357,1966,200,0 3,0,2024-09-07 08:45:01:745,46183,0.4,46358,0.6,92417,0.4,123401,2.00 3,1,2024-09-07 08:45:01:619,351533,351533,0,0,164169432799,1710881456,348325,2783,425,380,391523,0 3,2,2024-09-07 08:45:01:142,253798,253775,23,0,10413313,0,5851 3,3,2024-09-07 08:45:01:754,1,200,3,0,103,1230,200,0 4,0,2024-09-07 08:45:01:767,49324,0.3,50816,0.5,103213,0.3,135422,1.75 4,1,2024-09-07 08:45:00:596,351162,351162,0,0,163921346091,1721251857,347773,2857,532,371,391846,0 4,2,2024-09-07 08:45:01:029,251358,251358,0,0,12373445,0,4528 4,3,2024-09-07 08:45:01:028,1,200,10,0,448,3263,200,0 5,0,2024-09-07 08:45:01:391,52164,0.4,52327,0.6,104174,0.4,138970,1.75 5,1,2024-09-07 08:45:00:762,351124,351124,0,0,164770342969,1733824581,346534,3533,1057,368,392005,0 5,2,2024-09-07 08:45:01:841,248907,248907,0,0,10634900,0,2259 5,3,2024-09-07 08:45:01:737,1,200,15,0,278,2951,200,0 6,0,2024-09-07 08:45:00:950,51209,0.8,50690,0.9,101141,0.9,135531,2.25 6,1,2024-09-07 08:45:00:772,351794,351794,0,0,164073419569,1715678268,348077,3058,659,379,391634,0 6,2,2024-09-07 08:45:01:116,254758,254758,0,0,10998147,0,4816 6,3,2024-09-07 08:45:01:278,1,200,7,0,340,2579,200,0 7,0,2024-09-07 08:45:01:533,44249,0.4,44161,0.6,88306,0.4,118014,1.75 7,1,2024-09-07 08:45:00:859,350872,350872,0,0,164521825262,1726118355,346380,3904,588,382,391664,0 7,2,2024-09-07 08:45:00:771,253899,253899,0,0,10411388,0,2981 7,3,2024-09-07 08:45:00:860,1,200,2,0,305,2101,200,0 8,0,2024-09-07 08:45:01:356,50008,0.3,50189,0.5,99987,0.3,133919,1.50 8,1,2024-09-07 08:45:01:018,350208,350208,0,0,164664691765,1740269094,343151,5222,1835,367,391956,0 8,2,2024-09-07 08:45:00:805,250132,250132,0,0,13039932,0,2986 8,3,2024-09-07 08:45:00:597,1,200,1,0,357,2985,200,0 9,0,2024-09-07 08:45:01:116,52499,0.4,50944,0.5,106363,0.3,139328,1.75 9,1,2024-09-07 08:45:00:553,350364,350364,0,0,164219225312,1736551448,344379,4448,1537,370,392001,0 9,2,2024-09-07 08:45:01:098,249268,249268,0,0,11299700,0,3360 9,3,2024-09-07 08:45:01:756,1,200,1,0,496,3218,200,0 10,0,2024-09-07 08:45:01:602,52743,0.3,52404,0.5,105168,0.3,139959,1.75 10,1,2024-09-07 08:45:00:603,350984,350984,0,0,164448330857,1728142247,345248,4892,844,381,391741,0 10,2,2024-09-07 08:45:00:771,253996,253996,0,0,12941448,0,4264 10,3,2024-09-07 08:45:00:871,1,200,2,0,177,1537,200,0 11,0,2024-09-07 08:45:01:005,45426,0.5,44141,0.8,92454,0.5,122453,2.00 11,1,2024-09-07 08:45:00:570,350705,350705,0,0,163995352560,1732003062,343089,5703,1913,384,391537,0 11,2,2024-09-07 08:45:01:130,255143,255143,0,0,11818658,0,3411 11,3,2024-09-07 08:45:01:299,1,200,1,0,720,3036,200,0 12,0,2024-09-07 08:45:00:957,49329,0.3,49085,0.5,98326,0.3,130995,1.75 12,1,2024-09-07 08:45:00:938,351604,351604,0,0,163996501915,1712906567,348400,2785,419,370,391837,0 12,2,2024-09-07 08:45:01:549,254363,254363,0,0,11531103,0,3469 12,3,2024-09-07 08:45:01:063,1,200,0,0,386,3766,200,0 13,0,2024-09-07 08:45:01:398,53016,0.4,52870,0.5,105734,0.3,140342,1.75 13,1,2024-09-07 08:45:01:524,350578,350578,0,0,163850419823,1720659887,347500,2553,525,382,391717,0 13,2,2024-09-07 08:45:00:599,250520,250520,0,0,10364747,0,3287 13,3,2024-09-07 08:45:01:765,1,200,3,0,467,3585,200,0 14,0,2024-09-07 08:45:00:566,54111,0.4,54646,0.6,107975,0.4,143923,1.75 14,1,2024-09-07 08:45:01:565,353237,353237,0,0,165120429213,1711798430,350697,2351,189,364,391571,0 14,2,2024-09-07 08:45:00:779,252323,252323,0,0,10597403,0,2896 14,3,2024-09-07 08:45:01:115,1,200,9,0,1168,3187,200,0 15,0,2024-09-07 08:45:01:555,47992,0.7,48346,0.9,96638,0.7,128642,2.25 15,1,2024-09-07 08:45:01:608,351629,351629,0,0,164813956517,1714910576,349389,2096,144,381,391619,0 15,2,2024-09-07 08:45:00:999,256109,256109,0,0,9179486,0,3043 15,3,2024-09-07 08:45:01:405,1,200,2,0,1126,4717,200,0 16,0,2024-09-07 08:45:00:956,47096,0.5,47430,0.7,94143,0.5,125904,2.00 16,1,2024-09-07 08:45:00:579,352055,352055,0,0,164478356849,1719530931,349265,2517,273,370,391756,0 16,2,2024-09-07 08:45:01:434,253421,253421,0,0,11303055,0,4719 16,3,2024-09-07 08:45:01:146,1,200,1,0,231,2524,200,0 17,0,2024-09-07 08:45:01:798,54099,0.5,52751,0.7,103299,0.5,140809,2.00 17,1,2024-09-07 08:45:00:569,350746,350746,0,0,164157061354,1723106634,347258,2810,678,368,391688,0 17,2,2024-09-07 08:45:01:666,253878,253878,0,0,10125136,0,2857 17,3,2024-09-07 08:45:00:579,1,200,12,0,268,3198,200,0 18,0,2024-09-07 08:45:00:941,51951,0.8,52247,0.9,104383,0.9,139429,2.50 18,1,2024-09-07 08:45:01:652,351823,351823,0,0,164852645063,1709430273,349639,1949,235,367,391564,0 18,2,2024-09-07 08:45:01:756,251125,251125,0,0,9916999,0,3541 18,3,2024-09-07 08:45:00:903,1,200,0,0,163,2114,200,0 19,0,2024-09-07 08:45:01:551,49296,0.9,49831,0.9,98338,1.0,131014,2.50 19,1,2024-09-07 08:45:00:567,351992,351992,0,0,165422485195,1722020337,347712,3506,774,367,391777,0 19,2,2024-09-07 08:45:01:756,256617,256617,0,0,9348165,0,3988 19,3,2024-09-07 08:45:01:131,1,200,2,0,524,1692,200,0 20,0,2024-09-07 08:45:01:425,44817,0.5,44737,0.7,89834,0.5,119861,2.00 20,1,2024-09-07 08:45:00:593,350957,350957,0,0,164662212098,1725296535,347315,3248,394,369,391822,0 20,2,2024-09-07 08:45:01:115,253889,253889,0,0,10715010,0,3721 20,3,2024-09-07 08:45:00:596,1,200,2,0,414,4200,200,0 21,0,2024-09-07 08:45:01:219,50762,0.4,50991,0.6,101667,0.3,134938,1.75 21,1,2024-09-07 08:45:01:549,350318,350318,0,0,163550595944,1724910549,345442,3826,1050,368,391962,0 21,2,2024-09-07 08:45:01:078,252357,252357,0,0,11842673,0,3747 21,3,2024-09-07 08:45:01:403,1,200,19,0,103,2292,200,0 22,0,2024-09-07 08:45:01:717,51884,0.7,51911,0.8,103478,0.7,137597,2.25 22,1,2024-09-07 08:45:01:023,350569,350569,0,0,163729042522,1725405844,344668,4382,1519,382,391667,0 22,2,2024-09-07 08:45:00:762,249467,249467,0,0,10044695,0,3134 22,3,2024-09-07 08:45:01:065,1,200,8,0,228,2037,200,0 23,0,2024-09-07 08:45:01:371,50935,0.7,50945,0.8,102280,0.9,136087,2.25 23,1,2024-09-07 08:45:01:003,351376,351376,0,0,164642956000,1731482342,345022,3930,2424,365,391549,0 23,2,2024-09-07 08:45:01:091,254438,254438,0,0,10400265,0,3010 23,3,2024-09-07 08:45:01:756,1,200,1,0,645,2530,200,0 24,0,2024-09-07 08:45:00:859,45592,0.5,45616,0.6,91220,0.5,120892,1.75 24,1,2024-09-07 08:45:00:598,351275,351275,0,0,163732685495,1717510912,347143,3104,1028,369,391640,0 24,2,2024-09-07 08:45:01:078,253915,253915,0,0,12994412,0,3607 24,3,2024-09-07 08:45:01:685,1,200,165,0,468,2938,200,0 25,0,2024-09-07 08:45:01:374,50850,0.4,49781,0.6,97514,0.3,133319,1.75 25,1,2024-09-07 08:45:00:569,351371,351371,0,0,164719976195,1727269077,347439,3386,546,371,391788,0 25,2,2024-09-07 08:45:01:607,252333,252333,0,0,13046950,0,3978 25,3,2024-09-07 08:45:01:003,1,200,1,0,255,2341,200,0 26,0,2024-09-07 08:45:01:723,52081,0.4,50993,0.6,106877,0.4,138946,1.75 26,1,2024-09-07 08:45:01:541,351778,351778,0,0,163714638555,1719614210,346281,4516,981,381,391748,0 26,2,2024-09-07 08:45:00:861,249750,249750,0,0,11793482,0,2809 26,3,2024-09-07 08:45:01:712,1,200,1,0,796,2940,200,0 27,0,2024-09-07 08:45:01:724,53524,0.5,53365,0.7,105812,0.5,141187,2.00 27,1,2024-09-07 08:45:01:676,352760,352760,0,0,165177453171,1717363981,350459,1972,329,381,391558,0 27,2,2024-09-07 08:45:00:871,251506,251441,65,0,12899680,0,5699 27,3,2024-09-07 08:45:01:015,1,200,1,0,564,2167,200,0 28,0,2024-09-07 08:45:01:389,47296,0.6,47403,0.8,95311,0.8,126861,2.25 28,1,2024-09-07 08:45:00:798,352244,352244,0,0,165613689383,1726939786,349528,2246,470,383,391646,0 28,2,2024-09-07 08:45:01:764,256096,256096,0,0,10343820,0,2915 28,3,2024-09-07 08:45:01:776,1,200,43,0,502,2331,200,0 29,0,2024-09-07 08:45:01:358,49485,0.3,48470,0.5,94458,0.2,129690,1.75 29,1,2024-09-07 08:45:01:562,352950,352950,0,0,164729827797,1707727101,349988,2399,563,369,391621,0 29,2,2024-09-07 08:45:00:864,253369,253369,0,0,9368269,0,4018 29,3,2024-09-07 08:45:00:968,1,200,1,0,115,1498,200,0 30,0,2024-09-07 08:45:01:459,52338,0.7,50894,0.8,106669,0.6,139389,2.00 30,1,2024-09-07 08:45:00:581,352461,352461,0,0,165592516571,1718477430,350298,1871,292,382,391672,0 30,2,2024-09-07 08:45:01:278,251233,251233,0,0,9271524,0,3161 30,3,2024-09-07 08:45:00:581,1,200,18,0,195,1412,200,0 31,0,2024-09-07 08:45:01:758,53062,0.5,53489,0.6,107445,0.4,142848,2.00 31,1,2024-09-07 08:45:00:568,353954,353954,0,0,165256801463,1695098219,352870,927,157,356,391712,0 31,2,2024-09-07 08:45:01:279,250596,250596,0,0,10929821,0,3525 31,3,2024-09-07 08:45:01:707,1,200,14,0,220,1760,200,0 32,0,2024-09-07 08:45:01:431,48950,0.4,49433,0.6,98966,0.4,131220,1.75 32,1,2024-09-07 08:45:00:808,352488,352488,0,0,165422930290,1716768334,350652,1560,276,381,391595,0 32,2,2024-09-07 08:45:00:938,256358,256358,0,0,9158927,0,3155 32,3,2024-09-07 08:45:01:015,1,200,36,0,227,1629,200,0 33,0,2024-09-07 08:45:01:490,46710,0.3,46147,0.5,92981,0.2,124293,1.75 33,1,2024-09-07 08:45:00:579,353032,353032,0,0,165693900310,1712768386,350711,2149,172,369,391730,0 33,2,2024-09-07 08:45:00:778,254174,254141,33,0,11142241,0,7012 33,3,2024-09-07 08:45:00:895,1,200,1,0,329,2416,200,0 34,0,2024-09-07 08:45:00:930,51060,0.3,52639,0.4,100907,0.2,135824,1.50 34,1,2024-09-07 08:45:01:049,353535,353535,0,0,166119013225,1708851836,352535,993,7,367,391562,0 34,2,2024-09-07 08:45:00:776,252091,252091,0,0,10267093,0,3577 34,3,2024-09-07 08:45:01:688,1,200,2,0,148,1357,200,0 35,0,2024-09-07 08:45:00:873,51682,0.4,52142,0.5,104438,0.3,139284,1.75 35,1,2024-09-07 08:45:01:067,351446,351446,0,0,165397714737,1716815309,348469,2207,770,384,391589,0 35,2,2024-09-07 08:45:01:587,250963,250963,0,0,9004944,0,2653 35,3,2024-09-07 08:45:00:907,1,200,2,0,418,2355,200,0 36,0,2024-09-07 08:45:01:554,50950,0.8,50835,0.9,101827,0.9,135144,2.50 36,1,2024-09-07 08:45:00:584,351793,351793,0,0,163767520087,1714008126,346841,3642,1310,366,391759,0 36,2,2024-09-07 08:45:01:751,254336,254336,0,0,10873249,0,3303 36,3,2024-09-07 08:45:00:878,1,200,0,0,378,3080,200,0 37,0,2024-09-07 08:45:01:372,44210,0.5,44095,0.7,88353,0.4,118814,2.00 37,1,2024-09-07 08:45:00:571,351077,351070,0,7,163692920304,1717813681,346049,2994,2027,365,391560,0 37,2,2024-09-07 08:45:01:151,253967,253952,15,0,11340021,0,5815 37,3,2024-09-07 08:45:01:766,1,200,1,0,724,3015,200,0 38,0,2024-09-07 08:45:01:470,49966,0.4,48537,0.6,101557,0.3,133409,2.00 38,1,2024-09-07 08:45:01:605,352629,352629,0,0,164618797668,1719763303,348160,3824,645,368,391821,0 38,2,2024-09-07 08:45:00:761,252830,252783,47,0,12451423,0,6710 38,3,2024-09-07 08:45:00:998,1,200,23,0,689,3221,200,0 39,0,2024-09-07 08:45:01:763,53363,0.7,52475,0.7,101747,0.7,139175,2.00 39,1,2024-09-07 08:45:00:717,352293,352293,0,0,165124612385,1720890721,348274,3217,802,365,391524,0 39,2,2024-09-07 08:45:01:416,249389,249389,0,0,9934615,0,2689 39,3,2024-09-07 08:45:00:715,1,200,125,0,293,2799,200,0 40,0,2024-09-07 08:45:01:527,51971,0.8,52518,1.0,105145,0.9,139477,3.00 40,1,2024-09-07 08:45:00:580,351281,351281,0,0,164088206382,1723726184,345225,4823,1233,368,391591,0 40,2,2024-09-07 08:45:01:512,253148,253147,1,0,13030060,0,5137 40,3,2024-09-07 08:45:01:147,1,200,1,0,333,2457,200,0 41,0,2024-09-07 08:45:01:045,45403,1.5,46388,1.4,88749,2.8,121024,3.75 41,1,2024-09-07 08:45:00:783,351064,351064,0,0,164810445262,1726018333,346223,4280,561,370,391742,0 41,2,2024-09-07 08:45:00:781,253791,253791,0,0,12975738,0,3356 41,3,2024-09-07 08:45:01:676,1,200,2,0,366,2390,200,0 42,0,2024-09-07 08:45:01:474,48537,0.7,48795,0.9,97234,0.7,129112,2.50 42,1,2024-09-07 08:45:01:441,349890,349890,0,0,164320591228,1728150334,344307,4509,1074,380,391675,0 42,2,2024-09-07 08:45:01:133,253252,253252,0,0,11964788,0,3568 42,3,2024-09-07 08:45:01:008,1,200,8,0,446,1686,200,0 43,0,2024-09-07 08:45:00:919,52404,0.8,51067,0.9,106644,0.8,139944,2.25 43,1,2024-09-07 08:45:00:580,351748,351748,0,0,164998554131,1721433624,347712,3239,797,366,391696,0 43,2,2024-09-07 08:45:01:740,250212,250212,0,0,11029520,0,3812 43,3,2024-09-07 08:45:01:749,1,200,1,0,467,2747,200,0 44,0,2024-09-07 08:45:00:868,53857,0.5,54247,0.7,108173,0.4,144436,1.75 44,1,2024-09-07 08:45:00:569,352718,352718,0,0,164162995677,1696915821,350472,1821,425,356,391809,0 44,2,2024-09-07 08:45:01:272,251577,251577,0,0,9274952,0,1877 44,3,2024-09-07 08:45:01:097,1,200,1,0,817,2287,200,0 45,0,2024-09-07 08:45:01:824,47620,0.9,46637,1.0,97966,0.9,129356,2.25 45,1,2024-09-07 08:45:01:007,352371,352371,0,0,164224711540,1701600892,351274,1087,10,382,391917,0 45,2,2024-09-07 08:45:01:278,256145,256145,0,0,10212697,0,3596 45,3,2024-09-07 08:45:00:942,1,200,5,0,267,2140,200,0 46,0,2024-09-07 08:45:00:948,47059,0.4,46941,0.7,94141,0.4,125214,2.00 46,1,2024-09-07 08:45:00:580,352965,352965,0,0,164265574498,1698585699,350790,1903,272,366,391524,0 46,2,2024-09-07 08:45:00:602,254163,254163,0,0,9834905,0,2920 46,3,2024-09-07 08:45:01:131,1,200,8,0,908,3289,200,0 47,0,2024-09-07 08:45:01:133,52721,0.4,52771,0.6,105900,0.3,140878,1.75 47,1,2024-09-07 08:45:00:568,353430,353430,0,0,164374263131,1696639447,351898,1515,17,366,391605,0 47,2,2024-09-07 08:45:00:908,253014,253014,0,0,9799644,0,2558 47,3,2024-09-07 08:45:01:115,1,200,0,0,529,2127,200,0 48,0,2024-09-07 08:45:01:518,52997,0.3,52668,0.4,105070,0.2,140494,1.50 48,1,2024-09-07 08:45:01:022,353190,353190,0,0,164597669185,1708718986,351119,1890,181,384,391710,0 48,2,2024-09-07 08:45:00:706,251111,251111,0,0,8787182,0,3031 48,3,2024-09-07 08:45:00:775,1,200,13,0,339,1810,200,0 49,0,2024-09-07 08:45:01:719,51150,0.5,50140,0.6,97397,0.4,133048,1.75 49,1,2024-09-07 08:45:01:021,351402,351402,0,0,164260860799,1710772152,348612,1950,840,382,391610,0 49,2,2024-09-07 08:45:01:797,256317,256317,0,0,9682609,0,3900 49,3,2024-09-07 08:45:01:416,1,200,5,0,408,2545,200,0 50,0,2024-09-07 08:45:01:506,45081,0.3,44543,0.5,89569,0.2,119787,1.75 50,1,2024-09-07 08:45:01:009,353613,353613,0,0,165648213732,1715851697,350959,2344,310,368,391530,0 50,2,2024-09-07 08:45:01:072,254570,254570,0,0,9504155,0,2263 50,3,2024-09-07 08:45:01:296,1,200,8,0,335,1707,200,0 51,0,2024-09-07 08:45:01:683,51962,0.2,50895,0.4,99243,0.2,135651,1.50 51,1,2024-09-07 08:45:01:680,352939,352939,0,0,165903117250,1713208528,350891,1262,786,365,391637,0 51,2,2024-09-07 08:45:01:317,252734,252734,0,0,8695995,0,3337 51,3,2024-09-07 08:45:01:027,1,200,678,0,678,1781,200,0 52,0,2024-09-07 08:45:01:425,52441,0.5,52241,0.6,104253,0.4,138346,2.00 52,1,2024-09-07 08:45:00:581,351121,351121,0,0,163096473462,1715293878,344864,5097,1160,368,391722,0 52,2,2024-09-07 08:45:01:757,248230,248192,38,0,11528543,0,6742 52,3,2024-09-07 08:45:00:687,1,200,4,0,1782,3808,200,0 53,0,2024-09-07 08:45:01:731,50819,0.9,49405,0.9,103482,1.0,135244,2.50 53,1,2024-09-07 08:45:00:780,350405,350405,0,0,164397474617,1730284364,344191,4179,2035,367,391702,0 53,2,2024-09-07 08:45:01:300,254571,254571,0,0,9978669,0,2262 53,3,2024-09-07 08:45:00:697,1,200,83,0,271,1859,200,0 54,0,2024-09-07 08:45:01:615,44081,1.3,44521,1.0,88180,0.6,118289,3.25 54,1,2024-09-07 08:45:00:589,351702,351702,0,0,165022943454,1719368922,347589,3542,571,367,391659,0 54,2,2024-09-07 08:45:00:872,254602,254596,6,0,11556692,0,5382 54,3,2024-09-07 08:45:00:770,1,200,8,0,676,3432,200,0 55,0,2024-09-07 08:45:01:764,48025,0.5,49673,0.7,100228,0.4,130999,2.25 55,1,2024-09-07 08:45:00:813,351170,351170,0,0,163878860496,1711876181,345692,4691,787,365,391731,0 55,2,2024-09-07 08:45:00:744,252584,252584,0,0,11257518,0,3275 55,3,2024-09-07 08:45:00:680,1,200,7,0,304,2637,200,0 56,0,2024-09-07 08:45:01:565,53498,1.3,50445,1.1,103828,1.8,138846,2.50 56,1,2024-09-07 08:45:00:582,350702,350702,0,0,164706106834,1741921063,345000,4759,943,381,391678,0 56,2,2024-09-07 08:45:01:308,249483,249483,0,0,12158840,0,3567 56,3,2024-09-07 08:45:01:059,1,200,1,0,705,3301,200,0 57,0,2024-09-07 08:45:00:938,52287,2.0,52488,1.4,104893,2.7,140169,3.50 57,1,2024-09-07 08:45:00:994,351183,351183,0,0,163579118247,1714830753,347234,3442,507,367,391960,0 57,2,2024-09-07 08:45:01:317,252944,252944,0,0,13100340,0,3317 57,3,2024-09-07 08:45:01:738,1,200,1,0,359,2975,200,0 58,0,2024-09-07 08:45:00:559,46307,0.9,45029,1.1,94334,1.0,123412,2.50 58,1,2024-09-07 08:45:00:580,351707,351704,0,3,164787728693,1725160910,346552,4458,694,367,391545,3 58,2,2024-09-07 08:45:01:073,255837,255837,0,0,11899408,0,2549 58,3,2024-09-07 08:45:01:075,1,200,1,0,1043,2543,200,0 59,0,2024-09-07 08:45:01:746,48429,0.7,48069,0.9,96186,0.7,128515,2.75 59,1,2024-09-07 08:45:00:803,351528,351528,0,0,164869835039,1725382666,347242,3303,983,369,391525,0 59,2,2024-09-07 08:45:00:585,254767,254767,0,0,10775989,0,2604 59,3,2024-09-07 08:45:01:737,1,200,93,0,1015,3245,200,0 60,0,2024-09-07 08:45:01:718,52740,0.5,52532,0.7,105570,0.5,140104,1.75 60,1,2024-09-07 08:45:00:778,352745,352745,0,0,165244719899,1714768848,350563,1669,513,370,391761,0 60,2,2024-09-07 08:45:01:142,250891,250891,0,0,11036188,0,3811 60,3,2024-09-07 08:45:01:260,1,200,65,0,124,1885,200,0 61,0,2024-09-07 08:45:01:512,53469,0.9,53670,0.9,107075,1.0,143080,2.00 61,1,2024-09-07 08:45:00:779,351620,351620,0,0,164749524098,1727202151,347487,3490,643,382,391880,0 61,2,2024-09-07 08:45:01:116,251810,251810,0,0,10345288,0,2090 61,3,2024-09-07 08:45:01:693,1,200,14,0,265,2436,200,0 62,0,2024-09-07 08:45:01:706,49421,1.0,50488,0.9,96216,1.4,131174,2.25 62,1,2024-09-07 08:45:01:115,353822,353816,0,6,165887187009,1711742205,351788,1918,110,365,391715,6 62,2,2024-09-07 08:45:01:644,254768,254767,1,0,11612727,0,5555 62,3,2024-09-07 08:45:01:147,1,200,8,0,287,1424,200,0 63,0,2024-09-07 08:45:01:486,46431,0.5,46378,0.7,92840,0.5,123994,2.00 63,1,2024-09-07 08:45:00:803,353213,353207,0,6,165394724649,1714212640,351716,1444,47,381,391677,6 63,2,2024-09-07 08:45:00:766,253838,253838,0,0,9598657,0,2674 63,3,2024-09-07 08:45:01:737,1,200,3,0,667,2607,200,0 64,0,2024-09-07 08:45:01:549,50705,0.4,50813,0.6,101969,0.3,135615,1.75 64,1,2024-09-07 08:45:00:750,351710,351710,0,0,164685381690,1720564035,347592,2704,1414,370,391783,0 64,2,2024-09-07 08:45:01:157,254423,254404,19,0,10248691,0,6121 64,3,2024-09-07 08:45:01:147,1,200,9,0,265,2106,200,0 65,0,2024-09-07 08:45:01:733,51538,0.7,51991,0.8,103626,0.7,138407,2.25 65,1,2024-09-07 08:45:00:865,351100,351100,0,0,164246458963,1720258851,347815,2842,443,382,391901,0 65,2,2024-09-07 08:45:01:704,250284,250284,0,0,10363175,0,3367 65,3,2024-09-07 08:45:01:687,1,200,7,0,163,1851,200,0 66,0,2024-09-07 08:45:01:768,50356,0.6,50479,0.8,101354,0.6,134397,2.25 66,1,2024-09-07 08:45:01:298,352586,352586,0,0,165432304002,1717676334,350536,1873,177,380,391588,0 66,2,2024-09-07 08:45:01:134,257253,257253,0,0,10222263,0,4956 66,3,2024-09-07 08:45:01:082,1,200,8,0,291,2254,200,0 67,0,2024-09-07 08:45:01:412,44673,0.7,44476,0.8,89352,0.7,118924,2.25 67,1,2024-09-07 08:45:00:779,351919,351918,0,1,164830823619,1720885799,348429,2742,747,380,391787,1 67,2,2024-09-07 08:45:00:590,255552,255552,0,0,9534826,0,2889 67,3,2024-09-07 08:45:01:750,1,200,1,0,338,1718,200,0 68,0,2024-09-07 08:45:00:576,50374,0.5,50223,0.7,99973,0.5,134090,2.00 68,1,2024-09-07 08:45:00:575,351039,351039,0,0,163835351366,1719726094,347424,2478,1137,381,391953,0 68,2,2024-09-07 08:45:01:044,252384,252319,65,0,13046168,0,6698 68,3,2024-09-07 08:45:00:744,1,200,0,0,417,2436,200,0 69,0,2024-09-07 08:45:01:733,52088,0.9,52275,0.9,104058,1.0,138600,2.25 69,1,2024-09-07 08:45:01:016,349828,349828,0,0,164135760499,1731749190,345556,3057,1215,384,391994,0 69,2,2024-09-07 08:45:01:735,249824,249824,0,0,11731577,0,3701 69,3,2024-09-07 08:45:00:770,1,200,6,0,698,3008,200,0 70,0,2024-09-07 08:45:01:532,52165,1.4,52118,1.2,104646,1.0,139135,2.50 70,1,2024-09-07 08:45:00:804,352294,352294,0,0,165408025314,1718287002,349297,2493,504,366,391725,0 70,2,2024-09-07 08:45:01:325,253991,253991,0,0,10862638,0,4044 70,3,2024-09-07 08:45:00:770,1,200,14,0,854,2542,200,0 71,0,2024-09-07 08:45:01:356,45344,1.6,45300,1.4,90369,2.2,121250,3.25 71,1,2024-09-07 08:45:01:596,351542,351542,0,0,164560830967,1720740050,346965,4025,552,368,391738,0 71,2,2024-09-07 08:45:01:073,255957,255957,0,0,11432299,0,2579 71,3,2024-09-07 08:45:01:750,1,200,1,0,644,3065,200,0 72,0,2024-09-07 08:45:01:084,50519,0.6,49410,0.8,96376,0.6,131572,2.25 72,1,2024-09-07 08:45:01:042,351607,351607,0,0,164993743952,1724824256,347426,3432,749,369,391819,0 72,2,2024-09-07 08:45:01:757,252564,252564,0,0,12890698,0,2570 72,3,2024-09-07 08:45:01:763,1,200,1,0,364,3575,200,0 73,0,2024-09-07 08:45:01:111,51452,0.4,52697,0.6,107964,0.4,139796,2.25 73,1,2024-09-07 08:45:00:767,351862,351862,0,0,165426724470,1720537478,349221,2427,214,367,391750,0 73,2,2024-09-07 08:45:01:740,250474,250474,0,0,12131552,0,3482 73,3,2024-09-07 08:45:00:981,1,200,2,0,274,3159,200,0 74,0,2024-09-07 08:45:01:329,54615,0.5,55625,0.7,106011,0.5,143965,2.25 74,1,2024-09-07 08:45:00:636,351232,351232,0,0,164601671066,1720781304,347422,3020,790,381,391681,0 74,2,2024-09-07 08:45:01:003,251894,251894,0,0,12316549,0,4253 74,3,2024-09-07 08:45:01:441,1,200,8,0,522,3040,200,0 75,0,2024-09-07 08:45:01:764,48464,0.9,48117,1.0,96635,0.9,129640,2.50 75,1,2024-09-07 08:45:01:586,350817,350817,0,0,163931326136,1717802525,346419,3736,662,381,391579,0 75,2,2024-09-07 08:45:01:350,255236,255236,0,0,12401790,0,4766 75,3,2024-09-07 08:45:01:066,1,200,1,0,702,3009,200,0 76,0,2024-09-07 08:45:00:616,47031,0.5,46600,0.7,93044,0.5,125207,2.50 76,1,2024-09-07 08:45:00:819,351891,351891,0,0,164478615888,1715682112,349434,1911,546,382,391692,0 76,2,2024-09-07 08:45:01:063,254704,254704,0,0,10375544,0,3784 76,3,2024-09-07 08:45:01:146,1,200,1,0,175,2232,200,0 77,0,2024-09-07 08:45:01:706,52425,0.5,52768,0.7,105190,0.5,140303,2.00 77,1,2024-09-07 08:45:00:829,352396,352396,0,0,164511957852,1718443921,349711,2454,231,383,391808,0 77,2,2024-09-07 08:45:01:289,250982,250982,0,0,10583324,0,3890 77,3,2024-09-07 08:45:01:096,1,200,3,0,305,2232,200,0 78,0,2024-09-07 08:45:01:739,52672,0.4,52385,0.7,105673,0.4,140006,2.00 78,1,2024-09-07 08:45:00:614,351926,351926,0,0,163745697768,1703730451,348998,2613,315,367,391646,0 78,2,2024-09-07 08:45:01:404,251268,251268,0,0,9466454,0,2114 78,3,2024-09-07 08:45:01:133,1,200,8,0,181,1958,200,0 79,0,2024-09-07 08:45:01:373,48328,0.5,49385,0.7,101113,0.4,131268,2.50 79,1,2024-09-07 08:45:00:592,352963,352963,0,0,165160676870,1711026269,350247,2380,336,369,391682,0 79,2,2024-09-07 08:45:01:073,255967,255967,0,0,9804146,0,3212 79,3,2024-09-07 08:45:00:754,1,200,7,0,418,3093,200,0 80,0,2024-09-07 08:45:01:082,44767,0.5,46067,0.7,88235,0.5,119754,2.00 80,1,2024-09-07 08:45:01:619,351312,351312,0,0,164047764209,1708088167,348638,2508,166,368,391791,0 80,2,2024-09-07 08:45:01:092,254773,254773,0,0,10191647,0,4433 80,3,2024-09-07 08:45:00:582,1,200,1,0,190,2899,200,0 81,0,2024-09-07 08:45:01:554,50787,0.4,52217,0.6,99901,0.4,135227,1.75 81,1,2024-09-07 08:45:01:650,351642,351642,0,0,163896042446,1713625860,348635,2650,357,382,391879,0 81,2,2024-09-07 08:45:01:133,252487,252487,0,0,10599290,0,3993 81,3,2024-09-07 08:45:01:117,1,200,154,0,193,2094,200,0 82,0,2024-09-07 08:45:01:591,51753,0.4,52156,0.6,104369,0.4,138427,1.75 82,1,2024-09-07 08:45:00:634,352148,352144,0,4,164363487667,1712560951,349624,1942,578,381,391558,4 82,2,2024-09-07 08:45:01:691,250108,250108,0,0,9856501,0,3986 82,3,2024-09-07 08:45:01:764,1,200,13,0,363,2490,200,0 83,0,2024-09-07 08:45:01:538,51315,0.6,51320,0.8,101823,0.7,135679,2.00 83,1,2024-09-07 08:45:00:557,351517,351517,0,0,163809288013,1710264322,348712,2580,225,382,391690,0 83,2,2024-09-07 08:45:00:766,254130,254130,0,0,9549066,0,3119 83,3,2024-09-07 08:45:00:751,1,200,250,0,250,2214,200,0 84,0,2024-09-07 08:45:01:802,44523,1.0,44535,1.1,89390,0.8,119346,2.50 84,1,2024-09-07 08:45:01:048,350851,350851,0,0,164054769276,1717672770,346864,3419,568,368,391967,0 84,2,2024-09-07 08:45:00:580,254966,254966,0,0,11531523,0,3801 84,3,2024-09-07 08:45:01:142,1,200,2,0,353,2735,200,0 85,0,2024-09-07 08:45:01:016,47880,0.6,47943,0.7,101451,0.5,131708,2.25 85,1,2024-09-07 08:45:00:568,350535,350535,0,0,163331290014,1729962878,344366,5071,1098,382,392006,0 85,2,2024-09-07 08:45:00:876,254229,254229,0,0,12055307,0,3656 85,3,2024-09-07 08:45:00:689,1,200,1,0,789,2835,200,0 86,0,2024-09-07 08:45:00:917,52351,0.6,53687,0.8,103052,0.7,138808,2.00 86,1,2024-09-07 08:45:00:837,351731,351731,0,0,164901828496,1726452154,347543,3643,545,366,391961,0 86,2,2024-09-07 08:45:00:861,248399,248398,1,0,12249651,0,5004 86,3,2024-09-07 08:45:00:596,1,200,10,0,286,2795,200,0 87,0,2024-09-07 08:45:01:324,52990,1.2,52715,1.0,105979,1.7,141709,2.50 87,1,2024-09-07 08:45:00:553,351583,351583,0,0,164212390271,1720707977,347670,3452,461,366,391788,0 87,2,2024-09-07 08:45:01:067,252078,252078,0,0,10716242,0,3515 87,3,2024-09-07 08:45:01:796,1,200,1,0,473,3577,200,0 88,0,2024-09-07 08:45:01:454,47448,0.6,47642,0.7,95136,0.7,126491,1.75 88,1,2024-09-07 08:45:00:581,350156,350156,0,0,164245487683,1728108465,344437,4249,1470,365,392084,0 88,2,2024-09-07 08:45:00:691,255232,255232,0,0,13525193,0,3583 88,3,2024-09-07 08:45:01:267,1,200,1,0,435,2565,200,0 89,0,2024-09-07 08:45:01:790,49710,0.4,48158,0.7,95249,0.4,129707,1.75 89,1,2024-09-07 08:45:00:567,349661,349661,0,0,164343088300,1737641803,343673,5043,945,382,391866,0 89,2,2024-09-07 08:45:01:132,253241,253241,0,0,12569698,0,2910 89,3,2024-09-07 08:45:01:791,1,200,3,0,325,3975,200,0 90,0,2024-09-07 08:45:01:647,51122,0.5,52201,0.6,107176,0.4,139130,1.75 90,1,2024-09-07 08:45:00:617,351701,351701,0,0,164297997532,1722585407,348377,3064,260,381,391825,0 90,2,2024-09-07 08:45:01:430,249105,249105,0,0,13385922,0,3060 90,3,2024-09-07 08:45:00:932,1,200,9,0,200,2240,200,0 91,0,2024-09-07 08:45:00:963,53892,0.5,52069,0.6,108737,0.4,143179,1.75 91,1,2024-09-07 08:45:00:558,350873,350873,0,0,164408665677,1730405512,346095,4132,646,381,392047,0 91,2,2024-09-07 08:45:01:337,250976,250976,0,0,11895046,0,2445 91,3,2024-09-07 08:45:00:609,1,200,12,0,216,2058,200,0 92,0,2024-09-07 08:45:01:454,49558,0.6,50872,0.8,97134,0.7,131425,1.75 92,1,2024-09-07 08:45:00:583,351276,351276,0,0,163821073051,1709941268,349019,1853,404,382,392136,0 92,2,2024-09-07 08:45:01:360,257265,257265,0,0,10392324,0,2801 92,3,2024-09-07 08:45:01:009,1,200,23,0,167,1699,200,0 93,0,2024-09-07 08:45:00:976,46610,0.4,47713,0.6,91124,0.4,124419,1.75 93,1,2024-09-07 08:45:00:827,351803,351803,0,0,164453936974,1717647664,348185,2993,625,366,391692,0 93,2,2024-09-07 08:45:00:929,254359,254359,0,0,10542960,0,2509 93,3,2024-09-07 08:45:01:405,1,200,1,0,143,2048,200,0 94,0,2024-09-07 08:45:01:615,50808,0.3,51609,0.5,102383,0.2,135530,1.50 94,1,2024-09-07 08:45:00:566,351163,351163,0,0,164857793542,1723451669,348369,2661,133,381,391850,0 94,2,2024-09-07 08:45:00:781,251953,251953,0,0,9875671,0,2443 94,3,2024-09-07 08:45:01:687,1,200,21,0,264,2856,200,0 95,0,2024-09-07 08:45:01:370,52366,0.3,52047,0.5,105084,0.3,139089,1.75 95,1,2024-09-07 08:45:00:864,352013,352013,0,0,164819557727,1715087504,349079,2596,338,367,391662,0 95,2,2024-09-07 08:45:01:016,249851,249851,0,0,10259575,0,3308 95,3,2024-09-07 08:45:01:708,1,200,4,0,718,3749,200,0 96,0,2024-09-07 08:45:01:024,50860,0.5,51137,0.6,102155,0.5,134987,1.75 96,1,2024-09-07 08:45:01:822,351624,351624,0,0,164267788812,1716445929,348877,2100,647,385,391894,0 96,2,2024-09-07 08:45:01:270,254900,254900,0,0,10646980,0,4042 96,3,2024-09-07 08:45:01:141,1,200,2,0,411,2539,200,0 97,0,2024-09-07 08:45:01:326,44767,0.4,44536,0.5,89337,0.3,119256,1.75 97,1,2024-09-07 08:45:00:767,353061,353061,0,0,164955944447,1712854065,350236,2272,553,367,392140,0 97,2,2024-09-07 08:45:00:625,254707,254707,0,0,10035291,0,3036 97,3,2024-09-07 08:45:00:580,1,200,12,0,165,2353,200,0 98,0,2024-09-07 08:45:01:702,50223,0.2,50174,0.4,100677,0.2,134133,1.50 98,1,2024-09-07 08:45:00:570,351829,351829,0,0,164651404880,1714283455,349964,1771,94,382,391997,0 98,2,2024-09-07 08:45:00:788,254219,254219,0,0,10079973,0,3080 98,3,2024-09-07 08:45:00:705,1,200,7,0,840,3514,200,0 99,0,2024-09-07 08:45:01:465,52419,0.3,52408,0.4,104581,0.3,139617,1.50 99,1,2024-09-07 08:45:01:723,352368,352368,0,0,164041088944,1712181667,349011,2643,714,381,391744,0 99,2,2024-09-07 08:45:01:416,251535,251535,0,0,9819877,0,2615 99,3,2024-09-07 08:45:00:588,1,200,1,0,129,1535,200,0 100,0,2024-09-07 08:45:01:461,52428,0.9,52470,1.1,104703,1.4,139804,2.50 100,1,2024-09-07 08:45:00:553,349824,349824,0,0,163502055933,1731373514,344237,4654,933,381,391989,0 100,2,2024-09-07 08:45:01:819,252430,252419,11,0,12124643,0,5417 100,3,2024-09-07 08:45:01:737,1,200,2,0,559,4073,200,0 101,0,2024-09-07 08:45:01:698,46687,2.2,45592,1.4,89135,2.3,122552,2.75 101,1,2024-09-07 08:45:00:560,350343,350343,0,0,163960010555,1731349980,344310,4511,1522,368,391769,0 101,2,2024-09-07 08:45:01:759,254883,254883,0,0,12857172,0,4644 101,3,2024-09-07 08:45:00:942,1,200,2,0,448,2543,200,0 102,0,2024-09-07 08:45:00:950,47863,0.7,49539,0.8,99453,0.6,130858,2.00 102,1,2024-09-07 08:45:01:143,350846,350846,0,0,163860371391,1723564483,346122,4006,718,369,391883,0 102,2,2024-09-07 08:45:01:741,254053,253999,54,0,12203479,0,6768 102,3,2024-09-07 08:45:01:635,1,200,8,0,410,2236,200,0 103,0,2024-09-07 08:45:01:670,54296,0.5,54287,0.7,102468,0.5,140777,2.00 103,1,2024-09-07 08:45:01:644,349974,349974,0,0,163871341582,1729549821,344531,4118,1325,381,391829,0 103,2,2024-09-07 08:45:00:584,249038,249038,0,0,10837213,0,2104 103,3,2024-09-07 08:45:00:761,1,200,0,0,916,3367,200,0 104,0,2024-09-07 08:45:01:007,53506,1.0,53919,1.1,106569,1.0,144000,2.50 104,1,2024-09-07 08:45:01:605,351641,351641,0,0,164195350871,1729501859,346290,4381,970,365,391948,0 104,2,2024-09-07 08:45:01:666,251193,251193,0,0,12342713,0,3941 104,3,2024-09-07 08:45:01:415,1,200,1,1,1245,5724,200,0 105,0,2024-09-07 08:45:01:060,47883,1.3,46489,1.3,97190,2.0,128528,3.50 105,1,2024-09-07 08:45:00:581,351690,351690,0,0,164152049027,1723863549,346811,4076,803,367,391797,0 105,2,2024-09-07 08:45:01:323,255041,255041,0,0,11991875,0,3509 105,3,2024-09-07 08:45:01:310,1,200,1,0,399,3520,200,0 106,0,2024-09-07 08:45:01:005,45384,0.9,46467,1.0,95135,0.9,124374,2.50 106,1,2024-09-07 08:45:01:750,351422,351422,0,0,163878223176,1722716467,346131,4814,477,369,391767,0 106,2,2024-09-07 08:45:00:764,252052,252052,0,0,11992368,0,2795 106,3,2024-09-07 08:45:00:678,1,200,1,0,470,3135,200,0 107,0,2024-09-07 08:45:01:123,52450,0.8,52403,0.9,104475,0.9,139999,2.00 107,1,2024-09-07 08:45:00:589,350531,350531,0,0,163627831581,1722970068,346324,3792,415,381,392234,0 107,2,2024-09-07 08:45:01:306,250973,250972,1,0,12030827,0,5024 107,3,2024-09-07 08:45:01:758,1,200,121,0,353,3036,200,0 108,0,2024-09-07 08:45:01:869,52802,0.4,52988,0.6,105041,0.4,140421,1.75 108,1,2024-09-07 08:45:01:301,351361,351361,0,0,164476250793,1715661860,348632,2415,314,368,391857,0 108,2,2024-09-07 08:45:01:757,249494,249494,0,0,11018610,0,2647 108,3,2024-09-07 08:45:01:335,1,200,4,0,667,3811,200,0 109,0,2024-09-07 08:45:01:766,50138,0.4,49603,0.6,99540,0.4,133146,1.75 109,1,2024-09-07 08:45:00:590,350851,350851,0,0,164256507075,1723091728,347845,2509,497,383,392132,0 109,2,2024-09-07 08:45:00:927,255027,255027,0,0,11118115,0,3617 109,3,2024-09-07 08:45:01:140,1,200,5,0,249,2597,200,0 110,0,2024-09-07 08:45:01:819,45121,0.4,43896,0.6,91516,0.3,120038,1.75 110,1,2024-09-07 08:45:01:671,352134,352134,0,0,164601594902,1710363176,349468,1899,767,370,391667,0 110,2,2024-09-07 08:45:01:308,254508,254508,0,0,10331198,0,2915 110,3,2024-09-07 08:45:00:699,1,200,8,0,406,2822,200,0 111,0,2024-09-07 08:45:01:411,50959,0.3,50589,0.4,100762,0.2,135446,1.50 111,1,2024-09-07 08:45:01:001,352756,352756,0,0,164827951910,1709736085,350943,1479,334,382,391690,0 111,2,2024-09-07 08:45:01:116,252777,252777,0,0,10612674,0,2763 111,3,2024-09-07 08:45:00:915,1,200,2,0,379,3021,200,0 112,0,2024-09-07 08:45:00:921,52174,0.3,52126,0.4,104277,0.2,138534,1.50 112,1,2024-09-07 08:45:00:855,352851,352851,0,0,164797833664,1711021921,350479,1946,426,380,391580,0 112,2,2024-09-07 08:45:01:133,249564,249563,1,0,10213410,0,5036 112,3,2024-09-07 08:45:00:592,1,200,8,0,282,2201,200,0 113,0,2024-09-07 08:45:00:874,51086,0.4,51011,0.5,102557,0.3,136800,1.75 113,1,2024-09-07 08:45:01:697,353065,353065,0,0,165349298071,1709261056,350626,1950,489,366,391661,0 113,2,2024-09-07 08:45:01:308,255613,255613,0,0,9347608,0,3813 113,3,2024-09-07 08:45:00:687,1,200,2,0,340,3439,200,0 114,0,2024-09-07 08:45:00:877,45500,0.5,45968,0.6,90676,0.4,121378,1.75 114,1,2024-09-07 08:45:00:716,351606,351606,0,0,165461721752,1726075881,347473,2670,1463,381,391534,0 114,2,2024-09-07 08:45:00:874,255250,255249,1,0,10800352,0,5069 114,3,2024-09-07 08:45:01:278,1,200,8,0,395,2257,200,0 115,0,2024-09-07 08:45:00:566,49537,0.2,49884,0.4,99804,0.2,132752,1.50 115,1,2024-09-07 08:45:00:580,351698,351698,0,0,165022592073,1717854326,348020,2857,821,382,391602,0 115,2,2024-09-07 08:45:01:140,254664,254664,0,0,9238103,0,2152 115,3,2024-09-07 08:45:01:001,1,200,2,0,159,1257,200,0 116,0,2024-09-07 08:45:01:701,52350,0.7,52074,0.9,104437,0.7,139488,2.00 116,1,2024-09-07 08:45:00:804,349599,349599,0,0,164226255268,1743502565,343663,3884,2052,380,391782,0 116,2,2024-09-07 08:45:01:755,248784,248784,0,0,12850761,0,3529 116,3,2024-09-07 08:45:00:927,1,200,15,0,252,2741,200,0 117,0,2024-09-07 08:45:00:964,53037,1.0,53018,1.0,105995,1.2,141838,2.00 117,1,2024-09-07 08:45:01:578,351085,351085,0,0,164050157775,1719966655,346836,3773,476,370,392033,0 117,2,2024-09-07 08:45:01:118,254624,254624,0,0,10159922,0,3700 117,3,2024-09-07 08:45:01:062,1,200,9,0,490,3729,200,0 118,0,2024-09-07 08:45:01:775,46180,0.8,47387,0.8,96501,0.9,126159,2.25 118,1,2024-09-07 08:45:00:590,351067,351067,0,0,163725076428,1725573580,345463,4177,1427,366,391736,0 118,2,2024-09-07 08:45:01:586,255315,255315,0,0,11806519,0,2781 118,3,2024-09-07 08:45:01:765,1,200,8,0,235,2452,200,0 119,0,2024-09-07 08:45:01:348,47906,0.5,48166,0.7,96907,0.5,128908,2.00 119,1,2024-09-07 08:45:00:553,351860,351860,0,0,164325471399,1715655673,348668,2885,307,368,391641,0 119,2,2024-09-07 08:45:01:269,253752,253752,0,0,10833937,0,3526 119,3,2024-09-07 08:45:01:326,1,200,2,0,443,3255,200,0 120,0,2024-09-07 08:45:01:657,52254,0.5,52242,0.8,104824,0.5,139798,2.00 120,1,2024-09-07 08:45:00:874,351462,351462,0,0,164184410765,1724741188,347376,3742,344,368,391961,0 120,2,2024-09-07 08:45:00:773,249763,249762,1,0,13249427,0,5281 120,3,2024-09-07 08:45:01:294,1,200,10,0,241,2848,200,0 121,0,2024-09-07 08:45:01:696,53290,1.2,53633,1.0,107009,1.6,142807,2.25 121,1,2024-09-07 08:45:01:654,351262,351262,0,0,164837263805,1726718301,347553,3307,402,367,391840,0 121,2,2024-09-07 08:45:01:129,250018,250018,0,0,12577646,0,4127 121,3,2024-09-07 08:45:00:743,1,200,1,0,269,2561,200,0 122,0,2024-09-07 08:45:01:774,48929,1.1,47667,1.1,99968,1.2,131403,2.25 122,1,2024-09-07 08:45:00:865,350134,350134,0,0,164018148254,1725435801,344909,4485,740,366,392130,0 122,2,2024-09-07 08:45:01:319,254867,254794,73,0,15244136,0,5989 122,3,2024-09-07 08:45:00:594,1,200,8,0,411,4554,200,0 123,0,2024-09-07 08:45:01:037,46318,0.7,45246,0.8,94219,0.8,123973,2.00 123,1,2024-09-07 08:45:00:559,350798,350798,0,0,163953556438,1735540902,342934,6304,1560,369,391823,0 123,2,2024-09-07 08:45:01:024,251833,251832,1,0,12207159,0,5215 123,3,2024-09-07 08:45:01:134,1,200,3,0,168,2470,200,0 124,0,2024-09-07 08:45:00:989,52587,0.3,52527,0.5,99159,0.2,135936,1.50 124,1,2024-09-07 08:45:01:022,352377,352377,0,0,163968476467,1705290373,349932,2087,358,367,392178,0 124,2,2024-09-07 08:45:01:010,252492,252492,0,0,10080481,0,3101 124,3,2024-09-07 08:45:00:760,1,200,1,0,490,2554,200,0 125,0,2024-09-07 08:45:01:446,52245,0.4,52153,0.5,104603,0.3,139225,1.75 125,1,2024-09-07 08:45:00:898,351440,351440,0,0,163844003666,1710444055,348923,2239,278,383,391702,0 125,2,2024-09-07 08:45:01:117,250622,250622,0,0,9982920,0,2180 125,3,2024-09-07 08:45:01:132,1,200,6,0,284,2495,200,0 126,0,2024-09-07 08:45:01:423,51037,0.6,52323,0.7,100202,0.7,135775,1.75 126,1,2024-09-07 08:45:00:554,352313,352313,0,0,165309596545,1715129869,349911,2143,259,365,391987,0 126,2,2024-09-07 08:45:00:614,256204,256204,0,0,10769666,0,3186 126,3,2024-09-07 08:45:00:908,1,200,29,0,150,2776,200,0 127,0,2024-09-07 08:45:01:620,44563,0.4,44844,0.5,89327,0.3,119122,1.75 127,1,2024-09-07 08:45:00:572,351855,351855,0,0,164954186859,1711408570,349168,2535,152,365,391816,0 127,2,2024-09-07 08:45:00:637,254958,254958,0,0,10072335,0,2264 127,3,2024-09-07 08:45:01:267,1,200,2,0,243,1749,200,0 128,0,2024-09-07 08:45:01:536,50595,0.3,50426,0.4,100889,0.2,134238,1.50 128,1,2024-09-07 08:45:01:608,352360,352360,0,0,164820685874,1707258523,351003,1251,106,367,391680,0 128,2,2024-09-07 08:45:01:386,253323,253323,0,0,9653463,0,2107 128,3,2024-09-07 08:45:00:778,1,200,1082,0,1082,3792,200,0 129,0,2024-09-07 08:45:01:004,52737,0.3,52486,0.4,104840,0.3,139209,1.50 129,1,2024-09-07 08:45:00:567,350389,350389,0,0,164701473039,1727813967,346544,2918,927,379,391835,0 129,2,2024-09-07 08:45:00:692,250105,250105,0,0,9843538,0,4031 129,3,2024-09-07 08:45:00:687,1,200,5,0,469,2694,200,0 130,0,2024-09-07 08:45:01:725,52875,0.6,52748,0.7,105710,0.7,140659,1.75 130,1,2024-09-07 08:45:00:589,352254,352254,0,0,165197554373,1719201039,350091,2088,75,381,391825,0 130,2,2024-09-07 08:45:01:129,254866,254866,0,0,9967402,0,4067 130,3,2024-09-07 08:45:01:292,1,200,8,0,450,2289,200,0 131,0,2024-09-07 08:45:01:932,45958,0.5,46316,0.6,93216,0.5,122630,1.75 131,1,2024-09-07 08:45:01:821,352769,352769,0,0,164838993066,1714172611,351198,1365,206,383,391865,0 131,2,2024-09-07 08:45:00:571,256872,256872,0,0,9560303,0,2415 131,3,2024-09-07 08:45:01:691,1,200,1,0,392,2038,200,0 132,0,2024-09-07 08:45:01:452,48738,0.5,49277,0.7,98587,0.4,131223,2.00 132,1,2024-09-07 08:45:00:580,350651,350651,0,0,163540062432,1727385218,344868,4718,1065,381,392097,0 132,2,2024-09-07 08:45:00:699,253395,253395,0,0,12716019,0,4606 132,3,2024-09-07 08:45:01:687,1,200,35,0,356,3289,200,0 133,0,2024-09-07 08:45:01:521,51390,0.4,52656,0.6,107826,0.4,139574,1.75 133,1,2024-09-07 08:45:00:583,349825,349825,0,0,163556241357,1723881160,345095,4085,645,383,391914,0 133,2,2024-09-07 08:45:01:087,248943,248943,0,0,12582111,0,4315 133,3,2024-09-07 08:45:01:299,1,200,16,0,276,2123,200,0 134,0,2024-09-07 08:45:00:988,53904,0.5,53842,0.7,108184,0.5,143905,2.00 134,1,2024-09-07 08:45:00:584,350690,350690,0,0,163586793113,1718443404,345600,3807,1283,366,391718,0 134,2,2024-09-07 08:45:01:757,251763,251763,0,0,10819722,0,3847 134,3,2024-09-07 08:45:00:769,1,200,1,0,739,3328,200,0 135,0,2024-09-07 08:45:01:166,46800,1.3,46810,1.2,99314,1.4,128165,2.50 135,1,2024-09-07 08:45:01:592,350163,350163,0,0,164602350121,1731462944,345346,3920,897,380,391805,0 135,2,2024-09-07 08:45:00:690,255627,255627,0,0,12242546,0,3981 135,3,2024-09-07 08:45:01:007,1,200,0,0,299,1451,200,0 136,0,2024-09-07 08:45:01:612,47333,0.5,47308,0.7,94821,0.5,126026,2.25 136,1,2024-09-07 08:45:01:442,350262,350262,0,0,163643167983,1722331335,345311,4410,541,382,391641,0 136,2,2024-09-07 08:45:01:138,254525,254525,0,0,11714478,0,3506 136,3,2024-09-07 08:45:01:107,1,200,1,0,126,1717,200,0 137,0,2024-09-07 08:45:00:923,53959,0.6,52374,0.8,103446,0.7,141064,2.00 137,1,2024-09-07 08:45:00:590,350195,350195,0,0,164017530282,1725982773,343863,4962,1370,366,391708,0 137,2,2024-09-07 08:45:01:707,250738,250738,0,0,13401074,0,3185 137,3,2024-09-07 08:45:00:770,1,200,3,0,227,2162,200,0 138,0,2024-09-07 08:45:01:752,52199,1.0,52253,1.0,105005,1.2,140094,2.25 138,1,2024-09-07 08:45:01:687,350632,350632,0,0,164342824824,1726408261,345143,4602,887,368,391954,0 138,2,2024-09-07 08:45:00:586,250784,250784,0,0,11793507,0,4988 138,3,2024-09-07 08:45:00:613,1,200,8,0,1160,3512,200,0 139,0,2024-09-07 08:45:01:411,48843,2.6,48919,1.5,98744,3.8,131523,3.00 139,1,2024-09-07 08:45:00:580,348956,348956,0,0,163826961778,1744001752,341785,5296,1875,381,391892,0 139,2,2024-09-07 08:45:00:700,253470,253470,0,0,12416467,0,3097 139,3,2024-09-07 08:45:01:664,1,200,2,0,244,2162,200,0 140,0,2024-09-07 08:45:01:591,45304,0.3,44825,0.5,90456,0.3,120215,1.75 140,1,2024-09-07 08:45:01:536,352868,352868,0,0,165572475986,1707190556,351264,1364,240,365,391606,0 140,2,2024-09-07 08:45:00:702,254840,254840,0,0,10348572,0,3388 140,3,2024-09-07 08:45:00:767,1,200,9,0,247,1727,200,0 141,0,2024-09-07 08:45:01:700,50732,0.3,52053,0.4,99498,0.2,135478,1.50 141,1,2024-09-07 08:45:00:861,352822,352822,0,0,164670422040,1708839645,350796,1672,354,379,391614,0 141,2,2024-09-07 08:45:01:686,253317,253317,0,0,9767529,0,2342 141,3,2024-09-07 08:45:01:049,1,200,1,0,176,1668,200,0 142,0,2024-09-07 08:45:01:309,52624,0.3,52213,0.4,103808,0.2,138772,1.50 142,1,2024-09-07 08:45:00:585,351544,351544,0,0,164555783712,1716157451,349415,1907,222,383,391728,0 142,2,2024-09-07 08:45:01:300,249258,249226,32,0,11090730,0,6028 142,3,2024-09-07 08:45:01:748,1,200,8,0,484,2736,200,0 143,0,2024-09-07 08:45:01:370,51320,0.6,51210,0.7,103323,0.6,136563,1.75 143,1,2024-09-07 08:45:00:558,352144,352144,0,0,164349013064,1705300923,349955,2144,45,367,391619,0 143,2,2024-09-07 08:45:00:770,254502,254502,0,0,10518694,0,2669 143,3,2024-09-07 08:45:01:144,1,200,2,0,303,2919,200,0 144,0,2024-09-07 08:45:01:515,43577,0.7,44898,1.1,91087,0.6,119703,2.00 144,1,2024-09-07 08:45:00:571,351015,351015,0,0,164060727506,1717970926,348565,2245,205,381,391649,0 144,2,2024-09-07 08:45:01:757,255205,255205,0,0,10126106,0,3473 144,3,2024-09-07 08:45:01:741,1,200,2,0,249,2351,200,0 145,0,2024-09-07 08:45:01:366,47945,0.5,47949,0.7,101808,0.4,132309,2.00 145,1,2024-09-07 08:45:00:552,349320,349320,0,0,163887251313,1726909687,344117,4384,819,382,391698,0 145,2,2024-09-07 08:45:01:430,252753,252753,0,0,11703467,0,3903 145,3,2024-09-07 08:45:00:902,1,200,69,0,579,2734,200,0 146,0,2024-09-07 08:45:01:595,52317,0.5,51757,0.8,104457,0.5,138622,2.00 146,1,2024-09-07 08:45:01:587,351311,351311,0,0,164760976869,1734485188,345125,4803,1383,368,391770,0 146,2,2024-09-07 08:45:01:694,249170,249170,0,0,11226901,0,2498 146,3,2024-09-07 08:45:01:277,1,200,16,0,1520,5493,200,0 147,0,2024-09-07 08:45:01:712,53002,0.7,52969,0.8,105301,0.6,141416,2.25 147,1,2024-09-07 08:45:01:376,352542,352542,0,0,164615703293,1714224619,348998,2975,569,368,391791,0 147,2,2024-09-07 08:45:01:009,254121,254121,0,0,10170902,0,2789 147,3,2024-09-07 08:45:00:915,1,200,13,0,730,3137,200,0 0,0,2024-09-07 08:45:11:742,51076,0.5,51121,0.7,108415,0.5,140208,1.75 0,1,2024-09-07 08:45:10:823,353126,353126,0,0,165558261304,1732273182,350638,2326,162,372,391772,0 0,2,2024-09-07 08:45:11:067,251596,251596,0,0,10219255,0,4480 0,3,2024-09-07 08:45:10:984,1,201,1,0,247,2619,201,0 1,0,2024-09-07 08:45:11:800,53915,1.0,53533,1.0,107634,1.3,143588,2.25 1,1,2024-09-07 08:45:10:564,352999,352999,0,0,164292563617,1722503282,349497,2634,868,371,391857,0 1,2,2024-09-07 08:45:10:646,252223,252223,0,0,9867209,0,3267 1,3,2024-09-07 08:45:11:308,1,201,8,0,262,2463,201,0 2,0,2024-09-07 08:45:11:566,49286,0.9,49615,0.9,98456,1.3,131441,2.25 2,1,2024-09-07 08:45:10:863,353499,353499,0,0,166113126341,1725354044,351871,1421,207,380,391745,0 2,2,2024-09-07 08:45:11:266,256785,256785,0,0,10435733,0,3594 2,3,2024-09-07 08:45:10:694,1,201,26,0,357,1992,201,0 3,0,2024-09-07 08:45:11:798,46566,0.4,46717,0.6,93133,0.4,124098,2.00 3,1,2024-09-07 08:45:11:621,353302,353302,0,0,165213338933,1722004305,350077,2800,425,380,391523,0 3,2,2024-09-07 08:45:11:151,255033,255010,23,0,10473382,0,5851 3,3,2024-09-07 08:45:11:761,1,201,8,0,103,1238,201,0 4,0,2024-09-07 08:45:11:799,49719,0.3,51222,0.5,104061,0.3,136960,1.75 4,1,2024-09-07 08:45:10:623,352948,352948,0,0,164589122746,1728318760,349559,2857,532,371,391846,0 4,2,2024-09-07 08:45:11:018,252108,252108,0,0,12410812,0,4528 4,3,2024-09-07 08:45:11:028,1,201,3,0,448,3266,201,0 5,0,2024-09-07 08:45:11:380,52521,0.4,52656,0.6,104799,0.4,139835,1.75 5,1,2024-09-07 08:45:10:784,352714,352714,0,0,165369877649,1740384942,348117,3540,1057,368,392005,0 5,2,2024-09-07 08:45:11:836,250545,250545,0,0,10714431,0,2259 5,3,2024-09-07 08:45:11:732,1,201,1,0,278,2952,201,0 6,0,2024-09-07 08:45:10:933,51359,0.8,50870,0.9,101470,0.9,135925,2.25 6,1,2024-09-07 08:45:10:746,353517,353517,0,0,164946012371,1724746546,349800,3058,659,379,391634,0 6,2,2024-09-07 08:45:11:124,256292,256292,0,0,11043338,0,4816 6,3,2024-09-07 08:45:11:274,1,201,26,0,340,2605,201,0 7,0,2024-09-07 08:45:11:534,44536,0.4,44463,0.6,88854,0.4,118685,2.00 7,1,2024-09-07 08:45:10:850,352528,352528,0,0,165237022959,1733623128,348035,3904,589,382,391686,0 7,2,2024-09-07 08:45:10:772,255089,255089,0,0,10474438,0,2981 7,3,2024-09-07 08:45:10:874,1,201,0,0,305,2101,201,0 8,0,2024-09-07 08:45:11:327,50402,0.3,50589,0.5,100821,0.3,134937,1.50 8,1,2024-09-07 08:45:11:016,352001,352001,0,0,165436403562,1748217115,344944,5222,1835,367,391956,0 8,2,2024-09-07 08:45:10:792,251357,251357,0,0,13074564,0,2986 8,3,2024-09-07 08:45:10:592,1,201,1,0,357,2986,201,0 9,0,2024-09-07 08:45:11:132,52646,0.4,51074,0.5,106672,0.3,139776,1.75 9,1,2024-09-07 08:45:10:564,352226,352226,0,0,165011220066,1744761402,346241,4448,1537,370,392001,0 9,2,2024-09-07 08:45:11:090,250616,250616,0,0,11377362,0,3360 9,3,2024-09-07 08:45:11:771,1,201,2,0,496,3220,201,0 10,0,2024-09-07 08:45:11:600,52869,0.3,52511,0.5,105399,0.3,140207,1.75 10,1,2024-09-07 08:45:10:584,352829,352829,0,0,165191025725,1735864655,347092,4893,844,381,391741,0 10,2,2024-09-07 08:45:10:783,255449,255449,0,0,12986908,0,4264 10,3,2024-09-07 08:45:10:873,1,201,8,0,177,1545,201,0 11,0,2024-09-07 08:45:11:007,45486,0.5,44207,0.8,92588,0.5,122790,2.00 11,1,2024-09-07 08:45:10:573,352533,352533,0,0,164721698788,1739616237,344916,5704,1913,384,391537,0 11,2,2024-09-07 08:45:11:125,256216,256216,0,0,11849825,0,3411 11,3,2024-09-07 08:45:11:298,1,201,1,0,720,3037,201,0 12,0,2024-09-07 08:45:10:965,49733,0.3,49517,0.5,99206,0.3,132314,1.75 12,1,2024-09-07 08:45:10:944,353345,353345,0,0,164971255813,1722976233,350140,2786,419,370,391837,0 12,2,2024-09-07 08:45:11:551,255584,255584,0,0,11642916,0,3469 12,3,2024-09-07 08:45:11:062,1,201,14,0,386,3780,201,0 13,0,2024-09-07 08:45:11:353,53134,0.4,52953,0.5,105939,0.3,140628,1.75 13,1,2024-09-07 08:45:11:529,352335,352335,0,0,164490095591,1727510197,349252,2555,528,382,391717,0 13,2,2024-09-07 08:45:10:643,251592,251592,0,0,10443879,0,3287 13,3,2024-09-07 08:45:11:762,1,201,16,0,467,3601,201,0 14,0,2024-09-07 08:45:10:569,54286,0.4,54799,0.6,108322,0.4,144176,1.75 14,1,2024-09-07 08:45:11:561,355045,355045,0,0,165821074457,1718978135,352505,2351,189,364,391571,0 14,2,2024-09-07 08:45:10:769,253587,253587,0,0,10681074,0,2896 14,3,2024-09-07 08:45:11:120,1,201,8,0,1168,3195,201,0 15,0,2024-09-07 08:45:11:555,48272,0.7,48627,0.9,97124,0.7,129145,2.25 15,1,2024-09-07 08:45:11:619,353415,353415,0,0,165735733809,1724399137,351175,2096,144,381,391619,0 15,2,2024-09-07 08:45:10:998,257532,257532,0,0,9256439,0,3043 15,3,2024-09-07 08:45:11:406,1,201,0,0,1126,4717,201,0 16,0,2024-09-07 08:45:10:939,47416,0.5,47753,0.7,94799,0.5,126999,2.00 16,1,2024-09-07 08:45:10:564,353793,353793,0,0,165126687975,1726825892,350960,2560,273,370,391756,0 16,2,2024-09-07 08:45:11:437,254794,254794,0,0,11508359,0,4719 16,3,2024-09-07 08:45:11:141,1,201,317,0,317,2841,201,0 17,0,2024-09-07 08:45:11:790,54480,0.5,53097,0.7,104055,0.5,141364,2.00 17,1,2024-09-07 08:45:10:571,352522,352522,0,0,165177426770,1734310192,348937,2907,678,368,391688,0 17,2,2024-09-07 08:45:11:668,254624,254624,0,0,10166389,0,2857 17,3,2024-09-07 08:45:10:610,1,201,11,0,268,3209,201,0 18,0,2024-09-07 08:45:10:941,52267,0.8,52555,0.9,104986,0.8,140350,2.50 18,1,2024-09-07 08:45:11:638,353638,353638,0,0,165810999785,1719429309,351454,1949,235,367,391564,0 18,2,2024-09-07 08:45:11:755,252608,252608,0,0,10111590,0,3541 18,3,2024-09-07 08:45:10:895,1,201,8,0,163,2122,201,0 19,0,2024-09-07 08:45:11:543,49496,0.9,50032,0.9,98741,1.0,131612,2.50 19,1,2024-09-07 08:45:10:580,353730,353730,0,0,166354242218,1731756368,349449,3507,774,367,391777,0 19,2,2024-09-07 08:45:11:753,258080,258080,0,0,9457029,0,3988 19,3,2024-09-07 08:45:11:132,1,201,1,0,524,1693,201,0 20,0,2024-09-07 08:45:11:353,45169,0.5,45063,0.7,90522,0.5,121057,2.00 20,1,2024-09-07 08:45:10:568,352740,352740,0,0,165420258435,1733111350,349098,3248,394,369,391822,0 20,2,2024-09-07 08:45:10:930,255125,255125,0,0,10826232,0,3721 20,3,2024-09-07 08:45:10:605,1,201,7,0,414,4207,201,0 21,0,2024-09-07 08:45:11:144,51098,0.4,51318,0.6,102329,0.3,135639,1.75 21,1,2024-09-07 08:45:11:541,351990,351990,0,0,164084976640,1730717184,347113,3827,1050,368,391962,0 21,2,2024-09-07 08:45:11:068,253389,253389,0,0,11890541,0,3747 21,3,2024-09-07 08:45:11:404,1,201,2,0,103,2294,201,0 22,0,2024-09-07 08:45:11:718,52158,0.7,52149,0.8,103968,0.7,138462,2.25 22,1,2024-09-07 08:45:11:023,352351,352351,0,0,164581838377,1734267116,346450,4382,1519,382,391667,0 22,2,2024-09-07 08:45:10:783,251135,251135,0,0,10101308,0,3134 22,3,2024-09-07 08:45:11:079,1,201,8,0,228,2045,201,0 23,0,2024-09-07 08:45:11:371,51052,0.7,51083,0.8,102549,0.9,136503,2.25 23,1,2024-09-07 08:45:11:004,353238,353238,0,0,165478171691,1740220544,346884,3930,2424,365,391549,0 23,2,2024-09-07 08:45:11:103,255668,255668,0,0,10435723,0,3010 23,3,2024-09-07 08:45:11:760,1,201,1,0,645,2531,201,0 24,0,2024-09-07 08:45:10:840,45785,0.5,45832,0.6,91665,0.5,121783,1.75 24,1,2024-09-07 08:45:10:586,353042,353042,0,0,164685115741,1727240205,348910,3104,1028,369,391640,0 24,2,2024-09-07 08:45:11:072,255071,255071,0,0,13044083,0,3607 24,3,2024-09-07 08:45:11:699,1,201,33,0,468,2971,201,0 25,0,2024-09-07 08:45:11:368,51377,0.4,50222,0.6,98412,0.3,134506,1.75 25,1,2024-09-07 08:45:10:571,353114,353114,0,0,165552965792,1735786458,349181,3387,546,371,391788,0 25,2,2024-09-07 08:45:11:615,253566,253566,0,0,13090153,0,3978 25,3,2024-09-07 08:45:11:001,1,201,5,0,255,2346,201,0 26,0,2024-09-07 08:45:11:725,52210,0.4,51093,0.6,107097,0.4,139269,1.75 26,1,2024-09-07 08:45:11:549,353471,353471,0,0,164368124842,1726335205,347974,4516,981,381,391748,0 26,2,2024-09-07 08:45:10:874,251060,251060,0,0,11851820,0,2809 26,3,2024-09-07 08:45:11:719,1,201,8,0,796,2948,201,0 27,0,2024-09-07 08:45:11:736,53642,0.5,53490,0.7,106043,0.5,141588,2.00 27,1,2024-09-07 08:45:11:684,354529,354529,0,0,166005805544,1725925277,352228,1972,329,381,391558,0 27,2,2024-09-07 08:45:10:874,252700,252635,65,0,12977845,0,5699 27,3,2024-09-07 08:45:11:015,1,201,3,0,564,2170,201,0 28,0,2024-09-07 08:45:11:390,47412,0.6,47503,0.8,95517,0.8,126864,2.25 28,1,2024-09-07 08:45:10:804,354067,354067,0,0,166344985796,1734605754,351351,2246,470,383,391646,0 28,2,2024-09-07 08:45:11:768,257462,257462,0,0,10471529,0,2915 28,3,2024-09-07 08:45:11:786,1,201,5,0,502,2336,201,0 29,0,2024-09-07 08:45:11:367,49868,0.3,48872,0.5,95281,0.2,130627,1.75 29,1,2024-09-07 08:45:11:563,354663,354663,0,0,165741838642,1718142819,351701,2399,563,369,391621,0 29,2,2024-09-07 08:45:10:861,254903,254903,0,0,9441546,0,4018 29,3,2024-09-07 08:45:10:963,1,201,11,0,115,1509,201,0 30,0,2024-09-07 08:45:11:456,52419,0.7,51015,0.8,106863,0.6,139648,2.00 30,1,2024-09-07 08:45:10:573,354296,354296,0,0,166472895237,1727516786,352132,1871,293,382,391672,0 30,2,2024-09-07 08:45:11:280,252077,252077,0,0,9310410,0,3161 30,3,2024-09-07 08:45:10:587,1,201,8,0,195,1420,201,0 31,0,2024-09-07 08:45:11:767,53294,0.5,53737,0.6,107941,0.4,143447,2.00 31,1,2024-09-07 08:45:10:580,355769,355769,0,0,166321861339,1706103069,354685,927,157,356,391712,0 31,2,2024-09-07 08:45:11:274,252029,252029,0,0,10976903,0,3525 31,3,2024-09-07 08:45:11:721,1,201,3,0,220,1763,201,0 32,0,2024-09-07 08:45:11:436,49213,0.4,49699,0.6,99499,0.4,132106,1.75 32,1,2024-09-07 08:45:10:815,354140,354140,0,0,166206823801,1725047916,352303,1561,276,381,391595,0 32,2,2024-09-07 08:45:10:936,257883,257883,0,0,9227168,0,3155 32,3,2024-09-07 08:45:11:015,1,201,1,0,227,1630,201,0 33,0,2024-09-07 08:45:11:503,47088,0.3,46497,0.5,93700,0.2,124941,1.75 33,1,2024-09-07 08:45:10:580,354972,354972,0,0,166529917394,1721585276,352647,2153,172,369,391730,0 33,2,2024-09-07 08:45:10:759,255573,255540,33,0,11200063,0,7012 33,3,2024-09-07 08:45:10:925,1,201,0,0,329,2416,201,0 34,0,2024-09-07 08:45:10:933,51439,0.3,53051,0.4,101627,0.2,137054,1.50 34,1,2024-09-07 08:45:11:048,355253,355253,0,0,166779480996,1716323637,354206,1040,7,367,391562,0 34,2,2024-09-07 08:45:10:773,252876,252876,0,0,10325704,0,3577 34,3,2024-09-07 08:45:11:692,1,201,8,0,148,1365,201,0 35,0,2024-09-07 08:45:10:885,51992,0.4,52452,0.5,105111,0.3,140082,1.75 35,1,2024-09-07 08:45:11:068,353208,353208,0,0,166260372545,1726030407,350214,2224,770,384,391589,0 35,2,2024-09-07 08:45:11:587,252497,252497,0,0,9095410,0,2653 35,3,2024-09-07 08:45:10:913,1,201,1,0,418,2356,201,0 36,0,2024-09-07 08:45:11:524,51091,0.8,51007,0.9,102148,0.9,135555,2.50 36,1,2024-09-07 08:45:10:583,353337,353337,0,0,164696666182,1723501447,348385,3642,1310,366,391759,0 36,2,2024-09-07 08:45:11:760,255830,255830,0,0,10948080,0,3303 36,3,2024-09-07 08:45:10:869,1,201,1,0,378,3081,201,0 37,0,2024-09-07 08:45:11:387,44525,0.4,44383,0.7,88967,0.4,119496,2.00 37,1,2024-09-07 08:45:10:568,352655,352648,0,7,164575296115,1726882515,347627,2994,2027,365,391560,0 37,2,2024-09-07 08:45:11:149,255098,255083,15,0,11382863,0,5815 37,3,2024-09-07 08:45:11:769,1,201,888,0,888,3903,201,0 38,0,2024-09-07 08:45:11:446,50360,0.4,48935,0.6,102404,0.3,134455,2.00 38,1,2024-09-07 08:45:11:620,354363,354363,0,0,165453384811,1728778732,349877,3841,645,368,391821,0 38,2,2024-09-07 08:45:10:785,253969,253922,47,0,12502216,0,6710 38,3,2024-09-07 08:45:10:999,1,201,12,0,689,3233,201,0 39,0,2024-09-07 08:45:11:762,53538,0.7,52628,0.7,102047,0.7,139627,2.00 39,1,2024-09-07 08:45:10:717,354117,354117,0,0,166028588165,1730190243,350098,3217,802,365,391524,0 39,2,2024-09-07 08:45:11:418,250827,250827,0,0,10023837,0,2689 39,3,2024-09-07 08:45:10:715,1,201,0,0,293,2799,201,0 40,0,2024-09-07 08:45:11:502,52094,0.8,52632,1.0,105362,0.9,139707,3.00 40,1,2024-09-07 08:45:10:584,353073,353073,0,0,164761846826,1730729237,347017,4823,1233,368,391591,0 40,2,2024-09-07 08:45:11:304,254375,254374,1,0,13062352,0,5137 40,3,2024-09-07 08:45:11:141,1,201,1,0,333,2458,201,0 41,0,2024-09-07 08:45:11:023,45459,1.5,46435,1.4,88859,2.8,121349,3.75 41,1,2024-09-07 08:45:10:786,352839,352839,0,0,165446912375,1732788456,347998,4280,561,370,391742,0 41,2,2024-09-07 08:45:10:758,254924,254924,0,0,13001800,0,3356 41,3,2024-09-07 08:45:11:682,1,201,2,0,366,2392,201,0 42,0,2024-09-07 08:45:11:479,48963,0.7,49188,0.9,98085,0.6,130434,2.50 42,1,2024-09-07 08:45:11:445,351689,351689,0,0,165174144969,1737100765,346106,4509,1074,380,391675,0 42,2,2024-09-07 08:45:11:133,254586,254586,0,0,12025764,0,3568 42,3,2024-09-07 08:45:11:015,1,201,10,0,446,1696,201,0 43,0,2024-09-07 08:45:10:936,52500,0.8,51165,0.9,106847,0.8,140244,2.25 43,1,2024-09-07 08:45:10:577,353562,353562,0,0,165985324200,1731962167,349483,3282,797,366,391696,0 43,2,2024-09-07 08:45:11:736,251391,251391,0,0,11062679,0,3812 43,3,2024-09-07 08:45:11:759,1,201,1,0,467,2748,201,0 44,0,2024-09-07 08:45:10:881,54049,0.5,54403,0.7,108493,0.4,144706,1.75 44,1,2024-09-07 08:45:10:564,354473,354473,0,0,165130704148,1706786976,352227,1821,425,356,391809,0 44,2,2024-09-07 08:45:11:269,252823,252823,0,0,9370840,0,1877 44,3,2024-09-07 08:45:11:103,1,201,1,0,817,2288,201,0 45,0,2024-09-07 08:45:11:771,47881,0.9,46875,1.0,98474,0.9,129865,2.25 45,1,2024-09-07 08:45:11:011,354015,354015,0,0,165142393836,1711753964,352808,1197,10,382,391917,0 45,2,2024-09-07 08:45:11:269,257590,257590,0,0,10262961,0,3596 45,3,2024-09-07 08:45:10:934,1,201,0,0,267,2140,201,0 46,0,2024-09-07 08:45:10:974,47407,0.4,47293,0.7,94766,0.4,126397,2.00 46,1,2024-09-07 08:45:10:579,354685,354685,0,0,164965782561,1705788648,352510,1903,272,366,391524,0 46,2,2024-09-07 08:45:10:610,255637,255637,0,0,9947801,0,2920 46,3,2024-09-07 08:45:11:139,1,201,8,0,908,3297,201,0 47,0,2024-09-07 08:45:11:113,53102,0.4,53087,0.6,106650,0.4,141347,1.75 47,1,2024-09-07 08:45:10:568,355197,355197,0,0,165195041825,1705072056,353665,1515,17,366,391605,0 47,2,2024-09-07 08:45:10:927,253708,253708,0,0,9830529,0,2558 47,3,2024-09-07 08:45:11:120,1,201,28,0,529,2155,201,0 48,0,2024-09-07 08:45:11:521,53295,0.3,52980,0.4,105692,0.2,141230,1.50 48,1,2024-09-07 08:45:11:022,354887,354887,0,0,165543404276,1718417824,352815,1891,181,384,391710,0 48,2,2024-09-07 08:45:10:700,252542,252542,0,0,8867718,0,3031 48,3,2024-09-07 08:45:10:773,1,201,4,0,339,1814,201,0 49,0,2024-09-07 08:45:11:740,51378,0.5,50352,0.6,97764,0.4,133639,1.75 49,1,2024-09-07 08:45:11:031,353099,353099,0,0,165187324050,1720629939,350308,1951,840,382,391610,0 49,2,2024-09-07 08:45:11:801,257792,257792,0,0,9820063,0,3900 49,3,2024-09-07 08:45:11:416,1,201,0,0,408,2545,201,0 50,0,2024-09-07 08:45:11:517,45412,0.3,44902,0.5,90314,0.2,120986,1.75 50,1,2024-09-07 08:45:11:010,355358,355358,0,0,166549177015,1725327439,352703,2345,310,368,391530,0 50,2,2024-09-07 08:45:11:068,255656,255656,0,0,9549080,0,2263 50,3,2024-09-07 08:45:11:294,1,201,45,0,335,1752,201,0 51,0,2024-09-07 08:45:11:691,52301,0.2,51226,0.4,99896,0.2,136361,1.50 51,1,2024-09-07 08:45:11:683,354194,354194,0,0,166447177393,1719267935,352035,1361,798,365,391637,0 51,2,2024-09-07 08:45:11:316,253782,253782,0,0,8723993,0,3337 51,3,2024-09-07 08:45:11:027,1,201,1,0,678,1782,201,0 52,0,2024-09-07 08:45:11:416,52673,0.5,52496,0.6,104758,0.4,139235,2.00 52,1,2024-09-07 08:45:10:577,352878,352878,0,0,163983434998,1724501171,346621,5097,1160,368,391722,0 52,2,2024-09-07 08:45:11:755,249755,249717,38,0,11596089,0,6742 52,3,2024-09-07 08:45:10:684,1,201,1,0,1782,3809,201,0 53,0,2024-09-07 08:45:11:739,50945,0.9,49545,0.9,103757,1.0,135743,2.50 53,1,2024-09-07 08:45:10:780,352149,352149,0,0,165217153964,1738882882,345935,4179,2035,367,391702,0 53,2,2024-09-07 08:45:11:299,255790,255790,0,0,10026318,0,2262 53,3,2024-09-07 08:45:10:697,1,201,1,0,271,1860,201,0 54,0,2024-09-07 08:45:11:625,44303,1.3,44741,1.0,88654,0.6,119096,3.00 54,1,2024-09-07 08:45:10:580,353426,353426,0,0,165813275940,1727509997,349313,3542,571,367,391659,0 54,2,2024-09-07 08:45:10:874,255732,255726,6,0,11591480,0,5382 54,3,2024-09-07 08:45:10:782,1,201,8,0,676,3440,201,0 55,0,2024-09-07 08:45:11:773,48499,0.5,50177,0.7,101171,0.4,132129,2.25 55,1,2024-09-07 08:45:10:764,352910,352910,0,0,164505775543,1718349835,347432,4691,787,365,391731,0 55,2,2024-09-07 08:45:10:743,253947,253947,0,0,11293440,0,3275 55,3,2024-09-07 08:45:10:675,1,201,1,0,304,2638,201,0 56,0,2024-09-07 08:45:11:578,53626,1.3,50571,1.1,104064,1.8,139168,2.50 56,1,2024-09-07 08:45:10:577,352463,352463,0,0,165482920746,1750251586,346749,4771,943,381,391678,0 56,2,2024-09-07 08:45:11:304,250692,250692,0,0,12205078,0,3567 56,3,2024-09-07 08:45:11:061,1,201,3,0,705,3304,201,0 57,0,2024-09-07 08:45:10:946,52409,2.0,52587,1.4,105098,2.7,140498,3.50 57,1,2024-09-07 08:45:10:988,353014,353014,0,0,164603316455,1725341247,349065,3442,507,367,391960,0 57,2,2024-09-07 08:45:11:343,254216,254216,0,0,13157679,0,3317 57,3,2024-09-07 08:45:11:747,1,201,13,0,359,2988,201,0 58,0,2024-09-07 08:45:10:555,46409,0.9,45147,1.1,94549,1.0,123419,2.50 58,1,2024-09-07 08:45:10:579,353516,353513,0,3,165661875152,1734104162,348361,4458,694,367,391545,3 58,2,2024-09-07 08:45:11:072,257226,257226,0,0,11944351,0,2549 58,3,2024-09-07 08:45:11:068,1,201,8,0,1043,2551,201,0 59,0,2024-09-07 08:45:11:751,48788,0.7,48440,0.9,96938,0.7,129410,2.75 59,1,2024-09-07 08:45:10:813,353249,353249,0,0,165535464988,1732466141,348963,3303,983,369,391525,0 59,2,2024-09-07 08:45:10:583,256250,256250,0,0,10887595,0,2604 59,3,2024-09-07 08:45:11:737,1,201,8,0,1015,3253,201,0 60,0,2024-09-07 08:45:11:715,52853,0.5,52612,0.7,105776,0.5,140343,1.75 60,1,2024-09-07 08:45:10:772,354641,354641,0,0,166184428391,1724707541,352409,1719,513,370,391761,0 60,2,2024-09-07 08:45:11:141,251687,251687,0,0,11119452,0,3811 60,3,2024-09-07 08:45:11:263,1,201,0,0,124,1885,201,0 61,0,2024-09-07 08:45:11:515,53725,0.8,53908,0.9,107578,1.0,143672,2.00 61,1,2024-09-07 08:45:10:770,353413,353413,0,0,165417162685,1734130132,349280,3490,643,382,391880,0 61,2,2024-09-07 08:45:11:124,253293,253293,0,0,10406656,0,2090 61,3,2024-09-07 08:45:11:695,1,201,1,0,265,2437,201,0 62,0,2024-09-07 08:45:11:705,49701,1.0,50771,0.9,96778,1.4,132037,2.25 62,1,2024-09-07 08:45:11:112,355528,355522,0,6,166641759271,1720095915,353380,2032,110,365,391715,6 62,2,2024-09-07 08:45:11:652,256252,256251,1,0,11672031,0,5555 62,3,2024-09-07 08:45:11:142,1,201,14,0,287,1438,201,0 63,0,2024-09-07 08:45:11:459,46806,0.5,46756,0.7,93570,0.5,124715,2.00 63,1,2024-09-07 08:45:10:814,354930,354924,0,6,166269302392,1723196081,353433,1444,47,381,391677,6 63,2,2024-09-07 08:45:10:789,255217,255217,0,0,9641747,0,2674 63,3,2024-09-07 08:45:11:732,1,201,6,0,667,2613,201,0 64,0,2024-09-07 08:45:11:535,51064,0.4,51203,0.6,102611,0.3,136366,1.75 64,1,2024-09-07 08:45:10:779,353471,353471,0,0,165767053884,1731906758,349352,2705,1414,370,391783,0 64,2,2024-09-07 08:45:11:152,255246,255227,19,0,10468684,0,6121 64,3,2024-09-07 08:45:11:139,1,201,8,0,265,2114,201,0 65,0,2024-09-07 08:45:11:675,51879,0.7,52321,0.8,104299,0.7,139189,2.25 65,1,2024-09-07 08:45:10:859,352892,352892,0,0,165175667368,1730073372,349606,2843,443,382,391901,0 65,2,2024-09-07 08:45:11:696,251816,251816,0,0,10542176,0,3367 65,3,2024-09-07 08:45:11:687,1,201,1,0,163,1852,201,0 66,0,2024-09-07 08:45:11:763,50522,0.6,50641,0.8,101680,0.6,134788,2.25 66,1,2024-09-07 08:45:11:299,354434,354434,0,0,166124126211,1725374382,352317,1940,177,380,391588,0 66,2,2024-09-07 08:45:11:132,258681,258681,0,0,10287992,0,4956 66,3,2024-09-07 08:45:11:079,1,201,49,0,291,2303,201,0 67,0,2024-09-07 08:45:11:417,44984,0.7,44778,0.8,89939,0.7,119539,2.25 67,1,2024-09-07 08:45:10:772,353637,353636,0,1,165666432765,1729812731,350129,2760,747,380,391787,1 67,2,2024-09-07 08:45:10:584,256632,256632,0,0,9655035,0,2889 67,3,2024-09-07 08:45:11:756,1,201,3,0,338,1721,201,0 68,0,2024-09-07 08:45:10:595,50757,0.5,50621,0.7,100788,0.5,135092,2.00 68,1,2024-09-07 08:45:10:574,352794,352794,0,0,164681770385,1728419418,349179,2478,1137,381,391953,0 68,2,2024-09-07 08:45:11:046,253542,253477,65,0,13083876,0,6698 68,3,2024-09-07 08:45:10:744,1,201,27,0,417,2463,201,0 69,0,2024-09-07 08:45:11:745,52216,0.9,52439,0.9,104344,1.0,139041,2.25 69,1,2024-09-07 08:45:11:015,351561,351561,0,0,164887102912,1739585965,347288,3058,1215,384,391994,0 69,2,2024-09-07 08:45:11:736,251229,251229,0,0,11799759,0,3701 69,3,2024-09-07 08:45:10:788,1,201,198,0,698,3206,201,0 70,0,2024-09-07 08:45:11:534,52265,1.4,52233,1.2,104862,0.9,139378,2.50 70,1,2024-09-07 08:45:10:827,354058,354058,0,0,166189711986,1726388357,351061,2493,504,366,391725,0 70,2,2024-09-07 08:45:11:325,255300,255300,0,0,10912840,0,4044 70,3,2024-09-07 08:45:10:745,1,201,8,0,854,2550,201,0 71,0,2024-09-07 08:45:11:357,45388,1.6,45355,1.4,90493,2.2,121564,3.25 71,1,2024-09-07 08:45:11:596,353271,353271,0,0,165148553141,1726870772,348694,4025,552,368,391738,0 71,2,2024-09-07 08:45:11:081,257026,257026,0,0,11490308,0,2579 71,3,2024-09-07 08:45:11:751,1,201,0,0,644,3065,201,0 72,0,2024-09-07 08:45:11:042,50971,0.6,49827,0.8,97164,0.6,132885,2.25 72,1,2024-09-07 08:45:11:029,353377,353377,0,0,165924001418,1734573416,349196,3432,749,369,391819,0 72,2,2024-09-07 08:45:11:755,253781,253781,0,0,12930475,0,2570 72,3,2024-09-07 08:45:11:766,1,201,1,0,364,3576,201,0 73,0,2024-09-07 08:45:11:116,51548,0.4,52799,0.6,108142,0.4,140079,2.25 73,1,2024-09-07 08:45:10:780,353672,353672,0,0,166142711434,1727995293,351031,2427,214,367,391750,0 73,2,2024-09-07 08:45:11:751,251558,251558,0,0,12174146,0,3482 73,3,2024-09-07 08:45:10:979,1,201,12,0,274,3171,201,0 74,0,2024-09-07 08:45:11:325,54774,0.5,55795,0.7,106338,0.5,144217,2.25 74,1,2024-09-07 08:45:10:647,353045,353045,0,0,165404179781,1729176485,349235,3020,790,381,391681,0 74,2,2024-09-07 08:45:11:007,253233,253233,0,0,12355870,0,4253 74,3,2024-09-07 08:45:11:446,1,201,8,0,522,3048,201,0 75,0,2024-09-07 08:45:11:768,48718,0.9,48381,1.0,97161,0.9,130151,2.50 75,1,2024-09-07 08:45:11:587,352440,352440,0,0,164727158300,1726081691,348042,3736,662,381,391579,0 75,2,2024-09-07 08:45:11:350,256625,256625,0,0,12451908,0,4766 75,3,2024-09-07 08:45:11:067,1,201,2,0,702,3011,201,0 76,0,2024-09-07 08:45:10:598,47205,0.5,46785,0.7,93510,0.5,125320,2.50 76,1,2024-09-07 08:45:10:816,353629,353629,0,0,165143417818,1722644480,351172,1911,546,382,391692,0 76,2,2024-09-07 08:45:11:063,256095,256095,0,0,10433868,0,3784 76,3,2024-09-07 08:45:11:148,1,201,1,0,175,2233,201,0 77,0,2024-09-07 08:45:11:703,52794,0.6,53105,0.8,105884,0.5,140408,2.00 77,1,2024-09-07 08:45:10:852,354057,354057,0,0,165303828031,1726579370,351372,2454,231,383,391808,0 77,2,2024-09-07 08:45:11:280,251609,251609,0,0,10602094,0,3890 77,3,2024-09-07 08:45:11:104,1,201,1,0,305,2233,201,0 78,0,2024-09-07 08:45:11:729,52898,0.4,52611,0.7,106156,0.4,140027,2.00 78,1,2024-09-07 08:45:10:628,353690,353690,0,0,164912780179,1715671476,350762,2613,315,367,391646,0 78,2,2024-09-07 08:45:11:409,252888,252888,0,0,9589456,0,2114 78,3,2024-09-07 08:45:11:132,1,201,8,0,181,1966,201,0 79,0,2024-09-07 08:45:11:356,48466,0.5,49574,0.7,101429,0.4,131366,2.50 79,1,2024-09-07 08:45:10:581,354804,354804,0,0,166175026517,1721450491,352088,2380,336,369,391682,0 79,2,2024-09-07 08:45:11:068,257489,257489,0,0,9906513,0,3212 79,3,2024-09-07 08:45:10:783,1,201,1,0,418,3094,201,0 80,0,2024-09-07 08:45:11:079,45116,0.5,46424,0.7,88924,0.5,120956,2.00 80,1,2024-09-07 08:45:11:626,353033,353033,0,0,165094010838,1719190073,350339,2528,166,368,391791,0 80,2,2024-09-07 08:45:11:092,255875,255875,0,0,10253817,0,4433 80,3,2024-09-07 08:45:10:575,1,201,144,0,190,3043,201,0 81,0,2024-09-07 08:45:11:541,51148,0.4,52573,0.6,100536,0.4,135923,1.75 81,1,2024-09-07 08:45:11:654,353457,353457,0,0,164563707001,1721021017,350427,2673,357,382,391879,0 81,2,2024-09-07 08:45:11:133,253423,253423,0,0,10663296,0,3993 81,3,2024-09-07 08:45:11:124,1,201,374,0,374,2468,201,0 82,0,2024-09-07 08:45:11:545,52008,0.4,52412,0.6,104929,0.4,139310,1.75 82,1,2024-09-07 08:45:10:588,353896,353892,0,4,165093117830,1720345653,351364,1950,578,381,391558,4 82,2,2024-09-07 08:45:11:725,251726,251726,0,0,9963220,0,3986 82,3,2024-09-07 08:45:11:758,1,201,18,0,363,2508,201,0 83,0,2024-09-07 08:45:11:524,51451,0.6,51451,0.8,102110,0.7,136150,2.00 83,1,2024-09-07 08:45:10:551,353189,353189,0,0,164546538477,1718068512,350384,2580,225,382,391690,0 83,2,2024-09-07 08:45:10:783,255494,255494,0,0,9649601,0,3119 83,3,2024-09-07 08:45:10:778,1,201,1,0,250,2215,201,0 84,0,2024-09-07 08:45:11:766,44771,1.0,44781,1.1,89823,0.8,120289,2.50 84,1,2024-09-07 08:45:11:051,352597,352597,0,0,165004385260,1727430587,348610,3419,568,368,391967,0 84,2,2024-09-07 08:45:10:572,256007,256007,0,0,11612968,0,3801 84,3,2024-09-07 08:45:11:143,1,201,6,0,353,2741,201,0 85,0,2024-09-07 08:45:11:057,48325,0.6,48412,0.7,102416,0.5,132876,2.25 85,1,2024-09-07 08:45:10:651,352238,352238,0,0,164211061464,1739044517,346069,5071,1098,382,392006,0 85,2,2024-09-07 08:45:10:870,255547,255547,0,0,12116211,0,3656 85,3,2024-09-07 08:45:10:692,1,201,11,0,789,2846,201,0 86,0,2024-09-07 08:45:10:912,52464,0.6,53817,0.8,103299,0.7,139115,2.00 86,1,2024-09-07 08:45:10:850,353504,353504,0,0,165826553710,1735920542,349316,3643,545,366,391961,0 86,2,2024-09-07 08:45:10:858,249760,249759,1,0,12298162,0,5004 86,3,2024-09-07 08:45:10:589,1,201,15,0,286,2810,201,0 87,0,2024-09-07 08:45:11:294,53101,1.2,52809,1.0,106216,1.7,142051,2.50 87,1,2024-09-07 08:45:10:571,353386,353386,0,0,165141974134,1730257374,349473,3452,461,366,391788,0 87,2,2024-09-07 08:45:11:075,253335,253335,0,0,10760026,0,3515 87,3,2024-09-07 08:45:11:795,1,201,0,0,473,3577,201,0 88,0,2024-09-07 08:45:11:454,47550,0.6,47746,0.7,95339,0.7,126496,1.75 88,1,2024-09-07 08:45:10:572,351939,351939,0,0,165174526162,1737587066,346219,4250,1470,365,392084,0 88,2,2024-09-07 08:45:10:698,256716,256716,0,0,13574362,0,3583 88,3,2024-09-07 08:45:11:271,1,201,10,0,435,2575,201,0 89,0,2024-09-07 08:45:11:849,50117,0.4,48551,0.7,96059,0.4,130659,1.75 89,1,2024-09-07 08:45:10:550,351424,351424,0,0,164991449575,1744451993,345435,5044,945,382,391866,0 89,2,2024-09-07 08:45:11:132,254653,254653,0,0,12636004,0,2910 89,3,2024-09-07 08:45:11:799,1,201,13,0,325,3988,201,0 90,0,2024-09-07 08:45:11:644,51231,0.5,52317,0.6,107389,0.4,139380,1.75 90,1,2024-09-07 08:45:10:596,353456,353456,0,0,165159849087,1731490332,350132,3064,260,381,391825,0 90,2,2024-09-07 08:45:11:407,249934,249934,0,0,13409867,0,3060 90,3,2024-09-07 08:45:10:934,1,201,9,0,200,2249,201,0 91,0,2024-09-07 08:45:10:929,54138,0.5,52328,0.6,109252,0.4,143757,1.75 91,1,2024-09-07 08:45:10:582,352597,352597,0,0,165188409916,1738535481,347819,4132,646,381,392047,0 91,2,2024-09-07 08:45:11:335,252353,252353,0,0,11931887,0,2445 91,3,2024-09-07 08:45:10:608,1,201,6,0,216,2064,201,0 92,0,2024-09-07 08:45:11:511,49838,0.6,51139,0.8,97697,0.7,132306,1.75 92,1,2024-09-07 08:45:10:587,352922,352922,0,0,164482926126,1716807183,350665,1853,404,382,392136,0 92,2,2024-09-07 08:45:11:353,258702,258702,0,0,10437505,0,2801 92,3,2024-09-07 08:45:11:011,1,201,1,0,167,1700,201,0 93,0,2024-09-07 08:45:11:038,47002,0.4,48096,0.6,91896,0.4,125117,1.75 93,1,2024-09-07 08:45:10:834,353632,353632,0,0,165242827893,1725773891,350014,2993,625,366,391692,0 93,2,2024-09-07 08:45:10:935,255759,255759,0,0,10591250,0,2509 93,3,2024-09-07 08:45:11:406,1,201,3,0,143,2051,201,0 94,0,2024-09-07 08:45:11:639,51222,0.3,52027,0.5,103187,0.3,136779,1.75 94,1,2024-09-07 08:45:10:586,352924,352924,0,0,165803360551,1733382912,350128,2663,133,381,391850,0 94,2,2024-09-07 08:45:10:772,252748,252748,0,0,9905636,0,2443 94,3,2024-09-07 08:45:11:699,1,201,9,0,264,2865,201,0 95,0,2024-09-07 08:45:11:349,52699,0.3,52333,0.5,105738,0.3,139919,1.75 95,1,2024-09-07 08:45:10:860,353680,353680,0,0,165672982374,1723916129,350745,2597,338,367,391662,0 95,2,2024-09-07 08:45:11:016,251347,251347,0,0,10342455,0,3308 95,3,2024-09-07 08:45:11:717,1,201,72,0,718,3821,201,0 96,0,2024-09-07 08:45:11:039,51040,0.5,51314,0.6,102522,0.5,135401,1.75 96,1,2024-09-07 08:45:11:583,353432,353432,0,0,165255896623,1726660951,350684,2101,647,385,391894,0 96,2,2024-09-07 08:45:11:272,256395,256395,0,0,10698705,0,4042 96,3,2024-09-07 08:45:11:148,1,201,2,0,411,2541,201,0 97,0,2024-09-07 08:45:11:330,45066,0.4,44825,0.5,89917,0.3,119872,1.75 97,1,2024-09-07 08:45:10:799,354745,354745,0,0,165883133731,1722357317,351920,2272,553,367,392140,0 97,2,2024-09-07 08:45:10:615,255860,255860,0,0,10112638,0,3036 97,3,2024-09-07 08:45:10:577,1,201,4,0,165,2357,201,0 98,0,2024-09-07 08:45:11:710,50605,0.2,50577,0.4,101499,0.2,135144,1.50 98,1,2024-09-07 08:45:10:575,353551,353551,0,0,165681865028,1724881847,351686,1771,94,382,391997,0 98,2,2024-09-07 08:45:10:776,255466,255466,0,0,10232649,0,3080 98,3,2024-09-07 08:45:10:710,1,201,8,0,840,3522,201,0 99,0,2024-09-07 08:45:11:461,52566,0.3,52563,0.4,104889,0.3,140061,1.50 99,1,2024-09-07 08:45:11:727,354224,354224,0,0,165037044815,1723424327,350721,2764,739,381,391744,0 99,2,2024-09-07 08:45:11:422,253018,253018,0,0,9960535,0,2615 99,3,2024-09-07 08:45:10:587,1,201,3,0,129,1538,201,0 100,0,2024-09-07 08:45:11:460,52528,0.9,52573,1.1,104938,1.4,140041,2.50 100,1,2024-09-07 08:45:10:548,351610,351610,0,0,164346549094,1740179326,346023,4654,933,381,391989,0 100,2,2024-09-07 08:45:11:836,253829,253818,11,0,12185695,0,5417 100,3,2024-09-07 08:45:11:733,1,201,1,0,559,4074,201,0 101,0,2024-09-07 08:45:11:699,46751,2.2,45656,1.4,89239,2.3,122877,2.75 101,1,2024-09-07 08:45:10:550,352062,352062,0,0,164734139830,1739527617,346027,4512,1523,368,391769,0 101,2,2024-09-07 08:45:11:756,256032,256032,0,0,12923503,0,4644 101,3,2024-09-07 08:45:10:954,1,201,270,0,448,2813,201,0 102,0,2024-09-07 08:45:11:000,48255,0.7,49948,0.8,100277,0.6,132169,2.00 102,1,2024-09-07 08:45:11:143,352552,352552,0,0,164525062056,1730763280,347826,4008,718,369,391883,0 102,2,2024-09-07 08:45:11:745,255307,255253,54,0,12238772,0,6768 102,3,2024-09-07 08:45:11:616,1,201,13,0,410,2249,201,0 103,0,2024-09-07 08:45:11:617,54386,0.5,54397,0.7,102647,0.5,141052,2.00 103,1,2024-09-07 08:45:11:629,351770,351770,0,0,164834951684,1739519499,346327,4118,1325,381,391829,0 103,2,2024-09-07 08:45:10:584,250100,250100,0,0,10867200,0,2104 103,3,2024-09-07 08:45:10:785,1,201,1,0,916,3368,201,0 104,0,2024-09-07 08:45:11:016,53666,1.0,54081,1.1,106912,1.0,144274,2.50 104,1,2024-09-07 08:45:11:604,353352,353352,0,0,164967385038,1737461195,348000,4382,970,365,391948,0 104,2,2024-09-07 08:45:11:666,252295,252295,0,0,12433442,0,3941 104,3,2024-09-07 08:45:11:415,1,201,89,1,1245,5813,201,0 105,0,2024-09-07 08:45:11:063,48136,1.3,46741,1.3,97751,2.0,129062,3.50 105,1,2024-09-07 08:45:10:564,353468,353468,0,0,165045539107,1733011578,348589,4076,803,367,391797,0 105,2,2024-09-07 08:45:11:325,256415,256415,0,0,12045020,0,3509 105,3,2024-09-07 08:45:11:309,1,201,305,0,399,3825,201,0 106,0,2024-09-07 08:45:10:955,45701,0.8,46812,1.0,95862,0.9,125533,2.50 106,1,2024-09-07 08:45:11:754,353231,353231,0,0,164680167059,1731095290,347940,4814,477,369,391767,0 106,2,2024-09-07 08:45:10:785,253447,253447,0,0,12023502,0,2795 106,3,2024-09-07 08:45:10:704,1,201,12,0,470,3147,201,0 107,0,2024-09-07 08:45:11:144,52821,0.9,52749,0.9,105161,1.0,140331,2.00 107,1,2024-09-07 08:45:10:593,352282,352282,0,0,164299577027,1729943337,348074,3793,415,381,392234,0 107,2,2024-09-07 08:45:11:291,251615,251614,1,0,12057729,0,5024 107,3,2024-09-07 08:45:11:761,1,201,66,0,353,3102,201,0 108,0,2024-09-07 08:45:11:891,53095,0.4,53285,0.6,105636,0.4,141191,1.75 108,1,2024-09-07 08:45:11:296,353078,353078,0,0,165186091925,1723150583,350349,2415,314,368,391857,0 108,2,2024-09-07 08:45:11:757,251018,251018,0,0,11107846,0,2647 108,3,2024-09-07 08:45:11:334,1,201,11,0,667,3822,201,0 109,0,2024-09-07 08:45:11:878,50307,0.4,49783,0.6,99894,0.4,133701,1.75 109,1,2024-09-07 08:45:10:611,352637,352637,0,0,165297280918,1733865733,349631,2509,497,383,392132,0 109,2,2024-09-07 08:45:10:932,256397,256397,0,0,11253956,0,3617 109,3,2024-09-07 08:45:11:142,1,201,10,0,249,2607,201,0 110,0,2024-09-07 08:45:11:767,45460,0.4,44230,0.6,92207,0.3,121191,1.75 110,1,2024-09-07 08:45:11:655,353888,353888,0,0,165640016240,1720994473,351222,1899,767,370,391667,0 110,2,2024-09-07 08:45:11:304,255591,255591,0,0,10390124,0,2915 110,3,2024-09-07 08:45:10:694,1,201,17,0,406,2839,201,0 111,0,2024-09-07 08:45:11:419,51309,0.3,50913,0.4,101420,0.2,136145,1.50 111,1,2024-09-07 08:45:11:000,354572,354572,0,0,165843482885,1720170806,352759,1479,334,382,391690,0 111,2,2024-09-07 08:45:11:120,253869,253869,0,0,10668827,0,2763 111,3,2024-09-07 08:45:10:914,1,201,0,0,379,3021,201,0 112,0,2024-09-07 08:45:10:930,52441,0.3,52389,0.4,104760,0.2,139403,1.50 112,1,2024-09-07 08:45:10:841,354497,354497,0,0,165545006146,1718863883,352124,1947,426,380,391580,0 112,2,2024-09-07 08:45:11:134,250984,250983,1,0,10316980,0,5036 112,3,2024-09-07 08:45:10:615,1,201,106,0,282,2307,201,0 113,0,2024-09-07 08:45:10:877,51223,0.3,51154,0.5,102828,0.3,137278,1.75 113,1,2024-09-07 08:45:11:692,354768,354768,0,0,166118088590,1717270009,352325,1954,489,366,391661,0 113,2,2024-09-07 08:45:11:303,256840,256840,0,0,9410432,0,3813 113,3,2024-09-07 08:45:10:695,1,201,5,0,340,3444,201,0 114,0,2024-09-07 08:45:10:881,45729,0.5,46195,0.6,91144,0.4,122276,1.75 114,1,2024-09-07 08:45:10:719,353257,353257,0,0,166311600784,1735543351,348993,2780,1484,381,391534,0 114,2,2024-09-07 08:45:10:874,256350,256349,1,0,10845130,0,5069 114,3,2024-09-07 08:45:11:278,1,201,9,0,395,2266,201,0 115,0,2024-09-07 08:45:10:565,50017,0.2,50395,0.4,100728,0.2,133858,1.50 115,1,2024-09-07 08:45:10:572,353412,353412,0,0,165838742924,1726297090,349734,2857,821,382,391602,0 115,2,2024-09-07 08:45:11:125,255885,255885,0,0,9283121,0,2152 115,3,2024-09-07 08:45:11:003,1,201,1,0,159,1258,201,0 116,0,2024-09-07 08:45:11:705,52464,0.7,52198,0.9,104664,0.7,139804,2.00 116,1,2024-09-07 08:45:10:805,351401,351401,0,0,165025600795,1751955275,345464,3885,2052,380,391782,0 116,2,2024-09-07 08:45:11:754,250064,250064,0,0,12903856,0,3529 116,3,2024-09-07 08:45:10:915,1,201,6,0,252,2747,201,0 117,0,2024-09-07 08:45:10:970,53154,1.0,53133,1.0,106239,1.2,142145,2.00 117,1,2024-09-07 08:45:11:581,352940,352940,0,0,164937881855,1729083379,348690,3774,476,370,392033,0 117,2,2024-09-07 08:45:11:120,255767,255767,0,0,10212325,0,3700 117,3,2024-09-07 08:45:11:065,1,201,1,0,490,3730,201,0 118,0,2024-09-07 08:45:11:769,46284,0.8,47476,0.8,96702,0.9,126160,2.25 118,1,2024-09-07 08:45:10:609,352883,352883,0,0,164451374062,1733167811,347279,4177,1427,366,391736,0 118,2,2024-09-07 08:45:11:586,256774,256774,0,0,11839889,0,2781 118,3,2024-09-07 08:45:11:775,1,201,9,0,235,2461,201,0 119,0,2024-09-07 08:45:11:331,48286,0.5,48553,0.7,97677,0.4,129764,2.00 119,1,2024-09-07 08:45:10:556,353649,353649,0,0,165023956579,1722871240,350457,2885,307,368,391641,0 119,2,2024-09-07 08:45:11:263,255240,255240,0,0,10921056,0,3526 119,3,2024-09-07 08:45:11:325,1,201,1,0,443,3256,201,0 120,0,2024-09-07 08:45:11:554,52361,0.5,52347,0.8,105010,0.5,140035,2.00 120,1,2024-09-07 08:45:10:865,353189,353189,0,0,164788939244,1731131038,349103,3742,344,368,391961,0 120,2,2024-09-07 08:45:10:788,250541,250540,1,0,13279088,0,5281 120,3,2024-09-07 08:45:11:291,1,201,1,0,241,2849,201,0 121,0,2024-09-07 08:45:11:704,53578,1.2,53917,1.0,107542,1.6,143422,2.25 121,1,2024-09-07 08:45:11:676,353060,353060,0,0,165792148401,1736506231,349351,3307,402,367,391840,0 121,2,2024-09-07 08:45:11:134,251486,251486,0,0,12673508,0,4127 121,3,2024-09-07 08:45:10:739,1,201,13,0,269,2574,201,0 122,0,2024-09-07 08:45:11:778,49208,1.1,47952,1.1,100517,1.2,132263,2.25 122,1,2024-09-07 08:45:10:864,351994,351994,0,0,164790529647,1733684007,346760,4494,740,366,392130,0 122,2,2024-09-07 08:45:11:322,256344,256271,73,0,15337769,0,5989 122,3,2024-09-07 08:45:10:605,1,201,12,0,411,4566,201,0 123,0,2024-09-07 08:45:10:958,46690,0.7,45569,0.8,94952,0.8,124639,2.00 123,1,2024-09-07 08:45:10:566,352511,352511,0,0,165062608428,1746871849,344646,6305,1560,369,391823,0 123,2,2024-09-07 08:45:11:022,253147,253146,1,0,12303099,0,5215 123,3,2024-09-07 08:45:11:137,1,201,4,0,168,2474,201,0 124,0,2024-09-07 08:45:10:978,53004,0.3,52948,0.5,99913,0.2,137369,1.50 124,1,2024-09-07 08:45:11:022,354133,354133,0,0,164832133225,1714219318,351688,2087,358,367,392178,0 124,2,2024-09-07 08:45:11:014,253275,253275,0,0,10106710,0,3101 124,3,2024-09-07 08:45:10:768,1,201,1,0,490,2555,201,0 125,0,2024-09-07 08:45:11:430,52576,0.4,52495,0.5,105278,0.3,140041,1.75 125,1,2024-09-07 08:45:10:858,353218,353218,0,0,164776920870,1720027585,350701,2239,278,383,391702,0 125,2,2024-09-07 08:45:11:124,252101,252101,0,0,10037118,0,2180 125,3,2024-09-07 08:45:11:128,1,201,32,0,284,2527,201,0 126,0,2024-09-07 08:45:11:416,51197,0.6,52500,0.7,100533,0.7,136165,1.75 126,1,2024-09-07 08:45:10:618,354002,354002,0,0,166137233584,1724348338,351525,2218,259,365,391987,0 126,2,2024-09-07 08:45:10:626,257628,257628,0,0,10816025,0,3186 126,3,2024-09-07 08:45:10:926,1,201,8,0,150,2784,201,0 127,0,2024-09-07 08:45:11:596,44861,0.4,45133,0.5,89882,0.3,119751,1.75 127,1,2024-09-07 08:45:10:592,353695,353695,0,0,165595814916,1718085381,351008,2535,152,365,391816,0 127,2,2024-09-07 08:45:10:640,256072,256072,0,0,10124641,0,2264 127,3,2024-09-07 08:45:11:267,1,201,1,0,243,1750,201,0 128,0,2024-09-07 08:45:11:583,51040,0.3,50817,0.4,101655,0.2,135258,1.50 128,1,2024-09-07 08:45:11:616,354248,354248,0,0,165617362803,1716541315,352738,1401,109,367,391680,0 128,2,2024-09-07 08:45:11:389,254378,254378,0,0,9700563,0,2107 128,3,2024-09-07 08:45:10:780,1,201,6,0,1082,3798,201,0 129,0,2024-09-07 08:45:11:006,52882,0.3,52626,0.4,105129,0.3,139656,1.50 129,1,2024-09-07 08:45:10:584,352138,352138,0,0,165491161106,1736697490,348224,2987,927,379,391835,0 129,2,2024-09-07 08:45:10:697,251535,251535,0,0,9922502,0,4031 129,3,2024-09-07 08:45:10:695,1,201,5,0,469,2699,201,0 130,0,2024-09-07 08:45:11:729,52988,0.6,52850,0.7,105926,0.7,140912,1.75 130,1,2024-09-07 08:45:10:621,353911,353911,0,0,165884461513,1726950630,351707,2129,75,381,391825,0 130,2,2024-09-07 08:45:11:125,256228,256228,0,0,10057675,0,4067 130,3,2024-09-07 08:45:11:293,1,201,8,0,450,2297,201,0 131,0,2024-09-07 08:45:11:938,46015,0.5,46382,0.6,93342,0.5,122947,1.75 131,1,2024-09-07 08:45:11:820,354397,354397,0,0,165531763435,1722127702,352694,1497,206,383,391865,0 131,2,2024-09-07 08:45:10:571,258084,258084,0,0,9620359,0,2415 131,3,2024-09-07 08:45:11:697,1,201,1,0,392,2039,201,0 132,0,2024-09-07 08:45:11:417,49148,0.5,49709,0.7,99435,0.4,132606,2.00 132,1,2024-09-07 08:45:10:581,352352,352352,0,0,164505892722,1737588976,346569,4718,1065,381,392097,0 132,2,2024-09-07 08:45:10:699,254816,254816,0,0,12771970,0,4606 132,3,2024-09-07 08:45:11:691,1,201,1,0,356,3290,201,0 133,0,2024-09-07 08:45:11:543,51488,0.4,52765,0.6,108022,0.4,139878,1.75 133,1,2024-09-07 08:45:10:593,351619,351619,0,0,164322612544,1731895610,346888,4086,645,383,391914,0 133,2,2024-09-07 08:45:11:090,250097,250097,0,0,12619978,0,4315 133,3,2024-09-07 08:45:11:297,1,201,8,0,276,2131,201,0 134,0,2024-09-07 08:45:10:955,54067,0.5,54003,0.7,108527,0.5,144159,2.00 134,1,2024-09-07 08:45:10:608,352446,352446,0,0,164083844054,1723736024,347356,3807,1283,366,391718,0 134,2,2024-09-07 08:45:11:767,253016,253016,0,0,10856913,0,3847 134,3,2024-09-07 08:45:10:749,1,201,8,0,739,3336,201,0 135,0,2024-09-07 08:45:11:125,47049,1.2,47062,1.2,99884,1.4,128705,2.50 135,1,2024-09-07 08:45:11:586,351929,351929,0,0,165547856846,1741521123,347096,3936,897,380,391805,0 135,2,2024-09-07 08:45:10:687,257042,257042,0,0,12297241,0,3981 135,3,2024-09-07 08:45:11:001,1,201,1,0,299,1452,201,0 136,0,2024-09-07 08:45:11:644,47710,0.5,47658,0.7,95450,0.5,127192,2.25 136,1,2024-09-07 08:45:11:441,352104,352104,0,0,164499102664,1731435555,347136,4426,542,382,391641,0 136,2,2024-09-07 08:45:11:133,255874,255874,0,0,11813674,0,3506 136,3,2024-09-07 08:45:11:106,1,201,6,0,126,1723,201,0 137,0,2024-09-07 08:45:11:022,54330,0.7,52741,0.8,104139,0.7,141513,2.00 137,1,2024-09-07 08:45:10:642,351967,351967,0,0,164689420925,1732953987,345634,4963,1370,366,391708,0 137,2,2024-09-07 08:45:11:704,251417,251417,0,0,13441032,0,3185 137,3,2024-09-07 08:45:10:785,1,201,18,0,227,2180,201,0 138,0,2024-09-07 08:45:11:745,52487,1.0,52564,1.0,105637,1.2,140868,2.25 138,1,2024-09-07 08:45:11:723,352461,352461,0,0,165171177733,1734940466,346972,4602,887,368,391954,0 138,2,2024-09-07 08:45:10:594,252267,252267,0,0,11937720,0,4988 138,3,2024-09-07 08:45:10:610,1,201,9,0,1160,3521,201,0 139,0,2024-09-07 08:45:11:411,49030,2.6,49087,1.5,99132,3.8,132110,3.00 139,1,2024-09-07 08:45:10:624,350838,350838,0,0,164687371941,1753257813,343624,5339,1875,381,391892,0 139,2,2024-09-07 08:45:10:699,254969,254969,0,0,12547180,0,3097 139,3,2024-09-07 08:45:11:680,1,201,13,0,244,2175,201,0 140,0,2024-09-07 08:45:11:588,45654,0.3,45211,0.5,91187,0.3,121345,1.75 140,1,2024-09-07 08:45:11:538,354591,354591,0,0,166163103242,1713415071,352987,1364,240,365,391606,0 140,2,2024-09-07 08:45:10:686,256012,256012,0,0,10384685,0,3388 140,3,2024-09-07 08:45:10:781,1,201,1,0,247,1728,201,0 141,0,2024-09-07 08:45:11:708,51068,0.3,52421,0.4,100162,0.2,136175,1.50 141,1,2024-09-07 08:45:10:859,354613,354613,0,0,165604370562,1718452364,352587,1672,354,379,391614,0 141,2,2024-09-07 08:45:11:701,254354,254354,0,0,9790437,0,2342 141,3,2024-09-07 08:45:11:047,1,201,0,0,176,1668,201,0 142,0,2024-09-07 08:45:11:323,52854,0.3,52457,0.4,104315,0.2,139680,1.50 142,1,2024-09-07 08:45:10:608,353206,353206,0,0,165323820438,1724327677,351075,1909,222,383,391728,0 142,2,2024-09-07 08:45:11:299,250687,250655,32,0,11180363,0,6028 142,3,2024-09-07 08:45:11:746,1,201,13,0,484,2749,201,0 143,0,2024-09-07 08:45:11:412,51475,0.6,51369,0.7,103594,0.6,137052,1.75 143,1,2024-09-07 08:45:10:568,353865,353865,0,0,165064701206,1712911540,351651,2169,45,367,391619,0 143,2,2024-09-07 08:45:10:773,255791,255791,0,0,10561820,0,2669 143,3,2024-09-07 08:45:11:148,1,201,6,0,303,2925,201,0 144,0,2024-09-07 08:45:11:522,43811,0.7,45134,1.1,91522,0.6,120595,2.00 144,1,2024-09-07 08:45:10:568,352670,352670,0,0,164930168750,1727326652,350210,2255,205,381,391649,0 144,2,2024-09-07 08:45:11:768,256291,256291,0,0,10199295,0,3473 144,3,2024-09-07 08:45:11:767,1,201,21,0,249,2372,201,0 145,0,2024-09-07 08:45:11:380,48402,0.5,48442,0.7,102754,0.4,133488,2.00 145,1,2024-09-07 08:45:10:617,351149,351149,0,0,164865563438,1737092399,345946,4384,819,382,391698,0 145,2,2024-09-07 08:45:11:431,254096,254096,0,0,11747027,0,3903 145,3,2024-09-07 08:45:10:895,1,201,3,0,579,2737,201,0 146,0,2024-09-07 08:45:11:616,52429,0.5,51875,0.8,104660,0.5,138946,2.00 146,1,2024-09-07 08:45:11:592,353152,353152,0,0,165497727992,1742519072,346926,4843,1383,368,391770,0 146,2,2024-09-07 08:45:11:701,250517,250517,0,0,11283704,0,2498 146,3,2024-09-07 08:45:11:274,1,201,11,0,1520,5504,201,0 147,0,2024-09-07 08:45:11:704,53129,0.7,53073,0.8,105530,0.6,141760,2.25 147,1,2024-09-07 08:45:11:395,354166,354166,0,0,165428922500,1722593948,350622,2975,569,368,391791,0 147,2,2024-09-07 08:45:11:013,255193,255193,0,0,10212057,0,2789 147,3,2024-09-07 08:45:10:915,1,201,1,0,730,3138,201,0 0,0,2024-09-07 08:45:21:724,51173,0.5,51218,0.7,108596,0.5,140469,1.75 0,1,2024-09-07 08:45:20:801,355131,355131,0,0,166334033158,1741127072,352454,2476,201,372,391772,0 0,2,2024-09-07 08:45:21:068,252542,252542,0,0,10261573,0,4480 0,3,2024-09-07 08:45:20:973,1,202,1,0,247,2620,202,0 1,0,2024-09-07 08:45:21:782,54136,1.0,53752,1.0,108060,1.3,144239,2.25 1,1,2024-09-07 08:45:20:559,354706,354706,0,0,165054606185,1730629795,351202,2636,868,371,391857,0 1,2,2024-09-07 08:45:20:639,253629,253629,0,0,9961092,0,3267 1,3,2024-09-07 08:45:21:302,1,202,6,0,262,2469,202,0 2,0,2024-09-07 08:45:21:566,49596,0.9,49927,0.9,99043,1.3,132349,2.25 2,1,2024-09-07 08:45:20:859,355481,355481,0,0,166946417774,1735433856,353570,1693,218,380,391745,0 2,2,2024-09-07 08:45:21:266,258266,258266,0,0,10571041,0,3594 2,3,2024-09-07 08:45:20:691,1,202,11,0,357,2003,202,0 3,0,2024-09-07 08:45:21:744,46880,0.4,47025,0.6,93728,0.4,124788,2.00 3,1,2024-09-07 08:45:21:618,355160,355160,0,0,165970124513,1730156231,351935,2800,425,380,391523,0 3,2,2024-09-07 08:45:21:176,256448,256425,23,0,10526497,0,5851 3,3,2024-09-07 08:45:21:760,1,202,12,0,103,1250,202,0 4,0,2024-09-07 08:45:21:851,50209,0.3,51679,0.5,105070,0.3,138375,1.75 4,1,2024-09-07 08:45:20:617,354406,354406,0,0,165296253460,1736937589,350735,3078,593,371,391846,0 4,2,2024-09-07 08:45:21:018,252811,252811,0,0,12527471,0,4528 4,3,2024-09-07 08:45:21:030,1,202,9,0,448,3275,202,0 5,0,2024-09-07 08:45:21:428,52844,0.4,52989,0.6,105433,0.4,140666,1.75 5,1,2024-09-07 08:45:20:755,354480,354480,0,0,166213209712,1749518568,349871,3552,1057,368,392005,0 5,2,2024-09-07 08:45:21:829,251834,251834,0,0,10943494,0,2259 5,3,2024-09-07 08:45:21:748,1,202,0,0,278,2952,202,0 6,0,2024-09-07 08:45:20:960,51506,0.8,51018,0.9,101794,0.9,136339,2.25 6,1,2024-09-07 08:45:20:863,354941,354941,0,0,165960733096,1736062584,351011,3228,702,379,391634,0 6,2,2024-09-07 08:45:21:116,257791,257791,0,0,11082266,0,4816 6,3,2024-09-07 08:45:21:279,1,202,13,0,340,2618,202,0 7,0,2024-09-07 08:45:21:543,44759,0.4,44740,0.6,89388,0.3,119291,2.00 7,1,2024-09-07 08:45:20:854,353963,353963,0,0,165889578611,1740959675,349371,4002,590,382,391686,0 7,2,2024-09-07 08:45:20:770,256148,256148,0,0,10668141,0,2981 7,3,2024-09-07 08:45:20:855,1,202,1,0,305,2102,202,0 8,0,2024-09-07 08:45:21:346,50788,0.3,50979,0.5,101598,0.3,135942,1.50 8,1,2024-09-07 08:45:21:020,353784,353784,0,0,166250604567,1756631669,346726,5223,1835,367,391956,0 8,2,2024-09-07 08:45:20:790,252434,252434,0,0,13103236,0,2986 8,3,2024-09-07 08:45:20:588,1,202,2,0,357,2988,202,0 9,0,2024-09-07 08:45:21:137,52803,0.4,51225,0.5,106984,0.3,140212,1.75 9,1,2024-09-07 08:45:20:553,354103,354103,0,0,165736557909,1752361575,348117,4449,1537,370,392001,0 9,2,2024-09-07 08:45:21:094,252120,252120,0,0,11413105,0,3360 9,3,2024-09-07 08:45:21:769,1,202,11,0,496,3231,202,0 10,0,2024-09-07 08:45:21:599,52980,0.3,52612,0.5,105614,0.3,140466,1.75 10,1,2024-09-07 08:45:20:584,354539,354539,0,0,166104293284,1745148732,348802,4893,844,381,391741,0 10,2,2024-09-07 08:45:20:762,256765,256765,0,0,13042284,0,4264 10,3,2024-09-07 08:45:20:870,1,202,11,0,177,1556,202,0 11,0,2024-09-07 08:45:21:007,45595,0.5,44295,0.8,92794,0.5,123110,2.00 11,1,2024-09-07 08:45:20:571,354236,354236,0,0,165508479988,1747648058,346619,5704,1913,384,391537,0 11,2,2024-09-07 08:45:21:124,257329,257329,0,0,11874250,0,3411 11,3,2024-09-07 08:45:21:300,1,202,2,0,720,3039,202,0 12,0,2024-09-07 08:45:21:021,50197,0.3,49974,0.5,100187,0.3,133709,1.75 12,1,2024-09-07 08:45:20:948,355061,355061,0,0,165882193584,1732480400,351854,2788,419,370,391837,0 12,2,2024-09-07 08:45:21:545,256940,256940,0,0,11709235,0,3469 12,3,2024-09-07 08:45:21:060,1,202,1,0,386,3781,202,0 13,0,2024-09-07 08:45:21:330,53251,0.4,53059,0.5,106134,0.3,140928,1.75 13,1,2024-09-07 08:45:21:530,354037,354037,0,0,165417523202,1737367891,350946,2563,528,382,391717,0 13,2,2024-09-07 08:45:20:620,252746,252746,0,0,10537771,0,3287 13,3,2024-09-07 08:45:21:762,1,202,0,0,467,3601,202,0 14,0,2024-09-07 08:45:20:561,54406,0.4,54920,0.6,108570,0.4,144430,1.75 14,1,2024-09-07 08:45:21:561,356808,356808,0,0,166787690901,1728938377,354268,2351,189,364,391571,0 14,2,2024-09-07 08:45:20:764,254812,254812,0,0,10744821,0,2896 14,3,2024-09-07 08:45:21:115,1,202,8,0,1168,3203,202,0 15,0,2024-09-07 08:45:21:552,48483,0.7,48851,0.9,97554,0.7,129656,2.25 15,1,2024-09-07 08:45:21:614,355246,355246,0,0,166478502411,1732242385,353006,2096,144,381,391619,0 15,2,2024-09-07 08:45:20:997,259067,259067,0,0,9334927,0,3043 15,3,2024-09-07 08:45:21:405,1,202,5,0,1126,4722,202,0 16,0,2024-09-07 08:45:20:955,47758,0.5,48146,0.7,95490,0.5,127775,2.00 16,1,2024-09-07 08:45:20:568,355609,355609,0,0,166268309505,1738607701,352776,2560,273,370,391756,0 16,2,2024-09-07 08:45:21:436,256261,256261,0,0,11628618,0,4719 16,3,2024-09-07 08:45:21:147,1,202,3,0,317,2844,202,0 17,0,2024-09-07 08:45:21:766,54656,0.5,53281,0.7,104438,0.5,141650,2.00 17,1,2024-09-07 08:45:20:568,354098,354098,0,0,165859594696,1743177696,350076,3227,795,368,391688,0 17,2,2024-09-07 08:45:21:667,255288,255288,0,0,10238201,0,2857 17,3,2024-09-07 08:45:20:582,1,202,5,0,268,3214,202,0 18,0,2024-09-07 08:45:20:943,52572,0.8,52838,0.9,105557,0.8,141199,2.50 18,1,2024-09-07 08:45:21:641,355152,355152,0,0,166699489738,1728630780,352968,1949,235,367,391564,0 18,2,2024-09-07 08:45:21:760,254034,254034,0,0,10205956,0,3541 18,3,2024-09-07 08:45:20:896,1,202,12,0,163,2134,202,0 19,0,2024-09-07 08:45:21:542,49706,0.9,50255,0.9,99151,1.0,132195,2.50 19,1,2024-09-07 08:45:20:570,355323,355323,0,0,167167918839,1740089333,351041,3508,774,367,391777,0 19,2,2024-09-07 08:45:21:752,259650,259650,0,0,9582760,0,3988 19,3,2024-09-07 08:45:21:129,1,202,2,0,524,1695,202,0 20,0,2024-09-07 08:45:21:357,45571,0.5,45504,0.7,91404,0.5,122310,2.00 20,1,2024-09-07 08:45:20:568,354407,354407,0,0,166107331896,1740483570,350763,3250,394,369,391822,0 20,2,2024-09-07 08:45:20:928,256410,256410,0,0,10873016,0,3721 20,3,2024-09-07 08:45:20:590,1,202,1,0,414,4208,202,0 21,0,2024-09-07 08:45:21:190,51421,0.4,51605,0.6,102951,0.3,136362,1.75 21,1,2024-09-07 08:45:21:536,353323,353323,0,0,164870580986,1739518828,348300,3954,1069,368,391962,0 21,2,2024-09-07 08:45:21:100,254279,254279,0,0,12043146,0,3747 21,3,2024-09-07 08:45:21:404,1,202,21,0,103,2315,202,0 22,0,2024-09-07 08:45:21:730,52483,0.7,52455,0.8,104517,0.7,139341,2.25 22,1,2024-09-07 08:45:21:023,353998,353998,0,0,165568547613,1744744579,348090,4389,1519,382,391667,0 22,2,2024-09-07 08:45:20:760,252601,252601,0,0,10140902,0,3134 22,3,2024-09-07 08:45:21:068,1,202,8,0,228,2053,202,0 23,0,2024-09-07 08:45:21:367,51203,0.7,51231,0.8,102889,0.9,136950,2.25 23,1,2024-09-07 08:45:21:003,354542,354542,0,0,166353705347,1749442869,348131,3986,2425,365,391549,0 23,2,2024-09-07 08:45:21:102,257090,257090,0,0,10502301,0,3010 23,3,2024-09-07 08:45:21:758,1,202,1,0,645,2532,202,0 24,0,2024-09-07 08:45:20:822,46078,0.5,46110,0.6,92260,0.5,122713,1.75 24,1,2024-09-07 08:45:20:598,354736,354736,0,0,165330188261,1733872234,350604,3104,1028,369,391640,0 24,2,2024-09-07 08:45:21:069,256278,256278,0,0,13070146,0,3607 24,3,2024-09-07 08:45:21:693,1,202,38,0,468,3009,202,0 25,0,2024-09-07 08:45:21:364,51852,0.4,50635,0.6,99301,0.4,135663,2.00 25,1,2024-09-07 08:45:20:567,354929,354929,0,0,166320486917,1743777887,350996,3387,546,371,391788,0 25,2,2024-09-07 08:45:21:608,254882,254882,0,0,13137335,0,3978 25,3,2024-09-07 08:45:20:999,1,202,11,0,255,2357,202,0 26,0,2024-09-07 08:45:21:724,52334,0.4,51186,0.6,107354,0.4,139579,1.75 26,1,2024-09-07 08:45:21:541,355237,355237,0,0,164965143047,1732475651,349740,4516,981,381,391748,0 26,2,2024-09-07 08:45:20:867,252403,252403,0,0,11900892,0,2809 26,3,2024-09-07 08:45:21:721,1,202,8,0,796,2956,202,0 27,0,2024-09-07 08:45:21:736,53764,0.5,53609,0.7,106272,0.5,141909,2.00 27,1,2024-09-07 08:45:21:686,356349,356349,0,0,166954396815,1735647384,354048,1972,329,381,391558,0 27,2,2024-09-07 08:45:20:867,254037,253972,65,0,13083639,0,5699 27,3,2024-09-07 08:45:21:015,1,202,2,0,564,2172,202,0 28,0,2024-09-07 08:45:21:390,47445,0.6,47547,0.8,95583,0.8,126864,2.25 28,1,2024-09-07 08:45:20:800,355768,355768,0,0,167177086264,1743254212,353052,2246,470,383,391646,0 28,2,2024-09-07 08:45:21:770,258804,258804,0,0,10572616,0,2915 28,3,2024-09-07 08:45:21:784,1,202,0,0,502,2336,202,0 29,0,2024-09-07 08:45:21:370,50256,0.3,49221,0.5,96018,0.2,131530,1.75 29,1,2024-09-07 08:45:21:561,356545,356545,0,0,166749463672,1728673383,353583,2399,563,369,391621,0 29,2,2024-09-07 08:45:20:860,256270,256270,0,0,9565074,0,4018 29,3,2024-09-07 08:45:20:966,1,202,28,0,115,1537,202,0 30,0,2024-09-07 08:45:21:460,52523,0.7,51117,0.8,107054,0.6,139869,2.00 30,1,2024-09-07 08:45:20:573,356095,356095,0,0,167273392387,1735965417,353931,1871,293,382,391672,0 30,2,2024-09-07 08:45:21:275,253055,253055,0,0,9374421,0,3161 30,3,2024-09-07 08:45:20:586,1,202,8,0,195,1428,202,0 31,0,2024-09-07 08:45:21:767,53532,0.5,53957,0.6,108414,0.4,144017,2.00 31,1,2024-09-07 08:45:20:571,357470,357470,0,0,167274560878,1715892984,356386,927,157,356,391712,0 31,2,2024-09-07 08:45:21:276,253344,253344,0,0,11038969,0,3525 31,3,2024-09-07 08:45:21:722,1,202,20,0,220,1783,202,0 32,0,2024-09-07 08:45:21:439,49495,0.4,50004,0.6,100074,0.4,132833,1.75 32,1,2024-09-07 08:45:20:817,356016,356016,0,0,167075997736,1734391179,354172,1568,276,381,391595,0 32,2,2024-09-07 08:45:20:934,259226,259226,0,0,9302529,0,3155 32,3,2024-09-07 08:45:21:015,1,202,10,0,227,1640,202,0 33,0,2024-09-07 08:45:21:508,47382,0.3,46827,0.5,94294,0.2,125661,1.75 33,1,2024-09-07 08:45:20:578,356753,356753,0,0,167271872904,1729216059,354428,2153,172,369,391730,0 33,2,2024-09-07 08:45:20:759,256954,256921,33,0,11308687,0,7012 33,3,2024-09-07 08:45:20:895,1,202,63,0,329,2479,202,0 34,0,2024-09-07 08:45:20:940,51840,0.3,53530,0.4,102440,0.2,137560,1.50 34,1,2024-09-07 08:45:21:045,356939,356939,0,0,167863197604,1727661481,355892,1040,7,367,391562,0 34,2,2024-09-07 08:45:20:768,253482,253482,0,0,10399852,0,3577 34,3,2024-09-07 08:45:21:698,1,202,8,0,148,1373,202,0 35,0,2024-09-07 08:45:20:860,52301,0.4,52752,0.5,105752,0.3,140804,1.75 35,1,2024-09-07 08:45:21:068,354877,354877,0,0,166746987560,1732269567,351635,2463,779,384,391589,0 35,2,2024-09-07 08:45:21:596,253981,253981,0,0,9179246,0,2653 35,3,2024-09-07 08:45:20:908,1,202,4,0,418,2360,202,0 36,0,2024-09-07 08:45:21:557,51239,0.8,51171,0.9,102455,0.9,135963,2.50 36,1,2024-09-07 08:45:20:586,355170,355170,0,0,165668262829,1734259364,350148,3712,1310,366,391759,0 36,2,2024-09-07 08:45:21:769,257376,257376,0,0,10999001,0,3303 36,3,2024-09-07 08:45:20:864,1,202,0,0,378,3081,202,0 37,0,2024-09-07 08:45:21:370,44801,0.4,44654,0.7,89462,0.4,120122,2.00 37,1,2024-09-07 08:45:20:576,354288,354281,0,7,165589270355,1738511236,349028,3223,2030,365,391560,0 37,2,2024-09-07 08:45:21:154,255955,255940,15,0,11462934,0,5815 37,3,2024-09-07 08:45:21:769,1,202,11,0,888,3914,202,0 38,0,2024-09-07 08:45:21:439,50724,0.4,49312,0.6,103205,0.3,135428,2.00 38,1,2024-09-07 08:45:21:605,356191,356191,0,0,166316387353,1737770642,351705,3841,645,368,391821,0 38,2,2024-09-07 08:45:20:760,254938,254891,47,0,12535832,0,6710 38,3,2024-09-07 08:45:20:997,1,202,7,0,689,3240,202,0 39,0,2024-09-07 08:45:21:775,53707,0.7,52804,0.7,102386,0.7,140091,2.00 39,1,2024-09-07 08:45:20:718,355767,355767,0,0,166705027633,1737999023,351606,3359,802,365,391524,0 39,2,2024-09-07 08:45:21:420,252206,252206,0,0,10277702,0,2689 39,3,2024-09-07 08:45:20:717,1,202,1,0,293,2800,202,0 40,0,2024-09-07 08:45:21:491,52203,0.8,52709,1.0,105529,0.9,139957,3.00 40,1,2024-09-07 08:45:20:578,354873,354873,0,0,165777574475,1741059270,348817,4823,1233,368,391591,0 40,2,2024-09-07 08:45:21:303,255669,255668,1,0,13130116,0,5137 40,3,2024-09-07 08:45:21:146,1,202,1,0,333,2459,202,0 41,0,2024-09-07 08:45:21:024,45571,1.5,46543,1.4,89068,2.8,121694,3.75 41,1,2024-09-07 08:45:20:768,354569,354569,0,0,166410744059,1742738979,349728,4280,561,370,391742,0 41,2,2024-09-07 08:45:20:760,255989,255989,0,0,13021789,0,3356 41,3,2024-09-07 08:45:21:680,1,202,3,0,366,2395,202,0 42,0,2024-09-07 08:45:21:477,49466,0.7,49653,0.9,99072,0.6,131743,2.50 42,1,2024-09-07 08:45:21:439,353480,353480,0,0,166078687229,1746333959,347897,4509,1074,380,391675,0 42,2,2024-09-07 08:45:21:135,255875,255875,0,0,12054912,0,3568 42,3,2024-09-07 08:45:21:009,1,202,22,0,446,1718,202,0 43,0,2024-09-07 08:45:20:918,52608,0.8,51262,0.9,107058,0.8,140543,2.25 43,1,2024-09-07 08:45:20:579,355380,355380,0,0,166999471191,1742284625,351301,3282,797,366,391696,0 43,2,2024-09-07 08:45:21:746,252602,252602,0,0,11095702,0,3812 43,3,2024-09-07 08:45:21:751,1,202,1,0,467,2749,202,0 44,0,2024-09-07 08:45:20:862,54179,0.5,54524,0.7,108731,0.4,144944,1.75 44,1,2024-09-07 08:45:20:566,356066,356066,0,0,165941074083,1715169930,353820,1821,425,356,391809,0 44,2,2024-09-07 08:45:21:267,254049,254049,0,0,9448033,0,1877 44,3,2024-09-07 08:45:21:101,1,202,1,0,817,2289,202,0 45,0,2024-09-07 08:45:21:771,48121,0.9,47081,1.0,98912,0.9,130372,2.00 45,1,2024-09-07 08:45:21:005,355643,355643,0,0,165896420998,1720114229,354352,1281,10,382,391917,0 45,2,2024-09-07 08:45:21:267,259067,259067,0,0,10357967,0,3596 45,3,2024-09-07 08:45:20:935,1,202,1,0,267,2141,202,0 46,0,2024-09-07 08:45:20:962,47814,0.4,47690,0.6,95536,0.4,127467,2.00 46,1,2024-09-07 08:45:20:609,356406,356406,0,0,165755550814,1713860523,354231,1903,272,366,391524,0 46,2,2024-09-07 08:45:20:619,257022,257022,0,0,10023367,0,2920 46,3,2024-09-07 08:45:21:134,1,202,8,0,908,3305,202,0 47,0,2024-09-07 08:45:21:108,53305,0.4,53297,0.6,107054,0.4,141631,1.75 47,1,2024-09-07 08:45:20:566,356847,356847,0,0,165921447993,1712596548,355315,1515,17,366,391605,0 47,2,2024-09-07 08:45:20:908,254520,254520,0,0,9899411,0,2558 47,3,2024-09-07 08:45:21:115,1,202,1,0,529,2156,202,0 48,0,2024-09-07 08:45:21:510,53577,0.3,53267,0.4,106252,0.2,142002,1.50 48,1,2024-09-07 08:45:21:022,356612,356612,0,0,166399737411,1727269438,354540,1891,181,384,391710,0 48,2,2024-09-07 08:45:20:703,253751,253751,0,0,9045405,0,3031 48,3,2024-09-07 08:45:20:753,1,202,7,0,339,1821,202,0 49,0,2024-09-07 08:45:21:715,51580,0.5,50586,0.6,98214,0.4,134220,1.75 49,1,2024-09-07 08:45:21:021,354427,354427,0,0,165923678361,1728507455,351573,2012,842,382,391610,0 49,2,2024-09-07 08:45:21:797,259279,259279,0,0,9941855,0,3900 49,3,2024-09-07 08:45:21:419,1,202,1,0,408,2546,202,0 50,0,2024-09-07 08:45:21:510,45862,0.3,45314,0.5,91179,0.2,122279,1.75 50,1,2024-09-07 08:45:21:009,357123,357123,0,0,167193868976,1732105585,354468,2345,310,368,391530,0 50,2,2024-09-07 08:45:21:069,256848,256848,0,0,9582788,0,2263 50,3,2024-09-07 08:45:21:293,1,202,9,0,335,1761,202,0 51,0,2024-09-07 08:45:21:695,52613,0.2,51519,0.4,100476,0.2,137106,1.50 51,1,2024-09-07 08:45:21:682,356230,356230,0,0,167128385638,1728357875,353625,1666,939,365,391637,0 51,2,2024-09-07 08:45:21:321,254664,254664,0,0,8781340,0,3337 51,3,2024-09-07 08:45:21:028,1,202,1,0,678,1783,202,0 52,0,2024-09-07 08:45:21:419,53018,0.5,52834,0.6,105402,0.4,140209,2.00 52,1,2024-09-07 08:45:20:577,354665,354665,0,0,164966263768,1734490195,348408,5097,1160,368,391722,0 52,2,2024-09-07 08:45:21:761,251207,251169,38,0,12007146,0,6742 52,3,2024-09-07 08:45:20:679,1,202,9,0,1782,3818,202,0 53,0,2024-09-07 08:45:21:756,51092,0.9,49693,0.9,104106,1.0,136205,2.50 53,1,2024-09-07 08:45:20:776,353903,353903,0,0,165863237355,1745546209,347689,4179,2035,367,391702,0 53,2,2024-09-07 08:45:21:301,257056,257056,0,0,10226614,0,2262 53,3,2024-09-07 08:45:20:702,1,202,1,0,271,1861,202,0 54,0,2024-09-07 08:45:21:625,44610,1.3,45039,1.0,89288,0.6,120119,3.00 54,1,2024-09-07 08:45:20:580,355247,355247,0,0,166578302012,1735297766,351134,3542,571,367,391659,0 54,2,2024-09-07 08:45:20:866,256817,256811,6,0,11623610,0,5382 54,3,2024-09-07 08:45:20:763,1,202,8,0,676,3448,202,0 55,0,2024-09-07 08:45:21:771,48931,0.5,50643,0.7,102006,0.4,133324,2.25 55,1,2024-09-07 08:45:20:765,354564,354564,0,0,165163331589,1725364809,349071,4706,787,365,391731,0 55,2,2024-09-07 08:45:20:731,255089,255089,0,0,11534565,0,3275 55,3,2024-09-07 08:45:20:679,1,202,2,0,304,2640,202,0 56,0,2024-09-07 08:45:21:584,53742,1.3,50674,1.1,104311,1.8,139490,2.50 56,1,2024-09-07 08:45:20:570,354192,354192,0,0,166295446996,1758594181,348478,4771,943,381,391678,0 56,2,2024-09-07 08:45:21:309,252033,252033,0,0,12256719,0,3567 56,3,2024-09-07 08:45:21:059,1,202,1,0,705,3305,202,0 57,0,2024-09-07 08:45:20:943,52550,1.9,52709,1.4,105357,2.7,140852,3.25 57,1,2024-09-07 08:45:20:988,354755,354755,0,0,165454035777,1734300548,350805,3443,507,367,391960,0 57,2,2024-09-07 08:45:21:324,255488,255488,0,0,13213862,0,3317 57,3,2024-09-07 08:45:21:747,1,202,4,0,359,2992,202,0 58,0,2024-09-07 08:45:20:562,46460,0.9,45174,1.1,94625,1.0,123419,2.50 58,1,2024-09-07 08:45:20:645,355267,355264,0,3,166452158174,1742164502,350112,4458,694,367,391545,3 58,2,2024-09-07 08:45:21:071,258529,258529,0,0,11975647,0,2549 58,3,2024-09-07 08:45:21:068,1,202,12,0,1043,2563,202,0 59,0,2024-09-07 08:45:21:752,49165,0.7,48814,0.9,97670,0.7,130342,2.75 59,1,2024-09-07 08:45:20:805,354970,354970,0,0,166234265078,1739613491,350684,3303,983,369,391525,0 59,2,2024-09-07 08:45:20:583,257598,257598,0,0,10950203,0,2604 59,3,2024-09-07 08:45:21:744,1,202,6,0,1015,3259,202,0 60,0,2024-09-07 08:45:21:741,52945,0.5,52706,0.6,105957,0.5,140583,1.75 60,1,2024-09-07 08:45:20:786,356434,356434,0,0,167156708339,1734953633,354202,1719,513,370,391761,0 60,2,2024-09-07 08:45:21:141,252543,252543,0,0,11156922,0,3811 60,3,2024-09-07 08:45:21:269,1,202,8,0,124,1893,202,0 61,0,2024-09-07 08:45:21:503,53957,0.8,54158,0.9,108025,1.0,144259,2.00 61,1,2024-09-07 08:45:20:774,355133,355133,0,0,166074119013,1741058418,350999,3491,643,382,391880,0 61,2,2024-09-07 08:45:21:116,254686,254686,0,0,10466230,0,2090 61,3,2024-09-07 08:45:21:692,1,202,1,0,265,2438,202,0 62,0,2024-09-07 08:45:21:728,49999,1.0,51118,0.9,97385,1.3,132921,2.25 62,1,2024-09-07 08:45:21:111,357271,357265,0,6,167272243927,1726801204,355123,2032,110,365,391715,6 62,2,2024-09-07 08:45:21:650,257677,257676,1,0,11770332,0,5555 62,3,2024-09-07 08:45:21:150,1,202,12,0,287,1450,202,0 63,0,2024-09-07 08:45:21:454,47108,0.5,47051,0.7,94169,0.5,125407,2.00 63,1,2024-09-07 08:45:20:806,356757,356751,0,6,166913556854,1730417942,355230,1473,48,381,391677,6 63,2,2024-09-07 08:45:20:763,256726,256726,0,0,9709476,0,2674 63,3,2024-09-07 08:45:21:732,1,202,1,0,667,2614,202,0 64,0,2024-09-07 08:45:21:527,51461,0.4,51650,0.6,103494,0.3,137776,1.75 64,1,2024-09-07 08:45:20:757,354982,354982,0,0,166757133683,1742573063,350805,2763,1414,370,391783,0 64,2,2024-09-07 08:45:21:157,255915,255896,19,0,10527152,0,6121 64,3,2024-09-07 08:45:21:152,1,202,5,0,265,2119,202,0 65,0,2024-09-07 08:45:21:753,52204,0.7,52665,0.8,104964,0.7,139970,2.25 65,1,2024-09-07 08:45:20:866,354380,354380,0,0,165905568000,1737652948,351094,2843,443,382,391901,0 65,2,2024-09-07 08:45:21:696,253172,253172,0,0,10839364,0,3367 65,3,2024-09-07 08:45:21:693,1,202,54,0,163,1906,202,0 66,0,2024-09-07 08:45:21:771,50683,0.6,50793,0.8,101999,0.6,135182,2.25 66,1,2024-09-07 08:45:21:296,356226,356226,0,0,166800240747,1732570451,354104,1945,177,380,391588,0 66,2,2024-09-07 08:45:21:136,260138,260138,0,0,10636114,0,4956 66,3,2024-09-07 08:45:21:080,1,202,8,0,291,2311,202,0 67,0,2024-09-07 08:45:21:413,45248,0.7,45070,0.8,90451,0.7,120165,2.25 67,1,2024-09-07 08:45:20:766,355332,355331,0,1,166549746802,1739027895,351824,2760,747,380,391787,1 67,2,2024-09-07 08:45:20:604,257783,257783,0,0,9864572,0,2889 67,3,2024-09-07 08:45:21:750,1,202,15,0,338,1736,202,0 68,0,2024-09-07 08:45:20:721,51130,0.5,51023,0.7,101557,0.4,136164,2.00 68,1,2024-09-07 08:45:20:571,354320,354320,0,0,165621252676,1738258383,350703,2480,1137,381,391953,0 68,2,2024-09-07 08:45:21:044,254554,254489,65,0,13319834,0,6698 68,3,2024-09-07 08:45:20:730,1,202,1,0,417,2464,202,0 69,0,2024-09-07 08:45:21:739,52362,0.8,52608,0.9,104687,1.0,139481,2.25 69,1,2024-09-07 08:45:21:018,353245,353245,0,0,165802339024,1749993685,348753,3268,1224,384,391994,0 69,2,2024-09-07 08:45:21:735,252640,252640,0,0,11842350,0,3701 69,3,2024-09-07 08:45:20:761,1,202,0,0,698,3206,202,0 70,0,2024-09-07 08:45:21:536,52359,1.4,52322,1.2,105060,0.9,139635,2.50 70,1,2024-09-07 08:45:20:801,355723,355723,0,0,166992315804,1734876367,352726,2493,504,366,391725,0 70,2,2024-09-07 08:45:21:325,256567,256567,0,0,11334943,0,4044 70,3,2024-09-07 08:45:20:745,1,202,12,0,854,2562,202,0 71,0,2024-09-07 08:45:21:361,45510,1.6,45434,1.4,90685,2.2,121898,3.25 71,1,2024-09-07 08:45:21:596,355043,355043,0,0,166078357777,1737114764,350334,4157,552,368,391738,0 71,2,2024-09-07 08:45:21:069,258083,258083,0,0,11648657,0,2579 71,3,2024-09-07 08:45:21:750,1,202,3,0,644,3068,202,0 72,0,2024-09-07 08:45:21:053,51422,0.6,50314,0.8,98109,0.6,134199,2.25 72,1,2024-09-07 08:45:21:027,355252,355252,0,0,166784233286,1743330075,351071,3432,749,369,391819,0 72,2,2024-09-07 08:45:21:760,255179,255179,0,0,12998839,0,2570 72,3,2024-09-07 08:45:21:763,1,202,2,0,364,3578,202,0 73,0,2024-09-07 08:45:21:115,51652,0.4,52908,0.6,108359,0.4,140394,2.25 73,1,2024-09-07 08:45:20:768,355403,355403,0,0,166913820937,1735927526,352762,2427,214,367,391750,0 73,2,2024-09-07 08:45:21:746,252742,252742,0,0,12201398,0,3482 73,3,2024-09-07 08:45:20:972,1,202,1,0,274,3172,202,0 74,0,2024-09-07 08:45:21:324,54895,0.5,55946,0.7,106591,0.5,144459,2.25 74,1,2024-09-07 08:45:20:640,354847,354847,0,0,166310135976,1738442824,351037,3020,790,381,391681,0 74,2,2024-09-07 08:45:21:002,254453,254453,0,0,12406463,0,4253 74,3,2024-09-07 08:45:21:442,1,202,8,0,522,3056,202,0 75,0,2024-09-07 08:45:21:769,48924,0.8,48591,1.0,97577,0.8,130665,2.50 75,1,2024-09-07 08:45:21:595,354241,354241,0,0,165664816559,1736121372,349789,3790,662,381,391579,0 75,2,2024-09-07 08:45:21:354,258169,258169,0,0,12487569,0,4766 75,3,2024-09-07 08:45:21:067,1,202,7,0,702,3018,202,0 76,0,2024-09-07 08:45:20:612,47417,0.5,47089,0.7,93862,0.5,126633,2.50 76,1,2024-09-07 08:45:20:809,355370,355370,0,0,165726019925,1728961728,352913,1911,546,382,391692,0 76,2,2024-09-07 08:45:21:068,257581,257581,0,0,10507539,0,3784 76,3,2024-09-07 08:45:21:157,1,202,4,0,175,2237,202,0 77,0,2024-09-07 08:45:21:727,52982,0.6,53272,0.8,106272,0.6,140873,2.00 77,1,2024-09-07 08:45:20:834,355864,355864,0,0,165997322103,1733898642,353179,2454,231,383,391808,0 77,2,2024-09-07 08:45:21:280,252362,252362,0,0,10635064,0,3890 77,3,2024-09-07 08:45:21:094,1,202,3,0,305,2236,202,0 78,0,2024-09-07 08:45:21:717,53172,0.5,52865,0.7,106654,0.4,141201,2.00 78,1,2024-09-07 08:45:20:622,355434,355434,0,0,165709581104,1723985411,352505,2614,315,367,391646,0 78,2,2024-09-07 08:45:21:405,254345,254345,0,0,9678244,0,2114 78,3,2024-09-07 08:45:21:135,1,202,8,0,181,1974,202,0 79,0,2024-09-07 08:45:21:351,48667,0.5,49778,0.7,101938,0.5,132197,2.50 79,1,2024-09-07 08:45:20:582,356599,356599,0,0,166983317327,1729781092,353883,2380,336,369,391682,0 79,2,2024-09-07 08:45:21:069,259051,259051,0,0,9971665,0,3212 79,3,2024-09-07 08:45:20:749,1,202,0,0,418,3094,202,0 80,0,2024-09-07 08:45:21:094,45539,0.5,46855,0.7,89722,0.5,122038,2.00 80,1,2024-09-07 08:45:21:619,354630,354630,0,0,165977454344,1728558730,351919,2545,166,368,391791,0 80,2,2024-09-07 08:45:21:095,257128,257128,0,0,10310558,0,4433 80,3,2024-09-07 08:45:20:575,1,202,6,0,190,3049,202,0 81,0,2024-09-07 08:45:21:560,51458,0.4,52882,0.6,101135,0.4,136566,1.75 81,1,2024-09-07 08:45:21:653,355326,355326,0,0,165444372885,1730278645,352296,2673,357,382,391879,0 81,2,2024-09-07 08:45:21:125,254387,254387,0,0,10706551,0,3993 81,3,2024-09-07 08:45:21:118,1,202,66,0,374,2534,202,0 82,0,2024-09-07 08:45:21:540,52321,0.4,52703,0.6,105548,0.4,140186,1.75 82,1,2024-09-07 08:45:20:583,355625,355621,0,4,165983768623,1730641460,352894,2116,611,381,391558,4 82,2,2024-09-07 08:45:21:693,253280,253280,0,0,10041549,0,3986 82,3,2024-09-07 08:45:21:757,1,202,8,0,363,2516,202,0 83,0,2024-09-07 08:45:21:525,51610,0.6,51611,0.8,102445,0.7,136572,2.00 83,1,2024-09-07 08:45:20:552,354958,354958,0,0,165395103442,1727084022,352138,2594,226,382,391690,0 83,2,2024-09-07 08:45:20:764,256759,256759,0,0,9698087,0,3119 83,3,2024-09-07 08:45:20:749,1,202,1,0,250,2216,202,0 84,0,2024-09-07 08:45:21:768,45062,1.0,45086,1.1,90386,0.8,121099,2.50 84,1,2024-09-07 08:45:21:039,354401,354401,0,0,165712697093,1734773970,350410,3422,569,368,391967,0 84,2,2024-09-07 08:45:20:574,257215,257215,0,0,11748947,0,3801 84,3,2024-09-07 08:45:21:141,1,202,0,0,353,2741,202,0 85,0,2024-09-07 08:45:21:012,48767,0.6,48841,0.7,103399,0.5,134019,2.25 85,1,2024-09-07 08:45:20:560,353860,353860,0,0,165150280346,1749324693,347604,5158,1098,382,392006,0 85,2,2024-09-07 08:45:20:865,256807,256807,0,0,12167240,0,3656 85,3,2024-09-07 08:45:20:689,1,202,3,0,789,2849,202,0 86,0,2024-09-07 08:45:20:909,52582,0.6,53940,0.8,103533,0.7,139434,2.00 86,1,2024-09-07 08:45:20:828,355131,355131,0,0,166405286045,1741936449,350942,3644,545,366,391961,0 86,2,2024-09-07 08:45:20:853,251070,251069,1,0,12336791,0,5004 86,3,2024-09-07 08:45:20:592,1,202,8,0,286,2818,202,0 87,0,2024-09-07 08:45:21:285,53228,1.2,52930,1.0,106482,1.7,142379,2.50 87,1,2024-09-07 08:45:20:554,355063,355063,0,0,165881189837,1737916672,351150,3452,461,366,391788,0 87,2,2024-09-07 08:45:21:069,254539,254539,0,0,10949278,0,3515 87,3,2024-09-07 08:45:21:794,1,202,1,0,473,3578,202,0 88,0,2024-09-07 08:45:21:446,47592,0.6,47782,0.7,95413,0.7,126496,1.75 88,1,2024-09-07 08:45:20:569,353639,353639,0,0,165957277258,1745676819,347919,4250,1470,365,392084,0 88,2,2024-09-07 08:45:20:696,258131,258131,0,0,13614024,0,3583 88,3,2024-09-07 08:45:21:267,1,202,3,0,435,2578,202,0 89,0,2024-09-07 08:45:21:786,50526,0.4,48925,0.7,96787,0.4,131611,1.75 89,1,2024-09-07 08:45:20:566,353178,353178,0,0,165571877307,1750572455,347187,5046,945,382,391866,0 89,2,2024-09-07 08:45:21:136,255893,255893,0,0,12694635,0,2910 89,3,2024-09-07 08:45:21:797,1,202,3,0,325,3991,202,0 90,0,2024-09-07 08:45:21:627,51328,0.5,52397,0.6,107588,0.4,139620,1.75 90,1,2024-09-07 08:45:20:593,355249,355249,0,0,166122409197,1741357288,351925,3064,260,381,391825,0 90,2,2024-09-07 08:45:21:406,250932,250932,0,0,13434996,0,3060 90,3,2024-09-07 08:45:20:930,1,202,10,0,200,2259,202,0 91,0,2024-09-07 08:45:20:946,54371,0.5,52562,0.6,109723,0.4,144345,1.75 91,1,2024-09-07 08:45:20:561,354319,354319,0,0,166077300803,1747655463,349540,4133,646,381,392047,0 91,2,2024-09-07 08:45:21:331,253713,253713,0,0,12000893,0,2445 91,3,2024-09-07 08:45:20:631,1,202,45,0,216,2109,202,0 92,0,2024-09-07 08:45:21:453,50152,0.6,51485,0.8,98305,0.7,133169,1.75 92,1,2024-09-07 08:45:20:580,354617,354617,0,0,165540844581,1727973552,352341,1872,404,382,392136,0 92,2,2024-09-07 08:45:21:367,260031,260031,0,0,10488493,0,2801 92,3,2024-09-07 08:45:21:010,1,202,12,0,167,1712,202,0 93,0,2024-09-07 08:45:20:958,47301,0.4,48435,0.6,92536,0.4,125759,1.75 93,1,2024-09-07 08:45:20:822,355367,355367,0,0,166092029518,1734554991,351749,2993,625,366,391692,0 93,2,2024-09-07 08:45:20:929,257135,257135,0,0,10721515,0,2509 93,3,2024-09-07 08:45:21:406,1,202,1,0,143,2052,202,0 94,0,2024-09-07 08:45:21:609,51653,0.3,52514,0.5,104092,0.3,137957,1.75 94,1,2024-09-07 08:45:20:574,354701,354701,0,0,166852398940,1744244473,351905,2663,133,381,391850,0 94,2,2024-09-07 08:45:20:761,253399,253399,0,0,9932749,0,2443 94,3,2024-09-07 08:45:21:695,1,202,14,0,264,2879,202,0 95,0,2024-09-07 08:45:21:346,53010,0.3,52629,0.5,106412,0.3,140746,1.75 95,1,2024-09-07 08:45:20:860,355328,355328,0,0,166573074116,1733572164,352356,2633,339,367,391662,0 95,2,2024-09-07 08:45:21:028,252913,252913,0,0,10422792,0,3308 95,3,2024-09-07 08:45:21:713,1,202,4,0,718,3825,202,0 96,0,2024-09-07 08:45:21:027,51208,0.5,51485,0.6,102833,0.5,135800,1.75 96,1,2024-09-07 08:45:21:601,355149,355149,0,0,165828735981,1732760598,352401,2101,647,385,391894,0 96,2,2024-09-07 08:45:21:268,257831,257831,0,0,10829911,0,4042 96,3,2024-09-07 08:45:21:154,1,202,1,0,411,2542,202,0 97,0,2024-09-07 08:45:21:311,45287,0.4,45088,0.5,90443,0.3,120480,1.75 97,1,2024-09-07 08:45:20:763,356414,356414,0,0,166813838836,1732041269,353587,2274,553,367,392140,0 97,2,2024-09-07 08:45:20:618,256882,256882,0,0,10193084,0,3036 97,3,2024-09-07 08:45:20:593,1,202,56,0,165,2413,202,0 98,0,2024-09-07 08:45:21:722,51015,0.2,50962,0.4,102278,0.2,136150,1.50 98,1,2024-09-07 08:45:20:590,355264,355264,0,0,166358950107,1732499560,353346,1824,94,382,391997,0 98,2,2024-09-07 08:45:20:771,256502,256502,0,0,10296750,0,3080 98,3,2024-09-07 08:45:20:702,1,202,32,0,840,3554,202,0 99,0,2024-09-07 08:45:21:458,52734,0.3,52729,0.4,105200,0.3,140517,1.50 99,1,2024-09-07 08:45:21:726,355895,355895,0,0,165947400038,1733656982,352305,2851,739,381,391744,0 99,2,2024-09-07 08:45:21:420,254505,254505,0,0,10189132,0,2615 99,3,2024-09-07 08:45:20:584,1,202,29,0,129,1567,202,0 100,0,2024-09-07 08:45:21:476,52623,0.9,52687,1.1,105133,1.4,140279,2.50 100,1,2024-09-07 08:45:20:553,353382,353382,0,0,165254094335,1749989747,347742,4707,933,381,391989,0 100,2,2024-09-07 08:45:21:828,255175,255164,11,0,12238459,0,5417 100,3,2024-09-07 08:45:21:731,1,202,11,0,559,4085,202,0 101,0,2024-09-07 08:45:21:717,46841,2.2,45772,1.4,89429,2.2,123190,2.75 101,1,2024-09-07 08:45:20:553,353776,353776,0,0,165485383837,1747565549,347708,4545,1523,368,391769,0 101,2,2024-09-07 08:45:21:761,257046,257046,0,0,12958674,0,4644 101,3,2024-09-07 08:45:20:946,1,202,1,0,448,2814,202,0 102,0,2024-09-07 08:45:20:953,48711,0.7,50441,0.8,101245,0.6,133474,2.00 102,1,2024-09-07 08:45:21:142,354266,354266,0,0,165352024920,1739602395,349515,4033,718,369,391883,0 102,2,2024-09-07 08:45:21:746,256720,256666,54,0,12310759,0,6768 102,3,2024-09-07 08:45:21:614,1,202,11,0,410,2260,202,0 103,0,2024-09-07 08:45:21:593,54513,0.5,54506,0.7,102842,0.5,141359,2.00 103,1,2024-09-07 08:45:21:625,353542,353542,0,0,165550711429,1746949334,348099,4118,1325,381,391829,0 103,2,2024-09-07 08:45:20:591,251255,251255,0,0,11052656,0,2107 103,3,2024-09-07 08:45:20:756,1,202,3,0,916,3371,202,0 104,0,2024-09-07 08:45:21:010,53786,1.0,54207,1.1,107154,1.0,144523,2.50 104,1,2024-09-07 08:45:21:618,355144,355144,0,0,165942070871,1747383773,349791,4382,971,365,391948,0 104,2,2024-09-07 08:45:21:668,253527,253527,0,0,12575628,0,3941 104,3,2024-09-07 08:45:21:419,1,202,87,1,1245,5900,202,0 105,0,2024-09-07 08:45:21:067,48347,1.3,46933,1.3,98207,2.0,129572,3.50 105,1,2024-09-07 08:45:20:554,355255,355255,0,0,165828396779,1741526766,350325,4126,804,367,391797,0 105,2,2024-09-07 08:45:21:321,257875,257875,0,0,12091986,0,3509 105,3,2024-09-07 08:45:21:304,1,202,3,0,399,3828,202,0 106,0,2024-09-07 08:45:21:006,46129,0.8,47219,1.0,96665,0.9,126688,2.50 106,1,2024-09-07 08:45:21:770,355017,355017,0,0,165616178701,1740793860,349725,4815,477,369,391767,0 106,2,2024-09-07 08:45:20:768,254860,254860,0,0,12105587,0,2795 106,3,2024-09-07 08:45:20:680,1,202,15,0,470,3162,202,0 107,0,2024-09-07 08:45:21:105,53013,0.9,52942,0.9,105544,1.1,140640,2.00 107,1,2024-09-07 08:45:20:620,354045,354045,0,0,165264514145,1739949500,349835,3795,415,381,392234,0 107,2,2024-09-07 08:45:21:290,252295,252294,1,0,12093582,0,5024 107,3,2024-09-07 08:45:21:769,1,202,65,0,353,3167,202,0 108,0,2024-09-07 08:45:21:788,53408,0.4,53585,0.6,106266,0.4,141936,1.75 108,1,2024-09-07 08:45:21:306,354931,354931,0,0,165972369850,1731358046,352201,2416,314,368,391857,0 108,2,2024-09-07 08:45:21:763,252405,252405,0,0,11223617,0,2647 108,3,2024-09-07 08:45:21:330,1,202,749,0,749,4571,202,0 109,0,2024-09-07 08:45:21:752,50502,0.4,49997,0.6,100324,0.4,134259,1.75 109,1,2024-09-07 08:45:20:583,354385,354385,0,0,166175667541,1743117118,351378,2510,497,383,392132,0 109,2,2024-09-07 08:45:20:921,257938,257938,0,0,11421083,0,3617 109,3,2024-09-07 08:45:21:141,1,202,3,0,249,2610,202,0 110,0,2024-09-07 08:45:21:759,45836,0.4,44684,0.6,93065,0.3,122457,1.75 110,1,2024-09-07 08:45:21:650,355548,355548,0,0,166543585633,1731007116,352797,1983,768,370,391667,0 110,2,2024-09-07 08:45:21:307,256890,256890,0,0,10458271,0,2915 110,3,2024-09-07 08:45:20:691,1,202,8,0,406,2847,202,0 111,0,2024-09-07 08:45:21:412,51596,0.3,51161,0.4,102000,0.2,136884,1.50 111,1,2024-09-07 08:45:20:999,356264,356264,0,0,166658486132,1729009631,354450,1480,334,382,391690,0 111,2,2024-09-07 08:45:21:117,254802,254802,0,0,10736443,0,2763 111,3,2024-09-07 08:45:20:919,1,202,15,0,379,3036,202,0 112,0,2024-09-07 08:45:20:925,52724,0.3,52681,0.4,105339,0.2,140334,1.50 112,1,2024-09-07 08:45:20:824,356276,356276,0,0,166302300666,1727060949,353902,1947,427,380,391580,0 112,2,2024-09-07 08:45:21:137,252480,252479,1,0,10541760,0,5036 112,3,2024-09-07 08:45:20:615,1,202,9,0,282,2316,202,0 113,0,2024-09-07 08:45:20:872,51387,0.3,51313,0.5,103148,0.3,137765,1.75 113,1,2024-09-07 08:45:21:701,356499,356499,0,0,166866398688,1725279307,354039,1971,489,366,391661,0 113,2,2024-09-07 08:45:21:305,258163,258163,0,0,9536845,0,3813 113,3,2024-09-07 08:45:20:689,1,202,1,0,340,3445,202,0 114,0,2024-09-07 08:45:20:877,46016,0.5,46494,0.6,91774,0.4,123215,1.75 114,1,2024-09-07 08:45:20:717,355073,355073,0,0,167175895428,1745079371,350680,2909,1484,381,391534,0 114,2,2024-09-07 08:45:20:874,257447,257446,1,0,10947380,0,5069 114,3,2024-09-07 08:45:21:278,1,202,7,0,395,2273,202,0 115,0,2024-09-07 08:45:20:559,50479,0.2,50835,0.4,101559,0.2,134904,1.50 115,1,2024-09-07 08:45:20:571,355102,355102,0,0,166639699387,1735713618,351207,3074,821,382,391602,0 115,2,2024-09-07 08:45:21:125,257163,257163,0,0,9446688,0,2152 115,3,2024-09-07 08:45:21:002,1,202,2,0,159,1260,202,0 116,0,2024-09-07 08:45:21:724,52590,0.7,52306,0.9,104883,0.7,140127,2.00 116,1,2024-09-07 08:45:20:803,353098,353098,0,0,165627673055,1758885503,347054,3992,2052,380,391782,0 116,2,2024-09-07 08:45:21:761,251302,251302,0,0,12993384,0,3529 116,3,2024-09-07 08:45:20:918,1,202,1,0,252,2748,202,0 117,0,2024-09-07 08:45:20:963,53291,1.0,53271,1.0,106453,1.2,142480,2.00 117,1,2024-09-07 08:45:21:590,354609,354609,0,0,165687337728,1736959863,350356,3777,476,370,392033,0 117,2,2024-09-07 08:45:21:119,256957,256957,0,0,10401317,0,3700 117,3,2024-09-07 08:45:21:060,1,202,0,0,490,3730,202,0 118,0,2024-09-07 08:45:21:773,46326,0.8,47515,0.8,96764,0.9,126160,2.25 118,1,2024-09-07 08:45:20:600,354514,354514,0,0,165221016741,1741170612,348909,4178,1427,366,391736,0 118,2,2024-09-07 08:45:21:590,258099,258099,0,0,11874593,0,2781 118,3,2024-09-07 08:45:21:775,1,202,12,0,235,2473,202,0 119,0,2024-09-07 08:45:21:330,48643,0.5,48925,0.7,98415,0.4,130728,2.00 119,1,2024-09-07 08:45:20:576,355393,355393,0,0,165796340405,1731217970,352143,2942,308,368,391641,0 119,2,2024-09-07 08:45:21:262,256506,256506,0,0,11113425,0,3526 119,3,2024-09-07 08:45:21:325,1,202,3,0,443,3259,202,0 120,0,2024-09-07 08:45:21:550,52463,0.5,52429,0.8,105204,0.5,140254,2.00 120,1,2024-09-07 08:45:20:860,354924,354924,0,0,165479620707,1738313775,350837,3743,344,368,391961,0 120,2,2024-09-07 08:45:20:773,251511,251510,1,0,13298944,0,5281 120,3,2024-09-07 08:45:21:290,1,202,32,0,241,2881,202,0 121,0,2024-09-07 08:45:21:762,53826,1.2,54155,1.0,108020,1.6,143995,2.25 121,1,2024-09-07 08:45:21:666,354864,354864,0,0,166596374265,1744753457,351155,3307,402,367,391840,0 121,2,2024-09-07 08:45:21:153,252895,252895,0,0,12714107,0,4127 121,3,2024-09-07 08:45:20:740,1,202,1,0,269,2575,202,0 122,0,2024-09-07 08:45:21:778,49549,1.0,48258,1.0,101144,1.2,133115,2.25 122,1,2024-09-07 08:45:20:859,353694,353694,0,0,165379819020,1739746983,348459,4495,740,366,392130,0 122,2,2024-09-07 08:45:21:319,257774,257701,73,0,15441888,0,5989 122,3,2024-09-07 08:45:20:619,1,202,12,0,411,4578,202,0 123,0,2024-09-07 08:45:20:992,46995,0.7,45858,0.8,95564,0.8,125318,2.00 123,1,2024-09-07 08:45:20:566,354368,354368,0,0,166030929353,1756824821,346503,6305,1560,369,391823,0 123,2,2024-09-07 08:45:21:019,254550,254549,1,0,12366190,0,5215 123,3,2024-09-07 08:45:21:137,1,202,5,0,168,2479,202,0 124,0,2024-09-07 08:45:20:918,53506,0.3,53467,0.5,100840,0.2,138812,1.50 124,1,2024-09-07 08:45:21:022,355834,355834,0,0,165741757309,1723585369,353389,2087,358,367,392178,0 124,2,2024-09-07 08:45:21:011,253990,253990,0,0,10148697,0,3101 124,3,2024-09-07 08:45:20:758,1,202,5,0,490,2560,202,0 125,0,2024-09-07 08:45:21:454,52890,0.4,52795,0.5,105897,0.3,140883,1.75 125,1,2024-09-07 08:45:20:863,354979,354979,0,0,165603126245,1728592137,352462,2239,278,383,391702,0 125,2,2024-09-07 08:45:21:128,253631,253631,0,0,10173082,0,2180 125,3,2024-09-07 08:45:21:127,1,202,6,0,284,2533,202,0 126,0,2024-09-07 08:45:21:424,51343,0.6,52679,0.7,100855,0.7,136591,1.75 126,1,2024-09-07 08:45:20:559,355770,355770,0,0,167070787779,1734075404,353287,2224,259,365,391987,0 126,2,2024-09-07 08:45:20:623,259215,259215,0,0,10976958,0,3186 126,3,2024-09-07 08:45:20:910,1,202,7,0,150,2791,202,0 127,0,2024-09-07 08:45:21:629,45136,0.4,45419,0.5,90386,0.3,120374,1.75 127,1,2024-09-07 08:45:20:584,355462,355462,0,0,166533694380,1727733326,352775,2535,152,365,391816,0 127,2,2024-09-07 08:45:20:638,257169,257169,0,0,10194505,0,2264 127,3,2024-09-07 08:45:21:267,1,202,1,0,243,1751,202,0 128,0,2024-09-07 08:45:21:534,51431,0.3,51242,0.4,102382,0.2,136258,1.50 128,1,2024-09-07 08:45:21:615,355721,355721,0,0,166173849433,1723463009,353979,1589,153,367,391680,0 128,2,2024-09-07 08:45:21:384,255414,255414,0,0,9766630,0,2107 128,3,2024-09-07 08:45:20:768,1,202,1,0,1082,3799,202,0 129,0,2024-09-07 08:45:20:990,53048,0.3,52770,0.4,105463,0.3,140129,1.50 129,1,2024-09-07 08:45:20:569,353865,353865,0,0,166190589865,1744141570,349950,2988,927,379,391835,0 129,2,2024-09-07 08:45:20:688,252994,252994,0,0,10093301,0,4031 129,3,2024-09-07 08:45:20:688,1,202,249,0,469,2948,202,0 130,0,2024-09-07 08:45:21:732,53082,0.6,52958,0.7,106131,0.7,141163,1.75 130,1,2024-09-07 08:45:20:601,355592,355592,0,0,166612363224,1734934119,353360,2157,75,381,391825,0 130,2,2024-09-07 08:45:21:127,257462,257462,0,0,10134031,0,4067 130,3,2024-09-07 08:45:21:293,1,202,17,0,450,2314,202,0 131,0,2024-09-07 08:45:21:978,46117,0.5,46476,0.6,93571,0.5,123275,1.75 131,1,2024-09-07 08:45:21:834,355718,355718,0,0,166178515416,1730443185,353678,1717,323,383,391865,0 131,2,2024-09-07 08:45:20:573,259108,259108,0,0,9658152,0,2415 131,3,2024-09-07 08:45:21:698,1,202,31,0,392,2070,202,0 132,0,2024-09-07 08:45:21:419,49567,0.5,50207,0.7,100398,0.4,133984,2.00 132,1,2024-09-07 08:45:20:579,354034,354034,0,0,165294669166,1746910377,347962,4992,1080,381,392097,0 132,2,2024-09-07 08:45:20:703,255978,255978,0,0,12984364,0,4606 132,3,2024-09-07 08:45:21:754,1,202,6,0,356,3296,202,0 133,0,2024-09-07 08:45:21:524,51587,0.4,52852,0.6,108243,0.4,140179,1.75 133,1,2024-09-07 08:45:20:591,353443,353443,0,0,165212205295,1741673129,348631,4166,646,383,391914,0 133,2,2024-09-07 08:45:21:087,251255,251255,0,0,12699319,0,4315 133,3,2024-09-07 08:45:21:301,1,202,0,0,276,2131,202,0 134,0,2024-09-07 08:45:20:950,54183,0.5,54122,0.7,108764,0.5,144415,2.00 134,1,2024-09-07 08:45:20:590,354062,354062,0,0,164776197405,1731153570,348956,3823,1283,366,391718,0 134,2,2024-09-07 08:45:21:761,254247,254247,0,0,10962893,0,3847 134,3,2024-09-07 08:45:20:752,1,202,8,0,739,3344,202,0 135,0,2024-09-07 08:45:21:123,47262,1.2,47269,1.2,100312,1.4,129229,2.50 135,1,2024-09-07 08:45:21:650,353706,353706,0,0,166413612752,1750453933,348872,3937,897,380,391805,0 135,2,2024-09-07 08:45:20:716,258458,258458,0,0,12638617,0,3981 135,3,2024-09-07 08:45:21:011,1,202,1,0,299,1453,202,0 136,0,2024-09-07 08:45:21:651,48146,0.5,48086,0.7,96213,0.5,128363,2.25 136,1,2024-09-07 08:45:21:441,353949,353949,0,0,165484487055,1741628672,348981,4426,542,382,391641,0 136,2,2024-09-07 08:45:21:138,257303,257303,0,0,11849291,0,3506 136,3,2024-09-07 08:45:21:106,1,202,1,0,126,1724,202,0 137,0,2024-09-07 08:45:20:925,54549,0.7,52965,0.8,104558,0.7,141789,2.00 137,1,2024-09-07 08:45:20:582,353698,353698,0,0,165684506926,1743230380,347364,4964,1370,366,391708,0 137,2,2024-09-07 08:45:21:717,252111,252111,0,0,13465750,0,3185 137,3,2024-09-07 08:45:20:771,1,202,5,0,227,2185,202,0 138,0,2024-09-07 08:45:21:786,52778,1.0,52869,1.0,106231,1.2,141628,2.25 138,1,2024-09-07 08:45:21:713,354217,354217,0,0,165878317636,1742283409,348728,4602,887,368,391954,0 138,2,2024-09-07 08:45:20:591,253742,253742,0,0,12035526,0,4988 138,3,2024-09-07 08:45:20:617,1,202,12,0,1160,3533,202,0 139,0,2024-09-07 08:45:21:371,49229,2.6,49295,1.5,99538,3.8,132679,3.00 139,1,2024-09-07 08:45:20:585,352688,352688,0,0,165840607038,1765415860,345469,5344,1875,381,391892,0 139,2,2024-09-07 08:45:20:691,256534,256534,0,0,12623374,0,3097 139,3,2024-09-07 08:45:21:663,1,202,0,0,244,2175,202,0 140,0,2024-09-07 08:45:21:592,46106,0.3,45624,0.5,92044,0.3,122636,1.75 140,1,2024-09-07 08:45:21:536,356302,356302,0,0,166867514987,1721318595,354568,1494,240,365,391606,0 140,2,2024-09-07 08:45:20:689,257260,257260,0,0,10506613,0,3388 140,3,2024-09-07 08:45:20:767,1,202,1,0,247,1729,202,0 141,0,2024-09-07 08:45:21:701,51373,0.3,52708,0.4,100708,0.2,136930,1.50 141,1,2024-09-07 08:45:20:860,356348,356348,0,0,166477774014,1727621372,354322,1672,354,379,391614,0 141,2,2024-09-07 08:45:21:694,255299,255299,0,0,9832019,0,2342 141,3,2024-09-07 08:45:21:053,1,202,54,0,176,1722,202,0 142,0,2024-09-07 08:45:21:333,53189,0.3,52786,0.4,104958,0.2,140638,1.50 142,1,2024-09-07 08:45:20:590,355042,355042,0,0,166339918335,1734885275,352911,1909,222,383,391728,0 142,2,2024-09-07 08:45:21:300,252251,252219,32,0,11299257,0,6028 142,3,2024-09-07 08:45:21:748,1,202,8,0,484,2757,202,0 143,0,2024-09-07 08:45:21:374,51658,0.6,51551,0.7,103923,0.6,137561,1.75 143,1,2024-09-07 08:45:20:555,355541,355541,0,0,165887717135,1721817972,353286,2209,46,367,391619,0 143,2,2024-09-07 08:45:20:777,257213,257213,0,0,10614883,0,2669 143,3,2024-09-07 08:45:21:175,1,202,1,0,303,2926,202,0 144,0,2024-09-07 08:45:21:605,44100,0.7,45439,1.1,92147,0.6,121498,2.00 144,1,2024-09-07 08:45:20:567,354355,354355,0,0,165762381589,1736591602,351794,2355,206,381,391649,0 144,2,2024-09-07 08:45:21:755,257526,257526,0,0,10415351,0,3473 144,3,2024-09-07 08:45:21:742,1,202,3,0,249,2375,202,0 145,0,2024-09-07 08:45:21:388,48868,0.5,48901,0.7,103686,0.4,134605,2.00 145,1,2024-09-07 08:45:20:558,352958,352958,0,0,165597446870,1744634054,347755,4384,819,382,391698,0 145,2,2024-09-07 08:45:21:440,255350,255350,0,0,11787238,0,3903 145,3,2024-09-07 08:45:20:894,1,202,298,0,579,3035,202,0 146,0,2024-09-07 08:45:21:666,52532,0.5,52012,0.8,104897,0.5,139261,2.00 146,1,2024-09-07 08:45:21:596,354961,354961,0,0,166215015920,1749874460,348735,4843,1383,368,391770,0 146,2,2024-09-07 08:45:21:725,251830,251830,0,0,11329340,0,2498 146,3,2024-09-07 08:45:21:274,1,202,8,0,1520,5512,202,0 147,0,2024-09-07 08:45:21:708,53227,0.7,53188,0.8,105764,0.6,142080,2.25 147,1,2024-09-07 08:45:21:372,355927,355927,0,0,166370486118,1732844396,352274,3084,569,368,391791,0 147,2,2024-09-07 08:45:21:010,256623,256623,0,0,10304570,0,2789 147,3,2024-09-07 08:45:20:919,1,202,47,0,730,3185,202,0 0,0,2024-09-07 08:45:31:735,51244,0.5,51326,0.7,108791,0.5,140709,1.75 0,1,2024-09-07 08:45:30:806,356673,356673,0,0,167117311368,1749546028,353994,2478,201,372,391772,0 0,2,2024-09-07 08:45:31:076,253661,253661,0,0,10307557,0,4480 0,3,2024-09-07 08:45:30:974,1,203,2,0,247,2622,203,0 1,0,2024-09-07 08:45:31:761,54343,1.0,53966,1.0,108497,1.3,144805,2.25 1,1,2024-09-07 08:45:30:558,356506,356506,0,0,166022583093,1740988943,352987,2651,868,371,391857,0 1,2,2024-09-07 08:45:30:639,254853,254853,0,0,10035249,0,3267 1,3,2024-09-07 08:45:31:302,1,203,4,0,262,2473,203,0 2,0,2024-09-07 08:45:31:570,49933,0.9,50266,0.9,99732,1.3,133156,2.25 2,1,2024-09-07 08:45:30:879,357178,357178,0,0,167824109135,1745167925,355224,1736,218,380,391745,0 2,2,2024-09-07 08:45:31:275,259626,259626,0,0,10619622,0,3594 2,3,2024-09-07 08:45:30:700,1,203,8,0,357,2011,203,0 3,0,2024-09-07 08:45:31:742,47172,0.4,47313,0.6,94273,0.4,125489,2.00 3,1,2024-09-07 08:45:31:624,356576,356576,0,0,166813893875,1739898863,353091,3057,428,380,391523,0 3,2,2024-09-07 08:45:31:158,257915,257892,23,0,10619499,0,5851 3,3,2024-09-07 08:45:31:752,1,203,38,0,103,1288,203,0 4,0,2024-09-07 08:45:31:841,50701,0.4,52187,0.5,106174,0.3,139917,1.75 4,1,2024-09-07 08:45:30:591,356332,356332,0,0,166238512621,1747422452,352502,3155,675,371,391846,0 4,2,2024-09-07 08:45:31:069,253496,253496,0,0,12608310,0,4528 4,3,2024-09-07 08:45:31:062,1,203,15,0,448,3290,203,0 5,0,2024-09-07 08:45:31:433,53147,0.4,53329,0.6,106108,0.4,141520,1.75 5,1,2024-09-07 08:45:30:765,356329,356329,0,0,166878375698,1757237031,351661,3611,1057,368,392005,0 5,2,2024-09-07 08:45:31:835,253396,253396,0,0,11094184,0,2259 5,3,2024-09-07 08:45:31:733,1,203,10,0,278,2962,203,0 6,0,2024-09-07 08:45:30:926,51666,0.8,51173,0.9,102083,0.9,136748,2.25 6,1,2024-09-07 08:45:30:767,356826,356826,0,0,166675772650,1744757071,352627,3394,805,379,391634,0 6,2,2024-09-07 08:45:31:116,259287,259287,0,0,11180513,0,4816 6,3,2024-09-07 08:45:31:282,1,203,13,0,340,2631,203,0 7,0,2024-09-07 08:45:31:532,44978,0.4,44971,0.6,89859,0.3,119925,1.75 7,1,2024-09-07 08:45:30:855,355836,355836,0,0,167030432488,1754072642,350936,4154,746,382,391686,0 7,2,2024-09-07 08:45:30:772,257127,257127,0,0,10703395,0,2981 7,3,2024-09-07 08:45:30:877,1,203,0,0,305,2102,203,0 8,0,2024-09-07 08:45:31:338,51180,0.3,51384,0.5,102356,0.3,136923,1.50 8,1,2024-09-07 08:45:31:017,355514,355514,0,0,167216832576,1766555564,348456,5223,1835,367,391956,0 8,2,2024-09-07 08:45:30:793,253492,253492,0,0,13137569,0,2986 8,3,2024-09-07 08:45:30:585,1,203,1,0,357,2989,203,0 9,0,2024-09-07 08:45:31:116,52974,0.4,51389,0.5,107322,0.3,140660,1.75 9,1,2024-09-07 08:45:30:572,355884,355884,0,0,166655710716,1761941038,349889,4458,1537,370,392001,0 9,2,2024-09-07 08:45:31:089,253716,253716,0,0,11474710,0,3360 9,3,2024-09-07 08:45:31:757,1,203,2,0,496,3233,203,0 10,0,2024-09-07 08:45:31:599,53090,0.3,52720,0.5,105796,0.3,140711,1.75 10,1,2024-09-07 08:45:30:583,356266,356266,0,0,166883460568,1753196078,350529,4893,844,381,391741,0 10,2,2024-09-07 08:45:30:767,258061,258061,0,0,13065768,0,4264 10,3,2024-09-07 08:45:30:872,1,203,17,0,177,1573,203,0 11,0,2024-09-07 08:45:31:010,45701,0.5,44419,0.8,93032,0.5,123427,2.00 11,1,2024-09-07 08:45:30:571,355940,355940,0,0,166321486829,1755957548,348322,5705,1913,383,391537,0 11,2,2024-09-07 08:45:31:129,258471,258471,0,0,11949094,0,3411 11,3,2024-09-07 08:45:31:301,1,203,5,0,720,3044,203,0 12,0,2024-09-07 08:45:31:035,50694,0.3,50456,0.5,101142,0.3,135087,1.75 12,1,2024-09-07 08:45:30:957,356776,356776,0,0,166423741787,1738233738,353569,2788,419,370,391837,0 12,2,2024-09-07 08:45:31:545,258252,258252,0,0,11856917,0,3469 12,3,2024-09-07 08:45:31:060,1,203,1,0,386,3782,203,0 13,0,2024-09-07 08:45:31:357,53354,0.4,53179,0.5,106339,0.3,141235,1.75 13,1,2024-09-07 08:45:31:545,355795,355795,0,0,166238507852,1746203437,352691,2576,528,382,391717,0 13,2,2024-09-07 08:45:30:595,254052,254052,0,0,10608958,0,3287 13,3,2024-09-07 08:45:31:765,1,203,20,0,467,3621,203,0 14,0,2024-09-07 08:45:30:561,54517,0.4,55017,0.6,108775,0.4,144670,1.75 14,1,2024-09-07 08:45:31:570,358591,358591,0,0,167645910137,1738410844,355978,2424,189,364,391571,0 14,2,2024-09-07 08:45:30:768,255962,255962,0,0,10796186,0,2896 14,3,2024-09-07 08:45:31:116,1,203,12,0,1168,3215,203,0 15,0,2024-09-07 08:45:31:551,48697,0.7,49051,0.9,97984,0.7,130169,2.25 15,1,2024-09-07 08:45:31:608,356911,356911,0,0,167131514360,1739068897,354670,2097,144,381,391619,0 15,2,2024-09-07 08:45:30:998,260491,260491,0,0,9392279,0,3043 15,3,2024-09-07 08:45:31:410,1,203,2,0,1126,4724,203,0 16,0,2024-09-07 08:45:31:072,48161,0.6,48563,0.8,96259,0.6,128944,2.25 16,1,2024-09-07 08:45:30:602,357033,357033,0,0,167102284790,1748010870,354071,2689,273,370,391756,0 16,2,2024-09-07 08:45:31:470,257659,257659,0,0,11711673,0,4719 16,3,2024-09-07 08:45:31:142,1,203,9,0,317,2853,203,0 17,0,2024-09-07 08:45:31:806,54807,0.5,53433,0.8,104694,0.5,141952,2.00 17,1,2024-09-07 08:45:30:568,355796,355796,0,0,166637269142,1751561821,351773,3228,795,368,391688,0 17,2,2024-09-07 08:45:31:671,256067,256067,0,0,10272947,0,2857 17,3,2024-09-07 08:45:30:574,1,203,21,0,268,3235,203,0 18,0,2024-09-07 08:45:30:942,52855,0.8,53091,0.9,106183,0.8,141843,2.50 18,1,2024-09-07 08:45:31:638,357088,357088,0,0,167295895703,1735834532,354701,2150,237,367,391564,0 18,2,2024-09-07 08:45:31:755,255317,255317,0,0,10252143,0,3541 18,3,2024-09-07 08:45:30:899,1,203,11,0,163,2145,203,0 19,0,2024-09-07 08:45:31:542,49920,0.9,50466,0.9,99570,1.0,132773,2.50 19,1,2024-09-07 08:45:30:566,357055,357055,0,0,167823900601,1747834494,352628,3643,784,367,391777,0 19,2,2024-09-07 08:45:31:752,261186,261186,0,0,9684416,0,3988 19,3,2024-09-07 08:45:31:148,1,203,1,0,524,1696,203,0 20,0,2024-09-07 08:45:31:435,46020,0.6,45914,0.7,92247,0.5,123393,2.25 20,1,2024-09-07 08:45:30:575,356069,356069,0,0,166818953479,1748117918,352397,3277,395,369,391822,0 20,2,2024-09-07 08:45:30:934,257721,257721,0,0,11026157,0,3721 20,3,2024-09-07 08:45:30:588,1,203,3,0,414,4211,203,0 21,0,2024-09-07 08:45:31:136,51693,0.4,51904,0.6,103520,0.3,137078,1.75 21,1,2024-09-07 08:45:31:540,355298,355298,0,0,165745288172,1749930600,349953,4113,1232,368,391962,0 21,2,2024-09-07 08:45:31:071,255114,255114,0,0,12117377,0,3747 21,3,2024-09-07 08:45:31:406,1,203,0,0,103,2315,203,0 22,0,2024-09-07 08:45:31:726,52838,0.7,52800,0.8,105180,0.7,140400,2.25 22,1,2024-09-07 08:45:31:036,355566,355566,0,0,166521078745,1756096108,349354,4624,1588,382,391667,0 22,2,2024-09-07 08:45:30:769,254027,254027,0,0,10471172,0,3134 22,3,2024-09-07 08:45:31:073,1,203,8,0,228,2061,203,0 23,0,2024-09-07 08:45:31:399,51395,0.7,51402,0.8,103268,0.8,137501,2.25 23,1,2024-09-07 08:45:31:009,356616,356616,0,0,167172879166,1759441987,349884,4183,2549,365,391549,0 23,2,2024-09-07 08:45:31:092,258526,258526,0,0,10549126,0,3010 23,3,2024-09-07 08:45:31:754,1,203,2,0,645,2534,203,0 24,0,2024-09-07 08:45:30:824,46390,0.5,46424,0.6,92897,0.5,123613,1.75 24,1,2024-09-07 08:45:30:581,356429,356429,0,0,166236033607,1743322293,352297,3104,1028,369,391640,0 24,2,2024-09-07 08:45:31:076,257392,257392,0,0,13120129,0,3607 24,3,2024-09-07 08:45:31:686,1,203,9,0,468,3018,203,0 25,0,2024-09-07 08:45:31:422,52340,0.4,51024,0.6,100168,0.4,136813,2.00 25,1,2024-09-07 08:45:30:634,356701,356701,0,0,167173186222,1752530993,352767,3388,546,371,391788,0 25,2,2024-09-07 08:45:31:617,255967,255967,0,0,13175444,0,3978 25,3,2024-09-07 08:45:31:003,1,203,11,0,255,2368,203,0 26,0,2024-09-07 08:45:31:723,52473,0.4,51301,0.6,107596,0.4,139895,1.75 26,1,2024-09-07 08:45:31:544,356968,356968,0,0,165720699146,1740284143,351471,4516,981,381,391748,0 26,2,2024-09-07 08:45:30:880,253800,253800,0,0,11938865,0,2809 26,3,2024-09-07 08:45:31:714,1,203,8,0,796,2964,203,0 27,0,2024-09-07 08:45:31:730,53879,0.5,53747,0.7,106514,0.5,142213,2.00 27,1,2024-09-07 08:45:31:678,358093,358093,0,0,167765243728,1743970507,355792,1972,329,381,391558,0 27,2,2024-09-07 08:45:30:872,255349,255284,65,0,13109762,0,5699 27,3,2024-09-07 08:45:31:015,1,203,4,0,564,2176,203,0 28,0,2024-09-07 08:45:31:413,47460,0.6,47559,0.8,95609,0.8,126864,2.25 28,1,2024-09-07 08:45:30:802,357514,357514,0,0,168067998290,1753163116,354694,2350,470,383,391646,0 28,2,2024-09-07 08:45:31:764,259970,259970,0,0,10664151,0,2915 28,3,2024-09-07 08:45:31:784,1,203,1,0,502,2337,203,0 29,0,2024-09-07 08:45:31:418,50640,0.3,49597,0.5,96701,0.2,132508,1.75 29,1,2024-09-07 08:45:31:568,358218,358218,0,0,167514341051,1736502523,355256,2399,563,369,391621,0 29,2,2024-09-07 08:45:30:884,257601,257601,0,0,9731964,0,4018 29,3,2024-09-07 08:45:30:967,1,203,3,0,115,1540,203,0 30,0,2024-09-07 08:45:31:468,52618,0.7,51202,0.8,107246,0.6,140102,2.00 30,1,2024-09-07 08:45:30:574,357974,357974,0,0,168202312454,1745539568,355810,1871,293,382,391672,0 30,2,2024-09-07 08:45:31:274,254167,254167,0,0,9491976,0,3161 30,3,2024-09-07 08:45:30:581,1,203,8,0,195,1436,203,0 31,0,2024-09-07 08:45:31:778,53779,0.5,54193,0.6,108843,0.4,144630,2.00 31,1,2024-09-07 08:45:30:564,359310,359310,0,0,168394861239,1727244436,358226,927,157,356,391712,0 31,2,2024-09-07 08:45:31:275,254667,254667,0,0,11117455,0,3525 31,3,2024-09-07 08:45:31:713,1,203,1,0,220,1784,203,0 32,0,2024-09-07 08:45:31:428,49817,0.4,50332,0.6,100703,0.4,133899,1.75 32,1,2024-09-07 08:45:30:806,357769,357769,0,0,167844794553,1742506107,355925,1568,276,381,391595,0 32,2,2024-09-07 08:45:30:943,260644,260644,0,0,9436694,0,3155 32,3,2024-09-07 08:45:31:015,1,203,0,0,227,1640,203,0 33,0,2024-09-07 08:45:31:492,47695,0.3,47090,0.5,94868,0.2,126365,1.75 33,1,2024-09-07 08:45:30:574,358515,358515,0,0,168039538242,1737639601,356157,2186,172,369,391730,0 33,2,2024-09-07 08:45:30:767,258464,258431,33,0,11550566,0,7012 33,3,2024-09-07 08:45:30:906,1,203,18,0,329,2497,203,0 34,0,2024-09-07 08:45:30:935,52283,0.3,53947,0.4,103530,0.2,139033,1.75 34,1,2024-09-07 08:45:31:067,358817,358817,0,0,168844724379,1738007107,357755,1055,7,367,391562,0 34,2,2024-09-07 08:45:30:770,254251,254251,0,0,10527997,0,3577 34,3,2024-09-07 08:45:31:693,1,203,8,0,148,1381,203,0 35,0,2024-09-07 08:45:30:884,52619,0.4,53074,0.5,106395,0.3,141810,1.75 35,1,2024-09-07 08:45:31:072,356578,356578,0,0,167571697698,1740920772,353336,2463,779,384,391589,0 35,2,2024-09-07 08:45:31:584,255431,255431,0,0,9381866,0,2653 35,3,2024-09-07 08:45:30:914,1,203,21,0,418,2381,203,0 36,0,2024-09-07 08:45:31:515,51384,0.8,51322,0.9,102733,0.9,136375,2.50 36,1,2024-09-07 08:45:30:589,356782,356782,0,0,166424561462,1742280150,351753,3719,1310,366,391759,0 36,2,2024-09-07 08:45:31:751,258960,258960,0,0,11186777,0,3303 36,3,2024-09-07 08:45:30:883,1,203,7,0,378,3088,203,0 37,0,2024-09-07 08:45:31:385,45045,0.4,44912,0.7,89942,0.4,120736,2.00 37,1,2024-09-07 08:45:30:576,356182,356175,0,7,166537925686,1748836562,350818,3327,2030,365,391560,0 37,2,2024-09-07 08:45:31:157,257181,257166,15,0,11559031,0,5815 37,3,2024-09-07 08:45:31:766,1,203,1,0,888,3915,203,0 38,0,2024-09-07 08:45:31:439,51120,0.4,49685,0.6,103978,0.3,136468,2.00 38,1,2024-09-07 08:45:31:605,357924,357924,0,0,167181193176,1746685948,353438,3841,645,368,391821,0 38,2,2024-09-07 08:45:30:763,255904,255857,47,0,12592164,0,6710 38,3,2024-09-07 08:45:30:997,1,203,12,0,689,3252,203,0 39,0,2024-09-07 08:45:31:767,53869,0.7,52969,0.7,102727,0.7,140537,2.00 39,1,2024-09-07 08:45:30:720,357466,357466,0,0,167575723467,1747340462,353286,3378,802,365,391524,0 39,2,2024-09-07 08:45:31:417,253785,253785,0,0,10332297,0,2689 39,3,2024-09-07 08:45:30:719,1,203,20,0,293,2820,203,0 40,0,2024-09-07 08:45:31:489,52283,0.8,52803,1.0,105722,0.9,140189,3.00 40,1,2024-09-07 08:45:30:579,356716,356716,0,0,166603322872,1749517594,350660,4823,1233,368,391591,0 40,2,2024-09-07 08:45:31:314,256886,256885,1,0,13246304,0,5137 40,3,2024-09-07 08:45:31:142,1,203,3,0,333,2462,203,0 41,0,2024-09-07 08:45:31:026,45687,1.5,46653,1.4,89316,2.8,121999,3.75 41,1,2024-09-07 08:45:30:774,356428,356428,0,0,167175502795,1750606099,351587,4280,561,370,391742,0 41,2,2024-09-07 08:45:30:769,257086,257086,0,0,13048429,0,3356 41,3,2024-09-07 08:45:31:677,1,203,7,0,366,2402,203,0 42,0,2024-09-07 08:45:31:476,49940,0.7,50133,0.9,100120,0.6,132996,2.50 42,1,2024-09-07 08:45:31:461,355220,355220,0,0,166897918331,1755027903,349631,4515,1074,380,391675,0 42,2,2024-09-07 08:45:31:135,257160,257160,0,0,12089057,0,3568 42,3,2024-09-07 08:45:31:008,1,203,9,0,446,1727,203,0 43,0,2024-09-07 08:45:30:934,52729,0.8,51370,0.9,107244,0.8,140827,2.25 43,1,2024-09-07 08:45:30:575,357004,357004,0,0,167991376010,1752629103,352909,3298,797,366,391696,0 43,2,2024-09-07 08:45:31:741,253761,253761,0,0,11226956,0,3812 43,3,2024-09-07 08:45:31:756,1,203,1,0,467,2750,203,0 44,0,2024-09-07 08:45:30:898,54290,0.5,54628,0.7,108918,0.4,145203,1.75 44,1,2024-09-07 08:45:30:563,357930,357930,0,0,166785170549,1724692029,355565,1935,430,356,391809,0 44,2,2024-09-07 08:45:31:267,255376,255376,0,0,9545214,0,1877 44,3,2024-09-07 08:45:31:101,1,203,1,0,817,2290,203,0 45,0,2024-09-07 08:45:31:764,48327,0.8,47296,1.0,99327,0.9,130907,2.00 45,1,2024-09-07 08:45:31:015,357367,357367,0,0,166615845496,1728121034,356047,1309,11,382,391917,0 45,2,2024-09-07 08:45:31:268,260479,260479,0,0,10479144,0,3596 45,3,2024-09-07 08:45:30:944,1,203,35,0,267,2176,203,0 46,0,2024-09-07 08:45:30:956,48238,0.4,48116,0.6,96402,0.4,128682,2.00 46,1,2024-09-07 08:45:30:579,358189,358189,0,0,166617149363,1722811971,356014,1903,272,366,391524,0 46,2,2024-09-07 08:45:30:597,258388,258388,0,0,10170803,0,2920 46,3,2024-09-07 08:45:31:131,1,203,9,0,908,3314,203,0 47,0,2024-09-07 08:45:31:110,53454,0.4,53434,0.6,107346,0.4,141927,1.75 47,1,2024-09-07 08:45:30:566,358521,358521,0,0,166927162600,1724311207,356710,1791,20,366,391605,0 47,2,2024-09-07 08:45:30:914,255334,255334,0,0,9927648,0,2558 47,3,2024-09-07 08:45:31:115,1,203,1,0,529,2157,203,0 48,0,2024-09-07 08:45:31:492,53874,0.3,53565,0.4,106839,0.2,142862,1.50 48,1,2024-09-07 08:45:31:040,358388,358388,0,0,167406222347,1738184678,356234,1973,181,384,391710,0 48,2,2024-09-07 08:45:30:710,255241,255241,0,0,9313603,0,3031 48,3,2024-09-07 08:45:30:765,1,203,1,0,339,1822,203,0 49,0,2024-09-07 08:45:31:759,51780,0.4,50831,0.6,98628,0.4,134827,1.75 49,1,2024-09-07 08:45:31:032,356123,356123,0,0,166798079712,1740444057,352760,2253,1110,382,391610,0 49,2,2024-09-07 08:45:31:801,260724,260724,0,0,10116287,0,3900 49,3,2024-09-07 08:45:31:418,1,203,3,0,408,2549,203,0 50,0,2024-09-07 08:45:31:506,46294,0.3,45743,0.5,92041,0.2,123575,1.75 50,1,2024-09-07 08:45:31:016,358817,358817,0,0,167986168597,1740363312,356162,2345,310,368,391530,0 50,2,2024-09-07 08:45:31:068,258128,258128,0,0,9630085,0,2263 50,3,2024-09-07 08:45:31:299,1,203,8,0,335,1769,203,0 51,0,2024-09-07 08:45:31:685,52925,0.2,51820,0.4,101035,0.2,137836,1.50 51,1,2024-09-07 08:45:31:680,357959,357959,0,0,168086248275,1738273339,355351,1669,939,365,391637,0 51,2,2024-09-07 08:45:31:316,255468,255468,0,0,8865788,0,3337 51,3,2024-09-07 08:45:31:029,1,203,92,0,678,1875,203,0 52,0,2024-09-07 08:45:31:414,53362,0.5,53143,0.6,106051,0.4,141103,2.00 52,1,2024-09-07 08:45:30:575,356481,356481,0,0,165848641563,1743496123,350224,5097,1160,368,391722,0 52,2,2024-09-07 08:45:31:759,252586,252548,38,0,12235996,0,6742 52,3,2024-09-07 08:45:30:689,1,203,6,0,1782,3824,203,0 53,0,2024-09-07 08:45:31:742,51282,0.8,49882,0.9,104460,1.0,136699,2.50 53,1,2024-09-07 08:45:30:779,355658,355658,0,0,166760793849,1755094247,349442,4181,2035,367,391702,0 53,2,2024-09-07 08:45:31:302,258593,258593,0,0,10298194,0,2262 53,3,2024-09-07 08:45:30:705,1,203,5,0,271,1866,203,0 54,0,2024-09-07 08:45:31:614,44939,1.2,45376,1.0,89946,0.6,120987,3.00 54,1,2024-09-07 08:45:30:585,357025,357025,0,0,167320266430,1743664108,352787,3667,571,367,391659,0 54,2,2024-09-07 08:45:30:879,257903,257897,6,0,11714296,0,5382 54,3,2024-09-07 08:45:30:767,1,203,8,0,676,3456,203,0 55,0,2024-09-07 08:45:31:767,49328,0.5,51100,0.7,102904,0.4,134458,2.25 55,1,2024-09-07 08:45:30:771,356289,356289,0,0,165920670995,1733417898,350794,4708,787,365,391731,0 55,2,2024-09-07 08:45:30:743,256181,256181,0,0,11811340,0,3275 55,3,2024-09-07 08:45:30:688,1,203,0,0,304,2640,203,0 56,0,2024-09-07 08:45:31:629,53869,1.3,50778,1.1,104547,1.8,139803,2.50 56,1,2024-09-07 08:45:30:580,355944,355944,0,0,167096535298,1767650600,350067,4934,943,381,391678,0 56,2,2024-09-07 08:45:31:306,253391,253391,0,0,12303944,0,3567 56,3,2024-09-07 08:45:31:066,1,203,6,0,705,3311,203,0 57,0,2024-09-07 08:45:30:941,52682,1.9,52840,1.4,105617,2.7,141239,3.25 57,1,2024-09-07 08:45:30:986,356488,356488,0,0,166306968079,1743154471,352536,3444,508,367,391960,0 57,2,2024-09-07 08:45:31:321,256822,256822,0,0,13269765,0,3317 57,3,2024-09-07 08:45:31:740,1,203,0,0,359,2992,203,0 58,0,2024-09-07 08:45:30:554,46477,0.9,45191,1.1,94654,1.0,123419,2.50 58,1,2024-09-07 08:45:30:575,356978,356975,0,3,167169204001,1749508171,351823,4458,694,367,391545,3 58,2,2024-09-07 08:45:31:072,259617,259617,0,0,12004479,0,2549 58,3,2024-09-07 08:45:31:068,1,203,8,0,1043,2571,203,0 59,0,2024-09-07 08:45:31:741,49506,0.7,49164,0.9,98361,0.7,131266,2.75 59,1,2024-09-07 08:45:30:806,356789,356789,0,0,166992888722,1747782106,352462,3344,983,369,391525,0 59,2,2024-09-07 08:45:30:585,258870,258870,0,0,10982201,0,2604 59,3,2024-09-07 08:45:31:740,1,203,1,0,1015,3260,203,0 60,0,2024-09-07 08:45:31:745,53038,0.5,52790,0.6,106144,0.5,140812,1.75 60,1,2024-09-07 08:45:30:777,358273,358273,0,0,168082185680,1744624258,356041,1719,513,370,391761,0 60,2,2024-09-07 08:45:31:162,253619,253619,0,0,11265489,0,3811 60,3,2024-09-07 08:45:31:264,1,203,11,0,124,1904,203,0 61,0,2024-09-07 08:45:31:506,54189,0.8,54402,0.9,108510,1.0,144818,2.00 61,1,2024-09-07 08:45:30:778,356971,356971,0,0,166854421266,1749280102,352837,3491,643,382,391880,0 61,2,2024-09-07 08:45:31:117,255894,255894,0,0,10517117,0,2090 61,3,2024-09-07 08:45:31:699,1,203,397,0,397,2835,203,0 62,0,2024-09-07 08:45:31:712,50362,1.0,51446,0.9,98020,1.3,133795,2.25 62,1,2024-09-07 08:45:31:110,359071,359065,0,6,168102475535,1736250783,356702,2247,116,365,391715,6 62,2,2024-09-07 08:45:31:643,259042,259041,1,0,11849501,0,5555 62,3,2024-09-07 08:45:31:153,1,203,12,0,287,1462,203,0 63,0,2024-09-07 08:45:31:468,47382,0.5,47350,0.7,94707,0.5,126102,2.00 63,1,2024-09-07 08:45:30:804,358564,358558,0,6,167707720263,1739089681,357025,1484,49,381,391677,6 63,2,2024-09-07 08:45:30:767,258214,258214,0,0,9813870,0,2674 63,3,2024-09-07 08:45:31:732,1,203,0,0,667,2614,203,0 64,0,2024-09-07 08:45:31:557,51881,0.4,52099,0.6,104027,0.4,138895,1.75 64,1,2024-09-07 08:45:30:755,356644,356644,0,0,167332232314,1749036881,352396,2834,1414,370,391783,0 64,2,2024-09-07 08:45:31:180,256712,256693,19,0,10589313,0,6121 64,3,2024-09-07 08:45:31:152,1,203,8,0,265,2127,203,0 65,0,2024-09-07 08:45:31:717,52525,0.7,52987,0.8,105605,0.7,140871,2.25 65,1,2024-09-07 08:45:30:879,356135,356135,0,0,166912307224,1748626194,352818,2874,443,382,391901,0 65,2,2024-09-07 08:45:31:710,254542,254542,0,0,10978774,0,3367 65,3,2024-09-07 08:45:31:686,1,203,30,0,163,1936,203,0 66,0,2024-09-07 08:45:31:770,50837,0.6,50951,0.8,102293,0.6,135593,2.25 66,1,2024-09-07 08:45:31:302,357923,357923,0,0,167458498398,1739779708,355799,1947,177,380,391588,0 66,2,2024-09-07 08:45:31:132,261668,261668,0,0,10885419,0,4956 66,3,2024-09-07 08:45:31:090,1,203,12,0,291,2323,203,0 67,0,2024-09-07 08:45:31:425,45493,0.7,45333,0.8,90963,0.7,120825,2.25 67,1,2024-09-07 08:45:30:768,357147,357146,0,1,167701599566,1751378900,353583,2816,747,380,391787,1 67,2,2024-09-07 08:45:30:583,258897,258897,0,0,9961075,0,2889 67,3,2024-09-07 08:45:31:750,1,203,1,0,338,1737,203,0 68,0,2024-09-07 08:45:30:588,51519,0.5,51425,0.7,102300,0.4,137145,2.00 68,1,2024-09-07 08:45:30:585,356348,356348,0,0,166546001780,1748078524,352727,2484,1137,381,391953,0 68,2,2024-09-07 08:45:31:054,255512,255447,65,0,13377470,0,6698 68,3,2024-09-07 08:45:30:742,1,203,10,0,417,2474,203,0 69,0,2024-09-07 08:45:31:770,52549,0.8,52772,0.9,105044,1.0,139981,2.25 69,1,2024-09-07 08:45:31:030,355050,355050,0,0,166611229224,1758663701,350549,3277,1224,384,391994,0 69,2,2024-09-07 08:45:31:739,254172,254172,0,0,12068365,0,3701 69,3,2024-09-07 08:45:30:767,1,203,10,0,698,3216,203,0 70,0,2024-09-07 08:45:31:532,52457,1.4,52412,1.2,105246,0.9,139879,2.50 70,1,2024-09-07 08:45:30:808,357342,357342,0,0,167771097440,1743230084,354299,2539,504,366,391725,0 70,2,2024-09-07 08:45:31:325,257805,257805,0,0,11533607,0,4044 70,3,2024-09-07 08:45:30:767,1,203,8,0,854,2570,203,0 71,0,2024-09-07 08:45:31:356,45626,1.6,45553,1.4,90908,2.2,122228,3.25 71,1,2024-09-07 08:45:31:596,356776,356776,0,0,166868237292,1745249706,352067,4157,552,368,391738,0 71,2,2024-09-07 08:45:31:074,259239,259239,0,0,11685879,0,2579 71,3,2024-09-07 08:45:31:750,1,203,13,0,644,3081,203,0 72,0,2024-09-07 08:45:31:067,51956,0.6,50821,0.8,99039,0.6,135508,2.25 72,1,2024-09-07 08:45:31:029,357029,357029,0,0,167627487965,1751935270,352848,3432,749,369,391819,0 72,2,2024-09-07 08:45:31:779,256497,256497,0,0,13034723,0,2570 72,3,2024-09-07 08:45:31:767,1,203,5,0,364,3583,203,0 73,0,2024-09-07 08:45:31:198,51759,0.4,53035,0.6,108595,0.4,140682,2.25 73,1,2024-09-07 08:45:30:770,357200,357200,0,0,167730066643,1744269275,354559,2427,214,367,391750,0 73,2,2024-09-07 08:45:31:770,254037,254037,0,0,12245447,0,3482 73,3,2024-09-07 08:45:30:985,1,203,1,0,274,3173,203,0 74,0,2024-09-07 08:45:31:342,54995,0.5,56044,0.7,106793,0.5,144715,2.25 74,1,2024-09-07 08:45:30:636,356622,356622,0,0,167216610014,1747710646,352812,3020,790,381,391681,0 74,2,2024-09-07 08:45:31:002,255632,255632,0,0,12432405,0,4253 74,3,2024-09-07 08:45:31:461,1,203,1,0,522,3057,203,0 75,0,2024-09-07 08:45:31:781,49142,0.8,48795,1.0,97988,0.8,131177,2.50 75,1,2024-09-07 08:45:31:584,356004,356004,0,0,166451495715,1744209101,351552,3790,662,381,391579,0 75,2,2024-09-07 08:45:31:356,259549,259549,0,0,12531626,0,4766 75,3,2024-09-07 08:45:31:071,1,203,0,0,702,3018,203,0 76,0,2024-09-07 08:45:30:621,47908,0.5,47508,0.8,94871,0.5,127751,2.50 76,1,2024-09-07 08:45:30:808,357096,357096,0,0,166750289322,1740007502,354585,1965,546,382,391692,0 76,2,2024-09-07 08:45:31:063,258963,258963,0,0,10595299,0,3784 76,3,2024-09-07 08:45:31:152,1,203,10,0,175,2247,203,0 77,0,2024-09-07 08:45:31:714,53117,0.6,53424,0.8,106564,0.6,141162,2.00 77,1,2024-09-07 08:45:30:833,357521,357521,0,0,166755355317,1742144556,354778,2512,231,383,391808,0 77,2,2024-09-07 08:45:31:293,253261,253261,0,0,10725551,0,3890 77,3,2024-09-07 08:45:31:095,1,203,0,0,305,2236,203,0 78,0,2024-09-07 08:45:31:720,53493,0.5,53169,0.7,107353,0.4,141987,2.00 78,1,2024-09-07 08:45:30:610,357119,357119,0,0,166627408629,1733409692,354189,2615,315,367,391646,0 78,2,2024-09-07 08:45:31:406,255689,255689,0,0,9768709,0,2114 78,3,2024-09-07 08:45:31:134,1,203,12,0,181,1986,203,0 79,0,2024-09-07 08:45:31:346,48866,0.5,49981,0.7,102361,0.5,132773,2.50 79,1,2024-09-07 08:45:30:571,358383,358383,0,0,167813735491,1738401120,355667,2380,336,369,391682,0 79,2,2024-09-07 08:45:31:071,260544,260544,0,0,10069186,0,3212 79,3,2024-09-07 08:45:30:751,1,203,97,0,418,3191,203,0 80,0,2024-09-07 08:45:31:085,45936,0.5,47316,0.7,90559,0.5,123063,2.00 80,1,2024-09-07 08:45:31:623,356501,356501,0,0,166954588879,1738975984,353745,2590,166,368,391791,0 80,2,2024-09-07 08:45:31:091,258441,258441,0,0,10367478,0,4433 80,3,2024-09-07 08:45:30:580,1,203,2,0,190,3051,203,0 81,0,2024-09-07 08:45:31:580,51736,0.4,53181,0.6,101664,0.4,137292,1.75 81,1,2024-09-07 08:45:31:651,357032,357032,0,0,166230249176,1738570579,354002,2673,357,382,391879,0 81,2,2024-09-07 08:45:31:125,255237,255237,0,0,10820156,0,3993 81,3,2024-09-07 08:45:31:117,1,203,1,0,374,2535,203,0 82,0,2024-09-07 08:45:31:558,52646,0.4,53039,0.6,106239,0.4,140898,1.75 82,1,2024-09-07 08:45:30:596,357368,357364,0,4,167099203212,1742334649,354637,2116,611,381,391558,4 82,2,2024-09-07 08:45:31:696,254766,254766,0,0,10118124,0,3986 82,3,2024-09-07 08:45:31:753,1,203,13,0,363,2529,203,0 83,0,2024-09-07 08:45:31:535,51804,0.6,51794,0.8,102815,0.7,137072,2.00 83,1,2024-09-07 08:45:30:555,356416,356416,0,0,166090525269,1734510914,353589,2601,226,382,391690,0 83,2,2024-09-07 08:45:30:771,258273,258273,0,0,9815611,0,3119 83,3,2024-09-07 08:45:30:750,1,203,3,0,250,2219,203,0 84,0,2024-09-07 08:45:31:772,45389,1.0,45399,1.1,91058,0.8,122051,2.50 84,1,2024-09-07 08:45:31:038,356049,356049,0,0,166444068679,1742486855,352058,3422,569,368,391967,0 84,2,2024-09-07 08:45:30:574,258295,258295,0,0,11825857,0,3801 84,3,2024-09-07 08:45:31:141,1,203,2,0,353,2743,203,0 85,0,2024-09-07 08:45:31:010,49197,0.6,49210,0.7,104281,0.5,135171,2.25 85,1,2024-09-07 08:45:30:561,355620,355620,0,0,166059570876,1758949891,349364,5158,1098,382,392006,0 85,2,2024-09-07 08:45:30:872,257930,257930,0,0,12279305,0,3656 85,3,2024-09-07 08:45:30:689,1,203,4,0,789,2853,203,0 86,0,2024-09-07 08:45:30:885,52713,0.6,54087,0.8,103785,0.7,139731,2.00 86,1,2024-09-07 08:45:30:829,356968,356968,0,0,167194456392,1750154959,352779,3644,545,366,391961,0 86,2,2024-09-07 08:45:30:861,252424,252423,1,0,12540443,0,5004 86,3,2024-09-07 08:45:30:587,1,203,9,0,286,2827,203,0 87,0,2024-09-07 08:45:31:291,53349,1.2,53047,1.0,106735,1.7,142709,2.50 87,1,2024-09-07 08:45:30:553,356886,356886,0,0,166778295824,1747339647,352973,3452,461,366,391788,0 87,2,2024-09-07 08:45:31:070,255834,255834,0,0,11283323,0,3515 87,3,2024-09-07 08:45:31:802,1,203,3,0,473,3581,203,0 88,0,2024-09-07 08:45:31:473,47611,0.6,47798,0.7,95447,0.7,126496,1.75 88,1,2024-09-07 08:45:30:574,355225,355225,0,0,166805779952,1754414167,349504,4251,1470,365,392084,0 88,2,2024-09-07 08:45:30:693,259387,259387,0,0,13644582,0,3583 88,3,2024-09-07 08:45:31:274,1,203,12,0,435,2590,203,0 89,0,2024-09-07 08:45:31:776,50890,0.4,49289,0.7,97504,0.4,132508,1.75 89,1,2024-09-07 08:45:30:551,354923,354923,0,0,166489517753,1760088506,348932,5046,945,382,391866,0 89,2,2024-09-07 08:45:31:139,257240,257240,0,0,12724168,0,2910 89,3,2024-09-07 08:45:31:791,1,203,8,0,325,3999,203,0 90,0,2024-09-07 08:45:31:612,51424,0.5,52491,0.6,107771,0.4,139860,1.75 90,1,2024-09-07 08:45:30:593,357050,357050,0,0,166881186819,1749172491,353724,3066,260,381,391825,0 90,2,2024-09-07 08:45:31:407,252057,252057,0,0,13476206,0,3060 90,3,2024-09-07 08:45:30:936,1,203,14,0,200,2273,203,0 91,0,2024-09-07 08:45:30:940,54602,0.5,52770,0.6,110195,0.4,144920,1.75 91,1,2024-09-07 08:45:30:557,356049,356049,0,0,166709963911,1754280375,351270,4133,646,381,392047,0 91,2,2024-09-07 08:45:31:331,254940,254940,0,0,12041872,0,2445 91,3,2024-09-07 08:45:30:598,1,203,2,0,216,2111,203,0 92,0,2024-09-07 08:45:31:446,50489,0.6,51820,0.8,98957,0.7,134034,1.75 92,1,2024-09-07 08:45:30:589,356370,356370,0,0,166557641139,1738683388,354072,1893,405,382,392136,0 92,2,2024-09-07 08:45:31:354,261540,261540,0,0,10590877,0,2801 92,3,2024-09-07 08:45:31:015,1,203,0,0,167,1712,203,0 93,0,2024-09-07 08:45:30:952,47582,0.4,48736,0.6,93072,0.4,126484,1.75 93,1,2024-09-07 08:45:30:808,357209,357209,0,0,166934412440,1743301314,353590,2994,625,366,391692,0 93,2,2024-09-07 08:45:30:932,258696,258696,0,0,10866969,0,2509 93,3,2024-09-07 08:45:31:426,1,203,4,0,143,2056,203,0 94,0,2024-09-07 08:45:31:602,52106,0.3,53001,0.5,105040,0.3,139339,1.75 94,1,2024-09-07 08:45:30:576,356356,356356,0,0,167351514081,1749799779,353558,2665,133,381,391850,0 94,2,2024-09-07 08:45:30:780,254129,254129,0,0,9983606,0,2443 94,3,2024-09-07 08:45:31:695,1,203,12,0,264,2891,203,0 95,0,2024-09-07 08:45:31:342,53336,0.3,52937,0.5,107019,0.3,141605,1.75 95,1,2024-09-07 08:45:30:882,357045,357045,0,0,167463439135,1743081353,354025,2681,339,367,391662,0 95,2,2024-09-07 08:45:31:016,254358,254358,0,0,10515781,0,3308 95,3,2024-09-07 08:45:31:713,1,203,388,0,718,4213,203,0 96,0,2024-09-07 08:45:31:039,51357,0.5,51635,0.6,103128,0.5,136211,1.75 96,1,2024-09-07 08:45:31:592,356939,356939,0,0,166699441653,1741883852,354191,2101,647,385,391894,0 96,2,2024-09-07 08:45:31:282,259364,259364,0,0,10961590,0,4042 96,3,2024-09-07 08:45:31:142,1,203,8,0,411,2550,203,0 97,0,2024-09-07 08:45:31:326,45514,0.4,45342,0.5,90948,0.3,121089,1.75 97,1,2024-09-07 08:45:30:773,358082,358082,0,0,167432277616,1738849798,355247,2282,553,367,392140,0 97,2,2024-09-07 08:45:30:607,258014,258014,0,0,10293542,0,3036 97,3,2024-09-07 08:45:30:569,1,203,7,0,165,2420,203,0 98,0,2024-09-07 08:45:31:705,51394,0.3,51350,0.4,103001,0.2,137191,1.50 98,1,2024-09-07 08:45:30:571,357190,357190,0,0,167330885373,1742722267,355270,1826,94,382,391997,0 98,2,2024-09-07 08:45:30:769,257544,257544,0,0,10360827,0,3080 98,3,2024-09-07 08:45:30:701,1,203,10,0,840,3564,203,0 99,0,2024-09-07 08:45:31:455,52883,0.3,52886,0.4,105595,0.3,140977,1.50 99,1,2024-09-07 08:45:31:723,357705,357705,0,0,166559190280,1740162397,354115,2851,739,381,391744,0 99,2,2024-09-07 08:45:31:417,256032,256032,0,0,10281458,0,2615 99,3,2024-09-07 08:45:30:581,1,203,2,0,129,1569,203,0 100,0,2024-09-07 08:45:31:468,52720,0.9,52772,1.1,105339,1.3,140519,2.50 100,1,2024-09-07 08:45:30:548,355062,355062,0,0,165971632044,1759636698,348948,4959,1155,381,391989,0 100,2,2024-09-07 08:45:31:820,256427,256416,11,0,12320805,0,5417 100,3,2024-09-07 08:45:31:735,1,203,3,0,559,4088,203,0 101,0,2024-09-07 08:45:31:705,46978,2.2,45906,1.4,89639,2.2,123536,2.75 101,1,2024-09-07 08:45:30:553,355400,355400,0,0,166315424587,1756171208,349332,4545,1523,368,391769,0 101,2,2024-09-07 08:45:31:756,258117,258117,0,0,13145369,0,4644 101,3,2024-09-07 08:45:30:955,1,203,1,0,448,2815,203,0 102,0,2024-09-07 08:45:30:941,49194,0.7,50944,0.8,102273,0.6,134800,2.00 102,1,2024-09-07 08:45:31:142,356036,356036,0,0,166281685333,1749219162,351285,4033,718,369,391883,0 102,2,2024-09-07 08:45:31:744,258009,257955,54,0,12442832,0,6768 102,3,2024-09-07 08:45:31:613,1,203,8,0,410,2268,203,0 103,0,2024-09-07 08:45:31:587,54618,0.5,54603,0.7,103050,0.5,141647,2.00 103,1,2024-09-07 08:45:31:626,355149,355149,0,0,166295363728,1755191626,349623,4201,1325,381,391829,0 103,2,2024-09-07 08:45:30:582,252538,252538,0,0,11138272,0,2107 103,3,2024-09-07 08:45:30:759,1,203,0,0,916,3371,203,0 104,0,2024-09-07 08:45:31:010,53897,1.0,54297,1.1,107359,1.0,144769,2.50 104,1,2024-09-07 08:45:31:600,356926,356926,0,0,166786287499,1756086840,351573,4382,971,365,391948,0 104,2,2024-09-07 08:45:31:668,254707,254707,0,0,12615814,0,3941 104,3,2024-09-07 08:45:31:416,1,203,1,1,1245,5901,203,0 105,0,2024-09-07 08:45:31:028,48548,1.2,47113,1.3,98605,1.9,130083,3.50 105,1,2024-09-07 08:45:30:555,356969,356969,0,0,166919543555,1752776047,352038,4127,804,367,391797,0 105,2,2024-09-07 08:45:31:328,259259,259259,0,0,12181827,0,3509 105,3,2024-09-07 08:45:31:306,1,203,3,0,399,3831,203,0 106,0,2024-09-07 08:45:30:938,46516,0.8,47610,1.0,97535,0.9,127813,2.50 106,1,2024-09-07 08:45:31:755,356680,356680,0,0,166468763364,1749654961,351388,4815,477,369,391767,0 106,2,2024-09-07 08:45:30:765,256255,256255,0,0,12154493,0,2795 106,3,2024-09-07 08:45:30:676,1,203,13,0,470,3175,203,0 107,0,2024-09-07 08:45:31:102,53157,0.9,53081,0.9,105857,1.1,140918,2.00 107,1,2024-09-07 08:45:30:585,355710,355710,0,0,166149550376,1749305870,351500,3795,415,381,392234,0 107,2,2024-09-07 08:45:31:291,253068,253067,1,0,12143328,0,5024 107,3,2024-09-07 08:45:31:759,1,203,2,0,353,3169,203,0 108,0,2024-09-07 08:45:31:774,53697,0.4,53847,0.6,106857,0.4,142732,1.75 108,1,2024-09-07 08:45:31:302,356701,356701,0,0,166662866235,1739150511,353927,2460,314,368,391857,0 108,2,2024-09-07 08:45:31:755,253727,253727,0,0,11425737,0,2647 108,3,2024-09-07 08:45:31:331,1,203,1,0,749,4572,203,0 109,0,2024-09-07 08:45:31:756,50737,0.4,50189,0.6,100732,0.4,134869,1.75 109,1,2024-09-07 08:45:30:583,356135,356135,0,0,167172185126,1753902843,353099,2539,497,383,392132,0 109,2,2024-09-07 08:45:30:939,259365,259365,0,0,11596780,0,3617 109,3,2024-09-07 08:45:31:141,1,203,45,0,249,2655,203,0 110,0,2024-09-07 08:45:31:771,46245,0.4,45105,0.6,93988,0.3,123753,1.75 110,1,2024-09-07 08:45:31:654,357306,357306,0,0,167377063788,1739817694,354555,1983,768,370,391667,0 110,2,2024-09-07 08:45:31:314,258122,258122,0,0,10699909,0,2915 110,3,2024-09-07 08:45:30:694,1,203,8,0,406,2855,203,0 111,0,2024-09-07 08:45:31:421,51864,0.3,51444,0.5,102587,0.2,137591,1.50 111,1,2024-09-07 08:45:31:002,357988,357988,0,0,167380043964,1736483043,356174,1480,334,382,391690,0 111,2,2024-09-07 08:45:31:116,255519,255519,0,0,10770311,0,2763 111,3,2024-09-07 08:45:30:922,1,203,1,0,379,3037,203,0 112,0,2024-09-07 08:45:30:939,53026,0.3,53025,0.4,106019,0.2,141306,1.50 112,1,2024-09-07 08:45:30:824,358023,358023,0,0,166951160896,1734067088,355644,1952,427,380,391580,0 112,2,2024-09-07 08:45:31:135,254053,254052,1,0,10610704,0,5036 112,3,2024-09-07 08:45:30:592,1,203,1,0,282,2317,203,0 113,0,2024-09-07 08:45:30:894,51574,0.3,51503,0.5,103509,0.3,138260,1.75 113,1,2024-09-07 08:45:31:685,358261,358261,0,0,167579678648,1732898848,355775,1997,489,366,391661,0 113,2,2024-09-07 08:45:31:307,259535,259535,0,0,9643738,0,3813 113,3,2024-09-07 08:45:30:686,1,203,36,0,340,3481,203,0 114,0,2024-09-07 08:45:30:886,46323,0.5,46852,0.6,92448,0.4,124152,1.75 114,1,2024-09-07 08:45:30:718,356913,356913,0,0,168047207545,1754188276,352520,2909,1484,381,391534,0 114,2,2024-09-07 08:45:30:882,258643,258642,1,0,11035164,0,5069 114,3,2024-09-07 08:45:31:281,1,203,7,0,395,2280,203,0 115,0,2024-09-07 08:45:30:553,50914,0.2,51279,0.4,102419,0.2,136268,1.50 115,1,2024-09-07 08:45:30:571,356804,356804,0,0,167398476311,1744071124,352845,3138,821,382,391602,0 115,2,2024-09-07 08:45:31:142,258275,258275,0,0,9517085,0,2152 115,3,2024-09-07 08:45:31:003,1,203,0,0,159,1260,203,0 116,0,2024-09-07 08:45:31:746,52705,0.7,52426,0.9,105129,0.7,140455,2.00 116,1,2024-09-07 08:45:30:807,354902,354902,0,0,166400032841,1767228210,348855,3995,2052,380,391782,0 116,2,2024-09-07 08:45:31:754,252633,252633,0,0,13175406,0,3529 116,3,2024-09-07 08:45:30:917,1,203,1,0,252,2749,203,0 117,0,2024-09-07 08:45:30:953,53411,1.0,53394,1.0,106723,1.2,142831,2.00 117,1,2024-09-07 08:45:31:637,356392,356392,0,0,166616792421,1746480156,352138,3778,476,370,392033,0 117,2,2024-09-07 08:45:31:119,258317,258317,0,0,10479175,0,3700 117,3,2024-09-07 08:45:31:066,1,203,4,0,490,3734,203,0 118,0,2024-09-07 08:45:31:791,46339,0.8,47529,0.8,96792,0.9,126160,2.25 118,1,2024-09-07 08:45:30:595,356038,356038,0,0,166002291172,1750178780,350256,4355,1427,366,391736,0 118,2,2024-09-07 08:45:31:586,259177,259177,0,0,11957825,0,2781 118,3,2024-09-07 08:45:31:764,1,203,9,0,235,2482,203,0 119,0,2024-09-07 08:45:31:339,48995,0.5,49267,0.7,99146,0.4,131655,2.00 119,1,2024-09-07 08:45:30:549,357131,357131,0,0,166674035569,1740271606,353881,2942,308,368,391641,0 119,2,2024-09-07 08:45:31:264,257749,257749,0,0,11239965,0,3526 119,3,2024-09-07 08:45:31:334,1,203,5,0,443,3264,203,0 120,0,2024-09-07 08:45:31:549,52544,0.5,52532,0.8,105381,0.5,140515,2.00 120,1,2024-09-07 08:45:30:860,356627,356627,0,0,166404695500,1748131273,352522,3761,344,368,391961,0 120,2,2024-09-07 08:45:30:770,252660,252659,1,0,13331084,0,5281 120,3,2024-09-07 08:45:31:298,1,203,2,0,241,2883,203,0 121,0,2024-09-07 08:45:31:691,54045,1.2,54394,1.0,108493,1.6,144597,2.25 121,1,2024-09-07 08:45:31:654,356668,356668,0,0,167223493409,1751246702,352959,3307,402,367,391840,0 121,2,2024-09-07 08:45:31:127,254156,254156,0,0,12807979,0,4127 121,3,2024-09-07 08:45:30:764,1,203,1,0,269,2576,203,0 122,0,2024-09-07 08:45:31:796,49862,1.0,48591,1.0,101786,1.2,134040,2.25 122,1,2024-09-07 08:45:30:861,355480,355480,0,0,166380384081,1750031636,350245,4495,740,366,392130,0 122,2,2024-09-07 08:45:31:319,259192,259119,73,0,15491117,0,5989 122,3,2024-09-07 08:45:30:594,1,203,9,0,411,4587,203,0 123,0,2024-09-07 08:45:30:960,47296,0.7,46133,0.8,96076,0.8,126009,2.00 123,1,2024-09-07 08:45:30:558,356177,356177,0,0,166979390876,1766511600,348312,6305,1560,369,391823,0 123,2,2024-09-07 08:45:31:018,255989,255988,1,0,12453141,0,5215 123,3,2024-09-07 08:45:31:152,1,203,0,0,168,2479,203,0 124,0,2024-09-07 08:45:30:924,54051,0.3,54028,0.5,101922,0.2,140172,1.50 124,1,2024-09-07 08:45:31:027,357711,357711,0,0,166604420640,1732726169,355266,2087,358,367,392178,0 124,2,2024-09-07 08:45:31:011,254673,254673,0,0,10206560,0,3101 124,3,2024-09-07 08:45:30:772,1,203,1,0,490,2561,203,0 125,0,2024-09-07 08:45:31:433,53201,0.4,53105,0.5,106524,0.3,141687,1.75 125,1,2024-09-07 08:45:30:855,356737,356737,0,0,166546721970,1738626762,354211,2248,278,383,391702,0 125,2,2024-09-07 08:45:31:126,255105,255105,0,0,10229804,0,2180 125,3,2024-09-07 08:45:31:127,1,203,68,0,284,2601,203,0 126,0,2024-09-07 08:45:31:428,51504,0.6,52861,0.7,101164,0.7,136984,1.75 126,1,2024-09-07 08:45:30:556,357500,357500,0,0,167592554106,1739947352,355009,2232,259,365,391987,0 126,2,2024-09-07 08:45:30:610,260749,260749,0,0,11142667,0,3186 126,3,2024-09-07 08:45:30:910,1,203,9,0,150,2800,203,0 127,0,2024-09-07 08:45:31:596,45401,0.4,45655,0.5,90848,0.3,120990,1.75 127,1,2024-09-07 08:45:30:571,357349,357349,0,0,167602212265,1738989569,354634,2563,152,365,391816,0 127,2,2024-09-07 08:45:30:637,258170,258170,0,0,10251058,0,2264 127,3,2024-09-07 08:45:31:268,1,203,0,0,243,1751,203,0 128,0,2024-09-07 08:45:31:581,51848,0.3,51636,0.4,103190,0.2,137294,1.50 128,1,2024-09-07 08:45:31:605,357542,357542,0,0,167057445435,1733385056,355643,1744,155,367,391680,0 128,2,2024-09-07 08:45:31:384,256401,256401,0,0,9803364,0,2107 128,3,2024-09-07 08:45:30:770,1,203,1,0,1082,3800,203,0 129,0,2024-09-07 08:45:30:996,53223,0.3,52923,0.4,105813,0.3,140575,1.50 129,1,2024-09-07 08:45:30:567,355562,355562,0,0,166909599645,1751906174,351635,3000,927,379,391835,0 129,2,2024-09-07 08:45:30:695,254550,254550,0,0,10210001,0,4031 129,3,2024-09-07 08:45:30:692,1,203,9,0,469,2957,203,0 130,0,2024-09-07 08:45:31:738,53180,0.6,53060,0.7,106302,0.7,141403,1.75 130,1,2024-09-07 08:45:30:584,357264,357264,0,0,167484594999,1744143499,355025,2164,75,381,391825,0 130,2,2024-09-07 08:45:31:125,258755,258755,0,0,10295077,0,4067 130,3,2024-09-07 08:45:31:299,1,203,8,0,450,2322,203,0 131,0,2024-09-07 08:45:31:940,46240,0.5,46590,0.6,93816,0.5,123627,1.75 131,1,2024-09-07 08:45:31:821,357605,357605,0,0,167118856385,1742085017,355185,1997,423,383,391865,0 131,2,2024-09-07 08:45:30:567,260206,260206,0,0,9711101,0,2415 131,3,2024-09-07 08:45:31:694,1,203,39,0,392,2109,203,0 132,0,2024-09-07 08:45:31:412,50022,0.5,50677,0.7,101443,0.4,135266,2.00 132,1,2024-09-07 08:45:30:577,355704,355704,0,0,165972099374,1754046336,349631,4993,1080,381,392097,0 132,2,2024-09-07 08:45:30:707,257388,257388,0,0,13118891,0,4606 132,3,2024-09-07 08:45:31:692,1,203,1,0,356,3297,203,0 133,0,2024-09-07 08:45:31:624,51699,0.4,52954,0.6,108474,0.4,140469,1.75 133,1,2024-09-07 08:45:30:585,354866,354866,0,0,166454609865,1754956341,349944,4276,646,383,391914,0 133,2,2024-09-07 08:45:31:102,252563,252563,0,0,12750514,0,4315 133,3,2024-09-07 08:45:31:305,1,203,5,0,276,2136,203,0 134,0,2024-09-07 08:45:30:951,54290,0.5,54249,0.7,108989,0.5,144674,2.00 134,1,2024-09-07 08:45:30:611,355758,355758,0,0,165813816508,1743542313,350255,4104,1399,366,391718,0 134,2,2024-09-07 08:45:31:764,255517,255517,0,0,11015161,0,3847 134,3,2024-09-07 08:45:30:770,1,203,8,0,739,3352,203,0 135,0,2024-09-07 08:45:31:101,47441,1.2,47446,1.1,100714,1.4,129701,2.25 135,1,2024-09-07 08:45:31:584,355423,355423,0,0,167339899577,1760602255,350476,4050,897,380,391805,0 135,2,2024-09-07 08:45:30:690,259929,259929,0,0,12739506,0,3981 135,3,2024-09-07 08:45:31:003,1,203,4,0,299,1457,203,0 136,0,2024-09-07 08:45:31:613,48552,0.5,48536,0.7,97001,0.5,129502,2.25 136,1,2024-09-07 08:45:31:455,355743,355743,0,0,166474696341,1751757825,350775,4426,542,382,391641,0 136,2,2024-09-07 08:45:31:142,258741,258741,0,0,11906274,0,3506 136,3,2024-09-07 08:45:31:110,1,203,1,0,126,1725,203,0 137,0,2024-09-07 08:45:30:931,54696,0.7,53107,0.8,104845,0.7,142074,2.00 137,1,2024-09-07 08:45:30:576,355438,355438,0,0,166566896214,1752484380,349088,4980,1370,366,391708,0 137,2,2024-09-07 08:45:31:728,252981,252981,0,0,13484646,0,3185 137,3,2024-09-07 08:45:30:771,1,203,1,0,227,2186,203,0 138,0,2024-09-07 08:45:31:809,53067,1.0,53173,1.0,106793,1.2,142352,2.25 138,1,2024-09-07 08:45:31:705,356042,356042,0,0,166824093206,1752116825,350552,4603,887,368,391954,0 138,2,2024-09-07 08:45:30:586,255214,255214,0,0,12100326,0,4988 138,3,2024-09-07 08:45:30:610,1,203,8,0,1160,3541,203,0 139,0,2024-09-07 08:45:31:419,49461,2.6,49488,1.5,99926,3.7,133239,3.00 139,1,2024-09-07 08:45:30:571,354444,354444,0,0,166399500925,1771368157,347223,5346,1875,381,391892,0 139,2,2024-09-07 08:45:30:695,258036,258036,0,0,12716545,0,3097 139,3,2024-09-07 08:45:31:663,1,203,2,0,244,2177,203,0 140,0,2024-09-07 08:45:31:587,46597,0.3,46067,0.5,92970,0.3,123715,1.75 140,1,2024-09-07 08:45:31:536,358045,358045,0,0,167773663733,1730627019,356311,1494,240,365,391606,0 140,2,2024-09-07 08:45:30:689,258589,258589,0,0,10568754,0,3388 140,3,2024-09-07 08:45:30:768,1,203,0,0,247,1729,203,0 141,0,2024-09-07 08:45:31:699,51672,0.3,53036,0.4,101249,0.2,137646,1.50 141,1,2024-09-07 08:45:30:860,358112,358112,0,0,167692803836,1740426052,356038,1719,355,379,391614,0 141,2,2024-09-07 08:45:31:687,256018,256018,0,0,9848531,0,2342 141,3,2024-09-07 08:45:31:045,1,203,1,0,176,1723,203,0 142,0,2024-09-07 08:45:31:353,53547,0.3,53121,0.4,105626,0.2,141494,1.50 142,1,2024-09-07 08:45:30:585,356681,356681,0,0,167407941743,1746540554,354442,1999,240,383,392102,0 142,2,2024-09-07 08:45:31:302,253684,253652,32,0,11391957,0,6028 142,3,2024-09-07 08:45:31:746,1,203,9,0,484,2766,203,0 143,0,2024-09-07 08:45:31:377,51851,0.6,51715,0.7,104280,0.6,138048,1.75 143,1,2024-09-07 08:45:30:556,357351,357351,0,0,166636427915,1730061904,355029,2276,46,367,391619,0 143,2,2024-09-07 08:45:30:772,258569,258569,0,0,10740866,0,2669 143,3,2024-09-07 08:45:31:153,1,203,5,0,303,2931,203,0 144,0,2024-09-07 08:45:31:498,44402,0.6,45759,1.1,92803,0.6,122400,2.00 144,1,2024-09-07 08:45:30:565,355846,355846,0,0,166446329363,1744135340,353276,2363,207,381,391649,0 144,2,2024-09-07 08:45:31:759,258616,258616,0,0,10482994,0,3473 144,3,2024-09-07 08:45:31:745,1,203,22,0,249,2397,203,0 145,0,2024-09-07 08:45:31:386,49282,0.5,49309,0.7,104609,0.4,135757,2.00 145,1,2024-09-07 08:45:30:565,354753,354753,0,0,166522441233,1754327180,349550,4384,819,382,391698,0 145,2,2024-09-07 08:45:31:461,256476,256476,0,0,11884671,0,3903 145,3,2024-09-07 08:45:30:901,1,203,132,0,579,3167,203,0 146,0,2024-09-07 08:45:31:602,52663,0.5,52117,0.8,105140,0.5,139570,2.00 146,1,2024-09-07 08:45:31:597,356711,356711,0,0,167117472021,1759101104,350484,4844,1383,368,391770,0 146,2,2024-09-07 08:45:31:705,253291,253291,0,0,11414473,0,2498 146,3,2024-09-07 08:45:31:274,1,203,8,0,1520,5520,203,0 147,0,2024-09-07 08:45:31:715,53345,0.7,53292,0.8,105996,0.6,142404,2.25 147,1,2024-09-07 08:45:31:381,357581,357581,0,0,167271623768,1742583563,353888,3124,569,368,391791,0 147,2,2024-09-07 08:45:31:010,257928,257928,0,0,10390587,0,2789 147,3,2024-09-07 08:45:30:922,1,203,1,0,730,3186,203,0 0,0,2024-09-07 08:45:41:712,51347,0.5,51413,0.7,108967,0.5,140955,1.75 0,1,2024-09-07 08:45:40:818,358412,358412,0,0,168171892241,1760911927,355687,2524,201,372,391772,0 0,2,2024-09-07 08:45:41:077,254779,254779,0,0,10414696,0,4480 0,3,2024-09-07 08:45:40:975,1,204,4,0,247,2626,204,0 1,0,2024-09-07 08:45:41:795,54586,1.0,54163,1.0,108945,1.3,145357,2.25 1,1,2024-09-07 08:45:40:561,357932,357932,0,0,166807438679,1749287365,354390,2674,868,371,391857,0 1,2,2024-09-07 08:45:40:703,256043,256043,0,0,10116362,0,3267 1,3,2024-09-07 08:45:41:312,1,204,7,0,262,2480,204,0 2,0,2024-09-07 08:45:41:593,50258,0.9,50584,0.9,100406,1.3,134083,2.25 2,1,2024-09-07 08:45:40:863,358962,358962,0,0,168642556830,1753858865,357008,1736,218,380,391745,0 2,2,2024-09-07 08:45:41:267,261059,261059,0,0,10767115,0,3594 2,3,2024-09-07 08:45:40:690,1,204,8,0,357,2019,204,0 3,0,2024-09-07 08:45:41:755,47447,0.4,47578,0.6,94816,0.4,126203,2.00 3,1,2024-09-07 08:45:41:618,358367,358367,0,0,167606141421,1749044900,354708,3199,460,380,391523,0 3,2,2024-09-07 08:45:41:142,259329,259306,23,0,10723053,0,5851 3,3,2024-09-07 08:45:41:752,1,204,2,0,103,1290,204,0 4,0,2024-09-07 08:45:41:804,51245,0.4,52693,0.5,107245,0.3,141266,1.75 4,1,2024-09-07 08:45:40:661,358126,358126,0,0,166986651275,1755382679,354277,3174,675,371,391846,0 4,2,2024-09-07 08:45:41:021,254146,254146,0,0,12634480,0,4528 4,3,2024-09-07 08:45:41:027,1,204,25,0,448,3315,204,0 5,0,2024-09-07 08:45:41:386,53490,0.4,53629,0.6,106756,0.4,142330,1.75 5,1,2024-09-07 08:45:40:769,358124,358124,0,0,167653733531,1765424529,353454,3613,1057,368,392005,0 5,2,2024-09-07 08:45:41:830,254786,254786,0,0,11180655,0,2259 5,3,2024-09-07 08:45:41:734,1,204,7,0,278,2969,204,0 6,0,2024-09-07 08:45:40:919,51835,0.8,51322,0.9,102385,0.9,137161,2.25 6,1,2024-09-07 08:45:40:749,358601,358601,0,0,167460196378,1752960020,354393,3403,805,379,391634,0 6,2,2024-09-07 08:45:41:116,260702,260702,0,0,11642099,0,4816 6,3,2024-09-07 08:45:41:285,1,204,9,0,340,2640,204,0 7,0,2024-09-07 08:45:41:530,45221,0.4,45244,0.6,90384,0.3,120480,1.75 7,1,2024-09-07 08:45:40:850,357564,357564,0,0,168028444065,1766871392,352154,4436,974,382,391686,0 7,2,2024-09-07 08:45:40:771,258299,258299,0,0,10958903,0,2981 7,3,2024-09-07 08:45:40:866,1,204,6,0,305,2108,204,0 8,0,2024-09-07 08:45:41:349,51619,0.3,51764,0.5,103141,0.3,138005,1.50 8,1,2024-09-07 08:45:41:019,357256,357256,0,0,167960365414,1774271422,350197,5224,1835,367,391956,0 8,2,2024-09-07 08:45:40:791,254449,254449,0,0,13160554,0,2986 8,3,2024-09-07 08:45:40:598,1,204,0,0,357,2989,204,0 9,0,2024-09-07 08:45:41:119,53124,0.4,51545,0.5,107645,0.3,141120,1.75 9,1,2024-09-07 08:45:40:551,357673,357673,0,0,167624782424,1771857815,351678,4458,1537,370,392001,0 9,2,2024-09-07 08:45:41:096,255218,255218,0,0,11523128,0,3360 9,3,2024-09-07 08:45:41:755,1,204,11,0,496,3244,204,0 10,0,2024-09-07 08:45:41:601,53199,0.3,52806,0.5,105955,0.3,140997,1.75 10,1,2024-09-07 08:45:40:584,358051,358051,0,0,167621198949,1760762135,352314,4893,844,381,391741,0 10,2,2024-09-07 08:45:40:766,259437,259437,0,0,13121350,0,4264 10,3,2024-09-07 08:45:40:872,1,204,158,0,177,1731,204,0 11,0,2024-09-07 08:45:41:035,45834,0.5,44542,0.8,93265,0.5,123772,2.00 11,1,2024-09-07 08:45:40:576,357840,357840,0,0,167318531533,1766329586,350222,5705,1913,383,391537,0 11,2,2024-09-07 08:45:41:135,259684,259684,0,0,12030765,0,3411 11,3,2024-09-07 08:45:41:303,1,204,1,0,720,3045,204,0 12,0,2024-09-07 08:45:40:946,51206,0.3,50974,0.5,102087,0.3,136405,1.75 12,1,2024-09-07 08:45:40:938,358563,358563,0,0,167055442059,1745111587,355332,2812,419,370,391837,0 12,2,2024-09-07 08:45:41:545,259518,259518,0,0,11937383,0,3469 12,3,2024-09-07 08:45:41:064,1,204,133,0,386,3915,204,0 13,0,2024-09-07 08:45:41:362,53448,0.4,53289,0.5,106561,0.3,141528,1.75 13,1,2024-09-07 08:45:41:544,357516,357516,0,0,167006654351,1754377109,354403,2585,528,382,391717,0 13,2,2024-09-07 08:45:40:604,255378,255378,0,0,10683635,0,3287 13,3,2024-09-07 08:45:41:761,1,204,15,0,467,3636,204,0 14,0,2024-09-07 08:45:40:571,54615,0.4,55133,0.6,109000,0.4,144924,1.75 14,1,2024-09-07 08:45:41:566,360345,360345,0,0,168437214176,1746603377,357732,2424,189,364,391571,0 14,2,2024-09-07 08:45:40:764,257243,257243,0,0,10890140,0,2896 14,3,2024-09-07 08:45:41:115,1,204,12,0,1168,3227,204,0 15,0,2024-09-07 08:45:41:566,48891,0.6,49253,0.9,98359,0.7,130657,2.25 15,1,2024-09-07 08:45:41:613,358725,358725,0,0,167874864281,1747253342,356450,2131,144,381,391619,0 15,2,2024-09-07 08:45:41:009,261846,261846,0,0,9546450,0,3043 15,3,2024-09-07 08:45:41:406,1,204,2,0,1126,4726,204,0 16,0,2024-09-07 08:45:40:933,48568,0.6,48950,0.8,97062,0.6,129978,2.25 16,1,2024-09-07 08:45:40:566,359021,359021,0,0,167811755796,1756172334,355921,2818,282,370,391756,0 16,2,2024-09-07 08:45:41:435,258903,258903,0,0,11803818,0,4719 16,3,2024-09-07 08:45:41:148,1,204,1,0,317,2854,204,0 17,0,2024-09-07 08:45:41:827,54930,0.5,53556,0.8,104914,0.5,142217,2.00 17,1,2024-09-07 08:45:40:574,357526,357526,0,0,167276960734,1758625675,353443,3288,795,368,391688,0 17,2,2024-09-07 08:45:41:667,257148,257148,0,0,10415479,0,2857 17,3,2024-09-07 08:45:40:574,1,204,69,0,268,3304,204,0 18,0,2024-09-07 08:45:40:952,53176,0.8,53367,0.9,106777,0.8,142575,2.50 18,1,2024-09-07 08:45:41:638,358826,358826,0,0,168038305119,1743888164,356437,2152,237,367,391564,0 18,2,2024-09-07 08:45:41:755,256759,256759,0,0,10533262,0,3541 18,3,2024-09-07 08:45:40:902,1,204,16,0,163,2161,204,0 19,0,2024-09-07 08:45:41:548,50147,0.9,50687,0.9,100005,1.0,133268,2.50 19,1,2024-09-07 08:45:40:568,358803,358803,0,0,168545514852,1755809907,354354,3665,784,367,391777,0 19,2,2024-09-07 08:45:41:752,262478,262478,0,0,9796451,0,3988 19,3,2024-09-07 08:45:41:133,1,204,3,0,524,1699,204,0 20,0,2024-09-07 08:45:41:358,46493,0.6,46389,0.8,93193,0.6,124338,2.25 20,1,2024-09-07 08:45:40:583,357941,357941,0,0,167582585747,1756219106,354237,3309,395,369,391822,0 20,2,2024-09-07 08:45:40:928,259179,259179,0,0,11087884,0,3721 20,3,2024-09-07 08:45:40:613,1,204,53,0,414,4264,204,0 21,0,2024-09-07 08:45:41:170,51981,0.4,52141,0.6,104065,0.3,137751,1.75 21,1,2024-09-07 08:45:41:557,357166,357166,0,0,166613601857,1758958754,351819,4114,1233,368,391962,0 21,2,2024-09-07 08:45:41:073,255749,255749,0,0,12140372,0,3747 21,3,2024-09-07 08:45:41:413,1,204,6,0,103,2321,204,0 22,0,2024-09-07 08:45:41:717,53184,0.7,53145,0.8,105897,0.7,141242,2.25 22,1,2024-09-07 08:45:41:023,357434,357434,0,0,167094906581,1762308445,351218,4628,1588,382,391667,0 22,2,2024-09-07 08:45:40:766,255532,255532,0,0,10532102,0,3134 22,3,2024-09-07 08:45:41:066,1,204,12,0,228,2073,204,0 23,0,2024-09-07 08:45:41:374,51588,0.7,51584,0.8,103619,0.8,137959,2.25 23,1,2024-09-07 08:45:41:005,358319,358319,0,0,167881723835,1767233781,351524,4246,2549,365,391549,0 23,2,2024-09-07 08:45:41:111,260169,260169,0,0,10640624,0,3010 23,3,2024-09-07 08:45:41:754,1,204,27,0,645,2561,204,0 24,0,2024-09-07 08:45:40:820,46760,0.5,46754,0.6,93617,0.5,124525,1.75 24,1,2024-09-07 08:45:40:583,358200,358200,0,0,166963267043,1750770347,354068,3104,1028,369,391640,0 24,2,2024-09-07 08:45:41:070,258447,258447,0,0,13190086,0,3607 24,3,2024-09-07 08:45:41:691,1,204,2,0,468,3020,204,0 25,0,2024-09-07 08:45:41:440,52742,0.4,51455,0.6,101022,0.4,137967,2.00 25,1,2024-09-07 08:45:40:558,358357,358357,0,0,168130473187,1762323896,354423,3388,546,371,391788,0 25,2,2024-09-07 08:45:41:615,256939,256939,0,0,13219779,0,3978 25,3,2024-09-07 08:45:41:008,1,204,3,0,255,2371,204,0 26,0,2024-09-07 08:45:41:720,52615,0.4,51404,0.6,107834,0.4,140237,1.75 26,1,2024-09-07 08:45:41:558,358802,358802,0,0,166658159887,1749913268,353305,4516,981,381,391748,0 26,2,2024-09-07 08:45:40:868,255208,255208,0,0,12106422,0,2809 26,3,2024-09-07 08:45:41:712,1,204,8,0,796,2972,204,0 27,0,2024-09-07 08:45:41:723,53998,0.5,53872,0.7,106783,0.5,142534,2.00 27,1,2024-09-07 08:45:41:676,359841,359841,0,0,168330561932,1749893156,357540,1972,329,381,391558,0 27,2,2024-09-07 08:45:40:872,256653,256588,65,0,13279549,0,5699 27,3,2024-09-07 08:45:41:016,1,204,3,0,564,2179,204,0 28,0,2024-09-07 08:45:41:394,47468,0.6,47573,0.8,95621,0.8,126888,2.25 28,1,2024-09-07 08:45:40:804,359304,359304,0,0,168678042359,1759781435,356484,2350,470,383,391646,0 28,2,2024-09-07 08:45:41:764,260986,260986,0,0,10714362,0,2915 28,3,2024-09-07 08:45:41:777,1,204,2,0,502,2339,204,0 29,0,2024-09-07 08:45:41:358,51005,0.3,49943,0.5,97345,0.2,133481,1.75 29,1,2024-09-07 08:45:41:586,360029,360029,0,0,168401692738,1745963232,357042,2424,563,369,391621,0 29,2,2024-09-07 08:45:40:867,258901,258901,0,0,9887131,0,4018 29,3,2024-09-07 08:45:40:965,1,204,1,0,115,1541,204,0 30,0,2024-09-07 08:45:41:458,52694,0.7,51288,0.8,107427,0.6,140340,2.00 30,1,2024-09-07 08:45:40:583,359789,359789,0,0,169199547801,1755782638,357625,1871,293,382,391672,0 30,2,2024-09-07 08:45:41:289,255297,255297,0,0,9553757,0,3161 30,3,2024-09-07 08:45:40:587,1,204,9,0,195,1445,204,0 31,0,2024-09-07 08:45:41:758,54048,0.5,54428,0.6,109279,0.4,145161,2.00 31,1,2024-09-07 08:45:40:568,361012,361012,0,0,168965765614,1733048078,359928,927,157,356,391712,0 31,2,2024-09-07 08:45:41:275,255827,255827,0,0,11150614,0,3525 31,3,2024-09-07 08:45:41:710,1,204,4,0,220,1788,204,0 32,0,2024-09-07 08:45:41:417,50124,0.4,50675,0.6,101313,0.4,134772,1.75 32,1,2024-09-07 08:45:40:822,359544,359544,0,0,168519737502,1749729134,357700,1568,276,381,391595,0 32,2,2024-09-07 08:45:40:936,262068,262068,0,0,9514664,0,3155 32,3,2024-09-07 08:45:41:018,1,204,5,0,227,1645,204,0 33,0,2024-09-07 08:45:41:524,47941,0.3,47358,0.5,95397,0.2,127074,1.75 33,1,2024-09-07 08:45:40:575,360138,360138,0,0,168616127286,1743776771,357780,2186,172,369,391730,0 33,2,2024-09-07 08:45:40:761,259945,259912,33,0,11647456,0,7012 33,3,2024-09-07 08:45:40:908,1,204,9,0,329,2506,204,0 34,0,2024-09-07 08:45:40:936,52800,0.3,54436,0.5,104280,0.2,140299,1.75 34,1,2024-09-07 08:45:41:048,360524,360524,0,0,169608062009,1746007404,359461,1056,7,367,391562,0 34,2,2024-09-07 08:45:40:766,254995,254995,0,0,10568593,0,3577 34,3,2024-09-07 08:45:41:688,1,204,13,0,148,1394,204,0 35,0,2024-09-07 08:45:40:873,52879,0.4,53374,0.5,107022,0.3,142630,1.75 35,1,2024-09-07 08:45:41:073,358308,358308,0,0,168330535567,1748945352,355066,2463,779,384,391589,0 35,2,2024-09-07 08:45:41:586,256793,256793,0,0,9465761,0,2653 35,3,2024-09-07 08:45:40:912,1,204,0,0,418,2381,204,0 36,0,2024-09-07 08:45:41:528,51529,0.8,51467,0.9,103045,0.9,136792,2.50 36,1,2024-09-07 08:45:40:588,358585,358585,0,0,167290317957,1751575456,353531,3744,1310,366,391759,0 36,2,2024-09-07 08:45:41:751,260357,260357,0,0,11482238,0,3303 36,3,2024-09-07 08:45:40:868,1,204,225,0,378,3313,204,0 37,0,2024-09-07 08:45:41:369,45277,0.4,45145,0.7,90415,0.4,121394,2.00 37,1,2024-09-07 08:45:40:569,357982,357975,0,7,167419101854,1757914430,352618,3327,2030,365,391560,0 37,2,2024-09-07 08:45:41:155,258361,258346,15,0,11588827,0,5815 37,3,2024-09-07 08:45:41:766,1,204,55,0,888,3970,204,0 38,0,2024-09-07 08:45:41:446,51492,0.4,50061,0.6,104736,0.3,137440,2.00 38,1,2024-09-07 08:45:41:605,359723,359723,0,0,168155469436,1757063610,355236,3841,646,368,391821,0 38,2,2024-09-07 08:45:40:766,256874,256827,47,0,12730820,0,6710 38,3,2024-09-07 08:45:41:004,1,204,10,0,689,3262,204,0 39,0,2024-09-07 08:45:41:760,54050,0.7,53134,0.7,103063,0.7,141066,2.00 39,1,2024-09-07 08:45:40:719,359285,359285,0,0,168337832424,1755598424,355097,3386,802,365,391524,0 39,2,2024-09-07 08:45:41:420,255261,255261,0,0,10417963,0,2689 39,3,2024-09-07 08:45:40:718,1,204,3,0,293,2823,204,0 40,0,2024-09-07 08:45:41:491,52365,0.8,52899,1.0,105897,0.9,140444,3.00 40,1,2024-09-07 08:45:40:576,358506,358506,0,0,167603590811,1759836193,352450,4823,1233,368,391591,0 40,2,2024-09-07 08:45:41:304,258253,258252,1,0,13298498,0,5137 40,3,2024-09-07 08:45:41:154,1,204,0,0,333,2462,204,0 41,0,2024-09-07 08:45:41:038,45793,1.5,46792,1.4,89539,2.8,122383,3.75 41,1,2024-09-07 08:45:40:768,358144,358144,0,0,168084531857,1759899205,353303,4280,561,370,391742,0 41,2,2024-09-07 08:45:40:759,258118,258118,0,0,13093453,0,3356 41,3,2024-09-07 08:45:41:677,1,204,1,0,366,2403,204,0 42,0,2024-09-07 08:45:41:480,50476,0.7,50593,0.9,101113,0.6,134347,2.50 42,1,2024-09-07 08:45:41:442,356960,356960,0,0,167724755128,1763560566,351368,4518,1074,380,391675,0 42,2,2024-09-07 08:45:41:135,258412,258412,0,0,12122684,0,3568 42,3,2024-09-07 08:45:41:013,1,204,8,0,446,1735,204,0 43,0,2024-09-07 08:45:40:930,52853,0.8,51475,0.9,107445,0.8,141155,2.25 43,1,2024-09-07 08:45:40:576,358855,358855,0,0,168834889088,1761425985,354748,3310,797,366,391696,0 43,2,2024-09-07 08:45:41:736,255110,255110,0,0,11255902,0,3812 43,3,2024-09-07 08:45:41:749,1,204,1,0,467,2751,204,0 44,0,2024-09-07 08:45:40:904,54402,0.5,54731,0.7,109111,0.4,145469,1.75 44,1,2024-09-07 08:45:40:565,359697,359697,0,0,167566504920,1732988114,357332,1935,430,356,391809,0 44,2,2024-09-07 08:45:41:278,256674,256674,0,0,9652486,0,1877 44,3,2024-09-07 08:45:41:092,1,204,0,0,817,2290,204,0 45,0,2024-09-07 08:45:41:800,48511,0.8,47496,1.0,99713,0.9,131354,2.00 45,1,2024-09-07 08:45:41:005,359137,359137,0,0,167446336951,1737033691,357808,1318,11,382,391917,0 45,2,2024-09-07 08:45:41:268,261718,261718,0,0,10586417,0,3596 45,3,2024-09-07 08:45:40:937,1,204,0,0,267,2176,204,0 46,0,2024-09-07 08:45:40:957,48672,0.4,48528,0.6,97290,0.4,129795,2.00 46,1,2024-09-07 08:45:40:581,359975,359975,0,0,167550495016,1732602830,357800,1903,272,366,391524,0 46,2,2024-09-07 08:45:40:600,259687,259687,0,0,10228043,0,2920 46,3,2024-09-07 08:45:41:132,1,204,8,0,908,3322,204,0 47,0,2024-09-07 08:45:41:112,53574,0.4,53547,0.6,107577,0.4,142221,1.75 47,1,2024-09-07 08:45:40:573,360271,360271,0,0,167649137279,1731848846,358460,1791,20,366,391605,0 47,2,2024-09-07 08:45:40:912,256272,256272,0,0,10012492,0,2558 47,3,2024-09-07 08:45:41:115,1,204,0,0,529,2157,204,0 48,0,2024-09-07 08:45:41:489,54177,0.3,53882,0.4,107389,0.2,143620,1.50 48,1,2024-09-07 08:45:41:023,360119,360119,0,0,168147078985,1747136450,357705,2196,218,384,391710,0 48,2,2024-09-07 08:45:40:702,256585,256585,0,0,9406681,0,3031 48,3,2024-09-07 08:45:40:754,1,204,4,0,339,1826,204,0 49,0,2024-09-07 08:45:41:711,51991,0.4,51058,0.6,99061,0.4,135429,1.75 49,1,2024-09-07 08:45:41:023,358056,358056,0,0,167675658778,1750063335,354595,2351,1110,382,391610,0 49,2,2024-09-07 08:45:41:802,262124,262124,0,0,10222149,0,3900 49,3,2024-09-07 08:45:41:419,1,204,0,0,408,2549,204,0 50,0,2024-09-07 08:45:41:506,46761,0.3,46183,0.5,92949,0.2,124698,1.75 50,1,2024-09-07 08:45:41:011,360506,360506,0,0,168715686923,1748085366,357851,2345,310,368,391530,0 50,2,2024-09-07 08:45:41:073,259612,259612,0,0,9747854,0,2263 50,3,2024-09-07 08:45:41:293,1,204,13,0,335,1782,204,0 51,0,2024-09-07 08:45:41:688,53216,0.2,52108,0.4,101573,0.2,138590,1.50 51,1,2024-09-07 08:45:41:687,359764,359764,0,0,168845398733,1746310933,357154,1671,939,365,391637,0 51,2,2024-09-07 08:45:41:332,256168,256168,0,0,8936029,0,3337 51,3,2024-09-07 08:45:41:026,1,204,1,0,678,1876,204,0 52,0,2024-09-07 08:45:41:419,53704,0.5,53497,0.6,106777,0.4,141921,2.00 52,1,2024-09-07 08:45:40:587,358086,358086,0,0,166823251856,1753679466,351829,5097,1160,368,391722,0 52,2,2024-09-07 08:45:41:757,254020,253982,38,0,12295757,0,6742 52,3,2024-09-07 08:45:40:679,1,204,90,0,1782,3914,204,0 53,0,2024-09-07 08:45:41:736,51445,0.8,50062,0.9,104799,1.0,137146,2.50 53,1,2024-09-07 08:45:40:773,357362,357362,0,0,167421190673,1762078846,351146,4181,2035,367,391702,0 53,2,2024-09-07 08:45:41:316,260123,260123,0,0,10351101,0,2262 53,3,2024-09-07 08:45:40:713,1,204,78,0,271,1944,204,0 54,0,2024-09-07 08:45:41:617,45289,1.2,45707,1.0,90570,0.6,121827,3.00 54,1,2024-09-07 08:45:40:581,358792,358792,0,0,167989317583,1750602214,354554,3667,571,367,391659,0 54,2,2024-09-07 08:45:40:878,258852,258846,6,0,11758115,0,5382 54,3,2024-09-07 08:45:40:765,1,204,11,0,676,3467,204,0 55,0,2024-09-07 08:45:41:804,49749,0.5,51525,0.7,103797,0.4,135342,2.25 55,1,2024-09-07 08:45:40:764,357947,357947,0,0,166578691816,1740299459,352452,4708,787,365,391731,0 55,2,2024-09-07 08:45:40:729,257235,257235,0,0,11842907,0,3275 55,3,2024-09-07 08:45:40:687,1,204,0,0,304,2640,204,0 56,0,2024-09-07 08:45:41:660,53984,1.3,50895,1.1,104781,1.8,140102,2.50 56,1,2024-09-07 08:45:40:579,357725,357725,0,0,167848899714,1775448094,351848,4934,943,381,391678,0 56,2,2024-09-07 08:45:41:303,254876,254876,0,0,12352380,0,3567 56,3,2024-09-07 08:45:41:072,1,204,21,0,705,3332,204,0 57,0,2024-09-07 08:45:40:943,52826,1.9,52956,1.4,105849,2.7,141574,3.25 57,1,2024-09-07 08:45:40:985,358306,358306,0,0,167023877598,1750610690,354354,3444,508,367,391960,0 57,2,2024-09-07 08:45:41:325,258149,258149,0,0,13348927,0,3317 57,3,2024-09-07 08:45:41:738,1,204,1,0,359,2993,204,0 58,0,2024-09-07 08:45:40:557,46486,0.9,45196,1.1,94664,1.0,123419,2.50 58,1,2024-09-07 08:45:40:588,358737,358734,0,3,167871291364,1756752661,353582,4458,694,367,391545,3 58,2,2024-09-07 08:45:41:071,260679,260679,0,0,12048590,0,2549 58,3,2024-09-07 08:45:41:071,1,204,8,0,1043,2579,204,0 59,0,2024-09-07 08:45:41:743,49834,0.7,49511,0.9,99073,0.7,132222,2.75 59,1,2024-09-07 08:45:40:821,358499,358499,0,0,167915717108,1757216228,354172,3344,983,369,391525,0 59,2,2024-09-07 08:45:40:589,260201,260201,0,0,11024224,0,2604 59,3,2024-09-07 08:45:41:737,1,204,1,0,1015,3261,204,0 60,0,2024-09-07 08:45:41:725,53140,0.5,52878,0.6,106325,0.4,141065,1.75 60,1,2024-09-07 08:45:40:773,360049,360049,0,0,168937300218,1753551598,357816,1720,513,370,391761,0 60,2,2024-09-07 08:45:41:155,254855,254855,0,0,11356691,0,3811 60,3,2024-09-07 08:45:41:261,1,204,8,0,124,1912,204,0 61,0,2024-09-07 08:45:41:536,54419,0.8,54615,0.9,108971,0.9,145367,2.00 61,1,2024-09-07 08:45:40:782,358607,358607,0,0,167966450698,1760863980,354468,3496,643,382,391880,0 61,2,2024-09-07 08:45:41:122,257040,257040,0,0,10594101,0,2090 61,3,2024-09-07 08:45:41:687,1,204,1,0,397,2836,204,0 62,0,2024-09-07 08:45:41:715,50667,1.0,51746,0.9,98654,1.3,134611,2.25 62,1,2024-09-07 08:45:41:116,360730,360724,0,6,168926280056,1744976493,358361,2247,116,365,391715,6 62,2,2024-09-07 08:45:41:646,260438,260437,1,0,11950335,0,5555 62,3,2024-09-07 08:45:41:148,1,204,9,0,287,1471,204,0 63,0,2024-09-07 08:45:41:453,47632,0.5,47621,0.7,95232,0.5,126847,2.00 63,1,2024-09-07 08:45:40:809,360222,360216,0,6,168561254482,1748114166,358682,1485,49,381,391677,6 63,2,2024-09-07 08:45:40:773,259563,259563,0,0,9884374,0,2674 63,3,2024-09-07 08:45:41:732,1,204,85,0,667,2699,204,0 64,0,2024-09-07 08:45:41:583,52251,0.4,52492,0.6,105054,0.4,140022,1.75 64,1,2024-09-07 08:45:40:751,358569,358569,0,0,168365066875,1760485420,354180,2975,1414,370,391783,0 64,2,2024-09-07 08:45:41:160,257468,257449,19,0,10633715,0,6121 64,3,2024-09-07 08:45:41:140,1,204,1,0,265,2128,204,0 65,0,2024-09-07 08:45:41:700,52834,0.7,53300,0.8,106220,0.7,141781,2.25 65,1,2024-09-07 08:45:40:863,358061,358061,0,0,167905119051,1759151976,354731,2887,443,382,391901,0 65,2,2024-09-07 08:45:41:698,256095,256095,0,0,11087275,0,3367 65,3,2024-09-07 08:45:41:683,1,204,5,0,163,1941,204,0 66,0,2024-09-07 08:45:41:762,51005,0.6,51113,0.8,102613,0.6,135990,2.25 66,1,2024-09-07 08:45:41:293,359584,359584,0,0,168351297360,1749060835,357460,1947,177,380,391588,0 66,2,2024-09-07 08:45:41:134,263192,263192,0,0,10992276,0,4956 66,3,2024-09-07 08:45:41:085,1,204,13,0,291,2336,204,0 67,0,2024-09-07 08:45:41:415,45737,0.7,45552,0.8,91448,0.7,121432,2.25 67,1,2024-09-07 08:45:40:766,358888,358887,0,1,168423492143,1759219757,355305,2835,747,380,391787,1 67,2,2024-09-07 08:45:40:583,260113,260113,0,0,10044811,0,2889 67,3,2024-09-07 08:45:41:750,1,204,1,0,338,1738,204,0 68,0,2024-09-07 08:45:40:569,51945,0.5,51805,0.7,103103,0.4,138178,2.00 68,1,2024-09-07 08:45:40:571,358062,358062,0,0,167267991556,1755743025,354434,2491,1137,381,391953,0 68,2,2024-09-07 08:45:41:044,256455,256390,65,0,13414832,0,6698 68,3,2024-09-07 08:45:40:729,1,204,67,0,417,2541,204,0 69,0,2024-09-07 08:45:41:724,52712,0.8,52934,0.9,105402,1.0,140481,2.25 69,1,2024-09-07 08:45:41:024,356891,356891,0,0,167548632780,1768731909,352373,3294,1224,384,391994,0 69,2,2024-09-07 08:45:41:738,255584,255584,0,0,12131143,0,3701 69,3,2024-09-07 08:45:40:760,1,204,13,0,698,3229,204,0 70,0,2024-09-07 08:45:41:531,52546,1.4,52501,1.2,105432,0.9,140138,2.50 70,1,2024-09-07 08:45:40:809,359207,359207,0,0,168781445684,1753927667,356162,2539,506,366,391725,0 70,2,2024-09-07 08:45:41:330,259168,259168,0,0,11574835,0,4044 70,3,2024-09-07 08:45:40:745,1,204,12,0,854,2582,204,0 71,0,2024-09-07 08:45:41:367,45753,1.6,45673,1.4,91130,2.2,122584,3.25 71,1,2024-09-07 08:45:41:596,358237,358237,0,0,167337758232,1751519339,353230,4392,615,368,391738,0 71,2,2024-09-07 08:45:41:075,260336,260336,0,0,11883155,0,2579 71,3,2024-09-07 08:45:41:750,1,204,2,0,644,3083,204,0 72,0,2024-09-07 08:45:41:088,52492,0.6,51323,0.8,100049,0.6,136810,2.25 72,1,2024-09-07 08:45:41:033,358811,358811,0,0,168220692499,1758026294,354630,3432,749,369,391819,0 72,2,2024-09-07 08:45:41:755,257845,257845,0,0,13072318,0,2570 72,3,2024-09-07 08:45:41:754,1,204,1,0,364,3584,204,0 73,0,2024-09-07 08:45:41:114,51886,0.4,53152,0.6,108807,0.4,140991,2.00 73,1,2024-09-07 08:45:40:766,358970,358970,0,0,168263314649,1749872074,356329,2427,214,367,391750,0 73,2,2024-09-07 08:45:41:739,255284,255284,0,0,12265618,0,3482 73,3,2024-09-07 08:45:40:971,1,204,1,0,274,3174,204,0 74,0,2024-09-07 08:45:41:347,55085,0.5,56148,0.7,106981,0.5,144962,2.25 74,1,2024-09-07 08:45:40:644,358517,358517,0,0,168089509861,1756663524,354707,3020,790,381,391681,0 74,2,2024-09-07 08:45:41:005,257050,257050,0,0,12487772,0,4253 74,3,2024-09-07 08:45:41:444,1,204,12,0,522,3069,204,0 75,0,2024-09-07 08:45:41:770,49323,0.8,48988,1.0,98389,0.8,131641,2.50 75,1,2024-09-07 08:45:41:586,357820,357820,0,0,167380058902,1753688933,353368,3790,662,381,391579,0 75,2,2024-09-07 08:45:41:350,260832,260832,0,0,12618061,0,4766 75,3,2024-09-07 08:45:41:072,1,204,1,0,702,3019,204,0 76,0,2024-09-07 08:45:40:594,48369,0.6,47920,0.8,95768,0.6,128984,2.50 76,1,2024-09-07 08:45:40:814,358957,358957,0,0,167672031425,1749690155,356446,1965,546,382,391692,0 76,2,2024-09-07 08:45:41:065,260273,260273,0,0,10661510,0,3784 76,3,2024-09-07 08:45:41:170,1,204,1,0,175,2248,204,0 77,0,2024-09-07 08:45:41:693,53236,0.6,53546,0.8,106796,0.6,141466,2.00 77,1,2024-09-07 08:45:40:824,359219,359219,0,0,167661072386,1751999585,356434,2554,231,383,391808,0 77,2,2024-09-07 08:45:41:287,254125,254125,0,0,10764151,0,3890 77,3,2024-09-07 08:45:41:103,1,204,0,0,305,2236,204,0 78,0,2024-09-07 08:45:41:717,53802,0.5,53442,0.7,107891,0.4,142756,2.00 78,1,2024-09-07 08:45:40:614,358890,358890,0,0,167652060415,1744129754,355945,2630,315,367,391646,0 78,2,2024-09-07 08:45:41:405,256942,256942,0,0,9801083,0,2114 78,3,2024-09-07 08:45:41:138,1,204,14,0,181,2000,204,0 79,0,2024-09-07 08:45:41:361,49075,0.5,50188,0.7,102825,0.5,133372,2.50 79,1,2024-09-07 08:45:40:576,360175,360175,0,0,168555337112,1746156946,357459,2380,336,369,391682,0 79,2,2024-09-07 08:45:41:073,262043,262043,0,0,10157636,0,3212 79,3,2024-09-07 08:45:40:754,1,204,1,0,418,3192,204,0 80,0,2024-09-07 08:45:41:082,46377,0.6,47789,0.7,91439,0.6,124069,2.25 80,1,2024-09-07 08:45:41:626,358289,358289,0,0,167639557172,1746354465,355530,2592,167,368,391791,0 80,2,2024-09-07 08:45:41:098,259884,259884,0,0,10466169,0,4433 80,3,2024-09-07 08:45:40:575,1,204,1,0,190,3052,204,0 81,0,2024-09-07 08:45:41:567,51999,0.4,53471,0.6,102219,0.4,138048,1.75 81,1,2024-09-07 08:45:41:663,358642,358642,0,0,167099022530,1748030429,355598,2687,357,382,391879,0 81,2,2024-09-07 08:45:41:139,255903,255903,0,0,10877782,0,3993 81,3,2024-09-07 08:45:41:124,1,204,9,0,374,2544,204,0 82,0,2024-09-07 08:45:41:535,52966,0.4,53376,0.6,106924,0.4,142036,2.00 82,1,2024-09-07 08:45:40:588,359151,359147,0,4,168045612727,1752104126,356420,2116,611,381,391558,4 82,2,2024-09-07 08:45:41:706,256335,256335,0,0,10228579,0,3986 82,3,2024-09-07 08:45:41:752,1,204,13,0,363,2542,204,0 83,0,2024-09-07 08:45:41:529,51974,0.6,51969,0.8,103186,0.7,137551,2.00 83,1,2024-09-07 08:45:40:558,358452,358452,0,0,167204189269,1746808886,355416,2793,243,382,391690,0 83,2,2024-09-07 08:45:40:790,259742,259742,0,0,9882849,0,3119 83,3,2024-09-07 08:45:40:754,1,204,32,0,250,2251,204,0 84,0,2024-09-07 08:45:41:768,45718,1.0,45731,1.1,91683,0.8,122998,2.50 84,1,2024-09-07 08:45:41:042,357859,357859,0,0,167619595071,1754571383,353867,3423,569,368,391967,0 84,2,2024-09-07 08:45:40:575,259374,259374,0,0,11887820,0,3801 84,3,2024-09-07 08:45:41:160,1,204,1,0,353,2744,204,0 85,0,2024-09-07 08:45:41:012,49639,0.6,49617,0.7,105179,0.5,136326,2.25 85,1,2024-09-07 08:45:40:567,357324,357324,0,0,166967030982,1768425343,351066,5159,1099,382,392006,0 85,2,2024-09-07 08:45:40:881,258994,258994,0,0,12345320,0,3656 85,3,2024-09-07 08:45:40:687,1,204,0,0,789,2853,204,0 86,0,2024-09-07 08:45:40:883,52849,0.6,54222,0.8,104018,0.7,140054,2.00 86,1,2024-09-07 08:45:40:824,358763,358763,0,0,168162915230,1760186251,354573,3645,545,366,391961,0 86,2,2024-09-07 08:45:40:867,253884,253883,1,0,12825840,0,5004 86,3,2024-09-07 08:45:40:597,1,204,8,0,286,2835,204,0 87,0,2024-09-07 08:45:41:310,53484,1.2,53166,1.0,106999,1.7,143056,2.50 87,1,2024-09-07 08:45:40:637,358473,358473,0,0,167825676520,1758162782,354551,3461,461,366,391788,0 87,2,2024-09-07 08:45:41:078,257017,257017,0,0,11727003,0,4045 87,3,2024-09-07 08:45:41:794,1,204,1,0,473,3582,204,0 88,0,2024-09-07 08:45:41:457,47616,0.6,47804,0.7,95458,0.7,126498,1.75 88,1,2024-09-07 08:45:40:571,357168,357168,0,0,167640804824,1763321291,351434,4264,1470,365,392084,0 88,2,2024-09-07 08:45:40:705,260444,260444,0,0,13693603,0,3583 88,3,2024-09-07 08:45:41:280,1,204,27,0,435,2617,204,0 89,0,2024-09-07 08:45:41:862,51262,0.4,49662,0.6,98222,0.4,133457,1.75 89,1,2024-09-07 08:45:40:551,356640,356640,0,0,167305682481,1768475695,350648,5047,945,382,391866,0 89,2,2024-09-07 08:45:41:138,258513,258513,0,0,12763574,0,2910 89,3,2024-09-07 08:45:41:808,1,204,16,0,325,4015,204,0 90,0,2024-09-07 08:45:41:624,51517,0.5,52572,0.6,107956,0.4,140098,1.75 90,1,2024-09-07 08:45:40:592,358735,358735,0,0,167766372707,1758325331,355408,3067,260,381,391825,0 90,2,2024-09-07 08:45:41:407,253290,253290,0,0,13528222,0,3060 90,3,2024-09-07 08:45:40:929,1,204,8,0,200,2281,204,0 91,0,2024-09-07 08:45:40:975,54832,0.5,52987,0.6,110626,0.4,145520,1.75 91,1,2024-09-07 08:45:40:568,357813,357813,0,0,167413466769,1761595188,353032,4134,647,381,392047,0 91,2,2024-09-07 08:45:41:350,256159,256159,0,0,12075912,0,2445 91,3,2024-09-07 08:45:40:604,1,204,1,0,216,2112,204,0 92,0,2024-09-07 08:45:41:540,50820,0.6,52155,0.8,99621,0.7,134910,1.75 92,1,2024-09-07 08:45:40:582,358150,358150,0,0,167615995177,1749827684,355834,1911,405,382,392136,0 92,2,2024-09-07 08:45:41:353,262864,262864,0,0,10739963,0,2801 92,3,2024-09-07 08:45:41:009,1,204,3,0,167,1715,204,0 93,0,2024-09-07 08:45:40:972,47825,0.4,49040,0.6,93633,0.4,127157,1.75 93,1,2024-09-07 08:45:40:846,358987,358987,0,0,167980212783,1754652119,355289,3072,626,366,391692,0 93,2,2024-09-07 08:45:40:931,260062,260062,0,0,11001211,0,2509 93,3,2024-09-07 08:45:41:407,1,204,1,0,143,2057,204,0 94,0,2024-09-07 08:45:41:613,52645,0.4,53483,0.5,106068,0.3,140825,1.75 94,1,2024-09-07 08:45:40:570,358141,358141,0,0,168214247159,1758978206,355343,2665,133,381,391850,0 94,2,2024-09-07 08:45:40:772,254810,254810,0,0,10007248,0,2443 94,3,2024-09-07 08:45:41:688,1,204,8,0,264,2899,204,0 95,0,2024-09-07 08:45:41:394,53640,0.3,53248,0.5,107666,0.3,142462,1.75 95,1,2024-09-07 08:45:40:852,358817,358817,0,0,168510507685,1753911062,355797,2681,339,367,391662,0 95,2,2024-09-07 08:45:41:034,255846,255846,0,0,10584545,0,3308 95,3,2024-09-07 08:45:41:709,1,204,55,0,718,4268,204,0 96,0,2024-09-07 08:45:41:050,51507,0.5,51805,0.6,103470,0.5,136627,1.75 96,1,2024-09-07 08:45:41:592,358549,358549,0,0,167294946035,1748463590,355766,2136,647,385,391894,0 96,2,2024-09-07 08:45:41:273,260902,260902,0,0,11069012,0,4042 96,3,2024-09-07 08:45:41:141,1,204,8,0,411,2558,204,0 97,0,2024-09-07 08:45:41:369,45732,0.4,45554,0.5,91413,0.3,121698,1.75 97,1,2024-09-07 08:45:40:766,359668,359668,0,0,168095094297,1746377939,356764,2351,553,367,392140,0 97,2,2024-09-07 08:45:40:614,259266,259266,0,0,10403741,0,3036 97,3,2024-09-07 08:45:40:571,1,204,1,0,165,2421,204,0 98,0,2024-09-07 08:45:41:697,51759,0.3,51756,0.4,103823,0.2,138161,1.50 98,1,2024-09-07 08:45:40:577,358895,358895,0,0,168129449510,1751656275,356888,1913,94,382,391997,0 98,2,2024-09-07 08:45:40:770,258480,258480,0,0,10459611,0,3080 98,3,2024-09-07 08:45:40:707,1,204,9,0,840,3573,204,0 99,0,2024-09-07 08:45:41:451,53069,0.3,53064,0.4,105930,0.3,141444,1.50 99,1,2024-09-07 08:45:41:726,359465,359465,0,0,167149444175,1746941899,355773,2953,739,381,391744,0 99,2,2024-09-07 08:45:41:428,257618,257618,0,0,10407317,0,2615 99,3,2024-09-07 08:45:40:582,1,204,0,0,129,1569,204,0 100,0,2024-09-07 08:45:41:467,52808,0.9,52863,1.1,105517,1.3,140758,2.50 100,1,2024-09-07 08:45:40:666,356691,356691,0,0,166587080502,1766120563,350577,4959,1155,381,391989,0 100,2,2024-09-07 08:45:41:822,257783,257772,11,0,12423979,0,5417 100,3,2024-09-07 08:45:41:732,1,204,1,0,559,4089,204,0 101,0,2024-09-07 08:45:41:701,47100,2.2,46013,1.4,89886,2.2,123895,2.75 101,1,2024-09-07 08:45:40:551,357328,357328,0,0,167388703744,1767351005,351252,4553,1523,368,391769,0 101,2,2024-09-07 08:45:41:756,259230,259230,0,0,13513986,0,4644 101,3,2024-09-07 08:45:40:948,1,204,5,0,448,2820,204,0 102,0,2024-09-07 08:45:40:984,49636,0.7,51422,0.8,103281,0.6,136111,2.00 102,1,2024-09-07 08:45:41:161,357756,357756,0,0,167113544670,1758678923,352851,4171,734,369,391883,0 102,2,2024-09-07 08:45:41:736,259313,259259,54,0,12493571,0,6768 102,3,2024-09-07 08:45:41:622,1,204,11,0,410,2279,204,0 103,0,2024-09-07 08:45:41:599,54732,0.5,54712,0.7,103273,0.5,141942,2.00 103,1,2024-09-07 08:45:41:646,357022,357022,0,0,167023998634,1763499136,351437,4260,1325,381,391829,0 103,2,2024-09-07 08:45:40:595,253845,253845,0,0,11222890,0,2107 103,3,2024-09-07 08:45:40:754,1,204,2,0,916,3373,204,0 104,0,2024-09-07 08:45:41:024,54012,1.0,54389,1.1,107563,1.0,145043,2.50 104,1,2024-09-07 08:45:41:603,358741,358741,0,0,167660161841,1765074322,353387,4383,971,365,391948,0 104,2,2024-09-07 08:45:41:679,256061,256061,0,0,12661997,0,3941 104,3,2024-09-07 08:45:41:416,1,204,1,1,1245,5902,204,0 105,0,2024-09-07 08:45:41:059,48755,1.2,47329,1.3,99009,1.9,130595,3.50 105,1,2024-09-07 08:45:40:560,358760,358760,0,0,167560432211,1759400901,353828,4128,804,367,391797,0 105,2,2024-09-07 08:45:41:338,260678,260678,0,0,12238331,0,3509 105,3,2024-09-07 08:45:41:318,1,204,2,0,399,3833,204,0 106,0,2024-09-07 08:45:40:981,46926,0.8,48044,1.0,98371,0.9,128968,2.50 106,1,2024-09-07 08:45:41:750,358412,358412,0,0,167359221570,1758752114,353120,4815,477,369,391767,0 106,2,2024-09-07 08:45:40:762,257572,257572,0,0,12180028,0,2795 106,3,2024-09-07 08:45:40:711,1,204,12,0,470,3187,204,0 107,0,2024-09-07 08:45:41:137,53297,0.9,53192,0.9,106104,1.1,141227,2.00 107,1,2024-09-07 08:45:40:604,357437,357437,0,0,167169537062,1759716092,353225,3797,415,381,392234,0 107,2,2024-09-07 08:45:41:310,254015,254014,1,0,12177339,0,5024 107,3,2024-09-07 08:45:41:755,1,204,2,0,353,3171,204,0 108,0,2024-09-07 08:45:41:784,53985,0.4,54156,0.6,107441,0.4,143480,1.75 108,1,2024-09-07 08:45:41:293,358523,358523,0,0,167560120688,1748479041,355749,2460,314,368,391857,0 108,2,2024-09-07 08:45:41:755,255053,255053,0,0,11509177,0,2647 108,3,2024-09-07 08:45:41:337,1,204,5,0,749,4577,204,0 109,0,2024-09-07 08:45:41:793,50939,0.4,50388,0.6,101162,0.4,135447,1.75 109,1,2024-09-07 08:45:40:611,357718,357718,0,0,167988021971,1762797816,354660,2561,497,383,392132,0 109,2,2024-09-07 08:45:40:925,260732,260732,0,0,11762493,0,3617 109,3,2024-09-07 08:45:41:160,1,204,4,0,249,2659,204,0 110,0,2024-09-07 08:45:41:828,46694,0.4,45525,0.6,94910,0.4,124839,1.75 110,1,2024-09-07 08:45:41:662,359022,359022,0,0,168311479637,1749573657,356270,1984,768,370,391667,0 110,2,2024-09-07 08:45:41:302,259567,259567,0,0,10801623,0,2915 110,3,2024-09-07 08:45:40:696,1,204,8,0,406,2863,204,0 111,0,2024-09-07 08:45:41:414,52141,0.3,51721,0.5,103164,0.2,138292,1.50 111,1,2024-09-07 08:45:41:005,359753,359753,0,0,168204874777,1745112901,357937,1482,334,382,391690,0 111,2,2024-09-07 08:45:41:116,256165,256165,0,0,10807684,0,2763 111,3,2024-09-07 08:45:40:923,1,204,3,0,379,3040,204,0 112,0,2024-09-07 08:45:40:923,53398,0.3,53360,0.4,106708,0.2,142168,1.50 112,1,2024-09-07 08:45:40:824,359825,359825,0,0,167868170459,1743603960,357446,1952,427,380,391580,0 112,2,2024-09-07 08:45:41:137,255419,255418,1,0,10864484,0,5036 112,3,2024-09-07 08:45:40:602,1,204,0,0,282,2317,204,0 113,0,2024-09-07 08:45:40:878,51763,0.3,51690,0.5,103895,0.3,138739,1.75 113,1,2024-09-07 08:45:41:685,359985,359985,0,0,168384065527,1741280974,357499,1997,489,366,391661,0 113,2,2024-09-07 08:45:41:303,260936,260936,0,0,9792729,0,3813 113,3,2024-09-07 08:45:40:923,1,204,2,0,340,3483,204,0 114,0,2024-09-07 08:45:40:881,46663,0.5,47203,0.6,93161,0.4,124993,1.75 114,1,2024-09-07 08:45:40:715,358616,358616,0,0,168974028042,1764092146,354215,2917,1484,381,391556,0 114,2,2024-09-07 08:45:40:873,259639,259638,1,0,11147085,0,5069 114,3,2024-09-07 08:45:41:281,1,204,7,0,395,2287,204,0 115,0,2024-09-07 08:45:40:555,51374,0.3,51710,0.4,103268,0.2,137297,1.50 115,1,2024-09-07 08:45:40:576,358509,358509,0,0,168558518425,1757290617,354279,3397,833,382,391602,0 115,2,2024-09-07 08:45:41:131,259323,259323,0,0,9583856,0,2152 115,3,2024-09-07 08:45:41:008,1,204,2,0,159,1262,204,0 116,0,2024-09-07 08:45:41:756,52828,0.7,52563,0.9,105353,0.7,140790,2.00 116,1,2024-09-07 08:45:40:803,356623,356623,0,0,167299470242,1776516003,350575,3996,2052,380,391782,0 116,2,2024-09-07 08:45:41:765,254082,254082,0,0,13330455,0,3529 116,3,2024-09-07 08:45:40:919,1,204,62,0,252,2811,204,0 117,0,2024-09-07 08:45:40:966,53528,1.0,53532,1.0,106945,1.2,143161,2.00 117,1,2024-09-07 08:45:41:587,358192,358192,0,0,167567474626,1756794761,353865,3851,476,370,392033,0 117,2,2024-09-07 08:45:41:134,259569,259569,0,0,10624519,0,3700 117,3,2024-09-07 08:45:41:064,1,204,5,0,490,3739,204,0 118,0,2024-09-07 08:45:41:782,46349,0.8,47537,0.8,96802,0.9,126184,2.25 118,1,2024-09-07 08:45:40:585,358005,358005,0,0,166774605080,1758554861,352161,4417,1427,366,391736,0 118,2,2024-09-07 08:45:41:586,260245,260245,0,0,12043310,0,2781 118,3,2024-09-07 08:45:41:766,1,204,8,0,235,2490,204,0 119,0,2024-09-07 08:45:41:351,49315,0.5,49606,0.7,99823,0.4,132609,2.00 119,1,2024-09-07 08:45:40:554,358817,358817,0,0,167440738532,1748238332,355567,2942,308,368,391641,0 119,2,2024-09-07 08:45:41:332,259037,259037,0,0,11317514,0,3526 119,3,2024-09-07 08:45:41:326,1,204,2,0,443,3266,204,0 120,0,2024-09-07 08:45:41:579,52633,0.5,52627,0.8,105577,0.5,140758,2.00 120,1,2024-09-07 08:45:40:864,358371,358371,0,0,167180657333,1756225237,354266,3761,344,368,391961,0 120,2,2024-09-07 08:45:40:783,253837,253836,1,0,13356162,0,5281 120,3,2024-09-07 08:45:41:300,1,204,1,0,241,2884,204,0 121,0,2024-09-07 08:45:41:775,54250,1.2,54615,1.0,108909,1.6,145161,2.25 121,1,2024-09-07 08:45:41:664,358464,358464,0,0,168149020898,1760721806,354755,3307,402,367,391840,0 121,2,2024-09-07 08:45:41:130,255410,255410,0,0,12854618,0,4127 121,3,2024-09-07 08:45:40:734,1,204,9,0,269,2585,204,0 122,0,2024-09-07 08:45:41:804,50194,1.0,48906,1.0,102445,1.2,134941,2.25 122,1,2024-09-07 08:45:40:863,357387,357387,0,0,167427086616,1760721032,352151,4496,740,366,392130,0 122,2,2024-09-07 08:45:41:319,260625,260552,73,0,15546861,0,5989 122,3,2024-09-07 08:45:40:603,1,204,9,0,411,4596,204,0 123,0,2024-09-07 08:45:40:962,47557,0.7,46408,0.8,96623,0.8,126694,2.00 123,1,2024-09-07 08:45:40:559,357917,357917,0,0,167586220350,1772767739,350052,6305,1560,369,391823,0 123,2,2024-09-07 08:45:41:021,257523,257522,1,0,12496121,0,5215 123,3,2024-09-07 08:45:41:136,1,204,54,0,168,2533,204,0 124,0,2024-09-07 08:45:40:932,54601,0.3,54568,0.5,102898,0.3,141604,1.75 124,1,2024-09-07 08:45:41:024,359456,359456,0,0,167658806111,1743922605,356934,2164,358,367,392178,0 124,2,2024-09-07 08:45:41:012,255371,255371,0,0,10260802,0,3101 124,3,2024-09-07 08:45:40:758,1,204,1,0,490,2562,204,0 125,0,2024-09-07 08:45:41:467,53511,0.4,53438,0.5,107178,0.3,142537,1.75 125,1,2024-09-07 08:45:40:863,358480,358480,0,0,167260644664,1746299882,355932,2270,278,383,391702,0 125,2,2024-09-07 08:45:41:117,256488,256488,0,0,10312029,0,2180 125,3,2024-09-07 08:45:41:134,1,204,1,0,284,2602,204,0 126,0,2024-09-07 08:45:41:446,51658,0.6,53006,0.7,101485,0.7,137396,1.75 126,1,2024-09-07 08:45:40:589,359060,359060,0,0,168307874239,1747761851,356550,2251,259,365,391987,0 126,2,2024-09-07 08:45:40:616,262292,262292,0,0,11227755,0,3186 126,3,2024-09-07 08:45:40:912,1,204,11,0,150,2811,204,0 127,0,2024-09-07 08:45:41:596,45648,0.4,45881,0.5,91326,0.3,121672,1.75 127,1,2024-09-07 08:45:40:572,359019,359019,0,0,168529613987,1748609895,356303,2564,152,365,391816,0 127,2,2024-09-07 08:45:40:656,259362,259362,0,0,10338878,0,2264 127,3,2024-09-07 08:45:41:267,1,204,2,0,243,1753,204,0 128,0,2024-09-07 08:45:41:570,52216,0.3,52021,0.4,103971,0.2,138338,1.50 128,1,2024-09-07 08:45:41:662,359188,359188,0,0,167884839036,1742168437,357261,1772,155,367,391680,0 128,2,2024-09-07 08:45:41:387,257339,257339,0,0,9950662,0,2434 128,3,2024-09-07 08:45:40:767,1,204,13,0,1082,3813,204,0 129,0,2024-09-07 08:45:41:003,53385,0.3,53088,0.4,106166,0.3,141022,1.50 129,1,2024-09-07 08:45:40:631,357221,357221,0,0,167657097942,1760668681,353195,3099,927,379,391835,0 129,2,2024-09-07 08:45:40:697,256015,256015,0,0,10309938,0,4031 129,3,2024-09-07 08:45:40:697,1,204,1,0,469,2958,204,0 130,0,2024-09-07 08:45:41:730,53278,0.6,53138,0.7,106485,0.7,141669,1.75 130,1,2024-09-07 08:45:40:583,359065,359065,0,0,168503995158,1754778338,356814,2176,75,381,391825,0 130,2,2024-09-07 08:45:41:137,259993,259993,0,0,10375948,0,4067 130,3,2024-09-07 08:45:41:294,1,204,12,0,450,2334,204,0 131,0,2024-09-07 08:45:41:924,46369,0.5,46724,0.6,94045,0.5,124006,1.75 131,1,2024-09-07 08:45:41:844,359141,359141,0,0,167858449874,1750249372,356683,2035,423,383,391865,0 131,2,2024-09-07 08:45:40:568,261377,261377,0,0,9770754,0,2415 131,3,2024-09-07 08:45:41:691,1,204,23,0,392,2132,204,0 132,0,2024-09-07 08:45:41:476,50510,0.5,51201,0.7,102424,0.4,136582,2.00 132,1,2024-09-07 08:45:40:581,357450,357450,0,0,166910708322,1763872988,351376,4994,1080,381,392097,0 132,2,2024-09-07 08:45:40:702,258750,258750,0,0,13193365,0,4606 132,3,2024-09-07 08:45:41:689,1,204,14,0,356,3311,204,0 133,0,2024-09-07 08:45:41:524,51822,0.4,53062,0.6,108706,0.4,140761,1.75 133,1,2024-09-07 08:45:40:588,356713,356713,0,0,167073176926,1761879424,351729,4338,646,383,391914,0 133,2,2024-09-07 08:45:41:098,253780,253780,0,0,12994390,0,4315 133,3,2024-09-07 08:45:41:312,1,204,1,0,276,2137,204,0 134,0,2024-09-07 08:45:40:950,54401,0.5,54340,0.7,109186,0.5,144937,2.00 134,1,2024-09-07 08:45:40:587,357564,357564,0,0,167035382222,1756138844,352060,4105,1399,366,391718,0 134,2,2024-09-07 08:45:41:764,256831,256831,0,0,11107212,0,3847 134,3,2024-09-07 08:45:40:753,1,204,8,0,739,3360,204,0 135,0,2024-09-07 08:45:41:122,47622,1.2,47635,1.1,101107,1.4,130216,2.25 135,1,2024-09-07 08:45:41:586,357147,357147,0,0,168030098906,1768017846,352198,4052,897,380,391805,0 135,2,2024-09-07 08:45:40:692,261105,261105,0,0,12794838,0,3981 135,3,2024-09-07 08:45:41:004,1,204,9,0,299,1466,204,0 136,0,2024-09-07 08:45:41:684,49014,0.5,48972,0.7,97882,0.5,130715,2.25 136,1,2024-09-07 08:45:41:447,357556,357556,0,0,167171926372,1758955973,352587,4427,542,382,391641,0 136,2,2024-09-07 08:45:41:152,259949,259949,0,0,11936744,0,3506 136,3,2024-09-07 08:45:41:115,1,204,1,0,126,1726,204,0 137,0,2024-09-07 08:45:40:954,54818,0.7,53254,0.8,105061,0.7,142378,2.00 137,1,2024-09-07 08:45:40:592,357191,357191,0,0,167447788561,1761574153,350841,4980,1370,366,391708,0 137,2,2024-09-07 08:45:41:715,253829,253829,0,0,13522377,0,3185 137,3,2024-09-07 08:45:40:769,1,204,152,0,227,2338,204,0 138,0,2024-09-07 08:45:41:774,53378,1.0,53472,1.0,107365,1.2,143147,2.25 138,1,2024-09-07 08:45:41:693,357863,357863,0,0,167771780427,1761807183,352373,4603,887,368,391954,0 138,2,2024-09-07 08:45:40:604,256602,256602,0,0,12152954,0,4988 138,3,2024-09-07 08:45:40:613,1,204,7,0,1160,3548,204,0 139,0,2024-09-07 08:45:41:373,49676,2.6,49695,1.5,100367,3.7,133851,3.00 139,1,2024-09-07 08:45:40:571,356215,356215,0,0,167193807656,1779584689,348993,5347,1875,381,391892,0 139,2,2024-09-07 08:45:40:923,259481,259481,0,0,12757884,0,3097 139,3,2024-09-07 08:45:41:662,1,204,132,0,244,2309,204,0 140,0,2024-09-07 08:45:41:592,47097,0.4,46523,0.5,93893,0.3,124920,1.75 140,1,2024-09-07 08:45:41:542,359818,359818,0,0,168566201045,1739010785,358063,1515,240,365,391606,0 140,2,2024-09-07 08:45:40:688,260031,260031,0,0,10647588,0,3388 140,3,2024-09-07 08:45:40:767,1,204,11,0,247,1740,204,0 141,0,2024-09-07 08:45:41:700,51963,0.3,53304,0.4,101768,0.2,138291,1.50 141,1,2024-09-07 08:45:40:862,359819,359819,0,0,168584775575,1749862626,357745,1719,355,379,391614,0 141,2,2024-09-07 08:45:41:686,256644,256644,0,0,9877928,0,2342 141,3,2024-09-07 08:45:41:043,1,204,1,0,176,1724,204,0 142,0,2024-09-07 08:45:41:329,53897,0.3,53489,0.5,106298,0.2,142391,1.50 142,1,2024-09-07 08:45:40:596,358427,358427,0,0,168182481227,1755104383,356122,2065,240,383,392102,0 142,2,2024-09-07 08:45:41:304,255184,255152,32,0,11517310,0,6028 142,3,2024-09-07 08:45:41:747,1,204,15,0,484,2781,204,0 143,0,2024-09-07 08:45:41:373,52032,0.6,51897,0.7,104628,0.6,138535,1.75 143,1,2024-09-07 08:45:40:564,359113,359113,0,0,167404249320,1737973066,356791,2276,46,367,391619,0 143,2,2024-09-07 08:45:40:773,260059,260059,0,0,10962574,0,2669 143,3,2024-09-07 08:45:41:156,1,204,5,0,303,2936,204,0 144,0,2024-09-07 08:45:41:496,44739,0.6,46086,1.1,93475,0.6,123293,2.00 144,1,2024-09-07 08:45:40:565,357707,357707,0,0,167111092298,1752320210,354861,2590,256,381,391649,0 144,2,2024-09-07 08:45:41:793,259678,259678,0,0,10523705,0,3473 144,3,2024-09-07 08:45:41:756,1,204,12,0,249,2409,204,0 145,0,2024-09-07 08:45:41:367,49704,0.5,49727,0.7,105481,0.4,136824,2.00 145,1,2024-09-07 08:45:40:563,356529,356529,0,0,167300340379,1762599397,351326,4384,819,382,391698,0 145,2,2024-09-07 08:45:41:432,257567,257567,0,0,11919248,0,3903 145,3,2024-09-07 08:45:40:902,1,204,1,0,579,3168,204,0 146,0,2024-09-07 08:45:41:600,52786,0.5,52251,0.7,105386,0.5,139913,2.00 146,1,2024-09-07 08:45:41:587,358368,358368,0,0,167995315615,1768099809,352138,4847,1383,368,391770,0 146,2,2024-09-07 08:45:41:696,254674,254674,0,0,11495336,0,2498 146,3,2024-09-07 08:45:41:274,1,204,8,0,1520,5528,204,0 147,0,2024-09-07 08:45:41:705,53469,0.7,53402,0.8,106208,0.6,142747,2.25 147,1,2024-09-07 08:45:41:371,359330,359330,0,0,167979064975,1750189479,355610,3151,569,368,391791,0 147,2,2024-09-07 08:45:41:010,259312,259312,0,0,10497227,0,2789 147,3,2024-09-07 08:45:40:922,1,204,16,0,730,3202,204,0 0,0,2024-09-07 08:45:51:737,51444,0.5,51509,0.7,109179,0.5,141238,1.75 0,1,2024-09-07 08:45:50:803,360283,360283,0,0,169123612574,1771199316,357512,2570,201,372,391772,0 0,2,2024-09-07 08:45:51:081,255863,255863,0,0,10550198,0,4480 0,3,2024-09-07 08:45:50:975,1,205,68,0,247,2694,205,0 1,0,2024-09-07 08:45:51:959,54749,1.0,54326,1.0,109233,1.3,145613,2.25 1,1,2024-09-07 08:45:50:588,359946,359946,0,0,167736758746,1760344019,356183,2895,868,371,391857,0 1,2,2024-09-07 08:45:50:652,257339,257339,0,0,10181606,0,3267 1,3,2024-09-07 08:45:51:321,1,205,55,0,262,2535,205,0 2,0,2024-09-07 08:45:51:571,50493,0.9,50832,0.9,100928,1.2,134593,2.25 2,1,2024-09-07 08:45:50:859,360673,360673,0,0,169352721459,1761830911,358701,1754,218,380,391745,0 2,2,2024-09-07 08:45:51:266,262417,262417,0,0,10875168,0,3594 2,3,2024-09-07 08:45:50:704,1,205,8,0,357,2027,205,0 3,0,2024-09-07 08:45:51:748,47782,0.4,47908,0.6,95488,0.4,127354,2.00 3,1,2024-09-07 08:45:51:622,360198,360198,0,0,168450255195,1758250912,356527,3211,460,380,391523,0 3,2,2024-09-07 08:45:51:156,260635,260612,23,0,11076433,0,5851 3,3,2024-09-07 08:45:51:753,1,205,1,0,103,1291,205,0 4,0,2024-09-07 08:45:51:859,51585,0.4,53058,0.5,107970,0.4,141708,1.75 4,1,2024-09-07 08:45:50:597,359937,359937,0,0,168245635379,1768448425,356088,3174,675,371,391846,0 4,2,2024-09-07 08:45:51:041,254957,254957,0,0,12670570,0,4528 4,3,2024-09-07 08:45:51:034,1,205,33,0,448,3348,205,0 5,0,2024-09-07 08:45:51:433,53799,0.4,53948,0.6,107363,0.4,143151,1.75 5,1,2024-09-07 08:45:50:769,359809,359809,0,0,168497585626,1774196355,355139,3613,1057,368,392005,0 5,2,2024-09-07 08:45:51:855,256231,256231,0,0,11282546,0,2259 5,3,2024-09-07 08:45:51:739,1,205,15,0,278,2984,205,0 6,0,2024-09-07 08:45:50:939,52027,0.8,51510,0.9,102777,0.9,137746,2.25 6,1,2024-09-07 08:45:50:752,360341,360341,0,0,168487292255,1763717815,356114,3422,805,379,391634,0 6,2,2024-09-07 08:45:51:124,262109,262109,0,0,11761723,0,4816 6,3,2024-09-07 08:45:51:286,1,205,19,0,340,2659,205,0 7,0,2024-09-07 08:45:51:530,45577,0.4,45611,0.6,91097,0.4,121804,2.00 7,1,2024-09-07 08:45:50:854,359291,359291,0,0,168635418717,1773508023,353881,4436,974,382,391686,0 7,2,2024-09-07 08:45:50:775,259680,259680,0,0,11056099,0,2981 7,3,2024-09-07 08:45:50:853,1,205,19,0,305,2127,205,0 8,0,2024-09-07 08:45:51:356,51999,0.3,52090,0.5,103789,0.3,138732,1.50 8,1,2024-09-07 08:45:51:034,359000,359000,0,0,168873078552,1783722064,351941,5224,1835,367,391956,0 8,2,2024-09-07 08:45:50:823,255125,255125,0,0,13182243,0,2986 8,3,2024-09-07 08:45:50:590,1,205,1,0,357,2990,205,0 9,0,2024-09-07 08:45:51:141,53371,0.4,51799,0.5,108164,0.3,142016,1.75 9,1,2024-09-07 08:45:50:559,359458,359458,0,0,168368740409,1779473000,353463,4458,1537,370,392001,0 9,2,2024-09-07 08:45:51:094,256646,256646,0,0,11591886,0,3360 9,3,2024-09-07 08:45:51:765,1,205,2,0,496,3246,205,0 10,0,2024-09-07 08:45:51:603,53335,0.3,52967,0.5,106221,0.3,141462,1.75 10,1,2024-09-07 08:45:50:583,359737,359737,0,0,168390772666,1768853600,353995,4898,844,381,391741,0 10,2,2024-09-07 08:45:50:761,260841,260841,0,0,13151950,0,4264 10,3,2024-09-07 08:45:50:873,1,205,7,0,177,1738,205,0 11,0,2024-09-07 08:45:51:014,46077,0.5,44794,0.8,93756,0.5,124711,2.00 11,1,2024-09-07 08:45:50:571,359673,359673,0,0,168117082026,1774527292,352055,5705,1913,383,391537,0 11,2,2024-09-07 08:45:51:144,260824,260824,0,0,12070331,0,3411 11,3,2024-09-07 08:45:51:299,1,205,1,0,720,3046,205,0 12,0,2024-09-07 08:45:51:013,51709,0.3,51466,0.5,103085,0.3,137567,1.75 12,1,2024-09-07 08:45:50:952,360290,360290,0,0,167896701222,1753732816,357059,2812,419,370,391837,0 12,2,2024-09-07 08:45:51:542,260729,260729,0,0,11984933,0,3469 12,3,2024-09-07 08:45:51:068,1,205,12,0,386,3927,205,0 13,0,2024-09-07 08:45:51:355,53561,0.4,53411,0.5,106789,0.3,141857,1.75 13,1,2024-09-07 08:45:51:529,359209,359209,0,0,167844191531,1763141985,356096,2585,528,382,391717,0 13,2,2024-09-07 08:45:50:609,256673,256673,0,0,10745103,0,3287 13,3,2024-09-07 08:45:51:770,1,205,11,0,467,3647,205,0 14,0,2024-09-07 08:45:50:562,54746,0.4,55251,0.6,109214,0.4,145273,1.75 14,1,2024-09-07 08:45:51:564,361923,361923,0,0,169076900840,1753813888,359227,2507,189,364,391571,0 14,2,2024-09-07 08:45:50:764,258510,258510,0,0,10963217,0,2896 14,3,2024-09-07 08:45:51:123,1,205,8,0,1168,3235,205,0 15,0,2024-09-07 08:45:51:562,48988,0.6,49368,0.9,98554,0.7,130659,2.25 15,1,2024-09-07 08:45:51:614,360444,360444,0,0,168708064693,1755858618,358169,2131,144,381,391619,0 15,2,2024-09-07 08:45:51:007,262979,262979,0,0,9639388,0,3043 15,3,2024-09-07 08:45:51:414,1,205,10,0,1126,4736,205,0 16,0,2024-09-07 08:45:51:016,48957,0.7,49356,0.9,97850,0.7,131328,2.25 16,1,2024-09-07 08:45:50:563,360502,360502,0,0,168646402912,1765857074,357209,3010,283,370,391756,0 16,2,2024-09-07 08:45:51:474,260194,260194,0,0,11899118,0,4719 16,3,2024-09-07 08:45:51:149,1,205,38,0,317,2892,205,0 17,0,2024-09-07 08:45:51:875,55026,0.5,53670,0.8,105116,0.5,142457,2.00 17,1,2024-09-07 08:45:50:570,358657,358657,0,0,168100245721,1768106619,354366,3456,835,368,391688,0 17,2,2024-09-07 08:45:51:675,258140,258140,0,0,10603739,0,2857 17,3,2024-09-07 08:45:50:582,1,205,69,0,268,3373,205,0 18,0,2024-09-07 08:45:50:947,53443,0.8,53615,0.9,107311,0.8,143349,2.50 18,1,2024-09-07 08:45:51:649,360590,360590,0,0,168676486466,1751023792,358194,2159,237,367,391564,0 18,2,2024-09-07 08:45:51:755,258054,258054,0,0,10616062,0,3541 18,3,2024-09-07 08:45:50:896,1,205,12,0,163,2173,205,0 19,0,2024-09-07 08:45:51:547,50402,0.9,50979,0.9,100550,1.0,134244,2.50 19,1,2024-09-07 08:45:50:569,360300,360300,0,0,169369124797,1764564879,355838,3678,784,367,391777,0 19,2,2024-09-07 08:45:51:754,263934,263934,0,0,9919600,0,3988 19,3,2024-09-07 08:45:51:131,1,205,17,0,524,1716,205,0 20,0,2024-09-07 08:45:51:417,46850,0.7,46765,0.8,93978,0.7,125490,2.25 20,1,2024-09-07 08:45:50:580,359685,359685,0,0,168633177073,1767197320,355981,3309,395,369,391886,0 20,2,2024-09-07 08:45:50:941,260670,260670,0,0,11152779,0,3721 20,3,2024-09-07 08:45:50:589,1,205,1,0,414,4265,205,0 21,0,2024-09-07 08:45:51:148,52332,0.4,52496,0.6,104837,0.3,138736,1.75 21,1,2024-09-07 08:45:51:544,358864,358864,0,0,167501530111,1768359587,353512,4119,1233,368,391962,0 21,2,2024-09-07 08:45:51:075,256397,256397,0,0,12173919,0,3747 21,3,2024-09-07 08:45:51:438,1,205,11,0,103,2332,205,0 22,0,2024-09-07 08:45:51:738,53485,0.7,53474,0.8,106532,0.7,142089,2.25 22,1,2024-09-07 08:45:51:028,359195,359195,0,0,167990853729,1771754627,352969,4638,1588,382,391667,0 22,2,2024-09-07 08:45:50:760,257012,257012,0,0,10679538,0,3134 22,3,2024-09-07 08:45:51:080,1,205,10,0,228,2083,205,0 23,0,2024-09-07 08:45:51:379,51778,0.7,51733,0.8,103973,0.8,138373,2.25 23,1,2024-09-07 08:45:51:012,360064,360064,0,0,168773368259,1776617041,353269,4246,2549,365,391549,0 23,2,2024-09-07 08:45:51:095,261794,261794,0,0,10749760,0,3010 23,3,2024-09-07 08:45:51:754,1,205,4,0,645,2565,205,0 24,0,2024-09-07 08:45:50:937,47042,0.5,47058,0.6,94166,0.4,125128,1.75 24,1,2024-09-07 08:45:50:586,359737,359737,0,0,167834387828,1759808451,355604,3105,1028,369,391640,0 24,2,2024-09-07 08:45:51:300,259506,259506,0,0,13230742,0,3607 24,3,2024-09-07 08:45:51:686,1,205,1,0,468,3021,205,0 25,0,2024-09-07 08:45:51:390,53152,0.4,51884,0.6,101825,0.4,138965,2.00 25,1,2024-09-07 08:45:50:575,360247,360247,0,0,168932786734,1770835583,356305,3396,546,371,391788,0 25,2,2024-09-07 08:45:51:634,257967,257967,0,0,13277233,0,3978 25,3,2024-09-07 08:45:51:006,1,205,1,0,255,2372,205,0 26,0,2024-09-07 08:45:51:728,52754,0.4,51558,0.6,108168,0.4,140697,1.75 26,1,2024-09-07 08:45:51:541,360464,360464,0,0,167428227491,1757882333,354967,4516,981,381,391748,0 26,2,2024-09-07 08:45:50:876,256801,256801,0,0,12173452,0,2809 26,3,2024-09-07 08:45:51:713,1,205,12,0,796,2984,205,0 27,0,2024-09-07 08:45:51:748,54091,0.5,53964,0.7,106968,0.5,142801,2.00 27,1,2024-09-07 08:45:51:687,361582,361582,0,0,169098356980,1758040212,359281,1972,329,381,391558,0 27,2,2024-09-07 08:45:50:877,257844,257779,65,0,13326213,0,5699 27,3,2024-09-07 08:45:51:027,1,205,41,0,564,2220,205,0 28,0,2024-09-07 08:45:51:389,47537,0.6,47643,0.8,95744,0.8,127224,2.25 28,1,2024-09-07 08:45:50:809,361008,361008,0,0,169581490561,1769236725,358188,2350,470,383,391646,0 28,2,2024-09-07 08:45:51:767,262051,262051,0,0,10812507,0,2915 28,3,2024-09-07 08:45:51:785,1,205,1,0,502,2340,205,0 29,0,2024-09-07 08:45:51:383,51445,0.3,50383,0.5,98148,0.2,134834,1.75 29,1,2024-09-07 08:45:51:563,361795,361795,0,0,169000969250,1752420039,358805,2427,563,369,391621,0 29,2,2024-09-07 08:45:50:871,260169,260169,0,0,9949768,0,4018 29,3,2024-09-07 08:45:50:973,1,205,1,0,115,1542,205,0 30,0,2024-09-07 08:45:51:458,52796,0.7,51380,0.8,107639,0.6,140617,2.00 30,1,2024-09-07 08:45:50:572,361592,361592,0,0,169994683909,1763949653,359428,1871,293,382,391672,0 30,2,2024-09-07 08:45:51:287,256526,256526,0,0,9616116,0,3161 30,3,2024-09-07 08:45:50:583,1,205,12,0,195,1457,205,0 31,0,2024-09-07 08:45:51:774,54215,0.5,54567,0.6,109599,0.4,145435,2.00 31,1,2024-09-07 08:45:50:564,362783,362783,0,0,169823877100,1741774647,361699,927,157,356,391712,0 31,2,2024-09-07 08:45:51:277,256997,256997,0,0,11197268,0,3525 31,3,2024-09-07 08:45:51:717,1,205,0,0,220,1788,205,0 32,0,2024-09-07 08:45:51:420,50398,0.4,50947,0.6,101854,0.4,135316,1.75 32,1,2024-09-07 08:45:50:804,361301,361301,0,0,169309567710,1758315163,359443,1582,276,381,391595,0 32,2,2024-09-07 08:45:50:976,263503,263503,0,0,9628880,0,3155 32,3,2024-09-07 08:45:51:027,1,205,19,0,227,1664,205,0 33,0,2024-09-07 08:45:51:505,48286,0.3,47692,0.5,96071,0.2,128270,1.75 33,1,2024-09-07 08:45:50:577,361988,361988,0,0,169461802300,1752986370,359589,2227,172,369,391730,0 33,2,2024-09-07 08:45:50:759,261275,261242,33,0,11734022,0,7012 33,3,2024-09-07 08:45:50:908,1,205,5,0,329,2511,205,0 34,0,2024-09-07 08:45:50:948,53200,0.3,54763,0.5,105211,0.3,141005,1.75 34,1,2024-09-07 08:45:51:052,362377,362377,0,0,170330051922,1753662182,361314,1056,7,367,391562,0 34,2,2024-09-07 08:45:50:774,255728,255728,0,0,10639087,0,3577 34,3,2024-09-07 08:45:51:688,1,205,13,0,148,1407,205,0 35,0,2024-09-07 08:45:50:868,53181,0.4,53692,0.5,107600,0.3,143448,1.75 35,1,2024-09-07 08:45:51:067,360144,360144,0,0,169127545678,1757524807,356902,2463,779,384,391589,0 35,2,2024-09-07 08:45:51:585,258153,258153,0,0,9666869,0,2653 35,3,2024-09-07 08:45:50:925,1,205,0,0,418,2381,205,0 36,0,2024-09-07 08:45:51:516,51716,0.8,51634,0.9,103429,0.9,137363,2.50 36,1,2024-09-07 08:45:50:584,360242,360242,0,0,168241297571,1761411462,355188,3744,1310,366,391759,0 36,2,2024-09-07 08:45:51:773,261838,261838,0,0,11592823,0,3303 36,3,2024-09-07 08:45:50:875,1,205,1,0,378,3314,205,0 37,0,2024-09-07 08:45:51:622,45621,0.5,45494,0.7,91135,0.4,122573,2.00 37,1,2024-09-07 08:45:50:569,359665,359658,0,7,168213460105,1766858511,354189,3439,2030,365,391560,0 37,2,2024-09-07 08:45:51:145,259646,259631,15,0,11761083,0,5815 37,3,2024-09-07 08:45:51:766,1,205,1,0,888,3971,205,0 38,0,2024-09-07 08:45:51:454,51849,0.4,50398,0.6,105451,0.3,138136,2.00 38,1,2024-09-07 08:45:51:606,361489,361489,0,0,168958252266,1766018199,356905,3932,652,368,391821,0 38,2,2024-09-07 08:45:50:762,257707,257660,47,0,12777299,0,6710 38,3,2024-09-07 08:45:51:002,1,205,8,0,689,3270,205,0 39,0,2024-09-07 08:45:51:772,54293,0.7,53409,0.7,103536,0.7,141986,2.00 39,1,2024-09-07 08:45:50:717,360990,360990,0,0,169141913099,1764473270,356767,3421,802,365,391524,0 39,2,2024-09-07 08:45:51:430,256721,256721,0,0,10514619,0,2689 39,3,2024-09-07 08:45:50:713,1,205,0,0,293,2823,205,0 40,0,2024-09-07 08:45:51:489,52502,0.8,53036,1.0,106190,0.8,140923,3.00 40,1,2024-09-07 08:45:50:577,360308,360308,0,0,168393953083,1767998473,354252,4823,1233,368,391591,0 40,2,2024-09-07 08:45:51:308,259681,259680,1,0,13337044,0,5137 40,3,2024-09-07 08:45:51:149,1,205,13,0,333,2475,205,0 41,0,2024-09-07 08:45:51:038,45998,1.5,47039,1.4,89952,2.8,123238,3.75 41,1,2024-09-07 08:45:50:775,359977,359977,0,0,169068866133,1770049394,355136,4280,561,370,391742,0 41,2,2024-09-07 08:45:50:765,259387,259387,0,0,13177954,0,3356 41,3,2024-09-07 08:45:51:683,1,205,3,0,366,2406,205,0 42,0,2024-09-07 08:45:51:509,50971,0.7,51053,0.9,102068,0.7,135529,2.50 42,1,2024-09-07 08:45:51:451,358843,358843,0,0,168842360117,1774956631,353251,4518,1074,380,391675,0 42,2,2024-09-07 08:45:51:144,259522,259522,0,0,12173643,0,3568 42,3,2024-09-07 08:45:51:018,1,205,8,0,446,1743,205,0 43,0,2024-09-07 08:45:50:960,52976,0.8,51587,0.9,107669,0.8,141489,2.25 43,1,2024-09-07 08:45:50:586,360738,360738,0,0,169661189051,1770088411,356631,3310,797,366,391696,0 43,2,2024-09-07 08:45:51:737,256478,256478,0,0,11302185,0,3812 43,3,2024-09-07 08:45:51:750,1,205,1,0,467,2752,205,0 44,0,2024-09-07 08:45:50:867,54512,0.5,54839,0.7,109328,0.4,145810,1.75 44,1,2024-09-07 08:45:50:567,361471,361471,0,0,168560532193,1743122466,359106,1935,430,356,391809,0 44,2,2024-09-07 08:45:51:266,258017,258017,0,0,9723085,0,1877 44,3,2024-09-07 08:45:51:113,1,205,1,0,817,2291,205,0 45,0,2024-09-07 08:45:51:775,48600,0.8,47596,1.0,99904,0.9,131355,2.00 45,1,2024-09-07 08:45:51:020,360839,360839,0,0,168368486587,1746464240,359510,1318,11,382,391917,0 45,2,2024-09-07 08:45:51:272,262951,262951,0,0,10667439,0,3596 45,3,2024-09-07 08:45:50:939,1,205,3,0,267,2179,205,0 46,0,2024-09-07 08:45:50:969,49089,0.4,48942,0.7,98080,0.4,130751,2.00 46,1,2024-09-07 08:45:50:584,361650,361650,0,0,168441741127,1741762158,359475,1903,272,366,391524,0 46,2,2024-09-07 08:45:50:593,261045,261045,0,0,10284833,0,2920 46,3,2024-09-07 08:45:51:143,1,205,8,0,908,3330,205,0 47,0,2024-09-07 08:45:51:112,53681,0.4,53665,0.6,107786,0.4,142459,1.75 47,1,2024-09-07 08:45:50:569,362059,362059,0,0,168437045704,1739907625,360248,1791,20,366,391605,0 47,2,2024-09-07 08:45:50:930,257397,257397,0,0,10049011,0,2558 47,3,2024-09-07 08:45:51:123,1,205,0,0,529,2157,205,0 48,0,2024-09-07 08:45:51:557,54446,0.3,54145,0.4,107900,0.2,144158,1.50 48,1,2024-09-07 08:45:51:031,361398,361398,0,0,168830237674,1754210041,358981,2199,218,384,391710,0 48,2,2024-09-07 08:45:50:710,257714,257714,0,0,9610982,0,3031 48,3,2024-09-07 08:45:50:754,1,205,7,0,339,1833,205,0 49,0,2024-09-07 08:45:51:749,52269,0.5,51328,0.6,99605,0.4,136141,1.75 49,1,2024-09-07 08:45:51:031,359602,359602,0,0,168437146161,1759066981,355909,2512,1181,382,391610,0 49,2,2024-09-07 08:45:51:797,263435,263435,0,0,10455286,0,3900 49,3,2024-09-07 08:45:51:425,1,205,1,0,408,2550,205,0 50,0,2024-09-07 08:45:51:507,47099,0.3,46562,0.5,93677,0.2,125356,1.75 50,1,2024-09-07 08:45:51:019,362246,362246,0,0,169570976467,1756979209,359591,2345,310,368,391530,0 50,2,2024-09-07 08:45:51:074,261019,261019,0,0,9812189,0,2263 50,3,2024-09-07 08:45:51:312,1,205,9,0,335,1791,205,0 51,0,2024-09-07 08:45:51:691,53589,0.3,52477,0.4,102290,0.2,139449,1.50 51,1,2024-09-07 08:45:51:680,361311,361311,0,0,169498269321,1753058555,358701,1671,939,365,391637,0 51,2,2024-09-07 08:45:51:327,256941,256941,0,0,8988695,0,3337 51,3,2024-09-07 08:45:51:029,1,205,1,0,678,1877,205,0 52,0,2024-09-07 08:45:51:447,54027,0.5,53836,0.6,107451,0.4,142749,2.00 52,1,2024-09-07 08:45:50:577,359921,359921,0,0,167654131913,1762909881,353595,5158,1168,368,391722,0 52,2,2024-09-07 08:45:51:766,255499,255461,38,0,12385417,0,6742 52,3,2024-09-07 08:45:50:678,1,205,0,0,1782,3914,205,0 53,0,2024-09-07 08:45:51:739,51619,0.8,50213,0.9,105133,1.0,137543,2.50 53,1,2024-09-07 08:45:50:775,359149,359149,0,0,168440929971,1772683617,352932,4182,2035,367,391702,0 53,2,2024-09-07 08:45:51:301,261616,261616,0,0,10465170,0,2262 53,3,2024-09-07 08:45:50:698,1,205,6,0,271,1950,205,0 54,0,2024-09-07 08:45:51:633,45576,1.2,45987,1.0,91138,0.6,122417,3.00 54,1,2024-09-07 08:45:50:583,360499,360499,0,0,168635924890,1757229140,356261,3667,571,367,391659,0 54,2,2024-09-07 08:45:50:865,260032,260026,6,0,11821361,0,5382 54,3,2024-09-07 08:45:50:765,1,205,8,0,676,3475,205,0 55,0,2024-09-07 08:45:51:775,50124,0.5,51912,0.7,104636,0.4,136567,2.25 55,1,2024-09-07 08:45:50:764,359851,359851,0,0,167534891317,1750375163,354340,4724,787,365,391731,0 55,2,2024-09-07 08:45:50:736,258251,258251,0,0,11885008,0,3275 55,3,2024-09-07 08:45:50:678,1,205,22,0,304,2662,205,0 56,0,2024-09-07 08:45:51:600,54135,1.2,51046,1.1,105054,1.7,140568,2.50 56,1,2024-09-07 08:45:50:570,359497,359497,0,0,168904523661,1786568534,353615,4939,943,381,391678,0 56,2,2024-09-07 08:45:51:313,256353,256353,0,0,12453367,0,3567 56,3,2024-09-07 08:45:51:065,1,205,24,0,705,3356,205,0 57,0,2024-09-07 08:45:50:983,52942,1.9,53056,1.4,106067,2.7,141819,3.25 57,1,2024-09-07 08:45:50:995,360028,360028,0,0,167771558628,1758303674,356076,3444,508,367,391960,0 57,2,2024-09-07 08:45:51:325,259403,259403,0,0,13419692,0,3317 57,3,2024-09-07 08:45:51:743,1,205,1,0,359,2994,205,0 58,0,2024-09-07 08:45:50:565,46531,0.9,45247,1.1,94776,1.0,123749,2.50 58,1,2024-09-07 08:45:50:577,360474,360471,0,3,168572684265,1763997459,355319,4458,694,367,391545,3 58,2,2024-09-07 08:45:51:086,261808,261808,0,0,12092398,0,2549 58,3,2024-09-07 08:45:51:074,1,205,8,0,1043,2587,205,0 59,0,2024-09-07 08:45:51:765,50240,0.7,49960,0.9,99921,0.7,133546,2.75 59,1,2024-09-07 08:45:50:804,360298,360298,0,0,168769169233,1766037963,355971,3344,983,369,391525,0 59,2,2024-09-07 08:45:50:585,261467,261467,0,0,11064600,0,2604 59,3,2024-09-07 08:45:51:745,1,205,2,0,1015,3263,205,0 60,0,2024-09-07 08:45:51:707,53234,0.5,52961,0.6,106513,0.4,141359,1.75 60,1,2024-09-07 08:45:50:776,361743,361743,0,0,169711672417,1762371008,359375,1851,517,370,392031,0 60,2,2024-09-07 08:45:51:144,256026,256026,0,0,11400191,0,3811 60,3,2024-09-07 08:45:51:266,1,205,1,0,124,1913,205,0 61,0,2024-09-07 08:45:51:497,54578,0.8,54801,0.9,109309,0.9,145628,2.00 61,1,2024-09-07 08:45:50:789,360431,360431,0,0,168430603640,1766091219,356270,3518,643,382,391880,0 61,2,2024-09-07 08:45:51:123,258248,258248,0,0,10672864,0,2090 61,3,2024-09-07 08:45:51:687,1,205,87,0,397,2923,205,0 62,0,2024-09-07 08:45:51:722,50945,1.0,52019,0.9,99178,1.3,135137,2.25 62,1,2024-09-07 08:45:51:129,362597,362591,0,6,169793880115,1754029844,360225,2250,116,365,391715,6 62,2,2024-09-07 08:45:51:644,261895,261894,1,0,11996036,0,5555 62,3,2024-09-07 08:45:51:149,1,205,10,0,287,1481,205,0 63,0,2024-09-07 08:45:51:457,47953,0.5,47956,0.7,95886,0.5,127988,2.00 63,1,2024-09-07 08:45:50:809,362041,362035,0,6,169584903445,1758734139,360501,1485,49,381,391677,6 63,2,2024-09-07 08:45:50:775,260948,260948,0,0,9934975,0,2674 63,3,2024-09-07 08:45:51:750,1,205,1,0,667,2700,205,0 64,0,2024-09-07 08:45:51:515,52672,0.5,52766,0.6,105878,0.4,140866,1.75 64,1,2024-09-07 08:45:50:755,360392,360392,0,0,169151386348,1768783878,356001,2977,1414,370,391783,0 64,2,2024-09-07 08:45:51:158,258382,258363,19,0,10670306,0,6121 64,3,2024-09-07 08:45:51:149,1,205,10,0,265,2138,205,0 65,0,2024-09-07 08:45:51:683,53104,0.7,53590,0.8,106862,0.7,142560,2.25 65,1,2024-09-07 08:45:50:871,359762,359762,0,0,168600082683,1767047871,356349,2970,443,382,391901,0 65,2,2024-09-07 08:45:51:694,257462,257462,0,0,11209326,0,3367 65,3,2024-09-07 08:45:51:685,1,205,3,0,163,1944,205,0 66,0,2024-09-07 08:45:51:775,51186,0.6,51316,0.8,102985,0.6,136576,2.25 66,1,2024-09-07 08:45:51:299,361353,361353,0,0,169337161766,1760355668,359083,2089,181,380,391588,0 66,2,2024-09-07 08:45:51:134,264525,264525,0,0,11052833,0,4956 66,3,2024-09-07 08:45:51:123,1,205,18,0,291,2354,205,0 67,0,2024-09-07 08:45:51:426,46091,0.7,45868,0.8,92116,0.7,122701,2.25 67,1,2024-09-07 08:45:50:774,360614,360613,0,1,169209087133,1767840169,356993,2873,747,380,391787,1 67,2,2024-09-07 08:45:50:583,261471,261471,0,0,10167074,0,2889 67,3,2024-09-07 08:45:51:765,1,205,1,0,338,1739,205,0 68,0,2024-09-07 08:45:50:564,52302,0.5,52139,0.7,103760,0.4,138907,2.00 68,1,2024-09-07 08:45:50:571,359761,359761,0,0,168022247534,1763804802,356120,2504,1137,381,391953,0 68,2,2024-09-07 08:45:51:048,257234,257169,65,0,13459368,0,6698 68,3,2024-09-07 08:45:50:728,1,205,1,0,417,2542,205,0 69,0,2024-09-07 08:45:51:733,52931,0.8,53192,0.9,105915,1.0,141376,2.25 69,1,2024-09-07 08:45:51:028,358656,358656,0,0,168507737484,1778581109,354138,3294,1224,384,391994,0 69,2,2024-09-07 08:45:51:738,256937,256937,0,0,12311355,0,3701 69,3,2024-09-07 08:45:50:764,1,205,3,0,698,3232,205,0 70,0,2024-09-07 08:45:51:535,52685,1.3,52635,1.2,105712,0.9,140616,2.50 70,1,2024-09-07 08:45:50:802,360887,360887,0,0,169660020504,1763024321,357842,2539,506,366,391725,0 70,2,2024-09-07 08:45:51:345,260561,260561,0,0,11650764,0,4044 70,3,2024-09-07 08:45:50:745,1,205,8,0,854,2590,205,0 71,0,2024-09-07 08:45:51:361,45973,1.6,45910,1.4,91577,2.2,123473,3.25 71,1,2024-09-07 08:45:51:602,359992,359992,0,0,168212764264,1760563035,354985,4392,615,368,391738,0 71,2,2024-09-07 08:45:51:074,261588,261588,0,0,11928438,0,2579 71,3,2024-09-07 08:45:51:765,1,205,2,0,644,3085,205,0 72,0,2024-09-07 08:45:51:063,52963,0.6,51782,0.8,101000,0.6,137943,2.25 72,1,2024-09-07 08:45:51:035,360586,360586,0,0,168986233765,1765848819,356404,3433,749,369,391819,0 72,2,2024-09-07 08:45:51:770,258980,258980,0,0,13107088,0,2570 72,3,2024-09-07 08:45:51:755,1,205,44,0,364,3628,205,0 73,0,2024-09-07 08:45:51:104,51999,0.4,53263,0.6,109014,0.4,141306,2.00 73,1,2024-09-07 08:45:50:767,360823,360823,0,0,169007515836,1757572176,358182,2427,214,367,391750,0 73,2,2024-09-07 08:45:51:750,256862,256862,0,0,12404511,0,3482 73,3,2024-09-07 08:45:50:971,1,205,1,0,274,3175,205,0 74,0,2024-09-07 08:45:51:332,55207,0.5,56270,0.7,107199,0.5,145295,2.25 74,1,2024-09-07 08:45:50:635,360313,360313,0,0,168978683474,1765829308,356503,3020,790,381,391681,0 74,2,2024-09-07 08:45:51:002,258414,258414,0,0,12539531,0,4253 74,3,2024-09-07 08:45:51:446,1,205,8,0,522,3077,205,0 75,0,2024-09-07 08:45:51:773,49428,0.8,49088,1.0,98578,0.8,131641,2.50 75,1,2024-09-07 08:45:51:586,359537,359537,0,0,168301857786,1763120362,355084,3791,662,381,391597,0 75,2,2024-09-07 08:45:51:355,262120,262120,0,0,12660093,0,4766 75,3,2024-09-07 08:45:51:075,1,205,0,0,702,3019,205,0 76,0,2024-09-07 08:45:50:670,48739,0.6,48349,0.8,96529,0.6,129919,2.50 76,1,2024-09-07 08:45:50:840,360749,360749,0,0,168503440944,1758542959,358229,1974,546,382,391692,0 76,2,2024-09-07 08:45:51:074,261661,261661,0,0,10723552,0,3784 76,3,2024-09-07 08:45:51:148,1,205,9,0,175,2257,205,0 77,0,2024-09-07 08:45:51:709,53341,0.6,53652,0.8,107006,0.6,141703,2.00 77,1,2024-09-07 08:45:51:119,360925,360925,0,0,168419833593,1760181718,358128,2566,231,383,391808,0 77,2,2024-09-07 08:45:51:286,255256,255256,0,0,10840582,0,3890 77,3,2024-09-07 08:45:51:100,1,205,32,0,305,2268,205,0 78,0,2024-09-07 08:45:51:727,54062,0.5,53671,0.7,108413,0.4,143359,2.00 78,1,2024-09-07 08:45:50:610,360731,360731,0,0,168344601472,1751262951,357786,2630,315,367,391646,0 78,2,2024-09-07 08:45:51:406,258254,258254,0,0,9849906,0,2114 78,3,2024-09-07 08:45:51:149,1,205,8,0,181,2008,205,0 79,0,2024-09-07 08:45:51:386,49336,0.5,50469,0.7,103375,0.5,134273,2.50 79,1,2024-09-07 08:45:50:571,361966,361966,0,0,169237081547,1753195159,359250,2380,336,369,391682,0 79,2,2024-09-07 08:45:51:090,263542,263542,0,0,10209050,0,3212 79,3,2024-09-07 08:45:50:761,1,205,0,0,418,3192,205,0 80,0,2024-09-07 08:45:51:079,46749,0.6,48156,0.8,92174,0.6,125184,2.25 80,1,2024-09-07 08:45:51:628,360004,360004,0,0,168589496753,1756711106,357229,2608,167,368,391791,0 80,2,2024-09-07 08:45:51:123,261321,261321,0,0,10550966,0,4433 80,3,2024-09-07 08:45:50:579,1,205,6,0,190,3058,205,0 81,0,2024-09-07 08:45:51:538,52345,0.4,53837,0.6,102915,0.4,139234,1.75 81,1,2024-09-07 08:45:51:663,360433,360433,0,0,167773802760,1755429884,357369,2707,357,382,391879,0 81,2,2024-09-07 08:45:51:132,256607,256607,0,0,10909386,0,3993 81,3,2024-09-07 08:45:51:127,1,205,1,0,374,2545,205,0 82,0,2024-09-07 08:45:51:534,53321,0.4,53704,0.6,107598,0.4,142949,2.00 82,1,2024-09-07 08:45:50:584,360878,360874,0,4,168727540726,1759594185,358111,2152,611,381,391558,4 82,2,2024-09-07 08:45:51:694,257800,257800,0,0,10295583,0,3986 82,3,2024-09-07 08:45:51:754,1,205,8,0,363,2550,205,0 83,0,2024-09-07 08:45:51:527,52125,0.6,52130,0.8,103525,0.7,137975,2.00 83,1,2024-09-07 08:45:50:554,360120,360120,0,0,168085340038,1756109293,357082,2795,243,382,391690,0 83,2,2024-09-07 08:45:50:764,261160,261160,0,0,9955718,0,3119 83,3,2024-09-07 08:45:50:749,1,205,12,0,250,2263,205,0 84,0,2024-09-07 08:45:51:773,46007,0.9,46043,1.1,92298,0.8,123593,2.50 84,1,2024-09-07 08:45:51:045,359592,359592,0,0,168427290418,1762980385,355600,3423,569,368,391967,0 84,2,2024-09-07 08:45:50:578,260401,260401,0,0,11933407,0,3801 84,3,2024-09-07 08:45:51:149,1,205,1,0,353,2745,205,0 85,0,2024-09-07 08:45:51:029,50068,0.5,50015,0.7,106047,0.5,137360,2.25 85,1,2024-09-07 08:45:50:567,359066,359066,0,0,167913356885,1778391787,352806,5161,1099,382,392006,0 85,2,2024-09-07 08:45:50:882,260062,260062,0,0,12397246,0,3656 85,3,2024-09-07 08:45:50:696,1,205,2,0,789,2855,205,0 86,0,2024-09-07 08:45:50:893,52991,0.6,54365,0.8,104270,0.7,140509,2.00 86,1,2024-09-07 08:45:50:830,360432,360432,0,0,168854081747,1767799327,356241,3646,545,366,391961,0 86,2,2024-09-07 08:45:50:868,255496,255495,1,0,12967997,0,5004 86,3,2024-09-07 08:45:50:602,1,205,8,0,286,2843,205,0 87,0,2024-09-07 08:45:51:311,53597,1.2,53289,1.0,107216,1.6,143291,2.50 87,1,2024-09-07 08:45:50:551,360398,360398,0,0,168599789064,1767194510,356293,3626,479,366,391788,0 87,2,2024-09-07 08:45:51:071,258306,258306,0,0,11772786,0,4045 87,3,2024-09-07 08:45:51:796,1,205,2,0,473,3584,205,0 88,0,2024-09-07 08:45:51:456,47664,0.6,47865,0.7,95567,0.7,126805,1.75 88,1,2024-09-07 08:45:50:571,358931,358931,0,0,168446805823,1771732398,353197,4264,1470,365,392084,0 88,2,2024-09-07 08:45:50:689,261366,261366,0,0,13716973,0,3583 88,3,2024-09-07 08:45:51:290,1,205,1,0,435,2618,205,0 89,0,2024-09-07 08:45:51:773,51673,0.4,50069,0.6,99051,0.4,134811,1.75 89,1,2024-09-07 08:45:50:692,358326,358326,0,0,168419249877,1779992984,352333,5048,945,382,391866,0 89,2,2024-09-07 08:45:51:144,259912,259912,0,0,12819397,0,2910 89,3,2024-09-07 08:45:51:794,1,205,3,0,325,4018,205,0 90,0,2024-09-07 08:45:51:625,51609,0.5,52673,0.6,108179,0.4,140386,1.75 90,1,2024-09-07 08:45:50:597,360488,360488,0,0,168511900157,1766033188,357161,3067,260,381,391825,0 90,2,2024-09-07 08:45:51:410,254564,254564,0,0,13593738,0,3060 90,3,2024-09-07 08:45:50:973,1,205,8,0,200,2289,205,0 91,0,2024-09-07 08:45:50:951,55004,0.5,53138,0.6,110977,0.4,145770,1.75 91,1,2024-09-07 08:45:50:786,359610,359610,0,0,168173451961,1769453020,354829,4134,647,381,392047,0 91,2,2024-09-07 08:45:51:335,257364,257364,0,0,12133493,0,2445 91,3,2024-09-07 08:45:50:598,1,205,1,0,216,2113,205,0 92,0,2024-09-07 08:45:51:475,51072,0.6,52422,0.8,100130,0.7,135438,1.75 92,1,2024-09-07 08:45:50:583,359877,359877,0,0,168634793941,1760359983,357561,1911,405,382,392136,0 92,2,2024-09-07 08:45:51:383,264189,264189,0,0,10824617,0,2801 92,3,2024-09-07 08:45:51:018,1,205,1,0,167,1716,205,0 93,0,2024-09-07 08:45:50:970,48151,0.4,49415,0.6,94333,0.4,128342,1.75 93,1,2024-09-07 08:45:50:833,360766,360766,0,0,168718712117,1762356370,357068,3072,626,366,391692,0 93,2,2024-09-07 08:45:50:947,261352,261352,0,0,11103071,0,2509 93,3,2024-09-07 08:45:51:405,1,205,190,0,190,2247,205,0 94,0,2024-09-07 08:45:51:615,53057,0.4,53817,0.5,106776,0.3,141738,1.75 94,1,2024-09-07 08:45:50:569,359867,359867,0,0,168988522439,1767590971,356951,2783,133,381,391850,0 94,2,2024-09-07 08:45:50:761,255659,255659,0,0,10042365,0,2443 94,3,2024-09-07 08:45:51:688,1,205,8,0,264,2907,205,0 95,0,2024-09-07 08:45:51:350,53920,0.3,53553,0.5,108274,0.3,143236,1.75 95,1,2024-09-07 08:45:50:861,360546,360546,0,0,169400607736,1763926497,357359,2837,350,367,391662,0 95,2,2024-09-07 08:45:51:025,257208,257208,0,0,10796166,0,3308 95,3,2024-09-07 08:45:51:718,1,205,0,0,718,4268,205,0 96,0,2024-09-07 08:45:51:040,51699,0.5,51991,0.6,103818,0.5,137190,1.75 96,1,2024-09-07 08:45:51:598,360287,360287,0,0,168193227531,1758419540,357444,2196,647,385,391894,0 96,2,2024-09-07 08:45:51:287,262366,262366,0,0,11268538,0,4042 96,3,2024-09-07 08:45:51:143,1,205,1,0,411,2559,205,0 97,0,2024-09-07 08:45:51:334,46090,0.4,45916,0.5,92117,0.3,122901,1.75 97,1,2024-09-07 08:45:50:764,361352,361352,0,0,168983431258,1755865008,358411,2388,553,367,392140,0 97,2,2024-09-07 08:45:50:611,260569,260569,0,0,10488080,0,3036 97,3,2024-09-07 08:45:50:577,1,205,5,0,165,2426,205,0 98,0,2024-09-07 08:45:51:700,52052,0.3,52091,0.4,104499,0.2,138855,1.50 98,1,2024-09-07 08:45:50:589,360718,360718,0,0,168854788519,1759409824,358704,1920,94,382,391997,0 98,2,2024-09-07 08:45:50:770,259304,259304,0,0,10521343,0,3080 98,3,2024-09-07 08:45:50:709,1,205,14,0,840,3587,205,0 99,0,2024-09-07 08:45:51:467,53325,0.3,53321,0.4,106462,0.3,142376,1.50 99,1,2024-09-07 08:45:51:736,361138,361138,0,0,168078814848,1757127679,357386,3013,739,381,391744,0 99,2,2024-09-07 08:45:51:423,259011,259011,0,0,10725477,0,3106 99,3,2024-09-07 08:45:50:582,1,205,1,0,129,1570,205,0 100,0,2024-09-07 08:45:51:476,52949,0.9,52991,1.1,105778,1.3,141251,2.50 100,1,2024-09-07 08:45:50:554,358461,358461,0,0,167296539041,1773918177,352341,4965,1155,381,391989,0 100,2,2024-09-07 08:45:51:832,259205,259194,11,0,12518522,0,5417 100,3,2024-09-07 08:45:51:745,1,205,4,0,559,4093,205,0 101,0,2024-09-07 08:45:51:716,47347,2.2,46238,1.4,90374,2.2,124850,2.75 101,1,2024-09-07 08:45:50:557,359081,359081,0,0,168157522797,1775564281,353004,4554,1523,368,391769,0 101,2,2024-09-07 08:45:51:774,260347,260347,0,0,13667872,0,4644 101,3,2024-09-07 08:45:50:952,1,205,1,0,448,2821,205,0 102,0,2024-09-07 08:45:50:971,50082,0.7,51893,0.8,104226,0.6,137244,2.00 102,1,2024-09-07 08:45:51:150,359515,359515,0,0,168047622154,1768649359,354609,4172,734,369,391883,0 102,2,2024-09-07 08:45:51:738,260406,260352,54,0,12621263,0,6768 102,3,2024-09-07 08:45:51:627,1,205,8,0,410,2287,205,0 103,0,2024-09-07 08:45:51:602,54852,0.5,54811,0.7,103482,0.4,142258,2.00 103,1,2024-09-07 08:45:51:625,358730,358730,0,0,167902929402,1773350302,353018,4387,1325,381,391829,0 103,2,2024-09-07 08:45:50:582,255295,255295,0,0,11375991,0,2107 103,3,2024-09-07 08:45:50:762,1,205,1,0,916,3374,205,0 104,0,2024-09-07 08:45:51:040,54117,1.0,54514,1.1,107769,1.0,145376,2.50 104,1,2024-09-07 08:45:51:625,360527,360527,0,0,168454611642,1773178156,355173,4383,971,365,391948,0 104,2,2024-09-07 08:45:51:669,257401,257401,0,0,12712939,0,3941 104,3,2024-09-07 08:45:51:425,1,205,4,1,1245,5906,205,0 105,0,2024-09-07 08:45:51:028,48862,1.2,47447,1.3,99231,1.9,130597,3.50 105,1,2024-09-07 08:45:50:566,360554,360554,0,0,168582899166,1769855714,355622,4128,804,367,391797,0 105,2,2024-09-07 08:45:51:327,261790,261790,0,0,12275091,0,3509 105,3,2024-09-07 08:45:51:319,1,205,1,0,399,3834,205,0 106,0,2024-09-07 08:45:50:977,47266,0.8,48449,0.9,99183,0.9,129879,2.50 106,1,2024-09-07 08:45:51:750,360132,360132,0,0,168091710358,1766357772,354840,4815,477,369,391767,0 106,2,2024-09-07 08:45:50:772,258897,258897,0,0,12248184,0,2795 106,3,2024-09-07 08:45:50:686,1,205,11,0,470,3198,205,0 107,0,2024-09-07 08:45:51:107,53403,0.9,53301,0.9,106307,1.1,141492,2.00 107,1,2024-09-07 08:45:50:592,359173,359173,0,0,167841320457,1766779800,354961,3797,415,381,392234,0 107,2,2024-09-07 08:45:51:298,255104,255103,1,0,12213210,0,5024 107,3,2024-09-07 08:45:51:759,1,205,50,0,353,3221,205,0 108,0,2024-09-07 08:45:51:851,54239,0.4,54438,0.6,107935,0.4,144098,1.75 108,1,2024-09-07 08:45:51:313,360240,360240,0,0,168285531529,1755981209,357466,2460,314,368,391857,0 108,2,2024-09-07 08:45:51:775,256371,256371,0,0,11566588,0,2647 108,3,2024-09-07 08:45:51:344,1,205,32,0,749,4609,205,0 109,0,2024-09-07 08:45:51:844,51215,0.4,50653,0.6,101682,0.4,136316,1.75 109,1,2024-09-07 08:45:50:597,359659,359659,0,0,168862161402,1772562106,356493,2665,501,383,392132,0 109,2,2024-09-07 08:45:50:969,262101,262101,0,0,11814077,0,3617 109,3,2024-09-07 08:45:51:151,1,205,8,0,249,2667,205,0 110,0,2024-09-07 08:45:51:776,47075,0.4,45849,0.7,95660,0.4,125640,1.75 110,1,2024-09-07 08:45:51:670,360781,360781,0,0,169214202790,1759228272,358020,1993,768,370,391667,0 110,2,2024-09-07 08:45:51:321,260972,260972,0,0,10882231,0,2915 110,3,2024-09-07 08:45:50:697,1,205,10,0,406,2873,205,0 111,0,2024-09-07 08:45:51:420,52563,0.3,52134,0.5,104039,0.2,139865,1.75 111,1,2024-09-07 08:45:51:007,361500,361500,0,0,169065641478,1753935022,359684,1482,334,382,391690,0 111,2,2024-09-07 08:45:51:118,256863,256863,0,0,10838770,0,2763 111,3,2024-09-07 08:45:50:918,1,205,8,0,379,3048,205,0 112,0,2024-09-07 08:45:50:911,53749,0.3,53718,0.4,107328,0.2,143024,1.50 112,1,2024-09-07 08:45:50:830,361508,361508,0,0,168556592172,1751043452,359111,1970,427,380,391580,0 112,2,2024-09-07 08:45:51:135,256876,256875,1,0,10970967,0,5036 112,3,2024-09-07 08:45:50:597,1,205,28,0,282,2345,205,0 113,0,2024-09-07 08:45:50:871,51934,0.3,51845,0.5,104263,0.3,139150,1.75 113,1,2024-09-07 08:45:51:686,361724,361724,0,0,169269422486,1750444716,359238,1997,489,366,391661,0 113,2,2024-09-07 08:45:51:309,262411,262411,0,0,10073481,0,3813 113,3,2024-09-07 08:45:50:694,1,205,1,0,340,3484,205,0 114,0,2024-09-07 08:45:50:883,46950,0.5,47482,0.6,93726,0.4,125638,1.75 114,1,2024-09-07 08:45:50:717,360389,360389,0,0,169741652407,1772606143,355922,2983,1484,381,391556,0 114,2,2024-09-07 08:45:50:874,260755,260754,1,0,11210696,0,5069 114,3,2024-09-07 08:45:51:286,1,205,7,0,395,2294,205,0 115,0,2024-09-07 08:45:50:554,51792,0.3,52113,0.4,104074,0.2,138446,1.50 115,1,2024-09-07 08:45:50:571,360265,360265,0,0,169216488764,1764293674,356035,3397,833,382,391602,0 115,2,2024-09-07 08:45:51:149,260383,260383,0,0,9625360,0,2152 115,3,2024-09-07 08:45:51:006,1,205,1,0,159,1263,205,0 116,0,2024-09-07 08:45:51:719,52976,0.7,52691,0.9,105639,0.7,141258,2.00 116,1,2024-09-07 08:45:50:805,358286,358286,0,0,168034084167,1784374000,352238,3996,2052,380,391782,0 116,2,2024-09-07 08:45:51:755,255580,255580,0,0,13409720,0,3529 116,3,2024-09-07 08:45:50:949,1,205,2,0,252,2813,205,0 117,0,2024-09-07 08:45:50:987,53638,1.0,53644,1.0,107148,1.2,143382,2.00 117,1,2024-09-07 08:45:51:588,359856,359856,0,0,168332075811,1765036546,355512,3868,476,370,392033,0 117,2,2024-09-07 08:45:51:125,260827,260827,0,0,10767440,0,3700 117,3,2024-09-07 08:45:51:073,1,205,1,0,490,3740,205,0 118,0,2024-09-07 08:45:51:778,46413,0.8,47588,0.8,96948,0.9,126514,2.25 118,1,2024-09-07 08:45:50:595,359706,359706,0,0,167633909824,1767750266,353823,4456,1427,366,391736,0 118,2,2024-09-07 08:45:51:591,261280,261280,0,0,12108017,0,2781 118,3,2024-09-07 08:45:51:766,1,205,8,0,235,2498,205,0 119,0,2024-09-07 08:45:51:342,49739,0.5,50022,0.7,100656,0.4,133771,2.00 119,1,2024-09-07 08:45:50:552,360615,360615,0,0,168367402195,1758327167,357264,3042,309,368,391641,0 119,2,2024-09-07 08:45:51:263,260395,260395,0,0,11419744,0,3526 119,3,2024-09-07 08:45:51:326,1,205,0,0,443,3266,205,0 120,0,2024-09-07 08:45:51:575,52736,0.5,52718,0.8,105761,0.5,141038,2.00 120,1,2024-09-07 08:45:50:859,359976,359976,0,0,168059434442,1765562628,355861,3771,344,368,391961,0 120,2,2024-09-07 08:45:50:775,255119,255118,1,0,13418063,0,5281 120,3,2024-09-07 08:45:51:298,1,205,10,0,241,2894,205,0 121,0,2024-09-07 08:45:51:711,54420,1.2,54798,1.0,109229,1.6,145428,2.25 121,1,2024-09-07 08:45:51:705,360257,360257,0,0,168997749472,1769631101,356546,3309,402,367,391840,0 121,2,2024-09-07 08:45:51:173,256638,256638,0,0,12910142,0,4127 121,3,2024-09-07 08:45:50:733,1,205,3,0,269,2588,205,0 122,0,2024-09-07 08:45:51:762,50485,1.0,49136,1.0,102958,1.2,135466,2.25 122,1,2024-09-07 08:45:50:871,359223,359223,0,0,168323549538,1769895376,353987,4496,740,366,392130,0 122,2,2024-09-07 08:45:51:326,261997,261924,73,0,15629546,0,5989 122,3,2024-09-07 08:45:50:594,1,205,8,0,411,4604,205,0 123,0,2024-09-07 08:45:50:992,47906,0.7,46758,0.8,97287,0.8,127854,2.00 123,1,2024-09-07 08:45:50:561,359630,359630,0,0,168266605069,1780027535,351762,6308,1560,369,391823,0 123,2,2024-09-07 08:45:51:020,258770,258769,1,0,12599469,0,5215 123,3,2024-09-07 08:45:51:141,1,205,1,0,168,2534,205,0 124,0,2024-09-07 08:45:50:959,55028,0.3,54963,0.5,103638,0.3,142329,1.75 124,1,2024-09-07 08:45:51:036,361087,361087,0,0,168535961304,1753599790,358484,2241,362,367,392178,0 124,2,2024-09-07 08:45:51:010,256235,256235,0,0,10288073,0,3101 124,3,2024-09-07 08:45:50:760,1,205,9,0,490,2571,205,0 125,0,2024-09-07 08:45:51:432,53841,0.4,53745,0.5,107799,0.3,143365,1.75 125,1,2024-09-07 08:45:50:857,360264,360264,0,0,168137628396,1755478296,357714,2272,278,383,391702,0 125,2,2024-09-07 08:45:51:137,257975,257975,0,0,10374695,0,2180 125,3,2024-09-07 08:45:51:134,1,205,1,0,284,2603,205,0 126,0,2024-09-07 08:45:51:434,51845,0.6,53218,0.7,101833,0.7,138011,1.75 126,1,2024-09-07 08:45:50:551,360953,360953,0,0,169237438746,1757625200,358403,2291,259,365,391987,0 126,2,2024-09-07 08:45:50:611,263762,263762,0,0,11291252,0,3186 126,3,2024-09-07 08:45:50:914,1,205,5,0,150,2816,205,0 127,0,2024-09-07 08:45:51:610,45993,0.4,46223,0.5,92019,0.3,122887,1.75 127,1,2024-09-07 08:45:50:571,360804,360804,0,0,169353986686,1757518500,358066,2586,152,365,391816,0 127,2,2024-09-07 08:45:50:640,260713,260713,0,0,10426152,0,2264 127,3,2024-09-07 08:45:51:276,1,205,0,0,243,1753,205,0 128,0,2024-09-07 08:45:51:530,52536,0.3,52362,0.4,104630,0.2,139048,1.50 128,1,2024-09-07 08:45:51:625,361016,361016,0,0,168717278756,1751201092,359073,1788,155,367,391680,0 128,2,2024-09-07 08:45:51:441,258072,258072,0,0,10002227,0,2434 128,3,2024-09-07 08:45:50:774,1,205,2,0,1082,3815,205,0 129,0,2024-09-07 08:45:50:996,53629,0.3,53365,0.4,106655,0.3,141933,1.50 129,1,2024-09-07 08:45:50:574,358898,358898,0,0,168321195831,1767699897,354872,3099,927,379,391835,0 129,2,2024-09-07 08:45:50:689,257456,257456,0,0,10413850,0,4031 129,3,2024-09-07 08:45:50:689,1,205,19,0,469,2977,205,0 130,0,2024-09-07 08:45:51:727,53414,0.6,53265,0.7,106789,0.7,142153,1.75 130,1,2024-09-07 08:45:50:589,360713,360713,0,0,169336764673,1763712944,358435,2203,75,381,391825,0 130,2,2024-09-07 08:45:51:144,261538,261538,0,0,10472006,0,4067 130,3,2024-09-07 08:45:51:327,1,205,15,0,450,2349,205,0 131,0,2024-09-07 08:45:51:954,46614,0.5,46953,0.6,94503,0.5,124949,1.75 131,1,2024-09-07 08:45:51:821,361093,361093,0,0,168866151965,1761076238,358562,2108,423,383,391865,0 131,2,2024-09-07 08:45:50:583,262401,262401,0,0,9841074,0,2415 131,3,2024-09-07 08:45:51:706,1,205,6,0,392,2138,205,0 132,0,2024-09-07 08:45:51:421,50954,0.5,51662,0.7,103377,0.4,137737,2.00 132,1,2024-09-07 08:45:50:583,359186,359186,0,0,167724924660,1772411849,353112,4994,1080,381,392097,0 132,2,2024-09-07 08:45:50:698,259770,259770,0,0,13258779,0,4606 132,3,2024-09-07 08:45:51:698,1,205,11,0,356,3322,205,0 133,0,2024-09-07 08:45:51:520,51926,0.4,53159,0.6,108937,0.4,141086,1.75 133,1,2024-09-07 08:45:50:583,358441,358441,0,0,168144214879,1773073777,353456,4339,646,383,391914,0 133,2,2024-09-07 08:45:51:096,255252,255252,0,0,13066011,0,4315 133,3,2024-09-07 08:45:51:303,1,205,0,0,276,2137,205,0 134,0,2024-09-07 08:45:50:945,54506,0.5,54460,0.7,109363,0.5,145259,2.00 134,1,2024-09-07 08:45:50:593,359344,359344,0,0,168164691943,1767972497,353840,4105,1399,366,391718,0 134,2,2024-09-07 08:45:51:765,258197,258197,0,0,11176770,0,3847 134,3,2024-09-07 08:45:50:749,1,205,8,0,739,3368,205,0 135,0,2024-09-07 08:45:51:103,47721,1.2,47735,1.1,101329,1.4,130223,2.25 135,1,2024-09-07 08:45:51:593,358868,358868,0,0,168883531651,1777246347,353868,4103,897,380,391805,0 135,2,2024-09-07 08:45:50:690,262286,262286,0,0,12856660,0,3981 135,3,2024-09-07 08:45:51:002,1,205,1,0,299,1467,205,0 136,0,2024-09-07 08:45:51:627,49406,0.5,49340,0.7,98649,0.5,131611,2.25 136,1,2024-09-07 08:45:51:467,359327,359327,0,0,168095837993,1768438690,354358,4427,542,382,391641,0 136,2,2024-09-07 08:45:51:145,261202,261202,0,0,11967518,0,3506 136,3,2024-09-07 08:45:51:122,1,205,1,0,126,1727,205,0 137,0,2024-09-07 08:45:50:928,54907,0.7,53365,0.8,105269,0.7,142613,2.00 137,1,2024-09-07 08:45:50:575,358978,358978,0,0,168371113037,1771032142,352628,4980,1370,366,391708,0 137,2,2024-09-07 08:45:51:717,254996,254996,0,0,13573181,0,3185 137,3,2024-09-07 08:45:50:773,1,205,0,0,227,2338,205,0 138,0,2024-09-07 08:45:51:762,53615,1.0,53767,1.0,107873,1.2,143729,2.25 138,1,2024-09-07 08:45:51:686,359636,359636,0,0,168787911833,1772621772,354133,4616,887,368,391954,0 138,2,2024-09-07 08:45:50:604,257940,257940,0,0,12205881,0,4988 138,3,2024-09-07 08:45:50:614,1,205,9,0,1160,3557,205,0 139,0,2024-09-07 08:45:51:375,49956,2.5,50004,1.5,100877,3.7,134669,2.75 139,1,2024-09-07 08:45:50:576,357913,357913,0,0,167945546621,1787418754,350689,5349,1875,381,391892,0 139,2,2024-09-07 08:45:50:695,260951,260951,0,0,12842615,0,3097 139,3,2024-09-07 08:45:51:666,1,205,1,0,244,2310,205,0 140,0,2024-09-07 08:45:51:620,47482,0.4,46890,0.6,94603,0.3,125860,1.75 140,1,2024-09-07 08:45:51:542,361607,361607,0,0,169374464696,1747327749,359852,1515,240,365,391606,0 140,2,2024-09-07 08:45:50:689,261560,261560,0,0,10703012,0,3388 140,3,2024-09-07 08:45:50:767,1,205,13,0,247,1753,205,0 141,0,2024-09-07 08:45:51:702,52390,0.3,53683,0.4,102583,0.2,139770,1.50 141,1,2024-09-07 08:45:50:860,361587,361587,0,0,169167608464,1755897628,359513,1719,355,379,391614,0 141,2,2024-09-07 08:45:51:687,257338,257338,0,0,9919024,0,2342 141,3,2024-09-07 08:45:51:043,1,205,17,0,176,1741,205,0 142,0,2024-09-07 08:45:51:316,54247,0.3,53816,0.5,106948,0.2,143317,1.50 142,1,2024-09-07 08:45:50:590,360230,360230,0,0,169108323994,1764717536,357924,2066,240,383,392102,0 142,2,2024-09-07 08:45:51:311,256786,256754,32,0,11601960,0,6028 142,3,2024-09-07 08:45:51:755,1,205,8,0,484,2789,205,0 143,0,2024-09-07 08:45:51:623,52198,0.6,52076,0.7,104968,0.6,138970,1.75 143,1,2024-09-07 08:45:50:570,360801,360801,0,0,168361584950,1748022812,358474,2281,46,367,391619,0 143,2,2024-09-07 08:45:50:771,261588,261588,0,0,11031174,0,2669 143,3,2024-09-07 08:45:51:143,1,205,64,0,303,3000,205,0 144,0,2024-09-07 08:45:51:618,45020,0.6,46353,1.1,94070,0.6,123953,2.00 144,1,2024-09-07 08:45:50:572,358550,358550,0,0,167967897370,1761391523,355640,2635,275,381,391649,0 144,2,2024-09-07 08:45:51:765,260856,260856,0,0,10628381,0,3473 144,3,2024-09-07 08:45:51:740,1,205,1,0,249,2410,205,0 145,0,2024-09-07 08:45:51:395,50123,0.5,50129,0.7,106325,0.4,137899,2.00 145,1,2024-09-07 08:45:50:552,358230,358230,0,0,168154240816,1771776361,353018,4393,819,382,391698,0 145,2,2024-09-07 08:45:51:434,258516,258516,0,0,12015103,0,3903 145,3,2024-09-07 08:45:50:895,1,205,1,0,579,3169,205,0 146,0,2024-09-07 08:45:51:608,52953,0.5,52385,0.7,105679,0.5,140403,2.00 146,1,2024-09-07 08:45:51:595,360184,360184,0,0,169053119206,1779267827,353925,4876,1383,368,391770,0 146,2,2024-09-07 08:45:51:717,256228,256228,0,0,11547962,0,2498 146,3,2024-09-07 08:45:51:287,1,205,12,0,1520,5540,205,0 147,0,2024-09-07 08:45:51:695,53585,0.7,53537,0.8,106442,0.6,142996,2.25 147,1,2024-09-07 08:45:51:378,361021,361021,0,0,168690180101,1757757086,357301,3151,569,368,391791,0 147,2,2024-09-07 08:45:51:021,260643,260643,0,0,10570132,0,2789 147,3,2024-09-07 08:45:50:918,1,205,62,0,730,3264,205,0 0,0,2024-09-07 08:46:01:827,51547,0.5,51604,0.7,109411,0.5,141525,1.75 0,1,2024-09-07 08:46:00:814,361903,361903,0,0,169723582048,1778563393,358931,2770,202,372,391772,0 0,2,2024-09-07 08:46:01:109,257203,257203,0,0,10869338,0,4480 0,3,2024-09-07 08:46:00:994,1,206,1,0,247,2695,206,0 1,0,2024-09-07 08:46:01:756,54874,1.0,54442,1.0,109469,1.3,145850,2.00 1,1,2024-09-07 08:46:00:584,361593,361593,0,0,168703566922,1771389270,357600,3073,920,371,391857,0 1,2,2024-09-07 08:46:00:662,258597,258597,0,0,10253579,0,3267 1,3,2024-09-07 08:46:01:308,1,206,22,0,262,2557,206,0 2,0,2024-09-07 08:46:01:586,50677,0.9,51060,0.9,101375,1.2,135113,2.25 2,1,2024-09-07 08:46:00:866,362369,362369,0,0,169934150613,1768126261,360389,1762,218,380,391745,0 2,2,2024-09-07 08:46:01:284,263857,263857,0,0,10973581,0,3594 2,3,2024-09-07 08:46:00:696,1,206,13,0,357,2040,206,0 3,0,2024-09-07 08:46:01:751,48211,0.4,48281,0.6,96289,0.4,128501,2.00 3,1,2024-09-07 08:46:01:623,361802,361802,0,0,169110436074,1765419565,358112,3230,460,380,391523,0 3,2,2024-09-07 08:46:01:148,261887,261864,23,0,11153272,0,5851 3,3,2024-09-07 08:46:01:754,1,206,58,0,103,1349,206,0 4,0,2024-09-07 08:46:01:802,51783,0.4,53238,0.5,108364,0.4,141986,1.75 4,1,2024-09-07 08:46:00:596,361670,361670,0,0,169019363630,1776535175,357818,3176,676,371,391846,0 4,2,2024-09-07 08:46:01:020,255908,255908,0,0,12713588,0,4528 4,3,2024-09-07 08:46:01:036,1,206,2,0,448,3350,206,0 5,0,2024-09-07 08:46:01:378,54099,0.4,54213,0.6,107931,0.4,143949,1.75 5,1,2024-09-07 08:46:00:762,361592,361592,0,0,169200074728,1781731120,356922,3613,1057,368,392005,0 5,2,2024-09-07 08:46:01:848,257520,257520,0,0,11414467,0,2259 5,3,2024-09-07 08:46:01:743,1,206,0,0,278,2984,206,0 6,0,2024-09-07 08:46:00:925,52233,0.8,51734,0.9,103212,0.9,138315,2.25 6,1,2024-09-07 08:46:00:750,362101,362101,0,0,169203401355,1771668396,357859,3437,805,379,391634,0 6,2,2024-09-07 08:46:01:127,263503,263503,0,0,11873324,0,4816 6,3,2024-09-07 08:46:01:276,1,206,15,0,340,2674,206,0 7,0,2024-09-07 08:46:01:543,45985,0.5,46055,0.7,91983,0.4,122976,2.00 7,1,2024-09-07 08:46:00:852,361008,361008,0,0,169292363082,1780599709,355597,4437,974,382,391686,0 7,2,2024-09-07 08:46:00:782,261124,261124,0,0,11126091,0,2981 7,3,2024-09-07 08:46:00:851,1,206,32,0,305,2159,206,0 8,0,2024-09-07 08:46:01:403,52279,0.3,52406,0.5,104402,0.3,139412,1.50 8,1,2024-09-07 08:46:01:019,360834,360834,0,0,169816895463,1793455907,353775,5224,1835,367,391956,0 8,2,2024-09-07 08:46:00:818,255830,255830,0,0,13210457,0,2986 8,3,2024-09-07 08:46:00:585,1,206,39,0,357,3029,206,0 9,0,2024-09-07 08:46:01:163,53682,0.4,52107,0.5,108767,0.3,142945,1.75 9,1,2024-09-07 08:46:00:575,361175,361175,0,0,169248970937,1788514342,355180,4458,1537,370,392001,0 9,2,2024-09-07 08:46:01:151,258210,258210,0,0,11732192,0,3360 9,3,2024-09-07 08:46:01:760,1,206,1,0,496,3247,206,0 10,0,2024-09-07 08:46:01:653,53504,0.3,53119,0.5,106549,0.3,141942,1.75 10,1,2024-09-07 08:46:00:582,361546,361546,0,0,169236067391,1777563401,355804,4898,844,381,391741,0 10,2,2024-09-07 08:46:00:766,262415,262415,0,0,13265875,0,4264 10,3,2024-09-07 08:46:00:875,1,206,7,0,177,1745,206,0 11,0,2024-09-07 08:46:01:007,46403,0.5,45058,0.8,94364,0.5,125632,2.00 11,1,2024-09-07 08:46:00:581,361455,361455,0,0,168839636636,1782036555,353836,5705,1914,383,391537,0 11,2,2024-09-07 08:46:01:127,261911,261911,0,0,12114909,0,3411 11,3,2024-09-07 08:46:01:303,1,206,1,0,720,3047,206,0 12,0,2024-09-07 08:46:00:961,52127,0.3,51947,0.5,103980,0.3,138697,1.75 12,1,2024-09-07 08:46:00:934,361970,361970,0,0,168643514989,1761827769,358695,2856,419,370,391837,0 12,2,2024-09-07 08:46:01:543,261820,261820,0,0,12050351,0,3469 12,3,2024-09-07 08:46:01:070,1,206,3,0,386,3930,206,0 13,0,2024-09-07 08:46:01:358,53682,0.4,53535,0.5,107032,0.3,142189,1.75 13,1,2024-09-07 08:46:01:541,360948,360948,0,0,168742239735,1772768076,357799,2621,528,382,391717,0 13,2,2024-09-07 08:46:00:596,258109,258109,0,0,10862351,0,3287 13,3,2024-09-07 08:46:01:775,1,206,4,0,467,3651,206,0 14,0,2024-09-07 08:46:00:563,54863,0.4,55371,0.6,109454,0.4,145595,1.75 14,1,2024-09-07 08:46:01:583,363823,363823,0,0,170067507682,1764012704,361127,2507,189,364,391571,0 14,2,2024-09-07 08:46:00:764,259870,259870,0,0,11051437,0,2896 14,3,2024-09-07 08:46:01:123,1,206,8,0,1168,3243,206,0 15,0,2024-09-07 08:46:01:569,49020,0.6,49391,0.9,98626,0.7,130659,2.25 15,1,2024-09-07 08:46:01:608,362260,362260,0,0,169429095789,1763695476,359968,2148,144,381,391619,0 15,2,2024-09-07 08:46:00:999,263973,263973,0,0,9722036,0,3043 15,3,2024-09-07 08:46:01:405,1,206,0,0,1126,4736,206,0 16,0,2024-09-07 08:46:00:957,49350,0.7,49728,0.9,98574,0.8,132245,2.25 16,1,2024-09-07 08:46:00:579,362434,362434,0,0,169267007192,1772909826,359057,3092,285,370,391756,0 16,2,2024-09-07 08:46:01:457,261563,261563,0,0,11985237,0,4719 16,3,2024-09-07 08:46:01:151,1,206,10,0,317,2902,206,0 17,0,2024-09-07 08:46:01:876,55103,0.5,53754,0.8,105333,0.5,142675,2.00 17,1,2024-09-07 08:46:00:573,360783,360783,0,0,169028737280,1780189943,356084,3598,1101,368,391688,0 17,2,2024-09-07 08:46:01:665,259302,259302,0,0,10919598,0,2857 17,3,2024-09-07 08:46:00:575,1,206,1,0,268,3374,206,0 18,0,2024-09-07 08:46:00:952,53671,0.8,53848,0.9,107779,0.8,143905,2.50 18,1,2024-09-07 08:46:01:638,362291,362291,0,0,169213036213,1757037601,359862,2192,237,367,391564,0 18,2,2024-09-07 08:46:01:763,259216,259216,0,0,10660587,0,3541 18,3,2024-09-07 08:46:00:900,1,206,7,0,163,2180,206,0 19,0,2024-09-07 08:46:01:546,50710,0.9,51307,0.9,101166,1.0,135090,2.50 19,1,2024-09-07 08:46:00:585,362169,362169,0,0,170169695143,1773871828,357575,3810,784,367,391777,0 19,2,2024-09-07 08:46:01:752,265378,265378,0,0,10046517,0,3988 19,3,2024-09-07 08:46:01:138,1,206,4,0,524,1720,206,0 20,0,2024-09-07 08:46:01:376,47137,0.7,47059,0.8,94546,0.7,126163,2.25 20,1,2024-09-07 08:46:00:580,361437,361437,0,0,169432063777,1775880387,357729,3313,395,369,391886,0 20,2,2024-09-07 08:46:00:934,262096,262096,0,0,11342837,0,3721 20,3,2024-09-07 08:46:00:595,1,206,28,0,414,4293,206,0 21,0,2024-09-07 08:46:01:134,52761,0.4,52975,0.6,105713,0.4,140169,2.00 21,1,2024-09-07 08:46:01:552,360619,360619,0,0,168459198075,1778390744,355266,4120,1233,368,391962,0 21,2,2024-09-07 08:46:01:089,257164,257164,0,0,12275328,0,3747 21,3,2024-09-07 08:46:01:407,1,206,1,0,103,2333,206,0 22,0,2024-09-07 08:46:01:731,53781,0.7,53831,0.8,107147,0.7,142905,2.25 22,1,2024-09-07 08:46:01:035,360968,360968,0,0,168616259166,1778752141,354710,4670,1588,382,391667,0 22,2,2024-09-07 08:46:00:766,258425,258425,0,0,10778133,0,3134 22,3,2024-09-07 08:46:01:074,1,206,0,0,228,2083,206,0 23,0,2024-09-07 08:46:01:369,51923,0.7,51890,0.8,104276,0.8,138787,2.25 23,1,2024-09-07 08:46:01:004,361811,361811,0,0,169629005900,1785913086,354928,4334,2549,365,391550,0 23,2,2024-09-07 08:46:01:098,263268,263268,0,0,10850537,0,3010 23,3,2024-09-07 08:46:01:760,1,206,1,0,645,2566,206,0 24,0,2024-09-07 08:46:00:826,47312,0.5,47294,0.6,94675,0.4,125787,1.75 24,1,2024-09-07 08:46:00:594,361637,361637,0,0,168777654473,1769783752,357473,3136,1028,369,391640,0 24,2,2024-09-07 08:46:01:084,260720,260720,0,0,13321024,0,3607 24,3,2024-09-07 08:46:01:697,1,206,10,0,468,3031,206,0 25,0,2024-09-07 08:46:01:352,53557,0.4,52275,0.6,102590,0.4,139984,2.00 25,1,2024-09-07 08:46:00:558,361956,361956,0,0,169670800218,1778608999,358010,3400,546,371,391788,0 25,2,2024-09-07 08:46:01:607,258959,258959,0,0,13307989,0,3978 25,3,2024-09-07 08:46:01:016,1,206,12,0,255,2384,206,0 26,0,2024-09-07 08:46:01:731,52906,0.4,51716,0.6,108536,0.4,141152,1.75 26,1,2024-09-07 08:46:01:542,362308,362308,0,0,168317982253,1766961867,356811,4516,981,381,391748,0 26,2,2024-09-07 08:46:00:870,258332,258332,0,0,12248861,0,2809 26,3,2024-09-07 08:46:01:715,1,206,11,0,796,2995,206,0 27,0,2024-09-07 08:46:01:723,54197,0.5,54065,0.7,107189,0.5,143029,2.00 27,1,2024-09-07 08:46:01:682,363351,363351,0,0,169892233189,1766230039,361050,1972,329,381,391558,0 27,2,2024-09-07 08:46:00:878,259241,259176,65,0,13361507,0,5699 27,3,2024-09-07 08:46:01:019,1,206,41,0,564,2261,206,0 28,0,2024-09-07 08:46:01:399,47643,0.6,47742,0.8,95952,0.8,127551,2.25 28,1,2024-09-07 08:46:00:815,362805,362805,0,0,170430340981,1778050664,359985,2350,470,383,391646,0 28,2,2024-09-07 08:46:01:772,263183,263183,0,0,10871167,0,2915 28,3,2024-09-07 08:46:01:792,1,206,12,0,502,2352,206,0 29,0,2024-09-07 08:46:01:363,51923,0.3,50836,0.5,99075,0.2,136147,1.75 29,1,2024-09-07 08:46:01:573,363626,363626,0,0,169699175776,1759778592,360636,2427,563,369,391621,0 29,2,2024-09-07 08:46:00:869,261545,261545,0,0,9996874,0,4018 29,3,2024-09-07 08:46:00:977,1,206,0,0,115,1542,206,0 30,0,2024-09-07 08:46:01:459,52907,0.6,51483,0.8,107892,0.6,140921,2.00 30,1,2024-09-07 08:46:00:583,363291,363291,0,0,170717449680,1771742361,361103,1895,293,382,391672,0 30,2,2024-09-07 08:46:01:279,257751,257751,0,0,9734597,0,3161 30,3,2024-09-07 08:46:00:582,1,206,2,0,195,1459,206,0 31,0,2024-09-07 08:46:01:769,54330,0.5,54678,0.6,109810,0.4,145691,2.00 31,1,2024-09-07 08:46:00:568,364551,364551,0,0,170657274322,1750309748,363467,927,157,356,391712,0 31,2,2024-09-07 08:46:01:278,258331,258331,0,0,11306988,0,3525 31,3,2024-09-07 08:46:01:731,1,206,1,0,220,1789,206,0 32,0,2024-09-07 08:46:01:425,50631,0.4,51170,0.6,102307,0.4,135792,1.75 32,1,2024-09-07 08:46:00:807,362963,362963,0,0,169974923054,1765601648,361082,1604,277,381,391595,0 32,2,2024-09-07 08:46:00:938,264684,264684,0,0,9737410,0,3155 32,3,2024-09-07 08:46:01:020,1,206,1,0,227,1665,206,0 33,0,2024-09-07 08:46:01:497,48711,0.3,48079,0.5,96871,0.2,129367,1.75 33,1,2024-09-07 08:46:00:576,363757,363757,0,0,170550258365,1764277135,361357,2228,172,369,391730,0 33,2,2024-09-07 08:46:00:759,262565,262532,33,0,11795290,0,7012 33,3,2024-09-07 08:46:00:897,1,206,2,0,329,2513,206,0 34,0,2024-09-07 08:46:00:934,53414,0.4,54953,0.5,105568,0.3,141290,1.75 34,1,2024-09-07 08:46:01:054,364147,364147,0,0,171078586471,1761644993,363081,1059,7,367,391562,0 34,2,2024-09-07 08:46:00:766,256736,256736,0,0,10698073,0,3577 34,3,2024-09-07 08:46:01:696,1,206,8,0,148,1415,206,0 35,0,2024-09-07 08:46:00:861,53468,0.4,53962,0.5,108163,0.3,144169,1.75 35,1,2024-09-07 08:46:01:086,361783,361783,0,0,169918334369,1766043132,358523,2481,779,384,391589,0 35,2,2024-09-07 08:46:01:793,259528,259528,0,0,9825421,0,2653 35,3,2024-09-07 08:46:00:914,1,206,1,0,418,2382,206,0 36,0,2024-09-07 08:46:01:520,51931,0.8,51872,0.9,103852,0.9,137957,2.50 36,1,2024-09-07 08:46:00:583,362046,362046,0,0,169000445594,1769738165,356971,3765,1310,366,391759,0 36,2,2024-09-07 08:46:01:761,263209,263209,0,0,11687093,0,3303 36,3,2024-09-07 08:46:00:868,1,206,9,0,378,3323,206,0 37,0,2024-09-07 08:46:01:374,46031,0.5,45859,0.7,91932,0.4,123841,2.00 37,1,2024-09-07 08:46:00:589,361513,361506,0,7,169114029764,1776275743,356037,3439,2030,365,391560,0 37,2,2024-09-07 08:46:01:145,261157,261142,15,0,11968058,0,5815 37,3,2024-09-07 08:46:01:771,1,206,15,0,888,3986,206,0 38,0,2024-09-07 08:46:01:448,52115,0.4,50661,0.6,106083,0.3,138872,2.00 38,1,2024-09-07 08:46:01:608,363092,363092,0,0,169520395497,1772250256,358495,3945,652,368,391821,0 38,2,2024-09-07 08:46:00:766,258412,258365,47,0,12801022,0,6710 38,3,2024-09-07 08:46:01:001,1,206,14,0,689,3284,206,0 39,0,2024-09-07 08:46:01:761,54639,0.7,53683,0.7,104115,0.7,142909,2.00 39,1,2024-09-07 08:46:00:716,362754,362754,0,0,169975611034,1773474846,358504,3448,802,365,391524,0 39,2,2024-09-07 08:46:01:417,258230,258230,0,0,10590274,0,2689 39,3,2024-09-07 08:46:00:715,1,206,7,0,293,2830,206,0 40,0,2024-09-07 08:46:01:500,52649,0.8,53186,1.0,106529,0.8,141442,3.00 40,1,2024-09-07 08:46:00:588,361993,361993,0,0,169001256231,1774302174,355937,4823,1233,368,391591,0 40,2,2024-09-07 08:46:01:304,261319,261318,1,0,13404897,0,5137 40,3,2024-09-07 08:46:01:151,1,206,19,0,333,2494,206,0 41,0,2024-09-07 08:46:01:034,46284,1.5,47346,1.4,90528,2.8,124203,3.75 41,1,2024-09-07 08:46:00:768,361887,361887,0,0,169849112220,1778167333,357046,4280,561,370,391742,0 41,2,2024-09-07 08:46:00:775,260379,260379,0,0,13214163,0,3356 41,3,2024-09-07 08:46:01:683,1,206,1,0,366,2407,206,0 42,0,2024-09-07 08:46:01:493,51390,0.7,51470,0.9,102918,0.6,136707,2.50 42,1,2024-09-07 08:46:01:445,360591,360591,0,0,169817571615,1785204638,354998,4519,1074,380,391675,0 42,2,2024-09-07 08:46:01:138,260589,260589,0,0,12204173,0,3568 42,3,2024-09-07 08:46:01:019,1,206,12,0,446,1755,206,0 43,0,2024-09-07 08:46:00:929,53099,0.8,51717,0.9,107889,0.8,141814,2.00 43,1,2024-09-07 08:46:00:576,362506,362506,0,0,170311157520,1776824532,358399,3310,797,366,391696,0 43,2,2024-09-07 08:46:01:748,257970,257970,0,0,11362535,0,3812 43,3,2024-09-07 08:46:01:755,1,206,0,0,467,2752,206,0 44,0,2024-09-07 08:46:00:862,54623,0.5,54967,0.7,109589,0.4,146132,1.75 44,1,2024-09-07 08:46:00:563,363169,363169,0,0,169552340868,1753614791,360773,1966,430,356,391809,0 44,2,2024-09-07 08:46:01:270,259317,259317,0,0,9781918,0,1877 44,3,2024-09-07 08:46:01:098,1,206,2,0,817,2293,206,0 45,0,2024-09-07 08:46:01:761,48633,0.8,47649,1.0,99968,0.9,131355,2.00 45,1,2024-09-07 08:46:01:018,362554,362554,0,0,169559950161,1758609888,361225,1318,11,382,391917,0 45,2,2024-09-07 08:46:01:268,263945,263945,0,0,10741131,0,3596 45,3,2024-09-07 08:46:00:939,1,206,271,0,271,2450,206,0 46,0,2024-09-07 08:46:00:952,49456,0.4,49317,0.6,98812,0.4,131690,2.00 46,1,2024-09-07 08:46:00:574,363494,363494,0,0,169358493797,1751205418,361319,1903,272,366,391524,0 46,2,2024-09-07 08:46:00:592,262339,262339,0,0,10377476,0,2920 46,3,2024-09-07 08:46:01:135,1,206,14,0,908,3344,206,0 47,0,2024-09-07 08:46:01:109,53770,0.4,53763,0.6,107968,0.4,142680,1.75 47,1,2024-09-07 08:46:00:585,363566,363566,0,0,169251256311,1748910457,361668,1851,47,366,391605,0 47,2,2024-09-07 08:46:00:924,258530,258530,0,0,10127803,0,2558 47,3,2024-09-07 08:46:01:123,1,206,3,0,529,2160,206,0 48,0,2024-09-07 08:46:01:519,54655,0.3,54381,0.4,108347,0.2,144772,1.50 48,1,2024-09-07 08:46:01:028,363428,363428,0,0,169874919800,1767169704,360505,2625,298,384,391710,0 48,2,2024-09-07 08:46:00:702,259032,259032,0,0,9787661,0,3031 48,3,2024-09-07 08:46:00:753,1,206,4,0,339,1837,206,0 49,0,2024-09-07 08:46:01:712,52591,0.5,51624,0.6,100229,0.4,137196,1.75 49,1,2024-09-07 08:46:01:028,361383,361383,0,0,169068647985,1765892485,357680,2522,1181,382,391610,0 49,2,2024-09-07 08:46:01:804,264898,264898,0,0,10561323,0,3900 49,3,2024-09-07 08:46:01:417,1,206,3,0,408,2553,206,0 50,0,2024-09-07 08:46:01:508,47402,0.3,46876,0.5,94301,0.2,126108,1.75 50,1,2024-09-07 08:46:01:019,364062,364062,0,0,170355829822,1765368675,361406,2346,310,368,391530,0 50,2,2024-09-07 08:46:01:087,262385,262385,0,0,9913573,0,2263 50,3,2024-09-07 08:46:01:294,1,206,8,0,335,1799,206,0 51,0,2024-09-07 08:46:01:695,54023,0.3,52923,0.4,103130,0.2,140820,1.50 51,1,2024-09-07 08:46:01:681,363105,363105,0,0,170173064870,1760614821,360423,1743,939,365,391637,0 51,2,2024-09-07 08:46:01:321,257613,257613,0,0,9007803,0,3337 51,3,2024-09-07 08:46:01:026,1,206,17,0,678,1894,206,0 52,0,2024-09-07 08:46:01:432,54345,0.5,54164,0.6,108085,0.4,143677,2.00 52,1,2024-09-07 08:46:00:581,361668,361668,0,0,168492047807,1771600480,355342,5158,1168,368,391722,0 52,2,2024-09-07 08:46:01:757,256970,256932,38,0,12516494,0,6742 52,3,2024-09-07 08:46:00:677,1,206,2,0,1782,3916,206,0 53,0,2024-09-07 08:46:01:732,51784,0.8,50368,0.9,105478,1.0,137984,2.50 53,1,2024-09-07 08:46:00:782,360806,360806,0,0,169287523076,1781943939,354563,4208,2035,367,391702,0 53,2,2024-09-07 08:46:01:303,263143,263143,0,0,10530464,0,2262 53,3,2024-09-07 08:46:00:698,1,206,13,0,271,1963,206,0 54,0,2024-09-07 08:46:01:634,45808,1.1,46248,1.0,91656,0.6,123102,3.00 54,1,2024-09-07 08:46:00:590,362179,362179,0,0,169574984896,1767005564,357941,3667,571,367,391659,0 54,2,2024-09-07 08:46:00:866,261294,261288,6,0,11904918,0,5382 54,3,2024-09-07 08:46:00:766,1,206,3,0,676,3478,206,0 55,0,2024-09-07 08:46:01:765,50533,0.5,52295,0.7,105437,0.4,137597,2.25 55,1,2024-09-07 08:46:00:770,361555,361555,0,0,168239323401,1757829134,356041,4727,787,365,391731,0 55,2,2024-09-07 08:46:00:731,259210,259210,0,0,11967291,0,3275 55,3,2024-09-07 08:46:00:676,1,206,5,0,304,2667,206,0 56,0,2024-09-07 08:46:01:647,54294,1.2,51187,1.1,105387,1.7,141027,2.50 56,1,2024-09-07 08:46:00:576,361180,361180,0,0,169572121047,1793484969,355298,4939,943,381,391678,0 56,2,2024-09-07 08:46:01:307,258023,258023,0,0,12547675,0,3567 56,3,2024-09-07 08:46:01:065,1,206,7,0,705,3363,206,0 57,0,2024-09-07 08:46:00:946,53028,1.9,53152,1.4,106248,2.7,142051,3.25 57,1,2024-09-07 08:46:00:996,361872,361872,0,0,168897665763,1769797267,357918,3446,508,367,391960,0 57,2,2024-09-07 08:46:01:330,260773,260773,0,0,13475247,0,3317 57,3,2024-09-07 08:46:01:743,1,206,1,0,359,2995,206,0 58,0,2024-09-07 08:46:00:580,46621,0.9,45329,1.1,94967,1.0,124069,2.50 58,1,2024-09-07 08:46:00:579,362204,362201,0,3,169520359478,1773718389,357049,4458,694,367,391545,3 58,2,2024-09-07 08:46:01:089,262929,262929,0,0,12121694,0,2549 58,3,2024-09-07 08:46:01:073,1,206,21,0,1043,2608,206,0 59,0,2024-09-07 08:46:01:749,50686,0.7,50466,0.9,100866,0.7,134890,2.75 59,1,2024-09-07 08:46:00:811,362082,362082,0,0,169675076057,1775306936,357755,3344,983,369,391525,0 59,2,2024-09-07 08:46:00:583,262729,262729,0,0,11124387,0,2604 59,3,2024-09-07 08:46:01:740,1,206,3,0,1015,3266,206,0 60,0,2024-09-07 08:46:01:737,53336,0.5,53072,0.6,106727,0.4,141641,1.75 60,1,2024-09-07 08:46:00:784,363486,363486,0,0,170471151386,1770336043,361118,1851,517,370,392031,0 60,2,2024-09-07 08:46:01:153,257456,257456,0,0,11446952,0,3811 60,3,2024-09-07 08:46:01:260,1,206,11,0,124,1924,206,0 61,0,2024-09-07 08:46:01:502,54700,0.8,54950,0.9,109536,0.9,145887,2.00 61,1,2024-09-07 08:46:00:784,362198,362198,0,0,169389941288,1775939778,358037,3518,643,382,391880,0 61,2,2024-09-07 08:46:01:127,259534,259534,0,0,10724636,0,2090 61,3,2024-09-07 08:46:01:701,1,206,3,0,397,2926,206,0 62,0,2024-09-07 08:46:01:709,51190,1.0,52235,0.9,99602,1.3,135675,2.25 62,1,2024-09-07 08:46:01:119,364334,364328,0,6,170693633023,1763236833,361961,2251,116,365,391715,6 62,2,2024-09-07 08:46:01:645,263237,263236,1,0,12039889,0,5555 62,3,2024-09-07 08:46:01:145,1,206,8,0,287,1489,206,0 63,0,2024-09-07 08:46:01:454,48366,0.5,48359,0.7,96682,0.5,129159,1.75 63,1,2024-09-07 08:46:00:811,363837,363831,0,6,170449357298,1767672421,362295,1486,50,381,391677,6 63,2,2024-09-07 08:46:00:773,262229,262229,0,0,9972484,0,2674 63,3,2024-09-07 08:46:01:740,1,206,1,0,667,2701,206,0 64,0,2024-09-07 08:46:01:538,52850,0.5,52956,0.7,106185,0.4,141152,1.75 64,1,2024-09-07 08:46:00:753,362090,362090,0,0,169903182473,1776788797,357697,2979,1414,370,391783,0 64,2,2024-09-07 08:46:01:149,259388,259369,19,0,10769713,0,6121 64,3,2024-09-07 08:46:01:149,1,206,1,0,265,2139,206,0 65,0,2024-09-07 08:46:01:681,53410,0.7,53865,0.8,107469,0.7,143327,2.25 65,1,2024-09-07 08:46:00:864,361432,361432,0,0,169385864345,1775559824,358013,2976,443,382,391901,0 65,2,2024-09-07 08:46:01:698,258735,258735,0,0,11279153,0,3367 65,3,2024-09-07 08:46:01:691,1,206,0,0,163,1944,206,0 66,0,2024-09-07 08:46:01:771,51380,0.6,51519,0.8,103380,0.6,137156,2.25 66,1,2024-09-07 08:46:01:300,363102,363102,0,0,170229352899,1770116381,360746,2175,181,380,391588,0 66,2,2024-09-07 08:46:01:137,266018,266018,0,0,11225271,0,4956 66,3,2024-09-07 08:46:01:081,1,206,11,0,291,2365,206,0 67,0,2024-09-07 08:46:01:414,46533,0.8,46287,0.9,92958,0.8,123911,2.25 67,1,2024-09-07 08:46:00:766,362376,362375,0,1,169938195265,1775698068,358746,2882,747,380,391787,1 67,2,2024-09-07 08:46:00:584,262879,262879,0,0,10292186,0,2889 67,3,2024-09-07 08:46:01:762,1,206,34,0,338,1773,206,0 68,0,2024-09-07 08:46:00:562,52562,0.5,52441,0.7,104342,0.4,139613,2.00 68,1,2024-09-07 08:46:00:572,361555,361555,0,0,168735220612,1771501556,357914,2504,1137,381,391953,0 68,2,2024-09-07 08:46:01:082,257957,257892,65,0,13495780,0,6698 68,3,2024-09-07 08:46:00:753,1,206,3,0,417,2545,206,0 69,0,2024-09-07 08:46:01:727,53245,0.8,53512,0.9,106543,1.0,142296,2.25 69,1,2024-09-07 08:46:01:034,360399,360399,0,0,169413783774,1788377855,355870,3305,1224,384,391994,0 69,2,2024-09-07 08:46:01:736,258519,258519,0,0,12486877,0,3701 69,3,2024-09-07 08:46:00:774,1,206,37,0,698,3269,206,0 70,0,2024-09-07 08:46:01:537,52842,1.3,52799,1.2,106022,0.9,141069,2.50 70,1,2024-09-07 08:46:00:806,362761,362761,0,0,170373351930,1770826432,359684,2571,506,366,391725,0 70,2,2024-09-07 08:46:01:325,261901,261901,0,0,11791703,0,4044 70,3,2024-09-07 08:46:00:746,1,206,25,0,854,2615,206,0 71,0,2024-09-07 08:46:01:360,46307,1.5,46199,1.4,92217,2.2,124317,3.25 71,1,2024-09-07 08:46:01:597,361795,361795,0,0,169138964229,1770238484,356772,4408,615,368,391738,0 71,2,2024-09-07 08:46:01:092,262638,262638,0,0,11966740,0,2579 71,3,2024-09-07 08:46:01:760,1,206,12,0,644,3097,206,0 72,0,2024-09-07 08:46:01:039,53439,0.6,52258,0.8,101881,0.6,139093,2.25 72,1,2024-09-07 08:46:01:030,362398,362398,0,0,169646863006,1772719017,358212,3436,750,369,391819,0 72,2,2024-09-07 08:46:01:765,259958,259958,0,0,13156333,0,2570 72,3,2024-09-07 08:46:01:765,1,206,4,0,364,3632,206,0 73,0,2024-09-07 08:46:01:136,52117,0.4,53362,0.6,109258,0.4,141619,2.00 73,1,2024-09-07 08:46:00:766,362612,362612,0,0,169796209418,1765805269,359971,2427,214,367,391750,0 73,2,2024-09-07 08:46:01:748,258329,258329,0,0,12435723,0,3482 73,3,2024-09-07 08:46:00:969,1,206,4,0,274,3179,206,0 74,0,2024-09-07 08:46:01:335,55324,0.5,56390,0.7,107422,0.5,145617,2.25 74,1,2024-09-07 08:46:00:635,362135,362135,0,0,169592380435,1772199038,358324,3021,790,381,391681,0 74,2,2024-09-07 08:46:01:005,259696,259696,0,0,12579917,0,4253 74,3,2024-09-07 08:46:01:446,1,206,8,0,522,3085,206,0 75,0,2024-09-07 08:46:01:773,49471,0.8,49132,1.0,98639,0.8,131641,2.50 75,1,2024-09-07 08:46:01:588,361214,361214,0,0,169088477934,1771240329,356761,3791,662,381,391597,0 75,2,2024-09-07 08:46:01:366,263239,263239,0,0,12710727,0,4766 75,3,2024-09-07 08:46:01:072,1,206,1,0,702,3020,206,0 76,0,2024-09-07 08:46:00:590,49120,0.6,48746,0.8,97217,0.6,130839,2.50 76,1,2024-09-07 08:46:00:817,362514,362514,0,0,169377262464,1767574963,359993,1974,547,382,391692,0 76,2,2024-09-07 08:46:01:095,263047,263047,0,0,10818951,0,3784 76,3,2024-09-07 08:46:01:155,1,206,14,0,175,2271,206,0 77,0,2024-09-07 08:46:01:700,53436,0.6,53740,0.8,107202,0.6,141939,2.00 77,1,2024-09-07 08:46:00:836,362730,362730,0,0,169095111669,1767307682,359930,2567,233,383,391808,0 77,2,2024-09-07 08:46:01:287,256565,256565,0,0,10891517,0,3890 77,3,2024-09-07 08:46:01:104,1,206,27,0,305,2295,206,0 78,0,2024-09-07 08:46:01:726,54296,0.5,53909,0.7,108879,0.4,143946,2.00 78,1,2024-09-07 08:46:00:628,362598,362598,0,0,169185597024,1759937130,359653,2630,315,367,391646,0 78,2,2024-09-07 08:46:01:407,259404,259404,0,0,9936713,0,2114 78,3,2024-09-07 08:46:01:137,1,206,9,0,181,2017,206,0 79,0,2024-09-07 08:46:01:346,49608,0.5,50788,0.7,103984,0.4,135119,2.50 79,1,2024-09-07 08:46:00:576,363649,363649,0,0,169849312247,1759853547,360930,2383,336,369,391682,0 79,2,2024-09-07 08:46:01:077,265106,265106,0,0,10292391,0,3212 79,3,2024-09-07 08:46:00:750,1,206,1,0,418,3193,206,0 80,0,2024-09-07 08:46:01:126,47035,0.6,48488,0.8,92806,0.7,125858,2.25 80,1,2024-09-07 08:46:01:618,361828,361828,0,0,169531092063,1766730503,359052,2609,167,368,391791,0 80,2,2024-09-07 08:46:01:105,262825,262825,0,0,10655336,0,4433 80,3,2024-09-07 08:46:00:575,1,206,49,0,190,3107,206,0 81,0,2024-09-07 08:46:01:546,52661,0.4,54240,0.6,103408,0.4,140191,1.75 81,1,2024-09-07 08:46:01:651,362021,362021,0,0,168659339167,1764911716,358948,2716,357,382,391879,0 81,2,2024-09-07 08:46:01:127,257316,257316,0,0,10985311,0,3993 81,3,2024-09-07 08:46:01:125,1,206,1,0,374,2546,206,0 82,0,2024-09-07 08:46:01:537,53634,0.4,54030,0.7,108245,0.4,143749,2.00 82,1,2024-09-07 08:46:00:598,362578,362574,0,4,169273945239,1765462320,359811,2152,611,381,391558,4 82,2,2024-09-07 08:46:01:697,259318,259318,0,0,10384460,0,3986 82,3,2024-09-07 08:46:01:759,1,206,9,0,363,2559,206,0 83,0,2024-09-07 08:46:01:532,52282,0.6,52295,0.8,103846,0.7,138381,2.00 83,1,2024-09-07 08:46:00:552,361880,361880,0,0,169121924297,1767124628,358817,2820,243,382,391690,0 83,2,2024-09-07 08:46:00:767,262616,262616,0,0,10167132,0,3119 83,3,2024-09-07 08:46:00:754,1,206,1,0,250,2264,206,0 84,0,2024-09-07 08:46:01:833,46272,0.9,46294,1.1,92802,0.8,124184,2.50 84,1,2024-09-07 08:46:01:058,361294,361294,0,0,169271033560,1772089668,357297,3428,569,368,391967,0 84,2,2024-09-07 08:46:00:637,261714,261714,0,0,12011737,0,3801 84,3,2024-09-07 08:46:01:143,1,206,13,0,353,2758,206,0 85,0,2024-09-07 08:46:01:076,50459,0.5,50424,0.7,106898,0.5,138404,2.25 85,1,2024-09-07 08:46:00:558,360724,360724,0,0,168958866404,1789785490,354403,5222,1099,382,392006,0 85,2,2024-09-07 08:46:00:880,260915,260915,0,0,12459276,0,3656 85,3,2024-09-07 08:46:00:685,1,206,0,0,789,2855,206,0 86,0,2024-09-07 08:46:00:934,53139,0.6,54527,0.8,104568,0.7,140983,2.00 86,1,2024-09-07 08:46:00:837,362064,362064,0,0,169722217475,1777157423,357826,3693,545,366,391961,0 86,2,2024-09-07 08:46:00:859,257036,257035,1,0,13089957,0,5004 86,3,2024-09-07 08:46:00:586,1,206,7,0,286,2850,206,0 87,0,2024-09-07 08:46:01:304,53691,1.2,53387,1.0,107412,1.6,143518,2.50 87,1,2024-09-07 08:46:00:560,362114,362114,0,0,169386913113,1775421668,358009,3626,479,366,391788,0 87,2,2024-09-07 08:46:01:104,259591,259591,0,0,11913637,0,4045 87,3,2024-09-07 08:46:01:802,1,206,3,0,473,3587,206,0 88,0,2024-09-07 08:46:01:449,47755,0.6,47964,0.7,95778,0.7,127117,1.75 88,1,2024-09-07 08:46:00:605,360664,360664,0,0,169163494451,1779105567,354930,4264,1470,365,392084,0 88,2,2024-09-07 08:46:00:692,262587,262587,0,0,13877003,0,3583 88,3,2024-09-07 08:46:01:277,1,206,36,0,435,2654,206,0 89,0,2024-09-07 08:46:01:963,52141,0.4,50495,0.6,100023,0.4,136150,1.75 89,1,2024-09-07 08:46:00:553,360218,360218,0,0,169459849967,1790874870,354223,5050,945,382,391866,0 89,2,2024-09-07 08:46:01:136,261127,261127,0,0,12905121,0,2910 89,3,2024-09-07 08:46:01:811,1,206,27,0,325,4045,206,0 90,0,2024-09-07 08:46:01:615,51709,0.5,52796,0.6,108415,0.4,140689,1.75 90,1,2024-09-07 08:46:00:608,362212,362212,0,0,169501782213,1776239173,358885,3067,260,381,391825,0 90,2,2024-09-07 08:46:01:407,255878,255878,0,0,13627374,0,3060 90,3,2024-09-07 08:46:00:944,1,206,12,0,200,2301,206,0 91,0,2024-09-07 08:46:01:158,55119,0.5,53256,0.6,111196,0.4,146045,1.75 91,1,2024-09-07 08:46:00:604,361285,361285,0,0,168991760064,1778091775,356504,4134,647,381,392047,0 91,2,2024-09-07 08:46:01:344,258759,258759,0,0,12196473,0,2445 91,3,2024-09-07 08:46:00:601,1,206,2,0,216,2115,206,0 92,0,2024-09-07 08:46:01:493,51309,0.6,52658,0.8,100581,0.7,135945,1.75 92,1,2024-09-07 08:46:00:582,361628,361628,0,0,169420008633,1768613718,359312,1911,405,382,392136,0 92,2,2024-09-07 08:46:01:360,265548,265548,0,0,10881046,0,2801 92,3,2024-09-07 08:46:01:018,1,206,5,0,167,1721,206,0 93,0,2024-09-07 08:46:01:063,48565,0.4,49826,0.6,95155,0.4,129503,1.75 93,1,2024-09-07 08:46:00:827,362511,362511,0,0,169405544693,1769588090,358813,3072,626,366,391692,0 93,2,2024-09-07 08:46:01:022,262711,262711,0,0,11180096,0,2509 93,3,2024-09-07 08:46:01:406,1,206,2,0,190,2249,206,0 94,0,2024-09-07 08:46:01:605,53255,0.4,53980,0.6,107152,0.3,142031,1.75 94,1,2024-09-07 08:46:00:579,361661,361661,0,0,169975186056,1777795575,358745,2783,133,381,391850,0 94,2,2024-09-07 08:46:00:763,256540,256540,0,0,10080084,0,2443 94,3,2024-09-07 08:46:01:696,1,206,9,0,264,2916,206,0 95,0,2024-09-07 08:46:01:358,54212,0.3,53861,0.5,108878,0.3,144019,1.75 95,1,2024-09-07 08:46:00:902,362254,362254,0,0,170088104989,1771174233,359066,2838,350,367,391662,0 95,2,2024-09-07 08:46:01:060,258639,258639,0,0,10835672,0,3308 95,3,2024-09-07 08:46:01:713,1,206,2,0,718,4270,206,0 96,0,2024-09-07 08:46:01:029,51906,0.5,52188,0.6,104220,0.5,137782,1.75 96,1,2024-09-07 08:46:01:619,361743,361743,0,0,168967110495,1767955381,358565,2466,712,385,391894,0 96,2,2024-09-07 08:46:01:294,263617,263617,0,0,11541294,0,4042 96,3,2024-09-07 08:46:01:147,1,206,3,0,411,2562,206,0 97,0,2024-09-07 08:46:01:367,46496,0.4,46329,0.5,92991,0.3,124076,1.75 97,1,2024-09-07 08:46:00:776,363220,363220,0,0,169976923496,1766295620,360260,2407,553,367,392140,0 97,2,2024-09-07 08:46:00:611,261958,261958,0,0,10624923,0,3036 97,3,2024-09-07 08:46:00:587,1,206,14,0,165,2440,206,0 98,0,2024-09-07 08:46:01:692,52334,0.3,52394,0.4,105083,0.2,139599,1.50 98,1,2024-09-07 08:46:00:575,362423,362423,0,0,169638698653,1767878250,360362,1967,94,382,391997,0 98,2,2024-09-07 08:46:00:769,259968,259968,0,0,10582065,0,3080 98,3,2024-09-07 08:46:00:703,1,206,78,0,840,3665,206,0 99,0,2024-09-07 08:46:01:452,53649,0.3,53667,0.4,107122,0.3,143296,1.50 99,1,2024-09-07 08:46:01:725,362913,362913,0,0,168757449869,1764370773,359161,3013,739,381,391744,0 99,2,2024-09-07 08:46:01:419,260464,260464,0,0,11030989,0,3106 99,3,2024-09-07 08:46:00:582,1,206,0,0,129,1570,206,0 100,0,2024-09-07 08:46:01:495,53128,0.9,53171,1.1,106059,1.3,141721,2.50 100,1,2024-09-07 08:46:00:550,359914,359914,0,0,168025482398,1782460855,353633,5083,1198,381,391989,0 100,2,2024-09-07 08:46:01:829,260803,260792,11,0,12688246,0,5417 100,3,2024-09-07 08:46:01:738,1,206,3,0,559,4096,206,0 101,0,2024-09-07 08:46:01:734,47625,2.1,46571,1.4,90958,2.2,125736,2.75 101,1,2024-09-07 08:46:00:557,360891,360891,0,0,168738858906,1781720985,354812,4556,1523,368,391769,0 101,2,2024-09-07 08:46:01:765,261385,261385,0,0,13740956,0,4644 101,3,2024-09-07 08:46:00:948,1,206,1,0,448,2822,206,0 102,0,2024-09-07 08:46:00:988,50507,0.7,52330,0.8,105166,0.6,138430,2.00 102,1,2024-09-07 08:46:01:179,361102,361102,0,0,168853937628,1777251331,356194,4174,734,369,391883,0 102,2,2024-09-07 08:46:01:748,261522,261468,54,0,12661029,0,6768 102,3,2024-09-07 08:46:01:614,1,206,8,0,410,2295,206,0 103,0,2024-09-07 08:46:01:598,54952,0.5,54940,0.7,103719,0.4,142569,1.75 103,1,2024-09-07 08:46:01:634,360484,360484,0,0,168699412174,1781857210,354771,4388,1325,381,391829,0 103,2,2024-09-07 08:46:00:585,256656,256656,0,0,11471973,0,2107 103,3,2024-09-07 08:46:00:769,1,206,1,0,916,3375,206,0 104,0,2024-09-07 08:46:01:018,54235,1.0,54633,1.1,107994,1.0,145704,2.50 104,1,2024-09-07 08:46:01:601,362308,362308,0,0,169260199309,1781503005,356952,4385,971,365,391948,0 104,2,2024-09-07 08:46:01:669,258698,258698,0,0,12743909,0,3941 104,3,2024-09-07 08:46:01:417,1,206,8,1,1245,5914,206,0 105,0,2024-09-07 08:46:01:052,48899,1.2,47477,1.3,99315,1.9,130597,3.50 105,1,2024-09-07 08:46:00:575,362282,362282,0,0,169346561528,1777686401,357350,4128,804,367,391797,0 105,2,2024-09-07 08:46:01:324,263018,263018,0,0,12351396,0,3509 105,3,2024-09-07 08:46:01:305,1,206,1,0,399,3835,206,0 106,0,2024-09-07 08:46:00:960,47621,0.8,48763,0.9,99894,0.9,130791,2.50 106,1,2024-09-07 08:46:01:753,361923,361923,0,0,168923100914,1775109424,356619,4826,478,369,391767,0 106,2,2024-09-07 08:46:00:772,260148,260148,0,0,12315423,0,2795 106,3,2024-09-07 08:46:00:682,1,206,8,0,470,3206,206,0 107,0,2024-09-07 08:46:01:109,53508,0.9,53380,0.9,106492,1.1,141736,2.00 107,1,2024-09-07 08:46:00:595,360856,360856,0,0,168783105355,1776493858,356644,3797,415,381,392234,0 107,2,2024-09-07 08:46:01:300,256233,256232,1,0,12262891,0,5024 107,3,2024-09-07 08:46:01:760,1,206,1,0,353,3222,206,0 108,0,2024-09-07 08:46:01:795,54458,0.4,54668,0.6,108382,0.4,144675,1.75 108,1,2024-09-07 08:46:01:298,362046,362046,0,0,169142160805,1764831028,359271,2461,314,368,391857,0 108,2,2024-09-07 08:46:01:797,257612,257612,0,0,11640661,0,2647 108,3,2024-09-07 08:46:01:332,1,206,0,0,749,4609,206,0 109,0,2024-09-07 08:46:01:858,51527,0.4,50990,0.6,102317,0.4,137199,1.75 109,1,2024-09-07 08:46:00:585,361448,361448,0,0,169622651897,1780658001,358276,2671,501,383,392132,0 109,2,2024-09-07 08:46:00:932,263529,263529,0,0,11906275,0,3617 109,3,2024-09-07 08:46:01:145,1,206,14,0,249,2681,206,0 110,0,2024-09-07 08:46:01:766,47357,0.4,46170,0.7,96302,0.4,126379,2.00 110,1,2024-09-07 08:46:01:646,362529,362529,0,0,169883159879,1766150248,359768,1993,768,370,391667,0 110,2,2024-09-07 08:46:01:307,262405,262405,0,0,10946007,0,2915 110,3,2024-09-07 08:46:00:695,1,206,8,0,406,2881,206,0 111,0,2024-09-07 08:46:01:416,53040,0.3,52603,0.5,104986,0.2,141107,1.75 111,1,2024-09-07 08:46:01:004,363179,363179,0,0,169729895645,1761133711,361363,1482,334,382,391690,0 111,2,2024-09-07 08:46:01:125,257581,257581,0,0,10858937,0,2763 111,3,2024-09-07 08:46:00:913,1,206,20,0,379,3068,206,0 112,0,2024-09-07 08:46:00:940,54066,0.3,54034,0.4,107961,0.2,143857,1.50 112,1,2024-09-07 08:46:00:830,363165,363165,0,0,169334956907,1759299131,360768,1970,427,380,391580,0 112,2,2024-09-07 08:46:01:143,258440,258439,1,0,11103239,0,5036 112,3,2024-09-07 08:46:00:594,1,206,15,0,282,2360,206,0 113,0,2024-09-07 08:46:00:866,52115,0.3,52023,0.5,104541,0.3,139579,1.75 113,1,2024-09-07 08:46:01:691,363458,363458,0,0,170287399266,1761145877,360971,1998,489,366,391661,0 113,2,2024-09-07 08:46:01:307,263922,263922,0,0,10298608,0,3813 113,3,2024-09-07 08:46:00:685,1,206,8,0,340,3492,206,0 114,0,2024-09-07 08:46:00:938,47212,0.5,47723,0.6,94215,0.4,126267,1.75 114,1,2024-09-07 08:46:00:724,361838,361838,0,0,170763462224,1783775574,357230,3121,1487,381,391556,0 114,2,2024-09-07 08:46:00:884,261986,261985,1,0,11257942,0,5069 114,3,2024-09-07 08:46:01:283,1,206,14,0,395,2308,206,0 115,0,2024-09-07 08:46:00:560,52192,0.3,52469,0.4,104791,0.2,139507,1.50 115,1,2024-09-07 08:46:00:585,361920,361920,0,0,169974937248,1772693781,357595,3492,833,382,391602,0 115,2,2024-09-07 08:46:01:127,261268,261268,0,0,9677727,0,2152 115,3,2024-09-07 08:46:01:002,1,206,1,0,159,1264,206,0 116,0,2024-09-07 08:46:01:709,53134,0.7,52858,0.9,105954,0.7,141744,2.00 116,1,2024-09-07 08:46:00:813,360094,360094,0,0,169071423330,1795321013,354036,4006,2052,380,391782,0 116,2,2024-09-07 08:46:01:755,257042,257042,0,0,13625838,0,3529 116,3,2024-09-07 08:46:00:924,1,206,1,0,252,2814,206,0 117,0,2024-09-07 08:46:00:973,53741,1.0,53739,1.0,107351,1.2,143643,2.00 117,1,2024-09-07 08:46:01:583,361491,361491,0,0,169241517140,1774576309,357132,3883,476,370,392033,0 117,2,2024-09-07 08:46:01:125,262053,262053,0,0,10968609,0,3700 117,3,2024-09-07 08:46:01:064,1,206,1,0,490,3741,206,0 118,0,2024-09-07 08:46:01:765,46500,0.8,47684,0.8,97165,0.9,126842,2.25 118,1,2024-09-07 08:46:00:597,361413,361413,0,0,168310123486,1774880617,355529,4457,1427,366,391736,0 118,2,2024-09-07 08:46:01:588,262453,262453,0,0,12182553,0,2781 118,3,2024-09-07 08:46:01:769,1,206,8,0,235,2506,206,0 119,0,2024-09-07 08:46:01:370,50223,0.5,50506,0.7,101585,0.5,135182,2.00 119,1,2024-09-07 08:46:00:556,362342,362342,0,0,169115236456,1766153188,358991,3042,309,368,391641,0 119,2,2024-09-07 08:46:01:266,261670,261670,0,0,11516678,0,3526 119,3,2024-09-07 08:46:01:326,1,206,1,0,443,3267,206,0 120,0,2024-09-07 08:46:01:575,52846,0.5,52841,0.8,105971,0.5,141351,2.00 120,1,2024-09-07 08:46:00:868,361708,361708,0,0,168783137863,1773406015,357551,3813,344,368,391961,0 120,2,2024-09-07 08:46:00:796,256473,256472,1,0,13496334,0,5281 120,3,2024-09-07 08:46:01:294,1,206,4,0,241,2898,206,0 121,0,2024-09-07 08:46:01:740,54557,1.1,54916,1.0,109444,1.6,145683,2.25 121,1,2024-09-07 08:46:01:659,362025,362025,0,0,170044386396,1780321723,358314,3309,402,367,391840,0 121,2,2024-09-07 08:46:01:146,257848,257848,0,0,12972427,0,4127 121,3,2024-09-07 08:46:00:759,1,206,4,0,269,2592,206,0 122,0,2024-09-07 08:46:01:784,50690,1.0,49348,1.0,103408,1.2,135960,2.25 122,1,2024-09-07 08:46:00:869,361003,361003,0,0,168951041194,1776494743,355767,4496,740,366,392130,0 122,2,2024-09-07 08:46:01:319,263415,263342,73,0,15732329,0,5989 122,3,2024-09-07 08:46:00:596,1,206,12,0,411,4616,206,0 123,0,2024-09-07 08:46:00:954,48283,0.7,47120,0.8,98127,0.8,129039,2.00 123,1,2024-09-07 08:46:00:558,361457,361457,0,0,168982867574,1787365267,353589,6308,1560,369,391823,0 123,2,2024-09-07 08:46:01:020,260089,260088,1,0,12666318,0,5215 123,3,2024-09-07 08:46:01:136,1,206,0,0,168,2534,206,0 124,0,2024-09-07 08:46:00:957,55203,0.3,55160,0.5,104052,0.3,142609,1.75 124,1,2024-09-07 08:46:01:031,362948,362948,0,0,169442643328,1763170476,360325,2261,362,367,392178,0 124,2,2024-09-07 08:46:01:017,257189,257189,0,0,10313426,0,3101 124,3,2024-09-07 08:46:00:759,1,206,1,0,490,2572,206,0 125,0,2024-09-07 08:46:01:510,54170,0.4,54055,0.5,108362,0.3,144126,1.75 125,1,2024-09-07 08:46:00:873,362038,362038,0,0,169021455855,1764550967,359488,2272,278,383,391702,0 125,2,2024-09-07 08:46:01:133,259352,259352,0,0,10446316,0,2180 125,3,2024-09-07 08:46:01:134,1,206,12,0,284,2615,206,0 126,0,2024-09-07 08:46:01:438,52089,0.6,53443,0.7,102239,0.7,138579,1.75 126,1,2024-09-07 08:46:00:555,362796,362796,0,0,170157209653,1767010047,360245,2292,259,365,391987,0 126,2,2024-09-07 08:46:00:613,265173,265173,0,0,11375205,0,3186 126,3,2024-09-07 08:46:00:919,1,206,20,0,150,2836,206,0 127,0,2024-09-07 08:46:01:591,46405,0.4,46625,0.5,92891,0.3,124110,1.75 127,1,2024-09-07 08:46:00:571,362574,362574,0,0,170214477099,1766320714,359836,2586,152,365,391816,0 127,2,2024-09-07 08:46:00:637,262129,262129,0,0,10484474,0,2264 127,3,2024-09-07 08:46:01:277,1,206,1,0,243,1754,206,0 128,0,2024-09-07 08:46:01:533,52824,0.3,52647,0.4,105183,0.2,139760,1.50 128,1,2024-09-07 08:46:01:628,362740,362740,0,0,169591685770,1760366299,360797,1788,155,367,391680,0 128,2,2024-09-07 08:46:01:387,258764,258764,0,0,10038075,0,2434 128,3,2024-09-07 08:46:00:767,1,206,5,0,1082,3820,206,0 129,0,2024-09-07 08:46:01:006,53940,0.3,53676,0.5,107290,0.3,142835,1.50 129,1,2024-09-07 08:46:00:586,360660,360660,0,0,169159868701,1776966507,356601,3132,927,379,391835,0 129,2,2024-09-07 08:46:00:687,258995,258995,0,0,10619197,0,4031 129,3,2024-09-07 08:46:00:688,1,206,22,0,469,2999,206,0 130,0,2024-09-07 08:46:01:949,53594,0.6,53431,0.7,107166,0.7,142643,1.75 130,1,2024-09-07 08:46:00:589,362484,362484,0,0,169996627788,1770960280,360191,2218,75,381,391825,0 130,2,2024-09-07 08:46:01:127,263025,263025,0,0,10599303,0,4067 130,3,2024-09-07 08:46:01:292,1,206,65,0,450,2414,206,0 131,0,2024-09-07 08:46:01:954,46908,0.5,47234,0.6,95088,0.5,125900,1.75 131,1,2024-09-07 08:46:01:821,362837,362837,0,0,169702667524,1771038164,360046,2352,439,383,391865,0 131,2,2024-09-07 08:46:00:577,263516,263516,0,0,9891698,0,2415 131,3,2024-09-07 08:46:01:693,1,206,0,0,392,2138,206,0 132,0,2024-09-07 08:46:01:426,51404,0.5,52117,0.7,104287,0.4,138910,2.00 132,1,2024-09-07 08:46:00:575,361042,361042,0,0,168375717206,1779768189,354940,5022,1080,381,392097,0 132,2,2024-09-07 08:46:00:700,260837,260837,0,0,13326177,0,4606 132,3,2024-09-07 08:46:01:696,1,206,5,0,356,3327,206,0 133,0,2024-09-07 08:46:01:562,52042,0.4,53271,0.6,109201,0.4,141434,1.75 133,1,2024-09-07 08:46:00:585,360140,360140,0,0,168906504372,1781513705,355107,4387,646,383,391914,0 133,2,2024-09-07 08:46:01:093,256788,256788,0,0,13123913,0,4315 133,3,2024-09-07 08:46:01:308,1,206,1,0,276,2138,206,0 134,0,2024-09-07 08:46:00:942,54621,0.5,54574,0.7,109624,0.5,145590,2.00 134,1,2024-09-07 08:46:00:597,361093,361093,0,0,168981039265,1776919300,355534,4160,1399,366,391718,0 134,2,2024-09-07 08:46:01:759,259411,259411,0,0,11356523,0,3847 134,3,2024-09-07 08:46:00:748,1,206,17,0,739,3385,206,0 135,0,2024-09-07 08:46:01:108,47763,1.2,47775,1.1,101409,1.4,130223,2.25 135,1,2024-09-07 08:46:01:590,360599,360599,0,0,169481959797,1783890265,355584,4118,897,380,391805,0 135,2,2024-09-07 08:46:00:689,263387,263387,0,0,12965809,0,3981 135,3,2024-09-07 08:46:01:015,1,206,1,0,299,1468,206,0 136,0,2024-09-07 08:46:01:615,49800,0.5,49707,0.7,99328,0.5,132541,2.25 136,1,2024-09-07 08:46:01:441,361095,361095,0,0,168866560353,1776454987,356126,4427,542,382,391641,0 136,2,2024-09-07 08:46:01:150,262524,262524,0,0,12056952,0,3506 136,3,2024-09-07 08:46:01:114,1,206,2,0,126,1729,206,0 137,0,2024-09-07 08:46:00:920,54996,0.7,53442,0.8,105463,0.7,142860,2.00 137,1,2024-09-07 08:46:00:578,360792,360792,0,0,169007075872,1777640896,354442,4980,1370,366,391708,0 137,2,2024-09-07 08:46:01:712,256173,256173,0,0,13617747,0,3185 137,3,2024-09-07 08:46:00:769,1,206,4,0,227,2342,206,0 138,0,2024-09-07 08:46:01:770,53862,1.0,54027,1.0,108295,1.1,144344,2.25 138,1,2024-09-07 08:46:01:687,361374,361374,0,0,169758490715,1782536692,355871,4616,887,368,391954,0 138,2,2024-09-07 08:46:00:589,259075,259075,0,0,12285417,0,4988 138,3,2024-09-07 08:46:00:610,1,206,8,0,1160,3565,206,0 139,0,2024-09-07 08:46:01:363,50271,2.5,50314,1.5,101502,3.7,135617,2.75 139,1,2024-09-07 08:46:00:581,359648,359648,0,0,168639851525,1794750074,352424,5349,1875,381,391892,0 139,2,2024-09-07 08:46:00:699,262493,262493,0,0,12947306,0,3097 139,3,2024-09-07 08:46:01:663,1,206,1,0,244,2311,206,0 140,0,2024-09-07 08:46:01:608,47790,0.4,47173,0.6,95237,0.3,126553,1.75 140,1,2024-09-07 08:46:01:545,363406,363406,0,0,170428824759,1758065104,361651,1515,240,365,391606,0 140,2,2024-09-07 08:46:00:687,263024,263024,0,0,10781053,0,3388 140,3,2024-09-07 08:46:00:767,1,206,2,0,247,1755,206,0 141,0,2024-09-07 08:46:01:706,52910,0.3,54246,0.4,103600,0.2,141446,1.50 141,1,2024-09-07 08:46:00:861,363387,363387,0,0,169901662265,1763705665,361313,1719,355,379,391614,0 141,2,2024-09-07 08:46:01:688,258012,258012,0,0,9959210,0,2342 141,3,2024-09-07 08:46:01:050,1,206,1,0,176,1742,206,0 142,0,2024-09-07 08:46:01:374,54572,0.3,54142,0.5,107607,0.2,144149,1.50 142,1,2024-09-07 08:46:00:589,362028,362028,0,0,169981982309,1773784860,359721,2067,240,383,392102,0 142,2,2024-09-07 08:46:01:314,258213,258181,32,0,11680308,0,6028 142,3,2024-09-07 08:46:01:755,1,206,7,0,484,2796,206,0 143,0,2024-09-07 08:46:01:408,52361,0.6,52241,0.7,105309,0.6,139386,1.75 143,1,2024-09-07 08:46:00:560,362598,362598,0,0,169439841441,1759216993,360270,2282,46,367,391619,0 143,2,2024-09-07 08:46:00:772,263065,263065,0,0,11104581,0,2669 143,3,2024-09-07 08:46:01:147,1,206,1,0,303,3001,206,0 144,0,2024-09-07 08:46:01:496,45250,0.6,46581,1.1,94609,0.5,124606,2.00 144,1,2024-09-07 08:46:00:582,360738,360738,0,0,168718075803,1775034196,356937,2880,921,381,391649,0 144,2,2024-09-07 08:46:01:786,262027,262027,0,0,10687979,0,3473 144,3,2024-09-07 08:46:01:743,1,206,55,0,249,2465,206,0 145,0,2024-09-07 08:46:01:360,50485,0.5,50474,0.7,107157,0.4,138976,2.00 145,1,2024-09-07 08:46:00:555,359917,359917,0,0,169049281755,1781496670,354651,4447,819,382,391698,0 145,2,2024-09-07 08:46:01:433,259469,259469,0,0,12064584,0,3903 145,3,2024-09-07 08:46:00:899,1,206,26,0,579,3195,206,0 146,0,2024-09-07 08:46:01:600,53111,0.5,52547,0.7,105985,0.5,140856,2.00 146,1,2024-09-07 08:46:01:589,361994,361994,0,0,169706478202,1786257886,355735,4876,1383,368,391770,0 146,2,2024-09-07 08:46:01:696,257799,257799,0,0,11626949,0,2498 146,3,2024-09-07 08:46:01:274,1,206,16,0,1520,5556,206,0 147,0,2024-09-07 08:46:01:717,53692,0.7,53630,0.8,106638,0.6,143246,2.25 147,1,2024-09-07 08:46:01:373,362846,362846,0,0,169423817276,1765417824,359126,3151,569,368,391791,0 147,2,2024-09-07 08:46:01:019,262001,262001,0,0,10623293,0,2789 147,3,2024-09-07 08:46:00:927,1,206,9,0,730,3273,206,0 0,0,2024-09-07 08:46:11:876,51669,0.5,51717,0.7,109626,0.5,141829,1.75 0,1,2024-09-07 08:46:10:814,363499,363499,0,0,170593911012,1787799395,360480,2817,202,372,391772,0 0,2,2024-09-07 08:46:11:073,258577,258577,0,0,10957846,0,4480 0,3,2024-09-07 08:46:10:978,1,207,4,0,247,2699,207,0 1,0,2024-09-07 08:46:11:798,54965,1.0,54520,1.0,109682,1.3,146098,2.00 1,1,2024-09-07 08:46:10:562,363313,363313,0,0,169584910605,1780494183,359318,3074,921,371,391857,0 1,2,2024-09-07 08:46:10:649,259981,259981,0,0,10362316,0,3267 1,3,2024-09-07 08:46:11:302,1,207,65,0,262,2622,207,0 2,0,2024-09-07 08:46:11:573,50882,0.9,51252,0.9,101780,1.2,135650,2.25 2,1,2024-09-07 08:46:10:862,364083,364083,0,0,170631635691,1775503405,362103,1762,218,380,391745,0 2,2,2024-09-07 08:46:11:271,264927,264927,0,0,11041463,0,3594 2,3,2024-09-07 08:46:10:700,1,207,8,0,357,2048,207,0 3,0,2024-09-07 08:46:11:748,48669,0.4,48740,0.6,97150,0.4,129669,2.00 3,1,2024-09-07 08:46:11:618,363718,363718,0,0,169950432762,1774355568,360018,3240,460,380,391523,0 3,2,2024-09-07 08:46:11:141,263197,263174,23,0,11273156,0,5851 3,3,2024-09-07 08:46:11:755,1,207,3,0,103,1352,207,0 4,0,2024-09-07 08:46:11:765,51938,0.4,53382,0.5,108652,0.4,142290,1.75 4,1,2024-09-07 08:46:10:614,363446,363446,0,0,169870728369,1785405386,359594,3176,676,371,391846,0 4,2,2024-09-07 08:46:11:031,256973,256973,0,0,12769580,0,4528 4,3,2024-09-07 08:46:11:032,1,207,4,0,448,3354,207,0 5,0,2024-09-07 08:46:11:391,54415,0.4,54533,0.6,108542,0.4,144763,1.75 5,1,2024-09-07 08:46:10:756,363359,363359,0,0,170161018285,1791601683,358689,3613,1057,368,392005,0 5,2,2024-09-07 08:46:11:849,258709,258709,0,0,11509270,0,2259 5,3,2024-09-07 08:46:11:740,1,207,2,0,278,2986,207,0 6,0,2024-09-07 08:46:10:917,52450,0.8,51946,0.9,103634,0.8,138915,2.25 6,1,2024-09-07 08:46:10:746,363860,363860,0,0,169770177867,1777889165,359587,3468,805,379,391634,0 6,2,2024-09-07 08:46:11:118,264930,264930,0,0,11908627,0,4816 6,3,2024-09-07 08:46:11:279,1,207,5,0,340,2679,207,0 7,0,2024-09-07 08:46:11:541,46442,0.5,46524,0.7,92875,0.4,124350,2.00 7,1,2024-09-07 08:46:10:850,362781,362781,0,0,170223859900,1790354665,357370,4437,974,382,391686,0 7,2,2024-09-07 08:46:10:770,262488,262488,0,0,11225871,0,2981 7,3,2024-09-07 08:46:10:851,1,207,1,0,305,2160,207,0 8,0,2024-09-07 08:46:11:428,52524,0.3,52686,0.5,104938,0.3,140146,1.50 8,1,2024-09-07 08:46:11:018,362605,362605,0,0,170483101835,1800291416,355545,5225,1835,367,391956,0 8,2,2024-09-07 08:46:10:810,256517,256517,0,0,13225576,0,2986 8,3,2024-09-07 08:46:10:596,1,207,6,0,357,3035,207,0 9,0,2024-09-07 08:46:11:132,54032,0.3,52411,0.5,109465,0.3,143860,1.75 9,1,2024-09-07 08:46:10:557,362946,362946,0,0,170109665420,1797397956,356950,4458,1538,370,392001,0 9,2,2024-09-07 08:46:11:096,259617,259617,0,0,11785402,0,3360 9,3,2024-09-07 08:46:11:757,1,207,0,0,496,3247,207,0 10,0,2024-09-07 08:46:11:601,53661,0.3,53282,0.5,106927,0.3,142428,1.75 10,1,2024-09-07 08:46:10:598,363373,363373,0,0,170045897605,1785869908,357631,4898,844,381,391741,0 10,2,2024-09-07 08:46:10:764,263916,263916,0,0,13317186,0,4264 10,3,2024-09-07 08:46:10:871,1,207,7,0,177,1752,207,0 11,0,2024-09-07 08:46:11:008,46734,0.5,45382,0.8,95008,0.5,126549,2.00 11,1,2024-09-07 08:46:10:571,363205,363205,0,0,169670082713,1790526634,355586,5705,1914,383,391537,0 11,2,2024-09-07 08:46:11:132,263080,263080,0,0,12147910,0,3411 11,3,2024-09-07 08:46:11:305,1,207,0,0,720,3047,207,0 12,0,2024-09-07 08:46:10:955,52567,0.3,52417,0.5,104869,0.3,139892,1.75 12,1,2024-09-07 08:46:10:940,363750,363750,0,0,169228105353,1768072171,360475,2856,419,370,391837,0 12,2,2024-09-07 08:46:11:549,262845,262845,0,0,12087668,0,3469 12,3,2024-09-07 08:46:11:061,1,207,1,0,386,3931,207,0 13,0,2024-09-07 08:46:11:334,53803,0.4,53650,0.5,107271,0.3,142517,1.75 13,1,2024-09-07 08:46:11:530,362689,362689,0,0,169418181806,1779823223,359539,2622,528,382,391717,0 13,2,2024-09-07 08:46:10:614,259652,259652,0,0,10930730,0,3287 13,3,2024-09-07 08:46:11:762,1,207,8,0,467,3659,207,0 14,0,2024-09-07 08:46:10:562,54998,0.4,55515,0.6,109709,0.4,145929,1.75 14,1,2024-09-07 08:46:11:569,365559,365559,0,0,170998505280,1773729724,362863,2507,189,364,391571,0 14,2,2024-09-07 08:46:10:766,261198,261198,0,0,11105013,0,2896 14,3,2024-09-07 08:46:11:117,1,207,1,0,1168,3244,207,0 15,0,2024-09-07 08:46:11:587,49038,0.6,49403,0.9,98653,0.7,130659,2.25 15,1,2024-09-07 08:46:11:613,363991,363991,0,0,170112473681,1770784578,361699,2148,144,381,391619,0 15,2,2024-09-07 08:46:11:001,265096,265096,0,0,9777218,0,3043 15,3,2024-09-07 08:46:11:407,1,207,1,0,1126,4737,207,0 16,0,2024-09-07 08:46:10:942,49709,0.7,50090,0.9,99272,0.8,133177,2.25 16,1,2024-09-07 08:46:10:569,364092,364092,0,0,169969795647,1780643501,360701,3106,285,370,391756,0 16,2,2024-09-07 08:46:11:439,262737,262737,0,0,12113547,0,4719 16,3,2024-09-07 08:46:11:146,1,207,13,0,317,2915,207,0 17,0,2024-09-07 08:46:11:900,55188,0.5,53833,0.8,105532,0.5,142918,2.00 17,1,2024-09-07 08:46:10:592,362326,362326,0,0,169621432080,1786666624,357608,3617,1101,368,391688,0 17,2,2024-09-07 08:46:11:681,260627,260627,0,0,10991043,0,2857 17,3,2024-09-07 08:46:10:574,1,207,0,0,268,3374,207,0 18,0,2024-09-07 08:46:10:940,53908,0.8,54085,0.9,108232,0.8,144448,2.50 18,1,2024-09-07 08:46:11:655,363934,363934,0,0,169849528412,1764111104,361480,2216,238,367,391564,0 18,2,2024-09-07 08:46:11:759,260425,260425,0,0,10788890,0,3541 18,3,2024-09-07 08:46:10:897,1,207,8,0,163,2188,207,0 19,0,2024-09-07 08:46:11:544,51016,0.9,51658,0.9,101818,1.0,136059,2.50 19,1,2024-09-07 08:46:10:566,363898,363898,0,0,170809815763,1780532789,359304,3810,784,367,391777,0 19,2,2024-09-07 08:46:11:758,266815,266815,0,0,10151794,0,3988 19,3,2024-09-07 08:46:11:129,1,207,3,0,524,1723,207,0 20,0,2024-09-07 08:46:11:442,47430,0.7,47310,0.8,95094,0.7,126881,2.25 20,1,2024-09-07 08:46:10:569,363217,363217,0,0,170299804682,1784827543,359508,3313,396,369,391886,0 20,2,2024-09-07 08:46:10:933,263400,263400,0,0,11466283,0,3721 20,3,2024-09-07 08:46:10:594,1,207,28,0,414,4321,207,0 21,0,2024-09-07 08:46:11:131,53232,0.5,53487,0.6,106720,0.4,141873,2.00 21,1,2024-09-07 08:46:11:537,362295,362295,0,0,169080083486,1784945520,356942,4120,1233,368,391962,0 21,2,2024-09-07 08:46:11:073,257977,257977,0,0,12333218,0,3747 21,3,2024-09-07 08:46:11:419,1,207,1,0,103,2334,207,0 22,0,2024-09-07 08:46:11:725,54104,0.7,54142,0.8,107804,0.7,143776,2.25 22,1,2024-09-07 08:46:11:028,362706,362706,0,0,169349156300,1786581308,356410,4708,1588,382,391667,0 22,2,2024-09-07 08:46:10:765,259778,259778,0,0,10903550,0,3134 22,3,2024-09-07 08:46:11:074,1,207,13,0,228,2096,207,0 23,0,2024-09-07 08:46:11:368,52072,0.7,52036,0.8,104578,0.8,139217,2.25 23,1,2024-09-07 08:46:11:012,363587,363587,0,0,170433942417,1794407331,356692,4346,2549,365,391550,0 23,2,2024-09-07 08:46:11:096,264770,264770,0,0,10973336,0,3010 23,3,2024-09-07 08:46:11:765,1,207,1,0,645,2567,207,0 24,0,2024-09-07 08:46:10:828,47555,0.5,47513,0.6,95155,0.4,126428,1.75 24,1,2024-09-07 08:46:10:585,363417,363417,0,0,169652111694,1778722241,359253,3136,1028,369,391640,0 24,2,2024-09-07 08:46:11:084,262019,262019,0,0,13349978,0,3607 24,3,2024-09-07 08:46:11:698,1,207,1,0,468,3032,207,0 25,0,2024-09-07 08:46:11:362,53977,0.4,52678,0.6,103369,0.4,141059,2.00 25,1,2024-09-07 08:46:10:560,363671,363671,0,0,170232563089,1784529790,359725,3400,546,371,391788,0 25,2,2024-09-07 08:46:11:608,259760,259760,0,0,13333083,0,3978 25,3,2024-09-07 08:46:11:018,1,207,1,0,255,2385,207,0 26,0,2024-09-07 08:46:11:734,53058,0.4,51858,0.6,108879,0.4,141609,1.75 26,1,2024-09-07 08:46:11:543,364057,364057,0,0,169197677673,1775929412,358560,4516,981,381,391748,0 26,2,2024-09-07 08:46:10:861,259858,259858,0,0,12305783,0,2809 26,3,2024-09-07 08:46:11:716,1,207,13,0,796,3008,207,0 27,0,2024-09-07 08:46:11:739,54300,0.5,54161,0.7,107388,0.5,143296,2.00 27,1,2024-09-07 08:46:11:676,365208,365208,0,0,170921469311,1776764634,362907,1972,329,381,391558,0 27,2,2024-09-07 08:46:10:867,260562,260497,65,0,13389247,0,5699 27,3,2024-09-07 08:46:11:017,1,207,1,0,564,2262,207,0 28,0,2024-09-07 08:46:11:408,47757,0.6,47844,0.8,96170,0.8,127893,2.25 28,1,2024-09-07 08:46:10:806,364635,364635,0,0,171312588344,1787195839,361814,2351,470,383,391646,0 28,2,2024-09-07 08:46:11:764,264227,264227,0,0,10906009,0,2915 28,3,2024-09-07 08:46:11:781,1,207,5,0,502,2357,207,0 29,0,2024-09-07 08:46:11:361,52444,0.3,51336,0.5,100079,0.2,137500,1.75 29,1,2024-09-07 08:46:11:567,365442,365442,0,0,170506478413,1768101829,362452,2427,563,369,391621,0 29,2,2024-09-07 08:46:10:865,262705,262705,0,0,10032966,0,4018 29,3,2024-09-07 08:46:10:968,1,207,6,0,115,1548,207,0 30,0,2024-09-07 08:46:11:457,53025,0.6,51581,0.8,108120,0.6,141217,2.00 30,1,2024-09-07 08:46:10:572,365085,365085,0,0,171754369533,1782347026,362897,1895,293,382,391672,0 30,2,2024-09-07 08:46:11:280,259186,259186,0,0,9793935,0,3161 30,3,2024-09-07 08:46:10:581,1,207,3,0,195,1462,207,0 31,0,2024-09-07 08:46:11:773,54443,0.5,54789,0.6,110034,0.4,145943,2.00 31,1,2024-09-07 08:46:10:564,366315,366315,0,0,171331207065,1757444790,365225,933,157,356,391712,0 31,2,2024-09-07 08:46:11:275,259671,259671,0,0,11400452,0,3525 31,3,2024-09-07 08:46:11:708,1,207,6,0,220,1795,207,0 32,0,2024-09-07 08:46:11:460,50831,0.4,51386,0.6,102718,0.4,136300,1.75 32,1,2024-09-07 08:46:10:805,364845,364845,0,0,171114240323,1777725589,362943,1625,277,381,391595,0 32,2,2024-09-07 08:46:10:941,265858,265858,0,0,9817979,0,3155 32,3,2024-09-07 08:46:11:017,1,207,1,0,227,1666,207,0 33,0,2024-09-07 08:46:11:522,49152,0.3,48499,0.5,97708,0.2,130558,1.75 33,1,2024-09-07 08:46:10:584,365411,365411,0,0,171398500926,1773481599,362953,2286,172,369,391730,0 33,2,2024-09-07 08:46:10:759,263878,263845,33,0,11859712,0,7012 33,3,2024-09-07 08:46:10:895,1,207,1,0,329,2514,207,0 34,0,2024-09-07 08:46:10:932,53558,0.4,55128,0.5,105809,0.3,141580,1.75 34,1,2024-09-07 08:46:11:046,365865,365865,0,0,172016997609,1771293821,364799,1059,7,367,391562,0 34,2,2024-09-07 08:46:10:767,257868,257868,0,0,10746088,0,3577 34,3,2024-09-07 08:46:11:688,1,207,8,0,148,1423,207,0 35,0,2024-09-07 08:46:10:860,53742,0.4,54247,0.5,108710,0.3,144972,1.75 35,1,2024-09-07 08:46:11:073,363628,363628,0,0,170734792119,1774619335,360362,2487,779,384,391589,0 35,2,2024-09-07 08:46:11:585,260762,260762,0,0,9896584,0,2653 35,3,2024-09-07 08:46:10:908,1,207,30,0,418,2412,207,0 36,0,2024-09-07 08:46:11:540,52129,0.8,52091,0.9,104269,0.8,138548,2.50 36,1,2024-09-07 08:46:10:585,363747,363747,0,0,169900594905,1779049078,358672,3765,1310,366,391759,0 36,2,2024-09-07 08:46:11:752,264619,264619,0,0,11736370,0,3303 36,3,2024-09-07 08:46:10:876,1,207,19,0,378,3342,207,0 37,0,2024-09-07 08:46:11:412,46462,0.5,46292,0.7,92850,0.5,125106,2.00 37,1,2024-09-07 08:46:10:582,363267,363260,0,7,169932583904,1784940152,357791,3439,2030,365,391560,0 37,2,2024-09-07 08:46:11:145,262659,262644,15,0,12035336,0,5815 37,3,2024-09-07 08:46:11:769,1,207,1,0,888,3987,207,0 38,0,2024-09-07 08:46:11:443,52364,0.4,50901,0.6,106648,0.3,139564,2.00 38,1,2024-09-07 08:46:11:614,364912,364912,0,0,170410409353,1781831678,360288,3972,652,368,391821,0 38,2,2024-09-07 08:46:10:767,259025,258978,47,0,12814166,0,6710 38,3,2024-09-07 08:46:10:998,1,207,9,0,689,3293,207,0 39,0,2024-09-07 08:46:11:771,54988,0.7,54022,0.7,104808,0.7,143825,2.00 39,1,2024-09-07 08:46:10:716,364372,364372,0,0,170672215783,1781259180,360032,3537,803,365,391594,0 39,2,2024-09-07 08:46:11:434,259797,259797,0,0,10674575,0,2689 39,3,2024-09-07 08:46:10:714,1,207,114,0,293,2944,207,0 40,0,2024-09-07 08:46:11:504,52840,0.8,53373,1.0,106870,0.8,141899,3.00 40,1,2024-09-07 08:46:10:584,363796,363796,0,0,169765261402,1782165641,357739,4824,1233,368,391591,0 40,2,2024-09-07 08:46:11:306,262962,262961,1,0,13441762,0,5137 40,3,2024-09-07 08:46:11:157,1,207,2,0,333,2496,207,0 41,0,2024-09-07 08:46:11:029,46608,1.4,47696,1.4,91154,2.7,125121,3.50 41,1,2024-09-07 08:46:10:767,363660,363660,0,0,170645829482,1786378300,358817,4282,561,370,391742,0 41,2,2024-09-07 08:46:10:759,261511,261511,0,0,13234557,0,3356 41,3,2024-09-07 08:46:11:676,1,207,1,0,366,2408,207,0 42,0,2024-09-07 08:46:11:482,51829,0.7,51926,0.9,103857,0.6,137902,2.50 42,1,2024-09-07 08:46:11:444,362391,362391,0,0,170817462806,1795412755,356798,4519,1074,380,391675,0 42,2,2024-09-07 08:46:11:142,261589,261589,0,0,12225947,0,3568 42,3,2024-09-07 08:46:11:012,1,207,6,0,446,1761,207,0 43,0,2024-09-07 08:46:10:933,53235,0.8,51849,0.9,108157,0.8,142145,2.00 43,1,2024-09-07 08:46:10:581,364268,364268,0,0,171082760395,1784706375,360161,3310,797,366,391696,0 43,2,2024-09-07 08:46:11:758,259509,259509,0,0,11407224,0,3812 43,3,2024-09-07 08:46:11:752,1,207,1,0,467,2753,207,0 44,0,2024-09-07 08:46:10:874,54752,0.5,55071,0.7,109824,0.4,146460,1.75 44,1,2024-09-07 08:46:10:565,365047,365047,0,0,170279106507,1761059318,362651,1966,430,356,391809,0 44,2,2024-09-07 08:46:11:271,260536,260536,0,0,9822544,0,1877 44,3,2024-09-07 08:46:11:094,1,207,1,0,817,2294,207,0 45,0,2024-09-07 08:46:11:756,48647,0.8,47655,1.0,99994,0.9,131355,2.00 45,1,2024-09-07 08:46:11:010,364308,364308,0,0,170594554765,1769269148,362979,1318,11,382,391917,0 45,2,2024-09-07 08:46:11:271,265005,265005,0,0,10779918,0,3596 45,3,2024-09-07 08:46:10:941,1,207,1,0,271,2451,207,0 46,0,2024-09-07 08:46:10:951,49804,0.4,49686,0.6,99490,0.4,132618,2.00 46,1,2024-09-07 08:46:10:576,365303,365303,0,0,170362836880,1761434281,363128,1903,272,366,391524,0 46,2,2024-09-07 08:46:10:605,263684,263684,0,0,10443361,0,2920 46,3,2024-09-07 08:46:11:144,1,207,1,0,908,3345,207,0 47,0,2024-09-07 08:46:11:109,53856,0.4,53847,0.6,108170,0.4,142919,1.75 47,1,2024-09-07 08:46:10:569,365467,365467,0,0,169902544816,1756122696,363485,1897,85,366,391605,0 47,2,2024-09-07 08:46:10:908,259822,259822,0,0,10168687,0,2558 47,3,2024-09-07 08:46:11:117,1,207,6,0,529,2166,207,0 48,0,2024-09-07 08:46:11:535,54903,0.3,54611,0.4,108785,0.2,145372,1.75 48,1,2024-09-07 08:46:11:021,365159,365159,0,0,170650097601,1775469391,362236,2625,298,384,391710,0 48,2,2024-09-07 08:46:10:700,260244,260244,0,0,9905302,0,3031 48,3,2024-09-07 08:46:10:753,1,207,94,0,339,1931,207,0 49,0,2024-09-07 08:46:11:724,52948,0.5,51924,0.6,100834,0.4,138056,1.75 49,1,2024-09-07 08:46:11:020,363037,363037,0,0,169768116985,1773308362,359334,2522,1181,382,391610,0 49,2,2024-09-07 08:46:11:797,266341,266341,0,0,10619518,0,3900 49,3,2024-09-07 08:46:11:428,1,207,1,0,408,2554,207,0 50,0,2024-09-07 08:46:11:508,47689,0.3,47149,0.5,94856,0.2,126793,1.75 50,1,2024-09-07 08:46:11:010,365757,365757,0,0,171209637854,1774503768,363055,2392,310,368,391530,0 50,2,2024-09-07 08:46:11:084,263759,263759,0,0,10015299,0,2263 50,3,2024-09-07 08:46:11:291,1,207,20,0,335,1819,207,0 51,0,2024-09-07 08:46:11:692,54428,0.3,53391,0.4,103723,0.2,141987,1.75 51,1,2024-09-07 08:46:11:684,364962,364962,0,0,171001640242,1769553978,362227,1796,939,365,391637,0 51,2,2024-09-07 08:46:11:316,258391,258391,0,0,9028005,0,3337 51,3,2024-09-07 08:46:11:027,1,207,1,0,678,1895,207,0 52,0,2024-09-07 08:46:11:442,54681,0.5,54481,0.6,108692,0.4,144495,2.00 52,1,2024-09-07 08:46:10:576,363422,363422,0,0,169311140815,1780120619,357096,5158,1168,368,391722,0 52,2,2024-09-07 08:46:11:755,258446,258408,38,0,12574733,0,6742 52,3,2024-09-07 08:46:10:676,1,207,19,0,1782,3935,207,0 53,0,2024-09-07 08:46:11:739,51931,0.8,50509,0.9,105753,1.0,138374,2.50 53,1,2024-09-07 08:46:10:772,362698,362698,0,0,170386077803,1793740872,356353,4309,2036,367,391702,0 53,2,2024-09-07 08:46:11:305,264525,264525,0,0,10614950,0,2262 53,3,2024-09-07 08:46:10:697,1,207,4,0,271,1967,207,0 54,0,2024-09-07 08:46:11:623,46026,1.1,46496,1.0,92158,0.6,123721,3.00 54,1,2024-09-07 08:46:10:583,363888,363888,0,0,170459043523,1776018852,359650,3667,571,367,391659,0 54,2,2024-09-07 08:46:10:876,262672,262666,6,0,12032991,0,5382 54,3,2024-09-07 08:46:10:765,1,207,3,0,676,3481,207,0 55,0,2024-09-07 08:46:11:770,50897,0.5,52674,0.7,106229,0.4,138641,2.25 55,1,2024-09-07 08:46:10:766,363211,363211,0,0,169170463289,1767425222,357697,4727,787,365,391731,0 55,2,2024-09-07 08:46:10:730,259895,259895,0,0,11987282,0,3275 55,3,2024-09-07 08:46:10:675,1,207,2,0,304,2669,207,0 56,0,2024-09-07 08:46:11:567,54461,1.2,51334,1.1,105702,1.7,141495,2.50 56,1,2024-09-07 08:46:10:570,362939,362939,0,0,170205245984,1800064613,357057,4939,943,381,391678,0 56,2,2024-09-07 08:46:11:326,259522,259522,0,0,12593605,0,3567 56,3,2024-09-07 08:46:11:073,1,207,7,0,705,3370,207,0 57,0,2024-09-07 08:46:10:940,53129,1.9,53241,1.4,106445,2.7,142296,3.25 57,1,2024-09-07 08:46:11:001,363687,363687,0,0,169628716670,1777356679,359733,3446,508,367,391960,0 57,2,2024-09-07 08:46:11:328,262181,262181,0,0,13508384,0,3317 57,3,2024-09-07 08:46:11:744,1,207,1,0,359,2996,207,0 58,0,2024-09-07 08:46:10:569,46732,0.9,45440,1.1,95214,1.0,124399,2.50 58,1,2024-09-07 08:46:10:590,364018,364015,0,3,170190629700,1780646652,358863,4458,694,367,391545,3 58,2,2024-09-07 08:46:11:074,264075,264075,0,0,12156612,0,2549 58,3,2024-09-07 08:46:11:074,1,207,14,0,1043,2622,207,0 59,0,2024-09-07 08:46:11:745,51159,0.6,50969,0.9,101824,0.6,136230,2.75 59,1,2024-09-07 08:46:10:811,363848,363848,0,0,170426162124,1783073281,359521,3344,983,369,391525,0 59,2,2024-09-07 08:46:10:585,263860,263860,0,0,11147209,0,2604 59,3,2024-09-07 08:46:11:738,1,207,5,0,1015,3271,207,0 60,0,2024-09-07 08:46:11:723,53434,0.5,53160,0.6,106943,0.4,141936,1.75 60,1,2024-09-07 08:46:10:772,365311,365311,0,0,171405723579,1779942852,362943,1851,517,370,392031,0 60,2,2024-09-07 08:46:11:141,258724,258724,0,0,11499863,0,3811 60,3,2024-09-07 08:46:11:263,1,207,1,0,124,1925,207,0 61,0,2024-09-07 08:46:11:492,54822,0.8,55059,0.9,109721,0.9,146140,2.00 61,1,2024-09-07 08:46:10:791,363917,363917,0,0,170159884171,1783890068,359756,3518,643,382,391880,0 61,2,2024-09-07 08:46:11:118,260913,260913,0,0,10780812,0,2090 61,3,2024-09-07 08:46:11:691,1,207,1,0,397,2927,207,0 62,0,2024-09-07 08:46:11:790,51409,0.9,52453,0.9,100033,1.2,136180,2.25 62,1,2024-09-07 08:46:11:123,366158,366152,0,6,171708949003,1773575918,363785,2251,116,365,391715,6 62,2,2024-09-07 08:46:11:648,264308,264307,1,0,12061298,0,5555 62,3,2024-09-07 08:46:11:150,1,207,1,0,287,1490,207,0 63,0,2024-09-07 08:46:11:479,48822,0.5,48775,0.7,97542,0.5,130355,1.75 63,1,2024-09-07 08:46:10:805,365614,365608,0,6,171219467270,1775589997,364072,1486,50,381,391677,6 63,2,2024-09-07 08:46:10:767,263481,263481,0,0,10022336,0,2674 63,3,2024-09-07 08:46:11:735,1,207,3,0,667,2704,207,0 64,0,2024-09-07 08:46:11:526,52979,0.5,53086,0.7,106441,0.4,141442,1.75 64,1,2024-09-07 08:46:10:749,364032,364032,0,0,170798783573,1786554072,359610,3008,1414,370,391783,0 64,2,2024-09-07 08:46:11:141,260423,260404,19,0,10838783,0,6121 64,3,2024-09-07 08:46:11:141,1,207,242,0,265,2381,207,0 65,0,2024-09-07 08:46:11:738,53724,0.7,54131,0.8,108033,0.7,144107,2.25 65,1,2024-09-07 08:46:10:859,362979,362979,0,0,170128873643,1783659280,359535,3001,443,382,391901,0 65,2,2024-09-07 08:46:11:708,259951,259951,0,0,11436460,0,3367 65,3,2024-09-07 08:46:11:683,1,207,101,0,163,2045,207,0 66,0,2024-09-07 08:46:11:778,51612,0.6,51712,0.8,103831,0.6,137756,2.25 66,1,2024-09-07 08:46:11:295,364852,364852,0,0,170738968019,1775536067,362496,2175,181,380,391588,0 66,2,2024-09-07 08:46:11:142,267490,267490,0,0,11375404,0,4956 66,3,2024-09-07 08:46:11:085,1,207,14,0,291,2379,207,0 67,0,2024-09-07 08:46:11:415,46983,0.8,46725,0.9,93887,1.0,125176,2.25 67,1,2024-09-07 08:46:10:765,363976,363975,0,1,170464948001,1781688405,360299,2929,747,380,391787,1 67,2,2024-09-07 08:46:10:583,264407,264407,0,0,10353457,0,2889 67,3,2024-09-07 08:46:11:750,1,207,1,0,338,1774,207,0 68,0,2024-09-07 08:46:10:561,52846,0.5,52724,0.7,104879,0.4,140317,2.00 68,1,2024-09-07 08:46:10:571,363327,363327,0,0,169501581449,1779563900,359686,2504,1137,381,391953,0 68,2,2024-09-07 08:46:11:044,258635,258570,65,0,13526042,0,6698 68,3,2024-09-07 08:46:10:730,1,207,1,0,417,2546,207,0 69,0,2024-09-07 08:46:11:762,53552,0.8,53869,0.9,107237,1.0,143231,2.25 69,1,2024-09-07 08:46:11:021,362225,362225,0,0,170325558327,1798142026,357671,3330,1224,384,391994,0 69,2,2024-09-07 08:46:11:746,259803,259803,0,0,12607674,0,3701 69,3,2024-09-07 08:46:10:763,1,207,61,0,698,3330,207,0 70,0,2024-09-07 08:46:11:537,53028,1.3,52974,1.2,106395,0.9,141534,2.50 70,1,2024-09-07 08:46:10:816,364501,364501,0,0,171179878375,1779250042,361424,2571,506,366,391725,0 70,2,2024-09-07 08:46:11:329,263439,263439,0,0,11935830,0,4044 70,3,2024-09-07 08:46:10:745,1,207,1,0,854,2616,207,0 71,0,2024-09-07 08:46:11:364,46632,1.5,46535,1.4,92906,2.2,125282,3.25 71,1,2024-09-07 08:46:11:599,363561,363561,0,0,170055829849,1779659850,358538,4408,615,368,391738,0 71,2,2024-09-07 08:46:11:081,263681,263681,0,0,12018787,0,2579 71,3,2024-09-07 08:46:11:752,1,207,1,0,644,3098,207,0 72,0,2024-09-07 08:46:11:052,53885,0.6,52692,0.8,102769,0.6,140243,2.25 72,1,2024-09-07 08:46:11:023,364117,364117,0,0,170282654730,1779349127,359931,3436,750,369,391819,0 72,2,2024-09-07 08:46:11:769,261030,261030,0,0,13197008,0,2570 72,3,2024-09-07 08:46:11:757,1,207,2,0,364,3634,207,0 73,0,2024-09-07 08:46:11:118,52231,0.4,53480,0.6,109493,0.4,141956,2.00 73,1,2024-09-07 08:46:10:769,364355,364355,0,0,170549264214,1773547202,361714,2427,214,367,391750,0 73,2,2024-09-07 08:46:11:751,260004,260004,0,0,12493410,0,3482 73,3,2024-09-07 08:46:10:983,1,207,21,0,274,3200,207,0 74,0,2024-09-07 08:46:11:338,55457,0.5,56516,0.7,107639,0.5,145955,2.25 74,1,2024-09-07 08:46:10:635,363912,363912,0,0,170244911759,1778982079,360100,3021,791,381,391681,0 74,2,2024-09-07 08:46:11:010,260961,260961,0,0,12608230,0,4253 74,3,2024-09-07 08:46:11:451,1,207,2,0,522,3087,207,0 75,0,2024-09-07 08:46:11:769,49479,0.8,49142,1.0,98661,0.8,131641,2.50 75,1,2024-09-07 08:46:11:593,363073,363073,0,0,169890741894,1779464036,358620,3791,662,381,391597,0 75,2,2024-09-07 08:46:11:354,264336,264336,0,0,12740271,0,4766 75,3,2024-09-07 08:46:11:070,1,207,1,0,702,3021,207,0 76,0,2024-09-07 08:46:10:581,49465,0.6,49124,0.8,97958,0.6,131784,2.50 76,1,2024-09-07 08:46:10:806,364290,364290,0,0,170281770452,1777205133,361749,1994,547,382,391692,0 76,2,2024-09-07 08:46:11:060,264257,264257,0,0,10892321,0,3784 76,3,2024-09-07 08:46:11:142,1,207,29,0,175,2300,207,0 77,0,2024-09-07 08:46:11:716,53520,0.6,53842,0.8,107377,0.6,142174,2.00 77,1,2024-09-07 08:46:10:825,364476,364476,0,0,169939060025,1776108102,361676,2567,233,383,391808,0 77,2,2024-09-07 08:46:11:281,257842,257842,0,0,10931304,0,3890 77,3,2024-09-07 08:46:11:101,1,207,1,0,305,2296,207,0 78,0,2024-09-07 08:46:11:727,54559,0.5,54117,0.7,109361,0.4,144543,2.00 78,1,2024-09-07 08:46:10:610,364378,364378,0,0,169987115915,1768223794,361433,2630,315,367,391646,0 78,2,2024-09-07 08:46:11:408,260680,260680,0,0,9963450,0,2114 78,3,2024-09-07 08:46:11:138,1,207,26,0,181,2043,207,0 79,0,2024-09-07 08:46:11:363,49928,0.5,51134,0.7,104623,0.4,136075,2.50 79,1,2024-09-07 08:46:10:581,365390,365390,0,0,170620928240,1768042546,362661,2393,336,369,391682,0 79,2,2024-09-07 08:46:11:075,266475,266475,0,0,10360438,0,3212 79,3,2024-09-07 08:46:10:752,1,207,0,0,418,3193,207,0 80,0,2024-09-07 08:46:11:131,47300,0.6,48793,0.8,93330,0.7,126513,2.25 80,1,2024-09-07 08:46:11:622,363548,363548,0,0,170235771501,1774275746,360772,2609,167,368,391791,0 80,2,2024-09-07 08:46:11:114,264212,264212,0,0,10769431,0,4433 80,3,2024-09-07 08:46:10:584,1,207,41,0,190,3148,207,0 81,0,2024-09-07 08:46:11:542,53121,0.5,54665,0.6,104550,0.4,141198,1.75 81,1,2024-09-07 08:46:11:651,363843,363843,0,0,169510875274,1773873145,360770,2716,357,382,391879,0 81,2,2024-09-07 08:46:11:137,258193,258193,0,0,11018092,0,3993 81,3,2024-09-07 08:46:11:117,1,207,1,0,374,2547,207,0 82,0,2024-09-07 08:46:11:549,53884,0.4,54326,0.7,108906,0.4,144633,2.00 82,1,2024-09-07 08:46:10:582,364219,364215,0,4,170073147831,1773803033,361452,2152,611,381,391558,4 82,2,2024-09-07 08:46:11:694,260760,260760,0,0,10457622,0,3986 82,3,2024-09-07 08:46:11:762,1,207,15,0,363,2574,207,0 83,0,2024-09-07 08:46:11:523,52429,0.6,52449,0.8,104156,0.7,138789,2.00 83,1,2024-09-07 08:46:10:551,363647,363647,0,0,170070540761,1777102042,360581,2823,243,382,391690,0 83,2,2024-09-07 08:46:10:765,264263,264263,0,0,10355576,0,3119 83,3,2024-09-07 08:46:10:753,1,207,55,0,250,2319,207,0 84,0,2024-09-07 08:46:11:772,46526,0.9,46523,1.1,93289,0.8,124854,2.50 84,1,2024-09-07 08:46:11:038,363149,363149,0,0,170083019693,1780683180,359151,3429,569,368,391967,0 84,2,2024-09-07 08:46:10:573,262997,262997,0,0,12080151,0,3801 84,3,2024-09-07 08:46:11:146,1,207,3,0,353,2761,207,0 85,0,2024-09-07 08:46:11:023,50851,0.5,50772,0.7,107655,0.5,139423,2.25 85,1,2024-09-07 08:46:10:561,362534,362534,0,0,169926598039,1799882909,356212,5223,1099,382,392006,0 85,2,2024-09-07 08:46:10:865,261768,261768,0,0,12500026,0,3656 85,3,2024-09-07 08:46:10:689,1,207,4,0,789,2859,207,0 86,0,2024-09-07 08:46:10:878,53290,0.6,54702,0.8,104892,0.7,141441,2.00 86,1,2024-09-07 08:46:10:826,363899,363899,0,0,170526448638,1785618506,359661,3693,545,366,391961,0 86,2,2024-09-07 08:46:10:855,258578,258577,1,0,13165031,0,5004 86,3,2024-09-07 08:46:10:587,1,207,0,0,286,2850,207,0 87,0,2024-09-07 08:46:11:286,53777,1.2,53468,1.0,107604,1.6,143773,2.25 87,1,2024-09-07 08:46:10:554,363934,363934,0,0,170170251226,1783686468,359828,3627,479,366,391788,0 87,2,2024-09-07 08:46:11:090,261008,261008,0,0,11990385,0,4045 87,3,2024-09-07 08:46:11:804,1,207,1,0,473,3588,207,0 88,0,2024-09-07 08:46:11:468,47858,0.6,48073,0.7,96004,0.7,127459,1.75 88,1,2024-09-07 08:46:10:574,362439,362439,0,0,169901638712,1786844749,356703,4266,1470,365,392084,0 88,2,2024-09-07 08:46:10:689,263714,263714,0,0,13898340,0,3583 88,3,2024-09-07 08:46:11:273,1,207,4,0,435,2658,207,0 89,0,2024-09-07 08:46:11:786,52632,0.4,50985,0.6,100970,0.4,137548,1.75 89,1,2024-09-07 08:46:10:566,361970,361970,0,0,170479076143,1801324900,355975,5050,945,382,391866,0 89,2,2024-09-07 08:46:11:136,262296,262296,0,0,12936066,0,2910 89,3,2024-09-07 08:46:11:811,1,207,3,0,325,4048,207,0 90,0,2024-09-07 08:46:11:614,51801,0.5,52888,0.6,108628,0.4,140984,1.75 90,1,2024-09-07 08:46:10:603,363956,363956,0,0,170342034835,1784896905,360629,3067,260,381,391825,0 90,2,2024-09-07 08:46:11:418,257180,257180,0,0,13659601,0,3060 90,3,2024-09-07 08:46:10:930,1,207,0,0,200,2301,207,0 91,0,2024-09-07 08:46:10:941,55216,0.4,53359,0.6,111400,0.4,146289,1.75 91,1,2024-09-07 08:46:10:556,363141,363141,0,0,169727413512,1785683956,358360,4134,647,381,392047,0 91,2,2024-09-07 08:46:11:343,260082,260082,0,0,12240906,0,2445 91,3,2024-09-07 08:46:10:615,1,207,1,0,216,2116,207,0 92,0,2024-09-07 08:46:11:470,51508,0.6,52873,0.8,100980,0.7,136472,1.75 92,1,2024-09-07 08:46:10:590,363421,363421,0,0,170278197485,1777577375,361105,1911,405,382,392136,0 92,2,2024-09-07 08:46:11:402,266711,266711,0,0,10919155,0,2801 92,3,2024-09-07 08:46:11:010,1,207,2,0,167,1723,207,0 93,0,2024-09-07 08:46:10:976,48955,0.4,50232,0.6,95952,0.4,130684,1.75 93,1,2024-09-07 08:46:10:808,364343,364343,0,0,170219072161,1778251301,360610,3106,627,366,391692,0 93,2,2024-09-07 08:46:10:964,263914,263914,0,0,11238111,0,2509 93,3,2024-09-07 08:46:11:406,1,207,4,0,190,2253,207,0 94,0,2024-09-07 08:46:11:601,53392,0.4,54124,0.6,107409,0.3,142324,1.75 94,1,2024-09-07 08:46:10:563,363507,363507,0,0,171028767259,1788719638,360591,2783,133,381,391850,0 94,2,2024-09-07 08:46:10:767,257699,257699,0,0,10130807,0,2443 94,3,2024-09-07 08:46:11:688,1,207,8,0,264,2924,207,0 95,0,2024-09-07 08:46:11:342,54520,0.3,54131,0.5,109473,0.3,144814,1.75 95,1,2024-09-07 08:46:10:883,364049,364049,0,0,170843111752,1778983606,360858,2841,350,367,391662,0 95,2,2024-09-07 08:46:11:019,259892,259892,0,0,10880888,0,3308 95,3,2024-09-07 08:46:11:710,1,207,1,0,718,4271,207,0 96,0,2024-09-07 08:46:11:025,52128,0.5,52383,0.6,104647,0.5,138366,1.75 96,1,2024-09-07 08:46:11:590,363395,363395,0,0,169745132802,1776899501,360061,2616,718,385,391894,0 96,2,2024-09-07 08:46:11:282,265120,265120,0,0,11670212,0,4042 96,3,2024-09-07 08:46:11:140,1,207,1,0,411,2563,207,0 97,0,2024-09-07 08:46:11:318,46965,0.4,46740,0.5,93869,0.3,125468,1.75 97,1,2024-09-07 08:46:10:767,364757,364757,0,0,170699589611,1773834532,361797,2407,553,367,392140,0 97,2,2024-09-07 08:46:10:607,263303,263303,0,0,10802711,0,3036 97,3,2024-09-07 08:46:10:572,1,207,21,0,165,2461,207,0 98,0,2024-09-07 08:46:11:694,52598,0.3,52670,0.4,105646,0.2,140293,1.50 98,1,2024-09-07 08:46:10:572,364186,364186,0,0,170517550831,1776998590,362125,1967,94,382,391997,0 98,2,2024-09-07 08:46:10:780,260620,260620,0,0,10613965,0,3080 98,3,2024-09-07 08:46:10:698,1,207,6,0,840,3671,207,0 99,0,2024-09-07 08:46:11:450,53989,0.3,54001,0.4,107764,0.3,144260,1.50 99,1,2024-09-07 08:46:11:741,364647,364647,0,0,169740143259,1774498716,360895,3013,739,381,391744,0 99,2,2024-09-07 08:46:11:427,261886,261886,0,0,11144166,0,3106 99,3,2024-09-07 08:46:10:582,1,207,38,0,129,1608,207,0 100,0,2024-09-07 08:46:11:480,53305,0.9,53342,1.1,106415,1.3,142205,2.50 100,1,2024-09-07 08:46:10:549,361640,361640,0,0,169048387955,1793874107,355199,5194,1247,381,391989,0 100,2,2024-09-07 08:46:11:822,262257,262246,11,0,12768504,0,5417 100,3,2024-09-07 08:46:11:731,1,207,0,0,559,4096,207,0 101,0,2024-09-07 08:46:11:697,47958,2.1,46940,1.4,91566,2.2,126568,2.75 101,1,2024-09-07 08:46:10:550,362597,362597,0,0,169575730996,1790461755,356516,4558,1523,368,391769,0 101,2,2024-09-07 08:46:11:759,262404,262404,0,0,13780249,0,4644 101,3,2024-09-07 08:46:10:946,1,207,3,0,448,2825,207,0 102,0,2024-09-07 08:46:10:954,50905,0.7,52750,0.8,105997,0.6,139513,2.00 102,1,2024-09-07 08:46:11:142,362945,362945,0,0,169841981421,1787674745,358036,4175,734,369,391883,0 102,2,2024-09-07 08:46:11:744,262517,262463,54,0,12724355,0,6768 102,3,2024-09-07 08:46:11:613,1,207,12,0,410,2307,207,0 103,0,2024-09-07 08:46:11:645,55057,0.5,55064,0.7,103966,0.4,142892,1.75 103,1,2024-09-07 08:46:11:625,361836,361836,0,0,169353899220,1788992762,356079,4430,1327,381,391829,0 103,2,2024-09-07 08:46:10:589,258202,258202,0,0,11562253,0,2107 103,3,2024-09-07 08:46:10:755,1,207,1,0,916,3376,207,0 104,0,2024-09-07 08:46:11:011,54377,1.0,54767,1.1,108243,1.0,146033,2.50 104,1,2024-09-07 08:46:11:641,364033,364033,0,0,170171338270,1790876811,358676,4386,971,365,391948,0 104,2,2024-09-07 08:46:11:666,260134,260134,0,0,12772247,0,3941 104,3,2024-09-07 08:46:11:421,1,207,0,0,1245,5914,207,0 105,0,2024-09-07 08:46:11:026,48908,1.2,47489,1.3,99341,1.9,130597,3.50 105,1,2024-09-07 08:46:10:565,364059,364059,0,0,170105009704,1785448236,359127,4128,804,367,391797,0 105,2,2024-09-07 08:46:11:329,264167,264167,0,0,12385089,0,3509 105,3,2024-09-07 08:46:11:306,1,207,1,0,399,3836,207,0 106,0,2024-09-07 08:46:10:955,47945,0.8,49120,0.9,100650,0.9,131703,2.50 106,1,2024-09-07 08:46:11:756,363679,363679,0,0,169661823043,1782674036,358375,4826,478,369,391767,0 106,2,2024-09-07 08:46:10:757,261485,261485,0,0,12361317,0,2795 106,3,2024-09-07 08:46:10:678,1,207,13,0,470,3219,207,0 107,0,2024-09-07 08:46:11:139,53623,0.9,53486,0.9,106677,1.1,141970,2.00 107,1,2024-09-07 08:46:10:587,362668,362668,0,0,169826448307,1787253591,358454,3799,415,381,392234,0 107,2,2024-09-07 08:46:11:308,257574,257573,1,0,12306924,0,5024 107,3,2024-09-07 08:46:11:766,1,207,6,0,353,3228,207,0 108,0,2024-09-07 08:46:11:818,54671,0.4,54879,0.6,108859,0.4,145284,1.75 108,1,2024-09-07 08:46:11:293,363857,363857,0,0,169926479518,1772938076,361081,2462,314,368,391857,0 108,2,2024-09-07 08:46:11:770,258895,258895,0,0,11689157,0,2647 108,3,2024-09-07 08:46:11:342,1,207,16,0,749,4625,207,0 109,0,2024-09-07 08:46:11:755,51847,0.4,51291,0.6,103011,0.4,138070,1.75 109,1,2024-09-07 08:46:10:583,363231,363231,0,0,170532764370,1790174095,360059,2671,501,383,392132,0 109,2,2024-09-07 08:46:10:921,264887,264887,0,0,11951059,0,3617 109,3,2024-09-07 08:46:11:141,1,207,1,0,249,2682,207,0 110,0,2024-09-07 08:46:11:757,47628,0.4,46433,0.7,96865,0.4,127045,2.00 110,1,2024-09-07 08:46:11:655,364305,364305,0,0,170628317760,1773858134,361544,1993,768,370,391667,0 110,2,2024-09-07 08:46:11:312,263919,263919,0,0,11003808,0,2915 110,3,2024-09-07 08:46:10:701,1,207,12,0,406,2893,207,0 111,0,2024-09-07 08:46:11:428,53583,0.3,53138,0.5,106007,0.3,142836,1.75 111,1,2024-09-07 08:46:11:028,364967,364967,0,0,170591509932,1769994210,363151,1482,334,382,391690,0 111,2,2024-09-07 08:46:11:119,258395,258395,0,0,10896195,0,2763 111,3,2024-09-07 08:46:10:915,1,207,1,0,379,3069,207,0 112,0,2024-09-07 08:46:10:940,54388,0.3,54341,0.4,108577,0.2,144693,1.50 112,1,2024-09-07 08:46:10:829,364890,364890,0,0,170217441727,1768673785,362493,1970,427,380,391580,0 112,2,2024-09-07 08:46:11:145,259941,259940,1,0,11159860,0,5036 112,3,2024-09-07 08:46:10:594,1,207,2,0,282,2362,207,0 113,0,2024-09-07 08:46:10:870,52263,0.3,52174,0.5,104865,0.3,140002,1.75 113,1,2024-09-07 08:46:11:685,365258,365258,0,0,171270940840,1771149496,362771,1998,489,366,391661,0 113,2,2024-09-07 08:46:11:312,265436,265436,0,0,10418442,0,3813 113,3,2024-09-07 08:46:10:688,1,207,2,0,340,3494,207,0 114,0,2024-09-07 08:46:10:874,47451,0.5,47968,0.6,94700,0.4,126891,1.75 114,1,2024-09-07 08:46:10:716,363798,363798,0,0,171510458370,1792551906,358996,3214,1588,381,391556,0 114,2,2024-09-07 08:46:10:873,263237,263236,1,0,11353960,0,5069 114,3,2024-09-07 08:46:11:278,1,207,133,0,395,2441,207,0 115,0,2024-09-07 08:46:10:554,52577,0.3,52877,0.4,105555,0.2,140531,1.50 115,1,2024-09-07 08:46:10:571,363566,363566,0,0,170714446922,1780395634,359241,3492,833,382,391602,0 115,2,2024-09-07 08:46:11:130,262031,262031,0,0,9711615,0,2152 115,3,2024-09-07 08:46:11:011,1,207,1,0,159,1265,207,0 116,0,2024-09-07 08:46:11:752,53304,0.7,53010,0.9,106296,0.7,142198,2.00 116,1,2024-09-07 08:46:10:809,361783,361783,0,0,169709884981,1802111675,355724,4007,2052,380,391782,0 116,2,2024-09-07 08:46:11:758,258545,258545,0,0,13751110,0,3529 116,3,2024-09-07 08:46:10:923,1,207,1,0,252,2815,207,0 117,0,2024-09-07 08:46:10:960,53824,1.0,53838,1.0,107528,1.2,143885,2.00 117,1,2024-09-07 08:46:11:581,363268,363268,0,0,170108329475,1783869109,358854,3938,476,370,392033,0 117,2,2024-09-07 08:46:11:118,263474,263474,0,0,11042817,0,3700 117,3,2024-09-07 08:46:11:060,1,207,0,0,490,3741,207,0 118,0,2024-09-07 08:46:11:771,46605,0.8,47790,0.8,97416,0.9,127198,2.25 118,1,2024-09-07 08:46:10:586,363181,363181,0,0,168990418196,1781905301,357295,4459,1427,366,391736,0 118,2,2024-09-07 08:46:11:588,263524,263524,0,0,12274563,0,2781 118,3,2024-09-07 08:46:11:767,1,207,7,0,235,2513,207,0 119,0,2024-09-07 08:46:11:338,50726,0.5,51048,0.7,102568,0.5,136542,2.00 119,1,2024-09-07 08:46:10:548,364016,364016,0,0,170007460983,1775877414,360625,3081,310,368,391641,0 119,2,2024-09-07 08:46:11:274,262809,262809,0,0,11557945,0,3526 119,3,2024-09-07 08:46:11:331,1,207,1,0,443,3268,207,0 120,0,2024-09-07 08:46:11:542,52964,0.5,52952,0.8,106196,0.5,141636,2.00 120,1,2024-09-07 08:46:10:866,363544,363544,0,0,169644188337,1782273206,359387,3813,344,368,391961,0 120,2,2024-09-07 08:46:10:778,257914,257913,1,0,13542888,0,5281 120,3,2024-09-07 08:46:11:290,1,207,57,0,241,2955,207,0 121,0,2024-09-07 08:46:11:692,54660,1.1,55036,1.0,109670,1.6,145956,2.25 121,1,2024-09-07 08:46:11:658,363827,363827,0,0,170667983903,1786760666,360116,3309,402,367,391840,0 121,2,2024-09-07 08:46:11:142,259188,259188,0,0,13006276,0,4127 121,3,2024-09-07 08:46:10:730,1,207,1,0,269,2593,207,0 122,0,2024-09-07 08:46:11:771,50898,1.0,49535,1.0,103832,1.2,136492,2.25 122,1,2024-09-07 08:46:10:862,362812,362812,0,0,169851573632,1785693655,357575,4497,740,366,392130,0 122,2,2024-09-07 08:46:11:319,264512,264439,73,0,15752990,0,5989 122,3,2024-09-07 08:46:10:594,1,207,2,0,411,4618,207,0 123,0,2024-09-07 08:46:10:952,48693,0.7,47532,0.8,98985,0.7,130186,2.00 123,1,2024-09-07 08:46:10:569,363197,363197,0,0,169746196555,1795227283,355327,6309,1561,369,391823,0 123,2,2024-09-07 08:46:11:019,261458,261457,1,0,12696614,0,5215 123,3,2024-09-07 08:46:11:132,1,207,1,0,168,2535,207,0 124,0,2024-09-07 08:46:10:917,55368,0.3,55313,0.5,104348,0.3,142898,1.75 124,1,2024-09-07 08:46:11:023,364683,364683,0,0,170294532601,1771991273,362059,2262,362,367,392178,0 124,2,2024-09-07 08:46:11:018,258260,258260,0,0,10354646,0,3101 124,3,2024-09-07 08:46:10:758,1,207,0,0,490,2572,207,0 125,0,2024-09-07 08:46:11:426,54455,0.4,54337,0.5,108937,0.3,144905,1.75 125,1,2024-09-07 08:46:10:868,363772,363772,0,0,170105725855,1775610924,361222,2272,278,383,391702,0 125,2,2024-09-07 08:46:11:118,260735,260735,0,0,10498237,0,2180 125,3,2024-09-07 08:46:11:126,1,207,9,0,284,2624,207,0 126,0,2024-09-07 08:46:11:430,52297,0.6,53666,0.7,102674,0.7,139190,1.75 126,1,2024-09-07 08:46:10:552,364577,364577,0,0,171161082786,1777269751,362026,2292,259,365,391987,0 126,2,2024-09-07 08:46:10:617,266590,266590,0,0,11437519,0,3186 126,3,2024-09-07 08:46:10:945,1,207,183,0,183,3019,207,0 127,0,2024-09-07 08:46:11:601,46901,0.4,47102,0.5,93848,0.3,125426,1.75 127,1,2024-09-07 08:46:10:569,364359,364359,0,0,171027118828,1774796173,361621,2586,152,365,391816,0 127,2,2024-09-07 08:46:10:652,263598,263598,0,0,10529093,0,2264 127,3,2024-09-07 08:46:11:270,1,207,0,0,243,1754,207,0 128,0,2024-09-07 08:46:11:523,53090,0.3,52921,0.4,105735,0.2,140456,1.50 128,1,2024-09-07 08:46:11:626,364435,364435,0,0,170214100940,1766953867,362491,1788,156,367,391680,0 128,2,2024-09-07 08:46:11:416,259434,259434,0,0,10065064,0,2434 128,3,2024-09-07 08:46:10:771,1,207,604,0,1082,4424,207,0 129,0,2024-09-07 08:46:11:017,54290,0.3,54006,0.5,107972,0.3,143794,1.50 129,1,2024-09-07 08:46:10:606,362381,362381,0,0,170133661486,1787436790,358285,3169,927,379,391835,0 129,2,2024-09-07 08:46:10:705,260480,260480,0,0,10898344,0,4031 129,3,2024-09-07 08:46:10:697,1,207,1,0,469,3000,207,0 130,0,2024-09-07 08:46:11:747,53759,0.6,53597,0.7,107519,0.7,143137,1.75 130,1,2024-09-07 08:46:10:583,364210,364210,0,0,170913459379,1780812846,361852,2283,75,381,391825,0 130,2,2024-09-07 08:46:11:133,264631,264631,0,0,10698158,0,4067 130,3,2024-09-07 08:46:11:291,1,207,230,0,450,2644,207,0 131,0,2024-09-07 08:46:11:949,47265,0.5,47564,0.6,95742,0.5,126786,1.75 131,1,2024-09-07 08:46:11:825,364670,364670,0,0,170421456746,1778624226,361878,2353,439,383,391865,0 131,2,2024-09-07 08:46:10:570,264615,264615,0,0,9966571,0,2415 131,3,2024-09-07 08:46:11:688,1,207,1,0,392,2139,207,0 132,0,2024-09-07 08:46:11:427,51860,0.5,52529,0.7,105166,0.4,140074,2.00 132,1,2024-09-07 08:46:10:600,362594,362594,0,0,169187609797,1788342001,356491,5023,1080,381,392097,0 132,2,2024-09-07 08:46:10:700,261913,261913,0,0,13356925,0,4606 132,3,2024-09-07 08:46:11:688,1,207,2,0,356,3329,207,0 133,0,2024-09-07 08:46:11:560,52174,0.4,53385,0.6,109448,0.4,141745,1.75 133,1,2024-09-07 08:46:10:593,361899,361899,0,0,170022033259,1793088726,356860,4393,646,383,391914,0 133,2,2024-09-07 08:46:11:088,258317,258317,0,0,13200174,0,4315 133,3,2024-09-07 08:46:11:302,1,207,1,0,276,2139,207,0 134,0,2024-09-07 08:46:10:936,54745,0.5,54676,0.7,109896,0.5,145937,2.00 134,1,2024-09-07 08:46:10:582,362823,362823,0,0,169760056951,1785096525,357263,4161,1399,366,391718,0 134,2,2024-09-07 08:46:11:761,260620,260620,0,0,11439075,0,3847 134,3,2024-09-07 08:46:10:752,1,207,9,0,739,3394,207,0 135,0,2024-09-07 08:46:11:100,47771,1.2,47790,1.1,101440,1.4,130223,2.25 135,1,2024-09-07 08:46:11:587,362283,362283,0,0,170172410236,1791562960,357224,4162,897,380,391805,0 135,2,2024-09-07 08:46:10:689,264472,264472,0,0,12990490,0,3981 135,3,2024-09-07 08:46:11:002,1,207,2,0,299,1470,207,0 136,0,2024-09-07 08:46:11:648,50136,0.5,50026,0.7,100033,0.5,133438,2.25 136,1,2024-09-07 08:46:11:450,362869,362869,0,0,169694950442,1784950907,357899,4428,542,382,391641,0 136,2,2024-09-07 08:46:11:144,263824,263824,0,0,12099020,0,3506 136,3,2024-09-07 08:46:11:114,1,207,1,0,126,1730,207,0 137,0,2024-09-07 08:46:10:955,55093,0.7,53538,0.8,105652,0.7,143112,2.00 137,1,2024-09-07 08:46:10:588,362536,362536,0,0,169963018996,1787400191,356186,4980,1370,366,391708,0 137,2,2024-09-07 08:46:11:706,257449,257449,0,0,13640673,0,3185 137,3,2024-09-07 08:46:10:776,1,207,1,0,227,2343,207,0 138,0,2024-09-07 08:46:11:759,54085,0.9,54243,1.0,108758,1.1,144918,2.25 138,1,2024-09-07 08:46:11:685,363243,363243,0,0,170408329265,1789379664,357740,4616,887,368,391954,0 138,2,2024-09-07 08:46:10:597,260307,260307,0,0,12312398,0,4988 138,3,2024-09-07 08:46:10:615,1,207,0,0,1160,3565,207,0 139,0,2024-09-07 08:46:11:367,50585,2.5,50640,1.5,102170,3.7,136528,2.75 139,1,2024-09-07 08:46:10:572,361460,361460,0,0,169497015586,1803626135,354236,5349,1875,381,391892,0 139,2,2024-09-07 08:46:10:698,263938,263938,0,0,12985842,0,3097 139,3,2024-09-07 08:46:11:662,1,207,4,0,244,2315,207,0 140,0,2024-09-07 08:46:11:592,48067,0.4,47456,0.6,95737,0.3,127239,1.75 140,1,2024-09-07 08:46:11:538,365095,365095,0,0,171323135316,1767338245,363339,1516,240,365,391606,0 140,2,2024-09-07 08:46:10:687,264428,264428,0,0,10822799,0,3388 140,3,2024-09-07 08:46:10:770,1,207,1,0,247,1756,207,0 141,0,2024-09-07 08:46:11:702,53451,0.3,54814,0.5,104715,0.2,142935,1.50 141,1,2024-09-07 08:46:10:859,365158,365158,0,0,170790638347,1772845190,363084,1719,355,379,391614,0 141,2,2024-09-07 08:46:11:686,258828,258828,0,0,10004540,0,2342 141,3,2024-09-07 08:46:11:042,1,207,2,0,176,1744,207,0 142,0,2024-09-07 08:46:11:387,54939,0.3,54454,0.5,108228,0.2,144977,1.50 142,1,2024-09-07 08:46:10:587,363748,363748,0,0,170712618152,1782154168,361291,2214,243,383,392102,0 142,2,2024-09-07 08:46:11:299,259691,259659,32,0,11751483,0,6028 142,3,2024-09-07 08:46:11:759,1,207,19,0,484,2815,207,0 143,0,2024-09-07 08:46:11:452,52524,0.6,52404,0.7,105614,0.6,139799,1.75 143,1,2024-09-07 08:46:10:567,364371,364371,0,0,170180113653,1766914922,362043,2282,46,367,391619,0 143,2,2024-09-07 08:46:10:786,264622,264622,0,0,11213016,0,2669 143,3,2024-09-07 08:46:11:139,1,207,1,0,303,3002,207,0 144,0,2024-09-07 08:46:11:493,45467,0.6,46827,1.1,95111,0.5,125179,2.00 144,1,2024-09-07 08:46:10:565,362407,362407,0,0,169525704794,1783688920,358606,2880,921,381,391649,0 144,2,2024-09-07 08:46:11:758,263373,263373,0,0,10784650,0,3473 144,3,2024-09-07 08:46:11:739,1,207,0,0,249,2465,207,0 145,0,2024-09-07 08:46:11:365,50854,0.5,50822,0.7,107954,0.4,139894,2.00 145,1,2024-09-07 08:46:10:552,361734,361734,0,0,169960454613,1791056334,356468,4447,819,382,391698,0 145,2,2024-09-07 08:46:11:434,260210,260210,0,0,12113131,0,3903 145,3,2024-09-07 08:46:10:904,1,207,1,0,579,3196,207,0 146,0,2024-09-07 08:46:11:611,53276,0.5,52735,0.7,106354,0.5,141258,2.00 146,1,2024-09-07 08:46:11:607,363778,363778,0,0,170392672789,1793297564,357519,4876,1383,368,391770,0 146,2,2024-09-07 08:46:11:697,259311,259311,0,0,11685395,0,2498 146,3,2024-09-07 08:46:11:276,1,207,12,0,1520,5568,207,0 147,0,2024-09-07 08:46:11:695,53784,0.7,53716,0.8,106806,0.6,143489,2.25 147,1,2024-09-07 08:46:11:378,364622,364622,0,0,170409572324,1775507880,360902,3151,569,368,391791,0 147,2,2024-09-07 08:46:11:010,263384,263384,0,0,10704817,0,2789 147,3,2024-09-07 08:46:10:915,1,207,2,0,730,3275,207,0 0,0,2024-09-07 08:46:21:714,51781,0.5,51804,0.7,109828,0.5,142128,1.75 0,1,2024-09-07 08:46:20:807,365378,365378,0,0,171457288561,1797300497,362280,2896,202,372,391772,0 0,2,2024-09-07 08:46:21:070,260049,260049,0,0,11054907,0,4480 0,3,2024-09-07 08:46:20:977,1,208,1,0,247,2700,208,0 1,0,2024-09-07 08:46:21:764,55083,1.0,54610,1.0,109884,1.2,146361,2.00 1,1,2024-09-07 08:46:20:589,364863,364863,0,0,170206500146,1787167849,360868,3074,921,371,391857,0 1,2,2024-09-07 08:46:20:654,261371,261371,0,0,10411215,0,3267 1,3,2024-09-07 08:46:21:302,1,208,23,0,262,2645,208,0 2,0,2024-09-07 08:46:21:566,51072,0.9,51449,0.9,102170,1.2,136120,2.00 2,1,2024-09-07 08:46:20:859,365856,365856,0,0,171364351111,1783257507,363873,1765,218,380,391745,0 2,2,2024-09-07 08:46:21:268,265976,265976,0,0,11075704,0,3594 2,3,2024-09-07 08:46:20:691,1,208,0,0,357,2048,208,0 3,0,2024-09-07 08:46:21:797,49125,0.4,49188,0.6,98013,0.4,130829,2.00 3,1,2024-09-07 08:46:21:631,365420,365420,0,0,170603577663,1781138208,361720,3240,460,380,391523,0 3,2,2024-09-07 08:46:21:141,264480,264457,23,0,11361346,0,5851 3,3,2024-09-07 08:46:21:760,1,208,3,0,103,1355,208,0 4,0,2024-09-07 08:46:21:786,52048,0.4,53508,0.5,108889,0.4,142587,1.75 4,1,2024-09-07 08:46:20:591,365151,365151,0,0,170532202505,1792448379,361299,3176,676,371,391846,0 4,2,2024-09-07 08:46:21:018,258240,258240,0,0,12811709,0,4528 4,3,2024-09-07 08:46:21:027,1,208,1,0,448,3355,208,0 5,0,2024-09-07 08:46:21:375,54691,0.4,54843,0.6,109132,0.4,145527,1.75 5,1,2024-09-07 08:46:20:757,365110,365110,0,0,171026715279,1800642135,360440,3613,1057,368,392005,0 5,2,2024-09-07 08:46:21:836,259879,259879,0,0,11562938,0,2259 5,3,2024-09-07 08:46:21:736,1,208,3,0,278,2989,208,0 6,0,2024-09-07 08:46:20:935,52665,0.7,52170,0.9,104061,0.8,139495,2.25 6,1,2024-09-07 08:46:20:752,365619,365619,0,0,170504074832,1785505650,361346,3468,805,379,391634,0 6,2,2024-09-07 08:46:21:116,266455,266455,0,0,11964589,0,4816 6,3,2024-09-07 08:46:21:278,1,208,0,0,340,2679,208,0 7,0,2024-09-07 08:46:21:531,46930,0.5,47032,0.7,93772,0.5,125612,2.00 7,1,2024-09-07 08:46:20:851,364476,364476,0,0,171087973864,1799161944,359064,4438,974,382,391686,0 7,2,2024-09-07 08:46:20:780,263952,263952,0,0,11259920,0,2981 7,3,2024-09-07 08:46:20:853,1,208,42,0,305,2202,208,0 8,0,2024-09-07 08:46:21:449,52793,0.3,52984,0.5,105476,0.3,140859,1.50 8,1,2024-09-07 08:46:21:026,364391,364391,0,0,171149305786,1807138516,357331,5225,1835,367,391956,0 8,2,2024-09-07 08:46:20:803,257208,257208,0,0,13235968,0,2986 8,3,2024-09-07 08:46:20:588,1,208,74,0,357,3109,208,0 9,0,2024-09-07 08:46:21:113,54363,0.3,52747,0.5,110118,0.3,144800,1.75 9,1,2024-09-07 08:46:20:572,364690,364690,0,0,171046832119,1806976521,358694,4458,1538,370,392001,0 9,2,2024-09-07 08:46:21:084,261138,261138,0,0,11812983,0,3360 9,3,2024-09-07 08:46:21:758,1,208,12,0,496,3259,208,0 10,0,2024-09-07 08:46:21:607,53833,0.3,53436,0.5,107287,0.3,142897,1.75 10,1,2024-09-07 08:46:20:583,365102,365102,0,0,170817162403,1793729449,359360,4898,844,381,391741,0 10,2,2024-09-07 08:46:20:764,265466,265466,0,0,13334437,0,4264 10,3,2024-09-07 08:46:20:877,1,208,8,0,177,1760,208,0 11,0,2024-09-07 08:46:21:008,47104,0.5,45699,0.8,95679,0.5,127461,2.00 11,1,2024-09-07 08:46:20:573,365007,365007,0,0,170692125388,1800972972,357388,5705,1914,383,391537,0 11,2,2024-09-07 08:46:21:127,264323,264323,0,0,12223856,0,3411 11,3,2024-09-07 08:46:21:298,1,208,29,0,720,3076,208,0 12,0,2024-09-07 08:46:21:010,52998,0.3,52875,0.5,105707,0.3,141031,1.75 12,1,2024-09-07 08:46:20:962,365573,365573,0,0,170256821013,1778596919,362298,2856,419,370,391837,0 12,2,2024-09-07 08:46:21:545,263756,263756,0,0,12108023,0,3469 12,3,2024-09-07 08:46:21:059,1,208,1,0,386,3932,208,0 13,0,2024-09-07 08:46:21:387,53925,0.4,53770,0.5,107509,0.3,142842,1.75 13,1,2024-09-07 08:46:21:524,364441,364441,0,0,170474347425,1790787462,361291,2622,528,382,391717,0 13,2,2024-09-07 08:46:20:601,261147,261147,0,0,10977315,0,3287 13,3,2024-09-07 08:46:21:770,1,208,6,0,467,3665,208,0 14,0,2024-09-07 08:46:20:563,55119,0.4,55655,0.6,109993,0.4,146258,1.75 14,1,2024-09-07 08:46:21:572,367311,367311,0,0,171955787659,1783525870,364615,2507,189,364,391571,0 14,2,2024-09-07 08:46:20:765,262613,262613,0,0,11158390,0,2896 14,3,2024-09-07 08:46:21:116,1,208,1,0,1168,3245,208,0 15,0,2024-09-07 08:46:21:576,49042,0.6,49409,0.9,98666,0.7,130703,2.25 15,1,2024-09-07 08:46:21:609,365819,365819,0,0,170838087459,1778294396,363527,2148,144,381,391619,0 15,2,2024-09-07 08:46:21:004,266230,266230,0,0,9900816,0,3043 15,3,2024-09-07 08:46:21:406,1,208,1,0,1126,4738,208,0 16,0,2024-09-07 08:46:20:949,50055,0.7,50435,0.9,99903,0.8,134120,2.25 16,1,2024-09-07 08:46:20:564,365654,365654,0,0,170835401251,1790056498,362231,3137,286,370,391756,0 16,2,2024-09-07 08:46:21:443,264002,264002,0,0,12185988,0,4719 16,3,2024-09-07 08:46:21:142,1,208,2,0,317,2917,208,0 17,0,2024-09-07 08:46:21:772,55265,0.5,53937,0.8,105706,0.5,143156,2.00 17,1,2024-09-07 08:46:20:581,364265,364265,0,0,170500164804,1796216943,359441,3723,1101,368,391688,0 17,2,2024-09-07 08:46:21:668,261903,261903,0,0,11079345,0,2857 17,3,2024-09-07 08:46:20:576,1,208,1,0,268,3375,208,0 18,0,2024-09-07 08:46:20:943,54119,0.8,54286,0.9,108687,0.8,145029,2.50 18,1,2024-09-07 08:46:21:642,365690,365690,0,0,170791590236,1773825324,363233,2219,238,367,391564,0 18,2,2024-09-07 08:46:21:759,261700,261700,0,0,10854532,0,3541 18,3,2024-09-07 08:46:20:905,1,208,7,0,163,2195,208,0 19,0,2024-09-07 08:46:21:538,51349,0.9,51964,0.9,102510,1.0,136873,2.50 19,1,2024-09-07 08:46:20:566,365693,365693,0,0,171436692316,1787134501,361099,3810,784,367,391777,0 19,2,2024-09-07 08:46:21:753,268159,268159,0,0,10184898,0,3988 19,3,2024-09-07 08:46:21:133,1,208,2,0,524,1725,208,0 20,0,2024-09-07 08:46:21:397,47686,0.7,47575,0.8,95654,0.7,127575,2.25 20,1,2024-09-07 08:46:20:567,364948,364948,0,0,170985594690,1792010031,361239,3313,396,369,391886,0 20,2,2024-09-07 08:46:21:036,264697,264697,0,0,11505985,0,3721 20,3,2024-09-07 08:46:20:598,1,208,4,0,414,4325,208,0 21,0,2024-09-07 08:46:21:251,53835,0.5,54052,0.7,107850,0.5,143485,2.00 21,1,2024-09-07 08:46:21:537,364147,364147,0,0,170028965548,1795165578,358781,4133,1233,368,391962,0 21,2,2024-09-07 08:46:21:073,258805,258805,0,0,12410253,0,3747 21,3,2024-09-07 08:46:21:430,1,208,26,0,103,2360,208,0 22,0,2024-09-07 08:46:21:720,54423,0.7,54473,0.8,108435,0.7,144693,2.25 22,1,2024-09-07 08:46:21:023,364403,364403,0,0,170244266152,1795728340,358107,4708,1588,382,391667,0 22,2,2024-09-07 08:46:20:760,261182,261182,0,0,10964467,0,3134 22,3,2024-09-07 08:46:21:070,1,208,12,0,228,2108,208,0 23,0,2024-09-07 08:46:21:368,52229,0.7,52185,0.8,104883,0.8,139651,2.25 23,1,2024-09-07 08:46:21:003,365372,365372,0,0,171061204730,1801125042,358463,4360,2549,365,391550,0 23,2,2024-09-07 08:46:21:092,266192,266192,0,0,11092503,0,3010 23,3,2024-09-07 08:46:21:754,1,208,1,0,645,2568,208,0 24,0,2024-09-07 08:46:20:859,47788,0.5,47773,0.6,95651,0.4,127057,1.75 24,1,2024-09-07 08:46:20:598,365173,365173,0,0,170475527985,1787120792,361009,3136,1028,369,391640,0 24,2,2024-09-07 08:46:21:070,263420,263420,0,0,13380389,0,3607 24,3,2024-09-07 08:46:21:688,1,208,0,0,468,3032,208,0 25,0,2024-09-07 08:46:21:377,54406,0.4,53027,0.6,104063,0.3,142061,2.00 25,1,2024-09-07 08:46:20:581,365405,365405,0,0,170992245639,1792338834,361458,3401,546,371,391788,0 25,2,2024-09-07 08:46:21:610,260437,260437,0,0,13342964,0,3978 25,3,2024-09-07 08:46:21:001,1,208,25,0,255,2410,208,0 26,0,2024-09-07 08:46:21:722,53216,0.4,52011,0.6,109193,0.4,142097,1.75 26,1,2024-09-07 08:46:21:541,365824,365824,0,0,169973033772,1783814860,360327,4516,981,381,391748,0 26,2,2024-09-07 08:46:20:861,261372,261372,0,0,12342652,0,2809 26,3,2024-09-07 08:46:21:712,1,208,3,0,796,3011,208,0 27,0,2024-09-07 08:46:21:724,54394,0.5,54256,0.7,107582,0.5,143512,2.00 27,1,2024-09-07 08:46:21:678,367022,367022,0,0,171956801462,1787308581,364721,1972,329,381,391558,0 27,2,2024-09-07 08:46:20:872,262042,261977,65,0,13439836,0,5699 27,3,2024-09-07 08:46:21:015,1,208,1,0,564,2263,208,0 28,0,2024-09-07 08:46:21:415,47895,0.6,47966,0.8,96406,0.8,128244,2.25 28,1,2024-09-07 08:46:20:798,366346,366346,0,0,172251398481,1796855242,363525,2351,470,383,391646,0 28,2,2024-09-07 08:46:21:765,265293,265293,0,0,10963360,0,2915 28,3,2024-09-07 08:46:21:788,1,208,34,0,502,2391,208,0 29,0,2024-09-07 08:46:21:359,52937,0.3,51822,0.5,101056,0.2,138864,1.75 29,1,2024-09-07 08:46:21:561,367257,367257,0,0,171284932071,1776164598,364267,2427,563,369,391621,0 29,2,2024-09-07 08:46:20:861,263809,263809,0,0,10060082,0,4018 29,3,2024-09-07 08:46:20:981,1,208,25,0,115,1573,208,0 30,0,2024-09-07 08:46:21:458,53132,0.6,51710,0.8,108317,0.6,141515,2.00 30,1,2024-09-07 08:46:20:575,366885,366885,0,0,172483729563,1789946832,364697,1895,293,382,391672,0 30,2,2024-09-07 08:46:21:278,260605,260605,0,0,9916049,0,3161 30,3,2024-09-07 08:46:20:581,1,208,8,0,195,1470,208,0 31,0,2024-09-07 08:46:21:759,54540,0.5,54894,0.6,110219,0.4,146209,2.00 31,1,2024-09-07 08:46:20:565,367949,367949,0,0,172093702985,1765218702,366859,933,157,356,391712,0 31,2,2024-09-07 08:46:21:278,260955,260955,0,0,11425654,0,3525 31,3,2024-09-07 08:46:21:715,1,208,1,0,220,1796,208,0 32,0,2024-09-07 08:46:21:416,51039,0.4,51592,0.6,103068,0.4,136782,1.75 32,1,2024-09-07 08:46:20:805,366651,366651,0,0,171854778307,1785492023,364748,1626,277,381,391595,0 32,2,2024-09-07 08:46:20:947,266914,266914,0,0,9870315,0,3155 32,3,2024-09-07 08:46:21:015,1,208,1,0,227,1667,208,0 33,0,2024-09-07 08:46:21:492,49566,0.3,48921,0.5,98626,0.2,131727,1.75 33,1,2024-09-07 08:46:20:592,367225,367225,0,0,172445007908,1784658269,364707,2346,172,369,391730,0 33,2,2024-09-07 08:46:20:759,265150,265117,33,0,11910646,0,7012 33,3,2024-09-07 08:46:20:895,1,208,0,0,329,2514,208,0 34,0,2024-09-07 08:46:20:932,53662,0.4,55274,0.5,106055,0.3,141874,1.75 34,1,2024-09-07 08:46:21:044,367738,367738,0,0,172595692373,1777373306,366672,1059,7,367,391562,0 34,2,2024-09-07 08:46:20:771,259135,259135,0,0,10790996,0,3577 34,3,2024-09-07 08:46:21:687,1,208,8,0,148,1431,208,0 35,0,2024-09-07 08:46:20:925,54045,0.4,54544,0.5,109348,0.3,145737,1.75 35,1,2024-09-07 08:46:21:070,365513,365513,0,0,171727955269,1784769225,362246,2488,779,384,391589,0 35,2,2024-09-07 08:46:21:586,261898,261898,0,0,9994164,0,2653 35,3,2024-09-07 08:46:20:912,1,208,194,0,418,2606,208,0 36,0,2024-09-07 08:46:21:515,52331,0.8,52296,0.9,104687,0.8,139199,2.50 36,1,2024-09-07 08:46:20:608,365567,365567,0,0,171101420531,1791721663,360474,3783,1310,366,391759,0 36,2,2024-09-07 08:46:21:751,266028,266028,0,0,11788544,0,3303 36,3,2024-09-07 08:46:20:863,1,208,1,0,378,3343,208,0 37,0,2024-09-07 08:46:21:374,46907,0.5,46742,0.7,93818,0.5,126387,2.00 37,1,2024-09-07 08:46:20:573,364940,364933,0,7,170737492435,1793266505,359463,3440,2030,365,391560,0 37,2,2024-09-07 08:46:21:143,264133,264118,15,0,12094466,0,5815 37,3,2024-09-07 08:46:21:768,1,208,2,0,888,3989,208,0 38,0,2024-09-07 08:46:21:437,52662,0.4,51169,0.6,107174,0.3,140353,2.00 38,1,2024-09-07 08:46:21:605,366703,366703,0,0,171228335519,1790223796,362079,3972,652,368,391821,0 38,2,2024-09-07 08:46:20:760,259785,259738,47,0,12833108,0,6710 38,3,2024-09-07 08:46:20:997,1,208,1,0,689,3294,208,0 39,0,2024-09-07 08:46:21:759,55305,0.7,54360,0.7,105446,0.7,144744,2.00 39,1,2024-09-07 08:46:20:716,366212,366212,0,0,171584370250,1791135240,361807,3602,803,365,391594,0 39,2,2024-09-07 08:46:21:436,261243,261243,0,0,10743287,0,2689 39,3,2024-09-07 08:46:20:713,1,208,0,0,293,2944,208,0 40,0,2024-09-07 08:46:21:495,53034,0.8,53548,1.0,107203,0.8,142371,3.00 40,1,2024-09-07 08:46:20:586,365594,365594,0,0,170853194802,1793182075,359536,4825,1233,368,391591,0 40,2,2024-09-07 08:46:21:306,264456,264455,1,0,13466363,0,5137 40,3,2024-09-07 08:46:21:142,1,208,1,0,333,2497,208,0 41,0,2024-09-07 08:46:21:027,46917,1.4,48010,1.4,91807,2.7,126015,3.50 41,1,2024-09-07 08:46:20:772,365481,365481,0,0,171388119746,1793945223,360638,4282,561,370,391742,0 41,2,2024-09-07 08:46:20:765,262705,262705,0,0,13248190,0,3356 41,3,2024-09-07 08:46:21:676,1,208,32,0,366,2440,208,0 42,0,2024-09-07 08:46:21:495,52260,0.7,52343,0.9,104722,0.6,139048,2.50 42,1,2024-09-07 08:46:21:447,364131,364131,0,0,171676063501,1804147771,358538,4519,1074,380,391675,0 42,2,2024-09-07 08:46:21:133,262567,262567,0,0,12243324,0,3568 42,3,2024-09-07 08:46:21:009,1,208,6,0,446,1767,208,0 43,0,2024-09-07 08:46:20:921,53366,0.7,51963,0.9,108426,0.8,142483,2.00 43,1,2024-09-07 08:46:20:618,366026,366026,0,0,171728634865,1791285951,361919,3310,797,366,391696,0 43,2,2024-09-07 08:46:21:744,260898,260898,0,0,11426316,0,3812 43,3,2024-09-07 08:46:21:750,1,208,0,0,467,2753,208,0 44,0,2024-09-07 08:46:20:861,54876,0.5,55193,0.7,110063,0.4,146805,1.75 44,1,2024-09-07 08:46:20:565,366834,366834,0,0,170959258136,1768096103,364436,1968,430,356,391809,0 44,2,2024-09-07 08:46:21:267,261829,261829,0,0,9868099,0,1877 44,3,2024-09-07 08:46:21:093,1,208,1,0,817,2295,208,0 45,0,2024-09-07 08:46:21:768,48653,0.8,47662,1.0,100010,0.9,131403,2.00 45,1,2024-09-07 08:46:21:006,366086,366086,0,0,171486137567,1778398653,364757,1318,11,382,391917,0 45,2,2024-09-07 08:46:21:268,266227,266227,0,0,10810933,0,3596 45,3,2024-09-07 08:46:20:934,1,208,0,0,271,2451,208,0 46,0,2024-09-07 08:46:20:957,50194,0.4,50021,0.6,100237,0.4,133559,2.00 46,1,2024-09-07 08:46:20:576,367034,367034,0,0,171149778356,1769478524,364859,1903,272,366,391524,0 46,2,2024-09-07 08:46:20:593,264989,264989,0,0,10483236,0,2920 46,3,2024-09-07 08:46:21:131,1,208,3,0,908,3348,208,0 47,0,2024-09-07 08:46:21:118,53941,0.4,53937,0.6,108367,0.4,143158,1.75 47,1,2024-09-07 08:46:20:570,367105,367105,0,0,171060725629,1767851169,365123,1897,85,366,391605,0 47,2,2024-09-07 08:46:20:908,261199,261199,0,0,10297515,0,2558 47,3,2024-09-07 08:46:21:117,1,208,9,0,529,2175,208,0 48,0,2024-09-07 08:46:21:548,55129,0.3,54844,0.4,109210,0.2,145940,1.75 48,1,2024-09-07 08:46:21:022,366927,366927,0,0,171354588363,1782898713,364003,2625,299,384,391710,0 48,2,2024-09-07 08:46:20:716,261473,261473,0,0,9951553,0,3031 48,3,2024-09-07 08:46:20:775,1,208,6,0,339,1937,208,0 49,0,2024-09-07 08:46:21:714,53259,0.5,52238,0.6,101440,0.4,138942,1.75 49,1,2024-09-07 08:46:21:028,364777,364777,0,0,170574661562,1781701472,361074,2522,1181,382,391610,0 49,2,2024-09-07 08:46:21:797,267657,267657,0,0,10698436,0,3900 49,3,2024-09-07 08:46:21:425,1,208,3,0,408,2557,208,0 50,0,2024-09-07 08:46:21:508,47976,0.3,47408,0.5,95422,0.2,127612,1.75 50,1,2024-09-07 08:46:21:011,367520,367520,0,0,172049286237,1783285106,364818,2392,310,368,391530,0 50,2,2024-09-07 08:46:21:073,265184,265184,0,0,10080782,0,2263 50,3,2024-09-07 08:46:21:291,1,208,5,0,335,1824,208,0 51,0,2024-09-07 08:46:21:701,54939,0.3,53873,0.5,105133,0.2,143838,1.75 51,1,2024-09-07 08:46:21:691,366799,366799,0,0,172054192168,1780314223,364063,1797,939,365,391637,0 51,2,2024-09-07 08:46:21:317,259326,259326,0,0,9047782,0,3337 51,3,2024-09-07 08:46:21:031,1,208,7,0,678,1902,208,0 52,0,2024-09-07 08:46:21:426,54998,0.5,54791,0.6,109323,0.4,145335,2.00 52,1,2024-09-07 08:46:20:578,365161,365161,0,0,170077362389,1788002966,358835,5158,1168,368,391722,0 52,2,2024-09-07 08:46:21:755,259879,259841,38,0,12636749,0,6742 52,3,2024-09-07 08:46:20:676,1,208,4,0,1782,3939,208,0 53,0,2024-09-07 08:46:21:734,52060,0.8,50685,0.9,106095,1.0,138795,2.50 53,1,2024-09-07 08:46:20:773,364501,364501,0,0,171235292884,1802475440,358156,4309,2036,367,391702,0 53,2,2024-09-07 08:46:21:297,265961,265961,0,0,10669153,0,2262 53,3,2024-09-07 08:46:20:697,1,208,1,0,271,1968,208,0 54,0,2024-09-07 08:46:21:615,46288,1.1,46739,1.0,92633,0.6,124354,3.00 54,1,2024-09-07 08:46:20:580,365701,365701,0,0,171395625921,1785567920,361463,3667,571,367,391659,0 54,2,2024-09-07 08:46:20:865,264126,264120,6,0,12076632,0,5382 54,3,2024-09-07 08:46:20:763,1,208,2,0,676,3483,208,0 55,0,2024-09-07 08:46:21:760,51234,0.5,53045,0.7,107021,0.4,139634,2.25 55,1,2024-09-07 08:46:20:766,365018,365018,0,0,170354062497,1779495337,359503,4728,787,365,391731,0 55,2,2024-09-07 08:46:20:728,260581,260581,0,0,12006972,0,3275 55,3,2024-09-07 08:46:20:678,1,208,1,0,304,2670,208,0 56,0,2024-09-07 08:46:21:576,54625,1.2,51497,1.1,106044,1.7,141964,2.50 56,1,2024-09-07 08:46:20:582,364706,364706,0,0,171096044444,1809203511,358824,4939,943,381,391678,0 56,2,2024-09-07 08:46:21:318,261091,261091,0,0,12624168,0,3567 56,3,2024-09-07 08:46:21:062,1,208,1,0,705,3371,208,0 57,0,2024-09-07 08:46:20:941,53208,1.9,53352,1.4,106634,2.7,142546,3.25 57,1,2024-09-07 08:46:20:994,365452,365452,0,0,170412969219,1785349403,361498,3446,508,367,391960,0 57,2,2024-09-07 08:46:21:324,263730,263730,0,0,13541759,0,3317 57,3,2024-09-07 08:46:21:745,1,208,20,0,359,3016,208,0 58,0,2024-09-07 08:46:20:554,46855,0.9,45558,1.1,95444,1.0,124717,2.50 58,1,2024-09-07 08:46:20:577,365793,365790,0,3,171522254798,1794103715,360638,4458,694,367,391545,3 58,2,2024-09-07 08:46:21:071,265177,265177,0,0,12178063,0,2549 58,3,2024-09-07 08:46:21:070,1,208,1,0,1043,2623,208,0 59,0,2024-09-07 08:46:21:741,51641,0.6,51458,0.9,102810,0.6,137546,2.50 59,1,2024-09-07 08:46:20:807,365608,365608,0,0,171246465579,1791406859,361281,3344,983,369,391525,0 59,2,2024-09-07 08:46:20:583,264889,264889,0,0,11163881,0,2604 59,3,2024-09-07 08:46:21:741,1,208,29,0,1015,3300,208,0 60,0,2024-09-07 08:46:21:742,53538,0.5,53271,0.6,107185,0.4,142236,1.75 60,1,2024-09-07 08:46:20:790,367112,367112,0,0,172420415683,1790278559,364744,1851,517,370,392031,0 60,2,2024-09-07 08:46:21:153,260218,260218,0,0,11543890,0,3811 60,3,2024-09-07 08:46:21:263,1,208,4,0,124,1929,208,0 61,0,2024-09-07 08:46:21:499,54925,0.8,55171,0.9,109905,0.9,146389,2.00 61,1,2024-09-07 08:46:20:772,365719,365719,0,0,171106203502,1793597547,361558,3518,643,382,391880,0 61,2,2024-09-07 08:46:21:125,262256,262256,0,0,10817333,0,2090 61,3,2024-09-07 08:46:21:687,1,208,1,0,397,2928,208,0 62,0,2024-09-07 08:46:21:707,51616,0.9,52668,0.9,100417,1.2,136630,2.25 62,1,2024-09-07 08:46:21:111,367962,367956,0,6,172352079402,1780296728,365589,2251,116,365,391715,6 62,2,2024-09-07 08:46:21:644,265320,265319,1,0,12109047,0,5555 62,3,2024-09-07 08:46:21:144,1,208,7,0,287,1497,208,0 63,0,2024-09-07 08:46:21:459,49274,0.5,49220,0.6,98386,0.4,131520,1.75 63,1,2024-09-07 08:46:20:807,367471,367465,0,6,172152261403,1785210802,365928,1487,50,381,391677,6 63,2,2024-09-07 08:46:20:765,264871,264871,0,0,10072733,0,2674 63,3,2024-09-07 08:46:21:734,1,208,1,0,667,2705,208,0 64,0,2024-09-07 08:46:21:550,53105,0.5,53206,0.7,106699,0.4,141733,1.75 64,1,2024-09-07 08:46:20:753,365829,365829,0,0,171811411871,1797016387,361407,3008,1414,370,391783,0 64,2,2024-09-07 08:46:21:143,261611,261592,19,0,10924687,0,6121 64,3,2024-09-07 08:46:21:142,1,208,1,0,265,2382,208,0 65,0,2024-09-07 08:46:21:717,54015,0.7,54430,0.8,108670,0.7,144855,2.25 65,1,2024-09-07 08:46:20:865,364810,364810,0,0,170966225480,1792706480,361325,3042,443,382,391901,0 65,2,2024-09-07 08:46:21:704,261217,261217,0,0,11464662,0,3367 65,3,2024-09-07 08:46:21:683,1,208,24,0,163,2069,208,0 66,0,2024-09-07 08:46:21:763,51810,0.6,51911,0.8,104268,0.6,138351,2.25 66,1,2024-09-07 08:46:21:293,366594,366594,0,0,171575274636,1784203728,364238,2175,181,380,391588,0 66,2,2024-09-07 08:46:21:132,268967,268967,0,0,11435970,0,4956 66,3,2024-09-07 08:46:21:081,1,208,0,0,291,2379,208,0 67,0,2024-09-07 08:46:21:436,47432,0.8,47172,0.9,94823,1.1,126431,2.25 67,1,2024-09-07 08:46:20:771,365811,365810,0,1,171218259050,1789676578,362134,2929,747,380,391787,1 67,2,2024-09-07 08:46:20:583,265837,265837,0,0,10492419,0,2889 67,3,2024-09-07 08:46:21:750,1,208,2,0,338,1776,208,0 68,0,2024-09-07 08:46:20:583,53102,0.5,52999,0.7,105435,0.4,140987,2.00 68,1,2024-09-07 08:46:20:583,365107,365107,0,0,170152885364,1786314288,361466,2504,1137,381,391953,0 68,2,2024-09-07 08:46:21:048,259367,259302,65,0,13554673,0,6698 68,3,2024-09-07 08:46:20:727,1,208,1,0,417,2547,208,0 69,0,2024-09-07 08:46:21:738,53883,0.8,54242,0.9,107887,1.0,144097,2.25 69,1,2024-09-07 08:46:21:016,363912,363912,0,0,170928667935,1804437178,359358,3330,1224,384,391994,0 69,2,2024-09-07 08:46:21:736,261443,261443,0,0,12755490,0,3701 69,3,2024-09-07 08:46:20:777,1,208,12,0,698,3342,208,0 70,0,2024-09-07 08:46:21:531,53199,1.3,53157,1.2,106774,0.9,142019,2.50 70,1,2024-09-07 08:46:20:804,366207,366207,0,0,171990015106,1787634155,363130,2571,506,366,391725,0 70,2,2024-09-07 08:46:21:324,264954,264954,0,0,12020745,0,4044 70,3,2024-09-07 08:46:20:747,1,208,11,0,854,2627,208,0 71,0,2024-09-07 08:46:21:373,46969,1.5,46880,1.4,93601,2.1,126194,3.25 71,1,2024-09-07 08:46:21:595,365285,365285,0,0,170972116206,1789116604,360261,4409,615,368,391738,0 71,2,2024-09-07 08:46:21:071,264868,264868,0,0,12126908,0,2579 71,3,2024-09-07 08:46:21:750,1,208,2,0,644,3100,208,0 72,0,2024-09-07 08:46:21:029,54324,0.6,53155,0.8,103654,0.6,141336,2.25 72,1,2024-09-07 08:46:21:028,365881,365881,0,0,171059838166,1787273317,361694,3436,751,369,391819,0 72,2,2024-09-07 08:46:21:760,261985,261985,0,0,13210525,0,2570 72,3,2024-09-07 08:46:21:757,1,208,2,0,364,3636,208,0 73,0,2024-09-07 08:46:21:123,52352,0.4,53590,0.6,109760,0.4,142259,2.00 73,1,2024-09-07 08:46:20:767,366169,366169,0,0,171319778238,1781427885,363528,2427,214,367,391750,0 73,2,2024-09-07 08:46:21:758,261525,261525,0,0,12531518,0,3482 73,3,2024-09-07 08:46:20:981,1,208,18,0,274,3218,208,0 74,0,2024-09-07 08:46:21:325,55570,0.5,56647,0.7,107866,0.5,146301,2.25 74,1,2024-09-07 08:46:20:635,365773,365773,0,0,170983460689,1786620143,361961,3021,791,381,391681,0 74,2,2024-09-07 08:46:21:002,262344,262344,0,0,12627508,0,4253 74,3,2024-09-07 08:46:21:448,1,208,3,0,522,3090,208,0 75,0,2024-09-07 08:46:21:764,49486,0.8,49151,1.0,98679,0.8,131692,2.50 75,1,2024-09-07 08:46:21:586,364798,364798,0,0,170673411341,1787418005,360345,3791,662,381,391597,0 75,2,2024-09-07 08:46:21:352,265451,265451,0,0,12756947,0,4766 75,3,2024-09-07 08:46:21:069,1,208,0,0,702,3021,208,0 76,0,2024-09-07 08:46:20:725,49834,0.6,49481,0.8,98625,0.6,132716,2.50 76,1,2024-09-07 08:46:20:828,366056,366056,0,0,171109585252,1785703638,363515,1994,547,382,391692,0 76,2,2024-09-07 08:46:21:061,265566,265566,0,0,10960137,0,3784 76,3,2024-09-07 08:46:21:152,1,208,6,0,175,2306,208,0 77,0,2024-09-07 08:46:21:733,53595,0.6,53930,0.8,107549,0.6,142416,2.00 77,1,2024-09-07 08:46:20:859,366200,366200,0,0,170975535847,1786933642,363400,2567,233,383,391808,0 77,2,2024-09-07 08:46:21:285,259156,259156,0,0,10995633,0,3890 77,3,2024-09-07 08:46:21:098,1,208,1,0,305,2297,208,0 78,0,2024-09-07 08:46:21:718,54808,0.5,54349,0.7,109806,0.4,145151,2.00 78,1,2024-09-07 08:46:20:624,366049,366049,0,0,170768107601,1776249887,363104,2630,315,367,391646,0 78,2,2024-09-07 08:46:21:412,262030,262030,0,0,9989560,0,2114 78,3,2024-09-07 08:46:21:133,1,208,1,0,181,2044,208,0 79,0,2024-09-07 08:46:21:370,50276,0.5,51454,0.7,105298,0.4,136891,2.50 79,1,2024-09-07 08:46:20:577,367174,367174,0,0,171485361926,1776968994,364445,2393,336,369,391682,0 79,2,2024-09-07 08:46:21:071,267847,267847,0,0,10388802,0,3212 79,3,2024-09-07 08:46:20:751,1,208,1,0,418,3194,208,0 80,0,2024-09-07 08:46:21:088,47554,0.6,49027,0.8,93862,0.7,127228,2.25 80,1,2024-09-07 08:46:21:636,365304,365304,0,0,170952034970,1781796189,362527,2610,167,368,391791,0 80,2,2024-09-07 08:46:21:097,265534,265534,0,0,10818184,0,4433 80,3,2024-09-07 08:46:20:580,1,208,1,0,190,3149,208,0 81,0,2024-09-07 08:46:21:583,53557,0.5,55058,0.7,105066,0.4,142765,2.00 81,1,2024-09-07 08:46:21:670,365530,365530,0,0,170154751016,1780835943,362453,2720,357,382,391879,0 81,2,2024-09-07 08:46:21:127,259210,259210,0,0,11061666,0,3993 81,3,2024-09-07 08:46:21:120,1,208,37,0,374,2584,208,0 82,0,2024-09-07 08:46:21:543,54197,0.4,54635,0.7,109527,0.4,145497,2.00 82,1,2024-09-07 08:46:20:586,366130,366126,0,4,171124005382,1785062522,363322,2193,611,381,391558,4 82,2,2024-09-07 08:46:21:697,262016,262016,0,0,10505162,0,3986 82,3,2024-09-07 08:46:21:753,1,208,1,0,363,2575,208,0 83,0,2024-09-07 08:46:21:530,52578,0.6,52619,0.8,104438,0.7,139221,2.00 83,1,2024-09-07 08:46:20:551,365275,365275,0,0,170909449512,1785846889,362209,2823,243,382,391690,0 83,2,2024-09-07 08:46:20:765,265758,265758,0,0,10448142,0,3119 83,3,2024-09-07 08:46:20:749,1,208,4,0,250,2323,208,0 84,0,2024-09-07 08:46:21:772,46773,0.9,46784,1.1,93757,0.7,125470,2.50 84,1,2024-09-07 08:46:21:079,364829,364829,0,0,170906842474,1789218088,360831,3429,569,368,391967,0 84,2,2024-09-07 08:46:20:574,264375,264375,0,0,12166800,0,3801 84,3,2024-09-07 08:46:21:141,1,208,9,0,353,2770,208,0 85,0,2024-09-07 08:46:21:043,51207,0.5,51096,0.7,108467,0.5,140417,2.25 85,1,2024-09-07 08:46:20:613,364208,364208,0,0,170775637301,1808665172,357886,5223,1099,382,392006,0 85,2,2024-09-07 08:46:20:865,262458,262458,0,0,12556709,0,3656 85,3,2024-09-07 08:46:20:685,1,208,0,0,789,2859,208,0 86,0,2024-09-07 08:46:20:901,53460,0.6,54861,0.8,105207,0.7,141914,2.00 86,1,2024-09-07 08:46:20:829,365654,365654,0,0,171401214223,1794765503,361416,3693,545,366,391961,0 86,2,2024-09-07 08:46:20:855,260117,260116,1,0,13235054,0,5004 86,3,2024-09-07 08:46:20:586,1,208,1,0,286,2851,208,0 87,0,2024-09-07 08:46:21:285,53872,1.2,53571,1.0,107809,1.6,144009,2.25 87,1,2024-09-07 08:46:20:551,365711,365711,0,0,170940004495,1791610564,361605,3627,479,366,391788,0 87,2,2024-09-07 08:46:21:071,262543,262543,0,0,12052949,0,4045 87,3,2024-09-07 08:46:21:795,1,208,1,0,473,3589,208,0 88,0,2024-09-07 08:46:21:502,47986,0.6,48194,0.7,96281,0.7,127798,1.75 88,1,2024-09-07 08:46:20:569,364259,364259,0,0,170733296260,1795296423,358523,4266,1470,365,392084,0 88,2,2024-09-07 08:46:20:689,264863,264863,0,0,13919167,0,3583 88,3,2024-09-07 08:46:21:268,1,208,42,0,435,2700,208,0 89,0,2024-09-07 08:46:21:823,53177,0.4,51476,0.6,101938,0.4,138858,1.75 89,1,2024-09-07 08:46:20:551,363761,363761,0,0,171226844196,1809066330,357766,5050,945,382,391866,0 89,2,2024-09-07 08:46:21:133,263437,263437,0,0,12952684,0,2910 89,3,2024-09-07 08:46:21:796,1,208,14,0,325,4062,208,0 90,0,2024-09-07 08:46:21:622,51904,0.5,52994,0.6,108855,0.4,141297,1.75 90,1,2024-09-07 08:46:20:594,365792,365792,0,0,171259610753,1794319663,362463,3068,261,381,391825,0 90,2,2024-09-07 08:46:21:406,258723,258723,0,0,13693689,0,3060 90,3,2024-09-07 08:46:20:929,1,208,1,0,200,2302,208,0 91,0,2024-09-07 08:46:20:927,55329,0.4,53444,0.6,111598,0.4,146548,1.75 91,1,2024-09-07 08:46:20:574,364954,364954,0,0,170586363784,1794477114,360173,4134,647,381,392047,0 91,2,2024-09-07 08:46:21:333,261483,261483,0,0,12290182,0,2445 91,3,2024-09-07 08:46:20:599,1,208,0,0,216,2116,208,0 92,0,2024-09-07 08:46:21:482,51693,0.6,53054,0.8,101390,0.7,136971,1.75 92,1,2024-09-07 08:46:20:580,365212,365212,0,0,171224719219,1787467522,362895,1912,405,382,392136,0 92,2,2024-09-07 08:46:21:353,267673,267673,0,0,10941689,0,2801 92,3,2024-09-07 08:46:21:009,1,208,2,0,167,1725,208,0 93,0,2024-09-07 08:46:20:991,49405,0.5,50650,0.6,96777,0.4,131754,1.75 93,1,2024-09-07 08:46:20:808,366116,366116,0,0,171155793343,1787923379,362382,3107,627,366,391692,0 93,2,2024-09-07 08:46:20:941,265246,265246,0,0,11279024,0,2509 93,3,2024-09-07 08:46:21:419,1,208,4,0,190,2257,208,0 94,0,2024-09-07 08:46:21:602,53521,0.4,54228,0.6,107702,0.3,142604,1.75 94,1,2024-09-07 08:46:20:564,365151,365151,0,0,171666881016,1795321227,362235,2783,133,381,391850,0 94,2,2024-09-07 08:46:20:764,258925,258925,0,0,10160223,0,2443 94,3,2024-09-07 08:46:21:688,1,208,12,0,264,2936,208,0 95,0,2024-09-07 08:46:21:346,54817,0.3,54430,0.5,110069,0.3,145607,1.75 95,1,2024-09-07 08:46:20:852,365809,365809,0,0,171823449658,1789111289,362617,2842,350,367,391662,0 95,2,2024-09-07 08:46:21:016,261141,261141,0,0,10935832,0,3308 95,3,2024-09-07 08:46:21:719,1,208,0,0,718,4271,208,0 96,0,2024-09-07 08:46:21:056,52337,0.5,52612,0.6,105089,0.5,138949,1.75 96,1,2024-09-07 08:46:21:591,365258,365258,0,0,170451573410,1784527382,361888,2652,718,385,391894,0 96,2,2024-09-07 08:46:21:270,266523,266523,0,0,11770963,0,4042 96,3,2024-09-07 08:46:21:141,1,208,4,0,411,2567,208,0 97,0,2024-09-07 08:46:21:316,47438,0.4,47217,0.5,94732,0.3,126560,1.75 97,1,2024-09-07 08:46:20:766,366537,366537,0,0,171585434649,1783024570,363577,2407,553,367,392140,0 97,2,2024-09-07 08:46:20:615,264697,264697,0,0,10858641,0,3036 97,3,2024-09-07 08:46:20:574,1,208,3,0,165,2464,208,0 98,0,2024-09-07 08:46:21:709,52879,0.3,52944,0.4,106201,0.2,141076,1.50 98,1,2024-09-07 08:46:20:579,365890,365890,0,0,171188665222,1784008870,363827,1968,95,382,391997,0 98,2,2024-09-07 08:46:20:776,261328,261328,0,0,10652401,0,3080 98,3,2024-09-07 08:46:20:704,1,208,8,0,840,3679,208,0 99,0,2024-09-07 08:46:21:458,54334,0.3,54349,0.4,108457,0.3,145180,1.50 99,1,2024-09-07 08:46:21:731,366379,366379,0,0,170517916371,1782514073,362627,3013,739,381,391744,0 99,2,2024-09-07 08:46:21:428,263444,263444,0,0,11216537,0,3106 99,3,2024-09-07 08:46:20:581,1,208,1,0,129,1609,208,0 100,0,2024-09-07 08:46:21:534,53482,0.9,53517,1.1,106762,1.3,142692,2.50 100,1,2024-09-07 08:46:20:551,363597,363597,0,0,169764668347,1801763739,357061,5267,1269,381,391989,0 100,2,2024-09-07 08:46:21:824,263681,263670,11,0,12833561,0,5417 100,3,2024-09-07 08:46:21:736,1,208,1,0,559,4097,208,0 101,0,2024-09-07 08:46:21:758,48290,2.1,47300,1.4,92277,2.1,127545,2.75 101,1,2024-09-07 08:46:20:556,364346,364346,0,0,170453750178,1799478196,358265,4558,1523,368,391769,0 101,2,2024-09-07 08:46:21:783,263578,263578,0,0,13848905,0,4644 101,3,2024-09-07 08:46:20:960,1,208,1,0,448,2826,208,0 102,0,2024-09-07 08:46:20:946,51325,0.7,53175,0.8,106903,0.6,140759,2.00 102,1,2024-09-07 08:46:21:141,364683,364683,0,0,170699020718,1796472657,359774,4175,734,369,391883,0 102,2,2024-09-07 08:46:21:744,263384,263330,54,0,12755551,0,6768 102,3,2024-09-07 08:46:21:613,1,208,3,0,410,2310,208,0 103,0,2024-09-07 08:46:21:590,55205,0.5,55199,0.7,104210,0.4,143251,1.75 103,1,2024-09-07 08:46:21:631,363874,363874,0,0,170289696950,1799834846,357872,4624,1378,381,391829,0 103,2,2024-09-07 08:46:20:588,259692,259692,0,0,11612462,0,2107 103,3,2024-09-07 08:46:20:758,1,208,9,0,916,3385,208,0 104,0,2024-09-07 08:46:21:020,54501,1.0,54897,1.1,108541,1.0,146363,2.50 104,1,2024-09-07 08:46:21:621,365792,365792,0,0,170718944147,1796512549,360434,4387,971,365,391948,0 104,2,2024-09-07 08:46:21:688,261613,261613,0,0,12799273,0,3941 104,3,2024-09-07 08:46:21:423,1,208,1,0,1245,5915,208,0 105,0,2024-09-07 08:46:21:039,48916,1.2,47495,1.3,99355,1.9,130597,3.50 105,1,2024-09-07 08:46:20:573,365760,365760,0,0,170759859998,1792212336,360828,4128,804,367,391797,0 105,2,2024-09-07 08:46:21:324,265419,265419,0,0,12409814,0,3509 105,3,2024-09-07 08:46:21:308,1,208,2,0,399,3838,208,0 106,0,2024-09-07 08:46:20:956,48301,0.8,49480,0.9,101389,0.8,132612,2.50 106,1,2024-09-07 08:46:21:752,365411,365411,0,0,170468898167,1790905641,360107,4826,478,369,391767,0 106,2,2024-09-07 08:46:20:757,262646,262646,0,0,12379165,0,2795 106,3,2024-09-07 08:46:20:677,1,208,7,0,470,3226,208,0 107,0,2024-09-07 08:46:21:097,53714,0.9,53586,0.9,106838,1.1,142224,2.00 107,1,2024-09-07 08:46:20:588,364456,364456,0,0,170615758098,1795345088,360241,3800,415,381,392234,0 107,2,2024-09-07 08:46:21:291,258971,258970,1,0,12326519,0,5024 107,3,2024-09-07 08:46:21:757,1,208,13,0,353,3241,208,0 108,0,2024-09-07 08:46:21:786,54887,0.4,55101,0.6,109265,0.4,145855,1.75 108,1,2024-09-07 08:46:21:293,365629,365629,0,0,170610507925,1780036492,362852,2463,314,368,391857,0 108,2,2024-09-07 08:46:21:758,260289,260289,0,0,11749376,0,2647 108,3,2024-09-07 08:46:21:330,1,208,2,0,749,4627,208,0 109,0,2024-09-07 08:46:21:767,52199,0.4,51616,0.6,103653,0.4,138933,1.75 109,1,2024-09-07 08:46:20:599,364995,364995,0,0,171530700894,1800548935,361823,2671,501,383,392132,0 109,2,2024-09-07 08:46:20:921,266199,266199,0,0,12003855,0,3617 109,3,2024-09-07 08:46:21:140,1,208,4,0,249,2686,208,0 110,0,2024-09-07 08:46:21:862,47894,0.4,46683,0.7,97402,0.4,127789,2.00 110,1,2024-09-07 08:46:21:662,366066,366066,0,0,171439024879,1782272537,363304,1993,769,370,391667,0 110,2,2024-09-07 08:46:21:309,265170,265170,0,0,11059720,0,2915 110,3,2024-09-07 08:46:20:689,1,208,8,0,406,2901,208,0 111,0,2024-09-07 08:46:21:419,54162,0.4,53703,0.5,107103,0.3,144326,1.75 111,1,2024-09-07 08:46:21:002,366744,366744,0,0,171806862312,1782569110,364916,1494,334,382,391690,0 111,2,2024-09-07 08:46:21:116,259284,259284,0,0,10993747,0,2763 111,3,2024-09-07 08:46:20:913,1,208,1,0,379,3070,208,0 112,0,2024-09-07 08:46:20:919,54718,0.3,54637,0.4,109252,0.2,145519,1.50 112,1,2024-09-07 08:46:20:828,366788,366788,0,0,171055111657,1777405751,364389,1972,427,380,391580,0 112,2,2024-09-07 08:46:21:138,261181,261180,1,0,11278416,0,5036 112,3,2024-09-07 08:46:20:597,1,208,1,0,282,2363,208,0 113,0,2024-09-07 08:46:20:885,52417,0.3,52321,0.5,105158,0.3,140415,1.75 113,1,2024-09-07 08:46:21:685,366977,366977,0,0,172272445784,1781401082,364490,1998,489,366,391661,0 113,2,2024-09-07 08:46:21:308,266887,266887,0,0,10525490,0,3813 113,3,2024-09-07 08:46:20:685,1,208,4,0,340,3498,208,0 114,0,2024-09-07 08:46:20:885,47685,0.5,48204,0.6,95183,0.4,127552,1.75 114,1,2024-09-07 08:46:20:716,365534,365534,0,0,172088935377,1798597786,360732,3214,1588,381,391556,0 114,2,2024-09-07 08:46:20:873,264742,264741,1,0,11589558,0,5069 114,3,2024-09-07 08:46:21:286,1,208,2,0,395,2443,208,0 115,0,2024-09-07 08:46:20:559,52968,0.3,53258,0.4,106312,0.2,141556,1.50 115,1,2024-09-07 08:46:20:573,365485,365485,0,0,171480566106,1788419377,361160,3492,833,382,391602,0 115,2,2024-09-07 08:46:21:132,262665,262665,0,0,9732848,0,2152 115,3,2024-09-07 08:46:21:002,1,208,5,0,159,1270,208,0 116,0,2024-09-07 08:46:21:745,53468,0.7,53184,0.9,106641,0.7,142651,2.00 116,1,2024-09-07 08:46:20:809,363578,363578,0,0,170394701819,1809465087,357514,4012,2052,380,391782,0 116,2,2024-09-07 08:46:21:751,260121,260121,0,0,13804690,0,3529 116,3,2024-09-07 08:46:20:920,1,208,11,0,252,2826,208,0 117,0,2024-09-07 08:46:21:059,53927,1.0,53925,1.0,107729,1.2,144124,2.00 117,1,2024-09-07 08:46:21:583,365031,365031,0,0,170962477124,1792838668,360615,3940,476,370,392033,0 117,2,2024-09-07 08:46:21:121,265004,265004,0,0,11100356,0,3700 117,3,2024-09-07 08:46:21:069,1,208,0,0,490,3741,208,0 118,0,2024-09-07 08:46:21:773,46698,0.8,47923,0.8,97660,0.9,127548,2.25 118,1,2024-09-07 08:46:20:586,364881,364881,0,0,169948751163,1791759786,358995,4459,1427,366,391736,0 118,2,2024-09-07 08:46:21:591,264680,264680,0,0,12375696,0,2781 118,3,2024-09-07 08:46:21:765,1,208,3,0,235,2516,208,0 119,0,2024-09-07 08:46:21:332,51201,0.5,51559,0.7,103581,0.5,137850,2.00 119,1,2024-09-07 08:46:20:573,365810,365810,0,0,171041427779,1786511974,362419,3081,310,368,391641,0 119,2,2024-09-07 08:46:21:262,263881,263881,0,0,11588106,0,3526 119,3,2024-09-07 08:46:21:325,1,208,0,0,443,3268,208,0 120,0,2024-09-07 08:46:21:559,53084,0.5,53052,0.8,106405,0.5,141916,2.00 120,1,2024-09-07 08:46:20:859,365261,365261,0,0,170413856730,1790291104,361103,3813,345,368,391961,0 120,2,2024-09-07 08:46:20:776,259439,259438,1,0,13561534,0,5281 120,3,2024-09-07 08:46:21:294,1,208,2,0,241,2957,208,0 121,0,2024-09-07 08:46:21:692,54766,1.1,55140,1.0,109871,1.5,146226,2.25 121,1,2024-09-07 08:46:21:663,365538,365538,0,0,171460877831,1794922294,361826,3310,402,367,391840,0 121,2,2024-09-07 08:46:21:133,260519,260519,0,0,13028888,0,4127 121,3,2024-09-07 08:46:20:729,1,208,0,0,269,2593,208,0 122,0,2024-09-07 08:46:21:777,51090,1.0,49730,1.0,104236,1.2,136944,2.25 122,1,2024-09-07 08:46:20:859,364535,364535,0,0,170641345594,1793700628,359298,4497,740,366,392130,0 122,2,2024-09-07 08:46:21:326,265622,265549,73,0,15770087,0,5989 122,3,2024-09-07 08:46:20:598,1,208,9,0,411,4627,208,0 123,0,2024-09-07 08:46:20:959,49106,0.7,47999,0.8,99837,0.7,131331,2.00 123,1,2024-09-07 08:46:20:562,364969,364969,0,0,170457217880,1802478516,357098,6310,1561,369,391823,0 123,2,2024-09-07 08:46:21:022,262795,262794,1,0,12712052,0,5215 123,3,2024-09-07 08:46:21:133,1,208,1,0,168,2536,208,0 124,0,2024-09-07 08:46:20:951,55473,0.3,55445,0.5,104597,0.3,143190,1.75 124,1,2024-09-07 08:46:21:022,366486,366486,0,0,171275361285,1782056957,363862,2262,362,367,392178,0 124,2,2024-09-07 08:46:21:019,259410,259410,0,0,10387696,0,3101 124,3,2024-09-07 08:46:20:761,1,208,3,0,490,2575,208,0 125,0,2024-09-07 08:46:21:445,54719,0.4,54606,0.5,109498,0.3,145665,1.75 125,1,2024-09-07 08:46:20:858,365477,365477,0,0,170907076410,1784092207,362923,2276,278,383,391702,0 125,2,2024-09-07 08:46:21:120,261978,261978,0,0,10574538,0,2180 125,3,2024-09-07 08:46:21:130,1,208,1,0,284,2625,208,0 126,0,2024-09-07 08:46:21:479,52517,0.6,53904,0.7,103090,0.7,139790,1.75 126,1,2024-09-07 08:46:20:555,366383,366383,0,0,171931678487,1785177032,363832,2292,259,365,391987,0 126,2,2024-09-07 08:46:20:618,268061,268061,0,0,11471819,0,3186 126,3,2024-09-07 08:46:20:914,1,208,8,0,183,3027,208,0 127,0,2024-09-07 08:46:21:600,47363,0.4,47565,0.5,94737,0.3,126641,1.75 127,1,2024-09-07 08:46:20:574,366142,366142,0,0,171609273805,1780786653,363404,2586,152,365,391816,0 127,2,2024-09-07 08:46:20:646,265119,265119,0,0,10572387,0,2264 127,3,2024-09-07 08:46:21:267,1,208,1,0,243,1755,208,0 128,0,2024-09-07 08:46:21:643,53368,0.3,53223,0.4,106246,0.2,141248,1.50 128,1,2024-09-07 08:46:21:625,366249,366249,0,0,170933670450,1774781016,364287,1806,156,367,391680,0 128,2,2024-09-07 08:46:21:398,260126,260126,0,0,10097535,0,2434 128,3,2024-09-07 08:46:20:771,1,208,7,0,1082,4431,208,0 129,0,2024-09-07 08:46:20:997,54639,0.3,54346,0.5,108669,0.3,144687,1.50 129,1,2024-09-07 08:46:20:573,364154,364154,0,0,170803937464,1794557019,360056,3171,927,379,391835,0 129,2,2024-09-07 08:46:20:685,261960,261960,0,0,10957957,0,4031 129,3,2024-09-07 08:46:20:688,1,208,17,0,469,3017,208,0 130,0,2024-09-07 08:46:21:724,53937,0.6,53767,0.7,107878,0.7,143603,1.75 130,1,2024-09-07 08:46:20:595,365965,365965,0,0,171504771041,1787226227,363600,2290,75,381,391825,0 130,2,2024-09-07 08:46:21:129,266211,266211,0,0,10828630,0,4067 130,3,2024-09-07 08:46:21:291,1,208,216,0,450,2860,208,0 131,0,2024-09-07 08:46:21:935,47612,0.5,47906,0.6,96447,0.5,127669,1.75 131,1,2024-09-07 08:46:21:827,366469,366469,0,0,171534299121,1790222224,363677,2353,439,383,391865,0 131,2,2024-09-07 08:46:20:571,265814,265814,0,0,10082095,0,2415 131,3,2024-09-07 08:46:21:688,1,208,1,0,392,2140,208,0 132,0,2024-09-07 08:46:21:427,52305,0.5,52966,0.7,106056,0.4,141179,2.00 132,1,2024-09-07 08:46:20:576,364459,364459,0,0,170216254267,1799147407,358345,5034,1080,381,392097,0 132,2,2024-09-07 08:46:20:702,262918,262918,0,0,13378929,0,4606 132,3,2024-09-07 08:46:21:720,1,208,10,0,356,3339,208,0 133,0,2024-09-07 08:46:21:625,52311,0.4,53509,0.6,109671,0.4,142051,1.75 133,1,2024-09-07 08:46:20:588,363687,363687,0,0,170971275632,1802941938,358648,4393,646,383,391914,0 133,2,2024-09-07 08:46:21:087,259809,259809,0,0,13265573,0,4315 133,3,2024-09-07 08:46:21:306,1,208,1,0,276,2140,208,0 134,0,2024-09-07 08:46:20:948,54886,0.5,54803,0.7,110139,0.5,146271,2.00 134,1,2024-09-07 08:46:20:586,364583,364583,0,0,170543205208,1793175580,359023,4161,1399,366,391718,0 134,2,2024-09-07 08:46:21:756,262094,262094,0,0,11536344,0,3847 134,3,2024-09-07 08:46:20:748,1,208,9,0,739,3403,208,0 135,0,2024-09-07 08:46:21:099,47779,1.2,47797,1.1,101450,1.4,130224,2.25 135,1,2024-09-07 08:46:21:590,364054,364054,0,0,171036722578,1800436932,358995,4162,897,380,391805,0 135,2,2024-09-07 08:46:20:688,265582,265582,0,0,13057382,0,3981 135,3,2024-09-07 08:46:21:003,1,208,0,0,299,1470,208,0 136,0,2024-09-07 08:46:21:630,50503,0.5,50390,0.7,100741,0.5,134355,2.25 136,1,2024-09-07 08:46:21:455,364638,364638,0,0,170568762804,1793958566,359667,4429,542,382,391685,0 136,2,2024-09-07 08:46:21:135,265078,265078,0,0,12116528,0,3506 136,3,2024-09-07 08:46:21:108,1,208,1,0,126,1731,208,0 137,0,2024-09-07 08:46:20:927,55201,0.7,53633,0.8,105837,0.7,143352,2.00 137,1,2024-09-07 08:46:20:577,364309,364309,0,0,170787965209,1795783779,357958,4981,1370,366,391708,0 137,2,2024-09-07 08:46:21:715,258700,258700,0,0,13685170,0,3185 137,3,2024-09-07 08:46:20:770,1,208,4,0,227,2347,208,0 138,0,2024-09-07 08:46:21:744,54313,0.9,54461,1.0,109202,1.1,145493,2.25 138,1,2024-09-07 08:46:21:687,364995,364995,0,0,171248420541,1797917270,359491,4616,888,368,391954,0 138,2,2024-09-07 08:46:20:587,261542,261542,0,0,12344976,0,4988 138,3,2024-09-07 08:46:20:612,1,208,8,0,1160,3573,208,0 139,0,2024-09-07 08:46:21:369,50922,2.5,50973,1.5,102840,3.6,137394,2.75 139,1,2024-09-07 08:46:20:691,363197,363197,0,0,170211134112,1810980160,355973,5349,1875,381,391892,0 139,2,2024-09-07 08:46:20:693,265260,265260,0,0,13000873,0,3097 139,3,2024-09-07 08:46:21:664,1,208,1,0,244,2316,208,0 140,0,2024-09-07 08:46:21:589,48319,0.4,47724,0.6,96264,0.3,127992,1.75 140,1,2024-09-07 08:46:21:538,366884,366884,0,0,172035723547,1774773015,365128,1516,240,365,391606,0 140,2,2024-09-07 08:46:20:687,265816,265816,0,0,10860281,0,3388 140,3,2024-09-07 08:46:20:767,1,208,2,0,247,1758,208,0 141,0,2024-09-07 08:46:21:696,53999,0.3,55398,0.5,105804,0.2,144346,1.75 141,1,2024-09-07 08:46:20:859,366874,366874,0,0,171638134902,1781769888,364800,1719,355,379,391614,0 141,2,2024-09-07 08:46:21:686,259805,259805,0,0,10023270,0,2342 141,3,2024-09-07 08:46:21:043,1,208,1,0,176,1745,208,0 142,0,2024-09-07 08:46:21:332,55277,0.3,54756,0.5,108844,0.2,145792,1.50 142,1,2024-09-07 08:46:20:589,365543,365543,0,0,171465642350,1789983210,363086,2214,243,383,392102,0 142,2,2024-09-07 08:46:21:316,261017,260985,32,0,11794487,0,6028 142,3,2024-09-07 08:46:21:751,1,208,8,0,484,2823,208,0 143,0,2024-09-07 08:46:21:505,52690,0.6,52577,0.7,105912,0.6,140248,1.75 143,1,2024-09-07 08:46:20:562,366118,366118,0,0,171058095705,1775952451,363790,2282,46,367,391619,0 143,2,2024-09-07 08:46:20:795,266026,266026,0,0,11345713,0,2669 143,3,2024-09-07 08:46:21:155,1,208,8,0,303,3010,208,0 144,0,2024-09-07 08:46:21:497,45713,0.6,47080,1.1,95612,0.5,125842,2.00 144,1,2024-09-07 08:46:20:567,364235,364235,0,0,170621867527,1795292784,360414,2900,921,381,391649,0 144,2,2024-09-07 08:46:21:755,264825,264825,0,0,10836201,0,3473 144,3,2024-09-07 08:46:21:741,1,208,2,0,249,2467,208,0 145,0,2024-09-07 08:46:21:396,51230,0.5,51246,0.7,108753,0.4,140979,2.25 145,1,2024-09-07 08:46:20:554,363437,363437,0,0,170806048442,1799780642,358171,4447,819,382,391698,0 145,2,2024-09-07 08:46:21:453,260876,260876,0,0,12150946,0,3903 145,3,2024-09-07 08:46:20:913,1,208,51,0,579,3247,208,0 146,0,2024-09-07 08:46:21:624,53433,0.5,52917,0.7,106674,0.5,141763,2.00 146,1,2024-09-07 08:46:21:598,365534,365534,0,0,171140417876,1800978805,359275,4876,1383,368,391770,0 146,2,2024-09-07 08:46:21:711,260901,260901,0,0,11721529,0,2498 146,3,2024-09-07 08:46:21:278,1,208,65,0,1520,5633,208,0 147,0,2024-09-07 08:46:21:737,53870,0.7,53821,0.8,107014,0.6,143738,2.25 147,1,2024-09-07 08:46:21:380,366294,366294,0,0,171082381516,1782842189,362568,3157,569,368,391791,0 147,2,2024-09-07 08:46:21:041,264917,264917,0,0,10832210,0,2789 147,3,2024-09-07 08:46:20:917,1,208,8,0,730,3283,208,0 0,0,2024-09-07 08:46:31:744,51868,0.5,51927,0.7,110066,0.5,142434,1.75 0,1,2024-09-07 08:46:30:815,367136,367136,0,0,172277719640,1805833864,364038,2896,202,372,391772,0 0,2,2024-09-07 08:46:31:071,261486,261486,0,0,11140008,0,4480 0,3,2024-09-07 08:46:30:998,1,209,4,0,247,2704,209,0 1,0,2024-09-07 08:46:31:796,55211,1.0,54746,1.0,110101,1.2,146701,2.00 1,1,2024-09-07 08:46:30:591,366687,366687,0,0,171007871368,1795453942,362692,3074,921,371,391857,0 1,2,2024-09-07 08:46:30:673,262614,262614,0,0,10545799,0,3267 1,3,2024-09-07 08:46:31:309,1,209,6,0,262,2651,209,0 2,0,2024-09-07 08:46:31:572,51171,0.9,51553,0.9,102357,1.2,136120,2.00 2,1,2024-09-07 08:46:30:867,367636,367636,0,0,172234627232,1792163563,365653,1765,218,380,391745,0 2,2,2024-09-07 08:46:31:269,267093,267093,0,0,11153727,0,3594 2,3,2024-09-07 08:46:30:697,1,209,3,0,357,2051,209,0 3,0,2024-09-07 08:46:31:758,49546,0.5,49553,0.6,98795,0.4,131737,2.00 3,1,2024-09-07 08:46:31:620,367165,367165,0,0,171404774051,1789405516,363465,3240,460,380,391523,0 3,2,2024-09-07 08:46:31:145,265907,265884,23,0,11399565,0,5851 3,3,2024-09-07 08:46:31:766,1,209,1,0,103,1356,209,0 4,0,2024-09-07 08:46:31:919,52151,0.4,53603,0.5,109102,0.4,142822,1.75 4,1,2024-09-07 08:46:30:600,366773,366773,0,0,171484954830,1802640758,362882,3215,676,371,391846,0 4,2,2024-09-07 08:46:31:019,259617,259617,0,0,12886483,0,4528 4,3,2024-09-07 08:46:31:028,1,209,1,0,448,3356,209,0 5,0,2024-09-07 08:46:31:384,54967,0.4,55086,0.6,109632,0.4,146115,1.75 5,1,2024-09-07 08:46:30:772,366795,366795,0,0,171969482886,1810818607,362067,3671,1057,368,392005,0 5,2,2024-09-07 08:46:31:856,261125,261125,0,0,11675799,0,2259 5,3,2024-09-07 08:46:31:749,1,209,2,0,278,2991,209,0 6,0,2024-09-07 08:46:30:933,52943,0.7,52433,0.9,104589,0.8,140365,2.25 6,1,2024-09-07 08:46:30:770,367181,367181,0,0,171348655124,1794476796,362901,3475,805,379,391634,0 6,2,2024-09-07 08:46:31:120,267692,267692,0,0,12038274,0,4816 6,3,2024-09-07 08:46:31:275,1,209,13,0,340,2692,209,0 7,0,2024-09-07 08:46:31:531,47311,0.5,47416,0.7,94492,0.5,126317,2.00 7,1,2024-09-07 08:46:30:854,366243,366243,0,0,171796608553,1807375637,360693,4576,974,382,391686,0 7,2,2024-09-07 08:46:30:789,265323,265323,0,0,11310944,0,2981 7,3,2024-09-07 08:46:30:854,1,209,1,0,305,2203,209,0 8,0,2024-09-07 08:46:31:369,53200,0.3,53397,0.5,106273,0.3,142214,1.50 8,1,2024-09-07 08:46:31:043,366160,366160,0,0,172121376209,1817092813,359100,5225,1835,367,391956,0 8,2,2024-09-07 08:46:30:813,257966,257966,0,0,13252333,0,2986 8,3,2024-09-07 08:46:30:588,1,209,1,0,357,3110,209,0 9,0,2024-09-07 08:46:31:179,54701,0.3,53112,0.5,110779,0.3,145598,1.75 9,1,2024-09-07 08:46:30:562,366439,366439,0,0,171879401727,1815931922,360443,4458,1538,370,392001,0 9,2,2024-09-07 08:46:31:098,262655,262655,0,0,11856309,0,3360 9,3,2024-09-07 08:46:31:763,1,209,11,0,496,3270,209,0 10,0,2024-09-07 08:46:31:613,53990,0.3,53591,0.5,107637,0.3,143288,1.75 10,1,2024-09-07 08:46:30:590,366865,366865,0,0,171637682323,1802119622,361123,4898,844,381,391741,0 10,2,2024-09-07 08:46:30:771,266900,266900,0,0,13374508,0,4264 10,3,2024-09-07 08:46:30:870,1,209,6,0,177,1766,209,0 11,0,2024-09-07 08:46:31:025,47404,0.5,45990,0.7,96221,0.5,128086,2.00 11,1,2024-09-07 08:46:30:575,366829,366829,0,0,171691568950,1811192175,359210,5705,1914,383,391537,0 11,2,2024-09-07 08:46:31:128,265690,265690,0,0,12265986,0,3411 11,3,2024-09-07 08:46:31:308,1,209,1,0,720,3077,209,0 12,0,2024-09-07 08:46:31:000,53383,0.3,53305,0.5,106509,0.3,142046,1.75 12,1,2024-09-07 08:46:30:948,367330,367330,0,0,171180477188,1788039726,364055,2856,419,370,391837,0 12,2,2024-09-07 08:46:31:548,264510,264510,0,0,12119823,0,3469 12,3,2024-09-07 08:46:31:074,1,209,14,0,386,3946,209,0 13,0,2024-09-07 08:46:31:358,54090,0.4,53903,0.5,107789,0.3,143318,1.75 13,1,2024-09-07 08:46:31:524,366203,366203,0,0,171318247528,1799538138,363053,2622,528,382,391717,0 13,2,2024-09-07 08:46:30:622,262651,262651,0,0,11000156,0,3287 13,3,2024-09-07 08:46:31:764,1,209,1,0,467,3666,209,0 14,0,2024-09-07 08:46:30:562,55228,0.4,55786,0.6,110223,0.4,146496,1.75 14,1,2024-09-07 08:46:31:570,369082,369082,0,0,172612366097,1790316450,366386,2507,189,364,391571,0 14,2,2024-09-07 08:46:30:773,263955,263955,0,0,11216186,0,2896 14,3,2024-09-07 08:46:31:119,1,209,1,0,1168,3246,209,0 15,0,2024-09-07 08:46:31:555,49088,0.6,49472,0.9,98793,0.7,131033,2.25 15,1,2024-09-07 08:46:31:610,367599,367599,0,0,171549473111,1785619420,365307,2148,144,381,391619,0 15,2,2024-09-07 08:46:31:010,267329,267329,0,0,9911961,0,3043 15,3,2024-09-07 08:46:31:405,1,209,1,0,1126,4739,209,0 16,0,2024-09-07 08:46:30:965,50501,0.7,50803,0.9,100718,0.8,135443,2.25 16,1,2024-09-07 08:46:30:573,367560,367560,0,0,171825135029,1800656080,364041,3225,294,370,391756,0 16,2,2024-09-07 08:46:31:449,265210,265210,0,0,12214091,0,4719 16,3,2024-09-07 08:46:31:160,1,209,10,0,317,2927,209,0 17,0,2024-09-07 08:46:31:797,55380,0.5,54024,0.8,105922,0.5,143457,2.00 17,1,2024-09-07 08:46:30:577,366067,366067,0,0,171239710575,1803955698,361243,3723,1101,368,391688,0 17,2,2024-09-07 08:46:31:678,263203,263203,0,0,11145634,0,2857 17,3,2024-09-07 08:46:30:573,1,209,126,0,268,3501,209,0 18,0,2024-09-07 08:46:30:969,54284,0.8,54440,0.9,108995,0.8,145306,2.50 18,1,2024-09-07 08:46:31:646,367472,367472,0,0,171761723348,1783745796,365015,2219,238,367,391564,0 18,2,2024-09-07 08:46:31:756,263017,263017,0,0,10890713,0,3541 18,3,2024-09-07 08:46:30:899,1,209,5,0,163,2200,209,0 19,0,2024-09-07 08:46:31:544,51589,0.9,52227,0.9,103035,1.0,137421,2.50 19,1,2024-09-07 08:46:30:572,367445,367445,0,0,172236696413,1795255382,362850,3810,785,367,391777,0 19,2,2024-09-07 08:46:31:757,269277,269277,0,0,10222371,0,3988 19,3,2024-09-07 08:46:31:129,1,209,28,0,524,1753,209,0 20,0,2024-09-07 08:46:31:358,47998,0.7,47937,0.8,96331,0.7,128741,2.25 20,1,2024-09-07 08:46:30:575,366661,366661,0,0,171683383922,1799337690,362951,3314,396,369,391886,0 20,2,2024-09-07 08:46:30:933,265969,265969,0,0,11595187,0,3721 20,3,2024-09-07 08:46:30:599,1,209,2,0,414,4327,209,0 21,0,2024-09-07 08:46:31:181,54185,0.5,54393,0.7,108580,0.5,143886,2.00 21,1,2024-09-07 08:46:31:542,365928,365928,0,0,171004111473,1805489292,360553,4142,1233,368,391962,0 21,2,2024-09-07 08:46:31:070,259924,259924,0,0,12489958,0,3747 21,3,2024-09-07 08:46:31:405,1,209,1,0,103,2361,209,0 22,0,2024-09-07 08:46:31:729,54754,0.7,54772,0.8,109022,0.7,145453,2.25 22,1,2024-09-07 08:46:31:043,366143,366143,0,0,170998780386,1804039772,359772,4782,1589,382,391667,0 22,2,2024-09-07 08:46:30:768,262418,262418,0,0,11065839,0,3134 22,3,2024-09-07 08:46:31:070,1,209,19,0,228,2127,209,0 23,0,2024-09-07 08:46:31:372,52416,0.7,52365,0.8,105244,0.8,140254,2.25 23,1,2024-09-07 08:46:31:010,367046,367046,0,0,171727627852,1808163877,360137,4360,2549,365,391550,0 23,2,2024-09-07 08:46:31:105,267637,267637,0,0,11161133,0,3010 23,3,2024-09-07 08:46:31:754,1,209,6,0,645,2574,209,0 24,0,2024-09-07 08:46:30:929,48130,0.5,48091,0.6,96332,0.4,128274,1.75 24,1,2024-09-07 08:46:30:605,366947,366947,0,0,171229099325,1794894349,362783,3136,1028,369,391640,0 24,2,2024-09-07 08:46:31:117,264829,264829,0,0,13438010,0,3607 24,3,2024-09-07 08:46:31:698,1,209,16,0,468,3048,209,0 25,0,2024-09-07 08:46:31:352,54747,0.4,53369,0.6,104699,0.3,142776,1.75 25,1,2024-09-07 08:46:30:568,367206,367206,0,0,171929912257,1801960287,363259,3401,546,371,391788,0 25,2,2024-09-07 08:46:31:621,261157,261157,0,0,13361646,0,3978 25,3,2024-09-07 08:46:31:001,1,209,11,0,255,2421,209,0 26,0,2024-09-07 08:46:31:719,53489,0.4,52252,0.6,109722,0.4,143019,1.75 26,1,2024-09-07 08:46:31:543,367573,367573,0,0,170990435998,1794191494,362076,4516,981,381,391748,0 26,2,2024-09-07 08:46:30:867,262788,262788,0,0,12388894,0,2809 26,3,2024-09-07 08:46:31:712,1,209,7,0,796,3018,209,0 27,0,2024-09-07 08:46:31:744,54541,0.5,54404,0.7,107836,0.4,144039,2.00 27,1,2024-09-07 08:46:31:678,368733,368733,0,0,172795315574,1795910795,366432,1972,329,381,391558,0 27,2,2024-09-07 08:46:30:867,263661,263596,65,0,13515605,0,5699 27,3,2024-09-07 08:46:31:017,1,209,2,0,564,2265,209,0 28,0,2024-09-07 08:46:31:411,48132,0.6,48173,0.8,96846,0.8,129155,2.25 28,1,2024-09-07 08:46:30:806,368179,368179,0,0,173156169851,1806078723,365358,2351,470,383,391646,0 28,2,2024-09-07 08:46:31:764,266422,266422,0,0,10979993,0,2915 28,3,2024-09-07 08:46:31:776,1,209,0,0,502,2391,209,0 29,0,2024-09-07 08:46:31:388,53453,0.3,52272,0.5,102000,0.2,140016,1.75 29,1,2024-09-07 08:46:31:587,369035,369035,0,0,172194834409,1785673563,366044,2428,563,369,391621,0 29,2,2024-09-07 08:46:30:869,264797,264797,0,0,10081186,0,4018 29,3,2024-09-07 08:46:30:987,1,209,11,0,115,1584,209,0 30,0,2024-09-07 08:46:31:463,53243,0.6,51823,0.8,108540,0.6,141832,2.00 30,1,2024-09-07 08:46:30:577,368693,368693,0,0,173231418813,1797566697,366505,1895,293,382,391672,0 30,2,2024-09-07 08:46:31:277,262203,262203,0,0,9940641,0,3161 30,3,2024-09-07 08:46:30:585,1,209,12,0,195,1482,209,0 31,0,2024-09-07 08:46:31:764,54664,0.4,55013,0.6,110458,0.4,146553,2.00 31,1,2024-09-07 08:46:30:573,369820,369820,0,0,172990095877,1774442974,368725,938,157,356,391712,0 31,2,2024-09-07 08:46:31:277,262341,262341,0,0,11442723,0,3525 31,3,2024-09-07 08:46:31:707,1,209,0,0,220,1796,209,0 32,0,2024-09-07 08:46:31:446,51144,0.4,51692,0.6,103258,0.4,136784,1.75 32,1,2024-09-07 08:46:30:807,368426,368426,0,0,172604547746,1793181048,366523,1626,277,381,391595,0 32,2,2024-09-07 08:46:30:964,267981,267981,0,0,9901399,0,3155 32,3,2024-09-07 08:46:31:018,1,209,1,0,227,1668,209,0 33,0,2024-09-07 08:46:31:519,49954,0.3,49327,0.5,99398,0.2,132679,1.75 33,1,2024-09-07 08:46:30:579,368963,368963,0,0,173270012527,1793151045,366444,2347,172,369,391730,0 33,2,2024-09-07 08:46:30:772,266497,266464,33,0,11939935,0,7012 33,3,2024-09-07 08:46:30:902,1,209,1,0,329,2515,209,0 34,0,2024-09-07 08:46:30:944,53758,0.4,55399,0.5,106248,0.3,142112,1.75 34,1,2024-09-07 08:46:31:123,369383,369383,0,0,173119653255,1782858824,368317,1059,7,367,391562,0 34,2,2024-09-07 08:46:30:767,260516,260516,0,0,10832151,0,3577 34,3,2024-09-07 08:46:31:704,1,209,20,0,148,1451,209,0 35,0,2024-09-07 08:46:30:866,54312,0.4,54794,0.5,109878,0.3,146317,1.75 35,1,2024-09-07 08:46:31:071,367350,367350,0,0,172736205104,1795120498,364082,2489,779,384,391589,0 35,2,2024-09-07 08:46:31:583,263078,263078,0,0,10036075,0,2653 35,3,2024-09-07 08:46:30:910,1,209,10,0,418,2616,209,0 36,0,2024-09-07 08:46:31:537,52572,0.8,52588,0.9,105237,0.8,139816,2.50 36,1,2024-09-07 08:46:30:589,367304,367304,0,0,171813053074,1799884730,362082,3893,1329,366,391759,0 36,2,2024-09-07 08:46:31:762,267400,267400,0,0,12084846,0,3303 36,3,2024-09-07 08:46:30:868,1,209,416,0,416,3759,209,0 37,0,2024-09-07 08:46:31:375,47261,0.5,47092,0.7,94573,0.5,126988,2.00 37,1,2024-09-07 08:46:30:572,366645,366638,0,7,171596519449,1802366567,361164,3444,2030,365,391560,0 37,2,2024-09-07 08:46:31:151,265470,265455,15,0,12140442,0,5815 37,3,2024-09-07 08:46:31:767,1,209,111,0,888,4100,209,0 38,0,2024-09-07 08:46:31:440,53049,0.4,51580,0.6,107948,0.3,141126,2.00 38,1,2024-09-07 08:46:31:606,368423,368423,0,0,172007871859,1798262468,363799,3972,652,368,391821,0 38,2,2024-09-07 08:46:30:768,260609,260562,47,0,12859030,0,6710 38,3,2024-09-07 08:46:31:016,1,209,73,0,689,3367,209,0 39,0,2024-09-07 08:46:31:806,55630,0.6,54667,0.7,106091,0.7,145416,2.00 39,1,2024-09-07 08:46:30:738,367740,367740,0,0,172363341494,1799515874,363286,3651,803,365,391594,0 39,2,2024-09-07 08:46:31:419,262496,262496,0,0,10837049,0,2689 39,3,2024-09-07 08:46:30:744,1,209,324,0,324,3268,209,0 40,0,2024-09-07 08:46:31:495,53204,0.8,53713,1.0,107544,0.8,142803,3.00 40,1,2024-09-07 08:46:30:580,367396,367396,0,0,171668917710,1801534418,361338,4825,1233,368,391591,0 40,2,2024-09-07 08:46:31:310,265994,265993,1,0,13501813,0,5137 40,3,2024-09-07 08:46:31:142,1,209,1,0,333,2498,209,0 41,0,2024-09-07 08:46:31:055,47191,1.4,48324,1.4,92370,2.7,126648,3.50 41,1,2024-09-07 08:46:30:776,367155,367155,0,0,171936324220,1799683756,362310,4284,561,370,391742,0 41,2,2024-09-07 08:46:30:775,264059,264059,0,0,13292265,0,3356 41,3,2024-09-07 08:46:31:681,1,209,1,0,366,2441,209,0 42,0,2024-09-07 08:46:31:475,52652,0.6,52722,0.9,105491,0.6,140074,2.25 42,1,2024-09-07 08:46:31:443,365941,365941,0,0,172385037637,1811406104,360348,4519,1074,380,391675,0 42,2,2024-09-07 08:46:31:135,263343,263343,0,0,12266811,0,3568 42,3,2024-09-07 08:46:31:009,1,209,114,0,446,1881,209,0 43,0,2024-09-07 08:46:30:920,53516,0.7,52101,0.9,108712,0.8,142948,2.00 43,1,2024-09-07 08:46:30:576,367755,367755,0,0,172532373631,1799507730,363648,3310,797,366,391696,0 43,2,2024-09-07 08:46:31:755,262380,262380,0,0,11461061,0,3812 43,3,2024-09-07 08:46:31:754,1,209,2,0,467,2755,209,0 44,0,2024-09-07 08:46:30:862,54980,0.5,55315,0.7,110271,0.4,147044,1.75 44,1,2024-09-07 08:46:30:568,368610,368610,0,0,171828841984,1776901339,366212,1968,430,356,391809,0 44,2,2024-09-07 08:46:31:272,263284,263284,0,0,9884707,0,1877 44,3,2024-09-07 08:46:31:095,1,209,1,0,817,2296,209,0 45,0,2024-09-07 08:46:31:779,48718,0.8,47712,1.0,100123,0.9,131740,2.00 45,1,2024-09-07 08:46:31:030,367749,367749,0,0,172289872398,1786589112,366420,1318,11,382,391917,0 45,2,2024-09-07 08:46:31:276,267415,267415,0,0,10822200,0,3596 45,3,2024-09-07 08:46:30:955,1,209,11,0,271,2462,209,0 46,0,2024-09-07 08:46:30:953,50630,0.4,50418,0.6,101082,0.4,134876,2.00 46,1,2024-09-07 08:46:30:574,368858,368858,0,0,172128285592,1779410825,366683,1903,272,366,391524,0 46,2,2024-09-07 08:46:30:598,266226,266226,0,0,10497643,0,2920 46,3,2024-09-07 08:46:31:132,1,209,9,0,908,3357,209,0 47,0,2024-09-07 08:46:31:109,54068,0.4,54022,0.6,108577,0.4,143452,1.75 47,1,2024-09-07 08:46:30:572,368912,368912,0,0,171749865540,1774913274,366930,1897,85,366,391605,0 47,2,2024-09-07 08:46:30:920,262725,262725,0,0,10317609,0,2558 47,3,2024-09-07 08:46:31:118,1,209,2,0,529,2177,209,0 48,0,2024-09-07 08:46:31:520,55298,0.3,54995,0.4,109536,0.2,146201,1.75 48,1,2024-09-07 08:46:31:138,368712,368712,0,0,172140874907,1790968163,365788,2625,299,384,391710,0 48,2,2024-09-07 08:46:30:708,262781,262781,0,0,9997687,0,3031 48,3,2024-09-07 08:46:30:754,1,209,2,0,339,1939,209,0 49,0,2024-09-07 08:46:31:721,53538,0.5,52510,0.6,101970,0.4,139475,1.75 49,1,2024-09-07 08:46:31:029,366527,366527,0,0,171523580495,1791390964,362824,2522,1181,382,391610,0 49,2,2024-09-07 08:46:31:805,268824,268824,0,0,10722567,0,3900 49,3,2024-09-07 08:46:31:418,1,209,2,0,408,2559,209,0 50,0,2024-09-07 08:46:31:519,48340,0.3,47736,0.5,96136,0.2,128775,1.75 50,1,2024-09-07 08:46:31:014,369278,369278,0,0,172771375861,1790777968,366575,2393,310,368,391530,0 50,2,2024-09-07 08:46:31:072,266563,266563,0,0,10120740,0,2263 50,3,2024-09-07 08:46:31:292,1,209,15,0,335,1839,209,0 51,0,2024-09-07 08:46:31:691,55347,0.3,54170,0.5,105744,0.2,144191,1.75 51,1,2024-09-07 08:46:31:688,368562,368562,0,0,173054766856,1790449005,365826,1797,939,365,391637,0 51,2,2024-09-07 08:46:31:327,260418,260418,0,0,9069329,0,3337 51,3,2024-09-07 08:46:31:038,1,209,2,0,678,1904,209,0 52,0,2024-09-07 08:46:31:422,55289,0.5,55097,0.6,109942,0.4,146109,2.00 52,1,2024-09-07 08:46:30:584,366988,366988,0,0,170922668407,1796722632,360662,5158,1168,368,391722,0 52,2,2024-09-07 08:46:31:766,260963,260925,38,0,12758008,0,6742 52,3,2024-09-07 08:46:30:675,1,209,17,0,1782,3956,209,0 53,0,2024-09-07 08:46:31:736,52247,0.8,50853,0.9,106489,1.0,139367,2.50 53,1,2024-09-07 08:46:30:781,366309,366309,0,0,172046925386,1810969878,359964,4309,2036,367,391702,0 53,2,2024-09-07 08:46:31:309,267522,267522,0,0,10871060,0,2262 53,3,2024-09-07 08:46:30:705,1,209,47,0,271,2015,209,0 54,0,2024-09-07 08:46:31:620,46615,1.1,47078,1.0,93272,0.6,125519,3.00 54,1,2024-09-07 08:46:30:591,367444,367444,0,0,172298924195,1795197957,363180,3693,571,367,391659,0 54,2,2024-09-07 08:46:30:868,265550,265544,6,0,12160611,0,5382 54,3,2024-09-07 08:46:30:767,1,209,16,0,676,3499,209,0 55,0,2024-09-07 08:46:31:801,51562,0.5,53362,0.7,107687,0.4,140388,2.25 55,1,2024-09-07 08:46:30:772,366765,366765,0,0,171199113599,1788330758,361250,4728,787,365,391731,0 55,2,2024-09-07 08:46:30:742,261254,261254,0,0,12036136,0,3275 55,3,2024-09-07 08:46:30:682,1,209,1,0,304,2671,209,0 56,0,2024-09-07 08:46:31:580,54920,1.2,51728,1.1,106578,1.7,142896,2.50 56,1,2024-09-07 08:46:30:577,366437,366437,0,0,171879910062,1817398674,360555,4939,943,381,391678,0 56,2,2024-09-07 08:46:31:310,262715,262715,0,0,12674587,0,3567 56,3,2024-09-07 08:46:31:068,1,209,1,0,705,3372,209,0 57,0,2024-09-07 08:46:30:942,53334,1.9,53484,1.3,106898,2.6,143019,3.25 57,1,2024-09-07 08:46:30:988,367269,367269,0,0,171443070323,1795885323,363315,3446,508,367,391960,0 57,2,2024-09-07 08:46:31:320,265216,265216,0,0,13624430,0,3317 57,3,2024-09-07 08:46:31:739,1,209,2,0,359,3018,209,0 58,0,2024-09-07 08:46:30:583,47072,0.9,45776,1.1,95938,0.9,125615,2.50 58,1,2024-09-07 08:46:30:592,367493,367490,0,3,172626091040,1805370458,362337,4459,694,367,391545,3 58,2,2024-09-07 08:46:31:072,266311,266311,0,0,12219611,0,2549 58,3,2024-09-07 08:46:31:070,1,209,9,0,1043,2632,209,0 59,0,2024-09-07 08:46:31:742,52157,0.6,51918,0.8,103760,0.6,138745,2.50 59,1,2024-09-07 08:46:30:808,367293,367293,0,0,171891577799,1798043993,362966,3344,983,369,391525,0 59,2,2024-09-07 08:46:30:586,265998,265998,0,0,11192752,0,2604 59,3,2024-09-07 08:46:31:739,1,209,1,0,1015,3301,209,0 60,0,2024-09-07 08:46:31:711,53648,0.5,53384,0.6,107407,0.4,142558,1.75 60,1,2024-09-07 08:46:30:796,368816,368816,0,0,172997786202,1796195660,366448,1851,517,370,392031,0 60,2,2024-09-07 08:46:31:147,261729,261729,0,0,11614193,0,3811 60,3,2024-09-07 08:46:31:263,1,209,1,0,124,1930,209,0 61,0,2024-09-07 08:46:31:522,55064,0.8,55299,0.9,110154,0.9,146720,2.00 61,1,2024-09-07 08:46:30:777,367472,367472,0,0,171903622655,1801744692,363311,3518,643,382,391880,0 61,2,2024-09-07 08:46:31:131,263619,263619,0,0,10845342,0,2090 61,3,2024-09-07 08:46:31:693,1,209,6,0,397,2934,209,0 62,0,2024-09-07 08:46:31:707,51718,0.9,52759,0.9,100595,1.2,136630,2.25 62,1,2024-09-07 08:46:31:120,369750,369744,0,6,173260649817,1789502961,367377,2251,116,365,391715,6 62,2,2024-09-07 08:46:31:646,266413,266412,1,0,12118318,0,5555 62,3,2024-09-07 08:46:31:153,1,209,8,0,287,1505,209,0 63,0,2024-09-07 08:46:31:462,49692,0.5,49654,0.6,99184,0.4,132461,1.75 63,1,2024-09-07 08:46:30:811,369245,369239,0,6,173029385621,1794181535,367702,1487,50,381,391677,6 63,2,2024-09-07 08:46:30:776,266203,266203,0,0,10089230,0,2674 63,3,2024-09-07 08:46:31:732,1,209,2,0,667,2707,209,0 64,0,2024-09-07 08:46:31:514,53215,0.5,53316,0.7,106890,0.4,141978,1.75 64,1,2024-09-07 08:46:30:751,367667,367667,0,0,172641350348,1805569563,363244,3009,1414,370,391783,0 64,2,2024-09-07 08:46:31:147,262972,262953,19,0,10965448,0,6121 64,3,2024-09-07 08:46:31:139,1,209,1,0,265,2383,209,0 65,0,2024-09-07 08:46:31:704,54268,0.6,54702,0.8,109168,0.7,145424,2.25 65,1,2024-09-07 08:46:30:859,366631,366631,0,0,171589944097,1799448799,363146,3042,443,382,391901,0 65,2,2024-09-07 08:46:31:709,262494,262494,0,0,11559442,0,3367 65,3,2024-09-07 08:46:31:687,1,209,1,0,163,2070,209,0 66,0,2024-09-07 08:46:31:771,52091,0.6,52209,0.8,104812,0.6,139221,2.25 66,1,2024-09-07 08:46:31:292,368317,368317,0,0,172323404188,1791972467,365961,2175,181,380,391588,0 66,2,2024-09-07 08:46:31:132,270297,270297,0,0,11471267,0,4956 66,3,2024-09-07 08:46:31:086,1,209,15,0,291,2394,209,0 67,0,2024-09-07 08:46:31:414,47823,0.8,47496,0.9,95532,1.1,127117,2.25 67,1,2024-09-07 08:46:30:773,367647,367646,0,1,172058871981,1798355192,363969,2930,747,380,391787,1 67,2,2024-09-07 08:46:30:586,267238,267238,0,0,10545740,0,2889 67,3,2024-09-07 08:46:31:754,1,209,0,0,338,1776,209,0 68,0,2024-09-07 08:46:30:583,53485,0.5,53420,0.7,106201,0.5,142380,2.00 68,1,2024-09-07 08:46:30:581,366925,366925,0,0,171263958180,1798202037,363206,2582,1137,381,391953,0 68,2,2024-09-07 08:46:31:056,260144,260079,65,0,13590901,0,6698 68,3,2024-09-07 08:46:30:736,1,209,0,0,417,2547,209,0 69,0,2024-09-07 08:46:31:848,54248,0.8,54557,0.9,108533,1.0,144913,2.25 69,1,2024-09-07 08:46:31:018,365606,365606,0,0,171716289006,1812653110,361050,3332,1224,384,391994,0 69,2,2024-09-07 08:46:31:738,262818,262818,0,0,12854315,0,3701 69,3,2024-09-07 08:46:30:767,1,209,5,0,698,3347,209,0 70,0,2024-09-07 08:46:31:544,53369,1.3,53317,1.2,107132,0.9,142460,2.50 70,1,2024-09-07 08:46:30:807,367752,367752,0,0,172593807075,1794363833,364647,2599,506,366,391725,0 70,2,2024-09-07 08:46:31:332,266413,266413,0,0,12093898,0,4044 70,3,2024-09-07 08:46:30:771,1,209,8,0,854,2635,209,0 71,0,2024-09-07 08:46:31:359,47236,1.5,47186,1.4,94174,2.1,126839,3.25 71,1,2024-09-07 08:46:31:598,367036,367036,0,0,171781690150,1797432042,362012,4409,615,368,391738,0 71,2,2024-09-07 08:46:31:077,266211,266211,0,0,12172590,0,2579 71,3,2024-09-07 08:46:31:754,1,209,2,0,644,3102,209,0 72,0,2024-09-07 08:46:31:057,54737,0.6,53591,0.8,104519,0.5,142350,2.25 72,1,2024-09-07 08:46:31:036,367713,367713,0,0,171806091273,1794985621,363526,3436,751,369,391819,0 72,2,2024-09-07 08:46:31:764,262787,262787,0,0,13226183,0,2570 72,3,2024-09-07 08:46:31:770,1,209,1,0,364,3637,209,0 73,0,2024-09-07 08:46:31:103,52499,0.4,53721,0.6,110042,0.4,142713,2.00 73,1,2024-09-07 08:46:30:786,367898,367898,0,0,172078561074,1789276090,365257,2427,214,367,391750,0 73,2,2024-09-07 08:46:31:755,262970,262970,0,0,12572579,0,3482 73,3,2024-09-07 08:46:30:986,1,209,3,0,274,3221,209,0 74,0,2024-09-07 08:46:31:336,55672,0.5,56766,0.7,108106,0.5,146544,2.25 74,1,2024-09-07 08:46:30:644,367529,367529,0,0,171595935550,1793007324,363717,3021,791,381,391681,0 74,2,2024-09-07 08:46:31:011,263710,263710,0,0,12682676,0,4253 74,3,2024-09-07 08:46:31:445,1,209,28,0,522,3118,209,0 75,0,2024-09-07 08:46:31:777,49549,0.8,49222,1.0,98798,0.8,132019,2.50 75,1,2024-09-07 08:46:31:592,366518,366518,0,0,171626086289,1797134339,362065,3791,662,381,391597,0 75,2,2024-09-07 08:46:31:352,266564,266564,0,0,12825686,0,4766 75,3,2024-09-07 08:46:31:068,1,209,5,0,702,3026,209,0 76,0,2024-09-07 08:46:30:606,50255,0.6,49924,0.8,99470,0.6,134050,2.50 76,1,2024-09-07 08:46:30:812,367932,367932,0,0,172356184352,1798453028,365391,1994,547,382,391692,0 76,2,2024-09-07 08:46:31:080,266762,266762,0,0,10984792,0,3784 76,3,2024-09-07 08:46:31:142,1,209,4,0,175,2310,209,0 77,0,2024-09-07 08:46:31:729,53697,0.6,54036,0.8,107757,0.6,142713,2.00 77,1,2024-09-07 08:46:30:838,368012,368012,0,0,171789198709,1795241686,365211,2568,233,383,391808,0 77,2,2024-09-07 08:46:31:281,260566,260566,0,0,11030798,0,3890 77,3,2024-09-07 08:46:31:101,1,209,4,0,305,2301,209,0 78,0,2024-09-07 08:46:31:747,54973,0.5,54499,0.7,110110,0.4,145394,2.00 78,1,2024-09-07 08:46:30:619,367858,367858,0,0,171456991629,1783306223,364913,2630,315,367,391646,0 78,2,2024-09-07 08:46:31:407,263286,263286,0,0,10002062,0,2114 78,3,2024-09-07 08:46:31:139,1,209,11,0,181,2055,209,0 79,0,2024-09-07 08:46:31:380,50519,0.5,51724,0.7,105843,0.4,137387,2.50 79,1,2024-09-07 08:46:30:579,368937,368937,0,0,172515203071,1787402432,366208,2393,336,369,391682,0 79,2,2024-09-07 08:46:31:073,269047,269047,0,0,10409468,0,3212 79,3,2024-09-07 08:46:30:749,1,209,2,0,418,3196,209,0 80,0,2024-09-07 08:46:31:094,47893,0.6,49338,0.8,94583,0.7,128326,2.25 80,1,2024-09-07 08:46:31:628,367036,367036,0,0,171790959689,1790392192,364258,2611,167,368,391791,0 80,2,2024-09-07 08:46:31:103,266910,266910,0,0,10848644,0,4433 80,3,2024-09-07 08:46:30:588,1,209,10,0,190,3159,209,0 81,0,2024-09-07 08:46:31:534,53939,0.5,55316,0.7,106118,0.5,143284,2.00 81,1,2024-09-07 08:46:31:658,367311,367311,0,0,170930897679,1788797619,364234,2720,357,382,391879,0 81,2,2024-09-07 08:46:31:139,260296,260296,0,0,11080444,0,3993 81,3,2024-09-07 08:46:31:120,1,209,1,0,374,2585,209,0 82,0,2024-09-07 08:46:31:539,54498,0.4,54931,0.7,110127,0.4,146265,2.00 82,1,2024-09-07 08:46:30:589,367859,367855,0,4,171968492009,1793771996,365051,2193,611,381,391558,4 82,2,2024-09-07 08:46:31:697,263259,263259,0,0,10537369,0,3986 82,3,2024-09-07 08:46:31:754,1,209,2,0,363,2577,209,0 83,0,2024-09-07 08:46:31:525,52769,0.6,52787,0.8,104814,0.6,139776,2.00 83,1,2024-09-07 08:46:30:559,367057,367057,0,0,171609827192,1793298338,363963,2851,243,382,391690,0 83,2,2024-09-07 08:46:30:775,267121,267121,0,0,10480632,0,3119 83,3,2024-09-07 08:46:30:767,1,209,1,0,250,2324,209,0 84,0,2024-09-07 08:46:31:803,47116,0.9,47139,1.1,94499,0.8,126722,2.50 84,1,2024-09-07 08:46:31:049,366713,366713,0,0,171847209597,1799893078,362565,3579,569,368,391967,0 84,2,2024-09-07 08:46:30:577,265794,265794,0,0,12273218,0,3801 84,3,2024-09-07 08:46:31:143,1,209,25,0,353,2795,209,0 85,0,2024-09-07 08:46:31:008,51528,0.5,51488,0.7,109161,0.5,141166,2.25 85,1,2024-09-07 08:46:30:563,365971,365971,0,0,171558351980,1817254463,359618,5254,1099,382,392006,0 85,2,2024-09-07 08:46:30:870,263136,263136,0,0,12604439,0,3656 85,3,2024-09-07 08:46:30:703,1,209,7,0,789,2866,209,0 86,0,2024-09-07 08:46:30:883,53696,0.6,55108,0.8,105696,0.7,142791,2.00 86,1,2024-09-07 08:46:30:835,367384,367384,0,0,172158754725,1802599291,363146,3693,545,366,391961,0 86,2,2024-09-07 08:46:30:855,261667,261666,1,0,13303308,0,5004 86,3,2024-09-07 08:46:30:588,1,209,12,0,286,2863,209,0 87,0,2024-09-07 08:46:31:383,54013,1.2,53710,1.0,108067,1.6,144502,2.25 87,1,2024-09-07 08:46:30:565,367419,367419,0,0,171637773239,1798831347,363313,3627,479,366,391788,0 87,2,2024-09-07 08:46:31:072,264051,264051,0,0,12107008,0,4045 87,3,2024-09-07 08:46:31:804,1,209,8,0,473,3597,209,0 88,0,2024-09-07 08:46:31:482,48220,0.6,48433,0.7,96721,0.7,128723,1.75 88,1,2024-09-07 08:46:30:574,365988,365988,0,0,171477525620,1802933377,360252,4266,1470,365,392084,0 88,2,2024-09-07 08:46:30:699,265895,265895,0,0,13944699,0,3583 88,3,2024-09-07 08:46:31:276,1,209,3,0,435,2703,209,0 89,0,2024-09-07 08:46:31:792,53623,0.4,51884,0.6,102895,0.4,140007,1.75 89,1,2024-09-07 08:46:30:561,365605,365605,0,0,171964116730,1816619327,359610,5050,945,382,391866,0 89,2,2024-09-07 08:46:31:134,264367,264367,0,0,12971023,0,2910 89,3,2024-09-07 08:46:31:794,1,209,7,0,325,4069,209,0 90,0,2024-09-07 08:46:31:636,51999,0.5,53103,0.6,109112,0.4,141630,1.75 90,1,2024-09-07 08:46:30:600,367562,367562,0,0,172176843552,1803729061,364233,3068,261,381,391825,0 90,2,2024-09-07 08:46:31:415,260235,260235,0,0,13744500,0,3060 90,3,2024-09-07 08:46:30:935,1,209,7,0,200,2309,209,0 91,0,2024-09-07 08:46:30:961,55437,0.4,53554,0.6,111853,0.4,146877,1.75 91,1,2024-09-07 08:46:30:584,366690,366690,0,0,171599389682,1805189240,361864,4179,647,381,392047,0 91,2,2024-09-07 08:46:31:335,262811,262811,0,0,12325081,0,2445 91,3,2024-09-07 08:46:30:611,1,209,5,0,216,2121,209,0 92,0,2024-09-07 08:46:31:453,51784,0.6,53168,0.8,101609,0.7,136971,1.75 92,1,2024-09-07 08:46:30:587,366913,366913,0,0,172070999650,1796087971,364596,1912,405,382,392136,0 92,2,2024-09-07 08:46:31:351,268755,268755,0,0,10998252,0,2801 92,3,2024-09-07 08:46:31:009,1,209,1,0,167,1726,209,0 93,0,2024-09-07 08:46:30:978,49796,0.5,51110,0.6,97546,0.4,132787,1.75 93,1,2024-09-07 08:46:30:820,367856,367856,0,0,172140223630,1797987941,364122,3107,627,366,391692,0 93,2,2024-09-07 08:46:30:944,266626,266626,0,0,11300526,0,2509 93,3,2024-09-07 08:46:31:410,1,209,2,0,190,2259,209,0 94,0,2024-09-07 08:46:31:624,53623,0.4,54314,0.6,107926,0.3,142840,1.75 94,1,2024-09-07 08:46:30:578,366978,366978,0,0,172378850315,1802654939,364062,2783,133,381,391850,0 94,2,2024-09-07 08:46:30:780,260222,260222,0,0,10179800,0,2443 94,3,2024-09-07 08:46:31:699,1,209,2,0,264,2938,209,0 95,0,2024-09-07 08:46:31:386,55080,0.3,54693,0.5,110601,0.3,146209,1.75 95,1,2024-09-07 08:46:30:859,367600,367600,0,0,172679715964,1797910237,364408,2842,350,367,391662,0 95,2,2024-09-07 08:46:31:023,262371,262371,0,0,10949406,0,3308 95,3,2024-09-07 08:46:31:715,1,209,1,0,718,4272,209,0 96,0,2024-09-07 08:46:31:031,52612,0.5,52911,0.6,105603,0.5,139837,1.75 96,1,2024-09-07 08:46:31:594,366999,366999,0,0,171336729346,1793631319,363629,2652,718,385,391894,0 96,2,2024-09-07 08:46:31:270,267897,267897,0,0,11825244,0,4042 96,3,2024-09-07 08:46:31:141,1,209,2,0,411,2569,209,0 97,0,2024-09-07 08:46:31:335,47825,0.4,47563,0.5,95478,0.4,127367,1.75 97,1,2024-09-07 08:46:30:783,368288,368288,0,0,172481567190,1792312400,365323,2412,553,367,392140,0 97,2,2024-09-07 08:46:30:616,266147,266147,0,0,10910373,0,3036 97,3,2024-09-07 08:46:30:578,1,209,1,0,165,2465,209,0 98,0,2024-09-07 08:46:31:717,53303,0.3,53352,0.4,107050,0.2,142585,1.50 98,1,2024-09-07 08:46:30:593,367687,367687,0,0,172044941069,1792797794,365623,1969,95,382,391997,0 98,2,2024-09-07 08:46:30:781,262101,262101,0,0,10670988,0,3080 98,3,2024-09-07 08:46:30:705,1,209,1,0,840,3680,209,0 99,0,2024-09-07 08:46:31:503,54674,0.3,54681,0.4,109134,0.3,146068,1.75 99,1,2024-09-07 08:46:31:745,368135,368135,0,0,171114069664,1788718999,364383,3013,739,381,391744,0 99,2,2024-09-07 08:46:31:419,264879,264879,0,0,11313490,0,3106 99,3,2024-09-07 08:46:30:589,1,209,7,0,129,1616,209,0 100,0,2024-09-07 08:46:31:465,53637,0.9,53658,1.1,107109,1.2,143110,2.50 100,1,2024-09-07 08:46:30:559,365325,365325,0,0,170566116889,1810166007,358789,5267,1269,381,391989,0 100,2,2024-09-07 08:46:31:827,265093,265082,11,0,12945880,0,5417 100,3,2024-09-07 08:46:31:739,1,209,3,0,559,4100,209,0 101,0,2024-09-07 08:46:31:711,48611,2.0,47590,1.3,92846,2.1,128174,2.50 101,1,2024-09-07 08:46:30:560,366100,366100,0,0,171507033058,1810559608,359998,4579,1523,368,391769,0 101,2,2024-09-07 08:46:31:782,264850,264850,0,0,13936347,0,4644 101,3,2024-09-07 08:46:30:942,1,209,1,0,448,2827,209,0 102,0,2024-09-07 08:46:30:974,51684,0.7,53604,0.8,107760,0.6,141810,2.00 102,1,2024-09-07 08:46:31:146,366449,366449,0,0,171475455576,1804619697,361539,4176,734,369,391883,0 102,2,2024-09-07 08:46:31:741,264166,264112,54,0,12779967,0,6768 102,3,2024-09-07 08:46:31:627,1,209,9,0,410,2319,209,0 103,0,2024-09-07 08:46:31:629,55343,0.5,55339,0.7,104487,0.4,143715,1.75 103,1,2024-09-07 08:46:31:656,365722,365722,0,0,171127773370,1808652028,359716,4628,1378,381,391829,0 103,2,2024-09-07 08:46:30:597,261101,261101,0,0,11748306,0,2107 103,3,2024-09-07 08:46:30:756,1,209,0,0,916,3385,209,0 104,0,2024-09-07 08:46:31:019,54607,1.0,55001,1.1,108755,1.0,146606,2.50 104,1,2024-09-07 08:46:31:601,367494,367494,0,0,171440635279,1803964384,362136,4387,971,365,391948,0 104,2,2024-09-07 08:46:31:671,263054,263054,0,0,12840318,0,3941 104,3,2024-09-07 08:46:31:416,1,209,4,0,1245,5919,209,0 105,0,2024-09-07 08:46:31:035,48962,1.2,47540,1.3,99466,1.9,130940,3.50 105,1,2024-09-07 08:46:30:563,367585,367585,0,0,171729268300,1802144252,362653,4128,804,367,391797,0 105,2,2024-09-07 08:46:31:327,266551,266551,0,0,12436250,0,3509 105,3,2024-09-07 08:46:31:317,1,209,12,0,399,3850,209,0 106,0,2024-09-07 08:46:31:000,48717,0.8,49929,0.9,102194,0.8,133956,2.25 106,1,2024-09-07 08:46:31:757,367172,367172,0,0,171380267034,1800389521,361868,4826,478,369,391767,0 106,2,2024-09-07 08:46:30:759,263810,263810,0,0,12409545,0,2795 106,3,2024-09-07 08:46:30:683,1,209,3,0,470,3229,209,0 107,0,2024-09-07 08:46:31:183,53812,0.9,53696,0.9,107039,1.0,142512,2.00 107,1,2024-09-07 08:46:30:587,366193,366193,0,0,171470420557,1804140037,361978,3800,415,381,392234,0 107,2,2024-09-07 08:46:31:295,260356,260355,1,0,12366221,0,5024 107,3,2024-09-07 08:46:31:763,1,209,3,0,353,3244,209,0 108,0,2024-09-07 08:46:31:815,55064,0.4,55276,0.6,109588,0.4,146112,1.75 108,1,2024-09-07 08:46:31:316,367424,367424,0,0,171749096691,1791608060,364646,2464,314,368,391857,0 108,2,2024-09-07 08:46:31:767,261586,261586,0,0,11768417,0,2647 108,3,2024-09-07 08:46:31:337,1,209,3,0,749,4630,209,0 109,0,2024-09-07 08:46:31:770,52451,0.4,51858,0.6,104169,0.4,139444,1.75 109,1,2024-09-07 08:46:30:590,366783,366783,0,0,172289830945,1808447033,363610,2672,501,383,392132,0 109,2,2024-09-07 08:46:30:937,267401,267401,0,0,12023274,0,3617 109,3,2024-09-07 08:46:31:139,1,209,2,0,249,2688,209,0 110,0,2024-09-07 08:46:31:766,48237,0.4,46999,0.7,98127,0.4,128970,2.00 110,1,2024-09-07 08:46:31:647,367807,367807,0,0,172323994433,1791262779,365045,1993,769,370,391667,0 110,2,2024-09-07 08:46:31:312,266597,266597,0,0,11082497,0,2915 110,3,2024-09-07 08:46:30:697,1,209,2,0,406,2903,209,0 111,0,2024-09-07 08:46:31:422,54506,0.4,54037,0.5,107822,0.3,144631,1.75 111,1,2024-09-07 08:46:31:000,368499,368499,0,0,172603219967,1790771927,366671,1494,334,382,391690,0 111,2,2024-09-07 08:46:31:118,260325,260325,0,0,11006446,0,2763 111,3,2024-09-07 08:46:30:913,1,209,1,0,379,3071,209,0 112,0,2024-09-07 08:46:30:956,55046,0.3,54979,0.4,109874,0.2,146295,1.50 112,1,2024-09-07 08:46:30:824,368568,368568,0,0,171793994751,1785087536,366169,1972,427,380,391580,0 112,2,2024-09-07 08:46:31:136,262425,262424,1,0,11349988,0,5036 112,3,2024-09-07 08:46:30:592,1,209,2,0,282,2365,209,0 113,0,2024-09-07 08:46:30:865,52587,0.3,52495,0.5,105540,0.3,141022,1.75 113,1,2024-09-07 08:46:31:700,368759,368759,0,0,173261485119,1791479471,366272,1998,489,366,391661,0 113,2,2024-09-07 08:46:31:305,268242,268242,0,0,10546522,0,3813 113,3,2024-09-07 08:46:30:688,1,209,0,0,340,3498,209,0 114,0,2024-09-07 08:46:30:885,48014,0.5,48550,0.6,95892,0.4,128774,1.75 114,1,2024-09-07 08:46:30:721,367232,367232,0,0,173010473448,1808080720,362429,3215,1588,381,391556,0 114,2,2024-09-07 08:46:30:872,266162,266161,1,0,11627666,0,5069 114,3,2024-09-07 08:46:31:278,1,209,8,0,395,2451,209,0 115,0,2024-09-07 08:46:30:567,53280,0.3,53571,0.4,106937,0.2,142270,1.50 115,1,2024-09-07 08:46:30:572,367290,367290,0,0,172629057162,1800070466,362964,3493,833,382,391602,0 115,2,2024-09-07 08:46:31:131,263355,263355,0,0,9750216,0,2152 115,3,2024-09-07 08:46:31:013,1,209,1,0,159,1271,209,0 116,0,2024-09-07 08:46:31:719,53725,0.7,53424,0.9,107166,0.7,143554,2.00 116,1,2024-09-07 08:46:30:807,365355,365355,0,0,171317851952,1819324709,359272,4031,2052,380,391782,0 116,2,2024-09-07 08:46:31:755,261473,261473,0,0,13853646,0,3529 116,3,2024-09-07 08:46:30:912,1,209,1,0,252,2827,209,0 117,0,2024-09-07 08:46:30:978,54068,1.0,54066,1.0,108004,1.2,144593,2.00 117,1,2024-09-07 08:46:31:578,366634,366634,0,0,171541338111,1799335020,362169,3989,476,370,392033,0 117,2,2024-09-07 08:46:31:133,266614,266614,0,0,11204193,0,3700 117,3,2024-09-07 08:46:31:066,1,209,5,0,490,3746,209,0 118,0,2024-09-07 08:46:31:860,46918,0.8,48156,0.8,98127,0.9,128454,2.25 118,1,2024-09-07 08:46:30:602,366709,366709,0,0,171044647232,1803126631,360822,4460,1427,366,391736,0 118,2,2024-09-07 08:46:31:595,265736,265736,0,0,12411516,0,2781 118,3,2024-09-07 08:46:31:767,1,209,42,0,235,2558,209,0 119,0,2024-09-07 08:46:31:352,51681,0.5,52013,0.7,104506,0.5,139009,2.00 119,1,2024-09-07 08:46:30:621,367315,367315,0,0,171853300479,1795244920,363881,3124,310,368,391641,0 119,2,2024-09-07 08:46:31:276,264913,264913,0,0,11621077,0,3526 119,3,2024-09-07 08:46:31:325,1,209,1,0,443,3269,209,0 120,0,2024-09-07 08:46:31:563,53191,0.5,53162,0.8,106634,0.5,142245,2.00 120,1,2024-09-07 08:46:30:867,367001,367001,0,0,171366783616,1800107446,362842,3814,345,368,391961,0 120,2,2024-09-07 08:46:30:786,261011,261010,1,0,13621019,0,5281 120,3,2024-09-07 08:46:31:297,1,209,2,0,241,2959,209,0 121,0,2024-09-07 08:46:31:695,54879,1.1,55233,1.0,110090,1.5,146548,2.25 121,1,2024-09-07 08:46:31:660,367311,367311,0,0,172166381085,1802152630,363599,3310,402,367,391840,0 121,2,2024-09-07 08:46:31:128,261765,261765,0,0,13075058,0,4127 121,3,2024-09-07 08:46:30:742,1,209,1,0,269,2594,209,0 122,0,2024-09-07 08:46:31:765,51211,1.0,49828,1.0,104419,1.2,136945,2.25 122,1,2024-09-07 08:46:30:870,366327,366327,0,0,171498129684,1802513290,361090,4497,740,366,392130,0 122,2,2024-09-07 08:46:31:319,266805,266732,73,0,15804832,0,5989 122,3,2024-09-07 08:46:30:612,1,209,8,0,411,4635,209,0 123,0,2024-09-07 08:46:31:056,49506,0.7,48396,0.8,100676,0.7,132307,2.00 123,1,2024-09-07 08:46:30:659,366742,366742,0,0,171298371259,1811195267,358870,6311,1561,369,391823,0 123,2,2024-09-07 08:46:31:032,264234,264233,1,0,12791658,0,5215 123,3,2024-09-07 08:46:31:136,1,209,7,0,168,2543,209,0 124,0,2024-09-07 08:46:30:928,55590,0.3,55552,0.5,104798,0.3,143420,1.75 124,1,2024-09-07 08:46:31:029,368291,368291,0,0,172033777524,1789797603,365667,2262,362,367,392178,0 124,2,2024-09-07 08:46:31:013,260705,260705,0,0,10427826,0,3101 124,3,2024-09-07 08:46:30:780,1,209,17,0,490,2592,209,0 125,0,2024-09-07 08:46:31:421,54972,0.4,54871,0.5,110006,0.3,146272,1.75 125,1,2024-09-07 08:46:30:881,367248,367248,0,0,171690740378,1792122566,364694,2276,278,383,391702,0 125,2,2024-09-07 08:46:31:119,263159,263159,0,0,10597493,0,2180 125,3,2024-09-07 08:46:31:126,1,209,1,0,284,2626,209,0 126,0,2024-09-07 08:46:31:435,52784,0.6,54190,0.7,103639,0.6,140675,1.75 126,1,2024-09-07 08:46:30:575,368094,368094,0,0,172732795603,1793362426,365543,2292,259,365,391987,0 126,2,2024-09-07 08:46:30:623,269576,269576,0,0,11489701,0,3186 126,3,2024-09-07 08:46:30:910,1,209,2,0,183,3029,209,0 127,0,2024-09-07 08:46:31:635,47740,0.4,47935,0.5,95485,0.3,127325,1.75 127,1,2024-09-07 08:46:30:576,367990,367990,0,0,172411149072,1788973288,365248,2590,152,365,391816,0 127,2,2024-09-07 08:46:30:645,266458,266458,0,0,10589604,0,2264 127,3,2024-09-07 08:46:31:282,1,209,4,0,243,1759,209,0 128,0,2024-09-07 08:46:31:537,53775,0.3,53625,0.4,107022,0.2,142759,1.50 128,1,2024-09-07 08:46:31:627,367932,367932,0,0,171667962236,1782306363,365970,1806,156,367,391680,0 128,2,2024-09-07 08:46:31:385,260930,260930,0,0,10112074,0,2434 128,3,2024-09-07 08:46:30:780,1,209,4,0,1082,4435,209,0 129,0,2024-09-07 08:46:30:996,54974,0.3,54660,0.5,109336,0.3,145526,1.50 129,1,2024-09-07 08:46:30:572,365957,365957,0,0,171681495548,1803594626,361859,3171,927,379,391835,0 129,2,2024-09-07 08:46:30:686,263383,263383,0,0,11032811,0,4031 129,3,2024-09-07 08:46:30:697,1,209,5,0,469,3022,209,0 130,0,2024-09-07 08:46:31:787,54101,0.6,53949,0.7,108183,0.7,143998,1.75 130,1,2024-09-07 08:46:30:589,367668,367668,0,0,172450225168,1797042824,365301,2291,76,381,391825,0 130,2,2024-09-07 08:46:31:143,267651,267651,0,0,10878724,0,4067 130,3,2024-09-07 08:46:31:299,1,209,14,0,450,2874,209,0 131,0,2024-09-07 08:46:31:946,47870,0.5,48188,0.6,97043,0.5,128310,1.75 131,1,2024-09-07 08:46:31:833,368176,368176,0,0,172376054533,1798878140,365384,2353,439,383,391865,0 131,2,2024-09-07 08:46:30:573,267195,267195,0,0,10112818,0,2415 131,3,2024-09-07 08:46:31:730,1,209,1,0,392,2141,209,0 132,0,2024-09-07 08:46:31:414,52740,0.5,53387,0.7,106824,0.5,142266,2.00 132,1,2024-09-07 08:46:30:587,366259,366259,0,0,171083576004,1808100420,360145,5034,1080,381,392097,0 132,2,2024-09-07 08:46:30:793,263727,263727,0,0,13426792,0,4606 132,3,2024-09-07 08:46:31:695,1,209,12,0,356,3351,209,0 133,0,2024-09-07 08:46:31:525,52442,0.4,53653,0.6,109983,0.4,142513,1.75 133,1,2024-09-07 08:46:30:592,365262,365262,0,0,171646920699,1810031853,360223,4393,646,383,391914,0 133,2,2024-09-07 08:46:31:092,261256,261256,0,0,13452092,0,4315 133,3,2024-09-07 08:46:31:311,1,209,12,0,276,2152,209,0 134,0,2024-09-07 08:46:30:940,55006,0.5,54923,0.7,110372,0.5,146527,2.00 134,1,2024-09-07 08:46:30:590,366169,366169,0,0,171263747103,1801575292,360452,4318,1399,366,391718,0 134,2,2024-09-07 08:46:31:798,263545,263545,0,0,11643506,0,3847 134,3,2024-09-07 08:46:30:769,1,209,2,0,739,3405,209,0 135,0,2024-09-07 08:46:31:124,47845,1.2,47842,1.1,101556,1.4,130590,2.25 135,1,2024-09-07 08:46:31:595,365735,365735,0,0,171956802339,1810197757,360658,4180,897,380,391805,0 135,2,2024-09-07 08:46:30:687,266655,266655,0,0,13133271,0,3981 135,3,2024-09-07 08:46:31:009,1,209,34,0,299,1504,209,0 136,0,2024-09-07 08:46:31:621,50922,0.5,50821,0.7,101589,0.5,135686,2.00 136,1,2024-09-07 08:46:31:448,366407,366407,0,0,171315922706,1801699904,361436,4429,542,382,391685,0 136,2,2024-09-07 08:46:31:139,266205,266205,0,0,12143923,0,3506 136,3,2024-09-07 08:46:31:109,1,209,1,0,126,1732,209,0 137,0,2024-09-07 08:46:30:948,55316,0.7,53734,0.8,106055,0.7,143655,2.00 137,1,2024-09-07 08:46:30:586,365994,365994,0,0,171562687376,1803735528,359643,4981,1370,366,391708,0 137,2,2024-09-07 08:46:31:721,260125,260125,0,0,13722249,0,3185 137,3,2024-09-07 08:46:30:775,1,209,8,0,227,2355,209,0 138,0,2024-09-07 08:46:31:798,54488,0.9,54613,1.0,109544,1.1,145750,2.25 138,1,2024-09-07 08:46:31:715,366810,366810,0,0,172308539899,1808792098,361306,4616,888,368,391954,0 138,2,2024-09-07 08:46:30:589,262859,262859,0,0,12401438,0,4988 138,3,2024-09-07 08:46:30:616,1,209,8,0,1160,3581,209,0 139,0,2024-09-07 08:46:31:383,51202,2.5,51241,1.5,103373,3.6,137917,2.75 139,1,2024-09-07 08:46:30:577,364991,364991,0,0,170848972589,1817787862,357767,5349,1875,381,391892,0 139,2,2024-09-07 08:46:30:700,266519,266519,0,0,13032388,0,3097 139,3,2024-09-07 08:46:31:674,1,209,2,0,244,2318,209,0 140,0,2024-09-07 08:46:31:597,48672,0.4,48063,0.6,96980,0.3,129152,1.75 140,1,2024-09-07 08:46:31:540,368677,368677,0,0,173003357747,1784568337,366921,1516,240,365,391606,0 140,2,2024-09-07 08:46:30:699,267135,267135,0,0,10874748,0,3388 140,3,2024-09-07 08:46:30:780,1,209,1,0,247,1759,209,0 141,0,2024-09-07 08:46:31:700,54335,0.3,55746,0.5,106473,0.2,144649,1.75 141,1,2024-09-07 08:46:30:869,368637,368637,0,0,172490938953,1790536750,366563,1719,355,379,391614,0 141,2,2024-09-07 08:46:31:692,260926,260926,0,0,10033406,0,2342 141,3,2024-09-07 08:46:31:049,1,209,0,0,176,1745,209,0 142,0,2024-09-07 08:46:31:310,55580,0.3,55058,0.5,109460,0.2,146568,1.50 142,1,2024-09-07 08:46:30:622,367311,367311,0,0,172245518090,1797957965,364854,2214,243,382,392102,0 142,2,2024-09-07 08:46:31:300,262268,262236,32,0,11822868,0,6028 142,3,2024-09-07 08:46:31:750,1,209,8,0,484,2831,209,0 143,0,2024-09-07 08:46:31:371,52868,0.6,52765,0.7,106277,0.6,140821,1.75 143,1,2024-09-07 08:46:30:569,367985,367985,0,0,171955091898,1785112308,365657,2282,46,367,391619,0 143,2,2024-09-07 08:46:30:780,267548,267548,0,0,11370667,0,2669 143,3,2024-09-07 08:46:31:141,1,209,0,0,303,3010,209,0 144,0,2024-09-07 08:46:31:544,46017,0.6,47429,1.1,96291,0.6,126791,2.00 144,1,2024-09-07 08:46:30:575,366026,366026,0,0,171557557120,1804890509,362205,2900,921,381,391649,0 144,2,2024-09-07 08:46:31:768,266249,266249,0,0,10878596,0,3473 144,3,2024-09-07 08:46:31:759,1,209,4,0,249,2471,209,0 145,0,2024-09-07 08:46:31:382,51550,0.5,51600,0.7,109417,0.4,141649,2.25 145,1,2024-09-07 08:46:30:571,365233,365233,0,0,171935475200,1811542723,359967,4447,819,382,391698,0 145,2,2024-09-07 08:46:31:440,261467,261467,0,0,12179942,0,3903 145,3,2024-09-07 08:46:30:899,1,209,622,0,622,3869,209,0 146,0,2024-09-07 08:46:31:614,53655,0.5,53169,0.7,107212,0.5,142530,2.00 146,1,2024-09-07 08:46:31:586,367219,367219,0,0,171755463292,1807434600,360960,4876,1383,368,391770,0 146,2,2024-09-07 08:46:31:701,262282,262282,0,0,11765425,0,2498 146,3,2024-09-07 08:46:31:277,1,209,8,0,1520,5641,209,0 147,0,2024-09-07 08:46:31:708,54000,0.7,53952,0.8,107285,0.6,144218,2.25 147,1,2024-09-07 08:46:31:377,368129,368129,0,0,172133059613,1793501696,364403,3157,569,368,391791,0 147,2,2024-09-07 08:46:31:031,266392,266392,0,0,10847190,0,2789 147,3,2024-09-07 08:46:30:925,1,209,3,0,730,3286,209,0 0,0,2024-09-07 08:46:41:714,51971,0.5,52035,0.7,110312,0.5,142741,1.75 0,1,2024-09-07 08:46:40:810,368854,368854,0,0,173322080343,1816604020,365755,2897,202,372,391772,0 0,2,2024-09-07 08:46:41:073,262970,262970,0,0,11382734,0,4480 0,3,2024-09-07 08:46:40:979,1,210,59,0,247,2763,210,0 1,0,2024-09-07 08:46:41:769,55338,1.0,54864,1.0,110342,1.2,147049,2.00 1,1,2024-09-07 08:46:40:562,368018,368018,0,0,171994580186,1806281882,363869,3212,937,371,391857,0 1,2,2024-09-07 08:46:40:678,263979,263979,0,0,10587365,0,3267 1,3,2024-09-07 08:46:41:304,1,210,6,0,262,2657,210,0 2,0,2024-09-07 08:46:41:566,51206,0.9,51577,0.9,102439,1.2,136120,2.00 2,1,2024-09-07 08:46:40:862,369352,369352,0,0,173096240634,1801064526,367369,1765,218,380,391745,0 2,2,2024-09-07 08:46:41:269,268250,268250,0,0,11392200,0,3594 2,3,2024-09-07 08:46:40:691,1,210,22,0,357,2073,210,0 3,0,2024-09-07 08:46:41:746,49925,0.5,49922,0.6,99485,0.4,132647,2.00 3,1,2024-09-07 08:46:41:628,368857,368857,0,0,172145717557,1797655461,365123,3273,461,380,391523,0 3,2,2024-09-07 08:46:41:142,267220,267197,23,0,11447304,0,5851 3,3,2024-09-07 08:46:41:757,1,210,1,0,103,1357,210,0 4,0,2024-09-07 08:46:41:786,52237,0.4,53685,0.5,109285,0.4,143050,1.75 4,1,2024-09-07 08:46:40:595,368600,368600,0,0,172592806754,1814902811,364546,3373,681,371,391846,0 4,2,2024-09-07 08:46:41:022,260933,260933,0,0,12940582,0,4528 4,3,2024-09-07 08:46:41:026,1,210,1,0,448,3357,210,0 5,0,2024-09-07 08:46:41:372,55196,0.4,55328,0.6,110101,0.4,146698,1.75 5,1,2024-09-07 08:46:40:758,368547,368547,0,0,172648360409,1817987947,363817,3673,1057,368,392005,0 5,2,2024-09-07 08:46:41:829,262419,262419,0,0,11730577,0,2259 5,3,2024-09-07 08:46:41:736,1,210,17,0,278,3008,210,0 6,0,2024-09-07 08:46:40:930,53259,0.7,52745,0.9,105208,0.8,141272,2.25 6,1,2024-09-07 08:46:40:754,368953,368953,0,0,172214327258,1803586678,364641,3507,805,379,391634,0 6,2,2024-09-07 08:46:41:117,269095,269095,0,0,12137843,0,4816 6,3,2024-09-07 08:46:41:274,1,210,13,0,340,2705,210,0 7,0,2024-09-07 08:46:41:531,47602,0.5,47705,0.7,95067,0.5,126992,2.00 7,1,2024-09-07 08:46:40:853,368024,368024,0,0,172686976004,1816863828,362462,4588,974,382,391686,0 7,2,2024-09-07 08:46:40:772,266524,266524,0,0,11981688,0,4791 7,3,2024-09-07 08:46:40:852,1,210,1,0,305,2204,210,0 8,0,2024-09-07 08:46:41:353,53709,0.3,53876,0.5,107301,0.3,143766,1.75 8,1,2024-09-07 08:46:41:025,367926,367926,0,0,172971549132,1825814462,360864,5227,1835,367,391956,0 8,2,2024-09-07 08:46:40:799,258970,258970,0,0,13277105,0,2986 8,3,2024-09-07 08:46:40:586,1,210,2,0,357,3112,210,0 9,0,2024-09-07 08:46:41:126,54996,0.3,53409,0.5,111416,0.3,146421,1.75 9,1,2024-09-07 08:46:40:553,368237,368237,0,0,172815181810,1825726749,362234,4465,1538,370,392001,0 9,2,2024-09-07 08:46:41:088,263987,263987,0,0,11933417,0,3360 9,3,2024-09-07 08:46:41:752,1,210,1,0,496,3271,210,0 10,0,2024-09-07 08:46:41:621,54155,0.3,53736,0.5,107933,0.3,143698,1.75 10,1,2024-09-07 08:46:40:598,368556,368556,0,0,172668493041,1812635838,362814,4898,844,381,391741,0 10,2,2024-09-07 08:46:40:775,268248,268248,0,0,13417528,0,4264 10,3,2024-09-07 08:46:40:879,1,210,2,0,177,1768,210,0 11,0,2024-09-07 08:46:41:012,47633,0.5,46240,0.7,96751,0.5,128693,2.00 11,1,2024-09-07 08:46:40:572,368649,368649,0,0,172432865185,1818899684,361030,5705,1914,383,391537,0 11,2,2024-09-07 08:46:41:124,267198,267198,0,0,12301692,0,3411 11,3,2024-09-07 08:46:41:300,1,210,0,0,720,3077,210,0 12,0,2024-09-07 08:46:41:032,53781,0.3,53726,0.5,107327,0.3,143049,1.75 12,1,2024-09-07 08:46:40:952,369131,369131,0,0,172277216431,1799163015,365856,2856,419,370,391837,0 12,2,2024-09-07 08:46:41:556,265187,265187,0,0,12133366,0,3469 12,3,2024-09-07 08:46:41:059,1,210,1,0,386,3947,210,0 13,0,2024-09-07 08:46:41:326,54247,0.4,54084,0.5,108142,0.3,143767,1.75 13,1,2024-09-07 08:46:41:527,367980,367980,0,0,172146320924,1808080084,364830,2622,528,382,391717,0 13,2,2024-09-07 08:46:40:594,264163,264163,0,0,11025540,0,3287 13,3,2024-09-07 08:46:41:763,1,210,1,0,467,3667,210,0 14,0,2024-09-07 08:46:40:568,55343,0.4,55882,0.6,110426,0.4,146760,1.75 14,1,2024-09-07 08:46:41:561,370875,370875,0,0,173380129984,1798092039,368179,2507,189,364,391571,0 14,2,2024-09-07 08:46:40:765,265566,265566,0,0,11233999,0,2896 14,3,2024-09-07 08:46:41:117,1,210,1,0,1168,3247,210,0 15,0,2024-09-07 08:46:41:556,49197,0.6,49553,0.9,99002,0.7,131354,2.25 15,1,2024-09-07 08:46:41:614,369404,369404,0,0,172524140758,1795524202,367112,2148,144,381,391619,0 15,2,2024-09-07 08:46:40:997,268476,268476,0,0,9933614,0,3043 15,3,2024-09-07 08:46:41:409,1,210,2,0,1126,4741,210,0 16,0,2024-09-07 08:46:40:967,50987,0.7,51253,0.9,101688,0.8,136694,2.25 16,1,2024-09-07 08:46:40:584,369336,369336,0,0,172649615507,1809514405,365815,3227,294,370,391756,0 16,2,2024-09-07 08:46:41:439,266275,266275,0,0,12258059,0,4719 16,3,2024-09-07 08:46:41:142,1,210,1,0,317,2928,210,0 17,0,2024-09-07 08:46:41:798,55483,0.5,54141,0.8,106112,0.5,143743,2.00 17,1,2024-09-07 08:46:40:588,367778,367778,0,0,172085244252,1812735385,362954,3723,1101,368,391688,0 17,2,2024-09-07 08:46:41:670,264677,264677,0,0,11204336,0,2857 17,3,2024-09-07 08:46:40:601,1,210,3,0,268,3504,210,0 18,0,2024-09-07 08:46:40:955,54420,0.8,54564,0.9,109261,0.8,145541,2.50 18,1,2024-09-07 08:46:41:639,369142,369142,0,0,172697590228,1793427126,366685,2219,238,367,391564,0 18,2,2024-09-07 08:46:41:755,264330,264330,0,0,10920267,0,3541 18,3,2024-09-07 08:46:40:900,1,210,6,0,163,2206,210,0 19,0,2024-09-07 08:46:41:539,51803,0.9,52439,0.9,103453,1.0,137915,2.50 19,1,2024-09-07 08:46:40:566,369160,369160,0,0,173010816867,1803276047,364565,3810,785,367,391777,0 19,2,2024-09-07 08:46:41:755,270333,270333,0,0,10269846,0,3988 19,3,2024-09-07 08:46:41:129,1,210,3,0,524,1756,210,0 20,0,2024-09-07 08:46:41:377,48346,0.7,48302,0.8,97075,0.7,129392,2.25 20,1,2024-09-07 08:46:40:571,368401,368401,0,0,172516454309,1807924560,364690,3315,396,369,391886,0 20,2,2024-09-07 08:46:40:928,267162,267162,0,0,11633072,0,3721 20,3,2024-09-07 08:46:40:593,1,210,2,0,414,4329,210,0 21,0,2024-09-07 08:46:41:132,54391,0.5,54585,0.7,108983,0.5,144160,2.00 21,1,2024-09-07 08:46:41:549,367642,367642,0,0,171717135467,1813191332,362247,4162,1233,368,391962,0 21,2,2024-09-07 08:46:41:078,261103,261103,0,0,12547078,0,3747 21,3,2024-09-07 08:46:41:404,1,210,7,0,103,2368,210,0 22,0,2024-09-07 08:46:41:720,55019,0.7,55057,0.8,109620,0.7,146107,2.25 22,1,2024-09-07 08:46:41:023,367937,367937,0,0,171898545659,1813342232,361566,4782,1589,382,391667,0 22,2,2024-09-07 08:46:40:770,263585,263585,0,0,11136061,0,3134 22,3,2024-09-07 08:46:41:066,1,210,13,0,228,2140,210,0 23,0,2024-09-07 08:46:41:371,52612,0.7,52573,0.8,105680,0.8,140685,2.25 23,1,2024-09-07 08:46:41:002,368811,368811,0,0,172464158731,1815973199,361902,4360,2549,365,391550,0 23,2,2024-09-07 08:46:41:092,269092,269092,0,0,11217133,0,3010 23,3,2024-09-07 08:46:41:757,1,210,71,0,645,2645,210,0 24,0,2024-09-07 08:46:40:906,48575,0.5,48522,0.6,97193,0.4,129173,1.75 24,1,2024-09-07 08:46:40:587,368706,368706,0,0,172172114131,1804756834,364542,3136,1028,369,391640,0 24,2,2024-09-07 08:46:41:069,266230,266230,0,0,13488557,0,3607 24,3,2024-09-07 08:46:41:693,1,210,56,0,468,3104,210,0 25,0,2024-09-07 08:46:41:337,55069,0.4,53685,0.6,105286,0.3,143448,2.00 25,1,2024-09-07 08:46:40:571,368976,368976,0,0,172734383391,1810430483,365028,3402,546,371,391788,0 25,2,2024-09-07 08:46:41:612,261843,261843,0,0,13383837,0,3978 25,3,2024-09-07 08:46:41:001,1,210,36,0,255,2457,210,0 26,0,2024-09-07 08:46:41:721,53802,0.4,52560,0.6,110375,0.4,143924,1.75 26,1,2024-09-07 08:46:41:548,369364,369364,0,0,171920654807,1804103932,363846,4537,981,381,391748,0 26,2,2024-09-07 08:46:40:863,264305,264305,0,0,12450826,0,2809 26,3,2024-09-07 08:46:41:718,1,210,12,0,796,3030,210,0 27,0,2024-09-07 08:46:41:724,54718,0.5,54564,0.6,108154,0.4,144462,2.00 27,1,2024-09-07 08:46:41:686,370537,370537,0,0,173770784075,1806016984,368236,1972,329,381,391558,0 27,2,2024-09-07 08:46:40:869,265034,264969,65,0,13564871,0,5699 27,3,2024-09-07 08:46:41:021,1,210,1,0,564,2266,210,0 28,0,2024-09-07 08:46:41:444,48442,0.6,48467,0.8,97483,0.7,130076,2.25 28,1,2024-09-07 08:46:40:815,369966,369966,0,0,173830780556,1812980821,367145,2351,470,383,391646,0 28,2,2024-09-07 08:46:41:769,267742,267742,0,0,10996978,0,2915 28,3,2024-09-07 08:46:41:777,1,210,2,0,502,2393,210,0 29,0,2024-09-07 08:46:41:378,53910,0.3,52709,0.5,102906,0.2,141180,1.75 29,1,2024-09-07 08:46:41:571,370792,370792,0,0,172964674886,1793565283,367801,2428,563,369,391621,0 29,2,2024-09-07 08:46:40:866,265768,265768,0,0,10097378,0,4018 29,3,2024-09-07 08:46:40:963,1,210,38,0,115,1622,210,0 30,0,2024-09-07 08:46:41:456,53363,0.6,51923,0.8,108788,0.6,142157,2.00 30,1,2024-09-07 08:46:40:571,370507,370507,0,0,173981090722,1805211401,368319,1895,293,382,391672,0 30,2,2024-09-07 08:46:41:278,263653,263653,0,0,9959566,0,3161 30,3,2024-09-07 08:46:40:582,1,210,8,0,195,1490,210,0 31,0,2024-09-07 08:46:41:787,54777,0.4,55129,0.6,110700,0.4,146885,2.00 31,1,2024-09-07 08:46:40:564,371656,371656,0,0,173941387406,1784016969,370561,938,157,356,391712,0 31,2,2024-09-07 08:46:41:274,263701,263701,0,0,11465272,0,3525 31,3,2024-09-07 08:46:41:706,1,210,1,0,220,1797,210,0 32,0,2024-09-07 08:46:41:425,51177,0.4,51727,0.6,103333,0.4,136784,1.75 32,1,2024-09-07 08:46:40:804,370207,370207,0,0,173454712032,1802010480,368304,1626,277,381,391595,0 32,2,2024-09-07 08:46:40:939,269053,269053,0,0,10011437,0,3155 32,3,2024-09-07 08:46:41:021,1,210,1,0,227,1669,210,0 33,0,2024-09-07 08:46:41:510,50329,0.3,49674,0.5,100139,0.2,133598,1.75 33,1,2024-09-07 08:46:40:583,370650,370650,0,0,173946411622,1800107925,368130,2348,172,369,391730,0 33,2,2024-09-07 08:46:40:770,267798,267765,33,0,11983699,0,7012 33,3,2024-09-07 08:46:40:895,1,210,8,0,329,2523,210,0 34,0,2024-09-07 08:46:40:932,53842,0.4,55497,0.5,106459,0.3,142353,1.75 34,1,2024-09-07 08:46:41:044,371286,371286,0,0,174022266706,1792421341,370214,1065,7,367,391562,0 34,2,2024-09-07 08:46:40:774,261856,261856,0,0,10874669,0,3577 34,3,2024-09-07 08:46:41:687,1,210,5,0,148,1456,210,0 35,0,2024-09-07 08:46:40:858,54531,0.4,55019,0.5,110365,0.3,146894,1.75 35,1,2024-09-07 08:46:41:077,369094,369094,0,0,173473088074,1802671961,365826,2489,779,384,391589,0 35,2,2024-09-07 08:46:41:584,264322,264322,0,0,10070238,0,2653 35,3,2024-09-07 08:46:40:908,1,210,0,0,418,2616,210,0 36,0,2024-09-07 08:46:41:536,52863,0.8,52884,0.9,105878,0.8,140670,2.50 36,1,2024-09-07 08:46:40:587,368390,368390,0,0,172439097353,1806699347,363077,3950,1363,366,391759,0 36,2,2024-09-07 08:46:41:754,268515,268515,0,0,12161496,0,3303 36,3,2024-09-07 08:46:40:875,1,210,22,0,416,3781,210,0 37,0,2024-09-07 08:46:41:389,47551,0.5,47363,0.7,95210,0.5,127658,2.00 37,1,2024-09-07 08:46:40:571,368453,368446,0,7,172545924944,1813189277,362745,3653,2048,365,391560,0 37,2,2024-09-07 08:46:41:142,266669,266654,15,0,12528951,0,5815 37,3,2024-09-07 08:46:41:771,1,210,1,0,888,4101,210,0 38,0,2024-09-07 08:46:41:443,53434,0.4,51986,0.6,108571,0.3,142207,2.00 38,1,2024-09-07 08:46:41:614,370097,370097,0,0,172963901909,1808129912,365473,3972,652,368,391821,0 38,2,2024-09-07 08:46:40:773,261550,261503,47,0,12908926,0,6710 38,3,2024-09-07 08:46:41:005,1,210,14,0,689,3381,210,0 39,0,2024-09-07 08:46:41:765,55972,0.7,54985,0.7,106686,0.7,146255,2.00 39,1,2024-09-07 08:46:40:720,369578,369578,0,0,173311998291,1809768228,365019,3750,809,365,391594,0 39,2,2024-09-07 08:46:41:433,263853,263853,0,0,10912907,0,2689 39,3,2024-09-07 08:46:40:717,1,210,69,0,324,3337,210,0 40,0,2024-09-07 08:46:41:502,53369,0.8,53859,1.0,107848,0.8,143245,3.00 40,1,2024-09-07 08:46:40:583,369139,369139,0,0,172576026132,1811401999,363012,4894,1233,368,391591,0 40,2,2024-09-07 08:46:41:325,267405,267404,1,0,13563298,0,5137 40,3,2024-09-07 08:46:41:142,1,210,6,0,333,2504,210,0 41,0,2024-09-07 08:46:41:024,47447,1.4,48570,1.4,92897,2.7,127238,3.50 41,1,2024-09-07 08:46:40:777,368957,368957,0,0,172625005180,1806765962,364112,4284,561,370,391742,0 41,2,2024-09-07 08:46:40:760,265518,265518,0,0,13345882,0,3356 41,3,2024-09-07 08:46:41:681,1,210,2,0,366,2443,210,0 42,0,2024-09-07 08:46:41:474,53014,0.6,53144,0.9,106261,0.6,141047,2.25 42,1,2024-09-07 08:46:41:440,367768,367768,0,0,173230557840,1820229374,362172,4522,1074,380,391675,0 42,2,2024-09-07 08:46:41:133,263952,263952,0,0,12289013,0,3568 42,3,2024-09-07 08:46:41:009,1,210,11,0,446,1892,210,0 43,0,2024-09-07 08:46:40:917,53687,0.7,52245,0.9,109029,0.8,143396,2.00 43,1,2024-09-07 08:46:40:579,369556,369556,0,0,173302848219,1807472154,365449,3310,797,366,391696,0 43,2,2024-09-07 08:46:41:736,263956,263956,0,0,11521469,0,3812 43,3,2024-09-07 08:46:41:749,1,210,7,0,467,2762,210,0 44,0,2024-09-07 08:46:40:878,55074,0.5,55414,0.7,110454,0.4,147280,1.75 44,1,2024-09-07 08:46:40:594,370388,370388,0,0,172689248125,1785593081,367990,1968,430,356,391809,0 44,2,2024-09-07 08:46:41:274,264801,264801,0,0,9903264,0,1877 44,3,2024-09-07 08:46:41:099,1,210,1,0,817,2297,210,0 45,0,2024-09-07 08:46:41:767,48834,0.8,47799,1.0,100310,0.9,132055,2.00 45,1,2024-09-07 08:46:41:009,369527,369527,0,0,173196071672,1795825342,368198,1318,11,382,391917,0 45,2,2024-09-07 08:46:41:285,268565,268565,0,0,10837052,0,3596 45,3,2024-09-07 08:46:40:938,1,210,15,0,271,2477,210,0 46,0,2024-09-07 08:46:40:961,51073,0.4,50918,0.6,102003,0.4,136197,2.00 46,1,2024-09-07 08:46:40:579,370669,370669,0,0,172948644003,1787753349,368494,1903,272,366,391524,0 46,2,2024-09-07 08:46:40:594,267252,267252,0,0,10509583,0,2920 46,3,2024-09-07 08:46:41:137,1,210,0,0,908,3357,210,0 47,0,2024-09-07 08:46:41:105,54168,0.4,54123,0.6,108781,0.4,143754,1.75 47,1,2024-09-07 08:46:40:568,370745,370745,0,0,172795503945,1785480386,368763,1897,85,366,391605,0 47,2,2024-09-07 08:46:40:908,264283,264283,0,0,10343462,0,2558 47,3,2024-09-07 08:46:41:116,1,210,3,0,529,2180,210,0 48,0,2024-09-07 08:46:41:490,55406,0.3,55131,0.4,109760,0.2,146454,1.75 48,1,2024-09-07 08:46:41:021,370419,370419,0,0,172895984999,1798811075,367495,2625,299,384,391710,0 48,2,2024-09-07 08:46:40:709,264267,264267,0,0,10061732,0,3031 48,3,2024-09-07 08:46:40:759,1,210,9,0,339,1948,210,0 49,0,2024-09-07 08:46:41:713,53784,0.5,52728,0.6,102387,0.4,139987,1.75 49,1,2024-09-07 08:46:41:026,368176,368176,0,0,172072526967,1797459576,364421,2574,1181,382,391610,0 49,2,2024-09-07 08:46:41:797,269900,269900,0,0,10751110,0,3900 49,3,2024-09-07 08:46:41:419,1,210,2,0,408,2561,210,0 50,0,2024-09-07 08:46:41:509,48730,0.3,48125,0.5,96929,0.2,129892,1.75 50,1,2024-09-07 08:46:41:018,371027,371027,0,0,173428659624,1797711322,368324,2393,310,368,391530,0 50,2,2024-09-07 08:46:41:077,267956,267956,0,0,10159275,0,2263 50,3,2024-09-07 08:46:41:300,1,210,10,0,335,1849,210,0 51,0,2024-09-07 08:46:41:691,55523,0.3,54354,0.5,106060,0.2,144487,1.75 51,1,2024-09-07 08:46:41:686,370387,370387,0,0,173951147058,1799603035,367651,1797,939,365,391637,0 51,2,2024-09-07 08:46:41:318,261619,261619,0,0,9105464,0,3337 51,3,2024-09-07 08:46:41:034,1,210,10,0,678,1914,210,0 52,0,2024-09-07 08:46:41:431,55592,0.5,55402,0.6,110495,0.4,146855,2.00 52,1,2024-09-07 08:46:40:598,368771,368771,0,0,172057319107,1808296225,362445,5158,1168,368,391722,0 52,2,2024-09-07 08:46:41:755,262340,262302,38,0,12822354,0,6742 52,3,2024-09-07 08:46:40:675,1,210,27,0,1782,3983,210,0 53,0,2024-09-07 08:46:41:758,52465,0.8,51055,0.9,106898,1.0,139934,2.50 53,1,2024-09-07 08:46:40:775,367361,367361,0,0,172800888050,1818766922,360978,4342,2041,367,391702,0 53,2,2024-09-07 08:46:41:301,269030,269030,0,0,10920416,0,2262 53,3,2024-09-07 08:46:40:696,1,210,35,0,271,2050,210,0 54,0,2024-09-07 08:46:41:624,47042,1.1,47530,1.0,94087,0.6,126552,3.00 54,1,2024-09-07 08:46:40:587,369127,369127,0,0,173066816938,1803065191,364863,3693,571,367,391659,0 54,2,2024-09-07 08:46:40:865,266970,266964,6,0,12268658,0,5382 54,3,2024-09-07 08:46:40:770,1,210,8,0,676,3507,210,0 55,0,2024-09-07 08:46:41:761,51850,0.5,53668,0.7,108270,0.4,141081,2.25 55,1,2024-09-07 08:46:40:764,368494,368494,0,0,171901347769,1795870717,362969,4738,787,365,391731,0 55,2,2024-09-07 08:46:40:731,262075,262075,0,0,12069287,0,3275 55,3,2024-09-07 08:46:40:681,1,210,1,0,304,2672,210,0 56,0,2024-09-07 08:46:41:585,55225,1.2,52044,1.1,107189,1.7,143811,2.50 56,1,2024-09-07 08:46:40:577,368176,368176,0,0,172750929295,1826401152,362294,4939,943,381,391678,0 56,2,2024-09-07 08:46:41:305,264149,264149,0,0,12722872,0,3567 56,3,2024-09-07 08:46:41:067,1,210,2,0,705,3374,210,0 57,0,2024-09-07 08:46:40:953,53483,1.9,53642,1.3,107196,2.6,143492,3.25 57,1,2024-09-07 08:46:41:001,368736,368736,0,0,172448368545,1806264200,364773,3455,508,367,391960,0 57,2,2024-09-07 08:46:41:334,266768,266768,0,0,13719247,0,3317 57,3,2024-09-07 08:46:41:737,1,210,2,0,359,3020,210,0 58,0,2024-09-07 08:46:40:565,47346,0.9,46091,1.1,96587,0.9,126549,2.50 58,1,2024-09-07 08:46:40:649,369211,369208,0,3,173506010536,1814514608,364055,4459,694,367,391545,3 58,2,2024-09-07 08:46:41:071,267617,267617,0,0,12253280,0,2549 58,3,2024-09-07 08:46:41:069,1,210,7,0,1043,2639,210,0 59,0,2024-09-07 08:46:41:742,52584,0.6,52365,0.8,104670,0.6,139882,2.75 59,1,2024-09-07 08:46:40:827,369149,369149,0,0,172797939471,1807394821,364822,3344,983,369,391525,0 59,2,2024-09-07 08:46:40:585,266901,266901,0,0,11228608,0,2604 59,3,2024-09-07 08:46:41:737,1,210,1,0,1015,3302,210,0 60,0,2024-09-07 08:46:41:746,53771,0.5,53502,0.6,107628,0.4,142873,1.75 60,1,2024-09-07 08:46:40:774,370534,370534,0,0,173870655125,1805052587,368166,1851,517,370,392031,0 60,2,2024-09-07 08:46:41:146,263287,263287,0,0,11641292,0,3811 60,3,2024-09-07 08:46:41:258,1,210,3,0,124,1933,210,0 61,0,2024-09-07 08:46:41:519,55180,0.8,55428,0.9,110379,0.9,147065,2.00 61,1,2024-09-07 08:46:40:772,369160,369160,0,0,172827627631,1811205729,364999,3518,643,382,391880,0 61,2,2024-09-07 08:46:41:117,264926,264926,0,0,10877176,0,2090 61,3,2024-09-07 08:46:41:687,1,210,1,0,397,2935,210,0 62,0,2024-09-07 08:46:41:706,51746,0.9,52806,0.9,100659,1.2,136630,2.25 62,1,2024-09-07 08:46:41:111,371505,371499,0,6,173896335412,1795989789,369132,2251,116,365,391715,6 62,2,2024-09-07 08:46:41:649,267578,267577,1,0,12138657,0,5555 62,3,2024-09-07 08:46:41:143,1,210,12,0,287,1517,210,0 63,0,2024-09-07 08:46:41:467,50053,0.5,50028,0.6,99903,0.4,133340,1.75 63,1,2024-09-07 08:46:40:816,370993,370987,0,6,173727739431,1801325440,369450,1487,50,381,391677,6 63,2,2024-09-07 08:46:40:772,267519,267519,0,0,10107103,0,2674 63,3,2024-09-07 08:46:41:732,1,210,4,0,667,2711,210,0 64,0,2024-09-07 08:46:41:520,53289,0.5,53407,0.7,107086,0.4,142217,1.75 64,1,2024-09-07 08:46:40:750,369354,369354,0,0,173231287549,1811655129,364930,3010,1414,370,391783,0 64,2,2024-09-07 08:46:41:142,264273,264254,19,0,11073630,0,6121 64,3,2024-09-07 08:46:41:141,1,210,1,0,265,2384,210,0 65,0,2024-09-07 08:46:41:695,54498,0.6,54953,0.8,109577,0.7,145985,2.25 65,1,2024-09-07 08:46:40:862,368466,368466,0,0,172585709418,1809737347,364981,3042,443,382,391901,0 65,2,2024-09-07 08:46:41:709,263822,263822,0,0,11602105,0,3367 65,3,2024-09-07 08:46:41:687,1,210,7,0,163,2077,210,0 66,0,2024-09-07 08:46:41:774,52375,0.6,52488,0.8,105400,0.6,140120,2.25 66,1,2024-09-07 08:46:41:293,370150,370150,0,0,173229265865,1801235077,367794,2175,181,380,391588,0 66,2,2024-09-07 08:46:41:133,271599,271599,0,0,11541311,0,4956 66,3,2024-09-07 08:46:41:082,1,210,9,0,291,2403,210,0 67,0,2024-09-07 08:46:41:425,48117,0.8,47761,0.9,96138,1.1,127821,2.25 67,1,2024-09-07 08:46:40:774,369412,369411,0,1,173072848030,1808800224,365734,2930,747,380,391787,1 67,2,2024-09-07 08:46:40:599,268439,268439,0,0,10607232,0,2889 67,3,2024-09-07 08:46:41:754,1,210,1,0,338,1777,210,0 68,0,2024-09-07 08:46:40:571,53915,0.5,53867,0.7,107132,0.5,143829,2.00 68,1,2024-09-07 08:46:40:583,368696,368696,0,0,172012722316,1806091130,364977,2582,1137,381,391953,0 68,2,2024-09-07 08:46:41:055,261022,260957,65,0,13630416,0,6698 68,3,2024-09-07 08:46:40:729,1,210,1,0,417,2548,210,0 69,0,2024-09-07 08:46:41:740,54544,0.8,54875,0.9,109175,1.0,145727,2.25 69,1,2024-09-07 08:46:41:020,367255,367255,0,0,172425904266,1821695499,362341,3576,1338,384,391994,0 69,2,2024-09-07 08:46:41:740,264198,264198,0,0,13191126,0,3722 69,3,2024-09-07 08:46:40:772,1,210,47,0,698,3394,210,0 70,0,2024-09-07 08:46:41:533,53551,1.3,53461,1.2,107469,0.9,142890,2.50 70,1,2024-09-07 08:46:40:801,369653,369653,0,0,173524468684,1804400247,366466,2680,507,366,391725,0 70,2,2024-09-07 08:46:41:325,267729,267729,0,0,12196204,0,4044 70,3,2024-09-07 08:46:40:753,1,210,8,0,854,2643,210,0 71,0,2024-09-07 08:46:41:356,47502,1.5,47440,1.4,94684,2.1,127441,3.25 71,1,2024-09-07 08:46:41:601,368780,368780,0,0,172695185251,1806852677,363756,4409,615,368,391738,0 71,2,2024-09-07 08:46:41:069,267416,267416,0,0,12729260,0,4042 71,3,2024-09-07 08:46:41:750,1,210,165,0,644,3267,210,0 72,0,2024-09-07 08:46:41:032,55111,0.6,53976,0.7,105284,0.5,143390,2.25 72,1,2024-09-07 08:46:41:026,369562,369562,0,0,172673430718,1803836838,365375,3436,751,369,391819,0 72,2,2024-09-07 08:46:41:761,263431,263431,0,0,13240390,0,2570 72,3,2024-09-07 08:46:41:758,1,210,1,0,364,3638,210,0 73,0,2024-09-07 08:46:41:121,52632,0.4,53862,0.6,110400,0.4,143172,2.00 73,1,2024-09-07 08:46:40:771,369649,369649,0,0,172715520969,1795933880,367007,2428,214,367,391750,0 73,2,2024-09-07 08:46:41:745,264521,264521,0,0,12612145,0,3482 73,3,2024-09-07 08:46:40:969,1,210,1,0,274,3222,210,0 74,0,2024-09-07 08:46:41:322,55769,0.5,56878,0.7,108314,0.5,146789,2.25 74,1,2024-09-07 08:46:40:638,369299,369299,0,0,172346369886,1800781893,365487,3021,791,381,391681,0 74,2,2024-09-07 08:46:41:002,265201,265201,0,0,12722586,0,4253 74,3,2024-09-07 08:46:41:447,1,210,20,0,522,3138,210,0 75,0,2024-09-07 08:46:41:775,49649,0.8,49317,1.0,98995,0.8,132352,2.50 75,1,2024-09-07 08:46:41:592,368313,368313,0,0,172345712993,1804827411,363853,3798,662,381,391597,0 75,2,2024-09-07 08:46:41:355,267706,267706,0,0,12854954,0,4766 75,3,2024-09-07 08:46:41:067,1,210,1,0,702,3027,210,0 76,0,2024-09-07 08:46:40:648,50719,0.6,50414,0.8,100492,0.6,135388,2.50 76,1,2024-09-07 08:46:40:818,369708,369708,0,0,173380814208,1808936684,367166,1994,548,382,391692,0 76,2,2024-09-07 08:46:41:066,267812,267812,0,0,10998252,0,3784 76,3,2024-09-07 08:46:41:147,1,210,2,0,175,2312,210,0 77,0,2024-09-07 08:46:41:756,53792,0.6,54147,0.8,107959,0.6,142986,2.00 77,1,2024-09-07 08:46:40:837,369738,369738,0,0,172572754065,1803275122,366937,2568,233,383,391808,0 77,2,2024-09-07 08:46:41:285,262096,262096,0,0,11056110,0,3890 77,3,2024-09-07 08:46:41:099,1,210,13,0,305,2314,210,0 78,0,2024-09-07 08:46:41:720,55094,0.5,54603,0.7,110362,0.4,145654,2.00 78,1,2024-09-07 08:46:40:610,369588,369588,0,0,172191501947,1790782893,366643,2630,315,367,391646,0 78,2,2024-09-07 08:46:41:405,264643,264643,0,0,10024368,0,2114 78,3,2024-09-07 08:46:41:133,1,210,12,0,181,2067,210,0 79,0,2024-09-07 08:46:41:353,50715,0.5,51929,0.7,106261,0.4,137923,2.50 79,1,2024-09-07 08:46:40:572,370750,370750,0,0,173372993074,1796145392,368020,2394,336,369,391682,0 79,2,2024-09-07 08:46:41:068,270210,270210,0,0,10425196,0,3212 79,3,2024-09-07 08:46:40:753,1,210,1,0,418,3197,210,0 80,0,2024-09-07 08:46:41:096,48305,0.6,49752,0.8,95400,0.7,129535,2.25 80,1,2024-09-07 08:46:41:633,368907,368907,0,0,172665687038,1799486212,366129,2611,167,368,391791,0 80,2,2024-09-07 08:46:41:101,268186,268186,0,0,10874638,0,4433 80,3,2024-09-07 08:46:40:597,1,210,6,0,190,3165,210,0 81,0,2024-09-07 08:46:41:568,54111,0.5,55486,0.7,106417,0.5,143609,2.00 81,1,2024-09-07 08:46:41:650,369059,369059,0,0,171712529875,1797095170,365982,2720,357,382,391879,0 81,2,2024-09-07 08:46:41:126,261514,261514,0,0,11134244,0,3993 81,3,2024-09-07 08:46:41:117,1,210,1,0,374,2586,210,0 82,0,2024-09-07 08:46:41:538,54788,0.4,55215,0.7,110695,0.4,147044,2.00 82,1,2024-09-07 08:46:40:587,369640,369636,0,4,172798971396,1803048603,366710,2315,611,381,391558,4 82,2,2024-09-07 08:46:41:693,264491,264491,0,0,10607547,0,3986 82,3,2024-09-07 08:46:41:752,1,210,9,0,363,2586,210,0 83,0,2024-09-07 08:46:41:537,52969,0.6,52995,0.8,105224,0.6,140369,2.00 83,1,2024-09-07 08:46:40:551,368836,368836,0,0,172396753926,1801463610,365742,2851,243,382,391690,0 83,2,2024-09-07 08:46:40:774,268548,268548,0,0,10551417,0,3119 83,3,2024-09-07 08:46:40:755,1,210,16,0,250,2340,210,0 84,0,2024-09-07 08:46:41:790,47554,0.9,47546,1.1,95335,0.8,127995,2.50 84,1,2024-09-07 08:46:41:039,368370,368370,0,0,172869673892,1810330759,364222,3579,569,368,391967,0 84,2,2024-09-07 08:46:40:571,267333,267333,0,0,12343426,0,3801 84,3,2024-09-07 08:46:41:141,1,210,3,0,353,2798,210,0 85,0,2024-09-07 08:46:41:011,51809,0.5,51780,0.7,109762,0.5,141880,2.25 85,1,2024-09-07 08:46:40:563,367653,367653,0,0,172317118909,1825087629,361300,5254,1099,382,392006,0 85,2,2024-09-07 08:46:40:877,263792,263792,0,0,12715227,0,3656 85,3,2024-09-07 08:46:40:685,1,210,31,0,789,2897,210,0 86,0,2024-09-07 08:46:40:952,53997,0.6,55472,0.8,106303,0.7,143693,2.00 86,1,2024-09-07 08:46:40:839,368616,368616,0,0,172863894660,1810100410,364324,3728,564,366,391961,0 86,2,2024-09-07 08:46:40:877,263108,263107,1,0,13351865,0,5004 86,3,2024-09-07 08:46:40:597,1,210,8,0,286,2871,210,0 87,0,2024-09-07 08:46:41:294,54176,1.2,53877,1.0,108377,1.6,144975,2.25 87,1,2024-09-07 08:46:40:556,369112,369112,0,0,172260797578,1805448162,365004,3629,479,366,391788,0 87,2,2024-09-07 08:46:41:067,265676,265676,0,0,12206300,0,4045 87,3,2024-09-07 08:46:41:796,1,210,3,0,473,3600,210,0 88,0,2024-09-07 08:46:41:437,48507,0.6,48702,0.7,97352,0.6,129612,1.75 88,1,2024-09-07 08:46:40:569,367779,367779,0,0,172280723168,1811430187,362039,4270,1470,365,392084,0 88,2,2024-09-07 08:46:40:688,267106,267106,0,0,13988398,0,3583 88,3,2024-09-07 08:46:41:269,1,210,2,0,435,2705,210,0 89,0,2024-09-07 08:46:41:767,54046,0.4,52351,0.6,103824,0.4,141134,1.75 89,1,2024-09-07 08:46:40:552,367348,367348,0,0,172858681190,1825820515,361353,5050,945,382,391866,0 89,2,2024-09-07 08:46:41:133,265269,265269,0,0,13007898,0,2910 89,3,2024-09-07 08:46:41:791,1,210,1,0,325,4070,210,0 90,0,2024-09-07 08:46:41:666,52106,0.5,53228,0.6,109354,0.4,141951,1.75 90,1,2024-09-07 08:46:40:589,369338,369338,0,0,172941999598,1811612640,366009,3068,261,381,391825,0 90,2,2024-09-07 08:46:41:427,261774,261774,0,0,13836660,0,3060 90,3,2024-09-07 08:46:40:938,1,210,8,0,200,2317,210,0 91,0,2024-09-07 08:46:40:935,55550,0.4,53689,0.6,112121,0.4,147204,1.75 91,1,2024-09-07 08:46:40:570,368486,368486,0,0,172568058904,1815392316,363658,4181,647,381,392047,0 91,2,2024-09-07 08:46:41:333,264113,264113,0,0,12393680,0,2445 91,3,2024-09-07 08:46:40:602,1,210,6,0,216,2127,210,0 92,0,2024-09-07 08:46:41:455,51825,0.6,53197,0.8,101687,0.7,136971,1.75 92,1,2024-09-07 08:46:40:587,368728,368728,0,0,172893284343,1804471733,366411,1912,405,382,392136,0 92,2,2024-09-07 08:46:41:351,269981,269981,0,0,11014902,0,2801 92,3,2024-09-07 08:46:41:009,1,210,1,0,167,1727,210,0 93,0,2024-09-07 08:46:40:974,50193,0.4,51451,0.6,98321,0.4,133768,1.75 93,1,2024-09-07 08:46:40:808,369593,369593,0,0,172939979067,1806158719,365859,3107,627,366,391692,0 93,2,2024-09-07 08:46:40:944,267850,267850,0,0,11317556,0,2509 93,3,2024-09-07 08:46:41:413,1,210,3,0,190,2262,210,0 94,0,2024-09-07 08:46:41:629,53721,0.4,54395,0.6,108097,0.3,143082,1.75 94,1,2024-09-07 08:46:40:566,368792,368792,0,0,173087208503,1809927407,365876,2783,133,381,391850,0 94,2,2024-09-07 08:46:40:766,261397,261397,0,0,10200380,0,2443 94,3,2024-09-07 08:46:41:689,1,210,33,0,264,2971,210,0 95,0,2024-09-07 08:46:41:397,55318,0.3,54927,0.5,111040,0.3,146771,1.75 95,1,2024-09-07 08:46:40:851,369472,369472,0,0,173442079804,1805686139,366279,2843,350,367,391662,0 95,2,2024-09-07 08:46:41:025,263626,263626,0,0,10968420,0,3308 95,3,2024-09-07 08:46:41:713,1,210,2,0,718,4274,210,0 96,0,2024-09-07 08:46:41:049,52944,0.5,53198,0.6,106252,0.4,140695,1.75 96,1,2024-09-07 08:46:41:593,368669,368669,0,0,172253088640,1803992934,365114,2826,729,385,391894,0 96,2,2024-09-07 08:46:41:336,269222,269222,0,0,11871723,0,4042 96,3,2024-09-07 08:46:41:146,1,210,12,0,411,2581,210,0 97,0,2024-09-07 08:46:41:313,48104,0.4,47828,0.5,96068,0.4,128031,1.75 97,1,2024-09-07 08:46:40:765,369954,369954,0,0,173319438171,1801007346,366989,2412,553,367,392140,0 97,2,2024-09-07 08:46:40:611,267450,267450,0,0,10950160,0,3036 97,3,2024-09-07 08:46:40:576,1,210,12,0,165,2477,210,0 98,0,2024-09-07 08:46:41:701,53826,0.3,53830,0.4,107987,0.2,143956,1.50 98,1,2024-09-07 08:46:40:571,369461,369461,0,0,173194192797,1804665681,367397,1969,95,382,391997,0 98,2,2024-09-07 08:46:40:775,263022,263022,0,0,10693933,0,3080 98,3,2024-09-07 08:46:40:708,1,210,21,0,840,3701,210,0 99,0,2024-09-07 08:46:41:454,54982,0.3,55010,0.4,109806,0.3,146862,1.50 99,1,2024-09-07 08:46:41:730,369829,369829,0,0,171933141838,1797113651,366077,3013,739,381,391744,0 99,2,2024-09-07 08:46:41:421,266248,266248,0,0,11351061,0,3106 99,3,2024-09-07 08:46:40:587,1,210,1,0,129,1617,210,0 100,0,2024-09-07 08:46:41:469,53800,0.9,53854,1.1,107441,1.2,143517,2.50 100,1,2024-09-07 08:46:40:552,367102,367102,0,0,171379150829,1818653906,360565,5268,1269,381,391989,0 100,2,2024-09-07 08:46:41:823,266554,266543,11,0,13051357,0,5417 100,3,2024-09-07 08:46:41:731,1,210,172,0,559,4272,210,0 101,0,2024-09-07 08:46:41:705,48878,2.0,47845,1.3,93355,2.1,128793,2.50 101,1,2024-09-07 08:46:40:553,367740,367740,0,0,172148111560,1817579218,361632,4584,1524,368,391769,0 101,2,2024-09-07 08:46:41:766,266287,266287,0,0,14008760,0,4644 101,3,2024-09-07 08:46:40:950,1,210,10,0,448,2837,210,0 102,0,2024-09-07 08:46:40:977,52042,0.7,53984,0.8,108539,0.6,142810,2.00 102,1,2024-09-07 08:46:41:187,368127,368127,0,0,172248014101,1813662680,363105,4288,734,369,391883,0 102,2,2024-09-07 08:46:41:746,264898,264844,54,0,12827871,0,6768 102,3,2024-09-07 08:46:41:621,1,210,8,0,410,2327,210,0 103,0,2024-09-07 08:46:41:655,55493,0.5,55512,0.7,104794,0.4,144184,1.75 103,1,2024-09-07 08:46:41:641,367380,367380,0,0,172060486289,1818557916,361357,4644,1379,381,391829,0 103,2,2024-09-07 08:46:40:585,262518,262518,0,0,11817050,0,2107 103,3,2024-09-07 08:46:40:756,1,210,1,0,916,3386,210,0 104,0,2024-09-07 08:46:41:013,54701,1.0,55095,1.1,108957,1.0,146850,2.50 104,1,2024-09-07 08:46:41:601,369316,369316,0,0,172481228640,1814980088,363943,4402,971,365,391948,0 104,2,2024-09-07 08:46:41:676,264612,264612,0,0,12910410,0,3941 104,3,2024-09-07 08:46:41:415,1,210,4,0,1245,5923,210,0 105,0,2024-09-07 08:46:41:035,49065,1.2,47622,1.3,99688,1.9,131263,3.50 105,1,2024-09-07 08:46:40:555,369351,369351,0,0,172450515894,1809865803,364418,4129,804,367,391797,0 105,2,2024-09-07 08:46:41:323,267676,267676,0,0,12528638,0,3509 105,3,2024-09-07 08:46:41:304,1,210,2,0,399,3852,210,0 106,0,2024-09-07 08:46:40:946,49144,0.8,50390,0.9,103183,0.8,135302,2.25 106,1,2024-09-07 08:46:41:753,368950,368950,0,0,172142258092,1808546406,363612,4860,478,369,391767,0 106,2,2024-09-07 08:46:40:757,264934,264934,0,0,12448399,0,2795 106,3,2024-09-07 08:46:40:688,1,210,12,0,470,3241,210,0 107,0,2024-09-07 08:46:41:109,53908,0.9,53804,0.9,107261,1.0,142802,2.00 107,1,2024-09-07 08:46:40:585,367743,367743,0,0,172153526202,1811193176,363528,3800,415,381,392234,0 107,2,2024-09-07 08:46:41:300,261912,261911,1,0,12409967,0,5024 107,3,2024-09-07 08:46:41:765,1,210,1,0,353,3245,210,0 108,0,2024-09-07 08:46:41:775,55188,0.4,55396,0.6,109834,0.4,146377,1.75 108,1,2024-09-07 08:46:41:305,369226,369226,0,0,172943093562,1803743015,366448,2464,314,368,391857,0 108,2,2024-09-07 08:46:41:755,262927,262927,0,0,11796615,0,2647 108,3,2024-09-07 08:46:41:329,1,210,7,0,749,4637,210,0 109,0,2024-09-07 08:46:41:799,52647,0.4,52064,0.6,104618,0.4,139939,1.75 109,1,2024-09-07 08:46:40:593,368526,368526,0,0,173148779347,1817252314,365353,2672,501,383,392132,0 109,2,2024-09-07 08:46:40:926,268475,268475,0,0,12040609,0,3617 109,3,2024-09-07 08:46:41:140,1,210,1,0,249,2689,210,0 110,0,2024-09-07 08:46:41:765,48662,0.4,47384,0.7,98937,0.4,130141,1.75 110,1,2024-09-07 08:46:41:649,369576,369576,0,0,173409534380,1802320373,366811,1996,769,370,392045,0 110,2,2024-09-07 08:46:41:303,267865,267865,0,0,11104450,0,2915 110,3,2024-09-07 08:46:40:696,1,210,2,0,406,2905,210,0 111,0,2024-09-07 08:46:41:415,54685,0.4,54217,0.5,108214,0.3,144925,1.75 111,1,2024-09-07 08:46:41:005,370284,370284,0,0,173321140735,1798123932,368456,1494,334,382,391690,0 111,2,2024-09-07 08:46:41:117,261616,261616,0,0,11022672,0,2763 111,3,2024-09-07 08:46:40:914,1,210,65,0,379,3136,210,0 112,0,2024-09-07 08:46:40:921,55351,0.3,55264,0.4,110472,0.2,147034,1.50 112,1,2024-09-07 08:46:40:838,370218,370218,0,0,172568453654,1793073001,367819,1972,427,380,391580,0 112,2,2024-09-07 08:46:41:134,263715,263714,1,0,11395363,0,5036 112,3,2024-09-07 08:46:40:593,1,210,0,0,282,2365,210,0 113,0,2024-09-07 08:46:40:873,52791,0.3,52713,0.5,105965,0.3,141579,1.75 113,1,2024-09-07 08:46:41:687,370531,370531,0,0,174058334008,1799669485,368044,1998,489,366,391661,0 113,2,2024-09-07 08:46:41:305,269689,269689,0,0,10589093,0,3813 113,3,2024-09-07 08:46:40:696,1,210,6,0,340,3504,210,0 114,0,2024-09-07 08:46:40:877,48400,0.5,48958,0.6,96746,0.4,129835,1.75 114,1,2024-09-07 08:46:40:715,368949,368949,0,0,173812801770,1816325879,364146,3215,1588,381,391556,0 114,2,2024-09-07 08:46:40:876,267510,267509,1,0,11675774,0,5069 114,3,2024-09-07 08:46:41:285,1,210,8,0,395,2459,210,0 115,0,2024-09-07 08:46:40:581,53559,0.3,53880,0.4,107568,0.2,142966,1.50 115,1,2024-09-07 08:46:40:572,369042,369042,0,0,173553623921,1809630142,364716,3493,833,382,391602,0 115,2,2024-09-07 08:46:41:134,264137,264137,0,0,9784084,0,2152 115,3,2024-09-07 08:46:41:001,1,210,0,0,159,1271,210,0 116,0,2024-09-07 08:46:41:712,54022,0.7,53715,0.9,107811,0.7,144410,2.00 116,1,2024-09-07 08:46:40:816,367137,367137,0,0,172348477983,1829956418,361054,4031,2052,380,391782,0 116,2,2024-09-07 08:46:41:751,262972,262972,0,0,13932519,0,3529 116,3,2024-09-07 08:46:40:915,1,210,1,0,252,2828,210,0 117,0,2024-09-07 08:46:40:951,54239,1.0,54230,1.0,108309,1.2,145076,2.00 117,1,2024-09-07 08:46:41:599,368487,368487,0,0,172333069388,1807937471,363972,4039,476,370,392033,0 117,2,2024-09-07 08:46:41:118,268058,268058,0,0,11304365,0,3700 117,3,2024-09-07 08:46:41:060,1,210,13,0,490,3759,210,0 118,0,2024-09-07 08:46:41:775,47215,0.8,48443,0.8,98689,0.9,129225,2.25 118,1,2024-09-07 08:46:40:587,368464,368464,0,0,171922383260,1812237114,362576,4461,1427,366,391736,0 118,2,2024-09-07 08:46:41:588,266959,266959,0,0,12481877,0,2781 118,3,2024-09-07 08:46:41:765,1,210,8,0,235,2566,210,0 119,0,2024-09-07 08:46:41:434,52127,0.5,52475,0.7,105370,0.5,139913,2.00 119,1,2024-09-07 08:46:40:553,368791,368791,0,0,172805417928,1806135606,365135,3341,315,368,391641,0 119,2,2024-09-07 08:46:41:263,265802,265802,0,0,11954645,0,4174 119,3,2024-09-07 08:46:41:326,1,210,5,0,443,3274,210,0 120,0,2024-09-07 08:46:41:568,53289,0.5,53273,0.8,106899,0.5,142552,2.00 120,1,2024-09-07 08:46:40:882,368715,368715,0,0,172119319834,1808547033,364454,3915,346,368,391961,0 120,2,2024-09-07 08:46:40:779,262401,262400,1,0,13706809,0,5281 120,3,2024-09-07 08:46:41:305,1,210,1,0,241,2960,210,0 121,0,2024-09-07 08:46:41:697,55011,1.1,55358,1.0,110345,1.5,146894,2.25 121,1,2024-09-07 08:46:41:658,369105,369105,0,0,172809203466,1808949287,365393,3310,402,367,391840,0 121,2,2024-09-07 08:46:41:126,263029,263029,0,0,13121630,0,4127 121,3,2024-09-07 08:46:40:730,1,210,0,0,269,2594,210,0 122,0,2024-09-07 08:46:41:770,51246,1.0,49865,1.0,104498,1.2,136945,2.25 122,1,2024-09-07 08:46:40:865,368065,368065,0,0,172442440409,1812221380,362828,4497,740,366,392130,0 122,2,2024-09-07 08:46:41:326,268018,267945,73,0,15961843,0,5989 122,3,2024-09-07 08:46:40:593,1,210,13,0,411,4648,210,0 123,0,2024-09-07 08:46:40:970,49865,0.7,48737,0.8,101414,0.7,133205,2.00 123,1,2024-09-07 08:46:40:565,368419,368419,0,0,172250230780,1821485074,360468,6390,1561,369,391823,0 123,2,2024-09-07 08:46:41:022,265585,265584,1,0,12853339,0,5215 123,3,2024-09-07 08:46:41:134,1,210,18,0,168,2561,210,0 124,0,2024-09-07 08:46:40:948,55682,0.3,55651,0.5,104971,0.3,143651,1.75 124,1,2024-09-07 08:46:41:040,370045,370045,0,0,172715506846,1796761383,367421,2262,362,367,392178,0 124,2,2024-09-07 08:46:41:014,262028,262028,0,0,10448756,0,3101 124,3,2024-09-07 08:46:40:758,1,210,1,0,490,2593,210,0 125,0,2024-09-07 08:46:41:450,55219,0.4,55111,0.5,110497,0.3,146889,1.75 125,1,2024-09-07 08:46:40:855,369024,369024,0,0,172766065415,1803058176,366468,2278,278,383,391702,0 125,2,2024-09-07 08:46:41:123,264453,264453,0,0,10624595,0,2180 125,3,2024-09-07 08:46:41:126,1,210,1,0,284,2627,210,0 126,0,2024-09-07 08:46:41:447,53106,0.6,54514,0.7,104207,0.6,141523,1.75 126,1,2024-09-07 08:46:40:552,369894,369894,0,0,173655999878,1802709869,367343,2292,259,365,391987,0 126,2,2024-09-07 08:46:40:615,270943,270943,0,0,11505851,0,3186 126,3,2024-09-07 08:46:40:908,1,210,3,0,183,3032,210,0 127,0,2024-09-07 08:46:41:598,48027,0.4,48240,0.5,96111,0.3,128062,1.75 127,1,2024-09-07 08:46:40:571,369775,369775,0,0,173561292459,1800660159,367033,2590,152,365,391816,0 127,2,2024-09-07 08:46:40:640,267705,267705,0,0,10613262,0,2264 127,3,2024-09-07 08:46:41:269,1,210,1,0,243,1760,210,0 128,0,2024-09-07 08:46:41:565,54262,0.3,54159,0.4,108043,0.2,144284,1.50 128,1,2024-09-07 08:46:41:634,369458,369458,0,0,172600473732,1792210806,367464,1838,156,367,391798,0 128,2,2024-09-07 08:46:41:385,261902,261902,0,0,10133659,0,2434 128,3,2024-09-07 08:46:40:773,1,210,2,0,1082,4437,210,0 129,0,2024-09-07 08:46:41:021,55269,0.3,54951,0.5,109956,0.3,146360,1.50 129,1,2024-09-07 08:46:40:567,367721,367721,0,0,172365281218,1810683773,363622,3172,927,379,391835,0 129,2,2024-09-07 08:46:40:696,264799,264799,0,0,11091220,0,4031 129,3,2024-09-07 08:46:40:695,1,210,3,0,469,3025,210,0 130,0,2024-09-07 08:46:41:767,54263,0.6,54111,0.7,108478,0.7,144403,1.75 130,1,2024-09-07 08:46:40:585,369481,369481,0,0,173093151907,1803766612,367114,2291,76,381,391825,0 130,2,2024-09-07 08:46:41:128,269141,269141,0,0,10961355,0,4067 130,3,2024-09-07 08:46:41:301,1,210,18,0,450,2892,210,0 131,0,2024-09-07 08:46:41:987,48123,0.5,48436,0.6,97552,0.5,128894,1.75 131,1,2024-09-07 08:46:41:827,369912,369912,0,0,172988992303,1806231679,366934,2522,456,383,391865,0 131,2,2024-09-07 08:46:40:567,268713,268713,0,0,10154539,0,2415 131,3,2024-09-07 08:46:41:696,1,210,2,0,392,2143,210,0 132,0,2024-09-07 08:46:41:444,53132,0.5,53793,0.7,107605,0.5,143277,2.00 132,1,2024-09-07 08:46:40:578,367996,367996,0,0,171830910054,1815934024,361882,5034,1080,381,392097,0 132,2,2024-09-07 08:46:40:703,264435,264435,0,0,13449924,0,4606 132,3,2024-09-07 08:46:41:689,1,210,6,0,356,3357,210,0 133,0,2024-09-07 08:46:41:517,52604,0.4,53785,0.6,110290,0.4,142986,1.75 133,1,2024-09-07 08:46:40:587,367108,367108,0,0,172441198825,1818760347,362025,4437,646,383,391914,0 133,2,2024-09-07 08:46:41:091,262673,262673,0,0,14091411,0,4315 133,3,2024-09-07 08:46:41:300,1,210,1,0,276,2153,210,0 134,0,2024-09-07 08:46:40:998,55094,0.5,55026,0.7,110590,0.5,146779,2.00 134,1,2024-09-07 08:46:40:584,368038,368038,0,0,172277585176,1812831040,362120,4502,1416,366,391718,0 134,2,2024-09-07 08:46:41:754,264921,264921,0,0,11706736,0,3847 134,3,2024-09-07 08:46:40:763,1,210,12,0,739,3417,210,0 135,0,2024-09-07 08:46:41:099,47936,1.2,47921,1.1,101759,1.4,130891,2.25 135,1,2024-09-07 08:46:41:585,367381,367381,0,0,172835875794,1819329499,362304,4180,897,380,391805,0 135,2,2024-09-07 08:46:40:702,267749,267749,0,0,13182237,0,3981 135,3,2024-09-07 08:46:41:002,1,210,0,0,299,1504,210,0 136,0,2024-09-07 08:46:41:634,51383,0.5,51301,0.7,102539,0.5,137022,2.00 136,1,2024-09-07 08:46:41:456,368240,368240,0,0,172194788540,1810946434,363268,4430,542,382,391685,0 136,2,2024-09-07 08:46:41:148,267308,267308,0,0,12183487,0,3506 136,3,2024-09-07 08:46:41:131,1,210,1,0,126,1733,210,0 137,0,2024-09-07 08:46:40:932,55431,0.7,53829,0.8,106273,0.7,143962,2.00 137,1,2024-09-07 08:46:40:587,367767,367767,0,0,172280121762,1811199761,361416,4981,1370,366,391708,0 137,2,2024-09-07 08:46:41:707,261671,261671,0,0,13807853,0,3185 137,3,2024-09-07 08:46:40:774,1,210,2,0,227,2357,210,0 138,0,2024-09-07 08:46:41:775,54634,0.9,54744,1.0,109792,1.1,146007,2.25 138,1,2024-09-07 08:46:41:687,368564,368564,0,0,173410647035,1820293019,363058,4618,888,368,391954,0 138,2,2024-09-07 08:46:40:587,264121,264121,0,0,12439282,0,4988 138,3,2024-09-07 08:46:40:611,1,210,12,0,1160,3593,210,0 139,0,2024-09-07 08:46:41:369,51408,2.5,51452,1.5,103818,3.6,138425,2.75 139,1,2024-09-07 08:46:40:572,366751,366751,0,0,171616029141,1825829098,359527,5349,1875,381,391892,0 139,2,2024-09-07 08:46:40:700,267607,267607,0,0,13070730,0,3097 139,3,2024-09-07 08:46:41:662,1,210,1,0,244,2319,210,0 140,0,2024-09-07 08:46:41:816,49070,0.4,48453,0.6,97787,0.3,130289,1.75 140,1,2024-09-07 08:46:41:537,370442,370442,0,0,173735747102,1791999341,368685,1517,240,365,391606,0 140,2,2024-09-07 08:46:40:691,268487,268487,0,0,10890401,0,3388 140,3,2024-09-07 08:46:40:773,1,210,1,0,247,1760,210,0 141,0,2024-09-07 08:46:41:712,54502,0.3,55947,0.5,106858,0.2,144944,1.75 141,1,2024-09-07 08:46:40:864,370299,370299,0,0,173344690427,1799220568,368225,1719,355,379,391614,0 141,2,2024-09-07 08:46:41:691,262037,262037,0,0,10046951,0,2342 141,3,2024-09-07 08:46:41:045,1,210,0,0,176,1745,210,0 142,0,2024-09-07 08:46:41:311,55854,0.3,55350,0.5,110082,0.2,147328,1.50 142,1,2024-09-07 08:46:40:598,369175,369175,0,0,173205436628,1807736300,366718,2214,243,382,392102,0 142,2,2024-09-07 08:46:41:302,263519,263487,32,0,11843848,0,6028 142,3,2024-09-07 08:46:41:756,1,210,10,0,484,2841,210,0 143,0,2024-09-07 08:46:41:393,53071,0.6,52961,0.6,106656,0.6,141419,1.75 143,1,2024-09-07 08:46:40:565,369705,369705,0,0,173000530095,1795753407,367377,2282,46,367,391619,0 143,2,2024-09-07 08:46:40:770,269072,269072,0,0,11396534,0,2669 143,3,2024-09-07 08:46:41:140,1,210,1,0,303,3011,210,0 144,0,2024-09-07 08:46:41:578,46453,0.7,47838,1.1,97171,0.6,128041,2.25 144,1,2024-09-07 08:46:40:583,367730,367730,0,0,172452687600,1814237195,363908,2901,921,381,391649,0 144,2,2024-09-07 08:46:41:755,267658,267658,0,0,10921849,0,3473 144,3,2024-09-07 08:46:41:747,1,210,170,0,249,2641,210,0 145,0,2024-09-07 08:46:41:373,51862,0.5,51876,0.7,109993,0.4,142428,2.25 145,1,2024-09-07 08:46:40:552,367083,367083,0,0,172929257923,1822002123,361808,4456,819,382,391698,0 145,2,2024-09-07 08:46:41:450,262163,262163,0,0,12225811,0,3903 145,3,2024-09-07 08:46:40:902,1,210,8,0,622,3877,210,0 146,0,2024-09-07 08:46:41:672,53988,0.5,53528,0.8,107861,0.5,143595,2.25 146,1,2024-09-07 08:46:41:589,368938,368938,0,0,172564387245,1817159037,362365,5139,1434,368,391770,0 146,2,2024-09-07 08:46:41:697,263682,263682,0,0,11924292,0,2498 146,3,2024-09-07 08:46:41:273,1,210,8,0,1520,5649,210,0 147,0,2024-09-07 08:46:41:708,54179,0.7,54126,0.8,107586,0.6,144728,2.25 147,1,2024-09-07 08:46:41:374,369923,369923,0,0,172961371590,1801930114,366197,3157,569,368,391791,0 147,2,2024-09-07 08:46:41:014,267925,267925,0,0,10875882,0,2789 147,3,2024-09-07 08:46:40:924,1,210,11,0,730,3297,210,0 0,0,2024-09-07 08:46:51:719,52106,0.5,52144,0.7,110575,0.5,143070,1.75 0,1,2024-09-07 08:46:50:801,370662,370662,0,0,173887992476,1822624124,367563,2897,202,372,391772,0 0,2,2024-09-07 08:46:51:077,264513,264513,0,0,11455617,0,4480 0,3,2024-09-07 08:46:50:974,1,211,4,0,247,2767,211,0 1,0,2024-09-07 08:46:51:795,55468,1.0,54988,1.0,110591,1.2,147381,2.00 1,1,2024-09-07 08:46:50:577,370076,370076,0,0,172841364568,1816190936,365705,3318,1053,371,391857,0 1,2,2024-09-07 08:46:50:655,265311,265311,0,0,10655406,0,3267 1,3,2024-09-07 08:46:51:314,1,211,12,0,262,2669,211,0 2,0,2024-09-07 08:46:51:577,51216,0.9,51585,0.9,102471,1.2,136120,2.00 2,1,2024-09-07 08:46:50:860,371113,371113,0,0,173924457189,1809839416,369117,1778,218,380,391745,0 2,2,2024-09-07 08:46:51:267,269430,269430,0,0,11424666,0,3594 2,3,2024-09-07 08:46:50:691,1,211,9,0,357,2082,211,0 3,0,2024-09-07 08:46:51:756,50302,0.4,50302,0.6,100179,0.4,133540,2.00 3,1,2024-09-07 08:46:51:618,370634,370634,0,0,173148082921,1808049452,366900,3273,461,380,391523,0 3,2,2024-09-07 08:46:51:142,268317,268294,23,0,11478226,0,5851 3,3,2024-09-07 08:46:51:760,1,211,1,0,103,1358,211,0 4,0,2024-09-07 08:46:51:794,52314,0.4,53766,0.5,109483,0.4,143303,1.75 4,1,2024-09-07 08:46:50:608,370356,370356,0,0,173539385173,1825186271,366279,3396,681,371,391846,0 4,2,2024-09-07 08:46:51:018,262235,262235,0,0,13097329,0,4528 4,3,2024-09-07 08:46:51:028,1,211,0,0,448,3357,211,0 5,0,2024-09-07 08:46:51:398,55409,0.4,55554,0.6,110553,0.4,147289,1.75 5,1,2024-09-07 08:46:50:767,370250,370250,0,0,173231460463,1824296555,365519,3674,1057,368,392005,0 5,2,2024-09-07 08:46:51:829,263724,263724,0,0,11910329,0,2259 5,3,2024-09-07 08:46:51:732,1,211,457,0,457,3465,211,0 6,0,2024-09-07 08:46:50:919,53595,0.7,53074,0.9,105870,0.8,142124,2.25 6,1,2024-09-07 08:46:50:752,370740,370740,0,0,173009389289,1812041292,366424,3511,805,379,391634,0 6,2,2024-09-07 08:46:51:117,270277,270277,0,0,12201729,0,4816 6,3,2024-09-07 08:46:51:281,1,211,12,0,340,2717,211,0 7,0,2024-09-07 08:46:51:542,47886,0.5,48015,0.7,95625,0.5,127661,2.00 7,1,2024-09-07 08:46:50:863,369803,369803,0,0,173537221006,1826055832,364226,4603,974,382,391686,0 7,2,2024-09-07 08:46:50:770,267850,267850,0,0,12087499,0,4791 7,3,2024-09-07 08:46:50:858,1,211,1,0,305,2205,211,0 8,0,2024-09-07 08:46:51:346,54268,0.4,54402,0.5,108364,0.3,145276,1.75 8,1,2024-09-07 08:46:51:017,369562,369562,0,0,173727776186,1834244674,362457,5269,1836,367,391956,0 8,2,2024-09-07 08:46:50:797,260031,260031,0,0,13338340,0,2986 8,3,2024-09-07 08:46:50:603,1,211,13,0,357,3125,211,0 9,0,2024-09-07 08:46:51:105,55306,0.3,53713,0.5,112075,0.3,147277,1.75 9,1,2024-09-07 08:46:50:559,370011,370011,0,0,173674633432,1834623797,364008,4465,1538,370,392001,0 9,2,2024-09-07 08:46:51:088,265145,265145,0,0,12032527,0,3360 9,3,2024-09-07 08:46:51:781,1,211,11,0,496,3282,211,0 10,0,2024-09-07 08:46:51:599,54297,0.3,53878,0.5,108254,0.3,144132,1.75 10,1,2024-09-07 08:46:50:590,370399,370399,0,0,173519695161,1821685760,364655,4900,844,381,391741,0 10,2,2024-09-07 08:46:50:767,269670,269670,0,0,13498814,0,4264 10,3,2024-09-07 08:46:50:874,1,211,8,0,177,1776,211,0 11,0,2024-09-07 08:46:51:020,47856,0.5,46486,0.7,97267,0.5,129311,2.00 11,1,2024-09-07 08:46:50:578,370407,370407,0,0,173201643696,1827063064,362768,5725,1914,383,391537,0 11,2,2024-09-07 08:46:51:126,268590,268590,0,0,12448043,0,3411 11,3,2024-09-07 08:46:51:298,1,211,2,0,720,3079,211,0 12,0,2024-09-07 08:46:50:994,54173,0.3,54148,0.5,108151,0.3,144078,1.75 12,1,2024-09-07 08:46:50:955,370939,370939,0,0,173054995250,1807112519,367663,2857,419,370,391837,0 12,2,2024-09-07 08:46:51:549,265871,265871,0,0,12149009,0,3469 12,3,2024-09-07 08:46:51:059,1,211,2,0,386,3949,211,0 13,0,2024-09-07 08:46:51:336,54430,0.4,54240,0.5,108485,0.3,144231,1.75 13,1,2024-09-07 08:46:51:524,369796,369796,0,0,172958237954,1816551331,366646,2622,528,382,391717,0 13,2,2024-09-07 08:46:50:604,265658,265658,0,0,11053391,0,3287 13,3,2024-09-07 08:46:51:773,1,211,6,0,467,3673,211,0 14,0,2024-09-07 08:46:50:573,55427,0.4,55967,0.6,110599,0.4,147015,1.75 14,1,2024-09-07 08:46:51:561,372657,372657,0,0,174463230814,1809108809,369961,2507,189,364,391571,0 14,2,2024-09-07 08:46:50:767,267121,267121,0,0,11265423,0,2896 14,3,2024-09-07 08:46:51:116,1,211,10,0,1168,3257,211,0 15,0,2024-09-07 08:46:51:561,49319,0.6,49680,0.9,99267,0.7,131682,2.25 15,1,2024-09-07 08:46:51:608,371258,371258,0,0,173563974201,1806092182,368965,2149,144,381,391619,0 15,2,2024-09-07 08:46:50:998,269585,269585,0,0,9983129,0,3043 15,3,2024-09-07 08:46:51:405,1,211,21,0,1126,4762,211,0 16,0,2024-09-07 08:46:50:970,51507,0.8,51783,0.9,102626,0.8,138092,2.25 16,1,2024-09-07 08:46:50:562,371067,371067,0,0,173558924844,1818787735,367546,3227,294,370,391756,0 16,2,2024-09-07 08:46:51:434,267218,267218,0,0,12326335,0,4719 16,3,2024-09-07 08:46:51:143,1,211,19,0,317,2947,211,0 17,0,2024-09-07 08:46:51:810,55595,0.5,54264,0.8,106322,0.5,144043,2.00 17,1,2024-09-07 08:46:50:584,369450,369450,0,0,172883228486,1821147127,364626,3723,1101,368,391688,0 17,2,2024-09-07 08:46:51:685,266249,266249,0,0,11253337,0,2857 17,3,2024-09-07 08:46:50:583,1,211,23,0,268,3527,211,0 18,0,2024-09-07 08:46:50:945,54538,0.7,54679,0.9,109450,0.8,145796,2.50 18,1,2024-09-07 08:46:51:638,370898,370898,0,0,173516756130,1802029706,368427,2233,238,367,391564,0 18,2,2024-09-07 08:46:51:785,265694,265694,0,0,11004269,0,3541 18,3,2024-09-07 08:46:50:896,1,211,4,0,163,2210,211,0 19,0,2024-09-07 08:46:51:543,52016,0.9,52646,0.9,103848,1.0,138447,2.50 19,1,2024-09-07 08:46:50:566,370915,370915,0,0,173943026012,1812830065,366320,3810,785,367,391777,0 19,2,2024-09-07 08:46:51:752,271430,271430,0,0,10300276,0,3988 19,3,2024-09-07 08:46:51:129,1,211,0,0,524,1756,211,0 20,0,2024-09-07 08:46:51:374,48750,0.7,48729,0.8,97937,0.8,130865,2.25 20,1,2024-09-07 08:46:50:573,370146,370146,0,0,173286700247,1816079431,366435,3315,396,369,391886,0 20,2,2024-09-07 08:46:50:928,268494,268494,0,0,11772347,0,3721 20,3,2024-09-07 08:46:50:596,1,211,2,0,414,4331,211,0 21,0,2024-09-07 08:46:51:138,54507,0.5,54727,0.7,109259,0.5,144456,2.00 21,1,2024-09-07 08:46:51:536,369377,369377,0,0,172598160676,1822572491,363979,4165,1233,368,391962,0 21,2,2024-09-07 08:46:51:078,262460,262460,0,0,12688395,0,3747 21,3,2024-09-07 08:46:51:404,1,211,1,0,103,2369,211,0 22,0,2024-09-07 08:46:51:720,55317,0.7,55348,0.8,110210,0.7,146966,2.25 22,1,2024-09-07 08:46:51:024,369659,369659,0,0,172891523565,1824059093,363220,4850,1589,382,391667,0 22,2,2024-09-07 08:46:50:760,264737,264737,0,0,11453978,0,3134 22,3,2024-09-07 08:46:51:066,1,211,8,0,228,2148,211,0 23,0,2024-09-07 08:46:51:374,52832,0.7,52784,0.8,106095,0.8,141339,2.25 23,1,2024-09-07 08:46:51:004,370475,370475,0,0,173333404661,1825554949,363506,4420,2549,365,391550,0 23,2,2024-09-07 08:46:51:092,270520,270520,0,0,11403808,0,3010 23,3,2024-09-07 08:46:51:760,1,211,0,0,645,2645,211,0 24,0,2024-09-07 08:46:50:835,49003,0.5,48931,0.6,98097,0.4,130747,1.75 24,1,2024-09-07 08:46:50:586,370425,370425,0,0,173272988811,1816701805,366228,3169,1028,369,391640,0 24,2,2024-09-07 08:46:51:069,267565,267565,0,0,13531039,0,3607 24,3,2024-09-07 08:46:51:686,1,211,9,0,468,3113,211,0 25,0,2024-09-07 08:46:51:368,55368,0.4,53960,0.6,105775,0.3,144230,2.00 25,1,2024-09-07 08:46:50:583,370606,370606,0,0,173424600464,1817620487,366657,3403,546,371,391788,0 25,2,2024-09-07 08:46:51:608,262669,262669,0,0,13426141,0,3978 25,3,2024-09-07 08:46:51:005,1,211,9,0,255,2466,211,0 26,0,2024-09-07 08:46:51:727,54113,0.4,52886,0.6,111074,0.4,144861,1.75 26,1,2024-09-07 08:46:51:542,371069,371069,0,0,172809971527,1813753248,365481,4607,981,381,391748,0 26,2,2024-09-07 08:46:50:868,265730,265730,0,0,12555693,0,2809 26,3,2024-09-07 08:46:51:712,1,211,13,0,796,3043,211,0 27,0,2024-09-07 08:46:51:750,54888,0.5,54739,0.6,108493,0.4,145003,2.00 27,1,2024-09-07 08:46:51:680,372328,372328,0,0,174574274400,1814348560,370027,1972,329,381,391558,0 27,2,2024-09-07 08:46:50:868,266523,266458,65,0,13769969,0,5699 27,3,2024-09-07 08:46:51:015,1,211,55,0,564,2321,211,0 28,0,2024-09-07 08:46:51:392,48788,0.6,48775,0.8,98181,0.7,131030,2.25 28,1,2024-09-07 08:46:50:797,371778,371778,0,0,174557721549,1820601616,368957,2351,470,383,391646,0 28,2,2024-09-07 08:46:51:780,269112,269112,0,0,11028245,0,2915 28,3,2024-09-07 08:46:51:786,1,211,1,0,502,2394,211,0 29,0,2024-09-07 08:46:51:372,54357,0.3,53167,0.5,103801,0.2,142346,1.75 29,1,2024-09-07 08:46:51:562,372548,372548,0,0,173747110009,1801528967,369557,2428,563,369,391621,0 29,2,2024-09-07 08:46:50:877,266532,266532,0,0,10114894,0,4018 29,3,2024-09-07 08:46:50:966,1,211,40,0,115,1662,211,0 30,0,2024-09-07 08:46:51:461,53487,0.6,52051,0.8,109025,0.6,142497,2.00 30,1,2024-09-07 08:46:50:578,372270,372270,0,0,174856870565,1814203585,370081,1896,293,382,391672,0 30,2,2024-09-07 08:46:51:273,265147,265147,0,0,10002688,0,3161 30,3,2024-09-07 08:46:50:584,1,211,8,0,195,1498,211,0 31,0,2024-09-07 08:46:51:771,54896,0.4,55251,0.6,110931,0.4,147204,2.00 31,1,2024-09-07 08:46:50:579,373336,373336,0,0,174572575388,1790472430,372241,938,157,356,391712,0 31,2,2024-09-07 08:46:51:274,265118,265118,0,0,11513515,0,3525 31,3,2024-09-07 08:46:51:706,1,211,8,0,220,1805,211,0 32,0,2024-09-07 08:46:51:443,51193,0.4,51741,0.6,103360,0.4,136784,1.75 32,1,2024-09-07 08:46:50:805,372011,372011,0,0,174058012593,1808456676,370104,1630,277,381,391614,0 32,2,2024-09-07 08:46:50:935,270141,270141,0,0,10065368,0,3155 32,3,2024-09-07 08:46:51:015,1,211,2,0,227,1671,211,0 33,0,2024-09-07 08:46:51:508,50721,0.3,50025,0.5,100820,0.2,134558,1.75 33,1,2024-09-07 08:46:50:584,372428,372428,0,0,174845546902,1809436185,369908,2348,172,369,391730,0 33,2,2024-09-07 08:46:50:759,269058,269025,33,0,12011406,0,7012 33,3,2024-09-07 08:46:50:894,1,211,1,0,329,2524,211,0 34,0,2024-09-07 08:46:50:934,53925,0.4,55593,0.5,106662,0.3,142578,1.75 34,1,2024-09-07 08:46:51:044,373098,373098,0,0,174783518828,1800374419,372026,1065,7,367,391562,0 34,2,2024-09-07 08:46:50:766,263190,263190,0,0,10920820,0,3577 34,3,2024-09-07 08:46:51:692,1,211,21,0,148,1477,211,0 35,0,2024-09-07 08:46:50:883,54753,0.4,55214,0.5,110806,0.3,147489,1.75 35,1,2024-09-07 08:46:51:067,370916,370916,0,0,174480110597,1813043441,367648,2489,779,384,391589,0 35,2,2024-09-07 08:46:51:587,265693,265693,0,0,10131197,0,2653 35,3,2024-09-07 08:46:50:912,1,211,0,0,418,2616,211,0 36,0,2024-09-07 08:46:51:535,53210,0.8,53194,0.9,106495,0.8,141752,2.50 36,1,2024-09-07 08:46:50:589,370530,370530,0,0,173296834967,1817720133,364774,4178,1578,366,391759,0 36,2,2024-09-07 08:46:51:772,269818,269818,0,0,12628799,0,3875 36,3,2024-09-07 08:46:50:867,1,211,1,0,416,3782,211,0 37,0,2024-09-07 08:46:51:373,47809,0.5,47633,0.7,95760,0.5,128488,2.00 37,1,2024-09-07 08:46:50:575,370111,370104,0,7,173591786901,1824083654,364403,3653,2048,365,391560,0 37,2,2024-09-07 08:46:51:142,267965,267950,15,0,12642624,0,5815 37,3,2024-09-07 08:46:51:779,1,211,0,0,888,4101,211,0 38,0,2024-09-07 08:46:51:445,53809,0.5,52399,0.7,109268,0.4,143171,2.00 38,1,2024-09-07 08:46:51:607,371681,371681,0,0,173875723080,1818331227,366947,4082,652,368,391821,0 38,2,2024-09-07 08:46:50:760,262614,262567,47,0,12955766,0,6710 38,3,2024-09-07 08:46:50:997,1,211,13,0,689,3394,211,0 39,0,2024-09-07 08:46:51:760,56291,0.7,55303,0.8,107353,0.7,147264,2.00 39,1,2024-09-07 08:46:50:726,371351,371351,0,0,174118018813,1819117484,366629,3912,810,365,391594,0 39,2,2024-09-07 08:46:51:423,265154,265154,0,0,11006413,0,2689 39,3,2024-09-07 08:46:50:713,1,211,1,0,324,3338,211,0 40,0,2024-09-07 08:46:51:495,53548,0.8,54026,1.0,108149,0.8,143661,3.00 40,1,2024-09-07 08:46:50:584,370950,370950,0,0,173394383085,1819942326,364823,4894,1233,368,391591,0 40,2,2024-09-07 08:46:51:304,268892,268891,1,0,13606665,0,5137 40,3,2024-09-07 08:46:51:142,1,211,1,0,333,2505,211,0 41,0,2024-09-07 08:46:51:026,47692,1.4,48836,1.4,93337,2.6,127880,3.50 41,1,2024-09-07 08:46:50:768,370660,370660,0,0,173435598132,1815355845,365812,4287,561,370,391742,0 41,2,2024-09-07 08:46:50:766,266968,266968,0,0,13425769,0,3356 41,3,2024-09-07 08:46:51:681,1,211,2,0,366,2445,211,0 42,0,2024-09-07 08:46:51:477,53371,0.6,53540,0.8,107001,0.6,142071,2.25 42,1,2024-09-07 08:46:51:447,369547,369547,0,0,174058186748,1829185016,363910,4563,1074,380,391675,0 42,2,2024-09-07 08:46:51:136,264643,264643,0,0,12319549,0,3568 42,3,2024-09-07 08:46:51:012,1,211,8,0,446,1900,211,0 43,0,2024-09-07 08:46:50:944,53834,0.7,52409,0.9,109362,0.8,143855,2.00 43,1,2024-09-07 08:46:50:584,371246,371246,0,0,174407326634,1818711210,367139,3310,797,366,391696,0 43,2,2024-09-07 08:46:51:736,265395,265395,0,0,11668754,0,3812 43,3,2024-09-07 08:46:51:749,1,211,1,0,467,2763,211,0 44,0,2024-09-07 08:46:50:865,55170,0.5,55525,0.7,110660,0.4,147533,1.75 44,1,2024-09-07 08:46:50:563,372169,372169,0,0,173607036668,1794867543,369771,1968,430,356,391809,0 44,2,2024-09-07 08:46:51:272,266427,266427,0,0,9937005,0,1877 44,3,2024-09-07 08:46:51:093,1,211,3,0,817,2300,211,0 45,0,2024-09-07 08:46:51:803,48946,0.8,47905,1.0,100524,0.9,132399,2.00 45,1,2024-09-07 08:46:51:005,371326,371326,0,0,174335018022,1807390408,369997,1318,11,382,391917,0 45,2,2024-09-07 08:46:51:268,269631,269631,0,0,10858507,0,3596 45,3,2024-09-07 08:46:50:934,1,211,2,0,271,2479,211,0 46,0,2024-09-07 08:46:50:966,51517,0.4,51433,0.6,102976,0.4,137526,2.00 46,1,2024-09-07 08:46:50:575,372492,372492,0,0,173723072370,1795621629,370317,1903,272,366,391524,0 46,2,2024-09-07 08:46:50:595,268241,268241,0,0,10523293,0,2920 46,3,2024-09-07 08:46:51:133,1,211,12,0,908,3369,211,0 47,0,2024-09-07 08:46:51:101,54295,0.4,54235,0.6,108996,0.4,144047,1.75 47,1,2024-09-07 08:46:50:567,372513,372513,0,0,173659624695,1794265563,370531,1897,85,366,391605,0 47,2,2024-09-07 08:46:50:910,265812,265812,0,0,10369248,0,2558 47,3,2024-09-07 08:46:51:115,1,211,11,0,529,2191,211,0 48,0,2024-09-07 08:46:51:490,55511,0.3,55228,0.4,109960,0.2,146729,1.75 48,1,2024-09-07 08:46:51:048,372211,372211,0,0,173892352800,1809360902,369279,2633,299,384,391710,0 48,2,2024-09-07 08:46:50:699,265484,265484,0,0,10117856,0,3031 48,3,2024-09-07 08:46:50:753,1,211,3,0,339,1951,211,0 49,0,2024-09-07 08:46:51:710,53993,0.4,52946,0.6,102809,0.4,140501,1.75 49,1,2024-09-07 08:46:51:021,369869,369869,0,0,172856904957,1805595006,366114,2574,1181,382,391610,0 49,2,2024-09-07 08:46:51:800,271001,271001,0,0,10795348,0,3900 49,3,2024-09-07 08:46:51:418,1,211,0,0,408,2561,211,0 50,0,2024-09-07 08:46:51:508,49159,0.3,48539,0.5,97753,0.2,131049,1.75 50,1,2024-09-07 08:46:51:013,372792,372792,0,0,174072429130,1804341392,370088,2394,310,368,391530,0 50,2,2024-09-07 08:46:51:067,269303,269303,0,0,10213263,0,2263 50,3,2024-09-07 08:46:51:291,1,211,8,0,335,1857,211,0 51,0,2024-09-07 08:46:51:687,55663,0.3,54504,0.5,106307,0.2,144767,1.75 51,1,2024-09-07 08:46:51:681,372172,372172,0,0,174788275652,1808186709,369436,1797,939,365,391637,0 51,2,2024-09-07 08:46:51:315,262898,262898,0,0,9164152,0,3337 51,3,2024-09-07 08:46:51:027,1,211,4,0,678,1918,211,0 52,0,2024-09-07 08:46:51:422,55924,0.5,55665,0.7,111088,0.4,147690,2.00 52,1,2024-09-07 08:46:50:577,370435,370435,0,0,172978316850,1817755960,364109,5158,1168,368,391722,0 52,2,2024-09-07 08:46:51:757,263464,263426,38,0,13008524,0,6742 52,3,2024-09-07 08:46:50:677,1,211,18,0,1782,4001,211,0 53,0,2024-09-07 08:46:51:743,52703,0.8,51264,0.9,107343,1.0,140519,2.50 53,1,2024-09-07 08:46:50:771,369600,369600,0,0,173939035501,1832783223,362692,4665,2243,367,391702,0 53,2,2024-09-07 08:46:51:297,270474,270474,0,0,11004475,0,2262 53,3,2024-09-07 08:46:50:697,1,211,6,0,271,2056,211,0 54,0,2024-09-07 08:46:51:615,47482,1.1,47988,1.0,95014,0.6,128024,3.25 54,1,2024-09-07 08:46:50:589,370661,370661,0,0,173802821414,1811064423,366388,3702,571,367,391659,0 54,2,2024-09-07 08:46:50:866,268330,268324,6,0,12385255,0,5382 54,3,2024-09-07 08:46:50:766,1,211,8,0,676,3515,211,0 55,0,2024-09-07 08:46:51:763,52146,0.5,53966,0.7,108786,0.4,141851,2.25 55,1,2024-09-07 08:46:50:767,370113,370113,0,0,172599704481,1803339707,364588,4738,787,365,391731,0 55,2,2024-09-07 08:46:50:730,262800,262800,0,0,12106542,0,3275 55,3,2024-09-07 08:46:50:683,1,211,2,0,304,2674,211,0 56,0,2024-09-07 08:46:51:586,55542,1.2,52363,1.1,107874,1.7,144755,2.50 56,1,2024-09-07 08:46:50:585,369978,369978,0,0,173424491184,1833474000,364096,4939,943,381,391678,0 56,2,2024-09-07 08:46:51:312,265524,265524,0,0,12796094,0,3567 56,3,2024-09-07 08:46:51:059,1,211,1,0,705,3375,211,0 57,0,2024-09-07 08:46:50:938,53637,1.9,53812,1.3,107532,2.6,143965,3.25 57,1,2024-09-07 08:46:50:989,370732,370732,0,0,173299968733,1816102671,366623,3600,509,367,391960,0 57,2,2024-09-07 08:46:51:329,268245,268245,0,0,13779768,0,3317 57,3,2024-09-07 08:46:51:738,1,211,135,0,359,3155,211,0 58,0,2024-09-07 08:46:50:563,47684,0.9,46408,1.0,97219,0.9,127456,2.50 58,1,2024-09-07 08:46:50:576,370980,370977,0,3,174309765185,1823167908,365800,4483,694,367,391545,3 58,2,2024-09-07 08:46:51:075,269005,269005,0,0,12512068,0,2549 58,3,2024-09-07 08:46:51:068,1,211,9,0,1043,2648,211,0 59,0,2024-09-07 08:46:51:741,52971,0.6,52806,0.8,105565,0.6,140985,2.75 59,1,2024-09-07 08:46:50:806,370905,370905,0,0,173933950527,1819197779,366578,3344,983,369,391525,0 59,2,2024-09-07 08:46:50:585,267662,267662,0,0,11312786,0,2604 59,3,2024-09-07 08:46:51:742,1,211,7,0,1015,3309,211,0 60,0,2024-09-07 08:46:51:734,53901,0.5,53631,0.6,107889,0.4,143189,1.75 60,1,2024-09-07 08:46:50:803,372316,372316,0,0,174582386454,1812363583,369947,1852,517,370,392031,0 60,2,2024-09-07 08:46:51:149,264900,264900,0,0,11683587,0,3811 60,3,2024-09-07 08:46:51:259,1,211,2,0,124,1935,211,0 61,0,2024-09-07 08:46:51:510,55307,0.8,55564,0.9,110611,0.9,147401,2.00 61,1,2024-09-07 08:46:50:783,370924,370924,0,0,173641788477,1819618797,366763,3518,643,382,391880,0 61,2,2024-09-07 08:46:51:121,266288,266288,0,0,10923232,0,2090 61,3,2024-09-07 08:46:51:694,1,211,25,0,397,2960,211,0 62,0,2024-09-07 08:46:51:730,51764,0.9,52821,0.9,100689,1.2,136630,2.25 62,1,2024-09-07 08:46:51:111,373306,373300,0,6,174677117731,1803945368,370933,2251,116,365,391715,6 62,2,2024-09-07 08:46:51:644,268658,268657,1,0,12160194,0,5555 62,3,2024-09-07 08:46:51:142,1,211,8,0,287,1525,211,0 63,0,2024-09-07 08:46:51:457,50397,0.5,50375,0.6,100620,0.4,134267,1.75 63,1,2024-09-07 08:46:50:810,372793,372787,0,6,174547356762,1809772661,371250,1487,50,381,391677,6 63,2,2024-09-07 08:46:50:767,268568,268568,0,0,10142200,0,2674 63,3,2024-09-07 08:46:51:732,1,211,1,0,667,2712,211,0 64,0,2024-09-07 08:46:51:531,53378,0.5,53493,0.7,107285,0.4,142452,1.75 64,1,2024-09-07 08:46:50:765,371152,371152,0,0,174087816838,1820556203,366728,3010,1414,370,391783,0 64,2,2024-09-07 08:46:51:143,265545,265526,19,0,11185240,0,6121 64,3,2024-09-07 08:46:51:143,1,211,0,0,265,2384,211,0 65,0,2024-09-07 08:46:51:691,54723,0.6,55160,0.8,110020,0.7,146539,2.25 65,1,2024-09-07 08:46:50:860,370259,370259,0,0,173496605762,1819144301,366774,3042,443,382,391901,0 65,2,2024-09-07 08:46:51:696,265181,265181,0,0,11668040,0,3367 65,3,2024-09-07 08:46:51:687,1,211,0,0,163,2077,211,0 66,0,2024-09-07 08:46:51:781,52718,0.6,52816,0.8,106077,0.5,140976,2.25 66,1,2024-09-07 08:46:51:293,371912,371912,0,0,174240856937,1811587935,369556,2175,181,380,391588,0 66,2,2024-09-07 08:46:51:138,272748,272748,0,0,11609420,0,4956 66,3,2024-09-07 08:46:51:079,1,211,9,0,291,2412,211,0 67,0,2024-09-07 08:46:51:417,48384,0.8,48029,0.9,96646,1.1,128491,2.25 67,1,2024-09-07 08:46:50:767,371201,371200,0,1,173982678132,1818308417,367523,2930,747,380,391787,1 67,2,2024-09-07 08:46:50:585,269847,269847,0,0,10655407,0,2889 67,3,2024-09-07 08:46:51:750,1,211,2,0,338,1779,211,0 68,0,2024-09-07 08:46:50:604,54402,0.6,54355,0.7,108089,0.6,145044,2.00 68,1,2024-09-07 08:46:50:586,370300,370300,0,0,172742289139,1814275641,366527,2636,1137,381,391953,0 68,2,2024-09-07 08:46:51:044,262103,262038,65,0,13714900,0,6698 68,3,2024-09-07 08:46:50:738,1,211,13,0,417,2561,211,0 69,0,2024-09-07 08:46:51:763,54875,0.8,55194,0.9,109803,0.9,146548,2.25 69,1,2024-09-07 08:46:51:017,368833,368833,0,0,173284341170,1832235339,363575,3860,1398,384,391994,0 69,2,2024-09-07 08:46:51:748,265407,265407,0,0,13279885,0,3722 69,3,2024-09-07 08:46:50:767,1,211,13,0,698,3407,211,0 70,0,2024-09-07 08:46:51:567,53732,1.3,53627,1.2,107734,0.9,143302,2.50 70,1,2024-09-07 08:46:50:812,371379,371379,0,0,174518854992,1815150017,368102,2770,507,366,391725,0 70,2,2024-09-07 08:46:51:332,269114,269114,0,0,12688215,0,4044 70,3,2024-09-07 08:46:50:756,1,211,8,0,854,2651,211,0 71,0,2024-09-07 08:46:51:361,47750,1.4,47688,1.4,95165,2.1,128070,3.25 71,1,2024-09-07 08:46:51:596,370501,370501,0,0,173707380011,1817198701,365477,4409,615,368,391738,0 71,2,2024-09-07 08:46:51:067,268761,268761,0,0,12800144,0,4042 71,3,2024-09-07 08:46:51:749,1,211,1,0,644,3268,211,0 72,0,2024-09-07 08:46:51:045,55490,0.6,54360,0.7,106027,0.5,144414,2.25 72,1,2024-09-07 08:46:51:037,371352,371352,0,0,173409424213,1811782507,367164,3437,751,369,391819,0 72,2,2024-09-07 08:46:51:764,264087,264087,0,0,13288166,0,2570 72,3,2024-09-07 08:46:51:763,1,211,11,0,364,3649,211,0 73,0,2024-09-07 08:46:51:133,52790,0.4,54008,0.6,110741,0.4,143617,2.00 73,1,2024-09-07 08:46:50:772,371456,371456,0,0,173481900370,1804256059,368801,2441,214,367,391750,0 73,2,2024-09-07 08:46:51:751,266022,266022,0,0,12695364,0,3482 73,3,2024-09-07 08:46:50:975,1,211,15,0,274,3237,211,0 74,0,2024-09-07 08:46:51:328,55849,0.5,56958,0.7,108498,0.5,147027,2.25 74,1,2024-09-07 08:46:50:644,371008,371008,0,0,173221827558,1810050927,367196,3021,791,381,391681,0 74,2,2024-09-07 08:46:51:002,266725,266725,0,0,12820422,0,4253 74,3,2024-09-07 08:46:51:442,1,211,12,0,522,3150,211,0 75,0,2024-09-07 08:46:51:788,49763,0.8,49463,1.0,99254,0.8,132684,2.50 75,1,2024-09-07 08:46:51:588,370139,370139,0,0,173186977819,1813641256,365671,3806,662,381,391597,0 75,2,2024-09-07 08:46:51:366,268836,268836,0,0,12890051,0,4766 75,3,2024-09-07 08:46:51:067,1,211,1,0,702,3028,211,0 76,0,2024-09-07 08:46:50:600,51217,0.6,50882,0.8,101493,0.6,136683,2.50 76,1,2024-09-07 08:46:50:827,371428,371428,0,0,174056488811,1815952993,368886,1994,548,382,391692,0 76,2,2024-09-07 08:46:51:076,268873,268873,0,0,11027221,0,3784 76,3,2024-09-07 08:46:51:144,1,211,16,0,175,2328,211,0 77,0,2024-09-07 08:46:51:721,53887,0.6,54248,0.8,108180,0.6,143275,2.00 77,1,2024-09-07 08:46:50:835,371564,371564,0,0,173246966506,1810273659,368761,2570,233,383,391808,0 77,2,2024-09-07 08:46:51:284,263620,263620,0,0,11114079,0,3890 77,3,2024-09-07 08:46:51:094,1,211,0,0,305,2314,211,0 78,0,2024-09-07 08:46:51:727,55213,0.5,54723,0.7,110589,0.4,145911,2.00 78,1,2024-09-07 08:46:50:614,371335,371335,0,0,173114707887,1800141290,368390,2630,315,367,391646,0 78,2,2024-09-07 08:46:51:405,265932,265932,0,0,10056593,0,2114 78,3,2024-09-07 08:46:51:133,1,211,8,0,181,2075,211,0 79,0,2024-09-07 08:46:51:351,50918,0.5,52139,0.7,106679,0.4,138446,2.50 79,1,2024-09-07 08:46:50:589,372518,372518,0,0,174114563175,1803790000,369788,2394,336,369,391682,0 79,2,2024-09-07 08:46:51:076,271327,271327,0,0,10448756,0,3212 79,3,2024-09-07 08:46:50:751,1,211,9,0,418,3206,211,0 80,0,2024-09-07 08:46:51:117,48771,0.6,50181,0.8,96193,0.7,130592,2.25 80,1,2024-09-07 08:46:51:619,370621,370621,0,0,173536757700,1808446232,367843,2611,167,368,391791,0 80,2,2024-09-07 08:46:51:096,269452,269452,0,0,10956000,0,4433 80,3,2024-09-07 08:46:50:584,1,211,1,0,190,3166,211,0 81,0,2024-09-07 08:46:51:552,54251,0.5,55627,0.7,106663,0.5,143906,2.00 81,1,2024-09-07 08:46:51:670,370791,370791,0,0,172552626476,1805735530,367713,2720,358,382,391879,0 81,2,2024-09-07 08:46:51:131,262776,262776,0,0,11193760,0,3993 81,3,2024-09-07 08:46:51:117,1,211,5,0,374,2591,211,0 82,0,2024-09-07 08:46:51:531,55057,0.4,55500,0.7,111312,0.4,147818,2.00 82,1,2024-09-07 08:46:50:585,371426,371422,0,4,173926382604,1814593350,368496,2315,611,381,391558,4 82,2,2024-09-07 08:46:51:693,265670,265670,0,0,10705784,0,3986 82,3,2024-09-07 08:46:51:759,1,211,16,0,363,2602,211,0 83,0,2024-09-07 08:46:51:527,53175,0.6,53255,0.8,105649,0.6,140952,2.00 83,1,2024-09-07 08:46:50:553,370559,370559,0,0,173292980478,1810932300,367465,2851,243,382,391690,0 83,2,2024-09-07 08:46:50:767,269898,269898,0,0,10638724,0,3119 83,3,2024-09-07 08:46:50:756,1,211,1,0,250,2341,211,0 84,0,2024-09-07 08:46:51:785,48029,1.0,48000,1.1,96225,0.8,129269,2.50 84,1,2024-09-07 08:46:51:046,369888,369888,0,0,173543457879,1818470471,365499,3818,571,368,391967,0 84,2,2024-09-07 08:46:50:601,268750,268750,0,0,12394684,0,3801 84,3,2024-09-07 08:46:51:144,1,211,3,0,353,2801,211,0 85,0,2024-09-07 08:46:51:056,52086,0.5,52037,0.7,110337,0.5,142623,2.25 85,1,2024-09-07 08:46:50:588,369436,369436,0,0,173130130388,1833743330,363083,5254,1099,382,392006,0 85,2,2024-09-07 08:46:50:867,264689,264689,0,0,12903697,0,3656 85,3,2024-09-07 08:46:50:686,1,211,4,0,789,2901,211,0 86,0,2024-09-07 08:46:50:885,54354,0.6,55830,0.8,107010,0.7,144615,2.00 86,1,2024-09-07 08:46:50:828,370792,370792,0,0,173642924538,1820182286,366089,3985,718,366,391961,0 86,2,2024-09-07 08:46:50:854,264448,264447,1,0,13757408,0,5004 86,3,2024-09-07 08:46:50:589,1,211,8,0,286,2879,211,0 87,0,2024-09-07 08:46:51:305,54368,1.2,54051,1.0,108713,1.6,145472,2.25 87,1,2024-09-07 08:46:50:557,370888,370888,0,0,172994269004,1813875599,366644,3765,479,366,391788,0 87,2,2024-09-07 08:46:51:070,267163,267163,0,0,12354567,0,4045 87,3,2024-09-07 08:46:51:801,1,211,1,0,473,3601,211,0 88,0,2024-09-07 08:46:51:446,48833,0.6,49056,0.7,98008,0.6,130523,1.75 88,1,2024-09-07 08:46:50:573,369545,369545,0,0,173151198639,1820489495,363805,4270,1470,365,392084,0 88,2,2024-09-07 08:46:50:701,268466,268466,0,0,14045743,0,3583 88,3,2024-09-07 08:46:51:271,1,211,17,0,435,2722,211,0 89,0,2024-09-07 08:46:51:808,54511,0.4,52790,0.6,104657,0.4,142224,1.75 89,1,2024-09-07 08:46:50:567,369164,369164,0,0,173723581928,1834890909,363169,5050,945,382,391866,0 89,2,2024-09-07 08:46:51:138,266008,266008,0,0,13120814,0,2910 89,3,2024-09-07 08:46:51:798,1,211,192,0,325,4262,211,0 90,0,2024-09-07 08:46:51:666,52236,0.5,53359,0.6,109596,0.4,142278,1.75 90,1,2024-09-07 08:46:50:597,371128,371128,0,0,173692673774,1820186940,367736,3131,261,381,391825,0 90,2,2024-09-07 08:46:51:410,263132,263132,0,0,13953941,0,3060 90,3,2024-09-07 08:46:50:930,1,211,8,0,200,2325,211,0 91,0,2024-09-07 08:46:50:928,55665,0.4,53825,0.6,112354,0.4,147542,1.75 91,1,2024-09-07 08:46:50:564,370206,370206,0,0,173455722751,1824630392,365378,4181,647,381,392047,0 91,2,2024-09-07 08:46:51:331,265411,265411,0,0,12647937,0,2445 91,3,2024-09-07 08:46:50:605,1,211,10,0,216,2137,211,0 92,0,2024-09-07 08:46:51:453,51834,0.6,53214,0.8,101716,0.7,136971,1.75 92,1,2024-09-07 08:46:50:602,370464,370464,0,0,173652264293,1812272388,368147,1912,405,382,392136,0 92,2,2024-09-07 08:46:51:371,271087,271087,0,0,11059004,0,2801 92,3,2024-09-07 08:46:51:017,1,211,4,0,167,1731,211,0 93,0,2024-09-07 08:46:51:035,50550,0.4,51799,0.6,98984,0.4,134724,1.75 93,1,2024-09-07 08:46:50:811,371397,371397,0,0,173721839373,1814109747,367663,3107,627,366,391692,0 93,2,2024-09-07 08:46:50:929,268974,268974,0,0,11338031,0,2509 93,3,2024-09-07 08:46:51:413,1,211,3,0,190,2265,211,0 94,0,2024-09-07 08:46:51:618,53807,0.4,54483,0.6,108269,0.3,143311,1.75 94,1,2024-09-07 08:46:50:571,370582,370582,0,0,173910370210,1818443802,367665,2784,133,381,391850,0 94,2,2024-09-07 08:46:50:778,262794,262794,0,0,10245144,0,2443 94,3,2024-09-07 08:46:51:694,1,211,19,0,264,2990,211,0 95,0,2024-09-07 08:46:51:346,55535,0.3,55155,0.5,111468,0.3,147381,1.75 95,1,2024-09-07 08:46:50:861,371241,371241,0,0,174289842710,1814341721,368047,2843,351,367,391662,0 95,2,2024-09-07 08:46:51:018,264925,264925,0,0,10992495,0,3308 95,3,2024-09-07 08:46:51:709,1,211,1,0,718,4275,211,0 96,0,2024-09-07 08:46:51:050,53277,0.5,53535,0.6,106905,0.4,141600,1.75 96,1,2024-09-07 08:46:51:584,370412,370412,0,0,173021060325,1811908821,366857,2826,729,385,391894,0 96,2,2024-09-07 08:46:51:270,270299,270299,0,0,11941379,0,4042 96,3,2024-09-07 08:46:51:143,1,211,1,0,411,2582,211,0 97,0,2024-09-07 08:46:51:316,48364,0.4,48089,0.5,96622,0.4,128692,1.75 97,1,2024-09-07 08:46:50:773,371665,371665,0,0,174008419357,1808215209,368699,2413,553,367,392140,0 97,2,2024-09-07 08:46:50:617,268774,268774,0,0,11050615,0,3036 97,3,2024-09-07 08:46:50:599,1,211,53,0,165,2530,211,0 98,0,2024-09-07 08:46:51:697,54335,0.3,54364,0.4,109064,0.2,145376,1.50 98,1,2024-09-07 08:46:50:575,371260,371260,0,0,174072878710,1813871798,369195,1970,95,382,391997,0 98,2,2024-09-07 08:46:50:773,264028,264028,0,0,10761276,0,3080 98,3,2024-09-07 08:46:50:699,1,211,9,0,840,3710,211,0 99,0,2024-09-07 08:46:51:524,55274,0.3,55321,0.4,110447,0.3,147675,1.75 99,1,2024-09-07 08:46:51:734,371651,371651,0,0,172911132975,1807673757,367887,3025,739,381,391744,0 99,2,2024-09-07 08:46:51:424,267498,267498,0,0,11420370,0,3106 99,3,2024-09-07 08:46:50:588,1,211,1,0,129,1618,211,0 100,0,2024-09-07 08:46:51:468,53964,0.9,54030,1.1,107701,1.2,143914,2.50 100,1,2024-09-07 08:46:50:568,368779,368779,0,0,172073181634,1826179809,362242,5268,1269,381,391989,0 100,2,2024-09-07 08:46:51:817,267907,267896,11,0,13226215,0,5417 100,3,2024-09-07 08:46:51:732,1,211,1,0,559,4273,211,0 101,0,2024-09-07 08:46:51:718,49118,2.0,48072,1.3,93843,2.0,129398,2.50 101,1,2024-09-07 08:46:50:554,369549,369549,0,0,172963008352,1827555835,363059,4868,1622,368,391769,0 101,2,2024-09-07 08:46:51:771,267731,267731,0,0,14207886,0,4644 101,3,2024-09-07 08:46:50:950,1,211,7,0,448,2844,211,0 102,0,2024-09-07 08:46:50:964,52404,0.7,54397,0.8,109352,0.6,143821,2.00 102,1,2024-09-07 08:46:51:143,369767,369767,0,0,173047940024,1822469174,364669,4364,734,369,391883,0 102,2,2024-09-07 08:46:51:737,265600,265546,54,0,12873206,0,6768 102,3,2024-09-07 08:46:51:613,1,211,8,0,410,2335,211,0 103,0,2024-09-07 08:46:51:640,55657,0.5,55697,0.7,105137,0.4,144630,1.75 103,1,2024-09-07 08:46:51:628,369269,369269,0,0,172683553284,1825492933,363202,4688,1379,381,391829,0 103,2,2024-09-07 08:46:50:641,263977,263977,0,0,11957151,0,2107 103,3,2024-09-07 08:46:50:757,1,211,2,0,916,3388,211,0 104,0,2024-09-07 08:46:51:013,54785,0.9,55195,1.1,109135,1.0,147107,2.50 104,1,2024-09-07 08:46:51:603,370974,370974,0,0,173478995495,1826235912,365331,4653,990,365,391948,0 104,2,2024-09-07 08:46:51:671,266161,266161,0,0,13014923,0,3941 104,3,2024-09-07 08:46:51:418,1,211,7,0,1245,5930,211,0 105,0,2024-09-07 08:46:51:070,49182,1.2,47737,1.3,99905,1.8,131582,3.50 105,1,2024-09-07 08:46:50:554,370963,370963,0,0,173156977497,1817519801,365986,4173,804,367,391797,0 105,2,2024-09-07 08:46:51:324,268805,268805,0,0,12591834,0,3509 105,3,2024-09-07 08:46:51:304,1,211,0,0,399,3852,211,0 106,0,2024-09-07 08:46:50:937,49682,0.8,50850,0.9,104156,0.8,136685,2.25 106,1,2024-09-07 08:46:51:750,370646,370646,0,0,172809747974,1815426083,365308,4860,478,369,391767,0 106,2,2024-09-07 08:46:50:756,265961,265961,0,0,12476003,0,2795 106,3,2024-09-07 08:46:50:684,1,211,8,0,470,3249,211,0 107,0,2024-09-07 08:46:51:100,54005,0.9,53911,0.9,107463,1.0,143116,2.00 107,1,2024-09-07 08:46:50:586,369678,369678,0,0,173131421108,1821588591,365450,3813,415,381,392234,0 107,2,2024-09-07 08:46:51:293,263403,263402,1,0,12465416,0,5024 107,3,2024-09-07 08:46:51:760,1,211,1,0,353,3246,211,0 108,0,2024-09-07 08:46:51:826,55290,0.4,55500,0.6,110015,0.4,146643,1.75 108,1,2024-09-07 08:46:51:309,370988,370988,0,0,173816605241,1812702768,368209,2465,314,368,391857,0 108,2,2024-09-07 08:46:51:781,264169,264169,0,0,11835777,0,2647 108,3,2024-09-07 08:46:51:345,1,211,1,0,749,4638,211,0 109,0,2024-09-07 08:46:51:801,52825,0.4,52282,0.6,105025,0.4,140453,1.75 109,1,2024-09-07 08:46:50:600,370288,370288,0,0,173954653215,1825670786,367114,2673,501,383,392132,0 109,2,2024-09-07 08:46:50:927,269539,269539,0,0,12069622,0,3617 109,3,2024-09-07 08:46:51:140,1,211,1,0,249,2690,211,0 110,0,2024-09-07 08:46:51:790,49109,0.4,47810,0.7,99752,0.4,131336,1.75 110,1,2024-09-07 08:46:51:660,371315,371315,0,0,174255273794,1811052124,368550,1996,769,370,392045,0 110,2,2024-09-07 08:46:51:304,269203,269203,0,0,11135949,0,2915 110,3,2024-09-07 08:46:50:692,1,211,8,0,406,2913,211,0 111,0,2024-09-07 08:46:51:423,54828,0.4,54350,0.5,108509,0.3,145226,1.75 111,1,2024-09-07 08:46:51:000,372108,372108,0,0,174178401568,1806908118,370280,1494,334,382,391690,0 111,2,2024-09-07 08:46:51:117,262925,262925,0,0,11044504,0,2763 111,3,2024-09-07 08:46:50:913,1,211,1,0,379,3137,211,0 112,0,2024-09-07 08:46:50:922,55609,0.3,55525,0.4,111091,0.2,147839,1.50 112,1,2024-09-07 08:46:50:832,372167,372167,0,0,173327039993,1801317054,369759,1981,427,380,391580,0 112,2,2024-09-07 08:46:51:138,264956,264955,1,0,11421512,0,5036 112,3,2024-09-07 08:46:50:597,1,211,55,0,282,2420,211,0 113,0,2024-09-07 08:46:50:866,53012,0.3,52943,0.5,106407,0.3,142152,1.75 113,1,2024-09-07 08:46:51:685,372275,372275,0,0,174804117258,1807329637,369788,1998,489,366,391661,0 113,2,2024-09-07 08:46:51:303,271163,271163,0,0,10651930,0,3813 113,3,2024-09-07 08:46:50:684,1,211,0,0,340,3504,211,0 114,0,2024-09-07 08:46:50:882,48878,0.5,49423,0.6,97656,0.4,131292,1.75 114,1,2024-09-07 08:46:50:722,370771,370771,0,0,174551435426,1824013322,365968,3215,1588,381,391556,0 114,2,2024-09-07 08:46:50:875,268786,268785,1,0,11796735,0,5069 114,3,2024-09-07 08:46:51:278,1,211,7,0,395,2466,211,0 115,0,2024-09-07 08:46:50:564,53827,0.3,54146,0.4,108116,0.2,143702,1.50 115,1,2024-09-07 08:46:50:583,370847,370847,0,0,174447045031,1819267721,366508,3506,833,382,391602,0 115,2,2024-09-07 08:46:51:124,264939,264939,0,0,9812678,0,2152 115,3,2024-09-07 08:46:51:004,1,211,1,0,159,1272,211,0 116,0,2024-09-07 08:46:51:719,54349,0.7,54016,0.9,108480,0.7,145262,2.00 116,1,2024-09-07 08:46:50:809,368874,368874,0,0,173128827205,1838873293,362688,4134,2052,380,391782,0 116,2,2024-09-07 08:46:51:763,264123,264123,0,0,14012887,0,3529 116,3,2024-09-07 08:46:50:912,1,211,48,0,252,2876,211,0 117,0,2024-09-07 08:46:51:025,54430,1.0,54382,1.0,108689,1.2,145509,2.00 117,1,2024-09-07 08:46:51:580,370219,370219,0,0,173187624026,1816878804,365704,4039,476,370,392033,0 117,2,2024-09-07 08:46:51:130,269413,269413,0,0,11396891,0,3700 117,3,2024-09-07 08:46:51:066,1,211,49,0,490,3808,211,0 118,0,2024-09-07 08:46:51:792,47538,0.8,48760,0.8,99335,0.9,130193,2.25 118,1,2024-09-07 08:46:50:595,369992,369992,0,0,172772343707,1821489321,364074,4491,1427,366,391736,0 118,2,2024-09-07 08:46:51:586,268247,268247,0,0,12580533,0,2781 118,3,2024-09-07 08:46:51:764,1,211,8,0,235,2574,211,0 119,0,2024-09-07 08:46:51:336,52537,0.6,52908,0.7,106165,0.5,141036,2.00 119,1,2024-09-07 08:46:50:557,370895,370895,0,0,173817031839,1817919800,366916,3595,384,368,391641,0 119,2,2024-09-07 08:46:51:261,266579,266579,0,0,11988168,0,4174 119,3,2024-09-07 08:46:51:328,1,211,1,0,443,3275,211,0 120,0,2024-09-07 08:46:51:589,53403,0.5,53403,0.8,107145,0.5,142867,2.00 120,1,2024-09-07 08:46:50:859,370452,370452,0,0,173005652868,1817706675,366191,3915,346,368,391961,0 120,2,2024-09-07 08:46:50:778,263792,263791,1,0,13757501,0,5281 120,3,2024-09-07 08:46:51:310,1,211,5,0,241,2965,211,0 121,0,2024-09-07 08:46:51:743,55133,1.1,55476,1.0,110613,1.5,147208,2.25 121,1,2024-09-07 08:46:51:667,370842,370842,0,0,173681334614,1818000248,367130,3310,402,367,391840,0 121,2,2024-09-07 08:46:51:128,264395,264395,0,0,13176013,0,4127 121,3,2024-09-07 08:46:50:727,1,211,1,0,269,2595,211,0 122,0,2024-09-07 08:46:51:813,51258,1.0,49877,1.0,104523,1.2,136945,2.25 122,1,2024-09-07 08:46:50:859,369771,369771,0,0,173537051751,1824150954,364392,4639,740,366,392130,0 122,2,2024-09-07 08:46:51:321,269179,269106,73,0,16015151,0,5989 122,3,2024-09-07 08:46:50:594,1,211,9,0,411,4657,211,0 123,0,2024-09-07 08:46:50:959,50213,0.6,49081,0.8,102106,0.7,134110,2.00 123,1,2024-09-07 08:46:50:561,370138,370138,0,0,172937381763,1829071844,362168,6409,1561,369,391823,0 123,2,2024-09-07 08:46:51:022,266691,266690,1,0,12918146,0,5215 123,3,2024-09-07 08:46:51:135,1,211,3,0,168,2564,211,0 124,0,2024-09-07 08:46:50:922,55778,0.3,55739,0.5,105146,0.3,143904,1.75 124,1,2024-09-07 08:46:51:022,371845,371845,0,0,173481186937,1804658089,369219,2264,362,367,392178,0 124,2,2024-09-07 08:46:51:012,263511,263511,0,0,10504174,0,3101 124,3,2024-09-07 08:46:50:760,1,211,6,0,490,2599,211,0 125,0,2024-09-07 08:46:51:489,55440,0.4,55349,0.5,110975,0.3,147475,1.75 125,1,2024-09-07 08:46:50:856,370838,370838,0,0,173942506692,1815062656,368282,2278,278,383,391702,0 125,2,2024-09-07 08:46:51:116,265759,265759,0,0,10655501,0,2180 125,3,2024-09-07 08:46:51:126,1,211,13,0,284,2640,211,0 126,0,2024-09-07 08:46:51:463,53454,0.6,54821,0.7,104861,0.6,142386,1.75 126,1,2024-09-07 08:46:50:574,371619,371619,0,0,174392480674,1810269971,369067,2293,259,365,391987,0 126,2,2024-09-07 08:46:50:609,272080,272080,0,0,11527845,0,3186 126,3,2024-09-07 08:46:50:919,1,211,12,0,183,3044,211,0 127,0,2024-09-07 08:46:51:602,48321,0.4,48509,0.5,96671,0.3,128733,1.75 127,1,2024-09-07 08:46:50:575,371562,371562,0,0,174534650269,1810577149,368820,2590,152,365,391816,0 127,2,2024-09-07 08:46:50:645,269005,269005,0,0,10642105,0,2264 127,3,2024-09-07 08:46:51:267,1,211,0,0,243,1760,211,0 128,0,2024-09-07 08:46:51:548,54767,0.3,54695,0.4,109043,0.2,145585,1.50 128,1,2024-09-07 08:46:51:613,371459,371459,0,0,173291079890,1799836901,369360,1943,156,367,391798,0 128,2,2024-09-07 08:46:51:383,263092,263092,0,0,10181097,0,2434 128,3,2024-09-07 08:46:50:768,1,211,4,0,1082,4441,211,0 129,0,2024-09-07 08:46:50:999,55580,0.3,55288,0.5,110563,0.3,147190,1.50 129,1,2024-09-07 08:46:50:567,369488,369488,0,0,173198005255,1819395544,365389,3172,927,379,391835,0 129,2,2024-09-07 08:46:50:687,266046,266046,0,0,11141280,0,4031 129,3,2024-09-07 08:46:50:699,1,211,6,0,469,3031,211,0 130,0,2024-09-07 08:46:51:737,54449,0.6,54284,0.7,108785,0.7,144804,1.75 130,1,2024-09-07 08:46:50:612,371185,371185,0,0,173635325456,1809581530,368818,2291,76,381,391825,0 130,2,2024-09-07 08:46:51:125,270591,270591,0,0,11005909,0,4067 130,3,2024-09-07 08:46:51:291,1,211,8,0,450,2900,211,0 131,0,2024-09-07 08:46:52:015,48329,0.5,48706,0.6,98030,0.5,129526,1.75 131,1,2024-09-07 08:46:51:825,371491,371491,0,0,173734452772,1814336463,368495,2540,456,383,391865,0 131,2,2024-09-07 08:46:50:578,270176,270176,0,0,10278326,0,2415 131,3,2024-09-07 08:46:51:695,1,211,4,0,392,2147,211,0 132,0,2024-09-07 08:46:51:413,53543,0.5,54172,0.7,108345,0.5,144332,2.00 132,1,2024-09-07 08:46:50:618,369605,369605,0,0,172707984858,1825042423,363486,5039,1080,381,392097,0 132,2,2024-09-07 08:46:50:711,265170,265170,0,0,13509198,0,4606 132,3,2024-09-07 08:46:51:695,1,211,1,0,356,3358,211,0 133,0,2024-09-07 08:46:51:530,52746,0.4,53958,0.6,110630,0.4,143427,1.75 133,1,2024-09-07 08:46:50:587,368831,368831,0,0,173280988687,1828410573,363561,4620,650,383,391914,0 133,2,2024-09-07 08:46:51:089,264095,264095,0,0,14206720,0,4315 133,3,2024-09-07 08:46:51:308,1,211,2,0,276,2155,211,0 134,0,2024-09-07 08:46:50:937,55175,0.5,55124,0.7,110770,0.5,147027,2.00 134,1,2024-09-07 08:46:50:583,369701,369701,0,0,173239750385,1823307484,363763,4522,1416,366,391718,0 134,2,2024-09-07 08:46:51:771,266388,266388,0,0,12273209,0,3847 134,3,2024-09-07 08:46:50:749,1,211,8,0,739,3425,211,0 135,0,2024-09-07 08:46:51:132,48049,1.2,48041,1.1,102010,1.4,131219,2.25 135,1,2024-09-07 08:46:51:587,369098,369098,0,0,173559762412,1827794395,363829,4372,897,380,391805,0 135,2,2024-09-07 08:46:50:687,268684,268684,0,0,13252560,0,3981 135,3,2024-09-07 08:46:51:013,1,211,8,0,299,1512,211,0 136,0,2024-09-07 08:46:51:713,51923,0.5,51784,0.7,103543,0.5,138327,2.00 136,1,2024-09-07 08:46:51:458,369976,369976,0,0,173264618688,1821891411,365004,4430,542,382,391685,0 136,2,2024-09-07 08:46:51:143,268289,268289,0,0,12218669,0,3506 136,3,2024-09-07 08:46:51:106,1,211,2,0,126,1735,211,0 137,0,2024-09-07 08:46:50:959,55549,0.7,53930,0.8,106481,0.7,144259,2.00 137,1,2024-09-07 08:46:50:587,369551,369551,0,0,173173162044,1821080769,363118,5063,1370,366,391708,0 137,2,2024-09-07 08:46:51:709,263120,263120,0,0,13990299,0,3185 137,3,2024-09-07 08:46:50:769,1,211,0,0,227,2357,211,0 138,0,2024-09-07 08:46:51:745,54741,0.9,54845,1.0,109990,1.1,146252,2.25 138,1,2024-09-07 08:46:51:685,370279,370279,0,0,174129392040,1828210054,364711,4680,888,368,391954,0 138,2,2024-09-07 08:46:50:589,265369,265369,0,0,12491239,0,4988 138,3,2024-09-07 08:46:50:613,1,211,9,0,1160,3602,211,0 139,0,2024-09-07 08:46:51:397,51612,2.5,51675,1.5,104238,3.6,138932,2.75 139,1,2024-09-07 08:46:50:579,368462,368462,0,0,172531560191,1836350647,361002,5559,1901,381,391892,0 139,2,2024-09-07 08:46:50:696,268605,268605,0,0,13182509,0,3097 139,3,2024-09-07 08:46:51:675,1,211,4,0,244,2323,211,0 140,0,2024-09-07 08:46:51:590,49452,0.4,48895,0.5,98621,0.3,131480,1.75 140,1,2024-09-07 08:46:51:537,372184,372184,0,0,174334365652,1798109434,370426,1518,240,365,391606,0 140,2,2024-09-07 08:46:50:687,269834,269834,0,0,10921913,0,3388 140,3,2024-09-07 08:46:50:767,1,211,0,0,247,1760,211,0 141,0,2024-09-07 08:46:51:705,54648,0.3,56086,0.5,107107,0.2,145235,1.75 141,1,2024-09-07 08:46:50:860,372059,372059,0,0,174055510580,1806505175,369985,1719,355,379,391614,0 141,2,2024-09-07 08:46:51:687,263398,263398,0,0,10086194,0,2342 141,3,2024-09-07 08:46:51:047,1,211,23,0,176,1768,211,0 142,0,2024-09-07 08:46:51:314,56155,0.3,55633,0.5,110654,0.2,148084,1.50 142,1,2024-09-07 08:46:50:598,370947,370947,0,0,174224405753,1818184381,368490,2214,243,382,392102,0 142,2,2024-09-07 08:46:51:301,264774,264742,32,0,11882809,0,6028 142,3,2024-09-07 08:46:51:747,1,211,7,0,484,2848,211,0 143,0,2024-09-07 08:46:51:377,53294,0.6,53200,0.6,107070,0.6,141973,1.75 143,1,2024-09-07 08:46:50:561,371492,371492,0,0,173885568347,1804796849,369163,2283,46,367,391619,0 143,2,2024-09-07 08:46:50:774,270660,270660,0,0,11431431,0,2669 143,3,2024-09-07 08:46:51:141,1,211,1,0,303,3012,211,0 144,0,2024-09-07 08:46:51:583,46871,0.8,48302,1.1,98075,0.7,129393,2.25 144,1,2024-09-07 08:46:50:658,369522,369522,0,0,173094766540,1821276214,365671,2930,921,381,391649,0 144,2,2024-09-07 08:46:51:764,269158,269158,0,0,10975759,0,3473 144,3,2024-09-07 08:46:51:743,1,211,4,0,249,2645,211,0 145,0,2024-09-07 08:46:51:395,52132,0.5,52150,0.7,110597,0.4,143065,2.25 145,1,2024-09-07 08:46:50:555,368901,368901,0,0,173666905079,1830088307,363613,4469,819,382,391698,0 145,2,2024-09-07 08:46:51:433,262969,262969,0,0,12439783,0,3903 145,3,2024-09-07 08:46:50:895,1,211,4,0,622,3881,211,0 146,0,2024-09-07 08:46:51:683,54288,0.5,53851,0.8,108566,0.5,144460,2.25 146,1,2024-09-07 08:46:51:612,370643,370643,0,0,173348374419,1825722539,363982,5227,1434,368,391770,0 146,2,2024-09-07 08:46:51:711,264966,264966,0,0,12246358,0,2730 146,3,2024-09-07 08:46:51:274,1,211,71,0,1520,5720,211,0 147,0,2024-09-07 08:46:51:772,54359,0.7,54289,0.8,107921,0.6,145211,2.25 147,1,2024-09-07 08:46:51:385,371665,371665,0,0,173879230055,1811362804,367939,3157,569,368,391791,0 147,2,2024-09-07 08:46:51:013,269404,269404,0,0,10916400,0,2789 147,3,2024-09-07 08:46:50:913,1,211,1,0,730,3298,211,0 0,0,2024-09-07 08:47:01:748,52211,0.5,52269,0.7,110818,0.5,143406,1.75 0,1,2024-09-07 08:47:00:801,372398,372398,0,0,174638591990,1830837890,369244,2952,202,372,391772,0 0,2,2024-09-07 08:47:01:068,266021,266021,0,0,11557008,0,4480 0,3,2024-09-07 08:47:00:973,1,212,5,0,247,2772,212,0 1,0,2024-09-07 08:47:01:756,55599,1.0,55132,1.0,110841,1.2,147699,2.00 1,1,2024-09-07 08:47:00:572,371868,371868,0,0,173697646690,1825488426,367447,3368,1053,371,391857,0 1,2,2024-09-07 08:47:00:653,266801,266801,0,0,10713939,0,3267 1,3,2024-09-07 08:47:01:309,1,212,32,0,262,2701,212,0 2,0,2024-09-07 08:47:01:577,51224,0.9,51598,0.9,102488,1.2,136154,2.00 2,1,2024-09-07 08:47:00:859,372842,372842,0,0,174680223590,1818182960,370742,1882,218,380,391745,0 2,2,2024-09-07 08:47:01:279,270477,270477,0,0,11452627,0,3594 2,3,2024-09-07 08:47:00:692,1,212,8,0,357,2090,212,0 3,0,2024-09-07 08:47:01:748,50643,0.4,50677,0.6,100865,0.4,134526,2.00 3,1,2024-09-07 08:47:01:634,372434,372434,0,0,174076713800,1817550266,368700,3273,461,380,391523,0 3,2,2024-09-07 08:47:01:149,269362,269339,23,0,11518969,0,5851 3,3,2024-09-07 08:47:01:752,1,212,8,0,103,1366,212,0 4,0,2024-09-07 08:47:01:780,52403,0.4,53858,0.5,109679,0.4,143558,1.75 4,1,2024-09-07 08:47:00:595,371868,371868,0,0,174247488580,1832818492,367788,3399,681,371,391846,0 4,2,2024-09-07 08:47:01:020,263720,263720,0,0,13180698,0,4528 4,3,2024-09-07 08:47:01:030,1,212,37,0,448,3394,212,0 5,0,2024-09-07 08:47:01:390,55622,0.4,55762,0.6,110976,0.4,147865,1.75 5,1,2024-09-07 08:47:00:755,371798,371798,0,0,174044306662,1833150290,367037,3704,1057,368,392005,0 5,2,2024-09-07 08:47:01:853,264979,264979,0,0,12000149,0,2259 5,3,2024-09-07 08:47:01:732,1,212,1,0,457,3466,212,0 6,0,2024-09-07 08:47:00:919,53908,0.7,53410,0.9,106504,0.8,142989,2.25 6,1,2024-09-07 08:47:00:754,372359,372359,0,0,174054649840,1822883877,368043,3511,805,379,391634,0 6,2,2024-09-07 08:47:01:128,271324,271324,0,0,12268831,0,4816 6,3,2024-09-07 08:47:01:276,1,212,11,0,340,2728,212,0 7,0,2024-09-07 08:47:01:532,48118,0.5,48261,0.7,96165,0.5,128310,2.00 7,1,2024-09-07 08:47:00:850,371592,371592,0,0,174418449177,1835394050,366012,4606,974,382,391686,0 7,2,2024-09-07 08:47:00:772,269134,269134,0,0,12176094,0,4791 7,3,2024-09-07 08:47:00:853,1,212,1,0,305,2206,212,0 8,0,2024-09-07 08:47:01:343,54807,0.4,54928,0.5,109441,0.3,146803,1.75 8,1,2024-09-07 08:47:01:022,371291,371291,0,0,174438406105,1841626924,364185,5270,1836,367,391956,0 8,2,2024-09-07 08:47:00:792,261288,261288,0,0,13438315,0,2986 8,3,2024-09-07 08:47:00:589,1,212,1,0,357,3126,212,0 9,0,2024-09-07 08:47:01:116,55603,0.3,53984,0.5,112706,0.3,148096,1.75 9,1,2024-09-07 08:47:00:552,371687,371687,0,0,174584191964,1844271726,365677,4472,1538,370,392001,0 9,2,2024-09-07 08:47:01:084,266236,266236,0,0,12130632,0,3360 9,3,2024-09-07 08:47:01:758,1,212,1,0,496,3283,212,0 10,0,2024-09-07 08:47:01:612,54457,0.3,54018,0.5,108573,0.3,144552,1.75 10,1,2024-09-07 08:47:00:593,372179,372179,0,0,174290738772,1829695951,366435,4900,844,381,391741,0 10,2,2024-09-07 08:47:00:769,271067,271067,0,0,13618884,0,4264 10,3,2024-09-07 08:47:00:878,1,212,8,0,177,1784,212,0 11,0,2024-09-07 08:47:01:015,48082,0.5,46721,0.7,97760,0.5,129959,2.00 11,1,2024-09-07 08:47:00:571,372184,372184,0,0,173965674025,1835033571,364544,5726,1914,383,391537,0 11,2,2024-09-07 08:47:01:124,269802,269802,0,0,13039877,0,4130 11,3,2024-09-07 08:47:01:297,1,212,1,0,720,3080,212,0 12,0,2024-09-07 08:47:00:940,54549,0.3,54532,0.5,108888,0.3,145089,1.75 12,1,2024-09-07 08:47:00:938,372630,372630,0,0,173871881896,1815486167,369353,2858,419,370,391837,0 12,2,2024-09-07 08:47:01:549,266492,266492,0,0,12173092,0,3469 12,3,2024-09-07 08:47:01:061,1,212,13,0,386,3962,212,0 13,0,2024-09-07 08:47:01:325,54589,0.4,54410,0.5,108805,0.3,144680,1.75 13,1,2024-09-07 08:47:01:529,371298,371298,0,0,173901688161,1826357523,368147,2623,528,382,391717,0 13,2,2024-09-07 08:47:00:609,267191,267191,0,0,11094844,0,3287 13,3,2024-09-07 08:47:01:762,1,212,41,0,467,3714,212,0 14,0,2024-09-07 08:47:00:563,55524,0.4,56061,0.6,110777,0.4,147252,1.75 14,1,2024-09-07 08:47:01:576,374433,374433,0,0,175281533834,1817481771,371737,2507,189,364,391571,0 14,2,2024-09-07 08:47:00:767,268712,268712,0,0,11317362,0,2896 14,3,2024-09-07 08:47:01:118,1,212,8,0,1168,3265,212,0 15,0,2024-09-07 08:47:01:559,49447,0.6,49810,0.9,99504,0.7,132088,2.25 15,1,2024-09-07 08:47:01:615,373057,373057,0,0,174468435776,1815361951,370764,2149,144,381,391619,0 15,2,2024-09-07 08:47:01:006,270814,270814,0,0,10011358,0,3043 15,3,2024-09-07 08:47:01:413,1,212,1,0,1126,4763,212,0 16,0,2024-09-07 08:47:00:991,52044,0.8,52333,0.9,103626,0.8,139362,2.25 16,1,2024-09-07 08:47:00:564,372853,372853,0,0,174204448820,1825502609,369332,3227,294,370,391756,0 16,2,2024-09-07 08:47:01:441,268132,268132,0,0,12381019,0,4719 16,3,2024-09-07 08:47:01:158,1,212,11,0,317,2958,212,0 17,0,2024-09-07 08:47:01:771,55726,0.5,54372,0.8,106530,0.5,144365,2.00 17,1,2024-09-07 08:47:00:569,371165,371165,0,0,173630958880,1828996494,366341,3723,1101,368,391688,0 17,2,2024-09-07 08:47:01:672,267746,267746,0,0,11332275,0,2857 17,3,2024-09-07 08:47:00:574,1,212,6,0,268,3533,212,0 18,0,2024-09-07 08:47:00:946,54643,0.7,54796,0.9,109638,0.8,146068,2.50 18,1,2024-09-07 08:47:01:643,372631,372631,0,0,174280874005,1809890587,370160,2233,238,367,391564,0 18,2,2024-09-07 08:47:01:755,267069,267069,0,0,11040046,0,3541 18,3,2024-09-07 08:47:00:897,1,212,11,0,163,2221,212,0 19,0,2024-09-07 08:47:01:539,52214,0.9,52818,0.9,104257,0.9,138889,2.50 19,1,2024-09-07 08:47:00:568,372705,372705,0,0,174856007739,1822220651,368109,3811,785,367,391777,0 19,2,2024-09-07 08:47:01:762,272564,272564,0,0,10338711,0,3988 19,3,2024-09-07 08:47:01:129,1,212,1,0,524,1757,212,0 20,0,2024-09-07 08:47:01:461,49142,0.8,49122,0.9,98703,0.9,132038,2.50 20,1,2024-09-07 08:47:00:575,371884,371884,0,0,174184207539,1825479724,368173,3315,396,369,391886,0 20,2,2024-09-07 08:47:00:940,269662,269662,0,0,11923187,0,3721 20,3,2024-09-07 08:47:00:589,1,212,1,0,414,4332,212,0 21,0,2024-09-07 08:47:01:203,54633,0.5,54864,0.7,109506,0.5,144748,2.00 21,1,2024-09-07 08:47:01:543,371132,371132,0,0,173336494908,1830612321,365695,4204,1233,368,391962,0 21,2,2024-09-07 08:47:01:076,263753,263753,0,0,12795999,0,3747 21,3,2024-09-07 08:47:01:421,1,212,4,0,103,2373,212,0 22,0,2024-09-07 08:47:01:728,55585,0.7,55655,0.8,110815,0.7,147729,2.25 22,1,2024-09-07 08:47:01:023,371307,371307,0,0,173652362582,1831985169,364868,4850,1589,382,391667,0 22,2,2024-09-07 08:47:00:760,266059,266059,0,0,11521627,0,3134 22,3,2024-09-07 08:47:01:067,1,212,8,0,228,2156,212,0 23,0,2024-09-07 08:47:01:385,53017,0.7,52992,0.8,106541,0.8,141963,2.25 23,1,2024-09-07 08:47:01:002,372143,372143,0,0,174360555390,1836613342,365161,4433,2549,365,391550,0 23,2,2024-09-07 08:47:01:092,271774,271774,0,0,11467799,0,3010 23,3,2024-09-07 08:47:01:757,1,212,1,0,645,2646,212,0 24,0,2024-09-07 08:47:00:962,49452,0.5,49417,0.6,98980,0.5,132038,1.75 24,1,2024-09-07 08:47:00:595,372247,372247,0,0,174172690435,1826138420,368047,3172,1028,369,391640,0 24,2,2024-09-07 08:47:01:086,268854,268854,0,0,13593540,0,3607 24,3,2024-09-07 08:47:01:690,1,212,1,0,468,3114,212,0 25,0,2024-09-07 08:47:01:350,55652,0.4,54207,0.6,106313,0.3,144962,1.75 25,1,2024-09-07 08:47:00:560,372413,372413,0,0,174155003713,1825473947,368463,3404,546,371,391788,0 25,2,2024-09-07 08:47:01:649,263622,263622,0,0,13467314,0,3978 25,3,2024-09-07 08:47:01:000,1,212,10,0,255,2476,212,0 26,0,2024-09-07 08:47:01:740,54478,0.4,53240,0.6,111723,0.4,145762,1.75 26,1,2024-09-07 08:47:01:550,372820,372820,0,0,173686104077,1822864663,367232,4607,981,381,391748,0 26,2,2024-09-07 08:47:00:867,267088,267088,0,0,12604990,0,2809 26,3,2024-09-07 08:47:01:715,1,212,18,0,796,3061,212,0 27,0,2024-09-07 08:47:01:724,55075,0.5,54917,0.6,108853,0.4,145484,2.00 27,1,2024-09-07 08:47:01:682,374094,374094,0,0,175427988250,1823130060,371793,1972,329,381,391558,0 27,2,2024-09-07 08:47:00:876,268049,267984,65,0,13831389,0,5699 27,3,2024-09-07 08:47:01:019,1,212,2,0,564,2323,212,0 28,0,2024-09-07 08:47:01:412,49142,0.6,49123,0.8,98910,0.7,131920,2.25 28,1,2024-09-07 08:47:00:799,373479,373479,0,0,175243161393,1827743004,370657,2352,470,383,391646,0 28,2,2024-09-07 08:47:01:765,270510,270510,0,0,11050792,0,2915 28,3,2024-09-07 08:47:01:782,1,212,2,0,502,2396,212,0 29,0,2024-09-07 08:47:01:356,54846,0.3,53613,0.5,104646,0.2,143465,1.75 29,1,2024-09-07 08:47:01:561,374221,374221,0,0,174667900491,1810891416,371230,2428,563,369,391621,0 29,2,2024-09-07 08:47:00:864,267275,267275,0,0,10130257,0,4018 29,3,2024-09-07 08:47:00:963,1,212,1,0,115,1663,212,0 30,0,2024-09-07 08:47:01:458,53617,0.6,52162,0.8,109251,0.6,142828,2.00 30,1,2024-09-07 08:47:00:571,374052,374052,0,0,175585432502,1821665967,371863,1896,293,382,391672,0 30,2,2024-09-07 08:47:01:278,266645,266645,0,0,10043074,0,3161 30,3,2024-09-07 08:47:00:581,1,212,8,0,195,1506,212,0 31,0,2024-09-07 08:47:01:773,55007,0.4,55374,0.6,111180,0.4,147513,2.00 31,1,2024-09-07 08:47:00:574,375065,375065,0,0,175249785521,1797343590,373970,938,157,356,391712,0 31,2,2024-09-07 08:47:01:281,266469,266469,0,0,11541262,0,3525 31,3,2024-09-07 08:47:01:708,1,212,1,0,220,1806,212,0 32,0,2024-09-07 08:47:01:430,51203,0.4,51751,0.6,103380,0.4,136824,1.75 32,1,2024-09-07 08:47:00:804,373784,373784,0,0,174994355740,1818037478,371876,1631,277,381,391614,0 32,2,2024-09-07 08:47:00:937,271176,271176,0,0,10139662,0,3155 32,3,2024-09-07 08:47:01:019,1,212,0,0,227,1671,212,0 33,0,2024-09-07 08:47:01:491,51070,0.3,50374,0.5,101524,0.2,135490,1.75 33,1,2024-09-07 08:47:00:581,374183,374183,0,0,175845036260,1819849722,371656,2355,172,369,391730,0 33,2,2024-09-07 08:47:00:759,270058,270025,33,0,12073315,0,7012 33,3,2024-09-07 08:47:00:896,1,212,9,0,329,2533,212,0 34,0,2024-09-07 08:47:00:938,54041,0.4,55676,0.5,106838,0.3,142829,1.75 34,1,2024-09-07 08:47:01:044,374797,374797,0,0,175495499638,1807669409,373723,1067,7,367,391562,0 34,2,2024-09-07 08:47:00:766,264751,264751,0,0,10975495,0,3577 34,3,2024-09-07 08:47:01:690,1,212,8,0,148,1485,212,0 35,0,2024-09-07 08:47:00:863,54979,0.4,55442,0.5,111247,0.3,148057,1.75 35,1,2024-09-07 08:47:01:070,372678,372678,0,0,175263998557,1821257930,369409,2490,779,384,391589,0 35,2,2024-09-07 08:47:01:589,267073,267073,0,0,10189082,0,2653 35,3,2024-09-07 08:47:00:907,1,212,18,0,418,2634,212,0 36,0,2024-09-07 08:47:01:527,53530,0.8,53516,0.9,107190,0.8,142637,2.50 36,1,2024-09-07 08:47:00:584,372055,372055,0,0,174079320008,1825873312,366299,4178,1578,366,391759,0 36,2,2024-09-07 08:47:01:756,270820,270820,0,0,12710252,0,3875 36,3,2024-09-07 08:47:00:937,1,212,0,0,416,3782,212,0 37,0,2024-09-07 08:47:01:391,48077,0.5,47879,0.7,96299,0.5,129181,2.00 37,1,2024-09-07 08:47:00:570,371697,371690,0,7,174329473145,1832784751,365765,3859,2066,365,391560,0 37,2,2024-09-07 08:47:01:151,269260,269245,15,0,12907861,0,5815 37,3,2024-09-07 08:47:01:766,1,212,1,0,888,4102,212,0 38,0,2024-09-07 08:47:01:441,54299,0.5,52808,0.7,110540,0.4,144472,2.00 38,1,2024-09-07 08:47:01:607,373444,373444,0,0,174662861466,1827104237,368596,4184,664,368,391821,0 38,2,2024-09-07 08:47:00:763,263866,263819,47,0,13067414,0,6710 38,3,2024-09-07 08:47:00:997,1,212,12,0,689,3406,212,0 39,0,2024-09-07 08:47:01:787,56632,0.7,55646,0.8,107975,0.7,148101,2.00 39,1,2024-09-07 08:47:00:718,372902,372902,0,0,174821939326,1826822758,368134,3958,810,365,391594,0 39,2,2024-09-07 08:47:01:427,266222,266222,0,0,11083074,0,2689 39,3,2024-09-07 08:47:00:716,1,212,8,0,324,3346,212,0 40,0,2024-09-07 08:47:01:494,53695,0.8,54184,1.0,108458,0.8,144067,2.75 40,1,2024-09-07 08:47:00:581,372621,372621,0,0,174174566550,1828529843,366418,4970,1233,368,391591,0 40,2,2024-09-07 08:47:01:308,270350,270349,1,0,13691775,0,5137 40,3,2024-09-07 08:47:01:148,1,212,12,0,333,2517,212,0 41,0,2024-09-07 08:47:01:027,47900,1.4,49093,1.4,93829,2.6,128428,3.50 41,1,2024-09-07 08:47:00:780,372413,372413,0,0,174347081474,1824768002,367565,4287,561,370,391742,0 41,2,2024-09-07 08:47:00:761,268472,268472,0,0,13489740,0,3356 41,3,2024-09-07 08:47:01:682,1,212,42,0,366,2487,212,0 42,0,2024-09-07 08:47:01:482,53731,0.6,53916,0.8,107802,0.6,143055,2.25 42,1,2024-09-07 08:47:01:439,371404,371404,0,0,174812427328,1837001294,365767,4563,1074,380,391675,0 42,2,2024-09-07 08:47:01:145,265349,265349,0,0,12353168,0,3568 42,3,2024-09-07 08:47:01:008,1,212,8,0,446,1908,212,0 43,0,2024-09-07 08:47:00:924,53999,0.7,52592,0.9,109680,0.8,144289,2.00 43,1,2024-09-07 08:47:00:586,373101,373101,0,0,175153649208,1826888578,368950,3354,797,366,391696,0 43,2,2024-09-07 08:47:01:740,266817,266817,0,0,11737918,0,3812 43,3,2024-09-07 08:47:01:750,1,212,0,0,467,2763,212,0 44,0,2024-09-07 08:47:00:872,55240,0.5,55621,0.7,110847,0.4,147781,1.75 44,1,2024-09-07 08:47:00:569,373990,373990,0,0,174406574345,1803031390,371592,1968,430,356,391809,0 44,2,2024-09-07 08:47:01:276,267931,267931,0,0,9972047,0,1877 44,3,2024-09-07 08:47:01:093,1,212,5,0,817,2305,212,0 45,0,2024-09-07 08:47:01:764,49086,0.8,48032,0.9,100790,0.9,132799,2.00 45,1,2024-09-07 08:47:01:006,373061,373061,0,0,175150827845,1815768957,371732,1318,11,382,391917,0 45,2,2024-09-07 08:47:01:268,270758,270758,0,0,10882400,0,3596 45,3,2024-09-07 08:47:00:939,1,212,10,0,271,2489,212,0 46,0,2024-09-07 08:47:00:954,51987,0.4,51906,0.6,103961,0.4,138840,2.00 46,1,2024-09-07 08:47:00:583,374307,374307,0,0,174558032350,1804151017,372131,1904,272,366,391524,0 46,2,2024-09-07 08:47:00:600,269189,269189,0,0,10549596,0,2920 46,3,2024-09-07 08:47:01:133,1,212,8,0,908,3377,212,0 47,0,2024-09-07 08:47:01:104,54412,0.4,54359,0.6,109211,0.4,144339,1.75 47,1,2024-09-07 08:47:00:570,374298,374298,0,0,174812656888,1805986786,372315,1898,85,366,391605,0 47,2,2024-09-07 08:47:00:909,267269,267269,0,0,10399437,0,2558 47,3,2024-09-07 08:47:01:118,1,212,1,0,529,2192,212,0 48,0,2024-09-07 08:47:01:492,55612,0.3,55320,0.4,110186,0.2,146981,1.75 48,1,2024-09-07 08:47:01:022,373991,373991,0,0,174914349848,1819944454,371059,2633,299,384,391710,0 48,2,2024-09-07 08:47:00:699,266899,266899,0,0,10171279,0,3031 48,3,2024-09-07 08:47:00:753,1,212,1,0,339,1952,212,0 49,0,2024-09-07 08:47:01:710,54197,0.4,53142,0.6,103176,0.4,140976,1.75 49,1,2024-09-07 08:47:01:025,371637,371637,0,0,173761219828,1815397512,367851,2605,1181,382,391610,0 49,2,2024-09-07 08:47:01:800,272163,272163,0,0,10914430,0,3900 49,3,2024-09-07 08:47:01:418,1,212,7,0,408,2568,212,0 50,0,2024-09-07 08:47:01:511,49610,0.3,48941,0.5,98598,0.2,132204,1.75 50,1,2024-09-07 08:47:01:010,374549,374549,0,0,175114561564,1814960704,371845,2394,310,368,391530,0 50,2,2024-09-07 08:47:01:085,270511,270511,0,0,10258099,0,2263 50,3,2024-09-07 08:47:01:291,1,212,12,0,335,1869,212,0 51,0,2024-09-07 08:47:01:690,55800,0.3,54628,0.5,106534,0.2,145065,1.75 51,1,2024-09-07 08:47:01:689,373988,373988,0,0,175593748271,1816449116,371252,1797,939,365,391637,0 51,2,2024-09-07 08:47:01:323,264290,264290,0,0,9197503,0,3337 51,3,2024-09-07 08:47:01:029,1,212,1,0,678,1919,212,0 52,0,2024-09-07 08:47:01:434,56218,0.5,55974,0.7,111666,0.4,148260,2.00 52,1,2024-09-07 08:47:00:588,372212,372212,0,0,173652576916,1826382043,365499,5523,1190,368,391722,0 52,2,2024-09-07 08:47:01:755,264713,264675,38,0,13103001,0,6742 52,3,2024-09-07 08:47:00:675,1,212,100,0,1782,4101,212,0 53,0,2024-09-07 08:47:01:732,52955,0.8,51461,0.9,107804,1.0,141048,2.50 53,1,2024-09-07 08:47:00:774,371396,371396,0,0,174580520239,1840016447,364439,4714,2243,367,391702,0 53,2,2024-09-07 08:47:01:298,271864,271864,0,0,11078241,0,2262 53,3,2024-09-07 08:47:00:698,1,212,1,0,271,2057,212,0 54,0,2024-09-07 08:47:01:647,47976,1.3,48430,1.0,95856,0.8,128655,3.25 54,1,2024-09-07 08:47:00:584,372602,372602,0,0,174724517243,1821011369,368252,3779,571,367,391659,0 54,2,2024-09-07 08:47:00:865,269540,269534,6,0,12493525,0,5382 54,3,2024-09-07 08:47:00:762,1,212,11,0,676,3526,212,0 55,0,2024-09-07 08:47:01:775,52425,0.5,54253,0.7,109345,0.4,142389,2.25 55,1,2024-09-07 08:47:00:767,371940,371940,0,0,173446284639,1812400677,366415,4738,787,365,391731,0 55,2,2024-09-07 08:47:00:742,263723,263723,0,0,12254097,0,3275 55,3,2024-09-07 08:47:00:675,1,212,1,0,304,2675,212,0 56,0,2024-09-07 08:47:01:562,55879,1.2,52686,1.1,108579,1.7,145604,2.50 56,1,2024-09-07 08:47:00:581,371643,371643,0,0,174226827630,1842279480,365720,4980,943,381,391678,0 56,2,2024-09-07 08:47:01:312,266879,266879,0,0,12875082,0,3567 56,3,2024-09-07 08:47:01:065,1,212,6,0,705,3381,212,0 57,0,2024-09-07 08:47:00:978,53814,1.9,53984,1.3,107879,2.6,144449,3.25 57,1,2024-09-07 08:47:00:986,372609,372609,0,0,174197554487,1825540665,368499,3601,509,367,391960,0 57,2,2024-09-07 08:47:01:316,269724,269724,0,0,13909158,0,3317 57,3,2024-09-07 08:47:01:755,1,212,1,0,359,3156,212,0 58,0,2024-09-07 08:47:00:554,48007,0.9,46718,1.0,97924,0.9,128323,2.50 58,1,2024-09-07 08:47:00:575,372751,372748,0,3,174902236486,1829310103,367571,4483,694,367,391545,3 58,2,2024-09-07 08:47:01:077,270280,270280,0,0,12592875,0,2549 58,3,2024-09-07 08:47:01:069,1,212,8,0,1043,2656,212,0 59,0,2024-09-07 08:47:01:745,53383,0.6,53240,0.8,106464,0.6,142115,2.75 59,1,2024-09-07 08:47:00:824,372495,372495,0,0,174556661874,1827180489,367792,3656,1047,369,391525,0 59,2,2024-09-07 08:47:00:596,268329,268329,0,0,11349458,0,2604 59,3,2024-09-07 08:47:01:740,1,212,1,0,1015,3310,212,0 60,0,2024-09-07 08:47:01:725,53996,0.5,53751,0.6,108133,0.4,143508,1.75 60,1,2024-09-07 08:47:00:774,374157,374157,0,0,175572811539,1822496329,371786,1854,517,370,392031,0 60,2,2024-09-07 08:47:01:151,266396,266396,0,0,11734620,0,3811 60,3,2024-09-07 08:47:01:262,1,212,34,0,124,1969,212,0 61,0,2024-09-07 08:47:01:494,55433,0.8,55698,0.9,110849,0.9,147726,2.00 61,1,2024-09-07 08:47:00:774,372543,372543,0,0,174447609497,1827988031,368382,3518,643,382,391880,0 61,2,2024-09-07 08:47:01:116,267799,267799,0,0,10979146,0,2090 61,3,2024-09-07 08:47:01:697,1,212,3,0,397,2963,212,0 62,0,2024-09-07 08:47:01:715,51766,0.9,52831,0.9,100705,1.2,136663,2.25 62,1,2024-09-07 08:47:01:112,375156,375150,0,6,175682104569,1814153125,372782,2252,116,365,391715,6 62,2,2024-09-07 08:47:01:650,269606,269605,1,0,12174837,0,5555 62,3,2024-09-07 08:47:01:156,1,212,10,0,287,1535,212,0 63,0,2024-09-07 08:47:01:464,50737,0.5,50712,0.6,101304,0.4,135211,1.75 63,1,2024-09-07 08:47:00:811,374597,374591,0,6,175514443637,1819627903,373054,1487,50,381,391677,6 63,2,2024-09-07 08:47:00:767,269677,269677,0,0,10174364,0,2674 63,3,2024-09-07 08:47:01:732,1,212,1,0,667,2713,212,0 64,0,2024-09-07 08:47:01:512,53473,0.5,53597,0.7,107452,0.4,142706,1.75 64,1,2024-09-07 08:47:00:750,372872,372872,0,0,174715555608,1827187352,368448,3010,1414,370,391783,0 64,2,2024-09-07 08:47:01:151,267204,267185,19,0,11233127,0,6121 64,3,2024-09-07 08:47:01:156,1,212,1,0,265,2385,212,0 65,0,2024-09-07 08:47:01:705,54963,0.6,55384,0.8,110489,0.7,147059,2.25 65,1,2024-09-07 08:47:00:869,371930,371930,0,0,174180143392,1826379437,368443,3044,443,382,391901,0 65,2,2024-09-07 08:47:01:698,266479,266479,0,0,11730713,0,3367 65,3,2024-09-07 08:47:01:695,1,212,1,0,163,2078,212,0 66,0,2024-09-07 08:47:01:766,53080,0.6,53120,0.8,106762,0.5,141849,2.25 66,1,2024-09-07 08:47:01:293,373674,373674,0,0,175135243062,1820871240,371318,2175,181,380,391588,0 66,2,2024-09-07 08:47:01:137,273846,273846,0,0,11657798,0,4956 66,3,2024-09-07 08:47:01:087,1,212,12,0,291,2424,212,0 67,0,2024-09-07 08:47:01:420,48648,0.8,48275,0.9,97177,1.1,129233,2.25 67,1,2024-09-07 08:47:00:767,373011,373010,0,1,174998463056,1828755939,369333,2930,747,380,391787,1 67,2,2024-09-07 08:47:00:590,271131,271131,0,0,10697823,0,2889 67,3,2024-09-07 08:47:01:761,1,212,1,0,338,1780,212,0 68,0,2024-09-07 08:47:00:573,54815,0.6,54847,0.8,108809,0.6,145995,2.00 68,1,2024-09-07 08:47:00:581,372113,372113,0,0,173569543493,1822994782,368340,2636,1137,381,391953,0 68,2,2024-09-07 08:47:01:058,263212,263147,65,0,13841311,0,6698 68,3,2024-09-07 08:47:00:731,1,212,8,0,417,2569,212,0 69,0,2024-09-07 08:47:01:730,55183,0.8,55483,0.9,110470,0.9,147331,2.25 69,1,2024-09-07 08:47:01:021,370622,370622,0,0,174102516841,1841292958,365254,3968,1400,384,391994,0 69,2,2024-09-07 08:47:01:746,266531,266531,0,0,13376101,0,3722 69,3,2024-09-07 08:47:00:766,1,212,3,0,698,3410,212,0 70,0,2024-09-07 08:47:01:539,53876,1.3,53776,1.2,108045,0.9,143697,2.50 70,1,2024-09-07 08:47:00:802,373094,373094,0,0,175321576078,1824468813,369596,2970,528,366,391725,0 70,2,2024-09-07 08:47:01:329,270569,270569,0,0,12818840,0,4044 70,3,2024-09-07 08:47:00:747,1,212,10,0,854,2661,212,0 71,0,2024-09-07 08:47:01:370,47992,1.4,47931,1.3,95630,2.0,128646,3.25 71,1,2024-09-07 08:47:01:605,372158,372158,0,0,174511571512,1825737611,367131,4412,615,368,391738,0 71,2,2024-09-07 08:47:01:068,270101,270101,0,0,12966920,0,4042 71,3,2024-09-07 08:47:01:755,1,212,1,0,644,3269,212,0 72,0,2024-09-07 08:47:01:052,55897,0.6,54739,0.7,106769,0.5,145418,2.25 72,1,2024-09-07 08:47:01:029,373086,373086,0,0,174134876516,1819331388,368898,3437,751,369,391819,0 72,2,2024-09-07 08:47:01:760,264768,264768,0,0,13581522,0,3983 72,3,2024-09-07 08:47:01:759,1,212,1,0,364,3650,212,0 73,0,2024-09-07 08:47:01:156,52967,0.4,54181,0.6,111097,0.4,144081,2.00 73,1,2024-09-07 08:47:00:769,373180,373180,0,0,174208652812,1811913852,370524,2442,214,367,391750,0 73,2,2024-09-07 08:47:01:749,267460,267460,0,0,12857773,0,3482 73,3,2024-09-07 08:47:00:988,1,212,11,0,274,3248,212,0 74,0,2024-09-07 08:47:01:355,55937,0.5,57058,0.7,108713,0.5,147284,2.25 74,1,2024-09-07 08:47:00:635,372813,372813,0,0,174157209111,1819979482,368978,3044,791,381,391681,0 74,2,2024-09-07 08:47:01:005,268385,268385,0,0,12924440,0,4253 74,3,2024-09-07 08:47:01:442,1,212,8,0,522,3158,212,0 75,0,2024-09-07 08:47:01:771,49891,0.8,49615,1.0,99489,0.8,133098,2.50 75,1,2024-09-07 08:47:01:584,371959,371959,0,0,174395938014,1825986091,367491,3806,662,381,391597,0 75,2,2024-09-07 08:47:01:350,270083,270083,0,0,12942240,0,4766 75,3,2024-09-07 08:47:01:068,1,212,32,0,702,3060,212,0 76,0,2024-09-07 08:47:00:610,51713,0.6,51361,0.8,102502,0.6,138001,2.50 76,1,2024-09-07 08:47:00:818,373243,373243,0,0,174783459358,1823544034,370698,1997,548,382,391692,0 76,2,2024-09-07 08:47:01:074,269843,269843,0,0,11052806,0,3784 76,3,2024-09-07 08:47:01:149,1,212,7,0,175,2335,212,0 77,0,2024-09-07 08:47:01:706,54011,0.6,54355,0.8,108403,0.6,143562,2.00 77,1,2024-09-07 08:47:00:848,373349,373349,0,0,174143354446,1819445429,370546,2570,233,383,391808,0 77,2,2024-09-07 08:47:01:296,265272,265272,0,0,11160847,0,3890 77,3,2024-09-07 08:47:01:104,1,212,1,0,305,2315,212,0 78,0,2024-09-07 08:47:01:719,55322,0.5,54812,0.7,110769,0.4,146175,2.00 78,1,2024-09-07 08:47:00:615,373079,373079,0,0,173908694769,1808230746,370134,2630,315,367,391646,0 78,2,2024-09-07 08:47:01:411,267055,267055,0,0,10080554,0,2114 78,3,2024-09-07 08:47:01:133,1,212,14,0,181,2089,212,0 79,0,2024-09-07 08:47:01:365,51112,0.5,52329,0.7,107040,0.4,138960,2.50 79,1,2024-09-07 08:47:00:571,374268,374268,0,0,175017443001,1813054050,371538,2394,336,369,391682,0 79,2,2024-09-07 08:47:01:069,272554,272554,0,0,10483855,0,3212 79,3,2024-09-07 08:47:00:750,1,212,1,0,418,3207,212,0 80,0,2024-09-07 08:47:01:130,49229,0.6,50642,0.8,97067,0.7,131863,2.25 80,1,2024-09-07 08:47:01:666,372386,372386,0,0,174350470786,1816941080,369608,2611,167,368,391791,0 80,2,2024-09-07 08:47:01:126,270607,270607,0,0,11011454,0,4433 80,3,2024-09-07 08:47:00:581,1,212,2,0,190,3168,212,0 81,0,2024-09-07 08:47:01:548,54367,0.5,55754,0.7,106897,0.5,144188,2.00 81,1,2024-09-07 08:47:01:660,372469,372469,0,0,173491459606,1816100961,369260,2851,358,382,391879,0 81,2,2024-09-07 08:47:01:146,264085,264085,0,0,11250195,0,3993 81,3,2024-09-07 08:47:01:131,1,212,1,0,374,2592,212,0 82,0,2024-09-07 08:47:01:530,55331,0.4,55797,0.7,111887,0.4,148580,2.00 82,1,2024-09-07 08:47:00:590,373007,373003,0,4,174794476164,1823585762,370077,2315,611,381,391558,4 82,2,2024-09-07 08:47:01:697,266886,266886,0,0,10780963,0,3986 82,3,2024-09-07 08:47:01:752,1,212,9,0,363,2611,212,0 83,0,2024-09-07 08:47:01:526,53418,0.6,53482,0.8,106118,0.6,141559,2.00 83,1,2024-09-07 08:47:00:551,372425,372425,0,0,174474399042,1823002950,369331,2851,243,382,391690,0 83,2,2024-09-07 08:47:00:766,271253,271253,0,0,10673475,0,3119 83,3,2024-09-07 08:47:00:755,1,212,6,0,250,2347,212,0 84,0,2024-09-07 08:47:01:818,48480,1.0,48509,1.1,97088,0.9,130437,2.50 84,1,2024-09-07 08:47:01:047,371791,371791,0,0,174539064365,1829039090,367356,3862,573,368,391967,0 84,2,2024-09-07 08:47:00:582,270006,270006,0,0,12530527,0,3801 84,3,2024-09-07 08:47:01:145,1,212,1,0,353,2802,212,0 85,0,2024-09-07 08:47:01:009,52343,0.5,52309,0.7,110921,0.5,143308,2.25 85,1,2024-09-07 08:47:00:569,371034,371034,0,0,173979740771,1842889166,364680,5255,1099,382,392006,0 85,2,2024-09-07 08:47:00:865,265663,265663,0,0,12953240,0,3656 85,3,2024-09-07 08:47:00:692,1,212,18,0,789,2919,212,0 86,0,2024-09-07 08:47:00:881,54714,0.6,56178,0.8,107681,0.7,145541,2.00 86,1,2024-09-07 08:47:00:827,372586,372586,0,0,174418771002,1828518708,367882,3986,718,366,391961,0 86,2,2024-09-07 08:47:00:857,265802,265801,1,0,13830865,0,5004 86,3,2024-09-07 08:47:00:586,1,212,8,0,286,2887,212,0 87,0,2024-09-07 08:47:01:297,54555,1.1,54240,1.0,109072,1.6,145908,2.25 87,1,2024-09-07 08:47:00:567,372497,372497,0,0,173876329909,1823299397,368223,3794,480,366,391788,0 87,2,2024-09-07 08:47:01:071,268609,268609,0,0,12457308,0,4045 87,3,2024-09-07 08:47:01:802,1,212,16,0,473,3617,212,0 88,0,2024-09-07 08:47:01:447,49172,0.6,49421,0.7,98670,0.6,131474,1.75 88,1,2024-09-07 08:47:00:569,371290,371290,0,0,173967902996,1829072603,365550,4270,1470,365,392084,0 88,2,2024-09-07 08:47:00:689,269825,269825,0,0,14133721,0,3583 88,3,2024-09-07 08:47:01:267,1,212,3,0,435,2725,212,0 89,0,2024-09-07 08:47:01:807,54971,0.4,53226,0.6,105519,0.4,143420,1.75 89,1,2024-09-07 08:47:00:555,370764,370764,0,0,174631340697,1844277306,364769,5050,945,382,391866,0 89,2,2024-09-07 08:47:01:151,266759,266759,0,0,13160212,0,2910 89,3,2024-09-07 08:47:01:801,1,212,14,0,325,4276,212,0 90,0,2024-09-07 08:47:01:632,52357,0.5,53493,0.6,109844,0.4,142606,1.75 90,1,2024-09-07 08:47:00:598,372904,372904,0,0,174328416457,1826893328,369511,3131,262,381,391825,0 90,2,2024-09-07 08:47:01:413,264705,264705,0,0,14073084,0,3060 90,3,2024-09-07 08:47:00:940,1,212,9,0,200,2334,212,0 91,0,2024-09-07 08:47:00:935,55775,0.4,53950,0.6,112597,0.4,147863,1.75 91,1,2024-09-07 08:47:00:570,371980,371980,0,0,174221726517,1832799067,367152,4181,647,381,392047,0 91,2,2024-09-07 08:47:01:340,266970,266970,0,0,12729235,0,2445 91,3,2024-09-07 08:47:00:607,1,212,6,0,216,2143,212,0 92,0,2024-09-07 08:47:01:484,51842,0.6,53221,0.8,101727,0.7,136988,1.75 92,1,2024-09-07 08:47:00:586,372306,372306,0,0,174367590426,1819731088,369986,1914,406,382,392136,0 92,2,2024-09-07 08:47:01:350,272197,272197,0,0,11085912,0,2801 92,3,2024-09-07 08:47:01:013,1,212,1,0,167,1732,212,0 93,0,2024-09-07 08:47:00:999,50929,0.4,52168,0.6,99659,0.4,135640,1.75 93,1,2024-09-07 08:47:00:811,373173,373173,0,0,174668407133,1823747757,369439,3107,627,366,391692,0 93,2,2024-09-07 08:47:00:956,270225,270225,0,0,11361967,0,2509 93,3,2024-09-07 08:47:01:413,1,212,1,0,190,2266,212,0 94,0,2024-09-07 08:47:01:599,53880,0.4,54581,0.6,108437,0.3,143547,1.75 94,1,2024-09-07 08:47:00:563,372335,372335,0,0,174618519404,1825766132,369418,2784,133,381,391850,0 94,2,2024-09-07 08:47:00:764,264273,264273,0,0,10279210,0,2443 94,3,2024-09-07 08:47:01:691,1,212,8,0,264,2998,212,0 95,0,2024-09-07 08:47:01:351,55764,0.3,55356,0.5,111905,0.3,147956,1.75 95,1,2024-09-07 08:47:00:853,372913,372913,0,0,175081457593,1822417687,369719,2843,351,367,391662,0 95,2,2024-09-07 08:47:01:024,266134,266134,0,0,11023341,0,3308 95,3,2024-09-07 08:47:01:717,1,212,1,0,718,4276,212,0 96,0,2024-09-07 08:47:01:030,53649,0.5,53880,0.6,107540,0.4,142514,1.75 96,1,2024-09-07 08:47:01:593,372102,372102,0,0,174066216192,1822840635,368546,2827,729,385,391894,0 96,2,2024-09-07 08:47:01:268,271401,271401,0,0,12001285,0,4042 96,3,2024-09-07 08:47:01:150,1,212,1,0,411,2583,212,0 97,0,2024-09-07 08:47:01:324,48651,0.4,48347,0.5,97156,0.4,129414,1.75 97,1,2024-09-07 08:47:00:767,373511,373511,0,0,174877653282,1817242912,370543,2415,553,367,392140,0 97,2,2024-09-07 08:47:00:612,270128,270128,0,0,11090379,0,3036 97,3,2024-09-07 08:47:00:576,1,212,19,0,165,2549,212,0 98,0,2024-09-07 08:47:01:709,54848,0.3,54910,0.5,110174,0.2,146936,1.50 98,1,2024-09-07 08:47:00:571,373022,373022,0,0,174844398259,1821800281,370957,1970,95,382,391997,0 98,2,2024-09-07 08:47:00:778,265245,265245,0,0,10801354,0,3080 98,3,2024-09-07 08:47:00:698,1,212,10,0,840,3720,212,0 99,0,2024-09-07 08:47:01:447,55578,0.3,55637,0.4,111098,0.3,148468,1.75 99,1,2024-09-07 08:47:01:724,373384,373384,0,0,173698037648,1815953631,369618,3027,739,381,391744,0 99,2,2024-09-07 08:47:01:427,268752,268752,0,0,11455398,0,3106 99,3,2024-09-07 08:47:00:589,1,212,1,0,129,1619,212,0 100,0,2024-09-07 08:47:01:487,54128,0.9,54177,1.1,108028,1.2,144328,2.50 100,1,2024-09-07 08:47:00:552,370606,370606,0,0,172983782646,1835985417,364056,5281,1269,381,391989,0 100,2,2024-09-07 08:47:01:826,269350,269339,11,0,13354355,0,5417 100,3,2024-09-07 08:47:01:733,1,212,407,0,559,4680,212,0 101,0,2024-09-07 08:47:01:855,49375,2.0,48293,1.3,94352,2.0,130041,2.50 101,1,2024-09-07 08:47:00:551,371197,371197,0,0,173758398105,1836794607,364488,5038,1671,368,391769,0 101,2,2024-09-07 08:47:01:770,269271,269271,0,0,14295729,0,4644 101,3,2024-09-07 08:47:00:947,1,212,1,0,448,2845,212,0 102,0,2024-09-07 08:47:00:969,52824,0.7,54765,0.8,110107,0.6,144803,2.00 102,1,2024-09-07 08:47:01:151,371546,371546,0,0,174027125539,1833291636,366289,4506,751,369,391883,0 102,2,2024-09-07 08:47:01:742,266344,266290,54,0,12903692,0,6768 102,3,2024-09-07 08:47:01:634,1,212,15,0,410,2350,212,0 103,0,2024-09-07 08:47:01:662,55818,0.5,55881,0.7,105455,0.4,145120,1.75 103,1,2024-09-07 08:47:01:661,370784,370784,0,0,173550729194,1834592472,364714,4691,1379,381,391829,0 103,2,2024-09-07 08:47:00:584,265331,265331,0,0,12096574,0,2107 103,3,2024-09-07 08:47:00:762,1,212,3,0,916,3391,212,0 104,0,2024-09-07 08:47:01:040,54893,0.9,55286,1.1,109318,0.9,147344,2.50 104,1,2024-09-07 08:47:01:625,372757,372757,0,0,174335899164,1835345405,367112,4655,990,365,391948,0 104,2,2024-09-07 08:47:01:682,267668,267668,0,0,13088156,0,3941 104,3,2024-09-07 08:47:01:416,1,212,36,0,1245,5966,212,0 105,0,2024-09-07 08:47:01:029,49294,1.2,47843,1.3,100150,1.8,131891,3.50 105,1,2024-09-07 08:47:00:556,372705,372705,0,0,174006425598,1826395026,367726,4175,804,367,391797,0 105,2,2024-09-07 08:47:01:324,270010,270010,0,0,12642316,0,3509 105,3,2024-09-07 08:47:01:312,1,212,29,0,399,3881,212,0 106,0,2024-09-07 08:47:00:950,50162,0.8,51379,0.9,105143,0.8,138014,2.25 106,1,2024-09-07 08:47:01:763,372348,372348,0,0,173398486665,1821569850,367005,4865,478,369,391767,0 106,2,2024-09-07 08:47:00:757,266930,266930,0,0,12515330,0,2795 106,3,2024-09-07 08:47:00:682,1,212,12,0,470,3261,212,0 107,0,2024-09-07 08:47:01:137,54116,0.9,54014,0.9,107663,1.0,143404,2.00 107,1,2024-09-07 08:47:00:845,371476,371476,0,0,174027742748,1830946689,367247,3814,415,381,392234,0 107,2,2024-09-07 08:47:01:294,264878,264877,1,0,12512221,0,5024 107,3,2024-09-07 08:47:01:755,1,212,1,0,353,3247,212,0 108,0,2024-09-07 08:47:01:775,55385,0.4,55607,0.6,110212,0.4,146888,1.75 108,1,2024-09-07 08:47:01:293,372801,372801,0,0,174733732929,1822248294,370022,2465,314,368,391857,0 108,2,2024-09-07 08:47:01:757,265572,265572,0,0,11889396,0,2647 108,3,2024-09-07 08:47:01:330,1,212,9,0,749,4647,212,0 109,0,2024-09-07 08:47:01:760,53020,0.4,52466,0.6,105393,0.4,140920,1.75 109,1,2024-09-07 08:47:00:590,372004,372004,0,0,174600599152,1832430509,368829,2673,502,383,392132,0 109,2,2024-09-07 08:47:00:939,270741,270741,0,0,12105607,0,3617 109,3,2024-09-07 08:47:01:150,1,212,1,0,249,2691,212,0 110,0,2024-09-07 08:47:01:777,49552,0.4,48243,0.7,100633,0.4,132494,1.75 110,1,2024-09-07 08:47:01:651,373107,373107,0,0,175238669463,1821079202,370342,1996,769,370,392045,0 110,2,2024-09-07 08:47:01:303,270283,270283,0,0,11168834,0,2915 110,3,2024-09-07 08:47:00:690,1,212,8,0,406,2921,212,0 111,0,2024-09-07 08:47:01:445,54965,0.4,54475,0.6,108753,0.3,145527,1.75 111,1,2024-09-07 08:47:01:022,373877,373877,0,0,175133124999,1816612385,372049,1494,334,382,391690,0 111,2,2024-09-07 08:47:01:128,264321,264321,0,0,11082816,0,2763 111,3,2024-09-07 08:47:00:917,1,212,0,0,379,3137,212,0 112,0,2024-09-07 08:47:00:923,55898,0.3,55827,0.4,111717,0.2,148608,1.50 112,1,2024-09-07 08:47:00:827,373863,373863,0,0,174031654447,1808599259,371451,1984,428,380,391580,0 112,2,2024-09-07 08:47:01:145,266240,266239,1,0,11472629,0,5036 112,3,2024-09-07 08:47:00:600,1,212,4,0,282,2424,212,0 113,0,2024-09-07 08:47:00:873,53238,0.3,53183,0.5,106878,0.3,142722,1.75 113,1,2024-09-07 08:47:01:687,374134,374134,0,0,175636677833,1815917133,371647,1998,489,366,391661,0 113,2,2024-09-07 08:47:01:304,272540,272540,0,0,10707886,0,3813 113,3,2024-09-07 08:47:00:688,1,212,1,0,340,3505,212,0 114,0,2024-09-07 08:47:00:878,49335,0.5,49882,0.6,98513,0.4,132459,1.75 114,1,2024-09-07 08:47:00:717,372602,372602,0,0,175472803350,1833459901,367799,3215,1588,381,391556,0 114,2,2024-09-07 08:47:00:878,270137,270136,1,0,11852513,0,5069 114,3,2024-09-07 08:47:01:280,1,212,1,0,395,2467,212,0 115,0,2024-09-07 08:47:00:555,54118,0.3,54411,0.4,108670,0.2,144473,1.50 115,1,2024-09-07 08:47:00:571,372618,372618,0,0,175220611184,1827224925,368279,3506,833,382,391602,0 115,2,2024-09-07 08:47:01:129,265843,265843,0,0,9846975,0,2152 115,3,2024-09-07 08:47:01:002,1,212,1,0,159,1273,212,0 116,0,2024-09-07 08:47:01:722,54699,0.7,54370,0.9,109147,0.7,146163,2.00 116,1,2024-09-07 08:47:00:813,370550,370550,0,0,173883025328,1846818744,364364,4134,2052,380,391782,0 116,2,2024-09-07 08:47:01:754,265664,265664,0,0,14346111,0,3529 116,3,2024-09-07 08:47:00:922,1,212,2,0,252,2878,212,0 117,0,2024-09-07 08:47:01:104,54601,1.0,54564,1.0,109024,1.2,146052,2.00 117,1,2024-09-07 08:47:01:589,371939,371939,0,0,173876254318,1824193433,367422,4040,477,370,392033,0 117,2,2024-09-07 08:47:01:131,271045,271045,0,0,11874571,0,3700 117,3,2024-09-07 08:47:01:066,1,212,18,0,490,3826,212,0 118,0,2024-09-07 08:47:01:778,47830,0.8,49095,0.9,99994,0.9,131130,2.25 118,1,2024-09-07 08:47:00:590,371801,371801,0,0,173754197576,1832096839,365836,4538,1427,366,391736,0 118,2,2024-09-07 08:47:01:596,269582,269582,0,0,12685962,0,2781 118,3,2024-09-07 08:47:01:765,1,212,8,0,235,2582,212,0 119,0,2024-09-07 08:47:01:332,52930,0.6,53315,0.8,106960,0.5,141842,2.00 119,1,2024-09-07 08:47:00:557,372659,372659,0,0,174847909548,1828690472,368675,3600,384,368,391641,0 119,2,2024-09-07 08:47:01:264,267219,267219,0,0,12071124,0,4174 119,3,2024-09-07 08:47:01:325,1,212,57,0,443,3332,212,0 120,0,2024-09-07 08:47:01:626,53534,0.5,53503,0.8,107387,0.5,143186,2.00 120,1,2024-09-07 08:47:00:862,372154,372154,0,0,173723114778,1825258394,367892,3916,346,368,391961,0 120,2,2024-09-07 08:47:00:774,265345,265344,1,0,13821840,0,5281 120,3,2024-09-07 08:47:01:291,1,212,0,0,241,2965,212,0 121,0,2024-09-07 08:47:01:736,55269,1.1,55602,1.0,110873,1.5,147540,2.25 121,1,2024-09-07 08:47:01:662,372656,372656,0,0,174433659679,1825914011,368942,3312,402,367,391840,0 121,2,2024-09-07 08:47:01:143,265864,265864,0,0,13292056,0,4127 121,3,2024-09-07 08:47:00:728,1,212,3,0,269,2598,212,0 122,0,2024-09-07 08:47:01:766,51266,1.0,49885,1.0,104545,1.2,136971,2.25 122,1,2024-09-07 08:47:00:859,371590,371590,0,0,174478190739,1833910434,366211,4639,740,366,392130,0 122,2,2024-09-07 08:47:01:318,270132,270059,73,0,16060838,0,5989 122,3,2024-09-07 08:47:00:595,1,212,12,0,411,4669,212,0 123,0,2024-09-07 08:47:00:976,50554,0.6,49402,0.8,102845,0.7,135020,2.00 123,1,2024-09-07 08:47:00:562,371868,371868,0,0,173932053123,1839338901,363898,6409,1561,369,391823,0 123,2,2024-09-07 08:47:01:027,267814,267813,1,0,12967630,0,5215 123,3,2024-09-07 08:47:01:132,1,212,3,0,168,2567,212,0 124,0,2024-09-07 08:47:00:938,55883,0.3,55829,0.5,105327,0.3,144146,1.75 124,1,2024-09-07 08:47:01:038,373673,373673,0,0,174259711732,1812661400,371046,2265,362,367,392178,0 124,2,2024-09-07 08:47:01:010,265056,265056,0,0,10558349,0,3101 124,3,2024-09-07 08:47:00:760,1,212,2,0,490,2601,212,0 125,0,2024-09-07 08:47:01:421,55646,0.4,55580,0.5,111446,0.3,148017,1.75 125,1,2024-09-07 08:47:00:856,372545,372545,0,0,174939769784,1825353538,369989,2278,278,383,391702,0 125,2,2024-09-07 08:47:01:118,267088,267088,0,0,10710777,0,2180 125,3,2024-09-07 08:47:01:133,1,212,1,0,284,2641,212,0 126,0,2024-09-07 08:47:01:426,53788,0.6,55186,0.7,105508,0.6,143255,1.75 126,1,2024-09-07 08:47:00:560,373505,373505,0,0,175032142940,1816930754,370952,2293,260,365,391987,0 126,2,2024-09-07 08:47:00:611,273137,273137,0,0,11555066,0,3186 126,3,2024-09-07 08:47:00:907,1,212,8,0,183,3052,212,0 127,0,2024-09-07 08:47:01:597,48579,0.4,48774,0.5,97211,0.3,129439,1.75 127,1,2024-09-07 08:47:00:577,373332,373332,0,0,175156428527,1816994361,370590,2590,152,365,391816,0 127,2,2024-09-07 08:47:00:647,270428,270428,0,0,10690310,0,2264 127,3,2024-09-07 08:47:01:268,1,212,8,0,243,1768,212,0 128,0,2024-09-07 08:47:01:525,55287,0.3,55243,0.5,110163,0.2,147171,1.50 128,1,2024-09-07 08:47:01:632,373115,373115,0,0,174002734871,1807427481,371016,1943,156,367,391798,0 128,2,2024-09-07 08:47:01:389,264241,264241,0,0,10366926,0,2434 128,3,2024-09-07 08:47:00:771,1,212,2,0,1082,4443,212,0 129,0,2024-09-07 08:47:01:002,55914,0.3,55602,0.5,111180,0.3,148038,1.50 129,1,2024-09-07 08:47:00:569,371152,371152,0,0,173757504293,1825429403,367052,3173,927,379,391835,0 129,2,2024-09-07 08:47:00:693,267247,267247,0,0,11179928,0,4031 129,3,2024-09-07 08:47:00:692,1,212,3,0,469,3034,212,0 130,0,2024-09-07 08:47:01:721,54600,0.6,54452,0.7,109109,0.7,145235,1.75 130,1,2024-09-07 08:47:00:593,372882,372882,0,0,174390464040,1817550079,370514,2292,76,381,391825,0 130,2,2024-09-07 08:47:01:129,272062,272062,0,0,11081914,0,4067 130,3,2024-09-07 08:47:01:302,1,212,35,0,450,2935,212,0 131,0,2024-09-07 08:47:01:926,48579,0.5,48943,0.6,98508,0.5,130163,1.75 131,1,2024-09-07 08:47:01:827,373413,373413,0,0,174671629102,1824367842,370392,2565,456,383,391865,0 131,2,2024-09-07 08:47:00:568,271478,271478,0,0,10377650,0,2415 131,3,2024-09-07 08:47:01:692,1,212,3,0,392,2150,212,0 132,0,2024-09-07 08:47:01:479,53970,0.5,54563,0.7,109144,0.5,145326,2.00 132,1,2024-09-07 08:47:00:589,371413,371413,0,0,173788708348,1837114132,365120,5177,1116,381,392097,0 132,2,2024-09-07 08:47:00:718,265862,265862,0,0,13538030,0,4606 132,3,2024-09-07 08:47:01:698,1,212,9,0,356,3367,212,0 133,0,2024-09-07 08:47:01:529,52890,0.4,54129,0.6,110981,0.4,143872,1.75 133,1,2024-09-07 08:47:00:592,370631,370631,0,0,173987895565,1835785193,365361,4620,650,383,391914,0 133,2,2024-09-07 08:47:01:094,265403,265403,0,0,14362416,0,4315 133,3,2024-09-07 08:47:01:297,1,212,2,0,276,2157,212,0 134,0,2024-09-07 08:47:00:967,55266,0.5,55219,0.7,110977,0.5,147272,2.00 134,1,2024-09-07 08:47:00:589,371443,371443,0,0,174294657026,1834610883,365469,4558,1416,366,391718,0 134,2,2024-09-07 08:47:01:801,267820,267820,0,0,12354444,0,3847 134,3,2024-09-07 08:47:00:753,1,212,17,0,739,3442,212,0 135,0,2024-09-07 08:47:01:108,48167,1.2,48159,1.1,102255,1.4,131548,2.25 135,1,2024-09-07 08:47:01:589,370821,370821,0,0,174476961817,1837887890,365482,4442,897,380,391805,0 135,2,2024-09-07 08:47:00:692,269943,269943,0,0,13451278,0,3981 135,3,2024-09-07 08:47:01:005,1,212,5,0,299,1517,212,0 136,0,2024-09-07 08:47:01:620,52385,0.5,52260,0.7,104521,0.5,139660,2.00 136,1,2024-09-07 08:47:01:450,371823,371823,0,0,173859031500,1828213562,366851,4430,542,382,391685,0 136,2,2024-09-07 08:47:01:146,269254,269254,0,0,12267221,0,3506 136,3,2024-09-07 08:47:01:115,1,212,1,0,126,1736,212,0 137,0,2024-09-07 08:47:00:942,55672,0.7,54026,0.8,106687,0.7,144546,2.00 137,1,2024-09-07 08:47:00:585,371311,371311,0,0,174020451968,1829846073,364878,5063,1370,366,391708,0 137,2,2024-09-07 08:47:01:709,264750,264750,0,0,14073401,0,3185 137,3,2024-09-07 08:47:00:776,1,212,12,0,227,2369,212,0 138,0,2024-09-07 08:47:01:758,54848,0.9,54942,1.0,110178,1.1,146507,2.25 138,1,2024-09-07 08:47:01:693,372060,372060,0,0,174992236279,1837535029,366473,4698,889,368,391954,0 138,2,2024-09-07 08:47:00:603,266645,266645,0,0,12534111,0,4988 138,3,2024-09-07 08:47:00:611,1,212,7,0,1160,3609,212,0 139,0,2024-09-07 08:47:01:367,51806,2.5,51838,1.5,104610,3.6,139436,2.75 139,1,2024-09-07 08:47:00:584,370248,370248,0,0,173381694585,1845153585,362787,5560,1901,381,391892,0 139,2,2024-09-07 08:47:00:702,269748,269748,0,0,13234186,0,3097 139,3,2024-09-07 08:47:01:672,1,212,6,0,244,2329,212,0 140,0,2024-09-07 08:47:01:588,49900,0.4,49346,0.5,99551,0.3,132624,1.75 140,1,2024-09-07 08:47:01:536,373909,373909,0,0,175223804215,1807126494,372150,1519,240,365,391606,0 140,2,2024-09-07 08:47:00:687,271057,271057,0,0,10944756,0,3388 140,3,2024-09-07 08:47:00:771,1,212,1,0,247,1761,212,0 141,0,2024-09-07 08:47:01:704,54788,0.3,56219,0.5,107362,0.2,145538,1.75 141,1,2024-09-07 08:47:00:859,373680,373680,0,0,175000340231,1816134456,371606,1719,355,379,391614,0 141,2,2024-09-07 08:47:01:692,264754,264754,0,0,10129757,0,2342 141,3,2024-09-07 08:47:01:043,1,212,1,0,176,1769,212,0 142,0,2024-09-07 08:47:01:314,56421,0.3,55918,0.5,111231,0.2,148872,1.50 142,1,2024-09-07 08:47:00:587,372657,372657,0,0,174847690182,1824621503,370199,2215,243,382,392102,0 142,2,2024-09-07 08:47:01:299,266080,266048,32,0,11908577,0,6028 142,3,2024-09-07 08:47:01:756,1,212,10,0,484,2858,212,0 143,0,2024-09-07 08:47:01:381,53547,0.6,53402,0.6,107477,0.6,142611,1.75 143,1,2024-09-07 08:47:00:558,373247,373247,0,0,174700885447,1813112443,370918,2283,46,367,391619,0 143,2,2024-09-07 08:47:00:769,272007,272007,0,0,11469386,0,2669 143,3,2024-09-07 08:47:01:148,1,212,1,0,303,3013,212,0 144,0,2024-09-07 08:47:01:496,47325,0.8,48787,1.1,99051,0.9,130772,2.25 144,1,2024-09-07 08:47:00:566,371234,371234,0,0,174136772827,1832297538,367358,2955,921,381,391649,0 144,2,2024-09-07 08:47:01:757,270531,270531,0,0,11155186,0,3473 144,3,2024-09-07 08:47:01:742,1,212,7,0,249,2652,212,0 145,0,2024-09-07 08:47:01:371,52409,0.5,52407,0.7,111157,0.4,143805,2.25 145,1,2024-09-07 08:47:00:552,370741,370741,0,0,174425579715,1838264199,365452,4470,819,382,391698,0 145,2,2024-09-07 08:47:01:433,263942,263942,0,0,12499662,0,3903 145,3,2024-09-07 08:47:00:897,1,212,4,0,622,3885,212,0 146,0,2024-09-07 08:47:01:595,54626,0.5,54220,0.8,109208,0.5,145424,2.25 146,1,2024-09-07 08:47:01:590,372379,372379,0,0,174073742284,1833270524,365717,5228,1434,368,391770,0 146,2,2024-09-07 08:47:01:703,266279,266279,0,0,12303938,0,2730 146,3,2024-09-07 08:47:01:280,1,212,10,0,1520,5730,212,0 147,0,2024-09-07 08:47:01:709,54543,0.7,54473,0.8,108260,0.6,145722,2.25 147,1,2024-09-07 08:47:01:374,373440,373440,0,0,174768791656,1820521528,369713,3158,569,368,391791,0 147,2,2024-09-07 08:47:01:012,270892,270892,0,0,10957444,0,2789 147,3,2024-09-07 08:47:00:919,1,212,52,0,730,3350,212,0 0,0,2024-09-07 08:47:11:901,52338,0.5,52411,0.7,111120,0.5,143836,1.75 0,1,2024-09-07 08:47:10:814,374169,374169,0,0,175385213230,1838609703,371015,2952,202,372,391772,0 0,2,2024-09-07 08:47:11:069,267430,267430,0,0,11606626,0,4480 0,3,2024-09-07 08:47:10:980,1,213,9,0,247,2781,213,0 1,0,2024-09-07 08:47:11:817,55718,1.0,55253,1.0,111063,1.2,147952,2.00 1,1,2024-09-07 08:47:10:558,373647,373647,0,0,174665835851,1835460572,369225,3369,1053,371,391857,0 1,2,2024-09-07 08:47:10:649,268393,268393,0,0,10753332,0,3267 1,3,2024-09-07 08:47:11:311,1,213,13,0,262,2714,213,0 2,0,2024-09-07 08:47:11:579,51274,0.9,51654,0.9,102611,1.2,136484,2.00 2,1,2024-09-07 08:47:10:860,374532,374532,0,0,175424867111,1826028326,372432,1882,218,380,391745,0 2,2,2024-09-07 08:47:11:280,271621,271621,0,0,11537726,0,3594 2,3,2024-09-07 08:47:10:692,1,213,32,0,357,2122,213,0 3,0,2024-09-07 08:47:11:749,51074,0.4,51083,0.6,101719,0.4,135838,2.00 3,1,2024-09-07 08:47:11:625,374180,374180,0,0,174740566580,1824461166,370446,3273,461,380,391523,0 3,2,2024-09-07 08:47:11:142,270337,270314,23,0,11539254,0,5851 3,3,2024-09-07 08:47:11:765,1,213,26,0,103,1392,213,0 4,0,2024-09-07 08:47:11:780,52489,0.4,53965,0.5,109862,0.4,143861,1.75 4,1,2024-09-07 08:47:10:591,373614,373614,0,0,175015889519,1842703714,369107,3719,788,371,391846,0 4,2,2024-09-07 08:47:11:020,265218,265218,0,0,13311556,0,4528 4,3,2024-09-07 08:47:11:041,1,213,21,0,448,3415,213,0 5,0,2024-09-07 08:47:11:375,55771,0.4,55945,0.6,111296,0.4,148113,1.75 5,1,2024-09-07 08:47:10:760,373433,373433,0,0,174771437018,1841277525,368625,3751,1057,368,392005,0 5,2,2024-09-07 08:47:11:851,266199,266199,0,0,12141420,0,2259 5,3,2024-09-07 08:47:11:804,1,213,96,0,457,3562,213,0 6,0,2024-09-07 08:47:10:921,54166,0.7,53656,0.9,107087,0.8,143517,2.25 6,1,2024-09-07 08:47:10:750,374132,374132,0,0,174625465988,1829594034,369787,3540,805,379,391634,0 6,2,2024-09-07 08:47:11:118,272419,272419,0,0,12358627,0,4816 6,3,2024-09-07 08:47:11:274,1,213,13,0,340,2741,213,0 7,0,2024-09-07 08:47:11:539,48469,0.5,48592,0.7,96868,0.5,129497,2.00 7,1,2024-09-07 08:47:10:850,373263,373263,0,0,175071857958,1842679286,367617,4671,975,382,391686,0 7,2,2024-09-07 08:47:10:771,270427,270427,0,0,12275603,0,4791 7,3,2024-09-07 08:47:10:851,1,213,1,0,305,2207,213,0 8,0,2024-09-07 08:47:11:372,55166,0.4,55289,0.5,110112,0.4,147155,1.75 8,1,2024-09-07 08:47:11:022,372982,372982,0,0,175027103448,1848297912,365838,5308,1836,367,391956,0 8,2,2024-09-07 08:47:10:803,262522,262522,0,0,13550712,0,2986 8,3,2024-09-07 08:47:10:586,1,213,120,0,357,3246,213,0 9,0,2024-09-07 08:47:11:112,55923,0.3,54277,0.5,113334,0.3,148880,1.75 9,1,2024-09-07 08:47:10:560,373349,373349,0,0,175176109109,1850958062,367291,4520,1538,370,392001,0 9,2,2024-09-07 08:47:11:091,267440,267440,0,0,12193733,0,3360 9,3,2024-09-07 08:47:11:756,1,213,1,0,496,3284,213,0 10,0,2024-09-07 08:47:11:602,54659,0.3,54205,0.5,108956,0.3,145176,1.75 10,1,2024-09-07 08:47:10:594,373847,373847,0,0,175012627557,1837218894,368103,4900,844,381,391741,0 10,2,2024-09-07 08:47:10:763,272504,272504,0,0,13862983,0,4264 10,3,2024-09-07 08:47:10:879,1,213,2,0,177,1786,213,0 11,0,2024-09-07 08:47:11:014,48425,0.5,47062,0.7,98472,0.5,131219,2.00 11,1,2024-09-07 08:47:10:578,373995,373995,0,0,174799402913,1843682647,366355,5726,1914,383,391537,0 11,2,2024-09-07 08:47:11:129,271109,271109,0,0,13115798,0,4130 11,3,2024-09-07 08:47:11:300,1,213,2,0,720,3082,213,0 12,0,2024-09-07 08:47:10:941,54903,0.3,54870,0.5,109563,0.3,145829,1.75 12,1,2024-09-07 08:47:10:937,374360,374360,0,0,174507872063,1822106002,371083,2858,419,370,391837,0 12,2,2024-09-07 08:47:11:541,267329,267329,0,0,12266433,0,3469 12,3,2024-09-07 08:47:11:068,1,213,1,0,386,3963,213,0 13,0,2024-09-07 08:47:11:394,54834,0.3,54673,0.5,109288,0.3,145603,1.75 13,1,2024-09-07 08:47:11:537,373256,373256,0,0,174841543411,1836979227,369902,2815,539,382,391717,0 13,2,2024-09-07 08:47:10:604,268478,268478,0,0,11147963,0,3287 13,3,2024-09-07 08:47:11:770,1,213,0,0,467,3714,213,0 14,0,2024-09-07 08:47:10:564,55653,0.4,56201,0.6,111062,0.4,147721,1.75 14,1,2024-09-07 08:47:11:571,376189,376189,0,0,176130970669,1826148775,373493,2507,189,364,391571,0 14,2,2024-09-07 08:47:10:765,270115,270115,0,0,11501770,0,2896 14,3,2024-09-07 08:47:11:124,1,213,13,0,1168,3278,213,0 15,0,2024-09-07 08:47:11:556,49665,0.6,50066,0.9,99966,0.7,132977,2.25 15,1,2024-09-07 08:47:11:611,374767,374767,0,0,175161505720,1822868419,372466,2157,144,381,391619,0 15,2,2024-09-07 08:47:11:001,272186,272186,0,0,10040977,0,3043 15,3,2024-09-07 08:47:11:409,1,213,1,0,1126,4764,213,0 16,0,2024-09-07 08:47:10:952,52512,0.8,52812,0.9,104583,0.8,140654,2.25 16,1,2024-09-07 08:47:10:563,374643,374643,0,0,175141891421,1835179167,371121,3227,295,370,391756,0 16,2,2024-09-07 08:47:11:439,268881,268881,0,0,12400150,0,4719 16,3,2024-09-07 08:47:11:142,1,213,1,0,317,2959,213,0 17,0,2024-09-07 08:47:11:810,55841,0.5,54480,0.8,106754,0.5,144708,2.00 17,1,2024-09-07 08:47:10:572,372967,372967,0,0,174526500491,1838493715,368143,3723,1101,368,391688,0 17,2,2024-09-07 08:47:11:710,269162,269162,0,0,11431110,0,2857 17,3,2024-09-07 08:47:10:575,1,213,2,0,268,3535,213,0 18,0,2024-09-07 08:47:10:940,54750,0.7,54912,0.9,109844,0.8,146409,2.50 18,1,2024-09-07 08:47:11:638,374436,374436,0,0,175296092623,1820526083,371940,2257,239,367,391564,0 18,2,2024-09-07 08:47:11:773,268493,268493,0,0,11071949,0,3541 18,3,2024-09-07 08:47:10:898,1,213,7,0,163,2228,213,0 19,0,2024-09-07 08:47:11:550,52307,0.9,52922,0.9,104468,0.9,138891,2.50 19,1,2024-09-07 08:47:10:567,374401,374401,0,0,175707386917,1831007187,369805,3811,785,367,391777,0 19,2,2024-09-07 08:47:11:760,273642,273642,0,0,10371276,0,3988 19,3,2024-09-07 08:47:11:129,1,213,2,0,524,1759,213,0 20,0,2024-09-07 08:47:11:349,49534,0.9,49538,0.9,99522,1.0,133070,2.50 20,1,2024-09-07 08:47:10:577,373527,373527,0,0,175095940401,1835452109,369792,3339,396,369,391886,0 20,2,2024-09-07 08:47:10:928,270716,270716,0,0,12025005,0,3721 20,3,2024-09-07 08:47:10:589,1,213,2,0,414,4334,213,0 21,0,2024-09-07 08:47:11:170,54722,0.5,54970,0.7,109697,0.5,144993,2.00 21,1,2024-09-07 08:47:11:553,372904,372904,0,0,174139474146,1839170570,367467,4204,1233,368,391962,0 21,2,2024-09-07 08:47:11:073,265162,265162,0,0,12938329,0,3747 21,3,2024-09-07 08:47:11:408,1,213,62,0,103,2435,213,0 22,0,2024-09-07 08:47:11:726,55829,0.7,55905,0.8,111337,0.7,148301,2.25 22,1,2024-09-07 08:47:11:027,373069,373069,0,0,174657366399,1843184431,366464,4993,1612,382,391667,0 22,2,2024-09-07 08:47:10:769,267316,267316,0,0,11633610,0,3134 22,3,2024-09-07 08:47:11:067,1,213,8,0,228,2164,213,0 23,0,2024-09-07 08:47:11:372,53264,0.7,53290,0.8,107083,0.8,142761,2.25 23,1,2024-09-07 08:47:11:011,373933,373933,0,0,175263929950,1846765047,366842,4541,2550,365,391550,0 23,2,2024-09-07 08:47:11:099,272934,272934,0,0,11579294,0,3010 23,3,2024-09-07 08:47:11:762,1,213,0,0,645,2646,213,0 24,0,2024-09-07 08:47:10:822,49823,0.5,49796,0.6,99752,0.4,132739,1.75 24,1,2024-09-07 08:47:10:815,374025,374025,0,0,175185792201,1836636923,369822,3174,1029,369,391640,0 24,2,2024-09-07 08:47:11:069,270150,270150,0,0,13709510,0,3607 24,3,2024-09-07 08:47:11:685,1,213,84,0,468,3198,213,0 25,0,2024-09-07 08:47:11:448,56074,0.4,54598,0.6,107062,0.4,146105,2.00 25,1,2024-09-07 08:47:10:558,374009,374009,0,0,175256650225,1837093253,370046,3416,547,371,391788,0 25,2,2024-09-07 08:47:11:613,264689,264689,0,0,13541796,0,3978 25,3,2024-09-07 08:47:11:008,1,213,56,0,255,2532,213,0 26,0,2024-09-07 08:47:11:738,54811,0.4,53544,0.6,112388,0.4,146601,1.75 26,1,2024-09-07 08:47:11:541,374535,374535,0,0,174399039022,1830400831,368945,4609,981,381,391748,0 26,2,2024-09-07 08:47:10:862,268351,268351,0,0,12818348,0,2809 26,3,2024-09-07 08:47:11:721,1,213,8,0,796,3069,213,0 27,0,2024-09-07 08:47:11:742,55237,0.5,55061,0.6,109182,0.4,145833,2.00 27,1,2024-09-07 08:47:11:680,375870,375870,0,0,176317158896,1832390346,373569,1972,329,381,391558,0 27,2,2024-09-07 08:47:10:869,269435,269370,65,0,13979655,0,5699 27,3,2024-09-07 08:47:11:015,1,213,1,0,564,2324,213,0 28,0,2024-09-07 08:47:11:422,49465,0.6,49405,0.8,99455,0.7,132547,2.25 28,1,2024-09-07 08:47:10:806,375151,375151,0,0,175873984960,1834301753,372329,2352,470,383,391646,0 28,2,2024-09-07 08:47:11:779,272006,272006,0,0,11143690,0,2915 28,3,2024-09-07 08:47:11:784,1,213,3,0,502,2399,213,0 29,0,2024-09-07 08:47:11:358,55236,0.3,54046,0.5,105471,0.2,144528,1.75 29,1,2024-09-07 08:47:11:576,376003,376003,0,0,175499276758,1819920144,372916,2523,564,369,391621,0 29,2,2024-09-07 08:47:10:864,267901,267901,0,0,10154941,0,4018 29,3,2024-09-07 08:47:10:965,1,213,1,0,115,1664,213,0 30,0,2024-09-07 08:47:11:459,53763,0.6,52305,0.8,109547,0.6,143254,2.00 30,1,2024-09-07 08:47:10:576,375826,375826,0,0,176330347236,1829388164,373637,1896,293,382,391672,0 30,2,2024-09-07 08:47:11:275,268182,268182,0,0,10174020,0,3161 30,3,2024-09-07 08:47:10:581,1,213,18,0,195,1524,213,0 31,0,2024-09-07 08:47:11:763,55131,0.4,55480,0.6,111388,0.4,147764,2.00 31,1,2024-09-07 08:47:10:564,376810,376810,0,0,176266818408,1807620406,375715,938,157,356,391712,0 31,2,2024-09-07 08:47:11:288,268177,268177,0,0,11877466,0,3525 31,3,2024-09-07 08:47:11:724,1,213,8,0,220,1814,213,0 32,0,2024-09-07 08:47:11:442,51257,0.4,51815,0.6,103493,0.4,137147,1.75 32,1,2024-09-07 08:47:10:804,375618,375618,0,0,175894814539,1827226072,373710,1631,277,381,391614,0 32,2,2024-09-07 08:47:10:936,272217,272217,0,0,10194989,0,3155 32,3,2024-09-07 08:47:11:016,1,213,1,0,227,1672,213,0 33,0,2024-09-07 08:47:11:500,51514,0.3,50775,0.5,102386,0.2,136861,1.75 33,1,2024-09-07 08:47:10:583,375923,375923,0,0,176721357342,1829169493,373380,2371,172,369,391730,0 33,2,2024-09-07 08:47:10:758,271063,271030,33,0,12118932,0,7012 33,3,2024-09-07 08:47:10:899,1,213,1,0,329,2534,213,0 34,0,2024-09-07 08:47:10:945,54146,0.4,55795,0.5,107016,0.3,143091,1.75 34,1,2024-09-07 08:47:11:062,376570,376570,0,0,176113116677,1814028773,375496,1067,7,367,391562,0 34,2,2024-09-07 08:47:10:771,266302,266302,0,0,11039513,0,3577 34,3,2024-09-07 08:47:11:691,1,213,8,0,148,1493,213,0 35,0,2024-09-07 08:47:10:860,55159,0.4,55612,0.5,111607,0.3,148304,1.75 35,1,2024-09-07 08:47:11:070,374516,374516,0,0,176214073333,1831037535,371247,2490,779,384,391589,0 35,2,2024-09-07 08:47:11:584,268345,268345,0,0,10264852,0,2653 35,3,2024-09-07 08:47:10:912,1,213,4,0,418,2638,213,0 36,0,2024-09-07 08:47:11:537,53804,0.8,53762,0.9,107725,0.8,143167,2.50 36,1,2024-09-07 08:47:10:586,373900,373900,0,0,174906945672,1835054983,368102,4220,1578,366,391759,0 36,2,2024-09-07 08:47:11:754,271980,271980,0,0,12806582,0,3875 36,3,2024-09-07 08:47:10:881,1,213,0,0,416,3782,213,0 37,0,2024-09-07 08:47:11:389,48428,0.5,48219,0.7,96980,0.5,130272,2.00 37,1,2024-09-07 08:47:10:573,373547,373540,0,7,175109126476,1841424027,367578,3896,2066,365,391560,0 37,2,2024-09-07 08:47:11:142,270591,270576,15,0,13009679,0,5815 37,3,2024-09-07 08:47:11:775,1,213,3,0,888,4105,213,0 38,0,2024-09-07 08:47:11:437,54687,0.5,53094,0.7,111236,0.5,145347,2.00 38,1,2024-09-07 08:47:11:613,375195,375195,0,0,175571498267,1837328132,370239,4292,664,368,391821,0 38,2,2024-09-07 08:47:10:769,265083,265036,47,0,13133984,0,6710 38,3,2024-09-07 08:47:11:001,1,213,7,0,689,3413,213,0 39,0,2024-09-07 08:47:11:775,56943,0.7,55930,0.8,108544,0.7,148880,2.00 39,1,2024-09-07 08:47:10:716,374705,374705,0,0,175811454290,1838588350,369631,4212,862,365,391594,0 39,2,2024-09-07 08:47:11:421,267411,267411,0,0,11220080,0,2689 39,3,2024-09-07 08:47:10:713,1,213,0,0,324,3346,213,0 40,0,2024-09-07 08:47:11:491,53857,0.8,54368,1.0,108816,0.8,144653,2.75 40,1,2024-09-07 08:47:10:576,374394,374394,0,0,174935959427,1836643112,368188,4973,1233,368,391591,0 40,2,2024-09-07 08:47:11:308,271730,271729,1,0,13962802,0,5137 40,3,2024-09-07 08:47:11:145,1,213,0,0,333,2517,213,0 41,0,2024-09-07 08:47:11:024,48250,1.4,49487,1.4,94455,2.6,129648,3.50 41,1,2024-09-07 08:47:10:771,374157,374157,0,0,175423294901,1836205778,369244,4352,561,370,391742,0 41,2,2024-09-07 08:47:10:769,269865,269865,0,0,13602122,0,3356 41,3,2024-09-07 08:47:11:685,1,213,48,0,366,2535,213,0 42,0,2024-09-07 08:47:11:496,54083,0.6,54262,0.8,108499,0.6,143768,2.25 42,1,2024-09-07 08:47:11:441,373098,373098,0,0,175599076742,1845191225,367461,4563,1074,380,391675,0 42,2,2024-09-07 08:47:11:142,266227,266227,0,0,12401829,0,3568 42,3,2024-09-07 08:47:11:009,1,213,8,0,446,1916,213,0 43,0,2024-09-07 08:47:10:922,54228,0.7,52819,0.9,110210,0.8,145220,2.00 43,1,2024-09-07 08:47:10:577,374825,374825,0,0,175889278425,1834672801,370672,3356,797,366,391696,0 43,2,2024-09-07 08:47:11:742,268302,268302,0,0,11833941,0,3812 43,3,2024-09-07 08:47:11:757,1,213,2,0,467,2765,213,0 44,0,2024-09-07 08:47:10:859,55388,0.5,55775,0.7,111143,0.4,148240,1.75 44,1,2024-09-07 08:47:10:568,375644,375644,0,0,175067533748,1809761628,373244,1970,430,356,391809,0 44,2,2024-09-07 08:47:11:279,269365,269365,0,0,10135790,0,2231 44,3,2024-09-07 08:47:11:103,1,213,0,0,817,2305,213,0 45,0,2024-09-07 08:47:11:776,49314,0.8,48258,0.9,101251,0.9,133729,2.00 45,1,2024-09-07 08:47:11:021,374768,374768,0,0,175988202988,1824690310,373405,1351,12,382,391917,0 45,2,2024-09-07 08:47:11:280,272124,272124,0,0,11127237,0,3596 45,3,2024-09-07 08:47:10:934,1,213,1,0,271,2490,213,0 46,0,2024-09-07 08:47:10:961,52456,0.4,52388,0.6,104927,0.4,140023,2.00 46,1,2024-09-07 08:47:10:577,376025,376025,0,0,175329969393,1812255819,373849,1904,272,366,391524,0 46,2,2024-09-07 08:47:10:605,270001,270001,0,0,10576601,0,2920 46,3,2024-09-07 08:47:11:131,1,213,8,0,908,3385,213,0 47,0,2024-09-07 08:47:11:110,54538,0.4,54484,0.6,109439,0.4,144661,1.75 47,1,2024-09-07 08:47:10:568,376078,376078,0,0,175563368397,1813645566,374095,1898,85,366,391605,0 47,2,2024-09-07 08:47:10:912,268849,268849,0,0,10528993,0,2558 47,3,2024-09-07 08:47:11:115,1,213,3,0,529,2195,213,0 48,0,2024-09-07 08:47:11:507,55724,0.3,55428,0.4,110393,0.2,147327,1.75 48,1,2024-09-07 08:47:11:022,375769,375769,0,0,175742966201,1828582222,372837,2633,299,384,391710,0 48,2,2024-09-07 08:47:10:698,268343,268343,0,0,10310489,0,3031 48,3,2024-09-07 08:47:10:757,1,213,0,0,339,1952,213,0 49,0,2024-09-07 08:47:11:738,54304,0.4,53238,0.6,103334,0.4,140984,1.75 49,1,2024-09-07 08:47:11:021,373347,373347,0,0,174615687319,1824333760,369560,2606,1181,382,391610,0 49,2,2024-09-07 08:47:11:796,273380,273380,0,0,10961100,0,3900 49,3,2024-09-07 08:47:11:420,1,213,1,0,408,2569,213,0 50,0,2024-09-07 08:47:11:508,49980,0.3,49355,0.5,99373,0.2,133095,1.75 50,1,2024-09-07 08:47:11:011,376333,376333,0,0,175901021116,1823139738,373629,2394,310,368,391530,0 50,2,2024-09-07 08:47:11:068,271646,271646,0,0,10284556,0,2263 50,3,2024-09-07 08:47:11:292,1,213,22,0,335,1891,213,0 51,0,2024-09-07 08:47:11:693,55897,0.3,54749,0.5,106724,0.2,145310,1.75 51,1,2024-09-07 08:47:11:689,375752,375752,0,0,176417728434,1824930740,373016,1797,939,365,391637,0 51,2,2024-09-07 08:47:11:317,265701,265701,0,0,9227113,0,3337 51,3,2024-09-07 08:47:11:027,1,213,2,0,678,1921,213,0 52,0,2024-09-07 08:47:11:442,56485,0.5,56199,0.7,112148,0.4,149017,2.00 52,1,2024-09-07 08:47:10:576,373848,373848,0,0,174503892806,1835690476,367112,5546,1190,368,391722,0 52,2,2024-09-07 08:47:11:776,265969,265931,38,0,13185934,0,6742 52,3,2024-09-07 08:47:10:690,1,213,11,0,1782,4112,213,0 53,0,2024-09-07 08:47:11:755,53251,0.8,51731,0.9,108360,1.0,141960,2.50 53,1,2024-09-07 08:47:10:778,373179,373179,0,0,175275014417,1847389687,366222,4714,2243,367,391702,0 53,2,2024-09-07 08:47:11:301,273035,273035,0,0,11151966,0,2262 53,3,2024-09-07 08:47:10:697,1,213,1,0,271,2058,213,0 54,0,2024-09-07 08:47:11:618,48364,1.4,48769,1.1,96580,0.9,129807,3.25 54,1,2024-09-07 08:47:10:593,374346,374346,0,0,175871986630,1833205186,369959,3816,571,367,391659,0 54,2,2024-09-07 08:47:10:876,270832,270826,6,0,12561568,0,5382 54,3,2024-09-07 08:47:10:765,1,213,12,0,676,3538,213,0 55,0,2024-09-07 08:47:11:779,52751,0.5,54660,0.7,109979,0.4,143256,2.25 55,1,2024-09-07 08:47:10:769,373615,373615,0,0,174361357310,1822072138,368086,4742,787,365,391731,0 55,2,2024-09-07 08:47:10:731,264791,264791,0,0,12332389,0,3275 55,3,2024-09-07 08:47:10:685,1,213,12,0,304,2687,213,0 56,0,2024-09-07 08:47:11:576,56207,1.2,53023,1.1,109197,1.6,146332,2.50 56,1,2024-09-07 08:47:10:576,373428,373428,0,0,175105926895,1851509973,367505,4980,943,381,391678,0 56,2,2024-09-07 08:47:11:309,268120,268120,0,0,12952324,0,3567 56,3,2024-09-07 08:47:11:065,1,213,4,0,705,3385,213,0 57,0,2024-09-07 08:47:10:948,53978,1.9,54144,1.3,108198,2.6,144853,3.25 57,1,2024-09-07 08:47:11:000,374052,374052,0,0,174906312040,1834210092,369628,3881,543,367,391960,0 57,2,2024-09-07 08:47:11:324,271181,271181,0,0,14005458,0,3317 57,3,2024-09-07 08:47:11:740,1,213,6,0,359,3162,213,0 58,0,2024-09-07 08:47:10:554,48298,0.9,47023,1.0,98535,0.9,128971,2.50 58,1,2024-09-07 08:47:10:576,374453,374450,0,3,175634439849,1837016707,369271,4485,694,367,391545,3 58,2,2024-09-07 08:47:11:077,271759,271759,0,0,12694022,0,2549 58,3,2024-09-07 08:47:11:067,1,213,12,0,1043,2668,213,0 59,0,2024-09-07 08:47:11:753,53795,0.6,53640,0.9,107257,0.6,142896,2.75 59,1,2024-09-07 08:47:10:804,374300,374300,0,0,175588318719,1837975597,369594,3659,1047,369,391525,0 59,2,2024-09-07 08:47:10:586,269061,269061,0,0,11375900,0,2604 59,3,2024-09-07 08:47:11:739,1,213,12,0,1015,3322,213,0 60,0,2024-09-07 08:47:11:704,54128,0.5,53875,0.6,108422,0.4,143956,1.75 60,1,2024-09-07 08:47:10:780,375882,375882,0,0,176596702853,1832945010,373511,1854,517,370,392031,0 60,2,2024-09-07 08:47:11:141,267800,267800,0,0,12027718,0,3811 60,3,2024-09-07 08:47:11:263,1,213,56,0,124,2025,213,0 61,0,2024-09-07 08:47:11:502,55548,0.8,55796,0.9,111066,0.9,147971,2.00 61,1,2024-09-07 08:47:10:770,374228,374228,0,0,175187626951,1836905425,369775,3753,700,382,391880,0 61,2,2024-09-07 08:47:11:120,269375,269375,0,0,11018885,0,2090 61,3,2024-09-07 08:47:11:695,1,213,3,0,397,2966,213,0 62,0,2024-09-07 08:47:11:714,51835,0.9,52888,0.9,100823,1.2,136976,2.25 62,1,2024-09-07 08:47:11:113,376845,376839,0,6,176407605778,1821724081,374467,2256,116,365,391715,6 62,2,2024-09-07 08:47:11:644,270735,270734,1,0,12428979,0,5555 62,3,2024-09-07 08:47:11:143,1,213,8,0,287,1543,213,0 63,0,2024-09-07 08:47:11:451,51148,0.5,51122,0.6,102165,0.4,136507,1.75 63,1,2024-09-07 08:47:10:806,376373,376367,0,6,176280380757,1827481137,374829,1488,50,381,391677,6 63,2,2024-09-07 08:47:10:771,270740,270740,0,0,10193827,0,2674 63,3,2024-09-07 08:47:11:739,1,213,3,0,667,2716,213,0 64,0,2024-09-07 08:47:11:535,53561,0.5,53702,0.7,107670,0.4,142991,1.75 64,1,2024-09-07 08:47:10:750,374642,374642,0,0,175643570995,1836850464,370218,3010,1414,370,391783,0 64,2,2024-09-07 08:47:11:165,268579,268560,19,0,11325070,0,6121 64,3,2024-09-07 08:47:11:152,1,213,12,0,265,2397,213,0 65,0,2024-09-07 08:47:11:687,55106,0.6,55537,0.8,110836,0.7,147305,2.25 65,1,2024-09-07 08:47:10:858,373655,373655,0,0,174882750095,1833718245,370168,3044,443,382,391901,0 65,2,2024-09-07 08:47:11:696,267797,267797,0,0,11822066,0,3367 65,3,2024-09-07 08:47:11:688,1,213,32,0,163,2110,213,0 66,0,2024-09-07 08:47:11:769,53348,0.6,53382,0.8,107263,0.5,142391,2.25 66,1,2024-09-07 08:47:11:293,375453,375453,0,0,176048063798,1830494044,373082,2190,181,380,391588,0 66,2,2024-09-07 08:47:11:142,274988,274988,0,0,11694612,0,4956 66,3,2024-09-07 08:47:11:081,1,213,12,0,291,2436,213,0 67,0,2024-09-07 08:47:11:419,48988,0.8,48617,0.9,97861,1.0,130390,2.25 67,1,2024-09-07 08:47:10:771,374753,374752,0,1,175582257879,1834919761,371075,2930,747,380,391787,1 67,2,2024-09-07 08:47:10:586,272520,272520,0,0,10756644,0,2889 67,3,2024-09-07 08:47:11:755,1,213,1,0,338,1781,213,0 68,0,2024-09-07 08:47:10:563,55153,0.6,55160,0.8,109279,0.6,146985,2.00 68,1,2024-09-07 08:47:10:576,373836,373836,0,0,174312366305,1830776774,370063,2636,1137,381,391953,0 68,2,2024-09-07 08:47:11:053,264470,264405,65,0,14005586,0,6698 68,3,2024-09-07 08:47:10:728,1,213,1,0,417,2570,213,0 69,0,2024-09-07 08:47:11:742,55495,0.8,55774,0.9,111057,0.9,148134,2.25 69,1,2024-09-07 08:47:11:016,372524,372524,0,0,174935791754,1850451094,367149,3975,1400,384,391994,0 69,2,2024-09-07 08:47:11:741,267745,267745,0,0,13556373,0,3722 69,3,2024-09-07 08:47:10:769,1,213,1,0,698,3411,213,0 70,0,2024-09-07 08:47:11:540,54064,1.3,53975,1.2,108420,0.9,144289,2.50 70,1,2024-09-07 08:47:10:800,374776,374776,0,0,176102560012,1832690101,371278,2970,528,366,391725,0 70,2,2024-09-07 08:47:11:333,272020,272020,0,0,13039905,0,4044 70,3,2024-09-07 08:47:10:747,1,213,10,0,854,2671,213,0 71,0,2024-09-07 08:47:11:358,48342,1.5,48266,1.4,96304,2.1,129735,3.25 71,1,2024-09-07 08:47:11:597,374092,374092,0,0,175542401877,1836853798,368979,4492,621,368,391738,0 71,2,2024-09-07 08:47:11:068,271531,271531,0,0,13113240,0,4042 71,3,2024-09-07 08:47:11:755,1,213,8,0,644,3277,213,0 72,0,2024-09-07 08:47:11:028,56238,0.6,55084,0.7,107408,0.5,146128,2.25 72,1,2024-09-07 08:47:11:026,374740,374740,0,0,174817121230,1827027463,370463,3526,751,369,391819,0 72,2,2024-09-07 08:47:11:761,265496,265496,0,0,13612327,0,3983 72,3,2024-09-07 08:47:11:762,1,213,1,0,364,3651,213,0 73,0,2024-09-07 08:47:11:106,53215,0.4,54408,0.6,111610,0.4,144984,2.00 73,1,2024-09-07 08:47:10:767,374992,374992,0,0,175086819768,1821187707,372335,2443,214,367,391750,0 73,2,2024-09-07 08:47:11:748,268887,268887,0,0,12980267,0,3482 73,3,2024-09-07 08:47:10:969,1,213,59,0,274,3307,213,0 74,0,2024-09-07 08:47:11:328,56061,0.5,57213,0.7,108949,0.5,147730,2.25 74,1,2024-09-07 08:47:10:635,374453,374453,0,0,174965192282,1828701995,370585,3077,791,381,391681,0 74,2,2024-09-07 08:47:11:012,269939,269939,0,0,13117335,0,4253 74,3,2024-09-07 08:47:11:447,1,213,9,0,522,3167,213,0 75,0,2024-09-07 08:47:11:764,50103,0.8,49846,1.0,99951,0.8,133961,2.50 75,1,2024-09-07 08:47:11:599,373716,373716,0,0,175355922326,1836288066,369200,3853,663,381,391597,0 75,2,2024-09-07 08:47:11:350,271463,271463,0,0,13022108,0,4766 75,3,2024-09-07 08:47:11:067,1,213,2,0,702,3062,213,0 76,0,2024-09-07 08:47:10:592,52200,0.6,51818,0.8,103455,0.6,139164,2.50 76,1,2024-09-07 08:47:10:806,375075,375075,0,0,175597995884,1832010551,372530,1997,548,382,391692,0 76,2,2024-09-07 08:47:11:069,270622,270622,0,0,11153453,0,3784 76,3,2024-09-07 08:47:11:150,1,213,41,0,175,2376,213,0 77,0,2024-09-07 08:47:11:725,54132,0.6,54465,0.8,108641,0.6,143882,2.00 77,1,2024-09-07 08:47:10:825,375120,375120,0,0,174927950477,1827546347,372317,2570,233,383,391808,0 77,2,2024-09-07 08:47:11:281,266743,266743,0,0,11245614,0,3890 77,3,2024-09-07 08:47:11:095,1,213,0,0,305,2315,213,0 78,0,2024-09-07 08:47:11:736,55446,0.5,54924,0.7,110991,0.4,146514,2.00 78,1,2024-09-07 08:47:10:611,374796,374796,0,0,174645488805,1815878772,371850,2631,315,367,391670,0 78,2,2024-09-07 08:47:11:412,268521,268521,0,0,10578461,0,3855 78,3,2024-09-07 08:47:11:133,1,213,8,0,181,2097,213,0 79,0,2024-09-07 08:47:11:346,51204,0.5,52442,0.7,107240,0.4,138964,2.50 79,1,2024-09-07 08:47:10:577,376070,376070,0,0,175871632943,1821827644,373340,2394,336,369,391682,0 79,2,2024-09-07 08:47:11:068,273602,273602,0,0,10559657,0,3212 79,3,2024-09-07 08:47:10:750,1,213,9,0,418,3216,213,0 80,0,2024-09-07 08:47:11:074,49645,0.6,51072,0.8,97823,0.7,132797,2.25 80,1,2024-09-07 08:47:11:625,374147,374147,0,0,175262256743,1826612105,371354,2626,167,368,391791,0 80,2,2024-09-07 08:47:11:099,271807,271807,0,0,11162269,0,4433 80,3,2024-09-07 08:47:10:575,1,213,1,0,190,3169,213,0 81,0,2024-09-07 08:47:11:627,54479,0.5,55839,0.7,107114,0.5,144429,2.00 81,1,2024-09-07 08:47:11:661,374170,374170,0,0,174517241253,1826642584,370960,2852,358,382,391879,0 81,2,2024-09-07 08:47:11:138,265441,265441,0,0,11288070,0,3993 81,3,2024-09-07 08:47:11:118,1,213,17,0,374,2609,213,0 82,0,2024-09-07 08:47:11:535,55553,0.4,56060,0.7,112371,0.4,149151,2.00 82,1,2024-09-07 08:47:10:587,374786,374782,0,4,175338862275,1830465852,371648,2523,611,381,391558,4 82,2,2024-09-07 08:47:11:696,268210,268210,0,0,10837623,0,3986 82,3,2024-09-07 08:47:11:763,1,213,17,0,363,2628,213,0 83,0,2024-09-07 08:47:11:531,53673,0.6,53787,0.8,106697,0.6,142488,2.00 83,1,2024-09-07 08:47:10:551,374184,374184,0,0,175393474159,1832769350,371045,2896,243,382,391690,0 83,2,2024-09-07 08:47:10:770,272374,272374,0,0,10835201,0,3119 83,3,2024-09-07 08:47:10:757,1,213,11,0,250,2358,213,0 84,0,2024-09-07 08:47:11:782,48851,1.0,48869,1.1,97805,0.9,131249,2.75 84,1,2024-09-07 08:47:11:040,373492,373492,0,0,175307232633,1837316322,369008,3911,573,368,391967,0 84,2,2024-09-07 08:47:10:574,271351,271351,0,0,12656343,0,3801 84,3,2024-09-07 08:47:11:142,1,213,29,0,353,2831,213,0 85,0,2024-09-07 08:47:11:007,52637,0.6,52666,0.7,111603,0.5,144153,2.25 85,1,2024-09-07 08:47:10:565,372933,372933,0,0,174771645593,1851688379,366479,5354,1100,382,392006,0 85,2,2024-09-07 08:47:10:868,266733,266733,0,0,13049472,0,3656 85,3,2024-09-07 08:47:10:692,1,213,3,0,789,2922,213,0 86,0,2024-09-07 08:47:10:878,55047,0.6,56517,0.8,108352,0.7,146413,2.00 86,1,2024-09-07 08:47:10:824,374262,374262,0,0,175341155090,1838679477,369450,4091,721,366,391961,0 86,2,2024-09-07 08:47:10:859,267003,267002,1,0,13995920,0,5004 86,3,2024-09-07 08:47:10:587,1,213,7,0,286,2894,213,0 87,0,2024-09-07 08:47:11:286,54726,1.1,54410,1.0,109380,1.6,146306,2.25 87,1,2024-09-07 08:47:10:550,374346,374346,0,0,174792298976,1833095333,370048,3818,480,366,391788,0 87,2,2024-09-07 08:47:11:066,269956,269956,0,0,12568952,0,4045 87,3,2024-09-07 08:47:11:810,1,213,15,0,473,3632,213,0 88,0,2024-09-07 08:47:11:500,49449,0.6,49721,0.7,99283,0.6,132105,1.75 88,1,2024-09-07 08:47:10:573,373004,373004,0,0,174779848909,1837934276,367214,4320,1470,365,392084,0 88,2,2024-09-07 08:47:10:695,271213,271213,0,0,14247121,0,3583 88,3,2024-09-07 08:47:11:272,1,213,43,0,435,2768,213,0 89,0,2024-09-07 08:47:11:777,55438,0.4,53631,0.6,106363,0.4,144499,1.75 89,1,2024-09-07 08:47:10:607,372631,372631,0,0,175369635662,1852342020,366618,5068,945,382,391866,0 89,2,2024-09-07 08:47:11:132,267441,267441,0,0,13191686,0,2910 89,3,2024-09-07 08:47:11:793,1,213,36,0,325,4312,213,0 90,0,2024-09-07 08:47:11:624,52521,0.5,53636,0.6,110121,0.4,143063,1.75 90,1,2024-09-07 08:47:10:590,374623,374623,0,0,175258996926,1836599154,371230,3131,262,381,391825,0 90,2,2024-09-07 08:47:11:416,266138,266138,0,0,14213205,0,3060 90,3,2024-09-07 08:47:10:930,1,213,13,0,200,2347,213,0 91,0,2024-09-07 08:47:10:946,55891,0.4,54062,0.6,112849,0.4,148109,1.75 91,1,2024-09-07 08:47:10:562,373723,373723,0,0,175355243075,1844617014,368895,4181,647,381,392047,0 91,2,2024-09-07 08:47:11:337,268453,268453,0,0,12839420,0,2445 91,3,2024-09-07 08:47:10:599,1,213,1,0,216,2144,213,0 92,0,2024-09-07 08:47:11:453,51891,0.6,53287,0.8,101857,0.7,137302,1.75 92,1,2024-09-07 08:47:10:588,374147,374147,0,0,175538144298,1831779755,371826,1915,406,382,392136,0 92,2,2024-09-07 08:47:11:356,273400,273400,0,0,11138220,0,2801 92,3,2024-09-07 08:47:11:013,1,213,0,0,167,1732,213,0 93,0,2024-09-07 08:47:10:959,51341,0.4,52617,0.6,100452,0.4,136936,1.75 93,1,2024-09-07 08:47:10:807,374928,374928,0,0,175273849534,1830061140,371194,3107,627,366,391692,0 93,2,2024-09-07 08:47:10:928,271223,271223,0,0,11432099,0,2509 93,3,2024-09-07 08:47:11:410,1,213,46,0,190,2312,213,0 94,0,2024-09-07 08:47:11:618,53976,0.4,54692,0.6,108617,0.3,143826,1.75 94,1,2024-09-07 08:47:10:568,374152,374152,0,0,175533909890,1835431630,371229,2790,133,381,391850,0 94,2,2024-09-07 08:47:10:769,265829,265829,0,0,10342578,0,2443 94,3,2024-09-07 08:47:11:699,1,213,12,0,264,3010,213,0 95,0,2024-09-07 08:47:11:385,55921,0.3,55525,0.5,112189,0.3,148230,1.75 95,1,2024-09-07 08:47:10:851,374770,374770,0,0,175994207070,1832396743,371479,2940,351,367,391662,0 95,2,2024-09-07 08:47:11:016,267372,267372,0,0,11062841,0,3308 95,3,2024-09-07 08:47:11:724,1,213,1,0,718,4277,213,0 96,0,2024-09-07 08:47:11:023,53909,0.5,54148,0.6,108062,0.4,143036,1.75 96,1,2024-09-07 08:47:11:584,373870,373870,0,0,174924704311,1831692079,370314,2827,729,385,391894,0 96,2,2024-09-07 08:47:11:284,272534,272534,0,0,12076684,0,4042 96,3,2024-09-07 08:47:11:140,1,213,6,0,411,2589,213,0 97,0,2024-09-07 08:47:11:323,48998,0.4,48692,0.5,97838,0.3,130579,1.75 97,1,2024-09-07 08:47:10:764,375254,375254,0,0,175774596727,1826427699,372285,2416,553,367,392140,0 97,2,2024-09-07 08:47:10:610,271464,271464,0,0,11127947,0,3036 97,3,2024-09-07 08:47:10:573,1,213,1,0,165,2550,213,0 98,0,2024-09-07 08:47:11:706,55136,0.3,55241,0.5,110909,0.3,147244,1.50 98,1,2024-09-07 08:47:10:578,374847,374847,0,0,175631705303,1829979055,372782,1970,95,382,391997,0 98,2,2024-09-07 08:47:10:769,266500,266500,0,0,10861677,0,3080 98,3,2024-09-07 08:47:10:712,1,213,13,0,840,3733,213,0 99,0,2024-09-07 08:47:11:448,55897,0.3,55933,0.4,111714,0.3,149262,1.75 99,1,2024-09-07 08:47:11:729,375145,375145,0,0,174423475114,1823613145,371379,3027,739,381,391744,0 99,2,2024-09-07 08:47:11:427,269971,269971,0,0,11609172,0,3106 99,3,2024-09-07 08:47:10:587,1,213,8,0,129,1627,213,0 100,0,2024-09-07 08:47:11:459,54306,0.9,54357,1.1,108419,1.2,144877,2.50 100,1,2024-09-07 08:47:10:548,372358,372358,0,0,173892174091,1845631550,365806,5283,1269,381,391989,0 100,2,2024-09-07 08:47:11:818,270716,270705,11,0,13448655,0,5417 100,3,2024-09-07 08:47:11:739,1,213,3,0,559,4683,213,0 101,0,2024-09-07 08:47:11:725,49697,1.9,48601,1.3,95024,2.0,131020,2.75 101,1,2024-09-07 08:47:10:551,372886,372886,0,0,174544512960,1845868894,366038,5177,1671,368,391769,0 101,2,2024-09-07 08:47:11:756,270496,270496,0,0,14431892,0,4644 101,3,2024-09-07 08:47:10:942,1,213,4,0,448,2849,213,0 102,0,2024-09-07 08:47:10:943,53187,0.7,55098,0.8,110772,0.6,145526,2.00 102,1,2024-09-07 08:47:11:154,373350,373350,0,0,174836179578,1842401361,368027,4572,751,369,391883,0 102,2,2024-09-07 08:47:11:740,267176,267122,54,0,12997041,0,6768 102,3,2024-09-07 08:47:11:624,1,213,10,0,410,2360,213,0 103,0,2024-09-07 08:47:11:607,56100,0.5,56148,0.7,105899,0.4,145974,1.75 103,1,2024-09-07 08:47:11:628,372675,372675,0,0,174300641263,1842879153,366533,4763,1379,381,391829,0 103,2,2024-09-07 08:47:10:590,266660,266660,0,0,12207087,0,2107 103,3,2024-09-07 08:47:10:759,1,213,1,0,916,3392,213,0 104,0,2024-09-07 08:47:11:017,55017,0.9,55417,1.1,109558,0.9,147817,2.50 104,1,2024-09-07 08:47:11:599,374335,374335,0,0,174984507028,1842244869,368690,4655,990,365,391948,0 104,2,2024-09-07 08:47:11:674,269003,269003,0,0,13240771,0,3941 104,3,2024-09-07 08:47:11:418,1,213,1,0,1245,5967,213,0 105,0,2024-09-07 08:47:11:028,49504,1.2,48055,1.3,100585,1.8,132833,3.50 105,1,2024-09-07 08:47:10:555,374492,374492,0,0,174987577787,1836842470,369461,4227,804,367,391797,0 105,2,2024-09-07 08:47:11:327,271335,271335,0,0,12715540,0,3509 105,3,2024-09-07 08:47:11:310,1,213,1,0,399,3882,213,0 106,0,2024-09-07 08:47:10:941,50612,0.8,51852,0.9,106114,0.8,139188,2.50 106,1,2024-09-07 08:47:11:764,374035,374035,0,0,174158562133,1830169049,368558,4999,478,369,391767,0 106,2,2024-09-07 08:47:10:769,267677,267677,0,0,12562624,0,2795 106,3,2024-09-07 08:47:10:691,1,213,7,0,470,3268,213,0 107,0,2024-09-07 08:47:11:115,54218,0.9,54137,0.9,107887,1.0,143718,2.00 107,1,2024-09-07 08:47:10:594,373204,373204,0,0,174846273058,1839817419,368963,3826,415,381,392234,0 107,2,2024-09-07 08:47:11:309,266377,266376,1,0,12576113,0,5024 107,3,2024-09-07 08:47:11:771,1,213,2,0,353,3249,213,0 108,0,2024-09-07 08:47:11:783,55500,0.4,55739,0.6,110417,0.4,147218,1.75 108,1,2024-09-07 08:47:11:293,374558,374558,0,0,175428707610,1829428319,371779,2465,314,368,391857,0 108,2,2024-09-07 08:47:11:762,267068,267068,0,0,11949742,0,2647 108,3,2024-09-07 08:47:11:330,1,213,20,0,749,4667,213,0 109,0,2024-09-07 08:47:11:792,53122,0.4,52574,0.6,105592,0.4,140921,1.75 109,1,2024-09-07 08:47:10:600,373759,373759,0,0,175211986073,1838869798,370583,2674,502,383,392132,0 109,2,2024-09-07 08:47:10:922,271750,271750,0,0,12192645,0,3617 109,3,2024-09-07 08:47:11:140,1,213,1,0,249,2692,213,0 110,0,2024-09-07 08:47:11:797,49911,0.4,48589,0.7,101450,0.4,133415,1.75 110,1,2024-09-07 08:47:11:645,374904,374904,0,0,176063925158,1829595873,372139,1996,769,370,392045,0 110,2,2024-09-07 08:47:11:307,271458,271458,0,0,11497229,0,3264 110,3,2024-09-07 08:47:10:692,1,213,10,0,406,2931,213,0 111,0,2024-09-07 08:47:11:418,55078,0.4,54582,0.5,108967,0.3,145760,1.75 111,1,2024-09-07 08:47:11:011,375615,375615,0,0,175789756030,1823670782,373781,1500,334,382,391690,0 111,2,2024-09-07 08:47:11:117,265685,265685,0,0,11112674,0,2763 111,3,2024-09-07 08:47:10:915,1,213,3,0,379,3140,213,0 112,0,2024-09-07 08:47:10:910,56164,0.3,56102,0.4,112214,0.2,149174,1.50 112,1,2024-09-07 08:47:10:824,375514,375514,0,0,174895470516,1817721232,373101,1985,428,380,391580,0 112,2,2024-09-07 08:47:11:142,267572,267571,1,0,11553860,0,5036 112,3,2024-09-07 08:47:10:592,1,213,4,0,282,2428,213,0 113,0,2024-09-07 08:47:10:871,53519,0.3,53431,0.5,107385,0.3,143604,1.75 113,1,2024-09-07 08:47:11:699,375937,375937,0,0,176407781700,1823770675,373450,1998,489,366,391661,0 113,2,2024-09-07 08:47:11:307,273718,273718,0,0,10744801,0,3813 113,3,2024-09-07 08:47:10:685,1,213,2,0,340,3507,213,0 114,0,2024-09-07 08:47:10:877,49722,0.5,50254,0.6,99271,0.4,133148,1.75 114,1,2024-09-07 08:47:10:716,374428,374428,0,0,176372725976,1842694400,369625,3215,1588,381,391556,0 114,2,2024-09-07 08:47:10:873,271523,271522,1,0,11892348,0,5069 114,3,2024-09-07 08:47:11:279,1,213,21,0,395,2488,213,0 115,0,2024-09-07 08:47:10:580,54504,0.3,54811,0.4,109504,0.2,145924,1.50 115,1,2024-09-07 08:47:10:582,374471,374471,0,0,176274408503,1838192884,370132,3506,833,382,391602,0 115,2,2024-09-07 08:47:11:125,266941,266941,0,0,9884659,0,2152 115,3,2024-09-07 08:47:11:011,1,213,2,0,159,1275,213,0 116,0,2024-09-07 08:47:11:703,55022,0.7,54703,0.9,109835,0.7,147200,2.00 116,1,2024-09-07 08:47:10:805,372336,372336,0,0,174618898384,1854516680,366150,4134,2052,380,391782,0 116,2,2024-09-07 08:47:11:758,266942,266942,0,0,14438737,0,3529 116,3,2024-09-07 08:47:10:912,1,213,2,0,252,2880,213,0 117,0,2024-09-07 08:47:10:962,54769,1.0,54736,1.0,109361,1.2,146476,2.00 117,1,2024-09-07 08:47:11:597,373686,373686,0,0,174491265785,1830858108,369168,4041,477,370,392033,0 117,2,2024-09-07 08:47:11:118,272456,272456,0,0,11998334,0,3700 117,3,2024-09-07 08:47:11:068,1,213,8,0,490,3834,213,0 118,0,2024-09-07 08:47:11:766,48096,0.8,49367,0.9,100591,0.9,131754,2.25 118,1,2024-09-07 08:47:10:590,373586,373586,0,0,174461884588,1839527047,367621,4538,1427,366,391736,0 118,2,2024-09-07 08:47:11:599,270894,270894,0,0,12796015,0,2781 118,3,2024-09-07 08:47:11:778,1,213,8,0,235,2590,213,0 119,0,2024-09-07 08:47:11:335,53348,0.6,53710,0.8,107731,0.6,142965,2.00 119,1,2024-09-07 08:47:10:551,374196,374196,0,0,175715811520,1838292256,370123,3668,405,368,391641,0 119,2,2024-09-07 08:47:11:261,267889,267889,0,0,12150205,0,4174 119,3,2024-09-07 08:47:11:325,1,213,0,0,443,3332,213,0 120,0,2024-09-07 08:47:11:559,53678,0.5,53641,0.8,107691,0.5,143638,2.00 120,1,2024-09-07 08:47:10:859,373937,373937,0,0,174885286442,1837363162,369668,3923,346,368,391961,0 120,2,2024-09-07 08:47:10:778,266755,266754,1,0,13957653,0,5281 120,3,2024-09-07 08:47:11:290,1,213,0,0,241,2965,213,0 121,0,2024-09-07 08:47:11:692,55361,1.1,55708,1.0,111088,1.5,147792,2.25 121,1,2024-09-07 08:47:11:666,374346,374346,0,0,175163984896,1833583797,370630,3314,402,367,391840,0 121,2,2024-09-07 08:47:11:129,267366,267366,0,0,13601713,0,4127 121,3,2024-09-07 08:47:10:726,1,213,1,0,269,2599,213,0 122,0,2024-09-07 08:47:11:776,51333,1.0,49944,1.0,104674,1.1,137289,2.25 122,1,2024-09-07 08:47:10:863,373389,373389,0,0,175152924394,1841317765,368004,4645,740,366,392130,0 122,2,2024-09-07 08:47:11:319,271208,271135,73,0,16189879,0,5989 122,3,2024-09-07 08:47:10:604,1,213,13,0,411,4682,213,0 123,0,2024-09-07 08:47:10:953,50961,0.6,49789,0.8,103704,0.7,136274,2.00 123,1,2024-09-07 08:47:10:566,373607,373607,0,0,174903284647,1849766825,365605,6441,1561,369,391823,0 123,2,2024-09-07 08:47:11:019,268819,268818,1,0,13035701,0,5215 123,3,2024-09-07 08:47:11:131,1,213,8,0,168,2575,213,0 124,0,2024-09-07 08:47:10:936,55974,0.3,55961,0.5,105529,0.3,144439,1.75 124,1,2024-09-07 08:47:11:022,375431,375431,0,0,175055530274,1821246249,372764,2305,362,367,392178,0 124,2,2024-09-07 08:47:11:010,266580,266580,0,0,10625704,0,3101 124,3,2024-09-07 08:47:10:759,1,213,15,0,490,2616,213,0 125,0,2024-09-07 08:47:11:421,55813,0.4,55719,0.5,111763,0.3,148280,1.75 125,1,2024-09-07 08:47:10:856,374295,374295,0,0,175639261557,1832889146,371736,2281,278,383,391702,0 125,2,2024-09-07 08:47:11:118,268354,268354,0,0,10752167,0,2180 125,3,2024-09-07 08:47:11:140,1,213,36,0,284,2677,213,0 126,0,2024-09-07 08:47:11:431,54046,0.6,55432,0.7,106044,0.6,143783,1.75 126,1,2024-09-07 08:47:10:553,375282,375282,0,0,175902489110,1825764095,372729,2293,260,365,391987,0 126,2,2024-09-07 08:47:10:611,274269,274269,0,0,11714252,0,3186 126,3,2024-09-07 08:47:10:912,1,213,9,0,183,3061,213,0 127,0,2024-09-07 08:47:11:619,48893,0.4,49121,0.5,97918,0.3,130597,1.75 127,1,2024-09-07 08:47:10:578,375054,375054,0,0,175826688281,1824124297,372299,2603,152,365,391816,0 127,2,2024-09-07 08:47:10:641,271680,271680,0,0,10776688,0,2264 127,3,2024-09-07 08:47:11:275,1,213,1,0,243,1769,213,0 128,0,2024-09-07 08:47:11:532,55637,0.3,55589,0.5,110883,0.3,147555,1.50 128,1,2024-09-07 08:47:11:611,374879,374879,0,0,174807041752,1815840443,372780,1943,156,367,391798,0 128,2,2024-09-07 08:47:11:384,265533,265533,0,0,10396041,0,2434 128,3,2024-09-07 08:47:10:776,1,213,2,0,1082,4445,213,0 129,0,2024-09-07 08:47:10:997,56227,0.3,55912,0.5,111750,0.3,148801,1.50 129,1,2024-09-07 08:47:10:573,372953,372953,0,0,174669551783,1835535934,368835,3191,927,379,391835,0 129,2,2024-09-07 08:47:10:685,268390,268390,0,0,11219412,0,4031 129,3,2024-09-07 08:47:10:694,1,213,2,0,469,3036,213,0 130,0,2024-09-07 08:47:11:776,54783,0.6,54637,0.7,109473,0.7,145811,1.75 130,1,2024-09-07 08:47:10:587,374679,374679,0,0,175077925760,1824689995,372311,2292,76,381,391825,0 130,2,2024-09-07 08:47:11:130,273626,273626,0,0,11133398,0,4067 130,3,2024-09-07 08:47:11:292,1,213,7,0,450,2942,213,0 131,0,2024-09-07 08:47:11:937,48926,0.5,49314,0.6,99191,0.5,131441,1.75 131,1,2024-09-07 08:47:11:829,375219,375219,0,0,175764369086,1835552767,372198,2565,456,383,391865,0 131,2,2024-09-07 08:47:10:569,272927,272927,0,0,10418988,0,2415 131,3,2024-09-07 08:47:11:693,1,213,1,0,392,2151,213,0 132,0,2024-09-07 08:47:11:428,54326,0.5,54899,0.7,109785,0.5,146019,2.00 132,1,2024-09-07 08:47:10:576,373043,373043,0,0,174533436412,1846836271,366300,5512,1231,381,392097,0 132,2,2024-09-07 08:47:10:701,266612,266612,0,0,13634843,0,4606 132,3,2024-09-07 08:47:11:692,1,213,1,0,356,3368,213,0 133,0,2024-09-07 08:47:11:533,53123,0.4,54408,0.6,111508,0.4,144771,1.75 133,1,2024-09-07 08:47:10:588,372411,372411,0,0,174803949385,1844546205,367127,4634,650,383,391914,0 133,2,2024-09-07 08:47:11:090,266927,266927,0,0,14641839,0,4315 133,3,2024-09-07 08:47:11:298,1,213,15,0,276,2172,213,0 134,0,2024-09-07 08:47:10:974,55394,0.5,55341,0.7,111220,0.5,147746,2.00 134,1,2024-09-07 08:47:10:591,373359,373359,0,0,175088675828,1843103956,367375,4567,1417,366,391718,0 134,2,2024-09-07 08:47:11:770,269298,269298,0,0,12438107,0,3847 134,3,2024-09-07 08:47:10:771,1,213,13,0,739,3455,213,0 135,0,2024-09-07 08:47:11:111,48389,1.2,48382,1.1,102740,1.4,132442,2.25 135,1,2024-09-07 08:47:11:603,372576,372576,0,0,175196027220,1846848781,366902,4701,973,380,391805,0 135,2,2024-09-07 08:47:10:690,271396,271396,0,0,13544477,0,3981 135,3,2024-09-07 08:47:11:002,1,213,8,0,299,1525,213,0 136,0,2024-09-07 08:47:11:621,52878,0.5,52717,0.7,105415,0.5,140813,2.00 136,1,2024-09-07 08:47:11:451,373465,373465,0,0,174678748395,1837200856,368446,4477,542,382,391685,0 136,2,2024-09-07 08:47:11:142,270035,270035,0,0,12315686,0,3506 136,3,2024-09-07 08:47:11:111,1,213,0,0,126,1736,213,0 137,0,2024-09-07 08:47:10:946,55783,0.7,54119,0.8,106893,0.7,144869,2.00 137,1,2024-09-07 08:47:10:577,373046,373046,0,0,174668782192,1836615234,366612,5063,1371,366,391708,0 137,2,2024-09-07 08:47:11:721,266162,266162,0,0,14216395,0,3185 137,3,2024-09-07 08:47:10:783,1,213,3,0,227,2372,213,0 138,0,2024-09-07 08:47:11:798,54946,0.9,55044,1.0,110417,1.1,146834,2.25 138,1,2024-09-07 08:47:11:705,373729,373729,0,0,175815910209,1846621097,368075,4765,889,368,391954,0 138,2,2024-09-07 08:47:10:587,268021,268021,0,0,12733403,0,4988 138,3,2024-09-07 08:47:10:611,1,213,8,0,1160,3617,213,0 139,0,2024-09-07 08:47:11:376,51933,2.4,51935,1.5,104813,3.5,139457,2.75 139,1,2024-09-07 08:47:10:573,372031,372031,0,0,174430800624,1856173307,364568,5562,1901,381,391892,0 139,2,2024-09-07 08:47:10:693,270870,270870,0,0,13374447,0,3097 139,3,2024-09-07 08:47:11:679,1,213,3,0,244,2332,213,0 140,0,2024-09-07 08:47:11:592,50252,0.4,49721,0.5,100343,0.3,133562,1.75 140,1,2024-09-07 08:47:11:536,375688,375688,0,0,176197069246,1817050181,373929,1519,240,365,391606,0 140,2,2024-09-07 08:47:10:695,272172,272172,0,0,10987678,0,3388 140,3,2024-09-07 08:47:10:767,1,213,67,0,247,1828,213,0 141,0,2024-09-07 08:47:11:705,54895,0.3,56314,0.5,107546,0.2,145781,1.75 141,1,2024-09-07 08:47:10:863,375391,375391,0,0,175502775669,1822095690,373146,1890,355,379,391614,0 141,2,2024-09-07 08:47:11:698,266141,266141,0,0,10174940,0,2342 141,3,2024-09-07 08:47:11:060,1,213,1,0,176,1770,213,0 142,0,2024-09-07 08:47:11:321,56711,0.3,56160,0.5,111762,0.2,149472,1.50 142,1,2024-09-07 08:47:10:591,374444,374444,0,0,175687933271,1833204288,371986,2215,243,382,392102,0 142,2,2024-09-07 08:47:11:308,267410,267378,32,0,11942559,0,6028 142,3,2024-09-07 08:47:11:771,1,213,23,0,484,2881,213,0 143,0,2024-09-07 08:47:11:387,53797,0.6,53693,0.6,108034,0.6,143474,1.75 143,1,2024-09-07 08:47:10:560,375049,375049,0,0,175523240481,1821673072,372718,2285,46,367,391619,0 143,2,2024-09-07 08:47:10:770,273224,273224,0,0,11509830,0,2669 143,3,2024-09-07 08:47:11:141,1,213,31,0,303,3044,213,0 144,0,2024-09-07 08:47:11:496,47740,0.9,49139,1.1,99777,1.0,131568,2.25 144,1,2024-09-07 08:47:10:569,373002,373002,0,0,175102584997,1842740690,369065,3016,921,381,391649,0 144,2,2024-09-07 08:47:11:776,271738,271738,0,0,11201826,0,3473 144,3,2024-09-07 08:47:11:756,1,213,0,0,249,2652,213,0 145,0,2024-09-07 08:47:11:374,52734,0.5,52779,0.7,111829,0.4,144432,2.25 145,1,2024-09-07 08:47:10:554,372451,372451,0,0,175084802469,1845692618,367106,4526,819,382,391698,0 145,2,2024-09-07 08:47:11:439,265004,265004,0,0,12589333,0,3903 145,3,2024-09-07 08:47:10:898,1,213,4,0,622,3889,213,0 146,0,2024-09-07 08:47:11:600,54967,0.5,54553,0.8,109863,0.5,146248,2.25 146,1,2024-09-07 08:47:11:586,374064,374064,0,0,174845034808,1841572242,367398,5232,1434,368,391770,0 146,2,2024-09-07 08:47:11:702,267475,267475,0,0,12364809,0,2730 146,3,2024-09-07 08:47:11:274,1,213,12,0,1520,5742,213,0 147,0,2024-09-07 08:47:11:727,54709,0.7,54629,0.8,108632,0.6,146119,2.25 147,1,2024-09-07 08:47:11:372,375258,375258,0,0,175733689729,1830523701,371530,3159,569,368,391791,0 147,2,2024-09-07 08:47:11:012,272290,272290,0,0,11000082,0,2789 147,3,2024-09-07 08:47:10:922,1,213,8,0,730,3358,213,0 0,0,2024-09-07 08:47:21:719,52501,0.5,52565,0.7,111461,0.5,144301,1.75 0,1,2024-09-07 08:47:20:812,375974,375974,0,0,176389197387,1848997401,372819,2953,202,372,391772,0 0,2,2024-09-07 08:47:21:074,268942,268942,0,0,11667876,0,4480 0,3,2024-09-07 08:47:20:976,1,214,7,0,247,2788,214,0 1,0,2024-09-07 08:47:21:762,55812,0.9,55347,1.0,111255,1.2,148206,2.00 1,1,2024-09-07 08:47:20:557,375341,375341,0,0,175413351719,1843335663,370919,3369,1053,371,391857,0 1,2,2024-09-07 08:47:20:656,269894,269894,0,0,10788271,0,3267 1,3,2024-09-07 08:47:21:315,1,214,9,0,262,2723,214,0 2,0,2024-09-07 08:47:21:577,51401,0.9,51753,0.9,102811,1.2,136836,2.00 2,1,2024-09-07 08:47:20:867,376258,376258,0,0,176157664502,1833717221,374158,1882,218,380,391745,0 2,2,2024-09-07 08:47:21:268,272999,272999,0,0,11568752,0,3594 2,3,2024-09-07 08:47:20:690,1,214,18,0,357,2140,214,0 3,0,2024-09-07 08:47:21:746,51505,0.5,51562,0.6,102642,0.4,137165,2.00 3,1,2024-09-07 08:47:21:628,375921,375921,0,0,175480809831,1832139524,372187,3273,461,380,391523,0 3,2,2024-09-07 08:47:21:150,271250,271227,23,0,11576416,0,5851 3,3,2024-09-07 08:47:21:752,1,214,0,0,103,1392,214,0 4,0,2024-09-07 08:47:21:783,52616,0.4,54062,0.5,110046,0.4,144152,1.75 4,1,2024-09-07 08:47:20:591,375401,375401,0,0,175864345375,1852096802,370800,3813,788,371,391846,0 4,2,2024-09-07 08:47:21:024,266727,266727,0,0,13391296,0,4528 4,3,2024-09-07 08:47:21:038,1,214,99,0,448,3514,214,0 5,0,2024-09-07 08:47:21:374,55896,0.4,56083,0.6,111534,0.4,148354,1.75 5,1,2024-09-07 08:47:20:763,375123,375123,0,0,175588524517,1850986405,370042,4006,1075,368,392005,0 5,2,2024-09-07 08:47:21:834,267447,267447,0,0,12392677,0,2432 5,3,2024-09-07 08:47:21:735,1,214,1,0,457,3563,214,0 6,0,2024-09-07 08:47:20:916,54372,0.7,53872,0.9,107562,0.8,144005,2.25 6,1,2024-09-07 08:47:20:759,375814,375814,0,0,175489063090,1838607579,371469,3540,805,379,391634,0 6,2,2024-09-07 08:47:21:115,273596,273596,0,0,12460478,0,4816 6,3,2024-09-07 08:47:21:274,1,214,8,0,340,2749,214,0 7,0,2024-09-07 08:47:21:536,48883,0.5,48966,0.7,97725,0.5,130564,2.00 7,1,2024-09-07 08:47:20:853,374939,374939,0,0,175782128707,1850863150,369133,4803,1003,382,391686,0 7,2,2024-09-07 08:47:20:772,271718,271718,0,0,12423636,0,4791 7,3,2024-09-07 08:47:20:860,1,214,64,0,305,2271,214,0 8,0,2024-09-07 08:47:21:329,55348,0.4,55501,0.5,110508,0.4,147452,1.75 8,1,2024-09-07 08:47:21:042,374673,374673,0,0,175969733010,1858435862,367484,5353,1836,367,391956,0 8,2,2024-09-07 08:47:20:791,263752,263752,0,0,13613556,0,2986 8,3,2024-09-07 08:47:20:599,1,214,1,0,357,3247,214,0 9,0,2024-09-07 08:47:21:279,56226,0.3,54587,0.5,113925,0.3,149656,1.75 9,1,2024-09-07 08:47:20:559,375068,375068,0,0,176243015748,1862865225,368848,4682,1538,370,392001,0 9,2,2024-09-07 08:47:21:098,268709,268709,0,0,12277279,0,3360 9,3,2024-09-07 08:47:21:760,1,214,1,0,496,3285,214,0 10,0,2024-09-07 08:47:21:613,54853,0.3,54391,0.5,109379,0.3,145736,1.75 10,1,2024-09-07 08:47:20:583,375555,375555,0,0,175911244069,1847749469,369572,5055,928,381,391741,0 10,2,2024-09-07 08:47:20:780,273857,273857,0,0,13951355,0,4264 10,3,2024-09-07 08:47:20:878,1,214,12,0,177,1798,214,0 11,0,2024-09-07 08:47:21:006,48890,0.5,47494,0.7,99313,0.5,132429,2.00 11,1,2024-09-07 08:47:20:585,375759,375759,0,0,175523087450,1851548491,368061,5784,1914,383,391537,0 11,2,2024-09-07 08:47:21:122,272364,272364,0,0,13213802,0,4130 11,3,2024-09-07 08:47:21:298,1,214,843,0,843,3925,214,0 12,0,2024-09-07 08:47:20:985,55179,0.3,55154,0.5,110131,0.3,146540,1.75 12,1,2024-09-07 08:47:20:942,376124,376124,0,0,175580792034,1833009861,372845,2859,420,370,391837,0 12,2,2024-09-07 08:47:21:579,268325,268325,0,0,12299286,0,3469 12,3,2024-09-07 08:47:21:071,1,214,18,0,386,3981,214,0 13,0,2024-09-07 08:47:21:372,55135,0.3,54989,0.5,109865,0.3,146518,1.50 13,1,2024-09-07 08:47:21:559,375060,375060,0,0,175806449612,1846939026,371706,2815,539,382,391717,0 13,2,2024-09-07 08:47:20:622,269920,269920,0,0,11207807,0,3287 13,3,2024-09-07 08:47:21:764,1,214,3,0,467,3717,214,0 14,0,2024-09-07 08:47:20:560,55813,0.4,56347,0.6,111400,0.4,148200,1.75 14,1,2024-09-07 08:47:21:565,377923,377923,0,0,177070222922,1835722388,375227,2507,189,364,391571,0 14,2,2024-09-07 08:47:20:769,271417,271417,0,0,11639827,0,2896 14,3,2024-09-07 08:47:21:115,1,214,16,0,1168,3294,214,0 15,0,2024-09-07 08:47:21:569,49977,0.6,50354,0.9,100547,0.7,133892,2.25 15,1,2024-09-07 08:47:21:611,376572,376572,0,0,175786106456,1829423645,374271,2157,144,381,391619,0 15,2,2024-09-07 08:47:20:998,273614,273614,0,0,10079135,0,3043 15,3,2024-09-07 08:47:21:405,1,214,1,0,1126,4765,214,0 16,0,2024-09-07 08:47:20:953,52968,0.8,53287,0.9,105530,0.8,141832,2.25 16,1,2024-09-07 08:47:20:589,376390,376390,0,0,176002591775,1844164270,372867,3228,295,370,391756,0 16,2,2024-09-07 08:47:21:447,269616,269616,0,0,12427874,0,4719 16,3,2024-09-07 08:47:21:141,1,214,1,0,317,2960,214,0 17,0,2024-09-07 08:47:21:765,55956,0.5,54589,0.7,106974,0.5,145022,2.00 17,1,2024-09-07 08:47:20:592,374727,374727,0,0,175409538466,1847632727,369903,3723,1101,368,391688,0 17,2,2024-09-07 08:47:21:668,270651,270651,0,0,11473891,0,2857 17,3,2024-09-07 08:47:20:582,1,214,1,0,268,3536,214,0 18,0,2024-09-07 08:47:20:944,54868,0.7,55041,0.9,110060,0.8,146743,2.50 18,1,2024-09-07 08:47:21:638,376112,376112,0,0,176276671479,1830556271,373616,2257,239,367,391564,0 18,2,2024-09-07 08:47:21:756,269951,269951,0,0,11193197,0,3541 18,3,2024-09-07 08:47:20:896,1,214,87,0,163,2315,214,0 19,0,2024-09-07 08:47:21:545,52341,0.9,52953,0.9,104541,0.9,138891,2.50 19,1,2024-09-07 08:47:20:575,376192,376192,0,0,176378373825,1838017696,371595,3812,785,367,391777,0 19,2,2024-09-07 08:47:21:753,274684,274684,0,0,10398218,0,3988 19,3,2024-09-07 08:47:21:129,1,214,1,0,524,1760,214,0 20,0,2024-09-07 08:47:21:388,49870,0.9,49903,0.9,100227,1.1,134026,2.50 20,1,2024-09-07 08:47:20:577,375323,375323,0,0,175854585892,1843999959,371532,3395,396,369,391886,0 20,2,2024-09-07 08:47:20:930,271730,271730,0,0,12083423,0,3721 20,3,2024-09-07 08:47:20:599,1,214,1,0,414,4335,214,0 21,0,2024-09-07 08:47:21:167,54829,0.5,55056,0.7,109888,0.5,145234,2.00 21,1,2024-09-07 08:47:21:549,374523,374523,0,0,174854189262,1847650259,368892,4374,1257,368,391962,0 21,2,2024-09-07 08:47:21:075,266731,266731,0,0,13119755,0,3747 21,3,2024-09-07 08:47:21:406,1,214,39,0,103,2474,214,0 22,0,2024-09-07 08:47:21:720,56076,0.7,56105,0.8,111799,0.7,148941,2.25 22,1,2024-09-07 08:47:21:023,374910,374910,0,0,175624148427,1853767285,368251,5047,1612,382,391667,0 22,2,2024-09-07 08:47:20:761,268730,268730,0,0,11734665,0,3134 22,3,2024-09-07 08:47:21:072,1,214,8,0,228,2172,214,0 23,0,2024-09-07 08:47:21:370,53591,0.7,53593,0.8,107718,0.8,143599,2.25 23,1,2024-09-07 08:47:21:003,375564,375564,0,0,176109804511,1855946950,368417,4597,2550,365,391550,0 23,2,2024-09-07 08:47:21:092,273823,273823,0,0,11666103,0,3010 23,3,2024-09-07 08:47:21:761,1,214,129,0,645,2775,214,0 24,0,2024-09-07 08:47:20:823,50107,0.5,50092,0.6,100359,0.4,133465,1.75 24,1,2024-09-07 08:47:20:589,375770,375770,0,0,175950905203,1844730854,371564,3177,1029,369,391987,0 24,2,2024-09-07 08:47:21:082,271494,271494,0,0,13783906,0,3607 24,3,2024-09-07 08:47:21:699,1,214,175,0,468,3373,214,0 25,0,2024-09-07 08:47:21:367,56534,0.4,55085,0.6,108052,0.4,147738,2.00 25,1,2024-09-07 08:47:20:563,375884,375884,0,0,176082107950,1846000814,371878,3459,547,371,391788,0 25,2,2024-09-07 08:47:21:620,265944,265944,0,0,13633901,0,3978 25,3,2024-09-07 08:47:21:000,1,214,4,0,255,2536,214,0 26,0,2024-09-07 08:47:21:774,55140,0.4,53865,0.6,113018,0.4,147458,1.75 26,1,2024-09-07 08:47:21:580,376001,376001,0,0,175369156208,1842043931,369991,4926,1084,381,391748,0 26,2,2024-09-07 08:47:20:867,269528,269528,0,0,12899236,0,2809 26,3,2024-09-07 08:47:21:719,1,214,35,0,796,3104,214,0 27,0,2024-09-07 08:47:21:737,55390,0.5,55214,0.6,109499,0.4,146308,2.00 27,1,2024-09-07 08:47:21:680,377558,377558,0,0,177168545489,1842122143,375111,2083,364,381,391558,0 27,2,2024-09-07 08:47:20:876,271027,270962,65,0,14064639,0,5699 27,3,2024-09-07 08:47:21:016,1,214,3,0,564,2327,214,0 28,0,2024-09-07 08:47:21:387,49736,0.6,49674,0.7,99945,0.7,133194,2.25 28,1,2024-09-07 08:47:20:804,376979,376979,0,0,176755087885,1843431070,374157,2352,470,383,391646,0 28,2,2024-09-07 08:47:21:770,273419,273419,0,0,11220831,0,2915 28,3,2024-09-07 08:47:21:777,1,214,0,0,502,2399,214,0 29,0,2024-09-07 08:47:21:355,55667,0.3,54428,0.5,106272,0.2,145531,1.75 29,1,2024-09-07 08:47:21:575,377560,377560,0,0,176260025167,1827689935,374472,2524,564,369,391621,0 29,2,2024-09-07 08:47:20:862,268533,268533,0,0,10169257,0,4018 29,3,2024-09-07 08:47:20:968,1,214,1,0,115,1665,214,0 30,0,2024-09-07 08:47:21:458,53930,0.6,52462,0.8,109860,0.6,143689,2.00 30,1,2024-09-07 08:47:20:575,377676,377676,0,0,177215793964,1838482284,375487,1896,293,382,391672,0 30,2,2024-09-07 08:47:21:275,269760,269760,0,0,10265542,0,3161 30,3,2024-09-07 08:47:20:588,1,214,8,0,195,1532,214,0 31,0,2024-09-07 08:47:21:766,55245,0.4,55575,0.6,111571,0.4,148023,2.00 31,1,2024-09-07 08:47:20:563,378551,378551,0,0,176916990882,1814214028,377456,938,157,356,391712,0 31,2,2024-09-07 08:47:21:276,269671,269671,0,0,11926462,0,3525 31,3,2024-09-07 08:47:21:706,1,214,1,0,220,1815,214,0 32,0,2024-09-07 08:47:21:419,51353,0.4,51915,0.6,103723,0.4,137474,1.75 32,1,2024-09-07 08:47:20:809,377337,377337,0,0,176810777676,1836607240,375429,1631,277,381,391614,0 32,2,2024-09-07 08:47:20:936,273438,273438,0,0,10372504,0,3155 32,3,2024-09-07 08:47:21:016,1,214,0,0,227,1672,214,0 33,0,2024-09-07 08:47:21:504,51972,0.3,51223,0.5,103355,0.2,138202,1.75 33,1,2024-09-07 08:47:20:582,377704,377704,0,0,177587083173,1838122900,375161,2371,172,369,391730,0 33,2,2024-09-07 08:47:20:773,272079,272046,33,0,12166962,0,7012 33,3,2024-09-07 08:47:20:898,1,214,2,0,329,2536,214,0 34,0,2024-09-07 08:47:20:933,54247,0.4,55909,0.5,107222,0.3,143380,1.75 34,1,2024-09-07 08:47:21:044,378355,378355,0,0,176990148831,1822961245,377281,1067,7,367,391562,0 34,2,2024-09-07 08:47:20:771,267776,267776,0,0,11097039,0,3577 34,3,2024-09-07 08:47:21:700,1,214,12,0,148,1505,214,0 35,0,2024-09-07 08:47:20:859,55294,0.4,55723,0.5,111839,0.3,148557,1.75 35,1,2024-09-07 08:47:21:073,376286,376286,0,0,176940259486,1838632217,373011,2496,779,384,391589,0 35,2,2024-09-07 08:47:21:587,269806,269806,0,0,10331762,0,2653 35,3,2024-09-07 08:47:20:907,1,214,1,0,418,2639,214,0 36,0,2024-09-07 08:47:21:518,54017,0.8,53989,0.9,108174,0.8,143667,2.50 36,1,2024-09-07 08:47:20:587,375587,375587,0,0,175666899159,1843358938,369738,4271,1578,366,391759,0 36,2,2024-09-07 08:47:21:750,273086,273086,0,0,12865364,0,3875 36,3,2024-09-07 08:47:20:870,1,214,3,0,416,3785,214,0 37,0,2024-09-07 08:47:21:377,48859,0.6,48664,0.7,97786,0.5,131442,2.00 37,1,2024-09-07 08:47:20:595,375269,375262,0,7,176028798370,1850880873,369300,3896,2066,365,391560,0 37,2,2024-09-07 08:47:21:148,271799,271784,15,0,13123498,0,5815 37,3,2024-09-07 08:47:21:769,1,214,1,0,888,4106,214,0 38,0,2024-09-07 08:47:21:446,54869,0.5,53266,0.7,111569,0.5,145647,2.00 38,1,2024-09-07 08:47:21:611,376869,376869,0,0,176479087559,1848050880,371643,4552,674,368,391821,0 38,2,2024-09-07 08:47:20:763,266277,266230,47,0,13270665,0,6710 38,3,2024-09-07 08:47:20:996,1,214,17,0,689,3430,214,0 39,0,2024-09-07 08:47:21:760,57234,0.7,56220,0.8,109123,0.7,149640,2.00 39,1,2024-09-07 08:47:20:727,376424,376424,0,0,176623473128,1847190989,371350,4212,862,365,391594,0 39,2,2024-09-07 08:47:21:417,268673,268673,0,0,11270815,0,2689 39,3,2024-09-07 08:47:20:713,1,214,1,0,324,3347,214,0 40,0,2024-09-07 08:47:21:494,54050,0.8,54575,1.0,109215,0.8,145225,2.75 40,1,2024-09-07 08:47:20:603,376162,376162,0,0,175584363616,1843778324,369949,4980,1233,368,391591,0 40,2,2024-09-07 08:47:21:335,272986,272985,1,0,14040515,0,5137 40,3,2024-09-07 08:47:21:156,1,214,4,0,333,2521,214,0 41,0,2024-09-07 08:47:21:026,48628,1.5,49893,1.4,95189,2.7,130673,3.75 41,1,2024-09-07 08:47:20:778,375900,375900,0,0,176346468959,1845842965,370986,4353,561,370,391742,0 41,2,2024-09-07 08:47:20:760,271118,271118,0,0,13686731,0,3356 41,3,2024-09-07 08:47:21:677,1,214,0,0,366,2535,214,0 42,0,2024-09-07 08:47:21:487,54362,0.6,54554,0.8,109046,0.6,144486,2.25 42,1,2024-09-07 08:47:21:439,375046,375046,0,0,176506252552,1854763222,369405,4567,1074,380,391675,0 42,2,2024-09-07 08:47:21:135,267171,267171,0,0,12622468,0,3568 42,3,2024-09-07 08:47:21:013,1,214,8,0,446,1924,214,0 43,0,2024-09-07 08:47:20:918,54546,0.7,53134,0.9,110841,0.8,146118,2.00 43,1,2024-09-07 08:47:20:593,376450,376450,0,0,176454837642,1840893932,372292,3361,797,366,391696,0 43,2,2024-09-07 08:47:21:738,269598,269598,0,0,11961282,0,3812 43,3,2024-09-07 08:47:21:749,1,214,6,0,467,2771,214,0 44,0,2024-09-07 08:47:20:863,55540,0.5,55939,0.7,111500,0.4,148691,1.75 44,1,2024-09-07 08:47:20:577,377619,377619,0,0,175844776425,1817899904,375219,1970,430,356,391809,0 44,2,2024-09-07 08:47:21:267,270788,270788,0,0,10168689,0,2231 44,3,2024-09-07 08:47:21:094,1,214,1,0,817,2306,214,0 45,0,2024-09-07 08:47:21:765,49623,0.8,48527,0.9,101820,0.9,134648,2.00 45,1,2024-09-07 08:47:21:012,376493,376493,0,0,176837354067,1833437644,375130,1351,12,382,391917,0 45,2,2024-09-07 08:47:21:268,273542,273542,0,0,11160511,0,3596 45,3,2024-09-07 08:47:20:938,1,214,34,0,271,2524,214,0 46,0,2024-09-07 08:47:20:954,52905,0.4,52827,0.6,105883,0.4,141163,2.00 46,1,2024-09-07 08:47:20:577,377816,377816,0,0,176473740061,1823869936,375640,1904,272,366,391524,0 46,2,2024-09-07 08:47:20:608,270606,270606,0,0,10593349,0,2920 46,3,2024-09-07 08:47:21:131,1,214,2,0,908,3387,214,0 47,0,2024-09-07 08:47:21:115,54654,0.4,54593,0.6,109719,0.4,144966,1.75 47,1,2024-09-07 08:47:20:577,377884,377884,0,0,176774242950,1825952733,375901,1898,85,366,391605,0 47,2,2024-09-07 08:47:20:908,270364,270364,0,0,10587875,0,2558 47,3,2024-09-07 08:47:21:116,1,214,0,0,529,2195,214,0 48,0,2024-09-07 08:47:21:489,55850,0.3,55552,0.4,110609,0.2,147674,1.50 48,1,2024-09-07 08:47:21:025,377532,377532,0,0,176594928698,1837686986,374574,2659,299,384,391710,0 48,2,2024-09-07 08:47:20:710,269856,269856,0,0,10360643,0,3031 48,3,2024-09-07 08:47:20:767,1,214,26,0,339,1978,214,0 49,0,2024-09-07 08:47:21:711,54354,0.4,53277,0.6,103410,0.4,140984,1.75 49,1,2024-09-07 08:47:21:025,375076,375076,0,0,175381529338,1832360896,371289,2606,1181,382,391610,0 49,2,2024-09-07 08:47:21:797,274400,274400,0,0,10999429,0,3900 49,3,2024-09-07 08:47:21:416,1,214,7,0,408,2576,214,0 50,0,2024-09-07 08:47:21:519,50344,0.3,49748,0.5,100125,0.2,134045,1.75 50,1,2024-09-07 08:47:21:013,378112,378112,0,0,176550757553,1829944574,375407,2395,310,368,391530,0 50,2,2024-09-07 08:47:21:073,272733,272733,0,0,10318390,0,2263 50,3,2024-09-07 08:47:21:292,1,214,8,0,335,1899,214,0 51,0,2024-09-07 08:47:21:685,56001,0.3,54846,0.5,106916,0.2,145553,1.75 51,1,2024-09-07 08:47:21:689,377463,377463,0,0,177277414733,1833727886,374727,1797,939,365,391637,0 51,2,2024-09-07 08:47:21:318,267330,267330,0,0,9293396,0,3337 51,3,2024-09-07 08:47:21:027,1,214,1,0,678,1922,214,0 52,0,2024-09-07 08:47:21:413,56733,0.5,56406,0.7,112598,0.4,149569,2.00 52,1,2024-09-07 08:47:20:582,375768,375768,0,0,175309954250,1844544614,368944,5623,1201,368,391722,0 52,2,2024-09-07 08:47:21:764,267288,267250,38,0,13293962,0,6742 52,3,2024-09-07 08:47:20:674,1,214,24,0,1782,4136,214,0 53,0,2024-09-07 08:47:21:735,53549,0.8,52036,0.9,108948,1.0,142824,2.50 53,1,2024-09-07 08:47:20:772,374924,374924,0,0,176152968381,1856598990,367965,4716,2243,367,391702,0 53,2,2024-09-07 08:47:21:299,274057,274057,0,0,11222168,0,2262 53,3,2024-09-07 08:47:20:698,1,214,2,0,271,2060,214,0 54,0,2024-09-07 08:47:21:622,48670,1.4,49056,1.1,97170,0.9,130378,3.25 54,1,2024-09-07 08:47:20:582,376038,376038,0,0,176721091241,1843119879,371418,4025,595,367,391659,0 54,2,2024-09-07 08:47:20:866,272160,272154,6,0,12782635,0,5382 54,3,2024-09-07 08:47:20:763,1,214,8,0,676,3546,214,0 55,0,2024-09-07 08:47:21:769,53100,0.5,55076,0.7,110625,0.5,144093,2.50 55,1,2024-09-07 08:47:20:776,375384,375384,0,0,175259238633,1831464683,369855,4742,787,365,391731,0 55,2,2024-09-07 08:47:20:729,265954,265954,0,0,12481907,0,3275 55,3,2024-09-07 08:47:20:685,1,214,5,0,304,2692,214,0 56,0,2024-09-07 08:47:21:623,56533,1.2,53366,1.1,109840,1.6,147337,2.50 56,1,2024-09-07 08:47:20:579,375182,375182,0,0,175960813964,1860417813,369259,4980,943,381,391678,0 56,2,2024-09-07 08:47:21:305,269284,269284,0,0,13013833,0,3567 56,3,2024-09-07 08:47:21:062,1,214,9,0,705,3394,214,0 57,0,2024-09-07 08:47:20:940,54151,1.9,54332,1.3,108548,2.6,145277,3.25 57,1,2024-09-07 08:47:20:988,375907,375907,0,0,175660880482,1842710174,371347,4015,545,367,391960,0 57,2,2024-09-07 08:47:21:326,272756,272756,0,0,14165012,0,3317 57,3,2024-09-07 08:47:21:739,1,214,1,0,359,3163,214,0 58,0,2024-09-07 08:47:20:557,48541,0.9,47297,1.0,99032,0.9,129614,2.50 58,1,2024-09-07 08:47:20:575,376167,376164,0,3,176347844960,1844595098,370985,4485,694,367,391545,3 58,2,2024-09-07 08:47:21:071,273198,273198,0,0,12805971,0,2549 58,3,2024-09-07 08:47:21:072,1,214,8,0,1043,2676,214,0 59,0,2024-09-07 08:47:21:797,54196,0.7,54054,0.9,108069,0.7,144262,2.75 59,1,2024-09-07 08:47:20:816,375581,375581,0,0,176321094198,1846038531,370775,3756,1050,369,391525,0 59,2,2024-09-07 08:47:20:583,269822,269822,0,0,11398070,0,2604 59,3,2024-09-07 08:47:21:739,1,214,2,0,1015,3324,214,0 60,0,2024-09-07 08:47:21:731,54312,0.5,54044,0.6,108749,0.4,144432,1.75 60,1,2024-09-07 08:47:20:773,377751,377751,0,0,177230942114,1839617794,375380,1854,517,370,392031,0 60,2,2024-09-07 08:47:21:142,269190,269190,0,0,12108019,0,3811 60,3,2024-09-07 08:47:21:258,1,214,24,0,124,2049,214,0 61,0,2024-09-07 08:47:21:504,55660,0.8,55894,0.9,111260,0.9,148226,2.00 61,1,2024-09-07 08:47:20:773,376024,376024,0,0,176135296771,1846783325,371571,3753,700,382,391880,0 61,2,2024-09-07 08:47:21:121,270868,270868,0,0,11075712,0,2090 61,3,2024-09-07 08:47:21:696,1,214,6,0,397,2972,214,0 62,0,2024-09-07 08:47:21:710,51955,0.9,52976,0.9,101011,1.2,137310,2.25 62,1,2024-09-07 08:47:21:122,378748,378742,0,6,177228726205,1830343365,376369,2257,116,365,391715,6 62,2,2024-09-07 08:47:21:646,272022,272021,1,0,12465858,0,5555 62,3,2024-09-07 08:47:21:154,1,214,8,0,287,1551,214,0 63,0,2024-09-07 08:47:21:461,51610,0.5,51617,0.6,103075,0.4,137811,1.75 63,1,2024-09-07 08:47:20:812,378173,378167,0,6,177089863902,1835810844,376629,1488,50,381,391677,6 63,2,2024-09-07 08:47:20:772,271646,271646,0,0,10255966,0,2674 63,3,2024-09-07 08:47:21:735,1,214,2,0,667,2718,214,0 64,0,2024-09-07 08:47:21:524,53675,0.5,53797,0.7,107900,0.4,143287,1.75 64,1,2024-09-07 08:47:20:757,376416,376416,0,0,176457206412,1845588939,371962,3040,1414,370,391783,0 64,2,2024-09-07 08:47:21:150,270041,270022,19,0,11366311,0,6121 64,3,2024-09-07 08:47:21:140,1,214,0,0,265,2397,214,0 65,0,2024-09-07 08:47:21:708,55217,0.6,55655,0.8,111073,0.7,147566,2.25 65,1,2024-09-07 08:47:20:891,375462,375462,0,0,175922628919,1844653679,371974,3045,443,382,391901,0 65,2,2024-09-07 08:47:21:707,269136,269136,0,0,11989950,0,3367 65,3,2024-09-07 08:47:21:687,1,214,65,0,163,2175,214,0 66,0,2024-09-07 08:47:21:782,53577,0.6,53618,0.8,107699,0.5,142916,2.25 66,1,2024-09-07 08:47:21:294,377238,377238,0,0,176721653836,1837688644,374854,2203,181,380,391588,0 66,2,2024-09-07 08:47:21:137,276032,276032,0,0,11775972,0,4956 66,3,2024-09-07 08:47:21:082,1,214,9,0,291,2445,214,0 67,0,2024-09-07 08:47:21:414,49373,0.8,48994,0.9,98666,1.0,131543,2.25 67,1,2024-09-07 08:47:20:774,376568,376567,0,1,176584507688,1845760087,372797,3023,747,380,391787,1 67,2,2024-09-07 08:47:20:584,273738,273738,0,0,10788582,0,2889 67,3,2024-09-07 08:47:21:751,1,214,121,0,338,1902,214,0 68,0,2024-09-07 08:47:20:569,55443,0.7,55354,0.8,110126,0.7,147292,2.00 68,1,2024-09-07 08:47:20:614,375488,375488,0,0,175377284123,1842297574,371635,2716,1137,381,391953,0 68,2,2024-09-07 08:47:21:079,265889,265824,65,0,14112431,0,6698 68,3,2024-09-07 08:47:20:738,1,214,1,0,417,2571,214,0 69,0,2024-09-07 08:47:21:726,55790,0.8,56063,0.9,111607,0.9,148875,2.25 69,1,2024-09-07 08:47:21:019,374299,374299,0,0,175774429175,1859303149,368924,3975,1400,384,391994,0 69,2,2024-09-07 08:47:21:741,268992,268992,0,0,13688755,0,3722 69,3,2024-09-07 08:47:20:766,1,214,5,0,698,3416,214,0 70,0,2024-09-07 08:47:21:535,54272,1.3,54165,1.2,108818,0.9,144836,2.50 70,1,2024-09-07 08:47:20:801,376474,376474,0,0,176933955430,1841487217,372975,2971,528,366,391725,0 70,2,2024-09-07 08:47:21:329,273351,273351,0,0,13103185,0,4044 70,3,2024-09-07 08:47:20:745,1,214,8,0,854,2679,214,0 71,0,2024-09-07 08:47:21:380,48724,1.5,48689,1.4,97217,2.2,131288,3.25 71,1,2024-09-07 08:47:21:597,375865,375865,0,0,176240746406,1844211350,370751,4493,621,368,391738,0 71,2,2024-09-07 08:47:21:073,272871,272871,0,0,13240328,0,4042 71,3,2024-09-07 08:47:21:751,1,214,80,0,644,3357,214,0 72,0,2024-09-07 08:47:21:103,56548,0.6,55396,0.7,107935,0.5,146933,2.25 72,1,2024-09-07 08:47:21:031,376513,376513,0,0,175477864939,1833917370,372236,3526,751,369,391819,0 72,2,2024-09-07 08:47:21:760,266541,266541,0,0,13715292,0,3983 72,3,2024-09-07 08:47:21:760,1,214,17,0,364,3668,214,0 73,0,2024-09-07 08:47:21:104,53531,0.4,54727,0.6,112252,0.4,145908,2.00 73,1,2024-09-07 08:47:20:778,376407,376407,0,0,175950268530,1830576650,373619,2562,226,367,391750,0 73,2,2024-09-07 08:47:21:742,270191,270191,0,0,13051516,0,3482 73,3,2024-09-07 08:47:20:979,1,214,6,0,274,3313,214,0 74,0,2024-09-07 08:47:21:321,56227,0.5,57381,0.7,109291,0.5,148228,2.25 74,1,2024-09-07 08:47:20:649,376412,376412,0,0,175811024447,1838084757,372468,3153,791,381,391681,0 74,2,2024-09-07 08:47:21:002,271302,271302,0,0,13244477,0,4253 74,3,2024-09-07 08:47:21:446,1,214,12,0,522,3179,214,0 75,0,2024-09-07 08:47:21:801,50396,0.8,50152,1.0,100534,0.8,134878,2.50 75,1,2024-09-07 08:47:21:588,375373,375373,0,0,176302117573,1846052268,370857,3853,663,381,391597,0 75,2,2024-09-07 08:47:21:352,272843,272843,0,0,13204747,0,4766 75,3,2024-09-07 08:47:21:067,1,214,1,0,702,3063,214,0 76,0,2024-09-07 08:47:20:593,52668,0.6,52245,0.8,104327,0.6,140351,2.50 76,1,2024-09-07 08:47:20:812,376783,376783,0,0,176437129094,1840621332,374238,1997,548,382,391692,0 76,2,2024-09-07 08:47:21:073,271272,271272,0,0,11192322,0,3784 76,3,2024-09-07 08:47:21:144,1,214,1,0,175,2377,214,0 77,0,2024-09-07 08:47:21:704,54244,0.6,54575,0.8,108860,0.6,144203,2.00 77,1,2024-09-07 08:47:20:830,376887,376887,0,0,175970699120,1838187323,374084,2570,233,383,391808,0 77,2,2024-09-07 08:47:21:281,268248,268248,0,0,11322088,0,3890 77,3,2024-09-07 08:47:21:107,1,214,0,0,305,2315,214,0 78,0,2024-09-07 08:47:21:741,55581,0.5,55045,0.7,111223,0.4,146856,2.00 78,1,2024-09-07 08:47:20:610,376583,376583,0,0,175632865819,1826045365,373637,2631,315,367,391670,0 78,2,2024-09-07 08:47:21:406,269961,269961,0,0,10625890,0,3855 78,3,2024-09-07 08:47:21:133,1,214,1,0,181,2098,214,0 79,0,2024-09-07 08:47:21:354,51235,0.5,52482,0.7,107323,0.4,138964,2.50 79,1,2024-09-07 08:47:20:573,377798,377798,0,0,176599886043,1829299375,375068,2394,336,369,391682,0 79,2,2024-09-07 08:47:21:074,274623,274623,0,0,10602587,0,3212 79,3,2024-09-07 08:47:20:758,1,214,6,0,418,3222,214,0 80,0,2024-09-07 08:47:21:081,49987,0.6,51457,0.8,98562,0.7,133671,2.25 80,1,2024-09-07 08:47:21:626,375652,375652,0,0,175964615668,1834504893,372745,2740,167,368,391791,0 80,2,2024-09-07 08:47:21:092,272866,272866,0,0,11194893,0,4433 80,3,2024-09-07 08:47:20:581,1,214,9,0,190,3178,214,0 81,0,2024-09-07 08:47:21:532,54592,0.5,55910,0.7,107324,0.5,144650,2.00 81,1,2024-09-07 08:47:21:652,375462,375462,0,0,175438629060,1836314099,372190,2911,361,382,391879,0 81,2,2024-09-07 08:47:21:133,266884,266884,0,0,11321430,0,3993 81,3,2024-09-07 08:47:21:118,1,214,1,0,374,2610,214,0 82,0,2024-09-07 08:47:21:532,55792,0.4,56283,0.7,112763,0.4,149743,2.00 82,1,2024-09-07 08:47:20:583,376577,376573,0,4,176331911783,1841148308,373356,2606,611,381,391558,4 82,2,2024-09-07 08:47:21:692,269555,269555,0,0,10867382,0,3986 82,3,2024-09-07 08:47:21:753,1,214,22,0,363,2650,214,0 83,0,2024-09-07 08:47:21:537,54004,0.6,54079,0.8,107293,0.6,143355,2.00 83,1,2024-09-07 08:47:20:551,375946,375946,0,0,176195742131,1841004771,372807,2896,243,382,391690,0 83,2,2024-09-07 08:47:20:765,273445,273445,0,0,10860616,0,3119 83,3,2024-09-07 08:47:20:749,1,214,9,0,250,2367,214,0 84,0,2024-09-07 08:47:21:775,49139,1.0,49162,1.1,98410,0.9,131915,2.75 84,1,2024-09-07 08:47:21:049,375217,375217,0,0,175871095726,1843584506,370664,3980,573,368,391967,0 84,2,2024-09-07 08:47:20:584,272672,272672,0,0,12747469,0,3801 84,3,2024-09-07 08:47:21:141,1,214,908,0,908,3739,214,0 85,0,2024-09-07 08:47:21:021,53074,0.6,53102,0.8,112458,0.6,145612,2.25 85,1,2024-09-07 08:47:20:571,374414,374414,0,0,175634091041,1860927684,367951,5360,1103,382,392006,0 85,2,2024-09-07 08:47:20:879,267951,267951,0,0,13161451,0,3656 85,3,2024-09-07 08:47:20:694,1,214,26,0,789,2948,214,0 86,0,2024-09-07 08:47:20:917,55380,0.6,56847,0.8,109038,0.7,147263,2.00 86,1,2024-09-07 08:47:20:833,375945,375945,0,0,176189818537,1847343870,371133,4091,721,366,391961,0 86,2,2024-09-07 08:47:20:886,268232,268231,1,0,14087202,0,5004 86,3,2024-09-07 08:47:20:599,1,214,8,0,286,2902,214,0 87,0,2024-09-07 08:47:21:347,54899,1.1,54576,1.0,109740,1.6,146742,2.25 87,1,2024-09-07 08:47:20:560,376058,376058,0,0,175620714175,1842146255,371705,3873,480,366,391788,0 87,2,2024-09-07 08:47:21:073,271440,271440,0,0,12697350,0,4045 87,3,2024-09-07 08:47:21:800,1,214,31,0,473,3663,214,0 88,0,2024-09-07 08:47:21:449,49731,0.6,49978,0.7,99800,0.6,132711,1.75 88,1,2024-09-07 08:47:20:577,374765,374765,0,0,175655959176,1847041760,368975,4320,1470,365,392084,0 88,2,2024-09-07 08:47:20:689,272730,272730,0,0,14348919,0,3583 88,3,2024-09-07 08:47:21:268,1,214,6,0,435,2774,214,0 89,0,2024-09-07 08:47:21:784,55799,0.4,53982,0.6,107172,0.4,145512,1.75 89,1,2024-09-07 08:47:20:552,374282,374282,0,0,176023964344,1859719937,368225,5112,945,382,391866,0 89,2,2024-09-07 08:47:21:135,268141,268141,0,0,13234695,0,2910 89,3,2024-09-07 08:47:21:791,1,214,1,0,325,4313,214,0 90,0,2024-09-07 08:47:21:658,52682,0.5,53784,0.6,110446,0.4,143534,1.75 90,1,2024-09-07 08:47:20:602,376401,376401,0,0,176291515276,1847427751,373008,3131,262,381,391825,0 90,2,2024-09-07 08:47:21:409,267640,267640,0,0,14293388,0,3060 90,3,2024-09-07 08:47:20:939,1,214,20,0,200,2367,214,0 91,0,2024-09-07 08:47:20:987,56005,0.4,54173,0.6,113059,0.4,148355,1.75 91,1,2024-09-07 08:47:20:565,375593,375593,0,0,176352797071,1854859788,370765,4181,647,381,392047,0 91,2,2024-09-07 08:47:21:333,269950,269950,0,0,13014216,0,2445 91,3,2024-09-07 08:47:20:603,1,214,13,0,216,2157,214,0 92,0,2024-09-07 08:47:21:445,51987,0.6,53387,0.8,102064,0.7,137616,1.75 92,1,2024-09-07 08:47:20:582,375951,375951,0,0,176414413700,1840871750,373630,1915,406,382,392136,0 92,2,2024-09-07 08:47:21:354,274743,274743,0,0,11173847,0,2801 92,3,2024-09-07 08:47:21:011,1,214,5,0,167,1737,214,0 93,0,2024-09-07 08:47:21:017,51826,0.4,53097,0.6,101339,0.4,138244,1.75 93,1,2024-09-07 08:47:20:842,376680,376680,0,0,175947232980,1837056657,372946,3107,627,366,391692,0 93,2,2024-09-07 08:47:20:936,272288,272288,0,0,11454023,0,2509 93,3,2024-09-07 08:47:21:415,1,214,1,0,190,2313,214,0 94,0,2024-09-07 08:47:21:610,54089,0.4,54802,0.6,108843,0.3,144137,1.75 94,1,2024-09-07 08:47:20:576,375978,375978,0,0,176547355812,1846017562,373051,2794,133,381,391850,0 94,2,2024-09-07 08:47:20:798,267355,267355,0,0,10424343,0,2443 94,3,2024-09-07 08:47:21:688,1,214,8,0,264,3018,214,0 95,0,2024-09-07 08:47:21:353,56042,0.3,55648,0.5,112428,0.3,148480,1.75 95,1,2024-09-07 08:47:20:855,376599,376599,0,0,176853253018,1841241719,373308,2940,351,367,391662,0 95,2,2024-09-07 08:47:21:018,268648,268648,0,0,11138045,0,3308 95,3,2024-09-07 08:47:21:713,1,214,14,0,718,4291,214,0 96,0,2024-09-07 08:47:21:027,54142,0.5,54388,0.6,108489,0.4,143550,1.75 96,1,2024-09-07 08:47:21:585,375593,375593,0,0,175652458713,1839167632,372037,2827,729,385,391894,0 96,2,2024-09-07 08:47:21:268,273676,273676,0,0,12127981,0,4042 96,3,2024-09-07 08:47:21:149,1,214,0,0,411,2589,214,0 97,0,2024-09-07 08:47:21:324,49414,0.4,49101,0.5,98632,0.3,131733,1.75 97,1,2024-09-07 08:47:20:779,377030,377030,0,0,176573417198,1834847072,374061,2416,553,367,392140,0 97,2,2024-09-07 08:47:20:608,272767,272767,0,0,11166485,0,3036 97,3,2024-09-07 08:47:20:570,1,214,1,0,165,2551,214,0 98,0,2024-09-07 08:47:21:691,55339,0.3,55431,0.5,111306,0.3,147513,1.50 98,1,2024-09-07 08:47:20:593,376488,376488,0,0,176359840444,1837840327,374380,2013,95,382,391997,0 98,2,2024-09-07 08:47:20:858,267700,267700,0,0,10964259,0,3080 98,3,2024-09-07 08:47:20:715,1,214,40,0,840,3773,214,0 99,0,2024-09-07 08:47:21:456,56175,0.3,56226,0.4,112297,0.3,150021,1.75 99,1,2024-09-07 08:47:21:726,376882,376882,0,0,175485039530,1834458565,373116,3027,739,381,391744,0 99,2,2024-09-07 08:47:21:417,271301,271301,0,0,11662421,0,3106 99,3,2024-09-07 08:47:20:581,1,214,13,0,129,1640,214,0 100,0,2024-09-07 08:47:21:459,54529,0.8,54560,1.1,108822,1.2,145473,2.25 100,1,2024-09-07 08:47:20:553,373976,373976,0,0,174861699609,1856036709,367370,5337,1269,381,391989,0 100,2,2024-09-07 08:47:21:817,271890,271879,11,0,13515948,0,5417 100,3,2024-09-07 08:47:21:735,1,214,7,0,559,4690,214,0 101,0,2024-09-07 08:47:21:716,50111,2.0,49002,1.3,95870,2.2,132168,2.75 101,1,2024-09-07 08:47:20:557,374598,374598,0,0,175249704024,1853517871,367714,5212,1672,368,391769,0 101,2,2024-09-07 08:47:21:756,271861,271861,0,0,14557545,0,4644 101,3,2024-09-07 08:47:20:950,1,214,1,0,448,2850,214,0 102,0,2024-09-07 08:47:20:990,53488,0.7,55403,0.8,111373,0.6,146244,2.00 102,1,2024-09-07 08:47:21:142,374996,374996,0,0,175718593585,1852993746,369361,4797,838,369,391883,0 102,2,2024-09-07 08:47:21:738,268108,268054,54,0,13057545,0,6768 102,3,2024-09-07 08:47:21:622,1,214,9,0,410,2369,214,0 103,0,2024-09-07 08:47:21:600,56417,0.5,56481,0.7,106531,0.4,146918,2.00 103,1,2024-09-07 08:47:21:629,374370,374370,0,0,174924328353,1849728976,368210,4780,1380,381,391829,0 103,2,2024-09-07 08:47:20:592,268110,268110,0,0,12348456,0,2107 103,3,2024-09-07 08:47:20:759,1,214,68,0,916,3460,214,0 104,0,2024-09-07 08:47:21:017,55187,0.9,55589,1.1,109871,0.9,148284,2.50 104,1,2024-09-07 08:47:21:601,376200,376200,0,0,175842242869,1852775109,370206,4989,1005,365,391948,0 104,2,2024-09-07 08:47:21:680,270435,270435,0,0,13477353,0,3941 104,3,2024-09-07 08:47:21:416,1,214,1,0,1245,5968,214,0 105,0,2024-09-07 08:47:21:027,49789,1.2,48337,1.3,101190,1.7,133765,3.25 105,1,2024-09-07 08:47:20:590,376166,376166,0,0,176181397953,1849217210,371121,4241,804,367,391797,0 105,2,2024-09-07 08:47:21:323,272772,272772,0,0,13097135,0,3509 105,3,2024-09-07 08:47:21:304,1,214,1,0,399,3883,214,0 106,0,2024-09-07 08:47:20:951,51005,0.8,52294,0.9,107033,0.8,140312,2.50 106,1,2024-09-07 08:47:21:751,375667,375667,0,0,175029209042,1839081630,370189,5000,478,369,391767,0 106,2,2024-09-07 08:47:20:766,268390,268390,0,0,12612364,0,2795 106,3,2024-09-07 08:47:20:678,1,214,16,0,470,3284,214,0 107,0,2024-09-07 08:47:21:106,54343,0.9,54264,0.9,108118,1.0,144034,2.00 107,1,2024-09-07 08:47:20:589,374783,374783,0,0,175707053504,1848830924,370540,3828,415,381,392234,0 107,2,2024-09-07 08:47:21:292,267749,267748,1,0,12711725,0,5024 107,3,2024-09-07 08:47:21:761,1,214,4,0,353,3253,214,0 108,0,2024-09-07 08:47:21:800,55614,0.4,55842,0.6,110644,0.4,147541,1.75 108,1,2024-09-07 08:47:21:312,376329,376329,0,0,176485410106,1840201289,373549,2466,314,368,391857,0 108,2,2024-09-07 08:47:21:771,268553,268553,0,0,12106002,0,2647 108,3,2024-09-07 08:47:21:338,1,214,4,0,749,4671,214,0 109,0,2024-09-07 08:47:21:749,53166,0.4,52607,0.6,105671,0.4,140921,1.75 109,1,2024-09-07 08:47:20:583,375458,375458,0,0,175945633968,1846418203,372282,2674,502,383,392132,0 109,2,2024-09-07 08:47:20:929,272832,272832,0,0,12301505,0,3617 109,3,2024-09-07 08:47:21:140,1,214,0,0,249,2692,214,0 110,0,2024-09-07 08:47:21:777,50263,0.4,48932,0.6,102232,0.4,134349,1.75 110,1,2024-09-07 08:47:21:645,376711,376711,0,0,176934368619,1838545628,373946,1996,769,370,392045,0 110,2,2024-09-07 08:47:21:315,272540,272540,0,0,11553954,0,3264 110,3,2024-09-07 08:47:20:698,1,214,22,0,406,2953,214,0 111,0,2024-09-07 08:47:21:415,55163,0.4,54673,0.5,109167,0.3,146003,1.75 111,1,2024-09-07 08:47:21:002,377407,377407,0,0,176840337441,1834387045,375573,1500,334,382,391690,0 111,2,2024-09-07 08:47:21:116,267003,267003,0,0,11149798,0,2763 111,3,2024-09-07 08:47:20:916,1,214,3,0,379,3143,214,0 112,0,2024-09-07 08:47:20:909,56387,0.3,56331,0.4,112695,0.2,149768,1.50 112,1,2024-09-07 08:47:20:830,377250,377250,0,0,175560717223,1824736359,374836,1986,428,380,391580,0 112,2,2024-09-07 08:47:21:132,268870,268869,1,0,11611679,0,5036 112,3,2024-09-07 08:47:20:592,1,214,4,0,282,2432,214,0 113,0,2024-09-07 08:47:20:891,53824,0.3,53737,0.5,108046,0.3,144519,1.75 113,1,2024-09-07 08:47:21:690,377760,377760,0,0,177357608405,1833466944,375273,1998,489,366,391661,0 113,2,2024-09-07 08:47:21:303,274785,274785,0,0,10781114,0,3813 113,3,2024-09-07 08:47:20:685,1,214,2,0,340,3509,214,0 114,0,2024-09-07 08:47:20:873,50043,0.5,50553,0.6,99900,0.4,133864,1.75 114,1,2024-09-07 08:47:20:726,376284,376284,0,0,177162673915,1850849257,371481,3215,1588,381,391556,0 114,2,2024-09-07 08:47:20:878,272830,272829,1,0,11945078,0,5069 114,3,2024-09-07 08:47:21:278,1,214,8,0,395,2496,214,0 115,0,2024-09-07 08:47:20:554,55007,0.3,55316,0.4,110545,0.2,147430,1.75 115,1,2024-09-07 08:47:20:571,376263,376263,0,0,177124171237,1846970838,371924,3506,833,382,391602,0 115,2,2024-09-07 08:47:21:131,268102,268102,0,0,9948178,0,2152 115,3,2024-09-07 08:47:21:002,1,214,4,0,159,1279,214,0 116,0,2024-09-07 08:47:21:712,55358,0.7,54987,0.9,110423,0.7,148002,2.00 116,1,2024-09-07 08:47:20:806,374041,374041,0,0,175386318330,1863019877,367817,4172,2052,380,391782,0 116,2,2024-09-07 08:47:21:751,268194,268194,0,0,14479014,0,3529 116,3,2024-09-07 08:47:20:919,1,214,3,0,252,2883,214,0 117,0,2024-09-07 08:47:20:976,54925,1.0,54887,1.0,109661,1.2,146885,2.00 117,1,2024-09-07 08:47:21:581,375371,375371,0,0,175217219601,1838516383,370852,4042,477,370,392033,0 117,2,2024-09-07 08:47:21:130,273874,273874,0,0,12188223,0,3700 117,3,2024-09-07 08:47:21:061,1,214,1,0,490,3835,214,0 118,0,2024-09-07 08:47:21:768,48370,0.8,49606,0.9,101101,0.9,132371,2.25 118,1,2024-09-07 08:47:20:588,375292,375292,0,0,175463082463,1850131933,369316,4549,1427,366,391736,0 118,2,2024-09-07 08:47:21:591,272255,272255,0,0,12874198,0,2781 118,3,2024-09-07 08:47:21:769,1,214,10,0,235,2600,214,0 119,0,2024-09-07 08:47:21:344,53731,0.7,54124,0.8,108553,0.7,144054,2.25 119,1,2024-09-07 08:47:20:553,375924,375924,0,0,176381666937,1847730602,371323,4079,522,368,391641,0 119,2,2024-09-07 08:47:21:261,268586,268586,0,0,12175672,0,4174 119,3,2024-09-07 08:47:21:328,1,214,24,0,443,3356,214,0 120,0,2024-09-07 08:47:21:557,53840,0.5,53834,0.8,108013,0.5,144095,2.00 120,1,2024-09-07 08:47:20:875,375540,375540,0,0,175672337799,1845944424,371247,3947,346,368,391961,0 120,2,2024-09-07 08:47:20:776,268165,268164,1,0,14044209,0,5281 120,3,2024-09-07 08:47:21:294,1,214,1,0,241,2966,214,0 121,0,2024-09-07 08:47:21:725,55453,1.1,55807,1.0,111298,1.5,148036,2.25 121,1,2024-09-07 08:47:21:663,376144,376144,0,0,176028202867,1843169267,372353,3389,402,367,391840,0 121,2,2024-09-07 08:47:21:127,268816,268816,0,0,13716720,0,4127 121,3,2024-09-07 08:47:20:738,1,214,5,0,269,2604,214,0 122,0,2024-09-07 08:47:21:767,51412,1.0,50042,1.0,104901,1.1,137609,2.25 122,1,2024-09-07 08:47:20:861,375022,375022,0,0,175825656427,1848358926,369637,4645,740,366,392130,0 122,2,2024-09-07 08:47:21:338,272515,272442,73,0,16326737,0,5989 122,3,2024-09-07 08:47:20:599,1,214,8,0,411,4690,214,0 123,0,2024-09-07 08:47:20:955,51399,0.7,50207,0.8,104610,0.7,137625,2.00 123,1,2024-09-07 08:47:20:558,375394,375394,0,0,175698698337,1858099587,367392,6441,1561,369,391823,0 123,2,2024-09-07 08:47:21:029,269729,269728,1,0,13165962,0,5215 123,3,2024-09-07 08:47:21:133,1,214,17,0,168,2592,214,0 124,0,2024-09-07 08:47:20:941,56086,0.3,56062,0.5,105726,0.3,144733,1.75 124,1,2024-09-07 08:47:21:034,377244,377244,0,0,175794620316,1828846226,374577,2305,362,367,392178,0 124,2,2024-09-07 08:47:21:034,268083,268083,0,0,10682000,0,3101 124,3,2024-09-07 08:47:20:763,1,214,3,0,490,2619,214,0 125,0,2024-09-07 08:47:21:424,55942,0.4,55829,0.5,111991,0.3,148539,1.75 125,1,2024-09-07 08:47:20:872,376045,376045,0,0,176543062664,1842230305,373486,2281,278,383,391702,0 125,2,2024-09-07 08:47:21:116,269629,269629,0,0,10814127,0,2180 125,3,2024-09-07 08:47:21:133,1,214,0,0,284,2677,214,0 126,0,2024-09-07 08:47:21:436,54294,0.6,55681,0.7,106455,0.6,144298,1.75 126,1,2024-09-07 08:47:20:562,376991,376991,0,0,176740071664,1834414282,374437,2294,260,365,391987,0 126,2,2024-09-07 08:47:20:620,275512,275512,0,0,11747430,0,3186 126,3,2024-09-07 08:47:20:911,1,214,8,0,183,3069,214,0 127,0,2024-09-07 08:47:21:636,49247,0.4,49531,0.5,98691,0.3,131788,1.75 127,1,2024-09-07 08:47:20:571,376789,376789,0,0,176627708656,1832386638,374034,2603,152,365,391816,0 127,2,2024-09-07 08:47:20:648,273021,273021,0,0,10833851,0,2264 127,3,2024-09-07 08:47:21:272,1,214,19,0,243,1788,214,0 128,0,2024-09-07 08:47:21:591,55841,0.3,55811,0.5,111260,0.3,147855,1.50 128,1,2024-09-07 08:47:21:638,376645,376645,0,0,175387567557,1821846359,374545,1944,156,367,391798,0 128,2,2024-09-07 08:47:21:386,266879,266879,0,0,10487764,0,2434 128,3,2024-09-07 08:47:20:768,1,214,1,0,1082,4446,214,0 129,0,2024-09-07 08:47:21:014,56521,0.3,56204,0.5,112381,0.3,149594,1.50 129,1,2024-09-07 08:47:20:575,374728,374728,0,0,175488739523,1844002805,370610,3191,927,379,391835,0 129,2,2024-09-07 08:47:20:687,269650,269650,0,0,11418893,0,4031 129,3,2024-09-07 08:47:20:692,1,214,5,0,469,3041,214,0 130,0,2024-09-07 08:47:21:726,54993,0.6,54865,0.7,109868,0.7,146407,1.75 130,1,2024-09-07 08:47:20:587,376441,376441,0,0,175855318421,1832639148,374073,2292,76,381,391825,0 130,2,2024-09-07 08:47:21:127,274817,274817,0,0,11195022,0,4067 130,3,2024-09-07 08:47:21:298,1,214,8,0,450,2950,214,0 131,0,2024-09-07 08:47:21:937,49344,0.5,49760,0.6,100017,0.5,132711,1.75 131,1,2024-09-07 08:47:21:838,376953,376953,0,0,176417349109,1842396665,373932,2565,456,381,391865,0 131,2,2024-09-07 08:47:20:652,274249,274249,0,0,10475943,0,2415 131,3,2024-09-07 08:47:21:700,1,214,1,0,392,2152,214,0 132,0,2024-09-07 08:47:21:420,54618,0.5,55192,0.7,110345,0.4,146744,2.00 132,1,2024-09-07 08:47:20:584,374777,374777,0,0,175224831611,1854111383,368034,5512,1231,381,392097,0 132,2,2024-09-07 08:47:20:704,267645,267645,0,0,13674472,0,4606 132,3,2024-09-07 08:47:21:699,1,214,1,0,356,3369,214,0 133,0,2024-09-07 08:47:21:539,53448,0.4,54757,0.6,112164,0.4,145704,1.75 133,1,2024-09-07 08:47:20:587,374092,374092,0,0,175615131571,1853792832,368670,4757,665,383,391914,0 133,2,2024-09-07 08:47:21:088,268062,268062,0,0,14876811,0,4315 133,3,2024-09-07 08:47:21:298,1,214,9,0,276,2181,214,0 134,0,2024-09-07 08:47:20:948,55549,0.5,55490,0.7,111557,0.5,148247,2.00 134,1,2024-09-07 08:47:20:590,374857,374857,0,0,175961991477,1852532916,368862,4578,1417,366,391718,0 134,2,2024-09-07 08:47:21:763,270620,270620,0,0,12489029,0,3847 134,3,2024-09-07 08:47:20:748,1,214,12,0,739,3467,214,0 135,0,2024-09-07 08:47:21:105,48685,1.2,48698,1.1,103354,1.4,133352,2.25 135,1,2024-09-07 08:47:21:660,374271,374271,0,0,176118647406,1856881777,368528,4770,973,380,391805,0 135,2,2024-09-07 08:47:20:691,272843,272843,0,0,13620143,0,3981 135,3,2024-09-07 08:47:21:002,1,214,22,0,299,1547,214,0 136,0,2024-09-07 08:47:21:684,53289,0.5,53130,0.7,106330,0.5,141844,2.25 136,1,2024-09-07 08:47:21:443,375187,375187,0,0,175418149835,1845088783,370166,4479,542,382,391685,0 136,2,2024-09-07 08:47:21:177,270740,270740,0,0,12373112,0,3506 136,3,2024-09-07 08:47:21:114,1,214,59,0,126,1795,214,0 137,0,2024-09-07 08:47:20:949,55909,0.7,54227,0.8,107118,0.7,145186,2.00 137,1,2024-09-07 08:47:20:575,374708,374708,0,0,175642808611,1847426448,368140,5189,1379,366,391708,0 137,2,2024-09-07 08:47:21:705,267550,267550,0,0,14293169,0,3185 137,3,2024-09-07 08:47:20:777,1,214,382,0,382,2754,214,0 138,0,2024-09-07 08:47:21:761,55080,0.9,55187,1.0,110644,1.1,147178,2.25 138,1,2024-09-07 08:47:21:689,375461,375461,0,0,176568695239,1855671745,369504,5039,918,368,391954,0 138,2,2024-09-07 08:47:20:587,269612,269612,0,0,12820246,0,4988 138,3,2024-09-07 08:47:20:612,1,214,7,0,1160,3624,214,0 139,0,2024-09-07 08:47:21:393,51980,2.4,51975,1.5,104882,3.5,139457,2.75 139,1,2024-09-07 08:47:20:576,373424,373424,0,0,175019843231,1862652406,365922,5601,1901,381,391892,0 139,2,2024-09-07 08:47:20:696,271946,271946,0,0,13443022,0,3097 139,3,2024-09-07 08:47:21:667,1,214,3,0,244,2335,214,0 140,0,2024-09-07 08:47:21:611,50612,0.4,50063,0.5,101057,0.3,134467,1.75 140,1,2024-09-07 08:47:21:547,377435,377435,0,0,176970363190,1824942429,375676,1519,240,365,391606,0 140,2,2024-09-07 08:47:20:705,273243,273243,0,0,11016852,0,3388 140,3,2024-09-07 08:47:20:770,1,214,80,0,247,1908,214,0 141,0,2024-09-07 08:47:21:698,54986,0.3,56399,0.5,107736,0.2,146015,1.75 141,1,2024-09-07 08:47:20:860,377273,377273,0,0,176460303501,1832284276,374991,1927,355,379,391614,0 141,2,2024-09-07 08:47:21:688,267538,267538,0,0,10270379,0,2342 141,3,2024-09-07 08:47:21:062,1,214,0,0,176,1770,214,0 142,0,2024-09-07 08:47:21:320,56975,0.3,56380,0.5,112220,0.2,150055,1.50 142,1,2024-09-07 08:47:20:599,376292,376292,0,0,176353934486,1840121344,373833,2216,243,382,392102,0 142,2,2024-09-07 08:47:21:301,268576,268544,32,0,12006188,0,6028 142,3,2024-09-07 08:47:21:750,1,214,18,0,484,2899,214,0 143,0,2024-09-07 08:47:21:378,54089,0.6,54038,0.6,108622,0.6,144357,1.75 143,1,2024-09-07 08:47:20:556,376801,376801,0,0,176339680638,1830042523,374470,2285,46,367,391619,0 143,2,2024-09-07 08:47:20:777,274325,274325,0,0,11540437,0,2669 143,3,2024-09-07 08:47:21:140,1,214,4,0,303,3048,214,0 144,0,2024-09-07 08:47:21:510,48028,0.9,49449,1.2,100358,1.0,132280,2.25 144,1,2024-09-07 08:47:20:577,374777,374777,0,0,175918439245,1851249575,370840,3016,921,381,391649,0 144,2,2024-09-07 08:47:21:762,273035,273035,0,0,11253738,0,3473 144,3,2024-09-07 08:47:21:739,1,214,34,0,249,2686,214,0 145,0,2024-09-07 08:47:21:383,53090,0.5,53192,0.8,112628,0.5,145881,2.25 145,1,2024-09-07 08:47:20:552,374121,374121,0,0,175712657483,1852557308,368771,4531,819,382,391698,0 145,2,2024-09-07 08:47:21:439,266176,266176,0,0,12795154,0,3903 145,3,2024-09-07 08:47:20:896,1,214,12,0,622,3901,214,0 146,0,2024-09-07 08:47:21:741,55313,0.5,54871,0.8,110505,0.5,147125,2.25 146,1,2024-09-07 08:47:21:623,375280,375280,0,0,175606489096,1850788085,368294,5444,1542,368,391770,0 146,2,2024-09-07 08:47:21:713,268581,268581,0,0,12427447,0,2730 146,3,2024-09-07 08:47:21:274,1,214,8,0,1520,5750,214,0 147,0,2024-09-07 08:47:21:750,54870,0.7,54793,0.8,108912,0.6,146519,2.25 147,1,2024-09-07 08:47:21:387,377069,377069,0,0,176543064859,1838782216,373341,3159,569,368,391791,0 147,2,2024-09-07 08:47:21:013,273730,273730,0,0,11062582,0,2789 147,3,2024-09-07 08:47:20:916,1,214,4,0,730,3362,214,0 0,0,2024-09-07 08:47:31:709,52706,0.5,52729,0.7,111833,0.5,144779,1.75 0,1,2024-09-07 08:47:30:828,377682,377682,0,0,177287889590,1858506796,374518,2962,202,372,391772,0 0,2,2024-09-07 08:47:31:067,270340,270340,0,0,11702955,0,4480 0,3,2024-09-07 08:47:30:973,1,215,22,0,247,2810,215,0 1,0,2024-09-07 08:47:31:748,55910,0.9,55432,1.0,111460,1.2,148443,2.00 1,1,2024-09-07 08:47:30:567,377142,377142,0,0,176431981722,1853786883,372720,3369,1053,371,391857,0 1,2,2024-09-07 08:47:30:639,271244,271244,0,0,10821739,0,3267 1,3,2024-09-07 08:47:31:305,1,215,29,0,262,2752,215,0 2,0,2024-09-07 08:47:31:566,51515,0.9,51864,0.9,103015,1.2,137157,2.00 2,1,2024-09-07 08:47:30:860,378052,378052,0,0,176718763110,1839515538,375952,1882,218,380,391745,0 2,2,2024-09-07 08:47:31:267,274412,274412,0,0,11628347,0,3594 2,3,2024-09-07 08:47:30:694,1,215,17,0,357,2157,215,0 3,0,2024-09-07 08:47:31:744,52007,0.5,52086,0.6,103610,0.4,138555,2.00 3,1,2024-09-07 08:47:31:618,377715,377715,0,0,176382446809,1841525575,373981,3273,461,380,391523,0 3,2,2024-09-07 08:47:31:144,272083,272060,23,0,11603812,0,5851 3,3,2024-09-07 08:47:31:752,1,215,1,0,103,1393,215,0 4,0,2024-09-07 08:47:31:775,52723,0.4,54179,0.5,110273,0.4,144460,1.75 4,1,2024-09-07 08:47:30:610,377163,377163,0,0,176488873429,1859036608,372549,3826,788,371,391846,0 4,2,2024-09-07 08:47:31:018,268164,268164,0,0,13551428,0,4528 4,3,2024-09-07 08:47:31:030,1,215,19,0,448,3533,215,0 5,0,2024-09-07 08:47:31:419,55989,0.4,56197,0.6,111777,0.4,148623,1.75 5,1,2024-09-07 08:47:30:760,376885,376885,0,0,176468784447,1860923658,371630,4171,1084,368,392005,0 5,2,2024-09-07 08:47:31:833,268849,268849,0,0,12489154,0,2432 5,3,2024-09-07 08:47:31:731,1,215,140,0,457,3703,215,0 6,0,2024-09-07 08:47:30:917,54554,0.7,54057,0.9,107964,0.8,144508,2.25 6,1,2024-09-07 08:47:30:752,377417,377417,0,0,176259384912,1847251215,372981,3631,805,379,391634,0 6,2,2024-09-07 08:47:31:116,274621,274621,0,0,12603080,0,4816 6,3,2024-09-07 08:47:31:278,1,215,12,0,340,2761,215,0 7,0,2024-09-07 08:47:31:551,49321,0.6,49377,0.7,98610,0.5,131851,2.00 7,1,2024-09-07 08:47:30:854,376683,376683,0,0,176754161340,1861455389,370798,4882,1003,382,391686,0 7,2,2024-09-07 08:47:30:772,272851,272851,0,0,12536752,0,4791 7,3,2024-09-07 08:47:30:854,1,215,2,0,305,2273,215,0 8,0,2024-09-07 08:47:31:379,55503,0.4,55665,0.5,110778,0.4,147735,1.75 8,1,2024-09-07 08:47:31:078,376398,376398,0,0,176927170877,1869292133,369051,5511,1836,367,391956,0 8,2,2024-09-07 08:47:30:809,265205,265205,0,0,13910826,0,2986 8,3,2024-09-07 08:47:30:591,1,215,50,0,357,3297,215,0 9,0,2024-09-07 08:47:31:101,56559,0.3,54867,0.5,114536,0.3,150437,1.75 9,1,2024-09-07 08:47:30:562,376778,376778,0,0,177022393494,1870988893,370556,4684,1538,370,392001,0 9,2,2024-09-07 08:47:31:083,270071,270071,0,0,12383823,0,3360 9,3,2024-09-07 08:47:31:760,1,215,1,0,496,3286,215,0 10,0,2024-09-07 08:47:31:599,55075,0.3,54607,0.5,109827,0.3,146310,1.75 10,1,2024-09-07 08:47:30:584,377333,377333,0,0,176713280932,1856214863,371350,5055,928,381,391741,0 10,2,2024-09-07 08:47:30:762,274985,274985,0,0,14107960,0,4264 10,3,2024-09-07 08:47:30:871,1,215,8,0,177,1806,215,0 11,0,2024-09-07 08:47:31:012,49413,0.5,47970,0.8,100199,0.5,133639,2.00 11,1,2024-09-07 08:47:30:581,377430,377430,0,0,176179301437,1858693892,369729,5787,1914,383,391537,0 11,2,2024-09-07 08:47:31:126,273515,273515,0,0,13514163,0,4130 11,3,2024-09-07 08:47:31:298,1,215,4,0,843,3929,215,0 12,0,2024-09-07 08:47:30:958,55471,0.3,55439,0.5,110673,0.3,147282,1.75 12,1,2024-09-07 08:47:30:934,377907,377907,0,0,176371831611,1841381975,374627,2859,421,370,391837,0 12,2,2024-09-07 08:47:31:553,269387,269387,0,0,12448068,0,3469 12,3,2024-09-07 08:47:31:065,1,215,2,0,386,3983,215,0 13,0,2024-09-07 08:47:31:354,55481,0.3,55333,0.5,110512,0.3,147429,1.50 13,1,2024-09-07 08:47:31:539,376817,376817,0,0,176600597819,1855122536,373463,2815,539,382,391717,0 13,2,2024-09-07 08:47:30:599,271139,271139,0,0,11323390,0,3287 13,3,2024-09-07 08:47:31:774,1,215,10,0,467,3727,215,0 14,0,2024-09-07 08:47:30:565,55963,0.4,56535,0.6,111756,0.3,148682,1.75 14,1,2024-09-07 08:47:31:563,379704,379704,0,0,177686204308,1842086131,377008,2507,189,364,391571,0 14,2,2024-09-07 08:47:30:774,272869,272869,0,0,11722433,0,2896 14,3,2024-09-07 08:47:31:115,1,215,9,0,1168,3303,215,0 15,0,2024-09-07 08:47:31:558,50293,0.6,50702,0.8,101163,0.7,134703,2.25 15,1,2024-09-07 08:47:31:610,378284,378284,0,0,176492255881,1836657777,375983,2157,144,381,391619,0 15,2,2024-09-07 08:47:31:000,275165,275165,0,0,10135317,0,3043 15,3,2024-09-07 08:47:31:406,1,215,1,0,1126,4766,215,0 16,0,2024-09-07 08:47:30:942,53414,0.8,53765,0.9,106427,0.8,142994,2.25 16,1,2024-09-07 08:47:30:568,378189,378189,0,0,176921908721,1853556775,374666,3228,295,370,391756,0 16,2,2024-09-07 08:47:31:434,270228,270228,0,0,12444783,0,4719 16,3,2024-09-07 08:47:31:142,1,215,1,0,317,2961,215,0 17,0,2024-09-07 08:47:31:776,56077,0.5,54697,0.7,107204,0.5,145331,2.00 17,1,2024-09-07 08:47:30:583,376497,376497,0,0,176261405543,1856501423,371673,3723,1101,368,391688,0 17,2,2024-09-07 08:47:31:667,272241,272241,0,0,11528830,0,2857 17,3,2024-09-07 08:47:30:600,1,215,16,0,268,3552,215,0 18,0,2024-09-07 08:47:30:943,54999,0.7,55175,0.9,110284,0.8,147082,2.50 18,1,2024-09-07 08:47:31:638,377926,377926,0,0,177075932148,1838912426,375430,2257,239,367,391564,0 18,2,2024-09-07 08:47:31:758,271558,271558,0,0,11300100,0,3541 18,3,2024-09-07 08:47:30:895,1,215,7,0,163,2322,215,0 19,0,2024-09-07 08:47:31:539,52355,0.9,52964,0.9,104566,0.9,138891,2.50 19,1,2024-09-07 08:47:30:567,378040,378040,0,0,177494362944,1849575978,373443,3812,785,367,391777,0 19,2,2024-09-07 08:47:31:755,275791,275791,0,0,10449224,0,3988 19,3,2024-09-07 08:47:31:129,1,215,1,0,524,1761,215,0 20,0,2024-09-07 08:47:31:348,50245,0.9,50251,0.9,100939,1.1,134953,2.50 20,1,2024-09-07 08:47:30:569,377141,377141,0,0,176859320798,1854422791,373350,3395,396,369,391886,0 20,2,2024-09-07 08:47:30:933,272720,272720,0,0,12149067,0,3721 20,3,2024-09-07 08:47:30:601,1,215,2,0,414,4337,215,0 21,0,2024-09-07 08:47:31:126,54925,0.5,55156,0.7,110057,0.5,145473,2.00 21,1,2024-09-07 08:47:31:541,376267,376267,0,0,175560034629,1855124082,370622,4388,1257,368,391962,0 21,2,2024-09-07 08:47:31:067,268184,268184,0,0,13343983,0,3747 21,3,2024-09-07 08:47:31:410,1,215,7,0,103,2481,215,0 22,0,2024-09-07 08:47:31:717,56310,0.7,56299,0.8,112229,0.6,149515,2.25 22,1,2024-09-07 08:47:31:023,376459,376459,0,0,176483871567,1863485354,369664,5176,1619,382,391667,0 22,2,2024-09-07 08:47:30:768,269950,269950,0,0,11914442,0,3134 22,3,2024-09-07 08:47:31:066,1,215,4,0,228,2176,215,0 23,0,2024-09-07 08:47:31:385,53932,0.7,53914,0.8,108338,0.8,144542,2.25 23,1,2024-09-07 08:47:31:003,377190,377190,0,0,176754554115,1863273162,369992,4648,2550,365,391550,0 23,2,2024-09-07 08:47:31:092,274993,274993,0,0,11750073,0,3010 23,3,2024-09-07 08:47:31:753,1,215,1,0,645,2776,215,0 24,0,2024-09-07 08:47:30:919,50379,0.5,50402,0.6,100917,0.4,134128,1.75 24,1,2024-09-07 08:47:30:592,377574,377574,0,0,176996722351,1855643376,373368,3177,1029,369,391987,0 24,2,2024-09-07 08:47:31:073,272652,272652,0,0,13930177,0,3607 24,3,2024-09-07 08:47:31:686,1,215,82,0,468,3455,215,0 25,0,2024-09-07 08:47:31:352,56927,0.4,55558,0.6,108651,0.4,148801,2.00 25,1,2024-09-07 08:47:30:560,377751,377751,0,0,176860648891,1854242753,373745,3459,547,371,391788,0 25,2,2024-09-07 08:47:31:606,267208,267208,0,0,13731159,0,3978 25,3,2024-09-07 08:47:31:000,1,215,3,0,255,2539,215,0 26,0,2024-09-07 08:47:31:721,55465,0.4,54163,0.6,113669,0.4,148277,1.75 26,1,2024-09-07 08:47:31:542,377560,377560,0,0,176188915892,1850775708,371525,4950,1085,381,391748,0 26,2,2024-09-07 08:47:30:867,270677,270677,0,0,12989870,0,2809 26,3,2024-09-07 08:47:31:716,1,215,7,0,796,3111,215,0 27,0,2024-09-07 08:47:31:725,55541,0.5,55365,0.6,109805,0.4,146647,2.00 27,1,2024-09-07 08:47:31:677,379304,379304,0,0,178117511065,1852012311,376857,2083,364,381,391558,0 27,2,2024-09-07 08:47:30:872,272443,272378,65,0,14183348,0,5699 27,3,2024-09-07 08:47:31:016,1,215,5,0,564,2332,215,0 28,0,2024-09-07 08:47:31:399,49976,0.6,49913,0.7,100418,0.7,133803,2.25 28,1,2024-09-07 08:47:30:796,378725,378725,0,0,177434026405,1850482721,375903,2352,470,383,391646,0 28,2,2024-09-07 08:47:31:769,274771,274771,0,0,11255557,0,2915 28,3,2024-09-07 08:47:31:778,1,215,2,0,502,2401,215,0 29,0,2024-09-07 08:47:31:362,56069,0.3,54791,0.5,107015,0.2,146589,1.75 29,1,2024-09-07 08:47:31:571,379420,379420,0,0,177178742477,1837581920,376269,2587,564,369,391621,0 29,2,2024-09-07 08:47:30:866,269320,269320,0,0,10199865,0,4018 29,3,2024-09-07 08:47:30:964,1,215,2,0,115,1667,215,0 30,0,2024-09-07 08:47:31:456,54067,0.6,52647,0.8,110190,0.6,144139,2.00 30,1,2024-09-07 08:47:30:586,379492,379492,0,0,177936624556,1845905769,377303,1896,293,382,391672,0 30,2,2024-09-07 08:47:31:279,271142,271142,0,0,10311308,0,3161 30,3,2024-09-07 08:47:30:582,1,215,1,0,195,1533,215,0 31,0,2024-09-07 08:47:31:766,55351,0.4,55669,0.6,111749,0.4,148271,2.00 31,1,2024-09-07 08:47:30:569,380289,380289,0,0,177778671337,1823117179,379194,938,157,356,391712,0 31,2,2024-09-07 08:47:31:276,271221,271221,0,0,12098485,0,3525 31,3,2024-09-07 08:47:31:710,1,215,1,0,220,1816,215,0 32,0,2024-09-07 08:47:31:433,51469,0.4,52033,0.6,103935,0.4,137805,1.75 32,1,2024-09-07 08:47:30:811,379082,379082,0,0,177554884994,1844320846,377174,1631,277,381,391614,0 32,2,2024-09-07 08:47:30:935,274697,274697,0,0,10456550,0,3155 32,3,2024-09-07 08:47:31:017,1,215,15,0,227,1687,215,0 33,0,2024-09-07 08:47:31:508,52462,0.3,51732,0.5,104342,0.2,139505,1.75 33,1,2024-09-07 08:47:30:577,379422,379422,0,0,178193534529,1844375552,376878,2372,172,369,391730,0 33,2,2024-09-07 08:47:30:767,272754,272721,33,0,12190079,0,7012 33,3,2024-09-07 08:47:30:905,1,215,11,0,329,2547,215,0 34,0,2024-09-07 08:47:30:945,54347,0.4,56013,0.5,107447,0.3,143683,1.75 34,1,2024-09-07 08:47:31:043,380149,380149,0,0,177639548718,1829651704,379075,1067,7,367,391562,0 34,2,2024-09-07 08:47:30:766,269095,269095,0,0,11147313,0,3577 34,3,2024-09-07 08:47:31:688,1,215,13,0,148,1518,215,0 35,0,2024-09-07 08:47:30:862,55400,0.4,55820,0.5,112043,0.3,148807,1.75 35,1,2024-09-07 08:47:31:072,378043,378043,0,0,177874342000,1848259021,374768,2496,779,384,391589,0 35,2,2024-09-07 08:47:31:586,271208,271208,0,0,10388251,0,2653 35,3,2024-09-07 08:47:30:927,1,215,29,0,418,2668,215,0 36,0,2024-09-07 08:47:31:522,54209,0.8,54197,0.9,108558,0.8,144183,2.50 36,1,2024-09-07 08:47:30:584,377397,377397,0,0,176696178611,1854046585,371548,4271,1578,366,391759,0 36,2,2024-09-07 08:47:31:760,274226,274226,0,0,12956914,0,3875 36,3,2024-09-07 08:47:30:866,1,215,1,0,416,3786,215,0 37,0,2024-09-07 08:47:31:376,49297,0.6,49080,0.8,98614,0.5,132415,2.00 37,1,2024-09-07 08:47:30:581,377109,377102,0,7,176762894753,1859083464,371121,3915,2066,365,391560,0 37,2,2024-09-07 08:47:31:149,272875,272860,15,0,13227572,0,5815 37,3,2024-09-07 08:47:31:767,1,215,1,0,888,4107,215,0 38,0,2024-09-07 08:47:31:441,55000,0.6,53422,0.7,111829,0.5,145973,2.00 38,1,2024-09-07 08:47:31:611,378585,378585,0,0,177197485101,1855761249,373351,4560,674,368,391821,0 38,2,2024-09-07 08:47:30:760,267660,267613,47,0,13370925,0,6710 38,3,2024-09-07 08:47:30:999,1,215,8,0,689,3438,215,0 39,0,2024-09-07 08:47:31:775,57551,0.7,56525,0.8,109705,0.7,150447,2.00 39,1,2024-09-07 08:47:30:717,378160,378160,0,0,177842809629,1860212489,373016,4282,862,365,391594,0 39,2,2024-09-07 08:47:31:419,269961,269961,0,0,11371105,0,2689 39,3,2024-09-07 08:47:30:713,1,215,1,0,324,3348,215,0 40,0,2024-09-07 08:47:31:533,54273,0.8,54794,1.0,109616,0.8,145780,2.75 40,1,2024-09-07 08:47:30:577,377995,377995,0,0,176349940524,1852145335,371758,5004,1233,368,391591,0 40,2,2024-09-07 08:47:31:315,274097,274096,1,0,14074714,0,5137 40,3,2024-09-07 08:47:31:144,1,215,3,0,333,2524,215,0 41,0,2024-09-07 08:47:31:044,49080,1.7,50305,1.4,96035,2.9,131857,4.00 41,1,2024-09-07 08:47:30:771,377283,377283,0,0,176929549531,1852453108,372258,4464,561,370,391742,0 41,2,2024-09-07 08:47:30:761,272325,272325,0,0,13778870,0,3356 41,3,2024-09-07 08:47:31:697,1,215,1,0,366,2536,215,0 42,0,2024-09-07 08:47:31:474,54626,0.6,54852,0.8,109567,0.6,145169,2.25 42,1,2024-09-07 08:47:31:439,376723,376723,0,0,177414387483,1864376380,371068,4581,1074,380,391675,0 42,2,2024-09-07 08:47:31:133,268282,268282,0,0,12664863,0,3568 42,3,2024-09-07 08:47:31:009,1,215,16,0,446,1940,215,0 43,0,2024-09-07 08:47:30:927,54879,0.7,53442,0.9,111540,0.8,146960,2.00 43,1,2024-09-07 08:47:30:580,377799,377799,0,0,177316774081,1850741379,373434,3547,818,366,391696,0 43,2,2024-09-07 08:47:31:757,270837,270837,0,0,12073327,0,3812 43,3,2024-09-07 08:47:31:751,1,215,1,0,467,2772,215,0 44,0,2024-09-07 08:47:30:862,55726,0.5,56084,0.7,111871,0.4,149159,1.75 44,1,2024-09-07 08:47:30:571,379267,379267,0,0,176723260457,1826879617,376866,1971,430,356,391809,0 44,2,2024-09-07 08:47:31:270,272213,272213,0,0,10303132,0,2231 44,3,2024-09-07 08:47:31:093,1,215,246,0,817,2552,215,0 45,0,2024-09-07 08:47:31:764,49927,0.8,48871,0.9,102463,0.9,135518,2.00 45,1,2024-09-07 08:47:31:007,378245,378245,0,0,177704632950,1842354558,376882,1351,12,382,391917,0 45,2,2024-09-07 08:47:31:268,274943,274943,0,0,11339011,0,3596 45,3,2024-09-07 08:47:30:936,1,215,14,0,271,2538,215,0 46,0,2024-09-07 08:47:30:950,53357,0.4,53239,0.6,106724,0.4,142298,2.00 46,1,2024-09-07 08:47:30:580,379480,379480,0,0,177592574936,1835216036,377303,1904,273,366,391524,0 46,2,2024-09-07 08:47:30:686,271291,271291,0,0,10604516,0,2920 46,3,2024-09-07 08:47:31:133,1,215,8,0,908,3395,215,0 47,0,2024-09-07 08:47:31:109,54764,0.4,54698,0.6,109955,0.4,145299,1.75 47,1,2024-09-07 08:47:30:584,379638,379638,0,0,177748339309,1835897384,377655,1898,85,366,391605,0 47,2,2024-09-07 08:47:30:908,271800,271800,0,0,10625748,0,2558 47,3,2024-09-07 08:47:31:116,1,215,1,0,529,2196,215,0 48,0,2024-09-07 08:47:31:497,55982,0.3,55683,0.4,110843,0.2,147976,1.50 48,1,2024-09-07 08:47:31:022,379353,379353,0,0,177421862457,1846302300,376395,2659,299,384,391710,0 48,2,2024-09-07 08:47:30:700,271489,271489,0,0,10505601,0,3031 48,3,2024-09-07 08:47:30:753,1,215,1,0,339,1979,215,0 49,0,2024-09-07 08:47:31:731,54367,0.4,53298,0.6,103431,0.4,140984,1.75 49,1,2024-09-07 08:47:31:033,376863,376863,0,0,176214585417,1840870311,373076,2606,1181,382,391610,0 49,2,2024-09-07 08:47:31:798,275472,275472,0,0,11059105,0,3900 49,3,2024-09-07 08:47:31:418,1,215,44,0,408,2620,215,0 50,0,2024-09-07 08:47:31:513,50692,0.3,50112,0.5,100812,0.2,134873,1.75 50,1,2024-09-07 08:47:31:010,379848,379848,0,0,177412663620,1838757013,377143,2395,310,368,391530,0 50,2,2024-09-07 08:47:31:067,273661,273661,0,0,10367227,0,2263 50,3,2024-09-07 08:47:31:291,1,215,8,0,335,1907,215,0 51,0,2024-09-07 08:47:31:690,56099,0.3,54953,0.5,107102,0.2,145775,1.75 51,1,2024-09-07 08:47:31:681,379233,379233,0,0,178077668117,1841923615,376497,1797,939,365,391706,0 51,2,2024-09-07 08:47:31:329,268880,268880,0,0,9352841,0,3337 51,3,2024-09-07 08:47:31:030,1,215,1,0,678,1923,215,0 52,0,2024-09-07 08:47:31:431,57010,0.5,56644,0.7,113061,0.4,150177,2.00 52,1,2024-09-07 08:47:30:577,377517,377517,0,0,176135934293,1853197605,370691,5623,1203,368,391722,0 52,2,2024-09-07 08:47:31:760,268634,268596,38,0,13447657,0,6742 52,3,2024-09-07 08:47:30:674,1,215,2,0,1782,4138,215,0 53,0,2024-09-07 08:47:31:832,53831,0.8,52336,0.9,109573,1.0,143716,2.50 53,1,2024-09-07 08:47:30:774,376643,376643,0,0,177116244874,1868116088,369340,4947,2356,367,391702,0 53,2,2024-09-07 08:47:31:299,274993,274993,0,0,11450481,0,2477 53,3,2024-09-07 08:47:30:696,1,215,31,0,271,2091,215,0 54,0,2024-09-07 08:47:31:623,48949,1.4,49326,1.1,97719,1.0,131232,3.25 54,1,2024-09-07 08:47:30:600,377820,377820,0,0,177601755997,1852767885,373193,4032,595,367,391659,0 54,2,2024-09-07 08:47:30:867,273530,273524,6,0,12859676,0,5382 54,3,2024-09-07 08:47:30:762,1,215,12,0,676,3558,215,0 55,0,2024-09-07 08:47:31:761,53515,0.6,55482,0.8,111546,0.5,145529,2.50 55,1,2024-09-07 08:47:30:764,377135,377135,0,0,176108379628,1840302240,371606,4742,787,365,391731,0 55,2,2024-09-07 08:47:30:730,267241,267241,0,0,12559896,0,3275 55,3,2024-09-07 08:47:30:673,1,215,0,0,304,2692,215,0 56,0,2024-09-07 08:47:31:577,56856,1.2,53689,1.1,110486,1.6,148195,2.50 56,1,2024-09-07 08:47:30:575,376823,376823,0,0,176682472384,1868692759,370807,5073,943,381,391678,0 56,2,2024-09-07 08:47:31:307,270378,270378,0,0,13272592,0,3567 56,3,2024-09-07 08:47:31:066,1,215,18,0,705,3412,215,0 57,0,2024-09-07 08:47:30:985,54325,1.8,54477,1.3,108837,2.6,145707,3.25 57,1,2024-09-07 08:47:30:989,377656,377656,0,0,176495521092,1851464225,373096,4015,545,367,391960,0 57,2,2024-09-07 08:47:31:319,274232,274232,0,0,14287449,0,3317 57,3,2024-09-07 08:47:31:751,1,215,7,0,359,3170,215,0 58,0,2024-09-07 08:47:30:557,48782,0.9,47529,1.0,99506,0.9,130272,2.50 58,1,2024-09-07 08:47:30:586,377734,377731,0,3,177411952561,1855764524,372550,4487,694,367,391545,3 58,2,2024-09-07 08:47:31:073,274498,274498,0,0,12918744,0,2549 58,3,2024-09-07 08:47:31:068,1,215,12,0,1043,2688,215,0 59,0,2024-09-07 08:47:31:749,54573,0.7,54475,0.9,108830,0.7,145260,2.75 59,1,2024-09-07 08:47:30:804,377479,377479,0,0,177144435576,1856214772,372321,3988,1170,369,391525,0 59,2,2024-09-07 08:47:30:587,270672,270672,0,0,11483050,0,2604 59,3,2024-09-07 08:47:31:736,1,215,1,0,1015,3325,215,0 60,0,2024-09-07 08:47:31:707,54471,0.5,54215,0.6,109063,0.4,144897,1.75 60,1,2024-09-07 08:47:30:773,379489,379489,0,0,177971484131,1847414642,377118,1854,517,370,392031,0 60,2,2024-09-07 08:47:31:141,270647,270647,0,0,12272606,0,3811 60,3,2024-09-07 08:47:31:269,1,215,1,0,124,2050,215,0 61,0,2024-09-07 08:47:31:507,55757,0.8,55997,0.9,111463,0.9,148468,2.00 61,1,2024-09-07 08:47:30:772,377788,377788,0,0,177153448250,1857216172,373335,3753,700,382,391880,0 61,2,2024-09-07 08:47:31:124,272357,272357,0,0,11124343,0,2090 61,3,2024-09-07 08:47:31:688,1,215,1,0,397,2973,215,0 62,0,2024-09-07 08:47:31:713,52067,0.9,53107,0.9,101211,1.2,137643,2.25 62,1,2024-09-07 08:47:31:111,380577,380571,0,6,178019629062,1838422017,378198,2257,116,365,391715,6 62,2,2024-09-07 08:47:31:645,273352,273351,1,0,12631908,0,5555 62,3,2024-09-07 08:47:31:144,1,215,8,0,287,1559,215,0 63,0,2024-09-07 08:47:31:454,52107,0.5,52126,0.6,104080,0.4,139099,1.75 63,1,2024-09-07 08:47:30:804,379957,379951,0,6,177636564727,1841487297,378413,1488,50,381,391677,6 63,2,2024-09-07 08:47:30:766,272441,272441,0,0,10291545,0,2674 63,3,2024-09-07 08:47:31:732,1,215,36,0,667,2754,215,0 64,0,2024-09-07 08:47:31:519,53790,0.5,53908,0.7,108108,0.4,143594,1.75 64,1,2024-09-07 08:47:30:755,378245,378245,0,0,177206723645,1853404885,373791,3040,1414,370,391783,0 64,2,2024-09-07 08:47:31:144,271572,271553,19,0,11446254,0,6121 64,3,2024-09-07 08:47:31:140,1,215,1,0,265,2398,215,0 65,0,2024-09-07 08:47:31:706,55310,0.6,55753,0.8,111280,0.7,147792,2.25 65,1,2024-09-07 08:47:30:874,377274,377274,0,0,176594863478,1851688761,373786,3045,443,382,391901,0 65,2,2024-09-07 08:47:31:692,270473,270473,0,0,12110219,0,3367 65,3,2024-09-07 08:47:31:692,1,215,14,0,163,2189,215,0 66,0,2024-09-07 08:47:31:769,53758,0.6,53815,0.8,108135,0.5,143418,2.25 66,1,2024-09-07 08:47:31:299,379014,379014,0,0,177601696520,1846692998,376630,2203,181,380,391588,0 66,2,2024-09-07 08:47:31:132,277157,277157,0,0,11851835,0,4956 66,3,2024-09-07 08:47:31:080,1,215,12,0,291,2457,215,0 67,0,2024-09-07 08:47:31:412,49807,0.8,49400,0.9,99520,1.0,132685,2.25 67,1,2024-09-07 08:47:30:767,378313,378312,0,1,177425183026,1854434710,374542,3023,747,380,391787,1 67,2,2024-09-07 08:47:30:584,274878,274878,0,0,10861431,0,2889 67,3,2024-09-07 08:47:31:751,1,215,1,0,338,1903,215,0 68,0,2024-09-07 08:47:30:640,55585,0.7,55490,0.8,110356,0.7,147596,2.00 68,1,2024-09-07 08:47:30:592,377113,377113,0,0,176127605515,1850173013,373260,2716,1137,381,391953,0 68,2,2024-09-07 08:47:31:048,267362,267297,65,0,14320841,0,6698 68,3,2024-09-07 08:47:30:731,1,215,13,0,417,2584,215,0 69,0,2024-09-07 08:47:31:765,56098,0.8,56354,0.9,112231,0.9,149686,2.25 69,1,2024-09-07 08:47:31:018,375976,375976,0,0,176641987069,1868786648,370564,4012,1400,384,391994,0 69,2,2024-09-07 08:47:31:739,270334,270334,0,0,13842026,0,3722 69,3,2024-09-07 08:47:30:763,1,215,74,0,698,3490,215,0 70,0,2024-09-07 08:47:31:532,54460,1.2,54399,1.2,109259,0.9,145424,2.50 70,1,2024-09-07 08:47:30:800,377998,377998,0,0,177759113583,1849982528,374499,2971,528,366,391725,0 70,2,2024-09-07 08:47:31:325,274378,274378,0,0,13260974,0,4044 70,3,2024-09-07 08:47:30:750,1,215,8,0,854,2687,215,0 71,0,2024-09-07 08:47:31:356,49120,1.6,49128,1.4,98086,2.2,132260,3.25 71,1,2024-09-07 08:47:31:603,377623,377623,0,0,177106041395,1853243874,372509,4493,621,368,391738,0 71,2,2024-09-07 08:47:31:067,274217,274217,0,0,13397569,0,4042 71,3,2024-09-07 08:47:31:751,1,215,3,0,644,3360,215,0 72,0,2024-09-07 08:47:31:025,56839,0.6,55644,0.7,108486,0.5,147623,2.25 72,1,2024-09-07 08:47:31:023,378342,378342,0,0,176643615028,1846136989,374048,3543,751,369,391819,0 72,2,2024-09-07 08:47:31:768,267562,267562,0,0,13814803,0,3983 72,3,2024-09-07 08:47:31:763,1,215,6,0,364,3674,215,0 73,0,2024-09-07 08:47:31:115,53854,0.4,55059,0.6,112951,0.4,146833,2.00 73,1,2024-09-07 08:47:30:771,378320,378320,0,0,176683955734,1838877213,375373,2715,232,367,391750,0 73,2,2024-09-07 08:47:31:739,271417,271417,0,0,13224141,0,3482 73,3,2024-09-07 08:47:30:975,1,215,12,0,274,3325,215,0 74,0,2024-09-07 08:47:31:323,56403,0.5,57535,0.7,109651,0.5,148696,2.25 74,1,2024-09-07 08:47:30:635,378159,378159,0,0,176517986038,1845674814,374206,3162,791,381,391681,0 74,2,2024-09-07 08:47:31:002,272818,272818,0,0,13359833,0,4253 74,3,2024-09-07 08:47:31:442,1,215,8,0,522,3187,215,0 75,0,2024-09-07 08:47:31:765,50691,0.8,50482,1.0,101212,0.8,135763,2.50 75,1,2024-09-07 08:47:31:586,377190,377190,0,0,177216706384,1856145185,372586,3941,663,381,391597,0 75,2,2024-09-07 08:47:31:350,274222,274222,0,0,13570970,0,4766 75,3,2024-09-07 08:47:31:067,1,215,1,0,702,3064,215,0 76,0,2024-09-07 08:47:30:594,53106,0.6,52693,0.8,105242,0.6,141499,2.50 76,1,2024-09-07 08:47:30:806,378623,378623,0,0,177101024061,1847526919,376078,1997,548,382,391692,0 76,2,2024-09-07 08:47:31:066,271913,271913,0,0,11210058,0,3784 76,3,2024-09-07 08:47:31:141,1,215,1,0,175,2378,215,0 77,0,2024-09-07 08:47:31:768,54370,0.6,54678,0.8,109153,0.6,144513,2.00 77,1,2024-09-07 08:47:30:824,378659,378659,0,0,176702968964,1845762630,375856,2570,233,383,391808,0 77,2,2024-09-07 08:47:31:281,269683,269683,0,0,11356567,0,3890 77,3,2024-09-07 08:47:31:097,1,215,2,0,305,2317,215,0 78,0,2024-09-07 08:47:31:715,55725,0.4,55180,0.7,111464,0.4,147209,2.00 78,1,2024-09-07 08:47:30:613,378321,378321,0,0,176406279474,1834021585,375375,2631,315,367,391670,0 78,2,2024-09-07 08:47:31:406,271532,271532,0,0,10666830,0,3855 78,3,2024-09-07 08:47:31:133,1,215,20,0,181,2118,215,0 79,0,2024-09-07 08:47:31:345,51251,0.5,52494,0.7,107351,0.4,138964,2.50 79,1,2024-09-07 08:47:30:580,379592,379592,0,0,177568143701,1839758462,376765,2491,336,369,391682,0 79,2,2024-09-07 08:47:31:068,275767,275767,0,0,10626862,0,3212 79,3,2024-09-07 08:47:30:749,1,215,37,0,418,3259,215,0 80,0,2024-09-07 08:47:31:084,50334,0.6,51849,0.8,99234,0.7,134581,2.25 80,1,2024-09-07 08:47:31:619,377551,377551,0,0,176883762340,1844415783,374620,2764,167,368,391791,0 80,2,2024-09-07 08:47:31:090,273944,273944,0,0,11247108,0,4433 80,3,2024-09-07 08:47:30:579,1,215,1,0,190,3179,215,0 81,0,2024-09-07 08:47:31:534,54702,0.5,56015,0.7,107516,0.5,144910,2.00 81,1,2024-09-07 08:47:31:653,377622,377622,0,0,176302975166,1846502941,374045,3131,446,382,391879,0 81,2,2024-09-07 08:47:31:125,268375,268375,0,0,11404785,0,3993 81,3,2024-09-07 08:47:31:117,1,215,1,0,374,2611,215,0 82,0,2024-09-07 08:47:31:532,56018,0.4,56503,0.7,113193,0.4,150343,2.00 82,1,2024-09-07 08:47:30:583,378290,378286,0,4,177009551192,1848177607,375069,2606,611,381,391558,4 82,2,2024-09-07 08:47:31:691,270895,270895,0,0,10927025,0,3986 82,3,2024-09-07 08:47:31:752,1,215,1,0,363,2651,215,0 83,0,2024-09-07 08:47:31:530,54335,0.6,54414,0.7,107963,0.6,144190,2.00 83,1,2024-09-07 08:47:30:556,377738,377738,0,0,177151082356,1850838404,374599,2896,243,382,391690,0 83,2,2024-09-07 08:47:30:773,274518,274518,0,0,10892683,0,3119 83,3,2024-09-07 08:47:30:750,1,215,1,0,250,2368,215,0 84,0,2024-09-07 08:47:31:805,49420,1.0,49423,1.1,98925,0.9,132659,2.75 84,1,2024-09-07 08:47:31:040,376943,376943,0,0,176826801514,1854100707,372287,4082,574,368,391967,0 84,2,2024-09-07 08:47:30:572,273999,273999,0,0,12876130,0,3801 84,3,2024-09-07 08:47:31:150,1,215,13,0,908,3752,215,0 85,0,2024-09-07 08:47:31:006,53494,0.6,53525,0.8,113287,0.6,146890,2.50 85,1,2024-09-07 08:47:30:601,376288,376288,0,0,176460805486,1870187783,369697,5486,1105,382,392006,0 85,2,2024-09-07 08:47:30:866,269200,269200,0,0,13356377,0,3656 85,3,2024-09-07 08:47:30:685,1,215,0,0,789,2948,215,0 86,0,2024-09-07 08:47:30:936,55690,0.6,57156,0.8,109659,0.7,148064,2.00 86,1,2024-09-07 08:47:30:840,377750,377750,0,0,177228133315,1858983686,372788,4241,721,366,391961,0 86,2,2024-09-07 08:47:30:856,269444,269443,1,0,14234817,0,5004 86,3,2024-09-07 08:47:30:594,1,215,9,0,286,2911,215,0 87,0,2024-09-07 08:47:31:316,55065,1.1,54728,1.0,110058,1.6,147132,2.25 87,1,2024-09-07 08:47:30:565,377801,377801,0,0,176674649733,1852983477,373448,3873,480,366,391788,0 87,2,2024-09-07 08:47:31:067,272960,272960,0,0,12818517,0,4045 87,3,2024-09-07 08:47:31:797,1,215,1,0,473,3664,215,0 88,0,2024-09-07 08:47:31:440,50001,0.6,50216,0.7,100250,0.6,133340,1.75 88,1,2024-09-07 08:47:30:597,376482,376482,0,0,176415585407,1855184627,370668,4344,1470,365,392084,0 88,2,2024-09-07 08:47:30:696,274123,274123,0,0,14480795,0,3583 88,3,2024-09-07 08:47:31:270,1,215,3,0,435,2777,215,0 89,0,2024-09-07 08:47:31:783,56197,0.4,54390,0.6,107929,0.4,146563,1.75 89,1,2024-09-07 08:47:30:562,375942,375942,0,0,176934499500,1869653762,369844,5153,945,382,391866,0 89,2,2024-09-07 08:47:31:132,268926,268926,0,0,13305384,0,2910 89,3,2024-09-07 08:47:31:797,1,215,30,0,325,4343,215,0 90,0,2024-09-07 08:47:31:616,52839,0.5,53965,0.6,110826,0.4,144000,1.75 90,1,2024-09-07 08:47:30:619,378151,378151,0,0,177090292967,1855855778,374757,3132,262,381,391825,0 90,2,2024-09-07 08:47:31:415,269032,269032,0,0,14428170,0,3060 90,3,2024-09-07 08:47:30:940,1,215,23,0,200,2390,215,0 91,0,2024-09-07 08:47:30:993,56105,0.4,54267,0.6,113249,0.4,148595,1.75 91,1,2024-09-07 08:47:30:658,377319,377319,0,0,177155810390,1864037977,372380,4292,647,381,392047,0 91,2,2024-09-07 08:47:31:332,271497,271497,0,0,13103313,0,2445 91,3,2024-09-07 08:47:30:599,1,215,3,0,216,2160,215,0 92,0,2024-09-07 08:47:31:447,52121,0.6,53521,0.7,102285,0.7,137932,1.75 92,1,2024-09-07 08:47:30:752,377638,377638,0,0,177172995851,1848672655,375316,1916,406,382,392136,0 92,2,2024-09-07 08:47:31:355,276104,276104,0,0,11225761,0,2801 92,3,2024-09-07 08:47:31:018,1,215,24,0,167,1761,215,0 93,0,2024-09-07 08:47:30:991,52317,0.4,53623,0.6,102302,0.4,139519,1.75 93,1,2024-09-07 08:47:30:811,378536,378536,0,0,176781874682,1845704659,374801,3107,628,366,391692,0 93,2,2024-09-07 08:47:30:929,273088,273088,0,0,11471700,0,2509 93,3,2024-09-07 08:47:31:407,1,215,22,0,190,2335,215,0 94,0,2024-09-07 08:47:31:615,54184,0.4,54883,0.6,109063,0.3,144443,1.75 94,1,2024-09-07 08:47:30:604,377710,377710,0,0,177311174461,1854014096,374780,2797,133,381,391850,0 94,2,2024-09-07 08:47:30:783,268787,268787,0,0,10483932,0,2443 94,3,2024-09-07 08:47:31:689,1,215,13,0,264,3031,215,0 95,0,2024-09-07 08:47:31:360,56157,0.3,55750,0.5,112656,0.3,148729,1.75 95,1,2024-09-07 08:47:30:853,378345,378345,0,0,177609463469,1849346755,375023,2971,351,367,391662,0 95,2,2024-09-07 08:47:31:017,270057,270057,0,0,11317215,0,3308 95,3,2024-09-07 08:47:31:708,1,215,3,0,718,4294,215,0 96,0,2024-09-07 08:47:31:026,54351,0.5,54589,0.6,108878,0.4,144050,1.75 96,1,2024-09-07 08:47:31:586,377341,377341,0,0,176671618847,1849688322,373785,2827,729,385,391894,0 96,2,2024-09-07 08:47:31:269,274850,274850,0,0,12177863,0,4042 96,3,2024-09-07 08:47:31:144,1,215,1,0,411,2590,215,0 97,0,2024-09-07 08:47:31:326,49832,0.4,49503,0.5,99489,0.4,132856,1.75 97,1,2024-09-07 08:47:30:764,378708,378708,0,0,177277503557,1842113563,375738,2417,553,367,392140,0 97,2,2024-09-07 08:47:30:622,273794,273794,0,0,11192402,0,3036 97,3,2024-09-07 08:47:30:569,1,215,16,0,165,2567,215,0 98,0,2024-09-07 08:47:31:698,55483,0.3,55572,0.5,111587,0.3,147814,1.50 98,1,2024-09-07 08:47:30:572,378403,378403,0,0,177082586657,1845549200,376295,2013,95,382,391997,0 98,2,2024-09-07 08:47:30:771,269067,269067,0,0,11090874,0,3080 98,3,2024-09-07 08:47:30:700,1,215,11,0,840,3784,215,0 99,0,2024-09-07 08:47:31:450,56491,0.3,56533,0.4,112887,0.3,150799,1.75 99,1,2024-09-07 08:47:31:726,378596,378596,0,0,176178812026,1841735434,374828,3029,739,381,391744,0 99,2,2024-09-07 08:47:31:419,272661,272661,0,0,11740120,0,3106 99,3,2024-09-07 08:47:30:582,1,215,3,0,129,1643,215,0 100,0,2024-09-07 08:47:31:466,54734,0.8,54781,1.1,109258,1.2,146021,2.25 100,1,2024-09-07 08:47:30:557,375699,375699,0,0,175487951390,1863075844,369065,5365,1269,381,391989,0 100,2,2024-09-07 08:47:31:822,273056,273045,11,0,13580191,0,5417 100,3,2024-09-07 08:47:31:731,1,215,8,0,559,4698,215,0 101,0,2024-09-07 08:47:31:699,50560,2.2,49430,1.4,96734,2.6,133623,3.00 101,1,2024-09-07 08:47:30:575,376099,376099,0,0,175907386725,1860533692,369208,5219,1672,368,391769,0 101,2,2024-09-07 08:47:31:760,273235,273235,0,0,14645670,0,4644 101,3,2024-09-07 08:47:30:943,1,215,120,0,448,2970,215,0 102,0,2024-09-07 08:47:31:023,53780,0.7,55668,0.8,111942,0.6,146987,2.00 102,1,2024-09-07 08:47:31:143,376649,376649,0,0,176421494028,1860388077,371014,4797,838,369,391883,0 102,2,2024-09-07 08:47:31:750,269220,269166,54,0,13182984,0,6768 102,3,2024-09-07 08:47:31:613,1,215,8,0,410,2377,215,0 103,0,2024-09-07 08:47:31:614,56758,0.5,56841,0.7,107190,0.4,147879,2.00 103,1,2024-09-07 08:47:31:625,376169,376169,0,0,175663350106,1858043367,369935,4854,1380,381,391829,0 103,2,2024-09-07 08:47:30:669,269362,269362,0,0,12600691,0,2582 103,3,2024-09-07 08:47:30:760,1,215,1,0,916,3461,215,0 104,0,2024-09-07 08:47:31:009,55367,0.9,55757,1.0,110232,0.9,148768,2.50 104,1,2024-09-07 08:47:31:609,377854,377854,0,0,176529229608,1860152297,371857,4992,1005,365,391948,0 104,2,2024-09-07 08:47:31:670,271841,271841,0,0,13598400,0,3941 104,3,2024-09-07 08:47:31:418,1,215,1,0,1245,5969,215,0 105,0,2024-09-07 08:47:31:073,50118,1.1,48664,1.3,101835,1.7,134696,3.25 105,1,2024-09-07 08:47:30:582,377926,377926,0,0,177115288341,1859995628,372703,4411,812,367,391797,0 105,2,2024-09-07 08:47:31:324,274226,274226,0,0,13189382,0,3509 105,3,2024-09-07 08:47:31:304,1,215,1,0,399,3884,215,0 106,0,2024-09-07 08:47:30:981,51464,0.8,52750,0.9,107911,0.8,141506,2.50 106,1,2024-09-07 08:47:31:751,377478,377478,0,0,175997437423,1850919797,371618,5344,516,369,391767,0 106,2,2024-09-07 08:47:30:789,269016,269016,0,0,12640192,0,2795 106,3,2024-09-07 08:47:30:685,1,215,21,0,470,3305,215,0 107,0,2024-09-07 08:47:31:124,54466,0.9,54389,0.9,108342,1.0,144377,2.00 107,1,2024-09-07 08:47:30:599,376551,376551,0,0,176503318742,1858589660,372004,4066,481,381,392234,0 107,2,2024-09-07 08:47:31:291,269207,269206,1,0,12946377,0,5024 107,3,2024-09-07 08:47:31:760,1,215,2,0,353,3255,215,0 108,0,2024-09-07 08:47:31:861,55725,0.4,55969,0.6,110905,0.4,147880,1.75 108,1,2024-09-07 08:47:31:306,378131,378131,0,0,177281173376,1848345876,375351,2466,314,368,391857,0 108,2,2024-09-07 08:47:31:776,270056,270056,0,0,12146238,0,2647 108,3,2024-09-07 08:47:31:340,1,215,8,0,749,4679,215,0 109,0,2024-09-07 08:47:31:745,53184,0.4,52627,0.6,105693,0.4,140921,1.75 109,1,2024-09-07 08:47:30:585,377240,377240,0,0,176629035779,1854097995,373938,2799,503,383,392132,0 109,2,2024-09-07 08:47:30:923,274083,274083,0,0,12322071,0,3617 109,3,2024-09-07 08:47:31:140,1,215,95,0,249,2787,215,0 110,0,2024-09-07 08:47:31:758,50607,0.4,49288,0.6,102962,0.4,135276,1.75 110,1,2024-09-07 08:47:31:654,378461,378461,0,0,177906673524,1848530422,375696,1996,769,370,392045,0 110,2,2024-09-07 08:47:31:309,273579,273579,0,0,11609740,0,3264 110,3,2024-09-07 08:47:30:690,1,215,8,0,406,2961,215,0 111,0,2024-09-07 08:47:31:414,55245,0.4,54759,0.5,109361,0.3,146253,1.75 111,1,2024-09-07 08:47:31:003,379212,379212,0,0,177673760391,1842958575,377378,1500,334,382,391690,0 111,2,2024-09-07 08:47:31:116,268618,268618,0,0,11399539,0,2763 111,3,2024-09-07 08:47:30:916,1,215,1,0,379,3144,215,0 112,0,2024-09-07 08:47:30:956,56602,0.3,56592,0.4,113170,0.2,150380,1.50 112,1,2024-09-07 08:47:30:833,379057,379057,0,0,176766077345,1837031396,376643,1986,428,380,391580,0 112,2,2024-09-07 08:47:31:139,270182,270181,1,0,11703964,0,5036 112,3,2024-09-07 08:47:30:601,1,215,5,0,282,2437,215,0 113,0,2024-09-07 08:47:30:871,54146,0.3,54052,0.5,108699,0.3,145413,1.75 113,1,2024-09-07 08:47:31:690,379524,379524,0,0,178134548708,1841484587,377037,1998,489,366,391661,0 113,2,2024-09-07 08:47:31:306,275911,275911,0,0,10863163,0,3813 113,3,2024-09-07 08:47:30:683,1,215,1,0,340,3510,215,0 114,0,2024-09-07 08:47:30:878,50307,0.5,50842,0.6,100464,0.4,134568,1.75 114,1,2024-09-07 08:47:30:717,377960,377960,0,0,177796371730,1857535476,373157,3215,1588,381,391556,0 114,2,2024-09-07 08:47:30:872,274152,274151,1,0,12000682,0,5069 114,3,2024-09-07 08:47:31:278,1,215,11,0,395,2507,215,0 115,0,2024-09-07 08:47:30:567,55538,0.3,55846,0.4,111600,0.2,149000,1.75 115,1,2024-09-07 08:47:30:571,378017,378017,0,0,177931754365,1855353571,373678,3506,833,382,391602,0 115,2,2024-09-07 08:47:31:126,269388,269388,0,0,10015210,0,2152 115,3,2024-09-07 08:47:31:002,1,215,23,0,159,1302,215,0 116,0,2024-09-07 08:47:31:698,55669,0.7,55298,0.9,111027,0.7,148796,2.00 116,1,2024-09-07 08:47:30:813,375799,375799,0,0,176253394625,1872587744,369495,4252,2052,380,391782,0 116,2,2024-09-07 08:47:31:752,269362,269362,0,0,14566778,0,3529 116,3,2024-09-07 08:47:30:918,1,215,415,0,415,3298,215,0 117,0,2024-09-07 08:47:30:957,55100,0.9,55044,1.0,109996,1.1,147302,2.00 117,1,2024-09-07 08:47:31:578,377150,377150,0,0,175999489514,1846996698,372628,4044,478,370,392033,0 117,2,2024-09-07 08:47:31:118,275327,275327,0,0,12292323,0,3700 117,3,2024-09-07 08:47:31:063,1,215,2,0,490,3837,215,0 118,0,2024-09-07 08:47:31:768,48585,0.8,49876,0.9,101590,0.9,132995,2.25 118,1,2024-09-07 08:47:30:588,377047,377047,0,0,176055722475,1856749900,371038,4582,1427,366,391736,0 118,2,2024-09-07 08:47:31:596,273545,273545,0,0,13024567,0,2781 118,3,2024-09-07 08:47:31:765,1,215,8,0,235,2608,215,0 119,0,2024-09-07 08:47:31:350,54131,0.7,54531,0.8,109311,0.7,145116,2.25 119,1,2024-09-07 08:47:30:567,377751,377751,0,0,177458874774,1858882873,373137,4092,522,368,391641,0 119,2,2024-09-07 08:47:31:270,269379,269379,0,0,12235698,0,4174 119,3,2024-09-07 08:47:31:327,1,215,1,0,443,3357,215,0 120,0,2024-09-07 08:47:31:589,53991,0.5,54015,0.8,108343,0.5,144555,2.00 120,1,2024-09-07 08:47:30:878,377238,377238,0,0,176644489993,1856399410,372920,3972,346,368,391961,0 120,2,2024-09-07 08:47:30:771,269547,269546,1,0,14262542,0,5281 120,3,2024-09-07 08:47:31:290,1,215,53,0,241,3019,215,0 121,0,2024-09-07 08:47:31:686,55542,1.1,55870,1.0,111493,1.5,148279,2.25 121,1,2024-09-07 08:47:31:657,377831,377831,0,0,176684830310,1850886522,373858,3566,407,367,391840,0 121,2,2024-09-07 08:47:31:125,270293,270293,0,0,13861043,0,4127 121,3,2024-09-07 08:47:30:730,1,215,1,0,269,2605,215,0 122,0,2024-09-07 08:47:31:768,51514,1.0,50160,1.0,105120,1.1,137921,2.25 122,1,2024-09-07 08:47:30:876,376782,376782,0,0,176714935948,1858215061,371293,4749,740,366,392130,0 122,2,2024-09-07 08:47:31:319,273830,273757,73,0,16401236,0,5989 122,3,2024-09-07 08:47:30:598,1,215,10,0,411,4700,215,0 123,0,2024-09-07 08:47:30:976,51919,0.7,50686,0.8,105600,0.8,139035,2.00 123,1,2024-09-07 08:47:30:578,377058,377058,0,0,176587353436,1867566926,369048,6449,1561,369,391823,0 123,2,2024-09-07 08:47:31:019,270538,270537,1,0,13253952,0,5215 123,3,2024-09-07 08:47:31:133,1,215,118,0,168,2710,215,0 124,0,2024-09-07 08:47:30:960,56197,0.3,56183,0.5,105956,0.3,145031,1.75 124,1,2024-09-07 08:47:31:029,379030,379030,0,0,176728883316,1838392834,376363,2305,362,367,392178,0 124,2,2024-09-07 08:47:31:019,269551,269551,0,0,10735815,0,3101 124,3,2024-09-07 08:47:30:759,1,215,6,0,490,2625,215,0 125,0,2024-09-07 08:47:31:480,56039,0.4,55928,0.5,112203,0.3,148784,1.75 125,1,2024-09-07 08:47:30:855,377797,377797,0,0,177523844022,1852503831,375238,2281,278,383,391702,0 125,2,2024-09-07 08:47:31:116,271119,271119,0,0,11107448,0,2667 125,3,2024-09-07 08:47:31:131,1,215,1,0,284,2678,215,0 126,0,2024-09-07 08:47:31:456,54509,0.6,55894,0.7,106850,0.6,144823,1.75 126,1,2024-09-07 08:47:30:621,378814,378814,0,0,177681089774,1844633440,376160,2393,261,365,391987,0 126,2,2024-09-07 08:47:30:619,276603,276603,0,0,11783241,0,3186 126,3,2024-09-07 08:47:30:922,1,215,12,0,183,3081,215,0 127,0,2024-09-07 08:47:31:603,49671,0.4,49997,0.5,99508,0.3,132936,1.75 127,1,2024-09-07 08:47:30:584,378525,378525,0,0,177440459373,1840745179,375769,2604,152,365,391816,0 127,2,2024-09-07 08:47:30:638,274130,274130,0,0,10895049,0,2264 127,3,2024-09-07 08:47:31:267,1,215,3,0,243,1791,215,0 128,0,2024-09-07 08:47:31:526,55996,0.3,55944,0.5,111529,0.3,148145,1.50 128,1,2024-09-07 08:47:31:606,378410,378410,0,0,176352847251,1831788764,376310,1944,156,367,391798,0 128,2,2024-09-07 08:47:31:384,268206,268206,0,0,10623210,0,2434 128,3,2024-09-07 08:47:30:770,1,215,1,0,1082,4447,215,0 129,0,2024-09-07 08:47:31:012,56821,0.3,56509,0.5,112951,0.3,150361,1.50 129,1,2024-09-07 08:47:30:570,376463,376463,0,0,176319353778,1852774200,372345,3191,927,379,391835,0 129,2,2024-09-07 08:47:30:691,270933,270933,0,0,11486948,0,4031 129,3,2024-09-07 08:47:30:691,1,215,1,0,469,3042,215,0 130,0,2024-09-07 08:47:31:715,55209,0.6,55081,0.7,110272,0.7,146999,1.75 130,1,2024-09-07 08:47:30:593,378045,378045,0,0,176690901335,1841233865,375677,2292,76,381,391825,0 130,2,2024-09-07 08:47:31:138,275895,275895,0,0,11254205,0,4067 130,3,2024-09-07 08:47:31:299,1,215,8,0,450,2958,215,0 131,0,2024-09-07 08:47:31:968,49817,0.5,50204,0.6,100993,0.5,133980,1.75 131,1,2024-09-07 08:47:31:821,378765,378765,0,0,177226783012,1850944942,375735,2574,456,381,391865,0 131,2,2024-09-07 08:47:30:571,275596,275596,0,0,10521185,0,2415 131,3,2024-09-07 08:47:31:687,1,215,156,0,392,2308,215,0 132,0,2024-09-07 08:47:31:420,54875,0.5,55469,0.7,110874,0.4,147429,2.00 132,1,2024-09-07 08:47:30:581,376515,376515,0,0,176039762745,1862626738,369772,5512,1231,381,392097,0 132,2,2024-09-07 08:47:30:707,268774,268774,0,0,13737633,0,4606 132,3,2024-09-07 08:47:31:687,1,215,19,0,356,3388,215,0 133,0,2024-09-07 08:47:31:517,53778,0.4,55076,0.6,112831,0.4,146632,1.75 133,1,2024-09-07 08:47:30:587,375814,375814,0,0,176564870498,1863995400,370391,4758,665,383,391914,0 133,2,2024-09-07 08:47:31:088,269405,269405,0,0,15012577,0,4315 133,3,2024-09-07 08:47:31:305,1,215,3,0,276,2184,215,0 134,0,2024-09-07 08:47:31:004,55709,0.5,55661,0.7,111912,0.5,148726,2.00 134,1,2024-09-07 08:47:30:602,376564,376564,0,0,176476459579,1859792430,370192,4905,1467,366,391718,0 134,2,2024-09-07 08:47:31:765,272066,272066,0,0,12660982,0,3847 134,3,2024-09-07 08:47:30:756,1,215,12,0,739,3479,215,0 135,0,2024-09-07 08:47:31:114,48992,1.2,49056,1.1,104021,1.3,134223,2.25 135,1,2024-09-07 08:47:31:588,375998,375998,0,0,177010101432,1866853338,370183,4842,973,380,391805,0 135,2,2024-09-07 08:47:30:686,274408,274408,0,0,13787473,0,3981 135,3,2024-09-07 08:47:31:004,1,215,2,0,299,1549,215,0 136,0,2024-09-07 08:47:31:613,53719,0.6,53565,0.7,107178,0.5,143100,2.25 136,1,2024-09-07 08:47:31:444,377014,377014,0,0,176413988653,1855647589,371991,4481,542,382,391685,0 136,2,2024-09-07 08:47:31:139,271360,271360,0,0,12394228,0,3506 136,3,2024-09-07 08:47:31:158,1,215,9,0,126,1804,215,0 137,0,2024-09-07 08:47:30:954,56036,0.7,54333,0.8,107363,0.7,145530,2.00 137,1,2024-09-07 08:47:30:580,376610,376610,0,0,176599795419,1857725217,370030,5201,1379,366,391708,0 137,2,2024-09-07 08:47:31:709,268975,268975,0,0,14486133,0,3185 137,3,2024-09-07 08:47:30:769,1,215,18,0,382,2772,215,0 138,0,2024-09-07 08:47:31:744,55191,0.9,55276,1.0,110895,1.1,147526,2.25 138,1,2024-09-07 08:47:31:692,377345,377345,0,0,177323583001,1863495260,371388,5039,918,368,391954,0 138,2,2024-09-07 08:47:30:590,271080,271080,0,0,13022717,0,4988 138,3,2024-09-07 08:47:30:615,1,215,42,0,1160,3666,215,0 139,0,2024-09-07 08:47:31:374,51992,2.4,51988,1.5,104909,3.5,139457,2.75 139,1,2024-09-07 08:47:30:590,375296,375296,0,0,176217276245,1876891431,367412,5820,2064,381,391892,0 139,2,2024-09-07 08:47:30:703,273004,273004,0,0,13562938,0,3097 139,3,2024-09-07 08:47:31:662,1,215,7,0,244,2342,215,0 140,0,2024-09-07 08:47:31:593,50986,0.4,50402,0.5,101719,0.3,135423,1.75 140,1,2024-09-07 08:47:31:541,379221,379221,0,0,178122460206,1836786285,377458,1523,240,365,391606,0 140,2,2024-09-07 08:47:30:687,274268,274268,0,0,11133433,0,3388 140,3,2024-09-07 08:47:30:770,1,215,1,0,247,1909,215,0 141,0,2024-09-07 08:47:31:699,55073,0.3,56491,0.5,107904,0.2,146246,1.75 141,1,2024-09-07 08:47:30:860,378994,378994,0,0,177410202849,1842052626,376712,1927,355,379,391614,0 141,2,2024-09-07 08:47:31:687,269078,269078,0,0,10307868,0,2342 141,3,2024-09-07 08:47:31:046,1,215,1,0,176,1771,215,0 142,0,2024-09-07 08:47:31:325,57228,0.3,56601,0.5,112676,0.2,150655,1.50 142,1,2024-09-07 08:47:30:584,378071,378071,0,0,177181931389,1848661864,375612,2216,243,382,392102,0 142,2,2024-09-07 08:47:31:301,269996,269964,32,0,12205366,0,6028 142,3,2024-09-07 08:47:31:746,1,215,12,0,484,2911,215,0 143,0,2024-09-07 08:47:31:395,54421,0.6,54372,0.6,109258,0.6,145251,1.75 143,1,2024-09-07 08:47:30:558,378607,378607,0,0,177297489163,1839802257,376276,2285,46,367,391619,0 143,2,2024-09-07 08:47:30:770,275388,275388,0,0,11565989,0,2669 143,3,2024-09-07 08:47:31:141,1,215,7,0,303,3055,215,0 144,0,2024-09-07 08:47:31:500,48292,0.9,49739,1.1,100923,1.0,132931,2.25 144,1,2024-09-07 08:47:30:578,376548,376548,0,0,176723687065,1859520786,372611,3016,921,381,391649,0 144,2,2024-09-07 08:47:31:760,274355,274355,0,0,11325000,0,3473 144,3,2024-09-07 08:47:31:739,1,215,1,0,249,2687,215,0 145,0,2024-09-07 08:47:31:365,53447,0.6,53569,0.8,113146,0.5,146733,2.25 145,1,2024-09-07 08:47:30:557,375828,375828,0,0,176447560569,1861043166,370417,4591,820,382,391698,0 145,2,2024-09-07 08:47:31:429,267406,267406,0,0,12963591,0,3903 145,3,2024-09-07 08:47:30:895,1,215,1,0,622,3902,215,0 146,0,2024-09-07 08:47:31:599,55640,0.5,55172,0.8,111138,0.5,147893,2.25 146,1,2024-09-07 08:47:31:587,377285,377285,0,0,176356226686,1861121702,369849,5627,1809,368,391770,0 146,2,2024-09-07 08:47:31:698,269798,269798,0,0,12545321,0,2730 146,3,2024-09-07 08:47:31:283,1,215,7,0,1520,5757,215,0 147,0,2024-09-07 08:47:31:697,55025,0.7,54971,0.8,109226,0.6,146912,2.25 147,1,2024-09-07 08:47:31:372,378815,378815,0,0,177241899053,1845950528,375086,3160,569,368,391791,0 147,2,2024-09-07 08:47:31:011,275175,275175,0,0,11129889,0,2789 147,3,2024-09-07 08:47:30:929,1,215,31,0,730,3393,215,0 0,0,2024-09-07 08:47:41:732,52868,0.5,52899,0.7,112177,0.5,145238,1.75 0,1,2024-09-07 08:47:40:809,379432,379432,0,0,177983376073,1865849819,376268,2962,202,372,391772,0 0,2,2024-09-07 08:47:41:073,271746,271746,0,0,11749894,0,4480 0,3,2024-09-07 08:47:40:973,1,216,1,0,247,2811,216,0 1,0,2024-09-07 08:47:41:766,55997,0.9,55520,1.0,111659,1.2,148699,2.00 1,1,2024-09-07 08:47:40:563,378838,378838,0,0,177034644510,1860148357,374416,3369,1053,371,391857,0 1,2,2024-09-07 08:47:40:645,272718,272718,0,0,10885235,0,3267 1,3,2024-09-07 08:47:41:303,1,216,9,0,262,2761,216,0 2,0,2024-09-07 08:47:41:571,51637,0.9,51987,0.9,103292,1.2,137527,2.00 2,1,2024-09-07 08:47:40:863,379791,379791,0,0,177511081477,1847775180,377691,1882,218,380,391745,0 2,2,2024-09-07 08:47:41:266,275818,275818,0,0,11706667,0,3594 2,3,2024-09-07 08:47:40:697,1,216,9,0,357,2166,216,0 3,0,2024-09-07 08:47:41:750,52489,0.5,52560,0.6,104576,0.4,139907,2.00 3,1,2024-09-07 08:47:41:619,379504,379504,0,0,177140696426,1849646056,375747,3296,461,380,391523,0 3,2,2024-09-07 08:47:41:145,272744,272721,23,0,11620196,0,5851 3,3,2024-09-07 08:47:41:754,1,216,2,0,103,1395,216,0 4,0,2024-09-07 08:47:41:841,52821,0.4,54280,0.5,110516,0.4,144741,1.75 4,1,2024-09-07 08:47:40:593,378760,378760,0,0,177450429220,1869220123,374126,3846,788,371,391846,0 4,2,2024-09-07 08:47:41:018,269622,269622,0,0,13672467,0,4528 4,3,2024-09-07 08:47:41:027,1,216,1,0,448,3534,216,0 5,0,2024-09-07 08:47:41:463,56080,0.4,56295,0.6,111960,0.4,148872,1.75 5,1,2024-09-07 08:47:40:759,378511,378511,0,0,177253916866,1869335990,373218,4209,1084,368,392005,0 5,2,2024-09-07 08:47:41:846,270432,270432,0,0,12610708,0,2432 5,3,2024-09-07 08:47:41:738,1,216,10,0,457,3713,216,0 6,0,2024-09-07 08:47:40:917,54767,0.7,54247,0.9,108350,0.8,145051,2.25 6,1,2024-09-07 08:47:40:746,379291,379291,0,0,177327681357,1859240049,374637,3810,844,379,391634,0 6,2,2024-09-07 08:47:41:116,275744,275744,0,0,12790385,0,4816 6,3,2024-09-07 08:47:41:289,1,216,12,0,340,2773,216,0 7,0,2024-09-07 08:47:41:534,49748,0.6,49823,0.7,99445,0.6,133017,2.00 7,1,2024-09-07 08:47:40:874,378452,378452,0,0,177491794051,1869219222,372567,4882,1003,382,391686,0 7,2,2024-09-07 08:47:40:770,273874,273874,0,0,12645100,0,4791 7,3,2024-09-07 08:47:40:851,1,216,1,0,305,2274,216,0 8,0,2024-09-07 08:47:41:390,55638,0.4,55788,0.5,111004,0.4,148049,1.75 8,1,2024-09-07 08:47:41:024,378102,378102,0,0,177705032302,1879492972,370285,5812,2005,367,391956,0 8,2,2024-09-07 08:47:40:796,266548,266548,0,0,14151916,0,2986 8,3,2024-09-07 08:47:40:591,1,216,16,0,357,3313,216,0 9,0,2024-09-07 08:47:41:107,56862,0.3,55148,0.5,115138,0.3,151230,1.75 9,1,2024-09-07 08:47:40:561,378604,378604,0,0,177684512726,1878053229,372380,4686,1538,370,392001,0 9,2,2024-09-07 08:47:41:089,271477,271477,0,0,12566943,0,3360 9,3,2024-09-07 08:47:41:765,1,216,2,0,496,3288,216,0 10,0,2024-09-07 08:47:41:618,55285,0.3,54805,0.5,110262,0.3,146974,1.75 10,1,2024-09-07 08:47:40:583,379014,379014,0,0,177555349904,1865637784,372985,5101,928,381,391741,0 10,2,2024-09-07 08:47:40:761,276067,276067,0,0,14170026,0,4264 10,3,2024-09-07 08:47:40:873,1,216,7,0,177,1813,216,0 11,0,2024-09-07 08:47:41:009,49856,0.5,48410,0.8,101150,0.5,134980,2.00 11,1,2024-09-07 08:47:40:571,379216,379216,0,0,176971558220,1866956204,371515,5787,1914,383,391537,0 11,2,2024-09-07 08:47:41:122,274799,274799,0,0,13568039,0,4130 11,3,2024-09-07 08:47:41:300,1,216,2,0,843,3931,216,0 12,0,2024-09-07 08:47:40:947,55766,0.3,55730,0.5,111230,0.3,147972,1.75 12,1,2024-09-07 08:47:40:934,379693,379693,0,0,177276825683,1850712401,376412,2860,421,370,391837,0 12,2,2024-09-07 08:47:41:563,270599,270599,0,0,12499657,0,3469 12,3,2024-09-07 08:47:41:059,1,216,28,0,386,4011,216,0 13,0,2024-09-07 08:47:41:345,55814,0.3,55694,0.5,111216,0.3,148336,1.50 13,1,2024-09-07 08:47:41:526,378683,378683,0,0,177524624197,1864678904,375328,2816,539,382,391717,0 13,2,2024-09-07 08:47:40:595,272392,272392,0,0,11354674,0,3287 13,3,2024-09-07 08:47:41:768,1,216,5,0,467,3732,216,0 14,0,2024-09-07 08:47:40:569,56148,0.4,56723,0.6,112093,0.3,149139,1.75 14,1,2024-09-07 08:47:41:563,381469,381469,0,0,178418026463,1849562352,378772,2508,189,364,391571,0 14,2,2024-09-07 08:47:40:764,274308,274308,0,0,11757337,0,2896 14,3,2024-09-07 08:47:41:117,1,216,13,0,1168,3316,216,0 15,0,2024-09-07 08:47:41:558,50607,0.6,51027,0.8,101804,0.7,135639,2.25 15,1,2024-09-07 08:47:41:619,380032,380032,0,0,177470703192,1846611804,377731,2157,144,381,391619,0 15,2,2024-09-07 08:47:40:998,276663,276663,0,0,10171234,0,3043 15,3,2024-09-07 08:47:41:405,1,216,1,0,1126,4767,216,0 16,0,2024-09-07 08:47:40:944,53863,0.8,54168,0.9,107330,0.8,144191,2.25 16,1,2024-09-07 08:47:40:572,379895,379895,0,0,177590948962,1860806322,376352,3247,296,370,391756,0 16,2,2024-09-07 08:47:41:465,270943,270943,0,0,12468693,0,4719 16,3,2024-09-07 08:47:41:144,1,216,1,0,317,2962,216,0 17,0,2024-09-07 08:47:41:802,56195,0.5,54827,0.7,107453,0.5,145677,2.00 17,1,2024-09-07 08:47:40:578,378312,378312,0,0,177255240179,1866669496,373488,3723,1101,368,391688,0 17,2,2024-09-07 08:47:41:675,273681,273681,0,0,11657159,0,2857 17,3,2024-09-07 08:47:40:590,1,216,1,0,268,3553,216,0 18,0,2024-09-07 08:47:40:941,55141,0.7,55287,0.9,110540,0.8,147420,2.50 18,1,2024-09-07 08:47:41:644,379713,379713,0,0,177751613156,1845839304,377217,2257,239,367,391564,0 18,2,2024-09-07 08:47:41:761,273010,273010,0,0,11439438,0,3541 18,3,2024-09-07 08:47:40:896,1,216,8,0,163,2330,216,0 19,0,2024-09-07 08:47:41:548,52365,0.9,52970,0.9,104583,0.9,138947,2.50 19,1,2024-09-07 08:47:40:569,379765,379765,0,0,178360053164,1858560846,375168,3812,785,367,391777,0 19,2,2024-09-07 08:47:41:761,277024,277024,0,0,10518662,0,3988 19,3,2024-09-07 08:47:41:129,1,216,0,0,524,1761,216,0 20,0,2024-09-07 08:47:41:452,50617,0.9,50581,0.9,101666,1.1,135943,2.50 20,1,2024-09-07 08:47:40:572,378874,378874,0,0,177816480394,1864361100,375083,3395,396,369,391886,0 20,2,2024-09-07 08:47:40:928,273760,273760,0,0,12259161,0,3721 20,3,2024-09-07 08:47:40:589,1,216,11,0,414,4348,216,0 21,0,2024-09-07 08:47:41:134,55001,0.5,55245,0.7,110257,0.5,145703,2.00 21,1,2024-09-07 08:47:41:547,378014,378014,0,0,176251624442,1862717237,372350,4407,1257,368,391962,0 21,2,2024-09-07 08:47:41:075,269737,269737,0,0,13488440,0,3747 21,3,2024-09-07 08:47:41:404,1,216,1,0,103,2482,216,0 22,0,2024-09-07 08:47:41:718,56542,0.7,56506,0.8,112685,0.6,150097,2.25 22,1,2024-09-07 08:47:41:023,378333,378333,0,0,177103312243,1870446292,371474,5240,1619,382,391667,0 22,2,2024-09-07 08:47:40:760,271326,271326,0,0,12037807,0,3134 22,3,2024-09-07 08:47:41:072,1,216,15,0,228,2191,216,0 23,0,2024-09-07 08:47:41:377,54278,0.7,54225,0.8,108949,0.8,145425,2.25 23,1,2024-09-07 08:47:41:005,379031,379031,0,0,177436676503,1870800918,371778,4703,2550,365,391550,0 23,2,2024-09-07 08:47:41:093,276186,276186,0,0,11861950,0,3010 23,3,2024-09-07 08:47:41:965,1,216,292,0,645,3068,216,0 24,0,2024-09-07 08:47:40:843,50642,0.5,50648,0.6,101420,0.4,134793,1.75 24,1,2024-09-07 08:47:40:582,378857,378857,0,0,177486985633,1860974817,374643,3185,1029,369,391987,0 24,2,2024-09-07 08:47:41:088,273937,273937,0,0,14055598,0,3607 24,3,2024-09-07 08:47:41:693,1,216,5,0,468,3460,216,0 25,0,2024-09-07 08:47:41:407,57345,0.5,56054,0.7,109822,0.5,150426,2.00 25,1,2024-09-07 08:47:40:577,379638,379638,0,0,177567832445,1861575604,375632,3459,547,371,391788,0 25,2,2024-09-07 08:47:41:620,268454,268454,0,0,13828352,0,3978 25,3,2024-09-07 08:47:41:004,1,216,2,0,255,2541,216,0 26,0,2024-09-07 08:47:41:725,55777,0.4,54484,0.6,114305,0.4,149137,1.75 26,1,2024-09-07 08:47:41:556,379399,379399,0,0,177009780866,1860376525,373098,5161,1140,381,391748,0 26,2,2024-09-07 08:47:40:868,271758,271758,0,0,13141072,0,2809 26,3,2024-09-07 08:47:41:718,1,216,13,0,796,3124,216,0 27,0,2024-09-07 08:47:41:742,55700,0.5,55524,0.6,110120,0.4,147066,2.00 27,1,2024-09-07 08:47:41:677,380663,380663,0,0,178776658179,1859722976,378048,2233,382,381,391558,0 27,2,2024-09-07 08:47:40:869,273632,273567,65,0,14322641,0,5699 27,3,2024-09-07 08:47:41:015,1,216,1,0,564,2333,216,0 28,0,2024-09-07 08:47:41:389,50229,0.6,50153,0.7,100867,0.7,134452,2.25 28,1,2024-09-07 08:47:40:797,380466,380466,0,0,178396799764,1860353359,377644,2352,470,383,391646,0 28,2,2024-09-07 08:47:41:770,276071,276071,0,0,11278176,0,2915 28,3,2024-09-07 08:47:41:781,1,216,2,0,502,2403,216,0 29,0,2024-09-07 08:47:41:354,56470,0.3,55171,0.5,107768,0.2,147586,1.75 29,1,2024-09-07 08:47:41:563,381191,381191,0,0,178368564578,1849633646,378040,2587,564,369,391621,0 29,2,2024-09-07 08:47:40:862,270270,270270,0,0,10217079,0,4018 29,3,2024-09-07 08:47:40:963,1,216,2,0,115,1669,216,0 30,0,2024-09-07 08:47:41:474,54224,0.6,52810,0.7,110530,0.6,144595,2.00 30,1,2024-09-07 08:47:40:577,381217,381217,0,0,178838394998,1855182272,379028,1896,293,382,391672,0 30,2,2024-09-07 08:47:41:284,272501,272501,0,0,10346683,0,3161 30,3,2024-09-07 08:47:40:581,1,216,5,0,195,1538,216,0 31,0,2024-09-07 08:47:41:767,55446,0.4,55773,0.6,111927,0.4,148575,2.00 31,1,2024-09-07 08:47:40:564,382127,382127,0,0,178553132036,1830974643,381032,938,157,356,391712,0 31,2,2024-09-07 08:47:41:285,272585,272585,0,0,12127047,0,3525 31,3,2024-09-07 08:47:41:714,1,216,10,0,220,1826,216,0 32,0,2024-09-07 08:47:41:422,51596,0.4,52177,0.6,104188,0.4,138169,1.75 32,1,2024-09-07 08:47:40:809,380841,380841,0,0,178364427239,1852855004,378933,1631,277,381,391614,0 32,2,2024-09-07 08:47:40:942,276182,276182,0,0,10531345,0,3155 32,3,2024-09-07 08:47:41:015,1,216,13,0,227,1700,216,0 33,0,2024-09-07 08:47:41:498,52953,0.3,52259,0.5,105345,0.2,140834,1.75 33,1,2024-09-07 08:47:40:579,381217,381217,0,0,179017617717,1853012425,378660,2383,174,369,391730,0 33,2,2024-09-07 08:47:40:759,273455,273422,33,0,12267856,0,7012 33,3,2024-09-07 08:47:40:894,1,216,2,0,329,2549,216,0 34,0,2024-09-07 08:47:40:935,54456,0.3,56112,0.5,107651,0.3,143978,1.75 34,1,2024-09-07 08:47:41:056,381899,381899,0,0,178358686828,1837036660,380825,1067,7,367,391562,0 34,2,2024-09-07 08:47:40:766,270624,270624,0,0,11223815,0,3577 34,3,2024-09-07 08:47:41:688,1,216,8,0,148,1526,216,0 35,0,2024-09-07 08:47:40:886,55507,0.4,55899,0.5,112225,0.3,149063,1.75 35,1,2024-09-07 08:47:41:073,379843,379843,0,0,178701676118,1856797369,376568,2496,779,384,391589,0 35,2,2024-09-07 08:47:41:597,272584,272584,0,0,10595108,0,2653 35,3,2024-09-07 08:47:40:908,1,216,2,0,418,2670,216,0 36,0,2024-09-07 08:47:41:516,54388,0.8,54403,0.9,108960,0.8,144635,2.50 36,1,2024-09-07 08:47:40:583,379126,379126,0,0,177634769649,1864203758,373236,4312,1578,366,391759,0 36,2,2024-09-07 08:47:41:752,275219,275219,0,0,13007777,0,3875 36,3,2024-09-07 08:47:40:865,1,216,16,0,416,3802,216,0 37,0,2024-09-07 08:47:41:376,49760,0.6,49508,0.8,99443,0.6,133856,2.00 37,1,2024-09-07 08:47:40:569,378830,378823,0,7,177526187985,1867220640,372834,3923,2066,365,391560,0 37,2,2024-09-07 08:47:41:150,273918,273903,15,0,13266690,0,5815 37,3,2024-09-07 08:47:41:765,1,216,1,0,888,4108,216,0 38,0,2024-09-07 08:47:41:438,55113,0.5,53527,0.7,112066,0.5,146280,2.00 38,1,2024-09-07 08:47:41:607,380343,380343,0,0,177931238174,1864074472,375079,4590,674,368,391821,0 38,2,2024-09-07 08:47:40:766,269188,269141,47,0,13487897,0,6710 38,3,2024-09-07 08:47:41:005,1,216,9,0,689,3447,216,0 39,0,2024-09-07 08:47:41:767,57840,0.7,56818,0.8,110301,0.7,151210,2.00 39,1,2024-09-07 08:47:40:716,379956,379956,0,0,178464817052,1867649710,374594,4465,897,365,391594,0 39,2,2024-09-07 08:47:41:419,271321,271321,0,0,11619693,0,2689 39,3,2024-09-07 08:47:40:715,1,216,2,0,324,3350,216,0 40,0,2024-09-07 08:47:41:489,54514,0.8,55017,1.0,110037,0.8,146354,2.75 40,1,2024-09-07 08:47:40:579,379833,379833,0,0,177488257297,1863894972,373596,5004,1233,368,391591,0 40,2,2024-09-07 08:47:41:307,275146,275145,1,0,14109458,0,5137 40,3,2024-09-07 08:47:41:142,1,216,1,0,333,2525,216,0 41,0,2024-09-07 08:47:41:022,49497,1.9,50730,1.5,96799,3.1,132753,4.25 41,1,2024-09-07 08:47:40:768,379240,379240,0,0,177932174681,1863881699,374000,4608,632,370,391742,0 41,2,2024-09-07 08:47:40:759,273636,273636,0,0,13857115,0,3356 41,3,2024-09-07 08:47:41:684,1,216,1,0,366,2537,216,0 42,0,2024-09-07 08:47:41:481,54898,0.6,55127,0.8,110092,0.6,145907,2.25 42,1,2024-09-07 08:47:41:675,378553,378553,0,0,178168564328,1872606773,372848,4631,1074,380,391675,0 42,2,2024-09-07 08:47:41:134,269539,269539,0,0,12730952,0,3568 42,3,2024-09-07 08:47:41:009,1,216,17,0,446,1957,216,0 43,0,2024-09-07 08:47:40:927,55205,0.7,53744,0.9,112242,0.8,147940,2.25 43,1,2024-09-07 08:47:40:578,379662,379662,0,0,178175835319,1861523158,374919,3758,985,366,391696,0 43,2,2024-09-07 08:47:41:736,272002,272002,0,0,12134353,0,3812 43,3,2024-09-07 08:47:41:761,1,216,1,0,467,2773,216,0 44,0,2024-09-07 08:47:40:862,55933,0.4,56257,0.7,112232,0.4,149649,1.75 44,1,2024-09-07 08:47:40:563,381205,381205,0,0,177842229098,1838354779,378800,1975,430,356,391809,0 44,2,2024-09-07 08:47:41:284,273790,273790,0,0,10362948,0,2231 44,3,2024-09-07 08:47:41:096,1,216,1,0,817,2553,216,0 45,0,2024-09-07 08:47:41:758,50249,0.8,49218,0.9,103148,0.8,136444,2.00 45,1,2024-09-07 08:47:41:004,380066,380066,0,0,178452149437,1850022513,378703,1351,12,382,391917,0 45,2,2024-09-07 08:47:41:272,276460,276460,0,0,11361969,0,3596 45,3,2024-09-07 08:47:40:943,1,216,3,0,271,2541,216,0 46,0,2024-09-07 08:47:40:951,53798,0.4,53662,0.6,107595,0.3,143482,2.00 46,1,2024-09-07 08:47:40:576,381436,381436,0,0,178633128418,1846104319,379225,1938,273,366,391524,0 46,2,2024-09-07 08:47:40:593,272015,272015,0,0,10625992,0,2920 46,3,2024-09-07 08:47:41:131,1,216,7,0,908,3402,216,0 47,0,2024-09-07 08:47:41:107,54902,0.4,54825,0.6,110189,0.4,145637,1.75 47,1,2024-09-07 08:47:40:569,381385,381385,0,0,178776670314,1846354709,379402,1898,85,366,391605,0 47,2,2024-09-07 08:47:40:909,273316,273316,0,0,10668165,0,2558 47,3,2024-09-07 08:47:41:117,1,216,9,0,529,2205,216,0 48,0,2024-09-07 08:47:41:496,56104,0.3,55820,0.4,111118,0.2,148332,1.50 48,1,2024-09-07 08:47:41:022,381103,381103,0,0,178354417480,1855875498,378145,2659,299,384,391710,0 48,2,2024-09-07 08:47:40:704,272981,272981,0,0,10606907,0,3031 48,3,2024-09-07 08:47:40:752,1,216,0,0,339,1979,216,0 49,0,2024-09-07 08:47:41:710,54371,0.4,53305,0.6,103445,0.4,141006,1.75 49,1,2024-09-07 08:47:41:021,378682,378682,0,0,177183395458,1850788333,374895,2606,1181,382,391610,0 49,2,2024-09-07 08:47:41:798,276653,276653,0,0,11158023,0,3900 49,3,2024-09-07 08:47:41:416,1,216,1,0,408,2621,216,0 50,0,2024-09-07 08:47:41:513,51038,0.3,50462,0.5,101536,0.2,135853,1.75 50,1,2024-09-07 08:47:41:025,381607,381607,0,0,178166931774,1846491666,378902,2395,310,368,391530,0 50,2,2024-09-07 08:47:41:073,274607,274607,0,0,10417600,0,2263 50,3,2024-09-07 08:47:41:291,1,216,12,0,335,1919,216,0 51,0,2024-09-07 08:47:41:700,56195,0.3,55045,0.5,107300,0.2,146033,1.75 51,1,2024-09-07 08:47:41:683,380958,380958,0,0,178963175905,1850940533,378222,1797,939,365,391706,0 51,2,2024-09-07 08:47:41:317,270400,270400,0,0,9401980,0,3337 51,3,2024-09-07 08:47:41:028,1,216,2,0,678,1925,216,0 52,0,2024-09-07 08:47:41:419,57224,0.5,56867,0.7,113516,0.4,150749,2.00 52,1,2024-09-07 08:47:40:578,379089,379089,0,0,176873760937,1861089193,372262,5624,1203,368,391722,0 52,2,2024-09-07 08:47:41:755,269907,269869,38,0,13564228,0,6742 52,3,2024-09-07 08:47:40:685,1,216,2,0,1782,4140,216,0 53,0,2024-09-07 08:47:41:732,54161,0.8,52647,0.9,110228,1.0,144628,2.50 53,1,2024-09-07 08:47:40:774,378310,378310,0,0,177819256757,1875428662,371007,4947,2356,367,391702,0 53,2,2024-09-07 08:47:41:298,276238,276238,0,0,11624184,0,2727 53,3,2024-09-07 08:47:40:697,1,216,50,0,271,2141,216,0 54,0,2024-09-07 08:47:41:668,49209,1.4,49622,1.1,98238,0.9,131954,3.25 54,1,2024-09-07 08:47:40:580,379564,379564,0,0,178536992669,1863054444,374843,4125,596,367,391659,0 54,2,2024-09-07 08:47:40:865,274786,274780,6,0,12956939,0,5382 54,3,2024-09-07 08:47:40:763,1,216,8,0,676,3566,216,0 55,0,2024-09-07 08:47:41:763,53937,0.7,55964,0.8,112170,0.6,147018,2.50 55,1,2024-09-07 08:47:40:764,378888,378888,0,0,177188801028,1851400108,373359,4742,787,365,391731,0 55,2,2024-09-07 08:47:40:743,268599,268599,0,0,12621977,0,3275 55,3,2024-09-07 08:47:40:682,1,216,4,0,304,2696,216,0 56,0,2024-09-07 08:47:41:619,57206,1.2,54001,1.1,111101,1.6,149014,2.50 56,1,2024-09-07 08:47:40:578,378282,378282,0,0,177581744429,1878803981,372129,5163,990,381,391678,0 56,2,2024-09-07 08:47:41:303,271804,271804,0,0,13387110,0,3567 56,3,2024-09-07 08:47:41:059,1,216,0,0,705,3412,216,0 57,0,2024-09-07 08:47:40:941,54473,1.8,54639,1.3,109141,2.5,146094,3.25 57,1,2024-09-07 08:47:40:987,379526,379526,0,0,177245718812,1859398229,374966,4015,545,367,391960,0 57,2,2024-09-07 08:47:41:319,275665,275665,0,0,14418136,0,3317 57,3,2024-09-07 08:47:41:738,1,216,2,0,359,3172,216,0 58,0,2024-09-07 08:47:40:565,49025,0.8,47748,1.0,99988,0.9,130932,2.50 58,1,2024-09-07 08:47:40:577,379567,379564,0,3,178303301367,1865581092,374296,4573,695,367,391545,3 58,2,2024-09-07 08:47:41:074,275787,275787,0,0,13000060,0,2549 58,3,2024-09-07 08:47:41:072,1,216,11,0,1043,2699,216,0 59,0,2024-09-07 08:47:41:773,54928,0.7,54828,0.9,109582,0.7,146274,2.75 59,1,2024-09-07 08:47:40:809,379210,379210,0,0,177917535861,1864956052,373937,4103,1170,369,391525,0 59,2,2024-09-07 08:47:40:583,271596,271596,0,0,11521028,0,2604 59,3,2024-09-07 08:47:41:756,1,216,10,0,1015,3335,216,0 60,0,2024-09-07 08:47:41:752,54621,0.5,54393,0.6,109402,0.4,145362,1.75 60,1,2024-09-07 08:47:40:774,381278,381278,0,0,178813000922,1856153612,378907,1854,517,370,392031,0 60,2,2024-09-07 08:47:41:145,272010,272010,0,0,12306861,0,3811 60,3,2024-09-07 08:47:41:265,1,216,4,0,124,2054,216,0 61,0,2024-09-07 08:47:41:528,55858,0.8,56083,0.9,111654,0.9,148726,2.00 61,1,2024-09-07 08:47:40:777,379589,379589,0,0,178033085050,1866261247,375136,3753,700,382,391880,0 61,2,2024-09-07 08:47:41:115,273957,273957,0,0,11164794,0,2090 61,3,2024-09-07 08:47:41:687,1,216,242,0,397,3215,216,0 62,0,2024-09-07 08:47:41:708,52228,0.9,53246,0.9,101434,1.2,138033,2.25 62,1,2024-09-07 08:47:41:113,382347,382341,0,6,178908793076,1847430871,379968,2257,116,365,391715,6 62,2,2024-09-07 08:47:41:646,274803,274802,1,0,12673021,0,5555 62,3,2024-09-07 08:47:41:144,1,216,21,0,287,1580,216,0 63,0,2024-09-07 08:47:41:459,52608,0.5,52654,0.6,105093,0.4,140491,1.75 63,1,2024-09-07 08:47:40:807,381652,381646,0,6,178393145231,1849267362,380107,1489,50,381,391677,6 63,2,2024-09-07 08:47:40:776,273097,273097,0,0,10302743,0,2674 63,3,2024-09-07 08:47:41:732,1,216,0,0,667,2754,216,0 64,0,2024-09-07 08:47:41:539,53917,0.5,54023,0.7,108323,0.4,143883,1.75 64,1,2024-09-07 08:47:40:754,380053,380053,0,0,178148005401,1863067017,375599,3040,1414,370,391783,0 64,2,2024-09-07 08:47:41:145,273141,273122,19,0,11495818,0,6121 64,3,2024-09-07 08:47:41:142,1,216,1,0,265,2399,216,0 65,0,2024-09-07 08:47:41:700,55415,0.6,55856,0.8,111475,0.7,148063,2.25 65,1,2024-09-07 08:47:40:863,379027,379027,0,0,177345764643,1859603132,375529,3055,443,382,391901,0 65,2,2024-09-07 08:47:41:701,272008,272008,0,0,12177795,0,3367 65,3,2024-09-07 08:47:41:688,1,216,1,0,163,2190,216,0 66,0,2024-09-07 08:47:41:780,53940,0.6,54020,0.8,108497,0.5,143910,2.25 66,1,2024-09-07 08:47:41:311,380790,380790,0,0,178560415966,1856598281,378405,2204,181,380,391588,0 66,2,2024-09-07 08:47:41:133,278183,278183,0,0,11877642,0,4956 66,3,2024-09-07 08:47:41:078,1,216,8,0,291,2465,216,0 67,0,2024-09-07 08:47:41:431,50254,0.8,49840,0.9,100379,1.0,133884,2.25 67,1,2024-09-07 08:47:40:767,380046,380045,0,1,177995081487,1860510205,376271,3027,747,380,391787,1 67,2,2024-09-07 08:47:40:583,275959,275959,0,0,10891552,0,2889 67,3,2024-09-07 08:47:41:751,1,216,1,0,338,1904,216,0 68,0,2024-09-07 08:47:40:588,55678,0.7,55607,0.8,110587,0.7,147883,2.00 68,1,2024-09-07 08:47:40:573,379001,379001,0,0,176813176545,1857680990,375139,2725,1137,381,391953,0 68,2,2024-09-07 08:47:41:044,268762,268697,65,0,14480152,0,6698 68,3,2024-09-07 08:47:40:727,1,216,15,0,417,2599,216,0 69,0,2024-09-07 08:47:41:728,56389,0.8,56634,0.9,112824,0.9,150468,2.25 69,1,2024-09-07 08:47:41:016,377852,377852,0,0,177492032827,1877671877,372440,4012,1400,384,391994,0 69,2,2024-09-07 08:47:41:735,271542,271542,0,0,14016520,0,3722 69,3,2024-09-07 08:47:40:760,1,216,0,0,698,3490,216,0 70,0,2024-09-07 08:47:41:543,54682,1.2,54595,1.2,109682,0.9,146033,2.50 70,1,2024-09-07 08:47:40:801,379844,379844,0,0,178569792244,1859164986,376189,3127,528,366,391725,0 70,2,2024-09-07 08:47:41:326,275399,275399,0,0,13387740,0,4044 70,3,2024-09-07 08:47:40:745,1,216,18,0,854,2705,216,0 71,0,2024-09-07 08:47:41:374,49618,1.7,49589,1.4,99088,2.4,133786,3.25 71,1,2024-09-07 08:47:41:607,379344,379344,0,0,177961612588,1862358677,374227,4496,621,368,391738,0 71,2,2024-09-07 08:47:41:067,275491,275491,0,0,13449222,0,4042 71,3,2024-09-07 08:47:41:751,1,216,1,0,644,3361,216,0 72,0,2024-09-07 08:47:41:023,57126,0.6,55924,0.7,109038,0.5,148332,2.25 72,1,2024-09-07 08:47:41:022,380085,380085,0,0,177445440074,1854400282,375791,3543,751,369,391819,0 72,2,2024-09-07 08:47:41:755,268696,268696,0,0,13923589,0,3983 72,3,2024-09-07 08:47:41:764,1,216,2,0,364,3676,216,0 73,0,2024-09-07 08:47:41:114,54163,0.4,55389,0.6,113608,0.4,147741,2.00 73,1,2024-09-07 08:47:40:770,380123,380123,0,0,177581919853,1848462550,377148,2743,232,367,391750,0 73,2,2024-09-07 08:47:41:743,272537,272537,0,0,13324121,0,3482 73,3,2024-09-07 08:47:40:970,1,216,9,0,274,3334,216,0 74,0,2024-09-07 08:47:41:325,56591,0.5,57707,0.7,110019,0.5,149164,2.25 74,1,2024-09-07 08:47:40:635,379760,379760,0,0,177224812572,1853085335,375807,3162,791,381,391681,0 74,2,2024-09-07 08:47:41:003,274291,274291,0,0,13427897,0,4253 74,3,2024-09-07 08:47:41:442,1,216,8,0,522,3195,216,0 75,0,2024-09-07 08:47:41:773,51041,0.8,50796,1.0,101931,0.7,136639,2.50 75,1,2024-09-07 08:47:41:586,378764,378764,0,0,177786494062,1862087840,374160,3941,663,380,391597,0 75,2,2024-09-07 08:47:41:349,275533,275533,0,0,13996723,0,4766 75,3,2024-09-07 08:47:41:072,1,216,1,0,702,3065,216,0 76,0,2024-09-07 08:47:40:628,53527,0.6,53105,0.8,106123,0.6,142670,2.50 76,1,2024-09-07 08:47:40:807,380342,380342,0,0,177959008817,1856327657,377797,1997,548,382,391692,0 76,2,2024-09-07 08:47:41:065,272604,272604,0,0,11229284,0,3784 76,3,2024-09-07 08:47:41:147,1,216,13,0,175,2391,216,0 77,0,2024-09-07 08:47:41:724,54489,0.6,54803,0.8,109401,0.6,144855,2.00 77,1,2024-09-07 08:47:40:825,380352,380352,0,0,177511077319,1854255793,377548,2571,233,383,391808,0 77,2,2024-09-07 08:47:41:284,271137,271137,0,0,11399180,0,3890 77,3,2024-09-07 08:47:41:096,1,216,1,0,305,2318,216,0 78,0,2024-09-07 08:47:41:723,55847,0.4,55308,0.7,111710,0.4,147551,2.00 78,1,2024-09-07 08:47:40:610,379964,379964,0,0,177246754499,1842606241,377018,2631,315,367,391670,0 78,2,2024-09-07 08:47:41:405,273084,273084,0,0,10696978,0,3855 78,3,2024-09-07 08:47:41:133,1,216,11,0,181,2129,216,0 79,0,2024-09-07 08:47:41:350,51260,0.5,52505,0.7,107362,0.4,138968,2.50 79,1,2024-09-07 08:47:40:570,381319,381319,0,0,178194271607,1846321732,378489,2494,336,369,391682,0 79,2,2024-09-07 08:47:41:072,277000,277000,0,0,10662656,0,3212 79,3,2024-09-07 08:47:40:749,1,216,1,0,418,3260,216,0 80,0,2024-09-07 08:47:41:082,50679,0.6,52185,0.8,99906,0.7,135540,2.25 80,1,2024-09-07 08:47:41:629,379325,379325,0,0,177759659266,1853830766,376368,2790,167,368,391791,0 80,2,2024-09-07 08:47:41:091,274882,274882,0,0,11325304,0,4433 80,3,2024-09-07 08:47:40:576,1,216,0,0,190,3179,216,0 81,0,2024-09-07 08:47:41:544,54795,0.5,56103,0.7,107692,0.5,145165,2.00 81,1,2024-09-07 08:47:41:656,379319,379319,0,0,177245003116,1856321443,375742,3131,446,382,391879,0 81,2,2024-09-07 08:47:41:124,269974,269974,0,0,11459814,0,3993 81,3,2024-09-07 08:47:41:117,1,216,9,0,374,2620,216,0 82,0,2024-09-07 08:47:41:545,56257,0.4,56730,0.7,113600,0.4,150888,2.00 82,1,2024-09-07 08:47:40:613,380080,380076,0,4,177935957429,1857744254,376859,2606,611,381,391558,4 82,2,2024-09-07 08:47:41:691,272181,272181,0,0,11024460,0,3986 82,3,2024-09-07 08:47:41:751,1,216,12,0,363,2663,216,0 83,0,2024-09-07 08:47:41:534,54681,0.6,54732,0.7,108641,0.6,145081,2.00 83,1,2024-09-07 08:47:40:550,379498,379498,0,0,178032018877,1859825337,376359,2896,243,382,391690,0 83,2,2024-09-07 08:47:40:769,275739,275739,0,0,10972964,0,3119 83,3,2024-09-07 08:47:40:748,1,216,3,0,250,2371,216,0 84,0,2024-09-07 08:47:41:809,49704,1.0,49699,1.1,99501,0.9,133307,2.75 84,1,2024-09-07 08:47:41:041,378663,378663,0,0,177549239105,1861776379,374007,4082,574,368,391967,0 84,2,2024-09-07 08:47:40:571,275197,275197,0,0,13081983,0,3801 84,3,2024-09-07 08:47:41:146,1,216,14,0,908,3766,216,0 85,0,2024-09-07 08:47:41:028,53986,0.7,53952,0.9,114474,0.8,148304,2.50 85,1,2024-09-07 08:47:40:576,378027,378027,0,0,177080152628,1877410224,371299,5623,1105,382,392006,0 85,2,2024-09-07 08:47:40:865,270529,270529,0,0,13432605,0,3656 85,3,2024-09-07 08:47:40:685,1,216,0,0,789,2948,216,0 86,0,2024-09-07 08:47:40:893,56004,0.6,57472,0.8,110268,0.6,148858,2.00 86,1,2024-09-07 08:47:40:830,379454,379454,0,0,177965036592,1866632856,374492,4241,721,366,391961,0 86,2,2024-09-07 08:47:40:872,270693,270692,1,0,14311433,0,5004 86,3,2024-09-07 08:47:40:588,1,216,8,0,286,2919,216,0 87,0,2024-09-07 08:47:41:346,55212,1.1,54866,1.0,110371,1.6,147546,2.25 87,1,2024-09-07 08:47:40:551,379537,379537,0,0,177370959916,1860786634,375088,3969,480,366,391788,0 87,2,2024-09-07 08:47:41:081,274307,274307,0,0,12912218,0,4045 87,3,2024-09-07 08:47:41:797,1,216,1,0,473,3665,216,0 88,0,2024-09-07 08:47:41:479,50228,0.6,50478,0.7,100775,0.6,133995,1.75 88,1,2024-09-07 08:47:40:571,378210,378210,0,0,177073067532,1862280836,372387,4353,1470,365,392084,0 88,2,2024-09-07 08:47:40:689,275390,275390,0,0,14597042,0,3583 88,3,2024-09-07 08:47:41:269,1,216,105,0,435,2882,216,0 89,0,2024-09-07 08:47:41:776,56601,0.4,54755,0.6,108701,0.4,147619,1.75 89,1,2024-09-07 08:47:40:566,377299,377299,0,0,177640045241,1877149666,371200,5154,945,382,391866,0 89,2,2024-09-07 08:47:41:134,269964,269964,0,0,13374357,0,2910 89,3,2024-09-07 08:47:41:794,1,216,13,0,325,4356,216,0 90,0,2024-09-07 08:47:41:654,53020,0.5,54119,0.6,111175,0.4,144445,1.75 90,1,2024-09-07 08:47:40:611,379831,379831,0,0,178042424256,1865857709,376437,3132,262,381,391825,0 90,2,2024-09-07 08:47:41:419,270390,270390,0,0,14516152,0,3060 90,3,2024-09-07 08:47:40:939,1,216,8,0,200,2398,216,0 91,0,2024-09-07 08:47:41:046,56210,0.4,54367,0.6,113438,0.4,148862,1.75 91,1,2024-09-07 08:47:40:558,379104,379104,0,0,177928079887,1872116508,374165,4292,647,381,392047,0 91,2,2024-09-07 08:47:41:333,272912,272912,0,0,13164952,0,2445 91,3,2024-09-07 08:47:40:600,1,216,63,0,216,2223,216,0 92,0,2024-09-07 08:47:41:449,52237,0.6,53663,0.7,102531,0.7,138310,1.75 92,1,2024-09-07 08:47:40:580,379551,379551,0,0,178099014366,1858360377,377229,1916,406,382,392136,0 92,2,2024-09-07 08:47:41:359,277492,277492,0,0,11254492,0,2801 92,3,2024-09-07 08:47:41:009,1,216,29,0,167,1790,216,0 93,0,2024-09-07 08:47:40:971,52875,0.4,54115,0.6,103253,0.4,140955,1.75 93,1,2024-09-07 08:47:41:036,380332,380332,0,0,177766228668,1855748379,376597,3107,628,366,391692,0 93,2,2024-09-07 08:47:40:935,273766,273766,0,0,11486530,0,2509 93,3,2024-09-07 08:47:41:406,1,216,44,0,190,2379,216,0 94,0,2024-09-07 08:47:41:612,54287,0.4,54986,0.6,109278,0.3,144752,1.75 94,1,2024-09-07 08:47:40:578,379647,379647,0,0,178201557367,1863215719,376713,2801,133,381,391850,0 94,2,2024-09-07 08:47:40:772,270354,270354,0,0,10539717,0,2443 94,3,2024-09-07 08:47:41:703,1,216,22,0,264,3053,216,0 95,0,2024-09-07 08:47:41:399,56256,0.3,55843,0.5,112854,0.3,148998,1.75 95,1,2024-09-07 08:47:40:851,380140,380140,0,0,178182453002,1855213250,376818,2971,351,367,391662,0 95,2,2024-09-07 08:47:41:020,271489,271489,0,0,11379067,0,3308 95,3,2024-09-07 08:47:41:709,1,216,1,0,718,4295,216,0 96,0,2024-09-07 08:47:41:040,54559,0.5,54771,0.6,109284,0.4,144547,1.75 96,1,2024-09-07 08:47:41:587,379095,379095,0,0,177505013002,1858316254,375539,2827,729,385,391894,0 96,2,2024-09-07 08:47:41:272,275928,275928,0,0,12205211,0,4042 96,3,2024-09-07 08:47:41:145,1,216,4,0,411,2594,216,0 97,0,2024-09-07 08:47:41:335,50251,0.4,49986,0.5,100409,0.4,134034,1.75 97,1,2024-09-07 08:47:40:762,380399,380399,0,0,178070330809,1850206741,377427,2419,553,367,392140,0 97,2,2024-09-07 08:47:40:611,274930,274930,0,0,11319942,0,3036 97,3,2024-09-07 08:47:40:568,1,216,0,0,165,2567,216,0 98,0,2024-09-07 08:47:41:695,55596,0.3,55688,0.5,111817,0.3,148108,1.50 98,1,2024-09-07 08:47:40:579,380170,380170,0,0,178306713941,1858388658,378055,2020,95,382,391997,0 98,2,2024-09-07 08:47:40:774,270586,270586,0,0,11154761,0,3080 98,3,2024-09-07 08:47:40:706,1,216,15,0,840,3799,216,0 99,0,2024-09-07 08:47:41:459,56769,0.3,56816,0.4,113477,0.3,151566,1.75 99,1,2024-09-07 08:47:41:726,380403,380403,0,0,177122297554,1851442741,376634,3030,739,381,391744,0 99,2,2024-09-07 08:47:41:446,273977,273977,0,0,11891840,0,3106 99,3,2024-09-07 08:47:40:581,1,216,2,0,129,1645,216,0 100,0,2024-09-07 08:47:41:467,54962,0.8,55002,1.1,109697,1.1,146552,2.25 100,1,2024-09-07 08:47:40:549,377465,377465,0,0,176334091964,1872894549,370637,5511,1317,381,391989,0 100,2,2024-09-07 08:47:41:831,274068,274057,11,0,13747322,0,5417 100,3,2024-09-07 08:47:41:739,1,216,2,0,559,4700,216,0 101,0,2024-09-07 08:47:41:760,51009,2.4,49873,1.4,97576,2.9,134610,3.00 101,1,2024-09-07 08:47:40:553,377919,377919,0,0,177037063221,1873912974,370610,5539,1770,368,391769,0 101,2,2024-09-07 08:47:41:761,274589,274589,0,0,14763027,0,4644 101,3,2024-09-07 08:47:40:943,1,216,1,0,448,2971,216,0 102,0,2024-09-07 08:47:40:952,54075,0.7,55952,0.8,112476,0.6,147653,2.00 102,1,2024-09-07 08:47:41:148,378426,378426,0,0,177101529767,1867807622,372791,4797,838,369,391883,0 102,2,2024-09-07 08:47:41:747,270444,270390,54,0,13256744,0,6768 102,3,2024-09-07 08:47:41:617,1,216,1,0,410,2378,216,0 103,0,2024-09-07 08:47:41:621,57136,0.5,57197,0.7,107874,0.4,148603,2.00 103,1,2024-09-07 08:47:41:641,377822,377822,0,0,176480835947,1866646503,371587,4855,1380,381,391829,0 103,2,2024-09-07 08:47:40:602,270464,270464,0,0,12657093,0,2582 103,3,2024-09-07 08:47:40:769,1,216,1,0,916,3462,216,0 104,0,2024-09-07 08:47:41:033,55551,0.9,55941,1.0,110594,0.9,149281,2.25 104,1,2024-09-07 08:47:41:616,379300,379300,0,0,177268292843,1868416024,373164,5121,1015,365,391948,0 104,2,2024-09-07 08:47:41:670,273357,273357,0,0,13682291,0,3941 104,3,2024-09-07 08:47:41:420,1,216,97,0,1245,6066,216,0 105,0,2024-09-07 08:47:41:034,50460,1.1,48974,1.3,102536,1.6,135641,3.25 105,1,2024-09-07 08:47:40:554,379625,379625,0,0,177721248966,1866879283,374301,4511,813,367,391797,0 105,2,2024-09-07 08:47:41:322,275651,275651,0,0,13324022,0,3509 105,3,2024-09-07 08:47:41:304,1,216,3,0,399,3887,216,0 106,0,2024-09-07 08:47:41:005,51901,0.8,53205,0.9,108766,0.8,142702,2.50 106,1,2024-09-07 08:47:41:750,379075,379075,0,0,176948189942,1861737183,372979,5553,543,369,391767,0 106,2,2024-09-07 08:47:40:767,269814,269814,0,0,12714657,0,2795 106,3,2024-09-07 08:47:40:733,1,216,8,0,470,3313,216,0 107,0,2024-09-07 08:47:41:109,54568,0.9,54526,0.9,108575,1.0,144703,2.00 107,1,2024-09-07 08:47:40:588,378193,378193,0,0,177307133135,1867167360,373616,4096,481,381,392234,0 107,2,2024-09-07 08:47:41:291,270697,270696,1,0,13010501,0,5024 107,3,2024-09-07 08:47:41:765,1,216,13,0,353,3268,216,0 108,0,2024-09-07 08:47:41:832,55852,0.4,56096,0.6,111146,0.4,148203,1.75 108,1,2024-09-07 08:47:41:294,379891,379891,0,0,178074908934,1856542083,377110,2467,314,368,391857,0 108,2,2024-09-07 08:47:41:760,271605,271605,0,0,12184220,0,2647 108,3,2024-09-07 08:47:41:344,1,216,43,0,749,4722,216,0 109,0,2024-09-07 08:47:41:811,53187,0.4,52637,0.6,105704,0.4,140940,1.75 109,1,2024-09-07 08:47:40:585,378998,378998,0,0,177356478103,1861587941,375696,2799,503,383,392132,0 109,2,2024-09-07 08:47:40:930,275325,275325,0,0,12346110,0,3617 109,3,2024-09-07 08:47:41:146,1,216,1,0,249,2788,216,0 110,0,2024-09-07 08:47:41:750,50978,0.4,49634,0.6,103720,0.4,136244,1.75 110,1,2024-09-07 08:47:41:645,380132,380132,0,0,178711847147,1856896561,377357,2006,769,370,392045,0 110,2,2024-09-07 08:47:41:313,274524,274524,0,0,11642076,0,3264 110,3,2024-09-07 08:47:40:697,1,216,8,0,406,2969,216,0 111,0,2024-09-07 08:47:41:413,55350,0.4,54848,0.5,109564,0.3,146512,1.75 111,1,2024-09-07 08:47:40:999,380957,380957,0,0,178620330253,1852610406,379123,1500,334,382,391690,0 111,2,2024-09-07 08:47:41:115,270210,270210,0,0,11441300,0,2763 111,3,2024-09-07 08:47:40:913,1,216,1,0,379,3145,216,0 112,0,2024-09-07 08:47:40:922,56824,0.3,56843,0.4,113606,0.2,150962,1.50 112,1,2024-09-07 08:47:40:830,380798,380798,0,0,177513367034,1844776634,378384,1986,428,380,391580,0 112,2,2024-09-07 08:47:41:133,271515,271514,1,0,11764284,0,5036 112,3,2024-09-07 08:47:40:592,1,216,1,0,282,2438,216,0 113,0,2024-09-07 08:47:40:871,54502,0.3,54389,0.5,109379,0.3,146289,1.75 113,1,2024-09-07 08:47:41:699,381275,381275,0,0,178955182726,1849840024,378788,1998,489,366,391661,0 113,2,2024-09-07 08:47:41:303,277096,277096,0,0,10919262,0,3813 113,3,2024-09-07 08:47:40:686,1,216,1,0,340,3511,216,0 114,0,2024-09-07 08:47:40:881,50595,0.5,51095,0.6,100984,0.4,135281,1.75 114,1,2024-09-07 08:47:40:716,379838,379838,0,0,178702332904,1866928992,375035,3215,1588,381,391556,0 114,2,2024-09-07 08:47:40:878,275308,275307,1,0,12035581,0,5069 114,3,2024-09-07 08:47:41:278,1,216,2,0,395,2509,216,0 115,0,2024-09-07 08:47:40:562,56054,0.3,56387,0.5,112764,0.2,150468,1.75 115,1,2024-09-07 08:47:40:578,379781,379781,0,0,178658005725,1862850135,375441,3507,833,382,391602,0 115,2,2024-09-07 08:47:41:124,270691,270691,0,0,10079645,0,2152 115,3,2024-09-07 08:47:41:002,1,216,0,0,159,1302,216,0 116,0,2024-09-07 08:47:41:777,55991,0.7,55641,0.9,111644,0.7,149624,2.00 116,1,2024-09-07 08:47:40:803,377596,377596,0,0,177135260317,1881759111,371292,4252,2052,380,391782,0 116,2,2024-09-07 08:47:41:766,270678,270678,0,0,14695508,0,3529 116,3,2024-09-07 08:47:40:913,1,216,124,0,415,3422,216,0 117,0,2024-09-07 08:47:40:958,55261,0.9,55198,1.0,110282,1.1,147711,2.00 117,1,2024-09-07 08:47:41:579,378955,378955,0,0,176865652392,1856071671,374431,4046,478,370,392033,0 117,2,2024-09-07 08:47:41:121,276606,276606,0,0,12373068,0,3700 117,3,2024-09-07 08:47:41:059,1,216,0,0,490,3837,216,0 118,0,2024-09-07 08:47:41:798,48809,0.8,50148,0.9,102075,0.9,133620,2.25 118,1,2024-09-07 08:47:40:596,378819,378819,0,0,176998863271,1866900455,372781,4611,1427,366,391736,0 118,2,2024-09-07 08:47:41:590,274844,274844,0,0,13161040,0,2781 118,3,2024-09-07 08:47:41:784,1,216,8,0,235,2616,216,0 119,0,2024-09-07 08:47:41:441,54496,0.8,54931,0.9,110132,0.8,146414,2.25 119,1,2024-09-07 08:47:40:554,379468,379468,0,0,178446550254,1869553260,374831,4115,522,368,391641,0 119,2,2024-09-07 08:47:41:270,270367,270367,0,0,12285241,0,4174 119,3,2024-09-07 08:47:41:330,1,216,1,0,443,3358,216,0 120,0,2024-09-07 08:47:41:559,54169,0.5,54193,0.8,108695,0.5,144951,2.00 120,1,2024-09-07 08:47:40:863,378993,378993,0,0,177503190700,1865474234,374671,3976,346,368,391961,0 120,2,2024-09-07 08:47:40:774,270958,270957,1,0,14353268,0,5281 120,3,2024-09-07 08:47:41:298,1,216,35,0,241,3054,216,0 121,0,2024-09-07 08:47:41:726,55631,1.1,55970,1.0,111694,1.5,148519,2.25 121,1,2024-09-07 08:47:41:655,379607,379607,0,0,177462275165,1859041208,375634,3566,407,367,391840,0 121,2,2024-09-07 08:47:41:124,271763,271763,0,0,13967962,0,4127 121,3,2024-09-07 08:47:40:728,1,216,1,0,269,2606,216,0 122,0,2024-09-07 08:47:41:842,51624,1.0,50292,1.0,105388,1.1,138243,2.25 122,1,2024-09-07 08:47:40:863,378306,378306,0,0,177498339158,1867408295,372651,4911,744,366,392130,0 122,2,2024-09-07 08:47:41:345,275275,275202,73,0,16540625,0,5989 122,3,2024-09-07 08:47:40:596,1,216,12,0,411,4712,216,0 123,0,2024-09-07 08:47:40:952,52420,0.7,51171,0.8,106595,0.8,140390,2.00 123,1,2024-09-07 08:47:40:561,378903,378903,0,0,177415840501,1876390845,370873,6469,1561,369,391823,0 123,2,2024-09-07 08:47:41:020,271221,271220,1,0,13289692,0,5215 123,3,2024-09-07 08:47:41:145,1,216,12,0,168,2722,216,0 124,0,2024-09-07 08:47:40:950,56306,0.3,56293,0.5,106179,0.3,145306,1.75 124,1,2024-09-07 08:47:41:022,380812,380812,0,0,177389651625,1845198348,378145,2305,362,367,392178,0 124,2,2024-09-07 08:47:41:014,271002,271002,0,0,10770008,0,3101 124,3,2024-09-07 08:47:40:770,1,216,1,0,490,2626,216,0 125,0,2024-09-07 08:47:41:444,56135,0.4,56008,0.5,112412,0.3,149041,1.75 125,1,2024-09-07 08:47:40:856,379558,379558,0,0,178384677934,1861377379,376999,2281,278,383,391702,0 125,2,2024-09-07 08:47:41:134,272695,272695,0,0,11154370,0,2667 125,3,2024-09-07 08:47:41:133,1,216,709,0,709,3387,216,0 126,0,2024-09-07 08:47:41:440,54701,0.6,56091,0.7,107250,0.6,145319,1.75 126,1,2024-09-07 08:47:40:551,380677,380677,0,0,178509141928,1853160526,378009,2407,261,365,391987,0 126,2,2024-09-07 08:47:40:621,277637,277637,0,0,11814888,0,3186 126,3,2024-09-07 08:47:40:907,1,216,8,0,183,3089,216,0 127,0,2024-09-07 08:47:41:612,50089,0.4,50432,0.5,100386,0.3,134127,1.75 127,1,2024-09-07 08:47:40:569,380295,380295,0,0,178317794162,1849678591,377539,2604,152,365,391816,0 127,2,2024-09-07 08:47:40:650,275224,275224,0,0,10930306,0,2264 127,3,2024-09-07 08:47:41:273,1,216,0,0,243,1791,216,0 128,0,2024-09-07 08:47:41:566,56108,0.3,56066,0.5,111788,0.3,148440,1.50 128,1,2024-09-07 08:47:41:624,380184,380184,0,0,177248961409,1841047462,378084,1944,156,367,391798,0 128,2,2024-09-07 08:47:41:387,269635,269635,0,0,10701455,0,2434 128,3,2024-09-07 08:47:40:768,1,216,31,0,1082,4478,216,0 129,0,2024-09-07 08:47:40:995,57134,0.3,56792,0.5,113565,0.3,151157,1.50 129,1,2024-09-07 08:47:40:570,378297,378297,0,0,177296677615,1862908338,374179,3191,927,379,391835,0 129,2,2024-09-07 08:47:40:687,272252,272252,0,0,11578280,0,4031 129,3,2024-09-07 08:47:40:698,1,216,5,0,469,3047,216,0 130,0,2024-09-07 08:47:41:810,55433,0.6,55303,0.7,110728,0.7,147622,1.75 130,1,2024-09-07 08:47:40:583,379928,379928,0,0,177621511997,1850964629,377557,2295,76,381,391825,0 130,2,2024-09-07 08:47:41:126,276950,276950,0,0,11511050,0,4067 130,3,2024-09-07 08:47:41:291,1,216,14,0,450,2972,216,0 131,0,2024-09-07 08:47:41:931,50299,0.5,50703,0.6,101881,0.5,135204,1.75 131,1,2024-09-07 08:47:41:821,380573,380573,0,0,178101047423,1860126236,377543,2574,456,381,391865,0 131,2,2024-09-07 08:47:40:579,276915,276915,0,0,10545814,0,2415 131,3,2024-09-07 08:47:41:705,1,216,16,0,392,2324,216,0 132,0,2024-09-07 08:47:41:442,55126,0.5,55730,0.7,111440,0.4,148152,2.00 132,1,2024-09-07 08:47:40:577,378230,378230,0,0,176973671659,1872804836,371471,5528,1231,381,392097,0 132,2,2024-09-07 08:47:40:700,270015,270015,0,0,13814702,0,4606 132,3,2024-09-07 08:47:41:708,1,216,1,0,356,3389,216,0 133,0,2024-09-07 08:47:41:525,54149,0.4,55415,0.6,113563,0.4,147541,1.75 133,1,2024-09-07 08:47:40:583,377504,377504,0,0,177364342537,1872719832,372045,4794,665,383,391914,0 133,2,2024-09-07 08:47:41:092,270652,270652,0,0,15092119,0,4315 133,3,2024-09-07 08:47:41:300,1,216,2,0,276,2186,216,0 134,0,2024-09-07 08:47:40:942,55906,0.5,55853,0.7,112277,0.5,149218,2.00 134,1,2024-09-07 08:47:40:586,378452,378452,0,0,177271249788,1868570849,372042,4943,1467,366,391718,0 134,2,2024-09-07 08:47:41:757,273553,273553,0,0,12814526,0,3847 134,3,2024-09-07 08:47:40:748,1,216,11,0,739,3490,216,0 135,0,2024-09-07 08:47:41:123,49382,1.2,49385,1.1,104675,1.3,135167,2.25 135,1,2024-09-07 08:47:41:615,377476,377476,0,0,177742217734,1875662854,371458,5031,987,380,391805,0 135,2,2024-09-07 08:47:40:689,275801,275801,0,0,14004629,0,3981 135,3,2024-09-07 08:47:41:013,1,216,9,0,299,1558,216,0 136,0,2024-09-07 08:47:41:665,54167,0.6,54023,0.7,108051,0.5,144244,2.25 136,1,2024-09-07 08:47:41:443,378780,378780,0,0,177041788846,1862403745,373756,4482,542,382,391685,0 136,2,2024-09-07 08:47:41:138,272103,272103,0,0,12432460,0,3506 136,3,2024-09-07 08:47:41:111,1,216,1,0,126,1805,216,0 137,0,2024-09-07 08:47:40:946,56171,0.7,54461,0.8,107592,0.7,145857,2.00 137,1,2024-09-07 08:47:40:581,378378,378378,0,0,177547631245,1867543529,371798,5201,1379,366,391708,0 137,2,2024-09-07 08:47:41:705,270417,270417,0,0,14647086,0,3185 137,3,2024-09-07 08:47:40:776,1,216,1,0,382,2773,216,0 138,0,2024-09-07 08:47:41:783,55313,0.9,55387,1.0,111168,1.1,147849,2.25 138,1,2024-09-07 08:47:41:709,379147,379147,0,0,178156808960,1872586794,373174,5055,918,368,391954,0 138,2,2024-09-07 08:47:40:589,272548,272548,0,0,13130499,0,4988 138,3,2024-09-07 08:47:40:615,1,216,45,0,1160,3711,216,0 139,0,2024-09-07 08:47:41:371,51997,2.4,51993,1.5,104928,3.5,139473,2.75 139,1,2024-09-07 08:47:40:577,377141,377141,0,0,177150860837,1886737998,369242,5835,2064,381,391892,0 139,2,2024-09-07 08:47:40:699,274308,274308,0,0,13630688,0,3097 139,3,2024-09-07 08:47:41:667,1,216,27,0,244,2369,216,0 140,0,2024-09-07 08:47:41:628,51335,0.4,50755,0.5,102438,0.3,136404,1.75 140,1,2024-09-07 08:47:41:547,381017,381017,0,0,178907923985,1844757691,379254,1523,240,365,391606,0 140,2,2024-09-07 08:47:40:688,275127,275127,0,0,11154917,0,3388 140,3,2024-09-07 08:47:40:773,1,216,1,0,247,1910,216,0 141,0,2024-09-07 08:47:41:707,55164,0.3,56566,0.5,108077,0.2,146493,1.50 141,1,2024-09-07 08:47:40:863,380750,380750,0,0,178349476170,1851633164,378468,1927,355,379,391614,0 141,2,2024-09-07 08:47:41:690,270442,270442,0,0,10356061,0,2342 141,3,2024-09-07 08:47:41:043,1,216,178,0,178,1949,216,0 142,0,2024-09-07 08:47:41:319,57452,0.3,56819,0.5,113076,0.2,151232,1.50 142,1,2024-09-07 08:47:40:588,379919,379919,0,0,178170361651,1858838330,377460,2216,243,382,392102,0 142,2,2024-09-07 08:47:41:303,271353,271321,32,0,12232839,0,6028 142,3,2024-09-07 08:47:41:747,1,216,8,0,484,2919,216,0 143,0,2024-09-07 08:47:41:397,54746,0.6,54712,0.6,109911,0.6,146119,1.75 143,1,2024-09-07 08:47:40:558,380360,380360,0,0,178115456947,1848122304,378029,2285,46,367,391619,0 143,2,2024-09-07 08:47:40:769,276559,276559,0,0,11586357,0,2669 143,3,2024-09-07 08:47:41:142,1,216,43,0,303,3098,216,0 144,0,2024-09-07 08:47:41:528,48557,0.9,49982,1.1,101478,1.0,133645,2.25 144,1,2024-09-07 08:47:40:577,378305,378305,0,0,177419426692,1867061340,374365,3019,921,381,391649,0 144,2,2024-09-07 08:47:41:761,275588,275588,0,0,11355204,0,3473 144,3,2024-09-07 08:47:41:750,1,216,2,0,249,2689,216,0 145,0,2024-09-07 08:47:41:388,53877,0.6,53972,0.8,114319,0.6,148096,2.50 145,1,2024-09-07 08:47:40:551,377661,377661,0,0,177136018267,1869012130,372181,4660,820,382,391698,0 145,2,2024-09-07 08:47:41:440,268740,268740,0,0,13165294,0,3903 145,3,2024-09-07 08:47:40:896,1,216,0,0,622,3902,216,0 146,0,2024-09-07 08:47:41:652,55953,0.6,55496,0.8,111769,0.5,148810,2.25 146,1,2024-09-07 08:47:41:618,379006,379006,0,0,177218125311,1870126365,371570,5627,1809,368,391770,0 146,2,2024-09-07 08:47:41:696,271083,271083,0,0,12667917,0,2730 146,3,2024-09-07 08:47:41:279,1,216,8,0,1520,5765,216,0 147,0,2024-09-07 08:47:41:717,55162,0.7,55139,0.8,109528,0.6,147325,2.25 147,1,2024-09-07 08:47:41:375,380602,380602,0,0,178146407925,1855147029,376873,3160,569,368,391791,0 147,2,2024-09-07 08:47:41:015,276511,276511,0,0,11161350,0,2789 147,3,2024-09-07 08:47:40:913,1,216,1,0,730,3394,216,0 0,0,2024-09-07 08:47:51:736,53123,0.5,53139,0.7,112683,0.5,146180,1.75 0,1,2024-09-07 08:47:50:802,381215,381215,0,0,178856036593,1874781781,378051,2962,202,372,391772,0 0,2,2024-09-07 08:47:51:071,272975,272975,0,0,11774065,0,4480 0,3,2024-09-07 08:47:50:975,1,217,9,0,247,2820,217,0 1,0,2024-09-07 08:47:51:749,56114,0.9,55638,1.0,111911,1.2,149187,2.00 1,1,2024-09-07 08:47:50:559,380665,380665,0,0,177743443180,1867428257,376242,3370,1053,371,391857,0 1,2,2024-09-07 08:47:50:642,274184,274184,0,0,10933778,0,3267 1,3,2024-09-07 08:47:51:307,1,217,1,0,262,2762,217,0 2,0,2024-09-07 08:47:51:576,51841,0.9,52236,0.9,103751,1.2,138441,2.00 2,1,2024-09-07 08:47:50:864,381497,381497,0,0,178272279214,1855547405,379397,1882,218,380,391745,0 2,2,2024-09-07 08:47:51:265,277344,277344,0,0,11723852,0,3594 2,3,2024-09-07 08:47:50:697,1,217,8,0,357,2174,217,0 3,0,2024-09-07 08:47:51:747,52933,0.5,53019,0.6,105519,0.4,141062,2.00 3,1,2024-09-07 08:47:51:623,381218,381218,0,0,177946949220,1857911834,377460,3297,461,380,391523,0 3,2,2024-09-07 08:47:51:142,273440,273417,23,0,11639254,0,5851 3,3,2024-09-07 08:47:51:756,1,217,0,0,103,1395,217,0 4,0,2024-09-07 08:47:51:778,52924,0.4,54383,0.5,110754,0.3,145059,1.75 4,1,2024-09-07 08:47:50:608,380647,380647,0,0,178209437949,1877444883,375946,3913,788,371,391846,0 4,2,2024-09-07 08:47:51:017,271067,271067,0,0,13708501,0,4528 4,3,2024-09-07 08:47:51:028,1,217,0,0,448,3534,217,0 5,0,2024-09-07 08:47:51:385,56195,0.4,56401,0.6,112151,0.4,149201,1.75 5,1,2024-09-07 08:47:50:756,380424,380424,0,0,178118972245,1878994609,374956,4358,1110,368,392005,0 5,2,2024-09-07 08:47:51:830,272044,272044,0,0,12671060,0,2432 5,3,2024-09-07 08:47:51:733,1,217,3,0,457,3716,217,0 6,0,2024-09-07 08:47:50:931,54867,0.7,54350,0.8,108563,0.8,145051,2.25 6,1,2024-09-07 08:47:50:745,381085,381085,0,0,178165284250,1867903218,376431,3810,844,379,391634,0 6,2,2024-09-07 08:47:51:116,276930,276930,0,0,12845913,0,4816 6,3,2024-09-07 08:47:51:276,1,217,0,0,340,2773,217,0 7,0,2024-09-07 08:47:51:536,50134,0.6,50203,0.8,100238,0.6,133941,2.00 7,1,2024-09-07 08:47:50:851,380271,380271,0,0,178277433087,1877395551,374386,4882,1003,382,391686,0 7,2,2024-09-07 08:47:50:772,274834,274834,0,0,12714914,0,4791 7,3,2024-09-07 08:47:50:851,1,217,10,0,305,2284,217,0 8,0,2024-09-07 08:47:51:333,55754,0.4,55882,0.5,111198,0.4,148312,1.75 8,1,2024-09-07 08:47:51:018,379687,379687,0,0,178431786087,1887525664,371841,5841,2005,367,391956,0 8,2,2024-09-07 08:47:50:792,268085,268085,0,0,14270650,0,2986 8,3,2024-09-07 08:47:50:612,1,217,52,0,357,3365,217,0 9,0,2024-09-07 08:47:51:111,57122,0.3,55391,0.5,115662,0.3,151789,1.75 9,1,2024-09-07 08:47:50:571,380365,380365,0,0,178405873122,1885883975,374139,4688,1538,370,392001,0 9,2,2024-09-07 08:47:51:084,272759,272759,0,0,12638626,0,3360 9,3,2024-09-07 08:47:51:757,1,217,20,0,496,3308,217,0 10,0,2024-09-07 08:47:51:610,55581,0.3,55085,0.5,110816,0.3,147870,1.75 10,1,2024-09-07 08:47:50:583,380698,380698,0,0,178350624482,1873983839,374669,5101,928,381,391741,0 10,2,2024-09-07 08:47:50:765,277107,277107,0,0,14298103,0,4264 10,3,2024-09-07 08:47:50:871,1,217,7,0,177,1820,217,0 11,0,2024-09-07 08:47:51:013,50255,0.5,48740,0.8,101898,0.6,135666,2.00 11,1,2024-09-07 08:47:50:570,380993,380993,0,0,177726798859,1875249419,373271,5808,1914,383,391537,0 11,2,2024-09-07 08:47:51:130,276228,276228,0,0,13670111,0,4130 11,3,2024-09-07 08:47:51:303,1,217,1,0,843,3932,217,0 12,0,2024-09-07 08:47:50:948,56182,0.3,56097,0.5,111993,0.3,149407,1.75 12,1,2024-09-07 08:47:50:934,381401,381401,0,0,178027749965,1858761411,378114,2866,421,370,391837,0 12,2,2024-09-07 08:47:51:554,271885,271885,0,0,12533180,0,3469 12,3,2024-09-07 08:47:51:061,1,217,9,0,386,4020,217,0 13,0,2024-09-07 08:47:51:373,56124,0.3,56028,0.5,111903,0.3,149148,1.75 13,1,2024-09-07 08:47:51:532,380471,380471,0,0,178550093794,1875175243,377116,2816,539,382,391717,0 13,2,2024-09-07 08:47:50:595,273540,273540,0,0,11655712,0,3287 13,3,2024-09-07 08:47:51:765,1,217,2,0,467,3734,217,0 14,0,2024-09-07 08:47:50:566,56330,0.4,56887,0.6,112421,0.3,149552,1.75 14,1,2024-09-07 08:47:51:564,383294,383294,0,0,179314722480,1858761230,380597,2508,189,364,391571,0 14,2,2024-09-07 08:47:50:764,275745,275745,0,0,11815504,0,2896 14,3,2024-09-07 08:47:51:115,1,217,12,0,1168,3328,217,0 15,0,2024-09-07 08:47:51:560,50897,0.6,51294,0.8,102386,0.7,136252,2.25 15,1,2024-09-07 08:47:51:621,381787,381787,0,0,178266384441,1854845107,379486,2157,144,381,391619,0 15,2,2024-09-07 08:47:50:999,277943,277943,0,0,10219254,0,3043 15,3,2024-09-07 08:47:51:408,1,217,5,0,1126,4772,217,0 16,0,2024-09-07 08:47:50:940,54269,0.7,54534,0.9,108174,0.8,145200,2.25 16,1,2024-09-07 08:47:50:568,381692,381692,0,0,178410749457,1869189460,378149,3247,296,370,391756,0 16,2,2024-09-07 08:47:51:461,271719,271719,0,0,12483551,0,4719 16,3,2024-09-07 08:47:51:142,1,217,1,0,317,2963,217,0 17,0,2024-09-07 08:47:51:778,56342,0.5,54975,0.7,107715,0.5,146119,2.00 17,1,2024-09-07 08:47:50:570,380138,380138,0,0,178211455161,1876478729,375312,3725,1101,368,391688,0 17,2,2024-09-07 08:47:51:672,275104,275104,0,0,11687529,0,2857 17,3,2024-09-07 08:47:50:576,1,217,32,0,268,3585,217,0 18,0,2024-09-07 08:47:50:944,55248,0.7,55390,0.9,110763,0.8,147657,2.50 18,1,2024-09-07 08:47:51:638,381492,381492,0,0,178655034125,1855026027,378994,2259,239,367,391649,0 18,2,2024-09-07 08:47:51:755,274373,274373,0,0,11458839,0,3541 18,3,2024-09-07 08:47:50:895,1,217,13,0,163,2343,217,0 19,0,2024-09-07 08:47:51:545,52437,0.9,53067,0.9,104699,0.9,139277,2.50 19,1,2024-09-07 08:47:50:568,381530,381530,0,0,179126543302,1866399692,376933,3812,785,367,391777,0 19,2,2024-09-07 08:47:51:759,278431,278431,0,0,10543559,0,3988 19,3,2024-09-07 08:47:51:129,1,217,0,0,524,1761,217,0 20,0,2024-09-07 08:47:51:363,51062,0.9,51018,0.9,102550,1.0,137258,2.50 20,1,2024-09-07 08:47:50:575,380667,380667,0,0,178650930165,1873037808,376876,3395,396,369,391886,0 20,2,2024-09-07 08:47:50:929,274538,274538,0,0,12276407,0,3721 20,3,2024-09-07 08:47:50:590,1,217,1,0,414,4349,217,0 21,0,2024-09-07 08:47:51:207,55103,0.5,55356,0.7,110457,0.5,146000,2.00 21,1,2024-09-07 08:47:51:536,379767,379767,0,0,177151444039,1871999663,374103,4407,1257,368,391962,0 21,2,2024-09-07 08:47:51:089,271182,271182,0,0,13612183,0,3747 21,3,2024-09-07 08:47:51:408,1,217,1,0,103,2483,217,0 22,0,2024-09-07 08:47:51:725,56707,0.7,56675,0.8,113016,0.6,150366,2.25 22,1,2024-09-07 08:47:51:023,380081,380081,0,0,177796197294,1877865410,373192,5270,1619,382,391667,0 22,2,2024-09-07 08:47:50:762,272779,272779,0,0,12086650,0,3134 22,3,2024-09-07 08:47:51:069,1,217,8,0,228,2199,217,0 23,0,2024-09-07 08:47:51:372,54557,0.7,54490,0.8,109469,0.8,145954,2.25 23,1,2024-09-07 08:47:51:003,380669,380669,0,0,178142984354,1878265966,373412,4707,2550,365,391550,0 23,2,2024-09-07 08:47:51:097,277311,277311,0,0,11885686,0,3010 23,3,2024-09-07 08:47:51:756,1,217,1,0,645,3069,217,0 24,0,2024-09-07 08:47:50:822,50975,0.5,50951,0.6,102140,0.4,135950,1.75 24,1,2024-09-07 08:47:50:588,380858,380858,0,0,178315733195,1873332655,375936,3470,1452,369,391987,0 24,2,2024-09-07 08:47:51:072,275030,275030,0,0,14121559,0,3607 24,3,2024-09-07 08:47:51:688,1,217,8,0,468,3468,217,0 25,0,2024-09-07 08:47:51:379,57779,0.5,56423,0.7,110566,0.5,151080,2.00 25,1,2024-09-07 08:47:50:563,381267,381267,0,0,178333896252,1869518112,377261,3459,547,371,391788,0 25,2,2024-09-07 08:47:51:610,269810,269810,0,0,13902779,0,3978 25,3,2024-09-07 08:47:50:999,1,217,44,0,255,2585,217,0 26,0,2024-09-07 08:47:51:730,56083,0.4,54771,0.6,114905,0.4,149904,1.75 26,1,2024-09-07 08:47:51:541,381271,381271,0,0,177674714037,1867659787,374961,5170,1140,381,391748,0 26,2,2024-09-07 08:47:50:862,273252,273252,0,0,13439599,0,2809 26,3,2024-09-07 08:47:51:714,1,217,1,0,796,3125,217,0 27,0,2024-09-07 08:47:51:725,55891,0.5,55722,0.6,110475,0.4,147610,2.00 27,1,2024-09-07 08:47:51:679,382691,382691,0,0,179725015250,1870357475,379897,2390,404,381,391558,0 27,2,2024-09-07 08:47:50:867,274774,274709,65,0,14444072,0,5699 27,3,2024-09-07 08:47:51:016,1,217,2,0,564,2335,217,0 28,0,2024-09-07 08:47:51:407,50578,0.6,50500,0.7,101509,0.7,135715,2.25 28,1,2024-09-07 08:47:50:798,382206,382206,0,0,179172389743,1868374016,379384,2352,470,383,391646,0 28,2,2024-09-07 08:47:51:765,277350,277350,0,0,11335243,0,2915 28,3,2024-09-07 08:47:51:775,1,217,0,0,502,2403,217,0 29,0,2024-09-07 08:47:51:374,56819,0.3,55489,0.5,108380,0.2,148341,1.75 29,1,2024-09-07 08:47:51:569,382907,382907,0,0,179082010455,1857017271,379756,2587,564,369,391621,0 29,2,2024-09-07 08:47:50:866,271231,271231,0,0,10241670,0,4018 29,3,2024-09-07 08:47:50:963,1,217,1,0,115,1670,217,0 30,0,2024-09-07 08:47:51:466,54482,0.6,53052,0.7,111051,0.6,145530,2.00 30,1,2024-09-07 08:47:50:571,382972,382972,0,0,179742442438,1864462431,380783,1896,293,382,391672,0 30,2,2024-09-07 08:47:51:294,273818,273818,0,0,10395013,0,3161 30,3,2024-09-07 08:47:50:583,1,217,16,0,195,1554,217,0 31,0,2024-09-07 08:47:51:770,55573,0.4,55927,0.6,112199,0.4,149059,2.00 31,1,2024-09-07 08:47:50:570,383939,383939,0,0,179376775931,1839370875,382844,938,157,356,391712,0 31,2,2024-09-07 08:47:51:283,274083,274083,0,0,12207200,0,3525 31,3,2024-09-07 08:47:51:708,1,217,1,0,220,1827,217,0 32,0,2024-09-07 08:47:51:417,51830,0.4,52395,0.6,104651,0.4,139089,1.75 32,1,2024-09-07 08:47:50:807,382665,382665,0,0,179188524700,1861494317,380748,1640,277,381,391614,0 32,2,2024-09-07 08:47:50:938,277694,277694,0,0,10570406,0,3155 32,3,2024-09-07 08:47:51:015,1,217,1,0,227,1701,217,0 33,0,2024-09-07 08:47:51:495,53402,0.3,52741,0.5,106304,0.2,142034,1.75 33,1,2024-09-07 08:47:50:577,383010,383010,0,0,179956822851,1862545114,380453,2383,174,369,391730,0 33,2,2024-09-07 08:47:50:764,274130,274097,33,0,12282646,0,7012 33,3,2024-09-07 08:47:50:895,1,217,1,0,329,2550,217,0 34,0,2024-09-07 08:47:50:934,54558,0.3,56221,0.5,107867,0.3,144307,1.75 34,1,2024-09-07 08:47:51:045,383672,383672,0,0,179329484359,1846866554,382598,1067,7,367,391562,0 34,2,2024-09-07 08:47:50:769,272139,272139,0,0,11277379,0,3577 34,3,2024-09-07 08:47:51:688,1,217,14,0,148,1540,217,0 35,0,2024-09-07 08:47:50:874,55616,0.4,56016,0.5,112455,0.3,149402,1.75 35,1,2024-09-07 08:47:51:071,381683,381683,0,0,179300865352,1863000579,378408,2496,779,384,391589,0 35,2,2024-09-07 08:47:51:588,274169,274169,0,0,10672550,0,2653 35,3,2024-09-07 08:47:50:919,1,217,6,0,418,2676,217,0 36,0,2024-09-07 08:47:51:525,54493,0.7,54488,0.9,109160,0.8,144635,2.50 36,1,2024-09-07 08:47:50:599,380890,380890,0,0,178515497314,1873322151,375000,4312,1578,366,391759,0 36,2,2024-09-07 08:47:51:757,276273,276273,0,0,13071911,0,3875 36,3,2024-09-07 08:47:50:863,1,217,6,0,416,3808,217,0 37,0,2024-09-07 08:47:51:390,50142,0.6,49907,0.8,100242,0.6,134812,2.00 37,1,2024-09-07 08:47:50:569,380549,380542,0,7,178392603150,1876229556,374553,3923,2066,365,391560,0 37,2,2024-09-07 08:47:51:147,274995,274980,15,0,13338564,0,5815 37,3,2024-09-07 08:47:52:004,1,217,1,0,888,4109,217,0 38,0,2024-09-07 08:47:51:441,55207,0.5,53617,0.7,112277,0.5,146519,2.00 38,1,2024-09-07 08:47:51:616,382117,382117,0,0,178895731421,1874040196,376853,4590,674,368,391821,0 38,2,2024-09-07 08:47:50:762,270718,270671,47,0,13596304,0,6710 38,3,2024-09-07 08:47:50:998,1,217,8,0,689,3455,217,0 39,0,2024-09-07 08:47:51:765,58120,0.7,57081,0.8,110810,0.7,151811,2.00 39,1,2024-09-07 08:47:50:716,381737,381737,0,0,179424159186,1877430616,376375,4465,897,365,391594,0 39,2,2024-09-07 08:47:51:418,272516,272516,0,0,11642635,0,2689 39,3,2024-09-07 08:47:50:720,1,217,1,0,324,3351,217,0 40,0,2024-09-07 08:47:51:519,54763,0.8,55277,1.0,110586,0.8,147196,3.00 40,1,2024-09-07 08:47:50:577,381542,381542,0,0,178646296401,1875991214,375300,5009,1233,368,391591,0 40,2,2024-09-07 08:47:51:307,276345,276344,1,0,14247797,0,5137 40,3,2024-09-07 08:47:51:152,1,217,3,0,333,2528,217,0 41,0,2024-09-07 08:47:51:041,49876,2.0,51113,1.5,97643,3.4,133886,4.25 41,1,2024-09-07 08:47:50:768,381091,381091,0,0,178966830917,1875400604,375807,4651,633,370,391742,0 41,2,2024-09-07 08:47:50:776,274900,274900,0,0,13972296,0,3356 41,3,2024-09-07 08:47:51:676,1,217,1,0,366,2538,217,0 42,0,2024-09-07 08:47:51:486,55263,0.7,55484,0.9,110835,0.6,146609,2.50 42,1,2024-09-07 08:47:51:440,380038,380038,0,0,178923783366,1882482823,373889,4904,1245,380,391675,0 42,2,2024-09-07 08:47:51:137,270799,270799,0,0,12821395,0,3568 42,3,2024-09-07 08:47:51:010,1,217,1,0,446,1958,217,0 43,0,2024-09-07 08:47:50:923,55524,0.7,54045,0.9,112985,0.8,148788,2.25 43,1,2024-09-07 08:47:50:582,381409,381409,0,0,178842084200,1869864691,376339,3978,1092,366,391696,0 43,2,2024-09-07 08:47:51:738,273245,273245,0,0,12195023,0,3812 43,3,2024-09-07 08:47:51:758,1,217,1,0,467,2774,217,0 44,0,2024-09-07 08:47:50:861,56079,0.4,56428,0.7,112574,0.4,150050,1.75 44,1,2024-09-07 08:47:50:568,382956,382956,0,0,178718176775,1847816777,380463,2062,431,356,391809,0 44,2,2024-09-07 08:47:51:267,275291,275291,0,0,10396161,0,2231 44,3,2024-09-07 08:47:51:097,1,217,1,0,817,2554,217,0 45,0,2024-09-07 08:47:51:762,50552,0.8,49503,0.9,103745,0.8,137071,2.00 45,1,2024-09-07 08:47:51:014,381863,381863,0,0,179348201825,1859456896,380499,1352,12,382,391917,0 45,2,2024-09-07 08:47:51:273,277735,277735,0,0,11400152,0,3596 45,3,2024-09-07 08:47:50:935,1,217,2,0,271,2543,217,0 46,0,2024-09-07 08:47:50:950,54184,0.4,54067,0.6,108416,0.3,144500,2.00 46,1,2024-09-07 08:47:50:575,383305,383305,0,0,179405480435,1854024793,381094,1938,273,366,391524,0 46,2,2024-09-07 08:47:50:595,272810,272810,0,0,10664958,0,2920 46,3,2024-09-07 08:47:51:131,1,217,8,0,908,3410,217,0 47,0,2024-09-07 08:47:51:131,55036,0.4,54962,0.6,110476,0.4,146109,1.75 47,1,2024-09-07 08:47:50:567,383275,383275,0,0,179631749718,1855137815,381292,1898,85,366,391605,0 47,2,2024-09-07 08:47:50:911,274696,274696,0,0,10739041,0,2558 47,3,2024-09-07 08:47:51:116,1,217,3,0,529,2208,217,0 48,0,2024-09-07 08:47:51:529,56218,0.3,55945,0.4,111326,0.2,148596,1.50 48,1,2024-09-07 08:47:51:023,382880,382880,0,0,179373021625,1866233199,379921,2660,299,384,391710,0 48,2,2024-09-07 08:47:50:706,274416,274416,0,0,10635036,0,3031 48,3,2024-09-07 08:47:50:753,1,217,2,0,339,1981,217,0 49,0,2024-09-07 08:47:51:718,54427,0.4,53371,0.6,103564,0.4,141337,1.75 49,1,2024-09-07 08:47:51:021,380480,380480,0,0,178037633319,1859516522,376692,2607,1181,382,391610,0 49,2,2024-09-07 08:47:51:800,278088,278088,0,0,11183912,0,3900 49,3,2024-09-07 08:47:51:422,1,217,4,0,408,2625,217,0 50,0,2024-09-07 08:47:51:516,51479,0.3,50865,0.5,102367,0.2,137198,1.75 50,1,2024-09-07 08:47:51:011,383386,383386,0,0,178984869611,1854915442,380681,2395,310,368,391530,0 50,2,2024-09-07 08:47:51:070,275374,275374,0,0,10431436,0,2263 50,3,2024-09-07 08:47:51:294,1,217,1,0,335,1920,217,0 51,0,2024-09-07 08:47:51:688,56313,0.3,55147,0.5,107501,0.2,146348,1.75 51,1,2024-09-07 08:47:51:683,382790,382790,0,0,179952733649,1860951122,380054,1797,939,365,391706,0 51,2,2024-09-07 08:47:51:318,271897,271897,0,0,9424152,0,3337 51,3,2024-09-07 08:47:51:028,1,217,3,0,678,1928,217,0 52,0,2024-09-07 08:47:51:431,57368,0.5,57040,0.7,113834,0.4,150999,2.00 52,1,2024-09-07 08:47:50:577,380985,380985,0,0,177635343529,1869375033,374102,5680,1203,368,391722,0 52,2,2024-09-07 08:47:51:756,271470,271432,38,0,13626110,0,6742 52,3,2024-09-07 08:47:50:676,1,217,1,0,1782,4141,217,0 53,0,2024-09-07 08:47:51:729,54418,0.8,52913,0.9,110704,1.0,145149,2.50 53,1,2024-09-07 08:47:50:774,380212,380212,0,0,178730067153,1884943861,372893,4963,2356,367,391702,0 53,2,2024-09-07 08:47:51:304,277408,277408,0,0,11668168,0,2727 53,3,2024-09-07 08:47:50:701,1,217,1,0,271,2142,217,0 54,0,2024-09-07 08:47:51:630,49564,1.4,49969,1.1,98936,0.9,133146,3.25 54,1,2024-09-07 08:47:50:586,381334,381334,0,0,179198447461,1869913553,376613,4125,596,367,391659,0 54,2,2024-09-07 08:47:50:866,275914,275908,6,0,13000740,0,5382 54,3,2024-09-07 08:47:50:763,1,217,1,0,676,3567,217,0 55,0,2024-09-07 08:47:51:765,54292,0.7,56270,0.9,113300,0.7,147543,2.50 55,1,2024-09-07 08:47:50:765,380648,380648,0,0,178045357388,1860157769,375118,4743,787,365,391731,0 55,2,2024-09-07 08:47:50:729,269969,269969,0,0,12731705,0,3275 55,3,2024-09-07 08:47:50:677,1,217,10,0,304,2706,217,0 56,0,2024-09-07 08:47:51:557,57525,1.1,54265,1.1,111683,1.6,149716,2.50 56,1,2024-09-07 08:47:50:570,380175,380175,0,0,178391772833,1887884546,373952,5233,990,381,391678,0 56,2,2024-09-07 08:47:51:308,273085,273085,0,0,13466600,0,3567 56,3,2024-09-07 08:47:51:065,1,217,8,0,705,3420,217,0 57,0,2024-09-07 08:47:50:949,54661,1.8,54816,1.3,109524,2.5,146685,3.25 57,1,2024-09-07 08:47:50:986,381272,381272,0,0,178274253131,1870206050,376700,4027,545,367,391960,0 57,2,2024-09-07 08:47:51:331,276865,276865,0,0,14552542,0,3317 57,3,2024-09-07 08:47:51:737,1,217,3,0,359,3175,217,0 58,0,2024-09-07 08:47:50:599,49333,0.9,48062,1.0,100654,0.9,131918,2.50 58,1,2024-09-07 08:47:50:587,381320,381317,0,3,179035644778,1873451309,376042,4580,695,367,391545,3 58,2,2024-09-07 08:47:51:071,277066,277066,0,0,13100457,0,2549 58,3,2024-09-07 08:47:51:070,1,217,0,0,1043,2699,217,0 59,0,2024-09-07 08:47:51:740,55281,0.7,55161,0.9,110222,0.7,146995,2.75 59,1,2024-09-07 08:47:50:807,381088,381088,0,0,178872405933,1875301316,375754,4164,1170,369,391525,0 59,2,2024-09-07 08:47:50:586,272615,272615,0,0,11576048,0,2604 59,3,2024-09-07 08:47:51:737,1,217,1,0,1015,3336,217,0 60,0,2024-09-07 08:47:51:727,54873,0.5,54652,0.6,109958,0.4,146267,1.75 60,1,2024-09-07 08:47:50:774,383089,383089,0,0,179708327345,1865642686,380718,1854,517,370,392031,0 60,2,2024-09-07 08:47:51:150,273256,273256,0,0,12436515,0,3811 60,3,2024-09-07 08:47:51:258,1,217,5,0,124,2059,217,0 61,0,2024-09-07 08:47:51:509,55985,0.8,56203,0.9,111917,0.9,149211,2.00 61,1,2024-09-07 08:47:50:778,381296,381296,0,0,178511473945,1871425655,376842,3754,700,382,391880,0 61,2,2024-09-07 08:47:51:120,275393,275393,0,0,11210595,0,2090 61,3,2024-09-07 08:47:51:693,1,217,1,0,397,3216,217,0 62,0,2024-09-07 08:47:51:719,52471,0.9,53497,0.9,101915,1.1,138945,2.00 62,1,2024-09-07 08:47:51:111,384114,384108,0,6,179880360881,1857467147,381734,2258,116,365,391715,6 62,2,2024-09-07 08:47:51:644,276171,276170,1,0,12759119,0,5555 62,3,2024-09-07 08:47:51:143,1,217,12,0,287,1592,217,0 63,0,2024-09-07 08:47:51:452,53038,0.5,53124,0.6,106006,0.4,141656,1.75 63,1,2024-09-07 08:47:50:808,383446,383440,0,6,179020283396,1856112740,381875,1515,50,381,391677,6 63,2,2024-09-07 08:47:50:768,273838,273838,0,0,10330951,0,2674 63,3,2024-09-07 08:47:51:736,1,217,29,0,667,2783,217,0 64,0,2024-09-07 08:47:51:523,54018,0.5,54163,0.7,108553,0.4,144201,1.75 64,1,2024-09-07 08:47:50:761,381802,381802,0,0,178807724902,1869835500,377347,3041,1414,370,391783,0 64,2,2024-09-07 08:47:51:142,274625,274606,19,0,11521465,0,6121 64,3,2024-09-07 08:47:51:143,1,217,2,0,265,2401,217,0 65,0,2024-09-07 08:47:51:710,55516,0.6,55988,0.8,111681,0.7,148377,2.25 65,1,2024-09-07 08:47:50:862,380792,380792,0,0,178231887722,1868712904,377293,3056,443,382,391901,0 65,2,2024-09-07 08:47:51:695,273628,273628,0,0,12200209,0,3367 65,3,2024-09-07 08:47:51:683,1,217,8,0,163,2198,217,0 66,0,2024-09-07 08:47:51:771,54050,0.6,54113,0.8,108695,0.5,143916,2.25 66,1,2024-09-07 08:47:51:300,382596,382596,0,0,179444812848,1865606899,380211,2204,181,380,391588,0 66,2,2024-09-07 08:47:51:133,279296,279296,0,0,11900497,0,4956 66,3,2024-09-07 08:47:51:082,1,217,1,0,291,2466,217,0 67,0,2024-09-07 08:47:51:416,50674,0.8,50263,0.9,101175,1.0,134828,2.25 67,1,2024-09-07 08:47:50:766,381773,381772,0,1,178597836834,1866726375,377998,3027,747,380,391787,1 67,2,2024-09-07 08:47:50:588,277015,277015,0,0,10907380,0,2889 67,3,2024-09-07 08:47:51:754,1,217,4,0,338,1908,217,0 68,0,2024-09-07 08:47:50:567,55781,0.7,55720,0.8,110767,0.7,148118,2.00 68,1,2024-09-07 08:47:50:570,380789,380789,0,0,177694251406,1866922588,376927,2725,1137,381,391953,0 68,2,2024-09-07 08:47:51:051,270251,270186,65,0,14549804,0,6698 68,3,2024-09-07 08:47:50:733,1,217,13,0,417,2612,217,0 69,0,2024-09-07 08:47:51:773,56651,0.8,56888,0.9,113310,0.9,151049,2.25 69,1,2024-09-07 08:47:51:016,379665,379665,0,0,178098627652,1884063697,374253,4012,1400,384,391994,0 69,2,2024-09-07 08:47:51:737,272857,272857,0,0,14061950,0,3722 69,3,2024-09-07 08:47:50:764,1,217,4,0,698,3494,217,0 70,0,2024-09-07 08:47:51:535,54956,1.2,54855,1.2,110243,0.8,146916,2.50 70,1,2024-09-07 08:47:50:807,381617,381617,0,0,179412154513,1867779046,377962,3127,528,366,391725,0 70,2,2024-09-07 08:47:51:332,276486,276486,0,0,13407841,0,4044 70,3,2024-09-07 08:47:50:745,1,217,6,0,854,2711,217,0 71,0,2024-09-07 08:47:51:379,49966,1.8,49941,1.4,99840,2.4,134504,3.25 71,1,2024-09-07 08:47:51:597,381122,381122,0,0,179021340856,1873275317,376005,4496,621,368,391738,0 71,2,2024-09-07 08:47:51:070,276849,276849,0,0,13510151,0,4042 71,3,2024-09-07 08:47:51:753,1,217,1,0,644,3362,217,0 72,0,2024-09-07 08:47:51:031,57527,0.6,56290,0.8,109710,0.5,149712,2.25 72,1,2024-09-07 08:47:51:021,381828,381828,0,0,178104682158,1861382381,377533,3544,751,369,391819,0 72,2,2024-09-07 08:47:51:763,269967,269967,0,0,13998772,0,3983 72,3,2024-09-07 08:47:51:754,1,217,4,0,364,3680,217,0 73,0,2024-09-07 08:47:51:109,54499,0.4,55718,0.6,114309,0.4,148559,2.25 73,1,2024-09-07 08:47:50:767,381906,381906,0,0,178496942420,1858038612,378931,2743,232,367,391750,0 73,2,2024-09-07 08:47:51:739,273646,273646,0,0,13422548,0,3482 73,3,2024-09-07 08:47:50:973,1,217,2,0,274,3336,217,0 74,0,2024-09-07 08:47:51:324,56752,0.5,57886,0.7,110371,0.5,149570,2.25 74,1,2024-09-07 08:47:50:640,381584,381584,0,0,177891597914,1860090339,377631,3162,791,381,391681,0 74,2,2024-09-07 08:47:51:002,275722,275722,0,0,13555885,0,4253 74,3,2024-09-07 08:47:51:460,1,217,8,0,522,3203,217,0 75,0,2024-09-07 08:47:51:788,51320,0.8,51085,1.0,102479,0.7,137315,2.50 75,1,2024-09-07 08:47:51:591,380646,380646,0,0,178568552372,1870610167,375994,3989,663,380,391597,0 75,2,2024-09-07 08:47:51:349,276697,276697,0,0,14100298,0,4766 75,3,2024-09-07 08:47:51:068,1,217,2,0,702,3067,217,0 76,0,2024-09-07 08:47:50:579,53950,0.6,53537,0.8,106999,0.6,143654,2.50 76,1,2024-09-07 08:47:50:812,382159,382159,0,0,178903349688,1866678108,379544,2067,548,382,391692,0 76,2,2024-09-07 08:47:51:069,273455,273455,0,0,11252775,0,3784 76,3,2024-09-07 08:47:51:142,1,217,1,0,175,2392,217,0 77,0,2024-09-07 08:47:51:701,54645,0.6,54935,0.8,109686,0.6,145330,2.00 77,1,2024-09-07 08:47:50:881,382164,382164,0,0,178359584428,1863139348,379360,2571,233,383,391808,0 77,2,2024-09-07 08:47:51:282,272560,272560,0,0,11731346,0,3890 77,3,2024-09-07 08:47:51:098,1,217,4,0,305,2322,217,0 78,0,2024-09-07 08:47:51:726,55973,0.4,55434,0.7,111925,0.4,147802,2.00 78,1,2024-09-07 08:47:50:610,381867,381867,0,0,177933317612,1850073802,378916,2635,316,367,391670,0 78,2,2024-09-07 08:47:51:409,274516,274516,0,0,10747008,0,3855 78,3,2024-09-07 08:47:51:137,1,217,4,0,181,2133,217,0 79,0,2024-09-07 08:47:51:350,51318,0.5,52559,0.7,107481,0.4,139279,2.50 79,1,2024-09-07 08:47:50:574,383078,383078,0,0,179036452496,1854991829,380248,2494,336,369,391682,0 79,2,2024-09-07 08:47:51:070,278368,278368,0,0,10723117,0,3212 79,3,2024-09-07 08:47:50:760,1,217,13,0,418,3273,217,0 80,0,2024-09-07 08:47:51:097,51035,0.6,52630,0.8,100735,0.7,136903,2.25 80,1,2024-09-07 08:47:51:622,381060,381060,0,0,178595122054,1862391380,378103,2790,167,368,391791,0 80,2,2024-09-07 08:47:51:102,275710,275710,0,0,11337119,0,4433 80,3,2024-09-07 08:47:50:577,1,217,1,0,190,3180,217,0 81,0,2024-09-07 08:47:51:538,54902,0.5,56208,0.7,107908,0.5,145505,2.00 81,1,2024-09-07 08:47:51:655,381133,381133,0,0,178222213032,1866291294,377556,3131,446,382,391879,0 81,2,2024-09-07 08:47:51:139,271531,271531,0,0,11488087,0,3993 81,3,2024-09-07 08:47:51:117,1,217,1,0,374,2621,217,0 82,0,2024-09-07 08:47:51:536,56400,0.4,56911,0.7,113913,0.4,151155,2.00 82,1,2024-09-07 08:47:50:621,381839,381835,0,4,178584135987,1864465715,378618,2606,611,381,391558,4 82,2,2024-09-07 08:47:51:697,273577,273577,0,0,11051125,0,3986 82,3,2024-09-07 08:47:51:756,1,217,1,0,363,2664,217,0 83,0,2024-09-07 08:47:51:527,54934,0.6,54984,0.7,109159,0.6,145606,2.00 83,1,2024-09-07 08:47:50:557,381263,381263,0,0,178787920586,1867615782,378124,2896,243,382,391690,0 83,2,2024-09-07 08:47:50:766,276852,276852,0,0,10991244,0,3119 83,3,2024-09-07 08:47:50:749,1,217,1,0,250,2372,217,0 84,0,2024-09-07 08:47:51:785,50052,1.0,50059,1.1,100185,0.9,134515,2.50 84,1,2024-09-07 08:47:51:058,380526,380526,0,0,178488762146,1871554121,375868,4084,574,368,391967,0 84,2,2024-09-07 08:47:50:576,276262,276262,0,0,13166703,0,3801 84,3,2024-09-07 08:47:51:141,1,217,1,0,908,3767,217,0 85,0,2024-09-07 08:47:51:011,54410,0.8,54276,0.9,115277,0.9,149093,2.50 85,1,2024-09-07 08:47:50:560,379739,379739,0,0,178017345221,1887631675,372924,5710,1105,382,392006,0 85,2,2024-09-07 08:47:50:867,271906,271906,0,0,13523840,0,3656 85,3,2024-09-07 08:47:50:690,1,217,1,0,789,2949,217,0 86,0,2024-09-07 08:47:50:896,56330,0.6,57771,0.8,110880,0.6,149762,2.00 86,1,2024-09-07 08:47:50:823,381321,381321,0,0,178563780701,1872995115,376359,4241,721,366,391961,0 86,2,2024-09-07 08:47:50:868,272071,272070,1,0,14350584,0,5004 86,3,2024-09-07 08:47:50:586,1,217,1,0,286,2920,217,0 87,0,2024-09-07 08:47:51:317,55406,1.1,55050,1.0,110715,1.6,148119,2.25 87,1,2024-09-07 08:47:50:554,381305,381305,0,0,178295981066,1870241768,376856,3969,480,366,391788,0 87,2,2024-09-07 08:47:51:085,275489,275489,0,0,12962682,0,4045 87,3,2024-09-07 08:47:51:806,1,217,1,0,473,3666,217,0 88,0,2024-09-07 08:47:51:464,50599,0.6,50845,0.7,101468,0.6,135224,2.00 88,1,2024-09-07 08:47:50:575,379938,379938,0,0,177934756565,1871380514,374112,4355,1471,365,392084,0 88,2,2024-09-07 08:47:50:688,276700,276700,0,0,14710027,0,3583 88,3,2024-09-07 08:47:51:276,1,217,1,0,435,2883,217,0 89,0,2024-09-07 08:47:51:771,56945,0.4,55089,0.6,109377,0.4,148342,1.75 89,1,2024-09-07 08:47:50:550,379326,379326,0,0,178449255919,1887931000,372714,5439,1173,382,391866,0 89,2,2024-09-07 08:47:51:132,271013,271013,0,0,13439541,0,2910 89,3,2024-09-07 08:47:51:791,1,217,5,0,325,4361,217,0 90,0,2024-09-07 08:47:51:636,53276,0.5,54353,0.6,111650,0.4,145370,1.75 90,1,2024-09-07 08:47:50:600,381480,381480,0,0,178873223711,1874729957,378078,3140,262,381,391825,0 90,2,2024-09-07 08:47:51:435,271660,271660,0,0,14598058,0,3060 90,3,2024-09-07 08:47:50:931,1,217,14,0,200,2412,217,0 91,0,2024-09-07 08:47:51:119,56346,0.4,54478,0.6,113697,0.4,149367,1.75 91,1,2024-09-07 08:47:50:578,380799,380799,0,0,178824942861,1882079612,375759,4393,647,381,392047,0 91,2,2024-09-07 08:47:51:336,274276,274276,0,0,13262619,0,2445 91,3,2024-09-07 08:47:50:599,1,217,2,0,216,2225,217,0 92,0,2024-09-07 08:47:51:473,52456,0.6,53876,0.7,102989,0.7,139194,1.75 92,1,2024-09-07 08:47:50:586,381228,381228,0,0,178981162258,1867521298,378906,1916,406,382,392136,0 92,2,2024-09-07 08:47:51:365,278886,278886,0,0,11330858,0,2801 92,3,2024-09-07 08:47:51:010,1,217,1,0,167,1791,217,0 93,0,2024-09-07 08:47:50:956,53326,0.4,54617,0.6,104184,0.4,142108,1.75 93,1,2024-09-07 08:47:50:808,382023,382023,0,0,178552665284,1864295779,378218,3177,628,366,391692,0 93,2,2024-09-07 08:47:50:929,274544,274544,0,0,11524988,0,2509 93,3,2024-09-07 08:47:51:407,1,217,0,0,190,2379,217,0 94,0,2024-09-07 08:47:51:640,54411,0.4,55087,0.6,109500,0.3,145066,1.75 94,1,2024-09-07 08:47:50:563,381354,381354,0,0,179036364885,1872845363,378190,2988,176,381,391850,0 94,2,2024-09-07 08:47:50:770,271838,271838,0,0,10598093,0,2443 94,3,2024-09-07 08:47:51:689,1,217,16,0,264,3069,217,0 95,0,2024-09-07 08:47:51:347,56365,0.3,55936,0.5,113061,0.3,149330,1.75 95,1,2024-09-07 08:47:50:851,381917,381917,0,0,179051717941,1864188126,378595,2971,351,367,391662,0 95,2,2024-09-07 08:47:51:022,273018,273018,0,0,11442284,0,3308 95,3,2024-09-07 08:47:51:715,1,217,1,0,718,4296,217,0 96,0,2024-09-07 08:47:51:038,54676,0.5,54881,0.6,109501,0.4,144556,1.75 96,1,2024-09-07 08:47:51:586,380859,380859,0,0,178330680231,1866770453,377303,2827,729,385,391894,0 96,2,2024-09-07 08:47:51:276,277019,277019,0,0,12224044,0,4042 96,3,2024-09-07 08:47:51:140,1,217,1,0,411,2595,217,0 97,0,2024-09-07 08:47:51:317,50626,0.4,50364,0.5,101198,0.4,134964,1.75 97,1,2024-09-07 08:47:50:767,382218,382218,0,0,179013221155,1859893302,379246,2419,553,367,392140,0 97,2,2024-09-07 08:47:50:609,275952,275952,0,0,11331791,0,3036 97,3,2024-09-07 08:47:50:573,1,217,1,0,165,2568,217,0 98,0,2024-09-07 08:47:51:710,55704,0.3,55785,0.5,112019,0.3,148347,1.50 98,1,2024-09-07 08:47:50:571,381950,381950,0,0,178978827414,1865349978,379835,2020,95,382,391997,0 98,2,2024-09-07 08:47:50:769,272109,272109,0,0,11182528,0,3080 98,3,2024-09-07 08:47:50:698,1,217,15,0,840,3814,217,0 99,0,2024-09-07 08:47:51:464,57036,0.3,57069,0.4,114007,0.3,152168,1.50 99,1,2024-09-07 08:47:51:744,382169,382169,0,0,178311891297,1863619241,378400,3030,739,381,391744,0 99,2,2024-09-07 08:47:51:430,275260,275260,0,0,11931325,0,3106 99,3,2024-09-07 08:47:50:588,1,217,1,0,129,1646,217,0 100,0,2024-09-07 08:47:51:513,55219,0.8,55268,1.1,110275,1.1,147461,2.25 100,1,2024-09-07 08:47:50:547,379222,379222,0,0,177273223328,1882674764,372392,5513,1317,381,391989,0 100,2,2024-09-07 08:47:51:826,275205,275194,11,0,13785016,0,5417 100,3,2024-09-07 08:47:51:735,1,217,7,0,559,4707,217,0 101,0,2024-09-07 08:47:51:792,51441,2.5,50255,1.5,98347,3.1,135766,3.00 101,1,2024-09-07 08:47:50:550,379716,379716,0,0,177603425040,1880002636,372406,5540,1770,368,391769,0 101,2,2024-09-07 08:47:51:760,275837,275837,0,0,14841148,0,4644 101,3,2024-09-07 08:47:50:943,1,217,1,0,448,2972,217,0 102,0,2024-09-07 08:47:51:016,54446,0.7,56391,0.8,113269,0.6,149007,2.25 102,1,2024-09-07 08:47:51:142,380187,380187,0,0,177844170882,1875742609,374551,4797,839,369,391883,0 102,2,2024-09-07 08:47:51:746,271636,271582,54,0,13345898,0,6768 102,3,2024-09-07 08:47:51:613,1,217,13,0,410,2391,217,0 103,0,2024-09-07 08:47:51:599,57477,0.5,57542,0.7,108518,0.4,149609,2.00 103,1,2024-09-07 08:47:51:631,379680,379680,0,0,177437616110,1876979471,373413,4887,1380,381,391829,0 103,2,2024-09-07 08:47:50:586,271760,271760,0,0,12757402,0,2582 103,3,2024-09-07 08:47:50:755,1,217,1,0,916,3463,217,0 104,0,2024-09-07 08:47:51:003,55718,0.9,56108,1.0,110921,0.9,149687,2.25 104,1,2024-09-07 08:47:51:606,381251,381251,0,0,177773336462,1875021843,374861,5303,1087,365,391948,0 104,2,2024-09-07 08:47:51:674,274776,274776,0,0,13846418,0,3941 104,3,2024-09-07 08:47:51:416,1,217,7,0,1245,6073,217,0 105,0,2024-09-07 08:47:51:026,50745,1.1,49266,1.2,103110,1.6,136276,3.25 105,1,2024-09-07 08:47:50:554,381355,381355,0,0,178529745312,1875505308,376010,4532,813,367,391797,0 105,2,2024-09-07 08:47:51:331,276978,276978,0,0,13418695,0,3509 105,3,2024-09-07 08:47:51:308,1,217,214,0,399,4101,217,0 106,0,2024-09-07 08:47:50:949,52321,0.8,53588,0.9,109600,0.8,143715,2.50 106,1,2024-09-07 08:47:51:768,380795,380795,0,0,177638176897,1869081282,374699,5553,543,369,391767,0 106,2,2024-09-07 08:47:50:762,270636,270636,0,0,12757595,0,2795 106,3,2024-09-07 08:47:50:679,1,217,9,0,470,3322,217,0 107,0,2024-09-07 08:47:51:115,54698,0.9,54645,0.9,108848,1.0,145177,2.00 107,1,2024-09-07 08:47:50:586,379924,379924,0,0,178212124436,1877398301,375250,4192,482,381,392234,0 107,2,2024-09-07 08:47:51:296,272196,272195,1,0,13120472,0,5024 107,3,2024-09-07 08:47:51:779,1,217,9,0,353,3277,217,0 108,0,2024-09-07 08:47:51:780,55946,0.4,56187,0.6,111382,0.4,148448,1.75 108,1,2024-09-07 08:47:51:307,381447,381447,0,0,178874062746,1866243609,378286,2778,383,368,391857,0 108,2,2024-09-07 08:47:51:762,273095,273095,0,0,12241184,0,2647 108,3,2024-09-07 08:47:51:330,1,217,1,0,749,4723,217,0 109,0,2024-09-07 08:47:51:744,53256,0.4,52688,0.6,105798,0.4,141274,1.75 109,1,2024-09-07 08:47:50:603,380741,380741,0,0,178231829088,1870647567,377439,2799,503,383,392132,0 109,2,2024-09-07 08:47:50:925,276506,276506,0,0,12690805,0,3617 109,3,2024-09-07 08:47:51:141,1,217,1,0,249,2789,217,0 110,0,2024-09-07 08:47:51:785,51366,0.4,50070,0.6,104559,0.4,137592,1.75 110,1,2024-09-07 08:47:51:645,381894,381894,0,0,179745507287,1867626106,379119,2006,769,370,392045,0 110,2,2024-09-07 08:47:51:319,275354,275354,0,0,11690891,0,3264 110,3,2024-09-07 08:47:50:702,1,217,8,0,406,2977,217,0 111,0,2024-09-07 08:47:51:417,55453,0.4,54944,0.5,109775,0.3,146795,1.75 111,1,2024-09-07 08:47:51:038,382775,382775,0,0,179574768930,1862328481,380941,1500,334,382,391690,0 111,2,2024-09-07 08:47:51:116,271717,271717,0,0,11495542,0,2763 111,3,2024-09-07 08:47:50:919,1,217,9,0,379,3154,217,0 112,0,2024-09-07 08:47:50:939,56988,0.3,57006,0.4,113967,0.2,151227,1.50 112,1,2024-09-07 08:47:50:826,382610,382610,0,0,178368192521,1853508856,380195,1987,428,380,391580,0 112,2,2024-09-07 08:47:51:133,273121,273120,1,0,11850961,0,5036 112,3,2024-09-07 08:47:50:592,1,217,1,0,282,2439,217,0 113,0,2024-09-07 08:47:50:876,54736,0.3,54650,0.5,109937,0.3,146819,1.75 113,1,2024-09-07 08:47:51:685,383071,383071,0,0,179950864822,1859917410,380584,1998,489,366,391661,0 113,2,2024-09-07 08:47:51:307,278204,278204,0,0,10937418,0,3813 113,3,2024-09-07 08:47:50:684,1,217,1,0,340,3512,217,0 114,0,2024-09-07 08:47:50:880,50912,0.5,51429,0.6,101651,0.4,136384,1.75 114,1,2024-09-07 08:47:50:720,381684,381684,0,0,179427415148,1874335165,376881,3215,1588,381,391556,0 114,2,2024-09-07 08:47:50:875,276479,276478,1,0,12054012,0,5069 114,3,2024-09-07 08:47:51:284,1,217,18,0,395,2527,217,0 115,0,2024-09-07 08:47:50:555,56402,0.3,56754,0.5,113495,0.3,150818,1.75 115,1,2024-09-07 08:47:50:573,381571,381571,0,0,179543903209,1871864164,377231,3507,833,382,391602,0 115,2,2024-09-07 08:47:51:127,271964,271964,0,0,10102288,0,2152 115,3,2024-09-07 08:47:51:003,1,217,1,0,159,1303,217,0 116,0,2024-09-07 08:47:51:715,56313,0.7,55970,0.9,112254,0.7,150464,2.00 116,1,2024-09-07 08:47:50:810,379398,379398,0,0,177758767680,1888512328,373094,4252,2052,380,391782,0 116,2,2024-09-07 08:47:51:753,271991,271991,0,0,14736802,0,3529 116,3,2024-09-07 08:47:50:913,1,217,2,0,415,3424,217,0 117,0,2024-09-07 08:47:50:952,55438,0.9,55358,1.0,110630,1.1,148291,2.00 117,1,2024-09-07 08:47:51:588,380740,380740,0,0,177767733051,1865470377,376213,4049,478,370,392033,0 117,2,2024-09-07 08:47:51:117,277770,277770,0,0,12410481,0,3700 117,3,2024-09-07 08:47:51:061,1,217,1,0,490,3838,217,0 118,0,2024-09-07 08:47:51:811,49134,0.8,50495,0.9,102805,0.9,134889,2.25 118,1,2024-09-07 08:47:50:585,380606,380606,0,0,177916241308,1876515796,374566,4613,1427,366,391736,0 118,2,2024-09-07 08:47:51:592,276211,276211,0,0,13265971,0,2781 118,3,2024-09-07 08:47:51:771,1,217,45,0,235,2661,217,0 119,0,2024-09-07 08:47:51:348,54820,0.8,55265,0.9,110795,0.9,147162,2.25 119,1,2024-09-07 08:47:50:553,381262,381262,0,0,179245435662,1877849538,376625,4115,522,368,391641,0 119,2,2024-09-07 08:47:51:264,271494,271494,0,0,12312544,0,4174 119,3,2024-09-07 08:47:51:329,1,217,8,0,443,3366,217,0 120,0,2024-09-07 08:47:51:635,54452,0.5,54441,0.8,109180,0.5,145855,2.00 120,1,2024-09-07 08:47:50:876,380753,380753,0,0,178201582517,1872787416,376430,3977,346,368,391961,0 120,2,2024-09-07 08:47:50:773,272128,272127,1,0,14434297,0,5281 120,3,2024-09-07 08:47:51:290,1,217,22,0,241,3076,217,0 121,0,2024-09-07 08:47:51:690,55760,1.1,56107,1.0,111980,1.5,148972,2.25 121,1,2024-09-07 08:47:51:655,381384,381384,0,0,178263810068,1867440882,377411,3566,407,367,391840,0 121,2,2024-09-07 08:47:51:131,273357,273357,0,0,14060947,0,4127 121,3,2024-09-07 08:47:50:737,1,217,50,0,269,2656,217,0 122,0,2024-09-07 08:47:51:766,51826,1.0,50506,1.0,105871,1.1,139124,2.25 122,1,2024-09-07 08:47:50:870,380203,380203,0,0,178350553534,1876856569,374512,4946,745,366,392130,0 122,2,2024-09-07 08:47:51:534,276795,276722,73,0,16612572,0,5989 122,3,2024-09-07 08:47:50:604,1,217,8,0,411,4720,217,0 123,0,2024-09-07 08:47:50:968,52849,0.8,51619,0.8,107466,0.9,141139,2.00 123,1,2024-09-07 08:47:50:559,380680,380680,0,0,178223945390,1885324443,372547,6564,1569,369,391823,0 123,2,2024-09-07 08:47:51:020,271942,271941,1,0,13341388,0,5215 123,3,2024-09-07 08:47:51:133,1,217,1,0,168,2723,217,0 124,0,2024-09-07 08:47:51:018,56418,0.3,56407,0.5,106416,0.3,145630,1.75 124,1,2024-09-07 08:47:51:039,382428,382428,0,0,178013855656,1852610241,379614,2452,362,367,392178,0 124,2,2024-09-07 08:47:51:019,272471,272471,0,0,10822489,0,3101 124,3,2024-09-07 08:47:50:761,1,217,0,0,490,2626,217,0 125,0,2024-09-07 08:47:51:430,56245,0.4,56114,0.5,112635,0.3,149361,1.75 125,1,2024-09-07 08:47:50:862,381328,381328,0,0,179300510042,1870791428,378769,2281,278,383,391702,0 125,2,2024-09-07 08:47:51:131,274050,274050,0,0,11659901,0,4534 125,3,2024-09-07 08:47:51:138,1,217,9,0,709,3396,217,0 126,0,2024-09-07 08:47:51:440,54816,0.6,56194,0.7,107456,0.6,145325,1.75 126,1,2024-09-07 08:47:50:551,382417,382417,0,0,179344863798,1861679155,379749,2407,261,365,391987,0 126,2,2024-09-07 08:47:50:613,278702,278702,0,0,11994547,0,3186 126,3,2024-09-07 08:47:50:910,1,217,16,0,183,3105,217,0 127,0,2024-09-07 08:47:51:658,50504,0.4,50844,0.5,101136,0.3,135039,1.75 127,1,2024-09-07 08:47:50:577,381993,381993,0,0,178985440112,1857168869,379118,2722,153,365,391816,0 127,2,2024-09-07 08:47:50:641,276264,276264,0,0,10988760,0,2264 127,3,2024-09-07 08:47:51:280,1,217,48,0,243,1839,217,0 128,0,2024-09-07 08:47:51:546,56203,0.3,56192,0.5,112007,0.3,148679,1.50 128,1,2024-09-07 08:47:51:610,381954,381954,0,0,178087738909,1849598138,379854,1944,156,367,391798,0 128,2,2024-09-07 08:47:51:387,271322,271322,0,0,10775400,0,2434 128,3,2024-09-07 08:47:50:776,1,217,0,0,1082,4478,217,0 129,0,2024-09-07 08:47:51:006,57396,0.3,57064,0.5,114089,0.3,151747,1.50 129,1,2024-09-07 08:47:50:568,379994,379994,0,0,177973448199,1869895670,375876,3191,927,379,391835,0 129,2,2024-09-07 08:47:50:686,273538,273538,0,0,11620760,0,4031 129,3,2024-09-07 08:47:50:696,1,217,1,0,469,3048,217,0 130,0,2024-09-07 08:47:51:759,55719,0.6,55591,0.7,111246,0.7,148482,1.75 130,1,2024-09-07 08:47:50:589,381692,381692,0,0,178452462173,1859451797,379320,2296,76,381,391825,0 130,2,2024-09-07 08:47:51:128,278116,278116,0,0,11548642,0,4067 130,3,2024-09-07 08:47:51:294,1,217,5,0,450,2977,217,0 131,0,2024-09-07 08:47:51:957,50645,0.5,51038,0.6,102597,0.4,135861,1.75 131,1,2024-09-07 08:47:51:820,382345,382345,0,0,178874205759,1868049209,379315,2574,456,381,391865,0 131,2,2024-09-07 08:47:50:570,278293,278293,0,0,10578654,0,2415 131,3,2024-09-07 08:47:51:687,1,217,2,0,392,2326,217,0 132,0,2024-09-07 08:47:51:435,55536,0.5,56150,0.7,112291,0.5,149718,2.00 132,1,2024-09-07 08:47:50:577,379949,379949,0,0,177631037931,1879811971,373190,5528,1231,381,392097,0 132,2,2024-09-07 08:47:50:698,271273,271273,0,0,13866787,0,4606 132,3,2024-09-07 08:47:51:688,1,217,6,0,356,3395,217,0 133,0,2024-09-07 08:47:51:526,54464,0.4,55752,0.6,114253,0.4,148387,1.75 133,1,2024-09-07 08:47:50:596,379314,379314,0,0,178124258395,1880865117,373855,4794,665,383,391914,0 133,2,2024-09-07 08:47:51:115,271875,271875,0,0,15163371,0,4315 133,3,2024-09-07 08:47:51:297,1,217,1,0,276,2187,217,0 134,0,2024-09-07 08:47:50:947,56101,0.5,56035,0.7,112613,0.5,149643,2.00 134,1,2024-09-07 08:47:50:585,380204,380204,0,0,177976892793,1875972217,373794,4943,1467,366,391718,0 134,2,2024-09-07 08:47:51:767,275055,275055,0,0,12846326,0,3847 134,3,2024-09-07 08:47:50:759,1,217,34,0,739,3524,217,0 135,0,2024-09-07 08:47:51:131,49662,1.2,49676,1.1,105224,1.3,135818,2.25 135,1,2024-09-07 08:47:51:594,379440,379440,0,0,178584820805,1885133875,373262,5183,995,380,391805,0 135,2,2024-09-07 08:47:50:703,277119,277119,0,0,14160960,0,3981 135,3,2024-09-07 08:47:51:002,1,217,1,0,299,1559,217,0 136,0,2024-09-07 08:47:51:643,54575,0.6,54437,0.7,108839,0.5,145273,2.25 136,1,2024-09-07 08:47:51:452,380476,380476,0,0,177736598399,1870002455,375442,4492,542,382,391685,0 136,2,2024-09-07 08:47:51:143,272944,272944,0,0,12479179,0,3506 136,3,2024-09-07 08:47:51:107,1,217,1,0,126,1806,217,0 137,0,2024-09-07 08:47:51:025,56315,0.7,54602,0.8,107916,0.7,146301,2.00 137,1,2024-09-07 08:47:50:581,380027,380027,0,0,178473379422,1877251344,373447,5201,1379,366,391708,0 137,2,2024-09-07 08:47:51:741,271845,271845,0,0,14718614,0,3185 137,3,2024-09-07 08:47:50:778,1,217,2,0,382,2775,217,0 138,0,2024-09-07 08:47:51:762,55406,0.9,55493,1.0,111377,1.1,148096,2.25 138,1,2024-09-07 08:47:51:692,380867,380867,0,0,178954885910,1881202873,374882,5066,919,368,391954,0 138,2,2024-09-07 08:47:50:587,273993,273993,0,0,13194623,0,4988 138,3,2024-09-07 08:47:50:610,1,217,1,0,1160,3712,217,0 139,0,2024-09-07 08:47:51:367,52051,2.4,52054,1.5,105056,3.5,139794,2.75 139,1,2024-09-07 08:47:50:580,378903,378903,0,0,177773844026,1893399811,371004,5835,2064,381,391892,0 139,2,2024-09-07 08:47:50:693,275639,275639,0,0,13732721,0,3097 139,3,2024-09-07 08:47:51:710,1,217,7,0,244,2376,217,0 140,0,2024-09-07 08:47:51:614,51760,0.4,51199,0.5,103274,0.3,137734,1.75 140,1,2024-09-07 08:47:51:537,382836,382836,0,0,179802024448,1853971368,381073,1523,240,365,391606,0 140,2,2024-09-07 08:47:50:687,275910,275910,0,0,11181182,0,3388 140,3,2024-09-07 08:47:50:774,1,217,7,0,247,1917,217,0 141,0,2024-09-07 08:47:51:710,55270,0.3,56662,0.5,108258,0.2,146787,1.50 141,1,2024-09-07 08:47:50:862,382563,382563,0,0,179370426276,1862047672,380281,1927,355,379,391614,0 141,2,2024-09-07 08:47:51:690,271970,271970,0,0,10537784,0,2342 141,3,2024-09-07 08:47:51:045,1,217,1,0,178,1950,217,0 142,0,2024-09-07 08:47:51:314,57589,0.3,56971,0.5,113412,0.2,151480,1.50 142,1,2024-09-07 08:47:50:591,381725,381725,0,0,179142694075,1868803030,379266,2216,243,382,392102,0 142,2,2024-09-07 08:47:51:299,272823,272791,32,0,12302347,0,6028 142,3,2024-09-07 08:47:51:747,1,217,8,0,484,2927,217,0 143,0,2024-09-07 08:47:51:383,55030,0.6,54974,0.6,110458,0.6,146619,1.75 143,1,2024-09-07 08:47:50:559,382157,382157,0,0,179017819509,1857382969,379826,2285,46,367,391619,0 143,2,2024-09-07 08:47:50:782,277615,277615,0,0,11692727,0,2669 143,3,2024-09-07 08:47:51:141,1,217,7,0,303,3105,217,0 144,0,2024-09-07 08:47:51:547,48862,0.9,50318,1.1,102196,1.0,134836,2.25 144,1,2024-09-07 08:47:50:577,380039,380039,0,0,178160730018,1874719249,376099,3019,921,381,391649,0 144,2,2024-09-07 08:47:51:761,276791,276791,0,0,11378528,0,3473 144,3,2024-09-07 08:47:51:739,1,217,1,0,249,2690,217,0 145,0,2024-09-07 08:47:51:377,54343,0.7,54334,0.9,115183,0.6,149089,2.50 145,1,2024-09-07 08:47:50:558,379349,379349,0,0,177773690850,1875716761,373869,4660,820,382,391698,0 145,2,2024-09-07 08:47:51:432,270230,270230,0,0,13277295,0,3903 145,3,2024-09-07 08:47:50:899,1,217,1,0,622,3903,217,0 146,0,2024-09-07 08:47:51:644,56252,0.6,55809,0.8,112391,0.5,149632,2.25 146,1,2024-09-07 08:47:51:596,380780,380780,0,0,178019817515,1878737363,373344,5627,1809,368,391770,0 146,2,2024-09-07 08:47:51:697,272405,272405,0,0,12789881,0,2730 146,3,2024-09-07 08:47:51:274,1,217,1,0,1520,5766,217,0 147,0,2024-09-07 08:47:51:701,55327,0.7,55365,0.8,109912,0.6,147933,2.25 147,1,2024-09-07 08:47:51:376,382410,382410,0,0,179082847353,1864665472,378681,3160,569,368,391791,0 147,2,2024-09-07 08:47:51:010,277674,277674,0,0,11194964,0,2789 147,3,2024-09-07 08:47:50:917,1,217,2,0,730,3396,217,0 0,0,2024-09-07 08:48:01:744,53411,0.5,53430,0.7,113345,0.5,147075,1.75 0,1,2024-09-07 08:48:00:802,382921,382921,0,0,179575260925,1882156802,379757,2962,202,372,391772,0 0,2,2024-09-07 08:48:01:070,274196,274196,0,0,11790013,0,4480 0,3,2024-09-07 08:48:00:974,1,218,20,0,247,2840,218,0 1,0,2024-09-07 08:48:01:764,56288,0.9,55821,1.0,112261,1.2,149667,2.00 1,1,2024-09-07 08:48:00:557,382455,382455,0,0,178548486908,1875660969,378031,3371,1053,371,391857,0 1,2,2024-09-07 08:48:00:645,275609,275609,0,0,10955115,0,3267 1,3,2024-09-07 08:48:01:310,1,218,4,0,262,2766,218,0 2,0,2024-09-07 08:48:01:566,52121,0.9,52559,0.9,104315,1.2,139341,2.00 2,1,2024-09-07 08:48:00:861,383225,383225,0,0,179376342015,1866735606,381123,1884,218,380,391745,0 2,2,2024-09-07 08:48:01:276,278829,278829,0,0,11745554,0,3594 2,3,2024-09-07 08:48:00:702,1,218,3,0,357,2177,218,0 3,0,2024-09-07 08:48:01:755,53404,0.5,53462,0.6,106391,0.4,142193,2.00 3,1,2024-09-07 08:48:01:618,382951,382951,0,0,178944407071,1868034649,379193,3297,461,380,391523,0 3,2,2024-09-07 08:48:01:142,274124,274101,23,0,11653356,0,5851 3,3,2024-09-07 08:48:01:753,1,218,3,0,103,1398,218,0 4,0,2024-09-07 08:48:01:803,53049,0.4,54501,0.5,110993,0.3,145371,1.75 4,1,2024-09-07 08:48:00:594,382421,382421,0,0,179073453869,1886477972,377719,3914,788,371,391846,0 4,2,2024-09-07 08:48:01:022,272508,272508,0,0,13734110,0,4528 4,3,2024-09-07 08:48:01:027,1,218,5,0,448,3539,218,0 5,0,2024-09-07 08:48:01:404,56317,0.4,56523,0.6,112361,0.4,149531,1.75 5,1,2024-09-07 08:48:00:770,382240,382240,0,0,179000382587,1888287874,376767,4363,1110,368,392005,0 5,2,2024-09-07 08:48:01:864,273556,273556,0,0,12713712,0,2432 5,3,2024-09-07 08:48:01:749,1,218,6,0,457,3722,218,0 6,0,2024-09-07 08:48:00:917,54909,0.7,54393,0.8,108646,0.8,145051,2.25 6,1,2024-09-07 08:48:00:750,382805,382805,0,0,179105535600,1877498756,378151,3810,844,379,391634,0 6,2,2024-09-07 08:48:01:116,278186,278186,0,0,12864080,0,4816 6,3,2024-09-07 08:48:01:285,1,218,3,0,340,2776,218,0 7,0,2024-09-07 08:48:01:532,50500,0.6,50560,0.7,101020,0.6,134850,2.00 7,1,2024-09-07 08:48:00:866,381992,381992,0,0,179147509257,1886313555,376107,4882,1003,382,391686,0 7,2,2024-09-07 08:48:00:771,275815,275815,0,0,12734291,0,4791 7,3,2024-09-07 08:48:00:865,1,218,4,0,305,2288,218,0 8,0,2024-09-07 08:48:01:351,55848,0.4,55978,0.5,111400,0.4,148537,1.75 8,1,2024-09-07 08:48:01:025,381519,381519,0,0,179123924273,1894804313,373659,5855,2005,367,391956,0 8,2,2024-09-07 08:48:00:790,269634,269634,0,0,14368189,0,2986 8,3,2024-09-07 08:48:00:585,1,218,1,0,357,3366,218,0 9,0,2024-09-07 08:48:01:165,57358,0.3,55618,0.5,116110,0.3,152356,1.75 9,1,2024-09-07 08:48:00:570,381947,381947,0,0,179311719537,1895429965,375704,4705,1538,370,392001,0 9,2,2024-09-07 08:48:01:104,274092,274092,0,0,12697522,0,3360 9,3,2024-09-07 08:48:01:757,1,218,5,0,496,3313,218,0 10,0,2024-09-07 08:48:01:603,55874,0.3,55417,0.5,111469,0.3,148691,1.75 10,1,2024-09-07 08:48:00:584,382323,382323,0,0,179089959143,1881558429,376294,5101,928,381,391741,0 10,2,2024-09-07 08:48:00:767,278172,278172,0,0,14436690,0,4264 10,3,2024-09-07 08:48:00:885,1,218,16,0,177,1836,218,0 11,0,2024-09-07 08:48:01:007,50575,0.5,49014,0.8,102538,0.6,136327,2.00 11,1,2024-09-07 08:48:00:571,382727,382727,0,0,178576447836,1883936654,375005,5808,1914,383,391537,0 11,2,2024-09-07 08:48:01:125,277363,277363,0,0,13825847,0,4130 11,3,2024-09-07 08:48:01:307,1,218,1,0,843,3933,218,0 12,0,2024-09-07 08:48:00:972,56710,0.3,56596,0.5,113015,0.3,150968,1.75 12,1,2024-09-07 08:48:00:943,383161,383161,0,0,178909450780,1867795900,379872,2868,421,370,391837,0 12,2,2024-09-07 08:48:01:544,273307,273307,0,0,12579306,0,3469 12,3,2024-09-07 08:48:01:059,1,218,1,0,386,4021,218,0 13,0,2024-09-07 08:48:01:363,56486,0.3,56357,0.5,112527,0.3,150051,1.50 13,1,2024-09-07 08:48:01:524,382264,382264,0,0,179330927344,1883372347,378909,2816,539,382,391717,0 13,2,2024-09-07 08:48:00:605,274821,274821,0,0,11683049,0,3287 13,3,2024-09-07 08:48:01:772,1,218,15,0,467,3749,218,0 14,0,2024-09-07 08:48:00:566,56487,0.4,57044,0.6,112759,0.3,149967,1.75 14,1,2024-09-07 08:48:01:562,385133,385133,0,0,179918263515,1865027465,382436,2508,189,364,391571,0 14,2,2024-09-07 08:48:00:764,277094,277094,0,0,11846678,0,2896 14,3,2024-09-07 08:48:01:117,1,218,6,0,1168,3334,218,0 15,0,2024-09-07 08:48:01:556,51150,0.6,51526,0.8,102921,0.7,136893,2.25 15,1,2024-09-07 08:48:01:608,383453,383453,0,0,179094546150,1863475767,381152,2157,144,381,391619,0 15,2,2024-09-07 08:48:00:999,279195,279195,0,0,10263507,0,3043 15,3,2024-09-07 08:48:01:406,1,218,0,0,1126,4772,218,0 16,0,2024-09-07 08:48:00:944,54681,0.7,54960,0.9,108993,0.8,146223,2.25 16,1,2024-09-07 08:48:00:583,383509,383509,0,0,179276491078,1878014693,379966,3247,296,370,391756,0 16,2,2024-09-07 08:48:01:445,272721,272721,0,0,12498500,0,4719 16,3,2024-09-07 08:48:01:155,1,218,0,0,317,2963,218,0 17,0,2024-09-07 08:48:01:767,56515,0.5,55138,0.7,108038,0.5,146566,2.00 17,1,2024-09-07 08:48:00:596,381974,381974,0,0,179022277170,1884752964,377148,3725,1101,368,391688,0 17,2,2024-09-07 08:48:01:674,276515,276515,0,0,11711325,0,2857 17,3,2024-09-07 08:48:00:573,1,218,29,0,268,3614,218,0 18,0,2024-09-07 08:48:00:946,55354,0.7,55493,0.9,110957,0.8,147893,2.50 18,1,2024-09-07 08:48:01:638,383329,383329,0,0,179530022461,1863928145,380831,2259,239,367,391649,0 18,2,2024-09-07 08:48:01:758,275843,275843,0,0,11479403,0,3541 18,3,2024-09-07 08:48:00:897,1,218,24,0,163,2367,218,0 19,0,2024-09-07 08:48:01:545,52541,0.9,53163,0.9,104887,0.9,139623,2.50 19,1,2024-09-07 08:48:00:569,383277,383277,0,0,179881038043,1874112262,378680,3812,785,367,391777,0 19,2,2024-09-07 08:48:01:761,279920,279920,0,0,10567165,0,3988 19,3,2024-09-07 08:48:01:140,1,218,16,0,524,1777,218,0 20,0,2024-09-07 08:48:01:426,51538,0.9,51499,0.9,103539,1.0,138591,2.50 20,1,2024-09-07 08:48:00:569,382444,382444,0,0,179287121788,1879618331,378653,3395,396,369,391886,0 20,2,2024-09-07 08:48:00:940,275240,275240,0,0,12290233,0,3721 20,3,2024-09-07 08:48:00:592,1,218,1,0,414,4350,218,0 21,0,2024-09-07 08:48:01:137,55212,0.5,55466,0.7,110681,0.5,146304,2.00 21,1,2024-09-07 08:48:01:536,381584,381584,0,0,177961069401,1880385959,375919,4408,1257,368,391962,0 21,2,2024-09-07 08:48:01:070,272596,272596,0,0,13670071,0,3747 21,3,2024-09-07 08:48:01:404,1,218,1,0,103,2484,218,0 22,0,2024-09-07 08:48:01:754,56828,0.7,56797,0.8,113271,0.6,150624,2.25 22,1,2024-09-07 08:48:01:023,381908,381908,0,0,178626619275,1886485640,375019,5270,1619,382,391667,0 22,2,2024-09-07 08:48:00:765,274232,274232,0,0,12133302,0,3134 22,3,2024-09-07 08:48:01:067,1,218,8,0,228,2207,218,0 23,0,2024-09-07 08:48:01:379,54784,0.7,54710,0.8,109942,0.7,146491,2.25 23,1,2024-09-07 08:48:01:008,382329,382329,0,0,178977674524,1886825247,375072,4707,2550,365,391550,0 23,2,2024-09-07 08:48:01:093,278310,278310,0,0,11907143,0,3010 23,3,2024-09-07 08:48:01:766,1,218,3,0,645,3072,218,0 24,0,2024-09-07 08:48:00:818,51369,0.5,51344,0.6,102942,0.4,137079,1.75 24,1,2024-09-07 08:48:00:584,382524,382524,0,0,179166816434,1882165098,377601,3471,1452,369,391987,0 24,2,2024-09-07 08:48:01:070,276129,276129,0,0,14244907,0,3607 24,3,2024-09-07 08:48:01:701,1,218,38,0,468,3506,218,0 25,0,2024-09-07 08:48:01:370,57974,0.5,56622,0.7,110909,0.5,151369,2.00 25,1,2024-09-07 08:48:00:558,383040,383040,0,0,179167227300,1878129461,379034,3459,547,371,391788,0 25,2,2024-09-07 08:48:01:606,271246,271246,0,0,13964313,0,3978 25,3,2024-09-07 08:48:01:000,1,218,1,0,255,2586,218,0 26,0,2024-09-07 08:48:01:723,56415,0.4,55040,0.6,115530,0.4,150679,1.75 26,1,2024-09-07 08:48:01:546,382958,382958,0,0,178402273884,1875798212,376567,5251,1140,381,391748,0 26,2,2024-09-07 08:48:00:861,274533,274533,0,0,13528547,0,2809 26,3,2024-09-07 08:48:01:713,1,218,2,0,796,3127,218,0 27,0,2024-09-07 08:48:01:748,56110,0.5,55923,0.6,110882,0.4,148264,2.00 27,1,2024-09-07 08:48:01:676,384480,384480,0,0,180484932199,1878248283,381686,2390,404,381,391558,0 27,2,2024-09-07 08:48:00:871,275845,275780,65,0,14488763,0,5699 27,3,2024-09-07 08:48:01:015,1,218,116,0,564,2451,218,0 28,0,2024-09-07 08:48:01:394,51003,0.6,50926,0.7,102322,0.7,136959,2.25 28,1,2024-09-07 08:48:00:798,384007,384007,0,0,180021175289,1877080910,381185,2352,470,383,391646,0 28,2,2024-09-07 08:48:01:770,278657,278657,0,0,11362454,0,2915 28,3,2024-09-07 08:48:01:782,1,218,2,0,502,2405,218,0 29,0,2024-09-07 08:48:01:364,57114,0.3,55774,0.5,108983,0.2,149062,1.75 29,1,2024-09-07 08:48:01:564,384688,384688,0,0,180000123342,1866371179,381537,2587,564,369,391621,0 29,2,2024-09-07 08:48:00:869,272376,272376,0,0,10273225,0,4018 29,3,2024-09-07 08:48:00:965,1,218,0,0,115,1670,218,0 30,0,2024-09-07 08:48:01:460,54814,0.6,53388,0.7,111652,0.5,146484,2.00 30,1,2024-09-07 08:48:00:570,384676,384676,0,0,180564802577,1872910810,382487,1896,293,382,391672,0 30,2,2024-09-07 08:48:01:274,274994,274994,0,0,10444286,0,3161 30,3,2024-09-07 08:48:00:584,1,218,8,0,195,1562,218,0 31,0,2024-09-07 08:48:01:782,55732,0.4,56083,0.6,112520,0.4,149543,2.00 31,1,2024-09-07 08:48:00:569,385671,385671,0,0,180306544234,1848803146,384576,938,157,356,391712,0 31,2,2024-09-07 08:48:01:291,275520,275520,0,0,12241396,0,3525 31,3,2024-09-07 08:48:01:706,1,218,1,0,220,1828,218,0 32,0,2024-09-07 08:48:01:418,52118,0.4,52689,0.6,105263,0.3,140010,1.75 32,1,2024-09-07 08:48:00:812,384510,384510,0,0,180023958400,1870009360,382593,1640,277,381,391614,0 32,2,2024-09-07 08:48:00:943,279071,279071,0,0,10588635,0,3155 32,3,2024-09-07 08:48:01:015,1,218,1,0,227,1702,218,0 33,0,2024-09-07 08:48:01:503,53867,0.3,53192,0.5,107166,0.2,143182,1.75 33,1,2024-09-07 08:48:00:575,384802,384802,0,0,180676289686,1869869282,382245,2383,174,369,391730,0 33,2,2024-09-07 08:48:00:759,274905,274872,33,0,12290258,0,7012 33,3,2024-09-07 08:48:00:895,1,218,1,0,329,2551,218,0 34,0,2024-09-07 08:48:00:937,54681,0.3,56358,0.5,108051,0.3,144631,1.75 34,1,2024-09-07 08:48:01:047,385443,385443,0,0,180204660749,1855734845,384369,1067,7,367,391562,0 34,2,2024-09-07 08:48:00:766,273589,273589,0,0,11303603,0,3577 34,3,2024-09-07 08:48:01:701,1,218,3,0,148,1543,218,0 35,0,2024-09-07 08:48:00:860,55733,0.4,56115,0.5,112707,0.3,149727,1.75 35,1,2024-09-07 08:48:01:069,383469,383469,0,0,179817787683,1868318951,380194,2496,779,384,391589,0 35,2,2024-09-07 08:48:01:583,275668,275668,0,0,10694912,0,2653 35,3,2024-09-07 08:48:00:908,1,218,26,0,418,2702,218,0 36,0,2024-09-07 08:48:01:518,54528,0.7,54531,0.9,109235,0.8,144635,2.50 36,1,2024-09-07 08:48:00:585,382757,382757,0,0,179273782185,1881545743,376833,4346,1578,366,391759,0 36,2,2024-09-07 08:48:01:757,277638,277638,0,0,13114353,0,3875 36,3,2024-09-07 08:48:00:866,1,218,1,0,416,3809,218,0 37,0,2024-09-07 08:48:01:378,50512,0.6,50272,0.8,100999,0.6,135742,2.00 37,1,2024-09-07 08:48:00:569,382298,382291,0,7,179197094714,1884616321,376302,3923,2066,365,391560,0 37,2,2024-09-07 08:48:01:165,275865,275850,15,0,13376789,0,5815 37,3,2024-09-07 08:48:01:776,1,218,2,0,888,4111,218,0 38,0,2024-09-07 08:48:01:439,55307,0.5,53706,0.7,112455,0.5,146757,2.00 38,1,2024-09-07 08:48:01:607,383897,383897,0,0,179555189284,1880815078,378633,4590,674,368,391821,0 38,2,2024-09-07 08:48:00:764,272278,272231,47,0,13626015,0,6710 38,3,2024-09-07 08:48:00:997,1,218,2,0,689,3457,218,0 39,0,2024-09-07 08:48:01:776,58372,0.7,57322,0.8,111293,0.7,152389,2.00 39,1,2024-09-07 08:48:00:719,383454,383454,0,0,180011001478,1883558074,378092,4465,897,365,391594,0 39,2,2024-09-07 08:48:01:418,273949,273949,0,0,11700045,0,2689 39,3,2024-09-07 08:48:00:722,1,218,0,0,324,3351,218,0 40,0,2024-09-07 08:48:01:510,55042,0.8,55603,1.0,111202,0.8,148163,2.75 40,1,2024-09-07 08:48:00:576,383338,383338,0,0,179420752354,1883985197,377096,5009,1233,368,391591,0 40,2,2024-09-07 08:48:01:306,277596,277595,1,0,14299643,0,5137 40,3,2024-09-07 08:48:01:155,1,218,1,0,333,2529,218,0 41,0,2024-09-07 08:48:01:032,50220,2.1,51403,1.5,98242,3.4,134585,4.25 41,1,2024-09-07 08:48:00:780,382876,382876,0,0,179866440107,1884677406,377592,4651,633,370,391742,0 41,2,2024-09-07 08:48:00:764,276218,276218,0,0,14040921,0,3356 41,3,2024-09-07 08:48:01:676,1,218,1,0,366,2539,218,0 42,0,2024-09-07 08:48:01:476,55696,0.7,55943,0.9,111769,0.7,147994,2.50 42,1,2024-09-07 08:48:01:439,381902,381902,0,0,179649977323,1890574090,375667,4989,1246,380,391675,0 42,2,2024-09-07 08:48:01:139,272159,272159,0,0,12884301,0,3568 42,3,2024-09-07 08:48:01:009,1,218,1,0,446,1959,218,0 43,0,2024-09-07 08:48:00:917,55839,0.7,54370,0.9,113655,0.7,149629,2.25 43,1,2024-09-07 08:48:00:585,383095,383095,0,0,179489446204,1877357933,377869,4134,1092,366,391696,0 43,2,2024-09-07 08:48:01:743,274562,274562,0,0,12264658,0,3812 43,3,2024-09-07 08:48:01:765,1,218,2,0,467,2776,218,0 44,0,2024-09-07 08:48:00:878,56257,0.4,56584,0.6,112861,0.4,150451,1.75 44,1,2024-09-07 08:48:00:563,384626,384626,0,0,179732208981,1858059055,382133,2062,431,356,391809,0 44,2,2024-09-07 08:48:01:269,276595,276595,0,0,10419475,0,2231 44,3,2024-09-07 08:48:01:092,1,218,39,0,817,2593,218,0 45,0,2024-09-07 08:48:01:789,50832,0.8,49720,0.9,104295,0.8,137680,2.00 45,1,2024-09-07 08:48:01:032,383691,383691,0,0,180256178997,1868708706,382327,1352,12,382,391917,0 45,2,2024-09-07 08:48:01:300,279021,279021,0,0,11428686,0,3596 45,3,2024-09-07 08:48:00:940,1,218,1,0,271,2544,218,0 46,0,2024-09-07 08:48:00:950,54575,0.4,54449,0.6,109216,0.3,145517,2.00 46,1,2024-09-07 08:48:00:579,385069,385069,0,0,180050179634,1860673526,382858,1938,273,366,391524,0 46,2,2024-09-07 08:48:00:594,273794,273794,0,0,10687226,0,2920 46,3,2024-09-07 08:48:01:136,1,218,0,0,908,3410,218,0 47,0,2024-09-07 08:48:01:101,55202,0.4,55106,0.6,110790,0.4,146564,1.75 47,1,2024-09-07 08:48:00:569,384996,384996,0,0,180487035119,1863817266,383013,1898,85,366,391605,0 47,2,2024-09-07 08:48:00:908,276125,276125,0,0,10786360,0,2558 47,3,2024-09-07 08:48:01:117,1,218,1,0,529,2209,218,0 48,0,2024-09-07 08:48:01:490,56322,0.3,56024,0.4,111516,0.2,148840,1.50 48,1,2024-09-07 08:48:01:022,384618,384618,0,0,180113853823,1873810649,381659,2660,299,384,391710,0 48,2,2024-09-07 08:48:00:699,275929,275929,0,0,10656234,0,3031 48,3,2024-09-07 08:48:00:757,1,218,8,0,339,1989,218,0 49,0,2024-09-07 08:48:01:734,54530,0.4,53493,0.6,103766,0.4,141659,1.75 49,1,2024-09-07 08:48:01:020,382374,382374,0,0,179089060184,1870195509,378586,2607,1181,382,391610,0 49,2,2024-09-07 08:48:01:797,279534,279534,0,0,11201096,0,3900 49,3,2024-09-07 08:48:01:417,1,218,7,0,408,2632,218,0 50,0,2024-09-07 08:48:01:511,51951,0.3,51316,0.5,103288,0.2,138494,1.75 50,1,2024-09-07 08:48:01:013,385144,385144,0,0,179784203791,1863028070,382439,2395,310,368,391530,0 50,2,2024-09-07 08:48:01:067,276103,276103,0,0,10439630,0,2263 50,3,2024-09-07 08:48:01:307,1,218,18,0,335,1938,218,0 51,0,2024-09-07 08:48:01:685,56422,0.3,55252,0.5,107703,0.2,146658,1.75 51,1,2024-09-07 08:48:01:684,384603,384603,0,0,180720671321,1868743704,381867,1797,939,365,391706,0 51,2,2024-09-07 08:48:01:325,273389,273389,0,0,9451843,0,3337 51,3,2024-09-07 08:48:01:027,1,218,1,0,678,1929,218,0 52,0,2024-09-07 08:48:01:414,57489,0.5,57165,0.7,114090,0.4,151258,2.00 52,1,2024-09-07 08:48:00:575,382765,382765,0,0,178661006805,1879796731,375882,5680,1203,368,391722,0 52,2,2024-09-07 08:48:01:767,272956,272918,38,0,13691558,0,6742 52,3,2024-09-07 08:48:00:676,1,218,1,0,1782,4142,218,0 53,0,2024-09-07 08:48:01:730,54638,0.8,53147,0.9,111139,0.9,145669,2.50 53,1,2024-09-07 08:48:00:772,381982,381982,0,0,179441439252,1892236454,374663,4963,2356,367,391702,0 53,2,2024-09-07 08:48:01:307,278425,278425,0,0,11685529,0,2727 53,3,2024-09-07 08:48:00:710,1,218,5,0,271,2147,218,0 54,0,2024-09-07 08:48:01:616,49954,1.4,50381,1.1,99696,0.9,134350,3.25 54,1,2024-09-07 08:48:00:584,383008,383008,0,0,179758014684,1875732973,378287,4125,596,367,391659,0 54,2,2024-09-07 08:48:00:870,276977,276971,6,0,13016851,0,5382 54,3,2024-09-07 08:48:00:763,1,218,12,0,676,3579,218,0 55,0,2024-09-07 08:48:01:769,54477,0.7,56455,0.9,113596,0.7,147844,2.50 55,1,2024-09-07 08:48:00:771,382398,382398,0,0,178953069203,1869397656,376867,4744,787,365,391731,0 55,2,2024-09-07 08:48:00:731,271383,271383,0,0,12787046,0,3275 55,3,2024-09-07 08:48:00:673,1,218,1,0,304,2707,218,0 56,0,2024-09-07 08:48:01:578,57831,1.1,54553,1.1,112260,1.6,150510,2.50 56,1,2024-09-07 08:48:00:570,381879,381879,0,0,179219209747,1896679248,375648,5240,991,381,391678,0 56,2,2024-09-07 08:48:01:311,274449,274449,0,0,13627888,0,3567 56,3,2024-09-07 08:48:01:071,1,218,6,0,705,3426,218,0 57,0,2024-09-07 08:48:00:991,54887,1.8,55014,1.3,109952,2.5,147252,3.25 57,1,2024-09-07 08:48:00:991,383048,383048,0,0,178974019835,1877524750,378476,4027,545,367,391960,0 57,2,2024-09-07 08:48:01:323,277959,277959,0,0,14620320,0,3317 57,3,2024-09-07 08:48:01:743,1,218,1,0,359,3176,218,0 58,0,2024-09-07 08:48:00:569,49733,0.9,48486,1.1,101510,1.0,133269,2.50 58,1,2024-09-07 08:48:00:575,383163,383160,0,3,180041118271,1883820188,377884,4581,695,367,391545,3 58,2,2024-09-07 08:48:01:070,278291,278291,0,0,13247221,0,2549 58,3,2024-09-07 08:48:01:068,1,218,3,0,1043,2702,218,0 59,0,2024-09-07 08:48:01:751,55548,0.7,55435,0.9,110807,0.7,147654,2.75 59,1,2024-09-07 08:48:00:804,382774,382774,0,0,179579768503,1882722054,377440,4164,1170,369,391525,0 59,2,2024-09-07 08:48:00:583,273888,273888,0,0,11628656,0,2604 59,3,2024-09-07 08:48:01:743,1,218,2,0,1015,3338,218,0 60,0,2024-09-07 08:48:01:707,55193,0.5,54980,0.6,110582,0.4,147143,1.75 60,1,2024-09-07 08:48:00:773,384812,384812,0,0,180528897119,1874101271,382440,1855,517,370,392031,0 60,2,2024-09-07 08:48:01:163,274477,274477,0,0,12481676,0,3811 60,3,2024-09-07 08:48:01:262,1,218,1,0,124,2060,218,0 61,0,2024-09-07 08:48:01:503,56124,0.8,56379,0.8,112244,0.8,149680,2.00 61,1,2024-09-07 08:48:00:777,383096,383096,0,0,179356374252,1880156228,378642,3754,700,382,391880,0 61,2,2024-09-07 08:48:01:130,276814,276814,0,0,11244753,0,2090 61,3,2024-09-07 08:48:01:689,1,218,2,0,397,3218,218,0 62,0,2024-09-07 08:48:01:706,52794,0.9,53804,0.9,102491,1.1,139891,2.00 62,1,2024-09-07 08:48:01:111,385907,385901,0,6,180884965166,1867743766,383527,2258,116,365,391715,6 62,2,2024-09-07 08:48:01:643,277635,277634,1,0,12810258,0,5555 62,3,2024-09-07 08:48:01:152,1,218,15,0,287,1607,218,0 63,0,2024-09-07 08:48:01:454,53460,0.5,53576,0.6,106935,0.4,142783,1.75 63,1,2024-09-07 08:48:00:804,385286,385280,0,6,179969008908,1865782069,383714,1516,50,381,391677,6 63,2,2024-09-07 08:48:00:762,274542,274542,0,0,10351413,0,2674 63,3,2024-09-07 08:48:01:743,1,218,1,0,667,2784,218,0 64,0,2024-09-07 08:48:01:520,54131,0.5,54296,0.7,108792,0.4,144515,1.75 64,1,2024-09-07 08:48:00:755,383562,383562,0,0,179633518991,1878230265,379107,3041,1414,370,391783,0 64,2,2024-09-07 08:48:01:156,276103,276084,19,0,11545746,0,6121 64,3,2024-09-07 08:48:01:152,1,218,11,0,265,2412,218,0 65,0,2024-09-07 08:48:01:672,55642,0.6,56110,0.8,111924,0.7,148693,2.25 65,1,2024-09-07 08:48:00:872,382545,382545,0,0,179039544021,1876953077,379046,3056,443,382,391901,0 65,2,2024-09-07 08:48:01:698,275107,275107,0,0,12220456,0,3367 65,3,2024-09-07 08:48:01:682,1,218,6,0,163,2204,218,0 66,0,2024-09-07 08:48:01:767,54086,0.6,54148,0.8,108756,0.5,143916,2.25 66,1,2024-09-07 08:48:01:305,384396,384396,0,0,180327610294,1874590771,382011,2204,181,380,391588,0 66,2,2024-09-07 08:48:01:139,280470,280470,0,0,11920609,0,4956 66,3,2024-09-07 08:48:01:080,1,218,12,0,291,2478,218,0 67,0,2024-09-07 08:48:01:413,51051,0.8,50635,0.9,101887,1.0,135757,2.25 67,1,2024-09-07 08:48:00:766,383534,383533,0,1,179450252203,1875407806,379759,3027,747,380,391787,1 67,2,2024-09-07 08:48:00:583,278007,278007,0,0,10918648,0,2889 67,3,2024-09-07 08:48:01:750,1,218,69,0,338,1977,218,0 68,0,2024-09-07 08:48:00:580,55876,0.7,55808,0.8,110968,0.7,148361,2.00 68,1,2024-09-07 08:48:00:579,382571,382571,0,0,178585346467,1876090966,378708,2726,1137,381,391953,0 68,2,2024-09-07 08:48:01:045,271831,271766,65,0,14704967,0,6698 68,3,2024-09-07 08:48:00:731,1,218,3,0,417,2615,218,0 69,0,2024-09-07 08:48:01:775,56873,0.8,57115,0.9,113783,0.9,151623,2.25 69,1,2024-09-07 08:48:01:016,381491,381491,0,0,179087228205,1894417525,376079,4012,1400,384,391994,0 69,2,2024-09-07 08:48:01:749,274157,274157,0,0,14108653,0,3722 69,3,2024-09-07 08:48:00:765,1,218,1,0,698,3495,218,0 70,0,2024-09-07 08:48:01:532,55250,1.2,55171,1.1,110890,0.8,147800,2.50 70,1,2024-09-07 08:48:00:812,383346,383346,0,0,180318443654,1877086712,379691,3127,528,366,391725,0 70,2,2024-09-07 08:48:01:326,277669,277669,0,0,13427905,0,4044 70,3,2024-09-07 08:48:00:746,1,218,1,0,854,2712,218,0 71,0,2024-09-07 08:48:01:362,50265,1.8,50246,1.4,100449,2.5,135189,3.25 71,1,2024-09-07 08:48:01:601,382905,382905,0,0,179851395029,1881767214,377788,4496,621,368,391738,0 71,2,2024-09-07 08:48:01:067,278108,278108,0,0,13542390,0,4042 71,3,2024-09-07 08:48:01:750,1,218,4,0,644,3366,218,0 72,0,2024-09-07 08:48:01:025,58061,0.6,56796,0.8,110754,0.6,151338,2.25 72,1,2024-09-07 08:48:01:021,383554,383554,0,0,178802803139,1868927885,379258,3545,751,369,391819,0 72,2,2024-09-07 08:48:01:760,271150,271150,0,0,14058735,0,3983 72,3,2024-09-07 08:48:01:761,1,218,2,0,364,3682,218,0 73,0,2024-09-07 08:48:01:101,54784,0.4,56050,0.6,115006,0.4,149396,2.00 73,1,2024-09-07 08:48:00:766,383663,383663,0,0,179171260493,1865040008,380688,2743,232,367,391750,0 73,2,2024-09-07 08:48:01:743,274956,274956,0,0,13494132,0,3482 73,3,2024-09-07 08:48:00:969,1,218,38,0,274,3374,218,0 74,0,2024-09-07 08:48:01:348,56886,0.5,58030,0.7,110696,0.5,149970,2.25 74,1,2024-09-07 08:48:00:643,383314,383314,0,0,178746470999,1868952947,379361,3162,791,381,391681,0 74,2,2024-09-07 08:48:01:002,277118,277118,0,0,13653767,0,4253 74,3,2024-09-07 08:48:01:442,1,218,5,0,522,3208,218,0 75,0,2024-09-07 08:48:01:776,51588,0.8,51327,1.0,102969,0.7,137909,2.50 75,1,2024-09-07 08:48:01:585,382466,382466,0,0,179291533461,1878213026,377814,3989,663,380,391597,0 75,2,2024-09-07 08:48:01:350,278084,278084,0,0,14163020,0,4766 75,3,2024-09-07 08:48:01:078,1,218,1,0,702,3068,218,0 76,0,2024-09-07 08:48:00:648,54333,0.6,53919,0.8,107762,0.6,144722,2.25 76,1,2024-09-07 08:48:00:806,383896,383896,0,0,179766572375,1875639205,381281,2067,548,382,391692,0 76,2,2024-09-07 08:48:01:061,274467,274467,0,0,11287785,0,3784 76,3,2024-09-07 08:48:01:155,1,218,1,0,175,2393,218,0 77,0,2024-09-07 08:48:01:709,54815,0.6,55104,0.8,110015,0.5,145822,2.00 77,1,2024-09-07 08:48:00:834,383904,383904,0,0,179109801924,1870868059,381099,2572,233,383,391808,0 77,2,2024-09-07 08:48:01:292,273847,273847,0,0,11772893,0,3890 77,3,2024-09-07 08:48:01:094,1,218,1,0,305,2323,218,0 78,0,2024-09-07 08:48:01:719,56085,0.4,55543,0.7,112138,0.4,148074,2.00 78,1,2024-09-07 08:48:00:616,383635,383635,0,0,178711257675,1858027927,380684,2635,316,367,391670,0 78,2,2024-09-07 08:48:01:407,276086,276086,0,0,10798228,0,3855 78,3,2024-09-07 08:48:01:136,1,218,8,0,181,2141,218,0 79,0,2024-09-07 08:48:01:356,51406,0.5,52633,0.7,107692,0.4,139594,2.50 79,1,2024-09-07 08:48:00:575,384936,384936,0,0,179885060031,1863821275,382106,2494,336,369,391682,0 79,2,2024-09-07 08:48:01:069,279808,279808,0,0,10752184,0,3212 79,3,2024-09-07 08:48:00:753,1,218,46,0,418,3319,218,0 80,0,2024-09-07 08:48:01:151,51500,0.6,53133,0.8,101638,0.7,138238,2.25 80,1,2024-09-07 08:48:01:626,382799,382799,0,0,179556568120,1872177513,379838,2793,168,368,391791,0 80,2,2024-09-07 08:48:01:110,276402,276402,0,0,11346485,0,4433 80,3,2024-09-07 08:48:00:575,1,218,6,0,190,3186,218,0 81,0,2024-09-07 08:48:01:567,55013,0.5,56331,0.7,108110,0.5,145806,2.00 81,1,2024-09-07 08:48:01:673,382953,382953,0,0,179134747085,1875605744,379376,3131,446,382,391879,0 81,2,2024-09-07 08:48:01:154,273030,273030,0,0,11527177,0,3993 81,3,2024-09-07 08:48:01:117,1,218,1,0,374,2622,218,0 82,0,2024-09-07 08:48:01:532,56504,0.4,57014,0.6,114144,0.4,151417,2.00 82,1,2024-09-07 08:48:00:584,383617,383613,0,4,179487680531,1873668179,380396,2606,611,381,391558,4 82,2,2024-09-07 08:48:01:693,275120,275120,0,0,11074331,0,3986 82,3,2024-09-07 08:48:01:755,1,218,3,0,363,2667,218,0 83,0,2024-09-07 08:48:01:529,55128,0.6,55200,0.7,109591,0.6,146114,2.00 83,1,2024-09-07 08:48:00:551,383066,383066,0,0,179695527833,1876894313,379927,2896,243,382,391690,0 83,2,2024-09-07 08:48:00:764,277980,277980,0,0,11020032,0,3119 83,3,2024-09-07 08:48:00:750,1,218,0,0,250,2372,218,0 84,0,2024-09-07 08:48:01:899,50470,1.0,50478,1.1,100984,0.9,135801,2.50 84,1,2024-09-07 08:48:01:041,382323,382323,0,0,179425965771,1881132527,377664,4085,574,368,391967,0 84,2,2024-09-07 08:48:00:572,277327,277327,0,0,13203569,0,3801 84,3,2024-09-07 08:48:01:148,1,218,20,0,908,3787,218,0 85,0,2024-09-07 08:48:01:040,54582,0.8,54486,1.0,115673,1.0,149387,2.50 85,1,2024-09-07 08:48:00:560,381453,381453,0,0,178846787878,1896331473,374638,5710,1105,382,392006,0 85,2,2024-09-07 08:48:00:869,273408,273408,0,0,13552714,0,3656 85,3,2024-09-07 08:48:00:684,1,218,77,0,789,3026,218,0 86,0,2024-09-07 08:48:00:942,56640,0.6,58088,0.8,111490,0.6,150558,2.00 86,1,2024-09-07 08:48:00:844,383032,383032,0,0,179417381164,1881713757,378068,4242,722,366,391961,0 86,2,2024-09-07 08:48:00:866,273390,273389,1,0,14432476,0,5004 86,3,2024-09-07 08:48:00:602,1,218,8,0,286,2928,218,0 87,0,2024-09-07 08:48:01:287,55642,1.1,55246,1.0,111106,1.5,148725,2.25 87,1,2024-09-07 08:48:00:551,382990,382990,0,0,179055321570,1878046871,378540,3970,480,366,391788,0 87,2,2024-09-07 08:48:01:067,276575,276575,0,0,13019003,0,4045 87,3,2024-09-07 08:48:01:799,1,218,25,0,473,3691,218,0 88,0,2024-09-07 08:48:01:457,51046,0.6,51267,0.7,102313,0.6,136526,2.00 88,1,2024-09-07 08:48:00:569,381668,381668,0,0,178844516307,1881051338,375803,4393,1472,365,392084,0 88,2,2024-09-07 08:48:00:687,277977,277977,0,0,14790473,0,3583 88,3,2024-09-07 08:48:01:291,1,218,5,0,435,2888,218,0 89,0,2024-09-07 08:48:01:785,57222,0.4,55369,0.6,109946,0.4,149072,1.75 89,1,2024-09-07 08:48:00:554,381130,381130,0,0,179266681787,1896349908,374518,5439,1173,382,391866,0 89,2,2024-09-07 08:48:01:137,272229,272229,0,0,13468972,0,2910 89,3,2024-09-07 08:48:01:794,1,218,2,0,325,4363,218,0 90,0,2024-09-07 08:48:01:877,53576,0.5,54647,0.6,112291,0.4,146278,1.75 90,1,2024-09-07 08:48:00:702,383434,383434,0,0,179753697468,1884218770,379972,3200,262,381,391825,0 90,2,2024-09-07 08:48:01:406,272820,272820,0,0,14632825,0,3060 90,3,2024-09-07 08:48:00:933,1,218,1,0,200,2413,218,0 91,0,2024-09-07 08:48:00:985,56526,0.4,54642,0.6,114022,0.4,149847,1.75 91,1,2024-09-07 08:48:00:560,382545,382545,0,0,179690966633,1891309353,377468,4430,647,381,392047,0 91,2,2024-09-07 08:48:01:337,275705,275705,0,0,13330475,0,2445 91,3,2024-09-07 08:48:00:624,1,218,2,0,216,2227,218,0 92,0,2024-09-07 08:48:01:502,52738,0.6,54180,0.7,103571,0.7,140121,1.75 92,1,2024-09-07 08:48:00:584,383016,383016,0,0,179815919566,1876155812,380693,1917,406,382,392136,0 92,2,2024-09-07 08:48:01:361,280316,280316,0,0,11363293,0,2801 92,3,2024-09-07 08:48:01:011,1,218,51,0,167,1842,218,0 93,0,2024-09-07 08:48:01:052,53781,0.4,55090,0.6,105082,0.4,143289,1.75 93,1,2024-09-07 08:48:00:834,383741,383741,0,0,179496714352,1874034345,379935,3178,628,366,391692,0 93,2,2024-09-07 08:48:00:937,275191,275191,0,0,11544406,0,2509 93,3,2024-09-07 08:48:01:407,1,218,2,0,190,2381,218,0 94,0,2024-09-07 08:48:01:613,54509,0.4,55203,0.6,109735,0.3,145374,1.75 94,1,2024-09-07 08:48:00:563,383093,383093,0,0,179617515325,1878851659,379929,2988,176,381,391850,0 94,2,2024-09-07 08:48:00:764,273346,273346,0,0,10644169,0,2443 94,3,2024-09-07 08:48:01:689,1,218,1,0,264,3070,218,0 95,0,2024-09-07 08:48:01:348,56485,0.3,56063,0.5,113295,0.3,149672,1.75 95,1,2024-09-07 08:48:00:866,383719,383719,0,0,179831578003,1872131842,380397,2971,351,367,391662,0 95,2,2024-09-07 08:48:01:030,274601,274601,0,0,11516879,0,3308 95,3,2024-09-07 08:48:01:720,1,218,2,0,718,4298,218,0 96,0,2024-09-07 08:48:01:072,54713,0.5,54924,0.6,109580,0.4,144556,1.75 96,1,2024-09-07 08:48:01:589,382607,382607,0,0,179133888608,1874986347,379051,2827,729,385,391894,0 96,2,2024-09-07 08:48:01:294,278322,278322,0,0,12238922,0,4042 96,3,2024-09-07 08:48:01:141,1,218,40,0,411,2635,218,0 97,0,2024-09-07 08:48:01:464,50985,0.4,50740,0.5,101989,0.3,135872,1.75 97,1,2024-09-07 08:48:00:763,383936,383936,0,0,179930124716,1869196737,380964,2419,553,367,392140,0 97,2,2024-09-07 08:48:00:608,276910,276910,0,0,11341642,0,3036 97,3,2024-09-07 08:48:00:569,1,218,1,0,165,2569,218,0 98,0,2024-09-07 08:48:01:715,55809,0.3,55870,0.5,112191,0.3,148590,1.50 98,1,2024-09-07 08:48:00:574,383678,383678,0,0,180078693715,1876505282,381562,2021,95,382,391997,0 98,2,2024-09-07 08:48:00:776,273616,273616,0,0,11203405,0,3080 98,3,2024-09-07 08:48:00:699,1,218,18,0,840,3832,218,0 99,0,2024-09-07 08:48:01:628,57260,0.3,57298,0.4,114452,0.3,152743,1.50 99,1,2024-09-07 08:48:01:726,383901,383901,0,0,179194146305,1872630071,380130,3032,739,381,391744,0 99,2,2024-09-07 08:48:01:431,276552,276552,0,0,11957593,0,3106 99,3,2024-09-07 08:48:00:585,1,218,168,0,168,1814,218,0 100,0,2024-09-07 08:48:01:520,55502,0.8,55598,1.1,110881,1.1,148342,2.25 100,1,2024-09-07 08:48:00:554,380919,380919,0,0,177817992610,1888348188,374089,5513,1317,381,391989,0 100,2,2024-09-07 08:48:01:821,276325,276314,11,0,13817097,0,5417 100,3,2024-09-07 08:48:01:744,1,218,2,0,559,4709,218,0 101,0,2024-09-07 08:48:01:704,51723,2.5,50548,1.5,98910,3.2,136456,3.00 101,1,2024-09-07 08:48:00:554,381508,381508,0,0,178548975704,1889689225,374197,5541,1770,368,391769,0 101,2,2024-09-07 08:48:01:780,277041,277041,0,0,14876077,0,4644 101,3,2024-09-07 08:48:00:953,1,218,4,0,448,2976,218,0 102,0,2024-09-07 08:48:00:966,54920,0.7,56889,0.8,114326,0.7,150645,2.25 102,1,2024-09-07 08:48:01:152,382037,382037,0,0,178815925350,1885622548,376401,4797,839,369,391883,0 102,2,2024-09-07 08:48:01:747,273013,272959,54,0,13404776,0,6768 102,3,2024-09-07 08:48:01:619,1,218,13,0,410,2404,218,0 103,0,2024-09-07 08:48:01:603,57809,0.5,57849,0.7,109140,0.4,150464,2.00 103,1,2024-09-07 08:48:01:638,381457,381457,0,0,178302252883,1885987370,375188,4889,1380,381,391829,0 103,2,2024-09-07 08:48:00:587,272955,272955,0,0,12786802,0,2582 103,3,2024-09-07 08:48:00:760,1,218,1,0,916,3464,218,0 104,0,2024-09-07 08:48:01:019,55877,0.9,56274,1.0,111242,0.9,150100,2.25 104,1,2024-09-07 08:48:01:666,383041,383041,0,0,178444486420,1882223896,376651,5303,1087,365,391948,0 104,2,2024-09-07 08:48:01:666,276051,276051,0,0,13936313,0,3941 104,3,2024-09-07 08:48:01:422,1,218,1,0,1245,6074,218,0 105,0,2024-09-07 08:48:01:143,51006,1.1,49551,1.2,103653,1.6,136890,3.25 105,1,2024-09-07 08:48:00:555,382884,382884,0,0,179301427408,1883769932,377510,4561,813,367,391797,0 105,2,2024-09-07 08:48:01:325,278398,278398,0,0,13511474,0,3509 105,3,2024-09-07 08:48:01:307,1,218,6,0,399,4107,218,0 106,0,2024-09-07 08:48:00:970,52705,0.8,53988,0.9,110376,0.8,144733,2.50 106,1,2024-09-07 08:48:01:758,382662,382662,0,0,178447148421,1877699170,376565,5554,543,369,391767,0 106,2,2024-09-07 08:48:00:782,271561,271561,0,0,12786248,0,2795 106,3,2024-09-07 08:48:00:676,1,218,18,0,470,3340,218,0 107,0,2024-09-07 08:48:01:131,54863,0.9,54797,0.9,109134,1.0,145645,2.00 107,1,2024-09-07 08:48:00:588,381852,381852,0,0,179237756142,1888384064,377127,4243,482,381,392234,0 107,2,2024-09-07 08:48:01:302,273693,273692,1,0,13183311,0,5024 107,3,2024-09-07 08:48:01:768,1,218,4,0,353,3281,218,0 108,0,2024-09-07 08:48:01:789,56032,0.4,56298,0.6,111583,0.4,148710,1.75 108,1,2024-09-07 08:48:01:299,383332,383332,0,0,179785667394,1875719753,380167,2781,384,368,391857,0 108,2,2024-09-07 08:48:01:774,274517,274517,0,0,12296373,0,2647 108,3,2024-09-07 08:48:01:335,1,218,5,0,749,4728,218,0 109,0,2024-09-07 08:48:01:774,53370,0.4,52801,0.6,105993,0.4,141596,1.75 109,1,2024-09-07 08:48:00:589,382506,382506,0,0,179062662905,1879366515,379203,2800,503,383,392132,0 109,2,2024-09-07 08:48:00:943,277904,277904,0,0,12732324,0,3617 109,3,2024-09-07 08:48:01:151,1,218,0,0,249,2789,218,0 110,0,2024-09-07 08:48:01:753,51808,0.4,50493,0.6,105521,0.4,138917,1.75 110,1,2024-09-07 08:48:01:651,383673,383673,0,0,180633152460,1876706722,380898,2006,769,370,392045,0 110,2,2024-09-07 08:48:01:315,276100,276100,0,0,11720598,0,3264 110,3,2024-09-07 08:48:00:693,1,218,5,0,406,2982,218,0 111,0,2024-09-07 08:48:01:426,55550,0.4,55045,0.5,109995,0.3,147099,1.75 111,1,2024-09-07 08:48:01:010,384566,384566,0,0,180207704679,1868912347,382732,1500,334,382,391690,0 111,2,2024-09-07 08:48:01:124,273127,273127,0,0,11530385,0,2763 111,3,2024-09-07 08:48:00:913,1,218,1,0,379,3155,218,0 112,0,2024-09-07 08:48:00:910,57105,0.3,57128,0.4,114188,0.2,151473,1.50 112,1,2024-09-07 08:48:00:835,384386,384386,0,0,179216699517,1862148424,381971,1987,428,380,391580,0 112,2,2024-09-07 08:48:01:141,274687,274686,1,0,11873895,0,5036 112,3,2024-09-07 08:48:00:592,1,218,2,0,282,2441,218,0 113,0,2024-09-07 08:48:00:882,54988,0.3,54872,0.5,110372,0.3,147315,1.75 113,1,2024-09-07 08:48:01:685,384779,384779,0,0,180674120105,1867260604,382292,1998,489,366,391661,0 113,2,2024-09-07 08:48:01:308,279344,279344,0,0,10952205,0,3813 113,3,2024-09-07 08:48:00:684,1,218,1,0,340,3513,218,0 114,0,2024-09-07 08:48:00:881,51328,0.5,51831,0.6,102479,0.3,137599,1.75 114,1,2024-09-07 08:48:00:718,383431,383431,0,0,179893093198,1879136315,378628,3215,1588,381,391556,0 114,2,2024-09-07 08:48:00:875,277536,277535,1,0,12065962,0,5069 114,3,2024-09-07 08:48:01:290,1,218,12,0,395,2539,218,0 115,0,2024-09-07 08:48:00:562,56576,0.3,56933,0.5,113905,0.3,151114,1.75 115,1,2024-09-07 08:48:00:572,383365,383365,0,0,180477035316,1881334235,379025,3507,833,382,391602,0 115,2,2024-09-07 08:48:01:124,273527,273527,0,0,10118680,0,2152 115,3,2024-09-07 08:48:01:007,1,218,1,0,159,1304,218,0 116,0,2024-09-07 08:48:01:713,56600,0.7,56253,0.9,112826,0.7,151240,2.00 116,1,2024-09-07 08:48:00:817,381157,381157,0,0,178556686837,1896758052,374853,4252,2052,380,391782,0 116,2,2024-09-07 08:48:01:756,273397,273397,0,0,14764835,0,3529 116,3,2024-09-07 08:48:00:913,1,218,5,0,415,3429,218,0 117,0,2024-09-07 08:48:00:962,55645,0.9,55586,1.0,111044,1.1,148863,2.00 117,1,2024-09-07 08:48:01:719,382425,382425,0,0,178536852290,1873378130,377896,4050,479,370,392033,0 117,2,2024-09-07 08:48:01:132,278834,278834,0,0,12445694,0,3700 117,3,2024-09-07 08:48:01:060,1,218,6,0,490,3844,218,0 118,0,2024-09-07 08:48:01:779,49526,0.8,50952,0.9,103645,0.9,136144,2.25 118,1,2024-09-07 08:48:00:585,382399,382399,0,0,178887903644,1886716967,376342,4630,1427,366,391736,0 118,2,2024-09-07 08:48:01:586,277581,277581,0,0,13342102,0,2781 118,3,2024-09-07 08:48:01:771,1,218,5,0,235,2666,218,0 119,0,2024-09-07 08:48:01:334,55112,0.8,55568,0.9,111403,0.9,147910,2.25 119,1,2024-09-07 08:48:00:563,383007,383007,0,0,180160835856,1887209144,378369,4116,522,368,391641,0 119,2,2024-09-07 08:48:01:271,272801,272801,0,0,12380925,0,4174 119,3,2024-09-07 08:48:01:330,1,218,2,0,443,3368,218,0 120,0,2024-09-07 08:48:01:667,54769,0.5,54753,0.8,109779,0.5,146815,2.00 120,1,2024-09-07 08:48:00:861,382349,382349,0,0,179415239290,1885657657,377952,4050,347,368,391961,0 120,2,2024-09-07 08:48:00:787,273333,273332,1,0,14479490,0,5281 120,3,2024-09-07 08:48:01:307,1,218,4,0,241,3080,218,0 121,0,2024-09-07 08:48:01:790,55919,1.1,56263,1.0,112309,1.5,149484,2.25 121,1,2024-09-07 08:48:01:759,383196,383196,0,0,179220066803,1877452762,379220,3569,407,367,391840,0 121,2,2024-09-07 08:48:01:166,274916,274916,0,0,14192213,0,4127 121,3,2024-09-07 08:48:00:731,1,218,1,0,269,2657,218,0 122,0,2024-09-07 08:48:01:832,52138,1.0,50797,1.0,106468,1.1,140100,2.25 122,1,2024-09-07 08:48:00:875,382041,382041,0,0,179207394902,1885746194,376350,4946,745,366,392130,0 122,2,2024-09-07 08:48:01:324,278276,278203,73,0,16680891,0,5989 122,3,2024-09-07 08:48:00:594,1,218,11,0,411,4731,218,0 123,0,2024-09-07 08:48:00:976,53205,0.8,52006,0.8,108244,0.9,142167,2.00 123,1,2024-09-07 08:48:00:585,382321,382321,0,0,178845764407,1891886791,374187,6565,1569,369,391823,0 123,2,2024-09-07 08:48:01:053,272617,272616,1,0,13424615,0,5215 123,3,2024-09-07 08:48:01:149,1,218,10,0,168,2733,218,0 124,0,2024-09-07 08:48:00:919,56541,0.3,56520,0.5,106635,0.3,145948,1.75 124,1,2024-09-07 08:48:01:023,384270,384270,0,0,179001999893,1862760518,381451,2457,362,367,392178,0 124,2,2024-09-07 08:48:01:010,273922,273922,0,0,10863069,0,3101 124,3,2024-09-07 08:48:00:759,1,218,7,0,490,2633,218,0 125,0,2024-09-07 08:48:01:439,56351,0.4,56245,0.5,112895,0.3,149700,1.75 125,1,2024-09-07 08:48:00:866,383129,383129,0,0,180135244359,1879413922,380569,2282,278,383,391702,0 125,2,2024-09-07 08:48:01:122,275576,275576,0,0,11705712,0,4534 125,3,2024-09-07 08:48:01:127,1,218,4,0,709,3400,218,0 126,0,2024-09-07 08:48:01:454,54848,0.6,56240,0.7,107541,0.6,145325,1.75 126,1,2024-09-07 08:48:00:552,384207,384207,0,0,180246565144,1870859289,381539,2407,261,365,391987,0 126,2,2024-09-07 08:48:00:613,279989,279989,0,0,12026253,0,3186 126,3,2024-09-07 08:48:00:909,1,218,32,0,183,3137,218,0 127,0,2024-09-07 08:48:01:606,50877,0.4,51202,0.5,101868,0.3,136005,1.75 127,1,2024-09-07 08:48:00:575,383801,383801,0,0,179959476090,1867140179,380926,2722,153,365,391816,0 127,2,2024-09-07 08:48:00:645,277184,277184,0,0,11019407,0,2264 127,3,2024-09-07 08:48:01:268,1,218,0,0,243,1839,218,0 128,0,2024-09-07 08:48:01:557,56310,0.3,56273,0.5,112209,0.3,148927,1.50 128,1,2024-09-07 08:48:01:617,383693,383693,0,0,179083974072,1859729721,381592,1945,156,367,391798,0 128,2,2024-09-07 08:48:01:390,272843,272843,0,0,10801776,0,2434 128,3,2024-09-07 08:48:00:766,1,218,1,0,1082,4479,218,0 129,0,2024-09-07 08:48:01:015,57644,0.3,57327,0.5,114588,0.3,152332,1.50 129,1,2024-09-07 08:48:00:577,381726,381726,0,0,178827559661,1878612728,377608,3191,927,379,391835,0 129,2,2024-09-07 08:48:00:686,274944,274944,0,0,11649173,0,4031 129,3,2024-09-07 08:48:00:692,1,218,1,0,469,3049,218,0 130,0,2024-09-07 08:48:01:718,55992,0.6,55893,0.7,111851,0.6,149330,1.75 130,1,2024-09-07 08:48:00:584,383523,383523,0,0,179292920243,1868064269,381151,2296,76,381,391825,0 130,2,2024-09-07 08:48:01:136,279301,279301,0,0,11568679,0,4067 130,3,2024-09-07 08:48:01:309,1,218,12,0,450,2989,218,0 131,0,2024-09-07 08:48:01:937,50929,0.4,51322,0.6,103169,0.4,136554,1.75 131,1,2024-09-07 08:48:01:825,384052,384052,0,0,179533130819,1874815254,381022,2574,456,381,391865,0 131,2,2024-09-07 08:48:00:568,279518,279518,0,0,10592211,0,2415 131,3,2024-09-07 08:48:01:689,1,218,2,0,392,2328,218,0 132,0,2024-09-07 08:48:01:439,56083,0.5,56678,0.7,113321,0.5,151277,2.00 132,1,2024-09-07 08:48:00:576,381601,381601,0,0,178308619488,1886895532,374841,5529,1231,381,392097,0 132,2,2024-09-07 08:48:00:698,272704,272704,0,0,13894757,0,4606 132,3,2024-09-07 08:48:01:689,1,218,5,0,356,3400,218,0 133,0,2024-09-07 08:48:01:523,54764,0.4,56089,0.6,114923,0.4,149244,1.75 133,1,2024-09-07 08:48:00:585,381135,381135,0,0,179102344605,1890909047,375676,4794,665,383,391914,0 133,2,2024-09-07 08:48:01:089,273159,273159,0,0,15225464,0,4315 133,3,2024-09-07 08:48:01:307,1,218,1,0,276,2188,218,0 134,0,2024-09-07 08:48:00:982,56257,0.5,56174,0.7,112954,0.5,150044,2.00 134,1,2024-09-07 08:48:00:584,381949,381949,0,0,179014105569,1886579350,375538,4943,1468,366,391718,0 134,2,2024-09-07 08:48:01:790,276387,276387,0,0,12938200,0,3847 134,3,2024-09-07 08:48:00:750,1,218,7,0,739,3531,218,0 135,0,2024-09-07 08:48:01:104,49922,1.1,49925,1.1,105754,1.3,136456,2.25 135,1,2024-09-07 08:48:01:585,381161,381161,0,0,179356197234,1893143623,374983,5183,995,380,391805,0 135,2,2024-09-07 08:48:00:702,278427,278427,0,0,14218734,0,3981 135,3,2024-09-07 08:48:01:008,1,218,5,0,299,1564,218,0 136,0,2024-09-07 08:48:01:622,54934,0.6,54841,0.7,109634,0.5,146265,2.25 136,1,2024-09-07 08:48:01:445,382309,382309,0,0,178762772570,1880688695,377271,4496,542,382,391685,0 136,2,2024-09-07 08:48:01:141,273898,273898,0,0,12563792,0,3506 136,3,2024-09-07 08:48:01:106,1,218,1,0,126,1807,218,0 137,0,2024-09-07 08:48:00:927,56474,0.7,54783,0.8,108223,0.7,146754,2.00 137,1,2024-09-07 08:48:00:575,381930,381930,0,0,179246109224,1885491163,375344,5207,1379,366,391708,0 137,2,2024-09-07 08:48:01:707,273164,273164,0,0,14849896,0,3185 137,3,2024-09-07 08:48:00:770,1,218,1,0,382,2776,218,0 138,0,2024-09-07 08:48:01:830,55500,0.9,55589,1.0,111577,1.1,148342,2.25 138,1,2024-09-07 08:48:01:805,382261,382261,0,0,179677049206,1888871049,376252,5090,919,368,391954,0 138,2,2024-09-07 08:48:00:586,275479,275479,0,0,13470020,0,4988 138,3,2024-09-07 08:48:00:609,1,218,13,0,1160,3725,218,0 139,0,2024-09-07 08:48:01:366,52139,2.4,52156,1.5,105236,3.5,140105,2.75 139,1,2024-09-07 08:48:00:578,380700,380700,0,0,178572804332,1901730943,372801,5835,2064,381,391892,0 139,2,2024-09-07 08:48:00:700,276990,276990,0,0,13922094,0,3097 139,3,2024-09-07 08:48:01:662,1,218,2,0,244,2378,218,0 140,0,2024-09-07 08:48:01:599,52281,0.4,51698,0.5,104151,0.3,139104,1.75 140,1,2024-09-07 08:48:01:535,384665,384665,0,0,180858969907,1864692092,382902,1523,240,365,391606,0 140,2,2024-09-07 08:48:00:687,276604,276604,0,0,11201737,0,3388 140,3,2024-09-07 08:48:00:767,1,218,1,0,247,1918,218,0 141,0,2024-09-07 08:48:01:700,55376,0.3,56783,0.5,108472,0.2,147091,1.50 141,1,2024-09-07 08:48:00:866,384360,384360,0,0,180144789541,1870048852,382078,1927,355,379,391614,0 141,2,2024-09-07 08:48:01:685,273588,273588,0,0,10591053,0,2342 141,3,2024-09-07 08:48:01:048,1,218,1,0,178,1951,218,0 142,0,2024-09-07 08:48:01:320,57716,0.3,57088,0.5,113665,0.2,151747,1.50 142,1,2024-09-07 08:48:00:585,383514,383514,0,0,179789345290,1875594400,381055,2216,243,382,392102,0 142,2,2024-09-07 08:48:01:308,274382,274350,32,0,12346864,0,6028 142,3,2024-09-07 08:48:01:759,1,218,20,0,484,2947,218,0 143,0,2024-09-07 08:48:01:378,55233,0.6,55181,0.6,110898,0.6,147127,1.75 143,1,2024-09-07 08:48:00:557,383992,383992,0,0,179925357580,1866665430,381661,2285,46,367,391619,0 143,2,2024-09-07 08:48:00:769,278684,278684,0,0,11721652,0,2669 143,3,2024-09-07 08:48:01:140,1,218,4,0,303,3109,218,0 144,0,2024-09-07 08:48:01:539,49214,0.9,50695,1.1,103023,1.0,135985,2.25 144,1,2024-09-07 08:48:00:575,381829,381829,0,0,179009710979,1883435136,377888,3020,921,381,391649,0 144,2,2024-09-07 08:48:01:767,277776,277776,0,0,11392704,0,3473 144,3,2024-09-07 08:48:01:741,1,218,76,0,249,2766,218,0 145,0,2024-09-07 08:48:01:446,54512,0.7,54528,0.9,115553,0.6,149384,2.50 145,1,2024-09-07 08:48:00:553,381177,381177,0,0,178570875047,1883932082,375697,4660,820,382,391698,0 145,2,2024-09-07 08:48:01:437,271707,271707,0,0,13312937,0,3903 145,3,2024-09-07 08:48:00:898,1,218,12,0,622,3915,218,0 146,0,2024-09-07 08:48:01:604,56544,0.5,56094,0.8,112982,0.5,150398,2.25 146,1,2024-09-07 08:48:01:610,382531,382531,0,0,178781393198,1886856026,375095,5627,1809,368,391770,0 146,2,2024-09-07 08:48:01:723,273729,273729,0,0,12963065,0,2730 146,3,2024-09-07 08:48:01:280,1,218,10,0,1520,5776,218,0 147,0,2024-09-07 08:48:01:722,55563,0.6,55556,0.8,110301,0.6,148506,2.25 147,1,2024-09-07 08:48:01:375,384159,384159,0,0,179813517710,1872291006,380428,3162,569,368,391791,0 147,2,2024-09-07 08:48:01:011,278730,278730,0,0,11225023,0,2789 147,3,2024-09-07 08:48:00:928,1,218,6,0,730,3402,218,0 0,0,2024-09-07 08:48:11:711,53729,0.5,53752,0.7,114074,0.5,147930,1.75 0,1,2024-09-07 08:48:10:804,384718,384718,0,0,180355022750,1890242982,381552,2964,202,372,391772,0 0,2,2024-09-07 08:48:11:072,275335,275335,0,0,11825031,0,4480 0,3,2024-09-07 08:48:10:975,1,219,1,0,247,2841,219,0 1,0,2024-09-07 08:48:11:746,56480,0.9,55981,1.0,112613,1.2,150120,2.00 1,1,2024-09-07 08:48:10:583,384137,384137,0,0,179309801801,1883513722,379713,3371,1053,371,391857,0 1,2,2024-09-07 08:48:10:658,277144,277144,0,0,10984188,0,3267 1,3,2024-09-07 08:48:11:310,1,219,2,0,262,2768,219,0 2,0,2024-09-07 08:48:11:564,52487,0.9,52870,0.9,104983,1.2,140244,2.00 2,1,2024-09-07 08:48:10:869,384982,384982,0,0,180459213743,1877740685,382880,1884,218,380,391745,0 2,2,2024-09-07 08:48:11:267,280266,280266,0,0,11784126,0,3594 2,3,2024-09-07 08:48:10:690,1,219,1,0,357,2178,219,0 3,0,2024-09-07 08:48:11:742,53816,0.5,53899,0.6,107314,0.4,143346,2.00 3,1,2024-09-07 08:48:11:619,384658,384658,0,0,179786433746,1876638497,380900,3297,461,380,391523,0 3,2,2024-09-07 08:48:11:152,274947,274924,23,0,11677237,0,5851 3,3,2024-09-07 08:48:11:754,1,219,0,0,103,1398,219,0 4,0,2024-09-07 08:48:11:775,53162,0.4,54620,0.5,111227,0.3,145694,1.75 4,1,2024-09-07 08:48:10:625,384215,384215,0,0,179869542720,1894895925,379512,3915,788,371,391846,0 4,2,2024-09-07 08:48:11:031,273920,273920,0,0,13799136,0,4528 4,3,2024-09-07 08:48:11:030,1,219,0,0,448,3539,219,0 5,0,2024-09-07 08:48:11:378,56429,0.4,56648,0.6,112634,0.4,149868,1.75 5,1,2024-09-07 08:48:10:754,384032,384032,0,0,179798799136,1896520449,378559,4363,1110,368,392005,0 5,2,2024-09-07 08:48:11:832,275031,275031,0,0,12754676,0,2432 5,3,2024-09-07 08:48:11:731,1,219,4,0,457,3726,219,0 6,0,2024-09-07 08:48:10:914,54927,0.7,54409,0.8,108674,0.8,145051,2.25 6,1,2024-09-07 08:48:10:746,384590,384590,0,0,180140829327,1888031831,379936,3810,844,379,391634,0 6,2,2024-09-07 08:48:11:116,279492,279492,0,0,12954867,0,4816 6,3,2024-09-07 08:48:11:279,1,219,9,0,340,2785,219,0 7,0,2024-09-07 08:48:11:530,50855,0.6,50931,0.7,101689,0.6,135809,2.00 7,1,2024-09-07 08:48:10:850,383850,383850,0,0,179941537901,1894493053,377965,4882,1003,382,391686,0 7,2,2024-09-07 08:48:10:769,276604,276604,0,0,12748748,0,4791 7,3,2024-09-07 08:48:10:851,1,219,1,0,305,2289,219,0 8,0,2024-09-07 08:48:11:329,55942,0.4,56071,0.5,111590,0.4,148790,1.75 8,1,2024-09-07 08:48:11:016,383277,383277,0,0,179868427273,1902960475,375390,5882,2005,367,391956,0 8,2,2024-09-07 08:48:10:791,271111,271111,0,0,14453222,0,2986 8,3,2024-09-07 08:48:10:600,1,219,11,0,357,3377,219,0 9,0,2024-09-07 08:48:11:099,57598,0.3,55850,0.5,116584,0.3,152985,1.75 9,1,2024-09-07 08:48:10:552,383685,383685,0,0,180180772658,1905146097,377302,4843,1540,370,392001,0 9,2,2024-09-07 08:48:11:085,275673,275673,0,0,12763047,0,3360 9,3,2024-09-07 08:48:11:764,1,219,6,0,496,3319,219,0 10,0,2024-09-07 08:48:11:599,56180,0.3,55715,0.5,112102,0.3,149609,1.75 10,1,2024-09-07 08:48:10:585,384199,384199,0,0,180211123038,1893884671,378027,5244,928,381,391741,0 10,2,2024-09-07 08:48:10:762,279247,279247,0,0,14581366,0,4264 10,3,2024-09-07 08:48:10:870,1,219,9,0,177,1845,219,0 11,0,2024-09-07 08:48:11:015,50858,0.5,49282,0.8,103058,0.6,137031,2.00 11,1,2024-09-07 08:48:10:580,384473,384473,0,0,179366934732,1892271223,376751,5808,1914,383,391537,0 11,2,2024-09-07 08:48:11:123,278446,278446,0,0,13890323,0,4130 11,3,2024-09-07 08:48:11:306,1,219,4,0,843,3937,219,0 12,0,2024-09-07 08:48:10:952,57234,0.4,57133,0.5,114132,0.3,152379,1.75 12,1,2024-09-07 08:48:10:934,384936,384936,0,0,179861739765,1877537602,381647,2868,421,370,391837,0 12,2,2024-09-07 08:48:11:546,274710,274710,0,0,12607806,0,3469 12,3,2024-09-07 08:48:11:060,1,219,4,0,386,4025,219,0 13,0,2024-09-07 08:48:11:338,56811,0.3,56666,0.5,113105,0.3,150854,1.50 13,1,2024-09-07 08:48:11:526,384108,384108,0,0,180569929620,1896075524,380752,2817,539,382,391717,0 13,2,2024-09-07 08:48:10:605,276168,276168,0,0,11722778,0,3287 13,3,2024-09-07 08:48:11:768,1,219,6,0,467,3755,219,0 14,0,2024-09-07 08:48:10:600,56629,0.4,57179,0.6,113081,0.3,150369,1.75 14,1,2024-09-07 08:48:11:560,386953,386953,0,0,180542014677,1871469720,384255,2509,189,364,391571,0 14,2,2024-09-07 08:48:10:765,278214,278214,0,0,11881811,0,2896 14,3,2024-09-07 08:48:11:115,1,219,8,0,1168,3342,219,0 15,0,2024-09-07 08:48:11:555,51391,0.6,51756,0.8,103374,0.6,137482,2.25 15,1,2024-09-07 08:48:11:608,385256,385256,0,0,179977222090,1872498986,382955,2157,144,381,391619,0 15,2,2024-09-07 08:48:11:008,280466,280466,0,0,10291229,0,3043 15,3,2024-09-07 08:48:11:406,1,219,0,0,1126,4772,219,0 16,0,2024-09-07 08:48:10:929,55065,0.7,55379,0.9,109788,0.8,147255,2.25 16,1,2024-09-07 08:48:10:569,385307,385307,0,0,180146397797,1886921638,381764,3247,296,370,391756,0 16,2,2024-09-07 08:48:11:434,273827,273827,0,0,12533604,0,4719 16,3,2024-09-07 08:48:11:151,1,219,19,0,317,2982,219,0 17,0,2024-09-07 08:48:11:794,56686,0.5,55298,0.7,108379,0.5,147019,2.00 17,1,2024-09-07 08:48:10:584,383706,383706,0,0,179827918015,1893095027,378880,3725,1101,368,391688,0 17,2,2024-09-07 08:48:11:669,277798,277798,0,0,11740178,0,2857 17,3,2024-09-07 08:48:10:596,1,219,1,0,268,3615,219,0 18,0,2024-09-07 08:48:10:940,55455,0.7,55588,0.9,111160,0.8,148142,2.50 18,1,2024-09-07 08:48:11:643,385131,385131,0,0,180328865514,1872255163,382633,2259,239,367,391649,0 18,2,2024-09-07 08:48:11:757,277363,277363,0,0,11531501,0,3541 18,3,2024-09-07 08:48:10:896,1,219,8,0,163,2375,219,0 19,0,2024-09-07 08:48:11:538,52640,0.9,53284,0.9,105099,0.9,139963,2.50 19,1,2024-09-07 08:48:10:575,385063,385063,0,0,180709227776,1882582047,380465,3813,785,367,391777,0 19,2,2024-09-07 08:48:11:754,281443,281443,0,0,10591723,0,3988 19,3,2024-09-07 08:48:11:128,1,219,18,0,524,1795,219,0 20,0,2024-09-07 08:48:11:361,52021,0.9,51993,0.9,104480,1.0,139934,2.50 20,1,2024-09-07 08:48:10:569,384102,384102,0,0,179982541682,1886902351,380310,3396,396,369,391886,0 20,2,2024-09-07 08:48:10:934,275963,275963,0,0,12307053,0,3721 20,3,2024-09-07 08:48:10:598,1,219,1,0,414,4351,219,0 21,0,2024-09-07 08:48:11:135,55314,0.5,55569,0.7,110908,0.5,146605,2.00 21,1,2024-09-07 08:48:11:540,383415,383415,0,0,178790282250,1888930599,377750,4408,1257,368,391962,0 21,2,2024-09-07 08:48:11:072,273966,273966,0,0,13731232,0,3747 21,3,2024-09-07 08:48:11:406,1,219,1,0,103,2485,219,0 22,0,2024-09-07 08:48:11:716,56921,0.7,56908,0.8,113473,0.6,150874,2.25 22,1,2024-09-07 08:48:11:031,383583,383583,0,0,179337242140,1893907198,376694,5270,1619,382,391667,0 22,2,2024-09-07 08:48:10:760,275766,275766,0,0,12221073,0,3134 22,3,2024-09-07 08:48:11:071,1,219,12,0,228,2219,219,0 23,0,2024-09-07 08:48:11:368,54984,0.7,54913,0.8,110340,0.7,147030,2.25 23,1,2024-09-07 08:48:11:008,384137,384137,0,0,180133239016,1898587486,376880,4707,2550,365,391550,0 23,2,2024-09-07 08:48:11:108,279462,279462,0,0,11930796,0,3010 23,3,2024-09-07 08:48:11:753,1,219,0,0,645,3072,219,0 24,0,2024-09-07 08:48:10:845,51772,0.5,51768,0.6,103798,0.4,138223,1.75 24,1,2024-09-07 08:48:10:596,384414,384414,0,0,180098433282,1892077310,379479,3483,1452,369,391987,0 24,2,2024-09-07 08:48:11:083,277134,277134,0,0,14296936,0,3607 24,3,2024-09-07 08:48:11:686,1,219,6,0,468,3512,219,0 25,0,2024-09-07 08:48:11:354,58121,0.5,56754,0.7,111175,0.5,151663,2.00 25,1,2024-09-07 08:48:10:569,384785,384785,0,0,180249432791,1889305692,380779,3459,547,371,391788,0 25,2,2024-09-07 08:48:11:607,272816,272816,0,0,14069349,0,3978 25,3,2024-09-07 08:48:11:006,1,219,0,0,255,2586,219,0 26,0,2024-09-07 08:48:11:721,56708,0.4,55309,0.6,116075,0.3,151468,1.75 26,1,2024-09-07 08:48:11:541,384707,384707,0,0,179173743736,1883969510,378316,5251,1140,381,391748,0 26,2,2024-09-07 08:48:10:861,275791,275791,0,0,13646870,0,2809 26,3,2024-09-07 08:48:11:712,1,219,8,0,796,3135,219,0 27,0,2024-09-07 08:48:11:722,56333,0.5,56146,0.6,111261,0.4,148750,2.00 27,1,2024-09-07 08:48:11:678,386261,386261,0,0,181349703411,1887348688,383467,2390,404,381,391558,0 27,2,2024-09-07 08:48:10:867,276939,276874,65,0,14547901,0,5699 27,3,2024-09-07 08:48:11:015,1,219,1,0,564,2452,219,0 28,0,2024-09-07 08:48:11:387,51489,0.6,51381,0.7,103168,0.6,138218,2.25 28,1,2024-09-07 08:48:10:797,385751,385751,0,0,180997044066,1887057992,382929,2352,470,383,391646,0 28,2,2024-09-07 08:48:11:769,279935,279935,0,0,11401576,0,2915 28,3,2024-09-07 08:48:11:781,1,219,2,0,502,2407,219,0 29,0,2024-09-07 08:48:11:363,57395,0.3,56073,0.5,109498,0.2,149764,1.75 29,1,2024-09-07 08:48:11:560,386410,386410,0,0,180830726296,1874826593,383257,2588,565,369,391621,0 29,2,2024-09-07 08:48:10:861,273675,273675,0,0,10323119,0,4018 29,3,2024-09-07 08:48:10:963,1,219,3,0,115,1673,219,0 30,0,2024-09-07 08:48:11:462,55169,0.6,53705,0.7,112322,0.5,147406,2.00 30,1,2024-09-07 08:48:10:580,386489,386489,0,0,181454260887,1881985961,384300,1896,293,382,391672,0 30,2,2024-09-07 08:48:11:279,276160,276160,0,0,10478980,0,3161 30,3,2024-09-07 08:48:10:584,1,219,8,0,195,1570,219,0 31,0,2024-09-07 08:48:11:767,55900,0.4,56276,0.6,112839,0.4,149984,2.00 31,1,2024-09-07 08:48:10:565,387469,387469,0,0,181060188198,1856480439,386373,939,157,356,391712,0 31,2,2024-09-07 08:48:11:279,277014,277014,0,0,12277670,0,3525 31,3,2024-09-07 08:48:11:708,1,219,16,0,220,1844,219,0 32,0,2024-09-07 08:48:11:434,52439,0.4,53025,0.6,105902,0.3,140886,1.75 32,1,2024-09-07 08:48:10:804,386272,386272,0,0,180682527636,1877027203,384352,1642,278,381,391614,0 32,2,2024-09-07 08:48:10:936,280348,280348,0,0,10632625,0,3155 32,3,2024-09-07 08:48:11:015,1,219,1,0,227,1703,219,0 33,0,2024-09-07 08:48:11:505,54277,0.3,53631,0.5,108063,0.2,144369,1.75 33,1,2024-09-07 08:48:10:577,386589,386589,0,0,181724947414,1880638153,384032,2383,174,369,391730,0 33,2,2024-09-07 08:48:10:758,275642,275609,33,0,12308467,0,7012 33,3,2024-09-07 08:48:10:895,1,219,1,0,329,2552,219,0 34,0,2024-09-07 08:48:10:941,54813,0.3,56472,0.5,108279,0.3,144943,1.75 34,1,2024-09-07 08:48:11:049,387175,387175,0,0,181088767423,1864792816,386100,1068,7,367,391562,0 34,2,2024-09-07 08:48:10:767,274981,274981,0,0,11340511,0,3577 34,3,2024-09-07 08:48:11:688,1,219,299,0,299,1842,219,0 35,0,2024-09-07 08:48:10:874,55863,0.4,56254,0.5,112942,0.3,150049,1.75 35,1,2024-09-07 08:48:11:072,385229,385229,0,0,180645181743,1876829364,381954,2496,779,384,391589,0 35,2,2024-09-07 08:48:11:583,277245,277245,0,0,10752774,0,2653 35,3,2024-09-07 08:48:10:911,1,219,0,0,418,2702,219,0 36,0,2024-09-07 08:48:11:522,54551,0.7,54538,0.9,109260,0.8,144635,2.50 36,1,2024-09-07 08:48:10:583,384524,384524,0,0,180443603354,1893518223,378600,4346,1578,366,391759,0 36,2,2024-09-07 08:48:11:756,279024,279024,0,0,13171947,0,3875 36,3,2024-09-07 08:48:10:863,1,219,1,0,416,3810,219,0 37,0,2024-09-07 08:48:11:395,50864,0.6,50662,0.8,101739,0.6,136686,2.00 37,1,2024-09-07 08:48:10:572,384029,384022,0,7,179999041369,1892842307,378033,3923,2066,365,391560,0 37,2,2024-09-07 08:48:11:154,276692,276677,15,0,13445145,0,5815 37,3,2024-09-07 08:48:11:766,1,219,15,0,888,4126,219,0 38,0,2024-09-07 08:48:11:440,55404,0.5,53784,0.7,112643,0.5,147003,2.00 38,1,2024-09-07 08:48:11:628,385652,385652,0,0,180201517440,1887536571,380388,4590,674,368,391821,0 38,2,2024-09-07 08:48:10:760,273712,273665,47,0,13729794,0,6710 38,3,2024-09-07 08:48:11:001,1,219,1,0,689,3458,219,0 39,0,2024-09-07 08:48:11:764,58621,0.6,57546,0.8,111750,0.7,152987,2.00 39,1,2024-09-07 08:48:10:734,385205,385205,0,0,180771244354,1891304372,379843,4465,897,365,391594,0 39,2,2024-09-07 08:48:11:420,275362,275362,0,0,11755366,0,2689 39,3,2024-09-07 08:48:10:713,1,219,1,0,324,3352,219,0 40,0,2024-09-07 08:48:11:490,55391,0.8,55940,1.0,111837,0.8,149055,3.00 40,1,2024-09-07 08:48:10:582,385136,385136,0,0,180020961503,1890528205,378875,5028,1233,368,391591,0 40,2,2024-09-07 08:48:11:303,278630,278629,1,0,14415558,0,5137 40,3,2024-09-07 08:48:11:151,1,219,0,0,333,2529,219,0 41,0,2024-09-07 08:48:11:026,50510,2.1,51687,1.5,98735,3.4,135264,4.25 41,1,2024-09-07 08:48:10:767,384691,384691,0,0,180744608158,1893725212,379407,4651,633,370,391742,0 41,2,2024-09-07 08:48:10:759,277359,277359,0,0,14133605,0,3356 41,3,2024-09-07 08:48:11:681,1,219,152,0,366,2691,219,0 42,0,2024-09-07 08:48:11:476,56109,0.8,56376,1.0,112544,0.8,149030,2.50 42,1,2024-09-07 08:48:11:445,383715,383715,0,0,180386889574,1898552601,377466,5003,1246,380,391675,0 42,2,2024-09-07 08:48:11:134,273563,273563,0,0,12933568,0,3568 42,3,2024-09-07 08:48:11:009,1,219,12,0,446,1971,219,0 43,0,2024-09-07 08:48:10:926,56164,0.7,54652,0.9,114293,0.7,150509,2.25 43,1,2024-09-07 08:48:10:582,384859,384859,0,0,180485178740,1888294740,379493,4265,1101,366,391696,0 43,2,2024-09-07 08:48:11:737,275920,275920,0,0,12345371,0,3812 43,3,2024-09-07 08:48:11:748,1,219,2,0,467,2778,219,0 44,0,2024-09-07 08:48:10:857,56410,0.4,56729,0.6,113146,0.4,150820,1.75 44,1,2024-09-07 08:48:10:568,386414,386414,0,0,180633316590,1867187852,383921,2062,431,356,391809,0 44,2,2024-09-07 08:48:11:269,277723,277723,0,0,10445576,0,2231 44,3,2024-09-07 08:48:11:093,1,219,103,0,817,2696,219,0 45,0,2024-09-07 08:48:11:761,51035,0.8,49948,0.9,104758,0.8,138287,2.00 45,1,2024-09-07 08:48:11:021,385425,385425,0,0,180818735024,1874497025,384061,1352,12,382,391917,0 45,2,2024-09-07 08:48:11:274,280308,280308,0,0,11469305,0,3596 45,3,2024-09-07 08:48:10:934,1,219,2,0,271,2546,219,0 46,0,2024-09-07 08:48:10:950,54942,0.4,54837,0.6,109963,0.3,146506,2.00 46,1,2024-09-07 08:48:10:578,386828,386828,0,0,180894052063,1869263370,384617,1938,273,366,391524,0 46,2,2024-09-07 08:48:10:598,274865,274865,0,0,10706212,0,2920 46,3,2024-09-07 08:48:11:133,1,219,4,0,908,3414,219,0 47,0,2024-09-07 08:48:11:102,55363,0.4,55266,0.6,111095,0.4,147012,1.75 47,1,2024-09-07 08:48:10:569,386802,386802,0,0,181208080851,1871227130,384819,1898,85,366,391605,0 47,2,2024-09-07 08:48:10:908,277412,277412,0,0,10848307,0,2558 47,3,2024-09-07 08:48:11:115,1,219,1,0,529,2210,219,0 48,0,2024-09-07 08:48:11:526,56405,0.3,56110,0.4,111709,0.2,149070,1.50 48,1,2024-09-07 08:48:11:035,386412,386412,0,0,180805245278,1880947694,383453,2660,299,384,391710,0 48,2,2024-09-07 08:48:10:699,277356,277356,0,0,10700825,0,3031 48,3,2024-09-07 08:48:10:754,1,219,2,0,339,1991,219,0 49,0,2024-09-07 08:48:11:715,54645,0.4,53613,0.6,103999,0.4,141991,1.75 49,1,2024-09-07 08:48:11:032,384094,384094,0,0,179843798050,1877971629,380306,2607,1181,382,391610,0 49,2,2024-09-07 08:48:11:801,280967,280967,0,0,11263509,0,3900 49,3,2024-09-07 08:48:11:418,1,219,1,0,408,2633,219,0 50,0,2024-09-07 08:48:11:508,52439,0.3,51813,0.5,104277,0.2,139844,1.75 50,1,2024-09-07 08:48:11:010,386926,386926,0,0,180599030893,1871323160,384221,2395,310,368,391530,0 50,2,2024-09-07 08:48:11:072,276771,276771,0,0,10475321,0,2263 50,3,2024-09-07 08:48:11:295,1,219,11,0,335,1949,219,0 51,0,2024-09-07 08:48:11:686,56522,0.3,55373,0.5,107924,0.2,146956,1.75 51,1,2024-09-07 08:48:11:687,386417,386417,0,0,181537641186,1877056045,383681,1797,939,365,391706,0 51,2,2024-09-07 08:48:11:325,274860,274860,0,0,9473738,0,3337 51,3,2024-09-07 08:48:11:031,1,219,0,0,678,1929,219,0 52,0,2024-09-07 08:48:11:417,57573,0.5,57277,0.7,114292,0.4,151532,2.00 52,1,2024-09-07 08:48:10:577,384558,384558,0,0,179497362062,1888356227,377675,5680,1203,368,391722,0 52,2,2024-09-07 08:48:11:754,274492,274454,38,0,13765475,0,6742 52,3,2024-09-07 08:48:10:673,1,219,1,0,1782,4143,219,0 53,0,2024-09-07 08:48:11:732,54827,0.8,53339,0.9,111550,0.9,146211,2.50 53,1,2024-09-07 08:48:10:771,383762,383762,0,0,180162767000,1899800985,376443,4963,2356,367,391702,0 53,2,2024-09-07 08:48:11:298,279543,279543,0,0,11715977,0,2727 53,3,2024-09-07 08:48:10:698,1,219,1,0,271,2148,219,0 54,0,2024-09-07 08:48:11:619,50358,1.3,50776,1.0,100577,0.9,135524,3.25 54,1,2024-09-07 08:48:10:582,384824,384824,0,0,180745189183,1885943776,380101,4127,596,367,391659,0 54,2,2024-09-07 08:48:10:865,277941,277935,6,0,13043623,0,5382 54,3,2024-09-07 08:48:10:765,1,219,8,0,676,3587,219,0 55,0,2024-09-07 08:48:11:770,54606,0.7,56578,0.9,113829,0.7,148152,2.50 55,1,2024-09-07 08:48:10:763,384067,384067,0,0,179827230045,1878366257,378536,4744,787,365,391731,0 55,2,2024-09-07 08:48:10:729,272893,272893,0,0,12950008,0,3275 55,3,2024-09-07 08:48:10:674,1,219,4,0,304,2711,219,0 56,0,2024-09-07 08:48:11:572,58098,1.1,54834,1.1,112844,1.6,151288,2.50 56,1,2024-09-07 08:48:10:569,383524,383524,0,0,180031816728,1905315352,377286,5247,991,381,391678,0 56,2,2024-09-07 08:48:11:330,275712,275712,0,0,13726764,0,3567 56,3,2024-09-07 08:48:11:064,1,219,10,0,705,3436,219,0 57,0,2024-09-07 08:48:10:956,55103,1.8,55234,1.3,110403,2.5,147788,3.25 57,1,2024-09-07 08:48:10:988,384762,384762,0,0,179851022891,1887300554,380117,4100,545,367,391960,0 57,2,2024-09-07 08:48:11:329,279027,279027,0,0,14728540,0,3317 57,3,2024-09-07 08:48:11:738,1,219,1,0,359,3177,219,0 58,0,2024-09-07 08:48:10:553,50168,1.0,48947,1.1,102365,1.1,134174,2.75 58,1,2024-09-07 08:48:10:580,384915,384912,0,3,181071295839,1894849985,379572,4645,695,367,391545,3 58,2,2024-09-07 08:48:11:071,279599,279599,0,0,13314088,0,2549 58,3,2024-09-07 08:48:11:069,1,219,8,0,1043,2710,219,0 59,0,2024-09-07 08:48:11:778,55816,0.7,55723,0.9,111381,0.7,148384,2.75 59,1,2024-09-07 08:48:10:804,384542,384542,0,0,180359063579,1890893054,379208,4164,1170,369,391525,0 59,2,2024-09-07 08:48:10:584,275080,275080,0,0,11709865,0,2604 59,3,2024-09-07 08:48:11:739,1,219,45,0,1015,3383,219,0 60,0,2024-09-07 08:48:11:708,55545,0.5,55297,0.6,111324,0.4,148107,1.75 60,1,2024-09-07 08:48:10:771,386536,386536,0,0,181261872919,1881649659,384164,1855,517,370,392031,0 60,2,2024-09-07 08:48:11:143,275713,275713,0,0,12516405,0,3811 60,3,2024-09-07 08:48:11:258,1,219,1,0,124,2061,219,0 61,0,2024-09-07 08:48:11:510,56282,0.8,56555,0.8,112564,0.8,150162,2.00 61,1,2024-09-07 08:48:10:773,384793,384793,0,0,180156652031,1888399136,380337,3755,701,382,391880,0 61,2,2024-09-07 08:48:11:116,278257,278257,0,0,11300482,0,2090 61,3,2024-09-07 08:48:11:700,1,219,2,0,397,3220,219,0 62,0,2024-09-07 08:48:11:714,53125,0.9,54167,0.9,103143,1.1,140824,2.00 62,1,2024-09-07 08:48:11:111,387637,387631,0,6,181483063762,1873841885,385257,2258,116,365,391715,6 62,2,2024-09-07 08:48:11:647,278986,278985,1,0,12849754,0,5555 62,3,2024-09-07 08:48:11:143,1,219,8,0,287,1615,219,0 63,0,2024-09-07 08:48:11:450,53892,0.5,54001,0.6,107821,0.4,143952,1.75 63,1,2024-09-07 08:48:10:804,387053,387047,0,6,180836981881,1874667599,385481,1516,50,381,391677,6 63,2,2024-09-07 08:48:10:762,275391,275391,0,0,10370044,0,2674 63,3,2024-09-07 08:48:11:732,1,219,3,0,667,2787,219,0 64,0,2024-09-07 08:48:11:515,54261,0.5,54429,0.7,109038,0.4,144832,1.75 64,1,2024-09-07 08:48:10:752,385372,385372,0,0,180532000058,1887458595,380917,3041,1414,370,391783,0 64,2,2024-09-07 08:48:11:150,277463,277444,19,0,11590524,0,6121 64,3,2024-09-07 08:48:11:141,1,219,1,0,265,2413,219,0 65,0,2024-09-07 08:48:11:680,55777,0.6,56235,0.8,112163,0.7,149031,2.25 65,1,2024-09-07 08:48:10:859,384293,384293,0,0,179850974437,1885304387,380794,3056,443,382,391901,0 65,2,2024-09-07 08:48:11:703,276601,276601,0,0,12244848,0,3367 65,3,2024-09-07 08:48:11:683,1,219,1,0,163,2205,219,0 66,0,2024-09-07 08:48:11:772,54102,0.6,54157,0.8,108786,0.5,143916,2.25 66,1,2024-09-07 08:48:11:304,386156,386156,0,0,180965562684,1881150944,383771,2204,181,380,391588,0 66,2,2024-09-07 08:48:11:135,281872,281872,0,0,11959838,0,4956 66,3,2024-09-07 08:48:11:081,1,219,8,0,291,2486,219,0 67,0,2024-09-07 08:48:11:418,51422,0.8,51018,0.8,102637,1.0,136698,2.25 67,1,2024-09-07 08:48:10:766,385382,385381,0,1,180432970253,1885409549,381607,3027,747,380,391787,1 67,2,2024-09-07 08:48:10:583,278854,278854,0,0,10941122,0,2889 67,3,2024-09-07 08:48:11:753,1,219,1,0,338,1978,219,0 68,0,2024-09-07 08:48:10:566,55960,0.7,55896,0.8,111166,0.7,148603,2.00 68,1,2024-09-07 08:48:10:570,384394,384394,0,0,179428039978,1884795293,380530,2727,1137,381,391953,0 68,2,2024-09-07 08:48:11:043,273397,273332,65,0,14763652,0,6698 68,3,2024-09-07 08:48:10:736,1,219,4,0,417,2619,219,0 69,0,2024-09-07 08:48:11:725,57077,0.8,57343,0.9,114255,0.9,152201,2.25 69,1,2024-09-07 08:48:11:019,383245,383245,0,0,179928268672,1903161174,377832,4012,1401,384,391994,0 69,2,2024-09-07 08:48:11:738,275551,275551,0,0,14185294,0,3722 69,3,2024-09-07 08:48:10:760,1,219,1,0,698,3496,219,0 70,0,2024-09-07 08:48:11:531,55584,1.2,55501,1.1,111496,0.8,148684,2.50 70,1,2024-09-07 08:48:10:802,385116,385116,0,0,180921748679,1883258339,381461,3127,528,366,391725,0 70,2,2024-09-07 08:48:11:326,278787,278787,0,0,13493902,0,4044 70,3,2024-09-07 08:48:10:747,1,219,8,0,854,2720,219,0 71,0,2024-09-07 08:48:11:357,50531,1.8,50511,1.4,101009,2.5,135893,3.25 71,1,2024-09-07 08:48:11:596,384605,384605,0,0,180598413973,1889439112,379488,4496,621,368,391738,0 71,2,2024-09-07 08:48:11:067,279276,279276,0,0,13592515,0,4042 71,3,2024-09-07 08:48:11:754,1,219,2,0,644,3368,219,0 72,0,2024-09-07 08:48:11:028,58602,0.7,57321,0.8,111832,0.7,152808,2.25 72,1,2024-09-07 08:48:11:425,385364,385364,0,0,179563222498,1876832517,381067,3545,752,369,391819,0 72,2,2024-09-07 08:48:11:755,272681,272681,0,0,14188943,0,3983 72,3,2024-09-07 08:48:11:755,1,219,0,0,364,3682,219,0 73,0,2024-09-07 08:48:11:112,55079,0.4,56361,0.6,115662,0.4,150210,2.00 73,1,2024-09-07 08:48:10:770,385422,385422,0,0,179899575050,1872597102,382447,2743,232,367,391750,0 73,2,2024-09-07 08:48:11:753,276325,276325,0,0,13631316,0,3482 73,3,2024-09-07 08:48:10:969,1,219,1,0,274,3375,219,0 74,0,2024-09-07 08:48:11:360,57051,0.5,58187,0.7,110996,0.5,150373,2.25 74,1,2024-09-07 08:48:10:638,385070,385070,0,0,179857941825,1880539329,381117,3162,791,381,391681,0 74,2,2024-09-07 08:48:11:008,278309,278309,0,0,13727187,0,4253 74,3,2024-09-07 08:48:11:442,1,219,21,0,522,3229,219,0 75,0,2024-09-07 08:48:11:768,51828,0.8,51577,1.0,103450,0.7,138556,2.50 75,1,2024-09-07 08:48:11:585,384307,384307,0,0,180096100525,1886553987,379655,3989,663,380,391597,0 75,2,2024-09-07 08:48:11:350,279371,279371,0,0,14251541,0,4766 75,3,2024-09-07 08:48:11:067,1,219,1,0,702,3069,219,0 76,0,2024-09-07 08:48:10:604,54711,0.6,54302,0.8,108534,0.5,145737,2.25 76,1,2024-09-07 08:48:10:805,385684,385684,0,0,180458691077,1882804317,383067,2069,548,382,391692,0 76,2,2024-09-07 08:48:11:078,275482,275482,0,0,11309430,0,3784 76,3,2024-09-07 08:48:11:143,1,219,12,0,175,2405,219,0 77,0,2024-09-07 08:48:11:711,55017,0.5,55268,0.8,110351,0.5,146283,2.00 77,1,2024-09-07 08:48:10:832,385784,385784,0,0,179939859320,1879403644,382979,2572,233,383,391808,0 77,2,2024-09-07 08:48:11:282,275104,275104,0,0,11807247,0,3890 77,3,2024-09-07 08:48:11:094,1,219,2,0,305,2325,219,0 78,0,2024-09-07 08:48:11:716,56186,0.4,55639,0.7,112316,0.4,148324,2.00 78,1,2024-09-07 08:48:10:615,385421,385421,0,0,179595075693,1867015946,382469,2636,316,367,391670,0 78,2,2024-09-07 08:48:11:407,277479,277479,0,0,10832676,0,3855 78,3,2024-09-07 08:48:11:133,1,219,8,0,181,2149,219,0 79,0,2024-09-07 08:48:11:356,51505,0.5,52738,0.7,107932,0.4,139913,2.50 79,1,2024-09-07 08:48:10:571,386700,386700,0,0,180864986503,1873762045,383869,2495,336,369,391682,0 79,2,2024-09-07 08:48:11:072,281294,281294,0,0,10784455,0,3212 79,3,2024-09-07 08:48:10:750,1,219,1,0,418,3320,219,0 80,0,2024-09-07 08:48:11:074,52023,0.6,53556,0.8,102614,0.6,139600,2.25 80,1,2024-09-07 08:48:11:618,384593,384593,0,0,180397298183,1880775378,381632,2793,168,368,391791,0 80,2,2024-09-07 08:48:11:095,277109,277109,0,0,11364904,0,4433 80,3,2024-09-07 08:48:10:583,1,219,1,0,190,3187,219,0 81,0,2024-09-07 08:48:11:533,55109,0.5,56443,0.7,108328,0.5,146095,2.00 81,1,2024-09-07 08:48:11:650,384725,384725,0,0,179713178915,1881692531,381147,3132,446,382,391879,0 81,2,2024-09-07 08:48:11:124,274494,274494,0,0,11590437,0,3993 81,3,2024-09-07 08:48:11:117,1,219,20,0,374,2642,219,0 82,0,2024-09-07 08:48:11:538,56612,0.4,57146,0.6,114349,0.4,151659,2.00 82,1,2024-09-07 08:48:10:584,385404,385400,0,4,180479683969,1883798846,382183,2606,611,381,391558,4 82,2,2024-09-07 08:48:11:695,276660,276660,0,0,11101285,0,3986 82,3,2024-09-07 08:48:11:754,1,219,13,0,363,2680,219,0 83,0,2024-09-07 08:48:11:522,55310,0.6,55404,0.7,109988,0.6,146608,2.00 83,1,2024-09-07 08:48:10:553,384927,384927,0,0,180463254413,1884925178,381788,2896,243,382,391690,0 83,2,2024-09-07 08:48:10:765,279087,279087,0,0,11064318,0,3119 83,3,2024-09-07 08:48:10:751,1,219,1,0,250,2373,219,0 84,0,2024-09-07 08:48:11:765,50884,1.0,50919,1.1,101828,0.9,136919,2.50 84,1,2024-09-07 08:48:11:042,384070,384070,0,0,180238773658,1889693026,379411,4085,574,368,391967,0 84,2,2024-09-07 08:48:10:574,278376,278376,0,0,13224020,0,3801 84,3,2024-09-07 08:48:11:141,1,219,0,0,908,3787,219,0 85,0,2024-09-07 08:48:11:003,54715,0.9,54642,1.0,115968,1.0,149670,2.50 85,1,2024-09-07 08:48:10:567,383299,383299,0,0,179612603257,1904478602,376484,5710,1105,382,392006,0 85,2,2024-09-07 08:48:10:867,274857,274857,0,0,13619968,0,3656 85,3,2024-09-07 08:48:10:688,1,219,3,0,789,3029,219,0 86,0,2024-09-07 08:48:10:875,56928,0.6,58410,0.8,112060,0.6,151331,2.00 86,1,2024-09-07 08:48:10:834,384898,384898,0,0,180315759228,1891051721,379933,4243,722,366,391961,0 86,2,2024-09-07 08:48:10:854,274642,274641,1,0,14489774,0,5004 86,3,2024-09-07 08:48:10:585,1,219,138,0,286,3066,219,0 87,0,2024-09-07 08:48:11:292,55831,1.1,55453,1.0,111513,1.5,149294,2.25 87,1,2024-09-07 08:48:10:553,384759,384759,0,0,179807652429,1885873271,380309,3970,480,366,391788,0 87,2,2024-09-07 08:48:11:083,277645,277645,0,0,13044562,0,4045 87,3,2024-09-07 08:48:11:799,1,219,17,0,473,3708,219,0 88,0,2024-09-07 08:48:11:489,51480,0.6,51743,0.7,103223,0.6,137754,2.00 88,1,2024-09-07 08:48:10:569,383401,383401,0,0,179670676513,1889963368,377515,4414,1472,365,392084,0 88,2,2024-09-07 08:48:10:689,279294,279294,0,0,14895788,0,3583 88,3,2024-09-07 08:48:11:278,1,219,1,0,435,2889,219,0 89,0,2024-09-07 08:48:11:763,57491,0.4,55649,0.6,110496,0.4,149775,1.75 89,1,2024-09-07 08:48:10:550,382939,382939,0,0,180321461139,1907288939,376327,5439,1173,382,391866,0 89,2,2024-09-07 08:48:11:135,273470,273470,0,0,13506539,0,2910 89,3,2024-09-07 08:48:11:791,1,219,164,0,325,4527,219,0 90,0,2024-09-07 08:48:11:616,53879,0.5,54980,0.6,112909,0.4,147171,1.75 90,1,2024-09-07 08:48:10:606,385017,385017,0,0,180273505433,1890371973,381465,3290,262,381,391825,0 90,2,2024-09-07 08:48:11:407,274158,274158,0,0,14728431,0,3060 90,3,2024-09-07 08:48:10:930,1,219,16,0,200,2429,219,0 91,0,2024-09-07 08:48:10:937,56726,0.4,54803,0.6,114348,0.4,150319,1.75 91,1,2024-09-07 08:48:10:558,384255,384255,0,0,180479044828,1899733543,379177,4431,647,381,392047,0 91,2,2024-09-07 08:48:11:340,277203,277203,0,0,13471517,0,2445 91,3,2024-09-07 08:48:10:609,1,219,3,0,216,2230,219,0 92,0,2024-09-07 08:48:11:530,53103,0.6,54502,0.7,104202,0.6,141019,1.75 92,1,2024-09-07 08:48:10:581,384774,384774,0,0,180643085559,1884668393,382451,1917,406,382,392136,0 92,2,2024-09-07 08:48:11:351,281664,281664,0,0,11409072,0,2801 92,3,2024-09-07 08:48:11:009,1,219,2,0,167,1844,219,0 93,0,2024-09-07 08:48:10:960,54176,0.4,55507,0.6,105989,0.4,144466,1.75 93,1,2024-09-07 08:48:10:806,385623,385623,0,0,180384403596,1883225058,381816,3179,628,366,391692,0 93,2,2024-09-07 08:48:10:930,275976,275976,0,0,11564401,0,2509 93,3,2024-09-07 08:48:11:407,1,219,7,0,190,2388,219,0 94,0,2024-09-07 08:48:11:609,54642,0.4,55316,0.6,109980,0.3,145683,1.75 94,1,2024-09-07 08:48:10:575,384863,384863,0,0,180360447369,1886460220,381699,2988,176,381,391850,0 94,2,2024-09-07 08:48:10:762,274782,274782,0,0,10689903,0,2443 94,3,2024-09-07 08:48:11:688,1,219,3,0,264,3073,219,0 95,0,2024-09-07 08:48:11:355,56602,0.3,56164,0.5,113532,0.3,149998,1.75 95,1,2024-09-07 08:48:10:851,385520,385520,0,0,180733420967,1881328511,382198,2971,351,367,391662,0 95,2,2024-09-07 08:48:11:019,276023,276023,0,0,11554574,0,3308 95,3,2024-09-07 08:48:11:710,1,219,1,0,718,4299,219,0 96,0,2024-09-07 08:48:11:030,54730,0.5,54936,0.6,109605,0.4,144556,1.75 96,1,2024-09-07 08:48:11:584,384365,384365,0,0,180041410277,1884245413,380808,2828,729,385,391894,0 96,2,2024-09-07 08:48:11:282,279611,279611,0,0,12275358,0,4042 96,3,2024-09-07 08:48:11:151,1,219,3,0,411,2638,219,0 97,0,2024-09-07 08:48:11:329,51309,0.4,51123,0.5,102734,0.3,136794,1.75 97,1,2024-09-07 08:48:10:763,385696,385696,0,0,180790705561,1878066373,382723,2420,553,367,392140,0 97,2,2024-09-07 08:48:10:607,277710,277710,0,0,11364728,0,3036 97,3,2024-09-07 08:48:10:570,1,219,1,0,165,2570,219,0 98,0,2024-09-07 08:48:11:697,55895,0.3,55953,0.5,112393,0.3,148824,1.50 98,1,2024-09-07 08:48:10:578,385534,385534,0,0,180936589109,1885314353,383417,2022,95,382,391997,0 98,2,2024-09-07 08:48:10:771,275025,275025,0,0,11244761,0,3080 98,3,2024-09-07 08:48:10:701,1,219,9,0,840,3841,219,0 99,0,2024-09-07 08:48:11:495,57449,0.3,57538,0.4,114893,0.3,153307,1.50 99,1,2024-09-07 08:48:11:724,385559,385559,0,0,179944008808,1880320130,381785,3035,739,381,391744,0 99,2,2024-09-07 08:48:11:449,277997,277997,0,0,12015579,0,3106 99,3,2024-09-07 08:48:10:593,1,219,28,0,168,1842,219,0 100,0,2024-09-07 08:48:11:496,55830,0.8,55928,1.1,111551,1.1,149228,2.25 100,1,2024-09-07 08:48:10:548,382697,382697,0,0,178689420831,1897314461,375867,5513,1317,381,391989,0 100,2,2024-09-07 08:48:11:818,277399,277388,11,0,13847135,0,5417 100,3,2024-09-07 08:48:11:731,1,219,1,0,559,4710,219,0 101,0,2024-09-07 08:48:11:717,51996,2.5,50791,1.5,99465,3.2,137114,3.00 101,1,2024-09-07 08:48:10:550,383239,383239,0,0,179308418308,1897727323,375920,5549,1770,368,391769,0 101,2,2024-09-07 08:48:11:756,278127,278127,0,0,14904543,0,4644 101,3,2024-09-07 08:48:10:942,1,219,2,0,448,2978,219,0 102,0,2024-09-07 08:48:10:947,55428,0.7,57460,0.8,115447,0.7,152017,2.25 102,1,2024-09-07 08:48:11:154,383770,383770,0,0,179604293804,1893683176,378134,4797,839,369,391883,0 102,2,2024-09-07 08:48:11:759,274399,274345,54,0,13459354,0,6768 102,3,2024-09-07 08:48:11:620,1,219,16,0,410,2420,219,0 103,0,2024-09-07 08:48:11:613,58125,0.5,58191,0.7,109703,0.4,151275,2.00 103,1,2024-09-07 08:48:11:629,383191,383191,0,0,179027915679,1893542481,376921,4890,1380,381,391829,0 103,2,2024-09-07 08:48:10:594,274381,274381,0,0,12837279,0,2582 103,3,2024-09-07 08:48:10:755,1,219,1,0,916,3465,219,0 104,0,2024-09-07 08:48:11:005,56012,0.9,56436,1.0,111543,0.9,150500,2.25 104,1,2024-09-07 08:48:11:605,384640,384640,0,0,179152691857,1889641360,378249,5304,1087,365,391948,0 104,2,2024-09-07 08:48:11:666,277245,277245,0,0,14060488,0,3941 104,3,2024-09-07 08:48:11:437,1,219,7,0,1245,6081,219,0 105,0,2024-09-07 08:48:11:029,51244,1.1,49784,1.2,104127,1.6,137492,3.25 105,1,2024-09-07 08:48:10:569,384816,384816,0,0,180113795623,1892642015,379355,4648,813,367,391797,0 105,2,2024-09-07 08:48:11:328,279677,279677,0,0,13615524,0,3509 105,3,2024-09-07 08:48:11:308,1,219,2,0,399,4109,219,0 106,0,2024-09-07 08:48:10:946,53073,0.8,54336,0.9,111106,0.8,145762,2.50 106,1,2024-09-07 08:48:11:755,384320,384320,0,0,179156992782,1885474252,378170,5607,543,369,391767,0 106,2,2024-09-07 08:48:10:755,272654,272654,0,0,12832056,0,2795 106,3,2024-09-07 08:48:10:682,1,219,8,0,470,3348,219,0 107,0,2024-09-07 08:48:11:107,55056,0.9,54943,0.9,109483,1.0,146091,2.00 107,1,2024-09-07 08:48:10:600,383508,383508,0,0,179827628474,1894783573,378773,4252,483,381,392234,0 107,2,2024-09-07 08:48:11:296,274910,274909,1,0,13266974,0,5024 107,3,2024-09-07 08:48:11:755,1,219,3,0,353,3284,219,0 108,0,2024-09-07 08:48:11:783,56139,0.4,56390,0.6,111752,0.4,148964,1.75 108,1,2024-09-07 08:48:11:305,385068,385068,0,0,180551134763,1883638976,381902,2782,384,368,391857,0 108,2,2024-09-07 08:48:11:757,275999,275999,0,0,12358886,0,2647 108,3,2024-09-07 08:48:11:338,1,219,1,0,749,4729,219,0 109,0,2024-09-07 08:48:11:755,53493,0.4,52939,0.6,106240,0.4,141937,1.75 109,1,2024-09-07 08:48:10:616,384271,384271,0,0,179801397799,1886978290,380968,2800,503,383,392132,0 109,2,2024-09-07 08:48:10:925,279363,279363,0,0,12778593,0,3617 109,3,2024-09-07 08:48:11:140,1,219,1,0,249,2790,219,0 110,0,2024-09-07 08:48:11:763,52319,0.4,50949,0.6,106514,0.4,140254,1.75 110,1,2024-09-07 08:48:11:643,385502,385502,0,0,181339544066,1883943054,382726,2007,769,370,392045,0 110,2,2024-09-07 08:48:11:307,276819,276819,0,0,11742832,0,3264 110,3,2024-09-07 08:48:10:691,1,219,8,0,406,2990,219,0 111,0,2024-09-07 08:48:11:425,55660,0.4,55150,0.5,110204,0.3,147408,1.75 111,1,2024-09-07 08:48:11:004,386340,386340,0,0,180907851451,1876308019,384505,1501,334,382,391690,0 111,2,2024-09-07 08:48:11:117,274610,274610,0,0,11562178,0,2763 111,3,2024-09-07 08:48:10:913,1,219,17,0,379,3172,219,0 112,0,2024-09-07 08:48:10:913,57198,0.3,57231,0.4,114413,0.2,151713,1.50 112,1,2024-09-07 08:48:10:832,386164,386164,0,0,180130414881,1871483221,383749,1987,428,380,391580,0 112,2,2024-09-07 08:48:11:134,276147,276146,1,0,11921174,0,5036 112,3,2024-09-07 08:48:10:597,1,219,0,0,282,2441,219,0 113,0,2024-09-07 08:48:10:866,55187,0.3,55098,0.5,110767,0.3,147811,1.75 113,1,2024-09-07 08:48:11:687,386545,386545,0,0,181518886066,1875959015,384058,1998,489,366,391661,0 113,2,2024-09-07 08:48:11:303,280449,280449,0,0,10998882,0,3813 113,3,2024-09-07 08:48:10:691,1,219,2,0,340,3515,219,0 114,0,2024-09-07 08:48:10:877,51743,0.5,52274,0.6,103348,0.3,138720,1.75 114,1,2024-09-07 08:48:10:716,385182,385182,0,0,180828726623,1888656203,380379,3215,1588,381,391556,0 114,2,2024-09-07 08:48:10:873,278567,278566,1,0,12080289,0,5069 114,3,2024-09-07 08:48:11:279,1,219,12,0,395,2551,219,0 115,0,2024-09-07 08:48:10:555,56740,0.3,57051,0.5,114185,0.3,151405,1.75 115,1,2024-09-07 08:48:10:577,385170,385170,0,0,181556189017,1892330724,380830,3507,833,382,391602,0 115,2,2024-09-07 08:48:11:135,275019,275019,0,0,10149809,0,2152 115,3,2024-09-07 08:48:11:007,1,219,1,0,159,1305,219,0 116,0,2024-09-07 08:48:11:727,56894,0.7,56559,0.9,113393,0.7,152002,2.00 116,1,2024-09-07 08:48:10:804,382867,382867,0,0,179224782545,1903927180,376562,4253,2052,380,391782,0 116,2,2024-09-07 08:48:11:755,274713,274713,0,0,14853810,0,3529 116,3,2024-09-07 08:48:10:913,1,219,23,0,415,3452,219,0 117,0,2024-09-07 08:48:10:958,55858,0.9,55802,1.0,111441,1.1,149439,2.00 117,1,2024-09-07 08:48:11:579,384307,384307,0,0,179563283022,1884147928,379778,4050,479,370,392033,0 117,2,2024-09-07 08:48:11:119,279868,279868,0,0,12471894,0,3700 117,3,2024-09-07 08:48:11:075,1,219,14,0,490,3858,219,0 118,0,2024-09-07 08:48:11:770,49948,0.8,51439,0.9,104548,0.8,137392,2.25 118,1,2024-09-07 08:48:10:592,384197,384197,0,0,179632832743,1894364060,378139,4631,1427,366,391736,0 118,2,2024-09-07 08:48:11:592,278865,278865,0,0,13403818,0,2781 118,3,2024-09-07 08:48:11:765,1,219,12,0,235,2678,219,0 119,0,2024-09-07 08:48:11:358,55396,0.8,55843,0.9,111952,0.9,148636,2.25 119,1,2024-09-07 08:48:10:548,384834,384834,0,0,180820585821,1894070824,380195,4117,522,368,391641,0 119,2,2024-09-07 08:48:11:264,274130,274130,0,0,12424258,0,4174 119,3,2024-09-07 08:48:11:344,1,219,13,0,443,3381,219,0 120,0,2024-09-07 08:48:11:547,55100,0.5,55075,0.8,110406,0.5,147719,2.00 120,1,2024-09-07 08:48:10:858,384279,384279,0,0,180190207352,1894545925,379768,4163,348,368,391961,0 120,2,2024-09-07 08:48:10:771,274450,274449,1,0,14544067,0,5281 120,3,2024-09-07 08:48:11:290,1,219,1,0,241,3081,219,0 121,0,2024-09-07 08:48:11:696,56088,1.1,56448,1.0,112654,1.5,149943,2.25 121,1,2024-09-07 08:48:11:719,384921,384921,0,0,179849950261,1884242101,380927,3587,407,367,391840,0 121,2,2024-09-07 08:48:11:134,276386,276386,0,0,14289945,0,4127 121,3,2024-09-07 08:48:10:730,1,219,76,0,269,2733,219,0 122,0,2024-09-07 08:48:11:764,52452,1.0,51107,1.0,107134,1.1,140990,2.25 122,1,2024-09-07 08:48:10:859,383744,383744,0,0,179762934656,1891704294,378052,4947,745,366,392130,0 122,2,2024-09-07 08:48:11:334,279547,279474,73,0,16799450,0,5989 122,3,2024-09-07 08:48:10:601,1,219,13,0,411,4744,219,0 123,0,2024-09-07 08:48:10:954,53684,0.8,52399,0.9,109183,1.0,143466,2.25 123,1,2024-09-07 08:48:10:567,384185,384185,0,0,179744049830,1901509314,376006,6610,1569,369,391823,0 123,2,2024-09-07 08:48:11:021,273291,273290,1,0,13452221,0,5215 123,3,2024-09-07 08:48:11:133,1,219,1,0,168,2734,219,0 124,0,2024-09-07 08:48:10:937,56673,0.3,56655,0.5,106877,0.3,146284,1.75 124,1,2024-09-07 08:48:11:087,386092,386092,0,0,179965899099,1872588166,383273,2457,362,367,392178,0 124,2,2024-09-07 08:48:11:013,275406,275406,0,0,10919142,0,3101 124,3,2024-09-07 08:48:10:758,1,219,1,0,490,2634,219,0 125,0,2024-09-07 08:48:11:444,56469,0.4,56352,0.5,113141,0.3,150052,1.75 125,1,2024-09-07 08:48:10:864,385022,385022,0,0,180934931625,1887719460,382461,2282,279,383,391702,0 125,2,2024-09-07 08:48:11:122,277070,277070,0,0,11752368,0,4534 125,3,2024-09-07 08:48:11:126,1,219,8,0,709,3408,219,0 126,0,2024-09-07 08:48:11:422,54856,0.6,56252,0.7,107559,0.6,145325,1.75 126,1,2024-09-07 08:48:10:557,385978,385978,0,0,180988304825,1878424907,383310,2407,261,365,391987,0 126,2,2024-09-07 08:48:10:614,281319,281319,0,0,12065009,0,3186 126,3,2024-09-07 08:48:10:908,1,219,1,0,183,3138,219,0 127,0,2024-09-07 08:48:11:615,51221,0.4,51537,0.5,102558,0.3,136909,1.75 127,1,2024-09-07 08:48:10:579,385514,385514,0,0,180717724922,1874858597,382639,2722,153,365,391816,0 127,2,2024-09-07 08:48:10:639,277970,277970,0,0,11035894,0,2264 127,3,2024-09-07 08:48:11:280,1,219,11,0,243,1850,219,0 128,0,2024-09-07 08:48:11:547,56402,0.3,56364,0.5,112386,0.3,149156,1.50 128,1,2024-09-07 08:48:11:617,385534,385534,0,0,180298801232,1872159892,383433,1945,156,367,391798,0 128,2,2024-09-07 08:48:11:445,274304,274304,0,0,10838947,0,2434 128,3,2024-09-07 08:48:10:767,1,219,670,0,1082,5149,219,0 129,0,2024-09-07 08:48:11:002,57856,0.3,57547,0.5,115037,0.3,152910,1.50 129,1,2024-09-07 08:48:10:567,383425,383425,0,0,179522865010,1885834887,379306,3192,927,379,391835,0 129,2,2024-09-07 08:48:10:691,276448,276448,0,0,11694240,0,4031 129,3,2024-09-07 08:48:10:690,1,219,1,0,469,3050,219,0 130,0,2024-09-07 08:48:11:718,56328,0.6,56198,0.7,112523,0.6,150192,1.75 130,1,2024-09-07 08:48:10:584,385343,385343,0,0,180105734519,1876423890,382971,2296,76,381,391825,0 130,2,2024-09-07 08:48:11:135,280415,280415,0,0,11597619,0,4067 130,3,2024-09-07 08:48:11:296,1,219,8,0,450,2997,219,0 131,0,2024-09-07 08:48:11:940,51200,0.4,51575,0.6,103708,0.4,137249,1.75 131,1,2024-09-07 08:48:11:821,385857,385857,0,0,180262345418,1882384506,382827,2574,456,381,391865,0 131,2,2024-09-07 08:48:10:579,280724,280724,0,0,10625024,0,2415 131,3,2024-09-07 08:48:11:701,1,219,1,0,392,2329,219,0 132,0,2024-09-07 08:48:11:456,56610,0.5,57230,0.7,114447,0.5,152684,2.00 132,1,2024-09-07 08:48:10:585,383359,383359,0,0,179035390964,1894479971,376599,5529,1231,381,392097,0 132,2,2024-09-07 08:48:10:698,273977,273977,0,0,13959454,0,4606 132,3,2024-09-07 08:48:11:688,1,219,1,0,356,3401,219,0 133,0,2024-09-07 08:48:11:548,55044,0.4,56415,0.6,115564,0.4,150085,1.75 133,1,2024-09-07 08:48:10:590,383028,383028,0,0,179887352444,1899012648,377568,4795,665,383,391914,0 133,2,2024-09-07 08:48:11:092,274488,274488,0,0,15273893,0,4315 133,3,2024-09-07 08:48:11:307,1,219,185,0,276,2373,219,0 134,0,2024-09-07 08:48:10:950,56426,0.5,56318,0.7,113255,0.5,150438,2.00 134,1,2024-09-07 08:48:10:583,383652,383652,0,0,179774527279,1894458991,377240,4944,1468,366,391718,0 134,2,2024-09-07 08:48:11:754,277533,277533,0,0,12962479,0,3847 134,3,2024-09-07 08:48:10:753,1,219,5,0,739,3536,219,0 135,0,2024-09-07 08:48:11:103,50141,1.1,50151,1.1,106242,1.3,137039,2.25 135,1,2024-09-07 08:48:11:585,382788,382788,0,0,180160886049,1901490147,376609,5184,995,380,391805,0 135,2,2024-09-07 08:48:10:691,279825,279825,0,0,14335810,0,3981 135,3,2024-09-07 08:48:11:010,1,219,10,0,299,1574,219,0 136,0,2024-09-07 08:48:11:614,55312,0.6,55210,0.7,110426,0.5,147308,2.25 136,1,2024-09-07 08:48:11:444,383889,383889,0,0,179557746659,1889360966,378800,4547,542,382,391685,0 136,2,2024-09-07 08:48:11:137,275042,275042,0,0,12679499,0,3506 136,3,2024-09-07 08:48:11:109,1,219,3,0,126,1810,219,0 137,0,2024-09-07 08:48:10:919,56654,0.6,54953,0.8,108577,0.7,147224,2.00 137,1,2024-09-07 08:48:10:584,383747,383747,0,0,180102531312,1894374799,377161,5207,1379,366,391708,0 137,2,2024-09-07 08:48:11:706,274422,274422,0,0,14919293,0,3185 137,3,2024-09-07 08:48:10:768,1,219,45,0,382,2821,219,0 138,0,2024-09-07 08:48:11:740,55614,0.9,55703,1.0,111774,1.1,148596,2.25 138,1,2024-09-07 08:48:11:686,383924,383924,0,0,180462715515,1899009898,377508,5318,1098,368,391954,0 138,2,2024-09-07 08:48:10:600,276899,276899,0,0,13589041,0,4988 138,3,2024-09-07 08:48:10:616,1,219,17,0,1160,3742,219,0 139,0,2024-09-07 08:48:11:391,52274,2.4,52281,1.5,105459,3.5,140453,2.75 139,1,2024-09-07 08:48:10:576,382424,382424,0,0,179248647200,1908849668,374525,5835,2064,381,391892,0 139,2,2024-09-07 08:48:10:692,278446,278446,0,0,14028638,0,3097 139,3,2024-09-07 08:48:11:667,1,219,2,0,244,2380,219,0 140,0,2024-09-07 08:48:11:596,52751,0.4,52194,0.5,105145,0.3,140463,1.75 140,1,2024-09-07 08:48:11:543,386417,386417,0,0,181774787196,1873985398,384654,1523,240,365,391606,0 140,2,2024-09-07 08:48:10:687,277298,277298,0,0,11213111,0,3388 140,3,2024-09-07 08:48:10:767,1,219,2,0,247,1920,219,0 141,0,2024-09-07 08:48:11:705,55472,0.3,56903,0.5,108710,0.2,147383,1.50 141,1,2024-09-07 08:48:10:859,386170,386170,0,0,181010019519,1878975686,383888,1927,355,379,391614,0 141,2,2024-09-07 08:48:11:687,275015,275015,0,0,10637250,0,2342 141,3,2024-09-07 08:48:11:043,1,219,1,0,178,1952,219,0 142,0,2024-09-07 08:48:11:311,57826,0.3,57205,0.5,113858,0.2,152016,1.50 142,1,2024-09-07 08:48:10:592,385339,385339,0,0,180719740560,1885126057,382880,2216,243,382,392102,0 142,2,2024-09-07 08:48:11:302,275986,275954,32,0,12386831,0,6028 142,3,2024-09-07 08:48:11:746,1,219,9,0,484,2956,219,0 143,0,2024-09-07 08:48:11:376,55445,0.6,55382,0.6,111319,0.6,147640,1.75 143,1,2024-09-07 08:48:10:568,385766,385766,0,0,180584004808,1873454093,383435,2285,46,367,391619,0 143,2,2024-09-07 08:48:10:769,279873,279873,0,0,11767621,0,2669 143,3,2024-09-07 08:48:11:152,1,219,2,0,303,3111,219,0 144,0,2024-09-07 08:48:11:501,49640,0.9,51113,1.1,103869,0.9,137156,2.25 144,1,2024-09-07 08:48:10:564,383508,383508,0,0,179563897005,1889268479,379567,3020,921,381,391649,0 144,2,2024-09-07 08:48:11:755,278802,278802,0,0,11427237,0,3473 144,3,2024-09-07 08:48:11:738,1,219,7,0,249,2773,219,0 145,0,2024-09-07 08:48:11:387,54636,0.7,54661,0.9,115819,0.6,149686,2.50 145,1,2024-09-07 08:48:10:558,382915,382915,0,0,179430270250,1892801473,377435,4660,820,382,391698,0 145,2,2024-09-07 08:48:11:442,273167,273167,0,0,13344037,0,3903 145,3,2024-09-07 08:48:10:897,1,219,4,0,622,3919,219,0 146,0,2024-09-07 08:48:11:595,56827,0.5,56369,0.8,113563,0.5,151176,2.25 146,1,2024-09-07 08:48:11:588,384223,384223,0,0,179586491345,1895688547,376704,5710,1809,368,391770,0 146,2,2024-09-07 08:48:11:700,274948,274948,0,0,13032526,0,2730 146,3,2024-09-07 08:48:11:279,1,219,14,0,1520,5790,219,0 147,0,2024-09-07 08:48:11:704,55777,0.6,55783,0.8,110731,0.6,149101,2.25 147,1,2024-09-07 08:48:11:372,386009,386009,0,0,180687400930,1881269692,382278,3162,569,368,391791,0 147,2,2024-09-07 08:48:11:016,279853,279853,0,0,11248813,0,2789 147,3,2024-09-07 08:48:10:921,1,219,1,0,730,3403,219,0 0,0,2024-09-07 08:48:21:720,54078,0.5,54076,0.7,114827,0.5,148909,1.75 0,1,2024-09-07 08:48:20:815,386557,386557,0,0,181258337916,1899478472,383391,2964,202,370,391772,0 0,2,2024-09-07 08:48:21:087,276669,276669,0,0,11967591,0,4480 0,3,2024-09-07 08:48:20:991,1,220,1,0,247,2842,220,0 1,0,2024-09-07 08:48:21:825,56654,0.9,56148,1.0,112995,1.2,150617,2.00 1,1,2024-09-07 08:48:20:560,385863,385863,0,0,180120179927,1892331239,381375,3435,1053,371,391857,0 1,2,2024-09-07 08:48:20:638,278406,278406,0,0,11020641,0,3267 1,3,2024-09-07 08:48:21:312,1,220,0,0,262,2768,220,0 2,0,2024-09-07 08:48:21:574,52813,0.9,53220,0.9,105661,1.2,141204,2.00 2,1,2024-09-07 08:48:20:868,386731,386731,0,0,181225793876,1885579702,384629,1884,218,380,391745,0 2,2,2024-09-07 08:48:21:267,281497,281497,0,0,11822178,0,3594 2,3,2024-09-07 08:48:20:690,1,220,8,0,357,2186,220,0 3,0,2024-09-07 08:48:21:750,54253,0.5,54341,0.6,108205,0.4,144514,2.00 3,1,2024-09-07 08:48:21:620,386474,386474,0,0,180439332619,1883402463,382716,3297,461,380,391523,0 3,2,2024-09-07 08:48:21:142,275951,275928,23,0,11700182,0,5851 3,3,2024-09-07 08:48:21:756,1,220,3,0,103,1401,220,0 4,0,2024-09-07 08:48:21:846,53285,0.4,54750,0.5,111483,0.3,146027,1.75 4,1,2024-09-07 08:48:20:597,385977,385977,0,0,180713414137,1903685922,381273,3916,788,371,391846,0 4,2,2024-09-07 08:48:21:037,275295,275295,0,0,13866621,0,4528 4,3,2024-09-07 08:48:21:032,1,220,2,0,448,3541,220,0 5,0,2024-09-07 08:48:21:368,56568,0.4,56780,0.6,112905,0.4,150182,1.75 5,1,2024-09-07 08:48:20:759,385824,385824,0,0,180545208699,1904372112,380348,4365,1111,368,392005,0 5,2,2024-09-07 08:48:21:829,276424,276424,0,0,12850726,0,2432 5,3,2024-09-07 08:48:21:745,1,220,8,0,457,3734,220,0 6,0,2024-09-07 08:48:20:925,54933,0.7,54412,0.8,108686,0.8,145052,2.25 6,1,2024-09-07 08:48:20:751,386339,386339,0,0,180871784484,1895857499,381674,3821,844,379,391634,0 6,2,2024-09-07 08:48:21:125,280908,280908,0,0,13000480,0,4816 6,3,2024-09-07 08:48:21:273,1,220,16,0,340,2801,220,0 7,0,2024-09-07 08:48:21:538,51207,0.6,51264,0.7,102390,0.6,136696,2.00 7,1,2024-09-07 08:48:20:850,385613,385613,0,0,180981420514,1905326346,379721,4889,1003,382,391686,0 7,2,2024-09-07 08:48:20:779,277228,277228,0,0,12782218,0,4791 7,3,2024-09-07 08:48:20:851,1,220,398,0,398,2687,220,0 8,0,2024-09-07 08:48:21:327,56040,0.4,56159,0.5,111787,0.4,149022,1.75 8,1,2024-09-07 08:48:21:028,385026,385026,0,0,180594388146,1910614625,377139,5882,2005,367,391956,0 8,2,2024-09-07 08:48:20:819,272671,272671,0,0,14599996,0,2986 8,3,2024-09-07 08:48:20:585,1,220,22,0,357,3399,220,0 9,0,2024-09-07 08:48:21:143,57810,0.3,56073,0.5,117023,0.3,153593,1.75 9,1,2024-09-07 08:48:20:550,385443,385443,0,0,181171484750,1915609603,379054,4849,1540,370,392001,0 9,2,2024-09-07 08:48:21:091,277116,277116,0,0,12894966,0,3360 9,3,2024-09-07 08:48:21:764,1,220,2,0,496,3321,220,0 10,0,2024-09-07 08:48:21:606,56498,0.3,56010,0.5,112711,0.3,150449,1.75 10,1,2024-09-07 08:48:20:584,385915,385915,0,0,181209393617,1904324540,379743,5244,928,381,391741,0 10,2,2024-09-07 08:48:20:762,280291,280291,0,0,14636328,0,4264 10,3,2024-09-07 08:48:20:873,1,220,46,0,177,1891,220,0 11,0,2024-09-07 08:48:21:012,51094,0.5,49514,0.8,103613,0.5,137741,2.00 11,1,2024-09-07 08:48:20:622,386233,386233,0,0,180230459526,1901194532,378511,5808,1914,383,391537,0 11,2,2024-09-07 08:48:21:124,279446,279446,0,0,13946444,0,4130 11,3,2024-09-07 08:48:21:301,1,220,3,0,843,3940,220,0 12,0,2024-09-07 08:48:21:100,57770,0.4,57710,0.5,115281,0.3,153896,1.75 12,1,2024-09-07 08:48:20:958,386565,386565,0,0,180604834662,1885216451,383276,2868,421,370,391837,0 12,2,2024-09-07 08:48:21:557,276318,276318,0,0,12681997,0,3469 12,3,2024-09-07 08:48:21:060,1,220,1,0,386,4026,220,0 13,0,2024-09-07 08:48:21:326,57143,0.3,56977,0.5,113718,0.3,151694,1.50 13,1,2024-09-07 08:48:21:528,385946,385946,0,0,181135831060,1902003748,382590,2817,539,382,391717,0 13,2,2024-09-07 08:48:20:598,277458,277458,0,0,11758571,0,3287 13,3,2024-09-07 08:48:21:769,1,220,6,0,467,3761,220,0 14,0,2024-09-07 08:48:20:566,56805,0.4,57323,0.6,113410,0.3,150781,1.75 14,1,2024-09-07 08:48:21:566,388724,388724,0,0,181320082466,1879464325,386026,2509,189,364,391571,0 14,2,2024-09-07 08:48:20:774,279310,279310,0,0,11925003,0,2896 14,3,2024-09-07 08:48:21:118,1,220,9,0,1168,3351,220,0 15,0,2024-09-07 08:48:21:570,51673,0.6,52016,0.8,103903,0.6,138196,2.25 15,1,2024-09-07 08:48:21:655,386982,386982,0,0,180512976631,1878174636,384681,2157,144,381,391619,0 15,2,2024-09-07 08:48:21:006,281773,281773,0,0,10341416,0,3043 15,3,2024-09-07 08:48:21:407,1,220,1,0,1126,4773,220,0 16,0,2024-09-07 08:48:20:995,55461,0.7,55740,0.9,110533,0.8,148281,2.25 16,1,2024-09-07 08:48:20:650,387122,387122,0,0,180970019350,1895394247,383579,3247,296,370,391756,0 16,2,2024-09-07 08:48:21:434,275039,275039,0,0,12598087,0,4719 16,3,2024-09-07 08:48:21:148,1,220,214,0,317,3196,220,0 17,0,2024-09-07 08:48:21:776,56874,0.5,55466,0.7,108740,0.5,147534,2.00 17,1,2024-09-07 08:48:20:569,385447,385447,0,0,180765732309,1902634679,380621,3725,1101,368,391688,0 17,2,2024-09-07 08:48:21:700,279057,279057,0,0,11765366,0,2857 17,3,2024-09-07 08:48:20:582,1,220,26,0,268,3641,220,0 18,0,2024-09-07 08:48:20:953,55539,0.7,55706,0.9,111342,0.8,148396,2.50 18,1,2024-09-07 08:48:21:648,386879,386879,0,0,181081523284,1879960073,384381,2259,239,367,391649,0 18,2,2024-09-07 08:48:21:756,278822,278822,0,0,11603138,0,3541 18,3,2024-09-07 08:48:20:904,1,220,0,0,163,2375,220,0 19,0,2024-09-07 08:48:21:551,52765,0.8,53424,0.9,105357,0.9,140365,2.50 19,1,2024-09-07 08:48:20:572,386839,386839,0,0,181539412900,1891028001,382241,3813,785,367,391777,0 19,2,2024-09-07 08:48:21:757,282874,282874,0,0,10696583,0,3988 19,3,2024-09-07 08:48:21:134,1,220,1,0,524,1796,220,0 20,0,2024-09-07 08:48:21:356,52553,0.8,52493,0.9,105445,1.0,141232,2.50 20,1,2024-09-07 08:48:20:571,385888,385888,0,0,180867899143,1896068572,382096,3396,396,369,391886,0 20,2,2024-09-07 08:48:20:974,276670,276670,0,0,12320413,0,3721 20,3,2024-09-07 08:48:20:598,1,220,13,0,414,4364,220,0 21,0,2024-09-07 08:48:21:158,55420,0.5,55674,0.7,111138,0.5,146895,2.00 21,1,2024-09-07 08:48:21:541,385129,385129,0,0,179681454258,1898163495,379464,4408,1257,368,391962,0 21,2,2024-09-07 08:48:21:070,275524,275524,0,0,13784968,0,3747 21,3,2024-09-07 08:48:21:411,1,220,13,0,103,2498,220,0 22,0,2024-09-07 08:48:21:720,57036,0.6,56995,0.8,113646,0.6,151160,2.25 22,1,2024-09-07 08:48:21:026,385323,385323,0,0,180266985010,1903579490,378434,5270,1619,382,391667,0 22,2,2024-09-07 08:48:20:769,277275,277275,0,0,12261649,0,3134 22,3,2024-09-07 08:48:21:066,1,220,8,0,228,2227,220,0 23,0,2024-09-07 08:48:21:376,55190,0.7,55106,0.8,110721,0.7,147456,2.25 23,1,2024-09-07 08:48:21:012,385919,385919,0,0,181077051119,1908363801,378662,4707,2550,365,391550,0 23,2,2024-09-07 08:48:21:097,280705,280705,0,0,11996994,0,3010 23,3,2024-09-07 08:48:21:757,1,220,1,0,645,3073,220,0 24,0,2024-09-07 08:48:20:865,52210,0.5,52205,0.6,104610,0.4,139393,1.75 24,1,2024-09-07 08:48:20:584,386212,386212,0,0,181071842956,1902391278,381245,3515,1452,369,391987,0 24,2,2024-09-07 08:48:21:092,278051,278051,0,0,14355205,0,3607 24,3,2024-09-07 08:48:21:695,1,220,5,0,468,3517,220,0 25,0,2024-09-07 08:48:21:350,58244,0.5,56891,0.7,111394,0.5,151967,2.00 25,1,2024-09-07 08:48:20:560,386584,386584,0,0,180937291848,1896607491,382577,3460,547,371,391788,0 25,2,2024-09-07 08:48:21:614,274248,274248,0,0,14280280,0,3978 25,3,2024-09-07 08:48:21:000,1,220,1,0,255,2587,220,0 26,0,2024-09-07 08:48:21:729,56987,0.4,55622,0.6,116663,0.3,152216,1.75 26,1,2024-09-07 08:48:21:543,386373,386373,0,0,179980061919,1892616695,379952,5281,1140,381,391748,0 26,2,2024-09-07 08:48:20:872,277101,277101,0,0,13807909,0,2809 26,3,2024-09-07 08:48:21:714,1,220,8,0,796,3143,220,0 27,0,2024-09-07 08:48:21:725,56570,0.5,56330,0.6,111666,0.4,149412,2.00 27,1,2024-09-07 08:48:21:678,387966,387966,0,0,182116555414,1895505337,385168,2394,404,381,391558,0 27,2,2024-09-07 08:48:20:867,278103,278038,65,0,14630129,0,5699 27,3,2024-09-07 08:48:21:015,1,220,5,0,564,2457,220,0 28,0,2024-09-07 08:48:21:404,51946,0.6,51833,0.7,104098,0.6,139394,2.25 28,1,2024-09-07 08:48:20:798,387393,387393,0,0,181826912230,1895586224,384571,2352,470,383,391646,0 28,2,2024-09-07 08:48:21:774,281138,281138,0,0,11438214,0,2915 28,3,2024-09-07 08:48:21:786,1,220,1,0,502,2408,220,0 29,0,2024-09-07 08:48:21:374,57692,0.3,56334,0.5,110041,0.2,150499,1.75 29,1,2024-09-07 08:48:21:573,388152,388152,0,0,181561436434,1882284534,384999,2588,565,369,391621,0 29,2,2024-09-07 08:48:20:868,274982,274982,0,0,10394397,0,4018 29,3,2024-09-07 08:48:20:964,1,220,1,0,115,1674,220,0 30,0,2024-09-07 08:48:21:468,55515,0.6,54044,0.7,112999,0.5,148293,2.00 30,1,2024-09-07 08:48:20:585,388234,388234,0,0,182243545279,1890099585,386045,1896,293,382,391672,0 30,2,2024-09-07 08:48:21:274,277442,277442,0,0,10546679,0,3161 30,3,2024-09-07 08:48:20:581,1,220,8,0,195,1578,220,0 31,0,2024-09-07 08:48:21:763,56099,0.4,56457,0.6,113182,0.4,150440,2.00 31,1,2024-09-07 08:48:20:564,389237,389237,0,0,182127851630,1867301057,388141,939,157,356,391712,0 31,2,2024-09-07 08:48:21:275,278312,278312,0,0,12324313,0,3525 31,3,2024-09-07 08:48:21:715,1,220,1,0,220,1845,220,0 32,0,2024-09-07 08:48:21:429,52759,0.4,53352,0.6,106576,0.3,141786,1.75 32,1,2024-09-07 08:48:20:804,388147,388147,0,0,181491938004,1885340048,386227,1642,278,381,391614,0 32,2,2024-09-07 08:48:20:937,281602,281602,0,0,10649752,0,3155 32,3,2024-09-07 08:48:21:027,1,220,48,0,227,1751,220,0 33,0,2024-09-07 08:48:21:499,54742,0.3,54095,0.5,108924,0.2,145475,1.75 33,1,2024-09-07 08:48:20:589,388378,388378,0,0,182502348181,1888571717,385821,2383,174,369,391730,0 33,2,2024-09-07 08:48:20:766,276746,276713,33,0,12328874,0,7012 33,3,2024-09-07 08:48:20:908,1,220,6,0,329,2558,220,0 34,0,2024-09-07 08:48:20:931,54949,0.3,56591,0.5,108530,0.3,145282,1.75 34,1,2024-09-07 08:48:21:050,388935,388935,0,0,182131290779,1875353933,387860,1068,7,367,391562,0 34,2,2024-09-07 08:48:20:769,276272,276272,0,0,11448270,0,3577 34,3,2024-09-07 08:48:21:694,1,220,9,0,299,1851,220,0 35,0,2024-09-07 08:48:20:862,55982,0.4,56377,0.5,113201,0.3,150390,1.75 35,1,2024-09-07 08:48:21:070,387068,387068,0,0,181699835761,1887550356,383793,2496,779,384,391589,0 35,2,2024-09-07 08:48:21:583,278644,278644,0,0,10796645,0,2653 35,3,2024-09-07 08:48:20:907,1,220,0,0,418,2702,220,0 36,0,2024-09-07 08:48:21:577,54554,0.7,54544,0.9,109275,0.8,144664,2.50 36,1,2024-09-07 08:48:20:583,386361,386361,0,0,181251803197,1901782960,380436,4347,1578,366,391759,0 36,2,2024-09-07 08:48:21:760,280415,280415,0,0,13296054,0,3875 36,3,2024-09-07 08:48:20:878,1,220,36,0,416,3846,220,0 37,0,2024-09-07 08:48:21:378,51227,0.6,51006,0.8,102455,0.6,137676,2.00 37,1,2024-09-07 08:48:20:573,385852,385845,0,7,180781416592,1901378454,379843,3936,2066,365,391560,0 37,2,2024-09-07 08:48:21:145,277406,277391,15,0,13477572,0,5815 37,3,2024-09-07 08:48:21:766,1,220,1,0,888,4127,220,0 38,0,2024-09-07 08:48:21:437,55488,0.5,53890,0.7,112831,0.5,147236,2.00 38,1,2024-09-07 08:48:21:608,387426,387426,0,0,181011541568,1895954158,382162,4590,674,368,391821,0 38,2,2024-09-07 08:48:20:768,275252,275205,47,0,13815591,0,6710 38,3,2024-09-07 08:48:21:006,1,220,11,0,689,3469,220,0 39,0,2024-09-07 08:48:21:769,58830,0.6,57785,0.8,112179,0.7,153566,2.00 39,1,2024-09-07 08:48:20:745,386993,386993,0,0,181546207594,1899286261,381631,4465,897,365,391594,0 39,2,2024-09-07 08:48:21:418,276814,276814,0,0,11839891,0,2689 39,3,2024-09-07 08:48:20:713,1,220,1,0,324,3353,220,0 40,0,2024-09-07 08:48:21:498,55693,0.8,56270,1.0,112462,0.8,149886,3.00 40,1,2024-09-07 08:48:20:576,386897,386897,0,0,180958968791,1900346421,380636,5028,1233,368,391591,0 40,2,2024-09-07 08:48:21:303,279718,279717,1,0,14559179,0,5137 40,3,2024-09-07 08:48:21:141,1,220,398,0,398,2927,220,0 41,0,2024-09-07 08:48:21:041,50782,2.1,51956,1.5,99247,3.4,135933,4.25 41,1,2024-09-07 08:48:20:768,386435,386435,0,0,181345367732,1900098638,381151,4651,633,370,391742,0 41,2,2024-09-07 08:48:20:768,278443,278443,0,0,14217217,0,3356 41,3,2024-09-07 08:48:21:679,1,220,5,0,366,2696,220,0 42,0,2024-09-07 08:48:21:481,56534,0.9,56883,1.0,113583,1.0,150136,2.75 42,1,2024-09-07 08:48:21:444,385430,385430,0,0,181022017058,1905339899,379176,5008,1246,380,391675,0 42,2,2024-09-07 08:48:21:133,275100,275100,0,0,13026184,0,3568 42,3,2024-09-07 08:48:21:014,1,220,8,0,446,1979,220,0 43,0,2024-09-07 08:48:20:916,56486,0.7,54945,0.9,114872,0.7,151278,2.25 43,1,2024-09-07 08:48:20:577,386413,386413,0,0,181152754948,1895628384,381031,4281,1101,366,391696,0 43,2,2024-09-07 08:48:21:750,277267,277267,0,0,12447612,0,3812 43,3,2024-09-07 08:48:21:749,1,220,10,0,467,2788,220,0 44,0,2024-09-07 08:48:20:863,56581,0.4,56881,0.6,113468,0.4,151246,1.75 44,1,2024-09-07 08:48:20:564,388204,388204,0,0,181506467454,1876097342,385711,2062,431,356,391809,0 44,2,2024-09-07 08:48:21:267,278844,278844,0,0,10483807,0,2231 44,3,2024-09-07 08:48:21:097,1,220,6,0,817,2702,220,0 45,0,2024-09-07 08:48:21:808,51285,0.8,50167,0.9,105255,0.8,138974,2.00 45,1,2024-09-07 08:48:21:013,387229,387229,0,0,181731680680,1883870592,385865,1352,12,382,391917,0 45,2,2024-09-07 08:48:21:269,281663,281663,0,0,11515395,0,3596 45,3,2024-09-07 08:48:20:945,1,220,0,0,271,2546,220,0 46,0,2024-09-07 08:48:20:957,55358,0.4,55237,0.6,110730,0.3,147542,2.00 46,1,2024-09-07 08:48:20:574,388556,388556,0,0,181705413233,1877568780,386343,1939,274,366,391524,0 46,2,2024-09-07 08:48:20:597,276223,276223,0,0,10748011,0,2920 46,3,2024-09-07 08:48:21:132,1,220,9,0,908,3423,220,0 47,0,2024-09-07 08:48:21:109,55516,0.4,55420,0.6,111445,0.4,147485,1.75 47,1,2024-09-07 08:48:20:567,388623,388623,0,0,182141569321,1880734347,386640,1898,85,366,391605,0 47,2,2024-09-07 08:48:20:918,278518,278518,0,0,10892234,0,2558 47,3,2024-09-07 08:48:21:124,1,220,0,0,529,2210,220,0 48,0,2024-09-07 08:48:21:505,56510,0.3,56201,0.4,111917,0.2,149336,1.50 48,1,2024-09-07 08:48:21:023,388244,388244,0,0,181852428341,1891586212,385285,2660,299,384,391710,0 48,2,2024-09-07 08:48:20:699,278815,278815,0,0,10733875,0,3031 48,3,2024-09-07 08:48:20:755,1,220,1,0,339,1992,220,0 49,0,2024-09-07 08:48:21:730,54781,0.4,53739,0.6,104250,0.4,142396,1.75 49,1,2024-09-07 08:48:21:023,385897,385897,0,0,180746596779,1887382519,382107,2609,1181,382,391610,0 49,2,2024-09-07 08:48:21:799,282510,282510,0,0,11356112,0,3900 49,3,2024-09-07 08:48:21:423,1,220,0,0,408,2633,220,0 50,0,2024-09-07 08:48:21:515,52943,0.3,52323,0.5,105272,0.2,141160,1.75 50,1,2024-09-07 08:48:21:012,388729,388729,0,0,181436391537,1879912542,386024,2395,310,368,391530,0 50,2,2024-09-07 08:48:21:074,277483,277483,0,0,10517758,0,2263 50,3,2024-09-07 08:48:21:291,1,220,8,0,335,1957,220,0 51,0,2024-09-07 08:48:21:685,56652,0.3,55480,0.5,108142,0.2,147246,1.75 51,1,2024-09-07 08:48:21:682,388122,388122,0,0,182248501313,1884361188,385386,1797,939,365,391706,0 51,2,2024-09-07 08:48:21:316,276255,276255,0,0,9555065,0,3337 51,3,2024-09-07 08:48:21:031,1,220,2,0,678,1931,220,0 52,0,2024-09-07 08:48:21:415,57689,0.5,57391,0.7,114497,0.4,151797,2.00 52,1,2024-09-07 08:48:20:581,386288,386288,0,0,180442919018,1897962191,379405,5680,1203,368,391722,0 52,2,2024-09-07 08:48:21:758,275900,275862,38,0,13860570,0,6742 52,3,2024-09-07 08:48:20:679,1,220,3,0,1782,4146,220,0 53,0,2024-09-07 08:48:21:768,55020,0.8,53536,0.9,111959,0.9,146700,2.50 53,1,2024-09-07 08:48:20:772,385586,385586,0,0,180992291189,1908364011,378267,4963,2356,367,391702,0 53,2,2024-09-07 08:48:21:298,280831,280831,0,0,11790949,0,2727 53,3,2024-09-07 08:48:20:704,1,220,1,0,271,2149,220,0 54,0,2024-09-07 08:48:21:625,50765,1.3,51186,1.0,101436,0.9,136655,3.25 54,1,2024-09-07 08:48:20:584,386592,386592,0,0,181573122870,1894737072,381859,4137,596,367,391659,0 54,2,2024-09-07 08:48:20:869,278935,278929,6,0,13121921,0,5382 54,3,2024-09-07 08:48:20:766,1,220,9,0,676,3596,220,0 55,0,2024-09-07 08:48:21:763,54731,0.7,56704,0.9,114059,0.7,148411,2.50 55,1,2024-09-07 08:48:20:789,385954,385954,0,0,180903405399,1889610671,380423,4744,787,365,391731,0 55,2,2024-09-07 08:48:20:731,274494,274494,0,0,13048341,0,3275 55,3,2024-09-07 08:48:20:674,1,220,1,0,304,2712,220,0 56,0,2024-09-07 08:48:21:562,58438,1.1,55132,1.1,113420,1.5,152150,2.50 56,1,2024-09-07 08:48:20:569,385190,385190,0,0,180738085623,1914017260,378756,5362,1072,381,391678,0 56,2,2024-09-07 08:48:21:312,277050,277050,0,0,13810715,0,3567 56,3,2024-09-07 08:48:21:063,1,220,1,0,705,3437,220,0 57,0,2024-09-07 08:48:21:014,55306,1.8,55429,1.3,110856,2.5,148376,3.25 57,1,2024-09-07 08:48:20:998,386529,386529,0,0,180585299454,1895446810,381828,4156,545,367,391960,0 57,2,2024-09-07 08:48:21:320,280168,280168,0,0,14815218,0,3317 57,3,2024-09-07 08:48:21:750,1,220,3,0,359,3180,220,0 58,0,2024-09-07 08:48:20:572,50625,1.1,49422,1.1,103278,1.4,135853,2.75 58,1,2024-09-07 08:48:20:575,386674,386671,0,3,181863406183,1903056602,381331,4645,695,367,391545,3 58,2,2024-09-07 08:48:21:071,280827,280827,0,0,13403427,0,2549 58,3,2024-09-07 08:48:21:068,1,220,7,0,1043,2717,220,0 59,0,2024-09-07 08:48:21:741,56072,0.7,55966,0.9,111895,0.7,149094,2.75 59,1,2024-09-07 08:48:20:807,386389,386389,0,0,181195145453,1899715297,381054,4165,1170,369,391525,0 59,2,2024-09-07 08:48:20:584,276505,276505,0,0,11987465,0,2604 59,3,2024-09-07 08:48:21:739,1,220,0,0,1015,3383,220,0 60,0,2024-09-07 08:48:21:714,55903,0.5,55627,0.6,112036,0.4,149019,1.75 60,1,2024-09-07 08:48:20:789,388316,388316,0,0,181988179462,1889178203,385944,1855,517,370,392031,0 60,2,2024-09-07 08:48:21:150,276868,276868,0,0,12555587,0,3811 60,3,2024-09-07 08:48:21:259,1,220,7,0,124,2068,220,0 61,0,2024-09-07 08:48:21:503,56459,0.8,56727,0.8,112905,0.8,150656,2.00 61,1,2024-09-07 08:48:20:782,386538,386538,0,0,180979872539,1896992639,382081,3755,702,382,391880,0 61,2,2024-09-07 08:48:21:133,279650,279650,0,0,11363187,0,2090 61,3,2024-09-07 08:48:21:698,1,220,3,0,397,3223,220,0 62,0,2024-09-07 08:48:21:719,53467,0.9,54538,0.9,103762,1.1,141693,2.00 62,1,2024-09-07 08:48:21:115,389362,389356,0,6,182188070733,1881030212,386982,2258,116,365,391715,6 62,2,2024-09-07 08:48:21:646,280331,280330,1,0,12891597,0,5555 62,3,2024-09-07 08:48:21:151,1,220,17,0,287,1632,220,0 63,0,2024-09-07 08:48:21:452,54314,0.5,54441,0.6,108694,0.4,145090,1.75 63,1,2024-09-07 08:48:20:805,388791,388785,0,6,181637275570,1882874040,387219,1516,50,381,391677,6 63,2,2024-09-07 08:48:20:766,276309,276309,0,0,10410297,0,2674 63,3,2024-09-07 08:48:21:732,1,220,9,0,667,2796,220,0 64,0,2024-09-07 08:48:21:522,54374,0.5,54580,0.6,109274,0.4,145156,1.75 64,1,2024-09-07 08:48:20:773,387195,387195,0,0,181244883802,1894856971,382740,3041,1414,370,391783,0 64,2,2024-09-07 08:48:21:141,278862,278843,19,0,11635205,0,6121 64,3,2024-09-07 08:48:21:146,1,220,3,0,265,2416,220,0 65,0,2024-09-07 08:48:21:707,55902,0.6,56359,0.8,112409,0.7,149359,2.25 65,1,2024-09-07 08:48:20:864,386087,386087,0,0,180599682191,1893463255,382543,3101,443,382,391901,0 65,2,2024-09-07 08:48:21:699,278014,278014,0,0,12273186,0,3367 65,3,2024-09-07 08:48:21:695,1,220,3,0,163,2208,220,0 66,0,2024-09-07 08:48:21:763,54109,0.6,54162,0.8,108804,0.5,143937,2.25 66,1,2024-09-07 08:48:21:294,387947,387947,0,0,181858863490,1890436498,385562,2204,181,380,391588,0 66,2,2024-09-07 08:48:21:133,283259,283259,0,0,12051742,0,4956 66,3,2024-09-07 08:48:21:080,1,220,13,0,291,2499,220,0 67,0,2024-09-07 08:48:21:416,51784,0.8,51373,0.8,103316,1.0,137623,2.25 67,1,2024-09-07 08:48:20:768,387102,387101,0,1,181498299343,1896363166,383326,3028,747,380,391787,1 67,2,2024-09-07 08:48:20:583,279551,279551,0,0,10954376,0,2889 67,3,2024-09-07 08:48:21:756,1,220,3,0,338,1981,220,0 68,0,2024-09-07 08:48:20:572,56042,0.7,56000,0.8,111336,0.7,148866,2.00 68,1,2024-09-07 08:48:20:576,386120,386120,0,0,180241665983,1893739458,382176,2806,1138,381,391953,0 68,2,2024-09-07 08:48:21:058,274955,274890,65,0,14830192,0,6698 68,3,2024-09-07 08:48:20:762,1,220,85,0,417,2704,220,0 69,0,2024-09-07 08:48:21:728,57288,0.8,57568,0.9,114693,0.9,152780,2.25 69,1,2024-09-07 08:48:21:031,385027,385027,0,0,180599485600,1910752647,379539,4087,1401,384,391994,0 69,2,2024-09-07 08:48:21:739,277092,277092,0,0,14345072,0,3722 69,3,2024-09-07 08:48:20:769,1,220,9,0,698,3505,220,0 70,0,2024-09-07 08:48:21:551,55895,1.1,55810,1.1,112133,0.8,149574,2.50 70,1,2024-09-07 08:48:20:840,386899,386899,0,0,181642055344,1890739496,383244,3127,528,366,391725,0 70,2,2024-09-07 08:48:21:329,279843,279843,0,0,13523032,0,4044 70,3,2024-09-07 08:48:20:751,1,220,17,0,854,2737,220,0 71,0,2024-09-07 08:48:21:363,50807,1.8,50756,1.4,101591,2.4,136630,3.25 71,1,2024-09-07 08:48:21:596,386375,386375,0,0,181463779462,1898478379,381256,4498,621,368,391738,0 71,2,2024-09-07 08:48:21:072,280342,280342,0,0,13623471,0,4042 71,3,2024-09-07 08:48:21:754,1,220,2,0,644,3370,220,0 72,0,2024-09-07 08:48:21:047,59133,0.8,57876,0.9,112826,0.9,154236,2.25 72,1,2024-09-07 08:48:21:032,386764,386764,0,0,180364105219,1885481190,382420,3587,757,369,391819,0 72,2,2024-09-07 08:48:21:786,274038,274038,0,0,14324567,0,3983 72,3,2024-09-07 08:48:21:765,1,220,18,0,364,3700,220,0 73,0,2024-09-07 08:48:21:119,55382,0.4,56699,0.6,116224,0.4,151056,2.00 73,1,2024-09-07 08:48:20:772,387175,387175,0,0,180778909755,1881717251,384200,2743,232,367,391750,0 73,2,2024-09-07 08:48:21:784,277646,277646,0,0,13759782,0,3482 73,3,2024-09-07 08:48:20:984,1,220,8,0,274,3383,220,0 74,0,2024-09-07 08:48:21:327,57199,0.5,58332,0.7,111309,0.5,150800,2.25 74,1,2024-09-07 08:48:20:635,386857,386857,0,0,180672580236,1889138983,382896,3170,791,381,391681,0 74,2,2024-09-07 08:48:21:020,279359,279359,0,0,13778990,0,4253 74,3,2024-09-07 08:48:21:443,1,220,13,0,522,3242,220,0 75,0,2024-09-07 08:48:21:778,52066,0.7,51819,1.0,103929,0.7,139152,2.50 75,1,2024-09-07 08:48:21:588,386061,386061,0,0,180699160640,1893204748,381367,4031,663,380,391597,0 75,2,2024-09-07 08:48:21:353,280731,280731,0,0,14343201,0,4766 75,3,2024-09-07 08:48:21:066,1,220,1,0,702,3070,220,0 76,0,2024-09-07 08:48:20:617,55104,0.6,54712,0.7,109317,0.5,146762,2.25 76,1,2024-09-07 08:48:20:819,387349,387349,0,0,181105343592,1889512987,384732,2069,548,382,391692,0 76,2,2024-09-07 08:48:21:079,276723,276723,0,0,11350822,0,3784 76,3,2024-09-07 08:48:21:151,1,220,1,0,175,2406,220,0 77,0,2024-09-07 08:48:21:707,55198,0.5,55425,0.8,110710,0.5,146730,2.00 77,1,2024-09-07 08:48:20:836,387363,387363,0,0,180667896277,1886914487,384557,2573,233,383,391808,0 77,2,2024-09-07 08:48:21:286,276219,276219,0,0,11857765,0,3890 77,3,2024-09-07 08:48:21:099,1,220,0,0,305,2325,220,0 78,0,2024-09-07 08:48:21:735,56286,0.4,55717,0.7,112488,0.4,148589,2.00 78,1,2024-09-07 08:48:20:612,387217,387217,0,0,180482582706,1876095996,384265,2636,316,367,391670,0 78,2,2024-09-07 08:48:21:408,278858,278858,0,0,10900136,0,3855 78,3,2024-09-07 08:48:21:143,1,220,105,0,181,2254,220,0 79,0,2024-09-07 08:48:21:345,51620,0.5,52866,0.7,108201,0.4,140244,2.50 79,1,2024-09-07 08:48:20:639,388501,388501,0,0,181681307935,1882111892,385670,2495,336,369,391682,0 79,2,2024-09-07 08:48:21:070,282748,282748,0,0,10842017,0,3212 79,3,2024-09-07 08:48:20:751,1,220,18,0,418,3338,220,0 80,0,2024-09-07 08:48:21:084,52583,0.6,54058,0.8,103602,0.6,140977,2.25 80,1,2024-09-07 08:48:21:621,386390,386390,0,0,181373266007,1890816316,383429,2793,168,368,391791,0 80,2,2024-09-07 08:48:21:100,277926,277926,0,0,11381131,0,4433 80,3,2024-09-07 08:48:20:587,1,220,16,0,190,3203,220,0 81,0,2024-09-07 08:48:21:538,55223,0.5,56543,0.7,108559,0.5,146390,2.00 81,1,2024-09-07 08:48:21:662,386524,386524,0,0,180412867931,1889040281,382946,3132,446,382,391879,0 81,2,2024-09-07 08:48:21:142,275959,275959,0,0,11626679,0,3993 81,3,2024-09-07 08:48:21:124,1,220,1,0,374,2643,220,0 82,0,2024-09-07 08:48:21:533,56716,0.4,57246,0.6,114529,0.4,151919,2.00 82,1,2024-09-07 08:48:20:585,387159,387155,0,4,181595495739,1895163100,383938,2606,611,381,391558,4 82,2,2024-09-07 08:48:21:695,278224,278224,0,0,11146835,0,3986 82,3,2024-09-07 08:48:21:753,1,220,11,0,363,2691,220,0 83,0,2024-09-07 08:48:21:537,55506,0.6,55583,0.7,110433,0.6,147036,2.00 83,1,2024-09-07 08:48:20:556,386614,386614,0,0,181030779545,1890969573,383475,2896,243,382,391690,0 83,2,2024-09-07 08:48:20:768,280233,280233,0,0,11126404,0,3119 83,3,2024-09-07 08:48:20:749,1,220,1,0,250,2374,220,0 84,0,2024-09-07 08:48:21:792,51301,1.0,51363,1.1,102675,0.9,138111,2.50 84,1,2024-09-07 08:48:21:044,385764,385764,0,0,181116024029,1898733983,381104,4086,574,368,391967,0 84,2,2024-09-07 08:48:20:571,279276,279276,0,0,13256943,0,3801 84,3,2024-09-07 08:48:21:149,1,220,6,0,908,3793,220,0 85,0,2024-09-07 08:48:21:017,54834,0.9,54758,1.0,116183,1.0,149970,2.50 85,1,2024-09-07 08:48:20:567,385090,385090,0,0,180160990765,1910505924,378275,5710,1105,382,392006,0 85,2,2024-09-07 08:48:20:884,276373,276373,0,0,13727422,0,3656 85,3,2024-09-07 08:48:20:688,1,220,4,0,789,3033,220,0 86,0,2024-09-07 08:48:20:886,57224,0.6,58715,0.8,112658,0.6,152110,2.00 86,1,2024-09-07 08:48:20:827,386691,386691,0,0,181216343408,1900307182,381726,4243,722,366,391961,0 86,2,2024-09-07 08:48:20:857,275925,275924,1,0,14595837,0,5004 86,3,2024-09-07 08:48:20:586,1,220,8,0,286,3074,220,0 87,0,2024-09-07 08:48:21:286,56082,1.1,55668,1.0,111969,1.5,149900,2.25 87,1,2024-09-07 08:48:20:564,386510,386510,0,0,180493241906,1892988151,382060,3970,480,366,391788,0 87,2,2024-09-07 08:48:21:070,278772,278772,0,0,13130029,0,4045 87,3,2024-09-07 08:48:21:807,1,220,2,0,473,3710,220,0 88,0,2024-09-07 08:48:21:566,51921,0.6,52227,0.7,104146,0.6,138994,2.00 88,1,2024-09-07 08:48:20:578,384845,384845,0,0,180548057009,1899322191,378942,4431,1472,365,392084,0 88,2,2024-09-07 08:48:20:687,280441,280441,0,0,14966292,0,3583 88,3,2024-09-07 08:48:21:268,1,220,2,0,435,2891,220,0 89,0,2024-09-07 08:48:21:768,57794,0.4,55916,0.6,111053,0.4,150510,1.75 89,1,2024-09-07 08:48:20:575,384583,384583,0,0,181076137496,1915311227,377971,5439,1173,382,391866,0 89,2,2024-09-07 08:48:21:135,274768,274768,0,0,13607971,0,2910 89,3,2024-09-07 08:48:21:802,1,220,2,0,325,4529,220,0 90,0,2024-09-07 08:48:21:804,54228,0.5,55363,0.6,113616,0.4,148065,1.75 90,1,2024-09-07 08:48:20:597,386643,386643,0,0,181169987535,1900029277,383034,3347,262,381,391825,0 90,2,2024-09-07 08:48:21:439,275424,275424,0,0,14833928,0,3060 90,3,2024-09-07 08:48:20:937,1,220,9,0,200,2438,220,0 91,0,2024-09-07 08:48:20:970,56891,0.4,54966,0.6,114707,0.4,150796,1.75 91,1,2024-09-07 08:48:20:556,386088,386088,0,0,181319252350,1908729234,381007,4434,647,381,392047,0 91,2,2024-09-07 08:48:21:339,278622,278622,0,0,13546358,0,2445 91,3,2024-09-07 08:48:20:598,1,220,1,0,216,2231,220,0 92,0,2024-09-07 08:48:21:457,53456,0.6,54830,0.7,104892,0.6,141936,1.75 92,1,2024-09-07 08:48:20:584,386590,386590,0,0,181538015779,1893865274,384267,1917,406,382,392136,0 92,2,2024-09-07 08:48:21:362,282909,282909,0,0,11446366,0,2801 92,3,2024-09-07 08:48:21:017,1,220,1,0,167,1845,220,0 93,0,2024-09-07 08:48:20:957,54608,0.4,55903,0.6,106863,0.4,145592,1.75 93,1,2024-09-07 08:48:20:807,387383,387383,0,0,181259842533,1892220607,383576,3179,628,366,391692,0 93,2,2024-09-07 08:48:20:945,276852,276852,0,0,11594216,0,2509 93,3,2024-09-07 08:48:21:413,1,220,17,0,190,2405,220,0 94,0,2024-09-07 08:48:21:627,54769,0.4,55428,0.6,110216,0.3,146021,1.75 94,1,2024-09-07 08:48:20:564,386676,386676,0,0,181315183909,1896364820,383511,2989,176,381,391850,0 94,2,2024-09-07 08:48:20:769,276171,276171,0,0,10739945,0,2443 94,3,2024-09-07 08:48:21:689,1,220,8,0,264,3081,220,0 95,0,2024-09-07 08:48:21:353,56744,0.3,56288,0.5,113788,0.3,150335,1.75 95,1,2024-09-07 08:48:20:862,387276,387276,0,0,181526028034,1889429057,383954,2971,351,367,391662,0 95,2,2024-09-07 08:48:21:029,277506,277506,0,0,11600483,0,3308 95,3,2024-09-07 08:48:21:719,1,220,1,0,718,4300,220,0 96,0,2024-09-07 08:48:21:053,54731,0.5,54944,0.6,109618,0.4,144560,1.75 96,1,2024-09-07 08:48:21:586,386010,386010,0,0,180813653610,1892171466,382453,2828,729,385,391894,0 96,2,2024-09-07 08:48:21:277,281068,281068,0,0,12319776,0,4042 96,3,2024-09-07 08:48:21:141,1,220,2,0,411,2640,220,0 97,0,2024-09-07 08:48:21:391,51653,0.4,51487,0.5,103407,0.3,137726,1.75 97,1,2024-09-07 08:48:20:768,387424,387424,0,0,181467881237,1885227217,384443,2428,553,367,392140,0 97,2,2024-09-07 08:48:20:624,278384,278384,0,0,11389560,0,3036 97,3,2024-09-07 08:48:20:572,1,220,3,0,165,2573,220,0 98,0,2024-09-07 08:48:21:713,55981,0.3,56062,0.5,112581,0.3,149068,1.50 98,1,2024-09-07 08:48:20:577,387307,387307,0,0,181922999542,1895557583,385189,2023,95,382,391997,0 98,2,2024-09-07 08:48:20:769,276548,276548,0,0,11293800,0,3080 98,3,2024-09-07 08:48:20:699,1,220,93,0,840,3934,220,0 99,0,2024-09-07 08:48:21:478,57658,0.3,57747,0.4,115366,0.3,153869,1.50 99,1,2024-09-07 08:48:21:726,387388,387388,0,0,180749427903,1888610677,383614,3035,739,381,391744,0 99,2,2024-09-07 08:48:21:431,279684,279684,0,0,12074104,0,3106 99,3,2024-09-07 08:48:20:583,1,220,3,0,168,1845,220,0 100,0,2024-09-07 08:48:21:470,56170,0.8,56237,1.1,112216,1.1,150075,2.25 100,1,2024-09-07 08:48:20:554,384440,384440,0,0,179335369372,1904188175,377610,5513,1317,381,391989,0 100,2,2024-09-07 08:48:21:822,278514,278503,11,0,13892968,0,5417 100,3,2024-09-07 08:48:21:732,1,220,2,0,559,4712,220,0 101,0,2024-09-07 08:48:21:722,52294,2.5,51039,1.5,100007,3.1,137874,3.00 101,1,2024-09-07 08:48:20:554,385056,385056,0,0,180194239370,1906945904,377736,5549,1771,368,391769,0 101,2,2024-09-07 08:48:21:758,279176,279176,0,0,15127582,0,4644 101,3,2024-09-07 08:48:20:962,1,220,6,0,448,2984,220,0 102,0,2024-09-07 08:48:20:960,55928,0.8,58050,0.8,116546,0.8,153678,2.25 102,1,2024-09-07 08:48:21:317,385504,385504,0,0,180408229171,1902185698,379865,4800,839,369,391883,0 102,2,2024-09-07 08:48:21:750,275888,275834,54,0,13495381,0,6768 102,3,2024-09-07 08:48:21:635,1,220,18,0,410,2438,220,0 103,0,2024-09-07 08:48:21:652,58451,0.5,58508,0.7,110328,0.4,152169,2.00 103,1,2024-09-07 08:48:21:654,384919,384919,0,0,179759945431,1901530633,378638,4901,1380,381,391829,0 103,2,2024-09-07 08:48:20:586,275602,275602,0,0,12880058,0,2582 103,3,2024-09-07 08:48:20:758,1,220,1,0,916,3466,220,0 104,0,2024-09-07 08:48:21:036,56174,0.9,56584,1.0,111843,0.9,150900,2.25 104,1,2024-09-07 08:48:21:613,386478,386478,0,0,180028322030,1898953843,380065,5326,1087,365,391948,0 104,2,2024-09-07 08:48:21:682,278266,278266,0,0,14129610,0,3941 104,3,2024-09-07 08:48:21:416,1,220,2,0,1245,6083,220,0 105,0,2024-09-07 08:48:21:068,51492,1.1,50006,1.2,104591,1.5,138124,3.25 105,1,2024-09-07 08:48:20:559,386453,386453,0,0,181018812283,1903150705,380790,4800,863,367,391797,0 105,2,2024-09-07 08:48:21:326,280889,280889,0,0,13694540,0,3509 105,3,2024-09-07 08:48:21:304,1,220,2,0,399,4111,220,0 106,0,2024-09-07 08:48:20:968,53437,0.8,54716,0.9,111922,0.8,146764,2.50 106,1,2024-09-07 08:48:21:755,386029,386029,0,0,179971560567,1894077111,379873,5613,543,369,391767,0 106,2,2024-09-07 08:48:20:767,273815,273815,0,0,12934548,0,2795 106,3,2024-09-07 08:48:20:677,1,220,13,0,470,3361,220,0 107,0,2024-09-07 08:48:21:110,55231,0.9,55106,0.9,109833,1.0,146460,2.00 107,1,2024-09-07 08:48:20:585,385352,385352,0,0,180755947854,1904585804,380617,4252,483,381,392234,0 107,2,2024-09-07 08:48:21:295,276096,276095,1,0,13470585,0,5024 107,3,2024-09-07 08:48:21:757,1,220,3,0,353,3287,220,0 108,0,2024-09-07 08:48:21:834,56233,0.4,56498,0.6,111947,0.4,149219,1.75 108,1,2024-09-07 08:48:21:320,386778,386778,0,0,181158583480,1889937338,383612,2782,384,368,391857,0 108,2,2024-09-07 08:48:21:773,277563,277563,0,0,12443417,0,2647 108,3,2024-09-07 08:48:21:338,1,220,2,0,749,4731,220,0 109,0,2024-09-07 08:48:21:822,53626,0.4,53071,0.6,106505,0.4,142290,1.75 109,1,2024-09-07 08:48:20:589,385995,385995,0,0,180595762474,1895117625,382692,2800,503,383,392132,0 109,2,2024-09-07 08:48:20:921,280842,280842,0,0,12831395,0,3617 109,3,2024-09-07 08:48:21:149,1,220,79,0,249,2869,220,0 110,0,2024-09-07 08:48:21:823,52768,0.4,51434,0.6,107517,0.4,141570,1.75 110,1,2024-09-07 08:48:21:679,387330,387330,0,0,182246663657,1893307235,384554,2007,769,370,392045,0 110,2,2024-09-07 08:48:21:317,277553,277553,0,0,11765650,0,3264 110,3,2024-09-07 08:48:20:705,1,220,13,0,406,3003,220,0 111,0,2024-09-07 08:48:21:413,55784,0.4,55240,0.5,110384,0.3,147691,1.75 111,1,2024-09-07 08:48:21:007,388078,388078,0,0,181640917368,1883855433,386243,1501,334,382,391690,0 111,2,2024-09-07 08:48:21:118,276087,276087,0,0,11601572,0,2763 111,3,2024-09-07 08:48:20:919,1,220,1,0,379,3173,220,0 112,0,2024-09-07 08:48:20:915,57296,0.3,57314,0.4,114619,0.2,151972,1.50 112,1,2024-09-07 08:48:20:827,387943,387943,0,0,180823436004,1878575944,385528,1987,428,380,391580,0 112,2,2024-09-07 08:48:21:136,277603,277602,1,0,11966762,0,5036 112,3,2024-09-07 08:48:20:596,1,220,1,0,282,2442,220,0 113,0,2024-09-07 08:48:20:880,55399,0.3,55277,0.5,111149,0.3,148290,1.75 113,1,2024-09-07 08:48:21:692,388231,388231,0,0,182413833706,1885255349,385744,1998,489,366,391661,0 113,2,2024-09-07 08:48:21:303,281715,281715,0,0,11057597,0,3813 113,3,2024-09-07 08:48:20:690,1,220,6,0,340,3521,220,0 114,0,2024-09-07 08:48:20:873,52157,0.5,52698,0.6,104213,0.3,139875,1.75 114,1,2024-09-07 08:48:20:718,386991,386991,0,0,181554634691,1896251684,382188,3215,1588,381,391556,0 114,2,2024-09-07 08:48:20:875,279548,279547,1,0,12100880,0,5069 114,3,2024-09-07 08:48:21:278,1,220,8,0,395,2559,220,0 115,0,2024-09-07 08:48:20:554,56854,0.3,57185,0.5,114416,0.3,151698,1.75 115,1,2024-09-07 08:48:20:576,386938,386938,0,0,182395742716,1900953364,382597,3507,834,382,391602,0 115,2,2024-09-07 08:48:21:125,276483,276483,0,0,10175229,0,2152 115,3,2024-09-07 08:48:21:010,1,220,1,0,159,1306,220,0 116,0,2024-09-07 08:48:21:707,57186,0.7,56830,0.9,113975,0.7,152743,2.00 116,1,2024-09-07 08:48:20:805,384616,384616,0,0,180013036365,1912621171,378237,4327,2052,380,391782,0 116,2,2024-09-07 08:48:21:764,276099,276099,0,0,14921597,0,3529 116,3,2024-09-07 08:48:20:918,1,220,1,0,415,3453,220,0 117,0,2024-09-07 08:48:21:045,56067,0.9,56032,0.9,111872,1.1,150013,2.00 117,1,2024-09-07 08:48:21:615,386042,386042,0,0,180334753400,1892205777,381513,4050,479,370,392033,0 117,2,2024-09-07 08:48:21:132,281098,281098,0,0,12545663,0,3700 117,3,2024-09-07 08:48:21:060,1,220,19,0,490,3877,220,0 118,0,2024-09-07 08:48:21:876,50393,0.8,51900,0.8,105462,0.8,138578,2.25 118,1,2024-09-07 08:48:20:585,385914,385914,0,0,180285837495,1901135047,379856,4631,1427,366,391736,0 118,2,2024-09-07 08:48:21:592,280150,280150,0,0,13473734,0,2781 118,3,2024-09-07 08:48:21:779,1,220,8,0,235,2686,220,0 119,0,2024-09-07 08:48:21:344,55676,0.8,56105,0.9,112534,0.9,149285,2.25 119,1,2024-09-07 08:48:20:549,386634,386634,0,0,181627293935,1902347606,381995,4117,522,368,391641,0 119,2,2024-09-07 08:48:21:262,275473,275473,0,0,12478178,0,4174 119,3,2024-09-07 08:48:21:330,1,220,6,0,443,3387,220,0 120,0,2024-09-07 08:48:21:550,55430,0.5,55409,0.8,111138,0.5,148607,2.00 120,1,2024-09-07 08:48:20:866,386069,386069,0,0,181052864024,1903565346,381556,4165,348,368,391961,0 120,2,2024-09-07 08:48:20:775,275707,275706,1,0,14612604,0,5281 120,3,2024-09-07 08:48:21:308,1,220,3,0,241,3084,220,0 121,0,2024-09-07 08:48:21:696,56281,1.1,56613,1.0,112985,1.5,150424,2.25 121,1,2024-09-07 08:48:21:664,386663,386663,0,0,180551110489,1892100141,382584,3671,408,367,391840,0 121,2,2024-09-07 08:48:21:131,277705,277705,0,0,14366074,0,4127 121,3,2024-09-07 08:48:20:728,1,220,1,0,269,2734,220,0 122,0,2024-09-07 08:48:21:769,52779,1.0,51415,1.0,107788,1.1,141867,2.25 122,1,2024-09-07 08:48:20:862,385204,385204,0,0,180543444462,1901276345,379224,5168,812,366,392130,0 122,2,2024-09-07 08:48:21:334,280750,280677,73,0,16941379,0,5989 122,3,2024-09-07 08:48:20:597,1,220,8,0,411,4752,220,0 123,0,2024-09-07 08:48:20:965,54100,0.9,52841,0.9,110050,1.0,144588,2.25 123,1,2024-09-07 08:48:20:563,385902,385902,0,0,180426899823,1908617062,377723,6610,1569,369,391823,0 123,2,2024-09-07 08:48:21:030,274209,274208,1,0,13497421,0,5215 123,3,2024-09-07 08:48:21:144,1,220,27,0,168,2761,220,0 124,0,2024-09-07 08:48:20:937,56816,0.3,56803,0.5,107112,0.3,146625,1.75 124,1,2024-09-07 08:48:21:028,387911,387911,0,0,180755541230,1880693577,385092,2457,362,367,392178,0 124,2,2024-09-07 08:48:21:020,276740,276740,0,0,10979852,0,3101 124,3,2024-09-07 08:48:20:757,1,220,0,0,490,2634,220,0 125,0,2024-09-07 08:48:21:420,56577,0.4,56471,0.5,113394,0.3,150376,1.75 125,1,2024-09-07 08:48:20:866,386804,386804,0,0,181752158602,1896133752,384242,2283,279,383,391702,0 125,2,2024-09-07 08:48:21:129,278472,278472,0,0,11800421,0,4534 125,3,2024-09-07 08:48:21:134,1,220,1,0,709,3409,220,0 126,0,2024-09-07 08:48:21:442,54863,0.6,56262,0.7,107572,0.6,145343,1.75 126,1,2024-09-07 08:48:20:567,387717,387717,0,0,181626669165,1885020914,385049,2407,261,365,391987,0 126,2,2024-09-07 08:48:20:617,282734,282734,0,0,12101577,0,3186 126,3,2024-09-07 08:48:20:915,1,220,8,0,183,3146,220,0 127,0,2024-09-07 08:48:21:629,51604,0.4,51920,0.5,103244,0.3,137835,1.75 127,1,2024-09-07 08:48:20:573,387295,387295,0,0,181706244193,1884965617,384419,2723,153,365,391816,0 127,2,2024-09-07 08:48:20:643,278632,278632,0,0,11050450,0,2264 127,3,2024-09-07 08:48:21:272,1,220,3,0,243,1853,220,0 128,0,2024-09-07 08:48:21:561,56492,0.3,56444,0.5,112578,0.3,149410,1.50 128,1,2024-09-07 08:48:21:606,387256,387256,0,0,181142234316,1880916967,385154,1946,156,367,391798,0 128,2,2024-09-07 08:48:21:411,275957,275957,0,0,10889277,0,2434 128,3,2024-09-07 08:48:20:768,1,220,1,0,1082,5150,220,0 129,0,2024-09-07 08:48:20:999,58066,0.3,57744,0.5,115485,0.3,153480,1.50 129,1,2024-09-07 08:48:20:568,385201,385201,0,0,180216094126,1892997882,381082,3192,927,379,391835,0 129,2,2024-09-07 08:48:20:689,277978,277978,0,0,11789909,0,4031 129,3,2024-09-07 08:48:20:697,1,220,1,0,469,3051,220,0 130,0,2024-09-07 08:48:21:736,56651,0.6,56499,0.7,113195,0.6,151015,1.75 130,1,2024-09-07 08:48:20:586,387067,387067,0,0,180862740851,1884157025,384694,2297,76,381,391825,0 130,2,2024-09-07 08:48:21:125,281479,281479,0,0,11615231,0,4067 130,3,2024-09-07 08:48:21:291,1,220,9,0,450,3006,220,0 131,0,2024-09-07 08:48:21:969,51488,0.4,51832,0.6,104252,0.4,137986,1.75 131,1,2024-09-07 08:48:21:831,387549,387549,0,0,180901388446,1889020901,384519,2574,456,381,391865,0 131,2,2024-09-07 08:48:20:573,281817,281817,0,0,10648266,0,2415 131,3,2024-09-07 08:48:21:695,1,220,13,0,392,2342,220,0 132,0,2024-09-07 08:48:21:419,57177,0.5,57758,0.7,115517,0.5,154185,2.00 132,1,2024-09-07 08:48:20:580,385128,385128,0,0,179698859700,1901438776,378368,5529,1231,381,392097,0 132,2,2024-09-07 08:48:20:698,275487,275487,0,0,14006056,0,4606 132,3,2024-09-07 08:48:21:695,1,220,10,0,356,3411,220,0 133,0,2024-09-07 08:48:21:535,55389,0.4,56711,0.6,116182,0.4,150958,1.75 133,1,2024-09-07 08:48:20:585,384687,384687,0,0,180641455561,1906938842,379226,4796,665,383,391914,0 133,2,2024-09-07 08:48:21:107,275806,275806,0,0,15324012,0,4315 133,3,2024-09-07 08:48:21:297,1,220,1,0,276,2374,220,0 134,0,2024-09-07 08:48:20:973,56575,0.5,56462,0.7,113552,0.5,150870,2.00 134,1,2024-09-07 08:48:20:583,385432,385432,0,0,180481026173,1902030246,379011,4953,1468,366,391718,0 134,2,2024-09-07 08:48:21:776,278710,278710,0,0,12999961,0,3847 134,3,2024-09-07 08:48:20:749,1,220,11,0,739,3547,220,0 135,0,2024-09-07 08:48:21:109,50360,1.1,50371,1.1,106733,1.3,137629,2.25 135,1,2024-09-07 08:48:21:587,384723,384723,0,0,181006147329,1910256591,378544,5184,995,380,391805,0 135,2,2024-09-07 08:48:20:692,281119,281119,0,0,14363940,0,3981 135,3,2024-09-07 08:48:21:010,1,220,1,0,299,1575,220,0 136,0,2024-09-07 08:48:21:613,55690,0.6,55598,0.7,111201,0.5,148251,2.25 136,1,2024-09-07 08:48:21:442,385607,385607,0,0,180450286739,1899795712,380272,4751,584,382,391685,0 136,2,2024-09-07 08:48:21:153,276268,276268,0,0,12761875,0,3506 136,3,2024-09-07 08:48:21:106,1,220,9,0,126,1819,220,0 137,0,2024-09-07 08:48:20:950,56822,0.6,55144,0.8,108912,0.7,147638,2.00 137,1,2024-09-07 08:48:20:585,385405,385405,0,0,180896797556,1902673013,378818,5207,1380,366,391708,0 137,2,2024-09-07 08:48:21:716,275576,275576,0,0,14995344,0,3185 137,3,2024-09-07 08:48:20:769,1,220,2,0,382,2823,220,0 138,0,2024-09-07 08:48:21:884,55717,0.9,55801,0.9,111972,1.1,148824,2.25 138,1,2024-09-07 08:48:21:757,385642,385642,0,0,181381783252,1909078989,379109,5434,1099,368,391954,0 138,2,2024-09-07 08:48:20:589,278307,278307,0,0,13651368,0,4988 138,3,2024-09-07 08:48:20:617,1,220,12,0,1160,3754,220,0 139,0,2024-09-07 08:48:21:394,52393,2.4,52399,1.5,105694,3.5,140739,2.75 139,1,2024-09-07 08:48:20:571,384188,384188,0,0,180014763001,1917114585,376289,5835,2064,381,391892,0 139,2,2024-09-07 08:48:20:697,279787,279787,0,0,14206536,0,3097 139,3,2024-09-07 08:48:21:663,1,220,5,0,244,2385,220,0 140,0,2024-09-07 08:48:21:592,53228,0.3,52700,0.5,106128,0.3,141802,1.75 140,1,2024-09-07 08:48:21:543,388251,388251,0,0,182569474710,1882206993,386488,1523,240,365,391606,0 140,2,2024-09-07 08:48:20:690,278064,278064,0,0,11241657,0,3388 140,3,2024-09-07 08:48:20:768,1,220,2,0,247,1922,220,0 141,0,2024-09-07 08:48:21:702,55592,0.3,57015,0.5,108933,0.2,147680,1.50 141,1,2024-09-07 08:48:20:862,387963,387963,0,0,181927417121,1888321375,385681,1927,355,379,391614,0 141,2,2024-09-07 08:48:21:690,276514,276514,0,0,10669223,0,2342 141,3,2024-09-07 08:48:21:049,1,220,0,0,178,1952,220,0 142,0,2024-09-07 08:48:21:326,57918,0.3,57306,0.5,114044,0.2,152277,1.50 142,1,2024-09-07 08:48:20:600,387068,387068,0,0,181363662098,1891917907,384609,2216,243,382,392102,0 142,2,2024-09-07 08:48:21:319,277429,277397,32,0,12441926,0,6028 142,3,2024-09-07 08:48:21:762,1,220,2,0,484,2958,220,0 143,0,2024-09-07 08:48:21:388,55652,0.6,55587,0.6,111706,0.6,148109,1.75 143,1,2024-09-07 08:48:20:561,387500,387500,0,0,181355642490,1881365966,385169,2285,46,367,391619,0 143,2,2024-09-07 08:48:20:807,281096,281096,0,0,11814175,0,2669 143,3,2024-09-07 08:48:21:142,1,220,23,0,303,3134,220,0 144,0,2024-09-07 08:48:21:496,50055,0.9,51569,1.1,104744,0.9,138273,2.25 144,1,2024-09-07 08:48:20:566,385288,385288,0,0,180590247080,1900213182,381298,3069,921,381,391649,0 144,2,2024-09-07 08:48:21:767,279745,279745,0,0,11449789,0,3473 144,3,2024-09-07 08:48:21:743,1,220,1,0,249,2774,220,0 145,0,2024-09-07 08:48:21:394,54727,0.7,54769,0.9,116087,0.6,149962,2.50 145,1,2024-09-07 08:48:20:553,384543,384543,0,0,180266500875,1901599727,379062,4660,821,382,391698,0 145,2,2024-09-07 08:48:21:433,274714,274714,0,0,13416457,0,3903 145,3,2024-09-07 08:48:20:904,1,220,12,0,622,3931,220,0 146,0,2024-09-07 08:48:21:592,57101,0.5,56647,0.8,114166,0.5,151907,2.25 146,1,2024-09-07 08:48:21:590,385859,385859,0,0,180230011998,1902572013,378339,5711,1809,368,391770,0 146,2,2024-09-07 08:48:21:696,276308,276308,0,0,13102435,0,2730 146,3,2024-09-07 08:48:21:279,1,220,8,0,1520,5798,220,0 147,0,2024-09-07 08:48:21:713,56014,0.6,56000,0.8,111169,0.6,149708,2.25 147,1,2024-09-07 08:48:21:386,387738,387738,0,0,181515650258,1889760649,384007,3162,569,368,391791,0 147,2,2024-09-07 08:48:21:013,281009,281009,0,0,11297183,0,2789 147,3,2024-09-07 08:48:20:915,1,220,1,0,730,3404,220,0 0,0,2024-09-07 08:48:31:709,54392,0.5,54381,0.7,115487,0.5,149669,1.75 0,1,2024-09-07 08:48:30:817,388341,388341,0,0,182163990495,1908735606,385175,2964,202,370,391772,0 0,2,2024-09-07 08:48:31:069,278055,278055,0,0,12002646,0,4480 0,3,2024-09-07 08:48:30:978,1,221,38,0,247,2880,221,0 1,0,2024-09-07 08:48:31:761,56820,0.9,56302,1.0,113370,1.2,151003,2.00 1,1,2024-09-07 08:48:30:558,387676,387676,0,0,180914957431,1900505557,383188,3435,1053,371,391857,0 1,2,2024-09-07 08:48:30:650,279660,279660,0,0,11069383,0,3267 1,3,2024-09-07 08:48:31:314,1,221,7,0,262,2775,221,0 2,0,2024-09-07 08:48:31:572,53119,0.9,53508,0.9,106234,1.1,141821,2.00 2,1,2024-09-07 08:48:30:866,388540,388540,0,0,182142237639,1894931922,386438,1884,218,380,391745,0 2,2,2024-09-07 08:48:31:272,282765,282765,0,0,11888771,0,3594 2,3,2024-09-07 08:48:30:695,1,221,8,0,357,2194,221,0 3,0,2024-09-07 08:48:31:745,54684,0.5,54738,0.6,109018,0.4,145500,2.00 3,1,2024-09-07 08:48:31:621,388280,388280,0,0,181294200233,1892149411,384522,3297,461,380,391523,0 3,2,2024-09-07 08:48:31:146,276995,276972,23,0,11811721,0,5851 3,3,2024-09-07 08:48:31:752,1,221,1,0,103,1402,221,0 4,0,2024-09-07 08:48:31:799,53431,0.4,54903,0.5,111788,0.3,146490,1.75 4,1,2024-09-07 08:48:30:591,387771,387771,0,0,181335079364,1910320532,383067,3916,788,371,391846,0 4,2,2024-09-07 08:48:31:024,276547,276547,0,0,13986429,0,4528 4,3,2024-09-07 08:48:31:027,1,221,0,0,448,3541,221,0 5,0,2024-09-07 08:48:31:392,56660,0.4,56895,0.6,113112,0.4,150423,1.75 5,1,2024-09-07 08:48:30:758,387628,387628,0,0,181366422747,1912936218,382151,4366,1111,368,392005,0 5,2,2024-09-07 08:48:31:829,277964,277964,0,0,12924475,0,2432 5,3,2024-09-07 08:48:31:732,1,221,1,0,457,3735,221,0 6,0,2024-09-07 08:48:30:942,54988,0.7,54467,0.8,108803,0.8,145371,2.00 6,1,2024-09-07 08:48:30:750,388109,388109,0,0,181432810886,1901728729,383444,3821,844,379,391634,0 6,2,2024-09-07 08:48:31:118,282451,282451,0,0,13085820,0,4816 6,3,2024-09-07 08:48:31:277,1,221,22,0,340,2823,221,0 7,0,2024-09-07 08:48:31:539,51629,0.6,51684,0.7,103212,0.6,138040,2.00 7,1,2024-09-07 08:48:30:858,387363,387363,0,0,182044568749,1916287715,381471,4889,1003,382,391686,0 7,2,2024-09-07 08:48:30:771,278024,278024,0,0,12827296,0,4791 7,3,2024-09-07 08:48:30:862,1,221,21,0,398,2708,221,0 8,0,2024-09-07 08:48:31:323,56127,0.4,56258,0.5,111982,0.4,149317,1.75 8,1,2024-09-07 08:48:31:016,386785,386785,0,0,181328687961,1918664817,378854,5926,2005,367,391956,0 8,2,2024-09-07 08:48:30:834,274113,274113,0,0,14931724,0,3220 8,3,2024-09-07 08:48:30:588,1,221,103,0,357,3502,221,0 9,0,2024-09-07 08:48:31:111,57971,0.3,56222,0.5,117332,0.3,153836,1.75 9,1,2024-09-07 08:48:30:564,387231,387231,0,0,181897136355,1923304851,380841,4850,1540,370,392001,0 9,2,2024-09-07 08:48:31:083,278624,278624,0,0,13040210,0,3360 9,3,2024-09-07 08:48:31:752,1,221,44,0,496,3365,221,0 10,0,2024-09-07 08:48:31:601,56750,0.3,56249,0.5,113241,0.3,150951,1.75 10,1,2024-09-07 08:48:30:583,387672,387672,0,0,182089613828,1913749973,381493,5251,928,381,391741,0 10,2,2024-09-07 08:48:30:773,281395,281395,0,0,14780557,0,4264 10,3,2024-09-07 08:48:30:871,1,221,8,0,177,1899,221,0 11,0,2024-09-07 08:48:31:014,51398,0.5,49862,0.8,104321,0.5,138883,2.00 11,1,2024-09-07 08:48:30:579,387968,387968,0,0,180984703021,1909400977,380222,5832,1914,383,391537,0 11,2,2024-09-07 08:48:31:122,280434,280434,0,0,14003888,0,4130 11,3,2024-09-07 08:48:31:298,1,221,1,0,843,3941,221,0 12,0,2024-09-07 08:48:30:938,58151,0.4,58057,0.5,116007,0.3,154243,1.75 12,1,2024-09-07 08:48:30:933,388463,388463,0,0,181401963803,1893626719,385174,2868,421,370,391837,0 12,2,2024-09-07 08:48:31:545,277797,277797,0,0,12776953,0,3469 12,3,2024-09-07 08:48:31:059,1,221,2,0,386,4028,221,0 13,0,2024-09-07 08:48:31:330,57420,0.3,57285,0.5,114296,0.3,152466,1.50 13,1,2024-09-07 08:48:31:531,387689,387689,0,0,181799190824,1909248349,384297,2853,539,382,391717,0 13,2,2024-09-07 08:48:30:602,278714,278714,0,0,11821838,0,3287 13,3,2024-09-07 08:48:31:763,1,221,1,0,467,3762,221,0 14,0,2024-09-07 08:48:30:562,56983,0.4,57493,0.6,113767,0.3,151343,1.75 14,1,2024-09-07 08:48:31:570,390398,390398,0,0,182316874229,1889732739,387700,2509,189,364,391571,0 14,2,2024-09-07 08:48:30:774,280331,280331,0,0,11950588,0,2896 14,3,2024-09-07 08:48:31:126,1,221,8,0,1168,3359,221,0 15,0,2024-09-07 08:48:31:555,52010,0.6,52387,0.8,104623,0.6,139461,2.25 15,1,2024-09-07 08:48:31:608,388667,388667,0,0,181308575767,1886542440,386366,2157,144,381,391619,0 15,2,2024-09-07 08:48:30:998,282993,282993,0,0,10378596,0,3043 15,3,2024-09-07 08:48:31:417,1,221,17,0,1126,4790,221,0 16,0,2024-09-07 08:48:30:943,55781,0.7,56073,0.9,111203,0.8,148992,2.25 16,1,2024-09-07 08:48:30:563,388881,388881,0,0,181743366025,1903484486,385336,3249,296,370,391756,0 16,2,2024-09-07 08:48:31:436,276348,276348,0,0,12625564,0,4719 16,3,2024-09-07 08:48:31:146,1,221,1,0,317,3197,221,0 17,0,2024-09-07 08:48:31:788,57142,0.5,55753,0.7,109250,0.5,148395,2.00 17,1,2024-09-07 08:48:30:569,387159,387159,0,0,181859509367,1913883040,382332,3726,1101,368,391688,0 17,2,2024-09-07 08:48:31:695,280263,280263,0,0,11794486,0,2857 17,3,2024-09-07 08:48:30:580,1,221,7,0,268,3648,221,0 18,0,2024-09-07 08:48:30:951,55668,0.7,55822,0.9,111614,0.8,148843,2.50 18,1,2024-09-07 08:48:31:647,388636,388636,0,0,182008960217,1889463281,386138,2259,239,367,391649,0 18,2,2024-09-07 08:48:31:756,280283,280283,0,0,11672273,0,3541 18,3,2024-09-07 08:48:30:896,1,221,11,0,163,2386,221,0 19,0,2024-09-07 08:48:31:550,53007,0.8,53645,0.9,105841,0.9,141246,2.25 19,1,2024-09-07 08:48:30:566,388614,388614,0,0,182227670509,1898131469,384016,3813,785,367,391777,0 19,2,2024-09-07 08:48:31:752,284219,284219,0,0,10731267,0,3988 19,3,2024-09-07 08:48:31:128,1,221,1,0,524,1797,221,0 20,0,2024-09-07 08:48:31:351,53009,0.8,52971,0.9,106412,1.0,142411,2.25 20,1,2024-09-07 08:48:30:580,387696,387696,0,0,181651763136,1904379743,383903,3397,396,369,391886,0 20,2,2024-09-07 08:48:30:928,277535,277535,0,0,12350022,0,3721 20,3,2024-09-07 08:48:30:592,1,221,1,0,414,4365,221,0 21,0,2024-09-07 08:48:31:174,55525,0.5,55790,0.7,111353,0.5,147213,2.00 21,1,2024-09-07 08:48:31:542,386956,386956,0,0,180486077209,1906826094,381258,4441,1257,368,391962,0 21,2,2024-09-07 08:48:31:067,276889,276889,0,0,13844763,0,3747 21,3,2024-09-07 08:48:31:406,1,221,1,0,103,2499,221,0 22,0,2024-09-07 08:48:31:719,57133,0.6,57097,0.8,113856,0.6,151504,2.25 22,1,2024-09-07 08:48:31:025,387086,387086,0,0,181023039618,1911730745,380144,5323,1619,382,391667,0 22,2,2024-09-07 08:48:30:760,278821,278821,0,0,12370512,0,3134 22,3,2024-09-07 08:48:31:066,1,221,17,0,228,2244,221,0 23,0,2024-09-07 08:48:31:373,55288,0.7,55210,0.8,110935,0.7,147456,2.25 23,1,2024-09-07 08:48:31:003,387723,387723,0,0,181985333772,1917599675,380466,4707,2550,365,391550,0 23,2,2024-09-07 08:48:31:092,282200,282200,0,0,12036436,0,3010 23,3,2024-09-07 08:48:31:754,1,221,2,0,645,3075,221,0 24,0,2024-09-07 08:48:30:829,52611,0.5,52603,0.6,105360,0.4,140346,1.75 24,1,2024-09-07 08:48:30:581,387776,387776,0,0,181746537214,1910587950,382522,3776,1478,369,391987,0 24,2,2024-09-07 08:48:31:227,278873,278873,0,0,14439450,0,3607 24,3,2024-09-07 08:48:31:686,1,221,2,0,468,3519,221,0 25,0,2024-09-07 08:48:31:340,58341,0.5,56990,0.7,111599,0.5,152209,2.00 25,1,2024-09-07 08:48:30:564,388285,388285,0,0,181631448456,1904303065,384251,3486,548,371,391788,0 25,2,2024-09-07 08:48:31:616,275696,275696,0,0,14485998,0,3978 25,3,2024-09-07 08:48:31:003,1,221,0,0,255,2587,221,0 26,0,2024-09-07 08:48:31:731,57230,0.4,55895,0.6,117176,0.3,152800,1.75 26,1,2024-09-07 08:48:31:546,387683,387683,0,0,180860978242,1901880509,381214,5328,1141,381,391748,0 26,2,2024-09-07 08:48:30:861,278463,278463,0,0,14077847,0,2809 26,3,2024-09-07 08:48:31:712,1,221,12,0,796,3155,221,0 27,0,2024-09-07 08:48:31:752,56826,0.5,56612,0.6,112239,0.4,150240,2.00 27,1,2024-09-07 08:48:31:676,389568,389568,0,0,183205843153,1908764538,386227,2751,590,381,391558,0 27,2,2024-09-07 08:48:30:871,279379,279314,65,0,14682870,0,5699 27,3,2024-09-07 08:48:31:034,1,221,54,0,564,2511,221,0 28,0,2024-09-07 08:48:31:387,52326,0.6,52198,0.7,104839,0.6,140141,2.25 28,1,2024-09-07 08:48:30:817,389185,389185,0,0,182872129243,1906367809,386362,2353,470,383,391646,0 28,2,2024-09-07 08:48:31:764,282247,282247,0,0,11479470,0,2915 28,3,2024-09-07 08:48:31:778,1,221,64,0,502,2472,221,0 29,0,2024-09-07 08:48:31:356,58058,0.3,56761,0.5,110817,0.3,151834,1.75 29,1,2024-09-07 08:48:31:562,389910,389910,0,0,182334836841,1890546911,386740,2605,565,369,391621,0 29,2,2024-09-07 08:48:30:868,276338,276338,0,0,10428829,0,4018 29,3,2024-09-07 08:48:30:966,1,221,1,0,115,1675,221,0 30,0,2024-09-07 08:48:31:456,55846,0.6,54344,0.7,113633,0.5,149155,2.00 30,1,2024-09-07 08:48:30:576,389775,389775,0,0,182932045603,1897228459,387585,1897,293,382,391672,0 30,2,2024-09-07 08:48:31:273,278835,278835,0,0,10637503,0,3161 30,3,2024-09-07 08:48:30:581,1,221,8,0,195,1586,221,0 31,0,2024-09-07 08:48:31:759,56274,0.4,56612,0.6,113536,0.4,150848,2.00 31,1,2024-09-07 08:48:30:570,390939,390939,0,0,182926531866,1875729923,389824,958,157,356,391712,0 31,2,2024-09-07 08:48:31:278,279449,279449,0,0,12369991,0,3525 31,3,2024-09-07 08:48:31:707,1,221,1,0,220,1846,221,0 32,0,2024-09-07 08:48:31:416,53057,0.4,53632,0.6,107163,0.3,142432,1.75 32,1,2024-09-07 08:48:30:804,389919,389919,0,0,182189198306,1892490299,387999,1642,278,381,391614,0 32,2,2024-09-07 08:48:30:942,282992,282992,0,0,10687218,0,3155 32,3,2024-09-07 08:48:31:015,1,221,0,0,227,1751,221,0 33,0,2024-09-07 08:48:31:494,55177,0.3,54500,0.5,109752,0.2,146486,1.75 33,1,2024-09-07 08:48:30:578,390121,390121,0,0,183274743276,1896458792,387564,2383,174,369,391730,0 33,2,2024-09-07 08:48:30:763,277837,277804,33,0,12364030,0,7012 33,3,2024-09-07 08:48:30:895,1,221,1,0,329,2559,221,0 34,0,2024-09-07 08:48:30:963,55124,0.3,56757,0.5,108840,0.3,145733,1.75 34,1,2024-09-07 08:48:31:060,390655,390655,0,0,182921918873,1883473578,389580,1068,7,367,391562,0 34,2,2024-09-07 08:48:30:766,277626,277626,0,0,11479777,0,3577 34,3,2024-09-07 08:48:31:688,1,221,8,0,299,1859,221,0 35,0,2024-09-07 08:48:30:860,56101,0.4,56480,0.5,113412,0.3,150639,1.75 35,1,2024-09-07 08:48:31:068,388852,388852,0,0,182428339124,1895029856,385577,2496,779,384,391589,0 35,2,2024-09-07 08:48:31:583,280106,280106,0,0,10833278,0,2653 35,3,2024-09-07 08:48:30:916,1,221,1,0,418,2703,221,0 36,0,2024-09-07 08:48:31:519,54631,0.7,54602,0.9,109410,0.8,144993,2.50 36,1,2024-09-07 08:48:30:589,388093,388093,0,0,182210208661,1911642875,382168,4347,1578,366,391759,0 36,2,2024-09-07 08:48:31:752,281927,281927,0,0,13372739,0,3875 36,3,2024-09-07 08:48:30:870,1,221,5,0,416,3851,221,0 37,0,2024-09-07 08:48:31:397,51611,0.6,51437,0.8,103224,0.6,138965,2.00 37,1,2024-09-07 08:48:30:583,387612,387605,0,7,181453764857,1908575262,381603,3936,2066,365,391560,0 37,2,2024-09-07 08:48:31:142,278047,278032,15,0,13497144,0,5815 37,3,2024-09-07 08:48:31:767,1,221,1,0,888,4128,221,0 38,0,2024-09-07 08:48:31:444,55574,0.5,53985,0.7,112998,0.5,147522,2.00 38,1,2024-09-07 08:48:31:621,389242,389242,0,0,181692551435,1903144467,383978,4590,674,368,391821,0 38,2,2024-09-07 08:48:30:760,276610,276563,47,0,13906113,0,6710 38,3,2024-09-07 08:48:30:997,1,221,12,0,689,3481,221,0 39,0,2024-09-07 08:48:31:762,58994,0.6,57939,0.7,112490,0.7,153825,2.00 39,1,2024-09-07 08:48:30:734,388778,388778,0,0,182334648276,1907400904,383416,4465,897,365,391594,0 39,2,2024-09-07 08:48:31:425,278439,278439,0,0,12057070,0,2689 39,3,2024-09-07 08:48:30:717,1,221,0,0,324,3353,221,0 40,0,2024-09-07 08:48:31:492,55987,0.8,56540,1.0,113013,0.8,150477,3.00 40,1,2024-09-07 08:48:30:575,388727,388727,0,0,181711079853,1908382876,382466,5028,1233,368,391591,0 40,2,2024-09-07 08:48:31:304,280655,280654,1,0,14707400,0,5137 40,3,2024-09-07 08:48:31:142,1,221,28,0,398,2955,221,0 41,0,2024-09-07 08:48:31:032,51080,2.1,52308,1.6,99774,3.5,136532,4.25 41,1,2024-09-07 08:48:30:774,387982,387982,0,0,182215048138,1909462055,382691,4658,633,370,391742,0 41,2,2024-09-07 08:48:30:759,279513,279513,0,0,14271398,0,3356 41,3,2024-09-07 08:48:31:678,1,221,4,0,366,2700,221,0 42,0,2024-09-07 08:48:31:476,56847,0.9,57202,1.1,113969,1.0,151016,2.75 42,1,2024-09-07 08:48:31:440,387095,387095,0,0,181615944066,1913824503,380358,5320,1417,380,391675,0 42,2,2024-09-07 08:48:31:136,276663,276663,0,0,13138583,0,3568 42,3,2024-09-07 08:48:31:011,1,221,8,0,446,1987,221,0 43,0,2024-09-07 08:48:30:924,56784,0.7,55235,0.9,115487,0.7,152103,2.25 43,1,2024-09-07 08:48:30:579,387876,387876,0,0,181802129474,1903404352,382259,4503,1114,366,391696,0 43,2,2024-09-07 08:48:31:736,278529,278529,0,0,12659500,0,3812 43,3,2024-09-07 08:48:31:749,1,221,1,0,467,2789,221,0 44,0,2024-09-07 08:48:30:858,56757,0.4,57059,0.6,113808,0.4,151829,1.75 44,1,2024-09-07 08:48:30:567,389934,389934,0,0,182249432618,1883670275,387441,2062,431,356,391809,0 44,2,2024-09-07 08:48:31:270,279863,279863,0,0,10553879,0,2231 44,3,2024-09-07 08:48:31:099,1,221,2,0,817,2704,221,0 45,0,2024-09-07 08:48:31:780,51625,0.7,50512,0.9,105983,0.8,140209,2.00 45,1,2024-09-07 08:48:31:005,388995,388995,0,0,182618442125,1893735675,387483,1500,12,382,391917,0 45,2,2024-09-07 08:48:31:268,282993,282993,0,0,11577634,0,3596 45,3,2024-09-07 08:48:30:935,1,221,1,0,271,2547,221,0 46,0,2024-09-07 08:48:30:955,55677,0.4,55579,0.6,111426,0.3,148255,2.00 46,1,2024-09-07 08:48:30:575,390221,390221,0,0,182499552381,1885948569,388007,1940,274,366,391524,0 46,2,2024-09-07 08:48:30:593,277504,277504,0,0,10829971,0,2920 46,3,2024-09-07 08:48:31:131,1,221,7,0,908,3430,221,0 47,0,2024-09-07 08:48:31:115,55781,0.4,55664,0.6,112023,0.4,148403,1.75 47,1,2024-09-07 08:48:30:573,390263,390263,0,0,182951249492,1889142431,388278,1900,85,366,391605,0 47,2,2024-09-07 08:48:30:919,279672,279672,0,0,10988068,0,2558 47,3,2024-09-07 08:48:31:117,1,221,1,0,529,2211,221,0 48,0,2024-09-07 08:48:31:490,56660,0.3,56324,0.4,112191,0.2,149819,1.50 48,1,2024-09-07 08:48:31:022,390044,390044,0,0,182609744081,1899348381,387085,2660,299,384,391710,0 48,2,2024-09-07 08:48:30:708,280279,280279,0,0,10777333,0,3031 48,3,2024-09-07 08:48:30:752,1,221,0,0,339,1992,221,0 49,0,2024-09-07 08:48:31:719,55004,0.4,53983,0.6,104703,0.4,143338,1.75 49,1,2024-09-07 08:48:31:023,387770,387770,0,0,181791193182,1898168434,383980,2609,1181,382,391610,0 49,2,2024-09-07 08:48:31:798,283952,283952,0,0,11391647,0,3900 49,3,2024-09-07 08:48:31:424,1,221,4,0,408,2637,221,0 50,0,2024-09-07 08:48:31:518,53410,0.3,52808,0.5,106222,0.2,142346,1.75 50,1,2024-09-07 08:48:31:010,390463,390463,0,0,182306091691,1888788406,387758,2395,310,368,391530,0 50,2,2024-09-07 08:48:31:068,278240,278240,0,0,10528085,0,2263 50,3,2024-09-07 08:48:31:291,1,221,8,0,335,1965,221,0 51,0,2024-09-07 08:48:31:694,56771,0.3,55586,0.5,108360,0.2,147582,1.75 51,1,2024-09-07 08:48:31:697,389893,389893,0,0,183093094663,1892943138,387157,1797,939,365,391706,0 51,2,2024-09-07 08:48:31:316,277659,277659,0,0,9585579,0,3337 51,3,2024-09-07 08:48:31:027,1,221,17,0,678,1948,221,0 52,0,2024-09-07 08:48:31:417,57813,0.5,57501,0.7,114724,0.4,152134,2.00 52,1,2024-09-07 08:48:30:575,388054,388054,0,0,181274210087,1906906984,381145,5706,1203,368,391722,0 52,2,2024-09-07 08:48:31:755,277499,277461,38,0,13935708,0,6742 52,3,2024-09-07 08:48:30:677,1,221,3,0,1782,4149,221,0 53,0,2024-09-07 08:48:31:729,55123,0.8,53635,0.9,112143,0.9,146701,2.50 53,1,2024-09-07 08:48:30:774,387368,387368,0,0,181924819047,1917865614,380049,4963,2356,367,391702,0 53,2,2024-09-07 08:48:31:298,282101,282101,0,0,11851940,0,2727 53,3,2024-09-07 08:48:30:701,1,221,1,0,271,2150,221,0 54,0,2024-09-07 08:48:31:633,51151,1.3,51594,1.0,102207,0.9,137594,3.25 54,1,2024-09-07 08:48:30:580,388494,388494,0,0,182459665810,1903800265,383761,4137,596,367,391659,0 54,2,2024-09-07 08:48:30:867,279742,279736,6,0,13144381,0,5382 54,3,2024-09-07 08:48:30:767,1,221,12,0,676,3608,221,0 55,0,2024-09-07 08:48:31:761,54829,0.7,56801,0.9,114270,0.7,148651,2.50 55,1,2024-09-07 08:48:30:774,387700,387700,0,0,181720095619,1897986083,382169,4744,787,365,391731,0 55,2,2024-09-07 08:48:30:733,276018,276018,0,0,13116410,0,3275 55,3,2024-09-07 08:48:30:674,1,221,3,0,304,2715,221,0 56,0,2024-09-07 08:48:31:552,58704,1.1,55352,1.1,113952,1.5,152747,2.50 56,1,2024-09-07 08:48:30:571,386301,386301,0,0,181363756940,1920946262,379785,5441,1075,381,391678,0 56,2,2024-09-07 08:48:31:304,278490,278490,0,0,13916762,0,3567 56,3,2024-09-07 08:48:31:060,1,221,30,0,705,3467,221,0 57,0,2024-09-07 08:48:30:939,55578,1.8,55695,1.3,111400,2.5,149225,3.25 57,1,2024-09-07 08:48:30:986,388200,388200,0,0,181539007642,1905541673,383494,4161,545,367,391960,0 57,2,2024-09-07 08:48:31:319,281231,281231,0,0,15102647,0,3317 57,3,2024-09-07 08:48:31:740,1,221,1,0,359,3181,221,0 58,0,2024-09-07 08:48:30:557,51003,1.2,49789,1.1,104024,1.6,136639,2.75 58,1,2024-09-07 08:48:30:606,388401,388398,0,3,182605547843,1911722226,382912,4791,695,367,391545,3 58,2,2024-09-07 08:48:31:071,281896,281896,0,0,13672090,0,2549 58,3,2024-09-07 08:48:31:068,1,221,16,0,1043,2733,221,0 59,0,2024-09-07 08:48:31:754,56467,0.7,56372,0.9,112590,0.7,150045,2.75 59,1,2024-09-07 08:48:30:804,387885,387885,0,0,181827825286,1907832787,382246,4374,1265,369,391525,0 59,2,2024-09-07 08:48:30:583,277886,277886,0,0,12105278,0,2604 59,3,2024-09-07 08:48:31:739,1,221,7,0,1015,3390,221,0 60,0,2024-09-07 08:48:31:721,56244,0.4,55951,0.6,112698,0.4,149867,1.75 60,1,2024-09-07 08:48:30:821,390046,390046,0,0,182892327727,1898452037,387674,1855,517,370,392031,0 60,2,2024-09-07 08:48:31:149,278203,278203,0,0,12686024,0,3811 60,3,2024-09-07 08:48:31:266,1,221,83,0,124,2151,221,0 61,0,2024-09-07 08:48:31:512,56639,0.8,56879,0.8,113242,0.8,151059,2.00 61,1,2024-09-07 08:48:30:770,388346,388346,0,0,181712839799,1904688341,383888,3756,702,382,391880,0 61,2,2024-09-07 08:48:31:117,280776,280776,0,0,11449209,0,2090 61,3,2024-09-07 08:48:31:689,1,221,19,0,397,3242,221,0 62,0,2024-09-07 08:48:31:711,53746,0.9,54823,0.9,104360,1.0,142295,2.00 62,1,2024-09-07 08:48:31:111,391089,391083,0,6,182952735566,1889133736,388702,2265,116,365,391715,6 62,2,2024-09-07 08:48:31:644,281675,281674,1,0,13014525,0,5555 62,3,2024-09-07 08:48:31:143,1,221,8,0,287,1640,221,0 63,0,2024-09-07 08:48:31:453,54713,0.5,54851,0.6,109503,0.4,146077,1.75 63,1,2024-09-07 08:48:30:813,390563,390557,0,6,182316223110,1890018983,388991,1516,50,381,391677,6 63,2,2024-09-07 08:48:30:768,277369,277369,0,0,10536660,0,2674 63,3,2024-09-07 08:48:31:732,1,221,2,0,667,2798,221,0 64,0,2024-09-07 08:48:31:552,54526,0.5,54723,0.6,109544,0.4,145602,1.75 64,1,2024-09-07 08:48:30:754,388901,388901,0,0,181936041955,1901989870,384446,3041,1414,370,391783,0 64,2,2024-09-07 08:48:31:141,280116,280097,19,0,11663797,0,6121 64,3,2024-09-07 08:48:31:140,1,221,1,0,265,2417,221,0 65,0,2024-09-07 08:48:31:674,56024,0.6,56467,0.7,112645,0.7,149596,2.25 65,1,2024-09-07 08:48:30:867,387831,387831,0,0,181177618957,1899454386,384287,3101,443,382,391901,0 65,2,2024-09-07 08:48:31:705,279413,279413,0,0,12309892,0,3367 65,3,2024-09-07 08:48:31:683,1,221,4,0,163,2212,221,0 66,0,2024-09-07 08:48:31:773,54186,0.5,54242,0.8,108935,0.5,144281,2.25 66,1,2024-09-07 08:48:31:292,389699,389699,0,0,182802801665,1900289584,387314,2204,181,380,391588,0 66,2,2024-09-07 08:48:31:136,284663,284663,0,0,12138372,0,4956 66,3,2024-09-07 08:48:31:079,1,221,9,0,291,2508,221,0 67,0,2024-09-07 08:48:31:415,52188,0.8,51783,0.8,104097,0.9,138949,2.25 67,1,2024-09-07 08:48:30:767,388811,388810,0,1,182401230709,1905607943,385035,3028,747,380,391787,1 67,2,2024-09-07 08:48:30:589,280249,280249,0,0,11014052,0,2889 67,3,2024-09-07 08:48:31:750,1,221,8,0,338,1989,221,0 68,0,2024-09-07 08:48:30:562,56147,0.7,56098,0.8,111527,0.7,149158,2.00 68,1,2024-09-07 08:48:30:571,387890,387890,0,0,181061523147,1902801747,383921,2831,1138,381,391953,0 68,2,2024-09-07 08:48:31:044,276411,276346,65,0,14884350,0,6698 68,3,2024-09-07 08:48:30:742,1,221,1,0,417,2705,221,0 69,0,2024-09-07 08:48:31:751,57450,0.8,57722,0.9,114985,0.9,153041,2.25 69,1,2024-09-07 08:48:31:016,386728,386728,0,0,181304825096,1918059564,381240,4087,1401,384,391994,0 69,2,2024-09-07 08:48:31:745,278629,278629,0,0,14423994,0,3722 69,3,2024-09-07 08:48:30:768,1,221,3,0,698,3508,221,0 70,0,2024-09-07 08:48:31:533,56142,1.1,56090,1.1,112694,0.8,150102,2.50 70,1,2024-09-07 08:48:30:802,388700,388700,0,0,182393357342,1898542142,385045,3127,528,366,391725,0 70,2,2024-09-07 08:48:31:326,280995,280995,0,0,13567025,0,4044 70,3,2024-09-07 08:48:30:750,1,221,12,0,854,2749,221,0 71,0,2024-09-07 08:48:31:356,51140,1.7,51087,1.4,102312,2.4,137787,3.25 71,1,2024-09-07 08:48:31:596,388176,388176,0,0,182295108143,1907033593,383057,4498,621,368,391738,0 71,2,2024-09-07 08:48:31:070,281346,281346,0,0,13670566,0,4042 71,3,2024-09-07 08:48:31:750,1,221,1,0,644,3371,221,0 72,0,2024-09-07 08:48:31:024,59501,0.9,58229,0.9,113563,1.1,154674,2.25 72,1,2024-09-07 08:48:31:024,388572,388572,0,0,181286539245,1895821796,384067,3729,776,369,391819,0 72,2,2024-09-07 08:48:31:755,275446,275446,0,0,14796807,0,3983 72,3,2024-09-07 08:48:31:754,1,221,15,0,364,3715,221,0 73,0,2024-09-07 08:48:31:115,55688,0.4,56980,0.6,116833,0.4,151823,2.00 73,1,2024-09-07 08:48:30:772,388948,388948,0,0,181696368611,1891533696,385967,2749,232,367,391750,0 73,2,2024-09-07 08:48:31:763,278684,278684,0,0,14138424,0,3701 73,3,2024-09-07 08:48:30:980,1,221,115,0,274,3498,221,0 74,0,2024-09-07 08:48:31:328,57371,0.5,58540,0.7,111655,0.5,151414,2.25 74,1,2024-09-07 08:48:30:636,388428,388428,0,0,181880100592,1902400210,384260,3372,796,381,391681,0 74,2,2024-09-07 08:48:31:002,280462,280462,0,0,13999450,0,4253 74,3,2024-09-07 08:48:31:442,1,221,16,0,522,3258,221,0 75,0,2024-09-07 08:48:31:792,52441,0.8,52157,1.0,104624,0.7,140428,2.50 75,1,2024-09-07 08:48:31:804,387843,387843,0,0,181432163390,1900803795,383149,4031,663,380,391660,0 75,2,2024-09-07 08:48:31:350,281952,281952,0,0,14600184,0,4766 75,3,2024-09-07 08:48:31:067,1,221,1,0,702,3071,221,0 76,0,2024-09-07 08:48:30:610,55439,0.5,55034,0.7,109992,0.5,147502,2.25 76,1,2024-09-07 08:48:30:812,389013,389013,0,0,181838311504,1897728054,386277,2188,548,382,391692,0 76,2,2024-09-07 08:48:31:062,278040,278040,0,0,11459294,0,3784 76,3,2024-09-07 08:48:31:142,1,221,1,0,175,2407,221,0 77,0,2024-09-07 08:48:31:707,55452,0.5,55674,0.8,111196,0.5,147737,2.00 77,1,2024-09-07 08:48:30:828,389150,389150,0,0,181672514269,1898822780,386051,2844,255,383,391808,0 77,2,2024-09-07 08:48:31:282,277440,277440,0,0,11945728,0,3890 77,3,2024-09-07 08:48:31:101,1,221,0,0,305,2325,221,0 78,0,2024-09-07 08:48:31:724,56436,0.4,55855,0.7,112758,0.4,149081,2.00 78,1,2024-09-07 08:48:30:611,388910,388910,0,0,181252214661,1884070843,385958,2636,316,367,391670,0 78,2,2024-09-07 08:48:31:407,280276,280276,0,0,10947482,0,3855 78,3,2024-09-07 08:48:31:133,1,221,12,0,181,2266,221,0 79,0,2024-09-07 08:48:31:356,51826,0.5,53084,0.7,108678,0.4,141111,2.50 79,1,2024-09-07 08:48:30:571,390257,390257,0,0,182554736321,1891226136,387426,2495,336,369,391682,0 79,2,2024-09-07 08:48:31:068,284112,284112,0,0,10884873,0,3212 79,3,2024-09-07 08:48:30:750,1,221,173,0,418,3511,221,0 80,0,2024-09-07 08:48:31:093,53077,0.6,54567,0.8,104545,0.6,142148,2.25 80,1,2024-09-07 08:48:31:623,388229,388229,0,0,182276578884,1900065410,385268,2793,168,368,391791,0 80,2,2024-09-07 08:48:31:115,278741,278741,0,0,11409920,0,4433 80,3,2024-09-07 08:48:30:575,1,221,1,0,190,3204,221,0 81,0,2024-09-07 08:48:31:544,55334,0.5,56649,0.7,108780,0.5,146695,2.00 81,1,2024-09-07 08:48:31:659,388255,388255,0,0,181116291502,1896463995,384677,3132,446,382,391879,0 81,2,2024-09-07 08:48:31:129,277382,277382,0,0,11661320,0,3993 81,3,2024-09-07 08:48:31:117,1,221,1,0,374,2644,221,0 82,0,2024-09-07 08:48:31:537,56849,0.4,57349,0.6,114767,0.4,152256,2.00 82,1,2024-09-07 08:48:30:583,388964,388960,0,4,182606149942,1905429931,385743,2606,611,381,391558,4 82,2,2024-09-07 08:48:31:696,279740,279740,0,0,11194970,0,3986 82,3,2024-09-07 08:48:31:752,1,221,13,0,363,2704,221,0 83,0,2024-09-07 08:48:31:522,55606,0.6,55686,0.7,110626,0.6,147039,2.00 83,1,2024-09-07 08:48:30:550,388355,388355,0,0,181863790273,1899533440,385216,2896,243,382,391690,0 83,2,2024-09-07 08:48:30:765,281542,281542,0,0,11178504,0,3119 83,3,2024-09-07 08:48:30:750,1,221,0,0,250,2374,221,0 84,0,2024-09-07 08:48:31:861,51688,1.0,51746,1.1,103458,0.9,139027,2.50 84,1,2024-09-07 08:48:31:039,387557,387557,0,0,181965276009,1907717670,382889,4094,574,368,391967,0 84,2,2024-09-07 08:48:30:589,280061,280061,0,0,13308714,0,3801 84,3,2024-09-07 08:48:31:143,1,221,5,0,908,3798,221,0 85,0,2024-09-07 08:48:31:005,54939,0.9,54854,1.0,116397,1.0,150209,2.50 85,1,2024-09-07 08:48:30:562,386839,386839,0,0,180934544265,1918794763,380024,5710,1105,382,392006,0 85,2,2024-09-07 08:48:30:871,277865,277865,0,0,13861022,0,3656 85,3,2024-09-07 08:48:30:695,1,221,1,0,789,3034,221,0 86,0,2024-09-07 08:48:30:905,57487,0.6,59024,0.8,113154,0.6,152702,2.00 86,1,2024-09-07 08:48:30:831,388440,388440,0,0,182073422636,1909121891,383472,4245,723,366,391961,0 86,2,2024-09-07 08:48:30:867,277255,277254,1,0,14649409,0,5004 86,3,2024-09-07 08:48:30:591,1,221,9,0,286,3083,221,0 87,0,2024-09-07 08:48:31:325,56389,1.1,55958,1.0,112511,1.5,150772,2.25 87,1,2024-09-07 08:48:30:558,388358,388358,0,0,181533425014,1903699905,383908,3970,480,366,391788,0 87,2,2024-09-07 08:48:31:067,279916,279916,0,0,13166292,0,4045 87,3,2024-09-07 08:48:31:803,1,221,1,0,473,3711,221,0 88,0,2024-09-07 08:48:31:461,52301,0.6,52573,0.7,104907,0.6,139669,2.00 88,1,2024-09-07 08:48:30:575,386519,386519,0,0,181354912931,1908598445,380414,4593,1512,365,392084,0 88,2,2024-09-07 08:48:30:699,281652,281652,0,0,15039520,0,3583 88,3,2024-09-07 08:48:31:267,1,221,2,0,435,2893,221,0 89,0,2024-09-07 08:48:31:772,58243,0.4,56294,0.6,111807,0.4,151928,1.75 89,1,2024-09-07 08:48:30:574,386419,386419,0,0,181820901690,1923119122,379807,5439,1173,382,391866,0 89,2,2024-09-07 08:48:31:133,276110,276110,0,0,13671481,0,2910 89,3,2024-09-07 08:48:31:791,1,221,20,0,325,4549,221,0 90,0,2024-09-07 08:48:31:621,54544,0.5,55677,0.6,114286,0.4,148884,1.75 90,1,2024-09-07 08:48:30:592,388567,388567,0,0,181925364845,1908947224,384791,3514,262,381,391825,0 90,2,2024-09-07 08:48:31:415,276656,276656,0,0,15064941,0,3060 90,3,2024-09-07 08:48:30:933,1,221,9,0,200,2447,221,0 91,0,2024-09-07 08:48:30:935,57067,0.4,55141,0.6,115050,0.4,151213,1.75 91,1,2024-09-07 08:48:30:561,387777,387777,0,0,182035600474,1917277289,382425,4692,660,381,392047,0 91,2,2024-09-07 08:48:31:331,279661,279661,0,0,13762190,0,2896 91,3,2024-09-07 08:48:30:619,1,221,71,0,216,2302,221,0 92,0,2024-09-07 08:48:31:455,53762,0.6,55128,0.7,105503,0.6,142550,1.75 92,1,2024-09-07 08:48:30:609,388308,388308,0,0,182158499334,1900679126,385981,1921,406,382,392136,0 92,2,2024-09-07 08:48:31:351,284279,284279,0,0,11570945,0,2801 92,3,2024-09-07 08:48:31:009,1,221,2,0,167,1847,221,0 93,0,2024-09-07 08:48:30:957,55046,0.4,56302,0.6,107699,0.4,146614,1.75 93,1,2024-09-07 08:48:30:810,389135,389135,0,0,182023009882,1901160804,385144,3315,676,366,391692,0 93,2,2024-09-07 08:48:30:928,277986,277986,0,0,11660557,0,2509 93,3,2024-09-07 08:48:31:413,1,221,1,0,190,2406,221,0 94,0,2024-09-07 08:48:31:607,54897,0.4,55547,0.6,110513,0.3,146482,1.75 94,1,2024-09-07 08:48:30:563,388410,388410,0,0,182106566316,1904547889,385245,2989,176,381,391850,0 94,2,2024-09-07 08:48:30:763,277477,277477,0,0,10892797,0,2443 94,3,2024-09-07 08:48:31:688,1,221,12,0,264,3093,221,0 95,0,2024-09-07 08:48:31:343,56861,0.3,56408,0.5,114007,0.3,150579,1.75 95,1,2024-09-07 08:48:30:863,389179,389179,0,0,182521353890,1899651599,385857,2971,351,367,391662,0 95,2,2024-09-07 08:48:31:042,278944,278944,0,0,11684088,0,3308 95,3,2024-09-07 08:48:31:714,1,221,1,0,718,4301,221,0 96,0,2024-09-07 08:48:31:033,54789,0.5,55001,0.6,109723,0.4,144871,1.75 96,1,2024-09-07 08:48:31:597,387729,387729,0,0,181532627076,1899699768,384172,2828,729,385,391894,0 96,2,2024-09-07 08:48:31:268,282500,282500,0,0,12358915,0,4042 96,3,2024-09-07 08:48:31:143,1,221,2,0,411,2642,221,0 97,0,2024-09-07 08:48:31:321,52065,0.4,51912,0.5,104218,0.3,139051,1.75 97,1,2024-09-07 08:48:30:775,389144,389144,0,0,182360057695,1894344323,386163,2428,553,367,392140,0 97,2,2024-09-07 08:48:30:609,279094,279094,0,0,11407532,0,3036 97,3,2024-09-07 08:48:30:570,1,221,4,0,165,2577,221,0 98,0,2024-09-07 08:48:31:693,56080,0.3,56168,0.5,112789,0.3,149369,1.50 98,1,2024-09-07 08:48:30:586,389114,389114,0,0,182663078893,1903173822,386996,2023,95,382,391997,0 98,2,2024-09-07 08:48:30:779,278007,278007,0,0,11500115,0,3080 98,3,2024-09-07 08:48:30:706,1,221,7,0,840,3941,221,0 99,0,2024-09-07 08:48:31:449,57823,0.3,57935,0.4,115675,0.3,154122,1.50 99,1,2024-09-07 08:48:31:723,389195,389195,0,0,181566069857,1896952622,385420,3036,739,381,391744,0 99,2,2024-09-07 08:48:31:422,281236,281236,0,0,12115149,0,3106 99,3,2024-09-07 08:48:30:587,1,221,2,0,168,1847,221,0 100,0,2024-09-07 08:48:31:464,56444,0.8,56486,1.1,112748,1.0,150634,2.25 100,1,2024-09-07 08:48:30:599,386176,386176,0,0,180308876082,1914184000,379346,5513,1317,381,391989,0 100,2,2024-09-07 08:48:31:818,279682,279671,11,0,13930521,0,5417 100,3,2024-09-07 08:48:31:732,1,221,1,0,559,4713,221,0 101,0,2024-09-07 08:48:31:726,52622,2.5,51352,1.5,100663,3.1,139025,3.00 101,1,2024-09-07 08:48:30:557,386812,386812,0,0,181037079442,1915667531,379491,5550,1771,368,391769,0 101,2,2024-09-07 08:48:31:756,280043,280043,0,0,15160903,0,4644 101,3,2024-09-07 08:48:30:953,1,221,15,0,448,2999,221,0 102,0,2024-09-07 08:48:30:960,56264,0.8,58438,0.9,117281,0.9,154007,2.25 102,1,2024-09-07 08:48:31:144,387280,387280,0,0,181097947800,1909280917,381641,4800,839,369,391883,0 102,2,2024-09-07 08:48:31:745,277318,277264,54,0,13594413,0,6768 102,3,2024-09-07 08:48:31:631,1,221,9,0,410,2447,221,0 103,0,2024-09-07 08:48:31:592,58784,0.5,58831,0.7,110893,0.4,152935,2.00 103,1,2024-09-07 08:48:31:632,386669,386669,0,0,180623235769,1910494786,380388,4901,1380,381,391829,0 103,2,2024-09-07 08:48:30:587,276826,276826,0,0,12920072,0,2582 103,3,2024-09-07 08:48:30:755,1,221,1,0,916,3467,221,0 104,0,2024-09-07 08:48:31:007,56358,0.9,56759,1.0,112189,0.9,151492,2.25 104,1,2024-09-07 08:48:31:608,388183,388183,0,0,180888685332,1907971726,381770,5326,1087,365,391948,0 104,2,2024-09-07 08:48:31:666,279281,279281,0,0,14446279,0,3941 104,3,2024-09-07 08:48:31:424,1,221,22,0,1245,6105,221,0 105,0,2024-09-07 08:48:31:036,51818,1.1,50305,1.2,105176,1.6,138741,3.25 105,1,2024-09-07 08:48:30:574,388091,388091,0,0,181868171877,1912106204,382424,4804,863,367,391797,0 105,2,2024-09-07 08:48:31:322,282095,282095,0,0,14120161,0,3509 105,3,2024-09-07 08:48:31:306,1,221,1,0,399,4112,221,0 106,0,2024-09-07 08:48:30:992,53769,0.8,55058,0.9,112593,0.8,147510,2.50 106,1,2024-09-07 08:48:31:750,387460,387460,0,0,180731927965,1904099940,380803,5951,706,369,391767,0 106,2,2024-09-07 08:48:30:775,275108,275108,0,0,13006596,0,2795 106,3,2024-09-07 08:48:30:679,1,221,19,0,470,3380,221,0 107,0,2024-09-07 08:48:31:101,55464,0.9,55368,0.9,110319,1.0,147324,2.00 107,1,2024-09-07 08:48:30:589,386996,386996,0,0,181390484485,1911463498,382261,4252,483,381,392234,0 107,2,2024-09-07 08:48:31:291,277253,277252,1,0,13836821,0,5024 107,3,2024-09-07 08:48:31:755,1,221,1,0,353,3288,221,0 108,0,2024-09-07 08:48:31:809,56375,0.4,56636,0.6,112241,0.4,149712,1.75 108,1,2024-09-07 08:48:31:332,388559,388559,0,0,181847750530,1897176794,385393,2782,384,368,391857,0 108,2,2024-09-07 08:48:31:755,278991,278991,0,0,12516017,0,2647 108,3,2024-09-07 08:48:31:335,1,221,8,0,749,4739,221,0 109,0,2024-09-07 08:48:31:753,53844,0.4,53299,0.6,106963,0.4,143218,1.75 109,1,2024-09-07 08:48:30:586,387682,387682,0,0,181401182289,1903492723,384378,2801,503,383,392132,0 109,2,2024-09-07 08:48:30:929,282144,282144,0,0,12993854,0,3617 109,3,2024-09-07 08:48:31:141,1,221,1,0,249,2870,221,0 110,0,2024-09-07 08:48:31:749,53257,0.4,51867,0.6,108475,0.4,142710,1.75 110,1,2024-09-07 08:48:31:659,389057,389057,0,0,183145948859,1902641047,386281,2007,769,370,392045,0 110,2,2024-09-07 08:48:31:307,278383,278383,0,0,11911870,0,3264 110,3,2024-09-07 08:48:30:690,1,221,14,0,406,3017,221,0 111,0,2024-09-07 08:48:31:458,55898,0.4,55354,0.5,110637,0.3,148006,1.75 111,1,2024-09-07 08:48:31:003,389617,389617,0,0,182488422855,1893336469,387672,1610,335,382,391690,0 111,2,2024-09-07 08:48:31:117,277404,277404,0,0,11655207,0,2763 111,3,2024-09-07 08:48:30:915,1,221,5,0,379,3178,221,0 112,0,2024-09-07 08:48:30:912,57429,0.3,57437,0.4,114836,0.2,152305,1.50 112,1,2024-09-07 08:48:30:824,389797,389797,0,0,181880558003,1889319289,387382,1987,428,380,391580,0 112,2,2024-09-07 08:48:31:133,278999,278998,1,0,11995787,0,5036 112,3,2024-09-07 08:48:30:592,1,221,1,0,282,2443,221,0 113,0,2024-09-07 08:48:30:869,55489,0.3,55395,0.5,111387,0.3,148292,1.75 113,1,2024-09-07 08:48:31:697,390004,390004,0,0,183359547076,1894902134,387515,2000,489,366,391661,0 113,2,2024-09-07 08:48:31:303,283142,283142,0,0,11078739,0,3813 113,3,2024-09-07 08:48:30:684,1,221,21,0,340,3542,221,0 114,0,2024-09-07 08:48:30:882,52530,0.5,53062,0.6,105033,0.3,140807,1.75 114,1,2024-09-07 08:48:30:719,388740,388740,0,0,182291061838,1903765519,383937,3215,1588,381,391556,0 114,2,2024-09-07 08:48:30:878,280314,280313,1,0,12117356,0,5069 114,3,2024-09-07 08:48:31:277,1,221,9,0,395,2568,221,0 115,0,2024-09-07 08:48:30:556,56952,0.3,57292,0.5,114607,0.3,151948,1.75 115,1,2024-09-07 08:48:30:572,388695,388695,0,0,183150919544,1908791823,384354,3507,834,382,391602,0 115,2,2024-09-07 08:48:31:127,278099,278099,0,0,10207336,0,2152 115,3,2024-09-07 08:48:31:004,1,221,2,0,159,1308,221,0 116,0,2024-09-07 08:48:31:717,57433,0.7,57075,0.9,114522,0.7,153319,2.00 116,1,2024-09-07 08:48:30:803,386338,386338,0,0,180809185767,1920979675,379957,4329,2052,380,391782,0 116,2,2024-09-07 08:48:31:766,277520,277520,0,0,15000214,0,3529 116,3,2024-09-07 08:48:30:911,1,221,2,0,415,3455,221,0 117,0,2024-09-07 08:48:30:962,56339,0.9,56306,0.9,112448,1.1,150825,2.00 117,1,2024-09-07 08:48:31:580,387856,387856,0,0,181353819192,1902897395,383317,4060,479,370,392033,0 117,2,2024-09-07 08:48:31:118,282264,282264,0,0,12611821,0,3700 117,3,2024-09-07 08:48:31:059,1,221,4,0,490,3881,221,0 118,0,2024-09-07 08:48:31:768,50751,0.8,52253,0.8,106181,0.8,139293,2.25 118,1,2024-09-07 08:48:30:592,387735,387735,0,0,181256566774,1911201489,381673,4633,1429,366,391736,0 118,2,2024-09-07 08:48:31:592,281273,281273,0,0,13547468,0,2781 118,3,2024-09-07 08:48:31:764,1,221,18,0,235,2704,221,0 119,0,2024-09-07 08:48:31:337,56091,0.8,56522,0.9,113321,0.9,150535,2.25 119,1,2024-09-07 08:48:30:548,388478,388478,0,0,182437301787,1910659788,383838,4118,522,368,391641,0 119,2,2024-09-07 08:48:31:272,276851,276851,0,0,12536508,0,4174 119,3,2024-09-07 08:48:31:325,1,221,15,0,443,3402,221,0 120,0,2024-09-07 08:48:31:559,55744,0.5,55732,0.8,111800,0.5,149456,2.00 120,1,2024-09-07 08:48:30:868,387558,387558,0,0,182048538136,1914228103,383024,4185,349,368,391961,0 120,2,2024-09-07 08:48:30:809,277042,277041,1,0,15012384,0,5281 120,3,2024-09-07 08:48:31:301,1,221,7,0,241,3091,221,0 121,0,2024-09-07 08:48:31:687,56433,1.1,56784,1.0,113319,1.5,150843,2.25 121,1,2024-09-07 08:48:31:658,388376,388376,0,0,181534052103,1903372691,384098,3864,414,367,391840,0 121,2,2024-09-07 08:48:31:127,278770,278770,0,0,14573865,0,4127 121,3,2024-09-07 08:48:30:728,1,221,2,0,269,2736,221,0 122,0,2024-09-07 08:48:31:772,53065,1.0,51711,1.0,108373,1.1,142450,2.25 122,1,2024-09-07 08:48:30:872,387159,387159,0,0,181371491250,1910303440,381108,5237,814,366,392130,0 122,2,2024-09-07 08:48:31:348,282088,282015,73,0,17078975,0,5989 122,3,2024-09-07 08:48:30:609,1,221,52,0,411,4804,221,0 123,0,2024-09-07 08:48:30:954,54520,0.9,53267,0.9,110826,1.1,145568,2.25 123,1,2024-09-07 08:48:30:565,387388,387388,0,0,181263841546,1918331123,378955,6829,1604,369,391823,0 123,2,2024-09-07 08:48:31:024,275202,275201,1,0,13657938,0,5215 123,3,2024-09-07 08:48:31:132,1,221,0,0,168,2761,221,0 124,0,2024-09-07 08:48:30:922,56956,0.3,56950,0.5,107400,0.3,147096,1.75 124,1,2024-09-07 08:48:31:025,389578,389578,0,0,181575674324,1889165615,386759,2457,362,367,392178,0 124,2,2024-09-07 08:48:31:010,278090,278090,0,0,11040920,0,3101 124,3,2024-09-07 08:48:30:762,1,221,31,0,490,2665,221,0 125,0,2024-09-07 08:48:31:534,56677,0.4,56568,0.5,113613,0.3,150616,1.75 125,1,2024-09-07 08:48:30:890,388540,388540,0,0,182398747076,1903024988,385978,2283,279,383,391702,0 125,2,2024-09-07 08:48:31:120,279908,279908,0,0,12022554,0,4534 125,3,2024-09-07 08:48:31:127,1,221,1,0,709,3410,221,0 126,0,2024-09-07 08:48:31:425,54932,0.6,56318,0.7,107665,0.6,145667,1.75 126,1,2024-09-07 08:48:30:560,389568,389568,0,0,182520296264,1894224756,386900,2407,261,365,391987,0 126,2,2024-09-07 08:48:30:626,284182,284182,0,0,12173517,0,3186 126,3,2024-09-07 08:48:30:918,1,221,12,0,183,3158,221,0 127,0,2024-09-07 08:48:31:595,52000,0.4,52385,0.5,104059,0.3,139152,1.75 127,1,2024-09-07 08:48:30:572,388887,388887,0,0,182435133605,1892576929,386010,2724,153,365,391816,0 127,2,2024-09-07 08:48:30:651,279384,279384,0,0,11092934,0,2264 127,3,2024-09-07 08:48:31:274,1,221,1,0,243,1854,221,0 128,0,2024-09-07 08:48:31:527,56594,0.3,56555,0.5,112771,0.3,149706,1.50 128,1,2024-09-07 08:48:31:609,389052,389052,0,0,182153287689,1891227335,386950,1946,156,367,391798,0 128,2,2024-09-07 08:48:31:383,277388,277388,0,0,10920100,0,2434 128,3,2024-09-07 08:48:30:768,1,221,1,0,1082,5151,221,0 129,0,2024-09-07 08:48:30:995,58229,0.3,57920,0.5,115798,0.2,153724,1.50 129,1,2024-09-07 08:48:30:569,386952,386952,0,0,180877042793,1899883656,382833,3192,927,379,391835,0 129,2,2024-09-07 08:48:30:697,279561,279561,0,0,11837244,0,4031 129,3,2024-09-07 08:48:30:695,1,221,8,0,469,3059,221,0 130,0,2024-09-07 08:48:31:732,56948,0.6,56782,0.7,113740,0.6,151549,1.75 130,1,2024-09-07 08:48:30:592,388852,388852,0,0,182077365866,1896560004,386478,2297,77,381,391825,0 130,2,2024-09-07 08:48:31:128,282645,282645,0,0,11636665,0,4067 130,3,2024-09-07 08:48:31:295,1,221,8,0,450,3014,221,0 131,0,2024-09-07 08:48:31:930,51860,0.4,52177,0.6,104971,0.4,139155,1.75 131,1,2024-09-07 08:48:31:820,389300,389300,0,0,181767130428,1897965539,386270,2574,456,381,391865,0 131,2,2024-09-07 08:48:30:567,282819,282819,0,0,10671575,0,2415 131,3,2024-09-07 08:48:31:695,1,221,14,0,392,2356,221,0 132,0,2024-09-07 08:48:31:469,57546,0.5,58093,0.7,116169,0.5,154524,2.00 132,1,2024-09-07 08:48:30:580,386840,386840,0,0,180547701400,1910250653,380078,5531,1231,381,392097,0 132,2,2024-09-07 08:48:30:707,277077,277077,0,0,14101661,0,4606 132,3,2024-09-07 08:48:31:688,1,221,5,0,356,3416,221,0 133,0,2024-09-07 08:48:31:522,55714,0.4,57035,0.6,116804,0.4,151743,1.75 133,1,2024-09-07 08:48:30:582,386482,386482,0,0,181333633497,1914292132,381021,4796,665,383,391914,0 133,2,2024-09-07 08:48:31:088,277135,277135,0,0,15419955,0,4315 133,3,2024-09-07 08:48:31:301,1,221,5,0,276,2379,221,0 134,0,2024-09-07 08:48:30:951,56760,0.5,56659,0.7,113913,0.5,151458,2.00 134,1,2024-09-07 08:48:30:582,387038,387038,0,0,181308673535,1910740339,380614,4956,1468,366,391718,0 134,2,2024-09-07 08:48:31:755,279753,279753,0,0,13042470,0,3847 134,3,2024-09-07 08:48:30:756,1,221,9,0,739,3556,221,0 135,0,2024-09-07 08:48:31:098,50685,1.1,50685,1.1,107402,1.3,138910,2.25 135,1,2024-09-07 08:48:31:589,386457,386457,0,0,181853224876,1919081464,380278,5184,995,380,391805,0 135,2,2024-09-07 08:48:30:703,282475,282475,0,0,14446527,0,3981 135,3,2024-09-07 08:48:31:004,1,221,10,0,299,1585,221,0 136,0,2024-09-07 08:48:31:647,56005,0.6,55929,0.7,111817,0.5,149066,2.25 136,1,2024-09-07 08:48:31:447,387271,387271,0,0,181265575644,1909043191,381832,4855,584,382,391685,0 136,2,2024-09-07 08:48:31:134,277301,277301,0,0,13299832,0,3506 136,3,2024-09-07 08:48:31:105,1,221,1,0,126,1820,221,0 137,0,2024-09-07 08:48:30:968,57058,0.6,55388,0.8,109390,0.7,148577,2.00 137,1,2024-09-07 08:48:30:579,387206,387206,0,0,181673172768,1911474773,380546,5280,1380,366,391708,0 137,2,2024-09-07 08:48:31:719,276833,276833,0,0,15143576,0,3185 137,3,2024-09-07 08:48:30:770,1,221,1,0,382,2824,221,0 138,0,2024-09-07 08:48:31:741,55849,0.9,55920,0.9,112258,1.1,149341,2.25 138,1,2024-09-07 08:48:31:685,387623,387623,0,0,182178352981,1917930286,381037,5487,1099,368,391954,0 138,2,2024-09-07 08:48:30:588,279807,279807,0,0,13760010,0,4988 138,3,2024-09-07 08:48:30:621,1,221,11,0,1160,3765,221,0 139,0,2024-09-07 08:48:31:358,52623,2.4,52607,1.5,106119,3.5,141680,2.75 139,1,2024-09-07 08:48:30:593,385929,385929,0,0,180755140391,1925284698,377980,5885,2064,381,391892,0 139,2,2024-09-07 08:48:30:699,281177,281177,0,0,14331207,0,3097 139,3,2024-09-07 08:48:31:663,1,221,1,0,244,2386,221,0 140,0,2024-09-07 08:48:31:596,53704,0.3,53172,0.5,107074,0.3,142927,1.75 140,1,2024-09-07 08:48:31:536,389970,389970,0,0,183442410727,1891537568,388190,1540,240,365,391606,0 140,2,2024-09-07 08:48:30:691,278813,278813,0,0,11256750,0,3388 140,3,2024-09-07 08:48:30:771,1,221,98,0,247,2020,221,0 141,0,2024-09-07 08:48:31:706,55702,0.3,57155,0.5,109155,0.2,148010,1.50 141,1,2024-09-07 08:48:30:867,389742,389742,0,0,182987665880,1899542689,387438,1949,355,379,391614,0 141,2,2024-09-07 08:48:31:686,277961,277961,0,0,10787494,0,2342 141,3,2024-09-07 08:48:31:044,1,221,13,0,178,1965,221,0 142,0,2024-09-07 08:48:31:307,58018,0.3,57414,0.5,114256,0.2,152613,1.50 142,1,2024-09-07 08:48:30:590,388894,388894,0,0,182139038708,1900023478,386435,2216,243,382,392102,0 142,2,2024-09-07 08:48:31:299,278822,278790,32,0,12504206,0,6028 142,3,2024-09-07 08:48:31:749,1,221,3,0,484,2961,221,0 143,0,2024-09-07 08:48:31:376,55736,0.6,55694,0.6,111915,0.6,148109,1.75 143,1,2024-09-07 08:48:30:557,389121,389121,0,0,182228735400,1891502443,386538,2488,95,367,391619,0 143,2,2024-09-07 08:48:30:774,282376,282376,0,0,11902387,0,2669 143,3,2024-09-07 08:48:31:143,1,221,1,0,303,3135,221,0 144,0,2024-09-07 08:48:31:496,50468,0.9,51954,1.1,105521,0.9,139190,2.25 144,1,2024-09-07 08:48:30:577,387079,387079,0,0,181428954865,1908860979,383089,3069,921,381,391649,0 144,2,2024-09-07 08:48:31:755,280590,280590,0,0,11467601,0,3473 144,3,2024-09-07 08:48:31:739,1,221,1,0,249,2775,221,0 145,0,2024-09-07 08:48:31:359,54819,0.7,54873,0.9,116296,0.6,150192,2.50 145,1,2024-09-07 08:48:30:561,386433,386433,0,0,181172545004,1911099452,380950,4662,821,382,391698,0 145,2,2024-09-07 08:48:31:429,276259,276259,0,0,13479529,0,3903 145,3,2024-09-07 08:48:30:896,1,221,1,0,622,3932,221,0 146,0,2024-09-07 08:48:31:625,57359,0.5,56899,0.8,114686,0.5,152523,2.25 146,1,2024-09-07 08:48:31:605,387292,387292,0,0,181208761207,1913683881,379581,5881,1830,368,391770,0 146,2,2024-09-07 08:48:31:709,277772,277772,0,0,13331237,0,2730 146,3,2024-09-07 08:48:31:277,1,221,8,0,1520,5806,221,0 147,0,2024-09-07 08:48:31:720,56261,0.6,56264,0.8,111708,0.6,150551,2.25 147,1,2024-09-07 08:48:31:373,389298,389298,0,0,182476117830,1899856501,385559,3170,569,368,391791,0 147,2,2024-09-07 08:48:31:011,282123,282123,0,0,11328868,0,2789 147,3,2024-09-07 08:48:30:926,1,221,368,0,730,3772,221,0 0,0,2024-09-07 08:48:41:745,54727,0.5,54688,0.7,116155,0.5,150575,1.75 0,1,2024-09-07 08:48:40:815,390134,390134,0,0,183042011863,1917864714,386965,2967,202,370,391772,0 0,2,2024-09-07 08:48:41:068,279389,279389,0,0,12067689,0,4480 0,3,2024-09-07 08:48:40:973,1,222,5,0,247,2885,222,0 1,0,2024-09-07 08:48:41:760,56979,0.9,56469,1.0,113693,1.2,151428,2.00 1,1,2024-09-07 08:48:40:558,389360,389360,0,0,181632828306,1908037358,384871,3436,1053,371,391857,0 1,2,2024-09-07 08:48:40:657,280727,280727,0,0,11130061,0,3267 1,3,2024-09-07 08:48:41:302,1,222,7,0,262,2782,222,0 2,0,2024-09-07 08:48:41:568,53369,0.9,53765,0.9,106748,1.1,142454,2.00 2,1,2024-09-07 08:48:40:865,390299,390299,0,0,183041209886,1904214540,388197,1884,218,380,391745,0 2,2,2024-09-07 08:48:41:266,284096,284096,0,0,11952302,0,3594 2,3,2024-09-07 08:48:40:695,1,222,7,0,357,2201,222,0 3,0,2024-09-07 08:48:41:746,55076,0.5,55171,0.6,109776,0.4,146533,2.00 3,1,2024-09-07 08:48:41:622,390041,390041,0,0,182043932359,1899877318,386283,3297,461,380,391523,0 3,2,2024-09-07 08:48:41:143,278262,278239,23,0,11898563,0,5851 3,3,2024-09-07 08:48:41:758,1,222,2,0,103,1404,222,0 4,0,2024-09-07 08:48:41:795,53576,0.4,55081,0.5,112130,0.3,146938,1.75 4,1,2024-09-07 08:48:40:603,389526,389526,0,0,182103106710,1918211297,384822,3916,788,371,391846,0 4,2,2024-09-07 08:48:41:021,277740,277740,0,0,14028467,0,4528 4,3,2024-09-07 08:48:41:050,1,222,0,0,448,3541,222,0 5,0,2024-09-07 08:48:41:420,56750,0.4,56995,0.6,113320,0.4,150674,1.75 5,1,2024-09-07 08:48:40:765,389375,389375,0,0,182281773268,1922298491,383898,4366,1111,368,392005,0 5,2,2024-09-07 08:48:41:833,279386,279386,0,0,12989705,0,2432 5,3,2024-09-07 08:48:41:746,1,222,1,0,457,3736,222,0 6,0,2024-09-07 08:48:40:923,55100,0.7,54575,0.8,108980,0.8,145696,2.00 6,1,2024-09-07 08:48:40:746,389860,389860,0,0,182155417126,1909185117,385195,3821,844,379,391651,0 6,2,2024-09-07 08:48:41:118,283941,283941,0,0,13122825,0,4816 6,3,2024-09-07 08:48:41:274,1,222,8,0,340,2831,222,0 7,0,2024-09-07 08:48:41:540,52111,0.6,52153,0.7,104196,0.6,139402,2.00 7,1,2024-09-07 08:48:40:852,389131,389131,0,0,182954473876,1925614688,383239,4889,1003,382,391686,0 7,2,2024-09-07 08:48:40:776,278715,278715,0,0,12896176,0,4791 7,3,2024-09-07 08:48:40:852,1,222,0,0,398,2708,222,0 8,0,2024-09-07 08:48:41:357,56244,0.4,56363,0.5,112182,0.4,149611,1.75 8,1,2024-09-07 08:48:41:033,388459,388459,0,0,182075381718,1927202657,380424,6030,2005,367,391956,0 8,2,2024-09-07 08:48:40:818,275577,275577,0,0,15068089,0,3220 8,3,2024-09-07 08:48:40:589,1,222,31,0,357,3533,222,0 9,0,2024-09-07 08:48:41:113,58092,0.3,56327,0.5,117571,0.3,154082,1.75 9,1,2024-09-07 08:48:40:568,389008,389008,0,0,182905916875,1934347287,382558,4910,1540,370,392001,0 9,2,2024-09-07 08:48:41:094,280152,280152,0,0,13289953,0,3360 9,3,2024-09-07 08:48:41:759,1,222,112,0,496,3477,222,0 10,0,2024-09-07 08:48:41:604,56982,0.3,56470,0.5,113690,0.3,151456,1.75 10,1,2024-09-07 08:48:40:586,389443,389443,0,0,182780153929,1921515580,383181,5334,928,381,391741,0 10,2,2024-09-07 08:48:40:770,282605,282605,0,0,14964481,0,4264 10,3,2024-09-07 08:48:40:871,1,222,12,0,177,1911,222,0 11,0,2024-09-07 08:48:41:012,51782,0.5,50297,0.8,105164,0.6,140040,2.00 11,1,2024-09-07 08:48:40:576,389787,389787,0,0,182047976711,1920871292,382002,5871,1914,383,391537,0 11,2,2024-09-07 08:48:41:123,281439,281439,0,0,14123056,0,4130 11,3,2024-09-07 08:48:41:298,1,222,4,0,843,3945,222,0 12,0,2024-09-07 08:48:41:001,58355,0.4,58270,0.5,116396,0.3,154548,1.75 12,1,2024-09-07 08:48:40:958,390219,390219,0,0,182075523386,1900731016,386929,2869,421,370,391837,0 12,2,2024-09-07 08:48:41:543,279283,279283,0,0,12876707,0,3469 12,3,2024-09-07 08:48:41:068,1,222,3,0,386,4031,222,0 13,0,2024-09-07 08:48:41:407,57722,0.3,57583,0.5,114879,0.3,153278,1.50 13,1,2024-09-07 08:48:41:536,389493,389493,0,0,182915493909,1921288589,386075,2879,539,382,391717,0 13,2,2024-09-07 08:48:40:611,280094,280094,0,0,11919337,0,3287 13,3,2024-09-07 08:48:41:764,1,222,8,0,467,3770,222,0 14,0,2024-09-07 08:48:40:566,57194,0.4,57725,0.6,114179,0.3,151959,1.75 14,1,2024-09-07 08:48:41:560,392205,392205,0,0,182940958786,1896666574,389437,2579,189,364,391571,0 14,2,2024-09-07 08:48:40:771,281544,281544,0,0,12015951,0,2896 14,3,2024-09-07 08:48:41:115,1,222,8,0,1168,3367,222,0 15,0,2024-09-07 08:48:41:553,52398,0.6,52806,0.8,105446,0.6,140714,2.00 15,1,2024-09-07 08:48:41:610,390523,390523,0,0,182373563354,1897634812,388222,2157,144,381,391619,0 15,2,2024-09-07 08:48:41:000,284233,284233,0,0,10434603,0,3043 15,3,2024-09-07 08:48:41:404,1,222,1,0,1126,4791,222,0 16,0,2024-09-07 08:48:41:048,56090,0.7,56356,0.9,111811,0.7,149676,2.25 16,1,2024-09-07 08:48:40:599,390610,390610,0,0,182784725741,1914186030,387065,3249,296,370,391756,0 16,2,2024-09-07 08:48:41:441,277561,277561,0,0,12658901,0,4719 16,3,2024-09-07 08:48:41:142,1,222,1,0,317,3198,222,0 17,0,2024-09-07 08:48:41:781,57459,0.5,56075,0.7,109894,0.5,149415,2.00 17,1,2024-09-07 08:48:40:579,389019,389019,0,0,182638510468,1922096445,384191,3726,1102,368,391688,0 17,2,2024-09-07 08:48:41:675,281584,281584,0,0,11853258,0,2857 17,3,2024-09-07 08:48:40:586,1,222,9,0,268,3657,222,0 18,0,2024-09-07 08:48:40:942,55831,0.7,55971,0.9,111935,0.8,149351,2.25 18,1,2024-09-07 08:48:41:651,390405,390405,0,0,182933043882,1898897639,387907,2259,239,367,391649,0 18,2,2024-09-07 08:48:41:760,281554,281554,0,0,11714651,0,3541 18,3,2024-09-07 08:48:40:898,1,222,11,0,163,2397,222,0 19,0,2024-09-07 08:48:41:544,53320,0.8,53953,0.9,106498,0.9,142160,2.25 19,1,2024-09-07 08:48:40:580,390406,390406,0,0,183025614238,1906354797,385808,3813,785,367,391777,0 19,2,2024-09-07 08:48:41:770,285636,285636,0,0,10760848,0,3988 19,3,2024-09-07 08:48:41:132,1,222,1,0,524,1798,222,0 20,0,2024-09-07 08:48:41:372,53470,0.8,53395,0.9,107330,1.0,143567,2.25 20,1,2024-09-07 08:48:40:586,389418,389418,0,0,182594873432,1914211148,385625,3397,396,369,391886,0 20,2,2024-09-07 08:48:40:941,278492,278492,0,0,12396389,0,3721 20,3,2024-09-07 08:48:40:598,1,222,21,0,414,4386,222,0 21,0,2024-09-07 08:48:41:140,55646,0.5,55903,0.7,111613,0.5,147557,2.00 21,1,2024-09-07 08:48:41:538,388633,388633,0,0,181277072871,1915058693,382935,4441,1257,368,391962,0 21,2,2024-09-07 08:48:41:096,278286,278286,0,0,13886128,0,3747 21,3,2024-09-07 08:48:41:404,1,222,1,0,103,2500,222,0 22,0,2024-09-07 08:48:41:723,57250,0.6,57207,0.8,114095,0.6,151824,2.25 22,1,2024-09-07 08:48:41:022,388774,388774,0,0,181641637947,1919393501,381588,5544,1642,382,391667,0 22,2,2024-09-07 08:48:40:765,280394,280394,0,0,12442739,0,3134 22,3,2024-09-07 08:48:41:068,1,222,8,0,228,2252,222,0 23,0,2024-09-07 08:48:41:367,55318,0.7,55255,0.8,111008,0.7,147456,2.25 23,1,2024-09-07 08:48:41:007,389473,389473,0,0,182915684851,1927234160,382214,4708,2551,365,391550,0 23,2,2024-09-07 08:48:41:095,283618,283618,0,0,12110451,0,3010 23,3,2024-09-07 08:48:41:758,1,222,14,0,645,3089,222,0 24,0,2024-09-07 08:48:40:933,52972,0.5,52955,0.6,106059,0.4,141265,1.75 24,1,2024-09-07 08:48:40:591,389445,389445,0,0,182427005293,1918801484,383999,3968,1478,369,391987,0 24,2,2024-09-07 08:48:41:287,279452,279452,0,0,14473901,0,3607 24,3,2024-09-07 08:48:41:712,1,222,68,0,468,3587,222,0 25,0,2024-09-07 08:48:41:409,58424,0.5,57087,0.7,111779,0.5,152446,2.00 25,1,2024-09-07 08:48:40:591,390035,390035,0,0,182439509191,1913097264,385990,3497,548,371,391788,0 25,2,2024-09-07 08:48:41:626,277372,277372,0,0,14675009,0,3978 25,3,2024-09-07 08:48:41:000,1,222,1,0,255,2588,222,0 26,0,2024-09-07 08:48:41:722,57456,0.4,56116,0.6,117647,0.3,153396,1.75 26,1,2024-09-07 08:48:41:541,389624,389624,0,0,181728523113,1912723391,382756,5652,1216,381,391748,0 26,2,2024-09-07 08:48:40:871,279852,279852,0,0,14364233,0,2809 26,3,2024-09-07 08:48:41:712,1,222,8,0,796,3163,222,0 27,0,2024-09-07 08:48:41:724,57136,0.5,56927,0.6,112880,0.4,151235,2.00 27,1,2024-09-07 08:48:41:685,391314,391314,0,0,183962689156,1916996303,387958,2765,591,381,391558,0 27,2,2024-09-07 08:48:40:879,280395,280330,65,0,14766362,0,5699 27,3,2024-09-07 08:48:41:020,1,222,48,0,564,2559,222,0 28,0,2024-09-07 08:48:41:391,52613,0.6,52495,0.7,105403,0.6,140795,2.25 28,1,2024-09-07 08:48:40:810,390987,390987,0,0,183861000045,1916765207,388152,2365,470,383,391646,0 28,2,2024-09-07 08:48:41:772,283240,283240,0,0,11526495,0,2915 28,3,2024-09-07 08:48:41:776,1,222,23,0,502,2495,222,0 29,0,2024-09-07 08:48:41:358,58559,0.3,57289,0.6,111780,0.3,153323,1.75 29,1,2024-09-07 08:48:41:562,391618,391618,0,0,183219785869,1899817425,388448,2605,565,369,391621,0 29,2,2024-09-07 08:48:40:866,277843,277843,0,0,10547644,0,4018 29,3,2024-09-07 08:48:40:970,1,222,2,0,115,1677,222,0 30,0,2024-09-07 08:48:41:457,56190,0.6,54669,0.7,114289,0.5,149948,2.00 30,1,2024-09-07 08:48:40:575,391742,391742,0,0,183857188162,1906984072,389533,1916,293,382,391672,0 30,2,2024-09-07 08:48:41:273,280122,280122,0,0,10720033,0,3161 30,3,2024-09-07 08:48:40:583,1,222,10,0,195,1596,222,0 31,0,2024-09-07 08:48:41:769,56458,0.4,56741,0.6,113835,0.4,151256,2.00 31,1,2024-09-07 08:48:40:567,392656,392656,0,0,183845617433,1885201674,391541,958,157,356,391712,0 31,2,2024-09-07 08:48:41:275,280514,280514,0,0,12442190,0,3525 31,3,2024-09-07 08:48:41:711,1,222,1,0,220,1847,222,0 32,0,2024-09-07 08:48:41:414,53312,0.4,53879,0.6,107731,0.3,143083,1.75 32,1,2024-09-07 08:48:40:814,391669,391669,0,0,182913655350,1900110032,389749,1642,278,381,391614,0 32,2,2024-09-07 08:48:40:948,284325,284325,0,0,10724853,0,3155 32,3,2024-09-07 08:48:41:032,1,222,1,0,227,1752,222,0 33,0,2024-09-07 08:48:41:498,55578,0.3,54921,0.5,110487,0.2,147441,1.75 33,1,2024-09-07 08:48:40:580,391922,391922,0,0,184158648166,1905697461,389344,2404,174,369,391730,0 33,2,2024-09-07 08:48:40:772,278996,278963,33,0,12400052,0,7012 33,3,2024-09-07 08:48:40:898,1,222,1,0,329,2560,222,0 34,0,2024-09-07 08:48:40:951,55262,0.3,56907,0.5,109146,0.3,146181,1.75 34,1,2024-09-07 08:48:41:056,392313,392313,0,0,183589866939,1890331338,391238,1068,7,367,391562,0 34,2,2024-09-07 08:48:40:771,278930,278930,0,0,11519658,0,3577 34,3,2024-09-07 08:48:41:696,1,222,8,0,299,1867,222,0 35,0,2024-09-07 08:48:40:860,56210,0.4,56592,0.5,113620,0.3,150895,1.75 35,1,2024-09-07 08:48:41:069,390579,390579,0,0,183131326920,1902378431,387304,2496,779,384,391589,0 35,2,2024-09-07 08:48:41:583,281519,281519,0,0,10943535,0,2653 35,3,2024-09-07 08:48:40:917,1,222,1,0,418,2704,222,0 36,0,2024-09-07 08:48:41:520,54745,0.7,54708,0.9,109628,0.8,145347,2.50 36,1,2024-09-07 08:48:40:591,389940,389940,0,0,183082069954,1920677224,384015,4347,1578,366,391759,0 36,2,2024-09-07 08:48:41:757,283329,283329,0,0,13428108,0,3875 36,3,2024-09-07 08:48:40:864,1,222,0,0,416,3851,222,0 37,0,2024-09-07 08:48:41:381,52067,0.6,51899,0.8,104117,0.6,140315,2.00 37,1,2024-09-07 08:48:40:582,389423,389416,0,7,182375165359,1918070283,383414,3936,2066,365,391560,0 37,2,2024-09-07 08:48:41:149,278760,278745,15,0,13508826,0,5815 37,3,2024-09-07 08:48:41:766,1,222,6,0,888,4134,222,0 38,0,2024-09-07 08:48:41:444,55689,0.5,54087,0.7,113219,0.5,147809,2.00 38,1,2024-09-07 08:48:41:609,391004,391004,0,0,182612496738,1912576195,385740,4590,674,368,391821,0 38,2,2024-09-07 08:48:40:765,278258,278211,47,0,13970824,0,6710 38,3,2024-09-07 08:48:40:999,1,222,8,0,689,3489,222,0 39,0,2024-09-07 08:48:41:774,59121,0.6,58054,0.7,112715,0.7,154069,2.00 39,1,2024-09-07 08:48:40:727,390570,390570,0,0,183067171786,1915960465,385045,4624,901,365,391594,0 39,2,2024-09-07 08:48:41:421,279863,279863,0,0,12095271,0,2689 39,3,2024-09-07 08:48:40:719,1,222,1,0,324,3354,222,0 40,0,2024-09-07 08:48:41:503,56221,0.8,56742,1.0,113430,0.8,150982,3.00 40,1,2024-09-07 08:48:40:580,390337,390337,0,0,182346218177,1915998332,383849,5247,1241,368,391591,0 40,2,2024-09-07 08:48:41:315,281912,281911,1,0,14793326,0,5137 40,3,2024-09-07 08:48:41:151,1,222,1,0,398,2956,222,0 41,0,2024-09-07 08:48:41:033,51516,2.3,52697,1.6,100625,3.6,137931,4.25 41,1,2024-09-07 08:48:40:776,389822,389822,0,0,183315185485,1921367376,384457,4732,633,370,391742,0 41,2,2024-09-07 08:48:40:769,280415,280415,0,0,14440322,0,3356 41,3,2024-09-07 08:48:41:682,1,222,1,0,366,2701,222,0 42,0,2024-09-07 08:48:41:485,57121,1.0,57430,1.1,114775,1.2,151316,2.75 42,1,2024-09-07 08:48:41:447,388677,388677,0,0,182506583372,1924217790,381641,5584,1452,380,391675,0 42,2,2024-09-07 08:48:41:145,278297,278297,0,0,13277302,0,3568 42,3,2024-09-07 08:48:41:018,1,222,8,0,446,1995,222,0 43,0,2024-09-07 08:48:40:932,57066,0.7,55512,0.9,116076,0.7,152910,2.25 43,1,2024-09-07 08:48:40:577,389706,389706,0,0,182988046693,1917427066,383658,4768,1280,366,391696,0 43,2,2024-09-07 08:48:41:739,279890,279890,0,0,12946300,0,3812 43,3,2024-09-07 08:48:41:760,1,222,55,0,467,2844,222,0 44,0,2024-09-07 08:48:40:882,56961,0.4,57273,0.6,114217,0.4,152401,1.75 44,1,2024-09-07 08:48:40:651,391656,391656,0,0,182974866322,1891156165,389163,2062,431,356,391809,0 44,2,2024-09-07 08:48:41:267,281068,281068,0,0,10603698,0,2231 44,3,2024-09-07 08:48:41:100,1,222,14,0,817,2718,222,0 45,0,2024-09-07 08:48:41:763,52060,0.7,50912,0.9,106874,0.8,141448,2.00 45,1,2024-09-07 08:48:41:012,390751,390751,0,0,183466740086,1902562870,389239,1500,12,382,391917,0 45,2,2024-09-07 08:48:41:268,284227,284227,0,0,11641424,0,3596 45,3,2024-09-07 08:48:40:949,1,222,7,0,271,2554,222,0 46,0,2024-09-07 08:48:40:961,55970,0.4,55848,0.6,112005,0.3,148967,2.00 46,1,2024-09-07 08:48:40:576,391980,391980,0,0,183342586199,1894920897,389749,1957,274,366,391524,0 46,2,2024-09-07 08:48:40:595,278788,278788,0,0,10880329,0,2920 46,3,2024-09-07 08:48:41:132,1,222,8,0,908,3438,222,0 47,0,2024-09-07 08:48:41:105,56091,0.4,55961,0.6,112615,0.4,149313,1.75 47,1,2024-09-07 08:48:40:567,392131,392131,0,0,183431685485,1894458437,390122,1924,85,366,391605,0 47,2,2024-09-07 08:48:40:908,280956,280956,0,0,11059024,0,2558 47,3,2024-09-07 08:48:41:115,1,222,1,0,529,2212,222,0 48,0,2024-09-07 08:48:41:497,56841,0.3,56504,0.4,112514,0.2,150282,1.50 48,1,2024-09-07 08:48:41:027,391887,391887,0,0,183424736860,1907708190,388928,2660,299,384,391710,0 48,2,2024-09-07 08:48:40:739,281557,281557,0,0,10879958,0,3031 48,3,2024-09-07 08:48:40:755,1,222,1,0,339,1993,222,0 49,0,2024-09-07 08:48:41:715,55315,0.4,54306,0.6,105282,0.4,144235,1.75 49,1,2024-09-07 08:48:41:020,389514,389514,0,0,182902517408,1909590079,385723,2610,1181,382,391610,0 49,2,2024-09-07 08:48:41:821,285277,285277,0,0,11437111,0,3900 49,3,2024-09-07 08:48:41:416,1,222,1,0,408,2638,222,0 50,0,2024-09-07 08:48:41:510,53836,0.3,53254,0.5,107073,0.2,143485,1.75 50,1,2024-09-07 08:48:41:010,392280,392280,0,0,183116694400,1897127183,389575,2395,310,368,391530,0 50,2,2024-09-07 08:48:41:097,279221,279221,0,0,10566796,0,2263 50,3,2024-09-07 08:48:41:291,1,222,13,0,335,1978,222,0 51,0,2024-09-07 08:48:41:692,56893,0.3,55690,0.5,108602,0.2,147895,1.75 51,1,2024-09-07 08:48:41:685,391610,391610,0,0,184022045307,1902578309,388869,1802,939,365,391706,0 51,2,2024-09-07 08:48:41:316,279004,279004,0,0,9637221,0,3337 51,3,2024-09-07 08:48:41:027,1,222,15,0,678,1963,222,0 52,0,2024-09-07 08:48:41:414,57941,0.5,57615,0.7,114937,0.4,152488,2.00 52,1,2024-09-07 08:48:40:580,389778,389778,0,0,181933324623,1913727937,382869,5706,1203,368,391722,0 52,2,2024-09-07 08:48:41:762,278986,278948,38,0,14002208,0,6742 52,3,2024-09-07 08:48:40:679,1,222,1,0,1782,4150,222,0 53,0,2024-09-07 08:48:41:741,55162,0.8,53676,0.9,112215,0.9,146701,2.50 53,1,2024-09-07 08:48:40:778,389146,389146,0,0,182774967975,1926560349,381827,4963,2356,367,391702,0 53,2,2024-09-07 08:48:41:299,283579,283579,0,0,11895417,0,2727 53,3,2024-09-07 08:48:40:704,1,222,2,0,271,2152,222,0 54,0,2024-09-07 08:48:41:623,51533,1.3,51965,1.0,102980,0.8,138534,3.25 54,1,2024-09-07 08:48:40:586,390329,390329,0,0,183283890981,1912246935,385595,4137,597,367,391659,0 54,2,2024-09-07 08:48:40:865,280404,280398,6,0,13162008,0,5382 54,3,2024-09-07 08:48:40:763,1,222,8,0,676,3616,222,0 55,0,2024-09-07 08:48:41:764,54907,0.7,56900,0.9,114447,0.7,148913,2.50 55,1,2024-09-07 08:48:40:765,389497,389497,0,0,182330087717,1904316877,383966,4744,787,365,391731,0 55,2,2024-09-07 08:48:40:755,277463,277463,0,0,13163420,0,3275 55,3,2024-09-07 08:48:40:679,1,222,0,0,304,2715,222,0 56,0,2024-09-07 08:48:41:556,58956,1.1,55589,1.1,114413,1.5,153321,2.50 56,1,2024-09-07 08:48:40:579,388281,388281,0,0,182221518991,1933507740,381149,5694,1438,381,391678,0 56,2,2024-09-07 08:48:41:303,279909,279909,0,0,14081505,0,3567 56,3,2024-09-07 08:48:41:064,1,222,6,0,705,3473,222,0 57,0,2024-09-07 08:48:40:968,55857,1.8,56024,1.3,112014,2.4,150112,3.25 57,1,2024-09-07 08:48:40:986,389764,389764,0,0,182334867952,1915469999,384680,4430,654,367,391960,0 57,2,2024-09-07 08:48:41:320,282346,282346,0,0,15197045,0,3317 57,3,2024-09-07 08:48:41:743,1,222,3,0,359,3184,222,0 58,0,2024-09-07 08:48:40:559,51319,1.2,50094,1.1,104681,1.6,137343,2.75 58,1,2024-09-07 08:48:40:575,390192,390189,0,3,183398209084,1920563757,384670,4824,695,367,391545,3 58,2,2024-09-07 08:48:41:071,283034,283034,0,0,13755956,0,2549 58,3,2024-09-07 08:48:41:069,1,222,12,0,1043,2745,222,0 59,0,2024-09-07 08:48:41:754,56831,0.7,56824,0.9,113384,0.7,151183,3.00 59,1,2024-09-07 08:48:40:829,389646,389646,0,0,182670258197,1916874102,384007,4374,1265,369,391525,0 59,2,2024-09-07 08:48:40:587,279348,279348,0,0,12284794,0,2604 59,3,2024-09-07 08:48:41:738,1,222,1,0,1015,3391,222,0 60,0,2024-09-07 08:48:41:706,56560,0.4,56270,0.6,113328,0.4,150704,1.75 60,1,2024-09-07 08:48:40:782,391853,391853,0,0,183511533705,1904968691,389480,1856,517,370,392031,0 60,2,2024-09-07 08:48:41:142,279510,279510,0,0,12739966,0,3811 60,3,2024-09-07 08:48:41:258,1,222,0,0,124,2151,222,0 61,0,2024-09-07 08:48:41:490,56783,0.8,57031,0.8,113547,0.8,151449,2.00 61,1,2024-09-07 08:48:40:777,390089,390089,0,0,182815609377,1916668371,385523,3864,702,382,391880,0 61,2,2024-09-07 08:48:41:128,281850,281850,0,0,11523464,0,2090 61,3,2024-09-07 08:48:41:696,1,222,0,0,397,3242,222,0 62,0,2024-09-07 08:48:41:713,54005,0.9,55090,0.9,104827,1.0,142905,2.00 62,1,2024-09-07 08:48:41:114,392863,392857,0,6,183833733850,1898215523,390473,2268,116,365,391715,6 62,2,2024-09-07 08:48:41:644,283018,283017,1,0,13092997,0,5555 62,3,2024-09-07 08:48:41:150,1,222,11,0,287,1651,222,0 63,0,2024-09-07 08:48:41:458,55092,0.5,55239,0.6,110291,0.4,147080,1.75 63,1,2024-09-07 08:48:40:810,392323,392317,0,6,183255108344,1899838924,390751,1516,50,381,391677,6 63,2,2024-09-07 08:48:40:765,278547,278547,0,0,10630791,0,2674 63,3,2024-09-07 08:48:41:732,1,222,1,0,667,2799,222,0 64,0,2024-09-07 08:48:41:531,54673,0.5,54876,0.6,109870,0.4,146064,1.75 64,1,2024-09-07 08:48:40:755,390768,390768,0,0,183035280317,1913550960,386293,3061,1414,370,391783,0 64,2,2024-09-07 08:48:41:141,281306,281287,19,0,11727935,0,6121 64,3,2024-09-07 08:48:41:139,1,222,15,0,265,2432,222,0 65,0,2024-09-07 08:48:41:678,56123,0.6,56553,0.7,112847,0.7,149850,2.25 65,1,2024-09-07 08:48:40:865,389583,389583,0,0,182006390588,1908174053,386039,3101,443,382,391901,0 65,2,2024-09-07 08:48:41:707,280802,280802,0,0,12358036,0,3367 65,3,2024-09-07 08:48:41:693,1,222,1,0,163,2213,222,0 66,0,2024-09-07 08:48:41:764,54289,0.5,54345,0.8,109125,0.5,144596,2.25 66,1,2024-09-07 08:48:41:293,391573,391573,0,0,183608638755,1908633957,389188,2204,181,380,391588,0 66,2,2024-09-07 08:48:41:136,286119,286119,0,0,12205675,0,4956 66,3,2024-09-07 08:48:41:079,1,222,22,0,291,2530,222,0 67,0,2024-09-07 08:48:41:424,52685,0.8,52228,0.8,105033,0.9,140282,2.25 67,1,2024-09-07 08:48:40:771,390573,390572,0,1,183068181429,1912779474,386791,3034,747,380,391787,1 67,2,2024-09-07 08:48:40:590,280956,280956,0,0,11058088,0,2889 67,3,2024-09-07 08:48:41:759,1,222,1,0,338,1990,222,0 68,0,2024-09-07 08:48:40:564,56252,0.7,56196,0.8,111745,0.7,149452,2.00 68,1,2024-09-07 08:48:40:569,389630,389630,0,0,181913504629,1911700501,385661,2831,1138,381,391953,0 68,2,2024-09-07 08:48:41:047,277928,277863,65,0,14964461,0,6698 68,3,2024-09-07 08:48:40:742,1,222,3,0,417,2708,222,0 69,0,2024-09-07 08:48:41:722,57568,0.7,57869,0.9,115239,0.9,153281,2.25 69,1,2024-09-07 08:48:41:044,388502,388502,0,0,182286166904,1929059839,382895,4206,1401,384,391994,0 69,2,2024-09-07 08:48:41:743,280187,280187,0,0,14475559,0,3722 69,3,2024-09-07 08:48:40:764,1,222,4,0,698,3512,222,0 70,0,2024-09-07 08:48:41:540,56378,1.1,56337,1.1,113131,0.8,150634,2.50 70,1,2024-09-07 08:48:40:810,390452,390452,0,0,183249032105,1907304142,386797,3127,528,366,391725,0 70,2,2024-09-07 08:48:41:338,282267,282267,0,0,13613507,0,4044 70,3,2024-09-07 08:48:40:750,1,222,21,0,854,2770,222,0 71,0,2024-09-07 08:48:41:359,51572,1.7,51477,1.4,103115,2.4,138947,3.25 71,1,2024-09-07 08:48:41:616,389976,389976,0,0,183115045355,1915423625,384857,4498,621,368,391738,0 71,2,2024-09-07 08:48:41:068,282307,282307,0,0,13697157,0,4042 71,3,2024-09-07 08:48:41:756,1,222,183,0,644,3554,222,0 72,0,2024-09-07 08:48:41:054,59712,0.9,58415,0.9,113953,1.1,154985,2.25 72,1,2024-09-07 08:48:41:020,390429,390429,0,0,182047523009,1903852833,385924,3729,776,369,391819,0 72,2,2024-09-07 08:48:41:761,276981,276981,0,0,14913115,0,3983 72,3,2024-09-07 08:48:41:766,1,222,564,0,564,4279,222,0 73,0,2024-09-07 08:48:41:129,55995,0.4,57273,0.6,117447,0.4,152589,2.00 73,1,2024-09-07 08:48:40:766,390688,390688,0,0,182342616001,1898517764,387701,2755,232,367,391750,0 73,2,2024-09-07 08:48:41:744,279983,279983,0,0,14368909,0,3701 73,3,2024-09-07 08:48:40:972,1,222,35,0,274,3533,222,0 74,0,2024-09-07 08:48:41:325,57577,0.5,58781,0.7,112062,0.5,152005,2.25 74,1,2024-09-07 08:48:40:642,390236,390236,0,0,182470565699,1909074557,386031,3409,796,381,391681,0 74,2,2024-09-07 08:48:41:002,281557,281557,0,0,14083136,0,4253 74,3,2024-09-07 08:48:41:447,1,222,8,0,522,3266,222,0 75,0,2024-09-07 08:48:41:782,52856,0.7,52576,1.0,105452,0.7,141662,2.50 75,1,2024-09-07 08:48:41:585,389666,389666,0,0,182289395563,1909900707,384972,4031,663,380,391660,0 75,2,2024-09-07 08:48:41:349,283041,283041,0,0,14660622,0,4766 75,3,2024-09-07 08:48:41:073,1,222,394,0,702,3465,222,0 76,0,2024-09-07 08:48:40:594,55707,0.5,55309,0.7,110618,0.5,148211,2.25 76,1,2024-09-07 08:48:40:814,390821,390821,0,0,182883657861,1909200387,388011,2262,548,382,391692,0 76,2,2024-09-07 08:48:41:071,279392,279392,0,0,11550638,0,3784 76,3,2024-09-07 08:48:41:142,1,222,4,0,175,2411,222,0 77,0,2024-09-07 08:48:41:692,55777,0.5,56014,0.8,111830,0.5,148645,2.00 77,1,2024-09-07 08:48:40:832,390872,390872,0,0,182587506031,1908630825,387747,2870,255,383,391808,0 77,2,2024-09-07 08:48:41:291,278703,278703,0,0,12014011,0,3890 77,3,2024-09-07 08:48:41:097,1,222,2,0,305,2327,222,0 78,0,2024-09-07 08:48:41:722,56612,0.4,56007,0.7,113096,0.4,149561,2.00 78,1,2024-09-07 08:48:40:614,390687,390687,0,0,182015242816,1892195964,387735,2636,316,367,391670,0 78,2,2024-09-07 08:48:41:420,281646,281646,0,0,11084891,0,3855 78,3,2024-09-07 08:48:41:133,1,222,57,0,181,2323,222,0 79,0,2024-09-07 08:48:41:355,52106,0.5,53427,0.7,109313,0.4,142039,2.50 79,1,2024-09-07 08:48:40:583,392091,392091,0,0,183475485929,1900796085,389260,2495,336,369,391682,0 79,2,2024-09-07 08:48:41:081,285345,285345,0,0,10936690,0,3212 79,3,2024-09-07 08:48:40:754,1,222,25,0,418,3536,222,0 80,0,2024-09-07 08:48:41:088,53543,0.6,55044,0.8,105455,0.6,143289,2.25 80,1,2024-09-07 08:48:41:622,390012,390012,0,0,183226139309,1909803632,387051,2793,168,368,391791,0 80,2,2024-09-07 08:48:41:112,279728,279728,0,0,11432256,0,4433 80,3,2024-09-07 08:48:40:578,1,222,23,0,190,3227,222,0 81,0,2024-09-07 08:48:41:547,55457,0.5,56761,0.7,109009,0.5,146990,2.00 81,1,2024-09-07 08:48:41:656,390004,390004,0,0,181809728349,1903634887,386426,3132,446,382,391879,0 81,2,2024-09-07 08:48:41:125,278735,278735,0,0,11699424,0,3993 81,3,2024-09-07 08:48:41:118,1,222,0,0,374,2644,222,0 82,0,2024-09-07 08:48:41:533,56959,0.4,57466,0.6,115011,0.4,152584,2.00 82,1,2024-09-07 08:48:40:610,390800,390796,0,4,183589065304,1915488794,387579,2606,611,381,391558,4 82,2,2024-09-07 08:48:41:714,281101,281101,0,0,11240011,0,3986 82,3,2024-09-07 08:48:41:758,1,222,38,0,363,2742,222,0 83,0,2024-09-07 08:48:41:526,55637,0.6,55718,0.7,110705,0.6,147039,2.00 83,1,2024-09-07 08:48:40:550,390167,390167,0,0,182755661961,1908682393,387028,2896,243,382,391690,0 83,2,2024-09-07 08:48:40:769,282970,282970,0,0,11216225,0,3119 83,3,2024-09-07 08:48:40:753,1,222,1,0,250,2375,222,0 84,0,2024-09-07 08:48:41:791,52022,0.9,52113,1.0,104156,0.8,139936,2.50 84,1,2024-09-07 08:48:41:040,389279,389279,0,0,182786427673,1916675106,384555,4150,574,368,391967,0 84,2,2024-09-07 08:48:40:581,280756,280756,0,0,13331130,0,3801 84,3,2024-09-07 08:48:41:141,1,222,3,0,908,3801,222,0 85,0,2024-09-07 08:48:41:003,55019,0.9,54954,1.0,116591,1.0,150442,2.50 85,1,2024-09-07 08:48:40:570,388583,388583,0,0,181746771158,1927220509,381766,5712,1105,382,392006,0 85,2,2024-09-07 08:48:40:865,279378,279378,0,0,13902788,0,3656 85,3,2024-09-07 08:48:40:685,1,222,81,0,789,3115,222,0 86,0,2024-09-07 08:48:40:883,57745,0.6,59266,0.8,113641,0.6,153273,2.00 86,1,2024-09-07 08:48:40:832,390269,390269,0,0,182951781460,1918145892,385301,4245,723,366,391961,0 86,2,2024-09-07 08:48:40:859,278756,278755,1,0,14691520,0,5004 86,3,2024-09-07 08:48:40:586,1,222,12,0,286,3095,222,0 87,0,2024-09-07 08:48:41:283,56694,1.1,56263,1.0,113148,1.5,151638,2.25 87,1,2024-09-07 08:48:40:553,390160,390160,0,0,182315621092,1911812617,385710,3970,480,366,391788,0 87,2,2024-09-07 08:48:41:069,280919,280919,0,0,13196524,0,4045 87,3,2024-09-07 08:48:41:805,1,222,1,0,473,3712,222,0 88,0,2024-09-07 08:48:41:478,52594,0.6,52867,0.7,105494,0.6,140334,2.00 88,1,2024-09-07 08:48:40:580,388527,388527,0,0,182206311171,1917893341,382366,4649,1512,365,392084,0 88,2,2024-09-07 08:48:40:751,282756,282756,0,0,15121505,0,3583 88,3,2024-09-07 08:48:41:269,1,222,4,0,435,2897,222,0 89,0,2024-09-07 08:48:41:793,58752,0.4,56792,0.7,112762,0.4,153277,1.75 89,1,2024-09-07 08:48:40:551,388204,388204,0,0,182507766333,1930297010,381592,5439,1173,382,391866,0 89,2,2024-09-07 08:48:41:139,277540,277540,0,0,13794107,0,2910 89,3,2024-09-07 08:48:41:797,1,222,13,0,325,4562,222,0 90,0,2024-09-07 08:48:41:636,54850,0.5,56012,0.6,114931,0.4,149741,1.75 90,1,2024-09-07 08:48:40:597,390296,390296,0,0,182734743826,1918563093,386267,3752,277,381,391825,0 90,2,2024-09-07 08:48:41:409,277998,277998,0,0,15155821,0,3060 90,3,2024-09-07 08:48:40:939,1,222,25,0,200,2472,222,0 91,0,2024-09-07 08:48:40:929,57210,0.4,55282,0.6,115375,0.4,151625,1.75 91,1,2024-09-07 08:48:40:567,389454,389454,0,0,182727795433,1925629278,383790,4985,679,381,392047,0 91,2,2024-09-07 08:48:41:344,280770,280770,0,0,13835137,0,2896 91,3,2024-09-07 08:48:40:602,1,222,1,0,216,2303,222,0 92,0,2024-09-07 08:48:41:487,54015,0.6,55392,0.7,106008,0.6,143205,1.75 92,1,2024-09-07 08:48:40:581,390075,390075,0,0,182998299171,1909470024,387747,1922,406,382,392136,0 92,2,2024-09-07 08:48:41:360,285635,285635,0,0,11616782,0,2801 92,3,2024-09-07 08:48:41:017,1,222,14,0,167,1861,222,0 93,0,2024-09-07 08:48:40:961,55455,0.4,56741,0.6,108474,0.4,147658,1.75 93,1,2024-09-07 08:48:40:813,390876,390876,0,0,182599557045,1907234922,386884,3315,677,366,391692,0 93,2,2024-09-07 08:48:40:933,279245,279245,0,0,11775146,0,2509 93,3,2024-09-07 08:48:41:409,1,222,1,0,190,2407,222,0 94,0,2024-09-07 08:48:41:632,55082,0.4,55737,0.6,110850,0.3,146936,1.75 94,1,2024-09-07 08:48:40:584,390055,390055,0,0,182837085694,1912188851,386890,2989,176,381,391850,0 94,2,2024-09-07 08:48:40:780,278650,278650,0,0,11023273,0,2443 94,3,2024-09-07 08:48:41:707,1,222,8,0,264,3101,222,0 95,0,2024-09-07 08:48:41:359,56972,0.3,56497,0.5,114207,0.3,150830,1.75 95,1,2024-09-07 08:48:40:853,390926,390926,0,0,183373011781,1908478059,387604,2971,351,367,391662,0 95,2,2024-09-07 08:48:41:020,280425,280425,0,0,11770816,0,3308 95,3,2024-09-07 08:48:41:723,1,222,1,0,718,4302,222,0 96,0,2024-09-07 08:48:41:020,54882,0.5,55102,0.6,109921,0.4,145178,1.75 96,1,2024-09-07 08:48:41:585,389568,389568,0,0,182334326545,1907997190,386011,2828,729,384,391894,0 96,2,2024-09-07 08:48:41:291,283826,283826,0,0,12391349,0,4042 96,3,2024-09-07 08:48:41:141,1,222,1,0,411,2643,222,0 97,0,2024-09-07 08:48:41:315,52528,0.4,52372,0.5,105159,0.3,140362,1.75 97,1,2024-09-07 08:48:40:765,390910,390910,0,0,183279388126,1903860231,387929,2428,553,367,392140,0 97,2,2024-09-07 08:48:40:609,279803,279803,0,0,11421509,0,3036 97,3,2024-09-07 08:48:40:588,1,222,1,0,165,2578,222,0 98,0,2024-09-07 08:48:41:715,56185,0.3,56271,0.5,113022,0.3,149660,1.50 98,1,2024-09-07 08:48:40:570,390882,390882,0,0,183322613517,1910163218,388763,2024,95,382,391997,0 98,2,2024-09-07 08:48:40:775,279517,279517,0,0,11575498,0,3080 98,3,2024-09-07 08:48:40:753,1,222,10,0,840,3951,222,0 99,0,2024-09-07 08:48:41:455,57932,0.3,58060,0.4,115903,0.3,154376,1.50 99,1,2024-09-07 08:48:41:724,390986,390986,0,0,182330240237,1905014855,387211,3036,739,381,391744,0 99,2,2024-09-07 08:48:41:418,282818,282818,0,0,12161475,0,3106 99,3,2024-09-07 08:48:40:585,1,222,1,0,168,1848,222,0 100,0,2024-09-07 08:48:41:473,56702,0.8,56705,1.1,113181,1.0,151155,2.25 100,1,2024-09-07 08:48:40:550,387992,387992,0,0,181117977877,1922568301,381162,5513,1317,381,391989,0 100,2,2024-09-07 08:48:41:830,280887,280876,11,0,13964183,0,5417 100,3,2024-09-07 08:48:41:735,1,222,3,0,559,4716,222,0 101,0,2024-09-07 08:48:41:718,53033,2.5,51743,1.5,101495,3.1,140183,3.00 101,1,2024-09-07 08:48:40:573,388646,388646,0,0,181921025002,1924713884,381324,5551,1771,368,391769,0 101,2,2024-09-07 08:48:41:760,281064,281064,0,0,15265868,0,4644 101,3,2024-09-07 08:48:40:946,1,222,0,0,448,2999,222,0 102,0,2024-09-07 08:48:40:960,56449,0.8,58626,0.9,117718,0.9,154297,2.25 102,1,2024-09-07 08:48:41:142,389029,389029,0,0,181870338402,1917181698,383388,4802,839,369,391883,0 102,2,2024-09-07 08:48:41:741,278878,278824,54,0,13678619,0,6768 102,3,2024-09-07 08:48:41:615,1,222,8,0,410,2455,222,0 103,0,2024-09-07 08:48:41:601,59092,0.5,59139,0.7,111461,0.4,153699,2.00 103,1,2024-09-07 08:48:41:639,388378,388378,0,0,181460928520,1919070937,382096,4902,1380,381,391829,0 103,2,2024-09-07 08:48:40:595,278195,278195,0,0,12961743,0,2582 103,3,2024-09-07 08:48:40:764,1,222,1,0,916,3468,222,0 104,0,2024-09-07 08:48:41:011,56551,0.9,56970,1.0,112573,0.9,152051,2.25 104,1,2024-09-07 08:48:41:599,389901,389901,0,0,181646975904,1916581685,383375,5436,1090,365,391948,0 104,2,2024-09-07 08:48:41:675,280445,280445,0,0,14518084,0,3941 104,3,2024-09-07 08:48:41:419,1,222,1,0,1245,6106,222,0 105,0,2024-09-07 08:48:41:027,52177,1.2,50680,1.3,106014,1.9,139956,3.50 105,1,2024-09-07 08:48:40:567,389906,389906,0,0,182556824945,1919612074,384239,4804,863,367,391797,0 105,2,2024-09-07 08:48:41:334,283329,283329,0,0,14163880,0,3509 105,3,2024-09-07 08:48:41:309,1,222,2,0,399,4114,222,0 106,0,2024-09-07 08:48:40:935,54035,0.7,55323,0.9,113223,0.8,148234,2.50 106,1,2024-09-07 08:48:41:760,389271,389271,0,0,181350539574,1910969658,382589,5976,706,369,391767,0 106,2,2024-09-07 08:48:40:767,276414,276414,0,0,13108851,0,2795 106,3,2024-09-07 08:48:40:685,1,222,8,0,470,3388,222,0 107,0,2024-09-07 08:48:41:101,55777,0.9,55680,0.9,110941,1.0,148259,2.00 107,1,2024-09-07 08:48:40:587,388664,388664,0,0,182228322782,1921785242,383593,4531,540,381,392234,0 107,2,2024-09-07 08:48:41:291,278325,278324,1,0,13917219,0,5024 107,3,2024-09-07 08:48:41:758,1,222,1,0,353,3289,222,0 108,0,2024-09-07 08:48:41:800,56549,0.4,56811,0.6,112564,0.4,150193,1.75 108,1,2024-09-07 08:48:41:296,390314,390314,0,0,182590770913,1905149603,387145,2785,384,368,391857,0 108,2,2024-09-07 08:48:41:761,280304,280304,0,0,12574373,0,2647 108,3,2024-09-07 08:48:41:330,1,222,1,0,749,4740,222,0 109,0,2024-09-07 08:48:41:774,54166,0.4,53599,0.6,107538,0.4,144137,1.75 109,1,2024-09-07 08:48:40:588,389410,389410,0,0,182557458320,1916351069,385872,3025,513,383,392132,0 109,2,2024-09-07 08:48:40:935,283441,283441,0,0,13078862,0,3617 109,3,2024-09-07 08:48:41:140,1,222,2,0,249,2872,222,0 110,0,2024-09-07 08:48:41:754,53682,0.4,52297,0.6,109402,0.4,143877,1.75 110,1,2024-09-07 08:48:41:652,390561,390561,0,0,183996928781,1911670046,387742,2050,769,370,392045,0 110,2,2024-09-07 08:48:41:303,279362,279362,0,0,11965741,0,3264 110,3,2024-09-07 08:48:40:696,1,222,17,0,406,3034,222,0 111,0,2024-09-07 08:48:41:423,56003,0.4,55472,0.5,110861,0.3,148295,1.75 111,1,2024-09-07 08:48:41:004,391382,391382,0,0,183390872621,1903039145,389427,1620,335,382,391690,0 111,2,2024-09-07 08:48:41:116,278825,278825,0,0,11743630,0,2763 111,3,2024-09-07 08:48:40:921,1,222,1,0,379,3179,222,0 112,0,2024-09-07 08:48:40:939,57562,0.3,57559,0.4,115037,0.2,152665,1.50 112,1,2024-09-07 08:48:40:828,391487,391487,0,0,182694869305,1897686790,389072,1987,428,380,391580,0 112,2,2024-09-07 08:48:41:134,280543,280542,1,0,12037740,0,5036 112,3,2024-09-07 08:48:40:601,1,222,1,0,282,2444,222,0 113,0,2024-09-07 08:48:40:877,55517,0.3,55428,0.5,111479,0.3,148292,1.75 113,1,2024-09-07 08:48:41:709,391743,391743,0,0,184253421407,1903996425,389254,2000,489,366,391661,0 113,2,2024-09-07 08:48:41:305,284619,284619,0,0,11131617,0,3813 113,3,2024-09-07 08:48:40:693,1,222,1,0,340,3543,222,0 114,0,2024-09-07 08:48:40:874,52880,0.5,53418,0.6,105799,0.3,141723,1.75 114,1,2024-09-07 08:48:40:718,390575,390575,0,0,183041671742,1911448481,385772,3215,1588,381,391556,0 114,2,2024-09-07 08:48:40:873,281010,281009,1,0,12135139,0,5069 114,3,2024-09-07 08:48:41:278,1,222,8,0,395,2576,222,0 115,0,2024-09-07 08:48:40:566,57053,0.3,57373,0.5,114790,0.3,152193,1.75 115,1,2024-09-07 08:48:40:574,390442,390442,0,0,183850360901,1916128096,386101,3507,834,382,391602,0 115,2,2024-09-07 08:48:41:126,279618,279618,0,0,10290753,0,2152 115,3,2024-09-07 08:48:41:002,1,222,2,0,159,1310,222,0 116,0,2024-09-07 08:48:41:748,57643,0.7,57320,0.9,114978,0.7,153903,2.00 116,1,2024-09-07 08:48:40:814,388047,388047,0,0,181542595888,1928601516,381666,4329,2052,380,391782,0 116,2,2024-09-07 08:48:41:769,279073,279073,0,0,15054820,0,3529 116,3,2024-09-07 08:48:40:915,1,222,1,0,415,3456,222,0 117,0,2024-09-07 08:48:40:965,56631,0.9,56589,0.9,113062,1.1,151745,2.00 117,1,2024-09-07 08:48:41:591,389629,389629,0,0,182227391046,1911837532,385090,4060,479,370,392033,0 117,2,2024-09-07 08:48:41:119,283299,283299,0,0,12650692,0,3700 117,3,2024-09-07 08:48:41:063,1,222,1,0,490,3882,222,0 118,0,2024-09-07 08:48:41:775,51023,0.8,52568,0.8,106836,0.8,139949,2.25 118,1,2024-09-07 08:48:40:590,389481,389481,0,0,182018470785,1920046095,383166,4884,1431,366,391736,0 118,2,2024-09-07 08:48:41:608,282318,282318,0,0,13580583,0,2781 118,3,2024-09-07 08:48:41:776,1,222,12,0,235,2716,222,0 119,0,2024-09-07 08:48:41:335,56626,0.9,57041,0.9,114313,1.0,152137,2.25 119,1,2024-09-07 08:48:40:554,390247,390247,0,0,183176844248,1918244678,385606,4119,522,368,391641,0 119,2,2024-09-07 08:48:41:263,278350,278350,0,0,12594206,0,4174 119,3,2024-09-07 08:48:41:332,1,222,1,0,443,3403,222,0 120,0,2024-09-07 08:48:41:546,56096,0.6,56031,0.8,112425,0.5,150243,2.00 120,1,2024-09-07 08:48:40:858,389519,389519,0,0,182871023405,1923603217,384857,4313,349,368,391961,0 120,2,2024-09-07 08:48:40:776,278267,278266,1,0,15082378,0,5281 120,3,2024-09-07 08:48:41:299,1,222,15,0,241,3106,222,0 121,0,2024-09-07 08:48:41:701,56609,1.1,56938,1.0,113634,1.5,151230,2.25 121,1,2024-09-07 08:48:41:655,389924,389924,0,0,182167620698,1910419748,385613,3897,414,367,391840,0 121,2,2024-09-07 08:48:41:125,279857,279857,0,0,14650659,0,4127 121,3,2024-09-07 08:48:40:750,1,222,11,0,269,2747,222,0 122,0,2024-09-07 08:48:41:807,53313,1.0,51930,1.0,108869,1.1,143026,2.25 122,1,2024-09-07 08:48:40:860,389001,389001,0,0,182151690689,1918544161,382950,5237,814,366,392130,0 122,2,2024-09-07 08:48:41:323,283438,283365,73,0,17143652,0,5989 122,3,2024-09-07 08:48:40:607,1,222,20,0,411,4824,222,0 123,0,2024-09-07 08:48:40:956,54916,1.0,53631,0.9,111624,1.1,146510,2.25 123,1,2024-09-07 08:48:40:559,389284,389284,0,0,182149490991,1928758587,380648,6921,1715,369,391823,0 123,2,2024-09-07 08:48:41:020,276452,276451,1,0,13730301,0,5215 123,3,2024-09-07 08:48:41:133,1,222,15,0,168,2776,222,0 124,0,2024-09-07 08:48:40:931,57129,0.3,57109,0.5,107720,0.3,147554,1.75 124,1,2024-09-07 08:48:41:025,391405,391405,0,0,182500202914,1898809197,388585,2458,362,367,392178,0 124,2,2024-09-07 08:48:41:011,279242,279242,0,0,11096864,0,3101 124,3,2024-09-07 08:48:40:763,1,222,15,0,490,2680,222,0 125,0,2024-09-07 08:48:41:443,56787,0.4,56661,0.5,113824,0.3,150858,1.75 125,1,2024-09-07 08:48:40:854,390047,390047,0,0,183144559785,1911067421,387461,2307,279,383,391702,0 125,2,2024-09-07 08:48:41:116,281410,281410,0,0,12128560,0,4534 125,3,2024-09-07 08:48:41:132,1,222,1,0,709,3411,222,0 126,0,2024-09-07 08:48:41:418,55019,0.6,56414,0.7,107857,0.6,145989,1.75 126,1,2024-09-07 08:48:40:560,391368,391368,0,0,183345748169,1902832493,388700,2407,261,365,391987,0 126,2,2024-09-07 08:48:40:614,285684,285684,0,0,12266882,0,3186 126,3,2024-09-07 08:48:40:907,1,222,8,0,183,3166,222,0 127,0,2024-09-07 08:48:41:595,52476,0.4,52826,0.5,105032,0.3,140490,1.75 127,1,2024-09-07 08:48:40:576,390755,390755,0,0,183450992697,1903102016,387877,2725,153,365,391816,0 127,2,2024-09-07 08:48:40:641,280030,280030,0,0,11123443,0,2264 127,3,2024-09-07 08:48:41:266,1,222,0,0,243,1854,222,0 128,0,2024-09-07 08:48:41:576,56696,0.3,56657,0.5,112975,0.3,150000,1.50 128,1,2024-09-07 08:48:41:611,390805,390805,0,0,183203005001,1902184636,388691,1958,156,367,391798,0 128,2,2024-09-07 08:48:41:390,278861,278861,0,0,10956324,0,2434 128,3,2024-09-07 08:48:40:768,1,222,1,0,1082,5152,222,0 129,0,2024-09-07 08:48:41:032,58347,0.3,58032,0.4,116034,0.2,153971,1.50 129,1,2024-09-07 08:48:40:570,388749,388749,0,0,181645753914,1908066704,384630,3192,927,379,391835,0 129,2,2024-09-07 08:48:40:685,281120,281120,0,0,11882140,0,4031 129,3,2024-09-07 08:48:40:695,1,222,0,0,469,3059,222,0 130,0,2024-09-07 08:48:41:759,57160,0.6,57009,0.7,114146,0.6,152084,1.75 130,1,2024-09-07 08:48:40:586,390659,390659,0,0,183220914695,1908284870,388284,2298,77,381,391825,0 130,2,2024-09-07 08:48:41:126,283902,283902,0,0,11688039,0,4067 130,3,2024-09-07 08:48:41:292,1,222,8,0,450,3022,222,0 131,0,2024-09-07 08:48:41:936,52245,0.4,52604,0.6,105767,0.4,140309,1.75 131,1,2024-09-07 08:48:41:827,391070,391070,0,0,182480477616,1905354969,388040,2574,456,381,391865,0 131,2,2024-09-07 08:48:40:579,283777,283777,0,0,10727072,0,2415 131,3,2024-09-07 08:48:41:701,1,222,2,0,392,2358,222,0 132,0,2024-09-07 08:48:41:417,57736,0.5,58294,0.7,116580,0.5,154826,2.00 132,1,2024-09-07 08:48:40:578,388507,388507,0,0,181357735626,1919747964,381511,5744,1252,381,392097,0 132,2,2024-09-07 08:48:40:701,278502,278502,0,0,14311138,0,4606 132,3,2024-09-07 08:48:41:706,1,222,1,0,356,3417,222,0 133,0,2024-09-07 08:48:41:535,55989,0.4,57313,0.6,117349,0.4,152551,1.75 133,1,2024-09-07 08:48:40:597,388310,388310,0,0,182325510824,1924474478,382849,4796,665,383,391914,0 133,2,2024-09-07 08:48:41:090,278489,278489,0,0,15469995,0,4315 133,3,2024-09-07 08:48:41:297,1,222,1,0,276,2380,222,0 134,0,2024-09-07 08:48:40:943,56971,0.5,56867,0.7,114317,0.5,152038,2.00 134,1,2024-09-07 08:48:40:585,388875,388875,0,0,182120697328,1919156035,382451,4956,1468,366,391718,0 134,2,2024-09-07 08:48:41:767,280842,280842,0,0,13072876,0,3847 134,3,2024-09-07 08:48:40:753,1,222,8,0,739,3564,222,0 135,0,2024-09-07 08:48:41:121,51086,1.1,51108,1.1,108259,1.3,140178,2.25 135,1,2024-09-07 08:48:41:586,388222,388222,0,0,182679966070,1927795422,382043,5184,995,380,391805,0 135,2,2024-09-07 08:48:40:701,283679,283679,0,0,14484626,0,3981 135,3,2024-09-07 08:48:41:009,1,222,10,0,299,1595,222,0 136,0,2024-09-07 08:48:41:689,56288,0.6,56245,0.7,112446,0.5,149800,2.25 136,1,2024-09-07 08:48:41:451,388812,388812,0,0,182151511612,1918551433,383367,4861,584,382,391685,0 136,2,2024-09-07 08:48:41:134,278580,278580,0,0,13459052,0,3506 136,3,2024-09-07 08:48:41:106,1,222,1,0,126,1821,222,0 137,0,2024-09-07 08:48:40:931,57361,0.6,55700,0.8,110033,0.7,149453,2.00 137,1,2024-09-07 08:48:40:594,388921,388921,0,0,182418359761,1919664208,382230,5310,1381,366,391708,0 137,2,2024-09-07 08:48:41:716,278126,278126,0,0,15258055,0,3185 137,3,2024-09-07 08:48:40:769,1,222,1,0,382,2825,222,0 138,0,2024-09-07 08:48:41:753,55997,0.9,56083,0.9,112593,1.1,149838,2.25 138,1,2024-09-07 08:48:41:685,389184,389184,0,0,182900824463,1925384371,382598,5487,1099,368,391954,0 138,2,2024-09-07 08:48:40:591,281069,281069,0,0,13912198,0,4988 138,3,2024-09-07 08:48:40:614,1,222,8,0,1160,3773,222,0 139,0,2024-09-07 08:48:41:367,52895,2.4,52924,1.5,106718,3.5,142531,2.75 139,1,2024-09-07 08:48:40:581,387629,387629,0,0,181496290675,1933625731,379589,5976,2064,381,391892,0 139,2,2024-09-07 08:48:40:705,282444,282444,0,0,14593148,0,3097 139,3,2024-09-07 08:48:41:677,1,222,46,0,244,2432,222,0 140,0,2024-09-07 08:48:41:595,54150,0.3,53637,0.5,107944,0.3,144103,1.75 140,1,2024-09-07 08:48:41:536,391527,391527,0,0,184471584041,1902114383,389745,1542,240,365,391606,0 140,2,2024-09-07 08:48:40:687,279751,279751,0,0,11308778,0,3388 140,3,2024-09-07 08:48:40:775,1,222,3,0,247,2023,222,0 141,0,2024-09-07 08:48:41:714,55821,0.3,57297,0.5,109398,0.2,148341,1.50 141,1,2024-09-07 08:48:40:860,391380,391380,0,0,183610656875,1906083815,389076,1949,355,379,391614,0 141,2,2024-09-07 08:48:41:697,279273,279273,0,0,10867879,0,2342 141,3,2024-09-07 08:48:41:056,1,222,63,0,178,2028,222,0 142,0,2024-09-07 08:48:41:309,58146,0.3,57524,0.5,114487,0.2,152949,1.50 142,1,2024-09-07 08:48:40:588,390649,390649,0,0,182902600772,1908150623,388190,2216,243,382,392102,0 142,2,2024-09-07 08:48:41:300,280252,280220,32,0,12612982,0,6028 142,3,2024-09-07 08:48:41:761,1,222,21,0,484,2982,222,0 143,0,2024-09-07 08:48:41:393,55772,0.6,55727,0.6,112002,0.6,148109,1.75 143,1,2024-09-07 08:48:40:569,390878,390878,0,0,183066782351,1900104521,388294,2489,95,367,391619,0 143,2,2024-09-07 08:48:40:770,283844,283844,0,0,11953780,0,2669 143,3,2024-09-07 08:48:41:141,1,222,1,0,303,3136,222,0 144,0,2024-09-07 08:48:41:535,50839,0.8,52303,1.1,106295,0.9,140114,2.25 144,1,2024-09-07 08:48:40:565,388814,388814,0,0,182148507976,1916277378,384824,3069,921,381,391649,0 144,2,2024-09-07 08:48:41:764,281249,281249,0,0,11479793,0,3473 144,3,2024-09-07 08:48:41:742,1,222,3,0,249,2778,222,0 145,0,2024-09-07 08:48:41:389,54916,0.7,54972,0.9,116486,0.6,150433,2.50 145,1,2024-09-07 08:48:40:564,388219,388219,0,0,181981039507,1919375514,382736,4662,821,382,391698,0 145,2,2024-09-07 08:48:41:429,277805,277805,0,0,13541673,0,3903 145,3,2024-09-07 08:48:40:901,1,222,1,0,622,3933,222,0 146,0,2024-09-07 08:48:41:631,57593,0.5,57121,0.8,115174,0.5,153093,2.25 146,1,2024-09-07 08:48:41:599,389219,389219,0,0,182024171949,1923404146,381297,6076,1846,368,391770,0 146,2,2024-09-07 08:48:41:706,279350,279350,0,0,13474639,0,2730 146,3,2024-09-07 08:48:41:274,1,222,11,0,1520,5817,222,0 147,0,2024-09-07 08:48:41:715,56548,0.6,56564,0.8,112313,0.6,151458,2.25 147,1,2024-09-07 08:48:41:374,391241,391241,0,0,183205848392,1907983897,387421,3251,569,368,391791,0 147,2,2024-09-07 08:48:41:018,283233,283233,0,0,11391666,0,2789 147,3,2024-09-07 08:48:40:917,1,222,12,0,730,3784,222,0 0,0,2024-09-07 08:48:51:716,55040,0.5,55050,0.7,116785,0.5,151384,1.75 0,1,2024-09-07 08:48:50:808,391899,391899,0,0,183578745058,1923476523,388730,2967,202,370,391772,0 0,2,2024-09-07 08:48:51:072,280666,280666,0,0,12151203,0,4480 0,3,2024-09-07 08:48:50:973,1,223,319,0,319,3204,223,0 1,0,2024-09-07 08:48:51:749,57124,0.9,56636,1.0,114027,1.2,151856,2.00 1,1,2024-09-07 08:48:50:566,391188,391188,0,0,182775498974,1919840681,386698,3437,1053,371,391857,0 1,2,2024-09-07 08:48:50:639,281784,281784,0,0,11177637,0,3267 1,3,2024-09-07 08:48:51:308,1,223,0,0,262,2782,223,0 2,0,2024-09-07 08:48:51:571,53611,0.9,54000,0.9,107207,1.1,143079,2.00 2,1,2024-09-07 08:48:50:863,392023,392023,0,0,183866150150,1912709677,389921,1884,218,380,391745,0 2,2,2024-09-07 08:48:51:279,285440,285440,0,0,11979229,0,3594 2,3,2024-09-07 08:48:50:691,1,223,8,0,357,2209,223,0 3,0,2024-09-07 08:48:51:742,55463,0.5,55572,0.6,110586,0.4,147543,2.00 3,1,2024-09-07 08:48:51:883,391661,391661,0,0,182848353251,1908240407,387903,3297,461,380,391523,0 3,2,2024-09-07 08:48:51:143,279459,279436,23,0,11924455,0,5851 3,3,2024-09-07 08:48:51:751,1,223,1,0,103,1405,223,0 4,0,2024-09-07 08:48:51:767,53735,0.4,55243,0.5,112474,0.3,147373,1.75 4,1,2024-09-07 08:48:50:608,391242,391242,0,0,182805083464,1925475782,386538,3916,788,371,391846,0 4,2,2024-09-07 08:48:51:018,278899,278899,0,0,14278365,0,4528 4,3,2024-09-07 08:48:51:027,1,223,2,0,448,3543,223,0 5,0,2024-09-07 08:48:51:392,56837,0.4,57086,0.6,113490,0.4,150916,1.75 5,1,2024-09-07 08:48:50:761,391085,391085,0,0,182949371886,1929324196,385608,4366,1111,368,392005,0 5,2,2024-09-07 08:48:51:838,280860,280860,0,0,13047952,0,2432 5,3,2024-09-07 08:48:51:732,1,223,1,0,457,3737,223,0 6,0,2024-09-07 08:48:50:920,55197,0.7,54688,0.8,109220,0.8,146018,2.00 6,1,2024-09-07 08:48:50:747,391683,391683,0,0,182875083876,1916689431,387018,3821,844,379,391651,0 6,2,2024-09-07 08:48:51:116,285371,285371,0,0,13168209,0,4816 6,3,2024-09-07 08:48:51:284,1,223,10,0,340,2841,223,0 7,0,2024-09-07 08:48:51:538,52624,0.6,52608,0.7,105177,0.6,140721,2.00 7,1,2024-09-07 08:48:50:850,390982,390982,0,0,184124218013,1937547841,385088,4891,1003,382,391686,0 7,2,2024-09-07 08:48:50:777,279488,279488,0,0,12912493,0,4791 7,3,2024-09-07 08:48:50:852,1,223,0,0,398,2708,223,0 8,0,2024-09-07 08:48:51:334,56364,0.4,56470,0.5,112390,0.4,149904,1.75 8,1,2024-09-07 08:48:51:019,390170,390170,0,0,182752502416,1934597753,382134,6030,2006,367,391956,0 8,2,2024-09-07 08:48:50:789,276915,276915,0,0,15223633,0,3220 8,3,2024-09-07 08:48:50:599,1,223,10,0,357,3543,223,0 9,0,2024-09-07 08:48:51:103,58193,0.3,56425,0.5,117789,0.3,154349,1.75 9,1,2024-09-07 08:48:50:564,390749,390749,0,0,183765835937,1943481186,384290,4919,1540,370,392001,0 9,2,2024-09-07 08:48:51:095,281568,281568,0,0,13434052,0,3360 9,3,2024-09-07 08:48:51:754,1,223,13,0,496,3490,223,0 10,0,2024-09-07 08:48:51:603,57197,0.3,56660,0.5,114096,0.2,151988,1.75 10,1,2024-09-07 08:48:50:583,391190,391190,0,0,183536303686,1929608470,384913,5349,928,381,391741,0 10,2,2024-09-07 08:48:50:762,283915,283915,0,0,15125647,0,4264 10,3,2024-09-07 08:48:50:871,1,223,7,0,177,1918,223,0 11,0,2024-09-07 08:48:51:015,52175,0.5,50707,0.8,106047,0.6,141145,2.00 11,1,2024-09-07 08:48:50:580,391507,391507,0,0,182849061589,1929406577,383708,5885,1914,383,391537,0 11,2,2024-09-07 08:48:51:126,282259,282259,0,0,14179809,0,4130 11,3,2024-09-07 08:48:51:303,1,223,1,0,843,3946,223,0 12,0,2024-09-07 08:48:50:973,58509,0.4,58401,0.5,116697,0.3,154866,1.75 12,1,2024-09-07 08:48:50:949,391963,391963,0,0,183160682867,1912317742,388631,2911,421,370,391837,0 12,2,2024-09-07 08:48:51:556,280729,280729,0,0,13025052,0,3469 12,3,2024-09-07 08:48:51:064,1,223,6,0,386,4037,223,0 13,0,2024-09-07 08:48:51:438,58034,0.3,57872,0.5,115467,0.3,153963,1.50 13,1,2024-09-07 08:48:51:724,391205,391205,0,0,183670920106,1929474236,387781,2885,539,382,391717,0 13,2,2024-09-07 08:48:50:619,281500,281500,0,0,12012991,0,3287 13,3,2024-09-07 08:48:51:764,1,223,5,0,467,3775,223,0 14,0,2024-09-07 08:48:50:591,57414,0.4,57930,0.6,114578,0.3,152526,1.75 14,1,2024-09-07 08:48:51:563,393965,393965,0,0,183717877083,1904699625,391197,2579,189,364,391571,0 14,2,2024-09-07 08:48:50:768,282651,282651,0,0,12074623,0,2896 14,3,2024-09-07 08:48:51:120,1,223,8,0,1168,3375,223,0 15,0,2024-09-07 08:48:51:558,52874,0.6,53261,0.8,106379,0.6,141977,2.25 15,1,2024-09-07 08:48:51:609,392324,392324,0,0,183425029918,1908596730,390023,2157,144,381,391619,0 15,2,2024-09-07 08:48:50:998,285322,285322,0,0,10488964,0,3043 15,3,2024-09-07 08:48:51:431,1,223,9,0,1126,4800,223,0 16,0,2024-09-07 08:48:50:969,56394,0.7,56630,0.9,112387,0.7,150434,2.25 16,1,2024-09-07 08:48:50:568,392466,392466,0,0,183653986743,1923228996,388920,3250,296,370,391756,0 16,2,2024-09-07 08:48:51:438,278968,278968,0,0,12749764,0,4719 16,3,2024-09-07 08:48:51:144,1,223,3,0,317,3201,223,0 17,0,2024-09-07 08:48:51:790,57833,0.5,56394,0.7,110507,0.5,150309,2.00 17,1,2024-09-07 08:48:50:576,390823,390823,0,0,183501796902,1931022434,385995,3726,1102,368,391688,0 17,2,2024-09-07 08:48:51:675,282936,282936,0,0,11891747,0,2857 17,3,2024-09-07 08:48:50:579,1,223,1,0,268,3658,223,0 18,0,2024-09-07 08:48:50:954,56015,0.7,56135,0.9,112287,0.8,149836,2.25 18,1,2024-09-07 08:48:51:641,392123,392123,0,0,183918867403,1908979992,389625,2259,239,367,391649,0 18,2,2024-09-07 08:48:51:762,282701,282701,0,0,11756457,0,3541 18,3,2024-09-07 08:48:50:898,1,223,8,0,163,2405,223,0 19,0,2024-09-07 08:48:51:543,53634,0.8,54297,0.9,107148,0.9,143071,2.25 19,1,2024-09-07 08:48:50:579,392135,392135,0,0,183859709327,1914851831,387537,3813,785,367,391777,0 19,2,2024-09-07 08:48:51:752,286899,286899,0,0,10792209,0,3988 19,3,2024-09-07 08:48:51:133,1,223,1,0,524,1799,223,0 20,0,2024-09-07 08:48:51:360,53907,0.8,53818,0.9,108173,1.0,144750,2.25 20,1,2024-09-07 08:48:50:568,391181,391181,0,0,183713237516,1925977928,387381,3404,396,369,391886,0 20,2,2024-09-07 08:48:50:928,279616,279616,0,0,12445876,0,3721 20,3,2024-09-07 08:48:50:591,1,223,37,0,414,4423,223,0 21,0,2024-09-07 08:48:51:132,55770,0.5,56024,0.7,111846,0.5,147868,2.00 21,1,2024-09-07 08:48:51:536,390409,390409,0,0,181964666430,1922272066,384710,4442,1257,368,391962,0 21,2,2024-09-07 08:48:51:072,279520,279520,0,0,13973876,0,3747 21,3,2024-09-07 08:48:51:404,1,223,1,0,103,2501,223,0 22,0,2024-09-07 08:48:51:717,57358,0.6,57332,0.8,114347,0.6,152140,2.25 22,1,2024-09-07 08:48:51:026,390462,390462,0,0,182512431499,1928433404,383276,5544,1642,382,391667,0 22,2,2024-09-07 08:48:50:761,281834,281834,0,0,12507283,0,3134 22,3,2024-09-07 08:48:51:076,1,223,8,0,228,2260,223,0 23,0,2024-09-07 08:48:51:379,55327,0.7,55278,0.8,111030,0.7,147456,2.25 23,1,2024-09-07 08:48:51:003,391266,391266,0,0,183896374445,1937815661,383976,4739,2551,365,391550,0 23,2,2024-09-07 08:48:51:092,285074,285074,0,0,12207216,0,3010 23,3,2024-09-07 08:48:51:754,1,223,1,0,645,3090,223,0 24,0,2024-09-07 08:48:50:817,53332,0.5,53309,0.6,106775,0.4,142170,1.75 24,1,2024-09-07 08:48:50:660,391372,391372,0,0,183182315730,1927171830,385900,3994,1478,369,391987,0 24,2,2024-09-07 08:48:51:071,280150,280150,0,0,14538005,0,3607 24,3,2024-09-07 08:48:51:686,1,223,1,0,468,3588,223,0 25,0,2024-09-07 08:48:51:408,58526,0.5,57190,0.7,111960,0.5,152679,2.00 25,1,2024-09-07 08:48:50:576,391585,391585,0,0,183139804060,1921170526,387433,3604,548,371,391788,0 25,2,2024-09-07 08:48:51:619,278837,278837,0,0,14803911,0,3978 25,3,2024-09-07 08:48:50:999,1,223,1,0,255,2589,223,0 26,0,2024-09-07 08:48:51:721,57683,0.4,56352,0.6,118107,0.3,153990,1.75 26,1,2024-09-07 08:48:51:550,391231,391231,0,0,182457309764,1920661564,384336,5679,1216,381,391748,0 26,2,2024-09-07 08:48:50:866,281291,281291,0,0,14527274,0,2809 26,3,2024-09-07 08:48:51:711,1,223,14,0,796,3177,223,0 27,0,2024-09-07 08:48:51:725,57462,0.5,57281,0.6,113517,0.4,152003,2.00 27,1,2024-09-07 08:48:51:677,393092,393092,0,0,184761269335,1925952122,389650,2850,592,381,391558,0 27,2,2024-09-07 08:48:50:872,281433,281368,65,0,14864388,0,5699 27,3,2024-09-07 08:48:51:025,1,223,5,0,564,2564,223,0 28,0,2024-09-07 08:48:51:419,52896,0.6,52751,0.7,105970,0.6,141483,2.25 28,1,2024-09-07 08:48:50:802,392675,392675,0,0,184639398883,1924779613,389840,2365,470,383,391646,0 28,2,2024-09-07 08:48:51:764,284266,284266,0,0,11571192,0,2915 28,3,2024-09-07 08:48:51:781,1,223,22,0,502,2517,223,0 29,0,2024-09-07 08:48:51:373,59091,0.4,57802,0.6,112756,0.3,154933,1.75 29,1,2024-09-07 08:48:51:563,393408,393408,0,0,184029152191,1908461689,390193,2650,565,369,391621,0 29,2,2024-09-07 08:48:50:867,279317,279317,0,0,10726448,0,4018 29,3,2024-09-07 08:48:50:969,1,223,32,0,115,1709,223,0 30,0,2024-09-07 08:48:51:464,56503,0.6,54979,0.7,114957,0.5,150798,2.00 30,1,2024-09-07 08:48:50:580,393532,393532,0,0,184697919990,1915685349,391323,1916,293,382,391672,0 30,2,2024-09-07 08:48:51:274,281278,281278,0,0,10780934,0,3161 30,3,2024-09-07 08:48:50:583,1,223,8,0,195,1604,223,0 31,0,2024-09-07 08:48:51:760,56610,0.4,56875,0.6,114159,0.4,151666,2.00 31,1,2024-09-07 08:48:50:567,394464,394464,0,0,184600040459,1893021712,393349,958,157,356,391712,0 31,2,2024-09-07 08:48:51:284,281635,281635,0,0,12482228,0,3525 31,3,2024-09-07 08:48:51:706,1,223,1,0,220,1848,223,0 32,0,2024-09-07 08:48:51:421,53563,0.4,54098,0.6,108254,0.3,143714,1.75 32,1,2024-09-07 08:48:50:803,393432,393432,0,0,183854479322,1909814349,391511,1643,278,381,391614,0 32,2,2024-09-07 08:48:50:949,285719,285719,0,0,10774657,0,3155 32,3,2024-09-07 08:48:51:018,1,223,5,0,227,1757,223,0 33,0,2024-09-07 08:48:51:511,55985,0.3,55322,0.5,111258,0.2,148513,1.75 33,1,2024-09-07 08:48:50:577,393684,393684,0,0,185005314583,1914340985,391106,2404,174,369,391730,0 33,2,2024-09-07 08:48:50:759,280314,280281,33,0,12453650,0,7012 33,3,2024-09-07 08:48:50:895,1,223,1,0,329,2561,223,0 34,0,2024-09-07 08:48:50:929,55443,0.3,57084,0.5,109471,0.3,146617,1.75 34,1,2024-09-07 08:48:51:044,394197,394197,0,0,184552320356,1900256352,393122,1068,7,367,391562,0 34,2,2024-09-07 08:48:50:775,280156,280156,0,0,11605168,0,3577 34,3,2024-09-07 08:48:51:688,1,223,13,0,299,1880,223,0 35,0,2024-09-07 08:48:50:859,56313,0.4,56690,0.5,113811,0.3,151158,1.75 35,1,2024-09-07 08:48:51:071,392386,392386,0,0,183931694224,1910605354,389111,2496,779,384,391589,0 35,2,2024-09-07 08:48:51:583,282979,282979,0,0,10991500,0,2653 35,3,2024-09-07 08:48:50:911,1,223,0,0,418,2704,223,0 36,0,2024-09-07 08:48:51:543,54877,0.7,54825,0.9,109852,0.8,145660,2.50 36,1,2024-09-07 08:48:50:590,391659,391659,0,0,183912407833,1929174753,385734,4347,1578,366,391759,0 36,2,2024-09-07 08:48:51:751,284801,284801,0,0,13469653,0,3875 36,3,2024-09-07 08:48:50:863,1,223,45,0,416,3896,223,0 37,0,2024-09-07 08:48:51:379,52533,0.6,52384,0.8,105105,0.6,141664,2.00 37,1,2024-09-07 08:48:50:580,391211,391204,0,7,183194568815,1926406837,385202,3936,2066,365,391560,0 37,2,2024-09-07 08:48:51:143,279571,279556,15,0,13538421,0,5815 37,3,2024-09-07 08:48:51:772,1,223,150,0,888,4284,223,0 38,0,2024-09-07 08:48:51:448,55795,0.5,54197,0.7,113430,0.5,148111,2.00 38,1,2024-09-07 08:48:51:613,392755,392755,0,0,183413959116,1920855693,387491,4590,674,368,391821,0 38,2,2024-09-07 08:48:50:760,279684,279637,47,0,14010479,0,6710 38,3,2024-09-07 08:48:51:001,1,223,1,0,689,3490,223,0 39,0,2024-09-07 08:48:51:769,59238,0.6,58157,0.7,112936,0.7,154326,2.00 39,1,2024-09-07 08:48:50:728,392293,392293,0,0,183760988688,1923411957,386768,4624,901,365,391594,0 39,2,2024-09-07 08:48:51:416,281380,281380,0,0,12202822,0,2689 39,3,2024-09-07 08:48:50:720,1,223,1,0,324,3355,223,0 40,0,2024-09-07 08:48:51:504,56402,0.8,56941,1.0,113836,0.8,151489,3.00 40,1,2024-09-07 08:48:50:577,392111,392111,0,0,183007311899,1923030080,385623,5247,1241,368,391591,0 40,2,2024-09-07 08:48:51:303,283275,283274,1,0,14936305,0,5137 40,3,2024-09-07 08:48:51:143,1,223,4,0,398,2960,223,0 41,0,2024-09-07 08:48:51:023,51925,2.3,53107,1.6,101427,3.6,139138,4.25 41,1,2024-09-07 08:48:50:775,391625,391625,0,0,184143413031,1930185981,386259,4733,633,370,391742,0 41,2,2024-09-07 08:48:50:758,281142,281142,0,0,14493715,0,3356 41,3,2024-09-07 08:48:51:676,1,223,0,0,366,2701,223,0 42,0,2024-09-07 08:48:51:473,57250,1.0,57557,1.1,115055,1.2,151619,2.75 42,1,2024-09-07 08:48:51:445,390507,390507,0,0,183331239878,1933261687,383395,5660,1452,380,391675,0 42,2,2024-09-07 08:48:51:133,279781,279781,0,0,13429254,0,3568 42,3,2024-09-07 08:48:51:009,1,223,8,0,446,2003,223,0 43,0,2024-09-07 08:48:50:925,57377,0.7,55799,0.9,116695,0.7,153639,2.25 43,1,2024-09-07 08:48:50:580,391222,391222,0,0,183543022613,1924233955,384986,4907,1329,366,391696,0 43,2,2024-09-07 08:48:51:736,281385,281385,0,0,13059584,0,3812 43,3,2024-09-07 08:48:51:749,1,223,154,0,467,2998,223,0 44,0,2024-09-07 08:48:50:861,57164,0.4,57511,0.6,114659,0.4,152646,1.75 44,1,2024-09-07 08:48:50:568,393351,393351,0,0,183652275121,1898111535,390857,2063,431,356,391809,0 44,2,2024-09-07 08:48:51:267,282139,282139,0,0,10671282,0,2231 44,3,2024-09-07 08:48:51:096,1,223,68,0,817,2786,223,0 45,0,2024-09-07 08:48:51:758,52449,0.7,51283,0.9,107677,0.8,141893,2.00 45,1,2024-09-07 08:48:51:005,392598,392598,0,0,184317348452,1911321245,391086,1500,12,382,391917,0 45,2,2024-09-07 08:48:51:268,285383,285383,0,0,11672165,0,3596 45,3,2024-09-07 08:48:50:940,1,223,0,0,271,2554,223,0 46,0,2024-09-07 08:48:50:952,56237,0.4,56118,0.6,112543,0.3,149302,2.00 46,1,2024-09-07 08:48:50:578,393610,393610,0,0,184128328496,1903007804,391379,1957,274,366,391524,0 46,2,2024-09-07 08:48:50:601,280147,280147,0,0,11030520,0,2920 46,3,2024-09-07 08:48:51:132,1,223,9,0,908,3447,223,0 47,0,2024-09-07 08:48:51:104,56382,0.4,56285,0.6,113235,0.4,149719,1.75 47,1,2024-09-07 08:48:50:569,393923,393923,0,0,184267499414,1902987252,391914,1924,85,366,391605,0 47,2,2024-09-07 08:48:50:912,282269,282269,0,0,11113570,0,2558 47,3,2024-09-07 08:48:51:118,1,223,3,0,529,2215,223,0 48,0,2024-09-07 08:48:51:489,57019,0.3,56665,0.4,112892,0.2,150777,1.50 48,1,2024-09-07 08:48:51:028,393591,393591,0,0,184302645380,1916710107,390632,2660,299,384,391710,0 48,2,2024-09-07 08:48:50:702,282669,282669,0,0,10902497,0,3031 48,3,2024-09-07 08:48:50:753,1,223,37,0,339,2030,223,0 49,0,2024-09-07 08:48:51:714,55667,0.4,54644,0.6,105851,0.4,145155,1.75 49,1,2024-09-07 08:48:51:030,391350,391350,0,0,183862271939,1919386319,387559,2610,1181,382,391610,0 49,2,2024-09-07 08:48:51:802,286540,286540,0,0,11466079,0,3900 49,3,2024-09-07 08:48:51:416,1,223,3,0,408,2641,223,0 50,0,2024-09-07 08:48:51:509,54239,0.3,53693,0.5,107945,0.2,144672,1.75 50,1,2024-09-07 08:48:51:017,394035,394035,0,0,183908874073,1905202085,391330,2395,310,368,391530,0 50,2,2024-09-07 08:48:51:071,280236,280236,0,0,10598392,0,2263 50,3,2024-09-07 08:48:51:294,1,223,9,0,335,1987,223,0 51,0,2024-09-07 08:48:51:709,57013,0.3,55818,0.5,108815,0.2,148205,1.75 51,1,2024-09-07 08:48:51:682,393376,393376,0,0,184900046205,1911639869,390635,1802,939,365,391706,0 51,2,2024-09-07 08:48:51:318,280258,280258,0,0,9694556,0,3337 51,3,2024-09-07 08:48:51:027,1,223,1,0,678,1964,223,0 52,0,2024-09-07 08:48:51:452,58062,0.5,57731,0.7,115209,0.4,152816,2.00 52,1,2024-09-07 08:48:50:584,391383,391383,0,0,182888628441,1924674153,384251,5879,1253,368,391722,0 52,2,2024-09-07 08:48:51:762,280302,280264,38,0,14267468,0,6742 52,3,2024-09-07 08:48:50:680,1,223,4,0,1782,4154,223,0 53,0,2024-09-07 08:48:51:734,55175,0.8,53684,0.9,112237,0.9,146701,2.50 53,1,2024-09-07 08:48:50:776,390805,390805,0,0,183469446931,1933928918,383483,4966,2356,367,391702,0 53,2,2024-09-07 08:48:51:304,284949,284949,0,0,11931848,0,2727 53,3,2024-09-07 08:48:50:700,1,223,9,0,271,2161,223,0 54,0,2024-09-07 08:48:51:627,51869,1.2,52316,1.0,103712,0.8,139431,3.25 54,1,2024-09-07 08:48:50:580,392151,392151,0,0,183922251906,1918844885,387417,4137,597,367,391659,0 54,2,2024-09-07 08:48:50:865,281063,281057,6,0,13181516,0,5382 54,3,2024-09-07 08:48:50:763,1,223,8,0,676,3624,223,0 55,0,2024-09-07 08:48:51:770,54987,0.7,57012,0.9,114612,0.7,149158,2.50 55,1,2024-09-07 08:48:50:764,391287,391287,0,0,183235753730,1913835985,385738,4762,787,365,391731,0 55,2,2024-09-07 08:48:50:733,279071,279071,0,0,13198524,0,3275 55,3,2024-09-07 08:48:50:682,1,223,4,0,304,2719,223,0 56,0,2024-09-07 08:48:51:568,59184,1.1,55797,1.1,114860,1.5,153852,2.50 56,1,2024-09-07 08:48:50:570,390102,390102,0,0,183247699470,1944253549,382970,5694,1438,381,391678,0 56,2,2024-09-07 08:48:51:313,281369,281369,0,0,14197371,0,3567 56,3,2024-09-07 08:48:51:066,1,223,1,0,705,3474,223,0 57,0,2024-09-07 08:48:50:950,56182,1.8,56343,1.3,112634,2.4,150751,3.25 57,1,2024-09-07 08:48:50:993,391316,391316,0,0,182974259839,1922827792,386111,4550,655,367,391960,0 57,2,2024-09-07 08:48:51:322,283427,283427,0,0,15316000,0,3317 57,3,2024-09-07 08:48:51:741,1,223,49,0,359,3233,223,0 58,0,2024-09-07 08:48:50:554,51584,1.2,50351,1.1,105202,1.6,137956,2.75 58,1,2024-09-07 08:48:50:581,391969,391966,0,3,184317001321,1930449757,386415,4856,695,367,391545,3 58,2,2024-09-07 08:48:51:071,284035,284035,0,0,13854317,0,2549 58,3,2024-09-07 08:48:51:068,1,223,12,0,1043,2757,223,0 59,0,2024-09-07 08:48:51:741,57180,0.8,57244,1.0,113777,0.8,151926,3.00 59,1,2024-09-07 08:48:50:804,391396,391396,0,0,183660511815,1927762054,385632,4499,1265,369,391525,0 59,2,2024-09-07 08:48:50:583,280748,280748,0,0,12395250,0,2604 59,3,2024-09-07 08:48:51:737,1,223,50,0,1015,3441,223,0 60,0,2024-09-07 08:48:51:727,56884,0.4,56612,0.6,113938,0.4,151516,1.75 60,1,2024-09-07 08:48:50:783,393647,393647,0,0,184391155151,1914327472,391242,1888,517,370,392031,0 60,2,2024-09-07 08:48:51:146,280734,280734,0,0,12865225,0,3811 60,3,2024-09-07 08:48:51:274,1,223,10,0,124,2161,223,0 61,0,2024-09-07 08:48:51:512,56934,0.7,57196,0.8,113855,0.8,151896,2.00 61,1,2024-09-07 08:48:50:775,391888,391888,0,0,183534432233,1924307546,387322,3864,702,382,391880,0 61,2,2024-09-07 08:48:51:125,282963,282963,0,0,11587657,0,2090 61,3,2024-09-07 08:48:51:687,1,223,20,0,397,3262,223,0 62,0,2024-09-07 08:48:51:725,54288,0.8,55313,0.9,105292,1.0,143550,2.00 62,1,2024-09-07 08:48:51:112,394627,394621,0,6,184533554337,1905588210,392236,2269,116,365,391715,6 62,2,2024-09-07 08:48:51:647,284357,284356,1,0,13125693,0,5555 62,3,2024-09-07 08:48:51:143,1,223,8,0,287,1659,223,0 63,0,2024-09-07 08:48:51:459,55487,0.5,55641,0.6,111071,0.4,148134,1.75 63,1,2024-09-07 08:48:50:803,394238,394232,0,6,184177400652,1909378511,392666,1516,50,381,391677,6 63,2,2024-09-07 08:48:50:762,279855,279855,0,0,10673467,0,2674 63,3,2024-09-07 08:48:51:736,1,223,0,0,667,2799,223,0 64,0,2024-09-07 08:48:51:515,54855,0.5,55016,0.6,110228,0.4,146517,1.75 64,1,2024-09-07 08:48:50:752,392568,392568,0,0,183901443497,1922600312,388092,3062,1414,370,391783,0 64,2,2024-09-07 08:48:51:147,282557,282538,19,0,11753992,0,6121 64,3,2024-09-07 08:48:51:147,1,223,6,0,265,2438,223,0 65,0,2024-09-07 08:48:51:681,56210,0.6,56643,0.7,113010,0.7,150099,2.25 65,1,2024-09-07 08:48:50:864,391370,391370,0,0,182869705003,1917163189,387826,3101,443,382,391901,0 65,2,2024-09-07 08:48:51:707,282287,282287,0,0,12426659,0,3367 65,3,2024-09-07 08:48:51:685,1,223,7,0,163,2220,223,0 66,0,2024-09-07 08:48:51:770,54402,0.5,54448,0.8,109362,0.5,144917,2.25 66,1,2024-09-07 08:48:51:301,393311,393311,0,0,184411565149,1916999533,390926,2204,181,380,391588,0 66,2,2024-09-07 08:48:51:140,287461,287461,0,0,12253380,0,4956 66,3,2024-09-07 08:48:51:085,1,223,9,0,291,2539,223,0 67,0,2024-09-07 08:48:51:416,53156,0.8,52755,0.8,105973,0.9,141607,2.25 67,1,2024-09-07 08:48:50:775,392371,392370,0,1,183743995967,1919752587,388589,3034,747,380,391787,1 67,2,2024-09-07 08:48:50:592,281731,281731,0,0,11071078,0,2889 67,3,2024-09-07 08:48:51:750,1,223,153,0,338,2143,223,0 68,0,2024-09-07 08:48:50:568,56364,0.7,56333,0.8,111953,0.7,149745,2.00 68,1,2024-09-07 08:48:50:574,391418,391418,0,0,182721338443,1920179633,387449,2831,1138,381,391953,0 68,2,2024-09-07 08:48:51:055,279204,279139,65,0,15099640,0,6698 68,3,2024-09-07 08:48:50:732,1,223,33,0,417,2741,223,0 69,0,2024-09-07 08:48:51:738,57670,0.7,57958,0.8,115415,0.9,153531,2.25 69,1,2024-09-07 08:48:51:027,390316,390316,0,0,182904192286,1935731998,384694,4221,1401,384,391994,0 69,2,2024-09-07 08:48:51:736,281673,281673,0,0,14519195,0,3722 69,3,2024-09-07 08:48:50:761,1,223,2,0,698,3514,223,0 70,0,2024-09-07 08:48:51:530,56600,1.1,56515,1.1,113554,0.8,151125,2.50 70,1,2024-09-07 08:48:50:804,392162,392162,0,0,183865018537,1913623227,388507,3127,528,366,391725,0 70,2,2024-09-07 08:48:51:326,283645,283645,0,0,13761569,0,4044 70,3,2024-09-07 08:48:50:744,1,223,8,0,854,2778,223,0 71,0,2024-09-07 08:48:51:365,52032,1.7,51921,1.4,103984,2.4,140102,3.25 71,1,2024-09-07 08:48:51:596,391767,391767,0,0,184020332535,1924653772,386648,4498,621,368,391738,0 71,2,2024-09-07 08:48:51:067,283146,283146,0,0,13727791,0,4042 71,3,2024-09-07 08:48:51:750,1,223,0,0,644,3554,223,0 72,0,2024-09-07 08:48:51:119,59850,0.9,58546,0.9,114208,1.1,155280,2.25 72,1,2024-09-07 08:48:51:060,391765,391765,0,0,182609785448,1910035034,387200,3787,778,369,391819,0 72,2,2024-09-07 08:48:51:767,278456,278456,0,0,15125767,0,3983 72,3,2024-09-07 08:48:51:755,1,223,38,0,564,4317,223,0 73,0,2024-09-07 08:48:51:117,56258,0.4,57558,0.6,118070,0.4,153362,2.00 73,1,2024-09-07 08:48:50:775,392395,392395,0,0,183173753968,1907253215,389408,2755,232,367,391750,0 73,2,2024-09-07 08:48:51:742,281396,281396,0,0,14619310,0,3701 73,3,2024-09-07 08:48:50:979,1,223,40,0,274,3573,223,0 74,0,2024-09-07 08:48:51:323,57785,0.5,59006,0.7,112531,0.5,152591,2.25 74,1,2024-09-07 08:48:50:637,391866,391866,0,0,183083452573,1915727316,387638,3432,796,381,391681,0 74,2,2024-09-07 08:48:51:011,282710,282710,0,0,14163858,0,4253 74,3,2024-09-07 08:48:51:442,1,223,8,0,522,3274,223,0 75,0,2024-09-07 08:48:51:785,53333,0.7,52996,1.0,106342,0.7,142929,2.50 75,1,2024-09-07 08:48:51:585,391414,391414,0,0,182999128740,1917872127,386641,4110,663,380,391660,0 75,2,2024-09-07 08:48:51:356,284114,284114,0,0,14777125,0,4766 75,3,2024-09-07 08:48:51:071,1,223,3,0,702,3468,223,0 76,0,2024-09-07 08:48:50:598,55965,0.5,55580,0.7,111179,0.5,148953,2.25 76,1,2024-09-07 08:48:50:811,392548,392548,0,0,183699115383,1918012600,389711,2289,548,382,391692,0 76,2,2024-09-07 08:48:51:062,280738,280738,0,0,11627382,0,3784 76,3,2024-09-07 08:48:51:145,1,223,1,0,175,2412,223,0 77,0,2024-09-07 08:48:51:745,56122,0.5,56341,0.8,112519,0.5,149568,2.00 77,1,2024-09-07 08:48:50:824,392527,392527,0,0,183344676897,1916578060,389402,2870,255,383,391808,0 77,2,2024-09-07 08:48:51:294,279999,279999,0,0,12092904,0,3890 77,3,2024-09-07 08:48:51:100,1,223,13,0,305,2340,223,0 78,0,2024-09-07 08:48:51:718,56783,0.4,56182,0.7,113463,0.4,150037,2.00 78,1,2024-09-07 08:48:50:619,392469,392469,0,0,182931213826,1901788165,389517,2636,316,367,391670,0 78,2,2024-09-07 08:48:51:411,282784,282784,0,0,11133859,0,3855 78,3,2024-09-07 08:48:51:134,1,223,7,0,181,2330,223,0 79,0,2024-09-07 08:48:51:360,52448,0.5,53786,0.7,110025,0.4,142985,2.50 79,1,2024-09-07 08:48:50:576,393855,393855,0,0,184425266737,1910582952,391024,2495,336,369,391682,0 79,2,2024-09-07 08:48:51:071,286720,286720,0,0,11020256,0,3212 79,3,2024-09-07 08:48:50:750,1,223,3,0,418,3539,223,0 80,0,2024-09-07 08:48:51:120,54001,0.6,55547,0.7,106351,0.6,144450,2.00 80,1,2024-09-07 08:48:51:624,391787,391787,0,0,183987482577,1917664865,388826,2793,168,368,391791,0 80,2,2024-09-07 08:48:51:115,280793,280793,0,0,11472819,0,4433 80,3,2024-09-07 08:48:50:576,1,223,1,0,190,3228,223,0 81,0,2024-09-07 08:48:51:547,55583,0.5,56875,0.7,109230,0.5,147314,2.00 81,1,2024-09-07 08:48:51:659,391712,391712,0,0,182430883813,1910108182,388134,3132,446,382,391879,0 81,2,2024-09-07 08:48:51:130,280025,280025,0,0,11751672,0,3993 81,3,2024-09-07 08:48:51:117,1,223,1,0,374,2645,223,0 82,0,2024-09-07 08:48:51:531,57086,0.4,57581,0.6,115261,0.4,152916,2.00 82,1,2024-09-07 08:48:50:583,392710,392706,0,4,184449859659,1924329970,389489,2606,611,381,391558,4 82,2,2024-09-07 08:48:51:695,282537,282537,0,0,11291143,0,3986 82,3,2024-09-07 08:48:51:754,1,223,9,0,363,2751,223,0 83,0,2024-09-07 08:48:51:538,55650,0.6,55738,0.7,110722,0.6,147039,2.00 83,1,2024-09-07 08:48:50:566,391954,391954,0,0,183599427745,1917396543,388815,2896,243,382,391690,0 83,2,2024-09-07 08:48:50:764,284473,284473,0,0,11266905,0,3119 83,3,2024-09-07 08:48:50:748,1,223,4,0,250,2379,223,0 84,0,2024-09-07 08:48:51:785,52355,0.9,52488,1.0,104781,0.8,140850,2.50 84,1,2024-09-07 08:48:51:039,391047,391047,0,0,183438008317,1923428740,386323,4150,574,368,391967,0 84,2,2024-09-07 08:48:50:583,281398,281398,0,0,13355400,0,3801 84,3,2024-09-07 08:48:51:143,1,223,1,0,908,3802,223,0 85,0,2024-09-07 08:48:51:015,55107,0.8,55046,1.0,116765,1.0,150682,2.50 85,1,2024-09-07 08:48:50:565,390440,390440,0,0,182724624791,1937213533,383623,5712,1105,382,392006,0 85,2,2024-09-07 08:48:50:892,280976,280976,0,0,13952520,0,3656 85,3,2024-09-07 08:48:50:692,1,223,1,0,789,3116,223,0 86,0,2024-09-07 08:48:50:898,57980,0.6,59481,0.8,114078,0.6,153876,2.00 86,1,2024-09-07 08:48:50:824,392113,392113,0,0,183945083012,1928322426,387145,4245,723,366,391961,0 86,2,2024-09-07 08:48:50:867,280340,280339,1,0,14848637,0,5004 86,3,2024-09-07 08:48:50:596,1,223,8,0,286,3103,223,0 87,0,2024-09-07 08:48:51:292,56993,1.1,56550,1.0,113808,1.5,152483,2.25 87,1,2024-09-07 08:48:50:555,391866,391866,0,0,183105624000,1919890164,387416,3970,480,366,391788,0 87,2,2024-09-07 08:48:51:071,281971,281971,0,0,13290667,0,4045 87,3,2024-09-07 08:48:51:794,1,223,2,0,473,3714,223,0 88,0,2024-09-07 08:48:51:442,52877,0.6,53136,0.7,106067,0.6,141006,2.00 88,1,2024-09-07 08:48:50:581,390160,390160,0,0,182969546732,1925863295,383998,4650,1512,365,392084,0 88,2,2024-09-07 08:48:50:690,283838,283838,0,0,15225113,0,3583 88,3,2024-09-07 08:48:51:276,1,223,1,0,435,2898,223,0 89,0,2024-09-07 08:48:51:773,59293,0.5,57295,0.7,113778,0.4,154869,2.00 89,1,2024-09-07 08:48:50:571,389899,389899,0,0,183429122316,1940186393,383269,5457,1173,382,391866,0 89,2,2024-09-07 08:48:51:135,279012,279012,0,0,14142298,0,2910 89,3,2024-09-07 08:48:51:792,1,223,1,0,325,4563,223,0 90,0,2024-09-07 08:48:51:616,55164,0.5,56334,0.6,115617,0.4,150585,1.75 90,1,2024-09-07 08:48:50:598,391974,391974,0,0,183424588209,1926313159,387885,3812,277,381,391825,0 90,2,2024-09-07 08:48:51:409,279227,279227,0,0,15337881,0,3060 90,3,2024-09-07 08:48:50:937,1,223,8,0,200,2480,223,0 91,0,2024-09-07 08:48:50:925,57365,0.4,55430,0.6,115729,0.4,152050,1.75 91,1,2024-09-07 08:48:50:573,391143,391143,0,0,183415798209,1933614762,385312,5141,690,381,392047,0 91,2,2024-09-07 08:48:51:334,281901,281901,0,0,13889769,0,2896 91,3,2024-09-07 08:48:50:609,1,223,7,0,216,2310,223,0 92,0,2024-09-07 08:48:51:468,54278,0.6,55629,0.7,106432,0.6,143825,1.75 92,1,2024-09-07 08:48:50:581,391748,391748,0,0,183620973357,1916029015,389420,1922,406,382,392136,0 92,2,2024-09-07 08:48:51:350,286938,286938,0,0,11732858,0,2801 92,3,2024-09-07 08:48:51:014,1,223,1,0,167,1862,223,0 93,0,2024-09-07 08:48:50:957,55852,0.4,57116,0.6,109220,0.4,148666,1.75 93,1,2024-09-07 08:48:50:824,392613,392613,0,0,183292866355,1914855897,388610,3326,677,366,391692,0 93,2,2024-09-07 08:48:50:940,280593,280593,0,0,11863570,0,2509 93,3,2024-09-07 08:48:51:406,1,223,14,0,190,2421,223,0 94,0,2024-09-07 08:48:51:619,55273,0.4,55900,0.6,111187,0.3,147397,1.75 94,1,2024-09-07 08:48:50:570,391910,391910,0,0,183630012546,1920553757,388745,2989,176,381,391850,0 94,2,2024-09-07 08:48:50:762,279781,279781,0,0,11131551,0,2443 94,3,2024-09-07 08:48:51:689,1,223,9,0,264,3110,223,0 95,0,2024-09-07 08:48:51:350,57075,0.3,56584,0.5,114384,0.3,151086,1.75 95,1,2024-09-07 08:48:50:852,392722,392722,0,0,184088501564,1915974255,389400,2971,351,367,391662,0 95,2,2024-09-07 08:48:51:016,281796,281796,0,0,11851160,0,3308 95,3,2024-09-07 08:48:51:709,1,223,2,0,718,4304,223,0 96,0,2024-09-07 08:48:51:036,54995,0.5,55204,0.6,110139,0.4,145516,1.75 96,1,2024-09-07 08:48:51:592,391251,391251,0,0,183356655507,1918475321,387693,2829,729,384,391894,0 96,2,2024-09-07 08:48:51:295,285312,285312,0,0,12444203,0,4042 96,3,2024-09-07 08:48:51:142,1,223,3,0,411,2646,223,0 97,0,2024-09-07 08:48:51:318,53010,0.4,52851,0.5,106148,0.3,141699,1.75 97,1,2024-09-07 08:48:50:765,392694,392694,0,0,184529951391,1916609766,389713,2428,553,367,392140,0 97,2,2024-09-07 08:48:50:609,280581,280581,0,0,11446891,0,3036 97,3,2024-09-07 08:48:50:577,1,223,7,0,165,2585,223,0 98,0,2024-09-07 08:48:51:697,56302,0.3,56390,0.5,113229,0.3,149938,1.50 98,1,2024-09-07 08:48:50:577,392676,392676,0,0,184377712417,1921211211,390556,2024,96,382,391997,0 98,2,2024-09-07 08:48:50:775,280900,280900,0,0,11635405,0,3080 98,3,2024-09-07 08:48:50:700,1,223,129,0,840,4080,223,0 99,0,2024-09-07 08:48:51:447,58029,0.3,58168,0.4,116123,0.3,154618,1.50 99,1,2024-09-07 08:48:51:723,392742,392742,0,0,183076621501,1912706720,388966,3037,739,381,391744,0 99,2,2024-09-07 08:48:51:417,284342,284342,0,0,12210501,0,3106 99,3,2024-09-07 08:48:50:583,1,223,1,0,168,1849,223,0 100,0,2024-09-07 08:48:51:502,56891,0.8,56888,1.1,113586,1.0,151664,2.25 100,1,2024-09-07 08:48:50:549,389690,389690,0,0,182009875451,1931771577,382860,5513,1317,381,391989,0 100,2,2024-09-07 08:48:51:817,282198,282187,11,0,14062998,0,5417 100,3,2024-09-07 08:48:51:732,1,223,7,0,559,4723,223,0 101,0,2024-09-07 08:48:51:725,53488,2.5,52141,1.5,102337,3.0,141337,3.00 101,1,2024-09-07 08:48:50:568,390378,390378,0,0,182737793688,1933245982,383055,5552,1771,368,391769,0 101,2,2024-09-07 08:48:51:763,281847,281847,0,0,15286849,0,4644 101,3,2024-09-07 08:48:50:944,1,223,14,0,448,3013,223,0 102,0,2024-09-07 08:48:50:952,56593,0.8,58797,0.9,118004,0.9,154613,2.25 102,1,2024-09-07 08:48:51:144,390807,390807,0,0,182720465529,1926179806,385165,4803,839,369,391883,0 102,2,2024-09-07 08:48:51:737,280304,280250,54,0,13875424,0,6768 102,3,2024-09-07 08:48:51:626,1,223,17,0,410,2472,223,0 103,0,2024-09-07 08:48:51:618,59398,0.5,59418,0.7,111971,0.4,154497,2.00 103,1,2024-09-07 08:48:51:629,390104,390104,0,0,182342906856,1928095280,383821,4903,1380,381,391829,0 103,2,2024-09-07 08:48:50:584,279625,279625,0,0,13004808,0,2582 103,3,2024-09-07 08:48:50:755,1,223,1,0,916,3469,223,0 104,0,2024-09-07 08:48:51:006,56764,0.9,57201,1.0,113026,0.9,152647,2.25 104,1,2024-09-07 08:48:51:608,391630,391630,0,0,182472607133,1925828210,385005,5531,1094,365,391948,0 104,2,2024-09-07 08:48:51:669,281588,281588,0,0,14690198,0,3941 104,3,2024-09-07 08:48:51:416,1,223,10,0,1245,6116,223,0 105,0,2024-09-07 08:48:51:040,52587,1.4,51070,1.3,106764,2.2,140937,3.75 105,1,2024-09-07 08:48:50:579,391295,391295,0,0,183360791851,1928744463,385504,4926,865,367,391797,0 105,2,2024-09-07 08:48:51:333,284557,284557,0,0,14237030,0,3509 105,3,2024-09-07 08:48:51:312,1,223,2,0,399,4116,223,0 106,0,2024-09-07 08:48:50:935,54314,0.7,55599,0.9,113834,0.8,148920,2.50 106,1,2024-09-07 08:48:51:761,390764,390764,0,0,182027351932,1919251606,383877,6136,751,369,391767,0 106,2,2024-09-07 08:48:50:757,277735,277735,0,0,13331356,0,2795 106,3,2024-09-07 08:48:50:682,1,223,10,0,470,3398,223,0 107,0,2024-09-07 08:48:51:100,56090,0.9,56019,0.9,111595,1.0,149131,2.00 107,1,2024-09-07 08:48:50:590,390472,390472,0,0,183136735434,1932308719,385191,4737,544,381,392234,0 107,2,2024-09-07 08:48:51:292,279692,279691,1,0,14220091,0,5024 107,3,2024-09-07 08:48:51:755,1,223,4,0,353,3293,223,0 108,0,2024-09-07 08:48:51:775,56723,0.4,56962,0.6,112907,0.4,150689,1.75 108,1,2024-09-07 08:48:51:298,392065,392065,0,0,183604021058,1915799490,388879,2802,384,368,391857,0 108,2,2024-09-07 08:48:51:763,281477,281477,0,0,12629522,0,2647 108,3,2024-09-07 08:48:51:333,1,223,11,0,749,4751,223,0 109,0,2024-09-07 08:48:51:745,54500,0.4,53953,0.6,108212,0.4,145072,1.75 109,1,2024-09-07 08:48:50:587,391150,391150,0,0,183440930246,1926189722,387468,3169,513,383,392132,0 109,2,2024-09-07 08:48:50:923,284720,284720,0,0,13141600,0,3617 109,3,2024-09-07 08:48:51:144,1,223,2,0,249,2874,223,0 110,0,2024-09-07 08:48:51:749,54158,0.4,52706,0.6,110301,0.4,145060,1.75 110,1,2024-09-07 08:48:51:658,392630,392630,0,0,184936560003,1922513517,389578,2175,877,370,392045,0 110,2,2024-09-07 08:48:51:309,280466,280466,0,0,12056380,0,3264 110,3,2024-09-07 08:48:50:701,1,223,14,0,406,3048,223,0 111,0,2024-09-07 08:48:51:416,56144,0.4,55591,0.5,111122,0.3,148616,1.75 111,1,2024-09-07 08:48:51:000,393201,393201,0,0,184280198254,1912611563,391217,1649,335,382,391690,0 111,2,2024-09-07 08:48:51:123,280045,280045,0,0,11889835,0,2763 111,3,2024-09-07 08:48:50:913,1,223,1,0,379,3180,223,0 112,0,2024-09-07 08:48:50:917,57664,0.3,57676,0.4,115261,0.2,153003,1.50 112,1,2024-09-07 08:48:50:829,393313,393313,0,0,183643751170,1907428782,390898,1987,428,380,391580,0 112,2,2024-09-07 08:48:51:134,281972,281971,1,0,12083275,0,5036 112,3,2024-09-07 08:48:50:596,1,223,1,0,282,2445,223,0 113,0,2024-09-07 08:48:50:869,55529,0.3,55440,0.5,111507,0.3,148292,1.75 113,1,2024-09-07 08:48:51:685,393610,393610,0,0,185111963757,1912877572,391121,2000,489,366,391661,0 113,2,2024-09-07 08:48:51:309,286057,286057,0,0,11177965,0,3813 113,3,2024-09-07 08:48:50:689,1,223,1,0,340,3544,223,0 114,0,2024-09-07 08:48:50:923,53244,0.5,53784,0.6,106492,0.3,142634,1.75 114,1,2024-09-07 08:48:50:726,392350,392350,0,0,183730880332,1918621569,387547,3215,1588,381,391556,0 114,2,2024-09-07 08:48:50:945,281696,281695,1,0,12150893,0,5069 114,3,2024-09-07 08:48:51:284,1,223,14,0,395,2590,223,0 115,0,2024-09-07 08:48:50:562,57130,0.3,57464,0.5,114978,0.3,152418,1.75 115,1,2024-09-07 08:48:50:584,392138,392138,0,0,184674889850,1924679443,387797,3507,834,382,391602,0 115,2,2024-09-07 08:48:51:126,281096,281096,0,0,10338724,0,2152 115,3,2024-09-07 08:48:51:010,1,223,1,0,159,1311,223,0 116,0,2024-09-07 08:48:51:721,57848,0.7,57566,0.9,115434,0.7,154470,2.00 116,1,2024-09-07 08:48:50:807,389783,389783,0,0,182346823648,1936950682,383402,4329,2052,380,391782,0 116,2,2024-09-07 08:48:51:751,280538,280538,0,0,15294570,0,3529 116,3,2024-09-07 08:48:50:912,1,223,1,0,415,3457,223,0 117,0,2024-09-07 08:48:50:957,56975,0.9,56870,0.9,113708,1.1,152554,2.00 117,1,2024-09-07 08:48:51:591,391306,391306,0,0,183080040278,1921371149,386661,4165,480,370,392033,0 117,2,2024-09-07 08:48:51:131,284407,284407,0,0,12674121,0,3700 117,3,2024-09-07 08:48:51:060,1,223,0,0,490,3882,223,0 118,0,2024-09-07 08:48:51:770,51289,0.8,52821,0.8,107394,0.8,140632,2.25 118,1,2024-09-07 08:48:50:590,391326,391326,0,0,182853355645,1928676084,385001,4894,1431,366,391736,0 118,2,2024-09-07 08:48:51:601,283388,283388,0,0,13614650,0,2781 118,3,2024-09-07 08:48:51:767,1,223,7,0,235,2723,223,0 119,0,2024-09-07 08:48:51:373,57192,0.9,57630,0.9,115387,1.1,153538,2.25 119,1,2024-09-07 08:48:50:566,392000,392000,0,0,183931008338,1925954269,387359,4119,522,368,391641,0 119,2,2024-09-07 08:48:51:263,279913,279913,0,0,12819974,0,4174 119,3,2024-09-07 08:48:51:332,1,223,7,0,443,3410,223,0 120,0,2024-09-07 08:48:51:548,56449,0.6,56347,0.8,113049,0.5,151128,2.00 120,1,2024-09-07 08:48:50:862,391303,391303,0,0,183742639497,1932898176,386640,4314,349,368,391961,0 120,2,2024-09-07 08:48:50:775,279666,279665,1,0,15257422,0,5281 120,3,2024-09-07 08:48:51:300,1,223,1,0,241,3107,223,0 121,0,2024-09-07 08:48:51:686,56785,1.1,57095,1.0,113965,1.4,151669,2.25 121,1,2024-09-07 08:48:51:660,391762,391762,0,0,183020612858,1920198983,387329,4019,414,367,391840,0 121,2,2024-09-07 08:48:51:125,280888,280888,0,0,14757286,0,4127 121,3,2024-09-07 08:48:50:731,1,223,11,0,269,2758,223,0 122,0,2024-09-07 08:48:51:819,53568,1.0,52169,1.0,109365,1.1,143701,2.25 122,1,2024-09-07 08:48:50:861,390739,390739,0,0,182935083915,1926874114,384688,5237,814,366,392130,0 122,2,2024-09-07 08:48:51:323,284670,284597,73,0,17204822,0,5989 122,3,2024-09-07 08:48:50:602,1,223,8,0,411,4832,223,0 123,0,2024-09-07 08:48:50:953,55263,1.0,53999,0.9,112375,1.2,147618,2.25 123,1,2024-09-07 08:48:50:562,390994,390994,0,0,183176799217,1939553119,382357,6922,1715,369,391823,0 123,2,2024-09-07 08:48:51:028,277613,277612,1,0,13842188,0,5215 123,3,2024-09-07 08:48:51:135,1,223,13,0,168,2789,223,0 124,0,2024-09-07 08:48:50:923,57298,0.3,57273,0.5,108042,0.3,148019,1.75 124,1,2024-09-07 08:48:51:028,393209,393209,0,0,183507083561,1909131680,390388,2459,362,367,392178,0 124,2,2024-09-07 08:48:51:010,280464,280464,0,0,11142170,0,3101 124,3,2024-09-07 08:48:50:759,1,223,10,0,490,2690,223,0 125,0,2024-09-07 08:48:51:447,56883,0.3,56752,0.5,114028,0.3,151118,1.75 125,1,2024-09-07 08:48:50:864,391986,391986,0,0,183901011317,1920420353,389099,2498,389,383,391702,0 125,2,2024-09-07 08:48:51:128,282867,282867,0,0,12212027,0,4534 125,3,2024-09-07 08:48:51:129,1,223,1,0,709,3412,223,0 126,0,2024-09-07 08:48:51:415,55140,0.6,56524,0.7,108083,0.6,146319,1.75 126,1,2024-09-07 08:48:50:569,393171,393171,0,0,184213961399,1911964185,390503,2407,261,365,391987,0 126,2,2024-09-07 08:48:50:619,287151,287151,0,0,12349120,0,3186 126,3,2024-09-07 08:48:50:915,1,223,9,0,183,3175,223,0 127,0,2024-09-07 08:48:51:655,52959,0.4,53285,0.5,106012,0.3,141791,1.75 127,1,2024-09-07 08:48:50:572,392507,392507,0,0,184404987403,1913135076,389627,2727,153,365,391816,0 127,2,2024-09-07 08:48:50:638,280791,280791,0,0,11165915,0,2264 127,3,2024-09-07 08:48:51:269,1,223,3,0,243,1857,223,0 128,0,2024-09-07 08:48:51:526,56794,0.3,56767,0.5,113171,0.3,150301,1.50 128,1,2024-09-07 08:48:51:609,392566,392566,0,0,183920181253,1909603809,390449,1960,157,367,391798,0 128,2,2024-09-07 08:48:51:386,280291,280291,0,0,11011539,0,2434 128,3,2024-09-07 08:48:50:774,1,223,0,0,1082,5152,223,0 129,0,2024-09-07 08:48:50:994,58465,0.3,58133,0.4,116258,0.2,154218,1.50 129,1,2024-09-07 08:48:50:572,390525,390525,0,0,182463389047,1916501329,386406,3192,927,379,391835,0 129,2,2024-09-07 08:48:50:709,282704,282704,0,0,11958348,0,4031 129,3,2024-09-07 08:48:50:697,1,223,2,0,469,3061,223,0 130,0,2024-09-07 08:48:51:818,57371,0.6,57197,0.7,114560,0.6,152617,1.75 130,1,2024-09-07 08:48:50:596,392428,392428,0,0,184058678220,1917287885,390011,2340,77,381,391825,0 130,2,2024-09-07 08:48:51:127,285314,285314,0,0,11732798,0,4067 130,3,2024-09-07 08:48:51:291,1,223,12,0,450,3034,223,0 131,0,2024-09-07 08:48:52:083,52698,0.4,53005,0.6,106641,0.4,141453,1.75 131,1,2024-09-07 08:48:51:820,392878,392878,0,0,183423841988,1915103445,389847,2575,456,381,391865,0 131,2,2024-09-07 08:48:50:571,284643,284643,0,0,10749524,0,2415 131,3,2024-09-07 08:48:51:693,1,223,0,0,392,2358,223,0 132,0,2024-09-07 08:48:51:415,57885,0.5,58439,0.7,116847,0.5,155106,2.00 132,1,2024-09-07 08:48:50:580,390279,390279,0,0,181979764513,1926290958,383282,5745,1252,381,392097,0 132,2,2024-09-07 08:48:50:702,280018,280018,0,0,14416398,0,4606 132,3,2024-09-07 08:48:51:688,1,223,0,0,356,3417,223,0 133,0,2024-09-07 08:48:51:534,56280,0.4,57602,0.6,117963,0.4,153310,1.75 133,1,2024-09-07 08:48:50:595,390111,390111,0,0,183147838621,1932919379,384649,4797,665,383,391914,0 133,2,2024-09-07 08:48:51:087,279993,279993,0,0,15533283,0,4315 133,3,2024-09-07 08:48:51:307,1,223,0,0,276,2380,223,0 134,0,2024-09-07 08:48:50:945,57190,0.5,57113,0.7,114710,0.4,152606,2.00 134,1,2024-09-07 08:48:50:582,390580,390580,0,0,182795680694,1926288651,384143,4969,1468,366,391718,0 134,2,2024-09-07 08:48:51:763,281961,281961,0,0,13190435,0,3847 134,3,2024-09-07 08:48:50:753,1,223,8,0,739,3572,223,0 135,0,2024-09-07 08:48:51:109,51528,1.1,51526,1.1,109237,1.3,141439,2.25 135,1,2024-09-07 08:48:51:589,389980,389980,0,0,183549872476,1936918260,383800,5185,995,380,391805,0 135,2,2024-09-07 08:48:50:695,284881,284881,0,0,14513016,0,3981 135,3,2024-09-07 08:48:51:005,1,223,188,0,299,1783,223,0 136,0,2024-09-07 08:48:51:620,56562,0.6,56505,0.7,113037,0.5,150509,2.25 136,1,2024-09-07 08:48:51:448,390660,390660,0,0,182915501247,1927057877,385173,4903,584,382,391685,0 136,2,2024-09-07 08:48:51:137,279983,279983,0,0,13641105,0,3506 136,3,2024-09-07 08:48:51:108,1,223,1,0,126,1822,223,0 137,0,2024-09-07 08:48:50:925,57735,0.6,56027,0.8,110717,0.7,150385,2.00 137,1,2024-09-07 08:48:50:580,390600,390600,0,0,183409891071,1930475671,383804,5413,1383,366,391708,0 137,2,2024-09-07 08:48:51:706,279501,279501,0,0,15347042,0,3185 137,3,2024-09-07 08:48:50:771,1,223,3,0,382,2828,223,0 138,0,2024-09-07 08:48:51:809,56177,0.9,56269,0.9,112918,1.1,150321,2.25 138,1,2024-09-07 08:48:51:686,390805,390805,0,0,183756055397,1935468377,383980,5696,1129,368,391954,0 138,2,2024-09-07 08:48:50:590,282245,282245,0,0,14002215,0,4988 138,3,2024-09-07 08:48:50:611,1,223,8,0,1160,3781,223,0 139,0,2024-09-07 08:48:51:358,53215,2.4,53260,1.5,107354,3.5,143443,2.75 139,1,2024-09-07 08:48:50:580,389214,389214,0,0,182199458908,1942623653,380803,6299,2112,381,391892,0 139,2,2024-09-07 08:48:50:698,283625,283625,0,0,14774728,0,3097 139,3,2024-09-07 08:48:51:668,1,223,3,0,244,2435,223,0 140,0,2024-09-07 08:48:51:592,54621,0.3,54076,0.5,108865,0.3,145264,1.75 140,1,2024-09-07 08:48:51:537,393523,393523,0,0,185319802794,1911433046,391603,1680,240,365,391606,0 140,2,2024-09-07 08:48:50:690,280793,280793,0,0,11382349,0,3388 140,3,2024-09-07 08:48:50:767,1,223,4,0,247,2027,223,0 141,0,2024-09-07 08:48:51:698,55936,0.3,57408,0.5,109625,0.2,148659,1.50 141,1,2024-09-07 08:48:50:860,393262,393262,0,0,184440790506,1914659046,390958,1949,355,379,391614,0 141,2,2024-09-07 08:48:51:686,280560,280560,0,0,10919628,0,2342 141,3,2024-09-07 08:48:51:045,1,223,1,0,178,2029,223,0 142,0,2024-09-07 08:48:51:314,58280,0.3,57637,0.5,114738,0.2,153287,1.50 142,1,2024-09-07 08:48:50:589,392446,392446,0,0,183661771681,1915977781,389987,2216,243,382,392102,0 142,2,2024-09-07 08:48:51:300,281693,281661,32,0,12747140,0,6028 142,3,2024-09-07 08:48:51:748,1,223,14,0,484,2996,223,0 143,0,2024-09-07 08:48:51:368,55776,0.6,55749,0.6,112029,0.6,148109,1.75 143,1,2024-09-07 08:48:50:572,392607,392607,0,0,183926036824,1909478085,389941,2571,95,367,391619,0 143,2,2024-09-07 08:48:50:785,285354,285354,0,0,12019701,0,2669 143,3,2024-09-07 08:48:51:142,1,223,1,0,303,3137,223,0 144,0,2024-09-07 08:48:51:499,51159,0.8,52698,1.1,107023,0.9,141004,2.25 144,1,2024-09-07 08:48:50:582,390544,390544,0,0,182706296512,1922164885,386554,3069,921,381,391649,0 144,2,2024-09-07 08:48:51:767,281969,281969,0,0,11493195,0,3473 144,3,2024-09-07 08:48:51:747,1,223,17,0,249,2795,223,0 145,0,2024-09-07 08:48:51:363,54996,0.7,55044,0.9,116695,0.6,150672,2.50 145,1,2024-09-07 08:48:50:563,389945,389945,0,0,182586068295,1925723714,384461,4663,821,382,391698,0 145,2,2024-09-07 08:48:51:429,279277,279277,0,0,13611343,0,3903 145,3,2024-09-07 08:48:50:895,1,223,1,0,622,3934,223,0 146,0,2024-09-07 08:48:51:591,57825,0.5,57336,0.8,115667,0.5,153698,2.25 146,1,2024-09-07 08:48:51:585,390925,390925,0,0,182889074079,1933028454,382918,6161,1846,368,391770,0 146,2,2024-09-07 08:48:51:724,280815,280815,0,0,13564276,0,2730 146,3,2024-09-07 08:48:51:278,1,223,12,0,1520,5829,223,0 147,0,2024-09-07 08:48:51:701,56857,0.6,56903,0.8,112927,0.6,152338,2.25 147,1,2024-09-07 08:48:51:374,392948,392948,0,0,183781376959,1914138367,389128,3251,569,368,391791,0 147,2,2024-09-07 08:48:51:010,284291,284291,0,0,11453383,0,2789 147,3,2024-09-07 08:48:50:913,1,223,2,0,730,3786,223,0 0,0,2024-09-07 08:49:01:725,55359,0.5,55372,0.7,117475,0.5,152218,1.75 0,1,2024-09-07 08:49:00:802,393776,393776,0,0,184195093805,1929974037,390607,2967,202,370,391772,0 0,2,2024-09-07 08:49:01:067,281937,281937,0,0,12186764,0,4480 0,3,2024-09-07 08:49:00:974,1,224,5,0,319,3209,224,0 1,0,2024-09-07 08:49:01:763,57281,0.9,56782,1.0,114325,1.2,152280,2.00 1,1,2024-09-07 08:49:00:570,392978,392978,0,0,183625640862,1928571357,388488,3437,1053,371,391857,0 1,2,2024-09-07 08:49:00:646,283009,283009,0,0,11219142,0,3267 1,3,2024-09-07 08:49:01:308,1,224,26,0,262,2808,224,0 2,0,2024-09-07 08:49:01:565,53828,0.8,54211,0.9,107689,1.1,143717,2.00 2,1,2024-09-07 08:49:00:861,393796,393796,0,0,184750836892,1921788635,391694,1884,218,380,391745,0 2,2,2024-09-07 08:49:01:266,286723,286723,0,0,12020855,0,3594 2,3,2024-09-07 08:49:00:694,1,224,8,0,357,2217,224,0 3,0,2024-09-07 08:49:01:756,55843,0.5,55967,0.6,111302,0.4,148548,2.00 3,1,2024-09-07 08:49:01:622,393370,393370,0,0,183604413726,1916371286,389608,3300,462,380,391523,0 3,2,2024-09-07 08:49:01:142,280801,280778,23,0,11957059,0,5851 3,3,2024-09-07 08:49:01:751,1,224,1,0,103,1406,224,0 4,0,2024-09-07 08:49:01:767,53885,0.4,55421,0.5,112827,0.3,147830,1.75 4,1,2024-09-07 08:49:00:607,393013,393013,0,0,183808826852,1935819422,388308,3917,788,371,391846,0 4,2,2024-09-07 08:49:01:018,280197,280197,0,0,14382547,0,4528 4,3,2024-09-07 08:49:01:028,1,224,97,0,448,3640,224,0 5,0,2024-09-07 08:49:01:377,56925,0.4,57192,0.6,113676,0.4,151150,1.75 5,1,2024-09-07 08:49:00:764,392797,392797,0,0,183742505511,1937797209,387315,4370,1112,368,392005,0 5,2,2024-09-07 08:49:01:870,282196,282196,0,0,13127573,0,2432 5,3,2024-09-07 08:49:01:732,1,224,2,0,457,3739,224,0 6,0,2024-09-07 08:49:00:917,55327,0.7,54823,0.8,109462,0.8,146347,2.00 6,1,2024-09-07 08:49:00:748,393472,393472,0,0,183812327943,1926269541,388807,3821,844,379,391651,0 6,2,2024-09-07 08:49:01:116,286687,286687,0,0,13194188,0,4816 6,3,2024-09-07 08:49:01:278,1,224,11,0,340,2852,224,0 7,0,2024-09-07 08:49:01:538,53103,0.6,53109,0.7,106115,0.6,142070,2.00 7,1,2024-09-07 08:49:00:850,392711,392711,0,0,184813256382,1944701833,386817,4891,1003,382,391686,0 7,2,2024-09-07 08:49:00:782,280417,280417,0,0,12945891,0,4791 7,3,2024-09-07 08:49:00:851,1,224,0,0,398,2708,224,0 8,0,2024-09-07 08:49:01:320,56493,0.4,56597,0.5,112629,0.4,150189,1.75 8,1,2024-09-07 08:49:01:017,391766,391766,0,0,183464980776,1942179773,383720,6040,2006,367,391956,0 8,2,2024-09-07 08:49:00:822,278181,278181,0,0,15314776,0,3220 8,3,2024-09-07 08:49:00:584,1,224,6,0,357,3549,224,0 9,0,2024-09-07 08:49:01:111,58271,0.3,56519,0.5,117998,0.3,154589,1.75 9,1,2024-09-07 08:49:00:551,392457,392457,0,0,184543998074,1951916567,385979,4938,1540,370,392001,0 9,2,2024-09-07 08:49:01:086,282950,282950,0,0,13523719,0,3360 9,3,2024-09-07 08:49:01:752,1,224,5,0,496,3495,224,0 10,0,2024-09-07 08:49:01:609,57402,0.3,56831,0.5,114509,0.2,152454,1.75 10,1,2024-09-07 08:49:00:586,392855,392855,0,0,184330538625,1937902476,386578,5349,928,381,391741,0 10,2,2024-09-07 08:49:00:763,285304,285304,0,0,15232059,0,4264 10,3,2024-09-07 08:49:00:873,1,224,8,0,177,1926,224,0 11,0,2024-09-07 08:49:01:007,52623,0.5,51099,0.8,106913,0.5,142252,2.00 11,1,2024-09-07 08:49:00:580,393090,393090,0,0,183478041312,1936572150,385165,6011,1914,383,391537,0 11,2,2024-09-07 08:49:01:123,282859,282859,0,0,14241079,0,4130 11,3,2024-09-07 08:49:01:298,1,224,4,0,843,3950,224,0 12,0,2024-09-07 08:49:00:965,58636,0.4,58507,0.5,116967,0.3,155163,1.75 12,1,2024-09-07 08:49:00:934,393786,393786,0,0,183911338767,1920392846,390453,2912,421,370,391837,0 12,2,2024-09-07 08:49:01:540,282108,282108,0,0,13119197,0,3469 12,3,2024-09-07 08:49:01:059,1,224,1,0,386,4038,224,0 13,0,2024-09-07 08:49:01:323,58316,0.3,58162,0.5,116043,0.3,154757,1.50 13,1,2024-09-07 08:49:01:527,393185,393185,0,0,184520259740,1938654317,389719,2927,539,382,391717,0 13,2,2024-09-07 08:49:00:599,282942,282942,0,0,12090922,0,3287 13,3,2024-09-07 08:49:01:762,1,224,1,0,467,3776,224,0 14,0,2024-09-07 08:49:00:572,57628,0.4,58146,0.6,114997,0.3,153125,1.75 14,1,2024-09-07 08:49:01:560,395641,395641,0,0,184581894605,1913718839,392873,2579,189,364,391571,0 14,2,2024-09-07 08:49:00:765,283677,283677,0,0,12133486,0,2896 14,3,2024-09-07 08:49:01:115,1,224,8,0,1168,3383,224,0 15,0,2024-09-07 08:49:01:555,53334,0.6,53722,0.8,107298,0.6,143175,2.25 15,1,2024-09-07 08:49:01:608,394101,394101,0,0,184443087871,1919200708,391788,2169,144,381,391619,0 15,2,2024-09-07 08:49:00:998,286384,286384,0,0,10542959,0,3043 15,3,2024-09-07 08:49:01:409,1,224,2,0,1126,4802,224,0 16,0,2024-09-07 08:49:00:977,56674,0.7,56915,0.8,112935,0.7,151155,2.25 16,1,2024-09-07 08:49:00:584,394213,394213,0,0,184549302720,1932421760,390666,3251,296,370,391756,0 16,2,2024-09-07 08:49:01:436,280455,280455,0,0,12809470,0,4719 16,3,2024-09-07 08:49:01:143,1,224,5,0,317,3206,224,0 17,0,2024-09-07 08:49:01:780,58198,0.5,56734,0.7,111156,0.5,151235,2.00 17,1,2024-09-07 08:49:00:585,392575,392575,0,0,184295783789,1939343325,387747,3726,1102,368,391688,0 17,2,2024-09-07 08:49:01:667,284277,284277,0,0,11948627,0,2857 17,3,2024-09-07 08:49:00:584,1,224,1,0,268,3659,224,0 18,0,2024-09-07 08:49:00:949,56202,0.7,56314,0.9,112659,0.8,150334,2.25 18,1,2024-09-07 08:49:01:639,393989,393989,0,0,184750262265,1917492489,391491,2259,239,367,391649,0 18,2,2024-09-07 08:49:01:755,283782,283782,0,0,11786225,0,3541 18,3,2024-09-07 08:49:00:896,1,224,8,0,163,2413,224,0 19,0,2024-09-07 08:49:01:543,53974,0.8,54637,0.9,107819,0.9,143993,2.25 19,1,2024-09-07 08:49:00:566,393966,393966,0,0,184731303142,1923840984,389368,3813,785,367,391777,0 19,2,2024-09-07 08:49:01:752,288163,288163,0,0,10840869,0,3988 19,3,2024-09-07 08:49:01:129,1,224,1,0,524,1800,224,0 20,0,2024-09-07 08:49:01:353,54319,0.8,54285,0.9,109010,1.0,145897,2.25 20,1,2024-09-07 08:49:00:588,392921,392921,0,0,184530452101,1934491206,389119,3406,396,369,391886,0 20,2,2024-09-07 08:49:00:942,280803,280803,0,0,12496366,0,3721 20,3,2024-09-07 08:49:00:596,1,224,34,0,414,4457,224,0 21,0,2024-09-07 08:49:01:128,55884,0.5,56168,0.7,112081,0.5,148186,2.00 21,1,2024-09-07 08:49:01:538,392201,392201,0,0,182769169851,1930751535,386501,4443,1257,368,391962,0 21,2,2024-09-07 08:49:01:067,280754,280754,0,0,14036282,0,3747 21,3,2024-09-07 08:49:01:404,1,224,1,0,103,2502,224,0 22,0,2024-09-07 08:49:01:719,57467,0.6,57441,0.8,114579,0.6,152444,2.25 22,1,2024-09-07 08:49:01:024,392291,392291,0,0,183242077041,1936060052,385105,5544,1642,382,391667,0 22,2,2024-09-07 08:49:00:783,283290,283290,0,0,12561236,0,3134 22,3,2024-09-07 08:49:01:066,1,224,1,0,228,2261,224,0 23,0,2024-09-07 08:49:01:369,55339,0.7,55283,0.8,111044,0.7,147490,2.25 23,1,2024-09-07 08:49:01:008,392969,392969,0,0,184600172210,1944977006,385679,4739,2551,365,391550,0 23,2,2024-09-07 08:49:01:092,286556,286556,0,0,12253472,0,3010 23,3,2024-09-07 08:49:01:756,1,224,0,0,645,3090,224,0 24,0,2024-09-07 08:49:00:819,53678,0.5,53620,0.6,107466,0.5,143099,1.75 24,1,2024-09-07 08:49:00:591,393116,393116,0,0,183967631267,1935988502,387587,4051,1478,369,391987,0 24,2,2024-09-07 08:49:01:069,280839,280839,0,0,14585343,0,3607 24,3,2024-09-07 08:49:01:690,1,224,6,0,468,3594,224,0 25,0,2024-09-07 08:49:01:345,58633,0.5,57284,0.7,112121,0.5,152927,2.00 25,1,2024-09-07 08:49:00:561,393467,393467,0,0,183943343880,1930350272,389201,3714,552,371,391788,0 25,2,2024-09-07 08:49:01:608,280332,280332,0,0,14914853,0,3978 25,3,2024-09-07 08:49:01:000,1,224,5,0,255,2594,224,0 26,0,2024-09-07 08:49:01:721,57902,0.4,56552,0.6,118564,0.3,154581,1.75 26,1,2024-09-07 08:49:01:540,393039,393039,0,0,183540012706,1932503745,386076,5747,1216,381,391748,0 26,2,2024-09-07 08:49:00:906,282759,282759,0,0,14645181,0,2809 26,3,2024-09-07 08:49:01:712,1,224,8,0,796,3185,224,0 27,0,2024-09-07 08:49:01:732,57794,0.5,57599,0.6,114217,0.4,153003,2.00 27,1,2024-09-07 08:49:01:676,394885,394885,0,0,185455215241,1933385581,391439,2854,592,381,391558,0 27,2,2024-09-07 08:49:00:866,282622,282557,65,0,14922538,0,5699 27,3,2024-09-07 08:49:01:020,1,224,1,0,564,2565,224,0 28,0,2024-09-07 08:49:01:449,53167,0.6,53041,0.7,106508,0.6,142182,2.25 28,1,2024-09-07 08:49:00:800,394547,394547,0,0,185418867328,1933146393,391712,2365,470,383,391646,0 28,2,2024-09-07 08:49:01:764,285077,285077,0,0,11612727,0,2915 28,3,2024-09-07 08:49:01:783,1,224,1,0,502,2518,224,0 29,0,2024-09-07 08:49:01:357,59614,0.4,58381,0.6,113872,0.4,156399,1.75 29,1,2024-09-07 08:49:01:560,395138,395138,0,0,184785917680,1916312127,391923,2650,565,369,391621,0 29,2,2024-09-07 08:49:00:862,280728,280728,0,0,10849268,0,4018 29,3,2024-09-07 08:49:00:963,1,224,1,0,115,1710,224,0 30,0,2024-09-07 08:49:01:459,56818,0.6,55278,0.7,115632,0.5,151645,2.00 30,1,2024-09-07 08:49:00:579,395287,395287,0,0,185618567190,1925310605,393078,1916,293,382,391672,0 30,2,2024-09-07 08:49:01:277,282513,282513,0,0,10860886,0,3161 30,3,2024-09-07 08:49:00:585,1,224,166,0,195,1770,224,0 31,0,2024-09-07 08:49:01:758,56776,0.4,57037,0.6,114473,0.4,152134,2.00 31,1,2024-09-07 08:49:00:566,395977,395977,0,0,185445524377,1901661917,394859,960,158,356,391712,0 31,2,2024-09-07 08:49:01:277,282733,282733,0,0,12555773,0,3525 31,3,2024-09-07 08:49:01:705,1,224,1,0,220,1849,224,0 32,0,2024-09-07 08:49:01:424,53809,0.4,54335,0.6,108703,0.3,144337,1.75 32,1,2024-09-07 08:49:00:807,395188,395188,0,0,184644378944,1917908047,393266,1643,279,381,391614,0 32,2,2024-09-07 08:49:00:936,286970,286970,0,0,10818001,0,3155 32,3,2024-09-07 08:49:01:016,1,224,4,0,227,1761,224,0 33,0,2024-09-07 08:49:01:501,56346,0.3,55676,0.5,112012,0.2,149487,1.75 33,1,2024-09-07 08:49:00:574,395430,395430,0,0,185795918615,1922415088,392852,2404,174,369,391730,0 33,2,2024-09-07 08:49:00:764,281579,281546,33,0,12505654,0,7012 33,3,2024-09-07 08:49:00:895,1,224,1,0,329,2562,224,0 34,0,2024-09-07 08:49:00:936,55585,0.3,57243,0.5,109821,0.3,147080,1.75 34,1,2024-09-07 08:49:01:047,395895,395895,0,0,185421276996,1909150265,394820,1068,7,367,391562,0 34,2,2024-09-07 08:49:00:773,281529,281529,0,0,11653862,0,3577 34,3,2024-09-07 08:49:01:690,1,224,12,0,299,1892,224,0 35,0,2024-09-07 08:49:00:858,56412,0.4,56778,0.5,113991,0.3,151412,1.75 35,1,2024-09-07 08:49:01:067,394188,394188,0,0,184704516001,1918531145,390913,2496,779,384,391589,0 35,2,2024-09-07 08:49:01:583,284231,284231,0,0,11077814,0,2653 35,3,2024-09-07 08:49:00:907,1,224,1,0,418,2705,224,0 36,0,2024-09-07 08:49:01:517,55006,0.7,54936,0.9,110128,0.8,146071,2.50 36,1,2024-09-07 08:49:00:585,393440,393440,0,0,184752340927,1937789659,387515,4347,1578,366,391759,0 36,2,2024-09-07 08:49:01:751,286084,286084,0,0,13514361,0,3875 36,3,2024-09-07 08:49:00:863,1,224,1,0,416,3897,224,0 37,0,2024-09-07 08:49:01:371,53013,0.6,52887,0.8,106134,0.6,143004,2.00 37,1,2024-09-07 08:49:00:580,393005,392998,0,7,183975675295,1934434538,386996,3936,2066,365,391560,0 37,2,2024-09-07 08:49:01:143,280566,280551,15,0,13579081,0,5815 37,3,2024-09-07 08:49:01:766,1,224,12,0,888,4296,224,0 38,0,2024-09-07 08:49:01:452,55913,0.5,54314,0.7,113660,0.5,148409,2.00 38,1,2024-09-07 08:49:01:610,394494,394494,0,0,184226140414,1929215437,389229,4591,674,368,391821,0 38,2,2024-09-07 08:49:00:771,281136,281089,47,0,14053669,0,6710 38,3,2024-09-07 08:49:00:997,1,224,12,0,689,3502,224,0 39,0,2024-09-07 08:49:01:775,59331,0.6,58238,0.7,113122,0.7,154582,2.00 39,1,2024-09-07 08:49:00:716,394096,394096,0,0,184466723114,1930609464,388571,4624,901,365,391594,0 39,2,2024-09-07 08:49:01:437,282875,282875,0,0,12243018,0,2689 39,3,2024-09-07 08:49:00:713,1,224,1,0,324,3356,224,0 40,0,2024-09-07 08:49:01:493,56616,0.8,57151,1.0,114225,0.8,152016,3.00 40,1,2024-09-07 08:49:00:577,393791,393791,0,0,183887263477,1934327317,386793,5555,1443,368,391591,0 40,2,2024-09-07 08:49:01:307,284447,284446,1,0,15031632,0,5137 40,3,2024-09-07 08:49:01:142,1,224,1,0,398,2961,224,0 41,0,2024-09-07 08:49:01:072,52353,2.4,53537,1.6,102246,3.7,140281,4.25 41,1,2024-09-07 08:49:00:768,393346,393346,0,0,184957788630,1939255381,387842,4870,634,370,391742,0 41,2,2024-09-07 08:49:00:767,281875,281875,0,0,14548483,0,3356 41,3,2024-09-07 08:49:01:676,1,224,2,0,366,2703,224,0 42,0,2024-09-07 08:49:01:492,57370,1.0,57693,1.1,115283,1.2,151915,2.75 42,1,2024-09-07 08:49:01:439,392150,392150,0,0,184127964148,1941564296,385038,5660,1452,380,391675,0 42,2,2024-09-07 08:49:01:137,281239,281239,0,0,13626468,0,3568 42,3,2024-09-07 08:49:01:009,1,224,8,0,446,2011,224,0 43,0,2024-09-07 08:49:00:920,57639,0.7,56084,0.9,117290,0.7,154439,2.25 43,1,2024-09-07 08:49:00:586,393057,393057,0,0,184400973260,1933382739,386800,4928,1329,366,391696,0 43,2,2024-09-07 08:49:01:736,282891,282891,0,0,13163881,0,3812 43,3,2024-09-07 08:49:01:750,1,224,2,0,467,3000,224,0 44,0,2024-09-07 08:49:00:861,57376,0.4,57716,0.6,115088,0.4,153286,1.75 44,1,2024-09-07 08:49:00:563,395042,395042,0,0,184803705631,1909886624,392546,2065,431,356,391809,0 44,2,2024-09-07 08:49:01:267,283224,283224,0,0,10804224,0,2231 44,3,2024-09-07 08:49:01:093,1,224,1,0,817,2787,224,0 45,0,2024-09-07 08:49:01:756,52644,0.8,51565,0.9,108103,0.8,142638,2.00 45,1,2024-09-07 08:49:01:007,394371,394371,0,0,185221029045,1920626342,392858,1501,12,382,391917,0 45,2,2024-09-07 08:49:01:274,286483,286483,0,0,11728019,0,3596 45,3,2024-09-07 08:49:00:934,1,224,1,0,271,2555,224,0 46,0,2024-09-07 08:49:00:949,56447,0.4,56389,0.6,113004,0.3,149835,2.00 46,1,2024-09-07 08:49:00:578,395509,395509,0,0,184977227685,1911981509,393278,1957,274,366,391524,0 46,2,2024-09-07 08:49:00:599,281552,281552,0,0,11128303,0,2920 46,3,2024-09-07 08:49:01:134,1,224,8,0,908,3455,224,0 47,0,2024-09-07 08:49:01:107,56618,0.4,56575,0.6,113691,0.4,150439,1.75 47,1,2024-09-07 08:49:00:567,395682,395682,0,0,185044881529,1911195747,393663,1934,85,366,391605,0 47,2,2024-09-07 08:49:00:908,283560,283560,0,0,11177632,0,2558 47,3,2024-09-07 08:49:01:115,1,224,1,0,529,2216,224,0 48,0,2024-09-07 08:49:01:502,57188,0.3,56845,0.4,113237,0.2,151236,1.50 48,1,2024-09-07 08:49:01:022,395351,395351,0,0,185169520645,1925541326,392392,2660,299,384,391710,0 48,2,2024-09-07 08:49:00:699,283740,283740,0,0,10931006,0,3031 48,3,2024-09-07 08:49:00:753,1,224,0,0,339,2030,224,0 49,0,2024-09-07 08:49:01:723,55990,0.4,55010,0.6,106545,0.4,145983,1.75 49,1,2024-09-07 08:49:01:021,393126,393126,0,0,184930345564,1930331303,389335,2610,1181,382,391610,0 49,2,2024-09-07 08:49:01:801,287852,287852,0,0,11524237,0,3900 49,3,2024-09-07 08:49:01:416,1,224,2,0,408,2643,224,0 50,0,2024-09-07 08:49:01:513,54641,0.3,54121,0.5,108805,0.2,145776,1.75 50,1,2024-09-07 08:49:01:010,395805,395805,0,0,185133313623,1917605299,393100,2395,310,368,391530,0 50,2,2024-09-07 08:49:01:067,281492,281492,0,0,10647494,0,2263 50,3,2024-09-07 08:49:01:291,1,224,13,0,335,2000,224,0 51,0,2024-09-07 08:49:01:685,57140,0.3,55951,0.5,109051,0.2,148533,1.75 51,1,2024-09-07 08:49:01:681,395187,395187,0,0,185543656765,1918268055,392446,1802,939,365,391706,0 51,2,2024-09-07 08:49:01:316,281395,281395,0,0,9762734,0,3337 51,3,2024-09-07 08:49:01:028,1,224,1,0,678,1965,224,0 52,0,2024-09-07 08:49:01:421,58189,0.5,57853,0.7,115448,0.4,153165,2.00 52,1,2024-09-07 08:49:00:579,393254,393254,0,0,183759323769,1933668899,386122,5879,1253,368,391722,0 52,2,2024-09-07 08:49:01:757,281831,281793,38,0,14321377,0,6742 52,3,2024-09-07 08:49:00:677,1,224,2,0,1782,4156,224,0 53,0,2024-09-07 08:49:01:743,55180,0.8,53691,0.9,112262,0.9,146712,2.50 53,1,2024-09-07 08:49:00:773,392523,392523,0,0,184025616635,1939760000,385201,4966,2356,367,391702,0 53,2,2024-09-07 08:49:01:299,286414,286414,0,0,12004503,0,2727 53,3,2024-09-07 08:49:00:697,1,224,1,0,271,2162,224,0 54,0,2024-09-07 08:49:01:618,52205,1.2,52656,1.0,104447,0.8,140397,3.25 54,1,2024-09-07 08:49:00:584,393938,393938,0,0,184839433083,1928199715,389204,4137,597,367,391659,0 54,2,2024-09-07 08:49:00:865,281733,281727,6,0,13193430,0,5382 54,3,2024-09-07 08:49:00:764,1,224,11,0,676,3635,224,0 55,0,2024-09-07 08:49:01:771,55068,0.7,57092,0.9,114810,0.7,149364,2.50 55,1,2024-09-07 08:49:00:783,393078,393078,0,0,184144249318,1923137278,387529,4762,787,365,391731,0 55,2,2024-09-07 08:49:00:729,280542,280542,0,0,13247840,0,3275 55,3,2024-09-07 08:49:00:681,1,224,3,0,304,2722,224,0 56,0,2024-09-07 08:49:01:608,59409,1.1,56042,1.1,115330,1.5,154494,2.50 56,1,2024-09-07 08:49:00:577,391771,391771,0,0,183991586260,1952174148,384639,5694,1438,381,391678,0 56,2,2024-09-07 08:49:01:307,282814,282814,0,0,14279833,0,3567 56,3,2024-09-07 08:49:01:059,1,224,1,0,705,3475,224,0 57,0,2024-09-07 08:49:00:932,56521,1.8,56676,1.3,113309,2.4,151549,3.25 57,1,2024-09-07 08:49:00:986,393156,393156,0,0,183751068862,1931697159,387841,4645,670,367,391960,0 57,2,2024-09-07 08:49:01:318,284600,284600,0,0,15385792,0,3317 57,3,2024-09-07 08:49:01:738,1,224,35,0,359,3268,224,0 58,0,2024-09-07 08:49:00:560,51849,1.2,50592,1.1,105764,1.6,138663,2.75 58,1,2024-09-07 08:49:00:578,393680,393677,0,3,185073187688,1938372725,388126,4856,695,367,391545,3 58,2,2024-09-07 08:49:01:076,285051,285051,0,0,13912335,0,2549 58,3,2024-09-07 08:49:01:068,1,224,15,0,1043,2772,224,0 59,0,2024-09-07 08:49:01:744,57595,0.8,57627,1.0,114812,0.9,152881,3.00 59,1,2024-09-07 08:49:00:807,393143,393143,0,0,184568550286,1937501035,387357,4521,1265,369,391525,0 59,2,2024-09-07 08:49:00:584,282298,282298,0,0,12474520,0,2604 59,3,2024-09-07 08:49:01:737,1,224,1,0,1015,3442,224,0 60,0,2024-09-07 08:49:01:723,57220,0.4,56923,0.6,114584,0.4,152349,1.75 60,1,2024-09-07 08:49:00:782,395408,395408,0,0,185095249471,1921848525,393003,1888,517,370,392031,0 60,2,2024-09-07 08:49:01:149,282082,282082,0,0,12970341,0,3811 60,3,2024-09-07 08:49:01:258,1,224,1,0,124,2162,224,0 61,0,2024-09-07 08:49:01:492,57067,0.7,57362,0.8,114167,0.8,152292,2.00 61,1,2024-09-07 08:49:00:771,393687,393687,0,0,184260192043,1931928905,389121,3864,702,382,391880,0 61,2,2024-09-07 08:49:01:115,284195,284195,0,0,11623180,0,2090 61,3,2024-09-07 08:49:01:689,1,224,2,0,397,3264,224,0 62,0,2024-09-07 08:49:01:708,54545,0.8,55536,0.9,105804,1.0,144272,2.00 62,1,2024-09-07 08:49:01:111,396384,396378,0,6,185580140373,1916291617,393993,2269,116,365,391715,6 62,2,2024-09-07 08:49:01:650,285430,285429,1,0,13210402,0,5555 62,3,2024-09-07 08:49:01:143,1,224,4,0,287,1663,224,0 63,0,2024-09-07 08:49:01:455,55855,0.5,56046,0.6,111819,0.4,149162,1.75 63,1,2024-09-07 08:49:00:808,395931,395925,0,6,185109222356,1919082871,394358,1517,50,381,391677,6 63,2,2024-09-07 08:49:00:783,281133,281133,0,0,10790501,0,2674 63,3,2024-09-07 08:49:01:742,1,224,2,0,667,2801,224,0 64,0,2024-09-07 08:49:01:533,55047,0.5,55182,0.6,110574,0.4,146988,1.75 64,1,2024-09-07 08:49:00:792,394333,394333,0,0,184567383583,1929494727,389857,3062,1414,370,391783,0 64,2,2024-09-07 08:49:01:151,283901,283882,19,0,11789224,0,6121 64,3,2024-09-07 08:49:01:147,1,224,3,0,265,2441,224,0 65,0,2024-09-07 08:49:01:679,56285,0.6,56737,0.7,113215,0.7,150369,2.25 65,1,2024-09-07 08:49:00:863,393152,393152,0,0,183697040984,1925642373,389608,3101,443,382,391901,0 65,2,2024-09-07 08:49:01:708,283686,283686,0,0,12482338,0,3367 65,3,2024-09-07 08:49:01:689,1,224,1,0,163,2221,224,0 66,0,2024-09-07 08:49:01:770,54531,0.5,54569,0.8,109625,0.5,145283,2.25 66,1,2024-09-07 08:49:01:293,394910,394910,0,0,185231485934,1925456879,392523,2206,181,380,391588,0 66,2,2024-09-07 08:49:01:136,288743,288743,0,0,12301695,0,4956 66,3,2024-09-07 08:49:01:079,1,224,9,0,291,2548,224,0 67,0,2024-09-07 08:49:01:419,53667,0.7,53263,0.8,106956,0.9,142964,2.25 67,1,2024-09-07 08:49:00:779,394022,394021,0,1,184452291132,1927122743,390240,3034,747,380,391787,1 67,2,2024-09-07 08:49:00:588,282710,282710,0,0,11102159,0,2889 67,3,2024-09-07 08:49:01:750,1,224,1,0,338,2144,224,0 68,0,2024-09-07 08:49:00:601,56470,0.7,56429,0.8,112199,0.7,150037,2.00 68,1,2024-09-07 08:49:00:576,393203,393203,0,0,183527885856,1928477091,389234,2831,1138,381,391953,0 68,2,2024-09-07 08:49:01:049,280604,280539,65,0,15152137,0,6698 68,3,2024-09-07 08:49:00:728,1,224,1,0,417,2742,224,0 69,0,2024-09-07 08:49:01:768,57774,0.7,58049,0.8,115609,0.9,153785,2.25 69,1,2024-09-07 08:49:01:020,392037,392037,0,0,183512075408,1942152934,386415,4221,1401,384,391994,0 69,2,2024-09-07 08:49:01:733,283014,283014,0,0,14639445,0,3722 69,3,2024-09-07 08:49:00:785,1,224,12,0,698,3526,224,0 70,0,2024-09-07 08:49:01:546,56777,1.1,56717,1.1,113922,0.8,151594,2.50 70,1,2024-09-07 08:49:00:809,393932,393932,0,0,184645289703,1921711665,390277,3127,528,366,391725,0 70,2,2024-09-07 08:49:01:325,285063,285063,0,0,13794477,0,4044 70,3,2024-09-07 08:49:00:744,1,224,11,0,854,2789,224,0 71,0,2024-09-07 08:49:01:357,52497,1.7,52359,1.4,104759,2.4,141223,3.25 71,1,2024-09-07 08:49:01:611,393546,393546,0,0,184700295884,1931724959,388427,4498,621,368,391738,0 71,2,2024-09-07 08:49:01:067,283833,283833,0,0,13752866,0,4042 71,3,2024-09-07 08:49:01:749,1,224,1,0,644,3555,224,0 72,0,2024-09-07 08:49:01:028,59974,0.9,58676,0.9,114460,1.1,155565,2.25 72,1,2024-09-07 08:49:01:022,393611,393611,0,0,183585048573,1922078367,388618,4062,931,369,391819,0 72,2,2024-09-07 08:49:01:759,279991,279991,0,0,15338359,0,3983 72,3,2024-09-07 08:49:01:759,1,224,3,0,564,4320,224,0 73,0,2024-09-07 08:49:01:112,56546,0.4,57844,0.6,118673,0.4,154156,2.00 73,1,2024-09-07 08:49:00:773,394179,394179,0,0,183945962576,1915374112,391192,2755,232,367,391750,0 73,2,2024-09-07 08:49:01:739,282935,282935,0,0,14763966,0,3701 73,3,2024-09-07 08:49:00:972,1,224,3,0,274,3576,224,0 74,0,2024-09-07 08:49:01:355,58007,0.5,59241,0.7,112993,0.5,153252,2.25 74,1,2024-09-07 08:49:00:634,393678,393678,0,0,183811894273,1924288800,389292,3587,799,381,391681,0 74,2,2024-09-07 08:49:01:007,283648,283648,0,0,14239001,0,4253 74,3,2024-09-07 08:49:01:442,1,224,8,0,522,3282,224,0 75,0,2024-09-07 08:49:01:767,53773,0.7,53469,1.0,107296,0.7,144160,2.50 75,1,2024-09-07 08:49:01:587,393104,393104,0,0,183756362287,1926417406,388214,4227,663,380,391660,0 75,2,2024-09-07 08:49:01:350,285197,285197,0,0,14883236,0,4766 75,3,2024-09-07 08:49:01:072,1,224,0,0,702,3468,224,0 76,0,2024-09-07 08:49:00:627,56231,0.5,55852,0.7,111760,0.5,149677,2.25 76,1,2024-09-07 08:49:00:812,394334,394334,0,0,184234736686,1923910060,391486,2300,548,382,391692,0 76,2,2024-09-07 08:49:01:061,282165,282165,0,0,11704054,0,3784 76,3,2024-09-07 08:49:01:148,1,224,1,0,175,2413,224,0 77,0,2024-09-07 08:49:01:703,56478,0.5,56688,0.8,113177,0.5,150456,2.00 77,1,2024-09-07 08:49:00:832,394355,394355,0,0,184287064234,1926309666,391230,2870,255,383,391808,0 77,2,2024-09-07 08:49:01:281,281330,281330,0,0,12146927,0,3890 77,3,2024-09-07 08:49:01:094,1,224,3,0,305,2343,224,0 78,0,2024-09-07 08:49:01:769,56959,0.4,56344,0.7,113802,0.4,150509,2.00 78,1,2024-09-07 08:49:00:609,394210,394210,0,0,183748574635,1910401311,391235,2658,317,367,391670,0 78,2,2024-09-07 08:49:01:405,283766,283766,0,0,11167325,0,3855 78,3,2024-09-07 08:49:01:136,1,224,21,0,181,2351,224,0 79,0,2024-09-07 08:49:01:391,52774,0.5,54148,0.7,110700,0.4,143842,2.50 79,1,2024-09-07 08:49:00:575,395540,395540,0,0,185272014901,1919616326,392709,2495,336,369,391682,0 79,2,2024-09-07 08:49:01:089,287990,287990,0,0,11174240,0,3212 79,3,2024-09-07 08:49:00:755,1,224,1,0,418,3540,224,0 80,0,2024-09-07 08:49:01:094,54442,0.6,56050,0.7,107206,0.6,145565,2.00 80,1,2024-09-07 08:49:01:628,393547,393547,0,0,184700970388,1925202519,390586,2793,168,368,391791,0 80,2,2024-09-07 08:49:01:092,281999,281999,0,0,11529432,0,4433 80,3,2024-09-07 08:49:00:577,1,224,6,0,190,3234,224,0 81,0,2024-09-07 08:49:01:545,55707,0.5,57006,0.7,109473,0.5,147666,2.00 81,1,2024-09-07 08:49:01:651,393434,393434,0,0,183272226377,1918764754,389856,3132,446,382,391879,0 81,2,2024-09-07 08:49:01:125,281184,281184,0,0,11781796,0,3993 81,3,2024-09-07 08:49:01:117,1,224,5,0,374,2650,224,0 82,0,2024-09-07 08:49:01:549,57211,0.4,57696,0.6,115518,0.4,153237,2.00 82,1,2024-09-07 08:49:00:587,394503,394499,0,4,185223799529,1932339148,391282,2606,611,381,391558,4 82,2,2024-09-07 08:49:01:690,284201,284201,0,0,11366924,0,3986 82,3,2024-09-07 08:49:01:752,1,224,2,0,363,2753,224,0 83,0,2024-09-07 08:49:01:541,55659,0.6,55748,0.7,110735,0.6,147095,2.00 83,1,2024-09-07 08:49:00:551,393723,393723,0,0,184572147662,1927405664,390583,2897,243,382,391690,0 83,2,2024-09-07 08:49:00:768,285824,285824,0,0,11302282,0,3119 83,3,2024-09-07 08:49:00:755,1,224,3,0,250,2382,224,0 84,0,2024-09-07 08:49:01:849,52712,0.9,52877,1.0,105520,0.8,141811,2.50 84,1,2024-09-07 08:49:01:039,392711,392711,0,0,184184020445,1931117700,387987,4150,574,368,391967,0 84,2,2024-09-07 08:49:00:577,282165,282165,0,0,13378836,0,3801 84,3,2024-09-07 08:49:01:141,1,224,1,0,908,3803,224,0 85,0,2024-09-07 08:49:01:009,55191,0.8,55129,1.0,116943,1.0,150916,2.50 85,1,2024-09-07 08:49:00:573,392275,392275,0,0,183525129067,1945472019,385458,5712,1105,382,392006,0 85,2,2024-09-07 08:49:00:868,282509,282509,0,0,14069522,0,3656 85,3,2024-09-07 08:49:00:712,1,224,1,0,789,3117,224,0 86,0,2024-09-07 08:49:00:887,58203,0.6,59707,0.8,114495,0.6,154458,2.00 86,1,2024-09-07 08:49:00:830,393931,393931,0,0,184705180834,1936204180,388962,4246,723,366,391961,0 86,2,2024-09-07 08:49:00:854,281837,281836,1,0,14890885,0,5004 86,3,2024-09-07 08:49:00:600,1,224,8,0,286,3111,224,0 87,0,2024-09-07 08:49:01:297,57327,1.1,56891,1.0,114498,1.5,153365,2.25 87,1,2024-09-07 08:49:00:559,393628,393628,0,0,183980311226,1929168412,389178,3970,480,366,391788,0 87,2,2024-09-07 08:49:01:067,283059,283059,0,0,13380679,0,4045 87,3,2024-09-07 08:49:01:793,1,224,9,0,473,3723,224,0 88,0,2024-09-07 08:49:01:451,53138,0.6,53381,0.7,106594,0.6,141694,2.00 88,1,2024-09-07 08:49:00:572,391911,391911,0,0,183708832010,1933789241,385749,4650,1512,365,392084,0 88,2,2024-09-07 08:49:00:691,284855,284855,0,0,15273389,0,3583 88,3,2024-09-07 08:49:01:267,1,224,8,0,435,2906,224,0 89,0,2024-09-07 08:49:01:869,59750,0.5,57764,0.7,114552,0.5,156321,2.00 89,1,2024-09-07 08:49:00:552,391412,391412,0,0,184318299250,1950234067,384642,5596,1174,382,391866,0 89,2,2024-09-07 08:49:01:139,280518,280518,0,0,14265060,0,2910 89,3,2024-09-07 08:49:01:791,1,224,40,0,325,4603,224,0 90,0,2024-09-07 08:49:01:616,55462,0.5,56649,0.6,116257,0.4,151404,1.75 90,1,2024-09-07 08:49:00:594,393670,393670,0,0,184023367887,1932902456,389574,3819,277,381,391825,0 90,2,2024-09-07 08:49:01:409,280537,280537,0,0,15410533,0,3060 90,3,2024-09-07 08:49:00:932,1,224,8,0,200,2488,224,0 91,0,2024-09-07 08:49:00:929,57527,0.4,55575,0.6,116025,0.4,152473,1.75 91,1,2024-09-07 08:49:00:559,392935,392935,0,0,184258384986,1942433495,387104,5141,690,381,392047,0 91,2,2024-09-07 08:49:01:330,283007,283007,0,0,14063820,0,2896 91,3,2024-09-07 08:49:00:598,1,224,1,0,216,2311,224,0 92,0,2024-09-07 08:49:01:464,54502,0.6,55884,0.7,106898,0.6,144490,1.75 92,1,2024-09-07 08:49:00:596,393285,393285,0,0,184339542564,1923836635,390928,1951,406,382,392136,0 92,2,2024-09-07 08:49:01:350,288183,288183,0,0,11791305,0,2801 92,3,2024-09-07 08:49:01:009,1,224,6,0,167,1868,224,0 93,0,2024-09-07 08:49:01:007,56239,0.4,57520,0.6,109971,0.4,149688,1.75 93,1,2024-09-07 08:49:00:807,394046,394046,0,0,184196735159,1924388226,390031,3338,677,366,391692,0 93,2,2024-09-07 08:49:00:930,281878,281878,0,0,11956957,0,2509 93,3,2024-09-07 08:49:01:410,1,224,1,0,190,2422,224,0 94,0,2024-09-07 08:49:01:607,55444,0.4,56057,0.5,111550,0.3,147820,1.75 94,1,2024-09-07 08:49:00:563,393658,393658,0,0,184569491863,1930271768,390493,2989,176,381,391850,0 94,2,2024-09-07 08:49:00:763,281155,281155,0,0,11205973,0,2443 94,3,2024-09-07 08:49:01:747,1,224,8,0,264,3118,224,0 95,0,2024-09-07 08:49:01:352,57172,0.3,56663,0.5,114592,0.3,151331,1.75 95,1,2024-09-07 08:49:00:867,394506,394506,0,0,184926126915,1924763261,391184,2971,351,367,391662,0 95,2,2024-09-07 08:49:01:017,283227,283227,0,0,11914130,0,3308 95,3,2024-09-07 08:49:01:721,1,224,2,0,718,4306,224,0 96,0,2024-09-07 08:49:01:029,55116,0.5,55333,0.6,110398,0.4,145886,1.75 96,1,2024-09-07 08:49:01:585,393064,393064,0,0,184265785725,1927844871,389506,2829,729,384,391894,0 96,2,2024-09-07 08:49:01:268,286629,286629,0,0,12495603,0,4042 96,3,2024-09-07 08:49:01:142,1,224,79,0,411,2725,224,0 97,0,2024-09-07 08:49:01:325,53503,0.4,53348,0.5,107150,0.3,143034,1.75 97,1,2024-09-07 08:49:00:773,394409,394409,0,0,185306920640,1924586038,391427,2429,553,367,392140,0 97,2,2024-09-07 08:49:00:610,281553,281553,0,0,11494276,0,3036 97,3,2024-09-07 08:49:00:609,1,224,34,0,165,2619,224,0 98,0,2024-09-07 08:49:01:728,56406,0.3,56489,0.5,113459,0.3,150234,1.50 98,1,2024-09-07 08:49:00:571,394518,394518,0,0,185113416164,1928838024,392398,2024,96,382,391997,0 98,2,2024-09-07 08:49:00:779,282203,282203,0,0,11707661,0,3080 98,3,2024-09-07 08:49:00:709,1,224,8,0,840,4088,224,0 99,0,2024-09-07 08:49:01:460,58117,0.3,58259,0.4,116305,0.3,154864,1.50 99,1,2024-09-07 08:49:01:726,394501,394501,0,0,183812282224,1920349078,390724,3038,739,381,391744,0 99,2,2024-09-07 08:49:01:417,285852,285852,0,0,12291317,0,3106 99,3,2024-09-07 08:49:00:584,1,224,4,0,168,1853,224,0 100,0,2024-09-07 08:49:01:474,57087,0.8,57075,1.0,113972,1.0,152143,2.25 100,1,2024-09-07 08:49:00:555,391416,391416,0,0,182830818645,1940234688,384586,5513,1317,381,391989,0 100,2,2024-09-07 08:49:01:821,283638,283627,11,0,14133040,0,5417 100,3,2024-09-07 08:49:01:732,1,224,3,0,559,4726,224,0 101,0,2024-09-07 08:49:01:855,53932,2.4,52586,1.4,103168,3.0,142538,3.00 101,1,2024-09-07 08:49:00:552,392089,392089,0,0,183437704786,1940713853,384749,5569,1771,368,391769,0 101,2,2024-09-07 08:49:01:783,282554,282554,0,0,15308909,0,4644 101,3,2024-09-07 08:49:00:941,1,224,1,0,448,3014,224,0 102,0,2024-09-07 08:49:00:944,56723,0.8,58925,0.9,118221,0.9,154898,2.25 102,1,2024-09-07 08:49:01:142,392474,392474,0,0,183625649496,1935462560,386832,4803,839,369,391883,0 102,2,2024-09-07 08:49:01:744,281759,281705,54,0,13955302,0,6768 102,3,2024-09-07 08:49:01:623,1,224,9,0,410,2481,224,0 103,0,2024-09-07 08:49:01:595,59673,0.5,59731,0.7,112541,0.4,155286,2.00 103,1,2024-09-07 08:49:01:640,391969,391969,0,0,183125979217,1936279474,385686,4903,1380,381,391829,0 103,2,2024-09-07 08:49:00:588,281098,281098,0,0,13045693,0,2582 103,3,2024-09-07 08:49:00:755,1,224,7,0,916,3476,224,0 104,0,2024-09-07 08:49:01:012,56978,0.9,57405,1.0,113473,0.9,153218,2.25 104,1,2024-09-07 08:49:01:609,393353,393353,0,0,183481100837,1936551473,386695,5563,1095,365,391948,0 104,2,2024-09-07 08:49:01:666,282644,282644,0,0,14753731,0,3941 104,3,2024-09-07 08:49:01:416,1,224,4,0,1245,6120,224,0 105,0,2024-09-07 08:49:01:034,52990,1.6,51489,1.4,107632,2.6,142137,3.75 105,1,2024-09-07 08:49:00:560,393247,393247,0,0,184085179208,1936943565,387298,5052,897,367,391797,0 105,2,2024-09-07 08:49:01:332,285571,285571,0,0,14367265,0,3509 105,3,2024-09-07 08:49:01:304,1,224,2,0,399,4118,224,0 106,0,2024-09-07 08:49:00:938,54571,0.7,55882,0.9,114397,0.8,149598,2.50 106,1,2024-09-07 08:49:01:763,392564,392564,0,0,182775928607,1927949091,385498,6310,756,369,391767,0 106,2,2024-09-07 08:49:00:764,279192,279192,0,0,13473297,0,2795 106,3,2024-09-07 08:49:00:677,1,224,9,0,470,3407,224,0 107,0,2024-09-07 08:49:01:115,56441,0.9,56360,0.9,112288,1.0,150099,2.00 107,1,2024-09-07 08:49:00:608,392161,392161,0,0,183828297821,1939803888,386873,4744,544,381,392234,0 107,2,2024-09-07 08:49:01:292,281035,281034,1,0,14334644,0,5024 107,3,2024-09-07 08:49:01:758,1,224,6,0,353,3299,224,0 108,0,2024-09-07 08:49:01:805,56902,0.4,57120,0.6,113280,0.4,151161,1.75 108,1,2024-09-07 08:49:01:293,393816,393816,0,0,184650551656,1926590494,390630,2802,384,368,391857,0 108,2,2024-09-07 08:49:01:766,282516,282516,0,0,12716158,0,2647 108,3,2024-09-07 08:49:01:331,1,224,0,0,749,4751,224,0 109,0,2024-09-07 08:49:01:744,54823,0.4,54278,0.6,108850,0.4,145962,1.75 109,1,2024-09-07 08:49:00:589,392915,392915,0,0,184259805078,1934845322,389232,3170,513,383,392132,0 109,2,2024-09-07 08:49:00:928,286038,286038,0,0,13213548,0,3617 109,3,2024-09-07 08:49:01:140,1,224,1,0,249,2875,224,0 110,0,2024-09-07 08:49:01:760,54603,0.4,53118,0.6,111167,0.4,146264,1.75 110,1,2024-09-07 08:49:01:664,394417,394417,0,0,185575658644,1929278553,391365,2175,877,370,392045,0 110,2,2024-09-07 08:49:01:303,281591,281591,0,0,12178041,0,3264 110,3,2024-09-07 08:49:00:691,1,224,21,0,406,3069,224,0 111,0,2024-09-07 08:49:01:418,56248,0.4,55707,0.5,111381,0.3,148967,1.75 111,1,2024-09-07 08:49:01:000,394971,394971,0,0,185340499250,1923622815,392987,1649,335,382,391690,0 111,2,2024-09-07 08:49:01:116,281237,281237,0,0,11942782,0,2763 111,3,2024-09-07 08:49:00:913,1,224,0,0,379,3180,224,0 112,0,2024-09-07 08:49:00:926,57813,0.3,57794,0.4,115515,0.2,153343,1.50 112,1,2024-09-07 08:49:00:834,395134,395134,0,0,184599963706,1917233014,392719,1987,428,380,391580,0 112,2,2024-09-07 08:49:01:137,283454,283453,1,0,12176707,0,5036 112,3,2024-09-07 08:49:00:593,1,224,41,0,282,2486,224,0 113,0,2024-09-07 08:49:00:879,55535,0.3,55446,0.5,111517,0.3,148305,1.75 113,1,2024-09-07 08:49:01:690,395422,395422,0,0,185740360467,1919360894,392933,2000,489,366,391661,0 113,2,2024-09-07 08:49:01:303,287473,287473,0,0,11203499,0,3813 113,3,2024-09-07 08:49:00:684,1,224,2,0,340,3546,224,0 114,0,2024-09-07 08:49:00:886,53613,0.5,54105,0.6,107204,0.3,143607,1.75 114,1,2024-09-07 08:49:00:718,394119,394119,0,0,184671855616,1928229796,389316,3215,1588,381,391556,0 114,2,2024-09-07 08:49:00:876,282422,282421,1,0,12178270,0,5069 114,3,2024-09-07 08:49:01:279,1,224,8,0,395,2598,224,0 115,0,2024-09-07 08:49:00:558,57232,0.3,57564,0.5,115152,0.3,152669,1.75 115,1,2024-09-07 08:49:00:571,393867,393867,0,0,185369423263,1931816420,389526,3507,834,382,391602,0 115,2,2024-09-07 08:49:01:125,282549,282549,0,0,10402864,0,2152 115,3,2024-09-07 08:49:01:006,1,224,10,0,159,1321,224,0 116,0,2024-09-07 08:49:01:774,58051,0.7,57771,0.9,115857,0.7,155038,2.00 116,1,2024-09-07 08:49:00:823,391589,391589,0,0,183251106786,1946265954,385207,4329,2053,380,391782,0 116,2,2024-09-07 08:49:01:757,282034,282034,0,0,15332766,0,3529 116,3,2024-09-07 08:49:00:912,1,224,8,0,415,3465,224,0 117,0,2024-09-07 08:49:00:960,57326,0.9,57187,0.9,114370,1.0,153473,2.00 117,1,2024-09-07 08:49:01:582,393103,393103,0,0,183655755091,1927479791,388458,4165,480,370,392033,0 117,2,2024-09-07 08:49:01:118,285556,285556,0,0,12708148,0,3700 117,3,2024-09-07 08:49:01:059,1,224,1,0,490,3883,224,0 118,0,2024-09-07 08:49:01:775,51567,0.8,53093,0.8,107923,0.8,141398,2.25 118,1,2024-09-07 08:49:00:584,393041,393041,0,0,183541407155,1935773348,386715,4895,1431,366,391736,0 118,2,2024-09-07 08:49:01:586,284384,284384,0,0,13650807,0,2781 118,3,2024-09-07 08:49:01:764,1,224,7,0,235,2730,224,0 119,0,2024-09-07 08:49:01:340,57698,0.9,58156,0.9,116398,1.2,155016,2.25 119,1,2024-09-07 08:49:00:552,393797,393797,0,0,184767623334,1934578678,389156,4119,522,368,391641,0 119,2,2024-09-07 08:49:01:262,281393,281393,0,0,12917079,0,4174 119,3,2024-09-07 08:49:01:325,1,224,2,0,443,3412,224,0 120,0,2024-09-07 08:49:01:549,56776,0.6,56660,0.8,113642,0.5,151927,2.00 120,1,2024-09-07 08:49:00:893,393114,393114,0,0,184439534006,1940227845,388451,4314,349,368,391961,0 120,2,2024-09-07 08:49:00:783,281026,281025,1,0,15373996,0,5281 120,3,2024-09-07 08:49:01:291,1,224,1,0,241,3108,224,0 121,0,2024-09-07 08:49:01:784,56933,1.1,57237,1.0,114295,1.4,152043,2.25 121,1,2024-09-07 08:49:01:657,393193,393193,0,0,183758201679,1927955010,388759,4020,414,367,391840,0 121,2,2024-09-07 08:49:01:125,282062,282062,0,0,14845253,0,4127 121,3,2024-09-07 08:49:00:733,1,224,3,0,269,2761,224,0 122,0,2024-09-07 08:49:01:788,53808,1.0,52402,1.0,109848,1.1,144268,2.25 122,1,2024-09-07 08:49:00:861,392533,392533,0,0,183930444999,1937309068,386482,5237,814,366,392130,0 122,2,2024-09-07 08:49:01:320,285880,285807,73,0,17327922,0,5989 122,3,2024-09-07 08:49:00:596,1,224,9,0,411,4841,224,0 123,0,2024-09-07 08:49:00:986,55597,1.0,54372,0.9,113212,1.2,148672,2.25 123,1,2024-09-07 08:49:00:565,392687,392687,0,0,184129766714,1949637602,384050,6922,1715,369,391823,0 123,2,2024-09-07 08:49:01:019,278935,278934,1,0,13935979,0,5215 123,3,2024-09-07 08:49:01:145,1,224,4,0,168,2793,224,0 124,0,2024-09-07 08:49:00:950,57475,0.3,57447,0.5,108364,0.3,148490,1.75 124,1,2024-09-07 08:49:01:022,394908,394908,0,0,184094810905,1915388545,392085,2461,362,367,392178,0 124,2,2024-09-07 08:49:01:010,281729,281729,0,0,11225521,0,3101 124,3,2024-09-07 08:49:00:762,1,224,1,0,490,2691,224,0 125,0,2024-09-07 08:49:01:431,56967,0.3,56840,0.5,114230,0.3,151346,1.75 125,1,2024-09-07 08:49:00:861,393765,393765,0,0,184724341021,1929028782,390878,2498,389,383,391702,0 125,2,2024-09-07 08:49:01:118,284267,284267,0,0,12273406,0,4534 125,3,2024-09-07 08:49:01:126,1,224,1,0,709,3413,224,0 126,0,2024-09-07 08:49:01:416,55269,0.6,56630,0.7,108330,0.6,146651,1.75 126,1,2024-09-07 08:49:00:553,394823,394823,0,0,185154284387,1921684827,392154,2408,261,365,391987,0 126,2,2024-09-07 08:49:00:610,288388,288388,0,0,12455955,0,3186 126,3,2024-09-07 08:49:00:907,1,224,13,0,183,3188,224,0 127,0,2024-09-07 08:49:01:599,53468,0.4,53765,0.5,106953,0.3,143037,1.75 127,1,2024-09-07 08:49:00:583,394201,394201,0,0,185226917757,1921661332,391321,2727,153,365,391816,0 127,2,2024-09-07 08:49:00:636,281780,281780,0,0,11201624,0,2264 127,3,2024-09-07 08:49:01:270,1,224,27,0,243,1884,224,0 128,0,2024-09-07 08:49:01:544,56919,0.3,56859,0.5,113370,0.3,150603,1.50 128,1,2024-09-07 08:49:01:614,394312,394312,0,0,184681506159,1917481456,392194,1961,157,367,391798,0 128,2,2024-09-07 08:49:01:384,281676,281676,0,0,11051365,0,2434 128,3,2024-09-07 08:49:00:767,1,224,1,0,1082,5153,224,0 129,0,2024-09-07 08:49:01:000,58567,0.3,58234,0.4,116470,0.2,154464,1.50 129,1,2024-09-07 08:49:00:576,392215,392215,0,0,183320337159,1925271023,388096,3192,927,379,391835,0 129,2,2024-09-07 08:49:00:691,284187,284187,0,0,12018987,0,4031 129,3,2024-09-07 08:49:00:691,1,224,91,0,469,3152,224,0 130,0,2024-09-07 08:49:01:717,57559,0.6,57390,0.7,114932,0.6,153083,1.75 130,1,2024-09-07 08:49:00:586,394250,394250,0,0,185090210482,1927825820,391832,2341,77,381,391825,0 130,2,2024-09-07 08:49:01:125,286816,286816,0,0,11801474,0,4067 130,3,2024-09-07 08:49:01:293,1,224,9,0,450,3043,224,0 131,0,2024-09-07 08:49:01:936,53131,0.4,53405,0.6,107499,0.4,142511,1.75 131,1,2024-09-07 08:49:01:841,394677,394677,0,0,184535679210,1926460837,391646,2575,456,381,391865,0 131,2,2024-09-07 08:49:00:582,285341,285341,0,0,10771244,0,2415 131,3,2024-09-07 08:49:01:690,1,224,4,0,392,2362,224,0 132,0,2024-09-07 08:49:01:439,58009,0.5,58565,0.7,117096,0.5,155387,2.00 132,1,2024-09-07 08:49:00:586,392062,392062,0,0,182700940393,1933724241,385065,5745,1252,381,392097,0 132,2,2024-09-07 08:49:00:710,281502,281502,0,0,14482421,0,4606 132,3,2024-09-07 08:49:01:697,1,224,7,0,356,3424,224,0 133,0,2024-09-07 08:49:01:552,56570,0.4,57901,0.6,118519,0.4,154081,1.75 133,1,2024-09-07 08:49:00:583,391838,391838,0,0,183968038181,1941478365,386376,4797,665,383,391914,0 133,2,2024-09-07 08:49:01:090,281387,281387,0,0,15662795,0,4315 133,3,2024-09-07 08:49:01:297,1,224,1,0,276,2381,224,0 134,0,2024-09-07 08:49:00:943,57397,0.5,57318,0.7,115163,0.4,153183,2.00 134,1,2024-09-07 08:49:00:590,392343,392343,0,0,183496381162,1933593913,385906,4969,1468,366,391718,0 134,2,2024-09-07 08:49:01:757,283021,283021,0,0,13280739,0,3847 134,3,2024-09-07 08:49:00:754,1,224,8,0,739,3580,224,0 135,0,2024-09-07 08:49:01:100,51987,1.1,51967,1.1,110171,1.3,142675,2.25 135,1,2024-09-07 08:49:01:586,391802,391802,0,0,184535284495,1947013144,385620,5187,995,380,391805,0 135,2,2024-09-07 08:49:00:691,285962,285962,0,0,14550009,0,3981 135,3,2024-09-07 08:49:01:006,1,224,1,0,299,1784,224,0 136,0,2024-09-07 08:49:01:845,56852,0.6,56785,0.7,113567,0.5,151217,2.25 136,1,2024-09-07 08:49:01:443,392281,392281,0,0,183731092999,1935762972,386792,4905,584,382,391685,0 136,2,2024-09-07 08:49:01:136,281429,281429,0,0,13726363,0,3506 136,3,2024-09-07 08:49:01:106,1,224,1,0,126,1823,224,0 137,0,2024-09-07 08:49:00:933,58088,0.6,56335,0.8,111425,0.7,151351,2.00 137,1,2024-09-07 08:49:00:577,392160,392160,0,0,184165370560,1938804775,385315,5447,1398,366,391708,0 137,2,2024-09-07 08:49:01:706,280761,280761,0,0,15429632,0,3185 137,3,2024-09-07 08:49:00:784,1,224,3,0,382,2831,224,0 138,0,2024-09-07 08:49:01:763,56349,0.9,56434,0.9,113297,1.0,150791,2.25 138,1,2024-09-07 08:49:01:702,392685,392685,0,0,184567711731,1944698187,385748,5806,1131,368,391954,0 138,2,2024-09-07 08:49:00:586,283231,283231,0,0,14074201,0,4988 138,3,2024-09-07 08:49:00:612,1,224,8,0,1160,3789,224,0 139,0,2024-09-07 08:49:01:357,53567,2.4,53608,1.5,108008,3.4,144378,2.75 139,1,2024-09-07 08:49:00:594,391045,391045,0,0,183151908960,1952804820,382629,6304,2112,381,391892,0 139,2,2024-09-07 08:49:00:692,284942,284942,0,0,14914871,0,3097 139,3,2024-09-07 08:49:01:664,1,224,10,0,244,2445,224,0 140,0,2024-09-07 08:49:01:594,55062,0.3,54538,0.5,109747,0.3,146319,1.75 140,1,2024-09-07 08:49:01:537,395323,395323,0,0,186220465543,1920931077,393397,1685,241,365,391606,0 140,2,2024-09-07 08:49:00:691,281934,281934,0,0,11492296,0,3388 140,3,2024-09-07 08:49:00:778,1,224,85,0,247,2112,224,0 141,0,2024-09-07 08:49:01:700,56051,0.3,57515,0.5,109854,0.2,149003,1.50 141,1,2024-09-07 08:49:00:861,395014,395014,0,0,185326794372,1923827054,392710,1949,355,379,391614,0 141,2,2024-09-07 08:49:01:690,281633,281633,0,0,10980798,0,2342 141,3,2024-09-07 08:49:01:047,1,224,3,0,178,2032,224,0 142,0,2024-09-07 08:49:01:306,58410,0.3,57744,0.5,114981,0.2,153615,1.50 142,1,2024-09-07 08:49:00:595,394178,394178,0,0,184130444738,1920936044,391719,2216,243,382,392102,0 142,2,2024-09-07 08:49:01:300,283158,283126,32,0,12842098,0,6028 142,3,2024-09-07 08:49:01:747,1,224,11,0,484,3007,224,0 143,0,2024-09-07 08:49:01:368,55782,0.6,55757,0.6,112051,0.6,148133,1.75 143,1,2024-09-07 08:49:00:560,394376,394376,0,0,184786959639,1918880830,391674,2607,95,367,391619,0 143,2,2024-09-07 08:49:00:771,286789,286789,0,0,12123442,0,2669 143,3,2024-09-07 08:49:01:142,1,224,1,0,303,3138,224,0 144,0,2024-09-07 08:49:01:494,51488,0.8,53049,1.1,107703,0.9,141957,2.25 144,1,2024-09-07 08:49:00:567,392288,392288,0,0,183380312043,1929288140,388295,3072,921,381,391649,0 144,2,2024-09-07 08:49:01:757,282628,282628,0,0,11508665,0,3473 144,3,2024-09-07 08:49:01:739,1,224,5,0,249,2800,224,0 145,0,2024-09-07 08:49:01:369,55092,0.7,55130,0.9,116885,0.6,150916,2.50 145,1,2024-09-07 08:49:00:566,391632,391632,0,0,183406690733,1934355334,386148,4663,821,382,391698,0 145,2,2024-09-07 08:49:01:430,280696,280696,0,0,13713273,0,3903 145,3,2024-09-07 08:49:00:898,1,224,2,0,622,3936,224,0 146,0,2024-09-07 08:49:01:628,58052,0.5,57575,0.8,116113,0.5,154252,2.25 146,1,2024-09-07 08:49:01:585,392749,392749,0,0,183796424350,1943289829,384613,6290,1846,368,391770,0 146,2,2024-09-07 08:49:01:712,282197,282197,0,0,13693864,0,2730 146,3,2024-09-07 08:49:01:279,1,224,16,0,1520,5845,224,0 147,0,2024-09-07 08:49:01:699,57210,0.6,57220,0.8,113549,0.6,153198,2.25 147,1,2024-09-07 08:49:01:380,394709,394709,0,0,184605346377,1922718300,390888,3252,569,368,391791,0 147,2,2024-09-07 08:49:01:010,285501,285501,0,0,11544352,0,2789 147,3,2024-09-07 08:49:00:913,1,224,1,0,730,3787,224,0 0,0,2024-09-07 08:49:11:768,55643,0.5,55662,0.7,118101,0.5,153025,1.75 0,1,2024-09-07 08:49:10:801,395554,395554,0,0,184871409497,1937001560,392385,2967,202,370,391772,0 0,2,2024-09-07 08:49:11:068,283335,283335,0,0,12260927,0,4480 0,3,2024-09-07 08:49:10:986,1,225,12,0,319,3221,225,0 1,0,2024-09-07 08:49:11:754,57479,0.9,56960,1.0,114723,1.2,152897,2.00 1,1,2024-09-07 08:49:10:574,394760,394760,0,0,184708471639,1939737961,390270,3437,1053,371,391857,0 1,2,2024-09-07 08:49:10:639,284091,284091,0,0,11278169,0,3267 1,3,2024-09-07 08:49:11:312,1,225,1,0,262,2809,225,0 2,0,2024-09-07 08:49:11:591,54179,0.8,54589,0.9,108422,1.1,144949,2.00 2,1,2024-09-07 08:49:10:862,395562,395562,0,0,185456646489,1929118971,393460,1884,218,380,391745,0 2,2,2024-09-07 08:49:11:268,287904,287904,0,0,12053625,0,3594 2,3,2024-09-07 08:49:10:690,1,225,19,0,357,2236,225,0 3,0,2024-09-07 08:49:11:749,56159,0.5,56296,0.6,111956,0.4,149239,2.00 3,1,2024-09-07 08:49:11:628,394995,394995,0,0,184315299046,1923915840,391232,3301,462,380,391523,0 3,2,2024-09-07 08:49:11:141,282182,282159,23,0,12056035,0,5851 3,3,2024-09-07 08:49:11:756,1,225,10,0,103,1416,225,0 4,0,2024-09-07 08:49:11:782,54106,0.4,55702,0.5,113332,0.3,148731,1.75 4,1,2024-09-07 08:49:10:594,394820,394820,0,0,184668199087,1944664939,390115,3917,788,371,391846,0 4,2,2024-09-07 08:49:11:026,281550,281550,0,0,14437851,0,4528 4,3,2024-09-07 08:49:11:031,1,225,2,0,448,3642,225,0 5,0,2024-09-07 08:49:11:373,57040,0.4,57325,0.6,113956,0.4,151619,1.75 5,1,2024-09-07 08:49:10:766,394590,394590,0,0,184587315736,1946608669,389108,4370,1112,368,392005,0 5,2,2024-09-07 08:49:11:828,283364,283364,0,0,13154728,0,2432 5,3,2024-09-07 08:49:11:732,1,225,2,0,457,3741,225,0 6,0,2024-09-07 08:49:10:918,55570,0.7,55067,0.8,109896,0.7,147263,2.00 6,1,2024-09-07 08:49:10:752,395209,395209,0,0,184661327148,1935070628,390543,3822,844,379,391651,0 6,2,2024-09-07 08:49:11:121,287948,287948,0,0,13236197,0,4816 6,3,2024-09-07 08:49:11:284,1,225,8,0,340,2860,225,0 7,0,2024-09-07 08:49:11:548,53586,0.6,53605,0.7,107093,0.6,143314,2.00 7,1,2024-09-07 08:49:10:851,394538,394538,0,0,185573145923,1952531836,388644,4891,1003,382,391686,0 7,2,2024-09-07 08:49:10:770,281442,281442,0,0,12960833,0,4791 7,3,2024-09-07 08:49:10:850,1,225,1,0,398,2709,225,0 8,0,2024-09-07 08:49:11:411,56610,0.4,56706,0.5,112867,0.4,150515,1.75 8,1,2024-09-07 08:49:11:018,393583,393583,0,0,184101254394,1949030181,385533,6043,2007,367,391956,0 8,2,2024-09-07 08:49:10:789,279608,279608,0,0,15515391,0,3220 8,3,2024-09-07 08:49:10:600,1,225,46,0,357,3595,225,0 9,0,2024-09-07 08:49:11:132,58382,0.3,56624,0.5,118213,0.3,154925,1.75 9,1,2024-09-07 08:49:10:554,394194,394194,0,0,185172697969,1958415868,387716,4938,1540,370,392001,0 9,2,2024-09-07 08:49:11:101,284331,284331,0,0,13664548,0,3360 9,3,2024-09-07 08:49:11:752,1,225,2,0,496,3497,225,0 10,0,2024-09-07 08:49:11:604,57501,0.3,56949,0.5,114702,0.2,152454,1.75 10,1,2024-09-07 08:49:10:586,394681,394681,0,0,185029560083,1945847366,388322,5431,928,381,391741,0 10,2,2024-09-07 08:49:10:763,286743,286743,0,0,15311897,0,4264 10,3,2024-09-07 08:49:10:889,1,225,8,0,177,1934,225,0 11,0,2024-09-07 08:49:11:012,52991,0.5,51444,0.8,107722,0.5,143226,2.00 11,1,2024-09-07 08:49:10:574,394902,394902,0,0,184259670398,1945879773,386718,6203,1981,383,391537,0 11,2,2024-09-07 08:49:11:123,283563,283563,0,0,14288434,0,4130 11,3,2024-09-07 08:49:11:313,1,225,1,0,843,3951,225,0 12,0,2024-09-07 08:49:10:982,58762,0.4,58624,0.5,117183,0.3,155411,1.75 12,1,2024-09-07 08:49:10:956,395469,395469,0,0,184467209584,1926281655,392136,2912,421,370,391837,0 12,2,2024-09-07 08:49:11:551,283575,283575,0,0,13226069,0,3469 12,3,2024-09-07 08:49:11:060,1,225,11,0,386,4049,225,0 13,0,2024-09-07 08:49:11:367,58555,0.3,58422,0.5,116543,0.3,155372,1.50 13,1,2024-09-07 08:49:11:533,394869,394869,0,0,185403952732,1947934028,391399,2931,539,382,391717,0 13,2,2024-09-07 08:49:10:604,284569,284569,0,0,12202599,0,3287 13,3,2024-09-07 08:49:11:762,1,225,2,0,467,3778,225,0 14,0,2024-09-07 08:49:10:564,57869,0.4,58377,0.6,115527,0.3,154017,1.75 14,1,2024-09-07 08:49:11:775,397458,397458,0,0,185245742896,1921022231,394636,2633,189,364,391571,0 14,2,2024-09-07 08:49:10:764,284793,284793,0,0,12282869,0,2896 14,3,2024-09-07 08:49:11:120,1,225,8,0,1168,3391,225,0 15,0,2024-09-07 08:49:11:569,53704,0.6,54099,0.8,108073,0.6,143899,2.25 15,1,2024-09-07 08:49:11:615,395804,395804,0,0,185217034404,1928090262,393355,2271,178,381,391619,0 15,2,2024-09-07 08:49:10:998,287441,287441,0,0,10597614,0,3043 15,3,2024-09-07 08:49:11:406,1,225,9,0,1126,4811,225,0 16,0,2024-09-07 08:49:10:935,57066,0.7,57315,0.8,113731,0.7,152238,2.25 16,1,2024-09-07 08:49:10:564,395993,395993,0,0,185340266417,1940650256,392446,3251,296,370,391756,0 16,2,2024-09-07 08:49:11:444,282086,282086,0,0,12943679,0,4719 16,3,2024-09-07 08:49:11:154,1,225,1,0,317,3207,225,0 17,0,2024-09-07 08:49:11:775,58577,0.5,57042,0.7,111821,0.5,152052,2.00 17,1,2024-09-07 08:49:10:567,394351,394351,0,0,185045374575,1947133606,389523,3726,1102,368,391688,0 17,2,2024-09-07 08:49:11:680,285484,285484,0,0,12027562,0,2857 17,3,2024-09-07 08:49:10:574,1,225,139,0,268,3798,225,0 18,0,2024-09-07 08:49:10:941,56355,0.7,56490,0.9,112965,0.8,150720,2.25 18,1,2024-09-07 08:49:11:647,395824,395824,0,0,185824971418,1928570733,393324,2260,240,367,391649,0 18,2,2024-09-07 08:49:11:764,284783,284783,0,0,11845521,0,3541 18,3,2024-09-07 08:49:10:898,1,225,8,0,163,2421,225,0 19,0,2024-09-07 08:49:11:570,54259,0.8,54911,0.9,108427,0.9,144624,2.25 19,1,2024-09-07 08:49:10:566,395693,395693,0,0,185763521699,1934734215,391064,3844,785,367,391777,0 19,2,2024-09-07 08:49:11:753,289462,289462,0,0,10912486,0,3988 19,3,2024-09-07 08:49:11:129,1,225,0,0,524,1800,225,0 20,0,2024-09-07 08:49:11:385,54732,0.8,54735,0.9,109864,1.0,146936,2.25 20,1,2024-09-07 08:49:10:576,394719,394719,0,0,185184390352,1941386011,390917,3406,396,369,391886,0 20,2,2024-09-07 08:49:10:929,282026,282026,0,0,12544833,0,3721 20,3,2024-09-07 08:49:10:589,1,225,8,0,414,4465,225,0 21,0,2024-09-07 08:49:11:153,56032,0.5,56317,0.7,112378,0.5,148671,2.00 21,1,2024-09-07 08:49:11:548,393916,393916,0,0,183629445444,1939858694,388201,4458,1257,368,391962,0 21,2,2024-09-07 08:49:11:080,281933,281933,0,0,14088590,0,3747 21,3,2024-09-07 08:49:11:412,1,225,1,0,103,2503,225,0 22,0,2024-09-07 08:49:11:733,57564,0.6,57536,0.8,114796,0.6,152694,2.25 22,1,2024-09-07 08:49:11:024,394045,394045,0,0,184054227385,1944601325,386859,5544,1642,382,391667,0 22,2,2024-09-07 08:49:10:759,284778,284778,0,0,12627566,0,3134 22,3,2024-09-07 08:49:11:068,1,225,3,0,228,2264,225,0 23,0,2024-09-07 08:49:11:372,55407,0.7,55331,0.8,111154,0.7,147836,2.25 23,1,2024-09-07 08:49:11:006,394787,394787,0,0,185399852598,1953109279,387497,4739,2551,365,391550,0 23,2,2024-09-07 08:49:11:093,287917,287917,0,0,12341767,0,3010 23,3,2024-09-07 08:49:11:757,1,225,0,0,645,3090,225,0 24,0,2024-09-07 08:49:10:823,54057,0.5,53980,0.6,108272,0.5,144380,1.75 24,1,2024-09-07 08:49:10:584,394890,394890,0,0,184817308199,1945322655,389288,4124,1478,369,391987,0 24,2,2024-09-07 08:49:11:069,281739,281739,0,0,14650103,0,3607 24,3,2024-09-07 08:49:11:692,1,225,1,0,468,3595,225,0 25,0,2024-09-07 08:49:11:371,58730,0.5,57385,0.7,112312,0.5,153210,2.00 25,1,2024-09-07 08:49:10:566,395211,395211,0,0,184896741455,1940319568,390943,3716,552,371,391788,0 25,2,2024-09-07 08:49:11:610,281760,281760,0,0,15099785,0,3978 25,3,2024-09-07 08:49:11:038,1,225,9,0,255,2603,225,0 26,0,2024-09-07 08:49:11:734,58038,0.4,56732,0.6,118920,0.3,154840,1.75 26,1,2024-09-07 08:49:11:549,394802,394802,0,0,184312800373,1940738754,387839,5747,1216,381,391748,0 26,2,2024-09-07 08:49:10:863,284131,284131,0,0,14787267,0,2809 26,3,2024-09-07 08:49:11:728,1,225,8,0,796,3193,225,0 27,0,2024-09-07 08:49:11:746,58028,0.5,57869,0.6,114720,0.4,153490,2.00 27,1,2024-09-07 08:49:11:683,396651,396651,0,0,186436914567,1943679864,393205,2854,592,381,391558,0 27,2,2024-09-07 08:49:10:867,283837,283772,65,0,15054915,0,5699 27,3,2024-09-07 08:49:11:015,1,225,0,0,564,2565,225,0 28,0,2024-09-07 08:49:11:391,53536,0.6,53425,0.7,107239,0.6,143278,2.25 28,1,2024-09-07 08:49:10:798,396235,396235,0,0,186250535362,1941699613,393400,2365,470,383,391646,0 28,2,2024-09-07 08:49:11:770,285877,285877,0,0,11696582,0,2915 28,3,2024-09-07 08:49:11:780,1,225,0,0,502,2518,225,0 29,0,2024-09-07 08:49:11:362,59967,0.4,58686,0.7,114541,0.4,156731,1.75 29,1,2024-09-07 08:49:11:568,396907,396907,0,0,185598434568,1924834521,393692,2650,565,369,391621,0 29,2,2024-09-07 08:49:10:867,282270,282270,0,0,11043847,0,4018 29,3,2024-09-07 08:49:10:963,1,225,1,0,115,1711,225,0 30,0,2024-09-07 08:49:11:455,57118,0.6,55539,0.7,116241,0.5,152409,2.00 30,1,2024-09-07 08:49:10:574,397142,397142,0,0,186233662215,1931991862,394933,1916,293,382,391672,0 30,2,2024-09-07 08:49:11:284,283785,283785,0,0,10954845,0,3161 30,3,2024-09-07 08:49:10:583,1,225,1,0,195,1771,225,0 31,0,2024-09-07 08:49:11:764,56995,0.4,57223,0.6,114856,0.4,152668,2.00 31,1,2024-09-07 08:49:10:564,397904,397904,0,0,186342835474,1911946066,396516,1169,219,356,391712,0 31,2,2024-09-07 08:49:11:284,283748,283748,0,0,12612270,0,3525 31,3,2024-09-07 08:49:11:709,1,225,3,0,220,1852,225,0 32,0,2024-09-07 08:49:11:422,54140,0.4,54650,0.6,109393,0.3,145577,1.75 32,1,2024-09-07 08:49:10:816,396871,396871,0,0,185569962753,1927534837,394949,1643,279,381,391614,0 32,2,2024-09-07 08:49:10:940,288120,288120,0,0,10866292,0,3155 32,3,2024-09-07 08:49:11:019,1,225,5,0,227,1766,225,0 33,0,2024-09-07 08:49:11:498,56701,0.3,56003,0.5,112684,0.2,150207,1.75 33,1,2024-09-07 08:49:10:579,397137,397137,0,0,186314605317,1927789425,394559,2404,174,369,391730,0 33,2,2024-09-07 08:49:10:763,282997,282964,33,0,12563654,0,7012 33,3,2024-09-07 08:49:10:896,1,225,11,0,329,2573,225,0 34,0,2024-09-07 08:49:10:929,55829,0.3,57482,0.5,110314,0.3,147984,1.75 34,1,2024-09-07 08:49:11:055,397687,397687,0,0,186539099505,1920519937,396612,1068,7,367,391562,0 34,2,2024-09-07 08:49:10:767,282840,282840,0,0,11747026,0,3577 34,3,2024-09-07 08:49:11:691,1,225,8,0,299,1900,225,0 35,0,2024-09-07 08:49:10:867,56559,0.4,56919,0.5,114275,0.3,151884,1.75 35,1,2024-09-07 08:49:11:067,395914,395914,0,0,185314567385,1924950052,392639,2496,779,384,391589,0 35,2,2024-09-07 08:49:11:589,285375,285375,0,0,11151339,0,2653 35,3,2024-09-07 08:49:10:913,1,225,6,0,418,2711,225,0 36,0,2024-09-07 08:49:11:522,55257,0.7,55158,0.9,110590,0.8,146981,2.50 36,1,2024-09-07 08:49:10:583,395133,395133,0,0,185662777279,1947299143,389184,4371,1578,366,391759,0 36,2,2024-09-07 08:49:11:753,287450,287450,0,0,13570594,0,3875 36,3,2024-09-07 08:49:10:866,1,225,2,0,416,3899,225,0 37,0,2024-09-07 08:49:11:367,53459,0.6,53364,0.8,107127,0.6,144178,2.00 37,1,2024-09-07 08:49:10:570,394782,394775,0,7,184640219786,1941338153,388773,3936,2066,365,391560,0 37,2,2024-09-07 08:49:11:141,281719,281704,15,0,13627483,0,5815 37,3,2024-09-07 08:49:11:770,1,225,1,0,888,4297,225,0 38,0,2024-09-07 08:49:11:445,56033,0.5,54435,0.7,113883,0.5,148723,2.00 38,1,2024-09-07 08:49:11:621,396253,396253,0,0,185077481471,1937911701,390988,4591,674,368,391821,0 38,2,2024-09-07 08:49:10:760,282336,282289,47,0,14094082,0,6710 38,3,2024-09-07 08:49:10:998,1,225,10,0,689,3512,225,0 39,0,2024-09-07 08:49:11:765,59450,0.6,58346,0.7,113322,0.7,154902,2.00 39,1,2024-09-07 08:49:10:736,395879,395879,0,0,185202687305,1938205053,390354,4624,901,365,391594,0 39,2,2024-09-07 08:49:11:425,284317,284317,0,0,12289329,0,2689 39,3,2024-09-07 08:49:10:712,1,225,22,0,324,3378,225,0 40,0,2024-09-07 08:49:11:502,56712,0.8,57257,1.0,114420,0.8,152022,3.00 40,1,2024-09-07 08:49:10:586,395461,395461,0,0,184761317937,1943348381,388463,5555,1443,368,391591,0 40,2,2024-09-07 08:49:11:325,286129,286128,1,0,15222740,0,5137 40,3,2024-09-07 08:49:11:148,1,225,0,0,398,2961,225,0 41,0,2024-09-07 08:49:11:029,52784,2.4,53974,1.7,102987,3.7,141465,4.25 41,1,2024-09-07 08:49:10:769,395083,395083,0,0,185774436156,1947787691,389579,4870,634,370,391742,0 41,2,2024-09-07 08:49:10:763,282509,282509,0,0,14587758,0,3356 41,3,2024-09-07 08:49:11:676,1,225,9,0,366,2712,225,0 42,0,2024-09-07 08:49:11:483,57472,1.0,57787,1.1,115499,1.2,152163,2.75 42,1,2024-09-07 08:49:11:439,394019,394019,0,0,184949221194,1950409035,386884,5683,1452,380,391675,0 42,2,2024-09-07 08:49:11:132,282771,282771,0,0,13755372,0,3568 42,3,2024-09-07 08:49:11:009,1,225,12,0,446,2023,225,0 43,0,2024-09-07 08:49:10:936,57906,0.7,56363,0.9,117854,0.7,155022,2.25 43,1,2024-09-07 08:49:10:578,394850,394850,0,0,185215176487,1941817355,388593,4928,1329,366,391696,0 43,2,2024-09-07 08:49:11:736,284527,284527,0,0,13227014,0,3812 43,3,2024-09-07 08:49:11:753,1,225,2,0,467,3002,225,0 44,0,2024-09-07 08:49:10:865,57660,0.5,58001,0.7,115625,0.4,154173,1.75 44,1,2024-09-07 08:49:10:576,396860,396860,0,0,185755349373,1920027411,394354,2075,431,356,391809,0 44,2,2024-09-07 08:49:11:269,284213,284213,0,0,10898961,0,2231 44,3,2024-09-07 08:49:11:101,1,225,32,0,817,2819,225,0 45,0,2024-09-07 08:49:11:768,53039,0.8,51887,1.0,108867,0.9,143653,2.25 45,1,2024-09-07 08:49:11:005,396211,396211,0,0,186354930023,1932346322,394698,1501,12,382,391917,0 45,2,2024-09-07 08:49:11:268,287472,287472,0,0,11794203,0,3596 45,3,2024-09-07 08:49:10:942,1,225,0,0,271,2555,225,0 46,0,2024-09-07 08:49:10:949,56819,0.4,56744,0.6,113687,0.4,150853,2.00 46,1,2024-09-07 08:49:10:576,397303,397303,0,0,185781236187,1920270715,395072,1957,274,366,391524,0 46,2,2024-09-07 08:49:10:594,282997,282997,0,0,11225670,0,2920 46,3,2024-09-07 08:49:11:131,1,225,8,0,908,3463,225,0 47,0,2024-09-07 08:49:11:109,57018,0.4,56874,0.6,114484,0.4,151553,1.75 47,1,2024-09-07 08:49:10:567,397278,397278,0,0,185915925129,1920557712,395205,1987,86,366,391605,0 47,2,2024-09-07 08:49:10:908,284768,284768,0,0,11264550,0,2558 47,3,2024-09-07 08:49:11:116,1,225,1,0,529,2217,225,0 48,0,2024-09-07 08:49:11:494,57378,0.3,56987,0.4,113575,0.2,151635,1.50 48,1,2024-09-07 08:49:11:024,397084,397084,0,0,186060005408,1934760258,394123,2662,299,384,391710,0 48,2,2024-09-07 08:49:10:699,284782,284782,0,0,10960254,0,3031 48,3,2024-09-07 08:49:10:754,1,225,1,0,339,2031,225,0 49,0,2024-09-07 08:49:11:712,56274,0.4,55285,0.6,107102,0.4,146619,1.75 49,1,2024-09-07 08:49:11:024,394839,394839,0,0,186021650269,1941592552,391048,2610,1181,382,391610,0 49,2,2024-09-07 08:49:11:806,289162,289162,0,0,11592406,0,3900 49,3,2024-09-07 08:49:11:424,1,225,6,0,408,2649,225,0 50,0,2024-09-07 08:49:11:507,55100,0.3,54520,0.5,109558,0.2,146739,1.75 50,1,2024-09-07 08:49:11:016,397592,397592,0,0,185975702405,1926333383,394887,2395,310,368,391530,0 50,2,2024-09-07 08:49:11:067,282735,282735,0,0,10717842,0,2263 50,3,2024-09-07 08:49:11:298,1,225,13,0,335,2013,225,0 51,0,2024-09-07 08:49:11:682,57282,0.3,56111,0.5,109336,0.2,148993,1.75 51,1,2024-09-07 08:49:11:688,396949,396949,0,0,186288909352,1925918356,394208,1802,939,365,391706,0 51,2,2024-09-07 08:49:11:332,282595,282595,0,0,9872456,0,3337 51,3,2024-09-07 08:49:11:027,1,225,1,0,678,1966,225,0 52,0,2024-09-07 08:49:11:420,58306,0.5,57961,0.7,115679,0.4,153423,2.00 52,1,2024-09-07 08:49:10:576,395140,395140,0,0,184489473164,1941413378,388006,5881,1253,368,391722,0 52,2,2024-09-07 08:49:11:758,283289,283251,38,0,14362030,0,6742 52,3,2024-09-07 08:49:10:679,1,225,3,0,1782,4159,225,0 53,0,2024-09-07 08:49:11:748,55229,0.8,53752,0.9,112399,0.9,147035,2.50 53,1,2024-09-07 08:49:10:773,394355,394355,0,0,184855755272,1948517788,387033,4966,2356,367,391702,0 53,2,2024-09-07 08:49:11:313,287755,287755,0,0,12032063,0,2727 53,3,2024-09-07 08:49:10:697,1,225,1,0,271,2163,225,0 54,0,2024-09-07 08:49:11:636,52617,1.2,53148,1.0,105244,0.8,141716,3.00 54,1,2024-09-07 08:49:10:586,395668,395668,0,0,185942894121,1939502979,390934,4137,597,367,391659,0 54,2,2024-09-07 08:49:10:877,282544,282538,6,0,13208584,0,5382 54,3,2024-09-07 08:49:10:763,1,225,1,0,676,3636,225,0 55,0,2024-09-07 08:49:11:764,55142,0.7,57202,0.9,115007,0.7,149702,2.50 55,1,2024-09-07 08:49:10:764,394843,394843,0,0,184993952708,1931891139,389294,4762,787,365,391731,0 55,2,2024-09-07 08:49:10:743,282017,282017,0,0,13302634,0,3275 55,3,2024-09-07 08:49:10:695,1,225,26,0,304,2748,225,0 56,0,2024-09-07 08:49:11:562,59554,1.1,56198,1.1,115631,1.5,154741,2.50 56,1,2024-09-07 08:49:10:576,393508,393508,0,0,184816224958,1960913556,386376,5694,1438,381,391678,0 56,2,2024-09-07 08:49:11:309,284225,284225,0,0,14475932,0,3567 56,3,2024-09-07 08:49:11:064,1,225,3,0,705,3478,225,0 57,0,2024-09-07 08:49:10:948,56754,1.8,56928,1.3,113824,2.4,152398,3.25 57,1,2024-09-07 08:49:10:994,394876,394876,0,0,184645863780,1940960019,389561,4645,670,367,391960,0 57,2,2024-09-07 08:49:11:335,285880,285880,0,0,15457218,0,3317 57,3,2024-09-07 08:49:11:738,1,225,9,0,359,3277,225,0 58,0,2024-09-07 08:49:10:585,52168,1.2,50931,1.1,106432,1.6,139845,2.75 58,1,2024-09-07 08:49:10:576,395223,395220,0,3,185767421905,1946633426,389501,5009,710,367,391545,3 58,2,2024-09-07 08:49:11:073,285795,285795,0,0,13955935,0,2549 58,3,2024-09-07 08:49:11:069,1,225,8,0,1043,2780,225,0 59,0,2024-09-07 08:49:11:755,57968,0.9,57913,1.0,115681,1.0,153633,3.00 59,1,2024-09-07 08:49:10:804,394934,394934,0,0,185375598662,1945886793,389148,4521,1265,369,391525,0 59,2,2024-09-07 08:49:10:589,283769,283769,0,0,12595577,0,2604 59,3,2024-09-07 08:49:11:739,1,225,10,0,1015,3452,225,0 60,0,2024-09-07 08:49:11:717,57531,0.4,57234,0.6,115209,0.4,153126,1.75 60,1,2024-09-07 08:49:10:776,397159,397159,0,0,185827365631,1929664283,394751,1891,517,370,392031,0 60,2,2024-09-07 08:49:11:154,283444,283444,0,0,13201038,0,3811 60,3,2024-09-07 08:49:11:266,1,225,96,0,124,2258,225,0 61,0,2024-09-07 08:49:11:517,57246,0.7,57575,0.8,114558,0.8,152855,2.00 61,1,2024-09-07 08:49:10:778,395526,395526,0,0,185203357609,1941734836,390960,3864,702,382,391880,0 61,2,2024-09-07 08:49:11:118,285354,285354,0,0,11695957,0,2090 61,3,2024-09-07 08:49:11:697,1,225,1,0,397,3265,225,0 62,0,2024-09-07 08:49:11:714,54918,0.8,55872,0.9,106462,1.0,145490,2.00 62,1,2024-09-07 08:49:11:121,398086,398080,0,6,186368475604,1924474532,395695,2269,116,365,391715,6 62,2,2024-09-07 08:49:11:644,286661,286660,1,0,13366527,0,5555 62,3,2024-09-07 08:49:11:153,1,225,8,0,287,1671,225,0 63,0,2024-09-07 08:49:11:460,56205,0.5,56360,0.6,112480,0.4,149869,1.75 63,1,2024-09-07 08:49:10:805,397647,397641,0,6,185961553400,1928122803,396070,1521,50,381,391677,6 63,2,2024-09-07 08:49:10:764,282468,282468,0,0,10963045,0,2674 63,3,2024-09-07 08:49:11:737,1,225,1,0,667,2802,225,0 64,0,2024-09-07 08:49:11:626,55312,0.5,55442,0.6,111055,0.4,147913,1.75 64,1,2024-09-07 08:49:10:762,396030,396030,0,0,185493414201,1939017483,391554,3062,1414,370,391783,0 64,2,2024-09-07 08:49:11:141,285178,285159,19,0,11852759,0,6121 64,3,2024-09-07 08:49:11:140,1,225,0,0,265,2441,225,0 65,0,2024-09-07 08:49:11:672,56436,0.6,56873,0.7,113486,0.7,150865,2.25 65,1,2024-09-07 08:49:10:861,394891,394891,0,0,184507189177,1934018734,391347,3101,443,382,391901,0 65,2,2024-09-07 08:49:11:703,284838,284838,0,0,12542153,0,3367 65,3,2024-09-07 08:49:11:689,1,225,5,0,163,2226,225,0 66,0,2024-09-07 08:49:11:770,54767,0.5,54799,0.8,110073,0.5,146213,2.00 66,1,2024-09-07 08:49:11:312,396575,396575,0,0,185840509060,1932483385,394119,2272,184,380,391588,0 66,2,2024-09-07 08:49:11:132,290020,290020,0,0,12415299,0,4956 66,3,2024-09-07 08:49:11:080,1,225,9,0,291,2557,225,0 67,0,2024-09-07 08:49:11:426,54122,0.7,53717,0.8,107891,0.9,144151,2.25 67,1,2024-09-07 08:49:10:766,395872,395871,0,1,185133406471,1934589441,392035,3089,747,380,391787,1 67,2,2024-09-07 08:49:10:583,283739,283739,0,0,11169312,0,2889 67,3,2024-09-07 08:49:11:751,1,225,1,0,338,2145,225,0 68,0,2024-09-07 08:49:10:584,56590,0.7,56530,0.8,112426,0.7,150370,2.00 68,1,2024-09-07 08:49:10:585,394984,394984,0,0,184385960161,1937350818,391015,2831,1138,381,391953,0 68,2,2024-09-07 08:49:11:058,281813,281748,65,0,15202728,0,6698 68,3,2024-09-07 08:49:10:741,1,225,0,0,417,2742,225,0 69,0,2024-09-07 08:49:11:778,57875,0.7,58171,0.8,115809,0.8,154106,2.25 69,1,2024-09-07 08:49:11:026,393669,393669,0,0,184604320803,1953862190,387977,4291,1401,384,391994,0 69,2,2024-09-07 08:49:11:733,284430,284430,0,0,14701978,0,3722 69,3,2024-09-07 08:49:10:762,1,225,5,0,698,3531,225,0 70,0,2024-09-07 08:49:11:542,56878,1.1,56810,1.1,114136,0.8,151595,2.50 70,1,2024-09-07 08:49:10:801,395793,395793,0,0,185546783993,1930963421,392138,3127,528,366,391725,0 70,2,2024-09-07 08:49:11:335,286509,286509,0,0,13824010,0,4044 70,3,2024-09-07 08:49:10:749,1,225,8,0,854,2797,225,0 71,0,2024-09-07 08:49:11:367,52871,1.6,52711,1.3,105507,2.3,142177,3.25 71,1,2024-09-07 08:49:11:609,395313,395313,0,0,185567919413,1940571829,390194,4498,621,368,391738,0 71,2,2024-09-07 08:49:11:067,284426,284426,0,0,13768497,0,4042 71,3,2024-09-07 08:49:11:752,1,225,3,0,644,3558,225,0 72,0,2024-09-07 08:49:11:044,60092,0.9,58778,0.9,114672,1.1,155803,2.25 72,1,2024-09-07 08:49:11:028,395371,395371,0,0,184631101644,1933196012,390324,4116,931,369,391819,0 72,2,2024-09-07 08:49:11:760,281609,281609,0,0,15508297,0,3983 72,3,2024-09-07 08:49:11:759,1,225,2,0,564,4322,225,0 73,0,2024-09-07 08:49:11:115,56809,0.4,58083,0.6,119206,0.4,154770,2.00 73,1,2024-09-07 08:49:10:766,395857,395857,0,0,184732188558,1924173867,392784,2841,232,367,391750,0 73,2,2024-09-07 08:49:11:739,284519,284519,0,0,14869687,0,3701 73,3,2024-09-07 08:49:10:985,1,225,4,0,274,3580,225,0 74,0,2024-09-07 08:49:11:330,58302,0.5,59507,0.7,113549,0.5,154157,2.25 74,1,2024-09-07 08:49:10:639,395377,395377,0,0,184726999856,1933861357,390990,3588,799,381,391681,0 74,2,2024-09-07 08:49:11:004,284824,284824,0,0,14353424,0,4253 74,3,2024-09-07 08:49:11:451,1,225,12,0,522,3294,225,0 75,0,2024-09-07 08:49:11:771,54127,0.7,53805,1.0,108003,0.7,144864,2.50 75,1,2024-09-07 08:49:11:599,394820,394820,0,0,184589831535,1935311994,389915,4242,663,380,391660,0 75,2,2024-09-07 08:49:11:354,286189,286189,0,0,14930139,0,4766 75,3,2024-09-07 08:49:11:067,1,225,1,0,702,3469,225,0 76,0,2024-09-07 08:49:10:642,56605,0.6,56226,0.7,112460,0.5,150945,2.50 76,1,2024-09-07 08:49:10:831,396101,396101,0,0,185037767266,1933015523,393116,2437,548,382,391692,0 76,2,2024-09-07 08:49:11:067,283793,283793,0,0,11821424,0,3784 76,3,2024-09-07 08:49:11:167,1,225,3,0,175,2416,225,0 77,0,2024-09-07 08:49:11:703,56816,0.5,57036,0.8,113801,0.5,151300,2.00 77,1,2024-09-07 08:49:10:823,396047,396047,0,0,185153273450,1936151114,392723,3068,256,383,391808,0 77,2,2024-09-07 08:49:11:285,282559,282559,0,0,12287267,0,3890 77,3,2024-09-07 08:49:11:095,1,225,10,0,305,2353,225,0 78,0,2024-09-07 08:49:11:727,57141,0.4,56524,0.7,114181,0.4,150924,2.00 78,1,2024-09-07 08:49:10:619,396043,396043,0,0,184761405762,1920749506,393068,2658,317,367,391670,0 78,2,2024-09-07 08:49:11:413,284815,284815,0,0,11231127,0,3855 78,3,2024-09-07 08:49:11:133,1,225,8,0,181,2359,225,0 79,0,2024-09-07 08:49:11:351,53054,0.5,54429,0.7,111315,0.4,144457,2.50 79,1,2024-09-07 08:49:10:573,397299,397299,0,0,186064822296,1927997689,394460,2503,336,369,391682,0 79,2,2024-09-07 08:49:11:068,289256,289256,0,0,11280208,0,3212 79,3,2024-09-07 08:49:10:757,1,225,43,0,418,3583,225,0 80,0,2024-09-07 08:49:11:082,54839,0.6,56481,0.7,107999,0.6,146485,2.00 80,1,2024-09-07 08:49:11:639,395313,395313,0,0,185600682957,1934765149,392351,2794,168,368,391791,0 80,2,2024-09-07 08:49:11:096,283369,283369,0,0,11594164,0,4433 80,3,2024-09-07 08:49:10:581,1,225,1,0,190,3235,225,0 81,0,2024-09-07 08:49:11:580,55825,0.5,57144,0.7,109743,0.5,148096,2.00 81,1,2024-09-07 08:49:11:656,395077,395077,0,0,184071693996,1928095155,391241,3375,461,382,391879,0 81,2,2024-09-07 08:49:11:125,282440,282440,0,0,11836595,0,3993 81,3,2024-09-07 08:49:11:124,1,225,23,0,374,2673,225,0 82,0,2024-09-07 08:49:11:549,57318,0.4,57812,0.6,115768,0.4,153483,2.00 82,1,2024-09-07 08:49:10:589,396331,396327,0,4,185994536273,1940358402,393110,2606,611,381,391558,4 82,2,2024-09-07 08:49:11:692,285603,285603,0,0,11438841,0,3986 82,3,2024-09-07 08:49:11:752,1,225,9,0,363,2762,225,0 83,0,2024-09-07 08:49:11:546,55721,0.6,55823,0.7,110850,0.6,147436,2.00 83,1,2024-09-07 08:49:10:558,395491,395491,0,0,185353561459,1935670275,392347,2901,243,382,391690,0 83,2,2024-09-07 08:49:10:764,287187,287187,0,0,11389314,0,3119 83,3,2024-09-07 08:49:10:760,1,225,89,0,250,2471,225,0 84,0,2024-09-07 08:49:11:790,53169,0.9,53275,1.0,106344,0.8,143188,2.50 84,1,2024-09-07 08:49:11:044,394533,394533,0,0,185053441729,1940126893,389807,4152,574,368,391967,0 84,2,2024-09-07 08:49:10:576,282975,282975,0,0,13402562,0,3801 84,3,2024-09-07 08:49:11:149,1,225,3,0,908,3806,225,0 85,0,2024-09-07 08:49:11:013,55283,0.8,55223,1.0,117137,1.0,151211,2.50 85,1,2024-09-07 08:49:10:571,393986,393986,0,0,184452930179,1955034397,387165,5715,1106,382,392006,0 85,2,2024-09-07 08:49:10:870,284017,284017,0,0,14106239,0,3656 85,3,2024-09-07 08:49:10:686,1,225,1,0,789,3118,225,0 86,0,2024-09-07 08:49:10:888,58354,0.6,59880,0.8,114833,0.6,154709,2.00 86,1,2024-09-07 08:49:10:823,395729,395729,0,0,185347756596,1942892869,390759,4247,723,366,391961,0 86,2,2024-09-07 08:49:10:858,283380,283379,1,0,14933566,0,5004 86,3,2024-09-07 08:49:10:586,1,225,13,0,286,3124,225,0 87,0,2024-09-07 08:49:11:307,57557,1.1,57178,1.0,115032,1.5,153884,2.25 87,1,2024-09-07 08:49:10:559,395472,395472,0,0,184900665881,1938739340,391014,3978,480,366,391788,0 87,2,2024-09-07 08:49:11:068,284386,284386,0,0,13448961,0,4045 87,3,2024-09-07 08:49:11:796,1,225,2,0,473,3725,225,0 88,0,2024-09-07 08:49:11:452,53441,0.6,53736,0.7,107288,0.6,142909,2.00 88,1,2024-09-07 08:49:10:579,393650,393650,0,0,184537298909,1942467236,387487,4651,1512,365,392084,0 88,2,2024-09-07 08:49:10:692,285633,285633,0,0,15348041,0,3583 88,3,2024-09-07 08:49:11:276,1,225,77,0,435,2983,225,0 89,0,2024-09-07 08:49:11:782,60158,0.5,58054,0.7,115409,0.5,156862,2.00 89,1,2024-09-07 08:49:10:559,393422,393422,0,0,185158456644,1959537188,386524,5678,1220,382,391866,0 89,2,2024-09-07 08:49:11:139,281982,281982,0,0,14501810,0,2910 89,3,2024-09-07 08:49:11:810,1,225,2,0,325,4605,225,0 90,0,2024-09-07 08:49:11:683,55793,0.5,56971,0.6,116863,0.4,152192,1.75 90,1,2024-09-07 08:49:10:590,395462,395462,0,0,184990501395,1943034288,391359,3826,277,381,391825,0 90,2,2024-09-07 08:49:11:426,281970,281970,0,0,15509826,0,3060 90,3,2024-09-07 08:49:10:929,1,225,2,0,200,2490,225,0 91,0,2024-09-07 08:49:10:941,57694,0.4,55747,0.6,116373,0.4,153045,1.75 91,1,2024-09-07 08:49:10:559,394652,394652,0,0,185070948712,1951461004,388796,5165,691,381,392047,0 91,2,2024-09-07 08:49:11:333,284111,284111,0,0,14130024,0,2896 91,3,2024-09-07 08:49:10:604,1,225,14,0,216,2325,225,0 92,0,2024-09-07 08:49:11:487,54838,0.6,56251,0.7,107571,0.6,145694,1.75 92,1,2024-09-07 08:49:10:718,395213,395213,0,0,185439701937,1935992030,392722,2085,406,382,392136,0 92,2,2024-09-07 08:49:11:357,289205,289205,0,0,11848790,0,2801 92,3,2024-09-07 08:49:11:016,1,225,36,0,167,1904,225,0 93,0,2024-09-07 08:49:10:993,56577,0.4,57835,0.6,110634,0.4,150384,1.75 93,1,2024-09-07 08:49:10:818,395988,395988,0,0,184980061266,1934010771,391669,3534,785,366,391692,0 93,2,2024-09-07 08:49:10:929,283214,283214,0,0,12028018,0,2509 93,3,2024-09-07 08:49:11:416,1,225,0,0,190,2422,225,0 94,0,2024-09-07 08:49:11:661,55679,0.4,56308,0.5,112074,0.3,148735,1.75 94,1,2024-09-07 08:49:10:589,395436,395436,0,0,185445529595,1939879193,392202,3058,176,381,391850,0 94,2,2024-09-07 08:49:10:766,282447,282447,0,0,11447159,0,2443 94,3,2024-09-07 08:49:11:699,1,225,7,0,264,3125,225,0 95,0,2024-09-07 08:49:11:347,57286,0.3,56782,0.5,114837,0.3,151812,1.75 95,1,2024-09-07 08:49:10:853,396280,396280,0,0,185670289146,1932473879,392957,2972,351,367,391662,0 95,2,2024-09-07 08:49:11:022,284400,284400,0,0,11971570,0,3308 95,3,2024-09-07 08:49:11:709,1,225,14,0,718,4320,225,0 96,0,2024-09-07 08:49:11:045,55347,0.5,55563,0.6,110813,0.4,146787,1.75 96,1,2024-09-07 08:49:11:595,394850,394850,0,0,185056355350,1936140903,391289,2832,729,384,391894,0 96,2,2024-09-07 08:49:11:277,287975,287975,0,0,12611069,0,4042 96,3,2024-09-07 08:49:11:140,1,225,1,0,411,2726,225,0 97,0,2024-09-07 08:49:11:346,53954,0.4,53814,0.5,108087,0.3,144136,1.75 97,1,2024-09-07 08:49:10:764,396227,396227,0,0,186066881407,1932502131,393244,2430,553,367,392140,0 97,2,2024-09-07 08:49:10:610,282614,282614,0,0,11562994,0,3036 97,3,2024-09-07 08:49:10:569,1,225,214,0,214,2833,225,0 98,0,2024-09-07 08:49:11:929,56539,0.3,56614,0.5,113688,0.3,150567,1.50 98,1,2024-09-07 08:49:10:570,396212,396212,0,0,185850281167,1936773469,394085,2030,97,382,391997,0 98,2,2024-09-07 08:49:10:774,283522,283522,0,0,11766527,0,3080 98,3,2024-09-07 08:49:10:698,1,225,261,0,840,4349,225,0 99,0,2024-09-07 08:49:11:465,58242,0.3,58365,0.4,116526,0.3,155195,1.50 99,1,2024-09-07 08:49:11:724,396374,396374,0,0,184607568350,1928621003,392596,3039,739,381,391744,0 99,2,2024-09-07 08:49:11:425,287173,287173,0,0,12381601,0,3106 99,3,2024-09-07 08:49:10:585,1,225,1,0,168,1854,225,0 100,0,2024-09-07 08:49:11:485,57196,0.8,57179,1.0,114167,1.0,152144,2.25 100,1,2024-09-07 08:49:10:552,393165,393165,0,0,183759121528,1949742483,386335,5513,1317,381,391989,0 100,2,2024-09-07 08:49:11:824,285080,285069,11,0,14173135,0,5417 100,3,2024-09-07 08:49:11:732,1,225,2,0,559,4728,225,0 101,0,2024-09-07 08:49:11:731,54310,2.4,52991,1.4,103958,3.0,143508,3.00 101,1,2024-09-07 08:49:10:553,393832,393832,0,0,184288182602,1949513874,386492,5569,1771,368,391769,0 101,2,2024-09-07 08:49:11:765,283186,283186,0,0,15327789,0,4644 101,3,2024-09-07 08:49:10:941,1,225,1,0,448,3015,225,0 102,0,2024-09-07 08:49:10:959,56830,0.8,59022,0.9,118411,0.9,155141,2.25 102,1,2024-09-07 08:49:11:149,394356,394356,0,0,184422186996,1943766916,388713,4804,839,369,391883,0 102,2,2024-09-07 08:49:11:739,283198,283144,54,0,14015735,0,6768 102,3,2024-09-07 08:49:11:621,1,225,5,0,410,2486,225,0 103,0,2024-09-07 08:49:11:624,59973,0.5,59996,0.7,113056,0.4,155861,2.00 103,1,2024-09-07 08:49:11:854,393783,393783,0,0,184110221067,1946378998,387500,4903,1380,381,391829,0 103,2,2024-09-07 08:49:10:589,282685,282685,0,0,13142861,0,2582 103,3,2024-09-07 08:49:10:769,1,225,2,0,916,3478,225,0 104,0,2024-09-07 08:49:11:062,57254,0.9,57700,1.0,113992,0.9,154098,2.25 104,1,2024-09-07 08:49:11:618,395141,395141,0,0,184547860950,1947559446,388483,5563,1095,365,391948,0 104,2,2024-09-07 08:49:11:684,283673,283673,0,0,14860764,0,3941 104,3,2024-09-07 08:49:11:424,1,225,4,0,1245,6124,225,0 105,0,2024-09-07 08:49:11:036,53443,1.8,51834,1.4,108425,2.8,143261,4.00 105,1,2024-09-07 08:49:10:555,394787,394787,0,0,184884919704,1945438540,388834,5056,897,367,391797,0 105,2,2024-09-07 08:49:11:324,286559,286559,0,0,14446479,0,3509 105,3,2024-09-07 08:49:11:308,1,225,2,0,399,4120,225,0 106,0,2024-09-07 08:49:10:937,54917,0.8,56259,0.9,115101,0.8,150362,2.50 106,1,2024-09-07 08:49:11:753,394393,394393,0,0,183739127662,1938189900,387326,6311,756,369,391767,0 106,2,2024-09-07 08:49:10:773,280579,280579,0,0,13645717,0,2795 106,3,2024-09-07 08:49:10:676,1,225,7,0,470,3414,225,0 107,0,2024-09-07 08:49:11:121,56804,0.9,56688,0.9,112964,1.0,150975,2.00 107,1,2024-09-07 08:49:10:611,394022,394022,0,0,184436692940,1946217253,388733,4745,544,381,392234,0 107,2,2024-09-07 08:49:11:299,282373,282372,1,0,14399587,0,5024 107,3,2024-09-07 08:49:11:757,1,225,1,0,353,3300,225,0 108,0,2024-09-07 08:49:11:783,57066,0.4,57291,0.6,113619,0.4,151570,1.75 108,1,2024-09-07 08:49:11:312,395563,395563,0,0,185366949367,1934118707,392376,2803,384,368,391857,0 108,2,2024-09-07 08:49:11:760,283695,283695,0,0,12823006,0,2647 108,3,2024-09-07 08:49:11:332,1,225,1,0,749,4752,225,0 109,0,2024-09-07 08:49:11:780,55107,0.4,54565,0.6,109442,0.4,146607,1.75 109,1,2024-09-07 08:49:10:602,394604,394604,0,0,185061774857,1943470922,390903,3188,513,383,392132,0 109,2,2024-09-07 08:49:10:922,287362,287362,0,0,13282385,0,3617 109,3,2024-09-07 08:49:11:168,1,225,11,0,249,2886,225,0 110,0,2024-09-07 08:49:11:762,55039,0.4,53492,0.7,111997,0.4,147285,1.75 110,1,2024-09-07 08:49:11:651,396108,396108,0,0,186268781321,1937082864,392969,2262,877,370,392045,0 110,2,2024-09-07 08:49:11:324,282905,282905,0,0,12305470,0,3264 110,3,2024-09-07 08:49:10:690,1,225,11,0,406,3080,225,0 111,0,2024-09-07 08:49:11:419,56398,0.4,55869,0.5,111675,0.3,149415,1.75 111,1,2024-09-07 08:49:11:005,396677,396677,0,0,186130059639,1931704740,394692,1650,335,382,391690,0 111,2,2024-09-07 08:49:11:122,282450,282450,0,0,12050674,0,2763 111,3,2024-09-07 08:49:10:915,1,225,4,0,379,3184,225,0 112,0,2024-09-07 08:49:10:912,57933,0.3,57919,0.4,115741,0.2,153589,1.50 112,1,2024-09-07 08:49:10:825,396935,396935,0,0,185523255126,1926715504,394520,1987,428,380,391580,0 112,2,2024-09-07 08:49:11:132,284905,284904,1,0,12255817,0,5036 112,3,2024-09-07 08:49:10:592,1,225,7,0,282,2493,225,0 113,0,2024-09-07 08:49:10:886,55588,0.3,55498,0.5,111624,0.3,148608,1.75 113,1,2024-09-07 08:49:11:686,397152,397152,0,0,186481915523,1927141733,394662,2001,489,366,391661,0 113,2,2024-09-07 08:49:11:309,288881,288881,0,0,11266158,0,3813 113,3,2024-09-07 08:49:10:686,1,225,3,0,340,3549,225,0 114,0,2024-09-07 08:49:10:875,54037,0.4,54523,0.6,108006,0.3,144873,1.75 114,1,2024-09-07 08:49:10:740,395837,395837,0,0,185351039403,1935275550,391034,3215,1588,381,391556,0 114,2,2024-09-07 08:49:10:879,283183,283182,1,0,12218474,0,5069 114,3,2024-09-07 08:49:11:284,1,225,13,0,395,2611,225,0 115,0,2024-09-07 08:49:10:555,57346,0.3,57681,0.5,115355,0.3,152934,1.75 115,1,2024-09-07 08:49:10:574,395697,395697,0,0,186018192895,1939078856,391341,3522,834,382,391602,0 115,2,2024-09-07 08:49:11:129,283986,283986,0,0,10522844,0,2152 115,3,2024-09-07 08:49:11:006,1,225,13,0,159,1334,225,0 116,0,2024-09-07 08:49:11:715,58212,0.7,57913,0.9,116218,0.7,155278,2.00 116,1,2024-09-07 08:49:10:805,393321,393321,0,0,184046116851,1954452214,386937,4331,2053,380,391782,0 116,2,2024-09-07 08:49:11:760,283526,283526,0,0,15422108,0,3529 116,3,2024-09-07 08:49:10:913,1,225,7,0,415,3472,225,0 117,0,2024-09-07 08:49:11:051,57609,0.9,57471,0.9,114915,1.0,154049,2.00 117,1,2024-09-07 08:49:11:591,394936,394936,0,0,184496661963,1936522308,390244,4212,480,370,392033,0 117,2,2024-09-07 08:49:11:124,286955,286955,0,0,12738099,0,3700 117,3,2024-09-07 08:49:11:060,1,225,0,0,490,3883,225,0 118,0,2024-09-07 08:49:11:763,51907,0.7,53431,0.8,108674,0.8,142580,2.25 118,1,2024-09-07 08:49:10:590,394792,394792,0,0,184178924078,1942525300,388465,4896,1431,366,391736,0 118,2,2024-09-07 08:49:11:601,285153,285153,0,0,13676784,0,2781 118,3,2024-09-07 08:49:11:769,1,225,7,0,235,2737,225,0 119,0,2024-09-07 08:49:11:339,58074,1.0,58523,0.9,117117,1.3,155699,2.25 119,1,2024-09-07 08:49:10:557,395556,395556,0,0,185645641535,1943870649,390915,4119,522,368,391641,0 119,2,2024-09-07 08:49:11:267,282925,282925,0,0,12982120,0,4174 119,3,2024-09-07 08:49:11:332,1,225,4,0,443,3416,225,0 120,0,2024-09-07 08:49:11:567,57101,0.6,56936,0.8,114289,0.5,152786,2.00 120,1,2024-09-07 08:49:10:865,394906,394906,0,0,185199731952,1948192307,390242,4314,350,368,391961,0 120,2,2024-09-07 08:49:10:775,282429,282428,1,0,15463409,0,5281 120,3,2024-09-07 08:49:11:308,1,225,2,0,241,3110,225,0 121,0,2024-09-07 08:49:11:690,57116,1.0,57418,1.0,114672,1.4,152696,2.25 121,1,2024-09-07 08:49:11:661,395245,395245,0,0,184543338884,1936964733,390662,4169,414,367,391840,0 121,2,2024-09-07 08:49:11:129,283241,283241,0,0,14941936,0,4127 121,3,2024-09-07 08:49:10:729,1,225,2,0,269,2763,225,0 122,0,2024-09-07 08:49:11:761,54128,1.0,52729,1.0,110594,1.1,145603,2.25 122,1,2024-09-07 08:49:10:872,394261,394261,0,0,184716804987,1945373869,388207,5240,814,366,392130,0 122,2,2024-09-07 08:49:11:326,286932,286859,73,0,17362292,0,5989 122,3,2024-09-07 08:49:10:594,1,225,2,0,411,4843,225,0 123,0,2024-09-07 08:49:10:967,55944,1.0,54686,0.9,113883,1.2,149524,2.25 123,1,2024-09-07 08:49:10:572,394491,394491,0,0,184803310234,1957243148,385825,6951,1715,369,391823,0 123,2,2024-09-07 08:49:11:019,280423,280422,1,0,14076075,0,5215 123,3,2024-09-07 08:49:11:133,1,225,2,0,168,2795,225,0 124,0,2024-09-07 08:49:10:935,57719,0.3,57652,0.5,108791,0.3,149365,1.75 124,1,2024-09-07 08:49:11:022,396680,396680,0,0,184793622485,1923091480,393850,2468,362,367,392178,0 124,2,2024-09-07 08:49:11:018,283016,283016,0,0,11307701,0,3101 124,3,2024-09-07 08:49:10:762,1,225,7,0,490,2698,225,0 125,0,2024-09-07 08:49:11:428,57114,0.3,56974,0.5,114504,0.3,151832,1.75 125,1,2024-09-07 08:49:10:862,395515,395515,0,0,185695662838,1939351913,392606,2520,389,383,391702,0 125,2,2024-09-07 08:49:11:116,285408,285408,0,0,12367473,0,4534 125,3,2024-09-07 08:49:11:127,1,225,1,0,709,3414,225,0 126,0,2024-09-07 08:49:11:435,55488,0.6,56871,0.7,108804,0.6,147554,1.75 126,1,2024-09-07 08:49:10:555,396643,396643,0,0,185853282602,1929156667,393972,2410,261,365,391987,0 126,2,2024-09-07 08:49:10:611,289743,289743,0,0,12592910,0,3186 126,3,2024-09-07 08:49:10:908,1,225,14,0,183,3202,225,0 127,0,2024-09-07 08:49:11:627,53960,0.4,54202,0.5,107876,0.3,144116,1.75 127,1,2024-09-07 08:49:10:571,395821,395821,0,0,185848312032,1928304527,392937,2731,153,365,391816,0 127,2,2024-09-07 08:49:10:684,282811,282811,0,0,11271982,0,2264 127,3,2024-09-07 08:49:11:267,1,225,968,0,968,2852,225,0 128,0,2024-09-07 08:49:11:544,57021,0.3,56970,0.5,113597,0.3,150922,1.50 128,1,2024-09-07 08:49:11:622,396074,396074,0,0,185348389975,1924522980,393956,1961,157,367,391798,0 128,2,2024-09-07 08:49:11:387,282943,282943,0,0,11150587,0,2434 128,3,2024-09-07 08:49:10:776,1,225,1,0,1082,5154,225,0 129,0,2024-09-07 08:49:11:039,58676,0.3,58353,0.4,116705,0.2,154793,1.50 129,1,2024-09-07 08:49:10:570,393939,393939,0,0,184078623405,1933197022,389820,3192,927,379,391835,0 129,2,2024-09-07 08:49:10:686,285660,285660,0,0,12100024,0,4031 129,3,2024-09-07 08:49:10:688,1,225,24,0,469,3176,225,0 130,0,2024-09-07 08:49:11:729,57657,0.6,57499,0.7,115134,0.6,153086,1.75 130,1,2024-09-07 08:49:10:587,396077,396077,0,0,185921837433,1936397100,393659,2341,77,381,391825,0 130,2,2024-09-07 08:49:11:133,288212,288212,0,0,11884769,0,4067 130,3,2024-09-07 08:49:11:298,1,225,12,0,450,3055,225,0 131,0,2024-09-07 08:49:11:929,53509,0.4,53777,0.6,108315,0.4,143498,1.75 131,1,2024-09-07 08:49:11:822,396484,396484,0,0,185270513680,1934278175,393453,2575,456,381,391865,0 131,2,2024-09-07 08:49:10:570,286008,286008,0,0,10802371,0,2415 131,3,2024-09-07 08:49:11:692,1,225,65,0,392,2427,225,0 132,0,2024-09-07 08:49:11:421,58111,0.5,58679,0.7,117316,0.5,155630,2.00 132,1,2024-09-07 08:49:10:587,393717,393717,0,0,183664304298,1943680248,386720,5745,1252,381,392097,0 132,2,2024-09-07 08:49:10:699,283023,283023,0,0,14549353,0,4606 132,3,2024-09-07 08:49:11:697,1,225,1,0,356,3425,225,0 133,0,2024-09-07 08:49:11:529,56848,0.4,58134,0.6,119070,0.3,154695,1.75 133,1,2024-09-07 08:49:10:588,393578,393578,0,0,184719966408,1949214227,388116,4797,665,383,391914,0 133,2,2024-09-07 08:49:11:094,282888,282888,0,0,15727737,0,4315 133,3,2024-09-07 08:49:11:305,1,225,1,0,276,2382,225,0 134,0,2024-09-07 08:49:10:962,57667,0.5,57625,0.7,115728,0.4,154046,2.00 134,1,2024-09-07 08:49:10:590,394078,394078,0,0,184299981862,1941983138,387640,4970,1468,366,391718,0 134,2,2024-09-07 08:49:11:761,284073,284073,0,0,13299559,0,3847 134,3,2024-09-07 08:49:10:755,1,225,8,0,739,3588,225,0 135,0,2024-09-07 08:49:11:108,52342,1.1,52358,1.1,110894,1.2,143406,2.25 135,1,2024-09-07 08:49:11:643,393504,393504,0,0,185241057351,1954292829,387322,5187,995,380,391805,0 135,2,2024-09-07 08:49:10:688,286965,286965,0,0,14576830,0,3981 135,3,2024-09-07 08:49:11:012,1,225,6,0,299,1790,225,0 136,0,2024-09-07 08:49:11:695,57246,0.6,57203,0.8,114322,0.5,152327,2.25 136,1,2024-09-07 08:49:11:443,394255,394255,0,0,184372390383,1942929857,388746,4925,584,382,391685,0 136,2,2024-09-07 08:49:11:132,282904,282904,0,0,13862570,0,3506 136,3,2024-09-07 08:49:11:116,1,225,1,0,126,1824,225,0 137,0,2024-09-07 08:49:10:936,58418,0.6,56715,0.8,112072,0.7,152163,2.00 137,1,2024-09-07 08:49:10:587,394113,394113,0,0,185008043146,1948219870,387174,5522,1417,366,391708,0 137,2,2024-09-07 08:49:11:710,282083,282083,0,0,15477475,0,3185 137,3,2024-09-07 08:49:10:771,1,225,4,0,382,2835,225,0 138,0,2024-09-07 08:49:11:740,56517,0.9,56605,0.9,113652,1.0,151226,2.25 138,1,2024-09-07 08:49:11:687,394564,394564,0,0,185519168349,1954647537,387618,5815,1131,368,391954,0 138,2,2024-09-07 08:49:10:590,284391,284391,0,0,14262926,0,4988 138,3,2024-09-07 08:49:10:612,1,225,9,0,1160,3798,225,0 139,0,2024-09-07 08:49:11:375,53858,2.4,53942,1.5,108578,3.4,145001,2.75 139,1,2024-09-07 08:49:10:575,392833,392833,0,0,184005064651,1961680296,384417,6304,2112,381,391892,0 139,2,2024-09-07 08:49:10:695,286252,286252,0,0,14981536,0,3097 139,3,2024-09-07 08:49:11:662,1,225,0,0,244,2445,225,0 140,0,2024-09-07 08:49:11:604,55512,0.3,54966,0.5,110610,0.3,147425,1.75 140,1,2024-09-07 08:49:11:564,397050,397050,0,0,187262873005,1931945546,395074,1735,241,365,391606,0 140,2,2024-09-07 08:49:10:687,283256,283256,0,0,11624130,0,3388 140,3,2024-09-07 08:49:10:773,1,225,1,0,247,2113,225,0 141,0,2024-09-07 08:49:11:716,56186,0.3,57652,0.5,110163,0.2,149462,1.50 141,1,2024-09-07 08:49:10:861,396733,396733,0,0,186207553382,1933113504,394429,1949,355,379,391614,0 141,2,2024-09-07 08:49:11:686,283028,283028,0,0,11111420,0,2342 141,3,2024-09-07 08:49:11:042,1,225,202,0,202,2234,225,0 142,0,2024-09-07 08:49:11:351,58541,0.3,57852,0.5,115192,0.2,153870,1.50 142,1,2024-09-07 08:49:10:609,395797,395797,0,0,184790839428,1928854056,393109,2423,265,382,392102,0 142,2,2024-09-07 08:49:11:322,284633,284601,32,0,12899437,0,6028 142,3,2024-09-07 08:49:11:752,1,225,7,0,484,3014,225,0 143,0,2024-09-07 08:49:11:376,55841,0.6,55814,0.6,112175,0.6,148455,1.75 143,1,2024-09-07 08:49:10:562,396121,396121,0,0,185494398177,1926428315,393417,2609,95,367,391619,0 143,2,2024-09-07 08:49:10:774,288048,288048,0,0,12201734,0,2669 143,3,2024-09-07 08:49:11:139,1,225,1,0,303,3139,225,0 144,0,2024-09-07 08:49:11:496,51910,0.8,53488,1.1,108599,0.8,143296,2.25 144,1,2024-09-07 08:49:10:572,394061,394061,0,0,184145943845,1937368349,390068,3072,921,381,391649,0 144,2,2024-09-07 08:49:11:758,283532,283532,0,0,11561508,0,3473 144,3,2024-09-07 08:49:11:756,1,225,12,0,249,2812,225,0 145,0,2024-09-07 08:49:11:400,55196,0.7,55222,0.9,117111,0.6,151213,2.50 145,1,2024-09-07 08:49:10:558,393419,393419,0,0,184220101920,1942981341,387929,4669,821,382,391698,0 145,2,2024-09-07 08:49:11:435,282076,282076,0,0,13756532,0,3903 145,3,2024-09-07 08:49:10:898,1,225,1,0,622,3937,225,0 146,0,2024-09-07 08:49:11:619,58192,0.5,57752,0.8,116443,0.5,154530,2.25 146,1,2024-09-07 08:49:11:594,394388,394388,0,0,184679678337,1952992247,386149,6393,1846,368,391770,0 146,2,2024-09-07 08:49:11:704,283658,283658,0,0,13766629,0,2730 146,3,2024-09-07 08:49:11:275,1,225,8,0,1520,5853,225,0 147,0,2024-09-07 08:49:11:712,57493,0.6,57486,0.8,114067,0.6,153704,2.25 147,1,2024-09-07 08:49:11:413,396433,396433,0,0,185373371299,1931626187,392417,3431,585,368,391791,0 147,2,2024-09-07 08:49:11:018,286821,286821,0,0,11653856,0,2789 147,3,2024-09-07 08:49:10:915,1,225,10,0,730,3797,225,0 0,0,2024-09-07 08:49:21:723,55916,0.5,55956,0.7,118672,0.5,153787,1.75 0,1,2024-09-07 08:49:20:807,397306,397306,0,0,185500945872,1943779067,394126,2978,202,370,391772,0 0,2,2024-09-07 08:49:21:067,284827,284827,0,0,12316750,0,4480 0,3,2024-09-07 08:49:20:974,1,226,11,0,319,3232,226,0 1,0,2024-09-07 08:49:21:832,57706,0.9,57188,1.0,115168,1.1,153471,2.00 1,1,2024-09-07 08:49:20:573,396524,396524,0,0,185375770880,1946665274,392034,3437,1053,371,391857,0 1,2,2024-09-07 08:49:20:659,285121,285121,0,0,11385986,0,3267 1,3,2024-09-07 08:49:21:311,1,226,8,0,262,2817,226,0 2,0,2024-09-07 08:49:21:570,54608,0.8,55003,0.9,109253,1.1,146232,2.00 2,1,2024-09-07 08:49:20:859,397321,397321,0,0,186401986593,1938778870,395219,1884,218,380,391745,0 2,2,2024-09-07 08:49:21:272,288904,288904,0,0,12112138,0,3594 2,3,2024-09-07 08:49:20:691,1,226,2,0,357,2238,226,0 3,0,2024-09-07 08:49:21:743,56450,0.5,56575,0.6,112516,0.4,149943,2.00 3,1,2024-09-07 08:49:21:622,396891,396891,0,0,185117066787,1932455508,393059,3370,462,380,391523,0 3,2,2024-09-07 08:49:21:146,283651,283628,23,0,12095365,0,5851 3,3,2024-09-07 08:49:21:756,1,226,0,0,103,1416,226,0 4,0,2024-09-07 08:49:21:770,54416,0.4,56004,0.5,113898,0.3,149653,1.75 4,1,2024-09-07 08:49:20:636,396624,396624,0,0,185506133308,1953231509,391917,3919,788,371,391846,0 4,2,2024-09-07 08:49:21:021,282882,282882,0,0,14470368,0,4528 4,3,2024-09-07 08:49:21:034,1,226,52,0,448,3694,226,0 5,0,2024-09-07 08:49:21:393,57201,0.4,57494,0.6,114286,0.4,152080,1.75 5,1,2024-09-07 08:49:20:778,396392,396392,0,0,185500758192,1955889328,390910,4370,1112,368,392005,0 5,2,2024-09-07 08:49:21:844,284367,284367,0,0,13178950,0,2432 5,3,2024-09-07 08:49:21:732,1,226,1,0,457,3742,226,0 6,0,2024-09-07 08:49:20:918,55894,0.7,55359,0.8,110477,0.7,148219,2.00 6,1,2024-09-07 08:49:20:746,397048,397048,0,0,185591300319,1944540211,392382,3822,844,379,391651,0 6,2,2024-09-07 08:49:21:116,289247,289247,0,0,13286286,0,4816 6,3,2024-09-07 08:49:21:276,1,226,9,0,340,2869,226,0 7,0,2024-09-07 08:49:21:536,54031,0.6,54039,0.7,108003,0.6,144507,2.00 7,1,2024-09-07 08:49:20:853,396378,396378,0,0,186594837616,1962934530,390484,4891,1003,382,391686,0 7,2,2024-09-07 08:49:20:769,282615,282615,0,0,12976482,0,4791 7,3,2024-09-07 08:49:20:851,1,226,2,0,398,2711,226,0 8,0,2024-09-07 08:49:21:427,56720,0.4,56820,0.5,113104,0.4,150841,1.75 8,1,2024-09-07 08:49:21:031,395193,395193,0,0,184839951103,1956779307,387143,6043,2007,367,391956,0 8,2,2024-09-07 08:49:20:798,280832,280832,0,0,15588716,0,3220 8,3,2024-09-07 08:49:20:585,1,226,12,0,357,3607,226,0 9,0,2024-09-07 08:49:21:183,58517,0.3,56746,0.5,118451,0.3,155239,1.75 9,1,2024-09-07 08:49:20:556,395893,395893,0,0,185942664916,1966356474,389415,4938,1540,370,392001,0 9,2,2024-09-07 08:49:21:117,285753,285753,0,0,13739784,0,3360 9,3,2024-09-07 08:49:21:766,1,226,1,0,496,3498,226,0 10,0,2024-09-07 08:49:21:608,57533,0.3,56982,0.5,114764,0.2,152454,1.75 10,1,2024-09-07 08:49:20:584,396455,396455,0,0,186003014587,1955885269,390096,5431,928,381,391741,0 10,2,2024-09-07 08:49:20:764,288122,288122,0,0,15372923,0,4264 10,3,2024-09-07 08:49:20:871,1,226,8,0,177,1942,226,0 11,0,2024-09-07 08:49:21:012,53344,0.5,51803,0.8,108448,0.5,144142,2.00 11,1,2024-09-07 08:49:20:571,396733,396733,0,0,185182930058,1955334562,388548,6204,1981,383,391537,0 11,2,2024-09-07 08:49:21:127,284335,284335,0,0,14350748,0,4130 11,3,2024-09-07 08:49:21:302,1,226,0,0,843,3951,226,0 12,0,2024-09-07 08:49:20:980,58852,0.4,58709,0.5,117350,0.3,155644,1.75 12,1,2024-09-07 08:49:20:972,397302,397302,0,0,185185511308,1933738727,393969,2912,421,370,391837,0 12,2,2024-09-07 08:49:21:548,285027,285027,0,0,13308999,0,3469 12,3,2024-09-07 08:49:21:077,1,226,1,0,386,4050,226,0 13,0,2024-09-07 08:49:21:350,58803,0.3,58650,0.5,117011,0.3,155961,1.50 13,1,2024-09-07 08:49:21:562,396760,396760,0,0,186017639654,1954770185,393269,2952,539,382,391717,0 13,2,2024-09-07 08:49:20:600,285990,285990,0,0,12284950,0,3287 13,3,2024-09-07 08:49:21:762,1,226,4,0,467,3782,226,0 14,0,2024-09-07 08:49:20:567,58190,0.4,58676,0.6,116150,0.3,154900,1.75 14,1,2024-09-07 08:49:21:571,399177,399177,0,0,185901702975,1927900367,396355,2633,189,364,391571,0 14,2,2024-09-07 08:49:20:764,285974,285974,0,0,12398080,0,2896 14,3,2024-09-07 08:49:21:115,1,226,4,0,1168,3395,226,0 15,0,2024-09-07 08:49:21:566,54000,0.6,54411,0.8,108683,0.6,144596,2.25 15,1,2024-09-07 08:49:21:609,397654,397654,0,0,186192938515,1938220917,395205,2271,178,381,391619,0 15,2,2024-09-07 08:49:21:000,288311,288311,0,0,10640525,0,3043 15,3,2024-09-07 08:49:21:414,1,226,1,0,1126,4812,226,0 16,0,2024-09-07 08:49:20:973,57580,0.7,57791,0.9,114729,0.8,153952,2.25 16,1,2024-09-07 08:49:20:576,397794,397794,0,0,186260292487,1950141518,394246,3252,296,370,391756,0 16,2,2024-09-07 08:49:21:434,283581,283581,0,0,13019611,0,4719 16,3,2024-09-07 08:49:21:147,1,226,24,0,317,3231,226,0 17,0,2024-09-07 08:49:21:772,58883,0.5,57347,0.7,112431,0.5,152931,2.00 17,1,2024-09-07 08:49:20:576,396104,396104,0,0,185683475194,1953935455,391276,3726,1102,368,391688,0 17,2,2024-09-07 08:49:21:669,286893,286893,0,0,12119555,0,2857 17,3,2024-09-07 08:49:20:605,1,226,6,0,268,3804,226,0 18,0,2024-09-07 08:49:20:940,56516,0.7,56647,0.9,113267,0.8,151121,2.25 18,1,2024-09-07 08:49:21:638,397534,397534,0,0,186749876466,1938014515,395034,2260,240,367,391649,0 18,2,2024-09-07 08:49:21:755,285953,285953,0,0,11873002,0,3541 18,3,2024-09-07 08:49:20:896,1,226,12,0,163,2433,226,0 19,0,2024-09-07 08:49:21:549,54564,0.8,55186,0.9,108920,0.9,145261,2.25 19,1,2024-09-07 08:49:20:576,397407,397407,0,0,186667643828,1944063192,392778,3844,785,367,391777,0 19,2,2024-09-07 08:49:21:753,290736,290736,0,0,10965635,0,3988 19,3,2024-09-07 08:49:21:128,1,226,5,0,524,1805,226,0 20,0,2024-09-07 08:49:21:384,55109,0.8,55119,0.9,110660,1.0,147979,2.25 20,1,2024-09-07 08:49:20:574,396558,396558,0,0,185850982059,1948267801,392756,3406,396,369,391886,0 20,2,2024-09-07 08:49:20:937,283463,283463,0,0,12597184,0,3721 20,3,2024-09-07 08:49:20:594,1,226,1,0,414,4466,226,0 21,0,2024-09-07 08:49:21:183,56186,0.5,56480,0.7,112709,0.5,149124,2.00 21,1,2024-09-07 08:49:21:582,395688,395688,0,0,184541128739,1949199333,389973,4458,1257,368,391962,0 21,2,2024-09-07 08:49:21:083,283258,283258,0,0,14113163,0,3747 21,3,2024-09-07 08:49:21:416,1,226,6,0,103,2509,226,0 22,0,2024-09-07 08:49:21:724,57645,0.6,57639,0.8,114988,0.6,152935,2.25 22,1,2024-09-07 08:49:21:025,395839,395839,0,0,184767116998,1951924580,388653,5544,1642,382,391667,0 22,2,2024-09-07 08:49:20:767,286064,286064,0,0,12646999,0,3134 22,3,2024-09-07 08:49:21:083,1,226,0,0,228,2264,226,0 23,0,2024-09-07 08:49:21:375,55506,0.7,55433,0.8,111384,0.7,148163,2.25 23,1,2024-09-07 08:49:21:012,396623,396623,0,0,186300731356,1962264149,389333,4739,2551,365,391550,0 23,2,2024-09-07 08:49:21:092,289215,289215,0,0,12359510,0,3010 23,3,2024-09-07 08:49:21:756,1,226,1,0,645,3091,226,0 24,0,2024-09-07 08:49:20:840,54533,0.5,54430,0.6,109180,0.5,145702,1.75 24,1,2024-09-07 08:49:20:583,396672,396672,0,0,185462280026,1951979930,391070,4124,1478,369,391987,0 24,2,2024-09-07 08:49:21:071,282620,282620,0,0,14761132,0,3607 24,3,2024-09-07 08:49:21:688,1,226,3,0,468,3598,226,0 25,0,2024-09-07 08:49:21:472,58846,0.5,57499,0.7,112533,0.5,153492,2.00 25,1,2024-09-07 08:49:20:574,397028,397028,0,0,185781140672,1949584373,392749,3726,553,371,391788,0 25,2,2024-09-07 08:49:21:627,283077,283077,0,0,15141453,0,3978 25,3,2024-09-07 08:49:21:000,1,226,15,0,255,2618,226,0 26,0,2024-09-07 08:49:21:723,58157,0.4,56862,0.6,119159,0.3,155093,1.75 26,1,2024-09-07 08:49:21:553,396594,396594,0,0,185055646415,1948394253,389631,5747,1216,381,391748,0 26,2,2024-09-07 08:49:20:861,285602,285602,0,0,14885466,0,2809 26,3,2024-09-07 08:49:21:713,1,226,3,0,796,3196,226,0 27,0,2024-09-07 08:49:21:729,58236,0.5,58107,0.6,115126,0.4,153988,2.00 27,1,2024-09-07 08:49:21:682,398392,398392,0,0,187376633459,1953278259,394946,2854,592,381,391558,0 27,2,2024-09-07 08:49:20:867,285266,285201,65,0,15116301,0,5699 27,3,2024-09-07 08:49:21:019,1,226,1,0,564,2566,226,0 28,0,2024-09-07 08:49:21:396,53928,0.6,53824,0.7,108014,0.6,144519,2.25 28,1,2024-09-07 08:49:20:803,398099,398099,0,0,186943610565,1949012703,395264,2365,470,383,391646,0 28,2,2024-09-07 08:49:21:766,286543,286543,0,0,11742153,0,2915 28,3,2024-09-07 08:49:21:779,1,226,1,0,502,2519,226,0 29,0,2024-09-07 08:49:21:380,60164,0.4,58858,0.7,114918,0.4,157020,1.75 29,1,2024-09-07 08:49:21:580,398668,398668,0,0,186477413362,1933870148,395453,2650,565,369,391621,0 29,2,2024-09-07 08:49:20:861,283741,283741,0,0,11228212,0,4018 29,3,2024-09-07 08:49:20:964,1,226,0,0,115,1711,226,0 30,0,2024-09-07 08:49:21:467,57407,0.6,55827,0.7,116861,0.5,153230,2.00 30,1,2024-09-07 08:49:20:573,398881,398881,0,0,186924317800,1939828760,396555,2033,293,382,391672,0 30,2,2024-09-07 08:49:21:279,285353,285353,0,0,11079751,0,3161 30,3,2024-09-07 08:49:20:586,1,226,1,0,195,1772,226,0 31,0,2024-09-07 08:49:21:760,57208,0.4,57445,0.6,115252,0.4,153290,2.00 31,1,2024-09-07 08:49:20:565,399621,399621,0,0,187384621419,1922759204,398233,1169,219,356,391712,0 31,2,2024-09-07 08:49:21:279,284848,284848,0,0,12690727,0,3525 31,3,2024-09-07 08:49:21:706,1,226,0,0,220,1852,226,0 32,0,2024-09-07 08:49:21:430,54562,0.4,55089,0.6,110223,0.3,146840,1.75 32,1,2024-09-07 08:49:20:804,398705,398705,0,0,186369693256,1936086095,396783,1643,279,381,391614,0 32,2,2024-09-07 08:49:20:941,289193,289193,0,0,10907603,0,3155 32,3,2024-09-07 08:49:21:025,1,226,2,0,227,1768,226,0 33,0,2024-09-07 08:49:21:506,56996,0.3,56302,0.5,113212,0.2,150969,1.75 33,1,2024-09-07 08:49:20:581,398862,398862,0,0,186976924118,1934598687,396284,2404,174,369,391730,0 33,2,2024-09-07 08:49:20:765,284500,284467,33,0,12632746,0,7012 33,3,2024-09-07 08:49:20:894,1,226,2,0,329,2575,226,0 34,0,2024-09-07 08:49:20:933,56139,0.3,57812,0.5,110931,0.3,148939,1.75 34,1,2024-09-07 08:49:21:057,399466,399466,0,0,187494864644,1930251323,398390,1069,7,367,391562,0 34,2,2024-09-07 08:49:20:766,284237,284237,0,0,11820727,0,3577 34,3,2024-09-07 08:49:21:694,1,226,14,0,299,1914,226,0 35,0,2024-09-07 08:49:20:862,56731,0.4,57072,0.5,114644,0.3,152389,1.75 35,1,2024-09-07 08:49:21:074,397742,397742,0,0,186127849606,1933813783,394402,2560,780,384,391589,0 35,2,2024-09-07 08:49:21:583,286403,286403,0,0,11219726,0,2653 35,3,2024-09-07 08:49:20:907,1,226,0,0,418,2711,226,0 36,0,2024-09-07 08:49:21:520,55562,0.7,55479,0.9,111148,0.8,147884,2.50 36,1,2024-09-07 08:49:20:583,397073,397073,0,0,186380692053,1954802002,391113,4382,1578,366,391759,0 36,2,2024-09-07 08:49:21:757,288825,288825,0,0,13590712,0,3875 36,3,2024-09-07 08:49:20:863,1,226,1,0,416,3900,226,0 37,0,2024-09-07 08:49:21:398,53889,0.6,53796,0.8,107977,0.6,145330,2.00 37,1,2024-09-07 08:49:20:586,396576,396569,0,7,185287831354,1947970849,390565,3938,2066,365,391560,0 37,2,2024-09-07 08:49:21:146,282961,282946,15,0,13658074,0,5815 37,3,2024-09-07 08:49:21:767,1,226,0,0,888,4297,226,0 38,0,2024-09-07 08:49:21:440,56155,0.5,54543,0.7,114107,0.5,149034,2.00 38,1,2024-09-07 08:49:21:654,398000,398000,0,0,185891012148,1946190692,392734,4591,675,368,391821,0 38,2,2024-09-07 08:49:20:765,283631,283584,47,0,14155645,0,6710 38,3,2024-09-07 08:49:20:998,1,226,8,0,689,3520,226,0 39,0,2024-09-07 08:49:21:766,59556,0.6,58473,0.7,113555,0.7,155232,2.00 39,1,2024-09-07 08:49:20:719,397623,397623,0,0,185803897235,1944358719,392098,4624,901,365,391594,0 39,2,2024-09-07 08:49:21:418,285808,285808,0,0,12308008,0,2689 39,3,2024-09-07 08:49:20:722,1,226,0,0,324,3378,226,0 40,0,2024-09-07 08:49:21:495,56751,0.8,57286,1.0,114497,0.8,152022,3.00 40,1,2024-09-07 08:49:20:576,397168,397168,0,0,185407248070,1950018112,390170,5555,1443,368,391591,0 40,2,2024-09-07 08:49:21:318,287487,287486,1,0,15413319,0,5137 40,3,2024-09-07 08:49:21:146,1,226,16,0,398,2977,226,0 41,0,2024-09-07 08:49:21:033,53161,2.4,54376,1.7,103663,3.7,142423,4.25 41,1,2024-09-07 08:49:20:768,396876,396876,0,0,186579749251,1956340327,391372,4870,634,370,391742,0 41,2,2024-09-07 08:49:20:765,283256,283256,0,0,14612055,0,3356 41,3,2024-09-07 08:49:21:676,1,226,0,0,366,2712,226,0 42,0,2024-09-07 08:49:21:484,57584,1.0,57876,1.1,115699,1.1,152399,2.75 42,1,2024-09-07 08:49:21:447,395768,395768,0,0,185722334458,1958811207,388611,5705,1452,380,391675,0 42,2,2024-09-07 08:49:21:134,284208,284208,0,0,13870429,0,3568 42,3,2024-09-07 08:49:21:011,1,226,8,0,446,2031,226,0 43,0,2024-09-07 08:49:20:930,58128,0.7,56614,0.9,118361,0.7,155630,2.25 43,1,2024-09-07 08:49:20:581,396578,396578,0,0,185972905704,1949633893,390321,4928,1329,366,391696,0 43,2,2024-09-07 08:49:21:744,285908,285908,0,0,13350468,0,3812 43,3,2024-09-07 08:49:21:752,1,226,2,0,467,3004,226,0 44,0,2024-09-07 08:49:20:899,57947,0.5,58315,0.7,116203,0.4,154799,1.75 44,1,2024-09-07 08:49:20:567,398432,398432,0,0,186521494078,1928331988,395882,2119,431,356,391809,0 44,2,2024-09-07 08:49:21:272,285327,285327,0,0,10996726,0,2231 44,3,2024-09-07 08:49:21:092,1,226,13,0,817,2832,226,0 45,0,2024-09-07 08:49:21:775,53356,0.9,52184,1.0,109629,0.9,144331,2.25 45,1,2024-09-07 08:49:21:008,397947,397947,0,0,187085097954,1940581253,396314,1621,12,382,391917,0 45,2,2024-09-07 08:49:21:275,288459,288459,0,0,11878279,0,3596 45,3,2024-09-07 08:49:20:934,1,226,0,0,271,2555,226,0 46,0,2024-09-07 08:49:20:959,57190,0.5,57185,0.7,114329,0.4,151648,2.00 46,1,2024-09-07 08:49:20:579,399104,399104,0,0,186667213375,1930076961,396758,2072,274,366,391524,0 46,2,2024-09-07 08:49:20:595,284563,284563,0,0,11314558,0,2920 46,3,2024-09-07 08:49:21:134,1,226,9,0,908,3472,226,0 47,0,2024-09-07 08:49:21:102,57324,0.4,57224,0.6,115127,0.4,152029,1.75 47,1,2024-09-07 08:49:20:574,399087,399087,0,0,186571954643,1928465351,396765,2188,134,366,391605,0 47,2,2024-09-07 08:49:20:907,286128,286128,0,0,11350408,0,2558 47,3,2024-09-07 08:49:21:118,1,226,2,0,529,2219,226,0 48,0,2024-09-07 08:49:21:494,57532,0.3,57148,0.4,113886,0.2,152045,1.50 48,1,2024-09-07 08:49:21:028,398812,398812,0,0,186712054259,1942007101,395765,2748,299,384,391710,0 48,2,2024-09-07 08:49:20:706,285954,285954,0,0,10993349,0,3031 48,3,2024-09-07 08:49:20:753,1,226,1,0,339,2032,226,0 49,0,2024-09-07 08:49:21:717,56529,0.4,55524,0.6,107582,0.4,147267,1.75 49,1,2024-09-07 08:49:21:022,396722,396722,0,0,186618721130,1947867095,392931,2610,1181,382,391610,0 49,2,2024-09-07 08:49:21:809,290353,290353,0,0,11666381,0,3900 49,3,2024-09-07 08:49:21:415,1,226,54,0,408,2703,226,0 50,0,2024-09-07 08:49:21:509,55455,0.3,54906,0.5,110321,0.2,147818,1.75 50,1,2024-09-07 08:49:21:016,399397,399397,0,0,186747076846,1934227234,396692,2395,310,368,391530,0 50,2,2024-09-07 08:49:21:084,284006,284006,0,0,10756253,0,2263 50,3,2024-09-07 08:49:21:291,1,226,0,0,335,2013,226,0 51,0,2024-09-07 08:49:21:690,57463,0.3,56279,0.5,109634,0.2,149454,1.75 51,1,2024-09-07 08:49:21:683,398777,398777,0,0,187229752815,1935520120,396036,1802,939,365,391706,0 51,2,2024-09-07 08:49:21:322,283907,283907,0,0,10027058,0,3337 51,3,2024-09-07 08:49:21:034,1,226,5,0,678,1971,226,0 52,0,2024-09-07 08:49:21:428,58416,0.5,58054,0.7,115842,0.4,153699,2.00 52,1,2024-09-07 08:49:20:580,396940,396940,0,0,185235315347,1949212855,389806,5881,1253,368,391722,0 52,2,2024-09-07 08:49:21:757,284524,284486,38,0,14393985,0,6742 52,3,2024-09-07 08:49:20:687,1,226,1,0,1782,4160,226,0 53,0,2024-09-07 08:49:21:755,55331,0.8,53856,0.9,112620,0.9,147366,2.50 53,1,2024-09-07 08:49:20:777,396184,396184,0,0,185880525076,1959016485,388862,4966,2356,367,391702,0 53,2,2024-09-07 08:49:21:305,289108,289108,0,0,12052838,0,2727 53,3,2024-09-07 08:49:20:698,1,226,1,0,271,2164,226,0 54,0,2024-09-07 08:49:21:618,53108,1.2,53645,1.0,106172,0.8,143068,3.00 54,1,2024-09-07 08:49:20:583,397481,397481,0,0,186868540308,1948914350,392747,4137,597,367,391659,0 54,2,2024-09-07 08:49:20:865,283469,283463,6,0,13223828,0,5382 54,3,2024-09-07 08:49:20:764,1,226,1,0,676,3637,226,0 55,0,2024-09-07 08:49:21:764,55232,0.7,57302,0.9,115204,0.7,150013,2.50 55,1,2024-09-07 08:49:20:767,396630,396630,0,0,185809199730,1940201814,391081,4762,787,365,391731,0 55,2,2024-09-07 08:49:20:729,283412,283412,0,0,13358771,0,3275 55,3,2024-09-07 08:49:20:688,1,226,2,0,304,2750,226,0 56,0,2024-09-07 08:49:21:582,59685,1.1,56317,1.1,115894,1.5,154982,2.50 56,1,2024-09-07 08:49:20:570,395294,395294,0,0,185632346519,1969549514,388161,5695,1438,381,391678,0 56,2,2024-09-07 08:49:21:303,285673,285673,0,0,14535842,0,3567 56,3,2024-09-07 08:49:21:059,1,226,1,0,705,3479,226,0 57,0,2024-09-07 08:49:20:957,56979,1.8,57153,1.3,114307,2.4,152916,3.25 57,1,2024-09-07 08:49:20:992,396604,396604,0,0,185442367288,1949233835,391289,4645,670,367,391960,0 57,2,2024-09-07 08:49:21:323,287412,287412,0,0,15528474,0,3317 57,3,2024-09-07 08:49:21:739,1,226,39,0,359,3316,226,0 58,0,2024-09-07 08:49:20:559,52576,1.2,51307,1.1,107212,1.5,140957,2.75 58,1,2024-09-07 08:49:20:582,397136,397133,0,3,186440933228,1953971763,391407,5016,710,367,391545,3 58,2,2024-09-07 08:49:21:076,286498,286498,0,0,13991276,0,2549 58,3,2024-09-07 08:49:21:083,1,226,11,0,1043,2791,226,0 59,0,2024-09-07 08:49:21:761,58161,0.9,58084,1.1,115987,1.0,153919,3.00 59,1,2024-09-07 08:49:20:804,396724,396724,0,0,186054491731,1953172046,390938,4521,1265,369,391525,0 59,2,2024-09-07 08:49:20:582,285290,285290,0,0,12654379,0,2604 59,3,2024-09-07 08:49:21:740,1,226,1,0,1015,3453,226,0 60,0,2024-09-07 08:49:21:721,57800,0.4,57533,0.6,115843,0.4,153881,1.75 60,1,2024-09-07 08:49:20:797,398790,398790,0,0,186507592907,1937168660,396360,1913,517,370,392031,0 60,2,2024-09-07 08:49:21:148,285035,285035,0,0,13290425,0,3811 60,3,2024-09-07 08:49:21:270,1,226,10,0,124,2268,226,0 61,0,2024-09-07 08:49:21:528,57444,0.7,57775,0.8,114995,0.8,153449,2.00 61,1,2024-09-07 08:49:20:776,397178,397178,0,0,186062719654,1950616732,392611,3864,703,382,391880,0 61,2,2024-09-07 08:49:21:134,286420,286420,0,0,11735386,0,2090 61,3,2024-09-07 08:49:21:696,1,226,1,0,397,3266,226,0 62,0,2024-09-07 08:49:21:706,55315,0.8,56329,0.9,107286,1.0,146585,2.00 62,1,2024-09-07 08:49:21:111,399906,399900,0,6,187151178889,1932645640,397514,2270,116,365,391715,6 62,2,2024-09-07 08:49:21:643,287775,287774,1,0,13470529,0,5555 62,3,2024-09-07 08:49:21:151,1,226,1,0,287,1672,226,0 63,0,2024-09-07 08:49:21:453,56474,0.5,56631,0.6,113122,0.4,150574,1.75 63,1,2024-09-07 08:49:20:807,399402,399396,0,6,186659642795,1935371389,397825,1521,50,381,391677,6 63,2,2024-09-07 08:49:20:767,283821,283821,0,0,11085399,0,2674 63,3,2024-09-07 08:49:21:736,1,226,3,0,667,2805,226,0 64,0,2024-09-07 08:49:21:529,55642,0.5,55758,0.6,111678,0.4,148857,1.75 64,1,2024-09-07 08:49:20:755,397851,397851,0,0,186167481014,1946104084,393375,3062,1414,370,391783,0 64,2,2024-09-07 08:49:21:150,286694,286675,19,0,11913715,0,6121 64,3,2024-09-07 08:49:21:139,1,226,1,0,265,2442,226,0 65,0,2024-09-07 08:49:21:700,56619,0.6,57026,0.7,113836,0.6,151332,2.00 65,1,2024-09-07 08:49:20:868,396633,396633,0,0,185448219118,1943656085,393089,3101,443,382,391901,0 65,2,2024-09-07 08:49:21:713,285991,285991,0,0,12593551,0,3367 65,3,2024-09-07 08:49:21:688,1,226,0,0,163,2226,226,0 66,0,2024-09-07 08:49:21:794,55107,0.5,55111,0.8,110687,0.5,147181,2.00 66,1,2024-09-07 08:49:21:293,398522,398522,0,0,186901954549,1943971946,395964,2358,200,380,391588,0 66,2,2024-09-07 08:49:21:141,291377,291377,0,0,12476383,0,4956 66,3,2024-09-07 08:49:21:083,1,226,0,0,291,2557,226,0 67,0,2024-09-07 08:49:21:425,54518,0.7,54172,0.8,108791,0.9,145302,2.25 67,1,2024-09-07 08:49:20:774,397613,397612,0,1,185931145955,1942911658,393775,3090,747,380,391787,1 67,2,2024-09-07 08:49:20:583,285016,285016,0,0,11223614,0,2889 67,3,2024-09-07 08:49:21:765,1,226,1,0,338,2146,226,0 68,0,2024-09-07 08:49:20:574,56736,0.6,56656,0.8,112648,0.7,150693,2.00 68,1,2024-09-07 08:49:20:582,396772,396772,0,0,185158082889,1945287025,392803,2831,1138,381,391953,0 68,2,2024-09-07 08:49:21:056,283063,282998,65,0,15231492,0,6698 68,3,2024-09-07 08:49:20:735,1,226,8,0,417,2750,226,0 69,0,2024-09-07 08:49:21:746,57989,0.7,58292,0.8,116055,0.8,154454,2.25 69,1,2024-09-07 08:49:21:027,395433,395433,0,0,185257844070,1960770355,389736,4296,1401,384,391994,0 69,2,2024-09-07 08:49:21:741,285818,285818,0,0,14767173,0,3722 69,3,2024-09-07 08:49:20:767,1,226,1,0,698,3532,226,0 70,0,2024-09-07 08:49:21:533,56914,1.1,56851,1.1,114207,0.8,151595,2.50 70,1,2024-09-07 08:49:20:801,397532,397532,0,0,186288301453,1938519711,393877,3127,528,366,391725,0 70,2,2024-09-07 08:49:21:331,287932,287932,0,0,13880449,0,4044 70,3,2024-09-07 08:49:20:745,1,226,7,0,854,2804,226,0 71,0,2024-09-07 08:49:21:358,53181,1.6,53105,1.3,106254,2.3,143102,3.25 71,1,2024-09-07 08:49:21:612,397119,397119,0,0,186564429487,1950719112,392000,4498,621,368,391738,0 71,2,2024-09-07 08:49:21:084,285176,285176,0,0,13787158,0,4042 71,3,2024-09-07 08:49:21:752,1,226,1,0,644,3559,226,0 72,0,2024-09-07 08:49:21:047,60197,0.9,58881,0.9,114847,1.1,156047,2.25 72,1,2024-09-07 08:49:21:050,397091,397091,0,0,185199877148,1939276196,392042,4118,931,369,391819,0 72,2,2024-09-07 08:49:21:789,283010,283010,0,0,15555561,0,3983 72,3,2024-09-07 08:49:21:758,1,226,24,0,564,4346,226,0 73,0,2024-09-07 08:49:21:231,57038,0.4,58316,0.6,119685,0.4,155348,2.00 73,1,2024-09-07 08:49:20:766,397634,397634,0,0,185553117990,1932674447,394560,2842,232,367,391750,0 73,2,2024-09-07 08:49:21:738,286008,286008,0,0,14931206,0,3701 73,3,2024-09-07 08:49:20:971,1,226,1,0,274,3581,226,0 74,0,2024-09-07 08:49:21:322,58598,0.5,59835,0.7,114149,0.5,155028,2.25 74,1,2024-09-07 08:49:20:642,397067,397067,0,0,185575484117,1942670294,392678,3590,799,381,391681,0 74,2,2024-09-07 08:49:21:002,286092,286092,0,0,14430610,0,4253 74,3,2024-09-07 08:49:21:448,1,226,8,0,522,3302,226,0 75,0,2024-09-07 08:49:21:788,54443,0.7,54114,0.9,108604,0.7,145539,2.50 75,1,2024-09-07 08:49:21:592,396615,396615,0,0,185348248359,1943113589,391710,4242,663,380,391660,0 75,2,2024-09-07 08:49:21:352,287149,287149,0,0,14952556,0,4766 75,3,2024-09-07 08:49:21:070,1,226,3,0,702,3472,226,0 76,0,2024-09-07 08:49:20:616,57098,0.6,56689,0.8,113382,0.6,152576,2.50 76,1,2024-09-07 08:49:20:817,397801,397801,0,0,185619143207,1939599686,394812,2441,548,382,391692,0 76,2,2024-09-07 08:49:21:068,285272,285272,0,0,11906253,0,3784 76,3,2024-09-07 08:49:21:142,1,226,1,0,175,2417,226,0 77,0,2024-09-07 08:49:21:708,57105,0.5,57358,0.7,114432,0.5,152131,2.00 77,1,2024-09-07 08:49:20:828,397796,397796,0,0,185826508834,1943249916,394472,3068,256,383,391808,0 77,2,2024-09-07 08:49:21:287,283957,283957,0,0,12364543,0,3890 77,3,2024-09-07 08:49:21:095,1,226,382,0,382,2735,226,0 78,0,2024-09-07 08:49:21:721,57305,0.4,56686,0.7,114520,0.4,151332,2.00 78,1,2024-09-07 08:49:20:617,397792,397792,0,0,185831431411,1931717928,394817,2658,317,367,391670,0 78,2,2024-09-07 08:49:21:417,285924,285924,0,0,11295808,0,3855 78,3,2024-09-07 08:49:21:135,1,226,1,0,181,2360,226,0 79,0,2024-09-07 08:49:21:354,53315,0.5,54671,0.7,111831,0.4,145076,2.50 79,1,2024-09-07 08:49:20:576,399033,399033,0,0,186844759982,1936077229,396194,2503,336,369,391682,0 79,2,2024-09-07 08:49:21:084,290421,290421,0,0,11352138,0,3212 79,3,2024-09-07 08:49:20:749,1,226,25,0,418,3608,226,0 80,0,2024-09-07 08:49:21:090,55266,0.6,56847,0.7,108774,0.6,147579,2.00 80,1,2024-09-07 08:49:21:620,397037,397037,0,0,186518277875,1944261808,394075,2794,168,368,391791,0 80,2,2024-09-07 08:49:21:107,284637,284637,0,0,11659877,0,4433 80,3,2024-09-07 08:49:20:577,1,226,1,0,190,3236,226,0 81,0,2024-09-07 08:49:21:596,55974,0.5,57313,0.7,110038,0.5,148555,2.00 81,1,2024-09-07 08:49:21:657,396857,396857,0,0,185009184469,1937823495,393021,3375,461,382,391879,0 81,2,2024-09-07 08:49:21:128,283686,283686,0,0,11879878,0,3993 81,3,2024-09-07 08:49:21:116,1,226,0,0,374,2673,226,0 82,0,2024-09-07 08:49:21:531,57415,0.4,57910,0.6,115959,0.4,153728,2.00 82,1,2024-09-07 08:49:20:584,398090,398086,0,4,186744541423,1948249513,394865,2610,611,381,391558,4 82,2,2024-09-07 08:49:21:700,286913,286913,0,0,11519826,0,3986 82,3,2024-09-07 08:49:21:766,1,226,1,0,363,2763,226,0 83,0,2024-09-07 08:49:21:533,55829,0.6,55927,0.7,111031,0.6,147764,2.00 83,1,2024-09-07 08:49:20:551,397259,397259,0,0,186203589255,1944875607,394093,2923,243,382,391690,0 83,2,2024-09-07 08:49:20:768,288546,288546,0,0,11437979,0,3119 83,3,2024-09-07 08:49:20:749,1,226,4,0,250,2475,226,0 84,0,2024-09-07 08:49:21:799,53607,0.9,53757,1.0,107261,0.8,144493,2.50 84,1,2024-09-07 08:49:21:123,396382,396382,0,0,185833743269,1948121629,391656,4152,574,368,391967,0 84,2,2024-09-07 08:49:20:571,283856,283856,0,0,13419009,0,3801 84,3,2024-09-07 08:49:21:187,1,226,24,0,908,3830,226,0 85,0,2024-09-07 08:49:21:007,55396,0.8,55326,1.0,117350,1.0,151489,2.50 85,1,2024-09-07 08:49:20:568,395779,395779,0,0,185124487226,1961958532,388957,5716,1106,382,392006,0 85,2,2024-09-07 08:49:20:865,285372,285372,0,0,14140004,0,3656 85,3,2024-09-07 08:49:20:686,1,226,1,0,789,3119,226,0 86,0,2024-09-07 08:49:20:895,58481,0.6,60009,0.8,115034,0.6,154967,2.00 86,1,2024-09-07 08:49:20:831,397559,397559,0,0,186120470509,1950822898,392589,4247,723,366,391961,0 86,2,2024-09-07 08:49:20:861,284907,284906,1,0,14980931,0,5004 86,3,2024-09-07 08:49:20:589,1,226,1,0,286,3125,226,0 87,0,2024-09-07 08:49:21:352,57781,1.1,57416,1.0,115486,1.5,154407,2.25 87,1,2024-09-07 08:49:20:555,397222,397222,0,0,185429343740,1944211485,392764,3978,480,366,391788,0 87,2,2024-09-07 08:49:21:076,285809,285809,0,0,13473275,0,4045 87,3,2024-09-07 08:49:21:795,1,226,1,0,473,3726,226,0 88,0,2024-09-07 08:49:21:481,53840,0.6,54138,0.7,108094,0.6,144064,2.00 88,1,2024-09-07 08:49:20:579,395498,395498,0,0,185475244065,1952170610,389334,4652,1512,365,392084,0 88,2,2024-09-07 08:49:20:690,286235,286235,0,0,15391464,0,3583 88,3,2024-09-07 08:49:21:272,1,226,6,0,435,2989,226,0 89,0,2024-09-07 08:49:21:788,60346,0.6,58234,0.8,115769,0.5,157152,2.00 89,1,2024-09-07 08:49:20:618,395227,395227,0,0,186293842508,1971182710,388329,5678,1220,382,391866,0 89,2,2024-09-07 08:49:21:133,283445,283445,0,0,14595650,0,2910 89,3,2024-09-07 08:49:21:808,1,226,55,0,325,4660,226,0 90,0,2024-09-07 08:49:21:642,56086,0.5,57287,0.6,117443,0.4,152938,1.75 90,1,2024-09-07 08:49:20:593,397273,397273,0,0,185680445819,1950223856,393169,3827,277,381,391825,0 90,2,2024-09-07 08:49:21:424,283599,283599,0,0,15598117,0,3060 90,3,2024-09-07 08:49:20:969,1,226,15,0,200,2505,226,0 91,0,2024-09-07 08:49:20:994,57892,0.4,55957,0.6,116815,0.4,153628,1.75 91,1,2024-09-07 08:49:20:560,396481,396481,0,0,185944765780,1960505923,390625,5165,691,381,392047,0 91,2,2024-09-07 08:49:21:345,285118,285118,0,0,14207934,0,2896 91,3,2024-09-07 08:49:20:650,1,226,1,0,216,2326,226,0 92,0,2024-09-07 08:49:21:594,55252,0.6,56704,0.7,108376,0.6,146916,1.75 92,1,2024-09-07 08:49:20:586,396835,396835,0,0,186107553751,1943034762,394344,2085,406,382,392136,0 92,2,2024-09-07 08:49:21:363,290265,290265,0,0,11905608,0,2801 92,3,2024-09-07 08:49:21:011,1,226,1,0,167,1905,226,0 93,0,2024-09-07 08:49:20:952,56851,0.4,58133,0.6,111251,0.4,151093,1.75 93,1,2024-09-07 08:49:20:806,397723,397723,0,0,185801147275,1942599645,393402,3536,785,366,391692,0 93,2,2024-09-07 08:49:20:937,284641,284641,0,0,12105584,0,2509 93,3,2024-09-07 08:49:21:431,1,226,5,0,190,2427,226,0 94,0,2024-09-07 08:49:21:644,55977,0.4,56644,0.5,112718,0.3,149617,1.75 94,1,2024-09-07 08:49:20:572,397187,397187,0,0,186240234738,1948576444,393920,3091,176,381,391850,0 94,2,2024-09-07 08:49:20:766,283726,283726,0,0,11527999,0,2443 94,3,2024-09-07 08:49:21:689,1,226,9,0,264,3134,226,0 95,0,2024-09-07 08:49:21:463,57442,0.3,56936,0.5,115150,0.3,152279,1.75 95,1,2024-09-07 08:49:20:851,397993,397993,0,0,186421733982,1940306861,394667,2975,351,367,391662,0 95,2,2024-09-07 08:49:21:021,285476,285476,0,0,12023824,0,3308 95,3,2024-09-07 08:49:21:713,1,226,1,0,718,4321,226,0 96,0,2024-09-07 08:49:21:039,55643,0.5,55864,0.6,111366,0.4,147721,1.75 96,1,2024-09-07 08:49:21:604,396592,396592,0,0,185921218622,1945158940,393028,2835,729,384,391894,0 96,2,2024-09-07 08:49:21:272,289324,289324,0,0,12651268,0,4042 96,3,2024-09-07 08:49:21:146,1,226,1,0,411,2727,226,0 97,0,2024-09-07 08:49:21:372,54421,0.4,54244,0.5,108977,0.3,145316,1.75 97,1,2024-09-07 08:49:20:770,397948,397948,0,0,186845445734,1940852203,394928,2467,553,367,392140,0 97,2,2024-09-07 08:49:20:639,283911,283911,0,0,11623317,0,3036 97,3,2024-09-07 08:49:20:576,1,226,0,0,214,2833,226,0 98,0,2024-09-07 08:49:21:842,56667,0.3,56724,0.5,113940,0.3,150877,1.50 98,1,2024-09-07 08:49:20:575,398045,398045,0,0,186845497678,1947234214,395918,2030,97,382,391997,0 98,2,2024-09-07 08:49:20:769,284698,284698,0,0,11811840,0,3080 98,3,2024-09-07 08:49:20:707,1,226,107,0,840,4456,226,0 99,0,2024-09-07 08:49:21:503,58346,0.3,58481,0.4,116741,0.3,155510,1.50 99,1,2024-09-07 08:49:21:738,398082,398082,0,0,185217706881,1934956426,394304,3039,739,381,391744,0 99,2,2024-09-07 08:49:21:436,288645,288645,0,0,12511531,0,3106 99,3,2024-09-07 08:49:20:588,1,226,12,0,168,1866,226,0 100,0,2024-09-07 08:49:21:519,57233,0.8,57220,1.0,114244,1.0,152144,2.25 100,1,2024-09-07 08:49:20:554,395010,395010,0,0,184421707045,1956664068,388180,5513,1317,381,391989,0 100,2,2024-09-07 08:49:21:831,286587,286576,11,0,14234149,0,5417 100,3,2024-09-07 08:49:21:733,1,226,2,0,559,4730,226,0 101,0,2024-09-07 08:49:21:719,54705,2.4,53369,1.4,104639,2.9,144442,3.00 101,1,2024-09-07 08:49:20:570,395622,395622,0,0,185188464422,1958688747,388282,5569,1771,368,391769,0 101,2,2024-09-07 08:49:21:764,283832,283832,0,0,15337503,0,4644 101,3,2024-09-07 08:49:20:943,1,226,0,0,448,3015,226,0 102,0,2024-09-07 08:49:20:979,56921,0.8,59105,0.9,118603,0.9,155383,2.25 102,1,2024-09-07 08:49:21:152,396162,396162,0,0,185253643377,1952230325,390519,4804,839,369,391883,0 102,2,2024-09-07 08:49:21:737,284749,284695,54,0,14038347,0,6768 102,3,2024-09-07 08:49:21:631,1,226,5,0,410,2491,226,0 103,0,2024-09-07 08:49:21:629,60229,0.5,60225,0.7,113549,0.4,156452,2.00 103,1,2024-09-07 08:49:21:635,395560,395560,0,0,185143849852,1956994114,389276,4904,1380,381,391829,0 103,2,2024-09-07 08:49:20:583,284292,284292,0,0,13249753,0,2582 103,3,2024-09-07 08:49:20:766,1,226,1,0,916,3479,226,0 104,0,2024-09-07 08:49:21:010,57551,0.9,58032,1.0,114648,0.9,154989,2.25 104,1,2024-09-07 08:49:21:605,396882,396882,0,0,185558799708,1958023002,390224,5563,1095,365,391948,0 104,2,2024-09-07 08:49:21:676,284847,284847,0,0,14965180,0,3941 104,3,2024-09-07 08:49:21:417,1,226,167,0,1245,6291,226,0 105,0,2024-09-07 08:49:21:065,53737,1.8,52127,1.4,109058,2.9,144019,4.00 105,1,2024-09-07 08:49:20:556,396645,396645,0,0,185624942377,1954558663,390359,5312,974,367,391797,0 105,2,2024-09-07 08:49:21:493,287510,287510,0,0,14475978,0,3509 105,3,2024-09-07 08:49:21:305,1,226,46,0,399,4166,226,0 106,0,2024-09-07 08:49:20:960,55352,0.8,56721,1.0,116084,0.9,151845,2.75 106,1,2024-09-07 08:49:21:807,396055,396055,0,0,184744977048,1948440341,388987,6312,756,369,391767,0 106,2,2024-09-07 08:49:20:779,282104,282104,0,0,13808735,0,2795 106,3,2024-09-07 08:49:20:699,1,226,72,0,470,3486,226,0 107,0,2024-09-07 08:49:21:128,57129,0.9,57012,0.9,113646,0.9,151806,2.00 107,1,2024-09-07 08:49:20:600,395825,395825,0,0,185588552713,1958349915,390515,4766,544,381,392234,0 107,2,2024-09-07 08:49:21:295,283703,283702,1,0,14479632,0,5024 107,3,2024-09-07 08:49:21:757,1,226,23,0,353,3323,226,0 108,0,2024-09-07 08:49:21:845,57221,0.4,57432,0.6,113920,0.4,152005,1.75 108,1,2024-09-07 08:49:21:301,397338,397338,0,0,186312551290,1943837576,394151,2803,384,368,391857,0 108,2,2024-09-07 08:49:21:758,284885,284885,0,0,12881737,0,2647 108,3,2024-09-07 08:49:21:339,1,226,10,0,749,4762,226,0 109,0,2024-09-07 08:49:21:782,55368,0.4,54840,0.6,109970,0.4,147212,1.75 109,1,2024-09-07 08:49:20:584,396399,396399,0,0,186025866456,1953443092,392697,3189,513,383,392132,0 109,2,2024-09-07 08:49:20:933,288640,288640,0,0,13363183,0,3617 109,3,2024-09-07 08:49:21:146,1,226,2,0,249,2888,226,0 110,0,2024-09-07 08:49:21:760,55401,0.5,53863,0.7,112833,0.4,148338,1.75 110,1,2024-09-07 08:49:21:653,397937,397937,0,0,186945281130,1944235760,394798,2262,877,370,392045,0 110,2,2024-09-07 08:49:21:303,284308,284308,0,0,12398294,0,3264 110,3,2024-09-07 08:49:20:691,1,226,20,0,406,3100,226,0 111,0,2024-09-07 08:49:21:424,56557,0.4,56029,0.5,111996,0.3,149869,1.75 111,1,2024-09-07 08:49:21:000,398450,398450,0,0,187026062691,1940968289,396464,1650,336,382,391690,0 111,2,2024-09-07 08:49:21:119,283735,283735,0,0,12116642,0,2763 111,3,2024-09-07 08:49:20:923,1,226,1,0,379,3185,226,0 112,0,2024-09-07 08:49:20:921,58020,0.3,58006,0.4,115934,0.2,153822,1.50 112,1,2024-09-07 08:49:20:838,398743,398743,0,0,186222873510,1934082792,396328,1987,428,380,391580,0 112,2,2024-09-07 08:49:21:136,286267,286266,1,0,12288315,0,5036 112,3,2024-09-07 08:49:20:596,1,226,1,0,282,2494,226,0 113,0,2024-09-07 08:49:20:872,55667,0.3,55595,0.5,111793,0.3,148941,1.75 113,1,2024-09-07 08:49:21:707,398956,398956,0,0,187429274311,1936857884,396465,2002,489,366,391661,0 113,2,2024-09-07 08:49:21:305,290134,290134,0,0,11308972,0,3813 113,3,2024-09-07 08:49:20:689,1,226,7,0,340,3556,226,0 114,0,2024-09-07 08:49:20:875,54513,0.4,55001,0.6,108937,0.3,146267,1.75 114,1,2024-09-07 08:49:20:717,397651,397651,0,0,186116903339,1943143726,392846,3216,1589,381,391556,0 114,2,2024-09-07 08:49:20:874,284137,284136,1,0,12246145,0,5069 114,3,2024-09-07 08:49:21:278,1,226,1,0,395,2612,226,0 115,0,2024-09-07 08:49:20:561,57469,0.3,57778,0.5,115567,0.2,153254,1.75 115,1,2024-09-07 08:49:20:571,397467,397467,0,0,186705059157,1946253307,393111,3522,834,382,391602,0 115,2,2024-09-07 08:49:21:128,285394,285394,0,0,10611388,0,2152 115,3,2024-09-07 08:49:21:007,1,226,1,0,159,1335,226,0 116,0,2024-09-07 08:49:21:716,58331,0.7,58037,0.9,116456,0.7,155542,2.00 116,1,2024-09-07 08:49:20:806,395119,395119,0,0,184706851584,1961257468,388733,4332,2054,380,391782,0 116,2,2024-09-07 08:49:21:760,285078,285078,0,0,15455384,0,3529 116,3,2024-09-07 08:49:20:911,1,226,1,0,415,3473,226,0 117,0,2024-09-07 08:49:20:961,57834,0.9,57683,0.9,115352,1.0,154568,2.00 117,1,2024-09-07 08:49:21:592,396699,396699,0,0,185111957320,1942882001,392007,4212,480,370,392033,0 117,2,2024-09-07 08:49:21:119,288474,288474,0,0,12765188,0,3700 117,3,2024-09-07 08:49:21:059,1,226,2,0,490,3885,226,0 118,0,2024-09-07 08:49:21:800,52302,0.7,53818,0.8,109491,0.8,143738,2.25 118,1,2024-09-07 08:49:20:588,396539,396539,0,0,184988451332,1950794411,390212,4896,1431,366,391736,0 118,2,2024-09-07 08:49:21:612,285859,285859,0,0,13684878,0,2781 118,3,2024-09-07 08:49:21:779,1,226,1,0,235,2738,226,0 119,0,2024-09-07 08:49:21:376,58265,1.0,58741,0.9,117465,1.3,155990,2.25 119,1,2024-09-07 08:49:20:556,397375,397375,0,0,186506262288,1952704782,392732,4121,522,368,391641,0 119,2,2024-09-07 08:49:21:282,284400,284400,0,0,13049168,0,4174 119,3,2024-09-07 08:49:21:330,1,226,1,0,443,3417,226,0 120,0,2024-09-07 08:49:21:642,57398,0.6,57232,0.8,114833,0.5,153574,2.00 120,1,2024-09-07 08:49:20:878,396690,396690,0,0,185770558490,1954284200,392024,4316,350,368,391961,0 120,2,2024-09-07 08:49:20:771,283917,283916,1,0,15524397,0,5281 120,3,2024-09-07 08:49:21:291,1,226,1,0,241,3111,226,0 121,0,2024-09-07 08:49:21:771,57361,1.0,57648,1.0,115065,1.4,153229,2.25 121,1,2024-09-07 08:49:21:673,397042,397042,0,0,185571250392,1947536769,392458,4170,414,367,391840,0 121,2,2024-09-07 08:49:21:133,284344,284344,0,0,14997558,0,4127 121,3,2024-09-07 08:49:20:737,1,226,5,0,269,2768,226,0 122,0,2024-09-07 08:49:21:764,54532,1.0,53172,1.0,111469,1.2,146839,2.25 122,1,2024-09-07 08:49:20:866,396031,396031,0,0,185561562832,1954094393,389975,5241,815,366,392130,0 122,2,2024-09-07 08:49:21:319,287958,287885,73,0,17401443,0,5989 122,3,2024-09-07 08:49:20:601,1,226,0,0,411,4843,226,0 123,0,2024-09-07 08:49:20:976,56231,1.0,54978,0.9,114506,1.2,150234,2.25 123,1,2024-09-07 08:49:20:558,396303,396303,0,0,185438149008,1963807958,387637,6951,1715,369,391823,0 123,2,2024-09-07 08:49:21:027,281866,281865,1,0,14122623,0,5215 123,3,2024-09-07 08:49:21:136,1,226,9,0,168,2804,226,0 124,0,2024-09-07 08:49:20:982,58016,0.3,57966,0.5,109358,0.3,150325,1.75 124,1,2024-09-07 08:49:21:063,398454,398454,0,0,185434435096,1929925204,395624,2468,362,367,392178,0 124,2,2024-09-07 08:49:21:013,284450,284450,0,0,11393205,0,3101 124,3,2024-09-07 08:49:20:757,1,226,1,0,490,2699,226,0 125,0,2024-09-07 08:49:21:437,57295,0.3,57135,0.5,114802,0.3,152310,1.75 125,1,2024-09-07 08:49:20:866,397337,397337,0,0,186507178349,1947999730,394426,2522,389,383,391702,0 125,2,2024-09-07 08:49:21:126,286456,286456,0,0,12526792,0,4534 125,3,2024-09-07 08:49:21:127,1,226,5,0,709,3419,226,0 126,0,2024-09-07 08:49:21:466,55815,0.6,57202,0.7,109391,0.6,148463,1.75 126,1,2024-09-07 08:49:20:580,398286,398286,0,0,186724203881,1938088592,395615,2410,261,365,391987,0 126,2,2024-09-07 08:49:20:614,291021,291021,0,0,12683200,0,3186 126,3,2024-09-07 08:49:20:920,1,226,14,0,183,3216,226,0 127,0,2024-09-07 08:49:21:606,54447,0.4,54652,0.5,108792,0.4,145393,1.75 127,1,2024-09-07 08:49:20:576,397766,397766,0,0,186601715027,1936559847,394825,2788,153,365,391816,0 127,2,2024-09-07 08:49:20:642,283930,283930,0,0,11341386,0,2264 127,3,2024-09-07 08:49:21:277,1,226,1,0,968,2853,226,0 128,0,2024-09-07 08:49:21:646,57149,0.3,57086,0.5,113830,0.3,151236,1.50 128,1,2024-09-07 08:49:21:614,397746,397746,0,0,186143513577,1932733194,395628,1961,157,367,391798,0 128,2,2024-09-07 08:49:21:388,284164,284164,0,0,11282539,0,2434 128,3,2024-09-07 08:49:20:780,1,226,0,0,1082,5154,226,0 129,0,2024-09-07 08:49:21:011,58794,0.3,58453,0.4,116931,0.2,155145,1.50 129,1,2024-09-07 08:49:20:569,395788,395788,0,0,184841954846,1941381413,391656,3205,927,379,391835,0 129,2,2024-09-07 08:49:20:688,287066,287066,0,0,12174935,0,4031 129,3,2024-09-07 08:49:20:694,1,226,13,0,469,3189,226,0 130,0,2024-09-07 08:49:21:736,57704,0.6,57530,0.6,115211,0.6,153086,1.75 130,1,2024-09-07 08:49:20:594,397941,397941,0,0,186686797704,1944517742,395520,2344,77,381,391825,0 130,2,2024-09-07 08:49:21:129,289704,289704,0,0,11969683,0,4067 130,3,2024-09-07 08:49:21:299,1,226,6,0,450,3061,226,0 131,0,2024-09-07 08:49:21:936,53867,0.4,54150,0.6,109053,0.4,144406,1.75 131,1,2024-09-07 08:49:21:827,398269,398269,0,0,186288908676,1944812081,395238,2575,456,381,391865,0 131,2,2024-09-07 08:49:20:574,286673,286673,0,0,10824513,0,2415 131,3,2024-09-07 08:49:21:689,1,226,1,0,392,2428,226,0 132,0,2024-09-07 08:49:21:541,58203,0.5,58761,0.7,117497,0.5,155895,2.00 132,1,2024-09-07 08:49:20:576,395420,395420,0,0,184503477970,1952252761,388423,5745,1252,381,392097,0 132,2,2024-09-07 08:49:20:698,284467,284467,0,0,14677840,0,4606 132,3,2024-09-07 08:49:21:696,1,226,35,0,356,3460,226,0 133,0,2024-09-07 08:49:21:551,57053,0.4,58380,0.6,119531,0.3,155308,1.75 133,1,2024-09-07 08:49:20:587,395397,395397,0,0,185641458409,1958697530,389934,4798,665,383,391914,0 133,2,2024-09-07 08:49:21:092,284396,284396,0,0,15751207,0,4315 133,3,2024-09-07 08:49:21:298,1,226,3,0,276,2385,226,0 134,0,2024-09-07 08:49:20:986,57982,0.5,57950,0.7,116355,0.4,154979,2.00 134,1,2024-09-07 08:49:20:595,395790,395790,0,0,185170347752,1950850044,389352,4970,1468,366,391718,0 134,2,2024-09-07 08:49:21:753,285341,285341,0,0,13320879,0,3847 134,3,2024-09-07 08:49:20:751,1,226,15,0,739,3603,226,0 135,0,2024-09-07 08:49:21:138,52628,1.1,52658,1.1,111487,1.2,144133,2.25 135,1,2024-09-07 08:49:21:584,395334,395334,0,0,185970696478,1961842433,389152,5187,995,380,391805,0 135,2,2024-09-07 08:49:20:687,287964,287964,0,0,14599765,0,3981 135,3,2024-09-07 08:49:21:011,1,226,4,0,299,1794,226,0 136,0,2024-09-07 08:49:21:629,57740,0.6,57703,0.8,115311,0.6,154078,2.25 136,1,2024-09-07 08:49:21:483,395980,395980,0,0,184968981974,1949218636,390471,4925,584,382,391685,0 136,2,2024-09-07 08:49:21:134,284425,284425,0,0,13985763,0,3506 136,3,2024-09-07 08:49:21:107,1,226,1,0,126,1825,226,0 137,0,2024-09-07 08:49:20:940,58732,0.6,57049,0.8,112719,0.7,153015,2.00 137,1,2024-09-07 08:49:20:581,395794,395794,0,0,186060503041,1959201252,388853,5524,1417,366,391708,0 137,2,2024-09-07 08:49:21:712,283359,283359,0,0,15558153,0,3185 137,3,2024-09-07 08:49:20:770,1,226,77,0,382,2912,226,0 138,0,2024-09-07 08:49:21:746,56685,0.9,56741,0.9,113970,1.0,151638,2.25 138,1,2024-09-07 08:49:21:701,396291,396291,0,0,186156528875,1961300672,389345,5815,1131,368,391954,0 138,2,2024-09-07 08:49:20:589,285504,285504,0,0,14411643,0,4988 138,3,2024-09-07 08:49:20:613,1,226,1,0,1160,3799,226,0 139,0,2024-09-07 08:49:21:462,54125,2.3,54196,1.5,109131,3.4,145626,2.75 139,1,2024-09-07 08:49:20:573,394475,394475,0,0,184733411192,1969401890,386059,6304,2112,381,391892,0 139,2,2024-09-07 08:49:20:693,287528,287528,0,0,15064560,0,3097 139,3,2024-09-07 08:49:21:730,1,226,4,0,244,2449,226,0 140,0,2024-09-07 08:49:21:590,55905,0.3,55334,0.5,111391,0.3,148431,1.75 140,1,2024-09-07 08:49:21:548,398902,398902,0,0,188387742768,1943505838,396926,1735,241,365,391606,0 140,2,2024-09-07 08:49:20:687,284488,284488,0,0,11698179,0,3388 140,3,2024-09-07 08:49:20:768,1,226,0,0,247,2113,226,0 141,0,2024-09-07 08:49:21:703,56325,0.3,57826,0.5,110472,0.2,149902,1.50 141,1,2024-09-07 08:49:20:862,398485,398485,0,0,187263898750,1944197340,396181,1949,355,379,391614,0 141,2,2024-09-07 08:49:21:700,284274,284274,0,0,11203519,0,2342 141,3,2024-09-07 08:49:21:049,1,226,8,0,202,2242,226,0 142,0,2024-09-07 08:49:21:336,58637,0.3,57956,0.5,115413,0.2,154120,1.50 142,1,2024-09-07 08:49:20:596,397621,397621,0,0,185391470474,1935760508,394851,2505,265,382,392102,0 142,2,2024-09-07 08:49:21:319,285938,285906,32,0,13014691,0,6028 142,3,2024-09-07 08:49:21:754,1,226,8,0,484,3022,226,0 143,0,2024-09-07 08:49:21:383,55940,0.6,55924,0.6,112374,0.6,148781,1.75 143,1,2024-09-07 08:49:20:566,397916,397916,0,0,186174589254,1933660187,395207,2614,95,367,391619,0 143,2,2024-09-07 08:49:20:775,289341,289341,0,0,12271017,0,2669 143,3,2024-09-07 08:49:21:153,1,226,5,0,303,3144,226,0 144,0,2024-09-07 08:49:21:526,52356,0.8,53982,1.1,109594,0.8,144634,2.25 144,1,2024-09-07 08:49:20:571,395890,395890,0,0,184946559154,1945735420,391897,3072,921,381,391649,0 144,2,2024-09-07 08:49:21:757,284487,284487,0,0,11587162,0,3473 144,3,2024-09-07 08:49:21:739,1,226,1,0,249,2813,226,0 145,0,2024-09-07 08:49:21:396,55303,0.7,55303,0.9,117341,0.6,151507,2.50 145,1,2024-09-07 08:49:20:556,395187,395187,0,0,185150812253,1952460121,389697,4669,821,382,391698,0 145,2,2024-09-07 08:49:21:433,283387,283387,0,0,13823735,0,3903 145,3,2024-09-07 08:49:20:904,1,226,4,0,622,3941,226,0 146,0,2024-09-07 08:49:21:685,58326,0.5,57876,0.8,116643,0.5,154784,2.25 146,1,2024-09-07 08:49:21:593,396164,396164,0,0,185452759431,1961210006,387923,6395,1846,368,391770,0 146,2,2024-09-07 08:49:21:697,285007,285007,0,0,13840928,0,2730 146,3,2024-09-07 08:49:21:283,1,226,11,0,1520,5864,226,0 147,0,2024-09-07 08:49:21:714,57719,0.6,57703,0.8,114514,0.6,154221,2.25 147,1,2024-09-07 08:49:21:385,398128,398128,0,0,186100361437,1939421049,394112,3431,585,368,391791,0 147,2,2024-09-07 08:49:21:017,288373,288373,0,0,11752296,0,2789 147,3,2024-09-07 08:49:20:920,1,226,45,0,730,3842,226,0 0,0,2024-09-07 08:49:31:703,56189,0.5,56229,0.7,119284,0.5,154562,1.75 0,1,2024-09-07 08:49:30:813,399068,399068,0,0,186261155352,1951669873,395887,2979,202,370,391772,0 0,2,2024-09-07 08:49:31:090,286356,286356,0,0,12363071,0,4480 0,3,2024-09-07 08:49:30:974,1,227,18,0,319,3250,227,0 1,0,2024-09-07 08:49:31:781,57911,0.9,57388,1.0,115594,1.1,154046,2.00 1,1,2024-09-07 08:49:30:562,398437,398437,0,0,186272726781,1955977675,393946,3438,1053,371,391857,0 1,2,2024-09-07 08:49:30:654,286251,286251,0,0,11416166,0,3267 1,3,2024-09-07 08:49:31:303,1,227,1,0,262,2818,227,0 2,0,2024-09-07 08:49:31:567,55072,0.8,55420,0.9,110108,1.1,147501,2.00 2,1,2024-09-07 08:49:30:859,399208,399208,0,0,187215562141,1947118122,397106,1884,218,380,391745,0 2,2,2024-09-07 08:49:31:266,289894,289894,0,0,12133495,0,3594 2,3,2024-09-07 08:49:30:705,1,227,2,0,357,2240,227,0 3,0,2024-09-07 08:49:31:757,56755,0.5,56853,0.6,113054,0.4,150696,2.00 3,1,2024-09-07 08:49:31:620,398583,398583,0,0,186158089153,1943306086,394744,3377,462,380,391523,0 3,2,2024-09-07 08:49:31:150,285208,285185,23,0,12155062,0,5851 3,3,2024-09-07 08:49:31:755,1,227,1,0,103,1417,227,0 4,0,2024-09-07 08:49:31:774,54736,0.4,56347,0.5,114586,0.3,150587,1.75 4,1,2024-09-07 08:49:30:603,398390,398390,0,0,186310233116,1961443817,393683,3919,788,371,391846,0 4,2,2024-09-07 08:49:31:024,284177,284177,0,0,14485405,0,4528 4,3,2024-09-07 08:49:31:031,1,227,1,0,448,3695,227,0 5,0,2024-09-07 08:49:31:390,57364,0.4,57681,0.6,114633,0.4,152551,1.75 5,1,2024-09-07 08:49:30:761,398237,398237,0,0,186460979809,1965671270,392755,4370,1112,368,392005,0 5,2,2024-09-07 08:49:31:832,285424,285424,0,0,13196520,0,2432 5,3,2024-09-07 08:49:31:736,1,227,0,0,457,3742,227,0 6,0,2024-09-07 08:49:30:919,56243,0.7,55691,0.8,111180,0.7,149145,2.00 6,1,2024-09-07 08:49:30:746,398856,398856,0,0,186379312579,1952578200,394190,3822,844,379,391651,0 6,2,2024-09-07 08:49:31:116,290518,290518,0,0,13300332,0,4816 6,3,2024-09-07 08:49:31:274,1,227,0,0,340,2869,227,0 7,0,2024-09-07 08:49:31:547,54486,0.6,54524,0.7,108856,0.6,145669,2.00 7,1,2024-09-07 08:49:30:850,398193,398193,0,0,187429774809,1971432435,392299,4891,1003,382,391686,0 7,2,2024-09-07 08:49:30:775,283904,283904,0,0,12985918,0,4791 7,3,2024-09-07 08:49:30:854,1,227,0,0,398,2711,227,0 8,0,2024-09-07 08:49:31:352,56834,0.4,56940,0.5,113346,0.4,151146,1.75 8,1,2024-09-07 08:49:31:031,396907,396907,0,0,185515094409,1963827584,388855,6044,2008,367,391956,0 8,2,2024-09-07 08:49:30:795,281972,281972,0,0,15648740,0,3220 8,3,2024-09-07 08:49:30:585,1,227,0,0,357,3607,227,0 9,0,2024-09-07 08:49:31:119,58616,0.3,56885,0.5,118694,0.3,155553,1.75 9,1,2024-09-07 08:49:30:562,397697,397697,0,0,186659127385,1973758067,391219,4938,1540,370,392001,0 9,2,2024-09-07 08:49:31:099,287125,287125,0,0,13871166,0,3360 9,3,2024-09-07 08:49:31:754,1,227,5,0,496,3503,227,0 10,0,2024-09-07 08:49:31:599,57547,0.3,57000,0.5,114787,0.2,152454,1.75 10,1,2024-09-07 08:49:30:583,398294,398294,0,0,186849312312,1964517887,391935,5431,928,381,391741,0 10,2,2024-09-07 08:49:30:762,289495,289495,0,0,15408226,0,4264 10,3,2024-09-07 08:49:30:875,1,227,73,0,177,2015,227,0 11,0,2024-09-07 08:49:31:019,53668,0.5,52147,0.8,109187,0.5,145041,2.00 11,1,2024-09-07 08:49:30:578,398482,398482,0,0,185888873044,1962619755,390297,6204,1981,383,391537,0 11,2,2024-09-07 08:49:31:125,285224,285224,0,0,14371813,0,4130 11,3,2024-09-07 08:49:31:304,1,227,1,0,843,3952,227,0 12,0,2024-09-07 08:49:31:049,58941,0.4,58799,0.5,117535,0.3,155881,1.75 12,1,2024-09-07 08:49:30:947,398812,398812,0,0,186041609112,1942986792,395440,2951,421,370,391837,0 12,2,2024-09-07 08:49:31:565,286429,286429,0,0,13356891,0,3469 12,3,2024-09-07 08:49:31:066,1,227,2,0,386,4052,227,0 13,0,2024-09-07 08:49:31:352,59069,0.3,58869,0.5,117477,0.3,156545,1.50 13,1,2024-09-07 08:49:31:574,398493,398493,0,0,186906549913,1964571607,394954,3000,539,382,391717,0 13,2,2024-09-07 08:49:30:605,287438,287438,0,0,12500979,0,3287 13,3,2024-09-07 08:49:31:773,1,227,6,0,467,3788,227,0 14,0,2024-09-07 08:49:30:568,58498,0.4,58985,0.6,116823,0.3,155801,1.75 14,1,2024-09-07 08:49:31:564,400859,400859,0,0,186649576570,1935596184,398037,2633,189,364,391571,0 14,2,2024-09-07 08:49:30:764,287301,287301,0,0,12477946,0,2896 14,3,2024-09-07 08:49:31:115,1,227,1,0,1168,3396,227,0 15,0,2024-09-07 08:49:31:563,54296,0.6,54689,0.8,109162,0.6,145310,2.25 15,1,2024-09-07 08:49:31:610,399378,399378,0,0,187114827461,1947678883,396929,2271,178,381,391619,0 15,2,2024-09-07 08:49:31:002,289087,289087,0,0,10661929,0,3043 15,3,2024-09-07 08:49:31:410,1,227,45,0,1126,4857,227,0 16,0,2024-09-07 08:49:31:074,58089,0.8,58353,0.9,115855,0.9,155524,2.25 16,1,2024-09-07 08:49:30:581,399586,399586,0,0,186939364142,1957206293,396037,3252,297,370,391756,0 16,2,2024-09-07 08:49:31:434,284994,284994,0,0,13085518,0,4719 16,3,2024-09-07 08:49:31:143,1,227,8,0,317,3239,227,0 17,0,2024-09-07 08:49:31:770,59206,0.5,57678,0.7,113021,0.5,153799,2.00 17,1,2024-09-07 08:49:30:571,397947,397947,0,0,186393319066,1961364555,393119,3726,1102,368,391688,0 17,2,2024-09-07 08:49:31:666,288310,288310,0,0,12158340,0,2857 17,3,2024-09-07 08:49:30:577,1,227,5,0,268,3809,227,0 18,0,2024-09-07 08:49:30:947,56659,0.7,56794,0.8,113569,0.8,151534,2.25 18,1,2024-09-07 08:49:31:638,399336,399336,0,0,187352892218,1944420397,396833,2263,240,367,391649,0 18,2,2024-09-07 08:49:31:755,287164,287164,0,0,11896080,0,3541 18,3,2024-09-07 08:49:30:896,1,227,7,0,163,2440,227,0 19,0,2024-09-07 08:49:31:554,54806,0.8,55395,0.9,109406,0.9,145901,2.25 19,1,2024-09-07 08:49:30:571,399260,399260,0,0,187455960771,1952125623,394631,3844,785,367,391777,0 19,2,2024-09-07 08:49:31:755,291819,291819,0,0,11123566,0,3988 19,3,2024-09-07 08:49:31:129,1,227,1,0,524,1806,227,0 20,0,2024-09-07 08:49:31:375,55521,0.8,55487,0.9,111332,0.9,148978,2.25 20,1,2024-09-07 08:49:30:568,398255,398255,0,0,186527293648,1955172796,394452,3407,396,369,391886,0 20,2,2024-09-07 08:49:30:939,284850,284850,0,0,12611807,0,3721 20,3,2024-09-07 08:49:30:589,1,227,13,0,414,4479,227,0 21,0,2024-09-07 08:49:31:130,56381,0.5,56676,0.7,113048,0.5,149560,2.00 21,1,2024-09-07 08:49:31:558,397425,397425,0,0,185390343528,1957873389,391709,4459,1257,368,391962,0 21,2,2024-09-07 08:49:31:086,284558,284558,0,0,14130595,0,3747 21,3,2024-09-07 08:49:31:406,1,227,1,0,103,2510,227,0 22,0,2024-09-07 08:49:31:725,57749,0.6,57737,0.8,115199,0.6,153178,2.25 22,1,2024-09-07 08:49:31:023,397636,397636,0,0,185755231915,1961949352,390450,5544,1642,382,391667,0 22,2,2024-09-07 08:49:30:764,287161,287161,0,0,12655582,0,3134 22,3,2024-09-07 08:49:31:069,1,227,1,0,228,2265,227,0 23,0,2024-09-07 08:49:31:396,55629,0.7,55559,0.8,111601,0.7,148502,2.25 23,1,2024-09-07 08:49:31:003,398434,398434,0,0,187274211820,1972118649,391144,4739,2551,365,391550,0 23,2,2024-09-07 08:49:31:092,290627,290627,0,0,12373150,0,3010 23,3,2024-09-07 08:49:31:754,1,227,1,0,645,3092,227,0 24,0,2024-09-07 08:49:30:841,55021,0.5,54964,0.6,110189,0.5,147071,1.75 24,1,2024-09-07 08:49:30:581,398433,398433,0,0,186274946914,1960318718,392831,4124,1478,369,391987,0 24,2,2024-09-07 08:49:31:086,283810,283810,0,0,14794365,0,3607 24,3,2024-09-07 08:49:31:695,1,227,1,0,468,3599,227,0 25,0,2024-09-07 08:49:31:375,58960,0.5,57611,0.7,112755,0.5,153806,2.00 25,1,2024-09-07 08:49:30:561,398740,398740,0,0,186722085517,1959230401,394460,3727,553,371,391788,0 25,2,2024-09-07 08:49:31:615,284225,284225,0,0,15167446,0,3978 25,3,2024-09-07 08:49:31:002,1,227,1,0,255,2619,227,0 26,0,2024-09-07 08:49:31:726,58252,0.4,56946,0.6,119384,0.3,155342,1.75 26,1,2024-09-07 08:49:31:551,398377,398377,0,0,185939242898,1957410760,391414,5747,1216,381,391748,0 26,2,2024-09-07 08:49:30:861,287017,287017,0,0,14938656,0,2809 26,3,2024-09-07 08:49:31:712,1,227,10,0,796,3206,227,0 27,0,2024-09-07 08:49:31:731,58453,0.5,58303,0.6,115518,0.4,154476,2.00 27,1,2024-09-07 08:49:31:687,400266,400266,0,0,187987470068,1959666276,396820,2854,592,381,391558,0 27,2,2024-09-07 08:49:30:870,286676,286611,65,0,15148969,0,5699 27,3,2024-09-07 08:49:31:014,1,227,9,0,564,2575,227,0 28,0,2024-09-07 08:49:31:403,54380,0.6,54246,0.7,108823,0.6,145667,2.25 28,1,2024-09-07 08:49:30:805,399820,399820,0,0,187576926594,1955666680,396985,2365,470,383,391646,0 28,2,2024-09-07 08:49:31:774,287176,287176,0,0,11785214,0,2915 28,3,2024-09-07 08:49:31:780,1,227,0,0,502,2519,227,0 29,0,2024-09-07 08:49:31:367,60308,0.4,58986,0.7,115202,0.4,157323,1.75 29,1,2024-09-07 08:49:31:569,400489,400489,0,0,187320563179,1942890862,397268,2656,565,369,391621,0 29,2,2024-09-07 08:49:30:861,285256,285256,0,0,11283582,0,4018 29,3,2024-09-07 08:49:30:963,1,227,1,0,115,1712,227,0 30,0,2024-09-07 08:49:31:457,57706,0.6,56074,0.7,117432,0.5,153985,2.00 30,1,2024-09-07 08:49:30:575,400648,400648,0,0,187603514988,1946826331,398322,2033,293,382,391672,0 30,2,2024-09-07 08:49:31:276,286900,286900,0,0,11134726,0,3161 30,3,2024-09-07 08:49:30:581,1,227,51,0,195,1823,227,0 31,0,2024-09-07 08:49:31:762,57384,0.4,57651,0.6,115699,0.4,153867,2.00 31,1,2024-09-07 08:49:30:571,401426,401426,0,0,188116009283,1930321640,400038,1169,219,356,391712,0 31,2,2024-09-07 08:49:31:276,285898,285898,0,0,12726961,0,3525 31,3,2024-09-07 08:49:31:707,1,227,21,0,220,1873,227,0 32,0,2024-09-07 08:49:31:417,55016,0.4,55489,0.6,111110,0.3,148072,1.75 32,1,2024-09-07 08:49:30:804,400411,400411,0,0,187460388705,1947176043,398489,1643,279,381,391614,0 32,2,2024-09-07 08:49:30:935,290271,290271,0,0,10947915,0,3155 32,3,2024-09-07 08:49:31:023,1,227,1,0,227,1769,227,0 33,0,2024-09-07 08:49:31:492,57294,0.3,56585,0.5,113772,0.2,151701,1.75 33,1,2024-09-07 08:49:30:576,400601,400601,0,0,187751338271,1942525130,398023,2404,174,369,391730,0 33,2,2024-09-07 08:49:30:760,286089,286056,33,0,12665397,0,7012 33,3,2024-09-07 08:49:30:896,1,227,0,0,329,2575,227,0 34,0,2024-09-07 08:49:30:930,56429,0.3,58161,0.5,111578,0.3,149866,1.75 34,1,2024-09-07 08:49:31:045,401236,401236,0,0,188377741676,1939227307,400160,1069,7,367,391562,0 34,2,2024-09-07 08:49:30:766,285558,285558,0,0,11870382,0,3577 34,3,2024-09-07 08:49:31:689,1,227,7,0,299,1921,227,0 35,0,2024-09-07 08:49:30:859,56914,0.4,57258,0.5,115009,0.3,152881,1.75 35,1,2024-09-07 08:49:31:068,399508,399508,0,0,187029983404,1942987307,396168,2560,780,384,391589,0 35,2,2024-09-07 08:49:31:589,287489,287489,0,0,11308452,0,2653 35,3,2024-09-07 08:49:30:907,1,227,44,0,418,2755,227,0 36,0,2024-09-07 08:49:31:528,55862,0.7,55834,0.9,111821,0.8,148790,2.50 36,1,2024-09-07 08:49:30:593,398892,398892,0,0,187041607293,1961540142,392931,4383,1578,366,391759,0 36,2,2024-09-07 08:49:31:755,290179,290179,0,0,13605202,0,3875 36,3,2024-09-07 08:49:30:874,1,227,1,0,416,3901,227,0 37,0,2024-09-07 08:49:31:367,54341,0.6,54245,0.8,108839,0.6,146473,2.00 37,1,2024-09-07 08:49:30:579,398363,398356,0,7,186142585954,1956635851,392352,3938,2066,365,391560,0 37,2,2024-09-07 08:49:31:150,284276,284261,15,0,13669177,0,5815 37,3,2024-09-07 08:49:31:767,1,227,0,0,888,4297,227,0 38,0,2024-09-07 08:49:31:436,56276,0.5,54669,0.7,114368,0.5,149362,2.00 38,1,2024-09-07 08:49:31:608,399797,399797,0,0,186986896283,1957253428,394531,4591,675,368,391821,0 38,2,2024-09-07 08:49:30:761,284904,284857,47,0,14168648,0,6710 38,3,2024-09-07 08:49:31:002,1,227,5,0,689,3525,227,0 39,0,2024-09-07 08:49:31:762,59672,0.6,58592,0.7,113810,0.6,155551,2.00 39,1,2024-09-07 08:49:30:716,399387,399387,0,0,186585537347,1952280202,393862,4624,901,365,391594,0 39,2,2024-09-07 08:49:31:421,287235,287235,0,0,12319402,0,2689 39,3,2024-09-07 08:49:30:714,1,227,1,0,324,3379,227,0 40,0,2024-09-07 08:49:31:516,56770,0.8,57297,1.0,114523,0.8,152022,3.00 40,1,2024-09-07 08:49:30:580,398871,398871,0,0,186218057615,1958344519,391872,5556,1443,368,391591,0 40,2,2024-09-07 08:49:31:305,288921,288920,1,0,15466589,0,5137 40,3,2024-09-07 08:49:31:153,1,227,8,0,398,2985,227,0 41,0,2024-09-07 08:49:31:040,53498,2.4,54752,1.7,104289,3.7,143323,4.25 41,1,2024-09-07 08:49:30:770,398611,398611,0,0,187308073799,1964081202,393082,4895,634,370,391742,0 41,2,2024-09-07 08:49:30:765,284097,284097,0,0,14629658,0,3356 41,3,2024-09-07 08:49:31:681,1,227,2,0,366,2714,227,0 42,0,2024-09-07 08:49:31:496,57682,1.0,57977,1.1,115898,1.1,152624,2.75 42,1,2024-09-07 08:49:31:439,397644,397644,0,0,186490310945,1966679850,390487,5705,1452,380,391675,0 42,2,2024-09-07 08:49:31:133,285611,285611,0,0,13897169,0,3568 42,3,2024-09-07 08:49:31:010,1,227,0,0,446,2031,227,0 43,0,2024-09-07 08:49:30:949,58355,0.7,56829,0.9,118803,0.7,156197,2.25 43,1,2024-09-07 08:49:30:594,398283,398283,0,0,186682012904,1957052039,392026,4928,1329,366,391696,0 43,2,2024-09-07 08:49:31:739,287473,287473,0,0,13385853,0,3812 43,3,2024-09-07 08:49:31:749,1,227,0,0,467,3004,227,0 44,0,2024-09-07 08:49:30:862,58285,0.5,58647,0.7,116867,0.4,155950,1.75 44,1,2024-09-07 08:49:30:563,400354,400354,0,0,187314329627,1936641601,397801,2122,431,356,391809,0 44,2,2024-09-07 08:49:31:267,286722,286722,0,0,11151894,0,2231 44,3,2024-09-07 08:49:31:094,1,227,0,0,817,2832,227,0 45,0,2024-09-07 08:49:31:768,53594,0.9,52443,1.0,110181,0.9,145163,2.25 45,1,2024-09-07 08:49:31:005,399789,399789,0,0,187833286190,1948567033,398140,1637,12,382,391917,0 45,2,2024-09-07 08:49:31:270,289241,289241,0,0,11903344,0,3596 45,3,2024-09-07 08:49:30:946,1,227,71,0,271,2626,227,0 46,0,2024-09-07 08:49:30:960,57602,0.5,57581,0.7,115104,0.5,153035,2.00 46,1,2024-09-07 08:49:30:576,400907,400907,0,0,187851561767,1942091719,398561,2072,274,366,391539,0 46,2,2024-09-07 08:49:30:593,285991,285991,0,0,11389993,0,2920 46,3,2024-09-07 08:49:31:137,1,227,160,0,908,3632,227,0 47,0,2024-09-07 08:49:31:102,57644,0.5,57552,0.6,115758,0.4,153224,1.75 47,1,2024-09-07 08:49:30:574,400847,400847,0,0,187473438256,1937735265,398525,2188,134,366,391605,0 47,2,2024-09-07 08:49:30:908,287501,287501,0,0,11420512,0,2558 47,3,2024-09-07 08:49:31:124,1,227,1,0,529,2220,227,0 48,0,2024-09-07 08:49:31:489,57672,0.3,57313,0.4,114193,0.2,152442,1.50 48,1,2024-09-07 08:49:31:023,400548,400548,0,0,187508854037,1950169244,397501,2748,299,384,391710,0 48,2,2024-09-07 08:49:30:720,287034,287034,0,0,11011028,0,3031 48,3,2024-09-07 08:49:30:753,1,227,13,0,339,2045,227,0 49,0,2024-09-07 08:49:31:716,56756,0.4,55754,0.6,108017,0.4,147889,1.75 49,1,2024-09-07 08:49:31:023,398467,398467,0,0,187451444080,1956460658,394676,2610,1181,382,391610,0 49,2,2024-09-07 08:49:31:797,291556,291556,0,0,11686115,0,3900 49,3,2024-09-07 08:49:31:416,1,227,1,0,408,2704,227,0 50,0,2024-09-07 08:49:31:509,55825,0.3,55280,0.5,111095,0.2,148870,1.75 50,1,2024-09-07 08:49:31:014,401108,401108,0,0,187636498886,1943274473,398403,2395,310,368,391530,0 50,2,2024-09-07 08:49:31:067,285341,285341,0,0,10871594,0,2263 50,3,2024-09-07 08:49:31:292,1,227,0,0,335,2013,227,0 51,0,2024-09-07 08:49:31:687,57632,0.3,56448,0.5,109955,0.2,149916,1.75 51,1,2024-09-07 08:49:31:691,400376,400376,0,0,188197831404,1945540978,397624,1813,939,365,391706,0 51,2,2024-09-07 08:49:31:321,285204,285204,0,0,10191843,0,3337 51,3,2024-09-07 08:49:31:031,1,227,2,0,678,1973,227,0 52,0,2024-09-07 08:49:31:415,58517,0.5,58152,0.7,116028,0.4,153943,2.00 52,1,2024-09-07 08:49:30:577,398693,398693,0,0,185970800612,1956702888,391559,5881,1253,368,391722,0 52,2,2024-09-07 08:49:31:755,285619,285581,38,0,14403734,0,6742 52,3,2024-09-07 08:49:30:684,1,227,1,0,1782,4161,227,0 53,0,2024-09-07 08:49:31:742,55443,0.8,53979,0.9,112845,0.9,147690,2.50 53,1,2024-09-07 08:49:30:771,397885,397885,0,0,186718368212,1967547397,390563,4966,2356,367,391702,0 53,2,2024-09-07 08:49:31:305,290440,290440,0,0,12064808,0,2727 53,3,2024-09-07 08:49:30:697,1,227,1,0,271,2165,227,0 54,0,2024-09-07 08:49:31:615,53602,1.1,54126,1.0,107138,0.7,144431,3.00 54,1,2024-09-07 08:49:30:580,399209,399209,0,0,187665481777,1956982381,394475,4137,597,367,391659,0 54,2,2024-09-07 08:49:30:865,284583,284577,6,0,13233912,0,5382 54,3,2024-09-07 08:49:30:764,1,227,1,0,676,3638,227,0 55,0,2024-09-07 08:49:31:763,55330,0.7,57413,0.9,115439,0.7,150302,2.50 55,1,2024-09-07 08:49:30:770,398490,398490,0,0,186541501719,1947648310,392941,4762,787,365,391731,0 55,2,2024-09-07 08:49:30:729,284634,284634,0,0,13371181,0,3275 55,3,2024-09-07 08:49:30:674,1,227,2,0,304,2752,227,0 56,0,2024-09-07 08:49:31:583,59799,1.1,56412,1.1,116126,1.5,155230,2.50 56,1,2024-09-07 08:49:30:576,396989,396989,0,0,186351476542,1976938394,389856,5695,1438,381,391678,0 56,2,2024-09-07 08:49:31:310,287210,287210,0,0,14605457,0,3567 56,3,2024-09-07 08:49:31:065,1,227,5,0,705,3484,227,0 57,0,2024-09-07 08:49:30:963,57200,1.8,57376,1.3,114713,2.4,153423,3.25 57,1,2024-09-07 08:49:31:005,398419,398419,0,0,186404817353,1959097614,393104,4645,670,367,391960,0 57,2,2024-09-07 08:49:31:318,288929,288929,0,0,15578059,0,3317 57,3,2024-09-07 08:49:31:739,1,227,3,0,359,3319,227,0 58,0,2024-09-07 08:49:30:563,52990,1.2,51715,1.1,108082,1.5,142217,2.75 58,1,2024-09-07 08:49:30:575,398846,398843,0,3,187269309461,1962415593,393116,5017,710,367,391545,3 58,2,2024-09-07 08:49:31:080,287225,287225,0,0,14028227,0,2549 58,3,2024-09-07 08:49:31:068,1,227,1,0,1043,2792,227,0 59,0,2024-09-07 08:49:31:742,58298,0.9,58211,1.1,116220,1.0,154224,3.00 59,1,2024-09-07 08:49:30:807,398492,398492,0,0,186847845100,1961304630,392706,4521,1265,369,391525,0 59,2,2024-09-07 08:49:30:584,286830,286830,0,0,12690155,0,2604 59,3,2024-09-07 08:49:31:737,1,227,3,0,1015,3456,227,0 60,0,2024-09-07 08:49:31:714,58092,0.4,57831,0.6,116423,0.4,154639,1.75 60,1,2024-09-07 08:49:30:802,400622,400622,0,0,187690208425,1949255935,398190,1915,517,370,392031,0 60,2,2024-09-07 08:49:31:147,286532,286532,0,0,13365533,0,3811 60,3,2024-09-07 08:49:31:267,1,227,5,0,124,2273,227,0 61,0,2024-09-07 08:49:31:530,57662,0.7,57974,0.8,115367,0.8,154032,2.00 61,1,2024-09-07 08:49:30:783,399075,399075,0,0,186955063970,1959920615,394508,3864,703,382,391880,0 61,2,2024-09-07 08:49:31:126,287468,287468,0,0,11907381,0,2482 61,3,2024-09-07 08:49:31:690,1,227,1,0,397,3267,227,0 62,0,2024-09-07 08:49:31:706,55795,0.8,56798,0.9,108168,1.0,147950,2.00 62,1,2024-09-07 08:49:31:115,401627,401621,0,6,187691934234,1938565984,399201,2304,116,365,391715,6 62,2,2024-09-07 08:49:31:644,288783,288782,1,0,13520988,0,5555 62,3,2024-09-07 08:49:31:150,1,227,1,0,287,1673,227,0 63,0,2024-09-07 08:49:31:453,56729,0.5,56906,0.6,113696,0.4,151303,1.75 63,1,2024-09-07 08:49:30:807,401150,401144,0,6,187551376028,1944802843,399572,1522,50,381,391677,6 63,2,2024-09-07 08:49:30:770,285474,285474,0,0,11159557,0,2674 63,3,2024-09-07 08:49:31:736,1,227,0,0,667,2805,227,0 64,0,2024-09-07 08:49:31:594,55991,0.5,56102,0.6,112364,0.4,149794,1.75 64,1,2024-09-07 08:49:30:760,399583,399583,0,0,187055503780,1955209841,395107,3062,1414,370,391783,0 64,2,2024-09-07 08:49:31:147,287916,287897,19,0,11956253,0,6121 64,3,2024-09-07 08:49:31:143,1,227,4,0,265,2446,227,0 65,0,2024-09-07 08:49:31:678,56784,0.6,57194,0.7,114144,0.6,151826,2.00 65,1,2024-09-07 08:49:30:860,398383,398383,0,0,186359771588,1953057675,394839,3101,443,382,391901,0 65,2,2024-09-07 08:49:31:699,287122,287122,0,0,12636377,0,3367 65,3,2024-09-07 08:49:31:683,1,227,1,0,163,2227,227,0 66,0,2024-09-07 08:49:31:768,55445,0.5,55456,0.8,111358,0.5,148115,2.00 66,1,2024-09-07 08:49:31:297,400287,400287,0,0,187779179124,1953100548,397729,2358,200,380,391588,0 66,2,2024-09-07 08:49:31:138,292638,292638,0,0,12503061,0,4956 66,3,2024-09-07 08:49:31:088,1,227,1,0,291,2558,227,0 67,0,2024-09-07 08:49:31:435,54988,0.7,54618,0.8,109721,0.8,146515,2.25 67,1,2024-09-07 08:49:30:776,399214,399213,0,1,186566116823,1949475403,395376,3090,747,380,391787,1 67,2,2024-09-07 08:49:30:583,286230,286230,0,0,11373733,0,2889 67,3,2024-09-07 08:49:31:754,1,227,1,0,338,2147,227,0 68,0,2024-09-07 08:49:30:616,56847,0.6,56762,0.8,112877,0.7,151014,2.00 68,1,2024-09-07 08:49:30:590,398558,398558,0,0,185777347741,1951689184,394589,2831,1138,381,391953,0 68,2,2024-09-07 08:49:31:052,284292,284227,65,0,15245829,0,6698 68,3,2024-09-07 08:49:30:733,1,227,0,0,417,2750,227,0 69,0,2024-09-07 08:49:31:726,58130,0.7,58420,0.8,116298,0.8,154780,2.25 69,1,2024-09-07 08:49:31:023,397172,397172,0,0,186086664116,1969223251,391475,4296,1401,384,391994,0 69,2,2024-09-07 08:49:31:742,287267,287267,0,0,14794569,0,3722 69,3,2024-09-07 08:49:30:783,1,227,1,0,698,3533,227,0 70,0,2024-09-07 08:49:31:546,56933,1.1,56861,1.1,114225,0.8,151595,2.50 70,1,2024-09-07 08:49:30:802,399279,399279,0,0,187105868902,1946813249,395624,3127,528,366,391725,0 70,2,2024-09-07 08:49:31:338,289329,289329,0,0,13890637,0,4044 70,3,2024-09-07 08:49:30:749,1,227,12,0,854,2816,227,0 71,0,2024-09-07 08:49:31:387,53521,1.6,53433,1.3,106967,2.3,144022,3.25 71,1,2024-09-07 08:49:31:597,398914,398914,0,0,187579085808,1960968257,393795,4498,621,368,391738,0 71,2,2024-09-07 08:49:31:070,285965,285965,0,0,13792669,0,4042 71,3,2024-09-07 08:49:31:754,1,227,1,0,644,3560,227,0 72,0,2024-09-07 08:49:31:071,60322,0.9,58978,0.9,115038,1.1,156326,2.25 72,1,2024-09-07 08:49:31:026,398816,398816,0,0,186053868069,1947985294,393766,4119,931,369,391819,0 72,2,2024-09-07 08:49:31:766,284443,284443,0,0,15588837,0,3983 72,3,2024-09-07 08:49:31:757,1,227,1,0,564,4347,227,0 73,0,2024-09-07 08:49:31:108,57264,0.4,58535,0.6,120121,0.4,155942,2.00 73,1,2024-09-07 08:49:30:772,399356,399356,0,0,186261679692,1940141543,396279,2845,232,367,391750,0 73,2,2024-09-07 08:49:31:740,287405,287405,0,0,15005859,0,3701 73,3,2024-09-07 08:49:30:975,1,227,0,0,274,3581,227,0 74,0,2024-09-07 08:49:31:326,58946,0.5,60158,0.7,114786,0.5,155854,2.25 74,1,2024-09-07 08:49:30:635,399021,399021,0,0,186421074627,1951482671,394624,3598,799,381,391681,0 74,2,2024-09-07 08:49:31:003,287430,287430,0,0,14467886,0,4253 74,3,2024-09-07 08:49:31:442,1,227,2,0,522,3304,227,0 75,0,2024-09-07 08:49:31:765,54705,0.7,54384,0.9,109150,0.7,146223,2.50 75,1,2024-09-07 08:49:31:585,398395,398395,0,0,186275684021,1952621423,393490,4242,663,380,391660,0 75,2,2024-09-07 08:49:31:351,288000,288000,0,0,14966982,0,4766 75,3,2024-09-07 08:49:31:088,1,227,1,0,702,3473,227,0 76,0,2024-09-07 08:49:30:615,57610,0.6,57197,0.8,114316,0.6,153764,2.50 76,1,2024-09-07 08:49:30:805,399489,399489,0,0,186441183025,1948540296,396431,2510,548,382,391692,0 76,2,2024-09-07 08:49:31:081,286751,286751,0,0,12009155,0,3784 76,3,2024-09-07 08:49:31:143,1,227,7,0,175,2424,227,0 77,0,2024-09-07 08:49:31:702,57413,0.5,57654,0.7,115055,0.5,152977,2.00 77,1,2024-09-07 08:49:30:841,399566,399566,0,0,186560966590,1951039263,396242,3068,256,383,391808,0 77,2,2024-09-07 08:49:31:292,285448,285448,0,0,12452593,0,3890 77,3,2024-09-07 08:49:31:100,1,227,1,0,382,2736,227,0 78,0,2024-09-07 08:49:31:718,57487,0.4,56832,0.7,114863,0.4,151736,2.00 78,1,2024-09-07 08:49:30:611,399562,399562,0,0,186795437942,1941817159,396572,2672,318,367,391670,0 78,2,2024-09-07 08:49:31:407,286992,286992,0,0,11332052,0,3855 78,3,2024-09-07 08:49:31:141,1,227,8,0,181,2368,227,0 79,0,2024-09-07 08:49:31:355,53567,0.5,54931,0.7,112341,0.4,145683,2.50 79,1,2024-09-07 08:49:30:571,400894,400894,0,0,187571335553,1943591077,398055,2503,336,369,391682,0 79,2,2024-09-07 08:49:31:068,291532,291532,0,0,11418485,0,3212 79,3,2024-09-07 08:49:30:749,1,227,1,0,418,3609,227,0 80,0,2024-09-07 08:49:31:081,55655,0.6,57209,0.7,109562,0.6,148618,2.00 80,1,2024-09-07 08:49:31:628,398903,398903,0,0,187521223593,1954633518,395941,2794,168,368,391791,0 80,2,2024-09-07 08:49:31:094,286026,286026,0,0,11706595,0,4433 80,3,2024-09-07 08:49:30:576,1,227,2,0,190,3238,227,0 81,0,2024-09-07 08:49:31:566,56139,0.5,57489,0.7,110371,0.5,148999,2.00 81,1,2024-09-07 08:49:31:666,398628,398628,0,0,185932155237,1947269724,394792,3375,461,382,391879,0 81,2,2024-09-07 08:49:31:125,284906,284906,0,0,12102726,0,3993 81,3,2024-09-07 08:49:31:118,1,227,3,0,374,2676,227,0 82,0,2024-09-07 08:49:31:539,57514,0.4,57997,0.6,116136,0.4,153968,2.00 82,1,2024-09-07 08:49:30:583,399839,399835,0,4,187630749688,1957317185,396614,2610,611,381,391558,4 82,2,2024-09-07 08:49:31:690,288083,288083,0,0,11539686,0,3986 82,3,2024-09-07 08:49:31:754,1,227,1,0,363,2764,227,0 83,0,2024-09-07 08:49:31:528,55948,0.6,56029,0.7,111245,0.6,148106,2.00 83,1,2024-09-07 08:49:30:553,399084,399084,0,0,187033704464,1953518832,395918,2923,243,382,391690,0 83,2,2024-09-07 08:49:30:764,289922,289922,0,0,11473399,0,3119 83,3,2024-09-07 08:49:30:752,1,227,4,0,250,2479,227,0 84,0,2024-09-07 08:49:31:788,54131,0.9,54248,1.0,108226,0.8,145844,2.50 84,1,2024-09-07 08:49:31:044,398138,398138,0,0,186780281889,1957733861,393411,4153,574,368,391967,0 84,2,2024-09-07 08:49:30:571,285040,285040,0,0,13430763,0,3801 84,3,2024-09-07 08:49:31:142,1,227,1,0,908,3831,227,0 85,0,2024-09-07 08:49:31:157,55499,0.8,55440,1.0,117576,1.0,151798,2.50 85,1,2024-09-07 08:49:30:574,397628,397628,0,0,186092775492,1971845644,390806,5716,1106,382,392006,0 85,2,2024-09-07 08:49:30:873,286680,286680,0,0,14155695,0,3656 85,3,2024-09-07 08:49:30:710,1,227,1,0,789,3120,227,0 86,0,2024-09-07 08:49:30:876,58580,0.6,60115,0.8,115244,0.6,155217,2.00 86,1,2024-09-07 08:49:30:825,399384,399384,0,0,186927750234,1959053917,394413,4248,723,366,391961,0 86,2,2024-09-07 08:49:30:855,286383,286382,1,0,14995819,0,5004 86,3,2024-09-07 08:49:30:586,1,227,18,0,286,3143,227,0 87,0,2024-09-07 08:49:31:313,57991,1.0,57622,1.0,115899,1.4,154898,2.25 87,1,2024-09-07 08:49:30:562,399001,399001,0,0,186244346765,1952502283,394543,3978,480,366,391788,0 87,2,2024-09-07 08:49:31:081,287338,287338,0,0,13489801,0,4045 87,3,2024-09-07 08:49:31:795,1,227,1,0,473,3727,227,0 88,0,2024-09-07 08:49:31:532,54227,0.6,54595,0.7,108964,0.6,145227,2.00 88,1,2024-09-07 08:49:30:601,397278,397278,0,0,186100828777,1958658671,391113,4653,1512,365,392084,0 88,2,2024-09-07 08:49:30:689,287031,287031,0,0,15413414,0,3583 88,3,2024-09-07 08:49:31:267,1,227,1,0,435,2990,227,0 89,0,2024-09-07 08:49:31:804,60466,0.6,58366,0.8,116040,0.5,157450,2.00 89,1,2024-09-07 08:49:30:550,396995,396995,0,0,186956210985,1978076715,390097,5678,1220,382,391866,0 89,2,2024-09-07 08:49:31:133,284938,284938,0,0,14638572,0,2910 89,3,2024-09-07 08:49:31:798,1,227,16,0,325,4676,227,0 90,0,2024-09-07 08:49:31:616,56372,0.5,57584,0.6,118024,0.4,153718,1.75 90,1,2024-09-07 08:49:30:594,399086,399086,0,0,186640641402,1960055720,394982,3827,277,381,391825,0 90,2,2024-09-07 08:49:31:407,285040,285040,0,0,15764207,0,3060 90,3,2024-09-07 08:49:30:937,1,227,8,0,200,2513,227,0 91,0,2024-09-07 08:49:30:939,58115,0.4,56156,0.6,117237,0.4,154210,1.75 91,1,2024-09-07 08:49:30:563,398256,398256,0,0,186855225203,1969850274,392400,5165,691,381,392047,0 91,2,2024-09-07 08:49:31:335,286243,286243,0,0,14253117,0,2896 91,3,2024-09-07 08:49:30:600,1,227,0,0,216,2326,227,0 92,0,2024-09-07 08:49:31:589,55718,0.6,57163,0.7,109216,0.6,148217,1.75 92,1,2024-09-07 08:49:30:580,398600,398600,0,0,187122617408,1953878592,396081,2113,406,382,392136,0 92,2,2024-09-07 08:49:31:354,291303,291303,0,0,11941582,0,2801 92,3,2024-09-07 08:49:31:011,1,227,6,0,167,1911,227,0 93,0,2024-09-07 08:49:30:954,57128,0.4,58463,0.6,111819,0.4,151846,1.75 93,1,2024-09-07 08:49:30:805,399424,399424,0,0,186446292848,1950007841,394973,3666,785,366,391692,0 93,2,2024-09-07 08:49:30:941,286184,286184,0,0,12244573,0,2509 93,3,2024-09-07 08:49:31:411,1,227,1,0,190,2428,227,0 94,0,2024-09-07 08:49:31:622,56296,0.4,56990,0.6,113410,0.3,150553,1.75 94,1,2024-09-07 08:49:30:566,398892,398892,0,0,187072615963,1957407266,395620,3096,176,381,391850,0 94,2,2024-09-07 08:49:30:761,285006,285006,0,0,11607101,0,2443 94,3,2024-09-07 08:49:31:691,1,227,6,0,264,3140,227,0 95,0,2024-09-07 08:49:31:354,57611,0.3,57098,0.5,115497,0.3,152766,1.75 95,1,2024-09-07 08:49:30:881,399740,399740,0,0,187069589140,1948297504,396183,3182,375,367,391662,0 95,2,2024-09-07 08:49:31:024,286584,286584,0,0,12067621,0,3308 95,3,2024-09-07 08:49:31:711,1,227,90,0,718,4411,227,0 96,0,2024-09-07 08:49:31:065,55979,0.4,56231,0.6,112034,0.4,148652,1.75 96,1,2024-09-07 08:49:31:590,398420,398420,0,0,187010839550,1956286049,394856,2835,729,384,391894,0 96,2,2024-09-07 08:49:31:295,290806,290806,0,0,12689944,0,4042 96,3,2024-09-07 08:49:31:150,1,227,1,0,411,2728,227,0 97,0,2024-09-07 08:49:31:314,54875,0.4,54687,0.5,109870,0.3,146395,1.75 97,1,2024-09-07 08:49:30:763,399717,399717,0,0,187763870137,1950229639,396697,2467,553,367,392140,0 97,2,2024-09-07 08:49:30:608,285187,285187,0,0,11650904,0,3036 97,3,2024-09-07 08:49:30:574,1,227,11,0,214,2844,227,0 98,0,2024-09-07 08:49:31:705,56788,0.3,56846,0.5,114162,0.3,151186,1.50 98,1,2024-09-07 08:49:30:573,399824,399824,0,0,187644926637,1955649436,397695,2032,97,382,391997,0 98,2,2024-09-07 08:49:30:774,285867,285867,0,0,11857734,0,3080 98,3,2024-09-07 08:49:30:710,1,227,2,0,840,4458,227,0 99,0,2024-09-07 08:49:31:445,58480,0.3,58615,0.4,116983,0.3,155858,1.50 99,1,2024-09-07 08:49:31:723,399794,399794,0,0,185748370715,1940570825,396015,3040,739,381,391744,0 99,2,2024-09-07 08:49:31:417,290226,290226,0,0,12542836,0,3106 99,3,2024-09-07 08:49:30:581,1,227,0,0,168,1866,227,0 100,0,2024-09-07 08:49:31:489,57244,0.8,57232,1.0,114275,1.0,152144,2.25 100,1,2024-09-07 08:49:30:548,396807,396807,0,0,185331718755,1965982287,389977,5513,1317,381,391989,0 100,2,2024-09-07 08:49:31:824,287933,287922,11,0,14246577,0,5417 100,3,2024-09-07 08:49:31:732,1,227,1,0,559,4731,227,0 101,0,2024-09-07 08:49:31:762,55074,2.3,53728,1.4,105284,2.9,145354,3.00 101,1,2024-09-07 08:49:30:553,397413,397413,0,0,186024670088,1967184437,390073,5569,1771,368,391769,0 101,2,2024-09-07 08:49:31:758,284638,284638,0,0,15346852,0,4644 101,3,2024-09-07 08:49:30:944,1,227,1,0,448,3016,227,0 102,0,2024-09-07 08:49:30:976,57012,0.8,59207,0.9,118812,0.9,155643,2.25 102,1,2024-09-07 08:49:31:144,397953,397953,0,0,186082771929,1960664814,392310,4804,839,369,391883,0 102,2,2024-09-07 08:49:31:746,286252,286198,54,0,14051829,0,6768 102,3,2024-09-07 08:49:31:625,1,227,12,0,410,2503,227,0 103,0,2024-09-07 08:49:31:588,60461,0.5,60454,0.7,113972,0.4,157054,2.00 103,1,2024-09-07 08:49:31:626,397327,397327,0,0,185804585263,1963800144,391042,4904,1381,381,391829,0 103,2,2024-09-07 08:49:30:586,285743,285743,0,0,13266669,0,2582 103,3,2024-09-07 08:49:30:763,1,227,1,0,916,3480,227,0 104,0,2024-09-07 08:49:31:028,57889,0.9,58372,1.0,115303,0.8,155896,2.25 104,1,2024-09-07 08:49:31:601,398580,398580,0,0,186327676914,1965944908,391922,5563,1095,365,391948,0 104,2,2024-09-07 08:49:31:672,286182,286182,0,0,15011024,0,3941 104,3,2024-09-07 08:49:31:418,1,227,1,0,1245,6292,227,0 105,0,2024-09-07 08:49:31:043,54000,1.8,52413,1.4,109631,2.9,144698,4.00 105,1,2024-09-07 08:49:30:565,398461,398461,0,0,186662942511,1965228789,392175,5312,974,367,391797,0 105,2,2024-09-07 08:49:31:327,288344,288344,0,0,14489193,0,3509 105,3,2024-09-07 08:49:31:304,1,227,3,0,399,4169,227,0 106,0,2024-09-07 08:49:30:948,55844,0.9,57239,1.0,117118,1.0,153367,2.75 106,1,2024-09-07 08:49:31:757,397963,397963,0,0,185746347844,1958945761,390885,6322,756,369,391767,0 106,2,2024-09-07 08:49:30:766,283550,283550,0,0,13885865,0,2795 106,3,2024-09-07 08:49:30:686,1,227,15,0,470,3501,227,0 107,0,2024-09-07 08:49:31:113,57420,0.9,57321,0.9,114284,0.9,152675,2.00 107,1,2024-09-07 08:49:30:588,397607,397607,0,0,186349315332,1966277220,392295,4767,545,381,392234,0 107,2,2024-09-07 08:49:31:291,285176,285175,1,0,14547148,0,5024 107,3,2024-09-07 08:49:31:762,1,227,16,0,353,3339,227,0 108,0,2024-09-07 08:49:31:789,57370,0.4,57591,0.6,114228,0.4,152410,1.75 108,1,2024-09-07 08:49:31:293,399096,399096,0,0,187223829789,1953452806,395900,2812,384,368,391857,0 108,2,2024-09-07 08:49:31:756,285964,285964,0,0,12918369,0,2647 108,3,2024-09-07 08:49:31:337,1,227,1,0,749,4763,227,0 109,0,2024-09-07 08:49:31:762,55604,0.4,55056,0.6,110461,0.4,147858,1.75 109,1,2024-09-07 08:49:30:583,398074,398074,0,0,186647767567,1960597165,394293,3267,514,383,392132,0 109,2,2024-09-07 08:49:30:926,289848,289848,0,0,13409796,0,3617 109,3,2024-09-07 08:49:31:148,1,227,1,0,249,2889,227,0 110,0,2024-09-07 08:49:31:813,55815,0.4,54243,0.7,113625,0.4,149350,1.75 110,1,2024-09-07 08:49:31:662,399699,399699,0,0,187768273404,1952793403,396560,2262,877,370,392045,0 110,2,2024-09-07 08:49:31:332,285742,285742,0,0,12484731,0,3264 110,3,2024-09-07 08:49:30:699,1,227,7,0,406,3107,227,0 111,0,2024-09-07 08:49:31:419,56708,0.4,56196,0.5,112305,0.3,150323,1.75 111,1,2024-09-07 08:49:31:003,400211,400211,0,0,187846456196,1949455216,398224,1651,336,382,391690,0 111,2,2024-09-07 08:49:31:125,285187,285187,0,0,12156980,0,2763 111,3,2024-09-07 08:49:30:916,1,227,133,0,379,3318,227,0 112,0,2024-09-07 08:49:30:929,58139,0.3,58103,0.4,116109,0.2,154070,1.50 112,1,2024-09-07 08:49:30:831,400616,400616,0,0,187226827965,1944418632,398201,1987,428,380,391580,0 112,2,2024-09-07 08:49:31:134,287413,287412,1,0,12313946,0,5036 112,3,2024-09-07 08:49:30:602,1,227,24,0,282,2518,227,0 113,0,2024-09-07 08:49:30:887,55775,0.3,55716,0.5,112024,0.3,149275,1.75 113,1,2024-09-07 08:49:31:684,400717,400717,0,0,188310312085,1945915516,398226,2002,489,366,391661,0 113,2,2024-09-07 08:49:31:303,291419,291419,0,0,11332383,0,3813 113,3,2024-09-07 08:49:30:688,1,227,1,0,340,3557,227,0 114,0,2024-09-07 08:49:30:885,54999,0.4,55552,0.6,109849,0.3,147612,1.75 114,1,2024-09-07 08:49:30:723,399422,399422,0,0,186897657531,1951145224,394617,3216,1589,381,391556,0 114,2,2024-09-07 08:49:30:873,285287,285286,1,0,12263074,0,5069 114,3,2024-09-07 08:49:31:278,1,227,1,0,395,2613,227,0 115,0,2024-09-07 08:49:30:572,57577,0.3,57900,0.5,115793,0.2,153542,1.75 115,1,2024-09-07 08:49:30:573,399242,399242,0,0,187584926238,1955222377,394886,3522,834,382,391602,0 115,2,2024-09-07 08:49:31:125,286649,286649,0,0,10656547,0,2152 115,3,2024-09-07 08:49:31:006,1,227,0,0,159,1335,227,0 116,0,2024-09-07 08:49:31:708,58442,0.7,58143,0.9,116645,0.7,155799,2.00 116,1,2024-09-07 08:49:30:803,396863,396863,0,0,185436917066,1968745882,390477,4332,2054,380,391782,0 116,2,2024-09-07 08:49:31:756,286586,286586,0,0,15471163,0,3529 116,3,2024-09-07 08:49:30:912,1,227,0,0,415,3473,227,0 117,0,2024-09-07 08:49:30:969,58042,0.9,57888,0.9,115780,1.0,155077,2.00 117,1,2024-09-07 08:49:31:591,398529,398529,0,0,185861705597,1950525150,393836,4213,480,370,392033,0 117,2,2024-09-07 08:49:31:126,290054,290054,0,0,12780147,0,3700 117,3,2024-09-07 08:49:31:060,1,227,2,0,490,3887,227,0 118,0,2024-09-07 08:49:31:802,52713,0.7,54240,0.8,110371,0.8,144942,2.25 118,1,2024-09-07 08:49:30:585,398354,398354,0,0,185600558582,1957050366,392027,4896,1431,366,391736,0 118,2,2024-09-07 08:49:31:587,286517,286517,0,0,13690910,0,2781 118,3,2024-09-07 08:49:31:787,1,227,6,0,235,2744,227,0 119,0,2024-09-07 08:49:31:344,58416,1.0,58889,0.9,117760,1.3,156295,2.25 119,1,2024-09-07 08:49:30:562,399318,399318,0,0,187417117065,1961979726,394675,4121,522,368,391641,0 119,2,2024-09-07 08:49:31:261,285821,285821,0,0,13062991,0,4174 119,3,2024-09-07 08:49:31:336,1,227,0,0,443,3417,227,0 120,0,2024-09-07 08:49:31:620,57692,0.6,57498,0.8,115384,0.5,154289,2.00 120,1,2024-09-07 08:49:30:876,398484,398484,0,0,186623028322,1963076330,393817,4317,350,368,391961,0 120,2,2024-09-07 08:49:30:775,285397,285396,1,0,15684631,0,5281 120,3,2024-09-07 08:49:31:304,1,227,55,0,241,3166,227,0 121,0,2024-09-07 08:49:31:704,57581,1.0,57830,1.0,115515,1.4,153837,2.25 121,1,2024-09-07 08:49:31:657,398822,398822,0,0,186497568162,1957121190,394236,4172,414,367,391840,0 121,2,2024-09-07 08:49:31:132,285436,285436,0,0,15026410,0,4127 121,3,2024-09-07 08:49:30:731,1,227,1,0,269,2769,227,0 122,0,2024-09-07 08:49:31:767,54963,1.0,53609,1.0,112406,1.2,148071,2.25 122,1,2024-09-07 08:49:30:859,397886,397886,0,0,186445492192,1963283021,391830,5241,815,366,392130,0 122,2,2024-09-07 08:49:31:321,289020,288947,73,0,17441209,0,5989 122,3,2024-09-07 08:49:30:598,1,227,2,0,411,4845,227,0 123,0,2024-09-07 08:49:30:958,56514,1.0,55291,0.9,115087,1.2,150953,2.25 123,1,2024-09-07 08:49:30:564,398051,398051,0,0,186265331650,1972271577,389385,6951,1715,369,391823,0 123,2,2024-09-07 08:49:31:023,283398,283397,1,0,14163722,0,5215 123,3,2024-09-07 08:49:31:133,1,227,83,0,168,2887,227,0 124,0,2024-09-07 08:49:30:957,58388,0.3,58296,0.5,109981,0.3,151254,1.75 124,1,2024-09-07 08:49:31:037,400155,400155,0,0,186341057810,1939342057,397325,2468,362,367,392178,0 124,2,2024-09-07 08:49:31:011,285702,285702,0,0,11440653,0,3101 124,3,2024-09-07 08:49:30:759,1,227,0,0,490,2699,227,0 125,0,2024-09-07 08:49:31:420,57471,0.3,57330,0.5,115143,0.3,152795,1.75 125,1,2024-09-07 08:49:30:856,399110,399110,0,0,187314166250,1956364203,396199,2522,389,383,391702,0 125,2,2024-09-07 08:49:31:118,287577,287577,0,0,12574455,0,4534 125,3,2024-09-07 08:49:31:130,1,227,2,0,709,3421,227,0 126,0,2024-09-07 08:49:31:416,56139,0.6,57542,0.7,110042,0.6,149396,1.75 126,1,2024-09-07 08:49:30:552,400213,400213,0,0,187314101799,1944369717,397540,2412,261,365,391987,0 126,2,2024-09-07 08:49:30:610,292258,292258,0,0,12726725,0,3186 126,3,2024-09-07 08:49:30:915,1,227,8,0,183,3224,227,0 127,0,2024-09-07 08:49:31:614,54855,0.4,55100,0.5,109648,0.4,146574,1.75 127,1,2024-09-07 08:49:30:578,399559,399559,0,0,187298752395,1943772846,396618,2788,153,365,391816,0 127,2,2024-09-07 08:49:30:657,285257,285257,0,0,11427132,0,2264 127,3,2024-09-07 08:49:31:273,1,227,1,0,968,2854,227,0 128,0,2024-09-07 08:49:31:543,57244,0.3,57210,0.5,114056,0.3,151541,1.50 128,1,2024-09-07 08:49:31:616,399511,399511,0,0,186888395153,1940780811,397362,1992,157,367,391798,0 128,2,2024-09-07 08:49:31:400,285423,285423,0,0,11306227,0,2434 128,3,2024-09-07 08:49:30:792,1,227,0,0,1082,5154,227,0 129,0,2024-09-07 08:49:31:006,58911,0.3,58555,0.4,117137,0.2,155461,1.50 129,1,2024-09-07 08:49:30:574,397550,397550,0,0,185785634196,1951065383,393418,3205,927,379,391835,0 129,2,2024-09-07 08:49:30:708,288474,288474,0,0,12207316,0,4031 129,3,2024-09-07 08:49:30:705,1,227,1,0,469,3190,227,0 130,0,2024-09-07 08:49:31:724,57715,0.6,57549,0.6,115234,0.6,153086,1.75 130,1,2024-09-07 08:49:30:584,399789,399789,0,0,187323899433,1951157104,397368,2344,77,381,391825,0 130,2,2024-09-07 08:49:31:126,291028,291028,0,0,12053959,0,4067 130,3,2024-09-07 08:49:31:298,1,227,2,0,450,3063,227,0 131,0,2024-09-07 08:49:31:924,54214,0.4,54536,0.6,109805,0.4,145353,1.75 131,1,2024-09-07 08:49:31:825,400063,400063,0,0,187393720157,1956135577,397031,2575,457,381,391865,0 131,2,2024-09-07 08:49:30:582,287532,287532,0,0,10838577,0,2415 131,3,2024-09-07 08:49:31:699,1,227,8,0,392,2436,227,0 132,0,2024-09-07 08:49:31:412,58319,0.5,58849,0.7,117667,0.5,156138,2.00 132,1,2024-09-07 08:49:30:596,397203,397203,0,0,185421158614,1961657394,390206,5745,1252,381,392097,0 132,2,2024-09-07 08:49:30:706,285897,285897,0,0,14694890,0,4606 132,3,2024-09-07 08:49:31:692,1,227,0,0,356,3460,227,0 133,0,2024-09-07 08:49:31:574,57282,0.4,58613,0.6,119973,0.3,155892,1.75 133,1,2024-09-07 08:49:30:583,397177,397177,0,0,186389639825,1966401718,391712,4800,665,383,391914,0 133,2,2024-09-07 08:49:31:096,285901,285901,0,0,15766621,0,4315 133,3,2024-09-07 08:49:31:304,1,227,1,0,276,2386,227,0 134,0,2024-09-07 08:49:30:938,58329,0.5,58246,0.7,117024,0.4,155889,2.00 134,1,2024-09-07 08:49:30:583,397533,397533,0,0,185752528366,1956847285,391094,4971,1468,366,391718,0 134,2,2024-09-07 08:49:31:755,286739,286739,0,0,13338850,0,3847 134,3,2024-09-07 08:49:30:757,1,227,4,0,739,3607,227,0 135,0,2024-09-07 08:49:31:103,52883,1.1,52921,1.1,112020,1.2,144808,2.25 135,1,2024-09-07 08:49:31:592,397133,397133,0,0,186858016192,1970916618,390949,5189,995,380,391805,0 135,2,2024-09-07 08:49:30:688,288702,288702,0,0,14606275,0,3981 135,3,2024-09-07 08:49:31:004,1,227,1,0,299,1795,227,0 136,0,2024-09-07 08:49:31:624,58261,0.6,58259,0.8,116387,0.7,155746,2.25 136,1,2024-09-07 08:49:31:440,397741,397741,0,0,185756236057,1957561940,392224,4933,584,382,391685,0 136,2,2024-09-07 08:49:31:133,285905,285905,0,0,14084853,0,3506 136,3,2024-09-07 08:49:31:117,1,227,7,0,126,1832,227,0 137,0,2024-09-07 08:49:30:976,59036,0.6,57378,0.8,113293,0.7,153871,2.00 137,1,2024-09-07 08:49:30:575,397599,397599,0,0,186669527270,1965456153,390658,5524,1417,366,391708,0 137,2,2024-09-07 08:49:31:710,285030,285030,0,0,15632437,0,3185 137,3,2024-09-07 08:49:30:774,1,227,0,0,382,2912,227,0 138,0,2024-09-07 08:49:31:754,56832,0.9,56889,0.9,114275,1.0,152046,2.25 138,1,2024-09-07 08:49:31:690,398113,398113,0,0,187335710244,1973590632,391155,5827,1131,368,391954,0 138,2,2024-09-07 08:49:30:586,286555,286555,0,0,14435705,0,4988 138,3,2024-09-07 08:49:30:610,1,227,1,0,1160,3800,227,0 139,0,2024-09-07 08:49:31:371,54363,2.3,54436,1.4,109597,3.4,146259,2.75 139,1,2024-09-07 08:49:30:591,396340,396340,0,0,185425140198,1976957330,387866,6362,2112,381,391892,0 139,2,2024-09-07 08:49:30:702,288614,288614,0,0,15089165,0,3097 139,3,2024-09-07 08:49:31:665,1,227,0,0,244,2449,227,0 140,0,2024-09-07 08:49:31:595,56288,0.3,55730,0.5,112142,0.3,149436,1.75 140,1,2024-09-07 08:49:31:551,400722,400722,0,0,189237416695,1952417023,398746,1735,241,365,391606,0 140,2,2024-09-07 08:49:30:691,285889,285889,0,0,11755495,0,3388 140,3,2024-09-07 08:49:30:774,1,227,0,0,247,2113,227,0 141,0,2024-09-07 08:49:31:698,56499,0.3,57989,0.5,110810,0.2,150374,1.50 141,1,2024-09-07 08:49:30:859,400088,400088,0,0,187879211186,1951838301,397519,2161,408,379,391614,0 141,2,2024-09-07 08:49:31:685,285701,285701,0,0,11257794,0,2342 141,3,2024-09-07 08:49:31:046,1,227,1,0,202,2243,227,0 142,0,2024-09-07 08:49:31:347,58735,0.3,58053,0.5,115603,0.2,154362,1.50 142,1,2024-09-07 08:49:30:588,399261,399261,0,0,186202650004,1944234412,396491,2505,265,382,392102,0 142,2,2024-09-07 08:49:31:301,287094,287062,32,0,13053605,0,6028 142,3,2024-09-07 08:49:31:754,1,227,9,0,484,3031,227,0 143,0,2024-09-07 08:49:31:390,56070,0.5,56037,0.6,112611,0.6,149116,1.75 143,1,2024-09-07 08:49:30:560,399700,399700,0,0,187143898993,1943631250,396991,2614,95,367,391619,0 143,2,2024-09-07 08:49:30:772,290640,290640,0,0,12320354,0,2669 143,3,2024-09-07 08:49:31:176,1,227,29,0,303,3173,227,0 144,0,2024-09-07 08:49:31:518,52873,0.8,54426,1.1,110623,0.8,145964,2.25 144,1,2024-09-07 08:49:30:579,397664,397664,0,0,185850908708,1955087810,393671,3072,921,381,391649,0 144,2,2024-09-07 08:49:31:755,285630,285630,0,0,11618047,0,3473 144,3,2024-09-07 08:49:31:740,1,227,1,0,249,2814,227,0 145,0,2024-09-07 08:49:31:378,55417,0.7,55411,0.9,117571,0.6,151812,2.50 145,1,2024-09-07 08:49:30:554,397004,397004,0,0,185949876494,1960619071,391514,4669,821,382,391698,0 145,2,2024-09-07 08:49:31:429,284697,284697,0,0,13836001,0,3903 145,3,2024-09-07 08:49:30:897,1,227,2,0,622,3943,227,0 146,0,2024-09-07 08:49:31:594,58425,0.5,57984,0.8,116872,0.5,155035,2.25 146,1,2024-09-07 08:49:31:590,397892,397892,0,0,186354558789,1970441706,389651,6395,1846,368,391770,0 146,2,2024-09-07 08:49:31:705,286365,286365,0,0,14031923,0,2730 146,3,2024-09-07 08:49:31:275,1,227,0,0,1520,5864,227,0 147,0,2024-09-07 08:49:31:711,57918,0.6,57902,0.8,114910,0.6,154739,2.25 147,1,2024-09-07 08:49:31:373,399946,399946,0,0,186888419422,1948240348,395854,3507,585,368,391791,0 147,2,2024-09-07 08:49:31:010,289712,289712,0,0,11823685,0,2789 147,3,2024-09-07 08:49:30:917,1,227,2,0,730,3844,227,0 0,0,2024-09-07 08:49:41:710,56483,0.5,56493,0.7,119871,0.5,155365,1.75 0,1,2024-09-07 08:49:40:815,400862,400862,0,0,187277086300,1962084828,397681,2979,202,370,391772,0 0,2,2024-09-07 08:49:41:080,287928,287928,0,0,12437302,0,4480 0,3,2024-09-07 08:49:40:974,1,228,0,0,319,3250,228,0 1,0,2024-09-07 08:49:41:764,58135,0.9,57598,1.0,116019,1.1,154663,2.00 1,1,2024-09-07 08:49:40:557,400226,400226,0,0,186987033812,1963325857,395734,3439,1053,371,391857,0 1,2,2024-09-07 08:49:40:639,287429,287429,0,0,11451334,0,3267 1,3,2024-09-07 08:49:41:307,1,228,1,0,262,2819,228,0 2,0,2024-09-07 08:49:41:567,55521,0.8,55902,0.9,111021,1.1,148718,2.00 2,1,2024-09-07 08:49:40:859,400992,400992,0,0,188162539467,1956784518,398889,1885,218,380,391745,0 2,2,2024-09-07 08:49:41:266,290879,290879,0,0,12149631,0,3594 2,3,2024-09-07 08:49:40:693,1,228,1,0,357,2241,228,0 3,0,2024-09-07 08:49:41:744,57037,0.5,57130,0.6,113630,0.4,151483,2.00 3,1,2024-09-07 08:49:41:618,400343,400343,0,0,187201382353,1953933385,396504,3377,462,380,391523,0 3,2,2024-09-07 08:49:41:143,286703,286680,23,0,12199206,0,5851 3,3,2024-09-07 08:49:41:752,1,228,0,0,103,1417,228,0 4,0,2024-09-07 08:49:41:785,55102,0.4,56707,0.5,115300,0.3,151480,1.75 4,1,2024-09-07 08:49:40:595,400207,400207,0,0,187159114331,1970071741,395500,3919,788,371,391846,0 4,2,2024-09-07 08:49:41:022,285523,285523,0,0,14497172,0,4528 4,3,2024-09-07 08:49:41:032,1,228,3,0,448,3698,228,0 5,0,2024-09-07 08:49:41:375,57550,0.4,57844,0.6,114965,0.4,153064,1.75 5,1,2024-09-07 08:49:40:756,399998,399998,0,0,187260467912,1973811738,394516,4370,1112,368,392005,0 5,2,2024-09-07 08:49:41:828,286616,286616,0,0,13206391,0,2432 5,3,2024-09-07 08:49:41:737,1,228,3,0,457,3745,228,0 6,0,2024-09-07 08:49:40:917,56597,0.7,56009,0.8,111874,0.7,150077,2.00 6,1,2024-09-07 08:49:40:749,400580,400580,0,0,187233075001,1961250523,395914,3822,844,379,391651,0 6,2,2024-09-07 08:49:41:127,291766,291766,0,0,13310039,0,4816 6,3,2024-09-07 08:49:41:274,1,228,1,0,340,2870,228,0 7,0,2024-09-07 08:49:41:549,54939,0.6,54966,0.7,109744,0.6,146763,2.00 7,1,2024-09-07 08:49:40:850,400023,400023,0,0,188250671174,1979795697,394129,4891,1003,382,391686,0 7,2,2024-09-07 08:49:40:770,285249,285249,0,0,12994377,0,4791 7,3,2024-09-07 08:49:40:851,1,228,0,0,398,2711,228,0 8,0,2024-09-07 08:49:41:347,56950,0.4,57062,0.5,113561,0.3,151462,1.75 8,1,2024-09-07 08:49:41:045,398714,398714,0,0,186339259363,1972266278,390659,6047,2008,367,391956,0 8,2,2024-09-07 08:49:40:791,283281,283281,0,0,15674641,0,3220 8,3,2024-09-07 08:49:40:587,1,228,1,0,357,3608,228,0 9,0,2024-09-07 08:49:41:146,58741,0.3,57027,0.5,118930,0.3,155902,1.75 9,1,2024-09-07 08:49:40:596,399481,399481,0,0,187669490453,1984123446,393003,4938,1540,370,392001,0 9,2,2024-09-07 08:49:41:115,288436,288436,0,0,13910444,0,3360 9,3,2024-09-07 08:49:41:751,1,228,1,0,496,3504,228,0 10,0,2024-09-07 08:49:41:600,57561,0.3,57007,0.5,114802,0.2,152497,1.75 10,1,2024-09-07 08:49:40:583,400007,400007,0,0,187583420654,1972057322,393648,5431,928,381,391741,0 10,2,2024-09-07 08:49:40:763,290937,290937,0,0,15436599,0,4264 10,3,2024-09-07 08:49:40:871,1,228,1,0,177,2016,228,0 11,0,2024-09-07 08:49:41:010,53986,0.5,52499,0.7,109856,0.5,146026,2.00 11,1,2024-09-07 08:49:40:570,400233,400233,0,0,186666602447,1970575086,392048,6204,1981,383,391537,0 11,2,2024-09-07 08:49:41:127,286156,286156,0,0,14396948,0,4130 11,3,2024-09-07 08:49:41:298,1,228,1,0,843,3953,228,0 12,0,2024-09-07 08:49:40:968,59045,0.4,58878,0.5,117701,0.3,156138,1.75 12,1,2024-09-07 08:49:40:933,400758,400758,0,0,186663585280,1950099309,397253,3084,421,370,391837,0 12,2,2024-09-07 08:49:41:552,287760,287760,0,0,13398533,0,3469 12,3,2024-09-07 08:49:41:075,1,228,18,0,386,4070,228,0 13,0,2024-09-07 08:49:41:343,59278,0.3,59107,0.5,117923,0.3,157118,1.50 13,1,2024-09-07 08:49:41:546,400276,400276,0,0,187852514024,1974373502,396737,3000,539,382,391717,0 13,2,2024-09-07 08:49:40:595,288992,288992,0,0,12558870,0,3287 13,3,2024-09-07 08:49:41:766,1,228,1,0,467,3789,228,0 14,0,2024-09-07 08:49:40:562,58831,0.4,59326,0.6,117485,0.3,156695,1.75 14,1,2024-09-07 08:49:41:561,402699,402699,0,0,187604087955,1945595357,399872,2638,189,364,391571,0 14,2,2024-09-07 08:49:40:773,288659,288659,0,0,12588833,0,2896 14,3,2024-09-07 08:49:41:116,1,228,6,0,1168,3402,228,0 15,0,2024-09-07 08:49:41:555,54553,0.6,54959,0.8,109714,0.6,146066,2.00 15,1,2024-09-07 08:49:41:612,401083,401083,0,0,187859855934,1955840428,398564,2341,178,381,391619,0 15,2,2024-09-07 08:49:40:999,289743,289743,0,0,10679935,0,3043 15,3,2024-09-07 08:49:41:405,1,228,1,0,1126,4858,228,0 16,0,2024-09-07 08:49:40:970,58629,0.8,58873,0.9,116931,0.9,157028,2.25 16,1,2024-09-07 08:49:40:563,401318,401318,0,0,187859216640,1966630910,397769,3252,297,370,391756,0 16,2,2024-09-07 08:49:41:448,286487,286487,0,0,13129954,0,4719 16,3,2024-09-07 08:49:41:143,1,228,0,0,317,3239,228,0 17,0,2024-09-07 08:49:41:816,59515,0.5,58000,0.7,113636,0.5,154610,2.00 17,1,2024-09-07 08:49:40:568,399711,399711,0,0,187079289513,1968407601,394882,3727,1102,368,391688,0 17,2,2024-09-07 08:49:41:666,289857,289857,0,0,12191001,0,2857 17,3,2024-09-07 08:49:40:574,1,228,1,0,268,3810,228,0 18,0,2024-09-07 08:49:40:939,56795,0.7,56950,0.8,113871,0.8,151932,2.25 18,1,2024-09-07 08:49:41:638,401130,401130,0,0,188088035216,1951924044,398627,2263,240,367,391649,0 18,2,2024-09-07 08:49:41:755,288260,288260,0,0,11921310,0,3541 18,3,2024-09-07 08:49:40:896,1,228,8,0,163,2448,228,0 19,0,2024-09-07 08:49:41:543,55049,0.8,55639,0.9,109914,0.9,146528,2.25 19,1,2024-09-07 08:49:40:566,401032,401032,0,0,188589127959,1963600311,396403,3844,785,367,391777,0 19,2,2024-09-07 08:49:41:760,292931,292931,0,0,11145904,0,3988 19,3,2024-09-07 08:49:41:132,1,228,0,0,524,1806,228,0 20,0,2024-09-07 08:49:41:380,55922,0.8,55875,0.9,112084,0.9,149967,2.25 20,1,2024-09-07 08:49:40:567,400144,400144,0,0,187477379017,1964831864,396339,3409,396,369,391886,0 20,2,2024-09-07 08:49:40:929,286369,286369,0,0,12623129,0,3721 20,3,2024-09-07 08:49:40:589,1,228,1,0,414,4480,228,0 21,0,2024-09-07 08:49:41:160,56548,0.5,56855,0.7,113381,0.5,150040,2.00 21,1,2024-09-07 08:49:41:548,399194,399194,0,0,186409420345,1968191292,393478,4459,1257,368,391962,0 21,2,2024-09-07 08:49:41:088,285874,285874,0,0,14143623,0,3747 21,3,2024-09-07 08:49:41:404,1,228,1,0,103,2511,228,0 22,0,2024-09-07 08:49:41:719,57854,0.6,57842,0.8,115380,0.6,153456,2.25 22,1,2024-09-07 08:49:41:025,399455,399455,0,0,186617188495,1970715437,392269,5544,1642,382,391667,0 22,2,2024-09-07 08:49:40:759,288245,288245,0,0,12662055,0,3134 22,3,2024-09-07 08:49:41:069,1,228,0,0,228,2265,228,0 23,0,2024-09-07 08:49:41:370,55794,0.7,55700,0.8,111814,0.7,148990,2.25 23,1,2024-09-07 08:49:41:003,400245,400245,0,0,188087672360,1980356491,392954,4740,2551,365,391550,0 23,2,2024-09-07 08:49:41:095,292139,292139,0,0,12381833,0,3010 23,3,2024-09-07 08:49:41:754,1,228,0,0,645,3092,228,0 24,0,2024-09-07 08:49:40:881,55533,0.5,55429,0.6,111205,0.5,148431,1.75 24,1,2024-09-07 08:49:40:595,400151,400151,0,0,187135518288,1969179946,394548,4124,1479,369,391987,0 24,2,2024-09-07 08:49:41:089,284987,284987,0,0,14843603,0,3607 24,3,2024-09-07 08:49:41:686,1,228,1,0,468,3600,228,0 25,0,2024-09-07 08:49:41:352,59059,0.5,57715,0.7,112967,0.5,154106,2.00 25,1,2024-09-07 08:49:40:569,400567,400567,0,0,187475137642,1967027725,396286,3728,553,371,391788,0 25,2,2024-09-07 08:49:41:663,285330,285330,0,0,15200309,0,3978 25,3,2024-09-07 08:49:41:025,1,228,9,0,255,2628,228,0 26,0,2024-09-07 08:49:41:721,58342,0.4,57042,0.6,119595,0.3,155599,1.75 26,1,2024-09-07 08:49:41:546,400157,400157,0,0,186734582295,1965538217,393194,5747,1216,381,391748,0 26,2,2024-09-07 08:49:40:861,288413,288413,0,0,14969711,0,2809 26,3,2024-09-07 08:49:41:712,1,228,1,0,796,3207,228,0 27,0,2024-09-07 08:49:41:725,58652,0.4,58492,0.6,115934,0.4,154925,2.00 27,1,2024-09-07 08:49:41:676,402198,402198,0,0,188715063453,1967144302,398752,2854,592,381,391558,0 27,2,2024-09-07 08:49:40:867,288229,288164,65,0,15183204,0,5699 27,3,2024-09-07 08:49:41:018,1,228,19,0,564,2594,228,0 28,0,2024-09-07 08:49:41:398,54778,0.6,54639,0.7,109660,0.6,146858,2.25 28,1,2024-09-07 08:49:40:802,401688,401688,0,0,188351623441,1963688028,398853,2365,470,383,391646,0 28,2,2024-09-07 08:49:41:772,287917,287917,0,0,11820478,0,2915 28,3,2024-09-07 08:49:41:776,1,228,1,0,502,2520,228,0 29,0,2024-09-07 08:49:41:361,60423,0.4,59120,0.7,115443,0.4,157621,1.75 29,1,2024-09-07 08:49:41:564,402218,402218,0,0,188181162155,1951821924,398997,2656,565,369,391621,0 29,2,2024-09-07 08:49:40:865,286769,286769,0,0,11324174,0,4018 29,3,2024-09-07 08:49:40:968,1,228,1,0,115,1713,228,0 30,0,2024-09-07 08:49:41:457,58023,0.6,56369,0.7,118050,0.5,154754,2.00 30,1,2024-09-07 08:49:40:571,402322,402322,0,0,188461543653,1955581933,399995,2034,293,382,391672,0 30,2,2024-09-07 08:49:41:274,288345,288345,0,0,11185728,0,3161 30,3,2024-09-07 08:49:40:581,1,228,24,0,195,1847,228,0 31,0,2024-09-07 08:49:41:759,57583,0.4,57882,0.6,116189,0.4,154515,2.00 31,1,2024-09-07 08:49:40:564,403199,403199,0,0,188796773928,1937225925,401811,1169,219,356,391712,0 31,2,2024-09-07 08:49:41:279,287229,287229,0,0,12771633,0,3525 31,3,2024-09-07 08:49:41:707,1,228,10,0,220,1883,228,0 32,0,2024-09-07 08:49:41:445,55508,0.4,55950,0.6,112030,0.3,149288,1.75 32,1,2024-09-07 08:49:40:807,402266,402266,0,0,188243903332,1955197705,400344,1643,279,381,391614,0 32,2,2024-09-07 08:49:40:936,291192,291192,0,0,10963547,0,3155 32,3,2024-09-07 08:49:41:016,1,228,2,0,227,1771,228,0 33,0,2024-09-07 08:49:41:495,57580,0.3,56848,0.5,114311,0.2,152433,1.75 33,1,2024-09-07 08:49:40:575,402383,402383,0,0,188733783235,1952504307,399805,2404,174,369,391730,0 33,2,2024-09-07 08:49:40:759,287708,287675,33,0,12700787,0,7012 33,3,2024-09-07 08:49:40:895,1,228,72,0,329,2647,228,0 34,0,2024-09-07 08:49:40:933,56793,0.3,58489,0.5,112279,0.3,150759,1.75 34,1,2024-09-07 08:49:41:046,403063,403063,0,0,189202310096,1947631082,401987,1069,7,367,391562,0 34,2,2024-09-07 08:49:40:766,286819,286819,0,0,11893500,0,3577 34,3,2024-09-07 08:49:41:688,1,228,1,0,299,1922,228,0 35,0,2024-09-07 08:49:40:860,57099,0.4,57428,0.5,115381,0.3,153349,1.75 35,1,2024-09-07 08:49:41:070,401243,401243,0,0,187870231417,1951544036,397903,2560,780,384,391589,0 35,2,2024-09-07 08:49:41:583,288598,288598,0,0,11340146,0,2653 35,3,2024-09-07 08:49:40:907,1,228,15,0,418,2770,228,0 36,0,2024-09-07 08:49:41:531,56200,0.7,56153,0.9,112539,0.8,149662,2.50 36,1,2024-09-07 08:49:40:585,400772,400772,0,0,187851639710,1969762714,394811,4383,1578,366,391759,0 36,2,2024-09-07 08:49:41:752,291480,291480,0,0,13614825,0,3875 36,3,2024-09-07 08:49:40:863,1,228,1,0,416,3902,228,0 37,0,2024-09-07 08:49:41:374,54785,0.6,54677,0.8,109695,0.6,147612,2.00 37,1,2024-09-07 08:49:40:570,400119,400112,0,7,186890621549,1964223258,394108,3938,2066,365,391560,0 37,2,2024-09-07 08:49:41:148,285603,285588,15,0,13678158,0,5815 37,3,2024-09-07 08:49:41:773,1,228,1,0,888,4298,228,0 38,0,2024-09-07 08:49:41:442,56387,0.5,54777,0.7,114624,0.5,149696,2.00 38,1,2024-09-07 08:49:41:605,401448,401448,0,0,187655426524,1964033571,396182,4591,675,368,391821,0 38,2,2024-09-07 08:49:40:760,286192,286145,47,0,14180185,0,6710 38,3,2024-09-07 08:49:40:997,1,228,17,0,689,3542,228,0 39,0,2024-09-07 08:49:41:760,59788,0.6,58702,0.7,114061,0.6,155883,2.00 39,1,2024-09-07 08:49:40:732,401157,401157,0,0,187460144421,1961119256,395632,4624,901,365,391594,0 39,2,2024-09-07 08:49:41:428,288593,288593,0,0,12329697,0,2689 39,3,2024-09-07 08:49:40:719,1,228,1,0,324,3380,228,0 40,0,2024-09-07 08:49:41:531,56779,0.8,57308,1.0,114540,0.8,152039,3.00 40,1,2024-09-07 08:49:40:576,400719,400719,0,0,186957021662,1965928830,393720,5556,1443,368,391591,0 40,2,2024-09-07 08:49:41:305,290232,290231,1,0,15496108,0,5137 40,3,2024-09-07 08:49:41:148,1,228,1,0,398,2986,228,0 41,0,2024-09-07 08:49:41:030,53864,2.4,55069,1.6,104994,3.7,144259,4.25 41,1,2024-09-07 08:49:40:768,400415,400415,0,0,187969814933,1970836677,394886,4895,634,370,391742,0 41,2,2024-09-07 08:49:40:759,285066,285066,0,0,14647849,0,3356 41,3,2024-09-07 08:49:41:676,1,228,1,0,366,2715,228,0 42,0,2024-09-07 08:49:41:474,57769,1.0,58072,1.1,116068,1.1,152858,2.75 42,1,2024-09-07 08:49:41:439,399429,399429,0,0,187174099404,1973807093,392272,5705,1452,380,391675,0 42,2,2024-09-07 08:49:41:134,286958,286958,0,0,13921016,0,3568 42,3,2024-09-07 08:49:41:019,1,228,1,0,446,2032,228,0 43,0,2024-09-07 08:49:40:921,58587,0.7,57047,0.9,119225,0.7,156781,2.25 43,1,2024-09-07 08:49:40:576,400020,400020,0,0,187272114013,1963090690,393762,4929,1329,366,391696,0 43,2,2024-09-07 08:49:41:747,288869,288869,0,0,13418239,0,3812 43,3,2024-09-07 08:49:41:749,1,228,1,0,467,3005,228,0 44,0,2024-09-07 08:49:40:862,58621,0.5,58960,0.7,117546,0.4,156849,1.75 44,1,2024-09-07 08:49:40:564,402066,402066,0,0,187920090855,1943082234,399512,2123,431,356,391809,0 44,2,2024-09-07 08:49:41:267,288046,288046,0,0,11197067,0,2231 44,3,2024-09-07 08:49:41:096,1,228,1,0,817,2833,228,0 45,0,2024-09-07 08:49:41:757,53864,0.9,52662,1.0,110692,0.9,146002,2.25 45,1,2024-09-07 08:49:41:009,401573,401573,0,0,189081577659,1961266024,399924,1637,12,382,391917,0 45,2,2024-09-07 08:49:41:268,289937,289937,0,0,11941989,0,3596 45,3,2024-09-07 08:49:40:934,1,228,1,0,271,2627,228,0 46,0,2024-09-07 08:49:40:963,58213,0.6,58120,0.8,116519,0.6,154846,2.25 46,1,2024-09-07 08:49:40:577,402761,402761,0,0,188579465708,1949530313,400415,2072,274,366,391539,0 46,2,2024-09-07 08:49:40:594,287506,287506,0,0,11441440,0,2920 46,3,2024-09-07 08:49:41:134,1,228,20,0,908,3652,228,0 47,0,2024-09-07 08:49:41:113,57944,0.5,57888,0.6,116367,0.4,154068,1.75 47,1,2024-09-07 08:49:40:567,402628,402628,0,0,188221453074,1945428046,400306,2188,134,366,391605,0 47,2,2024-09-07 08:49:40:908,289004,289004,0,0,11468681,0,2558 47,3,2024-09-07 08:49:41:115,1,228,1,0,529,2221,228,0 48,0,2024-09-07 08:49:41:488,57850,0.3,57464,0.4,114481,0.2,152877,1.50 48,1,2024-09-07 08:49:41:024,402312,402312,0,0,188342479483,1958675747,399265,2748,299,384,391710,0 48,2,2024-09-07 08:49:40:706,288028,288028,0,0,11028298,0,3031 48,3,2024-09-07 08:49:40:753,1,228,8,0,339,2053,228,0 49,0,2024-09-07 08:49:41:712,57052,0.4,56001,0.6,108517,0.4,148585,1.75 49,1,2024-09-07 08:49:41:023,400381,400381,0,0,188357930643,1965732207,396590,2610,1181,382,391610,0 49,2,2024-09-07 08:49:41:796,292687,292687,0,0,11708746,0,3900 49,3,2024-09-07 08:49:41:415,1,228,1,0,408,2705,228,0 50,0,2024-09-07 08:49:41:507,56214,0.3,55656,0.5,111830,0.2,149871,1.75 50,1,2024-09-07 08:49:41:010,402931,402931,0,0,188552265786,1952573982,400226,2395,310,368,391530,0 50,2,2024-09-07 08:49:41:083,286896,286896,0,0,10912415,0,2263 50,3,2024-09-07 08:49:41:292,1,228,1,0,335,2014,228,0 51,0,2024-09-07 08:49:41:684,57800,0.3,56621,0.5,110306,0.2,150436,1.75 51,1,2024-09-07 08:49:41:682,402254,402254,0,0,189071646475,1954665094,399459,1856,939,365,391706,0 51,2,2024-09-07 08:49:41:316,286512,286512,0,0,10220505,0,3337 51,3,2024-09-07 08:49:41:027,1,228,2,0,678,1975,228,0 52,0,2024-09-07 08:49:41:420,58614,0.5,58242,0.7,116246,0.4,154211,2.00 52,1,2024-09-07 08:49:40:576,400487,400487,0,0,186879901964,1965896606,393353,5881,1253,368,391722,0 52,2,2024-09-07 08:49:41:755,286651,286613,38,0,14410659,0,6742 52,3,2024-09-07 08:49:40:683,1,228,13,0,1782,4174,228,0 53,0,2024-09-07 08:49:41:747,55584,0.8,54112,0.9,113128,0.9,148122,2.50 53,1,2024-09-07 08:49:40:771,399667,399667,0,0,187409129047,1974561238,392345,4966,2356,367,391702,0 53,2,2024-09-07 08:49:41:299,291793,291793,0,0,12072479,0,2727 53,3,2024-09-07 08:49:40:697,1,228,1,0,271,2166,228,0 54,0,2024-09-07 08:49:41:620,54118,1.1,54576,1.0,108118,0.7,145747,3.00 54,1,2024-09-07 08:49:40:581,401011,401011,0,0,188623638754,1966661843,396277,4137,597,367,391659,0 54,2,2024-09-07 08:49:40:865,285773,285767,6,0,13240027,0,5382 54,3,2024-09-07 08:49:40:772,1,228,1,0,676,3639,228,0 55,0,2024-09-07 08:49:41:762,55447,0.7,57520,0.9,115642,0.7,150601,2.50 55,1,2024-09-07 08:49:40:764,400289,400289,0,0,187335911762,1955724386,394740,4762,787,365,391731,0 55,2,2024-09-07 08:49:40:729,285871,285871,0,0,13378956,0,3275 55,3,2024-09-07 08:49:40:674,1,228,1,0,304,2753,228,0 56,0,2024-09-07 08:49:41:575,59906,1.1,56516,1.1,116319,1.5,155491,2.50 56,1,2024-09-07 08:49:40:572,398817,398817,0,0,187042508214,1984120652,391684,5695,1438,381,391678,0 56,2,2024-09-07 08:49:41:305,288711,288711,0,0,14645132,0,3567 56,3,2024-09-07 08:49:41:059,1,228,2,0,705,3486,228,0 57,0,2024-09-07 08:49:40:940,57409,1.7,57554,1.3,115097,2.3,153919,3.25 57,1,2024-09-07 08:49:40:986,400214,400214,0,0,187109322938,1966347633,394898,4646,670,367,391960,0 57,2,2024-09-07 08:49:41:315,290267,290267,0,0,15618047,0,3317 57,3,2024-09-07 08:49:41:742,1,228,8,0,359,3327,228,0 58,0,2024-09-07 08:49:40:555,53445,1.1,52118,1.1,108944,1.5,143354,2.75 58,1,2024-09-07 08:49:40:575,400561,400558,0,3,187904603541,1968920187,394831,5017,710,367,391545,3 58,2,2024-09-07 08:49:41:085,287956,287956,0,0,14045653,0,2549 58,3,2024-09-07 08:49:41:074,1,228,1,0,1043,2793,228,0 59,0,2024-09-07 08:49:41:741,58412,0.9,58322,1.1,116454,1.0,154513,3.00 59,1,2024-09-07 08:49:40:808,400334,400334,0,0,187601640206,1969004068,394548,4521,1265,369,391525,0 59,2,2024-09-07 08:49:40:583,288260,288260,0,0,12718905,0,2604 59,3,2024-09-07 08:49:41:746,1,228,2,0,1015,3458,228,0 60,0,2024-09-07 08:49:41:739,58365,0.4,58142,0.6,117013,0.4,155404,1.75 60,1,2024-09-07 08:49:40:783,402320,402320,0,0,188288905311,1955479459,399887,1916,517,370,392031,0 60,2,2024-09-07 08:49:41:148,288007,288007,0,0,13763970,0,3811 60,3,2024-09-07 08:49:41:271,1,228,9,0,124,2282,228,0 61,0,2024-09-07 08:49:41:528,57898,0.7,58166,0.8,115776,0.8,154629,2.00 61,1,2024-09-07 08:49:40:771,400936,400936,0,0,187754477410,1968157622,396369,3864,703,382,391880,0 61,2,2024-09-07 08:49:41:128,288592,288592,0,0,12157542,0,2780 61,3,2024-09-07 08:49:41:690,1,228,5,0,397,3272,228,0 62,0,2024-09-07 08:49:41:708,56258,0.8,57247,0.9,109052,0.9,149188,2.00 62,1,2024-09-07 08:49:41:115,403290,403284,0,6,188668472067,1948879802,400828,2339,117,365,391715,6 62,2,2024-09-07 08:49:41:645,289784,289783,1,0,13560842,0,5555 62,3,2024-09-07 08:49:41:143,1,228,6,0,287,1679,228,0 63,0,2024-09-07 08:49:41:465,57011,0.5,57182,0.6,114300,0.4,152018,1.75 63,1,2024-09-07 08:49:40:815,402848,402842,0,6,188271372699,1952392150,401270,1522,50,381,391677,6 63,2,2024-09-07 08:49:40:762,286976,286976,0,0,11226654,0,2674 63,3,2024-09-07 08:49:41:732,1,228,0,0,667,2805,228,0 64,0,2024-09-07 08:49:41:551,56345,0.5,56445,0.6,113040,0.4,150713,1.75 64,1,2024-09-07 08:49:40:756,401427,401427,0,0,188082284796,1965718964,396951,3062,1414,370,391783,0 64,2,2024-09-07 08:49:41:157,289284,289265,19,0,11984085,0,6121 64,3,2024-09-07 08:49:41:154,1,228,1,0,265,2447,228,0 65,0,2024-09-07 08:49:41:678,56954,0.6,57387,0.7,114506,0.6,152296,2.00 65,1,2024-09-07 08:49:40:871,400152,400152,0,0,187104006059,1960707208,396608,3101,443,382,391901,0 65,2,2024-09-07 08:49:41:693,288257,288257,0,0,12661217,0,3367 65,3,2024-09-07 08:49:41:683,1,228,2,0,163,2229,228,0 66,0,2024-09-07 08:49:41:764,55832,0.5,55790,0.8,112038,0.5,149006,2.00 66,1,2024-09-07 08:49:41:293,402101,402101,0,0,188639995024,1962019289,399543,2358,200,380,391588,0 66,2,2024-09-07 08:49:41:139,293912,293912,0,0,12535455,0,4956 66,3,2024-09-07 08:49:41:080,1,228,10,0,291,2568,228,0 67,0,2024-09-07 08:49:41:413,55427,0.7,55087,0.8,110601,0.8,147643,2.25 67,1,2024-09-07 08:49:40:767,401135,401134,0,1,187515343463,1959285244,397297,3090,747,380,391787,1 67,2,2024-09-07 08:49:40:583,287473,287473,0,0,11398531,0,2889 67,3,2024-09-07 08:49:41:753,1,228,1,0,338,2148,228,0 68,0,2024-09-07 08:49:40:564,56991,0.6,56870,0.8,113140,0.7,151336,2.00 68,1,2024-09-07 08:49:40:571,400336,400336,0,0,186952922406,1963606932,396367,2831,1138,381,391953,0 68,2,2024-09-07 08:49:41:047,285641,285576,65,0,15255562,0,6698 68,3,2024-09-07 08:49:40:736,1,228,1,0,417,2751,228,0 69,0,2024-09-07 08:49:41:763,58249,0.7,58560,0.8,116564,0.8,155099,2.25 69,1,2024-09-07 08:49:41:026,398943,398943,0,0,186906491424,1977600038,393246,4296,1401,384,391994,0 69,2,2024-09-07 08:49:41:742,288588,288588,0,0,14805062,0,3722 69,3,2024-09-07 08:49:40:761,1,228,1,0,698,3534,228,0 70,0,2024-09-07 08:49:41:546,56940,1.1,56869,1.1,114235,0.8,151621,2.50 70,1,2024-09-07 08:49:40:801,401049,401049,0,0,188001943676,1955876958,397394,3127,528,366,391725,0 70,2,2024-09-07 08:49:41:327,290691,290691,0,0,13899898,0,4044 70,3,2024-09-07 08:49:40:748,1,228,0,0,854,2816,228,0 71,0,2024-09-07 08:49:41:357,53884,1.6,53778,1.3,107676,2.3,144951,3.25 71,1,2024-09-07 08:49:41:601,400610,400610,0,0,188383890329,1969127274,395491,4498,621,368,391738,0 71,2,2024-09-07 08:49:41:075,286901,286901,0,0,13798272,0,4042 71,3,2024-09-07 08:49:41:751,1,228,1,0,644,3561,228,0 72,0,2024-09-07 08:49:41:039,60424,0.9,59070,0.9,115221,1.1,156576,2.25 72,1,2024-09-07 08:49:41:033,400594,400594,0,0,186886503848,1956442803,395544,4119,931,369,391819,0 72,2,2024-09-07 08:49:41:756,285899,285899,0,0,15636632,0,3983 72,3,2024-09-07 08:49:41:754,1,228,5,0,564,4352,228,0 73,0,2024-09-07 08:49:41:100,57495,0.4,58751,0.6,120575,0.4,156510,2.00 73,1,2024-09-07 08:49:40:766,401090,401090,0,0,186930854816,1947043019,398012,2846,232,367,391750,0 73,2,2024-09-07 08:49:41:753,288802,288802,0,0,15049898,0,3701 73,3,2024-09-07 08:49:40:973,1,228,1,0,274,3582,228,0 74,0,2024-09-07 08:49:41:324,59245,0.5,60509,0.7,115409,0.5,156722,2.25 74,1,2024-09-07 08:49:40:637,400780,400780,0,0,187190619472,1959333164,396383,3598,799,381,391681,0 74,2,2024-09-07 08:49:41:011,288949,288949,0,0,14499285,0,4253 74,3,2024-09-07 08:49:41:445,1,228,0,0,522,3304,228,0 75,0,2024-09-07 08:49:41:807,54985,0.7,54650,0.9,109692,0.7,146988,2.50 75,1,2024-09-07 08:49:41:586,400245,400245,0,0,187214800568,1962218573,395340,4242,663,380,391660,0 75,2,2024-09-07 08:49:41:359,288694,288694,0,0,14982497,0,4766 75,3,2024-09-07 08:49:41:079,1,228,11,0,702,3484,228,0 76,0,2024-09-07 08:49:40:584,58139,0.7,57697,0.9,115422,0.7,155577,2.50 76,1,2024-09-07 08:49:40:806,401223,401223,0,0,187217180784,1956650601,398165,2510,548,382,391692,0 76,2,2024-09-07 08:49:41:079,288293,288293,0,0,12073935,0,3784 76,3,2024-09-07 08:49:41:149,1,228,1,0,175,2425,228,0 77,0,2024-09-07 08:49:41:695,57742,0.5,57978,0.7,115663,0.5,153821,2.00 77,1,2024-09-07 08:49:40:845,401328,401328,0,0,187689820712,1963265690,397887,3185,256,383,391808,0 77,2,2024-09-07 08:49:41:283,286844,286844,0,0,12656365,0,3890 77,3,2024-09-07 08:49:41:097,1,228,28,0,382,2764,228,0 78,0,2024-09-07 08:49:41:722,57645,0.4,56975,0.7,115160,0.4,152148,2.00 78,1,2024-09-07 08:49:40:622,401317,401317,0,0,187653983155,1950669968,398327,2672,318,367,391670,0 78,2,2024-09-07 08:49:41:405,288094,288094,0,0,11370754,0,3855 78,3,2024-09-07 08:49:41:133,1,228,1,0,181,2369,228,0 79,0,2024-09-07 08:49:41:360,53804,0.5,55161,0.7,112825,0.4,146346,2.50 79,1,2024-09-07 08:49:40:571,402648,402648,0,0,188430503182,1952576460,399808,2504,336,369,391682,0 79,2,2024-09-07 08:49:41:080,292522,292522,0,0,11606768,0,3212 79,3,2024-09-07 08:49:40:757,1,228,0,0,418,3609,228,0 80,0,2024-09-07 08:49:41:127,56037,0.6,57582,0.7,110286,0.6,149661,2.00 80,1,2024-09-07 08:49:41:624,400758,400758,0,0,188397860009,1963593878,397796,2794,168,368,391791,0 80,2,2024-09-07 08:49:41:096,287535,287535,0,0,11759643,0,4433 80,3,2024-09-07 08:49:40:576,1,228,2,0,190,3240,228,0 81,0,2024-09-07 08:49:41:574,56315,0.5,57658,0.7,110690,0.5,149396,2.00 81,1,2024-09-07 08:49:41:650,400351,400351,0,0,186684658272,1955108036,396515,3375,461,382,391879,0 81,2,2024-09-07 08:49:41:128,286259,286259,0,0,12139970,0,3993 81,3,2024-09-07 08:49:41:126,1,228,8,0,374,2684,228,0 82,0,2024-09-07 08:49:41:553,57602,0.4,58092,0.6,116319,0.4,154219,2.00 82,1,2024-09-07 08:49:40:584,401658,401654,0,4,188368467704,1964927285,398433,2610,611,381,391558,4 82,2,2024-09-07 08:49:41:696,289108,289108,0,0,11554686,0,3986 82,3,2024-09-07 08:49:41:752,1,228,2,0,363,2766,228,0 83,0,2024-09-07 08:49:41:530,56079,0.6,56156,0.7,111478,0.6,148482,2.00 83,1,2024-09-07 08:49:40:561,400856,400856,0,0,187738619983,1960753138,397690,2923,243,382,391690,0 83,2,2024-09-07 08:49:40:764,291353,291353,0,0,11502399,0,3119 83,3,2024-09-07 08:49:40:757,1,228,2,0,250,2481,228,0 84,0,2024-09-07 08:49:41:772,54643,0.9,54737,1.0,109291,0.8,147166,2.50 84,1,2024-09-07 08:49:41:039,399894,399894,0,0,187659101746,1966655228,395166,4153,575,368,391967,0 84,2,2024-09-07 08:49:40:577,286215,286215,0,0,13441049,0,3801 84,3,2024-09-07 08:49:41:143,1,228,4,0,908,3835,228,0 85,0,2024-09-07 08:49:41:019,55614,0.8,55545,1.0,117796,0.9,152085,2.50 85,1,2024-09-07 08:49:40:562,399443,399443,0,0,186545148641,1976576671,392620,5717,1106,382,392006,0 85,2,2024-09-07 08:49:40:865,287895,287895,0,0,14165486,0,3656 85,3,2024-09-07 08:49:40:688,1,228,2,0,789,3122,228,0 86,0,2024-09-07 08:49:40:875,58681,0.6,60222,0.8,115427,0.6,155460,2.00 86,1,2024-09-07 08:49:40:828,401202,401202,0,0,187782606207,1967736524,396231,4248,723,366,391961,0 86,2,2024-09-07 08:49:40:855,287906,287905,1,0,15008399,0,5004 86,3,2024-09-07 08:49:40:586,1,228,1,0,286,3144,228,0 87,0,2024-09-07 08:49:41:284,58170,1.0,57821,1.0,116278,1.4,155385,2.25 87,1,2024-09-07 08:49:40:549,400790,400790,0,0,187035309099,1960534668,396332,3978,480,366,391788,0 87,2,2024-09-07 08:49:41:079,288802,288802,0,0,13500668,0,4045 87,3,2024-09-07 08:49:41:805,1,228,4,0,473,3731,228,0 88,0,2024-09-07 08:49:41:446,54649,0.5,55016,0.7,109878,0.6,146395,2.00 88,1,2024-09-07 08:49:40:572,398945,398945,0,0,186718737281,1965000964,392780,4653,1512,365,392084,0 88,2,2024-09-07 08:49:40:696,287704,287704,0,0,15424545,0,3583 88,3,2024-09-07 08:49:41:267,1,228,1,0,435,2991,228,0 89,0,2024-09-07 08:49:41:783,60612,0.6,58495,0.8,116267,0.5,157769,2.00 89,1,2024-09-07 08:49:40:555,398792,398792,0,0,187915903888,1987992964,391893,5679,1220,382,391866,0 89,2,2024-09-07 08:49:41:135,286502,286502,0,0,14701361,0,2910 89,3,2024-09-07 08:49:41:790,1,228,2,0,325,4678,228,0 90,0,2024-09-07 08:49:41:641,56662,0.5,57860,0.6,118592,0.4,154513,1.75 90,1,2024-09-07 08:49:40:591,400789,400789,0,0,187213329117,1965961720,396684,3828,277,381,391825,0 90,2,2024-09-07 08:49:41:407,286489,286489,0,0,15796234,0,3060 90,3,2024-09-07 08:49:40:930,1,228,1,0,200,2514,228,0 91,0,2024-09-07 08:49:40:945,58326,0.4,56338,0.6,117668,0.4,154799,1.75 91,1,2024-09-07 08:49:40:562,399974,399974,0,0,187416197454,1975699304,394118,5165,691,381,392047,0 91,2,2024-09-07 08:49:41:336,287461,287461,0,0,14276816,0,2896 91,3,2024-09-07 08:49:40:599,1,228,0,0,216,2326,228,0 92,0,2024-09-07 08:49:41:445,56195,0.6,57629,0.7,110102,0.6,149460,1.75 92,1,2024-09-07 08:49:40:580,400468,400468,0,0,187817254249,1961358469,397921,2141,406,382,392136,0 92,2,2024-09-07 08:49:41:360,292213,292213,0,0,11961788,0,2801 92,3,2024-09-07 08:49:41:010,1,228,1,0,167,1912,228,0 93,0,2024-09-07 08:49:40:979,57383,0.4,58784,0.6,112327,0.4,152579,1.75 93,1,2024-09-07 08:49:40:819,401174,401174,0,0,187161232086,1957640303,396711,3678,785,366,391692,0 93,2,2024-09-07 08:49:40:929,287585,287585,0,0,12586859,0,2797 93,3,2024-09-07 08:49:41:406,1,228,1,0,190,2429,228,0 94,0,2024-09-07 08:49:41:606,56630,0.4,57346,0.5,114138,0.3,151474,1.75 94,1,2024-09-07 08:49:40:562,400617,400617,0,0,188096613154,1967915351,397345,3096,176,381,391850,0 94,2,2024-09-07 08:49:40:761,286397,286397,0,0,11670670,0,2443 94,3,2024-09-07 08:49:41:696,1,228,4,0,264,3144,228,0 95,0,2024-09-07 08:49:41:360,57759,0.3,57291,0.5,115826,0.3,153238,1.75 95,1,2024-09-07 08:49:40:851,401462,401462,0,0,187972366910,1957506099,397904,3182,376,367,391662,0 95,2,2024-09-07 08:49:41:021,287733,287733,0,0,12099821,0,3308 95,3,2024-09-07 08:49:41:719,1,228,6,0,718,4417,228,0 96,0,2024-09-07 08:49:41:066,56321,0.4,56536,0.6,112704,0.4,149540,1.75 96,1,2024-09-07 08:49:41:585,400195,400195,0,0,187825972374,1964624906,396631,2835,729,384,391894,0 96,2,2024-09-07 08:49:41:268,292025,292025,0,0,12718348,0,4042 96,3,2024-09-07 08:49:41:148,1,228,1,0,411,2729,228,0 97,0,2024-09-07 08:49:41:348,55299,0.4,55112,0.5,110746,0.3,147618,1.75 97,1,2024-09-07 08:49:40:770,401432,401432,0,0,188579765863,1958589923,398408,2469,555,367,392140,0 97,2,2024-09-07 08:49:40:611,286521,286521,0,0,11683196,0,3036 97,3,2024-09-07 08:49:40:573,1,228,1,0,214,2845,228,0 98,0,2024-09-07 08:49:41:716,56901,0.3,56966,0.5,114396,0.3,151521,1.50 98,1,2024-09-07 08:49:40:582,401691,401691,0,0,188340810735,1962835025,399562,2032,97,382,391997,0 98,2,2024-09-07 08:49:40:769,287187,287187,0,0,11881634,0,3080 98,3,2024-09-07 08:49:40:702,1,228,3,0,840,4461,228,0 99,0,2024-09-07 08:49:41:450,58596,0.3,58741,0.4,117232,0.3,156181,1.50 99,1,2024-09-07 08:49:41:730,401535,401535,0,0,186712053885,1950385860,397756,3040,739,381,391744,0 99,2,2024-09-07 08:49:41:425,291574,291574,0,0,12564474,0,3106 99,3,2024-09-07 08:49:40:581,1,228,2,0,168,1868,228,0 100,0,2024-09-07 08:49:41:471,57256,0.8,57240,1.0,114291,1.0,152172,2.25 100,1,2024-09-07 08:49:40:563,398583,398583,0,0,185987846986,1972709406,391753,5513,1317,381,391989,0 100,2,2024-09-07 08:49:41:824,289294,289283,11,0,14256353,0,5417 100,3,2024-09-07 08:49:41:731,1,228,0,0,559,4731,228,0 101,0,2024-09-07 08:49:41:711,55501,2.3,54087,1.4,105972,2.9,146377,2.75 101,1,2024-09-07 08:49:40:563,399224,399224,0,0,186755825624,1974621211,391884,5569,1771,368,391769,0 101,2,2024-09-07 08:49:41:758,285612,285612,0,0,15355092,0,4644 101,3,2024-09-07 08:49:40:943,1,228,3,0,448,3019,228,0 102,0,2024-09-07 08:49:40:965,57115,0.8,59298,0.9,118999,0.9,155879,2.25 102,1,2024-09-07 08:49:41:148,399727,399727,0,0,186987028296,1969868570,394084,4804,839,369,391883,0 102,2,2024-09-07 08:49:41:747,287564,287510,54,0,14062761,0,6768 102,3,2024-09-07 08:49:41:620,1,228,1,0,410,2504,228,0 103,0,2024-09-07 08:49:41:602,60682,0.5,60654,0.7,114405,0.4,157622,2.00 103,1,2024-09-07 08:49:41:639,399084,399084,0,0,186584204533,1971752837,392799,4904,1381,381,391829,0 103,2,2024-09-07 08:49:40:584,287176,287176,0,0,13278577,0,2582 103,3,2024-09-07 08:49:40:755,1,228,3,0,916,3483,228,0 104,0,2024-09-07 08:49:41:036,58219,0.9,58706,1.0,115944,0.8,156814,2.25 104,1,2024-09-07 08:49:41:599,400325,400325,0,0,187118242588,1974034347,393666,5564,1095,365,391948,0 104,2,2024-09-07 08:49:41:683,287544,287544,0,0,15041238,0,3941 104,3,2024-09-07 08:49:41:415,1,228,2,0,1245,6294,228,0 105,0,2024-09-07 08:49:41:033,54249,1.8,52661,1.4,110124,2.9,145381,4.00 105,1,2024-09-07 08:49:40:555,400191,400191,0,0,187324468746,1972017407,393905,5312,974,367,391797,0 105,2,2024-09-07 08:49:41:322,289055,289055,0,0,14500516,0,3509 105,3,2024-09-07 08:49:41:305,1,228,3,0,399,4172,228,0 106,0,2024-09-07 08:49:40:961,56393,1.0,57780,1.1,118297,1.1,155077,2.75 106,1,2024-09-07 08:49:41:755,399730,399730,0,0,186657795631,1968231045,392651,6323,756,369,391767,0 106,2,2024-09-07 08:49:40:757,285095,285095,0,0,13918938,0,2795 106,3,2024-09-07 08:49:40:681,1,228,8,0,470,3509,228,0 107,0,2024-09-07 08:49:41:173,57716,0.9,57642,0.9,114895,0.9,153518,2.00 107,1,2024-09-07 08:49:40:586,399375,399375,0,0,187130658074,1974291103,394062,4768,545,381,392234,0 107,2,2024-09-07 08:49:41:293,286522,286521,1,0,14578619,0,5024 107,3,2024-09-07 08:49:41:755,1,228,4,0,353,3343,228,0 108,0,2024-09-07 08:49:41:801,57528,0.4,57756,0.6,114543,0.4,152805,1.75 108,1,2024-09-07 08:49:41:296,400836,400836,0,0,187942801065,1961019835,397640,2812,384,368,391857,0 108,2,2024-09-07 08:49:41:756,287112,287112,0,0,12943103,0,2647 108,3,2024-09-07 08:49:41:335,1,228,2,0,749,4765,228,0 109,0,2024-09-07 08:49:41:773,55873,0.4,55297,0.6,110914,0.4,148515,1.75 109,1,2024-09-07 08:49:40:583,399846,399846,0,0,187310722089,1967524665,396065,3267,514,383,392132,0 109,2,2024-09-07 08:49:40:926,290875,290875,0,0,13503891,0,3617 109,3,2024-09-07 08:49:41:145,1,228,10,0,249,2899,228,0 110,0,2024-09-07 08:49:41:788,56192,0.4,54620,0.7,114456,0.4,150316,1.75 110,1,2024-09-07 08:49:41:649,401458,401458,0,0,188709476354,1962542126,398319,2262,877,370,392045,0 110,2,2024-09-07 08:49:41:315,287120,287120,0,0,12566995,0,3264 110,3,2024-09-07 08:49:40:690,1,228,1,0,406,3108,228,0 111,0,2024-09-07 08:49:41:414,56875,0.4,56396,0.5,112637,0.3,150832,1.75 111,1,2024-09-07 08:49:41:020,401993,401993,0,0,188682492339,1958121302,400006,1651,336,382,391690,0 111,2,2024-09-07 08:49:41:116,286524,286524,0,0,12205627,0,2763 111,3,2024-09-07 08:49:40:917,1,228,1,0,379,3319,228,0 112,0,2024-09-07 08:49:40:916,58232,0.3,58205,0.4,116310,0.2,154328,1.50 112,1,2024-09-07 08:49:40:828,402454,402454,0,0,188232735285,1954666592,400039,1987,428,380,391580,0 112,2,2024-09-07 08:49:41:133,288497,288496,1,0,12338763,0,5036 112,3,2024-09-07 08:49:40:592,1,228,1,0,282,2519,228,0 113,0,2024-09-07 08:49:40:892,55898,0.3,55831,0.5,112263,0.3,149596,1.75 113,1,2024-09-07 08:49:41:685,402551,402551,0,0,189029003316,1953246004,400060,2002,489,366,391661,0 113,2,2024-09-07 08:49:41:306,292761,292761,0,0,11380833,0,3813 113,3,2024-09-07 08:49:40:684,1,228,3,0,340,3560,228,0 114,0,2024-09-07 08:49:40:884,55487,0.4,56061,0.6,110818,0.3,148934,1.75 114,1,2024-09-07 08:49:40:727,401127,401127,0,0,187670329023,1959044198,396322,3216,1589,381,391556,0 114,2,2024-09-07 08:49:40:873,286466,286465,1,0,12288965,0,5069 114,3,2024-09-07 08:49:41:278,1,228,2,0,395,2615,228,0 115,0,2024-09-07 08:49:40:564,57677,0.3,57997,0.5,116037,0.2,153836,1.75 115,1,2024-09-07 08:49:40:571,401034,401034,0,0,188419302479,1963730714,396678,3522,834,382,391602,0 115,2,2024-09-07 08:49:41:127,287843,287843,0,0,10682153,0,2152 115,3,2024-09-07 08:49:41:002,1,228,3,0,159,1338,228,0 116,0,2024-09-07 08:49:41:710,58531,0.7,58243,0.9,116861,0.7,156045,2.00 116,1,2024-09-07 08:49:40:805,398680,398680,0,0,186079036521,1975335181,392294,4332,2054,380,391782,0 116,2,2024-09-07 08:49:41:767,288073,288073,0,0,15483784,0,3529 116,3,2024-09-07 08:49:40:914,1,228,16,0,415,3489,228,0 117,0,2024-09-07 08:49:40:962,58231,0.9,58074,0.9,116188,1.0,155593,2.00 117,1,2024-09-07 08:49:41:578,400301,400301,0,0,186664505306,1958694254,395608,4213,480,370,392033,0 117,2,2024-09-07 08:49:41:123,291458,291458,0,0,12794562,0,3700 117,3,2024-09-07 08:49:41:059,1,228,8,0,490,3895,228,0 118,0,2024-09-07 08:49:41:799,53158,0.7,54672,0.8,111277,0.8,146070,2.25 118,1,2024-09-07 08:49:40:585,400147,400147,0,0,186725437857,1968422736,393820,4896,1431,366,391736,0 118,2,2024-09-07 08:49:41:587,287173,287173,0,0,13696542,0,2781 118,3,2024-09-07 08:49:41:767,1,228,2,0,235,2746,228,0 119,0,2024-09-07 08:49:41:339,58545,1.0,58999,0.9,117999,1.3,156592,2.25 119,1,2024-09-07 08:49:40:548,401172,401172,0,0,188327838185,1971216425,396528,4122,522,368,391641,0 119,2,2024-09-07 08:49:41:261,287307,287307,0,0,13075032,0,4174 119,3,2024-09-07 08:49:41:327,1,228,0,0,443,3417,228,0 120,0,2024-09-07 08:49:41:554,57984,0.6,57758,0.8,115984,0.5,155100,2.00 120,1,2024-09-07 08:49:40:863,400251,400251,0,0,187402583629,1971087232,395584,4317,350,368,391961,0 120,2,2024-09-07 08:49:40:770,287035,287034,1,0,15718940,0,5281 120,3,2024-09-07 08:49:41:293,1,228,1,0,241,3167,228,0 121,0,2024-09-07 08:49:41:708,57793,1.0,58049,1.0,115941,1.4,154504,2.25 121,1,2024-09-07 08:49:41:655,400667,400667,0,0,187071090502,1963020657,396080,4173,414,367,391840,0 121,2,2024-09-07 08:49:41:125,286581,286581,0,0,15048082,0,4127 121,3,2024-09-07 08:49:40:736,1,228,1,0,269,2770,228,0 122,0,2024-09-07 08:49:41:764,55419,1.0,54026,1.0,113420,1.2,149382,2.25 122,1,2024-09-07 08:49:40:863,399697,399697,0,0,187336881951,1972338214,393640,5242,815,366,392130,0 122,2,2024-09-07 08:49:41:337,289953,289880,73,0,17461128,0,5989 122,3,2024-09-07 08:49:40:593,1,228,1,0,411,4846,228,0 123,0,2024-09-07 08:49:40:965,56782,1.0,55552,0.9,115626,1.2,151667,2.25 123,1,2024-09-07 08:49:40:569,399901,399901,0,0,187029085989,1980061419,391235,6951,1715,369,391823,0 123,2,2024-09-07 08:49:41:019,284831,284830,1,0,14216447,0,5215 123,3,2024-09-07 08:49:41:134,1,228,1,0,168,2888,228,0 124,0,2024-09-07 08:49:40:959,58727,0.3,58635,0.5,110666,0.3,152172,1.75 124,1,2024-09-07 08:49:41:023,401943,401943,0,0,187273408308,1949133853,399113,2468,362,367,392178,0 124,2,2024-09-07 08:49:41:011,287043,287043,0,0,11485836,0,3101 124,3,2024-09-07 08:49:40:764,1,228,2,0,490,2701,228,0 125,0,2024-09-07 08:49:41:416,57668,0.3,57546,0.5,115493,0.3,153308,1.75 125,1,2024-09-07 08:49:40:855,400836,400836,0,0,188175218903,1966022298,397754,2693,389,383,391702,0 125,2,2024-09-07 08:49:41:116,288641,288641,0,0,12600756,0,4534 125,3,2024-09-07 08:49:41:126,1,228,4,0,709,3425,228,0 126,0,2024-09-07 08:49:41:425,56475,0.6,57879,0.7,110730,0.6,150315,1.75 126,1,2024-09-07 08:49:40:551,402047,402047,0,0,188180822405,1953378168,399374,2412,261,365,391987,0 126,2,2024-09-07 08:49:40:618,293484,293484,0,0,12871249,0,3186 126,3,2024-09-07 08:49:40:909,1,228,1,0,183,3225,228,0 127,0,2024-09-07 08:49:41:607,55331,0.4,55539,0.5,110544,0.4,147713,1.75 127,1,2024-09-07 08:49:40:574,401274,401274,0,0,188262122323,1953788553,398333,2788,153,365,391816,0 127,2,2024-09-07 08:49:40:642,286587,286587,0,0,11472361,0,2264 127,3,2024-09-07 08:49:41:267,1,228,23,0,968,2877,228,0 128,0,2024-09-07 08:49:41:522,57383,0.3,57334,0.5,114293,0.3,151884,1.50 128,1,2024-09-07 08:49:41:613,401309,401309,0,0,187864813998,1950870247,399149,2003,157,367,391798,0 128,2,2024-09-07 08:49:41:389,286619,286619,0,0,11332784,0,2434 128,3,2024-09-07 08:49:40:771,1,228,1,0,1082,5155,228,0 129,0,2024-09-07 08:49:40:997,59029,0.3,58690,0.4,117393,0.2,155801,1.50 129,1,2024-09-07 08:49:40:568,399374,399374,0,0,186443875510,1957930814,395242,3205,927,379,391835,0 129,2,2024-09-07 08:49:40:694,289796,289796,0,0,12244077,0,4031 129,3,2024-09-07 08:49:40:701,1,228,2,0,469,3192,228,0 130,0,2024-09-07 08:49:41:717,57721,0.6,57556,0.6,115248,0.6,153105,1.75 130,1,2024-09-07 08:49:40:588,401595,401595,0,0,188186938995,1959957246,399174,2344,77,381,391825,0 130,2,2024-09-07 08:49:41:127,292285,292285,0,0,12094296,0,4067 130,3,2024-09-07 08:49:41:293,1,228,1,0,450,3064,228,0 131,0,2024-09-07 08:49:41:995,54556,0.4,54871,0.6,110500,0.4,146367,1.75 131,1,2024-09-07 08:49:41:820,401804,401804,0,0,188175193093,1964169119,398768,2579,457,381,391865,0 131,2,2024-09-07 08:49:40:580,288535,288535,0,0,10851801,0,2415 131,3,2024-09-07 08:49:41:688,1,228,10,0,392,2446,228,0 132,0,2024-09-07 08:49:41:452,58406,0.5,58938,0.7,117864,0.5,156371,2.00 132,1,2024-09-07 08:49:40:577,399013,399013,0,0,186568157514,1973292850,392016,5745,1252,381,392097,0 132,2,2024-09-07 08:49:40:701,287226,287226,0,0,14704730,0,4606 132,3,2024-09-07 08:49:41:688,1,228,1,0,356,3461,228,0 133,0,2024-09-07 08:49:41:531,57486,0.4,58851,0.6,120418,0.3,156477,1.75 133,1,2024-09-07 08:49:40:583,398952,398952,0,0,187350704204,1976170283,393487,4800,665,383,391914,0 133,2,2024-09-07 08:49:41:093,287359,287359,0,0,15784454,0,4315 133,3,2024-09-07 08:49:41:297,1,228,1,0,276,2387,228,0 134,0,2024-09-07 08:49:40:941,58675,0.5,58604,0.7,117681,0.4,156796,2.00 134,1,2024-09-07 08:49:40:590,399329,399329,0,0,186588087228,1965330220,392890,4971,1468,366,391718,0 134,2,2024-09-07 08:49:41:754,288130,288130,0,0,13348599,0,3847 134,3,2024-09-07 08:49:40:747,1,228,5,0,739,3612,228,0 135,0,2024-09-07 08:49:41:096,53138,1.1,53163,1.1,112589,1.2,145525,2.25 135,1,2024-09-07 08:49:41:586,399008,399008,0,0,187758370481,1980099341,392824,5189,995,380,391805,0 135,2,2024-09-07 08:49:40:687,289415,289415,0,0,14611319,0,3981 135,3,2024-09-07 08:49:41:010,1,228,3,0,299,1798,228,0 136,0,2024-09-07 08:49:41:632,58802,0.7,58799,0.8,117502,0.7,157225,2.25 136,1,2024-09-07 08:49:41:441,399460,399460,0,0,186642754986,1966615106,393943,4933,584,382,391685,0 136,2,2024-09-07 08:49:41:134,287556,287556,0,0,14132974,0,3506 136,3,2024-09-07 08:49:41:106,1,228,1,0,126,1833,228,0 137,0,2024-09-07 08:49:40:974,59361,0.6,57692,0.8,113919,0.7,154704,2.00 137,1,2024-09-07 08:49:40:605,399414,399414,0,0,187352557737,1972464990,392473,5524,1417,366,391708,0 137,2,2024-09-07 08:49:41:707,286541,286541,0,0,15658187,0,3185 137,3,2024-09-07 08:49:40:791,1,228,124,0,382,3036,228,0 138,0,2024-09-07 08:49:41:786,56983,0.9,57021,0.9,114560,1.0,152469,2.25 138,1,2024-09-07 08:49:41:686,399888,399888,0,0,187966762052,1980072418,392930,5827,1131,368,391954,0 138,2,2024-09-07 08:49:40:588,287627,287627,0,0,14463128,0,4988 138,3,2024-09-07 08:49:40:610,1,228,2,0,1160,3802,228,0 139,0,2024-09-07 08:49:41:370,54596,2.3,54703,1.4,110023,3.4,146882,2.75 139,1,2024-09-07 08:49:40:573,398050,398050,0,0,186326054028,1986200903,389574,6364,2112,381,391892,0 139,2,2024-09-07 08:49:40:695,289693,289693,0,0,15108568,0,3097 139,3,2024-09-07 08:49:41:663,1,228,1,0,244,2450,228,0 140,0,2024-09-07 08:49:41:650,56649,0.3,56115,0.5,112905,0.3,150409,1.75 140,1,2024-09-07 08:49:41:537,402510,402510,0,0,190153884878,1961893657,400534,1735,241,365,391606,0 140,2,2024-09-07 08:49:40:687,287416,287416,0,0,11804300,0,3388 140,3,2024-09-07 08:49:40:767,1,228,1,0,247,2114,228,0 141,0,2024-09-07 08:49:41:700,56648,0.3,58158,0.5,111151,0.2,150866,1.50 141,1,2024-09-07 08:49:40:859,401897,401897,0,0,188564883215,1959016297,399328,2161,408,379,391614,0 141,2,2024-09-07 08:49:41:687,286982,286982,0,0,11346937,0,2342 141,3,2024-09-07 08:49:41:044,1,228,1,0,202,2244,228,0 142,0,2024-09-07 08:49:41:351,58818,0.3,58168,0.4,115783,0.2,154621,1.50 142,1,2024-09-07 08:49:40:611,401065,401065,0,0,187064076014,1953345134,398287,2513,265,382,392102,0 142,2,2024-09-07 08:49:41:308,288205,288173,32,0,13210319,0,6028 142,3,2024-09-07 08:49:41:751,1,228,12,0,484,3043,228,0 143,0,2024-09-07 08:49:41:377,56187,0.5,56148,0.6,112851,0.6,149434,1.75 143,1,2024-09-07 08:49:40:556,401523,401523,0,0,187991740780,1952369370,398811,2617,95,367,391619,0 143,2,2024-09-07 08:49:40:770,291993,291993,0,0,12378836,0,2669 143,3,2024-09-07 08:49:41:140,1,228,2,0,303,3175,228,0 144,0,2024-09-07 08:49:41:507,53361,0.8,54943,1.0,111641,0.8,147287,2.25 144,1,2024-09-07 08:49:40:578,399433,399433,0,0,186714067873,1963975619,395439,3073,921,381,391649,0 144,2,2024-09-07 08:49:41:756,286884,286884,0,0,11645870,0,3473 144,3,2024-09-07 08:49:41:744,1,228,31,0,249,2845,228,0 145,0,2024-09-07 08:49:41:377,55522,0.7,55509,0.9,117774,0.6,152121,2.50 145,1,2024-09-07 08:49:40:553,398800,398800,0,0,186826529846,1969582559,393309,4670,821,382,391698,0 145,2,2024-09-07 08:49:41:429,285913,285913,0,0,13845800,0,3903 145,3,2024-09-07 08:49:40:895,1,228,1,0,622,3944,228,0 146,0,2024-09-07 08:49:41:594,58526,0.5,58077,0.8,117086,0.5,155286,2.25 146,1,2024-09-07 08:49:41:586,399667,399667,0,0,187103206173,1978097308,391425,6396,1846,368,391770,0 146,2,2024-09-07 08:49:41:697,287884,287884,0,0,14076642,0,2730 146,3,2024-09-07 08:49:41:274,1,228,0,0,1520,5864,228,0 147,0,2024-09-07 08:49:41:702,58107,0.6,58079,0.8,115305,0.6,155216,2.25 147,1,2024-09-07 08:49:41:372,401741,401741,0,0,187754386313,1957181563,397649,3507,585,368,391791,0 147,2,2024-09-07 08:49:41:011,291130,291130,0,0,11859987,0,2789 147,3,2024-09-07 08:49:40:918,1,228,5,0,730,3849,228,0 0,0,2024-09-07 08:49:51:723,56721,0.5,56767,0.7,120424,0.5,155967,1.75 0,1,2024-09-07 08:49:50:816,402613,402613,0,0,188266985658,1972223644,399432,2979,202,370,391772,0 0,2,2024-09-07 08:49:51:067,289359,289359,0,0,12489262,0,4480 0,3,2024-09-07 08:49:50:990,1,229,1,0,319,3251,229,0 1,0,2024-09-07 08:49:51:772,58427,0.9,57871,1.0,116550,1.1,155500,2.00 1,1,2024-09-07 08:49:50:566,401911,401911,0,0,187667224089,1970491602,397418,3440,1053,371,391857,0 1,2,2024-09-07 08:49:50:650,288759,288759,0,0,11478465,0,3267 1,3,2024-09-07 08:49:51:319,1,229,30,0,262,2849,229,0 2,0,2024-09-07 08:49:51:572,55894,0.8,56288,0.9,111713,1.1,149439,2.00 2,1,2024-09-07 08:49:50:867,402802,402802,0,0,188941974481,1964790360,400699,1885,218,380,391745,0 2,2,2024-09-07 08:49:51:269,291667,291667,0,0,12165127,0,3594 2,3,2024-09-07 08:49:50:691,1,229,0,0,357,2241,229,0 3,0,2024-09-07 08:49:51:757,57469,0.5,57538,0.6,114488,0.4,152994,2.00 3,1,2024-09-07 08:49:51:622,402197,402197,0,0,187931124556,1961426700,398358,3377,462,380,391523,0 3,2,2024-09-07 08:49:51:149,288218,288195,23,0,12234613,0,5851 3,3,2024-09-07 08:49:51:758,1,229,75,0,103,1492,229,0 4,0,2024-09-07 08:49:51:767,55417,0.4,57081,0.5,115993,0.3,152314,1.75 4,1,2024-09-07 08:49:50:598,402005,402005,0,0,187943881623,1978137262,397297,3920,788,371,391846,0 4,2,2024-09-07 08:49:51:019,287005,287005,0,0,14509827,0,4528 4,3,2024-09-07 08:49:51:030,1,229,1,0,448,3699,229,0 5,0,2024-09-07 08:49:51:389,57696,0.4,58019,0.6,115312,0.4,153453,1.75 5,1,2024-09-07 08:49:50:763,401852,401852,0,0,188355207463,1984899620,396370,4370,1112,368,392005,0 5,2,2024-09-07 08:49:51:831,287681,287681,0,0,13215015,0,2432 5,3,2024-09-07 08:49:51:733,1,229,1,0,457,3746,229,0 6,0,2024-09-07 08:49:50:920,56915,0.7,56284,0.8,112483,0.7,150696,2.00 6,1,2024-09-07 08:49:50:746,402310,402310,0,0,187962722195,1968676293,397644,3822,844,379,391651,0 6,2,2024-09-07 08:49:51:116,292874,292874,0,0,13317034,0,4816 6,3,2024-09-07 08:49:51:274,1,229,1,0,340,2871,229,0 7,0,2024-09-07 08:49:51:533,55332,0.6,55416,0.7,110550,0.6,147798,2.00 7,1,2024-09-07 08:49:50:850,401867,401867,0,0,188979622204,1987244123,395972,4892,1003,382,391686,0 7,2,2024-09-07 08:49:50:779,286777,286777,0,0,13005601,0,4791 7,3,2024-09-07 08:49:50:856,1,229,1,0,398,2712,229,0 8,0,2024-09-07 08:49:51:384,57091,0.4,57208,0.5,113846,0.3,151910,1.75 8,1,2024-09-07 08:49:51:018,400515,400515,0,0,187156208825,1980578800,392459,6048,2008,367,391956,0 8,2,2024-09-07 08:49:50:807,284536,284536,0,0,15686994,0,3220 8,3,2024-09-07 08:49:50:587,1,229,1,0,357,3609,229,0 9,0,2024-09-07 08:49:51:167,58878,0.3,57113,0.5,119161,0.3,156153,1.75 9,1,2024-09-07 08:49:50:551,401349,401349,0,0,188422649806,1991861731,394869,4940,1540,370,392001,0 9,2,2024-09-07 08:49:51:087,289564,289564,0,0,13927542,0,3360 9,3,2024-09-07 08:49:51:752,1,229,1,0,496,3505,229,0 10,0,2024-09-07 08:49:51:606,57618,0.3,57067,0.5,114905,0.2,152818,1.75 10,1,2024-09-07 08:49:50:585,401798,401798,0,0,188372847285,1980095865,395439,5431,928,381,391741,0 10,2,2024-09-07 08:49:50:761,292177,292177,0,0,15448237,0,4264 10,3,2024-09-07 08:49:50:874,1,229,1,0,177,2017,229,0 11,0,2024-09-07 08:49:51:010,54428,0.5,52910,0.7,110694,0.5,147362,2.00 11,1,2024-09-07 08:49:50:574,402012,402012,0,0,187601341739,1980064296,393827,6204,1981,383,391537,0 11,2,2024-09-07 08:49:51:127,287182,287182,0,0,14406043,0,4130 11,3,2024-09-07 08:49:51:303,1,229,1,0,843,3954,229,0 12,0,2024-09-07 08:49:51:022,59143,0.4,58980,0.5,117908,0.3,156433,1.75 12,1,2024-09-07 08:49:50:945,402468,402468,0,0,187530541469,1959109730,398963,3084,421,370,391870,0 12,2,2024-09-07 08:49:51:549,289024,289024,0,0,13442333,0,3469 12,3,2024-09-07 08:49:51:061,1,229,1,0,386,4071,229,0 13,0,2024-09-07 08:49:51:334,59427,0.3,59296,0.5,118253,0.3,157380,1.50 13,1,2024-09-07 08:49:51:671,402098,402098,0,0,188712515299,1983460492,398559,3000,539,382,391717,0 13,2,2024-09-07 08:49:50:595,290434,290434,0,0,12598321,0,3287 13,3,2024-09-07 08:49:51:765,1,229,5,0,467,3794,229,0 14,0,2024-09-07 08:49:50:566,59126,0.4,59588,0.6,118017,0.3,157199,1.75 14,1,2024-09-07 08:49:51:561,404475,404475,0,0,188660655777,1956302191,401647,2639,189,364,391571,0 14,2,2024-09-07 08:49:50:764,290072,290072,0,0,12652833,0,2896 14,3,2024-09-07 08:49:51:115,1,229,1,0,1168,3403,229,0 15,0,2024-09-07 08:49:51:568,54933,0.6,55304,0.8,110431,0.6,147214,2.00 15,1,2024-09-07 08:49:51:608,402924,402924,0,0,188654362853,1964034966,400405,2341,178,381,391619,0 15,2,2024-09-07 08:49:51:012,290395,290395,0,0,10728073,0,3043 15,3,2024-09-07 08:49:51:409,1,229,327,0,1126,5185,229,0 16,0,2024-09-07 08:49:50:954,58979,0.8,59201,0.9,117699,0.9,157463,2.25 16,1,2024-09-07 08:49:50:575,403010,403010,0,0,188759697326,1975901170,399460,3252,298,370,391756,0 16,2,2024-09-07 08:49:51:454,287953,287953,0,0,13166352,0,4719 16,3,2024-09-07 08:49:51:142,1,229,1,0,317,3240,229,0 17,0,2024-09-07 08:49:51:844,59816,0.5,58294,0.7,114241,0.5,155363,2.00 17,1,2024-09-07 08:49:50:568,401514,401514,0,0,188076604064,1978640079,396684,3728,1102,368,391688,0 17,2,2024-09-07 08:49:51:667,291395,291395,0,0,12286171,0,2857 17,3,2024-09-07 08:49:50:587,1,229,10,0,268,3820,229,0 18,0,2024-09-07 08:49:50:943,56984,0.7,57122,0.8,114228,0.8,152484,2.25 18,1,2024-09-07 08:49:51:643,402996,402996,0,0,189233775951,1963800620,400493,2263,240,367,391649,0 18,2,2024-09-07 08:49:51:754,289359,289359,0,0,11955421,0,3541 18,3,2024-09-07 08:49:50:903,1,229,0,0,163,2448,229,0 19,0,2024-09-07 08:49:51:561,55398,0.8,55978,0.9,110609,0.8,147725,2.25 19,1,2024-09-07 08:49:50:566,402812,402812,0,0,189453657387,1972366293,398183,3844,785,367,391777,0 19,2,2024-09-07 08:49:51:752,293939,293939,0,0,11166914,0,3988 19,3,2024-09-07 08:49:51:132,1,229,1,0,524,1807,229,0 20,0,2024-09-07 08:49:51:431,56294,0.8,56224,0.8,112708,0.9,150706,2.25 20,1,2024-09-07 08:49:50:575,401902,401902,0,0,188433313713,1974552611,398097,3409,396,369,391886,0 20,2,2024-09-07 08:49:50:931,287969,287969,0,0,12638474,0,3721 20,3,2024-09-07 08:49:50:594,1,229,14,0,414,4494,229,0 21,0,2024-09-07 08:49:51:131,56799,0.5,57088,0.7,113851,0.5,150993,2.00 21,1,2024-09-07 08:49:51:540,400967,400967,0,0,187184577403,1976084417,395251,4459,1257,368,391962,0 21,2,2024-09-07 08:49:51:069,287191,287191,0,0,14159365,0,3747 21,3,2024-09-07 08:49:51:420,1,229,9,0,103,2520,229,0 22,0,2024-09-07 08:49:51:725,58001,0.6,57994,0.8,115682,0.6,153967,2.25 22,1,2024-09-07 08:49:51:030,401314,401314,0,0,187464723239,1979347534,394128,5544,1642,382,391667,0 22,2,2024-09-07 08:49:50:766,289381,289381,0,0,12668984,0,3134 22,3,2024-09-07 08:49:51:066,1,229,0,0,228,2265,229,0 23,0,2024-09-07 08:49:51:370,56033,0.7,55950,0.8,112315,0.7,149915,2.25 23,1,2024-09-07 08:49:51:011,402118,402118,0,0,188871603506,1988327806,394827,4740,2551,365,391550,0 23,2,2024-09-07 08:49:51:092,293468,293468,0,0,12390265,0,3010 23,3,2024-09-07 08:49:51:753,1,229,1,0,645,3093,229,0 24,0,2024-09-07 08:49:50:840,56008,0.5,55936,0.6,112137,0.5,149568,1.75 24,1,2024-09-07 08:49:50:592,401849,401849,0,0,188028314597,1978246132,396246,4124,1479,369,391987,0 24,2,2024-09-07 08:49:51:075,286255,286255,0,0,14856087,0,3607 24,3,2024-09-07 08:49:51:686,1,229,1,0,468,3601,229,0 25,0,2024-09-07 08:49:51:347,59187,0.5,57823,0.7,113194,0.5,154409,2.00 25,1,2024-09-07 08:49:50:563,402388,402388,0,0,188304537463,1975456896,398107,3728,553,371,391788,0 25,2,2024-09-07 08:49:51:612,286577,286577,0,0,15215236,0,3978 25,3,2024-09-07 08:49:51:013,1,229,0,0,255,2628,229,0 26,0,2024-09-07 08:49:51:722,58437,0.4,57147,0.6,119828,0.3,155926,1.75 26,1,2024-09-07 08:49:51:543,401958,401958,0,0,187762155299,1975940807,394995,5747,1216,381,391748,0 26,2,2024-09-07 08:49:50:861,289920,289920,0,0,14984419,0,2809 26,3,2024-09-07 08:49:51:715,1,229,0,0,796,3207,229,0 27,0,2024-09-07 08:49:51:731,58751,0.4,58584,0.6,116108,0.4,154925,2.00 27,1,2024-09-07 08:49:51:676,403930,403930,0,0,189514059302,1975292173,400484,2854,592,381,391558,0 27,2,2024-09-07 08:49:50:870,289624,289559,65,0,15201817,0,5699 27,3,2024-09-07 08:49:51:019,1,229,3,0,564,2597,229,0 28,0,2024-09-07 08:49:51:392,55153,0.6,55052,0.7,110440,0.6,147765,2.25 28,1,2024-09-07 08:49:50:797,403466,403466,0,0,189202516533,1972513082,400631,2365,470,383,391646,0 28,2,2024-09-07 08:49:51:773,288702,288702,0,0,11846310,0,2915 28,3,2024-09-07 08:49:51:780,1,229,2,0,502,2522,229,0 29,0,2024-09-07 08:49:51:372,60533,0.4,59229,0.7,115664,0.4,157898,1.75 29,1,2024-09-07 08:49:51:561,403937,403937,0,0,188985061286,1960016958,400716,2656,565,369,391621,0 29,2,2024-09-07 08:49:50:861,288162,288162,0,0,11358408,0,4018 29,3,2024-09-07 08:49:50:963,1,229,1,0,115,1714,229,0 30,0,2024-09-07 08:49:51:466,58274,0.5,56640,0.7,118567,0.5,155381,2.00 30,1,2024-09-07 08:49:50:574,404056,404056,0,0,189472627887,1965947493,401729,2034,293,382,391672,0 30,2,2024-09-07 08:49:51:274,289748,289748,0,0,11220661,0,3161 30,3,2024-09-07 08:49:50:585,1,229,1,0,195,1848,229,0 31,0,2024-09-07 08:49:51:765,57897,0.4,58157,0.6,116704,0.4,155399,2.00 31,1,2024-09-07 08:49:50:564,404990,404990,0,0,189693415404,1946264336,403602,1169,219,356,391712,0 31,2,2024-09-07 08:49:51:275,288608,288608,0,0,12803908,0,3525 31,3,2024-09-07 08:49:51:715,1,229,10,0,220,1893,229,0 32,0,2024-09-07 08:49:51:421,55897,0.4,56317,0.6,112738,0.3,150004,1.75 32,1,2024-09-07 08:49:50:808,404036,404036,0,0,189099742015,1963934644,402114,1643,279,381,391614,0 32,2,2024-09-07 08:49:50:935,292044,292044,0,0,10977455,0,3155 32,3,2024-09-07 08:49:51:017,1,229,1,0,227,1772,229,0 33,0,2024-09-07 08:49:51:510,57992,0.3,57239,0.5,115157,0.2,153897,1.75 33,1,2024-09-07 08:49:50:576,404165,404165,0,0,189339948468,1958784848,401587,2404,174,369,391730,0 33,2,2024-09-07 08:49:50:760,289196,289163,33,0,12733639,0,7012 33,3,2024-09-07 08:49:50:904,1,229,1,0,329,2648,229,0 34,0,2024-09-07 08:49:50:938,57133,0.3,58814,0.5,112937,0.3,151632,1.75 34,1,2024-09-07 08:49:51:046,404821,404821,0,0,190093707939,1956710975,403745,1069,7,367,391562,0 34,2,2024-09-07 08:49:50:783,288261,288261,0,0,11935451,0,3577 34,3,2024-09-07 08:49:51:689,1,229,1,0,299,1923,229,0 35,0,2024-09-07 08:49:50:860,57248,0.4,57600,0.5,115721,0.3,153754,1.75 35,1,2024-09-07 08:49:51:069,403112,403112,0,0,188997427521,1963043270,399772,2560,780,384,391589,0 35,2,2024-09-07 08:49:51:593,289702,289702,0,0,11373112,0,2653 35,3,2024-09-07 08:49:50:913,1,229,2,0,418,2772,229,0 36,0,2024-09-07 08:49:51:523,56499,0.7,56443,0.8,113117,0.7,150290,2.50 36,1,2024-09-07 08:49:50:585,402620,402620,0,0,188661336414,1977971220,396659,4383,1578,366,391759,0 36,2,2024-09-07 08:49:51:750,292604,292604,0,0,13622132,0,3875 36,3,2024-09-07 08:49:50:864,1,229,4,0,416,3906,229,0 37,0,2024-09-07 08:49:51:397,55198,0.6,55074,0.8,110490,0.6,148611,2.00 37,1,2024-09-07 08:49:50:570,401865,401858,0,7,187555336376,1971004306,395853,3939,2066,365,391560,0 37,2,2024-09-07 08:49:51:160,286914,286899,15,0,13686484,0,5815 37,3,2024-09-07 08:49:51:771,1,229,1,0,888,4299,229,0 38,0,2024-09-07 08:49:51:459,56547,0.5,54906,0.7,114909,0.5,150139,2.00 38,1,2024-09-07 08:49:51:620,403310,403310,0,0,188637863449,1973962372,398044,4591,675,368,391821,0 38,2,2024-09-07 08:49:50:760,287602,287555,47,0,14188506,0,6710 38,3,2024-09-07 08:49:51:010,1,229,1,0,689,3543,229,0 39,0,2024-09-07 08:49:51:767,59892,0.6,58796,0.7,114277,0.6,156139,2.00 39,1,2024-09-07 08:49:50:716,403011,403011,0,0,188160093307,1968226154,397486,4624,901,365,391594,0 39,2,2024-09-07 08:49:51:424,289618,289618,0,0,12336136,0,2689 39,3,2024-09-07 08:49:50:719,1,229,1,0,324,3381,229,0 40,0,2024-09-07 08:49:51:507,56855,0.8,57369,1.0,114681,0.8,152363,3.00 40,1,2024-09-07 08:49:50:576,402501,402501,0,0,187747200822,1973929807,395502,5556,1443,368,391591,0 40,2,2024-09-07 08:49:51:304,291590,291589,1,0,15507681,0,5137 40,3,2024-09-07 08:49:51:143,1,229,0,0,398,2986,229,0 41,0,2024-09-07 08:49:51:025,54291,2.4,55470,1.6,105786,3.6,145585,4.25 41,1,2024-09-07 08:49:50:771,402172,402172,0,0,188853425513,1979782654,396643,4895,634,370,391742,0 41,2,2024-09-07 08:49:50:764,286213,286213,0,0,14655976,0,3356 41,3,2024-09-07 08:49:51:676,1,229,1,0,366,2716,229,0 42,0,2024-09-07 08:49:51:481,57862,1.0,58172,1.1,116244,1.1,153178,2.75 42,1,2024-09-07 08:49:51:451,401157,401157,0,0,188118643682,1983384943,394000,5705,1452,380,391675,0 42,2,2024-09-07 08:49:51:135,288252,288252,0,0,13932026,0,3568 42,3,2024-09-07 08:49:51:011,1,229,0,0,446,2032,229,0 43,0,2024-09-07 08:49:50:922,58758,0.7,57211,0.9,119527,0.7,157033,2.25 43,1,2024-09-07 08:49:50:590,401815,401815,0,0,188065961885,1971129215,395557,4929,1329,366,391696,0 43,2,2024-09-07 08:49:51:735,290253,290253,0,0,13433273,0,3812 43,3,2024-09-07 08:49:51:748,1,229,1,0,467,3006,229,0 44,0,2024-09-07 08:49:50:866,58918,0.5,59234,0.7,118053,0.4,157364,1.75 44,1,2024-09-07 08:49:50:569,403873,403873,0,0,188598791142,1950066135,401319,2123,431,356,391809,0 44,2,2024-09-07 08:49:51:269,289529,289529,0,0,11257311,0,2231 44,3,2024-09-07 08:49:51:094,1,229,64,0,817,2897,229,0 45,0,2024-09-07 08:49:51:777,54197,0.9,53015,1.0,111344,0.9,147217,2.25 45,1,2024-09-07 08:49:51:009,403357,403357,0,0,189859654641,1969225697,401708,1637,12,382,391917,0 45,2,2024-09-07 08:49:51:268,290582,290582,0,0,11956639,0,3596 45,3,2024-09-07 08:49:50:941,1,229,1,0,271,2628,229,0 46,0,2024-09-07 08:49:50:960,58559,0.6,58487,0.8,117205,0.7,155195,2.25 46,1,2024-09-07 08:49:50:586,404618,404618,0,0,189223840787,1956136574,402272,2072,274,366,391539,0 46,2,2024-09-07 08:49:50:594,289009,289009,0,0,11523233,0,2920 46,3,2024-09-07 08:49:51:131,1,229,2,0,908,3654,229,0 47,0,2024-09-07 08:49:51:112,58251,0.5,58200,0.6,116972,0.4,154837,1.75 47,1,2024-09-07 08:49:50:567,404411,404411,0,0,189009521825,1953477662,402089,2188,134,366,391605,0 47,2,2024-09-07 08:49:50:924,290494,290494,0,0,11581793,0,2558 47,3,2024-09-07 08:49:51:115,1,229,1,0,529,2222,229,0 48,0,2024-09-07 08:49:51:489,58033,0.3,57649,0.4,114866,0.2,153446,1.50 48,1,2024-09-07 08:49:51:022,404122,404122,0,0,188995978160,1965429756,401075,2748,299,384,391710,0 48,2,2024-09-07 08:49:50:702,289175,289175,0,0,11044974,0,3031 48,3,2024-09-07 08:49:50:763,1,229,10,0,339,2063,229,0 49,0,2024-09-07 08:49:51:732,57401,0.4,56347,0.6,109251,0.4,149820,1.75 49,1,2024-09-07 08:49:51:021,402204,402204,0,0,189186192227,1974363301,398413,2610,1181,382,391610,0 49,2,2024-09-07 08:49:51:797,293748,293748,0,0,11732109,0,3900 49,3,2024-09-07 08:49:51:423,1,229,1,0,408,2706,229,0 50,0,2024-09-07 08:49:51:509,56517,0.3,55986,0.5,112515,0.2,150598,1.75 50,1,2024-09-07 08:49:51:015,404718,404718,0,0,189404967413,1961231424,402013,2395,310,368,391530,0 50,2,2024-09-07 08:49:51:076,288439,288439,0,0,10952532,0,2263 50,3,2024-09-07 08:49:51:291,1,229,1,0,335,2015,229,0 51,0,2024-09-07 08:49:51:690,58084,0.3,56884,0.5,110782,0.2,151350,1.75 51,1,2024-09-07 08:49:51:681,404042,404042,0,0,190001675935,1964132054,401247,1856,939,365,391706,0 51,2,2024-09-07 08:49:51:316,287728,287728,0,0,10247892,0,3337 51,3,2024-09-07 08:49:51:029,1,229,1,0,678,1976,229,0 52,0,2024-09-07 08:49:51:413,58752,0.5,58364,0.7,116538,0.4,154694,2.00 52,1,2024-09-07 08:49:50:577,402334,402334,0,0,187838047133,1975593282,395200,5881,1253,368,391722,0 52,2,2024-09-07 08:49:51:755,287785,287747,38,0,14418426,0,6742 52,3,2024-09-07 08:49:50:674,1,229,1,0,1782,4175,229,0 53,0,2024-09-07 08:49:51:737,55829,0.8,54339,0.9,113575,0.9,149016,2.50 53,1,2024-09-07 08:49:50:783,401391,401391,0,0,188260631654,1983173640,394068,4967,2356,367,391702,0 53,2,2024-09-07 08:49:51:300,293094,293094,0,0,12080280,0,2727 53,3,2024-09-07 08:49:50:701,1,229,1,0,271,2167,229,0 54,0,2024-09-07 08:49:51:620,54615,1.1,55062,1.0,109040,0.7,146886,3.00 54,1,2024-09-07 08:49:50:585,402803,402803,0,0,189343315914,1973956739,398069,4137,597,367,391659,0 54,2,2024-09-07 08:49:50:865,287060,287054,6,0,13246955,0,5382 54,3,2024-09-07 08:49:50:763,1,229,2,0,676,3641,229,0 55,0,2024-09-07 08:49:51:772,55569,0.7,57625,0.9,115869,0.6,150919,2.50 55,1,2024-09-07 08:49:50:771,402056,402056,0,0,188226452893,1964739929,396507,4762,787,365,391731,0 55,2,2024-09-07 08:49:50:729,287147,287147,0,0,13387598,0,3275 55,3,2024-09-07 08:49:50:680,1,229,1,0,304,2754,229,0 56,0,2024-09-07 08:49:51:581,60005,1.1,56627,1.1,116520,1.5,155809,2.50 56,1,2024-09-07 08:49:50:579,400566,400566,0,0,187871830674,1992585905,393433,5695,1438,381,391678,0 56,2,2024-09-07 08:49:51:331,290172,290172,0,0,14658885,0,3567 56,3,2024-09-07 08:49:51:059,1,229,1,0,705,3487,229,0 57,0,2024-09-07 08:49:50:940,57517,1.7,57658,1.3,115312,2.3,153922,3.25 57,1,2024-09-07 08:49:51:009,402044,402044,0,0,188034168960,1975716397,396727,4647,670,367,391960,0 57,2,2024-09-07 08:49:51:326,291575,291575,0,0,15633862,0,3317 57,3,2024-09-07 08:49:51:737,1,229,1,0,359,3328,229,0 58,0,2024-09-07 08:49:50:566,53824,1.1,52491,1.1,109757,1.4,144311,2.75 58,1,2024-09-07 08:49:50:575,402312,402309,0,3,188895736591,1978946280,396582,5017,710,367,391545,3 58,2,2024-09-07 08:49:51:077,288727,288727,0,0,14052354,0,2549 58,3,2024-09-07 08:49:51:076,1,229,1,0,1043,2794,229,0 59,0,2024-09-07 08:49:51:743,58504,0.9,58439,1.1,116651,1.0,154764,3.00 59,1,2024-09-07 08:49:50:815,402202,402202,0,0,188551760693,1978626590,396415,4522,1265,369,391525,0 59,2,2024-09-07 08:49:50:588,289834,289834,0,0,12756242,0,2604 59,3,2024-09-07 08:49:51:736,1,229,4,0,1015,3462,229,0 60,0,2024-09-07 08:49:51:737,58641,0.4,58406,0.6,117541,0.4,155989,1.75 60,1,2024-09-07 08:49:50:783,404119,404119,0,0,189127030043,1964051535,401686,1916,517,370,392031,0 60,2,2024-09-07 08:49:51:142,289468,289468,0,0,13858523,0,3811 60,3,2024-09-07 08:49:51:266,1,229,6,0,124,2288,229,0 61,0,2024-09-07 08:49:51:520,58153,0.7,58417,0.8,116349,0.8,155532,2.00 61,1,2024-09-07 08:49:50:780,402669,402669,0,0,188367028721,1974724809,398102,3864,703,382,391880,0 61,2,2024-09-07 08:49:51:116,289926,289926,0,0,12204484,0,2780 61,3,2024-09-07 08:49:51:689,1,229,0,0,397,3272,229,0 62,0,2024-09-07 08:49:51:741,56615,0.8,57645,0.9,109783,0.9,149869,2.00 62,1,2024-09-07 08:49:51:111,405092,405086,0,6,189360636336,1955964544,402630,2339,117,365,391715,6 62,2,2024-09-07 08:49:51:652,290531,290530,1,0,13593175,0,5555 62,3,2024-09-07 08:49:51:149,1,229,1,0,287,1680,229,0 63,0,2024-09-07 08:49:51:454,57426,0.5,57587,0.6,115170,0.4,153538,1.75 63,1,2024-09-07 08:49:50:815,404613,404607,0,6,189191481744,1961849553,403035,1522,50,381,391677,6 63,2,2024-09-07 08:49:50:767,288485,288485,0,0,11265998,0,2674 63,3,2024-09-07 08:49:51:732,1,229,13,0,667,2818,229,0 64,0,2024-09-07 08:49:51:539,56676,0.5,56751,0.6,113651,0.4,151525,1.75 64,1,2024-09-07 08:49:50:760,403177,403177,0,0,188826641724,1973346113,398701,3062,1414,370,391783,0 64,2,2024-09-07 08:49:51:142,290740,290721,19,0,12033620,0,6121 64,3,2024-09-07 08:49:51:141,1,229,1,0,265,2448,229,0 65,0,2024-09-07 08:49:51:681,57118,0.6,57534,0.7,114865,0.6,152726,2.00 65,1,2024-09-07 08:49:50:860,401970,401970,0,0,188022248436,1970127571,398426,3101,443,382,391901,0 65,2,2024-09-07 08:49:51:694,289385,289385,0,0,12686013,0,3367 65,3,2024-09-07 08:49:51:688,1,229,5,0,163,2234,229,0 66,0,2024-09-07 08:49:51:767,56123,0.5,56082,0.8,112600,0.5,149620,2.00 66,1,2024-09-07 08:49:51:297,403908,403908,0,0,189286062447,1968763332,401350,2358,200,380,391588,0 66,2,2024-09-07 08:49:51:134,295043,295043,0,0,12570425,0,4956 66,3,2024-09-07 08:49:51:080,1,229,7,0,291,2575,229,0 67,0,2024-09-07 08:49:51:414,55855,0.7,55517,0.8,111407,0.8,148702,2.25 67,1,2024-09-07 08:49:50:766,402866,402865,0,1,188244751568,1966935836,399028,3090,747,380,391787,1 67,2,2024-09-07 08:49:50:585,288782,288782,0,0,11429356,0,2889 67,3,2024-09-07 08:49:51:750,1,229,1,0,338,2149,229,0 68,0,2024-09-07 08:49:50:568,57140,0.6,57003,0.8,113428,0.7,151766,2.00 68,1,2024-09-07 08:49:50:586,402139,402139,0,0,187985705672,1974096241,398170,2831,1138,381,391953,0 68,2,2024-09-07 08:49:51:048,286960,286895,65,0,15271311,0,6698 68,3,2024-09-07 08:49:50:737,1,229,1,0,417,2752,229,0 69,0,2024-09-07 08:49:51:746,58384,0.7,58670,0.8,116779,0.8,155347,2.25 69,1,2024-09-07 08:49:51:020,400751,400751,0,0,187607240796,1984784766,395053,4297,1401,384,391994,0 69,2,2024-09-07 08:49:51:734,289756,289756,0,0,14815964,0,3722 69,3,2024-09-07 08:49:50:770,1,229,1,0,698,3535,229,0 70,0,2024-09-07 08:49:51:533,57004,1.1,56934,1.1,114358,0.8,151972,2.50 70,1,2024-09-07 08:49:50:814,402833,402833,0,0,188816513094,1964124995,399178,3127,528,366,391725,0 70,2,2024-09-07 08:49:51:331,292051,292051,0,0,13908701,0,4044 70,3,2024-09-07 08:49:50:744,1,229,1,0,854,2817,229,0 71,0,2024-09-07 08:49:51:372,54307,1.6,54224,1.3,108518,2.3,146253,3.25 71,1,2024-09-07 08:49:51:602,402448,402448,0,0,189410257736,1979493052,397329,4498,621,368,391738,0 71,2,2024-09-07 08:49:51:067,288057,288057,0,0,13807355,0,4042 71,3,2024-09-07 08:49:51:754,1,229,1,0,644,3562,229,0 72,0,2024-09-07 08:49:51:039,60534,0.9,59168,0.9,115457,1.1,156875,2.25 72,1,2024-09-07 08:49:51:035,402427,402427,0,0,187788404194,1965589646,397377,4119,931,369,391819,0 72,2,2024-09-07 08:49:51:754,287242,287242,0,0,15648829,0,3983 72,3,2024-09-07 08:49:51:760,1,229,8,0,564,4360,229,0 73,0,2024-09-07 08:49:51:113,57655,0.4,58918,0.6,120904,0.4,156755,2.00 73,1,2024-09-07 08:49:50:783,402873,402873,0,0,188041825115,1958271887,399795,2846,232,367,391750,0 73,2,2024-09-07 08:49:51:739,290260,290260,0,0,15062900,0,3701 73,3,2024-09-07 08:49:50:976,1,229,9,0,274,3591,229,0 74,0,2024-09-07 08:49:51:323,59521,0.5,60752,0.7,115905,0.5,157246,2.25 74,1,2024-09-07 08:49:50:635,402607,402607,0,0,187938875597,1966953101,398210,3598,799,381,391681,0 74,2,2024-09-07 08:49:51:012,290419,290419,0,0,14512812,0,4253 74,3,2024-09-07 08:49:51:447,1,229,1,0,522,3305,229,0 75,0,2024-09-07 08:49:51:767,55321,0.7,54998,0.9,110406,0.7,148125,2.50 75,1,2024-09-07 08:49:51:586,402051,402051,0,0,188182477351,1972051329,397146,4242,663,380,391660,0 75,2,2024-09-07 08:49:51:363,289327,289327,0,0,14988912,0,4766 75,3,2024-09-07 08:49:51:076,1,229,4,0,702,3488,229,0 76,0,2024-09-07 08:49:50:596,58562,0.8,58065,0.9,116165,0.8,156049,2.50 76,1,2024-09-07 08:49:50:816,402980,402980,0,0,187988824166,1964578107,399922,2510,548,382,391692,0 76,2,2024-09-07 08:49:51:063,289725,289725,0,0,12130035,0,3784 76,3,2024-09-07 08:49:51:151,1,229,87,0,175,2512,229,0 77,0,2024-09-07 08:49:51:719,58061,0.5,58312,0.7,116254,0.5,154631,2.00 77,1,2024-09-07 08:49:50:832,403093,403093,0,0,188799460970,1974577522,399652,3185,256,383,391808,0 77,2,2024-09-07 08:49:51:282,288426,288426,0,0,12737991,0,3890 77,3,2024-09-07 08:49:51:094,1,229,1,0,382,2765,229,0 78,0,2024-09-07 08:49:51:725,57831,0.4,57153,0.7,115526,0.4,152734,2.00 78,1,2024-09-07 08:49:50:620,403246,403246,0,0,188413692094,1958589232,400252,2676,318,367,391670,0 78,2,2024-09-07 08:49:51:418,289154,289154,0,0,11398635,0,3855 78,3,2024-09-07 08:49:51:134,1,229,1,0,181,2370,229,0 79,0,2024-09-07 08:49:51:368,54133,0.5,55506,0.7,113579,0.4,147582,2.50 79,1,2024-09-07 08:49:50:577,404344,404344,0,0,189330067680,1961808934,401504,2504,336,369,391682,0 79,2,2024-09-07 08:49:51:069,293617,293617,0,0,11629863,0,3212 79,3,2024-09-07 08:49:50:755,1,229,0,0,418,3609,229,0 80,0,2024-09-07 08:49:51:075,56352,0.6,57925,0.7,110933,0.6,150384,2.00 80,1,2024-09-07 08:49:51:621,402577,402577,0,0,189012223494,1970005989,399615,2794,168,368,391791,0 80,2,2024-09-07 08:49:51:092,289090,289090,0,0,11785836,0,4433 80,3,2024-09-07 08:49:50:577,1,229,1,0,190,3241,229,0 81,0,2024-09-07 08:49:51:539,56601,0.5,57911,0.7,111193,0.5,150431,2.00 81,1,2024-09-07 08:49:51:654,402038,402038,0,0,187515116492,1963701945,398202,3375,461,382,391879,0 81,2,2024-09-07 08:49:51:131,287557,287557,0,0,12164459,0,3993 81,3,2024-09-07 08:49:51:116,1,229,1,0,374,2685,229,0 82,0,2024-09-07 08:49:51:534,57741,0.4,58239,0.6,116608,0.4,154725,2.00 82,1,2024-09-07 08:49:50:585,403450,403446,0,4,189173046613,1973159031,400225,2610,611,381,391558,4 82,2,2024-09-07 08:49:51:691,290238,290238,0,0,11573635,0,3986 82,3,2024-09-07 08:49:51:752,1,229,1,0,363,2767,229,0 83,0,2024-09-07 08:49:51:532,56309,0.6,56378,0.7,111912,0.6,149396,2.00 83,1,2024-09-07 08:49:50:551,402651,402651,0,0,188556374480,1969130281,399485,2923,243,382,391690,0 83,2,2024-09-07 08:49:50:771,292765,292765,0,0,11548555,0,3119 83,3,2024-09-07 08:49:50:749,1,229,76,0,250,2557,229,0 84,0,2024-09-07 08:49:51:800,55127,0.9,55183,1.0,110197,0.8,148350,2.50 84,1,2024-09-07 08:49:51:039,401697,401697,0,0,188475950971,1974970886,396967,4155,575,368,391967,0 84,2,2024-09-07 08:49:50:579,287496,287496,0,0,13451579,0,3801 84,3,2024-09-07 08:49:51:152,1,229,1,0,908,3836,229,0 85,0,2024-09-07 08:49:51:009,55739,0.8,55655,1.0,118071,0.9,152402,2.50 85,1,2024-09-07 08:49:50:568,401228,401228,0,0,187433966137,1985646960,394404,5718,1106,382,392006,0 85,2,2024-09-07 08:49:50:864,289070,289070,0,0,14176585,0,3656 85,3,2024-09-07 08:49:50:686,1,229,1,0,789,3123,229,0 86,0,2024-09-07 08:49:50:881,58786,0.6,60340,0.8,115631,0.6,155803,2.00 86,1,2024-09-07 08:49:50:826,402986,402986,0,0,188667362794,1976716348,398015,4248,723,366,391961,0 86,2,2024-09-07 08:49:50:863,289298,289297,1,0,15023234,0,5004 86,3,2024-09-07 08:49:50:589,1,229,21,0,286,3165,229,0 87,0,2024-09-07 08:49:51:345,58284,1.0,57925,1.0,116496,1.4,155389,2.25 87,1,2024-09-07 08:49:50:557,402641,402641,0,0,188170103955,1972007812,398183,3978,480,366,391788,0 87,2,2024-09-07 08:49:51:068,290120,290120,0,0,13510706,0,4045 87,3,2024-09-07 08:49:51:794,1,229,1,0,473,3732,229,0 88,0,2024-09-07 08:49:51:458,55028,0.5,55386,0.7,110605,0.6,147342,2.00 88,1,2024-09-07 08:49:50:569,400673,400673,0,0,187427626927,1972219764,394508,4653,1512,365,392084,0 88,2,2024-09-07 08:49:50:715,288455,288455,0,0,15431218,0,3583 88,3,2024-09-07 08:49:51:267,1,229,4,0,435,2995,229,0 89,0,2024-09-07 08:49:51:783,60715,0.6,58595,0.8,116485,0.5,158012,2.00 89,1,2024-09-07 08:49:50:554,400519,400519,0,0,188776275482,1996763687,393620,5679,1220,382,391866,0 89,2,2024-09-07 08:49:51:135,287862,287862,0,0,14716367,0,2910 89,3,2024-09-07 08:49:51:791,1,229,1,0,325,4679,229,0 90,0,2024-09-07 08:49:51:626,56921,0.5,58154,0.6,119114,0.4,155115,1.75 90,1,2024-09-07 08:49:50:590,402598,402598,0,0,188014538807,1974140827,398493,3828,277,381,391825,0 90,2,2024-09-07 08:49:51:420,288101,288101,0,0,15815922,0,3060 90,3,2024-09-07 08:49:50:932,1,229,1,0,200,2515,229,0 91,0,2024-09-07 08:49:50:938,58568,0.4,56622,0.6,118241,0.4,155660,1.75 91,1,2024-09-07 08:49:50:565,401718,401718,0,0,188366264052,1985377412,395861,5166,691,381,392047,0 91,2,2024-09-07 08:49:51:335,288845,288845,0,0,14297561,0,2896 91,3,2024-09-07 08:49:50:603,1,229,0,0,216,2326,229,0 92,0,2024-09-07 08:49:51:458,56574,0.6,57985,0.7,110842,0.6,150145,1.75 92,1,2024-09-07 08:49:50:589,402262,402262,0,0,188768258758,1971160437,399713,2143,406,382,392136,0 92,2,2024-09-07 08:49:51:351,293007,293007,0,0,11983128,0,2801 92,3,2024-09-07 08:49:51:014,1,229,3,0,167,1915,229,0 93,0,2024-09-07 08:49:50:985,57753,0.4,59210,0.6,113093,0.4,154029,1.75 93,1,2024-09-07 08:49:50:810,402920,402920,0,0,187874255634,1965280547,398455,3679,786,366,391692,0 93,2,2024-09-07 08:49:50:937,289053,289053,0,0,12642584,0,2797 93,3,2024-09-07 08:49:51:417,1,229,1,0,190,2430,229,0 94,0,2024-09-07 08:49:51:653,56965,0.4,57688,0.5,114807,0.3,152309,1.75 94,1,2024-09-07 08:49:50:565,402518,402518,0,0,188883901378,1976174889,399246,3096,176,381,391850,0 94,2,2024-09-07 08:49:50:761,287873,287873,0,0,11716630,0,2443 94,3,2024-09-07 08:49:51:692,1,229,30,0,264,3174,229,0 95,0,2024-09-07 08:49:51:404,57912,0.3,57450,0.5,116183,0.3,153627,1.75 95,1,2024-09-07 08:49:50:861,403280,403280,0,0,188941270497,1967541619,399722,3182,376,367,391662,0 95,2,2024-09-07 08:49:51:017,288901,288901,0,0,12144245,0,3308 95,3,2024-09-07 08:49:51:712,1,229,2,0,718,4419,229,0 96,0,2024-09-07 08:49:51:116,56642,0.4,56796,0.6,113319,0.4,150152,1.75 96,1,2024-09-07 08:49:51:583,401986,401986,0,0,188511380750,1971718384,398421,2836,729,384,391894,0 96,2,2024-09-07 08:49:51:268,293227,293227,0,0,12743693,0,4042 96,3,2024-09-07 08:49:51:150,1,229,6,0,411,2735,229,0 97,0,2024-09-07 08:49:51:328,55750,0.4,55548,0.5,111580,0.3,148624,1.75 97,1,2024-09-07 08:49:50:797,403321,403321,0,0,189264343149,1965803596,400296,2470,555,367,392140,0 97,2,2024-09-07 08:49:50:609,287972,287972,0,0,11712434,0,3036 97,3,2024-09-07 08:49:50:576,1,229,1,0,214,2846,229,0 98,0,2024-09-07 08:49:51:729,57039,0.3,57122,0.5,114719,0.2,151972,1.50 98,1,2024-09-07 08:49:50:574,403448,403448,0,0,189119254783,1970816251,401319,2032,97,382,391997,0 98,2,2024-09-07 08:49:50:785,288569,288569,0,0,11931827,0,3080 98,3,2024-09-07 08:49:50:701,1,229,5,0,840,4466,229,0 99,0,2024-09-07 08:49:51:471,58696,0.3,58849,0.4,117446,0.3,156429,1.50 99,1,2024-09-07 08:49:51:731,403309,403309,0,0,187374332033,1957240364,399530,3040,739,381,391744,0 99,2,2024-09-07 08:49:51:425,292715,292715,0,0,12582858,0,3106 99,3,2024-09-07 08:49:50:584,1,229,2,0,168,1870,229,0 100,0,2024-09-07 08:49:51:480,57308,0.8,57285,1.0,114393,1.0,152507,2.25 100,1,2024-09-07 08:49:50:555,400396,400396,0,0,186812848075,1981130864,393565,5514,1317,381,391989,0 100,2,2024-09-07 08:49:51:817,290582,290571,11,0,14269464,0,5417 100,3,2024-09-07 08:49:51:732,1,229,5,0,559,4736,229,0 101,0,2024-09-07 08:49:51:760,55913,2.3,54512,1.4,106796,2.9,147707,2.75 101,1,2024-09-07 08:49:50:551,401006,401006,0,0,187606510227,1983278663,393665,5570,1771,368,391769,0 101,2,2024-09-07 08:49:51:756,286750,286750,0,0,15364353,0,4644 101,3,2024-09-07 08:49:50:944,1,229,6,0,448,3025,229,0 102,0,2024-09-07 08:49:50:948,57225,0.8,59387,0.9,119212,0.9,156192,2.25 102,1,2024-09-07 08:49:51:149,401513,401513,0,0,187621994353,1976362564,395869,4805,839,369,391883,0 102,2,2024-09-07 08:49:51:741,288744,288690,54,0,14072015,0,6768 102,3,2024-09-07 08:49:51:614,1,229,1,0,410,2505,229,0 103,0,2024-09-07 08:49:51:632,60850,0.5,60828,0.7,114711,0.4,157867,2.00 103,1,2024-09-07 08:49:51:642,400808,400808,0,0,187429919526,1980397721,394523,4904,1381,381,391829,0 103,2,2024-09-07 08:49:50:590,288592,288592,0,0,13289641,0,2582 103,3,2024-09-07 08:49:50:763,1,229,3,0,916,3486,229,0 104,0,2024-09-07 08:49:51:036,58475,0.9,58970,1.0,116499,0.8,157339,2.25 104,1,2024-09-07 08:49:51:608,402179,402179,0,0,188004265711,1983041152,395518,5566,1095,365,391948,0 104,2,2024-09-07 08:49:51:667,289025,289025,0,0,15054294,0,3941 104,3,2024-09-07 08:49:51:431,1,229,3,0,1245,6297,229,0 105,0,2024-09-07 08:49:51:026,54595,1.8,52962,1.4,110810,2.8,146630,4.00 105,1,2024-09-07 08:49:50:558,402010,402010,0,0,188328966283,1982197728,395722,5314,974,367,391797,0 105,2,2024-09-07 08:49:51:330,289726,289726,0,0,14506902,0,3509 105,3,2024-09-07 08:49:51:313,1,229,1,0,399,4173,229,0 106,0,2024-09-07 08:49:50:942,56765,1.1,58124,1.1,119054,1.2,155797,2.75 106,1,2024-09-07 08:49:51:750,401477,401477,0,0,187532604689,1977125495,394396,6323,758,369,391767,0 106,2,2024-09-07 08:49:50:756,286579,286579,0,0,13937685,0,2795 106,3,2024-09-07 08:49:50:683,1,229,9,0,470,3518,229,0 107,0,2024-09-07 08:49:51:130,58017,0.9,57950,0.9,115542,0.9,154303,2.00 107,1,2024-09-07 08:49:50:588,401091,401091,0,0,187936727075,1982520859,395778,4768,545,381,392234,0 107,2,2024-09-07 08:49:51:302,288148,288147,1,0,14599348,0,5024 107,3,2024-09-07 08:49:51:759,1,229,1,0,353,3344,229,0 108,0,2024-09-07 08:49:51:791,57702,0.4,57935,0.6,114912,0.4,153392,1.75 108,1,2024-09-07 08:49:51:297,402520,402520,0,0,188666665535,1968432449,399324,2812,384,368,391857,0 108,2,2024-09-07 08:49:51:754,288164,288164,0,0,12969022,0,2647 108,3,2024-09-07 08:49:51:330,1,229,5,0,749,4770,229,0 109,0,2024-09-07 08:49:51:818,56218,0.4,55641,0.6,111586,0.4,149739,1.75 109,1,2024-09-07 08:49:50:600,401581,401581,0,0,187896453088,1973676691,397800,3267,514,383,392132,0 109,2,2024-09-07 08:49:50:935,291922,291922,0,0,13535971,0,3617 109,3,2024-09-07 08:49:51:142,1,229,1,0,249,2900,229,0 110,0,2024-09-07 08:49:51:771,56503,0.4,54986,0.7,115148,0.4,151042,1.75 110,1,2024-09-07 08:49:51:653,403237,403237,0,0,189534905790,1971005760,400098,2262,877,370,392045,0 110,2,2024-09-07 08:49:51:310,288606,288606,0,0,12647785,0,3264 110,3,2024-09-07 08:49:50:698,1,229,0,0,406,3108,229,0 111,0,2024-09-07 08:49:51:442,57119,0.4,56628,0.5,113132,0.3,151746,1.75 111,1,2024-09-07 08:49:51:015,403775,403775,0,0,189654562562,1968016285,401788,1651,336,382,391690,0 111,2,2024-09-07 08:49:51:117,287797,287797,0,0,12251439,0,2763 111,3,2024-09-07 08:49:50:916,1,229,1,0,379,3320,229,0 112,0,2024-09-07 08:49:50:911,58350,0.3,58338,0.4,116593,0.2,154810,1.50 112,1,2024-09-07 08:49:50:825,404255,404255,0,0,189035011423,1962881204,401839,1988,428,380,391580,0 112,2,2024-09-07 08:49:51:142,289598,289597,1,0,12356694,0,5036 112,3,2024-09-07 08:49:50:593,1,229,0,0,282,2519,229,0 113,0,2024-09-07 08:49:50:867,56111,0.3,56037,0.5,112681,0.3,150505,1.75 113,1,2024-09-07 08:49:51:691,404369,404369,0,0,190142750391,1964624160,401877,2003,489,366,391661,0 113,2,2024-09-07 08:49:51:305,294016,294016,0,0,11407710,0,3813 113,3,2024-09-07 08:49:50:690,1,229,1,0,340,3561,229,0 114,0,2024-09-07 08:49:50:880,55959,0.4,56486,0.6,111769,0.3,150107,1.75 114,1,2024-09-07 08:49:50:716,402959,402959,0,0,188527946992,1967857469,398154,3216,1589,381,391556,0 114,2,2024-09-07 08:49:50:875,287722,287721,1,0,12333387,0,5069 114,3,2024-09-07 08:49:51:285,1,229,19,0,395,2634,229,0 115,0,2024-09-07 08:49:50:566,57778,0.3,58138,0.4,116267,0.2,154160,1.75 115,1,2024-09-07 08:49:50:574,402817,402817,0,0,189221159476,1971966854,398461,3522,834,382,391602,0 115,2,2024-09-07 08:49:51:129,289120,289120,0,0,10715131,0,2152 115,3,2024-09-07 08:49:51:009,1,229,0,0,159,1338,229,0 116,0,2024-09-07 08:49:51:725,58632,0.7,58357,0.9,117077,0.7,156368,2.00 116,1,2024-09-07 08:49:50:827,400449,400449,0,0,186861341484,1983337595,394063,4332,2054,380,391782,0 116,2,2024-09-07 08:49:51:755,289637,289637,0,0,15495600,0,3529 116,3,2024-09-07 08:49:50:913,1,229,12,0,415,3501,229,0 117,0,2024-09-07 08:49:50:977,58353,0.9,58186,0.9,116386,1.0,155602,2.00 117,1,2024-09-07 08:49:51:579,402100,402100,0,0,187448369879,1966666279,397407,4213,480,370,392033,0 117,2,2024-09-07 08:49:51:123,292827,292827,0,0,12804233,0,3700 117,3,2024-09-07 08:49:51:060,1,229,1,0,490,3896,229,0 118,0,2024-09-07 08:49:51:782,53522,0.7,55083,0.8,112103,0.8,146981,2.25 118,1,2024-09-07 08:49:50:585,401896,401896,0,0,187368858245,1974969893,395569,4896,1431,366,391736,0 118,2,2024-09-07 08:49:51:587,288049,288049,0,0,13704445,0,2781 118,3,2024-09-07 08:49:51:772,1,229,9,0,235,2755,229,0 119,0,2024-09-07 08:49:51:346,58641,1.0,59104,0.9,118226,1.3,156834,2.25 119,1,2024-09-07 08:49:50:553,402931,402931,0,0,189006636545,1978135241,398287,4122,522,368,391641,0 119,2,2024-09-07 08:49:51:273,288669,288669,0,0,13085241,0,4174 119,3,2024-09-07 08:49:51:332,1,229,1,0,443,3418,229,0 120,0,2024-09-07 08:49:51:563,58281,0.6,58018,0.8,116484,0.5,155709,2.00 120,1,2024-09-07 08:49:50:862,402071,402071,0,0,188288216284,1980097430,397402,4319,350,368,391961,0 120,2,2024-09-07 08:49:50:806,288549,288548,1,0,15739166,0,5281 120,3,2024-09-07 08:49:51:299,1,229,1,0,241,3168,229,0 121,0,2024-09-07 08:49:51:753,58075,1.0,58321,1.0,116493,1.4,155384,2.25 121,1,2024-09-07 08:49:51:660,402466,402466,0,0,187934194379,1971786304,397879,4173,414,367,391840,0 121,2,2024-09-07 08:49:51:133,287989,287989,0,0,15062980,0,4127 121,3,2024-09-07 08:49:50:734,1,229,1,0,269,2771,229,0 122,0,2024-09-07 08:49:51:833,55759,1.0,54405,1.0,114097,1.2,150076,2.25 122,1,2024-09-07 08:49:50:867,401407,401407,0,0,188244420909,1981547239,395350,5242,815,366,392130,0 122,2,2024-09-07 08:49:51:333,290831,290758,73,0,17471426,0,5989 122,3,2024-09-07 08:49:50:594,1,229,1,0,411,4847,229,0 123,0,2024-09-07 08:49:50:979,57218,1.0,55940,0.9,116444,1.2,153203,2.25 123,1,2024-09-07 08:49:50:558,401671,401671,0,0,187914334830,1989055796,393005,6951,1715,369,391823,0 123,2,2024-09-07 08:49:51:036,286372,286371,1,0,14233318,0,5215 123,3,2024-09-07 08:49:51:135,1,229,1,0,168,2889,229,0 124,0,2024-09-07 08:49:50:951,59030,0.3,58976,0.5,111332,0.3,153020,1.75 124,1,2024-09-07 08:49:51:022,403724,403724,0,0,187943166639,1956048345,400890,2472,362,367,392178,0 124,2,2024-09-07 08:49:51:011,288467,288467,0,0,11544454,0,3101 124,3,2024-09-07 08:49:50:791,1,229,30,0,490,2731,229,0 125,0,2024-09-07 08:49:51:431,57849,0.3,57698,0.5,115841,0.3,153722,1.75 125,1,2024-09-07 08:49:50:854,402662,402662,0,0,188901147093,1973654814,399580,2693,389,383,391702,0 125,2,2024-09-07 08:49:51:116,289751,289751,0,0,12647928,0,4534 125,3,2024-09-07 08:49:51:128,1,229,3,0,709,3428,229,0 126,0,2024-09-07 08:49:51:557,56746,0.6,58203,0.7,111333,0.6,150942,1.75 126,1,2024-09-07 08:49:50:554,403796,403796,0,0,188957171822,1961353608,401120,2414,262,365,391987,0 126,2,2024-09-07 08:49:50:622,294538,294538,0,0,12951380,0,3186 126,3,2024-09-07 08:49:50:910,1,229,2,0,183,3227,229,0 127,0,2024-09-07 08:49:51:604,55735,0.4,55949,0.6,111397,0.4,148779,1.75 127,1,2024-09-07 08:49:50:569,403012,403012,0,0,188973096933,1961108616,400071,2788,153,365,391816,0 127,2,2024-09-07 08:49:50:652,288017,288017,0,0,11511111,0,2264 127,3,2024-09-07 08:49:51:268,1,229,39,0,968,2916,229,0 128,0,2024-09-07 08:49:51:583,57518,0.3,57495,0.5,114578,0.3,152332,1.50 128,1,2024-09-07 08:49:51:630,403059,403059,0,0,188669426885,1959127621,400898,2004,157,367,391798,0 128,2,2024-09-07 08:49:51:386,288029,288029,0,0,11381612,0,2434 128,3,2024-09-07 08:49:50:783,1,229,5,0,1082,5160,229,0 129,0,2024-09-07 08:49:51:003,59145,0.3,58811,0.4,117605,0.2,156045,1.50 129,1,2024-09-07 08:49:50:567,401097,401097,0,0,187474451519,1968425393,396965,3205,927,379,391835,0 129,2,2024-09-07 08:49:50:689,290981,290981,0,0,12274228,0,4031 129,3,2024-09-07 08:49:50:699,1,229,2,0,469,3194,229,0 130,0,2024-09-07 08:49:51:766,57791,0.6,57625,0.6,115400,0.6,153443,1.75 130,1,2024-09-07 08:49:50:588,403329,403329,0,0,188846283059,1966779712,400908,2344,77,381,391825,0 130,2,2024-09-07 08:49:51:126,293590,293590,0,0,12126194,0,4067 130,3,2024-09-07 08:49:51:291,1,229,8,0,450,3072,229,0 131,0,2024-09-07 08:49:51:954,54962,0.4,55305,0.6,111315,0.4,147671,1.75 131,1,2024-09-07 08:49:51:844,403616,403616,0,0,188858562722,1971267113,400580,2579,457,381,391865,0 131,2,2024-09-07 08:49:50:567,289591,289591,0,0,10870528,0,2415 131,3,2024-09-07 08:49:51:689,1,229,0,0,392,2446,229,0 132,0,2024-09-07 08:49:51:430,58520,0.5,59039,0.7,118038,0.5,156660,2.00 132,1,2024-09-07 08:49:50:585,400855,400855,0,0,187530875791,1983083796,393858,5745,1252,381,392097,0 132,2,2024-09-07 08:49:50:707,288514,288514,0,0,14714708,0,4606 132,3,2024-09-07 08:49:51:690,1,229,1,0,356,3462,229,0 133,0,2024-09-07 08:49:51:525,57654,0.4,59001,0.6,120735,0.3,156721,1.75 133,1,2024-09-07 08:49:50:588,400725,400725,0,0,188182176120,1984648104,395259,4801,665,383,391914,0 133,2,2024-09-07 08:49:51:096,288867,288867,0,0,15796733,0,4315 133,3,2024-09-07 08:49:51:299,1,229,11,0,276,2398,229,0 134,0,2024-09-07 08:49:50:948,58939,0.5,58864,0.7,118232,0.4,157316,2.00 134,1,2024-09-07 08:49:50:622,401151,401151,0,0,187545108784,1975050919,394712,4971,1468,366,391718,0 134,2,2024-09-07 08:49:51:763,289680,289680,0,0,13364867,0,3847 134,3,2024-09-07 08:49:50:762,1,229,12,0,739,3624,229,0 135,0,2024-09-07 08:49:51:114,53466,1.1,53500,1.1,113282,1.2,146617,2.25 135,1,2024-09-07 08:49:51:585,400800,400800,0,0,188442425846,1987135260,394616,5189,995,380,391805,0 135,2,2024-09-07 08:49:50:689,290116,290116,0,0,14618603,0,3981 135,3,2024-09-07 08:49:51:009,1,229,2,0,299,1800,229,0 136,0,2024-09-07 08:49:51:638,59151,0.7,59129,0.8,118196,0.7,157547,2.25 136,1,2024-09-07 08:49:51:449,401305,401305,0,0,187428432257,1974653686,395788,4933,584,382,391685,0 136,2,2024-09-07 08:49:51:134,289118,289118,0,0,14149499,0,3506 136,3,2024-09-07 08:49:51:107,1,229,1,0,126,1834,229,0 137,0,2024-09-07 08:49:50:980,59680,0.6,57988,0.8,114568,0.6,155485,2.00 137,1,2024-09-07 08:49:50:576,401233,401233,0,0,188080977140,1979883642,394292,5524,1417,366,391708,0 137,2,2024-09-07 08:49:51:727,288008,288008,0,0,15675655,0,3185 137,3,2024-09-07 08:49:50:772,1,229,2,0,382,3038,229,0 138,0,2024-09-07 08:49:51:840,57160,0.9,57203,0.9,114932,1.0,153034,2.25 138,1,2024-09-07 08:49:51:687,401644,401644,0,0,188815634423,1988706952,394685,5828,1131,368,391954,0 138,2,2024-09-07 08:49:50:588,288664,288664,0,0,14475594,0,4988 138,3,2024-09-07 08:49:50:619,1,229,1,0,1160,3803,229,0 139,0,2024-09-07 08:49:51:418,54937,2.3,55055,1.4,110752,3.3,148118,2.75 139,1,2024-09-07 08:49:50:574,399883,399883,0,0,187175576986,1994914345,391407,6364,2112,381,391892,0 139,2,2024-09-07 08:49:50:713,290727,290727,0,0,15120648,0,3097 139,3,2024-09-07 08:49:51:662,1,229,1,0,244,2451,229,0 140,0,2024-09-07 08:49:51:589,56967,0.3,56406,0.5,113552,0.3,151191,1.75 140,1,2024-09-07 08:49:51:543,404297,404297,0,0,190961301125,1970327261,402320,1736,241,365,391606,0 140,2,2024-09-07 08:49:50:688,288874,288874,0,0,11849908,0,3388 140,3,2024-09-07 08:49:50:770,1,229,5,0,247,2119,229,0 141,0,2024-09-07 08:49:51:697,56881,0.3,58430,0.5,111647,0.2,151799,1.50 141,1,2024-09-07 08:49:50:860,403688,403688,0,0,189284797455,1966509481,401119,2161,408,379,391614,0 141,2,2024-09-07 08:49:51:686,288287,288287,0,0,11463415,0,2342 141,3,2024-09-07 08:49:51:054,1,229,10,0,202,2254,229,0 142,0,2024-09-07 08:49:51:331,58939,0.3,58317,0.4,116026,0.2,155110,1.50 142,1,2024-09-07 08:49:50:585,403021,403021,0,0,188201568271,1965269819,400235,2521,265,382,392102,0 142,2,2024-09-07 08:49:51:307,289307,289275,32,0,13240577,0,6028 142,3,2024-09-07 08:49:51:759,1,229,1,0,484,3044,229,0 143,0,2024-09-07 08:49:51:397,56408,0.5,56370,0.6,113321,0.6,150350,1.75 143,1,2024-09-07 08:49:50:556,403377,403377,0,0,188886696146,1961565969,400665,2617,95,367,391619,0 143,2,2024-09-07 08:49:50:781,293238,293238,0,0,12446247,0,2669 143,3,2024-09-07 08:49:51:140,1,229,0,0,303,3175,229,0 144,0,2024-09-07 08:49:51:515,53817,0.8,55411,1.0,112619,0.8,148415,2.25 144,1,2024-09-07 08:49:50:566,401257,401257,0,0,187554554651,1972678184,397263,3073,921,381,391649,0 144,2,2024-09-07 08:49:51:760,288239,288239,0,0,11670210,0,3473 144,3,2024-09-07 08:49:51:746,1,229,2,0,249,2847,229,0 145,0,2024-09-07 08:49:51:372,55632,0.7,55639,0.9,117985,0.6,152447,2.50 145,1,2024-09-07 08:49:50:556,400541,400541,0,0,187634875217,1977825893,395050,4670,821,382,391698,0 145,2,2024-09-07 08:49:51:467,287146,287146,0,0,13861036,0,3903 145,3,2024-09-07 08:49:50:904,1,229,2,0,622,3946,229,0 146,0,2024-09-07 08:49:51:615,58651,0.5,58197,0.8,117294,0.5,155636,2.25 146,1,2024-09-07 08:49:51:586,401417,401417,0,0,188019045726,1987372653,393175,6396,1846,368,391770,0 146,2,2024-09-07 08:49:51:699,289437,289437,0,0,14093806,0,2730 146,3,2024-09-07 08:49:51:280,1,229,2,0,1520,5866,229,0 147,0,2024-09-07 08:49:51:749,58207,0.6,58167,0.8,115509,0.6,155217,2.25 147,1,2024-09-07 08:49:51:380,403430,403430,0,0,188493135736,1964729054,399338,3507,585,368,391791,0 147,2,2024-09-07 08:49:51:010,292525,292525,0,0,11911334,0,2789 147,3,2024-09-07 08:49:50:914,1,229,1,0,730,3850,229,0 0,0,2024-09-07 08:50:01:708,56970,0.5,57004,0.7,120909,0.5,156556,1.75 0,1,2024-09-07 08:50:00:805,404351,404351,0,0,188875376776,1978605378,401170,2979,202,370,391772,0 0,2,2024-09-07 08:50:01:077,290891,290891,0,0,12530527,0,4480 0,3,2024-09-07 08:50:00:983,1,230,2,0,319,3253,230,0 1,0,2024-09-07 08:50:01:748,58710,0.9,58180,1.0,117193,1.1,156382,2.00 1,1,2024-09-07 08:50:00:559,403786,403786,0,0,188530333095,1979440807,399293,3440,1053,371,391857,0 1,2,2024-09-07 08:50:00:657,290181,290181,0,0,11511723,0,3267 1,3,2024-09-07 08:50:01:301,1,230,1,0,262,2850,230,0 2,0,2024-09-07 08:50:01:570,56211,0.8,56567,0.9,112291,1.1,150109,2.00 2,1,2024-09-07 08:50:00:867,404603,404603,0,0,189528006684,1970944072,402500,1885,218,380,391745,0 2,2,2024-09-07 08:50:01:266,292500,292500,0,0,12180073,0,3594 2,3,2024-09-07 08:50:00:696,1,230,0,0,357,2241,230,0 3,0,2024-09-07 08:50:01:747,58003,0.5,58019,0.6,115486,0.4,154426,2.00 3,1,2024-09-07 08:50:01:618,403967,403967,0,0,188768247838,1969968956,400128,3377,462,380,391523,0 3,2,2024-09-07 08:50:01:144,289751,289728,23,0,12279105,0,5851 3,3,2024-09-07 08:50:01:753,1,230,1,0,103,1493,230,0 4,0,2024-09-07 08:50:01:780,55720,0.4,57413,0.5,116666,0.3,153137,1.75 4,1,2024-09-07 08:50:00:609,403766,403766,0,0,188632113212,1985173232,399057,3921,788,371,391846,0 4,2,2024-09-07 08:50:01:024,288511,288511,0,0,14522218,0,4528 4,3,2024-09-07 08:50:01:031,1,230,1,0,448,3700,230,0 5,0,2024-09-07 08:50:01:372,57861,0.4,58163,0.6,115617,0.4,153846,1.75 5,1,2024-09-07 08:50:00:754,403673,403673,0,0,189116417966,1992659984,398191,4370,1112,368,392005,0 5,2,2024-09-07 08:50:01:835,288718,288718,0,0,13223904,0,2432 5,3,2024-09-07 08:50:01:732,1,230,1,0,457,3747,230,0 6,0,2024-09-07 08:50:00:933,57187,0.7,56560,0.8,112984,0.7,151348,2.00 6,1,2024-09-07 08:50:00:746,404069,404069,0,0,188708829691,1976271104,399403,3822,844,379,391651,0 6,2,2024-09-07 08:50:01:122,293955,293955,0,0,13323545,0,4816 6,3,2024-09-07 08:50:01:275,1,230,3,0,340,2874,230,0 7,0,2024-09-07 08:50:01:532,55697,0.6,55807,0.7,111323,0.5,148778,2.00 7,1,2024-09-07 08:50:00:850,403608,403608,0,0,189885144205,1996459222,397713,4892,1003,382,391686,0 7,2,2024-09-07 08:50:00:769,288223,288223,0,0,13015720,0,4791 7,3,2024-09-07 08:50:00:856,1,230,1,0,398,2713,230,0 8,0,2024-09-07 08:50:01:323,57267,0.4,57358,0.5,114163,0.3,152385,1.75 8,1,2024-09-07 08:50:01:022,402283,402283,0,0,187915866304,1988310568,394225,6050,2008,367,391956,0 8,2,2024-09-07 08:50:00:790,285728,285728,0,0,15700099,0,3220 8,3,2024-09-07 08:50:00:608,1,230,0,0,357,3609,230,0 9,0,2024-09-07 08:50:01:104,58966,0.3,57197,0.5,119358,0.3,156402,1.75 9,1,2024-09-07 08:50:00:550,403114,403114,0,0,189231483064,2000088534,396634,4940,1540,370,392001,0 9,2,2024-09-07 08:50:01:085,290604,290604,0,0,13938220,0,3360 9,3,2024-09-07 08:50:01:754,1,230,1,0,496,3506,230,0 10,0,2024-09-07 08:50:01:601,57700,0.3,57169,0.5,115093,0.2,153136,1.75 10,1,2024-09-07 08:50:00:587,403667,403667,0,0,189058192919,1987112688,397308,5431,928,381,391741,0 10,2,2024-09-07 08:50:00:762,293482,293482,0,0,15459994,0,4264 10,3,2024-09-07 08:50:00:872,1,230,1,0,177,2018,230,0 11,0,2024-09-07 08:50:01:008,54910,0.5,53371,0.7,111703,0.5,148682,2.00 11,1,2024-09-07 08:50:00:576,403831,403831,0,0,188578531728,1989996011,395646,6204,1981,383,391537,0 11,2,2024-09-07 08:50:01:123,288443,288443,0,0,14414692,0,4130 11,3,2024-09-07 08:50:01:299,1,230,2,0,843,3956,230,0 12,0,2024-09-07 08:50:00:945,59251,0.4,59071,0.5,118107,0.3,156715,1.75 12,1,2024-09-07 08:50:00:952,404277,404277,0,0,188332974247,1967355204,400771,3085,421,370,391870,0 12,2,2024-09-07 08:50:01:541,290251,290251,0,0,13475731,0,3469 12,3,2024-09-07 08:50:01:066,1,230,1,0,386,4072,230,0 13,0,2024-09-07 08:50:01:378,59542,0.3,59433,0.5,118515,0.3,157636,1.50 13,1,2024-09-07 08:50:01:532,403884,403884,0,0,189504695150,1991667033,400344,3001,539,382,391717,0 13,2,2024-09-07 08:50:00:605,291847,291847,0,0,12648297,0,3287 13,3,2024-09-07 08:50:01:762,1,230,2,0,467,3796,230,0 14,0,2024-09-07 08:50:00:561,59349,0.4,59807,0.6,118474,0.3,157722,1.75 14,1,2024-09-07 08:50:01:561,406286,406286,0,0,189660699499,1966423771,403458,2639,189,364,391571,0 14,2,2024-09-07 08:50:00:764,291470,291470,0,0,12691090,0,2896 14,3,2024-09-07 08:50:01:119,1,230,7,0,1168,3410,230,0 15,0,2024-09-07 08:50:01:566,55354,0.6,55698,0.8,111227,0.6,148422,2.00 15,1,2024-09-07 08:50:01:611,404705,404705,0,0,189407394123,1971833675,402186,2341,178,381,391619,0 15,2,2024-09-07 08:50:00:999,291044,291044,0,0,10739275,0,3043 15,3,2024-09-07 08:50:01:405,1,230,6,0,1126,5191,230,0 16,0,2024-09-07 08:50:00:941,59199,0.8,59407,0.9,118104,0.9,157739,2.25 16,1,2024-09-07 08:50:00:565,404841,404841,0,0,189537124521,1983930916,401291,3252,298,370,391756,0 16,2,2024-09-07 08:50:01:447,289415,289415,0,0,13205662,0,4719 16,3,2024-09-07 08:50:01:142,1,230,1,0,317,3241,230,0 17,0,2024-09-07 08:50:01:762,60120,0.5,58563,0.7,114866,0.5,156105,2.00 17,1,2024-09-07 08:50:00:567,403315,403315,0,0,188832019417,1986441766,398483,3730,1102,368,391688,0 17,2,2024-09-07 08:50:01:669,293000,293000,0,0,12342098,0,2857 17,3,2024-09-07 08:50:00:575,1,230,3,0,268,3823,230,0 18,0,2024-09-07 08:50:00:946,57198,0.7,57335,0.8,114641,0.7,153073,2.25 18,1,2024-09-07 08:50:01:644,404821,404821,0,0,189902743285,1970705230,402318,2263,240,367,391649,0 18,2,2024-09-07 08:50:01:757,290648,290648,0,0,11976038,0,3541 18,3,2024-09-07 08:50:00:896,1,230,4,0,163,2452,230,0 19,0,2024-09-07 08:50:01:565,55827,0.8,56432,0.9,111502,0.8,148954,2.25 19,1,2024-09-07 08:50:00:571,404515,404515,0,0,190108793250,1979096965,399883,3846,786,367,391777,0 19,2,2024-09-07 08:50:01:753,294914,294914,0,0,11202372,0,3988 19,3,2024-09-07 08:50:01:129,1,230,2,0,524,1809,230,0 20,0,2024-09-07 08:50:01:354,56578,0.8,56538,0.8,113337,0.9,151436,2.25 20,1,2024-09-07 08:50:00:576,403608,403608,0,0,189096996609,1981321910,399803,3409,396,369,391886,0 20,2,2024-09-07 08:50:00:935,289524,289524,0,0,12654761,0,3721 20,3,2024-09-07 08:50:00:589,1,230,1,0,414,4495,230,0 21,0,2024-09-07 08:50:01:149,57113,0.5,57417,0.7,114507,0.5,151938,2.00 21,1,2024-09-07 08:50:01:544,402714,402714,0,0,188037480236,1984774769,396997,4460,1257,368,391962,0 21,2,2024-09-07 08:50:01:067,288538,288538,0,0,14172623,0,3747 21,3,2024-09-07 08:50:01:404,1,230,0,0,103,2520,230,0 22,0,2024-09-07 08:50:01:719,58145,0.6,58148,0.8,116027,0.6,154439,2.25 22,1,2024-09-07 08:50:01:023,403136,403136,0,0,188125132015,1986101071,395950,5544,1642,382,391667,0 22,2,2024-09-07 08:50:00:761,290591,290591,0,0,12676060,0,3134 22,3,2024-09-07 08:50:01:072,1,230,0,0,228,2265,230,0 23,0,2024-09-07 08:50:01:373,56362,0.7,56236,0.8,112923,0.7,150829,2.25 23,1,2024-09-07 08:50:01:003,403915,403915,0,0,189731512053,1997048307,396623,4741,2551,365,391550,0 23,2,2024-09-07 08:50:01:095,294712,294712,0,0,12398617,0,3010 23,3,2024-09-07 08:50:01:756,1,230,1,0,645,3094,230,0 24,0,2024-09-07 08:50:00:813,56474,0.5,56383,0.6,113127,0.4,150750,1.75 24,1,2024-09-07 08:50:00:582,403684,403684,0,0,188978497843,1987891262,398079,4126,1479,369,391987,0 24,2,2024-09-07 08:50:01:068,287536,287536,0,0,14870606,0,3607 24,3,2024-09-07 08:50:01:693,1,230,0,0,468,3601,230,0 25,0,2024-09-07 08:50:01:405,59321,0.5,57937,0.7,113428,0.5,154727,2.00 25,1,2024-09-07 08:50:00:567,404178,404178,0,0,189030796506,1982860467,399897,3728,553,371,391788,0 25,2,2024-09-07 08:50:01:606,287851,287851,0,0,15232874,0,3978 25,3,2024-09-07 08:50:01:016,1,230,0,0,255,2628,230,0 26,0,2024-09-07 08:50:01:721,58568,0.4,57257,0.6,120070,0.3,156265,1.75 26,1,2024-09-07 08:50:01:543,403768,403768,0,0,188505574083,1983516352,396805,5747,1216,381,391748,0 26,2,2024-09-07 08:50:00:867,291183,291183,0,0,15016303,0,2809 26,3,2024-09-07 08:50:01:712,1,230,1,0,796,3208,230,0 27,0,2024-09-07 08:50:01:725,58779,0.4,58627,0.6,116189,0.4,154925,2.00 27,1,2024-09-07 08:50:01:683,405765,405765,0,0,190368037112,1983978408,402318,2855,592,381,391558,0 27,2,2024-09-07 08:50:00:872,291025,290960,65,0,15213113,0,5699 27,3,2024-09-07 08:50:01:015,1,230,14,0,564,2611,230,0 28,0,2024-09-07 08:50:01:387,55540,0.6,55425,0.7,111182,0.6,148730,2.25 28,1,2024-09-07 08:50:00:798,405269,405269,0,0,189932652142,1980100019,402434,2365,470,383,391646,0 28,2,2024-09-07 08:50:01:764,289688,289688,0,0,11872705,0,2915 28,3,2024-09-07 08:50:01:776,1,230,1,0,502,2523,230,0 29,0,2024-09-07 08:50:01:357,60627,0.4,59315,0.7,115836,0.4,158136,1.75 29,1,2024-09-07 08:50:01:561,405770,405770,0,0,190192342315,1972260533,402549,2656,565,369,391621,0 29,2,2024-09-07 08:50:00:873,289568,289568,0,0,11388710,0,4018 29,3,2024-09-07 08:50:00:963,1,230,13,0,115,1727,230,0 30,0,2024-09-07 08:50:01:461,58511,0.5,56867,0.7,119034,0.5,155993,2.00 30,1,2024-09-07 08:50:00:576,405902,405902,0,0,190502911123,1976505666,403575,2034,293,382,391672,0 30,2,2024-09-07 08:50:01:274,291322,291322,0,0,11280979,0,3161 30,3,2024-09-07 08:50:00:586,1,230,0,0,195,1848,230,0 31,0,2024-09-07 08:50:01:763,58212,0.4,58469,0.6,117333,0.4,156282,2.00 31,1,2024-09-07 08:50:00:563,406772,406772,0,0,190505656364,1954533720,405384,1169,219,356,391712,0 31,2,2024-09-07 08:50:01:275,290024,290024,0,0,12830076,0,3525 31,3,2024-09-07 08:50:01:707,1,230,3,0,220,1896,230,0 32,0,2024-09-07 08:50:01:416,56196,0.4,56619,0.6,113335,0.3,150690,1.75 32,1,2024-09-07 08:50:00:808,405796,405796,0,0,189873144152,1971860911,403874,1643,279,381,391614,0 32,2,2024-09-07 08:50:00:937,292710,292710,0,0,10990780,0,3155 32,3,2024-09-07 08:50:01:015,1,230,1,0,227,1773,230,0 33,0,2024-09-07 08:50:01:499,58504,0.3,57724,0.5,116201,0.2,155460,1.75 33,1,2024-09-07 08:50:00:576,405945,405945,0,0,190245269970,1968063250,403367,2404,174,369,391730,0 33,2,2024-09-07 08:50:00:759,290657,290624,33,0,12771188,0,7012 33,3,2024-09-07 08:50:00:902,1,230,8,0,329,2656,230,0 34,0,2024-09-07 08:50:00:929,57456,0.3,59134,0.5,113586,0.3,152480,1.75 34,1,2024-09-07 08:50:01:046,406664,406664,0,0,190841553864,1964364736,405588,1069,7,367,391562,0 34,2,2024-09-07 08:50:00:779,289792,289792,0,0,11974220,0,3577 34,3,2024-09-07 08:50:01:687,1,230,1,0,299,1924,230,0 35,0,2024-09-07 08:50:00:876,57389,0.4,57755,0.5,116065,0.3,154157,1.75 35,1,2024-09-07 08:50:01:067,404959,404959,0,0,189921337956,1972490608,401618,2561,780,384,391589,0 35,2,2024-09-07 08:50:01:582,290825,290825,0,0,11406949,0,2653 35,3,2024-09-07 08:50:00:909,1,230,1,0,418,2773,230,0 36,0,2024-09-07 08:50:01:520,56736,0.7,56719,0.8,113638,0.7,150931,2.50 36,1,2024-09-07 08:50:00:585,404401,404401,0,0,189368065236,1985135539,398440,4383,1578,366,391759,0 36,2,2024-09-07 08:50:01:757,293662,293662,0,0,13628565,0,3875 36,3,2024-09-07 08:50:00:869,1,230,2,0,416,3908,230,0 37,0,2024-09-07 08:50:01:369,55587,0.6,55430,0.8,111281,0.6,149632,2.00 37,1,2024-09-07 08:50:00:576,403581,403574,0,7,188327871791,1978827994,397569,3939,2066,365,391560,0 37,2,2024-09-07 08:50:01:142,288382,288367,15,0,13697491,0,5815 37,3,2024-09-07 08:50:01:766,1,230,1,0,888,4300,230,0 38,0,2024-09-07 08:50:01:439,56695,0.5,55054,0.7,115224,0.5,150588,2.00 38,1,2024-09-07 08:50:01:609,405136,405136,0,0,189522333150,1982920894,399870,4591,675,368,391821,0 38,2,2024-09-07 08:50:00:760,288855,288808,47,0,14198338,0,6710 38,3,2024-09-07 08:50:00:999,1,230,1,0,689,3544,230,0 39,0,2024-09-07 08:50:01:763,59993,0.6,58888,0.7,114462,0.6,156382,2.00 39,1,2024-09-07 08:50:00:716,404708,404708,0,0,188781460000,1974536589,399183,4624,901,365,391594,0 39,2,2024-09-07 08:50:01:424,290699,290699,0,0,12344517,0,2689 39,3,2024-09-07 08:50:00:723,1,230,1,0,324,3382,230,0 40,0,2024-09-07 08:50:01:525,56948,0.8,57476,1.0,114895,0.8,152705,3.00 40,1,2024-09-07 08:50:00:576,404292,404292,0,0,188373153483,1980302384,397293,5556,1443,368,391591,0 40,2,2024-09-07 08:50:01:303,292990,292989,1,0,15521995,0,5137 40,3,2024-09-07 08:50:01:142,1,230,2,0,398,2988,230,0 41,0,2024-09-07 08:50:01:024,54770,2.4,55938,1.6,106725,3.6,146955,4.25 41,1,2024-09-07 08:50:00:768,404065,404065,0,0,189623685618,1987620366,398536,4895,634,370,391742,0 41,2,2024-09-07 08:50:00:759,287472,287472,0,0,14668664,0,3356 41,3,2024-09-07 08:50:01:684,1,230,1,0,366,2717,230,0 42,0,2024-09-07 08:50:01:487,57955,1.0,58283,1.1,116444,1.1,153496,2.75 42,1,2024-09-07 08:50:01:439,402952,402952,0,0,188964837012,1991986270,395795,5705,1452,380,391675,0 42,2,2024-09-07 08:50:01:133,289463,289463,0,0,13945028,0,3568 42,3,2024-09-07 08:50:01:022,1,230,0,0,446,2032,230,0 43,0,2024-09-07 08:50:00:917,58883,0.7,57328,0.9,119776,0.7,157293,2.25 43,1,2024-09-07 08:50:00:576,403666,403666,0,0,188949387634,1980060968,397408,4929,1329,366,391696,0 43,2,2024-09-07 08:50:01:736,291698,291698,0,0,13447286,0,3812 43,3,2024-09-07 08:50:01:748,1,230,1,0,467,3007,230,0 44,0,2024-09-07 08:50:00:861,59149,0.5,59444,0.7,118522,0.4,157883,1.75 44,1,2024-09-07 08:50:00:563,405675,405675,0,0,189332872863,1957552981,403121,2123,431,356,391809,0 44,2,2024-09-07 08:50:01:267,290953,290953,0,0,11293282,0,2231 44,3,2024-09-07 08:50:01:093,1,230,1,0,817,2898,230,0 45,0,2024-09-07 08:50:01:766,54601,0.8,53429,1.0,112158,0.9,148365,2.25 45,1,2024-09-07 08:50:01:009,405200,405200,0,0,190766047341,1978535762,403551,1637,12,382,391917,0 45,2,2024-09-07 08:50:01:269,291251,291251,0,0,11967235,0,3596 45,3,2024-09-07 08:50:00:939,1,230,1,0,271,2629,230,0 46,0,2024-09-07 08:50:00:965,58745,0.7,58681,0.8,117583,0.7,155474,2.25 46,1,2024-09-07 08:50:00:576,406437,406437,0,0,190267313901,1966698463,404091,2072,274,366,391539,0 46,2,2024-09-07 08:50:00:598,290450,290450,0,0,11578302,0,2920 46,3,2024-09-07 08:50:01:131,1,230,85,0,908,3739,230,0 47,0,2024-09-07 08:50:01:104,58553,0.5,58459,0.6,117576,0.4,155639,1.75 47,1,2024-09-07 08:50:00:573,406200,406200,0,0,189966551831,1963214681,403878,2188,134,366,391605,0 47,2,2024-09-07 08:50:00:908,292021,292021,0,0,11630073,0,2558 47,3,2024-09-07 08:50:01:119,1,230,1,0,529,2223,230,0 48,0,2024-09-07 08:50:01:497,58243,0.3,57839,0.4,115275,0.2,154052,1.50 48,1,2024-09-07 08:50:01:022,405902,405902,0,0,189957261991,1975336619,402855,2748,299,384,391710,0 48,2,2024-09-07 08:50:00:703,290358,290358,0,0,11087979,0,3031 48,3,2024-09-07 08:50:00:753,1,230,0,0,339,2063,230,0 49,0,2024-09-07 08:50:01:710,57823,0.4,56752,0.6,110041,0.4,151153,1.75 49,1,2024-09-07 08:50:01:039,404118,404118,0,0,190126723671,1984095101,400327,2610,1181,382,391610,0 49,2,2024-09-07 08:50:01:796,294676,294676,0,0,11754408,0,3900 49,3,2024-09-07 08:50:01:417,1,230,2,0,408,2708,230,0 50,0,2024-09-07 08:50:01:531,56810,0.3,56267,0.5,113100,0.2,151303,1.75 50,1,2024-09-07 08:50:01:013,406520,406520,0,0,190360428929,1970950899,403815,2395,310,368,391530,0 50,2,2024-09-07 08:50:01:072,290000,290000,0,0,10980253,0,2263 50,3,2024-09-07 08:50:01:291,1,230,1,0,335,2016,230,0 51,0,2024-09-07 08:50:01:705,58418,0.3,57196,0.5,111348,0.2,152284,1.75 51,1,2024-09-07 08:50:01:691,405836,405836,0,0,191007607127,1974336134,403041,1856,939,365,391706,0 51,2,2024-09-07 08:50:01:316,289089,289089,0,0,10284900,0,3337 51,3,2024-09-07 08:50:01:036,1,230,11,0,678,1987,230,0 52,0,2024-09-07 08:50:01:415,58942,0.5,58533,0.7,116860,0.4,155175,2.00 52,1,2024-09-07 08:50:00:578,404144,404144,0,0,188604184643,1983382768,397008,5882,1254,368,391722,0 52,2,2024-09-07 08:50:01:758,289031,288993,38,0,14427179,0,6742 52,3,2024-09-07 08:50:00:682,1,230,6,0,1782,4181,230,0 53,0,2024-09-07 08:50:01:741,56140,0.8,54614,0.9,114182,0.9,149929,2.50 53,1,2024-09-07 08:50:00:771,403146,403146,0,0,189032099919,1991005904,395823,4967,2356,367,391702,0 53,2,2024-09-07 08:50:01:299,294358,294358,0,0,12087597,0,2727 53,3,2024-09-07 08:50:00:702,1,230,64,0,271,2231,230,0 54,0,2024-09-07 08:50:01:684,55088,1.1,55496,1.0,109932,0.7,148017,3.00 54,1,2024-09-07 08:50:00:580,404557,404557,0,0,189957074298,1980193044,399823,4137,597,367,391659,0 54,2,2024-09-07 08:50:00:872,288425,288419,6,0,13255097,0,5382 54,3,2024-09-07 08:50:00:763,1,230,1,0,676,3642,230,0 55,0,2024-09-07 08:50:01:762,55690,0.7,57753,0.9,116096,0.6,151230,2.50 55,1,2024-09-07 08:50:00:764,403796,403796,0,0,189046511737,1973036856,398247,4762,787,365,391731,0 55,2,2024-09-07 08:50:00:729,288512,288512,0,0,13396030,0,3275 55,3,2024-09-07 08:50:00:678,1,230,1,0,304,2755,230,0 56,0,2024-09-07 08:50:01:563,60137,1.1,56728,1.1,116758,1.4,156167,2.50 56,1,2024-09-07 08:50:00:576,402275,402275,0,0,188731791426,2001355257,395141,5696,1438,381,391678,0 56,2,2024-09-07 08:50:01:308,291533,291533,0,0,14678026,0,3567 56,3,2024-09-07 08:50:01:066,1,230,1,0,705,3488,230,0 57,0,2024-09-07 08:50:00:942,57565,1.7,57700,1.3,115387,2.3,153922,3.25 57,1,2024-09-07 08:50:00:999,403862,403862,0,0,188889845335,1984408663,398545,4647,670,367,391960,0 57,2,2024-09-07 08:50:01:321,292935,292935,0,0,15647480,0,3317 57,3,2024-09-07 08:50:01:739,1,230,1,0,359,3329,230,0 58,0,2024-09-07 08:50:00:568,54169,1.1,52823,1.1,110527,1.4,145223,2.75 58,1,2024-09-07 08:50:00:575,404095,404092,0,3,189927105885,1989378500,398365,5017,710,367,391545,3 58,2,2024-09-07 08:50:01:070,289730,289730,0,0,14061133,0,2549 58,3,2024-09-07 08:50:01:075,1,230,2,0,1043,2796,230,0 59,0,2024-09-07 08:50:01:740,58616,0.9,58541,1.1,116823,1.0,155003,3.00 59,1,2024-09-07 08:50:00:806,404058,404058,0,0,189264474958,1985872025,398271,4522,1265,369,391525,0 59,2,2024-09-07 08:50:00:584,291129,291129,0,0,12769703,0,2604 59,3,2024-09-07 08:50:01:739,1,230,1,0,1015,3463,230,0 60,0,2024-09-07 08:50:01:739,58859,0.4,58642,0.6,118025,0.4,156575,1.75 60,1,2024-09-07 08:50:00:772,405908,405908,0,0,189899260746,1971967343,403475,1916,517,370,392031,0 60,2,2024-09-07 08:50:01:147,291014,291014,0,0,13894582,0,3811 60,3,2024-09-07 08:50:01:264,1,230,54,0,124,2342,230,0 61,0,2024-09-07 08:50:01:523,58493,0.7,58733,0.8,116949,0.7,156432,2.00 61,1,2024-09-07 08:50:00:780,404403,404403,0,0,189192013556,1983218198,399836,3864,703,382,391880,0 61,2,2024-09-07 08:50:01:119,291283,291283,0,0,12229524,0,2780 61,3,2024-09-07 08:50:01:690,1,230,1,0,397,3273,230,0 62,0,2024-09-07 08:50:01:707,56920,0.8,57963,0.9,110348,0.9,150567,2.00 62,1,2024-09-07 08:50:01:111,406889,406883,0,6,190117576340,1963686692,404427,2339,117,365,391715,6 62,2,2024-09-07 08:50:01:644,291214,291213,1,0,13611455,0,5555 62,3,2024-09-07 08:50:01:143,1,230,1,0,287,1681,230,0 63,0,2024-09-07 08:50:01:456,57896,0.5,58085,0.6,116185,0.5,155048,1.75 63,1,2024-09-07 08:50:00:809,406410,406404,0,6,189897558579,1969121322,404831,1523,50,381,391677,6 63,2,2024-09-07 08:50:00:762,289934,289934,0,0,11329355,0,2674 63,3,2024-09-07 08:50:01:732,1,230,0,0,667,2818,230,0 64,0,2024-09-07 08:50:01:525,56997,0.4,57063,0.6,114317,0.4,152366,1.75 64,1,2024-09-07 08:50:00:761,405037,405037,0,0,189586402897,1981147249,400561,3062,1414,370,391783,0 64,2,2024-09-07 08:50:01:141,292203,292184,19,0,12073143,0,6121 64,3,2024-09-07 08:50:01:140,1,230,2,0,265,2450,230,0 65,0,2024-09-07 08:50:01:759,57276,0.6,57692,0.7,115183,0.6,153137,2.00 65,1,2024-09-07 08:50:00:870,403815,403815,0,0,188704924843,1977286934,400268,3104,443,382,391901,0 65,2,2024-09-07 08:50:01:708,290430,290430,0,0,12710886,0,3367 65,3,2024-09-07 08:50:01:684,1,230,7,0,163,2241,230,0 66,0,2024-09-07 08:50:01:764,56384,0.5,56311,0.7,113122,0.5,150228,2.00 66,1,2024-09-07 08:50:01:292,405585,405585,0,0,189819879491,1974388434,403027,2358,200,380,391588,0 66,2,2024-09-07 08:50:01:132,296132,296132,0,0,12611177,0,4956 66,3,2024-09-07 08:50:01:081,1,230,3,0,291,2578,230,0 67,0,2024-09-07 08:50:01:418,56259,0.7,55923,0.8,112182,0.8,149714,2.25 67,1,2024-09-07 08:50:00:766,404655,404654,0,1,189094447886,1975659624,400817,3090,747,380,391787,1 67,2,2024-09-07 08:50:00:583,290275,290275,0,0,11473080,0,2889 67,3,2024-09-07 08:50:01:754,1,230,51,0,338,2200,230,0 68,0,2024-09-07 08:50:00:626,57310,0.6,57186,0.8,113736,0.7,152208,2.00 68,1,2024-09-07 08:50:00:658,403849,403849,0,0,188800050783,1982394539,399880,2831,1138,381,391953,0 68,2,2024-09-07 08:50:01:053,288248,288183,65,0,15282220,0,6698 68,3,2024-09-07 08:50:00:735,1,230,1,0,417,2753,230,0 69,0,2024-09-07 08:50:01:736,58477,0.7,58784,0.8,116971,0.8,155599,2.25 69,1,2024-09-07 08:50:01:016,402559,402559,0,0,188623452912,1995112379,396861,4297,1401,384,391994,0 69,2,2024-09-07 08:50:01:735,290776,290776,0,0,14826150,0,3722 69,3,2024-09-07 08:50:00:766,1,230,10,0,698,3545,230,0 70,0,2024-09-07 08:50:01:532,57094,1.1,57048,1.1,114548,0.8,152288,2.50 70,1,2024-09-07 08:50:00:809,404648,404648,0,0,189604272637,1972123701,400993,3127,528,366,391725,0 70,2,2024-09-07 08:50:01:325,293438,293438,0,0,13919241,0,4044 70,3,2024-09-07 08:50:00:745,1,230,1,0,854,2818,230,0 71,0,2024-09-07 08:50:01:366,54798,1.5,54724,1.3,109451,2.2,147590,3.25 71,1,2024-09-07 08:50:01:595,404269,404269,0,0,190191114889,1987409930,399149,4499,621,368,391738,0 71,2,2024-09-07 08:50:01:067,289296,289296,0,0,13814825,0,4042 71,3,2024-09-07 08:50:01:754,1,230,2,0,644,3564,230,0 72,0,2024-09-07 08:50:01:030,60648,0.9,59282,0.9,115649,1.1,157168,2.25 72,1,2024-09-07 08:50:01:028,404137,404137,0,0,188818789007,1976010289,399087,4119,931,369,391819,0 72,2,2024-09-07 08:50:01:758,288485,288485,0,0,15660489,0,3983 72,3,2024-09-07 08:50:01:755,1,230,1,0,564,4361,230,0 73,0,2024-09-07 08:50:01:175,57760,0.4,59038,0.6,121163,0.4,157015,2.00 73,1,2024-09-07 08:50:00:766,404670,404670,0,0,188957990807,1967560999,401592,2846,232,367,391750,0 73,2,2024-09-07 08:50:01:740,291653,291653,0,0,15077099,0,3701 73,3,2024-09-07 08:50:00:971,1,230,5,0,274,3596,230,0 74,0,2024-09-07 08:50:01:323,59718,0.5,60985,0.7,116316,0.5,157774,2.25 74,1,2024-09-07 08:50:00:637,404419,404419,0,0,189016727559,1977872609,400022,3598,799,381,391681,0 74,2,2024-09-07 08:50:01:002,291816,291816,0,0,14528813,0,4253 74,3,2024-09-07 08:50:01:441,1,230,1,0,522,3306,230,0 75,0,2024-09-07 08:50:01:771,55731,0.7,55404,0.9,111236,0.7,149288,2.50 75,1,2024-09-07 08:50:01:584,403864,403864,0,0,189068714492,1981067908,398959,4242,663,380,391660,0 75,2,2024-09-07 08:50:01:349,290084,290084,0,0,14995553,0,4766 75,3,2024-09-07 08:50:01:067,1,230,7,0,702,3495,230,0 76,0,2024-09-07 08:50:00:618,58790,0.8,58264,0.9,116573,0.8,156325,2.50 76,1,2024-09-07 08:50:00:805,404758,404758,0,0,188911125108,1973990746,401700,2510,548,382,391692,0 76,2,2024-09-07 08:50:01:074,291176,291176,0,0,12163775,0,3784 76,3,2024-09-07 08:50:01:143,1,230,3,0,175,2515,230,0 77,0,2024-09-07 08:50:01:726,58349,0.5,58603,0.7,116871,0.5,155442,2.00 77,1,2024-09-07 08:50:00:833,404877,404877,0,0,189461717986,1981400452,401435,3186,256,383,391808,0 77,2,2024-09-07 08:50:01:284,290018,290018,0,0,12781940,0,3890 77,3,2024-09-07 08:50:01:094,1,230,1,0,382,2766,230,0 78,0,2024-09-07 08:50:01:718,58041,0.4,57347,0.7,115925,0.4,153326,2.00 78,1,2024-09-07 08:50:00:609,405014,405014,0,0,189332275366,1967964891,402020,2676,318,367,391670,0 78,2,2024-09-07 08:50:01:405,290347,290347,0,0,11421467,0,3855 78,3,2024-09-07 08:50:01:133,1,230,4,0,181,2374,230,0 79,0,2024-09-07 08:50:01:348,54554,0.5,55942,0.7,114423,0.4,148832,2.50 79,1,2024-09-07 08:50:00:575,406252,406252,0,0,190047265009,1969197933,403412,2504,336,369,391682,0 79,2,2024-09-07 08:50:01:067,294621,294621,0,0,11664685,0,3212 79,3,2024-09-07 08:50:00:750,1,230,1,0,418,3610,230,0 80,0,2024-09-07 08:50:01:081,56661,0.6,58238,0.7,111486,0.6,151086,2.00 80,1,2024-09-07 08:50:01:620,404343,404343,0,0,189777712662,1977869790,401381,2794,168,368,391791,0 80,2,2024-09-07 08:50:01:092,290536,290536,0,0,11811538,0,4433 80,3,2024-09-07 08:50:00:575,1,230,1,0,190,3242,230,0 81,0,2024-09-07 08:50:01:541,56923,0.5,58262,0.7,111821,0.5,151266,2.00 81,1,2024-09-07 08:50:01:654,403847,403847,0,0,188279836561,1971575217,400011,3375,461,382,391879,0 81,2,2024-09-07 08:50:01:125,288883,288883,0,0,12203670,0,3993 81,3,2024-09-07 08:50:01:119,1,230,4,0,374,2689,230,0 82,0,2024-09-07 08:50:01:538,57916,0.4,58393,0.6,116928,0.4,155211,2.00 82,1,2024-09-07 08:50:00:583,405193,405189,0,4,189793695860,1979601959,401968,2610,611,381,391558,4 82,2,2024-09-07 08:50:01:690,291455,291455,0,0,11602350,0,3986 82,3,2024-09-07 08:50:01:754,1,230,1,0,363,2768,230,0 83,0,2024-09-07 08:50:01:523,56607,0.6,56701,0.7,112534,0.6,150318,2.00 83,1,2024-09-07 08:50:00:551,404411,404411,0,0,189269706832,1976584352,401245,2923,243,382,391690,0 83,2,2024-09-07 08:50:00:764,294065,294065,0,0,11577723,0,3119 83,3,2024-09-07 08:50:00:757,1,230,3,0,250,2560,230,0 84,0,2024-09-07 08:50:01:763,55579,0.9,55609,1.0,111060,0.8,149523,2.50 84,1,2024-09-07 08:50:01:039,403477,403477,0,0,189405210513,1984417342,398747,4155,575,368,391967,0 84,2,2024-09-07 08:50:00:584,288833,288833,0,0,13462994,0,3801 84,3,2024-09-07 08:50:01:142,1,230,0,0,908,3836,230,0 85,0,2024-09-07 08:50:01:010,55873,0.8,55764,0.9,118319,0.9,152730,2.50 85,1,2024-09-07 08:50:00:561,403039,403039,0,0,188331545055,1994791349,396214,5719,1106,382,392006,0 85,2,2024-09-07 08:50:00:866,290478,290478,0,0,14186746,0,3656 85,3,2024-09-07 08:50:00:688,1,230,2,0,789,3125,230,0 86,0,2024-09-07 08:50:00:895,58911,0.6,60470,0.8,115870,0.6,156139,2.00 86,1,2024-09-07 08:50:00:836,404802,404802,0,0,189673564671,1986912354,399831,4248,723,366,391961,0 86,2,2024-09-07 08:50:00:866,290605,290604,1,0,15036306,0,5004 86,3,2024-09-07 08:50:00:589,1,230,1,0,286,3166,230,0 87,0,2024-09-07 08:50:01:423,58325,1.0,57961,1.0,116574,1.4,155389,2.25 87,1,2024-09-07 08:50:00:553,404539,404539,0,0,189008036946,1980550850,400079,3979,481,366,391788,0 87,2,2024-09-07 08:50:01:067,291446,291446,0,0,13522474,0,4045 87,3,2024-09-07 08:50:01:796,1,230,2,0,473,3734,230,0 88,0,2024-09-07 08:50:01:471,55409,0.5,55748,0.7,111394,0.6,148292,2.00 88,1,2024-09-07 08:50:00:576,402468,402468,0,0,188401295239,1982076465,396302,4654,1512,365,392084,0 88,2,2024-09-07 08:50:00:688,289409,289409,0,0,15440034,0,3583 88,3,2024-09-07 08:50:01:273,1,230,1,0,435,2996,230,0 89,0,2024-09-07 08:50:01:804,60808,0.6,58692,0.8,116675,0.5,158247,2.00 89,1,2024-09-07 08:50:00:551,402329,402329,0,0,189425433617,2003429063,395430,5679,1220,382,391866,0 89,2,2024-09-07 08:50:01:132,289223,289223,0,0,14730386,0,2910 89,3,2024-09-07 08:50:01:800,1,230,7,0,325,4686,230,0 90,0,2024-09-07 08:50:01:642,57171,0.5,58387,0.6,119621,0.4,155722,1.75 90,1,2024-09-07 08:50:00:590,404357,404357,0,0,188759125869,1981761555,400251,3829,277,381,391825,0 90,2,2024-09-07 08:50:01:410,289601,289601,0,0,15834226,0,3060 90,3,2024-09-07 08:50:00:937,1,230,2,0,200,2517,230,0 91,0,2024-09-07 08:50:00:944,58868,0.4,56918,0.6,118838,0.4,156537,1.75 91,1,2024-09-07 08:50:00:565,403629,403629,0,0,189372558834,1995630473,397772,5166,691,381,392047,0 91,2,2024-09-07 08:50:01:333,290381,290381,0,0,14312914,0,2896 91,3,2024-09-07 08:50:00:605,1,230,6,0,216,2332,230,0 92,0,2024-09-07 08:50:01:445,56855,0.6,58296,0.7,111406,0.6,150828,1.75 92,1,2024-09-07 08:50:00:581,404118,404118,0,0,189579464301,1979463084,401569,2143,406,382,392136,0 92,2,2024-09-07 08:50:01:349,293730,293730,0,0,11997763,0,2801 92,3,2024-09-07 08:50:01:021,1,230,1,0,167,1916,230,0 93,0,2024-09-07 08:50:00:982,58266,0.4,59707,0.6,114084,0.4,155536,1.75 93,1,2024-09-07 08:50:00:805,404633,404633,0,0,188674423392,1973467501,400167,3680,786,366,391692,0 93,2,2024-09-07 08:50:00:940,290553,290553,0,0,12683042,0,2797 93,3,2024-09-07 08:50:01:418,1,230,1,0,190,2431,230,0 94,0,2024-09-07 08:50:01:792,57299,0.4,58001,0.5,115468,0.3,153162,1.75 94,1,2024-09-07 08:50:00:573,404269,404269,0,0,189643539854,1983993135,400997,3096,176,381,391850,0 94,2,2024-09-07 08:50:00:762,289367,289367,0,0,11748281,0,2443 94,3,2024-09-07 08:50:01:719,1,230,24,0,264,3198,230,0 95,0,2024-09-07 08:50:01:389,58073,0.3,57590,0.5,116523,0.3,154038,1.75 95,1,2024-09-07 08:50:00:851,405110,405110,0,0,189941536666,1977758566,401551,3183,376,367,391713,0 95,2,2024-09-07 08:50:01:016,289960,289960,0,0,12169162,0,3308 95,3,2024-09-07 08:50:01:726,1,230,0,0,718,4419,230,0 96,0,2024-09-07 08:50:01:023,56863,0.4,57045,0.6,113858,0.4,150758,1.75 96,1,2024-09-07 08:50:01:582,403726,403726,0,0,189639922064,1983262739,400160,2836,730,384,391894,0 96,2,2024-09-07 08:50:01:270,294204,294204,0,0,12785993,0,4042 96,3,2024-09-07 08:50:01:140,1,230,1,0,411,2736,230,0 97,0,2024-09-07 08:50:01:315,56154,0.4,55930,0.5,112424,0.3,149680,1.75 97,1,2024-09-07 08:50:00:763,405137,405137,0,0,190060751833,1973951330,402111,2471,555,367,392140,0 97,2,2024-09-07 08:50:00:610,289476,289476,0,0,11752868,0,3036 97,3,2024-09-07 08:50:00:575,1,230,5,0,214,2851,230,0 98,0,2024-09-07 08:50:01:718,57197,0.3,57275,0.5,115058,0.2,152422,1.50 98,1,2024-09-07 08:50:00:576,405217,405217,0,0,189871034372,1978575430,403088,2032,97,382,391997,0 98,2,2024-09-07 08:50:00:771,289906,289906,0,0,11956922,0,3080 98,3,2024-09-07 08:50:00:702,1,230,1,0,840,4467,230,0 99,0,2024-09-07 08:50:01:509,58789,0.3,58954,0.4,117641,0.3,156676,1.50 99,1,2024-09-07 08:50:01:725,405068,405068,0,0,188266752528,1966361600,401289,3040,739,381,391744,0 99,2,2024-09-07 08:50:01:420,293755,293755,0,0,12612122,0,3106 99,3,2024-09-07 08:50:00:583,1,230,6,0,168,1876,230,0 100,0,2024-09-07 08:50:01:465,57414,0.8,57385,1.0,114593,1.0,152818,2.25 100,1,2024-09-07 08:50:00:552,402167,402167,0,0,187602295489,1989170312,395336,5514,1317,381,391989,0 100,2,2024-09-07 08:50:01:817,291931,291920,11,0,14279764,0,5417 100,3,2024-09-07 08:50:01:733,1,230,1,0,559,4737,230,0 101,0,2024-09-07 08:50:01:704,56420,2.3,54954,1.4,107745,2.8,149076,2.75 101,1,2024-09-07 08:50:00:551,402813,402813,0,0,188288085874,1990244769,395472,5570,1771,368,391769,0 101,2,2024-09-07 08:50:01:757,288040,288040,0,0,15373828,0,4644 101,3,2024-09-07 08:50:00:943,1,230,2,0,448,3027,230,0 102,0,2024-09-07 08:50:00:968,57332,0.8,59499,0.9,119410,0.9,156481,2.25 102,1,2024-09-07 08:50:01:148,403363,403363,0,0,188586695429,1986158706,397719,4805,839,369,391883,0 102,2,2024-09-07 08:50:01:737,290000,289946,54,0,14082745,0,6768 102,3,2024-09-07 08:50:01:623,1,230,4,0,410,2509,230,0 103,0,2024-09-07 08:50:01:596,60983,0.5,60956,0.7,114943,0.4,158117,2.00 103,1,2024-09-07 08:50:01:638,402627,402627,0,0,188326973350,1989542735,396342,4904,1381,381,391829,0 103,2,2024-09-07 08:50:00:599,290005,290005,0,0,13301674,0,2582 103,3,2024-09-07 08:50:00:758,1,230,1,0,916,3487,230,0 104,0,2024-09-07 08:50:01:010,58672,0.8,59205,1.0,116945,0.8,157860,2.25 104,1,2024-09-07 08:50:01:602,403979,403979,0,0,188718453451,1990301514,397318,5566,1095,365,391948,0 104,2,2024-09-07 08:50:01:668,290406,290406,0,0,15073803,0,3941 104,3,2024-09-07 08:50:01:420,1,230,21,0,1245,6318,230,0 105,0,2024-09-07 08:50:01:034,55016,1.7,53351,1.4,111587,2.8,147769,4.00 105,1,2024-09-07 08:50:00:557,403863,403863,0,0,189244927828,1991519054,397575,5314,974,367,391797,0 105,2,2024-09-07 08:50:01:322,290405,290405,0,0,14518281,0,3509 105,3,2024-09-07 08:50:01:305,1,230,14,0,399,4187,230,0 106,0,2024-09-07 08:50:00:950,56969,1.1,58318,1.1,119472,1.3,156102,2.75 106,1,2024-09-07 08:50:01:760,403308,403308,0,0,188275972743,1984679419,396227,6323,758,369,391767,0 106,2,2024-09-07 08:50:00:756,288062,288062,0,0,13959317,0,2795 106,3,2024-09-07 08:50:00:684,1,230,1,0,470,3519,230,0 107,0,2024-09-07 08:50:01:135,58320,0.9,58231,0.9,116131,0.9,155093,2.00 107,1,2024-09-07 08:50:00:585,402861,402861,0,0,188988321638,1993213600,397548,4768,545,381,392234,0 107,2,2024-09-07 08:50:01:291,289590,289589,1,0,14615823,0,5024 107,3,2024-09-07 08:50:01:755,1,230,2,0,353,3346,230,0 108,0,2024-09-07 08:50:01:767,57925,0.4,58146,0.6,115316,0.4,153994,1.75 108,1,2024-09-07 08:50:01:299,404253,404253,0,0,189601733590,1978109875,401056,2813,384,368,391857,0 108,2,2024-09-07 08:50:01:760,289373,289373,0,0,12989936,0,2647 108,3,2024-09-07 08:50:01:332,1,230,18,0,749,4788,230,0 109,0,2024-09-07 08:50:01:845,56638,0.4,56062,0.6,112426,0.4,151066,1.75 109,1,2024-09-07 08:50:00:585,403300,403300,0,0,188801090676,1983010149,399519,3267,514,383,392132,0 109,2,2024-09-07 08:50:00:936,292891,292891,0,0,13566197,0,3617 109,3,2024-09-07 08:50:01:140,1,230,1,0,249,2901,230,0 110,0,2024-09-07 08:50:01:798,56803,0.4,55289,0.7,115726,0.4,151762,1.75 110,1,2024-09-07 08:50:01:649,404977,404977,0,0,190352139637,1979312815,401837,2263,877,370,392045,0 110,2,2024-09-07 08:50:01:310,290120,290120,0,0,12694774,0,3264 110,3,2024-09-07 08:50:00:696,1,230,1,0,406,3109,230,0 111,0,2024-09-07 08:50:01:414,57403,0.4,56947,0.5,113745,0.3,152687,1.75 111,1,2024-09-07 08:50:01:000,405529,405529,0,0,190421086519,1975884849,403542,1651,336,382,391690,0 111,2,2024-09-07 08:50:01:120,289096,289096,0,0,12283220,0,2763 111,3,2024-09-07 08:50:00:913,1,230,1,0,379,3321,230,0 112,0,2024-09-07 08:50:00:910,58524,0.3,58488,0.4,116931,0.2,155315,1.50 112,1,2024-09-07 08:50:00:824,406094,406094,0,0,189901184445,1971765627,403678,1988,428,380,391580,0 112,2,2024-09-07 08:50:01:135,290701,290700,1,0,12382447,0,5036 112,3,2024-09-07 08:50:00:598,1,230,5,0,282,2524,230,0 113,0,2024-09-07 08:50:00:884,56432,0.3,56343,0.5,113241,0.3,151431,1.75 113,1,2024-09-07 08:50:01:692,406194,406194,0,0,191055128291,1974009068,403702,2003,489,366,391661,0 113,2,2024-09-07 08:50:01:303,295196,295196,0,0,11435928,0,3813 113,3,2024-09-07 08:50:00:684,1,230,6,0,340,3567,230,0 114,0,2024-09-07 08:50:00:895,56396,0.4,56944,0.6,112646,0.3,151225,1.75 114,1,2024-09-07 08:50:00:716,404651,404651,0,0,189292007400,1975649877,399846,3216,1589,381,391556,0 114,2,2024-09-07 08:50:00:875,288989,288988,1,0,12363314,0,5069 114,3,2024-09-07 08:50:01:278,1,230,1,0,395,2635,230,0 115,0,2024-09-07 08:50:00:554,57896,0.3,58256,0.4,116490,0.2,154487,1.75 115,1,2024-09-07 08:50:00:577,404586,404586,0,0,190173972741,1981681586,400229,3523,834,382,391602,0 115,2,2024-09-07 08:50:01:126,290383,290383,0,0,10753041,0,2152 115,3,2024-09-07 08:50:01:002,1,230,2,0,159,1340,230,0 116,0,2024-09-07 08:50:01:696,58755,0.7,58474,0.9,117325,0.7,156691,2.00 116,1,2024-09-07 08:50:00:806,402228,402228,0,0,187946608313,1994346576,395842,4332,2054,380,391782,0 116,2,2024-09-07 08:50:01:755,290912,290912,0,0,15509333,0,3529 116,3,2024-09-07 08:50:00:912,1,230,0,0,415,3501,230,0 117,0,2024-09-07 08:50:00:965,58391,0.9,58230,0.9,116480,1.0,155602,2.00 117,1,2024-09-07 08:50:01:577,403929,403929,0,0,188257628358,1974883820,399236,4213,480,370,392033,0 117,2,2024-09-07 08:50:01:120,294175,294175,0,0,12812910,0,3700 117,3,2024-09-07 08:50:01:066,1,230,1,0,490,3897,230,0 118,0,2024-09-07 08:50:01:906,53915,0.7,55429,0.8,112853,0.7,147933,2.25 118,1,2024-09-07 08:50:00:599,403757,403757,0,0,188223731843,1983672014,397430,4896,1431,366,391736,0 118,2,2024-09-07 08:50:01:585,289024,289024,0,0,13712522,0,2781 118,3,2024-09-07 08:50:01:766,1,230,13,0,235,2768,230,0 119,0,2024-09-07 08:50:01:370,58731,1.0,59192,0.9,118434,1.3,157080,2.25 119,1,2024-09-07 08:50:00:550,404776,404776,0,0,189919511405,1987384007,400132,4122,522,368,391641,0 119,2,2024-09-07 08:50:01:263,290073,290073,0,0,13096581,0,4174 119,3,2024-09-07 08:50:01:331,1,230,3,0,443,3421,230,0 120,0,2024-09-07 08:50:01:542,58530,0.6,58231,0.8,116962,0.5,156265,2.00 120,1,2024-09-07 08:50:00:871,403854,403854,0,0,189000090618,1987351445,399184,4320,350,368,391961,0 120,2,2024-09-07 08:50:00:770,290072,290071,1,0,15757655,0,5281 120,3,2024-09-07 08:50:01:303,1,230,8,0,241,3176,230,0 121,0,2024-09-07 08:50:01:707,58414,1.0,58610,1.0,117163,1.4,156269,2.25 121,1,2024-09-07 08:50:01:662,404270,404270,0,0,188802572702,1980604364,399683,4173,414,367,391840,0 121,2,2024-09-07 08:50:01:125,289466,289466,0,0,15082365,0,4127 121,3,2024-09-07 08:50:00:728,1,230,1,0,269,2772,230,0 122,0,2024-09-07 08:50:01:769,56072,1.0,54695,1.0,114677,1.1,150748,2.25 122,1,2024-09-07 08:50:00:883,403222,403222,0,0,189127271484,1990538000,397164,5242,816,366,392130,0 122,2,2024-09-07 08:50:01:327,291473,291400,73,0,17479757,0,5989 122,3,2024-09-07 08:50:00:599,1,230,0,0,411,4847,230,0 123,0,2024-09-07 08:50:00:953,57709,1.0,56413,0.9,117464,1.2,154723,2.25 123,1,2024-09-07 08:50:00:558,403401,403401,0,0,188780912769,1997836920,394734,6952,1715,369,391823,0 123,2,2024-09-07 08:50:01:035,287836,287835,1,0,14250750,0,5215 123,3,2024-09-07 08:50:01:132,1,230,6,0,168,2895,230,0 124,0,2024-09-07 08:50:00:948,59344,0.3,59310,0.5,111932,0.3,153862,1.75 124,1,2024-09-07 08:50:01:024,405481,405481,0,0,188855680176,1965368442,402646,2473,362,367,392178,0 124,2,2024-09-07 08:50:01:019,289963,289963,0,0,11599888,0,3101 124,3,2024-09-07 08:50:00:761,1,230,1,0,490,2732,230,0 125,0,2024-09-07 08:50:01:430,58001,0.3,57843,0.5,116155,0.3,154143,1.75 125,1,2024-09-07 08:50:00:857,404388,404388,0,0,189742312832,1982288920,401306,2693,389,383,391702,0 125,2,2024-09-07 08:50:01:119,290777,290777,0,0,12676042,0,4534 125,3,2024-09-07 08:50:01:126,1,230,2,0,709,3430,230,0 126,0,2024-09-07 08:50:01:493,56982,0.6,58429,0.7,111804,0.6,151556,1.75 126,1,2024-09-07 08:50:00:552,405668,405668,0,0,189776358442,1969740048,402992,2414,262,365,391987,0 126,2,2024-09-07 08:50:00:618,295573,295573,0,0,12982270,0,3186 126,3,2024-09-07 08:50:00:907,1,230,15,0,183,3242,230,0 127,0,2024-09-07 08:50:01:599,56122,0.4,56372,0.6,112133,0.4,149774,1.75 127,1,2024-09-07 08:50:00:576,404876,404876,0,0,189704904638,1968664831,401935,2788,153,365,391816,0 127,2,2024-09-07 08:50:00:646,289459,289459,0,0,11545372,0,2264 127,3,2024-09-07 08:50:01:285,1,230,1,0,968,2917,230,0 128,0,2024-09-07 08:50:01:521,57678,0.3,57637,0.5,114907,0.3,152770,1.50 128,1,2024-09-07 08:50:01:629,404892,404892,0,0,189644224753,1969113234,402731,2004,157,367,391798,0 128,2,2024-09-07 08:50:01:397,289381,289381,0,0,11410222,0,2434 128,3,2024-09-07 08:50:00:767,1,230,6,0,1082,5166,230,0 129,0,2024-09-07 08:50:00:994,59246,0.3,58927,0.4,117806,0.2,156301,1.50 129,1,2024-09-07 08:50:00:567,402900,402900,0,0,188279185361,1976708855,398768,3205,927,379,391835,0 129,2,2024-09-07 08:50:00:686,291970,291970,0,0,12293497,0,4031 129,3,2024-09-07 08:50:00:687,1,230,1,0,469,3195,230,0 130,0,2024-09-07 08:50:01:720,57895,0.6,57722,0.6,115621,0.6,153770,1.75 130,1,2024-09-07 08:50:00:588,405170,405170,0,0,189716027115,1975732984,402749,2344,77,381,391825,0 130,2,2024-09-07 08:50:01:127,294825,294825,0,0,12159205,0,4067 130,3,2024-09-07 08:50:01:294,1,230,3,0,450,3075,230,0 131,0,2024-09-07 08:50:01:947,55413,0.4,55742,0.6,112250,0.4,149040,1.75 131,1,2024-09-07 08:50:01:829,405441,405441,0,0,189701612733,1979934451,402405,2579,457,381,391865,0 131,2,2024-09-07 08:50:00:571,290771,290771,0,0,10896313,0,2415 131,3,2024-09-07 08:50:01:690,1,230,5,0,392,2451,230,0 132,0,2024-09-07 08:50:01:414,58607,0.5,59161,0.7,118261,0.5,156946,2.00 132,1,2024-09-07 08:50:00:576,402695,402695,0,0,188561839370,1993552362,395698,5745,1252,381,392097,0 132,2,2024-09-07 08:50:00:702,289738,289738,0,0,14724830,0,4606 132,3,2024-09-07 08:50:01:689,1,230,1,0,356,3463,230,0 133,0,2024-09-07 08:50:01:518,57774,0.4,59105,0.6,121014,0.3,156986,1.75 133,1,2024-09-07 08:50:00:591,402537,402537,0,0,189046720997,1993500136,397067,4804,666,383,391914,0 133,2,2024-09-07 08:50:01:093,290395,290395,0,0,15811351,0,4315 133,3,2024-09-07 08:50:01:297,1,230,1,0,276,2399,230,0 134,0,2024-09-07 08:50:00:962,59129,0.5,59085,0.7,118677,0.4,157850,2.00 134,1,2024-09-07 08:50:00:598,402964,402964,0,0,188394180402,1983675224,396523,4972,1469,366,391718,0 134,2,2024-09-07 08:50:01:766,291087,291087,0,0,13374780,0,3847 134,3,2024-09-07 08:50:00:748,1,230,1,0,739,3625,230,0 135,0,2024-09-07 08:50:01:128,53846,1.1,53885,1.1,114073,1.2,147824,2.25 135,1,2024-09-07 08:50:01:588,402563,402563,0,0,189517556741,1998050671,396379,5189,995,380,391805,0 135,2,2024-09-07 08:50:00:687,290823,290823,0,0,14623883,0,3981 135,3,2024-09-07 08:50:01:002,1,230,8,0,299,1808,230,0 136,0,2024-09-07 08:50:01:623,59373,0.7,59335,0.8,118592,0.7,157832,2.25 136,1,2024-09-07 08:50:01:441,403088,403088,0,0,188095594897,1981500696,397571,4933,584,382,391685,0 136,2,2024-09-07 08:50:01:133,290525,290525,0,0,14170419,0,3506 136,3,2024-09-07 08:50:01:110,1,230,0,0,126,1834,230,0 137,0,2024-09-07 08:50:00:964,60007,0.6,58282,0.8,115134,0.6,156279,2.00 137,1,2024-09-07 08:50:00:600,403007,403007,0,0,188996546016,1989192872,396066,5524,1417,366,391708,0 137,2,2024-09-07 08:50:01:705,289559,289559,0,0,15695696,0,3185 137,3,2024-09-07 08:50:00:773,1,230,1,0,382,3039,230,0 138,0,2024-09-07 08:50:01:772,57366,0.9,57387,0.9,115321,1.0,153605,2.25 138,1,2024-09-07 08:50:01:685,403374,403374,0,0,189560062116,1996262022,396415,5828,1131,368,391954,0 138,2,2024-09-07 08:50:00:587,289913,289913,0,0,14486956,0,4988 138,3,2024-09-07 08:50:00:627,1,230,1,0,1160,3804,230,0 139,0,2024-09-07 08:50:01:397,55351,2.3,55505,1.4,111624,3.3,149367,2.75 139,1,2024-09-07 08:50:00:582,401693,401693,0,0,188028124809,2003643025,393217,6364,2112,381,391892,0 139,2,2024-09-07 08:50:00:698,291655,291655,0,0,15131794,0,3097 139,3,2024-09-07 08:50:01:683,1,230,4,0,244,2455,230,0 140,0,2024-09-07 08:50:01:589,57295,0.3,56673,0.5,114124,0.3,151904,1.75 140,1,2024-09-07 08:50:01:543,406113,406113,0,0,191597551569,1976815988,404136,1736,241,365,391606,0 140,2,2024-09-07 08:50:00:688,290388,290388,0,0,11895874,0,3388 140,3,2024-09-07 08:50:00:767,1,230,1,0,247,2120,230,0 141,0,2024-09-07 08:50:01:751,57190,0.3,58738,0.5,112292,0.2,152715,1.50 141,1,2024-09-07 08:50:00:867,405554,405554,0,0,190002784124,1973880484,402984,2162,408,379,391614,0 141,2,2024-09-07 08:50:01:688,289584,289584,0,0,11493818,0,2342 141,3,2024-09-07 08:50:01:048,1,230,18,0,202,2272,230,0 142,0,2024-09-07 08:50:01:320,59106,0.3,58493,0.4,116330,0.2,155598,1.50 142,1,2024-09-07 08:50:00:584,404796,404796,0,0,189028916760,1973879186,402010,2521,265,382,392102,0 142,2,2024-09-07 08:50:01:307,290484,290452,32,0,13275194,0,6028 142,3,2024-09-07 08:50:01:748,1,230,8,0,484,3052,230,0 143,0,2024-09-07 08:50:01:378,56699,0.5,56656,0.6,113917,0.6,151263,1.75 143,1,2024-09-07 08:50:00:585,405081,405081,0,0,189613926609,1969058160,402369,2617,95,367,391619,0 143,2,2024-09-07 08:50:00:775,294461,294461,0,0,12475482,0,2669 143,3,2024-09-07 08:50:01:140,1,230,3,0,303,3178,230,0 144,0,2024-09-07 08:50:01:528,54306,0.8,55843,1.0,113511,0.8,149554,2.25 144,1,2024-09-07 08:50:00:567,402938,402938,0,0,188506950478,1982426515,398944,3073,921,381,391649,0 144,2,2024-09-07 08:50:01:758,289565,289565,0,0,11699006,0,3473 144,3,2024-09-07 08:50:01:738,1,230,1,0,249,2848,230,0 145,0,2024-09-07 08:50:01:444,55752,0.7,55757,0.9,118252,0.6,152784,2.50 145,1,2024-09-07 08:50:00:551,402336,402336,0,0,188508839459,1986718390,396845,4670,821,382,391698,0 145,2,2024-09-07 08:50:01:441,288352,288352,0,0,13869582,0,3903 145,3,2024-09-07 08:50:00:913,1,230,2,0,622,3948,230,0 146,0,2024-09-07 08:50:01:601,58781,0.5,58312,0.8,117514,0.5,155966,2.25 146,1,2024-09-07 08:50:01:584,403263,403263,0,0,188854057542,1995850658,395021,6396,1846,368,391770,0 146,2,2024-09-07 08:50:01:700,290769,290769,0,0,14107940,0,2730 146,3,2024-09-07 08:50:01:274,1,230,1,0,1520,5867,230,0 147,0,2024-09-07 08:50:01:753,58248,0.6,58214,0.8,115584,0.6,155217,2.25 147,1,2024-09-07 08:50:01:389,405231,405231,0,0,189292735380,1973055594,401139,3507,585,368,391791,0 147,2,2024-09-07 08:50:01:010,293771,293771,0,0,11941025,0,2789 147,3,2024-09-07 08:50:00:914,1,230,0,0,730,3850,230,0 0,0,2024-09-07 08:50:11:703,57203,0.5,57202,0.7,121408,0.5,157136,1.75 0,1,2024-09-07 08:50:10:809,406116,406116,0,0,189740105330,1987539157,402934,2979,203,370,391772,0 0,2,2024-09-07 08:50:11:067,292467,292467,0,0,12568068,0,4480 0,3,2024-09-07 08:50:10:979,1,231,19,0,319,3272,231,0 1,0,2024-09-07 08:50:11:770,59041,0.9,58487,1.0,117831,1.1,157294,2.00 1,1,2024-09-07 08:50:10:579,405612,405612,0,0,189375738295,1988131190,401119,3440,1053,371,391857,0 1,2,2024-09-07 08:50:10:649,291627,291627,0,0,11539471,0,3267 1,3,2024-09-07 08:50:11:319,1,231,4,0,262,2854,231,0 2,0,2024-09-07 08:50:11:580,56488,0.8,56842,0.9,112855,1.1,150810,2.00 2,1,2024-09-07 08:50:10:859,406355,406355,0,0,190221671158,1978181429,404252,1885,218,380,391745,0 2,2,2024-09-07 08:50:11:267,293143,293143,0,0,12192663,0,3594 2,3,2024-09-07 08:50:10:693,1,231,2,0,357,2243,231,0 3,0,2024-09-07 08:50:11:745,58540,0.5,58608,0.7,116556,0.4,156025,2.00 3,1,2024-09-07 08:50:11:618,405802,405802,0,0,189584863627,1978380572,401963,3377,462,380,391523,0 3,2,2024-09-07 08:50:11:145,291265,291242,23,0,12321832,0,5851 3,3,2024-09-07 08:50:11:753,1,231,0,0,103,1493,231,0 4,0,2024-09-07 08:50:11:790,56050,0.4,57720,0.5,117313,0.3,153970,1.75 4,1,2024-09-07 08:50:10:593,405543,405543,0,0,189544668778,1994438297,400834,3921,788,371,391846,0 4,2,2024-09-07 08:50:11:019,290127,290127,0,0,14536438,0,4528 4,3,2024-09-07 08:50:11:030,1,231,0,0,448,3700,231,0 5,0,2024-09-07 08:50:11:386,58031,0.4,58339,0.6,115914,0.4,154255,1.75 5,1,2024-09-07 08:50:10:754,405394,405394,0,0,189792269747,1999554599,399912,4370,1112,368,392005,0 5,2,2024-09-07 08:50:11:835,289862,289862,0,0,13233112,0,2432 5,3,2024-09-07 08:50:11:731,1,231,3,0,457,3750,231,0 6,0,2024-09-07 08:50:10:917,57442,0.7,56802,0.8,113465,0.7,151989,2.00 6,1,2024-09-07 08:50:10:752,405829,405829,0,0,189650094567,1985837543,401162,3823,844,379,391651,0 6,2,2024-09-07 08:50:11:174,294964,294964,0,0,13328497,0,4816 6,3,2024-09-07 08:50:11:281,1,231,0,0,340,2874,231,0 7,0,2024-09-07 08:50:11:555,56100,0.6,56219,0.7,112164,0.5,149778,2.00 7,1,2024-09-07 08:50:10:854,405397,405397,0,0,190692166060,2004673190,399502,4892,1003,382,391686,0 7,2,2024-09-07 08:50:10:770,289758,289758,0,0,13031026,0,4791 7,3,2024-09-07 08:50:10:853,1,231,2,0,398,2715,231,0 8,0,2024-09-07 08:50:11:379,57458,0.4,57544,0.5,114499,0.3,152842,1.75 8,1,2024-09-07 08:50:11:020,404027,404027,0,0,188890472376,1998199267,395969,6050,2008,367,391956,0 8,2,2024-09-07 08:50:10:789,286919,286919,0,0,15711716,0,3220 8,3,2024-09-07 08:50:10:589,1,231,1,0,357,3610,231,0 9,0,2024-09-07 08:50:11:127,59051,0.3,57278,0.5,119548,0.3,156645,1.75 9,1,2024-09-07 08:50:10:571,404855,404855,0,0,189915978938,2007062548,398375,4940,1540,370,392001,0 9,2,2024-09-07 08:50:11:092,291713,291713,0,0,13947242,0,3360 9,3,2024-09-07 08:50:11:769,1,231,4,0,496,3510,231,0 10,0,2024-09-07 08:50:11:601,57814,0.3,57296,0.5,115322,0.2,153460,1.75 10,1,2024-09-07 08:50:10:583,405456,405456,0,0,189778374763,1994452268,399097,5431,928,381,391741,0 10,2,2024-09-07 08:50:10:761,294758,294758,0,0,15470879,0,4264 10,3,2024-09-07 08:50:10:871,1,231,1,0,177,2019,231,0 11,0,2024-09-07 08:50:11:010,55379,0.5,53808,0.7,112728,0.5,150013,2.00 11,1,2024-09-07 08:50:10:571,405542,405542,0,0,189276914213,1997127309,397357,6204,1981,383,391537,0 11,2,2024-09-07 08:50:11:126,289737,289737,0,0,14431792,0,4130 11,3,2024-09-07 08:50:11:307,1,231,2,0,843,3958,231,0 12,0,2024-09-07 08:50:11:021,59354,0.4,59161,0.5,118303,0.3,157011,1.75 12,1,2024-09-07 08:50:10:945,406018,406018,0,0,189154797736,1975735162,402511,3086,421,370,391870,0 12,2,2024-09-07 08:50:11:554,291466,291466,0,0,13503000,0,3469 12,3,2024-09-07 08:50:11:064,1,231,1,0,386,4073,231,0 13,0,2024-09-07 08:50:11:345,59642,0.3,59531,0.5,118712,0.3,157891,1.50 13,1,2024-09-07 08:50:11:524,405696,405696,0,0,190269329965,1999509736,402156,3001,539,382,391717,0 13,2,2024-09-07 08:50:10:600,293289,293289,0,0,12679906,0,3287 13,3,2024-09-07 08:50:11:781,1,231,1,0,467,3797,231,0 14,0,2024-09-07 08:50:10:570,59541,0.4,60006,0.6,118868,0.3,158224,1.75 14,1,2024-09-07 08:50:11:564,408093,408093,0,0,190556032015,1975501113,405265,2639,189,364,391571,0 14,2,2024-09-07 08:50:10:767,292764,292764,0,0,12716345,0,2896 14,3,2024-09-07 08:50:11:116,1,231,3,0,1168,3413,231,0 15,0,2024-09-07 08:50:11:554,55788,0.6,56119,0.8,112098,0.6,149618,2.00 15,1,2024-09-07 08:50:11:608,406423,406423,0,0,190102698280,1979014615,403904,2341,178,381,391619,0 15,2,2024-09-07 08:50:10:998,291806,291806,0,0,10754474,0,3043 15,3,2024-09-07 08:50:11:411,1,231,2,0,1126,5193,231,0 16,0,2024-09-07 08:50:10:931,59342,0.8,59566,0.9,118398,0.9,158048,2.25 16,1,2024-09-07 08:50:10:567,406658,406658,0,0,190325541995,1992004528,403108,3252,298,370,391756,0 16,2,2024-09-07 08:50:11:435,290844,290844,0,0,13244668,0,4719 16,3,2024-09-07 08:50:11:146,1,231,88,0,317,3329,231,0 17,0,2024-09-07 08:50:11:807,60418,0.5,58868,0.7,115455,0.5,156925,2.00 17,1,2024-09-07 08:50:10:575,405103,405103,0,0,189597182049,1994369854,400270,3731,1102,368,391688,0 17,2,2024-09-07 08:50:11:666,294528,294528,0,0,12374169,0,2857 17,3,2024-09-07 08:50:10:574,1,231,61,0,268,3884,231,0 18,0,2024-09-07 08:50:10:949,57416,0.7,57539,0.8,115114,0.7,153642,2.25 18,1,2024-09-07 08:50:11:641,406593,406593,0,0,190822280700,1980056807,404090,2263,240,367,391649,0 18,2,2024-09-07 08:50:11:760,291978,291978,0,0,12002836,0,3541 18,3,2024-09-07 08:50:10:900,1,231,1,0,163,2453,231,0 19,0,2024-09-07 08:50:11:541,56265,0.8,56904,0.9,112412,0.8,150194,2.25 19,1,2024-09-07 08:50:10:567,406292,406292,0,0,190923359559,1987385405,401660,3846,786,367,391777,0 19,2,2024-09-07 08:50:11:752,295668,295668,0,0,11214036,0,3988 19,3,2024-09-07 08:50:11:137,1,231,14,0,524,1823,231,0 20,0,2024-09-07 08:50:11:368,56873,0.8,56802,0.8,113917,0.9,152148,2.25 20,1,2024-09-07 08:50:10:567,405369,405369,0,0,189809283099,1988589602,401564,3409,396,369,391886,0 20,2,2024-09-07 08:50:10:927,291108,291108,0,0,12669210,0,3721 20,3,2024-09-07 08:50:10:592,1,231,1,0,414,4496,231,0 21,0,2024-09-07 08:50:11:198,57457,0.5,57743,0.7,115153,0.5,152870,2.00 21,1,2024-09-07 08:50:11:568,404503,404503,0,0,189064702938,1995202979,398786,4460,1257,368,391962,0 21,2,2024-09-07 08:50:11:078,290065,290065,0,0,14187722,0,3747 21,3,2024-09-07 08:50:11:424,1,231,10,0,103,2530,231,0 22,0,2024-09-07 08:50:11:738,58315,0.6,58336,0.8,116378,0.6,154924,2.25 22,1,2024-09-07 08:50:11:023,405029,405029,0,0,189076449081,1995754236,397842,5545,1642,382,391667,0 22,2,2024-09-07 08:50:10:760,291730,291730,0,0,12685067,0,3134 22,3,2024-09-07 08:50:11:066,1,231,1,0,228,2266,231,0 23,0,2024-09-07 08:50:11:368,56679,0.7,56590,0.8,113576,0.7,151749,2.25 23,1,2024-09-07 08:50:11:007,405695,405695,0,0,190605707760,2005898116,398403,4741,2551,365,391550,0 23,2,2024-09-07 08:50:11:093,295879,295879,0,0,12405436,0,3010 23,3,2024-09-07 08:50:11:759,1,231,1,0,645,3095,231,0 24,0,2024-09-07 08:50:10:848,56925,0.5,56862,0.6,113984,0.4,151926,1.75 24,1,2024-09-07 08:50:10:583,405461,405461,0,0,189975125344,1998012576,399856,4126,1479,369,391987,0 24,2,2024-09-07 08:50:11:070,288884,288884,0,0,14884773,0,3607 24,3,2024-09-07 08:50:11:690,1,231,1,0,468,3602,231,0 25,0,2024-09-07 08:50:11:383,59445,0.5,58062,0.7,113666,0.5,155078,2.00 25,1,2024-09-07 08:50:10:566,405913,405913,0,0,189941423487,1992097230,401632,3728,553,371,391788,0 25,2,2024-09-07 08:50:11:614,289284,289284,0,0,15245578,0,3978 25,3,2024-09-07 08:50:11:009,1,231,12,0,255,2640,231,0 26,0,2024-09-07 08:50:11:722,58702,0.4,57397,0.6,120350,0.3,156588,1.75 26,1,2024-09-07 08:50:11:541,405564,405564,0,0,189198409428,1990585012,398601,5747,1216,381,391748,0 26,2,2024-09-07 08:50:10:861,292413,292413,0,0,15028152,0,2809 26,3,2024-09-07 08:50:11:712,1,231,1,0,796,3209,231,0 27,0,2024-09-07 08:50:11:725,58799,0.4,58642,0.6,116214,0.4,154925,2.00 27,1,2024-09-07 08:50:11:680,407554,407554,0,0,190999205146,1990433621,404107,2855,592,381,391558,0 27,2,2024-09-07 08:50:10:868,292453,292388,65,0,15225371,0,5699 27,3,2024-09-07 08:50:11:017,1,231,69,0,564,2680,231,0 28,0,2024-09-07 08:50:11:387,55931,0.6,55754,0.7,111919,0.6,149649,2.25 28,1,2024-09-07 08:50:10:834,406996,406996,0,0,190636049651,1987321842,404161,2365,470,383,391646,0 28,2,2024-09-07 08:50:11:771,290758,290758,0,0,11909410,0,2915 28,3,2024-09-07 08:50:11:779,1,231,9,0,502,2532,231,0 29,0,2024-09-07 08:50:11:356,60716,0.4,59405,0.7,116001,0.4,158373,1.75 29,1,2024-09-07 08:50:11:561,407505,407505,0,0,190967483334,1980186431,404284,2656,565,369,391621,0 29,2,2024-09-07 08:50:10:863,290837,290837,0,0,11409479,0,4018 29,3,2024-09-07 08:50:10:968,1,231,4,0,115,1731,231,0 30,0,2024-09-07 08:50:11:458,58739,0.5,57109,0.7,119496,0.5,156574,2.00 30,1,2024-09-07 08:50:10:571,407635,407635,0,0,191165696083,1983343499,405308,2034,293,382,391672,0 30,2,2024-09-07 08:50:11:279,292730,292730,0,0,11326679,0,3161 30,3,2024-09-07 08:50:10:586,1,231,11,0,195,1859,231,0 31,0,2024-09-07 08:50:11:764,58513,0.4,58817,0.6,118013,0.4,157146,2.00 31,1,2024-09-07 08:50:10:565,408578,408578,0,0,191578023160,1965335010,407190,1169,219,356,391712,0 31,2,2024-09-07 08:50:11:279,291580,291580,0,0,12856852,0,3525 31,3,2024-09-07 08:50:11:706,1,231,1,0,220,1897,231,0 32,0,2024-09-07 08:50:11:414,56464,0.4,56872,0.6,113883,0.3,151392,1.75 32,1,2024-09-07 08:50:10:805,407615,407615,0,0,190764561586,1981000061,405693,1643,279,381,391614,0 32,2,2024-09-07 08:50:10:955,293401,293401,0,0,11003688,0,3155 32,3,2024-09-07 08:50:11:018,1,231,8,0,227,1781,231,0 33,0,2024-09-07 08:50:11:498,59045,0.3,58252,0.5,117227,0.2,156991,1.75 33,1,2024-09-07 08:50:10:580,407721,407721,0,0,191029167878,1976071462,405142,2405,174,369,391730,0 33,2,2024-09-07 08:50:10:771,292150,292117,33,0,12805203,0,7012 33,3,2024-09-07 08:50:10:900,1,231,51,0,329,2707,231,0 34,0,2024-09-07 08:50:10:937,57805,0.3,59466,0.5,114216,0.3,153293,1.75 34,1,2024-09-07 08:50:11:045,408352,408352,0,0,191644992302,1972608005,407275,1070,7,367,391562,0 34,2,2024-09-07 08:50:10:766,291371,291371,0,0,12006708,0,3577 34,3,2024-09-07 08:50:11:688,1,231,2,0,299,1926,231,0 35,0,2024-09-07 08:50:10:857,57554,0.4,57900,0.5,116358,0.3,154574,1.75 35,1,2024-09-07 08:50:11:069,406812,406812,0,0,190603602540,1979512986,403471,2561,780,384,391589,0 35,2,2024-09-07 08:50:11:584,291954,291954,0,0,11437239,0,2653 35,3,2024-09-07 08:50:10:911,1,231,3,0,418,2776,231,0 36,0,2024-09-07 08:50:11:516,57005,0.7,56946,0.8,114100,0.7,151549,2.50 36,1,2024-09-07 08:50:10:587,406254,406254,0,0,190228156474,1993842360,400293,4383,1578,366,391759,0 36,2,2024-09-07 08:50:11:753,294698,294698,0,0,13634364,0,3875 36,3,2024-09-07 08:50:10:867,1,231,1,0,416,3909,231,0 37,0,2024-09-07 08:50:11:368,55992,0.6,55864,0.7,112056,0.6,150666,2.00 37,1,2024-09-07 08:50:10:571,405364,405357,0,7,188983039826,1985485396,399352,3939,2066,365,391560,0 37,2,2024-09-07 08:50:11:145,289845,289830,15,0,13706891,0,5815 37,3,2024-09-07 08:50:11:769,1,231,0,0,888,4300,231,0 38,0,2024-09-07 08:50:11:447,56855,0.5,55214,0.7,115571,0.5,151031,2.00 38,1,2024-09-07 08:50:11:605,406860,406860,0,0,190488747010,1992688633,401594,4591,675,368,391821,0 38,2,2024-09-07 08:50:10:760,290112,290065,47,0,14205194,0,6710 38,3,2024-09-07 08:50:10:997,1,231,1,0,689,3545,231,0 39,0,2024-09-07 08:50:11:783,60092,0.6,58979,0.7,114644,0.6,156647,2.00 39,1,2024-09-07 08:50:10:716,406420,406420,0,0,189512533958,1981943716,400894,4625,901,365,391594,0 39,2,2024-09-07 08:50:11:417,291798,291798,0,0,12353030,0,2689 39,3,2024-09-07 08:50:10:714,1,231,1,0,324,3383,231,0 40,0,2024-09-07 08:50:11:495,57064,0.8,57584,1.0,115121,0.8,153023,3.00 40,1,2024-09-07 08:50:10:581,406097,406097,0,0,189279775293,1989493420,399098,5556,1443,368,391591,0 40,2,2024-09-07 08:50:11:302,294391,294390,1,0,15538112,0,5137 40,3,2024-09-07 08:50:11:148,1,231,0,0,398,2988,231,0 41,0,2024-09-07 08:50:11:026,55228,2.4,56416,1.6,107740,3.6,148287,4.25 41,1,2024-09-07 08:50:10:769,405973,405973,0,0,190509312093,1996602636,400444,4895,634,370,391742,0 41,2,2024-09-07 08:50:10:759,288734,288734,0,0,14682769,0,3356 41,3,2024-09-07 08:50:11:676,1,231,3,0,366,2720,231,0 42,0,2024-09-07 08:50:11:478,58065,1.0,58390,1.1,116647,1.1,153789,2.75 42,1,2024-09-07 08:50:11:439,404732,404732,0,0,189970202406,2002167127,397575,5705,1452,380,391675,0 42,2,2024-09-07 08:50:11:140,290727,290727,0,0,13956696,0,3568 42,3,2024-09-07 08:50:11:009,1,231,1,0,446,2033,231,0 43,0,2024-09-07 08:50:10:921,58990,0.7,57450,0.9,120021,0.7,157560,2.25 43,1,2024-09-07 08:50:10:579,405451,405451,0,0,189921834783,1989883617,399193,4929,1329,366,391696,0 43,2,2024-09-07 08:50:11:736,293227,293227,0,0,13459726,0,3812 43,3,2024-09-07 08:50:11:751,1,231,0,0,467,3007,231,0 44,0,2024-09-07 08:50:10:871,59336,0.5,59660,0.7,118936,0.4,158381,1.75 44,1,2024-09-07 08:50:10:571,407398,407398,0,0,190127833135,1965628600,404844,2123,431,356,391809,0 44,2,2024-09-07 08:50:11:271,292419,292419,0,0,11333401,0,2231 44,3,2024-09-07 08:50:11:092,1,231,0,0,817,2898,231,0 45,0,2024-09-07 08:50:11:758,55015,0.8,53848,1.0,113038,0.9,149541,2.25 45,1,2024-09-07 08:50:11:006,407093,407093,0,0,191558229416,1986709043,405444,1637,12,382,391917,0 45,2,2024-09-07 08:50:11:267,292104,292104,0,0,11989329,0,3596 45,3,2024-09-07 08:50:10:940,1,231,3,0,271,2632,231,0 46,0,2024-09-07 08:50:10:952,58867,0.7,58818,0.8,117872,0.7,155763,2.25 46,1,2024-09-07 08:50:10:579,408228,408228,0,0,190994954327,1974112747,405882,2072,274,366,391539,0 46,2,2024-09-07 08:50:10:593,291806,291806,0,0,11602745,0,2920 46,3,2024-09-07 08:50:11:139,1,231,0,0,908,3739,231,0 47,0,2024-09-07 08:50:11:114,58872,0.5,58754,0.6,118184,0.4,156441,1.75 47,1,2024-09-07 08:50:10:577,408062,408062,0,0,190543719414,1969199291,405740,2188,134,366,391605,0 47,2,2024-09-07 08:50:10:908,293492,293492,0,0,11681752,0,2558 47,3,2024-09-07 08:50:11:117,1,231,1,0,529,2224,231,0 48,0,2024-09-07 08:50:11:518,58440,0.3,58062,0.4,115744,0.2,154618,1.50 48,1,2024-09-07 08:50:11:022,407733,407733,0,0,190806424987,1984060716,404686,2748,299,384,391710,0 48,2,2024-09-07 08:50:10:699,291728,291728,0,0,11144131,0,3031 48,3,2024-09-07 08:50:10:755,1,231,1,0,339,2064,231,0 49,0,2024-09-07 08:50:11:711,58283,0.4,57198,0.6,110927,0.4,152331,1.75 49,1,2024-09-07 08:50:11:021,405865,405865,0,0,190860083067,1991662886,402074,2610,1181,382,391610,0 49,2,2024-09-07 08:50:11:799,295427,295427,0,0,11767427,0,3900 49,3,2024-09-07 08:50:11:416,1,231,1,0,408,2709,231,0 50,0,2024-09-07 08:50:11:507,57113,0.3,56564,0.5,113650,0.2,152028,1.75 50,1,2024-09-07 08:50:11:010,408338,408338,0,0,191148352126,1979096743,405633,2395,310,368,391530,0 50,2,2024-09-07 08:50:11:068,291516,291516,0,0,11019691,0,2263 50,3,2024-09-07 08:50:11:295,1,231,1,0,335,2017,231,0 51,0,2024-09-07 08:50:11:684,58749,0.3,57528,0.5,111996,0.2,153194,1.75 51,1,2024-09-07 08:50:11:683,407706,407706,0,0,191747105483,1981894503,404911,1856,939,365,391706,0 51,2,2024-09-07 08:50:11:318,290601,290601,0,0,10317634,0,3337 51,3,2024-09-07 08:50:11:028,1,231,4,0,678,1991,231,0 52,0,2024-09-07 08:50:11:411,59086,0.5,58707,0.6,117190,0.4,155654,2.00 52,1,2024-09-07 08:50:10:589,405933,405933,0,0,189531585044,1992767463,398797,5882,1254,368,391722,0 52,2,2024-09-07 08:50:11:760,290173,290135,38,0,14436962,0,6742 52,3,2024-09-07 08:50:10:674,1,231,3,0,1782,4184,231,0 53,0,2024-09-07 08:50:11:730,56451,0.8,54921,0.9,114873,0.9,150824,2.50 53,1,2024-09-07 08:50:10:771,405023,405023,0,0,189866575462,1999467739,397700,4967,2356,367,391702,0 53,2,2024-09-07 08:50:11:298,295595,295595,0,0,12094389,0,2727 53,3,2024-09-07 08:50:10:700,1,231,1,0,271,2232,231,0 54,0,2024-09-07 08:50:11:616,55548,1.0,55961,1.0,110835,0.7,149182,3.00 54,1,2024-09-07 08:50:10:586,406319,406319,0,0,191041584714,1991142707,401584,4138,597,367,391659,0 54,2,2024-09-07 08:50:10:865,289796,289790,6,0,13262858,0,5382 54,3,2024-09-07 08:50:10:771,1,231,1,0,676,3643,231,0 55,0,2024-09-07 08:50:11:768,55811,0.7,57875,0.9,116349,0.6,151530,2.50 55,1,2024-09-07 08:50:10:766,405572,405572,0,0,189796514160,1980629917,400023,4762,787,365,391731,0 55,2,2024-09-07 08:50:10:732,289846,289846,0,0,13403468,0,3275 55,3,2024-09-07 08:50:10:679,1,231,0,0,304,2755,231,0 56,0,2024-09-07 08:50:11:553,60285,1.1,56853,1.1,117022,1.4,156516,2.50 56,1,2024-09-07 08:50:10:584,404067,404067,0,0,189376910048,2007958631,396933,5696,1438,381,391678,0 56,2,2024-09-07 08:50:11:302,292623,292623,0,0,14688177,0,3567 56,3,2024-09-07 08:50:11:062,1,231,2,0,705,3490,231,0 57,0,2024-09-07 08:50:10:961,57584,1.7,57712,1.3,115419,2.3,153922,3.25 57,1,2024-09-07 08:50:10:996,405641,405641,0,0,189508835350,1990716303,400324,4647,670,367,391960,0 57,2,2024-09-07 08:50:11:320,294396,294396,0,0,15660110,0,3317 57,3,2024-09-07 08:50:11:742,1,231,3,0,359,3332,231,0 58,0,2024-09-07 08:50:10:564,54525,1.1,53168,1.1,111244,1.4,146151,2.75 58,1,2024-09-07 08:50:10:581,405892,405889,0,3,190796867199,1998184384,400162,5017,710,367,391545,3 58,2,2024-09-07 08:50:11:070,290814,290814,0,0,14073800,0,2549 58,3,2024-09-07 08:50:11:068,1,231,1,0,1043,2797,231,0 59,0,2024-09-07 08:50:11:747,58708,0.9,58636,1.1,117003,1.0,155245,3.00 59,1,2024-09-07 08:50:10:807,405886,405886,0,0,190064195744,1993984057,400099,4522,1265,369,391525,0 59,2,2024-09-07 08:50:10:587,292358,292358,0,0,12780231,0,2604 59,3,2024-09-07 08:50:11:742,1,231,10,0,1015,3473,231,0 60,0,2024-09-07 08:50:11:722,59062,0.4,58832,0.6,118501,0.4,157172,1.75 60,1,2024-09-07 08:50:10:772,407697,407697,0,0,190716365040,1980285637,405264,1916,517,370,392031,0 60,2,2024-09-07 08:50:11:147,292385,292385,0,0,13941032,0,3811 60,3,2024-09-07 08:50:11:258,1,231,1,0,124,2343,231,0 61,0,2024-09-07 08:50:11:494,58830,0.7,59079,0.8,117648,0.7,157346,2.00 61,1,2024-09-07 08:50:10:770,406176,406176,0,0,189917768117,1990696565,401609,3864,703,382,391880,0 61,2,2024-09-07 08:50:11:119,292776,292776,0,0,12266564,0,2780 61,3,2024-09-07 08:50:11:687,1,231,1,0,397,3274,231,0 62,0,2024-09-07 08:50:11:713,57187,0.8,58244,0.9,110848,0.9,151266,2.00 62,1,2024-09-07 08:50:11:118,408664,408658,0,6,190945753417,1972071432,406202,2339,117,365,391715,6 62,2,2024-09-07 08:50:11:644,291927,291926,1,0,13623598,0,5555 62,3,2024-09-07 08:50:11:144,1,231,1,0,287,1682,231,0 63,0,2024-09-07 08:50:11:468,58410,0.5,58606,0.6,117330,0.5,156530,1.75 63,1,2024-09-07 08:50:10:805,408221,408215,0,6,190525011098,1975552613,406641,1524,50,381,391677,6 63,2,2024-09-07 08:50:10:761,291442,291442,0,0,11353557,0,2674 63,3,2024-09-07 08:50:11:745,1,231,11,0,667,2829,231,0 64,0,2024-09-07 08:50:11:511,57316,0.4,57381,0.6,114935,0.4,153222,1.75 64,1,2024-09-07 08:50:10:756,406783,406783,0,0,190519193887,1990694535,402303,3066,1414,370,391783,0 64,2,2024-09-07 08:50:11:154,293642,293623,19,0,12111228,0,6121 64,3,2024-09-07 08:50:11:144,1,231,0,0,265,2450,231,0 65,0,2024-09-07 08:50:11:743,57430,0.6,57841,0.7,115476,0.6,153560,2.00 65,1,2024-09-07 08:50:10:859,405693,405693,0,0,189529335833,1985810788,402146,3104,443,382,391901,0 65,2,2024-09-07 08:50:11:694,291560,291560,0,0,12735448,0,3367 65,3,2024-09-07 08:50:11:684,1,231,1,0,163,2242,231,0 66,0,2024-09-07 08:50:11:767,56618,0.5,56528,0.7,113608,0.5,150841,2.00 66,1,2024-09-07 08:50:11:301,407413,407413,0,0,190643775902,1982890674,404855,2358,200,380,391588,0 66,2,2024-09-07 08:50:11:135,297179,297179,0,0,12633733,0,4956 66,3,2024-09-07 08:50:11:079,1,231,2,0,291,2580,231,0 67,0,2024-09-07 08:50:11:413,56680,0.7,56292,0.8,113016,0.8,150763,2.25 67,1,2024-09-07 08:50:10:766,406438,406437,0,1,190068832594,1985579458,402600,3090,747,380,391787,1 67,2,2024-09-07 08:50:10:587,291886,291886,0,0,11544112,0,2889 67,3,2024-09-07 08:50:11:752,1,231,0,0,338,2200,231,0 68,0,2024-09-07 08:50:10:580,57482,0.6,57348,0.8,114090,0.7,152675,2.00 68,1,2024-09-07 08:50:10:581,405696,405696,0,0,189317140322,1987780691,401726,2832,1138,381,391953,0 68,2,2024-09-07 08:50:11:045,289568,289503,65,0,15294454,0,6698 68,3,2024-09-07 08:50:10:728,1,231,0,0,417,2753,231,0 69,0,2024-09-07 08:50:11:730,58559,0.7,58882,0.8,117151,0.8,155838,2.25 69,1,2024-09-07 08:50:11:019,404396,404396,0,0,189580807770,2004862587,398698,4297,1401,384,391994,0 69,2,2024-09-07 08:50:11:733,291864,291864,0,0,14834449,0,3722 69,3,2024-09-07 08:50:10:760,1,231,1,0,698,3546,231,0 70,0,2024-09-07 08:50:11:534,57208,1.1,57174,1.1,114780,0.8,152623,2.50 70,1,2024-09-07 08:50:10:808,406390,406390,0,0,190589750918,1982092028,402735,3127,528,366,391725,0 70,2,2024-09-07 08:50:11:328,294710,294710,0,0,13928792,0,4044 70,3,2024-09-07 08:50:10:745,1,231,1,0,854,2819,231,0 71,0,2024-09-07 08:50:11:374,55310,1.5,55212,1.3,110426,2.2,148929,3.25 71,1,2024-09-07 08:50:11:615,406002,406002,0,0,190969516670,1995311162,400882,4499,621,368,391738,0 71,2,2024-09-07 08:50:11:066,290616,290616,0,0,13822165,0,4042 71,3,2024-09-07 08:50:11:752,1,231,5,0,644,3569,231,0 72,0,2024-09-07 08:50:11:047,60766,0.9,59398,0.9,115849,1.1,157475,2.25 72,1,2024-09-07 08:50:11:036,405926,405926,0,0,189858315400,1986511249,400876,4119,931,369,391819,0 72,2,2024-09-07 08:50:11:762,289686,289686,0,0,15678428,0,3983 72,3,2024-09-07 08:50:11:754,1,231,1,0,564,4362,231,0 73,0,2024-09-07 08:50:11:102,57863,0.4,59154,0.6,121378,0.4,157260,2.00 73,1,2024-09-07 08:50:10:769,406470,406470,0,0,189839440284,1976494886,403391,2847,232,367,391750,0 73,2,2024-09-07 08:50:11:739,293042,293042,0,0,15095648,0,3701 73,3,2024-09-07 08:50:10:974,1,231,4,0,274,3600,231,0 74,0,2024-09-07 08:50:11:326,59904,0.5,61200,0.7,116717,0.4,158266,2.25 74,1,2024-09-07 08:50:10:642,406195,406195,0,0,190000739340,1987862802,401798,3598,799,381,391681,0 74,2,2024-09-07 08:50:11:007,293211,293211,0,0,14539707,0,4253 74,3,2024-09-07 08:50:11:446,1,231,0,0,522,3306,231,0 75,0,2024-09-07 08:50:11:770,56175,0.7,55778,0.9,112083,0.7,150434,2.50 75,1,2024-09-07 08:50:11:586,405615,405615,0,0,189703768225,1987566564,400710,4242,663,380,391660,0 75,2,2024-09-07 08:50:11:350,290924,290924,0,0,15001870,0,4766 75,3,2024-09-07 08:50:11:067,1,231,1,0,702,3496,231,0 76,0,2024-09-07 08:50:10:607,58947,0.8,58391,0.9,116879,0.8,156637,2.50 76,1,2024-09-07 08:50:10:817,406522,406522,0,0,189776092504,1982813201,403464,2510,548,382,391692,0 76,2,2024-09-07 08:50:11:061,292538,292538,0,0,12191926,0,3784 76,3,2024-09-07 08:50:11:144,1,231,0,0,175,2515,231,0 77,0,2024-09-07 08:50:11:706,58642,0.5,58913,0.7,117457,0.5,156206,2.00 77,1,2024-09-07 08:50:10:842,406662,406662,0,0,190287939394,1989862862,403220,3186,256,383,391808,0 77,2,2024-09-07 08:50:11:288,291576,291576,0,0,12822912,0,3890 77,3,2024-09-07 08:50:11:093,1,231,2,0,382,2768,231,0 78,0,2024-09-07 08:50:11:723,58272,0.4,57556,0.7,116374,0.4,153916,2.00 78,1,2024-09-07 08:50:10:609,406893,406893,0,0,190414409821,1979004972,403898,2677,318,367,391670,0 78,2,2024-09-07 08:50:11:416,291709,291709,0,0,11444272,0,3855 78,3,2024-09-07 08:50:11:134,1,231,4,0,181,2378,231,0 79,0,2024-09-07 08:50:11:352,54977,0.5,56388,0.7,115320,0.4,150053,2.50 79,1,2024-09-07 08:50:10:586,408037,408037,0,0,190796910871,1976839948,405197,2504,336,369,391682,0 79,2,2024-09-07 08:50:11:073,295341,295341,0,0,11674436,0,3212 79,3,2024-09-07 08:50:10:756,1,231,1,0,418,3611,231,0 80,0,2024-09-07 08:50:11:074,56944,0.6,58556,0.7,112024,0.6,151824,2.00 80,1,2024-09-07 08:50:11:619,406180,406180,0,0,190520192873,1985541910,403217,2795,168,368,391791,0 80,2,2024-09-07 08:50:11:091,291997,291997,0,0,11858063,0,4433 80,3,2024-09-07 08:50:10:578,1,231,112,0,190,3354,231,0 81,0,2024-09-07 08:50:11:536,57289,0.5,58620,0.7,112482,0.5,152210,2.00 81,1,2024-09-07 08:50:11:658,405619,405619,0,0,188972867573,1978711124,401783,3375,461,382,391879,0 81,2,2024-09-07 08:50:11:139,290406,290406,0,0,12241268,0,3993 81,3,2024-09-07 08:50:11:118,1,231,1,0,374,2690,231,0 82,0,2024-09-07 08:50:11:532,58106,0.4,58566,0.6,117251,0.4,155678,2.00 82,1,2024-09-07 08:50:10:587,406948,406944,0,4,190503651238,1986905286,403723,2610,611,381,391558,4 82,2,2024-09-07 08:50:11:697,292584,292584,0,0,11620471,0,3986 82,3,2024-09-07 08:50:11:753,1,231,2,0,363,2770,231,0 83,0,2024-09-07 08:50:11:525,56927,0.6,57012,0.7,113156,0.6,151214,2.00 83,1,2024-09-07 08:50:10:557,406165,406165,0,0,190148339182,1985683590,402999,2923,243,382,391690,0 83,2,2024-09-07 08:50:10:766,295249,295249,0,0,11596006,0,3119 83,3,2024-09-07 08:50:10:755,1,231,0,0,250,2560,231,0 84,0,2024-09-07 08:50:11:763,56021,0.9,56069,1.0,111924,0.8,150640,2.50 84,1,2024-09-07 08:50:11:042,405270,405270,0,0,190276072862,1993256106,400539,4156,575,368,391967,0 84,2,2024-09-07 08:50:10:583,290146,290146,0,0,13473468,0,3801 84,3,2024-09-07 08:50:11:144,1,231,0,0,908,3836,231,0 85,0,2024-09-07 08:50:11:005,55977,0.8,55869,0.9,118578,0.9,153044,2.50 85,1,2024-09-07 08:50:10:578,404840,404840,0,0,189127143873,2002918756,398014,5720,1106,382,392006,0 85,2,2024-09-07 08:50:10:865,291872,291872,0,0,14197300,0,3656 85,3,2024-09-07 08:50:10:686,1,231,6,0,789,3131,231,0 86,0,2024-09-07 08:50:10:916,59028,0.6,60581,0.8,116099,0.6,156476,2.00 86,1,2024-09-07 08:50:10:854,406568,406568,0,0,190394467299,1994277514,401595,4250,723,366,391961,0 86,2,2024-09-07 08:50:10:855,291760,291759,1,0,15046297,0,5004 86,3,2024-09-07 08:50:10:592,1,231,1,0,286,3167,231,0 87,0,2024-09-07 08:50:11:349,58337,1.0,57972,1.0,116607,1.4,155389,2.25 87,1,2024-09-07 08:50:10:729,406315,406315,0,0,189998341399,1990605449,401855,3979,481,366,391788,0 87,2,2024-09-07 08:50:11:071,292849,292849,0,0,13534921,0,4045 87,3,2024-09-07 08:50:11:794,1,231,1,0,473,3735,231,0 88,0,2024-09-07 08:50:11:446,55746,0.5,56085,0.7,112133,0.6,149193,2.00 88,1,2024-09-07 08:50:10:584,404194,404194,0,0,188996018789,1988136442,398028,4654,1512,365,392084,0 88,2,2024-09-07 08:50:10:695,290506,290506,0,0,15449403,0,3583 88,3,2024-09-07 08:50:11:267,1,231,1,0,435,2997,231,0 89,0,2024-09-07 08:50:11:791,60891,0.6,58783,0.7,116846,0.5,158480,2.00 89,1,2024-09-07 08:50:10:556,404121,404121,0,0,190035345389,2009691704,397221,5680,1220,382,391866,0 89,2,2024-09-07 08:50:11:146,290490,290490,0,0,14741019,0,2910 89,3,2024-09-07 08:50:11:792,1,231,2,0,325,4688,231,0 90,0,2024-09-07 08:50:11:639,57398,0.5,58618,0.6,120052,0.4,156291,1.75 90,1,2024-09-07 08:50:10:609,406194,406194,0,0,189638870421,1990768066,402087,3830,277,381,391825,0 90,2,2024-09-07 08:50:11:471,291088,291088,0,0,15855237,0,3060 90,3,2024-09-07 08:50:10:978,1,231,22,0,200,2539,231,0 91,0,2024-09-07 08:50:10:985,59181,0.4,57237,0.6,119487,0.4,157407,1.75 91,1,2024-09-07 08:50:10:568,405355,405355,0,0,190276788633,2004847874,399495,5168,692,381,392047,0 91,2,2024-09-07 08:50:11:351,291863,291863,0,0,14328836,0,2896 91,3,2024-09-07 08:50:10:601,1,231,1,0,216,2333,231,0 92,0,2024-09-07 08:50:11:458,57144,0.6,58582,0.7,111931,0.6,151515,1.75 92,1,2024-09-07 08:50:10:585,405936,405936,0,0,190443834563,1988327442,403387,2143,406,382,392136,0 92,2,2024-09-07 08:50:11:354,294454,294454,0,0,12010226,0,2801 92,3,2024-09-07 08:50:11:009,1,231,4,0,167,1920,231,0 93,0,2024-09-07 08:50:10:972,58788,0.4,60222,0.6,115086,0.4,156950,1.75 93,1,2024-09-07 08:50:10:843,406367,406367,0,0,189391034036,1980801374,401900,3681,786,366,391692,0 93,2,2024-09-07 08:50:10:938,291999,291999,0,0,12738430,0,2797 93,3,2024-09-07 08:50:11:409,1,231,1,0,190,2432,231,0 94,0,2024-09-07 08:50:11:712,57589,0.4,58313,0.5,116121,0.3,153971,1.75 94,1,2024-09-07 08:50:10:593,405987,405987,0,0,190311198062,1990914413,402714,3097,176,381,391850,0 94,2,2024-09-07 08:50:10:768,290877,290877,0,0,11822898,0,2443 94,3,2024-09-07 08:50:11:696,1,231,1,0,264,3199,231,0 95,0,2024-09-07 08:50:11:396,58218,0.3,57744,0.5,116816,0.3,154434,1.75 95,1,2024-09-07 08:50:10:874,406874,406874,0,0,190722313638,1985782763,403313,3185,376,367,391713,0 95,2,2024-09-07 08:50:11:081,291105,291105,0,0,12196794,0,3308 95,3,2024-09-07 08:50:11:711,1,231,0,0,718,4419,231,0 96,0,2024-09-07 08:50:11:032,57091,0.4,57296,0.6,114340,0.4,151352,1.75 96,1,2024-09-07 08:50:11:587,405572,405572,0,0,190531342614,1992440045,402006,2836,730,384,391894,0 96,2,2024-09-07 08:50:11:268,295294,295294,0,0,12809476,0,4042 96,3,2024-09-07 08:50:11:144,1,231,3,0,411,2739,231,0 97,0,2024-09-07 08:50:11:313,56534,0.4,56289,0.5,113241,0.3,150665,1.75 97,1,2024-09-07 08:50:10:777,406951,406951,0,0,191011124301,1983623061,403925,2471,555,367,392140,0 97,2,2024-09-07 08:50:10:617,291054,291054,0,0,11791930,0,3036 97,3,2024-09-07 08:50:10:577,1,231,3,0,214,2854,231,0 98,0,2024-09-07 08:50:11:724,57380,0.3,57458,0.5,115439,0.2,152865,1.50 98,1,2024-09-07 08:50:10:584,406952,406952,0,0,190491510248,1985022940,404823,2032,97,382,391997,0 98,2,2024-09-07 08:50:10:770,291189,291189,0,0,11989381,0,3080 98,3,2024-09-07 08:50:10:706,1,231,22,0,840,4489,231,0 99,0,2024-09-07 08:50:11:514,58905,0.3,59035,0.4,117845,0.3,156931,1.50 99,1,2024-09-07 08:50:11:731,406793,406793,0,0,189178067858,1975685195,403013,3041,739,381,391744,0 99,2,2024-09-07 08:50:11:417,294795,294795,0,0,12638938,0,3106 99,3,2024-09-07 08:50:10:600,1,231,2,0,168,1878,231,0 100,0,2024-09-07 08:50:11:464,57507,0.8,57499,1.0,114804,1.0,153138,2.25 100,1,2024-09-07 08:50:10:553,403997,403997,0,0,188553792684,1998852830,397166,5514,1317,381,391989,0 100,2,2024-09-07 08:50:11:817,293264,293253,11,0,14289447,0,5417 100,3,2024-09-07 08:50:11:730,1,231,83,0,559,4820,231,0 101,0,2024-09-07 08:50:11:705,56904,2.2,55437,1.4,108649,2.8,150379,2.75 101,1,2024-09-07 08:50:10:566,404531,404531,0,0,189220207868,1999679186,397190,5570,1771,368,391769,0 101,2,2024-09-07 08:50:11:763,289248,289248,0,0,15385043,0,4644 101,3,2024-09-07 08:50:10:949,1,231,5,0,448,3032,231,0 102,0,2024-09-07 08:50:11:006,57453,0.8,59604,0.9,119628,0.9,156773,2.25 102,1,2024-09-07 08:50:11:188,405156,405156,0,0,189635128225,1996813247,399511,4806,839,369,391883,0 102,2,2024-09-07 08:50:11:744,291187,291133,54,0,14094053,0,6768 102,3,2024-09-07 08:50:11:615,1,231,1,0,410,2510,231,0 103,0,2024-09-07 08:50:11:616,61080,0.5,61063,0.7,115139,0.4,158361,2.00 103,1,2024-09-07 08:50:11:638,404451,404451,0,0,189123516729,1997712982,398166,4904,1381,381,391829,0 103,2,2024-09-07 08:50:10:598,291434,291434,0,0,13314220,0,2582 103,3,2024-09-07 08:50:10:756,1,231,2,0,916,3489,231,0 104,0,2024-09-07 08:50:11:015,58902,0.8,59390,1.0,117361,0.8,158378,2.25 104,1,2024-09-07 08:50:11:604,405815,405815,0,0,189664066451,1999885948,399153,5567,1095,365,391948,0 104,2,2024-09-07 08:50:11:666,291730,291730,0,0,15087414,0,3941 104,3,2024-09-07 08:50:11:417,1,231,1,0,1245,6319,231,0 105,0,2024-09-07 08:50:11:055,55450,1.7,53776,1.4,112471,2.8,148920,3.75 105,1,2024-09-07 08:50:10:557,405683,405683,0,0,190160030383,2000834825,399395,5314,974,367,391797,0 105,2,2024-09-07 08:50:11:326,291273,291273,0,0,14526347,0,3509 105,3,2024-09-07 08:50:11:315,1,231,1,0,399,4188,231,0 106,0,2024-09-07 08:50:10:994,57121,1.1,58458,1.1,119759,1.3,156396,2.75 106,1,2024-09-07 08:50:11:757,405103,405103,0,0,189323358687,1995295785,398022,6323,758,369,391767,0 106,2,2024-09-07 08:50:10:765,289526,289526,0,0,13977579,0,2795 106,3,2024-09-07 08:50:10:678,1,231,3,0,470,3522,231,0 107,0,2024-09-07 08:50:11:196,58590,0.8,58489,0.9,116721,0.9,155865,2.00 107,1,2024-09-07 08:50:10:587,404675,404675,0,0,189881921233,2002337167,399361,4768,546,381,392234,0 107,2,2024-09-07 08:50:11:300,291028,291027,1,0,14633463,0,5024 107,3,2024-09-07 08:50:11:759,1,231,1,0,353,3347,231,0 108,0,2024-09-07 08:50:11:779,58154,0.4,58364,0.6,115704,0.4,154578,1.75 108,1,2024-09-07 08:50:11:297,406077,406077,0,0,190680524895,1989093537,402880,2813,384,368,391857,0 108,2,2024-09-07 08:50:11:760,290742,290742,0,0,13040448,0,2647 108,3,2024-09-07 08:50:11:340,1,231,0,0,749,4788,231,0 109,0,2024-09-07 08:50:11:783,57101,0.4,56523,0.6,113336,0.4,152290,1.75 109,1,2024-09-07 08:50:10:587,405113,405113,0,0,189488081448,1990097232,401332,3267,514,383,392132,0 109,2,2024-09-07 08:50:10:925,293669,293669,0,0,13584799,0,3617 109,3,2024-09-07 08:50:11:144,1,231,1,0,249,2902,231,0 110,0,2024-09-07 08:50:11:755,57065,0.4,55609,0.6,116290,0.4,152474,1.75 110,1,2024-09-07 08:50:11:643,406728,406728,0,0,190947873213,1985415904,403587,2264,877,370,392045,0 110,2,2024-09-07 08:50:11:302,291682,291682,0,0,12731193,0,3264 110,3,2024-09-07 08:50:10:691,1,231,19,0,406,3128,231,0 111,0,2024-09-07 08:50:11:418,57747,0.4,57285,0.5,114399,0.3,153626,1.75 111,1,2024-09-07 08:50:11:000,407388,407388,0,0,191103432965,1982895955,405401,1651,336,382,391690,0 111,2,2024-09-07 08:50:11:118,290585,290585,0,0,12310794,0,2763 111,3,2024-09-07 08:50:10:915,1,231,0,0,379,3321,231,0 112,0,2024-09-07 08:50:10:919,58700,0.3,58663,0.4,117340,0.2,155770,1.50 112,1,2024-09-07 08:50:10:828,407806,407806,0,0,190552588031,1978432804,405390,1988,428,380,391580,0 112,2,2024-09-07 08:50:11:135,291879,291878,1,0,12411914,0,5036 112,3,2024-09-07 08:50:10:592,1,231,1,0,282,2525,231,0 113,0,2024-09-07 08:50:10:876,56772,0.3,56673,0.5,113871,0.3,152314,1.75 113,1,2024-09-07 08:50:11:690,407998,407998,0,0,191940183858,1982989841,405506,2003,489,366,391661,0 113,2,2024-09-07 08:50:11:302,296322,296322,0,0,11451354,0,3813 113,3,2024-09-07 08:50:10:684,1,231,1,0,340,3568,231,0 114,0,2024-09-07 08:50:10:875,56853,0.4,57354,0.6,113569,0.3,152403,1.75 114,1,2024-09-07 08:50:10:721,406491,406491,0,0,189908766015,1981997340,401686,3216,1589,381,391556,0 114,2,2024-09-07 08:50:10:873,290345,290344,1,0,12396750,0,5069 114,3,2024-09-07 08:50:11:281,1,231,1,0,395,2636,231,0 115,0,2024-09-07 08:50:10:564,58017,0.3,58365,0.4,116693,0.2,154801,1.75 115,1,2024-09-07 08:50:10:571,406290,406290,0,0,190946871112,1989612849,401933,3523,834,382,391602,0 115,2,2024-09-07 08:50:11:125,291690,291690,0,0,10778915,0,2152 115,3,2024-09-07 08:50:11:005,1,231,0,0,159,1340,231,0 116,0,2024-09-07 08:50:11:710,58859,0.7,58626,0.9,117544,0.7,157022,2.00 116,1,2024-09-07 08:50:10:806,404030,404030,0,0,188821835656,2003270525,397643,4332,2055,380,391782,0 116,2,2024-09-07 08:50:11:753,292067,292067,0,0,15518207,0,3529 116,3,2024-09-07 08:50:10:916,1,231,2,0,415,3503,231,0 117,0,2024-09-07 08:50:10:956,58401,0.9,58247,0.9,116511,1.0,155602,2.00 117,1,2024-09-07 08:50:11:579,405757,405757,0,0,188839854464,1980835334,401064,4213,480,370,392033,0 117,2,2024-09-07 08:50:11:139,295556,295556,0,0,12822329,0,3700 117,3,2024-09-07 08:50:11:059,1,231,19,0,490,3916,231,0 118,0,2024-09-07 08:50:11:804,54250,0.7,55774,0.8,113566,0.7,148836,2.25 118,1,2024-09-07 08:50:10:587,405552,405552,0,0,189050930092,1992107464,399222,4898,1432,366,391736,0 118,2,2024-09-07 08:50:11:588,290146,290146,0,0,13722312,0,2781 118,3,2024-09-07 08:50:11:789,1,231,2,0,235,2770,231,0 119,0,2024-09-07 08:50:11:366,58819,1.0,59281,0.9,118623,1.3,157317,2.25 119,1,2024-09-07 08:50:10:552,406613,406613,0,0,190772706766,1996084062,401968,4123,522,368,391641,0 119,2,2024-09-07 08:50:11:281,291347,291347,0,0,13106821,0,4174 119,3,2024-09-07 08:50:11:329,1,231,2,0,443,3423,231,0 120,0,2024-09-07 08:50:11:557,58756,0.5,58478,0.8,117407,0.5,156845,2.00 120,1,2024-09-07 08:50:10:864,405651,405651,0,0,190005557994,1997545112,400980,4321,350,368,391961,0 120,2,2024-09-07 08:50:10:773,291583,291582,1,0,15773483,0,5281 120,3,2024-09-07 08:50:11:295,1,231,2,0,241,3178,231,0 121,0,2024-09-07 08:50:11:720,58745,1.0,58938,1.0,117803,1.4,157163,2.25 121,1,2024-09-07 08:50:11:660,406105,406105,0,0,189681663949,1989551429,401516,4175,414,367,391840,0 121,2,2024-09-07 08:50:11:127,291032,291032,0,0,15099995,0,4127 121,3,2024-09-07 08:50:10:730,1,231,2,0,269,2774,231,0 122,0,2024-09-07 08:50:11:779,56329,1.0,54960,1.0,115198,1.1,151468,2.25 122,1,2024-09-07 08:50:10:860,404973,404973,0,0,189966916222,1999060142,398914,5243,816,366,392130,0 122,2,2024-09-07 08:50:11:320,292176,292103,73,0,17485701,0,5989 122,3,2024-09-07 08:50:10:600,1,231,1,0,411,4848,231,0 123,0,2024-09-07 08:50:10:958,58224,1.0,56907,0.9,118575,1.2,156217,2.25 123,1,2024-09-07 08:50:10:568,405248,405248,0,0,189700399850,2007180043,396581,6952,1715,369,391823,0 123,2,2024-09-07 08:50:11:019,289272,289271,1,0,14263145,0,5215 123,3,2024-09-07 08:50:11:145,1,231,1,0,168,2896,231,0 124,0,2024-09-07 08:50:10:944,59682,0.3,59637,0.5,112560,0.3,154710,1.75 124,1,2024-09-07 08:50:11:118,407393,407393,0,0,189782103331,1974814375,404558,2473,362,367,392178,0 124,2,2024-09-07 08:50:11:011,291532,291532,0,0,11640690,0,3101 124,3,2024-09-07 08:50:10:758,1,231,0,0,490,2732,231,0 125,0,2024-09-07 08:50:11:429,58144,0.3,57993,0.5,116483,0.3,154550,1.75 125,1,2024-09-07 08:50:10:860,406132,406132,0,0,190443578912,1989526868,403050,2693,389,383,391702,0 125,2,2024-09-07 08:50:11:126,291965,291965,0,0,12701401,0,4534 125,3,2024-09-07 08:50:11:139,1,231,1,0,709,3431,231,0 126,0,2024-09-07 08:50:11:473,57223,0.6,58699,0.7,112284,0.6,152201,1.75 126,1,2024-09-07 08:50:10:556,407504,407504,0,0,190444039526,1976581434,404828,2414,262,365,391987,0 126,2,2024-09-07 08:50:10:609,296577,296577,0,0,13009833,0,3186 126,3,2024-09-07 08:50:10:912,1,231,1,0,183,3243,231,0 127,0,2024-09-07 08:50:11:598,56510,0.4,56772,0.6,112958,0.4,150769,1.75 127,1,2024-09-07 08:50:10:575,406698,406698,0,0,190473614305,1976525784,403756,2789,153,365,391816,0 127,2,2024-09-07 08:50:10:642,290974,290974,0,0,11582766,0,2264 127,3,2024-09-07 08:50:11:270,1,231,1,0,968,2918,231,0 128,0,2024-09-07 08:50:11:620,57848,0.3,57799,0.5,115261,0.3,153208,1.50 128,1,2024-09-07 08:50:11:605,406664,406664,0,0,190424936163,1977140199,404501,2006,157,367,391798,0 128,2,2024-09-07 08:50:11:387,290712,290712,0,0,11439186,0,2434 128,3,2024-09-07 08:50:10:768,1,231,114,0,1082,5280,231,0 129,0,2024-09-07 08:50:11:005,59334,0.3,59035,0.4,118004,0.2,156553,1.50 129,1,2024-09-07 08:50:10:583,404662,404662,0,0,189143825838,1985644479,400529,3206,927,379,391835,0 129,2,2024-09-07 08:50:10:689,293063,293063,0,0,12319368,0,4031 129,3,2024-09-07 08:50:10:688,1,231,12,0,469,3207,231,0 130,0,2024-09-07 08:50:11:728,58004,0.6,57846,0.6,115821,0.6,154093,1.75 130,1,2024-09-07 08:50:10:587,407002,407002,0,0,190933957645,1988101472,404581,2344,77,381,391825,0 130,2,2024-09-07 08:50:11:128,296155,296155,0,0,12185120,0,4067 130,3,2024-09-07 08:50:11:295,1,231,205,0,450,3280,231,0 131,0,2024-09-07 08:50:11:962,55892,0.4,56224,0.6,113237,0.4,150341,1.75 131,1,2024-09-07 08:50:11:824,407252,407252,0,0,190599268720,1989127861,404215,2580,457,381,391865,0 131,2,2024-09-07 08:50:10:571,291990,291990,0,0,10935478,0,2415 131,3,2024-09-07 08:50:11:688,1,231,2,0,392,2453,231,0 132,0,2024-09-07 08:50:11:429,58734,0.5,59287,0.7,118480,0.5,157253,2.00 132,1,2024-09-07 08:50:10:579,404455,404455,0,0,189492465036,2003022576,397458,5745,1252,381,392097,0 132,2,2024-09-07 08:50:10:698,290898,290898,0,0,14735197,0,4606 132,3,2024-09-07 08:50:11:692,1,231,1,0,356,3464,231,0 133,0,2024-09-07 08:50:11:566,57870,0.4,59213,0.6,121228,0.3,157233,1.75 133,1,2024-09-07 08:50:10:584,404375,404375,0,0,190032900682,2003546976,398904,4804,667,383,391914,0 133,2,2024-09-07 08:50:11:099,291854,291854,0,0,15824551,0,4315 133,3,2024-09-07 08:50:11:307,1,231,9,0,276,2408,231,0 134,0,2024-09-07 08:50:10:944,59347,0.5,59282,0.7,119064,0.4,158347,2.00 134,1,2024-09-07 08:50:10:587,404781,404781,0,0,189279666799,1992668050,398337,4974,1470,366,391718,0 134,2,2024-09-07 08:50:11:760,292454,292454,0,0,13385093,0,3847 134,3,2024-09-07 08:50:10:755,1,231,1,0,739,3626,231,0 135,0,2024-09-07 08:50:11:113,54275,1.0,54275,1.1,114993,1.2,148989,2.25 135,1,2024-09-07 08:50:11:588,404381,404381,0,0,190291675072,2005953633,398197,5189,995,380,391805,0 135,2,2024-09-07 08:50:10:694,291720,291720,0,0,14629729,0,3981 135,3,2024-09-07 08:50:11:011,1,231,10,0,299,1818,231,0 136,0,2024-09-07 08:50:11:636,59507,0.7,59473,0.8,118891,0.7,158111,2.25 136,1,2024-09-07 08:50:11:458,404848,404848,0,0,188877766542,1989508302,399331,4933,584,382,391685,0 136,2,2024-09-07 08:50:11:135,291953,291953,0,0,14189176,0,3506 136,3,2024-09-07 08:50:11:106,1,231,1,0,126,1835,231,0 137,0,2024-09-07 08:50:10:991,60337,0.6,58585,0.8,115707,0.6,157055,2.00 137,1,2024-09-07 08:50:10:575,404751,404751,0,0,189617312267,1995533486,397810,5524,1417,366,391708,0 137,2,2024-09-07 08:50:11:706,291174,291174,0,0,15711797,0,3185 137,3,2024-09-07 08:50:10:774,1,231,1,0,382,3040,231,0 138,0,2024-09-07 08:50:11:757,57587,0.9,57622,0.9,115759,1.0,154183,2.25 138,1,2024-09-07 08:50:11:689,405156,405156,0,0,190253579473,2003334646,398197,5828,1131,368,391954,0 138,2,2024-09-07 08:50:10:590,291246,291246,0,0,14506988,0,4988 138,3,2024-09-07 08:50:10:610,1,231,2,0,1160,3806,231,0 139,0,2024-09-07 08:50:11:374,55791,2.3,55972,1.4,112552,3.3,150643,2.75 139,1,2024-09-07 08:50:10:575,403450,403450,0,0,188962057804,2013159993,394974,6364,2112,381,391892,0 139,2,2024-09-07 08:50:10:696,292439,292439,0,0,15139152,0,3097 139,3,2024-09-07 08:50:11:669,1,231,27,0,244,2482,231,0 140,0,2024-09-07 08:50:11:590,57581,0.3,56937,0.5,114675,0.3,152592,1.75 140,1,2024-09-07 08:50:11:536,407969,407969,0,0,192222528640,1983222787,405992,1736,241,365,391606,0 140,2,2024-09-07 08:50:10:694,291811,291811,0,0,11918189,0,3388 140,3,2024-09-07 08:50:10:771,1,231,1,0,247,2121,231,0 141,0,2024-09-07 08:50:11:700,57488,0.3,59078,0.5,112951,0.2,153639,1.50 141,1,2024-09-07 08:50:10:859,407365,407365,0,0,190925219544,1983286917,404795,2162,408,379,391614,0 141,2,2024-09-07 08:50:11:694,291025,291025,0,0,11523618,0,2342 141,3,2024-09-07 08:50:11:044,1,231,12,0,202,2284,231,0 142,0,2024-09-07 08:50:11:316,59275,0.3,58676,0.4,116677,0.2,156074,1.50 142,1,2024-09-07 08:50:10:590,406587,406587,0,0,189960843448,1983431000,403800,2522,265,382,392102,0 142,2,2024-09-07 08:50:11:301,291687,291655,32,0,13304463,0,6028 142,3,2024-09-07 08:50:11:751,1,231,2,0,484,3054,231,0 143,0,2024-09-07 08:50:11:404,57018,0.5,56992,0.6,114602,0.6,152158,1.75 143,1,2024-09-07 08:50:10:566,407011,407011,0,0,190637970542,1979571931,404299,2617,95,367,391619,0 143,2,2024-09-07 08:50:10:770,295656,295656,0,0,12501443,0,2669 143,3,2024-09-07 08:50:11:151,1,231,2,0,303,3180,231,0 144,0,2024-09-07 08:50:11:499,54708,0.8,56270,1.0,114441,0.7,150699,2.25 144,1,2024-09-07 08:50:10:568,404755,404755,0,0,189553705760,1993156033,400761,3073,921,381,391649,0 144,2,2024-09-07 08:50:11:760,291165,291165,0,0,11751957,0,3473 144,3,2024-09-07 08:50:11:781,1,231,0,0,249,2848,231,0 145,0,2024-09-07 08:50:11:458,55877,0.7,55847,0.9,118517,0.6,153107,2.50 145,1,2024-09-07 08:50:10:552,404147,404147,0,0,189341157120,1995217711,398656,4670,821,382,391698,0 145,2,2024-09-07 08:50:11:439,289775,289775,0,0,13881600,0,3903 145,3,2024-09-07 08:50:10:900,1,231,2,0,622,3950,231,0 146,0,2024-09-07 08:50:11:602,58893,0.5,58410,0.7,117778,0.5,156293,2.25 146,1,2024-09-07 08:50:11:591,405083,405083,0,0,189731118237,2004835312,396841,6396,1846,368,391770,0 146,2,2024-09-07 08:50:11:695,291920,291920,0,0,14121544,0,2730 146,3,2024-09-07 08:50:11:280,1,231,3,0,1520,5870,231,0 147,0,2024-09-07 08:50:11:722,58259,0.6,58229,0.8,115608,0.6,155217,2.25 147,1,2024-09-07 08:50:11:373,406999,406999,0,0,190115153043,1981479577,402907,3507,585,368,391791,0 147,2,2024-09-07 08:50:11:010,295144,295144,0,0,11963345,0,2789 147,3,2024-09-07 08:50:10:918,1,231,4,0,730,3854,231,0 0,0,2024-09-07 08:50:21:703,57427,0.5,57416,0.7,121856,0.4,157703,1.75 0,1,2024-09-07 08:50:20:818,407872,407872,0,0,190713466716,1997740549,404667,3002,203,370,391772,0 0,2,2024-09-07 08:50:21:068,293969,293969,0,0,12608395,0,4480 0,3,2024-09-07 08:50:20:986,1,232,1,0,319,3273,232,0 1,0,2024-09-07 08:50:21:801,59379,0.9,58810,1.0,118458,1.1,158119,2.00 1,1,2024-09-07 08:50:20:559,407466,407466,0,0,190073035133,1995294884,402973,3440,1053,371,391857,0 1,2,2024-09-07 08:50:20:648,292964,292964,0,0,11589606,0,3267 1,3,2024-09-07 08:50:21:311,1,232,1,0,262,2855,232,0 2,0,2024-09-07 08:50:21:572,56748,0.8,57128,0.9,113443,1.0,151582,2.00 2,1,2024-09-07 08:50:20:863,408109,408109,0,0,190915216209,1985510318,406006,1885,218,380,391745,0 2,2,2024-09-07 08:50:21:266,293870,293870,0,0,12203905,0,3594 2,3,2024-09-07 08:50:20:697,1,232,8,0,357,2251,232,0 3,0,2024-09-07 08:50:21:744,59079,0.5,59127,0.7,117608,0.5,157460,2.00 3,1,2024-09-07 08:50:21:618,407542,407542,0,0,190448273259,1987285180,403703,3377,462,380,391523,0 3,2,2024-09-07 08:50:21:145,292763,292740,23,0,12383927,0,5851 3,3,2024-09-07 08:50:21:763,1,232,1,0,103,1494,232,0 4,0,2024-09-07 08:50:21:788,56360,0.4,57984,0.5,117922,0.3,154778,1.75 4,1,2024-09-07 08:50:20:591,407325,407325,0,0,190409579580,2003243935,402614,3923,788,371,391846,0 4,2,2024-09-07 08:50:21:034,291683,291683,0,0,14550035,0,4528 4,3,2024-09-07 08:50:21:038,1,232,0,0,448,3700,232,0 5,0,2024-09-07 08:50:21:369,58192,0.4,58488,0.6,116218,0.4,154670,1.75 5,1,2024-09-07 08:50:20:770,407221,407221,0,0,190789750459,2009665744,401739,4370,1112,368,392005,0 5,2,2024-09-07 08:50:21:837,291210,291210,0,0,13250260,0,2432 5,3,2024-09-07 08:50:21:732,1,232,2,0,457,3752,232,0 6,0,2024-09-07 08:50:20:926,57681,0.7,57008,0.8,113932,0.7,152623,2.00 6,1,2024-09-07 08:50:20:751,407617,407617,0,0,190458773926,1994063199,402950,3823,844,379,391651,0 6,2,2024-09-07 08:50:21:125,295925,295925,0,0,13336292,0,4816 6,3,2024-09-07 08:50:21:282,1,232,1,0,340,2875,232,0 7,0,2024-09-07 08:50:21:537,56503,0.6,56623,0.7,112936,0.5,150798,2.00 7,1,2024-09-07 08:50:20:856,407215,407215,0,0,191490641580,2012813683,401320,4892,1003,382,391686,0 7,2,2024-09-07 08:50:20:771,291266,291266,0,0,13041713,0,4791 7,3,2024-09-07 08:50:20:862,1,232,7,0,398,2722,232,0 8,0,2024-09-07 08:50:21:345,57653,0.4,57722,0.5,114831,0.3,153298,1.75 8,1,2024-09-07 08:50:21:021,405814,405814,0,0,189948974502,2008929465,397756,6050,2008,367,391956,0 8,2,2024-09-07 08:50:20:799,288075,288075,0,0,15724415,0,3220 8,3,2024-09-07 08:50:20:590,1,232,0,0,357,3610,232,0 9,0,2024-09-07 08:50:21:124,59147,0.3,57380,0.5,119754,0.3,156888,1.75 9,1,2024-09-07 08:50:20:566,406670,406670,0,0,190622899345,2014284077,400190,4940,1540,370,392001,0 9,2,2024-09-07 08:50:21:088,292863,292863,0,0,13961582,0,3360 9,3,2024-09-07 08:50:21:761,1,232,2,0,496,3512,232,0 10,0,2024-09-07 08:50:21:601,57935,0.3,57416,0.5,115574,0.2,153893,1.75 10,1,2024-09-07 08:50:20:584,407272,407272,0,0,190610656925,2002922526,400913,5431,928,381,391741,0 10,2,2024-09-07 08:50:20:770,296095,296095,0,0,15482851,0,4264 10,3,2024-09-07 08:50:20:870,1,232,1,0,177,2020,232,0 11,0,2024-09-07 08:50:21:016,55854,0.5,54340,0.7,113758,0.5,151312,2.00 11,1,2024-09-07 08:50:20:571,407356,407356,0,0,190004527425,2004563180,399169,6206,1981,383,391537,0 11,2,2024-09-07 08:50:21:129,290978,290978,0,0,14447778,0,4130 11,3,2024-09-07 08:50:21:303,1,232,0,0,843,3958,232,0 12,0,2024-09-07 08:50:20:989,59476,0.4,59269,0.5,118530,0.3,157317,1.75 12,1,2024-09-07 08:50:20:949,407764,407764,0,0,189776899074,1982172657,404256,3087,421,370,391870,0 12,2,2024-09-07 08:50:21:541,292725,292725,0,0,13529752,0,3469 12,3,2024-09-07 08:50:21:063,1,232,1,0,386,4074,232,0 13,0,2024-09-07 08:50:21:338,59731,0.3,59637,0.5,118929,0.3,158147,1.50 13,1,2024-09-07 08:50:21:528,407514,407514,0,0,191307593177,2010085321,403974,3001,539,382,391717,0 13,2,2024-09-07 08:50:20:601,294603,294603,0,0,12714516,0,3287 13,3,2024-09-07 08:50:21:766,1,232,26,0,467,3823,232,0 14,0,2024-09-07 08:50:20:590,59739,0.4,60209,0.6,119241,0.3,158737,1.75 14,1,2024-09-07 08:50:21:565,409932,409932,0,0,191468769348,1984793443,407104,2639,189,364,391571,0 14,2,2024-09-07 08:50:20:778,294120,294120,0,0,12737819,0,2896 14,3,2024-09-07 08:50:21:118,1,232,1,0,1168,3414,232,0 15,0,2024-09-07 08:50:21:560,56239,0.6,56565,0.8,112956,0.6,150761,2.00 15,1,2024-09-07 08:50:21:608,408244,408244,0,0,190984007536,1988071220,405725,2341,178,381,391619,0 15,2,2024-09-07 08:50:21:001,292824,292824,0,0,10779058,0,3043 15,3,2024-09-07 08:50:21:412,1,232,1,0,1126,5194,232,0 16,0,2024-09-07 08:50:20:950,59463,0.8,59690,0.9,118671,0.9,158340,2.25 16,1,2024-09-07 08:50:20:567,408430,408430,0,0,191199584920,2000916236,404880,3252,298,370,391756,0 16,2,2024-09-07 08:50:21:434,292272,292272,0,0,13284333,0,4719 16,3,2024-09-07 08:50:21:142,1,232,3,0,317,3332,232,0 17,0,2024-09-07 08:50:21:768,60692,0.5,59158,0.7,115967,0.5,157638,2.00 17,1,2024-09-07 08:50:20:568,406932,406932,0,0,190361797858,2002241599,402099,3731,1102,368,391688,0 17,2,2024-09-07 08:50:21:666,295965,295965,0,0,12422637,0,2857 17,3,2024-09-07 08:50:20:586,1,232,15,0,268,3899,232,0 18,0,2024-09-07 08:50:20:960,57637,0.7,57789,0.8,115555,0.7,154301,2.25 18,1,2024-09-07 08:50:21:637,408421,408421,0,0,191734758499,1989364461,405918,2263,240,367,391649,0 18,2,2024-09-07 08:50:21:767,293421,293421,0,0,12035289,0,3541 18,3,2024-09-07 08:50:20:899,1,232,3,0,163,2456,232,0 19,0,2024-09-07 08:50:21:551,56735,0.8,57347,0.9,113331,0.8,151480,2.25 19,1,2024-09-07 08:50:20:570,408097,408097,0,0,191621560584,1994591759,403464,3847,786,367,391777,0 19,2,2024-09-07 08:50:21:754,296414,296414,0,0,11231211,0,3988 19,3,2024-09-07 08:50:21:130,1,232,1,0,524,1824,232,0 20,0,2024-09-07 08:50:21:350,57162,0.8,57053,0.8,114456,0.9,152895,2.25 20,1,2024-09-07 08:50:20:575,407150,407150,0,0,190727789636,1997914923,403344,3410,396,369,391886,0 20,2,2024-09-07 08:50:20:930,292634,292634,0,0,12682658,0,3721 20,3,2024-09-07 08:50:20:591,1,232,0,0,414,4496,232,0 21,0,2024-09-07 08:50:21:164,57790,0.5,58089,0.7,115799,0.5,153763,2.00 21,1,2024-09-07 08:50:21:559,406276,406276,0,0,189745953012,2002164724,400558,4461,1257,368,391962,0 21,2,2024-09-07 08:50:21:073,291602,291602,0,0,14205653,0,3747 21,3,2024-09-07 08:50:21:408,1,232,12,0,103,2542,232,0 22,0,2024-09-07 08:50:21:717,58507,0.6,58508,0.8,116754,0.6,155390,2.25 22,1,2024-09-07 08:50:21:024,406759,406759,0,0,190145584844,2006603507,399572,5545,1642,382,391667,0 22,2,2024-09-07 08:50:20:767,292889,292889,0,0,12691507,0,3134 22,3,2024-09-07 08:50:21:069,1,232,12,0,228,2278,232,0 23,0,2024-09-07 08:50:21:377,57048,0.6,56908,0.8,114239,0.7,152558,2.25 23,1,2024-09-07 08:50:21:005,407499,407499,0,0,191672351584,2016686564,400207,4741,2551,365,391550,0 23,2,2024-09-07 08:50:21:096,296946,296946,0,0,12412591,0,3010 23,3,2024-09-07 08:50:21:759,1,232,1,0,645,3096,232,0 24,0,2024-09-07 08:50:20:840,57379,0.5,57295,0.6,114857,0.4,153098,1.75 24,1,2024-09-07 08:50:20:581,407292,407292,0,0,190889127081,2007302102,401687,4126,1479,369,391987,0 24,2,2024-09-07 08:50:21:072,290425,290425,0,0,14908974,0,3607 24,3,2024-09-07 08:50:21:690,1,232,3,0,468,3605,232,0 25,0,2024-09-07 08:50:21:359,59568,0.5,58164,0.7,113902,0.5,155390,2.00 25,1,2024-09-07 08:50:20:577,407713,407713,0,0,190765639219,2000485773,403431,3728,554,371,391788,0 25,2,2024-09-07 08:50:21:620,290753,290753,0,0,15268170,0,3978 25,3,2024-09-07 08:50:20:999,1,232,6,0,255,2646,232,0 26,0,2024-09-07 08:50:21:740,58821,0.4,57510,0.6,120614,0.3,156911,1.75 26,1,2024-09-07 08:50:21:552,407342,407342,0,0,189869885545,1997435315,400379,5747,1216,381,391748,0 26,2,2024-09-07 08:50:20:864,293453,293453,0,0,15037858,0,2809 26,3,2024-09-07 08:50:21:714,1,232,2,0,796,3211,232,0 27,0,2024-09-07 08:50:21:729,58803,0.4,58649,0.6,116234,0.4,155026,2.00 27,1,2024-09-07 08:50:21:683,409385,409385,0,0,191999566133,2000578957,405938,2855,592,381,391558,0 27,2,2024-09-07 08:50:20:871,293831,293766,65,0,15236262,0,5699 27,3,2024-09-07 08:50:21:021,1,232,5,0,564,2685,232,0 28,0,2024-09-07 08:50:21:411,56297,0.5,56079,0.7,112609,0.6,150572,2.25 28,1,2024-09-07 08:50:20:799,408721,408721,0,0,191423791423,1995507269,405886,2365,470,383,391646,0 28,2,2024-09-07 08:50:21:764,292067,292067,0,0,11934163,0,2915 28,3,2024-09-07 08:50:21:777,1,232,1,0,502,2533,232,0 29,0,2024-09-07 08:50:21:356,60814,0.4,59489,0.7,116177,0.4,158626,1.75 29,1,2024-09-07 08:50:21:564,409267,409267,0,0,191821054911,1988898264,406046,2656,565,369,391621,0 29,2,2024-09-07 08:50:20:864,292093,292093,0,0,11434356,0,4018 29,3,2024-09-07 08:50:20:963,1,232,7,0,115,1738,232,0 30,0,2024-09-07 08:50:21:469,58945,0.5,57362,0.7,119935,0.5,157111,2.00 30,1,2024-09-07 08:50:20:571,409445,409445,0,0,191826319540,1990128212,407117,2035,293,382,391672,0 30,2,2024-09-07 08:50:21:280,294265,294265,0,0,11355077,0,3161 30,3,2024-09-07 08:50:20:580,1,232,3,0,195,1862,232,0 31,0,2024-09-07 08:50:21:757,58801,0.4,59152,0.6,118671,0.4,157945,2.00 31,1,2024-09-07 08:50:20:564,410414,410414,0,0,192367190626,1973302890,409026,1169,219,356,391712,0 31,2,2024-09-07 08:50:21:284,293199,293199,0,0,12902162,0,3525 31,3,2024-09-07 08:50:21:709,1,232,1,0,220,1898,232,0 32,0,2024-09-07 08:50:21:452,56707,0.4,57170,0.6,114410,0.3,152110,1.75 32,1,2024-09-07 08:50:20:811,409452,409452,0,0,191616442761,1989726957,407530,1643,279,381,391614,0 32,2,2024-09-07 08:50:20:935,294075,294075,0,0,11067985,0,3155 32,3,2024-09-07 08:50:21:018,1,232,192,0,227,1973,232,0 33,0,2024-09-07 08:50:21:492,59545,0.3,58773,0.5,118326,0.2,158426,1.75 33,1,2024-09-07 08:50:20:575,409576,409576,0,0,191865407531,1984570302,406997,2405,174,369,391730,0 33,2,2024-09-07 08:50:20:770,293634,293601,33,0,12824232,0,7012 33,3,2024-09-07 08:50:20:899,1,232,1,0,329,2708,232,0 34,0,2024-09-07 08:50:20:934,58116,0.3,59779,0.5,114831,0.2,154133,1.75 34,1,2024-09-07 08:50:21:049,410161,410161,0,0,192653561775,1982936628,409084,1070,7,367,391562,0 34,2,2024-09-07 08:50:20:770,292891,292891,0,0,12039502,0,3577 34,3,2024-09-07 08:50:21:689,1,232,1,0,299,1927,232,0 35,0,2024-09-07 08:50:20:864,57704,0.4,58081,0.5,116698,0.3,155026,1.75 35,1,2024-09-07 08:50:21:069,408562,408562,0,0,191512805923,1988841355,405221,2561,780,384,391589,0 35,2,2024-09-07 08:50:21:583,293096,293096,0,0,11473510,0,2653 35,3,2024-09-07 08:50:20:907,1,232,1,0,418,2777,232,0 36,0,2024-09-07 08:50:21:538,57237,0.7,57185,0.8,114567,0.7,152253,2.50 36,1,2024-09-07 08:50:20:583,408099,408099,0,0,191115607749,2002828098,402138,4383,1578,366,391759,0 36,2,2024-09-07 08:50:21:755,295614,295614,0,0,13639850,0,3875 36,3,2024-09-07 08:50:20:863,1,232,0,0,416,3909,232,0 37,0,2024-09-07 08:50:21:388,56356,0.6,56283,0.7,112851,0.6,151691,2.00 37,1,2024-09-07 08:50:20:569,407231,407224,0,7,189934071122,1995107803,401219,3939,2066,365,391560,0 37,2,2024-09-07 08:50:21:145,291502,291487,15,0,13720463,0,5815 37,3,2024-09-07 08:50:21:769,1,232,2,0,888,4302,232,0 38,0,2024-09-07 08:50:21:443,57040,0.5,55389,0.7,115916,0.5,151553,2.00 38,1,2024-09-07 08:50:21:605,408664,408664,0,0,191328288706,2001197895,403398,4591,675,368,391821,0 38,2,2024-09-07 08:50:20:769,291407,291360,47,0,14215087,0,6710 38,3,2024-09-07 08:50:21:004,1,232,1,0,689,3546,232,0 39,0,2024-09-07 08:50:21:761,60197,0.6,59070,0.7,114845,0.6,156923,2.00 39,1,2024-09-07 08:50:20:716,408172,408172,0,0,190311776685,1990036762,402646,4625,901,365,391594,0 39,2,2024-09-07 08:50:21:425,293013,293013,0,0,12361411,0,2689 39,3,2024-09-07 08:50:20:717,1,232,2,0,324,3385,232,0 40,0,2024-09-07 08:50:21:491,57181,0.8,57705,1.0,115381,0.8,153343,3.00 40,1,2024-09-07 08:50:20:576,407927,407927,0,0,190030633145,1997111584,400928,5556,1443,368,391591,0 40,2,2024-09-07 08:50:21:305,295695,295694,1,0,15551478,0,5137 40,3,2024-09-07 08:50:21:142,1,232,0,0,398,2988,232,0 41,0,2024-09-07 08:50:21:041,55730,2.3,56916,1.6,108715,3.6,149613,4.25 41,1,2024-09-07 08:50:20:773,407730,407730,0,0,191369853387,2005348372,402201,4895,634,370,391742,0 41,2,2024-09-07 08:50:20:774,290072,290072,0,0,14694106,0,3356 41,3,2024-09-07 08:50:21:686,1,232,1,0,366,2721,232,0 42,0,2024-09-07 08:50:21:485,58182,1.0,58494,1.1,116848,1.1,154075,2.75 42,1,2024-09-07 08:50:21:464,406524,406524,0,0,190916640175,2011775040,399367,5705,1452,380,391675,0 42,2,2024-09-07 08:50:21:140,291917,291917,0,0,13971026,0,3568 42,3,2024-09-07 08:50:21:010,1,232,1,0,446,2034,232,0 43,0,2024-09-07 08:50:20:958,59103,0.7,57550,0.9,120209,0.7,157823,2.25 43,1,2024-09-07 08:50:20:576,407172,407172,0,0,190938636511,2000152040,400914,4929,1329,366,391696,0 43,2,2024-09-07 08:50:21:741,294465,294465,0,0,13473798,0,3812 43,3,2024-09-07 08:50:21:749,1,232,0,0,467,3007,232,0 44,0,2024-09-07 08:50:20:874,59504,0.5,59843,0.7,119315,0.4,158884,1.75 44,1,2024-09-07 08:50:20:563,409231,409231,0,0,191001880208,1974568173,406677,2123,431,356,391809,0 44,2,2024-09-07 08:50:21:267,293692,293692,0,0,11369102,0,2231 44,3,2024-09-07 08:50:21:094,1,232,0,0,817,2898,232,0 45,0,2024-09-07 08:50:21:756,55438,0.8,54264,1.0,113919,0.9,150598,2.25 45,1,2024-09-07 08:50:21:005,408936,408936,0,0,192417489780,1995546336,407286,1638,12,382,391917,0 45,2,2024-09-07 08:50:21:270,293103,293103,0,0,12020972,0,3596 45,3,2024-09-07 08:50:20:934,1,232,0,0,271,2632,232,0 46,0,2024-09-07 08:50:20:959,59010,0.7,58938,0.8,118106,0.7,156058,2.25 46,1,2024-09-07 08:50:20:575,409961,409961,0,0,191732451557,1981615185,407615,2072,274,366,391539,0 46,2,2024-09-07 08:50:20:614,293216,293216,0,0,11638340,0,2920 46,3,2024-09-07 08:50:21:135,1,232,0,0,908,3739,232,0 47,0,2024-09-07 08:50:21:103,59220,0.4,59036,0.6,118783,0.4,157224,1.75 47,1,2024-09-07 08:50:20:567,409851,409851,0,0,191272111877,1976653304,407529,2188,134,366,391605,0 47,2,2024-09-07 08:50:20:913,294941,294941,0,0,11718936,0,2558 47,3,2024-09-07 08:50:21:115,1,232,1,0,529,2225,232,0 48,0,2024-09-07 08:50:21:520,58667,0.3,58277,0.4,116197,0.2,155217,1.50 48,1,2024-09-07 08:50:21:025,409460,409460,0,0,191532313889,1991959209,406361,2800,299,384,391710,0 48,2,2024-09-07 08:50:20:709,293104,293104,0,0,11221869,0,3031 48,3,2024-09-07 08:50:20:772,1,232,1,0,339,2065,232,0 49,0,2024-09-07 08:50:21:715,58770,0.4,57681,0.6,111811,0.4,153585,1.75 49,1,2024-09-07 08:50:21:023,407702,407702,0,0,191773698805,2001016670,403911,2610,1181,382,391610,0 49,2,2024-09-07 08:50:21:797,296170,296170,0,0,11782553,0,3900 49,3,2024-09-07 08:50:21:424,1,232,4,0,408,2713,232,0 50,0,2024-09-07 08:50:21:520,57380,0.3,56853,0.5,114218,0.2,152786,1.75 50,1,2024-09-07 08:50:21:026,410173,410173,0,0,191921604778,1986984899,407468,2395,310,368,391530,0 50,2,2024-09-07 08:50:21:067,293096,293096,0,0,11059778,0,2263 50,3,2024-09-07 08:50:21:291,1,232,11,0,335,2028,232,0 51,0,2024-09-07 08:50:21:694,59115,0.3,57924,0.5,112642,0.2,154099,1.75 51,1,2024-09-07 08:50:21:685,409532,409532,0,0,192690576142,1991497596,406737,1856,939,365,391706,0 51,2,2024-09-07 08:50:21:321,292114,292114,0,0,10358816,0,3337 51,3,2024-09-07 08:50:21:027,1,232,0,0,678,1991,232,0 52,0,2024-09-07 08:50:21:432,59270,0.5,58883,0.6,117541,0.4,156144,2.00 52,1,2024-09-07 08:50:20:575,407723,407723,0,0,190258460753,2000142585,400587,5882,1254,368,391722,0 52,2,2024-09-07 08:50:21:760,291223,291185,38,0,14446579,0,6742 52,3,2024-09-07 08:50:20:683,1,232,1,0,1782,4185,232,0 53,0,2024-09-07 08:50:21:730,56787,0.8,55229,0.9,115569,0.9,151671,2.50 53,1,2024-09-07 08:50:20:779,406766,406766,0,0,190481806015,2005734467,399443,4967,2356,367,391702,0 53,2,2024-09-07 08:50:21:310,296620,296620,0,0,12101555,0,2727 53,3,2024-09-07 08:50:20:698,1,232,1,0,271,2233,232,0 54,0,2024-09-07 08:50:21:618,56013,1.0,56409,0.9,111727,0.6,150350,3.00 54,1,2024-09-07 08:50:20:583,408130,408130,0,0,191819584371,1999027338,403395,4138,597,367,391659,0 54,2,2024-09-07 08:50:20:875,291363,291357,6,0,13273475,0,5382 54,3,2024-09-07 08:50:20:762,1,232,1,0,676,3644,232,0 55,0,2024-09-07 08:50:21:761,55912,0.7,57996,0.9,116585,0.6,151872,2.50 55,1,2024-09-07 08:50:20:768,407389,407389,0,0,190732635980,1990098051,401840,4762,787,365,391731,0 55,2,2024-09-07 08:50:20:730,291165,291165,0,0,13412411,0,3275 55,3,2024-09-07 08:50:20:681,1,232,27,0,304,2782,232,0 56,0,2024-09-07 08:50:21:569,60413,1.1,56972,1.1,117266,1.4,156864,2.50 56,1,2024-09-07 08:50:20:570,405866,405866,0,0,190388591792,2018244879,398732,5696,1438,381,391678,0 56,2,2024-09-07 08:50:21:314,293732,293732,0,0,14702379,0,3567 56,3,2024-09-07 08:50:21:059,1,232,4,0,705,3494,232,0 57,0,2024-09-07 08:50:20:959,57588,1.7,57718,1.3,115434,2.3,153925,3.25 57,1,2024-09-07 08:50:20:986,407447,407447,0,0,190241090741,1998185478,402129,4648,670,367,391960,0 57,2,2024-09-07 08:50:21:323,295757,295757,0,0,15675451,0,3317 57,3,2024-09-07 08:50:21:749,1,232,11,0,359,3343,232,0 58,0,2024-09-07 08:50:20:567,54893,1.1,53489,1.1,111949,1.4,147041,2.75 58,1,2024-09-07 08:50:20:575,407740,407737,0,3,191674236861,2007084661,402010,5017,710,367,391545,3 58,2,2024-09-07 08:50:21:070,291989,291989,0,0,14084803,0,2549 58,3,2024-09-07 08:50:21:068,1,232,1,0,1043,2798,232,0 59,0,2024-09-07 08:50:21:740,58793,0.9,58738,1.1,117168,1.0,155493,3.00 59,1,2024-09-07 08:50:20:817,407737,407737,0,0,190980608611,2003267320,401950,4522,1265,369,391525,0 59,2,2024-09-07 08:50:20:582,293470,293470,0,0,12797021,0,2604 59,3,2024-09-07 08:50:21:743,1,232,1,0,1015,3474,232,0 60,0,2024-09-07 08:50:21:721,59288,0.4,59045,0.6,118981,0.4,157736,1.75 60,1,2024-09-07 08:50:20:802,409436,409436,0,0,191495453841,1988303453,407002,1917,517,370,392031,0 60,2,2024-09-07 08:50:21:142,293782,293782,0,0,13993007,0,3811 60,3,2024-09-07 08:50:21:258,1,232,1,0,124,2344,232,0 61,0,2024-09-07 08:50:21:504,59150,0.7,59434,0.8,118340,0.7,158195,2.00 61,1,2024-09-07 08:50:20:771,407937,407937,0,0,190692030530,1998680033,403370,3864,703,382,391880,0 61,2,2024-09-07 08:50:21:116,294170,294170,0,0,12289997,0,2780 61,3,2024-09-07 08:50:21:689,1,232,0,0,397,3274,232,0 62,0,2024-09-07 08:50:21:716,57430,0.8,58524,0.9,111391,0.9,151987,2.00 62,1,2024-09-07 08:50:21:112,410473,410467,0,6,191878604542,1981526700,408011,2339,117,365,391715,6 62,2,2024-09-07 08:50:21:644,292703,292702,1,0,13647173,0,5555 62,3,2024-09-07 08:50:21:143,1,232,1,0,287,1683,232,0 63,0,2024-09-07 08:50:21:452,58974,0.5,59157,0.6,118494,0.5,157978,1.75 63,1,2024-09-07 08:50:20:811,409976,409970,0,6,191285961404,1983356629,408396,1524,50,381,391677,6 63,2,2024-09-07 08:50:20:764,292881,292881,0,0,11383161,0,2674 63,3,2024-09-07 08:50:21:733,1,232,1,0,667,2830,232,0 64,0,2024-09-07 08:50:21:554,57638,0.4,57696,0.6,115607,0.4,154049,1.75 64,1,2024-09-07 08:50:20:770,408575,408575,0,0,191251923383,1998294144,404095,3066,1414,370,391783,0 64,2,2024-09-07 08:50:21:143,295120,295101,19,0,12159555,0,6121 64,3,2024-09-07 08:50:21:142,1,232,1,0,265,2451,232,0 65,0,2024-09-07 08:50:21:678,57593,0.6,58001,0.7,115778,0.6,153980,2.00 65,1,2024-09-07 08:50:20:871,407527,407527,0,0,190328805916,1994139418,403980,3104,443,382,391901,0 65,2,2024-09-07 08:50:21:704,292810,292810,0,0,12863650,0,3367 65,3,2024-09-07 08:50:21:683,1,232,2,0,163,2244,232,0 66,0,2024-09-07 08:50:21:773,56876,0.5,56773,0.7,114062,0.5,151470,2.00 66,1,2024-09-07 08:50:21:299,409109,409109,0,0,191419191756,1990830304,406550,2359,200,380,391588,0 66,2,2024-09-07 08:50:21:140,298115,298115,0,0,12666415,0,4956 66,3,2024-09-07 08:50:21:092,1,232,1,0,291,2581,232,0 67,0,2024-09-07 08:50:21:422,57061,0.7,56641,0.8,113822,0.8,151778,2.25 67,1,2024-09-07 08:50:20:774,408255,408254,0,1,190889093332,1993976542,404417,3090,747,380,391787,1 67,2,2024-09-07 08:50:20:583,293421,293421,0,0,11578868,0,2889 67,3,2024-09-07 08:50:21:750,1,232,1,0,338,2201,232,0 68,0,2024-09-07 08:50:20:570,57659,0.6,57526,0.8,114457,0.7,153149,2.00 68,1,2024-09-07 08:50:20:639,407485,407485,0,0,190149455233,1996262635,403514,2832,1139,381,391953,0 68,2,2024-09-07 08:50:21:049,291002,290937,65,0,15307815,0,6698 68,3,2024-09-07 08:50:20:729,1,232,2,0,417,2755,232,0 69,0,2024-09-07 08:50:21:740,58637,0.7,58982,0.8,117322,0.8,156087,2.25 69,1,2024-09-07 08:50:21:026,406131,406131,0,0,190251346369,2011738318,400433,4297,1401,384,391994,0 69,2,2024-09-07 08:50:21:734,293059,293059,0,0,14848571,0,3722 69,3,2024-09-07 08:50:20:768,1,232,8,0,698,3554,232,0 70,0,2024-09-07 08:50:21:538,57352,1.1,57295,1.1,115018,0.8,152976,2.50 70,1,2024-09-07 08:50:20:827,408143,408143,0,0,191345945949,1989775470,404488,3127,528,366,391725,0 70,2,2024-09-07 08:50:21:337,295966,295966,0,0,13943311,0,4044 70,3,2024-09-07 08:50:20:749,1,232,1,0,854,2820,232,0 71,0,2024-09-07 08:50:21:371,55778,1.5,55707,1.3,111428,2.2,150241,3.25 71,1,2024-09-07 08:50:21:596,407756,407756,0,0,191692645556,2002651836,402636,4499,621,368,391738,0 71,2,2024-09-07 08:50:21:067,291876,291876,0,0,13830598,0,4042 71,3,2024-09-07 08:50:21:752,1,232,1,0,644,3570,232,0 72,0,2024-09-07 08:50:21:027,60880,0.9,59520,0.9,116047,1.1,157770,2.25 72,1,2024-09-07 08:50:21:020,407762,407762,0,0,190638012770,1994460495,402711,4120,931,369,391819,0 72,2,2024-09-07 08:50:21:759,290945,290945,0,0,15694992,0,3983 72,3,2024-09-07 08:50:21:762,1,232,0,0,564,4362,232,0 73,0,2024-09-07 08:50:21:104,57966,0.4,59255,0.6,121551,0.4,157521,2.00 73,1,2024-09-07 08:50:20:784,408318,408318,0,0,190697271273,1985241853,405236,2847,235,367,391750,0 73,2,2024-09-07 08:50:21:744,294397,294397,0,0,15108747,0,3701 73,3,2024-09-07 08:50:20:976,1,232,1,0,274,3601,232,0 74,0,2024-09-07 08:50:21:328,60068,0.5,61396,0.7,117106,0.4,158730,2.25 74,1,2024-09-07 08:50:20:641,408027,408027,0,0,190753964672,1995544668,403630,3598,799,381,391681,0 74,2,2024-09-07 08:50:21:010,294569,294569,0,0,14553450,0,4253 74,3,2024-09-07 08:50:21:442,1,232,0,0,522,3306,232,0 75,0,2024-09-07 08:50:21:776,56625,0.7,56214,0.9,112933,0.6,151520,2.50 75,1,2024-09-07 08:50:21:585,407348,407348,0,0,190506508732,1995735602,402443,4242,663,380,391660,0 75,2,2024-09-07 08:50:21:350,291923,291923,0,0,15011879,0,4766 75,3,2024-09-07 08:50:21:067,1,232,1,0,702,3497,232,0 76,0,2024-09-07 08:50:20:598,59063,0.8,58507,0.9,117095,0.8,156952,2.50 76,1,2024-09-07 08:50:20:828,408203,408203,0,0,190477318042,1990014641,405145,2510,548,382,391692,0 76,2,2024-09-07 08:50:21:060,293889,293889,0,0,12232802,0,3784 76,3,2024-09-07 08:50:21:142,1,232,0,0,175,2515,232,0 77,0,2024-09-07 08:50:21:693,58944,0.5,59222,0.7,118060,0.5,156961,1.75 77,1,2024-09-07 08:50:20:825,408492,408492,0,0,191442940287,2001733944,405050,3186,256,383,391808,0 77,2,2024-09-07 08:50:21:281,292888,292888,0,0,12863774,0,3890 77,3,2024-09-07 08:50:21:094,1,232,23,0,382,2791,232,0 78,0,2024-09-07 08:50:21:716,58477,0.4,57800,0.7,116797,0.4,154504,2.00 78,1,2024-09-07 08:50:20:618,408676,408676,0,0,191034863593,1985383837,405681,2677,318,367,391670,0 78,2,2024-09-07 08:50:21:409,293171,293171,0,0,11474843,0,3855 78,3,2024-09-07 08:50:21:136,1,232,0,0,181,2378,232,0 79,0,2024-09-07 08:50:21:348,55425,0.4,56836,0.7,116249,0.4,151274,2.50 79,1,2024-09-07 08:50:20:571,409826,409826,0,0,191543133643,1984450260,406986,2504,336,369,391682,0 79,2,2024-09-07 08:50:21:068,296074,296074,0,0,11684903,0,3212 79,3,2024-09-07 08:50:20:749,1,232,54,0,418,3665,232,0 80,0,2024-09-07 08:50:21:105,57210,0.6,58842,0.7,112610,0.6,152538,2.00 80,1,2024-09-07 08:50:21:653,407917,407917,0,0,191335077026,1993920197,404954,2795,168,368,391791,0 80,2,2024-09-07 08:50:21:095,293490,293490,0,0,11913073,0,4433 80,3,2024-09-07 08:50:20:578,1,232,1,0,190,3355,232,0 81,0,2024-09-07 08:50:21:670,57658,0.5,58985,0.7,113122,0.5,153197,1.75 81,1,2024-09-07 08:50:21:657,407419,407419,0,0,189809639598,1987279759,403583,3375,461,382,391879,0 81,2,2024-09-07 08:50:21:127,291915,291915,0,0,12269768,0,3993 81,3,2024-09-07 08:50:21:123,1,232,5,0,374,2695,232,0 82,0,2024-09-07 08:50:21:537,58264,0.4,58754,0.6,117595,0.4,156166,2.00 82,1,2024-09-07 08:50:20:587,408710,408706,0,4,191227886605,1994416527,405485,2610,611,381,391558,4 82,2,2024-09-07 08:50:21:700,293564,293564,0,0,11645864,0,3986 82,3,2024-09-07 08:50:21:752,1,232,1,0,363,2771,232,0 83,0,2024-09-07 08:50:21:533,57294,0.6,57361,0.7,113815,0.6,152112,2.00 83,1,2024-09-07 08:50:20:556,407896,407896,0,0,190813326470,1992550272,404730,2923,243,382,391690,0 83,2,2024-09-07 08:50:20:772,296332,296332,0,0,11713567,0,3119 83,3,2024-09-07 08:50:20:762,1,232,1,0,250,2561,232,0 84,0,2024-09-07 08:50:21:797,56480,0.8,56473,1.0,112781,0.8,151792,2.50 84,1,2024-09-07 08:50:21:039,407102,407102,0,0,191185201833,2002498119,402370,4157,575,368,391967,0 84,2,2024-09-07 08:50:20:572,291650,291650,0,0,13485863,0,3801 84,3,2024-09-07 08:50:21:142,1,232,1,0,908,3837,232,0 85,0,2024-09-07 08:50:21:020,56089,0.8,56003,0.9,118832,0.9,153378,2.50 85,1,2024-09-07 08:50:20:570,406632,406632,0,0,190231513761,2014119811,399805,5721,1106,382,392006,0 85,2,2024-09-07 08:50:20:868,293206,293206,0,0,14208289,0,3656 85,3,2024-09-07 08:50:20:705,1,232,6,0,789,3137,232,0 86,0,2024-09-07 08:50:20:882,59167,0.6,60727,0.7,116315,0.6,156809,2.00 86,1,2024-09-07 08:50:20:823,408403,408403,0,0,191386103272,2004352288,403429,4251,723,366,391961,0 86,2,2024-09-07 08:50:20:865,292830,292829,1,0,15057109,0,5004 86,3,2024-09-07 08:50:20:591,1,232,1,0,286,3168,232,0 87,0,2024-09-07 08:50:21:291,58345,1.0,57973,1.0,116619,1.4,155408,2.25 87,1,2024-09-07 08:50:20:550,408114,408114,0,0,190639448658,1997212129,403652,3980,482,366,391788,0 87,2,2024-09-07 08:50:21:067,294226,294226,0,0,13547384,0,4045 87,3,2024-09-07 08:50:21:795,1,232,1,0,473,3736,232,0 88,0,2024-09-07 08:50:21:438,56100,0.5,56485,0.7,112804,0.5,150156,2.00 88,1,2024-09-07 08:50:20:569,406011,406011,0,0,189765380078,1995951387,399845,4654,1512,365,392084,0 88,2,2024-09-07 08:50:20:694,291801,291801,0,0,15470438,0,3583 88,3,2024-09-07 08:50:21:267,1,232,1,0,435,2998,232,0 89,0,2024-09-07 08:50:21:790,61009,0.6,58880,0.7,117024,0.5,158737,2.00 89,1,2024-09-07 08:50:20:558,405891,405891,0,0,190582029322,2015315884,398991,5680,1220,382,391866,0 89,2,2024-09-07 08:50:21:137,291714,291714,0,0,14753469,0,2910 89,3,2024-09-07 08:50:21:796,1,232,10,0,325,4698,232,0 90,0,2024-09-07 08:50:21:618,57614,0.5,58843,0.6,120514,0.4,156891,1.75 90,1,2024-09-07 08:50:20:612,408030,408030,0,0,190363913326,1998208295,403922,3831,277,381,391825,0 90,2,2024-09-07 08:50:21:414,292756,292756,0,0,15874348,0,3060 90,3,2024-09-07 08:50:20:931,1,232,1,0,200,2540,232,0 91,0,2024-09-07 08:50:20:938,59479,0.4,57566,0.6,120204,0.4,158275,1.75 91,1,2024-09-07 08:50:20:556,407194,407194,0,0,191109782635,2013398853,401334,5168,692,381,392047,0 91,2,2024-09-07 08:50:21:337,293344,293344,0,0,14349090,0,2896 91,3,2024-09-07 08:50:20:614,1,232,0,0,216,2333,232,0 92,0,2024-09-07 08:50:21:441,57426,0.6,58886,0.7,112490,0.6,152233,1.75 92,1,2024-09-07 08:50:20:585,407754,407754,0,0,191486514005,1998953596,405205,2143,406,382,392136,0 92,2,2024-09-07 08:50:21:350,295217,295217,0,0,12028510,0,2801 92,3,2024-09-07 08:50:21:009,1,232,2,0,167,1922,232,0 93,0,2024-09-07 08:50:21:009,59325,0.4,60861,0.6,116161,0.4,158479,1.75 93,1,2024-09-07 08:50:20:810,408177,408177,0,0,190067724541,1987736742,403710,3681,786,366,391692,0 93,2,2024-09-07 08:50:20:929,293522,293522,0,0,12773662,0,2797 93,3,2024-09-07 08:50:21:412,1,232,1,0,190,2433,232,0 94,0,2024-09-07 08:50:21:600,57893,0.4,58623,0.5,116758,0.3,154797,1.75 94,1,2024-09-07 08:50:20:673,407750,407750,0,0,190955291605,1997755803,404471,3102,177,381,391850,0 94,2,2024-09-07 08:50:20:770,292457,292457,0,0,11868730,0,2443 94,3,2024-09-07 08:50:21:689,1,232,1,0,264,3200,232,0 95,0,2024-09-07 08:50:21:362,58357,0.3,57910,0.5,117122,0.3,154853,1.75 95,1,2024-09-07 08:50:20:851,408746,408746,0,0,191376909831,1992590598,405185,3185,376,367,391713,0 95,2,2024-09-07 08:50:21:023,292413,292413,0,0,12231324,0,3308 95,3,2024-09-07 08:50:21:710,1,232,10,0,718,4429,232,0 96,0,2024-09-07 08:50:21:038,57311,0.4,57536,0.6,114825,0.4,151992,1.75 96,1,2024-09-07 08:50:21:587,407437,407437,0,0,191267132684,2000035478,403870,2837,730,384,391894,0 96,2,2024-09-07 08:50:21:270,296262,296262,0,0,12832698,0,4042 96,3,2024-09-07 08:50:21:141,1,232,3,0,411,2742,232,0 97,0,2024-09-07 08:50:21:346,56897,0.4,56661,0.5,114022,0.3,151652,1.75 97,1,2024-09-07 08:50:20:774,408729,408729,0,0,191739544068,1991150344,405702,2472,555,367,392140,0 97,2,2024-09-07 08:50:20:611,292499,292499,0,0,11869697,0,3036 97,3,2024-09-07 08:50:20:569,1,232,1,0,214,2855,232,0 98,0,2024-09-07 08:50:21:720,57558,0.3,57631,0.5,115809,0.2,153369,1.50 98,1,2024-09-07 08:50:20:570,408752,408752,0,0,191471857817,1995064526,406622,2032,98,382,391997,0 98,2,2024-09-07 08:50:20:774,292560,292560,0,0,12017695,0,3080 98,3,2024-09-07 08:50:20:703,1,232,22,0,840,4511,232,0 99,0,2024-09-07 08:50:21:491,59000,0.3,59124,0.4,118020,0.3,157193,1.50 99,1,2024-09-07 08:50:21:730,408595,408595,0,0,190148225085,1985676394,404815,3041,739,381,391744,0 99,2,2024-09-07 08:50:21:425,295941,295941,0,0,12682647,0,3106 99,3,2024-09-07 08:50:20:581,1,232,1,0,168,1879,232,0 100,0,2024-09-07 08:50:21:463,57626,0.8,57619,1.0,115023,1.0,153499,2.25 100,1,2024-09-07 08:50:20:548,405771,405771,0,0,189396267753,2007434363,398940,5514,1317,381,391989,0 100,2,2024-09-07 08:50:21:818,294552,294541,11,0,14299433,0,5417 100,3,2024-09-07 08:50:21:731,1,232,3,0,559,4823,232,0 101,0,2024-09-07 08:50:21:731,57426,2.2,55924,1.4,109669,2.8,151733,2.75 101,1,2024-09-07 08:50:20:553,406311,406311,0,0,189953652760,2007129752,398969,5571,1771,368,391769,0 101,2,2024-09-07 08:50:21:760,290514,290514,0,0,15395073,0,4644 101,3,2024-09-07 08:50:20:947,1,232,2,0,448,3034,232,0 102,0,2024-09-07 08:50:20:948,57547,0.8,59720,0.9,119864,0.9,157066,2.25 102,1,2024-09-07 08:50:21:145,406944,406944,0,0,190620516354,2006825728,401299,4806,839,369,391883,0 102,2,2024-09-07 08:50:21:737,292470,292416,54,0,14107261,0,6768 102,3,2024-09-07 08:50:21:616,1,232,1,0,410,2511,232,0 103,0,2024-09-07 08:50:21:587,61177,0.5,61167,0.7,115322,0.4,158626,2.00 103,1,2024-09-07 08:50:21:628,406286,406286,0,0,189838427816,2005061313,399999,4906,1381,381,391829,0 103,2,2024-09-07 08:50:20:595,292773,292773,0,0,13327421,0,2582 103,3,2024-09-07 08:50:20:762,1,232,6,0,916,3495,232,0 104,0,2024-09-07 08:50:21:019,59105,0.8,59560,1.0,117746,0.8,158891,2.25 104,1,2024-09-07 08:50:21:610,407629,407629,0,0,190309256329,2006467297,400965,5569,1095,365,391948,0 104,2,2024-09-07 08:50:21:666,293028,293028,0,0,15101194,0,3941 104,3,2024-09-07 08:50:21:423,1,232,3,0,1245,6322,232,0 105,0,2024-09-07 08:50:21:053,55854,1.7,54178,1.4,113392,2.7,150081,3.75 105,1,2024-09-07 08:50:20:556,407441,407441,0,0,190941533955,2008810728,401152,5315,974,367,391797,0 105,2,2024-09-07 08:50:21:322,292310,292310,0,0,14537429,0,3509 105,3,2024-09-07 08:50:21:304,1,232,7,0,399,4195,232,0 106,0,2024-09-07 08:50:20:937,57237,1.1,58570,1.1,120021,1.3,156676,2.75 106,1,2024-09-07 08:50:21:761,406974,406974,0,0,190121141327,2003410449,399893,6323,758,369,391767,0 106,2,2024-09-07 08:50:20:767,290903,290903,0,0,13993402,0,2795 106,3,2024-09-07 08:50:20:690,1,232,2,0,470,3524,232,0 107,0,2024-09-07 08:50:21:111,58868,0.8,58783,0.9,117357,0.9,156633,2.00 107,1,2024-09-07 08:50:20:586,406464,406464,0,0,190744398584,2011183493,401150,4768,546,381,392234,0 107,2,2024-09-07 08:50:21:291,292449,292448,1,0,14651442,0,5024 107,3,2024-09-07 08:50:21:762,1,232,0,0,353,3347,232,0 108,0,2024-09-07 08:50:21:811,58401,0.4,58563,0.6,116132,0.4,155161,1.75 108,1,2024-09-07 08:50:21:298,407889,407889,0,0,191381980742,1996306631,404692,2813,384,368,391857,0 108,2,2024-09-07 08:50:21:770,292227,292227,0,0,13073300,0,2647 108,3,2024-09-07 08:50:21:343,1,232,1,0,749,4789,232,0 109,0,2024-09-07 08:50:21:781,57576,0.4,56976,0.6,114219,0.4,153508,1.75 109,1,2024-09-07 08:50:20:592,406832,406832,0,0,190275425661,1998368138,403050,3268,514,383,392132,0 109,2,2024-09-07 08:50:20:931,294303,294303,0,0,13611488,0,3617 109,3,2024-09-07 08:50:21:140,1,232,1,0,249,2903,232,0 110,0,2024-09-07 08:50:21:817,57342,0.4,55910,0.6,116852,0.4,153288,1.75 110,1,2024-09-07 08:50:21:662,408544,408544,0,0,191837131225,1994580177,405403,2264,877,370,392045,0 110,2,2024-09-07 08:50:21:309,293208,293208,0,0,12772009,0,3264 110,3,2024-09-07 08:50:20:706,1,232,70,0,406,3198,232,0 111,0,2024-09-07 08:50:21:422,58051,0.4,57616,0.5,115030,0.3,154520,1.75 111,1,2024-09-07 08:50:21:003,409155,409155,0,0,191919555892,1991232611,407168,1651,336,382,391690,0 111,2,2024-09-07 08:50:21:116,292161,292161,0,0,12349042,0,2763 111,3,2024-09-07 08:50:20:924,1,232,0,0,379,3321,232,0 112,0,2024-09-07 08:50:20:936,58893,0.3,58821,0.4,117687,0.2,156309,1.50 112,1,2024-09-07 08:50:20:838,409602,409602,0,0,191276998703,1985896938,407186,1988,428,380,391580,0 112,2,2024-09-07 08:50:21:142,292862,292861,1,0,12500269,0,5036 112,3,2024-09-07 08:50:20:613,1,232,1,0,282,2526,232,0 113,0,2024-09-07 08:50:20:873,57137,0.3,57043,0.5,114532,0.3,153267,1.75 113,1,2024-09-07 08:50:21:685,409825,409825,0,0,192699199501,1990735784,407333,2003,489,366,391661,0 113,2,2024-09-07 08:50:21:310,297380,297380,0,0,11464301,0,3813 113,3,2024-09-07 08:50:20:689,1,232,1,0,340,3569,232,0 114,0,2024-09-07 08:50:20:881,57298,0.4,57774,0.6,114418,0.3,153608,1.75 114,1,2024-09-07 08:50:20:722,408307,408307,0,0,190691839359,1989991121,403501,3217,1589,381,391556,0 114,2,2024-09-07 08:50:20:875,291775,291774,1,0,12444651,0,5069 114,3,2024-09-07 08:50:21:280,1,232,9,0,395,2645,232,0 115,0,2024-09-07 08:50:20:584,58133,0.3,58492,0.4,116920,0.2,155135,1.75 115,1,2024-09-07 08:50:20:576,408068,408068,0,0,191559363898,1995891425,403711,3523,834,382,391602,0 115,2,2024-09-07 08:50:21:125,292967,292967,0,0,10803728,0,2152 115,3,2024-09-07 08:50:21:008,1,232,0,0,159,1340,232,0 116,0,2024-09-07 08:50:21:699,58984,0.7,58745,0.9,117794,0.7,157344,2.00 116,1,2024-09-07 08:50:20:811,405817,405817,0,0,189751803976,2012730158,399429,4333,2055,380,391782,0 116,2,2024-09-07 08:50:21:765,293053,293053,0,0,15526207,0,3529 116,3,2024-09-07 08:50:20:912,1,232,1,0,415,3504,232,0 117,0,2024-09-07 08:50:20:975,58410,0.9,58253,0.9,116524,1.0,155602,2.00 117,1,2024-09-07 08:50:21:579,407592,407592,0,0,189971271536,1992272194,402899,4213,480,370,392033,0 117,2,2024-09-07 08:50:21:118,296906,296906,0,0,12832253,0,3700 117,3,2024-09-07 08:50:21:059,1,232,7,0,490,3923,232,0 118,0,2024-09-07 08:50:21:787,54590,0.7,56152,0.8,114330,0.7,149771,2.25 118,1,2024-09-07 08:50:20:610,407341,407341,0,0,189935671606,2001120018,401011,4898,1432,366,391736,0 118,2,2024-09-07 08:50:21:589,291336,291336,0,0,13732795,0,2781 118,3,2024-09-07 08:50:21:778,1,232,1,0,235,2771,232,0 119,0,2024-09-07 08:50:21:360,58914,1.0,59397,0.9,118819,1.3,157553,2.25 119,1,2024-09-07 08:50:20:550,408416,408416,0,0,191479492094,2003298262,403771,4123,522,368,391641,0 119,2,2024-09-07 08:50:21:262,292590,292590,0,0,13119697,0,4174 119,3,2024-09-07 08:50:21:325,1,232,5,0,443,3428,232,0 120,0,2024-09-07 08:50:21:546,58984,0.5,58704,0.8,117859,0.5,157399,2.00 120,1,2024-09-07 08:50:20:865,407394,407394,0,0,190472788342,2002338402,402723,4321,350,368,391961,0 120,2,2024-09-07 08:50:20:771,293115,293114,1,0,15788033,0,5281 120,3,2024-09-07 08:50:21:303,1,232,1,0,241,3179,232,0 121,0,2024-09-07 08:50:21:728,59076,1.0,59242,1.0,118467,1.3,157997,2.25 121,1,2024-09-07 08:50:21:655,407838,407838,0,0,190460987843,1997486081,403248,4176,414,367,391840,0 121,2,2024-09-07 08:50:21:125,292412,292412,0,0,15115124,0,4127 121,3,2024-09-07 08:50:20:731,1,232,1,0,269,2775,232,0 122,0,2024-09-07 08:50:21:809,56590,1.0,55203,1.0,115735,1.1,152210,2.25 122,1,2024-09-07 08:50:20:865,406803,406803,0,0,190633597012,2005887516,400744,5243,816,366,392130,0 122,2,2024-09-07 08:50:21:330,292977,292904,73,0,17495076,0,5989 122,3,2024-09-07 08:50:20:594,1,232,1,0,411,4849,232,0 123,0,2024-09-07 08:50:21:008,58768,1.0,57438,0.9,119751,1.2,157769,2.25 123,1,2024-09-07 08:50:20:582,407021,407021,0,0,190442804868,2014759617,398354,6952,1715,369,391823,0 123,2,2024-09-07 08:50:21:060,290693,290692,1,0,14281321,0,5215 123,3,2024-09-07 08:50:21:136,1,232,3,0,168,2899,232,0 124,0,2024-09-07 08:50:20:933,59998,0.3,59954,0.5,113171,0.3,155579,1.75 124,1,2024-09-07 08:50:21:022,409095,409095,0,0,190507064696,1982202283,406260,2473,362,367,392178,0 124,2,2024-09-07 08:50:21:014,292938,292938,0,0,11679872,0,3101 124,3,2024-09-07 08:50:20:770,1,232,0,0,490,2732,232,0 125,0,2024-09-07 08:50:21:429,58302,0.3,58144,0.5,116800,0.3,154982,1.75 125,1,2024-09-07 08:50:20:868,407929,407929,0,0,191287442442,1998141049,404847,2693,389,383,391702,0 125,2,2024-09-07 08:50:21:116,293228,293228,0,0,12732590,0,4534 125,3,2024-09-07 08:50:21:129,1,232,3,0,709,3434,232,0 126,0,2024-09-07 08:50:21:493,57444,0.6,58953,0.7,112785,0.6,152877,1.75 126,1,2024-09-07 08:50:20:696,409316,409316,0,0,191551900249,1987894635,406639,2415,262,365,391987,0 126,2,2024-09-07 08:50:20:614,297566,297566,0,0,13040228,0,3186 126,3,2024-09-07 08:50:20:927,1,232,9,0,183,3252,232,0 127,0,2024-09-07 08:50:21:627,56894,0.4,57161,0.6,113756,0.4,151865,1.75 127,1,2024-09-07 08:50:20:569,408486,408486,0,0,191103560973,1982980861,405544,2789,153,365,391816,0 127,2,2024-09-07 08:50:20:639,292516,292516,0,0,11640868,0,2264 127,3,2024-09-07 08:50:21:267,1,232,1,0,968,2919,232,0 128,0,2024-09-07 08:50:21:522,58009,0.3,57979,0.5,115605,0.3,153682,1.50 128,1,2024-09-07 08:50:21:612,408487,408487,0,0,191077645527,1983861027,406324,2006,157,367,391798,0 128,2,2024-09-07 08:50:21:384,292076,292076,0,0,11477958,0,2434 128,3,2024-09-07 08:50:20:773,1,232,1,0,1082,5281,232,0 129,0,2024-09-07 08:50:21:004,59431,0.3,59124,0.4,118176,0.2,156806,1.50 129,1,2024-09-07 08:50:20:584,406423,406423,0,0,189852151280,1993091688,402290,3206,927,379,391835,0 129,2,2024-09-07 08:50:20:692,294231,294231,0,0,12482648,0,4031 129,3,2024-09-07 08:50:20:697,1,232,1,0,469,3208,232,0 130,0,2024-09-07 08:50:21:785,58126,0.6,57961,0.6,116052,0.6,154468,1.75 130,1,2024-09-07 08:50:20:589,408809,408809,0,0,191836110194,1997472572,406388,2344,77,381,391825,0 130,2,2024-09-07 08:50:21:131,297455,297455,0,0,12217307,0,4067 130,3,2024-09-07 08:50:21:302,1,232,1,0,450,3281,232,0 131,0,2024-09-07 08:50:21:931,56381,0.4,56690,0.6,114204,0.4,151664,1.75 131,1,2024-09-07 08:50:21:839,408922,408922,0,0,191520020223,1998634956,405884,2581,457,381,391865,0 131,2,2024-09-07 08:50:20:567,293284,293284,0,0,10965973,0,2415 131,3,2024-09-07 08:50:21:690,1,232,5,0,392,2458,232,0 132,0,2024-09-07 08:50:21:426,58847,0.5,59407,0.7,118717,0.5,157573,2.00 132,1,2024-09-07 08:50:20:576,406247,406247,0,0,190286925259,2011123847,399250,5745,1252,381,392097,0 132,2,2024-09-07 08:50:20:708,292231,292231,0,0,14748653,0,4606 132,3,2024-09-07 08:50:21:690,1,232,1,0,356,3465,232,0 133,0,2024-09-07 08:50:21:528,57960,0.4,59312,0.6,121430,0.3,157497,1.75 133,1,2024-09-07 08:50:20:597,406223,406223,0,0,190895465597,2012380562,400752,4804,667,383,391914,0 133,2,2024-09-07 08:50:21:107,293218,293218,0,0,15836163,0,4315 133,3,2024-09-07 08:50:21:297,1,232,4,0,276,2412,232,0 134,0,2024-09-07 08:50:20:959,59541,0.5,59474,0.7,119457,0.4,158866,2.00 134,1,2024-09-07 08:50:20:598,406597,406597,0,0,190107091595,2001075659,400153,4974,1470,366,391718,0 134,2,2024-09-07 08:50:21:759,293796,293796,0,0,13396998,0,3847 134,3,2024-09-07 08:50:20:756,1,232,26,0,739,3652,232,0 135,0,2024-09-07 08:50:21:112,54691,1.0,54722,1.0,115860,1.2,150115,2.25 135,1,2024-09-07 08:50:21:605,406165,406165,0,0,191051942037,2013750821,399979,5190,996,380,391805,0 135,2,2024-09-07 08:50:20:698,292616,292616,0,0,14637591,0,3981 135,3,2024-09-07 08:50:21:009,1,232,5,0,299,1823,232,0 136,0,2024-09-07 08:50:21:646,59644,0.7,59599,0.8,119142,0.7,158405,2.25 136,1,2024-09-07 08:50:21:445,406616,406616,0,0,189686679195,1997767583,401099,4933,584,382,391685,0 136,2,2024-09-07 08:50:21:141,293285,293285,0,0,14205331,0,3506 136,3,2024-09-07 08:50:21:106,1,232,1,0,126,1836,232,0 137,0,2024-09-07 08:50:20:931,60627,0.6,58888,0.8,116269,0.6,157824,2.00 137,1,2024-09-07 08:50:20:580,406632,406632,0,0,190499034856,2004496884,399691,5524,1417,366,391708,0 137,2,2024-09-07 08:50:21:708,292661,292661,0,0,15728048,0,3185 137,3,2024-09-07 08:50:20:771,1,232,1,0,382,3041,232,0 138,0,2024-09-07 08:50:21:750,57799,0.9,57833,0.9,116229,1.0,154801,2.00 138,1,2024-09-07 08:50:21:685,406858,406858,0,0,191018236246,2011191986,399897,5830,1131,368,391954,0 138,2,2024-09-07 08:50:20:590,292739,292739,0,0,14528653,0,4988 138,3,2024-09-07 08:50:20:614,1,232,1,0,1160,3807,232,0 139,0,2024-09-07 08:50:21:394,56266,2.2,56444,1.4,113503,3.2,151911,2.75 139,1,2024-09-07 08:50:20:575,405207,405207,0,0,189698834481,2020717305,396728,6367,2112,381,391892,0 139,2,2024-09-07 08:50:20:710,293148,293148,0,0,15147458,0,3097 139,3,2024-09-07 08:50:21:666,1,232,6,0,244,2488,232,0 140,0,2024-09-07 08:50:21:593,57833,0.3,57246,0.5,115209,0.3,153415,1.75 140,1,2024-09-07 08:50:21:536,409817,409817,0,0,193171979516,1992852190,407840,1736,241,365,391606,0 140,2,2024-09-07 08:50:20:694,293335,293335,0,0,11945649,0,3388 140,3,2024-09-07 08:50:20:774,1,232,3,0,247,2124,232,0 141,0,2024-09-07 08:50:21:697,57842,0.3,59414,0.4,113626,0.2,154527,1.50 141,1,2024-09-07 08:50:20:867,409197,409197,0,0,191767889171,1991890368,406627,2162,408,379,391614,0 141,2,2024-09-07 08:50:21:686,292624,292624,0,0,11558444,0,2342 141,3,2024-09-07 08:50:21:048,1,232,1,0,202,2285,232,0 142,0,2024-09-07 08:50:21:321,59468,0.3,58852,0.4,117025,0.2,156549,1.50 142,1,2024-09-07 08:50:20:590,408451,408451,0,0,191073152383,1994775743,405664,2522,265,382,392102,0 142,2,2024-09-07 08:50:21:300,292694,292662,32,0,13331905,0,6028 142,3,2024-09-07 08:50:21:745,1,232,5,0,484,3059,232,0 143,0,2024-09-07 08:50:21:374,57362,0.5,57342,0.6,115265,0.6,153046,1.75 143,1,2024-09-07 08:50:20:559,408885,408885,0,0,191552445746,1988909735,406173,2617,95,367,391619,0 143,2,2024-09-07 08:50:20:770,296627,296627,0,0,12548371,0,2669 143,3,2024-09-07 08:50:21:140,1,232,1,0,303,3181,232,0 144,0,2024-09-07 08:50:21:570,55124,0.8,56687,1.0,115295,0.7,151823,2.25 144,1,2024-09-07 08:50:20:570,406463,406463,0,0,190498089253,2002806463,402469,3073,921,381,391649,0 144,2,2024-09-07 08:50:21:761,292582,292582,0,0,11804145,0,3473 144,3,2024-09-07 08:50:21:744,1,232,7,0,249,2855,232,0 145,0,2024-09-07 08:50:21:378,56011,0.6,55962,0.9,118799,0.6,153436,2.50 145,1,2024-09-07 08:50:20:576,406002,406002,0,0,190279629734,2004778091,400510,4670,822,382,391698,0 145,2,2024-09-07 08:50:21:433,291003,291003,0,0,13892380,0,3903 145,3,2024-09-07 08:50:20:901,1,232,1,0,622,3951,232,0 146,0,2024-09-07 08:50:21:623,58992,0.5,58572,0.7,118044,0.5,156612,2.25 146,1,2024-09-07 08:50:21:586,406861,406861,0,0,190693893976,2014624669,398619,6396,1846,368,391770,0 146,2,2024-09-07 08:50:21:697,293067,293067,0,0,14134151,0,2730 146,3,2024-09-07 08:50:21:277,1,232,2,0,1520,5872,232,0 147,0,2024-09-07 08:50:21:737,58264,0.6,58237,0.8,115626,0.6,155242,2.25 147,1,2024-09-07 08:50:21:379,408753,408753,0,0,191090911185,1991387919,404661,3507,585,368,391791,0 147,2,2024-09-07 08:50:21:020,296349,296349,0,0,11991716,0,2789 147,3,2024-09-07 08:50:20:931,1,232,2,0,730,3856,232,0 0,0,2024-09-07 08:50:31:715,57588,0.5,57594,0.7,122162,0.4,157955,1.75 0,1,2024-09-07 08:50:30:813,409634,409634,0,0,191623180054,2007011258,406429,3002,203,370,391772,0 0,2,2024-09-07 08:50:31:067,295454,295454,0,0,12664830,0,4480 0,3,2024-09-07 08:50:30:991,1,233,44,0,319,3317,233,0 1,0,2024-09-07 08:50:31:776,59619,0.9,59094,1.0,119002,1.1,158620,2.00 1,1,2024-09-07 08:50:30:568,409219,409219,0,0,190898805577,2003904707,404726,3440,1053,371,391857,0 1,2,2024-09-07 08:50:30:645,294381,294381,0,0,11635205,0,3267 1,3,2024-09-07 08:50:31:316,1,233,10,0,262,2865,233,0 2,0,2024-09-07 08:50:31:605,57147,0.8,57451,0.9,114112,1.0,152756,2.00 2,1,2024-09-07 08:50:30:860,409881,409881,0,0,191641998613,1992990580,407777,1886,218,380,391745,0 2,2,2024-09-07 08:50:31:267,294660,294660,0,0,12220166,0,3594 2,3,2024-09-07 08:50:30:694,1,233,1,0,357,2252,233,0 3,0,2024-09-07 08:50:31:745,59411,0.5,59468,0.7,118256,0.5,157750,2.00 3,1,2024-09-07 08:50:31:621,409381,409381,0,0,191322888766,1996251756,405542,3377,462,380,391523,0 3,2,2024-09-07 08:50:31:147,294225,294202,23,0,12436190,0,5851 3,3,2024-09-07 08:50:31:753,1,233,0,0,103,1494,233,0 4,0,2024-09-07 08:50:31:839,56643,0.4,58274,0.5,118539,0.3,155575,1.75 4,1,2024-09-07 08:50:30:601,409031,409031,0,0,191185382722,2011162243,404320,3923,788,371,391846,0 4,2,2024-09-07 08:50:31:022,293189,293189,0,0,14571016,0,4528 4,3,2024-09-07 08:50:31:047,1,233,3,0,448,3703,233,0 5,0,2024-09-07 08:50:31:473,58365,0.4,58683,0.5,116598,0.4,155254,1.75 5,1,2024-09-07 08:50:30:760,409030,409030,0,0,191556755193,2017492020,403547,4371,1112,368,392005,0 5,2,2024-09-07 08:50:31:841,292579,292579,0,0,13265115,0,2432 5,3,2024-09-07 08:50:31:736,1,233,12,0,457,3764,233,0 6,0,2024-09-07 08:50:30:917,58012,0.7,57346,0.8,114619,0.7,153869,2.00 6,1,2024-09-07 08:50:30:748,409420,409420,0,0,191428364879,2003930699,404753,3823,844,379,391651,0 6,2,2024-09-07 08:50:31:122,296751,296751,0,0,13345169,0,4816 6,3,2024-09-07 08:50:31:274,1,233,1,0,340,2876,233,0 7,0,2024-09-07 08:50:31:539,56823,0.6,56935,0.7,113640,0.5,151540,2.00 7,1,2024-09-07 08:50:30:854,409000,409000,0,0,192166327396,2019731598,403105,4892,1003,382,391686,0 7,2,2024-09-07 08:50:30:769,292762,292762,0,0,13067801,0,4791 7,3,2024-09-07 08:50:30:851,1,233,24,0,398,2746,233,0 8,0,2024-09-07 08:50:31:350,57910,0.4,57965,0.5,115326,0.3,154235,1.75 8,1,2024-09-07 08:50:31:017,407566,407566,0,0,190815562992,2017717121,399508,6050,2008,367,391956,0 8,2,2024-09-07 08:50:30:798,289496,289496,0,0,15741858,0,3220 8,3,2024-09-07 08:50:30:585,1,233,1,0,357,3611,233,0 9,0,2024-09-07 08:50:31:115,59251,0.3,57502,0.5,120010,0.3,157344,1.75 9,1,2024-09-07 08:50:30:553,408395,408395,0,0,191503207056,2023219429,401915,4940,1540,370,392001,0 9,2,2024-09-07 08:50:31:085,294093,294093,0,0,13972499,0,3360 9,3,2024-09-07 08:50:31:762,1,233,1,0,496,3513,233,0 10,0,2024-09-07 08:50:31:606,58154,0.3,57644,0.5,116035,0.2,154821,1.75 10,1,2024-09-07 08:50:30:582,409160,409160,0,0,191332220350,2010285952,402801,5431,928,381,391741,0 10,2,2024-09-07 08:50:30:768,297249,297249,0,0,15491786,0,4264 10,3,2024-09-07 08:50:30:879,1,233,2,0,177,2022,233,0 11,0,2024-09-07 08:50:31:011,56301,0.5,54802,0.7,114713,0.5,152497,2.00 11,1,2024-09-07 08:50:30:570,409085,409085,0,0,190932684187,2013987230,400897,6207,1981,383,391537,0 11,2,2024-09-07 08:50:31:124,292419,292419,0,0,14459371,0,4130 11,3,2024-09-07 08:50:31:299,1,233,1,0,843,3959,233,0 12,0,2024-09-07 08:50:30:948,59585,0.4,59393,0.5,118745,0.3,157648,1.75 12,1,2024-09-07 08:50:30:937,409530,409530,0,0,190481225145,1989375919,406022,3087,421,370,391870,0 12,2,2024-09-07 08:50:31:569,294115,294115,0,0,13552681,0,3469 12,3,2024-09-07 08:50:31:059,1,233,17,0,386,4091,233,0 13,0,2024-09-07 08:50:31:441,59849,0.3,59759,0.5,119121,0.3,158499,1.50 13,1,2024-09-07 08:50:31:532,409338,409338,0,0,192288705598,2020199018,405794,3003,541,382,391717,0 13,2,2024-09-07 08:50:30:595,295760,295760,0,0,12752103,0,3287 13,3,2024-09-07 08:50:31:762,1,233,1,0,467,3824,233,0 14,0,2024-09-07 08:50:30:561,59848,0.4,60333,0.6,119445,0.3,158740,1.75 14,1,2024-09-07 08:50:31:563,411682,411682,0,0,192294144273,1993146986,408853,2640,189,364,391571,0 14,2,2024-09-07 08:50:30:768,295434,295434,0,0,12757278,0,2896 14,3,2024-09-07 08:50:31:115,1,233,1,0,1168,3415,233,0 15,0,2024-09-07 08:50:31:560,56617,0.6,56979,0.8,113740,0.6,151678,2.00 15,1,2024-09-07 08:50:31:608,410068,410068,0,0,191653792598,1994925726,407549,2341,178,381,391619,0 15,2,2024-09-07 08:50:31:018,293887,293887,0,0,10790355,0,3043 15,3,2024-09-07 08:50:31:405,1,233,0,0,1126,5194,233,0 16,0,2024-09-07 08:50:30:934,59572,0.8,59799,0.9,118879,0.9,158583,2.25 16,1,2024-09-07 08:50:30:567,410216,410216,0,0,191885065473,2007962821,406666,3252,298,370,391756,0 16,2,2024-09-07 08:50:31:438,293476,293476,0,0,13323002,0,4719 16,3,2024-09-07 08:50:31:150,1,233,1,0,317,3333,233,0 17,0,2024-09-07 08:50:31:764,60963,0.5,59428,0.7,116467,0.5,158255,2.00 17,1,2024-09-07 08:50:30:573,408698,408698,0,0,191213348901,2011048508,403865,3731,1102,368,391688,0 17,2,2024-09-07 08:50:31:672,297481,297481,0,0,12452865,0,2857 17,3,2024-09-07 08:50:30:574,1,233,1,0,268,3900,233,0 18,0,2024-09-07 08:50:30:941,57913,0.7,58048,0.8,116103,0.7,155199,2.25 18,1,2024-09-07 08:50:31:644,410253,410253,0,0,192582046748,1998138056,407749,2263,241,367,391649,0 18,2,2024-09-07 08:50:31:755,294885,294885,0,0,12095535,0,3541 18,3,2024-09-07 08:50:30:900,1,233,1,0,163,2457,233,0 19,0,2024-09-07 08:50:31:543,57110,0.8,57696,0.9,114100,0.8,152177,2.25 19,1,2024-09-07 08:50:30:568,409878,409878,0,0,192543591353,2004003205,405245,3847,786,367,391777,0 19,2,2024-09-07 08:50:31:758,297098,297098,0,0,11241088,0,3988 19,3,2024-09-07 08:50:31:131,1,233,16,0,524,1840,233,0 20,0,2024-09-07 08:50:31:356,57549,0.8,57452,0.8,115247,0.9,154403,2.25 20,1,2024-09-07 08:50:30:569,408927,408927,0,0,191483967077,2005625971,405120,3411,396,369,391886,0 20,2,2024-09-07 08:50:30:933,294155,294155,0,0,12722357,0,3721 20,3,2024-09-07 08:50:30:590,1,233,2,0,414,4498,233,0 21,0,2024-09-07 08:50:31:133,58159,0.5,58412,0.7,116455,0.5,154611,2.00 21,1,2024-09-07 08:50:31:549,408147,408147,0,0,190822389942,2013087463,402429,4461,1257,368,391962,0 21,2,2024-09-07 08:50:31:083,293133,293133,0,0,14243280,0,3747 21,3,2024-09-07 08:50:31:406,1,233,2,0,103,2544,233,0 22,0,2024-09-07 08:50:31:718,58676,0.6,58679,0.8,117084,0.6,155787,2.25 22,1,2024-09-07 08:50:31:023,408562,408562,0,0,190945644607,2014768053,401375,5545,1642,382,391667,0 22,2,2024-09-07 08:50:30:761,293972,293972,0,0,12707984,0,3134 22,3,2024-09-07 08:50:31:069,1,233,0,0,228,2278,233,0 23,0,2024-09-07 08:50:31:383,57341,0.6,57198,0.8,114860,0.7,153197,2.25 23,1,2024-09-07 08:50:31:009,409289,409289,0,0,192557972249,2025696092,401997,4741,2551,365,391550,0 23,2,2024-09-07 08:50:31:105,297954,297954,0,0,12422481,0,3010 23,3,2024-09-07 08:50:31:754,1,233,0,0,645,3096,233,0 24,0,2024-09-07 08:50:30:819,57783,0.5,57688,0.6,115716,0.4,154144,1.75 24,1,2024-09-07 08:50:30:582,409078,409078,0,0,191584848110,2014392750,403471,4128,1479,369,391987,0 24,2,2024-09-07 08:50:31:068,291875,291875,0,0,14925008,0,3607 24,3,2024-09-07 08:50:31:688,1,233,1,0,468,3606,233,0 25,0,2024-09-07 08:50:31:341,59698,0.5,58321,0.7,114166,0.5,155844,2.00 25,1,2024-09-07 08:50:30:563,409538,409538,0,0,191732533457,2010297816,405256,3728,554,371,391788,0 25,2,2024-09-07 08:50:31:606,292107,292107,0,0,15280614,0,3978 25,3,2024-09-07 08:50:31:012,1,233,1,0,255,2647,233,0 26,0,2024-09-07 08:50:31:729,58935,0.4,57624,0.6,120813,0.3,157163,1.75 26,1,2024-09-07 08:50:31:544,409122,409122,0,0,190765843566,2006523356,402159,5747,1216,381,391748,0 26,2,2024-09-07 08:50:30:860,294504,294504,0,0,15047868,0,2809 26,3,2024-09-07 08:50:31:711,1,233,0,0,796,3211,233,0 27,0,2024-09-07 08:50:31:726,58869,0.4,58715,0.6,116375,0.4,155354,2.00 27,1,2024-09-07 08:50:31:676,411201,411201,0,0,192808359710,2008798920,407754,2855,592,381,391558,0 27,2,2024-09-07 08:50:30:869,295127,295062,65,0,15245046,0,5699 27,3,2024-09-07 08:50:31:031,1,233,0,0,564,2685,233,0 28,0,2024-09-07 08:50:31:423,56732,0.5,56470,0.7,113444,0.6,151905,2.25 28,1,2024-09-07 08:50:30:820,410513,410513,0,0,192081980421,2002275854,407677,2366,470,383,391646,0 28,2,2024-09-07 08:50:31:789,293306,293306,0,0,11951117,0,2915 28,3,2024-09-07 08:50:31:784,1,233,1,0,502,2534,233,0 29,0,2024-09-07 08:50:31:359,60909,0.4,59597,0.7,116381,0.4,158939,1.75 29,1,2024-09-07 08:50:31:562,411026,411026,0,0,192734105907,1998138724,407805,2656,565,369,391621,0 29,2,2024-09-07 08:50:30:860,293335,293335,0,0,11450321,0,4018 29,3,2024-09-07 08:50:30:965,1,233,2,0,115,1740,233,0 30,0,2024-09-07 08:50:31:468,59104,0.5,57527,0.7,120242,0.5,157365,2.00 30,1,2024-09-07 08:50:30:570,411224,411224,0,0,192884896718,2000887492,408896,2035,293,382,391672,0 30,2,2024-09-07 08:50:31:278,295722,295722,0,0,11371056,0,3161 30,3,2024-09-07 08:50:30:581,1,233,9,0,195,1871,233,0 31,0,2024-09-07 08:50:31:760,59072,0.4,59419,0.6,119180,0.4,158474,2.00 31,1,2024-09-07 08:50:30:564,412183,412183,0,0,193194446394,1981642865,410795,1169,219,356,391712,0 31,2,2024-09-07 08:50:31:275,294595,294595,0,0,12918532,0,3525 31,3,2024-09-07 08:50:31:709,1,233,4,0,220,1902,233,0 32,0,2024-09-07 08:50:31:425,57063,0.4,57514,0.6,115067,0.3,153243,1.75 32,1,2024-09-07 08:50:30:831,411316,411316,0,0,192475178883,1998635803,409394,1643,279,381,391614,0 32,2,2024-09-07 08:50:30:948,294871,294871,0,0,11085806,0,3155 32,3,2024-09-07 08:50:31:019,1,233,0,0,227,1973,233,0 33,0,2024-09-07 08:50:31:503,59872,0.3,59122,0.5,119049,0.2,158729,1.75 33,1,2024-09-07 08:50:30:580,411339,411339,0,0,192603916478,1992089359,408760,2405,174,369,391730,0 33,2,2024-09-07 08:50:30:764,294996,294963,33,0,12890406,0,7012 33,3,2024-09-07 08:50:30:897,1,233,9,0,329,2717,233,0 34,0,2024-09-07 08:50:30:934,58416,0.3,60066,0.5,115393,0.2,154897,1.75 34,1,2024-09-07 08:50:31:048,411916,411916,0,0,193475037872,1991290717,410839,1070,7,367,391562,0 34,2,2024-09-07 08:50:30:765,294399,294399,0,0,12126818,0,3577 34,3,2024-09-07 08:50:31:688,1,233,1,0,299,1928,233,0 35,0,2024-09-07 08:50:30:859,57903,0.4,58257,0.5,117074,0.3,155611,1.75 35,1,2024-09-07 08:50:31:067,410412,410412,0,0,192428401545,1998204149,407071,2561,780,384,391589,0 35,2,2024-09-07 08:50:31:587,294397,294397,0,0,11532567,0,2653 35,3,2024-09-07 08:50:30:907,1,233,1,0,418,2778,233,0 36,0,2024-09-07 08:50:31:531,57594,0.7,57550,0.8,115250,0.7,153513,2.50 36,1,2024-09-07 08:50:30:582,409848,409848,0,0,191927446811,2011077964,403887,4383,1578,366,391759,0 36,2,2024-09-07 08:50:31:759,296368,296368,0,0,13647997,0,3875 36,3,2024-09-07 08:50:30:862,1,233,1,0,416,3910,233,0 37,0,2024-09-07 08:50:31:399,56678,0.6,56588,0.7,113500,0.6,152407,2.00 37,1,2024-09-07 08:50:30:570,409007,409000,0,7,190791916583,2003823312,402994,3940,2066,365,391560,0 37,2,2024-09-07 08:50:31:141,293037,293022,15,0,13747392,0,5815 37,3,2024-09-07 08:50:31:777,1,233,1,0,888,4303,233,0 38,0,2024-09-07 08:50:31:457,57301,0.5,55635,0.7,116477,0.5,152453,2.00 38,1,2024-09-07 08:50:31:605,410492,410492,0,0,192258190883,2010622588,405224,4593,675,368,391821,0 38,2,2024-09-07 08:50:30:771,292864,292817,47,0,14239288,0,6710 38,3,2024-09-07 08:50:31:003,1,233,1,0,689,3547,233,0 39,0,2024-09-07 08:50:31:765,60352,0.6,59240,0.7,115119,0.6,157405,2.00 39,1,2024-09-07 08:50:30:716,409955,409955,0,0,191448258853,2001575643,404429,4625,901,365,391594,0 39,2,2024-09-07 08:50:31:422,294122,294122,0,0,12381364,0,2689 39,3,2024-09-07 08:50:30:718,1,233,1,0,324,3386,233,0 40,0,2024-09-07 08:50:31:507,57383,0.8,57952,1.0,115820,0.8,154246,3.00 40,1,2024-09-07 08:50:30:587,409715,409715,0,0,190768380013,2004601546,402716,5556,1443,368,391591,0 40,2,2024-09-07 08:50:31:314,296888,296887,1,0,15559485,0,5137 40,3,2024-09-07 08:50:31:149,1,233,0,0,398,2988,233,0 41,0,2024-09-07 08:50:31:040,56211,2.3,57415,1.6,109648,3.5,150772,4.25 41,1,2024-09-07 08:50:30:768,409555,409555,0,0,192120230301,2012971479,404026,4895,634,370,391742,0 41,2,2024-09-07 08:50:30:759,291451,291451,0,0,14704750,0,3356 41,3,2024-09-07 08:50:31:676,1,233,1,0,366,2722,233,0 42,0,2024-09-07 08:50:31:484,58283,1.0,58602,1.1,117103,1.1,154390,2.75 42,1,2024-09-07 08:50:31:439,408352,408352,0,0,191979997617,2022554053,401195,5705,1452,380,391675,0 42,2,2024-09-07 08:50:31:136,293245,293245,0,0,13981967,0,3568 42,3,2024-09-07 08:50:31:241,1,233,8,0,446,2042,233,0 43,0,2024-09-07 08:50:30:917,59218,0.7,57672,0.9,120441,0.7,158179,2.25 43,1,2024-09-07 08:50:30:582,408931,408931,0,0,191609830838,2006966193,402673,4929,1329,366,391696,0 43,2,2024-09-07 08:50:31:736,295582,295582,0,0,13482120,0,3812 43,3,2024-09-07 08:50:31:748,1,233,1,0,467,3008,233,0 44,0,2024-09-07 08:50:30:863,59588,0.5,59954,0.7,119546,0.4,158890,1.75 44,1,2024-09-07 08:50:30:563,411000,411000,0,0,191670310313,1981365945,408446,2123,431,356,391809,0 44,2,2024-09-07 08:50:31:274,295083,295083,0,0,11388235,0,2231 44,3,2024-09-07 08:50:31:098,1,233,1,0,817,2899,233,0 45,0,2024-09-07 08:50:31:757,55825,0.8,54651,1.0,114730,0.9,151524,2.25 45,1,2024-09-07 08:50:31:006,410763,410763,0,0,193131717679,2002855555,409113,1638,12,382,391917,0 45,2,2024-09-07 08:50:31:270,294132,294132,0,0,12047950,0,3596 45,3,2024-09-07 08:50:30:935,1,233,1,0,271,2633,233,0 46,0,2024-09-07 08:50:30:951,59101,0.7,59041,0.8,118315,0.7,156309,2.25 46,1,2024-09-07 08:50:30:582,411768,411768,0,0,192822873238,1992623741,409422,2072,274,366,391539,0 46,2,2024-09-07 08:50:30:608,294411,294411,0,0,11650535,0,2920 46,3,2024-09-07 08:50:31:132,1,233,2,0,908,3741,233,0 47,0,2024-09-07 08:50:31:117,59495,0.4,59276,0.6,119255,0.4,157833,1.75 47,1,2024-09-07 08:50:30:569,411579,411579,0,0,192188695092,1985930013,409257,2188,134,366,391605,0 47,2,2024-09-07 08:50:30:909,296463,296463,0,0,11735829,0,2558 47,3,2024-09-07 08:50:31:115,1,233,14,0,529,2239,233,0 48,0,2024-09-07 08:50:31:495,58947,0.3,58531,0.4,116727,0.2,156040,1.50 48,1,2024-09-07 08:50:31:022,411239,411239,0,0,192373242377,2000560552,408140,2800,299,384,391710,0 48,2,2024-09-07 08:50:30:720,294622,294622,0,0,11262666,0,3031 48,3,2024-09-07 08:50:30:756,1,233,0,0,339,2065,233,0 49,0,2024-09-07 08:50:31:718,59181,0.4,58093,0.6,112479,0.4,154306,1.75 49,1,2024-09-07 08:50:31:026,409491,409491,0,0,192578126854,2009350914,405700,2610,1181,382,391610,0 49,2,2024-09-07 08:50:31:800,296899,296899,0,0,11801938,0,3900 49,3,2024-09-07 08:50:31:421,1,233,13,0,408,2726,233,0 50,0,2024-09-07 08:50:31:506,57776,0.3,57228,0.5,115069,0.2,154246,1.75 50,1,2024-09-07 08:50:31:014,411949,411949,0,0,192537454173,1993311783,409244,2395,310,368,391530,0 50,2,2024-09-07 08:50:31:069,294518,294518,0,0,11099194,0,2263 50,3,2024-09-07 08:50:31:291,1,233,2,0,335,2030,233,0 51,0,2024-09-07 08:50:31:691,59433,0.3,58231,0.5,113302,0.2,154930,1.75 51,1,2024-09-07 08:50:31:688,411365,411365,0,0,193515981802,1999947364,408570,1856,939,365,391706,0 51,2,2024-09-07 08:50:31:316,293678,293678,0,0,10489818,0,3337 51,3,2024-09-07 08:50:31:030,1,233,1,0,678,1992,233,0 52,0,2024-09-07 08:50:31:418,59465,0.5,59029,0.6,117875,0.4,156551,2.00 52,1,2024-09-07 08:50:30:583,409582,409582,0,0,191356338142,2011265494,402446,5882,1254,368,391722,0 52,2,2024-09-07 08:50:31:755,292319,292281,38,0,14460549,0,6742 52,3,2024-09-07 08:50:30:677,1,233,1,0,1782,4186,233,0 53,0,2024-09-07 08:50:31:730,57095,0.8,55507,0.9,116153,0.9,152299,2.50 53,1,2024-09-07 08:50:30:773,408501,408501,0,0,191405716296,2015111027,401178,4967,2356,367,391702,0 53,2,2024-09-07 08:50:31:302,297604,297604,0,0,12110810,0,2727 53,3,2024-09-07 08:50:30:710,1,233,8,0,271,2241,233,0 54,0,2024-09-07 08:50:31:622,56423,1.0,56827,0.9,112559,0.6,151357,3.00 54,1,2024-09-07 08:50:30:581,409831,409831,0,0,192565906981,2006609676,405096,4138,597,367,391659,0 54,2,2024-09-07 08:50:30:877,292859,292853,6,0,13292366,0,5382 54,3,2024-09-07 08:50:30:763,1,233,1,0,676,3645,233,0 55,0,2024-09-07 08:50:31:762,56064,0.7,58135,0.9,116886,0.6,152327,2.50 55,1,2024-09-07 08:50:30:765,409212,409212,0,0,191584413787,1998779038,403663,4762,787,365,391731,0 55,2,2024-09-07 08:50:30:739,292356,292356,0,0,13426339,0,3275 55,3,2024-09-07 08:50:30:674,1,233,1,0,304,2783,233,0 56,0,2024-09-07 08:50:31:551,60516,1.0,57084,1.1,117471,1.4,157107,2.50 56,1,2024-09-07 08:50:30:570,407709,407709,0,0,191508225778,2029610579,400575,5696,1438,381,391678,0 56,2,2024-09-07 08:50:31:305,294767,294767,0,0,14711434,0,3567 56,3,2024-09-07 08:50:31:066,1,233,8,0,705,3502,233,0 57,0,2024-09-07 08:50:30:960,57628,1.7,57761,1.3,115565,2.3,154247,3.25 57,1,2024-09-07 08:50:30:992,409326,409326,0,0,191056130030,2006480105,404007,4649,670,367,391960,0 57,2,2024-09-07 08:50:31:318,297024,297024,0,0,15688145,0,3317 57,3,2024-09-07 08:50:31:747,1,233,4,0,359,3347,233,0 58,0,2024-09-07 08:50:30:569,55316,1.1,53872,1.1,112753,1.4,148392,2.75 58,1,2024-09-07 08:50:30:582,409625,409622,0,3,192646086740,2016949954,403895,5017,710,367,391545,3 58,2,2024-09-07 08:50:31:073,293280,293280,0,0,14093916,0,2549 58,3,2024-09-07 08:50:31:081,1,233,1,0,1043,2799,233,0 59,0,2024-09-07 08:50:31:743,58909,0.9,58841,1.1,117396,1.0,155793,3.00 59,1,2024-09-07 08:50:30:812,409444,409444,0,0,191734637848,2010914028,403657,4522,1265,369,391525,0 59,2,2024-09-07 08:50:30:590,294642,294642,0,0,12808080,0,2604 59,3,2024-09-07 08:50:31:737,1,233,1,0,1015,3475,233,0 60,0,2024-09-07 08:50:31:723,59447,0.4,59200,0.6,119307,0.4,158016,1.75 60,1,2024-09-07 08:50:30:772,411250,411250,0,0,192370210549,1997178254,408816,1917,517,370,392031,0 60,2,2024-09-07 08:50:31:148,295212,295212,0,0,14009689,0,3811 60,3,2024-09-07 08:50:31:271,1,233,13,0,124,2357,233,0 61,0,2024-09-07 08:50:31:504,59409,0.7,59697,0.8,118884,0.7,158720,2.00 61,1,2024-09-07 08:50:30:776,409652,409652,0,0,191457261060,2006532953,405085,3864,703,382,391880,0 61,2,2024-09-07 08:50:31:118,295603,295603,0,0,12312120,0,2780 61,3,2024-09-07 08:50:31:688,1,233,6,0,397,3280,233,0 62,0,2024-09-07 08:50:31:711,57778,0.8,58889,0.9,112057,0.9,153176,2.00 62,1,2024-09-07 08:50:31:124,412345,412339,0,6,192901750173,1991889473,409883,2339,117,365,391715,6 62,2,2024-09-07 08:50:31:643,293597,293596,1,0,13660866,0,5555 62,3,2024-09-07 08:50:31:146,1,233,1,0,287,1684,233,0 63,0,2024-09-07 08:50:31:469,59325,0.5,59532,0.6,119168,0.5,158266,1.75 63,1,2024-09-07 08:50:30:813,411763,411757,0,6,192310936595,1993758506,410183,1524,50,381,391677,6 63,2,2024-09-07 08:50:30:762,294329,294329,0,0,11409037,0,2674 63,3,2024-09-07 08:50:31:738,1,233,6,0,667,2836,233,0 64,0,2024-09-07 08:50:31:556,57923,0.4,57989,0.6,116222,0.4,154812,1.75 64,1,2024-09-07 08:50:30:766,410339,410339,0,0,192050996080,2006618243,405858,3067,1414,370,391783,0 64,2,2024-09-07 08:50:31:163,296792,296773,19,0,12211423,0,6121 64,3,2024-09-07 08:50:31:141,1,233,17,0,265,2468,233,0 65,0,2024-09-07 08:50:31:696,57783,0.6,58192,0.7,116116,0.6,154556,2.00 65,1,2024-09-07 08:50:30:871,409270,409270,0,0,191107085457,2002163395,405723,3104,443,382,391901,0 65,2,2024-09-07 08:50:31:697,294203,294203,0,0,12903561,0,3367 65,3,2024-09-07 08:50:31:686,1,233,8,0,163,2252,233,0 66,0,2024-09-07 08:50:31:766,57243,0.5,57131,0.7,114824,0.5,152698,2.00 66,1,2024-09-07 08:50:31:293,410916,410916,0,0,192469387029,2001648727,408357,2359,200,380,391653,0 66,2,2024-09-07 08:50:31:133,298815,298815,0,0,12687407,0,4956 66,3,2024-09-07 08:50:31:080,1,233,4,0,291,2585,233,0 67,0,2024-09-07 08:50:31:416,57394,0.7,56996,0.8,114496,0.8,152469,2.25 67,1,2024-09-07 08:50:30:771,410076,410075,0,1,191902856564,2004524012,406238,3090,747,380,391787,1 67,2,2024-09-07 08:50:30:583,294970,294970,0,0,11613711,0,2889 67,3,2024-09-07 08:50:31:750,1,233,4,0,338,2205,233,0 68,0,2024-09-07 08:50:30:586,57910,0.6,57751,0.8,114965,0.7,154090,2.00 68,1,2024-09-07 08:50:30:572,409245,409245,0,0,191080556678,2005761186,405274,2832,1139,381,391953,0 68,2,2024-09-07 08:50:31:056,292391,292326,65,0,15326001,0,6698 68,3,2024-09-07 08:50:30:745,1,233,2,0,417,2757,233,0 69,0,2024-09-07 08:50:31:752,58767,0.7,59117,0.8,117567,0.8,156558,2.25 69,1,2024-09-07 08:50:31:036,407923,407923,0,0,191027059186,2019699791,402225,4297,1401,384,391994,0 69,2,2024-09-07 08:50:31:749,294089,294089,0,0,14872394,0,3722 69,3,2024-09-07 08:50:30:761,1,233,22,0,698,3576,233,0 70,0,2024-09-07 08:50:31:531,57586,1.0,57529,1.1,115504,0.7,153877,2.50 70,1,2024-09-07 08:50:30:803,409964,409964,0,0,192294262612,1999401087,406309,3127,528,366,391725,0 70,2,2024-09-07 08:50:31:325,297081,297081,0,0,13954750,0,4044 70,3,2024-09-07 08:50:30:747,1,233,1,0,854,2821,233,0 71,0,2024-09-07 08:50:31:376,56239,1.5,56202,1.3,112427,2.2,151387,3.00 71,1,2024-09-07 08:50:31:596,409560,409560,0,0,192488274086,2010758210,404440,4499,621,368,391738,0 71,2,2024-09-07 08:50:31:067,293178,293178,0,0,13857625,0,4042 71,3,2024-09-07 08:50:31:750,1,233,1,0,644,3571,233,0 72,0,2024-09-07 08:50:31:071,61004,0.9,59632,0.9,116271,1.1,158122,2.25 72,1,2024-09-07 08:50:31:025,409490,409490,0,0,191212889306,2000336934,404439,4120,931,369,391819,0 72,2,2024-09-07 08:50:31:755,292436,292436,0,0,15707336,0,3983 72,3,2024-09-07 08:50:31:767,1,233,1,0,564,4363,233,0 73,0,2024-09-07 08:50:31:116,58079,0.4,59379,0.6,121765,0.3,157859,2.00 73,1,2024-09-07 08:50:30:777,410083,410083,0,0,191467256697,1993065257,407001,2847,235,367,391750,0 73,2,2024-09-07 08:50:31:739,295543,295543,0,0,15118242,0,3701 73,3,2024-09-07 08:50:30:980,1,233,0,0,274,3601,233,0 74,0,2024-09-07 08:50:31:334,60171,0.5,61525,0.7,117290,0.4,158732,2.25 74,1,2024-09-07 08:50:30:636,409853,409853,0,0,191584627966,2003996391,405456,3598,799,381,391681,0 74,2,2024-09-07 08:50:31:003,295800,295800,0,0,14562611,0,4253 74,3,2024-09-07 08:50:31:457,1,233,1,0,522,3307,233,0 75,0,2024-09-07 08:50:31:773,57015,0.7,56638,0.9,113742,0.6,152495,2.50 75,1,2024-09-07 08:50:31:596,409150,409150,0,0,191361744738,2004433728,404245,4242,663,380,391660,0 75,2,2024-09-07 08:50:31:350,292967,292967,0,0,15019357,0,4766 75,3,2024-09-07 08:50:31:066,1,233,0,0,702,3497,233,0 76,0,2024-09-07 08:50:30:637,59183,0.8,58592,0.9,117321,0.8,157229,2.50 76,1,2024-09-07 08:50:30:813,410014,410014,0,0,191239560968,1997847431,406956,2510,548,382,391692,0 76,2,2024-09-07 08:50:31:073,295203,295203,0,0,12254127,0,3784 76,3,2024-09-07 08:50:31:149,1,233,15,0,175,2530,233,0 77,0,2024-09-07 08:50:31:777,59221,0.5,59490,0.7,118573,0.5,157552,1.75 77,1,2024-09-07 08:50:30:829,410294,410294,0,0,192064415569,2008125615,406852,3186,256,383,391808,0 77,2,2024-09-07 08:50:31:295,294270,294270,0,0,12885678,0,3890 77,3,2024-09-07 08:50:31:096,1,233,1,0,382,2792,233,0 78,0,2024-09-07 08:50:31:725,58731,0.4,58104,0.7,117327,0.4,155372,2.00 78,1,2024-09-07 08:50:30:610,410528,410528,0,0,191992506940,1995099038,407533,2677,318,367,391670,0 78,2,2024-09-07 08:50:31:405,294685,294685,0,0,11497796,0,3855 78,3,2024-09-07 08:50:31:135,1,233,11,0,181,2389,233,0 79,0,2024-09-07 08:50:31:345,55767,0.4,57176,0.7,117025,0.4,151953,2.50 79,1,2024-09-07 08:50:30:577,411659,411659,0,0,192401897208,1993160300,408818,2505,336,369,391682,0 79,2,2024-09-07 08:50:31:080,296719,296719,0,0,11691075,0,3212 79,3,2024-09-07 08:50:30:756,1,233,1,0,418,3666,233,0 80,0,2024-09-07 08:50:31:157,57633,0.6,59311,0.7,113443,0.6,154022,2.00 80,1,2024-09-07 08:50:31:633,409757,409757,0,0,192196986581,2002885349,406794,2795,168,368,391791,0 80,2,2024-09-07 08:50:31:091,294972,294972,0,0,11991861,0,4433 80,3,2024-09-07 08:50:30:579,1,233,1,0,190,3356,233,0 81,0,2024-09-07 08:50:31:545,58002,0.5,59337,0.7,113759,0.5,154045,1.75 81,1,2024-09-07 08:50:31:650,409104,409104,0,0,190479702535,1994209393,405268,3375,461,382,391879,0 81,2,2024-09-07 08:50:31:126,293455,293455,0,0,12312822,0,3993 81,3,2024-09-07 08:50:31:118,1,233,0,0,374,2695,233,0 82,0,2024-09-07 08:50:31:533,58429,0.4,58918,0.6,117960,0.4,156565,2.00 82,1,2024-09-07 08:50:30:583,410527,410523,0,4,192240122402,2004865311,407302,2610,611,381,391558,4 82,2,2024-09-07 08:50:31:705,294638,294638,0,0,11663570,0,3986 82,3,2024-09-07 08:50:31:753,1,233,1,0,363,2772,233,0 83,0,2024-09-07 08:50:31:521,57585,0.6,57672,0.7,114401,0.6,152734,2.00 83,1,2024-09-07 08:50:30:553,409616,409616,0,0,191597925361,2000654361,406448,2924,244,382,391690,0 83,2,2024-09-07 08:50:30:770,297448,297448,0,0,11745605,0,3119 83,3,2024-09-07 08:50:30:748,1,233,74,0,250,2635,233,0 84,0,2024-09-07 08:50:31:826,56909,0.8,56885,1.0,113595,0.7,152831,2.50 84,1,2024-09-07 08:50:31:046,408905,408905,0,0,191805073159,2008871834,404173,4157,575,368,391967,0 84,2,2024-09-07 08:50:30:570,293233,293233,0,0,13510409,0,3801 84,3,2024-09-07 08:50:31:153,1,233,12,0,908,3849,233,0 85,0,2024-09-07 08:50:31:010,56244,0.8,56144,0.9,119109,0.9,153821,2.50 85,1,2024-09-07 08:50:30:566,408503,408503,0,0,191418786977,2026186045,401675,5722,1106,382,392006,0 85,2,2024-09-07 08:50:30:864,294497,294497,0,0,14225384,0,3656 85,3,2024-09-07 08:50:30:694,1,233,10,0,789,3147,233,0 86,0,2024-09-07 08:50:30:894,59274,0.6,60851,0.7,116530,0.6,157053,2.00 86,1,2024-09-07 08:50:30:831,410184,410184,0,0,192320492773,2013888732,405209,4251,724,366,391961,0 86,2,2024-09-07 08:50:30:862,293988,293987,1,0,15071609,0,5004 86,3,2024-09-07 08:50:30:590,1,233,8,0,286,3176,233,0 87,0,2024-09-07 08:50:31:292,58400,1.0,58040,1.0,116735,1.4,155731,2.25 87,1,2024-09-07 08:50:30:553,409906,409906,0,0,191524965119,2006236984,405444,3980,482,366,391788,0 87,2,2024-09-07 08:50:31:067,295560,295560,0,0,13566479,0,4045 87,3,2024-09-07 08:50:31:818,1,233,1,0,473,3737,233,0 88,0,2024-09-07 08:50:31:449,56512,0.5,56931,0.7,113587,0.5,151523,2.00 88,1,2024-09-07 08:50:30:574,407784,407784,0,0,190823038420,2006630199,401618,4654,1512,365,392084,0 88,2,2024-09-07 08:50:30:695,293089,293089,0,0,15481110,0,3583 88,3,2024-09-07 08:50:31:267,1,233,0,0,435,2998,233,0 89,0,2024-09-07 08:50:31:800,61100,0.6,59000,0.7,117240,0.5,159012,2.00 89,1,2024-09-07 08:50:30:554,407686,407686,0,0,191530100794,2024964070,400785,5681,1220,382,391866,0 89,2,2024-09-07 08:50:31:136,292963,292963,0,0,14764716,0,2910 89,3,2024-09-07 08:50:31:814,1,233,8,0,325,4706,233,0 90,0,2024-09-07 08:50:31:666,57797,0.4,59007,0.6,120862,0.4,157171,1.75 90,1,2024-09-07 08:50:30:597,409795,409795,0,0,191095718176,2005675891,405687,3831,277,381,391825,0 90,2,2024-09-07 08:50:31:431,294102,294102,0,0,15888534,0,3060 90,3,2024-09-07 08:50:30:930,1,233,2,0,200,2542,233,0 91,0,2024-09-07 08:50:30:992,59743,0.4,57804,0.6,120810,0.4,158810,1.75 91,1,2024-09-07 08:50:30:560,408980,408980,0,0,191706250694,2019525799,403120,5168,692,381,392047,0 91,2,2024-09-07 08:50:31:345,294749,294749,0,0,14362274,0,2896 91,3,2024-09-07 08:50:30:613,1,233,1,0,216,2334,233,0 92,0,2024-09-07 08:50:31:478,57750,0.6,59218,0.7,113161,0.6,153413,1.75 92,1,2024-09-07 08:50:30:579,409563,409563,0,0,192270277315,2006972646,407013,2144,406,382,392136,0 92,2,2024-09-07 08:50:31:365,296159,296159,0,0,12043111,0,2801 92,3,2024-09-07 08:50:31:248,1,233,64,0,167,1986,233,0 93,0,2024-09-07 08:50:30:963,59664,0.4,61263,0.6,116875,0.4,158789,1.75 93,1,2024-09-07 08:50:30:815,409951,409951,0,0,191043330140,1997619744,405484,3681,786,366,391692,0 93,2,2024-09-07 08:50:30:933,295068,295068,0,0,12791850,0,2797 93,3,2024-09-07 08:50:31:406,1,233,2,0,190,2435,233,0 94,0,2024-09-07 08:50:31:674,58192,0.4,58906,0.5,117379,0.3,155563,1.75 94,1,2024-09-07 08:50:30:572,409541,409541,0,0,191652121740,2004910400,406262,3102,177,381,391850,0 94,2,2024-09-07 08:50:30:767,294035,294035,0,0,11890285,0,2443 94,3,2024-09-07 08:50:31:697,1,233,14,0,264,3214,233,0 95,0,2024-09-07 08:50:31:355,58536,0.3,58113,0.5,117478,0.3,155439,1.75 95,1,2024-09-07 08:50:30:852,410450,410450,0,0,192223286469,2001187453,406889,3185,376,367,391713,0 95,2,2024-09-07 08:50:31:022,293752,293752,0,0,12244954,0,3308 95,3,2024-09-07 08:50:31:716,1,233,2,0,718,4431,233,0 96,0,2024-09-07 08:50:31:036,57656,0.4,57904,0.6,115517,0.4,153215,1.75 96,1,2024-09-07 08:50:31:589,409283,409283,0,0,192304720234,2010638733,405715,2838,730,384,391894,0 96,2,2024-09-07 08:50:31:270,297037,297037,0,0,12877797,0,4042 96,3,2024-09-07 08:50:31:140,1,233,2,0,411,2744,233,0 97,0,2024-09-07 08:50:31:316,57217,0.4,57019,0.5,114665,0.3,152382,1.75 97,1,2024-09-07 08:50:30:768,410577,410577,0,0,192460920516,1998535451,407550,2472,555,367,392140,0 97,2,2024-09-07 08:50:30:609,293882,293882,0,0,11955169,0,3036 97,3,2024-09-07 08:50:30:571,1,233,1,0,214,2856,233,0 98,0,2024-09-07 08:50:31:705,57851,0.3,57886,0.5,116306,0.2,154262,1.50 98,1,2024-09-07 08:50:30:571,410547,410547,0,0,192408491066,2004659017,408417,2032,98,382,391997,0 98,2,2024-09-07 08:50:30:772,294020,294020,0,0,12064996,0,3080 98,3,2024-09-07 08:50:30:706,1,233,11,0,840,4522,233,0 99,0,2024-09-07 08:50:31:464,59128,0.3,59246,0.4,118292,0.3,157664,1.50 99,1,2024-09-07 08:50:31:734,410403,410403,0,0,190913604024,1993592569,406622,3042,739,381,391744,0 99,2,2024-09-07 08:50:31:422,297016,297016,0,0,12765404,0,3106 99,3,2024-09-07 08:50:30:584,1,233,1,0,168,1880,233,0 100,0,2024-09-07 08:50:31:494,57874,0.8,57823,1.0,115447,1.0,154426,2.25 100,1,2024-09-07 08:50:30:548,407509,407509,0,0,190258570326,2016244303,400678,5514,1317,381,391989,0 100,2,2024-09-07 08:50:31:823,295643,295632,11,0,14320899,0,5417 100,3,2024-09-07 08:50:31:735,1,233,6,0,559,4829,233,0 101,0,2024-09-07 08:50:31:705,57862,2.2,56408,1.3,110599,2.7,152876,2.75 101,1,2024-09-07 08:50:30:552,408062,408062,0,0,190874549125,2016557018,400720,5571,1771,368,391769,0 101,2,2024-09-07 08:50:31:761,292006,292006,0,0,15417090,0,4644 101,3,2024-09-07 08:50:30:949,1,233,1,0,448,3035,233,0 102,0,2024-09-07 08:50:30:970,57659,0.8,59838,0.9,120094,0.9,157398,2.25 102,1,2024-09-07 08:50:31:150,408751,408751,0,0,191364205587,2014449532,403106,4806,839,369,391883,0 102,2,2024-09-07 08:50:31:737,293843,293789,54,0,14130931,0,6768 102,3,2024-09-07 08:50:31:613,1,233,2,0,410,2513,233,0 103,0,2024-09-07 08:50:31:611,61286,0.5,61278,0.7,115552,0.4,158961,2.00 103,1,2024-09-07 08:50:31:642,408092,408092,0,0,190776792773,2014663242,401805,4906,1381,381,391829,0 103,2,2024-09-07 08:50:30:594,293994,293994,0,0,13342712,0,2582 103,3,2024-09-07 08:50:30:763,1,233,1,0,916,3496,233,0 104,0,2024-09-07 08:50:31:010,59204,0.8,59675,1.0,117960,0.8,158899,2.25 104,1,2024-09-07 08:50:31:608,409355,409355,0,0,191059731049,2014104564,402689,5571,1095,365,391948,0 104,2,2024-09-07 08:50:31:676,294483,294483,0,0,15116376,0,3941 104,3,2024-09-07 08:50:31:425,1,233,5,0,1245,6327,233,0 105,0,2024-09-07 08:50:31:035,56248,1.7,54572,1.4,114146,2.7,150967,3.75 105,1,2024-09-07 08:50:30:556,409244,409244,0,0,191935199608,2018859554,402955,5315,974,367,391797,0 105,2,2024-09-07 08:50:31:329,293396,293396,0,0,14546995,0,3509 105,3,2024-09-07 08:50:31:304,1,233,0,0,399,4195,233,0 106,0,2024-09-07 08:50:30:971,57334,1.1,58675,1.1,120260,1.3,156909,2.75 106,1,2024-09-07 08:50:31:761,408759,408759,0,0,190909917240,2011428614,401677,6324,758,369,391767,0 106,2,2024-09-07 08:50:30:768,292231,292231,0,0,14006797,0,2795 106,3,2024-09-07 08:50:30:683,1,233,3,0,470,3527,233,0 107,0,2024-09-07 08:50:31:120,59123,0.8,59030,0.9,117914,0.9,157220,2.00 107,1,2024-09-07 08:50:30:590,408211,408211,0,0,191326264352,2017160807,402897,4768,546,381,392234,0 107,2,2024-09-07 08:50:31:292,293910,293909,1,0,14668994,0,5024 107,3,2024-09-07 08:50:31:758,1,233,1,0,353,3348,233,0 108,0,2024-09-07 08:50:31:783,58640,0.4,58866,0.6,116651,0.4,156055,1.75 108,1,2024-09-07 08:50:31:303,409693,409693,0,0,192412415584,2006790138,406496,2813,384,368,391857,0 108,2,2024-09-07 08:50:31:761,293705,293705,0,0,13107330,0,2647 108,3,2024-09-07 08:50:31:330,1,233,2,0,749,4791,233,0 109,0,2024-09-07 08:50:31:794,57958,0.4,57363,0.6,114996,0.4,154201,1.75 109,1,2024-09-07 08:50:30:590,408627,408627,0,0,191166528072,2007491679,404845,3268,514,383,392132,0 109,2,2024-09-07 08:50:30:926,294948,294948,0,0,13619154,0,3617 109,3,2024-09-07 08:50:31:140,1,233,5,0,249,2908,233,0 110,0,2024-09-07 08:50:31:805,57773,0.4,56309,0.6,117666,0.4,154775,1.75 110,1,2024-09-07 08:50:31:659,410366,410366,0,0,192607672763,2002462567,407224,2265,877,370,392045,0 110,2,2024-09-07 08:50:31:306,294709,294709,0,0,12823726,0,3264 110,3,2024-09-07 08:50:30:690,1,233,1,0,406,3199,233,0 111,0,2024-09-07 08:50:31:417,58380,0.4,57956,0.5,115666,0.3,155307,1.75 111,1,2024-09-07 08:50:31:013,411005,411005,0,0,192955190431,2001748735,409018,1651,336,382,391690,0 111,2,2024-09-07 08:50:31:126,293759,293759,0,0,12370637,0,2763 111,3,2024-09-07 08:50:30:919,1,233,1,0,379,3322,233,0 112,0,2024-09-07 08:50:30:920,59068,0.3,58969,0.4,118025,0.2,156704,1.50 112,1,2024-09-07 08:50:30:826,411368,411368,0,0,192044359091,1993787274,408952,1988,428,380,391580,0 112,2,2024-09-07 08:50:31:134,293916,293915,1,0,12533545,0,5036 112,3,2024-09-07 08:50:30:598,1,233,9,0,282,2535,233,0 113,0,2024-09-07 08:50:30:866,57438,0.3,57336,0.5,115130,0.3,153873,1.75 113,1,2024-09-07 08:50:31:687,411614,411614,0,0,193529671460,1999188173,409122,2003,489,366,391661,0 113,2,2024-09-07 08:50:31:303,298424,298424,0,0,11484515,0,3813 113,3,2024-09-07 08:50:30:692,1,233,1,0,340,3570,233,0 114,0,2024-09-07 08:50:30:916,57704,0.4,58182,0.6,115248,0.3,154666,1.75 114,1,2024-09-07 08:50:30:739,410034,410034,0,0,191709246635,2000572459,405228,3217,1589,381,391556,0 114,2,2024-09-07 08:50:30:891,293345,293344,1,0,12500811,0,5069 114,3,2024-09-07 08:50:31:283,1,233,1,0,395,2646,233,0 115,0,2024-09-07 08:50:30:558,58270,0.3,58633,0.4,117214,0.2,155596,1.75 115,1,2024-09-07 08:50:30:570,409872,409872,0,0,192409287952,2004555516,405515,3523,834,382,391602,0 115,2,2024-09-07 08:50:31:125,294217,294217,0,0,10831386,0,2152 115,3,2024-09-07 08:50:31:001,1,233,1,0,159,1341,233,0 116,0,2024-09-07 08:50:31:704,59109,0.7,58874,0.9,117998,0.6,157594,2.00 116,1,2024-09-07 08:50:30:813,407619,407619,0,0,190815046929,2023547532,401230,4334,2055,380,391782,0 116,2,2024-09-07 08:50:31:751,294159,294159,0,0,15540301,0,3529 116,3,2024-09-07 08:50:30:917,1,233,0,0,415,3504,233,0 117,0,2024-09-07 08:50:30:956,58462,0.9,58302,0.9,116625,1.0,155913,2.00 117,1,2024-09-07 08:50:31:592,409413,409413,0,0,190829517919,2001003041,404719,4214,480,370,392033,0 117,2,2024-09-07 08:50:31:119,298184,298184,0,0,12871259,0,3700 117,3,2024-09-07 08:50:31:059,1,233,1,0,490,3924,233,0 118,0,2024-09-07 08:50:31:926,55007,0.7,56550,0.8,115181,0.7,151090,2.00 118,1,2024-09-07 08:50:30:586,409100,409100,0,0,190815175482,2010102895,402769,4899,1432,366,391736,0 118,2,2024-09-07 08:50:31:586,292621,292621,0,0,13752600,0,2781 118,3,2024-09-07 08:50:31:780,1,233,9,0,235,2780,233,0 119,0,2024-09-07 08:50:31:403,59005,1.0,59488,0.9,119021,1.3,157852,2.25 119,1,2024-09-07 08:50:30:563,410224,410224,0,0,192199536392,2010651736,405579,4123,522,368,391641,0 119,2,2024-09-07 08:50:31:263,293803,293803,0,0,13132153,0,4174 119,3,2024-09-07 08:50:31:336,1,233,1,0,443,3429,233,0 120,0,2024-09-07 08:50:31:570,59146,0.5,58889,0.8,118174,0.5,157653,2.00 120,1,2024-09-07 08:50:30:858,409130,409130,0,0,191291015067,2010680944,404458,4322,350,368,391961,0 120,2,2024-09-07 08:50:30:782,294511,294510,1,0,15800177,0,5281 120,3,2024-09-07 08:50:31:290,1,233,1,0,241,3180,233,0 121,0,2024-09-07 08:50:31:690,59355,1.0,59505,1.0,118986,1.3,158541,2.25 121,1,2024-09-07 08:50:31:658,409617,409617,0,0,191197152516,2004974496,405027,4176,414,367,391840,0 121,2,2024-09-07 08:50:31:126,293719,293719,0,0,15127860,0,4127 121,3,2024-09-07 08:50:30:738,1,233,3,0,269,2778,233,0 122,0,2024-09-07 08:50:31:773,56923,1.0,55522,1.0,116439,1.1,153401,2.25 122,1,2024-09-07 08:50:30:884,408594,408594,0,0,191439171668,2014067152,402534,5244,816,366,392130,0 122,2,2024-09-07 08:50:31:325,293743,293670,73,0,17502108,0,5989 122,3,2024-09-07 08:50:30:594,1,233,3,0,411,4852,233,0 123,0,2024-09-07 08:50:30:963,59124,1.0,57792,0.9,120504,1.2,158078,2.25 123,1,2024-09-07 08:50:30:560,408825,408825,0,0,191272913354,2023192974,400158,6952,1715,369,391823,0 123,2,2024-09-07 08:50:31:020,292118,292117,1,0,14294279,0,5215 123,3,2024-09-07 08:50:31:133,1,233,2,0,168,2901,233,0 124,0,2024-09-07 08:50:30:929,60309,0.3,60264,0.5,113754,0.3,156363,1.75 124,1,2024-09-07 08:50:31:026,410925,410925,0,0,191502776496,1992341348,408090,2473,362,367,392178,0 124,2,2024-09-07 08:50:31:249,294508,294508,0,0,11701730,0,3101 124,3,2024-09-07 08:50:30:759,1,233,0,0,490,2732,233,0 125,0,2024-09-07 08:50:31:496,58494,0.3,58350,0.5,117174,0.3,155550,1.75 125,1,2024-09-07 08:50:30:873,409694,409694,0,0,191920409416,2004637029,406611,2693,390,383,391702,0 125,2,2024-09-07 08:50:31:119,294487,294487,0,0,12748090,0,4534 125,3,2024-09-07 08:50:31:130,1,233,3,0,709,3437,233,0 126,0,2024-09-07 08:50:31:450,57773,0.6,59292,0.7,113468,0.6,154127,1.75 126,1,2024-09-07 08:50:30:566,411096,411096,0,0,192472289417,1997233258,408418,2416,262,365,391987,0 126,2,2024-09-07 08:50:30:609,298316,298316,0,0,13049096,0,3186 126,3,2024-09-07 08:50:30:927,1,233,9,0,183,3261,233,0 127,0,2024-09-07 08:50:31:633,57211,0.4,57477,0.6,114402,0.4,152578,1.75 127,1,2024-09-07 08:50:30:600,410273,410273,0,0,191860539569,1990664003,407331,2789,153,365,391816,0 127,2,2024-09-07 08:50:30:637,294096,294096,0,0,11666240,0,2264 127,3,2024-09-07 08:50:31:267,1,233,1,0,968,2920,233,0 128,0,2024-09-07 08:50:31:539,58267,0.3,58222,0.5,116094,0.3,154566,1.50 128,1,2024-09-07 08:50:31:682,410230,410230,0,0,191999163914,1993276112,408065,2008,157,367,391798,0 128,2,2024-09-07 08:50:31:394,293547,293547,0,0,11523373,0,2434 128,3,2024-09-07 08:50:30:796,1,233,16,0,1082,5297,233,0 129,0,2024-09-07 08:50:30:995,59562,0.3,59256,0.4,118422,0.2,157280,1.50 129,1,2024-09-07 08:50:30:572,408144,408144,0,0,190726037727,2002051505,404009,3207,928,379,391835,0 129,2,2024-09-07 08:50:30:703,295489,295489,0,0,12528880,0,4031 129,3,2024-09-07 08:50:30:709,1,233,1,0,469,3209,233,0 130,0,2024-09-07 08:50:31:735,58364,0.6,58198,0.6,116532,0.6,155340,1.75 130,1,2024-09-07 08:50:30:584,410619,410619,0,0,192690178984,2006209603,408198,2344,77,381,391825,0 130,2,2024-09-07 08:50:31:127,298597,298597,0,0,12260899,0,4067 130,3,2024-09-07 08:50:31:300,1,233,38,0,450,3319,233,0 131,0,2024-09-07 08:50:31:952,56801,0.4,57149,0.6,115129,0.4,152715,1.75 131,1,2024-09-07 08:50:31:819,410776,410776,0,0,192189137413,2005676626,407737,2582,457,381,391865,0 131,2,2024-09-07 08:50:30:570,294697,294697,0,0,11054314,0,2415 131,3,2024-09-07 08:50:31:688,1,233,3,0,392,2461,233,0 132,0,2024-09-07 08:50:31:484,58954,0.5,59547,0.7,118943,0.5,157902,2.00 132,1,2024-09-07 08:50:30:581,408007,408007,0,0,191246262353,2020964911,401010,5745,1252,381,392097,0 132,2,2024-09-07 08:50:30:709,293660,293660,0,0,14797429,0,4606 132,3,2024-09-07 08:50:31:690,1,233,1,0,356,3466,233,0 133,0,2024-09-07 08:50:31:548,58059,0.4,59429,0.6,121638,0.3,157811,1.75 133,1,2024-09-07 08:50:30:602,408065,408065,0,0,191847436080,2022105451,402594,4804,667,383,391914,0 133,2,2024-09-07 08:50:31:088,294447,294447,0,0,15852147,0,4315 133,3,2024-09-07 08:50:31:298,1,233,1,0,276,2413,233,0 134,0,2024-09-07 08:50:30:965,59649,0.5,59604,0.7,119669,0.4,158869,2.00 134,1,2024-09-07 08:50:30:583,408417,408417,0,0,190973908171,2009923387,401973,4974,1470,366,391718,0 134,2,2024-09-07 08:50:31:761,295220,295220,0,0,13417685,0,3847 134,3,2024-09-07 08:50:30:754,1,233,2,0,739,3654,233,0 135,0,2024-09-07 08:50:31:109,55082,1.0,55091,1.0,116677,1.2,151075,2.25 135,1,2024-09-07 08:50:31:587,407970,407970,0,0,191838016451,2021807145,401784,5190,996,380,391805,0 135,2,2024-09-07 08:50:30:688,293670,293670,0,0,14658006,0,3981 135,3,2024-09-07 08:50:31:007,1,233,1,0,299,1824,233,0 136,0,2024-09-07 08:50:31:641,59753,0.7,59714,0.8,119337,0.7,158638,2.25 136,1,2024-09-07 08:50:31:451,408412,408412,0,0,190700063974,2008066901,402895,4933,584,382,391685,0 136,2,2024-09-07 08:50:31:133,294586,294586,0,0,14215849,0,3506 136,3,2024-09-07 08:50:31:111,1,233,2,0,126,1838,233,0 137,0,2024-09-07 08:50:30:946,60889,0.6,59151,0.8,116812,0.6,158397,2.00 137,1,2024-09-07 08:50:30:585,408531,408531,0,0,191376771362,2013398881,401590,5524,1417,366,391708,0 137,2,2024-09-07 08:50:31:714,294165,294165,0,0,15739456,0,3185 137,3,2024-09-07 08:50:30:775,1,233,1,0,382,3042,233,0 138,0,2024-09-07 08:50:31:745,58075,0.9,58076,0.9,116789,1.0,155705,2.00 138,1,2024-09-07 08:50:31:687,408652,408652,0,0,191755213911,2018675124,401691,5830,1131,368,391954,0 138,2,2024-09-07 08:50:30:592,294235,294235,0,0,14540604,0,4988 138,3,2024-09-07 08:50:30:618,1,233,1,0,1160,3808,233,0 139,0,2024-09-07 08:50:31:377,56623,2.2,56837,1.4,114239,3.2,152612,2.75 139,1,2024-09-07 08:50:30:577,407096,407096,0,0,190637605799,2030294927,398615,6369,2112,381,391892,0 139,2,2024-09-07 08:50:30:705,293757,293757,0,0,15152713,0,3097 139,3,2024-09-07 08:50:31:673,1,233,6,0,244,2494,233,0 140,0,2024-09-07 08:50:31:610,58249,0.3,57696,0.5,116069,0.3,154973,1.75 140,1,2024-09-07 08:50:31:543,411591,411591,0,0,193723639369,1998481299,409614,1736,241,365,391606,0 140,2,2024-09-07 08:50:30:687,294843,294843,0,0,11962117,0,3388 140,3,2024-09-07 08:50:30:770,1,233,2,0,247,2126,233,0 141,0,2024-09-07 08:50:31:706,58169,0.3,59726,0.4,114225,0.2,155381,1.50 141,1,2024-09-07 08:50:30:859,411006,411006,0,0,192455731322,1998918435,408436,2162,408,379,391614,0 141,2,2024-09-07 08:50:31:694,294111,294111,0,0,11579200,0,2342 141,3,2024-09-07 08:50:31:051,1,233,6,0,202,2291,233,0 142,0,2024-09-07 08:50:31:337,59635,0.3,59026,0.4,117319,0.2,156944,1.50 142,1,2024-09-07 08:50:30:591,410200,410200,0,0,191769683820,2001902047,407413,2522,265,382,392102,0 142,2,2024-09-07 08:50:31:300,293817,293785,32,0,13347091,0,6028 142,3,2024-09-07 08:50:31:758,1,233,8,0,484,3067,233,0 143,0,2024-09-07 08:50:31:379,57646,0.5,57655,0.6,115862,0.6,153693,1.75 143,1,2024-09-07 08:50:30:563,410617,410617,0,0,192087768248,1994395551,407905,2617,95,367,391619,0 143,2,2024-09-07 08:50:30:778,297580,297580,0,0,12568639,0,2669 143,3,2024-09-07 08:50:31:146,1,233,1,0,303,3182,233,0 144,0,2024-09-07 08:50:31:530,55484,0.8,57107,1.0,116104,0.7,152860,2.25 144,1,2024-09-07 08:50:30:575,408301,408301,0,0,191175216873,2009864736,404307,3073,921,381,391649,0 144,2,2024-09-07 08:50:31:755,294088,294088,0,0,11844738,0,3473 144,3,2024-09-07 08:50:31:748,1,233,1,0,249,2856,233,0 145,0,2024-09-07 08:50:31:398,56154,0.6,56098,0.9,119077,0.6,153894,2.50 145,1,2024-09-07 08:50:30:563,407733,407733,0,0,190978053220,2011951688,402241,4670,822,382,391698,0 145,2,2024-09-07 08:50:31:430,292334,292334,0,0,13914468,0,3903 145,3,2024-09-07 08:50:30:895,1,233,1,0,622,3952,233,0 146,0,2024-09-07 08:50:31:614,59099,0.5,58670,0.7,118253,0.5,156861,2.25 146,1,2024-09-07 08:50:31:587,408651,408651,0,0,191520719275,2023031584,400407,6398,1846,368,391770,0 146,2,2024-09-07 08:50:31:713,294137,294137,0,0,14143179,0,2730 146,3,2024-09-07 08:50:31:274,1,233,1,0,1520,5873,233,0 147,0,2024-09-07 08:50:31:710,58320,0.6,58299,0.8,115732,0.6,155553,2.25 147,1,2024-09-07 08:50:31:380,410554,410554,0,0,192169989437,2002305117,406462,3507,585,368,391791,0 147,2,2024-09-07 08:50:31:011,297697,297697,0,0,12007339,0,2789 147,3,2024-09-07 08:50:30:917,1,233,2,0,730,3858,233,0 0,0,2024-09-07 08:50:41:751,57711,0.5,57714,0.7,122416,0.4,158214,1.75 0,1,2024-09-07 08:50:40:825,411371,411371,0,0,192383850510,2014868053,408164,3004,203,370,391772,0 0,2,2024-09-07 08:50:41:073,296724,296724,0,0,12704245,0,4480 0,3,2024-09-07 08:50:40:977,1,234,1,0,319,3318,234,0 1,0,2024-09-07 08:50:41:779,59833,0.9,59333,1.0,119459,1.1,159128,2.00 1,1,2024-09-07 08:50:40:636,411051,411051,0,0,191911221305,2014228333,406557,3441,1053,371,391857,0 1,2,2024-09-07 08:50:40:640,295703,295703,0,0,11668173,0,3267 1,3,2024-09-07 08:50:41:313,1,234,14,0,262,2879,234,0 2,0,2024-09-07 08:50:41:568,57566,0.8,57844,0.9,114911,1.0,153951,2.00 2,1,2024-09-07 08:50:40:863,411580,411580,0,0,192640986194,2003227691,409476,1886,218,380,391745,0 2,2,2024-09-07 08:50:41:286,295655,295655,0,0,12236909,0,3594 2,3,2024-09-07 08:50:40:696,1,234,1,0,357,2253,234,0 3,0,2024-09-07 08:50:41:746,59619,0.5,59659,0.7,118630,0.5,158054,2.00 3,1,2024-09-07 08:50:41:619,411152,411152,0,0,192158988072,2004932326,407313,3377,462,380,391523,0 3,2,2024-09-07 08:50:41:143,295654,295631,23,0,12477794,0,5851 3,3,2024-09-07 08:50:41:761,1,234,1,0,103,1495,234,0 4,0,2024-09-07 08:50:41:781,56929,0.4,58571,0.5,119134,0.3,156337,1.75 4,1,2024-09-07 08:50:40:591,410802,410802,0,0,191972703447,2019225016,406091,3923,788,371,391846,0 4,2,2024-09-07 08:50:41:027,294626,294626,0,0,14595763,0,4528 4,3,2024-09-07 08:50:41:036,1,234,2,0,448,3705,234,0 5,0,2024-09-07 08:50:41:412,58570,0.4,58897,0.5,116998,0.4,155818,1.75 5,1,2024-09-07 08:50:40:780,410810,410810,0,0,192392961619,2026039054,405327,4371,1112,368,392005,0 5,2,2024-09-07 08:50:41:867,294005,294005,0,0,13291850,0,2432 5,3,2024-09-07 08:50:41:734,1,234,0,0,457,3764,234,0 6,0,2024-09-07 08:50:40:915,58419,0.6,57779,0.8,115487,0.7,155143,2.00 6,1,2024-09-07 08:50:40:751,411210,411210,0,0,192386514107,2013672609,406543,3823,844,379,391651,0 6,2,2024-09-07 08:50:41:116,297393,297393,0,0,13350870,0,4816 6,3,2024-09-07 08:50:41:275,1,234,1,0,340,2877,234,0 7,0,2024-09-07 08:50:41:543,57122,0.6,57200,0.7,114200,0.5,152279,2.00 7,1,2024-09-07 08:50:40:853,410762,410762,0,0,192969222999,2027920598,404866,4893,1003,382,391686,0 7,2,2024-09-07 08:50:40:770,294338,294338,0,0,13087791,0,4791 7,3,2024-09-07 08:50:40:851,1,234,1,0,398,2747,234,0 8,0,2024-09-07 08:50:41:324,58248,0.4,58247,0.5,115947,0.3,155141,1.75 8,1,2024-09-07 08:50:41:017,409374,409374,0,0,191594689699,2025649280,401316,6050,2008,367,391956,0 8,2,2024-09-07 08:50:40:809,290994,290994,0,0,15756456,0,3220 8,3,2024-09-07 08:50:40:584,1,234,1,0,357,3612,234,0 9,0,2024-09-07 08:50:41:127,59400,0.3,57651,0.5,120370,0.3,157819,1.75 9,1,2024-09-07 08:50:40:558,410224,410224,0,0,192337416446,2031702363,403744,4940,1540,370,392001,0 9,2,2024-09-07 08:50:41:085,295145,295145,0,0,13981535,0,3360 9,3,2024-09-07 08:50:41:758,1,234,13,0,496,3526,234,0 10,0,2024-09-07 08:50:41:606,58489,0.3,57951,0.5,116630,0.2,155708,1.75 10,1,2024-09-07 08:50:40:583,410978,410978,0,0,192273091274,2019837010,404619,5431,928,381,391741,0 10,2,2024-09-07 08:50:40:765,298329,298329,0,0,15498777,0,4264 10,3,2024-09-07 08:50:40:871,1,234,6,0,177,2028,234,0 11,0,2024-09-07 08:50:41:011,56757,0.5,55223,0.7,115586,0.5,153624,2.00 11,1,2024-09-07 08:50:40:572,410849,410849,0,0,191747677838,2022275718,402661,6207,1981,383,391537,0 11,2,2024-09-07 08:50:41:126,294003,294003,0,0,14474748,0,4130 11,3,2024-09-07 08:50:41:297,1,234,0,0,843,3959,234,0 12,0,2024-09-07 08:50:40:969,59705,0.4,59500,0.5,118994,0.3,157988,1.75 12,1,2024-09-07 08:50:40:941,411315,411315,0,0,191326651507,1997997515,407807,3087,421,370,391870,0 12,2,2024-09-07 08:50:41:542,295416,295416,0,0,13571597,0,3469 12,3,2024-09-07 08:50:41:064,1,234,1,0,386,4092,234,0 13,0,2024-09-07 08:50:41:355,59980,0.3,59888,0.5,119341,0.3,158836,1.50 13,1,2024-09-07 08:50:41:529,411139,411139,0,0,193161075854,2029121688,407595,3003,541,382,391717,0 13,2,2024-09-07 08:50:40:595,296804,296804,0,0,12764681,0,3287 13,3,2024-09-07 08:50:41:777,1,234,14,0,467,3838,234,0 14,0,2024-09-07 08:50:40:564,59895,0.4,60370,0.6,119528,0.3,158740,1.75 14,1,2024-09-07 08:50:41:561,413531,413531,0,0,193365913891,2003960694,410702,2640,189,364,391571,0 14,2,2024-09-07 08:50:40:767,296820,296820,0,0,12774234,0,2896 14,3,2024-09-07 08:50:41:115,1,234,1,0,1168,3416,234,0 15,0,2024-09-07 08:50:41:565,56971,0.6,57341,0.8,114454,0.6,152572,2.00 15,1,2024-09-07 08:50:41:617,411860,411860,0,0,192825351909,2006827529,409341,2341,178,381,391619,0 15,2,2024-09-07 08:50:41:003,295074,295074,0,0,10802103,0,3043 15,3,2024-09-07 08:50:41:406,1,234,0,0,1126,5194,234,0 16,0,2024-09-07 08:50:40:989,59658,0.8,59905,0.9,119058,0.9,158824,2.25 16,1,2024-09-07 08:50:40:563,411907,411907,0,0,192528247925,2014656841,408356,3253,298,370,391756,0 16,2,2024-09-07 08:50:41:435,294733,294733,0,0,13367066,0,4719 16,3,2024-09-07 08:50:41:145,1,234,4,0,317,3337,234,0 17,0,2024-09-07 08:50:41:795,61220,0.5,59663,0.7,116938,0.5,158849,2.00 17,1,2024-09-07 08:50:40:571,410474,410474,0,0,192065105113,2019783529,405641,3731,1102,368,391688,0 17,2,2024-09-07 08:50:41:666,298854,298854,0,0,12519758,0,2857 17,3,2024-09-07 08:50:40:574,1,234,1,0,268,3901,234,0 18,0,2024-09-07 08:50:40:952,58202,0.7,58359,0.8,116689,0.7,156036,2.25 18,1,2024-09-07 08:50:41:641,411967,411967,0,0,193326892733,2005806829,409463,2263,241,367,391649,0 18,2,2024-09-07 08:50:41:757,296322,296322,0,0,12184335,0,3541 18,3,2024-09-07 08:50:40:901,1,234,3,0,163,2460,234,0 19,0,2024-09-07 08:50:41:541,57384,0.8,58014,0.9,114668,0.8,152826,2.25 19,1,2024-09-07 08:50:40:575,411628,411628,0,0,193520523810,2013985890,406995,3847,786,367,391777,0 19,2,2024-09-07 08:50:41:764,297842,297842,0,0,11255347,0,3988 19,3,2024-09-07 08:50:41:139,1,234,11,0,524,1851,234,0 20,0,2024-09-07 08:50:41:373,58054,0.8,57972,0.8,116249,0.9,155866,2.25 20,1,2024-09-07 08:50:40:571,410687,410687,0,0,192414907587,2015106194,406880,3411,396,369,391886,0 20,2,2024-09-07 08:50:40:937,295672,295672,0,0,12745994,0,3721 20,3,2024-09-07 08:50:40:589,1,234,19,0,414,4517,234,0 21,0,2024-09-07 08:50:41:136,58464,0.5,58690,0.7,117108,0.5,155440,2.00 21,1,2024-09-07 08:50:41:541,409892,409892,0,0,191657793926,2021610890,404174,4461,1257,368,391962,0 21,2,2024-09-07 08:50:41:073,294582,294582,0,0,14271042,0,3747 21,3,2024-09-07 08:50:41:650,1,234,0,0,103,2544,234,0 22,0,2024-09-07 08:50:41:722,58814,0.6,58841,0.8,117399,0.6,156195,2.25 22,1,2024-09-07 08:50:41:038,410342,410342,0,0,191847191131,2023962961,403155,5545,1642,382,391667,0 22,2,2024-09-07 08:50:40:759,295243,295243,0,0,12723977,0,3134 22,3,2024-09-07 08:50:41:071,1,234,1,0,228,2279,234,0 23,0,2024-09-07 08:50:41:369,57583,0.6,57453,0.8,115374,0.7,153784,2.25 23,1,2024-09-07 08:50:41:006,411050,411050,0,0,193220053084,2032541774,403758,4741,2551,365,391550,0 23,2,2024-09-07 08:50:41:093,298899,298899,0,0,12432135,0,3010 23,3,2024-09-07 08:50:41:756,1,234,6,0,645,3102,234,0 24,0,2024-09-07 08:50:40:850,58165,0.5,58087,0.6,116504,0.4,155143,1.75 24,1,2024-09-07 08:50:40:593,410882,410882,0,0,192414291381,2022834249,405275,4128,1479,369,391987,0 24,2,2024-09-07 08:50:41:082,293345,293345,0,0,14938844,0,3607 24,3,2024-09-07 08:50:41:690,1,234,1,0,468,3607,234,0 25,0,2024-09-07 08:50:41:361,59855,0.5,58476,0.7,114481,0.5,156307,2.00 25,1,2024-09-07 08:50:40:560,411177,411177,0,0,192478264524,2017887800,406895,3728,554,371,391788,0 25,2,2024-09-07 08:50:41:625,293476,293476,0,0,15292830,0,3978 25,3,2024-09-07 08:50:41:009,1,234,1,0,255,2648,234,0 26,0,2024-09-07 08:50:41:723,59014,0.4,57726,0.6,121015,0.3,157411,1.75 26,1,2024-09-07 08:50:41:555,410941,410941,0,0,191677742950,2015778300,403978,5747,1216,381,391748,0 26,2,2024-09-07 08:50:40:861,295750,295750,0,0,15058958,0,2809 26,3,2024-09-07 08:50:41:714,1,234,2,0,796,3213,234,0 27,0,2024-09-07 08:50:41:725,58984,0.4,58823,0.6,116599,0.4,155700,2.00 27,1,2024-09-07 08:50:41:676,412988,412988,0,0,193602798218,2016877134,409541,2855,592,381,391558,0 27,2,2024-09-07 08:50:40:870,296388,296323,65,0,15253669,0,5699 27,3,2024-09-07 08:50:41:024,1,234,2,0,564,2687,234,0 28,0,2024-09-07 08:50:41:399,57201,0.5,56968,0.7,114307,0.5,153223,2.25 28,1,2024-09-07 08:50:40:808,412250,412250,0,0,192892194336,2010552951,409414,2366,470,383,391646,0 28,2,2024-09-07 08:50:41:771,294718,294718,0,0,11968535,0,2915 28,3,2024-09-07 08:50:41:780,1,234,6,0,502,2540,234,0 29,0,2024-09-07 08:50:41:362,61027,0.4,59715,0.7,116603,0.4,159234,1.75 29,1,2024-09-07 08:50:41:573,412841,412841,0,0,193696553488,2007979414,409620,2656,565,369,391621,0 29,2,2024-09-07 08:50:40:862,294631,294631,0,0,11471786,0,4018 29,3,2024-09-07 08:50:40:966,1,234,4,0,115,1744,234,0 30,0,2024-09-07 08:50:41:462,59219,0.5,57652,0.7,120478,0.5,157618,2.00 30,1,2024-09-07 08:50:40:572,412977,412977,0,0,193523385900,2007416867,410649,2035,293,382,391672,0 30,2,2024-09-07 08:50:41:275,297031,297031,0,0,11388042,0,3161 30,3,2024-09-07 08:50:40:581,1,234,1,0,195,1872,234,0 31,0,2024-09-07 08:50:41:762,59296,0.4,59626,0.6,119599,0.4,158998,2.00 31,1,2024-09-07 08:50:40:566,413984,413984,0,0,193937707106,1989124048,412596,1169,219,356,391712,0 31,2,2024-09-07 08:50:41:275,295855,295855,0,0,12931529,0,3525 31,3,2024-09-07 08:50:41:714,1,234,1,0,220,1903,234,0 32,0,2024-09-07 08:50:41:660,57472,0.4,57937,0.6,115903,0.3,154481,1.75 32,1,2024-09-07 08:50:40:821,413098,413098,0,0,193304686214,2007158872,411176,1643,279,381,391614,0 32,2,2024-09-07 08:50:40:944,295825,295825,0,0,11147005,0,3155 32,3,2024-09-07 08:50:41:021,1,234,1,0,227,1974,234,0 33,0,2024-09-07 08:50:41:532,60054,0.3,59302,0.5,119415,0.2,159015,1.75 33,1,2024-09-07 08:50:40:592,413052,413052,0,0,193373184174,1999943433,410473,2405,174,369,391730,0 33,2,2024-09-07 08:50:40:759,296347,296314,33,0,12940215,0,7012 33,3,2024-09-07 08:50:40:899,1,234,153,0,329,2870,234,0 34,0,2024-09-07 08:50:40:932,58704,0.3,60370,0.5,115992,0.2,155673,1.75 34,1,2024-09-07 08:50:41:052,413640,413640,0,0,194318312236,2000141634,412550,1083,7,367,391562,0 34,2,2024-09-07 08:50:40:765,295751,295751,0,0,12178294,0,3577 34,3,2024-09-07 08:50:41:695,1,234,1,0,299,1929,234,0 35,0,2024-09-07 08:50:40:868,58090,0.3,58461,0.5,117456,0.3,156207,1.75 35,1,2024-09-07 08:50:41:067,412241,412241,0,0,193378857459,2007920273,408899,2562,780,384,391589,0 35,2,2024-09-07 08:50:41:594,295878,295878,0,0,11643383,0,2653 35,3,2024-09-07 08:50:40:908,1,234,164,0,418,2942,234,0 36,0,2024-09-07 08:50:41:532,58037,0.7,57992,0.8,116109,0.7,154790,2.25 36,1,2024-09-07 08:50:40:593,411672,411672,0,0,192739009290,2019331626,405711,4383,1578,366,391759,0 36,2,2024-09-07 08:50:41:767,297051,297051,0,0,13655078,0,3875 36,3,2024-09-07 08:50:40:887,1,234,10,0,416,3920,234,0 37,0,2024-09-07 08:50:41:376,56974,0.6,56874,0.7,114061,0.6,153127,2.00 37,1,2024-09-07 08:50:40:572,410796,410789,0,7,191927330932,2015396744,404783,3940,2066,365,391560,0 37,2,2024-09-07 08:50:41:154,294555,294540,15,0,13770898,0,5815 37,3,2024-09-07 08:50:41:778,1,234,2,0,888,4305,234,0 38,0,2024-09-07 08:50:41:437,57608,0.5,55935,0.7,117120,0.5,153353,2.00 38,1,2024-09-07 08:50:41:612,412237,412237,0,0,192964815011,2017825405,406969,4593,675,368,391821,0 38,2,2024-09-07 08:50:40:759,294441,294394,47,0,14256793,0,6710 38,3,2024-09-07 08:50:41:003,1,234,1,0,689,3548,234,0 39,0,2024-09-07 08:50:41:767,60526,0.6,59406,0.7,115437,0.6,157888,2.00 39,1,2024-09-07 08:50:40:719,411893,411893,0,0,192225624473,2009513753,406367,4625,901,365,391594,0 39,2,2024-09-07 08:50:41:420,295190,295190,0,0,12397031,0,2689 39,3,2024-09-07 08:50:40:719,1,234,0,0,324,3386,234,0 40,0,2024-09-07 08:50:41:494,57699,0.8,58237,1.0,116434,0.8,155164,3.00 40,1,2024-09-07 08:50:40:575,411475,411475,0,0,191512996635,2012160366,404476,5556,1443,368,391591,0 40,2,2024-09-07 08:50:41:306,298000,297999,1,0,15567362,0,5137 40,3,2024-09-07 08:50:41:145,1,234,5,0,398,2993,234,0 41,0,2024-09-07 08:50:41:038,56626,2.3,57880,1.6,110463,3.5,151924,4.00 41,1,2024-09-07 08:50:40:778,411331,411331,0,0,192765428959,2019533242,405802,4895,634,370,391742,0 41,2,2024-09-07 08:50:40:767,292981,292981,0,0,14714897,0,3356 41,3,2024-09-07 08:50:41:686,1,234,1,0,366,2723,234,0 42,0,2024-09-07 08:50:41:484,58396,1.0,58715,1.1,117322,1.1,154705,2.75 42,1,2024-09-07 08:50:41:444,410159,410159,0,0,192725896500,2030145818,403002,5705,1452,380,391675,0 42,2,2024-09-07 08:50:41:134,294615,294615,0,0,13991975,0,3568 42,3,2024-09-07 08:50:41:023,1,234,1,0,446,2043,234,0 43,0,2024-09-07 08:50:40:924,59320,0.7,57782,0.9,120688,0.7,158495,2.25 43,1,2024-09-07 08:50:40:577,410670,410670,0,0,192423561661,2015197966,404412,4929,1329,366,391696,0 43,2,2024-09-07 08:50:41:736,296600,296600,0,0,13490170,0,3812 43,3,2024-09-07 08:50:41:761,1,234,4,0,467,3012,234,0 44,0,2024-09-07 08:50:40:866,59621,0.5,60002,0.7,119622,0.4,158890,1.75 44,1,2024-09-07 08:50:40:563,412763,412763,0,0,192327267706,1988011697,410209,2123,431,356,391809,0 44,2,2024-09-07 08:50:41:274,296363,296363,0,0,11401486,0,2231 44,3,2024-09-07 08:50:41:092,1,234,0,0,817,2899,234,0 45,0,2024-09-07 08:50:41:786,56188,0.8,55006,0.9,115415,0.9,152448,2.25 45,1,2024-09-07 08:50:41:015,412533,412533,0,0,193926125020,2010969845,410883,1638,12,382,391917,0 45,2,2024-09-07 08:50:41:274,295283,295283,0,0,12062307,0,3596 45,3,2024-09-07 08:50:40:958,1,234,2,0,271,2635,234,0 46,0,2024-09-07 08:50:40:986,59202,0.7,59141,0.8,118503,0.7,156562,2.25 46,1,2024-09-07 08:50:40:576,413560,413560,0,0,193484184482,1999350605,411214,2072,274,366,391539,0 46,2,2024-09-07 08:50:40:594,295602,295602,0,0,11667209,0,2920 46,3,2024-09-07 08:50:41:134,1,234,0,0,908,3741,234,0 47,0,2024-09-07 08:50:41:104,59702,0.4,59503,0.6,119702,0.4,158425,1.75 47,1,2024-09-07 08:50:40:568,413443,413443,0,0,193090132510,1995051333,411121,2188,134,366,391605,0 47,2,2024-09-07 08:50:40:909,298009,298009,0,0,11753127,0,2558 47,3,2024-09-07 08:50:41:116,1,234,0,0,529,2239,234,0 48,0,2024-09-07 08:50:41:494,59246,0.3,58877,0.4,117343,0.2,156980,1.50 48,1,2024-09-07 08:50:41:025,413000,413000,0,0,193358719270,2010794217,409901,2800,299,384,391710,0 48,2,2024-09-07 08:50:40:715,296077,296077,0,0,11313778,0,3031 48,3,2024-09-07 08:50:40:761,1,234,11,0,339,2076,234,0 49,0,2024-09-07 08:50:41:718,59459,0.4,58416,0.6,113091,0.4,154999,1.75 49,1,2024-09-07 08:50:41:031,411086,411086,0,0,193353246974,2017456324,407295,2610,1181,382,391610,0 49,2,2024-09-07 08:50:41:797,297597,297597,0,0,11828633,0,3900 49,3,2024-09-07 08:50:41:420,1,234,2,0,408,2728,234,0 50,0,2024-09-07 08:50:41:510,58275,0.3,57722,0.5,116174,0.2,155794,1.75 50,1,2024-09-07 08:50:41:022,413602,413602,0,0,193364535670,2001913703,410896,2396,310,368,391530,0 50,2,2024-09-07 08:50:41:067,295872,295872,0,0,11146734,0,2263 50,3,2024-09-07 08:50:41:292,1,234,1,0,335,2031,234,0 51,0,2024-09-07 08:50:41:694,59763,0.3,58551,0.5,113979,0.2,155757,1.75 51,1,2024-09-07 08:50:41:681,413161,413161,0,0,194368639234,2008601185,410366,1856,939,365,391706,0 51,2,2024-09-07 08:50:41:317,295210,295210,0,0,10567752,0,3337 51,3,2024-09-07 08:50:41:033,1,234,1,0,678,1993,234,0 52,0,2024-09-07 08:50:41:412,59632,0.5,59171,0.6,118185,0.4,156948,2.00 52,1,2024-09-07 08:50:40:593,411511,411511,0,0,192023213353,2018092300,404375,5882,1254,368,391722,0 52,2,2024-09-07 08:50:41:760,293540,293502,38,0,14478321,0,6742 52,3,2024-09-07 08:50:40:677,1,234,3,0,1782,4189,234,0 53,0,2024-09-07 08:50:41:739,57321,0.8,55760,0.9,116635,0.9,152943,2.50 53,1,2024-09-07 08:50:40:780,410293,410293,0,0,192340139754,2024602097,402970,4967,2356,367,391702,0 53,2,2024-09-07 08:50:41:298,298552,298552,0,0,12121588,0,2727 53,3,2024-09-07 08:50:40:705,1,234,1,0,271,2242,234,0 54,0,2024-09-07 08:50:41:634,56827,1.0,57209,0.9,113336,0.6,152350,3.00 54,1,2024-09-07 08:50:40:580,411598,411598,0,0,193563430321,2016700796,406862,4139,597,367,391659,0 54,2,2024-09-07 08:50:40:868,294424,294418,6,0,13308893,0,5382 54,3,2024-09-07 08:50:40:766,1,234,1,0,676,3646,234,0 55,0,2024-09-07 08:50:41:767,56225,0.7,58304,0.9,117205,0.6,152765,2.50 55,1,2024-09-07 08:50:40:765,411008,411008,0,0,192455473867,2007611450,405459,4762,787,365,391731,0 55,2,2024-09-07 08:50:40:741,293627,293627,0,0,13442030,0,3275 55,3,2024-09-07 08:50:40:676,1,234,2,0,304,2785,234,0 56,0,2024-09-07 08:50:41:563,60615,1.0,57180,1.1,117661,1.4,157344,2.50 56,1,2024-09-07 08:50:40:574,409439,409439,0,0,192267368666,2037352362,402305,5696,1438,381,391678,0 56,2,2024-09-07 08:50:41:309,295986,295986,0,0,14720942,0,3567 56,3,2024-09-07 08:50:41:061,1,234,0,0,705,3502,234,0 57,0,2024-09-07 08:50:40:983,57709,1.7,57851,1.3,115746,2.3,154570,3.25 57,1,2024-09-07 08:50:40:987,411134,411134,0,0,191960554659,2015689439,405813,4651,670,367,391960,0 57,2,2024-09-07 08:50:41:318,298263,298263,0,0,15699292,0,3317 57,3,2024-09-07 08:50:41:740,1,234,0,0,359,3347,234,0 58,0,2024-09-07 08:50:40:569,55783,1.1,54327,1.1,113737,1.3,149755,2.75 58,1,2024-09-07 08:50:40:578,411380,411377,0,3,193453636027,2025141569,405650,5017,710,367,391545,3 58,2,2024-09-07 08:50:41:071,294613,294613,0,0,14104386,0,2549 58,3,2024-09-07 08:50:41:071,1,234,3,0,1043,2802,234,0 59,0,2024-09-07 08:50:41:746,59025,0.9,58965,1.0,117584,1.0,156097,3.00 59,1,2024-09-07 08:50:40:810,411260,411260,0,0,192524940106,2018926614,405473,4522,1265,369,391525,0 59,2,2024-09-07 08:50:40:588,296002,296002,0,0,12817593,0,2604 59,3,2024-09-07 08:50:41:742,1,234,1,0,1015,3476,234,0 60,0,2024-09-07 08:50:41:711,59580,0.4,59324,0.6,119519,0.4,158278,1.75 60,1,2024-09-07 08:50:40:779,413012,413012,0,0,193099104237,2004587426,410578,1917,517,370,392031,0 60,2,2024-09-07 08:50:41:143,296579,296579,0,0,14023928,0,3811 60,3,2024-09-07 08:50:41:263,1,234,1,0,124,2358,234,0 61,0,2024-09-07 08:50:41:505,59660,0.7,59918,0.8,119324,0.7,159227,2.00 61,1,2024-09-07 08:50:40:770,411414,411414,0,0,192625263366,2018389833,406846,3865,703,382,391880,0 61,2,2024-09-07 08:50:41:125,296939,296939,0,0,12325790,0,2780 61,3,2024-09-07 08:50:41:695,1,234,1,0,397,3281,234,0 62,0,2024-09-07 08:50:41:716,58178,0.7,59283,0.8,112823,0.9,154323,2.00 62,1,2024-09-07 08:50:41:115,414158,414152,0,6,193593723658,1998927833,411696,2339,117,365,391715,6 62,2,2024-09-07 08:50:41:652,294544,294543,1,0,13668694,0,5555 62,3,2024-09-07 08:50:41:145,1,234,0,0,287,1684,234,0 63,0,2024-09-07 08:50:41:467,59520,0.5,59719,0.6,119551,0.5,158568,1.75 63,1,2024-09-07 08:50:40:810,413465,413459,0,6,192993275009,2000734063,411885,1524,50,381,391677,6 63,2,2024-09-07 08:50:40:765,295878,295878,0,0,11427257,0,2674 63,3,2024-09-07 08:50:41:740,1,234,1,0,667,2837,234,0 64,0,2024-09-07 08:50:41:511,58214,0.4,58267,0.6,116890,0.4,155592,1.75 64,1,2024-09-07 08:50:40:761,412137,412137,0,0,192755380297,2013865815,407655,3068,1414,370,391783,0 64,2,2024-09-07 08:50:41:143,298220,298201,19,0,12261435,0,6121 64,3,2024-09-07 08:50:41:139,1,234,10,0,265,2478,234,0 65,0,2024-09-07 08:50:41:695,57991,0.6,58398,0.7,116520,0.6,155152,2.00 65,1,2024-09-07 08:50:40:862,411027,411027,0,0,191860516927,2009953255,407479,3105,443,382,391901,0 65,2,2024-09-07 08:50:41:694,295724,295724,0,0,12972257,0,3367 65,3,2024-09-07 08:50:41:694,1,234,2,0,163,2254,234,0 66,0,2024-09-07 08:50:41:803,57691,0.5,57574,0.7,115749,0.5,154003,2.00 66,1,2024-09-07 08:50:41:294,412596,412596,0,0,193143624509,2008727699,410037,2359,200,380,391653,0 66,2,2024-09-07 08:50:41:149,299536,299536,0,0,12716370,0,4956 66,3,2024-09-07 08:50:41:082,1,234,6,0,291,2591,234,0 67,0,2024-09-07 08:50:41:528,57690,0.7,57275,0.8,115082,0.8,153166,2.25 67,1,2024-09-07 08:50:40:771,411831,411830,0,1,192861656189,2014307853,407993,3090,747,380,391787,1 67,2,2024-09-07 08:50:40:583,296387,296387,0,0,11685478,0,2889 67,3,2024-09-07 08:50:41:759,1,234,97,0,338,2302,234,0 68,0,2024-09-07 08:50:40:576,58186,0.6,58042,0.8,115599,0.7,154997,2.00 68,1,2024-09-07 08:50:40:586,411045,411045,0,0,191822533785,2013373477,407074,2832,1139,381,391953,0 68,2,2024-09-07 08:50:41:051,293867,293802,65,0,15349524,0,6698 68,3,2024-09-07 08:50:40:740,1,234,1,0,417,2758,234,0 69,0,2024-09-07 08:50:41:728,58947,0.7,59290,0.8,117875,0.8,157036,2.25 69,1,2024-09-07 08:50:41:022,409800,409800,0,0,192174499357,2031390775,404102,4297,1401,384,391994,0 69,2,2024-09-07 08:50:41:742,295091,295091,0,0,14900524,0,3722 69,3,2024-09-07 08:50:40:763,1,234,5,0,698,3581,234,0 70,0,2024-09-07 08:50:41:540,57891,1.0,57855,1.1,116138,0.7,154819,2.50 70,1,2024-09-07 08:50:40:809,411732,411732,0,0,193146343099,2008040866,408077,3127,528,366,391725,0 70,2,2024-09-07 08:50:41:324,298168,298168,0,0,13968204,0,4044 70,3,2024-09-07 08:50:40:750,1,234,1,0,854,2822,234,0 71,0,2024-09-07 08:50:41:372,56682,1.5,56624,1.3,113385,2.1,152561,3.00 71,1,2024-09-07 08:50:41:599,411350,411350,0,0,193301708716,2019034763,406230,4499,621,368,391738,0 71,2,2024-09-07 08:50:41:067,294630,294630,0,0,13882355,0,4042 71,3,2024-09-07 08:50:41:755,1,234,1,0,644,3572,234,0 72,0,2024-09-07 08:50:41:044,61119,0.9,59761,0.9,116523,1.1,158420,2.25 72,1,2024-09-07 08:50:41:032,411321,411321,0,0,192269256903,2011050127,406267,4123,931,369,391819,0 72,2,2024-09-07 08:50:41:774,293730,293730,0,0,15719438,0,3983 72,3,2024-09-07 08:50:41:765,1,234,1,0,564,4364,234,0 73,0,2024-09-07 08:50:41:137,58187,0.4,59490,0.6,122020,0.3,158203,2.00 73,1,2024-09-07 08:50:40:784,411885,411885,0,0,192283592351,2001343307,408801,2849,235,367,391750,0 73,2,2024-09-07 08:50:41:742,296668,296668,0,0,15128005,0,3701 73,3,2024-09-07 08:50:40:977,1,234,1,0,274,3602,234,0 74,0,2024-09-07 08:50:41:324,60205,0.5,61562,0.7,117352,0.4,158732,2.25 74,1,2024-09-07 08:50:40:641,411630,411630,0,0,192388067469,2012166217,407233,3598,799,381,391681,0 74,2,2024-09-07 08:50:41:003,297085,297085,0,0,14571910,0,4253 74,3,2024-09-07 08:50:41:446,1,234,1,0,522,3308,234,0 75,0,2024-09-07 08:50:41:781,57378,0.7,56996,0.9,114529,0.6,153390,2.50 75,1,2024-09-07 08:50:41:591,410956,410956,0,0,192294875328,2013926135,406051,4242,663,380,391660,0 75,2,2024-09-07 08:50:41:352,294235,294235,0,0,15028477,0,4766 75,3,2024-09-07 08:50:41:074,1,234,5,0,702,3502,234,0 76,0,2024-09-07 08:50:40:593,59266,0.8,58683,0.9,117494,0.8,157471,2.50 76,1,2024-09-07 08:50:40:816,411839,411839,0,0,191992255869,2005541324,408781,2510,548,382,391692,0 76,2,2024-09-07 08:50:41:066,296411,296411,0,0,12269050,0,3784 76,3,2024-09-07 08:50:41:145,1,234,52,0,175,2582,234,0 77,0,2024-09-07 08:50:41:697,59447,0.5,59719,0.7,119011,0.5,158122,1.75 77,1,2024-09-07 08:50:40:838,412133,412133,0,0,192809955206,2015767353,408691,3186,256,383,391808,0 77,2,2024-09-07 08:50:41:287,295770,295770,0,0,12905869,0,3890 77,3,2024-09-07 08:50:41:096,1,234,1,0,382,2793,234,0 78,0,2024-09-07 08:50:41:720,59040,0.4,58476,0.6,117975,0.4,156239,2.00 78,1,2024-09-07 08:50:40:615,412327,412327,0,0,192813141227,2003440182,409332,2677,318,367,391670,0 78,2,2024-09-07 08:50:41:409,296151,296151,0,0,11530467,0,3855 78,3,2024-09-07 08:50:41:138,1,234,1,0,181,2390,234,0 79,0,2024-09-07 08:50:41:349,56074,0.4,57490,0.7,117618,0.4,152642,2.50 79,1,2024-09-07 08:50:40:578,413470,413470,0,0,193197143999,2001228481,410629,2505,336,369,391682,0 79,2,2024-09-07 08:50:41:072,297450,297450,0,0,11701205,0,3212 79,3,2024-09-07 08:50:40:776,1,234,1,0,418,3667,234,0 80,0,2024-09-07 08:50:41:140,58105,0.6,59849,0.7,114390,0.6,155481,2.00 80,1,2024-09-07 08:50:41:638,411562,411562,0,0,193158523112,2012683797,408599,2795,168,368,391791,0 80,2,2024-09-07 08:50:41:192,296475,296475,0,0,12049499,0,4433 80,3,2024-09-07 08:50:40:592,1,234,9,0,190,3365,234,0 81,0,2024-09-07 08:50:41:547,58343,0.5,59677,0.7,114372,0.5,154851,1.75 81,1,2024-09-07 08:50:41:650,410948,410948,0,0,191248683515,2002182041,407110,3377,461,382,391879,0 81,2,2024-09-07 08:50:41:128,295157,295157,0,0,12362637,0,3993 81,3,2024-09-07 08:50:41:124,1,234,29,0,374,2724,234,0 82,0,2024-09-07 08:50:41:545,58572,0.4,59062,0.6,118277,0.4,156969,2.00 82,1,2024-09-07 08:50:40:583,412342,412338,0,4,193055147123,2013263839,409117,2610,611,381,391558,4 82,2,2024-09-07 08:50:41:691,295915,295915,0,0,11703430,0,3986 82,3,2024-09-07 08:50:41:756,1,234,1,0,363,2773,234,0 83,0,2024-09-07 08:50:41:526,57839,0.6,57930,0.7,114909,0.6,153339,2.00 83,1,2024-09-07 08:50:40:552,411468,411468,0,0,192538581246,2010380708,408300,2924,244,382,391690,0 83,2,2024-09-07 08:50:40:780,298458,298458,0,0,11820879,0,3119 83,3,2024-09-07 08:50:40:760,1,234,1,0,250,2636,234,0 84,0,2024-09-07 08:50:41:774,57300,0.8,57271,1.0,114344,0.7,153866,2.50 84,1,2024-09-07 08:50:41:040,410707,410707,0,0,192610765902,2017073781,405975,4157,575,368,391967,0 84,2,2024-09-07 08:50:40:571,294781,294781,0,0,13531840,0,3801 84,3,2024-09-07 08:50:41:145,1,234,3,0,908,3852,234,0 85,0,2024-09-07 08:50:41:021,56393,0.8,56305,0.9,119455,0.9,154312,2.50 85,1,2024-09-07 08:50:40:566,410316,410316,0,0,192451263758,2036716708,403487,5723,1106,382,392006,0 85,2,2024-09-07 08:50:40:869,295813,295813,0,0,14240547,0,3656 85,3,2024-09-07 08:50:40:699,1,234,1,0,789,3148,234,0 86,0,2024-09-07 08:50:40:906,59363,0.6,60932,0.7,116709,0.6,157289,2.00 86,1,2024-09-07 08:50:40:833,412007,412007,0,0,193246969862,2023333957,407030,4253,724,366,391961,0 86,2,2024-09-07 08:50:40:875,295232,295231,1,0,15097198,0,5004 86,3,2024-09-07 08:50:40:592,1,234,2,0,286,3178,234,0 87,0,2024-09-07 08:50:41:298,58508,1.0,58131,1.0,116955,1.4,156059,2.25 87,1,2024-09-07 08:50:40:552,411621,411621,0,0,192272030055,2013850249,407159,3980,482,366,391788,0 87,2,2024-09-07 08:50:41:068,296791,296791,0,0,13582410,0,4045 87,3,2024-09-07 08:50:41:798,1,234,3,0,473,3740,234,0 88,0,2024-09-07 08:50:41:478,56987,0.5,57406,0.7,114540,0.5,152892,2.00 88,1,2024-09-07 08:50:40:574,409601,409601,0,0,191690573821,2015423665,403435,4654,1512,365,392084,0 88,2,2024-09-07 08:50:40:706,294487,294487,0,0,15491369,0,3583 88,3,2024-09-07 08:50:41:273,1,234,2,0,435,3000,234,0 89,0,2024-09-07 08:50:41:775,61211,0.6,59095,0.7,117450,0.5,159318,2.00 89,1,2024-09-07 08:50:40:559,409492,409492,0,0,192192942633,2031758078,402591,5681,1220,382,391866,0 89,2,2024-09-07 08:50:41:135,294302,294302,0,0,14775991,0,2910 89,3,2024-09-07 08:50:41:816,1,234,16,0,325,4722,234,0 90,0,2024-09-07 08:50:41:616,57899,0.4,59107,0.6,121100,0.4,157417,1.75 90,1,2024-09-07 08:50:40:605,411578,411578,0,0,192032502102,2015204056,407470,3831,277,381,391825,0 90,2,2024-09-07 08:50:41:421,295508,295508,0,0,15900538,0,3060 90,3,2024-09-07 08:50:40:940,1,234,10,0,200,2552,234,0 91,0,2024-09-07 08:50:40:953,59947,0.4,58024,0.6,121227,0.4,159309,1.75 91,1,2024-09-07 08:50:40:561,410727,410727,0,0,192866478690,2031286386,404867,5168,692,381,392047,0 91,2,2024-09-07 08:50:41:332,296122,296122,0,0,14373999,0,2896 91,3,2024-09-07 08:50:40:598,1,234,1,0,216,2335,234,0 92,0,2024-09-07 08:50:41:511,58160,0.5,59620,0.7,113933,0.6,154574,1.75 92,1,2024-09-07 08:50:40:584,411324,411324,0,0,193100913174,2015460141,408773,2145,406,382,392136,0 92,2,2024-09-07 08:50:41:351,297130,297130,0,0,12056302,0,2801 92,3,2024-09-07 08:50:41:009,1,234,9,0,167,1995,234,0 93,0,2024-09-07 08:50:40:980,59848,0.4,61443,0.6,117271,0.4,159090,1.75 93,1,2024-09-07 08:50:40:814,411730,411730,0,0,191819711295,2005529547,407262,3682,786,366,391692,0 93,2,2024-09-07 08:50:40:942,296540,296540,0,0,12816768,0,2797 93,3,2024-09-07 08:50:41:406,1,234,2,0,190,2437,234,0 94,0,2024-09-07 08:50:41:622,58487,0.4,59167,0.5,117977,0.3,156350,1.75 94,1,2024-09-07 08:50:40:566,411257,411257,0,0,192504402891,2013636379,407976,3103,178,381,391850,0 94,2,2024-09-07 08:50:40:761,295555,295555,0,0,11918687,0,2443 94,3,2024-09-07 08:50:41:695,1,234,1,0,264,3215,234,0 95,0,2024-09-07 08:50:41:352,58720,0.3,58308,0.5,117877,0.3,156010,1.75 95,1,2024-09-07 08:50:40:853,412236,412236,0,0,192916596223,2008272767,408675,3185,376,367,391713,0 95,2,2024-09-07 08:50:41:023,295098,295098,0,0,12262752,0,3308 95,3,2024-09-07 08:50:41:712,1,234,1,0,718,4432,234,0 96,0,2024-09-07 08:50:41:047,58088,0.4,58368,0.6,116415,0.4,154477,1.75 96,1,2024-09-07 08:50:41:582,411120,411120,0,0,193058171107,2018348042,407552,2838,730,384,391894,0 96,2,2024-09-07 08:50:41:274,297660,297660,0,0,12888252,0,4042 96,3,2024-09-07 08:50:41:145,1,234,0,0,411,2744,234,0 97,0,2024-09-07 08:50:41:343,57507,0.4,57313,0.5,115249,0.3,153098,1.75 97,1,2024-09-07 08:50:40:764,412443,412443,0,0,193281273620,2006975017,409416,2472,555,367,392140,0 97,2,2024-09-07 08:50:40:613,295400,295400,0,0,12037759,0,3036 97,3,2024-09-07 08:50:40:570,1,234,0,0,214,2856,234,0 98,0,2024-09-07 08:50:41:698,58123,0.3,58187,0.5,116933,0.2,155184,1.50 98,1,2024-09-07 08:50:40:572,412247,412247,0,0,193206848128,2013027105,410111,2038,98,382,391997,0 98,2,2024-09-07 08:50:40:775,295578,295578,0,0,12138870,0,3080 98,3,2024-09-07 08:50:40:708,1,234,1,0,840,4523,234,0 99,0,2024-09-07 08:50:41:471,59315,0.3,59424,0.4,118608,0.3,158161,1.50 99,1,2024-09-07 08:50:41:732,412148,412148,0,0,191814885675,2002828898,408366,3043,739,381,391744,0 99,2,2024-09-07 08:50:41:421,298144,298144,0,0,12830529,0,3106 99,3,2024-09-07 08:50:40:591,1,234,2,0,168,1882,234,0 100,0,2024-09-07 08:50:41:477,58196,0.8,58119,1.0,116091,1.0,155330,2.25 100,1,2024-09-07 08:50:40:552,409345,409345,0,0,191029892869,2024172566,402514,5514,1317,381,391989,0 100,2,2024-09-07 08:50:41:834,296614,296603,11,0,14331479,0,5417 100,3,2024-09-07 08:50:41:742,1,234,4,0,559,4833,234,0 101,0,2024-09-07 08:50:41:728,58346,2.1,56839,1.3,111437,2.7,154069,2.75 101,1,2024-09-07 08:50:40:566,409828,409828,0,0,191605431753,2024008466,402485,5572,1771,368,391769,0 101,2,2024-09-07 08:50:41:768,293445,293445,0,0,15441655,0,4644 101,3,2024-09-07 08:50:40:968,1,234,1,0,448,3036,234,0 102,0,2024-09-07 08:50:40:978,57770,0.8,59955,0.9,120320,0.9,157711,2.25 102,1,2024-09-07 08:50:41:169,410602,410602,0,0,192505117912,2026053265,404957,4806,839,369,391883,0 102,2,2024-09-07 08:50:41:739,295148,295094,54,0,14156439,0,6768 102,3,2024-09-07 08:50:41:615,1,234,7,0,410,2520,234,0 103,0,2024-09-07 08:50:41:586,61404,0.5,61405,0.7,115820,0.4,159302,2.00 103,1,2024-09-07 08:50:41:627,409795,409795,0,0,191675384552,2023874926,403508,4906,1381,381,391829,0 103,2,2024-09-07 08:50:40:590,295060,295060,0,0,13356030,0,2582 103,3,2024-09-07 08:50:40:779,1,234,1,0,916,3497,234,0 104,0,2024-09-07 08:50:41:017,59248,0.8,59715,1.0,118047,0.8,158899,2.25 104,1,2024-09-07 08:50:41:600,411177,411177,0,0,192088882582,2024532675,404511,5571,1095,365,391948,0 104,2,2024-09-07 08:50:41:665,295718,295718,0,0,15126212,0,3941 104,3,2024-09-07 08:50:41:419,1,234,1,0,1245,6328,234,0 105,0,2024-09-07 08:50:41:060,56616,1.7,54910,1.4,114839,2.7,151904,3.75 105,1,2024-09-07 08:50:40:577,411056,411056,0,0,192728834150,2026967608,404761,5319,976,367,391797,0 105,2,2024-09-07 08:50:41:326,294622,294622,0,0,14558166,0,3509 105,3,2024-09-07 08:50:41:312,1,234,9,0,399,4204,234,0 106,0,2024-09-07 08:50:40:954,57413,1.1,58793,1.1,120422,1.3,157150,2.75 106,1,2024-09-07 08:50:41:757,410594,410594,0,0,191830750604,2020770443,403511,6325,758,369,391767,0 106,2,2024-09-07 08:50:40:777,293577,293577,0,0,14020929,0,2795 106,3,2024-09-07 08:50:40:684,1,234,1,0,470,3528,234,0 107,0,2024-09-07 08:50:41:106,59333,0.8,59260,0.9,118383,0.9,157806,2.00 107,1,2024-09-07 08:50:40:618,410001,410001,0,0,192047421026,2024557886,404687,4768,546,381,392234,0 107,2,2024-09-07 08:50:41:294,295466,295465,1,0,14684075,0,5024 107,3,2024-09-07 08:50:41:760,1,234,3,0,353,3351,234,0 108,0,2024-09-07 08:50:41:782,58949,0.4,59156,0.6,117266,0.4,156919,1.75 108,1,2024-09-07 08:50:41:303,411489,411489,0,0,193224166608,2015137446,408292,2813,384,368,391857,0 108,2,2024-09-07 08:50:41:763,295068,295068,0,0,13125572,0,2647 108,3,2024-09-07 08:50:41:331,1,234,1,0,749,4792,234,0 109,0,2024-09-07 08:50:41:773,58227,0.4,57653,0.6,115584,0.4,154891,1.75 109,1,2024-09-07 08:50:40:584,410380,410380,0,0,192188216893,2017936501,406598,3268,514,383,392132,0 109,2,2024-09-07 08:50:40:935,295616,295616,0,0,13631623,0,3617 109,3,2024-09-07 08:50:41:143,1,234,1,0,249,2909,234,0 110,0,2024-09-07 08:50:41:786,58295,0.4,56828,0.6,118732,0.4,156339,1.75 110,1,2024-09-07 08:50:41:653,412179,412179,0,0,193482511320,2011349321,409037,2265,877,370,392045,0 110,2,2024-09-07 08:50:41:316,296249,296249,0,0,12848843,0,3264 110,3,2024-09-07 08:50:40:698,1,234,1,0,406,3200,234,0 111,0,2024-09-07 08:50:41:490,58693,0.4,58270,0.5,116278,0.3,156139,1.75 111,1,2024-09-07 08:50:41:015,412745,412745,0,0,193636866929,2008704417,410756,1653,336,382,391690,0 111,2,2024-09-07 08:50:41:116,295239,295239,0,0,12390475,0,2763 111,3,2024-09-07 08:50:40:925,1,234,14,0,379,3336,234,0 112,0,2024-09-07 08:50:40:937,59231,0.3,59103,0.4,118352,0.2,157108,1.50 112,1,2024-09-07 08:50:40:842,413165,413165,0,0,192978736844,2003369403,410749,1988,428,380,391580,0 112,2,2024-09-07 08:50:41:134,295179,295178,1,0,12600032,0,5036 112,3,2024-09-07 08:50:40:592,1,234,1,0,282,2536,234,0 113,0,2024-09-07 08:50:40:871,57682,0.3,57571,0.5,115660,0.3,154509,1.75 113,1,2024-09-07 08:50:41:691,413322,413322,0,0,194253624910,2006735295,410829,2004,489,366,391661,0 113,2,2024-09-07 08:50:41:305,299393,299393,0,0,11517621,0,3813 113,3,2024-09-07 08:50:40:689,1,234,1,0,340,3571,234,0 114,0,2024-09-07 08:50:40:876,58128,0.4,58546,0.6,116029,0.3,155696,1.75 114,1,2024-09-07 08:50:40:718,411825,411825,0,0,192513747787,2008978805,407019,3217,1589,381,391556,0 114,2,2024-09-07 08:50:40:873,294803,294802,1,0,12537329,0,5069 114,3,2024-09-07 08:50:41:284,1,234,1,0,395,2647,234,0 115,0,2024-09-07 08:50:40:563,58434,0.3,58807,0.4,117551,0.2,156055,1.75 115,1,2024-09-07 08:50:40:575,411664,411664,0,0,193080240606,2011513546,407307,3523,834,382,391602,0 115,2,2024-09-07 08:50:41:134,295648,295648,0,0,10888389,0,2152 115,3,2024-09-07 08:50:41:009,1,234,1,0,159,1342,234,0 116,0,2024-09-07 08:50:41:765,59214,0.7,58984,0.9,118180,0.6,157829,2.00 116,1,2024-09-07 08:50:40:813,409349,409349,0,0,191562547613,2031209176,402960,4334,2055,380,391782,0 116,2,2024-09-07 08:50:41:764,295357,295357,0,0,15563007,0,3529 116,3,2024-09-07 08:50:40:911,1,234,2,0,415,3506,234,0 117,0,2024-09-07 08:50:40:990,58548,0.8,58396,0.9,116817,1.0,156234,2.00 117,1,2024-09-07 08:50:41:579,411201,411201,0,0,191628311406,2009149695,406506,4215,480,370,392033,0 117,2,2024-09-07 08:50:41:125,299508,299508,0,0,12895014,0,3700 117,3,2024-09-07 08:50:41:063,1,234,1,0,490,3925,234,0 118,0,2024-09-07 08:50:41:772,55471,0.7,56973,0.8,116189,0.7,152409,2.00 118,1,2024-09-07 08:50:40:591,410883,410883,0,0,191805706043,2020165014,404551,4900,1432,366,391736,0 118,2,2024-09-07 08:50:41:587,293913,293913,0,0,13768420,0,2781 118,3,2024-09-07 08:50:41:764,1,234,1,0,235,2781,234,0 119,0,2024-09-07 08:50:41:337,59113,1.0,59587,0.9,119249,1.3,158148,2.25 119,1,2024-09-07 08:50:40:555,412024,412024,0,0,193012409534,2018944126,407379,4123,522,368,391641,0 119,2,2024-09-07 08:50:41:265,295066,295066,0,0,13155156,0,4174 119,3,2024-09-07 08:50:41:326,1,234,1,0,443,3430,234,0 120,0,2024-09-07 08:50:41:553,59255,0.5,59001,0.8,118417,0.5,157900,2.00 120,1,2024-09-07 08:50:40:862,410990,410990,0,0,192221187077,2020132865,406317,4323,350,368,391961,0 120,2,2024-09-07 08:50:40:770,295879,295878,1,0,15810709,0,5281 120,3,2024-09-07 08:50:41:328,1,234,2,0,241,3182,234,0 121,0,2024-09-07 08:50:41:691,59585,1.0,59728,1.0,119438,1.3,159080,2.25 121,1,2024-09-07 08:50:41:659,411425,411425,0,0,192341260045,2016534701,406835,4176,414,367,391840,0 121,2,2024-09-07 08:50:41:126,294963,294963,0,0,15139723,0,4127 121,3,2024-09-07 08:50:40:740,1,234,2,0,269,2780,234,0 122,0,2024-09-07 08:50:41:773,57359,1.0,55912,1.0,117259,1.1,154547,2.25 122,1,2024-09-07 08:50:40:891,410426,410426,0,0,192459348668,2024403316,404366,5244,816,366,392130,0 122,2,2024-09-07 08:50:41:327,294710,294637,73,0,17508732,0,5989 122,3,2024-09-07 08:50:40:609,1,234,3,0,411,4855,234,0 123,0,2024-09-07 08:50:40:966,59326,1.0,58001,0.9,120915,1.2,158381,2.25 123,1,2024-09-07 08:50:40:562,410628,410628,0,0,192324020263,2033895470,401960,6952,1716,369,391823,0 123,2,2024-09-07 08:50:41:027,293547,293546,1,0,14306856,0,5215 123,3,2024-09-07 08:50:41:134,1,234,2,0,168,2903,234,0 124,0,2024-09-07 08:50:40:926,60602,0.3,60566,0.5,114373,0.3,157124,1.75 124,1,2024-09-07 08:50:41:025,412679,412679,0,0,192123843915,1998671181,409844,2473,362,367,392178,0 124,2,2024-09-07 08:50:41:024,295954,295954,0,0,11720844,0,3101 124,3,2024-09-07 08:50:40:757,1,234,7,0,490,2739,234,0 125,0,2024-09-07 08:50:41:421,58682,0.3,58557,0.5,117587,0.3,156139,1.75 125,1,2024-09-07 08:50:40:862,411514,411514,0,0,192711393139,2012756997,408428,2695,391,383,391702,0 125,2,2024-09-07 08:50:41:127,295948,295948,0,0,12764443,0,4534 125,3,2024-09-07 08:50:41:133,1,234,45,0,709,3482,234,0 126,0,2024-09-07 08:50:41:423,58201,0.6,59750,0.7,114267,0.6,155371,1.75 126,1,2024-09-07 08:50:40:568,412864,412864,0,0,193428505590,2006954471,410185,2417,262,365,391987,0 126,2,2024-09-07 08:50:40:614,298959,298959,0,0,13057274,0,3186 126,3,2024-09-07 08:50:40:913,1,234,4,0,183,3265,234,0 127,0,2024-09-07 08:50:41:601,57479,0.4,57782,0.5,114991,0.4,153298,1.75 127,1,2024-09-07 08:50:40:577,411943,411943,0,0,192728093564,1999477012,409001,2789,153,365,391816,0 127,2,2024-09-07 08:50:40:666,295598,295598,0,0,11686050,0,2264 127,3,2024-09-07 08:50:41:267,1,234,3,0,968,2923,234,0 128,0,2024-09-07 08:50:41:525,58563,0.3,58532,0.5,116759,0.2,155481,1.50 128,1,2024-09-07 08:50:41:611,412010,412010,0,0,192804702173,2001560281,409845,2008,157,367,391798,0 128,2,2024-09-07 08:50:41:403,294959,294959,0,0,11586149,0,2434 128,3,2024-09-07 08:50:40:778,1,234,0,0,1082,5297,234,0 129,0,2024-09-07 08:50:41:012,59738,0.3,59455,0.4,118729,0.2,157759,1.50 129,1,2024-09-07 08:50:40:570,409875,409875,0,0,191669745113,2011812120,405739,3208,928,379,391835,0 129,2,2024-09-07 08:50:40:686,296553,296553,0,0,12549722,0,4031 129,3,2024-09-07 08:50:40:687,1,234,17,0,469,3226,234,0 130,0,2024-09-07 08:50:41:756,58660,0.6,58500,0.6,117118,0.6,156237,1.75 130,1,2024-09-07 08:50:40:602,412419,412419,0,0,193752017976,2017193056,409997,2345,77,381,391825,0 130,2,2024-09-07 08:50:41:130,299550,299550,0,0,12295746,0,4067 130,3,2024-09-07 08:50:41:299,1,234,1,0,450,3320,234,0 131,0,2024-09-07 08:50:41:938,57283,0.4,57591,0.6,115966,0.4,153901,1.75 131,1,2024-09-07 08:50:41:823,412605,412605,0,0,193179895064,2015922547,409566,2582,457,381,391865,0 131,2,2024-09-07 08:50:40:571,296214,296214,0,0,11106214,0,2415 131,3,2024-09-07 08:50:41:695,1,234,1,0,392,2462,234,0 132,0,2024-09-07 08:50:41:417,59093,0.5,59673,0.7,119176,0.5,158212,2.00 132,1,2024-09-07 08:50:40:592,409891,409891,0,0,192137164288,2030174844,402893,5746,1252,381,392097,0 132,2,2024-09-07 08:50:40:707,295032,295032,0,0,14813888,0,4606 132,3,2024-09-07 08:50:41:688,1,234,2,0,356,3468,234,0 133,0,2024-09-07 08:50:41:519,58177,0.4,59560,0.6,121889,0.3,158157,1.75 133,1,2024-09-07 08:50:40:584,409915,409915,0,0,192821412792,2032083698,404444,4804,667,383,391914,0 133,2,2024-09-07 08:50:41:095,295477,295477,0,0,15863472,0,4315 133,3,2024-09-07 08:50:41:304,1,234,1,0,276,2414,234,0 134,0,2024-09-07 08:50:40:943,59686,0.5,59648,0.7,119741,0.4,158869,2.00 134,1,2024-09-07 08:50:40:587,410236,410236,0,0,191782304438,2018161184,403792,4974,1470,366,391718,0 134,2,2024-09-07 08:50:41:757,296548,296548,0,0,13437626,0,3847 134,3,2024-09-07 08:50:40:775,1,234,1,0,739,3655,234,0 135,0,2024-09-07 08:50:41:110,55439,1.0,55412,1.0,117409,1.2,152000,2.25 135,1,2024-09-07 08:50:41:604,409710,409710,0,0,192671189438,2030363309,403523,5191,996,380,391805,0 135,2,2024-09-07 08:50:40:687,294922,294922,0,0,14672819,0,3981 135,3,2024-09-07 08:50:41:017,1,234,1,0,299,1825,234,0 136,0,2024-09-07 08:50:41:613,59847,0.7,59802,0.8,119528,0.7,158879,2.25 136,1,2024-09-07 08:50:41:445,410201,410201,0,0,191665480880,2017884300,404684,4933,584,382,391685,0 136,2,2024-09-07 08:50:41:141,295830,295830,0,0,14226705,0,3506 136,3,2024-09-07 08:50:41:107,1,234,2,0,126,1840,234,0 137,0,2024-09-07 08:50:40:921,61109,0.6,59385,0.8,117301,0.6,158979,2.00 137,1,2024-09-07 08:50:40:587,410331,410331,0,0,192254125291,2022282168,403390,5524,1417,366,391708,0 137,2,2024-09-07 08:50:41:715,295606,295606,0,0,15751718,0,3185 137,3,2024-09-07 08:50:40:769,1,234,1,0,382,3043,234,0 138,0,2024-09-07 08:50:41:761,58415,0.9,58412,0.9,117423,1.0,156533,2.00 138,1,2024-09-07 08:50:41:691,410451,410451,0,0,192538942206,2026639784,403490,5830,1131,368,391954,0 138,2,2024-09-07 08:50:40:586,295675,295675,0,0,14554341,0,4988 138,3,2024-09-07 08:50:40:612,1,234,6,0,1160,3814,234,0 139,0,2024-09-07 08:50:41:374,56917,2.2,57152,1.4,114828,3.2,153299,2.75 139,1,2024-09-07 08:50:40:583,408872,408872,0,0,191427661324,2038392173,400391,6369,2112,381,391892,0 139,2,2024-09-07 08:50:40:697,294512,294512,0,0,15158930,0,3097 139,3,2024-09-07 08:50:41:665,1,234,0,0,244,2494,234,0 140,0,2024-09-07 08:50:41:589,58746,0.3,58213,0.5,117021,0.3,156496,1.75 140,1,2024-09-07 08:50:41:536,413435,413435,0,0,194497286211,2006321526,411458,1736,241,365,391606,0 140,2,2024-09-07 08:50:40:687,296293,296293,0,0,11987203,0,3388 140,3,2024-09-07 08:50:40:780,1,234,3,0,247,2129,234,0 141,0,2024-09-07 08:50:41:703,58471,0.3,60056,0.4,114885,0.2,156240,1.50 141,1,2024-09-07 08:50:40:863,412836,412836,0,0,193299443782,2007546654,410266,2162,408,379,391614,0 141,2,2024-09-07 08:50:41:691,295618,295618,0,0,11597860,0,2342 141,3,2024-09-07 08:50:41:047,1,234,1,0,202,2292,234,0 142,0,2024-09-07 08:50:41:328,59788,0.3,59197,0.4,117624,0.2,157368,1.50 142,1,2024-09-07 08:50:40:592,412024,412024,0,0,192529622203,2009688423,409236,2523,265,382,392102,0 142,2,2024-09-07 08:50:41:303,295121,295089,32,0,13364012,0,6028 142,3,2024-09-07 08:50:41:746,1,234,2,0,484,3069,234,0 143,0,2024-09-07 08:50:41:373,57919,0.5,57942,0.6,116378,0.5,154315,1.75 143,1,2024-09-07 08:50:40:558,412434,412434,0,0,192908433390,2002740510,409722,2617,95,367,391619,0 143,2,2024-09-07 08:50:40:769,298532,298532,0,0,12579159,0,2669 143,3,2024-09-07 08:50:41:142,1,234,2,0,303,3184,234,0 144,0,2024-09-07 08:50:41:496,55842,0.7,57518,1.0,116917,0.7,153898,2.25 144,1,2024-09-07 08:50:40:568,410009,410009,0,0,192147277597,2019832733,406015,3073,921,381,391649,0 144,2,2024-09-07 08:50:41:760,295660,295660,0,0,11888125,0,3473 144,3,2024-09-07 08:50:41:742,1,234,1,0,249,2857,234,0 145,0,2024-09-07 08:50:41:379,56323,0.6,56249,0.9,119405,0.6,154334,2.50 145,1,2024-09-07 08:50:40:569,409561,409561,0,0,191739366089,2019739645,404069,4670,822,382,391698,0 145,2,2024-09-07 08:50:41:434,293740,293740,0,0,13936939,0,3903 145,3,2024-09-07 08:50:40:896,1,234,1,0,622,3953,234,0 146,0,2024-09-07 08:50:41:602,59191,0.5,58767,0.7,118472,0.5,157121,2.25 146,1,2024-09-07 08:50:41:593,410534,410534,0,0,192522489667,2033166903,402289,6399,1846,368,391770,0 146,2,2024-09-07 08:50:41:700,295322,295322,0,0,14153341,0,2730 146,3,2024-09-07 08:50:41:275,1,234,1,0,1520,5874,234,0 147,0,2024-09-07 08:50:41:731,58420,0.6,58401,0.8,115936,0.6,155865,2.25 147,1,2024-09-07 08:50:41:374,412389,412389,0,0,193090922622,2011693293,408295,3509,585,368,391791,0 147,2,2024-09-07 08:50:41:023,299007,299007,0,0,12027583,0,2789 147,3,2024-09-07 08:50:40:914,1,234,8,0,730,3866,234,0 0,0,2024-09-07 08:50:51:723,57810,0.5,57814,0.7,122651,0.4,158466,1.75 0,1,2024-09-07 08:50:50:803,413129,413129,0,0,193102466261,2022330592,409920,3005,204,370,391772,0 0,2,2024-09-07 08:50:51:068,297838,297838,0,0,12733875,0,4480 0,3,2024-09-07 08:50:50:974,1,235,5,0,319,3323,235,0 1,0,2024-09-07 08:50:51:772,60052,0.9,59546,1.0,119882,1.1,159663,2.00 1,1,2024-09-07 08:50:50:569,412790,412790,0,0,192532970768,2020631625,408296,3441,1053,371,391857,0 1,2,2024-09-07 08:50:50:649,297051,297051,0,0,11713288,0,3267 1,3,2024-09-07 08:50:51:318,1,235,6,0,262,2885,235,0 2,0,2024-09-07 08:50:51:588,58018,0.8,58244,0.9,115767,1.0,155149,2.00 2,1,2024-09-07 08:50:50:864,413353,413353,0,0,193271948663,2009780817,411249,1886,218,380,391745,0 2,2,2024-09-07 08:50:51:285,296745,296745,0,0,12274741,0,3594 2,3,2024-09-07 08:50:50:699,1,235,0,0,357,2253,235,0 3,0,2024-09-07 08:50:51:748,59743,0.5,59806,0.7,118921,0.5,158349,2.00 3,1,2024-09-07 08:50:51:618,412890,412890,0,0,193329458274,2016862028,409051,3377,462,380,391523,0 3,2,2024-09-07 08:50:51:148,296896,296873,23,0,12516930,0,5851 3,3,2024-09-07 08:50:51:759,1,235,1,0,103,1496,235,0 4,0,2024-09-07 08:50:51:896,57225,0.4,58870,0.5,119740,0.3,157136,1.75 4,1,2024-09-07 08:50:50:590,412530,412530,0,0,192810778982,2027768237,407819,3923,788,371,391846,0 4,2,2024-09-07 08:50:51:029,296062,296062,0,0,14619532,0,4528 4,3,2024-09-07 08:50:51:041,1,235,5,0,448,3710,235,0 5,0,2024-09-07 08:50:51:398,58771,0.4,59129,0.5,117412,0.4,156408,1.75 5,1,2024-09-07 08:50:50:761,412593,412593,0,0,193077212719,2033069638,407110,4371,1112,368,392005,0 5,2,2024-09-07 08:50:51:832,295470,295470,0,0,13315211,0,2432 5,3,2024-09-07 08:50:51:736,1,235,11,0,457,3775,235,0 6,0,2024-09-07 08:50:50:920,58891,0.6,58252,0.8,116496,0.7,156383,2.00 6,1,2024-09-07 08:50:50:749,412990,412990,0,0,193235560688,2022331247,408323,3823,844,379,391651,0 6,2,2024-09-07 08:50:51:119,298101,298101,0,0,13357159,0,4816 6,3,2024-09-07 08:50:51:277,1,235,1,0,340,2878,235,0 7,0,2024-09-07 08:50:51:539,57379,0.6,57472,0.7,114747,0.5,153010,2.00 7,1,2024-09-07 08:50:50:854,412565,412565,0,0,193682736582,2035284041,406669,4893,1003,382,391686,0 7,2,2024-09-07 08:50:50:770,295932,295932,0,0,13106667,0,4791 7,3,2024-09-07 08:50:50:852,1,235,1,0,398,2748,235,0 8,0,2024-09-07 08:50:51:347,58581,0.4,58590,0.5,116611,0.3,156055,1.75 8,1,2024-09-07 08:50:51:026,411191,411191,0,0,192564463996,2035475117,403133,6050,2008,367,391956,0 8,2,2024-09-07 08:50:50:806,292518,292518,0,0,15772307,0,3220 8,3,2024-09-07 08:50:50:586,1,235,1,0,357,3613,235,0 9,0,2024-09-07 08:50:51:109,59576,0.3,57821,0.5,120716,0.3,158285,1.75 9,1,2024-09-07 08:50:50:556,412014,412014,0,0,193132363507,2039784346,405534,4940,1540,370,392001,0 9,2,2024-09-07 08:50:51:083,296206,296206,0,0,13990285,0,3360 9,3,2024-09-07 08:50:51:780,1,235,10,0,496,3536,235,0 10,0,2024-09-07 08:50:51:636,58793,0.3,58246,0.5,117284,0.2,156623,1.75 10,1,2024-09-07 08:50:50:583,412744,412744,0,0,193297321067,2030214710,406385,5431,928,381,391741,0 10,2,2024-09-07 08:50:50:764,299384,299384,0,0,15507047,0,4264 10,3,2024-09-07 08:50:50:871,1,235,1,0,177,2029,235,0 11,0,2024-09-07 08:50:51:014,57208,0.5,55639,0.7,116466,0.5,154801,2.00 11,1,2024-09-07 08:50:50:572,412651,412651,0,0,192719254964,2032142857,404463,6207,1981,383,391537,0 11,2,2024-09-07 08:50:51:129,295576,295576,0,0,14492916,0,4130 11,3,2024-09-07 08:50:51:299,1,235,1,0,843,3960,235,0 12,0,2024-09-07 08:50:50:955,59821,0.4,59604,0.5,119231,0.3,158312,1.75 12,1,2024-09-07 08:50:50:934,413048,413048,0,0,192233258481,2007258533,409540,3087,421,370,391870,0 12,2,2024-09-07 08:50:51:555,296684,296684,0,0,13585361,0,3469 12,3,2024-09-07 08:50:51:063,1,235,1,0,386,4093,235,0 13,0,2024-09-07 08:50:51:328,60092,0.3,60022,0.5,119609,0.3,159165,1.50 13,1,2024-09-07 08:50:51:558,413020,413020,0,0,193917585594,2036889567,409476,3003,541,382,391717,0 13,2,2024-09-07 08:50:50:595,298015,298015,0,0,12783226,0,3287 13,3,2024-09-07 08:50:51:786,1,235,1,0,467,3839,235,0 14,0,2024-09-07 08:50:50:589,59907,0.4,60379,0.6,119555,0.3,158740,1.75 14,1,2024-09-07 08:50:51:572,415330,415330,0,0,194128642463,2011702573,412500,2641,189,364,391571,0 14,2,2024-09-07 08:50:50:770,298155,298155,0,0,12787582,0,2896 14,3,2024-09-07 08:50:51:120,1,235,1,0,1168,3417,235,0 15,0,2024-09-07 08:50:51:568,57356,0.5,57658,0.8,115205,0.6,153504,2.00 15,1,2024-09-07 08:50:51:618,413673,413673,0,0,193775524728,2016483607,411154,2341,178,381,391619,0 15,2,2024-09-07 08:50:50:999,296278,296278,0,0,10817800,0,3043 15,3,2024-09-07 08:50:51:405,1,235,0,0,1126,5194,235,0 16,0,2024-09-07 08:50:50:936,59758,0.8,59997,0.9,119216,0.9,159068,2.25 16,1,2024-09-07 08:50:50:566,413761,413761,0,0,193237098216,2022013854,410210,3253,298,370,391756,0 16,2,2024-09-07 08:50:51:434,295979,295979,0,0,13407339,0,4719 16,3,2024-09-07 08:50:51:144,1,235,12,0,317,3349,235,0 17,0,2024-09-07 08:50:51:821,61448,0.5,59884,0.7,117366,0.5,159438,1.75 17,1,2024-09-07 08:50:50:570,412214,412214,0,0,192728001507,2026656094,407380,3731,1103,368,391688,0 17,2,2024-09-07 08:50:51:669,300417,300417,0,0,12580044,0,2857 17,3,2024-09-07 08:50:50:579,1,235,0,0,268,3901,235,0 18,0,2024-09-07 08:50:50:950,58532,0.7,58703,0.8,117330,0.7,156979,2.25 18,1,2024-09-07 08:50:51:658,413769,413769,0,0,194302503826,2015757471,411265,2263,241,367,391649,0 18,2,2024-09-07 08:50:51:760,297677,297677,0,0,12225875,0,3541 18,3,2024-09-07 08:50:50:901,1,235,43,0,163,2503,235,0 19,0,2024-09-07 08:50:51:541,57626,0.7,58292,0.9,115203,0.8,153549,2.25 19,1,2024-09-07 08:50:50:567,413556,413556,0,0,194640347768,2025400656,408923,3847,786,367,391777,0 19,2,2024-09-07 08:50:51:760,298634,298634,0,0,11272351,0,3988 19,3,2024-09-07 08:50:51:131,1,235,1,0,524,1852,235,0 20,0,2024-09-07 08:50:51:434,58589,0.7,58518,0.8,117376,0.9,157398,2.25 20,1,2024-09-07 08:50:50:587,412438,412438,0,0,193296127124,2024255890,408631,3411,396,369,391886,0 20,2,2024-09-07 08:50:50:943,297045,297045,0,0,12766867,0,3721 20,3,2024-09-07 08:50:50:594,1,235,9,0,414,4526,235,0 21,0,2024-09-07 08:50:51:193,58787,0.5,58994,0.7,117736,0.5,156284,2.00 21,1,2024-09-07 08:50:51:546,411747,411747,0,0,192408838231,2029327563,406029,4461,1257,368,391962,0 21,2,2024-09-07 08:50:51:078,296060,296060,0,0,14299965,0,3747 21,3,2024-09-07 08:50:51:406,1,235,0,0,103,2544,235,0 22,0,2024-09-07 08:50:51:739,58973,0.6,59006,0.8,117701,0.6,156610,2.25 22,1,2024-09-07 08:50:51:029,412176,412176,0,0,192691436293,2032631563,404989,5545,1642,382,391667,0 22,2,2024-09-07 08:50:50:764,296622,296622,0,0,12741296,0,3134 22,3,2024-09-07 08:50:51:077,1,235,6,0,228,2285,235,0 23,0,2024-09-07 08:50:51:379,57861,0.6,57688,0.8,115887,0.7,154457,2.25 23,1,2024-09-07 08:50:51:003,412825,412825,0,0,194271534053,2043276052,405533,4741,2551,365,391690,0 23,2,2024-09-07 08:50:51:094,299659,299659,0,0,12441756,0,3010 23,3,2024-09-07 08:50:51:757,1,235,2,0,645,3104,235,0 24,0,2024-09-07 08:50:50:831,58578,0.5,58469,0.6,117293,0.4,156168,1.75 24,1,2024-09-07 08:50:50:584,412661,412661,0,0,193088231140,2029717771,407054,4128,1479,368,391987,0 24,2,2024-09-07 08:50:51:069,294819,294819,0,0,14952317,0,3607 24,3,2024-09-07 08:50:51:698,1,235,6,0,468,3613,235,0 25,0,2024-09-07 08:50:51:343,60013,0.5,58642,0.7,114818,0.5,156772,2.00 25,1,2024-09-07 08:50:50:567,412955,412955,0,0,193371878968,2026967922,408672,3729,554,371,391788,0 25,2,2024-09-07 08:50:51:609,294925,294925,0,0,15309543,0,3978 25,3,2024-09-07 08:50:51:003,1,235,1,0,255,2649,235,0 26,0,2024-09-07 08:50:51:724,59114,0.4,57819,0.6,121203,0.3,157648,1.75 26,1,2024-09-07 08:50:51:546,412718,412718,0,0,192302923793,2022164083,405755,5747,1216,381,391748,0 26,2,2024-09-07 08:50:50:866,296966,296966,0,0,15071932,0,2809 26,3,2024-09-07 08:50:51:714,1,235,1,0,796,3214,235,0 27,0,2024-09-07 08:50:51:730,59097,0.4,58926,0.6,116834,0.4,156046,2.00 27,1,2024-09-07 08:50:51:685,414754,414754,0,0,194426912165,2025248540,411307,2855,592,381,391558,0 27,2,2024-09-07 08:50:50:871,297549,297484,65,0,15261756,0,5699 27,3,2024-09-07 08:50:51:028,1,235,1,0,564,2688,235,0 28,0,2024-09-07 08:50:51:389,57679,0.5,57448,0.7,115313,0.5,154538,2.00 28,1,2024-09-07 08:50:50:805,414077,414077,0,0,193787435215,2019651921,411241,2366,470,383,391646,0 28,2,2024-09-07 08:50:51:776,296167,296167,0,0,11989833,0,2915 28,3,2024-09-07 08:50:51:785,1,235,1,0,502,2541,235,0 29,0,2024-09-07 08:50:51:436,61139,0.4,59840,0.7,116823,0.4,159537,1.75 29,1,2024-09-07 08:50:51:567,414651,414651,0,0,194410073729,2015230964,411429,2657,565,369,391621,0 29,2,2024-09-07 08:50:50:870,295943,295943,0,0,11487170,0,4018 29,3,2024-09-07 08:50:50:963,1,235,3,0,115,1747,235,0 30,0,2024-09-07 08:50:51:471,59321,0.5,57753,0.7,120686,0.5,157868,2.00 30,1,2024-09-07 08:50:50:571,414737,414737,0,0,194283180175,2015161936,412409,2035,293,382,391672,0 30,2,2024-09-07 08:50:51:278,298234,298234,0,0,11399332,0,3161 30,3,2024-09-07 08:50:50:581,1,235,0,0,195,1872,235,0 31,0,2024-09-07 08:50:51:765,59503,0.4,59825,0.6,119984,0.4,159510,2.00 31,1,2024-09-07 08:50:50:564,415723,415723,0,0,194797771839,1997771291,414335,1169,219,356,391712,0 31,2,2024-09-07 08:50:51:294,297156,297156,0,0,12945430,0,3525 31,3,2024-09-07 08:50:51:708,1,235,0,0,220,1903,235,0 32,0,2024-09-07 08:50:51:422,57896,0.4,58390,0.6,116704,0.3,155622,1.75 32,1,2024-09-07 08:50:50:806,414878,414878,0,0,194264041142,2017297161,412924,1675,279,381,391614,0 32,2,2024-09-07 08:50:50:935,296881,296881,0,0,11177738,0,3155 32,3,2024-09-07 08:50:51:029,1,235,7,0,227,1981,235,0 33,0,2024-09-07 08:50:51:537,60210,0.3,59420,0.5,119704,0.2,159307,1.75 33,1,2024-09-07 08:50:50:576,414890,414890,0,0,194244859191,2008827644,412311,2405,174,369,391730,0 33,2,2024-09-07 08:50:50:764,297581,297548,33,0,12975603,0,7012 33,3,2024-09-07 08:50:50:901,1,235,5,0,329,2875,235,0 34,0,2024-09-07 08:50:50:934,59018,0.3,60656,0.5,116576,0.2,156491,1.75 34,1,2024-09-07 08:50:51:053,415430,415430,0,0,194977871386,2006874533,414340,1083,7,367,391562,0 34,2,2024-09-07 08:50:50:766,297255,297255,0,0,12220241,0,3577 34,3,2024-09-07 08:50:51:698,1,235,1,0,299,1930,235,0 35,0,2024-09-07 08:50:50:868,58306,0.3,58670,0.5,117861,0.3,156767,1.75 35,1,2024-09-07 08:50:51:069,414053,414053,0,0,194297961287,2017406566,410710,2563,780,384,391589,0 35,2,2024-09-07 08:50:51:584,297367,297367,0,0,11682693,0,2653 35,3,2024-09-07 08:50:50:908,1,235,1,0,418,2943,235,0 36,0,2024-09-07 08:50:51:556,58525,0.7,58439,0.8,117066,0.7,156064,2.25 36,1,2024-09-07 08:50:50:583,413452,413452,0,0,193611757553,2028219130,407490,4384,1578,366,391759,0 36,2,2024-09-07 08:50:51:765,297734,297734,0,0,13662701,0,3875 36,3,2024-09-07 08:50:50:865,1,235,1,0,416,3921,235,0 37,0,2024-09-07 08:50:51:457,57260,0.6,57189,0.7,114625,0.6,153859,2.00 37,1,2024-09-07 08:50:50:570,412606,412599,0,7,192846574558,2024715311,406593,3940,2066,365,391560,0 37,2,2024-09-07 08:50:51:151,295990,295975,15,0,13794336,0,5815 37,3,2024-09-07 08:50:51:780,1,235,1,0,888,4306,235,0 38,0,2024-09-07 08:50:51:456,57935,0.5,56291,0.7,117782,0.4,154281,2.00 38,1,2024-09-07 08:50:51:610,414015,414015,0,0,193530965184,2023654863,408745,4595,675,368,391821,0 38,2,2024-09-07 08:50:50:760,296015,295968,47,0,14293801,0,6710 38,3,2024-09-07 08:50:50:997,1,235,1,0,689,3549,235,0 39,0,2024-09-07 08:50:51:764,60693,0.6,59598,0.7,115735,0.6,158376,2.00 39,1,2024-09-07 08:50:50:717,413683,413683,0,0,193310242028,2020520703,408157,4625,901,365,391594,0 39,2,2024-09-07 08:50:51:421,296208,296208,0,0,12408054,0,2689 39,3,2024-09-07 08:50:50:714,1,235,1,0,324,3387,235,0 40,0,2024-09-07 08:50:51:515,58021,0.8,58539,1.0,117102,0.8,156065,3.00 40,1,2024-09-07 08:50:50:589,413293,413293,0,0,192347592528,2020625194,406294,5556,1443,368,391591,0 40,2,2024-09-07 08:50:51:304,299049,299048,1,0,15574346,0,5137 40,3,2024-09-07 08:50:51:154,1,235,9,0,398,3002,235,0 41,0,2024-09-07 08:50:51:055,57047,2.3,58347,1.6,111300,3.5,153074,4.00 41,1,2024-09-07 08:50:50:778,413151,413151,0,0,193588334409,2027883479,407622,4895,634,370,391742,0 41,2,2024-09-07 08:50:50:768,294470,294470,0,0,14730416,0,3356 41,3,2024-09-07 08:50:51:681,1,235,3,0,366,2726,235,0 42,0,2024-09-07 08:50:51:489,58521,1.0,58836,1.1,117562,1.1,155015,2.75 42,1,2024-09-07 08:50:51:441,411921,411921,0,0,193375567590,2036772864,404764,5705,1452,380,391675,0 42,2,2024-09-07 08:50:51:138,295891,295891,0,0,14000402,0,3568 42,3,2024-09-07 08:50:51:014,1,235,2,0,446,2045,235,0 43,0,2024-09-07 08:50:50:922,59431,0.7,57911,0.9,120946,0.7,158832,2.25 43,1,2024-09-07 08:50:50:576,412510,412510,0,0,193313807728,2024200774,406251,4930,1329,366,391696,0 43,2,2024-09-07 08:50:51:738,297658,297658,0,0,13499522,0,3812 43,3,2024-09-07 08:50:51:749,1,235,1,0,467,3013,235,0 44,0,2024-09-07 08:50:50:880,59639,0.5,60016,0.7,119654,0.4,158890,1.75 44,1,2024-09-07 08:50:50:565,414547,414547,0,0,193110014703,1995926858,411993,2123,431,356,391809,0 44,2,2024-09-07 08:50:51:284,297721,297721,0,0,11422988,0,2231 44,3,2024-09-07 08:50:51:093,1,235,1,0,817,2900,235,0 45,0,2024-09-07 08:50:51:832,56554,0.8,55375,0.9,116124,0.9,153380,2.25 45,1,2024-09-07 08:50:51:006,414382,414382,0,0,194645549349,2018342162,412732,1638,12,382,391917,0 45,2,2024-09-07 08:50:51:286,296574,296574,0,0,12078606,0,3596 45,3,2024-09-07 08:50:50:947,1,235,8,0,271,2643,235,0 46,0,2024-09-07 08:50:50:954,59304,0.7,59229,0.8,118676,0.7,156803,2.25 46,1,2024-09-07 08:50:50:577,415306,415306,0,0,194164765690,2006265788,412960,2072,274,366,391539,0 46,2,2024-09-07 08:50:50:593,296861,296861,0,0,11683930,0,2920 46,3,2024-09-07 08:50:51:137,1,235,1,0,908,3742,235,0 47,0,2024-09-07 08:50:51:101,59925,0.4,59717,0.6,120169,0.4,159003,1.75 47,1,2024-09-07 08:50:50:567,415283,415283,0,0,193928420978,2003548715,412961,2188,134,366,391605,0 47,2,2024-09-07 08:50:50:913,299525,299525,0,0,11776643,0,2558 47,3,2024-09-07 08:50:51:126,1,235,0,0,529,2239,235,0 48,0,2024-09-07 08:50:51:503,59563,0.3,59179,0.4,117982,0.2,157833,1.50 48,1,2024-09-07 08:50:51:035,414774,414774,0,0,194087026013,2018256909,411675,2800,299,384,391710,0 48,2,2024-09-07 08:50:50:700,297432,297432,0,0,11341835,0,3031 48,3,2024-09-07 08:50:50:761,1,235,2,0,339,2078,235,0 49,0,2024-09-07 08:50:51:760,59729,0.4,58694,0.6,113620,0.4,155672,1.75 49,1,2024-09-07 08:50:51:036,412971,412971,0,0,194263515758,2027273537,409116,2674,1181,382,391610,0 49,2,2024-09-07 08:50:51:813,298379,298379,0,0,11857478,0,3900 49,3,2024-09-07 08:50:51:425,1,235,1,0,408,2729,235,0 50,0,2024-09-07 08:50:51:513,58808,0.3,58268,0.5,117259,0.2,157298,1.75 50,1,2024-09-07 08:50:51:014,415402,415402,0,0,194218011937,2011334855,412566,2526,310,368,391530,0 50,2,2024-09-07 08:50:51:074,297386,297386,0,0,11210913,0,2263 50,3,2024-09-07 08:50:51:295,1,235,2,0,335,2033,235,0 51,0,2024-09-07 08:50:51:698,60079,0.3,58854,0.5,114552,0.2,156595,1.75 51,1,2024-09-07 08:50:51:687,415051,415051,0,0,195208087026,2017146174,412256,1856,939,365,391706,0 51,2,2024-09-07 08:50:51:318,296813,296813,0,0,10606818,0,3337 51,3,2024-09-07 08:50:51:032,1,235,0,0,678,1993,235,0 52,0,2024-09-07 08:50:51:422,59778,0.5,59314,0.6,118494,0.4,157349,2.00 52,1,2024-09-07 08:50:50:579,413290,413290,0,0,192726635963,2025289528,406154,5882,1254,368,391722,0 52,2,2024-09-07 08:50:51:758,294891,294853,38,0,14503068,0,6742 52,3,2024-09-07 08:50:50:686,1,235,3,0,1782,4192,235,0 53,0,2024-09-07 08:50:51:751,57581,0.8,55981,0.8,117123,0.9,153579,2.50 53,1,2024-09-07 08:50:50:785,412095,412095,0,0,193176306792,2033126486,404772,4967,2356,367,391702,0 53,2,2024-09-07 08:50:51:300,299344,299344,0,0,12133917,0,2727 53,3,2024-09-07 08:50:50:699,1,235,14,0,271,2256,235,0 54,0,2024-09-07 08:50:51:619,57207,1.0,57601,0.9,114152,0.6,153349,3.00 54,1,2024-09-07 08:50:50:580,413413,413413,0,0,194405747018,2025279254,408677,4139,597,367,391659,0 54,2,2024-09-07 08:50:50:871,295953,295947,6,0,13335359,0,5382 54,3,2024-09-07 08:50:50:763,1,235,2,0,676,3648,235,0 55,0,2024-09-07 08:50:51:765,56388,0.7,58480,0.9,117518,0.6,153210,2.50 55,1,2024-09-07 08:50:50:764,412848,412848,0,0,193263714599,2015858103,407299,4762,787,365,391731,0 55,2,2024-09-07 08:50:50:734,295115,295115,0,0,13471804,0,3275 55,3,2024-09-07 08:50:50:686,1,235,1,0,304,2786,235,0 56,0,2024-09-07 08:50:51:558,60710,1.0,57271,1.1,117843,1.4,157581,2.50 56,1,2024-09-07 08:50:50:573,411216,411216,0,0,193220542250,2047069017,404082,5696,1438,381,391678,0 56,2,2024-09-07 08:50:51:306,297155,297155,0,0,14731140,0,3567 56,3,2024-09-07 08:50:51:064,1,235,1,0,705,3503,235,0 57,0,2024-09-07 08:50:50:943,57798,1.7,57947,1.3,115978,2.3,154886,3.25 57,1,2024-09-07 08:50:51:001,412932,412932,0,0,192858631968,2024808231,407611,4651,670,367,391960,0 57,2,2024-09-07 08:50:51:318,299442,299442,0,0,15714152,0,3317 57,3,2024-09-07 08:50:51:742,1,235,1,0,359,3348,235,0 58,0,2024-09-07 08:50:50:572,56284,1.0,54831,1.1,114719,1.3,151076,2.75 58,1,2024-09-07 08:50:50:577,413183,413180,0,3,194256033713,2033280317,407453,5017,710,367,391545,3 58,2,2024-09-07 08:50:51:082,296028,296028,0,0,14116185,0,2549 58,3,2024-09-07 08:50:51:073,1,235,1,0,1043,2803,235,0 59,0,2024-09-07 08:50:51:741,59126,0.9,59084,1.0,117790,1.0,156389,3.00 59,1,2024-09-07 08:50:50:805,413055,413055,0,0,193402295043,2027811890,407268,4522,1265,369,391525,0 59,2,2024-09-07 08:50:50:583,297393,297393,0,0,12828459,0,2604 59,3,2024-09-07 08:50:51:742,1,235,3,0,1015,3479,235,0 60,0,2024-09-07 08:50:51:743,59684,0.4,59430,0.6,119745,0.4,158530,1.75 60,1,2024-09-07 08:50:50:775,414793,414793,0,0,193728881572,2011038514,412357,1919,517,370,392031,0 60,2,2024-09-07 08:50:51:148,297715,297715,0,0,14038718,0,3811 60,3,2024-09-07 08:50:51:260,1,235,1,0,124,2359,235,0 61,0,2024-09-07 08:50:51:530,59867,0.7,60118,0.8,119742,0.7,159745,2.00 61,1,2024-09-07 08:50:50:782,413234,413234,0,0,193560872862,2028038730,408666,3865,703,382,391880,0 61,2,2024-09-07 08:50:51:152,298343,298343,0,0,12343734,0,2780 61,3,2024-09-07 08:50:51:687,1,235,9,0,397,3290,235,0 62,0,2024-09-07 08:50:51:716,58609,0.7,59724,0.8,113631,0.8,155472,2.00 62,1,2024-09-07 08:50:51:122,415890,415884,0,6,194310581070,2006207292,413428,2339,117,365,391715,6 62,2,2024-09-07 08:50:51:653,295628,295627,1,0,13679729,0,5555 62,3,2024-09-07 08:50:51:143,1,235,19,0,287,1703,235,0 63,0,2024-09-07 08:50:51:455,59673,0.5,59866,0.6,119851,0.5,158868,1.75 63,1,2024-09-07 08:50:50:809,415163,415157,0,6,193640188454,2007338337,413583,1524,50,381,391677,6 63,2,2024-09-07 08:50:50:762,297199,297199,0,0,11445817,0,2674 63,3,2024-09-07 08:50:51:734,1,235,0,0,667,2837,235,0 64,0,2024-09-07 08:50:51:514,58532,0.4,58569,0.6,117468,0.4,156351,1.75 64,1,2024-09-07 08:50:50:761,413893,413893,0,0,193654939190,2023162301,409411,3068,1414,370,391783,0 64,2,2024-09-07 08:50:51:143,299774,299755,19,0,12317528,0,6121 64,3,2024-09-07 08:50:51:143,1,235,2,0,265,2480,235,0 65,0,2024-09-07 08:50:51:683,58231,0.6,58593,0.7,116932,0.6,155763,2.00 65,1,2024-09-07 08:50:50:864,412826,412826,0,0,192569163643,2017344044,409278,3105,443,382,391901,0 65,2,2024-09-07 08:50:51:698,297154,297154,0,0,13012729,0,3367 65,3,2024-09-07 08:50:51:684,1,235,0,0,163,2254,235,0 66,0,2024-09-07 08:50:51:771,58144,0.5,57982,0.7,116699,0.5,155262,2.00 66,1,2024-09-07 08:50:51:312,414416,414416,0,0,193905495757,2016731691,411846,2370,200,380,391653,0 66,2,2024-09-07 08:50:51:144,300244,300244,0,0,12735418,0,4956 66,3,2024-09-07 08:50:51:083,1,235,1,0,291,2592,235,0 67,0,2024-09-07 08:50:51:415,57967,0.7,57563,0.8,115655,0.7,153902,2.25 67,1,2024-09-07 08:50:50:766,413458,413457,0,1,193895234475,2024846289,409620,3090,747,380,391787,1 67,2,2024-09-07 08:50:50:583,297869,297869,0,0,11743947,0,2889 67,3,2024-09-07 08:50:51:750,1,235,0,0,338,2302,235,0 68,0,2024-09-07 08:50:50:592,58512,0.6,58384,0.8,116265,0.6,155924,2.00 68,1,2024-09-07 08:50:50:571,412805,412805,0,0,192600207261,2021348675,408833,2833,1139,381,391953,0 68,2,2024-09-07 08:50:51:048,295433,295368,65,0,15370548,0,6698 68,3,2024-09-07 08:50:50:733,1,235,1,0,417,2759,235,0 69,0,2024-09-07 08:50:51:726,59123,0.7,59443,0.8,118206,0.8,157524,2.25 69,1,2024-09-07 08:50:51:029,411521,411521,0,0,192884840032,2038785273,405823,4297,1401,384,391994,0 69,2,2024-09-07 08:50:51:733,296245,296245,0,0,14922315,0,3722 69,3,2024-09-07 08:50:50:761,1,235,1,0,698,3582,235,0 70,0,2024-09-07 08:50:51:532,58221,1.0,58207,1.1,116849,0.7,155783,2.50 70,1,2024-09-07 08:50:50:809,413546,413546,0,0,194123938133,2017940341,409891,3127,528,366,391725,0 70,2,2024-09-07 08:50:51:324,299258,299258,0,0,13984235,0,4044 70,3,2024-09-07 08:50:50:750,1,235,12,0,854,2834,235,0 71,0,2024-09-07 08:50:51:377,57146,1.4,57045,1.2,114271,2.1,153726,3.00 71,1,2024-09-07 08:50:51:603,413177,413177,0,0,193888289783,2025066068,408057,4499,621,368,391738,0 71,2,2024-09-07 08:50:51:070,296174,296174,0,0,13903367,0,4042 71,3,2024-09-07 08:50:51:750,1,235,2,0,644,3574,235,0 72,0,2024-09-07 08:50:51:052,61251,0.9,59892,0.9,116729,1.1,158741,2.25 72,1,2024-09-07 08:50:51:029,413025,413025,0,0,192942286519,2017899144,407971,4123,931,369,391819,0 72,2,2024-09-07 08:50:51:758,294922,294922,0,0,15732749,0,3983 72,3,2024-09-07 08:50:51:756,1,235,1,0,564,4365,235,0 73,0,2024-09-07 08:50:51:113,58292,0.4,59618,0.6,122278,0.3,158543,2.00 73,1,2024-09-07 08:50:50:766,413611,413611,0,0,192981637841,2008450881,410527,2849,235,367,391750,0 73,2,2024-09-07 08:50:51:742,297728,297728,0,0,15136996,0,3701 73,3,2024-09-07 08:50:50:970,1,235,1,0,274,3603,235,0 74,0,2024-09-07 08:50:51:334,60218,0.5,61580,0.7,117378,0.4,158732,2.25 74,1,2024-09-07 08:50:50:637,413345,413345,0,0,192969062034,2018109827,408947,3599,799,381,391681,0 74,2,2024-09-07 08:50:51:013,298381,298381,0,0,14584850,0,4253 74,3,2024-09-07 08:50:51:442,1,235,1,0,522,3309,235,0 75,0,2024-09-07 08:50:51:764,57752,0.6,57341,0.9,115213,0.6,154329,2.50 75,1,2024-09-07 08:50:51:585,412737,412737,0,0,193349530540,2024606588,407832,4242,663,380,391660,0 75,2,2024-09-07 08:50:51:356,295533,295533,0,0,15044861,0,4766 75,3,2024-09-07 08:50:51:069,1,235,0,0,702,3502,235,0 76,0,2024-09-07 08:50:50:581,59359,0.8,58753,0.9,117669,0.8,157707,2.50 76,1,2024-09-07 08:50:50:814,413675,413675,0,0,192817421028,2013974939,410617,2510,548,382,391692,0 76,2,2024-09-07 08:50:51:067,297667,297667,0,0,12301799,0,3784 76,3,2024-09-07 08:50:51:142,1,235,1,0,175,2583,235,0 77,0,2024-09-07 08:50:51:714,59699,0.5,59953,0.7,119457,0.5,158729,1.75 77,1,2024-09-07 08:50:50:824,413928,413928,0,0,193730464152,2025170471,410486,3186,256,383,391808,0 77,2,2024-09-07 08:50:51:291,297231,297231,0,0,12925988,0,3890 77,3,2024-09-07 08:50:51:093,1,235,3,0,382,2796,235,0 78,0,2024-09-07 08:50:51:720,59384,0.4,58782,0.6,118586,0.4,157095,2.00 78,1,2024-09-07 08:50:50:614,414135,414135,0,0,193875724022,2014215982,411140,2677,318,367,391670,0 78,2,2024-09-07 08:50:51:405,297504,297504,0,0,11547846,0,3855 78,3,2024-09-07 08:50:51:138,1,235,1,0,181,2391,235,0 79,0,2024-09-07 08:50:51:351,56342,0.4,57798,0.7,118180,0.4,153318,2.50 79,1,2024-09-07 08:50:50:577,415286,415286,0,0,194139053917,2010757027,412445,2505,336,369,391682,0 79,2,2024-09-07 08:50:51:070,298241,298241,0,0,11707572,0,3212 79,3,2024-09-07 08:50:50:759,1,235,1,0,418,3668,235,0 80,0,2024-09-07 08:50:51:100,58685,0.6,60348,0.7,115491,0.6,157073,2.00 80,1,2024-09-07 08:50:51:623,413333,413333,0,0,194109892090,2022584246,410370,2795,168,368,391791,0 80,2,2024-09-07 08:50:51:094,298001,298001,0,0,12086226,0,4433 80,3,2024-09-07 08:50:50:589,1,235,2,0,190,3367,235,0 81,0,2024-09-07 08:50:51:552,58655,0.5,60005,0.7,114995,0.5,155618,1.75 81,1,2024-09-07 08:50:51:653,412738,412738,0,0,192367918669,2013623358,408900,3377,461,382,391879,0 81,2,2024-09-07 08:50:51:125,296667,296667,0,0,12434759,0,3993 81,3,2024-09-07 08:50:51:119,1,235,263,0,374,2987,235,0 82,0,2024-09-07 08:50:51:534,58723,0.4,59203,0.6,118596,0.4,157387,2.00 82,1,2024-09-07 08:50:50:583,414191,414187,0,4,193818574578,2021116109,410966,2610,611,381,391558,4 82,2,2024-09-07 08:50:51:698,297395,297395,0,0,11746351,0,3986 82,3,2024-09-07 08:50:51:752,1,235,1,0,363,2774,235,0 83,0,2024-09-07 08:50:51:521,58089,0.6,58195,0.7,115344,0.6,153959,2.00 83,1,2024-09-07 08:50:50:553,413249,413249,0,0,193278379138,2017964336,410081,2924,244,382,391690,0 83,2,2024-09-07 08:50:50:770,299322,299322,0,0,11851873,0,3119 83,3,2024-09-07 08:50:50:759,1,235,2,0,250,2638,235,0 84,0,2024-09-07 08:50:51:815,57648,0.8,57671,0.9,115135,0.7,154874,2.50 84,1,2024-09-07 08:50:51:041,412489,412489,0,0,193212449853,2023271801,407757,4157,575,368,391967,0 84,2,2024-09-07 08:50:50:572,296347,296347,0,0,13553388,0,3801 84,3,2024-09-07 08:50:51:149,1,235,2,0,908,3854,235,0 85,0,2024-09-07 08:50:51:006,56564,0.8,56458,0.9,119802,0.9,154751,2.50 85,1,2024-09-07 08:50:50:560,412108,412108,0,0,193170240980,2044086789,405279,5723,1106,382,392006,0 85,2,2024-09-07 08:50:50:871,297268,297268,0,0,14264328,0,3656 85,3,2024-09-07 08:50:50:692,1,235,0,0,789,3148,235,0 86,0,2024-09-07 08:50:50:903,59459,0.6,61040,0.7,116890,0.6,157521,2.00 86,1,2024-09-07 08:50:50:825,413810,413810,0,0,193942788523,2030425277,408833,4253,724,366,391961,0 86,2,2024-09-07 08:50:50:854,296324,296323,1,0,15112526,0,5004 86,3,2024-09-07 08:50:50:586,1,235,4,0,286,3182,235,0 87,0,2024-09-07 08:50:51:305,58611,1.0,58236,1.0,117180,1.4,156388,2.25 87,1,2024-09-07 08:50:50:553,413386,413386,0,0,193116046171,2022456643,408924,3980,482,366,391788,0 87,2,2024-09-07 08:50:51:068,297917,297917,0,0,13607948,0,4045 87,3,2024-09-07 08:50:51:796,1,235,4,0,473,3744,235,0 88,0,2024-09-07 08:50:51:481,57508,0.5,57899,0.7,115492,0.5,154198,2.00 88,1,2024-09-07 08:50:50:583,411407,411407,0,0,192640342044,2025021735,405241,4654,1512,365,392084,0 88,2,2024-09-07 08:50:50:694,295890,295890,0,0,15505449,0,3583 88,3,2024-09-07 08:50:51:280,1,235,15,0,435,3015,235,0 89,0,2024-09-07 08:50:51:780,61322,0.6,59203,0.7,117648,0.5,159618,2.00 89,1,2024-09-07 08:50:50:554,411206,411206,0,0,193052451511,2040496603,404305,5681,1220,382,391866,0 89,2,2024-09-07 08:50:51:138,295712,295712,0,0,14785932,0,2910 89,3,2024-09-07 08:50:51:799,1,235,8,0,325,4730,235,0 90,0,2024-09-07 08:50:51:632,57988,0.4,59217,0.6,121318,0.4,157670,1.75 90,1,2024-09-07 08:50:50:595,413395,413395,0,0,192837159659,2023401538,409287,3831,277,381,391825,0 90,2,2024-09-07 08:50:51:414,296586,296586,0,0,15910572,0,3060 90,3,2024-09-07 08:50:50:934,1,235,7,0,200,2559,235,0 91,0,2024-09-07 08:50:50:954,60142,0.4,58216,0.6,121679,0.4,159799,1.75 91,1,2024-09-07 08:50:50:557,412565,412565,0,0,193718582544,2039971373,406705,5168,692,381,392047,0 91,2,2024-09-07 08:50:51:352,297462,297462,0,0,14385288,0,2896 91,3,2024-09-07 08:50:50:600,1,235,1,0,216,2336,235,0 92,0,2024-09-07 08:50:51:461,58592,0.5,60051,0.7,114770,0.6,155751,1.75 92,1,2024-09-07 08:50:50:581,413141,413141,0,0,193754483906,2022146199,410589,2146,406,382,392136,0 92,2,2024-09-07 08:50:51:357,298238,298238,0,0,12069969,0,2801 92,3,2024-09-07 08:50:51:013,1,235,1,0,167,1996,235,0 93,0,2024-09-07 08:50:50:950,59992,0.4,61580,0.6,117598,0.4,159389,1.75 93,1,2024-09-07 08:50:50:815,413390,413390,0,0,192340379223,2010844015,408922,3682,786,366,391692,0 93,2,2024-09-07 08:50:50:937,297794,297794,0,0,12848803,0,2797 93,3,2024-09-07 08:50:51:405,1,235,3,0,190,2440,235,0 94,0,2024-09-07 08:50:51:617,58760,0.4,59463,0.5,118542,0.3,157142,1.75 94,1,2024-09-07 08:50:50:566,413028,413028,0,0,193343298727,2022165711,409746,3104,178,381,391850,0 94,2,2024-09-07 08:50:50:769,297009,297009,0,0,11937107,0,2443 94,3,2024-09-07 08:50:51:709,1,235,2,0,264,3217,235,0 95,0,2024-09-07 08:50:51:344,58942,0.3,58517,0.5,118301,0.3,156597,1.75 95,1,2024-09-07 08:50:50:857,413977,413977,0,0,193729726523,2016523316,410416,3185,376,367,391713,0 95,2,2024-09-07 08:50:51:029,296691,296691,0,0,12281938,0,3308 95,3,2024-09-07 08:50:51:714,1,235,1,0,718,4433,235,0 96,0,2024-09-07 08:50:51:060,58562,0.4,58831,0.6,117304,0.4,155705,1.75 96,1,2024-09-07 08:50:51:587,412909,412909,0,0,193872448560,2026768205,409341,2838,730,384,391894,0 96,2,2024-09-07 08:50:51:287,298364,298364,0,0,12906897,0,4042 96,3,2024-09-07 08:50:51:157,1,235,55,0,411,2799,235,0 97,0,2024-09-07 08:50:51:322,57775,0.4,57607,0.5,115793,0.3,153825,1.75 97,1,2024-09-07 08:50:50:764,414250,414250,0,0,194069772220,2015022134,411223,2472,555,367,392140,0 97,2,2024-09-07 08:50:50:609,296872,296872,0,0,12088206,0,3036 97,3,2024-09-07 08:50:50:570,1,235,3,0,214,2859,235,0 98,0,2024-09-07 08:50:51:717,58437,0.3,58528,0.5,117598,0.2,156115,1.50 98,1,2024-09-07 08:50:50:579,414083,414083,0,0,193945418181,2020617040,411947,2038,98,382,391997,0 98,2,2024-09-07 08:50:50:769,297054,297054,0,0,12169784,0,3080 98,3,2024-09-07 08:50:50:699,1,235,1,0,840,4524,235,0 99,0,2024-09-07 08:50:51:508,59499,0.3,59617,0.4,118968,0.3,158625,1.50 99,1,2024-09-07 08:50:51:736,413898,413898,0,0,192592397836,2010896251,410115,3044,739,381,391744,0 99,2,2024-09-07 08:50:51:420,299300,299300,0,0,12877628,0,3106 99,3,2024-09-07 08:50:50:587,1,235,1,0,168,1883,235,0 100,0,2024-09-07 08:50:51:466,58532,0.8,58440,1.0,116739,1.0,156272,2.25 100,1,2024-09-07 08:50:50:555,411155,411155,0,0,192062312376,2034694166,404324,5514,1317,381,391989,0 100,2,2024-09-07 08:50:51:885,297618,297607,11,0,14359163,0,5417 100,3,2024-09-07 08:50:51:743,1,235,4,0,559,4837,235,0 101,0,2024-09-07 08:50:51:760,58810,2.1,57279,1.3,112344,2.6,155203,2.75 101,1,2024-09-07 08:50:50:568,411654,411654,0,0,192304201682,2031225318,404311,5572,1771,368,391769,0 101,2,2024-09-07 08:50:51:759,295039,295039,0,0,15462209,0,4644 101,3,2024-09-07 08:50:50:948,1,235,10,0,448,3046,235,0 102,0,2024-09-07 08:50:50:955,57876,0.8,60095,0.8,120560,0.9,158031,2.25 102,1,2024-09-07 08:50:51:153,412363,412363,0,0,193390993717,2035072384,406718,4806,839,369,391883,0 102,2,2024-09-07 08:50:51:743,296376,296322,54,0,14188823,0,6768 102,3,2024-09-07 08:50:51:622,1,235,1,0,410,2521,235,0 103,0,2024-09-07 08:50:51:597,61533,0.5,61516,0.7,116060,0.4,159636,2.00 103,1,2024-09-07 08:50:51:639,411571,411571,0,0,192550365648,2032847184,405283,4907,1381,381,391829,0 103,2,2024-09-07 08:50:50:591,296167,296167,0,0,13370614,0,2582 103,3,2024-09-07 08:50:50:757,1,235,3,0,916,3500,235,0 104,0,2024-09-07 08:50:51:007,59264,0.8,59730,1.0,118074,0.8,158899,2.25 104,1,2024-09-07 08:50:51:605,412966,412966,0,0,192908291282,2032870922,406300,5571,1095,365,391948,0 104,2,2024-09-07 08:50:51:670,297060,297060,0,0,15137175,0,3941 104,3,2024-09-07 08:50:51:418,1,235,3,0,1245,6331,235,0 105,0,2024-09-07 08:50:51:035,56968,1.6,55245,1.4,115538,2.6,152846,3.75 105,1,2024-09-07 08:50:50:556,412807,412807,0,0,193276313952,2032563619,406512,5319,976,367,391797,0 105,2,2024-09-07 08:50:51:325,295908,295908,0,0,14569566,0,3509 105,3,2024-09-07 08:50:51:317,1,235,4,0,399,4208,235,0 106,0,2024-09-07 08:50:50:982,57490,1.1,58881,1.1,120620,1.3,157394,2.75 106,1,2024-09-07 08:50:51:760,412460,412460,0,0,192653602162,2029117746,405377,6325,758,369,391767,0 106,2,2024-09-07 08:50:50:760,294761,294761,0,0,14030459,0,2795 106,3,2024-09-07 08:50:50:683,1,235,6,0,470,3534,235,0 107,0,2024-09-07 08:50:51:101,59561,0.8,59496,0.9,118814,0.9,158401,2.00 107,1,2024-09-07 08:50:50:586,411763,411763,0,0,192898823118,2033250412,406447,4770,546,381,392234,0 107,2,2024-09-07 08:50:51:307,296941,296940,1,0,14698025,0,5024 107,3,2024-09-07 08:50:51:764,1,235,1,0,353,3352,235,0 108,0,2024-09-07 08:50:51:795,59271,0.4,59495,0.6,117869,0.4,157797,1.75 108,1,2024-09-07 08:50:51:306,413299,413299,0,0,193843918921,2021464952,410102,2813,384,368,391857,0 108,2,2024-09-07 08:50:51:784,296460,296460,0,0,13146760,0,2647 108,3,2024-09-07 08:50:51:336,1,235,3,0,749,4795,235,0 109,0,2024-09-07 08:50:51:770,58545,0.4,57924,0.6,116140,0.4,155593,1.75 109,1,2024-09-07 08:50:50:583,412138,412138,0,0,192822571898,2024500192,408356,3268,514,383,392132,0 109,2,2024-09-07 08:50:50:924,296414,296414,0,0,13644924,0,3617 109,3,2024-09-07 08:50:51:141,1,235,1,0,249,2910,235,0 110,0,2024-09-07 08:50:51:783,58888,0.4,57365,0.6,119878,0.4,157833,1.75 110,1,2024-09-07 08:50:51:658,413984,413984,0,0,194404149609,2020702024,410842,2265,877,370,392045,0 110,2,2024-09-07 08:50:51:304,297623,297623,0,0,12876745,0,3264 110,3,2024-09-07 08:50:50:692,1,235,0,0,406,3200,235,0 111,0,2024-09-07 08:50:51:450,59020,0.4,58589,0.5,116911,0.3,156968,1.75 111,1,2024-09-07 08:50:51:011,414507,414507,0,0,194337350572,2015881041,412518,1653,336,382,391690,0 111,2,2024-09-07 08:50:51:123,296685,296685,0,0,12410365,0,2763 111,3,2024-09-07 08:50:50:917,1,235,1,0,379,3337,235,0 112,0,2024-09-07 08:50:50:915,59381,0.3,59245,0.4,118646,0.2,157502,1.50 112,1,2024-09-07 08:50:50:835,414896,414896,0,0,193670197622,2010492791,412480,1988,428,380,391580,0 112,2,2024-09-07 08:50:51:138,296517,296516,1,0,12667770,0,5036 112,3,2024-09-07 08:50:50:592,1,235,4,0,282,2540,235,0 113,0,2024-09-07 08:50:50:910,57943,0.3,57830,0.5,116134,0.3,155157,1.75 113,1,2024-09-07 08:50:51:689,415160,415160,0,0,194908252441,2013541454,412665,2006,489,366,391661,0 113,2,2024-09-07 08:50:51:304,300192,300192,0,0,11535422,0,3813 113,3,2024-09-07 08:50:50:685,1,235,3,0,340,3574,235,0 114,0,2024-09-07 08:50:50:874,58512,0.4,58963,0.6,116821,0.3,156746,1.75 114,1,2024-09-07 08:50:50:717,413625,413625,0,0,193338415437,2017459875,408819,3217,1589,381,391556,0 114,2,2024-09-07 08:50:50:878,296244,296243,1,0,12563502,0,5069 114,3,2024-09-07 08:50:51:304,1,235,1,0,395,2648,235,0 115,0,2024-09-07 08:50:50:554,58604,0.3,58979,0.4,117883,0.2,156503,1.75 115,1,2024-09-07 08:50:50:571,413358,413358,0,0,193659721408,2017540610,409001,3523,834,382,391602,0 115,2,2024-09-07 08:50:51:129,297038,297038,0,0,10916900,0,2152 115,3,2024-09-07 08:50:51:001,1,235,2,0,159,1344,235,0 116,0,2024-09-07 08:50:51:758,59298,0.7,59083,0.9,118357,0.6,158078,2.00 116,1,2024-09-07 08:50:50:807,411108,411108,0,0,192419102495,2039981763,404718,4335,2055,380,391782,0 116,2,2024-09-07 08:50:51:761,296457,296457,0,0,15582894,0,3529 116,3,2024-09-07 08:50:50:925,1,235,9,0,415,3515,235,0 117,0,2024-09-07 08:50:50:950,58658,0.8,58510,0.9,117070,1.0,156563,2.00 117,1,2024-09-07 08:50:51:585,412981,412981,0,0,192204598832,2015055011,408286,4215,480,370,392033,0 117,2,2024-09-07 08:50:51:121,300617,300617,0,0,12917891,0,3700 117,3,2024-09-07 08:50:51:059,1,235,1,0,490,3926,235,0 118,0,2024-09-07 08:50:51:804,55940,0.7,57444,0.8,117200,0.7,153775,2.00 118,1,2024-09-07 08:50:50:586,412654,412654,0,0,192526729559,2027646239,406322,4900,1432,366,391736,0 118,2,2024-09-07 08:50:51:592,295395,295395,0,0,13803652,0,2781 118,3,2024-09-07 08:50:51:781,1,235,1,0,235,2782,235,0 119,0,2024-09-07 08:50:51:344,59225,1.0,59697,0.9,119457,1.3,158452,2.25 119,1,2024-09-07 08:50:50:553,413841,413841,0,0,193820766436,2027217653,409195,4124,522,368,391641,0 119,2,2024-09-07 08:50:51:275,296326,296326,0,0,13170316,0,4174 119,3,2024-09-07 08:50:51:328,1,235,3,0,443,3433,235,0 120,0,2024-09-07 08:50:51:597,59370,0.5,59103,0.8,118622,0.5,158154,2.00 120,1,2024-09-07 08:50:50:866,412813,412813,0,0,193202165183,2030061858,408140,4323,350,368,391961,0 120,2,2024-09-07 08:50:50:770,297156,297155,1,0,15820556,0,5281 120,3,2024-09-07 08:50:51:304,1,235,1,0,241,3183,235,0 121,0,2024-09-07 08:50:51:723,59793,1.0,59926,1.0,119841,1.3,159621,2.00 121,1,2024-09-07 08:50:51:656,413299,413299,0,0,193074695223,2023987174,408709,4176,414,367,391840,0 121,2,2024-09-07 08:50:51:125,296329,296329,0,0,15150584,0,4127 121,3,2024-09-07 08:50:50:733,1,235,4,0,269,2784,235,0 122,0,2024-09-07 08:50:51:776,57811,1.0,56323,1.0,118118,1.1,155710,2.25 122,1,2024-09-07 08:50:50:866,412144,412144,0,0,193118693488,2031116505,406084,5244,816,366,392130,0 122,2,2024-09-07 08:50:51:321,295897,295824,73,0,17520796,0,5989 122,3,2024-09-07 08:50:50:602,1,235,8,0,411,4863,235,0 123,0,2024-09-07 08:50:50:958,59459,1.0,58133,0.9,121200,1.2,158668,2.25 123,1,2024-09-07 08:50:50:558,412497,412497,0,0,193224112553,2043020349,403829,6952,1716,369,391823,0 123,2,2024-09-07 08:50:51:030,294770,294769,1,0,14318601,0,5215 123,3,2024-09-07 08:50:51:132,1,235,1,0,168,2904,235,0 124,0,2024-09-07 08:50:50:919,60892,0.3,60881,0.5,114942,0.3,157876,1.75 124,1,2024-09-07 08:50:51:029,414449,414449,0,0,193190583110,2009481921,411614,2473,362,367,392178,0 124,2,2024-09-07 08:50:51:014,297388,297388,0,0,11737488,0,3101 124,3,2024-09-07 08:50:50:760,1,235,1,0,490,2740,235,0 125,0,2024-09-07 08:50:51:430,58889,0.3,58759,0.5,118040,0.3,156748,1.75 125,1,2024-09-07 08:50:50:863,413214,413214,0,0,193766705014,2023467370,410128,2695,391,383,391702,0 125,2,2024-09-07 08:50:51:120,297404,297404,0,0,12784954,0,4534 125,3,2024-09-07 08:50:51:128,1,235,2,0,709,3484,235,0 126,0,2024-09-07 08:50:51:423,58653,0.6,60221,0.7,115132,0.6,156600,1.75 126,1,2024-09-07 08:50:50:556,414605,414605,0,0,193973614646,2012521887,411926,2417,262,365,391987,0 126,2,2024-09-07 08:50:50:616,299696,299696,0,0,13067400,0,3186 126,3,2024-09-07 08:50:50:910,1,235,3,0,183,3268,235,0 127,0,2024-09-07 08:50:51:626,57753,0.4,58062,0.5,115497,0.4,154002,1.75 127,1,2024-09-07 08:50:50:569,413756,413756,0,0,193512826274,2007497679,410814,2789,153,365,391816,0 127,2,2024-09-07 08:50:50:651,297068,297068,0,0,11708878,0,2264 127,3,2024-09-07 08:50:51:267,1,235,1,0,968,2924,235,0 128,0,2024-09-07 08:50:51:569,58870,0.3,58895,0.5,117386,0.2,156445,1.50 128,1,2024-09-07 08:50:51:610,413788,413788,0,0,193472491448,2008487475,411623,2008,157,367,391798,0 128,2,2024-09-07 08:50:51:386,296460,296460,0,0,11628475,0,2434 128,3,2024-09-07 08:50:50:770,1,235,1,0,1082,5298,235,0 129,0,2024-09-07 08:50:51:021,59922,0.3,59598,0.4,119083,0.2,158248,1.50 129,1,2024-09-07 08:50:50:570,411662,411662,0,0,192569836357,2021230096,407526,3208,928,379,391835,0 129,2,2024-09-07 08:50:50:689,297666,297666,0,0,12578969,0,4031 129,3,2024-09-07 08:50:50:695,1,235,39,0,469,3265,235,0 130,0,2024-09-07 08:50:51:717,58984,0.6,58806,0.6,117783,0.6,157207,1.75 130,1,2024-09-07 08:50:50:584,414243,414243,0,0,194661635021,2026561586,411821,2345,77,381,391825,0 130,2,2024-09-07 08:50:51:128,300497,300497,0,0,12318027,0,4067 130,3,2024-09-07 08:50:51:293,1,235,1,0,450,3321,235,0 131,0,2024-09-07 08:50:51:942,57722,0.4,58048,0.6,116915,0.4,155124,1.75 131,1,2024-09-07 08:50:51:827,414364,414364,0,0,194049591500,2024962519,411325,2582,457,381,391865,0 131,2,2024-09-07 08:50:50:568,297863,297863,0,0,11146290,0,2415 131,3,2024-09-07 08:50:51:706,1,235,2,0,392,2464,235,0 132,0,2024-09-07 08:50:51:428,59200,0.5,59790,0.7,119413,0.5,158523,2.00 132,1,2024-09-07 08:50:50:578,411632,411632,0,0,193066128241,2039658554,404632,5748,1252,381,392097,0 132,2,2024-09-07 08:50:50:703,296325,296325,0,0,14833078,0,4606 132,3,2024-09-07 08:50:51:698,1,235,1,0,356,3469,235,0 133,0,2024-09-07 08:50:51:544,58297,0.4,59683,0.6,122157,0.3,158493,1.75 133,1,2024-09-07 08:50:50:584,411733,411733,0,0,193637900646,2040435551,406262,4804,667,383,391914,0 133,2,2024-09-07 08:50:51:095,296610,296610,0,0,15886834,0,4315 133,3,2024-09-07 08:50:51:315,1,235,1,0,276,2415,235,0 134,0,2024-09-07 08:50:50:944,59705,0.5,59662,0.7,119764,0.4,158869,2.00 134,1,2024-09-07 08:50:50:590,412023,412023,0,0,192602069549,2026580824,405579,4974,1470,366,391718,0 134,2,2024-09-07 08:50:51:774,297842,297842,0,0,13465840,0,3847 134,3,2024-09-07 08:50:50:758,1,235,1,0,739,3656,235,0 135,0,2024-09-07 08:50:51:113,55752,1.0,55728,1.0,118157,1.2,152909,2.25 135,1,2024-09-07 08:50:51:596,411452,411452,0,0,193669592523,2040561787,405265,5191,996,380,391805,0 135,2,2024-09-07 08:50:50:689,296223,296223,0,0,14692055,0,3981 135,3,2024-09-07 08:50:51:006,1,235,1,0,299,1826,235,0 136,0,2024-09-07 08:50:51:621,59947,0.7,59894,0.8,119716,0.7,159123,2.25 136,1,2024-09-07 08:50:51:441,412013,412013,0,0,192616606056,2027551737,406496,4933,584,382,391685,0 136,2,2024-09-07 08:50:51:144,297130,297130,0,0,14240644,0,3506 136,3,2024-09-07 08:50:51:108,1,235,5,0,126,1845,235,0 137,0,2024-09-07 08:50:50:926,61343,0.6,59615,0.8,117774,0.6,159565,2.00 137,1,2024-09-07 08:50:50:576,412071,412071,0,0,193069784719,2030572945,405129,5525,1417,366,391708,0 137,2,2024-09-07 08:50:51:709,297073,297073,0,0,15765969,0,3185 137,3,2024-09-07 08:50:50:769,1,235,1,0,382,3044,235,0 138,0,2024-09-07 08:50:51:800,58711,0.8,58733,0.9,118059,1.0,157366,2.00 138,1,2024-09-07 08:50:51:687,412204,412204,0,0,193425791177,2035617581,405243,5830,1131,368,391954,0 138,2,2024-09-07 08:50:50:586,297057,297057,0,0,14564447,0,4988 138,3,2024-09-07 08:50:50:626,1,235,2,0,1160,3816,235,0 139,0,2024-09-07 08:50:51:367,57241,2.2,57424,1.4,115384,3.2,153978,2.75 139,1,2024-09-07 08:50:50:574,410665,410665,0,0,192276186700,2047067662,402184,6369,2112,381,391892,0 139,2,2024-09-07 08:50:50:692,295346,295346,0,0,15165524,0,3097 139,3,2024-09-07 08:50:51:672,1,235,15,0,244,2509,235,0 140,0,2024-09-07 08:50:51:590,59235,0.3,58750,0.5,118119,0.3,157955,1.75 140,1,2024-09-07 08:50:51:543,415159,415159,0,0,195239015491,2013839799,413182,1736,241,365,391606,0 140,2,2024-09-07 08:50:50:687,297721,297721,0,0,12002650,0,3388 140,3,2024-09-07 08:50:50:769,1,235,1,0,247,2130,235,0 141,0,2024-09-07 08:50:51:775,58801,0.3,60398,0.4,115521,0.2,157081,1.50 141,1,2024-09-07 08:50:50:866,414558,414558,0,0,194086583486,2015594567,411988,2162,408,379,391614,0 141,2,2024-09-07 08:50:51:792,297140,297140,0,0,11616701,0,2342 141,3,2024-09-07 08:50:51:043,1,235,2,0,202,2294,235,0 142,0,2024-09-07 08:50:51:344,59935,0.3,59351,0.4,117923,0.2,157762,1.50 142,1,2024-09-07 08:50:50:585,413765,413765,0,0,193331829946,2017866140,410977,2523,265,382,392102,0 142,2,2024-09-07 08:50:51:302,296416,296384,32,0,13385305,0,6028 142,3,2024-09-07 08:50:51:751,1,235,6,0,484,3075,235,0 143,0,2024-09-07 08:50:51:393,58134,0.5,58195,0.6,116869,0.5,154939,1.75 143,1,2024-09-07 08:50:50:558,414195,414195,0,0,193613235954,2009957888,411482,2618,95,367,391619,0 143,2,2024-09-07 08:50:50:769,299297,299297,0,0,12590002,0,2669 143,3,2024-09-07 08:50:51:147,1,235,1,0,303,3185,235,0 144,0,2024-09-07 08:50:51:519,56265,0.7,57876,1.0,117729,0.7,154906,2.25 144,1,2024-09-07 08:50:50:566,411856,411856,0,0,192949654983,2028185562,407858,3076,922,381,391649,0 144,2,2024-09-07 08:50:51:765,297220,297220,0,0,11937055,0,3473 144,3,2024-09-07 08:50:51:741,1,235,17,0,249,2874,235,0 145,0,2024-09-07 08:50:51:356,56491,0.6,56439,0.9,119733,0.6,154779,2.25 145,1,2024-09-07 08:50:50:553,411329,411329,0,0,192344488775,2026013852,405837,4670,822,382,391698,0 145,2,2024-09-07 08:50:51:429,295236,295236,0,0,13958940,0,3903 145,3,2024-09-07 08:50:50:894,1,235,2,0,622,3955,235,0 146,0,2024-09-07 08:50:51:603,59300,0.5,58853,0.7,118656,0.5,157367,2.25 146,1,2024-09-07 08:50:51:590,412357,412357,0,0,193465795231,2042768402,404112,6399,1846,368,391770,0 146,2,2024-09-07 08:50:51:700,296534,296534,0,0,14164984,0,2730 146,3,2024-09-07 08:50:51:277,1,235,0,0,1520,5874,235,0 147,0,2024-09-07 08:50:51:704,58540,0.6,58502,0.8,116164,0.6,156208,2.25 147,1,2024-09-07 08:50:51:377,414168,414168,0,0,193906081203,2020015600,410073,3510,585,368,391791,0 147,2,2024-09-07 08:50:51:040,300177,300177,0,0,12044941,0,2789 147,3,2024-09-07 08:50:50:922,1,235,8,0,730,3874,235,0 0,0,2024-09-07 08:51:01:745,57912,0.5,57921,0.7,122825,0.4,158721,1.75 0,1,2024-09-07 08:51:00:803,414973,414973,0,0,194068661955,2032317900,411764,3005,204,370,391772,0 0,2,2024-09-07 08:51:01:077,298851,298851,0,0,12761689,0,4480 0,3,2024-09-07 08:51:00:974,1,236,2,0,319,3325,236,0 1,0,2024-09-07 08:51:01:784,60228,0.9,59745,1.0,120268,1.1,160109,2.00 1,1,2024-09-07 08:51:00:586,414513,414513,0,0,193397440569,2029515208,410019,3441,1053,371,391857,0 1,2,2024-09-07 08:51:00:640,298346,298346,0,0,11751680,0,3267 1,3,2024-09-07 08:51:01:305,1,236,1,0,262,2886,236,0 2,0,2024-09-07 08:51:01:575,58486,0.8,58686,0.9,116591,1.0,156274,2.00 2,1,2024-09-07 08:51:00:858,415087,415087,0,0,194017397920,2017462642,412983,1886,218,380,391745,0 2,2,2024-09-07 08:51:01:275,297896,297896,0,0,12292711,0,3594 2,3,2024-09-07 08:51:00:691,1,236,1,0,357,2254,236,0 3,0,2024-09-07 08:51:01:769,59850,0.5,59935,0.7,119172,0.5,158658,2.00 3,1,2024-09-07 08:51:01:618,414609,414609,0,0,193899481375,2022802768,410770,3377,462,380,391523,0 3,2,2024-09-07 08:51:01:147,298149,298126,23,0,12566206,0,5851 3,3,2024-09-07 08:51:01:754,1,236,4,0,103,1500,236,0 4,0,2024-09-07 08:51:01:776,57494,0.4,59161,0.5,120367,0.3,157950,1.75 4,1,2024-09-07 08:51:00:591,414382,414382,0,0,193743117579,2037329756,409670,3924,788,371,391846,0 4,2,2024-09-07 08:51:01:027,297623,297623,0,0,14681410,0,4528 4,3,2024-09-07 08:51:01:028,1,236,1,0,448,3711,236,0 5,0,2024-09-07 08:51:01:450,58980,0.4,59365,0.5,117859,0.4,156999,1.75 5,1,2024-09-07 08:51:00:769,414317,414317,0,0,194019508375,2042743435,408834,4371,1112,368,392005,0 5,2,2024-09-07 08:51:01:839,296872,296872,0,0,13349113,0,2432 5,3,2024-09-07 08:51:01:744,1,236,0,0,457,3775,236,0 6,0,2024-09-07 08:51:00:919,59351,0.6,58709,0.8,117443,0.7,157609,2.00 6,1,2024-09-07 08:51:00:746,414766,414766,0,0,193823138559,2028366949,410098,3824,844,379,391651,0 6,2,2024-09-07 08:51:01:116,298867,298867,0,0,13365310,0,4816 6,3,2024-09-07 08:51:01:282,1,236,1,0,340,2879,236,0 7,0,2024-09-07 08:51:01:546,57652,0.5,57757,0.7,115287,0.5,153733,2.00 7,1,2024-09-07 08:51:00:850,414328,414328,0,0,194292714727,2041551166,408432,4893,1003,382,391686,0 7,2,2024-09-07 08:51:00:774,297466,297466,0,0,13127669,0,4791 7,3,2024-09-07 08:51:00:851,1,236,4,0,398,2752,236,0 8,0,2024-09-07 08:51:01:343,58911,0.4,58954,0.5,117325,0.3,156943,1.75 8,1,2024-09-07 08:51:01:034,412958,412958,0,0,193239162775,2042373647,404900,6050,2008,367,391956,0 8,2,2024-09-07 08:51:00:794,293932,293932,0,0,15786938,0,3220 8,3,2024-09-07 08:51:00:586,1,236,1,0,357,3614,236,0 9,0,2024-09-07 08:51:01:138,59755,0.3,58002,0.5,121097,0.3,158764,1.75 9,1,2024-09-07 08:51:00:557,413825,413825,0,0,193923730783,2047856721,407344,4941,1540,370,392001,0 9,2,2024-09-07 08:51:01:082,297443,297443,0,0,14001080,0,3360 9,3,2024-09-07 08:51:01:754,1,236,40,0,496,3576,236,0 10,0,2024-09-07 08:51:01:611,59137,0.3,58583,0.5,117935,0.2,157492,1.75 10,1,2024-09-07 08:51:00:584,414630,414630,0,0,194252765228,2039932531,408271,5431,928,381,391741,0 10,2,2024-09-07 08:51:00:761,300344,300344,0,0,15513286,0,4264 10,3,2024-09-07 08:51:00:871,1,236,1,0,177,2030,236,0 11,0,2024-09-07 08:51:01:025,57625,0.5,56058,0.7,117356,0.5,155969,2.00 11,1,2024-09-07 08:51:00:579,414421,414421,0,0,193687369919,2041965920,406233,6207,1981,383,391537,0 11,2,2024-09-07 08:51:01:124,297098,297098,0,0,14505902,0,4130 11,3,2024-09-07 08:51:01:298,1,236,1,0,843,3961,236,0 12,0,2024-09-07 08:51:00:968,59935,0.4,59728,0.5,119494,0.3,158642,1.75 12,1,2024-09-07 08:51:00:941,414785,414785,0,0,193195759076,2017043069,411275,3089,421,370,391870,0 12,2,2024-09-07 08:51:01:549,298005,298005,0,0,13602995,0,3469 12,3,2024-09-07 08:51:01:060,1,236,8,0,386,4101,236,0 13,0,2024-09-07 08:51:01:336,60212,0.3,60141,0.5,119863,0.3,159497,1.50 13,1,2024-09-07 08:51:01:523,414762,414762,0,0,194496403742,2042887536,411216,3005,541,382,391717,0 13,2,2024-09-07 08:51:00:595,299249,299249,0,0,12802778,0,3287 13,3,2024-09-07 08:51:01:765,1,236,1,0,467,3840,236,0 14,0,2024-09-07 08:51:00:580,59914,0.4,60383,0.6,119568,0.3,158741,1.75 14,1,2024-09-07 08:51:01:565,417117,417117,0,0,195155577389,2022117998,414287,2641,189,364,391571,0 14,2,2024-09-07 08:51:00:764,299512,299512,0,0,12805471,0,2896 14,3,2024-09-07 08:51:01:115,1,236,3,0,1168,3420,236,0 15,0,2024-09-07 08:51:01:557,57701,0.5,58013,0.8,115890,0.6,154487,2.00 15,1,2024-09-07 08:51:01:608,415469,415469,0,0,194414213228,2023028910,412950,2341,178,381,391619,0 15,2,2024-09-07 08:51:00:998,297600,297600,0,0,10830367,0,3043 15,3,2024-09-07 08:51:01:410,1,236,1,0,1126,5195,236,0 16,0,2024-09-07 08:51:00:964,59854,0.8,60080,0.9,119406,0.9,159316,2.25 16,1,2024-09-07 08:51:00:569,415523,415523,0,0,193953158982,2029407698,411971,3253,299,370,391756,0 16,2,2024-09-07 08:51:01:440,297273,297273,0,0,13436201,0,4719 16,3,2024-09-07 08:51:01:150,1,236,15,0,317,3364,236,0 17,0,2024-09-07 08:51:01:798,61684,0.5,60127,0.7,117832,0.4,160009,1.75 17,1,2024-09-07 08:51:00:572,414085,414085,0,0,193659418523,2036247297,409251,3731,1103,368,391688,0 17,2,2024-09-07 08:51:01:692,301811,301811,0,0,12621577,0,2857 17,3,2024-09-07 08:51:00:574,1,236,5,0,268,3906,236,0 18,0,2024-09-07 08:51:00:947,58826,0.7,59045,0.8,117977,0.7,157784,2.25 18,1,2024-09-07 08:51:01:668,415469,415469,0,0,195048564117,2023473829,412963,2265,241,367,391649,0 18,2,2024-09-07 08:51:01:756,299145,299145,0,0,12273452,0,3541 18,3,2024-09-07 08:51:00:896,1,236,1,0,163,2504,236,0 19,0,2024-09-07 08:51:01:543,57902,0.7,58547,0.9,115715,0.8,154267,2.25 19,1,2024-09-07 08:51:00:572,415347,415347,0,0,195419767865,2033338244,410714,3847,786,367,391777,0 19,2,2024-09-07 08:51:01:756,299614,299614,0,0,11291168,0,3988 19,3,2024-09-07 08:51:01:129,1,236,1,0,524,1853,236,0 20,0,2024-09-07 08:51:01:355,59098,0.7,59082,0.8,118494,0.8,158829,2.25 20,1,2024-09-07 08:51:00:573,414230,414230,0,0,193963244872,2031133127,410422,3412,396,369,391886,0 20,2,2024-09-07 08:51:00:930,298334,298334,0,0,12782618,0,3721 20,3,2024-09-07 08:51:00:589,1,236,1,0,414,4527,236,0 21,0,2024-09-07 08:51:01:137,59110,0.5,59344,0.7,118345,0.5,157101,2.00 21,1,2024-09-07 08:51:01:536,413514,413514,0,0,193416833114,2039603638,407796,4461,1257,368,391962,0 21,2,2024-09-07 08:51:01:075,297473,297473,0,0,14328253,0,3747 21,3,2024-09-07 08:51:01:407,1,236,6,0,103,2550,236,0 22,0,2024-09-07 08:51:01:730,59132,0.6,59171,0.8,117997,0.6,157012,2.25 22,1,2024-09-07 08:51:01:027,413969,413969,0,0,193401720748,2039915485,406782,5545,1642,382,391667,0 22,2,2024-09-07 08:51:00:759,297962,297962,0,0,12760079,0,3134 22,3,2024-09-07 08:51:01:066,1,236,1,0,228,2286,236,0 23,0,2024-09-07 08:51:01:368,58122,0.6,57889,0.8,116379,0.7,154965,2.25 23,1,2024-09-07 08:51:01:006,414618,414618,0,0,195057237208,2051528451,407309,4758,2551,365,391690,0 23,2,2024-09-07 08:51:01:097,300347,300347,0,0,12451504,0,3010 23,3,2024-09-07 08:51:01:761,1,236,1,0,645,3105,236,0 24,0,2024-09-07 08:51:00:816,58965,0.5,58852,0.6,118057,0.4,157177,1.75 24,1,2024-09-07 08:51:00:589,414333,414333,0,0,193558074329,2034546006,408726,4128,1479,368,391987,0 24,2,2024-09-07 08:51:01:069,296372,296372,0,0,14967423,0,3607 24,3,2024-09-07 08:51:01:696,1,236,6,0,468,3619,236,0 25,0,2024-09-07 08:51:01:357,60201,0.5,58809,0.7,115169,0.5,157232,2.00 25,1,2024-09-07 08:51:00:570,414771,414771,0,0,193983366305,2033258616,410488,3729,554,371,391788,0 25,2,2024-09-07 08:51:01:606,296374,296374,0,0,15329613,0,3978 25,3,2024-09-07 08:51:01:000,1,236,1,0,255,2650,236,0 26,0,2024-09-07 08:51:01:723,59209,0.4,57907,0.6,121380,0.3,157902,1.75 26,1,2024-09-07 08:51:01:547,414445,414445,0,0,193345721947,2032718013,407482,5747,1216,381,391748,0 26,2,2024-09-07 08:51:00:870,298096,298096,0,0,15081302,0,2809 26,3,2024-09-07 08:51:01:722,1,236,4,0,796,3218,236,0 27,0,2024-09-07 08:51:01:728,59207,0.4,59069,0.6,117048,0.4,156384,2.00 27,1,2024-09-07 08:51:01:680,416530,416530,0,0,195300053293,2034116830,413083,2855,592,381,391558,0 27,2,2024-09-07 08:51:00:867,298615,298550,65,0,15270568,0,5699 27,3,2024-09-07 08:51:01:017,1,236,0,0,564,2688,236,0 28,0,2024-09-07 08:51:01:432,58189,0.5,57933,0.7,116396,0.5,155857,2.00 28,1,2024-09-07 08:51:00:796,415937,415937,0,0,194644472672,2028404013,413101,2366,470,383,391646,0 28,2,2024-09-07 08:51:01:763,297587,297587,0,0,12007432,0,2915 28,3,2024-09-07 08:51:01:777,1,236,3,0,502,2544,236,0 29,0,2024-09-07 08:51:01:372,61270,0.4,59960,0.7,117066,0.4,159829,1.75 29,1,2024-09-07 08:51:01:565,416438,416438,0,0,195303286082,2024307589,413216,2657,565,369,391621,0 29,2,2024-09-07 08:51:00:861,297238,297238,0,0,11502521,0,4018 29,3,2024-09-07 08:51:00:967,1,236,1,0,115,1748,236,0 30,0,2024-09-07 08:51:01:462,59417,0.5,57841,0.7,120919,0.5,158127,2.00 30,1,2024-09-07 08:51:00:581,416540,416540,0,0,195053340307,2023005563,414212,2035,293,382,391672,0 30,2,2024-09-07 08:51:01:285,299329,299329,0,0,11409790,0,3161 30,3,2024-09-07 08:51:00:580,1,236,1,0,195,1873,236,0 31,0,2024-09-07 08:51:01:764,59686,0.4,60014,0.6,120352,0.4,159921,2.00 31,1,2024-09-07 08:51:00:564,417618,417618,0,0,195781196631,2007669967,416230,1169,219,356,391712,0 31,2,2024-09-07 08:51:01:275,298522,298522,0,0,12957593,0,3525 31,3,2024-09-07 08:51:01:711,1,236,1,0,220,1904,236,0 32,0,2024-09-07 08:51:01:419,58326,0.4,58846,0.6,117533,0.3,156730,1.75 32,1,2024-09-07 08:51:00:804,416603,416603,0,0,195027044426,2025107572,414649,1675,279,381,391614,0 32,2,2024-09-07 08:51:00:935,298096,298096,0,0,11212498,0,3155 32,3,2024-09-07 08:51:01:019,1,236,11,0,227,1992,236,0 33,0,2024-09-07 08:51:01:516,60295,0.3,59540,0.5,119946,0.2,159599,1.75 33,1,2024-09-07 08:51:00:575,416640,416640,0,0,195053917556,2017153586,414061,2405,174,369,391730,0 33,2,2024-09-07 08:51:00:760,298825,298792,33,0,13005643,0,7012 33,3,2024-09-07 08:51:00:894,1,236,1,0,329,2876,236,0 34,0,2024-09-07 08:51:00:930,59323,0.3,60974,0.5,117177,0.2,157239,1.75 34,1,2024-09-07 08:51:01:057,417258,417258,0,0,195682768214,2014057824,416168,1083,7,367,391562,0 34,2,2024-09-07 08:51:00:766,298688,298688,0,0,12277666,0,3577 34,3,2024-09-07 08:51:01:695,1,236,1,0,299,1931,236,0 35,0,2024-09-07 08:51:00:857,58522,0.3,58892,0.5,118285,0.3,157374,1.75 35,1,2024-09-07 08:51:01:067,415879,415879,0,0,194921297053,2023893534,412536,2563,780,384,391589,0 35,2,2024-09-07 08:51:01:583,298804,298804,0,0,11724023,0,2653 35,3,2024-09-07 08:51:00:906,1,236,1,0,418,2944,236,0 36,0,2024-09-07 08:51:01:525,59006,0.7,58903,0.8,118006,0.7,157248,2.25 36,1,2024-09-07 08:51:00:584,415230,415230,0,0,194569128051,2037949452,409267,4385,1578,366,391759,0 36,2,2024-09-07 08:51:01:752,298458,298458,0,0,13671766,0,3875 36,3,2024-09-07 08:51:00:882,1,236,1,0,416,3922,236,0 37,0,2024-09-07 08:51:01:370,57536,0.6,57477,0.7,115185,0.6,154590,2.00 37,1,2024-09-07 08:51:00:579,414405,414398,0,7,193642762565,2032863077,408391,3940,2067,365,391560,0 37,2,2024-09-07 08:51:01:156,297391,297376,15,0,13824489,0,5815 37,3,2024-09-07 08:51:01:767,1,236,1,0,888,4307,236,0 38,0,2024-09-07 08:51:01:438,58290,0.5,56622,0.7,118496,0.4,155189,2.00 38,1,2024-09-07 08:51:01:607,415735,415735,0,0,194303722689,2031529690,410465,4595,675,368,391821,0 38,2,2024-09-07 08:51:00:760,297455,297408,47,0,14314103,0,6710 38,3,2024-09-07 08:51:00:997,1,236,1,0,689,3550,236,0 39,0,2024-09-07 08:51:01:763,60883,0.6,59772,0.7,116080,0.6,158857,2.00 39,1,2024-09-07 08:51:00:715,415509,415509,0,0,193946678026,2027112899,409983,4625,901,365,391594,0 39,2,2024-09-07 08:51:01:421,297428,297428,0,0,12427343,0,2689 39,3,2024-09-07 08:51:00:713,1,236,7,0,324,3394,236,0 40,0,2024-09-07 08:51:01:507,58346,0.8,58873,1.0,117722,0.8,156970,2.75 40,1,2024-09-07 08:51:00:585,415097,415097,0,0,193424461572,2031515815,408098,5556,1443,368,391591,0 40,2,2024-09-07 08:51:01:311,300095,300094,1,0,15580847,0,5137 40,3,2024-09-07 08:51:01:142,1,236,1,0,398,3003,236,0 41,0,2024-09-07 08:51:01:105,57474,2.2,58777,1.5,112157,3.5,154226,4.00 41,1,2024-09-07 08:51:00:770,414964,414964,0,0,194480277532,2036919523,409435,4895,634,370,391742,0 41,2,2024-09-07 08:51:00:760,296012,296012,0,0,14746716,0,3356 41,3,2024-09-07 08:51:01:681,1,236,18,0,366,2744,236,0 42,0,2024-09-07 08:51:01:490,58635,1.0,58945,1.1,117823,1.1,155334,2.75 42,1,2024-09-07 08:51:01:447,413688,413688,0,0,194302436662,2046166379,406531,5705,1452,380,391675,0 42,2,2024-09-07 08:51:01:141,297289,297289,0,0,14011228,0,3568 42,3,2024-09-07 08:51:01:008,1,236,1,0,446,2046,236,0 43,0,2024-09-07 08:51:00:918,59559,0.7,58026,0.9,121202,0.7,159178,2.25 43,1,2024-09-07 08:51:00:579,414319,414319,0,0,194270307364,2033865585,408060,4930,1329,366,391696,0 43,2,2024-09-07 08:51:01:735,298858,298858,0,0,13508949,0,3812 43,3,2024-09-07 08:51:01:754,1,236,4,0,467,3017,236,0 44,0,2024-09-07 08:51:00:860,59642,0.5,60021,0.7,119662,0.4,158890,1.75 44,1,2024-09-07 08:51:00:618,416366,416366,0,0,193648333770,2001384616,413812,2123,431,356,391809,0 44,2,2024-09-07 08:51:01:275,299045,299045,0,0,11436908,0,2231 44,3,2024-09-07 08:51:01:092,1,236,1,0,817,2901,236,0 45,0,2024-09-07 08:51:01:776,56908,0.8,55715,0.9,116865,0.8,154367,2.00 45,1,2024-09-07 08:51:01:005,416144,416144,0,0,195532819073,2027387061,414494,1638,12,382,391917,0 45,2,2024-09-07 08:51:01:269,297826,297826,0,0,12095134,0,3596 45,3,2024-09-07 08:51:00:940,1,236,1,0,271,2644,236,0 46,0,2024-09-07 08:51:00:950,59398,0.7,59319,0.8,118857,0.7,157061,2.25 46,1,2024-09-07 08:51:00:579,417064,417064,0,0,194892533811,2013640249,414718,2072,274,366,391539,0 46,2,2024-09-07 08:51:00:596,298125,298125,0,0,11697668,0,2920 46,3,2024-09-07 08:51:01:139,1,236,1,0,908,3743,236,0 47,0,2024-09-07 08:51:01:104,60141,0.4,59932,0.6,120611,0.4,159589,1.75 47,1,2024-09-07 08:51:00:572,417120,417120,0,0,194808385352,2012461082,414798,2188,134,366,391605,0 47,2,2024-09-07 08:51:00:907,300804,300804,0,0,11790178,0,2558 47,3,2024-09-07 08:51:01:115,1,236,1,0,529,2240,236,0 48,0,2024-09-07 08:51:01:490,59877,0.3,59519,0.4,118600,0.2,158677,1.50 48,1,2024-09-07 08:51:01:022,416504,416504,0,0,194972095383,2027346641,413405,2800,299,384,391710,0 48,2,2024-09-07 08:51:00:698,298719,298719,0,0,11379429,0,3031 48,3,2024-09-07 08:51:00:755,1,236,1,0,339,2079,236,0 49,0,2024-09-07 08:51:01:739,60008,0.4,58950,0.6,114119,0.4,156421,1.75 49,1,2024-09-07 08:51:01:021,414756,414756,0,0,195086957446,2035738136,410901,2674,1181,382,391610,0 49,2,2024-09-07 08:51:01:798,299294,299294,0,0,11887213,0,3900 49,3,2024-09-07 08:51:01:424,1,236,1,0,408,2730,236,0 50,0,2024-09-07 08:51:01:512,59377,0.3,58801,0.5,118319,0.2,158736,1.75 50,1,2024-09-07 08:51:01:014,417197,417197,0,0,195256220983,2021883274,414361,2526,310,368,391530,0 50,2,2024-09-07 08:51:01:066,298817,298817,0,0,11240172,0,2263 50,3,2024-09-07 08:51:01:293,1,236,1,0,335,2034,236,0 51,0,2024-09-07 08:51:01:687,60433,0.3,59150,0.5,115225,0.2,157412,1.75 51,1,2024-09-07 08:51:01:687,416835,416835,0,0,196470677784,2029965615,414040,1856,939,365,391706,0 51,2,2024-09-07 08:51:01:323,298340,298340,0,0,10634620,0,3337 51,3,2024-09-07 08:51:01:035,1,236,1,0,678,1994,236,0 52,0,2024-09-07 08:51:01:417,59936,0.5,59465,0.6,118795,0.4,157741,2.00 52,1,2024-09-07 08:51:00:594,415098,415098,0,0,193438454112,2032551467,407962,5882,1254,368,391722,0 52,2,2024-09-07 08:51:01:755,296381,296343,38,0,14528229,0,6742 52,3,2024-09-07 08:51:00:678,1,236,91,0,1782,4283,236,0 53,0,2024-09-07 08:51:01:734,57810,0.8,56211,0.8,117625,0.8,154249,2.50 53,1,2024-09-07 08:51:00:777,413925,413925,0,0,193937518903,2040912737,406601,4968,2356,367,391702,0 53,2,2024-09-07 08:51:01:299,300081,300081,0,0,12145443,0,2727 53,3,2024-09-07 08:51:00:697,1,236,10,0,271,2266,236,0 54,0,2024-09-07 08:51:01:615,57582,0.9,57976,0.9,114896,0.6,154365,2.75 54,1,2024-09-07 08:51:00:579,415118,415118,0,0,195114260617,2032523661,410382,4139,597,367,391659,0 54,2,2024-09-07 08:51:00:866,297471,297465,6,0,13353036,0,5382 54,3,2024-09-07 08:51:00:763,1,236,3,0,676,3651,236,0 55,0,2024-09-07 08:51:01:767,56545,0.7,58645,0.9,117877,0.6,153661,2.50 55,1,2024-09-07 08:51:00:764,414699,414699,0,0,193873426701,2022082205,409150,4762,787,365,391731,0 55,2,2024-09-07 08:51:00:731,296691,296691,0,0,13495255,0,3275 55,3,2024-09-07 08:51:00:674,1,236,1,0,304,2787,236,0 56,0,2024-09-07 08:51:01:571,60819,1.0,57367,1.1,118030,1.4,157825,2.50 56,1,2024-09-07 08:51:00:584,413027,413027,0,0,194043341612,2055453866,405893,5696,1438,381,391678,0 56,2,2024-09-07 08:51:01:305,298209,298209,0,0,14739887,0,3567 56,3,2024-09-07 08:51:01:065,1,236,22,0,705,3525,236,0 57,0,2024-09-07 08:51:00:940,57926,1.7,58071,1.3,116226,2.3,155202,3.25 57,1,2024-09-07 08:51:00:997,414718,414718,0,0,193505264508,2031393699,409397,4651,670,367,391960,0 57,2,2024-09-07 08:51:01:322,300580,300580,0,0,15722705,0,3317 57,3,2024-09-07 08:51:01:739,1,236,1,0,359,3349,236,0 58,0,2024-09-07 08:51:00:587,56836,1.0,55302,1.1,115755,1.3,152415,2.75 58,1,2024-09-07 08:51:00:583,414974,414971,0,3,194886119213,2039697524,409244,5017,710,367,391545,3 58,2,2024-09-07 08:51:01:071,297534,297534,0,0,14132571,0,2549 58,3,2024-09-07 08:51:01:068,1,236,1,0,1043,2804,236,0 59,0,2024-09-07 08:51:01:755,59238,0.9,59189,1.0,118007,1.0,156675,3.00 59,1,2024-09-07 08:51:00:804,414839,414839,0,0,194229664837,2036209261,409052,4522,1265,369,391525,0 59,2,2024-09-07 08:51:00:583,298762,298762,0,0,12839714,0,2604 59,3,2024-09-07 08:51:01:744,1,236,1,0,1015,3480,236,0 60,0,2024-09-07 08:51:01:746,59785,0.4,59514,0.6,119983,0.4,158775,1.75 60,1,2024-09-07 08:51:00:796,416641,416641,0,0,194664559476,2020541505,414204,1920,517,370,392031,0 60,2,2024-09-07 08:51:01:145,298808,298808,0,0,14052774,0,3811 60,3,2024-09-07 08:51:01:264,1,236,9,0,124,2368,236,0 61,0,2024-09-07 08:51:01:532,60049,0.7,60315,0.8,120118,0.7,160241,2.00 61,1,2024-09-07 08:51:00:776,415066,415066,0,0,194296296453,2035613724,410497,3866,703,382,391880,0 61,2,2024-09-07 08:51:01:145,299696,299696,0,0,12357757,0,2780 61,3,2024-09-07 08:51:01:691,1,236,1,0,397,3291,236,0 62,0,2024-09-07 08:51:01:717,59070,0.7,60146,0.8,114482,0.8,156581,2.00 62,1,2024-09-07 08:51:01:138,417716,417710,0,6,195329161440,2016515193,415252,2341,117,365,391715,6 62,2,2024-09-07 08:51:01:644,296896,296895,1,0,13694921,0,5555 62,3,2024-09-07 08:51:01:143,1,236,12,0,287,1715,236,0 63,0,2024-09-07 08:51:01:456,59773,0.5,59992,0.6,120099,0.5,159167,1.75 63,1,2024-09-07 08:51:00:810,416938,416932,0,6,194409170063,2015189091,415358,1524,50,381,391677,6 63,2,2024-09-07 08:51:00:772,298398,298398,0,0,11460511,0,2674 63,3,2024-09-07 08:51:01:734,1,236,1,0,667,2838,236,0 64,0,2024-09-07 08:51:01:515,58824,0.4,58864,0.6,118047,0.4,157121,1.75 64,1,2024-09-07 08:51:00:766,415627,415627,0,0,194504237705,2031895914,411145,3068,1414,370,391783,0 64,2,2024-09-07 08:51:01:141,301155,301136,19,0,12364335,0,6121 64,3,2024-09-07 08:51:01:141,1,236,2,0,265,2482,236,0 65,0,2024-09-07 08:51:01:684,58427,0.6,58826,0.7,117344,0.6,156385,2.00 65,1,2024-09-07 08:51:00:858,414658,414658,0,0,193628885177,2028245855,411110,3105,443,382,391901,0 65,2,2024-09-07 08:51:01:700,298593,298593,0,0,13048822,0,3367 65,3,2024-09-07 08:51:01:683,1,236,4,0,163,2258,236,0 66,0,2024-09-07 08:51:01:764,58594,0.5,58417,0.7,117623,0.5,156456,2.00 66,1,2024-09-07 08:51:01:294,416289,416289,0,0,194881837146,2026840109,413719,2370,200,380,391653,0 66,2,2024-09-07 08:51:01:134,300977,300977,0,0,12749790,0,4956 66,3,2024-09-07 08:51:01:078,1,236,1,0,291,2593,236,0 67,0,2024-09-07 08:51:01:455,58230,0.7,57845,0.8,116173,0.7,154590,2.25 67,1,2024-09-07 08:51:00:767,415221,415220,0,1,194569965257,2031988365,411383,3090,747,380,391787,1 67,2,2024-09-07 08:51:00:583,299321,299321,0,0,11820593,0,2889 67,3,2024-09-07 08:51:01:754,1,236,0,0,338,2302,236,0 68,0,2024-09-07 08:51:00:583,58877,0.6,58700,0.8,116973,0.6,156825,2.00 68,1,2024-09-07 08:51:00:570,414650,414650,0,0,193366171352,2029183122,410678,2833,1139,381,391953,0 68,2,2024-09-07 08:51:01:045,296952,296887,65,0,15394421,0,6698 68,3,2024-09-07 08:51:00:729,1,236,0,0,417,2759,236,0 69,0,2024-09-07 08:51:01:736,59280,0.7,59619,0.8,118586,0.8,157999,2.25 69,1,2024-09-07 08:51:01:028,413283,413283,0,0,193722970116,2047385179,407585,4297,1401,384,391994,0 69,2,2024-09-07 08:51:01:733,297491,297491,0,0,14946475,0,3722 69,3,2024-09-07 08:51:00:763,1,236,4,0,698,3586,236,0 70,0,2024-09-07 08:51:01:534,58544,1.0,58560,1.1,117531,0.7,156705,2.50 70,1,2024-09-07 08:51:00:800,415373,415373,0,0,195100387288,2027839026,411718,3127,528,366,391725,0 70,2,2024-09-07 08:51:01:332,300184,300184,0,0,13999351,0,4044 70,3,2024-09-07 08:51:00:745,1,236,5,0,854,2839,236,0 71,0,2024-09-07 08:51:01:359,57603,1.4,57454,1.2,115176,2.1,154877,3.00 71,1,2024-09-07 08:51:01:617,414899,414899,0,0,194743969805,2033751758,409778,4500,621,368,391738,0 71,2,2024-09-07 08:51:01:066,297616,297616,0,0,13921601,0,4042 71,3,2024-09-07 08:51:01:754,1,236,6,0,644,3580,236,0 72,0,2024-09-07 08:51:01:029,61370,0.9,60018,0.9,116956,1.1,159081,2.25 72,1,2024-09-07 08:51:01:025,414741,414741,0,0,193878890829,2027368574,409687,4123,931,369,391819,0 72,2,2024-09-07 08:51:01:756,296405,296405,0,0,15745746,0,3983 72,3,2024-09-07 08:51:01:760,1,236,1,0,564,4366,236,0 73,0,2024-09-07 08:51:01:133,58409,0.4,59760,0.6,122537,0.3,158870,2.00 73,1,2024-09-07 08:51:00:773,415435,415435,0,0,193925521888,2018005994,412351,2849,235,367,391750,0 73,2,2024-09-07 08:51:01:739,298917,298917,0,0,15149732,0,3701 73,3,2024-09-07 08:51:00:973,1,236,1,0,274,3604,236,0 74,0,2024-09-07 08:51:01:339,60223,0.5,61587,0.7,117393,0.4,158749,2.25 74,1,2024-09-07 08:51:00:635,415181,415181,0,0,193872492094,2027303929,410783,3599,799,381,391681,0 74,2,2024-09-07 08:51:01:003,299738,299738,0,0,14594220,0,4253 74,3,2024-09-07 08:51:01:449,1,236,0,0,522,3309,236,0 75,0,2024-09-07 08:51:01:846,58085,0.6,57687,0.9,115909,0.6,155298,2.50 75,1,2024-09-07 08:51:01:606,414562,414562,0,0,194196827193,2033222427,409656,4243,663,380,391660,0 75,2,2024-09-07 08:51:01:355,296879,296879,0,0,15054387,0,4766 75,3,2024-09-07 08:51:01:068,1,236,0,0,702,3502,236,0 76,0,2024-09-07 08:51:00:590,59458,0.8,58844,0.9,117862,0.8,157959,2.50 76,1,2024-09-07 08:51:00:804,415435,415435,0,0,193720818550,2023181626,412377,2510,548,382,391692,0 76,2,2024-09-07 08:51:01:071,298886,298886,0,0,12320558,0,3784 76,3,2024-09-07 08:51:01:147,1,236,0,0,175,2583,236,0 77,0,2024-09-07 08:51:01:699,59882,0.5,60188,0.7,119887,0.5,159309,1.75 77,1,2024-09-07 08:51:00:834,415826,415826,0,0,194629028794,2034360089,412383,3187,256,383,391808,0 77,2,2024-09-07 08:51:01:282,298548,298548,0,0,12943620,0,3890 77,3,2024-09-07 08:51:01:093,1,236,1,0,382,2797,236,0 78,0,2024-09-07 08:51:01:724,59724,0.4,59091,0.6,119237,0.4,157991,2.00 78,1,2024-09-07 08:51:00:615,415927,415927,0,0,194895943204,2024535041,412932,2677,318,367,391670,0 78,2,2024-09-07 08:51:01:405,298786,298786,0,0,11561624,0,3855 78,3,2024-09-07 08:51:01:133,1,236,1,0,181,2392,236,0 79,0,2024-09-07 08:51:01:346,56621,0.4,58048,0.7,118695,0.4,154029,2.50 79,1,2024-09-07 08:51:00:613,417002,417002,0,0,194875346939,2018247746,414161,2505,336,369,391682,0 79,2,2024-09-07 08:51:01:069,299283,299283,0,0,11719196,0,3212 79,3,2024-09-07 08:51:00:755,1,236,1,0,418,3669,236,0 80,0,2024-09-07 08:51:01:083,59254,0.6,60911,0.7,116634,0.6,158473,2.00 80,1,2024-09-07 08:51:01:641,415113,415113,0,0,194764690836,2029389355,412150,2795,168,368,391791,0 80,2,2024-09-07 08:51:01:090,299367,299367,0,0,12127723,0,4433 80,3,2024-09-07 08:51:00:584,1,236,8,0,190,3375,236,0 81,0,2024-09-07 08:51:01:587,58958,0.5,60334,0.7,115615,0.5,156493,1.75 81,1,2024-09-07 08:51:01:658,414521,414521,0,0,193227911134,2022580537,410683,3377,461,382,391879,0 81,2,2024-09-07 08:51:01:127,298062,298062,0,0,12463087,0,3993 81,3,2024-09-07 08:51:01:116,1,236,0,0,374,2987,236,0 82,0,2024-09-07 08:51:01:543,58874,0.4,59352,0.6,118911,0.4,157803,2.00 82,1,2024-09-07 08:51:00:584,415935,415931,0,4,194821666121,2031397946,412710,2610,611,381,391558,4 82,2,2024-09-07 08:51:01:701,298823,298823,0,0,11778216,0,3986 82,3,2024-09-07 08:51:01:761,1,236,2,0,363,2776,236,0 83,0,2024-09-07 08:51:01:545,58317,0.6,58441,0.7,115833,0.6,154607,2.00 83,1,2024-09-07 08:51:00:555,415029,415029,0,0,194122364141,2026829574,411861,2924,244,382,391690,0 83,2,2024-09-07 08:51:00:765,299980,299980,0,0,11874665,0,3119 83,3,2024-09-07 08:51:00:748,1,236,4,0,250,2642,236,0 84,0,2024-09-07 08:51:01:778,58034,0.8,58053,0.9,115897,0.7,155836,2.50 84,1,2024-09-07 08:51:01:039,414329,414329,0,0,194085696853,2032167650,409596,4157,576,368,391967,0 84,2,2024-09-07 08:51:00:579,297872,297872,0,0,13570780,0,3801 84,3,2024-09-07 08:51:01:145,1,236,4,0,908,3858,236,0 85,0,2024-09-07 08:51:01:006,56715,0.8,56619,0.9,120139,0.9,155192,2.50 85,1,2024-09-07 08:51:00:561,413930,413930,0,0,194091382264,2053491432,407101,5723,1106,382,392006,0 85,2,2024-09-07 08:51:00:866,298733,298733,0,0,14316530,0,3656 85,3,2024-09-07 08:51:00:684,1,236,1,0,789,3149,236,0 86,0,2024-09-07 08:51:00:935,59532,0.6,61121,0.7,117065,0.6,157747,2.00 86,1,2024-09-07 08:51:00:831,415558,415558,0,0,194784161191,2039059414,410579,4255,724,366,391961,0 86,2,2024-09-07 08:51:00:857,297352,297351,1,0,15123696,0,5004 86,3,2024-09-07 08:51:00:591,1,236,6,0,286,3188,236,0 87,0,2024-09-07 08:51:01:374,58723,1.0,58377,1.0,117439,1.4,156736,2.25 87,1,2024-09-07 08:51:00:553,415214,415214,0,0,193868112714,2030115003,410750,3981,483,366,391788,0 87,2,2024-09-07 08:51:01:075,298990,298990,0,0,13628185,0,4045 87,3,2024-09-07 08:51:01:799,1,236,0,0,473,3744,236,0 88,0,2024-09-07 08:51:01:468,58023,0.5,58415,0.7,116451,0.5,155512,2.00 88,1,2024-09-07 08:51:00:585,413269,413269,0,0,193593500691,2034681962,407103,4654,1512,365,392084,0 88,2,2024-09-07 08:51:00:693,297394,297394,0,0,15517641,0,3583 88,3,2024-09-07 08:51:01:269,1,236,9,0,435,3024,236,0 89,0,2024-09-07 08:51:01:774,61426,0.6,59302,0.7,117861,0.5,159900,2.00 89,1,2024-09-07 08:51:00:557,412930,412930,0,0,193738397991,2047502455,406028,5682,1220,382,391866,0 89,2,2024-09-07 08:51:01:141,297018,297018,0,0,14796235,0,2910 89,3,2024-09-07 08:51:01:795,1,236,15,0,325,4745,236,0 90,0,2024-09-07 08:51:01:622,58087,0.4,59312,0.6,121513,0.4,157929,1.75 90,1,2024-09-07 08:51:00:590,415201,415201,0,0,193884425599,2034022124,411093,3831,277,381,391825,0 90,2,2024-09-07 08:51:01:419,297680,297680,0,0,15920081,0,3060 90,3,2024-09-07 08:51:00:931,1,236,1,0,200,2560,236,0 91,0,2024-09-07 08:51:00:944,60334,0.4,58397,0.6,122069,0.4,160335,1.75 91,1,2024-09-07 08:51:00:564,414384,414384,0,0,194523149981,2048173735,408524,5168,692,381,392047,0 91,2,2024-09-07 08:51:01:349,298834,298834,0,0,14398072,0,2896 91,3,2024-09-07 08:51:00:597,1,236,3,0,216,2339,236,0 92,0,2024-09-07 08:51:01:508,59025,0.5,60489,0.7,115582,0.6,156888,1.75 92,1,2024-09-07 08:51:00:584,414923,414923,0,0,194519160789,2030005367,412371,2146,406,382,392136,0 92,2,2024-09-07 08:51:01:349,299490,299490,0,0,12087873,0,2801 92,3,2024-09-07 08:51:01:021,1,236,3,0,167,1999,236,0 93,0,2024-09-07 08:51:00:970,60101,0.4,61692,0.6,117820,0.4,159688,1.75 93,1,2024-09-07 08:51:00:811,415137,415137,0,0,193184755287,2019473647,410667,3684,786,366,391692,0 93,2,2024-09-07 08:51:00:934,298991,298991,0,0,12864900,0,2797 93,3,2024-09-07 08:51:01:409,1,236,9,0,190,2449,236,0 94,0,2024-09-07 08:51:01:634,59049,0.4,59759,0.5,119147,0.3,157929,1.75 94,1,2024-09-07 08:51:00:580,414846,414846,0,0,194250611507,2031420472,411564,3104,178,381,391850,0 94,2,2024-09-07 08:51:00:762,298426,298426,0,0,11961909,0,2443 94,3,2024-09-07 08:51:01:699,1,236,5,0,264,3222,236,0 95,0,2024-09-07 08:51:01:382,59159,0.3,58730,0.5,118747,0.3,157187,1.75 95,1,2024-09-07 08:51:00:850,415791,415791,0,0,194440237493,2023737571,412230,3185,376,367,391713,0 95,2,2024-09-07 08:51:01:022,298119,298119,0,0,12299182,0,3308 95,3,2024-09-07 08:51:01:709,1,236,2,0,718,4435,236,0 96,0,2024-09-07 08:51:01:075,59035,0.4,59301,0.6,118219,0.4,156958,1.75 96,1,2024-09-07 08:51:01:591,414701,414701,0,0,194729534992,2035546319,411132,2838,731,384,391894,0 96,2,2024-09-07 08:51:01:275,299048,299048,0,0,12922873,0,4042 96,3,2024-09-07 08:51:01:152,1,236,17,0,411,2816,236,0 97,0,2024-09-07 08:51:01:374,58040,0.4,57886,0.5,116357,0.3,154573,1.75 97,1,2024-09-07 08:51:00:805,416089,416089,0,0,195039064635,2025049311,413061,2473,555,367,392140,0 97,2,2024-09-07 08:51:00:610,298485,298485,0,0,12142554,0,3036 97,3,2024-09-07 08:51:00:579,1,236,1,0,214,2860,236,0 98,0,2024-09-07 08:51:01:709,58766,0.3,58889,0.5,118245,0.2,157021,1.50 98,1,2024-09-07 08:51:00:582,415925,415925,0,0,194789671665,2029268243,413789,2038,98,382,391997,0 98,2,2024-09-07 08:51:00:770,298586,298586,0,0,12232089,0,3080 98,3,2024-09-07 08:51:00:698,1,236,2,0,840,4526,236,0 99,0,2024-09-07 08:51:01:455,59668,0.3,59771,0.4,119341,0.3,159092,1.50 99,1,2024-09-07 08:51:01:723,415660,415660,0,0,193448777403,2019660559,411877,3044,739,381,391744,0 99,2,2024-09-07 08:51:01:425,300504,300504,0,0,12905181,0,3106 99,3,2024-09-07 08:51:00:582,1,236,6,0,168,1889,236,0 100,0,2024-09-07 08:51:01:463,58830,0.8,58771,1.0,117421,0.9,157171,2.25 100,1,2024-09-07 08:51:00:550,412947,412947,0,0,192922304480,2043490011,406116,5514,1317,381,391989,0 100,2,2024-09-07 08:51:01:817,298498,298487,11,0,14373713,0,5417 100,3,2024-09-07 08:51:01:734,1,236,5,0,559,4842,236,0 101,0,2024-09-07 08:51:01:751,59254,2.1,57723,1.3,113207,2.6,156317,2.75 101,1,2024-09-07 08:51:00:555,413392,413392,0,0,193071184061,2039109864,406048,5573,1771,368,391769,0 101,2,2024-09-07 08:51:01:771,296627,296627,0,0,15491681,0,4644 101,3,2024-09-07 08:51:00:944,1,236,61,0,448,3107,236,0 102,0,2024-09-07 08:51:01:022,57988,0.8,60204,0.8,120808,0.8,158371,2.25 102,1,2024-09-07 08:51:01:141,414087,414087,0,0,194169544701,2042988414,408442,4806,839,369,391883,0 102,2,2024-09-07 08:51:01:741,297797,297743,54,0,14210269,0,6768 102,3,2024-09-07 08:51:01:613,1,236,5,0,410,2526,236,0 103,0,2024-09-07 08:51:01:631,61661,0.5,61653,0.7,116318,0.4,159985,2.00 103,1,2024-09-07 08:51:01:631,413403,413403,0,0,193449229323,2042043865,407113,4909,1381,381,391829,0 103,2,2024-09-07 08:51:00:582,297320,297320,0,0,13387417,0,2582 103,3,2024-09-07 08:51:00:756,1,236,8,0,916,3508,236,0 104,0,2024-09-07 08:51:01:075,59272,0.8,59736,1.0,118088,0.8,158901,2.25 104,1,2024-09-07 08:51:01:621,414773,414773,0,0,193655406930,2040474892,408107,5571,1095,365,391948,0 104,2,2024-09-07 08:51:01:666,298275,298275,0,0,15148786,0,3941 104,3,2024-09-07 08:51:01:420,1,236,13,0,1245,6344,236,0 105,0,2024-09-07 08:51:01:065,57319,1.6,55602,1.4,116255,2.6,153766,3.75 105,1,2024-09-07 08:51:00:568,414603,414603,0,0,194099099942,2040910678,408308,5319,976,367,391797,0 105,2,2024-09-07 08:51:01:329,297203,297203,0,0,14580861,0,3509 105,3,2024-09-07 08:51:01:306,1,236,1,0,399,4209,236,0 106,0,2024-09-07 08:51:00:965,57579,1.1,58960,1.1,120801,1.3,157629,2.75 106,1,2024-09-07 08:51:01:763,414252,414252,0,0,193549035177,2038212640,407169,6325,758,369,391767,0 106,2,2024-09-07 08:51:00:757,296027,296027,0,0,14042630,0,2795 106,3,2024-09-07 08:51:00:681,1,236,1,0,470,3535,236,0 107,0,2024-09-07 08:51:01:113,59747,0.8,59711,0.9,119291,0.8,158984,2.00 107,1,2024-09-07 08:51:00:587,413526,413526,0,0,193739020470,2041807693,408210,4770,546,381,392234,0 107,2,2024-09-07 08:51:01:291,298233,298232,1,0,14709681,0,5024 107,3,2024-09-07 08:51:01:764,1,236,0,0,353,3352,236,0 108,0,2024-09-07 08:51:01:814,59580,0.4,59837,0.6,118517,0.4,158677,1.75 108,1,2024-09-07 08:51:01:298,415075,415075,0,0,194515196837,2028334502,411877,2814,384,368,391857,0 108,2,2024-09-07 08:51:01:759,297705,297705,0,0,13163368,0,2647 108,3,2024-09-07 08:51:01:335,1,236,3,0,749,4798,236,0 109,0,2024-09-07 08:51:01:786,58811,0.4,58189,0.6,116659,0.4,156336,1.75 109,1,2024-09-07 08:51:00:584,413945,413945,0,0,193786140242,2034427682,410160,3270,515,383,392132,0 109,2,2024-09-07 08:51:00:934,297337,297337,0,0,13656837,0,3617 109,3,2024-09-07 08:51:01:140,1,236,3,0,249,2913,236,0 110,0,2024-09-07 08:51:01:748,59424,0.4,57912,0.6,121018,0.4,159207,1.75 110,1,2024-09-07 08:51:01:650,415902,415902,0,0,195298251305,2029776590,412760,2265,877,370,392045,0 110,2,2024-09-07 08:51:01:310,299002,299002,0,0,12896862,0,3264 110,3,2024-09-07 08:51:00:702,1,236,0,0,406,3200,236,0 111,0,2024-09-07 08:51:01:422,59347,0.4,58904,0.5,117541,0.3,157810,1.75 111,1,2024-09-07 08:51:01:000,416289,416289,0,0,195179631853,2024451856,414300,1653,336,382,391690,0 111,2,2024-09-07 08:51:01:116,298182,298182,0,0,12438358,0,2763 111,3,2024-09-07 08:51:00:916,1,236,0,0,379,3337,236,0 112,0,2024-09-07 08:51:00:913,59516,0.3,59392,0.4,118950,0.2,157914,1.50 112,1,2024-09-07 08:51:00:828,416690,416690,0,0,194417904100,2018171416,414274,1988,428,380,391580,0 112,2,2024-09-07 08:51:01:139,298014,298013,1,0,12699331,0,5036 112,3,2024-09-07 08:51:00:592,1,236,1,0,282,2541,236,0 113,0,2024-09-07 08:51:00:870,58189,0.3,58072,0.5,116624,0.3,155808,1.75 113,1,2024-09-07 08:51:01:687,416997,416997,0,0,196013749591,2024764461,414502,2006,489,366,391661,0 113,2,2024-09-07 08:51:01:311,300983,300983,0,0,11551515,0,3813 113,3,2024-09-07 08:51:00:684,1,236,0,0,340,3574,236,0 114,0,2024-09-07 08:51:00:877,58906,0.4,59375,0.6,117619,0.3,157772,1.75 114,1,2024-09-07 08:51:00:716,415368,415368,0,0,194117394942,2025436383,410562,3217,1589,381,391556,0 114,2,2024-09-07 08:51:00:874,297788,297787,1,0,12614642,0,5069 114,3,2024-09-07 08:51:01:278,1,236,1,0,395,2649,236,0 115,0,2024-09-07 08:51:00:563,58767,0.3,59153,0.4,118264,0.2,156986,1.75 115,1,2024-09-07 08:51:00:580,415139,415139,0,0,194432730754,2025440885,410782,3523,834,382,391602,0 115,2,2024-09-07 08:51:01:125,298666,298666,0,0,10951847,0,2152 115,3,2024-09-07 08:51:01:003,1,236,9,0,159,1353,236,0 116,0,2024-09-07 08:51:01:714,59394,0.7,59188,0.8,118560,0.6,158324,2.00 116,1,2024-09-07 08:51:01:092,412832,412832,0,0,193137845771,2047353597,406442,4335,2055,380,391782,0 116,2,2024-09-07 08:51:01:755,297496,297496,0,0,15600466,0,3529 116,3,2024-09-07 08:51:00:917,1,236,1,0,415,3516,236,0 117,0,2024-09-07 08:51:01:057,58790,0.8,58618,0.9,117318,1.0,156877,2.00 117,1,2024-09-07 08:51:01:632,414797,414797,0,0,192938748297,2022554793,410102,4215,480,370,392033,0 117,2,2024-09-07 08:51:01:124,301714,301714,0,0,12929551,0,3700 117,3,2024-09-07 08:51:01:061,1,236,13,0,490,3939,236,0 118,0,2024-09-07 08:51:01:874,56439,0.7,57946,0.8,118232,0.7,155063,2.00 118,1,2024-09-07 08:51:00:590,414452,414452,0,0,193757703335,2040137853,408119,4901,1432,366,391736,0 118,2,2024-09-07 08:51:01:591,296895,296895,0,0,13829623,0,2781 118,3,2024-09-07 08:51:01:764,1,236,2,0,235,2784,236,0 119,0,2024-09-07 08:51:01:355,59335,1.0,59792,0.9,119687,1.3,158748,2.25 119,1,2024-09-07 08:51:00:547,415591,415591,0,0,194604855053,2035213757,410945,4124,522,367,391641,0 119,2,2024-09-07 08:51:01:261,297651,297651,0,0,13195646,0,4174 119,3,2024-09-07 08:51:01:327,1,236,4,0,443,3437,236,0 120,0,2024-09-07 08:51:01:576,59460,0.5,59211,0.8,118810,0.5,158402,2.00 120,1,2024-09-07 08:51:00:871,414693,414693,0,0,194138109298,2039563320,410020,4323,350,368,391961,0 120,2,2024-09-07 08:51:00:781,298140,298139,1,0,15828463,0,5281 120,3,2024-09-07 08:51:01:295,1,236,6,0,241,3189,236,0 121,0,2024-09-07 08:51:01:751,59997,1.0,60123,1.0,120237,1.3,160071,2.00 121,1,2024-09-07 08:51:01:696,415061,415061,0,0,193964643281,2033036772,410471,4176,414,367,391840,0 121,2,2024-09-07 08:51:01:126,297635,297635,0,0,15162342,0,4127 121,3,2024-09-07 08:51:00:732,1,236,4,0,269,2788,236,0 122,0,2024-09-07 08:51:01:830,58235,1.0,56733,1.0,118967,1.1,156827,2.25 122,1,2024-09-07 08:51:00:862,413954,413954,0,0,194085879243,2040912742,407894,5244,816,366,392130,0 122,2,2024-09-07 08:51:01:329,297076,297003,73,0,17530180,0,5989 122,3,2024-09-07 08:51:00:594,1,236,5,0,411,4868,236,0 123,0,2024-09-07 08:51:00:966,59592,1.0,58239,0.9,121445,1.2,158976,2.25 123,1,2024-09-07 08:51:00:559,414297,414297,0,0,194105367257,2051966052,405628,6953,1716,369,391823,0 123,2,2024-09-07 08:51:01:027,295976,295975,1,0,14328970,0,5215 123,3,2024-09-07 08:51:01:132,1,236,3,0,168,2907,236,0 124,0,2024-09-07 08:51:00:925,61208,0.3,61171,0.5,115505,0.3,158648,1.75 124,1,2024-09-07 08:51:01:021,416274,416274,0,0,193972682331,2017428852,413439,2473,362,367,392178,0 124,2,2024-09-07 08:51:01:015,298888,298888,0,0,11754279,0,3101 124,3,2024-09-07 08:51:00:759,1,236,1,0,490,2741,236,0 125,0,2024-09-07 08:51:01:429,59128,0.3,58986,0.5,118443,0.3,157348,1.75 125,1,2024-09-07 08:51:00:857,414904,414904,0,0,194500232905,2030941341,411818,2695,391,383,391702,0 125,2,2024-09-07 08:51:01:123,298910,298910,0,0,12802600,0,4534 125,3,2024-09-07 08:51:01:127,1,236,1,0,709,3485,236,0 126,0,2024-09-07 08:51:01:459,59109,0.5,60719,0.7,116030,0.6,157847,1.75 126,1,2024-09-07 08:51:00:554,416409,416409,0,0,194794116172,2020839421,413730,2417,262,365,391987,0 126,2,2024-09-07 08:51:00:612,300402,300402,0,0,13075387,0,3186 126,3,2024-09-07 08:51:00:907,1,236,0,0,183,3268,236,0 127,0,2024-09-07 08:51:01:626,58012,0.4,58366,0.5,116026,0.4,154752,1.75 127,1,2024-09-07 08:51:00:572,415514,415514,0,0,194376563866,2016268856,412572,2789,153,365,391816,0 127,2,2024-09-07 08:51:00:655,298503,298503,0,0,11729962,0,2264 127,3,2024-09-07 08:51:01:271,1,236,1,0,968,2925,236,0 128,0,2024-09-07 08:51:01:566,59191,0.3,59256,0.5,118116,0.2,157337,1.50 128,1,2024-09-07 08:51:01:605,415478,415478,0,0,194183146335,2015770593,413313,2008,157,367,391798,0 128,2,2024-09-07 08:51:01:384,298010,298010,0,0,11673030,0,2434 128,3,2024-09-07 08:51:00:772,1,236,27,0,1082,5325,236,0 129,0,2024-09-07 08:51:00:996,60104,0.3,59779,0.4,119448,0.2,158702,1.50 129,1,2024-09-07 08:51:00:572,413497,413497,0,0,193847328090,2034470539,409352,3217,928,379,391835,0 129,2,2024-09-07 08:51:00:693,298871,298871,0,0,12622016,0,4031 129,3,2024-09-07 08:51:00:691,1,236,1,0,469,3266,236,0 130,0,2024-09-07 08:51:01:764,59322,0.5,59104,0.6,118483,0.6,158062,1.75 130,1,2024-09-07 08:51:00:584,416052,416052,0,0,195395940051,2034078370,413629,2346,77,381,391825,0 130,2,2024-09-07 08:51:01:130,301362,301362,0,0,12342984,0,4067 130,3,2024-09-07 08:51:01:295,1,236,3,0,450,3324,236,0 131,0,2024-09-07 08:51:01:951,58198,0.4,58470,0.6,117745,0.4,156303,1.75 131,1,2024-09-07 08:51:01:835,416183,416183,0,0,194963231911,2034437400,413144,2582,457,381,391865,0 131,2,2024-09-07 08:51:00:572,299359,299359,0,0,11189814,0,2415 131,3,2024-09-07 08:51:01:696,1,236,20,0,392,2484,236,0 132,0,2024-09-07 08:51:01:423,59320,0.5,59926,0.7,119629,0.5,158850,2.00 132,1,2024-09-07 08:51:00:581,413451,413451,0,0,193899414521,2048239999,406450,5748,1253,381,392097,0 132,2,2024-09-07 08:51:00:698,297675,297675,0,0,14854850,0,4606 132,3,2024-09-07 08:51:01:692,1,236,2,0,356,3471,236,0 133,0,2024-09-07 08:51:01:605,58429,0.4,59820,0.6,122418,0.3,158806,1.75 133,1,2024-09-07 08:51:00:583,413538,413538,0,0,194331872236,2047590158,408067,4804,667,383,391914,0 133,2,2024-09-07 08:51:01:096,297840,297840,0,0,15911795,0,4315 133,3,2024-09-07 08:51:01:297,1,236,2,0,276,2417,236,0 134,0,2024-09-07 08:51:00:968,59707,0.5,59667,0.7,119774,0.4,158873,2.00 134,1,2024-09-07 08:51:00:611,413864,413864,0,0,193369459798,2034410282,407420,4974,1470,366,391718,0 134,2,2024-09-07 08:51:01:766,299101,299101,0,0,13491290,0,3847 134,3,2024-09-07 08:51:00:747,1,236,7,0,739,3663,236,0 135,0,2024-09-07 08:51:01:190,56085,1.0,56049,1.0,118909,1.1,153757,2.25 135,1,2024-09-07 08:51:01:596,413215,413215,0,0,194740045045,2051443910,407028,5191,996,380,391805,0 135,2,2024-09-07 08:51:00:691,297552,297552,0,0,14711234,0,3981 135,3,2024-09-07 08:51:01:003,1,236,1,0,299,1827,236,0 136,0,2024-09-07 08:51:01:618,60049,0.7,59988,0.8,119897,0.7,159373,2.25 136,1,2024-09-07 08:51:01:443,413750,413750,0,0,193204389193,2033569172,408233,4933,584,382,391685,0 136,2,2024-09-07 08:51:01:135,298334,298334,0,0,14249969,0,3506 136,3,2024-09-07 08:51:01:108,1,236,1,0,126,1846,236,0 137,0,2024-09-07 08:51:00:938,61563,0.6,59848,0.8,118231,0.6,160153,2.00 137,1,2024-09-07 08:51:00:579,413913,413913,0,0,193858346728,2038570321,406971,5525,1417,366,391708,0 137,2,2024-09-07 08:51:01:713,298376,298376,0,0,15776293,0,3185 137,3,2024-09-07 08:51:00:769,1,236,2,0,382,3046,236,0 138,0,2024-09-07 08:51:01:798,59024,0.8,59053,0.9,118734,1.0,158219,2.00 138,1,2024-09-07 08:51:01:689,413979,413979,0,0,194019072963,2041684149,407017,5831,1131,368,391954,0 138,2,2024-09-07 08:51:00:586,298367,298367,0,0,14574500,0,4988 138,3,2024-09-07 08:51:00:610,1,236,0,0,1160,3816,236,0 139,0,2024-09-07 08:51:01:516,57520,2.2,57676,1.4,115875,3.2,154642,2.75 139,1,2024-09-07 08:51:00:575,412446,412446,0,0,192988371589,2054353574,403965,6369,2112,381,391892,0 139,2,2024-09-07 08:51:00:695,296336,296336,0,0,15174250,0,3097 139,3,2024-09-07 08:51:01:680,1,236,3,0,244,2512,236,0 140,0,2024-09-07 08:51:01:595,59758,0.3,59306,0.5,119215,0.3,159323,1.75 140,1,2024-09-07 08:51:01:537,416897,416897,0,0,195768127236,2019219630,414919,1737,241,365,391606,0 140,2,2024-09-07 08:51:00:691,299080,299080,0,0,12016848,0,3388 140,3,2024-09-07 08:51:00:767,1,236,7,0,247,2137,236,0 141,0,2024-09-07 08:51:01:702,59089,0.3,60717,0.4,116149,0.2,157902,1.50 141,1,2024-09-07 08:51:00:860,416329,416329,0,0,194942348482,2024291869,413759,2162,408,379,391614,0 141,2,2024-09-07 08:51:01:701,298663,298663,0,0,11642737,0,2342 141,3,2024-09-07 08:51:01:045,1,236,0,0,202,2294,236,0 142,0,2024-09-07 08:51:01:310,60101,0.3,59499,0.4,118213,0.2,158171,1.50 142,1,2024-09-07 08:51:00:584,415661,415661,0,0,194240781047,2027125004,412872,2524,265,382,392102,0 142,2,2024-09-07 08:51:01:304,297810,297778,32,0,13398455,0,6028 142,3,2024-09-07 08:51:01:754,1,236,3,0,484,3078,236,0 143,0,2024-09-07 08:51:01:375,58383,0.5,58442,0.6,117320,0.5,155597,1.75 143,1,2024-09-07 08:51:00:557,415973,415973,0,0,194500165034,2018941765,413260,2618,95,367,391619,0 143,2,2024-09-07 08:51:00:770,299982,299982,0,0,12596195,0,2669 143,3,2024-09-07 08:51:01:139,1,236,1,0,303,3186,236,0 144,0,2024-09-07 08:51:01:605,56667,0.7,58237,1.0,118532,0.7,155912,2.25 144,1,2024-09-07 08:51:00:579,413650,413650,0,0,193695212533,2035881788,409652,3076,922,381,391649,0 144,2,2024-09-07 08:51:01:761,298775,298775,0,0,11971310,0,3473 144,3,2024-09-07 08:51:01:758,1,236,1,0,249,2875,236,0 145,0,2024-09-07 08:51:01:505,56656,0.6,56602,0.9,120051,0.6,155234,2.25 145,1,2024-09-07 08:51:00:560,413086,413086,0,0,193354232068,2036288871,407594,4670,822,382,391698,0 145,2,2024-09-07 08:51:01:448,296796,296796,0,0,14003015,0,3903 145,3,2024-09-07 08:51:00:895,1,236,2,0,622,3957,236,0 146,0,2024-09-07 08:51:01:593,59392,0.5,58949,0.7,118856,0.5,157596,2.25 146,1,2024-09-07 08:51:01:595,414158,414158,0,0,194273387927,2050954930,405913,6399,1846,368,391770,0 146,2,2024-09-07 08:51:01:706,297546,297546,0,0,14177821,0,2730 146,3,2024-09-07 08:51:01:282,1,236,0,0,1520,5874,236,0 147,0,2024-09-07 08:51:01:740,58683,0.6,58618,0.8,116390,0.6,156556,2.25 147,1,2024-09-07 08:51:01:378,415910,415910,0,0,194621723542,2027314667,411815,3510,585,368,391791,0 147,2,2024-09-07 08:51:01:014,301305,301305,0,0,12059226,0,2789 147,3,2024-09-07 08:51:00:917,1,236,2,0,730,3876,236,0 0,0,2024-09-07 08:51:11:778,58024,0.5,58025,0.7,123052,0.4,159062,1.75 0,1,2024-09-07 08:51:10:806,416699,416699,0,0,194980136587,2041606370,413490,3005,204,370,391772,0 0,2,2024-09-07 08:51:11:068,299955,299955,0,0,12779916,0,4480 0,3,2024-09-07 08:51:10:987,1,237,2,0,319,3327,237,0 1,0,2024-09-07 08:51:11:827,60335,0.9,59854,1.0,120481,1.1,160123,2.00 1,1,2024-09-07 08:51:10:559,416321,416321,0,0,194213969131,2037891022,411827,3441,1053,371,391857,0 1,2,2024-09-07 08:51:10:641,299699,299699,0,0,11799010,0,3267 1,3,2024-09-07 08:51:11:309,1,237,1,0,262,2887,237,0 2,0,2024-09-07 08:51:11:569,58835,0.8,59065,0.9,117389,1.0,157242,2.00 2,1,2024-09-07 08:51:10:859,416890,416890,0,0,194857287710,2026037768,414786,1886,218,380,391745,0 2,2,2024-09-07 08:51:11:278,299196,299196,0,0,12309272,0,3594 2,3,2024-09-07 08:51:10:690,1,237,1,0,357,2255,237,0 3,0,2024-09-07 08:51:11:755,59968,0.5,60012,0.7,119381,0.5,158900,2.00 3,1,2024-09-07 08:51:11:619,416359,416359,0,0,194816083349,2032137999,412520,3377,462,380,391523,0 3,2,2024-09-07 08:51:11:153,299508,299485,23,0,12612703,0,5851 3,3,2024-09-07 08:51:11:766,1,237,1,0,103,1501,237,0 4,0,2024-09-07 08:51:11:848,57760,0.4,59439,0.5,120907,0.3,158500,1.75 4,1,2024-09-07 08:51:10:629,416184,416184,0,0,194520259043,2045322903,411471,3925,788,371,391846,0 4,2,2024-09-07 08:51:11:030,299051,299051,0,0,14748350,0,4528 4,3,2024-09-07 08:51:11:044,1,237,10,0,448,3721,237,0 5,0,2024-09-07 08:51:11:540,59272,0.4,59631,0.5,118370,0.4,157881,1.75 5,1,2024-09-07 08:51:10:763,416046,416046,0,0,194778072812,2050620418,410561,4373,1112,368,392005,0 5,2,2024-09-07 08:51:11:846,298249,298249,0,0,13377532,0,2432 5,3,2024-09-07 08:51:11:757,1,237,14,0,457,3789,237,0 6,0,2024-09-07 08:51:10:943,59736,0.6,59102,0.8,118191,0.6,158287,2.00 6,1,2024-09-07 08:51:10:747,416524,416524,0,0,194768062711,2038013825,411856,3824,844,379,391651,0 6,2,2024-09-07 08:51:11:135,299740,299740,0,0,13389941,0,4816 6,3,2024-09-07 08:51:11:277,1,237,1,0,340,2880,237,0 7,0,2024-09-07 08:51:11:536,58042,0.5,58176,0.7,116085,0.5,155124,2.00 7,1,2024-09-07 08:51:10:853,416082,416082,0,0,195204823931,2050994412,410182,4897,1003,382,391747,0 7,2,2024-09-07 08:51:10:771,298909,298909,0,0,13202980,0,4791 7,3,2024-09-07 08:51:10:851,1,237,3,0,398,2755,237,0 8,0,2024-09-07 08:51:11:417,59242,0.4,59249,0.5,117990,0.3,157777,1.75 8,1,2024-09-07 08:51:11:038,414766,414766,0,0,194225531219,2052397476,406708,6050,2008,367,391956,0 8,2,2024-09-07 08:51:10:859,295474,295474,0,0,15804781,0,3220 8,3,2024-09-07 08:51:10:594,1,237,3,0,357,3617,237,0 9,0,2024-09-07 08:51:11:124,59905,0.3,58157,0.5,121431,0.3,159179,1.75 9,1,2024-09-07 08:51:10:566,415623,415623,0,0,194771733307,2056488673,409142,4941,1540,370,392001,0 9,2,2024-09-07 08:51:11:088,298832,298832,0,0,14013841,0,3360 9,3,2024-09-07 08:51:11:757,1,237,26,0,496,3602,237,0 10,0,2024-09-07 08:51:11:627,59419,0.3,58853,0.5,118507,0.2,158112,1.75 10,1,2024-09-07 08:51:10:591,416446,416446,0,0,195146461998,2049010296,410087,5431,928,381,391741,0 10,2,2024-09-07 08:51:10:768,301135,301135,0,0,15518759,0,4264 10,3,2024-09-07 08:51:10:874,1,237,1,0,177,2031,237,0 11,0,2024-09-07 08:51:11:010,58055,0.5,56490,0.7,118145,0.5,156979,2.00 11,1,2024-09-07 08:51:10:572,416180,416180,0,0,194510303219,2050332044,407992,6207,1981,383,391537,0 11,2,2024-09-07 08:51:11:137,298698,298698,0,0,14516869,0,4130 11,3,2024-09-07 08:51:11:299,1,237,1,0,843,3962,237,0 12,0,2024-09-07 08:51:10:993,60066,0.4,59855,0.5,119792,0.3,159088,1.75 12,1,2024-09-07 08:51:10:955,416521,416521,0,0,194417761976,2029438891,413011,3089,421,370,391870,0 12,2,2024-09-07 08:51:11:542,299529,299529,0,0,13623840,0,3469 12,3,2024-09-07 08:51:11:059,1,237,0,0,386,4101,237,0 13,0,2024-09-07 08:51:11:432,60331,0.3,60255,0.5,120088,0.3,159733,1.50 13,1,2024-09-07 08:51:11:527,416518,416518,0,0,195299322534,2051103991,412972,3005,541,382,391717,0 13,2,2024-09-07 08:51:10:606,300420,300420,0,0,12815052,0,3287 13,3,2024-09-07 08:51:11:768,1,237,7,0,467,3847,237,0 14,0,2024-09-07 08:51:10:565,59968,0.4,60440,0.6,119674,0.3,159062,1.75 14,1,2024-09-07 08:51:11:563,418989,418989,0,0,196276232947,2033424828,416159,2641,189,364,391571,0 14,2,2024-09-07 08:51:10:771,300695,300695,0,0,12817201,0,2896 14,3,2024-09-07 08:51:11:122,1,237,0,0,1168,3420,237,0 15,0,2024-09-07 08:51:11:554,58171,0.5,58444,0.8,116750,0.6,155838,2.00 15,1,2024-09-07 08:51:11:635,417245,417245,0,0,195367671459,2032715623,414726,2341,178,381,391619,0 15,2,2024-09-07 08:51:11:005,298993,298993,0,0,10842427,0,3043 15,3,2024-09-07 08:51:11:410,1,237,1,0,1126,5196,237,0 16,0,2024-09-07 08:51:10:956,59964,0.8,60175,0.9,119615,0.9,159602,2.25 16,1,2024-09-07 08:51:10:568,417317,417317,0,0,194544366547,2035504928,413765,3253,299,370,391756,0 16,2,2024-09-07 08:51:11:434,298585,298585,0,0,13457249,0,4719 16,3,2024-09-07 08:51:11:151,1,237,24,0,317,3388,237,0 17,0,2024-09-07 08:51:11:781,61857,0.5,60281,0.7,118150,0.4,160261,1.75 17,1,2024-09-07 08:51:10:575,415955,415955,0,0,194319414153,2043041825,411121,3731,1103,368,391688,0 17,2,2024-09-07 08:51:11:701,303061,303061,0,0,12652301,0,2857 17,3,2024-09-07 08:51:10:588,1,237,11,0,268,3917,237,0 18,0,2024-09-07 08:51:10:942,59095,0.7,59296,0.8,118501,0.7,158384,2.25 18,1,2024-09-07 08:51:11:640,417369,417369,0,0,195738561568,2030569932,414863,2265,241,367,391649,0 18,2,2024-09-07 08:51:11:755,300574,300574,0,0,12288709,0,3541 18,3,2024-09-07 08:51:10:901,1,237,1,0,163,2505,237,0 19,0,2024-09-07 08:51:11:559,58253,0.7,58909,0.9,116416,0.8,155474,2.25 19,1,2024-09-07 08:51:10:567,417130,417130,0,0,196338243730,2042662082,412497,3847,786,367,391777,0 19,2,2024-09-07 08:51:11:755,300713,300713,0,0,11316230,0,3988 19,3,2024-09-07 08:51:11:133,1,237,3,0,524,1856,237,0 20,0,2024-09-07 08:51:11:434,59447,0.7,59477,0.8,119226,0.8,159125,2.25 20,1,2024-09-07 08:51:10:574,416017,416017,0,0,194940323880,2041254147,412208,3413,396,369,391886,0 20,2,2024-09-07 08:51:10:954,299557,299557,0,0,12835276,0,3721 20,3,2024-09-07 08:51:10:605,1,237,1,0,414,4528,237,0 21,0,2024-09-07 08:51:11:186,59405,0.5,59667,0.7,118958,0.5,157878,2.00 21,1,2024-09-07 08:51:11:540,415268,415268,0,0,194060867391,2046282904,409550,4461,1257,368,391962,0 21,2,2024-09-07 08:51:11:071,298848,298848,0,0,14474449,0,3747 21,3,2024-09-07 08:51:11:410,1,237,18,0,103,2568,237,0 22,0,2024-09-07 08:51:11:725,59312,0.6,59386,0.8,118368,0.6,157601,2.25 22,1,2024-09-07 08:51:11:025,415674,415674,0,0,194082766825,2046947505,408487,5545,1642,382,391667,0 22,2,2024-09-07 08:51:10:760,299369,299369,0,0,12821552,0,3134 22,3,2024-09-07 08:51:11:067,1,237,0,0,228,2286,237,0 23,0,2024-09-07 08:51:11:380,58474,0.6,58238,0.8,117065,0.7,156307,2.25 23,1,2024-09-07 08:51:11:005,416317,416317,0,0,195771800744,2059108008,409008,4758,2551,365,391690,0 23,2,2024-09-07 08:51:11:097,301057,301057,0,0,12462728,0,3010 23,3,2024-09-07 08:51:11:757,1,237,4,0,645,3109,237,0 24,0,2024-09-07 08:51:10:836,59296,0.5,59169,0.6,118737,0.4,157914,1.75 24,1,2024-09-07 08:51:10:594,416092,416092,0,0,194546628070,2044596043,410485,4128,1479,368,391987,0 24,2,2024-09-07 08:51:11:069,297870,297870,0,0,14981935,0,3607 24,3,2024-09-07 08:51:11:713,1,237,19,0,468,3638,237,0 25,0,2024-09-07 08:51:11:354,60470,0.5,59052,0.7,115677,0.5,158147,2.00 25,1,2024-09-07 08:51:10:564,416554,416554,0,0,194737751322,2040953218,412271,3729,554,371,391788,0 25,2,2024-09-07 08:51:11:630,297930,297930,0,0,15350095,0,3978 25,3,2024-09-07 08:51:11:013,1,237,8,0,255,2658,237,0 26,0,2024-09-07 08:51:11:750,59339,0.4,58045,0.6,121677,0.3,158373,1.75 26,1,2024-09-07 08:51:11:542,416286,416286,0,0,194119457461,2040592040,409323,5747,1216,381,391748,0 26,2,2024-09-07 08:51:10:868,299152,299152,0,0,15089641,0,2809 26,3,2024-09-07 08:51:11:712,1,237,4,0,796,3222,237,0 27,0,2024-09-07 08:51:11:755,59431,0.4,59323,0.6,117489,0.4,157406,2.00 27,1,2024-09-07 08:51:11:911,418364,418364,0,0,196020488751,2041463323,414917,2855,592,381,391558,0 27,2,2024-09-07 08:51:10:870,299706,299641,65,0,15280879,0,5699 27,3,2024-09-07 08:51:11:022,1,237,1,0,564,2689,237,0 28,0,2024-09-07 08:51:11:405,58645,0.5,58403,0.7,117294,0.5,157054,2.00 28,1,2024-09-07 08:51:10:804,417654,417654,0,0,195266771408,2034782436,414817,2367,470,383,391646,0 28,2,2024-09-07 08:51:11:768,299188,299188,0,0,12026254,0,2915 28,3,2024-09-07 08:51:11:786,1,237,3,0,502,2547,237,0 29,0,2024-09-07 08:51:11:374,61395,0.4,60068,0.7,117291,0.4,160173,1.75 29,1,2024-09-07 08:51:11:561,418294,418294,0,0,196102061556,2032397562,415072,2657,565,369,391621,0 29,2,2024-09-07 08:51:10:868,298585,298585,0,0,11513170,0,4018 29,3,2024-09-07 08:51:10:963,1,237,3,0,115,1751,237,0 30,0,2024-09-07 08:51:11:481,59528,0.5,57952,0.7,121124,0.5,158470,2.00 30,1,2024-09-07 08:51:10:588,418357,418357,0,0,195760860306,2030225127,416029,2035,293,382,391672,0 30,2,2024-09-07 08:51:11:273,300490,300490,0,0,11420918,0,3161 30,3,2024-09-07 08:51:10:594,1,237,1,0,195,1874,237,0 31,0,2024-09-07 08:51:11:768,59787,0.4,60113,0.6,120549,0.4,159921,2.00 31,1,2024-09-07 08:51:10:580,419473,419473,0,0,196652136592,2016438198,418085,1169,219,356,391712,0 31,2,2024-09-07 08:51:11:283,299867,299867,0,0,12969205,0,3525 31,3,2024-09-07 08:51:11:712,1,237,1,0,220,1905,237,0 32,0,2024-09-07 08:51:11:453,58721,0.4,59267,0.6,118312,0.3,157701,1.75 32,1,2024-09-07 08:51:10:809,418359,418359,0,0,195712477645,2032125894,416405,1675,279,381,391614,0 32,2,2024-09-07 08:51:10:937,299339,299339,0,0,11244063,0,3155 32,3,2024-09-07 08:51:11:015,1,237,0,0,227,1992,237,0 33,0,2024-09-07 08:51:11:502,60400,0.3,59653,0.5,120151,0.2,159838,1.75 33,1,2024-09-07 08:51:10:582,418423,418423,0,0,195764991578,2024416632,415843,2406,174,369,391730,0 33,2,2024-09-07 08:51:10:759,300083,300050,33,0,13020780,0,7012 33,3,2024-09-07 08:51:10:896,1,237,2,0,329,2878,237,0 34,0,2024-09-07 08:51:10:941,59601,0.3,61274,0.5,117693,0.2,157847,1.75 34,1,2024-09-07 08:51:11:050,419045,419045,0,0,196304330274,2020445001,417950,1088,7,367,391562,0 34,2,2024-09-07 08:51:10:765,300291,300291,0,0,12304461,0,3577 34,3,2024-09-07 08:51:11:709,1,237,101,0,299,2032,237,0 35,0,2024-09-07 08:51:10:862,58810,0.3,59162,0.5,118884,0.3,158266,1.75 35,1,2024-09-07 08:51:11:067,417650,417650,0,0,195554503488,2030401559,414307,2563,780,384,391589,0 35,2,2024-09-07 08:51:11:591,300135,300135,0,0,11754994,0,2653 35,3,2024-09-07 08:51:10:912,1,237,1,0,418,2945,237,0 36,0,2024-09-07 08:51:11:534,59384,0.7,59281,0.8,118720,0.7,157977,2.25 36,1,2024-09-07 08:51:10:591,417064,417064,0,0,195397033274,2046416657,411101,4385,1578,366,391759,0 36,2,2024-09-07 08:51:11:755,299267,299267,0,0,13688524,0,3875 36,3,2024-09-07 08:51:10:870,1,237,36,0,416,3958,237,0 37,0,2024-09-07 08:51:11:375,57962,0.6,57883,0.7,116006,0.6,156069,2.00 37,1,2024-09-07 08:51:10:572,416143,416136,0,7,194182646108,2038403189,410129,3940,2067,365,391560,0 37,2,2024-09-07 08:51:11:147,298760,298745,15,0,13882998,0,5815 37,3,2024-09-07 08:51:11:768,1,237,9,0,888,4316,237,0 38,0,2024-09-07 08:51:11:447,58608,0.5,56923,0.7,119156,0.4,156039,2.00 38,1,2024-09-07 08:51:11:618,417506,417506,0,0,195249520417,2041173561,412236,4595,675,368,391821,0 38,2,2024-09-07 08:51:10:760,299003,298956,47,0,14343197,0,6710 38,3,2024-09-07 08:51:11:011,1,237,13,0,689,3563,237,0 39,0,2024-09-07 08:51:11:767,61080,0.6,59949,0.7,116359,0.6,159279,2.00 39,1,2024-09-07 08:51:10:717,417274,417274,0,0,194687011883,2034844718,411748,4625,901,365,391594,0 39,2,2024-09-07 08:51:11:425,298752,298752,0,0,12452728,0,2689 39,3,2024-09-07 08:51:10:716,1,237,1,0,324,3395,237,0 40,0,2024-09-07 08:51:11:503,58667,0.8,59125,1.0,118299,0.8,157616,2.75 40,1,2024-09-07 08:51:10:602,416915,416915,0,0,194288843342,2040276818,409916,5556,1443,368,391591,0 40,2,2024-09-07 08:51:11:313,300940,300939,1,0,15586079,0,5137 40,3,2024-09-07 08:51:11:146,1,237,1,0,398,3004,237,0 41,0,2024-09-07 08:51:11:033,57884,2.2,59189,1.5,112982,3.4,155238,4.00 41,1,2024-09-07 08:51:10:768,416759,416759,0,0,195305027219,2045337077,411225,4900,634,370,391742,0 41,2,2024-09-07 08:51:10:759,297521,297521,0,0,14764949,0,3356 41,3,2024-09-07 08:51:11:709,1,237,1,0,366,2745,237,0 42,0,2024-09-07 08:51:11:495,58767,0.9,59086,1.1,118119,1.1,155770,2.75 42,1,2024-09-07 08:51:11:453,415459,415459,0,0,195027158711,2053555002,408302,5705,1452,380,391675,0 42,2,2024-09-07 08:51:11:136,298579,298579,0,0,14025511,0,3568 42,3,2024-09-07 08:51:11:013,1,237,1,0,446,2047,237,0 43,0,2024-09-07 08:51:10:922,59664,0.7,58141,0.9,121432,0.7,159439,2.25 43,1,2024-09-07 08:51:10:591,416051,416051,0,0,195009128771,2041349892,409792,4930,1329,366,391696,0 43,2,2024-09-07 08:51:11:746,299974,299974,0,0,13520131,0,3812 43,3,2024-09-07 08:51:11:757,1,237,1,0,467,3018,237,0 44,0,2024-09-07 08:51:10:867,59682,0.5,60079,0.7,119791,0.4,159206,1.75 44,1,2024-09-07 08:51:10:568,418145,418145,0,0,194521196899,2010192920,415591,2123,431,356,391809,0 44,2,2024-09-07 08:51:11:267,300205,300205,0,0,11445976,0,2231 44,3,2024-09-07 08:51:11:097,1,237,5,0,817,2906,237,0 45,0,2024-09-07 08:51:11:773,57334,0.8,56111,0.9,117711,0.8,155744,2.00 45,1,2024-09-07 08:51:11:012,417891,417891,0,0,196109315457,2033302777,416241,1638,12,382,391917,0 45,2,2024-09-07 08:51:11:271,299267,299267,0,0,12107492,0,3596 45,3,2024-09-07 08:51:10:944,1,237,8,0,271,2652,237,0 46,0,2024-09-07 08:51:10:965,59496,0.7,59417,0.8,119068,0.7,157348,2.25 46,1,2024-09-07 08:51:10:592,418904,418904,0,0,195765454387,2022480325,416558,2072,274,366,391539,0 46,2,2024-09-07 08:51:10:600,299441,299441,0,0,11710593,0,2920 46,3,2024-09-07 08:51:11:135,1,237,1,0,908,3744,237,0 47,0,2024-09-07 08:51:11:123,60308,0.4,60120,0.6,120944,0.4,159842,1.75 47,1,2024-09-07 08:51:10:569,418971,418971,0,0,195469715046,2019172763,416649,2188,134,366,391605,0 47,2,2024-09-07 08:51:10:913,301914,301914,0,0,11799197,0,2558 47,3,2024-09-07 08:51:11:121,1,237,1,0,529,2241,237,0 48,0,2024-09-07 08:51:11:542,60134,0.3,59773,0.4,119080,0.2,159202,1.50 48,1,2024-09-07 08:51:11:025,418235,418235,0,0,195477353557,2032581470,415135,2801,299,384,391710,0 48,2,2024-09-07 08:51:10:701,300019,300019,0,0,11395935,0,3031 48,3,2024-09-07 08:51:10:755,1,237,5,0,339,2084,237,0 49,0,2024-09-07 08:51:11:723,60388,0.4,59315,0.6,114827,0.3,157572,1.75 49,1,2024-09-07 08:51:11:025,416559,416559,0,0,195959667938,2044672968,412704,2674,1181,382,391610,0 49,2,2024-09-07 08:51:11:808,300441,300441,0,0,11904835,0,3900 49,3,2024-09-07 08:51:11:422,1,237,3,0,408,2733,237,0 50,0,2024-09-07 08:51:11:511,59718,0.3,59148,0.5,119024,0.2,159060,1.75 50,1,2024-09-07 08:51:11:013,418963,418963,0,0,196115410729,2030659690,416127,2526,310,368,391530,0 50,2,2024-09-07 08:51:11:068,300132,300132,0,0,11267342,0,2263 50,3,2024-09-07 08:51:11:292,1,237,1,0,335,2035,237,0 51,0,2024-09-07 08:51:11:709,60736,0.3,59435,0.5,115819,0.2,158188,1.75 51,1,2024-09-07 08:51:11:703,418624,418624,0,0,197242418533,2037820614,415829,1856,939,365,391706,0 51,2,2024-09-07 08:51:11:316,299776,299776,0,0,10661165,0,3337 51,3,2024-09-07 08:51:11:032,1,237,1,0,678,1995,237,0 52,0,2024-09-07 08:51:11:427,60117,0.4,59666,0.6,119136,0.4,158335,2.00 52,1,2024-09-07 08:51:10:586,416859,416859,0,0,194187411177,2040204398,409722,5883,1254,368,391722,0 52,2,2024-09-07 08:51:11:758,297855,297817,38,0,14560021,0,6742 52,3,2024-09-07 08:51:10:693,1,237,14,0,1782,4297,237,0 53,0,2024-09-07 08:51:11:762,58175,0.7,56542,0.8,118337,0.8,155502,2.50 53,1,2024-09-07 08:51:10:771,415821,415821,0,0,194892200216,2050774522,408497,4968,2356,367,391702,0 53,2,2024-09-07 08:51:11:301,300745,300745,0,0,12156715,0,2727 53,3,2024-09-07 08:51:10:700,1,237,5,0,271,2271,237,0 54,0,2024-09-07 08:51:11:626,57915,0.9,58308,0.9,115567,0.6,155074,2.75 54,1,2024-09-07 08:51:10:589,416871,416871,0,0,195954328988,2041114452,412135,4139,597,367,391659,0 54,2,2024-09-07 08:51:10:868,298951,298945,6,0,13408213,0,5382 54,3,2024-09-07 08:51:10:767,1,237,0,0,676,3651,237,0 55,0,2024-09-07 08:51:11:770,56778,0.7,58866,0.9,118416,0.6,154554,2.50 55,1,2024-09-07 08:51:10:764,416444,416444,0,0,194790117587,2031399260,410895,4762,787,365,391731,0 55,2,2024-09-07 08:51:10:749,298182,298182,0,0,13597224,0,3275 55,3,2024-09-07 08:51:10:676,1,237,0,0,304,2787,237,0 56,0,2024-09-07 08:51:11:560,60947,1.0,57489,1.1,118341,1.4,158293,2.50 56,1,2024-09-07 08:51:10:586,414816,414816,0,0,194609976781,2061283002,407682,5696,1438,381,391678,0 56,2,2024-09-07 08:51:11:318,299357,299357,0,0,14748753,0,3567 56,3,2024-09-07 08:51:11:059,1,237,13,0,705,3538,237,0 57,0,2024-09-07 08:51:10:955,58130,1.7,58303,1.3,116692,2.3,156091,3.25 57,1,2024-09-07 08:51:10:988,416605,416605,0,0,194506326885,2041550745,411284,4651,670,367,391960,0 57,2,2024-09-07 08:51:11:326,301577,301577,0,0,15734995,0,3317 57,3,2024-09-07 08:51:11:748,1,237,1,0,359,3350,237,0 58,0,2024-09-07 08:51:10:573,57300,1.0,55747,1.1,116717,1.2,153585,2.50 58,1,2024-09-07 08:51:10:579,416802,416799,0,3,195722520867,2048175355,411072,5017,710,367,391545,3 58,2,2024-09-07 08:51:11:072,299082,299082,0,0,14148204,0,2549 58,3,2024-09-07 08:51:11:069,1,237,2,0,1043,2806,237,0 59,0,2024-09-07 08:51:11:756,59373,0.9,59312,1.0,118224,1.0,156984,3.00 59,1,2024-09-07 08:51:10:804,416649,416649,0,0,194959067550,2043617110,410862,4522,1265,369,391525,0 59,2,2024-09-07 08:51:10:591,300041,300041,0,0,12850679,0,2604 59,3,2024-09-07 08:51:11:741,1,237,3,0,1015,3483,237,0 60,0,2024-09-07 08:51:11:730,59900,0.4,59640,0.6,120219,0.4,159099,1.75 60,1,2024-09-07 08:51:10:772,418331,418331,0,0,195488085065,2028896419,415894,1920,517,370,392031,0 60,2,2024-09-07 08:51:11:145,299867,299867,0,0,14062460,0,3811 60,3,2024-09-07 08:51:11:268,1,237,0,0,124,2368,237,0 61,0,2024-09-07 08:51:11:505,60161,0.7,60429,0.8,120324,0.7,160241,2.00 61,1,2024-09-07 08:51:10:771,416909,416909,0,0,194951548675,2042339747,412340,3866,703,382,391880,0 61,2,2024-09-07 08:51:11:121,300967,300967,0,0,12369700,0,2780 61,3,2024-09-07 08:51:11:701,1,237,1,0,397,3292,237,0 62,0,2024-09-07 08:51:11:719,59461,0.7,60539,0.8,115233,0.8,157491,2.00 62,1,2024-09-07 08:51:11:118,419430,419424,0,6,196049032812,2023808161,416966,2341,117,365,391715,6 62,2,2024-09-07 08:51:11:644,298112,298111,1,0,13705110,0,5555 62,3,2024-09-07 08:51:11:145,1,237,1,0,287,1716,237,0 63,0,2024-09-07 08:51:11:454,59885,0.5,60075,0.6,120310,0.5,159401,1.75 63,1,2024-09-07 08:51:10:815,418753,418747,0,6,195087730032,2022115028,417173,1524,50,381,391677,6 63,2,2024-09-07 08:51:10:763,299676,299676,0,0,11472267,0,2674 63,3,2024-09-07 08:51:11:742,1,237,4,0,667,2842,237,0 64,0,2024-09-07 08:51:11:521,59095,0.4,59133,0.6,118587,0.4,157703,1.75 64,1,2024-09-07 08:51:10:757,417345,417345,0,0,195256201080,2039550347,412863,3068,1414,370,391783,0 64,2,2024-09-07 08:51:11:156,302576,302557,19,0,12392927,0,6121 64,3,2024-09-07 08:51:11:151,1,237,10,0,265,2492,237,0 65,0,2024-09-07 08:51:11:697,58685,0.6,59107,0.7,117943,0.6,157276,2.00 65,1,2024-09-07 08:51:10:859,416457,416457,0,0,194394584175,2036123259,412908,3106,443,382,391901,0 65,2,2024-09-07 08:51:11:703,299940,299940,0,0,13075556,0,3367 65,3,2024-09-07 08:51:11:699,1,237,1,0,163,2259,237,0 66,0,2024-09-07 08:51:11:804,58957,0.5,58802,0.7,118325,0.5,157144,2.00 66,1,2024-09-07 08:51:11:300,418026,418026,0,0,195850310309,2036719917,415456,2370,200,380,391653,0 66,2,2024-09-07 08:51:11:132,301789,301789,0,0,12764778,0,4956 66,3,2024-09-07 08:51:11:079,1,237,1,0,291,2594,237,0 67,0,2024-09-07 08:51:11:431,58624,0.7,58267,0.8,116997,0.7,156097,2.25 67,1,2024-09-07 08:51:10:765,417027,417026,0,1,195500297856,2041495032,413189,3090,747,380,391787,1 67,2,2024-09-07 08:51:10:588,300769,300769,0,0,11842339,0,2889 67,3,2024-09-07 08:51:11:754,1,237,17,0,338,2319,237,0 68,0,2024-09-07 08:51:10:566,59220,0.6,59016,0.8,117634,0.6,157663,2.00 68,1,2024-09-07 08:51:10:593,416409,416409,0,0,194136442968,2037103879,412437,2833,1139,381,391953,0 68,2,2024-09-07 08:51:11:051,298345,298280,65,0,15428811,0,6698 68,3,2024-09-07 08:51:10:741,1,237,1,0,417,2760,237,0 69,0,2024-09-07 08:51:11:745,59421,0.7,59798,0.8,118921,0.8,158393,2.25 69,1,2024-09-07 08:51:11:024,415110,415110,0,0,194417779320,2054585885,409411,4297,1402,384,391994,0 69,2,2024-09-07 08:51:11:733,298821,298821,0,0,15006198,0,3722 69,3,2024-09-07 08:51:10:763,1,237,7,0,698,3593,237,0 70,0,2024-09-07 08:51:11:558,58824,1.0,58857,1.1,118117,0.7,157312,2.50 70,1,2024-09-07 08:51:10:836,417227,417227,0,0,196099729414,2038200952,413572,3127,528,366,391725,0 70,2,2024-09-07 08:51:11:328,300939,300939,0,0,14020914,0,4044 70,3,2024-09-07 08:51:10:752,1,237,1,0,854,2840,237,0 71,0,2024-09-07 08:51:11:368,57998,1.4,57852,1.2,115995,2.0,155863,3.00 71,1,2024-09-07 08:51:11:617,416658,416658,0,0,195499000442,2041474209,411537,4500,621,368,391738,0 71,2,2024-09-07 08:51:11:071,299029,299029,0,0,14035926,0,4042 71,3,2024-09-07 08:51:11:754,1,237,1,0,644,3581,237,0 72,0,2024-09-07 08:51:11:052,61531,0.9,60178,0.9,117254,1.1,159520,2.25 72,1,2024-09-07 08:51:11:027,416587,416587,0,0,194863810586,2037334018,411533,4123,931,369,391819,0 72,2,2024-09-07 08:51:11:761,297950,297950,0,0,15759633,0,3983 72,3,2024-09-07 08:51:11:765,1,237,0,0,564,4366,237,0 73,0,2024-09-07 08:51:11:122,58516,0.4,59871,0.6,122771,0.3,159112,2.00 73,1,2024-09-07 08:51:10:773,417179,417179,0,0,194619690198,2025061969,414094,2850,235,367,391750,0 73,2,2024-09-07 08:51:11:750,299979,299979,0,0,15159160,0,3701 73,3,2024-09-07 08:51:10:974,1,237,1,0,274,3605,237,0 74,0,2024-09-07 08:51:11:326,60306,0.5,61665,0.7,117522,0.4,159083,2.25 74,1,2024-09-07 08:51:10:639,416906,416906,0,0,194480853757,2033531283,412508,3599,799,381,391681,0 74,2,2024-09-07 08:51:11:009,300788,300788,0,0,14602046,0,4253 74,3,2024-09-07 08:51:11:442,1,237,9,0,522,3318,237,0 75,0,2024-09-07 08:51:11:769,58513,0.6,58082,0.9,116761,0.6,156624,2.50 75,1,2024-09-07 08:51:11:593,416360,416360,0,0,195231100354,2043699134,411454,4243,663,380,391660,0 75,2,2024-09-07 08:51:11:352,298259,298259,0,0,15064950,0,4766 75,3,2024-09-07 08:51:11:068,1,237,0,0,702,3502,237,0 76,0,2024-09-07 08:51:10:587,59551,0.8,58954,0.9,118096,0.8,158246,2.50 76,1,2024-09-07 08:51:10:805,417221,417221,0,0,194471843864,2030849501,414162,2511,548,382,391692,0 76,2,2024-09-07 08:51:11:067,300321,300321,0,0,12336483,0,3784 76,3,2024-09-07 08:51:11:145,1,237,0,0,175,2583,237,0 77,0,2024-09-07 08:51:11:717,60032,0.5,60350,0.7,120240,0.5,159578,1.75 77,1,2024-09-07 08:51:10:830,417620,417620,0,0,195319186823,2041447195,414176,3188,256,383,391808,0 77,2,2024-09-07 08:51:11:280,299686,299686,0,0,12955543,0,3890 77,3,2024-09-07 08:51:11:097,1,237,1,0,382,2798,237,0 78,0,2024-09-07 08:51:11:725,59973,0.4,59372,0.6,119744,0.4,158481,2.00 78,1,2024-09-07 08:51:10:625,417764,417764,0,0,195538485875,2031115076,414769,2677,318,367,391670,0 78,2,2024-09-07 08:51:11:414,300112,300112,0,0,11573267,0,3855 78,3,2024-09-07 08:51:11:133,1,237,14,0,181,2406,237,0 79,0,2024-09-07 08:51:11:356,56981,0.4,58389,0.7,119435,0.4,155294,2.50 79,1,2024-09-07 08:51:10:573,418838,418838,0,0,196081866970,2030422903,415997,2505,336,369,391682,0 79,2,2024-09-07 08:51:11:072,300328,300328,0,0,11727656,0,3212 79,3,2024-09-07 08:51:10:752,1,237,1,0,418,3670,237,0 80,0,2024-09-07 08:51:11:088,59628,0.6,61299,0.8,117360,0.7,158858,2.00 80,1,2024-09-07 08:51:11:634,416937,416937,0,0,195644435011,2038421639,413974,2795,168,368,391791,0 80,2,2024-09-07 08:51:11:096,300582,300582,0,0,12141874,0,4433 80,3,2024-09-07 08:51:10:579,1,237,8,0,190,3383,237,0 81,0,2024-09-07 08:51:11:539,59257,0.5,60663,0.7,116191,0.4,157370,1.75 81,1,2024-09-07 08:51:11:650,416338,416338,0,0,194043225547,2030934985,412500,3377,461,382,391879,0 81,2,2024-09-07 08:51:11:125,299505,299505,0,0,12497686,0,3993 81,3,2024-09-07 08:51:11:120,1,237,1,0,374,2988,237,0 82,0,2024-09-07 08:51:11:569,59065,0.4,59527,0.6,119260,0.4,158392,2.00 82,1,2024-09-07 08:51:10:588,417778,417774,0,4,195877916464,2042151189,414553,2610,611,381,391558,4 82,2,2024-09-07 08:51:11:695,300410,300410,0,0,11815142,0,3986 82,3,2024-09-07 08:51:11:754,1,237,1,0,363,2777,237,0 83,0,2024-09-07 08:51:11:562,58674,0.6,58771,0.7,116501,0.6,155906,2.00 83,1,2024-09-07 08:51:10:552,416808,416808,0,0,194907688872,2034861083,413640,2924,244,382,391690,0 83,2,2024-09-07 08:51:10:772,300635,300635,0,0,11884413,0,3119 83,3,2024-09-07 08:51:10:749,1,237,1,0,250,2643,237,0 84,0,2024-09-07 08:51:11:766,58386,0.8,58402,0.9,116581,0.7,156568,2.50 84,1,2024-09-07 08:51:11:045,416155,416155,0,0,194897559253,2040471527,411421,4158,576,368,391967,0 84,2,2024-09-07 08:51:10:588,299357,299357,0,0,13634466,0,3801 84,3,2024-09-07 08:51:11:146,1,237,16,0,908,3874,237,0 85,0,2024-09-07 08:51:11:050,56948,0.8,56870,0.9,120616,0.9,156088,2.50 85,1,2024-09-07 08:51:10:580,415739,415739,0,0,194846902267,2061279748,408910,5723,1106,382,392006,0 85,2,2024-09-07 08:51:10:877,300196,300196,0,0,14419023,0,3656 85,3,2024-09-07 08:51:10:688,1,237,1,0,789,3150,237,0 86,0,2024-09-07 08:51:10:902,59674,0.6,61259,0.7,117331,0.6,158223,2.00 86,1,2024-09-07 08:51:10:840,417330,417330,0,0,195468302372,2046137788,412351,4255,724,366,391961,0 86,2,2024-09-07 08:51:10:889,298445,298444,1,0,15203634,0,5004 86,3,2024-09-07 08:51:10:588,1,237,1,0,286,3189,237,0 87,0,2024-09-07 08:51:11:290,58924,1.0,58594,1.0,117869,1.4,157608,2.25 87,1,2024-09-07 08:51:10:553,416951,416951,0,0,194857816264,2040209121,412487,3981,483,366,391788,0 87,2,2024-09-07 08:51:11:071,300042,300042,0,0,13654593,0,4045 87,3,2024-09-07 08:51:11:811,1,237,1,0,473,3745,237,0 88,0,2024-09-07 08:51:11:447,58503,0.5,58885,0.7,117387,0.5,156673,2.00 88,1,2024-09-07 08:51:10:574,415133,415133,0,0,194330219177,2042198953,408967,4654,1512,365,392084,0 88,2,2024-09-07 08:51:10:695,299041,299041,0,0,15533041,0,3583 88,3,2024-09-07 08:51:11:268,1,237,1,0,435,3025,237,0 89,0,2024-09-07 08:51:11:769,61535,0.5,59425,0.7,118075,0.5,160234,2.00 89,1,2024-09-07 08:51:10:552,414767,414767,0,0,194865707130,2058929197,407865,5682,1220,382,391866,0 89,2,2024-09-07 08:51:11:134,298286,298286,0,0,14806653,0,2910 89,3,2024-09-07 08:51:11:807,1,237,1,0,325,4746,237,0 90,0,2024-09-07 08:51:11:669,58190,0.4,59430,0.6,121736,0.4,158272,1.75 90,1,2024-09-07 08:51:10:606,417004,417004,0,0,194823902066,2043583133,412896,3831,277,381,391825,0 90,2,2024-09-07 08:51:11:425,298752,298752,0,0,15928118,0,3060 90,3,2024-09-07 08:51:10:934,1,237,2,0,200,2562,237,0 91,0,2024-09-07 08:51:10:950,60451,0.4,58502,0.6,122287,0.4,160340,1.75 91,1,2024-09-07 08:51:10:563,416196,416196,0,0,195280296560,2055903787,410335,5169,692,381,392047,0 91,2,2024-09-07 08:51:11:331,300140,300140,0,0,14410044,0,2896 91,3,2024-09-07 08:51:10:605,1,237,1,0,216,2340,237,0 92,0,2024-09-07 08:51:11:491,59401,0.5,60917,0.7,116334,0.6,157797,1.75 92,1,2024-09-07 08:51:10:596,416696,416696,0,0,195028232625,2035272504,414144,2146,406,382,392136,0 92,2,2024-09-07 08:51:11:353,300828,300828,0,0,12100732,0,2801 92,3,2024-09-07 08:51:11:015,1,237,0,0,167,1999,237,0 93,0,2024-09-07 08:51:10:974,60218,0.4,61804,0.6,118024,0.4,159923,1.75 93,1,2024-09-07 08:51:10:827,416917,416917,0,0,194028857994,2028026489,412447,3684,786,366,391692,0 93,2,2024-09-07 08:51:10:929,300297,300297,0,0,12880607,0,2797 93,3,2024-09-07 08:51:11:414,1,237,1,0,190,2450,237,0 94,0,2024-09-07 08:51:11:638,59298,0.4,60013,0.5,119647,0.3,158511,1.75 94,1,2024-09-07 08:51:10:568,416684,416684,0,0,195205357242,2041140127,413400,3106,178,381,391850,0 94,2,2024-09-07 08:51:10:762,299926,299926,0,0,11978021,0,2443 94,3,2024-09-07 08:51:11:709,1,237,1,0,264,3223,237,0 95,0,2024-09-07 08:51:11:357,59427,0.3,59012,0.5,119325,0.3,158042,1.75 95,1,2024-09-07 08:51:10:866,417487,417487,0,0,195207493439,2031531411,413926,3185,376,367,391713,0 95,2,2024-09-07 08:51:11:016,299529,299529,0,0,12314453,0,3308 95,3,2024-09-07 08:51:11:713,1,237,12,0,718,4447,237,0 96,0,2024-09-07 08:51:11:040,59390,0.4,59706,0.6,118990,0.4,157611,1.75 96,1,2024-09-07 08:51:11:595,416489,416489,0,0,195385781506,2042316125,412919,2839,731,384,391894,0 96,2,2024-09-07 08:51:11:273,299907,299907,0,0,12945613,0,4042 96,3,2024-09-07 08:51:11:145,1,237,1,0,411,2817,237,0 97,0,2024-09-07 08:51:11:328,58460,0.4,58297,0.5,117198,0.3,155990,1.75 97,1,2024-09-07 08:51:10:766,417823,417823,0,0,195835033255,2033189918,414794,2474,555,367,392140,0 97,2,2024-09-07 08:51:10:631,299902,299902,0,0,12171239,0,3036 97,3,2024-09-07 08:51:10:591,1,237,14,0,214,2874,237,0 98,0,2024-09-07 08:51:11:740,59066,0.3,59218,0.5,118898,0.2,157812,1.50 98,1,2024-09-07 08:51:10:584,417733,417733,0,0,195411312083,2035672397,415597,2038,98,382,391997,0 98,2,2024-09-07 08:51:10:776,300105,300105,0,0,12252607,0,3080 98,3,2024-09-07 08:51:10:703,1,237,1,0,840,4527,237,0 99,0,2024-09-07 08:51:11:465,59828,0.3,59954,0.4,119694,0.3,159491,1.50 99,1,2024-09-07 08:51:11:745,417414,417414,0,0,194160467189,2026936872,413631,3044,739,381,391744,0 99,2,2024-09-07 08:51:11:436,301915,301915,0,0,12930856,0,3106 99,3,2024-09-07 08:51:10:591,1,237,9,0,168,1898,237,0 100,0,2024-09-07 08:51:11:464,59093,0.7,59063,1.0,118015,0.9,157801,2.25 100,1,2024-09-07 08:51:10:548,414785,414785,0,0,193738024669,2051886052,407954,5514,1317,381,391989,0 100,2,2024-09-07 08:51:11:820,299300,299289,11,0,14398336,0,5417 100,3,2024-09-07 08:51:11:730,1,237,1,0,559,4843,237,0 101,0,2024-09-07 08:51:11:754,59680,2.0,58112,1.3,114014,2.5,157300,2.75 101,1,2024-09-07 08:51:10:567,415189,415189,0,0,193946492425,2048168306,407845,5573,1771,368,391769,0 101,2,2024-09-07 08:51:11:758,298143,298143,0,0,15579180,0,4644 101,3,2024-09-07 08:51:10:956,1,237,5,0,448,3112,237,0 102,0,2024-09-07 08:51:11:032,58147,0.8,60357,0.8,121107,0.8,158817,2.25 102,1,2024-09-07 08:51:11:150,415912,415912,0,0,195095225068,2052506257,410265,4808,839,369,391883,0 102,2,2024-09-07 08:51:11:744,299352,299298,54,0,14250018,0,6768 102,3,2024-09-07 08:51:11:617,1,237,1,0,410,2527,237,0 103,0,2024-09-07 08:51:11:611,61763,0.5,61767,0.7,116538,0.4,160226,2.00 103,1,2024-09-07 08:51:11:644,415108,415108,0,0,194289792689,2050675998,408818,4909,1381,381,391829,0 103,2,2024-09-07 08:51:10:582,298448,298448,0,0,13431794,0,2582 103,3,2024-09-07 08:51:10:755,1,237,13,0,916,3521,237,0 104,0,2024-09-07 08:51:11:016,59317,0.8,59801,1.0,118185,0.8,159235,2.25 104,1,2024-09-07 08:51:11:613,416559,416559,0,0,194451713316,2048611223,409892,5572,1095,365,391948,0 104,2,2024-09-07 08:51:11:665,299397,299397,0,0,15158212,0,3941 104,3,2024-09-07 08:51:11:422,1,237,1,0,1245,6345,237,0 105,0,2024-09-07 08:51:11:068,57771,1.6,55992,1.3,117126,2.6,155133,3.75 105,1,2024-09-07 08:51:10:588,416361,416361,0,0,194994782677,2049983192,410066,5319,976,367,391797,0 105,2,2024-09-07 08:51:11:337,298646,298646,0,0,14594949,0,3509 105,3,2024-09-07 08:51:11:310,1,237,2,0,399,4211,237,0 106,0,2024-09-07 08:51:10:972,57687,1.1,59069,1.1,121030,1.3,157926,2.75 106,1,2024-09-07 08:51:11:757,416085,416085,0,0,194627193346,2049132898,409002,6325,758,369,391767,0 106,2,2024-09-07 08:51:10:756,297421,297421,0,0,14053638,0,2795 106,3,2024-09-07 08:51:10:685,1,237,1,0,470,3536,237,0 107,0,2024-09-07 08:51:11:173,59918,0.8,59872,0.9,119616,0.8,159255,2.00 107,1,2024-09-07 08:51:10:588,415227,415227,0,0,194597184764,2050541078,409911,4770,546,381,392234,0 107,2,2024-09-07 08:51:11:300,299403,299402,1,0,14719712,0,5024 107,3,2024-09-07 08:51:11:765,1,237,1,0,353,3353,237,0 108,0,2024-09-07 08:51:11:832,59849,0.4,60091,0.6,119043,0.4,159197,1.75 108,1,2024-09-07 08:51:11:301,416802,416802,0,0,195052454497,2033852742,413602,2816,384,368,391857,0 108,2,2024-09-07 08:51:11:758,299012,299012,0,0,13177647,0,2647 108,3,2024-09-07 08:51:11:333,1,237,11,0,749,4809,237,0 109,0,2024-09-07 08:51:11:782,59173,0.4,58530,0.6,117348,0.4,157483,1.75 109,1,2024-09-07 08:51:10:593,415731,415731,0,0,194756421686,2044324879,411945,3271,515,383,392132,0 109,2,2024-09-07 08:51:10:940,298444,298444,0,0,13668291,0,3617 109,3,2024-09-07 08:51:11:144,1,237,17,0,249,2930,237,0 110,0,2024-09-07 08:51:11:790,59779,0.4,58248,0.6,121698,0.4,159505,1.75 110,1,2024-09-07 08:51:11:642,417764,417764,0,0,196199850742,2038917649,414622,2265,877,370,392045,0 110,2,2024-09-07 08:51:11:303,300312,300312,0,0,12913433,0,3264 110,3,2024-09-07 08:51:10:700,1,237,0,0,406,3200,237,0 111,0,2024-09-07 08:51:11:420,59675,0.4,59217,0.5,118163,0.3,158603,1.75 111,1,2024-09-07 08:51:11:003,418107,418107,0,0,196115585822,2033944169,416118,1653,336,382,391690,0 111,2,2024-09-07 08:51:11:127,299681,299681,0,0,12457013,0,2763 111,3,2024-09-07 08:51:10:913,1,237,1,0,379,3338,237,0 112,0,2024-09-07 08:51:10:946,59692,0.3,59582,0.4,119278,0.2,158484,1.50 112,1,2024-09-07 08:51:10:833,418497,418497,0,0,195023688651,2024453577,416081,1988,428,380,391580,0 112,2,2024-09-07 08:51:11:135,299581,299580,1,0,12720179,0,5036 112,3,2024-09-07 08:51:10:604,1,237,1,0,282,2542,237,0 113,0,2024-09-07 08:51:10:881,58550,0.3,58409,0.5,117338,0.3,157053,1.75 113,1,2024-09-07 08:51:11:710,418819,418819,0,0,196842166338,2033198708,416324,2006,489,366,391661,0 113,2,2024-09-07 08:51:11:303,301660,301660,0,0,11559511,0,3813 113,3,2024-09-07 08:51:10:686,1,237,1,0,340,3575,237,0 114,0,2024-09-07 08:51:10:880,59242,0.4,59717,0.6,118274,0.3,158486,1.75 114,1,2024-09-07 08:51:10:728,417184,417184,0,0,194977584281,2034220378,412378,3217,1589,381,391556,0 114,2,2024-09-07 08:51:10:874,299250,299249,1,0,12643708,0,5069 114,3,2024-09-07 08:51:11:278,1,237,1,0,395,2650,237,0 115,0,2024-09-07 08:51:10:561,59022,0.3,59374,0.4,118760,0.2,157937,1.75 115,1,2024-09-07 08:51:10:576,416940,416940,0,0,195440155653,2035664275,412583,3523,834,382,391602,0 115,2,2024-09-07 08:51:11:139,300213,300213,0,0,10975741,0,2152 115,3,2024-09-07 08:51:11:001,1,237,2,0,159,1355,237,0 116,0,2024-09-07 08:51:11:720,59519,0.7,59329,0.8,118796,0.6,158827,2.00 116,1,2024-09-07 08:51:10:815,414610,414610,0,0,193785517497,2054082638,408220,4335,2055,380,391782,0 116,2,2024-09-07 08:51:11:766,298736,298736,0,0,15653416,0,3529 116,3,2024-09-07 08:51:10:925,1,237,2,0,415,3518,237,0 117,0,2024-09-07 08:51:10:960,59001,0.8,58830,0.9,117749,0.9,157779,2.00 117,1,2024-09-07 08:51:11:586,416573,416573,0,0,193583542845,2029197233,411878,4215,480,370,392033,0 117,2,2024-09-07 08:51:11:138,302775,302775,0,0,12957691,0,3700 117,3,2024-09-07 08:51:11:061,1,237,43,0,490,3982,237,0 118,0,2024-09-07 08:51:11:799,56912,0.7,58409,0.8,119168,0.7,156223,2.00 118,1,2024-09-07 08:51:10:605,416226,416226,0,0,194584447444,2048874167,409865,4929,1432,366,391736,0 118,2,2024-09-07 08:51:11:592,298329,298329,0,0,13895290,0,2781 118,3,2024-09-07 08:51:11:795,1,237,19,0,235,2803,237,0 119,0,2024-09-07 08:51:11:391,59434,1.0,59894,0.9,119883,1.3,159054,2.25 119,1,2024-09-07 08:51:10:553,417560,417560,0,0,195638692121,2045799966,412914,4124,522,367,391641,0 119,2,2024-09-07 08:51:11:269,298940,298940,0,0,13225005,0,4174 119,3,2024-09-07 08:51:11:327,1,237,4,0,443,3441,237,0 120,0,2024-09-07 08:51:11:547,59577,0.5,59306,0.8,119043,0.5,158746,2.00 120,1,2024-09-07 08:51:10:871,416488,416488,0,0,195157692186,2049891943,411814,4324,350,368,391961,0 120,2,2024-09-07 08:51:10:772,299277,299276,1,0,15843255,0,5281 120,3,2024-09-07 08:51:11:291,1,237,2,0,241,3191,237,0 121,0,2024-09-07 08:51:11:945,60097,1.0,60227,1.0,120454,1.3,160071,2.00 121,1,2024-09-07 08:51:11:655,416837,416837,0,0,194799477155,2041523754,412246,4177,414,367,391840,0 121,2,2024-09-07 08:51:11:141,298966,298966,0,0,15173641,0,4127 121,3,2024-09-07 08:51:10:731,1,237,1,0,269,2789,237,0 122,0,2024-09-07 08:51:11:777,58600,1.0,57116,1.0,119751,1.1,157745,2.25 122,1,2024-09-07 08:51:10:869,415743,415743,0,0,194973980130,2049913307,409683,5244,816,366,392130,0 122,2,2024-09-07 08:51:11:328,298352,298279,73,0,17541986,0,5989 122,3,2024-09-07 08:51:10:596,1,237,3,0,411,4871,237,0 123,0,2024-09-07 08:51:10:957,59700,1.0,58322,0.9,121655,1.2,159233,2.25 123,1,2024-09-07 08:51:10:561,416097,416097,0,0,195054760366,2061573825,407428,6953,1716,369,391823,0 123,2,2024-09-07 08:51:11:027,297203,297202,1,0,14340182,0,5215 123,3,2024-09-07 08:51:11:132,1,237,1,0,168,2908,237,0 124,0,2024-09-07 08:51:10:938,61473,0.3,61415,0.5,116018,0.3,159234,1.75 124,1,2024-09-07 08:51:11:034,418032,418032,0,0,194764000460,2025506535,415197,2473,362,367,392178,0 124,2,2024-09-07 08:51:11:014,300268,300268,0,0,11768930,0,3101 124,3,2024-09-07 08:51:10:761,1,237,2,0,490,2743,237,0 125,0,2024-09-07 08:51:11:443,59425,0.3,59271,0.5,118996,0.3,158225,1.75 125,1,2024-09-07 08:51:10:856,416658,416658,0,0,195468479084,2040781428,413572,2695,391,383,391702,0 125,2,2024-09-07 08:51:11:136,300328,300328,0,0,12815836,0,4534 125,3,2024-09-07 08:51:11:138,1,237,1,0,709,3486,237,0 126,0,2024-09-07 08:51:11:432,59493,0.5,61118,0.7,116789,0.6,158537,1.75 126,1,2024-09-07 08:51:10:551,418229,418229,0,0,195556710955,2028593158,415550,2417,262,365,391987,0 126,2,2024-09-07 08:51:10:615,301258,301258,0,0,13084115,0,3186 126,3,2024-09-07 08:51:10:912,1,237,2,0,183,3270,237,0 127,0,2024-09-07 08:51:11:626,58430,0.4,58765,0.5,116851,0.4,156275,1.75 127,1,2024-09-07 08:51:10:573,417327,417327,0,0,195167559607,2024319664,414385,2789,153,365,391816,0 127,2,2024-09-07 08:51:10:647,299970,299970,0,0,11748140,0,2264 127,3,2024-09-07 08:51:11:268,1,237,1,0,968,2926,237,0 128,0,2024-09-07 08:51:11:528,59534,0.3,59554,0.5,118777,0.2,158178,1.50 128,1,2024-09-07 08:51:11:650,417208,417208,0,0,195018903201,2024394276,415042,2009,157,367,391798,0 128,2,2024-09-07 08:51:11:382,299586,299586,0,0,11707452,0,2434 128,3,2024-09-07 08:51:10:783,1,237,11,0,1082,5336,237,0 129,0,2024-09-07 08:51:11:007,60296,0.3,59939,0.4,119812,0.2,159115,1.50 129,1,2024-09-07 08:51:10:570,415290,415290,0,0,194507872730,2041356574,411145,3217,928,379,391835,0 129,2,2024-09-07 08:51:10:693,300320,300320,0,0,12645774,0,4031 129,3,2024-09-07 08:51:10:695,1,237,4,0,469,3270,237,0 130,0,2024-09-07 08:51:11:745,59628,0.5,59379,0.6,119033,0.6,158691,1.75 130,1,2024-09-07 08:51:10:588,417851,417851,0,0,196295953138,2043490844,415420,2354,77,381,391825,0 130,2,2024-09-07 08:51:11:140,302170,302170,0,0,12354040,0,4067 130,3,2024-09-07 08:51:11:292,1,237,9,0,450,3333,237,0 131,0,2024-09-07 08:51:11:934,58592,0.4,58844,0.6,118564,0.4,157282,1.75 131,1,2024-09-07 08:51:11:823,418008,418008,0,0,196108958292,2046092890,414967,2583,458,381,391865,0 131,2,2024-09-07 08:51:10:567,300960,300960,0,0,11223058,0,2415 131,3,2024-09-07 08:51:11:695,1,237,1,0,392,2485,237,0 132,0,2024-09-07 08:51:11:413,59458,0.5,60076,0.7,119920,0.5,159298,2.00 132,1,2024-09-07 08:51:10:596,415230,415230,0,0,194670608003,2056204238,408228,5749,1253,381,392097,0 132,2,2024-09-07 08:51:10:726,299151,299151,0,0,14923251,0,4606 132,3,2024-09-07 08:51:11:703,1,237,5,0,356,3476,237,0 133,0,2024-09-07 08:51:11:519,58527,0.4,59945,0.6,122634,0.3,159040,1.75 133,1,2024-09-07 08:51:10:748,415353,415353,0,0,195195965041,2056640670,409881,4805,667,383,391914,0 133,2,2024-09-07 08:51:11:087,298975,298975,0,0,15963196,0,4315 133,3,2024-09-07 08:51:11:298,1,237,1,0,276,2418,237,0 134,0,2024-09-07 08:51:10:956,59780,0.5,59726,0.7,119895,0.4,159207,2.00 134,1,2024-09-07 08:51:10:590,415641,415641,0,0,193989248473,2040798167,409197,4974,1470,366,391718,0 134,2,2024-09-07 08:51:11:756,300221,300221,0,0,13506544,0,3847 134,3,2024-09-07 08:51:10:748,1,237,1,0,739,3664,237,0 135,0,2024-09-07 08:51:11:121,56496,1.0,56437,1.0,119758,1.1,155108,2.25 135,1,2024-09-07 08:51:11:616,415052,415052,0,0,195649331810,2061072122,408860,5196,996,380,391805,0 135,2,2024-09-07 08:51:10:690,298990,298990,0,0,14764364,0,3981 135,3,2024-09-07 08:51:11:002,1,237,2,0,299,1829,237,0 136,0,2024-09-07 08:51:11:625,60151,0.7,60081,0.8,120089,0.7,159668,2.25 136,1,2024-09-07 08:51:11:441,415522,415522,0,0,194149098394,2043165730,410005,4933,584,382,391685,0 136,2,2024-09-07 08:51:11:135,299694,299694,0,0,14260204,0,3506 136,3,2024-09-07 08:51:11:113,1,237,9,0,126,1855,237,0 137,0,2024-09-07 08:51:11:047,61741,0.6,60013,0.8,118563,0.6,160406,2.00 137,1,2024-09-07 08:51:10:596,415714,415714,0,0,194631461855,2046413815,408772,5525,1417,366,391708,0 137,2,2024-09-07 08:51:11:711,299564,299564,0,0,15788365,0,3185 137,3,2024-09-07 08:51:10:770,1,237,56,0,382,3102,237,0 138,0,2024-09-07 08:51:11:776,59277,0.8,59306,0.9,119278,0.9,158725,2.00 138,1,2024-09-07 08:51:11:929,415758,415758,0,0,194908729704,2050696153,408796,5831,1131,368,391954,0 138,2,2024-09-07 08:51:10:588,299830,299830,0,0,14589984,0,4988 138,3,2024-09-07 08:51:10:622,1,237,1,0,1160,3817,237,0 139,0,2024-09-07 08:51:11:383,57836,2.2,58003,1.4,116548,3.2,155847,2.75 139,1,2024-09-07 08:51:10:579,414253,414253,0,0,193824207863,2062926644,405772,6369,2112,381,391892,0 139,2,2024-09-07 08:51:10:700,297377,297377,0,0,15183038,0,3097 139,3,2024-09-07 08:51:11:672,1,237,2,0,244,2514,237,0 140,0,2024-09-07 08:51:11:599,60115,0.3,59644,0.5,119872,0.3,159633,1.75 140,1,2024-09-07 08:51:11:541,418685,418685,0,0,196457095617,2026205025,416707,1737,241,365,391606,0 140,2,2024-09-07 08:51:10:689,300347,300347,0,0,12029613,0,3388 140,3,2024-09-07 08:51:10:767,1,237,1,0,247,2138,237,0 141,0,2024-09-07 08:51:11:752,59370,0.3,61037,0.4,116721,0.2,158678,1.50 141,1,2024-09-07 08:51:10:868,418070,418070,0,0,195764462787,2032645094,415500,2162,408,379,391614,0 141,2,2024-09-07 08:51:11:696,300129,300129,0,0,11659707,0,2342 141,3,2024-09-07 08:51:11:047,1,237,10,0,202,2304,237,0 142,0,2024-09-07 08:51:11:315,60285,0.3,59676,0.4,118592,0.2,158769,1.50 142,1,2024-09-07 08:51:10:599,417514,417514,0,0,195296677900,2037844554,414725,2524,265,382,392102,0 142,2,2024-09-07 08:51:11:299,299297,299265,32,0,13415527,0,6028 142,3,2024-09-07 08:51:11:746,1,237,0,0,484,3078,237,0 143,0,2024-09-07 08:51:11:373,58761,0.5,58792,0.6,117995,0.5,156835,1.75 143,1,2024-09-07 08:51:10:571,417729,417729,0,0,195136345103,2025436657,415016,2618,95,367,391619,0 143,2,2024-09-07 08:51:10:771,300666,300666,0,0,12603239,0,2669 143,3,2024-09-07 08:51:11:152,1,237,1,0,303,3187,237,0 144,0,2024-09-07 08:51:11:514,57016,0.7,58546,1.0,119186,0.7,156673,2.25 144,1,2024-09-07 08:51:10:572,415442,415442,0,0,194463929148,2043789884,411444,3076,922,381,391649,0 144,2,2024-09-07 08:51:11:758,300291,300291,0,0,12006734,0,3473 144,3,2024-09-07 08:51:11:751,1,237,1,0,249,2876,237,0 145,0,2024-09-07 08:51:11:369,56905,0.6,56843,0.9,120560,0.6,156150,2.25 145,1,2024-09-07 08:51:10:552,414841,414841,0,0,194050755380,2043477456,409348,4671,822,382,391698,0 145,2,2024-09-07 08:51:11:434,298363,298363,0,0,14053263,0,3903 145,3,2024-09-07 08:51:10:901,1,237,2,0,622,3959,237,0 146,0,2024-09-07 08:51:11:611,59519,0.5,59087,0.7,119097,0.5,158092,2.25 146,1,2024-09-07 08:51:11:599,415974,415974,0,0,194951776391,2057864225,407729,6399,1846,368,391770,0 146,2,2024-09-07 08:51:11:705,298655,298655,0,0,14187677,0,2730 146,3,2024-09-07 08:51:11:278,1,237,0,0,1520,5874,237,0 147,0,2024-09-07 08:51:11:744,58913,0.6,58862,0.8,116863,0.6,157494,2.25 147,1,2024-09-07 08:51:11:376,417656,417656,0,0,195337476907,2034589741,413561,3510,585,368,391791,0 147,2,2024-09-07 08:51:11:013,302295,302295,0,0,12067782,0,2789 147,3,2024-09-07 08:51:10:916,1,237,2,0,730,3878,237,0 0,0,2024-09-07 08:51:21:751,58150,0.5,58133,0.7,123303,0.4,159416,1.75 0,1,2024-09-07 08:51:20:823,418503,418503,0,0,195834774392,2050405452,415294,3005,204,370,391772,0 0,2,2024-09-07 08:51:21:069,301168,301168,0,0,12812844,0,4480 0,3,2024-09-07 08:51:20:977,1,238,6,0,319,3333,238,0 1,0,2024-09-07 08:51:21:852,60374,0.9,59886,1.0,120549,1.1,160123,2.00 1,1,2024-09-07 08:51:20:565,418147,418147,0,0,195375493144,2049719319,413653,3441,1053,371,391857,0 1,2,2024-09-07 08:51:20:668,300990,300990,0,0,11819032,0,3267 1,3,2024-09-07 08:51:21:320,1,238,2,0,262,2889,238,0 2,0,2024-09-07 08:51:21:567,59170,0.8,59454,0.8,118135,1.0,158145,2.00 2,1,2024-09-07 08:51:20:866,418637,418637,0,0,195788640753,2035542765,416533,1886,218,380,391745,0 2,2,2024-09-07 08:51:21:275,300523,300523,0,0,12337970,0,3594 2,3,2024-09-07 08:51:20:698,1,238,1,0,357,2256,238,0 3,0,2024-09-07 08:51:21:744,60068,0.5,60108,0.7,119552,0.5,159142,2.00 3,1,2024-09-07 08:51:21:631,418180,418180,0,0,195705955687,2041203919,414341,3377,462,380,391523,0 3,2,2024-09-07 08:51:21:141,300801,300778,23,0,12630469,0,5851 3,3,2024-09-07 08:51:21:753,1,238,2,0,103,1503,238,0 4,0,2024-09-07 08:51:21:784,58016,0.4,59658,0.5,121401,0.3,159110,1.75 4,1,2024-09-07 08:51:20:605,417937,417937,0,0,195542107673,2055800049,413224,3925,788,371,391846,0 4,2,2024-09-07 08:51:21:030,300367,300367,0,0,14789232,0,4528 4,3,2024-09-07 08:51:21:031,1,238,26,0,448,3747,238,0 5,0,2024-09-07 08:51:21:431,59574,0.4,59905,0.5,118967,0.4,158757,1.75 5,1,2024-09-07 08:51:20:758,417904,417904,0,0,195720741871,2060301599,412418,4374,1112,368,392005,0 5,2,2024-09-07 08:51:21:841,299509,299509,0,0,13410130,0,2432 5,3,2024-09-07 08:51:21:735,1,238,7,0,457,3796,238,0 6,0,2024-09-07 08:51:20:937,60043,0.6,59397,0.8,118745,0.6,158985,2.00 6,1,2024-09-07 08:51:20:748,418257,418257,0,0,195452810700,2045059510,413589,3824,844,379,391651,0 6,2,2024-09-07 08:51:21:116,300697,300697,0,0,13413342,0,4816 6,3,2024-09-07 08:51:21:282,1,238,0,0,340,2880,238,0 7,0,2024-09-07 08:51:21:531,58565,0.5,58682,0.7,117098,0.5,156589,2.00 7,1,2024-09-07 08:51:20:855,417938,417938,0,0,195893877862,2058090407,412038,4897,1003,382,391747,0 7,2,2024-09-07 08:51:20:770,300329,300329,0,0,13239338,0,4791 7,3,2024-09-07 08:51:20:854,1,238,1,0,398,2756,238,0 8,0,2024-09-07 08:51:21:378,59561,0.4,59580,0.5,118638,0.3,158617,1.75 8,1,2024-09-07 08:51:21:052,416594,416594,0,0,195103423130,2061355401,408535,6050,2009,367,391956,0 8,2,2024-09-07 08:51:20:798,297051,297051,0,0,15825754,0,3220 8,3,2024-09-07 08:51:20:594,1,238,10,0,357,3627,238,0 9,0,2024-09-07 08:51:21:143,60066,0.3,58314,0.5,121760,0.3,159584,1.75 9,1,2024-09-07 08:51:20:566,417394,417394,0,0,195710578644,2066020174,410913,4941,1540,370,392001,0 9,2,2024-09-07 08:51:21:086,300288,300288,0,0,14028602,0,3360 9,3,2024-09-07 08:51:21:763,1,238,1,0,496,3603,238,0 10,0,2024-09-07 08:51:21:606,59676,0.3,59134,0.5,119031,0.2,158710,1.75 10,1,2024-09-07 08:51:20:586,418267,418267,0,0,195896357675,2056654306,411908,5431,928,381,391741,0 10,2,2024-09-07 08:51:20:770,301821,301821,0,0,15523434,0,4264 10,3,2024-09-07 08:51:20:874,1,238,0,0,177,2031,238,0 11,0,2024-09-07 08:51:21:014,58483,0.5,56853,0.7,118985,0.4,158008,2.00 11,1,2024-09-07 08:51:20:585,418009,418009,0,0,195328105307,2058646270,409821,6207,1981,383,391537,0 11,2,2024-09-07 08:51:21:123,300220,300220,0,0,14527203,0,4130 11,3,2024-09-07 08:51:21:304,1,238,1,0,843,3963,238,0 12,0,2024-09-07 08:51:20:957,60239,0.4,60022,0.5,120095,0.3,159557,1.75 12,1,2024-09-07 08:51:21:158,418335,418335,0,0,195340728039,2038844035,414825,3089,421,370,391870,0 12,2,2024-09-07 08:51:21:546,301050,301050,0,0,13644828,0,3469 12,3,2024-09-07 08:51:21:060,1,238,1,0,386,4102,238,0 13,0,2024-09-07 08:51:21:497,60449,0.3,60354,0.5,120281,0.3,159965,1.50 13,1,2024-09-07 08:51:21:554,418329,418329,0,0,196066716604,2059026729,414783,3005,541,382,391717,0 13,2,2024-09-07 08:51:20:601,301442,301442,0,0,12830002,0,3287 13,3,2024-09-07 08:51:21:764,1,238,13,0,467,3860,238,0 14,0,2024-09-07 08:51:20:564,60046,0.4,60531,0.6,119873,0.3,159391,1.75 14,1,2024-09-07 08:51:21:561,420864,420864,0,0,197097058545,2041754783,418033,2642,189,364,391571,0 14,2,2024-09-07 08:51:20:772,301653,301653,0,0,12826734,0,2896 14,3,2024-09-07 08:51:21:115,1,238,1,0,1168,3421,238,0 15,0,2024-09-07 08:51:21:570,58627,0.5,58901,0.8,117707,0.6,157174,2.00 15,1,2024-09-07 08:51:21:628,419080,419080,0,0,196490773053,2044112861,416561,2341,178,381,391619,0 15,2,2024-09-07 08:51:20:999,300676,300676,0,0,10856690,0,3043 15,3,2024-09-07 08:51:21:409,1,238,3,0,1126,5199,238,0 16,0,2024-09-07 08:51:20:941,60072,0.8,60281,0.9,119827,0.9,159891,2.25 16,1,2024-09-07 08:51:20:572,419018,419018,0,0,195562442206,2045980858,415464,3255,299,370,391756,0 16,2,2024-09-07 08:51:21:442,299858,299858,0,0,13481844,0,4719 16,3,2024-09-07 08:51:21:157,1,238,8,0,317,3396,238,0 17,0,2024-09-07 08:51:21:774,61965,0.5,60414,0.7,118389,0.4,160500,1.75 17,1,2024-09-07 08:51:20:594,417771,417771,0,0,195212447669,2052193977,412937,3731,1103,368,391688,0 17,2,2024-09-07 08:51:21:669,304106,304106,0,0,12669202,0,2857 17,3,2024-09-07 08:51:20:587,1,238,40,0,268,3957,238,0 18,0,2024-09-07 08:51:20:943,59324,0.7,59511,0.8,118939,0.7,158907,2.25 18,1,2024-09-07 08:51:21:638,419191,419191,0,0,196689237368,2040239824,416685,2265,241,367,391649,0 18,2,2024-09-07 08:51:21:765,301971,301971,0,0,12311906,0,3541 18,3,2024-09-07 08:51:20:896,1,238,0,0,163,2505,238,0 19,0,2024-09-07 08:51:21:578,58654,0.7,59305,0.9,117223,0.7,156632,2.25 19,1,2024-09-07 08:51:20:577,418940,418940,0,0,197239775174,2051842810,414307,3847,786,367,391777,0 19,2,2024-09-07 08:51:21:752,301880,301880,0,0,11345590,0,3988 19,3,2024-09-07 08:51:21:134,1,238,1,0,524,1857,238,0 20,0,2024-09-07 08:51:21:390,59631,0.7,59680,0.8,119648,0.8,159403,2.25 20,1,2024-09-07 08:51:20:585,417800,417800,0,0,196016963363,2052316857,413987,3415,398,369,391886,0 20,2,2024-09-07 08:51:21:007,300815,300815,0,0,12891012,0,3721 20,3,2024-09-07 08:51:20:595,1,238,33,0,414,4561,238,0 21,0,2024-09-07 08:51:21:140,59698,0.5,59968,0.7,119551,0.5,158661,2.00 21,1,2024-09-07 08:51:21:553,417026,417026,0,0,194996041752,2055837087,411308,4461,1257,368,391962,0 21,2,2024-09-07 08:51:21:067,300311,300311,0,0,14597479,0,3747 21,3,2024-09-07 08:51:21:409,1,238,11,0,103,2579,238,0 22,0,2024-09-07 08:51:21:719,59521,0.6,59594,0.8,118793,0.6,158188,2.25 22,1,2024-09-07 08:51:21:029,417546,417546,0,0,194734968145,2053775561,410359,5545,1642,382,391667,0 22,2,2024-09-07 08:51:20:760,300798,300798,0,0,12855529,0,3134 22,3,2024-09-07 08:51:21:066,1,238,0,0,228,2286,238,0 23,0,2024-09-07 08:51:21:370,58933,0.6,58672,0.8,117951,0.7,157632,2.25 23,1,2024-09-07 08:51:21:012,418020,418020,0,0,196405330517,2065718179,410711,4758,2551,365,391690,0 23,2,2024-09-07 08:51:21:101,301775,301775,0,0,12471682,0,3010 23,3,2024-09-07 08:51:21:754,1,238,1,0,645,3110,238,0 24,0,2024-09-07 08:51:20:864,59586,0.5,59458,0.6,119292,0.4,158632,1.75 24,1,2024-09-07 08:51:20:602,417804,417804,0,0,195373915319,2053029798,412197,4128,1479,368,391987,0 24,2,2024-09-07 08:51:21:084,299351,299351,0,0,14999315,0,3607 24,3,2024-09-07 08:51:21:700,1,238,15,0,468,3653,238,0 25,0,2024-09-07 08:51:21:364,60773,0.5,59368,0.7,116289,0.5,159042,2.00 25,1,2024-09-07 08:51:20:590,418357,418357,0,0,195723921064,2050967576,414074,3729,554,371,391788,0 25,2,2024-09-07 08:51:21:618,299516,299516,0,0,15369511,0,3978 25,3,2024-09-07 08:51:21:000,1,238,2,0,255,2660,238,0 26,0,2024-09-07 08:51:21:727,59521,0.4,58191,0.6,121991,0.3,158847,1.75 26,1,2024-09-07 08:51:21:548,418071,418071,0,0,195024777888,2049774777,411108,5747,1216,381,391748,0 26,2,2024-09-07 08:51:20:864,300390,300390,0,0,15099567,0,2809 26,3,2024-09-07 08:51:21:712,1,238,1,0,796,3223,238,0 27,0,2024-09-07 08:51:21:725,59753,0.4,59640,0.6,118134,0.4,158196,2.00 27,1,2024-09-07 08:51:21:680,420062,420062,0,0,196781056011,2049204865,416613,2857,592,381,391558,0 27,2,2024-09-07 08:51:20:875,300670,300605,65,0,15286998,0,5699 27,3,2024-09-07 08:51:21:015,1,238,1,0,564,2690,238,0 28,0,2024-09-07 08:51:21:413,59117,0.5,58824,0.7,118223,0.5,158203,2.00 28,1,2024-09-07 08:51:20:804,419453,419453,0,0,196021591067,2042495605,416615,2367,471,383,391646,0 28,2,2024-09-07 08:51:21:767,300694,300694,0,0,12049146,0,2915 28,3,2024-09-07 08:51:21:777,1,238,1,0,502,2548,238,0 29,0,2024-09-07 08:51:21:387,61511,0.4,60179,0.7,117519,0.4,160502,1.75 29,1,2024-09-07 08:51:21:567,420144,420144,0,0,197027829473,2041784540,416921,2658,565,369,391621,0 29,2,2024-09-07 08:51:20:864,299888,299888,0,0,11526841,0,4018 29,3,2024-09-07 08:51:20:963,1,238,1,0,115,1752,238,0 30,0,2024-09-07 08:51:21:457,59661,0.5,58065,0.7,121375,0.5,158794,2.00 30,1,2024-09-07 08:51:20:585,420192,420192,0,0,196570707005,2038464684,417863,2036,293,382,391672,0 30,2,2024-09-07 08:51:21:278,301634,301634,0,0,11431727,0,3161 30,3,2024-09-07 08:51:20:583,1,238,1,0,195,1875,238,0 31,0,2024-09-07 08:51:21:765,59828,0.4,60160,0.6,120619,0.4,159921,2.00 31,1,2024-09-07 08:51:20:564,421365,421365,0,0,197516481335,2025139063,419977,1169,219,356,391712,0 31,2,2024-09-07 08:51:21:275,301081,301081,0,0,12978921,0,3525 31,3,2024-09-07 08:51:21:709,1,238,0,0,220,1905,238,0 32,0,2024-09-07 08:51:21:445,59094,0.4,59613,0.6,119047,0.3,158586,1.75 32,1,2024-09-07 08:51:20:807,420168,420168,0,0,196528066901,2040462102,418214,1675,279,381,391614,0 32,2,2024-09-07 08:51:20:940,300739,300739,0,0,11263823,0,3155 32,3,2024-09-07 08:51:21:020,1,238,3,0,227,1995,238,0 33,0,2024-09-07 08:51:21:520,60532,0.3,59752,0.5,120344,0.2,160082,1.75 33,1,2024-09-07 08:51:20:576,420210,420210,0,0,196492886567,2031960332,417630,2406,174,369,391730,0 33,2,2024-09-07 08:51:20:759,301347,301314,33,0,13038651,0,7012 33,3,2024-09-07 08:51:20:902,1,238,4,0,329,2882,238,0 34,0,2024-09-07 08:51:20:929,59840,0.3,61536,0.5,118116,0.2,158420,1.75 34,1,2024-09-07 08:51:21:051,420853,420853,0,0,197121916806,2028762977,419758,1088,7,367,391562,0 34,2,2024-09-07 08:51:20:767,301647,301647,0,0,12325451,0,3577 34,3,2024-09-07 08:51:21:688,1,238,1,0,299,2033,238,0 35,0,2024-09-07 08:51:20:865,59111,0.3,59468,0.5,119495,0.3,159159,1.75 35,1,2024-09-07 08:51:21:067,419507,419507,0,0,196555988239,2040730420,416164,2563,780,384,391589,0 35,2,2024-09-07 08:51:21:589,301490,301490,0,0,11779092,0,2653 35,3,2024-09-07 08:51:20:906,1,238,2,0,418,2947,238,0 36,0,2024-09-07 08:51:21:556,59682,0.7,59614,0.8,119329,0.7,158680,2.25 36,1,2024-09-07 08:51:20:599,418915,418915,0,0,196342788508,2056083997,412952,4385,1578,366,391759,0 36,2,2024-09-07 08:51:21:764,300206,300206,0,0,13731489,0,3875 36,3,2024-09-07 08:51:20:871,1,238,1,0,416,3959,238,0 37,0,2024-09-07 08:51:21:384,58411,0.6,58408,0.7,117022,0.6,157546,2.00 37,1,2024-09-07 08:51:20:585,417923,417916,0,7,195175137001,2048768699,411909,3940,2067,365,391560,0 37,2,2024-09-07 08:51:21:148,300183,300168,15,0,13974873,0,5815 37,3,2024-09-07 08:51:21:779,1,238,7,0,888,4323,238,0 38,0,2024-09-07 08:51:21:448,58908,0.5,57225,0.7,119786,0.4,156845,2.00 38,1,2024-09-07 08:51:21:613,419320,419320,0,0,195993739083,2048764588,414050,4595,675,368,391821,0 38,2,2024-09-07 08:51:20:760,300479,300432,47,0,14369232,0,6710 38,3,2024-09-07 08:51:20:997,1,238,1,0,689,3564,238,0 39,0,2024-09-07 08:51:21:766,61237,0.6,60115,0.7,116679,0.6,159677,2.00 39,1,2024-09-07 08:51:20:730,418941,418941,0,0,195733931858,2045784832,413389,4650,902,365,391594,0 39,2,2024-09-07 08:51:21:420,300193,300193,0,0,12485507,0,2689 39,3,2024-09-07 08:51:20:720,1,238,0,0,324,3395,238,0 40,0,2024-09-07 08:51:21:496,58916,0.8,59378,1.0,118820,0.8,158235,2.75 40,1,2024-09-07 08:51:20:577,418725,418725,0,0,194905203978,2046560106,411726,5556,1443,368,391591,0 40,2,2024-09-07 08:51:21:304,301595,301594,1,0,15590368,0,5137 40,3,2024-09-07 08:51:21:143,1,238,1,0,398,3005,238,0 41,0,2024-09-07 08:51:21:023,58242,2.2,59626,1.5,113748,3.4,156260,4.00 41,1,2024-09-07 08:51:20:771,418567,418567,0,0,196182594657,2054227271,413033,4900,634,370,391742,0 41,2,2024-09-07 08:51:20:772,299147,299147,0,0,14777186,0,3356 41,3,2024-09-07 08:51:21:679,1,238,11,0,366,2756,238,0 42,0,2024-09-07 08:51:21:474,58915,0.9,59257,1.1,118449,1.1,156240,2.75 42,1,2024-09-07 08:51:21:442,417284,417284,0,0,195816211731,2061638952,410126,5706,1452,380,391675,0 42,2,2024-09-07 08:51:21:137,300161,300161,0,0,14049852,0,3568 42,3,2024-09-07 08:51:21:010,1,238,0,0,446,2047,238,0 43,0,2024-09-07 08:51:20:917,59767,0.7,58242,0.9,121644,0.7,159679,2.25 43,1,2024-09-07 08:51:20:585,417865,417865,0,0,196203694223,2053388896,411606,4930,1329,366,391696,0 43,2,2024-09-07 08:51:21:745,300949,300949,0,0,13527129,0,3812 43,3,2024-09-07 08:51:21:749,1,238,3,0,467,3021,238,0 44,0,2024-09-07 08:51:20:880,59771,0.5,60165,0.7,120003,0.4,159537,1.75 44,1,2024-09-07 08:51:20:568,419909,419909,0,0,195260085558,2017686415,417355,2123,431,356,391809,0 44,2,2024-09-07 08:51:21:272,301249,301249,0,0,11455985,0,2231 44,3,2024-09-07 08:51:21:098,1,238,2,0,817,2908,238,0 45,0,2024-09-07 08:51:21:768,57816,0.8,56563,0.9,118666,0.8,157055,2.00 45,1,2024-09-07 08:51:21:006,419677,419677,0,0,196838043577,2040740696,418027,1638,12,382,391917,0 45,2,2024-09-07 08:51:21:274,300851,300851,0,0,12124160,0,3596 45,3,2024-09-07 08:51:20:942,1,238,3,0,271,2655,238,0 46,0,2024-09-07 08:51:20:951,59588,0.6,59531,0.8,119281,0.7,157644,2.25 46,1,2024-09-07 08:51:20:576,420715,420715,0,0,196550686705,2030431509,418369,2072,274,366,391539,0 46,2,2024-09-07 08:51:20:594,300756,300756,0,0,11722732,0,2920 46,3,2024-09-07 08:51:21:136,1,238,1,0,908,3745,238,0 47,0,2024-09-07 08:51:21:121,60427,0.4,60241,0.6,121197,0.4,160116,1.75 47,1,2024-09-07 08:51:20:578,420790,420790,0,0,196266099535,2027234343,418468,2188,134,366,391605,0 47,2,2024-09-07 08:51:20:920,303044,303044,0,0,11807296,0,2558 47,3,2024-09-07 08:51:21:124,1,238,2,0,529,2243,238,0 48,0,2024-09-07 08:51:21:575,60374,0.3,59982,0.4,119507,0.2,159719,1.50 48,1,2024-09-07 08:51:21:023,420106,420106,0,0,196282886569,2040845595,417006,2801,299,384,391710,0 48,2,2024-09-07 08:51:20:699,301372,301372,0,0,11413363,0,3031 48,3,2024-09-07 08:51:20:753,1,238,1,0,339,2085,238,0 49,0,2024-09-07 08:51:21:733,60797,0.4,59716,0.6,115644,0.3,158769,1.75 49,1,2024-09-07 08:51:21:026,418436,418436,0,0,196668031277,2051954558,414580,2675,1181,382,391610,0 49,2,2024-09-07 08:51:21:800,301638,301638,0,0,11939224,0,3900 49,3,2024-09-07 08:51:21:425,1,238,8,0,408,2741,238,0 50,0,2024-09-07 08:51:21:518,59920,0.3,59329,0.5,119442,0.2,159365,1.75 50,1,2024-09-07 08:51:21:011,420757,420757,0,0,197026769737,2039951683,417921,2526,310,368,391530,0 50,2,2024-09-07 08:51:21:067,301263,301263,0,0,11292267,0,2263 50,3,2024-09-07 08:51:21:293,1,238,1,0,335,2036,238,0 51,0,2024-09-07 08:51:21:683,61061,0.3,59739,0.5,116405,0.2,158985,1.75 51,1,2024-09-07 08:51:21:679,420423,420423,0,0,197862328471,2044146447,417628,1856,939,365,391706,0 51,2,2024-09-07 08:51:21:330,301325,301325,0,0,10681207,0,3337 51,3,2024-09-07 08:51:21:039,1,238,1,0,678,1996,238,0 52,0,2024-09-07 08:51:21:467,60329,0.4,59879,0.6,119563,0.4,158861,2.00 52,1,2024-09-07 08:51:20:576,418671,418671,0,0,194883963397,2047332995,411534,5883,1254,368,391722,0 52,2,2024-09-07 08:51:21:764,299221,299183,38,0,14624661,0,6742 52,3,2024-09-07 08:51:20:677,1,238,22,0,1782,4319,238,0 53,0,2024-09-07 08:51:21:733,58604,0.7,56966,0.8,119184,0.8,156660,2.50 53,1,2024-09-07 08:51:20:782,417542,417542,0,0,195617730276,2058259015,410218,4968,2356,367,391702,0 53,2,2024-09-07 08:51:21:303,301477,301477,0,0,12168132,0,2727 53,3,2024-09-07 08:51:20:704,1,238,1,0,271,2272,238,0 54,0,2024-09-07 08:51:21:627,58175,0.9,58604,0.9,116141,0.6,155770,2.75 54,1,2024-09-07 08:51:20:585,418735,418735,0,0,196812546715,2049824746,413999,4139,597,367,391659,0 54,2,2024-09-07 08:51:20:868,300470,300464,6,0,13469125,0,5382 54,3,2024-09-07 08:51:20:769,1,238,85,0,676,3736,238,0 55,0,2024-09-07 08:51:21:767,57101,0.7,59180,0.9,119084,0.6,155400,2.50 55,1,2024-09-07 08:51:20:764,418254,418254,0,0,195529019843,2039173617,412704,4763,787,365,391731,0 55,2,2024-09-07 08:51:20:732,299826,299826,0,0,13646959,0,3275 55,3,2024-09-07 08:51:20:692,1,238,38,0,304,2825,238,0 56,0,2024-09-07 08:51:21:574,61102,1.0,57647,1.1,118654,1.4,158739,2.50 56,1,2024-09-07 08:51:20:585,416715,416715,0,0,195421776307,2069585791,409581,5696,1438,381,391678,0 56,2,2024-09-07 08:51:21:305,300597,300597,0,0,14759653,0,3567 56,3,2024-09-07 08:51:21:059,1,238,1,0,705,3539,238,0 57,0,2024-09-07 08:51:20:986,58464,1.7,58642,1.3,117285,2.3,157039,3.25 57,1,2024-09-07 08:51:20:993,418414,418414,0,0,195491734426,2051534371,413093,4651,670,367,391960,0 57,2,2024-09-07 08:51:21:318,302470,302470,0,0,15742585,0,3317 57,3,2024-09-07 08:51:21:738,1,238,1,0,359,3351,238,0 58,0,2024-09-07 08:51:20:569,57744,1.0,56189,1.1,117642,1.2,154761,2.50 58,1,2024-09-07 08:51:20:576,418670,418667,0,3,196837937570,2059443407,412940,5017,710,367,391545,3 58,2,2024-09-07 08:51:21:071,300623,300623,0,0,14161240,0,2549 58,3,2024-09-07 08:51:21:068,1,238,24,0,1043,2830,238,0 59,0,2024-09-07 08:51:21:751,59500,0.9,59415,1.0,118424,1.0,157301,3.00 59,1,2024-09-07 08:51:20:827,418509,418509,0,0,195865249804,2052801616,412722,4522,1265,369,391525,0 59,2,2024-09-07 08:51:20:584,301500,301500,0,0,12861950,0,2604 59,3,2024-09-07 08:51:21:737,1,238,5,0,1015,3488,238,0 60,0,2024-09-07 08:51:21:715,60027,0.4,59769,0.6,120446,0.4,159416,1.75 60,1,2024-09-07 08:51:20:776,420118,420118,0,0,196269324640,2036865936,417681,1920,517,370,392031,0 60,2,2024-09-07 08:51:21:141,301113,301113,0,0,14076191,0,3811 60,3,2024-09-07 08:51:21:258,1,238,4,0,124,2372,238,0 61,0,2024-09-07 08:51:21:494,60196,0.7,60465,0.8,120401,0.7,160241,2.00 61,1,2024-09-07 08:51:20:770,418677,418677,0,0,195853291675,2051537446,414108,3866,703,382,391880,0 61,2,2024-09-07 08:51:21:124,302273,302273,0,0,12382919,0,2780 61,3,2024-09-07 08:51:21:697,1,238,19,0,397,3311,238,0 62,0,2024-09-07 08:51:21:709,59806,0.7,60893,0.8,115956,0.8,158412,2.00 62,1,2024-09-07 08:51:21:111,421229,421223,0,6,196817508289,2031590465,418765,2341,117,365,391715,6 62,2,2024-09-07 08:51:21:648,299425,299424,1,0,13715287,0,5555 62,3,2024-09-07 08:51:21:143,1,238,2,0,287,1718,238,0 63,0,2024-09-07 08:51:21:465,60000,0.5,60166,0.6,120492,0.5,159648,1.75 63,1,2024-09-07 08:51:20:807,420545,420539,0,6,196050195802,2031906741,418964,1525,50,381,391677,6 63,2,2024-09-07 08:51:20:764,301028,301028,0,0,11490654,0,2674 63,3,2024-09-07 08:51:21:735,1,238,1,0,667,2843,238,0 64,0,2024-09-07 08:51:21:531,59319,0.4,59347,0.6,119044,0.4,158267,1.75 64,1,2024-09-07 08:51:20:754,419118,419118,0,0,196094660185,2048124176,414636,3068,1414,370,391783,0 64,2,2024-09-07 08:51:21:140,303868,303849,19,0,12421143,0,6121 64,3,2024-09-07 08:51:21:153,1,238,25,0,265,2517,238,0 65,0,2024-09-07 08:51:21:680,59011,0.6,59407,0.7,118522,0.6,158161,2.00 65,1,2024-09-07 08:51:20:864,418257,418257,0,0,195159069234,2044007083,414708,3106,443,382,391901,0 65,2,2024-09-07 08:51:21:702,301235,301235,0,0,13096897,0,3367 65,3,2024-09-07 08:51:21:697,1,238,1,0,163,2260,238,0 66,0,2024-09-07 08:51:21:782,59235,0.5,59080,0.7,118924,0.5,157862,2.00 66,1,2024-09-07 08:51:21:298,419881,419881,0,0,196806110470,2046466941,417311,2370,200,380,391653,0 66,2,2024-09-07 08:51:21:136,302855,302855,0,0,12780650,0,4956 66,3,2024-09-07 08:51:21:083,1,238,2,0,291,2596,238,0 67,0,2024-09-07 08:51:21:442,59175,0.7,58746,0.8,118028,0.7,157609,2.25 67,1,2024-09-07 08:51:20:767,418830,418829,0,1,196391415130,2050586726,414992,3090,747,380,391787,1 67,2,2024-09-07 08:51:20:587,302214,302214,0,0,11871608,0,2889 67,3,2024-09-07 08:51:21:763,1,238,1,0,338,2320,238,0 68,0,2024-09-07 08:51:20:565,59544,0.6,59354,0.8,118294,0.6,158500,2.00 68,1,2024-09-07 08:51:20:578,418131,418131,0,0,194977230441,2045737214,414159,2833,1139,381,391953,0 68,2,2024-09-07 08:51:21:043,299775,299710,65,0,15462353,0,6698 68,3,2024-09-07 08:51:20:728,1,238,1,0,417,2761,238,0 69,0,2024-09-07 08:51:21:739,59571,0.7,59946,0.8,119249,0.8,158799,2.25 69,1,2024-09-07 08:51:21:016,416958,416958,0,0,195307166515,2063773118,411259,4297,1402,384,391994,0 69,2,2024-09-07 08:51:21:737,300087,300087,0,0,15119555,0,3722 69,3,2024-09-07 08:51:20:769,1,238,1,0,698,3594,238,0 70,0,2024-09-07 08:51:21:534,59075,1.0,59097,1.1,118628,0.7,157925,2.50 70,1,2024-09-07 08:51:20:807,419062,419062,0,0,197126815281,2048626608,415407,3127,528,366,391725,0 70,2,2024-09-07 08:51:21:340,301665,301665,0,0,14046718,0,4044 70,3,2024-09-07 08:51:20:746,1,238,1,0,854,2841,238,0 71,0,2024-09-07 08:51:21:357,58395,1.4,58255,1.2,116804,2.0,156872,3.00 71,1,2024-09-07 08:51:21:620,418466,418466,0,0,196418168403,2050886757,413345,4500,621,368,391738,0 71,2,2024-09-07 08:51:21:067,300596,300596,0,0,14074318,0,4042 71,3,2024-09-07 08:51:21:764,1,238,7,0,644,3588,238,0 72,0,2024-09-07 08:51:21:039,61693,0.9,60332,0.9,117578,1.0,159977,2.25 72,1,2024-09-07 08:51:21:034,418427,418427,0,0,196014586075,2048976706,413373,4123,931,369,391819,0 72,2,2024-09-07 08:51:21:760,299425,299425,0,0,15772482,0,3983 72,3,2024-09-07 08:51:21:754,1,238,1,0,564,4367,238,0 73,0,2024-09-07 08:51:21:161,58609,0.4,59981,0.6,122973,0.3,159353,2.00 73,1,2024-09-07 08:51:20:770,418938,418938,0,0,195471857687,2033694666,415853,2850,235,367,391750,0 73,2,2024-09-07 08:51:21:744,301032,301032,0,0,15167299,0,3701 73,3,2024-09-07 08:51:20:980,1,238,14,0,274,3619,238,0 74,0,2024-09-07 08:51:21:350,60421,0.5,61782,0.7,117730,0.4,159408,2.25 74,1,2024-09-07 08:51:20:639,418737,418737,0,0,195258434422,2041474855,414338,3600,799,381,391681,0 74,2,2024-09-07 08:51:21:007,301911,301911,0,0,14609046,0,4253 74,3,2024-09-07 08:51:21:448,1,238,5,0,522,3323,238,0 75,0,2024-09-07 08:51:21:817,58983,0.6,58572,0.9,117686,0.6,158007,2.50 75,1,2024-09-07 08:51:21:605,418154,418154,0,0,196129497260,2052818977,413248,4243,663,380,391660,0 75,2,2024-09-07 08:51:21:351,299663,299663,0,0,15076152,0,4766 75,3,2024-09-07 08:51:21:068,1,238,1,0,702,3503,238,0 76,0,2024-09-07 08:51:20:604,59654,0.8,59084,0.9,118329,0.8,158553,2.50 76,1,2024-09-07 08:51:20:804,419034,419034,0,0,195343953177,2039747920,415975,2511,548,382,391692,0 76,2,2024-09-07 08:51:21:069,301731,301731,0,0,12350808,0,3784 76,3,2024-09-07 08:51:21:148,1,238,1,0,175,2584,238,0 77,0,2024-09-07 08:51:21:713,60137,0.5,60474,0.7,120490,0.5,159825,1.75 77,1,2024-09-07 08:51:20:839,419360,419360,0,0,196038784248,2048815226,415915,3189,256,383,391808,0 77,2,2024-09-07 08:51:21:282,300816,300816,0,0,12969850,0,3890 77,3,2024-09-07 08:51:21:114,1,238,4,0,382,2802,238,0 78,0,2024-09-07 08:51:21:718,60198,0.4,59593,0.6,120171,0.4,159007,2.00 78,1,2024-09-07 08:51:20:615,419593,419593,0,0,196441202872,2040267932,416598,2677,318,367,391670,0 78,2,2024-09-07 08:51:21:408,301428,301428,0,0,11584056,0,3855 78,3,2024-09-07 08:51:21:141,1,238,16,0,181,2422,238,0 79,0,2024-09-07 08:51:21:349,57361,0.4,58768,0.7,120194,0.4,156450,2.50 79,1,2024-09-07 08:51:20:577,420606,420606,0,0,197028071802,2039985207,417765,2505,336,369,391682,0 79,2,2024-09-07 08:51:21:069,301555,301555,0,0,11737717,0,3212 79,3,2024-09-07 08:51:20:753,1,238,1,0,418,3671,238,0 80,0,2024-09-07 08:51:21:085,59812,0.6,61532,0.8,117768,0.7,159147,2.00 80,1,2024-09-07 08:51:21:626,418664,418664,0,0,196387553043,2046158573,415700,2795,169,368,391791,0 80,2,2024-09-07 08:51:21:110,301749,301749,0,0,12164188,0,4433 80,3,2024-09-07 08:51:20:584,1,238,1,0,190,3384,238,0 81,0,2024-09-07 08:51:21:533,59518,0.5,60949,0.7,116751,0.4,158191,1.75 81,1,2024-09-07 08:51:21:660,418081,418081,0,0,194883918322,2039629141,414243,3377,461,382,391879,0 81,2,2024-09-07 08:51:21:130,301022,301022,0,0,12535972,0,3993 81,3,2024-09-07 08:51:21:123,1,238,1,0,374,2989,238,0 82,0,2024-09-07 08:51:21:536,59272,0.4,59747,0.6,119649,0.4,158978,2.00 82,1,2024-09-07 08:51:20:585,419536,419532,0,4,196490720370,2048462933,416311,2610,611,381,391558,4 82,2,2024-09-07 08:51:21:704,301905,301905,0,0,11852369,0,3986 82,3,2024-09-07 08:51:21:752,1,238,1,0,363,2778,238,0 83,0,2024-09-07 08:51:21:529,59099,0.6,59163,0.7,117356,0.6,157221,2.00 83,1,2024-09-07 08:51:20:557,418607,418607,0,0,195621926071,2042245769,415439,2924,244,382,391690,0 83,2,2024-09-07 08:51:20:770,301381,301381,0,0,11895213,0,3119 83,3,2024-09-07 08:51:20:752,1,238,0,0,250,2643,238,0 84,0,2024-09-07 08:51:21:818,58661,0.8,58654,0.9,117160,0.7,157301,2.50 84,1,2024-09-07 08:51:21:060,417891,417891,0,0,195616010652,2047831135,413156,4159,576,368,391967,0 84,2,2024-09-07 08:51:20:577,300849,300849,0,0,13687490,0,3801 84,3,2024-09-07 08:51:21:143,1,238,2,0,908,3876,238,0 85,0,2024-09-07 08:51:21:000,57217,0.8,57206,0.9,121249,0.9,156994,2.50 85,1,2024-09-07 08:51:20:560,417516,417516,0,0,195696561308,2070065855,410686,5724,1106,382,392006,0 85,2,2024-09-07 08:51:20:868,301752,301752,0,0,14497566,0,3656 85,3,2024-09-07 08:51:20:700,1,238,0,0,789,3150,238,0 86,0,2024-09-07 08:51:20:932,59838,0.6,61422,0.7,117670,0.6,158704,2.00 86,1,2024-09-07 08:51:20:843,419107,419107,0,0,196141633942,2053090807,414128,4255,724,366,391961,0 86,2,2024-09-07 08:51:20:854,299713,299712,1,0,15230934,0,5004 86,3,2024-09-07 08:51:20:590,1,238,1,0,286,3190,238,0 87,0,2024-09-07 08:51:21:290,59229,1.0,58894,1.0,118528,1.4,158562,2.25 87,1,2024-09-07 08:51:20:553,418719,418719,0,0,195714350268,2049061840,414255,3981,483,366,391788,0 87,2,2024-09-07 08:51:21:068,300984,300984,0,0,13674665,0,4045 87,3,2024-09-07 08:51:21:797,1,238,1,0,473,3746,238,0 88,0,2024-09-07 08:51:21:494,58956,0.5,59350,0.7,118308,0.5,157860,2.00 88,1,2024-09-07 08:51:20:586,416900,416900,0,0,195298987797,2052001224,410734,4654,1512,365,392084,0 88,2,2024-09-07 08:51:20:697,300630,300630,0,0,15545737,0,3583 88,3,2024-09-07 08:51:21:271,1,238,3,0,435,3028,238,0 89,0,2024-09-07 08:51:21:831,61652,0.5,59536,0.7,118319,0.5,160548,2.00 89,1,2024-09-07 08:51:20:554,416562,416562,0,0,195640970821,2066838136,409660,5682,1220,382,391866,0 89,2,2024-09-07 08:51:21:138,299576,299576,0,0,14818265,0,2910 89,3,2024-09-07 08:51:21:827,1,238,3,0,325,4749,238,0 90,0,2024-09-07 08:51:21:620,58302,0.4,59540,0.6,122008,0.4,158598,1.75 90,1,2024-09-07 08:51:20:595,418806,418806,0,0,195690350018,2052410073,414698,3831,277,381,391825,0 90,2,2024-09-07 08:51:21:409,299998,299998,0,0,15939182,0,3060 90,3,2024-09-07 08:51:20:931,1,238,6,0,200,2568,238,0 91,0,2024-09-07 08:51:20:935,60490,0.4,58545,0.6,122390,0.4,160340,1.75 91,1,2024-09-07 08:51:20:566,417939,417939,0,0,195793320222,2061192133,412076,5169,694,381,392047,0 91,2,2024-09-07 08:51:21:341,301379,301379,0,0,14421847,0,2896 91,3,2024-09-07 08:51:20:602,1,238,2,0,216,2342,238,0 92,0,2024-09-07 08:51:21:476,59796,0.5,61312,0.7,117046,0.6,158710,1.75 92,1,2024-09-07 08:51:20:589,418509,418509,0,0,195751738924,2042686562,415957,2146,406,382,392136,0 92,2,2024-09-07 08:51:21:358,302217,302217,0,0,12116173,0,2801 92,3,2024-09-07 08:51:21:011,1,238,1,0,167,2000,238,0 93,0,2024-09-07 08:51:20:958,60308,0.4,61908,0.6,118215,0.4,160162,1.75 93,1,2024-09-07 08:51:20:812,418650,418650,0,0,194786061296,2035713391,414180,3684,786,366,391692,0 93,2,2024-09-07 08:51:21:165,301532,301532,0,0,12894350,0,2797 93,3,2024-09-07 08:51:21:410,1,238,2,0,190,2452,238,0 94,0,2024-09-07 08:51:21:627,59556,0.4,60262,0.5,120149,0.3,159116,1.75 94,1,2024-09-07 08:51:20:564,418462,418462,0,0,195880479699,2048039963,415178,3106,178,381,391850,0 94,2,2024-09-07 08:51:20:766,301295,301295,0,0,11992819,0,2443 94,3,2024-09-07 08:51:21:687,1,238,1,0,264,3224,238,0 95,0,2024-09-07 08:51:21:377,59741,0.3,59340,0.5,119950,0.3,158925,1.75 95,1,2024-09-07 08:51:20:854,419294,419294,0,0,196036955318,2039953182,415733,3185,376,367,391713,0 95,2,2024-09-07 08:51:21:016,300866,300866,0,0,12329502,0,3308 95,3,2024-09-07 08:51:21:714,1,238,0,0,718,4447,238,0 96,0,2024-09-07 08:51:21:022,59692,0.4,60008,0.6,119590,0.4,158361,1.75 96,1,2024-09-07 08:51:21:603,418284,418284,0,0,196371412752,2052356375,414714,2839,731,384,391894,0 96,2,2024-09-07 08:51:21:277,300841,300841,0,0,12967084,0,4042 96,3,2024-09-07 08:51:21:148,1,238,7,0,411,2824,238,0 97,0,2024-09-07 08:51:21:356,58946,0.4,58836,0.5,118171,0.3,157529,1.75 97,1,2024-09-07 08:51:20:764,419689,419689,0,0,196884589828,2043840907,416660,2474,555,367,392140,0 97,2,2024-09-07 08:51:20:608,301289,301289,0,0,12187063,0,3036 97,3,2024-09-07 08:51:20:576,1,238,1,0,214,2875,238,0 98,0,2024-09-07 08:51:21:705,59362,0.3,59511,0.5,119576,0.2,158712,1.50 98,1,2024-09-07 08:51:20:593,419540,419540,0,0,196015591627,2041923302,417403,2038,99,382,391997,0 98,2,2024-09-07 08:51:20:776,301509,301509,0,0,12282118,0,3080 98,3,2024-09-07 08:51:20:710,1,238,1,0,840,4528,238,0 99,0,2024-09-07 08:51:21:467,59968,0.3,60117,0.4,120016,0.3,159907,1.50 99,1,2024-09-07 08:51:21:733,419183,419183,0,0,194948491981,2035009386,415400,3044,739,381,391744,0 99,2,2024-09-07 08:51:21:421,303342,303342,0,0,12955700,0,3106 99,3,2024-09-07 08:51:20:584,1,238,1,0,168,1899,238,0 100,0,2024-09-07 08:51:21:479,59370,0.7,59339,1.0,118545,0.9,158431,2.25 100,1,2024-09-07 08:51:20:555,416530,416530,0,0,194405508528,2058879721,409698,5515,1317,381,391989,0 100,2,2024-09-07 08:51:21:822,299982,299971,11,0,14415283,0,5417 100,3,2024-09-07 08:51:21:736,1,238,2,0,559,4845,238,0 101,0,2024-09-07 08:51:21:766,60071,2.0,58501,1.3,114770,2.5,158368,2.75 101,1,2024-09-07 08:51:20:553,416980,416980,0,0,194880393701,2057829510,409635,5574,1771,368,391769,0 101,2,2024-09-07 08:51:21:766,299642,299642,0,0,15627420,0,4644 101,3,2024-09-07 08:51:20:942,1,238,2,0,448,3114,238,0 102,0,2024-09-07 08:51:21:181,58300,0.8,60500,0.8,121455,0.8,159262,2.25 102,1,2024-09-07 08:51:21:142,417745,417745,0,0,196075729824,2062656241,412097,4809,839,369,391883,0 102,2,2024-09-07 08:51:21:739,300899,300845,54,0,14292376,0,6768 102,3,2024-09-07 08:51:21:618,1,238,1,0,410,2528,238,0 103,0,2024-09-07 08:51:21:599,61877,0.5,61885,0.7,116731,0.4,160484,2.00 103,1,2024-09-07 08:51:21:627,416895,416895,0,0,195111738703,2059221069,410605,4909,1381,381,391829,0 103,2,2024-09-07 08:51:20:585,299638,299638,0,0,13490487,0,2582 103,3,2024-09-07 08:51:20:762,1,238,1,0,916,3522,238,0 104,0,2024-09-07 08:51:21:044,59411,0.8,59885,1.0,118379,0.8,159555,2.25 104,1,2024-09-07 08:51:21:704,418334,418334,0,0,195200952669,2056242150,411667,5572,1095,365,391948,0 104,2,2024-09-07 08:51:21:719,300435,300435,0,0,15165671,0,3941 104,3,2024-09-07 08:51:21:444,1,238,7,0,1245,6352,238,0 105,0,2024-09-07 08:51:21:032,58205,1.6,56462,1.3,118042,2.5,156456,3.75 105,1,2024-09-07 08:51:20:564,418189,418189,0,0,195849175240,2058660288,411893,5320,976,366,391797,0 105,2,2024-09-07 08:51:21:345,300132,300132,0,0,14611224,0,3509 105,3,2024-09-07 08:51:21:309,1,238,2,0,399,4213,238,0 106,0,2024-09-07 08:51:21:177,57783,1.1,59179,1.1,121236,1.3,158212,2.75 106,1,2024-09-07 08:51:21:750,417879,417879,0,0,195409990349,2057079262,410796,6325,758,369,391767,0 106,2,2024-09-07 08:51:20:757,298727,298727,0,0,14064129,0,2795 106,3,2024-09-07 08:51:20:686,1,238,0,0,470,3536,238,0 107,0,2024-09-07 08:51:21:117,60038,0.8,59981,0.9,119866,0.8,159509,2.00 107,1,2024-09-07 08:51:20:587,417037,417037,0,0,195255074730,2057295277,411721,4770,546,381,392234,0 107,2,2024-09-07 08:51:21:291,300488,300487,1,0,14738015,0,5024 107,3,2024-09-07 08:51:21:758,1,238,0,0,353,3353,238,0 108,0,2024-09-07 08:51:21:824,60062,0.4,60304,0.6,119490,0.4,159723,1.75 108,1,2024-09-07 08:51:21:328,418637,418637,0,0,195713194695,2040607773,415437,2816,384,368,391857,0 108,2,2024-09-07 08:51:21:764,300399,300399,0,0,13198162,0,2647 108,3,2024-09-07 08:51:21:334,1,238,9,0,749,4818,238,0 109,0,2024-09-07 08:51:21:765,59595,0.4,58948,0.6,118139,0.4,158648,1.75 109,1,2024-09-07 08:51:20:603,417541,417541,0,0,195515392650,2052123162,413755,3271,515,383,392132,0 109,2,2024-09-07 08:51:20:938,299703,299703,0,0,13683861,0,3617 109,3,2024-09-07 08:51:21:140,1,238,1,0,249,2931,238,0 110,0,2024-09-07 08:51:21:759,59960,0.4,58414,0.6,122094,0.4,159802,1.75 110,1,2024-09-07 08:51:21:652,419584,419584,0,0,197134276025,2048403452,416442,2265,877,370,392045,0 110,2,2024-09-07 08:51:21:309,301559,301559,0,0,12929800,0,3264 110,3,2024-09-07 08:51:20:694,1,238,25,0,406,3225,238,0 111,0,2024-09-07 08:51:21:416,59949,0.4,59521,0.5,118770,0.3,159387,1.75 111,1,2024-09-07 08:51:21:000,419902,419902,0,0,197149945557,2044427553,417913,1653,336,382,391690,0 111,2,2024-09-07 08:51:21:121,301126,301126,0,0,12474490,0,2763 111,3,2024-09-07 08:51:20:920,1,238,1,0,379,3339,238,0 112,0,2024-09-07 08:51:20:913,59912,0.3,59790,0.4,119697,0.2,159063,1.50 112,1,2024-09-07 08:51:20:837,420246,420246,0,0,195815297171,2032525267,417829,1989,428,380,391580,0 112,2,2024-09-07 08:51:21:137,301069,301068,1,0,12758633,0,5036 112,3,2024-09-07 08:51:20:594,1,238,105,0,282,2647,238,0 113,0,2024-09-07 08:51:20:872,58975,0.3,58846,0.5,118219,0.3,158298,1.75 113,1,2024-09-07 08:51:21:704,420683,420683,0,0,197577361336,2040705567,418187,2007,489,366,391661,0 113,2,2024-09-07 08:51:21:310,302386,302386,0,0,11567470,0,3813 113,3,2024-09-07 08:51:20:691,1,238,1,0,340,3576,238,0 114,0,2024-09-07 08:51:20:883,59530,0.4,59983,0.5,118867,0.3,159200,1.75 114,1,2024-09-07 08:51:20:724,418967,418967,0,0,195992031563,2044537517,414161,3217,1589,381,391556,0 114,2,2024-09-07 08:51:20:875,300731,300730,1,0,12679245,0,5069 114,3,2024-09-07 08:51:21:278,1,238,16,0,395,2666,238,0 115,0,2024-09-07 08:51:20:560,59341,0.3,59666,0.4,119375,0.2,158838,1.75 115,1,2024-09-07 08:51:20:585,418760,418760,0,0,196536905001,2046791607,414403,3523,834,382,391602,0 115,2,2024-09-07 08:51:21:130,301739,301739,0,0,11005496,0,2152 115,3,2024-09-07 08:51:21:010,1,238,1,0,159,1356,238,0 116,0,2024-09-07 08:51:21:797,59651,0.6,59492,0.8,119148,0.6,159302,2.00 116,1,2024-09-07 08:51:20:839,416429,416429,0,0,194768319273,2064242558,410038,4336,2055,380,391782,0 116,2,2024-09-07 08:51:21:995,299973,299973,0,0,15679633,0,3529 116,3,2024-09-07 08:51:20:912,1,238,1,0,415,3519,238,0 117,0,2024-09-07 08:51:20:988,59322,0.8,59145,0.9,118369,0.9,158744,2.00 117,1,2024-09-07 08:51:21:637,418265,418265,0,0,194351040271,2037086519,413568,4217,480,370,392033,0 117,2,2024-09-07 08:51:21:120,303797,303797,0,0,12980892,0,3700 117,3,2024-09-07 08:51:21:060,1,238,9,0,490,3991,238,0 118,0,2024-09-07 08:51:21:766,57365,0.7,58876,0.8,120086,0.7,157347,2.00 118,1,2024-09-07 08:51:20:588,417981,417981,0,0,195347554274,2056670954,411620,4929,1432,366,391736,0 118,2,2024-09-07 08:51:21:586,299773,299773,0,0,13959477,0,2781 118,3,2024-09-07 08:51:21:765,1,238,1,0,235,2804,238,0 119,0,2024-09-07 08:51:21:362,59541,1.0,60009,0.9,120115,1.2,159402,2.25 119,1,2024-09-07 08:51:20:549,419366,419366,0,0,196495494620,2054545335,414720,4124,522,367,391641,0 119,2,2024-09-07 08:51:21:262,300281,300281,0,0,13256124,0,4174 119,3,2024-09-07 08:51:21:339,1,238,2,0,443,3443,238,0 120,0,2024-09-07 08:51:21:609,59699,0.5,59436,0.8,119260,0.5,159086,2.00 120,1,2024-09-07 08:51:20:864,418301,418301,0,0,195908192984,2057515279,413627,4324,350,368,391961,0 120,2,2024-09-07 08:51:20:772,300453,300452,1,0,15853583,0,5281 120,3,2024-09-07 08:51:21:293,1,238,2,0,241,3193,238,0 121,0,2024-09-07 08:51:21:771,60140,1.0,60265,1.0,120522,1.3,160071,2.00 121,1,2024-09-07 08:51:21:676,418638,418638,0,0,195618620464,2049843840,414047,4177,414,367,391840,0 121,2,2024-09-07 08:51:21:128,300269,300269,0,0,15184339,0,4127 121,3,2024-09-07 08:51:20:735,1,238,2,0,269,2791,238,0 122,0,2024-09-07 08:51:21:790,58951,0.9,57469,1.0,120532,1.1,158637,2.25 122,1,2024-09-07 08:51:20:864,417505,417505,0,0,195818116891,2058485752,411445,5244,816,366,392130,0 122,2,2024-09-07 08:51:21:331,299650,299577,73,0,17570811,0,5989 122,3,2024-09-07 08:51:20:594,1,238,1,0,411,4872,238,0 123,0,2024-09-07 08:51:20:958,59789,1.0,58419,0.9,121832,1.2,159475,2.25 123,1,2024-09-07 08:51:20:561,417898,417898,0,0,195822928242,2069375512,409229,6953,1716,369,391823,0 123,2,2024-09-07 08:51:21:023,298646,298645,1,0,14351465,0,5215 123,3,2024-09-07 08:51:21:138,1,238,1,0,168,2909,238,0 124,0,2024-09-07 08:51:20:940,61742,0.3,61669,0.5,116499,0.3,159841,1.75 124,1,2024-09-07 08:51:21:025,419788,419788,0,0,195605968612,2034041934,416953,2473,362,367,392178,0 124,2,2024-09-07 08:51:21:041,301507,301507,0,0,11781433,0,3101 124,3,2024-09-07 08:51:20:784,1,238,8,0,490,2751,238,0 125,0,2024-09-07 08:51:21:554,59748,0.3,59588,0.5,119631,0.3,159128,1.75 125,1,2024-09-07 08:51:20:879,418502,418502,0,0,196244815231,2048707618,415416,2695,391,383,391702,0 125,2,2024-09-07 08:51:21:135,301552,301552,0,0,12830078,0,4534 125,3,2024-09-07 08:51:21:143,1,238,12,0,709,3498,238,0 126,0,2024-09-07 08:51:21:429,59778,0.5,61436,0.7,117413,0.6,159212,1.75 126,1,2024-09-07 08:51:20:554,420085,420085,0,0,196428814636,2037431224,417406,2417,262,365,391987,0 126,2,2024-09-07 08:51:20:633,302320,302320,0,0,13093094,0,3186 126,3,2024-09-07 08:51:20:907,1,238,1,0,183,3271,238,0 127,0,2024-09-07 08:51:21:602,58894,0.4,59282,0.5,117860,0.4,157769,1.75 127,1,2024-09-07 08:51:20:577,419138,419138,0,0,196149128382,2034254632,416195,2790,153,365,391816,0 127,2,2024-09-07 08:51:20:637,301406,301406,0,0,11763907,0,2264 127,3,2024-09-07 08:51:21:271,1,238,14,0,968,2940,238,0 128,0,2024-09-07 08:51:21:616,59892,0.3,59860,0.5,119431,0.2,159047,1.50 128,1,2024-09-07 08:51:21:619,419004,419004,0,0,196010340581,2034520641,416833,2014,157,367,391798,0 128,2,2024-09-07 08:51:21:394,301081,301081,0,0,11736730,0,2434 128,3,2024-09-07 08:51:20:816,1,238,3,0,1082,5339,238,0 129,0,2024-09-07 08:51:21:011,60465,0.3,60101,0.4,120137,0.2,159539,1.50 129,1,2024-09-07 08:51:20:568,417098,417098,0,0,195270388761,2049163692,412953,3217,928,379,391835,0 129,2,2024-09-07 08:51:20:699,301661,301661,0,0,12678445,0,4031 129,3,2024-09-07 08:51:20:697,1,238,34,0,469,3304,238,0 130,0,2024-09-07 08:51:21:777,59871,0.5,59617,0.6,119545,0.6,159297,1.75 130,1,2024-09-07 08:51:20:596,419624,419624,0,0,196930771957,2049999616,417193,2354,77,381,391825,0 130,2,2024-09-07 08:51:21:131,302854,302854,0,0,12363414,0,4067 130,3,2024-09-07 08:51:21:309,1,238,1,0,450,3334,238,0 131,0,2024-09-07 08:51:22:018,58994,0.4,59243,0.5,119375,0.4,158337,1.75 131,1,2024-09-07 08:51:21:864,419818,419818,0,0,196705440716,2052290873,416777,2583,458,381,391865,0 131,2,2024-09-07 08:51:20:585,302350,302350,0,0,11252561,0,2415 131,3,2024-09-07 08:51:21:697,1,238,3,0,392,2488,238,0 132,0,2024-09-07 08:51:21:434,59620,0.5,60219,0.7,120219,0.5,159727,2.00 132,1,2024-09-07 08:51:20:586,417026,417026,0,0,195477965486,2064582219,410024,5749,1253,381,392097,0 132,2,2024-09-07 08:51:20:706,300654,300654,0,0,15001962,0,4606 132,3,2024-09-07 08:51:21:703,1,238,3,0,356,3479,238,0 133,0,2024-09-07 08:51:21:545,58618,0.4,60037,0.6,122833,0.3,159291,1.75 133,1,2024-09-07 08:51:20:587,417177,417177,0,0,195963824152,2064564095,411705,4805,667,383,391914,0 133,2,2024-09-07 08:51:21:096,300069,300069,0,0,15982857,0,4315 133,3,2024-09-07 08:51:21:321,1,238,3,0,276,2421,238,0 134,0,2024-09-07 08:51:20:942,59869,0.5,59822,0.7,120105,0.4,159527,2.00 134,1,2024-09-07 08:51:20:586,417431,417431,0,0,194826255856,2049456450,410987,4974,1470,366,391718,0 134,2,2024-09-07 08:51:21:760,301307,301307,0,0,13536221,0,3847 134,3,2024-09-07 08:51:20:762,1,238,8,0,739,3672,238,0 135,0,2024-09-07 08:51:21:097,56954,1.0,56898,1.0,120663,1.1,156470,2.25 135,1,2024-09-07 08:51:21:589,416810,416810,0,0,196309965959,2068020139,410618,5196,996,380,391805,0 135,2,2024-09-07 08:51:20:708,300541,300541,0,0,14800926,0,3981 135,3,2024-09-07 08:51:21:006,1,238,1,0,299,1830,238,0 136,0,2024-09-07 08:51:21:657,60259,0.7,60194,0.8,120295,0.7,159963,2.25 136,1,2024-09-07 08:51:21:556,417318,417318,0,0,195135781257,2053199150,411801,4933,584,382,391685,0 136,2,2024-09-07 08:51:21:151,300945,300945,0,0,14273427,0,3506 136,3,2024-09-07 08:51:21:119,1,238,1,0,126,1856,238,0 137,0,2024-09-07 08:51:21:031,61879,0.6,60151,0.7,118817,0.6,160677,2.00 137,1,2024-09-07 08:51:20:586,417515,417515,0,0,195354134636,2053759228,410573,5525,1417,366,391708,0 137,2,2024-09-07 08:51:21:726,300681,300681,0,0,15798365,0,3185 137,3,2024-09-07 08:51:20:778,1,238,2,0,382,3104,238,0 138,0,2024-09-07 08:51:21:756,59490,0.8,59533,0.9,119750,0.9,159244,2.00 138,1,2024-09-07 08:51:21:689,417563,417563,0,0,195872395121,2060463573,410601,5831,1131,368,391954,0 138,2,2024-09-07 08:51:20:608,301085,301085,0,0,14600627,0,4988 138,3,2024-09-07 08:51:20:616,1,238,0,0,1160,3817,238,0 139,0,2024-09-07 08:51:21:376,58248,2.1,58444,1.4,117329,3.2,157008,2.75 139,1,2024-09-07 08:51:20:689,415966,415966,0,0,194678662859,2071641184,407485,6369,2112,381,391892,0 139,2,2024-09-07 08:51:20:694,298559,298559,0,0,15193397,0,3097 139,3,2024-09-07 08:51:21:667,1,238,1,0,244,2515,238,0 140,0,2024-09-07 08:51:21:594,60331,0.3,59828,0.5,120247,0.3,159935,1.75 140,1,2024-09-07 08:51:21:545,420530,420530,0,0,197150968319,2033243343,418552,1737,241,365,391606,0 140,2,2024-09-07 08:51:20:699,301571,301571,0,0,12044263,0,3388 140,3,2024-09-07 08:51:20:789,1,238,1,0,247,2139,238,0 141,0,2024-09-07 08:51:21:733,59652,0.3,61355,0.4,117275,0.2,159446,1.50 141,1,2024-09-07 08:51:20:864,419784,419784,0,0,196486200319,2040013609,417214,2162,408,379,391614,0 141,2,2024-09-07 08:51:21:713,301593,301593,0,0,11673806,0,2342 141,3,2024-09-07 08:51:21:043,1,238,1,0,202,2305,238,0 142,0,2024-09-07 08:51:21:561,60509,0.3,59873,0.4,118999,0.2,159367,1.50 142,1,2024-09-07 08:51:20:588,419306,419306,0,0,196078400680,2045809254,416517,2524,265,382,392102,0 142,2,2024-09-07 08:51:21:316,300741,300709,32,0,13433287,0,6028 142,3,2024-09-07 08:51:21:763,1,238,1,0,484,3079,238,0 143,0,2024-09-07 08:51:21:412,59161,0.5,59241,0.6,118829,0.5,158082,1.75 143,1,2024-09-07 08:51:20:559,419475,419475,0,0,195790345463,2032083463,416762,2618,95,367,391619,0 143,2,2024-09-07 08:51:20:773,301287,301287,0,0,12609090,0,2669 143,3,2024-09-07 08:51:21:140,1,238,18,0,303,3205,238,0 144,0,2024-09-07 08:51:21:507,57309,0.7,58834,1.0,119797,0.7,157398,2.25 144,1,2024-09-07 08:51:20:567,417228,417228,0,0,195315532405,2052608046,413230,3076,922,381,391649,0 144,2,2024-09-07 08:51:21:759,301752,301752,0,0,12036710,0,3473 144,3,2024-09-07 08:51:21:743,1,238,1,0,249,2877,238,0 145,0,2024-09-07 08:51:21:358,57211,0.6,57144,0.9,121229,0.6,157032,2.25 145,1,2024-09-07 08:51:20:554,416645,416645,0,0,195213170764,2055320335,411152,4671,822,382,391698,0 145,2,2024-09-07 08:51:21:442,299929,299929,0,0,14102920,0,3903 145,3,2024-09-07 08:51:20:896,1,238,2,0,622,3961,238,0 146,0,2024-09-07 08:51:21:687,59677,0.5,59244,0.7,119432,0.5,158565,2.25 146,1,2024-09-07 08:51:21:646,417760,417760,0,0,195711673587,2065608432,409515,6399,1846,368,391770,0 146,2,2024-09-07 08:51:21:716,299911,299911,0,0,14197812,0,2730 146,3,2024-09-07 08:51:21:311,1,238,3,0,1520,5877,238,0 147,0,2024-09-07 08:51:21:712,59184,0.6,59176,0.8,117485,0.6,158380,2.25 147,1,2024-09-07 08:51:21:373,419453,419453,0,0,196105455358,2042408079,415358,3510,585,368,391791,0 147,2,2024-09-07 08:51:21:012,303284,303284,0,0,12075731,0,2789 147,3,2024-09-07 08:51:20:920,1,238,8,0,730,3886,238,0 0,0,2024-09-07 08:51:31:711,58268,0.5,58250,0.7,123571,0.4,159774,1.75 0,1,2024-09-07 08:51:30:806,420289,420289,0,0,196704355204,2059335935,417079,3006,204,370,391772,0 0,2,2024-09-07 08:51:31:070,302264,302264,0,0,12831577,0,4480 0,3,2024-09-07 08:51:30:974,1,239,0,0,319,3333,239,0 1,0,2024-09-07 08:51:31:767,60390,0.9,59899,1.0,120567,1.1,160123,2.00 1,1,2024-09-07 08:51:30:557,419893,419893,0,0,196364333765,2059858765,415399,3441,1053,371,391857,0 1,2,2024-09-07 08:51:30:651,302199,302199,0,0,11842544,0,3267 1,3,2024-09-07 08:51:31:303,1,239,9,0,262,2898,239,0 2,0,2024-09-07 08:51:31:569,59518,0.8,59826,0.8,118797,1.0,159093,2.00 2,1,2024-09-07 08:51:30:859,420425,420425,0,0,196594656690,2043776985,418321,1886,218,380,391745,0 2,2,2024-09-07 08:51:31:266,301931,301931,0,0,12369593,0,3594 2,3,2024-09-07 08:51:30:694,1,239,1,0,357,2257,239,0 3,0,2024-09-07 08:51:31:743,60155,0.5,60194,0.7,119746,0.5,159387,2.00 3,1,2024-09-07 08:51:31:622,420044,420044,0,0,196538649110,2049701744,416205,3377,462,380,391523,0 3,2,2024-09-07 08:51:31:146,302125,302102,23,0,12649503,0,5851 3,3,2024-09-07 08:51:31:752,1,239,1,0,103,1504,239,0 4,0,2024-09-07 08:51:31:763,58248,0.4,59896,0.5,121860,0.3,159692,1.75 4,1,2024-09-07 08:51:30:617,419721,419721,0,0,196433339544,2065013977,415008,3925,788,371,391846,0 4,2,2024-09-07 08:51:31:018,301502,301502,0,0,14822796,0,4528 4,3,2024-09-07 08:51:31:033,1,239,7,0,448,3754,239,0 5,0,2024-09-07 08:51:31:466,59923,0.4,60235,0.5,119597,0.4,159652,1.75 5,1,2024-09-07 08:51:30:755,419664,419664,0,0,196663690880,2069978264,414175,4377,1112,368,392005,0 5,2,2024-09-07 08:51:31:836,300811,300811,0,0,13446011,0,2432 5,3,2024-09-07 08:51:31:732,1,239,3,0,457,3799,239,0 6,0,2024-09-07 08:51:30:924,60353,0.6,59653,0.8,119318,0.6,159700,2.00 6,1,2024-09-07 08:51:30:745,420098,420098,0,0,196352276264,2054234291,415430,3824,844,379,391651,0 6,2,2024-09-07 08:51:31:116,301848,301848,0,0,13436773,0,4816 6,3,2024-09-07 08:51:31:274,1,239,15,0,340,2895,239,0 7,0,2024-09-07 08:51:31:532,59120,0.5,59260,0.7,118245,0.5,158182,2.00 7,1,2024-09-07 08:51:30:852,419704,419704,0,0,196954476917,2068908137,413804,4897,1003,382,391747,0 7,2,2024-09-07 08:51:30:774,301549,301549,0,0,13277643,0,4791 7,3,2024-09-07 08:51:30:851,1,239,174,0,398,2930,239,0 8,0,2024-09-07 08:51:31:408,59890,0.4,59861,0.5,119219,0.3,159467,1.75 8,1,2024-09-07 08:51:31:016,418441,418441,0,0,195921220972,2069665557,410381,6051,2009,367,391956,0 8,2,2024-09-07 08:51:30:791,298572,298572,0,0,15850213,0,3220 8,3,2024-09-07 08:51:30:585,1,239,1,0,357,3628,239,0 9,0,2024-09-07 08:51:31:123,60206,0.3,58451,0.5,122108,0.3,160005,1.75 9,1,2024-09-07 08:51:30:551,419167,419167,0,0,196421411086,2073264939,412685,4942,1540,370,392001,0 9,2,2024-09-07 08:51:31:088,301815,301815,0,0,14041749,0,3360 9,3,2024-09-07 08:51:31:760,1,239,1,0,496,3604,239,0 10,0,2024-09-07 08:51:31:605,59894,0.3,59381,0.5,119519,0.2,159320,1.75 10,1,2024-09-07 08:51:30:583,420089,420089,0,0,196517327890,2063033622,413730,5431,928,381,391741,0 10,2,2024-09-07 08:51:30:774,302515,302515,0,0,15533004,0,4264 10,3,2024-09-07 08:51:30:872,1,239,1,0,177,2032,239,0 11,0,2024-09-07 08:51:31:012,58883,0.5,57203,0.7,119766,0.4,159031,2.00 11,1,2024-09-07 08:51:30:570,419796,419796,0,0,196076664923,2066276909,411608,6207,1981,383,391537,0 11,2,2024-09-07 08:51:31:123,301749,301749,0,0,14541159,0,4130 11,3,2024-09-07 08:51:31:303,1,239,1,0,843,3964,239,0 12,0,2024-09-07 08:51:30:968,60411,0.4,60214,0.5,120437,0.3,160006,1.75 12,1,2024-09-07 08:51:30:939,420108,420108,0,0,196264978545,2048244838,416597,3090,421,370,391870,0 12,2,2024-09-07 08:51:31:547,302528,302528,0,0,13662771,0,3469 12,3,2024-09-07 08:51:31:069,1,239,10,0,386,4112,239,0 13,0,2024-09-07 08:51:31:346,60551,0.3,60446,0.5,120485,0.3,160211,1.50 13,1,2024-09-07 08:51:31:526,420138,420138,0,0,196775620940,2066330626,416592,3005,541,382,391717,0 13,2,2024-09-07 08:51:30:615,302614,302614,0,0,12843420,0,3287 13,3,2024-09-07 08:51:31:762,1,239,2,0,467,3862,239,0 14,0,2024-09-07 08:51:30:573,60154,0.4,60636,0.6,120090,0.3,159727,1.75 14,1,2024-09-07 08:51:31:564,422700,422700,0,0,197942158552,2050310048,419869,2642,189,364,391571,0 14,2,2024-09-07 08:51:30:771,302705,302705,0,0,12836834,0,2896 14,3,2024-09-07 08:51:31:116,1,239,1,0,1168,3422,239,0 15,0,2024-09-07 08:51:31:568,59154,0.5,59385,0.7,118747,0.6,158521,2.00 15,1,2024-09-07 08:51:31:629,420939,420939,0,0,197141581354,2050769008,418420,2341,178,381,391619,0 15,2,2024-09-07 08:51:30:998,302240,302240,0,0,10873217,0,3043 15,3,2024-09-07 08:51:31:405,1,239,1,0,1126,5200,239,0 16,0,2024-09-07 08:51:30:969,60173,0.8,60382,0.9,120046,0.9,160198,2.25 16,1,2024-09-07 08:51:30:563,420840,420840,0,0,196361639329,2054193545,417286,3255,299,370,391756,0 16,2,2024-09-07 08:51:31:439,301149,301149,0,0,13512755,0,4719 16,3,2024-09-07 08:51:31:155,1,239,21,0,317,3417,239,0 17,0,2024-09-07 08:51:31:767,62072,0.5,60533,0.7,118562,0.4,160760,1.75 17,1,2024-09-07 08:51:30:568,419479,419479,0,0,195799925068,2058243353,414645,3731,1103,368,391688,0 17,2,2024-09-07 08:51:31:666,305152,305152,0,0,12683742,0,2857 17,3,2024-09-07 08:51:30:586,1,239,6,0,268,3963,239,0 18,0,2024-09-07 08:51:30:941,59527,0.7,59714,0.8,119361,0.7,159424,2.25 18,1,2024-09-07 08:51:31:638,421042,421042,0,0,197487273654,2048364048,418536,2265,241,367,391649,0 18,2,2024-09-07 08:51:31:757,303284,303284,0,0,12371968,0,3541 18,3,2024-09-07 08:51:30:903,1,239,5,0,163,2510,239,0 19,0,2024-09-07 08:51:31:540,59067,0.7,59744,0.8,118089,0.7,157774,2.25 19,1,2024-09-07 08:51:30:566,420766,420766,0,0,197939413727,2059291327,416109,3871,786,367,391777,0 19,2,2024-09-07 08:51:31:753,303245,303245,0,0,11365554,0,3988 19,3,2024-09-07 08:51:31:129,1,239,3,0,524,1860,239,0 20,0,2024-09-07 08:51:31:356,59771,0.7,59820,0.8,119948,0.8,159683,2.25 20,1,2024-09-07 08:51:30:577,419567,419567,0,0,196813998764,2060627727,415754,3415,398,369,391886,0 20,2,2024-09-07 08:51:30:941,301976,301976,0,0,12932881,0,3721 20,3,2024-09-07 08:51:30:596,1,239,1,0,414,4562,239,0 21,0,2024-09-07 08:51:31:157,60011,0.5,60268,0.7,120140,0.5,159467,2.00 21,1,2024-09-07 08:51:31:538,418786,418786,0,0,195658637509,2062642227,413068,4461,1257,368,391962,0 21,2,2024-09-07 08:51:31:070,301703,301703,0,0,14644000,0,3747 21,3,2024-09-07 08:51:31:412,1,239,0,0,103,2579,239,0 22,0,2024-09-07 08:51:31:725,59733,0.6,59815,0.8,119206,0.6,158774,2.25 22,1,2024-09-07 08:51:31:023,419297,419297,0,0,195669714968,2063330805,412110,5545,1642,382,391667,0 22,2,2024-09-07 08:51:30:760,302138,302138,0,0,12879135,0,3134 22,3,2024-09-07 08:51:31:071,1,239,2,0,228,2288,239,0 23,0,2024-09-07 08:51:31:367,59402,0.6,59136,0.8,118803,0.6,158866,2.25 23,1,2024-09-07 08:51:31:002,419851,419851,0,0,197413043725,2075969150,412542,4758,2551,365,391690,0 23,2,2024-09-07 08:51:31:098,302595,302595,0,0,12507226,0,3010 23,3,2024-09-07 08:51:31:757,1,239,85,0,645,3195,239,0 24,0,2024-09-07 08:51:30:827,59866,0.5,59729,0.6,119891,0.4,159357,1.75 24,1,2024-09-07 08:51:30:581,419578,419578,0,0,196246887919,2061896037,413971,4128,1479,368,391987,0 24,2,2024-09-07 08:51:31:070,300840,300840,0,0,15011846,0,3607 24,3,2024-09-07 08:51:31:686,1,239,31,0,468,3684,239,0 25,0,2024-09-07 08:51:31:401,61143,0.5,59713,0.7,116955,0.5,159981,2.00 25,1,2024-09-07 08:51:30:563,420191,420191,0,0,196616612056,2060027035,415906,3731,554,371,391788,0 25,2,2024-09-07 08:51:31:616,300990,300990,0,0,15385527,0,3978 25,3,2024-09-07 08:51:30:999,1,239,1,0,255,2661,239,0 26,0,2024-09-07 08:51:31:726,59680,0.4,58371,0.6,122349,0.3,159365,1.75 26,1,2024-09-07 08:51:31:542,419878,419878,0,0,195907182357,2058746042,412914,5748,1216,381,391748,0 26,2,2024-09-07 08:51:30:861,301820,301820,0,0,15112921,0,2809 26,3,2024-09-07 08:51:31:712,1,239,9,0,796,3232,239,0 27,0,2024-09-07 08:51:31:724,60089,0.4,59931,0.6,118795,0.4,159201,2.00 27,1,2024-09-07 08:51:31:677,421900,421900,0,0,197536858118,2056895348,418451,2857,592,381,391558,0 27,2,2024-09-07 08:51:30:866,301559,301494,65,0,15293119,0,5699 27,3,2024-09-07 08:51:31:015,1,239,3,0,564,2693,239,0 28,0,2024-09-07 08:51:31:388,59538,0.5,59251,0.7,119105,0.5,159383,2.00 28,1,2024-09-07 08:51:30:798,421203,421203,0,0,196783341337,2050264343,418365,2367,471,383,391646,0 28,2,2024-09-07 08:51:31:764,302143,302143,0,0,12067076,0,2915 28,3,2024-09-07 08:51:31:782,1,239,1,0,502,2549,239,0 29,0,2024-09-07 08:51:31:395,61659,0.4,60299,0.7,117747,0.4,160833,1.75 29,1,2024-09-07 08:51:31:561,421959,421959,0,0,197630159956,2047938793,418736,2658,565,369,391621,0 29,2,2024-09-07 08:51:30:864,301284,301284,0,0,11540799,0,4018 29,3,2024-09-07 08:51:30:963,1,239,4,0,115,1756,239,0 30,0,2024-09-07 08:51:31:462,59793,0.5,58186,0.7,121624,0.5,159132,2.00 30,1,2024-09-07 08:51:30:570,421999,421999,0,0,197371310834,2046626474,419670,2036,293,382,391672,0 30,2,2024-09-07 08:51:31:274,302772,302772,0,0,11441245,0,3161 30,3,2024-09-07 08:51:30:581,1,239,0,0,195,1875,239,0 31,0,2024-09-07 08:51:31:758,59840,0.4,60173,0.6,120640,0.4,159921,2.00 31,1,2024-09-07 08:51:30:565,423115,423115,0,0,198175356507,2031801098,421727,1169,219,356,391712,0 31,2,2024-09-07 08:51:31:274,302252,302252,0,0,12989373,0,3525 31,3,2024-09-07 08:51:31:709,1,239,1,0,220,1906,239,0 32,0,2024-09-07 08:51:31:416,59433,0.4,60015,0.6,119724,0.3,159500,1.75 32,1,2024-09-07 08:51:30:804,421955,421955,0,0,197268060045,2048015928,420001,1675,279,381,391614,0 32,2,2024-09-07 08:51:30:939,302072,302072,0,0,11288303,0,3155 32,3,2024-09-07 08:51:31:015,1,239,1,0,227,1996,239,0 33,0,2024-09-07 08:51:31:533,60626,0.3,59833,0.5,120513,0.2,160330,1.75 33,1,2024-09-07 08:51:30:577,421966,421966,0,0,197395970729,2041117601,419386,2406,174,369,391730,0 33,2,2024-09-07 08:51:30:759,302802,302769,33,0,13081449,0,7012 33,3,2024-09-07 08:51:30:895,1,239,0,0,329,2882,239,0 34,0,2024-09-07 08:51:30:948,60070,0.3,61790,0.5,118557,0.2,159021,1.75 34,1,2024-09-07 08:51:31:044,422627,422627,0,0,197960877067,2037292857,421532,1088,7,367,391562,0 34,2,2024-09-07 08:51:30:768,302895,302895,0,0,12357373,0,3577 34,3,2024-09-07 08:51:31:693,1,239,1,0,299,2034,239,0 35,0,2024-09-07 08:51:30:860,59416,0.3,59802,0.5,120152,0.3,160038,1.75 35,1,2024-09-07 08:51:31:071,421304,421304,0,0,197186075661,2047187417,417960,2564,780,384,391589,0 35,2,2024-09-07 08:51:31:582,302856,302856,0,0,11814948,0,2653 35,3,2024-09-07 08:51:30:911,1,239,1,0,418,2948,239,0 36,0,2024-09-07 08:51:31:526,59968,0.6,59899,0.8,119889,0.7,159354,2.25 36,1,2024-09-07 08:51:30:583,420589,420589,0,0,197144256582,2064349306,414625,4385,1579,366,391759,0 36,2,2024-09-07 08:51:31:751,301330,301330,0,0,13753484,0,3875 36,3,2024-09-07 08:51:30:865,1,239,0,0,416,3959,239,0 37,0,2024-09-07 08:51:31:369,58957,0.6,58903,0.7,118118,0.6,159104,2.00 37,1,2024-09-07 08:51:30:575,419658,419651,0,7,196156042565,2058792250,413644,3940,2067,365,391560,0 37,2,2024-09-07 08:51:31:144,301461,301446,15,0,13999170,0,5815 37,3,2024-09-07 08:51:31:767,1,239,3,0,888,4326,239,0 38,0,2024-09-07 08:51:31:437,59236,0.5,57524,0.7,120424,0.4,157672,2.00 38,1,2024-09-07 08:51:31:605,421105,421105,0,0,196807812600,2057172260,415834,4596,675,368,391821,0 38,2,2024-09-07 08:51:30:773,301964,301917,47,0,14435182,0,6710 38,3,2024-09-07 08:51:30:999,1,239,3,0,689,3567,239,0 39,0,2024-09-07 08:51:31:761,61407,0.6,60274,0.7,116999,0.6,160081,2.00 39,1,2024-09-07 08:51:30:718,420693,420693,0,0,196438492427,2053017977,415140,4651,902,365,391594,0 39,2,2024-09-07 08:51:31:417,301829,301829,0,0,12528320,0,2689 39,3,2024-09-07 08:51:30:715,1,239,1,0,324,3396,239,0 40,0,2024-09-07 08:51:31:490,59134,0.7,59627,1.0,119278,0.8,158846,2.75 40,1,2024-09-07 08:51:30:576,420558,420558,0,0,195674473066,2054372873,413559,5556,1443,368,391591,0 40,2,2024-09-07 08:51:31:304,302344,302343,1,0,15596109,0,5137 40,3,2024-09-07 08:51:31:143,1,239,2,0,398,3007,239,0 41,0,2024-09-07 08:51:31:028,58642,2.2,60045,1.5,114496,3.4,157295,4.00 41,1,2024-09-07 08:51:30:771,420414,420414,0,0,197076376292,2063277701,414880,4900,634,370,391742,0 41,2,2024-09-07 08:51:30:759,300724,300724,0,0,14787964,0,3356 41,3,2024-09-07 08:51:31:682,1,239,2,0,366,2758,239,0 42,0,2024-09-07 08:51:31:473,59069,0.9,59414,1.1,118790,1.1,156685,2.75 42,1,2024-09-07 08:51:31:439,419023,419023,0,0,196398083441,2067584077,411865,5706,1452,380,391675,0 42,2,2024-09-07 08:51:31:135,301777,301777,0,0,14061566,0,3568 42,3,2024-09-07 08:51:31:010,1,239,1,0,446,2048,239,0 43,0,2024-09-07 08:51:30:919,59859,0.7,58337,0.9,121834,0.7,159915,2.25 43,1,2024-09-07 08:51:30:576,419662,419662,0,0,197019328597,2061644803,413402,4931,1329,366,391696,0 43,2,2024-09-07 08:51:31:736,302098,302098,0,0,13536055,0,3812 43,3,2024-09-07 08:51:31:756,1,239,6,0,467,3027,239,0 44,0,2024-09-07 08:51:30:864,59891,0.5,60287,0.7,120237,0.4,159850,1.75 44,1,2024-09-07 08:51:30:563,421665,421665,0,0,196154591125,2026713586,419111,2123,431,356,391809,0 44,2,2024-09-07 08:51:31:267,302362,302362,0,0,11467039,0,2231 44,3,2024-09-07 08:51:31:092,1,239,1,0,817,2909,239,0 45,0,2024-09-07 08:51:31:760,58318,0.7,57038,0.9,119651,0.8,158412,2.00 45,1,2024-09-07 08:51:31:019,421397,421397,0,0,197506997334,2047579112,419747,1638,12,382,391917,0 45,2,2024-09-07 08:51:31:266,302380,302380,0,0,12138116,0,3596 45,3,2024-09-07 08:51:30:942,1,239,1,0,271,2656,239,0 46,0,2024-09-07 08:51:30:957,59707,0.6,59641,0.8,119497,0.7,157927,2.25 46,1,2024-09-07 08:51:30:576,422562,422562,0,0,197388259815,2038909806,420216,2072,274,366,391539,0 46,2,2024-09-07 08:51:30:594,302065,302065,0,0,11735797,0,2920 46,3,2024-09-07 08:51:31:131,1,239,3,0,908,3748,239,0 47,0,2024-09-07 08:51:31:108,60540,0.4,60339,0.6,121424,0.4,160370,1.75 47,1,2024-09-07 08:51:30:573,422610,422610,0,0,196947141928,2034168981,420288,2188,134,366,391605,0 47,2,2024-09-07 08:51:30:908,304159,304159,0,0,11818687,0,2558 47,3,2024-09-07 08:51:31:116,1,239,12,0,529,2255,239,0 48,0,2024-09-07 08:51:31:493,60559,0.3,60186,0.4,119939,0.2,160220,1.50 48,1,2024-09-07 08:51:31:022,421847,421847,0,0,197125287234,2049432620,418747,2801,299,384,391710,0 48,2,2024-09-07 08:51:30:699,302708,302708,0,0,11436024,0,3031 48,3,2024-09-07 08:51:30:754,1,239,0,0,339,2085,239,0 49,0,2024-09-07 08:51:31:741,61249,0.4,60142,0.6,116473,0.3,159962,1.75 49,1,2024-09-07 08:51:31:021,420352,420352,0,0,197496323846,2060470535,416495,2676,1181,382,391610,0 49,2,2024-09-07 08:51:31:797,302966,302966,0,0,12004625,0,3900 49,3,2024-09-07 08:51:31:416,1,239,1,0,408,2742,239,0 50,0,2024-09-07 08:51:31:506,60045,0.3,59491,0.5,119728,0.2,159647,1.75 50,1,2024-09-07 08:51:31:011,422583,422583,0,0,198117075419,2051015409,419747,2526,310,368,391530,0 50,2,2024-09-07 08:51:31:070,302472,302472,0,0,11315917,0,2263 50,3,2024-09-07 08:51:31:293,1,239,1,0,335,2037,239,0 51,0,2024-09-07 08:51:31:686,61360,0.3,60027,0.5,116990,0.2,159773,1.75 51,1,2024-09-07 08:51:31:680,422249,422249,0,0,198641155925,2052069424,419454,1856,939,365,391706,0 51,2,2024-09-07 08:51:31:338,302746,302746,0,0,10744790,0,3337 51,3,2024-09-07 08:51:31:028,1,239,2,0,678,1998,239,0 52,0,2024-09-07 08:51:31:423,60552,0.4,60090,0.6,120019,0.4,159455,2.00 52,1,2024-09-07 08:51:30:589,420432,420432,0,0,195596614693,2054627924,413295,5883,1254,368,391722,0 52,2,2024-09-07 08:51:31:758,300469,300431,38,0,14658180,0,6742 52,3,2024-09-07 08:51:30:678,1,239,0,0,1782,4319,239,0 53,0,2024-09-07 08:51:31:734,59047,0.7,57345,0.8,120084,0.8,158003,2.50 53,1,2024-09-07 08:51:30:772,419303,419303,0,0,196447914643,2066784626,411979,4968,2356,367,391702,0 53,2,2024-09-07 08:51:31:298,302285,302285,0,0,12181896,0,2727 53,3,2024-09-07 08:51:30:696,1,239,1,0,271,2273,239,0 54,0,2024-09-07 08:51:31:622,58433,0.9,58878,0.9,116657,0.6,156492,2.75 54,1,2024-09-07 08:51:30:582,420493,420493,0,0,197586352073,2057704679,415757,4139,597,367,391659,0 54,2,2024-09-07 08:51:30:866,301914,301908,6,0,13513076,0,5382 54,3,2024-09-07 08:51:30:768,1,239,0,0,676,3736,239,0 55,0,2024-09-07 08:51:31:760,57401,0.7,59539,0.9,119749,0.6,156466,2.50 55,1,2024-09-07 08:51:30:770,419970,419970,0,0,196075717584,2044900209,414420,4763,787,365,391731,0 55,2,2024-09-07 08:51:30:729,301179,301179,0,0,13690610,0,3275 55,3,2024-09-07 08:51:30:679,1,239,2,0,304,2827,239,0 56,0,2024-09-07 08:51:31:568,61280,1.0,57804,1.1,118983,1.4,159230,2.50 56,1,2024-09-07 08:51:30:570,418454,418454,0,0,196072970215,2076257631,411320,5696,1438,381,391678,0 56,2,2024-09-07 08:51:31:304,301878,301878,0,0,14770079,0,3567 56,3,2024-09-07 08:51:31:076,1,239,1,0,705,3540,239,0 57,0,2024-09-07 08:51:30:944,58791,1.7,58960,1.3,117923,2.3,157956,3.25 57,1,2024-09-07 08:51:30:989,420098,420098,0,0,196282402055,2059581485,414777,4651,670,367,391960,0 57,2,2024-09-07 08:51:31:327,303228,303228,0,0,15748649,0,3317 57,3,2024-09-07 08:51:31:739,1,239,1,0,359,3352,239,0 58,0,2024-09-07 08:51:30:561,58204,1.0,56671,1.0,118529,1.2,155924,2.50 58,1,2024-09-07 08:51:30:575,420458,420455,0,3,197482652710,2065999420,414728,5017,710,367,391545,3 58,2,2024-09-07 08:51:31:073,302143,302143,0,0,14172585,0,2549 58,3,2024-09-07 08:51:31:070,1,239,1,0,1043,2831,239,0 59,0,2024-09-07 08:51:31:750,59630,0.9,59530,1.0,118680,1.0,157609,3.00 59,1,2024-09-07 08:51:30:803,420352,420352,0,0,196789406371,2062170400,414565,4522,1265,369,391525,0 59,2,2024-09-07 08:51:30:583,302957,302957,0,0,12876435,0,2604 59,3,2024-09-07 08:51:31:738,1,239,2,0,1015,3490,239,0 60,0,2024-09-07 08:51:31:706,60154,0.4,59888,0.6,120717,0.4,159760,1.75 60,1,2024-09-07 08:51:30:773,421867,421867,0,0,197238150530,2046684582,419430,1920,517,370,392031,0 60,2,2024-09-07 08:51:31:146,302255,302255,0,0,14087422,0,3811 60,3,2024-09-07 08:51:31:257,1,239,0,0,124,2372,239,0 61,0,2024-09-07 08:51:31:506,60209,0.7,60484,0.8,120420,0.7,160241,2.00 61,1,2024-09-07 08:51:30:771,420521,420521,0,0,196498826284,2058169756,415952,3866,703,382,391880,0 61,2,2024-09-07 08:51:31:116,303413,303413,0,0,12394157,0,2780 61,3,2024-09-07 08:51:31:687,1,239,0,0,397,3311,239,0 62,0,2024-09-07 08:51:31:708,60144,0.7,61264,0.8,116685,0.8,159365,2.00 62,1,2024-09-07 08:51:31:110,423014,423008,0,6,197792400227,2041445492,420550,2341,117,365,391715,6 62,2,2024-09-07 08:51:31:643,300849,300848,1,0,13728806,0,5555 62,3,2024-09-07 08:51:31:143,1,239,1,0,287,1719,239,0 63,0,2024-09-07 08:51:31:454,60089,0.5,60237,0.6,120682,0.5,159902,1.75 63,1,2024-09-07 08:51:30:807,422310,422304,0,6,196679560896,2038350928,420729,1525,50,381,391677,6 63,2,2024-09-07 08:51:30:761,302430,302430,0,0,11503990,0,2674 63,3,2024-09-07 08:51:31:732,1,239,2,0,667,2845,239,0 64,0,2024-09-07 08:51:31:508,59564,0.4,59601,0.6,119469,0.4,158895,1.75 64,1,2024-09-07 08:51:30:755,420960,420960,0,0,197012555215,2057441558,416477,3069,1414,370,391783,0 64,2,2024-09-07 08:51:31:149,305005,304986,19,0,12437387,0,6121 64,3,2024-09-07 08:51:31:146,1,239,2,0,265,2519,239,0 65,0,2024-09-07 08:51:31:676,59355,0.6,59717,0.7,119172,0.6,159034,2.00 65,1,2024-09-07 08:51:30:861,420052,420052,0,0,195906292473,2051757261,416503,3106,443,382,391901,0 65,2,2024-09-07 08:51:31:700,302474,302474,0,0,13125686,0,3367 65,3,2024-09-07 08:51:31:684,1,239,1,0,163,2261,239,0 66,0,2024-09-07 08:51:31:773,59516,0.5,59373,0.7,119490,0.5,158560,2.00 66,1,2024-09-07 08:51:31:293,421708,421708,0,0,197538939653,2053991961,419138,2370,200,380,391653,0 66,2,2024-09-07 08:51:31:132,303929,303929,0,0,12799918,0,4956 66,3,2024-09-07 08:51:31:079,1,239,0,0,291,2596,239,0 67,0,2024-09-07 08:51:31:414,59700,0.7,59297,0.8,119157,0.7,159126,2.25 67,1,2024-09-07 08:51:30:769,420626,420625,0,1,197278189187,2059694482,416783,3095,747,380,391787,1 67,2,2024-09-07 08:51:30:583,303563,303563,0,0,11890482,0,2889 67,3,2024-09-07 08:51:31:750,1,239,1,0,338,2321,239,0 68,0,2024-09-07 08:51:30:570,59851,0.6,59655,0.8,118918,0.6,159341,2.00 68,1,2024-09-07 08:51:30:573,419855,419855,0,0,195909499407,2055255857,415883,2833,1139,381,391953,0 68,2,2024-09-07 08:51:31:052,301234,301169,65,0,15504897,0,6698 68,3,2024-09-07 08:51:30:738,1,239,6,0,417,2767,239,0 69,0,2024-09-07 08:51:31:729,59721,0.7,60111,0.8,119546,0.8,159199,2.25 69,1,2024-09-07 08:51:31:041,418679,418679,0,0,196097935291,2071952114,412980,4297,1402,384,391994,0 69,2,2024-09-07 08:51:31:736,301481,301481,0,0,15168673,0,3722 69,3,2024-09-07 08:51:30:760,1,239,1,0,698,3595,239,0 70,0,2024-09-07 08:51:31:531,59302,1.0,59328,1.1,119117,0.7,158514,2.25 70,1,2024-09-07 08:51:30:803,420848,420848,0,0,198000765401,2057558422,417193,3127,528,366,391725,0 70,2,2024-09-07 08:51:31:325,302346,302346,0,0,14069814,0,4044 70,3,2024-09-07 08:51:30:744,1,239,59,0,854,2900,239,0 71,0,2024-09-07 08:51:31:361,58779,1.4,58644,1.2,117579,2.0,157914,3.00 71,1,2024-09-07 08:51:31:602,420390,420390,0,0,197134277617,2058411982,415269,4500,621,368,391738,0 71,2,2024-09-07 08:51:31:070,302103,302103,0,0,14175137,0,4042 71,3,2024-09-07 08:51:31:752,1,239,1,0,644,3589,239,0 72,0,2024-09-07 08:51:31:071,61886,0.8,60508,0.9,117937,1.0,160433,2.25 72,1,2024-09-07 08:51:31:032,420198,420198,0,0,196664068663,2055642681,415144,4123,931,369,391819,0 72,2,2024-09-07 08:51:31:763,301136,301136,0,0,15789489,0,3983 72,3,2024-09-07 08:51:31:753,1,239,1,0,564,4368,239,0 73,0,2024-09-07 08:51:31:104,58699,0.4,60055,0.6,123151,0.3,159611,2.00 73,1,2024-09-07 08:51:30:771,420757,420757,0,0,196361090806,2042699701,417672,2850,235,367,391750,0 73,2,2024-09-07 08:51:31:739,302084,302084,0,0,15175661,0,3701 73,3,2024-09-07 08:51:30:976,1,239,0,0,274,3619,239,0 74,0,2024-09-07 08:51:31:327,60525,0.5,61885,0.7,117953,0.4,159737,2.25 74,1,2024-09-07 08:51:30:696,420503,420503,0,0,196137025966,2050404593,416104,3600,799,381,391681,0 74,2,2024-09-07 08:51:31:002,303000,303000,0,0,14617389,0,4253 74,3,2024-09-07 08:51:31:443,1,239,0,0,522,3323,239,0 75,0,2024-09-07 08:51:31:797,59427,0.6,59103,0.9,118703,0.6,159314,2.50 75,1,2024-09-07 08:51:31:586,419935,419935,0,0,196943493606,2061118366,415027,4245,663,380,391660,0 75,2,2024-09-07 08:51:31:353,301239,301239,0,0,15087869,0,4766 75,3,2024-09-07 08:51:31:071,1,239,4,0,702,3507,239,0 76,0,2024-09-07 08:51:30:644,59757,0.8,59193,0.9,118555,0.8,158851,2.50 76,1,2024-09-07 08:51:30:808,420694,420694,0,0,195978557830,2046241333,417635,2511,548,382,391692,0 76,2,2024-09-07 08:51:31:064,303149,303149,0,0,12365097,0,3784 76,3,2024-09-07 08:51:31:146,1,239,2,0,175,2586,239,0 77,0,2024-09-07 08:51:31:697,60259,0.5,60569,0.7,120704,0.5,160084,1.75 77,1,2024-09-07 08:51:30:825,421169,421169,0,0,196926398730,2057889090,417721,3191,257,383,391808,0 77,2,2024-09-07 08:51:31:285,301938,301938,0,0,12980292,0,3890 77,3,2024-09-07 08:51:31:101,1,239,0,0,382,2802,239,0 78,0,2024-09-07 08:51:31:736,60373,0.4,59778,0.6,120570,0.4,159504,2.00 78,1,2024-09-07 08:51:30:617,421342,421342,0,0,197015412445,2046118253,418346,2677,319,367,391670,0 78,2,2024-09-07 08:51:31:409,302816,302816,0,0,11597922,0,3855 78,3,2024-09-07 08:51:31:135,1,239,0,0,181,2422,239,0 79,0,2024-09-07 08:51:31:355,57774,0.4,59222,0.6,121043,0.4,157624,2.50 79,1,2024-09-07 08:51:30:579,422409,422409,0,0,197651142897,2046318947,419568,2505,336,369,391682,0 79,2,2024-09-07 08:51:31:070,302843,302843,0,0,11747751,0,3212 79,3,2024-09-07 08:51:30:750,1,239,1,0,418,3672,239,0 80,0,2024-09-07 08:51:31:086,59946,0.6,61690,0.8,118038,0.7,159405,2.00 80,1,2024-09-07 08:51:31:624,420469,420469,0,0,197230411759,2054732954,417505,2795,169,368,391791,0 80,2,2024-09-07 08:51:31:094,302921,302921,0,0,12186509,0,4433 80,3,2024-09-07 08:51:30:575,1,239,6,0,190,3390,239,0 81,0,2024-09-07 08:51:31:542,59800,0.5,61255,0.7,117369,0.4,158939,1.75 81,1,2024-09-07 08:51:31:665,419775,419775,0,0,196004108971,2051004366,415937,3377,461,382,391879,0 81,2,2024-09-07 08:51:31:126,302356,302356,0,0,12560381,0,3993 81,3,2024-09-07 08:51:31:117,1,239,1,0,374,2990,239,0 82,0,2024-09-07 08:51:31:531,59505,0.4,59972,0.6,120099,0.4,159550,2.00 82,1,2024-09-07 08:51:30:582,421365,421361,0,4,197224145558,2056063413,418140,2610,611,381,391558,4 82,2,2024-09-07 08:51:31:695,303287,303287,0,0,11875766,0,3986 82,3,2024-09-07 08:51:31:753,1,239,5,0,363,2783,239,0 83,0,2024-09-07 08:51:31:525,59594,0.6,59647,0.7,118234,0.5,158449,2.00 83,1,2024-09-07 08:51:30:588,420384,420384,0,0,196332595773,2049533234,417216,2924,244,382,391690,0 83,2,2024-09-07 08:51:30:763,302200,302200,0,0,11906464,0,3119 83,3,2024-09-07 08:51:30:750,1,239,5,0,250,2648,239,0 84,0,2024-09-07 08:51:31:772,58956,0.8,58921,0.9,117692,0.7,158044,2.50 84,1,2024-09-07 08:51:31:060,419702,419702,0,0,196601609756,2057894208,414965,4161,576,368,391967,0 84,2,2024-09-07 08:51:30:571,302152,302152,0,0,13791099,0,3801 84,3,2024-09-07 08:51:31:147,1,239,26,0,908,3902,239,0 85,0,2024-09-07 08:51:31:002,57528,0.8,57533,0.9,121945,0.9,157909,2.50 85,1,2024-09-07 08:51:30:575,419296,419296,0,0,196568746512,2079226060,412465,5725,1106,382,392006,0 85,2,2024-09-07 08:51:30:865,303293,303293,0,0,14554727,0,3656 85,3,2024-09-07 08:51:30:693,1,239,4,0,789,3154,239,0 86,0,2024-09-07 08:51:30:907,59979,0.6,61598,0.7,117992,0.6,159191,2.00 86,1,2024-09-07 08:51:30:836,420860,420860,0,0,197125247122,2063250055,415881,4255,724,366,391961,0 86,2,2024-09-07 08:51:30:859,300981,300980,1,0,15267712,0,5004 86,3,2024-09-07 08:51:30:588,1,239,5,0,286,3195,239,0 87,0,2024-09-07 08:51:31:370,59564,1.0,59253,1.0,119182,1.4,159524,2.25 87,1,2024-09-07 08:51:30:557,420517,420517,0,0,196539015663,2057472546,416052,3982,483,366,391788,0 87,2,2024-09-07 08:51:31:073,301788,301788,0,0,13708096,0,4045 87,3,2024-09-07 08:51:31:807,1,239,2,0,473,3748,239,0 88,0,2024-09-07 08:51:31:466,59410,0.5,59769,0.7,119190,0.5,159048,2.00 88,1,2024-09-07 08:51:30:570,418710,418710,0,0,196165696992,2060821637,412543,4655,1512,365,392084,0 88,2,2024-09-07 08:51:30:693,302097,302097,0,0,15560704,0,3583 88,3,2024-09-07 08:51:31:268,1,239,1,0,435,3029,239,0 89,0,2024-09-07 08:51:31:811,61785,0.5,59677,0.7,118585,0.5,160880,2.00 89,1,2024-09-07 08:51:30:564,418262,418262,0,0,196392394147,2074544979,411354,5686,1222,382,391866,0 89,2,2024-09-07 08:51:31:132,300925,300925,0,0,14853077,0,2910 89,3,2024-09-07 08:51:31:791,1,239,1,0,325,4750,239,0 90,0,2024-09-07 08:51:31:813,58430,0.4,59686,0.6,122275,0.4,158947,1.75 90,1,2024-09-07 08:51:30:607,420485,420485,0,0,196438960736,2060041070,416377,3831,277,381,391825,0 90,2,2024-09-07 08:51:31:410,301167,301167,0,0,15951707,0,3060 90,3,2024-09-07 08:51:30:938,1,239,2,0,200,2570,239,0 91,0,2024-09-07 08:51:30:977,60507,0.4,58561,0.6,122417,0.4,160340,1.75 91,1,2024-09-07 08:51:30:569,419728,419728,0,0,196640409511,2069825312,413865,5169,694,381,392047,0 91,2,2024-09-07 08:51:31:487,302503,302503,0,0,14431220,0,2896 91,3,2024-09-07 08:51:30:609,1,239,4,0,216,2346,239,0 92,0,2024-09-07 08:51:31:529,60108,0.5,61678,0.7,117715,0.5,159632,1.75 92,1,2024-09-07 08:51:30:581,420313,420313,0,0,196609768280,2051444945,417760,2147,406,382,392136,0 92,2,2024-09-07 08:51:31:356,303684,303684,0,0,12132949,0,2801 92,3,2024-09-07 08:51:31:010,1,239,5,0,167,2005,239,0 93,0,2024-09-07 08:51:30:969,60381,0.4,62013,0.6,118396,0.4,160389,1.75 93,1,2024-09-07 08:51:30:811,420411,420411,0,0,195486589512,2042850514,415939,3686,786,366,391692,0 93,2,2024-09-07 08:51:30:937,302885,302885,0,0,12914945,0,2797 93,3,2024-09-07 08:51:31:406,1,239,2,0,190,2454,239,0 94,0,2024-09-07 08:51:31:619,59760,0.4,60484,0.5,120621,0.3,159697,1.75 94,1,2024-09-07 08:51:30:571,420337,420337,0,0,196668080885,2056088260,417053,3106,178,381,391850,0 94,2,2024-09-07 08:51:30:768,302465,302465,0,0,12004002,0,2443 94,3,2024-09-07 08:51:31:688,1,239,7,0,264,3231,239,0 95,0,2024-09-07 08:51:31:442,60059,0.3,59646,0.5,120557,0.3,159828,1.75 95,1,2024-09-07 08:51:30:853,421175,421175,0,0,197085300304,2050581325,417614,3185,376,367,391713,0 95,2,2024-09-07 08:51:31:016,302171,302171,0,0,12342843,0,3308 95,3,2024-09-07 08:51:31:716,1,239,34,0,718,4481,239,0 96,0,2024-09-07 08:51:31:035,59955,0.4,60269,0.6,120175,0.4,159009,1.75 96,1,2024-09-07 08:51:31:589,420046,420046,0,0,197231364266,2061165198,416476,2839,731,384,391894,0 96,2,2024-09-07 08:51:31:269,301907,301907,0,0,12995333,0,4042 96,3,2024-09-07 08:51:31:150,1,239,18,0,411,2842,239,0 97,0,2024-09-07 08:51:31:314,59509,0.4,59343,0.5,119183,0.3,159031,1.75 97,1,2024-09-07 08:51:30:770,421433,421433,0,0,197882693758,2054045087,418402,2475,556,367,392140,0 97,2,2024-09-07 08:51:30:621,302604,302604,0,0,12222973,0,3036 97,3,2024-09-07 08:51:30:569,1,239,4,0,214,2879,239,0 98,0,2024-09-07 08:51:31:710,59702,0.3,59801,0.5,120210,0.2,159511,1.50 98,1,2024-09-07 08:51:30:601,421331,421331,0,0,196716754508,2049105554,419194,2038,99,382,391997,0 98,2,2024-09-07 08:51:30:774,302950,302950,0,0,12323376,0,3080 98,3,2024-09-07 08:51:30:700,1,239,7,0,840,4535,239,0 99,0,2024-09-07 08:51:31:516,60126,0.3,60263,0.4,120296,0.3,160304,1.50 99,1,2024-09-07 08:51:31:748,420954,420954,0,0,195789928226,2043639923,417171,3044,739,381,391744,0 99,2,2024-09-07 08:51:31:422,304796,304796,0,0,13052543,0,3106 99,3,2024-09-07 08:51:30:591,1,239,6,0,168,1905,239,0 100,0,2024-09-07 08:51:31:529,59617,0.7,59583,1.0,119028,0.9,159050,2.25 100,1,2024-09-07 08:51:30:555,418261,418261,0,0,195189919477,2067139859,411427,5517,1317,381,391989,0 100,2,2024-09-07 08:51:31:819,300659,300648,11,0,14435661,0,5417 100,3,2024-09-07 08:51:31:731,1,239,3,0,559,4848,239,0 101,0,2024-09-07 08:51:31:724,60506,2.0,58889,1.3,115605,2.4,159417,2.75 101,1,2024-09-07 08:51:30:563,418816,418816,0,0,195862193497,2067942091,411471,5574,1771,368,391769,0 101,2,2024-09-07 08:51:31:760,301233,301233,0,0,15693002,0,4644 101,3,2024-09-07 08:51:30:943,1,239,9,0,448,3123,239,0 102,0,2024-09-07 08:51:30:991,58461,0.8,60663,0.8,121787,0.8,159697,2.25 102,1,2024-09-07 08:51:31:160,419497,419497,0,0,196842341601,2070549636,413849,4809,839,369,391883,0 102,2,2024-09-07 08:51:31:755,302457,302403,54,0,14353949,0,6768 102,3,2024-09-07 08:51:31:624,1,239,4,0,410,2532,239,0 103,0,2024-09-07 08:51:31:610,61980,0.5,61992,0.7,116905,0.4,160733,2.00 103,1,2024-09-07 08:51:31:659,418689,418689,0,0,195912139979,2067424585,412399,4909,1381,381,391829,0 103,2,2024-09-07 08:51:30:582,300727,300727,0,0,13509120,0,2582 103,3,2024-09-07 08:51:30:771,1,239,25,0,916,3547,239,0 104,0,2024-09-07 08:51:31:011,59521,0.8,60011,1.0,118608,0.8,159880,2.25 104,1,2024-09-07 08:51:31:599,420173,420173,0,0,196047427745,2064849462,413505,5573,1095,365,391948,0 104,2,2024-09-07 08:51:31:666,301428,301428,0,0,15175577,0,3941 104,3,2024-09-07 08:51:31:418,1,239,1,0,1245,6353,239,0 105,0,2024-09-07 08:51:31:031,58671,1.5,56957,1.3,119070,2.5,157770,3.75 105,1,2024-09-07 08:51:30:568,419944,419944,0,0,196603774239,2066367394,413646,5321,977,366,391797,0 105,2,2024-09-07 08:51:31:339,301632,301632,0,0,14626450,0,3509 105,3,2024-09-07 08:51:31:312,1,239,2,0,399,4215,239,0 106,0,2024-09-07 08:51:30:994,57882,1.1,59287,1.1,121472,1.3,158482,2.75 106,1,2024-09-07 08:51:31:755,419716,419716,0,0,196366985282,2066778538,412631,6327,758,369,391767,0 106,2,2024-09-07 08:51:30:756,300016,300016,0,0,14079787,0,2795 106,3,2024-09-07 08:51:30:689,1,239,6,0,470,3542,239,0 107,0,2024-09-07 08:51:31:153,60151,0.8,60089,0.9,120088,0.8,159757,2.00 107,1,2024-09-07 08:51:30:593,418824,418824,0,0,195911507685,2064023284,413508,4770,546,381,392234,0 107,2,2024-09-07 08:51:31:309,301659,301658,1,0,14750434,0,5024 107,3,2024-09-07 08:51:31:779,1,239,1,0,353,3354,239,0 108,0,2024-09-07 08:51:31:818,60254,0.4,60535,0.6,119883,0.3,160262,1.75 108,1,2024-09-07 08:51:31:293,420445,420445,0,0,196717124784,2050804718,417245,2816,384,368,391857,0 108,2,2024-09-07 08:51:31:760,301765,301765,0,0,13217273,0,2647 108,3,2024-09-07 08:51:31:334,1,239,1,0,749,4819,239,0 109,0,2024-09-07 08:51:31:775,60003,0.4,59375,0.6,118992,0.4,159841,1.75 109,1,2024-09-07 08:51:30:588,419291,419291,0,0,196395249665,2061103595,415505,3271,515,383,392132,0 109,2,2024-09-07 08:51:30:923,301003,301003,0,0,13700795,0,3617 109,3,2024-09-07 08:51:31:146,1,239,1,0,249,2932,239,0 110,0,2024-09-07 08:51:31:757,60107,0.4,58578,0.6,122376,0.4,160107,1.75 110,1,2024-09-07 08:51:31:665,421391,421391,0,0,197832860758,2055514114,418249,2265,877,370,392045,0 110,2,2024-09-07 08:51:31:305,302799,302799,0,0,12944255,0,3264 110,3,2024-09-07 08:51:30:695,1,239,1,0,406,3226,239,0 111,0,2024-09-07 08:51:31:428,60258,0.4,59795,0.5,119347,0.3,160155,1.75 111,1,2024-09-07 08:51:31:011,421715,421715,0,0,197975903692,2052832931,419726,1653,336,382,391690,0 111,2,2024-09-07 08:51:31:129,302640,302640,0,0,12489081,0,2763 111,3,2024-09-07 08:51:30:919,1,239,0,0,379,3339,239,0 112,0,2024-09-07 08:51:30:909,60120,0.3,60003,0.4,120101,0.2,159593,1.50 112,1,2024-09-07 08:51:30:824,422025,422025,0,0,196544252947,2040016606,419607,1990,428,380,391580,0 112,2,2024-09-07 08:51:31:135,302426,302425,1,0,12781840,0,5036 112,3,2024-09-07 08:51:30:596,1,239,1,0,282,2648,239,0 113,0,2024-09-07 08:51:30:903,59423,0.3,59308,0.5,119159,0.3,159493,1.75 113,1,2024-09-07 08:51:31:688,422480,422480,0,0,198518155951,2050286976,419984,2007,489,366,391661,0 113,2,2024-09-07 08:51:31:304,303250,303250,0,0,11585422,0,3813 113,3,2024-09-07 08:51:30:684,1,239,2,0,340,3578,239,0 114,0,2024-09-07 08:51:30:874,59800,0.4,60260,0.5,119446,0.3,159884,1.75 114,1,2024-09-07 08:51:30:717,420796,420796,0,0,196941590576,2054235685,415990,3217,1589,381,391556,0 114,2,2024-09-07 08:51:30:873,302134,302133,1,0,12696644,0,5069 114,3,2024-09-07 08:51:31:278,1,239,1,0,395,2667,239,0 115,0,2024-09-07 08:51:30:564,59717,0.3,60045,0.4,120044,0.2,159743,1.75 115,1,2024-09-07 08:51:30:570,420558,420558,0,0,197303118061,2054598809,416201,3523,834,382,391602,0 115,2,2024-09-07 08:51:31:126,303233,303233,0,0,11034061,0,2152 115,3,2024-09-07 08:51:31:001,1,239,0,0,159,1356,239,0 116,0,2024-09-07 08:51:31:731,59830,0.6,59680,0.8,119534,0.6,159749,2.00 116,1,2024-09-07 08:51:30:807,418230,418230,0,0,195437712887,2071139692,411838,4337,2055,380,391782,0 116,2,2024-09-07 08:51:31:756,301282,301282,0,0,15720654,0,3529 116,3,2024-09-07 08:51:30:912,1,239,1,0,415,3520,239,0 117,0,2024-09-07 08:51:30:957,59632,0.8,59446,0.9,119026,0.9,159643,2.00 117,1,2024-09-07 08:51:31:581,420117,420117,0,0,195184143577,2045658833,415420,4217,480,370,392033,0 117,2,2024-09-07 08:51:31:123,304596,304596,0,0,12994741,0,3700 117,3,2024-09-07 08:51:31:077,1,239,1,0,490,3992,239,0 118,0,2024-09-07 08:51:31:903,57779,0.7,59329,0.8,121017,0.7,158486,2.00 118,1,2024-09-07 08:51:30:607,419691,419691,0,0,196332059456,2066896089,413330,4929,1432,366,391736,0 118,2,2024-09-07 08:51:31:594,301340,301340,0,0,14041391,0,2781 118,3,2024-09-07 08:51:31:773,1,239,2,0,235,2806,239,0 119,0,2024-09-07 08:51:31:400,59671,1.0,60120,0.9,120356,1.2,159700,2.25 119,1,2024-09-07 08:51:30:556,421120,421120,0,0,197388580461,2063692040,416474,4124,522,367,391641,0 119,2,2024-09-07 08:51:31:268,301777,301777,0,0,13291943,0,4174 119,3,2024-09-07 08:51:31:338,1,239,1,0,443,3444,239,0 120,0,2024-09-07 08:51:31:564,59838,0.5,59533,0.8,119543,0.5,159417,2.00 120,1,2024-09-07 08:51:30:859,420161,420161,0,0,196686161663,2065441124,415487,4324,350,368,391961,0 120,2,2024-09-07 08:51:30:797,301669,301668,1,0,15864773,0,5281 120,3,2024-09-07 08:51:31:291,1,239,1,0,241,3194,239,0 121,0,2024-09-07 08:51:31:719,60153,1.0,60282,1.0,120544,1.3,160071,2.00 121,1,2024-09-07 08:51:31:674,420468,420468,0,0,196606246815,2059870511,415877,4177,414,367,391840,0 121,2,2024-09-07 08:51:31:125,301397,301397,0,0,15195760,0,4127 121,3,2024-09-07 08:51:30:729,1,239,1,0,269,2792,239,0 122,0,2024-09-07 08:51:31:791,59282,0.9,57828,1.0,121264,1.1,159563,2.25 122,1,2024-09-07 08:51:30:864,419176,419176,0,0,196452918644,2064948204,413116,5244,816,366,392130,0 122,2,2024-09-07 08:51:31:319,301065,300992,73,0,17582924,0,5989 122,3,2024-09-07 08:51:30:596,1,239,2,0,411,4874,239,0 123,0,2024-09-07 08:51:30:988,59871,1.0,58520,0.9,122033,1.2,159723,2.25 123,1,2024-09-07 08:51:30:558,419671,419671,0,0,196459335535,2075876853,411002,6953,1716,369,391823,0 123,2,2024-09-07 08:51:31:023,300036,300035,1,0,14369130,0,5215 123,3,2024-09-07 08:51:31:144,1,239,5,0,168,2914,239,0 124,0,2024-09-07 08:51:30:942,61961,0.3,61926,0.5,116910,0.3,160415,1.75 124,1,2024-09-07 08:51:31:024,421582,421582,0,0,196325407696,2041388143,418747,2473,362,367,392178,0 124,2,2024-09-07 08:51:31:010,302615,302615,0,0,11794512,0,3101 124,3,2024-09-07 08:51:30:769,1,239,1,0,490,2752,239,0 125,0,2024-09-07 08:51:31:426,60083,0.3,59893,0.5,120272,0.3,160000,1.75 125,1,2024-09-07 08:51:30:854,420336,420336,0,0,196937227012,2055803413,417249,2696,391,383,391702,0 125,2,2024-09-07 08:51:31:116,302876,302876,0,0,12845491,0,4534 125,3,2024-09-07 08:51:31:127,1,239,3,0,709,3501,239,0 126,0,2024-09-07 08:51:31:431,60055,0.5,61720,0.7,117980,0.5,159890,1.75 126,1,2024-09-07 08:51:30:560,421855,421855,0,0,197139068825,2044662033,419176,2417,262,365,391987,0 126,2,2024-09-07 08:51:30:616,303432,303432,0,0,13105154,0,3186 126,3,2024-09-07 08:51:30:908,1,239,5,0,183,3276,239,0 127,0,2024-09-07 08:51:31:595,59458,0.4,59765,0.5,118994,0.4,159317,1.75 127,1,2024-09-07 08:51:30:569,420966,420966,0,0,197023156059,2043112300,418023,2790,153,365,391816,0 127,2,2024-09-07 08:51:30:649,302635,302635,0,0,11774957,0,2264 127,3,2024-09-07 08:51:31:269,1,239,0,0,968,2940,239,0 128,0,2024-09-07 08:51:31:526,60179,0.3,60194,0.5,120096,0.2,159855,1.50 128,1,2024-09-07 08:51:31:606,420755,420755,0,0,196932917525,2043910345,418584,2014,157,367,391798,0 128,2,2024-09-07 08:51:31:382,302577,302577,0,0,11757601,0,2434 128,3,2024-09-07 08:51:30:775,1,239,5,0,1082,5344,239,0 129,0,2024-09-07 08:51:30:999,60601,0.3,60257,0.4,120462,0.2,159952,1.50 129,1,2024-09-07 08:51:30:575,418812,418812,0,0,196309260529,2059754620,414666,3218,928,379,391835,0 129,2,2024-09-07 08:51:30:695,303116,303116,0,0,12705370,0,4031 129,3,2024-09-07 08:51:30:688,1,239,1,0,469,3305,239,0 130,0,2024-09-07 08:51:31:728,60098,0.5,59856,0.6,120005,0.6,159939,1.75 130,1,2024-09-07 08:51:30:592,421459,421459,0,0,197894419165,2059893351,419026,2355,78,381,391825,0 130,2,2024-09-07 08:51:31:143,303606,303606,0,0,12374665,0,4067 130,3,2024-09-07 08:51:31:291,1,239,1,0,450,3335,239,0 131,0,2024-09-07 08:51:31:951,59353,0.4,59619,0.5,120100,0.4,159353,1.75 131,1,2024-09-07 08:51:31:822,421585,421585,0,0,197193173431,2057359659,418543,2584,458,381,391865,0 131,2,2024-09-07 08:51:30:575,303863,303863,0,0,11280868,0,2415 131,3,2024-09-07 08:51:31:688,1,239,1,0,392,2489,239,0 132,0,2024-09-07 08:51:31:448,59766,0.5,60378,0.7,120604,0.5,160185,2.00 132,1,2024-09-07 08:51:30:579,418775,418775,0,0,196133415222,2071395146,411773,5749,1253,381,392097,0 132,2,2024-09-07 08:51:30:697,302187,302187,0,0,15040365,0,4606 132,3,2024-09-07 08:51:31:693,1,239,17,0,356,3496,239,0 133,0,2024-09-07 08:51:31:526,58698,0.4,60123,0.6,123010,0.3,159547,1.75 133,1,2024-09-07 08:51:30:582,418926,418926,0,0,196610408040,2071255744,413454,4805,667,383,391914,0 133,2,2024-09-07 08:51:31:088,301166,301166,0,0,16015747,0,4315 133,3,2024-09-07 08:51:31:305,1,239,7,0,276,2428,239,0 134,0,2024-09-07 08:51:30:950,59979,0.5,59948,0.7,120334,0.4,159874,2.00 134,1,2024-09-07 08:51:30:585,419142,419142,0,0,195536978255,2056765601,412698,4974,1470,366,391718,0 134,2,2024-09-07 08:51:31:760,302310,302310,0,0,13561093,0,3847 134,3,2024-09-07 08:51:30:749,1,239,3,0,739,3675,239,0 135,0,2024-09-07 08:51:31:112,57417,1.0,57379,1.0,121693,1.1,157788,2.25 135,1,2024-09-07 08:51:31:588,418620,418620,0,0,197083275825,2076056939,412426,5198,996,380,391805,0 135,2,2024-09-07 08:51:30:696,302061,302061,0,0,14848369,0,3981 135,3,2024-09-07 08:51:31:010,1,239,1,0,299,1831,239,0 136,0,2024-09-07 08:51:31:614,60379,0.7,60284,0.8,120511,0.7,160250,2.25 136,1,2024-09-07 08:51:31:449,419084,419084,0,0,195996178043,2061972733,413567,4933,584,382,391685,0 136,2,2024-09-07 08:51:31:134,302357,302357,0,0,14286956,0,3506 136,3,2024-09-07 08:51:31:107,1,239,1,0,126,1857,239,0 137,0,2024-09-07 08:51:30:930,61971,0.6,60268,0.7,119017,0.6,160927,2.00 137,1,2024-09-07 08:51:30:579,419352,419352,0,0,196157469000,2061960117,412410,5525,1417,366,391708,0 137,2,2024-09-07 08:51:31:709,301763,301763,0,0,15807756,0,3185 137,3,2024-09-07 08:51:30:773,1,239,1,0,382,3105,239,0 138,0,2024-09-07 08:51:31:779,59681,0.8,59725,0.9,120145,0.9,159759,2.00 138,1,2024-09-07 08:51:31:689,419342,419342,0,0,196685774490,2068708345,412380,5831,1131,368,391954,0 138,2,2024-09-07 08:51:30:588,302394,302394,0,0,14612030,0,4988 138,3,2024-09-07 08:51:30:612,1,239,2,0,1160,3819,239,0 139,0,2024-09-07 08:51:31:382,58668,2.1,58893,1.4,118154,3.1,158198,2.75 139,1,2024-09-07 08:51:30:572,417754,417754,0,0,195421777808,2079347161,409273,6369,2112,381,391892,0 139,2,2024-09-07 08:51:30:696,299921,299921,0,0,15210075,0,3097 139,3,2024-09-07 08:51:31:664,1,239,1,0,244,2516,239,0 140,0,2024-09-07 08:51:31:591,60491,0.3,59940,0.5,120501,0.3,160238,1.75 140,1,2024-09-07 08:51:31:538,422315,422315,0,0,197985772127,2041687089,420337,1737,241,365,391606,0 140,2,2024-09-07 08:51:30:689,302661,302661,0,0,12054741,0,3388 140,3,2024-09-07 08:51:30:768,1,239,1,0,247,2140,239,0 141,0,2024-09-07 08:51:31:702,59937,0.3,61643,0.4,117824,0.2,160222,1.50 141,1,2024-09-07 08:51:30:870,421590,421590,0,0,197330566336,2048589354,419020,2162,408,379,391614,0 141,2,2024-09-07 08:51:31:687,302978,302978,0,0,11686599,0,2342 141,3,2024-09-07 08:51:31:045,1,239,1,0,202,2306,239,0 142,0,2024-09-07 08:51:31:327,60720,0.3,60092,0.4,119415,0.2,159921,1.50 142,1,2024-09-07 08:51:30:584,421094,421094,0,0,196956243618,2054784843,418305,2524,265,382,392102,0 142,2,2024-09-07 08:51:31:304,302244,302212,32,0,13451591,0,6028 142,3,2024-09-07 08:51:31:749,1,239,2,0,484,3081,239,0 143,0,2024-09-07 08:51:31:388,59619,0.5,59694,0.6,119712,0.5,159347,1.75 143,1,2024-09-07 08:51:30:568,421227,421227,0,0,196509529122,2039421757,418514,2618,95,367,391619,0 143,2,2024-09-07 08:51:30:771,302066,302066,0,0,12617472,0,2669 143,3,2024-09-07 08:51:31:152,1,239,1,0,303,3206,239,0 144,0,2024-09-07 08:51:31:509,57585,0.7,59107,1.0,120343,0.7,158101,2.25 144,1,2024-09-07 08:51:30:565,419016,419016,0,0,195972569036,2059376372,415016,3078,922,381,391649,0 144,2,2024-09-07 08:51:31:761,303128,303128,0,0,12063097,0,3473 144,3,2024-09-07 08:51:31:741,1,239,2,0,249,2879,239,0 145,0,2024-09-07 08:51:31:373,57516,0.6,57468,0.9,121944,0.6,157953,2.25 145,1,2024-09-07 08:51:30:565,418400,418400,0,0,196018654641,2063602594,412906,4671,823,382,391698,0 145,2,2024-09-07 08:51:31:433,301410,301410,0,0,14176543,0,3903 145,3,2024-09-07 08:51:30:899,1,239,11,0,622,3972,239,0 146,0,2024-09-07 08:51:31:601,59851,0.5,59438,0.7,119763,0.5,159050,2.25 146,1,2024-09-07 08:51:31:587,419589,419589,0,0,196525677862,2073923335,411342,6401,1846,368,391770,0 146,2,2024-09-07 08:51:31:700,301244,301244,0,0,14210122,0,2730 146,3,2024-09-07 08:51:31:280,1,239,6,0,1520,5883,239,0 147,0,2024-09-07 08:51:31:703,59535,0.6,59516,0.8,118143,0.6,159294,2.25 147,1,2024-09-07 08:51:31:378,421312,421312,0,0,197098438954,2052470456,417217,3510,585,368,391791,0 147,2,2024-09-07 08:51:31:022,304191,304191,0,0,12083498,0,2789 147,3,2024-09-07 08:51:30:919,1,239,2,0,730,3888,239,0 0,0,2024-09-07 08:51:41:723,58382,0.5,58369,0.7,123808,0.4,160096,1.75 0,1,2024-09-07 08:51:40:815,422039,422039,0,0,197465712862,2067159874,418829,3006,204,370,391772,0 0,2,2024-09-07 08:51:41:067,303334,303334,0,0,12850811,0,4480 0,3,2024-09-07 08:51:40:974,1,240,7,0,319,3340,240,0 1,0,2024-09-07 08:51:41:778,60395,0.9,59910,1.0,120578,1.1,160154,2.00 1,1,2024-09-07 08:51:40:560,421736,421736,0,0,197226594196,2068693843,417242,3441,1053,371,391857,0 1,2,2024-09-07 08:51:40:639,303268,303268,0,0,11861259,0,3267 1,3,2024-09-07 08:51:41:303,1,240,6,0,262,2904,240,0 2,0,2024-09-07 08:51:41:571,59867,0.8,60167,0.8,119493,1.0,160062,2.00 2,1,2024-09-07 08:51:40:860,422204,422204,0,0,197674714960,2054733060,420100,1886,218,380,391745,0 2,2,2024-09-07 08:51:41:269,303369,303369,0,0,12389447,0,3594 2,3,2024-09-07 08:51:40:703,1,240,0,0,357,2257,240,0 3,0,2024-09-07 08:51:41:750,60249,0.5,60290,0.7,119929,0.5,159649,2.00 3,1,2024-09-07 08:51:41:618,421858,421858,0,0,197572860953,2060227792,418019,3377,462,380,391523,0 3,2,2024-09-07 08:51:41:146,303404,303381,23,0,12665545,0,5851 3,3,2024-09-07 08:51:41:755,1,240,0,0,103,1504,240,0 4,0,2024-09-07 08:51:41:789,58466,0.4,60125,0.5,122315,0.3,160272,1.75 4,1,2024-09-07 08:51:40:612,421498,421498,0,0,197069378336,2071747221,416785,3925,788,371,391846,0 4,2,2024-09-07 08:51:41:018,302682,302682,0,0,14848988,0,4528 4,3,2024-09-07 08:51:41:038,1,240,0,0,448,3754,240,0 5,0,2024-09-07 08:51:41:407,60244,0.4,60573,0.5,120253,0.4,160504,1.75 5,1,2024-09-07 08:51:40:761,421592,421592,0,0,197637193932,2080057734,416102,4378,1112,368,392005,0 5,2,2024-09-07 08:51:41:840,302148,302148,0,0,13481977,0,2432 5,3,2024-09-07 08:51:41:735,1,240,1,0,457,3800,240,0 6,0,2024-09-07 08:51:40:918,60637,0.6,59902,0.8,119871,0.6,160375,2.00 6,1,2024-09-07 08:51:40:749,421864,421864,0,0,197137620954,2062275285,417195,3825,844,379,391694,0 6,2,2024-09-07 08:51:41:117,303031,303031,0,0,13473892,0,4816 6,3,2024-09-07 08:51:41:274,1,240,30,0,340,2925,240,0 7,0,2024-09-07 08:51:41:533,59675,0.5,59825,0.7,119355,0.5,159601,2.00 7,1,2024-09-07 08:51:40:869,421540,421540,0,0,197589948365,2075509690,415640,4897,1003,382,391747,0 7,2,2024-09-07 08:51:40:770,302742,302742,0,0,13303522,0,4791 7,3,2024-09-07 08:51:40:868,1,240,19,0,398,2949,240,0 8,0,2024-09-07 08:51:41:329,60202,0.4,60149,0.5,119861,0.3,160305,1.75 8,1,2024-09-07 08:51:41:018,420244,420244,0,0,196739809797,2077988859,412182,6053,2009,367,391956,0 8,2,2024-09-07 08:51:40:792,300053,300053,0,0,15869045,0,3220 8,3,2024-09-07 08:51:40:586,1,240,5,0,357,3633,240,0 9,0,2024-09-07 08:51:41:169,60363,0.3,58613,0.5,122403,0.3,160402,1.75 9,1,2024-09-07 08:51:40:561,421027,421027,0,0,197240048625,2081605615,414545,4942,1540,370,392001,0 9,2,2024-09-07 08:51:41:107,303194,303194,0,0,14057054,0,3360 9,3,2024-09-07 08:51:41:755,1,240,1,0,496,3605,240,0 10,0,2024-09-07 08:51:41:607,60167,0.3,59661,0.5,120015,0.2,160031,1.75 10,1,2024-09-07 08:51:40:586,421955,421955,0,0,197173856154,2069751484,415596,5431,928,381,391741,0 10,2,2024-09-07 08:51:40:762,303168,303168,0,0,15538375,0,4264 10,3,2024-09-07 08:51:40:892,1,240,1,0,177,2033,240,0 11,0,2024-09-07 08:51:41:025,59242,0.5,57563,0.7,120531,0.4,160043,2.00 11,1,2024-09-07 08:51:40:574,421640,421640,0,0,196862969098,2074297592,413452,6207,1981,383,391537,0 11,2,2024-09-07 08:51:41:122,303201,303201,0,0,14553351,0,4130 11,3,2024-09-07 08:51:41:301,1,240,1,0,843,3965,240,0 12,0,2024-09-07 08:51:41:036,60566,0.4,60398,0.5,120778,0.3,160464,1.75 12,1,2024-09-07 08:51:40:949,421851,421851,0,0,197169594295,2057441654,418340,3090,421,370,391870,0 12,2,2024-09-07 08:51:41:547,304044,304044,0,0,13683661,0,3469 12,3,2024-09-07 08:51:41:065,1,240,11,0,386,4123,240,0 13,0,2024-09-07 08:51:41:369,60654,0.3,60540,0.5,120657,0.3,160446,1.50 13,1,2024-09-07 08:51:41:528,421974,421974,0,0,197575167722,2074505041,418428,3005,541,382,391717,0 13,2,2024-09-07 08:51:40:602,303861,303861,0,0,12854350,0,3287 13,3,2024-09-07 08:51:41:775,1,240,4,0,467,3866,240,0 14,0,2024-09-07 08:51:40:580,60293,0.4,60744,0.6,120347,0.3,160064,1.75 14,1,2024-09-07 08:51:41:562,424489,424489,0,0,198558051025,2056566912,421658,2642,189,364,391571,0 14,2,2024-09-07 08:51:40:764,303650,303650,0,0,12844715,0,2896 14,3,2024-09-07 08:51:41:116,1,240,1,0,1168,3423,240,0 15,0,2024-09-07 08:51:41:556,59641,0.5,59883,0.7,119739,0.5,159846,2.00 15,1,2024-09-07 08:51:41:609,422714,422714,0,0,197902080437,2058520222,420195,2341,178,381,391619,0 15,2,2024-09-07 08:51:40:999,303829,303829,0,0,10891908,0,3043 15,3,2024-09-07 08:51:41:405,1,240,1,0,1126,5201,240,0 16,0,2024-09-07 08:51:40:944,60265,0.8,60499,0.9,120252,0.9,160502,2.25 16,1,2024-09-07 08:51:40:571,422711,422711,0,0,197072708070,2061541675,419155,3257,299,370,391756,0 16,2,2024-09-07 08:51:41:443,302460,302460,0,0,13536458,0,4719 16,3,2024-09-07 08:51:41:142,1,240,5,0,317,3422,240,0 17,0,2024-09-07 08:51:41:776,62166,0.5,60632,0.7,118785,0.4,161029,1.75 17,1,2024-09-07 08:51:40:576,421334,421334,0,0,196784027567,2068261992,416500,3731,1103,368,391688,0 17,2,2024-09-07 08:51:41:674,306312,306312,0,0,12702908,0,2857 17,3,2024-09-07 08:51:40:576,1,240,1,0,268,3964,240,0 18,0,2024-09-07 08:51:40:951,59706,0.7,59933,0.8,119763,0.7,159895,2.25 18,1,2024-09-07 08:51:41:649,422845,422845,0,0,198183381440,2055538206,420338,2266,241,367,391649,0 18,2,2024-09-07 08:51:41:755,304511,304511,0,0,12393018,0,3541 18,3,2024-09-07 08:51:40:897,1,240,0,0,163,2510,240,0 19,0,2024-09-07 08:51:41:546,59502,0.7,60158,0.8,118962,0.7,158910,2.25 19,1,2024-09-07 08:51:40:566,422549,422549,0,0,198623803525,2066337333,417892,3871,786,367,391777,0 19,2,2024-09-07 08:51:41:755,304672,304672,0,0,11387063,0,3988 19,3,2024-09-07 08:51:41:129,1,240,2,0,524,1862,240,0 20,0,2024-09-07 08:51:41:358,59885,0.7,59960,0.8,120191,0.8,159975,2.25 20,1,2024-09-07 08:51:40:589,421430,421430,0,0,197631067403,2069096872,417617,3415,398,369,391886,0 20,2,2024-09-07 08:51:40:939,303230,303230,0,0,12977674,0,3721 20,3,2024-09-07 08:51:40:594,1,240,4,0,414,4566,240,0 21,0,2024-09-07 08:51:41:218,60310,0.5,60554,0.7,120726,0.4,160233,2.00 21,1,2024-09-07 08:51:41:541,420584,420584,0,0,196503050239,2071290467,414866,4461,1257,368,391962,0 21,2,2024-09-07 08:51:41:072,303098,303098,0,0,14688942,0,3747 21,3,2024-09-07 08:51:41:421,1,240,2,0,103,2581,240,0 22,0,2024-09-07 08:51:41:736,59957,0.6,60035,0.7,119650,0.6,159397,2.25 22,1,2024-09-07 08:51:41:032,421057,421057,0,0,196523762239,2072431868,413827,5588,1642,382,391667,0 22,2,2024-09-07 08:51:40:761,303457,303457,0,0,12904598,0,3134 22,3,2024-09-07 08:51:41:077,1,240,0,0,228,2288,240,0 23,0,2024-09-07 08:51:41:367,59876,0.6,59590,0.8,119717,0.6,160018,2.25 23,1,2024-09-07 08:51:41:004,421664,421664,0,0,198393345577,2085955720,414355,4758,2551,365,391690,0 23,2,2024-09-07 08:51:41:097,303554,303554,0,0,12548520,0,3010 23,3,2024-09-07 08:51:41:756,1,240,0,0,645,3195,240,0 24,0,2024-09-07 08:51:40:858,60164,0.5,59995,0.6,120411,0.4,160094,1.75 24,1,2024-09-07 08:51:40:587,421369,421369,0,0,196981618933,2069374811,415761,4129,1479,368,391987,0 24,2,2024-09-07 08:51:41:073,302239,302239,0,0,15026347,0,3607 24,3,2024-09-07 08:51:41:686,1,240,4,0,468,3688,240,0 25,0,2024-09-07 08:51:41:347,61481,0.5,60039,0.7,117628,0.5,160923,2.00 25,1,2024-09-07 08:51:40:560,422066,422066,0,0,197552417639,2069519457,417781,3731,554,371,391788,0 25,2,2024-09-07 08:51:41:606,302466,302466,0,0,15403034,0,3978 25,3,2024-09-07 08:51:41:004,1,240,1,0,255,2662,240,0 26,0,2024-09-07 08:51:41:729,59837,0.4,58557,0.6,122734,0.3,159842,1.75 26,1,2024-09-07 08:51:41:541,421718,421718,0,0,196994409473,2069756731,414753,5749,1216,381,391748,0 26,2,2024-09-07 08:51:40:890,303225,303225,0,0,15123916,0,2809 26,3,2024-09-07 08:51:41:712,1,240,2,0,796,3234,240,0 27,0,2024-09-07 08:51:41:732,60417,0.4,60262,0.6,119491,0.4,160076,2.00 27,1,2024-09-07 08:51:41:677,423713,423713,0,0,198257250389,2064245870,420264,2857,592,381,391558,0 27,2,2024-09-07 08:51:40:881,302218,302153,65,0,15297893,0,5699 27,3,2024-09-07 08:51:41:016,1,240,1,0,564,2694,240,0 28,0,2024-09-07 08:51:41:399,60005,0.5,59726,0.7,120043,0.5,160567,2.00 28,1,2024-09-07 08:51:40:823,423012,423012,0,0,197730188632,2059900964,420174,2367,471,383,391646,0 28,2,2024-09-07 08:51:41:773,303633,303633,0,0,12085350,0,2915 28,3,2024-09-07 08:51:41:783,1,240,1,0,502,2550,240,0 29,0,2024-09-07 08:51:41:357,61766,0.4,60427,0.7,117987,0.4,161167,1.75 29,1,2024-09-07 08:51:41:561,423809,423809,0,0,198345748743,2055221299,420586,2658,565,369,391621,0 29,2,2024-09-07 08:51:40:890,302802,302802,0,0,11558039,0,4018 29,3,2024-09-07 08:51:40:969,1,240,7,0,115,1763,240,0 30,0,2024-09-07 08:51:41:457,59906,0.5,58307,0.7,121869,0.5,159451,2.00 30,1,2024-09-07 08:51:40:573,423665,423665,0,0,197931702112,2052355983,421336,2036,293,382,391672,0 30,2,2024-09-07 08:51:41:274,303822,303822,0,0,11451628,0,3161 30,3,2024-09-07 08:51:40:808,1,240,0,0,195,1875,240,0 31,0,2024-09-07 08:51:41:763,59845,0.4,60181,0.6,120657,0.4,159972,2.00 31,1,2024-09-07 08:51:40:564,424921,424921,0,0,199110278154,2041208311,423533,1169,219,356,391712,0 31,2,2024-09-07 08:51:41:278,303366,303366,0,0,12998477,0,3525 31,3,2024-09-07 08:51:41:708,1,240,0,0,220,1906,240,0 32,0,2024-09-07 08:51:41:419,59755,0.4,60350,0.6,120421,0.3,160539,1.75 32,1,2024-09-07 08:51:40:819,423744,423744,0,0,198143933964,2056982197,421790,1675,279,381,391614,0 32,2,2024-09-07 08:51:40:938,303599,303599,0,0,11310716,0,3155 32,3,2024-09-07 08:51:41:017,1,240,1,0,227,1997,240,0 33,0,2024-09-07 08:51:41:509,60719,0.3,59924,0.5,120677,0.2,160572,1.75 33,1,2024-09-07 08:51:40:594,423727,423727,0,0,198260779971,2049906501,421147,2406,174,369,391730,0 33,2,2024-09-07 08:51:40:763,304271,304238,33,0,13099575,0,7012 33,3,2024-09-07 08:51:40:896,1,240,1,0,329,2883,240,0 34,0,2024-09-07 08:51:40:946,60280,0.3,62022,0.5,119004,0.2,159611,1.75 34,1,2024-09-07 08:51:41:046,424393,424393,0,0,198725855453,2045095698,423298,1088,7,367,391562,0 34,2,2024-09-07 08:51:40:766,303968,303968,0,0,12369091,0,3577 34,3,2024-09-07 08:51:41:689,1,240,1,0,299,2035,240,0 35,0,2024-09-07 08:51:40:877,59717,0.3,60128,0.5,120819,0.3,160919,1.75 35,1,2024-09-07 08:51:41:067,423209,423209,0,0,197991449533,2055395472,419865,2564,780,384,391589,0 35,2,2024-09-07 08:51:41:583,304196,304196,0,0,11846932,0,2653 35,3,2024-09-07 08:51:40:910,1,240,5,0,418,2953,240,0 36,0,2024-09-07 08:51:41:614,60251,0.6,60182,0.8,120426,0.7,160091,2.25 36,1,2024-09-07 08:51:40:610,422382,422382,0,0,197796699648,2071373015,416404,4399,1579,366,391759,0 36,2,2024-09-07 08:51:41:762,302530,302530,0,0,13785025,0,3875 36,3,2024-09-07 08:51:40:889,1,240,8,0,416,3967,240,0 37,0,2024-09-07 08:51:41:435,59520,0.6,59463,0.7,119237,0.5,160556,2.00 37,1,2024-09-07 08:51:40:578,421477,421470,0,7,197006120564,2067512230,415463,3940,2067,365,391560,0 37,2,2024-09-07 08:51:41:143,302656,302641,15,0,14034206,0,5815 37,3,2024-09-07 08:51:41:777,1,240,4,0,888,4330,240,0 38,0,2024-09-07 08:51:41:439,59549,0.5,57853,0.7,121084,0.4,158438,2.00 38,1,2024-09-07 08:51:41:610,422876,422876,0,0,197614891663,2065480687,417605,4596,675,368,391821,0 38,2,2024-09-07 08:51:40:765,303389,303342,47,0,14482199,0,6710 38,3,2024-09-07 08:51:40:998,1,240,1,0,689,3568,240,0 39,0,2024-09-07 08:51:41:767,61564,0.6,60432,0.7,117310,0.6,160522,2.00 39,1,2024-09-07 08:51:40:730,422575,422575,0,0,197174218205,2060638544,417022,4651,902,365,391594,0 39,2,2024-09-07 08:51:41:417,303180,303180,0,0,12552485,0,2689 39,3,2024-09-07 08:51:40:718,1,240,1,0,324,3397,240,0 40,0,2024-09-07 08:51:41:505,59375,0.7,59876,1.0,119786,0.8,159450,2.75 40,1,2024-09-07 08:51:40:626,422325,422325,0,0,196458190539,2062331397,415326,5556,1443,368,391591,0 40,2,2024-09-07 08:51:41:304,303120,303119,1,0,15602873,0,5137 40,3,2024-09-07 08:51:41:144,1,240,0,0,398,3007,240,0 41,0,2024-09-07 08:51:41:030,59020,2.2,60439,1.5,115311,3.4,158335,4.00 41,1,2024-09-07 08:51:40:772,422171,422171,0,0,197776722271,2070406613,416637,4900,634,370,391742,0 41,2,2024-09-07 08:51:40:772,302241,302241,0,0,14803083,0,3356 41,3,2024-09-07 08:51:41:676,1,240,11,0,366,2769,240,0 42,0,2024-09-07 08:51:41:486,59260,0.9,59584,1.1,119161,1.1,157180,2.75 42,1,2024-09-07 08:51:41:443,420816,420816,0,0,197227296884,2076009654,413658,5706,1452,380,391675,0 42,2,2024-09-07 08:51:41:134,303307,303307,0,0,14073663,0,3568 42,3,2024-09-07 08:51:41:011,1,240,2,0,446,2050,240,0 43,0,2024-09-07 08:51:40:917,59967,0.7,58429,0.9,122033,0.7,160168,2.25 43,1,2024-09-07 08:51:40:577,421551,421551,0,0,197864295785,2070215496,415291,4931,1329,366,391696,0 43,2,2024-09-07 08:51:41:742,303299,303299,0,0,13551218,0,3812 43,3,2024-09-07 08:51:41:752,1,240,0,0,467,3027,240,0 44,0,2024-09-07 08:51:40:867,60015,0.5,60419,0.7,120484,0.4,160190,1.75 44,1,2024-09-07 08:51:40:585,423549,423549,0,0,197032465407,2035579872,420994,2123,432,356,391809,0 44,2,2024-09-07 08:51:41:273,303304,303304,0,0,11475515,0,2231 44,3,2024-09-07 08:51:41:093,1,240,1,0,817,2910,240,0 45,0,2024-09-07 08:51:41:791,58830,0.7,57546,0.9,120669,0.8,159711,2.00 45,1,2024-09-07 08:51:41:005,423147,423147,0,0,198438611915,2057051875,421497,1638,12,382,391917,0 45,2,2024-09-07 08:51:41:270,303912,303912,0,0,12156747,0,3596 45,3,2024-09-07 08:51:40:939,1,240,1,0,271,2657,240,0 46,0,2024-09-07 08:51:40:954,59823,0.6,59733,0.8,119730,0.7,158203,2.25 46,1,2024-09-07 08:51:40:578,424299,424299,0,0,198290000148,2048025412,421953,2072,274,366,391539,0 46,2,2024-09-07 08:51:40:599,303429,303429,0,0,11747299,0,2920 46,3,2024-09-07 08:51:41:131,1,240,1,0,908,3749,240,0 47,0,2024-09-07 08:51:41:109,60632,0.4,60437,0.6,121606,0.4,160608,1.75 47,1,2024-09-07 08:51:40:575,424366,424366,0,0,197704718604,2041836715,422044,2188,134,366,391605,0 47,2,2024-09-07 08:51:40:910,305399,305399,0,0,11828804,0,2558 47,3,2024-09-07 08:51:41:119,1,240,0,0,529,2255,240,0 48,0,2024-09-07 08:51:41:491,60760,0.3,60385,0.4,120328,0.2,160708,1.50 48,1,2024-09-07 08:51:41:038,423586,423586,0,0,197801202782,2056430937,420486,2801,299,384,391710,0 48,2,2024-09-07 08:51:40:699,303957,303957,0,0,11453661,0,3031 48,3,2024-09-07 08:51:40:761,1,240,0,0,339,2085,240,0 49,0,2024-09-07 08:51:41:718,61688,0.4,60614,0.6,117296,0.3,161103,1.75 49,1,2024-09-07 08:51:41:022,422113,422113,0,0,198469960532,2070494020,418256,2676,1181,382,391610,0 49,2,2024-09-07 08:51:41:802,304260,304260,0,0,12020228,0,3900 49,3,2024-09-07 08:51:41:427,1,240,8,0,408,2750,240,0 50,0,2024-09-07 08:51:41:543,60169,0.3,59623,0.5,119971,0.2,159932,1.75 50,1,2024-09-07 08:51:41:012,424395,424395,0,0,198963970302,2059614062,421559,2526,310,368,391530,0 50,2,2024-09-07 08:51:41:076,303771,303771,0,0,11335927,0,2263 50,3,2024-09-07 08:51:41:291,1,240,0,0,335,2037,240,0 51,0,2024-09-07 08:51:41:725,61657,0.3,60300,0.5,117586,0.2,160529,1.75 51,1,2024-09-07 08:51:41:682,424038,424038,0,0,199394404856,2059768590,421243,1856,939,365,391706,0 51,2,2024-09-07 08:51:41:320,304065,304065,0,0,10760434,0,3337 51,3,2024-09-07 08:51:41:038,1,240,8,0,678,2006,240,0 52,0,2024-09-07 08:51:41:420,60773,0.4,60315,0.6,120435,0.4,160066,2.00 52,1,2024-09-07 08:51:40:599,422225,422225,0,0,196640399698,2065288128,415088,5883,1254,368,391722,0 52,2,2024-09-07 08:51:41:755,301843,301805,38,0,14711870,0,6742 52,3,2024-09-07 08:51:40:678,1,240,1,0,1782,4320,240,0 53,0,2024-09-07 08:51:41:772,59515,0.7,57795,0.8,120985,0.8,159232,2.50 53,1,2024-09-07 08:51:40:772,421125,421125,0,0,197455352084,2077066862,413801,4968,2356,367,391702,0 53,2,2024-09-07 08:51:41:300,303227,303227,0,0,12201277,0,2727 53,3,2024-09-07 08:51:40:698,1,240,3,0,271,2276,240,0 54,0,2024-09-07 08:51:41:615,58691,0.9,59167,0.9,117210,0.6,157260,2.75 54,1,2024-09-07 08:51:40:586,422257,422257,0,0,198197401626,2064013401,417521,4139,597,367,391659,0 54,2,2024-09-07 08:51:40:890,303329,303323,6,0,13556171,0,5382 54,3,2024-09-07 08:51:40:769,1,240,1,0,676,3737,240,0 55,0,2024-09-07 08:51:41:781,57773,0.7,59888,0.9,120456,0.6,157339,2.50 55,1,2024-09-07 08:51:40:764,421703,421703,0,0,196863851769,2052973913,416153,4763,787,365,391731,0 55,2,2024-09-07 08:51:40:742,302606,302606,0,0,13743699,0,3275 55,3,2024-09-07 08:51:40:680,1,240,35,0,304,2862,240,0 56,0,2024-09-07 08:51:41:576,61486,1.0,57970,1.1,119324,1.4,159724,2.50 56,1,2024-09-07 08:51:40:570,420216,420216,0,0,197082432804,2086540285,413082,5696,1438,381,391678,0 56,2,2024-09-07 08:51:41:305,303244,303244,0,0,14783809,0,3567 56,3,2024-09-07 08:51:41:064,1,240,1,0,705,3541,240,0 57,0,2024-09-07 08:51:40:957,59129,1.7,59324,1.3,118654,2.2,158891,3.25 57,1,2024-09-07 08:51:40:986,421802,421802,0,0,197030967980,2067206330,416481,4651,670,367,391960,0 57,2,2024-09-07 08:51:41:324,303907,303907,0,0,15755002,0,3317 57,3,2024-09-07 08:51:41:743,1,240,1,0,359,3353,240,0 58,0,2024-09-07 08:51:40:571,58638,1.0,57055,1.0,119425,1.2,157095,2.50 58,1,2024-09-07 08:51:40:578,422296,422293,0,3,198511125837,2076416075,416564,5019,710,367,391545,3 58,2,2024-09-07 08:51:41:085,303710,303710,0,0,14185208,0,2549 58,3,2024-09-07 08:51:41:075,1,240,1,0,1043,2832,240,0 59,0,2024-09-07 08:51:41:749,59760,0.9,59651,1.0,118912,1.0,157950,3.00 59,1,2024-09-07 08:51:40:804,422081,422081,0,0,197800215550,2072387641,416294,4522,1265,369,391525,0 59,2,2024-09-07 08:51:40:585,304433,304433,0,0,12889352,0,2604 59,3,2024-09-07 08:51:41:742,1,240,1,0,1015,3491,240,0 60,0,2024-09-07 08:51:41:720,60278,0.4,60013,0.6,120963,0.4,160093,1.75 60,1,2024-09-07 08:51:40:776,423687,423687,0,0,197977772351,2054193855,421250,1920,517,370,392031,0 60,2,2024-09-07 08:51:41:142,303348,303348,0,0,14100349,0,3811 60,3,2024-09-07 08:51:41:263,1,240,0,0,124,2372,240,0 61,0,2024-09-07 08:51:41:521,60215,0.7,60494,0.8,120432,0.7,160257,2.00 61,1,2024-09-07 08:51:40:772,422265,422265,0,0,197474684784,2068086052,417696,3866,703,382,391880,0 61,2,2024-09-07 08:51:41:115,304491,304491,0,0,12402552,0,2780 61,3,2024-09-07 08:51:41:688,1,240,2,0,397,3313,240,0 62,0,2024-09-07 08:51:41:725,60519,0.7,61656,0.8,117377,0.8,160360,2.00 62,1,2024-09-07 08:51:41:110,424838,424832,0,6,198802803583,2051651746,422373,2342,117,365,391715,6 62,2,2024-09-07 08:51:41:644,302356,302355,1,0,13743763,0,5555 62,3,2024-09-07 08:51:41:143,1,240,1,0,287,1720,240,0 63,0,2024-09-07 08:51:41:458,60176,0.5,60324,0.6,120849,0.5,160141,1.75 63,1,2024-09-07 08:51:40:805,424150,424144,0,6,197509829066,2046798986,422569,1525,50,381,391677,6 63,2,2024-09-07 08:51:40:761,303756,303756,0,0,11519234,0,2674 63,3,2024-09-07 08:51:41:734,1,240,4,0,667,2849,240,0 64,0,2024-09-07 08:51:41:522,59774,0.4,59830,0.6,119923,0.4,159486,1.75 64,1,2024-09-07 08:51:40:752,422725,422725,0,0,197962691832,2067104906,418242,3069,1414,370,391783,0 64,2,2024-09-07 08:51:41:146,306134,306115,19,0,12458891,0,6121 64,3,2024-09-07 08:51:41:141,1,240,1,0,265,2520,240,0 65,0,2024-09-07 08:51:41:694,59688,0.6,60066,0.7,119880,0.6,159851,2.00 65,1,2024-09-07 08:51:40:858,421810,421810,0,0,196499572944,2057910719,418261,3106,443,382,391901,0 65,2,2024-09-07 08:51:41:694,303876,303876,0,0,13160533,0,3367 65,3,2024-09-07 08:51:41:686,1,240,0,0,163,2261,240,0 66,0,2024-09-07 08:51:41:765,59790,0.5,59652,0.7,120013,0.5,159295,2.00 66,1,2024-09-07 08:51:41:303,423525,423525,0,0,198300656442,2061810108,420955,2370,200,380,391653,0 66,2,2024-09-07 08:51:41:132,305142,305142,0,0,12827504,0,4956 66,3,2024-09-07 08:51:41:311,1,240,4,0,291,2600,240,0 67,0,2024-09-07 08:51:41:420,60234,0.7,59834,0.8,120284,0.7,160597,2.25 67,1,2024-09-07 08:51:40:767,422446,422445,0,1,197980904532,2066915011,418602,3096,747,380,391787,1 67,2,2024-09-07 08:51:40:584,304815,304815,0,0,11922831,0,2889 67,3,2024-09-07 08:51:41:753,1,240,1,0,338,2322,240,0 68,0,2024-09-07 08:51:40:562,60165,0.6,59970,0.8,119515,0.6,160197,2.00 68,1,2024-09-07 08:51:40:575,421584,421584,0,0,196720902498,2063628555,417612,2833,1139,381,391953,0 68,2,2024-09-07 08:51:41:049,302751,302686,65,0,15552486,0,6698 68,3,2024-09-07 08:51:40:730,1,240,1,0,417,2768,240,0 69,0,2024-09-07 08:51:41:725,59886,0.7,60263,0.8,119877,0.8,159624,2.25 69,1,2024-09-07 08:51:41:015,420508,420508,0,0,196844199846,2079753306,414808,4298,1402,384,391994,0 69,2,2024-09-07 08:51:41:755,302923,302923,0,0,15225957,0,3722 69,3,2024-09-07 08:51:40:764,1,240,1,0,698,3596,240,0 70,0,2024-09-07 08:51:41:538,59547,1.0,59589,1.1,119624,0.7,159184,2.25 70,1,2024-09-07 08:51:40:815,422686,422686,0,0,198722117128,2064982147,419031,3127,528,366,391725,0 70,2,2024-09-07 08:51:41:325,303062,303062,0,0,14081906,0,4044 70,3,2024-09-07 08:51:40:747,1,240,3,0,854,2903,240,0 71,0,2024-09-07 08:51:41:365,59169,1.4,59022,1.2,118327,1.9,158923,3.00 71,1,2024-09-07 08:51:41:597,422184,422184,0,0,197931437631,2066597659,417063,4500,621,368,391738,0 71,2,2024-09-07 08:51:41:085,303481,303481,0,0,14228567,0,4042 71,3,2024-09-07 08:51:41:753,1,240,2,0,644,3591,240,0 72,0,2024-09-07 08:51:41:022,62053,0.8,60684,0.9,118269,1.0,160898,2.25 72,1,2024-09-07 08:51:41:021,421941,421941,0,0,197337014454,2062485148,416887,4123,931,369,391819,0 72,2,2024-09-07 08:51:41:761,302540,302540,0,0,15809069,0,3983 72,3,2024-09-07 08:51:41:755,1,240,2,0,564,4370,240,0 73,0,2024-09-07 08:51:41:126,58790,0.4,60144,0.6,123336,0.3,159870,2.00 73,1,2024-09-07 08:51:40:777,422619,422619,0,0,197174468320,2050965666,419534,2850,235,367,391750,0 73,2,2024-09-07 08:51:41:753,303411,303411,0,0,15188828,0,3701 73,3,2024-09-07 08:51:40:982,1,240,14,0,274,3633,240,0 74,0,2024-09-07 08:51:41:326,60658,0.5,62012,0.7,118181,0.4,160160,2.25 74,1,2024-09-07 08:51:40:650,422284,422284,0,0,197021836046,2059403903,417885,3600,799,381,391681,0 74,2,2024-09-07 08:51:41:005,303902,303902,0,0,14624722,0,4253 74,3,2024-09-07 08:51:41:442,1,240,4,0,522,3327,240,0 75,0,2024-09-07 08:51:41:774,59958,0.6,59615,0.8,119691,0.6,160584,2.50 75,1,2024-09-07 08:51:41:591,421709,421709,0,0,197765419548,2069500085,416801,4245,663,380,391660,0 75,2,2024-09-07 08:51:41:350,302746,302746,0,0,15101912,0,4766 75,3,2024-09-07 08:51:41:087,1,240,10,0,702,3517,240,0 76,0,2024-09-07 08:51:40:583,59866,0.8,59297,0.9,118769,0.8,159148,2.50 76,1,2024-09-07 08:51:40:812,422425,422425,0,0,196875419449,2055360993,419366,2511,548,382,391692,0 76,2,2024-09-07 08:51:41:064,304560,304560,0,0,12381378,0,3784 76,3,2024-09-07 08:51:41:143,1,240,1,0,175,2587,240,0 77,0,2024-09-07 08:51:41:702,60350,0.5,60666,0.7,120913,0.5,160337,1.75 77,1,2024-09-07 08:51:40:835,422969,422969,0,0,197585416147,2064639179,419521,3191,257,383,391808,0 77,2,2024-09-07 08:51:41:284,303095,303095,0,0,12991820,0,3890 77,3,2024-09-07 08:51:41:100,1,240,8,0,382,2810,240,0 78,0,2024-09-07 08:51:41:719,60554,0.4,59984,0.6,120969,0.4,160000,2.00 78,1,2024-09-07 08:51:40:611,423225,423225,0,0,197883328912,2054929027,420229,2677,319,367,391670,0 78,2,2024-09-07 08:51:41:405,304162,304162,0,0,11608085,0,3855 78,3,2024-09-07 08:51:41:136,1,240,2,0,181,2424,240,0 79,0,2024-09-07 08:51:41:352,58190,0.4,59662,0.6,121936,0.4,158746,2.50 79,1,2024-09-07 08:51:40:807,424319,424319,0,0,198470146083,2054632288,421478,2505,336,369,391682,0 79,2,2024-09-07 08:51:41:067,304103,304103,0,0,11757359,0,3212 79,3,2024-09-07 08:51:40:752,1,240,1,0,418,3673,240,0 80,0,2024-09-07 08:51:41:110,60056,0.6,61815,0.8,118269,0.7,159697,2.00 80,1,2024-09-07 08:51:41:619,422262,422262,0,0,198081020600,2063394088,419298,2795,169,368,391791,0 80,2,2024-09-07 08:51:41:098,304183,304183,0,0,12210333,0,4433 80,3,2024-09-07 08:51:40:586,1,240,1,0,190,3391,240,0 81,0,2024-09-07 08:51:41:543,60117,0.5,61551,0.7,117948,0.4,159716,1.75 81,1,2024-09-07 08:51:41:661,421522,421522,0,0,196723967061,2058386675,417684,3377,461,382,391879,0 81,2,2024-09-07 08:51:41:125,303779,303779,0,0,12581412,0,3993 81,3,2024-09-07 08:51:41:116,1,240,3,0,374,2993,240,0 82,0,2024-09-07 08:51:41:536,59742,0.4,60204,0.6,120546,0.4,160139,2.00 82,1,2024-09-07 08:51:40:592,423200,423196,0,4,197991688897,2063923072,419975,2610,611,381,391558,4 82,2,2024-09-07 08:51:41:693,304626,304626,0,0,11892143,0,3986 82,3,2024-09-07 08:51:41:753,1,240,0,0,363,2783,240,0 83,0,2024-09-07 08:51:41:531,60063,0.6,60099,0.7,119151,0.5,159642,2.00 83,1,2024-09-07 08:51:40:560,422169,422169,0,0,197165884254,2058061499,419001,2924,244,382,391690,0 83,2,2024-09-07 08:51:40:764,303247,303247,0,0,11929978,0,3119 83,3,2024-09-07 08:51:40:751,1,240,6,0,250,2654,240,0 84,0,2024-09-07 08:51:41:788,59233,0.8,59187,0.9,118233,0.7,158771,2.50 84,1,2024-09-07 08:51:41:041,421532,421532,0,0,197470084371,2066898747,416794,4162,576,368,391967,0 84,2,2024-09-07 08:51:40:581,303489,303489,0,0,13833459,0,3801 84,3,2024-09-07 08:51:41:142,1,240,0,0,908,3902,240,0 85,0,2024-09-07 08:51:41:012,57863,0.8,57852,0.9,122656,0.8,158843,2.50 85,1,2024-09-07 08:51:40:565,421124,421124,0,0,197233404294,2086298801,414292,5726,1106,382,392006,0 85,2,2024-09-07 08:51:40:882,304671,304671,0,0,14601072,0,3656 85,3,2024-09-07 08:51:40:687,1,240,187,0,789,3341,240,0 86,0,2024-09-07 08:51:40:923,60165,0.6,61803,0.7,118331,0.6,159680,2.00 86,1,2024-09-07 08:51:40:826,422681,422681,0,0,197959567939,2071970679,417701,4256,724,366,391961,0 86,2,2024-09-07 08:51:40:854,302470,302469,1,0,15315867,0,5004 86,3,2024-09-07 08:51:40:586,1,240,5,0,286,3200,240,0 87,0,2024-09-07 08:51:41:302,59907,1.0,59588,1.0,119845,1.3,160435,2.25 87,1,2024-09-07 08:51:40:552,422357,422357,0,0,197428643851,2066555353,417888,3986,483,366,391788,0 87,2,2024-09-07 08:51:41:068,302489,302489,0,0,13723949,0,4045 87,3,2024-09-07 08:51:41:798,1,240,2,0,473,3750,240,0 88,0,2024-09-07 08:51:41:461,59871,0.5,60222,0.7,120052,0.5,160253,2.00 88,1,2024-09-07 08:51:40:581,420613,420613,0,0,197220053308,2071502166,414446,4655,1512,365,392084,0 88,2,2024-09-07 08:51:40:698,303632,303632,0,0,15587259,0,3583 88,3,2024-09-07 08:51:41:277,1,240,7,0,435,3036,240,0 89,0,2024-09-07 08:51:41:870,61912,0.5,59818,0.7,118824,0.5,161197,2.00 89,1,2024-09-07 08:51:40:551,420193,420193,0,0,197040827711,2081234634,413283,5688,1222,382,391866,0 89,2,2024-09-07 08:51:41:132,302437,302437,0,0,14877769,0,2910 89,3,2024-09-07 08:51:41:791,1,240,9,0,325,4759,240,0 90,0,2024-09-07 08:51:41:625,58538,0.4,59796,0.6,122540,0.4,159263,1.75 90,1,2024-09-07 08:51:40:591,422322,422322,0,0,197259638944,2068502849,418214,3831,277,381,391825,0 90,2,2024-09-07 08:51:41:407,302236,302236,0,0,15963408,0,3060 90,3,2024-09-07 08:51:40:942,1,240,0,0,200,2570,240,0 91,0,2024-09-07 08:51:40:938,60512,0.4,58564,0.6,122431,0.4,160341,1.75 91,1,2024-09-07 08:51:40:560,421508,421508,0,0,197487085486,2078481204,415645,5169,694,381,392047,0 91,2,2024-09-07 08:51:41:347,303500,303500,0,0,14439750,0,2896 91,3,2024-09-07 08:51:40:602,1,240,5,0,216,2351,240,0 92,0,2024-09-07 08:51:41:463,60456,0.5,62025,0.7,118408,0.5,160559,1.75 92,1,2024-09-07 08:51:40:589,422129,422129,0,0,197328161821,2058797194,419576,2147,406,382,392136,0 92,2,2024-09-07 08:51:41:357,305197,305197,0,0,12148381,0,2801 92,3,2024-09-07 08:51:41:014,1,240,3,0,167,2008,240,0 93,0,2024-09-07 08:51:40:992,60484,0.4,62089,0.6,118604,0.4,160644,1.75 93,1,2024-09-07 08:51:40:833,422146,422146,0,0,196291526951,2051039423,417673,3687,786,366,391692,0 93,2,2024-09-07 08:51:40:943,304186,304186,0,0,12932126,0,2797 93,3,2024-09-07 08:51:41:409,1,240,1,0,190,2455,240,0 94,0,2024-09-07 08:51:41:628,59976,0.4,60711,0.5,121052,0.3,160276,1.75 94,1,2024-09-07 08:51:40:563,422175,422175,0,0,197522655613,2064799826,418890,3107,178,381,391850,0 94,2,2024-09-07 08:51:40:762,303555,303555,0,0,12013650,0,2443 94,3,2024-09-07 08:51:41:689,1,240,11,0,264,3242,240,0 95,0,2024-09-07 08:51:41:398,60394,0.3,60002,0.5,121180,0.3,160699,1.75 95,1,2024-09-07 08:51:40:868,422957,422957,0,0,197986875134,2059734946,419396,3185,376,367,391713,0 95,2,2024-09-07 08:51:41:018,303500,303500,0,0,12356368,0,3308 95,3,2024-09-07 08:51:41:710,1,240,9,0,718,4490,240,0 96,0,2024-09-07 08:51:41:082,60246,0.4,60529,0.6,120715,0.4,159714,1.75 96,1,2024-09-07 08:51:41:589,421818,421818,0,0,197969932928,2068805161,418247,2840,731,384,391894,0 96,2,2024-09-07 08:51:41:269,303149,303149,0,0,13015874,0,4042 96,3,2024-09-07 08:51:41:142,1,240,0,0,411,2842,240,0 97,0,2024-09-07 08:51:41:353,60073,0.4,59896,0.5,120279,0.3,160510,1.75 97,1,2024-09-07 08:51:40:766,423289,423289,0,0,198844903227,2063814093,420256,2477,556,367,392140,0 97,2,2024-09-07 08:51:40:620,303848,303848,0,0,12267200,0,3036 97,3,2024-09-07 08:51:40:573,1,240,10,0,214,2889,240,0 98,0,2024-09-07 08:51:41:698,60011,0.3,60104,0.5,120833,0.2,160334,1.50 98,1,2024-09-07 08:51:40:576,423061,423061,0,0,197534740478,2057449403,420924,2038,99,382,391997,0 98,2,2024-09-07 08:51:40:769,304330,304330,0,0,12343239,0,3080 98,3,2024-09-07 08:51:40:703,1,240,1,0,840,4536,240,0 99,0,2024-09-07 08:51:41:489,60257,0.3,60404,0.4,120587,0.3,160712,1.50 99,1,2024-09-07 08:51:41:723,422735,422735,0,0,196625601339,2052164878,418951,3045,739,381,391744,0 99,2,2024-09-07 08:51:41:420,306295,306295,0,0,13076855,0,3106 99,3,2024-09-07 08:51:40:590,1,240,7,0,168,1912,240,0 100,0,2024-09-07 08:51:41:529,59873,0.7,59849,1.0,119491,0.9,159672,2.25 100,1,2024-09-07 08:51:40:559,420092,420092,0,0,195930861417,2074940799,413258,5517,1317,381,391989,0 100,2,2024-09-07 08:51:41:828,301413,301402,11,0,14458885,0,5417 100,3,2024-09-07 08:51:41:753,1,240,1,0,559,4849,240,0 101,0,2024-09-07 08:51:41:745,60916,1.9,59253,1.3,116368,2.4,160437,2.75 101,1,2024-09-07 08:51:40:551,420591,420591,0,0,196626831901,2075847904,413246,5574,1771,368,391769,0 101,2,2024-09-07 08:51:41:756,302718,302718,0,0,15742005,0,4644 101,3,2024-09-07 08:51:40:944,1,240,3,0,448,3126,240,0 102,0,2024-09-07 08:51:40:950,58599,0.8,60824,0.8,122170,0.8,160165,2.25 102,1,2024-09-07 08:51:41:141,421286,421286,0,0,197561007372,2077965920,415638,4809,839,369,391883,0 102,2,2024-09-07 08:51:41:748,304040,303986,54,0,14396756,0,6768 102,3,2024-09-07 08:51:41:614,1,240,55,0,410,2587,240,0 103,0,2024-09-07 08:51:41:596,62081,0.5,62109,0.7,117094,0.4,160993,2.00 103,1,2024-09-07 08:51:41:626,420467,420467,0,0,196769523143,2076224830,414177,4909,1381,381,391829,0 103,2,2024-09-07 08:51:40:586,302000,302000,0,0,13549936,0,2582 103,3,2024-09-07 08:51:40:755,1,240,0,0,916,3547,240,0 104,0,2024-09-07 08:51:41:023,59640,0.8,60111,1.0,118844,0.8,160219,2.25 104,1,2024-09-07 08:51:41:613,421918,421918,0,0,196862452170,2073137708,415250,5573,1095,365,391948,0 104,2,2024-09-07 08:51:41:665,302354,302354,0,0,15184672,0,3941 104,3,2024-09-07 08:51:41:416,1,240,12,0,1245,6365,240,0 105,0,2024-09-07 08:51:41:047,59159,1.5,57446,1.3,120082,2.4,159110,3.75 105,1,2024-09-07 08:51:40:560,421790,421790,0,0,197273263902,2073190102,415492,5321,977,366,391797,0 105,2,2024-09-07 08:51:41:334,303155,303155,0,0,14642015,0,3509 105,3,2024-09-07 08:51:41:326,1,240,1,0,399,4216,240,0 106,0,2024-09-07 08:51:40:954,57985,1.1,59371,1.1,121715,1.3,158789,2.75 106,1,2024-09-07 08:51:41:754,421584,421584,0,0,197292317985,2076176443,414499,6327,758,369,391767,0 106,2,2024-09-07 08:51:40:756,301334,301334,0,0,14094034,0,2795 106,3,2024-09-07 08:51:40:678,1,240,2,0,470,3544,240,0 107,0,2024-09-07 08:51:41:138,60251,0.8,60188,0.9,120284,0.8,160028,2.00 107,1,2024-09-07 08:51:40:587,420537,420537,0,0,196810805516,2073194166,415221,4770,546,381,392234,0 107,2,2024-09-07 08:51:41:303,302769,302768,1,0,14760747,0,5024 107,3,2024-09-07 08:51:41:755,1,240,5,0,353,3359,240,0 108,0,2024-09-07 08:51:41:799,60455,0.4,60701,0.6,120280,0.3,160793,1.75 108,1,2024-09-07 08:51:41:319,422243,422243,0,0,197409845193,2057865727,419043,2816,384,368,391857,0 108,2,2024-09-07 08:51:41:763,303051,303051,0,0,13230808,0,2647 108,3,2024-09-07 08:51:41:330,1,240,1,0,749,4820,240,0 109,0,2024-09-07 08:51:41:806,60469,0.4,59820,0.6,119855,0.4,161021,1.75 109,1,2024-09-07 08:51:40:604,421068,421068,0,0,197261168839,2069943118,417282,3271,515,383,392132,0 109,2,2024-09-07 08:51:40:921,302320,302320,0,0,13713617,0,3617 109,3,2024-09-07 08:51:41:141,1,240,2,0,249,2934,240,0 110,0,2024-09-07 08:51:41:763,60225,0.4,58704,0.6,122618,0.4,160402,1.75 110,1,2024-09-07 08:51:41:645,423109,423109,0,0,198571772995,2063024267,419967,2265,877,370,392045,0 110,2,2024-09-07 08:51:41:304,304029,304029,0,0,12962958,0,3264 110,3,2024-09-07 08:51:40:702,1,240,1,0,406,3227,240,0 111,0,2024-09-07 08:51:41:424,60567,0.4,60109,0.5,119949,0.3,160927,1.75 111,1,2024-09-07 08:51:41:010,423486,423486,0,0,198796356473,2061192867,421497,1653,336,382,391690,0 111,2,2024-09-07 08:51:41:119,304020,304020,0,0,12501905,0,2763 111,3,2024-09-07 08:51:40:921,1,240,1,0,379,3340,240,0 112,0,2024-09-07 08:51:40:964,60320,0.3,60229,0.4,120522,0.2,160220,1.50 112,1,2024-09-07 08:51:40:826,423797,423797,0,0,197384152188,2048593984,421379,1990,428,380,391580,0 112,2,2024-09-07 08:51:41:139,303682,303681,1,0,12810342,0,5036 112,3,2024-09-07 08:51:40:605,1,240,19,0,282,2667,240,0 113,0,2024-09-07 08:51:40:915,59855,0.3,59757,0.5,120101,0.3,160782,1.75 113,1,2024-09-07 08:51:41:687,424349,424349,0,0,199224460392,2057481106,421852,2008,489,366,391661,0 113,2,2024-09-07 08:51:41:305,304265,304265,0,0,11599384,0,3813 113,3,2024-09-07 08:51:40:699,1,240,1,0,340,3579,240,0 114,0,2024-09-07 08:51:40:874,60079,0.4,60553,0.5,119973,0.3,160653,1.75 114,1,2024-09-07 08:51:40:719,422584,422584,0,0,197562153071,2060678091,417777,3218,1589,381,391556,0 114,2,2024-09-07 08:51:40:890,303489,303488,1,0,12716112,0,5069 114,3,2024-09-07 08:51:41:285,1,240,1,0,395,2668,240,0 115,0,2024-09-07 08:51:40:559,60075,0.3,60384,0.4,120726,0.2,160684,1.75 115,1,2024-09-07 08:51:40:581,422301,422301,0,0,198176304268,2063492842,417942,3525,834,382,391602,0 115,2,2024-09-07 08:51:41:125,304602,304602,0,0,11049501,0,2152 115,3,2024-09-07 08:51:41:011,1,240,1,0,159,1357,240,0 116,0,2024-09-07 08:51:41:711,60002,0.6,59876,0.8,119905,0.6,160219,2.00 116,1,2024-09-07 08:51:40:826,419967,419967,0,0,196387425453,2080849849,413575,4337,2055,380,391782,0 116,2,2024-09-07 08:51:41:759,302679,302679,0,0,15774442,0,3529 116,3,2024-09-07 08:51:40:918,1,240,0,0,415,3520,240,0 117,0,2024-09-07 08:51:40:987,59980,0.8,59762,0.9,119686,0.9,160562,2.00 117,1,2024-09-07 08:51:41:585,421841,421841,0,0,196094762857,2054990210,417144,4217,480,370,392033,0 117,2,2024-09-07 08:51:41:119,305220,305220,0,0,13010216,0,3700 117,3,2024-09-07 08:51:41:060,1,240,1,0,490,3993,240,0 118,0,2024-09-07 08:51:41:765,58189,0.7,59773,0.8,121863,0.7,159633,2.00 118,1,2024-09-07 08:51:40:590,421479,421479,0,0,197202945087,2075812393,415117,4930,1432,366,391736,0 118,2,2024-09-07 08:51:41:591,302757,302757,0,0,14092675,0,2781 118,3,2024-09-07 08:51:41:763,1,240,1,0,235,2807,240,0 119,0,2024-09-07 08:51:41:368,59769,1.0,60245,0.9,120578,1.2,160036,2.25 119,1,2024-09-07 08:51:40:559,422833,422833,0,0,197932403159,2069674342,418183,4128,522,367,391641,0 119,2,2024-09-07 08:51:41:265,303367,303367,0,0,13324111,0,4174 119,3,2024-09-07 08:51:41:339,1,240,1,0,443,3445,240,0 120,0,2024-09-07 08:51:41:574,59965,0.5,59654,0.8,119799,0.5,159726,2.00 120,1,2024-09-07 08:51:40:870,421941,421941,0,0,197821850453,2076940192,417266,4325,350,368,391961,0 120,2,2024-09-07 08:51:40:775,302750,302749,1,0,15875373,0,5281 120,3,2024-09-07 08:51:41:301,1,240,3,0,241,3197,240,0 121,0,2024-09-07 08:51:41:708,60160,1.0,60287,1.0,120554,1.3,160108,2.00 121,1,2024-09-07 08:51:41:656,422227,422227,0,0,197410606512,2068085159,417636,4177,414,367,391840,0 121,2,2024-09-07 08:51:41:125,302506,302506,0,0,15209514,0,4127 121,3,2024-09-07 08:51:40:733,1,240,3,0,269,2795,240,0 122,0,2024-09-07 08:51:41:776,59627,0.9,58183,1.0,121999,1.1,160507,2.25 122,1,2024-09-07 08:51:40:872,420983,420983,0,0,197205731189,2072600139,414923,5244,816,366,392130,0 122,2,2024-09-07 08:51:41:322,302599,302526,73,0,17603171,0,5989 122,3,2024-09-07 08:51:40:594,1,240,0,0,411,4874,240,0 123,0,2024-09-07 08:51:40:965,59958,1.0,58614,0.9,122221,1.2,159980,2.25 123,1,2024-09-07 08:51:40:561,421470,421470,0,0,197350628323,2084914924,412801,6953,1716,369,391823,0 123,2,2024-09-07 08:51:41:019,301440,301439,1,0,14382052,0,5215 123,3,2024-09-07 08:51:41:143,1,240,45,0,168,2959,240,0 124,0,2024-09-07 08:51:40:938,62209,0.3,62169,0.5,117343,0.3,161010,1.75 124,1,2024-09-07 08:51:41:023,423347,423347,0,0,197169466313,2049957931,420511,2474,362,367,392178,0 124,2,2024-09-07 08:51:41:012,303736,303736,0,0,11806258,0,3101 124,3,2024-09-07 08:51:40:764,1,240,0,0,490,2752,240,0 125,0,2024-09-07 08:51:41:452,60422,0.3,60226,0.5,120946,0.3,160860,1.75 125,1,2024-09-07 08:51:40:871,422212,422212,0,0,197684703946,2063455314,419125,2696,391,383,391702,0 125,2,2024-09-07 08:51:41:117,304261,304261,0,0,12858732,0,4534 125,3,2024-09-07 08:51:41:126,1,240,1,0,709,3502,240,0 126,0,2024-09-07 08:51:41:417,60305,0.5,61995,0.7,118489,0.5,160615,1.75 126,1,2024-09-07 08:51:40:552,423679,423679,0,0,198000067504,2053386468,421000,2417,262,365,391987,0 126,2,2024-09-07 08:51:40:618,304662,304662,0,0,13116485,0,3186 126,3,2024-09-07 08:51:40:919,1,240,9,0,183,3285,240,0 127,0,2024-09-07 08:51:41:599,60015,0.4,60309,0.5,120059,0.4,160714,1.75 127,1,2024-09-07 08:51:40:573,422814,422814,0,0,197840178121,2051410421,419871,2790,153,365,391816,0 127,2,2024-09-07 08:51:40:676,303800,303800,0,0,11790424,0,2264 127,3,2024-09-07 08:51:41:275,1,240,4,0,968,2944,240,0 128,0,2024-09-07 08:51:41:537,60480,0.3,60526,0.5,120744,0.2,160704,1.50 128,1,2024-09-07 08:51:41:605,422559,422559,0,0,197692232186,2051749023,420388,2014,157,367,391798,0 128,2,2024-09-07 08:51:41:386,304151,304151,0,0,11788165,0,2434 128,3,2024-09-07 08:51:40:781,1,240,8,0,1082,5352,240,0 129,0,2024-09-07 08:51:40:996,60754,0.3,60421,0.4,120796,0.2,160350,1.50 129,1,2024-09-07 08:51:40:575,420510,420510,0,0,197350144856,2070450676,416364,3218,928,379,391835,0 129,2,2024-09-07 08:51:40:686,304591,304591,0,0,12728415,0,4031 129,3,2024-09-07 08:51:40:700,1,240,1,0,469,3306,240,0 130,0,2024-09-07 08:51:41:768,60351,0.5,60119,0.6,120498,0.6,160622,1.75 130,1,2024-09-07 08:51:40:587,423281,423281,0,0,198550108185,2066688546,420847,2356,78,381,391825,0 130,2,2024-09-07 08:51:41:126,304364,304364,0,0,12385991,0,4067 130,3,2024-09-07 08:51:41:302,1,240,1,0,450,3336,240,0 131,0,2024-09-07 08:51:41:953,59732,0.4,59984,0.5,120879,0.4,160345,1.75 131,1,2024-09-07 08:51:41:827,423441,423441,0,0,197920959810,2064824361,420399,2584,458,381,391865,0 131,2,2024-09-07 08:51:40:573,305280,305280,0,0,11307704,0,2415 131,3,2024-09-07 08:51:41:693,1,240,8,0,392,2497,240,0 132,0,2024-09-07 08:51:41:415,59953,0.5,60559,0.7,120973,0.5,160666,2.00 132,1,2024-09-07 08:51:40:577,420567,420567,0,0,196801871762,2078364501,413564,5750,1253,381,392097,0 132,2,2024-09-07 08:51:40:700,303733,303733,0,0,15071375,0,4606 132,3,2024-09-07 08:51:41:693,1,240,1,0,356,3497,240,0 133,0,2024-09-07 08:51:41:522,58806,0.4,60209,0.6,123199,0.3,159808,1.75 133,1,2024-09-07 08:51:40:586,420730,420730,0,0,197510946071,2080529605,415257,4806,667,383,391914,0 133,2,2024-09-07 08:51:41:088,302448,302448,0,0,16067588,0,4315 133,3,2024-09-07 08:51:41:308,1,240,37,0,276,2465,240,0 134,0,2024-09-07 08:51:40:978,60124,0.5,60064,0.6,120587,0.4,160197,2.00 134,1,2024-09-07 08:51:40:585,420937,420937,0,0,196454551882,2066272353,414492,4975,1470,366,391718,0 134,2,2024-09-07 08:51:41:756,303225,303225,0,0,13581488,0,3847 134,3,2024-09-07 08:51:40:751,1,240,10,0,739,3685,240,0 135,0,2024-09-07 08:51:41:109,57892,1.0,57870,1.0,122749,1.1,159119,2.25 135,1,2024-09-07 08:51:41:588,420431,420431,0,0,197787149817,2083358409,414237,5198,996,380,391805,0 135,2,2024-09-07 08:51:40:699,303579,303579,0,0,14942231,0,3981 135,3,2024-09-07 08:51:41:005,1,240,1,0,299,1832,240,0 136,0,2024-09-07 08:51:41:616,60482,0.7,60403,0.8,120727,0.7,160539,2.25 136,1,2024-09-07 08:51:41:453,420799,420799,0,0,196755643572,2069718158,415282,4933,584,382,391685,0 136,2,2024-09-07 08:51:41:144,303654,303654,0,0,14298728,0,3506 136,3,2024-09-07 08:51:41:107,1,240,1,0,126,1858,240,0 137,0,2024-09-07 08:51:40:927,62088,0.6,60362,0.7,119198,0.6,161218,2.00 137,1,2024-09-07 08:51:40:583,421107,421107,0,0,197130510134,2071815223,414165,5525,1417,366,391708,0 137,2,2024-09-07 08:51:41:717,302887,302887,0,0,15817543,0,3185 137,3,2024-09-07 08:51:40:774,1,240,0,0,382,3105,240,0 138,0,2024-09-07 08:51:41:770,59873,0.8,59913,0.9,120528,0.9,160241,2.00 138,1,2024-09-07 08:51:41:685,421146,421146,0,0,197433034922,2076313282,414184,5831,1131,368,391954,0 138,2,2024-09-07 08:51:40:591,303641,303641,0,0,14624158,0,4988 138,3,2024-09-07 08:51:40:614,1,240,10,0,1160,3829,240,0 139,0,2024-09-07 08:51:41:385,59101,2.1,59338,1.4,119041,3.1,159347,2.50 139,1,2024-09-07 08:51:40:573,419559,419559,0,0,196153326049,2086843237,411076,6371,2112,381,391892,0 139,2,2024-09-07 08:51:40:716,301286,301286,0,0,15223951,0,3097 139,3,2024-09-07 08:51:41:665,1,240,1,0,244,2517,240,0 140,0,2024-09-07 08:51:41:590,60601,0.3,60081,0.5,120760,0.3,160542,1.75 140,1,2024-09-07 08:51:41:537,424140,424140,0,0,198829929981,2050232644,422162,1737,241,365,391606,0 140,2,2024-09-07 08:51:40:689,303953,303953,0,0,12065985,0,3388 140,3,2024-09-07 08:51:40:767,1,240,0,0,247,2140,240,0 141,0,2024-09-07 08:51:41:699,60245,0.3,61916,0.4,118439,0.2,160999,1.50 141,1,2024-09-07 08:51:40:893,423346,423346,0,0,198094334569,2056362295,420776,2162,408,379,391614,0 141,2,2024-09-07 08:51:41:687,304316,304316,0,0,11699376,0,2342 141,3,2024-09-07 08:51:41:047,1,240,1,0,202,2307,240,0 142,0,2024-09-07 08:51:41:330,60935,0.3,60314,0.4,119875,0.2,160513,1.50 142,1,2024-09-07 08:51:40:585,422925,422925,0,0,197775947854,2063149409,420136,2524,265,382,392102,0 142,2,2024-09-07 08:51:41:301,303433,303401,32,0,13464739,0,6028 142,3,2024-09-07 08:51:41:761,1,240,3,0,484,3084,240,0 143,0,2024-09-07 08:51:41:394,60071,0.5,60157,0.6,120631,0.5,160599,1.75 143,1,2024-09-07 08:51:40:563,423059,423059,0,0,197301497001,2047481791,420346,2618,95,367,391619,0 143,2,2024-09-07 08:51:40:770,303017,303017,0,0,12626817,0,2669 143,3,2024-09-07 08:51:41:145,1,240,2,0,303,3208,240,0 144,0,2024-09-07 08:51:41:521,57828,0.7,59394,0.9,120955,0.7,158850,2.00 144,1,2024-09-07 08:51:40:565,420797,420797,0,0,196866099900,2068520774,416797,3078,922,381,391649,0 144,2,2024-09-07 08:51:41:756,304526,304526,0,0,12100299,0,3473 144,3,2024-09-07 08:51:41:754,1,240,1,0,249,2880,240,0 145,0,2024-09-07 08:51:41:366,57848,0.6,57792,0.9,122618,0.6,158852,2.25 145,1,2024-09-07 08:51:40:552,420240,420240,0,0,196904085437,2072727124,414746,4671,823,382,391698,0 145,2,2024-09-07 08:51:41:430,302807,302807,0,0,14253349,0,3903 145,3,2024-09-07 08:51:40:898,1,240,12,0,622,3984,240,0 146,0,2024-09-07 08:51:41:595,60034,0.5,59619,0.7,120135,0.5,159497,2.25 146,1,2024-09-07 08:51:41:597,421402,421402,0,0,197293506317,2081723210,413155,6401,1846,368,391770,0 146,2,2024-09-07 08:51:41:696,302668,302668,0,0,14225122,0,2730 146,3,2024-09-07 08:51:41:274,1,240,2,0,1520,5885,240,0 147,0,2024-09-07 08:51:41:709,59858,0.6,59867,0.8,118805,0.6,160190,2.25 147,1,2024-09-07 08:51:41:377,423164,423164,0,0,197989324793,2061511374,419069,3510,585,368,391791,0 147,2,2024-09-07 08:51:41:010,304956,304956,0,0,12092450,0,2789 147,3,2024-09-07 08:51:40:919,1,240,2,0,730,3890,240,0 0,0,2024-09-07 08:51:51:745,58485,0.5,58473,0.7,124031,0.4,160336,1.75 0,1,2024-09-07 08:51:50:806,423745,423745,0,0,198265466539,2075331142,420535,3006,204,370,391772,0 0,2,2024-09-07 08:51:51:070,304456,304456,0,0,12866148,0,4480 0,3,2024-09-07 08:51:50:992,1,241,17,0,319,3357,241,0 1,0,2024-09-07 08:51:51:892,60447,0.9,59971,1.0,120696,1.1,160487,2.00 1,1,2024-09-07 08:51:50:561,423525,423525,0,0,198022201326,2076832290,419031,3441,1053,371,391857,0 1,2,2024-09-07 08:51:50:644,304275,304275,0,0,11871918,0,3267 1,3,2024-09-07 08:51:51:311,1,241,8,0,262,2912,241,0 2,0,2024-09-07 08:51:51:586,60297,0.7,60601,0.8,120364,0.9,161396,2.00 2,1,2024-09-07 08:51:50:867,423975,423975,0,0,198588250986,2064014983,421871,1886,218,380,391745,0 2,2,2024-09-07 08:51:51:276,304867,304867,0,0,12415811,0,3594 2,3,2024-09-07 08:51:50:701,1,241,4,0,357,2261,241,0 3,0,2024-09-07 08:51:51:747,60341,0.5,60395,0.7,120127,0.5,159944,2.00 3,1,2024-09-07 08:51:51:623,423687,423687,0,0,198456219893,2069216425,419848,3377,462,380,391523,0 3,2,2024-09-07 08:51:51:150,304727,304704,23,0,12685112,0,5851 3,3,2024-09-07 08:51:51:757,1,241,1,0,103,1505,241,0 4,0,2024-09-07 08:51:51:814,58617,0.4,60277,0.5,122650,0.3,160517,1.75 4,1,2024-09-07 08:51:50:600,423247,423247,0,0,197712837934,2078560311,418533,3926,788,371,391846,0 4,2,2024-09-07 08:51:51:018,303827,303827,0,0,14883531,0,4528 4,3,2024-09-07 08:51:51:034,1,241,5,0,448,3759,241,0 5,0,2024-09-07 08:51:51:401,60499,0.4,60820,0.5,120802,0.4,161000,1.75 5,1,2024-09-07 08:51:50:766,423373,423373,0,0,198364798242,2087684407,417882,4379,1112,368,392005,0 5,2,2024-09-07 08:51:51:849,303478,303478,0,0,13559194,0,2432 5,3,2024-09-07 08:51:51:744,1,241,1,0,457,3801,241,0 6,0,2024-09-07 08:51:50:916,60977,0.6,60253,0.8,120521,0.6,161572,2.00 6,1,2024-09-07 08:51:50:794,423665,423665,0,0,197903209916,2070151059,418996,3825,844,379,391694,0 6,2,2024-09-07 08:51:51:124,304323,304323,0,0,13522026,0,4816 6,3,2024-09-07 08:51:51:280,1,241,0,0,340,2925,241,0 7,0,2024-09-07 08:51:51:531,60008,0.6,60174,0.7,120034,0.5,159926,2.00 7,1,2024-09-07 08:51:50:852,423346,423346,0,0,198393174378,2083713881,417446,4897,1003,382,391747,0 7,2,2024-09-07 08:51:50:772,303931,303931,0,0,13342512,0,4791 7,3,2024-09-07 08:51:50:851,1,241,151,0,398,3100,241,0 8,0,2024-09-07 08:51:51:351,60531,0.4,60445,0.5,120436,0.3,161075,1.75 8,1,2024-09-07 08:51:51:033,422024,422024,0,0,197752817699,2088282823,413962,6053,2009,367,391956,0 8,2,2024-09-07 08:51:50:796,301611,301611,0,0,15890947,0,3220 8,3,2024-09-07 08:51:50:586,1,241,1,0,357,3634,241,0 9,0,2024-09-07 08:51:51:138,60533,0.3,58795,0.5,122764,0.3,160971,1.75 9,1,2024-09-07 08:51:50:552,422869,422869,0,0,198242097036,2091857330,416387,4942,1540,370,392001,0 9,2,2024-09-07 08:51:51:093,304549,304549,0,0,14077980,0,3360 9,3,2024-09-07 08:51:51:757,1,241,8,0,496,3613,241,0 10,0,2024-09-07 08:51:51:602,60498,0.3,60012,0.5,120736,0.2,161271,1.75 10,1,2024-09-07 08:51:50:585,423762,423762,0,0,198026449089,2078466972,417402,5432,928,381,391741,0 10,2,2024-09-07 08:51:50:768,304006,304006,0,0,15551159,0,4264 10,3,2024-09-07 08:51:50:887,1,241,1,0,177,2034,241,0 11,0,2024-09-07 08:51:51:007,59575,0.5,57893,0.7,121257,0.4,160776,1.75 11,1,2024-09-07 08:51:50:578,423431,423431,0,0,197672860473,2082575554,415243,6207,1981,383,391537,0 11,2,2024-09-07 08:51:51:132,304679,304679,0,0,14577214,0,4130 11,3,2024-09-07 08:51:51:302,1,241,3,0,843,3968,241,0 12,0,2024-09-07 08:51:50:962,60832,0.4,60638,0.5,121283,0.3,161399,1.75 12,1,2024-09-07 08:51:50:940,423670,423670,0,0,197807712914,2063979824,420159,3090,421,370,391870,0 12,2,2024-09-07 08:51:51:574,305489,305489,0,0,13696863,0,3469 12,3,2024-09-07 08:51:51:059,1,241,13,0,386,4136,241,0 13,0,2024-09-07 08:51:51:341,60778,0.3,60661,0.5,120935,0.3,160927,1.50 13,1,2024-09-07 08:51:51:560,423782,423782,0,0,198112459980,2080051384,420235,3006,541,382,391717,0 13,2,2024-09-07 08:51:50:601,305217,305217,0,0,12867024,0,3287 13,3,2024-09-07 08:51:51:765,1,241,12,0,467,3878,241,0 14,0,2024-09-07 08:51:50:561,60505,0.4,60971,0.6,120760,0.3,160955,1.75 14,1,2024-09-07 08:51:51:562,426276,426276,0,0,199469841126,2065780464,423445,2642,189,364,391571,0 14,2,2024-09-07 08:51:50:764,304436,304436,0,0,12850235,0,2896 14,3,2024-09-07 08:51:51:115,1,241,2,0,1168,3425,241,0 15,0,2024-09-07 08:51:51:560,60088,0.5,60363,0.7,120668,0.5,160996,2.00 15,1,2024-09-07 08:51:51:623,424485,424485,0,0,198682801299,2066462673,421966,2341,178,381,391619,0 15,2,2024-09-07 08:51:50:998,305299,305299,0,0,10902574,0,3043 15,3,2024-09-07 08:51:51:412,1,241,1,0,1126,5202,241,0 16,0,2024-09-07 08:51:50:986,60394,0.8,60622,0.9,120489,0.9,160840,2.25 16,1,2024-09-07 08:51:50:583,424527,424527,0,0,198010935614,2071085315,420971,3257,299,370,391756,0 16,2,2024-09-07 08:51:51:446,303906,303906,0,0,13557063,0,4719 16,3,2024-09-07 08:51:51:162,1,241,0,0,317,3422,241,0 17,0,2024-09-07 08:51:51:882,62273,0.5,60753,0.7,118995,0.4,161359,1.75 17,1,2024-09-07 08:51:50:574,423091,423091,0,0,197600182385,2076666494,418250,3737,1104,368,391845,0 17,2,2024-09-07 08:51:51:676,307479,307479,0,0,12718198,0,2857 17,3,2024-09-07 08:51:50:574,1,241,5,0,268,3969,241,0 18,0,2024-09-07 08:51:50:949,59832,0.7,60046,0.8,119977,0.7,159896,2.25 18,1,2024-09-07 08:51:51:642,424696,424696,0,0,199353914946,2067412543,422189,2266,241,367,391649,0 18,2,2024-09-07 08:51:51:755,305659,305659,0,0,12403317,0,3541 18,3,2024-09-07 08:51:50:896,1,241,1,0,163,2511,241,0 19,0,2024-09-07 08:51:51:565,59900,0.7,60569,0.8,119783,0.7,159842,2.25 19,1,2024-09-07 08:51:50:566,424343,424343,0,0,199592240496,2076140669,419686,3871,786,367,391777,0 19,2,2024-09-07 08:51:51:755,306060,306060,0,0,11402860,0,3988 19,3,2024-09-07 08:51:51:164,1,241,12,0,524,1874,241,0 20,0,2024-09-07 08:51:51:424,59977,0.7,60073,0.8,120403,0.8,160222,2.25 20,1,2024-09-07 08:51:50:567,423202,423202,0,0,198353944983,2076640784,419389,3415,398,369,391886,0 20,2,2024-09-07 08:51:50:944,304668,304668,0,0,13012824,0,3721 20,3,2024-09-07 08:51:50:590,1,241,1,0,414,4567,241,0 21,0,2024-09-07 08:51:51:222,60567,0.5,60804,0.7,121247,0.4,160844,2.00 21,1,2024-09-07 08:51:51:569,422389,422389,0,0,197333657013,2079937368,416671,4461,1257,368,391962,0 21,2,2024-09-07 08:51:51:078,304233,304233,0,0,14769787,0,3747 21,3,2024-09-07 08:51:51:408,1,241,8,0,103,2589,241,0 22,0,2024-09-07 08:51:51:730,60232,0.6,60310,0.7,120277,0.6,160282,2.25 22,1,2024-09-07 08:51:51:032,422833,422833,0,0,197428463451,2081783010,415603,5588,1642,382,391667,0 22,2,2024-09-07 08:51:50:764,304719,304719,0,0,12947656,0,3134 22,3,2024-09-07 08:51:51:077,1,241,2,0,228,2290,241,0 23,0,2024-09-07 08:51:51:379,60251,0.6,59982,0.8,120454,0.6,160720,2.25 23,1,2024-09-07 08:51:51:003,423458,423458,0,0,199260582265,2094927734,416149,4758,2551,365,391690,0 23,2,2024-09-07 08:51:51:093,304727,304727,0,0,12587164,0,3010 23,3,2024-09-07 08:51:51:762,1,241,1,0,645,3196,241,0 24,0,2024-09-07 08:51:50:820,60563,0.5,60418,0.6,121204,0.4,161520,1.75 24,1,2024-09-07 08:51:50:586,423201,423201,0,0,197560139575,2075339654,417592,4130,1479,368,391987,0 24,2,2024-09-07 08:51:51:078,303573,303573,0,0,15047357,0,3607 24,3,2024-09-07 08:51:51:689,1,241,3,0,468,3691,241,0 25,0,2024-09-07 08:51:51:406,61844,0.5,60355,0.7,118264,0.5,161773,2.00 25,1,2024-09-07 08:51:50:569,423931,423931,0,0,198378381454,2077935393,419646,3731,554,371,391788,0 25,2,2024-09-07 08:51:51:633,303951,303951,0,0,15431695,0,3978 25,3,2024-09-07 08:51:51:002,1,241,2,0,255,2664,241,0 26,0,2024-09-07 08:51:51:728,60029,0.4,58725,0.6,123093,0.3,160240,1.75 26,1,2024-09-07 08:51:51:555,423463,423463,0,0,197727923109,2077252127,416498,5749,1216,381,391748,0 26,2,2024-09-07 08:51:50:868,304761,304761,0,0,15155576,0,2809 26,3,2024-09-07 08:51:51:715,1,241,0,0,796,3234,241,0 27,0,2024-09-07 08:51:51:728,60700,0.4,60548,0.6,120058,0.4,160681,2.00 27,1,2024-09-07 08:51:51:679,425512,425512,0,0,199271003811,2074549894,422063,2857,592,381,391558,0 27,2,2024-09-07 08:51:50:870,302969,302904,65,0,15306790,0,5699 27,3,2024-09-07 08:51:51:018,1,241,1,0,564,2695,241,0 28,0,2024-09-07 08:51:51:408,60434,0.5,60133,0.7,120856,0.5,161580,2.00 28,1,2024-09-07 08:51:50:807,424811,424811,0,0,198504775391,2067797326,421973,2367,471,383,391646,0 28,2,2024-09-07 08:51:51:765,305138,305138,0,0,12098377,0,2915 28,3,2024-09-07 08:51:51:780,1,241,1,0,502,2551,241,0 29,0,2024-09-07 08:51:51:377,61919,0.4,60571,0.7,118275,0.4,161623,1.75 29,1,2024-09-07 08:51:51:566,425555,425555,0,0,198926302335,2061126203,422332,2658,565,369,391621,0 29,2,2024-09-07 08:51:50:868,304394,304394,0,0,11572290,0,4018 29,3,2024-09-07 08:51:50:965,1,241,7,0,115,1770,241,0 30,0,2024-09-07 08:51:51:473,60009,0.5,58415,0.7,122089,0.5,159711,2.00 30,1,2024-09-07 08:51:50:572,425446,425446,0,0,198860074850,2061764018,423117,2036,293,382,391672,0 30,2,2024-09-07 08:51:51:281,304832,304832,0,0,11458048,0,3161 30,3,2024-09-07 08:51:50:582,1,241,2,0,195,1877,241,0 31,0,2024-09-07 08:51:51:759,59906,0.4,60245,0.6,120785,0.4,160286,2.00 31,1,2024-09-07 08:51:50:565,426727,426727,0,0,200062590542,2050771731,425339,1169,219,356,391712,0 31,2,2024-09-07 08:51:51:278,304407,304407,0,0,13004883,0,3525 31,3,2024-09-07 08:51:51:718,1,241,0,0,220,1906,241,0 32,0,2024-09-07 08:51:51:419,60200,0.4,60810,0.6,121224,0.3,161932,1.75 32,1,2024-09-07 08:51:50:814,425537,425537,0,0,198978116085,2065523394,423583,1675,279,381,391622,0 32,2,2024-09-07 08:51:50:941,305143,305143,0,0,11335700,0,3155 32,3,2024-09-07 08:51:51:018,1,241,1,0,227,1998,241,0 33,0,2024-09-07 08:51:51:494,60806,0.3,60032,0.5,120892,0.2,160853,1.75 33,1,2024-09-07 08:51:50:584,425509,425509,0,0,199225359217,2059657719,422929,2406,174,369,391730,0 33,2,2024-09-07 08:51:50:766,305624,305591,33,0,13112518,0,7012 33,3,2024-09-07 08:51:50:897,1,241,1,0,329,2884,241,0 34,0,2024-09-07 08:51:50:929,60448,0.3,62199,0.5,119323,0.2,159884,1.75 34,1,2024-09-07 08:51:51:052,426207,426207,0,0,199542977612,2053371201,425112,1088,7,367,391562,0 34,2,2024-09-07 08:51:50:772,305031,305031,0,0,12384821,0,3577 34,3,2024-09-07 08:51:51:689,1,241,0,0,299,2035,241,0 35,0,2024-09-07 08:51:50:861,59994,0.3,60423,0.5,121362,0.3,161451,1.75 35,1,2024-09-07 08:51:51:070,425037,425037,0,0,198609149798,2061723670,421693,2564,780,384,391589,0 35,2,2024-09-07 08:51:51:588,305501,305501,0,0,11869198,0,2653 35,3,2024-09-07 08:51:50:907,1,241,1,0,418,2954,241,0 36,0,2024-09-07 08:51:51:528,60587,0.6,60526,0.8,121164,0.6,161268,2.25 36,1,2024-09-07 08:51:50:585,424219,424219,0,0,199124638379,2084899238,418239,4401,1579,366,391759,0 36,2,2024-09-07 08:51:51:758,303763,303763,0,0,13804415,0,3875 36,3,2024-09-07 08:51:50:874,1,241,7,0,416,3974,241,0 37,0,2024-09-07 08:51:51:373,59876,0.6,59817,0.7,119898,0.5,160865,2.00 37,1,2024-09-07 08:51:50:573,423303,423296,0,7,197865571592,2076362832,417289,3940,2067,365,391560,0 37,2,2024-09-07 08:51:51:143,303867,303852,15,0,14130048,0,5815 37,3,2024-09-07 08:51:51:776,1,241,3,0,888,4333,241,0 38,0,2024-09-07 08:51:51:439,59838,0.5,58186,0.7,121745,0.4,159236,2.00 38,1,2024-09-07 08:51:51:614,424609,424609,0,0,198249631700,2072116737,419338,4596,675,368,391821,0 38,2,2024-09-07 08:51:50:760,304966,304919,47,0,14540445,0,6710 38,3,2024-09-07 08:51:50:997,1,241,3,0,689,3571,241,0 39,0,2024-09-07 08:51:51:763,61771,0.6,60638,0.7,117664,0.6,161095,2.00 39,1,2024-09-07 08:51:50:722,424339,424339,0,0,197983843399,2069014061,418786,4651,902,365,391594,0 39,2,2024-09-07 08:51:51:420,304631,304631,0,0,12630127,0,2689 39,3,2024-09-07 08:51:50:726,1,241,1,0,324,3398,241,0 40,0,2024-09-07 08:51:51:506,59688,0.7,60212,1.0,120456,0.7,160656,2.75 40,1,2024-09-07 08:51:50:577,424045,424045,0,0,197341117127,2071288361,417046,5556,1443,368,391591,0 40,2,2024-09-07 08:51:51:303,303878,303877,1,0,15614106,0,5137 40,3,2024-09-07 08:51:51:147,1,241,0,0,398,3007,241,0 41,0,2024-09-07 08:51:51:063,59387,2.2,60780,1.5,115982,3.3,159031,4.00 41,1,2024-09-07 08:51:50:778,424025,424025,0,0,198710844044,2079913152,418490,4901,634,370,391742,0 41,2,2024-09-07 08:51:50:759,303685,303685,0,0,14818937,0,3356 41,3,2024-09-07 08:51:51:679,1,241,1,0,366,2770,241,0 42,0,2024-09-07 08:51:51:483,59490,0.9,59825,1.1,119690,1.1,158092,2.75 42,1,2024-09-07 08:51:51:446,422639,422639,0,0,197925094415,2083149696,415481,5706,1452,380,391675,0 42,2,2024-09-07 08:51:51:141,304837,304837,0,0,14094687,0,3568 42,3,2024-09-07 08:51:51:013,1,241,3,0,446,2053,241,0 43,0,2024-09-07 08:51:50:929,60073,0.7,58576,0.9,122286,0.7,160653,2.25 43,1,2024-09-07 08:51:50:589,423464,423464,0,0,198664472944,2078361281,417204,4931,1329,366,391696,0 43,2,2024-09-07 08:51:51:740,304570,304570,0,0,13578301,0,3812 43,3,2024-09-07 08:51:51:753,1,241,1,0,467,3028,241,0 44,0,2024-09-07 08:51:50:865,60212,0.5,60631,0.7,120923,0.4,161069,1.75 44,1,2024-09-07 08:51:50:564,425337,425337,0,0,197882275212,2044139636,422782,2123,432,356,391809,0 44,2,2024-09-07 08:51:51:275,304127,304127,0,0,11482919,0,2231 44,3,2024-09-07 08:51:51:096,1,241,1,0,817,2911,241,0 45,0,2024-09-07 08:51:51:779,59298,0.7,57946,0.9,121706,0.8,160886,2.00 45,1,2024-09-07 08:51:51:005,424932,424932,0,0,199308368525,2065907274,423282,1638,12,382,391917,0 45,2,2024-09-07 08:51:51:276,305561,305561,0,0,12168859,0,3596 45,3,2024-09-07 08:51:50:935,1,241,0,0,271,2657,241,0 46,0,2024-09-07 08:51:50:963,59961,0.6,59843,0.8,119941,0.7,158529,2.00 46,1,2024-09-07 08:51:50:576,426157,426157,0,0,199015214237,2055371914,423811,2072,274,366,391539,0 46,2,2024-09-07 08:51:50:593,304876,304876,0,0,11756226,0,2920 46,3,2024-09-07 08:51:51:141,1,241,3,0,908,3752,241,0 47,0,2024-09-07 08:51:51:113,60750,0.4,60579,0.6,121801,0.4,160936,1.75 47,1,2024-09-07 08:51:50:568,426161,426161,0,0,198564268027,2050523635,423839,2188,134,366,391605,0 47,2,2024-09-07 08:51:50:908,306559,306559,0,0,11837403,0,2558 47,3,2024-09-07 08:51:51:119,1,241,1,0,529,2256,241,0 48,0,2024-09-07 08:51:51:501,60864,0.3,60487,0.4,120528,0.2,160711,1.50 48,1,2024-09-07 08:51:51:032,425365,425365,0,0,198688361273,2065469792,422265,2801,299,384,391710,0 48,2,2024-09-07 08:51:50:703,305109,305109,0,0,11465050,0,3031 48,3,2024-09-07 08:51:50:753,1,241,0,0,339,2085,241,0 49,0,2024-09-07 08:51:51:728,62067,0.4,61018,0.6,118100,0.3,162039,1.75 49,1,2024-09-07 08:51:51:044,423986,423986,0,0,199339377290,2079357351,420129,2676,1181,382,391610,0 49,2,2024-09-07 08:51:51:801,305721,305721,0,0,12043904,0,3900 49,3,2024-09-07 08:51:51:432,1,241,1,0,408,2751,241,0 50,0,2024-09-07 08:51:51:510,60256,0.3,59705,0.5,120189,0.2,160161,1.75 50,1,2024-09-07 08:51:51:014,426108,426108,0,0,199653939855,2066660294,423271,2527,310,368,391530,0 50,2,2024-09-07 08:51:51:077,305139,305139,0,0,11349367,0,2263 50,3,2024-09-07 08:51:51:301,1,241,4,0,335,2041,241,0 51,0,2024-09-07 08:51:51:686,61928,0.3,60575,0.5,118080,0.2,161109,1.75 51,1,2024-09-07 08:51:51:713,425840,425840,0,0,200173139373,2067660577,423045,1856,939,365,391706,0 51,2,2024-09-07 08:51:51:333,305264,305264,0,0,10771556,0,3337 51,3,2024-09-07 08:51:51:033,1,241,1,0,678,2007,241,0 52,0,2024-09-07 08:51:51:418,61040,0.4,60571,0.6,120953,0.4,160886,2.00 52,1,2024-09-07 08:51:50:577,423932,423932,0,0,197377547617,2072804626,416795,5883,1254,368,391722,0 52,2,2024-09-07 08:51:51:763,303106,303068,38,0,14743572,0,6742 52,3,2024-09-07 08:51:50:677,1,241,92,0,1782,4412,241,0 53,0,2024-09-07 08:51:51:757,59838,0.7,58160,0.8,121692,0.8,159877,2.50 53,1,2024-09-07 08:51:50:778,422948,422948,0,0,198241678969,2085225700,415624,4968,2356,367,391702,0 53,2,2024-09-07 08:51:51:298,304323,304323,0,0,12225346,0,2727 53,3,2024-09-07 08:51:50:701,1,241,0,0,271,2276,241,0 54,0,2024-09-07 08:51:51:620,59120,0.9,59600,0.9,118096,0.6,158651,2.75 54,1,2024-09-07 08:51:50:584,424047,424047,0,0,199037659157,2072607666,419311,4139,597,367,391659,0 54,2,2024-09-07 08:51:50:870,304614,304608,6,0,13579085,0,5382 54,3,2024-09-07 08:51:50:767,1,241,1,0,676,3738,241,0 55,0,2024-09-07 08:51:51:764,58108,0.7,60213,0.8,121124,0.6,158141,2.50 55,1,2024-09-07 08:51:50:768,423477,423477,0,0,197727581941,2061812310,417927,4763,787,365,391731,0 55,2,2024-09-07 08:51:50:737,304094,304094,0,0,13780457,0,3275 55,3,2024-09-07 08:51:50:678,1,241,3,0,304,2865,241,0 56,0,2024-09-07 08:51:51:568,61658,1.0,58141,1.1,119676,1.4,160145,2.50 56,1,2024-09-07 08:51:50:576,422018,422018,0,0,197996499896,2095903430,414884,5696,1438,381,391678,0 56,2,2024-09-07 08:51:51:303,304778,304778,0,0,14809593,0,3567 56,3,2024-09-07 08:51:51:064,1,241,0,0,705,3541,241,0 57,0,2024-09-07 08:51:50:944,59427,1.7,59590,1.3,119212,2.2,159522,3.25 57,1,2024-09-07 08:51:50:995,423549,423549,0,0,197851151316,2075567181,418228,4651,670,367,391960,0 57,2,2024-09-07 08:51:51:333,304676,304676,0,0,15765303,0,3317 57,3,2024-09-07 08:51:51:740,1,241,1,0,359,3354,241,0 58,0,2024-09-07 08:51:50:560,59087,1.0,57449,1.0,120319,1.1,158116,2.50 58,1,2024-09-07 08:51:50:576,424049,424046,0,3,199403471258,2085541069,418317,5019,710,367,391545,3 58,2,2024-09-07 08:51:51:081,305179,305179,0,0,14209002,0,2549 58,3,2024-09-07 08:51:51:077,1,241,1,0,1043,2833,241,0 59,0,2024-09-07 08:51:51:751,59908,0.9,59772,1.0,119187,0.9,158390,3.00 59,1,2024-09-07 08:51:50:804,423856,423856,0,0,198577862629,2080313389,418069,4522,1265,369,391525,0 59,2,2024-09-07 08:51:50:584,305883,305883,0,0,12912446,0,2604 59,3,2024-09-07 08:51:51:750,1,241,1,0,1015,3492,241,0 60,0,2024-09-07 08:51:51:704,60396,0.4,60113,0.6,121201,0.4,160327,1.75 60,1,2024-09-07 08:51:50:772,425492,425492,0,0,198844369415,2062983730,423055,1920,517,370,392031,0 60,2,2024-09-07 08:51:51:167,304425,304425,0,0,14109260,0,3811 60,3,2024-09-07 08:51:51:262,1,241,1,0,124,2373,241,0 61,0,2024-09-07 08:51:51:510,60273,0.7,60551,0.8,120554,0.7,160578,2.00 61,1,2024-09-07 08:51:50:776,424067,424067,0,0,198293235046,2076424256,419498,3866,703,382,391880,0 61,2,2024-09-07 08:51:51:116,305526,305526,0,0,12410629,0,2780 61,3,2024-09-07 08:51:51:688,1,241,7,0,397,3320,241,0 62,0,2024-09-07 08:51:51:756,60916,0.7,62121,0.8,118203,0.7,161686,2.00 62,1,2024-09-07 08:51:51:120,426621,426615,0,6,199677902868,2060503632,424156,2342,117,365,391715,6 62,2,2024-09-07 08:51:51:647,303852,303851,1,0,13755429,0,5555 62,3,2024-09-07 08:51:51:166,1,241,0,0,287,1720,241,0 63,0,2024-09-07 08:51:51:457,60263,0.5,60429,0.6,121063,0.5,160442,1.75 63,1,2024-09-07 08:51:50:813,425881,425875,0,6,198401129272,2055850262,424300,1525,50,381,391677,6 63,2,2024-09-07 08:51:50:767,305096,305096,0,0,11527966,0,2674 63,3,2024-09-07 08:51:51:732,1,241,1,0,667,2850,241,0 64,0,2024-09-07 08:51:51:516,59947,0.4,60024,0.6,120228,0.4,159756,1.75 64,1,2024-09-07 08:51:50:755,424461,424461,0,0,198656443731,2074163990,419978,3069,1414,370,391783,0 64,2,2024-09-07 08:51:51:152,307276,307257,19,0,12476014,0,6121 64,3,2024-09-07 08:51:51:145,1,241,4,0,265,2524,241,0 65,0,2024-09-07 08:51:51:685,59947,0.6,60339,0.7,120386,0.6,160361,2.00 65,1,2024-09-07 08:51:50:889,423623,423623,0,0,197345102199,2066595609,420074,3106,443,382,391901,0 65,2,2024-09-07 08:51:51:700,305227,305227,0,0,13181030,0,3367 65,3,2024-09-07 08:51:51:688,1,241,2,0,163,2263,241,0 66,0,2024-09-07 08:51:51:771,60125,0.5,59989,0.7,120699,0.5,160482,2.00 66,1,2024-09-07 08:51:51:296,425271,425271,0,0,199138534875,2070355755,422701,2370,200,380,391653,0 66,2,2024-09-07 08:51:51:142,306498,306498,0,0,12846781,0,4956 66,3,2024-09-07 08:51:51:088,1,241,3,0,291,2603,241,0 67,0,2024-09-07 08:51:51:430,60563,0.7,60156,0.8,120971,0.7,160898,2.25 67,1,2024-09-07 08:51:50:772,424236,424235,0,1,198828636764,2075561784,420392,3096,747,380,391787,1 67,2,2024-09-07 08:51:50:587,306180,306180,0,0,11940904,0,2889 67,3,2024-09-07 08:51:51:750,1,241,0,0,338,2322,241,0 68,0,2024-09-07 08:51:50:572,60466,0.6,60274,0.8,120178,0.6,160954,2.00 68,1,2024-09-07 08:51:50:572,423349,423349,0,0,197525674242,2072891053,419172,3007,1170,381,391953,0 68,2,2024-09-07 08:51:51:046,304169,304104,65,0,15616597,0,6698 68,3,2024-09-07 08:51:50:743,1,241,1,0,417,2769,241,0 69,0,2024-09-07 08:51:51:733,60094,0.7,60433,0.8,120266,0.8,160213,2.25 69,1,2024-09-07 08:51:51:019,422279,422279,0,0,197583493280,2087396270,416579,4298,1402,384,391994,0 69,2,2024-09-07 08:51:51:733,304395,304395,0,0,15300189,0,3722 69,3,2024-09-07 08:51:50:769,1,241,1,0,698,3597,241,0 70,0,2024-09-07 08:51:51:551,59892,0.9,59931,1.0,120341,0.7,160441,2.25 70,1,2024-09-07 08:51:50:870,424495,424495,0,0,199535802770,2073285255,420840,3127,528,366,391725,0 70,2,2024-09-07 08:51:51:335,303785,303785,0,0,14097796,0,4044 70,3,2024-09-07 08:51:50:755,1,241,0,0,854,2903,241,0 71,0,2024-09-07 08:51:51:367,59521,1.3,59354,1.2,119041,1.9,159654,3.00 71,1,2024-09-07 08:51:51:607,423846,423846,0,0,198703592196,2074906578,418714,4511,621,368,391738,0 71,2,2024-09-07 08:51:51:078,304934,304934,0,0,14307841,0,4042 71,3,2024-09-07 08:51:51:753,1,241,12,0,644,3603,241,0 72,0,2024-09-07 08:51:51:043,62318,0.8,60916,0.9,118786,1.0,161821,2.25 72,1,2024-09-07 08:51:51:022,423650,423650,0,0,198129033355,2070550546,418596,4123,931,369,391819,0 72,2,2024-09-07 08:51:51:766,304093,304093,0,0,15837593,0,3983 72,3,2024-09-07 08:51:51:759,1,241,2,0,564,4372,241,0 73,0,2024-09-07 08:51:51:140,58937,0.4,60258,0.6,123609,0.3,160353,2.00 73,1,2024-09-07 08:51:50:767,424378,424378,0,0,198228700999,2061631565,421293,2850,235,367,391750,0 73,2,2024-09-07 08:51:51:741,304900,304900,0,0,15208688,0,3701 73,3,2024-09-07 08:51:50:992,1,241,7,0,274,3640,241,0 74,0,2024-09-07 08:51:51:376,60898,0.5,62204,0.7,118604,0.4,161063,2.25 74,1,2024-09-07 08:51:50:641,424056,424056,0,0,197872987582,2068084469,419657,3600,799,381,391681,0 74,2,2024-09-07 08:51:51:014,304654,304654,0,0,14633365,0,4253 74,3,2024-09-07 08:51:51:451,1,241,1,0,522,3328,241,0 75,0,2024-09-07 08:51:51:785,60429,0.6,60152,0.8,120628,0.6,161770,2.50 75,1,2024-09-07 08:51:51:595,423487,423487,0,0,198538985487,2077431033,418579,4245,663,380,391660,0 75,2,2024-09-07 08:51:51:360,304232,304232,0,0,15122388,0,4766 75,3,2024-09-07 08:51:51:073,1,241,3,0,702,3520,241,0 76,0,2024-09-07 08:51:50:658,59985,0.8,59411,0.9,119001,0.8,159451,2.50 76,1,2024-09-07 08:51:50:814,424168,424168,0,0,197467326722,2061432447,421108,2512,548,382,391692,0 76,2,2024-09-07 08:51:51:063,306014,306014,0,0,12396238,0,3784 76,3,2024-09-07 08:51:51:145,1,241,0,0,175,2587,241,0 77,0,2024-09-07 08:51:51:692,60461,0.5,60774,0.7,121127,0.5,160674,1.75 77,1,2024-09-07 08:51:50:824,424726,424726,0,0,198335325015,2072305407,421278,3191,257,383,391808,0 77,2,2024-09-07 08:51:51:283,304293,304293,0,0,13003141,0,3890 77,3,2024-09-07 08:51:51:106,1,241,0,0,382,2810,241,0 78,0,2024-09-07 08:51:51:729,60647,0.4,60079,0.6,121191,0.4,160001,2.00 78,1,2024-09-07 08:51:50:610,425001,425001,0,0,198572716763,2061914625,422005,2677,319,367,391670,0 78,2,2024-09-07 08:51:51:416,305300,305300,0,0,11616435,0,3855 78,3,2024-09-07 08:51:51:147,1,241,0,0,181,2424,241,0 79,0,2024-09-07 08:51:51:347,58590,0.4,60069,0.6,122724,0.4,159703,2.25 79,1,2024-09-07 08:51:50:571,426104,426104,0,0,199341139391,2063451899,423261,2507,336,369,391682,0 79,2,2024-09-07 08:51:51:070,305473,305473,0,0,11765080,0,3212 79,3,2024-09-07 08:51:50:753,1,241,1,0,418,3674,241,0 80,0,2024-09-07 08:51:51:105,60178,0.6,61934,0.8,118458,0.7,159931,2.00 80,1,2024-09-07 08:51:51:628,424113,424113,0,0,198911721512,2071839938,421149,2795,169,368,391791,0 80,2,2024-09-07 08:51:51:091,305568,305568,0,0,12237687,0,4433 80,3,2024-09-07 08:51:50:583,1,241,4,0,190,3395,241,0 81,0,2024-09-07 08:51:51:546,60366,0.5,61796,0.7,118397,0.4,160267,1.75 81,1,2024-09-07 08:51:51:658,423310,423310,0,0,197751552028,2068834555,419471,3377,462,382,391879,0 81,2,2024-09-07 08:51:51:127,304920,304920,0,0,12596470,0,3993 81,3,2024-09-07 08:51:51:116,1,241,0,0,374,2993,241,0 82,0,2024-09-07 08:51:51:621,60039,0.4,60498,0.6,121128,0.4,161024,2.00 82,1,2024-09-07 08:51:50:585,424963,424959,0,4,198891909125,2073075570,421738,2610,611,381,391558,4 82,2,2024-09-07 08:51:51:701,306014,306014,0,0,11905737,0,3986 82,3,2024-09-07 08:51:51:752,1,241,1,0,363,2784,241,0 83,0,2024-09-07 08:51:51:550,60423,0.6,60465,0.7,119914,0.5,160340,2.00 83,1,2024-09-07 08:51:50:558,423969,423969,0,0,198109011767,2067646469,420801,2924,244,382,391690,0 83,2,2024-09-07 08:51:50:764,304364,304364,0,0,11941687,0,3119 83,3,2024-09-07 08:51:50:753,1,241,1,0,250,2655,241,0 84,0,2024-09-07 08:51:51:770,59651,0.8,59573,0.9,119008,0.7,160195,2.50 84,1,2024-09-07 08:51:51:051,423335,423335,0,0,198352394322,2075928763,418596,4163,576,368,391967,0 84,2,2024-09-07 08:51:50:571,304792,304792,0,0,13861178,0,3801 84,3,2024-09-07 08:51:51:162,1,241,0,0,908,3902,241,0 85,0,2024-09-07 08:51:51:057,58193,0.8,58162,0.9,123327,0.8,159658,2.50 85,1,2024-09-07 08:51:50:564,422957,422957,0,0,198232958785,2096738532,416125,5726,1106,382,392006,0 85,2,2024-09-07 08:51:50:870,306140,306140,0,0,14632780,0,3656 85,3,2024-09-07 08:51:50:696,1,241,1,0,789,3342,241,0 86,0,2024-09-07 08:51:50:877,60344,0.6,61966,0.7,118646,0.6,160070,2.00 86,1,2024-09-07 08:51:50:828,424417,424417,0,0,198617492772,2078752492,419437,4256,724,366,391961,0 86,2,2024-09-07 08:51:50:853,303843,303842,1,0,15390278,0,5004 86,3,2024-09-07 08:51:50:597,1,241,55,0,286,3255,241,0 87,0,2024-09-07 08:51:51:310,60196,1.0,59875,0.9,120412,1.3,161055,2.25 87,1,2024-09-07 08:51:50:556,424151,424151,0,0,198330655195,2075878809,419681,3987,483,366,391788,0 87,2,2024-09-07 08:51:51:074,303220,303220,0,0,13739060,0,4045 87,3,2024-09-07 08:51:51:808,1,241,28,0,473,3778,241,0 88,0,2024-09-07 08:51:51:449,60283,0.5,60612,0.7,120939,0.5,161278,2.00 88,1,2024-09-07 08:51:50:568,422393,422393,0,0,197884705744,2078302130,416226,4655,1512,365,392084,0 88,2,2024-09-07 08:51:50:689,305096,305096,0,0,15615471,0,3583 88,3,2024-09-07 08:51:51:271,1,241,9,0,435,3045,241,0 89,0,2024-09-07 08:51:51:785,62068,0.5,59962,0.7,119135,0.5,161648,2.00 89,1,2024-09-07 08:51:50:557,421979,421979,0,0,197836805410,2089396766,415068,5689,1222,382,391866,0 89,2,2024-09-07 08:51:51:142,303944,303944,0,0,14906874,0,2910 89,3,2024-09-07 08:51:51:794,1,241,1,0,325,4760,241,0 90,0,2024-09-07 08:51:51:640,58649,0.4,59887,0.6,122779,0.4,159504,1.75 90,1,2024-09-07 08:51:50:594,424116,424116,0,0,197997100840,2076069191,420007,3832,277,381,391825,0 90,2,2024-09-07 08:51:51:413,303319,303319,0,0,15980895,0,3060 90,3,2024-09-07 08:51:50:937,1,241,1,0,200,2571,241,0 91,0,2024-09-07 08:51:50:953,60563,0.4,58625,0.6,122529,0.4,160665,1.75 91,1,2024-09-07 08:51:50:567,423298,423298,0,0,198345979674,2087296815,417435,5169,694,381,392047,0 91,2,2024-09-07 08:51:51:343,304505,304505,0,0,14452786,0,2896 91,3,2024-09-07 08:51:50:599,1,241,11,0,216,2362,241,0 92,0,2024-09-07 08:51:51:468,60887,0.5,62453,0.7,119270,0.5,161890,1.75 92,1,2024-09-07 08:51:50:587,423890,423890,0,0,198281108846,2068479319,421337,2147,406,382,392136,0 92,2,2024-09-07 08:51:51:375,306749,306749,0,0,12160979,0,2801 92,3,2024-09-07 08:51:51:018,1,241,0,0,167,2008,241,0 93,0,2024-09-07 08:51:50:959,60581,0.4,62189,0.6,118762,0.4,160950,1.75 93,1,2024-09-07 08:51:50:814,423922,423922,0,0,197207582429,2060315694,419449,3687,786,366,391692,0 93,2,2024-09-07 08:51:50:937,305483,305483,0,0,12946491,0,2797 93,3,2024-09-07 08:51:51:408,1,241,0,0,190,2455,241,0 94,0,2024-09-07 08:51:51:633,60141,0.4,60909,0.5,121368,0.3,160541,1.75 94,1,2024-09-07 08:51:50:564,423954,423954,0,0,198305491144,2072770690,420669,3107,178,381,391850,0 94,2,2024-09-07 08:51:50:768,304686,304686,0,0,12024190,0,2443 94,3,2024-09-07 08:51:51:688,1,241,1,0,264,3243,241,0 95,0,2024-09-07 08:51:51:342,60656,0.3,60274,0.5,121737,0.3,161220,1.75 95,1,2024-09-07 08:51:50:852,424757,424757,0,0,198902600009,2069039509,421195,3186,376,367,391713,0 95,2,2024-09-07 08:51:51:019,304763,304763,0,0,12368370,0,3308 95,3,2024-09-07 08:51:51:721,1,241,1,0,718,4491,241,0 96,0,2024-09-07 08:51:51:056,60584,0.4,60863,0.6,121339,0.4,160847,1.75 96,1,2024-09-07 08:51:51:616,423675,423675,0,0,199021209707,2079525646,420104,2840,731,384,391894,0 96,2,2024-09-07 08:51:51:277,304488,304488,0,0,13031405,0,4042 96,3,2024-09-07 08:51:51:162,1,241,3,0,411,2845,241,0 97,0,2024-09-07 08:51:51:313,60435,0.4,60253,0.5,121006,0.3,160810,1.75 97,1,2024-09-07 08:51:50:770,425075,425075,0,0,199699026492,2072489126,422042,2477,556,367,392140,0 97,2,2024-09-07 08:51:50:620,305070,305070,0,0,12291859,0,3036 97,3,2024-09-07 08:51:50:570,1,241,0,0,214,2889,241,0 98,0,2024-09-07 08:51:51:732,60323,0.3,60429,0.5,121426,0.2,161141,1.50 98,1,2024-09-07 08:51:50:571,424857,424857,0,0,198482590859,2067086763,422720,2038,99,382,391997,0 98,2,2024-09-07 08:51:50:769,305739,305739,0,0,12362431,0,3080 98,3,2024-09-07 08:51:50:705,1,241,2,0,840,4538,241,0 99,0,2024-09-07 08:51:51:462,60434,0.3,60595,0.4,120966,0.3,161294,1.50 99,1,2024-09-07 08:51:51:737,424553,424553,0,0,197558615163,2061653240,420768,3045,740,381,391744,0 99,2,2024-09-07 08:51:51:424,307681,307681,0,0,13100078,0,3106 99,3,2024-09-07 08:51:50:583,1,241,1,0,168,1913,241,0 100,0,2024-09-07 08:51:51:502,60226,0.7,60174,1.0,120214,0.9,160896,2.25 100,1,2024-09-07 08:51:50:548,421884,421884,0,0,196662352781,2082508986,415048,5519,1317,381,391989,0 100,2,2024-09-07 08:51:51:818,302141,302130,11,0,14496493,0,5417 100,3,2024-09-07 08:51:51:732,1,241,9,0,559,4858,241,0 101,0,2024-09-07 08:51:51:715,61240,1.9,59586,1.3,116998,2.3,161141,2.75 101,1,2024-09-07 08:51:50:556,422296,422296,0,0,197250734960,2082381806,414951,5574,1771,368,391769,0 101,2,2024-09-07 08:51:51:763,304019,304019,0,0,15781707,0,4644 101,3,2024-09-07 08:51:50:942,1,241,1,0,448,3127,241,0 102,0,2024-09-07 08:51:50:942,58854,0.8,61100,0.8,122667,0.8,161060,2.25 102,1,2024-09-07 08:51:51:163,423040,423040,0,0,198195446227,2084562334,417392,4809,839,369,391883,0 102,2,2024-09-07 08:51:51:740,305568,305514,54,0,14442842,0,6768 102,3,2024-09-07 08:51:51:619,1,241,5,0,410,2592,241,0 103,0,2024-09-07 08:51:51:598,62212,0.5,62268,0.7,117366,0.4,161486,2.00 103,1,2024-09-07 08:51:51:640,422145,422145,0,0,197330009025,2082117761,415855,4909,1381,381,391829,0 103,2,2024-09-07 08:51:50:587,303303,303303,0,0,13586045,0,2582 103,3,2024-09-07 08:51:50:756,1,241,1,0,916,3548,241,0 104,0,2024-09-07 08:51:51:055,59878,0.8,60357,1.0,119277,0.8,161111,2.25 104,1,2024-09-07 08:51:51:615,423711,423711,0,0,197709856199,2081768197,417042,5574,1095,365,391948,0 104,2,2024-09-07 08:51:51:675,303143,303143,0,0,15194527,0,3941 104,3,2024-09-07 08:51:51:422,1,241,0,0,1245,6365,241,0 105,0,2024-09-07 08:51:51:030,59656,1.5,57899,1.3,120998,2.3,160196,3.75 105,1,2024-09-07 08:51:50:560,423515,423515,0,0,198175822758,2082437038,417216,5321,978,366,391797,0 105,2,2024-09-07 08:51:51:323,304644,304644,0,0,14676904,0,3509 105,3,2024-09-07 08:51:51:307,1,241,2,0,399,4218,241,0 106,0,2024-09-07 08:51:50:955,58094,1.1,59492,1.1,121933,1.3,159113,2.75 106,1,2024-09-07 08:51:51:749,423409,423409,0,0,198241524152,2085817412,416324,6327,758,369,391767,0 106,2,2024-09-07 08:51:50:756,302744,302744,0,0,14118983,0,2795 106,3,2024-09-07 08:51:50:677,1,241,5,0,470,3549,241,0 107,0,2024-09-07 08:51:51:101,60352,0.8,60290,0.9,120501,0.8,160370,2.00 107,1,2024-09-07 08:51:50:598,422323,422323,0,0,197778256594,2083044420,417007,4770,546,381,392234,0 107,2,2024-09-07 08:51:51:291,303946,303945,1,0,14785114,0,5024 107,3,2024-09-07 08:51:51:762,1,241,14,0,353,3373,241,0 108,0,2024-09-07 08:51:51:836,60560,0.4,60800,0.6,120478,0.3,160795,1.75 108,1,2024-09-07 08:51:51:313,424039,424039,0,0,198032315849,2064227765,420839,2816,384,368,391857,0 108,2,2024-09-07 08:51:51:765,304151,304151,0,0,13240646,0,2647 108,3,2024-09-07 08:51:51:333,1,241,1,0,749,4821,241,0 109,0,2024-09-07 08:51:51:798,60834,0.4,60193,0.6,120616,0.4,161917,1.75 109,1,2024-09-07 08:51:50:585,422883,422883,0,0,198230729821,2079863239,419096,3272,515,383,392132,0 109,2,2024-09-07 08:51:50:921,303681,303681,0,0,13727058,0,3617 109,3,2024-09-07 08:51:51:156,1,241,0,0,249,2934,241,0 110,0,2024-09-07 08:51:51:757,60337,0.4,58812,0.6,122836,0.4,160642,1.75 110,1,2024-09-07 08:51:51:658,424936,424936,0,0,199419362228,2071613750,421794,2265,877,370,392045,0 110,2,2024-09-07 08:51:51:307,305434,305434,0,0,12977448,0,3264 110,3,2024-09-07 08:51:50:703,1,241,1,0,406,3228,241,0 111,0,2024-09-07 08:51:51:422,60831,0.4,60351,0.5,120470,0.3,161522,1.75 111,1,2024-09-07 08:51:51:010,425264,425264,0,0,199501128131,2068373074,423275,1653,336,382,391690,0 111,2,2024-09-07 08:51:51:116,305135,305135,0,0,12510257,0,2763 111,3,2024-09-07 08:51:50:921,1,241,17,0,379,3357,241,0 112,0,2024-09-07 08:51:50:929,60601,0.3,60515,0.4,121033,0.2,161081,1.50 112,1,2024-09-07 08:51:50:828,425657,425657,0,0,198276990185,2057688444,423239,1990,428,380,391580,0 112,2,2024-09-07 08:51:51:148,305068,305067,1,0,12823751,0,5036 112,3,2024-09-07 08:51:50:597,1,241,0,0,282,2667,241,0 113,0,2024-09-07 08:51:50:879,60219,0.3,60149,0.5,120803,0.3,161447,1.75 113,1,2024-09-07 08:51:51:686,426143,426143,0,0,199792575487,2063305114,423646,2008,489,366,391661,0 113,2,2024-09-07 08:51:51:308,305363,305363,0,0,11614020,0,3813 113,3,2024-09-07 08:51:50:684,1,241,1,0,340,3580,241,0 114,0,2024-09-07 08:51:50:910,60489,0.4,60966,0.5,120858,0.3,162173,1.75 114,1,2024-09-07 08:51:50:717,424266,424266,0,0,198291384489,2068120152,419459,3218,1589,381,391556,0 114,2,2024-09-07 08:51:50:887,304808,304807,1,0,12730652,0,5069 114,3,2024-09-07 08:51:51:278,1,241,1,0,395,2669,241,0 115,0,2024-09-07 08:51:50:557,60392,0.3,60714,0.4,121403,0.2,161525,1.75 115,1,2024-09-07 08:51:50:570,424168,424168,0,0,198878724990,2070688612,419809,3525,834,382,391602,0 115,2,2024-09-07 08:51:51:131,306060,306060,0,0,11064660,0,2152 115,3,2024-09-07 08:51:51:004,1,241,1,0,159,1358,241,0 116,0,2024-09-07 08:51:51:708,60174,0.6,60063,0.8,120237,0.6,160629,2.00 116,1,2024-09-07 08:51:50:803,421727,421727,0,0,197304339329,2090257830,415335,4337,2055,380,391782,0 116,2,2024-09-07 08:51:51:758,304191,304191,0,0,15811705,0,3529 116,3,2024-09-07 08:51:50:917,1,241,5,0,415,3525,241,0 117,0,2024-09-07 08:51:50:958,60261,0.8,60058,0.9,120268,0.9,161177,2.00 117,1,2024-09-07 08:51:51:592,423619,423619,0,0,197110166333,2065356533,418922,4217,480,370,392033,0 117,2,2024-09-07 08:51:51:118,305896,305896,0,0,13026544,0,3700 117,3,2024-09-07 08:51:51:061,1,241,1,0,490,3994,241,0 118,0,2024-09-07 08:51:51:781,58564,0.7,60171,0.8,122727,0.7,160663,2.00 118,1,2024-09-07 08:51:50:586,423238,423238,0,0,198043692703,2084529957,416874,4932,1432,366,391736,0 118,2,2024-09-07 08:51:51:590,304180,304180,0,0,14142094,0,2781 118,3,2024-09-07 08:51:51:764,1,241,5,0,235,2812,241,0 119,0,2024-09-07 08:51:51:344,59905,1.0,60394,0.9,120860,1.2,160492,2.25 119,1,2024-09-07 08:51:50:549,424604,424604,0,0,198468576896,2075261719,419953,4129,522,367,391641,0 119,2,2024-09-07 08:51:51:272,304918,304918,0,0,13362443,0,4174 119,3,2024-09-07 08:51:51:333,1,241,5,0,443,3450,241,0 120,0,2024-09-07 08:51:51:556,60074,0.5,59764,0.8,120009,0.5,159979,2.00 120,1,2024-09-07 08:51:50:864,423733,423733,0,0,198553018619,2084417471,419058,4325,350,368,391961,0 120,2,2024-09-07 08:51:50:774,303818,303817,1,0,15891160,0,5281 120,3,2024-09-07 08:51:51:301,1,241,4,0,241,3201,241,0 121,0,2024-09-07 08:51:51:697,60211,1.0,60349,1.0,120685,1.3,160435,2.00 121,1,2024-09-07 08:51:51:661,424024,424024,0,0,198157428823,2075733568,419433,4177,414,367,391840,0 121,2,2024-09-07 08:51:51:137,303551,303551,0,0,15227333,0,4127 121,3,2024-09-07 08:51:50:731,1,241,35,0,269,2830,241,0 122,0,2024-09-07 08:51:51:762,60054,0.9,58606,1.0,122866,1.1,161880,2.25 122,1,2024-09-07 08:51:50:863,422692,422692,0,0,198015316179,2080823226,416632,5244,816,366,392130,0 122,2,2024-09-07 08:51:51:413,304073,304000,73,0,17635633,0,5989 122,3,2024-09-07 08:51:50:601,1,241,2,0,411,4876,241,0 123,0,2024-09-07 08:51:50:953,60071,1.0,58714,0.9,122436,1.2,160296,2.25 123,1,2024-09-07 08:51:50:571,423226,423226,0,0,198053540099,2092108061,414557,6953,1716,369,391823,0 123,2,2024-09-07 08:51:51:019,302789,302788,1,0,14404255,0,5215 123,3,2024-09-07 08:51:51:141,1,241,1,0,168,2960,241,0 124,0,2024-09-07 08:51:50:955,62383,0.3,62346,0.5,117685,0.3,161260,1.75 124,1,2024-09-07 08:51:51:022,425120,425120,0,0,197991601578,2058299251,422284,2474,362,367,392178,0 124,2,2024-09-07 08:51:51:014,304902,304902,0,0,11815480,0,3101 124,3,2024-09-07 08:51:50:758,1,241,0,0,490,2752,241,0 125,0,2024-09-07 08:51:51:426,60661,0.3,60475,0.5,121474,0.3,161388,1.75 125,1,2024-09-07 08:51:50:865,424040,424040,0,0,198419461225,2070972846,420953,2696,391,383,391702,0 125,2,2024-09-07 08:51:51:124,305620,305620,0,0,12869977,0,4534 125,3,2024-09-07 08:51:51:129,1,241,1,0,709,3503,241,0 126,0,2024-09-07 08:51:51:439,60649,0.5,62354,0.7,119125,0.5,161776,1.75 126,1,2024-09-07 08:51:50:557,425489,425489,0,0,198726507476,2060784950,422810,2417,262,365,391987,0 126,2,2024-09-07 08:51:50:611,305974,305974,0,0,13128612,0,3186 126,3,2024-09-07 08:51:50:916,1,241,0,0,183,3285,241,0 127,0,2024-09-07 08:51:51:618,60353,0.4,60635,0.5,120770,0.4,161025,1.75 127,1,2024-09-07 08:51:50:572,424632,424632,0,0,198576074984,2058890898,421689,2790,153,365,391816,0 127,2,2024-09-07 08:51:50:686,305016,305016,0,0,11799781,0,2264 127,3,2024-09-07 08:51:51:271,1,241,2,0,968,2946,241,0 128,0,2024-09-07 08:51:51:551,60775,0.3,60820,0.5,121410,0.2,161500,1.50 128,1,2024-09-07 08:51:51:614,424336,424336,0,0,198602843541,2060991942,422165,2014,157,367,391798,0 128,2,2024-09-07 08:51:51:385,305627,305627,0,0,11803270,0,2434 128,3,2024-09-07 08:51:50:773,1,241,2,0,1082,5354,241,0 129,0,2024-09-07 08:51:51:001,60938,0.3,60603,0.4,121175,0.2,160931,1.50 129,1,2024-09-07 08:51:50:571,422272,422272,0,0,198089969513,2078084071,418125,3219,928,379,391835,0 129,2,2024-09-07 08:51:50:706,306102,306102,0,0,12746227,0,4031 129,3,2024-09-07 08:51:50:695,1,241,2,0,469,3308,241,0 130,0,2024-09-07 08:51:51:739,60718,0.5,60440,0.6,121192,0.6,161868,1.75 130,1,2024-09-07 08:51:50:587,425017,425017,0,0,199381085342,2075169614,422582,2357,78,381,391825,0 130,2,2024-09-07 08:51:51:130,305176,305176,0,0,12396933,0,4067 130,3,2024-09-07 08:51:51:298,1,241,0,0,450,3336,241,0 131,0,2024-09-07 08:51:51:926,60028,0.4,60326,0.5,121518,0.4,161064,1.75 131,1,2024-09-07 08:51:51:858,425262,425262,0,0,198783334108,2073621835,422218,2586,458,381,391865,0 131,2,2024-09-07 08:51:50:567,306767,306767,0,0,11333262,0,2415 131,3,2024-09-07 08:51:51:689,1,241,1,0,392,2498,241,0 132,0,2024-09-07 08:51:51:422,60164,0.5,60811,0.7,121459,0.5,161597,2.00 132,1,2024-09-07 08:51:50:587,422344,422344,0,0,197603678542,2086813935,415340,5751,1253,381,392097,0 132,2,2024-09-07 08:51:50:703,305204,305204,0,0,15139563,0,4606 132,3,2024-09-07 08:51:51:689,1,241,0,0,356,3497,241,0 133,0,2024-09-07 08:51:51:535,58953,0.4,60350,0.6,123490,0.3,160319,1.75 133,1,2024-09-07 08:51:50:592,422552,422552,0,0,198371091839,2089421172,417078,4807,667,383,391914,0 133,2,2024-09-07 08:51:51:092,303808,303808,0,0,16123220,0,4315 133,3,2024-09-07 08:51:51:301,1,241,3,0,276,2468,241,0 134,0,2024-09-07 08:51:50:936,60368,0.5,60295,0.6,121063,0.4,161117,2.00 134,1,2024-09-07 08:51:50:585,422684,422684,0,0,197171750519,2073802416,416239,4975,1470,366,391718,0 134,2,2024-09-07 08:51:51:762,303960,303960,0,0,13595863,0,3847 134,3,2024-09-07 08:51:50:751,1,241,1,0,739,3686,241,0 135,0,2024-09-07 08:51:51:107,58372,0.9,58307,1.0,123715,1.1,160287,2.25 135,1,2024-09-07 08:51:51:596,422166,422166,0,0,198491449778,2090653214,415972,5198,996,380,391805,0 135,2,2024-09-07 08:51:50:702,304950,304950,0,0,14994080,0,3981 135,3,2024-09-07 08:51:51:002,1,241,7,0,299,1839,241,0 136,0,2024-09-07 08:51:51:622,60579,0.7,60508,0.8,120966,0.7,160868,2.25 136,1,2024-09-07 08:51:51:456,422661,422661,0,0,197862398581,2080976356,417144,4933,584,382,391685,0 136,2,2024-09-07 08:51:51:147,305199,305199,0,0,14332040,0,3506 136,3,2024-09-07 08:51:51:107,1,241,22,0,126,1880,241,0 137,0,2024-09-07 08:51:50:933,62189,0.6,60450,0.7,119394,0.6,161559,2.00 137,1,2024-09-07 08:51:50:584,422897,422897,0,0,198227014374,2082939948,415953,5527,1417,366,391708,0 137,2,2024-09-07 08:51:51:719,304019,304019,0,0,15837199,0,3185 137,3,2024-09-07 08:51:50:773,1,241,0,0,382,3105,241,0 138,0,2024-09-07 08:51:51:751,59976,0.8,59999,0.9,120737,0.9,160241,2.00 138,1,2024-09-07 08:51:51:689,422953,422953,0,0,198174593184,2083893208,415991,5831,1131,368,391954,0 138,2,2024-09-07 08:51:50:587,304800,304800,0,0,14636800,0,4988 138,3,2024-09-07 08:51:50:619,1,241,2,0,1160,3831,241,0 139,0,2024-09-07 08:51:51:379,59490,2.1,59747,1.3,119829,3.1,160271,2.50 139,1,2024-09-07 08:51:50:573,421354,421354,0,0,196797002102,2093476189,412870,6372,2112,381,391892,0 139,2,2024-09-07 08:51:50:704,302764,302764,0,0,15244114,0,3097 139,3,2024-09-07 08:51:51:664,1,241,10,0,244,2527,241,0 140,0,2024-09-07 08:51:51:592,60726,0.3,60179,0.5,120976,0.3,160791,1.75 140,1,2024-09-07 08:51:51:554,425945,425945,0,0,199475152863,2056775191,423967,1737,241,365,391606,0 140,2,2024-09-07 08:51:50:699,305400,305400,0,0,12076413,0,3388 140,3,2024-09-07 08:51:50:767,1,241,1,0,247,2141,241,0 141,0,2024-09-07 08:51:51:714,60502,0.3,62179,0.4,118934,0.2,161601,1.50 141,1,2024-09-07 08:51:50:869,425150,425150,0,0,198968190760,2065232210,422580,2162,408,379,391614,0 141,2,2024-09-07 08:51:51:689,305457,305457,0,0,11709596,0,2342 141,3,2024-09-07 08:51:51:045,1,241,0,0,202,2307,241,0 142,0,2024-09-07 08:51:51:310,61181,0.3,60582,0.4,120432,0.2,161360,1.50 142,1,2024-09-07 08:51:50:585,424678,424678,0,0,198470273787,2070272982,421889,2524,265,382,392102,0 142,2,2024-09-07 08:51:51:309,304752,304720,32,0,13474986,0,6028 142,3,2024-09-07 08:51:51:747,1,241,3,0,484,3087,241,0 143,0,2024-09-07 08:51:51:379,60447,0.5,60510,0.6,121419,0.5,161305,1.75 143,1,2024-09-07 08:51:50:557,424741,424741,0,0,198122465803,2055798011,422028,2618,95,367,391651,0 143,2,2024-09-07 08:51:50:773,304089,304089,0,0,12634921,0,2669 143,3,2024-09-07 08:51:51:147,1,241,1,0,303,3209,241,0 144,0,2024-09-07 08:51:51:514,58233,0.7,59798,0.9,121747,0.7,160403,2.00 144,1,2024-09-07 08:51:50:566,422535,422535,0,0,197536589575,2075411790,418534,3079,922,381,391649,0 144,2,2024-09-07 08:51:51:764,305842,305842,0,0,12114249,0,3473 144,3,2024-09-07 08:51:51:749,1,241,1,0,249,2881,241,0 145,0,2024-09-07 08:51:51:382,58175,0.6,58131,0.8,123312,0.6,159673,2.25 145,1,2024-09-07 08:51:50:557,422025,422025,0,0,197826501393,2082152853,416531,4671,823,382,391698,0 145,2,2024-09-07 08:51:51:446,304250,304250,0,0,14322824,0,3903 145,3,2024-09-07 08:51:50:899,1,241,1,0,622,3985,241,0 146,0,2024-09-07 08:51:51:595,60191,0.5,59811,0.7,120481,0.5,159903,2.00 146,1,2024-09-07 08:51:51:599,423174,423174,0,0,198077593704,2089783002,414927,6401,1846,368,391770,0 146,2,2024-09-07 08:51:51:698,304248,304248,0,0,14249592,0,2730 146,3,2024-09-07 08:51:51:282,1,241,1,0,1520,5886,241,0 147,0,2024-09-07 08:51:51:725,60143,0.6,60181,0.8,119375,0.6,160841,2.25 147,1,2024-09-07 08:51:51:413,424944,424944,0,0,198576933362,2067502336,420847,3512,585,368,391791,0 147,2,2024-09-07 08:51:51:009,305696,305696,0,0,12097646,0,2789 147,3,2024-09-07 08:51:50:916,1,241,1,0,730,3891,241,0 0,0,2024-09-07 08:52:01:719,58565,0.5,58569,0.7,124237,0.4,160610,1.75 0,1,2024-09-07 08:52:00:800,425634,425634,0,0,199210127727,2084966154,422424,3006,204,370,391772,0 0,2,2024-09-07 08:52:01:067,305710,305710,0,0,12877954,0,4480 0,3,2024-09-07 08:52:00:989,1,242,1,0,319,3358,242,0 1,0,2024-09-07 08:52:01:765,60538,0.9,60061,0.9,120916,1.1,160811,2.00 1,1,2024-09-07 08:52:00:560,425309,425309,0,0,198675827299,2083516410,420815,3441,1053,371,391857,0 1,2,2024-09-07 08:52:00:646,305264,305264,0,0,11882856,0,3267 1,3,2024-09-07 08:52:01:302,1,242,1,0,262,2913,242,0 2,0,2024-09-07 08:52:01:576,60776,0.7,61086,0.8,121328,0.9,162767,2.00 2,1,2024-09-07 08:52:00:859,425737,425737,0,0,199202686833,2070302870,423633,1886,218,380,391745,0 2,2,2024-09-07 08:52:01:274,306500,306500,0,0,12430411,0,3594 2,3,2024-09-07 08:52:00:694,1,242,3,0,357,2264,242,0 3,0,2024-09-07 08:52:01:745,60438,0.5,60492,0.7,120343,0.5,160230,2.00 3,1,2024-09-07 08:52:01:618,425538,425538,0,0,199202507068,2076829833,421699,3377,462,380,391523,0 3,2,2024-09-07 08:52:01:155,306071,306048,23,0,12695623,0,5851 3,3,2024-09-07 08:52:01:758,1,242,1,0,103,1506,242,0 4,0,2024-09-07 08:52:01:809,58731,0.4,60389,0.5,122898,0.3,160767,1.75 4,1,2024-09-07 08:52:00:619,424951,424951,0,0,198641242077,2088099640,420237,3926,788,371,391846,0 4,2,2024-09-07 08:52:01:021,304970,304970,0,0,14910614,0,4528 4,3,2024-09-07 08:52:01:026,1,242,1,0,448,3760,242,0 5,0,2024-09-07 08:52:01:368,60712,0.4,61069,0.5,121234,0.4,161520,1.75 5,1,2024-09-07 08:52:00:789,425155,425155,0,0,199227217707,2096718551,419664,4379,1112,368,392005,0 5,2,2024-09-07 08:52:01:852,304706,304706,0,0,13583844,0,2432 5,3,2024-09-07 08:52:01:734,1,242,0,0,457,3801,242,0 6,0,2024-09-07 08:52:00:915,61386,0.6,60687,0.8,121327,0.6,162735,2.00 6,1,2024-09-07 08:52:00:747,425476,425476,0,0,198891022155,2080377296,420807,3825,844,379,391694,0 6,2,2024-09-07 08:52:01:116,305640,305640,0,0,13560054,0,4816 6,3,2024-09-07 08:52:01:274,1,242,1,0,340,2926,242,0 7,0,2024-09-07 08:52:01:536,60190,0.6,60378,0.7,120407,0.5,160205,2.00 7,1,2024-09-07 08:52:00:857,425199,425199,0,0,199019803483,2090198891,419299,4897,1003,382,391747,0 7,2,2024-09-07 08:52:00:777,305216,305216,0,0,13374649,0,4791 7,3,2024-09-07 08:52:00:855,1,242,0,0,398,3100,242,0 8,0,2024-09-07 08:52:01:349,60829,0.4,60757,0.5,121081,0.3,161857,1.75 8,1,2024-09-07 08:52:01:016,423786,423786,0,0,198528954948,2096206307,415724,6053,2009,367,391956,0 8,2,2024-09-07 08:52:00:793,302919,302919,0,0,15932621,0,3220 8,3,2024-09-07 08:52:00:602,1,242,19,0,357,3653,242,0 9,0,2024-09-07 08:52:01:123,60739,0.3,58995,0.5,123190,0.3,161562,1.75 9,1,2024-09-07 08:52:00:569,424600,424600,0,0,199073927532,2100363405,418118,4942,1540,370,392001,0 9,2,2024-09-07 08:52:01:097,305829,305829,0,0,14121151,0,3360 9,3,2024-09-07 08:52:01:758,1,242,1,0,496,3614,242,0 10,0,2024-09-07 08:52:01:603,60938,0.3,60414,0.5,121547,0.2,162500,1.75 10,1,2024-09-07 08:52:00:584,425479,425479,0,0,198734960929,2085716906,419119,5432,928,381,391741,0 10,2,2024-09-07 08:52:00:762,304964,304964,0,0,15564395,0,4264 10,3,2024-09-07 08:52:00:870,1,242,40,0,177,2074,242,0 11,0,2024-09-07 08:52:01:013,59831,0.5,58199,0.7,121823,0.4,161454,1.75 11,1,2024-09-07 08:52:00:571,425181,425181,0,0,198563892942,2091660331,416993,6207,1981,383,391537,0 11,2,2024-09-07 08:52:01:123,306117,306117,0,0,14599516,0,4130 11,3,2024-09-07 08:52:01:298,1,242,3,0,843,3971,242,0 12,0,2024-09-07 08:52:00:949,61152,0.4,60927,0.5,121942,0.3,162321,1.75 12,1,2024-09-07 08:52:00:937,425488,425488,0,0,198673723371,2072781332,421977,3090,421,370,391870,0 12,2,2024-09-07 08:52:01:547,307042,307042,0,0,13713298,0,3469 12,3,2024-09-07 08:52:01:058,1,242,1,0,386,4137,242,0 13,0,2024-09-07 08:52:01:329,60923,0.3,60817,0.5,121260,0.3,161401,1.50 13,1,2024-09-07 08:52:01:534,425627,425627,0,0,198876324984,2087895804,422080,3006,541,382,391717,0 13,2,2024-09-07 08:52:00:604,306601,306601,0,0,12880505,0,3287 13,3,2024-09-07 08:52:01:763,1,242,1,0,467,3879,242,0 14,0,2024-09-07 08:52:00:567,60797,0.4,61292,0.6,121321,0.3,161869,1.75 14,1,2024-09-07 08:52:01:562,428132,428132,0,0,200202822144,2073214926,425301,2642,189,364,391571,0 14,2,2024-09-07 08:52:00:765,305075,305075,0,0,12855473,0,2896 14,3,2024-09-07 08:52:01:115,1,242,1,0,1168,3426,242,0 15,0,2024-09-07 08:52:01:553,60552,0.5,60843,0.7,121550,0.5,162126,2.00 15,1,2024-09-07 08:52:01:610,426270,426270,0,0,199634107905,2076116279,423751,2341,178,381,391619,0 15,2,2024-09-07 08:52:01:005,306982,306982,0,0,10917202,0,3043 15,3,2024-09-07 08:52:01:407,1,242,4,0,1126,5206,242,0 16,0,2024-09-07 08:52:00:949,60528,0.8,60733,0.9,120761,0.8,161164,2.25 16,1,2024-09-07 08:52:00:583,426334,426334,0,0,198894880511,2080079410,422775,3260,299,370,391756,0 16,2,2024-09-07 08:52:01:441,305468,305468,0,0,13576863,0,4719 16,3,2024-09-07 08:52:01:142,1,242,19,0,317,3441,242,0 17,0,2024-09-07 08:52:01:776,62395,0.5,60891,0.7,119237,0.4,161709,1.75 17,1,2024-09-07 08:52:00:683,424938,424938,0,0,198667545535,2087555514,420097,3737,1104,368,391845,0 17,2,2024-09-07 08:52:01:679,308648,308648,0,0,12731537,0,2857 17,3,2024-09-07 08:52:00:574,1,242,3,0,268,3972,242,0 18,0,2024-09-07 08:52:00:944,59862,0.7,60093,0.8,120041,0.7,159896,2.25 18,1,2024-09-07 08:52:01:645,426514,426514,0,0,200299168734,2076981481,424007,2266,241,367,391649,0 18,2,2024-09-07 08:52:01:755,306759,306759,0,0,12410638,0,3541 18,3,2024-09-07 08:52:00:896,1,242,1,0,163,2512,242,0 19,0,2024-09-07 08:52:01:549,60252,0.7,60947,0.8,120517,0.7,160756,2.25 19,1,2024-09-07 08:52:00:570,426200,426200,0,0,200203219713,2082376073,421543,3871,786,367,391777,0 19,2,2024-09-07 08:52:01:752,307577,307577,0,0,11415986,0,3988 19,3,2024-09-07 08:52:01:129,1,242,1,0,524,1875,242,0 20,0,2024-09-07 08:52:01:360,60092,0.7,60173,0.8,120586,0.8,160465,2.25 20,1,2024-09-07 08:52:00:574,425042,425042,0,0,199370688924,2087057276,421227,3417,398,369,391886,0 20,2,2024-09-07 08:52:00:928,306032,306032,0,0,13046219,0,3721 20,3,2024-09-07 08:52:00:600,1,242,1,0,414,4568,242,0 21,0,2024-09-07 08:52:01:139,60796,0.5,61050,0.7,121680,0.4,161445,2.00 21,1,2024-09-07 08:52:01:538,424154,424154,0,0,197852999074,2085455591,418436,4461,1257,368,391962,0 21,2,2024-09-07 08:52:01:069,305238,305238,0,0,14798684,0,3747 21,3,2024-09-07 08:52:01:416,1,242,1,0,103,2590,242,0 22,0,2024-09-07 08:52:01:720,60542,0.6,60647,0.7,120902,0.6,161159,2.25 22,1,2024-09-07 08:52:01:025,424664,424664,0,0,198377596848,2091603168,417434,5588,1642,382,391667,0 22,2,2024-09-07 08:52:00:760,306006,306006,0,0,13014002,0,3134 22,3,2024-09-07 08:52:01:070,1,242,7,0,228,2297,242,0 23,0,2024-09-07 08:52:01:369,60555,0.6,60301,0.8,121028,0.6,161423,2.25 23,1,2024-09-07 08:52:01:006,425229,425229,0,0,199956490206,2102023717,417920,4758,2551,365,391690,0 23,2,2024-09-07 08:52:01:096,305970,305970,0,0,12614144,0,3010 23,3,2024-09-07 08:52:01:754,1,242,67,0,645,3263,242,0 24,0,2024-09-07 08:52:00:826,61093,0.5,60937,0.6,122276,0.4,163013,1.75 24,1,2024-09-07 08:52:00:583,424887,424887,0,0,198092864997,2080848104,419278,4130,1479,368,391987,0 24,2,2024-09-07 08:52:01:069,304744,304744,0,0,15097759,0,3607 24,3,2024-09-07 08:52:01:701,1,242,3,0,468,3694,242,0 25,0,2024-09-07 08:52:01:357,62190,0.5,60640,0.7,118872,0.5,162641,2.00 25,1,2024-09-07 08:52:00:570,425736,425736,0,0,199179036223,2086140834,421450,3732,554,371,391788,0 25,2,2024-09-07 08:52:01:610,305478,305478,0,0,15518301,0,3978 25,3,2024-09-07 08:52:01:004,1,242,2,0,255,2666,242,0 26,0,2024-09-07 08:52:01:737,60170,0.4,58899,0.6,123388,0.3,160650,1.75 26,1,2024-09-07 08:52:01:545,425290,425290,0,0,198728847996,2087441356,418325,5749,1216,381,391748,0 26,2,2024-09-07 08:52:00:861,306158,306158,0,0,15184041,0,2809 26,3,2024-09-07 08:52:01:716,1,242,1,0,796,3235,242,0 27,0,2024-09-07 08:52:01:727,60943,0.4,60820,0.6,120578,0.4,161323,2.00 27,1,2024-09-07 08:52:01:680,427261,427261,0,0,199912540840,2081136051,423812,2857,592,381,391558,0 27,2,2024-09-07 08:52:00:867,303683,303618,65,0,15315129,0,5699 27,3,2024-09-07 08:52:01:019,1,242,0,0,564,2695,242,0 28,0,2024-09-07 08:52:01:388,60827,0.5,60517,0.7,121651,0.5,162651,2.00 28,1,2024-09-07 08:52:00:799,426613,426613,0,0,199173979721,2074647650,423774,2368,471,383,391646,0 28,2,2024-09-07 08:52:01:764,306628,306628,0,0,12112298,0,2915 28,3,2024-09-07 08:52:01:779,1,242,1,0,502,2552,242,0 29,0,2024-09-07 08:52:01:355,62064,0.4,60718,0.7,118614,0.4,162084,1.75 29,1,2024-09-07 08:52:01:566,427350,427350,0,0,199647155324,2068439536,424127,2658,565,369,391621,0 29,2,2024-09-07 08:52:00:861,305963,305963,0,0,11583147,0,4018 29,3,2024-09-07 08:52:00:963,1,242,1,0,115,1771,242,0 30,0,2024-09-07 08:52:01:501,60130,0.5,58530,0.7,122297,0.5,159960,2.00 30,1,2024-09-07 08:52:00:571,427271,427271,0,0,199724896839,2070545762,424942,2036,293,382,391672,0 30,2,2024-09-07 08:52:01:274,306112,306112,0,0,11466770,0,3161 30,3,2024-09-07 08:52:00:581,1,242,1,0,195,1878,242,0 31,0,2024-09-07 08:52:01:762,60013,0.4,60344,0.6,120990,0.4,160613,2.00 31,1,2024-09-07 08:52:00:573,428513,428513,0,0,200846483603,2058657073,427125,1169,219,356,391712,0 31,2,2024-09-07 08:52:01:275,305368,305368,0,0,13011493,0,3525 31,3,2024-09-07 08:52:01:709,1,242,0,0,220,1906,242,0 32,0,2024-09-07 08:52:01:439,60691,0.4,61254,0.6,122207,0.3,163298,1.75 32,1,2024-09-07 08:52:00:881,427238,427238,0,0,199562633389,2071524040,425283,1676,279,381,391622,0 32,2,2024-09-07 08:52:00:935,306746,306746,0,0,11353527,0,3155 32,3,2024-09-07 08:52:01:014,1,242,1,0,227,1999,242,0 33,0,2024-09-07 08:52:01:507,60918,0.3,60146,0.5,121108,0.2,161160,1.75 33,1,2024-09-07 08:52:00:589,427346,427346,0,0,200084436788,2068353963,424766,2406,174,369,391730,0 33,2,2024-09-07 08:52:00:760,306957,306924,33,0,13123207,0,7012 33,3,2024-09-07 08:52:00:896,1,242,7,0,329,2891,242,0 34,0,2024-09-07 08:52:00:934,60558,0.3,62313,0.5,119586,0.2,160151,1.75 34,1,2024-09-07 08:52:01:044,428036,428036,0,0,200524883147,2063288563,426940,1089,7,367,391562,0 34,2,2024-09-07 08:52:00:770,306142,306142,0,0,12403200,0,3577 34,3,2024-09-07 08:52:01:690,1,242,1,0,299,2036,242,0 35,0,2024-09-07 08:52:00:863,60203,0.3,60641,0.5,121814,0.3,161965,1.75 35,1,2024-09-07 08:52:01:068,426827,426827,0,0,199577641258,2071552467,423483,2564,780,384,391589,0 35,2,2024-09-07 08:52:01:583,306851,306851,0,0,11888250,0,2653 35,3,2024-09-07 08:52:00:907,1,242,1,0,418,2955,242,0 36,0,2024-09-07 08:52:01:567,60993,0.6,60941,0.8,121980,0.6,162433,2.25 36,1,2024-09-07 08:52:00:584,426059,426059,0,0,199915315928,2093101237,420079,4401,1579,366,391759,0 36,2,2024-09-07 08:52:01:755,305059,305059,0,0,13844986,0,3875 36,3,2024-09-07 08:52:00:865,1,242,1,0,416,3975,242,0 37,0,2024-09-07 08:52:01:368,60077,0.6,60043,0.7,120292,0.5,161147,2.00 37,1,2024-09-07 08:52:00:568,425112,425105,0,7,198696526737,2084888853,419098,3940,2067,365,391560,0 37,2,2024-09-07 08:52:01:142,305200,305185,15,0,14158400,0,5815 37,3,2024-09-07 08:52:01:768,1,242,84,0,888,4417,242,0 38,0,2024-09-07 08:52:01:442,60135,0.5,58461,0.7,122374,0.4,160045,2.00 38,1,2024-09-07 08:52:01:605,426345,426345,0,0,199208102333,2081960500,421074,4596,675,368,391821,0 38,2,2024-09-07 08:52:00:760,306200,306153,47,0,14583120,0,6710 38,3,2024-09-07 08:52:01:004,1,242,1,0,689,3572,242,0 39,0,2024-09-07 08:52:01:763,61983,0.6,60822,0.7,118095,0.6,161684,2.00 39,1,2024-09-07 08:52:00:715,425884,425884,0,0,198785553341,2077198015,420331,4651,902,365,391594,0 39,2,2024-09-07 08:52:01:417,305878,305878,0,0,12657016,0,2689 39,3,2024-09-07 08:52:00:712,1,242,2,0,324,3400,242,0 40,0,2024-09-07 08:52:01:495,60117,0.7,60656,1.0,121353,0.7,161926,2.75 40,1,2024-09-07 08:52:00:581,425783,425783,0,0,198511399475,2083129192,418783,5557,1443,368,391591,0 40,2,2024-09-07 08:52:01:304,304792,304791,1,0,15629838,0,5137 40,3,2024-09-07 08:52:01:142,1,242,1,0,398,3008,242,0 41,0,2024-09-07 08:52:01:028,59660,2.1,61086,1.5,116559,3.3,159735,4.00 41,1,2024-09-07 08:52:00:770,425872,425872,0,0,199550165036,2088479422,420337,4901,634,370,391742,0 41,2,2024-09-07 08:52:00:760,304996,304996,0,0,14837731,0,3356 41,3,2024-09-07 08:52:01:679,1,242,1,0,366,2771,242,0 42,0,2024-09-07 08:52:01:473,59799,0.9,60124,1.1,120289,1.1,159006,2.75 42,1,2024-09-07 08:52:01:440,424413,424413,0,0,198886794316,2092920750,417255,5706,1452,380,391675,0 42,2,2024-09-07 08:52:01:153,306270,306270,0,0,14123863,0,3568 42,3,2024-09-07 08:52:01:013,1,242,1,0,446,2054,242,0 43,0,2024-09-07 08:52:00:924,60246,0.7,58739,0.9,122612,0.7,161145,2.25 43,1,2024-09-07 08:52:00:581,425221,425221,0,0,199293374917,2084809856,418961,4931,1329,366,391696,0 43,2,2024-09-07 08:52:01:735,306106,306106,0,0,13600154,0,3812 43,3,2024-09-07 08:52:01:755,1,242,24,0,467,3052,242,0 44,0,2024-09-07 08:52:00:867,60497,0.5,60941,0.7,121548,0.4,161992,1.75 44,1,2024-09-07 08:52:00:563,427107,427107,0,0,198927801846,2054646684,424552,2123,432,356,391809,0 44,2,2024-09-07 08:52:01:274,304824,304824,0,0,11488195,0,2231 44,3,2024-09-07 08:52:01:095,1,242,0,0,817,2911,242,0 45,0,2024-09-07 08:52:01:760,59710,0.7,58407,0.9,122582,0.8,162027,2.00 45,1,2024-09-07 08:52:01:005,426721,426721,0,0,200301104442,2075976438,425071,1638,12,382,391917,0 45,2,2024-09-07 08:52:01:274,307077,307077,0,0,12181079,0,3596 45,3,2024-09-07 08:52:00:934,1,242,2,0,271,2659,242,0 46,0,2024-09-07 08:52:00:983,60082,0.6,59955,0.8,120190,0.7,158852,2.00 46,1,2024-09-07 08:52:00:575,427996,427996,0,0,199671038262,2062025187,425650,2072,274,366,391539,0 46,2,2024-09-07 08:52:00:600,306437,306437,0,0,11767003,0,2920 46,3,2024-09-07 08:52:01:131,1,242,0,0,908,3752,242,0 47,0,2024-09-07 08:52:01:106,60871,0.4,60711,0.6,122043,0.4,161278,1.75 47,1,2024-09-07 08:52:00:577,427972,427972,0,0,199588604945,2060860759,425650,2188,134,366,391605,0 47,2,2024-09-07 08:52:00:908,307694,307694,0,0,11845547,0,2558 47,3,2024-09-07 08:52:01:114,1,242,1,0,529,2257,242,0 48,0,2024-09-07 08:52:01:499,60908,0.3,60527,0.4,120603,0.2,160711,1.50 48,1,2024-09-07 08:52:01:026,427123,427123,0,0,199475190361,2073479370,424023,2801,299,384,391710,0 48,2,2024-09-07 08:52:00:699,306135,306135,0,0,11473308,0,3031 48,3,2024-09-07 08:52:00:756,1,242,1,0,339,2086,242,0 49,0,2024-09-07 08:52:01:714,62448,0.4,61362,0.5,118764,0.3,162968,1.75 49,1,2024-09-07 08:52:01:021,425781,425781,0,0,199877156937,2084943635,421924,2676,1181,382,391610,0 49,2,2024-09-07 08:52:01:797,307170,307170,0,0,12058636,0,3900 49,3,2024-09-07 08:52:01:420,1,242,3,0,408,2754,242,0 50,0,2024-09-07 08:52:01:508,60360,0.3,59800,0.5,120395,0.2,160399,1.75 50,1,2024-09-07 08:52:01:010,427914,427914,0,0,200568770493,2075918867,425077,2527,310,368,391530,0 50,2,2024-09-07 08:52:01:070,306486,306486,0,0,11360193,0,2263 50,3,2024-09-07 08:52:01:291,1,242,1,0,335,2042,242,0 51,0,2024-09-07 08:52:01:698,62150,0.3,60806,0.5,118524,0.2,161723,1.75 51,1,2024-09-07 08:52:01:681,427684,427684,0,0,200903825284,2075078786,424889,1856,939,365,391706,0 51,2,2024-09-07 08:52:01:316,306382,306382,0,0,10780285,0,3337 51,3,2024-09-07 08:52:01:031,1,242,1,0,678,2008,242,0 52,0,2024-09-07 08:52:01:424,61366,0.4,60879,0.6,121576,0.4,161744,2.00 52,1,2024-09-07 08:52:00:575,425770,425770,0,0,198339887716,2082992407,418613,5903,1254,368,391722,0 52,2,2024-09-07 08:52:01:755,304429,304391,38,0,14788089,0,6742 52,3,2024-09-07 08:52:00:674,1,242,21,0,1782,4433,242,0 53,0,2024-09-07 08:52:01:737,60128,0.7,58462,0.8,122307,0.8,160567,2.50 53,1,2024-09-07 08:52:00:773,424687,424687,0,0,198914400686,2092149357,417363,4968,2356,367,391702,0 53,2,2024-09-07 08:52:01:299,305534,305534,0,0,12266462,0,2727 53,3,2024-09-07 08:52:00:707,1,242,9,0,271,2285,242,0 54,0,2024-09-07 08:52:01:623,59631,0.9,60154,0.9,119100,0.6,160152,2.75 54,1,2024-09-07 08:52:00:583,425837,425837,0,0,199726164262,2079684269,421101,4139,597,367,391659,0 54,2,2024-09-07 08:52:00:866,305866,305860,6,0,13615204,0,5382 54,3,2024-09-07 08:52:00:764,1,242,1,0,676,3739,242,0 55,0,2024-09-07 08:52:01:764,58411,0.7,60518,0.8,121766,0.6,158875,2.50 55,1,2024-09-07 08:52:00:768,425246,425246,0,0,198784296682,2072569296,419696,4763,787,365,391731,0 55,2,2024-09-07 08:52:00:732,305635,305635,0,0,13824155,0,3275 55,3,2024-09-07 08:52:00:683,1,242,1,0,304,2866,242,0 56,0,2024-09-07 08:52:01:661,61814,1.0,58282,1.1,119985,1.3,160552,2.50 56,1,2024-09-07 08:52:00:570,423798,423798,0,0,198908488549,2105203494,416664,5696,1438,381,391678,0 56,2,2024-09-07 08:52:01:315,306182,306182,0,0,14830833,0,3567 56,3,2024-09-07 08:52:01:071,1,242,1,0,705,3542,242,0 57,0,2024-09-07 08:52:00:943,59691,1.6,59842,1.3,119724,2.2,160141,3.25 57,1,2024-09-07 08:52:00:999,425255,425255,0,0,198550507031,2082694732,419934,4651,670,367,391960,0 57,2,2024-09-07 08:52:01:316,305417,305417,0,0,15774762,0,3317 57,3,2024-09-07 08:52:01:754,1,242,2,0,359,3356,242,0 58,0,2024-09-07 08:52:00:556,59478,1.0,57811,1.0,121097,1.1,159141,2.50 58,1,2024-09-07 08:52:00:578,425911,425908,0,3,200103987451,2092698487,420179,5019,710,367,391545,3 58,2,2024-09-07 08:52:01:071,306662,306662,0,0,14227350,0,2549 58,3,2024-09-07 08:52:01:069,1,242,3,0,1043,2836,242,0 59,0,2024-09-07 08:52:01:742,60079,0.9,59958,1.0,119537,0.9,158846,3.00 59,1,2024-09-07 08:52:00:814,425639,425639,0,0,199467511429,2089407434,419852,4522,1265,369,391525,0 59,2,2024-09-07 08:52:00:584,307420,307420,0,0,12932580,0,2604 59,3,2024-09-07 08:52:01:747,1,242,0,0,1015,3492,242,0 60,0,2024-09-07 08:52:01:703,60496,0.4,60211,0.6,121402,0.4,160574,1.75 60,1,2024-09-07 08:52:00:772,427266,427266,0,0,199651100033,2071177487,424829,1920,517,370,392031,0 60,2,2024-09-07 08:52:01:146,305753,305753,0,0,14119147,0,3811 60,3,2024-09-07 08:52:01:259,1,242,1,0,124,2374,242,0 61,0,2024-09-07 08:52:01:507,60371,0.7,60639,0.8,120755,0.7,160904,2.00 61,1,2024-09-07 08:52:00:777,425882,425882,0,0,199193638485,2085590457,421312,3867,703,382,391880,0 61,2,2024-09-07 08:52:01:116,306536,306536,0,0,12419554,0,2780 61,3,2024-09-07 08:52:01:690,1,242,1,0,397,3321,242,0 62,0,2024-09-07 08:52:01:709,61406,0.7,62558,0.8,119181,0.7,163021,2.00 62,1,2024-09-07 08:52:01:113,428437,428431,0,6,200483464761,2068659920,425972,2342,117,365,391715,6 62,2,2024-09-07 08:52:01:644,305428,305427,1,0,13770113,0,5555 62,3,2024-09-07 08:52:01:143,1,242,1,0,287,1721,242,0 63,0,2024-09-07 08:52:01:451,60362,0.5,60511,0.6,121264,0.5,160732,1.75 63,1,2024-09-07 08:52:00:809,427635,427629,0,6,199266180524,2064631514,426054,1525,50,381,391677,6 63,2,2024-09-07 08:52:00:762,306452,306452,0,0,11537625,0,2674 63,3,2024-09-07 08:52:01:735,1,242,0,0,667,2850,242,0 64,0,2024-09-07 08:52:01:539,60055,0.4,60121,0.6,120451,0.4,160009,1.75 64,1,2024-09-07 08:52:00:750,426336,426336,0,0,199610590812,2083838662,421853,3069,1414,370,391783,0 64,2,2024-09-07 08:52:01:159,308473,308454,19,0,12488042,0,6121 64,3,2024-09-07 08:52:01:156,1,242,1,0,265,2525,242,0 65,0,2024-09-07 08:52:01:678,60193,0.6,60592,0.7,120840,0.6,160886,2.00 65,1,2024-09-07 08:52:00:862,425385,425385,0,0,198337125075,2076677388,421836,3106,443,382,391901,0 65,2,2024-09-07 08:52:01:693,306430,306430,0,0,13192466,0,3367 65,3,2024-09-07 08:52:01:690,1,242,1,0,163,2264,242,0 66,0,2024-09-07 08:52:01:774,60507,0.5,60406,0.7,121550,0.5,161652,2.00 66,1,2024-09-07 08:52:01:292,427047,427047,0,0,199775151101,2076877607,424475,2372,200,380,391653,0 66,2,2024-09-07 08:52:01:136,307774,307774,0,0,12858913,0,4956 66,3,2024-09-07 08:52:01:085,1,242,5,0,291,2608,242,0 67,0,2024-09-07 08:52:01:423,60790,0.7,60338,0.8,121373,0.7,161198,2.25 67,1,2024-09-07 08:52:00:770,426001,426000,0,1,199715290124,2084568733,422156,3097,747,380,391787,1 67,2,2024-09-07 08:52:00:584,307525,307525,0,0,11953464,0,2889 67,3,2024-09-07 08:52:01:754,1,242,1,0,338,2323,242,0 68,0,2024-09-07 08:52:00:578,60753,0.6,60557,0.8,120760,0.6,161744,2.00 68,1,2024-09-07 08:52:00:572,425216,425216,0,0,198352130861,2081345216,421039,3007,1170,381,391953,0 68,2,2024-09-07 08:52:01:046,305393,305328,65,0,15649959,0,6698 68,3,2024-09-07 08:52:00:732,1,242,1,0,417,2770,242,0 69,0,2024-09-07 08:52:01:729,60286,0.7,60633,0.8,120689,0.8,160771,2.25 69,1,2024-09-07 08:52:01:016,424066,424066,0,0,198195846398,2093750994,418366,4298,1402,384,391994,0 69,2,2024-09-07 08:52:01:737,305750,305750,0,0,15350078,0,3722 69,3,2024-09-07 08:52:00:760,1,242,1,0,698,3598,242,0 70,0,2024-09-07 08:52:01:535,60333,0.9,60367,1.0,121205,0.7,161674,2.25 70,1,2024-09-07 08:52:00:801,426271,426271,0,0,200221588462,2080536820,422608,3135,528,366,391725,0 70,2,2024-09-07 08:52:01:327,304778,304778,0,0,14115752,0,4044 70,3,2024-09-07 08:52:00:749,1,242,1,0,854,2904,242,0 71,0,2024-09-07 08:52:01:365,59830,1.3,59621,1.2,119580,1.8,160372,3.00 71,1,2024-09-07 08:52:01:598,425677,425677,0,0,199397065121,2082307579,420545,4511,621,368,391738,0 71,2,2024-09-07 08:52:01:070,306337,306337,0,0,14428581,0,4042 71,3,2024-09-07 08:52:01:754,1,242,6,0,644,3609,242,0 72,0,2024-09-07 08:52:01:024,62621,0.8,61224,0.9,119399,1.0,162723,2.25 72,1,2024-09-07 08:52:01:023,425395,425395,0,0,198816296360,2077559740,420341,4123,931,369,391819,0 72,2,2024-09-07 08:52:01:763,305626,305626,0,0,15870369,0,3983 72,3,2024-09-07 08:52:01:763,1,242,7,0,564,4379,242,0 73,0,2024-09-07 08:52:01:122,59088,0.4,60437,0.6,123973,0.3,160874,2.00 73,1,2024-09-07 08:52:00:777,426124,426124,0,0,199134454090,2070806148,423039,2850,235,367,391750,0 73,2,2024-09-07 08:52:01:748,306333,306333,0,0,15231948,0,3701 73,3,2024-09-07 08:52:00:970,1,242,1,0,274,3641,242,0 74,0,2024-09-07 08:52:01:320,61202,0.5,62506,0.7,119215,0.4,161968,2.25 74,1,2024-09-07 08:52:00:635,425833,425833,0,0,198861016293,2078116668,421433,3601,799,381,391681,0 74,2,2024-09-07 08:52:01:004,305273,305273,0,0,14644159,0,4253 74,3,2024-09-07 08:52:01:442,1,242,0,0,522,3328,242,0 75,0,2024-09-07 08:52:01:771,60866,0.6,60619,0.8,121521,0.6,162926,2.50 75,1,2024-09-07 08:52:01:585,425302,425302,0,0,199572772393,2087933482,420394,4245,663,380,391660,0 75,2,2024-09-07 08:52:01:349,305775,305775,0,0,15141776,0,4766 75,3,2024-09-07 08:52:01:066,1,242,2,0,702,3522,242,0 76,0,2024-09-07 08:52:00:647,60088,0.8,59540,0.9,119221,0.8,159771,2.50 76,1,2024-09-07 08:52:00:809,425926,425926,0,0,198589775026,2072814511,422866,2512,548,382,391692,0 76,2,2024-09-07 08:52:01:073,307527,307527,0,0,12408981,0,3784 76,3,2024-09-07 08:52:01:141,1,242,1,0,175,2588,242,0 77,0,2024-09-07 08:52:01:707,60592,0.5,60905,0.7,121352,0.5,161008,1.75 77,1,2024-09-07 08:52:00:826,426537,426537,0,0,199149029439,2080600946,423089,3191,257,383,391808,0 77,2,2024-09-07 08:52:01:284,305404,305404,0,0,13012975,0,3890 77,3,2024-09-07 08:52:01:094,1,242,1,0,382,2811,242,0 78,0,2024-09-07 08:52:01:728,60694,0.4,60113,0.6,121262,0.4,160001,2.00 78,1,2024-09-07 08:52:00:613,426751,426751,0,0,199368958599,2069977729,423755,2677,319,367,391670,0 78,2,2024-09-07 08:52:01:417,306440,306440,0,0,11624672,0,3855 78,3,2024-09-07 08:52:01:135,1,242,1,0,181,2425,242,0 79,0,2024-09-07 08:52:01:347,58916,0.4,60423,0.6,123440,0.4,160635,2.25 79,1,2024-09-07 08:52:00:572,427857,427857,0,0,199974416006,2069898188,425014,2507,336,369,391682,0 79,2,2024-09-07 08:52:01:070,307048,307048,0,0,11776041,0,3212 79,3,2024-09-07 08:52:00:749,1,242,2,0,418,3676,242,0 80,0,2024-09-07 08:52:01:103,60250,0.6,62013,0.8,118649,0.7,160180,2.00 80,1,2024-09-07 08:52:01:624,425873,425873,0,0,199614855178,2079002914,422909,2795,169,368,391791,0 80,2,2024-09-07 08:52:01:095,306902,306902,0,0,12252920,0,4433 80,3,2024-09-07 08:52:00:582,1,242,1,0,190,3396,242,0 81,0,2024-09-07 08:52:01:537,60591,0.5,62053,0.7,118895,0.4,160868,1.75 81,1,2024-09-07 08:52:01:652,425118,425118,0,0,198523815706,2076755486,421279,3377,462,382,391879,0 81,2,2024-09-07 08:52:01:125,305951,305951,0,0,12607478,0,3993 81,3,2024-09-07 08:52:01:117,1,242,1,0,374,2994,242,0 82,0,2024-09-07 08:52:01:573,60308,0.4,60789,0.6,121739,0.3,161904,2.00 82,1,2024-09-07 08:52:00:584,426739,426735,0,4,199775122755,2082078478,423514,2610,611,381,391558,4 82,2,2024-09-07 08:52:01:691,307328,307328,0,0,11915913,0,3986 82,3,2024-09-07 08:52:01:754,1,242,2,0,363,2786,242,0 83,0,2024-09-07 08:52:01:521,60723,0.5,60751,0.7,120496,0.5,161057,2.00 83,1,2024-09-07 08:52:00:553,425772,425772,0,0,198948135429,2076205092,422603,2925,244,382,391690,0 83,2,2024-09-07 08:52:00:764,305506,305506,0,0,11953181,0,3119 83,3,2024-09-07 08:52:00:749,1,242,0,0,250,2655,242,0 84,0,2024-09-07 08:52:01:800,60195,0.8,60040,0.9,120078,0.7,161709,2.50 84,1,2024-09-07 08:52:01:040,425099,425099,0,0,199285004802,2085486723,420359,4164,576,368,391967,0 84,2,2024-09-07 08:52:00:575,305993,305993,0,0,13887783,0,3801 84,3,2024-09-07 08:52:01:146,1,242,8,0,908,3910,242,0 85,0,2024-09-07 08:52:01:040,58500,0.8,58493,0.9,123999,0.8,160498,2.50 85,1,2024-09-07 08:52:00:584,424735,424735,0,0,198904225427,2103742144,417902,5727,1106,382,392006,0 85,2,2024-09-07 08:52:00:874,307555,307555,0,0,14681932,0,3656 85,3,2024-09-07 08:52:00:691,1,242,1,0,789,3343,242,0 86,0,2024-09-07 08:52:00:881,60486,0.6,62149,0.7,118977,0.6,160469,2.00 86,1,2024-09-07 08:52:00:827,426294,426294,0,0,199432356743,2087294559,421314,4256,724,366,391961,0 86,2,2024-09-07 08:52:00:855,305237,305236,1,0,15454256,0,5004 86,3,2024-09-07 08:52:00:592,1,242,56,0,286,3311,242,0 87,0,2024-09-07 08:52:01:305,60440,1.0,60114,0.9,120883,1.3,161685,2.25 87,1,2024-09-07 08:52:00:550,425799,425799,0,0,199105674153,2083806931,421329,3987,483,366,391788,0 87,2,2024-09-07 08:52:01:072,303962,303962,0,0,13781551,0,4045 87,3,2024-09-07 08:52:01:794,1,242,1,0,473,3779,242,0 88,0,2024-09-07 08:52:01:483,60635,0.5,61002,0.6,121706,0.5,162283,2.00 88,1,2024-09-07 08:52:00:570,424209,424209,0,0,198817575845,2087813400,418042,4655,1512,365,392084,0 88,2,2024-09-07 08:52:00:692,306634,306634,0,0,15640313,0,3583 88,3,2024-09-07 08:52:01:272,1,242,0,0,435,3045,242,0 89,0,2024-09-07 08:52:01:793,62235,0.5,60116,0.7,119448,0.5,162115,2.00 89,1,2024-09-07 08:52:00:553,423641,423641,0,0,198773976058,2098961306,416730,5689,1222,382,391866,0 89,2,2024-09-07 08:52:01:132,305468,305468,0,0,14933852,0,2910 89,3,2024-09-07 08:52:01:791,1,242,2,0,325,4762,242,0 90,0,2024-09-07 08:52:01:614,58732,0.4,59983,0.6,122943,0.4,159740,1.75 90,1,2024-09-07 08:52:00:591,425943,425943,0,0,198836633310,2084660723,421833,3833,277,381,391825,0 90,2,2024-09-07 08:52:01:407,304650,304650,0,0,16011731,0,3060 90,3,2024-09-07 08:52:00:934,1,242,3,0,200,2574,242,0 91,0,2024-09-07 08:52:00:929,60665,0.4,58709,0.6,122718,0.4,161000,1.75 91,1,2024-09-07 08:52:00:562,425109,425109,0,0,199032843611,2094382499,419246,5169,694,381,392047,0 91,2,2024-09-07 08:52:01:330,305418,305418,0,0,14465965,0,2896 91,3,2024-09-07 08:52:00:602,1,242,1,0,216,2363,242,0 92,0,2024-09-07 08:52:01:459,61349,0.5,62887,0.7,120213,0.5,163220,1.75 92,1,2024-09-07 08:52:00:597,425726,425726,0,0,198955775910,2075424380,423172,2148,406,382,392136,0 92,2,2024-09-07 08:52:01:350,308211,308211,0,0,12175630,0,2801 92,3,2024-09-07 08:52:01:009,1,242,0,0,167,2008,242,0 93,0,2024-09-07 08:52:00:962,60676,0.4,62301,0.6,118948,0.4,161228,1.75 93,1,2024-09-07 08:52:00:816,425699,425699,0,0,198253070614,2070900255,421225,3688,786,366,391692,0 93,2,2024-09-07 08:52:00:928,306777,306777,0,0,12959875,0,2797 93,3,2024-09-07 08:52:01:406,1,242,1,0,190,2456,242,0 94,0,2024-09-07 08:52:01:616,60262,0.4,61043,0.5,121592,0.3,160803,1.75 94,1,2024-09-07 08:52:00:570,425760,425760,0,0,199030562375,2080177379,422475,3107,178,381,391850,0 94,2,2024-09-07 08:52:00:761,305853,305853,0,0,12035435,0,2443 94,3,2024-09-07 08:52:01:690,1,242,1,0,264,3244,242,0 95,0,2024-09-07 08:52:01:355,60904,0.3,60507,0.5,122206,0.3,161737,1.75 95,1,2024-09-07 08:52:00:856,426635,426635,0,0,199894672380,2079103848,423073,3186,376,367,391713,0 95,2,2024-09-07 08:52:01:016,305925,305925,0,0,12377357,0,3308 95,3,2024-09-07 08:52:01:709,1,242,1,0,718,4492,242,0 96,0,2024-09-07 08:52:01:038,61017,0.4,61253,0.6,122068,0.4,162021,1.75 96,1,2024-09-07 08:52:01:596,425479,425479,0,0,199846130461,2087972296,421908,2840,731,384,391894,0 96,2,2024-09-07 08:52:01:275,305864,305864,0,0,13045993,0,4042 96,3,2024-09-07 08:52:01:154,1,242,1,0,411,2846,242,0 97,0,2024-09-07 08:52:01:314,60638,0.4,60470,0.5,121416,0.3,161104,1.75 97,1,2024-09-07 08:52:00:773,426922,426922,0,0,200602237337,2081704790,423889,2477,556,367,392140,0 97,2,2024-09-07 08:52:00:615,306407,306407,0,0,12307755,0,3036 97,3,2024-09-07 08:52:00:569,1,242,2,0,214,2891,242,0 98,0,2024-09-07 08:52:01:700,60637,0.3,60722,0.5,122010,0.2,161897,1.50 98,1,2024-09-07 08:52:00:570,426621,426621,0,0,199325332525,2075690079,424484,2038,99,382,391997,0 98,2,2024-09-07 08:52:00:779,307142,307142,0,0,12384098,0,3080 98,3,2024-09-07 08:52:00:697,1,242,4,0,840,4542,242,0 99,0,2024-09-07 08:52:01:453,60645,0.3,60803,0.4,121381,0.2,161898,1.50 99,1,2024-09-07 08:52:01:737,426315,426315,0,0,198356098748,2069805977,422530,3045,740,381,391744,0 99,2,2024-09-07 08:52:01:424,308899,308899,0,0,13118757,0,3106 99,3,2024-09-07 08:52:00:583,1,242,0,0,168,1913,242,0 100,0,2024-09-07 08:52:01:461,60622,0.7,60541,1.0,121076,0.9,162114,2.25 100,1,2024-09-07 08:52:00:549,423605,423605,0,0,197540012515,2091641739,416766,5522,1317,381,391989,0 100,2,2024-09-07 08:52:01:827,303169,303158,11,0,14545011,0,5417 100,3,2024-09-07 08:52:01:745,1,242,18,0,559,4876,242,0 101,0,2024-09-07 08:52:01:799,61536,1.9,59885,1.3,117571,2.3,161849,2.75 101,1,2024-09-07 08:52:00:557,424027,424027,0,0,198031344690,2090399824,416682,5574,1771,368,391769,0 101,2,2024-09-07 08:52:01:773,305492,305492,0,0,15825297,0,4644 101,3,2024-09-07 08:52:00:944,1,242,0,0,448,3127,242,0 102,0,2024-09-07 08:52:00:955,59146,0.8,61437,0.8,123301,0.8,161973,2.25 102,1,2024-09-07 08:52:01:143,424808,424808,0,0,198864853752,2091625257,419159,4810,839,369,391883,0 102,2,2024-09-07 08:52:01:736,306975,306921,54,0,14493364,0,6768 102,3,2024-09-07 08:52:01:616,1,242,31,0,410,2623,242,0 103,0,2024-09-07 08:52:01:616,62385,0.5,62441,0.7,117680,0.4,161951,1.75 103,1,2024-09-07 08:52:01:630,424008,424008,0,0,198231311341,2091510694,417717,4910,1381,381,391829,0 103,2,2024-09-07 08:52:00:583,304758,304758,0,0,13621856,0,2582 103,3,2024-09-07 08:52:00:760,1,242,2,0,916,3550,242,0 104,0,2024-09-07 08:52:01:036,60156,0.8,60637,1.0,119941,0.8,162048,2.25 104,1,2024-09-07 08:52:01:603,425567,425567,0,0,198724246770,2092073850,418897,5575,1095,365,391948,0 104,2,2024-09-07 08:52:01:668,303890,303890,0,0,15204272,0,3941 104,3,2024-09-07 08:52:01:420,1,242,1,0,1245,6366,242,0 105,0,2024-09-07 08:52:01:043,60113,1.4,58368,1.3,121863,2.3,161303,3.75 105,1,2024-09-07 08:52:00:562,425334,425334,0,0,199285910356,2093712117,419033,5323,978,366,391797,0 105,2,2024-09-07 08:52:01:323,306116,306116,0,0,14699758,0,3509 105,3,2024-09-07 08:52:01:303,1,242,1,0,399,4219,242,0 106,0,2024-09-07 08:52:00:963,58195,1.1,59596,1.1,122178,1.3,159444,2.75 106,1,2024-09-07 08:52:01:755,425272,425272,0,0,199056589156,2094160754,418185,6328,759,369,391767,0 106,2,2024-09-07 08:52:00:760,304233,304233,0,0,14152017,0,2795 106,3,2024-09-07 08:52:00:684,1,242,0,0,470,3549,242,0 107,0,2024-09-07 08:52:01:118,60474,0.8,60406,0.9,120729,0.8,160700,2.00 107,1,2024-09-07 08:52:00:590,424122,424122,0,0,198798699789,2093450518,418806,4770,546,381,392234,0 107,2,2024-09-07 08:52:01:290,305015,305014,1,0,14805753,0,5024 107,3,2024-09-07 08:52:01:761,1,242,5,0,353,3378,242,0 108,0,2024-09-07 08:52:01:777,60599,0.4,60832,0.6,120560,0.3,160795,1.75 108,1,2024-09-07 08:52:01:293,425830,425830,0,0,198849030944,2072540007,422630,2816,384,368,391857,0 108,2,2024-09-07 08:52:01:762,305227,305227,0,0,13253543,0,2647 108,3,2024-09-07 08:52:01:331,1,242,0,0,749,4821,242,0 109,0,2024-09-07 08:52:01:774,61175,0.4,60522,0.6,121326,0.4,162849,1.75 109,1,2024-09-07 08:52:00:603,424631,424631,0,0,198985471901,2087585503,420844,3272,515,383,392132,0 109,2,2024-09-07 08:52:00:926,305089,305089,0,0,13740417,0,3617 109,3,2024-09-07 08:52:01:150,1,242,3,0,249,2937,242,0 110,0,2024-09-07 08:52:01:767,60442,0.4,58898,0.6,123039,0.4,160880,1.75 110,1,2024-09-07 08:52:01:645,426692,426692,0,0,200190149909,2079484144,423550,2265,877,370,392045,0 110,2,2024-09-07 08:52:01:303,306692,306692,0,0,12988533,0,3264 110,3,2024-09-07 08:52:00:691,1,242,2,0,406,3230,242,0 111,0,2024-09-07 08:52:01:413,61031,0.4,60584,0.5,120932,0.3,162117,1.75 111,1,2024-09-07 08:52:00:999,426958,426958,0,0,200397602012,2077470319,424968,1654,336,382,391690,0 111,2,2024-09-07 08:52:01:116,306201,306201,0,0,12518784,0,2763 111,3,2024-09-07 08:52:00:914,1,242,0,0,379,3357,242,0 112,0,2024-09-07 08:52:00:914,60891,0.3,60835,0.4,121623,0.2,161942,1.50 112,1,2024-09-07 08:52:00:824,427481,427481,0,0,199159295916,2066672081,425062,1991,428,380,391580,0 112,2,2024-09-07 08:52:01:133,306418,306417,1,0,12836036,0,5036 112,3,2024-09-07 08:52:00:603,1,242,0,0,282,2667,242,0 113,0,2024-09-07 08:52:00:867,60549,0.3,60435,0.5,121451,0.2,162151,1.75 113,1,2024-09-07 08:52:01:698,428016,428016,0,0,200541090581,2070908073,425518,2009,489,366,391661,0 113,2,2024-09-07 08:52:01:303,306550,306550,0,0,11624534,0,3813 113,3,2024-09-07 08:52:00:690,1,242,0,0,340,3580,242,0 114,0,2024-09-07 08:52:00:874,61007,0.4,61486,0.5,121903,0.3,163661,1.75 114,1,2024-09-07 08:52:00:716,426017,426017,0,0,199162121693,2076962593,421210,3218,1589,381,391556,0 114,2,2024-09-07 08:52:00:872,306031,306030,1,0,12742545,0,5069 114,3,2024-09-07 08:52:01:282,1,242,1,0,395,2670,242,0 115,0,2024-09-07 08:52:00:554,60704,0.3,61035,0.4,122073,0.2,162398,1.75 115,1,2024-09-07 08:52:00:571,425921,425921,0,0,199468191527,2076711231,421562,3525,834,382,391602,0 115,2,2024-09-07 08:52:01:130,307593,307593,0,0,11077833,0,2152 115,3,2024-09-07 08:52:01:004,1,242,0,0,159,1358,242,0 116,0,2024-09-07 08:52:01:754,60313,0.6,60224,0.8,120524,0.6,161048,2.00 116,1,2024-09-07 08:52:00:803,423494,423494,0,0,198268853532,2100163521,417101,4338,2055,380,391782,0 116,2,2024-09-07 08:52:01:775,305706,305706,0,0,15854614,0,3529 116,3,2024-09-07 08:52:00:913,1,242,4,0,415,3529,242,0 117,0,2024-09-07 08:52:00:958,60536,0.8,60329,0.9,120815,0.9,161793,2.00 117,1,2024-09-07 08:52:01:579,425385,425385,0,0,197849674538,2072937661,420687,4218,480,370,392033,0 117,2,2024-09-07 08:52:01:119,306560,306560,0,0,13037938,0,3700 117,3,2024-09-07 08:52:01:061,1,242,1,0,490,3995,242,0 118,0,2024-09-07 08:52:01:766,58951,0.7,60548,0.8,123557,0.7,161692,2.00 118,1,2024-09-07 08:52:00:591,425058,425058,0,0,198776791214,2092202066,418693,4933,1432,366,391736,0 118,2,2024-09-07 08:52:01:596,305630,305630,0,0,14193857,0,2781 118,3,2024-09-07 08:52:01:764,1,242,1,0,235,2813,242,0 119,0,2024-09-07 08:52:01:340,60051,0.9,60541,0.9,121209,1.2,160928,2.25 119,1,2024-09-07 08:52:00:548,426427,426427,0,0,199337859818,2084166686,421776,4129,522,367,391641,0 119,2,2024-09-07 08:52:01:261,306378,306378,0,0,13413621,0,4174 119,3,2024-09-07 08:52:01:331,1,242,0,0,443,3450,242,0 120,0,2024-09-07 08:52:01:556,60188,0.5,59867,0.8,120203,0.5,160230,2.00 120,1,2024-09-07 08:52:00:859,425551,425551,0,0,199495474536,2094010470,420876,4325,350,368,391961,0 120,2,2024-09-07 08:52:00:779,305105,305104,1,0,15910879,0,5281 120,3,2024-09-07 08:52:01:291,1,242,2,0,241,3203,242,0 121,0,2024-09-07 08:52:01:714,60301,0.9,60443,1.0,120876,1.3,160775,2.00 121,1,2024-09-07 08:52:01:657,425838,425838,0,0,199010534921,2084410621,421245,4179,414,367,391840,0 121,2,2024-09-07 08:52:01:128,304477,304477,0,0,15243500,0,4127 121,3,2024-09-07 08:52:00:735,1,242,2,0,269,2832,242,0 122,0,2024-09-07 08:52:01:780,60510,0.9,59032,1.0,123853,1.1,163211,2.25 122,1,2024-09-07 08:52:00:867,424546,424546,0,0,198973586624,2090663658,418484,5246,816,366,392130,0 122,2,2024-09-07 08:52:01:322,305574,305501,73,0,17661227,0,5989 122,3,2024-09-07 08:52:00:593,1,242,0,0,411,4876,242,0 123,0,2024-09-07 08:52:00:951,60174,1.0,58824,0.9,122647,1.2,160581,2.25 123,1,2024-09-07 08:52:00:561,425072,425072,0,0,198945297065,2101247278,416403,6953,1716,369,391823,0 123,2,2024-09-07 08:52:01:023,304101,304100,1,0,14435016,0,5215 123,3,2024-09-07 08:52:01:132,1,242,1,0,168,2961,242,0 124,0,2024-09-07 08:52:00:926,62493,0.3,62466,0.5,117917,0.3,161529,1.75 124,1,2024-09-07 08:52:01:026,426888,426888,0,0,199036093947,2068857367,424051,2475,362,367,392178,0 124,2,2024-09-07 08:52:01:010,306155,306155,0,0,11824452,0,3101 124,3,2024-09-07 08:52:00:761,1,242,3,0,490,2755,242,0 125,0,2024-09-07 08:52:01:423,60877,0.3,60686,0.5,121919,0.3,161889,1.75 125,1,2024-09-07 08:52:00:857,425761,425761,0,0,199398877310,2080941821,422674,2696,391,383,391702,0 125,2,2024-09-07 08:52:01:131,306879,306879,0,0,12880804,0,4534 125,3,2024-09-07 08:52:01:126,1,242,1,0,709,3504,242,0 126,0,2024-09-07 08:52:01:433,61082,0.5,62760,0.6,119958,0.5,162920,1.75 126,1,2024-09-07 08:52:00:551,427319,427319,0,0,199710858183,2070744623,424640,2417,262,365,391987,0 126,2,2024-09-07 08:52:00:613,307368,307368,0,0,13145362,0,3186 126,3,2024-09-07 08:52:00:909,1,242,4,0,183,3289,242,0 127,0,2024-09-07 08:52:01:600,60559,0.4,60838,0.5,121121,0.4,161319,1.75 127,1,2024-09-07 08:52:00:569,426550,426550,0,0,199618655107,2069428611,423607,2790,153,365,391816,0 127,2,2024-09-07 08:52:00:637,306348,306348,0,0,11812096,0,2264 127,3,2024-09-07 08:52:01:275,1,242,4,0,968,2950,242,0 128,0,2024-09-07 08:52:01:529,61087,0.3,61124,0.5,122015,0.2,162287,1.50 128,1,2024-09-07 08:52:01:605,426096,426096,0,0,199362618025,2068738538,423925,2014,157,367,391798,0 128,2,2024-09-07 08:52:01:386,307081,307081,0,0,11815547,0,2434 128,3,2024-09-07 08:52:00:769,1,242,1,0,1082,5355,242,0 129,0,2024-09-07 08:52:00:994,61136,0.3,60804,0.4,121590,0.2,161515,1.50 129,1,2024-09-07 08:52:00:584,424034,424034,0,0,198965233801,2087059699,419887,3219,928,379,391835,0 129,2,2024-09-07 08:52:00:689,307392,307392,0,0,12773584,0,4031 129,3,2024-09-07 08:52:00:689,1,242,0,0,469,3308,242,0 130,0,2024-09-07 08:52:01:759,61156,0.5,60859,0.6,121995,0.5,163091,1.75 130,1,2024-09-07 08:52:00:590,426866,426866,0,0,200135978554,2082880785,424431,2357,78,381,391825,0 130,2,2024-09-07 08:52:01:125,306062,306062,0,0,12406997,0,4067 130,3,2024-09-07 08:52:01:294,1,242,4,0,450,3340,242,0 131,0,2024-09-07 08:52:01:933,60327,0.4,60623,0.5,122089,0.4,161760,1.75 131,1,2024-09-07 08:52:01:820,427014,427014,0,0,199510264875,2081063342,423969,2587,458,381,391865,0 131,2,2024-09-07 08:52:00:581,308103,308103,0,0,11348969,0,2415 131,3,2024-09-07 08:52:01:700,1,242,1,0,392,2499,242,0 132,0,2024-09-07 08:52:01:417,60463,0.5,61107,0.7,122139,0.5,162500,2.00 132,1,2024-09-07 08:52:00:617,424172,424172,0,0,198428301754,2095261010,417168,5751,1253,381,392097,0 132,2,2024-09-07 08:52:00:698,306668,306668,0,0,15170132,0,4606 132,3,2024-09-07 08:52:01:700,1,242,1,0,356,3498,242,0 133,0,2024-09-07 08:52:01:534,59107,0.4,60508,0.6,123816,0.3,160791,1.75 133,1,2024-09-07 08:52:00:583,424301,424301,0,0,199236938354,2098460758,418827,4807,667,383,391914,0 133,2,2024-09-07 08:52:01:087,305146,305146,0,0,16199440,0,4315 133,3,2024-09-07 08:52:01:297,1,242,3,0,276,2471,242,0 134,0,2024-09-07 08:52:00:951,60676,0.5,60599,0.6,121600,0.4,162071,2.00 134,1,2024-09-07 08:52:00:584,424364,424364,0,0,197793502057,2080264249,417919,4975,1470,366,391718,0 134,2,2024-09-07 08:52:01:757,304603,304603,0,0,13608662,0,3847 134,3,2024-09-07 08:52:00:748,1,242,8,0,739,3694,242,0 135,0,2024-09-07 08:52:01:148,58800,0.9,58750,1.0,124601,1.1,161479,2.25 135,1,2024-09-07 08:52:01:615,423854,423854,0,0,199287446715,2098927454,417660,5198,996,380,391805,0 135,2,2024-09-07 08:52:00:689,306559,306559,0,0,15025035,0,3981 135,3,2024-09-07 08:52:01:004,1,242,1,0,299,1840,242,0 136,0,2024-09-07 08:52:01:625,60702,0.7,60629,0.8,121187,0.7,161190,2.25 136,1,2024-09-07 08:52:01:443,424502,424502,0,0,198662766812,2089210057,418985,4933,584,382,391685,0 136,2,2024-09-07 08:52:01:133,306646,306646,0,0,14367418,0,3506 136,3,2024-09-07 08:52:01:106,1,242,0,0,126,1880,242,0 137,0,2024-09-07 08:52:00:926,62317,0.6,60575,0.7,119624,0.6,161896,2.00 137,1,2024-09-07 08:52:00:585,424724,424724,0,0,199077371303,2091579525,417780,5527,1417,366,391708,0 137,2,2024-09-07 08:52:01:705,305048,305048,0,0,15852475,0,3185 137,3,2024-09-07 08:52:00:780,1,242,1,0,382,3106,242,0 138,0,2024-09-07 08:52:01:743,60017,0.8,60038,0.9,120822,0.9,160241,2.00 138,1,2024-09-07 08:52:01:693,424720,424720,0,0,199001189937,2092411888,417757,5832,1131,368,391954,0 138,2,2024-09-07 08:52:00:591,305881,305881,0,0,14652288,0,4988 138,3,2024-09-07 08:52:00:610,1,242,0,0,1160,3831,242,0 139,0,2024-09-07 08:52:01:405,59874,2.1,60131,1.3,120610,3.1,161189,2.50 139,1,2024-09-07 08:52:00:571,423138,423138,0,0,197711361706,2102888024,414654,6372,2112,381,391892,0 139,2,2024-09-07 08:52:00:692,304199,304199,0,0,15295981,0,3097 139,3,2024-09-07 08:52:01:664,1,242,3,0,244,2530,242,0 140,0,2024-09-07 08:52:01:591,60824,0.3,60264,0.5,121163,0.3,161043,1.75 140,1,2024-09-07 08:52:01:538,427721,427721,0,0,200363192656,2065753833,425742,1737,242,365,391606,0 140,2,2024-09-07 08:52:00:693,306768,306768,0,0,12085353,0,3388 140,3,2024-09-07 08:52:00:769,1,242,1,0,247,2142,242,0 141,0,2024-09-07 08:52:01:718,60741,0.3,62404,0.4,119397,0.2,162166,1.50 141,1,2024-09-07 08:52:00:859,426908,426908,0,0,199843254913,2074111715,424338,2162,408,379,391614,0 141,2,2024-09-07 08:52:01:691,306532,306532,0,0,11717919,0,2342 141,3,2024-09-07 08:52:01:045,1,242,1,0,202,2308,242,0 142,0,2024-09-07 08:52:01:307,61493,0.3,60844,0.4,121020,0.2,162213,1.50 142,1,2024-09-07 08:52:00:592,426552,426552,0,0,199466782034,2080402376,423762,2525,265,382,392102,0 142,2,2024-09-07 08:52:01:301,306213,306181,32,0,13487811,0,6028 142,3,2024-09-07 08:52:01:746,1,242,1,0,484,3088,242,0 143,0,2024-09-07 08:52:01:372,60747,0.5,60782,0.6,122009,0.5,161979,1.75 143,1,2024-09-07 08:52:00:600,426477,426477,0,0,198928556648,2063972966,423764,2618,95,367,391651,0 143,2,2024-09-07 08:52:00:783,305370,305370,0,0,12644799,0,2669 143,3,2024-09-07 08:52:01:151,1,242,1,0,303,3210,242,0 144,0,2024-09-07 08:52:01:514,58692,0.7,60303,0.9,122753,0.6,161869,2.00 144,1,2024-09-07 08:52:00:566,424267,424267,0,0,198550118626,2085720516,420266,3079,922,381,391649,0 144,2,2024-09-07 08:52:01:755,307028,307028,0,0,12126676,0,3473 144,3,2024-09-07 08:52:01:747,1,242,4,0,249,2885,242,0 145,0,2024-09-07 08:52:01:466,58494,0.6,58428,0.8,123946,0.6,160519,2.25 145,1,2024-09-07 08:52:00:552,423886,423886,0,0,198885438082,2092997830,418392,4671,823,382,391698,0 145,2,2024-09-07 08:52:01:429,305879,305879,0,0,14369433,0,3903 145,3,2024-09-07 08:52:00:896,1,242,1,0,622,3986,242,0 146,0,2024-09-07 08:52:01:601,60339,0.5,59955,0.7,120758,0.5,160300,2.00 146,1,2024-09-07 08:52:01:590,424950,424950,0,0,198740952397,2096653287,416701,6403,1846,368,391770,0 146,2,2024-09-07 08:52:01:715,305765,305765,0,0,14293040,0,2730 146,3,2024-09-07 08:52:01:285,1,242,1,0,1520,5887,242,0 147,0,2024-09-07 08:52:01:726,60401,0.6,60404,0.8,119870,0.6,161473,2.25 147,1,2024-09-07 08:52:01:393,426673,426673,0,0,199301677900,2074855594,422576,3512,585,368,391791,0 147,2,2024-09-07 08:52:01:022,306451,306451,0,0,12102970,0,2789 147,3,2024-09-07 08:52:00:915,1,242,6,0,730,3897,242,0 0,0,2024-09-07 08:52:11:719,58670,0.5,58649,0.7,124427,0.4,160858,1.75 0,1,2024-09-07 08:52:10:822,427435,427435,0,0,199975059136,2092768992,424224,3007,204,370,391772,0 0,2,2024-09-07 08:52:11:067,307102,307102,0,0,12894994,0,4480 0,3,2024-09-07 08:52:10:976,1,243,1,0,319,3359,243,0 1,0,2024-09-07 08:52:11:778,60653,0.9,60166,0.9,121141,1.1,161135,2.00 1,1,2024-09-07 08:52:10:557,427110,427110,0,0,199427834525,2091177125,422616,3441,1053,371,391857,0 1,2,2024-09-07 08:52:10:638,306053,306053,0,0,11892207,0,3267 1,3,2024-09-07 08:52:11:301,1,243,9,0,262,2922,243,0 2,0,2024-09-07 08:52:11:569,61296,0.7,61591,0.8,122298,0.9,164134,2.00 2,1,2024-09-07 08:52:10:868,427569,427569,0,0,200370694662,2082141687,425465,1886,218,380,391745,0 2,2,2024-09-07 08:52:11:266,308074,308074,0,0,12447359,0,3594 2,3,2024-09-07 08:52:10:693,1,243,1,0,357,2265,243,0 3,0,2024-09-07 08:52:11:744,60530,0.5,60620,0.7,120524,0.5,160553,2.00 3,1,2024-09-07 08:52:11:618,427392,427392,0,0,199924568779,2084208363,423553,3377,462,380,391523,0 3,2,2024-09-07 08:52:11:142,307522,307499,23,0,12708255,0,5851 3,3,2024-09-07 08:52:11:755,1,243,1,0,103,1507,243,0 4,0,2024-09-07 08:52:11:786,58841,0.4,60492,0.5,123121,0.3,161017,1.75 4,1,2024-09-07 08:52:10:590,426688,426688,0,0,199631327796,2098431587,421969,3931,788,371,391846,0 4,2,2024-09-07 08:52:11:025,306109,306109,0,0,14946460,0,4528 4,3,2024-09-07 08:52:11:029,1,243,1,0,448,3761,243,0 5,0,2024-09-07 08:52:11:430,60901,0.4,61268,0.5,121625,0.4,162034,1.75 5,1,2024-09-07 08:52:10:773,426951,426951,0,0,199921872318,2103861508,421460,4379,1112,368,392005,0 5,2,2024-09-07 08:52:11:834,305871,305871,0,0,13635654,0,2432 5,3,2024-09-07 08:52:11:733,1,243,1,0,457,3802,243,0 6,0,2024-09-07 08:52:10:920,61833,0.6,61116,0.8,122203,0.6,163882,2.00 6,1,2024-09-07 08:52:10:758,427222,427222,0,0,199631719104,2087945245,422553,3825,844,379,391694,0 6,2,2024-09-07 08:52:11:119,307000,307000,0,0,13582512,0,4816 6,3,2024-09-07 08:52:11:274,1,243,12,0,340,2938,243,0 7,0,2024-09-07 08:52:11:542,60312,0.6,60523,0.7,120688,0.5,160510,2.00 7,1,2024-09-07 08:52:10:856,426931,426931,0,0,199807295957,2098257546,421031,4897,1003,382,391747,0 7,2,2024-09-07 08:52:10:785,306631,306631,0,0,13399212,0,4791 7,3,2024-09-07 08:52:10:861,1,243,1,0,398,3101,243,0 8,0,2024-09-07 08:52:11:327,61120,0.4,61060,0.5,121665,0.3,162655,1.75 8,1,2024-09-07 08:52:11:016,425567,425567,0,0,199494001693,2106063112,417505,6053,2009,367,391956,0 8,2,2024-09-07 08:52:10:801,304116,304116,0,0,15948679,0,3220 8,3,2024-09-07 08:52:10:597,1,243,10,0,357,3663,243,0 9,0,2024-09-07 08:52:11:100,60966,0.3,59229,0.5,123637,0.3,162137,1.75 9,1,2024-09-07 08:52:10:562,426397,426397,0,0,199752149446,2107313033,419915,4942,1540,370,392001,0 9,2,2024-09-07 08:52:11:092,307152,307152,0,0,14140785,0,3360 9,3,2024-09-07 08:52:11:755,1,243,14,0,496,3628,243,0 10,0,2024-09-07 08:52:11:604,61384,0.3,60868,0.5,122435,0.2,163762,1.75 10,1,2024-09-07 08:52:10:584,427281,427281,0,0,199536030596,2093907309,420921,5432,928,381,391741,0 10,2,2024-09-07 08:52:10:769,306062,306062,0,0,15577115,0,4264 10,3,2024-09-07 08:52:10:871,1,243,1,0,177,2075,243,0 11,0,2024-09-07 08:52:11:008,60111,0.5,58468,0.7,122415,0.4,162212,1.75 11,1,2024-09-07 08:52:10:577,426958,426958,0,0,199465806182,2100825493,418770,6207,1981,383,391537,0 11,2,2024-09-07 08:52:11:123,307505,307505,0,0,14620257,0,4130 11,3,2024-09-07 08:52:11:298,1,243,1,0,843,3972,243,0 12,0,2024-09-07 08:52:10:952,61497,0.4,61282,0.5,122609,0.3,163239,1.75 12,1,2024-09-07 08:52:10:940,427311,427311,0,0,199398694171,2080163440,423800,3090,421,370,391870,0 12,2,2024-09-07 08:52:11:549,308536,308536,0,0,13726700,0,3469 12,3,2024-09-07 08:52:11:059,1,243,4,0,386,4141,243,0 13,0,2024-09-07 08:52:11:348,61086,0.3,60991,0.5,121611,0.3,161875,1.50 13,1,2024-09-07 08:52:11:532,427374,427374,0,0,199680830663,2096100663,423827,3006,541,382,391717,0 13,2,2024-09-07 08:52:10:601,308207,308207,0,0,12895932,0,3287 13,3,2024-09-07 08:52:11:778,1,243,1,0,467,3880,243,0 14,0,2024-09-07 08:52:10:568,61135,0.4,61622,0.6,121991,0.3,162812,1.75 14,1,2024-09-07 08:52:11:566,429934,429934,0,0,200905726621,2080337521,427103,2642,189,364,391571,0 14,2,2024-09-07 08:52:10:766,305749,305749,0,0,12860059,0,2896 14,3,2024-09-07 08:52:11:119,1,243,4,0,1168,3430,243,0 15,0,2024-09-07 08:52:11:562,60972,0.5,61275,0.7,122424,0.5,163303,2.00 15,1,2024-09-07 08:52:11:608,428061,428061,0,0,200349157712,2083415340,425542,2341,178,381,391619,0 15,2,2024-09-07 08:52:10:999,308475,308475,0,0,10928085,0,3043 15,3,2024-09-07 08:52:11:414,1,243,1,0,1126,5207,243,0 16,0,2024-09-07 08:52:10:940,60640,0.8,60847,0.8,121023,0.8,161490,2.25 16,1,2024-09-07 08:52:10:563,428130,428130,0,0,199881480102,2090089571,424571,3260,299,370,391756,0 16,2,2024-09-07 08:52:11:439,307001,307001,0,0,13593855,0,4719 16,3,2024-09-07 08:52:11:142,1,243,1,0,317,3442,243,0 17,0,2024-09-07 08:52:11:784,62526,0.5,61037,0.7,119455,0.4,162038,1.75 17,1,2024-09-07 08:52:10:570,426782,426782,0,0,199431521245,2095376088,421940,3738,1104,368,391845,0 17,2,2024-09-07 08:52:11:680,309743,309743,0,0,12743500,0,2857 17,3,2024-09-07 08:52:10:574,1,243,3,0,268,3975,243,0 18,0,2024-09-07 08:52:10:945,59875,0.7,60104,0.8,120069,0.7,159896,2.25 18,1,2024-09-07 08:52:11:638,428326,428326,0,0,200767613299,2081788959,425819,2266,241,367,391649,0 18,2,2024-09-07 08:52:11:755,307854,307854,0,0,12419379,0,3541 18,3,2024-09-07 08:52:10:900,1,243,0,0,163,2512,243,0 19,0,2024-09-07 08:52:11:541,60557,0.7,61283,0.8,121254,0.7,161672,2.25 19,1,2024-09-07 08:52:10:567,427955,427955,0,0,200960487833,2090065803,423297,3872,786,367,391777,0 19,2,2024-09-07 08:52:11:759,309096,309096,0,0,11431799,0,3988 19,3,2024-09-07 08:52:11:138,1,243,1,0,524,1876,243,0 20,0,2024-09-07 08:52:11:404,60193,0.7,60262,0.8,120783,0.8,160708,2.25 20,1,2024-09-07 08:52:10:571,426917,426917,0,0,200217806025,2095744378,423102,3417,398,369,391886,0 20,2,2024-09-07 08:52:10:928,307325,307325,0,0,13071674,0,3721 20,3,2024-09-07 08:52:10:589,1,243,19,0,414,4587,243,0 21,0,2024-09-07 08:52:11:140,61017,0.5,61292,0.6,122143,0.4,162012,2.00 21,1,2024-09-07 08:52:11:542,425877,425877,0,0,198768060518,2094895022,420159,4461,1257,368,391962,0 21,2,2024-09-07 08:52:11:067,306339,306339,0,0,14830070,0,3747 21,3,2024-09-07 08:52:11:415,1,243,11,0,103,2601,243,0 22,0,2024-09-07 08:52:11:721,60886,0.6,60929,0.7,121519,0.5,161996,2.25 22,1,2024-09-07 08:52:11:031,426450,426450,0,0,199125660811,2099278170,419220,5588,1642,382,391667,0 22,2,2024-09-07 08:52:10:765,307269,307269,0,0,13049493,0,3134 22,3,2024-09-07 08:52:11:066,1,243,4,0,228,2301,243,0 23,0,2024-09-07 08:52:11:367,60825,0.6,60575,0.8,121560,0.6,162033,2.25 23,1,2024-09-07 08:52:11:004,427066,427066,0,0,200615223297,2108868143,419757,4758,2551,365,391690,0 23,2,2024-09-07 08:52:11:092,307254,307254,0,0,12651015,0,3010 23,3,2024-09-07 08:52:11:758,1,243,1,0,645,3264,243,0 24,0,2024-09-07 08:52:10:818,61629,0.5,61517,0.6,123288,0.4,164503,1.75 24,1,2024-09-07 08:52:10:583,426673,426673,0,0,198706751640,2087155935,421064,4130,1479,368,391987,0 24,2,2024-09-07 08:52:11:077,305974,305974,0,0,15119478,0,3607 24,3,2024-09-07 08:52:11:686,1,243,2,0,468,3696,243,0 25,0,2024-09-07 08:52:11:402,62507,0.5,60941,0.7,119532,0.5,163469,2.00 25,1,2024-09-07 08:52:10:574,427527,427527,0,0,199968333627,2094169766,423240,3733,554,371,391788,0 25,2,2024-09-07 08:52:11:620,306884,306884,0,0,15538400,0,3978 25,3,2024-09-07 08:52:11:010,1,243,0,0,255,2666,243,0 26,0,2024-09-07 08:52:11:723,60334,0.4,59070,0.6,123696,0.3,161053,1.75 26,1,2024-09-07 08:52:11:547,427101,427101,0,0,199308582484,2093434817,420136,5749,1216,381,391748,0 26,2,2024-09-07 08:52:10:861,307443,307443,0,0,15207798,0,2809 26,3,2024-09-07 08:52:11:721,1,243,0,0,796,3235,243,0 27,0,2024-09-07 08:52:11:729,61180,0.4,61061,0.6,121055,0.4,161953,2.00 27,1,2024-09-07 08:52:11:680,429068,429068,0,0,200774563331,2089893859,425619,2857,592,381,391558,0 27,2,2024-09-07 08:52:10:873,304463,304398,65,0,15323726,0,5699 27,3,2024-09-07 08:52:11:015,1,243,1,0,564,2696,243,0 28,0,2024-09-07 08:52:11:392,61250,0.5,60892,0.7,122422,0.5,163688,2.00 28,1,2024-09-07 08:52:10:806,428343,428343,0,0,199975125133,2082794943,425504,2368,471,383,391646,0 28,2,2024-09-07 08:52:11:765,308179,308179,0,0,12125958,0,2915 28,3,2024-09-07 08:52:11:777,1,243,1,0,502,2553,243,0 29,0,2024-09-07 08:52:11:403,62246,0.4,60885,0.6,118944,0.4,162532,1.75 29,1,2024-09-07 08:52:11:563,429137,429137,0,0,200474093992,2076812470,425914,2658,565,369,391621,0 29,2,2024-09-07 08:52:10:865,307392,307392,0,0,11594961,0,4018 29,3,2024-09-07 08:52:10:974,1,243,1,0,115,1772,243,0 30,0,2024-09-07 08:52:11:460,60231,0.5,58641,0.7,122481,0.4,160208,2.00 30,1,2024-09-07 08:52:10:586,429035,429035,0,0,200454375431,2077979199,426706,2036,293,382,391672,0 30,2,2024-09-07 08:52:11:274,307503,307503,0,0,11477415,0,3161 30,3,2024-09-07 08:52:10:581,1,243,1,0,195,1879,243,0 31,0,2024-09-07 08:52:11:768,60118,0.4,60472,0.6,121232,0.4,160937,2.00 31,1,2024-09-07 08:52:10:569,430304,430304,0,0,201595488558,2066196666,428916,1169,219,356,391712,0 31,2,2024-09-07 08:52:11:278,306201,306201,0,0,13016405,0,3525 31,3,2024-09-07 08:52:11:705,1,243,5,0,220,1911,243,0 32,0,2024-09-07 08:52:11:421,61198,0.4,61722,0.6,123160,0.3,164606,1.75 32,1,2024-09-07 08:52:10:805,428999,428999,0,0,200389762799,2079948466,427044,1676,279,381,391622,0 32,2,2024-09-07 08:52:10:937,308277,308277,0,0,11367913,0,3155 32,3,2024-09-07 08:52:11:015,1,243,1,0,227,2000,243,0 33,0,2024-09-07 08:52:11:512,61039,0.3,60273,0.5,121335,0.2,161447,1.75 33,1,2024-09-07 08:52:10:575,429191,429191,0,0,201199082363,2079647755,426611,2406,174,369,391730,0 33,2,2024-09-07 08:52:10:767,308474,308441,33,0,13137389,0,7012 33,3,2024-09-07 08:52:11:109,1,243,3,0,329,2894,243,0 34,0,2024-09-07 08:52:10:933,60661,0.3,62412,0.5,119770,0.2,160405,1.75 34,1,2024-09-07 08:52:11:044,429774,429774,0,0,201192102377,2070078744,428678,1089,7,367,391562,0 34,2,2024-09-07 08:52:10:767,307295,307295,0,0,12412772,0,3577 34,3,2024-09-07 08:52:11:688,1,243,0,0,299,2036,243,0 35,0,2024-09-07 08:52:10:862,60440,0.3,60851,0.5,122250,0.3,162481,1.75 35,1,2024-09-07 08:52:11:067,428540,428540,0,0,200216623762,2078079426,425196,2564,780,384,391589,0 35,2,2024-09-07 08:52:11:590,308049,308049,0,0,11899846,0,2653 35,3,2024-09-07 08:52:10:919,1,243,1,0,418,2956,243,0 36,0,2024-09-07 08:52:11:528,61419,0.6,61395,0.8,122876,0.6,163634,2.25 36,1,2024-09-07 08:52:10:586,427834,427834,0,0,200566016450,2099798982,421854,4401,1579,366,391759,0 36,2,2024-09-07 08:52:11:759,306463,306463,0,0,13887092,0,3875 36,3,2024-09-07 08:52:10:872,1,243,0,0,416,3975,243,0 37,0,2024-09-07 08:52:11:372,60234,0.6,60188,0.7,120545,0.5,161440,2.00 37,1,2024-09-07 08:52:10:575,426904,426897,0,7,199550176717,2093586469,420890,3940,2067,365,391560,0 37,2,2024-09-07 08:52:11:142,306555,306540,15,0,14225514,0,5815 37,3,2024-09-07 08:52:11:772,1,243,1,0,888,4418,243,0 38,0,2024-09-07 08:52:11:447,60422,0.5,58698,0.7,122954,0.4,160817,2.00 38,1,2024-09-07 08:52:11:607,428132,428132,0,0,200332452346,2093357542,422861,4596,675,368,391821,0 38,2,2024-09-07 08:52:10:763,307347,307300,47,0,14610789,0,6710 38,3,2024-09-07 08:52:10:997,1,243,1,0,689,3573,243,0 39,0,2024-09-07 08:52:11:765,62201,0.6,61047,0.7,118529,0.6,162248,2.00 39,1,2024-09-07 08:52:10:722,427823,427823,0,0,199820299948,2087974068,422264,4657,902,365,391594,0 39,2,2024-09-07 08:52:11:421,307151,307151,0,0,12684919,0,2689 39,3,2024-09-07 08:52:10:714,1,243,5,0,324,3405,243,0 40,0,2024-09-07 08:52:11:490,60540,0.7,61105,0.9,122234,0.7,163213,2.75 40,1,2024-09-07 08:52:10:576,427463,427463,0,0,199277620287,2090896564,420463,5557,1443,368,391591,0 40,2,2024-09-07 08:52:11:307,305867,305866,1,0,15654210,0,5137 40,3,2024-09-07 08:52:11:142,1,243,18,0,398,3026,243,0 41,0,2024-09-07 08:52:11:023,59918,2.1,61391,1.5,117103,3.3,160469,4.00 41,1,2024-09-07 08:52:10:785,427632,427632,0,0,200108885870,2094198052,422097,4901,634,370,391742,0 41,2,2024-09-07 08:52:10:765,306266,306266,0,0,14851195,0,3356 41,3,2024-09-07 08:52:11:679,1,243,1,0,366,2772,243,0 42,0,2024-09-07 08:52:11:476,60135,0.9,60481,1.1,120954,1.0,159929,2.75 42,1,2024-09-07 08:52:11:439,426195,426195,0,0,199726707882,2101460018,419037,5706,1452,380,391675,0 42,2,2024-09-07 08:52:11:135,307682,307682,0,0,14143570,0,3568 42,3,2024-09-07 08:52:11:012,1,243,5,0,446,2059,243,0 43,0,2024-09-07 08:52:10:925,60420,0.7,58921,0.9,122975,0.7,161623,2.25 43,1,2024-09-07 08:52:10:581,427115,427115,0,0,200188397312,2093892374,420855,4931,1329,366,391696,0 43,2,2024-09-07 08:52:11:736,307554,307554,0,0,13618135,0,3812 43,3,2024-09-07 08:52:11:754,1,243,1,0,467,3053,243,0 44,0,2024-09-07 08:52:10:859,60838,0.5,61261,0.7,122181,0.4,162919,1.75 44,1,2024-09-07 08:52:10:562,429003,429003,0,0,199721467288,2062656568,426448,2123,432,356,391809,0 44,2,2024-09-07 08:52:11:267,305516,305516,0,0,11493617,0,2231 44,3,2024-09-07 08:52:11:098,1,243,5,0,817,2916,243,0 45,0,2024-09-07 08:52:11:765,60130,0.7,58823,0.9,123465,0.7,163191,2.00 45,1,2024-09-07 08:52:11:007,428558,428558,0,0,201333929788,2086453414,426908,1638,12,382,391917,0 45,2,2024-09-07 08:52:11:268,308699,308699,0,0,12194434,0,3596 45,3,2024-09-07 08:52:10:934,1,243,2,0,271,2661,243,0 46,0,2024-09-07 08:52:10:952,60200,0.6,60066,0.8,120415,0.7,159173,2.00 46,1,2024-09-07 08:52:10:580,429846,429846,0,0,200802674932,2073458100,427500,2072,274,366,391539,0 46,2,2024-09-07 08:52:10:593,308036,308036,0,0,11777446,0,2920 46,3,2024-09-07 08:52:11:135,1,243,1,0,908,3753,243,0 47,0,2024-09-07 08:52:11:109,60997,0.4,60826,0.6,122304,0.4,161614,1.75 47,1,2024-09-07 08:52:10:573,429757,429757,0,0,200408303748,2069163476,427435,2188,134,366,391605,0 47,2,2024-09-07 08:52:10:911,308884,308884,0,0,11853272,0,2558 47,3,2024-09-07 08:52:11:119,1,243,2,0,529,2259,243,0 48,0,2024-09-07 08:52:11:488,60921,0.3,60547,0.4,120635,0.2,160711,1.50 48,1,2024-09-07 08:52:11:021,428945,428945,0,0,200209362764,2080981334,425845,2801,299,384,391710,0 48,2,2024-09-07 08:52:10:702,307157,307157,0,0,11481889,0,3031 48,3,2024-09-07 08:52:10:755,1,243,0,0,339,2086,243,0 49,0,2024-09-07 08:52:11:733,62814,0.4,61705,0.5,119444,0.3,163890,1.75 49,1,2024-09-07 08:52:11:021,427619,427619,0,0,200836103439,2094736247,423762,2676,1181,382,391809,0 49,2,2024-09-07 08:52:11:798,308737,308737,0,0,12074152,0,3900 49,3,2024-09-07 08:52:11:418,1,243,1,0,408,2755,243,0 50,0,2024-09-07 08:52:11:513,60470,0.3,59893,0.5,120579,0.2,160651,1.75 50,1,2024-09-07 08:52:11:016,429719,429719,0,0,201366473512,2084020403,426882,2527,310,368,391530,0 50,2,2024-09-07 08:52:11:071,307803,307803,0,0,11375564,0,2263 50,3,2024-09-07 08:52:11:306,1,243,9,0,335,2051,243,0 51,0,2024-09-07 08:52:11:689,62388,0.3,61030,0.5,118958,0.2,162304,1.75 51,1,2024-09-07 08:52:11:686,429489,429489,0,0,201658551275,2082745136,426694,1856,939,365,391706,0 51,2,2024-09-07 08:52:11:317,307513,307513,0,0,10791789,0,3337 51,3,2024-09-07 08:52:11:030,1,243,2,0,678,2010,243,0 52,0,2024-09-07 08:52:11:423,61655,0.4,61175,0.6,122206,0.4,162640,2.00 52,1,2024-09-07 08:52:10:578,427508,427508,0,0,199320806620,2093012857,420351,5903,1254,368,391722,0 52,2,2024-09-07 08:52:11:756,305770,305732,38,0,14846205,0,6742 52,3,2024-09-07 08:52:10:674,1,243,11,0,1782,4444,243,0 53,0,2024-09-07 08:52:11:756,60379,0.7,58691,0.8,122859,0.8,161269,2.50 53,1,2024-09-07 08:52:10:771,426483,426483,0,0,199535891572,2098601700,419159,4968,2356,367,391702,0 53,2,2024-09-07 08:52:11:302,306821,306821,0,0,12302303,0,2727 53,3,2024-09-07 08:52:10:697,1,243,1,0,271,2286,243,0 54,0,2024-09-07 08:52:11:615,60190,0.8,60666,0.9,120170,0.6,161699,2.75 54,1,2024-09-07 08:52:10:584,427617,427617,0,0,200751121325,2090125364,422881,4139,597,367,391659,0 54,2,2024-09-07 08:52:10:873,307058,307052,6,0,13639820,0,5382 54,3,2024-09-07 08:52:10:765,1,243,1,0,676,3740,243,0 55,0,2024-09-07 08:52:11:784,58684,0.7,60821,0.8,122463,0.6,159806,2.50 55,1,2024-09-07 08:52:10:765,427046,427046,0,0,199626489889,2081175141,421496,4763,787,365,391731,0 55,2,2024-09-07 08:52:10:728,307083,307083,0,0,13881028,0,3275 55,3,2024-09-07 08:52:10:681,1,243,1,0,304,2867,243,0 56,0,2024-09-07 08:52:11:583,61973,1.0,58439,1.1,120294,1.3,160956,2.50 56,1,2024-09-07 08:52:10:577,425568,425568,0,0,199713369559,2113466882,418434,5696,1438,381,391678,0 56,2,2024-09-07 08:52:11:308,307530,307530,0,0,14847991,0,3567 56,3,2024-09-07 08:52:11:059,1,243,1,0,705,3543,243,0 57,0,2024-09-07 08:52:10:949,59916,1.6,60102,1.3,120202,2.2,160768,3.25 57,1,2024-09-07 08:52:11:022,427082,427082,0,0,199246126034,2089818163,421761,4651,670,367,391960,0 57,2,2024-09-07 08:52:11:323,306104,306104,0,0,15782841,0,3317 57,3,2024-09-07 08:52:11:766,1,243,6,0,359,3362,243,0 58,0,2024-09-07 08:52:10:564,59861,1.0,58174,1.0,121835,1.1,160149,2.50 58,1,2024-09-07 08:52:10:575,427727,427724,0,3,201148520528,2103292935,421995,5019,710,367,391545,3 58,2,2024-09-07 08:52:11:071,308097,308097,0,0,14246785,0,2549 58,3,2024-09-07 08:52:11:068,1,243,1,0,1043,2837,243,0 59,0,2024-09-07 08:52:11:766,60244,0.9,60111,1.0,119864,0.9,159298,3.00 59,1,2024-09-07 08:52:10:817,427498,427498,0,0,200387923790,2098778004,421711,4522,1265,369,391525,0 59,2,2024-09-07 08:52:10:583,308910,308910,0,0,12952284,0,2604 59,3,2024-09-07 08:52:11:743,1,243,10,0,1015,3502,243,0 60,0,2024-09-07 08:52:11:741,60591,0.4,60296,0.6,121601,0.4,160843,1.75 60,1,2024-09-07 08:52:10:772,429085,429085,0,0,200547296329,2080271626,426648,1920,517,370,392031,0 60,2,2024-09-07 08:52:11:142,307180,307180,0,0,14130852,0,3811 60,3,2024-09-07 08:52:11:258,1,243,34,0,124,2408,243,0 61,0,2024-09-07 08:52:11:527,60481,0.7,60757,0.8,120985,0.7,161239,2.00 61,1,2024-09-07 08:52:10:784,427675,427675,0,0,200127468499,2095091748,423105,3867,703,382,391880,0 61,2,2024-09-07 08:52:11:120,307283,307283,0,0,12424634,0,2780 61,3,2024-09-07 08:52:11:694,1,243,1,0,397,3322,243,0 62,0,2024-09-07 08:52:11:715,61869,0.7,63050,0.8,120172,0.7,164353,2.00 62,1,2024-09-07 08:52:11:117,430168,430162,0,6,201227072727,2076188526,427703,2342,117,365,391715,6 62,2,2024-09-07 08:52:11:645,306931,306930,1,0,13780935,0,5555 62,3,2024-09-07 08:52:11:143,1,243,18,0,287,1739,243,0 63,0,2024-09-07 08:52:11:452,60472,0.5,60613,0.6,121496,0.5,161005,1.75 63,1,2024-09-07 08:52:10:808,429503,429497,0,6,200144981364,2073564913,427922,1525,50,381,391677,6 63,2,2024-09-07 08:52:10:767,308027,308027,0,0,11553062,0,2674 63,3,2024-09-07 08:52:11:736,1,243,1,0,667,2851,243,0 64,0,2024-09-07 08:52:11:557,60162,0.4,60233,0.6,120650,0.4,160272,1.75 64,1,2024-09-07 08:52:10:767,428088,428088,0,0,200238918824,2090266202,423604,3070,1414,370,391783,0 64,2,2024-09-07 08:52:11:148,309654,309635,19,0,12499990,0,6121 64,3,2024-09-07 08:52:11:140,1,243,1,0,265,2526,243,0 65,0,2024-09-07 08:52:11:709,60388,0.6,60805,0.7,121275,0.6,161389,2.00 65,1,2024-09-07 08:52:10:869,427208,427208,0,0,199075228694,2084241478,423659,3106,443,382,391901,0 65,2,2024-09-07 08:52:11:702,307617,307617,0,0,13207422,0,3367 65,3,2024-09-07 08:52:11:693,1,243,69,0,163,2333,243,0 66,0,2024-09-07 08:52:11:769,60952,0.5,60858,0.7,122442,0.5,162834,2.00 66,1,2024-09-07 08:52:11:298,428873,428873,0,0,200710098083,2086391473,426301,2372,200,380,391653,0 66,2,2024-09-07 08:52:11:135,309221,309221,0,0,12885542,0,4956 66,3,2024-09-07 08:52:11:079,1,243,1,0,291,2609,243,0 67,0,2024-09-07 08:52:11:426,60931,0.6,60458,0.8,121666,0.7,161500,2.25 67,1,2024-09-07 08:52:10:770,427723,427722,0,1,200455086490,2092114965,423878,3097,747,380,391787,1 67,2,2024-09-07 08:52:10:583,308837,308837,0,0,11968136,0,2889 67,3,2024-09-07 08:52:11:755,1,243,0,0,338,2323,243,0 68,0,2024-09-07 08:52:10:568,61065,0.6,60862,0.8,121317,0.6,162516,2.00 68,1,2024-09-07 08:52:10:577,427017,427017,0,0,199118632740,2089219902,422840,3007,1170,381,391953,0 68,2,2024-09-07 08:52:11:044,306508,306443,65,0,15695246,0,6698 68,3,2024-09-07 08:52:10:738,1,243,10,0,417,2780,243,0 69,0,2024-09-07 08:52:11:736,60498,0.7,60816,0.8,121132,0.8,161348,2.25 69,1,2024-09-07 08:52:11:022,425864,425864,0,0,199249021829,2104547829,420164,4298,1402,384,391994,0 69,2,2024-09-07 08:52:11:745,307165,307165,0,0,15389577,0,3722 69,3,2024-09-07 08:52:10:772,1,243,1,0,698,3599,243,0 70,0,2024-09-07 08:52:11:542,60793,0.9,60800,1.0,122137,0.6,162918,2.25 70,1,2024-09-07 08:52:10:801,428081,428081,0,0,201198293236,2090464418,424418,3135,528,366,391725,0 70,2,2024-09-07 08:52:11:325,305949,305949,0,0,14145725,0,4044 70,3,2024-09-07 08:52:10:759,1,243,1,0,854,2905,243,0 71,0,2024-09-07 08:52:11:420,60112,1.3,59901,1.2,120139,1.8,161078,3.00 71,1,2024-09-07 08:52:11:605,427257,427257,0,0,200200612676,2090539272,422124,4512,621,368,391738,0 71,2,2024-09-07 08:52:11:067,307572,307572,0,0,14454680,0,4042 71,3,2024-09-07 08:52:11:766,1,243,0,0,644,3609,243,0 72,0,2024-09-07 08:52:11:040,62954,0.8,61565,0.9,120068,1.0,163641,2.25 72,1,2024-09-07 08:52:11:021,427185,427185,0,0,199712954230,2086696637,422130,4124,931,369,391819,0 72,2,2024-09-07 08:52:11:757,307023,307023,0,0,15890490,0,3983 72,3,2024-09-07 08:52:11:765,1,243,14,0,564,4393,243,0 73,0,2024-09-07 08:52:11:100,59282,0.4,60614,0.6,124363,0.3,161344,2.00 73,1,2024-09-07 08:52:10:770,427890,427890,0,0,199872600462,2078395394,424804,2851,235,367,391750,0 73,2,2024-09-07 08:52:11:762,307834,307834,0,0,15288675,0,3701 73,3,2024-09-07 08:52:10:970,1,243,0,0,274,3641,243,0 74,0,2024-09-07 08:52:11:361,61540,0.5,62832,0.7,119863,0.4,162848,2.25 74,1,2024-09-07 08:52:10:642,427589,427589,0,0,199456057238,2084238100,423189,3601,799,381,391681,0 74,2,2024-09-07 08:52:11:020,305966,305966,0,0,14657607,0,4253 74,3,2024-09-07 08:52:11:442,1,243,1,0,522,3329,243,0 75,0,2024-09-07 08:52:11:773,61283,0.6,61036,0.8,122419,0.5,164054,2.50 75,1,2024-09-07 08:52:11:588,427025,427025,0,0,200142724049,2093788772,422117,4245,663,380,391660,0 75,2,2024-09-07 08:52:11:362,307218,307218,0,0,15173028,0,4766 75,3,2024-09-07 08:52:11:074,1,243,9,0,702,3531,243,0 76,0,2024-09-07 08:52:10:595,60222,0.8,59650,0.9,119448,0.8,160094,2.50 76,1,2024-09-07 08:52:10:805,427771,427771,0,0,199385393748,2080925988,424711,2512,548,382,391692,0 76,2,2024-09-07 08:52:11:061,309079,309079,0,0,12423605,0,3784 76,3,2024-09-07 08:52:11:142,1,243,1,0,175,2589,243,0 77,0,2024-09-07 08:52:11:695,60714,0.5,61018,0.7,121592,0.5,161334,1.75 77,1,2024-09-07 08:52:10:831,428300,428300,0,0,200274194590,2092015752,424851,3192,257,383,391808,0 77,2,2024-09-07 08:52:11:292,306468,306468,0,0,13022965,0,3890 77,3,2024-09-07 08:52:11:094,1,243,1,0,382,2812,243,0 78,0,2024-09-07 08:52:11:742,60710,0.4,60125,0.6,121286,0.4,160001,2.00 78,1,2024-09-07 08:52:10:613,428561,428561,0,0,200240404670,2078804892,425565,2677,319,367,391670,0 78,2,2024-09-07 08:52:11:414,307583,307583,0,0,11631779,0,3855 78,3,2024-09-07 08:52:11:133,1,243,1,0,181,2426,243,0 79,0,2024-09-07 08:52:11:371,59244,0.4,60752,0.6,124170,0.4,161553,2.25 79,1,2024-09-07 08:52:10:582,429631,429631,0,0,200903826810,2079320763,426788,2507,336,369,391682,0 79,2,2024-09-07 08:52:11:068,308583,308583,0,0,11789129,0,3212 79,3,2024-09-07 08:52:10:768,1,243,1,0,418,3677,243,0 80,0,2024-09-07 08:52:11:099,60332,0.6,62099,0.8,118822,0.7,160420,2.00 80,1,2024-09-07 08:52:11:625,427717,427717,0,0,200408317948,2087083214,424752,2796,169,368,391791,0 80,2,2024-09-07 08:52:11:097,308136,308136,0,0,12264497,0,4433 80,3,2024-09-07 08:52:10:575,1,243,1,0,190,3397,243,0 81,0,2024-09-07 08:52:11:545,60827,0.5,62289,0.6,119304,0.4,161446,1.75 81,1,2024-09-07 08:52:11:662,426935,426935,0,0,199516432854,2086861247,423096,3377,462,382,391879,0 81,2,2024-09-07 08:52:11:124,307080,307080,0,0,12621207,0,3993 81,3,2024-09-07 08:52:11:117,1,243,0,0,374,2994,243,0 82,0,2024-09-07 08:52:11:539,60630,0.4,61116,0.6,122378,0.3,162789,2.00 82,1,2024-09-07 08:52:10:583,428483,428479,0,4,200440595103,2088885609,425258,2610,611,381,391558,4 82,2,2024-09-07 08:52:11:704,308694,308694,0,0,11933309,0,3986 82,3,2024-09-07 08:52:11:758,1,243,1,0,363,2787,243,0 83,0,2024-09-07 08:52:11:534,61012,0.5,61040,0.7,121070,0.5,161760,2.00 83,1,2024-09-07 08:52:10:557,427526,427526,0,0,199830361655,2085187263,424357,2925,244,382,391690,0 83,2,2024-09-07 08:52:10:769,306769,306769,0,0,11971067,0,3119 83,3,2024-09-07 08:52:10:753,1,243,3,0,250,2658,243,0 84,0,2024-09-07 08:52:11:797,60726,0.8,60579,0.9,121186,0.7,163224,2.25 84,1,2024-09-07 08:52:11:039,426867,426867,0,0,199890514855,2091698866,422127,4164,576,368,391967,0 84,2,2024-09-07 08:52:10:625,307283,307283,0,0,13914727,0,3801 84,3,2024-09-07 08:52:11:141,1,243,4,0,908,3914,243,0 85,0,2024-09-07 08:52:11:156,58812,0.8,58795,0.9,124655,0.8,161330,2.50 85,1,2024-09-07 08:52:10:589,426544,426544,0,0,199666203063,2111589957,419711,5727,1106,382,392006,0 85,2,2024-09-07 08:52:10:953,309067,309067,0,0,14725647,0,3656 85,3,2024-09-07 08:52:10:695,1,243,5,0,789,3348,243,0 86,0,2024-09-07 08:52:10:905,60647,0.6,62321,0.7,119290,0.6,160880,2.00 86,1,2024-09-07 08:52:10:833,428040,428040,0,0,200332720609,2096463937,423060,4256,724,366,391961,0 86,2,2024-09-07 08:52:10:882,306631,306630,1,0,15488121,0,5004 86,3,2024-09-07 08:52:10:587,1,243,2,0,286,3313,243,0 87,0,2024-09-07 08:52:11:310,60686,1.0,60357,0.9,121354,1.3,162308,2.25 87,1,2024-09-07 08:52:10:556,427582,427582,0,0,199696009145,2089937568,423112,3987,483,366,391788,0 87,2,2024-09-07 08:52:11:067,304772,304772,0,0,13799618,0,4045 87,3,2024-09-07 08:52:11:824,1,243,1,0,473,3780,243,0 88,0,2024-09-07 08:52:11:508,61018,0.5,61379,0.6,122446,0.5,163313,1.75 88,1,2024-09-07 08:52:10:575,425999,425999,0,0,199543471554,2095245137,419832,4655,1512,365,392084,0 88,2,2024-09-07 08:52:10:696,308022,308022,0,0,15658112,0,3583 88,3,2024-09-07 08:52:11:267,1,243,10,0,435,3055,243,0 89,0,2024-09-07 08:52:11:790,62404,0.5,60303,0.7,119785,0.5,162554,2.00 89,1,2024-09-07 08:52:10:605,425449,425449,0,0,199511548649,2106507361,418537,5690,1222,382,391866,0 89,2,2024-09-07 08:52:11:138,306845,306845,0,0,14977551,0,2910 89,3,2024-09-07 08:52:11:795,1,243,6,0,325,4768,243,0 90,0,2024-09-07 08:52:11:682,58829,0.4,60088,0.6,123119,0.4,159990,1.75 90,1,2024-09-07 08:52:10:592,427734,427734,0,0,199795887086,2094421337,423624,3833,277,381,391825,0 90,2,2024-09-07 08:52:11:429,306104,306104,0,0,16031507,0,3060 90,3,2024-09-07 08:52:10:930,1,243,0,0,200,2574,243,0 91,0,2024-09-07 08:52:10:938,60788,0.4,58819,0.6,122935,0.4,161317,1.75 91,1,2024-09-07 08:52:10:557,426855,426855,0,0,199648123899,2100804747,420992,5169,694,381,392047,0 91,2,2024-09-07 08:52:11:331,306164,306164,0,0,14476764,0,2896 91,3,2024-09-07 08:52:10:600,1,243,1,0,216,2364,243,0 92,0,2024-09-07 08:52:11:459,61850,0.5,63414,0.7,121175,0.5,164545,1.75 92,1,2024-09-07 08:52:10:580,427488,427488,0,0,199827291266,2084292479,424934,2148,406,382,392136,0 92,2,2024-09-07 08:52:11:351,309700,309700,0,0,12187390,0,2801 92,3,2024-09-07 08:52:11:016,1,243,1,0,167,2009,243,0 93,0,2024-09-07 08:52:10:971,60788,0.4,62398,0.6,119181,0.4,161515,1.75 93,1,2024-09-07 08:52:10:808,427573,427573,0,0,199184104710,2080331498,423099,3688,786,366,391692,0 93,2,2024-09-07 08:52:10:931,308194,308194,0,0,12973030,0,2797 93,3,2024-09-07 08:52:11:426,1,243,3,0,190,2459,243,0 94,0,2024-09-07 08:52:11:621,60369,0.4,61171,0.5,121791,0.3,161055,1.75 94,1,2024-09-07 08:52:10:573,427579,427579,0,0,200061548732,2090636565,424293,3108,178,381,391850,0 94,2,2024-09-07 08:52:10:771,306980,306980,0,0,12043306,0,2443 94,3,2024-09-07 08:52:11:699,1,243,3,0,264,3247,243,0 95,0,2024-09-07 08:52:11:358,61127,0.3,60738,0.5,122584,0.3,162247,1.75 95,1,2024-09-07 08:52:10:858,428435,428435,0,0,200797357990,2088229866,424871,3188,376,367,391713,0 95,2,2024-09-07 08:52:11:022,307061,307061,0,0,12387320,0,3308 95,3,2024-09-07 08:52:11:717,1,243,9,0,718,4501,243,0 96,0,2024-09-07 08:52:11:027,61449,0.4,61679,0.6,122975,0.4,163195,1.75 96,1,2024-09-07 08:52:11:619,427286,427286,0,0,200640296246,2096104032,423715,2840,731,384,391894,0 96,2,2024-09-07 08:52:11:276,307179,307179,0,0,13060697,0,4042 96,3,2024-09-07 08:52:11:165,1,243,2,0,411,2848,243,0 97,0,2024-09-07 08:52:11:338,60780,0.4,60604,0.5,121684,0.3,161394,1.75 97,1,2024-09-07 08:52:10:775,428713,428713,0,0,201544524018,2091291900,425679,2478,556,367,392140,0 97,2,2024-09-07 08:52:10:612,307784,307784,0,0,12327037,0,3036 97,3,2024-09-07 08:52:10:604,1,243,2,0,214,2893,243,0 98,0,2024-09-07 08:52:11:817,60916,0.3,61019,0.5,122583,0.2,162659,1.50 98,1,2024-09-07 08:52:10:579,428477,428477,0,0,200149623108,2084089481,426340,2038,99,382,391997,0 98,2,2024-09-07 08:52:10:783,308341,308341,0,0,12398251,0,3080 98,3,2024-09-07 08:52:10:703,1,243,1,0,840,4543,243,0 99,0,2024-09-07 08:52:11:464,60866,0.3,60994,0.4,121804,0.2,162474,1.50 99,1,2024-09-07 08:52:11:728,428080,428080,0,0,199202505948,2078418000,424295,3045,740,381,391744,0 99,2,2024-09-07 08:52:11:420,310123,310123,0,0,13131783,0,3106 99,3,2024-09-07 08:52:10:588,1,243,1,0,168,1914,243,0 100,0,2024-09-07 08:52:11:474,61126,0.7,61015,1.0,122011,0.9,163320,2.25 100,1,2024-09-07 08:52:10:564,425376,425376,0,0,198470843843,2101198673,418537,5522,1317,381,391989,0 100,2,2024-09-07 08:52:11:817,304284,304273,11,0,14590013,0,5417 100,3,2024-09-07 08:52:11:733,1,243,4,0,559,4880,243,0 101,0,2024-09-07 08:52:11:754,61769,1.9,60155,1.3,118137,2.3,162580,2.75 101,1,2024-09-07 08:52:10:591,425763,425763,0,0,198696414752,2097309555,418418,5574,1771,368,391769,0 101,2,2024-09-07 08:52:11:762,306776,306776,0,0,15851737,0,4644 101,3,2024-09-07 08:52:10:944,1,243,1,0,448,3128,243,0 102,0,2024-09-07 08:52:10:945,59465,0.8,61732,0.8,123983,0.8,162876,2.25 102,1,2024-09-07 08:52:11:143,426594,426594,0,0,199545890447,2098612062,420945,4810,839,369,391883,0 102,2,2024-09-07 08:52:11:742,308402,308348,54,0,14531996,0,6768 102,3,2024-09-07 08:52:11:612,1,243,5,0,410,2628,243,0 103,0,2024-09-07 08:52:11:634,62566,0.5,62595,0.7,118029,0.4,162439,1.75 103,1,2024-09-07 08:52:11:657,425783,425783,0,0,199213180536,2101565556,419492,4910,1381,381,391829,0 103,2,2024-09-07 08:52:10:593,306254,306254,0,0,13659633,0,2582 103,3,2024-09-07 08:52:10:770,1,243,14,0,916,3564,243,0 104,0,2024-09-07 08:52:11:031,60479,0.8,60964,1.0,120603,0.8,162964,2.25 104,1,2024-09-07 08:52:11:635,427377,427377,0,0,199513835470,2100140317,420707,5575,1095,365,391948,0 104,2,2024-09-07 08:52:11:678,304631,304631,0,0,15213508,0,3941 104,3,2024-09-07 08:52:11:432,1,243,14,0,1245,6380,243,0 105,0,2024-09-07 08:52:11:058,60536,1.4,58813,1.3,122755,2.3,162510,3.50 105,1,2024-09-07 08:52:10:567,427211,427211,0,0,200419346690,2105250565,420908,5325,978,366,391797,0 105,2,2024-09-07 08:52:11:327,307598,307598,0,0,14736863,0,3509 105,3,2024-09-07 08:52:11:307,1,243,1,0,399,4220,243,0 106,0,2024-09-07 08:52:10:963,58318,1.1,59702,1.1,122414,1.2,159779,2.75 106,1,2024-09-07 08:52:11:782,427090,427090,0,0,199854025533,2102296110,420003,6328,759,369,391767,0 106,2,2024-09-07 08:52:10:770,305804,305804,0,0,14184967,0,2795 106,3,2024-09-07 08:52:10:682,1,243,3,0,470,3552,243,0 107,0,2024-09-07 08:52:11:110,60584,0.8,60534,0.9,121005,0.8,161058,2.00 107,1,2024-09-07 08:52:10:605,425949,425949,0,0,199686189914,2102481818,420633,4770,546,381,392234,0 107,2,2024-09-07 08:52:11:295,306109,306108,1,0,14823917,0,5024 107,3,2024-09-07 08:52:11:768,1,243,9,0,353,3387,243,0 108,0,2024-09-07 08:52:11:798,60607,0.4,60843,0.6,120580,0.3,160795,1.75 108,1,2024-09-07 08:52:11:293,427703,427703,0,0,199580816702,2079994935,424503,2816,384,368,391857,0 108,2,2024-09-07 08:52:11:762,306260,306260,0,0,13261890,0,2647 108,3,2024-09-07 08:52:11:330,1,243,4,0,749,4825,243,0 109,0,2024-09-07 08:52:11:784,61561,0.4,60847,0.6,122027,0.4,163739,1.75 109,1,2024-09-07 08:52:10:603,426390,426390,0,0,199677392768,2094701848,422603,3272,515,383,392132,0 109,2,2024-09-07 08:52:10:921,306742,306742,0,0,13754931,0,3617 109,3,2024-09-07 08:52:11:149,1,243,1,0,249,2938,243,0 110,0,2024-09-07 08:52:11:778,60531,0.4,58989,0.6,123218,0.4,161119,1.75 110,1,2024-09-07 08:52:11:671,428501,428501,0,0,201096465506,2088679180,425359,2265,877,370,392045,0 110,2,2024-09-07 08:52:11:317,308017,308017,0,0,13002623,0,3264 110,3,2024-09-07 08:52:10:690,1,243,0,0,406,3230,243,0 111,0,2024-09-07 08:52:11:418,61247,0.4,60797,0.5,121399,0.3,162715,1.75 111,1,2024-09-07 08:52:11:040,428718,428718,0,0,201102286429,2084644447,426728,1654,336,382,391690,0 111,2,2024-09-07 08:52:11:121,307331,307331,0,0,12528295,0,2763 111,3,2024-09-07 08:52:10:919,1,243,1,0,379,3358,243,0 112,0,2024-09-07 08:52:10:931,61198,0.3,61171,0.4,122262,0.2,162804,1.50 112,1,2024-09-07 08:52:10:824,429210,429210,0,0,199915385968,2074390337,426791,1991,428,380,391580,0 112,2,2024-09-07 08:52:11:135,307702,307701,1,0,12846073,0,5036 112,3,2024-09-07 08:52:10:593,1,243,2,0,282,2669,243,0 113,0,2024-09-07 08:52:10:868,60817,0.3,60691,0.5,122018,0.2,162875,1.50 113,1,2024-09-07 08:52:11:686,429787,429787,0,0,201454744203,2080159907,427289,2009,489,366,391661,0 113,2,2024-09-07 08:52:11:306,307796,307796,0,0,11637034,0,3813 113,3,2024-09-07 08:52:10:684,1,243,1,0,340,3581,243,0 114,0,2024-09-07 08:52:10:886,61526,0.4,62053,0.5,122999,0.3,165171,1.75 114,1,2024-09-07 08:52:10:717,427760,427760,0,0,199812530916,2083599290,422953,3218,1589,381,391556,0 114,2,2024-09-07 08:52:10:885,307297,307296,1,0,12754691,0,5069 114,3,2024-09-07 08:52:11:278,1,243,1,0,395,2671,243,0 115,0,2024-09-07 08:52:10:597,61004,0.3,61351,0.4,122679,0.2,163267,1.75 115,1,2024-09-07 08:52:10:581,427727,427727,0,0,200380099660,2085998237,423368,3525,834,382,391602,0 115,2,2024-09-07 08:52:11:135,309066,309066,0,0,11095785,0,2152 115,3,2024-09-07 08:52:11:010,1,243,1,0,159,1359,243,0 116,0,2024-09-07 08:52:11:701,60480,0.6,60371,0.8,120847,0.6,161458,2.00 116,1,2024-09-07 08:52:10:964,425253,425253,0,0,199121347798,2108891171,418860,4338,2055,380,391782,0 116,2,2024-09-07 08:52:11:757,307024,307024,0,0,15908628,0,3529 116,3,2024-09-07 08:52:10:919,1,243,0,0,415,3529,243,0 117,0,2024-09-07 08:52:10:982,60788,0.8,60567,0.9,121328,0.9,162426,2.00 117,1,2024-09-07 08:52:11:577,427198,427198,0,0,198863296975,2083249732,422500,4218,480,370,392033,0 117,2,2024-09-07 08:52:11:127,307362,307362,0,0,13053377,0,3700 117,3,2024-09-07 08:52:11:060,1,243,1,0,490,3996,243,0 118,0,2024-09-07 08:52:11:798,59335,0.6,60941,0.8,124358,0.6,162672,2.00 118,1,2024-09-07 08:52:10:591,426856,426856,0,0,199496682546,2099697651,420491,4933,1432,366,391736,0 118,2,2024-09-07 08:52:11:627,307060,307060,0,0,14250834,0,2781 118,3,2024-09-07 08:52:11:772,1,243,7,0,235,2820,243,0 119,0,2024-09-07 08:52:11:334,60210,0.9,60684,0.9,121530,1.2,161396,2.25 119,1,2024-09-07 08:52:10:557,428190,428190,0,0,200167082601,2092843700,423539,4129,522,367,391641,0 119,2,2024-09-07 08:52:11:261,307850,307850,0,0,13436482,0,4174 119,3,2024-09-07 08:52:11:325,1,243,1,0,443,3451,243,0 120,0,2024-09-07 08:52:11:560,60287,0.5,59971,0.8,120373,0.5,160467,2.00 120,1,2024-09-07 08:52:10:872,427340,427340,0,0,200016148411,2099379886,422664,4326,350,368,391961,0 120,2,2024-09-07 08:52:10:786,306508,306507,1,0,15933204,0,5281 120,3,2024-09-07 08:52:11:294,1,243,1,0,241,3204,243,0 121,0,2024-09-07 08:52:11:745,60406,0.9,60558,1.0,121103,1.3,161105,2.00 121,1,2024-09-07 08:52:11:817,427630,427630,0,0,199766325310,2092126501,423037,4179,414,367,391840,0 121,2,2024-09-07 08:52:11:127,305255,305255,0,0,15256944,0,4127 121,3,2024-09-07 08:52:10:729,1,243,1,0,269,2833,243,0 122,0,2024-09-07 08:52:11:769,61010,0.9,59518,1.0,124845,1.1,164568,2.25 122,1,2024-09-07 08:52:10:885,426316,426316,0,0,199612295518,2097199023,420253,5247,816,366,392130,0 122,2,2024-09-07 08:52:11:324,307006,306933,73,0,17692976,0,5989 122,3,2024-09-07 08:52:10:605,1,243,2,0,411,4878,243,0 123,0,2024-09-07 08:52:10:953,60277,1.0,58927,0.9,122890,1.2,160874,2.25 123,1,2024-09-07 08:52:10:566,426858,426858,0,0,199737351517,2109406140,418189,6953,1716,369,391823,0 123,2,2024-09-07 08:52:11:019,305462,305461,1,0,14469648,0,5215 123,3,2024-09-07 08:52:11:134,1,243,4,0,168,2965,243,0 124,0,2024-09-07 08:52:10:934,62596,0.3,62566,0.5,118131,0.3,161782,1.75 124,1,2024-09-07 08:52:11:021,428736,428736,0,0,199867355590,2077286394,425899,2475,362,367,392178,0 124,2,2024-09-07 08:52:11:016,307324,307324,0,0,11833654,0,3101 124,3,2024-09-07 08:52:10:765,1,243,1,0,490,2756,243,0 125,0,2024-09-07 08:52:11:426,61097,0.3,60905,0.5,122310,0.3,162415,1.75 125,1,2024-09-07 08:52:10:872,427572,427572,0,0,200343639808,2090548969,424485,2696,391,383,391702,0 125,2,2024-09-07 08:52:11:131,307967,307967,0,0,12889704,0,4534 125,3,2024-09-07 08:52:11:127,1,243,1,0,709,3505,243,0 126,0,2024-09-07 08:52:11:427,61506,0.5,63159,0.6,120780,0.5,164114,1.75 126,1,2024-09-07 08:52:10:560,429088,429088,0,0,200646817330,2080212758,426408,2418,262,365,391987,0 126,2,2024-09-07 08:52:10:625,308732,308732,0,0,13156867,0,3186 126,3,2024-09-07 08:52:10:909,1,243,1,0,183,3290,243,0 127,0,2024-09-07 08:52:11:595,60703,0.4,60989,0.5,121428,0.4,161593,1.75 127,1,2024-09-07 08:52:10:571,428355,428355,0,0,200431021243,2077681223,425412,2790,153,365,391816,0 127,2,2024-09-07 08:52:10:637,307690,307690,0,0,11822318,0,2264 127,3,2024-09-07 08:52:11:268,1,243,1,0,968,2951,243,0 128,0,2024-09-07 08:52:11:530,61395,0.3,61413,0.5,122621,0.2,163024,1.50 128,1,2024-09-07 08:52:11:612,427868,427868,0,0,200034010555,2075610294,425696,2015,157,367,391798,0 128,2,2024-09-07 08:52:11:389,308248,308248,0,0,11826777,0,2434 128,3,2024-09-07 08:52:10:768,1,243,3,0,1082,5358,243,0 129,0,2024-09-07 08:52:11:006,61363,0.3,61016,0.4,122038,0.2,162064,1.50 129,1,2024-09-07 08:52:10:588,425901,425901,0,0,199684335188,2094456113,421754,3219,928,379,391835,0 129,2,2024-09-07 08:52:10:748,308678,308678,0,0,12786710,0,4031 129,3,2024-09-07 08:52:10:690,1,243,2,0,469,3310,243,0 130,0,2024-09-07 08:52:11:719,61588,0.5,61352,0.6,122844,0.5,164295,1.75 130,1,2024-09-07 08:52:10:593,428640,428640,0,0,200911359376,2090827216,426205,2357,78,381,391825,0 130,2,2024-09-07 08:52:11:127,307210,307210,0,0,12418979,0,4067 130,3,2024-09-07 08:52:11:298,1,243,2,0,450,3342,243,0 131,0,2024-09-07 08:52:11:938,60594,0.4,60909,0.5,122643,0.4,162466,1.75 131,1,2024-09-07 08:52:11:823,428705,428705,0,0,200348697891,2089606281,425659,2588,458,381,391865,0 131,2,2024-09-07 08:52:10:567,309400,309400,0,0,11360026,0,2415 131,3,2024-09-07 08:52:11:688,1,243,2,0,392,2501,243,0 132,0,2024-09-07 08:52:11:432,60792,0.5,61476,0.7,122811,0.5,163384,2.00 132,1,2024-09-07 08:52:10:588,425977,425977,0,0,199329535530,2104480767,418973,5751,1253,381,392097,0 132,2,2024-09-07 08:52:10:714,308037,308037,0,0,15207287,0,4606 132,3,2024-09-07 08:52:11:690,1,243,9,0,356,3507,243,0 133,0,2024-09-07 08:52:11:534,59271,0.4,60682,0.6,124145,0.3,161268,1.75 133,1,2024-09-07 08:52:10:586,426083,426083,0,0,200261977692,2109111773,420609,4807,667,383,391914,0 133,2,2024-09-07 08:52:11:093,306598,306598,0,0,16250825,0,4315 133,3,2024-09-07 08:52:11:304,1,243,5,0,276,2476,243,0 134,0,2024-09-07 08:52:10:940,61034,0.5,60913,0.6,122263,0.4,163003,2.00 134,1,2024-09-07 08:52:10:583,426115,426115,0,0,198601086975,2088581427,419670,4975,1470,366,391718,0 134,2,2024-09-07 08:52:11:764,305323,305323,0,0,13621219,0,3847 134,3,2024-09-07 08:52:10:767,1,243,1,0,739,3695,243,0 135,0,2024-09-07 08:52:11:099,59217,0.9,59183,1.0,125529,1.1,162590,2.25 135,1,2024-09-07 08:52:11:587,425686,425686,0,0,200292221324,2109199585,419492,5198,996,380,391805,0 135,2,2024-09-07 08:52:10:688,308144,308144,0,0,15094923,0,3981 135,3,2024-09-07 08:52:11:003,1,243,2,0,299,1842,243,0 136,0,2024-09-07 08:52:11:643,60823,0.7,60753,0.8,121420,0.7,161524,2.25 136,1,2024-09-07 08:52:11:449,426310,426310,0,0,199698105698,2099782935,420793,4933,584,382,391685,0 136,2,2024-09-07 08:52:11:146,308212,308212,0,0,14395880,0,3506 136,3,2024-09-07 08:52:11:107,1,243,1,0,126,1881,243,0 137,0,2024-09-07 08:52:10:931,62439,0.6,60682,0.7,119861,0.6,162240,2.00 137,1,2024-09-07 08:52:10:579,426508,426508,0,0,199816291445,2099113076,419564,5527,1417,366,391708,0 137,2,2024-09-07 08:52:11:719,306087,306087,0,0,15868082,0,3185 137,3,2024-09-07 08:52:10:786,1,243,71,0,382,3177,243,0 138,0,2024-09-07 08:52:11:758,60036,0.8,60052,0.9,120850,0.9,160241,2.00 138,1,2024-09-07 08:52:11:687,426502,426502,0,0,199859021260,2101123697,419538,5833,1131,368,391954,0 138,2,2024-09-07 08:52:10:587,306946,306946,0,0,14714451,0,4988 138,3,2024-09-07 08:52:10:613,1,243,2,0,1160,3833,243,0 139,0,2024-09-07 08:52:11:383,60210,2.0,60471,1.3,121298,3.1,162140,2.50 139,1,2024-09-07 08:52:10:582,424896,424896,0,0,198403445968,2110101220,416412,6372,2112,381,391892,0 139,2,2024-09-07 08:52:10:696,305794,305794,0,0,15321155,0,3097 139,3,2024-09-07 08:52:11:662,1,243,1,0,244,2531,243,0 140,0,2024-09-07 08:52:11:588,60920,0.3,60342,0.5,121327,0.3,161288,1.75 140,1,2024-09-07 08:52:11:542,429539,429539,0,0,201306037578,2075277454,427560,1737,242,365,391606,0 140,2,2024-09-07 08:52:10:689,308029,308029,0,0,12093676,0,3388 140,3,2024-09-07 08:52:10:769,1,243,1,0,247,2143,243,0 141,0,2024-09-07 08:52:11:702,60969,0.3,62651,0.4,119835,0.2,162746,1.50 141,1,2024-09-07 08:52:10:861,428775,428775,0,0,200777107776,2083590938,426205,2162,408,379,391614,0 141,2,2024-09-07 08:52:11:686,307715,307715,0,0,11726959,0,2342 141,3,2024-09-07 08:52:11:043,1,243,1,0,202,2309,243,0 142,0,2024-09-07 08:52:11:330,61809,0.3,61200,0.4,121672,0.2,163118,1.50 142,1,2024-09-07 08:52:10:587,428346,428346,0,0,200293963368,2088845057,425555,2526,265,382,392102,0 142,2,2024-09-07 08:52:11:298,307553,307521,32,0,13499340,0,6028 142,3,2024-09-07 08:52:11:762,1,243,4,0,484,3092,243,0 143,0,2024-09-07 08:52:11:412,61063,0.5,61075,0.6,122534,0.5,162654,1.75 143,1,2024-09-07 08:52:10:578,428229,428229,0,0,199831594687,2073117190,425516,2618,95,367,391651,0 143,2,2024-09-07 08:52:10:784,306671,306671,0,0,12655855,0,2669 143,3,2024-09-07 08:52:11:141,1,243,4,0,303,3214,243,0 144,0,2024-09-07 08:52:11:525,59210,0.7,60806,0.9,123843,0.6,163325,2.00 144,1,2024-09-07 08:52:10:570,426109,426109,0,0,199213360432,2092524014,422108,3079,922,381,391649,0 144,2,2024-09-07 08:52:11:764,308328,308328,0,0,12141060,0,3473 144,3,2024-09-07 08:52:11:745,1,243,1,0,249,2886,243,0 145,0,2024-09-07 08:52:11:429,58801,0.6,58745,0.8,124639,0.6,161370,2.25 145,1,2024-09-07 08:52:10:559,425693,425693,0,0,199851708067,2102955473,420199,4671,823,382,391698,0 145,2,2024-09-07 08:52:11:435,307360,307360,0,0,14399641,0,3903 145,3,2024-09-07 08:52:10:931,1,243,35,0,622,4021,243,0 146,0,2024-09-07 08:52:11:595,60481,0.5,60082,0.7,121070,0.5,160705,2.00 146,1,2024-09-07 08:52:11:588,426704,426704,0,0,199517421976,2104594682,418454,6403,1847,368,391770,0 146,2,2024-09-07 08:52:11:700,307112,307112,0,0,14311611,0,2730 146,3,2024-09-07 08:52:11:274,1,243,0,0,1520,5887,243,0 147,0,2024-09-07 08:52:11:706,60671,0.6,60650,0.8,120346,0.6,162090,2.25 147,1,2024-09-07 08:52:11:390,428487,428487,0,0,200131075968,2083267149,424390,3512,585,368,391791,0 147,2,2024-09-07 08:52:11:012,307347,307347,0,0,12111468,0,2789 147,3,2024-09-07 08:52:10:919,1,243,0,0,730,3897,243,0 0,0,2024-09-07 08:52:21:710,58779,0.5,58732,0.7,124628,0.4,161125,1.75 0,1,2024-09-07 08:52:20:801,429227,429227,0,0,200783510592,2101029442,426016,3007,204,370,391772,0 0,2,2024-09-07 08:52:21:067,308558,308558,0,0,12912897,0,4480 0,3,2024-09-07 08:52:20:978,1,244,22,0,319,3381,244,0 1,0,2024-09-07 08:52:21:792,60790,0.8,60291,0.9,121387,1.0,161542,2.00 1,1,2024-09-07 08:52:20:564,428945,428945,0,0,200444930187,2101525040,424451,3441,1053,371,391857,0 1,2,2024-09-07 08:52:20:640,306817,306817,0,0,11899683,0,3267 1,3,2024-09-07 08:52:21:309,1,244,2,0,262,2924,244,0 2,0,2024-09-07 08:52:21:575,61817,0.7,62108,0.8,123308,0.9,165395,2.00 2,1,2024-09-07 08:52:20:860,429396,429396,0,0,201171647139,2090314114,427292,1886,218,380,391745,0 2,2,2024-09-07 08:52:21:282,309477,309477,0,0,12463222,0,3594 2,3,2024-09-07 08:52:20:697,1,244,1,0,357,2266,244,0 3,0,2024-09-07 08:52:21:753,60642,0.5,60726,0.7,120749,0.5,160858,2.00 3,1,2024-09-07 08:52:21:621,429237,429237,0,0,200739462234,2092512316,425398,3377,462,380,391523,0 3,2,2024-09-07 08:52:21:145,309019,308996,23,0,12729591,0,5851 3,3,2024-09-07 08:52:21:751,1,244,1,0,103,1508,244,0 4,0,2024-09-07 08:52:21:835,58938,0.4,60599,0.5,123345,0.3,161274,1.75 4,1,2024-09-07 08:52:20:608,428637,428637,0,0,200523189750,2107756618,423903,3946,788,371,391846,0 4,2,2024-09-07 08:52:21:025,307172,307172,0,0,14964740,0,4528 4,3,2024-09-07 08:52:21:030,1,244,4,0,448,3765,244,0 5,0,2024-09-07 08:52:21:409,61088,0.4,61455,0.5,122018,0.3,162551,1.75 5,1,2024-09-07 08:52:20:771,428721,428721,0,0,200682576892,2111660700,423230,4379,1112,368,392005,0 5,2,2024-09-07 08:52:21:843,306976,306976,0,0,13655346,0,2432 5,3,2024-09-07 08:52:21:742,1,244,1,0,457,3803,244,0 6,0,2024-09-07 08:52:20:936,62268,0.6,61565,0.8,123086,0.6,165060,2.00 6,1,2024-09-07 08:52:20:747,428978,428978,0,0,200649945229,2098478997,424305,3829,844,379,391694,0 6,2,2024-09-07 08:52:21:122,308475,308475,0,0,13620873,0,4816 6,3,2024-09-07 08:52:21:285,1,244,5,0,340,2943,244,0 7,0,2024-09-07 08:52:21:575,60427,0.5,60655,0.7,120933,0.5,160787,2.00 7,1,2024-09-07 08:52:20:862,428747,428747,0,0,200828586205,2108815144,422847,4897,1003,382,391747,0 7,2,2024-09-07 08:52:20:771,308040,308040,0,0,13429243,0,4791 7,3,2024-09-07 08:52:20:855,1,244,0,0,398,3101,244,0 8,0,2024-09-07 08:52:21:364,61419,0.4,61351,0.5,122228,0.3,163439,1.75 8,1,2024-09-07 08:52:21:016,427312,427312,0,0,200103378782,2112306477,419250,6053,2009,367,391956,0 8,2,2024-09-07 08:52:20:790,305164,305164,0,0,15963119,0,3220 8,3,2024-09-07 08:52:20:597,1,244,2,0,357,3665,244,0 9,0,2024-09-07 08:52:21:158,61179,0.3,59436,0.5,124056,0.3,162707,1.75 9,1,2024-09-07 08:52:20:553,428200,428200,0,0,200686347206,2116824482,421717,4942,1541,370,392001,0 9,2,2024-09-07 08:52:21:083,308580,308580,0,0,14160050,0,3360 9,3,2024-09-07 08:52:21:752,1,244,2,0,496,3630,244,0 10,0,2024-09-07 08:52:21:610,61848,0.3,61338,0.5,123356,0.2,164936,1.75 10,1,2024-09-07 08:52:20:586,428993,428993,0,0,200316419743,2101893216,422633,5432,928,381,391741,0 10,2,2024-09-07 08:52:20:765,307190,307190,0,0,15604088,0,4264 10,3,2024-09-07 08:52:20:871,1,244,1,0,177,2076,244,0 11,0,2024-09-07 08:52:21:013,60429,0.5,58749,0.7,123000,0.4,162966,1.75 11,1,2024-09-07 08:52:20:578,428841,428841,0,0,200457496429,2110918198,420653,6207,1981,383,391537,0 11,2,2024-09-07 08:52:21:130,308741,308741,0,0,14651107,0,4130 11,3,2024-09-07 08:52:21:300,1,244,1,0,843,3973,244,0 12,0,2024-09-07 08:52:20:966,61842,0.4,61631,0.5,123288,0.3,164168,1.75 12,1,2024-09-07 08:52:20:947,429021,429021,0,0,200097058791,2087310513,425509,3091,421,370,391870,0 12,2,2024-09-07 08:52:21:560,309972,309972,0,0,13741663,0,3469 12,3,2024-09-07 08:52:21:061,1,244,2,0,386,4143,244,0 13,0,2024-09-07 08:52:21:345,61258,0.3,61163,0.5,121950,0.3,162355,1.50 13,1,2024-09-07 08:52:21:528,429172,429172,0,0,200308270169,2102552618,425624,3007,541,382,391717,0 13,2,2024-09-07 08:52:20:615,309736,309736,0,0,12911251,0,3287 13,3,2024-09-07 08:52:21:795,1,244,6,0,467,3886,244,0 14,0,2024-09-07 08:52:20:573,61477,0.4,61946,0.6,122718,0.3,163743,1.75 14,1,2024-09-07 08:52:21:574,431768,431768,0,0,201652811195,2087903789,428937,2642,189,364,391571,0 14,2,2024-09-07 08:52:20:774,306510,306510,0,0,12865390,0,2896 14,3,2024-09-07 08:52:21:117,1,244,9,0,1168,3439,244,0 15,0,2024-09-07 08:52:21:567,61440,0.5,61691,0.7,123274,0.5,164440,2.00 15,1,2024-09-07 08:52:21:613,429880,429880,0,0,201181180210,2091892657,427361,2341,178,381,391619,0 15,2,2024-09-07 08:52:20:997,309970,309970,0,0,10938923,0,3043 15,3,2024-09-07 08:52:21:407,1,244,1,0,1126,5208,244,0 16,0,2024-09-07 08:52:20:950,60752,0.8,60972,0.8,121257,0.8,161818,2.25 16,1,2024-09-07 08:52:20:577,429901,429901,0,0,200488140830,2096303890,426342,3260,299,370,391756,0 16,2,2024-09-07 08:52:21:439,308485,308485,0,0,13613343,0,4719 16,3,2024-09-07 08:52:21:154,1,244,10,0,317,3452,244,0 17,0,2024-09-07 08:52:21:953,62654,0.5,61156,0.7,119680,0.4,162369,1.75 17,1,2024-09-07 08:52:20:581,428577,428577,0,0,200394065867,2105199620,423735,3738,1104,368,391845,0 17,2,2024-09-07 08:52:21:680,310874,310874,0,0,12761254,0,2857 17,3,2024-09-07 08:52:20:579,1,244,13,0,268,3988,244,0 18,0,2024-09-07 08:52:20:967,59880,0.7,60109,0.8,120079,0.7,159930,2.25 18,1,2024-09-07 08:52:21:644,430129,430129,0,0,201539469203,2089638668,427621,2267,241,367,391649,0 18,2,2024-09-07 08:52:21:757,308792,308792,0,0,12431236,0,3541 18,3,2024-09-07 08:52:20:901,1,244,10,0,163,2522,244,0 19,0,2024-09-07 08:52:21:540,60916,0.7,61663,0.8,121948,0.7,162682,2.25 19,1,2024-09-07 08:52:20:573,429813,429813,0,0,201711063836,2097710830,425155,3872,786,367,391777,0 19,2,2024-09-07 08:52:21:752,310731,310731,0,0,11452824,0,3988 19,3,2024-09-07 08:52:21:131,1,244,0,0,524,1876,244,0 20,0,2024-09-07 08:52:21:395,60274,0.7,60345,0.8,120939,0.8,160958,2.25 20,1,2024-09-07 08:52:20:574,428652,428652,0,0,200952830534,2103346799,424837,3417,398,369,391886,0 20,2,2024-09-07 08:52:20:938,308595,308595,0,0,13116358,0,3721 20,3,2024-09-07 08:52:20:597,1,244,8,0,414,4595,244,0 21,0,2024-09-07 08:52:21:167,61248,0.5,61524,0.6,122617,0.4,162609,2.00 21,1,2024-09-07 08:52:21:551,427757,427757,0,0,199725165874,2104758693,422039,4461,1257,368,391962,0 21,2,2024-09-07 08:52:21:067,307538,307538,0,0,14868960,0,3747 21,3,2024-09-07 08:52:21:419,1,244,1,0,103,2602,244,0 22,0,2024-09-07 08:52:21:723,61207,0.6,61271,0.7,122195,0.5,162849,2.25 22,1,2024-09-07 08:52:21:025,428287,428287,0,0,199977092383,2107968399,421057,5588,1642,382,391667,0 22,2,2024-09-07 08:52:20:761,308492,308492,0,0,13112107,0,3134 22,3,2024-09-07 08:52:21:070,1,244,1,0,228,2302,244,0 23,0,2024-09-07 08:52:21:370,61070,0.6,60850,0.8,122116,0.6,162784,2.25 23,1,2024-09-07 08:52:21:003,428864,428864,0,0,201213666076,2115019779,421555,4758,2551,365,391690,0 23,2,2024-09-07 08:52:21:099,308453,308453,0,0,12673566,0,3010 23,3,2024-09-07 08:52:21:765,1,244,0,0,645,3264,244,0 24,0,2024-09-07 08:52:20:871,62182,0.4,62051,0.6,124385,0.4,165971,1.75 24,1,2024-09-07 08:52:20:586,428394,428394,0,0,199321216996,2093568412,422785,4130,1479,368,391987,0 24,2,2024-09-07 08:52:21:072,307238,307238,0,0,15144059,0,3607 24,3,2024-09-07 08:52:21:695,1,244,8,0,468,3704,244,0 25,0,2024-09-07 08:52:21:397,62828,0.5,61228,0.7,120144,0.5,164300,2.00 25,1,2024-09-07 08:52:20:571,429331,429331,0,0,200827606130,2102955216,425044,3733,554,371,391788,0 25,2,2024-09-07 08:52:21:620,308183,308183,0,0,15583425,0,3978 25,3,2024-09-07 08:52:21:003,1,244,0,0,255,2666,244,0 26,0,2024-09-07 08:52:21:730,60506,0.4,59223,0.6,124004,0.3,161460,1.75 26,1,2024-09-07 08:52:21:560,428926,428926,0,0,200446461863,2104973872,421961,5749,1216,381,391748,0 26,2,2024-09-07 08:52:20:868,308809,308809,0,0,15239986,0,2809 26,3,2024-09-07 08:52:21:712,1,244,0,0,796,3235,244,0 27,0,2024-09-07 08:52:21:724,61425,0.4,61292,0.6,121515,0.4,162498,2.00 27,1,2024-09-07 08:52:21:684,430877,430877,0,0,201529565212,2097607021,427428,2857,592,381,391558,0 27,2,2024-09-07 08:52:20:893,305457,305392,65,0,15350352,0,5699 27,3,2024-09-07 08:52:21:015,1,244,1,0,564,2697,244,0 28,0,2024-09-07 08:52:21:388,61636,0.5,61282,0.7,123158,0.5,164705,2.00 28,1,2024-09-07 08:52:20:798,430101,430101,0,0,200805419563,2091243803,427262,2368,471,383,391646,0 28,2,2024-09-07 08:52:21:770,309527,309527,0,0,12137796,0,2915 28,3,2024-09-07 08:52:21:778,1,244,1,0,502,2554,244,0 29,0,2024-09-07 08:52:21:379,62408,0.4,61061,0.6,119303,0.4,163035,1.75 29,1,2024-09-07 08:52:21:560,430967,430967,0,0,201500329419,2087207881,427744,2658,565,369,391621,0 29,2,2024-09-07 08:52:20:873,308835,308835,0,0,11607416,0,4018 29,3,2024-09-07 08:52:20:977,1,244,9,0,115,1781,244,0 30,0,2024-09-07 08:52:21:475,60336,0.5,58732,0.7,122661,0.4,160475,2.00 30,1,2024-09-07 08:52:20:574,430800,430800,0,0,201161216943,2085174562,428471,2036,293,382,391672,0 30,2,2024-09-07 08:52:21:281,308945,308945,0,0,11491168,0,3161 30,3,2024-09-07 08:52:20:582,1,244,0,0,195,1879,244,0 31,0,2024-09-07 08:52:21:772,60266,0.4,60584,0.6,121482,0.3,161434,2.00 31,1,2024-09-07 08:52:20:564,432090,432090,0,0,202544406369,2075725896,430702,1169,219,356,391712,0 31,2,2024-09-07 08:52:21:280,306844,306844,0,0,13022919,0,3525 31,3,2024-09-07 08:52:21:708,1,244,1,0,220,1912,244,0 32,0,2024-09-07 08:52:21:434,61677,0.4,62231,0.5,124187,0.3,165926,1.75 32,1,2024-09-07 08:52:20:804,430754,430754,0,0,201139743984,2087602032,428799,1676,279,381,391622,0 32,2,2024-09-07 08:52:20:943,309826,309826,0,0,11396655,0,3155 32,3,2024-09-07 08:52:21:015,1,244,1,0,227,2001,244,0 33,0,2024-09-07 08:52:21:505,61140,0.3,60377,0.5,121547,0.2,161744,1.75 33,1,2024-09-07 08:52:20:586,430956,430956,0,0,202178870209,2089555509,428376,2406,174,369,391730,0 33,2,2024-09-07 08:52:20:762,309976,309943,33,0,13158932,0,7012 33,3,2024-09-07 08:52:20:902,1,244,2,0,329,2896,244,0 34,0,2024-09-07 08:52:20:952,60751,0.3,62513,0.5,119963,0.2,160666,1.75 34,1,2024-09-07 08:52:21:044,431462,431462,0,0,202022269835,2078486228,430366,1089,7,367,391562,0 34,2,2024-09-07 08:52:20:765,308508,308508,0,0,12423888,0,3577 34,3,2024-09-07 08:52:21:688,1,244,1,0,299,2037,244,0 35,0,2024-09-07 08:52:20:864,60658,0.3,61030,0.5,122633,0.3,162954,1.75 35,1,2024-09-07 08:52:21:076,430471,430471,0,0,201098230958,2087060292,427126,2565,780,384,391589,0 35,2,2024-09-07 08:52:21:591,309119,309119,0,0,11911630,0,2653 35,3,2024-09-07 08:52:20:909,1,244,1,0,418,2957,244,0 36,0,2024-09-07 08:52:21:537,61839,0.6,61799,0.8,123763,0.6,164753,2.25 36,1,2024-09-07 08:52:20:598,429658,429658,0,0,201375666317,2108155770,423678,4401,1579,366,391759,0 36,2,2024-09-07 08:52:21:753,308026,308026,0,0,13919859,0,3875 36,3,2024-09-07 08:52:20:867,1,244,2,0,416,3977,244,0 37,0,2024-09-07 08:52:21:381,60357,0.6,60307,0.7,120778,0.5,161719,2.00 37,1,2024-09-07 08:52:20:579,428663,428656,0,7,200191110221,2100246751,422649,3940,2067,365,391560,0 37,2,2024-09-07 08:52:21:144,307886,307871,15,0,14271099,0,5815 37,3,2024-09-07 08:52:21:771,1,244,1,0,888,4419,244,0 38,0,2024-09-07 08:52:21:451,60694,0.5,59018,0.7,123556,0.4,161580,2.00 38,1,2024-09-07 08:52:21:612,429901,429901,0,0,200846834493,2098707237,424630,4596,675,368,391821,0 38,2,2024-09-07 08:52:20:762,308367,308320,47,0,14630350,0,6710 38,3,2024-09-07 08:52:20:996,1,244,11,0,689,3584,244,0 39,0,2024-09-07 08:52:21:761,62416,0.6,61294,0.7,118931,0.6,162867,2.00 39,1,2024-09-07 08:52:20:717,429689,429689,0,0,200769909154,2097657185,424129,4658,902,365,391594,0 39,2,2024-09-07 08:52:21:417,308607,308607,0,0,12713575,0,2689 39,3,2024-09-07 08:52:20:718,1,244,1,0,324,3406,244,0 40,0,2024-09-07 08:52:21:506,61021,0.7,61586,0.9,123150,0.7,164464,2.75 40,1,2024-09-07 08:52:20:578,429295,429295,0,0,200023708275,2098535950,422295,5557,1443,368,391591,0 40,2,2024-09-07 08:52:21:305,307087,307086,1,0,15672650,0,5137 40,3,2024-09-07 08:52:21:155,1,244,5,0,398,3031,244,0 41,0,2024-09-07 08:52:21:036,60219,2.1,61651,1.5,117677,3.3,161213,4.00 41,1,2024-09-07 08:52:20:806,429347,429347,0,0,200804957582,2101305578,423811,4902,634,370,391742,0 41,2,2024-09-07 08:52:20:767,307547,307547,0,0,14868668,0,3356 41,3,2024-09-07 08:52:21:676,1,244,1,0,366,2773,244,0 42,0,2024-09-07 08:52:21:487,60492,0.9,60832,1.0,121644,1.0,160824,2.75 42,1,2024-09-07 08:52:21:447,427977,427977,0,0,200408161552,2108481764,420819,5706,1452,380,391675,0 42,2,2024-09-07 08:52:21:137,309196,309196,0,0,14171998,0,3568 42,3,2024-09-07 08:52:21:013,1,244,1,0,446,2060,244,0 43,0,2024-09-07 08:52:20:926,60609,0.7,59091,0.9,123356,0.7,162094,2.25 43,1,2024-09-07 08:52:20:577,428851,428851,0,0,201058521741,2102758182,422591,4931,1329,366,391696,0 43,2,2024-09-07 08:52:21:739,309105,309105,0,0,13647850,0,3812 43,3,2024-09-07 08:52:21:749,1,244,1,0,467,3054,244,0 44,0,2024-09-07 08:52:20:873,61170,0.5,61611,0.7,122837,0.4,163832,1.75 44,1,2024-09-07 08:52:20:574,430770,430770,0,0,200758226403,2073091647,428214,2124,432,356,391809,0 44,2,2024-09-07 08:52:21:274,306289,306289,0,0,11499575,0,2231 44,3,2024-09-07 08:52:21:098,1,244,20,0,817,2936,244,0 45,0,2024-09-07 08:52:21:791,60609,0.7,59269,0.9,124343,0.7,164320,2.00 45,1,2024-09-07 08:52:21:005,430290,430290,0,0,202102569395,2094309892,428640,1638,12,382,391917,0 45,2,2024-09-07 08:52:21:272,310126,310126,0,0,12209277,0,3596 45,3,2024-09-07 08:52:20:938,1,244,7,0,271,2668,244,0 46,0,2024-09-07 08:52:20:960,60311,0.6,60183,0.8,120645,0.7,159496,2.00 46,1,2024-09-07 08:52:20:576,431633,431633,0,0,201530666564,2080840981,429287,2072,274,366,391539,0 46,2,2024-09-07 08:52:20:593,309600,309600,0,0,11788287,0,2920 46,3,2024-09-07 08:52:21:138,1,244,0,0,908,3753,244,0 47,0,2024-09-07 08:52:21:109,61113,0.4,60949,0.6,122546,0.4,161938,1.75 47,1,2024-09-07 08:52:20:569,431513,431513,0,0,201165245733,2076827058,429191,2188,134,366,391605,0 47,2,2024-09-07 08:52:20:914,310048,310048,0,0,11861016,0,2558 47,3,2024-09-07 08:52:21:130,1,244,0,0,529,2259,244,0 48,0,2024-09-07 08:52:21:497,60926,0.3,60555,0.4,120641,0.2,160748,1.50 48,1,2024-09-07 08:52:21:027,430693,430693,0,0,200791615392,2087003351,427593,2801,299,384,391710,0 48,2,2024-09-07 08:52:20:719,308083,308083,0,0,11492971,0,3031 48,3,2024-09-07 08:52:20:762,1,244,2,0,339,2088,244,0 49,0,2024-09-07 08:52:21:718,63191,0.4,62083,0.5,120127,0.3,164858,1.75 49,1,2024-09-07 08:52:21:028,429448,429448,0,0,201558128548,2102171903,425591,2676,1181,382,391809,0 49,2,2024-09-07 08:52:21:799,310313,310313,0,0,12094414,0,3900 49,3,2024-09-07 08:52:21:417,1,244,2,0,408,2757,244,0 50,0,2024-09-07 08:52:21:524,60559,0.3,59976,0.5,120776,0.2,160885,1.75 50,1,2024-09-07 08:52:21:012,431516,431516,0,0,202311189481,2093578841,428679,2527,310,368,391530,0 50,2,2024-09-07 08:52:21:071,309166,309166,0,0,11387978,0,2263 50,3,2024-09-07 08:52:21:292,1,244,1,0,335,2052,244,0 51,0,2024-09-07 08:52:21:684,62650,0.3,61247,0.5,119391,0.2,162839,1.75 51,1,2024-09-07 08:52:21:681,431311,431311,0,0,202475438962,2091029110,428516,1856,939,365,391706,0 51,2,2024-09-07 08:52:21:327,308571,308571,0,0,10802586,0,3337 51,3,2024-09-07 08:52:21:029,1,244,1,0,678,2011,244,0 52,0,2024-09-07 08:52:21:421,61966,0.4,61482,0.6,122882,0.4,163483,2.00 52,1,2024-09-07 08:52:20:593,429372,429372,0,0,200446152213,2104450564,422215,5903,1254,368,391722,0 52,2,2024-09-07 08:52:21:758,306983,306945,38,0,14878658,0,6742 52,3,2024-09-07 08:52:20:675,1,244,2,0,1782,4446,244,0 53,0,2024-09-07 08:52:21:737,60652,0.7,58959,0.8,123408,0.8,162017,2.50 53,1,2024-09-07 08:52:20:781,428268,428268,0,0,200258805629,2105998274,420944,4968,2356,367,391702,0 53,2,2024-09-07 08:52:21:298,308241,308241,0,0,12338223,0,2727 53,3,2024-09-07 08:52:20:701,1,244,1,0,271,2287,244,0 54,0,2024-09-07 08:52:21:620,60695,0.8,61232,0.9,121257,0.6,163155,2.75 54,1,2024-09-07 08:52:20:580,429383,429383,0,0,201559672585,2098374843,424647,4139,597,367,391659,0 54,2,2024-09-07 08:52:20:870,308411,308405,6,0,13682378,0,5382 54,3,2024-09-07 08:52:20:770,1,244,2,0,676,3742,244,0 55,0,2024-09-07 08:52:21:763,58986,0.7,61163,0.8,123134,0.6,160661,2.50 55,1,2024-09-07 08:52:20:764,428900,428900,0,0,200653319222,2091628425,423350,4763,787,365,391731,0 55,2,2024-09-07 08:52:20:729,308356,308356,0,0,13900721,0,3275 55,3,2024-09-07 08:52:20:693,1,244,0,0,304,2867,244,0 56,0,2024-09-07 08:52:21:559,62136,1.0,58596,1.1,120608,1.3,161363,2.50 56,1,2024-09-07 08:52:20:578,427360,427360,0,0,200547273968,2122013265,420226,5696,1438,381,391678,0 56,2,2024-09-07 08:52:21:312,308832,308832,0,0,14865927,0,3567 56,3,2024-09-07 08:52:21:069,1,244,94,0,705,3637,244,0 57,0,2024-09-07 08:52:20:952,60164,1.6,60328,1.3,120727,2.2,161416,3.25 57,1,2024-09-07 08:52:20:994,428872,428872,0,0,200143647518,2098929836,423551,4651,670,367,391960,0 57,2,2024-09-07 08:52:21:328,307170,307170,0,0,15802619,0,3317 57,3,2024-09-07 08:52:21:738,1,244,1,0,359,3363,244,0 58,0,2024-09-07 08:52:20:563,60228,0.9,58573,1.0,122599,1.1,161164,2.50 58,1,2024-09-07 08:52:20:577,429431,429428,0,3,201758125592,2109557467,423698,5020,710,367,391545,3 58,2,2024-09-07 08:52:21:079,309498,309498,0,0,14266370,0,2549 58,3,2024-09-07 08:52:21:076,1,244,1,0,1043,2838,244,0 59,0,2024-09-07 08:52:21:745,60424,0.9,60270,1.0,120207,0.9,159771,3.00 59,1,2024-09-07 08:52:20:808,429314,429314,0,0,201301207488,2108083876,423526,4523,1265,369,391525,0 59,2,2024-09-07 08:52:20:586,310329,310329,0,0,12978802,0,2604 59,3,2024-09-07 08:52:21:744,1,244,4,0,1015,3506,244,0 60,0,2024-09-07 08:52:21:709,60678,0.4,60381,0.6,121782,0.4,161116,1.75 60,1,2024-09-07 08:52:20:782,430863,430863,0,0,201657770045,2091496217,428426,1920,517,370,392031,0 60,2,2024-09-07 08:52:21:146,308676,308676,0,0,14143986,0,3811 60,3,2024-09-07 08:52:21:264,1,244,1,0,124,2409,244,0 61,0,2024-09-07 08:52:21:528,60580,0.7,60884,0.8,121223,0.7,161599,2.00 61,1,2024-09-07 08:52:20:776,429459,429459,0,0,200938778871,2103367105,424889,3867,703,382,391880,0 61,2,2024-09-07 08:52:21:118,307933,307933,0,0,12429813,0,2780 61,3,2024-09-07 08:52:21:699,1,244,9,0,397,3331,244,0 62,0,2024-09-07 08:52:21:715,62350,0.7,63575,0.8,121188,0.7,165622,2.00 62,1,2024-09-07 08:52:21:126,431972,431966,0,6,201979601126,2083810138,429507,2342,117,365,391715,6 62,2,2024-09-07 08:52:21:673,308420,308419,1,0,13792929,0,5555 62,3,2024-09-07 08:52:21:149,1,244,2,0,287,1741,244,0 63,0,2024-09-07 08:52:21:460,60569,0.5,60745,0.6,121697,0.5,161307,1.75 63,1,2024-09-07 08:52:20:804,431244,431238,0,6,200963095717,2081879369,429663,1525,50,381,391677,6 63,2,2024-09-07 08:52:20:772,309587,309587,0,0,11564636,0,2674 63,3,2024-09-07 08:52:21:739,1,244,1,0,667,2852,244,0 64,0,2024-09-07 08:52:21:529,60267,0.4,60322,0.6,120823,0.4,160521,1.75 64,1,2024-09-07 08:52:20:753,429904,429904,0,0,201155982772,2099587023,425420,3070,1414,370,391783,0 64,2,2024-09-07 08:52:21:146,310781,310762,19,0,12521889,0,6121 64,3,2024-09-07 08:52:21:146,1,244,0,0,265,2526,244,0 65,0,2024-09-07 08:52:21:688,60605,0.6,60983,0.7,121674,0.6,161845,2.00 65,1,2024-09-07 08:52:20:861,429038,429038,0,0,200060251987,2094278667,425489,3106,443,382,391901,0 65,2,2024-09-07 08:52:21:698,308708,308708,0,0,13218178,0,3367 65,3,2024-09-07 08:52:21:686,1,244,1,0,163,2334,244,0 66,0,2024-09-07 08:52:21:768,61373,0.5,61288,0.7,123262,0.5,163996,2.00 66,1,2024-09-07 08:52:21:295,430720,430720,0,0,201446463396,2093971050,428148,2372,200,380,391653,0 66,2,2024-09-07 08:52:21:138,310683,310683,0,0,12916651,0,4956 66,3,2024-09-07 08:52:21:086,1,244,3,0,291,2612,244,0 67,0,2024-09-07 08:52:21:414,61053,0.6,60571,0.8,121917,0.7,161819,2.25 67,1,2024-09-07 08:52:20:772,429517,429516,0,1,201345927315,2101178679,425672,3097,747,380,391787,1 67,2,2024-09-07 08:52:20:583,310117,310117,0,0,11984986,0,2889 67,3,2024-09-07 08:52:21:751,1,244,0,0,338,2323,244,0 68,0,2024-09-07 08:52:20:561,61350,0.6,61159,0.8,121933,0.6,163293,2.00 68,1,2024-09-07 08:52:20:576,428855,428855,0,0,199884107141,2097191640,424678,3007,1170,381,391953,0 68,2,2024-09-07 08:52:21:046,307476,307411,65,0,15718631,0,6698 68,3,2024-09-07 08:52:20:732,1,244,1,0,417,2781,244,0 69,0,2024-09-07 08:52:21:752,60706,0.7,61042,0.8,121631,0.7,161944,2.25 69,1,2024-09-07 08:52:21:024,427730,427730,0,0,200019308209,2112513992,422029,4299,1402,384,391994,0 69,2,2024-09-07 08:52:21:746,308500,308500,0,0,15445162,0,3722 69,3,2024-09-07 08:52:20:775,1,244,1,0,698,3600,244,0 70,0,2024-09-07 08:52:21:537,61271,0.9,61267,1.0,123056,0.6,164152,2.25 70,1,2024-09-07 08:52:20:801,429877,429877,0,0,202051384657,2099158040,426214,3135,528,366,391725,0 70,2,2024-09-07 08:52:21:336,307088,307088,0,0,14171449,0,4044 70,3,2024-09-07 08:52:20:745,1,244,5,0,854,2910,244,0 71,0,2024-09-07 08:52:21:382,60377,1.3,60169,1.2,120677,1.8,161820,3.00 71,1,2024-09-07 08:52:21:601,429207,429207,0,0,200749492825,2096401780,424054,4532,621,368,391738,0 71,2,2024-09-07 08:52:21:071,308792,308792,0,0,14505136,0,4042 71,3,2024-09-07 08:52:21:751,1,244,0,0,644,3609,244,0 72,0,2024-09-07 08:52:21:035,63331,0.8,61906,0.9,120745,0.9,164610,2.25 72,1,2024-09-07 08:52:21:033,428983,428983,0,0,200455652254,2094264060,423928,4124,931,369,391819,0 72,2,2024-09-07 08:52:21:773,308523,308523,0,0,15915753,0,3983 72,3,2024-09-07 08:52:21:767,1,244,6,0,564,4399,244,0 73,0,2024-09-07 08:52:21:119,59462,0.4,60804,0.6,124735,0.3,161820,2.00 73,1,2024-09-07 08:52:20:777,429714,429714,0,0,200804283366,2087924117,426628,2851,235,367,391750,0 73,2,2024-09-07 08:52:21:739,309290,309290,0,0,15321674,0,3701 73,3,2024-09-07 08:52:20:970,1,244,9,0,274,3650,244,0 74,0,2024-09-07 08:52:21:334,61876,0.5,63192,0.7,120477,0.4,163724,2.25 74,1,2024-09-07 08:52:20:634,429289,429289,0,0,200304044803,2092875566,424889,3601,799,381,391681,0 74,2,2024-09-07 08:52:21:002,306666,306666,0,0,14665674,0,4253 74,3,2024-09-07 08:52:21:442,1,244,3,0,522,3332,244,0 75,0,2024-09-07 08:52:21:779,61753,0.6,61469,0.8,123324,0.5,165243,2.50 75,1,2024-09-07 08:52:21:591,428737,428737,0,0,200696450282,2099538370,423829,4245,663,380,391660,0 75,2,2024-09-07 08:52:21:364,308674,308674,0,0,15195504,0,4766 75,3,2024-09-07 08:52:21:067,1,244,2,0,702,3533,244,0 76,0,2024-09-07 08:52:20:611,60350,0.8,59776,0.9,119687,0.8,160422,2.50 76,1,2024-09-07 08:52:20:805,429561,429561,0,0,200449854291,2091717921,426501,2512,548,382,391692,0 76,2,2024-09-07 08:52:21:070,310673,310673,0,0,12437329,0,3784 76,3,2024-09-07 08:52:21:146,1,244,1,0,175,2590,244,0 77,0,2024-09-07 08:52:21:700,60841,0.5,61159,0.7,121838,0.5,161658,1.75 77,1,2024-09-07 08:52:20:824,430068,430068,0,0,201003004281,2099450536,426619,3192,257,383,391808,0 77,2,2024-09-07 08:52:21:292,307769,307769,0,0,13033853,0,3890 77,3,2024-09-07 08:52:21:102,1,244,1,0,382,2813,244,0 78,0,2024-09-07 08:52:21:724,60717,0.4,60129,0.6,121299,0.4,160011,2.00 78,1,2024-09-07 08:52:20:620,430331,430331,0,0,200731292958,2083827150,427335,2677,319,367,391670,0 78,2,2024-09-07 08:52:21:411,308568,308568,0,0,11639780,0,3855 78,3,2024-09-07 08:52:21:138,1,244,0,0,181,2426,244,0 79,0,2024-09-07 08:52:21:351,59561,0.4,61078,0.6,124906,0.4,162573,2.25 79,1,2024-09-07 08:52:20:581,431416,431416,0,0,201540310525,2085793219,428573,2507,336,369,391682,0 79,2,2024-09-07 08:52:21:072,310119,310119,0,0,11799629,0,3212 79,3,2024-09-07 08:52:20:755,1,244,2,0,418,3679,244,0 80,0,2024-09-07 08:52:21:111,60425,0.6,62198,0.7,119001,0.7,160657,2.00 80,1,2024-09-07 08:52:21:623,429573,429573,0,0,201190286706,2095049646,426608,2796,169,368,391791,0 80,2,2024-09-07 08:52:21:099,309465,309465,0,0,12286094,0,4433 80,3,2024-09-07 08:52:20:575,1,244,2,0,190,3399,244,0 81,0,2024-09-07 08:52:21:592,61028,0.5,62512,0.6,119733,0.4,161984,1.75 81,1,2024-09-07 08:52:21:661,428673,428673,0,0,200342333929,2095316304,424834,3377,462,382,391879,0 81,2,2024-09-07 08:52:21:134,308249,308249,0,0,12644527,0,3993 81,3,2024-09-07 08:52:21:116,1,244,2,0,374,2996,244,0 82,0,2024-09-07 08:52:21:537,60965,0.4,61441,0.6,123045,0.3,163619,2.00 82,1,2024-09-07 08:52:20:583,430241,430237,0,4,201071479963,2095438213,427016,2610,611,381,391558,4 82,2,2024-09-07 08:52:21:693,309891,309891,0,0,11951909,0,3986 82,3,2024-09-07 08:52:21:773,1,244,6,0,363,2793,244,0 83,0,2024-09-07 08:52:21:532,61285,0.5,61286,0.7,121642,0.5,162502,2.00 83,1,2024-09-07 08:52:20:555,429281,429281,0,0,200645487922,2093523065,426111,2926,244,382,391690,0 83,2,2024-09-07 08:52:20:764,308097,308097,0,0,11985502,0,3119 83,3,2024-09-07 08:52:20:752,1,244,2,0,250,2660,244,0 84,0,2024-09-07 08:52:21:886,61305,0.8,61101,0.9,122291,0.8,164647,2.50 84,1,2024-09-07 08:52:21:043,428639,428639,0,0,200737230973,2100332904,423899,4164,576,368,391967,0 84,2,2024-09-07 08:52:20:579,308487,308487,0,0,13948208,0,3801 84,3,2024-09-07 08:52:21:158,1,244,9,0,908,3923,244,0 85,0,2024-09-07 08:52:21:023,59130,0.7,59101,0.9,125316,0.8,162178,2.50 85,1,2024-09-07 08:52:20:561,428275,428275,0,0,200456840512,2119817539,421441,5728,1106,382,392006,0 85,2,2024-09-07 08:52:20:886,310457,310457,0,0,14758865,0,3656 85,3,2024-09-07 08:52:20:699,1,244,2,0,789,3350,244,0 86,0,2024-09-07 08:52:20:904,60803,0.6,62480,0.7,119570,0.6,161291,2.00 86,1,2024-09-07 08:52:20:834,429783,429783,0,0,200997504543,2103370673,424803,4256,724,366,391961,0 86,2,2024-09-07 08:52:20:863,307965,307964,1,0,15531263,0,5004 86,3,2024-09-07 08:52:20:586,1,244,19,0,286,3332,244,0 87,0,2024-09-07 08:52:21:359,60924,1.0,60628,0.9,121861,1.3,162951,2.25 87,1,2024-09-07 08:52:20:552,429413,429413,0,0,200458007361,2097872099,424941,3988,484,366,391788,0 87,2,2024-09-07 08:52:21:067,305799,305799,0,0,13832483,0,4045 87,3,2024-09-07 08:52:21:811,1,244,1,0,473,3781,244,0 88,0,2024-09-07 08:52:21:521,61409,0.5,61772,0.6,123256,0.5,164343,1.75 88,1,2024-09-07 08:52:20:613,427711,427711,0,0,200092127157,2100895698,421544,4655,1512,365,392084,0 88,2,2024-09-07 08:52:20:697,309403,309403,0,0,15694192,0,3583 88,3,2024-09-07 08:52:21:286,1,244,7,0,435,3062,244,0 89,0,2024-09-07 08:52:21:852,62579,0.5,60464,0.7,120110,0.5,163011,2.00 89,1,2024-09-07 08:52:20:557,427237,427237,0,0,200405515113,2115650273,420325,5690,1222,382,391866,0 89,2,2024-09-07 08:52:21:135,308452,308452,0,0,15004311,0,2910 89,3,2024-09-07 08:52:21:829,1,244,1,0,325,4769,244,0 90,0,2024-09-07 08:52:21:689,58920,0.4,60190,0.6,123310,0.4,160224,1.75 90,1,2024-09-07 08:52:21:025,429523,429523,0,0,200587342246,2102546480,425413,3833,277,381,391825,0 90,2,2024-09-07 08:52:21:434,307495,307495,0,0,16062596,0,3060 90,3,2024-09-07 08:52:20:939,1,244,2,0,200,2576,244,0 91,0,2024-09-07 08:52:21:056,60903,0.4,58952,0.6,123161,0.4,161640,1.75 91,1,2024-09-07 08:52:20:599,428618,428618,0,0,200704914872,2111612053,422754,5170,694,381,392047,0 91,2,2024-09-07 08:52:21:344,306854,306854,0,0,14487659,0,2896 91,3,2024-09-07 08:52:20:654,1,244,3,0,216,2367,244,0 92,0,2024-09-07 08:52:21:479,62343,0.5,63983,0.7,122147,0.5,165874,1.75 92,1,2024-09-07 08:52:20:606,429287,429287,0,0,200903834854,2095238557,426733,2148,406,382,392136,0 92,2,2024-09-07 08:52:21:362,311222,311222,0,0,12202401,0,2801 92,3,2024-09-07 08:52:21:027,1,244,0,0,167,2009,244,0 93,0,2024-09-07 08:52:21:120,60891,0.4,62522,0.6,119415,0.4,161809,1.75 93,1,2024-09-07 08:52:20:811,429397,429397,0,0,200087459478,2089483796,424923,3688,786,366,391692,0 93,2,2024-09-07 08:52:20:966,309705,309705,0,0,12991504,0,2797 93,3,2024-09-07 08:52:21:409,1,244,6,0,190,2465,244,0 94,0,2024-09-07 08:52:21:637,60467,0.4,61276,0.5,122004,0.3,161313,1.75 94,1,2024-09-07 08:52:20:576,429339,429339,0,0,200990283512,2100105230,426053,3108,178,381,391850,0 94,2,2024-09-07 08:52:20:771,307992,307992,0,0,12053527,0,2443 94,3,2024-09-07 08:52:21:688,1,244,3,0,264,3250,244,0 95,0,2024-09-07 08:52:21:369,61348,0.3,60940,0.5,122987,0.3,162731,1.75 95,1,2024-09-07 08:52:20:859,430232,430232,0,0,201430771570,2094709609,426668,3188,376,367,391713,0 95,2,2024-09-07 08:52:21:016,308107,308107,0,0,12397763,0,3308 95,3,2024-09-07 08:52:21:714,1,244,5,0,718,4506,244,0 96,0,2024-09-07 08:52:21:091,61885,0.4,62143,0.6,123845,0.4,164365,1.75 96,1,2024-09-07 08:52:21:591,428982,428982,0,0,201368744331,2103580846,425408,2842,732,384,391894,0 96,2,2024-09-07 08:52:21:274,308776,308776,0,0,13088620,0,4042 96,3,2024-09-07 08:52:21:143,1,244,26,0,411,2874,244,0 97,0,2024-09-07 08:52:21:343,60901,0.4,60734,0.5,121915,0.3,161669,1.75 97,1,2024-09-07 08:52:20:781,430508,430508,0,0,202303042207,2099032185,427474,2478,556,367,392140,0 97,2,2024-09-07 08:52:20:607,309137,309137,0,0,12343341,0,3036 97,3,2024-09-07 08:52:20:574,1,244,3,0,214,2896,244,0 98,0,2024-09-07 08:52:21:761,61225,0.3,61312,0.5,123195,0.2,163436,1.50 98,1,2024-09-07 08:52:20:725,430237,430237,0,0,200840711894,2091330753,428095,2042,100,382,391997,0 98,2,2024-09-07 08:52:20:774,309394,309394,0,0,12419425,0,3080 98,3,2024-09-07 08:52:20:698,1,244,5,0,840,4548,244,0 99,0,2024-09-07 08:52:21:525,61100,0.3,61186,0.4,122240,0.2,163071,1.50 99,1,2024-09-07 08:52:21:756,429850,429850,0,0,200227968207,2088875402,426064,3046,740,381,391744,0 99,2,2024-09-07 08:52:21:445,311499,311499,0,0,13147601,0,3106 99,3,2024-09-07 08:52:20:583,1,244,4,0,168,1918,244,0 100,0,2024-09-07 08:52:21:540,61587,0.7,61499,1.0,122922,0.9,164639,2.25 100,1,2024-09-07 08:52:20:547,427160,427160,0,0,199564015614,2112454665,420321,5522,1317,381,391989,0 100,2,2024-09-07 08:52:21:832,305565,305554,11,0,14616590,0,5417 100,3,2024-09-07 08:52:21:763,1,244,11,0,559,4891,244,0 101,0,2024-09-07 08:52:21:750,62077,1.9,60423,1.3,118639,2.2,163368,2.75 101,1,2024-09-07 08:52:20:565,427492,427492,0,0,199286811851,2103423522,420146,5575,1771,368,391769,0 101,2,2024-09-07 08:52:21:779,308024,308024,0,0,15883841,0,4644 101,3,2024-09-07 08:52:20:960,1,244,8,0,448,3136,244,0 102,0,2024-09-07 08:52:21:104,59802,0.8,62089,0.8,124686,0.8,163786,2.25 102,1,2024-09-07 08:52:21:152,428430,428430,0,0,200412461885,2107501650,422781,4810,839,369,391883,0 102,2,2024-09-07 08:52:21:772,309896,309842,54,0,14586179,0,6768 102,3,2024-09-07 08:52:21:620,1,244,1,0,410,2629,244,0 103,0,2024-09-07 08:52:21:622,62749,0.5,62762,0.7,118384,0.4,162909,1.75 103,1,2024-09-07 08:52:21:636,427576,427576,0,0,200249146062,2112273421,421285,4910,1381,381,391829,0 103,2,2024-09-07 08:52:20:587,307745,307745,0,0,13705106,0,2582 103,3,2024-09-07 08:52:20:761,1,244,1,0,916,3565,244,0 104,0,2024-09-07 08:52:21:340,60807,0.8,61289,1.0,121283,0.7,163873,2.25 104,1,2024-09-07 08:52:21:600,429114,429114,0,0,200372459378,2109006013,422444,5575,1095,365,391948,0 104,2,2024-09-07 08:52:21:673,305300,305300,0,0,15227775,0,3941 104,3,2024-09-07 08:52:21:441,1,244,1,0,1245,6381,244,0 105,0,2024-09-07 08:52:21:101,61005,1.4,59215,1.3,123663,2.2,163662,3.50 105,1,2024-09-07 08:52:20:561,429073,429073,0,0,201163586313,2112863028,422770,5325,978,366,391797,0 105,2,2024-09-07 08:52:21:367,309015,309015,0,0,14770718,0,3509 105,3,2024-09-07 08:52:21:314,1,244,18,0,399,4238,244,0 106,0,2024-09-07 08:52:21:028,58424,1.1,59836,1.1,122658,1.2,160117,2.75 106,1,2024-09-07 08:52:21:782,428902,428902,0,0,200907777882,2113032009,421815,6328,759,369,391767,0 106,2,2024-09-07 08:52:20:766,307304,307304,0,0,14222815,0,2795 106,3,2024-09-07 08:52:20:693,1,244,1,0,470,3553,244,0 107,0,2024-09-07 08:52:21:174,60713,0.8,60670,0.9,121252,0.8,161397,2.00 107,1,2024-09-07 08:52:20:593,427671,427671,0,0,200398114111,2109823483,422353,4770,548,381,392234,0 107,2,2024-09-07 08:52:21:296,307393,307392,1,0,14858738,0,5024 107,3,2024-09-07 08:52:21:767,1,244,1,0,353,3388,244,0 108,0,2024-09-07 08:52:21:888,60612,0.4,60846,0.6,120598,0.3,160810,1.75 108,1,2024-09-07 08:52:21:298,429456,429456,0,0,200409410752,2088394319,426255,2817,384,368,391857,0 108,2,2024-09-07 08:52:21:764,307164,307164,0,0,13270196,0,2647 108,3,2024-09-07 08:52:21:378,1,244,2,0,749,4827,244,0 109,0,2024-09-07 08:52:21:783,61918,0.4,61205,0.6,122703,0.3,164659,1.75 109,1,2024-09-07 08:52:20:584,428097,428097,0,0,200627991447,2104364415,424310,3272,515,383,392132,0 109,2,2024-09-07 08:52:20:927,308254,308254,0,0,13772034,0,3617 109,3,2024-09-07 08:52:21:152,1,244,1,0,249,2939,244,0 110,0,2024-09-07 08:52:21:765,60618,0.4,59061,0.6,123404,0.4,161342,1.75 110,1,2024-09-07 08:52:21:652,430347,430347,0,0,201771696003,2095551022,427205,2265,877,370,392045,0 110,2,2024-09-07 08:52:21:304,309347,309347,0,0,13018033,0,3264 110,3,2024-09-07 08:52:20:690,1,244,7,0,406,3237,244,0 111,0,2024-09-07 08:52:21:417,61459,0.4,61018,0.5,121848,0.3,163243,1.75 111,1,2024-09-07 08:52:21:012,430548,430548,0,0,201753588624,2091301177,428558,1654,336,382,391690,0 111,2,2024-09-07 08:52:21:120,308433,308433,0,0,12537103,0,2763 111,3,2024-09-07 08:52:20:933,1,244,1,0,379,3359,244,0 112,0,2024-09-07 08:52:20:990,61499,0.3,61532,0.4,122913,0.2,163675,1.50 112,1,2024-09-07 08:52:20:838,430973,430973,0,0,200733375292,2082740642,428554,1991,428,380,391580,0 112,2,2024-09-07 08:52:21:142,308963,308962,1,0,12857373,0,5036 112,3,2024-09-07 08:52:20:609,1,244,16,0,282,2685,244,0 113,0,2024-09-07 08:52:20:877,61102,0.3,60956,0.5,122526,0.2,163563,1.50 113,1,2024-09-07 08:52:21:690,431515,431515,0,0,202161516536,2087354630,429017,2009,489,366,391661,0 113,2,2024-09-07 08:52:21:306,309128,309128,0,0,11650237,0,3813 113,3,2024-09-07 08:52:20:690,1,244,1,0,340,3582,244,0 114,0,2024-09-07 08:52:20:878,62065,0.4,62601,0.5,124076,0.3,166605,1.75 114,1,2024-09-07 08:52:20:720,429598,429598,0,0,200809191282,2093693609,424791,3218,1589,381,391556,0 114,2,2024-09-07 08:52:20:873,308593,308592,1,0,12766359,0,5069 114,3,2024-09-07 08:52:21:295,1,244,1,0,395,2672,244,0 115,0,2024-09-07 08:52:20:555,61319,0.3,61678,0.4,123333,0.2,164112,1.75 115,1,2024-09-07 08:52:20:654,429607,429607,0,0,201186172800,2094213734,425248,3525,834,382,391602,0 115,2,2024-09-07 08:52:21:127,310360,310360,0,0,11108725,0,2152 115,3,2024-09-07 08:52:21:002,1,244,2,0,159,1361,244,0 116,0,2024-09-07 08:52:21:699,60621,0.6,60529,0.8,121156,0.6,161877,2.00 116,1,2024-09-07 08:52:20:810,427023,427023,0,0,200081808940,2118736395,420629,4339,2055,380,391782,0 116,2,2024-09-07 08:52:21:752,308332,308332,0,0,15938242,0,3529 116,3,2024-09-07 08:52:20:926,1,244,64,0,415,3593,244,0 117,0,2024-09-07 08:52:21:075,61026,0.8,60793,0.9,121806,0.9,163080,2.00 117,1,2024-09-07 08:52:21:585,428973,428973,0,0,199686530316,2091843242,424274,4219,480,370,392033,0 117,2,2024-09-07 08:52:21:118,308310,308310,0,0,13077520,0,3700 117,3,2024-09-07 08:52:21:082,1,244,1,0,490,3997,244,0 118,0,2024-09-07 08:52:21:798,59731,0.6,61306,0.8,125148,0.6,163661,2.00 118,1,2024-09-07 08:52:20:609,428648,428648,0,0,200489819089,2110009439,422283,4933,1432,366,391736,0 118,2,2024-09-07 08:52:21:596,308362,308362,0,0,14291045,0,2781 118,3,2024-09-07 08:52:21:787,1,244,8,0,235,2828,244,0 119,0,2024-09-07 08:52:21:426,60395,0.9,60865,0.9,121903,1.2,161892,2.25 119,1,2024-09-07 08:52:20:569,429937,429937,0,0,201075384869,2102172058,425285,4130,522,367,391641,0 119,2,2024-09-07 08:52:21:304,309261,309261,0,0,13496218,0,4174 119,3,2024-09-07 08:52:21:348,1,244,12,0,443,3463,244,0 120,0,2024-09-07 08:52:21:597,60380,0.5,60079,0.8,120549,0.5,160728,2.00 120,1,2024-09-07 08:52:20:908,429186,429186,0,0,200893504197,2108361951,424506,4330,350,368,391961,0 120,2,2024-09-07 08:52:20:775,307843,307842,1,0,15961973,0,5281 120,3,2024-09-07 08:52:21:310,1,244,1,0,241,3205,244,0 121,0,2024-09-07 08:52:21:729,60542,0.9,60687,1.0,121348,1.3,161488,2.00 121,1,2024-09-07 08:52:21:686,429361,429361,0,0,200549226839,2100138553,424766,4181,414,367,391840,0 121,2,2024-09-07 08:52:21:130,305889,305889,0,0,15268544,0,4127 121,3,2024-09-07 08:52:20:732,1,244,2,0,269,2835,244,0 122,0,2024-09-07 08:52:21:764,61495,0.9,60022,1.0,125924,1.1,165853,2.25 122,1,2024-09-07 08:52:20:887,428080,428080,0,0,200388056002,2105135367,422017,5247,816,366,392130,0 122,2,2024-09-07 08:52:21:327,308534,308461,73,0,17744819,0,5989 122,3,2024-09-07 08:52:20:605,1,244,3,0,411,4881,244,0 123,0,2024-09-07 08:52:20:966,60381,1.0,59041,0.9,123113,1.2,161155,2.25 123,1,2024-09-07 08:52:20:566,428606,428606,0,0,200675677554,2119151153,419936,6954,1716,369,391823,0 123,2,2024-09-07 08:52:21:042,306944,306943,1,0,14504003,0,5215 123,3,2024-09-07 08:52:21:135,1,244,74,0,168,3039,244,0 124,0,2024-09-07 08:52:20:926,62695,0.3,62671,0.5,118314,0.3,162033,1.75 124,1,2024-09-07 08:52:21:027,430586,430586,0,0,200694082697,2085721597,427745,2479,362,367,392178,0 124,2,2024-09-07 08:52:21:011,308400,308400,0,0,11842887,0,3101 124,3,2024-09-07 08:52:20:763,1,244,2,0,490,2758,244,0 125,0,2024-09-07 08:52:21:424,61296,0.3,61103,0.5,122696,0.3,162889,1.75 125,1,2024-09-07 08:52:20:860,429324,429324,0,0,201152845243,2098805423,426237,2696,391,383,391702,0 125,2,2024-09-07 08:52:21:117,309023,309023,0,0,12898964,0,4534 125,3,2024-09-07 08:52:21:137,1,244,0,0,709,3505,244,0 126,0,2024-09-07 08:52:21:432,61938,0.5,63617,0.6,121615,0.5,165255,1.75 126,1,2024-09-07 08:52:20:551,430917,430917,0,0,201629915151,2090167931,428236,2419,262,365,391987,0 126,2,2024-09-07 08:52:20:618,310183,310183,0,0,13173115,0,3186 126,3,2024-09-07 08:52:20:920,1,244,1,0,183,3291,244,0 127,0,2024-09-07 08:52:21:602,60818,0.4,61129,0.5,121691,0.4,161880,1.75 127,1,2024-09-07 08:52:20:579,430137,430137,0,0,201154434955,2085036768,427194,2790,153,365,391816,0 127,2,2024-09-07 08:52:20:636,309127,309127,0,0,11836321,0,2264 127,3,2024-09-07 08:52:21:273,1,244,2,0,968,2953,244,0 128,0,2024-09-07 08:52:21:523,61665,0.3,61725,0.5,123184,0.2,163810,1.50 128,1,2024-09-07 08:52:21:613,429624,429624,0,0,201107012379,2086491215,427452,2015,157,367,391798,0 128,2,2024-09-07 08:52:21:384,309368,309368,0,0,11837935,0,2434 128,3,2024-09-07 08:52:20:772,1,244,1,0,1082,5359,244,0 129,0,2024-09-07 08:52:20:995,61583,0.3,61264,0.4,122479,0.2,162658,1.50 129,1,2024-09-07 08:52:20:567,427656,427656,0,0,200318832868,2101073495,423503,3224,929,379,391835,0 129,2,2024-09-07 08:52:20:686,310056,310056,0,0,12809593,0,4031 129,3,2024-09-07 08:52:20:697,1,244,1,0,469,3311,244,0 130,0,2024-09-07 08:52:21:733,62087,0.5,61791,0.6,123768,0.5,165528,1.75 130,1,2024-09-07 08:52:20:587,430426,430426,0,0,201624551619,2098178982,427991,2357,78,381,391825,0 130,2,2024-09-07 08:52:21:134,308485,308485,0,0,12433153,0,4067 130,3,2024-09-07 08:52:21:311,1,244,11,0,450,3353,244,0 131,0,2024-09-07 08:52:21:929,60872,0.4,61201,0.5,123206,0.4,163269,1.75 131,1,2024-09-07 08:52:21:824,430457,430457,0,0,201052669305,2096846644,427410,2589,458,381,391865,0 131,2,2024-09-07 08:52:20:587,310553,310553,0,0,11376746,0,2415 131,3,2024-09-07 08:52:21:694,1,244,38,0,392,2539,244,0 132,0,2024-09-07 08:52:21:421,61148,0.5,61836,0.7,123464,0.5,164275,2.00 132,1,2024-09-07 08:52:20:577,427797,427797,0,0,200068219825,2112094811,420793,5751,1253,381,392097,0 132,2,2024-09-07 08:52:20:698,309409,309409,0,0,15241516,0,4606 132,3,2024-09-07 08:52:21:688,1,244,3,0,356,3510,244,0 133,0,2024-09-07 08:52:21:522,59439,0.4,60867,0.6,124499,0.3,161740,1.75 133,1,2024-09-07 08:52:20:587,427885,427885,0,0,200765239328,2114415791,422411,4807,667,383,391914,0 133,2,2024-09-07 08:52:21:089,307998,307998,0,0,16352547,0,4315 133,3,2024-09-07 08:52:21:300,1,244,1,0,276,2477,244,0 134,0,2024-09-07 08:52:20:957,61389,0.5,61247,0.6,122937,0.4,163896,2.00 134,1,2024-09-07 08:52:20:594,427945,427945,0,0,199505480969,2097931233,421500,4975,1470,366,391718,0 134,2,2024-09-07 08:52:21:777,306014,306014,0,0,13637810,0,3847 134,3,2024-09-07 08:52:20:751,1,244,2,0,739,3697,244,0 135,0,2024-09-07 08:52:21:122,59650,0.9,59588,1.0,126467,1.1,163743,2.25 135,1,2024-09-07 08:52:21:591,427528,427528,0,0,201187823694,2118449214,421333,5199,996,380,391805,0 135,2,2024-09-07 08:52:20:691,309625,309625,0,0,15143289,0,3981 135,3,2024-09-07 08:52:21:001,1,244,7,0,299,1849,244,0 136,0,2024-09-07 08:52:21:625,60967,0.7,60857,0.8,121660,0.7,161859,2.25 136,1,2024-09-07 08:52:21:446,428124,428124,0,0,200567056944,2108685661,422606,4934,584,382,391685,0 136,2,2024-09-07 08:52:21:138,309643,309643,0,0,14422750,0,3506 136,3,2024-09-07 08:52:21:118,1,244,3,0,126,1884,244,0 137,0,2024-09-07 08:52:20:933,62564,0.6,60815,0.7,120086,0.6,162572,2.00 137,1,2024-09-07 08:52:20:577,428341,428341,0,0,200612227265,2107217360,421397,5527,1417,366,391708,0 137,2,2024-09-07 08:52:21:706,307373,307373,0,0,15899583,0,3185 137,3,2024-09-07 08:52:20:770,1,244,34,0,382,3211,244,0 138,0,2024-09-07 08:52:21:758,60041,0.8,60054,0.9,120866,0.9,160270,2.00 138,1,2024-09-07 08:52:21:686,428243,428243,0,0,200596373202,2108687143,421279,5833,1131,368,391954,0 138,2,2024-09-07 08:52:20:593,307910,307910,0,0,14725589,0,4988 138,3,2024-09-07 08:52:20:616,1,244,1,0,1160,3834,244,0 139,0,2024-09-07 08:52:21:413,60557,2.0,60804,1.3,121981,3.0,163063,2.50 139,1,2024-09-07 08:52:20:586,426697,426697,0,0,199503665002,2121408450,418211,6373,2113,381,391892,0 139,2,2024-09-07 08:52:20:696,307279,307279,0,0,15356071,0,3097 139,3,2024-09-07 08:52:21:673,1,244,6,0,244,2537,244,0 140,0,2024-09-07 08:52:21:599,61013,0.3,60436,0.5,121491,0.3,161535,1.75 140,1,2024-09-07 08:52:21:543,431339,431339,0,0,202200856807,2084319763,429360,1737,242,365,391606,0 140,2,2024-09-07 08:52:20:694,309436,309436,0,0,12104813,0,3388 140,3,2024-09-07 08:52:20:771,1,244,3,0,247,2146,244,0 141,0,2024-09-07 08:52:21:702,61172,0.3,62886,0.4,120261,0.2,163308,1.50 141,1,2024-09-07 08:52:20:868,430535,430535,0,0,201610779233,2092063247,427965,2162,408,379,391614,0 141,2,2024-09-07 08:52:21:686,308817,308817,0,0,11735541,0,2342 141,3,2024-09-07 08:52:21:045,1,244,2,0,202,2311,244,0 142,0,2024-09-07 08:52:21:305,62149,0.3,61533,0.4,122297,0.2,164001,1.50 142,1,2024-09-07 08:52:20:589,430188,430188,0,0,201205357894,2098135059,427397,2526,265,382,392102,0 142,2,2024-09-07 08:52:21:308,308926,308894,32,0,13509564,0,6028 142,3,2024-09-07 08:52:21:746,1,244,1,0,484,3093,244,0 143,0,2024-09-07 08:52:21:401,61305,0.5,61359,0.6,123086,0.5,163346,1.75 143,1,2024-09-07 08:52:20:572,430061,430061,0,0,200996820626,2084883513,427348,2618,95,367,391651,0 143,2,2024-09-07 08:52:20:771,307978,307978,0,0,12667753,0,2669 143,3,2024-09-07 08:52:21:146,1,244,1,0,303,3215,244,0 144,0,2024-09-07 08:52:21:497,59722,0.7,61343,0.9,124977,0.6,164789,2.00 144,1,2024-09-07 08:52:20:567,427867,427867,0,0,199962421135,2100245924,423866,3079,922,381,391649,0 144,2,2024-09-07 08:52:21:760,309587,309587,0,0,12164608,0,3473 144,3,2024-09-07 08:52:21:739,1,244,0,0,249,2886,244,0 145,0,2024-09-07 08:52:21:466,59096,0.6,59061,0.8,125291,0.6,162206,2.25 145,1,2024-09-07 08:52:20:551,427437,427437,0,0,200515962058,2109873695,421942,4672,823,382,391698,0 145,2,2024-09-07 08:52:21:440,308599,308599,0,0,14442323,0,3903 145,3,2024-09-07 08:52:20:901,1,244,2,0,622,4023,244,0 146,0,2024-09-07 08:52:21:602,60648,0.5,60238,0.7,121381,0.5,161113,2.00 146,1,2024-09-07 08:52:21:601,428518,428518,0,0,200419283621,2113890641,420267,6404,1847,368,391770,0 146,2,2024-09-07 08:52:21:717,308460,308460,0,0,14347254,0,2730 146,3,2024-09-07 08:52:21:279,1,244,12,0,1520,5899,244,0 147,0,2024-09-07 08:52:21:720,60926,0.6,60896,0.8,120805,0.6,162742,2.25 147,1,2024-09-07 08:52:21:392,430268,430268,0,0,200931223337,2091403354,426170,3513,585,368,391791,0 147,2,2024-09-07 08:52:21:011,308262,308262,0,0,12119682,0,2789 147,3,2024-09-07 08:52:20:913,1,244,1,0,730,3898,244,0 0,0,2024-09-07 08:52:31:744,58906,0.5,58860,0.7,124903,0.4,161602,1.75 0,1,2024-09-07 08:52:30:802,431060,431060,0,0,201728755338,2110661424,427849,3007,204,370,391772,0 0,2,2024-09-07 08:52:31:072,309976,309976,0,0,12925653,0,4480 0,3,2024-09-07 08:52:30:974,1,245,1,0,319,3382,245,0 1,0,2024-09-07 08:52:31:764,60992,0.8,60506,0.9,121862,1.0,162428,2.00 1,1,2024-09-07 08:52:30:557,430754,430754,0,0,201392458535,2111158380,426260,3441,1053,371,391857,0 1,2,2024-09-07 08:52:30:641,307481,307481,0,0,11905997,0,3267 1,3,2024-09-07 08:52:31:309,1,245,1,0,262,2925,245,0 2,0,2024-09-07 08:52:31:577,62260,0.7,62545,0.8,124271,0.9,166619,2.00 2,1,2024-09-07 08:52:30:859,431151,431151,0,0,202032567575,2099060971,429047,1886,218,380,391745,0 2,2,2024-09-07 08:52:31:280,310915,310915,0,0,12474993,0,3594 2,3,2024-09-07 08:52:30:694,1,245,1,0,357,2267,245,0 3,0,2024-09-07 08:52:31:761,60728,0.5,60854,0.7,120992,0.5,161164,2.00 3,1,2024-09-07 08:52:31:626,431024,431024,0,0,201454611000,2099808845,427185,3377,462,380,391523,0 3,2,2024-09-07 08:52:31:144,310469,310446,23,0,12740704,0,5851 3,3,2024-09-07 08:52:31:761,1,245,1,0,103,1509,245,0 4,0,2024-09-07 08:52:31:818,59024,0.4,60713,0.5,123587,0.3,161608,1.75 4,1,2024-09-07 08:52:30:595,430423,430423,0,0,201357510721,2116347291,425688,3946,789,371,391846,0 4,2,2024-09-07 08:52:31:026,308346,308346,0,0,15006740,0,4528 4,3,2024-09-07 08:52:31:029,1,245,0,0,448,3765,245,0 5,0,2024-09-07 08:52:31:372,61202,0.4,61572,0.5,122229,0.3,162555,1.75 5,1,2024-09-07 08:52:30:758,430616,430616,0,0,201506962711,2120290928,425124,4380,1112,368,392005,0 5,2,2024-09-07 08:52:31:835,308017,308017,0,0,13678812,0,2432 5,3,2024-09-07 08:52:31:734,1,245,1,0,457,3804,245,0 6,0,2024-09-07 08:52:30:922,62642,0.6,61977,0.8,123910,0.6,166000,2.00 6,1,2024-09-07 08:52:30:750,430756,430756,0,0,201495166151,2107097657,426083,3829,844,379,391694,0 6,2,2024-09-07 08:52:31:116,310048,310048,0,0,13663622,0,4816 6,3,2024-09-07 08:52:31:275,1,245,1,0,340,2944,245,0 7,0,2024-09-07 08:52:31:534,60538,0.5,60754,0.7,121146,0.5,161022,2.00 7,1,2024-09-07 08:52:30:850,430528,430528,0,0,201652049153,2117254699,424628,4897,1003,382,391747,0 7,2,2024-09-07 08:52:30:771,309268,309268,0,0,13452029,0,4791 7,3,2024-09-07 08:52:30:851,1,245,1,0,398,3102,245,0 8,0,2024-09-07 08:52:31:333,61699,0.4,61606,0.5,122689,0.3,163998,1.75 8,1,2024-09-07 08:52:31:026,429101,429101,0,0,201038562017,2121865190,421039,6053,2009,367,391956,0 8,2,2024-09-07 08:52:30:790,306266,306266,0,0,15987835,0,3220 8,3,2024-09-07 08:52:30:585,1,245,1,0,357,3666,245,0 9,0,2024-09-07 08:52:31:122,61480,0.3,59671,0.5,124585,0.3,163558,1.75 9,1,2024-09-07 08:52:30:837,429970,429970,0,0,201363520282,2123886256,423486,4943,1541,370,392001,0 9,2,2024-09-07 08:52:31:087,309879,309879,0,0,14205938,0,3360 9,3,2024-09-07 08:52:31:755,1,245,1,0,496,3631,245,0 10,0,2024-09-07 08:52:31:610,62226,0.3,61690,0.5,124114,0.2,165599,1.75 10,1,2024-09-07 08:52:30:584,430756,430756,0,0,201058538245,2109498849,424396,5432,928,381,391741,0 10,2,2024-09-07 08:52:30:767,308487,308487,0,0,15654653,0,4264 10,3,2024-09-07 08:52:30:878,1,245,1,0,177,2077,245,0 11,0,2024-09-07 08:52:31:009,60874,0.4,59150,0.7,123826,0.4,164452,1.75 11,1,2024-09-07 08:52:30:572,430698,430698,0,0,201258852728,2119135710,422510,6207,1981,383,391537,0 11,2,2024-09-07 08:52:31:123,309903,309903,0,0,14673552,0,4130 11,3,2024-09-07 08:52:31:303,1,245,1,0,843,3974,245,0 12,0,2024-09-07 08:52:30:954,62151,0.4,61997,0.5,123932,0.3,165058,1.75 12,1,2024-09-07 08:52:31:301,430758,430758,0,0,200958157697,2096063317,427244,3093,421,370,391870,0 12,2,2024-09-07 08:52:31:542,311522,311522,0,0,13758997,0,3469 12,3,2024-09-07 08:52:31:060,1,245,1,0,386,4144,245,0 13,0,2024-09-07 08:52:31:355,61416,0.3,61353,0.5,122276,0.3,162766,1.50 13,1,2024-09-07 08:52:31:524,430993,430993,0,0,200993043984,2109584383,427445,3007,541,382,391717,0 13,2,2024-09-07 08:52:30:614,311142,311142,0,0,12928189,0,3287 13,3,2024-09-07 08:52:31:771,1,245,8,0,467,3894,245,0 14,0,2024-09-07 08:52:30:562,61776,0.4,62229,0.6,123302,0.3,164376,1.75 14,1,2024-09-07 08:52:31:561,433518,433518,0,0,202371586584,2095179564,430687,2642,189,364,391571,0 14,2,2024-09-07 08:52:30:768,307298,307298,0,0,12870735,0,2896 14,3,2024-09-07 08:52:31:116,1,245,16,0,1168,3455,245,0 15,0,2024-09-07 08:52:31:554,61906,0.5,62108,0.7,124054,0.5,165448,2.00 15,1,2024-09-07 08:52:31:608,431714,431714,0,0,201880232882,2099045115,429195,2341,178,381,391619,0 15,2,2024-09-07 08:52:31:000,311511,311511,0,0,10957619,0,3043 15,3,2024-09-07 08:52:31:405,1,245,2,0,1126,5210,245,0 16,0,2024-09-07 08:52:30:954,60889,0.8,61127,0.8,121545,0.8,162247,2.25 16,1,2024-09-07 08:52:30:565,431678,431678,0,0,201209326490,2103669314,428119,3260,299,370,391756,0 16,2,2024-09-07 08:52:31:434,309968,309968,0,0,13628116,0,4719 16,3,2024-09-07 08:52:31:150,1,245,0,0,317,3452,245,0 17,0,2024-09-07 08:52:31:793,62783,0.5,61275,0.7,119893,0.4,162611,1.75 17,1,2024-09-07 08:52:30:568,430479,430479,0,0,201246059908,2113928208,425637,3738,1104,368,391845,0 17,2,2024-09-07 08:52:31:669,312240,312240,0,0,12775966,0,2857 17,3,2024-09-07 08:52:30:577,1,245,0,0,268,3988,245,0 18,0,2024-09-07 08:52:30:943,59933,0.7,60172,0.8,120193,0.7,160260,2.25 18,1,2024-09-07 08:52:31:643,431932,431932,0,0,202308989696,2097441623,429423,2267,242,367,391649,0 18,2,2024-09-07 08:52:31:755,309605,309605,0,0,12436165,0,3541 18,3,2024-09-07 08:52:30:895,1,245,1,0,163,2523,245,0 19,0,2024-09-07 08:52:31:539,61357,0.7,62085,0.8,122797,0.7,164055,2.25 19,1,2024-09-07 08:52:30:566,431576,431576,0,0,202489378063,2105603047,426918,3872,786,367,391777,0 19,2,2024-09-07 08:52:31:757,312205,312205,0,0,11466858,0,3988 19,3,2024-09-07 08:52:31:131,1,245,1,0,524,1877,245,0 20,0,2024-09-07 08:52:31:347,60364,0.7,60440,0.8,121161,0.8,161249,2.25 20,1,2024-09-07 08:52:30:567,430409,430409,0,0,201705740435,2111073583,426594,3417,398,369,391886,0 20,2,2024-09-07 08:52:30:931,310068,310068,0,0,13149807,0,3721 20,3,2024-09-07 08:52:30:599,1,245,1,0,414,4596,245,0 21,0,2024-09-07 08:52:31:144,61394,0.5,61670,0.6,122947,0.4,162875,2.00 21,1,2024-09-07 08:52:31:536,429604,429604,0,0,200385849513,2111557546,423886,4461,1257,368,391962,0 21,2,2024-09-07 08:52:31:071,308684,308684,0,0,14891608,0,3747 21,3,2024-09-07 08:52:31:411,1,245,1,0,103,2603,245,0 22,0,2024-09-07 08:52:31:729,61437,0.6,61532,0.7,122752,0.5,163333,2.25 22,1,2024-09-07 08:52:31:026,430060,430060,0,0,201045434936,2118847715,422830,5588,1642,382,391667,0 22,2,2024-09-07 08:52:30:760,309610,309610,0,0,13135010,0,3134 22,3,2024-09-07 08:52:31:067,1,245,14,0,228,2316,245,0 23,0,2024-09-07 08:52:31:399,61413,0.6,61192,0.7,122837,0.6,163960,2.25 23,1,2024-09-07 08:52:31:003,430631,430631,0,0,201950011491,2122518864,423322,4758,2551,365,391690,0 23,2,2024-09-07 08:52:31:093,309899,309899,0,0,12692855,0,3010 23,3,2024-09-07 08:52:31:758,1,245,1,0,645,3265,245,0 24,0,2024-09-07 08:52:30:836,62550,0.4,62413,0.6,125076,0.4,166315,1.75 24,1,2024-09-07 08:52:30:582,430164,430164,0,0,200300473071,2103530008,424555,4130,1479,368,391987,0 24,2,2024-09-07 08:52:31:074,308602,308602,0,0,15190686,0,3607 24,3,2024-09-07 08:52:31:689,1,245,33,0,468,3737,245,0 25,0,2024-09-07 08:52:31:364,63135,0.5,61543,0.7,120762,0.5,165085,2.00 25,1,2024-09-07 08:52:30:573,431127,431127,0,0,201701315488,2111894288,426840,3733,554,371,391788,0 25,2,2024-09-07 08:52:31:610,309328,309328,0,0,15606713,0,3978 25,3,2024-09-07 08:52:31:002,1,245,2,0,255,2668,245,0 26,0,2024-09-07 08:52:31:751,60699,0.4,59396,0.6,124372,0.3,162067,1.75 26,1,2024-09-07 08:52:31:542,430759,430759,0,0,201011974302,2110870981,423794,5749,1216,381,391748,0 26,2,2024-09-07 08:52:30:861,310140,310140,0,0,15268916,0,2809 26,3,2024-09-07 08:52:31:724,1,245,73,0,796,3308,245,0 27,0,2024-09-07 08:52:31:727,61771,0.4,61671,0.6,122174,0.4,163693,2.00 27,1,2024-09-07 08:52:31:689,432752,432752,0,0,202405977178,2106580274,429303,2857,592,381,391558,0 27,2,2024-09-07 08:52:30:867,306544,306479,65,0,15401220,0,5699 27,3,2024-09-07 08:52:31:025,1,245,2,0,564,2699,245,0 28,0,2024-09-07 08:52:31:400,61928,0.5,61606,0.7,123825,0.5,165432,2.00 28,1,2024-09-07 08:52:30:808,431857,431857,0,0,201884502713,2102175704,429018,2368,471,383,391646,0 28,2,2024-09-07 08:52:31:764,310839,310839,0,0,12151411,0,2915 28,3,2024-09-07 08:52:31:776,1,245,0,0,502,2554,245,0 29,0,2024-09-07 08:52:31:356,62672,0.4,61341,0.6,119795,0.4,163947,1.75 29,1,2024-09-07 08:52:31:572,432763,432763,0,0,202297975677,2095299758,429540,2658,565,369,391621,0 29,2,2024-09-07 08:52:30:870,310243,310243,0,0,11626550,0,4018 29,3,2024-09-07 08:52:30:965,1,245,10,0,115,1791,245,0 30,0,2024-09-07 08:52:31:462,60487,0.5,58847,0.7,122939,0.4,160982,2.00 30,1,2024-09-07 08:52:30:570,432586,432586,0,0,202049144436,2094189219,430257,2036,293,382,391672,0 30,2,2024-09-07 08:52:31:275,310393,310393,0,0,11503390,0,3161 30,3,2024-09-07 08:52:30:581,1,245,1,0,195,1880,245,0 31,0,2024-09-07 08:52:31:764,60508,0.4,60824,0.6,121931,0.3,162332,2.00 31,1,2024-09-07 08:52:30:565,433879,433879,0,0,203575410412,2086091311,432490,1170,219,356,391712,0 31,2,2024-09-07 08:52:31:289,307506,307506,0,0,13028244,0,3525 31,3,2024-09-07 08:52:31:719,1,245,1,0,220,1913,245,0 32,0,2024-09-07 08:52:31:417,62129,0.4,62732,0.5,125080,0.3,167057,1.75 32,1,2024-09-07 08:52:30:811,432525,432525,0,0,201904215328,2095392987,430570,1676,279,381,391622,0 32,2,2024-09-07 08:52:30:942,311402,311402,0,0,11416303,0,3155 32,3,2024-09-07 08:52:31:028,1,245,4,0,227,2005,245,0 33,0,2024-09-07 08:52:31:511,61246,0.3,60491,0.5,121768,0.2,162070,1.75 33,1,2024-09-07 08:52:30:576,432731,432731,0,0,202904512350,2096929260,430151,2406,174,369,391730,0 33,2,2024-09-07 08:52:30:759,311494,311461,33,0,13171502,0,7012 33,3,2024-09-07 08:52:30:895,1,245,1,0,329,2897,245,0 34,0,2024-09-07 08:52:30:934,60862,0.3,62634,0.5,120159,0.2,160985,1.75 34,1,2024-09-07 08:52:31:045,433266,433266,0,0,202805696202,2086410643,432170,1089,7,367,391562,0 34,2,2024-09-07 08:52:30:767,309616,309616,0,0,12432365,0,3577 34,3,2024-09-07 08:52:31:688,1,245,1,0,299,2038,245,0 35,0,2024-09-07 08:52:30:858,60760,0.3,61134,0.5,122842,0.3,162964,1.75 35,1,2024-09-07 08:52:31:069,432346,432346,0,0,201936396672,2095582956,429001,2565,780,384,391589,0 35,2,2024-09-07 08:52:31:589,310100,310100,0,0,11917712,0,2653 35,3,2024-09-07 08:52:30:906,1,245,3,0,418,2960,245,0 36,0,2024-09-07 08:52:31:519,62235,0.6,62183,0.8,124559,0.6,165695,2.25 36,1,2024-09-07 08:52:30:583,431465,431465,0,0,202096487909,2115508601,425485,4401,1579,366,391759,0 36,2,2024-09-07 08:52:31:757,309513,309513,0,0,13966581,0,3875 36,3,2024-09-07 08:52:30:863,1,245,53,0,416,4030,245,0 37,0,2024-09-07 08:52:31:373,60444,0.6,60405,0.7,121010,0.5,161956,2.00 37,1,2024-09-07 08:52:30:569,430503,430496,0,7,200956111111,2108098291,424489,3940,2067,365,391560,0 37,2,2024-09-07 08:52:31:141,309117,309102,15,0,14293477,0,5815 37,3,2024-09-07 08:52:31:769,1,245,1,0,888,4420,245,0 38,0,2024-09-07 08:52:31:454,60937,0.5,59249,0.7,124090,0.4,162171,2.00 38,1,2024-09-07 08:52:31:609,431800,431800,0,0,201812439833,2108499718,426529,4596,675,368,391821,0 38,2,2024-09-07 08:52:30:759,309481,309434,47,0,14659960,0,6710 38,3,2024-09-07 08:52:30:997,1,245,7,0,689,3591,245,0 39,0,2024-09-07 08:52:31:771,62701,0.6,61548,0.7,119499,0.6,163749,2.00 39,1,2024-09-07 08:52:30:719,431461,431461,0,0,201538390949,2105493961,425901,4658,902,365,391594,0 39,2,2024-09-07 08:52:31:419,309934,309934,0,0,12739058,0,2689 39,3,2024-09-07 08:52:30:713,1,245,1,0,324,3407,245,0 40,0,2024-09-07 08:52:31:513,61391,0.7,61949,0.9,123882,0.7,165183,2.75 40,1,2024-09-07 08:52:30:576,431122,431122,0,0,200617038102,2104643807,424122,5557,1443,368,391591,0 40,2,2024-09-07 08:52:31:304,308366,308365,1,0,15697825,0,5137 40,3,2024-09-07 08:52:31:153,1,245,1,0,398,3032,245,0 41,0,2024-09-07 08:52:31:036,60663,2.1,62064,1.5,118468,3.2,162702,4.00 41,1,2024-09-07 08:52:30:771,431079,431079,0,0,201572643043,2109202543,425543,4902,634,370,391742,0 41,2,2024-09-07 08:52:30:760,308681,308681,0,0,14892906,0,3356 41,3,2024-09-07 08:52:31:693,1,245,1,0,366,2774,245,0 42,0,2024-09-07 08:52:31:474,60839,0.9,61154,1.0,122319,1.0,161671,2.75 42,1,2024-09-07 08:52:31:439,429810,429810,0,0,201250887821,2117137219,422652,5706,1452,380,391675,0 42,2,2024-09-07 08:52:31:140,310650,310650,0,0,14224595,0,3568 42,3,2024-09-07 08:52:31:009,1,245,1,0,446,2061,245,0 43,0,2024-09-07 08:52:30:921,60781,0.7,59260,0.9,123699,0.7,162526,2.25 43,1,2024-09-07 08:52:30:589,430651,430651,0,0,201925891747,2111622267,424391,4931,1329,366,391696,0 43,2,2024-09-07 08:52:31:737,310427,310427,0,0,13725835,0,3812 43,3,2024-09-07 08:52:31:749,1,245,8,0,467,3062,245,0 44,0,2024-09-07 08:52:30:870,61485,0.4,61901,0.7,123426,0.4,164442,1.75 44,1,2024-09-07 08:52:30:565,432546,432546,0,0,201410987984,2079694301,429990,2124,432,356,391809,0 44,2,2024-09-07 08:52:31:270,307060,307060,0,0,11506270,0,2231 44,3,2024-09-07 08:52:31:093,1,245,0,0,817,2936,245,0 45,0,2024-09-07 08:52:31:763,61013,0.7,59666,0.9,125193,0.7,165350,2.00 45,1,2024-09-07 08:52:31:005,432079,432079,0,0,203003295401,2103465708,430429,1638,12,382,391917,0 45,2,2024-09-07 08:52:31:281,311560,311560,0,0,12223239,0,3596 45,3,2024-09-07 08:52:30:936,1,245,6,0,271,2674,245,0 46,0,2024-09-07 08:52:30:953,60454,0.6,60315,0.8,120925,0.7,159943,2.00 46,1,2024-09-07 08:52:30:577,433430,433430,0,0,202408912208,2089734410,431084,2072,274,366,391539,0 46,2,2024-09-07 08:52:30:595,311196,311196,0,0,11799958,0,2920 46,3,2024-09-07 08:52:31:131,1,245,16,0,908,3769,245,0 47,0,2024-09-07 08:52:31:106,61218,0.4,61035,0.6,122780,0.4,162192,1.75 47,1,2024-09-07 08:52:30:566,433238,433238,0,0,202132939148,2086606491,430916,2188,134,366,391605,0 47,2,2024-09-07 08:52:30:907,311498,311498,0,0,11871693,0,2558 47,3,2024-09-07 08:52:31:121,1,245,1,0,529,2260,245,0 48,0,2024-09-07 08:52:31:510,60986,0.3,60620,0.4,120763,0.2,161081,1.50 48,1,2024-09-07 08:52:31:026,432477,432477,0,0,201463882835,2093877527,429377,2801,299,384,391710,0 48,2,2024-09-07 08:52:30:703,308834,308834,0,0,11497622,0,3031 48,3,2024-09-07 08:52:30:757,1,245,1,0,339,2089,245,0 49,0,2024-09-07 08:52:31:751,63599,0.4,62529,0.5,120924,0.3,166205,1.75 49,1,2024-09-07 08:52:31:026,431235,431235,0,0,202364310070,2110381677,427378,2676,1181,382,391809,0 49,2,2024-09-07 08:52:31:800,311732,311732,0,0,12104568,0,3900 49,3,2024-09-07 08:52:31:419,1,245,1,0,408,2758,245,0 50,0,2024-09-07 08:52:31:511,60650,0.3,60093,0.5,120971,0.2,161192,1.75 50,1,2024-09-07 08:52:31:010,433325,433325,0,0,202927635391,2099848920,430488,2527,310,368,391530,0 50,2,2024-09-07 08:52:31:067,310619,310619,0,0,11399032,0,2263 50,3,2024-09-07 08:52:31:300,1,245,1,0,335,2053,245,0 51,0,2024-09-07 08:52:31:699,62801,0.3,61412,0.5,119728,0.2,163104,1.75 51,1,2024-09-07 08:52:31:685,433104,433104,0,0,203177732248,2098154188,430308,1857,939,365,391706,0 51,2,2024-09-07 08:52:31:324,309814,309814,0,0,10811562,0,3337 51,3,2024-09-07 08:52:31:026,1,245,2,0,678,2013,245,0 52,0,2024-09-07 08:52:31:419,62232,0.4,61752,0.6,123436,0.4,164030,2.00 52,1,2024-09-07 08:52:30:576,431137,431137,0,0,201256045510,2112710106,423980,5903,1254,368,391722,0 52,2,2024-09-07 08:52:31:758,308163,308125,38,0,14898770,0,6742 52,3,2024-09-07 08:52:30:680,1,245,62,0,1782,4508,245,0 53,0,2024-09-07 08:52:31:745,61017,0.7,59287,0.8,124139,0.8,163194,2.50 53,1,2024-09-07 08:52:30:780,430066,430066,0,0,200959711325,2113191923,422741,4969,2356,367,391702,0 53,2,2024-09-07 08:52:31:304,309528,309528,0,0,12362624,0,2727 53,3,2024-09-07 08:52:30:703,1,245,9,0,271,2296,245,0 54,0,2024-09-07 08:52:31:618,61025,0.8,61567,0.9,121895,0.5,163462,2.75 54,1,2024-09-07 08:52:30:580,431186,431186,0,0,202405955865,2106960426,426450,4139,597,367,391659,0 54,2,2024-09-07 08:52:30:878,309795,309789,6,0,13707975,0,5382 54,3,2024-09-07 08:52:30:766,1,245,4,0,676,3746,245,0 55,0,2024-09-07 08:52:31:767,59317,0.6,61484,0.8,123732,0.6,161459,2.50 55,1,2024-09-07 08:52:30:765,430599,430599,0,0,201436810795,2099622772,425049,4763,787,365,391731,0 55,2,2024-09-07 08:52:30:737,309566,309566,0,0,13929676,0,3275 55,3,2024-09-07 08:52:30:680,1,245,1,0,304,2868,245,0 56,0,2024-09-07 08:52:31:562,62336,1.0,58807,1.1,120951,1.3,161951,2.50 56,1,2024-09-07 08:52:30:577,429175,429175,0,0,201413701037,2130904809,422041,5696,1438,381,391678,0 56,2,2024-09-07 08:52:31:309,310137,310137,0,0,14918364,0,3567 56,3,2024-09-07 08:52:31:059,1,245,3,0,705,3640,245,0 57,0,2024-09-07 08:52:31:008,60521,1.6,60672,1.3,121382,2.2,162622,3.25 57,1,2024-09-07 08:52:30:989,430558,430558,0,0,201048155347,2108119149,425237,4651,670,367,391960,0 57,2,2024-09-07 08:52:31:321,308304,308304,0,0,15835567,0,3317 57,3,2024-09-07 08:52:31:740,1,245,0,0,359,3363,245,0 58,0,2024-09-07 08:52:30:568,60569,0.9,58927,1.0,123297,1.1,161907,2.50 58,1,2024-09-07 08:52:30:578,431296,431293,0,3,202609910891,2118347540,425563,5020,710,367,391545,3 58,2,2024-09-07 08:52:31:074,310810,310810,0,0,14298349,0,2549 58,3,2024-09-07 08:52:31:068,1,245,1,0,1043,2839,245,0 59,0,2024-09-07 08:52:31:769,60704,0.9,60530,1.0,120704,0.9,160665,3.00 59,1,2024-09-07 08:52:30:804,431147,431147,0,0,202031411587,2115575362,425359,4523,1265,369,391525,0 59,2,2024-09-07 08:52:30:582,311712,311712,0,0,13032828,0,2604 59,3,2024-09-07 08:52:31:742,1,245,1,0,1015,3507,245,0 60,0,2024-09-07 08:52:31:713,60816,0.4,60506,0.6,122055,0.4,161600,1.75 60,1,2024-09-07 08:52:30:780,432631,432631,0,0,202320400993,2098242443,430194,1920,517,370,392031,0 60,2,2024-09-07 08:52:31:142,310103,310103,0,0,14158642,0,3811 60,3,2024-09-07 08:52:31:261,1,245,4,0,124,2413,245,0 61,0,2024-09-07 08:52:31:492,60805,0.7,61081,0.8,121681,0.7,162506,2.00 61,1,2024-09-07 08:52:30:770,431250,431250,0,0,201840565354,2112557377,426680,3867,703,382,391880,0 61,2,2024-09-07 08:52:31:116,308549,308549,0,0,12435346,0,2780 61,3,2024-09-07 08:52:31:693,1,245,1,0,397,3332,245,0 62,0,2024-09-07 08:52:31:724,62854,0.6,64070,0.8,122101,0.7,166754,2.00 62,1,2024-09-07 08:52:31:118,433708,433702,0,6,202633912401,2090443985,431243,2342,117,365,391715,6 62,2,2024-09-07 08:52:31:649,310139,310138,1,0,13808172,0,5555 62,3,2024-09-07 08:52:31:144,1,245,6,0,287,1747,245,0 63,0,2024-09-07 08:52:31:462,60675,0.5,60856,0.6,121945,0.5,161632,1.75 63,1,2024-09-07 08:52:30:809,433039,433033,0,6,201661294005,2088994336,431458,1525,50,381,391677,6 63,2,2024-09-07 08:52:30:765,311101,311101,0,0,11576528,0,2674 63,3,2024-09-07 08:52:31:734,1,245,0,0,667,2852,245,0 64,0,2024-09-07 08:52:31:523,60388,0.4,60421,0.6,121034,0.4,160866,1.75 64,1,2024-09-07 08:52:30:760,431734,431734,0,0,202000494053,2108164513,427250,3070,1414,370,391783,0 64,2,2024-09-07 08:52:31:150,311960,311941,19,0,12531548,0,6121 64,3,2024-09-07 08:52:31:145,1,245,4,0,265,2530,245,0 65,0,2024-09-07 08:52:31:677,60711,0.6,61099,0.7,121867,0.6,161847,2.00 65,1,2024-09-07 08:52:30:859,430864,430864,0,0,201039309661,2104266988,427312,3108,444,382,391901,0 65,2,2024-09-07 08:52:31:720,309726,309726,0,0,13225656,0,3367 65,3,2024-09-07 08:52:31:685,1,245,1,0,163,2335,245,0 66,0,2024-09-07 08:52:31:767,61784,0.5,61667,0.7,124062,0.4,164898,2.00 66,1,2024-09-07 08:52:31:294,432519,432519,0,0,202276555558,2102423504,429947,2372,200,380,391653,0 66,2,2024-09-07 08:52:31:134,312167,312167,0,0,12928228,0,4956 66,3,2024-09-07 08:52:31:089,1,245,6,0,291,2618,245,0 67,0,2024-09-07 08:52:31:418,61169,0.6,60668,0.8,122121,0.7,162063,2.25 67,1,2024-09-07 08:52:30:766,431280,431279,0,1,202183729667,2109703530,427435,3097,747,380,391787,1 67,2,2024-09-07 08:52:30:583,311308,311308,0,0,11994735,0,2889 67,3,2024-09-07 08:52:31:750,1,245,1,0,338,2324,245,0 68,0,2024-09-07 08:52:30:658,61604,0.6,61409,0.8,122446,0.6,163875,2.00 68,1,2024-09-07 08:52:30:600,430615,430615,0,0,200736376443,2105987832,426438,3007,1170,381,391953,0 68,2,2024-09-07 08:52:31:045,308556,308491,65,0,15736972,0,6698 68,3,2024-09-07 08:52:30:731,1,245,1,0,417,2782,245,0 69,0,2024-09-07 08:52:31:766,60986,0.7,61335,0.8,122207,0.7,162819,2.25 69,1,2024-09-07 08:52:31:029,429611,429611,0,0,201001196738,2122536638,423910,4299,1402,384,391994,0 69,2,2024-09-07 08:52:31:740,309864,309864,0,0,15499933,0,3722 69,3,2024-09-07 08:52:30:767,1,245,1,0,698,3601,245,0 70,0,2024-09-07 08:52:31:531,61665,0.9,61621,1.0,123783,0.6,164844,2.25 70,1,2024-09-07 08:52:30:802,431720,431720,0,0,203089973965,2109706056,428057,3135,528,366,391725,0 70,2,2024-09-07 08:52:31:332,308442,308442,0,0,14187900,0,4044 70,3,2024-09-07 08:52:30:749,1,245,4,0,854,2914,245,0 71,0,2024-09-07 08:52:31:357,60768,1.3,60592,1.2,121531,1.7,163312,3.00 71,1,2024-09-07 08:52:31:602,430995,430995,0,0,201511027156,2104183191,425842,4532,621,368,391738,0 71,2,2024-09-07 08:52:31:067,310047,310047,0,0,14545403,0,4042 71,3,2024-09-07 08:52:31:756,1,245,0,0,644,3609,245,0 72,0,2024-09-07 08:52:31:043,63697,0.8,62276,0.9,121454,0.9,165437,2.25 72,1,2024-09-07 08:52:31:030,430771,430771,0,0,201198789772,2101862497,425716,4124,931,369,391819,0 72,2,2024-09-07 08:52:31:757,310051,310051,0,0,15950857,0,3983 72,3,2024-09-07 08:52:31:754,1,245,1,0,564,4400,245,0 73,0,2024-09-07 08:52:31:101,59620,0.4,60967,0.6,125072,0.3,162223,2.00 73,1,2024-09-07 08:52:30:767,431538,431538,0,0,201845262886,2098545781,428451,2852,235,367,391750,0 73,2,2024-09-07 08:52:31:745,310646,310646,0,0,15362739,0,3701 73,3,2024-09-07 08:52:30:973,1,245,4,0,274,3654,245,0 74,0,2024-09-07 08:52:31:327,62169,0.5,63512,0.7,121061,0.4,164365,2.25 74,1,2024-09-07 08:52:30:636,431099,431099,0,0,201243627243,2102451138,426699,3601,799,381,391681,0 74,2,2024-09-07 08:52:31:002,307491,307491,0,0,14679384,0,4253 74,3,2024-09-07 08:52:31:442,1,245,1,0,522,3333,245,0 75,0,2024-09-07 08:52:31:766,62194,0.6,61854,0.8,124116,0.5,166233,2.25 75,1,2024-09-07 08:52:31:589,430564,430564,0,0,201346302620,2106246555,425656,4245,663,380,391660,0 75,2,2024-09-07 08:52:31:350,310119,310119,0,0,15241474,0,4766 75,3,2024-09-07 08:52:31:071,1,245,2,0,702,3535,245,0 76,0,2024-09-07 08:52:30:627,60484,0.8,59915,0.9,119996,0.8,160877,2.50 76,1,2024-09-07 08:52:30:805,431334,431334,0,0,201313710142,2100560859,428272,2513,549,382,391692,0 76,2,2024-09-07 08:52:31:074,312213,312213,0,0,12454749,0,3784 76,3,2024-09-07 08:52:31:145,1,245,7,0,175,2597,245,0 77,0,2024-09-07 08:52:31:737,60944,0.5,61267,0.7,122075,0.5,161904,1.75 77,1,2024-09-07 08:52:30:827,431860,431860,0,0,201906295740,2108633990,428411,3192,257,383,391808,0 77,2,2024-09-07 08:52:31:282,309262,309262,0,0,13046898,0,3890 77,3,2024-09-07 08:52:31:107,1,245,6,0,382,2819,245,0 78,0,2024-09-07 08:52:31:737,60785,0.4,60196,0.6,121435,0.4,160344,2.00 78,1,2024-09-07 08:52:30:612,432162,432162,0,0,201344425292,2090078023,429166,2677,319,367,391670,0 78,2,2024-09-07 08:52:31:405,309349,309349,0,0,11645531,0,3855 78,3,2024-09-07 08:52:31:133,1,245,2,0,181,2428,245,0 79,0,2024-09-07 08:52:31:348,60001,0.4,61473,0.6,125793,0.4,163897,2.25 79,1,2024-09-07 08:52:30:574,433183,433183,0,0,202528962250,2095788334,430340,2507,336,369,391682,0 79,2,2024-09-07 08:52:31:074,311625,311625,0,0,11816166,0,3212 79,3,2024-09-07 08:52:30:757,1,245,0,0,418,3679,245,0 80,0,2024-09-07 08:52:31:086,60518,0.6,62276,0.7,119223,0.7,160953,2.00 80,1,2024-09-07 08:52:31:640,431407,431407,0,0,201901617929,2102330759,428441,2797,169,368,391791,0 80,2,2024-09-07 08:52:31:095,310915,310915,0,0,12298544,0,4433 80,3,2024-09-07 08:52:30:575,1,245,1,0,190,3400,245,0 81,0,2024-09-07 08:52:31:542,61207,0.5,62684,0.6,120064,0.4,162244,1.75 81,1,2024-09-07 08:52:31:650,430435,430435,0,0,201114793863,2103205717,426596,3377,462,382,391879,0 81,2,2024-09-07 08:52:31:125,309423,309423,0,0,12653984,0,3993 81,3,2024-09-07 08:52:31:121,1,245,2,0,374,2998,245,0 82,0,2024-09-07 08:52:31:569,61224,0.4,61724,0.6,123543,0.3,164164,2.00 82,1,2024-09-07 08:52:30:584,431970,431966,0,4,201847149654,2103337470,428745,2610,611,381,391558,4 82,2,2024-09-07 08:52:31:690,311052,311052,0,0,11961943,0,3986 82,3,2024-09-07 08:52:31:764,1,245,4,0,363,2797,245,0 83,0,2024-09-07 08:52:31:525,61650,0.5,61652,0.7,122318,0.5,163707,2.00 83,1,2024-09-07 08:52:30:552,431098,431098,0,0,201654981274,2103775953,427928,2926,244,382,391690,0 83,2,2024-09-07 08:52:30:774,309418,309418,0,0,11996739,0,3119 83,3,2024-09-07 08:52:30:749,1,245,1,0,250,2661,245,0 84,0,2024-09-07 08:52:31:777,61659,0.9,61421,0.9,122969,0.8,164980,2.50 84,1,2024-09-07 08:52:31:154,430436,430436,0,0,201522621898,2108430070,425694,4166,576,368,391967,0 84,2,2024-09-07 08:52:30:577,309787,309787,0,0,13984643,0,3801 84,3,2024-09-07 08:52:31:153,1,245,15,0,908,3938,245,0 85,0,2024-09-07 08:52:31:023,59452,0.7,59403,0.9,125945,0.8,162950,2.50 85,1,2024-09-07 08:52:30:559,430072,430072,0,0,201168437692,2127140115,423237,5729,1106,382,392006,0 85,2,2024-09-07 08:52:30:867,311553,311553,0,0,14783734,0,3656 85,3,2024-09-07 08:52:30:688,1,245,19,0,789,3369,245,0 86,0,2024-09-07 08:52:30:877,60978,0.6,62647,0.7,119905,0.6,161859,2.00 86,1,2024-09-07 08:52:30:825,431578,431578,0,0,201831065126,2111891733,426598,4256,724,366,391961,0 86,2,2024-09-07 08:52:30:862,309281,309280,1,0,15561841,0,5004 86,3,2024-09-07 08:52:30:588,1,245,0,0,286,3332,245,0 87,0,2024-09-07 08:52:31:300,61252,1.0,60971,0.9,122506,1.3,164213,2.25 87,1,2024-09-07 08:52:30:550,431206,431206,0,0,201324295187,2106715007,426734,3988,484,366,391788,0 87,2,2024-09-07 08:52:31:068,306908,306908,0,0,13859675,0,4045 87,3,2024-09-07 08:52:31:804,1,245,1,0,473,3782,245,0 88,0,2024-09-07 08:52:31:452,61742,0.5,62105,0.6,123911,0.5,165067,1.75 88,1,2024-09-07 08:52:30:571,429512,429512,0,0,200971868262,2110011611,423345,4655,1512,365,392084,0 88,2,2024-09-07 08:52:30:693,310640,310640,0,0,15727441,0,3583 88,3,2024-09-07 08:52:31:274,1,245,0,0,435,3062,245,0 89,0,2024-09-07 08:52:31:835,62858,0.5,60709,0.7,120644,0.5,163918,2.00 89,1,2024-09-07 08:52:30:550,428992,428992,0,0,201305200590,2124883372,422079,5691,1222,382,391866,0 89,2,2024-09-07 08:52:31:135,309923,309923,0,0,15045225,0,2910 89,3,2024-09-07 08:52:31:800,1,245,8,0,325,4777,245,0 90,0,2024-09-07 08:52:31:626,59043,0.4,60320,0.6,123563,0.4,160697,1.75 90,1,2024-09-07 08:52:30:595,431249,431249,0,0,201226157883,2109289373,427139,3833,277,381,391825,0 90,2,2024-09-07 08:52:31:415,308963,308963,0,0,16127269,0,3060 90,3,2024-09-07 08:52:30:930,1,245,1,0,200,2577,245,0 91,0,2024-09-07 08:52:30:945,61103,0.4,59134,0.6,123632,0.4,162566,1.75 91,1,2024-09-07 08:52:30:570,430340,430340,0,0,201290665688,2117694439,424476,5170,694,381,392047,0 91,2,2024-09-07 08:52:31:332,307548,307548,0,0,14502394,0,2896 91,3,2024-09-07 08:52:30:609,1,245,0,0,216,2367,245,0 92,0,2024-09-07 08:52:31:587,62810,0.5,64472,0.7,123049,0.5,167049,1.75 92,1,2024-09-07 08:52:30:588,430985,430985,0,0,201541145797,2101781234,428429,2150,406,382,392136,0 92,2,2024-09-07 08:52:31:369,312631,312631,0,0,12219986,0,2801 92,3,2024-09-07 08:52:31:038,1,245,1,0,167,2010,245,0 93,0,2024-09-07 08:52:30:972,61000,0.4,62647,0.6,119617,0.4,162122,1.75 93,1,2024-09-07 08:52:30:812,431267,431267,0,0,201153676972,2100292310,426793,3688,786,366,391692,0 93,2,2024-09-07 08:52:30:927,311256,311256,0,0,13010556,0,2797 93,3,2024-09-07 08:52:31:407,1,245,1,0,190,2466,245,0 94,0,2024-09-07 08:52:31:629,60565,0.4,61373,0.5,122218,0.3,161637,1.75 94,1,2024-09-07 08:52:30:565,431155,431155,0,0,201857227830,2108952537,427868,3109,178,381,391850,0 94,2,2024-09-07 08:52:30:761,309090,309090,0,0,12065710,0,2443 94,3,2024-09-07 08:52:31:692,1,245,5,0,264,3255,245,0 95,0,2024-09-07 08:52:31:358,61449,0.3,61058,0.5,123198,0.3,162734,1.75 95,1,2024-09-07 08:52:30:851,431999,431999,0,0,202199174351,2102518179,428435,3188,376,367,391713,0 95,2,2024-09-07 08:52:31:025,309080,309080,0,0,12407013,0,3308 95,3,2024-09-07 08:52:31:717,1,245,1,0,718,4507,245,0 96,0,2024-09-07 08:52:31:041,62271,0.4,62498,0.5,124638,0.4,165301,1.75 96,1,2024-09-07 08:52:31:592,430767,430767,0,0,202060953776,2110697341,427193,2842,732,384,391894,0 96,2,2024-09-07 08:52:31:280,310311,310311,0,0,13103427,0,4042 96,3,2024-09-07 08:52:31:153,1,245,8,0,411,2882,245,0 97,0,2024-09-07 08:52:31:314,61008,0.4,60842,0.5,122129,0.3,161915,1.75 97,1,2024-09-07 08:52:30:771,432351,432351,0,0,202852864630,2104678821,429317,2478,556,367,392140,0 97,2,2024-09-07 08:52:30:614,310413,310413,0,0,12353526,0,3036 97,3,2024-09-07 08:52:30:570,1,245,1,0,214,2897,245,0 98,0,2024-09-07 08:52:31:841,61495,0.3,61580,0.5,123733,0.2,164064,1.50 98,1,2024-09-07 08:52:30:580,432026,432026,0,0,201769050637,2100798268,429884,2042,100,382,391997,0 98,2,2024-09-07 08:52:30:769,310502,310502,0,0,12429986,0,3080 98,3,2024-09-07 08:52:30:698,1,245,1,0,840,4549,245,0 99,0,2024-09-07 08:52:31:454,61358,0.3,61473,0.4,122785,0.2,163937,1.50 99,1,2024-09-07 08:52:31:746,431649,431649,0,0,201397404397,2100724124,427863,3046,740,381,391744,0 99,2,2024-09-07 08:52:31:444,312871,312871,0,0,13163628,0,3106 99,3,2024-09-07 08:52:30:581,1,245,1,0,168,1919,245,0 100,0,2024-09-07 08:52:31:507,61979,0.7,61882,1.0,123651,0.9,165284,2.25 100,1,2024-09-07 08:52:30:551,428942,428942,0,0,200258942589,2119622546,422102,5523,1317,381,391989,0 100,2,2024-09-07 08:52:31:849,306868,306857,11,0,14641372,0,5417 100,3,2024-09-07 08:52:31:740,1,245,1,0,559,4892,245,0 101,0,2024-09-07 08:52:31:722,62488,1.9,60842,1.2,119477,2.2,164773,2.75 101,1,2024-09-07 08:52:30:562,429213,429213,0,0,199903079588,2109842292,421866,5576,1771,368,391769,0 101,2,2024-09-07 08:52:31:759,309140,309140,0,0,15909465,0,4644 101,3,2024-09-07 08:52:30:975,1,245,1,0,448,3137,245,0 102,0,2024-09-07 08:52:30:953,60137,0.8,62407,0.8,125321,0.8,164650,2.25 102,1,2024-09-07 08:52:31:148,430189,430189,0,0,201343795070,2117092779,424540,4810,839,369,391883,0 102,2,2024-09-07 08:52:31:741,311412,311358,54,0,14612238,0,6768 102,3,2024-09-07 08:52:31:627,1,245,89,0,410,2718,245,0 103,0,2024-09-07 08:52:31:609,62940,0.5,62922,0.6,118720,0.4,163325,1.75 103,1,2024-09-07 08:52:31:624,429343,429343,0,0,200984422272,2119825637,423052,4910,1381,381,391829,0 103,2,2024-09-07 08:52:30:587,309189,309189,0,0,13727281,0,2582 103,3,2024-09-07 08:52:30:755,1,245,1,0,916,3566,245,0 104,0,2024-09-07 08:52:31:012,61099,0.8,61575,1.0,121829,0.7,164497,2.25 104,1,2024-09-07 08:52:31:603,430879,430879,0,0,201160639444,2117162171,424207,5577,1095,365,391948,0 104,2,2024-09-07 08:52:31:670,306109,306109,0,0,15245384,0,3941 104,3,2024-09-07 08:52:31:430,1,245,11,0,1245,6392,245,0 105,0,2024-09-07 08:52:31:042,61464,1.4,59636,1.3,124573,2.2,164729,3.50 105,1,2024-09-07 08:52:30:557,430817,430817,0,0,201875537372,2120335926,424511,5328,978,366,391797,0 105,2,2024-09-07 08:52:31:322,310492,310492,0,0,14804695,0,3509 105,3,2024-09-07 08:52:31:305,1,245,1,0,399,4239,245,0 106,0,2024-09-07 08:52:30:947,58558,1.1,59969,1.1,122934,1.2,160588,2.75 106,1,2024-09-07 08:52:31:768,430652,430652,0,0,201605290818,2120430879,423562,6331,759,369,391767,0 106,2,2024-09-07 08:52:30:756,308803,308803,0,0,14259451,0,2795 106,3,2024-09-07 08:52:30:681,1,245,8,0,470,3561,245,0 107,0,2024-09-07 08:52:31:119,60823,0.8,60780,0.9,121468,0.8,161660,2.00 107,1,2024-09-07 08:52:30:591,429464,429464,0,0,201090323663,2117033623,424146,4770,548,381,392234,0 107,2,2024-09-07 08:52:31:301,308894,308893,1,0,14910277,0,5024 107,3,2024-09-07 08:52:31:759,1,245,1,0,353,3389,245,0 108,0,2024-09-07 08:52:31:794,60681,0.4,60918,0.6,120735,0.3,161165,1.75 108,1,2024-09-07 08:52:31:302,431274,431274,0,0,201594498571,2100424053,428072,2818,384,368,391857,0 108,2,2024-09-07 08:52:31:757,307866,307866,0,0,13280103,0,2647 108,3,2024-09-07 08:52:31:336,1,245,2,0,749,4829,245,0 109,0,2024-09-07 08:52:31:768,62346,0.4,61623,0.6,123517,0.3,165952,1.75 109,1,2024-09-07 08:52:30:583,429876,429876,0,0,201610519646,2114387673,426088,3273,515,383,392132,0 109,2,2024-09-07 08:52:30:934,309771,309771,0,0,13788780,0,3617 109,3,2024-09-07 08:52:31:145,1,245,2,0,249,2941,245,0 110,0,2024-09-07 08:52:31:776,60714,0.4,59142,0.6,123621,0.4,161635,1.75 110,1,2024-09-07 08:52:31:649,432163,432163,0,0,202609140290,2104049152,429021,2265,877,370,392045,0 110,2,2024-09-07 08:52:31:309,310761,310761,0,0,13034181,0,3264 110,3,2024-09-07 08:52:30:698,1,245,10,0,406,3247,245,0 111,0,2024-09-07 08:52:31:418,61619,0.4,61184,0.5,122174,0.3,163509,1.75 111,1,2024-09-07 08:52:31:008,432320,432320,0,0,202507294623,2098975182,430330,1654,336,382,391690,0 111,2,2024-09-07 08:52:31:118,309475,309475,0,0,12546294,0,2763 111,3,2024-09-07 08:52:30:913,1,245,1,0,379,3360,245,0 112,0,2024-09-07 08:52:30:913,61766,0.3,61770,0.4,123449,0.2,164193,1.50 112,1,2024-09-07 08:52:30:825,432763,432763,0,0,201550530001,2091072028,430344,1991,428,380,391580,0 112,2,2024-09-07 08:52:31:133,310145,310144,1,0,12866123,0,5036 112,3,2024-09-07 08:52:30:595,1,245,2,0,282,2687,245,0 113,0,2024-09-07 08:52:30:868,61426,0.3,61315,0.5,123257,0.2,164687,1.50 113,1,2024-09-07 08:52:31:698,433348,433348,0,0,203108760571,2096940796,430850,2009,489,366,391661,0 113,2,2024-09-07 08:52:31:317,310538,310538,0,0,11665415,0,3813 113,3,2024-09-07 08:52:30:684,1,245,2,0,340,3584,245,0 114,0,2024-09-07 08:52:30:881,62413,0.4,62941,0.5,124767,0.3,166911,1.75 114,1,2024-09-07 08:52:30:716,431368,431368,0,0,201640119254,2102138963,426561,3218,1589,381,391556,0 114,2,2024-09-07 08:52:30:873,309962,309961,1,0,12775847,0,5069 114,3,2024-09-07 08:52:31:284,1,245,1,0,395,2673,245,0 115,0,2024-09-07 08:52:30:556,61635,0.3,61961,0.4,123954,0.2,164925,1.50 115,1,2024-09-07 08:52:30:571,431385,431385,0,0,201965553347,2102145295,427026,3525,834,382,391602,0 115,2,2024-09-07 08:52:31:126,311593,311593,0,0,11117973,0,2152 115,3,2024-09-07 08:52:31:005,1,245,1,0,159,1362,245,0 116,0,2024-09-07 08:52:31:728,60818,0.6,60683,0.8,121518,0.6,162450,2.00 116,1,2024-09-07 08:52:30:807,428841,428841,0,0,200983880749,2127981063,422446,4340,2055,380,391782,0 116,2,2024-09-07 08:52:31:754,309689,309689,0,0,15964977,0,3529 116,3,2024-09-07 08:52:30:914,1,245,1,0,415,3594,245,0 117,0,2024-09-07 08:52:30:971,61347,0.8,61140,0.9,122460,0.8,164297,2.00 117,1,2024-09-07 08:52:31:579,430798,430798,0,0,200497452472,2100172436,426098,4220,480,370,392033,0 117,2,2024-09-07 08:52:31:121,309366,309366,0,0,13094520,0,3700 117,3,2024-09-07 08:52:31:061,1,245,0,0,490,3997,245,0 118,0,2024-09-07 08:52:31:799,60065,0.6,61641,0.8,125845,0.6,164352,2.00 118,1,2024-09-07 08:52:30:586,430477,430477,0,0,201193356224,2117205671,424112,4933,1432,366,391736,0 118,2,2024-09-07 08:52:31:605,309701,309701,0,0,14317381,0,2781 118,3,2024-09-07 08:52:31:771,1,245,25,0,235,2853,245,0 119,0,2024-09-07 08:52:31:353,60659,0.9,61114,0.9,122395,1.2,162828,2.25 119,1,2024-09-07 08:52:30:549,431764,431764,0,0,201836558279,2109995994,427111,4131,522,367,391641,0 119,2,2024-09-07 08:52:31:264,310708,310708,0,0,13516410,0,4174 119,3,2024-09-07 08:52:31:325,1,245,3,0,443,3466,245,0 120,0,2024-09-07 08:52:31:552,60531,0.5,60223,0.8,120824,0.5,161211,2.00 120,1,2024-09-07 08:52:30:862,430874,430874,0,0,201707220049,2116837982,426192,4332,350,368,391961,0 120,2,2024-09-07 08:52:30:781,309314,309313,1,0,16029304,0,5281 120,3,2024-09-07 08:52:31:290,1,245,1,0,241,3206,245,0 121,0,2024-09-07 08:52:31:692,60768,0.9,60903,1.0,121803,1.3,162417,2.00 121,1,2024-09-07 08:52:31:655,431164,431164,0,0,201176183665,2106697364,426568,4182,414,367,391840,0 121,2,2024-09-07 08:52:31:131,306547,306547,0,0,15285402,0,4127 121,3,2024-09-07 08:52:30:729,1,245,1,0,269,2836,245,0 122,0,2024-09-07 08:52:31:764,61964,0.9,60494,1.0,126893,1.1,167016,2.25 122,1,2024-09-07 08:52:30:861,429859,429859,0,0,201202346889,2113514544,423794,5249,816,366,392130,0 122,2,2024-09-07 08:52:31:321,309999,309926,73,0,17783052,0,5989 122,3,2024-09-07 08:52:30:594,1,245,6,0,411,4887,245,0 123,0,2024-09-07 08:52:30:951,60499,1.0,59168,0.9,123354,1.2,161486,2.25 123,1,2024-09-07 08:52:30:558,430381,430381,0,0,201319197453,2125774746,421711,6954,1716,369,391823,0 123,2,2024-09-07 08:52:31:025,308485,308484,1,0,14563823,0,5215 123,3,2024-09-07 08:52:31:135,1,245,3,0,168,3042,245,0 124,0,2024-09-07 08:52:30:965,62816,0.3,62776,0.5,118503,0.3,162369,1.75 124,1,2024-09-07 08:52:31:057,432401,432401,0,0,201299239763,2091910583,429560,2479,362,367,392178,0 124,2,2024-09-07 08:52:31:013,309455,309455,0,0,11852646,0,3101 124,3,2024-09-07 08:52:30:758,1,245,1,0,490,2759,245,0 125,0,2024-09-07 08:52:31:437,61404,0.3,61207,0.5,122901,0.3,162889,1.75 125,1,2024-09-07 08:52:30:860,431058,431058,0,0,202045072850,2107870829,427971,2696,391,383,391702,0 125,2,2024-09-07 08:52:31:121,310083,310083,0,0,12907236,0,4534 125,3,2024-09-07 08:52:31:141,1,245,12,0,709,3517,245,0 126,0,2024-09-07 08:52:31:495,62342,0.5,63999,0.6,122370,0.5,166181,1.75 126,1,2024-09-07 08:52:30:553,432756,432756,0,0,202367419034,2097667006,430075,2419,262,365,391987,0 126,2,2024-09-07 08:52:30:613,311622,311622,0,0,13187685,0,3186 126,3,2024-09-07 08:52:30:906,1,245,1,0,183,3292,245,0 127,0,2024-09-07 08:52:31:665,60931,0.4,61224,0.5,121871,0.4,162133,1.75 127,1,2024-09-07 08:52:30:569,432010,432010,0,0,202293761311,2096539809,429067,2790,153,365,391816,0 127,2,2024-09-07 08:52:30:637,310473,310473,0,0,11850992,0,2264 127,3,2024-09-07 08:52:31:276,1,245,5,0,968,2958,245,0 128,0,2024-09-07 08:52:31:521,61951,0.3,61989,0.5,123645,0.2,164419,1.50 128,1,2024-09-07 08:52:31:608,431333,431333,0,0,202043806826,2095974726,429161,2015,157,367,391798,0 128,2,2024-09-07 08:52:31:383,310466,310466,0,0,11847584,0,2434 128,3,2024-09-07 08:52:30:769,1,245,1,0,1082,5360,245,0 129,0,2024-09-07 08:52:31:020,61888,0.3,61549,0.4,123026,0.2,163558,1.50 129,1,2024-09-07 08:52:30:571,429548,429548,0,0,201139880306,2109443535,425395,3224,929,379,391835,0 129,2,2024-09-07 08:52:30:686,311398,311398,0,0,12820079,0,4031 129,3,2024-09-07 08:52:30:700,1,245,1,0,469,3312,245,0 130,0,2024-09-07 08:52:31:778,62471,0.5,62149,0.6,124480,0.5,166233,1.75 130,1,2024-09-07 08:52:30:583,432279,432279,0,0,202509477729,2107193392,429844,2357,78,381,391825,0 130,2,2024-09-07 08:52:31:132,309734,309734,0,0,12442019,0,4067 130,3,2024-09-07 08:52:31:311,1,245,1,0,450,3354,245,0 131,0,2024-09-07 08:52:31:946,61271,0.4,61612,0.5,124026,0.4,164749,1.75 131,1,2024-09-07 08:52:31:826,432205,432205,0,0,201667620075,2103156668,429158,2589,458,381,391865,0 131,2,2024-09-07 08:52:30:567,311828,311828,0,0,11386720,0,2415 131,3,2024-09-07 08:52:31:708,1,245,2,0,392,2541,245,0 132,0,2024-09-07 08:52:31:442,61459,0.5,62172,0.7,124129,0.5,165125,2.00 132,1,2024-09-07 08:52:30:576,429624,429624,0,0,201051849279,2122113195,422620,5751,1253,381,392097,0 132,2,2024-09-07 08:52:30:698,310922,310922,0,0,15275609,0,4606 132,3,2024-09-07 08:52:31:695,1,245,2,0,356,3512,245,0 133,0,2024-09-07 08:52:31:603,59612,0.4,61035,0.6,124833,0.3,162145,1.75 133,1,2024-09-07 08:52:30:585,429710,429710,0,0,201601279991,2123074648,424235,4808,667,383,391914,0 133,2,2024-09-07 08:52:31:097,309316,309316,0,0,16392994,0,4315 133,3,2024-09-07 08:52:31:316,1,245,1,0,276,2478,245,0 134,0,2024-09-07 08:52:30:937,61726,0.5,61579,0.6,123547,0.4,164539,2.00 134,1,2024-09-07 08:52:30:583,429648,429648,0,0,200395546299,2107004052,423203,4975,1470,366,391718,0 134,2,2024-09-07 08:52:31:766,306797,306797,0,0,13654524,0,3847 134,3,2024-09-07 08:52:30:751,1,245,9,0,739,3706,245,0 135,0,2024-09-07 08:52:31:099,60048,0.9,60031,1.0,127300,1.0,164763,2.25 135,1,2024-09-07 08:52:31:614,429377,429377,0,0,202023912643,2127025657,423182,5199,996,380,391805,0 135,2,2024-09-07 08:52:30:687,311024,311024,0,0,15172772,0,3981 135,3,2024-09-07 08:52:31:002,1,245,0,0,299,1849,245,0 136,0,2024-09-07 08:52:31:725,61103,0.6,61016,0.8,121954,0.7,162291,2.25 136,1,2024-09-07 08:52:31:455,429975,429975,0,0,201404537733,2117282812,424457,4934,584,382,391685,0 136,2,2024-09-07 08:52:31:151,311136,311136,0,0,14459716,0,3506 136,3,2024-09-07 08:52:31:119,1,245,16,0,126,1900,245,0 137,0,2024-09-07 08:52:30:927,62658,0.6,60935,0.7,120300,0.6,162814,2.00 137,1,2024-09-07 08:52:30:583,430092,430092,0,0,201298397972,2114236886,423148,5527,1417,366,391708,0 137,2,2024-09-07 08:52:31:716,308760,308760,0,0,15917937,0,3185 137,3,2024-09-07 08:52:30:779,1,245,1,0,382,3212,245,0 138,0,2024-09-07 08:52:31:752,60104,0.8,60124,0.9,120986,0.9,160621,2.00 138,1,2024-09-07 08:52:31:686,430035,430035,0,0,201260089878,2115478219,423071,5833,1131,368,391954,0 138,2,2024-09-07 08:52:30:589,308701,308701,0,0,14740450,0,4988 138,3,2024-09-07 08:52:30:624,1,245,2,0,1160,3836,245,0 139,0,2024-09-07 08:52:31:367,60984,2.0,61231,1.3,122808,3.0,164389,2.50 139,1,2024-09-07 08:52:30:577,428424,428424,0,0,200462706914,2131211527,419938,6373,2113,381,391892,0 139,2,2024-09-07 08:52:30:692,308892,308892,0,0,15423445,0,3097 139,3,2024-09-07 08:52:31:686,1,245,2,0,244,2539,245,0 140,0,2024-09-07 08:52:31:600,61118,0.3,60551,0.5,121697,0.3,161824,1.75 140,1,2024-09-07 08:52:31:536,433126,433126,0,0,202912068750,2091528974,431147,1737,242,365,391606,0 140,2,2024-09-07 08:52:30:687,310910,310910,0,0,12117190,0,3388 140,3,2024-09-07 08:52:30:768,1,245,1,0,247,2147,245,0 141,0,2024-09-07 08:52:31:701,61335,0.3,63035,0.4,120578,0.2,163554,1.50 141,1,2024-09-07 08:52:30:861,432354,432354,0,0,202416459448,2100263789,429784,2162,408,379,391614,0 141,2,2024-09-07 08:52:31:691,309987,309987,0,0,11746764,0,2342 141,3,2024-09-07 08:52:31:045,1,245,3,0,202,2314,245,0 142,0,2024-09-07 08:52:31:369,62436,0.3,61810,0.4,122812,0.2,164523,1.50 142,1,2024-09-07 08:52:30:585,431969,431969,0,0,201927171175,2105552856,429176,2528,265,382,392102,0 142,2,2024-09-07 08:52:31:310,310029,309997,32,0,13518583,0,6028 142,3,2024-09-07 08:52:31:755,1,245,7,0,484,3100,245,0 143,0,2024-09-07 08:52:31:405,61651,0.5,61696,0.6,123745,0.5,164539,1.75 143,1,2024-09-07 08:52:30:567,431815,431815,0,0,201708401176,2092114069,429102,2618,95,367,391651,0 143,2,2024-09-07 08:52:30:786,309270,309270,0,0,12681701,0,2669 143,3,2024-09-07 08:52:31:148,1,245,2,0,303,3217,245,0 144,0,2024-09-07 08:52:31:501,60058,0.7,61663,0.9,125710,0.6,165076,2.00 144,1,2024-09-07 08:52:30:564,429618,429618,0,0,200956402071,2110345103,425615,3081,922,381,391649,0 144,2,2024-09-07 08:52:31:758,310864,310864,0,0,12176331,0,3473 144,3,2024-09-07 08:52:31:745,1,245,12,0,249,2898,245,0 145,0,2024-09-07 08:52:31:460,59391,0.6,59391,0.8,125950,0.6,162976,2.25 145,1,2024-09-07 08:52:30:554,429251,429251,0,0,201423263229,2119265143,423756,4672,823,382,391698,0 145,2,2024-09-07 08:52:31:430,309739,309739,0,0,14460688,0,3903 145,3,2024-09-07 08:52:30:895,1,245,10,0,622,4033,245,0 146,0,2024-09-07 08:52:31:617,60832,0.5,60420,0.7,121730,0.5,161700,2.00 146,1,2024-09-07 08:52:31:592,430297,430297,0,0,201215088009,2122089979,422046,6404,1847,368,391770,0 146,2,2024-09-07 08:52:31:699,309893,309893,0,0,14374297,0,2730 146,3,2024-09-07 08:52:31:340,1,245,2,0,1520,5901,245,0 147,0,2024-09-07 08:52:31:698,61254,0.6,61243,0.8,121512,0.6,164000,2.25 147,1,2024-09-07 08:52:31:373,432051,432051,0,0,201746530711,2099675299,427953,3513,585,368,391791,0 147,2,2024-09-07 08:52:31:010,309347,309347,0,0,12127229,0,2789 147,3,2024-09-07 08:52:30:913,1,245,1,0,730,3899,245,0 0,0,2024-09-07 08:52:41:750,59050,0.5,59013,0.7,125235,0.4,162084,1.75 0,1,2024-09-07 08:52:40:834,432882,432882,0,0,202627572036,2119846180,429670,3008,204,370,391772,0 0,2,2024-09-07 08:52:41:074,311449,311449,0,0,12940421,0,4480 0,3,2024-09-07 08:52:40:973,1,246,1,0,319,3383,246,0 1,0,2024-09-07 08:52:41:759,61313,0.8,60820,0.9,122479,1.0,163345,2.00 1,1,2024-09-07 08:52:40:559,432563,432563,0,0,202232004884,2119699455,428068,3442,1053,371,391857,0 1,2,2024-09-07 08:52:40:655,308220,308220,0,0,11914497,0,3267 1,3,2024-09-07 08:52:41:307,1,246,14,0,262,2939,246,0 2,0,2024-09-07 08:52:41:571,62731,0.7,62993,0.8,125131,0.9,167810,2.00 2,1,2024-09-07 08:52:40:874,432943,432943,0,0,202900631456,2107893077,430839,1886,218,380,391745,0 2,2,2024-09-07 08:52:41:266,312409,312409,0,0,12489184,0,3594 2,3,2024-09-07 08:52:40:693,1,246,0,0,357,2267,246,0 3,0,2024-09-07 08:52:41:745,60843,0.5,60961,0.7,121238,0.5,161487,2.00 3,1,2024-09-07 08:52:41:619,432797,432797,0,0,202203782859,2107455111,428958,3377,462,380,391523,0 3,2,2024-09-07 08:52:41:145,312021,311998,23,0,12752349,0,5851 3,3,2024-09-07 08:52:41:753,1,246,2,0,103,1511,246,0 4,0,2024-09-07 08:52:41:773,59149,0.4,60845,0.5,123824,0.3,161938,1.75 4,1,2024-09-07 08:52:40:606,432315,432315,0,0,202117439300,2124230857,427577,3948,790,371,391846,0 4,2,2024-09-07 08:52:41:041,309578,309578,0,0,15037531,0,4528 4,3,2024-09-07 08:52:41:031,1,246,6,0,448,3771,246,0 5,0,2024-09-07 08:52:41:385,61241,0.4,61603,0.5,122308,0.3,162555,1.75 5,1,2024-09-07 08:52:40:759,432354,432354,0,0,202203205484,2127512570,426862,4380,1112,368,392005,0 5,2,2024-09-07 08:52:41:840,308961,308961,0,0,13694012,0,2432 5,3,2024-09-07 08:52:41:745,1,246,1,0,457,3805,246,0 6,0,2024-09-07 08:52:40:917,63030,0.6,62329,0.8,124663,0.6,166950,2.00 6,1,2024-09-07 08:52:40:746,432524,432524,0,0,202172767520,2114033979,427851,3829,844,379,391694,0 6,2,2024-09-07 08:52:41:117,311555,311555,0,0,13692444,0,4816 6,3,2024-09-07 08:52:41:274,1,246,1,0,340,2945,246,0 7,0,2024-09-07 08:52:41:536,60633,0.5,60848,0.7,121319,0.5,161272,2.00 7,1,2024-09-07 08:52:40:867,432250,432250,0,0,202271457951,2123625410,426350,4897,1003,382,391747,0 7,2,2024-09-07 08:52:40:774,310665,310665,0,0,13493990,0,4791 7,3,2024-09-07 08:52:40:851,1,246,1,0,398,3103,246,0 8,0,2024-09-07 08:52:41:328,61934,0.4,61849,0.5,123183,0.3,164588,1.75 8,1,2024-09-07 08:52:41:024,430826,430826,0,0,201997714968,2132649584,422535,6273,2018,367,391956,0 8,2,2024-09-07 08:52:40:800,307456,307456,0,0,16035618,0,3220 8,3,2024-09-07 08:52:40:593,1,246,1,0,357,3667,246,0 9,0,2024-09-07 08:52:41:109,61807,0.3,59964,0.5,125194,0.3,164425,1.75 9,1,2024-09-07 08:52:40:579,431776,431776,0,0,202036874483,2130989366,425289,4945,1542,370,392001,0 9,2,2024-09-07 08:52:41:087,311144,311144,0,0,14247079,0,3360 9,3,2024-09-07 08:52:41:751,1,246,1,0,496,3632,246,0 10,0,2024-09-07 08:52:41:609,62534,0.3,62012,0.5,124701,0.2,166284,1.75 10,1,2024-09-07 08:52:40:587,432496,432496,0,0,201949472972,2118759515,426136,5432,928,381,391741,0 10,2,2024-09-07 08:52:40:762,309833,309833,0,0,15723411,0,4264 10,3,2024-09-07 08:52:40:883,1,246,1,0,177,2078,246,0 11,0,2024-09-07 08:52:41:010,61395,0.4,59612,0.7,124852,0.4,165897,1.75 11,1,2024-09-07 08:52:40:573,432487,432487,0,0,201906236478,2125906684,424299,6207,1981,383,391537,0 11,2,2024-09-07 08:52:41:125,311109,311109,0,0,14723594,0,4130 11,3,2024-09-07 08:52:41:301,1,246,2,0,843,3976,246,0 12,0,2024-09-07 08:52:41:010,62459,0.4,62325,0.5,124565,0.3,165907,1.75 12,1,2024-09-07 08:52:40:939,432453,432453,0,0,201591460285,2102566780,428939,3093,421,370,391870,0 12,2,2024-09-07 08:52:41:541,312728,312728,0,0,13770904,0,3469 12,3,2024-09-07 08:52:41:069,1,246,7,0,386,4151,246,0 13,0,2024-09-07 08:52:41:334,61558,0.3,61501,0.5,122598,0.3,163151,1.50 13,1,2024-09-07 08:52:41:524,432770,432770,0,0,201695323060,2116782131,429222,3007,541,382,391717,0 13,2,2024-09-07 08:52:40:602,312483,312483,0,0,12940776,0,3287 13,3,2024-09-07 08:52:41:765,1,246,1,0,467,3895,246,0 14,0,2024-09-07 08:52:40:562,62027,0.4,62485,0.6,123813,0.3,164994,1.75 14,1,2024-09-07 08:52:41:568,435306,435306,0,0,203275578983,2104304450,432475,2642,189,364,391571,0 14,2,2024-09-07 08:52:40:775,308194,308194,0,0,12877436,0,2896 14,3,2024-09-07 08:52:41:115,1,246,0,0,1168,3455,246,0 15,0,2024-09-07 08:52:41:556,62288,0.5,62517,0.7,124815,0.5,166527,2.00 15,1,2024-09-07 08:52:41:610,433518,433518,0,0,202564376946,2106029522,430999,2341,178,381,391619,0 15,2,2024-09-07 08:52:41:008,312963,312963,0,0,10968417,0,3043 15,3,2024-09-07 08:52:41:412,1,246,1,0,1126,5211,246,0 16,0,2024-09-07 08:52:40:936,61060,0.7,61289,0.8,121864,0.8,162688,2.25 16,1,2024-09-07 08:52:40:569,433498,433498,0,0,202162217688,2113342642,429938,3261,299,370,391756,0 16,2,2024-09-07 08:52:41:440,311337,311337,0,0,13641221,0,4719 16,3,2024-09-07 08:52:41:145,1,246,1,0,317,3453,246,0 17,0,2024-09-07 08:52:41:763,62895,0.5,61379,0.7,120103,0.4,162872,1.75 17,1,2024-09-07 08:52:40:570,432287,432287,0,0,202083978891,2122477329,427445,3738,1104,368,391845,0 17,2,2024-09-07 08:52:41:671,313714,313714,0,0,12794664,0,2857 17,3,2024-09-07 08:52:40:580,1,246,2,0,268,3990,246,0 18,0,2024-09-07 08:52:40:945,60026,0.7,60259,0.8,120377,0.7,160593,2.25 18,1,2024-09-07 08:52:41:637,433791,433791,0,0,202921804329,2103709092,431282,2267,242,367,391649,0 18,2,2024-09-07 08:52:41:754,310300,310300,0,0,12440657,0,3541 18,3,2024-09-07 08:52:40:899,1,246,1,0,163,2524,246,0 19,0,2024-09-07 08:52:41:560,61826,0.7,62594,0.8,123782,0.7,165424,2.25 19,1,2024-09-07 08:52:40:588,433294,433294,0,0,203161212742,2112457612,428636,3872,786,367,391777,0 19,2,2024-09-07 08:52:41:752,313760,313760,0,0,11476845,0,3988 19,3,2024-09-07 08:52:41:129,1,246,1,0,524,1878,246,0 20,0,2024-09-07 08:52:41:344,60450,0.7,60538,0.8,121390,0.8,161539,2.25 20,1,2024-09-07 08:52:40:569,432195,432195,0,0,202522315533,2119490551,428380,3417,398,369,391886,0 20,2,2024-09-07 08:52:40:938,311577,311577,0,0,13196620,0,3721 20,3,2024-09-07 08:52:40:597,1,246,1,0,414,4597,246,0 21,0,2024-09-07 08:52:41:151,61535,0.5,61788,0.6,123184,0.4,163123,2.00 21,1,2024-09-07 08:52:41:537,431443,431443,0,0,201224566736,2120178831,425725,4461,1257,368,391962,0 21,2,2024-09-07 08:52:41:181,309678,309678,0,0,14924279,0,3747 21,3,2024-09-07 08:52:41:404,1,246,8,0,103,2611,246,0 22,0,2024-09-07 08:52:41:729,61646,0.6,61742,0.7,123175,0.5,163853,2.00 22,1,2024-09-07 08:52:41:024,431894,431894,0,0,201889398246,2127482201,424664,5588,1642,382,391667,0 22,2,2024-09-07 08:52:40:760,310677,310677,0,0,13157018,0,3134 22,3,2024-09-07 08:52:41:078,1,246,0,0,228,2316,246,0 23,0,2024-09-07 08:52:41:401,61771,0.6,61603,0.7,123653,0.6,165106,2.25 23,1,2024-09-07 08:52:41:012,432416,432416,0,0,202617100907,2129338703,425107,4758,2551,365,391690,0 23,2,2024-09-07 08:52:41:092,311412,311412,0,0,12717590,0,3010 23,3,2024-09-07 08:52:41:753,1,246,1,0,645,3266,246,0 24,0,2024-09-07 08:52:40:838,62748,0.4,62607,0.6,125507,0.4,166599,1.75 24,1,2024-09-07 08:52:40:587,431964,431964,0,0,200981366250,2110573649,426354,4130,1480,368,391987,0 24,2,2024-09-07 08:52:41:081,309910,309910,0,0,15402800,0,3607 24,3,2024-09-07 08:52:41:692,1,246,38,0,468,3775,246,0 25,0,2024-09-07 08:52:41:351,63451,0.5,61807,0.7,121351,0.5,165876,2.00 25,1,2024-09-07 08:52:40:559,433021,433021,0,0,202489216953,2119959531,428733,3734,554,371,391788,0 25,2,2024-09-07 08:52:41:609,310493,310493,0,0,15660185,0,3978 25,3,2024-09-07 08:52:40:999,1,246,17,0,255,2685,246,0 26,0,2024-09-07 08:52:41:723,60918,0.4,59622,0.6,124793,0.3,162666,1.75 26,1,2024-09-07 08:52:41:581,432533,432533,0,0,201712439058,2118140028,425568,5749,1216,381,391748,0 26,2,2024-09-07 08:52:40:868,311475,311475,0,0,15301904,0,2809 26,3,2024-09-07 08:52:41:714,1,246,1,0,796,3309,246,0 27,0,2024-09-07 08:52:41:727,62185,0.4,62132,0.6,123019,0.4,164961,2.00 27,1,2024-09-07 08:52:41:689,434531,434531,0,0,203215013584,2114856450,431082,2857,592,381,391558,0 27,2,2024-09-07 08:52:40:869,307742,307677,65,0,15438311,0,5699 27,3,2024-09-07 08:52:41:017,1,246,4,0,564,2703,246,0 28,0,2024-09-07 08:52:41:403,62213,0.5,61866,0.7,124402,0.5,166110,2.00 28,1,2024-09-07 08:52:40:799,433634,433634,0,0,202775006971,2111232465,430795,2368,471,383,391646,0 28,2,2024-09-07 08:52:41:772,312066,312066,0,0,12163765,0,2915 28,3,2024-09-07 08:52:41:776,1,246,1,0,502,2555,246,0 29,0,2024-09-07 08:52:41:366,63015,0.4,61667,0.6,120366,0.4,164865,1.75 29,1,2024-09-07 08:52:41:562,434629,434629,0,0,203298493904,2105424855,431406,2658,565,369,391621,0 29,2,2024-09-07 08:52:40:869,311689,311689,0,0,11637690,0,4018 29,3,2024-09-07 08:52:40:963,1,246,0,0,115,1791,246,0 30,0,2024-09-07 08:52:41:460,60664,0.5,58994,0.7,123272,0.4,161466,2.00 30,1,2024-09-07 08:52:40:579,434379,434379,0,0,202967561724,2103523567,432050,2036,293,382,391672,0 30,2,2024-09-07 08:52:41:274,311816,311816,0,0,11517288,0,3161 30,3,2024-09-07 08:52:40:585,1,246,1,0,195,1881,246,0 31,0,2024-09-07 08:52:41:773,60806,0.4,61131,0.6,122554,0.3,163273,2.00 31,1,2024-09-07 08:52:40:569,435726,435726,0,0,204383796697,2094222247,434337,1170,219,356,391712,0 31,2,2024-09-07 08:52:41:275,308250,308250,0,0,13033133,0,3525 31,3,2024-09-07 08:52:41:707,1,246,12,0,220,1925,246,0 32,0,2024-09-07 08:52:41:423,62572,0.4,63201,0.5,125972,0.3,168225,1.75 32,1,2024-09-07 08:52:40:804,434303,434303,0,0,202711961095,2103606500,432347,1677,279,381,391622,0 32,2,2024-09-07 08:52:40:944,312908,312908,0,0,11430137,0,3155 32,3,2024-09-07 08:52:41:017,1,246,0,0,227,2005,246,0 33,0,2024-09-07 08:52:41:508,61353,0.3,60612,0.5,121998,0.2,162382,1.75 33,1,2024-09-07 08:52:40:583,434602,434602,0,0,203653666180,2104532012,432022,2406,174,369,391730,0 33,2,2024-09-07 08:52:40:765,312975,312942,33,0,13182671,0,7012 33,3,2024-09-07 08:52:40:895,1,246,1,0,329,2898,246,0 34,0,2024-09-07 08:52:40:930,60984,0.3,62768,0.5,120404,0.2,161313,1.75 34,1,2024-09-07 08:52:41:044,435067,435067,0,0,203676097402,2095208196,433971,1089,7,367,391562,0 34,2,2024-09-07 08:52:40:766,310841,310841,0,0,12442963,0,3577 34,3,2024-09-07 08:52:41:689,1,246,1,0,299,2039,246,0 35,0,2024-09-07 08:52:40:858,60801,0.3,61163,0.5,122922,0.3,162964,1.75 35,1,2024-09-07 08:52:41:069,434172,434172,0,0,202720172941,2103570537,430827,2565,780,384,391589,0 35,2,2024-09-07 08:52:41:584,311055,311055,0,0,11927542,0,2653 35,3,2024-09-07 08:52:40:907,1,246,11,0,418,2971,246,0 36,0,2024-09-07 08:52:41:525,62585,0.6,62545,0.8,125284,0.6,166642,2.25 36,1,2024-09-07 08:52:40:589,433224,433224,0,0,202856294247,2123314026,427244,4401,1579,366,391759,0 36,2,2024-09-07 08:52:41:752,311001,311001,0,0,13997438,0,3875 36,3,2024-09-07 08:52:40:869,1,246,4,0,416,4034,246,0 37,0,2024-09-07 08:52:41:371,60542,0.6,60507,0.7,121198,0.5,162194,2.00 37,1,2024-09-07 08:52:40:593,432335,432328,0,7,201897930322,2117645062,426321,3940,2067,365,391560,0 37,2,2024-09-07 08:52:41:145,310461,310446,15,0,14328157,0,5815 37,3,2024-09-07 08:52:41:768,1,246,0,0,888,4420,246,0 38,0,2024-09-07 08:52:41:437,61165,0.5,59482,0.7,124551,0.4,162747,2.00 38,1,2024-09-07 08:52:41:608,433515,433515,0,0,202676217758,2117271727,428244,4596,675,368,391821,0 38,2,2024-09-07 08:52:40:765,310531,310484,47,0,14716008,0,6710 38,3,2024-09-07 08:52:41:007,1,246,1,0,689,3592,246,0 39,0,2024-09-07 08:52:41:763,63018,0.6,61847,0.7,120087,0.6,164640,2.00 39,1,2024-09-07 08:52:40:718,433258,433258,0,0,202451095529,2114841792,427698,4658,902,365,391594,0 39,2,2024-09-07 08:52:41:417,311168,311168,0,0,12758309,0,2689 39,3,2024-09-07 08:52:40:714,1,246,4,0,324,3411,246,0 40,0,2024-09-07 08:52:41:497,61671,0.7,62232,0.9,124480,0.7,165886,2.75 40,1,2024-09-07 08:52:40:583,432927,432927,0,0,201230741670,2111031314,425927,5557,1443,368,391591,0 40,2,2024-09-07 08:52:41:319,309685,309684,1,0,15746505,0,5137 40,3,2024-09-07 08:52:41:142,1,246,1,0,398,3033,246,0 41,0,2024-09-07 08:52:41:039,61176,2.1,62575,1.5,119434,3.2,164139,3.75 41,1,2024-09-07 08:52:40:778,432921,432921,0,0,202580484681,2119543101,427385,4902,634,370,391742,0 41,2,2024-09-07 08:52:40:765,309987,309987,0,0,14925284,0,3356 41,3,2024-09-07 08:52:41:676,1,246,1,0,366,2775,246,0 42,0,2024-09-07 08:52:41:489,61142,0.9,61499,1.0,122965,1.0,162474,2.75 42,1,2024-09-07 08:52:41:439,431535,431535,0,0,201912158153,2124003319,424377,5706,1452,380,391675,0 42,2,2024-09-07 08:52:41:141,312008,312008,0,0,14373766,0,3568 42,3,2024-09-07 08:52:41:009,1,246,1,0,446,2062,246,0 43,0,2024-09-07 08:52:40:920,60924,0.7,59418,0.9,124023,0.7,162927,2.25 43,1,2024-09-07 08:52:40:586,432483,432483,0,0,202885595424,2121505994,426222,4932,1329,366,391696,0 43,2,2024-09-07 08:52:41:736,311701,311701,0,0,13746779,0,3812 43,3,2024-09-07 08:52:41:751,1,246,1,0,467,3063,246,0 44,0,2024-09-07 08:52:40:867,61745,0.4,62149,0.6,123960,0.4,165087,1.75 44,1,2024-09-07 08:52:40:573,434372,434372,0,0,202441214232,2090080991,431816,2124,432,356,391809,0 44,2,2024-09-07 08:52:41:267,307999,307999,0,0,11512801,0,2231 44,3,2024-09-07 08:52:41:097,1,246,1,0,817,2937,246,0 45,0,2024-09-07 08:52:41:809,61415,0.7,60070,0.8,126007,0.7,166374,2.00 45,1,2024-09-07 08:52:41:006,433900,433900,0,0,203658504298,2110175480,432250,1638,12,382,391917,0 45,2,2024-09-07 08:52:41:270,312901,312901,0,0,12236443,0,3596 45,3,2024-09-07 08:52:40:941,1,246,2,0,271,2676,246,0 46,0,2024-09-07 08:52:40:956,60612,0.6,60463,0.8,121240,0.7,160404,2.00 46,1,2024-09-07 08:52:40:579,435224,435224,0,0,203120744654,2096946852,432878,2072,274,366,391539,0 46,2,2024-09-07 08:52:40:596,312681,312681,0,0,11812093,0,2920 46,3,2024-09-07 08:52:41:135,1,246,2,0,908,3771,246,0 47,0,2024-09-07 08:52:41:105,61331,0.4,61140,0.6,122980,0.4,162436,1.75 47,1,2024-09-07 08:52:40:573,435024,435024,0,0,202915579946,2094517395,432702,2188,134,366,391605,0 47,2,2024-09-07 08:52:40:908,313057,313057,0,0,11882381,0,2558 47,3,2024-09-07 08:52:41:117,1,246,1,0,529,2261,246,0 48,0,2024-09-07 08:52:41:492,61091,0.3,60728,0.4,120966,0.2,161426,1.50 48,1,2024-09-07 08:52:41:024,434256,434256,0,0,202445374523,2103855004,431156,2801,299,384,391710,0 48,2,2024-09-07 08:52:40:706,309508,309508,0,0,11502221,0,3031 48,3,2024-09-07 08:52:40:753,1,246,1,0,339,2090,246,0 49,0,2024-09-07 08:52:41:726,64035,0.4,63030,0.5,121875,0.3,167548,1.75 49,1,2024-09-07 08:52:41:024,433129,433129,0,0,203335836907,2120254678,429272,2676,1181,382,391809,0 49,2,2024-09-07 08:52:41:796,313217,313217,0,0,12118187,0,3900 49,3,2024-09-07 08:52:41:416,1,246,17,0,408,2775,246,0 50,0,2024-09-07 08:52:41:508,60770,0.3,60198,0.5,121166,0.2,161494,1.75 50,1,2024-09-07 08:52:41:010,435093,435093,0,0,203541402083,2106090066,432256,2527,310,368,391530,0 50,2,2024-09-07 08:52:41:079,312276,312276,0,0,11410974,0,2263 50,3,2024-09-07 08:52:41:295,1,246,2,0,335,2055,246,0 51,0,2024-09-07 08:52:41:689,62924,0.3,61538,0.5,119968,0.2,163380,1.75 51,1,2024-09-07 08:52:41:683,434910,434910,0,0,203989772882,2106381894,432114,1857,939,365,391706,0 51,2,2024-09-07 08:52:41:319,310891,310891,0,0,10818901,0,3337 51,3,2024-09-07 08:52:41:032,1,246,1,0,678,2014,246,0 52,0,2024-09-07 08:52:41:425,62440,0.4,61958,0.6,123840,0.4,164564,2.00 52,1,2024-09-07 08:52:40:574,432884,432884,0,0,201894057626,2119321353,425727,5903,1254,368,391722,0 52,2,2024-09-07 08:52:41:754,309196,309158,38,0,14918790,0,6742 52,3,2024-09-07 08:52:40:688,1,246,0,0,1782,4508,246,0 53,0,2024-09-07 08:52:41:768,61413,0.7,59656,0.8,125009,0.8,164370,2.50 53,1,2024-09-07 08:52:40:777,431888,431888,0,0,201786130917,2121624183,424563,4969,2356,367,391702,0 53,2,2024-09-07 08:52:41:302,311033,311033,0,0,12403455,0,2727 53,3,2024-09-07 08:52:40:705,1,246,1,0,271,2297,246,0 54,0,2024-09-07 08:52:41:619,61223,0.8,61740,0.9,122304,0.5,163740,2.75 54,1,2024-09-07 08:52:40:586,433030,433030,0,0,203301426086,2116052827,428294,4139,597,367,391659,0 54,2,2024-09-07 08:52:40:871,311119,311113,6,0,13763099,0,5382 54,3,2024-09-07 08:52:40:763,1,246,1,0,676,3747,246,0 55,0,2024-09-07 08:52:41:769,59648,0.6,61755,0.8,124292,0.6,162277,2.50 55,1,2024-09-07 08:52:40:764,432492,432492,0,0,202211352604,2107578764,426942,4763,787,365,391731,0 55,2,2024-09-07 08:52:40:728,310638,310638,0,0,13946282,0,3275 55,3,2024-09-07 08:52:40:687,1,246,0,0,304,2868,246,0 56,0,2024-09-07 08:52:41:575,62556,1.0,59012,1.0,121364,1.3,162542,2.50 56,1,2024-09-07 08:52:40:590,430913,430913,0,0,202147853106,2139138324,423676,5799,1438,381,391678,0 56,2,2024-09-07 08:52:41:303,311584,311584,0,0,14946784,0,3567 56,3,2024-09-07 08:52:41:077,1,246,27,0,705,3667,246,0 57,0,2024-09-07 08:52:40:948,60925,1.6,61079,1.2,122235,2.2,163896,3.00 57,1,2024-09-07 08:52:40:991,432349,432349,0,0,201830723997,2116193697,427028,4651,670,367,391960,0 57,2,2024-09-07 08:52:41:316,309442,309442,0,0,15934970,0,3317 57,3,2024-09-07 08:52:41:745,1,246,1,0,359,3364,246,0 58,0,2024-09-07 08:52:40:594,60892,0.9,59200,1.0,123899,1.1,162620,2.50 58,1,2024-09-07 08:52:40:683,433148,433145,0,3,203393705913,2126400115,427415,5020,710,367,391545,3 58,2,2024-09-07 08:52:41:071,311972,311972,0,0,14327319,0,2549 58,3,2024-09-07 08:52:41:079,1,246,1,0,1043,2840,246,0 59,0,2024-09-07 08:52:41:745,61026,0.9,60856,1.0,121381,0.9,161630,3.00 59,1,2024-09-07 08:52:40:804,433000,433000,0,0,202809205509,2123534233,427212,4523,1265,369,391525,0 59,2,2024-09-07 08:52:40:588,313212,313212,0,0,13083288,0,2604 59,3,2024-09-07 08:52:41:737,1,246,0,0,1015,3507,246,0 60,0,2024-09-07 08:52:41:726,60974,0.4,60659,0.6,122411,0.4,162093,1.75 60,1,2024-09-07 08:52:40:774,434414,434414,0,0,203191399302,2107082945,431975,1922,517,370,392031,0 60,2,2024-09-07 08:52:41:143,311642,311642,0,0,14183621,0,3811 60,3,2024-09-07 08:52:41:266,1,246,7,0,124,2420,246,0 61,0,2024-09-07 08:52:41:518,61120,0.7,61377,0.8,122280,0.7,163411,2.00 61,1,2024-09-07 08:52:40:796,433056,433056,0,0,202841312221,2122719307,428486,3867,703,382,391880,0 61,2,2024-09-07 08:52:41:117,309301,309301,0,0,12441231,0,2780 61,3,2024-09-07 08:52:41:689,1,246,1,0,397,3333,246,0 62,0,2024-09-07 08:52:41:706,63336,0.6,64528,0.8,122990,0.7,167922,2.00 62,1,2024-09-07 08:52:41:111,435498,435492,0,6,203221369624,2096423009,433031,2343,118,365,391715,6 62,2,2024-09-07 08:52:41:645,311609,311608,1,0,13818873,0,5555 62,3,2024-09-07 08:52:41:144,1,246,1,0,287,1748,246,0 63,0,2024-09-07 08:52:41:453,60806,0.5,60976,0.6,122171,0.5,161937,1.75 63,1,2024-09-07 08:52:40:833,434803,434797,0,6,202636557584,2098888615,433222,1525,50,381,391677,6 63,2,2024-09-07 08:52:40:762,312615,312615,0,0,11591369,0,2674 63,3,2024-09-07 08:52:41:734,1,246,0,0,667,2852,246,0 64,0,2024-09-07 08:52:41:518,60494,0.4,60546,0.6,121271,0.4,161196,1.75 64,1,2024-09-07 08:52:40:751,433463,433463,0,0,202501581775,2113303955,428978,3071,1414,370,391783,0 64,2,2024-09-07 08:52:41:144,313171,313152,19,0,12541955,0,6121 64,3,2024-09-07 08:52:41:141,1,246,1,0,265,2531,246,0 65,0,2024-09-07 08:52:41:686,60750,0.6,61134,0.7,121931,0.6,161847,2.00 65,1,2024-09-07 08:52:40:871,432645,432645,0,0,201937653342,2113429443,429093,3108,444,382,391901,0 65,2,2024-09-07 08:52:41:694,310765,310765,0,0,13236791,0,3367 65,3,2024-09-07 08:52:41:683,1,246,3,0,163,2338,246,0 66,0,2024-09-07 08:52:41:764,62156,0.5,62020,0.7,124796,0.4,165866,2.00 66,1,2024-09-07 08:52:41:299,434261,434261,0,0,203005755380,2109868446,431689,2372,200,380,391653,0 66,2,2024-09-07 08:52:41:136,313679,313679,0,0,12944275,0,4956 66,3,2024-09-07 08:52:41:088,1,246,0,0,291,2618,246,0 67,0,2024-09-07 08:52:41:415,61258,0.6,60773,0.8,122327,0.7,162307,2.25 67,1,2024-09-07 08:52:40:766,433037,433036,0,1,203047977748,2118498076,429192,3097,747,380,391787,1 67,2,2024-09-07 08:52:40:586,312683,312683,0,0,12009549,0,2889 67,3,2024-09-07 08:52:41:751,1,246,1,0,338,2325,246,0 68,0,2024-09-07 08:52:40:606,61842,0.6,61660,0.8,122936,0.6,164451,2.00 68,1,2024-09-07 08:52:40:585,432376,432376,0,0,201571243589,2114545506,428198,3008,1170,381,391953,0 68,2,2024-09-07 08:52:41:046,309705,309640,65,0,15763812,0,6698 68,3,2024-09-07 08:52:40:734,1,246,1,0,417,2783,246,0 69,0,2024-09-07 08:52:41:730,61300,0.7,61612,0.8,122807,0.7,163655,2.25 69,1,2024-09-07 08:52:41:024,431370,431370,0,0,201768849366,2130448061,425669,4299,1402,384,391994,0 69,2,2024-09-07 08:52:41:744,311139,311139,0,0,15551401,0,3722 69,3,2024-09-07 08:52:40:766,1,246,2,0,698,3603,246,0 70,0,2024-09-07 08:52:41:546,62008,0.8,61915,1.0,124375,0.6,165538,2.25 70,1,2024-09-07 08:52:40:801,433474,433474,0,0,203868539088,2117674110,429810,3136,528,366,391725,0 70,2,2024-09-07 08:52:41:325,309709,309709,0,0,14208739,0,4044 70,3,2024-09-07 08:52:40:750,1,246,12,0,854,2926,246,0 71,0,2024-09-07 08:52:41:356,61310,1.3,61085,1.2,122505,1.7,164826,3.00 71,1,2024-09-07 08:52:41:606,432849,432849,0,0,202476414754,2113965479,427695,4533,621,368,391738,0 71,2,2024-09-07 08:52:41:080,311389,311389,0,0,14573568,0,4042 71,3,2024-09-07 08:52:41:751,1,246,0,0,644,3609,246,0 72,0,2024-09-07 08:52:41:051,64046,0.8,62582,0.9,122096,0.9,166311,2.25 72,1,2024-09-07 08:52:41:026,432625,432625,0,0,201859635490,2108653153,427570,4124,931,369,391819,0 72,2,2024-09-07 08:52:41:755,311258,311258,0,0,16087963,0,3983 72,3,2024-09-07 08:52:41:754,1,246,2,0,564,4402,246,0 73,0,2024-09-07 08:52:41:116,59752,0.4,61095,0.6,125400,0.3,162649,2.00 73,1,2024-09-07 08:52:40:770,433335,433335,0,0,202630799712,2106575654,430248,2852,235,367,391750,0 73,2,2024-09-07 08:52:41:830,311816,311816,0,0,15411338,0,3701 73,3,2024-09-07 08:52:40:973,1,246,28,0,274,3682,246,0 74,0,2024-09-07 08:52:41:325,62435,0.5,63725,0.7,121572,0.4,164983,2.25 74,1,2024-09-07 08:52:40:648,432898,432898,0,0,201956407954,2109818608,428498,3601,799,381,391681,0 74,2,2024-09-07 08:52:41:001,308452,308452,0,0,14699311,0,4253 74,3,2024-09-07 08:52:41:442,1,246,0,0,522,3333,246,0 75,0,2024-09-07 08:52:41:765,62569,0.6,62236,0.8,124902,0.5,167224,2.25 75,1,2024-09-07 08:52:41:590,432261,432261,0,0,202091956595,2113917988,427353,4245,663,380,391660,0 75,2,2024-09-07 08:52:41:350,311533,311533,0,0,15268113,0,4766 75,3,2024-09-07 08:52:41:069,1,246,1,0,702,3536,246,0 76,0,2024-09-07 08:52:40:622,60656,0.8,60078,0.9,120314,0.8,161325,2.50 76,1,2024-09-07 08:52:40:833,433102,433102,0,0,202057674610,2108154193,430039,2514,549,382,391692,0 76,2,2024-09-07 08:52:41:062,313674,313674,0,0,12467794,0,3784 76,3,2024-09-07 08:52:41:145,1,246,1,0,175,2598,246,0 77,0,2024-09-07 08:52:41:693,61047,0.5,61358,0.7,122266,0.5,162142,1.75 77,1,2024-09-07 08:52:40:834,433649,433649,0,0,202836736944,2118101216,430200,3192,257,383,391808,0 77,2,2024-09-07 08:52:41:287,310687,310687,0,0,13059985,0,3890 77,3,2024-09-07 08:52:41:096,1,246,1,0,382,2820,246,0 78,0,2024-09-07 08:52:41:723,60882,0.4,60300,0.6,121629,0.4,160676,2.00 78,1,2024-09-07 08:52:40:615,433903,433903,0,0,202236030937,2099088933,430907,2677,319,367,391670,0 78,2,2024-09-07 08:52:41:406,310054,310054,0,0,11650088,0,3855 78,3,2024-09-07 08:52:41:135,1,246,0,0,181,2428,246,0 79,0,2024-09-07 08:52:41:396,60443,0.4,61945,0.6,126716,0.4,165233,2.25 79,1,2024-09-07 08:52:40:579,434970,434970,0,0,203354472921,2104145909,432127,2507,336,369,391682,0 79,2,2024-09-07 08:52:41:079,313075,313075,0,0,11827994,0,3212 79,3,2024-09-07 08:52:40:757,1,246,8,0,418,3687,246,0 80,0,2024-09-07 08:52:41:160,60619,0.6,62388,0.7,119461,0.7,161252,2.00 80,1,2024-09-07 08:52:41:640,433210,433210,0,0,202613901437,2109606360,430244,2797,169,368,391791,0 80,2,2024-09-07 08:52:41:093,312429,312429,0,0,12318578,0,4433 80,3,2024-09-07 08:52:40:586,1,246,1,0,190,3401,246,0 81,0,2024-09-07 08:52:41:534,61308,0.5,62805,0.6,120304,0.4,162491,1.75 81,1,2024-09-07 08:52:41:652,432237,432237,0,0,201774347486,2109983148,428398,3377,462,382,391879,0 81,2,2024-09-07 08:52:41:129,310533,310533,0,0,12663950,0,3993 81,3,2024-09-07 08:52:41:127,1,246,1,0,374,2999,246,0 82,0,2024-09-07 08:52:41:531,61448,0.4,61942,0.6,123981,0.3,164689,2.00 82,1,2024-09-07 08:52:40:587,433756,433752,0,4,202596470818,2111023519,430531,2610,611,381,391558,4 82,2,2024-09-07 08:52:41:691,312030,312030,0,0,11968888,0,3986 82,3,2024-09-07 08:52:41:751,1,246,3,0,363,2800,246,0 83,0,2024-09-07 08:52:41:523,62045,0.5,62047,0.7,123163,0.5,164887,2.00 83,1,2024-09-07 08:52:40:551,432818,432818,0,0,202625544016,2113622782,429646,2928,244,382,391690,0 83,2,2024-09-07 08:52:40:763,310944,310944,0,0,12009008,0,3119 83,3,2024-09-07 08:52:40:750,1,246,0,0,250,2661,246,0 84,0,2024-09-07 08:52:41:770,61867,0.9,61600,0.9,123366,0.8,165258,2.50 84,1,2024-09-07 08:52:41:041,432191,432191,0,0,202478403679,2118249827,427449,4166,576,368,391967,0 84,2,2024-09-07 08:52:40:580,311195,311195,0,0,14011505,0,3801 84,3,2024-09-07 08:52:41:142,1,246,0,0,908,3938,246,0 85,0,2024-09-07 08:52:41:009,59747,0.7,59725,0.9,126538,0.8,163725,2.50 85,1,2024-09-07 08:52:40:561,431858,431858,0,0,201815936965,2133850959,425023,5729,1106,382,392006,0 85,2,2024-09-07 08:52:40:865,312650,312650,0,0,14809403,0,3656 85,3,2024-09-07 08:52:40:688,1,246,0,0,789,3369,246,0 86,0,2024-09-07 08:52:40:883,61162,0.6,62872,0.7,120282,0.6,162444,2.00 86,1,2024-09-07 08:52:40:825,433294,433294,0,0,202688507933,2120647831,428314,4256,724,366,391961,0 86,2,2024-09-07 08:52:40:859,310617,310616,1,0,15626938,0,5004 86,3,2024-09-07 08:52:40:625,1,246,1,0,286,3333,246,0 87,0,2024-09-07 08:52:41:297,61655,0.9,61392,0.9,123335,1.3,165427,2.25 87,1,2024-09-07 08:52:40:559,433071,433071,0,0,201948923790,2113333004,428598,3989,484,366,391788,0 87,2,2024-09-07 08:52:41:074,308148,308148,0,0,13906764,0,4045 87,3,2024-09-07 08:52:41:803,1,246,1,0,473,3783,246,0 88,0,2024-09-07 08:52:41:448,62062,0.5,62397,0.6,124480,0.5,165795,1.75 88,1,2024-09-07 08:52:40:584,431298,431298,0,0,201769592564,2118502702,425123,4663,1512,365,392084,0 88,2,2024-09-07 08:52:40:690,311926,311926,0,0,15784385,0,3583 88,3,2024-09-07 08:52:41:274,1,246,1,0,435,3063,246,0 89,0,2024-09-07 08:52:41:787,63177,0.5,61018,0.7,121319,0.5,164844,2.00 89,1,2024-09-07 08:52:40:557,430809,430809,0,0,202172392146,2133834684,423895,5692,1222,382,391866,0 89,2,2024-09-07 08:52:41:136,311362,311362,0,0,15091743,0,2910 89,3,2024-09-07 08:52:41:794,1,246,15,0,325,4792,246,0 90,0,2024-09-07 08:52:41:649,59203,0.4,60482,0.6,123858,0.4,161176,1.75 90,1,2024-09-07 08:52:40:596,433004,433004,0,0,202079607386,2118363225,428873,3853,278,381,391825,0 90,2,2024-09-07 08:52:41:408,310414,310414,0,0,16297383,0,3060 90,3,2024-09-07 08:52:40:933,1,246,9,0,200,2586,246,0 91,0,2024-09-07 08:52:40:931,61389,0.4,59429,0.6,124203,0.4,163459,1.75 91,1,2024-09-07 08:52:40:560,432090,432090,0,0,202199501936,2127126295,426226,5170,694,381,392047,0 91,2,2024-09-07 08:52:41:334,308267,308267,0,0,14514765,0,2896 91,3,2024-09-07 08:52:40:600,1,246,1,0,216,2368,246,0 92,0,2024-09-07 08:52:41:450,63285,0.5,64864,0.7,123873,0.5,168227,1.75 92,1,2024-09-07 08:52:40:581,432837,432837,0,0,202316517820,2109725136,430281,2150,406,382,392136,0 92,2,2024-09-07 08:52:41:352,314111,314111,0,0,12240594,0,2801 92,3,2024-09-07 08:52:41:008,1,246,0,0,167,2010,246,0 93,0,2024-09-07 08:52:40:969,61122,0.4,62767,0.6,119868,0.4,162428,1.75 93,1,2024-09-07 08:52:40:811,433073,433073,0,0,201878055018,2107660610,428599,3688,786,366,391692,0 93,2,2024-09-07 08:52:40:933,312788,312788,0,0,13026172,0,2797 93,3,2024-09-07 08:52:41:410,1,246,1,0,190,2467,246,0 94,0,2024-09-07 08:52:41:624,60697,0.4,61489,0.5,122457,0.3,161970,1.75 94,1,2024-09-07 08:52:40:581,432963,432963,0,0,202691334874,2117467312,429675,3110,178,381,391850,0 94,2,2024-09-07 08:52:40:763,310344,310344,0,0,12077959,0,2443 94,3,2024-09-07 08:52:41:690,1,246,1,0,264,3256,246,0 95,0,2024-09-07 08:52:41:353,61484,0.3,61086,0.5,123274,0.3,162734,1.75 95,1,2024-09-07 08:52:40:851,433791,433791,0,0,203024588321,2110948245,430226,3189,376,367,391713,0 95,2,2024-09-07 08:52:41:017,310066,310066,0,0,12416033,0,3308 95,3,2024-09-07 08:52:41:713,1,246,2,0,718,4509,246,0 96,0,2024-09-07 08:52:41:065,62624,0.4,62859,0.5,125408,0.4,166225,1.75 96,1,2024-09-07 08:52:41:585,432554,432554,0,0,202866879079,2118930898,428979,2842,733,384,391894,0 96,2,2024-09-07 08:52:41:271,311887,311887,0,0,13120126,0,4042 96,3,2024-09-07 08:52:41:141,1,246,0,0,411,2882,246,0 97,0,2024-09-07 08:52:41:318,61102,0.4,60923,0.5,122310,0.3,162172,1.75 97,1,2024-09-07 08:52:40:762,434118,434118,0,0,203750097595,2113770016,431083,2479,556,367,392140,0 97,2,2024-09-07 08:52:40:610,311810,311810,0,0,12367769,0,3036 97,3,2024-09-07 08:52:40:580,1,246,1,0,214,2898,246,0 98,0,2024-09-07 08:52:41:707,61746,0.3,61821,0.5,124145,0.2,164658,1.50 98,1,2024-09-07 08:52:40:580,433866,433866,0,0,202463118944,2107916453,431724,2042,100,382,391997,0 98,2,2024-09-07 08:52:40:770,311698,311698,0,0,12444467,0,3080 98,3,2024-09-07 08:52:40:708,1,246,12,0,840,4561,246,0 99,0,2024-09-07 08:52:41:456,61668,0.3,61790,0.4,123381,0.2,164811,1.50 99,1,2024-09-07 08:52:41:723,433398,433398,0,0,202291132252,2109853423,429612,3046,740,381,391744,0 99,2,2024-09-07 08:52:41:421,314158,314158,0,0,13176899,0,3106 99,3,2024-09-07 08:52:40:615,1,246,2,0,168,1921,246,0 100,0,2024-09-07 08:52:41:463,62260,0.7,62173,1.0,124206,0.9,165992,2.25 100,1,2024-09-07 08:52:40:556,430667,430667,0,0,201055763676,2127777489,423827,5523,1317,381,391989,0 100,2,2024-09-07 08:52:41:833,308090,308079,11,0,14718285,0,5417 100,3,2024-09-07 08:52:41:738,1,246,0,0,559,4892,246,0 101,0,2024-09-07 08:52:41:727,62989,1.8,61325,1.2,120454,2.2,166449,2.50 101,1,2024-09-07 08:52:40:556,431012,431012,0,0,200799645808,2119119819,423664,5577,1771,368,391769,0 101,2,2024-09-07 08:52:41:770,310434,310434,0,0,15941357,0,4644 101,3,2024-09-07 08:52:40:949,1,246,0,0,448,3137,246,0 102,0,2024-09-07 08:52:40:946,60466,0.8,62717,0.8,125986,0.8,165477,2.00 102,1,2024-09-07 08:52:41:145,432025,432025,0,0,202391267313,2127848470,426373,4812,840,369,391883,0 102,2,2024-09-07 08:52:41:737,312688,312634,54,0,14630750,0,6768 102,3,2024-09-07 08:52:41:617,1,246,2,0,410,2720,246,0 103,0,2024-09-07 08:52:41:619,63114,0.5,63071,0.6,119054,0.4,163753,1.75 103,1,2024-09-07 08:52:41:627,431215,431215,0,0,202062771503,2130855078,424924,4910,1381,381,391829,0 103,2,2024-09-07 08:52:40:602,310612,310612,0,0,13765118,0,2582 103,3,2024-09-07 08:52:40:773,1,246,1,0,916,3567,246,0 104,0,2024-09-07 08:52:41:054,61363,0.8,61840,1.0,122312,0.7,165136,2.25 104,1,2024-09-07 08:52:41:602,432690,432690,0,0,201924574814,2125049580,426018,5577,1095,365,391948,0 104,2,2024-09-07 08:52:41:667,307073,307073,0,0,15285866,0,3941 104,3,2024-09-07 08:52:41:419,1,246,1,0,1245,6393,246,0 105,0,2024-09-07 08:52:41:032,61850,1.4,60014,1.3,125343,2.1,165780,3.50 105,1,2024-09-07 08:52:40:554,432592,432592,0,0,202532383210,2127302126,426284,5330,978,366,391797,0 105,2,2024-09-07 08:52:41:322,311856,311856,0,0,14836080,0,3509 105,3,2024-09-07 08:52:41:327,1,246,20,0,399,4259,246,0 106,0,2024-09-07 08:52:40:949,58710,1.1,60126,1.1,123249,1.2,161032,2.75 106,1,2024-09-07 08:52:41:751,432487,432487,0,0,202498918553,2129611242,425397,6331,759,369,391767,0 106,2,2024-09-07 08:52:40:758,310339,310339,0,0,14312907,0,2795 106,3,2024-09-07 08:52:40:694,1,246,43,0,470,3604,246,0 107,0,2024-09-07 08:52:41:158,60903,0.8,60870,0.9,121664,0.8,161902,2.00 107,1,2024-09-07 08:52:40:593,431257,431257,0,0,201631273897,2122829693,425937,4772,548,381,392234,0 107,2,2024-09-07 08:52:41:296,310305,310304,1,0,14961686,0,5024 107,3,2024-09-07 08:52:41:755,1,246,23,0,353,3412,246,0 108,0,2024-09-07 08:52:41:777,60789,0.4,61019,0.6,120901,0.3,161501,1.75 108,1,2024-09-07 08:52:41:297,433069,433069,0,0,202575635049,2110412029,429866,2819,384,368,391857,0 108,2,2024-09-07 08:52:41:763,308633,308633,0,0,13287742,0,2647 108,3,2024-09-07 08:52:41:330,1,246,4,0,749,4833,246,0 109,0,2024-09-07 08:52:41:759,62827,0.4,62122,0.6,124462,0.3,167340,1.75 109,1,2024-09-07 08:52:40:725,431568,431568,0,0,202416715384,2122624971,427779,3274,515,383,392132,0 109,2,2024-09-07 08:52:40:921,311345,311345,0,0,13804859,0,3617 109,3,2024-09-07 08:52:41:140,1,246,1,0,249,2942,246,0 110,0,2024-09-07 08:52:41:758,60821,0.4,59245,0.6,123845,0.4,161914,1.75 110,1,2024-09-07 08:52:41:643,433980,433980,0,0,203238892237,2110463845,430838,2265,877,370,392045,0 110,2,2024-09-07 08:52:41:304,312284,312284,0,0,13050188,0,3264 110,3,2024-09-07 08:52:40:693,1,246,2,0,406,3249,246,0 111,0,2024-09-07 08:52:41:415,61735,0.4,61303,0.5,122415,0.3,163753,1.75 111,1,2024-09-07 08:52:40:999,434117,434117,0,0,203338672045,2107436275,432127,1654,336,382,391690,0 111,2,2024-09-07 08:52:41:118,310528,310528,0,0,12554484,0,2763 111,3,2024-09-07 08:52:40:913,1,246,1,0,379,3361,246,0 112,0,2024-09-07 08:52:40:925,61980,0.3,61950,0.4,123918,0.2,164708,1.50 112,1,2024-09-07 08:52:40:824,434514,434514,0,0,202229223731,2098006173,432095,1991,428,380,391580,0 112,2,2024-09-07 08:52:41:136,311189,311188,1,0,12875202,0,5036 112,3,2024-09-07 08:52:40:592,1,246,1,0,282,2688,246,0 113,0,2024-09-07 08:52:40:882,61858,0.3,61723,0.5,124063,0.2,165889,1.50 113,1,2024-09-07 08:52:41:690,435083,435083,0,0,203770306053,2103670360,432585,2009,489,366,391661,0 113,2,2024-09-07 08:52:41:321,312020,312020,0,0,11684575,0,3813 113,3,2024-09-07 08:52:40:686,1,246,5,0,340,3589,246,0 114,0,2024-09-07 08:52:40:875,62624,0.4,63177,0.5,125151,0.3,167205,1.75 114,1,2024-09-07 08:52:40:719,433282,433282,0,0,202551823419,2111404430,428474,3219,1589,381,391556,0 114,2,2024-09-07 08:52:40:872,311330,311329,1,0,12786078,0,5069 114,3,2024-09-07 08:52:41:278,1,246,1,0,395,2674,246,0 115,0,2024-09-07 08:52:40:575,61922,0.3,62258,0.4,124607,0.2,165684,1.50 115,1,2024-09-07 08:52:40:579,433171,433171,0,0,202891644102,2111543833,428812,3525,834,382,391602,0 115,2,2024-09-07 08:52:41:126,312702,312702,0,0,11125232,0,2152 115,3,2024-09-07 08:52:41:007,1,246,0,0,159,1362,246,0 116,0,2024-09-07 08:52:41:698,61056,0.6,60886,0.8,121929,0.6,163037,2.00 116,1,2024-09-07 08:52:40:807,430613,430613,0,0,201656234145,2134920431,424216,4342,2055,380,391782,0 116,2,2024-09-07 08:52:41:751,310990,310990,0,0,15986950,0,3529 116,3,2024-09-07 08:52:40:914,1,246,1,0,415,3595,246,0 117,0,2024-09-07 08:52:40:959,61748,0.8,61547,0.9,123257,0.8,165454,2.00 117,1,2024-09-07 08:52:41:582,432636,432636,0,0,201544863561,2110833785,427935,4221,480,370,392033,0 117,2,2024-09-07 08:52:41:123,310578,310578,0,0,13120066,0,3700 117,3,2024-09-07 08:52:41:059,1,246,4,0,490,4001,246,0 118,0,2024-09-07 08:52:41:783,60325,0.6,61936,0.8,126397,0.6,165068,2.00 118,1,2024-09-07 08:52:40:598,432237,432237,0,0,201982524917,2125275262,425872,4933,1432,366,391736,0 118,2,2024-09-07 08:52:41:591,310907,310907,0,0,14350295,0,2781 118,3,2024-09-07 08:52:41:766,1,246,10,0,235,2863,246,0 119,0,2024-09-07 08:52:41:343,60981,0.9,61425,0.9,123022,1.2,163747,2.25 119,1,2024-09-07 08:52:40:571,433501,433501,0,0,202493100950,2116774844,428848,4131,522,367,391641,0 119,2,2024-09-07 08:52:41:270,312248,312248,0,0,13595562,0,4174 119,3,2024-09-07 08:52:41:325,1,246,2,0,443,3468,246,0 120,0,2024-09-07 08:52:41:557,60673,0.5,60406,0.8,121121,0.5,161689,2.00 120,1,2024-09-07 08:52:40:864,432765,432765,0,0,202389503693,2123865741,428083,4332,350,368,391961,0 120,2,2024-09-07 08:52:40:775,310804,310803,1,0,16077932,0,5281 120,3,2024-09-07 08:52:41:296,1,246,1,0,241,3207,246,0 121,0,2024-09-07 08:52:41:690,61066,0.9,61208,1.0,122412,1.2,163335,2.00 121,1,2024-09-07 08:52:41:657,432819,432819,0,0,202071162304,2115858988,428222,4183,414,367,391840,0 121,2,2024-09-07 08:52:41:125,307303,307303,0,0,15307841,0,4127 121,3,2024-09-07 08:52:40:726,1,246,5,0,269,2841,246,0 122,0,2024-09-07 08:52:41:797,62417,0.9,60928,0.9,127818,1.0,168198,2.25 122,1,2024-09-07 08:52:40:861,431587,431587,0,0,201975073978,2121459542,425522,5249,816,366,392130,0 122,2,2024-09-07 08:52:41:320,311464,311391,73,0,17832636,0,5989 122,3,2024-09-07 08:52:40:605,1,246,1,0,411,4888,246,0 123,0,2024-09-07 08:52:40:950,60623,1.0,59283,0.9,123598,1.1,161816,2.25 123,1,2024-09-07 08:52:40:578,432160,432160,0,0,202165034687,2134462617,423490,6954,1716,369,391823,0 123,2,2024-09-07 08:52:41:024,309933,309932,1,0,14802029,0,5215 123,3,2024-09-07 08:52:41:144,1,246,4,0,168,3046,246,0 124,0,2024-09-07 08:52:40:923,62945,0.3,62907,0.5,118733,0.3,162696,1.75 124,1,2024-09-07 08:52:41:024,434162,434162,0,0,202334248353,2102409514,431321,2479,362,367,392178,0 124,2,2024-09-07 08:52:41:010,310728,310728,0,0,11866524,0,3101 124,3,2024-09-07 08:52:40:758,1,246,3,0,490,2762,246,0 125,0,2024-09-07 08:52:41:425,61453,0.3,61250,0.5,122972,0.3,162889,1.75 125,1,2024-09-07 08:52:40:871,432839,432839,0,0,202713795198,2114720104,429752,2696,391,383,391702,0 125,2,2024-09-07 08:52:41:134,310949,310949,0,0,12914664,0,4534 125,3,2024-09-07 08:52:41:129,1,246,5,0,709,3522,246,0 126,0,2024-09-07 08:52:41:427,62701,0.5,64347,0.6,123060,0.5,167114,1.75 126,1,2024-09-07 08:52:40:555,434548,434548,0,0,202939159461,2103504880,431866,2420,262,365,391987,0 126,2,2024-09-07 08:52:40:631,313222,313222,0,0,13202186,0,3186 126,3,2024-09-07 08:52:40:908,1,246,0,0,183,3292,246,0 127,0,2024-09-07 08:52:41:658,61030,0.4,61332,0.5,122028,0.4,162390,1.75 127,1,2024-09-07 08:52:40:573,433768,433768,0,0,203033249306,2104051098,430825,2790,153,365,391816,0 127,2,2024-09-07 08:52:40:640,311798,311798,0,0,11865100,0,2264 127,3,2024-09-07 08:52:41:268,1,246,1,0,968,2959,246,0 128,0,2024-09-07 08:52:41:543,62194,0.3,62218,0.5,124106,0.2,164993,1.50 128,1,2024-09-07 08:52:41:610,433124,433124,0,0,203325707173,2108950681,430952,2015,157,367,391798,0 128,2,2024-09-07 08:52:41:385,311652,311652,0,0,11857768,0,2434 128,3,2024-09-07 08:52:40:766,1,246,1,0,1082,5361,246,0 129,0,2024-09-07 08:52:41:000,62194,0.3,61859,0.4,123653,0.2,164453,1.50 129,1,2024-09-07 08:52:40:577,431389,431389,0,0,201995485926,2118171672,427235,3225,929,379,391835,0 129,2,2024-09-07 08:52:40:689,312643,312643,0,0,12832461,0,4031 129,3,2024-09-07 08:52:40:689,1,246,0,0,469,3312,246,0 130,0,2024-09-07 08:52:41:757,62742,0.5,62447,0.6,125087,0.5,166915,1.75 130,1,2024-09-07 08:52:40:587,433959,433959,0,0,203276287742,2115023538,431524,2357,78,381,391825,0 130,2,2024-09-07 08:52:41:124,311052,311052,0,0,12454662,0,4067 130,3,2024-09-07 08:52:41:295,1,246,1,0,450,3355,246,0 131,0,2024-09-07 08:52:41:985,61768,0.4,62059,0.5,125077,0.4,166235,1.75 131,1,2024-09-07 08:52:41:851,433947,433947,0,0,202346602930,2110112003,430899,2590,458,381,391865,0 131,2,2024-09-07 08:52:40:567,313014,313014,0,0,11399446,0,2415 131,3,2024-09-07 08:52:41:691,1,246,6,0,392,2547,246,0 132,0,2024-09-07 08:52:41:459,61767,0.5,62495,0.7,124750,0.5,165956,2.00 132,1,2024-09-07 08:52:40:584,431475,431475,0,0,201845782031,2130271426,424469,5753,1253,381,392097,0 132,2,2024-09-07 08:52:40:706,312256,312256,0,0,15310491,0,4606 132,3,2024-09-07 08:52:41:690,1,246,10,0,356,3522,246,0 133,0,2024-09-07 08:52:41:538,59773,0.4,61208,0.6,125152,0.3,162579,1.75 133,1,2024-09-07 08:52:40:589,431561,431561,0,0,202469158730,2132030438,426085,4809,667,383,391914,0 133,2,2024-09-07 08:52:41:089,310656,310656,0,0,16421166,0,4315 133,3,2024-09-07 08:52:41:302,1,246,1,0,276,2479,246,0 134,0,2024-09-07 08:52:40:938,62003,0.5,61837,0.6,124081,0.4,165186,2.00 134,1,2024-09-07 08:52:40:588,431423,431423,0,0,201302748155,2116423930,424970,4983,1470,366,391718,0 134,2,2024-09-07 08:52:41:862,307836,307836,0,0,13672439,0,3847 134,3,2024-09-07 08:52:40:748,1,246,1,0,739,3707,246,0 135,0,2024-09-07 08:52:41:120,60418,0.9,60391,1.0,128105,1.0,165798,2.25 135,1,2024-09-07 08:52:41:613,431182,431182,0,0,202695534066,2134120926,424987,5199,996,380,391805,0 135,2,2024-09-07 08:52:40:690,312300,312300,0,0,15196195,0,3981 135,3,2024-09-07 08:52:41:006,1,246,0,0,299,1849,246,0 136,0,2024-09-07 08:52:41:663,61277,0.6,61171,0.8,122257,0.7,162769,2.25 136,1,2024-09-07 08:52:41:446,431780,431780,0,0,202196921136,2125633375,426259,4937,584,382,391685,0 136,2,2024-09-07 08:52:41:138,312487,312487,0,0,14513151,0,3506 136,3,2024-09-07 08:52:41:108,1,246,46,0,126,1946,246,0 137,0,2024-09-07 08:52:40:967,62773,0.6,61045,0.7,120471,0.6,163069,2.00 137,1,2024-09-07 08:52:40:584,431947,431947,0,0,202075934546,2122179695,425003,5527,1417,366,391708,0 137,2,2024-09-07 08:52:41:706,310105,310105,0,0,16032306,0,3185 137,3,2024-09-07 08:52:40:768,1,246,1,0,382,3213,246,0 138,0,2024-09-07 08:52:41:746,60187,0.8,60231,0.9,121175,0.9,160929,2.00 138,1,2024-09-07 08:52:41:691,431770,431770,0,0,202041145938,2123497022,424806,5833,1131,368,391954,0 138,2,2024-09-07 08:52:40:596,309397,309397,0,0,14755617,0,4988 138,3,2024-09-07 08:52:40:623,1,246,3,0,1160,3839,246,0 139,0,2024-09-07 08:52:41:481,61452,2.0,61703,1.3,123746,3.0,165771,2.50 139,1,2024-09-07 08:52:40:574,430171,430171,0,0,201233306822,2139253387,421685,6373,2113,381,391892,0 139,2,2024-09-07 08:52:40:703,310382,310382,0,0,15478440,0,3097 139,3,2024-09-07 08:52:41:718,1,246,1,0,244,2540,246,0 140,0,2024-09-07 08:52:41:606,61226,0.3,60660,0.5,121900,0.3,162130,1.75 140,1,2024-09-07 08:52:41:537,434924,434924,0,0,203808313399,2100582642,432945,1737,242,365,391606,0 140,2,2024-09-07 08:52:40:693,312471,312471,0,0,12130396,0,3388 140,3,2024-09-07 08:52:40:767,1,246,0,0,247,2147,246,0 141,0,2024-09-07 08:52:41:703,61434,0.3,63163,0.4,120778,0.2,163807,1.50 141,1,2024-09-07 08:52:40:861,434115,434115,0,0,203219282731,2108435949,431545,2162,408,379,391614,0 141,2,2024-09-07 08:52:41:690,311013,311013,0,0,11757816,0,2342 141,3,2024-09-07 08:52:41:049,1,246,1,0,202,2315,246,0 142,0,2024-09-07 08:52:41:326,62651,0.3,62028,0.4,123260,0.2,165047,1.50 142,1,2024-09-07 08:52:40:596,433716,433716,0,0,202476978447,2111216249,430923,2528,265,382,392102,0 142,2,2024-09-07 08:52:41:304,311065,311033,32,0,13527664,0,6028 142,3,2024-09-07 08:52:41:747,1,246,1,0,484,3101,246,0 143,0,2024-09-07 08:52:41:400,62060,0.5,62085,0.6,124517,0.5,165673,1.75 143,1,2024-09-07 08:52:40:559,433622,433622,0,0,202460313925,2099756756,430909,2618,95,367,391651,0 143,2,2024-09-07 08:52:40:769,310716,310716,0,0,12695482,0,2669 143,3,2024-09-07 08:52:41:143,1,246,2,0,303,3219,246,0 144,0,2024-09-07 08:52:41:522,60248,0.7,61848,0.9,126108,0.6,165374,2.00 144,1,2024-09-07 08:52:40:569,431450,431450,0,0,201870738902,2119665430,427445,3083,922,381,391649,0 144,2,2024-09-07 08:52:41:759,312075,312075,0,0,12189561,0,3473 144,3,2024-09-07 08:52:41:749,1,246,4,0,249,2902,246,0 145,0,2024-09-07 08:52:41:395,59683,0.6,59668,0.8,126588,0.5,163735,2.25 145,1,2024-09-07 08:52:40:558,431000,431000,0,0,202319521225,2128434704,425505,4672,823,382,391698,0 145,2,2024-09-07 08:52:41:433,310804,310804,0,0,14476745,0,3903 145,3,2024-09-07 08:52:40:895,1,246,3,0,622,4036,246,0 146,0,2024-09-07 08:52:41:596,61049,0.5,60625,0.7,122130,0.5,162253,2.00 146,1,2024-09-07 08:52:41:592,431984,431984,0,0,201792768646,2128079354,423732,6405,1847,368,391770,0 146,2,2024-09-07 08:52:41:698,311258,311258,0,0,14417643,0,2730 146,3,2024-09-07 08:52:41:278,1,246,1,0,1520,5902,246,0 147,0,2024-09-07 08:52:41:773,61676,0.6,61655,0.8,122355,0.5,165266,2.00 147,1,2024-09-07 08:52:41:374,433925,433925,0,0,203102202237,2113350182,429827,3513,585,368,391791,0 147,2,2024-09-07 08:52:41:012,310635,310635,0,0,12138286,0,2789 147,3,2024-09-07 08:52:40:914,1,246,1,0,730,3900,246,0 0,0,2024-09-07 08:52:51:835,59194,0.5,59194,0.7,125612,0.4,162551,1.75 0,1,2024-09-07 08:52:50:820,434710,434710,0,0,203542861473,2129169701,431498,3008,204,370,391772,0 0,2,2024-09-07 08:52:51:070,312820,312820,0,0,12953052,0,4480 0,3,2024-09-07 08:52:50:982,1,247,1,0,319,3384,247,0 1,0,2024-09-07 08:52:51:769,61634,0.8,61144,0.9,123146,1.0,164287,2.00 1,1,2024-09-07 08:52:50:557,434321,434321,0,0,203063167569,2128163358,429826,3442,1053,371,391857,0 1,2,2024-09-07 08:52:50:639,308950,308950,0,0,11920881,0,3267 1,3,2024-09-07 08:52:51:305,1,247,20,0,262,2959,247,0 2,0,2024-09-07 08:52:51:577,63180,0.7,63449,0.8,125961,0.9,168979,2.00 2,1,2024-09-07 08:52:50:862,434687,434687,0,0,203772737712,2116745513,432583,1886,218,380,391745,0 2,2,2024-09-07 08:52:51:278,313841,313841,0,0,12499622,0,3594 2,3,2024-09-07 08:52:50:695,1,247,1,0,357,2268,247,0 3,0,2024-09-07 08:52:51:752,60955,0.5,61095,0.7,121481,0.5,161794,2.00 3,1,2024-09-07 08:52:51:625,434611,434611,0,0,202974036064,2115312490,430772,3377,462,380,391523,0 3,2,2024-09-07 08:52:51:149,313551,313528,23,0,12764409,0,5851 3,3,2024-09-07 08:52:51:756,1,247,1,0,103,1512,247,0 4,0,2024-09-07 08:52:51:814,59250,0.4,60964,0.5,124096,0.3,162270,1.75 4,1,2024-09-07 08:52:50:595,434088,434088,0,0,202787880830,2131200325,429350,3948,790,371,391846,0 4,2,2024-09-07 08:52:51:019,310910,310910,0,0,15072069,0,4528 4,3,2024-09-07 08:52:51:031,1,247,1,0,448,3772,247,0 5,0,2024-09-07 08:52:51:450,61254,0.4,61618,0.5,122337,0.3,162555,1.75 5,1,2024-09-07 08:52:50:764,434073,434073,0,0,203249314940,2138240381,428581,4380,1112,368,392005,0 5,2,2024-09-07 08:52:51:838,309723,309723,0,0,13709028,0,2432 5,3,2024-09-07 08:52:51:734,1,247,1,0,457,3806,247,0 6,0,2024-09-07 08:52:50:924,63391,0.6,62678,0.7,125354,0.6,167893,2.00 6,1,2024-09-07 08:52:50:762,434246,434246,0,0,203016679317,2122703376,429573,3829,844,379,391694,0 6,2,2024-09-07 08:52:51:116,313021,313021,0,0,13720007,0,4816 6,3,2024-09-07 08:52:51:289,1,247,10,0,340,2955,247,0 7,0,2024-09-07 08:52:51:554,60727,0.5,60946,0.7,121499,0.5,161511,2.00 7,1,2024-09-07 08:52:50:850,434025,434025,0,0,203135002601,2132454484,428125,4897,1003,382,391747,0 7,2,2024-09-07 08:52:50:771,312065,312065,0,0,13541956,0,4791 7,3,2024-09-07 08:52:50:851,1,247,1,0,398,3104,247,0 8,0,2024-09-07 08:52:51:347,62124,0.4,62099,0.5,123635,0.3,165194,1.75 8,1,2024-09-07 08:52:51:021,432716,432716,0,0,202887096287,2141733006,424425,6273,2018,367,391956,0 8,2,2024-09-07 08:52:50:807,308637,308637,0,0,16062396,0,3220 8,3,2024-09-07 08:52:50:595,1,247,2,0,357,3669,247,0 9,0,2024-09-07 08:52:51:139,62128,0.3,60274,0.5,125882,0.3,165316,1.50 9,1,2024-09-07 08:52:50:555,433579,433579,0,0,202865006825,2139455628,427092,4945,1542,370,392001,0 9,2,2024-09-07 08:52:51:106,312342,312342,0,0,14281365,0,3360 9,3,2024-09-07 08:52:51:755,1,247,0,0,496,3632,247,0 10,0,2024-09-07 08:52:51:635,62820,0.3,62250,0.5,125220,0.2,166983,1.75 10,1,2024-09-07 08:52:50:593,434262,434262,0,0,202803996558,2127599266,427902,5432,928,381,391741,0 10,2,2024-09-07 08:52:50:762,311211,311211,0,0,15751425,0,4264 10,3,2024-09-07 08:52:50:880,1,247,1,0,177,2079,247,0 11,0,2024-09-07 08:52:51:011,61950,0.5,60142,0.7,125884,0.4,167367,1.75 11,1,2024-09-07 08:52:50:576,434223,434223,0,0,202827223152,2135357839,426035,6207,1981,383,391537,0 11,2,2024-09-07 08:52:51:127,312523,312523,0,0,14772195,0,4130 11,3,2024-09-07 08:52:51:306,1,247,2,0,843,3978,247,0 12,0,2024-09-07 08:52:50:957,62804,0.4,62652,0.5,125216,0.3,166728,1.75 12,1,2024-09-07 08:52:50:942,434272,434272,0,0,202321261854,2110015535,430757,3094,421,370,391870,0 12,2,2024-09-07 08:52:51:540,313950,313950,0,0,13783663,0,3469 12,3,2024-09-07 08:52:51:064,1,247,2,0,386,4153,247,0 13,0,2024-09-07 08:52:51:341,61730,0.3,61652,0.5,122928,0.3,163549,1.50 13,1,2024-09-07 08:52:51:523,434552,434552,0,0,202385577649,2123869928,431004,3007,541,382,391717,0 13,2,2024-09-07 08:52:50:599,313745,313745,0,0,12952777,0,3287 13,3,2024-09-07 08:52:51:766,1,247,1,0,467,3896,247,0 14,0,2024-09-07 08:52:50:562,62267,0.4,62727,0.6,124315,0.3,165598,1.75 14,1,2024-09-07 08:52:51:561,437146,437146,0,0,204063021454,2112274409,434315,2642,189,364,391571,0 14,2,2024-09-07 08:52:50:770,309196,309196,0,0,12884246,0,2896 14,3,2024-09-07 08:52:51:115,1,247,1,0,1168,3456,247,0 15,0,2024-09-07 08:52:51:560,62673,0.5,62931,0.7,125573,0.5,167547,2.00 15,1,2024-09-07 08:52:51:616,435347,435347,0,0,203196942897,2112500229,432828,2341,178,381,391619,0 15,2,2024-09-07 08:52:50:999,314264,314264,0,0,10982614,0,3043 15,3,2024-09-07 08:52:51:417,1,247,0,0,1126,5211,247,0 16,0,2024-09-07 08:52:50:945,61204,0.7,61445,0.8,122195,0.8,163127,2.25 16,1,2024-09-07 08:52:50:563,435313,435313,0,0,202955975058,2121444733,431753,3261,299,370,391756,0 16,2,2024-09-07 08:52:51:475,312820,312820,0,0,13655516,0,4719 16,3,2024-09-07 08:52:51:147,1,247,1,0,317,3454,247,0 17,0,2024-09-07 08:52:51:763,62994,0.5,61470,0.7,120303,0.4,163123,1.75 17,1,2024-09-07 08:52:50:569,434076,434076,0,0,202897398348,2130791252,429234,3738,1104,368,391845,0 17,2,2024-09-07 08:52:51:672,315169,315169,0,0,12807475,0,2857 17,3,2024-09-07 08:52:50:574,1,247,4,0,268,3994,247,0 18,0,2024-09-07 08:52:50:941,60154,0.7,60385,0.8,120606,0.7,160922,2.25 18,1,2024-09-07 08:52:51:655,435602,435602,0,0,203816801921,2112767741,433093,2267,242,367,391649,0 18,2,2024-09-07 08:52:51:756,310999,310999,0,0,12445087,0,3541 18,3,2024-09-07 08:52:50:896,1,247,5,0,163,2529,247,0 19,0,2024-09-07 08:52:51:541,62312,0.7,63071,0.8,124771,0.7,166734,2.25 19,1,2024-09-07 08:52:50:570,435140,435140,0,0,204113849737,2122084489,430482,3872,786,367,391777,0 19,2,2024-09-07 08:52:51:756,315293,315293,0,0,11488499,0,3988 19,3,2024-09-07 08:52:51:134,1,247,1,0,524,1879,247,0 20,0,2024-09-07 08:52:51:361,60558,0.7,60661,0.8,121617,0.8,161832,2.25 20,1,2024-09-07 08:52:50:567,433949,433949,0,0,203397161277,2128483049,430134,3417,398,369,391886,0 20,2,2024-09-07 08:52:50:933,313218,313218,0,0,13231126,0,3721 20,3,2024-09-07 08:52:50:598,1,247,10,0,414,4607,247,0 21,0,2024-09-07 08:52:51:164,61627,0.5,61881,0.6,123380,0.4,163369,2.00 21,1,2024-09-07 08:52:51:626,433194,433194,0,0,202052363632,2128636882,427476,4461,1257,368,391962,0 21,2,2024-09-07 08:52:51:080,310828,310828,0,0,14953327,0,3747 21,3,2024-09-07 08:52:51:405,1,247,1,0,103,2612,247,0 22,0,2024-09-07 08:52:51:727,61852,0.6,61961,0.7,123599,0.5,164349,2.00 22,1,2024-09-07 08:52:51:032,433730,433730,0,0,202684140950,2135644349,426500,5588,1642,382,391667,0 22,2,2024-09-07 08:52:50:760,311702,311702,0,0,13173493,0,3134 22,3,2024-09-07 08:52:51:072,1,247,1,0,228,2317,247,0 23,0,2024-09-07 08:52:51:379,62179,0.6,61985,0.7,124444,0.6,166240,2.25 23,1,2024-09-07 08:52:51:003,434252,434252,0,0,203636208543,2139815338,426943,4758,2551,365,391690,0 23,2,2024-09-07 08:52:51:110,312921,312921,0,0,12748811,0,3010 23,3,2024-09-07 08:52:51:759,1,247,9,0,645,3275,247,0 24,0,2024-09-07 08:52:50:839,62903,0.4,62763,0.6,125808,0.4,166885,1.75 24,1,2024-09-07 08:52:50:585,433747,433747,0,0,201771671033,2118698687,428137,4130,1480,368,391987,0 24,2,2024-09-07 08:52:51:069,311158,311158,0,0,15473201,0,3607 24,3,2024-09-07 08:52:51:688,1,247,0,0,468,3775,247,0 25,0,2024-09-07 08:52:51:365,63737,0.5,62097,0.7,122012,0.5,166638,2.00 25,1,2024-09-07 08:52:50:570,434792,434792,0,0,203226735115,2127516282,430504,3734,554,371,391788,0 25,2,2024-09-07 08:52:51:617,311658,311658,0,0,15687657,0,3978 25,3,2024-09-07 08:52:51:002,1,247,8,0,255,2693,247,0 26,0,2024-09-07 08:52:51:738,61142,0.4,59852,0.6,125228,0.3,163229,1.75 26,1,2024-09-07 08:52:51:541,434222,434222,0,0,202471793492,2125904534,427257,5749,1216,381,391748,0 26,2,2024-09-07 08:52:50:861,312864,312864,0,0,15351442,0,2809 26,3,2024-09-07 08:52:51:719,1,247,1,0,796,3310,247,0 27,0,2024-09-07 08:52:51:736,62635,0.4,62632,0.6,123909,0.4,166215,2.00 27,1,2024-09-07 08:52:51:684,436292,436292,0,0,204005272973,2122930338,432843,2857,592,381,391558,0 27,2,2024-09-07 08:52:50:868,308996,308931,65,0,15471993,0,5699 27,3,2024-09-07 08:52:51:017,1,247,0,0,564,2703,247,0 28,0,2024-09-07 08:52:51:393,62481,0.5,62147,0.7,124975,0.5,166834,2.00 28,1,2024-09-07 08:52:50:803,435426,435426,0,0,203787840938,2121530176,432585,2370,471,383,391646,0 28,2,2024-09-07 08:52:51:775,313190,313190,0,0,12176115,0,2915 28,3,2024-09-07 08:52:51:779,1,247,2,0,502,2557,247,0 29,0,2024-09-07 08:52:51:361,63350,0.4,62004,0.6,121024,0.4,165818,1.75 29,1,2024-09-07 08:52:51:576,436418,436418,0,0,204002445769,2112610027,433195,2658,565,369,391621,0 29,2,2024-09-07 08:52:50:861,313216,313216,0,0,11653233,0,4018 29,3,2024-09-07 08:52:50:976,1,247,0,0,115,1791,247,0 30,0,2024-09-07 08:52:51:455,60860,0.5,59177,0.7,123638,0.4,161942,2.00 30,1,2024-09-07 08:52:50:576,436095,436095,0,0,203572820707,2109708532,433766,2036,293,382,391672,0 30,2,2024-09-07 08:52:51:274,313230,313230,0,0,11529880,0,3161 30,3,2024-09-07 08:52:50:581,1,247,1,0,195,1882,247,0 31,0,2024-09-07 08:52:51:762,61137,0.4,61482,0.6,123221,0.3,164199,2.00 31,1,2024-09-07 08:52:50:567,437550,437550,0,0,205195650231,2102396112,436161,1170,219,356,391712,0 31,2,2024-09-07 08:52:51:283,309148,309148,0,0,13040974,0,3525 31,3,2024-09-07 08:52:51:705,1,247,0,0,220,1925,247,0 32,0,2024-09-07 08:52:51:429,63045,0.4,63626,0.5,126854,0.3,169380,1.75 32,1,2024-09-07 08:52:50:813,436058,436058,0,0,203575078896,2112381931,434101,1678,279,381,391622,0 32,2,2024-09-07 08:52:50:935,314267,314267,0,0,11445325,0,3155 32,3,2024-09-07 08:52:51:017,1,247,2,0,227,2007,247,0 33,0,2024-09-07 08:52:51:513,61465,0.3,60744,0.5,122231,0.2,162695,1.75 33,1,2024-09-07 08:52:50:576,436397,436397,0,0,204537986534,2113484851,433817,2406,174,369,391730,0 33,2,2024-09-07 08:52:50:761,314409,314376,33,0,13193185,0,7012 33,3,2024-09-07 08:52:50:903,1,247,4,0,329,2902,247,0 34,0,2024-09-07 08:52:50:936,61096,0.3,62865,0.5,120648,0.2,161659,1.75 34,1,2024-09-07 08:52:51:045,436832,436832,0,0,204525364236,2103793312,435736,1089,7,367,391562,0 34,2,2024-09-07 08:52:50:767,312313,312313,0,0,12453312,0,3577 34,3,2024-09-07 08:52:51:692,1,247,1,0,299,2040,247,0 35,0,2024-09-07 08:52:50:862,60812,0.3,61179,0.5,122950,0.3,162964,1.75 35,1,2024-09-07 08:52:51:071,435967,435967,0,0,203512756160,2111639976,432621,2566,780,384,391589,0 35,2,2024-09-07 08:52:51:584,311837,311837,0,0,11932713,0,2653 35,3,2024-09-07 08:52:50:909,1,247,0,0,418,2971,247,0 36,0,2024-09-07 08:52:51:548,62909,0.6,62912,0.8,126041,0.6,167559,2.25 36,1,2024-09-07 08:52:50:592,435014,435014,0,0,203765859070,2132589233,429033,4402,1579,366,391759,0 36,2,2024-09-07 08:52:51:756,312568,312568,0,0,14033575,0,3875 36,3,2024-09-07 08:52:50:867,1,247,1,0,416,4035,247,0 37,0,2024-09-07 08:52:51:371,60630,0.6,60604,0.7,121402,0.5,162438,2.00 37,1,2024-09-07 08:52:50:570,434128,434121,0,7,202613258034,2124968107,428113,3941,2067,365,391560,0 37,2,2024-09-07 08:52:51:147,312008,311993,15,0,14353915,0,5815 37,3,2024-09-07 08:52:51:770,1,247,1,0,888,4421,247,0 38,0,2024-09-07 08:52:51:439,61388,0.5,59707,0.7,125007,0.4,163339,2.00 38,1,2024-09-07 08:52:51:615,435394,435394,0,0,203377007218,2124461325,430123,4596,675,368,391821,0 38,2,2024-09-07 08:52:50:767,311695,311648,47,0,14733183,0,6710 38,3,2024-09-07 08:52:50:998,1,247,1,0,689,3593,247,0 39,0,2024-09-07 08:52:51:772,63303,0.6,62196,0.7,120702,0.6,165513,2.00 39,1,2024-09-07 08:52:50:721,435061,435061,0,0,203293206121,2123442580,429501,4658,902,365,391594,0 39,2,2024-09-07 08:52:51:427,312349,312349,0,0,12777673,0,2689 39,3,2024-09-07 08:52:50:713,1,247,6,0,324,3417,247,0 40,0,2024-09-07 08:52:51:499,61941,0.7,62498,0.9,125058,0.7,166596,2.75 40,1,2024-09-07 08:52:50:590,434764,434764,0,0,202045536072,2119401468,427763,5558,1443,368,391591,0 40,2,2024-09-07 08:52:51:307,311166,311165,1,0,15801711,0,5137 40,3,2024-09-07 08:52:51:148,1,247,5,0,398,3038,247,0 41,0,2024-09-07 08:52:51:057,61705,2.0,63146,1.5,120511,3.2,165645,3.75 41,1,2024-09-07 08:52:50:774,434699,434699,0,0,203312137315,2127187933,429163,4902,634,370,391742,0 41,2,2024-09-07 08:52:50:759,311339,311339,0,0,14976780,0,3356 41,3,2024-09-07 08:52:51:682,1,247,99,0,366,2874,247,0 42,0,2024-09-07 08:52:51:493,61467,0.9,61788,1.0,123612,1.0,163346,2.75 42,1,2024-09-07 08:52:51:673,433346,433346,0,0,203007244257,2135144684,426188,5706,1452,380,391675,0 42,2,2024-09-07 08:52:51:148,313137,313137,0,0,14404354,0,3568 42,3,2024-09-07 08:52:51:015,1,247,1,0,446,2063,247,0 43,0,2024-09-07 08:52:50:918,61068,0.7,59569,0.9,124343,0.7,163328,2.25 43,1,2024-09-07 08:52:50:578,434259,434259,0,0,203601936339,2128854760,427998,4932,1329,366,391696,0 43,2,2024-09-07 08:52:51:735,313124,313124,0,0,13782687,0,3812 43,3,2024-09-07 08:52:51:751,1,247,2,0,467,3065,247,0 44,0,2024-09-07 08:52:50:867,61995,0.4,62414,0.6,124441,0.4,165708,1.75 44,1,2024-09-07 08:52:50:563,436245,436245,0,0,203325348091,2098992323,433689,2124,432,356,391809,0 44,2,2024-09-07 08:52:51:269,309111,309111,0,0,11521726,0,2231 44,3,2024-09-07 08:52:51:102,1,247,2,0,817,2939,247,0 45,0,2024-09-07 08:52:51:766,61804,0.7,60467,0.8,126804,0.7,167365,2.00 45,1,2024-09-07 08:52:51:015,435701,435701,0,0,204524771203,2118989033,434051,1638,12,382,391917,0 45,2,2024-09-07 08:52:51:280,314276,314276,0,0,12247759,0,3596 45,3,2024-09-07 08:52:50:934,1,247,2,0,271,2678,247,0 46,0,2024-09-07 08:52:51:006,60783,0.6,60628,0.8,121574,0.7,160859,2.00 46,1,2024-09-07 08:52:50:576,436928,436928,0,0,203771397707,2103547104,434582,2072,274,366,391539,0 46,2,2024-09-07 08:52:50:601,314122,314122,0,0,11823239,0,2920 46,3,2024-09-07 08:52:51:147,1,247,1,0,908,3772,247,0 47,0,2024-09-07 08:52:51:109,61430,0.4,61246,0.6,123192,0.4,162676,1.75 47,1,2024-09-07 08:52:50:569,436893,436893,0,0,203730103071,2102765029,434571,2188,134,366,391605,0 47,2,2024-09-07 08:52:50:911,314551,314551,0,0,11895700,0,2558 47,3,2024-09-07 08:52:51:115,1,247,2,0,529,2263,247,0 48,0,2024-09-07 08:52:51:496,61213,0.3,60851,0.4,121198,0.2,161768,1.50 48,1,2024-09-07 08:52:51:028,435981,435981,0,0,203444088041,2113977841,432880,2802,299,384,391710,0 48,2,2024-09-07 08:52:50:702,310190,310190,0,0,11507959,0,3031 48,3,2024-09-07 08:52:50:759,1,247,1,0,339,2091,247,0 49,0,2024-09-07 08:52:51:731,64553,0.4,63525,0.5,122826,0.3,168885,1.75 49,1,2024-09-07 08:52:51:027,434955,434955,0,0,203954006003,2126584591,431098,2676,1181,382,391809,0 49,2,2024-09-07 08:52:51:802,314671,314671,0,0,12128604,0,3900 49,3,2024-09-07 08:52:51:416,1,247,1,0,408,2776,247,0 50,0,2024-09-07 08:52:51:513,60888,0.3,60320,0.5,121400,0.2,161800,1.75 50,1,2024-09-07 08:52:51:016,436848,436848,0,0,204201182970,2112783432,434011,2527,310,368,391530,0 50,2,2024-09-07 08:52:51:070,313749,313749,0,0,11420659,0,2263 50,3,2024-09-07 08:52:51:291,1,247,1,0,335,2056,247,0 51,0,2024-09-07 08:52:51:695,63040,0.3,61638,0.5,120182,0.2,163641,1.75 51,1,2024-09-07 08:52:51:683,436681,436681,0,0,204808514229,2114661730,433885,1857,939,365,391706,0 51,2,2024-09-07 08:52:51:322,311961,311961,0,0,10825040,0,3337 51,3,2024-09-07 08:52:51:026,1,247,1,0,678,2015,247,0 52,0,2024-09-07 08:52:51:415,62647,0.4,62130,0.6,124231,0.4,165068,2.00 52,1,2024-09-07 08:52:50:580,434721,434721,0,0,202893928175,2129504702,427564,5903,1254,368,391722,0 52,2,2024-09-07 08:52:51:755,310232,310194,38,0,14934463,0,6742 52,3,2024-09-07 08:52:50:679,1,247,14,0,1782,4522,247,0 53,0,2024-09-07 08:52:51:741,61842,0.7,60094,0.8,125868,0.8,165525,2.50 53,1,2024-09-07 08:52:50:780,433571,433571,0,0,202563728546,2129568260,426245,4970,2356,367,391702,0 53,2,2024-09-07 08:52:51:307,312575,312575,0,0,12426887,0,2727 53,3,2024-09-07 08:52:50:701,1,247,2,0,271,2299,247,0 54,0,2024-09-07 08:52:51:626,61367,0.8,61896,0.9,122604,0.5,164031,2.75 54,1,2024-09-07 08:52:50:592,434873,434873,0,0,204060927243,2123815923,430137,4139,597,367,391659,0 54,2,2024-09-07 08:52:50:881,312426,312420,6,0,13813611,0,5382 54,3,2024-09-07 08:52:50:765,1,247,155,0,676,3902,247,0 55,0,2024-09-07 08:52:51:766,59938,0.6,62039,0.8,124884,0.6,163070,2.50 55,1,2024-09-07 08:52:50:764,434308,434308,0,0,203096082706,2116605297,428758,4763,787,365,391731,0 55,2,2024-09-07 08:52:50:729,311784,311784,0,0,13965927,0,3275 55,3,2024-09-07 08:52:50:679,1,247,4,0,304,2872,247,0 56,0,2024-09-07 08:52:51:551,62766,1.0,59232,1.0,121770,1.3,163151,2.50 56,1,2024-09-07 08:52:50:575,432695,432695,0,0,202917602506,2147050598,425456,5801,1438,381,391678,0 56,2,2024-09-07 08:52:51:306,312830,312830,0,0,14986357,0,3567 56,3,2024-09-07 08:52:51:063,1,247,6,0,705,3673,247,0 57,0,2024-09-07 08:52:50:951,61406,1.6,61573,1.2,123158,2.1,165129,3.00 57,1,2024-09-07 08:52:50:990,434135,434135,0,0,202812869124,2126182191,428814,4651,670,367,391960,0 57,2,2024-09-07 08:52:51:337,310771,310771,0,0,15980986,0,3317 57,3,2024-09-07 08:52:51:751,1,247,1,0,359,3365,247,0 58,0,2024-09-07 08:52:50:564,61178,0.9,59442,1.0,124481,1.1,163328,2.50 58,1,2024-09-07 08:52:50:581,434952,434949,0,3,204267776231,2135495437,429219,5020,710,367,391545,3 58,2,2024-09-07 08:52:51:083,313182,313182,0,0,14355304,0,2549 58,3,2024-09-07 08:52:51:072,1,247,8,0,1043,2848,247,0 59,0,2024-09-07 08:52:51:753,61348,0.9,61211,1.0,122071,0.9,162538,3.00 59,1,2024-09-07 08:52:50:850,434838,434838,0,0,203756569399,2133233217,429050,4523,1265,369,391525,0 59,2,2024-09-07 08:52:50:594,314602,314602,0,0,13116465,0,2604 59,3,2024-09-07 08:52:51:751,1,247,6,0,1015,3513,247,0 60,0,2024-09-07 08:52:51:704,61142,0.4,60832,0.6,122734,0.4,162573,1.75 60,1,2024-09-07 08:52:50:772,436196,436196,0,0,204198214185,2117284084,433755,1924,517,370,392031,0 60,2,2024-09-07 08:52:51:142,313022,313022,0,0,14200594,0,3811 60,3,2024-09-07 08:52:51:280,1,247,0,0,124,2420,247,0 61,0,2024-09-07 08:52:51:501,61445,0.6,61716,0.8,122966,0.7,164340,2.00 61,1,2024-09-07 08:52:50:777,434859,434859,0,0,203608924331,2130553059,430289,3867,703,382,391880,0 61,2,2024-09-07 08:52:51:118,310179,310179,0,0,12449304,0,2780 61,3,2024-09-07 08:52:51:692,1,247,1,0,397,3334,247,0 62,0,2024-09-07 08:52:51:711,63806,0.6,64972,0.8,123908,0.7,169120,2.00 62,1,2024-09-07 08:52:51:117,437284,437278,0,6,204214715658,2106472456,434817,2343,118,365,391715,6 62,2,2024-09-07 08:52:51:874,313045,313044,1,0,13830939,0,5555 62,3,2024-09-07 08:52:51:146,1,247,1,0,287,1749,247,0 63,0,2024-09-07 08:52:51:681,60925,0.5,61092,0.6,122418,0.5,162273,1.75 63,1,2024-09-07 08:52:50:804,436615,436609,0,6,203399179356,2106655484,435034,1525,50,381,391677,6 63,2,2024-09-07 08:52:50:762,314080,314080,0,0,11605971,0,2674 63,3,2024-09-07 08:52:51:740,1,247,10,0,667,2862,247,0 64,0,2024-09-07 08:52:51:522,60619,0.4,60668,0.6,121505,0.4,161534,1.75 64,1,2024-09-07 08:52:50:769,435257,435257,0,0,203340772093,2121827748,430771,3072,1414,370,391783,0 64,2,2024-09-07 08:52:51:147,314546,314527,19,0,12552453,0,6121 64,3,2024-09-07 08:52:51:146,1,247,2,0,265,2533,247,0 65,0,2024-09-07 08:52:51:703,60762,0.6,61142,0.7,121964,0.6,161847,2.00 65,1,2024-09-07 08:52:50:863,434338,434338,0,0,202529479108,2119519650,430785,3109,444,382,391901,0 65,2,2024-09-07 08:52:51:703,311536,311536,0,0,13242468,0,3367 65,3,2024-09-07 08:52:51:691,1,247,2,0,163,2340,247,0 66,0,2024-09-07 08:52:51:770,62521,0.5,62397,0.7,125509,0.4,166788,2.00 66,1,2024-09-07 08:52:51:307,436038,436038,0,0,203715262130,2117112447,433466,2372,200,380,391653,0 66,2,2024-09-07 08:52:51:132,315143,315143,0,0,12959061,0,4956 66,3,2024-09-07 08:52:51:082,1,247,0,0,291,2618,247,0 67,0,2024-09-07 08:52:51:421,61353,0.6,60868,0.8,122507,0.7,162537,2.25 67,1,2024-09-07 08:52:50:766,434778,434777,0,1,203857666617,2126738388,430933,3097,747,380,391787,1 67,2,2024-09-07 08:52:50:592,314133,314133,0,0,12020644,0,2889 67,3,2024-09-07 08:52:51:754,1,247,1,0,338,2326,247,0 68,0,2024-09-07 08:52:50:564,62099,0.6,61882,0.8,123368,0.6,165055,2.00 68,1,2024-09-07 08:52:50:592,434167,434167,0,0,202431789704,2123352291,429989,3008,1170,381,391953,0 68,2,2024-09-07 08:52:51:046,310779,310714,65,0,15791167,0,6698 68,3,2024-09-07 08:52:50:728,1,247,49,0,417,2832,247,0 69,0,2024-09-07 08:52:51:737,61618,0.7,61927,0.8,123494,0.7,164537,2.25 69,1,2024-09-07 08:52:51:019,433171,433171,0,0,202659051725,2139614493,427470,4299,1402,384,391994,0 69,2,2024-09-07 08:52:51:741,312294,312294,0,0,15585243,0,3722 69,3,2024-09-07 08:52:50:760,1,247,17,0,698,3620,247,0 70,0,2024-09-07 08:52:51:545,62281,0.8,62191,1.0,124936,0.6,166235,2.25 70,1,2024-09-07 08:52:50:806,435346,435346,0,0,204622973520,2125485812,431682,3136,528,366,391725,0 70,2,2024-09-07 08:52:51:332,311139,311139,0,0,14243075,0,4044 70,3,2024-09-07 08:52:50:754,1,247,15,0,854,2941,247,0 71,0,2024-09-07 08:52:51:391,61832,1.3,61630,1.2,123574,1.7,166319,3.00 71,1,2024-09-07 08:52:51:596,434644,434644,0,0,203252414224,2121871991,429490,4533,621,368,391738,0 71,2,2024-09-07 08:52:51:073,312732,312732,0,0,14609536,0,4042 71,3,2024-09-07 08:52:51:755,1,247,50,0,644,3659,247,0 72,0,2024-09-07 08:52:51:032,64346,0.8,62904,0.9,122720,0.9,167137,2.25 72,1,2024-09-07 08:52:51:024,434425,434425,0,0,202960939984,2120009702,429368,4126,931,369,391819,0 72,2,2024-09-07 08:52:51:756,312399,312399,0,0,16121353,0,3983 72,3,2024-09-07 08:52:51:760,1,247,40,0,564,4442,247,0 73,0,2024-09-07 08:52:51:115,59891,0.4,61260,0.6,125714,0.3,163032,2.00 73,1,2024-09-07 08:52:50:778,435148,435148,0,0,203496790533,2115450950,432061,2852,235,367,391750,0 73,2,2024-09-07 08:52:51:753,313116,313116,0,0,15455287,0,3701 73,3,2024-09-07 08:52:50:981,1,247,1,0,274,3683,247,0 74,0,2024-09-07 08:52:51:344,62705,0.5,63994,0.7,122066,0.4,165624,2.25 74,1,2024-09-07 08:52:50:636,434680,434680,0,0,202742440274,2117910703,430280,3601,799,381,391681,0 74,2,2024-09-07 08:52:51:009,309548,309548,0,0,14729144,0,4253 74,3,2024-09-07 08:52:51:451,1,247,1,0,522,3334,247,0 75,0,2024-09-07 08:52:51:801,62956,0.6,62643,0.8,125728,0.5,168299,2.25 75,1,2024-09-07 08:52:51:865,434097,434097,0,0,202910578889,2122300253,429187,4246,664,380,391660,0 75,2,2024-09-07 08:52:51:367,312801,312801,0,0,15307734,0,4766 75,3,2024-09-07 08:52:51:076,1,247,12,0,702,3548,247,0 76,0,2024-09-07 08:52:50:582,60832,0.8,60250,0.9,120677,0.8,161792,2.50 76,1,2024-09-07 08:52:50:810,434952,434952,0,0,202833298334,2116068604,431889,2514,549,382,391692,0 76,2,2024-09-07 08:52:51:066,315156,315156,0,0,12481237,0,3784 76,3,2024-09-07 08:52:51:147,1,247,0,0,175,2598,247,0 77,0,2024-09-07 08:52:51:715,61140,0.5,61456,0.7,122478,0.5,162399,1.75 77,1,2024-09-07 08:52:50:833,435448,435448,0,0,203669973505,2126601913,431999,3192,257,381,391808,0 77,2,2024-09-07 08:52:51:280,312190,312190,0,0,13075580,0,3890 77,3,2024-09-07 08:52:51:102,1,247,1,0,382,2821,247,0 78,0,2024-09-07 08:52:51:727,61000,0.4,60416,0.6,121860,0.4,161008,2.00 78,1,2024-09-07 08:52:50:610,435766,435766,0,0,203104396533,2107877133,432770,2677,319,367,391670,0 78,2,2024-09-07 08:52:51:428,310733,310733,0,0,11658534,0,3855 78,3,2024-09-07 08:52:51:147,1,247,1,0,181,2429,247,0 79,0,2024-09-07 08:52:51:363,60910,0.4,62459,0.6,127756,0.4,166580,2.25 79,1,2024-09-07 08:52:50:591,436800,436800,0,0,204219583225,2112910831,433956,2508,336,369,391682,0 79,2,2024-09-07 08:52:51:072,314623,314623,0,0,11840172,0,3212 79,3,2024-09-07 08:52:50:754,1,247,2,0,418,3689,247,0 80,0,2024-09-07 08:52:51:084,60716,0.6,62506,0.7,119682,0.7,161549,2.00 80,1,2024-09-07 08:52:51:628,435002,435002,0,0,203324375381,2116852177,432036,2797,169,368,391791,0 80,2,2024-09-07 08:52:51:101,313946,313946,0,0,12332346,0,4433 80,3,2024-09-07 08:52:50:583,1,247,1,0,190,3402,247,0 81,0,2024-09-07 08:52:51:546,61423,0.5,62923,0.6,120495,0.4,162743,1.75 81,1,2024-09-07 08:52:51:650,434064,434064,0,0,202620782166,2118606475,430225,3377,462,382,391879,0 81,2,2024-09-07 08:52:51:132,311683,311683,0,0,12672552,0,3993 81,3,2024-09-07 08:52:51:118,1,247,1,0,374,3000,247,0 82,0,2024-09-07 08:52:51:556,61647,0.4,62170,0.6,124385,0.3,165183,1.75 82,1,2024-09-07 08:52:50:592,435519,435515,0,4,203230862271,2117513214,432294,2610,611,381,391558,4 82,2,2024-09-07 08:52:51:695,313075,313075,0,0,11976203,0,3986 82,3,2024-09-07 08:52:51:755,1,247,1,0,363,2801,247,0 83,0,2024-09-07 08:52:51:547,62492,0.5,62482,0.7,124082,0.5,166047,2.00 83,1,2024-09-07 08:52:50:552,434639,434639,0,0,203495556957,2122464106,431466,2929,244,382,391690,0 83,2,2024-09-07 08:52:50:764,312459,312459,0,0,12019799,0,3119 83,3,2024-09-07 08:52:50:753,1,247,0,0,250,2661,247,0 84,0,2024-09-07 08:52:51:840,62027,0.9,61742,0.9,123647,0.8,165561,2.25 84,1,2024-09-07 08:52:51:044,433946,433946,0,0,203449598773,2128179894,429203,4167,576,368,391967,0 84,2,2024-09-07 08:52:50:573,312373,312373,0,0,14033618,0,3801 84,3,2024-09-07 08:52:51:148,1,247,5,0,908,3943,247,0 85,0,2024-09-07 08:52:51:098,60041,0.7,60020,0.9,127157,0.8,164485,2.50 85,1,2024-09-07 08:52:50:560,433594,433594,0,0,202745713131,2143383870,426758,5730,1106,382,392006,0 85,2,2024-09-07 08:52:50:865,313754,313754,0,0,14828427,0,3656 85,3,2024-09-07 08:52:50:699,1,247,100,0,789,3469,247,0 86,0,2024-09-07 08:52:50:900,61395,0.6,63093,0.7,120754,0.6,163020,2.00 86,1,2024-09-07 08:52:50:835,435014,435014,0,0,203565561712,2129594369,430033,4257,724,366,391961,0 86,2,2024-09-07 08:52:50:855,311887,311886,1,0,15647009,0,5004 86,3,2024-09-07 08:52:50:594,1,247,1,0,286,3334,247,0 87,0,2024-09-07 08:52:51:387,62081,0.9,61826,0.9,124252,1.2,166692,2.25 87,1,2024-09-07 08:52:50:551,434797,434797,0,0,202907748914,2123182022,430323,3990,484,366,391788,0 87,2,2024-09-07 08:52:51:072,309488,309488,0,0,13937679,0,4045 87,3,2024-09-07 08:52:51:802,1,247,2,0,473,3785,247,0 88,0,2024-09-07 08:52:51:470,62337,0.5,62687,0.6,125057,0.5,166556,1.75 88,1,2024-09-07 08:52:50:582,433187,433187,0,0,202715393719,2128250964,427012,4663,1512,365,392084,0 88,2,2024-09-07 08:52:50:690,313127,313127,0,0,15821216,0,3583 88,3,2024-09-07 08:52:51:276,1,247,1,0,435,3064,247,0 89,0,2024-09-07 08:52:51:780,63529,0.5,61354,0.7,121945,0.5,165730,2.00 89,1,2024-09-07 08:52:50:558,432678,432678,0,0,203048550275,2142806772,425762,5694,1222,382,391866,0 89,2,2024-09-07 08:52:51:148,312765,312765,0,0,15129502,0,2910 89,3,2024-09-07 08:52:51:791,1,247,19,0,325,4811,247,0 90,0,2024-09-07 08:52:51:643,59366,0.4,60645,0.6,124221,0.4,161631,1.75 90,1,2024-09-07 08:52:50:606,434773,434773,0,0,202718567008,2125057375,430642,3853,278,381,391825,0 90,2,2024-09-07 08:52:51:419,311793,311793,0,0,16349977,0,3060 90,3,2024-09-07 08:52:50:932,1,247,1,0,200,2587,247,0 91,0,2024-09-07 08:52:50:945,61740,0.4,59743,0.6,124888,0.4,164386,1.75 91,1,2024-09-07 08:52:50:557,433854,433854,0,0,202927098662,2134691272,427990,5170,694,381,392047,0 91,2,2024-09-07 08:52:51:336,308997,308997,0,0,14530810,0,2896 91,3,2024-09-07 08:52:50:601,1,247,1,0,216,2369,247,0 92,0,2024-09-07 08:52:51:475,63749,0.5,65299,0.7,124763,0.5,169366,1.75 92,1,2024-09-07 08:52:50:593,434632,434632,0,0,203210305690,2118837970,432076,2150,406,382,392136,0 92,2,2024-09-07 08:52:51:363,315544,315544,0,0,12259717,0,2801 92,3,2024-09-07 08:52:51:018,1,247,0,0,167,2010,247,0 93,0,2024-09-07 08:52:51:021,61256,0.4,62892,0.6,120123,0.4,162763,1.75 93,1,2024-09-07 08:52:50:813,434843,434843,0,0,202945130410,2118450925,430369,3688,786,366,391692,0 93,2,2024-09-07 08:52:50:936,314283,314283,0,0,13040037,0,2797 93,3,2024-09-07 08:52:51:417,1,247,2,0,190,2469,247,0 94,0,2024-09-07 08:52:51:635,60820,0.4,61626,0.5,122729,0.3,162315,1.75 94,1,2024-09-07 08:52:50:569,434772,434772,0,0,203478373757,2125514916,431483,3111,178,381,391850,0 94,2,2024-09-07 08:52:50:761,311753,311753,0,0,12092841,0,2443 94,3,2024-09-07 08:52:51:697,1,247,1,0,264,3257,247,0 95,0,2024-09-07 08:52:51:425,61499,0.3,61104,0.5,123302,0.3,162734,1.75 95,1,2024-09-07 08:52:50:858,435573,435573,0,0,203973906874,2120574747,432008,3189,376,367,391713,0 95,2,2024-09-07 08:52:51:072,310820,310820,0,0,12421854,0,3308 95,3,2024-09-07 08:52:51:714,1,247,4,0,718,4513,247,0 96,0,2024-09-07 08:52:51:049,62958,0.4,63225,0.5,126136,0.4,167144,1.75 96,1,2024-09-07 08:52:51:583,434369,434369,0,0,203639668763,2126846863,430794,2842,733,384,391894,0 96,2,2024-09-07 08:52:51:280,313506,313506,0,0,13135719,0,4042 96,3,2024-09-07 08:52:51:149,1,247,15,0,411,2897,247,0 97,0,2024-09-07 08:52:51:342,61181,0.4,61018,0.5,122499,0.3,162401,1.75 97,1,2024-09-07 08:52:50:771,435854,435854,0,0,204696778323,2123357402,432818,2480,556,367,392140,0 97,2,2024-09-07 08:52:50:611,313236,313236,0,0,12379491,0,3036 97,3,2024-09-07 08:52:50:570,1,247,7,0,214,2905,247,0 98,0,2024-09-07 08:52:51:723,61952,0.3,62019,0.4,124564,0.2,165246,1.50 98,1,2024-09-07 08:52:50:580,435666,435666,0,0,203125715964,2114696382,433524,2042,100,382,391997,0 98,2,2024-09-07 08:52:50:784,312860,312860,0,0,12453183,0,3080 98,3,2024-09-07 08:52:50:700,1,247,0,0,840,4561,247,0 99,0,2024-09-07 08:52:51:487,61990,0.3,62126,0.4,124050,0.2,165686,1.50 99,1,2024-09-07 08:52:51:745,435212,435212,0,0,203277214936,2119880425,431426,3046,740,381,391744,0 99,2,2024-09-07 08:52:51:427,315225,315225,0,0,13187811,0,3106 99,3,2024-09-07 08:52:50:591,1,247,1,0,168,1922,247,0 100,0,2024-09-07 08:52:51:484,62517,0.7,62460,1.0,124734,0.9,166672,2.25 100,1,2024-09-07 08:52:50:555,432441,432441,0,0,201806517355,2135509935,425601,5523,1317,381,391989,0 100,2,2024-09-07 08:52:51:826,309504,309493,11,0,14757784,0,5417 100,3,2024-09-07 08:52:51:741,1,247,6,0,559,4898,247,0 101,0,2024-09-07 08:52:51:711,63558,1.8,61835,1.2,121503,2.1,167850,2.50 101,1,2024-09-07 08:52:50:561,432775,432775,0,0,201766618834,2128994043,425427,5577,1771,368,391769,0 101,2,2024-09-07 08:52:51:772,311816,311816,0,0,15984343,0,4644 101,3,2024-09-07 08:52:50:942,1,247,3,0,448,3140,247,0 102,0,2024-09-07 08:52:50:952,60795,0.7,63016,0.8,126654,0.8,166296,2.00 102,1,2024-09-07 08:52:51:148,433848,433848,0,0,203258768149,2136700674,428196,4812,840,369,391883,0 102,2,2024-09-07 08:52:51:775,313881,313827,54,0,14659439,0,6768 102,3,2024-09-07 08:52:51:632,1,247,4,0,410,2724,247,0 103,0,2024-09-07 08:52:51:649,63287,0.5,63249,0.6,119323,0.4,164163,1.75 103,1,2024-09-07 08:52:51:651,433022,433022,0,0,202834487060,2138814232,426730,4911,1381,381,391829,0 103,2,2024-09-07 08:52:50:581,312005,312005,0,0,13799561,0,2582 103,3,2024-09-07 08:52:50:756,1,247,1,0,916,3568,247,0 104,0,2024-09-07 08:52:51:111,61630,0.8,62091,1.0,122802,0.7,165806,2.25 104,1,2024-09-07 08:52:51:618,434447,434447,0,0,202752268082,2133606695,427775,5577,1095,365,391948,0 104,2,2024-09-07 08:52:51:669,308151,308151,0,0,15331185,0,3941 104,3,2024-09-07 08:52:51:418,1,247,1,0,1245,6394,247,0 105,0,2024-09-07 08:52:51:147,62221,1.3,60398,1.3,126142,2.1,166774,3.50 105,1,2024-09-07 08:52:50:567,434426,434426,0,0,203234496208,2134556335,428118,5330,978,366,391797,0 105,2,2024-09-07 08:52:51:328,313118,313118,0,0,14865346,0,3509 105,3,2024-09-07 08:52:51:311,1,247,2,0,399,4261,247,0 106,0,2024-09-07 08:52:50:949,58880,1.1,60297,1.1,123599,1.2,161464,2.75 106,1,2024-09-07 08:52:51:800,434331,434331,0,0,203271029151,2137496802,427241,6331,759,369,391767,0 106,2,2024-09-07 08:52:50:783,311820,311820,0,0,14359097,0,2795 106,3,2024-09-07 08:52:50:679,1,247,1,0,470,3605,247,0 107,0,2024-09-07 08:52:51:124,60988,0.8,60962,0.9,121838,0.8,162142,2.00 107,1,2024-09-07 08:52:50:597,433093,433093,0,0,202401797752,2130819859,427773,4772,548,381,392234,0 107,2,2024-09-07 08:52:51:290,311830,311829,1,0,14989418,0,5024 107,3,2024-09-07 08:52:51:762,1,247,2,0,353,3414,247,0 108,0,2024-09-07 08:52:51:797,60895,0.4,61126,0.6,121128,0.3,161830,1.75 108,1,2024-09-07 08:52:51:293,434801,434801,0,0,203503016747,2119854646,431597,2820,384,368,391857,0 108,2,2024-09-07 08:52:51:770,309320,309320,0,0,13296439,0,2647 108,3,2024-09-07 08:52:51:346,1,247,2,0,749,4835,247,0 109,0,2024-09-07 08:52:51:765,63308,0.4,62638,0.6,125468,0.3,168634,1.75 109,1,2024-09-07 08:52:50:585,433316,433316,0,0,203156468211,2130238371,429526,3275,515,383,392132,0 109,2,2024-09-07 08:52:50:926,312849,312849,0,0,13824998,0,3617 109,3,2024-09-07 08:52:51:142,1,247,0,0,249,2942,247,0 110,0,2024-09-07 08:52:51:754,60920,0.4,59345,0.6,124061,0.4,162207,1.75 110,1,2024-09-07 08:52:51:646,435825,435825,0,0,204208654597,2120279102,432683,2265,877,370,392045,0 110,2,2024-09-07 08:52:51:303,313752,313752,0,0,13068979,0,3264 110,3,2024-09-07 08:52:50:701,1,247,2,0,406,3251,247,0 111,0,2024-09-07 08:52:51:414,61832,0.4,61405,0.5,122618,0.3,164035,1.75 111,1,2024-09-07 08:52:51:003,435990,435990,0,0,204130525500,2115496789,434000,1654,336,382,391690,0 111,2,2024-09-07 08:52:51:125,311735,311735,0,0,12565092,0,2763 111,3,2024-09-07 08:52:50:915,1,247,1,0,379,3362,247,0 112,0,2024-09-07 08:52:50:927,62152,0.3,62191,0.4,124346,0.2,165226,1.50 112,1,2024-09-07 08:52:50:833,436258,436258,0,0,203187995520,2107726556,433839,1991,428,380,391580,0 112,2,2024-09-07 08:52:51:133,312241,312240,1,0,12882049,0,5036 112,3,2024-09-07 08:52:50:605,1,247,0,0,282,2688,247,0 113,0,2024-09-07 08:52:50:876,62273,0.3,62147,0.5,124930,0.2,167048,1.50 113,1,2024-09-07 08:52:51:691,436807,436807,0,0,204619445362,2112263078,434309,2009,489,366,391661,0 113,2,2024-09-07 08:52:51:307,313517,313517,0,0,11696494,0,3813 113,3,2024-09-07 08:52:50:684,1,247,1,0,340,3590,247,0 114,0,2024-09-07 08:52:50:884,62787,0.4,63307,0.5,125449,0.3,167518,1.75 114,1,2024-09-07 08:52:50:721,435111,435111,0,0,203344345004,2119464947,430303,3219,1589,381,391556,0 114,2,2024-09-07 08:52:50:874,312659,312658,1,0,12794249,0,5069 114,3,2024-09-07 08:52:51:287,1,247,5,0,395,2679,247,0 115,0,2024-09-07 08:52:50:573,62214,0.3,62551,0.4,125224,0.2,166483,1.50 115,1,2024-09-07 08:52:50:576,434938,434938,0,0,203651934855,2119282438,430579,3525,834,382,391602,0 115,2,2024-09-07 08:52:51:129,313753,313753,0,0,11134833,0,2152 115,3,2024-09-07 08:52:51:002,1,247,6,0,159,1368,247,0 116,0,2024-09-07 08:52:51:709,61258,0.6,61125,0.8,122356,0.6,163617,2.00 116,1,2024-09-07 08:52:50:837,432487,432487,0,0,202338299376,2141991542,426089,4343,2055,380,391782,0 116,2,2024-09-07 08:52:51:756,312379,312379,0,0,16033041,0,3529 116,3,2024-09-07 08:52:50:913,1,247,1,0,415,3596,247,0 117,0,2024-09-07 08:52:50:998,62245,0.8,61999,0.9,124196,0.8,166712,2.00 117,1,2024-09-07 08:52:51:586,434370,434370,0,0,202485999531,2120434487,429669,4221,480,370,392033,0 117,2,2024-09-07 08:52:51:120,311945,311945,0,0,13152685,0,3700 117,3,2024-09-07 08:52:51:063,1,247,1,0,490,4002,247,0 118,0,2024-09-07 08:52:51:819,60591,0.6,62217,0.8,126932,0.6,165773,2.00 118,1,2024-09-07 08:52:50:597,434050,434050,0,0,202759582380,2133332675,427684,4934,1432,366,391736,0 118,2,2024-09-07 08:52:51:589,312038,312038,0,0,14377004,0,2781 118,3,2024-09-07 08:52:51:775,1,247,1,0,235,2864,247,0 119,0,2024-09-07 08:52:51:372,61321,0.9,61766,0.9,123695,1.2,164650,2.25 119,1,2024-09-07 08:52:50:552,435300,435300,0,0,203231915955,2124351451,430645,4133,522,367,391641,0 119,2,2024-09-07 08:52:51:286,313779,313779,0,0,13617927,0,4174 119,3,2024-09-07 08:52:51:341,1,247,3,0,443,3471,247,0 120,0,2024-09-07 08:52:51:554,60848,0.5,60544,0.8,121474,0.5,162170,2.00 120,1,2024-09-07 08:52:50:858,434539,434539,0,0,202977394264,2129927781,429856,4333,350,368,391961,0 120,2,2024-09-07 08:52:50:770,312169,312168,1,0,16109524,0,5281 120,3,2024-09-07 08:52:51:297,1,247,0,0,241,3207,247,0 121,0,2024-09-07 08:52:51:696,61400,0.9,61503,0.9,123083,1.2,164160,2.00 121,1,2024-09-07 08:52:51:662,434655,434655,0,0,203071671788,2126151024,430058,4183,414,367,391840,0 121,2,2024-09-07 08:52:51:159,308219,308219,0,0,15329534,0,4127 121,3,2024-09-07 08:52:50:728,1,247,4,0,269,2845,247,0 122,0,2024-09-07 08:52:51:800,62856,0.9,61359,0.9,128704,1.0,169352,2.00 122,1,2024-09-07 08:52:50:863,433300,433300,0,0,202637496215,2128293952,427234,5250,816,366,392130,0 122,2,2024-09-07 08:52:51:467,312860,312787,73,0,17883828,0,5989 122,3,2024-09-07 08:52:50:599,1,247,26,0,411,4914,247,0 123,0,2024-09-07 08:52:50:998,60751,1.0,59431,0.9,123846,1.1,162151,2.25 123,1,2024-09-07 08:52:50:576,434018,434018,0,0,203260540363,2145755730,425347,6955,1716,369,391823,0 123,2,2024-09-07 08:52:51:027,311357,311356,1,0,14835621,0,5215 123,3,2024-09-07 08:52:51:140,1,247,4,0,168,3050,247,0 124,0,2024-09-07 08:52:50:976,63090,0.3,63041,0.5,118975,0.3,163045,1.75 124,1,2024-09-07 08:52:51:030,435888,435888,0,0,202860077770,2107790372,433047,2479,362,367,392178,0 124,2,2024-09-07 08:52:51:019,312023,312023,0,0,11877796,0,3101 124,3,2024-09-07 08:52:50:758,1,247,1,0,490,2763,247,0 125,0,2024-09-07 08:52:51:461,61462,0.3,61271,0.5,122992,0.3,162889,1.75 125,1,2024-09-07 08:52:50:860,434636,434636,0,0,203537904235,2123131406,431549,2696,391,383,391702,0 125,2,2024-09-07 08:52:51:122,311741,311741,0,0,12921800,0,4534 125,3,2024-09-07 08:52:51:137,1,247,7,0,709,3529,247,0 126,0,2024-09-07 08:52:51:427,63021,0.5,64703,0.6,123735,0.5,168035,1.75 126,1,2024-09-07 08:52:50:560,436232,436232,0,0,203599325627,2110214998,433550,2420,262,365,391987,0 126,2,2024-09-07 08:52:50:617,314698,314698,0,0,13215282,0,3186 126,3,2024-09-07 08:52:50:910,1,247,2,0,183,3294,247,0 127,0,2024-09-07 08:52:51:601,61125,0.4,61412,0.5,122213,0.4,162628,1.75 127,1,2024-09-07 08:52:50:590,435568,435568,0,0,203586388892,2109731382,432625,2790,153,365,391816,0 127,2,2024-09-07 08:52:50:659,313386,313386,0,0,11887202,0,2264 127,3,2024-09-07 08:52:51:270,1,247,9,0,968,2968,247,0 128,0,2024-09-07 08:52:51:531,62443,0.3,62442,0.5,124542,0.2,165585,1.50 128,1,2024-09-07 08:52:51:612,434938,434938,0,0,204037751165,2116224641,432765,2016,157,367,391798,0 128,2,2024-09-07 08:52:51:383,312809,312809,0,0,11867714,0,2434 128,3,2024-09-07 08:52:50:789,1,247,1,0,1082,5362,247,0 129,0,2024-09-07 08:52:51:040,62489,0.3,62175,0.4,124313,0.2,165347,1.50 129,1,2024-09-07 08:52:50:574,433192,433192,0,0,202775479389,2126150455,429038,3225,929,379,391835,0 129,2,2024-09-07 08:52:50:716,313767,313767,0,0,12841663,0,4031 129,3,2024-09-07 08:52:50:701,1,247,0,0,469,3312,247,0 130,0,2024-09-07 08:52:51:759,63019,0.5,62725,0.6,125628,0.5,167613,1.75 130,1,2024-09-07 08:52:50:610,435780,435780,0,0,203949843825,2121912882,433344,2358,78,381,391825,0 130,2,2024-09-07 08:52:51:144,312452,312452,0,0,12467377,0,4067 130,3,2024-09-07 08:52:51:297,1,247,2,0,450,3357,247,0 131,0,2024-09-07 08:52:51:947,62301,0.4,62592,0.5,126211,0.4,167705,1.75 131,1,2024-09-07 08:52:51:840,435745,435745,0,0,203218549513,2119010264,432697,2590,458,381,391865,0 131,2,2024-09-07 08:52:50:573,314371,314371,0,0,11412228,0,2415 131,3,2024-09-07 08:52:51:689,1,247,1,0,392,2548,247,0 132,0,2024-09-07 08:52:51:473,62071,0.5,62826,0.7,125379,0.5,166812,2.00 132,1,2024-09-07 08:52:50:584,433249,433249,0,0,202867719808,2140740622,426243,5753,1253,381,392097,0 132,2,2024-09-07 08:52:50:703,313462,313462,0,0,15340037,0,4606 132,3,2024-09-07 08:52:51:694,1,247,15,0,356,3537,247,0 133,0,2024-09-07 08:52:51:528,59942,0.4,61371,0.6,125463,0.3,162988,1.75 133,1,2024-09-07 08:52:50:596,433446,433446,0,0,203461334441,2142168747,427970,4809,667,383,391914,0 133,2,2024-09-07 08:52:51:094,311862,311862,0,0,16449874,0,4315 133,3,2024-09-07 08:52:51:306,1,247,23,0,276,2502,247,0 134,0,2024-09-07 08:52:50:978,62247,0.5,62080,0.6,124531,0.4,165796,2.00 134,1,2024-09-07 08:52:50:585,433220,433220,0,0,202166950669,2125230219,426767,4983,1470,366,391718,0 134,2,2024-09-07 08:52:51:761,308963,308963,0,0,13687874,0,3847 134,3,2024-09-07 08:52:50:753,1,247,36,0,739,3743,247,0 135,0,2024-09-07 08:52:51:185,60812,0.9,60775,1.0,128890,1.0,166806,2.25 135,1,2024-09-07 08:52:51:585,432989,432989,0,0,203634138560,2143731660,426794,5199,996,380,391805,0 135,2,2024-09-07 08:52:50:689,313656,313656,0,0,15220836,0,3981 135,3,2024-09-07 08:52:51:002,1,247,0,0,299,1849,247,0 136,0,2024-09-07 08:52:51:642,61430,0.6,61349,0.8,122564,0.7,163222,2.25 136,1,2024-09-07 08:52:51:452,433601,433601,0,0,203091035835,2134908816,428080,4937,584,382,391685,0 136,2,2024-09-07 08:52:51:157,314030,314030,0,0,14561768,0,3506 136,3,2024-09-07 08:52:51:111,1,247,15,0,126,1961,247,0 137,0,2024-09-07 08:52:50:985,62860,0.6,61135,0.7,120667,0.6,163344,2.00 137,1,2024-09-07 08:52:50:596,433720,433720,0,0,202766635941,2129406144,426776,5527,1417,366,391708,0 137,2,2024-09-07 08:52:51:713,311627,311627,0,0,16060389,0,3185 137,3,2024-09-07 08:52:50:775,1,247,2,0,382,3215,247,0 138,0,2024-09-07 08:52:51:764,60281,0.8,60338,0.9,121402,0.9,161252,2.00 138,1,2024-09-07 08:52:51:691,433574,433574,0,0,202722939690,2130635340,426610,5833,1131,368,391954,0 138,2,2024-09-07 08:52:50:598,310102,310102,0,0,14768957,0,4988 138,3,2024-09-07 08:52:50:636,1,247,2,0,1160,3841,247,0 139,0,2024-09-07 08:52:51:487,61965,2.0,62168,1.3,124678,3.0,167089,2.50 139,1,2024-09-07 08:52:50:576,432000,432000,0,0,201926632984,2146438950,423513,6373,2114,381,391892,0 139,2,2024-09-07 08:52:50:694,311922,311922,0,0,15523445,0,3097 139,3,2024-09-07 08:52:51:663,1,247,4,0,244,2544,247,0 140,0,2024-09-07 08:52:51:590,61329,0.3,60757,0.5,122131,0.3,162428,1.75 140,1,2024-09-07 08:52:51:554,436683,436683,0,0,204576402767,2108353604,434704,1737,242,365,391606,0 140,2,2024-09-07 08:52:50:689,314024,314024,0,0,12141655,0,3388 140,3,2024-09-07 08:52:50:771,1,247,3,0,247,2150,247,0 141,0,2024-09-07 08:52:51:701,61531,0.3,63286,0.4,120934,0.2,164055,1.50 141,1,2024-09-07 08:52:50:860,435924,435924,0,0,203934208522,2115713186,433354,2162,408,379,391614,0 141,2,2024-09-07 08:52:51:694,312197,312197,0,0,11772322,0,2342 141,3,2024-09-07 08:52:51:051,1,247,6,0,202,2321,247,0 142,0,2024-09-07 08:52:51:458,62850,0.3,62230,0.4,123688,0.2,165568,1.50 142,1,2024-09-07 08:52:50:587,435618,435618,0,0,203211905396,2118753227,432825,2528,265,382,392102,0 142,2,2024-09-07 08:52:51:311,312076,312044,32,0,13538834,0,6028 142,3,2024-09-07 08:52:51:759,1,247,40,0,484,3141,247,0 143,0,2024-09-07 08:52:51:373,62447,0.5,62501,0.6,125353,0.5,166859,1.75 143,1,2024-09-07 08:52:50:560,435412,435412,0,0,203348699116,2108761887,432699,2618,95,367,391651,0 143,2,2024-09-07 08:52:50:771,312259,312259,0,0,12710770,0,2669 143,3,2024-09-07 08:52:51:152,1,247,43,0,303,3262,247,0 144,0,2024-09-07 08:52:51:528,60388,0.7,61989,0.9,126370,0.6,165664,2.00 144,1,2024-09-07 08:52:50:587,433231,433231,0,0,202664524041,2127768865,429226,3083,922,381,391649,0 144,2,2024-09-07 08:52:51:761,313476,313476,0,0,12200188,0,3473 144,3,2024-09-07 08:52:51:747,1,247,1,0,249,2903,247,0 145,0,2024-09-07 08:52:51:400,59974,0.6,59952,0.8,127217,0.5,164527,2.25 145,1,2024-09-07 08:52:50:573,432760,432760,0,0,203322557127,2138700460,427265,4672,823,382,391698,0 145,2,2024-09-07 08:52:51:455,311963,311963,0,0,14516247,0,3903 145,3,2024-09-07 08:52:50:897,1,247,67,0,622,4103,247,0 146,0,2024-09-07 08:52:51:603,61266,0.5,60838,0.7,122537,0.4,162838,2.00 146,1,2024-09-07 08:52:51:599,433795,433795,0,0,202893520837,2139333585,425543,6405,1847,368,391770,0 146,2,2024-09-07 08:52:51:702,312494,312494,0,0,14475912,0,2730 146,3,2024-09-07 08:52:51:279,1,247,7,0,1520,5909,247,0 147,0,2024-09-07 08:52:51:776,62135,0.6,62117,0.8,123257,0.5,166547,2.00 147,1,2024-09-07 08:52:51:383,435658,435658,0,0,204085906840,2123316078,431559,3514,585,368,391791,0 147,2,2024-09-07 08:52:51:020,311946,311946,0,0,12149744,0,2789 147,3,2024-09-07 08:52:50:945,1,247,7,0,730,3907,247,0 0,0,2024-09-07 08:53:01:737,59364,0.5,59391,0.7,125997,0.4,163012,1.75 0,1,2024-09-07 08:53:00:808,436561,436561,0,0,204339084192,2137331764,433349,3008,204,370,391772,0 0,2,2024-09-07 08:53:01:067,314102,314102,0,0,12966038,0,4480 0,3,2024-09-07 08:53:00:975,1,248,1,0,319,3385,248,0 1,0,2024-09-07 08:53:01:776,61978,0.8,61497,0.9,123843,1.0,165168,2.00 1,1,2024-09-07 08:53:00:562,436235,436235,0,0,203788606337,2135592399,431740,3442,1053,371,391857,0 1,2,2024-09-07 08:53:00:639,309909,309909,0,0,11930483,0,3267 1,3,2024-09-07 08:53:01:302,1,248,1,0,262,2960,248,0 2,0,2024-09-07 08:53:01:571,63612,0.7,63886,0.8,126835,0.9,170176,2.00 2,1,2024-09-07 08:53:00:861,436465,436465,0,0,204428373688,2123449042,434360,1887,218,380,391745,0 2,2,2024-09-07 08:53:01:266,315408,315408,0,0,12511384,0,3594 2,3,2024-09-07 08:53:00:691,1,248,1,0,357,2269,248,0 3,0,2024-09-07 08:53:01:748,61075,0.5,61213,0.6,121752,0.5,162114,2.00 3,1,2024-09-07 08:53:01:621,436400,436400,0,0,203966285908,2125377360,432561,3377,462,380,391523,0 3,2,2024-09-07 08:53:01:143,314945,314922,23,0,12775474,0,5851 3,3,2024-09-07 08:53:01:754,1,248,0,0,103,1512,248,0 4,0,2024-09-07 08:53:01:783,59376,0.4,61094,0.5,124359,0.3,162604,1.75 4,1,2024-09-07 08:53:00:603,435862,435862,0,0,203519498145,2138705211,431124,3948,790,371,391846,0 4,2,2024-09-07 08:53:01:020,312286,312286,0,0,15104559,0,4528 4,3,2024-09-07 08:53:01:040,1,248,90,0,448,3862,248,0 5,0,2024-09-07 08:53:01:385,61258,0.4,61629,0.5,122348,0.3,162560,1.75 5,1,2024-09-07 08:53:00:775,435938,435938,0,0,204069623191,2146693104,430446,4380,1112,368,392005,0 5,2,2024-09-07 08:53:01:829,310412,310412,0,0,13721338,0,2432 5,3,2024-09-07 08:53:01:736,1,248,3,0,457,3809,248,0 6,0,2024-09-07 08:53:00:928,63757,0.6,63023,0.7,126068,0.6,168817,2.00 6,1,2024-09-07 08:53:00:748,436081,436081,0,0,203848548687,2131250284,431407,3830,844,379,391694,0 6,2,2024-09-07 08:53:01:118,314544,314544,0,0,13747551,0,4816 6,3,2024-09-07 08:53:01:276,1,248,0,0,340,2955,248,0 7,0,2024-09-07 08:53:01:543,60820,0.5,61044,0.7,121687,0.5,161742,2.00 7,1,2024-09-07 08:53:00:855,435815,435815,0,0,203889088544,2140207145,429915,4897,1003,382,391747,0 7,2,2024-09-07 08:53:00:773,313596,313596,0,0,13563355,0,4791 7,3,2024-09-07 08:53:00:851,1,248,0,0,398,3104,248,0 8,0,2024-09-07 08:53:01:343,62342,0.4,62293,0.5,124074,0.3,165761,1.75 8,1,2024-09-07 08:53:01:016,434452,434452,0,0,203552792496,2148660604,426161,6273,2018,367,391956,0 8,2,2024-09-07 08:53:00:790,309703,309703,0,0,16083261,0,3220 8,3,2024-09-07 08:53:00:586,1,248,152,0,357,3821,248,0 9,0,2024-09-07 08:53:01:119,62475,0.3,60586,0.5,126533,0.3,166248,1.50 9,1,2024-09-07 08:53:00:549,435333,435333,0,0,203634539673,2147364015,428846,4945,1542,370,392001,0 9,2,2024-09-07 08:53:01:089,313446,313446,0,0,14332759,0,3360 9,3,2024-09-07 08:53:01:758,1,248,5,0,496,3637,248,0 10,0,2024-09-07 08:53:01:617,63130,0.3,62513,0.5,125785,0.2,167734,1.75 10,1,2024-09-07 08:53:00:587,435955,435955,0,0,203430855533,2134059287,429595,5432,928,381,391741,0 10,2,2024-09-07 08:53:00:768,312709,312709,0,0,15836995,0,4264 10,3,2024-09-07 08:53:00:878,1,248,0,0,177,2079,248,0 11,0,2024-09-07 08:53:01:012,62491,0.5,60681,0.7,126976,0.5,168878,2.00 11,1,2024-09-07 08:53:00:574,435949,435949,0,0,203595773039,2143307600,427761,6207,1981,383,391537,0 11,2,2024-09-07 08:53:01:126,313911,313911,0,0,14802133,0,4130 11,3,2024-09-07 08:53:01:304,1,248,1,0,843,3979,248,0 12,0,2024-09-07 08:53:00:962,63118,0.4,63001,0.5,125850,0.3,167542,1.75 12,1,2024-09-07 08:53:00:950,436066,436066,0,0,203391378647,2120842048,432551,3094,421,370,391870,0 12,2,2024-09-07 08:53:01:572,314994,314994,0,0,13795782,0,3469 12,3,2024-09-07 08:53:01:062,1,248,15,0,386,4168,248,0 13,0,2024-09-07 08:53:01:374,61861,0.3,61805,0.5,123231,0.3,163965,1.50 13,1,2024-09-07 08:53:01:543,436305,436305,0,0,203204034423,2132240766,432756,3008,541,382,391717,0 13,2,2024-09-07 08:53:00:598,315101,315101,0,0,12964907,0,3287 13,3,2024-09-07 08:53:01:769,1,248,14,0,467,3910,248,0 14,0,2024-09-07 08:53:00:564,62517,0.4,62945,0.6,124801,0.3,166203,1.75 14,1,2024-09-07 08:53:01:567,438936,438936,0,0,204677043788,2118510547,436105,2642,189,364,391571,0 14,2,2024-09-07 08:53:00:770,310459,310459,0,0,12892352,0,2896 14,3,2024-09-07 08:53:01:119,1,248,2,0,1168,3458,248,0 15,0,2024-09-07 08:53:01:567,63087,0.5,63315,0.7,126336,0.5,168521,2.00 15,1,2024-09-07 08:53:01:614,437089,437089,0,0,204079747803,2121508114,434570,2341,178,381,391619,0 15,2,2024-09-07 08:53:01:007,315448,315448,0,0,10992219,0,3043 15,3,2024-09-07 08:53:01:411,1,248,1,0,1126,5212,248,0 16,0,2024-09-07 08:53:00:964,61367,0.7,61611,0.8,122522,0.8,163581,2.25 16,1,2024-09-07 08:53:00:578,437140,437140,0,0,203835301694,2130428608,433580,3261,299,370,391756,0 16,2,2024-09-07 08:53:01:434,314296,314296,0,0,13669863,0,4719 16,3,2024-09-07 08:53:01:142,1,248,2,0,317,3456,248,0 17,0,2024-09-07 08:53:01:830,63095,0.5,61557,0.7,120498,0.4,163401,1.75 17,1,2024-09-07 08:53:00:595,435893,435893,0,0,203584588347,2137843536,431051,3738,1104,368,391845,0 17,2,2024-09-07 08:53:01:666,316550,316550,0,0,12823560,0,2857 17,3,2024-09-07 08:53:00:593,1,248,1,0,268,3995,248,0 18,0,2024-09-07 08:53:00:950,60270,0.7,60476,0.8,120838,0.7,161297,2.25 18,1,2024-09-07 08:53:01:638,437424,437424,0,0,204464707974,2119386157,434914,2268,242,367,391649,0 18,2,2024-09-07 08:53:01:758,311747,311747,0,0,12450456,0,3541 18,3,2024-09-07 08:53:00:897,1,248,1,0,163,2530,248,0 19,0,2024-09-07 08:53:01:547,62853,0.7,63544,0.8,125761,0.6,168088,2.25 19,1,2024-09-07 08:53:00:571,436970,436970,0,0,204931428555,2130371141,432312,3872,786,367,391777,0 19,2,2024-09-07 08:53:01:759,316730,316730,0,0,11500176,0,3988 19,3,2024-09-07 08:53:01:129,1,248,1,0,524,1880,248,0 20,0,2024-09-07 08:53:01:418,60659,0.7,60764,0.8,121829,0.8,162122,2.25 20,1,2024-09-07 08:53:00:579,435742,435742,0,0,204309238339,2137798254,431927,3417,398,369,391886,0 20,2,2024-09-07 08:53:00:940,314779,314779,0,0,13269646,0,3721 20,3,2024-09-07 08:53:00:595,1,248,1,0,414,4608,248,0 21,0,2024-09-07 08:53:01:155,61719,0.5,61990,0.6,123564,0.4,163626,2.00 21,1,2024-09-07 08:53:01:544,434919,434919,0,0,202908859253,2137429019,429201,4461,1257,368,391962,0 21,2,2024-09-07 08:53:01:088,312106,312106,0,0,14986894,0,3747 21,3,2024-09-07 08:53:01:404,1,248,2,0,103,2614,248,0 22,0,2024-09-07 08:53:01:720,62020,0.6,62174,0.7,123981,0.5,164762,2.00 22,1,2024-09-07 08:53:01:026,435500,435500,0,0,203461657133,2143596299,428270,5588,1642,382,391667,0 22,2,2024-09-07 08:53:00:761,312608,312608,0,0,13205231,0,3134 22,3,2024-09-07 08:53:01:068,1,248,11,0,228,2328,248,0 23,0,2024-09-07 08:53:01:374,62618,0.6,62390,0.7,125341,0.6,167295,2.25 23,1,2024-09-07 08:53:01:007,436112,436112,0,0,204525636359,2148866513,428802,4759,2551,365,391690,0 23,2,2024-09-07 08:53:01:100,314474,314474,0,0,12772667,0,3010 23,3,2024-09-07 08:53:01:763,1,248,0,0,645,3275,248,0 24,0,2024-09-07 08:53:00:861,63012,0.4,62871,0.6,126054,0.4,167186,1.75 24,1,2024-09-07 08:53:00:598,435482,435482,0,0,202553638801,2126852285,429872,4130,1480,368,391987,0 24,2,2024-09-07 08:53:01:072,312590,312590,0,0,15530367,0,3607 24,3,2024-09-07 08:53:01:686,1,248,6,0,468,3781,248,0 25,0,2024-09-07 08:53:01:399,64017,0.5,62369,0.7,122577,0.4,167416,2.00 25,1,2024-09-07 08:53:00:577,436505,436505,0,0,203792187017,2133361075,432217,3734,554,371,391788,0 25,2,2024-09-07 08:53:01:611,312849,312849,0,0,15736533,0,3978 25,3,2024-09-07 08:53:01:008,1,248,12,0,255,2705,248,0 26,0,2024-09-07 08:53:01:728,61371,0.4,60040,0.6,125676,0.3,163808,1.75 26,1,2024-09-07 08:53:01:553,436044,436044,0,0,203148018530,2133179718,429055,5773,1216,381,391748,0 26,2,2024-09-07 08:53:00:862,314077,314077,0,0,15493255,0,2809 26,3,2024-09-07 08:53:01:728,1,248,1,0,796,3311,248,0 27,0,2024-09-07 08:53:01:732,63091,0.4,63089,0.6,124780,0.4,167518,2.00 27,1,2024-09-07 08:53:01:678,438082,438082,0,0,204899274086,2132111742,434633,2857,592,381,391558,0 27,2,2024-09-07 08:53:00:867,310333,310268,65,0,15581132,0,5699 27,3,2024-09-07 08:53:01:017,1,248,2,0,564,2705,248,0 28,0,2024-09-07 08:53:01:397,62774,0.5,62409,0.7,125519,0.5,167573,2.00 28,1,2024-09-07 08:53:00:798,437170,437170,0,0,204667767038,2130483875,434329,2370,471,383,391646,0 28,2,2024-09-07 08:53:01:763,314536,314536,0,0,12194603,0,2915 28,3,2024-09-07 08:53:01:777,1,248,1,0,502,2558,248,0 29,0,2024-09-07 08:53:01:359,63666,0.4,62339,0.6,121701,0.4,166736,1.75 29,1,2024-09-07 08:53:01:566,438233,438233,0,0,204927050130,2121971990,435010,2658,565,369,391621,0 29,2,2024-09-07 08:53:00:862,314523,314523,0,0,11663854,0,4018 29,3,2024-09-07 08:53:00:977,1,248,2,0,115,1793,248,0 30,0,2024-09-07 08:53:01:463,61038,0.5,59333,0.7,124015,0.4,162395,2.00 30,1,2024-09-07 08:53:00:571,437810,437810,0,0,204318186529,2117289502,435481,2036,293,382,391672,0 30,2,2024-09-07 08:53:01:273,314531,314531,0,0,11540414,0,3161 30,3,2024-09-07 08:53:00:586,1,248,0,0,195,1882,248,0 31,0,2024-09-07 08:53:01:757,61518,0.4,61829,0.6,123837,0.3,165032,2.00 31,1,2024-09-07 08:53:00:570,439321,439321,0,0,205782264796,2108319073,437932,1170,219,356,391712,0 31,2,2024-09-07 08:53:01:284,310063,310063,0,0,13050629,0,3525 31,3,2024-09-07 08:53:01:709,1,248,38,0,220,1963,248,0 32,0,2024-09-07 08:53:01:429,63491,0.4,64045,0.5,127683,0.3,170520,1.75 32,1,2024-09-07 08:53:00:803,437854,437854,0,0,204587839995,2122661827,435897,1678,279,381,391622,0 32,2,2024-09-07 08:53:00:941,315683,315683,0,0,11457523,0,3155 32,3,2024-09-07 08:53:01:017,1,248,1,0,227,2008,248,0 33,0,2024-09-07 08:53:01:505,61587,0.3,60861,0.5,122472,0.2,163043,1.75 33,1,2024-09-07 08:53:00:591,438249,438249,0,0,205462085660,2122837144,435669,2406,174,369,391730,0 33,2,2024-09-07 08:53:00:761,315902,315869,33,0,13208242,0,7012 33,3,2024-09-07 08:53:00:898,1,248,0,0,329,2902,248,0 34,0,2024-09-07 08:53:00:940,61218,0.3,62993,0.5,120898,0.2,161988,1.75 34,1,2024-09-07 08:53:01:046,438629,438629,0,0,205307641945,2111710630,437533,1089,7,367,391562,0 34,2,2024-09-07 08:53:00:768,313767,313767,0,0,12463062,0,3577 34,3,2024-09-07 08:53:01:689,1,248,1,0,299,2041,248,0 35,0,2024-09-07 08:53:00:859,60819,0.3,61183,0.5,122962,0.3,162980,1.75 35,1,2024-09-07 08:53:01:071,437746,437746,0,0,204272524337,2119377446,434400,2566,780,384,391589,0 35,2,2024-09-07 08:53:01:599,312501,312501,0,0,11939841,0,2653 35,3,2024-09-07 08:53:00:919,1,248,11,0,418,2982,248,0 36,0,2024-09-07 08:53:01:538,63263,0.6,63232,0.8,126695,0.6,168539,2.25 36,1,2024-09-07 08:53:00:606,436806,436806,0,0,204635551435,2141455320,430824,4403,1579,366,391759,0 36,2,2024-09-07 08:53:01:759,314028,314028,0,0,14073159,0,3875 36,3,2024-09-07 08:53:00:863,1,248,1,0,416,4036,248,0 37,0,2024-09-07 08:53:01:374,60721,0.6,60706,0.7,121598,0.5,162695,2.00 37,1,2024-09-07 08:53:00:666,435963,435956,0,7,203482310896,2133798691,429948,3941,2067,365,391560,0 37,2,2024-09-07 08:53:01:144,313572,313557,15,0,14392658,0,5815 37,3,2024-09-07 08:53:01:769,1,248,1,0,888,4422,248,0 38,0,2024-09-07 08:53:01:445,61612,0.5,59918,0.7,125422,0.4,163915,2.00 38,1,2024-09-07 08:53:01:608,437121,437121,0,0,204257453426,2133387875,431850,4596,675,368,391821,0 38,2,2024-09-07 08:53:00:763,312693,312646,47,0,14745872,0,6710 38,3,2024-09-07 08:53:01:000,1,248,1,0,689,3594,248,0 39,0,2024-09-07 08:53:01:774,63653,0.6,62558,0.7,121341,0.6,166363,2.00 39,1,2024-09-07 08:53:00:814,436874,436874,0,0,204151873408,2132383636,431314,4658,902,365,391594,0 39,2,2024-09-07 08:53:01:426,313460,313460,0,0,12791184,0,2689 39,3,2024-09-07 08:53:00:717,1,248,1,0,324,3418,248,0 40,0,2024-09-07 08:53:01:516,62221,0.7,62751,0.9,125598,0.7,167281,2.75 40,1,2024-09-07 08:53:00:588,436489,436489,0,0,202777521657,2127555049,429351,5695,1443,368,391591,0 40,2,2024-09-07 08:53:01:312,312669,312668,1,0,15831334,0,5137 40,3,2024-09-07 08:53:01:142,1,248,101,0,398,3139,248,0 41,0,2024-09-07 08:53:01:024,62267,2.0,63687,1.5,121618,3.1,167124,3.75 41,1,2024-09-07 08:53:00:771,436400,436400,0,0,204214172492,2136531155,430864,4902,634,370,391742,0 41,2,2024-09-07 08:53:00:763,312662,312662,0,0,15063887,0,3356 41,3,2024-09-07 08:53:01:677,1,248,3,0,366,2877,248,0 42,0,2024-09-07 08:53:01:479,61774,0.9,62079,1.0,124281,1.0,164198,2.75 42,1,2024-09-07 08:53:01:443,435024,435024,0,0,203535626576,2141346645,427740,5832,1452,380,391675,0 42,2,2024-09-07 08:53:01:133,314235,314235,0,0,14466276,0,3568 42,3,2024-09-07 08:53:01:018,1,248,34,0,446,2097,248,0 43,0,2024-09-07 08:53:00:967,61221,0.7,59728,0.9,124669,0.7,163755,2.25 43,1,2024-09-07 08:53:00:580,436083,436083,0,0,204398829289,2137057471,429822,4932,1329,366,391696,0 43,2,2024-09-07 08:53:01:737,314392,314392,0,0,13816374,0,3812 43,3,2024-09-07 08:53:01:749,1,248,1,0,467,3066,248,0 44,0,2024-09-07 08:53:00:862,62212,0.4,62646,0.6,124885,0.4,166367,1.75 44,1,2024-09-07 08:53:00:570,438105,438105,0,0,204276846927,2108588389,435549,2124,432,356,391809,0 44,2,2024-09-07 08:53:01:267,310222,310222,0,0,11530546,0,2231 44,3,2024-09-07 08:53:01:093,1,248,1,0,817,2940,248,0 45,0,2024-09-07 08:53:01:758,62165,0.7,60840,0.8,127562,0.7,168282,2.00 45,1,2024-09-07 08:53:01:005,437559,437559,0,0,205423384712,2128138933,435909,1638,12,382,391917,0 45,2,2024-09-07 08:53:01:280,315509,315509,0,0,12266265,0,3596 45,3,2024-09-07 08:53:00:940,1,248,1,0,271,2679,248,0 46,0,2024-09-07 08:53:00:950,60947,0.6,60806,0.8,121909,0.7,161336,2.00 46,1,2024-09-07 08:53:00:582,438700,438700,0,0,204481505138,2110742326,436354,2072,274,366,391539,0 46,2,2024-09-07 08:53:00:598,315623,315623,0,0,11835124,0,2920 46,3,2024-09-07 08:53:01:132,1,248,1,0,908,3773,248,0 47,0,2024-09-07 08:53:01:106,61510,0.4,61364,0.6,123379,0.4,162908,1.75 47,1,2024-09-07 08:53:00:570,438584,438584,0,0,204550033288,2111053420,436262,2188,134,366,391605,0 47,2,2024-09-07 08:53:00:917,315995,315995,0,0,11905895,0,2558 47,3,2024-09-07 08:53:01:116,1,248,1,0,529,2264,248,0 48,0,2024-09-07 08:53:01:509,61358,0.3,60978,0.4,121431,0.2,162173,1.50 48,1,2024-09-07 08:53:01:033,437734,437734,0,0,204281657301,2122504253,434633,2802,299,384,391710,0 48,2,2024-09-07 08:53:00:699,310897,310897,0,0,11513055,0,3031 48,3,2024-09-07 08:53:00:754,1,248,0,0,339,2091,248,0 49,0,2024-09-07 08:53:01:720,65084,0.4,64022,0.5,123801,0.3,170209,1.75 49,1,2024-09-07 08:53:01:026,436813,436813,0,0,204731457855,2134511715,432956,2676,1181,382,391809,0 49,2,2024-09-07 08:53:01:799,316176,316176,0,0,12140874,0,3900 49,3,2024-09-07 08:53:01:429,1,248,0,0,408,2776,248,0 50,0,2024-09-07 08:53:01:510,60997,0.3,60409,0.5,121615,0.2,162103,1.75 50,1,2024-09-07 08:53:01:019,438634,438634,0,0,205250076306,2123382822,435796,2528,310,368,391530,0 50,2,2024-09-07 08:53:01:069,315265,315265,0,0,11434464,0,2263 50,3,2024-09-07 08:53:01:293,1,248,2,0,335,2058,248,0 51,0,2024-09-07 08:53:01:687,63169,0.3,61743,0.5,120369,0.2,163918,1.75 51,1,2024-09-07 08:53:01:692,438536,438536,0,0,205719699640,2123869753,435740,1857,939,365,391706,0 51,2,2024-09-07 08:53:01:559,313271,313271,0,0,10835332,0,3337 51,3,2024-09-07 08:53:01:027,1,248,1,0,678,2016,248,0 52,0,2024-09-07 08:53:01:443,62818,0.4,62331,0.6,124654,0.4,165534,2.00 52,1,2024-09-07 08:53:00:577,436517,436517,0,0,203717222172,2137920455,429360,5903,1254,368,391722,0 52,2,2024-09-07 08:53:01:758,311264,311226,38,0,14953871,0,6742 52,3,2024-09-07 08:53:00:676,1,248,1,0,1782,4523,248,0 53,0,2024-09-07 08:53:01:755,62232,0.7,60543,0.8,126716,0.8,166692,2.50 53,1,2024-09-07 08:53:00:771,435414,435414,0,0,203472906192,2138835615,428088,4970,2356,367,391702,0 53,2,2024-09-07 08:53:01:304,314068,314068,0,0,12458745,0,2727 53,3,2024-09-07 08:53:00:697,1,248,0,0,271,2299,248,0 54,0,2024-09-07 08:53:01:621,61473,0.8,62028,0.9,122864,0.5,164319,2.75 54,1,2024-09-07 08:53:00:585,436683,436683,0,0,204922788160,2132562811,431947,4139,597,367,391659,0 54,2,2024-09-07 08:53:00:870,313726,313720,6,0,13836839,0,5382 54,3,2024-09-07 08:53:00:767,1,248,1,0,676,3903,248,0 55,0,2024-09-07 08:53:01:767,60233,0.6,62336,0.8,125451,0.6,163839,2.50 55,1,2024-09-07 08:53:00:765,436090,436090,0,0,203868581010,2124515797,430540,4763,787,365,391731,0 55,2,2024-09-07 08:53:00:739,312987,312987,0,0,13991753,0,3275 55,3,2024-09-07 08:53:00:676,1,248,9,0,304,2881,248,0 56,0,2024-09-07 08:53:01:675,63000,1.0,59452,1.0,122244,1.3,163770,2.50 56,1,2024-09-07 08:53:00:585,434487,434487,0,0,203749119758,2155756277,427247,5802,1438,381,391678,0 56,2,2024-09-07 08:53:01:315,314066,314066,0,0,15027256,0,3567 56,3,2024-09-07 08:53:01:090,1,248,9,0,705,3682,248,0 57,0,2024-09-07 08:53:00:953,61858,1.6,62025,1.2,124068,2.1,166356,3.00 57,1,2024-09-07 08:53:01:000,435920,435920,0,0,203621683691,2134532306,430599,4651,670,367,391960,0 57,2,2024-09-07 08:53:01:333,312060,312060,0,0,16081209,0,3317 57,3,2024-09-07 08:53:01:738,1,248,1,0,359,3366,248,0 58,0,2024-09-07 08:53:00:563,61432,0.9,59698,1.0,125048,1.0,164044,2.50 58,1,2024-09-07 08:53:00:576,436745,436742,0,3,205232959403,2145322059,431011,5021,710,367,391545,3 58,2,2024-09-07 08:53:01:071,314375,314375,0,0,14393578,0,2549 58,3,2024-09-07 08:53:01:068,1,248,12,0,1043,2860,248,0 59,0,2024-09-07 08:53:01:743,61709,0.9,61548,1.0,122752,0.9,163434,3.00 59,1,2024-09-07 08:53:00:804,436660,436660,0,0,204629735155,2142171452,430872,4523,1265,369,391525,0 59,2,2024-09-07 08:53:00:588,315949,315949,0,0,13160492,0,2604 59,3,2024-09-07 08:53:01:736,1,248,7,0,1015,3520,248,0 60,0,2024-09-07 08:53:01:712,61316,0.4,60999,0.6,123072,0.4,163034,1.75 60,1,2024-09-07 08:53:00:773,438006,438006,0,0,205008306756,2125495800,435564,1925,517,370,392031,0 60,2,2024-09-07 08:53:01:147,314398,314398,0,0,14213094,0,3811 60,3,2024-09-07 08:53:01:259,1,248,5,0,124,2425,248,0 61,0,2024-09-07 08:53:01:499,61768,0.6,62055,0.8,123633,0.7,165220,2.00 61,1,2024-09-07 08:53:00:782,436677,436677,0,0,204249357737,2137126986,432107,3867,703,382,391880,0 61,2,2024-09-07 08:53:01:116,311200,311200,0,0,12457019,0,2780 61,3,2024-09-07 08:53:01:694,1,248,2,0,397,3336,248,0 62,0,2024-09-07 08:53:01:709,64247,0.6,65442,0.8,124763,0.7,170206,2.00 62,1,2024-09-07 08:53:01:111,439172,439166,0,6,205073635308,2115179554,436705,2343,118,365,391715,6 62,2,2024-09-07 08:53:01:657,314378,314377,1,0,13845382,0,5555 62,3,2024-09-07 08:53:01:146,1,248,34,0,287,1783,248,0 63,0,2024-09-07 08:53:01:451,61034,0.5,61218,0.6,122633,0.5,162602,1.75 63,1,2024-09-07 08:53:00:805,438420,438414,0,6,204338373821,2116192019,436839,1525,50,381,391677,6 63,2,2024-09-07 08:53:00:761,315503,315503,0,0,11623453,0,2674 63,3,2024-09-07 08:53:01:736,1,248,1,0,667,2863,248,0 64,0,2024-09-07 08:53:01:556,60749,0.4,60801,0.6,121749,0.4,161871,1.75 64,1,2024-09-07 08:53:00:755,437108,437108,0,0,204293824999,2131488002,432621,3073,1414,370,391783,0 64,2,2024-09-07 08:53:01:161,316034,316015,19,0,12564853,0,6121 64,3,2024-09-07 08:53:01:150,1,248,5,0,265,2538,248,0 65,0,2024-09-07 08:53:01:688,60764,0.6,61146,0.7,121978,0.6,161880,2.00 65,1,2024-09-07 08:53:00:861,436163,436163,0,0,203418474206,2128573325,432610,3109,444,382,391901,0 65,2,2024-09-07 08:53:01:694,312205,312205,0,0,13247800,0,3367 65,3,2024-09-07 08:53:01:688,1,248,0,0,163,2340,248,0 66,0,2024-09-07 08:53:01:765,62896,0.5,62755,0.7,126202,0.4,167746,2.00 66,1,2024-09-07 08:53:01:304,437889,437889,0,0,204605523439,2126174283,435317,2372,200,380,391653,0 66,2,2024-09-07 08:53:01:131,316680,316680,0,0,12974948,0,4956 66,3,2024-09-07 08:53:01:079,1,248,1,0,291,2619,248,0 67,0,2024-09-07 08:53:01:419,61451,0.6,60958,0.8,122696,0.7,162772,2.25 67,1,2024-09-07 08:53:00:777,436612,436611,0,1,204633443795,2134700563,432767,3097,747,380,391787,1 67,2,2024-09-07 08:53:00:588,315530,315530,0,0,12035776,0,2889 67,3,2024-09-07 08:53:01:750,1,248,1,0,338,2327,248,0 68,0,2024-09-07 08:53:00:573,62347,0.6,62102,0.8,123836,0.6,165685,2.00 68,1,2024-09-07 08:53:00:571,435925,435925,0,0,203246275722,2131705833,431747,3008,1170,381,391953,0 68,2,2024-09-07 08:53:01:055,311880,311815,65,0,15812298,0,6698 68,3,2024-09-07 08:53:00:738,1,248,0,0,417,2832,248,0 69,0,2024-09-07 08:53:01:763,61931,0.7,62257,0.8,124134,0.7,165398,2.25 69,1,2024-09-07 08:53:01:027,434920,434920,0,0,203272067885,2145909074,429219,4299,1402,384,391994,0 69,2,2024-09-07 08:53:01:737,313317,313317,0,0,15607549,0,3722 69,3,2024-09-07 08:53:00:773,1,248,1,0,698,3621,248,0 70,0,2024-09-07 08:53:01:541,62557,0.8,62482,1.0,125486,0.6,166928,2.25 70,1,2024-09-07 08:53:00:807,437195,437195,0,0,205276724071,2132189857,433531,3136,528,366,391725,0 70,2,2024-09-07 08:53:01:325,312709,312709,0,0,14265908,0,4044 70,3,2024-09-07 08:53:00:745,1,248,66,0,854,3007,248,0 71,0,2024-09-07 08:53:01:361,62350,1.2,62178,1.2,124676,1.6,167598,3.00 71,1,2024-09-07 08:53:01:610,436461,436461,0,0,204187685636,2131419830,431307,4533,621,368,391738,0 71,2,2024-09-07 08:53:01:070,314087,314087,0,0,14643936,0,4042 71,3,2024-09-07 08:53:01:758,1,248,1,0,644,3660,248,0 72,0,2024-09-07 08:53:01:054,64679,0.8,63205,0.9,123330,0.9,167959,2.25 72,1,2024-09-07 08:53:01:029,436272,436272,0,0,203814822996,2128795633,431214,4127,931,369,391819,0 72,2,2024-09-07 08:53:01:765,313427,313427,0,0,16169536,0,3983 72,3,2024-09-07 08:53:01:761,1,248,27,0,564,4469,248,0 73,0,2024-09-07 08:53:01:100,60036,0.4,61407,0.6,126014,0.3,163414,2.00 73,1,2024-09-07 08:53:00:773,437021,437021,0,0,204445818532,2125098346,433934,2852,235,367,391750,0 73,2,2024-09-07 08:53:01:742,314441,314441,0,0,15494936,0,3701 73,3,2024-09-07 08:53:00:981,1,248,2,0,274,3685,248,0 74,0,2024-09-07 08:53:01:608,62951,0.5,64251,0.7,122541,0.4,166320,2.25 74,1,2024-09-07 08:53:00:643,436453,436453,0,0,203396832631,2124643055,432053,3601,799,381,391681,0 74,2,2024-09-07 08:53:01:012,310689,310689,0,0,14759663,0,4253 74,3,2024-09-07 08:53:01:446,1,248,32,0,522,3366,248,0 75,0,2024-09-07 08:53:01:783,63334,0.6,63007,0.8,126518,0.5,169268,2.25 75,1,2024-09-07 08:53:01:587,435794,435794,0,0,203766534653,2131332356,430865,4265,664,380,391660,0 75,2,2024-09-07 08:53:01:353,314007,314007,0,0,15338928,0,4766 75,3,2024-09-07 08:53:01:067,1,248,111,0,702,3659,248,0 76,0,2024-09-07 08:53:00:597,61018,0.8,60426,0.9,121000,0.8,162255,2.50 76,1,2024-09-07 08:53:00:839,436704,436704,0,0,203627169149,2124160639,433641,2514,549,382,391692,0 76,2,2024-09-07 08:53:01:073,316694,316694,0,0,12496328,0,3784 76,3,2024-09-07 08:53:01:148,1,248,1,0,175,2599,248,0 77,0,2024-09-07 08:53:01:746,61234,0.5,61547,0.7,122671,0.5,162673,1.75 77,1,2024-09-07 08:53:00:915,437256,437256,0,0,204527300963,2135340453,433807,3192,257,381,391808,0 77,2,2024-09-07 08:53:01:292,313739,313739,0,0,13092031,0,3890 77,3,2024-09-07 08:53:01:102,1,248,8,0,382,2829,248,0 78,0,2024-09-07 08:53:01:728,61130,0.4,60550,0.6,122127,0.4,161363,2.00 78,1,2024-09-07 08:53:00:610,437604,437604,0,0,203889036538,2115828465,434608,2677,319,367,391670,0 78,2,2024-09-07 08:53:01:414,311446,311446,0,0,11664495,0,3855 78,3,2024-09-07 08:53:01:133,1,248,1,0,181,2430,248,0 79,0,2024-09-07 08:53:01:363,61399,0.4,62974,0.6,128735,0.4,167847,2.25 79,1,2024-09-07 08:53:00:571,438563,438563,0,0,205003841395,2120857327,435719,2508,336,369,391682,0 79,2,2024-09-07 08:53:01:071,316201,316201,0,0,11858038,0,3212 79,3,2024-09-07 08:53:00:749,1,248,1,0,418,3690,248,0 80,0,2024-09-07 08:53:01:075,60808,0.6,62617,0.7,119891,0.7,161850,2.00 80,1,2024-09-07 08:53:01:620,436780,436780,0,0,204426031763,2128001073,433814,2797,169,368,391791,0 80,2,2024-09-07 08:53:01:091,315467,315467,0,0,12347439,0,4433 80,3,2024-09-07 08:53:00:579,1,248,0,0,190,3402,248,0 81,0,2024-09-07 08:53:01:537,61514,0.5,63017,0.6,120690,0.4,162998,1.75 81,1,2024-09-07 08:53:01:655,435928,435928,0,0,203457466146,2127194500,432088,3378,462,382,391879,0 81,2,2024-09-07 08:53:01:146,312926,312926,0,0,12682007,0,3993 81,3,2024-09-07 08:53:01:119,1,248,1,0,374,3001,248,0 82,0,2024-09-07 08:53:01:535,61846,0.4,62356,0.6,124763,0.3,165643,1.75 82,1,2024-09-07 08:53:00:598,437192,437188,0,4,203940276235,2124755798,433967,2610,611,381,391558,4 82,2,2024-09-07 08:53:01:695,314031,314031,0,0,11983282,0,3986 82,3,2024-09-07 08:53:01:755,1,248,1,0,363,2802,248,0 83,0,2024-09-07 08:53:01:527,62922,0.5,62929,0.7,125002,0.5,167242,2.00 83,1,2024-09-07 08:53:00:553,436367,436367,0,0,204393911870,2131603805,433194,2929,244,382,391690,0 83,2,2024-09-07 08:53:00:769,313930,313930,0,0,12032253,0,3119 83,3,2024-09-07 08:53:00:754,1,248,0,0,250,2661,248,0 84,0,2024-09-07 08:53:01:785,62150,0.9,61864,0.9,123908,0.8,165839,2.25 84,1,2024-09-07 08:53:01:044,435744,435744,0,0,204284657667,2136715685,431001,4167,576,368,391967,0 84,2,2024-09-07 08:53:00:578,313675,313675,0,0,14054652,0,3801 84,3,2024-09-07 08:53:01:142,1,248,4,0,908,3947,248,0 85,0,2024-09-07 08:53:01:014,60359,0.7,60272,0.9,127729,0.8,165270,2.50 85,1,2024-09-07 08:53:00:561,435446,435446,0,0,203697508694,2153137589,428610,5730,1106,382,392006,0 85,2,2024-09-07 08:53:00:871,314890,314890,0,0,14857127,0,3656 85,3,2024-09-07 08:53:00:684,1,248,2,0,789,3471,248,0 86,0,2024-09-07 08:53:01:011,61619,0.6,63343,0.7,121144,0.6,163606,2.00 86,1,2024-09-07 08:53:00:929,436892,436892,0,0,204344306566,2137622245,431910,4257,725,366,391961,0 86,2,2024-09-07 08:53:00:853,313120,313119,1,0,15680732,0,5004 86,3,2024-09-07 08:53:00:588,1,248,8,0,286,3342,248,0 87,0,2024-09-07 08:53:01:323,62540,0.9,62313,0.9,125173,1.2,167931,2.25 87,1,2024-09-07 08:53:00:560,436587,436587,0,0,203635148496,2130622999,432112,3991,484,366,391788,0 87,2,2024-09-07 08:53:01:076,310772,310772,0,0,13962770,0,4045 87,3,2024-09-07 08:53:01:818,1,248,1,0,473,3786,248,0 88,0,2024-09-07 08:53:01:454,62646,0.5,62945,0.6,125620,0.5,167280,1.75 88,1,2024-09-07 08:53:00:574,434977,434977,0,0,203928419632,2140541178,428801,4664,1512,365,392084,0 88,2,2024-09-07 08:53:00:689,314396,314396,0,0,15859565,0,3583 88,3,2024-09-07 08:53:01:282,1,248,3,0,435,3067,248,0 89,0,2024-09-07 08:53:01:803,63912,0.5,61689,0.7,122600,0.5,166685,2.00 89,1,2024-09-07 08:53:00:552,434469,434469,0,0,203744256137,2149980423,427553,5694,1222,382,391866,0 89,2,2024-09-07 08:53:01:144,314013,314013,0,0,15153908,0,2910 89,3,2024-09-07 08:53:01:794,1,248,8,0,325,4819,248,0 90,0,2024-09-07 08:53:01:669,59544,0.4,60827,0.6,124585,0.4,162149,1.75 90,1,2024-09-07 08:53:00:590,436561,436561,0,0,203462136774,2132822161,432429,3854,278,381,391825,0 90,2,2024-09-07 08:53:01:409,313003,313003,0,0,16377442,0,3060 90,3,2024-09-07 08:53:00:942,1,248,1,0,200,2588,248,0 91,0,2024-09-07 08:53:00:962,62045,0.4,60064,0.6,125554,0.4,165258,1.75 91,1,2024-09-07 08:53:00:562,435561,435561,0,0,203615593850,2141806462,429695,5172,694,381,392047,0 91,2,2024-09-07 08:53:01:337,309937,309937,0,0,14559926,0,2896 91,3,2024-09-07 08:53:00:613,1,248,10,0,216,2379,248,0 92,0,2024-09-07 08:53:01:442,64228,0.5,65752,0.7,125564,0.5,170517,1.75 92,1,2024-09-07 08:53:00:590,436455,436455,0,0,204114942925,2128061686,433899,2150,406,382,392136,0 92,2,2024-09-07 08:53:01:356,316925,316925,0,0,12275216,0,2801 92,3,2024-09-07 08:53:01:017,1,248,6,0,167,2016,248,0 93,0,2024-09-07 08:53:00:960,61363,0.4,63015,0.6,120379,0.4,163084,1.75 93,1,2024-09-07 08:53:00:819,436616,436616,0,0,203908010390,2128255344,432141,3689,786,366,391692,0 93,2,2024-09-07 08:53:00:943,315755,315755,0,0,13063975,0,2797 93,3,2024-09-07 08:53:01:408,1,248,1,0,190,2470,248,0 94,0,2024-09-07 08:53:01:645,60934,0.4,61753,0.5,122984,0.3,162646,1.75 94,1,2024-09-07 08:53:00:572,436559,436559,0,0,204478981943,2135710154,433269,3112,178,381,391850,0 94,2,2024-09-07 08:53:00:763,313153,313153,0,0,12106910,0,2443 94,3,2024-09-07 08:53:01:694,1,248,21,0,264,3278,248,0 95,0,2024-09-07 08:53:01:360,61512,0.3,61109,0.5,123314,0.3,162751,1.75 95,1,2024-09-07 08:53:00:867,437401,437401,0,0,204963339187,2130616457,433836,3189,376,367,391713,0 95,2,2024-09-07 08:53:01:022,311474,311474,0,0,12429257,0,3308 95,3,2024-09-07 08:53:01:709,1,248,1,0,718,4514,248,0 96,0,2024-09-07 08:53:01:036,63293,0.4,63548,0.5,126789,0.4,168050,1.75 96,1,2024-09-07 08:53:01:584,436191,436191,0,0,204445481356,2135095989,432615,2843,733,384,391894,0 96,2,2024-09-07 08:53:01:280,315026,315026,0,0,13151897,0,4042 96,3,2024-09-07 08:53:01:140,1,248,1,0,411,2898,248,0 97,0,2024-09-07 08:53:01:313,61278,0.4,61120,0.5,122692,0.3,162644,1.75 97,1,2024-09-07 08:53:00:767,437667,437667,0,0,205504667488,2131577762,434630,2481,556,367,392140,0 97,2,2024-09-07 08:53:00:606,314771,314771,0,0,12393860,0,3036 97,3,2024-09-07 08:53:00:577,1,248,1,0,214,2906,248,0 98,0,2024-09-07 08:53:01:711,62186,0.3,62256,0.4,125003,0.2,165792,1.50 98,1,2024-09-07 08:53:00:573,437393,437393,0,0,204029950206,2123937055,435249,2044,100,382,391997,0 98,2,2024-09-07 08:53:00:780,313983,313983,0,0,12463755,0,3080 98,3,2024-09-07 08:53:00:698,1,248,1,0,840,4562,248,0 99,0,2024-09-07 08:53:01:464,62316,0.3,62477,0.4,124643,0.2,166548,1.50 99,1,2024-09-07 08:53:01:722,437067,437067,0,0,204010391501,2127387449,433281,3046,740,381,391744,0 99,2,2024-09-07 08:53:01:421,316328,316328,0,0,13199122,0,3106 99,3,2024-09-07 08:53:00:590,1,248,3,0,168,1925,248,0 100,0,2024-09-07 08:53:01:477,62795,0.7,62752,1.0,125240,0.9,167376,2.25 100,1,2024-09-07 08:53:00:547,434234,434234,0,0,202532145715,2143006289,427391,5526,1317,381,391989,0 100,2,2024-09-07 08:53:01:821,311054,311043,11,0,14790179,0,5417 100,3,2024-09-07 08:53:01:737,1,248,1,0,559,4899,248,0 101,0,2024-09-07 08:53:01:746,64137,1.8,62384,1.2,122635,2.1,169321,2.50 101,1,2024-09-07 08:53:00:552,434515,434515,0,0,202578643839,2137313896,427167,5577,1771,368,391769,0 101,2,2024-09-07 08:53:01:761,313165,313165,0,0,16010794,0,4644 101,3,2024-09-07 08:53:00:943,1,248,3,0,448,3143,248,0 102,0,2024-09-07 08:53:00:968,61097,0.7,63335,0.8,127244,0.7,167151,2.00 102,1,2024-09-07 08:53:01:142,435602,435602,0,0,204007356798,2144349477,429948,4813,841,369,391883,0 102,2,2024-09-07 08:53:01:737,314863,314809,54,0,14680528,0,6768 102,3,2024-09-07 08:53:01:621,1,248,1,0,410,2725,248,0 103,0,2024-09-07 08:53:01:610,63445,0.5,63389,0.6,119600,0.4,164601,1.75 103,1,2024-09-07 08:53:01:642,434847,434847,0,0,203621985437,2146934895,428555,4911,1381,381,391829,0 103,2,2024-09-07 08:53:00:593,313335,313335,0,0,13826080,0,2582 103,3,2024-09-07 08:53:00:769,1,248,3,0,916,3571,248,0 104,0,2024-09-07 08:53:01:024,61860,0.8,62321,1.0,123253,0.7,166407,2.25 104,1,2024-09-07 08:53:01:598,436242,436242,0,0,203501055058,2141359737,429569,5578,1095,365,391948,0 104,2,2024-09-07 08:53:01:665,309341,309341,0,0,15369593,0,3941 104,3,2024-09-07 08:53:01:421,1,248,1,0,1245,6395,248,0 105,0,2024-09-07 08:53:01:039,62593,1.3,60767,1.3,126918,2.1,167824,3.50 105,1,2024-09-07 08:53:00:554,436215,436215,0,0,204149992957,2143968091,429906,5330,979,366,391797,0 105,2,2024-09-07 08:53:01:330,314243,314243,0,0,14889152,0,3509 105,3,2024-09-07 08:53:01:304,1,248,1,0,399,4262,248,0 106,0,2024-09-07 08:53:00:981,59056,1.0,60460,1.1,123923,1.2,161927,2.75 106,1,2024-09-07 08:53:01:752,436042,436042,0,0,203803159849,2143052088,428952,6331,759,369,391767,0 106,2,2024-09-07 08:53:00:761,313329,313329,0,0,14420750,0,2795 106,3,2024-09-07 08:53:00:680,1,248,1,0,470,3606,248,0 107,0,2024-09-07 08:53:01:130,61073,0.8,61062,0.9,122002,0.8,162399,2.00 107,1,2024-09-07 08:53:00:590,434778,434778,0,0,203172873989,2138904930,429458,4772,548,381,392234,0 107,2,2024-09-07 08:53:01:306,313289,313288,1,0,15077849,0,5024 107,3,2024-09-07 08:53:01:762,1,248,5,0,353,3419,248,0 108,0,2024-09-07 08:53:01:788,61020,0.4,61236,0.6,121376,0.3,162194,1.75 108,1,2024-09-07 08:53:01:342,436616,436616,0,0,204537951840,2130360963,433412,2820,384,368,391857,0 108,2,2024-09-07 08:53:01:759,309981,309981,0,0,13302999,0,2647 108,3,2024-09-07 08:53:01:342,1,248,1,0,749,4836,248,0 109,0,2024-09-07 08:53:01:759,63808,0.4,63114,0.6,126506,0.3,169953,1.75 109,1,2024-09-07 08:53:00:599,435121,435121,0,0,204014297722,2139005242,431331,3275,515,383,392132,0 109,2,2024-09-07 08:53:00:925,314390,314390,0,0,13842514,0,3617 109,3,2024-09-07 08:53:01:140,1,248,0,0,249,2942,248,0 110,0,2024-09-07 08:53:01:754,61023,0.4,59462,0.6,124278,0.4,162511,1.75 110,1,2024-09-07 08:53:01:645,437553,437553,0,0,204995505558,2128265217,434411,2265,877,370,392045,0 110,2,2024-09-07 08:53:01:313,315226,315226,0,0,13086503,0,3264 110,3,2024-09-07 08:53:00:690,1,248,1,0,406,3252,248,0 111,0,2024-09-07 08:53:01:436,61947,0.4,61527,0.5,122818,0.3,164299,1.75 111,1,2024-09-07 08:53:01:001,437811,437811,0,0,204944150335,2123776577,435821,1654,336,382,391690,0 111,2,2024-09-07 08:53:01:120,312894,312894,0,0,12577212,0,2763 111,3,2024-09-07 08:53:00:913,1,248,2,0,379,3364,248,0 112,0,2024-09-07 08:53:00:914,62365,0.3,62369,0.4,124771,0.2,165727,1.50 112,1,2024-09-07 08:53:00:828,438034,438034,0,0,203963694448,2115630331,435615,1991,428,380,391580,0 112,2,2024-09-07 08:53:01:143,313106,313105,1,0,12888460,0,5036 112,3,2024-09-07 08:53:00:596,1,248,1,0,282,2689,248,0 113,0,2024-09-07 08:53:00:884,62672,0.3,62547,0.5,125757,0.2,168187,1.50 113,1,2024-09-07 08:53:01:695,438669,438669,0,0,205342432496,2119612851,436171,2009,489,366,391661,0 113,2,2024-09-07 08:53:01:303,314972,314972,0,0,11707177,0,3813 113,3,2024-09-07 08:53:00:684,1,248,1,0,340,3591,248,0 114,0,2024-09-07 08:53:00:873,62902,0.4,63416,0.5,125689,0.3,167814,1.75 114,1,2024-09-07 08:53:00:719,436898,436898,0,0,204320785390,2129361315,432090,3219,1589,381,391556,0 114,2,2024-09-07 08:53:00:874,314025,314024,1,0,12804237,0,5069 114,3,2024-09-07 08:53:01:282,1,248,1,0,395,2680,248,0 115,0,2024-09-07 08:53:00:573,62544,0.3,62837,0.4,125771,0.2,167310,1.50 115,1,2024-09-07 08:53:00:571,436810,436810,0,0,204442047323,2127339389,432450,3526,834,382,391602,0 115,2,2024-09-07 08:53:01:126,314869,314869,0,0,11148687,0,2152 115,3,2024-09-07 08:53:01:005,1,248,1,0,159,1369,248,0 116,0,2024-09-07 08:53:01:753,61499,0.6,61353,0.8,122824,0.6,164213,2.00 116,1,2024-09-07 08:53:00:855,434240,434240,0,0,203259785019,2151438997,427841,4344,2055,380,391782,0 116,2,2024-09-07 08:53:01:761,313692,313692,0,0,16055964,0,3529 116,3,2024-09-07 08:53:00:920,1,248,17,0,415,3613,248,0 117,0,2024-09-07 08:53:00:951,62703,0.8,62486,0.9,125160,0.8,168013,2.00 117,1,2024-09-07 08:53:01:588,436159,436159,0,0,203310544848,2128971377,431458,4221,480,370,392033,0 117,2,2024-09-07 08:53:01:123,313362,313362,0,0,13180901,0,3700 117,3,2024-09-07 08:53:01:067,1,248,1,0,490,4003,248,0 118,0,2024-09-07 08:53:01:790,60880,0.6,62508,0.8,127504,0.6,166545,2.00 118,1,2024-09-07 08:53:00:593,435786,435786,0,0,203460860830,2140519368,429420,4934,1432,366,391736,0 118,2,2024-09-07 08:53:01:597,313420,313420,0,0,14418988,0,2781 118,3,2024-09-07 08:53:01:772,1,248,20,0,235,2884,248,0 119,0,2024-09-07 08:53:01:335,61643,0.9,62077,0.9,124379,1.2,165588,2.25 119,1,2024-09-07 08:53:00:548,437042,437042,0,0,204378186185,2136012766,432387,4133,522,367,391641,0 119,2,2024-09-07 08:53:01:263,315211,315211,0,0,13654324,0,4174 119,3,2024-09-07 08:53:01:334,1,248,1,0,443,3472,248,0 120,0,2024-09-07 08:53:01:556,61032,0.5,60729,0.8,121825,0.5,162625,2.00 120,1,2024-09-07 08:53:00:859,436315,436315,0,0,203843680480,2138801938,431630,4335,350,368,391961,0 120,2,2024-09-07 08:53:00:771,313506,313505,1,0,16136851,0,5281 120,3,2024-09-07 08:53:01:302,1,248,1,0,241,3208,248,0 121,0,2024-09-07 08:53:01:694,61742,0.9,61869,0.9,123749,1.2,165097,2.00 121,1,2024-09-07 08:53:01:657,436366,436366,0,0,203760209139,2133223006,431769,4183,414,367,391840,0 121,2,2024-09-07 08:53:01:126,309177,309177,0,0,15348369,0,4127 121,3,2024-09-07 08:53:00:735,1,248,20,0,269,2865,248,0 122,0,2024-09-07 08:53:01:824,63328,0.9,61789,0.9,129621,1.0,170540,2.00 122,1,2024-09-07 08:53:00:876,435228,435228,0,0,203565018577,2137807361,429161,5251,816,366,392130,0 122,2,2024-09-07 08:53:01:350,314194,314121,73,0,17957375,0,5989 122,3,2024-09-07 08:53:00:613,1,248,47,0,411,4961,248,0 123,0,2024-09-07 08:53:00:995,60868,1.0,59554,0.9,124098,1.1,162489,2.25 123,1,2024-09-07 08:53:00:709,435819,435819,0,0,204137521398,2154769286,427148,6955,1716,369,391823,0 123,2,2024-09-07 08:53:01:019,312836,312835,1,0,14864853,0,5215 123,3,2024-09-07 08:53:01:133,1,248,3,0,168,3053,248,0 124,0,2024-09-07 08:53:00:938,63219,0.3,63173,0.5,119214,0.3,163362,1.75 124,1,2024-09-07 08:53:01:030,437681,437681,0,0,203712779356,2116446922,434838,2481,362,367,392178,0 124,2,2024-09-07 08:53:01:018,313508,313508,0,0,11901339,0,3101 124,3,2024-09-07 08:53:00:763,1,248,1,0,490,2764,248,0 125,0,2024-09-07 08:53:01:515,61468,0.3,61275,0.5,123013,0.3,162900,1.75 125,1,2024-09-07 08:53:00:860,436414,436414,0,0,204284834399,2130801491,433320,2700,394,383,391702,0 125,2,2024-09-07 08:53:01:260,312490,312490,0,0,12928429,0,4534 125,3,2024-09-07 08:53:01:126,1,248,6,0,709,3535,248,0 126,0,2024-09-07 08:53:01:437,63357,0.5,65083,0.6,124415,0.5,168979,1.75 126,1,2024-09-07 08:53:00:552,437979,437979,0,0,204342140431,2117764303,435297,2420,262,365,391987,0 126,2,2024-09-07 08:53:00:611,316207,316207,0,0,13233809,0,3186 126,3,2024-09-07 08:53:00:929,1,248,1,0,183,3295,248,0 127,0,2024-09-07 08:53:01:592,61213,0.4,61515,0.5,122406,0.4,162886,1.75 127,1,2024-09-07 08:53:00:570,437375,437375,0,0,204320235277,2117193027,434432,2790,153,365,391816,0 127,2,2024-09-07 08:53:00:645,314883,314883,0,0,11903282,0,2264 127,3,2024-09-07 08:53:01:268,1,248,3,0,968,2971,248,0 128,0,2024-09-07 08:53:01:525,62638,0.3,62645,0.4,124991,0.2,166159,1.50 128,1,2024-09-07 08:53:01:611,436757,436757,0,0,204669105446,2122663322,434584,2016,157,367,391798,0 128,2,2024-09-07 08:53:01:383,313914,313914,0,0,11875744,0,2434 128,3,2024-09-07 08:53:00:771,1,248,0,0,1082,5362,248,0 129,0,2024-09-07 08:53:01:017,62830,0.3,62524,0.4,124947,0.2,166226,1.50 129,1,2024-09-07 08:53:00:588,435026,435026,0,0,203533316337,2133929498,430871,3226,929,379,391835,0 129,2,2024-09-07 08:53:00:695,314840,314840,0,0,12853064,0,4031 129,3,2024-09-07 08:53:00:690,1,248,4,0,469,3316,248,0 130,0,2024-09-07 08:53:01:719,63310,0.5,63008,0.6,126164,0.5,168354,1.75 130,1,2024-09-07 08:53:00:582,437609,437609,0,0,204606079322,2128647038,435173,2358,78,381,391825,0 130,2,2024-09-07 08:53:01:127,313972,313972,0,0,12481945,0,4067 130,3,2024-09-07 08:53:01:292,1,248,1,0,450,3358,248,0 131,0,2024-09-07 08:53:01:967,62829,0.4,63165,0.5,127324,0.4,169078,1.75 131,1,2024-09-07 08:53:01:837,437556,437556,0,0,203862112630,2125622604,434508,2590,458,381,391865,0 131,2,2024-09-07 08:53:00:579,315684,315684,0,0,11427272,0,2415 131,3,2024-09-07 08:53:01:689,1,248,1,0,392,2549,248,0 132,0,2024-09-07 08:53:01:439,62381,0.5,63170,0.7,126008,0.5,167649,2.00 132,1,2024-09-07 08:53:00:589,435037,435037,0,0,203547599594,2147727767,428031,5753,1253,381,392097,0 132,2,2024-09-07 08:53:00:699,314512,314512,0,0,15363395,0,4606 132,3,2024-09-07 08:53:01:689,1,248,1,0,356,3538,248,0 133,0,2024-09-07 08:53:01:532,60089,0.4,61533,0.6,125759,0.3,163392,1.75 133,1,2024-09-07 08:53:00:594,435298,435298,0,0,204250860312,2150304573,429821,4810,667,383,391914,0 133,2,2024-09-07 08:53:01:087,313271,313271,0,0,16480306,0,4315 133,3,2024-09-07 08:53:01:305,1,248,1,0,276,2503,248,0 134,0,2024-09-07 08:53:00:961,62490,0.4,62339,0.6,125000,0.4,166402,2.00 134,1,2024-09-07 08:53:00:598,435031,435031,0,0,203140527190,2135192506,428578,4983,1470,366,391718,0 134,2,2024-09-07 08:53:01:773,310231,310231,0,0,13707218,0,3847 134,3,2024-09-07 08:53:00:754,1,248,2,0,739,3745,248,0 135,0,2024-09-07 08:53:01:100,61178,0.9,61124,1.0,129669,1.0,167829,2.25 135,1,2024-09-07 08:53:01:588,434829,434829,0,0,204171211160,2149350907,428634,5199,996,380,391805,0 135,2,2024-09-07 08:53:00:687,314952,314952,0,0,15249334,0,3981 135,3,2024-09-07 08:53:01:008,1,248,1,0,299,1850,248,0 136,0,2024-09-07 08:53:01:628,61583,0.6,61532,0.8,122910,0.7,163677,2.25 136,1,2024-09-07 08:53:01:458,435400,435400,0,0,203945965101,2143727158,429877,4939,584,382,391685,0 136,2,2024-09-07 08:53:01:137,315437,315437,0,0,14587953,0,3506 136,3,2024-09-07 08:53:01:106,1,248,2,0,126,1963,248,0 137,0,2024-09-07 08:53:00:947,62962,0.6,61226,0.7,120844,0.6,163599,2.00 137,1,2024-09-07 08:53:00:587,435550,435550,0,0,203623049113,2138151216,428606,5527,1417,366,391708,0 137,2,2024-09-07 08:53:01:709,313004,313004,0,0,16127577,0,3185 137,3,2024-09-07 08:53:00:771,1,248,4,0,382,3219,248,0 138,0,2024-09-07 08:53:01:756,60405,0.8,60472,0.9,121633,0.9,161594,2.00 138,1,2024-09-07 08:53:01:686,435325,435325,0,0,203839783543,2142058375,428359,5835,1131,368,391954,0 138,2,2024-09-07 08:53:00:589,310855,310855,0,0,14794039,0,4988 138,3,2024-09-07 08:53:00:627,1,248,12,0,1160,3853,248,0 139,0,2024-09-07 08:53:01:386,62464,1.9,62666,1.3,125665,2.9,168394,2.50 139,1,2024-09-07 08:53:00:578,433766,433766,0,0,202659041515,2154055000,425278,6374,2114,381,391892,0 139,2,2024-09-07 08:53:00:691,313330,313330,0,0,15633197,0,3097 139,3,2024-09-07 08:53:01:666,1,248,4,0,244,2548,248,0 140,0,2024-09-07 08:53:01:594,61425,0.3,60881,0.5,122340,0.3,162733,1.75 140,1,2024-09-07 08:53:01:544,438574,438574,0,0,205699612880,2119679899,436595,1737,242,365,391606,0 140,2,2024-09-07 08:53:00:687,315542,315542,0,0,12156259,0,3388 140,3,2024-09-07 08:53:00:779,1,248,0,0,247,2150,248,0 141,0,2024-09-07 08:53:01:703,61641,0.3,63388,0.4,121135,0.2,164340,1.50 141,1,2024-09-07 08:53:00:861,437746,437746,0,0,204684925524,2123400744,435176,2162,408,379,391614,0 141,2,2024-09-07 08:53:01:695,313402,313402,0,0,11784421,0,2342 141,3,2024-09-07 08:53:01:045,1,248,1,0,202,2322,248,0 142,0,2024-09-07 08:53:01:315,63056,0.3,62426,0.4,124082,0.2,166035,1.50 142,1,2024-09-07 08:53:00:594,437391,437391,0,0,203848632966,2125308079,434598,2528,265,382,392102,0 142,2,2024-09-07 08:53:01:311,312978,312946,32,0,13550286,0,6028 142,3,2024-09-07 08:53:01:749,1,248,1,0,484,3142,248,0 143,0,2024-09-07 08:53:01:382,62880,0.5,62954,0.6,126254,0.5,168025,1.75 143,1,2024-09-07 08:53:00:581,437230,437230,0,0,204252684739,2117948634,434517,2618,95,367,391651,0 143,2,2024-09-07 08:53:00:773,313821,313821,0,0,12728709,0,2669 143,3,2024-09-07 08:53:01:141,1,248,1,0,303,3263,248,0 144,0,2024-09-07 08:53:01:505,60521,0.7,62105,0.9,126619,0.6,165954,2.00 144,1,2024-09-07 08:53:00:574,435067,435067,0,0,203389853751,2135207287,431062,3083,922,381,391649,0 144,2,2024-09-07 08:53:01:758,314766,314766,0,0,12211850,0,3473 144,3,2024-09-07 08:53:01:741,1,248,2,0,249,2905,248,0 145,0,2024-09-07 08:53:01:369,60246,0.6,60257,0.8,127846,0.5,165267,2.25 145,1,2024-09-07 08:53:00:552,434607,434607,0,0,204052217920,2146265120,429112,4672,823,382,391698,0 145,2,2024-09-07 08:53:01:429,313058,313058,0,0,14543118,0,3903 145,3,2024-09-07 08:53:00:900,1,248,1,0,622,4104,248,0 146,0,2024-09-07 08:53:01:627,61477,0.5,61069,0.7,122991,0.4,163443,2.00 146,1,2024-09-07 08:53:01:588,435533,435533,0,0,203817796766,2149074164,427257,6429,1847,368,391770,0 146,2,2024-09-07 08:53:01:701,313684,313684,0,0,14592675,0,2730 146,3,2024-09-07 08:53:01:283,1,248,2,0,1520,5911,248,0 147,0,2024-09-07 08:53:01:704,62605,0.6,62575,0.8,124174,0.5,167754,2.00 147,1,2024-09-07 08:53:01:374,437461,437461,0,0,205192154140,2134499939,433362,3514,585,368,391791,0 147,2,2024-09-07 08:53:01:013,313300,313300,0,0,12163149,0,2789 147,3,2024-09-07 08:53:00:916,1,248,1,0,730,3908,248,0 0,0,2024-09-07 08:53:11:703,59525,0.5,59580,0.7,126330,0.4,163417,1.75 0,1,2024-09-07 08:53:10:816,438393,438393,0,0,205272855039,2146819860,435181,3008,204,370,391772,0 0,2,2024-09-07 08:53:11:067,315422,315422,0,0,12977333,0,4480 0,3,2024-09-07 08:53:10:983,1,249,2,0,319,3387,249,0 1,0,2024-09-07 08:53:11:819,62282,0.8,61791,0.9,124409,1.0,165816,2.00 1,1,2024-09-07 08:53:10:557,438081,438081,0,0,204674839770,2144619342,433585,3443,1053,371,391857,0 1,2,2024-09-07 08:53:10:638,310912,310912,0,0,11939701,0,3267 1,3,2024-09-07 08:53:11:312,1,249,1,0,262,2961,249,0 2,0,2024-09-07 08:53:11:567,64044,0.7,64304,0.8,127657,0.9,171232,2.00 2,1,2024-09-07 08:53:10:859,438282,438282,0,0,205284402543,2132180967,436176,1888,218,380,391745,0 2,2,2024-09-07 08:53:11:274,316770,316770,0,0,12522033,0,3594 2,3,2024-09-07 08:53:10:695,1,249,0,0,357,2269,249,0 3,0,2024-09-07 08:53:11:786,61220,0.5,61358,0.6,122057,0.4,162557,2.00 3,1,2024-09-07 08:53:11:618,438244,438244,0,0,204730405730,2133159040,434405,3377,462,380,391523,0 3,2,2024-09-07 08:53:11:144,316349,316326,23,0,12785436,0,5851 3,3,2024-09-07 08:53:11:752,1,249,1,0,103,1513,249,0 4,0,2024-09-07 08:53:11:792,59482,0.4,61197,0.5,124559,0.3,162863,1.75 4,1,2024-09-07 08:53:10:593,437701,437701,0,0,204421089163,2147903070,432962,3949,790,371,391846,0 4,2,2024-09-07 08:53:11:026,313804,313804,0,0,15122487,0,4528 4,3,2024-09-07 08:53:11:029,1,249,1,0,448,3863,249,0 5,0,2024-09-07 08:53:11:426,61315,0.4,61673,0.5,122447,0.3,162895,1.75 5,1,2024-09-07 08:53:10:755,437755,437755,0,0,204821914342,2154367998,432263,4380,1112,368,392005,0 5,2,2024-09-07 08:53:11:829,311081,311081,0,0,13728178,0,2432 5,3,2024-09-07 08:53:11:736,1,249,1,0,457,3810,249,0 6,0,2024-09-07 08:53:10:925,64185,0.6,63455,0.7,126924,0.5,170134,2.00 6,1,2024-09-07 08:53:10:756,437879,437879,0,0,204762952210,2140558151,433204,3831,844,379,391694,0 6,2,2024-09-07 08:53:11:116,316089,316089,0,0,13761095,0,4816 6,3,2024-09-07 08:53:11:275,1,249,1,0,340,2956,249,0 7,0,2024-09-07 08:53:11:543,60929,0.5,61143,0.7,121871,0.5,162044,2.00 7,1,2024-09-07 08:53:10:854,437562,437562,0,0,204640594447,2147919895,431662,4897,1003,382,391747,0 7,2,2024-09-07 08:53:10:770,315165,315165,0,0,13584875,0,4791 7,3,2024-09-07 08:53:10:852,1,249,1,0,398,3105,249,0 8,0,2024-09-07 08:53:11:351,62504,0.4,62497,0.5,124398,0.3,166009,1.75 8,1,2024-09-07 08:53:11:017,436314,436314,0,0,204255602531,2155905764,428023,6273,2018,367,391956,0 8,2,2024-09-07 08:53:10:789,310773,310773,0,0,16167390,0,3220 8,3,2024-09-07 08:53:10:589,1,249,2,0,357,3823,249,0 9,0,2024-09-07 08:53:11:113,62770,0.3,60831,0.5,127067,0.3,166795,1.50 9,1,2024-09-07 08:53:10:551,437131,437131,0,0,204606329850,2157377924,430643,4946,1542,370,392001,0 9,2,2024-09-07 08:53:11:097,314442,314442,0,0,14358309,0,3360 9,3,2024-09-07 08:53:11:763,1,249,1,0,496,3638,249,0 10,0,2024-09-07 08:53:11:606,63486,0.3,62868,0.5,126487,0.2,168900,1.75 10,1,2024-09-07 08:53:10:588,437649,437649,0,0,204365789889,2143626725,431289,5432,928,381,391741,0 10,2,2024-09-07 08:53:10:767,314392,314392,0,0,15884414,0,4264 10,3,2024-09-07 08:53:10:871,1,249,1,0,177,2080,249,0 11,0,2024-09-07 08:53:11:015,62843,0.5,61052,0.7,127731,0.5,169227,2.00 11,1,2024-09-07 08:53:10:571,437736,437736,0,0,204453961978,2152255177,429547,6208,1981,383,391537,0 11,2,2024-09-07 08:53:11:128,315076,315076,0,0,14851922,0,4130 11,3,2024-09-07 08:53:11:298,1,249,0,0,843,3979,249,0 12,0,2024-09-07 08:53:10:943,63402,0.4,63317,0.5,126486,0.3,168334,1.75 12,1,2024-09-07 08:53:10:938,437794,437794,0,0,204082013770,2127906082,434279,3094,421,370,391870,0 12,2,2024-09-07 08:53:11:555,316123,316123,0,0,13814401,0,3469 12,3,2024-09-07 08:53:11:059,1,249,6,0,386,4174,249,0 13,0,2024-09-07 08:53:11:353,62040,0.3,61990,0.5,123597,0.3,164530,1.50 13,1,2024-09-07 08:53:11:534,438126,438126,0,0,203937261142,2139828377,434577,3008,541,382,391717,0 13,2,2024-09-07 08:53:10:603,316471,316471,0,0,12982241,0,3287 13,3,2024-09-07 08:53:11:769,1,249,2,0,467,3912,249,0 14,0,2024-09-07 08:53:10:566,62879,0.4,63281,0.6,125544,0.3,167439,1.75 14,1,2024-09-07 08:53:11:562,440718,440718,0,0,205313775597,2124985041,437887,2642,189,364,391571,0 14,2,2024-09-07 08:53:10:773,311767,311767,0,0,12917326,0,2896 14,3,2024-09-07 08:53:11:123,1,249,1,0,1168,3459,249,0 15,0,2024-09-07 08:53:11:555,63431,0.5,63662,0.7,127004,0.5,169194,2.00 15,1,2024-09-07 08:53:11:616,438923,438923,0,0,205061057323,2131490889,436404,2341,178,381,391619,0 15,2,2024-09-07 08:53:10:998,316647,316647,0,0,11007496,0,3043 15,3,2024-09-07 08:53:11:433,1,249,1,0,1126,5213,249,0 16,0,2024-09-07 08:53:10:998,61601,0.7,61866,0.8,123019,0.8,164476,2.25 16,1,2024-09-07 08:53:10:568,438946,438946,0,0,204796328112,2140202424,435386,3261,299,370,391756,0 16,2,2024-09-07 08:53:11:436,315807,315807,0,0,13685412,0,4719 16,3,2024-09-07 08:53:11:144,1,249,5,0,317,3461,249,0 17,0,2024-09-07 08:53:11:786,63220,0.5,61688,0.7,120757,0.4,163880,1.75 17,1,2024-09-07 08:53:10:567,437738,437738,0,0,204512377093,2147322212,432895,3739,1104,368,391845,0 17,2,2024-09-07 08:53:11:692,317981,317981,0,0,12835911,0,2857 17,3,2024-09-07 08:53:10:574,1,249,8,0,268,4003,249,0 18,0,2024-09-07 08:53:10:944,60503,0.7,60714,0.8,121267,0.7,162185,2.25 18,1,2024-09-07 08:53:11:638,439246,439246,0,0,205289077031,2127757058,436736,2268,242,367,391649,0 18,2,2024-09-07 08:53:11:755,312580,312580,0,0,12454984,0,3541 18,3,2024-09-07 08:53:10:896,1,249,1,0,163,2531,249,0 19,0,2024-09-07 08:53:11:563,63352,0.7,64006,0.8,126755,0.6,169232,2.25 19,1,2024-09-07 08:53:10:566,438809,438809,0,0,205969318301,2140864968,434151,3872,786,367,391777,0 19,2,2024-09-07 08:53:11:753,318163,318163,0,0,11510667,0,3988 19,3,2024-09-07 08:53:11:135,1,249,0,0,524,1880,249,0 20,0,2024-09-07 08:53:11:395,60786,0.7,60869,0.8,122046,0.8,162461,2.25 20,1,2024-09-07 08:53:10:567,437529,437529,0,0,205114060821,2146021883,433713,3418,398,369,391886,0 20,2,2024-09-07 08:53:10:929,316305,316305,0,0,13292067,0,3721 20,3,2024-09-07 08:53:10:589,1,249,1,0,414,4609,249,0 21,0,2024-09-07 08:53:11:155,61816,0.5,62087,0.6,123750,0.4,163948,2.00 21,1,2024-09-07 08:53:11:540,436776,436776,0,0,203861148112,2147110761,431058,4461,1257,368,391962,0 21,2,2024-09-07 08:53:11:067,313431,313431,0,0,15005808,0,3747 21,3,2024-09-07 08:53:11:419,1,249,11,0,103,2625,249,0 22,0,2024-09-07 08:53:11:719,62122,0.6,62271,0.7,124182,0.5,164764,2.00 22,1,2024-09-07 08:53:11:027,437230,437230,0,0,204185632183,2150994956,430000,5588,1642,382,391667,0 22,2,2024-09-07 08:53:10:766,313398,313398,0,0,13213199,0,3134 22,3,2024-09-07 08:53:11:066,1,249,2,0,228,2330,249,0 23,0,2024-09-07 08:53:11:378,63055,0.6,62747,0.7,126125,0.6,168320,2.25 23,1,2024-09-07 08:53:11:003,437983,437983,0,0,205325811601,2156990303,430673,4759,2551,365,391690,0 23,2,2024-09-07 08:53:11:093,315953,315953,0,0,12790792,0,3010 23,3,2024-09-07 08:53:11:756,1,249,1,0,645,3276,249,0 24,0,2024-09-07 08:53:10:848,63106,0.4,62977,0.6,126269,0.4,167401,1.75 24,1,2024-09-07 08:53:10:581,437353,437353,0,0,203199586573,2133572641,431743,4130,1480,368,391987,0 24,2,2024-09-07 08:53:11:069,314052,314052,0,0,15631733,0,3607 24,3,2024-09-07 08:53:11:688,1,249,7,0,468,3788,249,0 25,0,2024-09-07 08:53:11:347,64312,0.5,62610,0.7,123085,0.4,167984,2.00 25,1,2024-09-07 08:53:10:565,438370,438370,0,0,204667419409,2142360491,434082,3734,554,371,391788,0 25,2,2024-09-07 08:53:11:613,313857,313857,0,0,15767765,0,3978 25,3,2024-09-07 08:53:11:010,1,249,10,0,255,2715,249,0 26,0,2024-09-07 08:53:11:728,61655,0.4,60304,0.6,126243,0.3,164674,1.75 26,1,2024-09-07 08:53:11:542,437823,437823,0,0,204104661374,2142988730,430834,5773,1216,381,391748,0 26,2,2024-09-07 08:53:10:861,315297,315297,0,0,15564389,0,2809 26,3,2024-09-07 08:53:11:712,1,249,15,0,796,3326,249,0 27,0,2024-09-07 08:53:11:728,63453,0.4,63452,0.6,125478,0.4,168259,2.00 27,1,2024-09-07 08:53:11:681,439828,439828,0,0,205610092310,2139473908,436379,2857,592,381,391558,0 27,2,2024-09-07 08:53:10:867,311595,311530,65,0,15610378,0,5699 27,3,2024-09-07 08:53:11:024,1,249,1,0,564,2706,249,0 28,0,2024-09-07 08:53:11:394,63163,0.5,62857,0.7,126333,0.4,169057,2.00 28,1,2024-09-07 08:53:10:797,439025,439025,0,0,205530863342,2139311223,436184,2370,471,383,391646,0 28,2,2024-09-07 08:53:11:767,315908,315908,0,0,12241914,0,2915 28,3,2024-09-07 08:53:11:776,1,249,2,0,502,2560,249,0 29,0,2024-09-07 08:53:11:393,64002,0.4,62684,0.6,122353,0.4,167562,1.75 29,1,2024-09-07 08:53:11:564,440056,440056,0,0,205996125291,2132813388,436832,2659,565,369,391621,0 29,2,2024-09-07 08:53:10:867,315727,315727,0,0,11681458,0,4018 29,3,2024-09-07 08:53:10:970,1,249,1,0,115,1794,249,0 30,0,2024-09-07 08:53:11:468,61234,0.5,59497,0.7,124347,0.4,162797,2.00 30,1,2024-09-07 08:53:10:572,439682,439682,0,0,205258462415,2126857923,437353,2036,293,382,391672,0 30,2,2024-09-07 08:53:11:280,315810,315810,0,0,11558313,0,3161 30,3,2024-09-07 08:53:10:581,1,249,1,0,195,1883,249,0 31,0,2024-09-07 08:53:11:759,61805,0.4,62096,0.6,124436,0.3,165646,1.75 31,1,2024-09-07 08:53:10:594,441130,441130,0,0,206825039633,2118815726,439741,1170,219,356,391712,0 31,2,2024-09-07 08:53:11:290,311242,311242,0,0,13068959,0,3525 31,3,2024-09-07 08:53:11:706,1,249,1,0,220,1964,249,0 32,0,2024-09-07 08:53:11:442,63878,0.4,64430,0.5,128572,0.3,171541,1.75 32,1,2024-09-07 08:53:10:810,439647,439647,0,0,205384077275,2130767256,437690,1678,279,381,391622,0 32,2,2024-09-07 08:53:10:935,316986,316986,0,0,11469401,0,3155 32,3,2024-09-07 08:53:11:020,1,249,18,0,227,2026,249,0 33,0,2024-09-07 08:53:11:511,61728,0.3,61018,0.5,122761,0.2,163489,1.75 33,1,2024-09-07 08:53:10:575,440028,440028,0,0,206234227238,2130665549,437448,2406,174,369,391730,0 33,2,2024-09-07 08:53:10:766,317311,317278,33,0,13220317,0,7012 33,3,2024-09-07 08:53:10:896,1,249,1,0,329,2903,249,0 34,0,2024-09-07 08:53:10:930,61322,0.3,63108,0.5,121101,0.2,162240,1.50 34,1,2024-09-07 08:53:11:044,440436,440436,0,0,206313116833,2121865293,439340,1089,7,367,391562,0 34,2,2024-09-07 08:53:10:769,315178,315178,0,0,12472409,0,3577 34,3,2024-09-07 08:53:11:688,1,249,1,0,299,2042,249,0 35,0,2024-09-07 08:53:10:862,60869,0.3,61251,0.5,123065,0.3,163297,1.75 35,1,2024-09-07 08:53:11:067,439496,439496,0,0,204975380808,2126561981,436150,2566,780,384,391589,0 35,2,2024-09-07 08:53:11:591,313216,313216,0,0,11946751,0,2653 35,3,2024-09-07 08:53:10:907,1,249,0,0,418,2982,249,0 36,0,2024-09-07 08:53:11:552,63705,0.6,63626,0.8,127485,0.6,169913,2.25 36,1,2024-09-07 08:53:10:588,438610,438610,0,0,205325964601,2148496055,432628,4403,1579,366,391759,0 36,2,2024-09-07 08:53:11:752,315536,315536,0,0,14089025,0,3875 36,3,2024-09-07 08:53:10:863,1,249,1,0,416,4037,249,0 37,0,2024-09-07 08:53:11:383,60806,0.6,60797,0.7,121779,0.5,162981,2.00 37,1,2024-09-07 08:53:10:570,437823,437816,0,7,204417526978,2143282470,431808,3941,2067,365,391560,0 37,2,2024-09-07 08:53:11:146,315227,315212,15,0,14407122,0,5815 37,3,2024-09-07 08:53:11:774,1,249,10,0,888,4432,249,0 38,0,2024-09-07 08:53:11:436,61748,0.5,60064,0.7,125743,0.4,164179,2.00 38,1,2024-09-07 08:53:11:611,438881,438881,0,0,205046832026,2141388339,433610,4596,675,368,391821,0 38,2,2024-09-07 08:53:10:768,313823,313776,47,0,14758741,0,6710 38,3,2024-09-07 08:53:10:998,1,249,1,0,689,3595,249,0 39,0,2024-09-07 08:53:11:762,63926,0.6,62839,0.7,121824,0.5,166866,2.00 39,1,2024-09-07 08:53:10:723,438626,438626,0,0,204988926564,2140883908,433066,4658,902,365,391594,0 39,2,2024-09-07 08:53:11:422,314486,314486,0,0,12798038,0,2689 39,3,2024-09-07 08:53:10:713,1,249,1,0,324,3419,249,0 40,0,2024-09-07 08:53:11:498,62567,0.7,63112,0.9,126259,0.7,168472,2.75 40,1,2024-09-07 08:53:10:584,438248,438248,0,0,203589599879,2135913964,431109,5696,1443,368,391591,0 40,2,2024-09-07 08:53:11:304,314217,314216,1,0,15909531,0,5137 40,3,2024-09-07 08:53:11:151,1,249,1,0,398,3140,249,0 41,0,2024-09-07 08:53:11:025,62605,2.0,64093,1.4,122251,3.1,167422,3.75 41,1,2024-09-07 08:53:10:771,438179,438179,0,0,205043971156,2144980657,432643,4902,634,370,391742,0 41,2,2024-09-07 08:53:10:766,313982,313982,0,0,15109181,0,3356 41,3,2024-09-07 08:53:11:684,1,249,30,0,366,2907,249,0 42,0,2024-09-07 08:53:11:477,62073,0.9,62374,1.0,124887,1.0,164980,2.75 42,1,2024-09-07 08:53:11:447,436813,436813,0,0,204319276179,2149400373,429529,5832,1452,380,391675,0 42,2,2024-09-07 08:53:11:135,315442,315442,0,0,14503412,0,3568 42,3,2024-09-07 08:53:11:013,1,249,230,0,446,2327,249,0 43,0,2024-09-07 08:53:10:919,61387,0.7,59916,0.9,125024,0.7,164329,2.25 43,1,2024-09-07 08:53:10:580,437859,437859,0,0,205101179139,2144480061,431596,4934,1329,366,391696,0 43,2,2024-09-07 08:53:11:750,315768,315768,0,0,13866687,0,3812 43,3,2024-09-07 08:53:11:751,1,249,2,0,467,3068,249,0 44,0,2024-09-07 08:53:10:913,62533,0.4,62996,0.6,125597,0.4,167608,1.75 44,1,2024-09-07 08:53:10:563,439850,439850,0,0,205136694794,2117265242,437294,2124,432,356,391809,0 44,2,2024-09-07 08:53:11:275,311563,311563,0,0,11550616,0,2231 44,3,2024-09-07 08:53:11:099,1,249,0,0,817,2940,249,0 45,0,2024-09-07 08:53:11:763,62498,0.6,61117,0.8,128272,0.7,168978,2.00 45,1,2024-09-07 08:53:11:016,439348,439348,0,0,206257443986,2136637024,437698,1638,12,382,391917,0 45,2,2024-09-07 08:53:11:271,316775,316775,0,0,12291627,0,3596 45,3,2024-09-07 08:53:10:934,1,249,0,0,271,2679,249,0 46,0,2024-09-07 08:53:10:950,61221,0.6,61045,0.8,122369,0.6,162238,2.00 46,1,2024-09-07 08:53:10:575,440595,440595,0,0,205395238761,2120019347,438249,2072,274,366,391539,0 46,2,2024-09-07 08:53:10:608,317076,317076,0,0,11851854,0,2920 46,3,2024-09-07 08:53:11:135,1,249,1,0,908,3774,249,0 47,0,2024-09-07 08:53:11:122,61637,0.4,61502,0.6,123656,0.4,163374,1.75 47,1,2024-09-07 08:53:10:576,440331,440331,0,0,205357282244,2119252598,438009,2188,134,366,391605,0 47,2,2024-09-07 08:53:10:909,317325,317325,0,0,11923923,0,2558 47,3,2024-09-07 08:53:11:116,1,249,2,0,529,2266,249,0 48,0,2024-09-07 08:53:11:500,61598,0.3,61210,0.4,121914,0.2,163105,1.50 48,1,2024-09-07 08:53:11:022,439520,439520,0,0,204967239122,2129552591,436413,2808,299,384,391710,0 48,2,2024-09-07 08:53:10:706,311694,311694,0,0,11517844,0,3031 48,3,2024-09-07 08:53:10:755,1,249,1,0,339,2092,249,0 49,0,2024-09-07 08:53:11:726,65549,0.4,64493,0.5,124707,0.3,171355,1.75 49,1,2024-09-07 08:53:11:025,438561,438561,0,0,205573336951,2143076524,434704,2676,1181,382,391809,0 49,2,2024-09-07 08:53:11:800,317724,317724,0,0,12151909,0,3900 49,3,2024-09-07 08:53:11:431,1,249,1,0,408,2777,249,0 50,0,2024-09-07 08:53:11:528,61103,0.3,60509,0.5,121856,0.2,162403,1.75 50,1,2024-09-07 08:53:11:013,440359,440359,0,0,205960791915,2130590748,437521,2528,310,368,391530,0 50,2,2024-09-07 08:53:11:071,316680,316680,0,0,11442139,0,2263 50,3,2024-09-07 08:53:11:301,1,249,1,0,335,2059,249,0 51,0,2024-09-07 08:53:11:692,63275,0.3,61862,0.5,120562,0.2,164248,1.75 51,1,2024-09-07 08:53:11:680,440358,440358,0,0,206735132171,2134114645,437562,1857,939,365,391706,0 51,2,2024-09-07 08:53:11:322,314594,314594,0,0,10845385,0,3337 51,3,2024-09-07 08:53:11:027,1,249,1,0,678,2017,249,0 52,0,2024-09-07 08:53:11:446,62911,0.4,62444,0.6,124846,0.4,165534,2.00 52,1,2024-09-07 08:53:10:574,438353,438353,0,0,204477269543,2145642971,431196,5903,1254,368,391722,0 52,2,2024-09-07 08:53:11:759,312021,311983,38,0,14961558,0,6742 52,3,2024-09-07 08:53:10:674,1,249,0,0,1782,4523,249,0 53,0,2024-09-07 08:53:11:734,62659,0.7,60921,0.8,127575,0.8,167648,2.50 53,1,2024-09-07 08:53:10:772,437181,437181,0,0,204386852325,2148111891,429855,4970,2356,367,391702,0 53,2,2024-09-07 08:53:11:298,315659,315659,0,0,12473656,0,2727 53,3,2024-09-07 08:53:10:705,1,249,1,0,271,2300,249,0 54,0,2024-09-07 08:53:11:619,61577,0.8,62119,0.9,123083,0.5,164571,2.75 54,1,2024-09-07 08:53:10:580,438505,438505,0,0,205725657656,2140703892,433769,4139,597,367,391659,0 54,2,2024-09-07 08:53:10:865,315305,315299,6,0,13857439,0,5382 54,3,2024-09-07 08:53:10:768,1,249,1,0,676,3904,249,0 55,0,2024-09-07 08:53:11:772,60491,0.6,62569,0.8,125992,0.6,164424,2.50 55,1,2024-09-07 08:53:10:769,437951,437951,0,0,204966627929,2135608641,432401,4763,787,365,391731,0 55,2,2024-09-07 08:53:10:739,314100,314100,0,0,14001780,0,3275 55,3,2024-09-07 08:53:10:674,1,249,1,0,304,2882,249,0 56,0,2024-09-07 08:53:11:555,63261,1.0,59717,1.0,122762,1.3,164648,2.50 56,1,2024-09-07 08:53:10:572,436375,436375,0,0,204557733778,2164183957,429134,5803,1438,381,391678,0 56,2,2024-09-07 08:53:11:303,315244,315244,0,0,15049969,0,3567 56,3,2024-09-07 08:53:11:063,1,249,4,0,705,3686,249,0 57,0,2024-09-07 08:53:10:944,62259,1.5,62409,1.2,124809,2.1,167065,3.00 57,1,2024-09-07 08:53:11:074,437771,437771,0,0,204516122988,2143757518,432450,4651,670,367,391960,0 57,2,2024-09-07 08:53:11:327,313426,313426,0,0,16133403,0,3317 57,3,2024-09-07 08:53:11:744,1,249,1,0,359,3367,249,0 58,0,2024-09-07 08:53:10:558,61831,0.9,60099,1.0,125824,1.0,165456,2.50 58,1,2024-09-07 08:53:10:575,438656,438653,0,3,206195178509,2155185432,432922,5021,710,367,391545,3 58,2,2024-09-07 08:53:11:071,315674,315674,0,0,14442787,0,2549 58,3,2024-09-07 08:53:11:068,1,249,1,0,1043,2861,249,0 59,0,2024-09-07 08:53:11:764,62050,0.8,61888,1.0,123409,0.9,164267,3.00 59,1,2024-09-07 08:53:10:811,438422,438422,0,0,205242537621,2148503026,432634,4523,1265,369,391525,0 59,2,2024-09-07 08:53:10:584,317112,317112,0,0,13193963,0,2604 59,3,2024-09-07 08:53:11:737,1,249,1,0,1015,3521,249,0 60,0,2024-09-07 08:53:11:705,61506,0.4,61157,0.6,123439,0.4,163423,1.75 60,1,2024-09-07 08:53:10:779,439764,439764,0,0,205685515991,2132444749,437320,1927,517,370,392031,0 60,2,2024-09-07 08:53:11:146,315727,315727,0,0,14239621,0,3811 60,3,2024-09-07 08:53:11:273,1,249,1,0,124,2426,249,0 61,0,2024-09-07 08:53:11:500,62077,0.6,62330,0.8,124220,0.6,165850,2.00 61,1,2024-09-07 08:53:10:770,438475,438475,0,0,204972843207,2144555191,433905,3867,703,382,391880,0 61,2,2024-09-07 08:53:11:116,312341,312341,0,0,12479493,0,2780 61,3,2024-09-07 08:53:11:687,1,249,5,0,397,3341,249,0 62,0,2024-09-07 08:53:11:716,64645,0.6,65852,0.8,125596,0.6,171231,2.00 62,1,2024-09-07 08:53:11:121,440920,440914,0,6,206055133638,2125109272,438453,2343,118,365,391715,6 62,2,2024-09-07 08:53:11:644,315617,315616,1,0,13870894,0,5555 62,3,2024-09-07 08:53:11:151,1,249,1,0,287,1784,249,0 63,0,2024-09-07 08:53:11:454,61189,0.5,61360,0.6,122910,0.5,163067,1.75 63,1,2024-09-07 08:53:10:816,440241,440235,0,6,205277546078,2125752827,438660,1525,50,381,391677,6 63,2,2024-09-07 08:53:10:771,316822,316822,0,0,11639542,0,2674 63,3,2024-09-07 08:53:11:734,1,249,0,0,667,2863,249,0 64,0,2024-09-07 08:53:11:533,60860,0.4,60919,0.6,121979,0.4,162133,1.75 64,1,2024-09-07 08:53:10:754,438890,438890,0,0,205248085536,2141166061,434403,3073,1414,370,391783,0 64,2,2024-09-07 08:53:11:148,317602,317583,19,0,12576554,0,6121 64,3,2024-09-07 08:53:11:146,1,249,1,0,265,2539,249,0 65,0,2024-09-07 08:53:11:685,60819,0.6,61211,0.7,122098,0.6,162209,2.00 65,1,2024-09-07 08:53:10:875,437960,437960,0,0,204105351862,2135612843,434407,3109,444,382,391901,0 65,2,2024-09-07 08:53:11:693,312911,312911,0,0,13255112,0,3367 65,3,2024-09-07 08:53:11:686,1,249,1,0,163,2341,249,0 66,0,2024-09-07 08:53:11:767,63359,0.5,63178,0.7,127026,0.4,169078,2.00 66,1,2024-09-07 08:53:11:302,439637,439637,0,0,205364957657,2133934614,437063,2373,201,380,391653,0 66,2,2024-09-07 08:53:11:136,318263,318263,0,0,12993886,0,4956 66,3,2024-09-07 08:53:11:079,1,249,1,0,291,2620,249,0 67,0,2024-09-07 08:53:11:451,61560,0.6,61059,0.8,122889,0.7,163071,2.00 67,1,2024-09-07 08:53:10:766,438436,438435,0,1,205613642886,2144657697,434591,3097,747,380,391787,1 67,2,2024-09-07 08:53:10:590,317093,317093,0,0,12049681,0,2889 67,3,2024-09-07 08:53:11:751,1,249,1,0,338,2328,249,0 68,0,2024-09-07 08:53:10:570,62512,0.6,62271,0.8,124181,0.6,165954,2.00 68,1,2024-09-07 08:53:10:575,437684,437684,0,0,203962914770,2139054591,433505,3009,1170,381,391953,0 68,2,2024-09-07 08:53:11:044,312943,312878,65,0,15826966,0,6698 68,3,2024-09-07 08:53:10:735,1,249,1,0,417,2833,249,0 69,0,2024-09-07 08:53:11:735,62182,0.7,62513,0.8,124722,0.7,165900,2.25 69,1,2024-09-07 08:53:11:021,436694,436694,0,0,203757292493,2150951240,430993,4299,1402,384,391994,0 69,2,2024-09-07 08:53:11:746,314448,314448,0,0,15622552,0,3722 69,3,2024-09-07 08:53:10:760,1,249,1,0,698,3622,249,0 70,0,2024-09-07 08:53:11:542,62925,0.8,62815,1.0,126198,0.6,168092,2.25 70,1,2024-09-07 08:53:10:805,439006,439006,0,0,206248037161,2142026327,435342,3136,528,366,391725,0 70,2,2024-09-07 08:53:11:332,314197,314197,0,0,14280417,0,4044 70,3,2024-09-07 08:53:10:746,1,249,1,0,854,3008,249,0 71,0,2024-09-07 08:53:11:361,62683,1.2,62540,1.2,125424,1.6,168057,3.00 71,1,2024-09-07 08:53:11:597,438328,438328,0,0,205009791732,2139782330,433174,4533,621,368,391738,0 71,2,2024-09-07 08:53:11:071,315305,315305,0,0,14659595,0,4042 71,3,2024-09-07 08:53:11:751,1,249,2,0,644,3662,249,0 72,0,2024-09-07 08:53:11:041,64999,0.8,63518,0.9,123904,0.9,168745,2.25 72,1,2024-09-07 08:53:11:034,438169,438169,0,0,204928985319,2140182272,433111,4127,931,369,391819,0 72,2,2024-09-07 08:53:11:756,314490,314490,0,0,16206120,0,3983 72,3,2024-09-07 08:53:11:755,1,249,6,0,564,4475,249,0 73,0,2024-09-07 08:53:11:137,60219,0.4,61587,0.6,126381,0.3,163992,2.00 73,1,2024-09-07 08:53:10:779,438816,438816,0,0,205360349171,2134408125,435729,2852,235,367,391750,0 73,2,2024-09-07 08:53:11:749,315716,315716,0,0,15522565,0,3701 73,3,2024-09-07 08:53:10:985,1,249,25,0,274,3710,249,0 74,0,2024-09-07 08:53:11:329,63307,0.5,64596,0.7,123218,0.4,167591,2.25 74,1,2024-09-07 08:53:10:641,438276,438276,0,0,204093596643,2131950845,433876,3601,799,381,391681,0 74,2,2024-09-07 08:53:11:003,312005,312005,0,0,14787127,0,4253 74,3,2024-09-07 08:53:11:446,1,249,18,0,522,3384,249,0 75,0,2024-09-07 08:53:11:767,63669,0.6,63316,0.8,127157,0.5,169883,2.25 75,1,2024-09-07 08:53:11:585,437554,437554,0,0,204576597797,2139637225,432625,4265,664,380,391660,0 75,2,2024-09-07 08:53:11:353,315236,315236,0,0,15364979,0,4766 75,3,2024-09-07 08:53:11:069,1,249,1,0,702,3660,249,0 76,0,2024-09-07 08:53:10:591,61278,0.8,60645,0.9,121523,0.8,163133,2.50 76,1,2024-09-07 08:53:10:814,438547,438547,0,0,204564077075,2133746930,435483,2515,549,382,391692,0 76,2,2024-09-07 08:53:11:068,318072,318072,0,0,12520066,0,3784 76,3,2024-09-07 08:53:11:145,1,249,1,0,175,2600,249,0 77,0,2024-09-07 08:53:11:749,61349,0.5,61667,0.7,122960,0.5,163156,1.75 77,1,2024-09-07 08:53:10:832,439023,439023,0,0,205305218164,2143319430,435574,3192,257,381,391808,0 77,2,2024-09-07 08:53:11:298,315082,315082,0,0,13113163,0,3890 77,3,2024-09-07 08:53:11:102,1,249,1,0,382,2830,249,0 78,0,2024-09-07 08:53:11:718,61374,0.4,60821,0.6,122562,0.4,162316,2.00 78,1,2024-09-07 08:53:10:616,439384,439384,0,0,204768499457,2124810026,436387,2678,319,367,391670,0 78,2,2024-09-07 08:53:11:430,312206,312206,0,0,11680685,0,3855 78,3,2024-09-07 08:53:11:135,1,249,4,0,181,2434,249,0 79,0,2024-09-07 08:53:11:348,61818,0.4,63438,0.6,129669,0.4,168987,2.25 79,1,2024-09-07 08:53:10:575,440375,440375,0,0,205871318642,2129666884,437531,2508,336,369,391682,0 79,2,2024-09-07 08:53:11:071,317699,317699,0,0,11882206,0,3212 79,3,2024-09-07 08:53:10:749,1,249,1,0,418,3691,249,0 80,0,2024-09-07 08:53:11:167,60932,0.6,62737,0.7,120145,0.7,162165,2.00 80,1,2024-09-07 08:53:11:624,438572,438572,0,0,205115127463,2135056294,435606,2797,169,368,391791,0 80,2,2024-09-07 08:53:11:102,316834,316834,0,0,12365528,0,4433 80,3,2024-09-07 08:53:10:575,1,249,1,0,190,3403,249,0 81,0,2024-09-07 08:53:11:551,61635,0.5,63156,0.6,120898,0.4,163319,1.75 81,1,2024-09-07 08:53:11:650,437703,437703,0,0,204435439914,2137129697,433863,3378,462,382,391879,0 81,2,2024-09-07 08:53:11:146,314361,314361,0,0,12698492,0,3993 81,3,2024-09-07 08:53:11:144,1,249,1,0,374,3002,249,0 82,0,2024-09-07 08:53:11:543,61925,0.4,62460,0.6,124963,0.3,165643,1.75 82,1,2024-09-07 08:53:10:589,439014,439010,0,4,204955066927,2135086449,435789,2610,611,381,391558,4 82,2,2024-09-07 08:53:11:691,314828,314828,0,0,11990069,0,3986 82,3,2024-09-07 08:53:11:752,1,249,1,0,363,2803,249,0 83,0,2024-09-07 08:53:11:529,63337,0.5,63334,0.7,125842,0.5,168146,2.00 83,1,2024-09-07 08:53:10:560,438131,438131,0,0,205112424660,2138931865,434958,2929,244,382,391690,0 83,2,2024-09-07 08:53:10:767,315490,315490,0,0,12044722,0,3119 83,3,2024-09-07 08:53:10:748,1,249,1,0,250,2662,249,0 84,0,2024-09-07 08:53:11:807,62251,0.9,61968,0.9,124134,0.8,166087,2.25 84,1,2024-09-07 08:53:11:044,437470,437470,0,0,204880399551,2142796514,432727,4167,576,368,391967,0 84,2,2024-09-07 08:53:10:573,315263,315263,0,0,14074292,0,3801 84,3,2024-09-07 08:53:11:143,1,249,1,0,908,3948,249,0 85,0,2024-09-07 08:53:11:029,60595,0.7,60510,0.9,128257,0.7,165867,2.50 85,1,2024-09-07 08:53:10:568,437181,437181,0,0,204498389566,2161365094,430344,5731,1106,382,392006,0 85,2,2024-09-07 08:53:10:877,315985,315985,0,0,14871457,0,3656 85,3,2024-09-07 08:53:10:686,1,249,1,0,789,3472,249,0 86,0,2024-09-07 08:53:10:883,61867,0.6,63622,0.7,121695,0.6,164480,2.00 86,1,2024-09-07 08:53:10:832,438675,438675,0,0,205043894758,2144782109,433693,4257,725,366,391961,0 86,2,2024-09-07 08:53:10:856,314246,314245,1,0,15693063,0,5004 86,3,2024-09-07 08:53:10:589,1,249,12,0,286,3354,249,0 87,0,2024-09-07 08:53:11:311,62896,0.9,62686,0.9,125928,1.2,168616,2.25 87,1,2024-09-07 08:53:10:551,438375,438375,0,0,204478318124,2139211629,433900,3991,484,366,391788,0 87,2,2024-09-07 08:53:11:067,312222,312222,0,0,13979169,0,4045 87,3,2024-09-07 08:53:11:793,1,249,0,0,473,3786,249,0 88,0,2024-09-07 08:53:11:548,63035,0.5,63330,0.6,126396,0.5,168774,1.75 88,1,2024-09-07 08:53:10:571,436842,436842,0,0,204897008023,2150563767,430664,4666,1512,365,392084,0 88,2,2024-09-07 08:53:10:728,315781,315781,0,0,15916607,0,3583 88,3,2024-09-07 08:53:11:269,1,249,4,0,435,3071,249,0 89,0,2024-09-07 08:53:11:871,64249,0.5,62052,0.7,123279,0.5,167527,2.00 89,1,2024-09-07 08:53:10:551,436314,436314,0,0,204434794928,2157205715,429397,5695,1222,382,391866,0 89,2,2024-09-07 08:53:11:135,315257,315257,0,0,15205483,0,2910 89,3,2024-09-07 08:53:11:792,1,249,24,0,325,4843,249,0 90,0,2024-09-07 08:53:11:618,59681,0.4,61000,0.6,124952,0.4,162564,1.75 90,1,2024-09-07 08:53:10:594,438328,438328,0,0,204243466559,2140975632,434196,3854,278,381,391825,0 90,2,2024-09-07 08:53:11:418,314250,314250,0,0,16454643,0,3060 90,3,2024-09-07 08:53:10:930,1,249,2,0,200,2590,249,0 91,0,2024-09-07 08:53:10:933,62317,0.4,60378,0.6,126125,0.4,165880,1.75 91,1,2024-09-07 08:53:10:560,437402,437402,0,0,204491199317,2150874704,431536,5172,694,381,392047,0 91,2,2024-09-07 08:53:11:338,311012,311012,0,0,14587517,0,2896 91,3,2024-09-07 08:53:10:601,1,249,1,0,216,2380,249,0 92,0,2024-09-07 08:53:11:447,64662,0.5,66182,0.7,126367,0.5,171534,1.75 92,1,2024-09-07 08:53:10:584,438238,438238,0,0,204713949197,2134273981,435682,2150,406,382,392136,0 92,2,2024-09-07 08:53:11:368,318195,318195,0,0,12295920,0,2801 92,3,2024-09-07 08:53:11:013,1,249,10,0,167,2026,249,0 93,0,2024-09-07 08:53:10:970,61500,0.4,63163,0.6,120661,0.4,163531,1.75 93,1,2024-09-07 08:53:10:824,438448,438448,0,0,204949017032,2138818896,433972,3690,786,366,391692,0 93,2,2024-09-07 08:53:10:938,317201,317201,0,0,13086907,0,2797 93,3,2024-09-07 08:53:11:436,1,249,2,0,190,2472,249,0 94,0,2024-09-07 08:53:11:603,61055,0.4,61862,0.5,123206,0.3,162884,1.75 94,1,2024-09-07 08:53:10:566,438309,438309,0,0,205452956540,2145643604,435018,3113,178,381,391850,0 94,2,2024-09-07 08:53:10:769,314611,314611,0,0,12131973,0,2443 94,3,2024-09-07 08:53:11:692,1,249,1,0,264,3279,249,0 95,0,2024-09-07 08:53:11:375,61586,0.3,61168,0.5,123414,0.3,163101,1.75 95,1,2024-09-07 08:53:10:865,439190,439190,0,0,205779099535,2138924835,435625,3189,376,367,391713,0 95,2,2024-09-07 08:53:11:025,312161,312161,0,0,12439488,0,3308 95,3,2024-09-07 08:53:11:709,1,249,1,0,718,4515,249,0 96,0,2024-09-07 08:53:11:032,63730,0.4,63994,0.5,127630,0.4,169398,1.75 96,1,2024-09-07 08:53:11:592,438043,438043,0,0,205303116130,2143859122,434466,2844,733,384,391894,0 96,2,2024-09-07 08:53:11:270,316547,316547,0,0,13165633,0,4042 96,3,2024-09-07 08:53:11:143,1,249,3,0,411,2901,249,0 97,0,2024-09-07 08:53:11:346,61387,0.4,61208,0.5,122911,0.3,162939,1.75 97,1,2024-09-07 08:53:10:770,439477,439477,0,0,206419579186,2140848563,436439,2482,556,367,392140,0 97,2,2024-09-07 08:53:10:608,316315,316315,0,0,12405066,0,3036 97,3,2024-09-07 08:53:10:583,1,249,12,0,214,2918,249,0 98,0,2024-09-07 08:53:11:713,62342,0.3,62412,0.4,125337,0.2,166038,1.50 98,1,2024-09-07 08:53:10:571,439290,439290,0,0,204954943297,2133394002,437146,2044,100,382,391997,0 98,2,2024-09-07 08:53:10:770,315044,315044,0,0,12472745,0,3080 98,3,2024-09-07 08:53:10:705,1,249,0,0,840,4562,249,0 99,0,2024-09-07 08:53:11:444,62574,0.3,62748,0.4,125199,0.2,167093,1.50 99,1,2024-09-07 08:53:11:722,438783,438783,0,0,204810917589,2135567473,434996,3047,740,381,391744,0 99,2,2024-09-07 08:53:11:423,317286,317286,0,0,13207500,0,3106 99,3,2024-09-07 08:53:10:581,1,249,1,0,168,1926,249,0 100,0,2024-09-07 08:53:11:530,63164,0.7,63080,0.9,125960,0.9,168572,2.25 100,1,2024-09-07 08:53:10:547,436033,436033,0,0,203434477823,2152288772,429190,5526,1317,381,391989,0 100,2,2024-09-07 08:53:11:816,312657,312646,11,0,14806962,0,5417 100,3,2024-09-07 08:53:11:736,1,249,2,0,559,4901,249,0 101,0,2024-09-07 08:53:11:703,64493,1.7,62738,1.2,123327,2.1,169663,2.50 101,1,2024-09-07 08:53:10:552,436292,436292,0,0,203476646878,2146478918,428942,5579,1771,368,391769,0 101,2,2024-09-07 08:53:11:756,314512,314512,0,0,16026362,0,4644 101,3,2024-09-07 08:53:10:942,1,249,3,0,448,3146,249,0 102,0,2024-09-07 08:53:10:947,61400,0.7,63649,0.8,127873,0.7,167938,2.00 102,1,2024-09-07 08:53:11:158,437521,437521,0,0,205085686936,2155314164,431866,4814,841,369,391883,0 102,2,2024-09-07 08:53:11:737,316000,315946,54,0,14692287,0,6768 102,3,2024-09-07 08:53:11:613,1,249,2,0,410,2727,249,0 103,0,2024-09-07 08:53:11:603,63640,0.5,63590,0.6,119961,0.4,165187,1.75 103,1,2024-09-07 08:53:11:630,436655,436655,0,0,204463817302,2155544717,430363,4911,1381,381,391829,0 103,2,2024-09-07 08:53:10:583,314734,314734,0,0,13844116,0,2582 103,3,2024-09-07 08:53:10:755,1,249,1,0,916,3572,249,0 104,0,2024-09-07 08:53:11:064,62180,0.8,62660,1.0,123929,0.7,167526,2.25 104,1,2024-09-07 08:53:11:608,438039,438039,0,0,204270918129,2149280127,431366,5578,1095,365,391948,0 104,2,2024-09-07 08:53:11:675,310696,310696,0,0,15421147,0,3941 104,3,2024-09-07 08:53:11:431,1,249,8,0,1245,6403,249,0 105,0,2024-09-07 08:53:11:144,62919,1.3,61094,1.3,127574,2.0,168550,3.50 105,1,2024-09-07 08:53:10:586,438023,438023,0,0,204876858313,2151505068,431710,5334,979,366,391797,0 105,2,2024-09-07 08:53:11:335,315467,315467,0,0,14912658,0,3509 105,3,2024-09-07 08:53:11:322,1,249,2,0,399,4264,249,0 106,0,2024-09-07 08:53:11:017,59269,1.0,60714,1.1,124418,1.2,162779,2.75 106,1,2024-09-07 08:53:11:754,437921,437921,0,0,204605579922,2151694174,430815,6347,759,369,391767,0 106,2,2024-09-07 08:53:10:761,314880,314880,0,0,14520598,0,2795 106,3,2024-09-07 08:53:10:687,1,249,2,0,470,3608,249,0 107,0,2024-09-07 08:53:11:100,61201,0.8,61203,0.9,122273,0.8,162843,2.00 107,1,2024-09-07 08:53:10:597,436605,436605,0,0,204013640045,2147571752,431283,4774,548,381,392234,0 107,2,2024-09-07 08:53:11:297,314657,314656,1,0,15135972,0,5024 107,3,2024-09-07 08:53:11:755,1,249,2,0,353,3421,249,0 108,0,2024-09-07 08:53:11:828,61250,0.4,61470,0.6,121857,0.3,163095,1.75 108,1,2024-09-07 08:53:11:320,438361,438361,0,0,205086541630,2136002426,435156,2821,384,368,391857,0 108,2,2024-09-07 08:53:11:754,310803,310803,0,0,13313833,0,2647 108,3,2024-09-07 08:53:11:334,1,249,9,0,749,4845,249,0 109,0,2024-09-07 08:53:11:761,64276,0.4,63556,0.6,127490,0.3,171121,1.75 109,1,2024-09-07 08:53:10:583,436872,436872,0,0,204876454297,2147859536,433080,3276,516,383,392132,0 109,2,2024-09-07 08:53:10:929,315835,315835,0,0,13864380,0,3617 109,3,2024-09-07 08:53:11:146,1,249,1,0,249,2943,249,0 110,0,2024-09-07 08:53:11:769,61157,0.4,59563,0.6,124524,0.4,162825,1.75 110,1,2024-09-07 08:53:11:663,439429,439429,0,0,205840756715,2136851697,436286,2266,877,370,392045,0 110,2,2024-09-07 08:53:11:308,316657,316657,0,0,13114281,0,3264 110,3,2024-09-07 08:53:10:700,1,249,11,0,406,3263,249,0 111,0,2024-09-07 08:53:11:444,62063,0.4,61645,0.5,123038,0.3,164631,1.75 111,1,2024-09-07 08:53:11:003,439612,439612,0,0,205796548903,2132498191,437620,1656,336,382,391690,0 111,2,2024-09-07 08:53:11:117,314400,314400,0,0,12603128,0,2763 111,3,2024-09-07 08:53:10:913,1,249,1,0,379,3365,249,0 112,0,2024-09-07 08:53:10:961,62468,0.3,62468,0.4,124980,0.2,165729,1.50 112,1,2024-09-07 08:53:10:824,439820,439820,0,0,204960634240,2125738037,437401,1991,428,380,391580,0 112,2,2024-09-07 08:53:11:133,313935,313934,1,0,12893026,0,5036 112,3,2024-09-07 08:53:10:606,1,249,0,0,282,2689,249,0 113,0,2024-09-07 08:53:10:866,63048,0.3,62945,0.5,126594,0.2,169124,1.50 113,1,2024-09-07 08:53:11:688,440519,440519,0,0,206190709670,2128208593,438021,2009,489,366,391661,0 113,2,2024-09-07 08:53:11:304,316544,316544,0,0,11717930,0,3813 113,3,2024-09-07 08:53:10:688,1,249,3,0,340,3594,249,0 114,0,2024-09-07 08:53:10:880,62997,0.4,63530,0.5,125896,0.3,168054,1.75 114,1,2024-09-07 08:53:10:724,438666,438666,0,0,205179209361,2138070336,433858,3219,1589,381,391556,0 114,2,2024-09-07 08:53:10:874,315543,315542,1,0,12814512,0,5069 114,3,2024-09-07 08:53:11:289,1,249,1,0,395,2681,249,0 115,0,2024-09-07 08:53:10:555,62825,0.3,63091,0.4,126288,0.2,167918,1.50 115,1,2024-09-07 08:53:10:571,438618,438618,0,0,205233670802,2135389211,434258,3526,834,382,391602,0 115,2,2024-09-07 08:53:11:125,315915,315915,0,0,11155414,0,2152 115,3,2024-09-07 08:53:11:002,1,249,1,0,159,1370,249,0 116,0,2024-09-07 08:53:11:701,61759,0.6,61629,0.8,123390,0.6,165102,2.00 116,1,2024-09-07 08:53:10:820,436115,436115,0,0,204128826100,2160387370,429715,4345,2055,380,391782,0 116,2,2024-09-07 08:53:11:763,314792,314792,0,0,16065859,0,3529 116,3,2024-09-07 08:53:10:913,1,249,3,0,415,3616,249,0 117,0,2024-09-07 08:53:10:959,63082,0.8,62836,0.9,125919,0.8,168748,2.00 117,1,2024-09-07 08:53:11:582,437869,437869,0,0,203958204947,2135584908,433168,4221,480,370,392033,0 117,2,2024-09-07 08:53:11:124,314766,314766,0,0,13195592,0,3700 117,3,2024-09-07 08:53:11:059,1,249,1,0,490,4004,249,0 118,0,2024-09-07 08:53:11:779,61284,0.6,62923,0.8,128335,0.6,168040,2.00 118,1,2024-09-07 08:53:10:591,437561,437561,0,0,204148367195,2147558581,431193,4935,1433,366,391736,0 118,2,2024-09-07 08:53:11:588,314829,314829,0,0,14435179,0,2781 118,3,2024-09-07 08:53:11:768,1,249,1,0,235,2885,249,0 119,0,2024-09-07 08:53:11:342,61978,0.9,62433,0.9,125015,1.2,166458,2.25 119,1,2024-09-07 08:53:10:548,438819,438819,0,0,205178511274,2144158983,434163,4134,522,367,391641,0 119,2,2024-09-07 08:53:11:270,316375,316375,0,0,13668471,0,4174 119,3,2024-09-07 08:53:11:325,1,249,0,0,443,3472,249,0 120,0,2024-09-07 08:53:11:553,61207,0.5,60890,0.8,122160,0.5,163049,2.00 120,1,2024-09-07 08:53:10:866,438190,438190,0,0,204690611192,2147578068,433503,4336,351,368,391961,0 120,2,2024-09-07 08:53:10:776,314908,314907,1,0,16190425,0,5281 120,3,2024-09-07 08:53:11:297,1,249,32,0,241,3240,249,0 121,0,2024-09-07 08:53:11:698,62023,0.9,62171,0.9,124359,1.2,165708,2.00 121,1,2024-09-07 08:53:11:659,438162,438162,0,0,204503581447,2140993413,433564,4184,414,367,391840,0 121,2,2024-09-07 08:53:11:129,310313,310313,0,0,15380046,0,4127 121,3,2024-09-07 08:53:10:738,1,249,1,0,269,2866,249,0 122,0,2024-09-07 08:53:11:761,63760,0.9,62170,0.9,130471,1.0,171504,2.00 122,1,2024-09-07 08:53:10:866,436954,436954,0,0,204251226278,2144881773,430887,5251,816,366,392130,0 122,2,2024-09-07 08:53:11:323,315409,315336,73,0,17998838,0,5989 122,3,2024-09-07 08:53:10:594,1,249,1,0,411,4962,249,0 123,0,2024-09-07 08:53:10:984,61019,1.0,59680,0.9,124419,1.1,162924,2.25 123,1,2024-09-07 08:53:10:558,437557,437557,0,0,204972528072,2163398254,428886,6955,1716,369,391823,0 123,2,2024-09-07 08:53:11:038,314283,314282,1,0,14905132,0,5215 123,3,2024-09-07 08:53:11:132,1,249,1,0,168,3054,249,0 124,0,2024-09-07 08:53:10:935,63346,0.3,63297,0.5,119448,0.3,163620,1.75 124,1,2024-09-07 08:53:11:033,439526,439526,0,0,204605713801,2125532895,436683,2481,362,367,392178,0 124,2,2024-09-07 08:53:11:039,315009,315009,0,0,11922606,0,3101 124,3,2024-09-07 08:53:10:766,1,249,0,0,490,2764,249,0 125,0,2024-09-07 08:53:11:485,61523,0.3,61334,0.5,123135,0.3,163213,1.75 125,1,2024-09-07 08:53:10:860,438177,438177,0,0,205135721227,2139523186,435083,2700,394,383,391702,0 125,2,2024-09-07 08:53:11:135,313117,313117,0,0,12937065,0,4534 125,3,2024-09-07 08:53:11:134,1,249,3,0,709,3538,249,0 126,0,2024-09-07 08:53:11:432,63775,0.5,65522,0.6,125202,0.5,170331,1.75 126,1,2024-09-07 08:53:10:558,439813,439813,0,0,205105626674,2125528601,437131,2420,262,365,391987,0 126,2,2024-09-07 08:53:10:616,317702,317702,0,0,13263164,0,3186 126,3,2024-09-07 08:53:10:907,1,249,1,0,183,3296,249,0 127,0,2024-09-07 08:53:11:593,61307,0.4,61626,0.5,122644,0.4,163176,1.75 127,1,2024-09-07 08:53:10:571,439154,439154,0,0,205325818361,2127383289,436210,2791,153,365,391816,0 127,2,2024-09-07 08:53:10:640,316369,316369,0,0,11928184,0,2264 127,3,2024-09-07 08:53:11:274,1,249,1,0,968,2972,249,0 128,0,2024-09-07 08:53:11:543,62808,0.3,62775,0.4,125321,0.2,166415,1.50 128,1,2024-09-07 08:53:11:619,438600,438600,0,0,205607706108,2132200776,436427,2016,157,367,391798,0 128,2,2024-09-07 08:53:11:397,315026,315026,0,0,11884716,0,2434 128,3,2024-09-07 08:53:10:775,1,249,2,0,1082,5364,249,0 129,0,2024-09-07 08:53:11:040,63116,0.3,62795,0.4,125487,0.2,166777,1.50 129,1,2024-09-07 08:53:10:568,436875,436875,0,0,204286952661,2141648020,432720,3226,929,379,391835,0 129,2,2024-09-07 08:53:10:705,315857,315857,0,0,12861541,0,4031 129,3,2024-09-07 08:53:10:688,1,249,0,0,469,3316,249,0 130,0,2024-09-07 08:53:11:717,63705,0.5,63341,0.6,126842,0.5,169524,1.75 130,1,2024-09-07 08:53:10:609,439400,439400,0,0,205389013722,2136632549,436964,2358,78,381,391825,0 130,2,2024-09-07 08:53:11:124,315529,315529,0,0,12495496,0,4067 130,3,2024-09-07 08:53:11:327,1,249,0,0,450,3358,249,0 131,0,2024-09-07 08:53:11:926,63170,0.4,63516,0.5,128003,0.4,169375,1.75 131,1,2024-09-07 08:53:11:821,439288,439288,0,0,204622728815,2133436422,436240,2590,458,381,391865,0 131,2,2024-09-07 08:53:10:567,317009,317009,0,0,11440118,0,2415 131,3,2024-09-07 08:53:11:688,1,249,1,0,392,2550,249,0 132,0,2024-09-07 08:53:11:612,62685,0.5,63451,0.7,126598,0.5,168377,2.00 132,1,2024-09-07 08:53:10:584,436821,436821,0,0,204341184693,2155873274,429812,5755,1254,381,392097,0 132,2,2024-09-07 08:53:10:706,315707,315707,0,0,15375217,0,4606 132,3,2024-09-07 08:53:11:697,1,249,8,0,356,3546,249,0 133,0,2024-09-07 08:53:11:555,60272,0.4,61706,0.6,126110,0.3,164001,1.75 133,1,2024-09-07 08:53:10:639,437076,437076,0,0,204804830568,2156070555,431599,4810,667,383,391914,0 133,2,2024-09-07 08:53:11:091,314606,314606,0,0,16495823,0,4315 133,3,2024-09-07 08:53:11:305,1,249,3,0,276,2506,249,0 134,0,2024-09-07 08:53:10:948,62818,0.4,62676,0.6,125678,0.4,167636,2.00 134,1,2024-09-07 08:53:10:601,436791,436791,0,0,203858999365,2142534110,430337,4984,1470,366,391718,0 134,2,2024-09-07 08:53:11:758,311519,311519,0,0,13721604,0,3847 134,3,2024-09-07 08:53:10:748,1,249,15,0,739,3760,249,0 135,0,2024-09-07 08:53:11:118,61507,0.9,61445,1.0,130337,1.0,168530,2.25 135,1,2024-09-07 08:53:11:586,436628,436628,0,0,205172264550,2159531915,430433,5199,996,380,391805,0 135,2,2024-09-07 08:53:10:691,316182,316182,0,0,15261853,0,3981 135,3,2024-09-07 08:53:11:002,1,249,0,0,299,1850,249,0 136,0,2024-09-07 08:53:11:661,61827,0.6,61771,0.8,123430,0.7,164573,2.25 136,1,2024-09-07 08:53:11:457,437150,437150,0,0,204835203823,2152927069,431627,4939,584,382,391685,0 136,2,2024-09-07 08:53:11:150,316983,316983,0,0,14656597,0,3506 136,3,2024-09-07 08:53:11:115,1,249,150,0,150,2113,249,0 137,0,2024-09-07 08:53:11:324,63090,0.6,61358,0.7,121078,0.6,164070,2.00 137,1,2024-09-07 08:53:10:592,437328,437328,0,0,204551467893,2147614523,430383,5528,1417,366,391708,0 137,2,2024-09-07 08:53:11:705,314357,314357,0,0,16177428,0,3185 137,3,2024-09-07 08:53:10:774,1,249,3,0,382,3222,249,0 138,0,2024-09-07 08:53:11:755,60637,0.8,60711,0.9,122097,0.9,162484,2.00 138,1,2024-09-07 08:53:11:688,437098,437098,0,0,204651862796,2150490630,430132,5835,1131,368,391954,0 138,2,2024-09-07 08:53:10:590,311725,311725,0,0,14811595,0,4988 138,3,2024-09-07 08:53:10:615,1,249,1,0,1160,3854,249,0 139,0,2024-09-07 08:53:11:384,62952,1.9,63161,1.3,126562,2.9,169418,2.50 139,1,2024-09-07 08:53:10:571,435538,435538,0,0,203365158976,2161410981,427049,6375,2114,381,391892,0 139,2,2024-09-07 08:53:10:696,314751,314751,0,0,15705311,0,3097 139,3,2024-09-07 08:53:11:668,1,249,1,0,244,2549,249,0 140,0,2024-09-07 08:53:11:589,61540,0.3,60980,0.5,122558,0.3,163041,1.75 140,1,2024-09-07 08:53:11:537,440392,440392,0,0,206503521904,2127848509,438412,1738,242,365,391606,0 140,2,2024-09-07 08:53:10:687,317027,317027,0,0,12182149,0,3388 140,3,2024-09-07 08:53:10:767,1,249,1,0,247,2151,249,0 141,0,2024-09-07 08:53:11:699,61760,0.3,63478,0.4,121390,0.2,164670,1.50 141,1,2024-09-07 08:53:10:859,439582,439582,0,0,205539030306,2132128757,437012,2162,408,379,391614,0 141,2,2024-09-07 08:53:11:687,314883,314883,0,0,11805342,0,2342 141,3,2024-09-07 08:53:11:043,1,249,1,0,202,2323,249,0 142,0,2024-09-07 08:53:11:348,63155,0.3,62525,0.4,124306,0.2,166035,1.50 142,1,2024-09-07 08:53:10:583,439134,439134,0,0,204745180303,2134493606,436340,2529,265,382,392102,0 142,2,2024-09-07 08:53:11:305,313788,313756,32,0,13560242,0,6028 142,3,2024-09-07 08:53:11:826,1,249,2,0,484,3144,249,0 143,0,2024-09-07 08:53:11:604,63262,0.5,63316,0.6,127064,0.5,168946,1.75 143,1,2024-09-07 08:53:10:558,438971,438971,0,0,205306755813,2128622713,436258,2618,95,367,391651,0 143,2,2024-09-07 08:53:10:785,315325,315325,0,0,12749183,0,2669 143,3,2024-09-07 08:53:11:157,1,249,15,0,303,3278,249,0 144,0,2024-09-07 08:53:11:501,60604,0.7,62204,0.9,126844,0.6,166209,2.00 144,1,2024-09-07 08:53:10:565,436825,436825,0,0,204279086678,2144259694,432820,3083,922,381,391649,0 144,2,2024-09-07 08:53:11:754,316277,316277,0,0,12232048,0,3473 144,3,2024-09-07 08:53:11:744,1,249,1,0,249,2906,249,0 145,0,2024-09-07 08:53:11:362,60485,0.6,60507,0.8,128379,0.5,165873,2.25 145,1,2024-09-07 08:53:10:551,436418,436418,0,0,204782472050,2153774291,430922,4673,823,382,391698,0 145,2,2024-09-07 08:53:11:432,314212,314212,0,0,14553112,0,3903 145,3,2024-09-07 08:53:10:896,1,249,1,0,622,4105,249,0 146,0,2024-09-07 08:53:11:628,61755,0.5,61328,0.7,123549,0.4,164325,2.00 146,1,2024-09-07 08:53:11:591,437301,437301,0,0,204551105448,2156841361,429025,6429,1847,368,391770,0 146,2,2024-09-07 08:53:11:699,314811,314811,0,0,14615838,0,2730 146,3,2024-09-07 08:53:11:289,1,249,2,0,1520,5913,249,0 147,0,2024-09-07 08:53:11:696,62974,0.6,62931,0.7,124919,0.5,168445,2.00 147,1,2024-09-07 08:53:11:375,439238,439238,0,0,205778852730,2140536404,435139,3514,585,368,391791,0 147,2,2024-09-07 08:53:11:017,314542,314542,0,0,12188586,0,2789 147,3,2024-09-07 08:53:10:915,1,249,1,0,730,3909,249,0 0,0,2024-09-07 08:53:21:880,59666,0.5,59726,0.7,126659,0.4,163808,1.75 0,1,2024-09-07 08:53:20:805,440174,440174,0,0,205790019470,2152155771,436962,3008,204,370,391772,0 0,2,2024-09-07 08:53:21:092,316759,316759,0,0,12988857,0,4480 0,3,2024-09-07 08:53:20:980,1,250,1,0,319,3388,250,0 1,0,2024-09-07 08:53:21:766,62530,0.8,62037,0.9,124888,1.0,166461,2.00 1,1,2024-09-07 08:53:20:557,439901,439901,0,0,205441736232,2152431770,435405,3443,1053,371,391857,0 1,2,2024-09-07 08:53:20:639,312028,312028,0,0,11948211,0,3267 1,3,2024-09-07 08:53:21:314,1,250,1,0,262,2962,250,0 2,0,2024-09-07 08:53:21:572,64462,0.7,64748,0.8,128423,0.8,172250,2.00 2,1,2024-09-07 08:53:20:864,440033,440033,0,0,206018724030,2139662468,437927,1888,218,380,391745,0 2,2,2024-09-07 08:53:21:270,318043,318043,0,0,12531758,0,3594 2,3,2024-09-07 08:53:20:692,1,250,1,0,357,2270,250,0 3,0,2024-09-07 08:53:21:775,61379,0.5,61496,0.6,122379,0.4,163011,2.00 3,1,2024-09-07 08:53:21:623,439961,439961,0,0,205517884707,2141167271,436122,3377,462,380,391523,0 3,2,2024-09-07 08:53:21:154,317810,317787,23,0,12795991,0,5851 3,3,2024-09-07 08:53:21:755,1,250,9,0,103,1522,250,0 4,0,2024-09-07 08:53:21:947,59580,0.4,61290,0.5,124758,0.3,163105,1.75 4,1,2024-09-07 08:53:20:597,439557,439557,0,0,205044178849,2154316169,434818,3949,790,371,391846,0 4,2,2024-09-07 08:53:21:026,315230,315230,0,0,15141337,0,4528 4,3,2024-09-07 08:53:21:034,1,250,7,0,448,3870,250,0 5,0,2024-09-07 08:53:21:386,61414,0.4,61784,0.5,122612,0.3,163217,1.75 5,1,2024-09-07 08:53:20:761,439532,439532,0,0,205391359732,2160218451,434039,4381,1112,368,392005,0 5,2,2024-09-07 08:53:21:852,311759,311759,0,0,13734322,0,2432 5,3,2024-09-07 08:53:21:732,1,250,1,0,457,3811,250,0 6,0,2024-09-07 08:53:20:956,64692,0.6,63948,0.7,127866,0.5,171501,2.00 6,1,2024-09-07 08:53:20:750,439751,439751,0,0,205612857727,2149205734,435076,3831,844,379,391694,0 6,2,2024-09-07 08:53:21:121,317525,317525,0,0,13775052,0,4816 6,3,2024-09-07 08:53:21:289,1,250,13,0,340,2969,250,0 7,0,2024-09-07 08:53:21:538,61036,0.5,61242,0.7,122101,0.5,162343,2.00 7,1,2024-09-07 08:53:20:861,439336,439336,0,0,205419856077,2155874223,433436,4897,1003,382,391747,0 7,2,2024-09-07 08:53:20:772,316728,316728,0,0,13599075,0,4791 7,3,2024-09-07 08:53:20:852,1,250,2,0,398,3107,250,0 8,0,2024-09-07 08:53:21:329,62637,0.4,62615,0.5,124665,0.3,166262,1.75 8,1,2024-09-07 08:53:21:034,438103,438103,0,0,205087963641,2164406008,429812,6273,2018,367,391956,0 8,2,2024-09-07 08:53:20:793,312051,312051,0,0,16210240,0,3220 8,3,2024-09-07 08:53:20:589,1,250,1,0,357,3824,250,0 9,0,2024-09-07 08:53:21:154,62971,0.3,61039,0.5,127498,0.3,167330,1.50 9,1,2024-09-07 08:53:20:550,438913,438913,0,0,205458361495,2166163422,432425,4946,1542,370,392001,0 9,2,2024-09-07 08:53:21:101,315431,315431,0,0,14397628,0,3360 9,3,2024-09-07 08:53:21:765,1,250,169,0,496,3807,250,0 10,0,2024-09-07 08:53:21:601,63908,0.3,63268,0.5,127328,0.2,170090,1.75 10,1,2024-09-07 08:53:20:585,439445,439445,0,0,205371106187,2154107225,433085,5432,928,381,391741,0 10,2,2024-09-07 08:53:20:777,315817,315817,0,0,15939045,0,4264 10,3,2024-09-07 08:53:20:871,1,250,1,0,177,2081,250,0 11,0,2024-09-07 08:53:21:006,63031,0.5,61255,0.7,128110,0.5,169518,2.00 11,1,2024-09-07 08:53:20:579,439646,439646,0,0,205512420834,2163492241,431427,6238,1981,383,391537,0 11,2,2024-09-07 08:53:21:129,316374,316374,0,0,14883702,0,4130 11,3,2024-09-07 08:53:21:302,1,250,0,0,843,3979,250,0 12,0,2024-09-07 08:53:20:947,63686,0.3,63608,0.5,127083,0.3,169086,1.75 12,1,2024-09-07 08:53:20:939,439639,439639,0,0,205049165360,2137752555,436123,3095,421,370,391870,0 12,2,2024-09-07 08:53:21:561,317336,317336,0,0,13831979,0,3469 12,3,2024-09-07 08:53:21:067,1,250,1,0,386,4175,250,0 13,0,2024-09-07 08:53:21:356,62259,0.3,62173,0.5,124046,0.3,165122,1.50 13,1,2024-09-07 08:53:21:530,439926,439926,0,0,204656760896,2147245977,436377,3008,541,382,391717,0 13,2,2024-09-07 08:53:20:605,317689,317689,0,0,13011283,0,3287 13,3,2024-09-07 08:53:21:774,1,250,17,0,467,3929,250,0 14,0,2024-09-07 08:53:20:564,63308,0.4,63697,0.6,126422,0.3,168662,1.75 14,1,2024-09-07 08:53:21:569,442507,442507,0,0,206016377367,2132122235,439676,2642,189,364,391571,0 14,2,2024-09-07 08:53:20:774,313131,313131,0,0,12937786,0,2896 14,3,2024-09-07 08:53:21:133,1,250,2,0,1168,3461,250,0 15,0,2024-09-07 08:53:21:555,63719,0.5,63954,0.7,127569,0.5,169897,2.00 15,1,2024-09-07 08:53:21:611,440742,440742,0,0,205900961992,2140123021,438223,2341,178,381,391619,0 15,2,2024-09-07 08:53:21:005,318008,318008,0,0,11033417,0,3043 15,3,2024-09-07 08:53:21:410,1,250,1,0,1126,5214,250,0 16,0,2024-09-07 08:53:20:942,61929,0.7,62190,0.8,123636,0.8,165398,2.25 16,1,2024-09-07 08:53:20:566,440700,440700,0,0,205528160478,2147673819,437140,3261,299,370,391756,0 16,2,2024-09-07 08:53:21:444,317166,317166,0,0,13695724,0,4719 16,3,2024-09-07 08:53:21:154,1,250,1,0,317,3462,250,0 17,0,2024-09-07 08:53:21:793,63380,0.5,61855,0.7,121071,0.4,164348,1.75 17,1,2024-09-07 08:53:20:584,439542,439542,0,0,205414762241,2156507158,434699,3739,1104,368,391845,0 17,2,2024-09-07 08:53:21:675,319273,319273,0,0,12846248,0,2857 17,3,2024-09-07 08:53:20:585,1,250,3,0,268,4006,250,0 18,0,2024-09-07 08:53:20:959,60821,0.7,61030,0.8,121882,0.7,163121,2.25 18,1,2024-09-07 08:53:21:639,441091,441091,0,0,206111746685,2136109004,438581,2268,242,367,391649,0 18,2,2024-09-07 08:53:21:759,313581,313581,0,0,12460354,0,3541 18,3,2024-09-07 08:53:20:896,1,250,0,0,163,2531,250,0 19,0,2024-09-07 08:53:21:551,63848,0.6,64487,0.8,127680,0.6,170423,2.25 19,1,2024-09-07 08:53:20:565,440636,440636,0,0,206651521978,2147800897,435978,3872,786,367,391777,0 19,2,2024-09-07 08:53:21:757,319419,319419,0,0,11517879,0,3988 19,3,2024-09-07 08:53:21:132,1,250,2,0,524,1882,250,0 20,0,2024-09-07 08:53:21:394,60907,0.7,60973,0.8,122270,0.8,162812,2.25 20,1,2024-09-07 08:53:20:591,439343,439343,0,0,205859082303,2153641506,435526,3419,398,369,391886,0 20,2,2024-09-07 08:53:20:937,317771,317771,0,0,13307001,0,3721 20,3,2024-09-07 08:53:20:591,1,250,5,0,414,4614,250,0 21,0,2024-09-07 08:53:21:166,61947,0.5,62214,0.6,124002,0.4,164291,2.00 21,1,2024-09-07 08:53:21:541,438636,438636,0,0,204560291711,2154279307,432918,4461,1257,368,391962,0 21,2,2024-09-07 08:53:21:073,314884,314884,0,0,15027068,0,3747 21,3,2024-09-07 08:53:21:422,1,250,10,0,103,2635,250,0 22,0,2024-09-07 08:53:21:726,62155,0.6,62298,0.7,124247,0.5,164764,2.00 22,1,2024-09-07 08:53:21:034,439069,439069,0,0,204959142648,2158900954,431839,5588,1642,382,391667,0 22,2,2024-09-07 08:53:20:764,314037,314037,0,0,13220322,0,3134 22,3,2024-09-07 08:53:21:071,1,250,2,0,228,2332,250,0 23,0,2024-09-07 08:53:21:380,63434,0.6,63090,0.7,126857,0.6,169220,2.25 23,1,2024-09-07 08:53:21:005,439826,439826,0,0,206068836403,2164557117,432516,4759,2551,365,391690,0 23,2,2024-09-07 08:53:21:093,317435,317435,0,0,12802572,0,3010 23,3,2024-09-07 08:53:21:758,1,250,1,0,645,3277,250,0 24,0,2024-09-07 08:53:20:848,63187,0.4,63074,0.6,126468,0.4,167648,1.75 24,1,2024-09-07 08:53:20:591,439168,439168,0,0,204015420573,2141949266,433557,4131,1480,368,391987,0 24,2,2024-09-07 08:53:21:072,315546,315546,0,0,15683607,0,3607 24,3,2024-09-07 08:53:21:692,1,250,1,0,468,3789,250,0 25,0,2024-09-07 08:53:21:403,64556,0.5,62857,0.7,123505,0.4,168596,2.00 25,1,2024-09-07 08:53:20:563,440120,440120,0,0,205401337772,2150325277,435782,3784,554,371,391788,0 25,2,2024-09-07 08:53:21:624,314915,314915,0,0,15838296,0,3978 25,3,2024-09-07 08:53:21:017,1,250,2,0,255,2717,250,0 26,0,2024-09-07 08:53:21:725,61955,0.4,60596,0.6,126876,0.3,165513,1.75 26,1,2024-09-07 08:53:21:548,439547,439547,0,0,205224111443,2154383671,432558,5773,1216,381,391748,0 26,2,2024-09-07 08:53:20:873,316389,316389,0,0,15591781,0,2809 26,3,2024-09-07 08:53:21:720,1,250,0,0,796,3326,250,0 27,0,2024-09-07 08:53:21:729,63731,0.4,63786,0.6,126086,0.4,168885,2.00 27,1,2024-09-07 08:53:21:681,441410,441410,0,0,206203481282,2145669754,437960,2857,593,381,391558,0 27,2,2024-09-07 08:53:20:867,313106,313041,65,0,15650661,0,5699 27,3,2024-09-07 08:53:21:025,1,250,1,0,564,2707,250,0 28,0,2024-09-07 08:53:21:392,63676,0.5,63360,0.7,127427,0.4,170552,2.00 28,1,2024-09-07 08:53:20:797,440847,440847,0,0,206538147614,2149562107,438006,2370,471,383,391646,0 28,2,2024-09-07 08:53:21:766,317294,317294,0,0,12264406,0,2915 28,3,2024-09-07 08:53:21:780,1,250,2,0,502,2562,250,0 29,0,2024-09-07 08:53:21:376,64325,0.4,62988,0.6,122993,0.4,168381,1.75 29,1,2024-09-07 08:53:21:565,441918,441918,0,0,206981752118,2142808540,438694,2659,565,369,391621,0 29,2,2024-09-07 08:53:20:867,316736,316736,0,0,11698221,0,4018 29,3,2024-09-07 08:53:20:965,1,250,0,0,115,1794,250,0 30,0,2024-09-07 08:53:21:459,61367,0.5,59642,0.7,124645,0.4,163209,2.00 30,1,2024-09-07 08:53:20:576,441491,441491,0,0,206323565549,2137688830,439162,2036,293,382,391672,0 30,2,2024-09-07 08:53:21:274,317161,317161,0,0,11583670,0,3161 30,3,2024-09-07 08:53:20:583,1,250,1,0,195,1884,250,0 31,0,2024-09-07 08:53:21:768,62058,0.4,62349,0.6,124947,0.3,166272,1.75 31,1,2024-09-07 08:53:20:564,442911,442911,0,0,207419868125,2124837040,441522,1170,219,356,391712,0 31,2,2024-09-07 08:53:21:287,312418,312418,0,0,13083081,0,3525 31,3,2024-09-07 08:53:21:712,1,250,1,0,220,1965,250,0 32,0,2024-09-07 08:53:21:462,64279,0.4,64782,0.5,129358,0.3,172574,1.75 32,1,2024-09-07 08:53:20:832,441471,441471,0,0,206371329169,2140807979,439514,1678,279,381,391622,0 32,2,2024-09-07 08:53:20:954,318252,318252,0,0,11478828,0,3155 32,3,2024-09-07 08:53:21:031,1,250,1,0,227,2027,250,0 33,0,2024-09-07 08:53:21:518,61864,0.3,61193,0.5,123069,0.2,163926,1.75 33,1,2024-09-07 08:53:20:583,441791,441791,0,0,207180090574,2140225670,439210,2407,174,369,391730,0 33,2,2024-09-07 08:53:20:768,318879,318846,33,0,13230049,0,7012 33,3,2024-09-07 08:53:20:897,1,250,1,0,329,2904,250,0 34,0,2024-09-07 08:53:20:944,61405,0.3,63212,0.5,121285,0.2,162485,1.50 34,1,2024-09-07 08:53:21:055,442233,442233,0,0,206928118006,2128121161,441137,1089,7,367,391562,0 34,2,2024-09-07 08:53:20:781,316545,316545,0,0,12481344,0,3577 34,3,2024-09-07 08:53:21:691,1,250,1,0,299,2043,250,0 35,0,2024-09-07 08:53:20:859,60969,0.3,61352,0.5,123243,0.3,163619,1.75 35,1,2024-09-07 08:53:21:074,441213,441213,0,0,205668793282,2133623688,437867,2566,780,384,391589,0 35,2,2024-09-07 08:53:21:582,313947,313947,0,0,11951185,0,2653 35,3,2024-09-07 08:53:20:914,1,250,1,0,418,2983,250,0 36,0,2024-09-07 08:53:21:561,64193,0.6,64104,0.8,128464,0.6,171267,2.25 36,1,2024-09-07 08:53:20:586,440402,440402,0,0,205946897187,2154833380,434420,4403,1579,366,391759,0 36,2,2024-09-07 08:53:21:758,317015,317015,0,0,14105283,0,3875 36,3,2024-09-07 08:53:20:873,1,250,1,0,416,4038,250,0 37,0,2024-09-07 08:53:21:396,60908,0.6,60890,0.7,121989,0.5,163270,2.00 37,1,2024-09-07 08:53:20:583,439619,439612,0,7,205218863662,2151423761,433604,3941,2067,365,391560,0 37,2,2024-09-07 08:53:21:159,316773,316758,15,0,14421808,0,5815 37,3,2024-09-07 08:53:21:767,1,250,1,0,888,4433,250,0 38,0,2024-09-07 08:53:21:451,61872,0.5,60185,0.7,125993,0.4,164441,2.00 38,1,2024-09-07 08:53:21:614,440649,440649,0,0,205963331135,2150660426,435377,4597,675,368,391821,0 38,2,2024-09-07 08:53:20:781,314980,314933,47,0,14770308,0,6710 38,3,2024-09-07 08:53:20:997,1,250,1,0,689,3596,250,0 39,0,2024-09-07 08:53:21:765,64142,0.6,63058,0.7,122301,0.5,167398,2.00 39,1,2024-09-07 08:53:20:716,440384,440384,0,0,205875610332,2149877283,434824,4658,902,365,391594,0 39,2,2024-09-07 08:53:21:419,315383,315383,0,0,12805832,0,2689 39,3,2024-09-07 08:53:20:718,1,250,1,0,324,3420,250,0 40,0,2024-09-07 08:53:21:503,62959,0.7,63486,0.9,127093,0.7,169634,2.75 40,1,2024-09-07 08:53:20:584,440041,440041,0,0,204288939824,2143213955,432902,5696,1443,368,391591,0 40,2,2024-09-07 08:53:21:311,315736,315735,1,0,15948994,0,5137 40,3,2024-09-07 08:53:21:153,1,250,0,0,398,3140,250,0 41,0,2024-09-07 08:53:21:033,62824,2.0,64281,1.4,122626,3.1,167709,3.75 41,1,2024-09-07 08:53:20:774,439971,439971,0,0,205561809934,2150576409,434431,4906,634,370,391742,0 41,2,2024-09-07 08:53:20:764,315233,315233,0,0,15179220,0,3356 41,3,2024-09-07 08:53:21:679,1,250,0,0,366,2907,250,0 42,0,2024-09-07 08:53:21:476,62388,0.8,62665,1.0,125455,0.9,165744,2.75 42,1,2024-09-07 08:53:21:444,438512,438512,0,0,204978732932,2156235971,431228,5832,1452,380,391675,0 42,2,2024-09-07 08:53:21:137,316627,316627,0,0,14543153,0,3568 42,3,2024-09-07 08:53:21:023,1,250,2,0,446,2329,250,0 43,0,2024-09-07 08:53:20:921,61617,0.7,60103,0.9,125433,0.7,164901,2.25 43,1,2024-09-07 08:53:20:577,439632,439632,0,0,205993220748,2153646029,433369,4934,1329,366,391696,0 43,2,2024-09-07 08:53:21:743,316960,316960,0,0,13907291,0,3812 43,3,2024-09-07 08:53:21:754,1,250,0,0,467,3068,250,0 44,0,2024-09-07 08:53:20:864,62962,0.4,63386,0.6,126384,0.4,168901,1.75 44,1,2024-09-07 08:53:20:574,441624,441624,0,0,206192143237,2127931813,439068,2124,432,356,391809,0 44,2,2024-09-07 08:53:21:270,312910,312910,0,0,11569735,0,2231 44,3,2024-09-07 08:53:21:102,1,250,1,0,817,2941,250,0 45,0,2024-09-07 08:53:21:764,62779,0.6,61389,0.8,128881,0.7,169744,2.00 45,1,2024-09-07 08:53:21:007,441174,441174,0,0,206978690367,2144010268,439523,1639,12,382,391917,0 45,2,2024-09-07 08:53:21:313,318074,318074,0,0,12311323,0,3596 45,3,2024-09-07 08:53:20:941,1,250,1,0,271,2680,250,0 46,0,2024-09-07 08:53:20:959,61494,0.6,61343,0.8,123008,0.6,163181,2.00 46,1,2024-09-07 08:53:20:578,442395,442395,0,0,206238200734,2128605234,440049,2072,274,366,391539,0 46,2,2024-09-07 08:53:20:593,318436,318436,0,0,11870473,0,2920 46,3,2024-09-07 08:53:21:137,1,250,1,0,908,3775,250,0 47,0,2024-09-07 08:53:21:111,61801,0.4,61684,0.6,123982,0.4,163866,1.75 47,1,2024-09-07 08:53:20:583,442122,442122,0,0,206113296963,2126934284,439799,2189,134,366,391605,0 47,2,2024-09-07 08:53:20:910,318673,318673,0,0,11939042,0,2558 47,3,2024-09-07 08:53:21:116,1,250,3,0,529,2269,250,0 48,0,2024-09-07 08:53:21:499,61892,0.3,61522,0.4,122543,0.2,164025,1.50 48,1,2024-09-07 08:53:21:035,441288,441288,0,0,205763105815,2137649884,438181,2808,299,384,391710,0 48,2,2024-09-07 08:53:20:701,312692,312692,0,0,11522950,0,3031 48,3,2024-09-07 08:53:20:753,1,250,8,0,339,2100,250,0 49,0,2024-09-07 08:53:21:727,66050,0.4,64920,0.5,125593,0.3,172521,1.75 49,1,2024-09-07 08:53:21:032,440377,440377,0,0,206181584978,2149306596,436520,2676,1181,382,391809,0 49,2,2024-09-07 08:53:21:807,319055,319055,0,0,12159391,0,3900 49,3,2024-09-07 08:53:21:423,1,250,1,0,408,2778,250,0 50,0,2024-09-07 08:53:21:506,61220,0.3,60642,0.5,122096,0.2,162706,1.75 50,1,2024-09-07 08:53:21:024,442155,442155,0,0,206708233057,2138168891,439317,2528,310,368,391530,0 50,2,2024-09-07 08:53:21:070,318159,318159,0,0,11449628,0,2263 50,3,2024-09-07 08:53:21:301,1,250,18,0,335,2077,250,0 51,0,2024-09-07 08:53:21:701,63382,0.3,61988,0.5,120805,0.2,164574,1.75 51,1,2024-09-07 08:53:21:690,442171,442171,0,0,207329164703,2140149528,439375,1857,939,365,391706,0 51,2,2024-09-07 08:53:21:316,316112,316112,0,0,10854147,0,3337 51,3,2024-09-07 08:53:21:041,1,250,18,0,678,2035,250,0 52,0,2024-09-07 08:53:21:417,62943,0.4,62490,0.6,124915,0.4,165534,2.00 52,1,2024-09-07 08:53:20:577,440143,440143,0,0,205131744535,2152297040,432986,5903,1254,368,391722,0 52,2,2024-09-07 08:53:21:762,312737,312699,38,0,14967246,0,6742 52,3,2024-09-07 08:53:20:676,1,250,1,0,1782,4524,250,0 53,0,2024-09-07 08:53:21:747,63011,0.7,61280,0.8,128359,0.7,168578,2.50 53,1,2024-09-07 08:53:20:774,438921,438921,0,0,205234756290,2156724310,431595,4970,2356,367,391702,0 53,2,2024-09-07 08:53:21:302,317210,317210,0,0,12489471,0,2727 53,3,2024-09-07 08:53:20:700,1,250,1,0,271,2301,250,0 54,0,2024-09-07 08:53:21:618,61665,0.8,62227,0.9,123267,0.5,164808,2.75 54,1,2024-09-07 08:53:20:583,440318,440318,0,0,206401666077,2147580770,435582,4139,597,367,391659,0 54,2,2024-09-07 08:53:20:870,316796,316790,6,0,13872960,0,5382 54,3,2024-09-07 08:53:20:769,1,250,1,0,676,3905,250,0 55,0,2024-09-07 08:53:21:764,60704,0.6,62804,0.8,126467,0.5,165000,2.50 55,1,2024-09-07 08:53:20:764,439698,439698,0,0,205863123839,2144712329,434148,4763,787,365,391731,0 55,2,2024-09-07 08:53:20:729,315231,315231,0,0,14012413,0,3275 55,3,2024-09-07 08:53:20:677,1,250,0,0,304,2882,250,0 56,0,2024-09-07 08:53:21:620,63616,1.0,59997,1.0,123401,1.3,165518,2.50 56,1,2024-09-07 08:53:20:571,438132,438132,0,0,205600870352,2174880445,430891,5803,1438,381,391678,0 56,2,2024-09-07 08:53:21:302,316256,316256,0,0,15122199,0,3567 56,3,2024-09-07 08:53:21:066,1,250,1,0,705,3687,250,0 57,0,2024-09-07 08:53:20:938,62574,1.5,62700,1.2,125373,2.1,167756,3.00 57,1,2024-09-07 08:53:20:993,439622,439622,0,0,205407702828,2152977913,434301,4651,670,367,391960,0 57,2,2024-09-07 08:53:21:319,314871,314871,0,0,16189718,0,3317 57,3,2024-09-07 08:53:21:742,1,250,1,0,359,3368,250,0 58,0,2024-09-07 08:53:20:594,62341,0.9,60568,1.0,126844,1.1,166830,2.50 58,1,2024-09-07 08:53:20:576,440446,440443,0,3,206874100504,2162147871,434712,5021,710,367,391545,3 58,2,2024-09-07 08:53:21:088,317001,317001,0,0,14489752,0,2549 58,3,2024-09-07 08:53:21:081,1,250,45,0,1043,2906,250,0 59,0,2024-09-07 08:53:21:883,62360,0.8,62205,1.0,124023,0.9,165107,3.00 59,1,2024-09-07 08:53:20:803,440244,440244,0,0,206049744322,2156785932,434456,4523,1265,369,391525,0 59,2,2024-09-07 08:53:20:582,318156,318156,0,0,13224860,0,2604 59,3,2024-09-07 08:53:21:748,1,250,10,0,1015,3531,250,0 60,0,2024-09-07 08:53:21:715,61642,0.4,61316,0.6,123747,0.4,163822,1.75 60,1,2024-09-07 08:53:20:777,441589,441589,0,0,206657832689,2142336476,439144,1928,517,370,392031,0 60,2,2024-09-07 08:53:21:141,317065,317065,0,0,14263794,0,3811 60,3,2024-09-07 08:53:21:269,1,250,1,0,124,2427,250,0 61,0,2024-09-07 08:53:21:496,62341,0.6,62574,0.8,124729,0.6,166479,2.00 61,1,2024-09-07 08:53:20:806,440273,440273,0,0,205753725816,2152604795,435700,3870,703,382,391880,0 61,2,2024-09-07 08:53:21:121,313545,313545,0,0,12493306,0,2780 61,3,2024-09-07 08:53:21:692,1,250,1,0,397,3342,250,0 62,0,2024-09-07 08:53:21:717,65015,0.6,66247,0.8,126346,0.6,172251,2.00 62,1,2024-09-07 08:53:21:125,442715,442709,0,6,206624324505,2130933092,440247,2344,118,365,391715,6 62,2,2024-09-07 08:53:21:650,316758,316757,1,0,13901377,0,5555 62,3,2024-09-07 08:53:21:152,1,250,1,0,287,1785,250,0 63,0,2024-09-07 08:53:21:451,61361,0.5,61517,0.6,123241,0.5,163496,1.75 63,1,2024-09-07 08:53:20:803,442050,442044,0,6,206026703606,2133422312,440469,1525,50,381,391677,6 63,2,2024-09-07 08:53:20:774,318270,318270,0,0,11672928,0,2674 63,3,2024-09-07 08:53:21:733,1,250,1,0,667,2864,250,0 64,0,2024-09-07 08:53:21:534,60955,0.4,60999,0.6,122197,0.4,162367,1.75 64,1,2024-09-07 08:53:20:757,440689,440689,0,0,206137093038,2150168539,436202,3073,1414,370,391783,0 64,2,2024-09-07 08:53:21:148,318981,318962,19,0,12585339,0,6121 64,3,2024-09-07 08:53:21:149,1,250,1,0,265,2540,250,0 65,0,2024-09-07 08:53:21:675,60901,0.6,61308,0.7,122323,0.6,162535,2.00 65,1,2024-09-07 08:53:20:864,439791,439791,0,0,205202288880,2146743491,436237,3109,445,382,391901,0 65,2,2024-09-07 08:53:21:705,313588,313588,0,0,13259631,0,3367 65,3,2024-09-07 08:53:21:691,1,250,1,0,163,2342,250,0 66,0,2024-09-07 08:53:21:791,63809,0.5,63673,0.7,127982,0.4,170448,2.00 66,1,2024-09-07 08:53:21:304,441480,441480,0,0,206155152971,2141995398,438906,2373,201,380,391653,0 66,2,2024-09-07 08:53:21:138,319766,319766,0,0,13005469,0,4956 66,3,2024-09-07 08:53:21:091,1,250,1,0,291,2621,250,0 67,0,2024-09-07 08:53:21:416,61672,0.6,61174,0.8,123105,0.7,163353,2.00 67,1,2024-09-07 08:53:20:777,440268,440267,0,1,206423386646,2152891078,436423,3097,747,380,391787,1 67,2,2024-09-07 08:53:20:583,318740,318740,0,0,12059682,0,2889 67,3,2024-09-07 08:53:21:758,1,250,1,0,338,2329,250,0 68,0,2024-09-07 08:53:20:587,62631,0.6,62407,0.8,124439,0.6,166202,2.00 68,1,2024-09-07 08:53:20:579,439439,439439,0,0,204809272383,2147687199,435260,3009,1170,381,391953,0 68,2,2024-09-07 08:53:21:048,314242,314177,65,0,15840575,0,6698 68,3,2024-09-07 08:53:20:731,1,250,0,0,417,2833,250,0 69,0,2024-09-07 08:53:21:743,62392,0.7,62732,0.8,125185,0.7,166429,2.25 69,1,2024-09-07 08:53:21:028,438482,438482,0,0,204531379751,2158874410,432781,4299,1402,384,391994,0 69,2,2024-09-07 08:53:21:740,315316,315316,0,0,15632075,0,3722 69,3,2024-09-07 08:53:20:762,1,250,1,0,698,3623,250,0 70,0,2024-09-07 08:53:21:545,63338,0.8,63206,1.0,127026,0.6,169252,2.25 70,1,2024-09-07 08:53:20:802,440814,440814,0,0,206896289250,2148630496,437150,3136,528,366,391725,0 70,2,2024-09-07 08:53:21:342,315713,315713,0,0,14296969,0,4044 70,3,2024-09-07 08:53:20:746,1,250,1,0,854,3009,250,0 71,0,2024-09-07 08:53:21:367,62863,1.2,62734,1.1,125821,1.6,168346,3.00 71,1,2024-09-07 08:53:21:620,440147,440147,0,0,205755928363,2147367440,434993,4533,621,368,391738,0 71,2,2024-09-07 08:53:21:070,316614,316614,0,0,14672107,0,4042 71,3,2024-09-07 08:53:21:754,1,250,0,0,644,3662,250,0 72,0,2024-09-07 08:53:21:112,65282,0.8,63824,0.8,124489,0.9,169480,2.25 72,1,2024-09-07 08:53:21:041,440031,440031,0,0,205868971071,2149774033,434973,4127,931,369,391819,0 72,2,2024-09-07 08:53:21:764,315509,315509,0,0,16254446,0,3983 72,3,2024-09-07 08:53:21:756,1,250,1,0,564,4476,250,0 73,0,2024-09-07 08:53:21:135,60409,0.4,61775,0.6,126795,0.3,164563,2.00 73,1,2024-09-07 08:53:20:790,440555,440555,0,0,206242543066,2143601837,437466,2854,235,367,391750,0 73,2,2024-09-07 08:53:21:752,316957,316957,0,0,15592100,0,3701 73,3,2024-09-07 08:53:20:978,1,250,11,0,274,3721,250,0 74,0,2024-09-07 08:53:21:349,63728,0.5,65042,0.7,124083,0.4,168877,2.25 74,1,2024-09-07 08:53:20:636,440005,440005,0,0,204779328497,2139068052,435605,3601,799,381,391681,0 74,2,2024-09-07 08:53:21:005,313315,313315,0,0,14833183,0,4253 74,3,2024-09-07 08:53:21:442,1,250,4,0,522,3388,250,0 75,0,2024-09-07 08:53:21:812,63969,0.6,63607,0.8,127700,0.5,170714,2.25 75,1,2024-09-07 08:53:21:593,439272,439272,0,0,205444670018,2148550330,434343,4265,664,380,391660,0 75,2,2024-09-07 08:53:21:357,316442,316442,0,0,15395484,0,4766 75,3,2024-09-07 08:53:21:080,1,250,0,0,702,3660,250,0 76,0,2024-09-07 08:53:20:596,61574,0.8,60945,0.9,122151,0.8,164054,2.50 76,1,2024-09-07 08:53:20:817,440360,440360,0,0,205814235825,2146427646,437296,2515,549,382,391692,0 76,2,2024-09-07 08:53:21:072,319424,319424,0,0,12544947,0,3784 76,3,2024-09-07 08:53:21:153,1,250,0,0,175,2600,250,0 77,0,2024-09-07 08:53:21:753,61509,0.5,61832,0.7,123297,0.5,163638,1.75 77,1,2024-09-07 08:53:20:833,440772,440772,0,0,206179986761,2152274066,437323,3192,257,381,391808,0 77,2,2024-09-07 08:53:21:311,316417,316417,0,0,13136952,0,3890 77,3,2024-09-07 08:53:21:101,1,250,1,0,382,2831,250,0 78,0,2024-09-07 08:53:21:728,61682,0.4,61113,0.6,123176,0.4,163221,2.00 78,1,2024-09-07 08:53:20:616,441173,441173,0,0,205612100154,2133360234,438176,2678,319,367,391670,0 78,2,2024-09-07 08:53:21:409,313111,313111,0,0,11696392,0,3855 78,3,2024-09-07 08:53:21:137,1,250,1,0,181,2435,250,0 79,0,2024-09-07 08:53:21:360,62290,0.4,63893,0.6,130580,0.4,170170,2.25 79,1,2024-09-07 08:53:20:579,442185,442185,0,0,206691278799,2138014830,439340,2509,336,369,391682,0 79,2,2024-09-07 08:53:21:068,319055,319055,0,0,11899305,0,3212 79,3,2024-09-07 08:53:20:756,1,250,19,0,418,3710,250,0 80,0,2024-09-07 08:53:21:132,61045,0.6,62850,0.7,120372,0.7,162478,2.00 80,1,2024-09-07 08:53:21:632,440313,440313,0,0,206002252819,2144049376,437347,2797,169,368,391791,0 80,2,2024-09-07 08:53:21:091,318313,318313,0,0,12376517,0,4433 80,3,2024-09-07 08:53:20:581,1,250,1,0,190,3404,250,0 81,0,2024-09-07 08:53:21:560,61762,0.5,63288,0.6,121130,0.4,163664,1.75 81,1,2024-09-07 08:53:21:661,439511,439511,0,0,205150268296,2144443894,435670,3379,462,382,391879,0 81,2,2024-09-07 08:53:21:138,315892,315892,0,0,12709514,0,3993 81,3,2024-09-07 08:53:21:129,1,250,1,0,374,3003,250,0 82,0,2024-09-07 08:53:21:543,61964,0.4,62503,0.6,125049,0.3,165643,1.75 82,1,2024-09-07 08:53:20:585,440824,440820,0,4,205983196958,2145515547,437599,2610,611,381,391558,4 82,2,2024-09-07 08:53:21:696,315479,315479,0,0,11993891,0,3986 82,3,2024-09-07 08:53:21:758,1,250,1,0,363,2804,250,0 83,0,2024-09-07 08:53:21:576,63685,0.5,63739,0.7,126546,0.5,169098,2.00 83,1,2024-09-07 08:53:20:565,439889,439889,0,0,205845414316,2146421770,436715,2930,244,382,391690,0 83,2,2024-09-07 08:53:20:764,317045,317045,0,0,12054431,0,3119 83,3,2024-09-07 08:53:20:749,1,250,1,0,250,2663,250,0 84,0,2024-09-07 08:53:21:779,62353,0.9,62049,0.9,124329,0.8,166333,2.25 84,1,2024-09-07 08:53:21:048,439297,439297,0,0,205521771604,2149361308,434553,4168,576,368,391967,0 84,2,2024-09-07 08:53:20:590,316749,316749,0,0,14091581,0,3801 84,3,2024-09-07 08:53:21:382,1,250,1,0,908,3949,250,0 85,0,2024-09-07 08:53:21:013,60835,0.7,60738,0.9,128729,0.7,166455,2.50 85,1,2024-09-07 08:53:20:567,439004,439004,0,0,205123596900,2167837128,432162,5735,1107,382,392006,0 85,2,2024-09-07 08:53:20:869,317063,317063,0,0,14880709,0,3656 85,3,2024-09-07 08:53:20:690,1,250,0,0,789,3472,250,0 86,0,2024-09-07 08:53:20:888,62187,0.6,63958,0.7,122337,0.6,165345,2.00 86,1,2024-09-07 08:53:20:830,440461,440461,0,0,205863700895,2153136007,435479,4257,725,366,391961,0 86,2,2024-09-07 08:53:20:856,315344,315343,1,0,15709372,0,5004 86,3,2024-09-07 08:53:20:599,1,250,14,0,286,3368,250,0 87,0,2024-09-07 08:53:21:373,63185,0.9,62995,0.9,126507,1.2,169341,2.25 87,1,2024-09-07 08:53:20:550,440179,440179,0,0,205355615892,2148126339,435704,3991,484,366,391788,0 87,2,2024-09-07 08:53:21:070,313739,313739,0,0,13997230,0,4045 87,3,2024-09-07 08:53:21:800,1,250,1,0,473,3787,250,0 88,0,2024-09-07 08:53:21:439,63567,0.5,63852,0.6,127431,0.5,170265,2.00 88,1,2024-09-07 08:53:20:573,438543,438543,0,0,205641980843,2158328982,432363,4667,1513,365,392084,0 88,2,2024-09-07 08:53:20:691,317038,317038,0,0,15966093,0,3583 88,3,2024-09-07 08:53:21:281,1,250,0,0,435,3071,250,0 89,0,2024-09-07 08:53:21:819,64587,0.5,62380,0.7,123879,0.5,168342,2.00 89,1,2024-09-07 08:53:20:555,438009,438009,0,0,205163586867,2164729672,431092,5695,1222,382,391866,0 89,2,2024-09-07 08:53:21:137,316306,316306,0,0,15233610,0,2910 89,3,2024-09-07 08:53:21:803,1,250,2,0,325,4845,250,0 90,0,2024-09-07 08:53:21:631,59838,0.4,61166,0.6,125277,0.4,163005,1.75 90,1,2024-09-07 08:53:20:654,440166,440166,0,0,205134359472,2150154669,436034,3854,278,381,391825,0 90,2,2024-09-07 08:53:21:415,315598,315598,0,0,16496295,0,3060 90,3,2024-09-07 08:53:20:930,1,250,7,0,200,2597,250,0 91,0,2024-09-07 08:53:20:953,62560,0.4,60637,0.6,126710,0.4,166481,1.75 91,1,2024-09-07 08:53:20:575,439170,439170,0,0,205380391419,2160043167,433304,5172,694,381,392047,0 91,2,2024-09-07 08:53:21:340,312217,312217,0,0,14616459,0,2896 91,3,2024-09-07 08:53:20:602,1,250,9,0,216,2389,250,0 92,0,2024-09-07 08:53:21:445,65057,0.5,66585,0.6,127202,0.5,172544,1.75 92,1,2024-09-07 08:53:20:585,440010,440010,0,0,205625164768,2143588306,437454,2150,406,382,392136,0 92,2,2024-09-07 08:53:21:353,319430,319430,0,0,12320925,0,2801 92,3,2024-09-07 08:53:21:023,1,250,1,0,167,2027,250,0 93,0,2024-09-07 08:53:20:989,61667,0.4,63338,0.6,120975,0.4,164008,1.75 93,1,2024-09-07 08:53:20:804,440282,440282,0,0,205649784460,2146041638,435806,3690,786,366,391692,0 93,2,2024-09-07 08:53:20:937,318741,318741,0,0,13113070,0,2797 93,3,2024-09-07 08:53:21:410,1,250,2,0,190,2474,250,0 94,0,2024-09-07 08:53:21:610,61145,0.4,61957,0.5,123385,0.3,163118,1.75 94,1,2024-09-07 08:53:20:569,440232,440232,0,0,206196729617,2153286187,436941,3113,178,381,391850,0 94,2,2024-09-07 08:53:20:763,316138,316138,0,0,12162280,0,2443 94,3,2024-09-07 08:53:21:692,1,250,1,0,264,3280,250,0 95,0,2024-09-07 08:53:21:361,61673,0.3,61257,0.5,123605,0.3,163420,1.75 95,1,2024-09-07 08:53:20:864,441005,441005,0,0,206784188731,2149174302,437439,3190,376,367,391713,0 95,2,2024-09-07 08:53:21:034,312859,312859,0,0,12450411,0,3308 95,3,2024-09-07 08:53:21:712,1,250,2,0,718,4517,250,0 96,0,2024-09-07 08:53:21:041,64191,0.4,64445,0.5,128605,0.4,170711,1.75 96,1,2024-09-07 08:53:21:589,439796,439796,0,0,206245455257,2153448851,436219,2844,733,384,391894,0 96,2,2024-09-07 08:53:21:281,318002,318002,0,0,13176550,0,4042 96,3,2024-09-07 08:53:21:140,1,250,6,0,411,2907,250,0 97,0,2024-09-07 08:53:21:321,61487,0.4,61313,0.5,123129,0.3,163248,1.75 97,1,2024-09-07 08:53:20:781,441304,441304,0,0,207242027105,2149204489,438266,2482,556,367,392140,0 97,2,2024-09-07 08:53:20:611,317923,317923,0,0,12420151,0,3036 97,3,2024-09-07 08:53:20:592,1,250,1,0,214,2919,250,0 98,0,2024-09-07 08:53:21:718,62471,0.3,62525,0.4,125589,0.2,166306,1.50 98,1,2024-09-07 08:53:20:580,441021,441021,0,0,205598110890,2140003039,438875,2046,100,382,391997,0 98,2,2024-09-07 08:53:20:774,316257,316257,0,0,12483564,0,3080 98,3,2024-09-07 08:53:20:703,1,250,1,0,840,4563,250,0 99,0,2024-09-07 08:53:21:486,62784,0.3,62964,0.4,125630,0.2,167594,1.50 99,1,2024-09-07 08:53:21:724,440627,440627,0,0,205832825870,2145980435,436839,3048,740,381,391744,0 99,2,2024-09-07 08:53:21:421,318303,318303,0,0,13214591,0,3106 99,3,2024-09-07 08:53:20:586,1,250,8,0,168,1934,250,0 100,0,2024-09-07 08:53:21:495,63569,0.7,63493,0.9,126791,0.8,169722,2.25 100,1,2024-09-07 08:53:20:550,437842,437842,0,0,204204769985,2160237716,430999,5526,1317,381,391989,0 100,2,2024-09-07 08:53:21:836,314192,314181,11,0,14825883,0,5417 100,3,2024-09-07 08:53:21:752,1,250,7,0,559,4908,250,0 101,0,2024-09-07 08:53:21:719,64682,1.7,62927,1.2,123710,2.0,169940,2.50 101,1,2024-09-07 08:53:20:551,438037,438037,0,0,204406267278,2155921758,430685,5581,1771,368,391769,0 101,2,2024-09-07 08:53:21:759,315849,315849,0,0,16045578,0,4644 101,3,2024-09-07 08:53:20:941,1,250,72,0,448,3218,250,0 102,0,2024-09-07 08:53:20:973,61670,0.7,63931,0.8,128443,0.7,168706,2.00 102,1,2024-09-07 08:53:21:154,439308,439308,0,0,205810346361,2162735339,433653,4814,841,369,391883,0 102,2,2024-09-07 08:53:21:736,317212,317158,54,0,14704871,0,6768 102,3,2024-09-07 08:53:21:618,1,250,1,0,410,2728,250,0 103,0,2024-09-07 08:53:21:589,63878,0.5,63795,0.6,120376,0.4,165780,1.75 103,1,2024-09-07 08:53:21:631,438476,438476,0,0,205480881062,2165890740,432184,4911,1381,381,391829,0 103,2,2024-09-07 08:53:20:598,316055,316055,0,0,13857064,0,2582 103,3,2024-09-07 08:53:20:768,1,250,0,0,916,3572,250,0 104,0,2024-09-07 08:53:21:074,62571,0.8,63068,1.0,124759,0.7,168782,2.25 104,1,2024-09-07 08:53:21:631,439877,439877,0,0,205103266276,2157945318,433202,5580,1095,365,391948,0 104,2,2024-09-07 08:53:21:668,312039,312039,0,0,15467906,0,3941 104,3,2024-09-07 08:53:21:420,1,250,10,0,1245,6413,250,0 105,0,2024-09-07 08:53:21:039,63224,1.3,61383,1.2,128192,2.0,169226,3.50 105,1,2024-09-07 08:53:20:559,439799,439799,0,0,205663611768,2159631029,433486,5334,979,366,391797,0 105,2,2024-09-07 08:53:21:329,316663,316663,0,0,15013518,0,3509 105,3,2024-09-07 08:53:21:310,1,250,2,0,399,4266,250,0 106,0,2024-09-07 08:53:20:960,59573,1.0,60992,1.1,125044,1.2,163776,2.75 106,1,2024-09-07 08:53:21:766,439789,439789,0,0,205500199998,2160963064,432682,6348,759,369,391767,0 106,2,2024-09-07 08:53:20:763,316244,316244,0,0,14553470,0,2795 106,3,2024-09-07 08:53:20:678,1,250,5,0,470,3613,250,0 107,0,2024-09-07 08:53:21:144,61370,0.8,61357,0.9,122589,0.8,163332,2.00 107,1,2024-09-07 08:53:20:584,438323,438323,0,0,204762893479,2155266992,433001,4774,548,381,392234,0 107,2,2024-09-07 08:53:21:302,315880,315879,1,0,15223554,0,5024 107,3,2024-09-07 08:53:21:767,1,250,13,0,353,3434,250,0 108,0,2024-09-07 08:53:21:784,61547,0.4,61773,0.6,122461,0.3,164000,1.75 108,1,2024-09-07 08:53:21:313,440162,440162,0,0,205890365124,2144196920,436957,2821,384,368,391857,0 108,2,2024-09-07 08:53:21:765,311777,311777,0,0,13332676,0,2647 108,3,2024-09-07 08:53:21:349,1,250,2,0,749,4847,250,0 109,0,2024-09-07 08:53:21:808,64724,0.4,63990,0.6,128452,0.3,172311,1.75 109,1,2024-09-07 08:53:20:584,438658,438658,0,0,205443246967,2153721081,434866,3276,516,383,392132,0 109,2,2024-09-07 08:53:20:941,317154,317154,0,0,13892349,0,3617 109,3,2024-09-07 08:53:21:154,1,250,1,0,249,2944,250,0 110,0,2024-09-07 08:53:21:756,61267,0.4,59676,0.6,124784,0.4,163175,1.75 110,1,2024-09-07 08:53:21:650,441229,441229,0,0,206773503496,2146337305,438086,2266,877,370,392045,0 110,2,2024-09-07 08:53:21:310,318153,318153,0,0,13141001,0,3264 110,3,2024-09-07 08:53:20:692,1,250,13,0,406,3276,250,0 111,0,2024-09-07 08:53:21:419,62175,0.4,61753,0.5,123282,0.3,164966,1.75 111,1,2024-09-07 08:53:21:005,441458,441458,0,0,206820412149,2142913826,439466,1656,336,382,391690,0 111,2,2024-09-07 08:53:21:128,315971,315971,0,0,12638248,0,2763 111,3,2024-09-07 08:53:20:930,1,250,1,0,379,3366,250,0 112,0,2024-09-07 08:53:20:940,62504,0.3,62516,0.4,125063,0.2,165729,1.50 112,1,2024-09-07 08:53:20:844,441605,441605,0,0,205762073287,2133903081,439186,1991,428,380,391580,0 112,2,2024-09-07 08:53:21:135,314668,314667,1,0,12897444,0,5036 112,3,2024-09-07 08:53:20:599,1,250,1,0,282,2690,250,0 113,0,2024-09-07 08:53:20:874,63455,0.3,63322,0.5,127310,0.2,170072,1.50 113,1,2024-09-07 08:53:21:701,442265,442265,0,0,207189904464,2138297893,439767,2009,489,366,391661,0 113,2,2024-09-07 08:53:21:314,318197,318197,0,0,11726823,0,3813 113,3,2024-09-07 08:53:20:685,1,250,1,0,340,3595,250,0 114,0,2024-09-07 08:53:20:878,63099,0.4,63618,0.5,126094,0.3,168282,1.75 114,1,2024-09-07 08:53:20:716,440401,440401,0,0,205869408266,2145100796,435593,3219,1589,381,391556,0 114,2,2024-09-07 08:53:20:873,317073,317072,1,0,12823598,0,5069 114,3,2024-09-07 08:53:21:285,1,250,1,0,395,2682,250,0 115,0,2024-09-07 08:53:20:554,63080,0.3,63339,0.4,126724,0.2,168523,1.50 115,1,2024-09-07 08:53:20:578,440356,440356,0,0,205850515543,2141688712,435996,3526,834,382,391602,0 115,2,2024-09-07 08:53:21:130,316970,316970,0,0,11161175,0,2152 115,3,2024-09-07 08:53:21:004,1,250,1,0,159,1371,250,0 116,0,2024-09-07 08:53:21:713,62030,0.6,61930,0.8,124010,0.6,166018,2.00 116,1,2024-09-07 08:53:20:803,437899,437899,0,0,204868273571,2167947980,431499,4345,2055,380,391782,0 116,2,2024-09-07 08:53:21:757,315841,315841,0,0,16076854,0,3529 116,3,2024-09-07 08:53:20:932,1,250,3,0,415,3619,250,0 117,0,2024-09-07 08:53:20:977,63382,0.7,63133,0.9,126568,0.8,169426,2.00 117,1,2024-09-07 08:53:21:592,439600,439600,0,0,204659790114,2142743564,434899,4221,480,370,392033,0 117,2,2024-09-07 08:53:21:129,316329,316329,0,0,13215231,0,3700 117,3,2024-09-07 08:53:21:060,1,250,14,0,490,4018,250,0 118,0,2024-09-07 08:53:21:773,61749,0.6,63412,0.7,129386,0.6,169517,2.00 118,1,2024-09-07 08:53:20:594,439389,439389,0,0,205051077926,2156736515,433021,4935,1433,366,391736,0 118,2,2024-09-07 08:53:21:590,316088,316088,0,0,14451492,0,2781 118,3,2024-09-07 08:53:21:765,1,250,1,0,235,2886,250,0 119,0,2024-09-07 08:53:21:341,62276,0.9,62734,0.9,125662,1.2,167270,2.25 119,1,2024-09-07 08:53:20:568,440558,440558,0,0,205969282134,2152181574,435902,4134,522,367,391641,0 119,2,2024-09-07 08:53:21:295,317456,317456,0,0,13680263,0,4174 119,3,2024-09-07 08:53:21:332,1,250,2,0,443,3474,250,0 120,0,2024-09-07 08:53:21:557,61351,0.5,61033,0.8,122470,0.5,163465,2.00 120,1,2024-09-07 08:53:20:857,439901,439901,0,0,205272765811,2153634581,435213,4337,351,368,391961,0 120,2,2024-09-07 08:53:20:774,316284,316283,1,0,16237309,0,5281 120,3,2024-09-07 08:53:21:290,1,250,1,0,241,3241,250,0 121,0,2024-09-07 08:53:21:717,62287,0.9,62410,0.9,124910,1.2,166360,2.00 121,1,2024-09-07 08:53:21:669,439923,439923,0,0,205413143303,2150593611,435313,4196,414,367,391840,0 121,2,2024-09-07 08:53:21:140,311416,311416,0,0,15417816,0,4127 121,3,2024-09-07 08:53:20:740,1,250,0,0,269,2866,250,0 122,0,2024-09-07 08:53:21:843,64153,0.9,62551,0.9,131276,1.0,172586,2.00 122,1,2024-09-07 08:53:20:859,438698,438698,0,0,204951088436,2152059057,432630,5251,817,366,392130,0 122,2,2024-09-07 08:53:21:321,316606,316533,73,0,18052250,0,5989 122,3,2024-09-07 08:53:20:593,1,250,1,0,411,4963,250,0 123,0,2024-09-07 08:53:20:961,61166,1.0,59824,0.9,124727,1.1,163384,2.25 123,1,2024-09-07 08:53:20:557,439432,439432,0,0,205873748715,2172739438,430758,6958,1716,369,392039,0 123,2,2024-09-07 08:53:21:033,315656,315655,1,0,14964955,0,5215 123,3,2024-09-07 08:53:21:144,1,250,22,0,168,3076,250,0 124,0,2024-09-07 08:53:20:943,63434,0.3,63396,0.5,119636,0.3,163850,1.75 124,1,2024-09-07 08:53:21:178,441360,441360,0,0,205567780024,2135318328,438517,2481,362,367,392178,0 124,2,2024-09-07 08:53:21:024,316456,316456,0,0,11945103,0,3101 124,3,2024-09-07 08:53:20:780,1,250,1,0,490,2765,250,0 125,0,2024-09-07 08:53:21:449,61632,0.3,61445,0.5,123337,0.3,163551,1.75 125,1,2024-09-07 08:53:20:860,440024,440024,0,0,206007355020,2148457307,436929,2701,394,383,391702,0 125,2,2024-09-07 08:53:21:129,313794,313794,0,0,12946255,0,4534 125,3,2024-09-07 08:53:21:152,1,250,24,0,709,3562,250,0 126,0,2024-09-07 08:53:21:463,64297,0.5,66013,0.6,126120,0.5,171682,1.75 126,1,2024-09-07 08:53:20:561,441641,441641,0,0,205942608197,2134035885,438958,2421,262,365,391987,0 126,2,2024-09-07 08:53:20:776,319222,319222,0,0,13287331,0,3186 126,3,2024-09-07 08:53:20:914,1,250,0,0,183,3296,250,0 127,0,2024-09-07 08:53:21:715,61405,0.4,61716,0.5,122859,0.4,163473,1.75 127,1,2024-09-07 08:53:20:569,440982,440982,0,0,206261189749,2136915390,438038,2791,153,365,391816,0 127,2,2024-09-07 08:53:20:639,317923,317923,0,0,11956675,0,2264 127,3,2024-09-07 08:53:21:273,1,250,20,0,968,2992,250,0 128,0,2024-09-07 08:53:21:530,62938,0.3,62897,0.4,125575,0.2,166666,1.50 128,1,2024-09-07 08:53:21:610,440355,440355,0,0,206203512009,2138290886,438182,2016,157,367,391798,0 128,2,2024-09-07 08:53:21:391,316255,316255,0,0,11893200,0,2434 128,3,2024-09-07 08:53:20:769,1,250,1,0,1082,5365,250,0 129,0,2024-09-07 08:53:21:016,63338,0.3,62994,0.4,125927,0.2,167295,1.50 129,1,2024-09-07 08:53:20:584,438703,438703,0,0,205049432071,2149451461,434548,3226,929,379,391835,0 129,2,2024-09-07 08:53:20:691,316797,316797,0,0,12868858,0,4031 129,3,2024-09-07 08:53:20:691,1,250,3,0,469,3319,250,0 130,0,2024-09-07 08:53:21:759,64075,0.5,63739,0.6,127668,0.5,170675,1.75 130,1,2024-09-07 08:53:20:584,441260,441260,0,0,206125422262,2144206960,438823,2359,78,381,391825,0 130,2,2024-09-07 08:53:21:130,317072,317072,0,0,12508359,0,4067 130,3,2024-09-07 08:53:21:309,1,250,8,0,450,3366,250,0 131,0,2024-09-07 08:53:21:944,63350,0.4,63735,0.5,128405,0.4,169669,1.75 131,1,2024-09-07 08:53:21:843,441072,441072,0,0,205563262083,2143019674,438024,2590,458,381,391865,0 131,2,2024-09-07 08:53:20:567,318350,318350,0,0,11449834,0,2415 131,3,2024-09-07 08:53:21:705,1,250,2,0,392,2552,250,0 132,0,2024-09-07 08:53:21:448,62960,0.5,63749,0.7,127204,0.5,169123,2.00 132,1,2024-09-07 08:53:20:583,438646,438646,0,0,205037447099,2163059460,431637,5755,1254,381,392097,0 132,2,2024-09-07 08:53:20:701,316827,316827,0,0,15391215,0,4606 132,3,2024-09-07 08:53:21:692,1,250,5,0,356,3551,250,0 133,0,2024-09-07 08:53:21:554,60506,0.4,61922,0.6,126504,0.3,164589,1.75 133,1,2024-09-07 08:53:20:586,438916,438916,0,0,205424541928,2162468296,433439,4810,667,383,391914,0 133,2,2024-09-07 08:53:21:105,315935,315935,0,0,16510103,0,4315 133,3,2024-09-07 08:53:21:304,1,250,20,0,276,2526,250,0 134,0,2024-09-07 08:53:20:946,63251,0.4,63103,0.6,126540,0.4,168891,2.00 134,1,2024-09-07 08:53:20:581,438503,438503,0,0,204854941698,2152641345,432049,4984,1470,366,391718,0 134,2,2024-09-07 08:53:21:761,312913,312913,0,0,13736027,0,3847 134,3,2024-09-07 08:53:20:752,1,250,7,0,739,3767,250,0 135,0,2024-09-07 08:53:21:149,61799,0.9,61710,1.0,130902,1.0,169230,2.25 135,1,2024-09-07 08:53:21:598,438439,438439,0,0,205850672001,2166533804,432243,5199,997,380,391805,0 135,2,2024-09-07 08:53:20:686,317527,317527,0,0,15279510,0,3981 135,3,2024-09-07 08:53:21:011,1,250,1,0,299,1851,250,0 136,0,2024-09-07 08:53:21:648,62135,0.6,62057,0.8,124027,0.7,165537,2.25 136,1,2024-09-07 08:53:21:459,438925,438925,0,0,205512873292,2159982150,433400,4941,584,382,391685,0 136,2,2024-09-07 08:53:21:137,318278,318278,0,0,14718108,0,3506 136,3,2024-09-07 08:53:21:119,1,250,8,0,150,2121,250,0 137,0,2024-09-07 08:53:20:933,63257,0.6,61497,0.7,121367,0.6,164562,2.00 137,1,2024-09-07 08:53:20:582,439148,439148,0,0,205124938270,2153910049,432202,5529,1417,366,391708,0 137,2,2024-09-07 08:53:21:721,315608,315608,0,0,16212828,0,3185 137,3,2024-09-07 08:53:20:773,1,250,34,0,382,3256,250,0 138,0,2024-09-07 08:53:21:779,60934,0.8,61028,0.9,122685,0.9,163454,2.00 138,1,2024-09-07 08:53:21:704,438885,438885,0,0,205337374986,2157583969,431918,5836,1131,368,391954,0 138,2,2024-09-07 08:53:20:590,312695,312695,0,0,14840481,0,4988 138,3,2024-09-07 08:53:20:616,1,250,3,0,1160,3857,250,0 139,0,2024-09-07 08:53:21:386,63377,1.9,63611,1.3,127462,2.9,170685,2.50 139,1,2024-09-07 08:53:20:578,437229,437229,0,0,204149352263,2169953301,428733,6382,2114,381,391892,0 139,2,2024-09-07 08:53:20:695,315993,315993,0,0,15746541,0,3097 139,3,2024-09-07 08:53:21:668,1,250,2,0,244,2551,250,0 140,0,2024-09-07 08:53:21:587,61677,0.3,61107,0.5,122816,0.3,163365,1.75 140,1,2024-09-07 08:53:21:539,442215,442215,0,0,207175743441,2134728564,440235,1738,242,365,391606,0 140,2,2024-09-07 08:53:20:691,318540,318540,0,0,12220578,0,3388 140,3,2024-09-07 08:53:20:769,1,250,1,0,247,2152,250,0 141,0,2024-09-07 08:53:21:702,61877,0.3,63595,0.4,121607,0.2,165001,1.50 141,1,2024-09-07 08:53:20:866,441397,441397,0,0,206705503991,2143945905,438827,2162,408,379,391614,0 141,2,2024-09-07 08:53:21:693,316352,316352,0,0,11824268,0,2342 141,3,2024-09-07 08:53:21:049,1,250,2,0,202,2325,250,0 142,0,2024-09-07 08:53:21:351,63191,0.3,62552,0.4,124401,0.2,166035,1.50 142,1,2024-09-07 08:53:20:586,440936,440936,0,0,205608076122,2143390896,438141,2530,265,382,392102,0 142,2,2024-09-07 08:53:21:314,314442,314410,32,0,13568344,0,6028 142,3,2024-09-07 08:53:21:747,1,250,1,0,484,3145,250,0 143,0,2024-09-07 08:53:21:383,63645,0.5,63645,0.6,127780,0.5,169878,1.75 143,1,2024-09-07 08:53:20:556,440847,440847,0,0,206296764889,2138759533,438134,2618,95,367,391651,0 143,2,2024-09-07 08:53:20:782,316829,316829,0,0,12775526,0,2669 143,3,2024-09-07 08:53:21:148,1,250,18,0,303,3296,250,0 144,0,2024-09-07 08:53:21:531,60682,0.7,62292,0.9,127033,0.6,166442,2.00 144,1,2024-09-07 08:53:20:568,438580,438580,0,0,205026679260,2151988014,434568,3087,925,381,391649,0 144,2,2024-09-07 08:53:21:766,317913,317913,0,0,12245415,0,3473 144,3,2024-09-07 08:53:21:746,1,250,38,0,249,2944,250,0 145,0,2024-09-07 08:53:21:374,60738,0.6,60737,0.8,128834,0.5,166457,2.25 145,1,2024-09-07 08:53:20:562,438137,438137,0,0,205445668123,2160600201,432640,4674,823,382,391698,0 145,2,2024-09-07 08:53:21:442,315227,315227,0,0,14564706,0,3903 145,3,2024-09-07 08:53:20:896,1,250,1,0,622,4106,250,0 146,0,2024-09-07 08:53:21:622,62064,0.5,61641,0.7,124140,0.4,165199,2.00 146,1,2024-09-07 08:53:21:607,439114,439114,0,0,205214411300,2163847637,430836,6431,1847,368,391770,0 146,2,2024-09-07 08:53:21:699,315884,315884,0,0,14656036,0,2730 146,3,2024-09-07 08:53:21:287,1,250,1,0,1520,5914,250,0 147,0,2024-09-07 08:53:21:712,63273,0.6,63227,0.7,125496,0.5,169142,2.00 147,1,2024-09-07 08:53:21:377,441054,441054,0,0,206619090338,2149168724,436954,3515,585,368,391791,0 147,2,2024-09-07 08:53:21:014,316035,316035,0,0,12224241,0,2789 147,3,2024-09-07 08:53:20:939,1,250,0,0,730,3909,250,0 0,0,2024-09-07 08:53:31:718,59824,0.5,59893,0.7,126957,0.4,164195,1.75 0,1,2024-09-07 08:53:30:802,442043,442043,0,0,206516803559,2159626747,438831,3008,204,370,391772,0 0,2,2024-09-07 08:53:31:069,317975,317975,0,0,13002251,0,4480 0,3,2024-09-07 08:53:30:974,1,251,1,0,319,3389,251,0 1,0,2024-09-07 08:53:31:799,62724,0.8,62277,0.9,125379,1.0,167088,2.00 1,1,2024-09-07 08:53:30:575,441649,441649,0,0,206232205524,2160488622,437153,3443,1053,371,391857,0 1,2,2024-09-07 08:53:30:639,313344,313344,0,0,11960424,0,3267 1,3,2024-09-07 08:53:31:302,1,251,1,0,262,2963,251,0 2,0,2024-09-07 08:53:31:613,64882,0.7,65137,0.8,129175,0.8,173240,2.00 2,1,2024-09-07 08:53:30:859,441922,441922,0,0,207093196255,2150557347,439815,1889,218,380,391745,0 2,2,2024-09-07 08:53:31:266,319279,319279,0,0,12539340,0,3594 2,3,2024-09-07 08:53:30:695,1,251,0,0,357,2270,251,0 3,0,2024-09-07 08:53:31:746,61556,0.5,61636,0.6,122715,0.4,163489,2.00 3,1,2024-09-07 08:53:31:619,441707,441707,0,0,206428110511,2150408486,437868,3377,462,380,391523,0 3,2,2024-09-07 08:53:31:142,319171,319148,23,0,12805531,0,5851 3,3,2024-09-07 08:53:31:752,1,251,1,0,103,1523,251,0 4,0,2024-09-07 08:53:31:779,59684,0.4,61402,0.5,124951,0.3,163341,1.75 4,1,2024-09-07 08:53:30:592,441335,441335,0,0,205987663442,2163944997,436594,3950,791,371,391846,0 4,2,2024-09-07 08:53:31:031,316649,316649,0,0,15161102,0,4528 4,3,2024-09-07 08:53:31:027,1,251,1,0,448,3871,251,0 5,0,2024-09-07 08:53:31:378,61524,0.4,61879,0.5,122823,0.3,163546,1.75 5,1,2024-09-07 08:53:30:755,441339,441339,0,0,206300080608,2169496800,435845,4382,1112,368,392005,0 5,2,2024-09-07 08:53:31:835,312589,312589,0,0,13743503,0,2432 5,3,2024-09-07 08:53:31:732,1,251,1,0,457,3812,251,0 6,0,2024-09-07 08:53:30:919,65135,0.6,64424,0.7,128834,0.5,172808,2.00 6,1,2024-09-07 08:53:30:747,441545,441545,0,0,206258922357,2155813066,436870,3831,844,379,391694,0 6,2,2024-09-07 08:53:31:120,318914,318914,0,0,13787985,0,4816 6,3,2024-09-07 08:53:31:274,1,251,1,0,340,2970,251,0 7,0,2024-09-07 08:53:31:540,61148,0.5,61354,0.7,122326,0.5,162630,2.00 7,1,2024-09-07 08:53:30:855,441186,441186,0,0,206177639400,2163608287,435286,4897,1003,382,391747,0 7,2,2024-09-07 08:53:30:770,318222,318222,0,0,13613570,0,4791 7,3,2024-09-07 08:53:30:850,1,251,5,0,398,3112,251,0 8,0,2024-09-07 08:53:31:328,62741,0.4,62731,0.5,124846,0.3,166537,1.75 8,1,2024-09-07 08:53:31:027,439891,439891,0,0,205770976926,2171615268,431599,6274,2018,367,391956,0 8,2,2024-09-07 08:53:30:801,313435,313435,0,0,16272874,0,3220 8,3,2024-09-07 08:53:30:591,1,251,6,0,357,3830,251,0 9,0,2024-09-07 08:53:31:103,63174,0.3,61234,0.5,127931,0.3,167842,1.50 9,1,2024-09-07 08:53:30:560,440662,440662,0,0,206210389990,2173966231,434174,4946,1542,370,392001,0 9,2,2024-09-07 08:53:31:093,316195,316195,0,0,14416193,0,3360 9,3,2024-09-07 08:53:31:766,1,251,5,0,496,3812,251,0 10,0,2024-09-07 08:53:31:604,64304,0.3,63705,0.5,128180,0.2,171224,1.75 10,1,2024-09-07 08:53:30:584,441169,441169,0,0,206171689319,2162280621,434809,5432,928,381,391741,0 10,2,2024-09-07 08:53:30:765,317362,317362,0,0,16009297,0,4264 10,3,2024-09-07 08:53:30:870,1,251,1,0,177,2082,251,0 11,0,2024-09-07 08:53:31:007,63160,0.5,61384,0.7,128389,0.5,169817,2.00 11,1,2024-09-07 08:53:30:571,441463,441463,0,0,206480832919,2173359913,433244,6238,1981,383,391537,0 11,2,2024-09-07 08:53:31:123,317928,317928,0,0,14942240,0,4130 11,3,2024-09-07 08:53:31:301,1,251,234,0,843,4213,251,0 12,0,2024-09-07 08:53:30:976,63959,0.3,63898,0.5,127686,0.3,169854,1.75 12,1,2024-09-07 08:53:30:951,441384,441384,0,0,206092362689,2148421274,437868,3095,421,370,391870,0 12,2,2024-09-07 08:53:31:546,318473,318473,0,0,13850996,0,3469 12,3,2024-09-07 08:53:31:062,1,251,1,0,386,4176,251,0 13,0,2024-09-07 08:53:31:379,62492,0.3,62344,0.5,124491,0.3,165707,1.50 13,1,2024-09-07 08:53:31:525,441702,441702,0,0,205454600864,2155493696,438153,3008,541,382,391717,0 13,2,2024-09-07 08:53:30:601,318931,318931,0,0,13034387,0,3287 13,3,2024-09-07 08:53:31:774,1,251,1,0,467,3930,251,0 14,0,2024-09-07 08:53:30:566,63779,0.4,64138,0.5,127323,0.3,169919,1.75 14,1,2024-09-07 08:53:31:562,444343,444343,0,0,206951545211,2141603235,441512,2642,189,364,391571,0 14,2,2024-09-07 08:53:30:768,314515,314515,0,0,12953259,0,2896 14,3,2024-09-07 08:53:31:122,1,251,3,0,1168,3464,251,0 15,0,2024-09-07 08:53:31:556,64016,0.5,64249,0.7,128167,0.5,170587,2.00 15,1,2024-09-07 08:53:31:609,442550,442550,0,0,206757755665,2148858117,440031,2341,178,381,391619,0 15,2,2024-09-07 08:53:30:998,319430,319430,0,0,11060984,0,3043 15,3,2024-09-07 08:53:31:405,1,251,1,0,1126,5215,251,0 16,0,2024-09-07 08:53:30:935,62259,0.7,62500,0.8,124271,0.8,166288,2.25 16,1,2024-09-07 08:53:30:583,442478,442478,0,0,206379357450,2156334588,438918,3261,299,370,391756,0 16,2,2024-09-07 08:53:31:436,318323,318323,0,0,13705991,0,4719 16,3,2024-09-07 08:53:31:143,1,251,2,0,317,3464,251,0 17,0,2024-09-07 08:53:31:786,63550,0.5,62026,0.7,121433,0.4,164830,1.75 17,1,2024-09-07 08:53:30:571,441322,441322,0,0,206143328585,2163982131,436479,3739,1104,368,391845,0 17,2,2024-09-07 08:53:31:682,320665,320665,0,0,12859662,0,2857 17,3,2024-09-07 08:53:30:575,1,251,1,0,268,4007,251,0 18,0,2024-09-07 08:53:30:940,61153,0.6,61345,0.8,122508,0.7,164012,2.25 18,1,2024-09-07 08:53:31:639,442843,442843,0,0,206773970340,2142845200,440333,2268,242,367,391649,0 18,2,2024-09-07 08:53:31:759,314728,314728,0,0,12466849,0,3541 18,3,2024-09-07 08:53:30:896,1,251,1,0,163,2532,251,0 19,0,2024-09-07 08:53:31:546,64291,0.6,64926,0.8,128492,0.6,171495,2.25 19,1,2024-09-07 08:53:30:574,442419,442419,0,0,207690284432,2158293068,437761,3872,786,367,391777,0 19,2,2024-09-07 08:53:31:758,320740,320740,0,0,11538471,0,3988 19,3,2024-09-07 08:53:31:129,1,251,1,0,524,1883,251,0 20,0,2024-09-07 08:53:31:375,61035,0.7,61088,0.8,122488,0.8,163129,2.25 20,1,2024-09-07 08:53:30:571,441132,441132,0,0,206481498305,2160046306,437314,3420,398,369,391886,0 20,2,2024-09-07 08:53:30:944,319261,319261,0,0,13323275,0,3721 20,3,2024-09-07 08:53:30:590,1,251,7,0,414,4621,251,0 21,0,2024-09-07 08:53:31:134,62052,0.5,62333,0.6,124232,0.4,164628,2.00 21,1,2024-09-07 08:53:31:544,440437,440437,0,0,205402361046,2162874933,434719,4461,1257,368,391962,0 21,2,2024-09-07 08:53:31:080,316303,316303,0,0,15047735,0,3747 21,3,2024-09-07 08:53:31:405,1,251,1,0,103,2636,251,0 22,0,2024-09-07 08:53:31:717,62164,0.6,62310,0.7,124275,0.5,164764,2.00 22,1,2024-09-07 08:53:31:023,440923,440923,0,0,205783995936,2167306295,433692,5589,1642,382,391667,0 22,2,2024-09-07 08:53:30:759,314766,314766,0,0,13229977,0,3134 22,3,2024-09-07 08:53:31:068,1,251,8,0,228,2340,251,0 23,0,2024-09-07 08:53:31:369,63807,0.6,63449,0.7,127585,0.6,170149,2.25 23,1,2024-09-07 08:53:31:003,441578,441578,0,0,206863076593,2172614658,434268,4759,2551,365,391690,0 23,2,2024-09-07 08:53:31:092,318971,318971,0,0,12816302,0,3010 23,3,2024-09-07 08:53:31:758,1,251,2,0,645,3279,251,0 24,0,2024-09-07 08:53:30:830,63278,0.4,63167,0.6,126653,0.4,167903,1.75 24,1,2024-09-07 08:53:30:583,440983,440983,0,0,205067123074,2152782190,435372,4131,1480,368,391987,0 24,2,2024-09-07 08:53:31:069,317146,317146,0,0,15737021,0,3607 24,3,2024-09-07 08:53:31:690,1,251,3,0,468,3792,251,0 25,0,2024-09-07 08:53:31:344,64771,0.5,63074,0.7,123975,0.4,169162,2.00 25,1,2024-09-07 08:53:30:618,441856,441856,0,0,206141058209,2157937542,437517,3785,554,371,391788,0 25,2,2024-09-07 08:53:31:606,315929,315929,0,0,15889102,0,3978 25,3,2024-09-07 08:53:31:000,1,251,1,0,255,2718,251,0 26,0,2024-09-07 08:53:31:723,62270,0.4,60892,0.6,127550,0.3,166417,1.75 26,1,2024-09-07 08:53:31:556,441315,441315,0,0,206022167506,2162536082,434326,5773,1216,381,391748,0 26,2,2024-09-07 08:53:30:860,317404,317404,0,0,15612753,0,2809 26,3,2024-09-07 08:53:31:715,1,251,55,0,796,3381,251,0 27,0,2024-09-07 08:53:31:729,63998,0.4,64070,0.6,126636,0.4,169569,2.00 27,1,2024-09-07 08:53:31:677,443253,443253,0,0,206936171736,2153519006,439803,2857,593,381,391558,0 27,2,2024-09-07 08:53:30:869,314600,314535,65,0,15683513,0,5699 27,3,2024-09-07 08:53:31:015,1,251,0,0,564,2707,251,0 28,0,2024-09-07 08:53:31:400,64198,0.5,63935,0.7,128481,0.4,172026,2.00 28,1,2024-09-07 08:53:30:801,442648,442648,0,0,207401368498,2158389250,439807,2370,471,383,391646,0 28,2,2024-09-07 08:53:31:767,318640,318640,0,0,12285754,0,2915 28,3,2024-09-07 08:53:31:783,1,251,8,0,502,2570,251,0 29,0,2024-09-07 08:53:31:360,64627,0.4,63297,0.6,123599,0.4,169194,1.75 29,1,2024-09-07 08:53:31:563,443691,443691,0,0,207889455143,2152029785,440467,2659,565,369,391621,0 29,2,2024-09-07 08:53:30:861,317859,317859,0,0,11729063,0,4018 29,3,2024-09-07 08:53:30:969,1,251,1,0,115,1795,251,0 30,0,2024-09-07 08:53:31:456,61519,0.5,59814,0.7,124964,0.4,163614,2.00 30,1,2024-09-07 08:53:30:570,443362,443362,0,0,207320300636,2147821712,441033,2036,293,382,391672,0 30,2,2024-09-07 08:53:31:274,318485,318485,0,0,11600532,0,3161 30,3,2024-09-07 08:53:30:581,1,251,1,0,195,1885,251,0 31,0,2024-09-07 08:53:31:758,62294,0.4,62607,0.6,125418,0.3,166901,1.75 31,1,2024-09-07 08:53:30:572,444663,444663,0,0,208198704100,2132711666,443274,1170,219,356,391712,0 31,2,2024-09-07 08:53:31:275,313717,313717,0,0,13108825,0,3525 31,3,2024-09-07 08:53:31:706,1,251,3,0,220,1968,251,0 32,0,2024-09-07 08:53:31:427,64655,0.4,65172,0.5,130118,0.3,173597,1.75 32,1,2024-09-07 08:53:30:804,443249,443249,0,0,206969075038,2146935012,441292,1678,279,381,391622,0 32,2,2024-09-07 08:53:30:947,319516,319516,0,0,11489403,0,3155 32,3,2024-09-07 08:53:31:020,1,251,5,0,227,2032,251,0 33,0,2024-09-07 08:53:31:498,62018,0.3,61352,0.5,123405,0.2,164364,1.75 33,1,2024-09-07 08:53:30:582,443553,443553,0,0,208070581614,2149226944,440972,2407,174,369,391730,0 33,2,2024-09-07 08:53:30:758,320428,320395,33,0,13241122,0,7012 33,3,2024-09-07 08:53:30:895,1,251,1,0,329,2905,251,0 34,0,2024-09-07 08:53:30:935,61488,0.3,63325,0.5,121475,0.2,162734,1.50 34,1,2024-09-07 08:53:31:051,444033,444033,0,0,207745933518,2136391172,442937,1089,7,367,391562,0 34,2,2024-09-07 08:53:30:769,317860,317860,0,0,12489020,0,3577 34,3,2024-09-07 08:53:31:690,1,251,1,0,299,2044,251,0 35,0,2024-09-07 08:53:30:859,61100,0.3,61461,0.5,123477,0.3,163944,1.75 35,1,2024-09-07 08:53:31:068,443017,443017,0,0,206425321779,2141323928,439671,2566,780,384,391589,0 35,2,2024-09-07 08:53:31:583,314777,314777,0,0,11957049,0,2653 35,3,2024-09-07 08:53:30:908,1,251,1,0,418,2984,251,0 36,0,2024-09-07 08:53:31:528,64681,0.6,64605,0.8,129493,0.6,172600,2.25 36,1,2024-09-07 08:53:30:585,442200,442200,0,0,206625178768,2161737488,436218,4403,1579,366,391759,0 36,2,2024-09-07 08:53:31:751,318489,318489,0,0,14119214,0,3875 36,3,2024-09-07 08:53:30:869,1,251,1,0,416,4039,251,0 37,0,2024-09-07 08:53:31:380,61022,0.6,61027,0.7,122221,0.5,163569,2.00 37,1,2024-09-07 08:53:30:570,441422,441415,0,7,206045802720,2159811106,435407,3941,2067,365,391560,0 37,2,2024-09-07 08:53:31:143,318126,318111,15,0,14441340,0,5815 37,3,2024-09-07 08:53:31:767,1,251,1,0,888,4434,251,0 38,0,2024-09-07 08:53:31:437,61964,0.5,60262,0.7,126207,0.4,164688,2.00 38,1,2024-09-07 08:53:31:606,442412,442412,0,0,206842031198,2159558183,437140,4597,675,368,391821,0 38,2,2024-09-07 08:53:30:759,316365,316318,47,0,14781678,0,6710 38,3,2024-09-07 08:53:30:998,1,251,1,0,689,3597,251,0 39,0,2024-09-07 08:53:31:764,64377,0.6,63273,0.7,122673,0.5,167910,2.00 39,1,2024-09-07 08:53:30:728,442193,442193,0,0,206712329459,2158349511,436633,4658,902,365,391594,0 39,2,2024-09-07 08:53:31:417,316161,316161,0,0,12814482,0,2689 39,3,2024-09-07 08:53:30:726,1,251,0,0,324,3420,251,0 40,0,2024-09-07 08:53:31:497,63334,0.7,63918,0.9,127948,0.7,170808,2.75 40,1,2024-09-07 08:53:30:577,441699,441699,0,0,205239165998,2152946095,434560,5696,1443,368,391591,0 40,2,2024-09-07 08:53:31:303,317240,317239,1,0,16032284,0,5137 40,3,2024-09-07 08:53:31:143,1,251,1,0,398,3141,251,0 41,0,2024-09-07 08:53:31:025,62955,2.0,64446,1.4,122934,3.1,167989,3.75 41,1,2024-09-07 08:53:30:769,441757,441757,0,0,206489878330,2160042543,436217,4906,634,370,391742,0 41,2,2024-09-07 08:53:30:758,316624,316624,0,0,15225501,0,3356 41,3,2024-09-07 08:53:31:678,1,251,1,0,366,2908,251,0 42,0,2024-09-07 08:53:31:475,62717,0.8,62967,1.0,125994,0.9,166509,2.50 42,1,2024-09-07 08:53:31:443,440369,440369,0,0,205764344653,2164345275,433085,5832,1452,380,391675,0 42,2,2024-09-07 08:53:31:133,317834,317834,0,0,14588896,0,3568 42,3,2024-09-07 08:53:31:009,1,251,3,0,446,2332,251,0 43,0,2024-09-07 08:53:30:925,61817,0.7,60315,0.9,125907,0.7,165477,2.25 43,1,2024-09-07 08:53:30:575,441404,441404,0,0,206919933776,2163082906,435141,4934,1329,366,391696,0 43,2,2024-09-07 08:53:31:739,318205,318205,0,0,13990193,0,3812 43,3,2024-09-07 08:53:31:750,1,251,1,0,467,3069,251,0 44,0,2024-09-07 08:53:30:865,63422,0.4,63842,0.6,127286,0.4,170164,1.75 44,1,2024-09-07 08:53:30:575,443523,443523,0,0,207040362040,2136504101,440967,2124,432,356,391809,0 44,2,2024-09-07 08:53:31:273,314288,314288,0,0,11594739,0,2231 44,3,2024-09-07 08:53:31:098,1,251,35,0,817,2976,251,0 45,0,2024-09-07 08:53:31:761,63071,0.6,61652,0.8,129431,0.7,170455,2.00 45,1,2024-09-07 08:53:31:005,443040,443040,0,0,207620511072,2150601584,441389,1639,12,382,391917,0 45,2,2024-09-07 08:53:31:293,319430,319430,0,0,12331117,0,3596 45,3,2024-09-07 08:53:30:935,1,251,1,0,271,2681,251,0 46,0,2024-09-07 08:53:30:952,61874,0.6,61669,0.8,123705,0.6,164090,2.00 46,1,2024-09-07 08:53:30:574,444217,444217,0,0,207232756235,2138665978,441871,2072,274,366,391539,0 46,2,2024-09-07 08:53:30:594,319622,319622,0,0,11892246,0,2920 46,3,2024-09-07 08:53:31:131,1,251,1,0,908,3776,251,0 47,0,2024-09-07 08:53:31:113,62018,0.4,61847,0.6,124324,0.4,164346,1.75 47,1,2024-09-07 08:53:30:567,443858,443858,0,0,207006268209,2136036969,441535,2189,134,366,391605,0 47,2,2024-09-07 08:53:30:909,320021,320021,0,0,11956774,0,2558 47,3,2024-09-07 08:53:31:119,1,251,1,0,529,2270,251,0 48,0,2024-09-07 08:53:31:499,62226,0.3,61857,0.4,123171,0.2,164935,1.50 48,1,2024-09-07 08:53:31:036,443091,443091,0,0,206703425300,2147206450,439984,2808,299,384,391710,0 48,2,2024-09-07 08:53:30:701,313844,313844,0,0,11530156,0,3031 48,3,2024-09-07 08:53:30:753,1,251,1,0,339,2101,251,0 49,0,2024-09-07 08:53:31:744,66507,0.4,65383,0.5,126462,0.3,173636,1.75 49,1,2024-09-07 08:53:31:021,442177,442177,0,0,206854491098,2156200495,438319,2677,1181,382,391809,0 49,2,2024-09-07 08:53:31:809,320308,320308,0,0,12169772,0,3900 49,3,2024-09-07 08:53:31:416,1,251,1,0,408,2779,251,0 50,0,2024-09-07 08:53:31:508,61360,0.3,60774,0.5,122332,0.2,163020,1.75 50,1,2024-09-07 08:53:31:010,443870,443870,0,0,207289062108,2144072280,441032,2528,310,368,391530,0 50,2,2024-09-07 08:53:31:068,319658,319658,0,0,11459623,0,2263 50,3,2024-09-07 08:53:31:291,1,251,13,0,335,2090,251,0 51,0,2024-09-07 08:53:31:695,63520,0.3,62105,0.5,121026,0.2,164907,1.75 51,1,2024-09-07 08:53:31:684,443914,443914,0,0,207982056590,2146770308,441117,1858,939,365,391706,0 51,2,2024-09-07 08:53:31:316,317661,317661,0,0,10864120,0,3337 51,3,2024-09-07 08:53:31:027,1,251,1,0,678,2036,251,0 52,0,2024-09-07 08:53:31:413,62955,0.4,62501,0.6,124955,0.4,165534,2.00 52,1,2024-09-07 08:53:30:585,441954,441954,0,0,206031793594,2161414674,434797,5903,1254,368,391722,0 52,2,2024-09-07 08:53:31:763,313457,313419,38,0,14978063,0,6742 52,3,2024-09-07 08:53:30:687,1,251,3,0,1782,4527,251,0 53,0,2024-09-07 08:53:31:796,63390,0.7,61638,0.8,129077,0.7,169509,2.50 53,1,2024-09-07 08:53:30:773,440769,440769,0,0,206189433673,2166394502,433443,4970,2356,367,391702,0 53,2,2024-09-07 08:53:31:303,318741,318741,0,0,12508993,0,2727 53,3,2024-09-07 08:53:30:697,1,251,1,0,271,2302,251,0 54,0,2024-09-07 08:53:31:618,61760,0.8,62321,0.9,123435,0.5,165039,2.75 54,1,2024-09-07 08:53:30:580,442107,442107,0,0,207084522439,2154514120,437371,4139,597,367,391659,0 54,2,2024-09-07 08:53:30:869,318287,318281,6,0,13884336,0,5382 54,3,2024-09-07 08:53:30:766,1,251,0,0,676,3905,251,0 55,0,2024-09-07 08:53:31:764,60899,0.6,63022,0.8,126916,0.5,165552,2.50 55,1,2024-09-07 08:53:30:768,441581,441581,0,0,206901316395,2155225794,436031,4763,787,365,391731,0 55,2,2024-09-07 08:53:30:729,316263,316263,0,0,14022018,0,3275 55,3,2024-09-07 08:53:30:678,1,251,1,0,304,2883,251,0 56,0,2024-09-07 08:53:31:565,63950,1.0,60327,1.0,124066,1.2,166404,2.50 56,1,2024-09-07 08:53:30:574,439930,439930,0,0,206497028857,2184242296,432689,5803,1438,381,391678,0 56,2,2024-09-07 08:53:31:312,317269,317269,0,0,15152791,0,3567 56,3,2024-09-07 08:53:31:060,1,251,1,0,705,3688,251,0 57,0,2024-09-07 08:53:30:955,62854,1.5,62985,1.2,125964,2.1,168447,3.00 57,1,2024-09-07 08:53:31:000,441432,441432,0,0,206162477899,2160730160,436111,4651,670,367,391960,0 57,2,2024-09-07 08:53:31:323,316470,316470,0,0,16265393,0,3317 57,3,2024-09-07 08:53:31:742,1,251,1,0,359,3369,251,0 58,0,2024-09-07 08:53:30:596,62881,1.0,61102,1.0,127904,1.2,168350,2.50 58,1,2024-09-07 08:53:30:576,442309,442306,0,3,207455093252,2168184180,436574,5021,711,367,391545,3 58,2,2024-09-07 08:53:31:071,318302,318302,0,0,14520996,0,2549 58,3,2024-09-07 08:53:31:068,1,251,0,0,1043,2906,251,0 59,0,2024-09-07 08:53:31:755,62686,0.8,62520,1.0,124649,0.9,165925,3.00 59,1,2024-09-07 08:53:30:806,441972,441972,0,0,206803459211,2164506274,436184,4523,1265,369,391525,0 59,2,2024-09-07 08:53:30:582,319189,319189,0,0,13259577,0,2604 59,3,2024-09-07 08:53:31:742,1,251,9,0,1015,3540,251,0 60,0,2024-09-07 08:53:31:724,61776,0.4,61485,0.6,124041,0.4,164204,1.75 60,1,2024-09-07 08:53:30:772,443388,443388,0,0,207540837235,2151340569,440942,1929,517,370,392031,0 60,2,2024-09-07 08:53:31:141,318471,318471,0,0,14292032,0,3811 60,3,2024-09-07 08:53:31:267,1,251,9,0,124,2436,251,0 61,0,2024-09-07 08:53:31:500,62584,0.6,62807,0.8,125218,0.6,167102,2.00 61,1,2024-09-07 08:53:30:771,441963,441963,0,0,206431793359,2159613528,437390,3870,703,382,391880,0 61,2,2024-09-07 08:53:31:123,314742,314742,0,0,12524008,0,2780 61,3,2024-09-07 08:53:31:688,1,251,1,0,397,3343,251,0 62,0,2024-09-07 08:53:31:721,65384,0.6,66624,0.8,127109,0.6,173257,2.00 62,1,2024-09-07 08:53:31:119,444499,444493,0,6,207469430789,2139509579,442031,2344,118,365,391715,6 62,2,2024-09-07 08:53:31:643,318074,318073,1,0,13920086,0,5555 62,3,2024-09-07 08:53:31:147,1,251,3,0,287,1788,251,0 63,0,2024-09-07 08:53:31:475,61526,0.5,61681,0.6,123578,0.5,163944,1.75 63,1,2024-09-07 08:53:30:805,443765,443759,0,6,206937187379,2142684415,442184,1525,50,381,391677,6 63,2,2024-09-07 08:53:30:774,319668,319668,0,0,11704409,0,2674 63,3,2024-09-07 08:53:31:733,1,251,0,0,667,2864,251,0 64,0,2024-09-07 08:53:31:534,61043,0.4,61078,0.6,122384,0.4,162604,1.75 64,1,2024-09-07 08:53:30:750,442480,442480,0,0,206948078066,2158407532,437992,3074,1414,370,391783,0 64,2,2024-09-07 08:53:31:146,320394,320375,19,0,12611129,0,6121 64,3,2024-09-07 08:53:31:145,1,251,0,0,265,2540,251,0 65,0,2024-09-07 08:53:31:710,61015,0.6,61425,0.7,122546,0.6,162884,2.00 65,1,2024-09-07 08:53:30:862,441609,441609,0,0,206006632686,2154974690,438055,3109,445,382,391901,0 65,2,2024-09-07 08:53:31:700,314413,314413,0,0,13265576,0,3367 65,3,2024-09-07 08:53:31:690,1,251,2,0,163,2344,251,0 66,0,2024-09-07 08:53:31:765,64317,0.5,64145,0.7,128954,0.4,171776,2.00 66,1,2024-09-07 08:53:31:300,443236,443236,0,0,207342672496,2154014590,440662,2373,201,380,391653,0 66,2,2024-09-07 08:53:31:143,321181,321181,0,0,13017876,0,4956 66,3,2024-09-07 08:53:31:082,1,251,10,0,291,2631,251,0 67,0,2024-09-07 08:53:31:424,61783,0.6,61261,0.8,123296,0.7,163660,2.00 67,1,2024-09-07 08:53:30:769,442029,442028,0,1,207018208885,2159052560,438184,3097,747,380,391787,1 67,2,2024-09-07 08:53:30:583,320156,320156,0,0,12069120,0,2889 67,3,2024-09-07 08:53:31:750,1,251,2,0,338,2331,251,0 68,0,2024-09-07 08:53:30:585,62723,0.6,62530,0.8,124666,0.6,166449,2.00 68,1,2024-09-07 08:53:30:570,441256,441256,0,0,205694927136,2156736848,437077,3009,1170,381,391953,0 68,2,2024-09-07 08:53:31:048,315707,315642,65,0,15852347,0,6698 68,3,2024-09-07 08:53:30:729,1,251,4,0,417,2837,251,0 69,0,2024-09-07 08:53:31:731,62583,0.7,62921,0.8,125553,0.7,166937,2.25 69,1,2024-09-07 08:53:31:016,440258,440258,0,0,205357070624,2167322023,434557,4299,1402,384,391994,0 69,2,2024-09-07 08:53:31:733,316117,316117,0,0,15639388,0,3722 69,3,2024-09-07 08:53:30:767,1,251,0,0,698,3623,251,0 70,0,2024-09-07 08:53:31:536,63755,0.8,63637,1.0,127882,0.6,170442,2.25 70,1,2024-09-07 08:53:30:805,442666,442666,0,0,207928248696,2159076442,439002,3136,528,366,391725,0 70,2,2024-09-07 08:53:31:329,317222,317222,0,0,14310667,0,4044 70,3,2024-09-07 08:53:30:745,1,251,1,0,854,3010,251,0 71,0,2024-09-07 08:53:31:387,62995,1.2,62878,1.1,126098,1.6,168655,3.00 71,1,2024-09-07 08:53:31:637,441936,441936,0,0,206690989667,2156828594,436782,4533,621,368,391738,0 71,2,2024-09-07 08:53:31:076,318108,318108,0,0,14688669,0,4042 71,3,2024-09-07 08:53:31:750,1,251,1,0,644,3663,251,0 72,0,2024-09-07 08:53:31:033,65578,0.8,64118,0.8,125055,0.8,170257,2.25 72,1,2024-09-07 08:53:31:026,441776,441776,0,0,206861539079,2159985915,436717,4128,931,369,391819,0 72,2,2024-09-07 08:53:31:759,316724,316724,0,0,16300004,0,3983 72,3,2024-09-07 08:53:31:755,1,251,0,0,564,4476,251,0 73,0,2024-09-07 08:53:31:098,60604,0.4,62009,0.6,127243,0.3,165142,2.00 73,1,2024-09-07 08:53:30:767,442349,442349,0,0,207038429232,2151813608,439259,2855,235,367,391750,0 73,2,2024-09-07 08:53:31:743,318124,318124,0,0,15633828,0,3701 73,3,2024-09-07 08:53:30:969,1,251,6,0,274,3727,251,0 74,0,2024-09-07 08:53:31:334,64181,0.4,65462,0.7,124966,0.4,170111,2.25 74,1,2024-09-07 08:53:30:636,441809,441809,0,0,205879539437,2150276750,437409,3601,799,381,391681,0 74,2,2024-09-07 08:53:31:003,314609,314609,0,0,14882455,0,4253 74,3,2024-09-07 08:53:31:442,1,251,1,0,522,3389,251,0 75,0,2024-09-07 08:53:31:770,64235,0.6,63881,0.8,128249,0.5,171433,2.25 75,1,2024-09-07 08:53:31:585,441166,441166,0,0,206087420281,2155274625,436237,4265,664,380,391660,0 75,2,2024-09-07 08:53:31:349,317797,317797,0,0,15423994,0,4766 75,3,2024-09-07 08:53:31:068,1,251,14,0,702,3674,251,0 76,0,2024-09-07 08:53:30:635,61923,0.7,61271,0.9,122827,0.8,164954,2.50 76,1,2024-09-07 08:53:30:805,442079,442079,0,0,206618764610,2154672146,439015,2515,549,382,391692,0 76,2,2024-09-07 08:53:31:062,320603,320603,0,0,12575626,0,3784 76,3,2024-09-07 08:53:31:155,1,251,4,0,175,2604,251,0 77,0,2024-09-07 08:53:31:726,61696,0.5,61980,0.7,123606,0.4,164110,1.75 77,1,2024-09-07 08:53:30:825,442466,442466,0,0,206993246060,2160610351,439017,3192,257,381,391808,0 77,2,2024-09-07 08:53:31:296,317788,317788,0,0,13167454,0,3890 77,3,2024-09-07 08:53:31:098,1,251,1,0,382,2832,251,0 78,0,2024-09-07 08:53:31:718,61980,0.4,61424,0.6,123816,0.4,164107,2.00 78,1,2024-09-07 08:53:30:610,442985,442985,0,0,206485369760,2142236163,439988,2678,319,367,391670,0 78,2,2024-09-07 08:53:31:406,314230,314230,0,0,11718021,0,3855 78,3,2024-09-07 08:53:31:133,1,251,0,0,181,2435,251,0 79,0,2024-09-07 08:53:31:371,62696,0.4,64312,0.6,131410,0.4,171266,2.25 79,1,2024-09-07 08:53:30:576,444010,444010,0,0,207675059167,2147991561,441165,2509,336,369,391682,0 79,2,2024-09-07 08:53:31:070,320301,320301,0,0,11916817,0,3212 79,3,2024-09-07 08:53:30:753,1,251,1,0,418,3711,251,0 80,0,2024-09-07 08:53:31:079,61148,0.6,62960,0.7,120593,0.7,162817,2.00 80,1,2024-09-07 08:53:31:620,442150,442150,0,0,206801172260,2152194146,439183,2798,169,368,391791,0 80,2,2024-09-07 08:53:31:105,319859,319859,0,0,12388456,0,4433 80,3,2024-09-07 08:53:30:592,1,251,14,0,190,3418,251,0 81,0,2024-09-07 08:53:31:539,61877,0.5,63426,0.6,121374,0.4,164009,1.75 81,1,2024-09-07 08:53:31:650,441309,441309,0,0,205851586780,2151646005,437468,3379,462,382,391879,0 81,2,2024-09-07 08:53:31:125,317423,317423,0,0,12732280,0,3993 81,3,2024-09-07 08:53:31:122,1,251,1,0,374,3004,251,0 82,0,2024-09-07 08:53:31:543,61976,0.4,62516,0.6,125088,0.3,165643,1.75 82,1,2024-09-07 08:53:30:584,442592,442588,0,4,206865264915,2154488279,439367,2610,611,381,391558,4 82,2,2024-09-07 08:53:31:691,316145,316145,0,0,11998159,0,3986 82,3,2024-09-07 08:53:31:758,1,251,4,0,363,2808,251,0 83,0,2024-09-07 08:53:31:527,64042,0.5,64102,0.7,127243,0.5,170002,2.00 83,1,2024-09-07 08:53:30:551,441717,441717,0,0,206614765129,2154260904,438543,2930,244,382,391690,0 83,2,2024-09-07 08:53:30:766,318523,318523,0,0,12064571,0,3119 83,3,2024-09-07 08:53:30:753,1,251,1,0,250,2664,251,0 84,0,2024-09-07 08:53:31:837,62445,0.9,62135,0.9,124515,0.8,166563,2.25 84,1,2024-09-07 08:53:31:048,441121,441121,0,0,206346070953,2157753352,436377,4168,576,368,391967,0 84,2,2024-09-07 08:53:30:580,318340,318340,0,0,14109164,0,3801 84,3,2024-09-07 08:53:31:180,1,251,1,0,908,3950,251,0 85,0,2024-09-07 08:53:31:031,61042,0.7,60950,0.9,129216,0.7,167026,2.50 85,1,2024-09-07 08:53:30:566,440804,440804,0,0,205943716760,2176231172,433962,5735,1107,382,392006,0 85,2,2024-09-07 08:53:30:890,318158,318158,0,0,14892631,0,3656 85,3,2024-09-07 08:53:30:712,1,251,21,0,789,3493,251,0 86,0,2024-09-07 08:53:30:900,62495,0.6,64304,0.7,122986,0.5,166232,2.00 86,1,2024-09-07 08:53:30:837,442269,442269,0,0,206944311086,2164083991,437287,4257,725,366,391961,0 86,2,2024-09-07 08:53:30:859,316407,316406,1,0,15718573,0,5004 86,3,2024-09-07 08:53:30:587,1,251,2,0,286,3370,251,0 87,0,2024-09-07 08:53:31:316,63467,0.9,63270,0.9,127039,1.2,169998,2.25 87,1,2024-09-07 08:53:30:552,441969,441969,0,0,206001411821,2154721462,437493,3992,484,366,391788,0 87,2,2024-09-07 08:53:31:071,315227,315227,0,0,14012187,0,4045 87,3,2024-09-07 08:53:31:794,1,251,1,0,473,3788,251,0 88,0,2024-09-07 08:53:31:469,64130,0.5,64383,0.6,128527,0.5,171744,2.00 88,1,2024-09-07 08:53:30:574,440318,440318,0,0,206599830405,2168215247,434136,4669,1513,365,392084,0 88,2,2024-09-07 08:53:30:689,318279,318279,0,0,16012599,0,3583 88,3,2024-09-07 08:53:31:306,1,251,0,0,435,3071,251,0 89,0,2024-09-07 08:53:31:831,64912,0.5,62699,0.7,124526,0.5,169179,2.00 89,1,2024-09-07 08:53:30:551,439762,439762,0,0,206134147818,2174686901,432845,5695,1222,382,391866,0 89,2,2024-09-07 08:53:31:149,317445,317445,0,0,15273903,0,2910 89,3,2024-09-07 08:53:31:805,1,251,1,0,325,4846,251,0 90,0,2024-09-07 08:53:31:621,59999,0.4,61336,0.6,125602,0.4,163423,1.75 90,1,2024-09-07 08:53:30:614,441949,441949,0,0,205973258543,2158745080,437816,3855,278,381,391825,0 90,2,2024-09-07 08:53:31:413,316869,316869,0,0,16535377,0,3060 90,3,2024-09-07 08:53:30:933,1,251,129,0,200,2726,251,0 91,0,2024-09-07 08:53:30:964,62786,0.4,60866,0.6,127208,0.4,167126,1.75 91,1,2024-09-07 08:53:30:569,440892,440892,0,0,206088701468,2167388094,435026,5172,694,381,392047,0 91,2,2024-09-07 08:53:31:331,313489,313489,0,0,14674352,0,2896 91,3,2024-09-07 08:53:30:599,1,251,2,0,216,2391,251,0 92,0,2024-09-07 08:53:31:517,65453,0.5,67001,0.6,128006,0.5,173566,1.75 92,1,2024-09-07 08:53:30:583,441820,441820,0,0,206545553366,2153046974,439260,2154,406,382,392136,0 92,2,2024-09-07 08:53:31:362,320640,320640,0,0,12342704,0,2801 92,3,2024-09-07 08:53:31:052,1,251,10,0,167,2037,251,0 93,0,2024-09-07 08:53:31:084,61830,0.4,63512,0.6,121314,0.4,164457,1.75 93,1,2024-09-07 08:53:30:834,442126,442126,0,0,206470611337,2154476562,437648,3692,786,366,391692,0 93,2,2024-09-07 08:53:30:954,320148,320148,0,0,13155152,0,2797 93,3,2024-09-07 08:53:31:419,1,251,0,0,190,2474,251,0 94,0,2024-09-07 08:53:31:611,61248,0.4,62044,0.5,123554,0.3,163369,1.75 94,1,2024-09-07 08:53:30:626,442069,442069,0,0,207349432354,2165025583,438778,3113,178,381,391850,0 94,2,2024-09-07 08:53:30:771,317471,317471,0,0,12186866,0,2443 94,3,2024-09-07 08:53:31:691,1,251,17,0,264,3297,251,0 95,0,2024-09-07 08:53:31:353,61777,0.3,61359,0.5,123835,0.3,163732,1.75 95,1,2024-09-07 08:53:30:862,442851,442851,0,0,207537656801,2156873467,439285,3190,376,367,391713,0 95,2,2024-09-07 08:53:31:016,313716,313716,0,0,12460504,0,3308 95,3,2024-09-07 08:53:31:709,1,251,1,0,718,4518,251,0 96,0,2024-09-07 08:53:31:160,64698,0.4,64912,0.5,129639,0.4,172055,1.75 96,1,2024-09-07 08:53:31:641,441596,441596,0,0,207277335236,2163992996,438018,2845,733,384,391894,0 96,2,2024-09-07 08:53:31:268,319532,319532,0,0,13191817,0,4042 96,3,2024-09-07 08:53:31:146,1,251,18,0,411,2925,251,0 97,0,2024-09-07 08:53:31:406,61596,0.4,61415,0.5,123360,0.3,163534,1.75 97,1,2024-09-07 08:53:30:793,443089,443089,0,0,208080828328,2157711673,440051,2482,556,367,392140,0 97,2,2024-09-07 08:53:30:611,319475,319475,0,0,12433564,0,3036 97,3,2024-09-07 08:53:30:578,1,251,16,0,214,2935,251,0 98,0,2024-09-07 08:53:31:700,62581,0.3,62643,0.4,125808,0.2,166559,1.50 98,1,2024-09-07 08:53:30:571,442800,442800,0,0,206554702567,2149732344,440654,2046,100,382,391997,0 98,2,2024-09-07 08:53:30:769,317597,317597,0,0,12495108,0,3080 98,3,2024-09-07 08:53:30:712,1,251,1,0,840,4564,251,0 99,0,2024-09-07 08:53:31:449,62977,0.3,63167,0.4,126040,0.2,168092,1.50 99,1,2024-09-07 08:53:31:724,442405,442405,0,0,206458847864,2152374084,438617,3048,740,381,391744,0 99,2,2024-09-07 08:53:31:419,319073,319073,0,0,13221025,0,3106 99,3,2024-09-07 08:53:30:581,1,251,1,0,168,1935,251,0 100,0,2024-09-07 08:53:31:464,63988,0.7,63927,0.9,127686,0.8,170886,2.25 100,1,2024-09-07 08:53:30:548,439564,439564,0,0,205059239964,2168958117,432721,5526,1317,381,391989,0 100,2,2024-09-07 08:53:31:830,315792,315781,11,0,14845957,0,5417 100,3,2024-09-07 08:53:31:731,1,251,1,0,559,4909,251,0 101,0,2024-09-07 08:53:31:829,64824,1.7,63075,1.2,124016,2.0,170223,2.50 101,1,2024-09-07 08:53:30:575,439806,439806,0,0,205303274031,2165064049,432453,5582,1771,368,391769,0 101,2,2024-09-07 08:53:31:848,317357,317357,0,0,16070697,0,4644 101,3,2024-09-07 08:53:30:948,1,251,25,0,448,3243,251,0 102,0,2024-09-07 08:53:30:958,61947,0.7,64197,0.8,129028,0.7,169485,2.00 102,1,2024-09-07 08:53:31:166,441066,441066,0,0,206315985134,2167962063,435411,4814,841,369,391883,0 102,2,2024-09-07 08:53:31:760,318318,318264,54,0,14718305,0,6768 102,3,2024-09-07 08:53:31:621,1,251,2,0,410,2730,251,0 103,0,2024-09-07 08:53:31:589,64084,0.5,64016,0.6,120827,0.4,166366,1.75 103,1,2024-09-07 08:53:31:627,440286,440286,0,0,206270272467,2173961966,433994,4911,1381,381,391829,0 103,2,2024-09-07 08:53:30:582,317132,317132,0,0,13868307,0,2582 103,3,2024-09-07 08:53:30:755,1,251,2,0,916,3574,251,0 104,0,2024-09-07 08:53:31:071,63032,0.8,63488,1.0,125688,0.7,170049,2.25 104,1,2024-09-07 08:53:31:605,441583,441583,0,0,206037564936,2167632688,434908,5580,1095,365,391948,0 104,2,2024-09-07 08:53:31:677,313455,313455,0,0,15522151,0,3941 104,3,2024-09-07 08:53:31:424,1,251,9,0,1245,6422,251,0 105,0,2024-09-07 08:53:31:058,63477,1.3,61639,1.2,128775,1.9,170012,3.50 105,1,2024-09-07 08:53:30:581,441590,441590,0,0,206493206360,2168155960,435277,5334,979,366,391797,0 105,2,2024-09-07 08:53:31:349,317994,317994,0,0,15046948,0,3509 105,3,2024-09-07 08:53:31:312,1,251,2,0,399,4268,251,0 106,0,2024-09-07 08:53:30:950,59905,1.0,61348,1.1,125717,1.2,164688,2.75 106,1,2024-09-07 08:53:31:770,441499,441499,0,0,206376819937,2169904286,434392,6348,759,369,391767,0 106,2,2024-09-07 08:53:30:755,317409,317409,0,0,14592383,0,2795 106,3,2024-09-07 08:53:30:678,1,251,2,0,470,3615,251,0 107,0,2024-09-07 08:53:31:128,61529,0.8,61532,0.9,122987,0.8,163831,2.00 107,1,2024-09-07 08:53:30:739,440001,440001,0,0,205653562252,2164415696,434679,4774,548,381,392234,0 107,2,2024-09-07 08:53:31:291,317239,317238,1,0,15285617,0,5024 107,3,2024-09-07 08:53:31:756,1,251,1,0,353,3435,251,0 108,0,2024-09-07 08:53:31:778,61841,0.4,62096,0.6,123184,0.3,164885,1.75 108,1,2024-09-07 08:53:31:293,441994,441994,0,0,207003825345,2155563466,438789,2821,384,368,391857,0 108,2,2024-09-07 08:53:31:759,312859,312859,0,0,13352869,0,2647 108,3,2024-09-07 08:53:31:332,1,251,1,0,749,4848,251,0 109,0,2024-09-07 08:53:31:750,65173,0.4,64422,0.6,129278,0.3,173477,1.75 109,1,2024-09-07 08:53:30:601,440446,440446,0,0,206062471590,2160149306,436652,3278,516,383,392132,0 109,2,2024-09-07 08:53:30:920,318346,318346,0,0,13915048,0,3617 109,3,2024-09-07 08:53:31:154,1,251,1,0,249,2945,251,0 110,0,2024-09-07 08:53:31:753,61393,0.4,59782,0.6,125029,0.4,163515,1.75 110,1,2024-09-07 08:53:31:646,443081,443081,0,0,207528533185,2154112741,439938,2266,877,370,392045,0 110,2,2024-09-07 08:53:31:303,319615,319615,0,0,13182403,0,3264 110,3,2024-09-07 08:53:30:693,1,251,1,0,406,3277,251,0 111,0,2024-09-07 08:53:31:414,62310,0.4,61876,0.5,123552,0.3,165302,1.75 111,1,2024-09-07 08:53:31:001,443268,443268,0,0,207703013930,2151908578,441276,1656,336,382,391690,0 111,2,2024-09-07 08:53:31:119,317386,317386,0,0,12660016,0,2763 111,3,2024-09-07 08:53:30:920,1,251,0,0,379,3366,251,0 112,0,2024-09-07 08:53:30:924,62515,0.3,62531,0.4,125094,0.2,165729,1.50 112,1,2024-09-07 08:53:30:827,443351,443351,0,0,206610810004,2142538193,440932,1991,428,380,391580,0 112,2,2024-09-07 08:53:31:132,315347,315346,1,0,12901821,0,5036 112,3,2024-09-07 08:53:30:598,1,251,1,0,282,2691,251,0 113,0,2024-09-07 08:53:30:879,63795,0.3,63670,0.5,128036,0.2,170981,1.50 113,1,2024-09-07 08:53:31:692,444147,444147,0,0,208015941093,2146676934,441649,2009,489,366,391661,0 113,2,2024-09-07 08:53:31:304,319656,319656,0,0,11737113,0,3813 113,3,2024-09-07 08:53:30:697,1,251,10,0,340,3605,251,0 114,0,2024-09-07 08:53:30:877,63200,0.4,63709,0.5,126289,0.3,168518,1.75 114,1,2024-09-07 08:53:30:716,442279,442279,0,0,206831560884,2154861411,437471,3219,1589,381,391556,0 114,2,2024-09-07 08:53:30:872,318714,318713,1,0,12836043,0,5069 114,3,2024-09-07 08:53:31:278,1,251,1,0,395,2683,251,0 115,0,2024-09-07 08:53:30:556,63280,0.3,63572,0.4,127147,0.2,169096,1.50 115,1,2024-09-07 08:53:30:574,442136,442136,0,0,206557242064,2148948374,437776,3526,834,382,391602,0 115,2,2024-09-07 08:53:31:129,318092,318092,0,0,11168718,0,2152 115,3,2024-09-07 08:53:31:003,1,251,3,0,159,1374,251,0 116,0,2024-09-07 08:53:31:790,62358,0.6,62241,0.8,124630,0.6,166927,2.00 116,1,2024-09-07 08:53:30:825,439774,439774,0,0,205710356828,2176572817,433374,4345,2055,380,391782,0 116,2,2024-09-07 08:53:31:757,316840,316840,0,0,16090303,0,3529 116,3,2024-09-07 08:53:30:924,1,251,17,0,415,3636,251,0 117,0,2024-09-07 08:53:30:989,63649,0.7,63404,0.9,127113,0.8,170115,2.00 117,1,2024-09-07 08:53:31:581,441405,441405,0,0,205517187172,2151502525,436703,4222,480,370,392033,0 117,2,2024-09-07 08:53:31:122,317884,317884,0,0,13232850,0,3700 117,3,2024-09-07 08:53:31:067,1,251,4,0,490,4022,251,0 118,0,2024-09-07 08:53:31:823,62281,0.6,63943,0.7,130454,0.6,170974,2.00 118,1,2024-09-07 08:53:30:594,441121,441121,0,0,205946538415,2165865762,434750,4937,1434,366,391736,0 118,2,2024-09-07 08:53:31:587,317361,317361,0,0,14463523,0,2781 118,3,2024-09-07 08:53:31:767,1,251,1,0,235,2887,251,0 119,0,2024-09-07 08:53:31:383,62606,0.9,63062,0.9,126308,1.1,168122,2.25 119,1,2024-09-07 08:53:30:561,442330,442330,0,0,206812791948,2160740498,437674,4134,522,367,391641,0 119,2,2024-09-07 08:53:31:262,318575,318575,0,0,13690987,0,4174 119,3,2024-09-07 08:53:31:330,1,251,7,0,443,3481,251,0 120,0,2024-09-07 08:53:31:692,61493,0.5,61182,0.8,122795,0.5,163887,2.00 120,1,2024-09-07 08:53:30:865,441709,441709,0,0,206403245443,2165191894,437020,4338,351,368,391961,0 120,2,2024-09-07 08:53:30:787,317568,317567,1,0,16298035,0,5281 120,3,2024-09-07 08:53:31:298,1,251,5,0,241,3246,251,0 121,0,2024-09-07 08:53:31:711,62526,0.9,62650,0.9,125428,1.2,167003,2.00 121,1,2024-09-07 08:53:31:658,441720,441720,0,0,206310243667,2159853909,437110,4196,414,367,391840,0 121,2,2024-09-07 08:53:31:125,312746,312746,0,0,15447041,0,4127 121,3,2024-09-07 08:53:30:730,1,251,41,0,269,2907,251,0 122,0,2024-09-07 08:53:31:779,64541,0.9,62974,0.9,132045,1.0,173615,2.00 122,1,2024-09-07 08:53:30:868,440546,440546,0,0,206054333938,2163390459,434478,5251,817,366,392130,0 122,2,2024-09-07 08:53:31:324,317775,317702,73,0,18112336,0,5989 122,3,2024-09-07 08:53:30:616,1,251,4,0,411,4967,251,0 123,0,2024-09-07 08:53:30:964,61337,0.9,59981,0.9,125089,1.1,163840,2.25 123,1,2024-09-07 08:53:30:608,441205,441205,0,0,206723420563,2181518116,432531,6958,1716,369,392039,0 123,2,2024-09-07 08:53:31:019,317061,317060,1,0,15016538,0,5215 123,3,2024-09-07 08:53:31:132,1,251,13,0,168,3089,251,0 124,0,2024-09-07 08:53:30:953,63530,0.3,63486,0.5,119834,0.3,164097,1.75 124,1,2024-09-07 08:53:31:028,443150,443150,0,0,206596902827,2145756672,440307,2481,362,367,392178,0 124,2,2024-09-07 08:53:31:013,317923,317923,0,0,11972643,0,3101 124,3,2024-09-07 08:53:30:765,1,251,0,0,490,2765,251,0 125,0,2024-09-07 08:53:31:424,61749,0.3,61546,0.5,123600,0.3,163877,1.75 125,1,2024-09-07 08:53:30:857,441842,441842,0,0,206939604967,2158031869,438747,2701,394,383,391702,0 125,2,2024-09-07 08:53:31:119,314607,314607,0,0,12956687,0,4534 125,3,2024-09-07 08:53:31:126,1,251,1,0,709,3563,251,0 126,0,2024-09-07 08:53:31:446,64778,0.5,66518,0.6,127055,0.5,173009,1.75 126,1,2024-09-07 08:53:30:552,443433,443433,0,0,206882080517,2143567596,440749,2421,263,365,391987,0 126,2,2024-09-07 08:53:30:621,320619,320619,0,0,13309259,0,3186 126,3,2024-09-07 08:53:30:908,1,251,1,0,183,3297,251,0 127,0,2024-09-07 08:53:31:608,61506,0.4,61830,0.5,123085,0.4,163763,1.75 127,1,2024-09-07 08:53:30:580,442768,442768,0,0,207145199888,2145973031,439823,2792,153,365,391816,0 127,2,2024-09-07 08:53:30:642,319416,319416,0,0,11979726,0,2264 127,3,2024-09-07 08:53:31:295,1,251,0,0,968,2992,251,0 128,0,2024-09-07 08:53:31:527,63054,0.3,62983,0.4,125789,0.2,166943,1.50 128,1,2024-09-07 08:53:31:607,442181,442181,0,0,206812030603,2144508005,440008,2016,157,367,391798,0 128,2,2024-09-07 08:53:31:388,317582,317582,0,0,11920042,0,2434 128,3,2024-09-07 08:53:30:768,1,251,1,0,1082,5366,251,0 129,0,2024-09-07 08:53:31:005,63539,0.3,63216,0.4,126320,0.2,167832,1.50 129,1,2024-09-07 08:53:30:574,440486,440486,0,0,205910270429,2158221138,436329,3227,930,379,391835,0 129,2,2024-09-07 08:53:30:690,317617,317617,0,0,12874795,0,4031 129,3,2024-09-07 08:53:30:689,1,251,0,0,469,3319,251,0 130,0,2024-09-07 08:53:31:789,64493,0.5,64153,0.6,128574,0.5,171812,1.75 130,1,2024-09-07 08:53:30:586,443060,443060,0,0,206849613288,2151625221,440622,2360,78,381,391825,0 130,2,2024-09-07 08:53:31:127,318585,318585,0,0,12521735,0,4067 130,3,2024-09-07 08:53:31:293,1,251,1,0,450,3367,251,0 131,0,2024-09-07 08:53:31:931,63502,0.4,63869,0.5,128667,0.4,169971,1.75 131,1,2024-09-07 08:53:31:821,442879,442879,0,0,206537395429,2152927148,439830,2591,458,381,391865,0 131,2,2024-09-07 08:53:30:572,319854,319854,0,0,11461574,0,2415 131,3,2024-09-07 08:53:31:701,1,251,2,0,392,2554,251,0 132,0,2024-09-07 08:53:31:417,63245,0.5,64067,0.7,127785,0.5,169901,2.00 132,1,2024-09-07 08:53:30:578,440426,440426,0,0,205871712008,2171593996,433417,5755,1254,381,392097,0 132,2,2024-09-07 08:53:30:709,317911,317911,0,0,15401012,0,4606 132,3,2024-09-07 08:53:31:690,1,251,2,0,356,3553,251,0 133,0,2024-09-07 08:53:31:543,60711,0.4,62140,0.6,126991,0.3,165147,1.75 133,1,2024-09-07 08:53:30:584,440731,440731,0,0,206058241406,2169014559,435253,4811,667,383,391914,0 133,2,2024-09-07 08:53:31:093,317152,317152,0,0,16521333,0,4315 133,3,2024-09-07 08:53:31:307,1,251,8,0,276,2534,251,0 134,0,2024-09-07 08:53:30:937,63682,0.4,63545,0.6,127451,0.4,170143,2.00 134,1,2024-09-07 08:53:30:593,440308,440308,0,0,205641063007,2160655745,433854,4984,1470,366,391718,0 134,2,2024-09-07 08:53:31:759,314335,314335,0,0,13752835,0,3847 134,3,2024-09-07 08:53:30:747,1,251,7,0,739,3774,251,0 135,0,2024-09-07 08:53:31:131,62059,0.9,61988,0.9,131442,1.0,169929,2.25 135,1,2024-09-07 08:53:31:590,440243,440243,0,0,206752322250,2175763845,434047,5199,997,380,391805,0 135,2,2024-09-07 08:53:30:687,318943,318943,0,0,15294629,0,3981 135,3,2024-09-07 08:53:31:003,1,251,1,0,299,1852,251,0 136,0,2024-09-07 08:53:31:639,62457,0.6,62407,0.8,124670,0.6,166443,2.25 136,1,2024-09-07 08:53:31:442,440700,440700,0,0,206215039957,2167457547,435175,4941,584,382,391685,0 136,2,2024-09-07 08:53:31:133,319421,319421,0,0,14742678,0,3506 136,3,2024-09-07 08:53:31:119,1,251,6,0,150,2127,251,0 137,0,2024-09-07 08:53:30:954,63460,0.6,61665,0.7,121705,0.6,165038,2.00 137,1,2024-09-07 08:53:30:590,440897,440897,0,0,205908549429,2162021655,433951,5529,1417,366,391708,0 137,2,2024-09-07 08:53:31:713,316979,316979,0,0,16257150,0,3185 137,3,2024-09-07 08:53:30:771,1,251,23,0,382,3279,251,0 138,0,2024-09-07 08:53:31:779,61296,0.8,61382,0.9,123332,0.9,164384,2.00 138,1,2024-09-07 08:53:31:692,440677,440677,0,0,206151893556,2166042832,433710,5836,1131,368,391954,0 138,2,2024-09-07 08:53:30:598,313771,313771,0,0,14871498,0,4988 138,3,2024-09-07 08:53:30:615,1,251,1,0,1160,3858,251,0 139,0,2024-09-07 08:53:31:365,63809,1.9,64012,1.3,128242,2.9,171809,2.50 139,1,2024-09-07 08:53:30:577,439057,439057,0,0,204988551307,2178627688,430561,6382,2114,381,391892,0 139,2,2024-09-07 08:53:30:704,317237,317237,0,0,15811903,0,3097 139,3,2024-09-07 08:53:31:670,1,251,2,0,244,2553,251,0 140,0,2024-09-07 08:53:31:590,61781,0.3,61201,0.5,123048,0.3,163691,1.75 140,1,2024-09-07 08:53:31:536,444053,444053,0,0,207942929402,2142538945,442073,1738,242,365,391606,0 140,2,2024-09-07 08:53:30:689,319995,319995,0,0,12241340,0,3388 140,3,2024-09-07 08:53:30:768,1,251,1,0,247,2153,251,0 141,0,2024-09-07 08:53:31:698,62004,0.3,63715,0.4,121859,0.2,165327,1.50 141,1,2024-09-07 08:53:30:860,443162,443162,0,0,207384071270,2150912080,440592,2162,408,379,391614,0 141,2,2024-09-07 08:53:31:688,317824,317824,0,0,11852767,0,2342 141,3,2024-09-07 08:53:31:049,1,251,3,0,202,2328,251,0 142,0,2024-09-07 08:53:31:321,63209,0.3,62563,0.4,124430,0.2,166035,1.50 142,1,2024-09-07 08:53:30:585,442697,442697,0,0,206394701691,2151473832,439900,2532,265,382,392102,0 142,2,2024-09-07 08:53:31:301,315171,315139,32,0,13582205,0,6028 142,3,2024-09-07 08:53:31:746,1,251,6,0,484,3151,251,0 143,0,2024-09-07 08:53:31:377,64022,0.5,63969,0.6,128525,0.5,170819,1.75 143,1,2024-09-07 08:53:30:575,442605,442605,0,0,206880520307,2144819101,439891,2618,96,367,391651,0 143,2,2024-09-07 08:53:30:769,318250,318250,0,0,12799760,0,2669 143,3,2024-09-07 08:53:31:148,1,251,1,0,303,3297,251,0 144,0,2024-09-07 08:53:31:502,60779,0.7,62383,0.9,127227,0.6,166671,2.00 144,1,2024-09-07 08:53:30:575,440509,440509,0,0,206100986377,2162939867,436497,3087,925,381,391649,0 144,2,2024-09-07 08:53:31:762,319474,319474,0,0,12258942,0,3473 144,3,2024-09-07 08:53:31:743,1,251,7,0,249,2951,251,0 145,0,2024-09-07 08:53:31:383,60996,0.6,60981,0.8,129320,0.5,167067,2.25 145,1,2024-09-07 08:53:30:557,439921,439921,0,0,206269570426,2169035765,434424,4674,823,382,391698,0 145,2,2024-09-07 08:53:31:429,316261,316261,0,0,14576020,0,3903 145,3,2024-09-07 08:53:30:895,1,251,3,0,622,4109,251,0 146,0,2024-09-07 08:53:31:607,62390,0.5,61972,0.7,124801,0.4,166078,2.00 146,1,2024-09-07 08:53:31:589,440900,440900,0,0,206080196599,2172810756,432621,6432,1847,368,391770,0 146,2,2024-09-07 08:53:31:696,316889,316889,0,0,14705451,0,2730 146,3,2024-09-07 08:53:31:274,1,251,7,0,1520,5921,251,0 147,0,2024-09-07 08:53:31:723,63539,0.6,63500,0.7,126035,0.5,169830,2.00 147,1,2024-09-07 08:53:31:385,442891,442891,0,0,207721855426,2160386870,438791,3515,585,368,391791,0 147,2,2024-09-07 08:53:31:013,317498,317498,0,0,12274243,0,2789 147,3,2024-09-07 08:53:30:914,1,251,1,0,730,3910,251,0 0,0,2024-09-07 08:53:41:719,59989,0.5,60026,0.7,127286,0.4,164632,1.75 0,1,2024-09-07 08:53:40:803,443816,443816,0,0,207589945423,2170537115,440604,3008,204,370,391772,0 0,2,2024-09-07 08:53:41:068,319216,319216,0,0,13015841,0,4480 0,3,2024-09-07 08:53:40:974,1,252,1,0,319,3390,252,0 1,0,2024-09-07 08:53:41:750,62967,0.8,62530,0.9,125823,1.0,167779,2.00 1,1,2024-09-07 08:53:40:558,443458,443458,0,0,207040326590,2168734651,438962,3443,1053,371,391857,0 1,2,2024-09-07 08:53:40:641,314609,314609,0,0,11973302,0,3267 1,3,2024-09-07 08:53:41:301,1,252,1,0,262,2964,252,0 2,0,2024-09-07 08:53:41:574,65285,0.7,65560,0.8,129940,0.8,174197,2.00 2,1,2024-09-07 08:53:40:899,443703,443703,0,0,207881384092,2158597134,441596,1889,218,380,391745,0 2,2,2024-09-07 08:53:41:268,320599,320599,0,0,12549063,0,3594 2,3,2024-09-07 08:53:40:706,1,252,7,0,357,2277,252,0 3,0,2024-09-07 08:53:41:744,61735,0.5,61819,0.6,123049,0.4,163991,2.00 3,1,2024-09-07 08:53:41:618,443427,443427,0,0,207250901208,2158771550,439588,3377,462,380,391523,0 3,2,2024-09-07 08:53:41:142,320463,320440,23,0,12814010,0,5851 3,3,2024-09-07 08:53:41:754,1,252,1,0,103,1524,252,0 4,0,2024-09-07 08:53:41:777,59778,0.4,61483,0.5,125154,0.3,163585,1.75 4,1,2024-09-07 08:53:40:595,443131,443131,0,0,206750337205,2171773602,438388,3952,791,371,391846,0 4,2,2024-09-07 08:53:41:017,317994,317994,0,0,15179207,0,4528 4,3,2024-09-07 08:53:41:027,1,252,2,0,448,3873,252,0 5,0,2024-09-07 08:53:41:397,61648,0.4,61999,0.5,123063,0.3,163879,1.75 5,1,2024-09-07 08:53:40:761,443210,443210,0,0,206979815356,2176496907,437714,4384,1112,368,392005,0 5,2,2024-09-07 08:53:41:846,313601,313601,0,0,13754758,0,2432 5,3,2024-09-07 08:53:41:735,1,252,0,0,457,3812,252,0 6,0,2024-09-07 08:53:40:919,65582,0.5,64930,0.7,129809,0.5,174135,2.00 6,1,2024-09-07 08:53:40:750,443359,443359,0,0,207017085506,2163571638,438684,3831,844,379,391694,0 6,2,2024-09-07 08:53:41:119,320313,320313,0,0,13803761,0,4816 6,3,2024-09-07 08:53:41:278,1,252,0,0,340,2970,252,0 7,0,2024-09-07 08:53:41:535,61252,0.5,61472,0.7,122523,0.5,162935,2.00 7,1,2024-09-07 08:53:40:852,442983,442983,0,0,207104448979,2173047741,437082,4898,1003,382,391747,0 7,2,2024-09-07 08:53:40:770,319628,319628,0,0,13627020,0,4791 7,3,2024-09-07 08:53:40:852,1,252,1,0,398,3113,252,0 8,0,2024-09-07 08:53:41:332,62846,0.4,62823,0.5,125048,0.3,166780,1.75 8,1,2024-09-07 08:53:41:016,441699,441699,0,0,206843778439,2182661027,433407,6274,2018,367,391956,0 8,2,2024-09-07 08:53:40:794,314831,314831,0,0,16335303,0,3220 8,3,2024-09-07 08:53:40:587,1,252,1,0,357,3831,252,0 9,0,2024-09-07 08:53:41:160,63385,0.3,61405,0.5,128331,0.3,168372,1.50 9,1,2024-09-07 08:53:40:554,442461,442461,0,0,206967850676,2181919115,435973,4946,1542,370,392001,0 9,2,2024-09-07 08:53:41:091,316963,316963,0,0,14432856,0,3360 9,3,2024-09-07 08:53:41:761,1,252,1,0,496,3813,252,0 10,0,2024-09-07 08:53:41:615,64754,0.3,64115,0.5,129000,0.2,172383,1.75 10,1,2024-09-07 08:53:40:591,442939,442939,0,0,206856854287,2169509850,436579,5432,928,381,391741,0 10,2,2024-09-07 08:53:40:762,318951,318951,0,0,16063557,0,4264 10,3,2024-09-07 08:53:40:874,1,252,12,0,177,2094,252,0 11,0,2024-09-07 08:53:41:012,63299,0.5,61492,0.7,128625,0.5,170105,2.00 11,1,2024-09-07 08:53:40:574,443249,443249,0,0,207193060486,2180668444,435030,6238,1981,383,391537,0 11,2,2024-09-07 08:53:41:122,319451,319451,0,0,14991035,0,4130 11,3,2024-09-07 08:53:41:297,1,252,10,0,843,4223,252,0 12,0,2024-09-07 08:53:40:984,64261,0.3,64196,0.5,128274,0.3,170611,1.75 12,1,2024-09-07 08:53:40:944,443141,443141,0,0,206980892748,2157489499,439624,3096,421,370,391870,0 12,2,2024-09-07 08:53:41:563,319497,319497,0,0,13891585,0,3469 12,3,2024-09-07 08:53:41:059,1,252,1,0,386,4177,252,0 13,0,2024-09-07 08:53:41:332,62706,0.3,62528,0.5,124936,0.3,166250,1.50 13,1,2024-09-07 08:53:41:531,443469,443469,0,0,206187981755,2163097402,439920,3008,541,382,391717,0 13,2,2024-09-07 08:53:40:595,319980,319980,0,0,13049008,0,3287 13,3,2024-09-07 08:53:41:767,1,252,2,0,467,3932,252,0 14,0,2024-09-07 08:53:40:562,64247,0.4,64622,0.5,128251,0.3,171181,1.75 14,1,2024-09-07 08:53:41:561,446129,446129,0,0,207802031670,2150228175,443298,2642,189,364,391571,0 14,2,2024-09-07 08:53:40:763,315886,315886,0,0,12969972,0,2896 14,3,2024-09-07 08:53:41:114,1,252,0,0,1168,3464,252,0 15,0,2024-09-07 08:53:41:554,64321,0.5,64524,0.7,128712,0.5,171348,2.00 15,1,2024-09-07 08:53:41:609,444353,444353,0,0,207642954173,2157885398,441834,2341,178,381,391619,0 15,2,2024-09-07 08:53:40:998,320782,320782,0,0,11085389,0,3043 15,3,2024-09-07 08:53:41:404,1,252,1,0,1126,5216,252,0 16,0,2024-09-07 08:53:40:940,62595,0.7,62838,0.8,124931,0.8,167231,2.25 16,1,2024-09-07 08:53:40:563,444323,444323,0,0,207239251776,2165084919,440763,3261,299,370,391756,0 16,2,2024-09-07 08:53:41:441,319382,319382,0,0,13715746,0,4719 16,3,2024-09-07 08:53:41:143,1,252,0,0,317,3464,252,0 17,0,2024-09-07 08:53:41:773,63737,0.5,62204,0.7,121765,0.4,165309,1.75 17,1,2024-09-07 08:53:40:574,443108,443108,0,0,206958794925,2172345343,438265,3739,1104,368,391845,0 17,2,2024-09-07 08:53:41:673,321933,321933,0,0,12873012,0,2857 17,3,2024-09-07 08:53:40:575,1,252,9,0,268,4016,252,0 18,0,2024-09-07 08:53:40:943,61506,0.6,61686,0.8,123193,0.7,164902,2.25 18,1,2024-09-07 08:53:41:641,444549,444549,0,0,207392026042,2149133467,442039,2268,242,367,391649,0 18,2,2024-09-07 08:53:41:755,315936,315936,0,0,12473892,0,3541 18,3,2024-09-07 08:53:40:896,1,252,1,0,163,2533,252,0 19,0,2024-09-07 08:53:41:552,64742,0.6,65323,0.8,129336,0.6,172673,2.25 19,1,2024-09-07 08:53:40:565,444198,444198,0,0,208556294265,2167053875,439540,3872,786,367,391777,0 19,2,2024-09-07 08:53:41:755,321985,321985,0,0,11546072,0,3988 19,3,2024-09-07 08:53:41:129,1,252,1,0,524,1884,252,0 20,0,2024-09-07 08:53:41:364,61151,0.7,61212,0.8,122728,0.8,163443,2.25 20,1,2024-09-07 08:53:40:566,442985,442985,0,0,207331955745,2168752971,439167,3420,398,369,391886,0 20,2,2024-09-07 08:53:40:930,320885,320885,0,0,13341698,0,3721 20,3,2024-09-07 08:53:40:591,1,252,2,0,414,4623,252,0 21,0,2024-09-07 08:53:41:136,62162,0.5,62448,0.6,124492,0.4,164954,2.00 21,1,2024-09-07 08:53:41:541,442166,442166,0,0,206135061741,2170468085,436447,4462,1257,368,391962,0 21,2,2024-09-07 08:53:41:068,317738,317738,0,0,15079197,0,3747 21,3,2024-09-07 08:53:41:413,1,252,1,0,103,2637,252,0 22,0,2024-09-07 08:53:41:735,62173,0.6,62323,0.7,124299,0.5,164838,2.00 22,1,2024-09-07 08:53:41:026,442729,442729,0,0,206406729114,2173704737,435498,5589,1642,382,391667,0 22,2,2024-09-07 08:53:40:761,315406,315406,0,0,13237425,0,3134 22,3,2024-09-07 08:53:41:066,1,252,2,0,228,2342,252,0 23,0,2024-09-07 08:53:41:372,64153,0.6,63788,0.7,128305,0.6,171178,2.25 23,1,2024-09-07 08:53:41:004,443389,443389,0,0,207620448745,2180320350,436079,4759,2551,365,391690,0 23,2,2024-09-07 08:53:41:093,320436,320436,0,0,12832725,0,3010 23,3,2024-09-07 08:53:41:754,1,252,1,0,645,3280,252,0 24,0,2024-09-07 08:53:40:826,63372,0.4,63264,0.6,126843,0.4,168149,1.75 24,1,2024-09-07 08:53:40:583,442665,442665,0,0,205965753582,2162166885,437052,4132,1481,368,391987,0 24,2,2024-09-07 08:53:41:076,318531,318531,0,0,15818208,0,3607 24,3,2024-09-07 08:53:41:690,1,252,2,0,468,3794,252,0 25,0,2024-09-07 08:53:41:351,64996,0.5,63298,0.7,124428,0.4,169725,2.00 25,1,2024-09-07 08:53:40:557,443612,443612,0,0,206975160903,2166807891,439268,3790,554,371,391788,0 25,2,2024-09-07 08:53:41:613,317131,317131,0,0,15963086,0,3978 25,3,2024-09-07 08:53:41:003,1,252,1,0,255,2719,252,0 26,0,2024-09-07 08:53:41:721,62606,0.4,61224,0.6,128259,0.3,167311,1.75 26,1,2024-09-07 08:53:41:544,443053,443053,0,0,206812780728,2170627784,436064,5773,1216,381,391748,0 26,2,2024-09-07 08:53:40:860,318315,318315,0,0,15647599,0,2809 26,3,2024-09-07 08:53:41:712,1,252,1,0,796,3382,252,0 27,0,2024-09-07 08:53:41:727,64249,0.4,64374,0.6,127156,0.4,170258,2.00 27,1,2024-09-07 08:53:41:676,445004,445004,0,0,207773532467,2162193718,441553,2858,593,381,391558,0 27,2,2024-09-07 08:53:40:867,316080,316015,65,0,15714546,0,5699 27,3,2024-09-07 08:53:41:015,1,252,73,0,564,2780,252,0 28,0,2024-09-07 08:53:41:393,64731,0.5,64496,0.7,129593,0.4,173513,2.00 28,1,2024-09-07 08:53:40:799,444375,444375,0,0,208425293216,2168782692,441534,2370,471,383,391646,0 28,2,2024-09-07 08:53:41:764,320005,320005,0,0,12311984,0,2915 28,3,2024-09-07 08:53:41:780,1,252,1,0,502,2571,252,0 29,0,2024-09-07 08:53:41:355,64972,0.4,63616,0.6,124225,0.3,170047,1.75 29,1,2024-09-07 08:53:41:562,445459,445459,0,0,208669145465,2159957155,442235,2659,565,369,391621,0 29,2,2024-09-07 08:53:40:860,318974,318974,0,0,11743341,0,4018 29,3,2024-09-07 08:53:40:964,1,252,1,0,115,1796,252,0 30,0,2024-09-07 08:53:41:461,61671,0.5,59976,0.7,125280,0.4,164035,2.00 30,1,2024-09-07 08:53:40:571,445102,445102,0,0,207986381638,2154633559,442773,2036,293,382,391672,0 30,2,2024-09-07 08:53:41:274,319699,319699,0,0,11626106,0,3161 30,3,2024-09-07 08:53:40:581,1,252,2,0,195,1887,252,0 31,0,2024-09-07 08:53:41:766,62528,0.4,62819,0.6,125950,0.3,167719,1.75 31,1,2024-09-07 08:53:40:563,446464,446464,0,0,209104724551,2141827163,445075,1170,219,356,391712,0 31,2,2024-09-07 08:53:41:276,315034,315034,0,0,13133223,0,3525 31,3,2024-09-07 08:53:41:707,1,252,0,0,220,1968,252,0 32,0,2024-09-07 08:53:41:429,65014,0.4,65577,0.5,130896,0.3,174582,1.75 32,1,2024-09-07 08:53:40:804,445037,445037,0,0,207819165592,2155589395,443080,1678,279,381,391622,0 32,2,2024-09-07 08:53:40:955,320845,320845,0,0,11500851,0,3155 32,3,2024-09-07 08:53:41:014,1,252,0,0,227,2032,252,0 33,0,2024-09-07 08:53:41:494,62178,0.3,61532,0.5,123766,0.2,164859,1.75 33,1,2024-09-07 08:53:40:596,445369,445369,0,0,208901943487,2157646262,442788,2407,174,369,391730,0 33,2,2024-09-07 08:53:40:762,321783,321750,33,0,13250169,0,7012 33,3,2024-09-07 08:53:40:895,1,252,1,0,329,2906,252,0 34,0,2024-09-07 08:53:40:936,61582,0.3,63419,0.5,121655,0.2,162990,1.50 34,1,2024-09-07 08:53:41:047,445895,445895,0,0,208695919022,2145988240,444799,1089,7,367,391562,0 34,2,2024-09-07 08:53:40:774,319179,319179,0,0,12496157,0,3577 34,3,2024-09-07 08:53:41:688,1,252,11,0,299,2055,252,0 35,0,2024-09-07 08:53:40:864,61210,0.3,61579,0.5,123714,0.3,164322,1.75 35,1,2024-09-07 08:53:41:069,444844,444844,0,0,207309049365,2150300988,441498,2566,780,384,391589,0 35,2,2024-09-07 08:53:41:587,315669,315669,0,0,11962582,0,2653 35,3,2024-09-07 08:53:40:909,1,252,0,0,418,2984,252,0 36,0,2024-09-07 08:53:41:541,65147,0.6,65106,0.7,130480,0.6,173886,2.25 36,1,2024-09-07 08:53:40:583,444099,444099,0,0,207462326290,2170238840,438117,4403,1579,366,391759,0 36,2,2024-09-07 08:53:41:759,319864,319864,0,0,14133938,0,3875 36,3,2024-09-07 08:53:40:865,1,252,0,0,416,4039,252,0 37,0,2024-09-07 08:53:41:404,61159,0.6,61121,0.7,122452,0.5,163885,2.00 37,1,2024-09-07 08:53:40:569,443294,443287,0,7,206826876700,2167757139,437279,3941,2067,365,391560,0 37,2,2024-09-07 08:53:41:142,319610,319595,15,0,14456643,0,5815 37,3,2024-09-07 08:53:41:774,1,252,35,0,888,4469,252,0 38,0,2024-09-07 08:53:41:445,62075,0.5,60356,0.7,126417,0.4,164959,2.00 38,1,2024-09-07 08:53:41:606,444280,444280,0,0,207719011889,2168440673,439008,4597,675,368,391821,0 38,2,2024-09-07 08:53:40:761,317701,317654,47,0,14812930,0,6710 38,3,2024-09-07 08:53:41:007,1,252,1,0,689,3598,252,0 39,0,2024-09-07 08:53:41:761,64557,0.6,63460,0.7,123057,0.5,168354,2.00 39,1,2024-09-07 08:53:40:716,443963,443963,0,0,207635954339,2167687822,438403,4658,902,365,391594,0 39,2,2024-09-07 08:53:41:417,316915,316915,0,0,12823023,0,2689 39,3,2024-09-07 08:53:40:713,1,252,1,0,324,3421,252,0 40,0,2024-09-07 08:53:41:492,63764,0.7,64369,0.9,128832,0.7,171951,2.75 40,1,2024-09-07 08:53:40:579,443505,443505,0,0,205932194934,2160175472,436366,5696,1443,368,391591,0 40,2,2024-09-07 08:53:41:303,318754,318753,1,0,16086215,0,5137 40,3,2024-09-07 08:53:41:148,1,252,1,0,398,3142,252,0 41,0,2024-09-07 08:53:41:031,63080,2.0,64562,1.4,123165,3.1,168306,3.75 41,1,2024-09-07 08:53:40:772,443551,443551,0,0,207343840814,2168752269,438010,4907,634,370,391742,0 41,2,2024-09-07 08:53:40:762,318059,318059,0,0,15289190,0,3356 41,3,2024-09-07 08:53:41:682,1,252,120,0,366,3028,252,0 42,0,2024-09-07 08:53:41:473,63034,0.8,63222,1.0,126560,0.9,167299,2.50 42,1,2024-09-07 08:53:41:439,442170,442170,0,0,206743425066,2174327001,434886,5832,1452,380,391675,0 42,2,2024-09-07 08:53:41:133,318842,318842,0,0,14621461,0,3568 42,3,2024-09-07 08:53:41:020,1,252,1,0,446,2333,252,0 43,0,2024-09-07 08:53:40:918,62040,0.7,60530,0.9,126336,0.7,166076,2.25 43,1,2024-09-07 08:53:40:579,443106,443106,0,0,207691371274,2171062082,436842,4935,1329,366,391696,0 43,2,2024-09-07 08:53:41:736,319236,319236,0,0,14015750,0,3812 43,3,2024-09-07 08:53:41:753,1,252,12,0,467,3081,252,0 44,0,2024-09-07 08:53:40:865,63878,0.4,64310,0.6,128240,0.4,171403,1.75 44,1,2024-09-07 08:53:40:563,445347,445347,0,0,207820707306,2144425461,442790,2125,432,356,391809,0 44,2,2024-09-07 08:53:41:268,315719,315719,0,0,11626954,0,2231 44,3,2024-09-07 08:53:41:095,1,252,0,0,817,2976,252,0 45,0,2024-09-07 08:53:41:772,63343,0.6,61916,0.8,129998,0.7,171051,2.00 45,1,2024-09-07 08:53:41:008,444789,444789,0,0,208174888501,2156309037,443138,1639,12,382,391917,0 45,2,2024-09-07 08:53:41:270,320810,320810,0,0,12358613,0,3596 45,3,2024-09-07 08:53:40:933,1,252,0,0,271,2681,252,0 46,0,2024-09-07 08:53:40:949,62225,0.6,62016,0.8,124354,0.6,165017,2.00 46,1,2024-09-07 08:53:40:575,446080,446080,0,0,208136519555,2147847551,443734,2072,274,366,391539,0 46,2,2024-09-07 08:53:40:593,320619,320619,0,0,11904451,0,2920 46,3,2024-09-07 08:53:41:131,1,252,1,0,908,3777,252,0 47,0,2024-09-07 08:53:41:103,62189,0.4,62019,0.6,124632,0.4,164827,1.75 47,1,2024-09-07 08:53:40:567,445616,445616,0,0,207715230193,2143271720,443293,2189,134,366,391605,0 47,2,2024-09-07 08:53:40:910,321385,321385,0,0,11973707,0,2558 47,3,2024-09-07 08:53:41:114,1,252,0,0,529,2270,252,0 48,0,2024-09-07 08:53:41:490,62548,0.3,62191,0.4,123857,0.2,165804,1.50 48,1,2024-09-07 08:53:41:022,444877,444877,0,0,207615889897,2156486946,441770,2808,299,384,391710,0 48,2,2024-09-07 08:53:40:705,315067,315067,0,0,11538584,0,3031 48,3,2024-09-07 08:53:40:753,1,252,1,0,339,2102,252,0 49,0,2024-09-07 08:53:41:725,66931,0.4,65828,0.5,127335,0.3,174792,1.75 49,1,2024-09-07 08:53:41:025,443889,443889,0,0,207362861080,2161450922,440031,2677,1181,382,391809,0 49,2,2024-09-07 08:53:41:797,321661,321661,0,0,12181161,0,3900 49,3,2024-09-07 08:53:41:420,1,252,1,0,408,2780,252,0 50,0,2024-09-07 08:53:41:511,61463,0.3,60901,0.5,122561,0.2,163350,1.75 50,1,2024-09-07 08:53:41:021,445651,445651,0,0,208317680820,2154477103,442813,2528,310,368,391530,0 50,2,2024-09-07 08:53:41:074,321160,321160,0,0,11488173,0,2263 50,3,2024-09-07 08:53:41:291,1,252,3,0,335,2093,252,0 51,0,2024-09-07 08:53:41:685,63638,0.3,62246,0.5,121279,0.2,165233,1.75 51,1,2024-09-07 08:53:41:680,445735,445735,0,0,208895372355,2156006993,442938,1858,939,365,391706,0 51,2,2024-09-07 08:53:41:318,319191,319191,0,0,10873034,0,3337 51,3,2024-09-07 08:53:41:032,1,252,0,0,678,2036,252,0 52,0,2024-09-07 08:53:41:425,62961,0.4,62514,0.6,124974,0.4,165553,2.00 52,1,2024-09-07 08:53:40:584,443715,443715,0,0,206745695482,2168670147,436558,5903,1254,368,391722,0 52,2,2024-09-07 08:53:41:755,314170,314132,38,0,14985796,0,6742 52,3,2024-09-07 08:53:40:683,1,252,0,0,1782,4527,252,0 53,0,2024-09-07 08:53:41:753,63745,0.7,61965,0.8,129823,0.7,170432,2.50 53,1,2024-09-07 08:53:40:771,442539,442539,0,0,206927246918,2173896422,435213,4970,2356,367,391702,0 53,2,2024-09-07 08:53:41:306,320253,320253,0,0,12522638,0,2727 53,3,2024-09-07 08:53:40:701,1,252,0,0,271,2302,252,0 54,0,2024-09-07 08:53:41:615,61850,0.8,62420,0.9,123608,0.5,165280,2.75 54,1,2024-09-07 08:53:40:580,443997,443997,0,0,207787428884,2161657428,439261,4139,597,367,391659,0 54,2,2024-09-07 08:53:40:866,319836,319830,6,0,13905940,0,5382 54,3,2024-09-07 08:53:40:762,1,252,2,0,676,3907,252,0 55,0,2024-09-07 08:53:41:760,61109,0.6,63273,0.8,127365,0.5,166089,2.50 55,1,2024-09-07 08:53:40:763,443455,443455,0,0,207902225514,2165372911,437905,4763,787,365,391731,0 55,2,2024-09-07 08:53:40:730,317600,317600,0,0,14032070,0,3275 55,3,2024-09-07 08:53:40:675,1,252,2,0,304,2885,252,0 56,0,2024-09-07 08:53:41:780,64230,1.0,60647,1.0,124740,1.2,167254,2.50 56,1,2024-09-07 08:53:40:595,441650,441650,0,0,207094401938,2190457034,434409,5803,1438,381,391678,0 56,2,2024-09-07 08:53:41:310,318228,318228,0,0,15177006,0,3567 56,3,2024-09-07 08:53:41:066,1,252,5,0,705,3693,252,0 57,0,2024-09-07 08:53:40:993,63150,1.5,63231,1.2,126491,2.1,169148,3.00 57,1,2024-09-07 08:53:40:987,443166,443166,0,0,206691521114,2166306523,437845,4651,670,367,391960,0 57,2,2024-09-07 08:53:41:315,317922,317922,0,0,16324597,0,3317 57,3,2024-09-07 08:53:41:737,1,252,1,0,359,3370,252,0 58,0,2024-09-07 08:53:40:569,63388,1.0,61625,1.0,128957,1.4,169671,2.75 58,1,2024-09-07 08:53:40:575,444078,444075,0,3,208211190911,2175935086,438342,5022,711,367,391545,3 58,2,2024-09-07 08:53:41:074,319710,319710,0,0,14568037,0,2549 58,3,2024-09-07 08:53:41:073,1,252,1,0,1043,2907,252,0 59,0,2024-09-07 08:53:41:745,62994,0.8,62836,1.0,125336,0.9,166767,3.00 59,1,2024-09-07 08:53:40:804,443761,443761,0,0,207527504960,2171924781,437973,4523,1265,369,391525,0 59,2,2024-09-07 08:53:40:583,320402,320402,0,0,13307218,0,2604 59,3,2024-09-07 08:53:41:739,1,252,1,0,1015,3541,252,0 60,0,2024-09-07 08:53:41:775,61929,0.4,61639,0.6,124372,0.4,164609,1.75 60,1,2024-09-07 08:53:40:787,445208,445208,0,0,208539370644,2161504503,442761,1930,517,370,392031,0 60,2,2024-09-07 08:53:41:152,319664,319664,0,0,14309999,0,3811 60,3,2024-09-07 08:53:41:265,1,252,16,0,124,2452,252,0 61,0,2024-09-07 08:53:41:555,62850,0.6,63070,0.8,125681,0.6,167736,2.00 61,1,2024-09-07 08:53:40:798,443794,443794,0,0,207321370557,2168725477,439221,3870,703,382,391880,0 61,2,2024-09-07 08:53:41:115,316105,316105,0,0,12556472,0,2780 61,3,2024-09-07 08:53:41:697,1,252,1,0,397,3344,252,0 62,0,2024-09-07 08:53:41:707,65765,0.6,66991,0.7,127831,0.6,174249,2.00 62,1,2024-09-07 08:53:41:112,446266,446260,0,6,208335016065,2148309298,443796,2346,118,365,391715,6 62,2,2024-09-07 08:53:41:647,319384,319383,1,0,13954412,0,5555 62,3,2024-09-07 08:53:41:154,1,252,1,0,287,1789,252,0 63,0,2024-09-07 08:53:41:455,61720,0.5,61862,0.6,123941,0.5,164429,1.75 63,1,2024-09-07 08:53:40:810,445496,445490,0,6,207778987347,2151277732,443915,1525,50,381,391677,6 63,2,2024-09-07 08:53:40:767,320991,320991,0,0,11722816,0,2674 63,3,2024-09-07 08:53:41:737,1,252,1,0,667,2865,252,0 64,0,2024-09-07 08:53:41:580,61151,0.4,61159,0.6,122570,0.4,162864,1.75 64,1,2024-09-07 08:53:40:765,444222,444222,0,0,207897144635,2168098391,439734,3074,1414,370,391783,0 64,2,2024-09-07 08:53:41:145,321708,321689,19,0,12622122,0,6121 64,3,2024-09-07 08:53:41:147,1,252,10,0,265,2550,252,0 65,0,2024-09-07 08:53:41:828,61149,0.6,61547,0.7,122800,0.6,163314,2.00 65,1,2024-09-07 08:53:40:887,443452,443452,0,0,206915239819,2164274463,439898,3109,445,382,391901,0 65,2,2024-09-07 08:53:41:707,315403,315403,0,0,13273081,0,3367 65,3,2024-09-07 08:53:41:683,1,252,77,0,163,2421,252,0 66,0,2024-09-07 08:53:41:767,64863,0.5,64676,0.7,129912,0.4,173117,2.00 66,1,2024-09-07 08:53:41:293,445073,445073,0,0,208340391799,2164151519,442499,2373,201,380,391653,0 66,2,2024-09-07 08:53:41:133,322614,322614,0,0,13037901,0,4956 66,3,2024-09-07 08:53:41:079,1,252,0,0,291,2631,252,0 67,0,2024-09-07 08:53:41:444,61886,0.6,61371,0.8,123527,0.7,163951,2.00 67,1,2024-09-07 08:53:40:774,443771,443770,0,1,207813773668,2167154267,439925,3098,747,380,391787,1 67,2,2024-09-07 08:53:40:583,321685,321685,0,0,12096837,0,2889 67,3,2024-09-07 08:53:41:758,1,252,4,0,338,2335,252,0 68,0,2024-09-07 08:53:40:600,62824,0.6,62632,0.8,124864,0.6,166714,2.00 68,1,2024-09-07 08:53:40:579,443091,443091,0,0,206701211792,2166975153,438912,3009,1170,381,391953,0 68,2,2024-09-07 08:53:41:076,317159,317094,65,0,15868915,0,6698 68,3,2024-09-07 08:53:40:729,1,252,1,0,417,2838,252,0 69,0,2024-09-07 08:53:41:781,62784,0.7,63119,0.8,125931,0.7,167415,2.25 69,1,2024-09-07 08:53:41:035,441998,441998,0,0,206177636406,2175720215,436297,4299,1402,384,391994,0 69,2,2024-09-07 08:53:41:744,316776,316776,0,0,15647819,0,3722 69,3,2024-09-07 08:53:40:765,1,252,1,0,698,3624,252,0 70,0,2024-09-07 08:53:41:545,64173,0.8,64086,1.0,128743,0.6,171558,2.25 70,1,2024-09-07 08:53:40:801,444539,444539,0,0,208892524172,2168843212,440875,3136,528,366,391725,0 70,2,2024-09-07 08:53:41:330,318764,318764,0,0,14329539,0,4044 70,3,2024-09-07 08:53:40:748,1,252,0,0,854,3010,252,0 71,0,2024-09-07 08:53:41:355,63091,1.2,62989,1.1,126340,1.6,168949,3.00 71,1,2024-09-07 08:53:41:607,443755,443755,0,0,207547224632,2165578573,438600,4534,621,368,391738,0 71,2,2024-09-07 08:53:41:066,319664,319664,0,0,14702914,0,4042 71,3,2024-09-07 08:53:41:758,1,252,1,0,644,3664,252,0 72,0,2024-09-07 08:53:41:129,65870,0.8,64422,0.8,125624,0.8,171049,2.25 72,1,2024-09-07 08:53:41:066,443583,443583,0,0,207690857181,2168569889,438524,4128,931,369,391819,0 72,2,2024-09-07 08:53:41:762,317828,317828,0,0,16333947,0,3983 72,3,2024-09-07 08:53:41:763,1,252,4,0,564,4480,252,0 73,0,2024-09-07 08:53:41:149,60803,0.4,62228,0.6,127709,0.3,165727,2.00 73,1,2024-09-07 08:53:40:815,444111,444111,0,0,208007210286,2161741559,441020,2856,235,367,391750,0 73,2,2024-09-07 08:53:41:746,319186,319186,0,0,15664317,0,3701 73,3,2024-09-07 08:53:40:981,1,252,7,0,274,3734,252,0 74,0,2024-09-07 08:53:41:321,64671,0.4,65939,0.7,125837,0.4,171267,2.25 74,1,2024-09-07 08:53:40:635,443523,443523,0,0,206815773023,2159805741,439123,3601,799,381,391681,0 74,2,2024-09-07 08:53:41:010,316066,316066,0,0,14946350,0,4253 74,3,2024-09-07 08:53:41:442,1,252,5,0,522,3394,252,0 75,0,2024-09-07 08:53:41:792,64516,0.6,64181,0.8,128800,0.5,172200,2.25 75,1,2024-09-07 08:53:41:590,442855,442855,0,0,206817946343,2162810709,437926,4265,664,380,391660,0 75,2,2024-09-07 08:53:41:350,319141,319141,0,0,15458124,0,4766 75,3,2024-09-07 08:53:41:073,1,252,8,0,702,3682,252,0 76,0,2024-09-07 08:53:40:721,62259,0.7,61609,0.9,123536,0.8,165884,2.50 76,1,2024-09-07 08:53:40:822,443821,443821,0,0,207404324330,2162776483,440756,2515,550,382,391692,0 76,2,2024-09-07 08:53:41:070,321691,321691,0,0,12600184,0,3784 76,3,2024-09-07 08:53:41:146,1,252,11,0,175,2615,252,0 77,0,2024-09-07 08:53:41:715,61859,0.5,62168,0.7,123998,0.4,164581,1.75 77,1,2024-09-07 08:53:40:828,444301,444301,0,0,207547290210,2166421785,440850,3194,257,381,391808,0 77,2,2024-09-07 08:53:41:281,319000,319000,0,0,13184019,0,3890 77,3,2024-09-07 08:53:41:129,1,252,2,0,382,2834,252,0 78,0,2024-09-07 08:53:41:720,62325,0.4,61785,0.6,124478,0.4,165027,2.00 78,1,2024-09-07 08:53:40:609,444821,444821,0,0,207312422138,2150680534,441824,2678,319,367,391670,0 78,2,2024-09-07 08:53:41:405,315464,315464,0,0,11749434,0,3855 78,3,2024-09-07 08:53:41:133,1,252,3,0,181,2438,252,0 79,0,2024-09-07 08:53:41:381,63088,0.4,64755,0.6,132319,0.4,172433,2.25 79,1,2024-09-07 08:53:40:571,445798,445798,0,0,208366159188,2155042873,442953,2509,336,369,391682,0 79,2,2024-09-07 08:53:41:075,321524,321524,0,0,11943853,0,3212 79,3,2024-09-07 08:53:40:749,1,252,0,0,418,3711,252,0 80,0,2024-09-07 08:53:41:117,61276,0.6,63086,0.7,120798,0.7,163120,2.00 80,1,2024-09-07 08:53:41:633,443917,443917,0,0,207490341111,2159273004,440950,2798,169,368,391791,0 80,2,2024-09-07 08:53:41:094,321289,321289,0,0,12399904,0,4433 80,3,2024-09-07 08:53:40:579,1,252,2,0,190,3420,252,0 81,0,2024-09-07 08:53:41:601,61994,0.5,63566,0.6,121601,0.4,164319,1.75 81,1,2024-09-07 08:53:41:662,443046,443046,0,0,206566715448,2158975162,439205,3379,462,382,391879,0 81,2,2024-09-07 08:53:41:132,318855,318855,0,0,12744216,0,3993 81,3,2024-09-07 08:53:41:132,1,252,4,0,374,3008,252,0 82,0,2024-09-07 08:53:41:533,61985,0.4,62522,0.6,125100,0.3,165660,1.75 82,1,2024-09-07 08:53:40:599,444420,444416,0,4,207749183643,2163482718,441195,2610,611,381,391558,4 82,2,2024-09-07 08:53:41:692,316859,316859,0,0,12003230,0,3986 82,3,2024-09-07 08:53:41:754,1,252,1,0,363,2809,252,0 83,0,2024-09-07 08:53:41:523,64420,0.5,64471,0.7,127944,0.5,171015,2.00 83,1,2024-09-07 08:53:40:552,443472,443472,0,0,207240616831,2160669682,440297,2931,244,382,391690,0 83,2,2024-09-07 08:53:40:763,319918,319918,0,0,12074224,0,3119 83,3,2024-09-07 08:53:40:749,1,252,0,0,250,2664,252,0 84,0,2024-09-07 08:53:41:814,62536,0.9,62230,0.9,124667,0.8,166803,2.25 84,1,2024-09-07 08:53:41:038,442967,442967,0,0,207258606092,2167011742,438223,4168,576,368,391967,0 84,2,2024-09-07 08:53:40:572,319916,319916,0,0,14132042,0,3801 84,3,2024-09-07 08:53:41:140,1,252,1,0,908,3951,252,0 85,0,2024-09-07 08:53:41:009,61251,0.7,61175,0.9,129710,0.7,167613,2.50 85,1,2024-09-07 08:53:40:562,442574,442574,0,0,206726567264,2184287595,435732,5735,1107,382,392006,0 85,2,2024-09-07 08:53:40:869,319475,319475,0,0,14910286,0,3656 85,3,2024-09-07 08:53:40:688,1,252,2,0,789,3495,252,0 86,0,2024-09-07 08:53:40:884,62825,0.6,64656,0.7,123620,0.5,167112,2.00 86,1,2024-09-07 08:53:40:827,444028,444028,0,0,207483495007,2169608123,439046,4257,725,366,391961,0 86,2,2024-09-07 08:53:40:855,317311,317310,1,0,15732326,0,5004 86,3,2024-09-07 08:53:40:589,1,252,1,0,286,3371,252,0 87,0,2024-09-07 08:53:41:319,63733,0.9,63538,0.9,127570,1.2,170706,2.25 87,1,2024-09-07 08:53:40:555,443792,443792,0,0,206903579628,2163908047,439316,3992,484,366,391788,0 87,2,2024-09-07 08:53:41:071,316705,316705,0,0,14028474,0,4045 87,3,2024-09-07 08:53:41:795,1,252,1,0,473,3789,252,0 88,0,2024-09-07 08:53:41:452,64664,0.5,64939,0.6,129593,0.5,173204,2.00 88,1,2024-09-07 08:53:40:579,442195,442195,0,0,207381850438,2176500026,436013,4669,1513,365,392084,0 88,2,2024-09-07 08:53:40:690,319542,319542,0,0,16070619,0,3583 88,3,2024-09-07 08:53:41:274,1,252,2,0,435,3073,252,0 89,0,2024-09-07 08:53:41:836,65202,0.5,63038,0.7,125164,0.5,170011,2.00 89,1,2024-09-07 08:53:40:557,441537,441537,0,0,206794828052,2181671669,434619,5696,1222,382,391866,0 89,2,2024-09-07 08:53:41:133,318610,318610,0,0,15312932,0,2910 89,3,2024-09-07 08:53:41:800,1,252,1,0,325,4847,252,0 90,0,2024-09-07 08:53:41:618,60152,0.4,61490,0.6,125934,0.4,163829,1.75 90,1,2024-09-07 08:53:40:590,443816,443816,0,0,206802470291,2167414245,439683,3855,278,381,391825,0 90,2,2024-09-07 08:53:41:419,318066,318066,0,0,16566505,0,3060 90,3,2024-09-07 08:53:40:940,1,252,2,0,200,2728,252,0 91,0,2024-09-07 08:53:40:931,63061,0.4,61120,0.6,127677,0.4,167732,1.75 91,1,2024-09-07 08:53:40:557,442602,442602,0,0,206983558562,2176551573,436735,5173,694,381,392047,0 91,2,2024-09-07 08:53:41:332,314890,314890,0,0,14704491,0,2896 91,3,2024-09-07 08:53:40:618,1,252,1,0,216,2392,252,0 92,0,2024-09-07 08:53:41:448,65850,0.5,67409,0.6,128760,0.5,174598,1.75 92,1,2024-09-07 08:53:40:583,443639,443639,0,0,207394644681,2161753240,441077,2156,406,382,392136,0 92,2,2024-09-07 08:53:41:350,321902,321902,0,0,12363903,0,2801 92,3,2024-09-07 08:53:41:020,1,252,1,0,167,2038,252,0 93,0,2024-09-07 08:53:40:970,61997,0.4,63676,0.6,121661,0.4,164930,1.75 93,1,2024-09-07 08:53:40:807,443915,443915,0,0,207199544567,2161942205,439436,3693,786,366,391692,0 93,2,2024-09-07 08:53:40:928,321534,321534,0,0,13187753,0,2797 93,3,2024-09-07 08:53:41:407,1,252,1,0,190,2475,252,0 94,0,2024-09-07 08:53:41:603,61344,0.4,62150,0.5,123749,0.3,163619,1.75 94,1,2024-09-07 08:53:40:567,443914,443914,0,0,207935370257,2171090110,440623,3113,178,381,391850,0 94,2,2024-09-07 08:53:40:762,318719,318719,0,0,12206077,0,2443 94,3,2024-09-07 08:53:41:688,1,252,2,0,264,3299,252,0 95,0,2024-09-07 08:53:41:346,61898,0.3,61483,0.5,124088,0.3,164060,1.75 95,1,2024-09-07 08:53:40:859,444666,444666,0,0,208381084218,2165449610,441098,3192,376,367,391713,0 95,2,2024-09-07 08:53:41:016,314700,314700,0,0,12474348,0,3308 95,3,2024-09-07 08:53:41:709,1,252,4,0,718,4522,252,0 96,0,2024-09-07 08:53:41:036,65129,0.4,65408,0.5,130671,0.4,173376,1.75 96,1,2024-09-07 08:53:41:583,443404,443404,0,0,208028371322,2171700035,439826,2845,733,384,391894,0 96,2,2024-09-07 08:53:41:504,320878,320878,0,0,13206662,0,4042 96,3,2024-09-07 08:53:41:139,1,252,1,0,411,2926,252,0 97,0,2024-09-07 08:53:41:320,61712,0.4,61521,0.5,123589,0.3,163836,1.75 97,1,2024-09-07 08:53:40:764,444920,444920,0,0,208937829704,2166433065,441882,2482,556,367,392140,0 97,2,2024-09-07 08:53:40:608,320943,320943,0,0,12449610,0,3036 97,3,2024-09-07 08:53:40:570,1,252,1,0,214,2936,252,0 98,0,2024-09-07 08:53:41:698,62670,0.3,62740,0.4,126027,0.2,166809,1.50 98,1,2024-09-07 08:53:40:569,444573,444573,0,0,207367067868,2158012287,442427,2046,100,382,391997,0 98,2,2024-09-07 08:53:40:786,319035,319035,0,0,12506528,0,3080 98,3,2024-09-07 08:53:40:703,1,252,9,0,840,4573,252,0 99,0,2024-09-07 08:53:41:447,63163,0.3,63365,0.4,126431,0.2,168583,1.50 99,1,2024-09-07 08:53:41:729,444204,444204,0,0,207242502033,2160402195,440416,3048,740,381,391744,0 99,2,2024-09-07 08:53:41:418,319787,319787,0,0,13227279,0,3106 99,3,2024-09-07 08:53:40:583,1,252,1,0,168,1936,252,0 100,0,2024-09-07 08:53:41:569,64416,0.7,64348,0.9,128576,0.8,172069,2.25 100,1,2024-09-07 08:53:40:707,441345,441345,0,0,206204970216,2180620207,434500,5527,1318,381,391989,0 100,2,2024-09-07 08:53:41:820,317308,317297,11,0,14863645,0,5417 100,3,2024-09-07 08:53:41:749,1,252,7,0,559,4916,252,0 101,0,2024-09-07 08:53:41:709,64943,1.7,63204,1.2,124258,2.0,170528,2.50 101,1,2024-09-07 08:53:40:572,441590,441590,0,0,206194450887,2174138076,434237,5582,1771,368,391769,0 101,2,2024-09-07 08:53:41:780,318775,318775,0,0,16094213,0,4644 101,3,2024-09-07 08:53:40:944,1,252,1,0,448,3244,252,0 102,0,2024-09-07 08:53:40:975,62217,0.7,64473,0.8,129668,0.7,170277,2.00 102,1,2024-09-07 08:53:41:142,442880,442880,0,0,207150379354,2176456549,437225,4814,841,369,391883,0 102,2,2024-09-07 08:53:41:736,319448,319394,54,0,14728008,0,6768 102,3,2024-09-07 08:53:41:615,1,252,1,0,410,2731,252,0 103,0,2024-09-07 08:53:41:589,64303,0.4,64249,0.6,121274,0.4,166977,1.75 103,1,2024-09-07 08:53:41:630,442106,442106,0,0,206948902547,2180911129,435814,4911,1381,381,391829,0 103,2,2024-09-07 08:53:40:582,318231,318231,0,0,13883964,0,2582 103,3,2024-09-07 08:53:40:761,1,252,2,0,916,3576,252,0 104,0,2024-09-07 08:53:41:003,63484,0.8,63943,1.0,126614,0.7,171164,2.25 104,1,2024-09-07 08:53:41:623,443488,443488,0,0,206674318456,2174370965,436812,5581,1095,365,391948,0 104,2,2024-09-07 08:53:41:666,314950,314950,0,0,15579789,0,3941 104,3,2024-09-07 08:53:41:416,1,252,14,0,1245,6436,252,0 105,0,2024-09-07 08:53:41:055,63759,1.3,61886,1.2,129355,1.9,170669,3.50 105,1,2024-09-07 08:53:40:558,443408,443408,0,0,207461910555,2178122219,437094,5335,979,366,391797,0 105,2,2024-09-07 08:53:41:324,319254,319254,0,0,15095592,0,3509 105,3,2024-09-07 08:53:41:304,1,252,2,0,399,4270,252,0 106,0,2024-09-07 08:53:40:964,60210,1.0,61689,1.1,126440,1.2,165453,2.75 106,1,2024-09-07 08:53:41:750,443356,443356,0,0,207249007769,2178912286,436249,6348,759,369,391767,0 106,2,2024-09-07 08:53:40:761,318444,318444,0,0,14628335,0,2795 106,3,2024-09-07 08:53:40:678,1,252,6,0,470,3621,252,0 107,0,2024-09-07 08:53:41:213,61703,0.8,61700,0.9,123358,0.8,164298,2.00 107,1,2024-09-07 08:53:40:588,441862,441862,0,0,206387155705,2172071720,436540,4774,548,381,392234,0 107,2,2024-09-07 08:53:41:291,318561,318560,1,0,15325784,0,5024 107,3,2024-09-07 08:53:41:756,1,252,1,0,353,3436,252,0 108,0,2024-09-07 08:53:41:831,62184,0.4,62420,0.6,123835,0.3,165766,1.75 108,1,2024-09-07 08:53:41:294,443637,443637,0,0,207696226503,2162664912,440432,2821,384,368,391857,0 108,2,2024-09-07 08:53:41:756,314040,314040,0,0,13376548,0,2647 108,3,2024-09-07 08:53:41:343,1,252,1,0,749,4849,252,0 109,0,2024-09-07 08:53:41:779,65623,0.4,64842,0.6,130209,0.3,174618,1.75 109,1,2024-09-07 08:53:40:591,442197,442197,0,0,207035100672,2170119018,438403,3278,516,383,392132,0 109,2,2024-09-07 08:53:40:920,319627,319627,0,0,13935900,0,3617 109,3,2024-09-07 08:53:41:139,1,252,24,0,249,2969,252,0 110,0,2024-09-07 08:53:41:793,61491,0.4,59895,0.6,125251,0.4,163832,1.75 110,1,2024-09-07 08:53:41:801,444935,444935,0,0,208582803216,2165061752,441791,2267,877,369,392045,0 110,2,2024-09-07 08:53:41:306,321303,321303,0,0,13227791,0,3264 110,3,2024-09-07 08:53:40:692,1,252,1,0,406,3278,252,0 111,0,2024-09-07 08:53:41:417,62417,0.4,62012,0.5,123788,0.3,165633,1.75 111,1,2024-09-07 08:53:41:004,445155,445155,0,0,208318571366,2158271032,443163,1656,336,382,391690,0 111,2,2024-09-07 08:53:41:115,318770,318770,0,0,12685727,0,2763 111,3,2024-09-07 08:53:40:940,1,252,1,0,379,3367,252,0 112,0,2024-09-07 08:53:40:928,62522,0.3,62533,0.4,125115,0.2,165744,1.50 112,1,2024-09-07 08:53:40:829,445035,445035,0,0,207277033454,2149335710,442616,1991,428,380,391580,0 112,2,2024-09-07 08:53:41:133,316031,316030,1,0,12906179,0,5036 112,3,2024-09-07 08:53:40:592,1,252,1,0,282,2692,252,0 113,0,2024-09-07 08:53:40:878,64169,0.3,64008,0.5,128746,0.2,171894,1.50 113,1,2024-09-07 08:53:41:686,445953,445953,0,0,208729316906,2153947719,443453,2010,490,366,391661,0 113,2,2024-09-07 08:53:41:303,321097,321097,0,0,11749685,0,3813 113,3,2024-09-07 08:53:40:687,1,252,1,0,340,3606,252,0 114,0,2024-09-07 08:53:40:876,63268,0.4,63803,0.5,126461,0.3,168762,1.75 114,1,2024-09-07 08:53:40:720,444013,444013,0,0,207743434414,2164104189,439205,3219,1589,381,391556,0 114,2,2024-09-07 08:53:40:873,320256,320255,1,0,12846214,0,5069 114,3,2024-09-07 08:53:41:278,1,252,1,0,395,2684,252,0 115,0,2024-09-07 08:53:40:560,63495,0.3,63772,0.4,127556,0.2,169692,1.50 115,1,2024-09-07 08:53:40:571,443956,443956,0,0,207527003393,2158908639,439595,3527,834,382,391602,0 115,2,2024-09-07 08:53:41:132,319383,319383,0,0,11175408,0,2152 115,3,2024-09-07 08:53:41:003,1,252,0,0,159,1374,252,0 116,0,2024-09-07 08:53:41:710,62678,0.6,62574,0.8,125269,0.6,167792,2.00 116,1,2024-09-07 08:53:40:803,441575,441575,0,0,206338746260,2183110239,435174,4346,2055,380,391782,0 116,2,2024-09-07 08:53:41:771,317780,317780,0,0,16097760,0,3529 116,3,2024-09-07 08:53:40:912,1,252,0,0,415,3636,252,0 117,0,2024-09-07 08:53:40:954,63937,0.7,63659,0.9,127667,0.8,170810,2.00 117,1,2024-09-07 08:53:41:579,443109,443109,0,0,206304218888,2159517313,438407,4222,480,370,392033,0 117,2,2024-09-07 08:53:41:117,319397,319397,0,0,13251390,0,3700 117,3,2024-09-07 08:53:41:065,1,252,2,0,490,4024,252,0 118,0,2024-09-07 08:53:41:830,62765,0.6,64495,0.7,131591,0.6,172469,2.00 118,1,2024-09-07 08:53:40:585,442905,442905,0,0,206689344892,2173434068,436534,4937,1434,366,391736,0 118,2,2024-09-07 08:53:41:586,318695,318695,0,0,14476885,0,2781 118,3,2024-09-07 08:53:41:774,1,252,1,0,235,2888,252,0 119,0,2024-09-07 08:53:41:342,62932,0.9,63371,0.9,126949,1.1,168950,2.25 119,1,2024-09-07 08:53:40:553,444091,444091,0,0,207534893032,2168096093,439435,4134,522,367,391641,0 119,2,2024-09-07 08:53:41:265,319710,319710,0,0,13700935,0,4174 119,3,2024-09-07 08:53:41:333,1,252,3,0,443,3484,252,0 120,0,2024-09-07 08:53:41:590,61625,0.5,61330,0.8,123102,0.5,164260,2.00 120,1,2024-09-07 08:53:40:863,443511,443511,0,0,207011560135,2171514514,438822,4338,351,368,391961,0 120,2,2024-09-07 08:53:40:771,318835,318834,1,0,16342520,0,5281 120,3,2024-09-07 08:53:41:295,1,252,2,0,241,3248,252,0 121,0,2024-09-07 08:53:41:695,62786,0.9,62881,0.9,125878,1.2,167577,2.00 121,1,2024-09-07 08:53:41:661,443564,443564,0,0,207370057624,2170848302,438950,4199,415,367,391840,0 121,2,2024-09-07 08:53:41:125,314073,314073,0,0,15486763,0,4127 121,3,2024-09-07 08:53:40:727,1,252,1,0,269,2908,252,0 122,0,2024-09-07 08:53:41:767,64968,0.9,63352,0.9,132853,1.0,174595,2.00 122,1,2024-09-07 08:53:40:858,442391,442391,0,0,206869318698,2171839964,436323,5251,817,366,392130,0 122,2,2024-09-07 08:53:41:335,319039,318966,73,0,18165034,0,5989 122,3,2024-09-07 08:53:40:601,1,252,2,0,411,4969,252,0 123,0,2024-09-07 08:53:41:058,61502,0.9,60160,0.9,125431,1.1,164297,2.25 123,1,2024-09-07 08:53:40:587,443067,443067,0,0,207496363918,2189576860,434393,6958,1716,369,392039,0 123,2,2024-09-07 08:53:41:029,318297,318296,1,0,15076323,0,5215 123,3,2024-09-07 08:53:41:131,1,252,4,0,168,3093,252,0 124,0,2024-09-07 08:53:40:934,63615,0.3,63582,0.5,120003,0.3,164347,1.75 124,1,2024-09-07 08:53:41:026,444931,444931,0,0,207290646905,2152854091,442088,2481,362,367,392178,0 124,2,2024-09-07 08:53:41:021,319241,319241,0,0,12000537,0,3101 124,3,2024-09-07 08:53:40:760,1,252,5,0,490,2770,252,0 125,0,2024-09-07 08:53:41:516,61888,0.3,61682,0.5,123890,0.3,164213,1.75 125,1,2024-09-07 08:53:40:862,443583,443583,0,0,207665132280,2165458189,440488,2701,394,383,391702,0 125,2,2024-09-07 08:53:41:122,315542,315542,0,0,12972094,0,4534 125,3,2024-09-07 08:53:41:130,1,252,4,0,709,3567,252,0 126,0,2024-09-07 08:53:41:443,65249,0.5,67024,0.6,128068,0.5,174344,1.75 126,1,2024-09-07 08:53:40:557,445177,445177,0,0,207731114483,2152183243,442493,2421,263,365,391987,0 126,2,2024-09-07 08:53:40:617,322028,322028,0,0,13359771,0,3186 126,3,2024-09-07 08:53:40:911,1,252,2,0,183,3299,252,0 127,0,2024-09-07 08:53:41:601,61601,0.4,61930,0.5,123312,0.4,164039,1.75 127,1,2024-09-07 08:53:40:574,444614,444614,0,0,207894138459,2153738189,441669,2792,153,365,391816,0 127,2,2024-09-07 08:53:40:648,320812,320812,0,0,12002574,0,2264 127,3,2024-09-07 08:53:41:274,1,252,1,0,968,2993,252,0 128,0,2024-09-07 08:53:41:538,63156,0.3,63075,0.4,125997,0.2,167200,1.50 128,1,2024-09-07 08:53:41:606,443971,443971,0,0,207823125063,2154762190,441798,2016,157,367,391798,0 128,2,2024-09-07 08:53:41:385,319133,319133,0,0,11933481,0,2434 128,3,2024-09-07 08:53:40:771,1,252,7,0,1082,5373,252,0 129,0,2024-09-07 08:53:41:013,63721,0.3,63406,0.4,126762,0.2,168326,1.50 129,1,2024-09-07 08:53:40:568,442284,442284,0,0,206709600453,2166385496,438126,3228,930,379,391835,0 129,2,2024-09-07 08:53:40:693,318219,318219,0,0,12880326,0,4031 129,3,2024-09-07 08:53:40:692,1,252,1,0,469,3320,252,0 130,0,2024-09-07 08:53:41:736,64931,0.5,64581,0.6,129466,0.5,172973,1.75 130,1,2024-09-07 08:53:40:583,444886,444886,0,0,207596837492,2159329957,442446,2362,78,381,391825,0 130,2,2024-09-07 08:53:41:126,320110,320110,0,0,12540538,0,4067 130,3,2024-09-07 08:53:41:301,1,252,4,0,450,3371,252,0 131,0,2024-09-07 08:53:41:953,63626,0.4,63971,0.5,128893,0.4,170272,1.75 131,1,2024-09-07 08:53:41:822,444694,444694,0,0,207484216987,2162604191,441645,2591,458,381,391865,0 131,2,2024-09-07 08:53:40:570,321294,321294,0,0,11474831,0,2415 131,3,2024-09-07 08:53:41:707,1,252,0,0,392,2554,252,0 132,0,2024-09-07 08:53:41:420,63542,0.5,64364,0.7,128350,0.5,170685,2.00 132,1,2024-09-07 08:53:40:576,442207,442207,0,0,206841300838,2181465897,435197,5756,1254,381,392097,0 132,2,2024-09-07 08:53:40:709,319012,319012,0,0,15412375,0,4606 132,3,2024-09-07 08:53:41:691,1,252,2,0,356,3555,252,0 133,0,2024-09-07 08:53:41:603,60921,0.4,62353,0.5,127457,0.3,165725,1.75 133,1,2024-09-07 08:53:40:593,442567,442567,0,0,206879285520,2177437061,437085,4814,668,383,391914,0 133,2,2024-09-07 08:53:41:091,318066,318066,0,0,16531258,0,4315 133,3,2024-09-07 08:53:41:297,1,252,3,0,276,2537,252,0 134,0,2024-09-07 08:53:40:954,64152,0.4,64035,0.6,128372,0.4,171416,2.00 134,1,2024-09-07 08:53:40:583,442109,442109,0,0,206677032757,2171176986,435654,4985,1470,366,391718,0 134,2,2024-09-07 08:53:41:760,315834,315834,0,0,13770935,0,3847 134,3,2024-09-07 08:53:40:760,1,252,6,0,739,3780,252,0 135,0,2024-09-07 08:53:41:127,62336,0.9,62229,0.9,131987,1.0,170627,2.25 135,1,2024-09-07 08:53:41:599,442057,442057,0,0,207569743070,2184166116,435861,5199,997,380,391805,0 135,2,2024-09-07 08:53:40:690,320275,320275,0,0,15308020,0,3981 135,3,2024-09-07 08:53:41:008,1,252,2,0,299,1854,252,0 136,0,2024-09-07 08:53:41:691,62850,0.6,62751,0.8,125335,0.6,167387,2.25 136,1,2024-09-07 08:53:41:627,442369,442369,0,0,206887325236,2174504406,436844,4941,584,382,391685,0 136,2,2024-09-07 08:53:41:137,320489,320489,0,0,14769945,0,3506 136,3,2024-09-07 08:53:41:125,1,252,6,0,150,2133,252,0 137,0,2024-09-07 08:53:40:972,63650,0.6,61820,0.7,122047,0.6,165493,2.00 137,1,2024-09-07 08:53:40:575,442624,442624,0,0,206511063236,2168305868,435678,5529,1417,366,391708,0 137,2,2024-09-07 08:53:41:705,318294,318294,0,0,16292645,0,3185 137,3,2024-09-07 08:53:40:770,1,252,1,0,382,3280,252,0 138,0,2024-09-07 08:53:41:752,61634,0.8,61702,0.9,124010,0.9,165271,2.00 138,1,2024-09-07 08:53:41:686,442378,442378,0,0,206892125562,2173832246,435411,5836,1131,368,391954,0 138,2,2024-09-07 08:53:40:588,315063,315063,0,0,14943248,0,4988 138,3,2024-09-07 08:53:40:632,1,252,3,0,1160,3861,252,0 139,0,2024-09-07 08:53:41:380,64215,1.9,64443,1.3,129106,2.8,172960,2.50 139,1,2024-09-07 08:53:40:572,440823,440823,0,0,205795486076,2187360980,432298,6411,2114,381,391892,0 139,2,2024-09-07 08:53:40:693,318526,318526,0,0,15855495,0,3097 139,3,2024-09-07 08:53:41:664,1,252,6,0,244,2559,252,0 140,0,2024-09-07 08:53:41:589,61918,0.3,61322,0.5,123291,0.3,164040,1.75 140,1,2024-09-07 08:53:41:537,445853,445853,0,0,208757545563,2150789019,443873,1738,242,365,391606,0 140,2,2024-09-07 08:53:40:687,321514,321514,0,0,12265086,0,3388 140,3,2024-09-07 08:53:40:768,1,252,0,0,247,2153,252,0 141,0,2024-09-07 08:53:41:707,62124,0.3,63841,0.4,122100,0.2,165631,1.50 141,1,2024-09-07 08:53:40:860,444995,444995,0,0,208033917143,2157587085,442425,2162,408,379,391614,0 141,2,2024-09-07 08:53:41:686,319230,319230,0,0,11890664,0,2342 141,3,2024-09-07 08:53:41:048,1,252,0,0,202,2328,252,0 142,0,2024-09-07 08:53:41:313,63211,0.3,62573,0.4,124443,0.2,166050,1.50 142,1,2024-09-07 08:53:40:584,444401,444401,0,0,207200934432,2159731178,441604,2532,265,382,392102,0 142,2,2024-09-07 08:53:41:300,315900,315868,32,0,13604547,0,6028 142,3,2024-09-07 08:53:41:747,1,252,10,0,484,3161,252,0 143,0,2024-09-07 08:53:41:425,64374,0.5,64337,0.6,129195,0.5,171790,1.75 143,1,2024-09-07 08:53:40:558,444336,444336,0,0,207518049603,2151340911,441621,2619,96,367,391651,0 143,2,2024-09-07 08:53:40:774,319783,319783,0,0,12841670,0,2669 143,3,2024-09-07 08:53:41:146,1,252,1,0,303,3298,252,0 144,0,2024-09-07 08:53:41:540,60859,0.7,62473,0.9,127420,0.6,166932,2.00 144,1,2024-09-07 08:53:40:577,442304,442304,0,0,206988377802,2172002972,438291,3088,925,381,391649,0 144,2,2024-09-07 08:53:41:755,321050,321050,0,0,12273821,0,3473 144,3,2024-09-07 08:53:41:750,1,252,13,0,249,2964,252,0 145,0,2024-09-07 08:53:41:399,61193,0.6,61171,0.8,129779,0.5,167643,2.25 145,1,2024-09-07 08:53:40:554,441686,441686,0,0,207035225363,2176868543,436189,4674,823,382,391698,0 145,2,2024-09-07 08:53:41:430,317556,317556,0,0,14589069,0,3903 145,3,2024-09-07 08:53:40:896,1,252,2,0,622,4111,252,0 146,0,2024-09-07 08:53:41:637,62711,0.5,62278,0.7,125464,0.4,166939,2.00 146,1,2024-09-07 08:53:41:586,442690,442690,0,0,206839327977,2180798361,434409,6434,1847,368,391770,0 146,2,2024-09-07 08:53:41:707,317729,317729,0,0,14732458,0,2730 146,3,2024-09-07 08:53:41:276,1,252,1,0,1520,5922,252,0 147,0,2024-09-07 08:53:41:731,63824,0.6,63739,0.7,126579,0.5,170546,2.00 147,1,2024-09-07 08:53:41:376,444781,444781,0,0,208394001981,2167303953,440681,3515,585,368,391791,0 147,2,2024-09-07 08:53:41:015,318966,318966,0,0,12300979,0,2789 147,3,2024-09-07 08:53:40:913,1,252,5,0,730,3915,252,0 0,0,2024-09-07 08:53:51:723,60193,0.5,60216,0.7,127672,0.4,165193,1.75 0,1,2024-09-07 08:53:50:828,445560,445560,0,0,208443026330,2179295411,442347,3009,204,370,391772,0 0,2,2024-09-07 08:53:51:067,320361,320361,0,0,13030727,0,4480 0,3,2024-09-07 08:53:50:989,1,253,1,0,319,3391,253,0 1,0,2024-09-07 08:53:51:903,63306,0.8,62887,0.9,126567,1.0,168995,2.00 1,1,2024-09-07 08:53:50:568,445270,445270,0,0,207754447228,2176060122,440774,3443,1053,371,391857,0 1,2,2024-09-07 08:53:50:641,316073,316073,0,0,11989907,0,3267 1,3,2024-09-07 08:53:51:304,1,253,0,0,262,2964,253,0 2,0,2024-09-07 08:53:51:571,65618,0.7,65905,0.8,130565,0.8,174885,2.00 2,1,2024-09-07 08:53:50:868,445543,445543,0,0,208963440005,2169578327,443436,1889,218,380,391745,0 2,2,2024-09-07 08:53:51:269,321870,321870,0,0,12561021,0,3594 2,3,2024-09-07 08:53:50:691,1,253,1,0,357,2278,253,0 3,0,2024-09-07 08:53:51:755,62005,0.5,62073,0.6,123568,0.4,164902,2.00 3,1,2024-09-07 08:53:51:624,445268,445268,0,0,208211581729,2168563160,441428,3378,462,380,391523,0 3,2,2024-09-07 08:53:51:142,321715,321692,23,0,12824357,0,5851 3,3,2024-09-07 08:53:51:752,1,253,2,0,103,1526,253,0 4,0,2024-09-07 08:53:51:769,59898,0.4,61599,0.5,125405,0.3,164060,1.75 4,1,2024-09-07 08:53:50:601,444965,444965,0,0,207674080532,2181188394,440221,3953,791,371,391846,0 4,2,2024-09-07 08:53:51:033,319344,319344,0,0,15195089,0,4528 4,3,2024-09-07 08:53:51:036,1,253,10,0,448,3883,253,0 5,0,2024-09-07 08:53:51:378,61885,0.4,62218,0.5,123530,0.3,164816,1.75 5,1,2024-09-07 08:53:50:759,444947,444947,0,0,207700979220,2183856199,439451,4384,1112,368,392005,0 5,2,2024-09-07 08:53:51:830,314681,314681,0,0,13763099,0,2432 5,3,2024-09-07 08:53:51:746,1,253,8,0,457,3820,253,0 6,0,2024-09-07 08:53:50:928,66053,0.5,65409,0.7,130714,0.5,175281,2.00 6,1,2024-09-07 08:53:50:757,445060,445060,0,0,207498952173,2168530532,440385,3831,844,379,391694,0 6,2,2024-09-07 08:53:51:123,321463,321463,0,0,13814307,0,4816 6,3,2024-09-07 08:53:51:281,1,253,5,0,340,2975,253,0 7,0,2024-09-07 08:53:51:552,61359,0.5,61600,0.7,122766,0.5,163255,2.00 7,1,2024-09-07 08:53:50:861,444866,444866,0,0,207929957080,2181466462,438965,4898,1003,382,391747,0 7,2,2024-09-07 08:53:50:771,321139,321139,0,0,13640379,0,4791 7,3,2024-09-07 08:53:50:860,1,253,0,0,398,3113,253,0 8,0,2024-09-07 08:53:51:349,62971,0.4,62938,0.5,125274,0.3,167132,1.75 8,1,2024-09-07 08:53:51:016,443488,443488,0,0,207723689923,2191668953,435196,6274,2018,366,391956,0 8,2,2024-09-07 08:53:50:823,316311,316311,0,0,16368239,0,3220 8,3,2024-09-07 08:53:50:587,1,253,21,0,357,3852,253,0 9,0,2024-09-07 08:53:51:127,63488,0.3,61515,0.5,128541,0.3,168376,1.50 9,1,2024-09-07 08:53:50:570,444183,444183,0,0,207753861466,2190082993,437695,4946,1542,370,392001,0 9,2,2024-09-07 08:53:51:094,317638,317638,0,0,14444068,0,3360 9,3,2024-09-07 08:53:51:756,1,253,0,0,496,3813,253,0 10,0,2024-09-07 08:53:51:635,65144,0.3,64520,0.5,129788,0.2,173311,1.75 10,1,2024-09-07 08:53:50:604,444769,444769,0,0,207658368026,2177714124,438409,5432,928,381,391741,0 10,2,2024-09-07 08:53:50:765,320465,320465,0,0,16105984,0,4264 10,3,2024-09-07 08:53:50:872,1,253,5,0,177,2099,253,0 11,0,2024-09-07 08:53:51:016,63396,0.5,61599,0.7,128849,0.5,170336,2.00 11,1,2024-09-07 08:53:50:572,445178,445178,0,0,208172289281,2190708630,436959,6238,1981,383,391537,0 11,2,2024-09-07 08:53:51:125,321027,321027,0,0,15022315,0,4130 11,3,2024-09-07 08:53:51:300,1,253,1,0,843,4224,253,0 12,0,2024-09-07 08:53:50:972,64524,0.3,64417,0.5,128812,0.3,171190,1.75 12,1,2024-09-07 08:53:50:942,444872,444872,0,0,207815491949,2166125616,441355,3096,421,370,391870,0 12,2,2024-09-07 08:53:51:543,320551,320551,0,0,13921242,0,3469 12,3,2024-09-07 08:53:51:070,1,253,0,0,386,4177,253,0 13,0,2024-09-07 08:53:51:354,62985,0.3,62815,0.5,125500,0.3,167142,1.50 13,1,2024-09-07 08:53:51:538,445224,445224,0,0,207088275226,2172382018,441675,3008,541,382,391717,0 13,2,2024-09-07 08:53:50:598,321013,321013,0,0,13083466,0,3287 13,3,2024-09-07 08:53:51:776,1,253,1,0,467,3933,253,0 14,0,2024-09-07 08:53:50:562,64620,0.4,64997,0.5,129021,0.3,171884,1.75 14,1,2024-09-07 08:53:51:571,447991,447991,0,0,208858004864,2161080004,445160,2642,189,364,391571,0 14,2,2024-09-07 08:53:50:764,317401,317401,0,0,13002216,0,2896 14,3,2024-09-07 08:53:51:123,1,253,0,0,1168,3464,253,0 15,0,2024-09-07 08:53:51:558,64732,0.5,64931,0.7,129494,0.5,172896,2.00 15,1,2024-09-07 08:53:51:608,446123,446123,0,0,208614339888,2167999998,443604,2341,178,381,391619,0 15,2,2024-09-07 08:53:51:013,322045,322045,0,0,11146047,0,3043 15,3,2024-09-07 08:53:51:412,1,253,0,0,1126,5216,253,0 16,0,2024-09-07 08:53:50:948,62953,0.7,63173,0.8,125623,0.8,168090,2.25 16,1,2024-09-07 08:53:50:582,446109,446109,0,0,208004421389,2172888929,442549,3261,299,370,391756,0 16,2,2024-09-07 08:53:51:453,320579,320579,0,0,13732422,0,4719 16,3,2024-09-07 08:53:51:143,1,253,5,0,317,3469,253,0 17,0,2024-09-07 08:53:51:795,63899,0.5,62376,0.7,122084,0.4,165726,1.75 17,1,2024-09-07 08:53:50:572,444866,444866,0,0,207751429598,2180432439,440023,3739,1104,368,391845,0 17,2,2024-09-07 08:53:51:666,323232,323232,0,0,12895630,0,2857 17,3,2024-09-07 08:53:50:577,1,253,0,0,268,4016,253,0 18,0,2024-09-07 08:53:50:945,61810,0.6,61977,0.8,123776,0.7,165534,2.25 18,1,2024-09-07 08:53:51:638,446369,446369,0,0,208131357449,2156652165,443859,2268,242,367,391649,0 18,2,2024-09-07 08:53:51:758,317206,317206,0,0,12492310,0,3541 18,3,2024-09-07 08:53:50:903,1,253,0,0,163,2533,253,0 19,0,2024-09-07 08:53:51:550,65125,0.6,65730,0.8,130194,0.6,173702,2.25 19,1,2024-09-07 08:53:50:571,445991,445991,0,0,209536982494,2176985900,441333,3872,786,367,391777,0 19,2,2024-09-07 08:53:51:756,323207,323207,0,0,11559649,0,3988 19,3,2024-09-07 08:53:51:132,1,253,0,0,524,1884,253,0 20,0,2024-09-07 08:53:51:355,61300,0.7,61383,0.8,122994,0.8,163890,2.25 20,1,2024-09-07 08:53:50:570,444769,444769,0,0,208207671591,2177659660,440951,3420,398,369,391886,0 20,2,2024-09-07 08:53:50:940,322356,322356,0,0,13354395,0,3721 20,3,2024-09-07 08:53:50:606,1,253,1,0,414,4624,253,0 21,0,2024-09-07 08:53:51:172,62290,0.5,62553,0.6,124710,0.4,165189,2.00 21,1,2024-09-07 08:53:51:661,444006,444006,0,0,207131523399,2180594661,438287,4462,1257,368,391962,0 21,2,2024-09-07 08:53:51:097,319212,319212,0,0,15104773,0,3747 21,3,2024-09-07 08:53:51:412,1,253,0,0,103,2637,253,0 22,0,2024-09-07 08:53:51:729,62233,0.6,62386,0.7,124428,0.5,165171,2.00 22,1,2024-09-07 08:53:51:025,444540,444540,0,0,207374253325,2183533496,437309,5589,1642,382,391667,0 22,2,2024-09-07 08:53:50:761,316218,316218,0,0,13242214,0,3134 22,3,2024-09-07 08:53:51:068,1,253,1,0,228,2343,253,0 23,0,2024-09-07 08:53:51:369,64558,0.6,64204,0.7,129124,0.6,172477,2.25 23,1,2024-09-07 08:53:51:011,445180,445180,0,0,208287460182,2187092262,437870,4759,2551,365,391690,0 23,2,2024-09-07 08:53:51:092,321828,321828,0,0,12842534,0,3010 23,3,2024-09-07 08:53:51:756,1,253,9,0,645,3289,253,0 24,0,2024-09-07 08:53:50:894,63486,0.4,63353,0.6,127055,0.4,168458,1.75 24,1,2024-09-07 08:53:50:589,444448,444448,0,0,206748557095,2170213525,438835,4132,1481,368,391987,0 24,2,2024-09-07 08:53:51:076,320032,320032,0,0,15890260,0,3607 24,3,2024-09-07 08:53:51:688,1,253,1,0,468,3795,253,0 25,0,2024-09-07 08:53:51:345,65174,0.5,63460,0.7,124763,0.4,169977,2.00 25,1,2024-09-07 08:53:50:563,445298,445298,0,0,207977863320,2177022068,440954,3790,554,371,391788,0 25,2,2024-09-07 08:53:51:610,318498,318498,0,0,15992529,0,3978 25,3,2024-09-07 08:53:51:010,1,253,23,0,255,2742,253,0 26,0,2024-09-07 08:53:51:726,62853,0.4,61480,0.6,128796,0.3,167823,1.75 26,1,2024-09-07 08:53:51:546,444791,444791,0,0,207551231768,2178196820,437802,5773,1216,381,391748,0 26,2,2024-09-07 08:53:50:861,319059,319059,0,0,15662741,0,2809 26,3,2024-09-07 08:53:51:720,1,253,0,0,796,3382,253,0 27,0,2024-09-07 08:53:51:734,64615,0.4,64698,0.6,127890,0.4,171517,2.00 27,1,2024-09-07 08:53:51:676,446839,446839,0,0,208757889399,2172231422,443387,2859,593,381,391558,0 27,2,2024-09-07 08:53:50:871,317581,317516,65,0,15760051,0,5699 27,3,2024-09-07 08:53:51:024,1,253,1,0,564,2781,253,0 28,0,2024-09-07 08:53:51:395,65079,0.5,64833,0.7,130295,0.4,173807,2.00 28,1,2024-09-07 08:53:50:800,446301,446301,0,0,209478829409,2179500775,443460,2370,471,383,391646,0 28,2,2024-09-07 08:53:51:777,321440,321440,0,0,12348239,0,2915 28,3,2024-09-07 08:53:51:776,1,253,2,0,502,2573,253,0 29,0,2024-09-07 08:53:51:361,65280,0.4,63883,0.6,124856,0.3,170817,1.75 29,1,2024-09-07 08:53:51:570,447268,447268,0,0,209280022001,2166322065,444043,2660,565,369,391621,0 29,2,2024-09-07 08:53:50:863,320223,320223,0,0,11779243,0,4018 29,3,2024-09-07 08:53:50:968,1,253,1,0,115,1797,253,0 30,0,2024-09-07 08:53:51:459,61875,0.5,60154,0.7,125692,0.4,164635,2.00 30,1,2024-09-07 08:53:50:572,446859,446859,0,0,208920799529,2164169289,444529,2037,293,382,391672,0 30,2,2024-09-07 08:53:51:274,320835,320835,0,0,11696323,0,3161 30,3,2024-09-07 08:53:50:595,1,253,1,0,195,1888,253,0 31,0,2024-09-07 08:53:51:761,62900,0.4,63195,0.6,126617,0.3,169003,1.75 31,1,2024-09-07 08:53:50:568,448297,448297,0,0,209919577519,2150133466,446907,1171,219,356,391712,0 31,2,2024-09-07 08:53:51:275,316476,316476,0,0,13171327,0,3525 31,3,2024-09-07 08:53:51:706,1,253,1,0,220,1969,253,0 32,0,2024-09-07 08:53:51:433,65326,0.4,65897,0.5,131532,0.3,175303,1.75 32,1,2024-09-07 08:53:50:842,446786,446786,0,0,208545219113,2162996321,444829,1678,279,381,391622,0 32,2,2024-09-07 08:53:50:935,322127,322127,0,0,11516131,0,3155 32,3,2024-09-07 08:53:51:016,1,253,4,0,227,2036,253,0 33,0,2024-09-07 08:53:51:541,62443,0.3,61756,0.5,124298,0.2,165785,1.75 33,1,2024-09-07 08:53:50:582,447142,447142,0,0,209809006758,2166826884,444561,2407,174,369,391730,0 33,2,2024-09-07 08:53:50:765,323025,322992,33,0,13261789,0,7012 33,3,2024-09-07 08:53:50:900,1,253,1,0,329,2907,253,0 34,0,2024-09-07 08:53:50:952,61703,0.3,63549,0.5,121901,0.2,163498,1.50 34,1,2024-09-07 08:53:51:052,447723,447723,0,0,209700997370,2156145364,446627,1089,7,367,391562,0 34,2,2024-09-07 08:53:50:782,320563,320563,0,0,12509477,0,3577 34,3,2024-09-07 08:53:51:688,1,253,1,0,299,2056,253,0 35,0,2024-09-07 08:53:50:869,61442,0.3,61799,0.5,124135,0.3,165233,1.75 35,1,2024-09-07 08:53:51:067,446659,446659,0,0,208250429941,2159849685,443313,2566,780,384,391589,0 35,2,2024-09-07 08:53:51:588,316823,316823,0,0,11972436,0,2653 35,3,2024-09-07 08:53:50:910,1,253,18,0,418,3002,253,0 36,0,2024-09-07 08:53:51:544,65636,0.6,65551,0.7,131483,0.6,175039,2.25 36,1,2024-09-07 08:53:50:597,445892,445892,0,0,208177515840,2177519840,439910,4403,1579,366,391759,0 36,2,2024-09-07 08:53:51:754,321198,321198,0,0,14146642,0,3875 36,3,2024-09-07 08:53:50:868,1,253,1,0,416,4040,253,0 37,0,2024-09-07 08:53:51:373,61260,0.6,61214,0.7,122678,0.5,164193,2.00 37,1,2024-09-07 08:53:50:578,445059,445052,0,7,207631105863,2175913689,439044,3941,2067,365,391560,0 37,2,2024-09-07 08:53:51:142,320994,320979,15,0,14468574,0,5815 37,3,2024-09-07 08:53:51:775,1,253,1,0,888,4470,253,0 38,0,2024-09-07 08:53:51:437,62182,0.5,60480,0.7,126642,0.4,165299,2.00 38,1,2024-09-07 08:53:51:605,446086,446086,0,0,208356145785,2174948883,440814,4597,675,368,391821,0 38,2,2024-09-07 08:53:50:768,319142,319095,47,0,14823579,0,6710 38,3,2024-09-07 08:53:50:998,1,253,1,0,689,3599,253,0 39,0,2024-09-07 08:53:51:768,64659,0.6,63563,0.7,123243,0.5,168354,2.00 39,1,2024-09-07 08:53:50:716,445785,445785,0,0,208163502528,2173080521,440225,4658,902,365,391594,0 39,2,2024-09-07 08:53:51:422,317584,317584,0,0,12827530,0,2689 39,3,2024-09-07 08:53:50:713,1,253,0,0,324,3421,253,0 40,0,2024-09-07 08:53:51:500,64151,0.7,64793,0.9,129648,0.7,172864,2.75 40,1,2024-09-07 08:53:50:576,445248,445248,0,0,207118201823,2172239587,438109,5696,1443,368,391591,0 40,2,2024-09-07 08:53:51:331,320209,320208,1,0,16115043,0,5137 40,3,2024-09-07 08:53:51:142,1,253,9,0,398,3151,253,0 41,0,2024-09-07 08:53:51:047,63190,2.0,64669,1.4,123370,3.1,168556,3.75 41,1,2024-09-07 08:53:50:769,445337,445337,0,0,208253696942,2178027749,439796,4907,634,370,391742,0 41,2,2024-09-07 08:53:50:760,319618,319618,0,0,15339853,0,3356 41,3,2024-09-07 08:53:51:690,1,253,2,0,366,3030,253,0 42,0,2024-09-07 08:53:51:478,63290,0.8,63480,1.0,127079,0.9,167887,2.50 42,1,2024-09-07 08:53:51:439,444012,444012,0,0,207527615851,2182342079,436728,5832,1452,380,391675,0 42,2,2024-09-07 08:53:51:133,319925,319925,0,0,14646144,0,3568 42,3,2024-09-07 08:53:51:010,1,253,1,0,446,2334,253,0 43,0,2024-09-07 08:53:50:920,62333,0.7,60788,0.9,126868,0.6,166968,2.25 43,1,2024-09-07 08:53:50:577,445036,445036,0,0,208777500085,2182174306,438772,4935,1329,366,391696,0 43,2,2024-09-07 08:53:51:735,320232,320232,0,0,14035698,0,3812 43,3,2024-09-07 08:53:51:756,1,253,1,0,467,3082,253,0 44,0,2024-09-07 08:53:50:882,64214,0.4,64699,0.6,129014,0.4,172093,1.75 44,1,2024-09-07 08:53:50:577,447159,447159,0,0,208678778981,2153143668,444602,2125,432,356,391809,0 44,2,2024-09-07 08:53:51:269,317231,317231,0,0,11693328,0,2231 44,3,2024-09-07 08:53:51:093,1,253,1,0,817,2977,253,0 45,0,2024-09-07 08:53:51:772,63725,0.6,62303,0.8,130879,0.7,172705,2.00 45,1,2024-09-07 08:53:51:007,446555,446555,0,0,208873325940,2163535857,444904,1639,12,382,391917,0 45,2,2024-09-07 08:53:51:269,322055,322055,0,0,12397518,0,3596 45,3,2024-09-07 08:53:50:934,1,253,1,0,271,2682,253,0 46,0,2024-09-07 08:53:50:967,62562,0.6,62317,0.8,125022,0.6,165882,2.00 46,1,2024-09-07 08:53:50:577,447955,447955,0,0,209133177021,2158086661,445609,2072,274,366,391539,0 46,2,2024-09-07 08:53:50:596,321665,321665,0,0,11928627,0,2920 46,3,2024-09-07 08:53:51:133,1,253,1,0,908,3778,253,0 47,0,2024-09-07 08:53:51:108,62353,0.4,62169,0.6,124997,0.4,165239,1.75 47,1,2024-09-07 08:53:50:569,447444,447444,0,0,208587093185,2152204116,445120,2190,134,366,391605,0 47,2,2024-09-07 08:53:50:915,322758,322758,0,0,12019080,0,2558 47,3,2024-09-07 08:53:51:118,1,253,0,0,529,2270,253,0 48,0,2024-09-07 08:53:51:522,62836,0.3,62463,0.4,124429,0.2,166424,1.50 48,1,2024-09-07 08:53:51:032,446693,446693,0,0,208471775151,2165220811,443586,2808,299,384,391710,0 48,2,2024-09-07 08:53:50:701,316468,316468,0,0,11550241,0,3031 48,3,2024-09-07 08:53:50:755,1,253,1,0,339,2103,253,0 49,0,2024-09-07 08:53:51:747,67386,0.4,66239,0.5,128183,0.3,175801,1.75 49,1,2024-09-07 08:53:51:029,445698,445698,0,0,208108021231,2169081309,441840,2677,1181,382,391809,0 49,2,2024-09-07 08:53:51:805,322910,322910,0,0,12197938,0,3900 49,3,2024-09-07 08:53:51:422,1,253,7,0,408,2787,253,0 50,0,2024-09-07 08:53:51:509,61605,0.3,61041,0.5,122841,0.2,163798,1.75 50,1,2024-09-07 08:53:51:011,447476,447476,0,0,209167271476,2163092103,444638,2528,310,368,391530,0 50,2,2024-09-07 08:53:51:068,322610,322610,0,0,11501054,0,2263 50,3,2024-09-07 08:53:51:291,1,253,5,0,335,2098,253,0 51,0,2024-09-07 08:53:51:684,63752,0.3,62353,0.5,121492,0.2,165470,1.75 51,1,2024-09-07 08:53:51:691,447575,447575,0,0,209508416479,2162244720,444778,1858,939,365,391706,0 51,2,2024-09-07 08:53:51:325,320600,320600,0,0,10893800,0,3337 51,3,2024-09-07 08:53:51:044,1,253,1,0,678,2037,253,0 52,0,2024-09-07 08:53:51:419,63024,0.4,62575,0.6,125090,0.4,165871,2.00 52,1,2024-09-07 08:53:50:578,445481,445481,0,0,207370822887,2175074405,438323,5904,1254,368,391722,0 52,2,2024-09-07 08:53:51:757,314956,314918,38,0,14991468,0,6742 52,3,2024-09-07 08:53:50:687,1,253,29,0,1782,4556,253,0 53,0,2024-09-07 08:53:51:743,64173,0.7,62412,0.8,130668,0.7,171700,2.50 53,1,2024-09-07 08:53:50:788,444399,444399,0,0,207755230721,2182286465,437073,4970,2356,367,391702,0 53,2,2024-09-07 08:53:51:300,321735,321735,0,0,12533991,0,2727 53,3,2024-09-07 08:53:50:700,1,253,3,0,271,2305,253,0 54,0,2024-09-07 08:53:51:614,61947,0.8,62528,0.9,123792,0.5,165568,2.75 54,1,2024-09-07 08:53:50:599,445736,445736,0,0,208450400370,2168394518,441000,4139,597,367,391659,0 54,2,2024-09-07 08:53:50:869,321370,321364,6,0,13919678,0,5382 54,3,2024-09-07 08:53:50:773,1,253,1,0,676,3908,253,0 55,0,2024-09-07 08:53:51:764,61287,0.6,63423,0.8,127692,0.5,166360,2.50 55,1,2024-09-07 08:53:50:764,445237,445237,0,0,208676684823,2173216818,439687,4763,787,365,391731,0 55,2,2024-09-07 08:53:50:733,318957,318957,0,0,14043363,0,3275 55,3,2024-09-07 08:53:50:679,1,253,0,0,304,2885,253,0 56,0,2024-09-07 08:53:51:559,64505,0.9,60899,1.0,125282,1.2,167771,2.50 56,1,2024-09-07 08:53:50:585,443484,443484,0,0,207872216062,2198522346,436243,5803,1438,381,391678,0 56,2,2024-09-07 08:53:51:308,319052,319052,0,0,15200111,0,3567 56,3,2024-09-07 08:53:51:059,1,253,1,0,705,3694,253,0 57,0,2024-09-07 08:53:50:944,63494,1.5,63561,1.2,127147,2.1,170326,3.00 57,1,2024-09-07 08:53:50:998,444966,444966,0,0,207564659464,2175238769,439644,4652,670,367,391960,0 57,2,2024-09-07 08:53:51:316,319457,319457,0,0,16383358,0,3317 57,3,2024-09-07 08:53:51:742,1,253,6,0,359,3376,253,0 58,0,2024-09-07 08:53:50:560,63760,1.1,61958,1.1,129715,1.5,170331,2.75 58,1,2024-09-07 08:53:50:581,445884,445881,0,3,208993309513,2183927379,440148,5022,711,367,391545,3 58,2,2024-09-07 08:53:51:071,321286,321286,0,0,14604097,0,2549 58,3,2024-09-07 08:53:51:072,1,253,2,0,1043,2909,253,0 59,0,2024-09-07 08:53:51:760,63332,0.8,63118,1.0,125974,0.9,167548,3.00 59,1,2024-09-07 08:53:50:821,445556,445556,0,0,208246340869,2179244950,439768,4523,1265,369,391525,0 59,2,2024-09-07 08:53:50:596,321511,321511,0,0,13349834,0,2604 59,3,2024-09-07 08:53:51:752,1,253,5,0,1015,3546,253,0 60,0,2024-09-07 08:53:51:704,62110,0.4,61819,0.6,124726,0.4,165196,1.75 60,1,2024-09-07 08:53:50:787,447140,447140,0,0,209354082642,2169871508,444693,1930,517,370,392031,0 60,2,2024-09-07 08:53:51:140,320843,320843,0,0,14335128,0,3811 60,3,2024-09-07 08:53:51:262,1,253,0,0,124,2452,253,0 61,0,2024-09-07 08:53:51:497,63200,0.6,63402,0.8,126376,0.6,168941,2.00 61,1,2024-09-07 08:53:50:772,445567,445567,0,0,208232755572,2178069450,440994,3870,703,382,391880,0 61,2,2024-09-07 08:53:51:119,317526,317526,0,0,12609372,0,2780 61,3,2024-09-07 08:53:51:687,1,253,112,0,397,3456,253,0 62,0,2024-09-07 08:53:51:706,66086,0.6,67327,0.7,128465,0.6,174973,2.00 62,1,2024-09-07 08:53:51:126,448004,447998,0,6,209115982216,2156308243,445533,2347,118,365,391715,6 62,2,2024-09-07 08:53:51:650,320791,320790,1,0,14022251,0,5555 62,3,2024-09-07 08:53:51:148,1,253,6,0,287,1795,253,0 63,0,2024-09-07 08:53:51:481,61968,0.5,62111,0.6,124446,0.5,165374,1.75 63,1,2024-09-07 08:53:50:812,447323,447317,0,6,208680397073,2160507070,445742,1525,50,381,391677,6 63,2,2024-09-07 08:53:50:762,322161,322161,0,0,11742979,0,2674 63,3,2024-09-07 08:53:51:742,1,253,10,0,667,2875,253,0 64,0,2024-09-07 08:53:51:514,61297,0.4,61314,0.6,122844,0.4,163342,1.75 64,1,2024-09-07 08:53:50:758,446017,446017,0,0,208496182058,2174287933,441528,3075,1414,370,391783,0 64,2,2024-09-07 08:53:51:144,323058,323039,19,0,12641297,0,6121 64,3,2024-09-07 08:53:51:146,1,253,1,0,265,2551,253,0 65,0,2024-09-07 08:53:51:685,61375,0.6,61777,0.7,123241,0.6,164212,2.00 65,1,2024-09-07 08:53:50:872,445285,445285,0,0,207703369069,2172339848,441731,3109,445,382,391901,0 65,2,2024-09-07 08:53:51:693,316528,316528,0,0,13285346,0,3367 65,3,2024-09-07 08:53:51:684,1,253,1,0,163,2422,253,0 66,0,2024-09-07 08:53:51:774,65334,0.5,65105,0.7,130907,0.4,174265,2.00 66,1,2024-09-07 08:53:51:300,446932,446932,0,0,209038167705,2171288667,444358,2373,201,380,391653,0 66,2,2024-09-07 08:53:51:133,323839,323839,0,0,13049182,0,4956 66,3,2024-09-07 08:53:51:078,1,253,1,0,291,2632,253,0 67,0,2024-09-07 08:53:51:415,62008,0.6,61474,0.8,123757,0.7,164260,2.00 67,1,2024-09-07 08:53:50:772,445682,445681,0,1,208604774871,2175273901,441836,3098,747,380,391787,1 67,2,2024-09-07 08:53:50:596,323032,323032,0,0,12107723,0,2889 67,3,2024-09-07 08:53:51:754,1,253,0,0,338,2335,253,0 68,0,2024-09-07 08:53:50:576,62945,0.6,62754,0.8,125062,0.6,167053,2.00 68,1,2024-09-07 08:53:50:575,444928,444928,0,0,207662413619,2176768255,440749,3009,1170,381,391953,0 68,2,2024-09-07 08:53:51:048,318736,318671,65,0,15883096,0,6698 68,3,2024-09-07 08:53:50:742,1,253,1,0,417,2839,253,0 69,0,2024-09-07 08:53:51:758,62886,0.7,63216,0.8,126135,0.7,167416,2.25 69,1,2024-09-07 08:53:51:016,443832,443832,0,0,207189346566,2186048600,438131,4299,1402,384,391994,0 69,2,2024-09-07 08:53:51:754,317445,317445,0,0,15653803,0,3722 69,3,2024-09-07 08:53:50:777,1,253,1,0,698,3625,253,0 70,0,2024-09-07 08:53:51:566,64530,0.8,64505,1.0,129568,0.6,172482,2.25 70,1,2024-09-07 08:53:50:820,446397,446397,0,0,209630927667,2176367022,442733,3136,528,366,391725,0 70,2,2024-09-07 08:53:51:329,320329,320329,0,0,14341751,0,4044 70,3,2024-09-07 08:53:50:758,1,253,5,0,854,3015,253,0 71,0,2024-09-07 08:53:51:411,63199,1.2,63080,1.1,126561,1.6,169201,3.00 71,1,2024-09-07 08:53:51:602,445523,445523,0,0,208260894353,2172831362,440368,4534,621,368,391738,0 71,2,2024-09-07 08:53:51:067,321209,321209,0,0,14715572,0,4042 71,3,2024-09-07 08:53:51:754,1,253,1,0,644,3665,253,0 72,0,2024-09-07 08:53:51:058,66155,0.8,64677,0.8,126142,0.8,171634,2.25 72,1,2024-09-07 08:53:51:020,445371,445371,0,0,208568556935,2177506564,440312,4128,931,369,391819,0 72,2,2024-09-07 08:53:51:757,318870,318870,0,0,16360102,0,3983 72,3,2024-09-07 08:53:51:755,1,253,8,0,564,4488,253,0 73,0,2024-09-07 08:53:51:104,61066,0.4,62483,0.6,128277,0.3,166620,2.00 73,1,2024-09-07 08:53:50:767,445837,445837,0,0,208603043578,2167855807,442746,2856,235,367,391750,0 73,2,2024-09-07 08:53:51:739,320200,320200,0,0,15690873,0,3701 73,3,2024-09-07 08:53:50:974,1,253,1,0,274,3735,253,0 74,0,2024-09-07 08:53:51:322,65033,0.4,66318,0.6,126572,0.4,171947,2.25 74,1,2024-09-07 08:53:50:649,445270,445270,0,0,207730928710,2169119325,440870,3601,799,381,391681,0 74,2,2024-09-07 08:53:51:007,317550,317550,0,0,14986989,0,4253 74,3,2024-09-07 08:53:51:454,1,253,1,0,522,3395,253,0 75,0,2024-09-07 08:53:51:790,64947,0.6,64584,0.8,129578,0.5,173682,2.25 75,1,2024-09-07 08:53:51:595,444691,444691,0,0,207765631917,2172501204,439762,4265,664,380,391660,0 75,2,2024-09-07 08:53:51:352,320492,320492,0,0,15479689,0,4766 75,3,2024-09-07 08:53:51:080,1,253,1,0,702,3683,253,0 76,0,2024-09-07 08:53:50:615,62581,0.7,61950,0.9,124221,0.7,166721,2.50 76,1,2024-09-07 08:53:50:822,445663,445663,0,0,208101549197,2170011879,442598,2515,550,382,391692,0 76,2,2024-09-07 08:53:51:061,322783,322783,0,0,12627788,0,3784 76,3,2024-09-07 08:53:51:143,1,253,26,0,175,2641,253,0 77,0,2024-09-07 08:53:51:752,62019,0.5,62318,0.7,124333,0.4,164996,1.75 77,1,2024-09-07 08:53:50:834,446095,446095,0,0,208266633259,2173845926,442644,3194,257,381,391808,0 77,2,2024-09-07 08:53:51:286,320439,320439,0,0,13218600,0,3890 77,3,2024-09-07 08:53:51:094,1,253,11,0,382,2845,253,0 78,0,2024-09-07 08:53:51:721,62619,0.4,62076,0.6,125054,0.4,165622,2.00 78,1,2024-09-07 08:53:50:614,446566,446566,0,0,208068121094,2158534273,443569,2678,319,367,391670,0 78,2,2024-09-07 08:53:51:411,316647,316647,0,0,11780419,0,3855 78,3,2024-09-07 08:53:51:133,1,253,1,0,181,2439,253,0 79,0,2024-09-07 08:53:51:350,63509,0.4,65151,0.6,133124,0.4,173460,2.25 79,1,2024-09-07 08:53:50:572,447651,447651,0,0,209223589755,2163861701,444806,2509,336,369,391682,0 79,2,2024-09-07 08:53:51:068,322795,322795,0,0,11970398,0,3212 79,3,2024-09-07 08:53:50:752,1,253,6,0,418,3717,253,0 80,0,2024-09-07 08:53:51:083,61414,0.6,63246,0.7,121118,0.7,163567,2.00 80,1,2024-09-07 08:53:51:619,445744,445744,0,0,208240447338,2167013665,442776,2799,169,368,391791,0 80,2,2024-09-07 08:53:51:098,322727,322727,0,0,12414146,0,4433 80,3,2024-09-07 08:53:50:575,1,253,1,0,190,3421,253,0 81,0,2024-09-07 08:53:51:559,62093,0.5,63691,0.6,121814,0.4,164557,1.75 81,1,2024-09-07 08:53:51:650,444845,444845,0,0,207441433287,2167933438,441003,3379,463,382,391879,0 81,2,2024-09-07 08:53:51:125,320259,320259,0,0,12760357,0,3993 81,3,2024-09-07 08:53:51:117,1,253,1,0,374,3009,253,0 82,0,2024-09-07 08:53:51:552,62037,0.4,62591,0.6,125231,0.3,165975,1.75 82,1,2024-09-07 08:53:50:596,446163,446159,0,4,208581770634,2171968433,442937,2611,611,381,391558,4 82,2,2024-09-07 08:53:51:695,317670,317670,0,0,12009035,0,3986 82,3,2024-09-07 08:53:51:756,1,253,1,0,363,2810,253,0 83,0,2024-09-07 08:53:51:527,64828,0.5,64870,0.7,128816,0.5,172358,2.00 83,1,2024-09-07 08:53:50:560,445284,445284,0,0,208003590905,2168452295,442109,2931,244,382,391690,0 83,2,2024-09-07 08:53:50:771,321368,321368,0,0,12088050,0,3119 83,3,2024-09-07 08:53:50:752,1,253,0,0,250,2664,253,0 84,0,2024-09-07 08:53:51:790,62643,0.9,62335,0.9,124866,0.8,167105,2.25 84,1,2024-09-07 08:53:51:055,444755,444755,0,0,208032400640,2174883766,440010,4169,576,368,391967,0 84,2,2024-09-07 08:53:50:579,321469,321469,0,0,14154786,0,3801 84,3,2024-09-07 08:53:51:141,1,253,0,0,908,3951,253,0 85,0,2024-09-07 08:53:51:025,61413,0.7,61343,0.9,130039,0.7,167877,2.50 85,1,2024-09-07 08:53:50:564,444333,444333,0,0,207619737543,2193405418,437491,5735,1107,382,392006,0 85,2,2024-09-07 08:53:50:874,320818,320818,0,0,14926681,0,3656 85,3,2024-09-07 08:53:50:694,1,253,4,0,789,3499,253,0 86,0,2024-09-07 08:53:50:877,63090,0.6,64931,0.7,124120,0.5,167665,2.00 86,1,2024-09-07 08:53:50:833,445838,445838,0,0,208138889437,2176341155,440854,4258,726,366,391961,0 86,2,2024-09-07 08:53:50:869,318091,318090,1,0,15740474,0,5004 86,3,2024-09-07 08:53:50:587,1,253,0,0,286,3371,253,0 87,0,2024-09-07 08:53:51:297,64069,0.9,63887,0.9,128288,1.1,171846,2.25 87,1,2024-09-07 08:53:50:552,445594,445594,0,0,207507030262,2170091444,441118,3992,484,366,391788,0 87,2,2024-09-07 08:53:51:068,318200,318200,0,0,14044483,0,4045 87,3,2024-09-07 08:53:51:799,1,253,2,0,473,3791,253,0 88,0,2024-09-07 08:53:51:468,65008,0.5,65306,0.6,130322,0.5,173501,2.00 88,1,2024-09-07 08:53:50:587,444002,444002,0,0,208288955656,2185797067,437820,4669,1513,365,392084,0 88,2,2024-09-07 08:53:50:689,320954,320954,0,0,16109474,0,3583 88,3,2024-09-07 08:53:51:272,1,253,6,0,435,3079,253,0 89,0,2024-09-07 08:53:51:779,65534,0.5,63360,0.7,125776,0.5,170816,2.00 89,1,2024-09-07 08:53:50:553,443384,443384,0,0,207582191282,2189802151,436464,5698,1222,382,391866,0 89,2,2024-09-07 08:53:51:135,319721,319721,0,0,15352855,0,2910 89,3,2024-09-07 08:53:51:797,1,253,3,0,325,4850,253,0 90,0,2024-09-07 08:53:51:649,60334,0.4,61648,0.6,126290,0.4,164414,1.75 90,1,2024-09-07 08:53:50:607,445544,445544,0,0,207726762389,2176877133,441411,3855,278,381,391825,0 90,2,2024-09-07 08:53:51:416,319275,319275,0,0,16600649,0,3060 90,3,2024-09-07 08:53:50:937,1,253,1,0,200,2729,253,0 91,0,2024-09-07 08:53:50:952,63413,0.4,61440,0.6,128357,0.4,168966,1.75 91,1,2024-09-07 08:53:50:571,444428,444428,0,0,207838978573,2185737630,438541,5193,694,381,392047,0 91,2,2024-09-07 08:53:51:340,316333,316333,0,0,14744313,0,2896 91,3,2024-09-07 08:53:50:604,1,253,0,0,216,2392,253,0 92,0,2024-09-07 08:53:51:504,66168,0.5,67742,0.6,129434,0.5,175298,1.75 92,1,2024-09-07 08:53:50:653,445425,445425,0,0,208114960665,2169197430,442862,2157,406,382,392136,0 92,2,2024-09-07 08:53:51:350,323279,323279,0,0,12398283,0,2801 92,3,2024-09-07 08:53:51:010,1,253,1,0,167,2039,253,0 93,0,2024-09-07 08:53:50:991,62260,0.4,63939,0.6,122173,0.4,165860,1.75 93,1,2024-09-07 08:53:50:818,445663,445663,0,0,208013534569,2170297315,441183,3694,786,366,391692,0 93,2,2024-09-07 08:53:50:936,322606,322606,0,0,13217959,0,2797 93,3,2024-09-07 08:53:51:415,1,253,36,0,190,2511,253,0 94,0,2024-09-07 08:53:51:639,61473,0.4,62274,0.5,124009,0.3,164133,1.75 94,1,2024-09-07 08:53:50:568,445698,445698,0,0,208658947591,2178657673,442404,3115,179,381,391850,0 94,2,2024-09-07 08:53:50:767,320069,320069,0,0,12232796,0,2443 94,3,2024-09-07 08:53:51:689,1,253,2,0,264,3301,253,0 95,0,2024-09-07 08:53:51:375,62103,0.3,61686,0.5,124532,0.3,164972,1.75 95,1,2024-09-07 08:53:50:860,446440,446440,0,0,209121953196,2173068462,442871,3193,376,367,391713,0 95,2,2024-09-07 08:53:51:016,315843,315843,0,0,12503039,0,3308 95,3,2024-09-07 08:53:51:710,1,253,0,0,718,4522,253,0 96,0,2024-09-07 08:53:51:080,65618,0.4,65874,0.5,131601,0.4,174533,1.75 96,1,2024-09-07 08:53:51:592,445152,445152,0,0,208711229095,2178714881,441574,2845,733,384,391894,0 96,2,2024-09-07 08:53:51:275,322108,322108,0,0,13225318,0,4042 96,3,2024-09-07 08:53:51:146,1,253,6,0,411,2932,253,0 97,0,2024-09-07 08:53:51:401,61820,0.4,61622,0.5,123844,0.3,164152,1.75 97,1,2024-09-07 08:53:50:788,446786,446786,0,0,209576838295,2172952560,443748,2482,556,367,392140,0 97,2,2024-09-07 08:53:50:607,322384,322384,0,0,12465957,0,3036 97,3,2024-09-07 08:53:50:571,1,253,8,0,214,2944,253,0 98,0,2024-09-07 08:53:51:715,62782,0.3,62839,0.4,126232,0.2,167137,1.50 98,1,2024-09-07 08:53:50:569,446329,446329,0,0,207956754984,2164103397,444182,2047,100,382,391997,0 98,2,2024-09-07 08:53:50:770,320445,320445,0,0,12523403,0,3080 98,3,2024-09-07 08:53:50:700,1,253,1,0,840,4574,253,0 99,0,2024-09-07 08:53:51:474,63254,0.3,63469,0.4,126645,0.2,168595,1.50 99,1,2024-09-07 08:53:51:724,445977,445977,0,0,208306984946,2171217094,442189,3048,740,381,391744,0 99,2,2024-09-07 08:53:51:423,320344,320344,0,0,13236521,0,3106 99,3,2024-09-07 08:53:50:589,1,253,1,0,168,1937,253,0 100,0,2024-09-07 08:53:51:510,64765,0.7,64697,0.9,129351,0.8,173006,2.25 100,1,2024-09-07 08:53:50:553,443128,443128,0,0,207010671060,2188921798,436281,5529,1318,381,391989,0 100,2,2024-09-07 08:53:51:821,318827,318816,11,0,14881213,0,5417 100,3,2024-09-07 08:53:51:740,1,253,2,0,559,4918,253,0 101,0,2024-09-07 08:53:51:708,65055,1.7,63310,1.2,124453,2.0,170776,2.50 101,1,2024-09-07 08:53:50:564,443309,443309,0,0,206893968530,2181265296,435955,5583,1771,368,391769,0 101,2,2024-09-07 08:53:51:759,320349,320349,0,0,16122924,0,4644 101,3,2024-09-07 08:53:50:947,1,253,1,0,448,3245,253,0 102,0,2024-09-07 08:53:50:956,62450,0.7,64715,0.8,130226,0.7,170858,2.00 102,1,2024-09-07 08:53:51:165,444729,444729,0,0,207896780289,2184083097,439074,4814,841,369,391883,0 102,2,2024-09-07 08:53:51:747,320624,320570,54,0,14738894,0,6768 102,3,2024-09-07 08:53:51:617,1,253,6,0,410,2737,253,0 103,0,2024-09-07 08:53:51:615,64568,0.4,64531,0.6,121852,0.4,167861,1.75 103,1,2024-09-07 08:53:51:627,443826,443826,0,0,207643677745,2188059018,437534,4911,1381,381,391829,0 103,2,2024-09-07 08:53:50:582,319327,319327,0,0,13893705,0,2582 103,3,2024-09-07 08:53:50:757,1,253,2,0,916,3578,253,0 104,0,2024-09-07 08:53:51:079,63858,0.7,64325,1.0,127339,0.7,172068,2.25 104,1,2024-09-07 08:53:51:613,445217,445217,0,0,207505018720,2182934824,438539,5583,1095,365,391948,0 104,2,2024-09-07 08:53:51:667,316555,316555,0,0,15643369,0,3941 104,3,2024-09-07 08:53:51:466,1,253,21,0,1245,6457,253,0 105,0,2024-09-07 08:53:51:075,64158,1.2,62246,1.2,130180,1.9,172168,3.50 105,1,2024-09-07 08:53:50:557,445245,445245,0,0,208212743311,2185783876,438931,5335,979,366,391797,0 105,2,2024-09-07 08:53:51:327,320567,320567,0,0,15131724,0,3509 105,3,2024-09-07 08:53:51:304,1,253,2,0,399,4272,253,0 106,0,2024-09-07 08:53:50:956,60565,1.0,62012,1.1,127078,1.1,166424,2.75 106,1,2024-09-07 08:53:51:763,445118,445118,0,0,207996328219,2186638085,438011,6348,759,369,391767,0 106,2,2024-09-07 08:53:50:758,319617,319617,0,0,14652122,0,2795 106,3,2024-09-07 08:53:50:678,1,253,1,0,470,3622,253,0 107,0,2024-09-07 08:53:51:106,61901,0.8,61871,0.9,123694,0.8,164735,2.00 107,1,2024-09-07 08:53:50:590,443613,443613,0,0,207218913951,2180630940,438290,4775,548,381,392234,0 107,2,2024-09-07 08:53:51:294,319849,319848,1,0,15363416,0,5024 107,3,2024-09-07 08:53:51:761,1,253,1,0,353,3437,253,0 108,0,2024-09-07 08:53:51:810,62452,0.4,62723,0.6,124456,0.3,166390,1.75 108,1,2024-09-07 08:53:51:302,445498,445498,0,0,208547324885,2171418641,442293,2821,384,368,391857,0 108,2,2024-09-07 08:53:51:758,315347,315347,0,0,13419376,0,2647 108,3,2024-09-07 08:53:51:331,1,253,5,0,749,4854,253,0 109,0,2024-09-07 08:53:51:774,66018,0.4,65289,0.6,131026,0.3,175608,1.75 109,1,2024-09-07 08:53:50:606,443926,443926,0,0,207565120482,2175690370,440131,3279,516,383,392132,0 109,2,2024-09-07 08:53:50:932,320788,320788,0,0,13971074,0,3617 109,3,2024-09-07 08:53:51:142,1,253,24,0,249,2993,253,0 110,0,2024-09-07 08:53:51:747,61625,0.4,60022,0.6,125513,0.4,164271,1.75 110,1,2024-09-07 08:53:51:650,446803,446803,0,0,209422473830,2173662051,443659,2267,877,369,392045,0 110,2,2024-09-07 08:53:51:311,322811,322811,0,0,13291803,0,3264 110,3,2024-09-07 08:53:50:693,1,253,135,0,406,3413,253,0 111,0,2024-09-07 08:53:51:421,62532,0.4,62110,0.5,124008,0.3,165873,1.75 111,1,2024-09-07 08:53:51:007,446970,446970,0,0,209175140604,2167259620,444978,1656,336,382,391690,0 111,2,2024-09-07 08:53:51:116,320087,320087,0,0,12716242,0,2763 111,3,2024-09-07 08:53:50:929,1,253,39,0,379,3406,253,0 112,0,2024-09-07 08:53:50:954,62579,0.3,62591,0.4,125218,0.2,166080,1.50 112,1,2024-09-07 08:53:50:834,446847,446847,0,0,208150176318,2158216812,444428,1991,428,380,391580,0 112,2,2024-09-07 08:53:51:133,316897,316896,1,0,12913563,0,5036 112,3,2024-09-07 08:53:50:594,1,253,1,0,282,2693,253,0 113,0,2024-09-07 08:53:50:873,64580,0.3,64410,0.5,129611,0.2,173253,1.50 113,1,2024-09-07 08:53:51:685,447844,447844,0,0,209420260512,2160981459,445344,2010,490,366,391661,0 113,2,2024-09-07 08:53:51:305,322594,322594,0,0,11765001,0,3813 113,3,2024-09-07 08:53:50:689,1,253,1,0,340,3607,253,0 114,0,2024-09-07 08:53:50:881,63370,0.4,63904,0.5,126655,0.3,169058,1.75 114,1,2024-09-07 08:53:50:716,445926,445926,0,0,208644399992,2173262663,441118,3219,1589,381,391556,0 114,2,2024-09-07 08:53:50:880,321790,321789,1,0,12862734,0,5069 114,3,2024-09-07 08:53:51:282,1,253,0,0,395,2684,253,0 115,0,2024-09-07 08:53:50:560,63656,0.3,63961,0.4,127896,0.2,169952,1.50 115,1,2024-09-07 08:53:50:572,445820,445820,0,0,208346766624,2167248467,441458,3527,835,382,391602,0 115,2,2024-09-07 08:53:51:128,320857,320857,0,0,11198838,0,2152 115,3,2024-09-07 08:53:51:005,1,253,1,0,159,1375,253,0 116,0,2024-09-07 08:53:51:702,62935,0.6,62819,0.8,125798,0.6,168292,2.00 116,1,2024-09-07 08:53:50:882,443293,443293,0,0,207056106629,2190474276,436892,4346,2055,380,391782,0 116,2,2024-09-07 08:53:51:768,318568,318568,0,0,16105858,0,3529 116,3,2024-09-07 08:53:50:913,1,253,1,0,415,3637,253,0 117,0,2024-09-07 08:53:50:961,64249,0.7,63998,0.9,128326,0.7,171908,2.00 117,1,2024-09-07 08:53:51:579,444940,444940,0,0,207266009751,2169334791,440237,4223,480,370,392033,0 117,2,2024-09-07 08:53:51:123,320910,320910,0,0,13270318,0,3700 117,3,2024-09-07 08:53:51:062,1,253,1,0,490,4025,253,0 118,0,2024-09-07 08:53:51:769,63097,0.6,64860,0.7,132326,0.6,172775,2.00 118,1,2024-09-07 08:53:50:595,444649,444649,0,0,207525500007,2181939621,438277,4938,1434,366,391736,0 118,2,2024-09-07 08:53:51:590,320309,320309,0,0,14492714,0,2781 118,3,2024-09-07 08:53:51:765,1,253,4,0,235,2892,253,0 119,0,2024-09-07 08:53:51:334,63231,0.9,63687,0.9,127584,1.1,169737,2.25 119,1,2024-09-07 08:53:50:553,445890,445890,0,0,208258908798,2175498574,441233,4135,522,367,391641,0 119,2,2024-09-07 08:53:51:269,320847,320847,0,0,13711880,0,4174 119,3,2024-09-07 08:53:51:329,1,253,1,0,443,3485,253,0 120,0,2024-09-07 08:53:51:565,61811,0.5,61536,0.8,123472,0.5,164887,2.00 120,1,2024-09-07 08:53:50:868,445306,445306,0,0,207723606164,2178960957,440615,4340,351,368,391961,0 120,2,2024-09-07 08:53:50:851,320021,320020,1,0,16370406,0,5281 120,3,2024-09-07 08:53:51:301,1,253,8,0,241,3256,253,0 121,0,2024-09-07 08:53:51:695,63111,0.9,63238,0.9,126632,1.1,168875,2.00 121,1,2024-09-07 08:53:51:674,445420,445420,0,0,208247664346,2179843488,440806,4199,415,367,391840,0 121,2,2024-09-07 08:53:51:137,315496,315496,0,0,15526841,0,4127 121,3,2024-09-07 08:53:50:744,1,253,3,0,269,2911,253,0 122,0,2024-09-07 08:53:51:808,65299,0.9,63671,0.9,133529,1.0,175334,2.00 122,1,2024-09-07 08:53:50:867,444214,444214,0,0,207870876222,2182065343,438146,5251,817,366,392130,0 122,2,2024-09-07 08:53:51:321,320413,320340,73,0,18192194,0,5989 122,3,2024-09-07 08:53:50:595,1,253,1,0,411,4970,253,0 123,0,2024-09-07 08:53:50:955,61742,0.9,60393,0.9,125961,1.1,165212,2.25 123,1,2024-09-07 08:53:50:564,444905,444905,0,0,208245258142,2197314657,436231,6958,1716,369,392039,0 123,2,2024-09-07 08:53:51:024,319425,319424,1,0,15098776,0,5215 123,3,2024-09-07 08:53:51:138,1,253,0,0,168,3093,253,0 124,0,2024-09-07 08:53:50:943,63740,0.3,63728,0.5,120266,0.3,164808,1.75 124,1,2024-09-07 08:53:51:025,446759,446759,0,0,208050191667,2160855363,443916,2481,362,367,392178,0 124,2,2024-09-07 08:53:51:013,320613,320613,0,0,12039371,0,3101 124,3,2024-09-07 08:53:50:761,1,253,51,0,490,2821,253,0 125,0,2024-09-07 08:53:51:462,62117,0.3,61905,0.5,124348,0.3,165151,1.75 125,1,2024-09-07 08:53:50:872,445372,445372,0,0,208504632842,2174120022,442276,2702,394,383,391702,0 125,2,2024-09-07 08:53:51:128,316651,316651,0,0,13011545,0,4534 125,3,2024-09-07 08:53:51:143,1,253,1,0,709,3568,253,0 126,0,2024-09-07 08:53:51:415,65688,0.5,67477,0.6,129002,0.5,175474,1.75 126,1,2024-09-07 08:53:50:553,446925,446925,0,0,208671417576,2161720206,444240,2422,263,365,391987,0 126,2,2024-09-07 08:53:50:618,323229,323229,0,0,13396159,0,3186 126,3,2024-09-07 08:53:50:910,1,253,1,0,183,3300,253,0 127,0,2024-09-07 08:53:51:614,61728,0.4,62038,0.5,123522,0.4,164371,1.75 127,1,2024-09-07 08:53:50:572,446460,446460,0,0,208740722022,2162481080,443515,2792,153,365,391816,0 127,2,2024-09-07 08:53:50:640,322248,322248,0,0,12042896,0,2264 127,3,2024-09-07 08:53:51:273,1,253,1,0,968,2994,253,0 128,0,2024-09-07 08:53:51:564,63257,0.3,63185,0.4,126222,0.2,167526,1.50 128,1,2024-09-07 08:53:51:605,445769,445769,0,0,208637417797,2163108956,443595,2017,157,367,391798,0 128,2,2024-09-07 08:53:51:385,320620,320620,0,0,11954621,0,2434 128,3,2024-09-07 08:53:50:767,1,253,1,0,1082,5374,253,0 129,0,2024-09-07 08:53:51:062,63834,0.3,63517,0.4,126999,0.2,168335,1.50 129,1,2024-09-07 08:53:50:572,443947,443947,0,0,207422818358,2173694990,439788,3229,930,379,391835,0 129,2,2024-09-07 08:53:50:689,318889,318889,0,0,12889622,0,4031 129,3,2024-09-07 08:53:50:689,1,253,6,0,469,3326,253,0 130,0,2024-09-07 08:53:51:724,65304,0.5,64967,0.6,130293,0.5,173912,1.75 130,1,2024-09-07 08:53:50:587,446710,446710,0,0,208445837617,2167987330,444270,2362,78,381,391825,0 130,2,2024-09-07 08:53:51:139,321634,321634,0,0,12558808,0,4067 130,3,2024-09-07 08:53:51:299,1,253,1,0,450,3372,253,0 131,0,2024-09-07 08:53:51:954,63735,0.4,64085,0.5,129065,0.4,170500,1.75 131,1,2024-09-07 08:53:51:841,446379,446379,0,0,208168222106,2169669121,443327,2594,458,381,391865,0 131,2,2024-09-07 08:53:50:571,322798,322798,0,0,11494373,0,2415 131,3,2024-09-07 08:53:51:688,1,253,2,0,392,2556,253,0 132,0,2024-09-07 08:53:51:433,63778,0.5,64640,0.7,128847,0.5,171277,2.00 132,1,2024-09-07 08:53:50:579,444013,444013,0,0,207636154691,2189639617,436999,5760,1254,381,392097,0 132,2,2024-09-07 08:53:50:725,320057,320057,0,0,15422861,0,4606 132,3,2024-09-07 08:53:51:691,1,253,9,0,356,3564,253,0 133,0,2024-09-07 08:53:51:520,61171,0.4,62654,0.5,128012,0.3,166603,1.75 133,1,2024-09-07 08:53:50:595,444365,444365,0,0,207588807920,2184724852,438882,4815,668,383,391914,0 133,2,2024-09-07 08:53:51:087,319082,319082,0,0,16542482,0,4315 133,3,2024-09-07 08:53:51:316,1,253,1,0,276,2538,253,0 134,0,2024-09-07 08:53:50:939,64519,0.4,64380,0.6,129125,0.4,172089,2.00 134,1,2024-09-07 08:53:50:592,443949,443949,0,0,207681868110,2181385755,437494,4985,1470,366,391718,0 134,2,2024-09-07 08:53:51:756,317464,317464,0,0,13786176,0,3847 134,3,2024-09-07 08:53:50:758,1,253,7,0,739,3787,253,0 135,0,2024-09-07 08:53:51:103,62677,0.9,62652,0.9,132793,1.0,172088,2.25 135,1,2024-09-07 08:53:51:601,443844,443844,0,0,208317517457,2191829357,437648,5199,997,380,391805,0 135,2,2024-09-07 08:53:50:689,321611,321611,0,0,15320371,0,3981 135,3,2024-09-07 08:53:51:006,1,253,0,0,299,1854,253,0 136,0,2024-09-07 08:53:51:648,63233,0.6,63090,0.8,126010,0.6,168270,2.25 136,1,2024-09-07 08:53:51:454,444181,444181,0,0,207477452929,2181020728,438645,4951,585,382,391685,0 136,2,2024-09-07 08:53:51:278,321481,321481,0,0,14788810,0,3506 136,3,2024-09-07 08:53:51:125,1,253,4,0,150,2137,253,0 137,0,2024-09-07 08:53:50:936,63825,0.6,61986,0.7,122383,0.6,165907,2.00 137,1,2024-09-07 08:53:50:577,444472,444472,0,0,207354301956,2176944278,437524,5531,1417,366,391708,0 137,2,2024-09-07 08:53:51:705,319657,319657,0,0,16345222,0,3185 137,3,2024-09-07 08:53:50:777,1,253,0,0,382,3280,253,0 138,0,2024-09-07 08:53:51:750,61937,0.8,61961,0.9,124587,0.9,165924,2.00 138,1,2024-09-07 08:53:51:689,444186,444186,0,0,207965372610,2184728671,437219,5836,1131,368,391954,0 138,2,2024-09-07 08:53:50:594,316264,316264,0,0,14973783,0,4988 138,3,2024-09-07 08:53:50:617,1,253,1,0,1160,3862,253,0 139,0,2024-09-07 08:53:51:367,64623,1.9,64872,1.3,129952,2.8,173994,2.50 139,1,2024-09-07 08:53:50:572,442680,442680,0,0,206723871256,2197014537,434152,6413,2115,381,391892,0 139,2,2024-09-07 08:53:50:712,319764,319764,0,0,15886606,0,3097 139,3,2024-09-07 08:53:51:671,1,253,1,0,244,2560,253,0 140,0,2024-09-07 08:53:51:590,62070,0.3,61448,0.5,123569,0.3,164485,1.75 140,1,2024-09-07 08:53:51:542,447741,447741,0,0,209634751773,2159745452,445761,1738,242,365,391606,0 140,2,2024-09-07 08:53:50:689,322958,322958,0,0,12303738,0,3388 140,3,2024-09-07 08:53:50:770,1,253,0,0,247,2153,253,0 141,0,2024-09-07 08:53:51:705,62238,0.3,63972,0.4,122315,0.2,165880,1.50 141,1,2024-09-07 08:53:50:861,446840,446840,0,0,208843586903,2165876665,444270,2162,408,379,391614,0 141,2,2024-09-07 08:53:51:686,320561,320561,0,0,11916923,0,2342 141,3,2024-09-07 08:53:51:046,1,253,12,0,202,2340,253,0 142,0,2024-09-07 08:53:51:319,63259,0.3,62626,0.4,124562,0.2,166381,1.50 142,1,2024-09-07 08:53:50:591,446196,446196,0,0,208161084084,2169736853,443398,2533,265,382,392102,0 142,2,2024-09-07 08:53:51:305,316733,316701,32,0,13623507,0,6028 142,3,2024-09-07 08:53:51:755,1,253,10,0,484,3171,253,0 143,0,2024-09-07 08:53:51:432,64822,0.5,64784,0.6,130019,0.5,173138,1.75 143,1,2024-09-07 08:53:50:561,446227,446227,0,0,208305149002,2159412308,443512,2619,96,367,391651,0 143,2,2024-09-07 08:53:50:772,321186,321186,0,0,12900933,0,2669 143,3,2024-09-07 08:53:51:144,1,253,15,0,303,3313,253,0 144,0,2024-09-07 08:53:51:502,60962,0.7,62567,0.9,127626,0.6,167224,2.00 144,1,2024-09-07 08:53:50:569,444149,444149,0,0,207889468204,2181208870,440135,3089,925,381,391649,0 144,2,2024-09-07 08:53:51:758,322575,322575,0,0,12293585,0,3473 144,3,2024-09-07 08:53:51:742,1,253,3,0,249,2967,253,0 145,0,2024-09-07 08:53:51:358,61350,0.6,61342,0.8,130120,0.5,167911,2.25 145,1,2024-09-07 08:53:50:553,443439,443439,0,0,207794083310,2184626451,437942,4674,823,382,391698,0 145,2,2024-09-07 08:53:51:437,318951,318951,0,0,14600043,0,3903 145,3,2024-09-07 08:53:50:900,1,253,1,0,622,4112,253,0 146,0,2024-09-07 08:53:51:635,63008,0.5,62530,0.7,126004,0.4,167453,2.00 146,1,2024-09-07 08:53:51:587,444442,444442,0,0,207663167367,2189235833,436160,6435,1847,368,391770,0 146,2,2024-09-07 08:53:51:698,318526,318526,0,0,14750877,0,2730 146,3,2024-09-07 08:53:51:283,1,253,1,0,1520,5923,253,0 147,0,2024-09-07 08:53:51:722,64174,0.6,64072,0.7,127347,0.5,171664,2.00 147,1,2024-09-07 08:53:51:381,446560,446560,0,0,209227178928,2175823475,442460,3515,585,368,391791,0 147,2,2024-09-07 08:53:51:011,320589,320589,0,0,12356275,0,2789 147,3,2024-09-07 08:53:50:913,1,253,1,0,730,3916,253,0 0,0,2024-09-07 08:54:01:715,60399,0.5,60423,0.7,128105,0.4,165782,1.75 0,1,2024-09-07 08:54:00:800,447386,447386,0,0,209329990511,2188362501,444172,3010,204,370,391772,0 0,2,2024-09-07 08:54:01:078,321552,321552,0,0,13041236,0,4480 0,3,2024-09-07 08:54:00:975,1,254,2,0,319,3393,254,0 1,0,2024-09-07 08:54:01:792,63752,0.8,63334,0.9,127380,1.0,170220,2.00 1,1,2024-09-07 08:54:00:559,447036,447036,0,0,208354747255,2182231453,442540,3443,1053,371,391857,0 1,2,2024-09-07 08:54:00:639,317533,317533,0,0,12005121,0,3267 1,3,2024-09-07 08:54:01:316,1,254,11,0,262,2975,254,0 2,0,2024-09-07 08:54:01:581,65915,0.7,66169,0.8,131105,0.8,175616,2.00 2,1,2024-09-07 08:54:00:859,447342,447342,0,0,209857535423,2178673680,445235,1889,218,380,391745,0 2,2,2024-09-07 08:54:01:273,323165,323165,0,0,12571792,0,3594 2,3,2024-09-07 08:54:00:693,1,254,2,0,357,2280,254,0 3,0,2024-09-07 08:54:01:750,62279,0.5,62364,0.6,124185,0.4,165798,2.00 3,1,2024-09-07 08:54:01:626,447087,447087,0,0,209025108221,2176906688,443247,3378,462,380,391556,0 3,2,2024-09-07 08:54:01:151,322803,322780,23,0,12833787,0,5851 3,3,2024-09-07 08:54:01:757,1,254,0,0,103,1526,254,0 4,0,2024-09-07 08:54:01:872,60035,0.4,61752,0.5,125699,0.3,164515,1.75 4,1,2024-09-07 08:54:00:597,446821,446821,0,0,208238796636,2187025739,442077,3953,791,371,391846,0 4,2,2024-09-07 08:54:01:020,320599,320599,0,0,15208228,0,4528 4,3,2024-09-07 08:54:01:031,1,254,12,0,448,3895,254,0 5,0,2024-09-07 08:54:01:485,62184,0.4,62515,0.5,124130,0.3,165707,1.75 5,1,2024-09-07 08:54:00:776,446704,446704,0,0,208375650014,2190815441,441208,4384,1112,368,392005,0 5,2,2024-09-07 08:54:01:835,315977,315977,0,0,13774599,0,2432 5,3,2024-09-07 08:54:01:742,1,254,8,0,457,3828,254,0 6,0,2024-09-07 08:54:00:920,66514,0.5,65872,0.7,131624,0.5,176447,2.00 6,1,2024-09-07 08:54:00:747,446808,446808,0,0,208148835826,2175172130,442133,3831,844,379,391694,0 6,2,2024-09-07 08:54:01:118,322664,322664,0,0,13824238,0,4816 6,3,2024-09-07 08:54:01:301,1,254,2,0,340,2977,254,0 7,0,2024-09-07 08:54:01:536,61484,0.5,61703,0.7,123047,0.5,163571,2.00 7,1,2024-09-07 08:54:00:851,446677,446677,0,0,208816360177,2190481972,440776,4898,1003,382,391747,0 7,2,2024-09-07 08:54:00:769,322650,322650,0,0,13651351,0,4791 7,3,2024-09-07 08:54:00:856,1,254,12,0,398,3125,254,0 8,0,2024-09-07 08:54:01:385,63068,0.4,63054,0.5,125503,0.3,167464,1.75 8,1,2024-09-07 08:54:01:022,445314,445314,0,0,208712225158,2201744058,437020,6276,2018,366,391956,0 8,2,2024-09-07 08:54:00:794,317832,317832,0,0,16416055,0,3220 8,3,2024-09-07 08:54:00:588,1,254,4,0,357,3856,254,0 9,0,2024-09-07 08:54:01:118,63525,0.3,61557,0.5,128641,0.3,168376,1.50 9,1,2024-09-07 08:54:00:550,445965,445965,0,0,208574744102,2198540936,439475,4948,1542,370,392001,0 9,2,2024-09-07 08:54:01:088,318357,318357,0,0,14464402,0,3360 9,3,2024-09-07 08:54:01:771,1,254,3,0,496,3816,254,0 10,0,2024-09-07 08:54:01:608,65528,0.3,64893,0.5,130561,0.2,174235,1.75 10,1,2024-09-07 08:54:00:583,446557,446557,0,0,208401602805,2185347077,440196,5433,928,381,391741,0 10,2,2024-09-07 08:54:00:766,321933,321933,0,0,16138523,0,4264 10,3,2024-09-07 08:54:00:873,1,254,1,0,177,2100,254,0 11,0,2024-09-07 08:54:01:010,63483,0.5,61696,0.7,129061,0.5,170572,2.00 11,1,2024-09-07 08:54:00:571,446962,446962,0,0,208966438989,2198886821,438743,6238,1981,383,391537,0 11,2,2024-09-07 08:54:01:124,322483,322483,0,0,15054780,0,4130 11,3,2024-09-07 08:54:01:299,1,254,5,0,843,4229,254,0 12,0,2024-09-07 08:54:01:004,64769,0.3,64625,0.5,129303,0.3,171795,1.75 12,1,2024-09-07 08:54:00:935,446728,446728,0,0,208507949943,2173380302,443210,3096,422,370,391870,0 12,2,2024-09-07 08:54:01:560,321799,321799,0,0,13955586,0,3469 12,3,2024-09-07 08:54:01:073,1,254,3,0,386,4180,254,0 13,0,2024-09-07 08:54:01:409,63308,0.3,63135,0.5,126115,0.3,168044,1.50 13,1,2024-09-07 08:54:01:535,447018,447018,0,0,208219247293,2183978080,443468,3009,541,382,391717,0 13,2,2024-09-07 08:54:00:598,322002,322002,0,0,13103699,0,3287 13,3,2024-09-07 08:54:01:765,1,254,5,0,467,3938,254,0 14,0,2024-09-07 08:54:00:567,64920,0.4,65329,0.5,129576,0.3,172610,1.75 14,1,2024-09-07 08:54:01:567,449794,449794,0,0,209736299770,2169986888,446963,2642,189,364,391571,0 14,2,2024-09-07 08:54:00:767,318970,318970,0,0,13055992,0,2896 14,3,2024-09-07 08:54:01:119,1,254,1,0,1168,3465,254,0 15,0,2024-09-07 08:54:01:567,65258,0.5,65401,0.7,130522,0.5,174385,2.00 15,1,2024-09-07 08:54:01:608,447879,447879,0,0,209362139982,2175731459,445360,2341,178,381,391619,0 15,2,2024-09-07 08:54:01:000,323421,323421,0,0,11189630,0,3043 15,3,2024-09-07 08:54:01:408,1,254,126,0,1126,5342,254,0 16,0,2024-09-07 08:54:01:001,63312,0.7,63460,0.8,126300,0.8,168872,2.25 16,1,2024-09-07 08:54:00:586,448005,448005,0,0,209171863036,2184714646,444445,3261,299,370,391756,0 16,2,2024-09-07 08:54:01:435,321743,321743,0,0,13744766,0,4719 16,3,2024-09-07 08:54:01:150,1,254,9,0,317,3478,254,0 17,0,2024-09-07 08:54:01:821,64062,0.5,62517,0.7,122416,0.4,166140,1.75 17,1,2024-09-07 08:54:00:568,446599,446599,0,0,208328560500,2186359564,441756,3739,1104,368,391845,0 17,2,2024-09-07 08:54:01:672,324535,324535,0,0,12907632,0,2857 17,3,2024-09-07 08:54:00:575,1,254,1,0,268,4017,254,0 18,0,2024-09-07 08:54:00:945,62021,0.6,62222,0.8,124248,0.6,166126,2.25 18,1,2024-09-07 08:54:01:640,448192,448192,0,0,208884012588,2164290886,445682,2268,242,367,391649,0 18,2,2024-09-07 08:54:01:757,318598,318598,0,0,12502139,0,3541 18,3,2024-09-07 08:54:00:897,1,254,2,0,163,2535,254,0 19,0,2024-09-07 08:54:01:541,65487,0.6,66114,0.8,131011,0.6,174741,2.25 19,1,2024-09-07 08:54:00:569,447788,447788,0,0,210296203975,2184684530,443130,3872,786,367,391777,0 19,2,2024-09-07 08:54:01:762,324660,324660,0,0,11569368,0,3988 19,3,2024-09-07 08:54:01:140,1,254,1,0,524,1885,254,0 20,0,2024-09-07 08:54:01:396,61479,0.7,61545,0.8,123311,0.8,164334,2.25 20,1,2024-09-07 08:54:00:567,446546,446546,0,0,209100822723,2186748667,442726,3422,398,369,391886,0 20,2,2024-09-07 08:54:00:929,323700,323700,0,0,13366300,0,3721 20,3,2024-09-07 08:54:00:590,1,254,1,0,414,4625,254,0 21,0,2024-09-07 08:54:01:140,62405,0.5,62643,0.6,124926,0.4,165433,2.00 21,1,2024-09-07 08:54:01:544,445731,445731,0,0,207963502671,2189080963,440012,4462,1257,368,391962,0 21,2,2024-09-07 08:54:01:077,320479,320479,0,0,15118912,0,3747 21,3,2024-09-07 08:54:01:424,1,254,1,0,103,2638,254,0 22,0,2024-09-07 08:54:01:731,62338,0.6,62499,0.7,124623,0.5,165519,2.00 22,1,2024-09-07 08:54:01:023,446265,446265,0,0,208041462289,2190353932,439034,5589,1642,382,391667,0 22,2,2024-09-07 08:54:00:762,317169,317169,0,0,13248603,0,3134 22,3,2024-09-07 08:54:01:078,1,254,0,0,228,2343,254,0 23,0,2024-09-07 08:54:01:387,65035,0.6,64693,0.7,130123,0.5,173869,2.25 23,1,2024-09-07 08:54:01:003,447054,447054,0,0,209171341727,2196051673,439744,4759,2551,365,391690,0 23,2,2024-09-07 08:54:01:098,323173,323173,0,0,12852083,0,3010 23,3,2024-09-07 08:54:01:755,1,254,1,0,645,3290,254,0 24,0,2024-09-07 08:54:00:814,63585,0.4,63475,0.6,127252,0.4,168745,1.75 24,1,2024-09-07 08:54:00:582,446258,446258,0,0,207774827358,2180634496,440645,4132,1481,368,391987,0 24,2,2024-09-07 08:54:01:073,321443,321443,0,0,15927139,0,3607 24,3,2024-09-07 08:54:01:686,1,254,1,0,468,3796,254,0 25,0,2024-09-07 08:54:01:425,65287,0.5,63591,0.7,125002,0.4,170227,2.00 25,1,2024-09-07 08:54:00:558,447136,447136,0,0,208866188003,2186078337,442792,3790,554,371,391788,0 25,2,2024-09-07 08:54:01:609,320057,320057,0,0,16034951,0,3978 25,3,2024-09-07 08:54:01:008,1,254,6,0,255,2748,254,0 26,0,2024-09-07 08:54:01:721,63054,0.4,61712,0.6,129256,0.3,168326,1.75 26,1,2024-09-07 08:54:01:541,446626,446626,0,0,208404370837,2186940652,439636,5774,1216,381,391748,0 26,2,2024-09-07 08:54:00:861,319734,319734,0,0,15676900,0,2809 26,3,2024-09-07 08:54:01:712,1,254,2,0,796,3384,254,0 27,0,2024-09-07 08:54:01:739,65043,0.4,65115,0.6,128677,0.3,172547,2.00 27,1,2024-09-07 08:54:01:676,448628,448628,0,0,209626922787,2181123682,445175,2860,593,381,391558,0 27,2,2024-09-07 08:54:00:878,318979,318914,65,0,15798341,0,5699 27,3,2024-09-07 08:54:01:018,1,254,2,0,564,2783,254,0 28,0,2024-09-07 08:54:01:394,65286,0.5,65044,0.7,130689,0.4,174106,2.00 28,1,2024-09-07 08:54:00:797,448016,448016,0,0,210126499653,2186544928,445135,2410,471,383,391646,0 28,2,2024-09-07 08:54:01:766,323011,323011,0,0,12404495,0,2915 28,3,2024-09-07 08:54:01:776,1,254,3,0,502,2576,254,0 29,0,2024-09-07 08:54:01:374,65569,0.4,64184,0.6,125440,0.3,171592,1.75 29,1,2024-09-07 08:54:01:585,449097,449097,0,0,210219908608,2175876202,445872,2660,565,369,391621,0 29,2,2024-09-07 08:54:00:861,321198,321198,0,0,11861997,0,4018 29,3,2024-09-07 08:54:00:968,1,254,6,0,115,1803,254,0 30,0,2024-09-07 08:54:01:463,62085,0.5,60354,0.7,126155,0.4,165238,2.00 30,1,2024-09-07 08:54:00:571,448679,448679,0,0,209766533221,2172794886,446349,2037,293,382,391672,0 30,2,2024-09-07 08:54:01:277,321865,321865,0,0,11721228,0,3161 30,3,2024-09-07 08:54:00:586,1,254,519,0,519,2407,254,0 31,0,2024-09-07 08:54:01:760,63365,0.4,63630,0.6,127468,0.3,170295,1.75 31,1,2024-09-07 08:54:00:564,450151,450151,0,0,210638998780,2157444271,448761,1171,219,356,391712,0 31,2,2024-09-07 08:54:01:277,317966,317966,0,0,13206797,0,3525 31,3,2024-09-07 08:54:01:711,1,254,0,0,220,1969,254,0 32,0,2024-09-07 08:54:01:424,65619,0.4,66206,0.5,132110,0.3,176008,1.75 32,1,2024-09-07 08:54:00:821,448567,448567,0,0,209199151310,2169690626,446610,1678,279,381,391622,0 32,2,2024-09-07 08:54:00:935,323426,323426,0,0,11529141,0,3155 32,3,2024-09-07 08:54:01:018,1,254,4,0,227,2040,254,0 33,0,2024-09-07 08:54:01:510,62767,0.3,62092,0.5,124925,0.2,166692,1.75 33,1,2024-09-07 08:54:00:575,448965,448965,0,0,210688830759,2175749931,446384,2407,174,369,391730,0 33,2,2024-09-07 08:54:00:761,324100,324067,33,0,13269168,0,7012 33,3,2024-09-07 08:54:00:895,1,254,1,0,329,2908,254,0 34,0,2024-09-07 08:54:00:933,61856,0.3,63727,0.5,122225,0.2,163942,1.50 34,1,2024-09-07 08:54:01:044,449600,449600,0,0,210571225489,2164961196,448504,1089,7,367,391562,0 34,2,2024-09-07 08:54:00:766,321890,321890,0,0,12520703,0,3577 34,3,2024-09-07 08:54:01:688,1,254,1,0,299,2057,254,0 35,0,2024-09-07 08:54:00:858,61744,0.3,62092,0.5,124723,0.3,166143,1.75 35,1,2024-09-07 08:54:01:066,448461,448461,0,0,209009970722,2167586245,445115,2566,780,384,391589,0 35,2,2024-09-07 08:54:01:583,317968,317968,0,0,11984611,0,2653 35,3,2024-09-07 08:54:00:907,1,254,16,0,418,3018,254,0 36,0,2024-09-07 08:54:01:524,66118,0.6,65954,0.7,132363,0.6,176199,2.25 36,1,2024-09-07 08:54:00:593,447690,447690,0,0,208785643865,2183724686,441708,4403,1579,366,391759,0 36,2,2024-09-07 08:54:01:751,322462,322462,0,0,14154481,0,3875 36,3,2024-09-07 08:54:00:868,1,254,1,0,416,4041,254,0 37,0,2024-09-07 08:54:01:383,61376,0.6,61333,0.7,122919,0.5,164516,2.00 37,1,2024-09-07 08:54:00:574,446798,446791,0,7,208332858327,2183055910,440781,3942,2068,365,391560,0 37,2,2024-09-07 08:54:01:146,322394,322379,15,0,14478477,0,5815 37,3,2024-09-07 08:54:01:766,1,254,5,0,888,4475,254,0 38,0,2024-09-07 08:54:01:439,62308,0.5,60592,0.7,126895,0.4,165625,2.00 38,1,2024-09-07 08:54:01:605,447822,447822,0,0,208942732360,2180916123,442550,4597,675,368,391821,0 38,2,2024-09-07 08:54:00:759,320582,320535,47,0,14833224,0,6710 38,3,2024-09-07 08:54:01:000,1,254,0,0,689,3599,254,0 39,0,2024-09-07 08:54:01:759,64692,0.6,63595,0.7,123307,0.5,168354,2.00 39,1,2024-09-07 08:54:00:718,447576,447576,0,0,208948898104,2181031452,442016,4658,902,365,391594,0 39,2,2024-09-07 08:54:01:425,318314,318314,0,0,12833003,0,2689 39,3,2024-09-07 08:54:00:713,1,254,1,0,324,3422,254,0 40,0,2024-09-07 08:54:01:503,64512,0.7,65146,0.9,130394,0.7,173782,2.75 40,1,2024-09-07 08:54:00:576,446989,446989,0,0,208038060273,2181569888,439850,5696,1443,368,391591,0 40,2,2024-09-07 08:54:01:306,321715,321714,1,0,16143480,0,5137 40,3,2024-09-07 08:54:01:148,1,254,1,0,398,3152,254,0 41,0,2024-09-07 08:54:01:035,63279,2.0,64765,1.4,123539,3.1,168800,3.75 41,1,2024-09-07 08:54:00:770,447135,447135,0,0,209098721406,2186659073,441594,4907,634,370,391742,0 41,2,2024-09-07 08:54:00:759,321212,321212,0,0,15360605,0,3356 41,3,2024-09-07 08:54:01:678,1,254,1,0,366,3031,254,0 42,0,2024-09-07 08:54:01:481,63523,0.8,63715,1.0,127560,0.9,168452,2.50 42,1,2024-09-07 08:54:01:439,445870,445870,0,0,208398179961,2191329340,438586,5832,1452,380,391675,0 42,2,2024-09-07 08:54:01:140,321197,321197,0,0,14676684,0,3568 42,3,2024-09-07 08:54:01:011,1,254,3,0,446,2337,254,0 43,0,2024-09-07 08:54:00:919,62642,0.7,61101,0.9,127463,0.6,167846,2.25 43,1,2024-09-07 08:54:00:576,446864,446864,0,0,209741180349,2191976131,440600,4935,1329,366,391696,0 43,2,2024-09-07 08:54:01:735,321081,321081,0,0,14050248,0,3812 43,3,2024-09-07 08:54:01:749,1,254,1,0,467,3083,254,0 44,0,2024-09-07 08:54:00:859,64536,0.4,65000,0.6,129612,0.4,172798,1.75 44,1,2024-09-07 08:54:00:570,448920,448920,0,0,209628607786,2162815821,446363,2125,432,356,391809,0 44,2,2024-09-07 08:54:01:277,318817,318817,0,0,11724012,0,2231 44,3,2024-09-07 08:54:01:101,1,254,4,0,817,2981,254,0 45,0,2024-09-07 08:54:01:758,64246,0.6,62795,0.8,131899,0.6,174044,2.00 45,1,2024-09-07 08:54:01:005,448296,448296,0,0,209710303497,2172117934,446645,1639,12,382,391917,0 45,2,2024-09-07 08:54:01:272,323356,323356,0,0,12426900,0,3596 45,3,2024-09-07 08:54:00:934,1,254,1,0,271,2683,254,0 46,0,2024-09-07 08:54:00:975,62864,0.6,62649,0.8,125686,0.6,166676,2.00 46,1,2024-09-07 08:54:00:575,449777,449777,0,0,209954845573,2166465010,447430,2073,274,366,391539,0 46,2,2024-09-07 08:54:00:594,322861,322861,0,0,11973379,0,2920 46,3,2024-09-07 08:54:01:138,1,254,1,0,908,3779,254,0 47,0,2024-09-07 08:54:01:109,62497,0.4,62351,0.6,125317,0.4,165640,1.75 47,1,2024-09-07 08:54:00:567,449235,449235,0,0,209474838115,2161237739,446911,2190,134,366,391605,0 47,2,2024-09-07 08:54:00:909,323953,323953,0,0,12045929,0,2558 47,3,2024-09-07 08:54:01:123,1,254,60,0,529,2330,254,0 48,0,2024-09-07 08:54:01:495,63080,0.3,62691,0.4,124897,0.2,167033,1.50 48,1,2024-09-07 08:54:01:023,448572,448572,0,0,209471633870,2175378130,445465,2808,299,384,391710,0 48,2,2024-09-07 08:54:00:699,317796,317796,0,0,11560504,0,3031 48,3,2024-09-07 08:54:00:753,1,254,1,0,339,2104,254,0 49,0,2024-09-07 08:54:01:711,67819,0.4,66598,0.5,128986,0.3,176845,1.75 49,1,2024-09-07 08:54:01:024,447531,447531,0,0,208919718396,2177380040,443673,2677,1181,382,391809,0 49,2,2024-09-07 08:54:01:809,324278,324278,0,0,12213009,0,3900 49,3,2024-09-07 08:54:01:416,1,254,1,0,408,2788,254,0 50,0,2024-09-07 08:54:01:513,61765,0.3,61200,0.5,123170,0.2,164269,1.75 50,1,2024-09-07 08:54:01:012,449209,449209,0,0,210021118775,2171759218,446371,2528,310,368,391530,0 50,2,2024-09-07 08:54:01:079,324031,324031,0,0,11515864,0,2263 50,3,2024-09-07 08:54:01:293,1,254,9,0,335,2107,254,0 51,0,2024-09-07 08:54:01:687,63852,0.3,62445,0.5,121689,0.2,165710,1.75 51,1,2024-09-07 08:54:01:680,449405,449405,0,0,210368271554,2170959613,446608,1858,939,365,391706,0 51,2,2024-09-07 08:54:01:319,321804,321804,0,0,10919816,0,3337 51,3,2024-09-07 08:54:01:029,1,254,3,0,678,2040,254,0 52,0,2024-09-07 08:54:01:417,63104,0.4,62659,0.6,125276,0.4,166204,2.00 52,1,2024-09-07 08:54:00:576,447242,447242,0,0,208184968952,2183325239,440084,5904,1254,368,391722,0 52,2,2024-09-07 08:54:01:760,315890,315852,38,0,14998357,0,6742 52,3,2024-09-07 08:54:00:674,1,254,0,0,1782,4556,254,0 53,0,2024-09-07 08:54:01:739,64640,0.7,62844,0.8,131603,0.7,173035,2.50 53,1,2024-09-07 08:54:00:771,446150,446150,0,0,208607901610,2190918585,438824,4970,2356,367,391702,0 53,2,2024-09-07 08:54:01:299,323107,323107,0,0,12543181,0,2727 53,3,2024-09-07 08:54:00:698,1,254,5,0,271,2310,254,0 54,0,2024-09-07 08:54:01:616,62061,0.8,62620,0.9,123987,0.5,165876,2.75 54,1,2024-09-07 08:54:00:580,447580,447580,0,0,209191202890,2175920872,442844,4139,597,367,391659,0 54,2,2024-09-07 08:54:00:867,322870,322864,6,0,13933574,0,5382 54,3,2024-09-07 08:54:00:768,1,254,1,0,676,3909,254,0 55,0,2024-09-07 08:54:01:759,61402,0.6,63542,0.8,127942,0.5,166625,2.50 55,1,2024-09-07 08:54:00:766,447079,447079,0,0,209490609683,2181463862,441529,4763,787,365,391731,0 55,2,2024-09-07 08:54:00:735,320435,320435,0,0,14054678,0,3275 55,3,2024-09-07 08:54:00:674,1,254,1,0,304,2886,254,0 56,0,2024-09-07 08:54:01:570,64749,0.9,61106,1.0,125717,1.2,168289,2.25 56,1,2024-09-07 08:54:00:578,445293,445293,0,0,208713349170,2207153850,438052,5803,1438,381,391678,0 56,2,2024-09-07 08:54:01:303,319717,319717,0,0,15210589,0,3567 56,3,2024-09-07 08:54:01:067,1,254,14,0,705,3708,254,0 57,0,2024-09-07 08:54:00:994,63899,1.5,63961,1.2,127968,2.0,171468,3.00 57,1,2024-09-07 08:54:01:004,446801,446801,0,0,208516992242,2184909246,441479,4652,670,367,391960,0 57,2,2024-09-07 08:54:01:323,320928,320928,0,0,16418137,0,3317 57,3,2024-09-07 08:54:01:747,1,254,4,0,359,3380,254,0 58,0,2024-09-07 08:54:00:555,63956,1.1,62130,1.1,130126,1.5,170609,2.75 58,1,2024-09-07 08:54:00:575,447701,447698,0,3,209841506051,2192572951,441965,5022,711,367,391545,3 58,2,2024-09-07 08:54:01:075,322885,322885,0,0,14633474,0,2549 58,3,2024-09-07 08:54:01:084,1,254,1,0,1043,2910,254,0 59,0,2024-09-07 08:54:01:784,63619,0.8,63417,1.0,126567,0.9,168297,3.00 59,1,2024-09-07 08:54:00:803,447314,447314,0,0,209015251720,2187130080,441526,4523,1265,369,391525,0 59,2,2024-09-07 08:54:00:588,322595,322595,0,0,13379163,0,2604 59,3,2024-09-07 08:54:01:749,1,254,10,0,1015,3556,254,0 60,0,2024-09-07 08:54:01:720,62293,0.4,62038,0.6,125105,0.4,165774,1.75 60,1,2024-09-07 08:54:00:773,448904,448904,0,0,210142630750,2177934139,446457,1930,517,370,392031,0 60,2,2024-09-07 08:54:01:141,322016,322016,0,0,14363884,0,3811 60,3,2024-09-07 08:54:01:260,1,254,5,0,124,2457,254,0 61,0,2024-09-07 08:54:01:516,63613,0.6,63816,0.8,127221,0.6,170204,2.00 61,1,2024-09-07 08:54:00:779,447306,447306,0,0,209001941394,2185953144,442733,3870,703,382,391880,0 61,2,2024-09-07 08:54:01:123,318916,318916,0,0,12640284,0,2780 61,3,2024-09-07 08:54:01:688,1,254,5,0,397,3461,254,0 62,0,2024-09-07 08:54:01:709,66364,0.6,67633,0.7,129030,0.6,175715,2.00 62,1,2024-09-07 08:54:01:124,449825,449819,0,6,210111044381,2166410201,447354,2347,118,365,391715,6 62,2,2024-09-07 08:54:01:653,322033,322032,1,0,14056016,0,5555 62,3,2024-09-07 08:54:01:148,1,254,1,0,287,1796,254,0 63,0,2024-09-07 08:54:01:482,62309,0.5,62433,0.6,125052,0.5,166306,1.75 63,1,2024-09-07 08:54:00:805,449036,449030,0,6,209412522243,2168033063,447455,1525,50,381,391677,6 63,2,2024-09-07 08:54:00:767,323302,323302,0,0,11761341,0,2674 63,3,2024-09-07 08:54:01:737,1,254,1,0,667,2876,254,0 64,0,2024-09-07 08:54:01:542,61467,0.4,61490,0.6,123195,0.4,163855,1.75 64,1,2024-09-07 08:54:00:758,447779,447779,0,0,209272346934,2182171562,443290,3075,1414,370,391783,0 64,2,2024-09-07 08:54:01:141,324370,324351,19,0,12650766,0,6121 64,3,2024-09-07 08:54:01:140,1,254,1,0,265,2552,254,0 65,0,2024-09-07 08:54:01:676,61689,0.6,62103,0.7,123841,0.6,165096,2.00 65,1,2024-09-07 08:54:00:871,447067,447067,0,0,208630974069,2181811099,443513,3109,445,382,391901,0 65,2,2024-09-07 08:54:01:696,317739,317739,0,0,13299284,0,3367 65,3,2024-09-07 08:54:01:688,1,254,2,0,163,2424,254,0 66,0,2024-09-07 08:54:01:769,65781,0.5,65557,0.7,131792,0.4,175450,2.00 66,1,2024-09-07 08:54:01:303,448712,448712,0,0,209714136159,2178240079,446138,2373,201,380,391653,0 66,2,2024-09-07 08:54:01:139,325092,325092,0,0,13064752,0,4956 66,3,2024-09-07 08:54:01:082,1,254,10,0,291,2642,254,0 67,0,2024-09-07 08:54:01:425,62116,0.6,61603,0.8,123997,0.7,164582,2.00 67,1,2024-09-07 08:54:00:766,447486,447485,0,1,209439313095,2183773275,443640,3098,747,380,391787,1 67,2,2024-09-07 08:54:00:586,324458,324458,0,0,12119545,0,2889 67,3,2024-09-07 08:54:01:750,1,254,1,0,338,2336,254,0 68,0,2024-09-07 08:54:00:626,63083,0.6,62887,0.8,125272,0.6,167374,2.00 68,1,2024-09-07 08:54:00:590,446817,446817,0,0,208502130349,2185327853,442638,3009,1170,381,391953,0 68,2,2024-09-07 08:54:01:044,320198,320133,65,0,15895210,0,6698 68,3,2024-09-07 08:54:00:728,1,254,7,0,417,2846,254,0 69,0,2024-09-07 08:54:01:736,62926,0.7,63254,0.8,126209,0.7,167416,2.25 69,1,2024-09-07 08:54:01:019,445642,445642,0,0,208168704028,2196015614,439941,4299,1402,384,391994,0 69,2,2024-09-07 08:54:01:738,318153,318153,0,0,15660383,0,3722 69,3,2024-09-07 08:54:00:765,1,254,1,0,698,3626,254,0 70,0,2024-09-07 08:54:01:532,64889,0.8,64848,1.0,130286,0.6,173414,2.25 70,1,2024-09-07 08:54:00:825,448250,448250,0,0,210401466850,2184204767,444584,3138,528,366,391725,0 70,2,2024-09-07 08:54:01:325,321898,321898,0,0,14352773,0,4044 70,3,2024-09-07 08:54:00:748,1,254,1,0,854,3016,254,0 71,0,2024-09-07 08:54:01:369,63300,1.2,63184,1.1,126776,1.6,169447,3.00 71,1,2024-09-07 08:54:01:596,447223,447223,0,0,209038430872,2180702454,442068,4534,621,368,391738,0 71,2,2024-09-07 08:54:01:081,322703,322703,0,0,14727275,0,4042 71,3,2024-09-07 08:54:01:750,1,254,27,0,644,3692,254,0 72,0,2024-09-07 08:54:01:067,66391,0.8,64936,0.8,126589,0.8,172238,2.25 72,1,2024-09-07 08:54:01:052,447175,447175,0,0,209544086855,2187431358,442115,4129,931,369,391819,0 72,2,2024-09-07 08:54:01:755,320145,320145,0,0,16389805,0,3983 72,3,2024-09-07 08:54:01:758,1,254,32,0,564,4520,254,0 73,0,2024-09-07 08:54:01:116,61381,0.4,62804,0.6,128918,0.3,167499,2.00 73,1,2024-09-07 08:54:00:775,447727,447727,0,0,209400520589,2176015719,444636,2856,235,367,391750,0 73,2,2024-09-07 08:54:01:746,321169,321169,0,0,15713132,0,3701 73,3,2024-09-07 08:54:00:968,1,254,5,0,274,3740,254,0 74,0,2024-09-07 08:54:01:339,65312,0.4,66624,0.6,127157,0.4,172647,2.25 74,1,2024-09-07 08:54:00:636,447114,447114,0,0,208642341628,2178458168,442714,3601,799,381,391681,0 74,2,2024-09-07 08:54:01:002,318990,318990,0,0,15018827,0,4253 74,3,2024-09-07 08:54:01:451,1,254,6,0,522,3401,254,0 75,0,2024-09-07 08:54:01:771,65447,0.6,65100,0.8,130561,0.5,175278,2.25 75,1,2024-09-07 08:54:01:586,446546,446546,0,0,208551384596,2180542727,441617,4265,664,380,391660,0 75,2,2024-09-07 08:54:01:363,321703,321703,0,0,15510790,0,4766 75,3,2024-09-07 08:54:01:071,1,254,10,0,702,3693,254,0 76,0,2024-09-07 08:54:00:599,62899,0.7,62301,0.9,124878,0.7,167576,2.50 76,1,2024-09-07 08:54:00:810,447386,447386,0,0,208966059299,2179245799,444281,2555,550,382,391692,0 76,2,2024-09-07 08:54:01:061,323910,323910,0,0,12650656,0,3784 76,3,2024-09-07 08:54:01:144,1,254,0,0,175,2641,254,0 77,0,2024-09-07 08:54:01:695,62166,0.5,62491,0.7,124651,0.4,165411,1.75 77,1,2024-09-07 08:54:00:825,447923,447923,0,0,209186222073,2183249781,444472,3194,257,381,391808,0 77,2,2024-09-07 08:54:01:285,321727,321727,0,0,13330639,0,3890 77,3,2024-09-07 08:54:01:101,1,254,1,0,382,2846,254,0 78,0,2024-09-07 08:54:01:721,62892,0.4,62343,0.6,125534,0.3,166268,2.00 78,1,2024-09-07 08:54:00:612,448333,448333,0,0,208811905122,2166414950,445336,2678,319,367,391670,0 78,2,2024-09-07 08:54:01:411,317936,317936,0,0,11818273,0,3855 78,3,2024-09-07 08:54:01:138,1,254,0,0,181,2439,254,0 79,0,2024-09-07 08:54:01:358,63894,0.4,65575,0.6,133931,0.4,174509,2.25 79,1,2024-09-07 08:54:00:608,449326,449326,0,0,209815187171,2170040180,446481,2509,336,369,391682,0 79,2,2024-09-07 08:54:01:079,324067,324067,0,0,12000680,0,3212 79,3,2024-09-07 08:54:00:750,1,254,8,0,418,3725,254,0 80,0,2024-09-07 08:54:01:095,61581,0.6,63432,0.7,121407,0.7,163972,2.00 80,1,2024-09-07 08:54:01:639,447550,447550,0,0,209062015805,2175373187,444581,2800,169,368,391791,0 80,2,2024-09-07 08:54:01:102,324148,324148,0,0,12428613,0,4433 80,3,2024-09-07 08:54:00:577,1,254,2,0,190,3423,254,0 81,0,2024-09-07 08:54:01:553,62171,0.5,63775,0.6,122009,0.4,164787,1.75 81,1,2024-09-07 08:54:01:662,446601,446601,0,0,208306859161,2176765492,442759,3379,463,382,391879,0 81,2,2024-09-07 08:54:01:126,321590,321590,0,0,12771011,0,3993 81,3,2024-09-07 08:54:01:123,1,254,5,0,374,3014,254,0 82,0,2024-09-07 08:54:01:548,62146,0.4,62688,0.6,125428,0.3,166290,1.75 82,1,2024-09-07 08:54:00:587,447887,447883,0,4,209477312822,2181084323,444661,2611,611,381,391558,4 82,2,2024-09-07 08:54:01:700,318701,318701,0,0,12016884,0,3986 82,3,2024-09-07 08:54:01:752,1,254,7,0,363,2817,254,0 83,0,2024-09-07 08:54:01:527,65323,0.5,65335,0.7,129819,0.5,173648,2.00 83,1,2024-09-07 08:54:00:551,447073,447073,0,0,209020797671,2178777163,443898,2931,244,382,391690,0 83,2,2024-09-07 08:54:00:771,322666,322666,0,0,12098474,0,3119 83,3,2024-09-07 08:54:00:760,1,254,6,0,250,2670,254,0 84,0,2024-09-07 08:54:01:790,62751,0.8,62440,0.9,125107,0.8,167411,2.25 84,1,2024-09-07 08:54:01:042,446479,446479,0,0,208800701080,2182734705,441734,4169,576,368,391967,0 84,2,2024-09-07 08:54:00:571,322988,322988,0,0,14172794,0,3801 84,3,2024-09-07 08:54:01:146,1,254,2,0,908,3953,254,0 85,0,2024-09-07 08:54:01:061,61530,0.7,61469,0.9,130290,0.7,168113,2.50 85,1,2024-09-07 08:54:00:561,446204,446204,0,0,208192108614,2199327298,439362,5735,1107,382,392006,0 85,2,2024-09-07 08:54:00:885,322231,322231,0,0,14943123,0,3656 85,3,2024-09-07 08:54:00:702,1,254,4,0,789,3503,254,0 86,0,2024-09-07 08:54:00:877,63322,0.6,65128,0.7,124561,0.5,168175,2.00 86,1,2024-09-07 08:54:00:824,447607,447607,0,0,209003494542,2185136694,442622,4259,726,366,391961,0 86,2,2024-09-07 08:54:00:854,318835,318834,1,0,15747932,0,5004 86,3,2024-09-07 08:54:00:589,1,254,7,0,286,3378,254,0 87,0,2024-09-07 08:54:01:326,64449,0.9,64279,0.9,129108,1.1,173050,2.25 87,1,2024-09-07 08:54:00:554,447367,447367,0,0,208276920585,2177935342,442891,3992,484,366,391788,0 87,2,2024-09-07 08:54:01:066,319672,319672,0,0,14057087,0,4045 87,3,2024-09-07 08:54:01:800,1,254,1,0,473,3792,254,0 88,0,2024-09-07 08:54:01:505,65184,0.5,65496,0.6,130733,0.5,173805,2.00 88,1,2024-09-07 08:54:00:593,445861,445861,0,0,209068849861,2193812619,439676,4671,1514,365,392084,0 88,2,2024-09-07 08:54:00:700,322464,322464,0,0,16142946,0,3583 88,3,2024-09-07 08:54:01:280,1,254,19,0,435,3098,254,0 89,0,2024-09-07 08:54:01:836,65851,0.5,63641,0.7,126351,0.5,171615,2.00 89,1,2024-09-07 08:54:00:555,445163,445163,0,0,208547381324,2199710722,438242,5699,1222,382,391866,0 89,2,2024-09-07 08:54:01:140,320792,320792,0,0,15376367,0,2910 89,3,2024-09-07 08:54:01:792,1,254,4,0,325,4854,254,0 90,0,2024-09-07 08:54:01:642,60507,0.4,61852,0.6,126720,0.4,164969,1.75 90,1,2024-09-07 08:54:00:593,447353,447353,0,0,208340512221,2183197558,443218,3857,278,381,391825,0 90,2,2024-09-07 08:54:01:406,320269,320269,0,0,16637923,0,3060 90,3,2024-09-07 08:54:00:942,1,254,1,0,200,2730,254,0 91,0,2024-09-07 08:54:00:930,63845,0.4,61847,0.6,129192,0.4,170194,1.75 91,1,2024-09-07 08:54:00:558,446185,446185,0,0,208692180680,2194494823,440298,5193,694,381,392047,0 91,2,2024-09-07 08:54:01:334,317772,317772,0,0,14771361,0,2896 91,3,2024-09-07 08:54:00:601,1,254,3,0,216,2395,254,0 92,0,2024-09-07 08:54:01:620,66490,0.5,68049,0.6,130047,0.5,176002,1.75 92,1,2024-09-07 08:54:00:590,447198,447198,0,0,208940266864,2177789971,444635,2157,406,382,392136,0 92,2,2024-09-07 08:54:01:438,324665,324665,0,0,12437008,0,2801 92,3,2024-09-07 08:54:01:012,1,254,1,0,167,2040,254,0 93,0,2024-09-07 08:54:00:973,62586,0.4,64259,0.6,122748,0.4,166792,1.75 93,1,2024-09-07 08:54:00:815,447478,447478,0,0,208880502337,2179325477,442998,3694,786,366,391692,0 93,2,2024-09-07 08:54:00:929,323572,323572,0,0,13286386,0,2797 93,3,2024-09-07 08:54:01:406,1,254,95,0,190,2606,254,0 94,0,2024-09-07 08:54:01:612,61627,0.4,62428,0.5,124355,0.3,164611,1.75 94,1,2024-09-07 08:54:00:563,447535,447535,0,0,209576399930,2188145644,444241,3115,179,381,391850,0 94,2,2024-09-07 08:54:00:760,321433,321433,0,0,12271471,0,2443 94,3,2024-09-07 08:54:01:696,1,254,9,0,264,3310,254,0 95,0,2024-09-07 08:54:01:365,62379,0.3,61993,0.5,125152,0.3,165878,1.75 95,1,2024-09-07 08:54:00:851,448213,448213,0,0,209880609581,2180843677,444643,3194,376,367,391713,0 95,2,2024-09-07 08:54:01:019,317023,317023,0,0,12525582,0,3308 95,3,2024-09-07 08:54:01:711,1,254,0,0,718,4522,254,0 96,0,2024-09-07 08:54:01:059,66092,0.4,66314,0.5,132454,0.4,175669,1.75 96,1,2024-09-07 08:54:01:585,446976,446976,0,0,209381283283,2185625708,443396,2847,733,384,391894,0 96,2,2024-09-07 08:54:01:274,323261,323261,0,0,13238446,0,4042 96,3,2024-09-07 08:54:01:148,1,254,1,0,411,2933,254,0 97,0,2024-09-07 08:54:01:341,61943,0.4,61728,0.5,124067,0.3,164467,1.75 97,1,2024-09-07 08:54:00:764,448549,448549,0,0,210485144841,2182205248,445511,2482,556,367,392140,0 97,2,2024-09-07 08:54:00:607,323799,323799,0,0,12485213,0,3036 97,3,2024-09-07 08:54:00:570,1,254,2,0,214,2946,254,0 98,0,2024-09-07 08:54:01:694,62895,0.3,62956,0.4,126487,0.2,167460,1.50 98,1,2024-09-07 08:54:00:571,448024,448024,0,0,208775264181,2172462405,445876,2048,100,382,391997,0 98,2,2024-09-07 08:54:00:771,321878,321878,0,0,12537136,0,3080 98,3,2024-09-07 08:54:00:698,1,254,1,0,840,4575,254,0 99,0,2024-09-07 08:54:01:463,63291,0.3,63517,0.4,126719,0.2,168595,1.50 99,1,2024-09-07 08:54:01:722,447841,447841,0,0,209056419765,2178898306,444053,3048,740,381,391744,0 99,2,2024-09-07 08:54:01:417,321116,321116,0,0,13245825,0,3106 99,3,2024-09-07 08:54:00:581,1,254,1,0,168,1938,254,0 100,0,2024-09-07 08:54:01:563,65140,0.7,65084,0.9,130098,0.8,173944,2.25 100,1,2024-09-07 08:54:00:562,444954,444954,0,0,207835858353,2197373238,438107,5529,1318,381,391989,0 100,2,2024-09-07 08:54:01:819,320357,320346,11,0,14897211,0,5417 100,3,2024-09-07 08:54:01:743,1,254,1,0,559,4919,254,0 101,0,2024-09-07 08:54:01:734,65149,1.7,63402,1.2,124635,2.0,171024,2.50 101,1,2024-09-07 08:54:00:551,445105,445105,0,0,207818173686,2190679207,437750,5584,1771,368,391769,0 101,2,2024-09-07 08:54:01:759,321818,321818,0,0,16136881,0,4644 101,3,2024-09-07 08:54:00:960,1,254,7,0,448,3252,254,0 102,0,2024-09-07 08:54:00:952,62654,0.7,64967,0.8,130718,0.7,171449,2.00 102,1,2024-09-07 08:54:01:143,446521,446521,0,0,208583424407,2191086813,440866,4814,841,369,391883,0 102,2,2024-09-07 08:54:01:747,321903,321849,54,0,14748918,0,6768 102,3,2024-09-07 08:54:01:619,1,254,1,0,410,2738,254,0 103,0,2024-09-07 08:54:01:625,64867,0.4,64869,0.6,122433,0.4,168735,1.75 103,1,2024-09-07 08:54:01:632,445649,445649,0,0,208525447751,2197087343,439354,4914,1381,381,391829,0 103,2,2024-09-07 08:54:00:583,320301,320301,0,0,13903117,0,2582 103,3,2024-09-07 08:54:00:757,1,254,3,0,916,3581,254,0 104,0,2024-09-07 08:54:01:023,64166,0.7,64620,1.0,127966,0.7,172759,2.25 104,1,2024-09-07 08:54:01:614,447057,447057,0,0,208695340391,2195085760,440379,5583,1095,365,391948,0 104,2,2024-09-07 08:54:01:677,318145,318145,0,0,15684912,0,3941 104,3,2024-09-07 08:54:01:420,1,254,5,0,1245,6462,254,0 105,0,2024-09-07 08:54:01:046,64642,1.2,62742,1.2,131200,1.8,173703,3.50 105,1,2024-09-07 08:54:00:607,447074,447074,0,0,208993473065,2193959961,440760,5335,979,366,391797,0 105,2,2024-09-07 08:54:01:323,321991,321991,0,0,15168278,0,3509 105,3,2024-09-07 08:54:01:304,1,254,2,0,399,4274,254,0 106,0,2024-09-07 08:54:00:982,60872,1.0,62324,1.1,127738,1.1,167237,2.75 106,1,2024-09-07 08:54:01:750,446884,446884,0,0,208912339027,2195984784,439776,6349,759,369,391767,0 106,2,2024-09-07 08:54:00:757,320775,320775,0,0,14680408,0,2795 106,3,2024-09-07 08:54:00:692,1,254,1,0,470,3623,254,0 107,0,2024-09-07 08:54:01:131,62054,0.8,62038,0.9,124043,0.8,165148,2.00 107,1,2024-09-07 08:54:00:585,445485,445485,0,0,208154447893,2190243371,440162,4775,548,381,392234,0 107,2,2024-09-07 08:54:01:302,321157,321156,1,0,15408511,0,5024 107,3,2024-09-07 08:54:01:761,1,254,5,0,353,3442,254,0 108,0,2024-09-07 08:54:01:884,62705,0.4,62990,0.6,124944,0.3,166998,1.75 108,1,2024-09-07 08:54:01:324,447315,447315,0,0,209313543055,2179316454,444109,2822,384,368,391857,0 108,2,2024-09-07 08:54:01:767,316666,316666,0,0,13510530,0,2647 108,3,2024-09-07 08:54:01:330,1,254,2,0,749,4856,254,0 109,0,2024-09-07 08:54:01:840,66408,0.4,65697,0.6,131823,0.3,176637,1.75 109,1,2024-09-07 08:54:00:582,445690,445690,0,0,208282295849,2183144044,441895,3279,516,383,392132,0 109,2,2024-09-07 08:54:00:994,322071,322071,0,0,14005662,0,3617 109,3,2024-09-07 08:54:01:146,1,254,10,0,249,3003,254,0 110,0,2024-09-07 08:54:01:831,61783,0.4,60189,0.6,125832,0.4,164763,1.75 110,1,2024-09-07 08:54:01:654,448536,448536,0,0,210012947836,2179765386,445391,2268,877,369,392045,0 110,2,2024-09-07 08:54:01:306,324167,324167,0,0,13348470,0,3264 110,3,2024-09-07 08:54:00:693,1,254,3,0,406,3416,254,0 111,0,2024-09-07 08:54:01:420,62658,0.4,62216,0.5,124204,0.3,166139,1.75 111,1,2024-09-07 08:54:01:000,448723,448723,0,0,210189957735,2177825782,446731,1656,336,382,391690,0 111,2,2024-09-07 08:54:01:123,321363,321363,0,0,12743474,0,2763 111,3,2024-09-07 08:54:00:916,1,254,1,0,379,3407,254,0 112,0,2024-09-07 08:54:00:941,62703,0.3,62693,0.4,125392,0.2,166394,1.50 112,1,2024-09-07 08:54:00:832,448663,448663,0,0,209147202877,2168338038,446244,1991,428,380,391580,0 112,2,2024-09-07 08:54:01:145,317893,317892,1,0,12920401,0,5036 112,3,2024-09-07 08:54:00:592,1,254,1,0,282,2694,254,0 113,0,2024-09-07 08:54:00:870,64994,0.3,64914,0.5,130585,0.2,174603,1.50 113,1,2024-09-07 08:54:01:699,449597,449597,0,0,210361149640,2170497726,447097,2010,490,366,391661,0 113,2,2024-09-07 08:54:01:306,324022,324022,0,0,11776640,0,3813 113,3,2024-09-07 08:54:00:684,1,254,15,0,340,3622,254,0 114,0,2024-09-07 08:54:00:875,63460,0.4,64016,0.5,126867,0.3,169341,1.75 114,1,2024-09-07 08:54:00:718,447722,447722,0,0,209411969195,2181075192,442914,3219,1589,381,391556,0 114,2,2024-09-07 08:54:00:873,323156,323155,1,0,12875409,0,5069 114,3,2024-09-07 08:54:01:279,1,254,1,0,395,2685,254,0 115,0,2024-09-07 08:54:00:555,63777,0.3,64078,0.4,128128,0.2,170204,1.50 115,1,2024-09-07 08:54:00:572,447556,447556,0,0,209159369209,2175508893,443194,3527,835,382,391602,0 115,2,2024-09-07 08:54:01:128,322300,322300,0,0,11210385,0,2152 115,3,2024-09-07 08:54:01:003,1,254,1,0,159,1376,254,0 116,0,2024-09-07 08:54:01:725,63138,0.6,63059,0.8,126220,0.6,168791,2.00 116,1,2024-09-07 08:54:00:813,445024,445024,0,0,207803269276,2198143537,438623,4346,2055,380,391782,0 116,2,2024-09-07 08:54:01:760,319240,319240,0,0,16112480,0,3529 116,3,2024-09-07 08:54:00:928,1,254,1,0,415,3638,254,0 117,0,2024-09-07 08:54:00:984,64614,0.7,64371,0.9,129119,0.7,173077,2.00 117,1,2024-09-07 08:54:01:889,446682,446682,0,0,207982473518,2176643421,441977,4225,480,370,392033,0 117,2,2024-09-07 08:54:01:127,322447,322447,0,0,13284041,0,3700 117,3,2024-09-07 08:54:01:059,1,254,1,0,490,4026,254,0 118,0,2024-09-07 08:54:01:802,63308,0.6,65040,0.7,132739,0.6,173088,2.00 118,1,2024-09-07 08:54:00:588,446457,446457,0,0,208249190705,2189309348,440084,4939,1434,366,391736,0 118,2,2024-09-07 08:54:01:587,321872,321872,0,0,14508532,0,2781 118,3,2024-09-07 08:54:01:767,1,254,3,0,235,2895,254,0 119,0,2024-09-07 08:54:01:341,63530,0.9,63966,0.9,128144,1.1,170507,2.25 119,1,2024-09-07 08:54:00:561,447617,447617,0,0,208852141129,2181566160,442960,4135,522,367,391641,0 119,2,2024-09-07 08:54:01:262,321829,321829,0,0,13720647,0,4174 119,3,2024-09-07 08:54:01:328,1,254,1,0,443,3486,254,0 120,0,2024-09-07 08:54:01:595,62009,0.5,61746,0.8,123874,0.5,165458,2.00 120,1,2024-09-07 08:54:00:859,447063,447063,0,0,208633394545,2188295040,442371,4341,351,368,391961,0 120,2,2024-09-07 08:54:00:771,321050,321049,1,0,16397501,0,5281 120,3,2024-09-07 08:54:01:295,1,254,3,0,241,3259,254,0 121,0,2024-09-07 08:54:01:807,63550,0.9,63665,0.9,127492,1.1,170191,2.00 121,1,2024-09-07 08:54:01:680,447161,447161,0,0,209001923272,2187617126,442547,4199,415,367,391840,0 121,2,2024-09-07 08:54:01:143,316954,316954,0,0,15561453,0,4127 121,3,2024-09-07 08:54:00:727,1,254,2,0,269,2913,254,0 122,0,2024-09-07 08:54:01:766,65591,0.9,63950,0.9,134120,1.0,176000,2.00 122,1,2024-09-07 08:54:00:866,446077,446077,0,0,208888252460,2192401591,440009,5251,817,366,392130,0 122,2,2024-09-07 08:54:01:330,321708,321635,73,0,18216532,0,5989 122,3,2024-09-07 08:54:00:600,1,254,28,0,411,4998,254,0 123,0,2024-09-07 08:54:00:996,62049,0.9,60691,0.9,126573,1.1,166115,2.25 123,1,2024-09-07 08:54:00:561,446695,446695,0,0,209054347025,2205610475,438021,6958,1716,369,392039,0 123,2,2024-09-07 08:54:01:021,320473,320472,1,0,15113459,0,5215 123,3,2024-09-07 08:54:01:162,1,254,2,0,168,3095,254,0 124,0,2024-09-07 08:54:00:960,63912,0.3,63886,0.5,120558,0.3,165308,1.75 124,1,2024-09-07 08:54:01:023,448567,448567,0,0,208820821301,2168937347,445722,2482,363,367,392178,0 124,2,2024-09-07 08:54:01:012,322000,322000,0,0,12073760,0,3101 124,3,2024-09-07 08:54:00:763,1,254,5,0,490,2826,254,0 125,0,2024-09-07 08:54:01:428,62380,0.3,62220,0.5,125008,0.3,166074,1.75 125,1,2024-09-07 08:54:00:858,447126,447126,0,0,209252183130,2181791791,444030,2702,394,383,391702,0 125,2,2024-09-07 08:54:01:123,317857,317857,0,0,13067769,0,4534 125,3,2024-09-07 08:54:01:127,1,254,2,0,709,3570,254,0 126,0,2024-09-07 08:54:01:479,66168,0.5,67950,0.6,129827,0.5,176646,1.75 126,1,2024-09-07 08:54:00:559,448639,448639,0,0,209516637860,2170649147,445923,2453,263,365,391987,0 126,2,2024-09-07 08:54:00:614,324482,324482,0,0,13423042,0,3186 126,3,2024-09-07 08:54:00:907,1,254,11,0,183,3311,254,0 127,0,2024-09-07 08:54:01:650,61846,0.4,62162,0.5,123744,0.4,164676,1.75 127,1,2024-09-07 08:54:00:570,448286,448286,0,0,209679364886,2172067555,445341,2792,153,365,391816,0 127,2,2024-09-07 08:54:00:641,323759,323759,0,0,12084244,0,2264 127,3,2024-09-07 08:54:01:273,1,254,1,0,968,2995,254,0 128,0,2024-09-07 08:54:01:576,63378,0.3,63307,0.4,126451,0.2,167847,1.50 128,1,2024-09-07 08:54:01:614,447531,447531,0,0,209540712408,2172271954,445357,2017,157,367,391798,0 128,2,2024-09-07 08:54:01:384,322047,322047,0,0,11967345,0,2434 128,3,2024-09-07 08:54:00:768,1,254,3,0,1082,5377,254,0 129,0,2024-09-07 08:54:01:036,63856,0.3,63548,0.4,127072,0.2,168335,1.50 129,1,2024-09-07 08:54:00:611,445749,445749,0,0,208373093143,2183424945,441590,3229,930,379,391835,0 129,2,2024-09-07 08:54:00:695,319547,319547,0,0,12895814,0,4031 129,3,2024-09-07 08:54:00:709,1,254,14,0,469,3340,254,0 130,0,2024-09-07 08:54:01:728,65679,0.5,65283,0.6,131053,0.5,174852,1.75 130,1,2024-09-07 08:54:00:585,448419,448419,0,0,209100563762,2174705631,445979,2362,78,381,391825,0 130,2,2024-09-07 08:54:01:127,323141,323141,0,0,12576172,0,4067 130,3,2024-09-07 08:54:01:297,1,254,1,0,450,3373,254,0 131,0,2024-09-07 08:54:01:946,63823,0.4,64155,0.5,129237,0.4,170751,1.75 131,1,2024-09-07 08:54:01:824,448225,448225,0,0,209178089066,2179973309,445173,2594,458,381,391865,0 131,2,2024-09-07 08:54:00:567,324314,324314,0,0,11510163,0,2415 131,3,2024-09-07 08:54:01:692,1,254,7,0,392,2563,254,0 132,0,2024-09-07 08:54:01:438,64002,0.5,64870,0.7,129315,0.5,171838,2.00 132,1,2024-09-07 08:54:00:591,445768,445768,0,0,208371788664,2197182665,438754,5760,1254,381,392097,0 132,2,2024-09-07 08:54:00:699,321390,321390,0,0,15436043,0,4606 132,3,2024-09-07 08:54:01:698,1,254,2,0,356,3566,254,0 133,0,2024-09-07 08:54:01:537,61470,0.4,62970,0.5,128632,0.3,167462,1.75 133,1,2024-09-07 08:54:00:601,446131,446131,0,0,208274908359,2191756905,440648,4815,668,383,391914,0 133,2,2024-09-07 08:54:01:107,320092,320092,0,0,16550128,0,4315 133,3,2024-09-07 08:54:01:305,1,254,3,0,276,2541,254,0 134,0,2024-09-07 08:54:01:036,64815,0.4,64694,0.6,129728,0.4,172783,2.00 134,1,2024-09-07 08:54:00:587,445704,445704,0,0,208517711078,2189868920,439249,4985,1470,366,391718,0 134,2,2024-09-07 08:54:01:755,319024,319024,0,0,13799859,0,3847 134,3,2024-09-07 08:54:00:757,1,254,0,0,739,3787,254,0 135,0,2024-09-07 08:54:01:127,63165,0.9,63148,0.9,133854,1.0,173563,2.25 135,1,2024-09-07 08:54:01:607,445619,445619,0,0,209036646394,2199225113,439423,5199,997,380,391805,0 135,2,2024-09-07 08:54:00:687,322873,322873,0,0,15336401,0,3981 135,3,2024-09-07 08:54:01:008,1,254,0,0,299,1854,254,0 136,0,2024-09-07 08:54:01:648,63550,0.6,63427,0.8,126633,0.6,169117,2.25 136,1,2024-09-07 08:54:01:448,445989,445989,0,0,208252993788,2189006624,440451,4953,585,382,391685,0 136,2,2024-09-07 08:54:01:132,322558,322558,0,0,14809005,0,3506 136,3,2024-09-07 08:54:01:111,1,254,1,0,150,2138,254,0 137,0,2024-09-07 08:54:00:929,63989,0.6,62142,0.7,122716,0.6,166316,2.00 137,1,2024-09-07 08:54:00:581,446300,446300,0,0,208269280924,2186308303,439352,5531,1417,366,391708,0 137,2,2024-09-07 08:54:01:707,320894,320894,0,0,16373726,0,3185 137,3,2024-09-07 08:54:00:774,1,254,1,0,382,3281,254,0 138,0,2024-09-07 08:54:01:748,62203,0.8,62209,0.9,125066,0.9,166559,2.00 138,1,2024-09-07 08:54:01:690,445998,445998,0,0,208686111843,2192117789,439030,5837,1131,368,391954,0 138,2,2024-09-07 08:54:00:623,317576,317576,0,0,14993284,0,4988 138,3,2024-09-07 08:54:00:612,1,254,1,0,1160,3863,254,0 139,0,2024-09-07 08:54:01:375,65031,1.8,65295,1.2,130750,2.8,175002,2.50 139,1,2024-09-07 08:54:00:583,444486,444486,0,0,207564138345,2205702855,435958,6413,2115,381,391892,0 139,2,2024-09-07 08:54:00:694,321125,321125,0,0,15912647,0,3097 139,3,2024-09-07 08:54:01:663,1,254,1,0,244,2561,254,0 140,0,2024-09-07 08:54:01:590,62212,0.3,61602,0.5,123909,0.3,164940,1.75 140,1,2024-09-07 08:54:01:547,449620,449620,0,0,210557752844,2169106511,447640,1738,242,365,391606,0 140,2,2024-09-07 08:54:00:687,324224,324224,0,0,12412872,0,3388 140,3,2024-09-07 08:54:00:767,1,254,1,0,247,2154,254,0 141,0,2024-09-07 08:54:01:708,62355,0.3,64079,0.4,122494,0.2,166113,1.50 141,1,2024-09-07 08:54:00:859,448611,448611,0,0,209669337589,2174320548,446041,2162,408,379,391614,0 141,2,2024-09-07 08:54:01:697,321909,321909,0,0,11943684,0,2342 141,3,2024-09-07 08:54:01:046,1,254,1,0,202,2341,254,0 142,0,2024-09-07 08:54:01:331,63367,0.3,62711,0.4,124759,0.2,166707,1.50 142,1,2024-09-07 08:54:00:590,447866,447866,0,0,208807163523,2176418207,445068,2533,265,382,392102,0 142,2,2024-09-07 08:54:01:303,317814,317782,32,0,13684384,0,6028 142,3,2024-09-07 08:54:01:752,1,254,3,0,484,3174,254,0 143,0,2024-09-07 08:54:01:405,65298,0.5,65227,0.6,130930,0.5,174498,1.75 143,1,2024-09-07 08:54:00:564,448018,448018,0,0,209222508671,2168797431,445303,2619,96,367,391651,0 143,2,2024-09-07 08:54:00:781,322555,322555,0,0,12950395,0,2669 143,3,2024-09-07 08:54:01:159,1,254,5,0,303,3318,254,0 144,0,2024-09-07 08:54:01:539,61056,0.7,62662,0.9,127842,0.6,167513,2.00 144,1,2024-09-07 08:54:00:565,445981,445981,0,0,208616620927,2188661093,441967,3089,925,381,391649,0 144,2,2024-09-07 08:54:01:757,324029,324029,0,0,12309445,0,3473 144,3,2024-09-07 08:54:01:745,1,254,1,0,249,2968,254,0 145,0,2024-09-07 08:54:01:392,61474,0.6,61433,0.8,130364,0.5,168158,2.25 145,1,2024-09-07 08:54:00:555,445274,445274,0,0,208830775200,2195159062,439777,4674,823,382,391698,0 145,2,2024-09-07 08:54:01:430,320375,320375,0,0,14612583,0,3903 145,3,2024-09-07 08:54:00:895,1,254,1,0,622,4113,254,0 146,0,2024-09-07 08:54:01:640,63257,0.5,62760,0.7,126475,0.4,167981,2.00 146,1,2024-09-07 08:54:01:586,446155,446155,0,0,208394438949,2196753746,437872,6436,1847,368,391770,0 146,2,2024-09-07 08:54:01:713,319165,319165,0,0,14769447,0,2730 146,3,2024-09-07 08:54:01:280,1,254,4,0,1520,5927,254,0 147,0,2024-09-07 08:54:01:734,64589,0.6,64490,0.7,128162,0.5,172831,2.00 147,1,2024-09-07 08:54:01:403,448326,448326,0,0,210060180215,2184402781,444225,3516,585,368,391791,0 147,2,2024-09-07 08:54:01:020,322101,322101,0,0,12421215,0,2789 147,3,2024-09-07 08:54:00:916,1,254,1,0,730,3917,254,0 0,0,2024-09-07 08:54:11:751,60601,0.5,60636,0.7,128574,0.4,166370,1.75 0,1,2024-09-07 08:54:10:801,449127,449127,0,0,209870897096,2193949873,445913,3010,204,370,391772,0 0,2,2024-09-07 08:54:11:074,322679,322679,0,0,13052474,0,4480 0,3,2024-09-07 08:54:10:976,1,255,43,0,319,3436,255,0 1,0,2024-09-07 08:54:11:760,64233,0.8,63812,0.9,128294,0.9,171552,2.00 1,1,2024-09-07 08:54:10:563,448874,448874,0,0,209232751848,2191296007,444378,3443,1053,371,391857,0 1,2,2024-09-07 08:54:10:645,319051,319051,0,0,12021691,0,3267 1,3,2024-09-07 08:54:11:307,1,255,0,0,262,2975,255,0 2,0,2024-09-07 08:54:11:568,66158,0.7,66448,0.8,131697,0.8,176352,2.00 2,1,2024-09-07 08:54:10:859,449148,449148,0,0,210681872951,2187080030,447041,1889,218,380,391745,0 2,2,2024-09-07 08:54:11:269,324546,324546,0,0,12584953,0,3594 2,3,2024-09-07 08:54:10:691,1,255,1,0,357,2281,255,0 3,0,2024-09-07 08:54:11:745,62585,0.5,62674,0.6,124859,0.4,166687,2.00 3,1,2024-09-07 08:54:11:623,448871,448871,0,0,209798744616,2184817669,445031,3378,462,380,391556,0 3,2,2024-09-07 08:54:11:144,324006,323983,23,0,12846626,0,5851 3,3,2024-09-07 08:54:11:752,1,255,1,0,103,1527,255,0 4,0,2024-09-07 08:54:11:764,60175,0.4,61918,0.5,126062,0.3,164971,1.75 4,1,2024-09-07 08:54:10:607,448629,448629,0,0,209410677669,2198911101,443885,3953,791,371,391846,0 4,2,2024-09-07 08:54:11:021,321824,321824,0,0,15222492,0,4528 4,3,2024-09-07 08:54:11:032,1,255,19,0,448,3914,255,0 5,0,2024-09-07 08:54:11:374,62504,0.4,62849,0.5,124739,0.3,166618,1.75 5,1,2024-09-07 08:54:10:755,448539,448539,0,0,209266551916,2199873048,443043,4384,1112,368,392005,0 5,2,2024-09-07 08:54:11:834,317266,317266,0,0,13786974,0,2432 5,3,2024-09-07 08:54:11:732,1,255,1,0,457,3829,255,0 6,0,2024-09-07 08:54:10:920,66953,0.5,66327,0.7,132520,0.5,177615,2.00 6,1,2024-09-07 08:54:10:749,448555,448555,0,0,208852354270,2182342057,443880,3831,844,379,391694,0 6,2,2024-09-07 08:54:11:126,323848,323848,0,0,13835210,0,4816 6,3,2024-09-07 08:54:11:274,1,255,1,0,340,2978,255,0 7,0,2024-09-07 08:54:11:545,61611,0.5,61824,0.7,123309,0.5,163886,2.00 7,1,2024-09-07 08:54:10:859,448478,448478,0,0,209457200219,2197040698,442577,4898,1003,382,391747,0 7,2,2024-09-07 08:54:10:785,324110,324110,0,0,13662092,0,4791 7,3,2024-09-07 08:54:10:858,1,255,0,0,398,3125,255,0 8,0,2024-09-07 08:54:11:342,63189,0.4,63177,0.5,125745,0.3,167813,1.75 8,1,2024-09-07 08:54:11:027,447069,447069,0,0,209518309996,2209990510,438774,6277,2018,366,391956,0 8,2,2024-09-07 08:54:10:797,319261,319261,0,0,16456081,0,3220 8,3,2024-09-07 08:54:10:585,1,255,1,0,357,3857,255,0 9,0,2024-09-07 08:54:11:110,63534,0.3,61573,0.5,128676,0.3,168376,1.50 9,1,2024-09-07 08:54:10:551,447722,447722,0,0,209450344507,2207564950,441232,4948,1542,370,392001,0 9,2,2024-09-07 08:54:11:083,319169,319169,0,0,14488167,0,3360 9,3,2024-09-07 08:54:11:752,1,255,1,0,496,3817,255,0 10,0,2024-09-07 08:54:11:621,65875,0.3,65223,0.5,131234,0.2,175148,1.75 10,1,2024-09-07 08:54:10:589,448323,448323,0,0,209144943041,2192941904,441962,5433,928,381,391741,0 10,2,2024-09-07 08:54:10:774,323366,323366,0,0,16179550,0,4264 10,3,2024-09-07 08:54:10:871,1,255,1,0,177,2101,255,0 11,0,2024-09-07 08:54:11:029,63572,0.5,61806,0.7,129268,0.5,170810,2.00 11,1,2024-09-07 08:54:10:571,448726,448726,0,0,209618060751,2205576487,440507,6238,1981,383,391537,0 11,2,2024-09-07 08:54:11:124,324067,324067,0,0,15105362,0,4130 11,3,2024-09-07 08:54:11:297,1,255,0,0,843,4229,255,0 12,0,2024-09-07 08:54:11:051,64982,0.3,64848,0.5,129736,0.3,172412,1.75 12,1,2024-09-07 08:54:10:946,448522,448522,0,0,209402765221,2182579991,445004,3096,422,370,391870,0 12,2,2024-09-07 08:54:11:541,323075,323075,0,0,13998334,0,3469 12,3,2024-09-07 08:54:11:064,1,255,52,0,386,4232,255,0 13,0,2024-09-07 08:54:11:346,63627,0.3,63418,0.5,126782,0.3,168950,1.50 13,1,2024-09-07 08:54:11:525,448839,448839,0,0,209116121818,2193262102,445289,3009,541,382,391717,0 13,2,2024-09-07 08:54:10:607,322800,322800,0,0,13121601,0,3287 13,3,2024-09-07 08:54:11:762,1,255,1,0,467,3939,255,0 14,0,2024-09-07 08:54:10:563,65210,0.4,65601,0.5,130118,0.3,173301,1.75 14,1,2024-09-07 08:54:11:562,451536,451536,0,0,210290332160,2175651601,448705,2642,189,364,391571,0 14,2,2024-09-07 08:54:10:768,320539,320539,0,0,13111850,0,2896 14,3,2024-09-07 08:54:11:116,1,255,2,0,1168,3467,255,0 15,0,2024-09-07 08:54:11:572,65791,0.5,65965,0.7,131599,0.5,175939,2.00 15,1,2024-09-07 08:54:11:630,449631,449631,0,0,210147244876,2183811112,447112,2341,178,381,391619,0 15,2,2024-09-07 08:54:10:998,324781,324781,0,0,11218718,0,3043 15,3,2024-09-07 08:54:11:406,1,255,5,0,1126,5347,255,0 16,0,2024-09-07 08:54:10:951,63618,0.7,63791,0.8,126948,0.8,169675,2.25 16,1,2024-09-07 08:54:10:586,449817,449817,0,0,209741027155,2190590030,446257,3261,299,370,391756,0 16,2,2024-09-07 08:54:11:439,322881,322881,0,0,13756843,0,4719 16,3,2024-09-07 08:54:11:143,1,255,1,0,317,3479,255,0 17,0,2024-09-07 08:54:11:761,64217,0.5,62667,0.7,122708,0.4,166560,1.75 17,1,2024-09-07 08:54:10:568,448447,448447,0,0,209251092092,2195782888,443604,3739,1104,368,391845,0 17,2,2024-09-07 08:54:11:667,325605,325605,0,0,12924629,0,2857 17,3,2024-09-07 08:54:10:578,1,255,1,0,268,4018,255,0 18,0,2024-09-07 08:54:10:949,62270,0.6,62467,0.8,124756,0.6,166738,2.25 18,1,2024-09-07 08:54:11:638,449991,449991,0,0,209648770612,2172082922,447481,2268,242,367,391649,0 18,2,2024-09-07 08:54:11:755,320051,320051,0,0,12513526,0,3541 18,3,2024-09-07 08:54:10:898,1,255,1,0,163,2536,255,0 19,0,2024-09-07 08:54:11:567,65861,0.6,66545,0.8,131760,0.6,175694,2.00 19,1,2024-09-07 08:54:10:573,449619,449619,0,0,210999216666,2191846829,444961,3872,786,367,391777,0 19,2,2024-09-07 08:54:11:757,326075,326075,0,0,11583844,0,3988 19,3,2024-09-07 08:54:11:146,1,255,9,0,524,1894,255,0 20,0,2024-09-07 08:54:11:353,61673,0.7,61702,0.8,123658,0.8,164791,2.25 20,1,2024-09-07 08:54:10:567,448376,448376,0,0,209925782404,2195156919,444555,3423,398,369,391886,0 20,2,2024-09-07 08:54:10:931,324867,324867,0,0,13376657,0,3721 20,3,2024-09-07 08:54:10:591,1,255,1,0,414,4626,255,0 21,0,2024-09-07 08:54:11:128,62492,0.5,62738,0.6,125100,0.4,165671,2.00 21,1,2024-09-07 08:54:11:536,447521,447521,0,0,208745009130,2197040365,441802,4462,1257,368,391962,0 21,2,2024-09-07 08:54:11:077,321812,321812,0,0,15132743,0,3747 21,3,2024-09-07 08:54:11:404,1,255,1,0,103,2639,255,0 22,0,2024-09-07 08:54:11:728,62456,0.6,62599,0.7,124845,0.5,165845,2.00 22,1,2024-09-07 08:54:11:025,448012,448012,0,0,208895464541,2199073748,440780,5590,1642,382,391667,0 22,2,2024-09-07 08:54:10:760,318340,318340,0,0,13256774,0,3134 22,3,2024-09-07 08:54:11:066,1,255,0,0,228,2343,255,0 23,0,2024-09-07 08:54:11:374,65522,0.5,65171,0.7,131119,0.5,175161,2.25 23,1,2024-09-07 08:54:11:004,448897,448897,0,0,209850148737,2202968676,441586,4760,2551,365,391690,0 23,2,2024-09-07 08:54:11:092,324474,324474,0,0,12860934,0,3010 23,3,2024-09-07 08:54:11:754,1,255,1,0,645,3291,255,0 24,0,2024-09-07 08:54:10:863,63693,0.4,63581,0.6,127478,0.4,169051,1.75 24,1,2024-09-07 08:54:10:587,448071,448071,0,0,208849571781,2191557628,442458,4132,1481,368,391987,0 24,2,2024-09-07 08:54:11:074,322893,322893,0,0,15961346,0,3607 24,3,2024-09-07 08:54:11:687,1,255,1,0,468,3797,255,0 25,0,2024-09-07 08:54:11:365,65369,0.5,63690,0.7,125210,0.4,170479,2.00 25,1,2024-09-07 08:54:10:558,448975,448975,0,0,209544509059,2193128668,444631,3790,554,371,391788,0 25,2,2024-09-07 08:54:11:611,321503,321503,0,0,16060782,0,3978 25,3,2024-09-07 08:54:11:003,1,255,27,0,255,2775,255,0 26,0,2024-09-07 08:54:11:731,63259,0.4,61894,0.6,129674,0.3,168850,1.75 26,1,2024-09-07 08:54:11:542,448352,448352,0,0,209078818228,2193888734,441362,5774,1216,381,391748,0 26,2,2024-09-07 08:54:10:864,320405,320405,0,0,15691789,0,2809 26,3,2024-09-07 08:54:11:718,1,255,1,0,796,3385,255,0 27,0,2024-09-07 08:54:11:726,65466,0.4,65559,0.6,129564,0.3,173726,2.00 27,1,2024-09-07 08:54:11:677,450403,450403,0,0,210462189070,2189661473,446950,2860,593,381,391558,0 27,2,2024-09-07 08:54:10:866,320536,320471,65,0,15832189,0,5699 27,3,2024-09-07 08:54:11:015,1,255,1,0,564,2784,255,0 28,0,2024-09-07 08:54:11:392,65410,0.5,65190,0.7,131001,0.4,174389,2.00 28,1,2024-09-07 08:54:10:810,449823,449823,0,0,210780549766,2193387075,446942,2410,471,383,391646,0 28,2,2024-09-07 08:54:11:770,324468,324468,0,0,12468457,0,2915 28,3,2024-09-07 08:54:11:781,1,255,82,0,502,2658,255,0 29,0,2024-09-07 08:54:11:355,65883,0.4,64455,0.6,126012,0.3,172360,1.75 29,1,2024-09-07 08:54:11:566,450853,450853,0,0,210885773331,2182738897,447628,2660,565,369,391621,0 29,2,2024-09-07 08:54:10:861,322201,322201,0,0,11897487,0,4018 29,3,2024-09-07 08:54:10:963,1,255,21,0,115,1824,255,0 30,0,2024-09-07 08:54:11:474,62283,0.5,60541,0.7,126580,0.4,165816,2.00 30,1,2024-09-07 08:54:10:571,450556,450556,0,0,210656313276,2181894136,448226,2037,293,382,391672,0 30,2,2024-09-07 08:54:11:274,322924,322924,0,0,11740776,0,3161 30,3,2024-09-07 08:54:10:586,1,255,1,0,519,2408,255,0 31,0,2024-09-07 08:54:11:759,63856,0.4,64086,0.6,128351,0.3,171537,1.75 31,1,2024-09-07 08:54:10:570,451972,451972,0,0,211303353104,2164194216,450582,1171,219,356,391712,0 31,2,2024-09-07 08:54:11:275,319477,319477,0,0,13265182,0,3525 31,3,2024-09-07 08:54:11:706,1,255,1,0,220,1970,255,0 32,0,2024-09-07 08:54:11:439,65901,0.4,66481,0.5,132680,0.3,176682,1.75 32,1,2024-09-07 08:54:10:804,450391,450391,0,0,210061615751,2178496662,448434,1678,279,381,391622,0 32,2,2024-09-07 08:54:10:940,324654,324654,0,0,11541320,0,3155 32,3,2024-09-07 08:54:11:015,1,255,0,0,227,2040,255,0 33,0,2024-09-07 08:54:11:493,63093,0.3,62401,0.5,125567,0.2,167631,1.75 33,1,2024-09-07 08:54:10:625,450764,450764,0,0,211596026479,2184936414,448183,2407,174,369,391730,0 33,2,2024-09-07 08:54:10:759,325179,325146,33,0,13279081,0,7012 33,3,2024-09-07 08:54:10:895,1,255,3,0,329,2911,255,0 34,0,2024-09-07 08:54:10:930,62003,0.3,63925,0.5,122590,0.2,164425,1.50 34,1,2024-09-07 08:54:11:044,451382,451382,0,0,211215366603,2171496528,450286,1089,7,367,391562,0 34,2,2024-09-07 08:54:10:768,323165,323165,0,0,12534210,0,3577 34,3,2024-09-07 08:54:11:692,1,255,1,0,299,2058,255,0 35,0,2024-09-07 08:54:10:859,62070,0.3,62418,0.5,125412,0.3,167067,1.75 35,1,2024-09-07 08:54:11:068,450229,450229,0,0,209769002765,2175339982,446883,2566,780,384,391589,0 35,2,2024-09-07 08:54:11:590,319262,319262,0,0,12003694,0,2653 35,3,2024-09-07 08:54:10:907,1,255,20,0,418,3038,255,0 36,0,2024-09-07 08:54:11:534,66578,0.6,66389,0.7,133273,0.6,177353,2.25 36,1,2024-09-07 08:54:10:583,449446,449446,0,0,209494521086,2190935731,443464,4403,1579,366,391759,0 36,2,2024-09-07 08:54:11:751,323706,323706,0,0,14164328,0,3875 36,3,2024-09-07 08:54:10:873,1,255,7,0,416,4048,255,0 37,0,2024-09-07 08:54:11:391,61481,0.6,61429,0.7,123171,0.5,164840,2.00 37,1,2024-09-07 08:54:10:577,448606,448599,0,7,208994868337,2189796715,442589,3942,2068,365,391560,0 37,2,2024-09-07 08:54:11:147,323917,323902,15,0,14490440,0,5815 37,3,2024-09-07 08:54:11:769,1,255,8,0,888,4483,255,0 38,0,2024-09-07 08:54:11:445,62427,0.5,60721,0.7,127154,0.4,165975,2.00 38,1,2024-09-07 08:54:11:611,449564,449564,0,0,209899581702,2190591330,444292,4597,675,368,391821,0 38,2,2024-09-07 08:54:10:760,321990,321943,47,0,14842778,0,6710 38,3,2024-09-07 08:54:10:996,1,255,1,0,689,3600,255,0 39,0,2024-09-07 08:54:11:759,64707,0.6,63604,0.7,123333,0.5,168354,2.00 39,1,2024-09-07 08:54:10:717,449357,449357,0,0,209947560152,2191123170,443797,4658,902,365,391594,0 39,2,2024-09-07 08:54:11:416,319140,319140,0,0,12838300,0,2689 39,3,2024-09-07 08:54:10:714,1,255,1,0,324,3423,255,0 40,0,2024-09-07 08:54:11:490,64848,0.7,65499,0.9,131077,0.7,174704,2.75 40,1,2024-09-07 08:54:10:578,448757,448757,0,0,208889040747,2190231708,441617,5697,1443,368,391591,0 40,2,2024-09-07 08:54:11:302,323110,323109,1,0,16177791,0,5137 40,3,2024-09-07 08:54:11:143,1,255,1,0,398,3153,255,0 41,0,2024-09-07 08:54:11:023,63376,2.0,64871,1.4,123744,3.1,169036,3.75 41,1,2024-09-07 08:54:10:768,448972,448972,0,0,210006665953,2195887964,443430,4908,634,370,391742,0 41,2,2024-09-07 08:54:10:759,322773,322773,0,0,15389460,0,3356 41,3,2024-09-07 08:54:11:676,1,255,0,0,366,3031,255,0 42,0,2024-09-07 08:54:11:475,63727,0.8,63932,1.0,128036,0.9,169042,2.50 42,1,2024-09-07 08:54:11:439,447620,447620,0,0,209299754398,2200538659,440336,5832,1452,380,391675,0 42,2,2024-09-07 08:54:11:133,322682,322682,0,0,14706544,0,3568 42,3,2024-09-07 08:54:11:010,1,255,10,0,446,2347,255,0 43,0,2024-09-07 08:54:10:919,62936,0.7,61411,0.9,128129,0.6,168732,2.00 43,1,2024-09-07 08:54:10:581,448650,448650,0,0,210476996116,2199476571,442386,4935,1329,366,391696,0 43,2,2024-09-07 08:54:11:738,321877,321877,0,0,14064761,0,3812 43,3,2024-09-07 08:54:11:750,1,255,1,0,467,3084,255,0 44,0,2024-09-07 08:54:10:865,64800,0.4,65302,0.6,130172,0.4,173486,1.75 44,1,2024-09-07 08:54:10:563,450790,450790,0,0,210370135486,2170384584,448233,2125,432,356,391809,0 44,2,2024-09-07 08:54:11:267,320370,320370,0,0,11760967,0,2231 44,3,2024-09-07 08:54:11:092,1,255,6,0,817,2987,255,0 45,0,2024-09-07 08:54:11:809,64794,0.6,63328,0.8,133024,0.7,175624,2.00 45,1,2024-09-07 08:54:11:005,450097,450097,0,0,210504840893,2180310836,448446,1639,12,382,391917,0 45,2,2024-09-07 08:54:11:278,324818,324818,0,0,12478183,0,3596 45,3,2024-09-07 08:54:10:936,1,255,1,0,271,2684,255,0 46,0,2024-09-07 08:54:10:955,63182,0.6,62965,0.8,126277,0.6,167542,2.00 46,1,2024-09-07 08:54:10:578,451613,451613,0,0,210880153540,2175893675,449266,2073,274,366,391539,0 46,2,2024-09-07 08:54:10:593,324066,324066,0,0,11995517,0,2920 46,3,2024-09-07 08:54:11:133,1,255,1,0,908,3780,255,0 47,0,2024-09-07 08:54:11:106,62636,0.4,62497,0.6,125636,0.4,166048,1.75 47,1,2024-09-07 08:54:10:567,451080,451080,0,0,210305431519,2169676453,448756,2190,134,366,391605,0 47,2,2024-09-07 08:54:10:908,325179,325179,0,0,12077615,0,2558 47,3,2024-09-07 08:54:11:118,1,255,0,0,529,2330,255,0 48,0,2024-09-07 08:54:11:494,63305,0.3,62921,0.4,125369,0.2,167615,1.50 48,1,2024-09-07 08:54:11:043,450358,450358,0,0,210290297958,2183722626,447251,2808,299,384,391710,0 48,2,2024-09-07 08:54:10:701,319214,319214,0,0,11578405,0,3031 48,3,2024-09-07 08:54:10:754,1,255,1,0,339,2105,255,0 49,0,2024-09-07 08:54:11:718,68244,0.4,66964,0.5,129726,0.3,177870,1.75 49,1,2024-09-07 08:54:11:021,449369,449369,0,0,209701626438,2185361130,445511,2677,1181,382,391809,0 49,2,2024-09-07 08:54:11:809,325690,325690,0,0,12225439,0,3900 49,3,2024-09-07 08:54:11:415,1,255,0,0,408,2788,255,0 50,0,2024-09-07 08:54:11:511,61931,0.3,61375,0.5,123534,0.2,164733,1.75 50,1,2024-09-07 08:54:11:013,450963,450963,0,0,210945064173,2181203480,448125,2528,310,368,391530,0 50,2,2024-09-07 08:54:11:067,325177,325177,0,0,11524729,0,2263 50,3,2024-09-07 08:54:11:295,1,255,1,0,335,2108,255,0 51,0,2024-09-07 08:54:11:683,63945,0.3,62551,0.5,121881,0.2,165943,1.75 51,1,2024-09-07 08:54:11:680,451284,451284,0,0,211285354828,2180232273,448487,1858,939,365,391706,0 51,2,2024-09-07 08:54:11:316,323193,323193,0,0,10930133,0,3337 51,3,2024-09-07 08:54:11:029,1,255,7,0,678,2047,255,0 52,0,2024-09-07 08:54:11:421,63214,0.4,62797,0.6,125508,0.4,166528,2.00 52,1,2024-09-07 08:54:10:578,449005,449005,0,0,208826891735,2189858559,441847,5904,1254,368,391722,0 52,2,2024-09-07 08:54:11:761,317010,316972,38,0,15006496,0,6742 52,3,2024-09-07 08:54:10:676,1,255,1,0,1782,4557,255,0 53,0,2024-09-07 08:54:11:743,65151,0.7,63299,0.8,132582,0.7,174414,2.50 53,1,2024-09-07 08:54:10:779,447971,447971,0,0,209583177045,2200794466,440645,4970,2356,367,391702,0 53,2,2024-09-07 08:54:11:298,324398,324398,0,0,12552772,0,2727 53,3,2024-09-07 08:54:10:699,1,255,2,0,271,2312,255,0 54,0,2024-09-07 08:54:11:615,62183,0.8,62719,0.9,124204,0.5,166170,2.75 54,1,2024-09-07 08:54:10:596,449353,449353,0,0,210128617001,2185398259,444617,4139,597,367,391659,0 54,2,2024-09-07 08:54:10:865,324350,324344,6,0,13943988,0,5382 54,3,2024-09-07 08:54:10:767,1,255,1,0,676,3910,255,0 55,0,2024-09-07 08:54:11:765,61510,0.6,63657,0.8,128144,0.5,166868,2.50 55,1,2024-09-07 08:54:10:768,448902,448902,0,0,210202615068,2188678122,443352,4763,787,365,391731,0 55,2,2024-09-07 08:54:10:730,321908,321908,0,0,14067646,0,3275 55,3,2024-09-07 08:54:10:676,1,255,1,0,304,2887,255,0 56,0,2024-09-07 08:54:11:578,64956,0.9,61304,1.0,126134,1.2,168785,2.25 56,1,2024-09-07 08:54:10:579,447116,447116,0,0,209790009184,2218190409,439875,5803,1438,381,391678,0 56,2,2024-09-07 08:54:11:309,320447,320447,0,0,15222528,0,3567 56,3,2024-09-07 08:54:11:065,1,255,10,0,705,3718,255,0 57,0,2024-09-07 08:54:10:964,64324,1.5,64397,1.2,128835,2.0,172654,3.00 57,1,2024-09-07 08:54:10:995,448587,448587,0,0,209340524623,2193362411,443265,4652,670,367,391960,0 57,2,2024-09-07 08:54:11:317,322538,322538,0,0,16449656,0,3317 57,3,2024-09-07 08:54:11:741,1,255,1,0,359,3381,255,0 58,0,2024-09-07 08:54:10:557,64090,1.1,62296,1.1,130435,1.5,170892,2.75 58,1,2024-09-07 08:54:10:578,449503,449500,0,3,210580706368,2200122620,443767,5022,711,367,391545,3 58,2,2024-09-07 08:54:11:084,324397,324397,0,0,14673896,0,2549 58,3,2024-09-07 08:54:11:068,1,255,1,0,1043,2911,255,0 59,0,2024-09-07 08:54:11:741,63922,0.8,63736,1.0,127146,0.9,169073,3.00 59,1,2024-09-07 08:54:10:804,449081,449081,0,0,209919778518,2196383672,443293,4523,1265,369,391525,0 59,2,2024-09-07 08:54:10:587,323730,323730,0,0,13410184,0,2604 59,3,2024-09-07 08:54:11:738,1,255,3,0,1015,3559,255,0 60,0,2024-09-07 08:54:11:714,62504,0.4,62245,0.6,125530,0.4,166345,1.75 60,1,2024-09-07 08:54:10:772,450692,450692,0,0,210919893392,2185889193,448245,1930,517,370,392031,0 60,2,2024-09-07 08:54:11:143,322985,322985,0,0,14386247,0,3811 60,3,2024-09-07 08:54:11:258,1,255,1,0,124,2458,255,0 61,0,2024-09-07 08:54:11:502,64070,0.6,64313,0.8,128193,0.6,171425,2.00 61,1,2024-09-07 08:54:10:770,449049,449049,0,0,209636210122,2192519953,444476,3870,703,382,391880,0 61,2,2024-09-07 08:54:11:116,320522,320522,0,0,12696825,0,2780 61,3,2024-09-07 08:54:11:694,1,255,10,0,397,3471,255,0 62,0,2024-09-07 08:54:11:727,66635,0.6,67906,0.7,129586,0.6,176450,2.00 62,1,2024-09-07 08:54:11:191,451640,451634,0,6,210965688558,2175107584,449169,2347,118,365,391715,6 62,2,2024-09-07 08:54:11:646,323330,323329,1,0,14087033,0,5555 62,3,2024-09-07 08:54:11:143,1,255,1,0,287,1797,255,0 63,0,2024-09-07 08:54:11:463,62631,0.5,62752,0.6,125699,0.5,167216,1.75 63,1,2024-09-07 08:54:10:804,450864,450858,0,6,210193796348,2176014958,449282,1526,50,381,391677,6 63,2,2024-09-07 08:54:10:767,324414,324414,0,0,11792582,0,2674 63,3,2024-09-07 08:54:11:732,1,255,1,0,667,2877,255,0 64,0,2024-09-07 08:54:11:545,61653,0.4,61679,0.6,123555,0.4,164355,1.75 64,1,2024-09-07 08:54:10:750,449570,449570,0,0,210176870997,2191356265,445081,3075,1414,370,391783,0 64,2,2024-09-07 08:54:11:144,325706,325687,19,0,12663871,0,6121 64,3,2024-09-07 08:54:11:143,1,255,1,0,265,2553,255,0 65,0,2024-09-07 08:54:11:681,61998,0.6,62442,0.7,124512,0.6,166026,2.00 65,1,2024-09-07 08:54:10:867,448837,448837,0,0,209527025978,2190949366,445283,3109,445,382,391901,0 65,2,2024-09-07 08:54:11:693,319025,319025,0,0,13312225,0,3367 65,3,2024-09-07 08:54:11:690,1,255,0,0,163,2424,255,0 66,0,2024-09-07 08:54:11:765,66263,0.5,65994,0.7,132717,0.4,176622,2.00 66,1,2024-09-07 08:54:11:293,450443,450443,0,0,210424419233,2185488328,447869,2373,201,380,391653,0 66,2,2024-09-07 08:54:11:134,326294,326294,0,0,13074593,0,4956 66,3,2024-09-07 08:54:11:080,1,255,2,0,291,2644,255,0 67,0,2024-09-07 08:54:11:465,62234,0.6,61716,0.8,124236,0.7,164897,2.00 67,1,2024-09-07 08:54:10:769,449224,449223,0,1,210256306720,2192099718,445378,3098,747,380,391787,1 67,2,2024-09-07 08:54:10:591,325948,325948,0,0,12133642,0,2889 67,3,2024-09-07 08:54:11:750,1,255,2,0,338,2338,255,0 68,0,2024-09-07 08:54:10:587,63212,0.6,63005,0.8,125534,0.6,167699,2.00 68,1,2024-09-07 08:54:10:573,448638,448638,0,0,209317294594,2193662591,444459,3009,1170,381,391953,0 68,2,2024-09-07 08:54:11:044,321635,321570,65,0,15908479,0,6698 68,3,2024-09-07 08:54:10:729,1,255,1,0,417,2847,255,0 69,0,2024-09-07 08:54:11:730,62934,0.7,63265,0.8,126235,0.7,167416,2.25 69,1,2024-09-07 08:54:11:021,447378,447378,0,0,208922341109,2203783498,441676,4300,1402,384,391994,0 69,2,2024-09-07 08:54:11:733,318944,318944,0,0,15667708,0,3722 69,3,2024-09-07 08:54:10:760,1,255,36,0,698,3662,255,0 70,0,2024-09-07 08:54:11:545,65272,0.8,65207,0.9,130962,0.6,174323,2.25 70,1,2024-09-07 08:54:10:803,450009,450009,0,0,211116869044,2191474173,446343,3138,528,366,391725,0 70,2,2024-09-07 08:54:11:326,323473,323473,0,0,14365000,0,4044 70,3,2024-09-07 08:54:10:745,1,255,2,0,854,3018,255,0 71,0,2024-09-07 08:54:11:359,63394,1.2,63275,1.1,126938,1.6,169685,3.00 71,1,2024-09-07 08:54:11:598,448994,448994,0,0,210008407909,2190510139,443839,4534,621,368,391738,0 71,2,2024-09-07 08:54:11:069,324170,324170,0,0,14739118,0,4042 71,3,2024-09-07 08:54:11:750,1,255,1,0,644,3693,255,0 72,0,2024-09-07 08:54:11:022,66623,0.8,65156,0.8,126999,0.8,172811,2.25 72,1,2024-09-07 08:54:11:021,449044,449044,0,0,210377149018,2196005428,443984,4129,931,369,391819,0 72,2,2024-09-07 08:54:11:755,321464,321464,0,0,16422222,0,3983 72,3,2024-09-07 08:54:11:760,1,255,14,0,564,4534,255,0 73,0,2024-09-07 08:54:11:114,61713,0.4,63124,0.6,129548,0.3,168367,2.00 73,1,2024-09-07 08:54:10:767,449541,449541,0,0,210580898184,2187980218,446450,2856,235,367,391750,0 73,2,2024-09-07 08:54:11:742,321955,321955,0,0,15726310,0,3701 73,3,2024-09-07 08:54:10:971,1,255,0,0,274,3740,255,0 74,0,2024-09-07 08:54:11:329,65576,0.4,66946,0.6,127689,0.4,173324,2.25 74,1,2024-09-07 08:54:10:635,448896,448896,0,0,209541581209,2187651882,444495,3602,799,381,391681,0 74,2,2024-09-07 08:54:11:007,320541,320541,0,0,15052499,0,4253 74,3,2024-09-07 08:54:11:442,1,255,0,0,522,3401,255,0 75,0,2024-09-07 08:54:11:768,66025,0.5,65662,0.8,131620,0.5,176689,2.25 75,1,2024-09-07 08:54:11:586,448295,448295,0,0,209193858545,2187119343,443366,4265,664,380,391660,0 75,2,2024-09-07 08:54:11:349,323247,323247,0,0,15540079,0,4766 75,3,2024-09-07 08:54:11:067,1,255,62,0,702,3755,255,0 76,0,2024-09-07 08:54:10:582,63227,0.7,62613,0.9,125501,0.7,168424,2.50 76,1,2024-09-07 08:54:10:805,449146,449146,0,0,209818088941,2187995399,446040,2555,551,382,391692,0 76,2,2024-09-07 08:54:11:065,324935,324935,0,0,12672992,0,3784 76,3,2024-09-07 08:54:11:144,1,255,8,0,175,2649,255,0 77,0,2024-09-07 08:54:11:720,62319,0.5,62629,0.7,124952,0.4,165830,1.75 77,1,2024-09-07 08:54:10:825,449681,449681,0,0,209954205325,2191172442,446230,3194,257,381,391808,0 77,2,2024-09-07 08:54:11:282,322886,322886,0,0,13357682,0,3890 77,3,2024-09-07 08:54:11:102,1,255,5,0,382,2851,255,0 78,0,2024-09-07 08:54:11:720,63141,0.4,62576,0.6,126006,0.3,166893,2.00 78,1,2024-09-07 08:54:10:610,450138,450138,0,0,209379276256,2172250179,447141,2678,319,367,391670,0 78,2,2024-09-07 08:54:11:404,319361,319361,0,0,11852743,0,3855 78,3,2024-09-07 08:54:11:133,1,255,5,0,181,2444,255,0 79,0,2024-09-07 08:54:11:375,64262,0.4,65943,0.6,134744,0.4,175526,2.25 79,1,2024-09-07 08:54:10:573,451101,451101,0,0,210542692744,2177551212,448256,2509,336,369,391682,0 79,2,2024-09-07 08:54:11:074,325401,325401,0,0,12056469,0,3212 79,3,2024-09-07 08:54:10:749,1,255,1,0,418,3726,255,0 80,0,2024-09-07 08:54:11:133,61739,0.6,63616,0.7,121733,0.6,164454,2.00 80,1,2024-09-07 08:54:11:622,449340,449340,0,0,210003450581,2184961386,446369,2802,169,368,391791,0 80,2,2024-09-07 08:54:11:095,325361,325361,0,0,12441835,0,4433 80,3,2024-09-07 08:54:10:580,1,255,9,0,190,3432,255,0 81,0,2024-09-07 08:54:11:551,62280,0.5,63866,0.6,122200,0.4,165022,1.75 81,1,2024-09-07 08:54:11:654,448296,448296,0,0,208917451353,2183049571,444454,3379,463,382,391879,0 81,2,2024-09-07 08:54:11:133,323039,323039,0,0,12784250,0,3993 81,3,2024-09-07 08:54:11:117,1,255,3,0,374,3017,255,0 82,0,2024-09-07 08:54:11:534,62264,0.4,62795,0.6,125650,0.3,166618,1.75 82,1,2024-09-07 08:54:10:594,449840,449836,0,4,210313216307,2189708519,446614,2611,611,381,391558,4 82,2,2024-09-07 08:54:11:694,319790,319790,0,0,12027809,0,3986 82,3,2024-09-07 08:54:11:752,1,255,1,0,363,2818,255,0 83,0,2024-09-07 08:54:11:522,65792,0.5,65786,0.7,130823,0.5,174985,2.00 83,1,2024-09-07 08:54:10:553,448820,448820,0,0,209852900445,2187247335,445645,2931,244,382,391690,0 83,2,2024-09-07 08:54:10:767,323995,323995,0,0,12111071,0,3119 83,3,2024-09-07 08:54:10:749,1,255,23,0,250,2693,255,0 84,0,2024-09-07 08:54:11:766,62870,0.8,62539,0.9,125332,0.8,167697,2.25 84,1,2024-09-07 08:54:11:043,448285,448285,0,0,209580266666,2190690446,443539,4170,576,368,391967,0 84,2,2024-09-07 08:54:10:582,324412,324412,0,0,14185022,0,3801 84,3,2024-09-07 08:54:11:142,1,255,1,0,908,3954,255,0 85,0,2024-09-07 08:54:11:015,61616,0.7,61573,0.9,130515,0.7,168365,2.50 85,1,2024-09-07 08:54:10:558,447946,447946,0,0,208819101756,2205777832,441104,5735,1107,381,392006,0 85,2,2024-09-07 08:54:10:865,323640,323640,0,0,14957630,0,3656 85,3,2024-09-07 08:54:10:690,1,255,3,0,789,3506,255,0 86,0,2024-09-07 08:54:10:914,63546,0.6,65322,0.7,124940,0.5,168676,2.00 86,1,2024-09-07 08:54:10:827,449338,449338,0,0,209753399127,2192778068,444352,4260,726,366,391961,0 86,2,2024-09-07 08:54:10:860,319531,319530,1,0,15754620,0,5004 86,3,2024-09-07 08:54:10:588,1,255,10,0,286,3388,255,0 87,0,2024-09-07 08:54:11:349,64844,0.9,64709,0.9,129964,1.1,174179,2.25 87,1,2024-09-07 08:54:10:590,449144,449144,0,0,209293991953,2188329864,444667,3993,484,366,391788,0 87,2,2024-09-07 08:54:11:067,321276,321276,0,0,14075632,0,4045 87,3,2024-09-07 08:54:11:794,1,255,23,0,473,3815,255,0 88,0,2024-09-07 08:54:11:439,65315,0.5,65649,0.6,131034,0.5,174112,2.00 88,1,2024-09-07 08:54:10:577,447618,447618,0,0,209955305380,2202905403,441432,4672,1514,365,392084,0 88,2,2024-09-07 08:54:10:689,323960,323960,0,0,16182073,0,3583 88,3,2024-09-07 08:54:11:268,1,255,8,0,435,3106,255,0 89,0,2024-09-07 08:54:11:780,66162,0.5,63928,0.7,126915,0.5,172395,2.00 89,1,2024-09-07 08:54:10:555,446953,446953,0,0,209253412419,2206988143,440031,5700,1222,382,391866,0 89,2,2024-09-07 08:54:11:132,321992,321992,0,0,15412770,0,2910 89,3,2024-09-07 08:54:11:792,1,255,1,0,325,4855,255,0 90,0,2024-09-07 08:54:11:648,60720,0.4,62052,0.6,127170,0.4,165555,1.75 90,1,2024-09-07 08:54:10:593,449068,449068,0,0,209187262211,2191906564,444933,3857,278,381,391825,0 90,2,2024-09-07 08:54:11:406,321242,321242,0,0,16656158,0,3060 90,3,2024-09-07 08:54:10:932,1,255,1,0,200,2731,255,0 91,0,2024-09-07 08:54:10:978,64276,0.4,62278,0.6,130134,0.4,171475,1.75 91,1,2024-09-07 08:54:10:557,447888,447888,0,0,209423647916,2202018335,442001,5193,694,381,392047,0 91,2,2024-09-07 08:54:11:333,319249,319249,0,0,14815592,0,2896 91,3,2024-09-07 08:54:10:604,1,255,1,0,216,2396,255,0 92,0,2024-09-07 08:54:11:481,66794,0.5,68315,0.6,130629,0.5,176730,1.75 92,1,2024-09-07 08:54:10:582,449015,449015,0,0,209995896725,2188615738,446452,2157,406,382,392136,0 92,2,2024-09-07 08:54:11:351,325918,325918,0,0,12469703,0,2801 92,3,2024-09-07 08:54:11:012,1,255,1,0,167,2041,255,0 93,0,2024-09-07 08:54:10:961,62908,0.4,64601,0.6,123421,0.4,167713,1.75 93,1,2024-09-07 08:54:10:806,449270,449270,0,0,209556671390,2186387555,444787,3696,787,366,391692,0 93,2,2024-09-07 08:54:10:937,324646,324646,0,0,13315265,0,2797 93,3,2024-09-07 08:54:11:406,1,255,2,0,190,2608,255,0 94,0,2024-09-07 08:54:11:618,61806,0.4,62602,0.5,124699,0.3,165086,1.75 94,1,2024-09-07 08:54:10:749,449299,449299,0,0,210247235750,2195130774,446004,3116,179,381,391850,0 94,2,2024-09-07 08:54:10:771,322786,322786,0,0,12320807,0,2443 94,3,2024-09-07 08:54:11:693,1,255,2,0,264,3312,255,0 95,0,2024-09-07 08:54:11:368,62709,0.3,62333,0.5,125789,0.3,166798,1.75 95,1,2024-09-07 08:54:10:854,449954,449954,0,0,210603049008,2188312344,446383,3195,376,367,391713,0 95,2,2024-09-07 08:54:11:016,318357,318357,0,0,12565034,0,3308 95,3,2024-09-07 08:54:11:709,1,255,2,0,718,4524,255,0 96,0,2024-09-07 08:54:11:026,66590,0.4,66771,0.5,133288,0.3,176843,1.75 96,1,2024-09-07 08:54:11:587,448803,448803,0,0,210020681346,2192254902,445223,2847,733,384,391894,0 96,2,2024-09-07 08:54:11:268,324550,324550,0,0,13266058,0,4042 96,3,2024-09-07 08:54:11:142,1,255,4,0,411,2937,255,0 97,0,2024-09-07 08:54:11:318,62060,0.4,61871,0.5,124305,0.3,164775,1.75 97,1,2024-09-07 08:54:10:768,450383,450383,0,0,211224095823,2189760292,447344,2483,556,367,392140,0 97,2,2024-09-07 08:54:10:614,325331,325331,0,0,12511436,0,3036 97,3,2024-09-07 08:54:10:569,1,255,2,0,214,2948,255,0 98,0,2024-09-07 08:54:11:709,63027,0.3,63065,0.4,126743,0.2,167798,1.50 98,1,2024-09-07 08:54:10:592,449738,449738,0,0,209614988255,2181041837,447590,2048,100,382,391997,0 98,2,2024-09-07 08:54:10:782,323191,323191,0,0,12551914,0,3080 98,3,2024-09-07 08:54:10:700,1,255,0,0,840,4575,255,0 99,0,2024-09-07 08:54:11:530,63309,0.3,63526,0.4,126749,0.2,168595,1.50 99,1,2024-09-07 08:54:11:751,449663,449663,0,0,209794144225,2186526303,445875,3048,740,381,391744,0 99,2,2024-09-07 08:54:11:417,321936,321936,0,0,13259279,0,3106 99,3,2024-09-07 08:54:10:588,1,255,2,0,168,1940,255,0 100,0,2024-09-07 08:54:11:467,65475,0.7,65411,0.9,130793,0.8,174870,2.25 100,1,2024-09-07 08:54:10:547,446737,446737,0,0,208478130229,2204022394,439889,5530,1318,381,391989,0 100,2,2024-09-07 08:54:11:818,321785,321774,11,0,14911787,0,5417 100,3,2024-09-07 08:54:11:738,1,255,0,0,559,4919,255,0 101,0,2024-09-07 08:54:11:709,65255,1.7,63497,1.2,124803,2.0,171266,2.50 101,1,2024-09-07 08:54:10:559,446867,446867,0,0,208546141739,2198125321,439512,5584,1771,368,391769,0 101,2,2024-09-07 08:54:11:759,323299,323299,0,0,16152380,0,4644 101,3,2024-09-07 08:54:10:948,1,255,3,0,448,3255,255,0 102,0,2024-09-07 08:54:10:952,62874,0.7,65173,0.8,131154,0.7,172027,2.00 102,1,2024-09-07 08:54:11:144,448365,448365,0,0,209558374901,2200998256,442710,4814,841,369,391883,0 102,2,2024-09-07 08:54:11:742,323327,323273,54,0,14761705,0,6768 102,3,2024-09-07 08:54:11:616,1,255,2,0,410,2740,255,0 103,0,2024-09-07 08:54:11:647,65195,0.4,65178,0.6,123084,0.4,169621,1.75 103,1,2024-09-07 08:54:11:660,447449,447449,0,0,209462359349,2206702905,441154,4914,1381,381,391829,0 103,2,2024-09-07 08:54:10:595,321128,321128,0,0,13913421,0,2582 103,3,2024-09-07 08:54:10:755,1,255,2,0,916,3583,255,0 104,0,2024-09-07 08:54:11:028,64468,0.7,64903,1.0,128530,0.7,173476,2.25 104,1,2024-09-07 08:54:11:628,448820,448820,0,0,209526995849,2203625130,442142,5583,1095,365,391948,0 104,2,2024-09-07 08:54:11:670,319551,319551,0,0,15715237,0,3941 104,3,2024-09-07 08:54:11:416,1,255,9,0,1245,6471,255,0 105,0,2024-09-07 08:54:11:045,65149,1.2,63263,1.2,132311,1.7,175230,3.50 105,1,2024-09-07 08:54:10:556,448830,448830,0,0,209980203869,2204055420,442515,5336,979,366,391797,0 105,2,2024-09-07 08:54:11:330,323587,323587,0,0,15207559,0,3509 105,3,2024-09-07 08:54:11:318,1,255,2,0,399,4276,255,0 106,0,2024-09-07 08:54:10:949,61187,1.0,62630,1.0,128401,1.1,168074,2.75 106,1,2024-09-07 08:54:11:752,448728,448728,0,0,209577397647,2202923946,441620,6349,759,369,391767,0 106,2,2024-09-07 08:54:10:755,321971,321971,0,0,14714162,0,2795 106,3,2024-09-07 08:54:10:697,1,255,1,0,470,3624,255,0 107,0,2024-09-07 08:54:11:102,62206,0.8,62200,0.9,124362,0.8,165535,2.00 107,1,2024-09-07 08:54:10:618,447342,447342,0,0,209065780177,2199651916,442018,4776,548,381,392234,0 107,2,2024-09-07 08:54:11:293,322232,322231,1,0,15444267,0,5024 107,3,2024-09-07 08:54:11:780,1,255,12,0,353,3454,255,0 108,0,2024-09-07 08:54:11:791,62950,0.4,63232,0.6,125442,0.3,167609,1.75 108,1,2024-09-07 08:54:11:297,449127,449127,0,0,210169072973,2188067116,445920,2823,384,368,391857,0 108,2,2024-09-07 08:54:11:757,318051,318051,0,0,13552991,0,2647 108,3,2024-09-07 08:54:11:332,1,255,1,0,749,4857,255,0 109,0,2024-09-07 08:54:11:746,66840,0.4,66072,0.6,132592,0.3,177629,1.75 109,1,2024-09-07 08:54:10:583,447462,447462,0,0,209267268593,2193248022,443667,3279,516,383,392132,0 109,2,2024-09-07 08:54:10:935,323301,323301,0,0,14049742,0,3617 109,3,2024-09-07 08:54:11:143,1,255,6,0,249,3009,255,0 110,0,2024-09-07 08:54:11:763,61932,0.4,60340,0.6,126170,0.4,165220,1.75 110,1,2024-09-07 08:54:11:646,450367,450367,0,0,210665990883,2186585951,447222,2268,877,369,392045,0 110,2,2024-09-07 08:54:11:302,325379,325379,0,0,13396838,0,3264 110,3,2024-09-07 08:54:10:692,1,255,1,0,406,3417,255,0 111,0,2024-09-07 08:54:11:414,62745,0.4,62306,0.5,124382,0.3,166382,1.75 111,1,2024-09-07 08:54:11:003,450487,450487,0,0,211078891472,2186918691,448495,1656,336,382,391690,0 111,2,2024-09-07 08:54:11:116,322734,322734,0,0,12780287,0,2763 111,3,2024-09-07 08:54:10:917,1,255,1,0,379,3408,255,0 112,0,2024-09-07 08:54:10:909,62828,0.3,62815,0.4,125581,0.2,166747,1.50 112,1,2024-09-07 08:54:10:833,450463,450463,0,0,210050001054,2177514958,448044,1991,428,380,391580,0 112,2,2024-09-07 08:54:11:134,319061,319060,1,0,12933750,0,5036 112,3,2024-09-07 08:54:10:593,1,255,0,0,282,2694,255,0 113,0,2024-09-07 08:54:10:872,65459,0.3,65377,0.5,131590,0.2,175978,1.50 113,1,2024-09-07 08:54:11:685,451355,451355,0,0,211045954723,2177476515,448855,2010,490,366,391661,0 113,2,2024-09-07 08:54:11:302,325347,325347,0,0,11791588,0,3813 113,3,2024-09-07 08:54:10:692,1,255,1,0,340,3623,255,0 114,0,2024-09-07 08:54:10:874,63566,0.4,64114,0.5,127095,0.3,169662,1.75 114,1,2024-09-07 08:54:10:716,449561,449561,0,0,210334169249,2190454034,444752,3220,1589,381,391556,0 114,2,2024-09-07 08:54:10:873,324619,324618,1,0,12891157,0,5069 114,3,2024-09-07 08:54:11:281,1,255,1,0,395,2686,255,0 115,0,2024-09-07 08:54:10:559,63886,0.3,64170,0.4,128351,0.2,170447,1.50 115,1,2024-09-07 08:54:10:572,449438,449438,0,0,210204053324,2186259491,445074,3528,836,382,391639,0 115,2,2024-09-07 08:54:11:125,323762,323762,0,0,11222485,0,2152 115,3,2024-09-07 08:54:11:004,1,255,0,0,159,1376,255,0 116,0,2024-09-07 08:54:11:705,63331,0.6,63255,0.8,126640,0.6,169297,2.00 116,1,2024-09-07 08:54:10:822,446794,446794,0,0,208541626426,2205733818,440393,4346,2055,380,391782,0 116,2,2024-09-07 08:54:11:751,319910,319910,0,0,16119502,0,3529 116,3,2024-09-07 08:54:10:916,1,255,0,0,415,3638,255,0 117,0,2024-09-07 08:54:11:004,65034,0.7,64812,0.9,130011,0.7,174224,2.00 117,1,2024-09-07 08:54:11:581,448490,448490,0,0,208580545926,2182867565,443782,4228,480,370,392033,0 117,2,2024-09-07 08:54:11:118,324039,324039,0,0,13300591,0,3700 117,3,2024-09-07 08:54:11:065,1,255,3,0,490,4029,255,0 118,0,2024-09-07 08:54:11:859,63466,0.6,65183,0.7,133023,0.6,173385,2.00 118,1,2024-09-07 08:54:10:598,448331,448331,0,0,208818091613,2195187169,441958,4939,1434,366,391736,0 118,2,2024-09-07 08:54:11:587,323449,323449,0,0,14526684,0,2781 118,3,2024-09-07 08:54:11:764,1,255,1,0,235,2896,255,0 119,0,2024-09-07 08:54:11:365,63825,0.9,64278,0.9,128703,1.1,171266,2.25 119,1,2024-09-07 08:54:10:563,449380,449380,0,0,209638256145,2189609394,444720,4137,523,367,391641,0 119,2,2024-09-07 08:54:11:261,322934,322934,0,0,13733456,0,4174 119,3,2024-09-07 08:54:11:331,1,255,1,0,443,3487,255,0 120,0,2024-09-07 08:54:11:546,62222,0.5,61982,0.7,124279,0.5,166020,2.00 120,1,2024-09-07 08:54:10:859,448916,448916,0,0,209321203161,2195396886,444224,4341,351,368,391961,0 120,2,2024-09-07 08:54:10:771,322063,322062,1,0,16415749,0,5281 120,3,2024-09-07 08:54:11:294,1,255,5,0,241,3264,255,0 121,0,2024-09-07 08:54:11:710,63998,0.9,64114,0.9,128429,1.1,171421,2.00 121,1,2024-09-07 08:54:11:655,448888,448888,0,0,209857057436,2196355273,444274,4199,415,367,391840,0 121,2,2024-09-07 08:54:11:125,318659,318659,0,0,15601807,0,4127 121,3,2024-09-07 08:54:10:729,1,255,2,0,269,2915,255,0 122,0,2024-09-07 08:54:11:775,65855,0.9,64194,0.9,134673,1.0,176715,2.00 122,1,2024-09-07 08:54:10:859,447939,447939,0,0,209634100925,2200051084,441870,5252,817,366,392130,0 122,2,2024-09-07 08:54:11:331,323065,322992,73,0,18249915,0,5989 122,3,2024-09-07 08:54:10:596,1,255,6,0,411,5004,255,0 123,0,2024-09-07 08:54:10:960,62391,0.9,60992,0.9,127209,1.1,167013,2.25 123,1,2024-09-07 08:54:10:559,448425,448425,0,0,209734855755,2212694572,439751,6958,1716,369,392039,0 123,2,2024-09-07 08:54:11:023,321566,321565,1,0,15142111,0,5215 123,3,2024-09-07 08:54:11:133,1,255,1,0,168,3096,255,0 124,0,2024-09-07 08:54:10:919,64091,0.3,64065,0.5,120879,0.3,165775,1.75 124,1,2024-09-07 08:54:11:023,450315,450315,0,0,209487125841,2175804012,447469,2483,363,367,392178,0 124,2,2024-09-07 08:54:11:013,323316,323316,0,0,12102569,0,3101 124,3,2024-09-07 08:54:10:758,1,255,2,0,490,2828,255,0 125,0,2024-09-07 08:54:11:430,62693,0.3,62523,0.5,125652,0.3,166961,1.75 125,1,2024-09-07 08:54:10:855,448918,448918,0,0,209988701110,2189498649,445822,2702,394,383,391702,0 125,2,2024-09-07 08:54:11:121,319137,319137,0,0,13101460,0,4534 125,3,2024-09-07 08:54:11:155,1,255,1,0,709,3571,255,0 126,0,2024-09-07 08:54:11:451,66604,0.5,68431,0.6,130674,0.5,177798,1.75 126,1,2024-09-07 08:54:10:561,450413,450413,0,0,210471949799,2180525076,447697,2453,263,365,391987,0 126,2,2024-09-07 08:54:10:610,325754,325754,0,0,13458810,0,3186 126,3,2024-09-07 08:54:10:917,1,255,17,0,183,3328,255,0 127,0,2024-09-07 08:54:11:669,61945,0.4,62288,0.5,123990,0.4,164995,1.75 127,1,2024-09-07 08:54:10:574,450069,450069,0,0,210415359665,2179631825,447124,2792,153,365,391816,0 127,2,2024-09-07 08:54:10:638,325183,325183,0,0,12131804,0,2264 127,3,2024-09-07 08:54:11:270,1,255,3,0,968,2998,255,0 128,0,2024-09-07 08:54:11:574,63514,0.3,63442,0.4,126681,0.2,168205,1.50 128,1,2024-09-07 08:54:11:631,449301,449301,0,0,210330379586,2180316940,447126,2018,157,367,391798,0 128,2,2024-09-07 08:54:11:383,323442,323442,0,0,11986516,0,2434 128,3,2024-09-07 08:54:10:767,1,255,1,0,1082,5378,255,0 129,0,2024-09-07 08:54:10:993,63872,0.3,63566,0.4,127109,0.2,168335,1.50 129,1,2024-09-07 08:54:10:567,447570,447570,0,0,209070408858,2190571261,443410,3230,930,379,391835,0 129,2,2024-09-07 08:54:10:689,320379,320379,0,0,12902936,0,4031 129,3,2024-09-07 08:54:10:694,1,255,1,0,469,3341,255,0 130,0,2024-09-07 08:54:11:746,66028,0.5,65630,0.6,131773,0.5,175768,1.75 130,1,2024-09-07 08:54:10:592,450213,450213,0,0,209671015662,2180599642,447772,2362,79,381,391825,0 130,2,2024-09-07 08:54:11:125,324528,324528,0,0,12593735,0,4067 130,3,2024-09-07 08:54:11:295,1,255,1,0,450,3374,255,0 131,0,2024-09-07 08:54:11:922,63905,0.4,64242,0.5,129423,0.4,170991,1.75 131,1,2024-09-07 08:54:11:825,449954,449954,0,0,209883945780,2187198591,446902,2594,458,381,391865,0 131,2,2024-09-07 08:54:10:567,325904,325904,0,0,11529026,0,2415 131,3,2024-09-07 08:54:11:697,1,255,1,0,392,2564,255,0 132,0,2024-09-07 08:54:11:431,64238,0.5,65106,0.7,129731,0.5,172404,2.00 132,1,2024-09-07 08:54:10:576,447582,447582,0,0,209204433379,2205680764,440568,5760,1254,381,392097,0 132,2,2024-09-07 08:54:10:698,322765,322765,0,0,15446966,0,4606 132,3,2024-09-07 08:54:11:697,1,255,3,0,356,3569,255,0 133,0,2024-09-07 08:54:11:521,61795,0.4,63307,0.5,129304,0.3,168332,1.75 133,1,2024-09-07 08:54:10:584,447942,447942,0,0,208897190758,2198213487,442455,4818,669,383,391914,0 133,2,2024-09-07 08:54:11:095,320878,320878,0,0,16556411,0,4315 133,3,2024-09-07 08:54:11:297,1,255,0,0,276,2541,255,0 134,0,2024-09-07 08:54:10:947,65078,0.4,64952,0.6,130258,0.4,173473,2.00 134,1,2024-09-07 08:54:10:583,447448,447448,0,0,209446249774,2199305394,440991,4987,1470,366,391718,0 134,2,2024-09-07 08:54:11:756,320574,320574,0,0,13815791,0,3847 134,3,2024-09-07 08:54:10:754,1,255,3,0,739,3790,255,0 135,0,2024-09-07 08:54:11:120,63690,0.9,63679,0.9,134949,1.0,175034,2.25 135,1,2024-09-07 08:54:11:586,447465,447465,0,0,209879181548,2207856181,441266,5202,997,380,391805,0 135,2,2024-09-07 08:54:10:689,324358,324358,0,0,15351175,0,3981 135,3,2024-09-07 08:54:11:002,1,255,1,0,299,1855,255,0 136,0,2024-09-07 08:54:11:618,63881,0.6,63730,0.8,127304,0.6,169966,2.25 136,1,2024-09-07 08:54:11:443,447833,447833,0,0,209106080518,2197797551,442295,4953,585,382,391685,0 136,2,2024-09-07 08:54:11:144,323725,323725,0,0,14841382,0,3506 136,3,2024-09-07 08:54:11:112,1,255,2,0,150,2140,255,0 137,0,2024-09-07 08:54:10:929,64165,0.6,62303,0.7,123022,0.6,166720,2.00 137,1,2024-09-07 08:54:10:577,448073,448073,0,0,209136832058,2195130288,441125,5531,1417,366,391708,0 137,2,2024-09-07 08:54:11:710,322046,322046,0,0,16399191,0,3185 137,3,2024-09-07 08:54:10:769,1,255,1,0,382,3282,255,0 138,0,2024-09-07 08:54:11:742,62442,0.8,62442,0.9,125570,0.9,167208,2.00 138,1,2024-09-07 08:54:11:694,447768,447768,0,0,209542485639,2200858315,440800,5837,1131,368,391954,0 138,2,2024-09-07 08:54:10:589,318845,318845,0,0,15027756,0,4988 138,3,2024-09-07 08:54:10:610,1,255,1,0,1160,3864,255,0 139,0,2024-09-07 08:54:11:363,65433,1.8,65701,1.2,131516,2.7,176024,2.50 139,1,2024-09-07 08:54:10:572,446205,446205,0,0,208457878237,2215007649,437673,6417,2115,381,391892,0 139,2,2024-09-07 08:54:10:691,322472,322472,0,0,15951137,0,3097 139,3,2024-09-07 08:54:11:664,1,255,23,0,244,2584,255,0 140,0,2024-09-07 08:54:11:589,62367,0.3,61755,0.5,124225,0.3,165380,1.75 140,1,2024-09-07 08:54:11:538,451392,451392,0,0,211337194527,2177057398,449412,1738,242,365,391606,0 140,2,2024-09-07 08:54:10:686,325389,325389,0,0,12449575,0,3388 140,3,2024-09-07 08:54:10:767,1,255,1,0,247,2155,255,0 141,0,2024-09-07 08:54:11:703,62442,0.3,64176,0.4,122682,0.2,166343,1.50 141,1,2024-09-07 08:54:10:858,450426,450426,0,0,210505017745,2182989531,447856,2162,408,379,391614,0 141,2,2024-09-07 08:54:11:686,323182,323182,0,0,11984490,0,2342 141,3,2024-09-07 08:54:11:043,1,255,1,0,202,2342,255,0 142,0,2024-09-07 08:54:11:307,63489,0.3,62842,0.4,124973,0.2,167051,1.50 142,1,2024-09-07 08:54:10:585,449841,449841,0,0,209488330197,2183809423,447010,2566,265,382,392102,0 142,2,2024-09-07 08:54:11:298,318868,318836,32,0,13716515,0,6028 142,3,2024-09-07 08:54:11:761,1,255,29,0,484,3203,255,0 143,0,2024-09-07 08:54:11:388,65784,0.5,65730,0.6,131896,0.5,175839,1.75 143,1,2024-09-07 08:54:10:555,449841,449841,0,0,209955005058,2176296716,447126,2619,96,367,391651,0 143,2,2024-09-07 08:54:10:785,323902,323902,0,0,13005963,0,2669 143,3,2024-09-07 08:54:11:144,1,255,3,0,303,3321,255,0 144,0,2024-09-07 08:54:11:506,61167,0.7,62764,0.9,128076,0.6,167807,2.00 144,1,2024-09-07 08:54:10:594,447807,447807,0,0,209323786264,2195943819,443793,3089,925,381,391649,0 144,2,2024-09-07 08:54:11:759,325373,325373,0,0,12331142,0,3473 144,3,2024-09-07 08:54:11:739,1,255,1,0,249,2969,255,0 145,0,2024-09-07 08:54:11:362,61565,0.6,61536,0.8,130602,0.5,168409,2.25 145,1,2024-09-07 08:54:10:553,447063,447063,0,0,209460189486,2201627056,441566,4674,823,382,391698,0 145,2,2024-09-07 08:54:11:430,321868,321868,0,0,14626513,0,3903 145,3,2024-09-07 08:54:10:895,1,255,1,0,622,4114,255,0 146,0,2024-09-07 08:54:11:674,63461,0.5,62940,0.7,126865,0.4,168507,2.00 146,1,2024-09-07 08:54:11:599,447893,447893,0,0,209189073044,2204871863,439610,6436,1847,368,391770,0 146,2,2024-09-07 08:54:11:731,319800,319800,0,0,14778000,0,2730 146,3,2024-09-07 08:54:11:281,1,255,1,0,1520,5928,255,0 147,0,2024-09-07 08:54:11:753,65021,0.5,64913,0.7,129019,0.5,174010,2.00 147,1,2024-09-07 08:54:11:374,450202,450202,0,0,210871810883,2192801716,446101,3516,585,368,391791,0 147,2,2024-09-07 08:54:11:010,323600,323600,0,0,12455786,0,2789 147,3,2024-09-07 08:54:10:917,1,255,1,0,730,3918,255,0 0,0,2024-09-07 08:54:21:931,60804,0.5,60861,0.7,129016,0.4,166936,1.75 0,1,2024-09-07 08:54:20:827,450796,450796,0,0,210617910096,2201578923,447582,3010,204,370,391772,0 0,2,2024-09-07 08:54:21:073,323701,323701,0,0,13063469,0,4480 0,3,2024-09-07 08:54:20:974,1,256,0,0,319,3436,256,0 1,0,2024-09-07 08:54:21:789,64693,0.8,64278,0.9,129165,0.9,172747,2.00 1,1,2024-09-07 08:54:20:556,450615,450615,0,0,209696005492,2196157484,446118,3444,1053,371,391857,0 1,2,2024-09-07 08:54:20:645,320609,320609,0,0,12037034,0,3267 1,3,2024-09-07 08:54:21:310,1,256,1,0,262,2976,256,0 2,0,2024-09-07 08:54:21:576,66445,0.7,66714,0.8,132243,0.8,177111,2.00 2,1,2024-09-07 08:54:20:872,450925,450925,0,0,211382647729,2194258075,448818,1889,218,380,391745,0 2,2,2024-09-07 08:54:21:271,325872,325872,0,0,12600917,0,3594 2,3,2024-09-07 08:54:20:697,1,256,0,0,357,2281,256,0 3,0,2024-09-07 08:54:21:762,62930,0.5,63008,0.6,125513,0.4,167569,2.00 3,1,2024-09-07 08:54:21:620,450639,450639,0,0,210747969251,2194452470,446799,3378,462,380,391556,0 3,2,2024-09-07 08:54:21:151,325160,325137,23,0,12857830,0,5851 3,3,2024-09-07 08:54:21:761,1,256,12,0,103,1539,256,0 4,0,2024-09-07 08:54:21:789,60340,0.4,62077,0.5,126390,0.3,165470,1.75 4,1,2024-09-07 08:54:20:602,450389,450389,0,0,210127854004,2206250826,445645,3953,791,371,391846,0 4,2,2024-09-07 08:54:21:022,323077,323077,0,0,15236494,0,4528 4,3,2024-09-07 08:54:21:026,1,256,0,0,448,3914,256,0 5,0,2024-09-07 08:54:21:405,62862,0.4,63186,0.5,125429,0.3,167538,1.75 5,1,2024-09-07 08:54:20:774,450386,450386,0,0,210220060819,2209565844,444890,4384,1112,368,392005,0 5,2,2024-09-07 08:54:21:848,318552,318552,0,0,13798488,0,2432 5,3,2024-09-07 08:54:21:738,1,256,12,0,457,3841,256,0 6,0,2024-09-07 08:54:20:927,67405,0.5,66759,0.7,133411,0.5,178735,2.00 6,1,2024-09-07 08:54:20:758,450412,450412,0,0,210065407193,2194638891,445737,3831,844,379,391694,0 6,2,2024-09-07 08:54:21:130,325121,325121,0,0,13849843,0,4816 6,3,2024-09-07 08:54:21:277,1,256,0,0,340,2978,256,0 7,0,2024-09-07 08:54:21:533,61743,0.5,61947,0.7,123541,0.5,164220,2.00 7,1,2024-09-07 08:54:20:855,450228,450228,0,0,210291864981,2205543924,444327,4898,1003,382,391747,0 7,2,2024-09-07 08:54:20:777,325394,325394,0,0,13677866,0,4791 7,3,2024-09-07 08:54:20:853,1,256,1,0,398,3126,256,0 8,0,2024-09-07 08:54:21:360,63299,0.4,63291,0.5,126012,0.3,168141,1.75 8,1,2024-09-07 08:54:21:023,448859,448859,0,0,210171222474,2216740272,440564,6277,2018,366,391956,0 8,2,2024-09-07 08:54:20:808,320479,320479,0,0,16485503,0,3220 8,3,2024-09-07 08:54:20:592,1,256,3,0,357,3860,256,0 9,0,2024-09-07 08:54:21:105,63540,0.3,61577,0.5,128691,0.3,168376,1.50 9,1,2024-09-07 08:54:20:558,449505,449505,0,0,210101354552,2214261862,443014,4949,1542,370,392001,0 9,2,2024-09-07 08:54:21:086,320113,320113,0,0,14509727,0,3360 9,3,2024-09-07 08:54:21:754,1,256,8,0,496,3825,256,0 10,0,2024-09-07 08:54:21:653,66240,0.3,65560,0.5,131900,0.2,176112,1.75 10,1,2024-09-07 08:54:20:603,450118,450118,0,0,209915281116,2200843645,443757,5433,928,381,391741,0 10,2,2024-09-07 08:54:20:772,324684,324684,0,0,16205330,0,4264 10,3,2024-09-07 08:54:20:875,1,256,2,0,177,2103,256,0 11,0,2024-09-07 08:54:21:010,63681,0.5,61896,0.7,129436,0.5,171051,2.00 11,1,2024-09-07 08:54:20:574,450594,450594,0,0,210423194646,2213816039,442375,6238,1981,383,391537,0 11,2,2024-09-07 08:54:21:134,325641,325641,0,0,15136820,0,4130 11,3,2024-09-07 08:54:21:306,1,256,0,0,843,4229,256,0 12,0,2024-09-07 08:54:20:952,65213,0.3,65088,0.5,130195,0.3,173008,1.75 12,1,2024-09-07 08:54:20:948,450350,450350,0,0,210384573072,2192637125,446831,3097,422,370,391870,0 12,2,2024-09-07 08:54:21:546,324442,324442,0,0,14026698,0,3469 12,3,2024-09-07 08:54:21:060,1,256,12,0,386,4244,256,0 13,0,2024-09-07 08:54:21:364,63962,0.3,63787,0.5,127407,0.3,169830,1.50 13,1,2024-09-07 08:54:21:537,450607,450607,0,0,209969337450,2202112576,447056,3010,541,382,391717,0 13,2,2024-09-07 08:54:20:603,323549,323549,0,0,13148219,0,3287 13,3,2024-09-07 08:54:21:774,1,256,4,0,467,3943,256,0 14,0,2024-09-07 08:54:20:593,65474,0.4,65890,0.5,130655,0.3,173970,1.75 14,1,2024-09-07 08:54:21:561,453354,453354,0,0,211326750814,2186180163,450523,2642,189,364,391571,0 14,2,2024-09-07 08:54:20:770,321967,321967,0,0,13155117,0,2896 14,3,2024-09-07 08:54:21:129,1,256,3,0,1168,3470,256,0 15,0,2024-09-07 08:54:21:558,66319,0.5,66487,0.7,132637,0.5,177319,2.00 15,1,2024-09-07 08:54:21:608,451396,451396,0,0,210804979690,2190595306,448876,2342,178,381,391619,0 15,2,2024-09-07 08:54:20:999,326217,326217,0,0,11266003,0,3043 15,3,2024-09-07 08:54:21:408,1,256,3,0,1126,5350,256,0 16,0,2024-09-07 08:54:20:937,63913,0.7,64099,0.8,127591,0.8,170473,2.25 16,1,2024-09-07 08:54:20:570,451561,451561,0,0,210644828017,2199776381,448000,3262,299,370,391756,0 16,2,2024-09-07 08:54:21:452,324003,324003,0,0,13768222,0,4719 16,3,2024-09-07 08:54:21:151,1,256,1,0,317,3480,256,0 17,0,2024-09-07 08:54:21:786,64369,0.5,62815,0.7,123044,0.4,166979,1.75 17,1,2024-09-07 08:54:20:575,450235,450235,0,0,209975661494,2203248960,445392,3739,1104,368,391845,0 17,2,2024-09-07 08:54:21:667,326687,326687,0,0,12934009,0,2857 17,3,2024-09-07 08:54:20:581,1,256,0,0,268,4018,256,0 18,0,2024-09-07 08:54:20:951,62497,0.6,62714,0.8,125241,0.6,167367,2.25 18,1,2024-09-07 08:54:21:639,451699,451699,0,0,210342568281,2179151069,449189,2268,242,367,391649,0 18,2,2024-09-07 08:54:21:764,321611,321611,0,0,12530512,0,3541 18,3,2024-09-07 08:54:20:902,1,256,3,0,163,2539,256,0 19,0,2024-09-07 08:54:21:549,66262,0.6,66927,0.8,132520,0.6,176653,2.00 19,1,2024-09-07 08:54:20:624,451362,451362,0,0,211836758586,2200349008,446704,3872,786,367,391777,0 19,2,2024-09-07 08:54:21:763,327407,327407,0,0,11596339,0,3988 19,3,2024-09-07 08:54:21:135,1,256,5,0,524,1899,256,0 20,0,2024-09-07 08:54:21:349,61849,0.7,61876,0.8,123983,0.8,165276,2.25 20,1,2024-09-07 08:54:20:571,450172,450172,0,0,210806314439,2204118299,446350,3424,398,369,391886,0 20,2,2024-09-07 08:54:20:937,325941,325941,0,0,13386971,0,3721 20,3,2024-09-07 08:54:20:596,1,256,1,0,414,4627,256,0 21,0,2024-09-07 08:54:21:140,62593,0.5,62835,0.6,125284,0.4,165907,2.00 21,1,2024-09-07 08:54:21:549,449269,449269,0,0,209833335804,2208098256,443550,4462,1257,368,391962,0 21,2,2024-09-07 08:54:21:078,323177,323177,0,0,15150595,0,3747 21,3,2024-09-07 08:54:21:405,1,256,1,0,103,2640,256,0 22,0,2024-09-07 08:54:21:735,62594,0.6,62710,0.7,125116,0.5,166271,2.00 22,1,2024-09-07 08:54:21:023,449782,449782,0,0,209734520915,2207622114,442550,5590,1642,382,391667,0 22,2,2024-09-07 08:54:20:769,319466,319466,0,0,13265279,0,3134 22,3,2024-09-07 08:54:21:068,1,256,2,0,228,2345,256,0 23,0,2024-09-07 08:54:21:395,66003,0.5,65638,0.7,132114,0.5,176486,2.25 23,1,2024-09-07 08:54:21:005,450757,450757,0,0,210670282025,2211293315,443446,4760,2551,365,391690,0 23,2,2024-09-07 08:54:21:102,325692,325692,0,0,12868708,0,3010 23,3,2024-09-07 08:54:21:764,1,256,3,0,645,3294,256,0 24,0,2024-09-07 08:54:20:831,63795,0.4,63679,0.6,127709,0.4,169334,1.75 24,1,2024-09-07 08:54:20:583,449848,449848,0,0,209528726769,2198573067,444235,4132,1481,368,391987,0 24,2,2024-09-07 08:54:21:307,324484,324484,0,0,15997273,0,3607 24,3,2024-09-07 08:54:21:691,1,256,2,0,468,3799,256,0 25,0,2024-09-07 08:54:21:356,65474,0.5,63793,0.7,125385,0.4,170733,2.00 25,1,2024-09-07 08:54:20:559,450772,450772,0,0,210421407381,2202211095,446428,3790,554,371,391788,0 25,2,2024-09-07 08:54:21:605,322936,322936,0,0,16092088,0,3978 25,3,2024-09-07 08:54:21:001,1,256,1,0,255,2776,256,0 26,0,2024-09-07 08:54:21:735,63461,0.4,62074,0.6,130022,0.3,169359,1.75 26,1,2024-09-07 08:54:21:546,450106,450106,0,0,209928730739,2202572414,443116,5774,1216,381,391748,0 26,2,2024-09-07 08:54:20:864,321102,321102,0,0,15706539,0,2809 26,3,2024-09-07 08:54:21:713,1,256,8,0,796,3393,256,0 27,0,2024-09-07 08:54:21:729,65936,0.4,66009,0.6,130431,0.3,174971,2.00 27,1,2024-09-07 08:54:21:682,452197,452197,0,0,211185170035,2197065823,448744,2860,593,381,391558,0 27,2,2024-09-07 08:54:20:872,322008,321943,65,0,15863545,0,5699 27,3,2024-09-07 08:54:21:025,1,256,2,0,564,2786,256,0 28,0,2024-09-07 08:54:21:419,65525,0.5,65303,0.6,131235,0.4,174693,2.00 28,1,2024-09-07 08:54:20:799,451613,451613,0,0,211573217153,2201563655,448732,2410,471,383,391646,0 28,2,2024-09-07 08:54:21:768,326098,326098,0,0,12525790,0,2915 28,3,2024-09-07 08:54:21:783,1,256,0,0,502,2658,256,0 29,0,2024-09-07 08:54:21:367,66193,0.4,64750,0.6,126578,0.3,173161,1.75 29,1,2024-09-07 08:54:21:562,452595,452595,0,0,211641814791,2190522530,449369,2661,565,369,391621,0 29,2,2024-09-07 08:54:20:867,323432,323432,0,0,11928765,0,4018 29,3,2024-09-07 08:54:20:966,1,256,45,0,115,1869,256,0 30,0,2024-09-07 08:54:21:455,62520,0.5,60750,0.7,127035,0.4,166425,2.00 30,1,2024-09-07 08:54:20:575,452363,452363,0,0,211401970156,2189544382,450033,2037,293,382,391672,0 30,2,2024-09-07 08:54:21:274,323840,323840,0,0,11767583,0,3161 30,3,2024-09-07 08:54:20:589,1,256,0,0,519,2408,256,0 31,0,2024-09-07 08:54:21:765,64304,0.4,64557,0.6,129286,0.3,172629,1.75 31,1,2024-09-07 08:54:20:570,453833,453833,0,0,212282125074,2174105854,452442,1171,220,356,391712,0 31,2,2024-09-07 08:54:21:275,321059,321059,0,0,13308610,0,3525 31,3,2024-09-07 08:54:21:707,1,256,1,0,220,1971,256,0 32,0,2024-09-07 08:54:21:431,66180,0.4,66770,0.5,133256,0.3,177464,1.75 32,1,2024-09-07 08:54:20:818,452174,452174,0,0,210991666950,2187948110,450217,1678,279,381,391622,0 32,2,2024-09-07 08:54:20:941,326061,326061,0,0,11557647,0,3155 32,3,2024-09-07 08:54:21:015,1,256,9,0,227,2049,256,0 33,0,2024-09-07 08:54:21:503,63427,0.3,62749,0.5,126275,0.2,168565,1.75 33,1,2024-09-07 08:54:20:583,452623,452623,0,0,212366954647,2192776048,450042,2407,174,369,391730,0 33,2,2024-09-07 08:54:20:759,326352,326319,33,0,13291863,0,7012 33,3,2024-09-07 08:54:20:900,1,256,184,0,329,3095,256,0 34,0,2024-09-07 08:54:20:942,62181,0.3,64120,0.5,122934,0.2,164901,1.50 34,1,2024-09-07 08:54:21:045,453158,453158,0,0,212147472584,2180909780,452062,1089,7,367,391562,0 34,2,2024-09-07 08:54:20:766,324488,324488,0,0,12546104,0,3577 34,3,2024-09-07 08:54:21:690,1,256,12,0,299,2070,256,0 35,0,2024-09-07 08:54:20:859,62385,0.3,62770,0.5,126089,0.2,167967,1.75 35,1,2024-09-07 08:54:21:083,452067,452067,0,0,210678550943,2184579559,448721,2566,780,384,391589,0 35,2,2024-09-07 08:54:21:587,320640,320640,0,0,12021380,0,2653 35,3,2024-09-07 08:54:20:915,1,256,1,0,418,3039,256,0 36,0,2024-09-07 08:54:21:533,67023,0.6,66863,0.7,134156,0.5,178480,2.25 36,1,2024-09-07 08:54:20:588,451265,451265,0,0,210133302752,2197444118,445283,4403,1579,366,391759,0 36,2,2024-09-07 08:54:21:755,325061,325061,0,0,14174364,0,3875 36,3,2024-09-07 08:54:20:868,1,256,0,0,416,4048,256,0 37,0,2024-09-07 08:54:21:386,61618,0.6,61573,0.7,123444,0.5,165180,2.00 37,1,2024-09-07 08:54:20:578,450437,450430,0,7,209785466138,2197834011,444420,3942,2068,365,391560,0 37,2,2024-09-07 08:54:21:153,325288,325273,15,0,14501140,0,5815 37,3,2024-09-07 08:54:21:772,1,256,1,0,888,4484,256,0 38,0,2024-09-07 08:54:21:438,62547,0.5,60822,0.7,127431,0.4,166297,2.00 38,1,2024-09-07 08:54:21:606,451381,451381,0,0,210758708320,2199292279,446109,4597,675,368,391821,0 38,2,2024-09-07 08:54:20:771,323388,323341,47,0,14859409,0,6710 38,3,2024-09-07 08:54:21:003,1,256,1,0,689,3601,256,0 39,0,2024-09-07 08:54:21:772,64714,0.6,63612,0.7,123352,0.5,168382,2.00 39,1,2024-09-07 08:54:20:731,451160,451160,0,0,210754037819,2199293165,445600,4658,902,365,391594,0 39,2,2024-09-07 08:54:21:418,320118,320118,0,0,12845754,0,2689 39,3,2024-09-07 08:54:20:715,1,256,0,0,324,3423,256,0 40,0,2024-09-07 08:54:21:512,65208,0.7,65845,0.9,131742,0.7,175629,2.75 40,1,2024-09-07 08:54:20:582,450649,450649,0,0,209750302230,2199005599,443509,5697,1443,368,391591,0 40,2,2024-09-07 08:54:21:314,324513,324512,1,0,16229439,0,5137 40,3,2024-09-07 08:54:21:148,1,256,23,0,398,3176,256,0 41,0,2024-09-07 08:54:21:076,63477,2.0,64958,1.4,123925,3.1,169274,3.75 41,1,2024-09-07 08:54:20:777,450773,450773,0,0,211061273439,2206613395,445231,4908,634,370,391742,0 41,2,2024-09-07 08:54:20:772,324235,324235,0,0,15436328,0,3356 41,3,2024-09-07 08:54:21:678,1,256,1,0,366,3032,256,0 42,0,2024-09-07 08:54:21:480,63972,0.8,64153,1.0,128475,0.9,169586,2.50 42,1,2024-09-07 08:54:21:440,449503,449503,0,0,210037032679,2208107444,442219,5832,1452,380,391675,0 42,2,2024-09-07 08:54:21:135,324062,324062,0,0,14738803,0,3568 42,3,2024-09-07 08:54:21:022,1,256,3,0,446,2350,256,0 43,0,2024-09-07 08:54:20:925,63283,0.6,61711,0.9,128824,0.6,169591,2.00 43,1,2024-09-07 08:54:20:582,450491,450491,0,0,211230805072,2207198996,444227,4935,1329,366,391696,0 43,2,2024-09-07 08:54:21:749,322579,322579,0,0,14073800,0,3812 43,3,2024-09-07 08:54:21:754,1,256,16,0,467,3100,256,0 44,0,2024-09-07 08:54:20:872,65078,0.4,65568,0.6,130699,0.4,174192,1.75 44,1,2024-09-07 08:54:20:574,452665,452665,0,0,211281560756,2179616859,450107,2126,432,356,391809,0 44,2,2024-09-07 08:54:21:266,321846,321846,0,0,11818619,0,2231 44,3,2024-09-07 08:54:21:094,1,256,2,0,817,2989,256,0 45,0,2024-09-07 08:54:21:770,65317,0.6,63881,0.8,134063,0.7,177069,2.00 45,1,2024-09-07 08:54:21:015,451899,451899,0,0,211182549285,2187373487,450248,1639,12,382,391917,0 45,2,2024-09-07 08:54:21:273,326353,326353,0,0,12523726,0,3596 45,3,2024-09-07 08:54:20:943,1,256,5,0,271,2689,256,0 46,0,2024-09-07 08:54:20:960,63514,0.6,63293,0.8,126870,0.6,168363,2.00 46,1,2024-09-07 08:54:20:580,453427,453427,0,0,211845435869,2185676687,451080,2073,274,366,391539,0 46,2,2024-09-07 08:54:20:596,325156,325156,0,0,12024366,0,2920 46,3,2024-09-07 08:54:21:144,1,256,6,0,908,3786,256,0 47,0,2024-09-07 08:54:21:118,62805,0.4,62654,0.6,125963,0.4,166469,1.75 47,1,2024-09-07 08:54:20:568,452834,452834,0,0,211052110757,2177278569,450510,2190,134,366,391605,0 47,2,2024-09-07 08:54:20:925,326223,326223,0,0,12099798,0,2558 47,3,2024-09-07 08:54:21:117,1,256,3,0,529,2333,256,0 48,0,2024-09-07 08:54:21:511,63551,0.3,63168,0.4,125856,0.2,168288,1.50 48,1,2024-09-07 08:54:21:024,452111,452111,0,0,211064291907,2191596359,449004,2808,299,384,391710,0 48,2,2024-09-07 08:54:20:710,320711,320711,0,0,11590375,0,3031 48,3,2024-09-07 08:54:20:753,1,256,50,0,339,2155,256,0 49,0,2024-09-07 08:54:21:729,68564,0.4,67346,0.5,130472,0.3,178837,1.75 49,1,2024-09-07 08:54:21:024,451069,451069,0,0,210393919893,2192430801,447210,2678,1181,382,391809,0 49,2,2024-09-07 08:54:21:796,326975,326975,0,0,12237741,0,3900 49,3,2024-09-07 08:54:21:416,1,256,1,0,408,2789,256,0 50,0,2024-09-07 08:54:21:508,62144,0.3,61538,0.5,123853,0.2,165220,1.75 50,1,2024-09-07 08:54:21:019,452780,452780,0,0,211802549094,2189920643,449942,2528,310,368,391530,0 50,2,2024-09-07 08:54:21:068,326213,326213,0,0,11533134,0,2263 50,3,2024-09-07 08:54:21:307,1,256,1,0,335,2109,256,0 51,0,2024-09-07 08:54:21:695,64031,0.3,62644,0.5,122070,0.2,166228,1.75 51,1,2024-09-07 08:54:21:683,453101,453101,0,0,212014430004,2187763145,450304,1858,939,365,391706,0 51,2,2024-09-07 08:54:21:321,324543,324543,0,0,10940722,0,3337 51,3,2024-09-07 08:54:21:036,1,256,9,0,678,2056,256,0 52,0,2024-09-07 08:54:21:457,63338,0.4,62901,0.6,125734,0.4,166929,2.00 52,1,2024-09-07 08:54:20:581,450768,450768,0,0,209575551904,2197462808,443610,5904,1254,368,391722,0 52,2,2024-09-07 08:54:21:787,318247,318209,38,0,15015871,0,6742 52,3,2024-09-07 08:54:20:681,1,256,1,0,1782,4558,256,0 53,0,2024-09-07 08:54:21:749,65631,0.6,63830,0.8,133605,0.7,175751,2.50 53,1,2024-09-07 08:54:20:773,449777,449777,0,0,210383320589,2208919202,442451,4970,2356,367,391702,0 53,2,2024-09-07 08:54:21:303,325703,325703,0,0,12562600,0,2727 53,3,2024-09-07 08:54:20:701,1,256,1,0,271,2313,256,0 54,0,2024-09-07 08:54:21:633,62314,0.8,62829,0.9,124423,0.5,166456,2.75 54,1,2024-09-07 08:54:20:581,451140,451140,0,0,210859886130,2192823063,446404,4139,597,367,391659,0 54,2,2024-09-07 08:54:20:875,325869,325863,6,0,13957136,0,5382 54,3,2024-09-07 08:54:20:769,1,256,0,0,676,3910,256,0 55,0,2024-09-07 08:54:21:776,61606,0.6,63755,0.8,128353,0.5,167176,2.50 55,1,2024-09-07 08:54:20:764,450700,450700,0,0,210808456666,2194848411,445150,4763,787,365,391731,0 55,2,2024-09-07 08:54:20:739,323472,323472,0,0,14082377,0,3275 55,3,2024-09-07 08:54:20:685,1,256,3,0,304,2890,256,0 56,0,2024-09-07 08:54:21:574,65159,0.9,61513,1.0,126499,1.2,169300,2.25 56,1,2024-09-07 08:54:20:576,449003,449003,0,0,210588830235,2226374019,441762,5803,1438,381,391678,0 56,2,2024-09-07 08:54:21:315,321160,321160,0,0,15232992,0,3567 56,3,2024-09-07 08:54:21:059,1,256,1,0,705,3719,256,0 57,0,2024-09-07 08:54:20:942,64767,1.5,64845,1.2,129665,2.0,173804,3.00 57,1,2024-09-07 08:54:20:996,450405,450405,0,0,210334972206,2203516549,445082,4653,670,367,391960,0 57,2,2024-09-07 08:54:21:355,323933,323933,0,0,16478915,0,3317 57,3,2024-09-07 08:54:21:766,1,256,5,0,359,3386,256,0 58,0,2024-09-07 08:54:20:570,64217,1.1,62420,1.1,130712,1.6,171186,2.75 58,1,2024-09-07 08:54:20:580,451325,451322,0,3,211314276414,2207670716,445589,5022,711,367,391603,3 58,2,2024-09-07 08:54:21:078,325967,325967,0,0,14710089,0,2549 58,3,2024-09-07 08:54:21:068,1,256,1,0,1043,2912,256,0 59,0,2024-09-07 08:54:21:763,64199,0.8,64002,1.0,127768,0.9,169828,3.00 59,1,2024-09-07 08:54:20:825,450900,450900,0,0,211167941590,2209063630,445111,4524,1265,369,391525,0 59,2,2024-09-07 08:54:20:590,324967,324967,0,0,13438039,0,2604 59,3,2024-09-07 08:54:21:764,1,256,1,0,1015,3560,256,0 60,0,2024-09-07 08:54:21:711,62745,0.4,62463,0.6,125959,0.3,166958,1.75 60,1,2024-09-07 08:54:20:789,452449,452449,0,0,211747773864,2194356803,450002,1930,517,370,392031,0 60,2,2024-09-07 08:54:21:151,324002,324002,0,0,14407825,0,3811 60,3,2024-09-07 08:54:21:262,1,256,6,0,124,2464,256,0 61,0,2024-09-07 08:54:21:516,64559,0.6,64800,0.8,129123,0.6,172740,2.00 61,1,2024-09-07 08:54:20:777,450906,450906,0,0,210442930175,2200915611,446333,3870,703,382,391880,0 61,2,2024-09-07 08:54:21:122,321974,321974,0,0,12758750,0,2780 61,3,2024-09-07 08:54:21:691,1,256,3,0,397,3474,256,0 62,0,2024-09-07 08:54:21:742,66938,0.6,68184,0.7,130135,0.6,177243,2.00 62,1,2024-09-07 08:54:21:126,453246,453240,0,6,211760162041,2183224427,450775,2347,118,365,391715,6 62,2,2024-09-07 08:54:21:646,324681,324680,1,0,14141822,0,5555 62,3,2024-09-07 08:54:21:151,1,256,1,0,287,1798,256,0 63,0,2024-09-07 08:54:21:484,62954,0.5,63093,0.6,126358,0.5,168123,1.75 63,1,2024-09-07 08:54:20:811,452642,452636,0,6,211011423623,2184419369,451060,1526,50,381,391677,6 63,2,2024-09-07 08:54:20:773,325604,325604,0,0,11817360,0,2674 63,3,2024-09-07 08:54:21:739,1,256,1,0,667,2878,256,0 64,0,2024-09-07 08:54:21:522,61840,0.4,61827,0.6,123929,0.4,164826,1.75 64,1,2024-09-07 08:54:20:762,451350,451350,0,0,211062747932,2200350291,446860,3076,1414,370,391783,0 64,2,2024-09-07 08:54:21:145,326985,326966,19,0,12673585,0,6121 64,3,2024-09-07 08:54:21:144,1,256,0,0,265,2553,256,0 65,0,2024-09-07 08:54:21:705,62357,0.6,62759,0.7,125155,0.6,166932,2.00 65,1,2024-09-07 08:54:20:868,450649,450649,0,0,210425646049,2200100669,447095,3109,445,382,391901,0 65,2,2024-09-07 08:54:21:706,320310,320310,0,0,13324406,0,3367 65,3,2024-09-07 08:54:21:916,1,256,15,0,163,2439,256,0 66,0,2024-09-07 08:54:21:857,66723,0.5,66468,0.7,133563,0.4,177772,2.00 66,1,2024-09-07 08:54:21:318,452210,452210,0,0,211286728637,2194273392,449636,2373,201,380,391653,0 66,2,2024-09-07 08:54:21:134,327500,327500,0,0,13089601,0,4956 66,3,2024-09-07 08:54:21:082,1,256,1,0,291,2645,256,0 67,0,2024-09-07 08:54:21:426,62342,0.6,61828,0.8,124503,0.7,165232,2.00 67,1,2024-09-07 08:54:20:766,450982,450981,0,1,211102712455,2200722211,447136,3098,747,380,391787,1 67,2,2024-09-07 08:54:20:587,327337,327337,0,0,12145732,0,2889 67,3,2024-09-07 08:54:21:755,1,256,1,0,338,2339,256,0 68,0,2024-09-07 08:54:20:589,63336,0.6,63116,0.8,125797,0.6,168037,2.00 68,1,2024-09-07 08:54:20:593,450457,450457,0,0,210172610277,2202379460,446278,3009,1170,381,391953,0 68,2,2024-09-07 08:54:21:049,322952,322887,65,0,15919042,0,6698 68,3,2024-09-07 08:54:20:736,1,256,6,0,417,2853,256,0 69,0,2024-09-07 08:54:21:786,62944,0.7,63271,0.8,126247,0.7,167444,2.25 69,1,2024-09-07 08:54:21:032,449252,449252,0,0,209584158913,2210597443,443550,4300,1402,384,391994,0 69,2,2024-09-07 08:54:21:756,319961,319961,0,0,15681327,0,3722 69,3,2024-09-07 08:54:20:772,1,256,12,0,698,3674,256,0 70,0,2024-09-07 08:54:21:578,65671,0.7,65572,0.9,131654,0.5,175291,2.25 70,1,2024-09-07 08:54:20:810,451783,451783,0,0,212064680241,2201063999,448117,3138,528,366,391725,0 70,2,2024-09-07 08:54:21:337,324884,324884,0,0,14378010,0,4044 70,3,2024-09-07 08:54:20:755,1,256,8,0,854,3026,256,0 71,0,2024-09-07 08:54:21:405,63497,1.2,63391,1.1,127144,1.5,169953,3.00 71,1,2024-09-07 08:54:21:600,450758,450758,0,0,210966724942,2200205937,445603,4534,621,368,391738,0 71,2,2024-09-07 08:54:21:068,325633,325633,0,0,14751094,0,4042 71,3,2024-09-07 08:54:21:755,1,256,1,0,644,3694,256,0 72,0,2024-09-07 08:54:21:098,66849,0.7,65382,0.8,127422,0.8,173380,2.25 72,1,2024-09-07 08:54:21:036,450870,450870,0,0,211199549002,2204383544,445809,4130,931,369,391819,0 72,2,2024-09-07 08:54:21:755,322899,322899,0,0,16444755,0,3983 72,3,2024-09-07 08:54:21:767,1,256,12,0,564,4546,256,0 73,0,2024-09-07 08:54:21:134,62026,0.4,63451,0.6,130233,0.3,169247,2.00 73,1,2024-09-07 08:54:20:786,451362,451362,0,0,211382103657,2196123559,448271,2856,235,367,391750,0 73,2,2024-09-07 08:54:21:748,322637,322637,0,0,15737248,0,3701 73,3,2024-09-07 08:54:20:977,1,256,1,0,274,3741,256,0 74,0,2024-09-07 08:54:21:335,65820,0.4,67234,0.6,128241,0.4,174141,2.25 74,1,2024-09-07 08:54:20:639,450678,450678,0,0,210251447378,2194940880,446277,3602,799,381,391681,0 74,2,2024-09-07 08:54:21:002,322091,322091,0,0,15094814,0,4253 74,3,2024-09-07 08:54:21:442,1,256,1,0,522,3402,256,0 75,0,2024-09-07 08:54:21:790,66559,0.5,66181,0.8,132758,0.5,178150,2.25 75,1,2024-09-07 08:54:21:585,450017,450017,0,0,209889135283,2194250196,445087,4266,664,380,391660,0 75,2,2024-09-07 08:54:21:373,324708,324708,0,0,15585567,0,4766 75,3,2024-09-07 08:54:21:067,1,256,1,0,702,3756,256,0 76,0,2024-09-07 08:54:20:649,63522,0.7,62892,0.9,126123,0.7,169253,2.50 76,1,2024-09-07 08:54:20:817,450964,450964,0,0,210668474759,2196746862,447858,2555,551,382,391692,0 76,2,2024-09-07 08:54:21:069,325951,325951,0,0,12702328,0,3784 76,3,2024-09-07 08:54:21:155,1,256,5,0,175,2654,256,0 77,0,2024-09-07 08:54:21:733,62485,0.5,62791,0.7,125237,0.4,166254,1.75 77,1,2024-09-07 08:54:20:833,451509,451509,0,0,210848512806,2200307463,448058,3194,257,381,391808,0 77,2,2024-09-07 08:54:21:292,323938,323938,0,0,13391923,0,3890 77,3,2024-09-07 08:54:21:095,1,256,0,0,382,2851,256,0 78,0,2024-09-07 08:54:21:730,63410,0.4,62824,0.6,126497,0.3,167552,2.00 78,1,2024-09-07 08:54:20:622,451888,451888,0,0,210245274101,2181172519,448891,2678,319,367,391670,0 78,2,2024-09-07 08:54:21:407,320851,320851,0,0,11879967,0,3855 78,3,2024-09-07 08:54:21:136,1,256,1,0,181,2445,256,0 79,0,2024-09-07 08:54:21:354,64634,0.4,66343,0.6,135522,0.4,176505,2.25 79,1,2024-09-07 08:54:20:574,452797,452797,0,0,211227987550,2184569605,449952,2509,336,369,391682,0 79,2,2024-09-07 08:54:21:073,326739,326739,0,0,12086024,0,3212 79,3,2024-09-07 08:54:20:754,1,256,12,0,418,3738,256,0 80,0,2024-09-07 08:54:21:106,61907,0.6,63790,0.7,122074,0.6,164881,2.00 80,1,2024-09-07 08:54:21:620,451108,451108,0,0,210759630791,2192651578,448137,2802,169,368,391791,0 80,2,2024-09-07 08:54:21:105,326544,326544,0,0,12451400,0,4433 80,3,2024-09-07 08:54:20:583,1,256,3,0,190,3435,256,0 81,0,2024-09-07 08:54:21:582,62355,0.5,63953,0.6,122377,0.4,165237,1.75 81,1,2024-09-07 08:54:21:679,450112,450112,0,0,209882233135,2192868565,446269,3380,463,382,391879,0 81,2,2024-09-07 08:54:21:134,324278,324278,0,0,12796575,0,3993 81,3,2024-09-07 08:54:21:123,1,256,1,0,374,3018,256,0 82,0,2024-09-07 08:54:21:553,62392,0.4,62914,0.6,125895,0.3,166991,1.75 82,1,2024-09-07 08:54:20:591,451684,451680,0,4,210992722809,2196663003,448458,2611,611,381,391558,4 82,2,2024-09-07 08:54:21:691,321037,321037,0,0,12036471,0,3986 82,3,2024-09-07 08:54:21:764,1,256,3,0,363,2821,256,0 83,0,2024-09-07 08:54:21:528,66349,0.5,66278,0.7,131760,0.5,176288,2.00 83,1,2024-09-07 08:54:20:619,450573,450573,0,0,210563193734,2194508895,447398,2931,244,382,391690,0 83,2,2024-09-07 08:54:20:786,325263,325263,0,0,12122328,0,3119 83,3,2024-09-07 08:54:20:758,1,256,27,0,250,2720,256,0 84,0,2024-09-07 08:54:21:774,62982,0.8,62649,0.9,125551,0.8,167990,2.25 84,1,2024-09-07 08:54:21:048,450044,450044,0,0,210232734854,2197362576,445297,4170,577,368,391967,0 84,2,2024-09-07 08:54:20:588,325885,325885,0,0,14200218,0,3801 84,3,2024-09-07 08:54:21:147,1,256,5,0,908,3959,256,0 85,0,2024-09-07 08:54:21:025,61689,0.7,61649,0.9,130726,0.7,168619,2.50 85,1,2024-09-07 08:54:20:567,449729,449729,0,0,209684167320,2214618000,442886,5736,1107,381,392006,0 85,2,2024-09-07 08:54:20:872,325127,325127,0,0,14975303,0,3656 85,3,2024-09-07 08:54:20:690,1,256,0,0,789,3506,256,0 86,0,2024-09-07 08:54:20:898,63758,0.6,65519,0.7,125339,0.5,169192,2.00 86,1,2024-09-07 08:54:20:834,451113,451113,0,0,210664468527,2202028783,446127,4260,726,366,391961,0 86,2,2024-09-07 08:54:20:854,320331,320330,1,0,15762132,0,5004 86,3,2024-09-07 08:54:20:586,1,256,5,0,286,3393,256,0 87,0,2024-09-07 08:54:21:293,65260,0.9,65141,0.9,130801,1.1,175346,2.25 87,1,2024-09-07 08:54:20:556,451042,451042,0,0,210058993663,2196132143,446565,3993,484,366,391788,0 87,2,2024-09-07 08:54:21:066,322799,322799,0,0,14089004,0,4045 87,3,2024-09-07 08:54:21:793,1,256,1,0,473,3816,256,0 88,0,2024-09-07 08:54:21:446,65408,0.5,65771,0.6,131275,0.5,174400,2.00 88,1,2024-09-07 08:54:20:585,449521,449521,0,0,210856265912,2212229904,443335,4672,1514,365,392084,0 88,2,2024-09-07 08:54:20:709,325404,325404,0,0,16212768,0,3583 88,3,2024-09-07 08:54:21:270,1,256,1,0,435,3107,256,0 89,0,2024-09-07 08:54:21:783,66455,0.5,64196,0.7,127486,0.5,173194,2.00 89,1,2024-09-07 08:54:20:559,448785,448785,0,0,210053388157,2215286361,441861,5702,1222,382,391866,0 89,2,2024-09-07 08:54:21:131,323206,323206,0,0,15462178,0,2910 89,3,2024-09-07 08:54:21:804,1,256,3,0,325,4858,256,0 90,0,2024-09-07 08:54:21:641,60924,0.4,62271,0.6,127599,0.4,166115,1.75 90,1,2024-09-07 08:54:20:602,450899,450899,0,0,210298547950,2203272226,446763,3858,278,381,391825,0 90,2,2024-09-07 08:54:21:415,322170,322170,0,0,16678055,0,3060 90,3,2024-09-07 08:54:20:957,1,256,9,0,200,2740,256,0 91,0,2024-09-07 08:54:20:984,64722,0.4,62719,0.6,131090,0.4,172729,1.75 91,1,2024-09-07 08:54:20:559,449754,449754,0,0,210071408199,2208709180,443867,5193,694,381,392047,0 91,2,2024-09-07 08:54:21:331,320851,320851,0,0,14857953,0,2896 91,3,2024-09-07 08:54:20:599,1,256,1,0,216,2397,256,0 92,0,2024-09-07 08:54:21:453,67043,0.5,68587,0.6,131136,0.5,177451,1.75 92,1,2024-09-07 08:54:20:589,450869,450869,0,0,210957932511,2198497007,448305,2158,406,382,392136,0 92,2,2024-09-07 08:54:21:368,327211,327211,0,0,12520229,0,2801 92,3,2024-09-07 08:54:21:019,1,256,4,0,167,2045,256,0 93,0,2024-09-07 08:54:21:072,63237,0.4,64972,0.6,124101,0.4,168667,1.75 93,1,2024-09-07 08:54:20:825,450937,450937,0,0,210269467980,2193728291,446452,3697,788,366,391692,0 93,2,2024-09-07 08:54:20:942,325825,325825,0,0,13354404,0,2797 93,3,2024-09-07 08:54:21:416,1,256,20,0,190,2628,256,0 94,0,2024-09-07 08:54:21:618,61976,0.4,62759,0.5,125054,0.3,165569,1.75 94,1,2024-09-07 08:54:20:581,451045,451045,0,0,210990522985,2202798445,447749,3117,179,381,391850,0 94,2,2024-09-07 08:54:20:765,323974,323974,0,0,12356140,0,2443 94,3,2024-09-07 08:54:21:695,1,256,3,0,264,3315,256,0 95,0,2024-09-07 08:54:21:383,63042,0.3,62670,0.5,126460,0.3,167718,1.75 95,1,2024-09-07 08:54:20:875,451662,451662,0,0,211120848380,2193740749,448085,3201,376,367,391713,0 95,2,2024-09-07 08:54:21:017,319621,319621,0,0,12599394,0,3308 95,3,2024-09-07 08:54:21:717,1,256,3,0,718,4527,256,0 96,0,2024-09-07 08:54:21:060,67006,0.4,67197,0.5,134157,0.3,178033,1.75 96,1,2024-09-07 08:54:21:591,450592,450592,0,0,210782733818,2200092774,447011,2847,734,384,391894,0 96,2,2024-09-07 08:54:21:273,325858,325858,0,0,13285478,0,4042 96,3,2024-09-07 08:54:21:150,1,256,56,0,411,2993,256,0 97,0,2024-09-07 08:54:21:332,62189,0.4,62001,0.5,124521,0.3,165093,1.75 97,1,2024-09-07 08:54:20:767,452175,452175,0,0,212010803083,2197777007,449134,2485,556,367,392140,0 97,2,2024-09-07 08:54:20:616,326672,326672,0,0,12522996,0,3036 97,3,2024-09-07 08:54:20:576,1,256,3,0,214,2951,256,0 98,0,2024-09-07 08:54:21:713,63138,0.3,63172,0.4,127009,0.2,168122,1.50 98,1,2024-09-07 08:54:20:585,451480,451480,0,0,210597785714,2191011805,449332,2048,100,382,391997,0 98,2,2024-09-07 08:54:20:779,324494,324494,0,0,12563813,0,3080 98,3,2024-09-07 08:54:20:714,1,256,3,0,840,4578,256,0 99,0,2024-09-07 08:54:21:471,63312,0.3,63536,0.4,126770,0.2,168613,1.50 99,1,2024-09-07 08:54:21:723,451511,451511,0,0,210746438214,2196243000,447721,3050,740,381,391744,0 99,2,2024-09-07 08:54:21:417,322892,322892,0,0,13269382,0,3106 99,3,2024-09-07 08:54:20:585,1,256,1,0,168,1941,256,0 100,0,2024-09-07 08:54:21:461,65836,0.7,65791,0.9,131492,0.8,175806,2.25 100,1,2024-09-07 08:54:20:562,448604,448604,0,0,209296282866,2212402983,441756,5530,1318,381,391989,0 100,2,2024-09-07 08:54:21:855,323207,323196,11,0,14927836,0,5417 100,3,2024-09-07 08:54:21:736,1,256,12,0,559,4931,256,0 101,0,2024-09-07 08:54:21:715,65341,1.7,63581,1.2,124986,2.0,171504,2.50 101,1,2024-09-07 08:54:20:562,448637,448637,0,0,209644743561,2209252437,441282,5584,1771,368,391769,0 101,2,2024-09-07 08:54:21:767,324738,324738,0,0,16168258,0,4644 101,3,2024-09-07 08:54:20:955,1,256,17,0,448,3272,256,0 102,0,2024-09-07 08:54:20:973,63102,0.7,65396,0.8,131615,0.7,172629,2.00 102,1,2024-09-07 08:54:21:149,450140,450140,0,0,210286009897,2208406358,444485,4814,841,369,391883,0 102,2,2024-09-07 08:54:21:749,324753,324699,54,0,14773651,0,6768 102,3,2024-09-07 08:54:21:615,1,256,8,0,410,2748,256,0 103,0,2024-09-07 08:54:21:616,65570,0.4,65497,0.6,123758,0.4,170496,1.75 103,1,2024-09-07 08:54:21:660,449181,449181,0,0,210112008707,2213365630,442886,4914,1381,381,391829,0 103,2,2024-09-07 08:54:20:607,321747,321747,0,0,13920383,0,2582 103,3,2024-09-07 08:54:20:767,1,256,3,0,916,3586,256,0 104,0,2024-09-07 08:54:21:060,64727,0.7,65139,1.0,129032,0.7,174177,2.25 104,1,2024-09-07 08:54:21:608,450630,450630,0,0,210425554650,2212814385,443952,5583,1095,365,392168,0 104,2,2024-09-07 08:54:21:672,321097,321097,0,0,15749379,0,3941 104,3,2024-09-07 08:54:21:416,1,256,0,0,1245,6471,256,0 105,0,2024-09-07 08:54:21:059,65707,1.2,63811,1.2,133451,1.6,176735,3.25 105,1,2024-09-07 08:54:20:568,450580,450580,0,0,210612297235,2210623941,444265,5336,979,366,391797,0 105,2,2024-09-07 08:54:21:560,325125,325125,0,0,15241694,0,3509 105,3,2024-09-07 08:54:21:307,1,256,0,0,399,4276,256,0 106,0,2024-09-07 08:54:21:006,61473,1.0,62955,1.0,129071,1.1,168904,2.75 106,1,2024-09-07 08:54:21:770,450500,450500,0,0,210374792965,2211063145,443392,6349,759,369,391767,0 106,2,2024-09-07 08:54:20:770,323015,323015,0,0,14742010,0,2795 106,3,2024-09-07 08:54:20:681,1,256,4,0,470,3628,256,0 107,0,2024-09-07 08:54:21:101,62366,0.8,62369,0.9,124640,0.8,165942,2.00 107,1,2024-09-07 08:54:20:586,449155,449155,0,0,209588451793,2205093885,443830,4776,549,381,392234,0 107,2,2024-09-07 08:54:21:311,323356,323355,1,0,15458739,0,5024 107,3,2024-09-07 08:54:21:763,1,256,3,0,353,3457,256,0 108,0,2024-09-07 08:54:21:790,63171,0.4,63444,0.6,125935,0.3,168253,1.75 108,1,2024-09-07 08:54:21:300,450887,450887,0,0,210979203453,2196371625,447680,2823,384,368,391857,0 108,2,2024-09-07 08:54:21:766,319451,319451,0,0,13581842,0,2647 108,3,2024-09-07 08:54:21:338,1,256,558,0,749,5415,256,0 109,0,2024-09-07 08:54:21:773,67213,0.4,66415,0.6,133443,0.3,178670,1.75 109,1,2024-09-07 08:54:20:589,449210,449210,0,0,210080758167,2201582410,445415,3279,516,383,392132,0 109,2,2024-09-07 08:54:20:938,324542,324542,0,0,14101930,0,3617 109,3,2024-09-07 08:54:21:158,1,256,5,0,249,3014,256,0 110,0,2024-09-07 08:54:21:794,62115,0.4,60511,0.6,126546,0.4,165739,1.75 110,1,2024-09-07 08:54:21:651,452219,452219,0,0,211373537819,2193832774,449074,2268,877,369,392045,0 110,2,2024-09-07 08:54:21:328,326372,326372,0,0,13466907,0,3264 110,3,2024-09-07 08:54:20:697,1,256,1,0,406,3418,256,0 111,0,2024-09-07 08:54:21:425,62840,0.3,62388,0.5,124549,0.3,166631,1.75 111,1,2024-09-07 08:54:21:092,452289,452289,0,0,211916097502,2195541717,450297,1656,336,382,391690,0 111,2,2024-09-07 08:54:21:121,324027,324027,0,0,12825293,0,2763 111,3,2024-09-07 08:54:20:921,1,256,0,0,379,3408,256,0 112,0,2024-09-07 08:54:20:929,62941,0.3,62932,0.4,125820,0.2,167104,1.50 112,1,2024-09-07 08:54:20:832,452176,452176,0,0,210737781758,2184525524,449757,1991,428,380,391580,0 112,2,2024-09-07 08:54:21:153,320286,320285,1,0,12941354,0,5036 112,3,2024-09-07 08:54:20:599,1,256,1,0,282,2695,256,0 113,0,2024-09-07 08:54:20:876,65946,0.3,65824,0.5,132631,0.2,177299,1.50 113,1,2024-09-07 08:54:21:697,453098,453098,0,0,212055931262,2187718661,450598,2010,490,366,391661,0 113,2,2024-09-07 08:54:21:316,326482,326482,0,0,11802714,0,3813 113,3,2024-09-07 08:54:20:686,1,256,1,0,340,3624,256,0 114,0,2024-09-07 08:54:20:887,63685,0.4,64211,0.5,127310,0.3,169969,1.75 114,1,2024-09-07 08:54:20:716,451291,451291,0,0,211086642280,2198111071,446482,3220,1589,381,391556,0 114,2,2024-09-07 08:54:20:879,325979,325978,1,0,12905474,0,5069 114,3,2024-09-07 08:54:21:278,1,256,3,0,395,2689,256,0 115,0,2024-09-07 08:54:20:569,63985,0.3,64277,0.4,128532,0.2,170709,1.50 115,1,2024-09-07 08:54:20:581,451174,451174,0,0,210918251211,2193536837,446810,3528,836,382,391639,0 115,2,2024-09-07 08:54:21:126,325198,325198,0,0,11235192,0,2152 115,3,2024-09-07 08:54:21:010,1,256,0,0,159,1376,256,0 116,0,2024-09-07 08:54:21:704,63520,0.6,63460,0.8,127022,0.6,169770,2.00 116,1,2024-09-07 08:54:20:811,448574,448574,0,0,209442956567,2214963392,442171,4348,2055,380,391782,0 116,2,2024-09-07 08:54:21:755,320715,320715,0,0,16127027,0,3529 116,3,2024-09-07 08:54:20:917,1,256,0,0,415,3638,256,0 117,0,2024-09-07 08:54:20:977,65504,0.7,65267,0.8,130881,0.7,175368,2.00 117,1,2024-09-07 08:54:21:583,450265,450265,0,0,209405127878,2191245507,445557,4228,480,370,392033,0 117,2,2024-09-07 08:54:21:125,325538,325538,0,0,13315089,0,3700 117,3,2024-09-07 08:54:21:060,1,256,1,0,490,4030,256,0 118,0,2024-09-07 08:54:21:805,63603,0.6,65299,0.7,133259,0.6,173672,2.00 118,1,2024-09-07 08:54:20:598,450123,450123,0,0,209400588867,2201142144,443750,4939,1434,366,391736,0 118,2,2024-09-07 08:54:21:595,324966,324966,0,0,14540746,0,2781 118,3,2024-09-07 08:54:21:773,1,256,2,0,235,2898,256,0 119,0,2024-09-07 08:54:21:568,64102,0.9,64568,0.9,129303,1.1,172070,2.25 119,1,2024-09-07 08:54:20:557,451250,451250,0,0,210467928063,2198071888,446590,4137,523,367,391641,0 119,2,2024-09-07 08:54:21:267,324206,324206,0,0,13744321,0,4174 119,3,2024-09-07 08:54:21:342,1,256,1,0,443,3488,256,0 120,0,2024-09-07 08:54:21:587,62440,0.5,62204,0.7,124700,0.5,166609,2.00 120,1,2024-09-07 08:54:21:075,450758,450758,0,0,210065449699,2203006069,446065,4342,351,368,391961,0 120,2,2024-09-07 08:54:20:775,323050,323049,1,0,16432181,0,5281 120,3,2024-09-07 08:54:21:290,1,256,3,0,241,3267,256,0 121,0,2024-09-07 08:54:21:719,64462,0.9,64560,0.9,129348,1.1,172721,2.00 121,1,2024-09-07 08:54:21:661,450593,450593,0,0,210574129192,2203691247,445978,4200,415,367,391840,0 121,2,2024-09-07 08:54:21:160,320123,320123,0,0,15634375,0,4127 121,3,2024-09-07 08:54:20:743,1,256,4,0,269,2919,256,0 122,0,2024-09-07 08:54:21:790,66126,0.9,64481,0.9,135232,1.0,177537,2.00 122,1,2024-09-07 08:54:20:866,449776,449776,0,0,210444756047,2208325405,443707,5252,817,366,392130,0 122,2,2024-09-07 08:54:21:330,324357,324284,73,0,18291921,0,5989 122,3,2024-09-07 08:54:20:617,1,256,0,0,411,5004,256,0 123,0,2024-09-07 08:54:20:965,62710,0.9,61337,0.9,127913,1.1,167938,2.25 123,1,2024-09-07 08:54:20:571,450265,450265,0,0,210720037961,2222753836,441591,6958,1716,369,392039,0 123,2,2024-09-07 08:54:21:021,322695,322694,1,0,15159306,0,5215 123,3,2024-09-07 08:54:21:140,1,256,1,0,168,3097,256,0 124,0,2024-09-07 08:54:20:960,64258,0.3,64226,0.5,121232,0.3,166229,1.75 124,1,2024-09-07 08:54:21:024,452107,452107,0,0,210264505582,2184214017,449204,2539,364,367,392178,0 124,2,2024-09-07 08:54:21:018,324605,324605,0,0,12146744,0,3101 124,3,2024-09-07 08:54:20:766,1,256,3,0,490,2831,256,0 125,0,2024-09-07 08:54:21:445,63041,0.3,62841,0.5,126303,0.3,167892,1.75 125,1,2024-09-07 08:54:20:875,450653,450653,0,0,210665001481,2196557363,447556,2702,395,383,391702,0 125,2,2024-09-07 08:54:21:122,320539,320539,0,0,13161737,0,4534 125,3,2024-09-07 08:54:21:127,1,256,1,0,709,3572,256,0 126,0,2024-09-07 08:54:21:459,67059,0.5,68845,0.6,131506,0.5,178989,1.75 126,1,2024-09-07 08:54:20:561,452255,452255,0,0,211271745538,2188741083,449538,2454,263,365,391987,0 126,2,2024-09-07 08:54:20:638,327071,327071,0,0,13494210,0,3186 126,3,2024-09-07 08:54:20:917,1,256,128,0,183,3456,256,0 127,0,2024-09-07 08:54:21:592,62065,0.4,62403,0.5,124248,0.4,165312,1.75 127,1,2024-09-07 08:54:20:574,451818,451818,0,0,211125424553,2187002068,448873,2792,153,365,391816,0 127,2,2024-09-07 08:54:20:639,326585,326585,0,0,12183268,0,2264 127,3,2024-09-07 08:54:21:266,1,256,1,0,968,2999,256,0 128,0,2024-09-07 08:54:21:529,63638,0.3,63549,0.4,126936,0.2,168528,1.50 128,1,2024-09-07 08:54:21:605,451141,451141,0,0,211112106035,2188291057,448966,2018,157,367,391798,0 128,2,2024-09-07 08:54:21:386,324793,324793,0,0,12006786,0,2434 128,3,2024-09-07 08:54:20:776,1,256,3,0,1082,5381,256,0 129,0,2024-09-07 08:54:21:004,63879,0.3,63570,0.4,127122,0.2,168338,1.50 129,1,2024-09-07 08:54:20:585,449334,449334,0,0,209780350502,2197850473,445174,3230,930,379,391835,0 129,2,2024-09-07 08:54:20:700,321427,321427,0,0,12915854,0,4031 129,3,2024-09-07 08:54:20:694,1,256,1,0,469,3342,256,0 130,0,2024-09-07 08:54:21:725,66362,0.5,66008,0.6,132478,0.5,176710,1.75 130,1,2024-09-07 08:54:20:593,451985,451985,0,0,210384362857,2187890425,449544,2362,79,381,391825,0 130,2,2024-09-07 08:54:21:152,325904,325904,0,0,12608333,0,4067 130,3,2024-09-07 08:54:21:299,1,256,1,0,450,3375,256,0 131,0,2024-09-07 08:54:21:970,63995,0.4,64346,0.5,129599,0.4,171246,1.75 131,1,2024-09-07 08:54:21:827,451677,451677,0,0,210759391055,2196155573,448624,2595,458,381,391865,0 131,2,2024-09-07 08:54:20:569,327300,327300,0,0,11539333,0,2415 131,3,2024-09-07 08:54:21:690,1,256,5,0,392,2569,256,0 132,0,2024-09-07 08:54:21:424,64463,0.5,65332,0.7,130149,0.5,172983,2.00 132,1,2024-09-07 08:54:20:589,449378,449378,0,0,209780734402,2211613831,442364,5760,1254,381,392097,0 132,2,2024-09-07 08:54:20:704,324162,324162,0,0,15459100,0,4606 132,3,2024-09-07 08:54:21:690,1,256,7,0,356,3576,256,0 133,0,2024-09-07 08:54:21:518,62136,0.4,63613,0.5,130005,0.3,169231,1.75 133,1,2024-09-07 08:54:20:590,449785,449785,0,0,209701826819,2206468524,444297,4819,669,383,391914,0 133,2,2024-09-07 08:54:21:096,321618,321618,0,0,16562407,0,4315 133,3,2024-09-07 08:54:21:302,1,256,1,0,276,2542,256,0 134,0,2024-09-07 08:54:20:958,65358,0.4,65231,0.6,130802,0.4,174180,2.00 134,1,2024-09-07 08:54:20:612,449223,449223,0,0,210112855557,2206092558,442766,4987,1470,366,391718,0 134,2,2024-09-07 08:54:21:765,322072,322072,0,0,13829820,0,3847 134,3,2024-09-07 08:54:20:760,1,256,20,0,739,3810,256,0 135,0,2024-09-07 08:54:21:110,64207,0.9,64213,0.9,136103,1.0,176568,2.25 135,1,2024-09-07 08:54:21:585,449289,449289,0,0,210827400211,2217511716,443090,5202,997,380,391805,0 135,2,2024-09-07 08:54:20:706,325828,325828,0,0,15365791,0,3981 135,3,2024-09-07 08:54:21:012,1,256,1,0,299,1856,256,0 136,0,2024-09-07 08:54:21:625,64199,0.6,64091,0.8,127899,0.6,170828,2.25 136,1,2024-09-07 08:54:21:442,449655,449655,0,0,209987798774,2206846488,444116,4953,586,382,391685,0 136,2,2024-09-07 08:54:21:144,324813,324813,0,0,14867780,0,3506 136,3,2024-09-07 08:54:21:106,1,256,2,0,150,2142,256,0 137,0,2024-09-07 08:54:20:942,64322,0.6,62454,0.7,123332,0.6,167130,2.00 137,1,2024-09-07 08:54:20:583,449858,449858,0,0,209982580664,2203841728,442907,5534,1417,366,391708,0 137,2,2024-09-07 08:54:21:719,323192,323192,0,0,16418602,0,3185 137,3,2024-09-07 08:54:20:775,1,256,3,0,382,3285,256,0 138,0,2024-09-07 08:54:21:821,62695,0.8,62670,0.9,126076,0.9,167865,2.00 138,1,2024-09-07 08:54:21:692,449551,449551,0,0,210435311488,2209942654,442583,5837,1131,368,391954,0 138,2,2024-09-07 08:54:20:590,320323,320323,0,0,15056018,0,4988 138,3,2024-09-07 08:54:20:614,1,256,5,0,1160,3869,256,0 139,0,2024-09-07 08:54:21:390,65790,1.8,66086,1.2,132287,2.7,177021,2.50 139,1,2024-09-07 08:54:20:580,448035,448035,0,0,209196044540,2222670634,439503,6417,2115,381,391892,0 139,2,2024-09-07 08:54:20:696,323861,323861,0,0,15981401,0,3097 139,3,2024-09-07 08:54:21:662,1,256,257,0,257,2841,256,0 140,0,2024-09-07 08:54:21:625,62531,0.3,61933,0.5,124575,0.3,165885,1.75 140,1,2024-09-07 08:54:21:537,453233,453233,0,0,212054350958,2184369406,451253,1738,242,365,391606,0 140,2,2024-09-07 08:54:20:710,326398,326398,0,0,12470770,0,3388 140,3,2024-09-07 08:54:20:773,1,256,1,0,247,2156,256,0 141,0,2024-09-07 08:54:21:701,62533,0.3,64290,0.4,122888,0.2,166602,1.50 141,1,2024-09-07 08:54:20:864,452249,452249,0,0,211288056777,2191062372,449679,2162,408,379,391614,0 141,2,2024-09-07 08:54:21:693,324512,324512,0,0,12015238,0,2342 141,3,2024-09-07 08:54:21:060,1,256,1,0,202,2343,256,0 142,0,2024-09-07 08:54:21:330,63613,0.3,62970,0.4,125218,0.2,167428,1.50 142,1,2024-09-07 08:54:20:603,451616,451616,0,0,210146538103,2190584890,448785,2566,265,382,392102,0 142,2,2024-09-07 08:54:21:308,320149,320117,32,0,13756794,0,6028 142,3,2024-09-07 08:54:21:768,1,256,3,0,484,3206,256,0 143,0,2024-09-07 08:54:21:386,66260,0.5,66226,0.6,132910,0.5,177183,1.75 143,1,2024-09-07 08:54:20:567,451697,451697,0,0,210645797950,2183407834,448979,2622,96,367,391651,0 143,2,2024-09-07 08:54:20:775,325139,325139,0,0,13047214,0,2669 143,3,2024-09-07 08:54:21:141,1,256,1,0,303,3322,256,0 144,0,2024-09-07 08:54:21:497,61279,0.7,62891,0.9,128295,0.6,168117,2.00 144,1,2024-09-07 08:54:20:570,449516,449516,0,0,210077412387,2203645027,445501,3090,925,381,391649,0 144,2,2024-09-07 08:54:21:766,326773,326773,0,0,12344507,0,3473 144,3,2024-09-07 08:54:21:763,1,256,9,0,249,2978,256,0 145,0,2024-09-07 08:54:21:383,61672,0.6,61626,0.8,130796,0.5,168662,2.25 145,1,2024-09-07 08:54:20:566,448873,448873,0,0,210357071755,2210760894,443376,4674,823,382,391698,0 145,2,2024-09-07 08:54:21:430,323473,323473,0,0,14638943,0,3903 145,3,2024-09-07 08:54:20:900,1,256,1,0,622,4115,256,0 146,0,2024-09-07 08:54:21:615,63654,0.5,63123,0.7,127262,0.4,168977,2.00 146,1,2024-09-07 08:54:21:584,449680,449680,0,0,209982516850,2212998979,441397,6436,1847,368,391770,0 146,2,2024-09-07 08:54:21:713,320574,320574,0,0,14786609,0,2730 146,3,2024-09-07 08:54:21:274,1,256,2,0,1520,5930,256,0 147,0,2024-09-07 08:54:21:706,65460,0.5,65325,0.7,129942,0.5,175162,2.00 147,1,2024-09-07 08:54:21:376,451954,451954,0,0,211617773340,2200479939,447853,3516,585,368,391791,0 147,2,2024-09-07 08:54:21:019,325094,325094,0,0,12491426,0,2789 147,3,2024-09-07 08:54:20:922,1,256,4,0,730,3922,256,0 0,0,2024-09-07 08:54:31:710,61020,0.5,61096,0.7,129532,0.4,167839,1.75 0,1,2024-09-07 08:54:30:806,452632,452632,0,0,211423925883,2210165370,449385,3043,204,370,391772,0 0,2,2024-09-07 08:54:31:078,324456,324456,0,0,13094859,0,4480 0,3,2024-09-07 08:54:30:984,1,257,0,0,319,3436,257,0 1,0,2024-09-07 08:54:31:754,65099,0.8,64651,0.9,129868,0.9,173436,2.00 1,1,2024-09-07 08:54:30:578,452446,452446,0,0,210478070300,2204440266,447949,3444,1053,371,391857,0 1,2,2024-09-07 08:54:30:675,322089,322089,0,0,12070732,0,3267 1,3,2024-09-07 08:54:31:304,1,257,1,0,262,2977,257,0 2,0,2024-09-07 08:54:31:575,66856,0.7,67100,0.8,133000,0.8,178553,2.00 2,1,2024-09-07 08:54:30:873,452685,452685,0,0,212071096025,2201583994,450577,1890,218,380,391745,0 2,2,2024-09-07 08:54:31:267,327261,327261,0,0,12629264,0,3594 2,3,2024-09-07 08:54:30:690,1,257,6,0,357,2287,257,0 3,0,2024-09-07 08:54:31:747,63218,0.5,63319,0.6,126195,0.4,168403,2.00 3,1,2024-09-07 08:54:31:619,452469,452469,0,0,211458362637,2201748776,448628,3379,462,380,391556,0 3,2,2024-09-07 08:54:31:144,326279,326256,23,0,12878619,0,5851 3,3,2024-09-07 08:54:31:761,1,257,0,0,103,1539,257,0 4,0,2024-09-07 08:54:31:769,60514,0.4,62236,0.5,126696,0.3,165862,1.75 4,1,2024-09-07 08:54:30:617,452194,452194,0,0,210938353479,2214542086,447450,3953,791,371,391846,0 4,2,2024-09-07 08:54:31:018,324214,324214,0,0,15246276,0,4528 4,3,2024-09-07 08:54:31:036,1,257,0,0,448,3914,257,0 5,0,2024-09-07 08:54:31:425,63171,0.4,63482,0.5,126012,0.3,168141,1.75 5,1,2024-09-07 08:54:30:763,452165,452165,0,0,210931011672,2216854377,446669,4384,1112,368,392005,0 5,2,2024-09-07 08:54:31:836,319978,319978,0,0,13812560,0,2432 5,3,2024-09-07 08:54:31:733,1,257,1,0,457,3842,257,0 6,0,2024-09-07 08:54:30:941,67821,0.5,67171,0.7,134216,0.5,179752,2.00 6,1,2024-09-07 08:54:30:747,452220,452220,0,0,211041976854,2204543624,447545,3831,844,379,391694,0 6,2,2024-09-07 08:54:31:133,326429,326429,0,0,13859725,0,4816 6,3,2024-09-07 08:54:31:284,1,257,1,0,340,2979,257,0 7,0,2024-09-07 08:54:31:544,61908,0.5,62096,0.7,123852,0.5,164684,2.00 7,1,2024-09-07 08:54:30:851,452030,452030,0,0,211119901022,2213977566,446129,4898,1003,382,391747,0 7,2,2024-09-07 08:54:30:770,326570,326570,0,0,13684793,0,4791 7,3,2024-09-07 08:54:30:850,1,257,9,0,398,3135,257,0 8,0,2024-09-07 08:54:31:341,63416,0.4,63401,0.5,126219,0.3,168376,1.75 8,1,2024-09-07 08:54:31:020,450663,450663,0,0,210977261521,2224981096,442368,6277,2018,366,391956,0 8,2,2024-09-07 08:54:30:800,321757,321757,0,0,16504930,0,3220 8,3,2024-09-07 08:54:30:606,1,257,2,0,357,3862,257,0 9,0,2024-09-07 08:54:31:103,63605,0.3,61633,0.5,128798,0.3,168697,1.50 9,1,2024-09-07 08:54:30:555,451289,451289,0,0,210893323375,2222462667,444796,4951,1542,370,392001,0 9,2,2024-09-07 08:54:31:088,321233,321233,0,0,14526272,0,3360 9,3,2024-09-07 08:54:31:761,1,257,1,0,496,3826,257,0 10,0,2024-09-07 08:54:31:618,66637,0.3,66005,0.5,132724,0.2,177463,1.75 10,1,2024-09-07 08:54:30:591,451813,451813,0,0,210620084670,2208038621,445451,5434,928,381,391741,0 10,2,2024-09-07 08:54:30:768,326000,326000,0,0,16221601,0,4264 10,3,2024-09-07 08:54:30:871,1,257,1,0,177,2104,257,0 11,0,2024-09-07 08:54:31:016,63774,0.5,61991,0.7,129648,0.5,171368,2.00 11,1,2024-09-07 08:54:30:585,452350,452350,0,0,210998353306,2219711048,444131,6238,1981,383,391537,0 11,2,2024-09-07 08:54:31:133,327104,327104,0,0,15149917,0,4130 11,3,2024-09-07 08:54:31:307,1,257,4,0,843,4233,257,0 12,0,2024-09-07 08:54:31:015,65403,0.3,65251,0.5,130532,0.3,173270,1.75 12,1,2024-09-07 08:54:30:957,452084,452084,0,0,211235651497,2201439124,448564,3098,422,370,391870,0 12,2,2024-09-07 08:54:31:562,325902,325902,0,0,14069708,0,3469 12,3,2024-09-07 08:54:31:062,1,257,1,0,386,4245,257,0 13,0,2024-09-07 08:54:31:365,64217,0.3,64063,0.5,127976,0.3,170343,1.50 13,1,2024-09-07 08:54:31:529,452450,452450,0,0,210773736290,2210517686,448899,3010,541,382,391717,0 13,2,2024-09-07 08:54:30:622,324229,324229,0,0,13170934,0,3287 13,3,2024-09-07 08:54:31:762,1,257,1,0,467,3944,257,0 14,0,2024-09-07 08:54:30:561,65791,0.4,66249,0.5,131322,0.3,175099,1.75 14,1,2024-09-07 08:54:31:566,455141,455141,0,0,212072709083,2193864600,452310,2642,189,364,391571,0 14,2,2024-09-07 08:54:30:774,323503,323503,0,0,13192632,0,2896 14,3,2024-09-07 08:54:31:120,1,257,13,0,1168,3483,257,0 15,0,2024-09-07 08:54:31:554,66674,0.5,66840,0.7,133296,0.5,177633,2.00 15,1,2024-09-07 08:54:31:617,453145,453145,0,0,211730355400,2200263297,450625,2342,178,381,391619,0 15,2,2024-09-07 08:54:30:998,327777,327777,0,0,11299483,0,3043 15,3,2024-09-07 08:54:31:406,1,257,1,0,1126,5351,257,0 16,0,2024-09-07 08:54:30:967,64217,0.7,64405,0.8,128209,0.8,171243,2.25 16,1,2024-09-07 08:54:30:568,453398,453398,0,0,211402521617,2207605899,449836,3262,300,370,391756,0 16,2,2024-09-07 08:54:31:451,325172,325172,0,0,13796103,0,4719 16,3,2024-09-07 08:54:31:149,1,257,16,0,317,3496,257,0 17,0,2024-09-07 08:54:31:773,64563,0.5,63013,0.7,123403,0.4,167572,1.75 17,1,2024-09-07 08:54:30:588,452007,452007,0,0,210740732983,2211316317,447162,3740,1105,368,391845,0 17,2,2024-09-07 08:54:31:666,327695,327695,0,0,12963233,0,2857 17,3,2024-09-07 08:54:30:581,1,257,17,0,268,4035,257,0 18,0,2024-09-07 08:54:30:955,62828,0.6,63056,0.8,125949,0.6,168491,2.25 18,1,2024-09-07 08:54:31:643,453502,453502,0,0,211154050838,2187538206,450992,2268,242,367,391649,0 18,2,2024-09-07 08:54:31:756,323139,323139,0,0,12559163,0,3541 18,3,2024-09-07 08:54:30:899,1,257,1,0,163,2540,257,0 19,0,2024-09-07 08:54:31:543,66580,0.6,67265,0.8,133206,0.6,177344,2.00 19,1,2024-09-07 08:54:30:566,453193,453193,0,0,212772540487,2209882221,448532,3874,787,367,391777,0 19,2,2024-09-07 08:54:31:762,328716,328716,0,0,11622403,0,3988 19,3,2024-09-07 08:54:31:129,1,257,0,0,524,1899,257,0 20,0,2024-09-07 08:54:31:370,62079,0.7,62102,0.8,124501,0.8,166193,2.25 20,1,2024-09-07 08:54:30:571,452002,452002,0,0,211736069257,2213604571,448179,3425,398,369,391886,0 20,2,2024-09-07 08:54:30:934,327111,327111,0,0,13400360,0,3721 20,3,2024-09-07 08:54:30:601,1,257,5,0,414,4632,257,0 21,0,2024-09-07 08:54:31:130,62739,0.5,62953,0.6,125561,0.4,166377,2.00 21,1,2024-09-07 08:54:31:539,451038,451038,0,0,210583196664,2215773852,445319,4462,1257,368,391962,0 21,2,2024-09-07 08:54:31:077,324460,324460,0,0,15166516,0,3747 21,3,2024-09-07 08:54:31:406,1,257,1,0,103,2641,257,0 22,0,2024-09-07 08:54:31:720,62817,0.6,62963,0.7,125570,0.5,167189,2.00 22,1,2024-09-07 08:54:31:038,451572,451572,0,0,210782557040,2218253218,444340,5590,1642,382,391667,0 22,2,2024-09-07 08:54:30:770,320838,320838,0,0,13274436,0,3134 22,3,2024-09-07 08:54:31:073,1,257,2,0,228,2347,257,0 23,0,2024-09-07 08:54:31:374,66477,0.5,66135,0.7,133041,0.5,177653,2.25 23,1,2024-09-07 08:54:31:004,452487,452487,0,0,211164911502,2216346300,445176,4760,2551,365,391690,0 23,2,2024-09-07 08:54:31:092,326941,326941,0,0,12877622,0,3010 23,3,2024-09-07 08:54:31:755,1,257,2,0,645,3296,257,0 24,0,2024-09-07 08:54:30:858,63897,0.4,63800,0.6,127962,0.4,169677,1.75 24,1,2024-09-07 08:54:30:597,451593,451593,0,0,210252077135,2205972352,445980,4132,1481,368,391987,0 24,2,2024-09-07 08:54:31:085,325894,325894,0,0,16016310,0,3607 24,3,2024-09-07 08:54:31:686,1,257,1,0,468,3800,257,0 25,0,2024-09-07 08:54:31:352,65584,0.5,63903,0.7,125602,0.4,171070,2.00 25,1,2024-09-07 08:54:30:558,452554,452554,0,0,211186656022,2210022819,448210,3790,554,371,391788,0 25,2,2024-09-07 08:54:31:606,324356,324356,0,0,16111485,0,3978 25,3,2024-09-07 08:54:31:006,1,257,9,0,255,2785,257,0 26,0,2024-09-07 08:54:31:728,63565,0.4,62159,0.6,130221,0.3,169359,1.75 26,1,2024-09-07 08:54:31:542,451893,451893,0,0,210632826958,2209750382,444903,5774,1216,381,391748,0 26,2,2024-09-07 08:54:30:861,321874,321874,0,0,15714254,0,2809 26,3,2024-09-07 08:54:31:719,1,257,1,0,796,3394,257,0 27,0,2024-09-07 08:54:31:728,66305,0.4,66394,0.6,131243,0.3,175884,2.00 27,1,2024-09-07 08:54:31:676,453990,453990,0,0,212014529307,2205496171,450537,2860,593,381,391558,0 27,2,2024-09-07 08:54:30:868,323364,323299,65,0,15877223,0,5699 27,3,2024-09-07 08:54:31:021,1,257,1,0,564,2787,257,0 28,0,2024-09-07 08:54:31:401,65632,0.5,65414,0.6,131430,0.4,174945,2.00 28,1,2024-09-07 08:54:30:823,453403,453403,0,0,212517948129,2211198161,450522,2410,471,383,391646,0 28,2,2024-09-07 08:54:31:781,327676,327676,0,0,12570877,0,2915 28,3,2024-09-07 08:54:31:787,1,257,2,0,502,2660,257,0 29,0,2024-09-07 08:54:31:379,66447,0.4,65010,0.6,127111,0.3,173755,1.75 29,1,2024-09-07 08:54:31:573,454462,454462,0,0,212355942665,2198037972,451236,2661,565,369,391621,0 29,2,2024-09-07 08:54:30:883,324885,324885,0,0,11969881,0,4018 29,3,2024-09-07 08:54:30:967,1,257,1,0,115,1870,257,0 30,0,2024-09-07 08:54:31:461,62797,0.5,60996,0.7,127566,0.4,167286,2.00 30,1,2024-09-07 08:54:30:578,454137,454137,0,0,212372630383,2199432946,451807,2037,293,382,391672,0 30,2,2024-09-07 08:54:31:278,324658,324658,0,0,11786805,0,3161 30,3,2024-09-07 08:54:30:582,1,257,67,0,519,2475,257,0 31,0,2024-09-07 08:54:31:777,64696,0.4,64920,0.6,130040,0.3,173348,1.75 31,1,2024-09-07 08:54:30:565,455558,455558,0,0,213176546047,2183131305,454167,1171,220,356,391712,0 31,2,2024-09-07 08:54:31:275,322601,322601,0,0,13359805,0,3525 31,3,2024-09-07 08:54:31:707,1,257,0,0,220,1971,257,0 32,0,2024-09-07 08:54:31:465,66590,0.4,67202,0.5,134097,0.3,178980,1.75 32,1,2024-09-07 08:54:30:804,453942,453942,0,0,211631188223,2194499195,451985,1678,279,381,391622,0 32,2,2024-09-07 08:54:30:937,327519,327519,0,0,11587560,0,3155 32,3,2024-09-07 08:54:31:025,1,257,1,0,227,2050,257,0 33,0,2024-09-07 08:54:31:526,63762,0.3,63085,0.5,126908,0.2,169375,1.75 33,1,2024-09-07 08:54:30:597,454299,454299,0,0,213151062208,2200735479,451718,2407,174,369,391730,0 33,2,2024-09-07 08:54:30:770,327492,327459,33,0,13310351,0,7012 33,3,2024-09-07 08:54:30:899,1,257,7,0,329,3102,257,0 34,0,2024-09-07 08:54:30:941,62357,0.3,64311,0.5,123269,0.2,165287,1.50 34,1,2024-09-07 08:54:31:047,455008,455008,0,0,213014812610,2189742873,453912,1089,7,367,391562,0 34,2,2024-09-07 08:54:30:779,325657,325657,0,0,12564478,0,3577 34,3,2024-09-07 08:54:31:688,1,257,1,0,299,2071,257,0 35,0,2024-09-07 08:54:30:857,62670,0.3,63069,0.5,126673,0.2,168603,1.75 35,1,2024-09-07 08:54:31:069,453910,453910,0,0,211564072393,2193748958,450564,2566,780,384,391589,0 35,2,2024-09-07 08:54:31:590,322100,322100,0,0,12137759,0,2653 35,3,2024-09-07 08:54:30:916,1,257,1,0,418,3040,257,0 36,0,2024-09-07 08:54:31:568,67460,0.5,67260,0.7,134985,0.5,179511,2.25 36,1,2024-09-07 08:54:30:591,453012,453012,0,0,211002745341,2206246550,447030,4403,1579,366,391759,0 36,2,2024-09-07 08:54:31:760,326349,326349,0,0,14183429,0,3875 36,3,2024-09-07 08:54:30:883,1,257,2,0,416,4050,257,0 37,0,2024-09-07 08:54:31:378,61773,0.5,61714,0.7,123730,0.5,165626,2.00 37,1,2024-09-07 08:54:30:570,452127,452120,0,7,210649067349,2206581799,446110,3942,2068,365,391560,0 37,2,2024-09-07 08:54:31:143,326427,326412,15,0,14510446,0,5815 37,3,2024-09-07 08:54:31:772,1,257,1,0,888,4485,257,0 38,0,2024-09-07 08:54:31:450,62677,0.5,60928,0.7,127632,0.4,166548,2.00 38,1,2024-09-07 08:54:31:621,453174,453174,0,0,211681479725,2208622897,447902,4597,675,368,391821,0 38,2,2024-09-07 08:54:30:760,324734,324687,47,0,14866575,0,6710 38,3,2024-09-07 08:54:30:997,1,257,1,0,689,3602,257,0 39,0,2024-09-07 08:54:31:760,64780,0.6,63668,0.7,123487,0.5,168701,2.00 39,1,2024-09-07 08:54:30:715,452967,452967,0,0,211700587605,2208868190,447407,4658,902,365,391594,0 39,2,2024-09-07 08:54:31:421,321166,321166,0,0,12852850,0,2689 39,3,2024-09-07 08:54:30:717,1,257,0,0,324,3423,257,0 40,0,2024-09-07 08:54:31:491,65639,0.7,66282,0.9,132607,0.7,177009,2.75 40,1,2024-09-07 08:54:30:582,452443,452443,0,0,210643075996,2208042453,445303,5697,1443,368,391591,0 40,2,2024-09-07 08:54:31:319,325771,325770,1,0,16242779,0,5137 40,3,2024-09-07 08:54:31:147,1,257,1,0,398,3177,257,0 41,0,2024-09-07 08:54:31:043,63576,2.0,65059,1.4,124114,3.1,169555,3.75 41,1,2024-09-07 08:54:30:782,452575,452575,0,0,211706525211,2213180200,447032,4908,635,370,391742,0 41,2,2024-09-07 08:54:30:762,325689,325689,0,0,15456278,0,3356 41,3,2024-09-07 08:54:31:680,1,257,0,0,366,3032,257,0 42,0,2024-09-07 08:54:31:492,64135,0.8,64317,1.0,128806,0.9,169835,2.50 42,1,2024-09-07 08:54:31:443,451354,451354,0,0,210843764976,2216313993,444069,5833,1452,380,391675,0 42,2,2024-09-07 08:54:31:140,325534,325534,0,0,14753041,0,3568 42,3,2024-09-07 08:54:31:012,1,257,2,0,446,2352,257,0 43,0,2024-09-07 08:54:30:918,63504,0.6,61966,0.9,129345,0.6,170106,2.00 43,1,2024-09-07 08:54:30:581,452285,452285,0,0,212210574701,2217093230,446021,4935,1329,366,391696,0 43,2,2024-09-07 08:54:31:736,323325,323325,0,0,14081562,0,3812 43,3,2024-09-07 08:54:31:760,1,257,2,0,467,3102,257,0 44,0,2024-09-07 08:54:30:966,65427,0.4,65970,0.6,131393,0.4,175367,1.75 44,1,2024-09-07 08:54:30:586,454474,454474,0,0,211952903806,2186494911,451916,2126,432,356,391809,0 44,2,2024-09-07 08:54:31:278,323409,323409,0,0,11871644,0,2231 44,3,2024-09-07 08:54:31:107,1,257,11,0,817,3000,257,0 45,0,2024-09-07 08:54:31:787,65648,0.6,64233,0.8,134785,0.7,177378,2.00 45,1,2024-09-07 08:54:31:005,453730,453730,0,0,211958445601,2195352496,452079,1639,12,382,391917,0 45,2,2024-09-07 08:54:31:269,327954,327954,0,0,12608274,0,3596 45,3,2024-09-07 08:54:30:942,1,257,1,0,271,2690,257,0 46,0,2024-09-07 08:54:30:951,63799,0.6,63591,0.8,127446,0.6,169151,2.00 46,1,2024-09-07 08:54:30:582,455250,455250,0,0,212564889590,2193076749,452903,2073,274,366,391539,0 46,2,2024-09-07 08:54:30:593,326252,326252,0,0,12045191,0,2920 46,3,2024-09-07 08:54:31:147,1,257,1,0,908,3787,257,0 47,0,2024-09-07 08:54:31:106,62976,0.4,62829,0.6,126300,0.4,167031,1.75 47,1,2024-09-07 08:54:30:567,454594,454594,0,0,211862619622,2185858628,452267,2193,134,366,391605,0 47,2,2024-09-07 08:54:30:911,327259,327259,0,0,12126077,0,2558 47,3,2024-09-07 08:54:31:123,1,257,1,0,529,2334,257,0 48,0,2024-09-07 08:54:31:504,63894,0.3,63525,0.4,126530,0.2,169559,1.50 48,1,2024-09-07 08:54:31:031,453853,453853,0,0,211604553093,2197202282,450746,2808,299,384,391710,0 48,2,2024-09-07 08:54:30:701,322191,322191,0,0,11623996,0,3031 48,3,2024-09-07 08:54:30:753,1,257,1,0,339,2156,257,0 49,0,2024-09-07 08:54:31:728,68869,0.4,67682,0.5,131143,0.3,179581,1.75 49,1,2024-09-07 08:54:31:025,452861,452861,0,0,211075005538,2199495578,449002,2678,1181,382,391809,0 49,2,2024-09-07 08:54:31:797,328246,328246,0,0,12257060,0,3900 49,3,2024-09-07 08:54:31:421,1,257,1,0,408,2790,257,0 50,0,2024-09-07 08:54:31:518,62387,0.3,61824,0.5,124383,0.2,166134,1.75 50,1,2024-09-07 08:54:31:013,454542,454542,0,0,212628997097,2198320168,451704,2528,310,368,391530,0 50,2,2024-09-07 08:54:31:070,327309,327309,0,0,11557705,0,2263 50,3,2024-09-07 08:54:31:301,1,257,15,0,335,2124,257,0 51,0,2024-09-07 08:54:31:717,64180,0.3,62770,0.5,122329,0.2,166728,1.75 51,1,2024-09-07 08:54:31:680,454938,454938,0,0,212878043963,2196536330,452141,1858,939,365,391706,0 51,2,2024-09-07 08:54:31:323,325893,325893,0,0,10961974,0,3337 51,3,2024-09-07 08:54:31:027,1,257,1,0,678,2057,257,0 52,0,2024-09-07 08:54:31:424,63532,0.4,63138,0.6,126187,0.4,167814,2.00 52,1,2024-09-07 08:54:30:582,452548,452548,0,0,210352521879,2205370951,445390,5904,1254,368,391722,0 52,2,2024-09-07 08:54:31:755,319493,319455,38,0,15026310,0,6742 52,3,2024-09-07 08:54:30:681,1,257,1,0,1782,4559,257,0 53,0,2024-09-07 08:54:31:750,66117,0.6,64310,0.8,134504,0.7,176944,2.50 53,1,2024-09-07 08:54:30:770,451558,451558,0,0,211021641512,2215433543,444232,4970,2356,367,391702,0 53,2,2024-09-07 08:54:31:303,326958,326958,0,0,12571365,0,2727 53,3,2024-09-07 08:54:30:700,1,257,9,0,271,2322,257,0 54,0,2024-09-07 08:54:31:623,62414,0.8,62950,0.9,124635,0.5,166780,2.75 54,1,2024-09-07 08:54:30:581,452968,452968,0,0,211680430420,2201137891,448232,4139,597,367,391659,0 54,2,2024-09-07 08:54:30:867,327514,327508,6,0,13968395,0,5382 54,3,2024-09-07 08:54:30:771,1,257,1,0,676,3911,257,0 55,0,2024-09-07 08:54:31:764,61706,0.6,63880,0.8,128595,0.5,167508,2.50 55,1,2024-09-07 08:54:30:770,452529,452529,0,0,211604045510,2202905946,446979,4763,787,365,391731,0 55,2,2024-09-07 08:54:30:731,324912,324912,0,0,14090790,0,3275 55,3,2024-09-07 08:54:30:679,1,257,1,0,304,2891,257,0 56,0,2024-09-07 08:54:31:554,65265,0.9,61626,1.0,126689,1.2,169300,2.25 56,1,2024-09-07 08:54:30:577,450783,450783,0,0,211328818585,2233986813,443540,5805,1438,381,391729,0 56,2,2024-09-07 08:54:31:304,321966,321966,0,0,15242673,0,3567 56,3,2024-09-07 08:54:31:076,1,257,17,0,705,3736,257,0 57,0,2024-09-07 08:54:30:986,65170,1.4,65236,1.2,130461,2.0,174742,3.00 57,1,2024-09-07 08:54:30:994,452237,452237,0,0,210795740962,2208263186,446914,4653,670,367,391960,0 57,2,2024-09-07 08:54:31:327,325386,325386,0,0,16497781,0,3317 57,3,2024-09-07 08:54:31:742,1,257,1,0,359,3387,257,0 58,0,2024-09-07 08:54:30:555,64332,1.1,62521,1.1,130928,1.5,171429,2.75 58,1,2024-09-07 08:54:30:577,453176,453173,0,3,212139784865,2216043708,447440,5022,711,367,391603,3 58,2,2024-09-07 08:54:31:076,327362,327362,0,0,14725755,0,2549 58,3,2024-09-07 08:54:31:072,1,257,1,0,1043,2913,257,0 59,0,2024-09-07 08:54:31:741,64453,0.8,64247,1.0,128259,0.9,170426,3.00 59,1,2024-09-07 08:54:30:804,452667,452667,0,0,212015571838,2217662951,446878,4524,1265,369,391525,0 59,2,2024-09-07 08:54:30:583,326404,326404,0,0,13452027,0,2604 59,3,2024-09-07 08:54:31:737,1,257,1,0,1015,3561,257,0 60,0,2024-09-07 08:54:31:703,62991,0.4,62725,0.6,126515,0.3,167812,1.75 60,1,2024-09-07 08:54:30:790,454202,454202,0,0,212659632314,2203720846,451755,1930,517,370,392031,0 60,2,2024-09-07 08:54:31:152,324876,324876,0,0,14428175,0,3811 60,3,2024-09-07 08:54:31:276,1,257,5,0,124,2469,257,0 61,0,2024-09-07 08:54:31:508,64923,0.6,65177,0.8,129861,0.6,173434,2.00 61,1,2024-09-07 08:54:30:790,452707,452707,0,0,211109912530,2208044569,448130,3874,703,382,391880,0 61,2,2024-09-07 08:54:31:124,323487,323487,0,0,12799443,0,2780 61,3,2024-09-07 08:54:31:695,1,257,2,0,397,3476,257,0 62,0,2024-09-07 08:54:31:707,67326,0.6,68589,0.7,130933,0.6,178709,2.00 62,1,2024-09-07 08:54:31:115,455128,455122,0,6,212809075123,2194021186,452657,2347,118,365,391715,6 62,2,2024-09-07 08:54:31:644,326084,326083,1,0,14193180,0,5555 62,3,2024-09-07 08:54:31:156,1,257,16,0,287,1814,257,0 63,0,2024-09-07 08:54:31:474,63317,0.5,63438,0.6,127012,0.5,168936,1.75 63,1,2024-09-07 08:54:30:804,454435,454429,0,6,211754251961,2192044044,452853,1526,50,381,391677,6 63,2,2024-09-07 08:54:30:762,326735,326735,0,0,11842534,0,2674 63,3,2024-09-07 08:54:31:732,1,257,3,0,667,2881,257,0 64,0,2024-09-07 08:54:31:528,62008,0.4,61981,0.6,124279,0.4,165218,1.75 64,1,2024-09-07 08:54:30:750,453074,453074,0,0,211763825706,2207674910,448584,3076,1414,370,391783,0 64,2,2024-09-07 08:54:31:157,328187,328168,19,0,12695502,0,6121 64,3,2024-09-07 08:54:31:147,1,257,1,0,265,2554,257,0 65,0,2024-09-07 08:54:31:675,62659,0.6,63055,0.7,125709,0.6,167536,2.00 65,1,2024-09-07 08:54:30:880,452442,452442,0,0,211177169026,2207874579,448888,3109,445,382,391901,0 65,2,2024-09-07 08:54:31:695,321725,321725,0,0,13354593,0,3367 65,3,2024-09-07 08:54:31:688,1,257,17,0,163,2456,257,0 66,0,2024-09-07 08:54:31:779,67138,0.5,66835,0.7,134454,0.4,178777,2.00 66,1,2024-09-07 08:54:31:295,454007,454007,0,0,212149531307,2203145861,451433,2373,201,380,391653,0 66,2,2024-09-07 08:54:31:138,328850,328850,0,0,13117561,0,4956 66,3,2024-09-07 08:54:31:083,1,257,0,0,291,2645,257,0 67,0,2024-09-07 08:54:31:421,62485,0.6,61975,0.8,124801,0.7,165673,2.00 67,1,2024-09-07 08:54:30:770,452767,452766,0,1,211855728741,2208425856,448921,3098,747,380,391787,1 67,2,2024-09-07 08:54:30:583,328476,328476,0,0,12180357,0,2889 67,3,2024-09-07 08:54:31:759,1,257,0,0,338,2339,257,0 68,0,2024-09-07 08:54:30:601,63438,0.6,63226,0.8,126025,0.6,168304,2.00 68,1,2024-09-07 08:54:30:598,452263,452263,0,0,210783036747,2208665015,448084,3009,1170,381,391953,0 68,2,2024-09-07 08:54:31:048,324330,324265,65,0,15929600,0,6698 68,3,2024-09-07 08:54:30:741,1,257,8,0,417,2861,257,0 69,0,2024-09-07 08:54:31:727,63013,0.7,63324,0.8,126365,0.7,167758,2.25 69,1,2024-09-07 08:54:31:020,450981,450981,0,0,210393876777,2218859129,445279,4300,1402,384,391994,0 69,2,2024-09-07 08:54:31:732,321114,321114,0,0,15690625,0,3722 69,3,2024-09-07 08:54:30:768,1,257,1,0,698,3675,257,0 70,0,2024-09-07 08:54:31:573,66067,0.7,65984,0.9,132519,0.5,176630,2.25 70,1,2024-09-07 08:54:30:800,453701,453701,0,0,212930010285,2209829676,450035,3138,528,366,391725,0 70,2,2024-09-07 08:54:31:329,326154,326154,0,0,14386692,0,4044 70,3,2024-09-07 08:54:30:744,1,257,1,0,854,3027,257,0 71,0,2024-09-07 08:54:31:359,63594,1.2,63486,1.1,127341,1.5,170268,3.00 71,1,2024-09-07 08:54:31:602,452604,452604,0,0,211636672934,2207021572,447448,4535,621,368,391738,0 71,2,2024-09-07 08:54:31:079,327268,327268,0,0,14762281,0,4042 71,3,2024-09-07 08:54:31:763,1,257,1,0,644,3695,257,0 72,0,2024-09-07 08:54:31:135,67006,0.7,65552,0.8,127776,0.8,173642,2.25 72,1,2024-09-07 08:54:31:049,452653,452653,0,0,211976014433,2212286923,447592,4130,931,369,391819,0 72,2,2024-09-07 08:54:31:754,324499,324499,0,0,16460639,0,3983 72,3,2024-09-07 08:54:31:761,1,257,0,0,564,4546,257,0 73,0,2024-09-07 08:54:31:119,62278,0.4,63727,0.6,130764,0.3,169745,2.00 73,1,2024-09-07 08:54:30:776,453236,453236,0,0,212145875093,2203882719,450145,2856,235,367,391750,0 73,2,2024-09-07 08:54:31:739,323395,323395,0,0,15743966,0,3701 73,3,2024-09-07 08:54:30:973,1,257,1,0,274,3742,257,0 74,0,2024-09-07 08:54:31:349,66168,0.4,67580,0.6,128950,0.4,175221,2.25 74,1,2024-09-07 08:54:30:668,452459,452459,0,0,210898941190,2201554525,448058,3602,799,381,391681,0 74,2,2024-09-07 08:54:31:008,323566,323566,0,0,15108006,0,4253 74,3,2024-09-07 08:54:31:441,1,257,0,0,522,3402,257,0 75,0,2024-09-07 08:54:31:770,66890,0.5,66507,0.8,133404,0.5,178454,2.25 75,1,2024-09-07 08:54:31:585,451869,451869,0,0,210791674205,2203423173,446939,4266,664,380,391660,0 75,2,2024-09-07 08:54:31:351,326189,326189,0,0,15598399,0,4766 75,3,2024-09-07 08:54:31:076,1,257,3,0,702,3759,257,0 76,0,2024-09-07 08:54:30:586,63837,0.7,63198,0.9,126766,0.7,170038,2.50 76,1,2024-09-07 08:54:30:830,452770,452770,0,0,211535090348,2205669553,449664,2555,551,382,391692,0 76,2,2024-09-07 08:54:31:063,327082,327082,0,0,12752519,0,3784 76,3,2024-09-07 08:54:31:141,1,257,1,0,175,2655,257,0 77,0,2024-09-07 08:54:31:695,62675,0.5,62969,0.7,125585,0.4,166818,1.75 77,1,2024-09-07 08:54:30:823,453355,453355,0,0,211746642896,2209624257,449904,3194,257,381,391808,0 77,2,2024-09-07 08:54:31:286,324989,324989,0,0,13421641,0,3890 77,3,2024-09-07 08:54:31:103,1,257,2,0,382,2853,257,0 78,0,2024-09-07 08:54:31:717,63793,0.4,63167,0.6,127173,0.3,168844,2.00 78,1,2024-09-07 08:54:30:616,453608,453608,0,0,210843525054,2187485036,450611,2678,319,367,391670,0 78,2,2024-09-07 08:54:31:415,322283,322283,0,0,11921991,0,3855 78,3,2024-09-07 08:54:31:137,1,257,2,0,181,2447,257,0 79,0,2024-09-07 08:54:31:361,64964,0.4,66657,0.6,136222,0.3,177229,2.25 79,1,2024-09-07 08:54:30:582,454673,454673,0,0,211977569688,2192287813,451828,2509,336,369,391682,0 79,2,2024-09-07 08:54:31:073,328079,328079,0,0,12112711,0,3212 79,3,2024-09-07 08:54:30:749,1,257,24,0,418,3762,257,0 80,0,2024-09-07 08:54:31:124,62181,0.6,64043,0.7,122529,0.6,165831,2.00 80,1,2024-09-07 08:54:31:643,452911,452911,0,0,211578116252,2201011598,449940,2802,169,368,391791,0 80,2,2024-09-07 08:54:31:099,327626,327626,0,0,12473552,0,4433 80,3,2024-09-07 08:54:30:577,1,257,113,0,190,3548,257,0 81,0,2024-09-07 08:54:31:543,62493,0.5,64121,0.6,122675,0.4,165737,1.75 81,1,2024-09-07 08:54:31:655,451927,451927,0,0,210787516863,2202238990,448084,3380,463,382,391879,0 81,2,2024-09-07 08:54:31:129,325573,325573,0,0,12827006,0,3993 81,3,2024-09-07 08:54:31:132,1,257,15,0,374,3033,257,0 82,0,2024-09-07 08:54:31:535,62603,0.4,63155,0.6,126308,0.3,167886,1.75 82,1,2024-09-07 08:54:30:592,453491,453487,0,4,211871097451,2205699158,450263,2613,611,381,391768,4 82,2,2024-09-07 08:54:31:691,322282,322282,0,0,12059468,0,3986 82,3,2024-09-07 08:54:31:751,1,257,6,0,363,2827,257,0 83,0,2024-09-07 08:54:31:550,66837,0.5,66760,0.7,132671,0.5,177416,2.00 83,1,2024-09-07 08:54:30:551,452394,452394,0,0,211387679537,2202976863,449218,2932,244,382,391690,0 83,2,2024-09-07 08:54:30:764,326486,326486,0,0,12148236,0,3119 83,3,2024-09-07 08:54:30:761,1,257,0,0,250,2720,257,0 84,0,2024-09-07 08:54:31:808,63104,0.8,62764,0.9,125761,0.8,168328,2.25 84,1,2024-09-07 08:54:31:039,451887,451887,0,0,211056408951,2205735071,447139,4171,577,368,391967,0 84,2,2024-09-07 08:54:30:576,327397,327397,0,0,14212856,0,3801 84,3,2024-09-07 08:54:31:143,1,257,9,0,908,3968,257,0 85,0,2024-09-07 08:54:31:017,61789,0.7,61748,0.9,130962,0.7,168966,2.50 85,1,2024-09-07 08:54:30:572,451523,451523,0,0,210776872477,2225716240,444680,5736,1107,381,392006,0 85,2,2024-09-07 08:54:30:872,326478,326478,0,0,14986079,0,3656 85,3,2024-09-07 08:54:30:696,1,257,2,0,789,3508,257,0 86,0,2024-09-07 08:54:30:923,63858,0.6,65640,0.7,125556,0.5,169195,2.00 86,1,2024-09-07 08:54:30:846,452863,452863,0,0,211169609991,2207206095,447876,4261,726,366,391961,0 86,2,2024-09-07 08:54:30:867,321165,321164,1,0,15768189,0,5004 86,3,2024-09-07 08:54:30:590,1,257,2,0,286,3395,257,0 87,0,2024-09-07 08:54:31:302,65670,0.8,65571,0.9,131623,1.0,176289,2.25 87,1,2024-09-07 08:54:30:550,452827,452827,0,0,210929858779,2204956848,448350,3993,484,366,391788,0 87,2,2024-09-07 08:54:31:073,324223,324223,0,0,14100656,0,4045 87,3,2024-09-07 08:54:31:794,1,257,5,0,473,3821,257,0 88,0,2024-09-07 08:54:31:441,65521,0.5,65869,0.6,131466,0.5,174658,2.00 88,1,2024-09-07 08:54:30:569,451334,451334,0,0,211631677847,2220132480,445146,4674,1514,365,392084,0 88,2,2024-09-07 08:54:30:697,326926,326926,0,0,16229851,0,3583 88,3,2024-09-07 08:54:31:268,1,257,4,0,435,3111,257,0 89,0,2024-09-07 08:54:31:822,66725,0.5,64439,0.7,127970,0.5,173769,2.00 89,1,2024-09-07 08:54:30:556,450584,450584,0,0,210858976628,2223497124,443660,5702,1222,382,391866,0 89,2,2024-09-07 08:54:31:139,324652,324652,0,0,15476201,0,2910 89,3,2024-09-07 08:54:31:798,1,257,5,0,325,4863,257,0 90,0,2024-09-07 08:54:31:682,61208,0.4,62534,0.6,128130,0.4,167001,1.75 90,1,2024-09-07 08:54:30:590,452687,452687,0,0,211097685828,2211458692,448551,3858,278,381,391825,0 90,2,2024-09-07 08:54:31:410,322999,322999,0,0,16685353,0,3060 90,3,2024-09-07 08:54:30:951,1,257,2,0,200,2742,257,0 91,0,2024-09-07 08:54:30:988,65110,0.4,63058,0.6,131900,0.4,173423,1.75 91,1,2024-09-07 08:54:30:556,451538,451538,0,0,210812187538,2216291374,445650,5194,694,381,392047,0 91,2,2024-09-07 08:54:31:388,322361,322361,0,0,14874316,0,2896 91,3,2024-09-07 08:54:30:606,1,257,0,0,216,2397,257,0 92,0,2024-09-07 08:54:31:441,67469,0.5,68994,0.6,131944,0.5,178876,1.75 92,1,2024-09-07 08:54:30:581,452648,452648,0,0,211667004758,2205821992,450084,2158,406,382,392136,0 92,2,2024-09-07 08:54:31:359,328580,328580,0,0,12558684,0,2801 92,3,2024-09-07 08:54:31:012,1,257,1,0,167,2046,257,0 93,0,2024-09-07 08:54:30:964,63556,0.4,65248,0.6,124726,0.4,169473,1.75 93,1,2024-09-07 08:54:30:814,452773,452773,0,0,211205647850,2203497660,448287,3698,788,366,391692,0 93,2,2024-09-07 08:54:30:943,326944,326944,0,0,13398301,0,2797 93,3,2024-09-07 08:54:31:406,1,257,2,0,190,2630,257,0 94,0,2024-09-07 08:54:31:613,62154,0.4,62937,0.5,125365,0.3,165978,1.75 94,1,2024-09-07 08:54:30:566,452774,452774,0,0,211812471792,2211298552,449478,3117,179,381,391850,0 94,2,2024-09-07 08:54:30:762,325175,325175,0,0,12390067,0,2443 94,3,2024-09-07 08:54:31:691,1,257,1,0,264,3316,257,0 95,0,2024-09-07 08:54:31:415,63350,0.3,62960,0.5,127035,0.3,168354,1.75 95,1,2024-09-07 08:54:30:856,453466,453466,0,0,211940268578,2202108896,449888,3202,376,367,391713,0 95,2,2024-09-07 08:54:31:027,320942,320942,0,0,12640916,0,3308 95,3,2024-09-07 08:54:31:711,1,257,2,0,718,4529,257,0 96,0,2024-09-07 08:54:31:037,67447,0.4,67612,0.5,134957,0.3,179049,1.75 96,1,2024-09-07 08:54:31:608,452386,452386,0,0,211460215964,2207107965,448805,2847,734,384,391894,0 96,2,2024-09-07 08:54:31:268,327238,327238,0,0,13313012,0,4042 96,3,2024-09-07 08:54:31:143,1,257,3,0,411,2996,257,0 97,0,2024-09-07 08:54:31:329,62323,0.4,62148,0.5,124821,0.3,165542,1.75 97,1,2024-09-07 08:54:30:783,454058,454058,0,0,212701508176,2204930200,451017,2485,556,367,392140,0 97,2,2024-09-07 08:54:30:607,327913,327913,0,0,12588049,0,3036 97,3,2024-09-07 08:54:30:569,1,257,1,0,214,2952,257,0 98,0,2024-09-07 08:54:31:740,63249,0.3,63280,0.4,127232,0.2,168375,1.50 98,1,2024-09-07 08:54:30:591,453286,453286,0,0,211403983236,2199313484,451138,2048,100,382,391997,0 98,2,2024-09-07 08:54:30:774,325795,325795,0,0,12599985,0,3080 98,3,2024-09-07 08:54:30:698,1,257,1,0,840,4579,257,0 99,0,2024-09-07 08:54:31:486,63355,0.3,63589,0.4,126895,0.2,168923,1.50 99,1,2024-09-07 08:54:31:763,453342,453342,0,0,211394245931,2202918619,449552,3050,740,381,391744,0 99,2,2024-09-07 08:54:31:423,324005,324005,0,0,13297311,0,3106 99,3,2024-09-07 08:54:30:581,1,257,1,0,168,1942,257,0 100,0,2024-09-07 08:54:31:492,66247,0.6,66212,0.9,132347,0.8,177137,2.25 100,1,2024-09-07 08:54:30:565,450486,450486,0,0,210279975085,2222460400,443638,5530,1318,381,391989,0 100,2,2024-09-07 08:54:31:816,324550,324539,11,0,14942800,0,5417 100,3,2024-09-07 08:54:31:767,1,257,6,0,559,4937,257,0 101,0,2024-09-07 08:54:31:744,65450,1.7,63695,1.2,125193,2.0,171813,2.50 101,1,2024-09-07 08:54:30:550,450505,450505,0,0,210604137031,2219001742,443150,5584,1771,368,391769,0 101,2,2024-09-07 08:54:31:763,326196,326196,0,0,16181618,0,4644 101,3,2024-09-07 08:54:30:946,1,257,4,0,448,3276,257,0 102,0,2024-09-07 08:54:31:004,63258,0.7,65539,0.8,131959,0.7,172882,2.00 102,1,2024-09-07 08:54:31:152,451903,451903,0,0,210958286994,2215267964,446245,4817,841,369,391883,0 102,2,2024-09-07 08:54:31:756,326276,326222,54,0,14788489,0,6768 102,3,2024-09-07 08:54:31:644,1,257,4,0,410,2752,257,0 103,0,2024-09-07 08:54:31:651,65850,0.4,65776,0.6,124255,0.4,171017,1.75 103,1,2024-09-07 08:54:31:649,451009,451009,0,0,211089321823,2223314285,444713,4915,1381,381,391829,0 103,2,2024-09-07 08:54:30:582,322424,322424,0,0,13925967,0,2582 103,3,2024-09-07 08:54:30:762,1,257,1,0,916,3587,257,0 104,0,2024-09-07 08:54:31:008,65069,0.7,65453,0.9,129696,0.7,175323,2.25 104,1,2024-09-07 08:54:31:602,452365,452365,0,0,211050641888,2219181264,445687,5583,1095,365,392168,0 104,2,2024-09-07 08:54:31:670,322538,322538,0,0,15764845,0,3941 104,3,2024-09-07 08:54:31:423,1,257,2,0,1245,6473,257,0 105,0,2024-09-07 08:54:31:050,66064,1.2,64150,1.2,134225,1.6,177112,3.25 105,1,2024-09-07 08:54:30:555,452369,452369,0,0,211789139508,2222528907,446054,5336,979,366,391797,0 105,2,2024-09-07 08:54:31:323,326632,326632,0,0,15263038,0,3509 105,3,2024-09-07 08:54:31:315,1,257,11,0,399,4287,257,0 106,0,2024-09-07 08:54:30:971,61767,1.0,63268,1.0,129650,1.1,169677,2.75 106,1,2024-09-07 08:54:31:764,452262,452262,0,0,211268816237,2220119825,445154,6349,759,369,391767,0 106,2,2024-09-07 08:54:30:758,324155,324155,0,0,14756745,0,2795 106,3,2024-09-07 08:54:30:678,1,257,0,0,470,3628,257,0 107,0,2024-09-07 08:54:31:198,62557,0.8,62547,0.9,125009,0.8,166534,2.00 107,1,2024-09-07 08:54:30:590,450946,450946,0,0,210093501643,2210328726,445621,4776,549,381,392234,0 107,2,2024-09-07 08:54:31:293,324337,324336,1,0,15473199,0,5024 107,3,2024-09-07 08:54:31:772,1,257,2,0,353,3459,257,0 108,0,2024-09-07 08:54:31:766,63508,0.4,63789,0.6,126664,0.3,169450,1.75 108,1,2024-09-07 08:54:31:303,452678,452678,0,0,211939975788,2206437915,449464,2830,384,368,391857,0 108,2,2024-09-07 08:54:31:755,321124,321124,0,0,13704517,0,2647 108,3,2024-09-07 08:54:31:331,1,257,155,0,749,5570,257,0 109,0,2024-09-07 08:54:31:744,67543,0.4,66790,0.6,134092,0.3,179413,1.75 109,1,2024-09-07 08:54:30:604,451015,451015,0,0,211257569821,2213617773,447220,3279,516,383,392132,0 109,2,2024-09-07 08:54:30:926,325909,325909,0,0,14163009,0,3617 109,3,2024-09-07 08:54:31:143,1,257,6,0,249,3020,257,0 110,0,2024-09-07 08:54:31:765,62373,0.4,60754,0.6,127087,0.4,166657,1.75 110,1,2024-09-07 08:54:31:657,454070,454070,0,0,212214938589,2202517050,450925,2268,877,369,392045,0 110,2,2024-09-07 08:54:31:333,327484,327484,0,0,13520063,0,3264 110,3,2024-09-07 08:54:30:694,1,257,7,0,406,3425,257,0 111,0,2024-09-07 08:54:31:427,62968,0.3,62506,0.5,124838,0.3,167108,1.75 111,1,2024-09-07 08:54:31:005,454034,454034,0,0,212649555089,2203077456,452042,1656,336,382,391690,0 111,2,2024-09-07 08:54:31:116,325232,325232,0,0,12868891,0,2763 111,3,2024-09-07 08:54:30:922,1,257,1,0,379,3409,257,0 112,0,2024-09-07 08:54:30:920,63184,0.3,63146,0.4,126261,0.2,167989,1.50 112,1,2024-09-07 08:54:30:825,453983,453983,0,0,211373040138,2191041750,451564,1991,428,380,391580,0 112,2,2024-09-07 08:54:31:145,321559,321558,1,0,12961834,0,5036 112,3,2024-09-07 08:54:30:601,1,257,2,0,282,2697,257,0 113,0,2024-09-07 08:54:30:869,66402,0.3,66278,0.5,133518,0.2,178408,1.50 113,1,2024-09-07 08:54:31:688,454919,454919,0,0,212926283531,2196615126,452419,2010,490,366,391661,0 113,2,2024-09-07 08:54:31:316,327715,327715,0,0,11888275,0,3813 113,3,2024-09-07 08:54:30:684,1,257,1,0,340,3625,257,0 114,0,2024-09-07 08:54:30:878,63811,0.4,64307,0.5,127549,0.3,170283,1.75 114,1,2024-09-07 08:54:30:728,453049,453049,0,0,211779464980,2205183140,448240,3220,1589,381,391556,0 114,2,2024-09-07 08:54:30:873,327482,327481,1,0,12936920,0,5069 114,3,2024-09-07 08:54:31:284,1,257,2,0,395,2691,257,0 115,0,2024-09-07 08:54:30:555,64093,0.3,64372,0.4,128743,0.2,171012,1.50 115,1,2024-09-07 08:54:30:576,452961,452961,0,0,211799576446,2202696042,448589,3536,836,382,391639,0 115,2,2024-09-07 08:54:31:128,326612,326612,0,0,11277545,0,2152 115,3,2024-09-07 08:54:31:006,1,257,2,0,159,1378,257,0 116,0,2024-09-07 08:54:31:703,63626,0.6,63580,0.8,127231,0.6,169771,2.00 116,1,2024-09-07 08:54:30:803,450318,450318,0,0,210338078004,2224089941,443914,4349,2055,380,391782,0 116,2,2024-09-07 08:54:31:757,321539,321539,0,0,16133585,0,3529 116,3,2024-09-07 08:54:30:928,1,257,11,0,415,3649,257,0 117,0,2024-09-07 08:54:30:989,65899,0.7,65690,0.8,131639,0.7,176348,2.00 117,1,2024-09-07 08:54:31:581,452048,452048,0,0,210301855931,2200343883,447340,4228,480,370,392033,0 117,2,2024-09-07 08:54:31:119,326966,326966,0,0,13324332,0,3700 117,3,2024-09-07 08:54:31:062,1,257,1,0,490,4031,257,0 118,0,2024-09-07 08:54:31:945,63700,0.6,65396,0.7,133449,0.6,173915,2.00 118,1,2024-09-07 08:54:30:591,451960,451960,0,0,210214571378,2209405084,445587,4939,1434,366,391736,0 118,2,2024-09-07 08:54:31:597,326459,326459,0,0,14554741,0,2781 118,3,2024-09-07 08:54:31:821,1,257,1,0,235,2899,257,0 119,0,2024-09-07 08:54:31:346,64383,0.9,64843,0.9,129821,1.1,172650,2.25 119,1,2024-09-07 08:54:30:630,453032,453032,0,0,211148509118,2205004235,448372,4137,523,367,391641,0 119,2,2024-09-07 08:54:31:261,325651,325651,0,0,13755515,0,4174 119,3,2024-09-07 08:54:31:331,1,257,3,0,443,3491,257,0 120,0,2024-09-07 08:54:31:545,62713,0.5,62449,0.7,125229,0.5,167518,2.00 120,1,2024-09-07 08:54:30:859,452498,452498,0,0,210864429948,2211149941,447804,4343,351,368,391961,0 120,2,2024-09-07 08:54:30:772,323802,323801,1,0,16440469,0,5281 120,3,2024-09-07 08:54:31:297,1,257,1,0,241,3268,257,0 121,0,2024-09-07 08:54:31:712,64820,0.8,64880,0.9,130116,1.1,173382,2.00 121,1,2024-09-07 08:54:31:669,452437,452437,0,0,211450430179,2212618729,447820,4201,416,367,391840,0 121,2,2024-09-07 08:54:31:131,321601,321601,0,0,15655325,0,4127 121,3,2024-09-07 08:54:30:730,1,257,1,0,269,2920,257,0 122,0,2024-09-07 08:54:31:774,66540,0.9,64918,0.9,136115,1.0,179021,2.00 122,1,2024-09-07 08:54:30:874,451614,451614,0,0,211078502360,2214796866,445545,5252,817,366,392130,0 122,2,2024-09-07 08:54:31:333,325822,325749,73,0,18307704,0,5989 122,3,2024-09-07 08:54:30:601,1,257,1,0,411,5005,257,0 123,0,2024-09-07 08:54:30:954,63035,0.9,61662,0.9,128596,1.1,168776,2.25 123,1,2024-09-07 08:54:30:565,452116,452116,0,0,211454833934,2230242211,443442,6958,1716,369,392039,0 123,2,2024-09-07 08:54:31:027,323876,323875,1,0,15170572,0,5215 123,3,2024-09-07 08:54:31:144,1,257,2,0,168,3099,257,0 124,0,2024-09-07 08:54:30:928,64416,0.3,64370,0.5,121571,0.3,166626,1.75 124,1,2024-09-07 08:54:31:028,453915,453915,0,0,211132712413,2193143030,451012,2539,364,367,392178,0 124,2,2024-09-07 08:54:31:012,325844,325844,0,0,12176708,0,3101 124,3,2024-09-07 08:54:30:760,1,257,3,0,490,2834,257,0 125,0,2024-09-07 08:54:31:432,63332,0.3,63099,0.5,126918,0.3,168474,1.75 125,1,2024-09-07 08:54:30:858,452451,452451,0,0,211456130954,2204869810,449354,2702,395,383,391702,0 125,2,2024-09-07 08:54:31:115,321982,321982,0,0,13214279,0,4534 125,3,2024-09-07 08:54:31:130,1,257,8,0,709,3580,257,0 126,0,2024-09-07 08:54:31:460,67463,0.5,69264,0.6,132342,0.5,180000,1.75 126,1,2024-09-07 08:54:30:551,454033,454033,0,0,211959091198,2195904204,451313,2456,264,365,391987,0 126,2,2024-09-07 08:54:30:626,328428,328428,0,0,13535975,0,3186 126,3,2024-09-07 08:54:30:914,1,257,1,0,183,3457,257,0 127,0,2024-09-07 08:54:31:606,62207,0.4,62551,0.5,124545,0.4,165773,1.75 127,1,2024-09-07 08:54:30:602,453714,453714,0,0,212329739577,2199482332,450748,2813,153,365,391816,0 127,2,2024-09-07 08:54:30:637,327795,327795,0,0,12215981,0,2264 127,3,2024-09-07 08:54:31:277,1,257,6,0,968,3005,257,0 128,0,2024-09-07 08:54:31:521,63748,0.3,63653,0.4,127150,0.2,168786,1.50 128,1,2024-09-07 08:54:31:605,452973,452973,0,0,211907784881,2196458500,450798,2018,157,367,391798,0 128,2,2024-09-07 08:54:31:393,326174,326174,0,0,12042991,0,2434 128,3,2024-09-07 08:54:30:778,1,257,1,0,1082,5382,257,0 129,0,2024-09-07 08:54:30:994,63941,0.3,63629,0.4,127229,0.2,168650,1.50 129,1,2024-09-07 08:54:30:584,451169,451169,0,0,210630680523,2206597682,447009,3230,930,379,391835,0 129,2,2024-09-07 08:54:30:695,322547,322547,0,0,12949368,0,4031 129,3,2024-09-07 08:54:30:703,1,257,9,0,469,3351,257,0 130,0,2024-09-07 08:54:31:739,66774,0.5,66455,0.6,133289,0.5,178025,1.75 130,1,2024-09-07 08:54:30:590,453742,453742,0,0,211281282115,2197045263,451301,2362,79,381,391825,0 130,2,2024-09-07 08:54:31:137,327158,327158,0,0,12632577,0,4067 130,3,2024-09-07 08:54:31:300,1,257,7,0,450,3382,257,0 131,0,2024-09-07 08:54:31:935,64100,0.4,64458,0.5,129789,0.3,171550,1.75 131,1,2024-09-07 08:54:31:821,453482,453482,0,0,211470182944,2203485744,450429,2595,458,381,391865,0 131,2,2024-09-07 08:54:30:567,328769,328769,0,0,11561790,0,2415 131,3,2024-09-07 08:54:31:698,1,257,10,0,392,2579,257,0 132,0,2024-09-07 08:54:31:428,64598,0.5,65525,0.7,130487,0.5,173248,2.00 132,1,2024-09-07 08:54:30:581,451169,451169,0,0,210697862491,2220941197,444155,5760,1254,381,392097,0 132,2,2024-09-07 08:54:30:698,325719,325719,0,0,15470456,0,4606 132,3,2024-09-07 08:54:31:689,1,257,5,0,356,3581,257,0 133,0,2024-09-07 08:54:31:516,62375,0.4,63886,0.5,130527,0.3,169772,1.75 133,1,2024-09-07 08:54:30:593,451615,451615,0,0,210669920489,2216338238,446127,4819,669,383,391914,0 133,2,2024-09-07 08:54:31:087,322316,322316,0,0,16567758,0,4315 133,3,2024-09-07 08:54:31:307,1,257,13,0,276,2555,257,0 134,0,2024-09-07 08:54:30:949,65722,0.4,65581,0.6,131440,0.4,175325,2.00 134,1,2024-09-07 08:54:30:590,451008,451008,0,0,210714439525,2212237111,444550,4988,1470,366,391718,0 134,2,2024-09-07 08:54:31:766,323490,323490,0,0,13840376,0,3847 134,3,2024-09-07 08:54:30:781,1,257,0,0,739,3810,257,0 135,0,2024-09-07 08:54:31:122,64556,0.8,64546,0.9,136850,0.9,176864,2.25 135,1,2024-09-07 08:54:31:591,451062,451062,0,0,211564685819,2225062819,444863,5202,997,380,391805,0 135,2,2024-09-07 08:54:30:698,327377,327377,0,0,15377602,0,3981 135,3,2024-09-07 08:54:31:006,1,257,0,0,299,1856,257,0 136,0,2024-09-07 08:54:31:617,64484,0.6,64393,0.8,128492,0.6,171601,2.25 136,1,2024-09-07 08:54:31:447,451481,451481,0,0,210995917009,2217086907,445941,4954,586,382,391685,0 136,2,2024-09-07 08:54:31:133,325925,325925,0,0,14879267,0,3506 136,3,2024-09-07 08:54:31:106,1,257,1,0,150,2143,257,0 137,0,2024-09-07 08:54:30:925,64495,0.6,62643,0.7,123656,0.6,167708,2.00 137,1,2024-09-07 08:54:30:600,451684,451684,0,0,210954129904,2213667351,444732,5535,1417,366,391708,0 137,2,2024-09-07 08:54:31:719,324170,324170,0,0,16427036,0,3185 137,3,2024-09-07 08:54:30:769,1,257,1,0,382,3286,257,0 138,0,2024-09-07 08:54:31:766,63070,0.8,63029,0.9,126811,0.8,169116,2.00 138,1,2024-09-07 08:54:31:698,451408,451408,0,0,211634817881,2222115897,444438,5838,1132,368,391954,0 138,2,2024-09-07 08:54:30:597,321798,321798,0,0,15071280,0,4988 138,3,2024-09-07 08:54:30:620,1,257,0,0,1160,3869,257,0 139,0,2024-09-07 08:54:31:376,66113,1.8,66422,1.2,132990,2.7,177729,2.50 139,1,2024-09-07 08:54:30:577,449900,449900,0,0,210059787525,2231491822,441366,6419,2115,381,391892,0 139,2,2024-09-07 08:54:30:704,325108,325108,0,0,15994495,0,3097 139,3,2024-09-07 08:54:31:665,1,257,6,0,257,2847,257,0 140,0,2024-09-07 08:54:31:607,62770,0.3,62234,0.5,125039,0.3,166823,1.75 140,1,2024-09-07 08:54:31:538,455031,455031,0,0,212814285736,2192144507,453051,1738,242,365,391606,0 140,2,2024-09-07 08:54:30:696,327498,327498,0,0,12499830,0,3388 140,3,2024-09-07 08:54:30:770,1,257,3,0,247,2159,257,0 141,0,2024-09-07 08:54:31:700,62666,0.3,64438,0.4,123169,0.2,167085,1.50 141,1,2024-09-07 08:54:30:869,454053,454053,0,0,212314192562,2201555609,451483,2162,408,379,391614,0 141,2,2024-09-07 08:54:31:686,325732,325732,0,0,12059380,0,2342 141,3,2024-09-07 08:54:31:053,1,257,29,0,202,2372,257,0 142,0,2024-09-07 08:54:31:364,63850,0.3,63206,0.4,125653,0.2,168357,1.50 142,1,2024-09-07 08:54:30:597,453383,453383,0,0,211039236270,2199737412,450552,2566,265,382,392102,0 142,2,2024-09-07 08:54:31:306,321420,321388,32,0,13788548,0,6028 142,3,2024-09-07 08:54:31:747,1,257,5,0,484,3211,257,0 143,0,2024-09-07 08:54:31:373,66716,0.5,66700,0.6,133863,0.5,178331,1.75 143,1,2024-09-07 08:54:30:556,453430,453430,0,0,211210589770,2189669161,450672,2662,96,367,391651,0 143,2,2024-09-07 08:54:30:780,326369,326369,0,0,13097343,0,2669 143,3,2024-09-07 08:54:31:155,1,257,0,0,303,3322,257,0 144,0,2024-09-07 08:54:31:532,61393,0.7,62996,0.9,128546,0.6,168440,2.00 144,1,2024-09-07 08:54:30:566,451286,451286,0,0,210946748788,2212679212,447271,3090,925,381,391649,0 144,2,2024-09-07 08:54:31:757,328210,328210,0,0,12370924,0,3473 144,3,2024-09-07 08:54:31:750,1,257,4,0,249,2982,257,0 145,0,2024-09-07 08:54:31:360,61772,0.6,61745,0.8,131066,0.5,168995,2.25 145,1,2024-09-07 08:54:30:552,450658,450658,0,0,211149251273,2218831890,445161,4674,823,382,391698,0 145,2,2024-09-07 08:54:31:456,324900,324900,0,0,14649352,0,3903 145,3,2024-09-07 08:54:30:901,1,257,2,0,622,4117,257,0 146,0,2024-09-07 08:54:31:589,63762,0.5,63229,0.7,127477,0.4,168978,2.00 146,1,2024-09-07 08:54:31:588,451405,451405,0,0,210793138971,2221227238,443122,6436,1847,368,391770,0 146,2,2024-09-07 08:54:31:695,321488,321488,0,0,14794747,0,2730 146,3,2024-09-07 08:54:31:274,1,257,8,0,1520,5938,257,0 147,0,2024-09-07 08:54:31:708,65869,0.5,65724,0.7,130697,0.5,176096,2.00 147,1,2024-09-07 08:54:31:373,453762,453762,0,0,212433642788,2208965175,449661,3516,585,368,391791,0 147,2,2024-09-07 08:54:31:013,326512,326512,0,0,12532733,0,2789 147,3,2024-09-07 08:54:30:917,1,257,2,0,730,3924,257,0 0,0,2024-09-07 08:54:41:774,61331,0.4,61406,0.7,130119,0.4,168726,1.75 0,1,2024-09-07 08:54:40:802,454422,454422,0,0,212158313482,2217769551,451175,3043,204,370,391772,0 0,2,2024-09-07 08:54:41:070,325112,325112,0,0,13108422,0,4480 0,3,2024-09-07 08:54:40:974,1,258,4,0,319,3440,258,0 1,0,2024-09-07 08:54:41:758,65418,0.8,64956,0.9,130454,0.9,174138,2.00 1,1,2024-09-07 08:54:40:562,454235,454235,0,0,211333579802,2213241792,449737,3445,1053,371,391857,0 1,2,2024-09-07 08:54:40:639,323573,323573,0,0,12125785,0,3267 1,3,2024-09-07 08:54:41:306,1,258,8,0,262,2985,258,0 2,0,2024-09-07 08:54:41:568,67374,0.7,67618,0.8,133980,0.9,180139,2.00 2,1,2024-09-07 08:54:40:862,454516,454516,0,0,212893302230,2210029278,452408,1890,218,380,391745,0 2,2,2024-09-07 08:54:41:275,328840,328840,0,0,12660101,0,3594 2,3,2024-09-07 08:54:40:691,1,258,1,0,357,2288,258,0 3,0,2024-09-07 08:54:41:769,63530,0.5,63627,0.6,126849,0.4,169221,2.00 3,1,2024-09-07 08:54:41:625,454240,454240,0,0,212209493575,2209454593,450399,3379,462,380,391556,0 3,2,2024-09-07 08:54:41:143,327278,327255,23,0,12899285,0,5851 3,3,2024-09-07 08:54:41:751,1,258,0,0,103,1539,258,0 4,0,2024-09-07 08:54:41:782,60676,0.4,62409,0.5,127028,0.3,166269,1.75 4,1,2024-09-07 08:54:40:601,453919,453919,0,0,211962673204,2224948466,449175,3953,791,371,391846,0 4,2,2024-09-07 08:54:41:018,325338,325338,0,0,15256048,0,4528 4,3,2024-09-07 08:54:41:029,1,258,1,0,448,3915,258,0 5,0,2024-09-07 08:54:41:376,63443,0.4,63742,0.5,126535,0.3,168770,1.75 5,1,2024-09-07 08:54:40:760,453930,453930,0,0,211993652448,2227625289,448433,4385,1112,368,392005,0 5,2,2024-09-07 08:54:41:856,321483,321483,0,0,13826128,0,2432 5,3,2024-09-07 08:54:41:732,1,258,16,0,457,3858,258,0 6,0,2024-09-07 08:54:40:918,68243,0.5,67592,0.7,135041,0.5,180785,2.00 6,1,2024-09-07 08:54:40:749,454086,454086,0,0,211825182129,2212556302,449410,3832,844,379,391694,0 6,2,2024-09-07 08:54:41:129,327817,327817,0,0,13872141,0,4816 6,3,2024-09-07 08:54:41:275,1,258,1,0,340,2980,258,0 7,0,2024-09-07 08:54:41:536,62071,0.5,62271,0.7,124134,0.5,165135,2.00 7,1,2024-09-07 08:54:40:850,453836,453836,0,0,211786195490,2220789772,447934,4899,1003,382,391747,0 7,2,2024-09-07 08:54:40:771,327640,327640,0,0,13692232,0,4791 7,3,2024-09-07 08:54:40:851,1,258,1,0,398,3136,258,0 8,0,2024-09-07 08:54:41:386,63517,0.4,63484,0.5,126412,0.3,168617,1.75 8,1,2024-09-07 08:54:41:027,452490,452490,0,0,211810337431,2233494455,444193,6278,2019,366,391956,0 8,2,2024-09-07 08:54:40:807,323067,323067,0,0,16527017,0,3220 8,3,2024-09-07 08:54:40:587,1,258,0,0,357,3862,258,0 9,0,2024-09-07 08:54:41:134,63709,0.3,61739,0.5,129001,0.3,169033,1.50 9,1,2024-09-07 08:54:40:550,453050,453050,0,0,211707187560,2230778828,446557,4951,1542,370,392001,0 9,2,2024-09-07 08:54:41:083,322406,322406,0,0,14539927,0,3360 9,3,2024-09-07 08:54:41:755,1,258,27,0,496,3853,258,0 10,0,2024-09-07 08:54:41:633,67095,0.3,66489,0.5,133692,0.2,178793,1.75 10,1,2024-09-07 08:54:40:597,453595,453595,0,0,211401255906,2216000096,447233,5434,928,381,391741,0 10,2,2024-09-07 08:54:40:766,327197,327197,0,0,16234353,0,4264 10,3,2024-09-07 08:54:40:871,1,258,1,0,177,2105,258,0 11,0,2024-09-07 08:54:41:008,63882,0.5,62099,0.7,129857,0.5,171661,2.00 11,1,2024-09-07 08:54:40:575,454162,454162,0,0,211939304633,2229276546,445943,6238,1981,383,391537,0 11,2,2024-09-07 08:54:41:128,328557,328557,0,0,15164596,0,4130 11,3,2024-09-07 08:54:41:297,1,258,2,0,843,4235,258,0 12,0,2024-09-07 08:54:40:943,65530,0.3,65375,0.5,130748,0.3,173522,1.75 12,1,2024-09-07 08:54:40:943,453875,453875,0,0,211882059264,2208189196,450354,3099,422,370,391870,0 12,2,2024-09-07 08:54:41:555,327347,327347,0,0,14115534,0,3469 12,3,2024-09-07 08:54:41:061,1,258,0,0,386,4245,258,0 13,0,2024-09-07 08:54:41:396,64441,0.3,64287,0.5,128431,0.3,170870,1.50 13,1,2024-09-07 08:54:41:528,454202,454202,0,0,211732190229,2220351045,450651,3010,541,382,391717,0 13,2,2024-09-07 08:54:40:601,324936,324936,0,0,13187074,0,3287 13,3,2024-09-07 08:54:41:773,1,258,1,0,467,3945,258,0 14,0,2024-09-07 08:54:40:566,66204,0.3,66688,0.5,132121,0.3,176313,1.75 14,1,2024-09-07 08:54:41:566,456947,456947,0,0,212838527881,2201731141,454116,2642,189,364,391571,0 14,2,2024-09-07 08:54:40:765,324993,324993,0,0,13233315,0,2896 14,3,2024-09-07 08:54:41:121,1,258,88,0,1168,3571,258,0 15,0,2024-09-07 08:54:41:566,66845,0.5,67011,0.7,133721,0.5,177939,2.00 15,1,2024-09-07 08:54:41:620,454969,454969,0,0,212513675357,2208390425,452448,2343,178,381,391619,0 15,2,2024-09-07 08:54:40:998,329402,329402,0,0,11342211,0,3043 15,3,2024-09-07 08:54:41:415,1,258,8,0,1126,5359,258,0 16,0,2024-09-07 08:54:40:944,64493,0.7,64686,0.8,128834,0.8,172002,2.25 16,1,2024-09-07 08:54:40:562,455162,455162,0,0,212141883885,2215229107,451600,3262,300,370,391756,0 16,2,2024-09-07 08:54:41:442,326359,326359,0,0,13820392,0,4719 16,3,2024-09-07 08:54:41:156,1,258,3,0,317,3499,258,0 17,0,2024-09-07 08:54:41:826,64790,0.5,63221,0.7,123805,0.4,168165,1.75 17,1,2024-09-07 08:54:40:578,453724,453724,0,0,211598592293,2220156716,448878,3741,1105,368,391845,0 17,2,2024-09-07 08:54:41:797,328623,328623,0,0,12994432,0,2857 17,3,2024-09-07 08:54:40:574,1,258,13,0,268,4048,258,0 18,0,2024-09-07 08:54:40:951,63278,0.6,63433,0.8,126771,0.6,169734,2.25 18,1,2024-09-07 08:54:41:647,455301,455301,0,0,211988452756,2196004678,452791,2268,242,367,391649,0 18,2,2024-09-07 08:54:41:755,324639,324639,0,0,12590538,0,3541 18,3,2024-09-07 08:54:40:895,1,258,0,0,163,2540,258,0 19,0,2024-09-07 08:54:41:540,66891,0.6,67557,0.8,133764,0.6,178059,2.00 19,1,2024-09-07 08:54:40:565,454964,454964,0,0,213470918139,2217011256,450303,3874,787,367,391777,0 19,2,2024-09-07 08:54:41:765,329924,329924,0,0,11661811,0,3988 19,3,2024-09-07 08:54:41:129,1,258,1,0,524,1900,258,0 20,0,2024-09-07 08:54:41:361,62416,0.7,62419,0.8,125133,0.8,167137,2.25 20,1,2024-09-07 08:54:40:575,453849,453849,0,0,212537534058,2221807024,450026,3425,398,369,391886,0 20,2,2024-09-07 08:54:40:948,328212,328212,0,0,13412064,0,3721 20,3,2024-09-07 08:54:40:589,1,258,1,0,414,4633,258,0 21,0,2024-09-07 08:54:41:127,62905,0.5,63112,0.6,125853,0.4,166885,2.00 21,1,2024-09-07 08:54:41:548,452824,452824,0,0,211411341483,2224266342,447105,4462,1257,368,391962,0 21,2,2024-09-07 08:54:41:075,325695,325695,0,0,15189169,0,3747 21,3,2024-09-07 08:54:41:411,1,258,1,0,103,2642,258,0 22,0,2024-09-07 08:54:41:717,63110,0.6,63257,0.7,126161,0.5,168110,2.00 22,1,2024-09-07 08:54:41:023,453308,453308,0,0,211422788421,2224822192,446076,5590,1642,382,391667,0 22,2,2024-09-07 08:54:40:762,322151,322151,0,0,13283627,0,3134 22,3,2024-09-07 08:54:41:066,1,258,1,0,228,2348,258,0 23,0,2024-09-07 08:54:41:426,66917,0.5,66576,0.7,133919,0.5,178835,2.25 23,1,2024-09-07 08:54:41:016,454216,454216,0,0,211865141411,2223455367,446905,4760,2551,365,391690,0 23,2,2024-09-07 08:54:41:094,328275,328275,0,0,12887723,0,3010 23,3,2024-09-07 08:54:41:754,1,258,1,0,645,3297,258,0 24,0,2024-09-07 08:54:40:822,64008,0.4,63917,0.6,128171,0.4,170013,1.75 24,1,2024-09-07 08:54:40:581,453381,453381,0,0,211315570118,2216772475,447767,4133,1481,368,391987,0 24,2,2024-09-07 08:54:41:069,327229,327229,0,0,16031865,0,3607 24,3,2024-09-07 08:54:41:687,1,258,0,0,468,3800,258,0 25,0,2024-09-07 08:54:41:386,65713,0.5,64036,0.7,125803,0.4,171398,2.00 25,1,2024-09-07 08:54:40:559,454268,454268,0,0,212003798086,2218365264,449924,3790,554,371,391788,0 25,2,2024-09-07 08:54:41:614,325657,325657,0,0,16131528,0,3978 25,3,2024-09-07 08:54:41:000,1,258,1,0,255,2786,258,0 26,0,2024-09-07 08:54:41:733,63601,0.4,62187,0.6,130292,0.3,169359,1.75 26,1,2024-09-07 08:54:41:557,453719,453719,0,0,211415239863,2217718424,446728,5775,1216,381,391748,0 26,2,2024-09-07 08:54:40:870,322915,322915,0,0,15725206,0,2809 26,3,2024-09-07 08:54:41:717,1,258,2,0,796,3396,258,0 27,0,2024-09-07 08:54:41:730,66675,0.4,66776,0.6,131978,0.3,176798,2.00 27,1,2024-09-07 08:54:41:683,455752,455752,0,0,212687245074,2212352163,452299,2860,593,381,391558,0 27,2,2024-09-07 08:54:40:870,324773,324708,65,0,15890035,0,5699 27,3,2024-09-07 08:54:41:015,1,258,1,0,564,2788,258,0 28,0,2024-09-07 08:54:41:396,65727,0.5,65512,0.6,131629,0.4,175176,2.00 28,1,2024-09-07 08:54:40:810,455258,455258,0,0,213298130581,2219224780,452377,2410,471,383,391646,0 28,2,2024-09-07 08:54:41:771,329055,329055,0,0,12612974,0,2915 28,3,2024-09-07 08:54:41:777,1,258,1,0,502,2661,258,0 29,0,2024-09-07 08:54:41:387,66701,0.4,65229,0.6,127594,0.3,174349,1.75 29,1,2024-09-07 08:54:41:589,456261,456261,0,0,213104833964,2205731790,453035,2661,565,369,391621,0 29,2,2024-09-07 08:54:40:860,326292,326292,0,0,12014294,0,4018 29,3,2024-09-07 08:54:40:965,1,258,1,0,115,1871,258,0 30,0,2024-09-07 08:54:41:465,63138,0.5,61301,0.7,128210,0.4,168184,2.00 30,1,2024-09-07 08:54:40:576,456009,456009,0,0,213216054879,2208124541,453678,2038,293,382,391672,0 30,2,2024-09-07 08:54:41:275,325285,325285,0,0,11807013,0,3161 30,3,2024-09-07 08:54:40:582,1,258,2,0,519,2477,258,0 31,0,2024-09-07 08:54:41:763,65017,0.4,65213,0.6,130620,0.3,174066,1.75 31,1,2024-09-07 08:54:40:563,457401,457401,0,0,213972188464,2191197615,456010,1171,220,356,391712,0 31,2,2024-09-07 08:54:41:275,324052,324052,0,0,13424119,0,3525 31,3,2024-09-07 08:54:41:714,1,258,0,0,220,1971,258,0 32,0,2024-09-07 08:54:41:421,67109,0.4,67676,0.5,135118,0.3,180523,1.75 32,1,2024-09-07 08:54:40:807,455771,455771,0,0,212701485343,2205445021,453813,1679,279,381,391622,0 32,2,2024-09-07 08:54:40:937,328999,328999,0,0,11626563,0,3155 32,3,2024-09-07 08:54:41:014,1,258,2,0,227,2052,258,0 33,0,2024-09-07 08:54:41:507,64093,0.3,63415,0.5,127548,0.2,170199,1.75 33,1,2024-09-07 08:54:40:577,456127,456127,0,0,213877869022,2208228341,453545,2408,174,369,391730,0 33,2,2024-09-07 08:54:40:762,328514,328481,33,0,13332427,0,7012 33,3,2024-09-07 08:54:40:901,1,258,1,0,329,3103,258,0 34,0,2024-09-07 08:54:40:932,62512,0.3,64476,0.5,123583,0.2,165692,1.50 34,1,2024-09-07 08:54:41:048,456868,456868,0,0,213976592406,2199500219,455772,1089,7,367,391562,0 34,2,2024-09-07 08:54:40:766,326745,326745,0,0,12585628,0,3577 34,3,2024-09-07 08:54:41:701,1,258,0,0,299,2071,258,0 35,0,2024-09-07 08:54:40:860,62907,0.3,63331,0.5,127197,0.2,169241,1.75 35,1,2024-09-07 08:54:41:075,455778,455778,0,0,212274358323,2201067460,452432,2566,780,384,391589,0 35,2,2024-09-07 08:54:41:586,323559,323559,0,0,12171652,0,2653 35,3,2024-09-07 08:54:40:906,1,258,5,0,418,3045,258,0 36,0,2024-09-07 08:54:41:525,67850,0.5,67649,0.7,135762,0.5,180549,2.25 36,1,2024-09-07 08:54:40:588,454830,454830,0,0,211704677425,2213420394,448848,4403,1579,366,391759,0 36,2,2024-09-07 08:54:41:759,327658,327658,0,0,14194399,0,3875 36,3,2024-09-07 08:54:40:862,1,258,1,0,416,4051,258,0 37,0,2024-09-07 08:54:41:407,61941,0.5,61901,0.7,124044,0.5,166089,2.00 37,1,2024-09-07 08:54:40:576,453946,453939,0,7,211484791818,2215073612,447929,3942,2068,365,391560,0 37,2,2024-09-07 08:54:41:142,327515,327500,15,0,14518567,0,5815 37,3,2024-09-07 08:54:41:785,1,258,4,0,888,4489,258,0 38,0,2024-09-07 08:54:41:440,62779,0.5,61025,0.7,127817,0.4,166788,2.00 38,1,2024-09-07 08:54:41:612,454948,454948,0,0,212529007780,2217214891,449676,4597,675,368,391821,0 38,2,2024-09-07 08:54:40:760,326057,326010,47,0,14876425,0,6710 38,3,2024-09-07 08:54:40:997,1,258,1,0,689,3603,258,0 39,0,2024-09-07 08:54:41:763,64893,0.6,63755,0.7,123696,0.5,169010,2.00 39,1,2024-09-07 08:54:40:716,454767,454767,0,0,212529384161,2217264134,449207,4658,902,365,391594,0 39,2,2024-09-07 08:54:41:417,322405,322405,0,0,12863262,0,2689 39,3,2024-09-07 08:54:40:713,1,258,16,0,324,3439,258,0 40,0,2024-09-07 08:54:41:490,66107,0.7,66767,0.9,133546,0.7,178363,2.75 40,1,2024-09-07 08:54:40:579,454215,454215,0,0,211462242044,2216347000,447075,5697,1443,368,391591,0 40,2,2024-09-07 08:54:41:313,326960,326959,1,0,16257724,0,5137 40,3,2024-09-07 08:54:41:143,1,258,12,0,398,3189,258,0 41,0,2024-09-07 08:54:41:023,63694,2.0,65177,1.4,124301,3.1,169859,3.75 41,1,2024-09-07 08:54:40:771,454347,454347,0,0,212363980237,2219888275,448804,4908,635,370,391742,0 41,2,2024-09-07 08:54:40:761,327203,327203,0,0,15473579,0,3356 41,3,2024-09-07 08:54:41:676,1,258,1,0,366,3033,258,0 42,0,2024-09-07 08:54:41:489,64246,0.8,64434,1.0,129034,0.9,170072,2.50 42,1,2024-09-07 08:54:41:440,453174,453174,0,0,211646387068,2224490966,445889,5833,1452,380,391675,0 42,2,2024-09-07 08:54:41:146,327053,327053,0,0,14767224,0,3568 42,3,2024-09-07 08:54:41:011,1,258,1,0,446,2353,258,0 43,0,2024-09-07 08:54:40:916,63704,0.6,62173,0.9,129796,0.6,170619,2.00 43,1,2024-09-07 08:54:40:577,454058,454058,0,0,212920205652,2224292316,447794,4935,1329,366,391696,0 43,2,2024-09-07 08:54:41:739,324021,324021,0,0,14088269,0,3812 43,3,2024-09-07 08:54:41:749,1,258,1,0,467,3103,258,0 44,0,2024-09-07 08:54:40:868,65838,0.4,66348,0.6,132190,0.4,176523,1.75 44,1,2024-09-07 08:54:40:563,456266,456266,0,0,212623678236,2193333931,453707,2127,432,356,391809,0 44,2,2024-09-07 08:54:41:268,324891,324891,0,0,11903568,0,2231 44,3,2024-09-07 08:54:41:094,1,258,1,0,817,3001,258,0 45,0,2024-09-07 08:54:41:757,65876,0.6,64419,0.8,135174,0.7,177683,2.00 45,1,2024-09-07 08:54:41:011,455553,455553,0,0,212677285282,2202818928,453902,1639,12,382,391917,0 45,2,2024-09-07 08:54:41:282,329466,329466,0,0,12651633,0,3596 45,3,2024-09-07 08:54:40:937,1,258,5,0,271,2695,258,0 46,0,2024-09-07 08:54:40:976,64104,0.6,63862,0.8,128024,0.6,169945,2.00 46,1,2024-09-07 08:54:40:598,457093,457093,0,0,213287505471,2200474372,454746,2073,274,366,391539,0 46,2,2024-09-07 08:54:40:594,327512,327512,0,0,12072136,0,2920 46,3,2024-09-07 08:54:41:132,1,258,1,0,908,3788,258,0 47,0,2024-09-07 08:54:41:104,63168,0.4,63044,0.6,126733,0.4,167609,1.75 47,1,2024-09-07 08:54:40:567,456379,456379,0,0,212639526458,2193835284,454052,2193,134,366,391605,0 47,2,2024-09-07 08:54:40:907,328172,328172,0,0,12143271,0,2558 47,3,2024-09-07 08:54:41:115,1,258,0,0,529,2334,258,0 48,0,2024-09-07 08:54:41:516,64332,0.3,63946,0.4,127396,0.2,170823,1.50 48,1,2024-09-07 08:54:41:021,455592,455592,0,0,212561299267,2207009950,452481,2811,300,384,391710,0 48,2,2024-09-07 08:54:40:711,323731,323731,0,0,11666612,0,3031 48,3,2024-09-07 08:54:40:753,1,258,1,0,339,2157,258,0 49,0,2024-09-07 08:54:41:725,69172,0.4,67981,0.5,131703,0.3,180217,1.75 49,1,2024-09-07 08:54:41:020,454703,454703,0,0,212000766952,2209024894,450844,2678,1181,382,391809,0 49,2,2024-09-07 08:54:41:816,329544,329544,0,0,12298849,0,3900 49,3,2024-09-07 08:54:41:425,1,258,14,0,408,2804,258,0 50,0,2024-09-07 08:54:41:505,62699,0.3,62149,0.5,125016,0.2,167049,1.75 50,1,2024-09-07 08:54:41:011,456261,456261,0,0,213442440970,2206596130,453422,2529,310,368,391530,0 50,2,2024-09-07 08:54:41:067,328468,328468,0,0,11576657,0,2263 50,3,2024-09-07 08:54:41:291,1,258,16,0,335,2140,258,0 51,0,2024-09-07 08:54:41:682,64346,0.3,62930,0.5,122624,0.2,167213,1.75 51,1,2024-09-07 08:54:41:680,456552,456552,0,0,213663336824,2204508689,453755,1858,939,365,391706,0 51,2,2024-09-07 08:54:41:316,327165,327165,0,0,10979491,0,3337 51,3,2024-09-07 08:54:41:028,1,258,1,0,678,2058,258,0 52,0,2024-09-07 08:54:41:444,63859,0.4,63442,0.6,126809,0.4,168744,2.00 52,1,2024-09-07 08:54:40:588,454310,454310,0,0,211369376126,2215686584,447151,5905,1254,368,391722,0 52,2,2024-09-07 08:54:41:756,320884,320846,38,0,15036767,0,6742 52,3,2024-09-07 08:54:40:690,1,258,9,0,1782,4568,258,0 53,0,2024-09-07 08:54:41:734,66556,0.6,64740,0.8,135409,0.7,178088,2.25 53,1,2024-09-07 08:54:40:772,453422,453422,0,0,211688197002,2222270005,446095,4971,2356,367,391702,0 53,2,2024-09-07 08:54:41:297,328180,328180,0,0,12581147,0,2727 53,3,2024-09-07 08:54:40:710,1,258,1,0,271,2323,258,0 54,0,2024-09-07 08:54:41:620,62517,0.8,63076,0.9,124860,0.5,167121,2.75 54,1,2024-09-07 08:54:40:581,454739,454739,0,0,212407205753,2208529352,450003,4139,597,367,391659,0 54,2,2024-09-07 08:54:40:865,328985,328979,6,0,13981743,0,5382 54,3,2024-09-07 08:54:40:763,1,258,1,0,676,3912,258,0 55,0,2024-09-07 08:54:41:763,61835,0.6,64012,0.8,128840,0.5,167842,2.50 55,1,2024-09-07 08:54:40:765,454369,454369,0,0,212514302747,2212143976,448819,4763,787,365,391731,0 55,2,2024-09-07 08:54:40:729,326298,326298,0,0,14099237,0,3275 55,3,2024-09-07 08:54:40:682,1,258,1,0,304,2892,258,0 56,0,2024-09-07 08:54:41:571,65302,0.9,61675,1.0,126766,1.2,169300,2.25 56,1,2024-09-07 08:54:40:589,452671,452671,0,0,212107634342,2242007044,445428,5805,1438,381,391729,0 56,2,2024-09-07 08:54:41:309,322952,322952,0,0,15253964,0,3567 56,3,2024-09-07 08:54:41:061,1,258,1,0,705,3737,258,0 57,0,2024-09-07 08:54:40:966,65559,1.4,65611,1.2,131182,2.0,175700,3.00 57,1,2024-09-07 08:54:40:989,453958,453958,0,0,211409636959,2214558329,448635,4653,670,367,391960,0 57,2,2024-09-07 08:54:41:338,326795,326795,0,0,16515044,0,3317 57,3,2024-09-07 08:54:41:746,1,258,1,0,359,3388,258,0 58,0,2024-09-07 08:54:40:572,64427,1.1,62618,1.1,131136,1.5,171676,2.75 58,1,2024-09-07 08:54:40:575,454918,454915,0,3,212888221562,2223636940,449182,5022,711,367,391603,3 58,2,2024-09-07 08:54:41:071,328795,328795,0,0,14739162,0,2549 58,3,2024-09-07 08:54:41:068,1,258,0,0,1043,2913,258,0 59,0,2024-09-07 08:54:41:747,64702,0.8,64472,1.0,128679,0.8,170991,3.00 59,1,2024-09-07 08:54:40:813,454431,454431,0,0,212757499587,2225208741,448642,4524,1265,369,391525,0 59,2,2024-09-07 08:54:40:583,327872,327872,0,0,13465129,0,2604 59,3,2024-09-07 08:54:41:739,1,258,1,0,1015,3562,258,0 60,0,2024-09-07 08:54:41:713,63267,0.4,63047,0.6,127202,0.3,168712,1.75 60,1,2024-09-07 08:54:40:774,455975,455975,0,0,213385640565,2211182722,453528,1930,517,370,392031,0 60,2,2024-09-07 08:54:41:141,325530,325530,0,0,14448638,0,3811 60,3,2024-09-07 08:54:41:259,1,258,12,0,124,2481,258,0 61,0,2024-09-07 08:54:41:499,65212,0.6,65503,0.8,130482,0.6,174104,2.00 61,1,2024-09-07 08:54:40:781,454423,454423,0,0,211684410633,2214023585,449845,3875,703,382,391880,0 61,2,2024-09-07 08:54:41:122,324923,324923,0,0,12839291,0,2780 61,3,2024-09-07 08:54:41:700,1,258,0,0,397,3476,258,0 62,0,2024-09-07 08:54:41:709,67841,0.6,69086,0.7,131965,0.6,180282,2.00 62,1,2024-09-07 08:54:41:118,456938,456932,0,6,213725715501,2203394004,454467,2347,118,365,391715,6 62,2,2024-09-07 08:54:41:656,327524,327523,1,0,14232612,0,5555 62,3,2024-09-07 08:54:41:151,1,258,1,0,287,1815,258,0 63,0,2024-09-07 08:54:41:472,63610,0.5,63757,0.6,127662,0.5,169744,1.75 63,1,2024-09-07 08:54:40:806,456206,456200,0,6,212546719443,2200194987,454624,1526,50,381,391677,6 63,2,2024-09-07 08:54:40:762,327824,327824,0,0,11863185,0,2674 63,3,2024-09-07 08:54:41:734,1,258,1,0,667,2882,258,0 64,0,2024-09-07 08:54:41:508,62183,0.4,62138,0.6,124592,0.4,165623,1.75 64,1,2024-09-07 08:54:40:753,454864,454864,0,0,212713358709,2217336641,450374,3076,1414,370,391783,0 64,2,2024-09-07 08:54:41:141,329285,329266,19,0,12716750,0,6121 64,3,2024-09-07 08:54:41:141,1,258,1,0,265,2555,258,0 65,0,2024-09-07 08:54:41:687,62909,0.5,63290,0.7,126211,0.6,168164,2.00 65,1,2024-09-07 08:54:40:861,454247,454247,0,0,212154537163,2217885196,450693,3109,445,382,391901,0 65,2,2024-09-07 08:54:41:710,323215,323215,0,0,13406850,0,3367 65,3,2024-09-07 08:54:41:689,1,258,1,0,163,2457,258,0 66,0,2024-09-07 08:54:41:780,67499,0.5,67252,0.7,135251,0.4,179804,2.00 66,1,2024-09-07 08:54:41:302,455896,455896,0,0,213098804705,2212950726,453321,2374,201,380,391653,0 66,2,2024-09-07 08:54:41:132,330127,330127,0,0,13166043,0,4956 66,3,2024-09-07 08:54:41:089,1,258,1,0,291,2646,258,0 67,0,2024-09-07 08:54:41:428,62648,0.6,62151,0.8,125119,0.6,166125,2.00 67,1,2024-09-07 08:54:40:766,454557,454556,0,1,212587440863,2215949918,450710,3098,748,380,391787,1 67,2,2024-09-07 08:54:40:583,329511,329511,0,0,12205784,0,2889 67,3,2024-09-07 08:54:41:755,1,258,1,0,338,2340,258,0 68,0,2024-09-07 08:54:40:567,63546,0.6,63320,0.8,126247,0.6,168566,2.00 68,1,2024-09-07 08:54:40:575,454041,454041,0,0,211429240575,2215326699,449862,3009,1170,381,391953,0 68,2,2024-09-07 08:54:41:064,325709,325644,65,0,15942336,0,6698 68,3,2024-09-07 08:54:40:728,1,258,1,0,417,2862,258,0 69,0,2024-09-07 08:54:41:745,63107,0.7,63425,0.8,126562,0.7,168078,2.00 69,1,2024-09-07 08:54:41:027,452732,452732,0,0,211238799818,2227480065,447030,4300,1402,384,391994,0 69,2,2024-09-07 08:54:41:745,322411,322411,0,0,15703213,0,3722 69,3,2024-09-07 08:54:40:762,1,258,1,0,698,3676,258,0 70,0,2024-09-07 08:54:41:546,66547,0.7,66453,0.9,133474,0.5,177984,2.25 70,1,2024-09-07 08:54:40:802,455524,455524,0,0,213681749696,2217457450,451858,3138,528,366,391725,0 70,2,2024-09-07 08:54:41:333,327455,327455,0,0,14395664,0,4044 70,3,2024-09-07 08:54:40:746,1,258,1,0,854,3028,258,0 71,0,2024-09-07 08:54:41:384,63713,1.2,63592,1.1,127537,1.5,170567,3.00 71,1,2024-09-07 08:54:41:612,454427,454427,0,0,212450463329,2215298467,449271,4535,621,368,391738,0 71,2,2024-09-07 08:54:41:075,328835,328835,0,0,14776639,0,4042 71,3,2024-09-07 08:54:41:753,1,258,1,0,644,3696,258,0 72,0,2024-09-07 08:54:41:041,67151,0.7,65681,0.8,127993,0.8,173887,2.25 72,1,2024-09-07 08:54:41:026,454508,454508,0,0,212833296587,2221019008,449446,4131,931,369,391819,0 72,2,2024-09-07 08:54:41:773,325942,325942,0,0,16473559,0,3983 72,3,2024-09-07 08:54:41:770,1,258,2,0,564,4548,258,0 73,0,2024-09-07 08:54:41:120,62489,0.4,63955,0.6,131206,0.3,170249,2.00 73,1,2024-09-07 08:54:40:766,455104,455104,0,0,212891490270,2211471257,452013,2856,235,367,391750,0 73,2,2024-09-07 08:54:41:748,324075,324075,0,0,15752148,0,3701 73,3,2024-09-07 08:54:40:969,1,258,5,0,274,3747,258,0 74,0,2024-09-07 08:54:41:351,66571,0.4,67993,0.6,129765,0.4,176426,2.25 74,1,2024-09-07 08:54:40:635,454284,454284,0,0,211919071289,2211936203,449882,3603,799,381,391681,0 74,2,2024-09-07 08:54:41:002,325163,325163,0,0,15124488,0,4253 74,3,2024-09-07 08:54:41:446,1,258,2,0,522,3404,258,0 75,0,2024-09-07 08:54:41:771,67100,0.5,66678,0.8,133812,0.5,178742,2.25 75,1,2024-09-07 08:54:41:585,453636,453636,0,0,211335604104,2209022589,448705,4267,664,380,391660,0 75,2,2024-09-07 08:54:41:368,327755,327755,0,0,15620762,0,4766 75,3,2024-09-07 08:54:41:067,1,258,1,0,702,3760,258,0 76,0,2024-09-07 08:54:40:589,64121,0.7,63468,0.8,127325,0.7,170800,2.50 76,1,2024-09-07 08:54:40:827,454442,454442,0,0,212206695511,2212571358,451336,2555,551,382,391692,0 76,2,2024-09-07 08:54:41:068,328337,328337,0,0,12789380,0,3784 76,3,2024-09-07 08:54:41:160,1,258,5,0,175,2660,258,0 77,0,2024-09-07 08:54:41:711,62877,0.5,63149,0.7,126040,0.4,167385,1.75 77,1,2024-09-07 08:54:40:840,455073,455073,0,0,212607326255,2218464959,451622,3194,257,381,391808,0 77,2,2024-09-07 08:54:41:286,325983,325983,0,0,13444642,0,3890 77,3,2024-09-07 08:54:41:104,1,258,1,0,382,2854,258,0 78,0,2024-09-07 08:54:41:721,64214,0.4,63585,0.6,128095,0.3,170118,2.00 78,1,2024-09-07 08:54:40:614,455435,455435,0,0,211706418758,2196329845,452438,2678,319,367,391670,0 78,2,2024-09-07 08:54:41:413,323859,323859,0,0,11957715,0,3855 78,3,2024-09-07 08:54:41:133,1,258,1,0,181,2448,258,0 79,0,2024-09-07 08:54:41:351,65210,0.4,66940,0.6,136808,0.3,177932,2.25 79,1,2024-09-07 08:54:40:584,456464,456464,0,0,212729532065,2199946559,453619,2509,336,369,391682,0 79,2,2024-09-07 08:54:41:075,329405,329405,0,0,12142629,0,3212 79,3,2024-09-07 08:54:40:754,1,258,3,0,418,3765,258,0 80,0,2024-09-07 08:54:41:081,62498,0.6,64373,0.7,123184,0.6,166729,2.00 80,1,2024-09-07 08:54:41:630,454635,454635,0,0,212327437586,2208694301,451663,2803,169,368,391791,0 80,2,2024-09-07 08:54:41:091,328894,328894,0,0,12500168,0,4433 80,3,2024-09-07 08:54:40:574,1,258,1,0,190,3549,258,0 81,0,2024-09-07 08:54:41:549,62648,0.5,64301,0.6,122989,0.4,166173,1.75 81,1,2024-09-07 08:54:41:653,453717,453717,0,0,211935049759,2213971663,449874,3380,463,382,391879,0 81,2,2024-09-07 08:54:41:139,326880,326880,0,0,12863876,0,3993 81,3,2024-09-07 08:54:41:127,1,258,2,0,374,3035,258,0 82,0,2024-09-07 08:54:41:536,62918,0.4,63452,0.6,126909,0.3,168724,1.75 82,1,2024-09-07 08:54:40:583,455374,455370,0,4,212710378194,2214307376,452146,2613,611,381,391768,4 82,2,2024-09-07 08:54:41:704,323594,323594,0,0,12080682,0,3986 82,3,2024-09-07 08:54:41:765,1,258,1,0,363,2828,258,0 83,0,2024-09-07 08:54:41:525,67271,0.5,67213,0.7,133551,0.5,178466,2.00 83,1,2024-09-07 08:54:40:557,454146,454146,0,0,212078628962,2210085348,450969,2933,244,382,391709,0 83,2,2024-09-07 08:54:40:771,327716,327716,0,0,12168701,0,3119 83,3,2024-09-07 08:54:40:750,1,258,3,0,250,2723,258,0 84,0,2024-09-07 08:54:41:780,63210,0.8,62882,0.9,125994,0.8,168667,2.25 84,1,2024-09-07 08:54:41:041,453731,453731,0,0,211870806980,2214004475,448983,4171,577,368,391967,0 84,2,2024-09-07 08:54:40:574,328751,328751,0,0,14229809,0,3801 84,3,2024-09-07 08:54:41:142,1,258,1,0,908,3969,258,0 85,0,2024-09-07 08:54:41:031,61908,0.7,61878,0.9,131211,0.7,169292,2.50 85,1,2024-09-07 08:54:40:559,453345,453345,0,0,211508039128,2233194565,446502,5736,1107,381,392006,0 85,2,2024-09-07 08:54:40:871,327823,327823,0,0,14996785,0,3656 85,3,2024-09-07 08:54:40:693,1,258,3,0,789,3511,258,0 86,0,2024-09-07 08:54:40:879,63904,0.6,65681,0.7,125631,0.5,169195,2.00 86,1,2024-09-07 08:54:40:824,454643,454643,0,0,212001411012,2215675377,449655,4262,726,366,391961,0 86,2,2024-09-07 08:54:40:854,322137,322136,1,0,15778742,0,5004 86,3,2024-09-07 08:54:40:587,1,258,1,0,286,3396,258,0 87,0,2024-09-07 08:54:41:287,66045,0.8,65942,0.9,132368,1.0,177228,2.25 87,1,2024-09-07 08:54:40:556,454631,454631,0,0,211807230697,2213906954,450151,3996,484,366,391788,0 87,2,2024-09-07 08:54:41:079,325640,325640,0,0,14114310,0,4045 87,3,2024-09-07 08:54:41:794,1,258,2,0,473,3823,258,0 88,0,2024-09-07 08:54:41:451,65627,0.5,65976,0.6,131642,0.5,174899,2.00 88,1,2024-09-07 08:54:40:568,453088,453088,0,0,212533994094,2229289176,446899,4675,1514,365,392084,0 88,2,2024-09-07 08:54:40:696,328434,328434,0,0,16251483,0,3583 88,3,2024-09-07 08:54:41:278,1,258,8,0,435,3119,258,0 89,0,2024-09-07 08:54:41:776,66965,0.5,64660,0.7,128415,0.5,174346,2.00 89,1,2024-09-07 08:54:40:556,452358,452358,0,0,211701544644,2232102722,445433,5703,1222,382,391866,0 89,2,2024-09-07 08:54:41:132,326072,326072,0,0,15491180,0,2910 89,3,2024-09-07 08:54:41:814,1,258,4,0,325,4867,258,0 90,0,2024-09-07 08:54:41:640,61528,0.4,62815,0.6,128748,0.4,167879,1.75 90,1,2024-09-07 08:54:40:590,454527,454527,0,0,212143070888,2222107295,450390,3859,278,381,391825,0 90,2,2024-09-07 08:54:41:417,323673,323673,0,0,16693104,0,3060 90,3,2024-09-07 08:54:40:930,1,258,1,0,200,2743,258,0 91,0,2024-09-07 08:54:40:952,65391,0.4,63388,0.6,132538,0.4,174107,1.75 91,1,2024-09-07 08:54:40:559,453263,453263,0,0,211479283379,2223168927,447373,5196,694,381,392047,0 91,2,2024-09-07 08:54:41:345,323916,323916,0,0,14892971,0,2896 91,3,2024-09-07 08:54:40:601,1,258,0,0,216,2397,258,0 92,0,2024-09-07 08:54:41:451,67958,0.5,69507,0.6,132962,0.5,180452,1.75 92,1,2024-09-07 08:54:40:591,454409,454409,0,0,212542108334,2214835740,451844,2159,406,382,392136,0 92,2,2024-09-07 08:54:41:351,330103,330103,0,0,12604537,0,2801 92,3,2024-09-07 08:54:41:010,1,258,1,0,167,2047,258,0 93,0,2024-09-07 08:54:40:964,63890,0.4,65567,0.6,125384,0.4,170340,1.75 93,1,2024-09-07 08:54:40:809,454619,454619,0,0,212199878576,2213770031,450132,3699,788,366,391692,0 93,2,2024-09-07 08:54:40:933,328044,328044,0,0,13436860,0,2797 93,3,2024-09-07 08:54:41:413,1,258,0,0,190,2630,258,0 94,0,2024-09-07 08:54:41:610,62308,0.4,63094,0.5,125696,0.3,166391,1.75 94,1,2024-09-07 08:54:40:569,454526,454526,0,0,212444423731,2217855705,451230,3117,179,381,391850,0 94,2,2024-09-07 08:54:40:771,326197,326197,0,0,12423211,0,2443 94,3,2024-09-07 08:54:41:689,1,258,1,0,264,3317,258,0 95,0,2024-09-07 08:54:41:357,63581,0.3,63212,0.5,127557,0.3,168988,1.75 95,1,2024-09-07 08:54:40:854,455206,455206,0,0,212759010094,2210505194,451627,3203,376,367,391713,0 95,2,2024-09-07 08:54:41:015,322396,322396,0,0,12680459,0,3308 95,3,2024-09-07 08:54:41:716,1,258,2,0,718,4531,258,0 96,0,2024-09-07 08:54:41:021,67831,0.4,68009,0.5,135794,0.3,180047,1.75 96,1,2024-09-07 08:54:41:600,454032,454032,0,0,212202275108,2214717107,450451,2847,734,384,391894,0 96,2,2024-09-07 08:54:41:309,328496,328496,0,0,13338610,0,4042 96,3,2024-09-07 08:54:41:142,1,258,33,0,411,3029,258,0 97,0,2024-09-07 08:54:41:311,62472,0.4,62312,0.5,125134,0.3,165986,1.75 97,1,2024-09-07 08:54:40:768,455848,455848,0,0,213355042033,2211618387,452807,2485,556,367,392140,0 97,2,2024-09-07 08:54:40:610,328978,328978,0,0,12628128,0,3036 97,3,2024-09-07 08:54:40:573,1,258,8,0,214,2960,258,0 98,0,2024-09-07 08:54:41:724,63352,0.3,63374,0.4,127408,0.2,168624,1.50 98,1,2024-09-07 08:54:40:578,455100,455100,0,0,212460336843,2210046700,452952,2048,100,382,391997,0 98,2,2024-09-07 08:54:40:773,327011,327011,0,0,12635758,0,3080 98,3,2024-09-07 08:54:40:698,1,258,1,0,840,4580,258,0 99,0,2024-09-07 08:54:41:462,63482,0.3,63710,0.4,127104,0.2,169247,1.50 99,1,2024-09-07 08:54:41:731,455174,455174,0,0,212338536193,2212592977,451384,3050,740,381,391744,0 99,2,2024-09-07 08:54:41:426,325250,325250,0,0,13330911,0,3106 99,3,2024-09-07 08:54:40:581,1,258,1,0,168,1943,258,0 100,0,2024-09-07 08:54:41:469,66737,0.6,66648,0.9,133275,0.8,178465,2.25 100,1,2024-09-07 08:54:40:551,452312,452312,0,0,211227573872,2232125433,445463,5531,1318,381,391989,0 100,2,2024-09-07 08:54:41:818,325847,325836,11,0,14956582,0,5417 100,3,2024-09-07 08:54:41:732,1,258,1,0,559,4938,258,0 101,0,2024-09-07 08:54:41:730,65575,1.7,63836,1.2,125416,2.0,172097,2.50 101,1,2024-09-07 08:54:40:559,452300,452300,0,0,211390391837,2227025092,444945,5584,1771,368,391769,0 101,2,2024-09-07 08:54:41:760,327734,327734,0,0,16199852,0,4644 101,3,2024-09-07 08:54:40:943,1,258,1,0,448,3277,258,0 102,0,2024-09-07 08:54:40:943,63372,0.7,65660,0.8,132205,0.7,173132,2.00 102,1,2024-09-07 08:54:41:143,453679,453679,0,0,211978987469,2225648292,448020,4818,841,369,391883,0 102,2,2024-09-07 08:54:41:737,327807,327753,54,0,14801935,0,6768 102,3,2024-09-07 08:54:41:623,1,258,5,0,410,2757,258,0 103,0,2024-09-07 08:54:41:606,66090,0.4,65980,0.6,124677,0.4,171536,1.75 103,1,2024-09-07 08:54:41:625,452760,452760,0,0,211585520857,2228458501,446462,4917,1381,381,391829,0 103,2,2024-09-07 08:54:40:597,323131,323131,0,0,13932399,0,2582 103,3,2024-09-07 08:54:40:764,1,258,1,0,916,3588,258,0 104,0,2024-09-07 08:54:41:003,65466,0.7,65833,0.9,130532,0.7,176483,2.25 104,1,2024-09-07 08:54:41:618,454176,454176,0,0,211794289980,2226748253,447497,5584,1095,365,392168,0 104,2,2024-09-07 08:54:41:677,324052,324052,0,0,15781187,0,3941 104,3,2024-09-07 08:54:41:420,1,258,1,0,1245,6474,258,0 105,0,2024-09-07 08:54:41:033,66286,1.1,64349,1.2,134602,1.6,177421,3.25 105,1,2024-09-07 08:54:40:566,454172,454172,0,0,212588949420,2230673352,447857,5336,979,366,391797,0 105,2,2024-09-07 08:54:41:327,328117,328117,0,0,15282104,0,3509 105,3,2024-09-07 08:54:41:309,1,258,3,0,399,4290,258,0 106,0,2024-09-07 08:54:40:944,62050,1.0,63581,1.0,130237,1.1,170458,2.75 106,1,2024-09-07 08:54:41:754,454144,454144,0,0,212202940011,2229623476,447035,6350,759,369,391767,0 106,2,2024-09-07 08:54:40:765,325435,325435,0,0,14770334,0,2795 106,3,2024-09-07 08:54:40:679,1,258,1,0,470,3629,258,0 107,0,2024-09-07 08:54:41:115,62780,0.8,62761,0.9,125402,0.8,167104,2.00 107,1,2024-09-07 08:54:40:587,452667,452667,0,0,210863280158,2218218402,447341,4777,549,381,392234,0 107,2,2024-09-07 08:54:41:291,325308,325307,1,0,15487453,0,5024 107,3,2024-09-07 08:54:41:776,1,258,20,0,353,3479,258,0 108,0,2024-09-07 08:54:41:855,63920,0.4,64226,0.6,127507,0.3,170685,1.75 108,1,2024-09-07 08:54:41:300,454424,454424,0,0,212761899501,2214915323,451209,2830,385,368,391857,0 108,2,2024-09-07 08:54:41:756,322650,322650,0,0,13812304,0,2647 108,3,2024-09-07 08:54:41:557,1,258,1,0,749,5571,258,0 109,0,2024-09-07 08:54:41:801,67838,0.4,67091,0.5,134679,0.3,180148,1.75 109,1,2024-09-07 08:54:40:585,452799,452799,0,0,212063402569,2222084994,449004,3279,516,383,392132,0 109,2,2024-09-07 08:54:40:921,327167,327167,0,0,14199981,0,3617 109,3,2024-09-07 08:54:41:141,1,258,3,0,249,3023,258,0 110,0,2024-09-07 08:54:41:790,62688,0.4,61067,0.6,127742,0.4,167547,1.75 110,1,2024-09-07 08:54:41:650,455885,455885,0,0,212796799279,2208508918,452740,2268,877,369,392045,0 110,2,2024-09-07 08:54:41:321,328665,328665,0,0,13560308,0,3264 110,3,2024-09-07 08:54:40:697,1,258,0,0,406,3425,258,0 111,0,2024-09-07 08:54:41:412,63119,0.3,62649,0.5,125160,0.3,167581,1.75 111,1,2024-09-07 08:54:41:001,455900,455900,0,0,213309151258,2209894967,453908,1656,336,382,391690,0 111,2,2024-09-07 08:54:41:116,326502,326502,0,0,12904087,0,2763 111,3,2024-09-07 08:54:40:915,1,258,28,0,379,3437,258,0 112,0,2024-09-07 08:54:40:921,63499,0.3,63433,0.4,126859,0.2,168922,1.50 112,1,2024-09-07 08:54:40:835,455756,455756,0,0,212121377660,2198709253,453337,1991,428,380,391580,0 112,2,2024-09-07 08:54:41:137,322912,322911,1,0,12982278,0,5036 112,3,2024-09-07 08:54:40:602,1,258,1,0,282,2698,258,0 113,0,2024-09-07 08:54:40:958,66853,0.3,66718,0.5,134446,0.2,179585,1.50 113,1,2024-09-07 08:54:41:703,456752,456752,0,0,213658809010,2204322388,454249,2013,490,366,391661,0 113,2,2024-09-07 08:54:41:321,329027,329027,0,0,11917469,0,3813 113,3,2024-09-07 08:54:40:688,1,258,1,0,340,3626,258,0 114,0,2024-09-07 08:54:40:878,63933,0.4,64415,0.5,127785,0.3,170626,1.75 114,1,2024-09-07 08:54:40:716,454882,454882,0,0,212664403852,2214186046,450073,3220,1589,381,391556,0 114,2,2024-09-07 08:54:40:874,328760,328759,1,0,12958133,0,5069 114,3,2024-09-07 08:54:41:279,1,258,0,0,395,2691,258,0 115,0,2024-09-07 08:54:40:575,64213,0.3,64507,0.4,128994,0.2,171357,1.50 115,1,2024-09-07 08:54:40:574,454703,454703,0,0,212750196193,2212380915,450331,3536,836,382,391639,0 115,2,2024-09-07 08:54:41:126,327822,327822,0,0,11297101,0,2152 115,3,2024-09-07 08:54:41:002,1,258,2,0,159,1380,258,0 116,0,2024-09-07 08:54:41:722,63662,0.6,63628,0.8,127309,0.6,169771,2.00 116,1,2024-09-07 08:54:40:807,452099,452099,0,0,211308741779,2233971505,445694,4350,2055,380,391782,0 116,2,2024-09-07 08:54:41:760,322477,322477,0,0,16142142,0,3529 116,3,2024-09-07 08:54:40:921,1,258,3,0,415,3652,258,0 117,0,2024-09-07 08:54:40:953,66261,0.7,66076,0.8,132367,0.7,177284,2.00 117,1,2024-09-07 08:54:41:582,453812,453812,0,0,211176456076,2209222815,449104,4228,480,370,392033,0 117,2,2024-09-07 08:54:41:119,328399,328399,0,0,13336527,0,3700 117,3,2024-09-07 08:54:41:061,1,258,2,0,490,4033,258,0 118,0,2024-09-07 08:54:41:773,63806,0.6,65496,0.7,133646,0.6,174143,2.00 118,1,2024-09-07 08:54:40:588,453792,453792,0,0,211031680635,2217759931,447416,4942,1434,366,391736,0 118,2,2024-09-07 08:54:41:591,328032,328032,0,0,14570674,0,2781 118,3,2024-09-07 08:54:41:763,1,258,13,0,235,2912,258,0 119,0,2024-09-07 08:54:41:347,64610,0.9,65080,0.9,130309,1.0,173249,2.25 119,1,2024-09-07 08:54:40:551,454849,454849,0,0,211874178625,2212415600,450189,4137,523,367,391641,0 119,2,2024-09-07 08:54:41:268,327143,327143,0,0,13774279,0,4174 119,3,2024-09-07 08:54:41:325,1,258,4,0,443,3495,258,0 120,0,2024-09-07 08:54:41:631,62990,0.5,62793,0.7,125886,0.5,168416,2.00 120,1,2024-09-07 08:54:40:859,454321,454321,0,0,211559307026,2218229302,449627,4343,351,368,391961,0 120,2,2024-09-07 08:54:40:774,324515,324514,1,0,16448622,0,5281 120,3,2024-09-07 08:54:41:299,1,258,0,0,241,3268,258,0 121,0,2024-09-07 08:54:41:697,65164,0.8,65191,0.9,130727,1.0,174102,2.00 121,1,2024-09-07 08:54:41:665,454325,454325,0,0,212066454248,2218913243,449708,4201,416,367,391840,0 121,2,2024-09-07 08:54:41:126,323186,323186,0,0,15673181,0,4127 121,3,2024-09-07 08:54:40:727,1,258,0,0,269,2920,258,0 122,0,2024-09-07 08:54:41:790,67050,0.9,65424,0.9,137140,1.0,180543,2.00 122,1,2024-09-07 08:54:40:860,453437,453437,0,0,211986066267,2224030669,447368,5252,817,366,392130,0 122,2,2024-09-07 08:54:41:325,327361,327288,73,0,18327885,0,5989 122,3,2024-09-07 08:54:40:602,1,258,2,0,411,5007,258,0 123,0,2024-09-07 08:54:40:949,63341,0.9,61967,0.9,129238,1.1,169610,2.25 123,1,2024-09-07 08:54:40:558,453975,453975,0,0,212167274843,2237509777,445301,6958,1716,369,392039,0 123,2,2024-09-07 08:54:41:018,324912,324911,1,0,15181786,0,5215 123,3,2024-09-07 08:54:41:133,1,258,3,0,168,3102,258,0 124,0,2024-09-07 08:54:40:919,64582,0.3,64522,0.5,121896,0.3,167061,1.75 124,1,2024-09-07 08:54:41:021,455627,455627,0,0,211732556104,2199345975,452723,2540,364,367,392178,0 124,2,2024-09-07 08:54:41:011,326912,326912,0,0,12205571,0,3101 124,3,2024-09-07 08:54:40:759,1,258,1,0,490,2835,258,0 125,0,2024-09-07 08:54:41:445,63594,0.3,63358,0.5,127424,0.3,169107,1.75 125,1,2024-09-07 08:54:40:856,454089,454089,0,0,212049123294,2211092657,450991,2703,395,383,391702,0 125,2,2024-09-07 08:54:41:119,323459,323459,0,0,13256296,0,4534 125,3,2024-09-07 08:54:41:126,1,258,3,0,709,3583,258,0 126,0,2024-09-07 08:54:41:496,67896,0.5,69675,0.6,133111,0.5,181032,1.75 126,1,2024-09-07 08:54:40:555,455798,455798,0,0,212660706939,2203261205,453078,2456,264,365,391987,0 126,2,2024-09-07 08:54:40:614,329757,329757,0,0,13566234,0,3186 126,3,2024-09-07 08:54:40:906,1,258,2,0,183,3459,258,0 127,0,2024-09-07 08:54:41:637,62365,0.4,62707,0.5,124855,0.3,166224,1.75 127,1,2024-09-07 08:54:40:569,455503,455503,0,0,212993780731,2206349322,452537,2813,153,365,391816,0 127,2,2024-09-07 08:54:40:637,328909,328909,0,0,12244578,0,2264 127,3,2024-09-07 08:54:41:268,1,258,1,0,968,3006,258,0 128,0,2024-09-07 08:54:41:520,63842,0.3,63759,0.4,127363,0.2,169045,1.50 128,1,2024-09-07 08:54:41:604,454721,454721,0,0,212694453057,2204518238,452546,2018,157,367,391798,0 128,2,2024-09-07 08:54:41:385,327564,327564,0,0,12067583,0,2434 128,3,2024-09-07 08:54:40:774,1,258,3,0,1082,5385,258,0 129,0,2024-09-07 08:54:41:052,64040,0.3,63736,0.4,127434,0.2,168976,1.50 129,1,2024-09-07 08:54:40:572,452878,452878,0,0,211603927644,2216580037,448716,3231,931,379,391835,0 129,2,2024-09-07 08:54:40:693,323620,323620,0,0,12974249,0,4031 129,3,2024-09-07 08:54:40:693,1,258,4,0,469,3355,258,0 130,0,2024-09-07 08:54:41:812,67283,0.5,66914,0.6,134172,0.5,179385,1.75 130,1,2024-09-07 08:54:40:591,455426,455426,0,0,212017372340,2204629614,452984,2363,79,381,391825,0 130,2,2024-09-07 08:54:41:131,328416,328416,0,0,12663996,0,4067 130,3,2024-09-07 08:54:41:294,1,258,6,0,450,3388,258,0 131,0,2024-09-07 08:54:42:005,64206,0.4,64568,0.5,129994,0.3,171844,1.75 131,1,2024-09-07 08:54:41:830,455289,455289,0,0,212374197587,2212843225,452236,2595,458,381,391865,0 131,2,2024-09-07 08:54:40:566,330363,330363,0,0,11598223,0,2415 131,3,2024-09-07 08:54:41:702,1,258,0,0,392,2579,258,0 132,0,2024-09-07 08:54:41:435,64742,0.5,65658,0.7,130705,0.5,173501,2.00 132,1,2024-09-07 08:54:40:576,452917,452917,0,0,211308996959,2227235101,445902,5761,1254,381,392097,0 132,2,2024-09-07 08:54:40:704,327138,327138,0,0,15483700,0,4606 132,3,2024-09-07 08:54:41:689,1,258,2,0,356,3583,258,0 133,0,2024-09-07 08:54:41:524,62589,0.4,64084,0.5,130999,0.3,170284,1.75 133,1,2024-09-07 08:54:40:596,453389,453389,0,0,211305890099,2222890603,447901,4819,669,383,391914,0 133,2,2024-09-07 08:54:41:090,323013,323013,0,0,16573406,0,4315 133,3,2024-09-07 08:54:41:308,1,258,2,0,276,2557,258,0 134,0,2024-09-07 08:54:40:941,66107,0.4,66001,0.6,132206,0.4,176520,2.00 134,1,2024-09-07 08:54:40:591,452757,452757,0,0,211351022176,2218774171,446296,4991,1470,366,391718,0 134,2,2024-09-07 08:54:41:775,324903,324903,0,0,13854934,0,3847 134,3,2024-09-07 08:54:40:753,1,258,4,0,739,3814,258,0 135,0,2024-09-07 08:54:41:101,64747,0.8,64735,0.9,137281,0.9,177149,2.25 135,1,2024-09-07 08:54:41:588,453003,453003,0,0,212360185447,2233240205,446803,5203,997,380,391805,0 135,2,2024-09-07 08:54:40:693,328926,328926,0,0,15400756,0,3981 135,3,2024-09-07 08:54:41:003,1,258,7,0,299,1863,258,0 136,0,2024-09-07 08:54:41:614,64777,0.6,64707,0.8,129080,0.6,172434,2.25 136,1,2024-09-07 08:54:41:448,453239,453239,0,0,211458625086,2221921188,447699,4954,586,382,391685,0 136,2,2024-09-07 08:54:41:139,327169,327169,0,0,14894578,0,3506 136,3,2024-09-07 08:54:41:109,1,258,1,0,150,2144,258,0 137,0,2024-09-07 08:54:40:996,64696,0.6,62855,0.7,124060,0.6,168290,2.00 137,1,2024-09-07 08:54:40:576,453478,453478,0,0,211674252584,2221000514,446526,5535,1417,366,391708,0 137,2,2024-09-07 08:54:41:715,325175,325175,0,0,16439269,0,3185 137,3,2024-09-07 08:54:40:771,1,258,1,0,382,3287,258,0 138,0,2024-09-07 08:54:41:982,63497,0.8,63439,0.9,127652,0.8,170379,2.00 138,1,2024-09-07 08:54:41:704,453186,453186,0,0,212302370230,2228981215,446215,5838,1133,368,391954,0 138,2,2024-09-07 08:54:40:588,323351,323351,0,0,15093818,0,4988 138,3,2024-09-07 08:54:40:611,1,258,10,0,1160,3879,258,0 139,0,2024-09-07 08:54:41:398,66407,1.8,66681,1.2,133518,2.6,178431,2.50 139,1,2024-09-07 08:54:40:581,451740,451740,0,0,211007851846,2241164531,443206,6419,2115,381,391892,0 139,2,2024-09-07 08:54:40:692,326448,326448,0,0,16011109,0,3097 139,3,2024-09-07 08:54:41:685,1,258,1,0,257,2848,258,0 140,0,2024-09-07 08:54:41:608,63094,0.3,62545,0.5,125671,0.3,167755,1.75 140,1,2024-09-07 08:54:41:554,456739,456739,0,0,213546276139,2199629235,454758,1739,242,365,391606,0 140,2,2024-09-07 08:54:40:687,328678,328678,0,0,12536346,0,3388 140,3,2024-09-07 08:54:40:775,1,258,1,0,247,2160,258,0 141,0,2024-09-07 08:54:41:713,62827,0.3,64594,0.4,123507,0.2,167588,1.50 141,1,2024-09-07 08:54:40:864,455829,455829,0,0,213162646587,2210231168,453259,2162,408,379,391614,0 141,2,2024-09-07 08:54:41:702,326948,326948,0,0,12101594,0,2342 141,3,2024-09-07 08:54:41:043,1,258,1,0,202,2373,258,0 142,0,2024-09-07 08:54:41:321,64157,0.3,63497,0.4,126188,0.2,169260,1.50 142,1,2024-09-07 08:54:40:588,455127,455127,0,0,211814650438,2207720630,452295,2567,265,382,392102,0 142,2,2024-09-07 08:54:41:302,322727,322695,32,0,13856404,0,6028 142,3,2024-09-07 08:54:41:750,1,258,1,0,484,3212,258,0 143,0,2024-09-07 08:54:41:382,67214,0.5,67148,0.6,134777,0.5,179494,1.75 143,1,2024-09-07 08:54:40:559,455162,455162,0,0,212036308969,2198302432,452403,2663,96,367,391651,0 143,2,2024-09-07 08:54:40:771,327650,327650,0,0,13137167,0,2669 143,3,2024-09-07 08:54:41:142,1,258,1,0,303,3323,258,0 144,0,2024-09-07 08:54:41:534,61517,0.7,63108,0.9,128798,0.6,168751,2.00 144,1,2024-09-07 08:54:40:565,452940,452940,0,0,211676380384,2220177325,448925,3090,925,381,391649,0 144,2,2024-09-07 08:54:41:771,329513,329513,0,0,12398082,0,3473 144,3,2024-09-07 08:54:41:750,1,258,7,0,249,2989,258,0 145,0,2024-09-07 08:54:41:383,61897,0.6,61848,0.8,131341,0.5,169333,2.25 145,1,2024-09-07 08:54:40:552,452429,452429,0,0,211987468915,2227444493,446930,4676,823,382,391698,0 145,2,2024-09-07 08:54:41:449,326304,326304,0,0,14660253,0,3903 145,3,2024-09-07 08:54:40:901,1,258,1,0,622,4118,258,0 146,0,2024-09-07 08:54:41:595,63800,0.5,63262,0.7,127556,0.4,168978,2.00 146,1,2024-09-07 08:54:41:586,453185,453185,0,0,211699583509,2230422129,444902,6436,1847,368,391770,0 146,2,2024-09-07 08:54:41:714,322422,322422,0,0,14805336,0,2730 146,3,2024-09-07 08:54:41:274,1,258,3,0,1520,5941,258,0 147,0,2024-09-07 08:54:41:710,66268,0.5,66110,0.7,131460,0.5,177011,2.00 147,1,2024-09-07 08:54:41:402,455542,455542,0,0,212947555482,2214299076,451441,3516,585,368,391791,0 147,2,2024-09-07 08:54:41:012,327913,327913,0,0,12575987,0,2789 147,3,2024-09-07 08:54:40:922,1,258,1,0,730,3925,258,0 0,0,2024-09-07 08:54:51:839,61621,0.4,61731,0.7,130789,0.4,169571,1.75 0,1,2024-09-07 08:54:50:805,456205,456205,0,0,213063450483,2227073153,452957,3044,204,370,391772,0 0,2,2024-09-07 08:54:51:076,325766,325766,0,0,13124515,0,4480 0,3,2024-09-07 08:54:50:973,1,259,2,0,319,3442,259,0 1,0,2024-09-07 08:54:51:794,65685,0.8,65242,0.9,131008,0.9,174823,2.00 1,1,2024-09-07 08:54:50:579,455943,455943,0,0,212136466137,2221504213,451444,3446,1053,371,391857,0 1,2,2024-09-07 08:54:50:640,325098,325098,0,0,12169488,0,3267 1,3,2024-09-07 08:54:51:303,1,259,15,0,262,3000,259,0 2,0,2024-09-07 08:54:51:570,67880,0.7,68177,0.8,135047,0.9,181619,2.00 2,1,2024-09-07 08:54:50:859,456368,456368,0,0,213641905775,2217668448,454260,1890,218,380,391745,0 2,2,2024-09-07 08:54:51:266,330434,330434,0,0,12700816,0,3594 2,3,2024-09-07 08:54:50:690,1,259,172,0,357,2460,259,0 3,0,2024-09-07 08:54:51:771,63860,0.5,63929,0.6,127540,0.4,170073,2.00 3,1,2024-09-07 08:54:51:618,456077,456077,0,0,213109727596,2218681676,452236,3379,462,380,391556,0 3,2,2024-09-07 08:54:51:142,328358,328335,23,0,12913072,0,5851 3,3,2024-09-07 08:54:51:756,1,259,1,0,103,1540,259,0 4,0,2024-09-07 08:54:51:794,60848,0.4,62582,0.5,127369,0.3,166671,1.75 4,1,2024-09-07 08:54:50:592,455787,455787,0,0,212799943264,2233504778,451043,3953,791,371,391846,0 4,2,2024-09-07 08:54:51:033,326384,326384,0,0,15265219,0,4528 4,3,2024-09-07 08:54:51:034,1,259,9,0,448,3924,259,0 5,0,2024-09-07 08:54:51:416,63726,0.4,64011,0.5,127025,0.3,169406,1.75 5,1,2024-09-07 08:54:50:755,455627,455627,0,0,212667612213,2234525890,450130,4385,1112,368,392005,0 5,2,2024-09-07 08:54:51:833,323088,323088,0,0,13842532,0,2432 5,3,2024-09-07 08:54:51:746,1,259,5,0,457,3863,259,0 6,0,2024-09-07 08:54:50:920,68608,0.5,67992,0.7,135841,0.5,181826,2.00 6,1,2024-09-07 08:54:50:747,455911,455911,0,0,212620540565,2220648730,451235,3832,844,379,391694,0 6,2,2024-09-07 08:54:51:119,329105,329105,0,0,13881349,0,4816 6,3,2024-09-07 08:54:51:279,1,259,2,0,340,2982,259,0 7,0,2024-09-07 08:54:51:531,62249,0.5,62438,0.7,124460,0.5,165599,2.00 7,1,2024-09-07 08:54:50:851,455634,455634,0,0,212773162791,2230832363,449732,4899,1003,382,391747,0 7,2,2024-09-07 08:54:50:770,328740,328740,0,0,13701189,0,4791 7,3,2024-09-07 08:54:50:852,1,259,1,0,398,3137,259,0 8,0,2024-09-07 08:54:51:369,63626,0.4,63585,0.5,126614,0.3,168877,1.75 8,1,2024-09-07 08:54:51:020,454282,454282,0,0,212729528725,2242845569,445984,6279,2019,366,391956,0 8,2,2024-09-07 08:54:50:802,324399,324399,0,0,16543674,0,3220 8,3,2024-09-07 08:54:50:587,1,259,1,0,357,3863,259,0 9,0,2024-09-07 08:54:51:112,63819,0.3,61845,0.5,129240,0.3,169350,1.50 9,1,2024-09-07 08:54:50:558,454833,454833,0,0,212457324690,2238491969,448340,4951,1542,370,392001,0 9,2,2024-09-07 08:54:51:094,323738,323738,0,0,14561076,0,3360 9,3,2024-09-07 08:54:51:763,1,259,1,0,496,3854,259,0 10,0,2024-09-07 08:54:51:601,67619,0.3,66948,0.5,134692,0.2,180113,1.75 10,1,2024-09-07 08:54:50:583,455372,455372,0,0,212150733059,2223645777,449010,5434,928,381,391741,0 10,2,2024-09-07 08:54:50:762,328380,328380,0,0,16244890,0,4264 10,3,2024-09-07 08:54:50:871,1,259,1,0,177,2106,259,0 11,0,2024-09-07 08:54:51:012,63996,0.5,62218,0.7,130092,0.5,171952,2.00 11,1,2024-09-07 08:54:50:576,456009,456009,0,0,212579513392,2235822722,447790,6238,1981,383,391537,0 11,2,2024-09-07 08:54:51:123,329998,329998,0,0,15178834,0,4130 11,3,2024-09-07 08:54:51:298,1,259,1,0,843,4236,259,0 12,0,2024-09-07 08:54:50:939,65616,0.3,65478,0.5,130981,0.3,173766,1.75 12,1,2024-09-07 08:54:50:933,455666,455666,0,0,212586889796,2215465247,452141,3103,422,370,391870,0 12,2,2024-09-07 08:54:51:541,328772,328772,0,0,14170775,0,3469 12,3,2024-09-07 08:54:51:060,1,259,22,0,386,4267,259,0 13,0,2024-09-07 08:54:51:333,64654,0.3,64497,0.5,128838,0.3,171375,1.50 13,1,2024-09-07 08:54:51:656,456071,456071,0,0,212422199114,2227651006,452519,3011,541,382,391717,0 13,2,2024-09-07 08:54:50:595,325670,325670,0,0,13201261,0,3287 13,3,2024-09-07 08:54:51:776,1,259,2,0,467,3947,259,0 14,0,2024-09-07 08:54:50:560,66613,0.3,67127,0.5,132933,0.3,177485,1.75 14,1,2024-09-07 08:54:51:564,458756,458756,0,0,213741302106,2210952677,455925,2642,189,364,391571,0 14,2,2024-09-07 08:54:50:764,326487,326487,0,0,13257531,0,2896 14,3,2024-09-07 08:54:51:115,1,259,0,0,1168,3571,259,0 15,0,2024-09-07 08:54:51:554,66992,0.5,67157,0.7,134022,0.5,178213,2.00 15,1,2024-09-07 08:54:51:620,456735,456735,0,0,213238620398,2215845541,454214,2343,178,381,391619,0 15,2,2024-09-07 08:54:51:006,330919,330919,0,0,11379940,0,3043 15,3,2024-09-07 08:54:51:414,1,259,4,0,1126,5363,259,0 16,0,2024-09-07 08:54:50:929,64764,0.7,65003,0.8,129424,0.8,172741,2.25 16,1,2024-09-07 08:54:50:573,456960,456960,0,0,212943632616,2223500953,453396,3264,300,370,391756,0 16,2,2024-09-07 08:54:51:434,327740,327740,0,0,13850928,0,4719 16,3,2024-09-07 08:54:51:147,1,259,1,0,317,3500,259,0 17,0,2024-09-07 08:54:51:796,64999,0.5,63438,0.7,124214,0.4,168739,1.75 17,1,2024-09-07 08:54:50:636,455530,455530,0,0,212336511425,2227918687,450684,3741,1105,368,391845,0 17,2,2024-09-07 08:54:51:688,329422,329422,0,0,13025403,0,2857 17,3,2024-09-07 08:54:50:579,1,259,1,0,268,4049,259,0 18,0,2024-09-07 08:54:50:941,63752,0.6,63906,0.8,127651,0.6,171119,2.25 18,1,2024-09-07 08:54:51:641,457074,457074,0,0,212708082357,2203507482,454563,2269,242,367,391649,0 18,2,2024-09-07 08:54:51:763,326188,326188,0,0,12630873,0,3541 18,3,2024-09-07 08:54:50:896,1,259,1,0,163,2541,259,0 19,0,2024-09-07 08:54:51:544,67161,0.6,67843,0.8,134346,0.6,178794,2.00 19,1,2024-09-07 08:54:50:577,456811,456811,0,0,214267759262,2225345230,452150,3874,787,367,391777,0 19,2,2024-09-07 08:54:51:764,331627,331627,0,0,11765928,0,3988 19,3,2024-09-07 08:54:51:129,1,259,0,0,524,1900,259,0 20,0,2024-09-07 08:54:51:468,62777,0.7,62773,0.8,125770,0.7,168065,2.25 20,1,2024-09-07 08:54:50:577,455610,455610,0,0,213336379944,2229964696,451787,3425,398,369,391886,0 20,2,2024-09-07 08:54:50:928,329374,329374,0,0,13422851,0,3721 20,3,2024-09-07 08:54:50:588,1,259,2,0,414,4635,259,0 21,0,2024-09-07 08:54:51:134,63080,0.5,63297,0.6,126188,0.4,167369,2.00 21,1,2024-09-07 08:54:51:543,454635,454635,0,0,212023027382,2230576988,448914,4464,1257,368,391962,0 21,2,2024-09-07 08:54:51:084,326790,326790,0,0,15200498,0,3747 21,3,2024-09-07 08:54:51:414,1,259,10,0,103,2652,259,0 22,0,2024-09-07 08:54:51:722,63454,0.6,63589,0.7,126867,0.5,169057,2.00 22,1,2024-09-07 08:54:51:025,455119,455119,0,0,212272401290,2233466329,447887,5590,1642,382,391667,0 22,2,2024-09-07 08:54:50:760,323545,323545,0,0,13294490,0,3134 22,3,2024-09-07 08:54:51:072,1,259,1,0,228,2349,259,0 23,0,2024-09-07 08:54:51:367,67360,0.5,67003,0.7,134798,0.5,179988,2.25 23,1,2024-09-07 08:54:51:006,456014,456014,0,0,212636071463,2231296105,448703,4760,2551,365,391690,0 23,2,2024-09-07 08:54:51:095,329581,329581,0,0,12895548,0,3010 23,3,2024-09-07 08:54:51:756,1,259,1,0,645,3298,259,0 24,0,2024-09-07 08:54:50:828,64151,0.4,64052,0.6,128418,0.4,170339,1.75 24,1,2024-09-07 08:54:50:582,455186,455186,0,0,212196026198,2225765563,449571,4133,1482,368,391987,0 24,2,2024-09-07 08:54:51:076,328358,328358,0,0,16045768,0,3607 24,3,2024-09-07 08:54:51:688,1,259,0,0,468,3800,259,0 25,0,2024-09-07 08:54:51:376,65849,0.5,64156,0.7,126027,0.4,171727,2.00 25,1,2024-09-07 08:54:50:563,456069,456069,0,0,212849375828,2227058818,451719,3796,554,371,391788,0 25,2,2024-09-07 08:54:51:606,327047,327047,0,0,16149611,0,3978 25,3,2024-09-07 08:54:51:015,1,259,8,0,255,2794,259,0 26,0,2024-09-07 08:54:51:726,63616,0.4,62200,0.6,130316,0.3,169359,1.75 26,1,2024-09-07 08:54:51:543,455487,455487,0,0,212315575454,2226861771,448496,5775,1216,381,391748,0 26,2,2024-09-07 08:54:50:861,324036,324036,0,0,15737895,0,2809 26,3,2024-09-07 08:54:51:712,1,259,1,0,796,3397,259,0 27,0,2024-09-07 08:54:51:727,67020,0.4,67127,0.6,132670,0.3,177736,2.00 27,1,2024-09-07 08:54:51:677,457560,457560,0,0,213693534279,2222546221,454107,2860,593,381,391558,0 27,2,2024-09-07 08:54:50:867,326073,326008,65,0,15903480,0,5699 27,3,2024-09-07 08:54:51:015,1,259,1,0,564,2789,259,0 28,0,2024-09-07 08:54:51:390,65822,0.5,65596,0.6,131829,0.4,175411,2.00 28,1,2024-09-07 08:54:50:816,457057,457057,0,0,214100813263,2227492646,454176,2410,471,383,391646,0 28,2,2024-09-07 08:54:51:764,330506,330506,0,0,12669593,0,2915 28,3,2024-09-07 08:54:51:780,1,259,92,0,502,2753,259,0 29,0,2024-09-07 08:54:51:368,66945,0.4,65450,0.6,128051,0.3,174931,1.75 29,1,2024-09-07 08:54:51:565,458030,458030,0,0,213968077406,2214638169,454804,2661,565,369,391621,0 29,2,2024-09-07 08:54:50:862,327780,327780,0,0,12097085,0,4018 29,3,2024-09-07 08:54:50:972,1,259,9,0,115,1880,259,0 30,0,2024-09-07 08:54:51:454,63468,0.5,61606,0.7,128873,0.4,169060,2.00 30,1,2024-09-07 08:54:50:575,457880,457880,0,0,214063797936,2216887227,455549,2038,293,382,391672,0 30,2,2024-09-07 08:54:51:274,326037,326037,0,0,11822795,0,3161 30,3,2024-09-07 08:54:50:581,1,259,1,0,519,2478,259,0 31,0,2024-09-07 08:54:51:757,65304,0.4,65477,0.6,131211,0.3,174749,1.75 31,1,2024-09-07 08:54:50:577,459234,459234,0,0,214735899344,2199197951,457843,1171,220,356,391712,0 31,2,2024-09-07 08:54:51:275,325523,325523,0,0,13466158,0,3525 31,3,2024-09-07 08:54:51:712,1,259,1,0,220,1972,259,0 32,0,2024-09-07 08:54:51:471,67700,0.4,68226,0.5,136226,0.3,181996,1.75 32,1,2024-09-07 08:54:50:812,457611,457611,0,0,213592300744,2214595058,455653,1679,279,381,391622,0 32,2,2024-09-07 08:54:50:939,330483,330483,0,0,11674940,0,3155 32,3,2024-09-07 08:54:51:015,1,259,1,0,227,2053,259,0 33,0,2024-09-07 08:54:51:528,64374,0.3,63717,0.5,128169,0.2,171023,1.75 33,1,2024-09-07 08:54:50:577,457908,457908,0,0,214782815773,2217448238,455325,2409,174,369,391730,0 33,2,2024-09-07 08:54:50:759,329562,329529,33,0,13347510,0,7012 33,3,2024-09-07 08:54:50:896,1,259,2,0,329,3105,259,0 34,0,2024-09-07 08:54:50:936,62667,0.3,64613,0.5,123885,0.2,166108,1.50 34,1,2024-09-07 08:54:51:054,458753,458753,0,0,214874370523,2208658615,457656,1090,7,367,391562,0 34,2,2024-09-07 08:54:50:766,327763,327763,0,0,12638695,0,3577 34,3,2024-09-07 08:54:51:689,1,259,1,0,299,2072,259,0 35,0,2024-09-07 08:54:50:857,63134,0.3,63549,0.5,127679,0.2,169830,1.75 35,1,2024-09-07 08:54:51:078,457495,457495,0,0,213013121367,2208680359,454149,2566,780,384,391589,0 35,2,2024-09-07 08:54:51:592,325120,325120,0,0,12228804,0,2653 35,3,2024-09-07 08:54:50:907,1,259,1,0,418,3046,259,0 36,0,2024-09-07 08:54:51:516,68247,0.5,68028,0.7,136563,0.5,181591,2.25 36,1,2024-09-07 08:54:50:593,456647,456647,0,0,212606590121,2222548823,450665,4403,1579,366,391759,0 36,2,2024-09-07 08:54:51:820,328983,328983,0,0,14203369,0,3875 36,3,2024-09-07 08:54:50:864,1,259,1,0,416,4052,259,0 37,0,2024-09-07 08:54:51:372,62092,0.5,62066,0.7,124385,0.5,166525,2.00 37,1,2024-09-07 08:54:50:578,455688,455681,0,7,212470740739,2225050352,449671,3942,2068,365,391560,0 37,2,2024-09-07 08:54:51:143,328620,328605,15,0,14525150,0,5815 37,3,2024-09-07 08:54:51:777,1,259,1,0,888,4490,259,0 38,0,2024-09-07 08:54:51:436,62866,0.5,61117,0.7,128016,0.4,167021,2.00 38,1,2024-09-07 08:54:51:605,456702,456702,0,0,213312892376,2225165687,451430,4597,675,368,391821,0 38,2,2024-09-07 08:54:50:760,327373,327326,47,0,14884056,0,6710 38,3,2024-09-07 08:54:51:005,1,259,1,0,689,3604,259,0 39,0,2024-09-07 08:54:51:764,64987,0.6,63855,0.7,123941,0.5,169318,2.00 39,1,2024-09-07 08:54:50:717,456577,456577,0,0,213307104826,2225150584,451017,4658,902,365,391594,0 39,2,2024-09-07 08:54:51:422,323708,323708,0,0,12870719,0,2689 39,3,2024-09-07 08:54:50:715,1,259,2,0,324,3441,259,0 40,0,2024-09-07 08:54:51:508,66600,0.7,67280,0.9,134549,0.7,179729,2.75 40,1,2024-09-07 08:54:50:586,456020,456020,0,0,212460480313,2226459001,448880,5697,1443,368,391591,0 40,2,2024-09-07 08:54:51:315,328269,328268,1,0,16269875,0,5137 40,3,2024-09-07 08:54:51:152,1,259,2,0,398,3191,259,0 41,0,2024-09-07 08:54:51:041,63813,1.9,65309,1.4,124518,3.1,170159,3.75 41,1,2024-09-07 08:54:50:771,456216,456216,0,0,213184073009,2228235023,450673,4908,635,370,391742,0 41,2,2024-09-07 08:54:50:768,328588,328588,0,0,15485862,0,3356 41,3,2024-09-07 08:54:51:677,1,259,3,0,366,3036,259,0 42,0,2024-09-07 08:54:51:473,64346,0.8,64526,1.0,129213,0.9,170321,2.50 42,1,2024-09-07 08:54:51:439,454963,454963,0,0,212207544453,2230240519,447678,5833,1452,380,391675,0 42,2,2024-09-07 08:54:51:134,328473,328473,0,0,14778801,0,3568 42,3,2024-09-07 08:54:51:012,1,259,1,0,446,2354,259,0 43,0,2024-09-07 08:54:50:919,63920,0.6,62367,0.9,130202,0.6,171134,2.00 43,1,2024-09-07 08:54:50:580,455834,455834,0,0,213678235605,2231984558,449569,4936,1329,366,391696,0 43,2,2024-09-07 08:54:51:739,324781,324781,0,0,14096086,0,3812 43,3,2024-09-07 08:54:51:763,1,259,2,0,467,3105,259,0 44,0,2024-09-07 08:54:50:876,66251,0.4,66812,0.6,132981,0.4,177672,1.75 44,1,2024-09-07 08:54:50:572,458018,458018,0,0,213276478712,2200023612,455459,2127,432,356,391809,0 44,2,2024-09-07 08:54:51:274,326301,326301,0,0,11974483,0,2231 44,3,2024-09-07 08:54:51:093,1,259,2,0,817,3003,259,0 45,0,2024-09-07 08:54:51:783,66011,0.6,64539,0.8,135463,0.7,177962,2.00 45,1,2024-09-07 08:54:51:007,457309,457309,0,0,213397089359,2210284468,455658,1639,12,382,391917,0 45,2,2024-09-07 08:54:51:268,330976,330976,0,0,12695026,0,3596 45,3,2024-09-07 08:54:50:933,1,259,3,0,271,2698,259,0 46,0,2024-09-07 08:54:50:951,64417,0.6,64154,0.8,128615,0.6,170710,2.00 46,1,2024-09-07 08:54:50:584,458931,458931,0,0,214151494696,2209388923,456584,2073,274,366,391539,0 46,2,2024-09-07 08:54:50:593,328921,328921,0,0,12168193,0,2920 46,3,2024-09-07 08:54:51:137,1,259,5,0,908,3793,259,0 47,0,2024-09-07 08:54:51:108,63394,0.4,63250,0.6,127177,0.4,168221,1.75 47,1,2024-09-07 08:54:50:569,458141,458141,0,0,213477676589,2202420434,455813,2194,134,366,391605,0 47,2,2024-09-07 08:54:50:908,328979,328979,0,0,12192764,0,2558 47,3,2024-09-07 08:54:51:118,1,259,3,0,529,2337,259,0 48,0,2024-09-07 08:54:51:496,64766,0.3,64416,0.4,128267,0.2,172075,1.50 48,1,2024-09-07 08:54:51:023,457352,457352,0,0,213063039941,2212341506,454240,2812,300,384,391710,0 48,2,2024-09-07 08:54:50:703,325169,325169,0,0,11792129,0,3031 48,3,2024-09-07 08:54:50:760,1,259,1,0,339,2158,259,0 49,0,2024-09-07 08:54:51:727,69434,0.4,68265,0.5,132277,0.3,180998,1.75 49,1,2024-09-07 08:54:51:026,456475,456475,0,0,212842506181,2217648143,452616,2678,1181,382,391809,0 49,2,2024-09-07 08:54:51:815,330882,330882,0,0,12326235,0,3900 49,3,2024-09-07 08:54:51:421,1,259,26,0,408,2830,259,0 50,0,2024-09-07 08:54:51:525,63031,0.3,62476,0.5,125645,0.2,167970,1.75 50,1,2024-09-07 08:54:51:017,457970,457970,0,0,214221197577,2215213333,455010,2650,310,368,391530,0 50,2,2024-09-07 08:54:51:072,329687,329687,0,0,11596807,0,2263 50,3,2024-09-07 08:54:51:291,1,259,0,0,335,2140,259,0 51,0,2024-09-07 08:54:51:688,64514,0.3,63085,0.5,122923,0.2,167675,1.75 51,1,2024-09-07 08:54:51:679,458324,458324,0,0,214344921951,2211669204,455522,1862,940,365,391706,0 51,2,2024-09-07 08:54:51:315,328238,328238,0,0,11005134,0,3337 51,3,2024-09-07 08:54:51:032,1,259,1,0,678,2059,259,0 52,0,2024-09-07 08:54:51:426,64199,0.4,63760,0.6,127434,0.4,169701,2.00 52,1,2024-09-07 08:54:50:578,456143,456143,0,0,211999856050,2222102636,448984,5905,1254,368,391722,0 52,2,2024-09-07 08:54:51:761,322335,322297,38,0,15049180,0,6742 52,3,2024-09-07 08:54:50:675,1,259,5,0,1782,4573,259,0 53,0,2024-09-07 08:54:51:749,67012,0.6,65166,0.8,136311,0.7,179241,2.25 53,1,2024-09-07 08:54:50:772,455234,455234,0,0,212452452667,2230035713,447907,4971,2356,367,391702,0 53,2,2024-09-07 08:54:51:298,329527,329527,0,0,12591361,0,2727 53,3,2024-09-07 08:54:50:702,1,259,4,0,271,2327,259,0 54,0,2024-09-07 08:54:51:617,62642,0.8,63203,0.9,125103,0.5,167443,2.75 54,1,2024-09-07 08:54:50:580,456594,456594,0,0,213263138985,2217202049,451858,4139,597,367,391659,0 54,2,2024-09-07 08:54:50:865,330190,330184,6,0,13989695,0,5382 54,3,2024-09-07 08:54:50:763,1,259,2,0,676,3914,259,0 55,0,2024-09-07 08:54:51:764,61939,0.6,64117,0.8,129096,0.5,168184,2.50 55,1,2024-09-07 08:54:50:764,456140,456140,0,0,213116509561,2218262475,450590,4763,787,365,391731,0 55,2,2024-09-07 08:54:50:729,327648,327648,0,0,14109043,0,3275 55,3,2024-09-07 08:54:50:676,1,259,1,0,304,2893,259,0 56,0,2024-09-07 08:54:51:558,65316,0.9,61690,1.0,126788,1.2,169300,2.25 56,1,2024-09-07 08:54:50:577,454447,454447,0,0,213052624394,2251628238,447204,5805,1438,381,391729,0 56,2,2024-09-07 08:54:51:303,323957,323957,0,0,15266610,0,3567 56,3,2024-09-07 08:54:51:074,1,259,33,0,705,3770,259,0 57,0,2024-09-07 08:54:50:941,65904,1.4,65941,1.2,131864,2.0,176578,3.00 57,1,2024-09-07 08:54:50:993,455760,455760,0,0,212310702965,2223696229,450437,4653,670,367,391960,0 57,2,2024-09-07 08:54:51:316,328064,328064,0,0,16529688,0,3317 57,3,2024-09-07 08:54:51:738,1,259,1,0,359,3389,259,0 58,0,2024-09-07 08:54:50:558,64496,1.1,62700,1.1,131316,1.5,171924,2.75 58,1,2024-09-07 08:54:50:575,456718,456715,0,3,213547418183,2230358677,450982,5022,711,367,391603,3 58,2,2024-09-07 08:54:51:074,330234,330234,0,0,14751577,0,2549 58,3,2024-09-07 08:54:51:081,1,259,1,0,1043,2914,259,0 59,0,2024-09-07 08:54:51:744,64925,0.8,64682,1.0,129154,0.8,171589,3.00 59,1,2024-09-07 08:54:50:806,456163,456163,0,0,213523727640,2233003554,450374,4524,1265,369,391525,0 59,2,2024-09-07 08:54:50:594,329372,329372,0,0,13479595,0,2604 59,3,2024-09-07 08:54:51:737,1,259,1,0,1015,3563,259,0 60,0,2024-09-07 08:54:51:722,63611,0.4,63371,0.6,127867,0.3,169567,1.75 60,1,2024-09-07 08:54:50:772,457829,457829,0,0,214231170216,2219913633,455382,1930,517,370,392031,0 60,2,2024-09-07 08:54:51:141,326230,326230,0,0,14461989,0,3811 60,3,2024-09-07 08:54:51:261,1,259,1,0,124,2482,259,0 61,0,2024-09-07 08:54:51:509,65507,0.6,65797,0.7,131060,0.6,174797,2.00 61,1,2024-09-07 08:54:50:770,456162,456162,0,0,212443680409,2221814150,451584,3875,703,382,391880,0 61,2,2024-09-07 08:54:51:122,326526,326526,0,0,12875795,0,2780 61,3,2024-09-07 08:54:51:693,1,259,9,0,397,3485,259,0 62,0,2024-09-07 08:54:51:730,68398,0.6,69653,0.7,133006,0.6,181538,2.00 62,1,2024-09-07 08:54:51:112,458744,458738,0,6,214687264382,2213196475,456272,2348,118,365,391715,6 62,2,2024-09-07 08:54:51:644,329062,329061,1,0,14263450,0,5555 62,3,2024-09-07 08:54:51:142,1,259,16,0,287,1831,259,0 63,0,2024-09-07 08:54:51:454,63918,0.5,64101,0.6,128251,0.5,170565,1.75 63,1,2024-09-07 08:54:50:804,458043,458037,0,6,213134854581,2206334147,456461,1526,50,381,391677,6 63,2,2024-09-07 08:54:50:762,329014,329014,0,0,11896175,0,2674 63,3,2024-09-07 08:54:51:731,1,259,1,0,667,2883,259,0 64,0,2024-09-07 08:54:51:546,62350,0.4,62315,0.6,124896,0.4,166015,1.75 64,1,2024-09-07 08:54:50:751,456592,456592,0,0,213368724699,2224123847,452102,3076,1414,370,391783,0 64,2,2024-09-07 08:54:51:141,330319,330300,19,0,12733972,0,6121 64,3,2024-09-07 08:54:51:140,1,259,1,0,265,2556,259,0 65,0,2024-09-07 08:54:51:676,63129,0.5,63533,0.7,126692,0.6,168774,2.00 65,1,2024-09-07 08:54:50:867,456006,456006,0,0,212736352608,2223899317,452452,3109,445,382,391901,0 65,2,2024-09-07 08:54:51:713,324744,324744,0,0,13456161,0,3367 65,3,2024-09-07 08:54:51:684,1,259,15,0,163,2472,259,0 66,0,2024-09-07 08:54:51:764,67911,0.5,67634,0.7,136025,0.4,180847,2.00 66,1,2024-09-07 08:54:51:294,457655,457655,0,0,213837046240,2220528684,455080,2374,201,380,391653,0 66,2,2024-09-07 08:54:51:133,331419,331419,0,0,13200309,0,4956 66,3,2024-09-07 08:54:51:093,1,259,0,0,291,2646,259,0 67,0,2024-09-07 08:54:51:420,62824,0.6,62312,0.8,125448,0.6,166577,2.00 67,1,2024-09-07 08:54:50:766,456327,456326,0,1,213442409803,2224704198,452480,3098,748,380,391787,1 67,2,2024-09-07 08:54:50:592,330611,330611,0,0,12228876,0,2889 67,3,2024-09-07 08:54:51:750,1,259,62,0,338,2402,259,0 68,0,2024-09-07 08:54:50:575,63651,0.6,63409,0.8,126435,0.6,168819,2.00 68,1,2024-09-07 08:54:50:575,455828,455828,0,0,212037273587,2221567760,451649,3009,1170,381,391953,0 68,2,2024-09-07 08:54:51:056,327014,326949,65,0,15952251,0,6698 68,3,2024-09-07 08:54:50:728,1,259,1,0,417,2863,259,0 69,0,2024-09-07 08:54:51:725,63220,0.7,63543,0.8,126789,0.7,168410,2.00 69,1,2024-09-07 08:54:51:016,454495,454495,0,0,212292552067,2238175997,448793,4300,1402,384,391994,0 69,2,2024-09-07 08:54:51:739,323813,323813,0,0,15715138,0,3722 69,3,2024-09-07 08:54:50:760,1,259,1,0,698,3677,259,0 70,0,2024-09-07 08:54:51:536,67062,0.7,66988,0.9,134469,0.5,179339,2.25 70,1,2024-09-07 08:54:50:804,457400,457400,0,0,214430120123,2225069001,453734,3138,528,366,391725,0 70,2,2024-09-07 08:54:51:330,328602,328602,0,0,14403315,0,4044 70,3,2024-09-07 08:54:50:745,1,259,0,0,854,3028,259,0 71,0,2024-09-07 08:54:51:358,63810,1.2,63713,1.1,127761,1.5,170856,3.00 71,1,2024-09-07 08:54:51:596,456182,456182,0,0,213298141258,2223903364,451026,4535,621,368,391738,0 71,2,2024-09-07 08:54:51:078,330242,330242,0,0,14790383,0,4042 71,3,2024-09-07 08:54:51:762,1,259,4,0,644,3700,259,0 72,0,2024-09-07 08:54:51:024,67240,0.7,65799,0.8,128184,0.8,174131,2.25 72,1,2024-09-07 08:54:51:023,456411,456411,0,0,213705193052,2229897822,451349,4131,931,369,391819,0 72,2,2024-09-07 08:54:51:757,327373,327373,0,0,16488872,0,3983 72,3,2024-09-07 08:54:51:753,1,259,15,0,564,4563,259,0 73,0,2024-09-07 08:54:51:172,62681,0.4,64167,0.6,131616,0.3,170753,2.00 73,1,2024-09-07 08:54:50:766,456890,456890,0,0,213680914318,2219473671,453799,2856,235,367,391750,0 73,2,2024-09-07 08:54:51:738,324908,324908,0,0,15760070,0,3701 73,3,2024-09-07 08:54:50:973,1,259,1,0,274,3748,259,0 74,0,2024-09-07 08:54:51:322,66994,0.4,68458,0.6,130598,0.4,177642,2.25 74,1,2024-09-07 08:54:50:640,456014,456014,0,0,212690917298,2219806284,451612,3603,799,381,391681,0 74,2,2024-09-07 08:54:51:006,326603,326603,0,0,15140155,0,4253 74,3,2024-09-07 08:54:51:442,1,259,0,0,522,3404,259,0 75,0,2024-09-07 08:54:51:770,67247,0.5,66833,0.8,134087,0.5,179038,2.25 75,1,2024-09-07 08:54:51:591,455435,455435,0,0,212171210570,2217521638,450504,4267,664,380,391660,0 75,2,2024-09-07 08:54:51:353,329259,329259,0,0,15641185,0,4766 75,3,2024-09-07 08:54:51:093,1,259,3,0,702,3763,259,0 76,0,2024-09-07 08:54:50:583,64404,0.7,63772,0.8,127902,0.7,171584,2.50 76,1,2024-09-07 08:54:50:809,456266,456266,0,0,213036787316,2221101739,453160,2555,551,382,391692,0 76,2,2024-09-07 08:54:51:067,329842,329842,0,0,12847834,0,3784 76,3,2024-09-07 08:54:51:154,1,259,10,0,175,2670,259,0 77,0,2024-09-07 08:54:51:759,63108,0.5,63359,0.7,126460,0.4,167955,1.75 77,1,2024-09-07 08:54:50:829,456912,456912,0,0,213285220191,2225534140,453460,3195,257,381,391808,0 77,2,2024-09-07 08:54:51:281,326710,326710,0,0,13459428,0,3890 77,3,2024-09-07 08:54:51:100,1,259,1,0,382,2855,259,0 78,0,2024-09-07 08:54:51:721,64630,0.4,64031,0.6,128974,0.3,171358,2.00 78,1,2024-09-07 08:54:50:612,457190,457190,0,0,212407638859,2203767308,454193,2678,319,367,391670,0 78,2,2024-09-07 08:54:51:410,325338,325338,0,0,12005978,0,3855 78,3,2024-09-07 08:54:51:141,1,259,1,0,181,2449,259,0 79,0,2024-09-07 08:54:51:352,65502,0.4,67210,0.6,137361,0.3,178682,2.25 79,1,2024-09-07 08:54:50:619,458243,458243,0,0,213612648200,2208953294,455398,2509,336,369,391682,0 79,2,2024-09-07 08:54:51:081,330899,330899,0,0,12179315,0,3212 79,3,2024-09-07 08:54:50:755,1,259,2,0,418,3767,259,0 80,0,2024-09-07 08:54:51:139,62849,0.6,64711,0.7,123870,0.6,167663,2.00 80,1,2024-09-07 08:54:51:619,456428,456428,0,0,213010411171,2215855544,453455,2803,170,368,391791,0 80,2,2024-09-07 08:54:51:106,329987,329987,0,0,12519633,0,4433 80,3,2024-09-07 08:54:50:576,1,259,16,0,190,3565,259,0 81,0,2024-09-07 08:54:51:532,62820,0.5,64495,0.6,123344,0.4,166701,1.75 81,1,2024-09-07 08:54:51:652,455497,455497,0,0,212558468733,2220413979,451654,3380,463,382,391879,0 81,2,2024-09-07 08:54:51:125,328080,328080,0,0,12888299,0,3993 81,3,2024-09-07 08:54:51:116,1,259,1,0,374,3036,259,0 82,0,2024-09-07 08:54:51:546,63250,0.4,63804,0.6,127577,0.3,169692,1.75 82,1,2024-09-07 08:54:50:582,457087,457083,0,4,213365225055,2221009699,453859,2613,611,381,391768,4 82,2,2024-09-07 08:54:51:713,325042,325042,0,0,12110199,0,3986 82,3,2024-09-07 08:54:51:757,1,259,1,0,363,2829,259,0 83,0,2024-09-07 08:54:51:534,67733,0.5,67679,0.7,134429,0.5,179738,2.00 83,1,2024-09-07 08:54:50:551,455967,455967,0,0,213010833941,2219578562,452789,2934,244,382,391709,0 83,2,2024-09-07 08:54:50:764,329040,329040,0,0,12189642,0,3119 83,3,2024-09-07 08:54:50:749,1,259,174,0,250,2897,259,0 84,0,2024-09-07 08:54:51:770,63310,0.8,62988,0.9,126236,0.8,168992,2.25 84,1,2024-09-07 08:54:51:042,455568,455568,0,0,212734806777,2222783338,450820,4171,577,367,391967,0 84,2,2024-09-07 08:54:50:579,330062,330062,0,0,14240935,0,3801 84,3,2024-09-07 08:54:51:145,1,259,1,0,908,3970,259,0 85,0,2024-09-07 08:54:51:011,62017,0.7,62009,0.9,131470,0.7,169610,2.50 85,1,2024-09-07 08:54:50:568,455152,455152,0,0,212182603575,2240141893,448308,5737,1107,381,392006,0 85,2,2024-09-07 08:54:50:865,329145,329145,0,0,15008787,0,3656 85,3,2024-09-07 08:54:50:693,1,259,4,0,789,3515,259,0 86,0,2024-09-07 08:54:50:879,63922,0.6,65696,0.7,125661,0.5,169195,2.00 86,1,2024-09-07 08:54:50:824,456403,456403,0,0,212691628614,2222725222,451414,4262,727,366,391961,0 86,2,2024-09-07 08:54:50:855,323322,323321,1,0,15787720,0,5004 86,3,2024-09-07 08:54:50:588,1,259,1,0,286,3397,259,0 87,0,2024-09-07 08:54:51:292,66408,0.8,66289,0.9,133074,1.0,178143,2.25 87,1,2024-09-07 08:54:50:551,456410,456410,0,0,212703068319,2223016377,451929,3997,484,366,391788,0 87,2,2024-09-07 08:54:51:072,326866,326866,0,0,14124161,0,4045 87,3,2024-09-07 08:54:51:796,1,259,1,0,473,3824,259,0 88,0,2024-09-07 08:54:51:439,65704,0.5,66062,0.6,131835,0.5,175150,2.00 88,1,2024-09-07 08:54:50:577,454848,454848,0,0,213195682868,2236045462,448659,4675,1514,365,392084,0 88,2,2024-09-07 08:54:50:689,329979,329979,0,0,16274658,0,3583 88,3,2024-09-07 08:54:51:267,1,259,1,0,435,3120,259,0 89,0,2024-09-07 08:54:51:830,67170,0.5,64898,0.7,128855,0.5,174943,2.00 89,1,2024-09-07 08:54:50:551,454212,454212,0,0,212508133342,2240388537,447286,5704,1222,382,391866,0 89,2,2024-09-07 08:54:51:135,327512,327512,0,0,15507682,0,2910 89,3,2024-09-07 08:54:51:813,1,259,15,0,325,4882,259,0 90,0,2024-09-07 08:54:51:617,61836,0.4,63128,0.6,129422,0.4,168743,1.75 90,1,2024-09-07 08:54:50:590,456354,456354,0,0,213041490629,2231273095,452217,3859,278,381,391825,0 90,2,2024-09-07 08:54:51:415,324347,324347,0,0,16707060,0,3060 90,3,2024-09-07 08:54:50:932,1,259,1,0,200,2744,259,0 91,0,2024-09-07 08:54:50:937,65667,0.4,63675,0.6,133077,0.4,174794,1.75 91,1,2024-09-07 08:54:50:555,455063,455063,0,0,212349588057,2232078654,449173,5196,694,381,392047,0 91,2,2024-09-07 08:54:51:331,325388,325388,0,0,14910961,0,2896 91,3,2024-09-07 08:54:50:604,1,259,5,0,216,2402,259,0 92,0,2024-09-07 08:54:51:445,68497,0.5,70059,0.6,134038,0.5,181959,1.75 92,1,2024-09-07 08:54:50:741,456127,456127,0,0,213437338160,2224006756,453561,2160,406,382,392136,0 92,2,2024-09-07 08:54:51:351,331815,331815,0,0,12639725,0,2801 92,3,2024-09-07 08:54:51:015,1,259,0,0,167,2047,259,0 93,0,2024-09-07 08:54:50:953,64211,0.4,65916,0.6,126024,0.4,171185,1.75 93,1,2024-09-07 08:54:50:805,456445,456445,0,0,212973624660,2221707997,451958,3699,788,366,391692,0 93,2,2024-09-07 08:54:50:929,329036,329036,0,0,13476577,0,2797 93,3,2024-09-07 08:54:51:406,1,259,9,0,190,2639,259,0 94,0,2024-09-07 08:54:51:604,62460,0.4,63256,0.5,125994,0.3,166784,1.75 94,1,2024-09-07 08:54:50:621,456338,456338,0,0,213167180417,2225508270,453042,3117,179,381,391850,0 94,2,2024-09-07 08:54:50:761,327238,327238,0,0,12494385,0,2443 94,3,2024-09-07 08:54:51:690,1,259,1,0,264,3318,259,0 95,0,2024-09-07 08:54:51:352,63804,0.3,63451,0.5,128020,0.3,169601,1.75 95,1,2024-09-07 08:54:50:852,457031,457031,0,0,213498438635,2218087935,453452,3203,376,367,391713,0 95,2,2024-09-07 08:54:51:016,323928,323928,0,0,12722829,0,3308 95,3,2024-09-07 08:54:51:713,1,259,0,0,718,4531,259,0 96,0,2024-09-07 08:54:51:039,68223,0.4,68438,0.5,136586,0.3,181084,1.75 96,1,2024-09-07 08:54:51:582,455855,455855,0,0,213051897439,2223575547,452273,2848,734,384,391894,0 96,2,2024-09-07 08:54:51:280,329830,329830,0,0,13415554,0,4042 96,3,2024-09-07 08:54:51:145,1,259,1,0,411,3030,259,0 97,0,2024-09-07 08:54:51:310,62651,0.4,62479,0.5,125459,0.3,166422,1.75 97,1,2024-09-07 08:54:50:764,457588,457588,0,0,214034279111,2218696840,454541,2490,557,367,392140,0 97,2,2024-09-07 08:54:50:610,330199,330199,0,0,12712655,0,3036 97,3,2024-09-07 08:54:50:582,1,259,10,0,214,2970,259,0 98,0,2024-09-07 08:54:51:708,63453,0.3,63473,0.4,127606,0.2,168872,1.50 98,1,2024-09-07 08:54:50:575,456856,456856,0,0,213160156074,2217232365,454708,2048,100,382,391997,0 98,2,2024-09-07 08:54:50:769,328372,328372,0,0,12697995,0,3080 98,3,2024-09-07 08:54:50:701,1,259,1,0,840,4581,259,0 99,0,2024-09-07 08:54:51:447,63586,0.3,63839,0.4,127328,0.2,169572,1.50 99,1,2024-09-07 08:54:51:735,456963,456963,0,0,213119783195,2220621058,453173,3050,740,381,391744,0 99,2,2024-09-07 08:54:51:417,326515,326515,0,0,13373896,0,3106 99,3,2024-09-07 08:54:50:581,1,259,1,0,168,1944,259,0 100,0,2024-09-07 08:54:51:465,67233,0.6,67144,0.9,134272,0.7,179823,2.25 100,1,2024-09-07 08:54:50:553,454111,454111,0,0,212361038659,2243697057,447260,5533,1318,381,391989,0 100,2,2024-09-07 08:54:51:828,327037,327026,11,0,14968641,0,5417 100,3,2024-09-07 08:54:51:731,1,259,1,0,559,4939,259,0 101,0,2024-09-07 08:54:51:715,65700,1.7,63942,1.2,125637,1.9,172391,2.50 101,1,2024-09-07 08:54:50:558,454074,454074,0,0,212202016538,2235278819,446718,5585,1771,368,391769,0 101,2,2024-09-07 08:54:51:762,329180,329180,0,0,16214249,0,4644 101,3,2024-09-07 08:54:50:942,1,259,0,0,448,3277,259,0 102,0,2024-09-07 08:54:50:944,63467,0.7,65761,0.8,132422,0.7,173369,2.00 102,1,2024-09-07 08:54:51:143,455415,455415,0,0,212794089563,2233934338,449756,4818,841,369,391883,0 102,2,2024-09-07 08:54:51:743,329065,329011,54,0,14811222,0,6768 102,3,2024-09-07 08:54:51:616,1,259,0,0,410,2757,259,0 103,0,2024-09-07 08:54:51:616,66309,0.4,66192,0.6,125065,0.4,172064,1.75 103,1,2024-09-07 08:54:51:625,454522,454522,0,0,212386982465,2236642890,448224,4917,1381,381,391829,0 103,2,2024-09-07 08:54:50:582,323943,323943,0,0,13938900,0,2582 103,3,2024-09-07 08:54:50:755,1,259,1,0,916,3589,259,0 104,0,2024-09-07 08:54:51:030,65882,0.7,66299,0.9,131400,0.7,177633,2.25 104,1,2024-09-07 08:54:51:599,455989,455989,0,0,212506036001,2233996556,449310,5584,1095,365,392168,0 104,2,2024-09-07 08:54:51:669,325636,325636,0,0,15805536,0,3941 104,3,2024-09-07 08:54:51:421,1,259,1,0,1245,6475,259,0 105,0,2024-09-07 08:54:51:040,66431,1.1,64482,1.2,134896,1.6,177700,3.25 105,1,2024-09-07 08:54:50:568,456002,456002,0,0,213435077799,2239270103,449686,5337,979,366,391797,0 105,2,2024-09-07 08:54:51:322,329666,329666,0,0,15298509,0,3509 105,3,2024-09-07 08:54:51:307,1,259,5,0,399,4295,259,0 106,0,2024-09-07 08:54:50:937,62329,1.0,63865,1.0,130830,1.1,171223,2.75 106,1,2024-09-07 08:54:51:763,455988,455988,0,0,213008808026,2237829638,448879,6350,759,369,391767,0 106,2,2024-09-07 08:54:50:758,326792,326792,0,0,14782998,0,2795 106,3,2024-09-07 08:54:50:678,1,259,2,0,470,3631,259,0 107,0,2024-09-07 08:54:51:099,63011,0.8,62984,0.9,125865,0.8,167685,2.00 107,1,2024-09-07 08:54:50:597,454453,454453,0,0,211829453979,2228084858,449126,4778,549,381,392234,0 107,2,2024-09-07 08:54:51:291,326092,326091,1,0,15496643,0,5024 107,3,2024-09-07 08:54:51:758,1,259,2,0,353,3481,259,0 108,0,2024-09-07 08:54:51:819,64338,0.4,64686,0.6,128404,0.3,171983,1.75 108,1,2024-09-07 08:54:51:293,456210,456210,0,0,213410743001,2221731028,452995,2830,385,368,391857,0 108,2,2024-09-07 08:54:51:757,324238,324238,0,0,13860976,0,2647 108,3,2024-09-07 08:54:51:330,1,259,1,0,749,5572,259,0 109,0,2024-09-07 08:54:51:750,68107,0.4,67379,0.5,135215,0.3,180846,1.75 109,1,2024-09-07 08:54:50:596,454638,454638,0,0,212612351892,2227903758,450843,3279,516,383,392132,0 109,2,2024-09-07 08:54:50:921,328679,328679,0,0,14265226,0,3617 109,3,2024-09-07 08:54:51:140,1,259,1,0,249,3024,259,0 110,0,2024-09-07 08:54:51:791,63029,0.4,61404,0.6,128435,0.4,168475,1.75 110,1,2024-09-07 08:54:51:648,457714,457714,0,0,213790911079,2218605607,454569,2268,877,369,392045,0 110,2,2024-09-07 08:54:51:304,329743,329743,0,0,13596562,0,3264 110,3,2024-09-07 08:54:50:691,1,259,4,0,406,3429,259,0 111,0,2024-09-07 08:54:51:427,63297,0.3,62825,0.5,125520,0.3,168072,1.75 111,1,2024-09-07 08:54:51:033,457728,457728,0,0,214190486331,2218896348,455736,1656,336,382,391690,0 111,2,2024-09-07 08:54:51:116,327657,327657,0,0,12935142,0,2763 111,3,2024-09-07 08:54:50:913,1,259,2,0,379,3439,259,0 112,0,2024-09-07 08:54:50:955,63831,0.3,63763,0.4,127470,0.2,169793,1.50 112,1,2024-09-07 08:54:50:836,457543,457543,0,0,212836627685,2206281530,455124,1991,428,380,391580,0 112,2,2024-09-07 08:54:51:137,324248,324247,1,0,13042136,0,5036 112,3,2024-09-07 08:54:50:592,1,259,0,0,282,2698,259,0 113,0,2024-09-07 08:54:50:889,67304,0.3,67183,0.5,135370,0.2,180779,1.50 113,1,2024-09-07 08:54:51:699,458488,458488,0,0,214333470177,2211225514,455985,2013,490,366,391661,0 113,2,2024-09-07 08:54:51:305,330321,330321,0,0,11947716,0,3813 113,3,2024-09-07 08:54:50:685,1,259,3,0,340,3629,259,0 114,0,2024-09-07 08:54:50:873,64066,0.4,64530,0.5,128003,0.3,170943,1.75 114,1,2024-09-07 08:54:50:716,456650,456650,0,0,213635841239,2224302538,451839,3222,1589,381,391556,0 114,2,2024-09-07 08:54:50:874,329925,329924,1,0,13009325,0,5069 114,3,2024-09-07 08:54:51:278,1,259,1,0,395,2692,259,0 115,0,2024-09-07 08:54:50:558,64332,0.3,64634,0.4,129247,0.2,171683,1.50 115,1,2024-09-07 08:54:50:577,456554,456554,0,0,213446029430,2219751760,452177,3541,836,382,391639,0 115,2,2024-09-07 08:54:51:129,329153,329153,0,0,11332636,0,2152 115,3,2024-09-07 08:54:51:005,1,259,4,0,159,1384,259,0 116,0,2024-09-07 08:54:51:707,63677,0.6,63639,0.8,127330,0.6,169771,2.00 116,1,2024-09-07 08:54:50:806,453892,453892,0,0,211945349930,2240517511,447486,4351,2055,380,391782,0 116,2,2024-09-07 08:54:51:752,323509,323509,0,0,16151078,0,3529 116,3,2024-09-07 08:54:50:920,1,259,1,0,415,3653,259,0 117,0,2024-09-07 08:54:50:954,66634,0.7,66414,0.8,133055,0.7,178206,2.00 117,1,2024-09-07 08:54:51:584,455606,455606,0,0,212280812072,2220438578,450897,4229,480,370,392033,0 117,2,2024-09-07 08:54:51:119,329642,329642,0,0,13346151,0,3700 117,3,2024-09-07 08:54:51:067,1,259,4,0,490,4037,259,0 118,0,2024-09-07 08:54:51:782,63891,0.6,65585,0.7,133826,0.6,174398,2.00 118,1,2024-09-07 08:54:50:591,455554,455554,0,0,211988053053,2227457490,449178,4942,1434,366,391736,0 118,2,2024-09-07 08:54:51:591,329491,329491,0,0,14580975,0,2781 118,3,2024-09-07 08:54:51:767,1,259,0,0,235,2912,259,0 119,0,2024-09-07 08:54:51:334,64862,0.8,65285,0.9,130757,1.0,173863,2.25 119,1,2024-09-07 08:54:50:560,456591,456591,0,0,212621298215,2220018957,451930,4138,523,367,391641,0 119,2,2024-09-07 08:54:51:260,328634,328634,0,0,13785125,0,4174 119,3,2024-09-07 08:54:51:329,1,259,0,0,443,3495,259,0 120,0,2024-09-07 08:54:51:544,63303,0.5,63128,0.7,126501,0.5,169297,2.00 120,1,2024-09-07 08:54:50:859,456106,456106,0,0,212382498864,2226603153,451411,4344,351,368,391961,0 120,2,2024-09-07 08:54:50:771,325270,325269,1,0,16457572,0,5281 120,3,2024-09-07 08:54:51:290,1,259,2,0,241,3270,259,0 121,0,2024-09-07 08:54:51:714,65466,0.8,65436,0.9,131292,1.0,174806,2.00 121,1,2024-09-07 08:54:51:664,456099,456099,0,0,212727936011,2225701787,451481,4202,416,367,391840,0 121,2,2024-09-07 08:54:51:133,324828,324828,0,0,15693335,0,4127 121,3,2024-09-07 08:54:50:728,1,259,1,0,269,2921,259,0 122,0,2024-09-07 08:54:51:783,67596,0.9,65958,0.9,138258,1.0,182047,2.00 122,1,2024-09-07 08:54:50:860,455178,455178,0,0,212838133799,2232688402,449109,5252,817,366,392130,0 122,2,2024-09-07 08:54:51:331,328974,328901,73,0,18357390,0,5989 122,3,2024-09-07 08:54:50:603,1,259,25,0,411,5032,259,0 123,0,2024-09-07 08:54:50:951,63672,0.9,62256,0.9,129912,1.1,170475,2.25 123,1,2024-09-07 08:54:50:560,455793,455793,0,0,212651482469,2242497896,447118,6959,1716,369,392039,0 123,2,2024-09-07 08:54:51:023,326007,326006,1,0,15192238,0,5215 123,3,2024-09-07 08:54:51:137,1,259,1,0,168,3103,259,0 124,0,2024-09-07 08:54:50:924,64745,0.3,64680,0.5,122193,0.3,167470,1.75 124,1,2024-09-07 08:54:51:024,457376,457376,0,0,212564344225,2207926167,454471,2541,364,367,392178,0 124,2,2024-09-07 08:54:51:016,327959,327959,0,0,12274752,0,3101 124,3,2024-09-07 08:54:50:758,1,259,9,0,490,2844,259,0 125,0,2024-09-07 08:54:51:423,63816,0.3,63602,0.5,127929,0.3,169753,1.75 125,1,2024-09-07 08:54:50:855,455848,455848,0,0,212621689672,2217123493,452750,2703,395,383,391702,0 125,2,2024-09-07 08:54:51:126,324979,324979,0,0,13293528,0,4534 125,3,2024-09-07 08:54:51:126,1,259,4,0,709,3587,259,0 126,0,2024-09-07 08:54:51:438,68246,0.5,70069,0.6,133865,0.5,182069,1.75 126,1,2024-09-07 08:54:50:551,457497,457497,0,0,213299701251,2209822753,454777,2456,264,365,391987,0 126,2,2024-09-07 08:54:50:611,331013,331013,0,0,13647931,0,3186 126,3,2024-09-07 08:54:50:907,1,259,54,0,183,3513,259,0 127,0,2024-09-07 08:54:51:599,62536,0.4,62852,0.5,125188,0.3,166658,1.75 127,1,2024-09-07 08:54:50:575,457305,457305,0,0,213588534236,2212517695,454339,2813,153,365,391816,0 127,2,2024-09-07 08:54:50:637,329983,329983,0,0,12275026,0,2264 127,3,2024-09-07 08:54:51:267,1,259,1,0,968,3007,259,0 128,0,2024-09-07 08:54:51:524,63947,0.3,63855,0.4,127545,0.2,169287,1.50 128,1,2024-09-07 08:54:51:607,456532,456532,0,0,213526011603,2213061186,454357,2018,157,367,391798,0 128,2,2024-09-07 08:54:51:382,328977,328977,0,0,12098515,0,2434 128,3,2024-09-07 08:54:50:767,1,259,1,0,1082,5386,259,0 129,0,2024-09-07 08:54:51:001,64167,0.3,63837,0.4,127699,0.2,169292,1.50 129,1,2024-09-07 08:54:50:567,454698,454698,0,0,212280518655,2223593700,450536,3231,931,379,391835,0 129,2,2024-09-07 08:54:50:697,324867,324867,0,0,13003083,0,4031 129,3,2024-09-07 08:54:50:694,1,259,134,0,469,3489,259,0 130,0,2024-09-07 08:54:51:750,67818,0.5,67427,0.6,135159,0.5,180767,1.75 130,1,2024-09-07 08:54:50:582,457234,457234,0,0,212587588878,2210543491,454791,2364,79,381,391825,0 130,2,2024-09-07 08:54:51:133,329648,329648,0,0,12691847,0,4067 130,3,2024-09-07 08:54:51:291,1,259,1,0,450,3389,259,0 131,0,2024-09-07 08:54:51:938,64314,0.4,64692,0.5,130224,0.3,172145,1.75 131,1,2024-09-07 08:54:51:844,457096,457096,0,0,213128785122,2220738650,454042,2596,458,381,391865,0 131,2,2024-09-07 08:54:50:575,331915,331915,0,0,11631706,0,2415 131,3,2024-09-07 08:54:51:693,1,259,1,0,392,2580,259,0 132,0,2024-09-07 08:54:51:427,64828,0.5,65754,0.7,130909,0.5,173763,2.00 132,1,2024-09-07 08:54:50:580,454649,454649,0,0,212005264121,2234343960,447634,5761,1254,381,392097,0 132,2,2024-09-07 08:54:50:709,328533,328533,0,0,15495456,0,4606 132,3,2024-09-07 08:54:51:689,1,259,1,0,356,3584,259,0 133,0,2024-09-07 08:54:51:521,62806,0.4,64268,0.5,131441,0.3,170814,1.75 133,1,2024-09-07 08:54:50:585,455225,455225,0,0,212230301708,2232316038,449736,4820,669,383,391914,0 133,2,2024-09-07 08:54:51:103,323807,323807,0,0,16579780,0,4315 133,3,2024-09-07 08:54:51:297,1,259,1,0,276,2558,259,0 134,0,2024-09-07 08:54:50:949,66523,0.4,66427,0.6,133021,0.4,177709,2.00 134,1,2024-09-07 08:54:50:589,454516,454516,0,0,212043156131,2225823924,448055,4991,1470,366,391718,0 134,2,2024-09-07 08:54:51:758,326324,326324,0,0,13865578,0,3847 134,3,2024-09-07 08:54:50:749,1,259,2,0,739,3816,259,0 135,0,2024-09-07 08:54:51:097,64900,0.8,64878,0.9,137611,0.9,177446,2.25 135,1,2024-09-07 08:54:51:602,454836,454836,0,0,213196868825,2241790052,448635,5203,998,380,391805,0 135,2,2024-09-07 08:54:50:687,330425,330425,0,0,15414554,0,3981 135,3,2024-09-07 08:54:51:005,1,259,0,0,299,1863,259,0 136,0,2024-09-07 08:54:51:623,65061,0.6,64986,0.8,129656,0.6,173217,2.25 136,1,2024-09-07 08:54:51:442,454992,454992,0,0,212261099699,2230110461,449452,4954,586,382,391685,0 136,2,2024-09-07 08:54:51:135,328579,328579,0,0,14909721,0,3506 136,3,2024-09-07 08:54:51:114,1,259,1,0,150,2145,259,0 137,0,2024-09-07 08:54:50:921,64929,0.6,63075,0.7,124462,0.6,168878,2.00 137,1,2024-09-07 08:54:50:579,455281,455281,0,0,212378698108,2228198933,448329,5535,1417,366,391708,0 137,2,2024-09-07 08:54:51:718,325935,325935,0,0,16445607,0,3185 137,3,2024-09-07 08:54:50:771,1,259,4,0,382,3291,259,0 138,0,2024-09-07 08:54:51:885,63934,0.7,63890,0.9,128552,0.8,171613,2.00 138,1,2024-09-07 08:54:51:693,455026,455026,0,0,213360830442,2239702839,448054,5839,1133,368,391954,0 138,2,2024-09-07 08:54:50:587,324868,324868,0,0,15113883,0,4988 138,3,2024-09-07 08:54:50:611,1,259,3,0,1160,3882,259,0 139,0,2024-09-07 08:54:51:360,66694,1.8,66969,1.2,134052,2.6,179164,2.50 139,1,2024-09-07 08:54:50:578,453550,453550,0,0,211677350084,2248148733,445011,6422,2117,381,391892,0 139,2,2024-09-07 08:54:50:695,327952,327952,0,0,16035759,0,3097 139,3,2024-09-07 08:54:51:662,1,259,1,0,257,2849,259,0 140,0,2024-09-07 08:54:51:589,63447,0.3,62887,0.5,126352,0.2,168675,1.75 140,1,2024-09-07 08:54:51:539,458525,458525,0,0,214096368943,2205285710,456544,1739,242,365,391606,0 140,2,2024-09-07 08:54:50:687,329800,329800,0,0,12575091,0,3388 140,3,2024-09-07 08:54:50:768,1,259,0,0,247,2160,259,0 141,0,2024-09-07 08:54:51:698,63007,0.3,64786,0.4,123887,0.2,168062,1.50 141,1,2024-09-07 08:54:50:859,457595,457595,0,0,213733019450,2216246944,455024,2163,408,379,391614,0 141,2,2024-09-07 08:54:51:689,328120,328120,0,0,12122815,0,2342 141,3,2024-09-07 08:54:51:043,1,259,1,0,202,2374,259,0 142,0,2024-09-07 08:54:51:311,64477,0.3,63789,0.4,126835,0.2,170164,1.50 142,1,2024-09-07 08:54:50:583,456953,456953,0,0,212447715612,2214257825,454121,2567,265,382,392102,0 142,2,2024-09-07 08:54:51:299,324151,324119,32,0,13914585,0,6028 142,3,2024-09-07 08:54:51:755,1,259,1,0,484,3213,259,0 143,0,2024-09-07 08:54:51:369,67644,0.5,67572,0.6,135721,0.5,180664,1.75 143,1,2024-09-07 08:54:50:556,456932,456932,0,0,212870230709,2206800377,454173,2663,96,367,391651,0 143,2,2024-09-07 08:54:50:770,329085,329085,0,0,13189873,0,2669 143,3,2024-09-07 08:54:51:140,1,259,1,0,303,3324,259,0 144,0,2024-09-07 08:54:51:533,61655,0.6,63228,0.9,129023,0.6,169087,2.00 144,1,2024-09-07 08:54:50:582,454821,454821,0,0,212337538972,2227440878,450776,3120,925,381,391649,0 144,2,2024-09-07 08:54:51:759,330675,330675,0,0,12444774,0,3473 144,3,2024-09-07 08:54:51:749,1,259,5,0,249,2994,259,0 145,0,2024-09-07 08:54:51:361,62026,0.6,61970,0.8,131587,0.5,169657,2.25 145,1,2024-09-07 08:54:50:557,454253,454253,0,0,212770120524,2235451362,448753,4677,823,382,391698,0 145,2,2024-09-07 08:54:51:429,327611,327611,0,0,14670979,0,3903 145,3,2024-09-07 08:54:50:896,1,259,4,0,622,4122,259,0 146,0,2024-09-07 08:54:51:593,63814,0.5,63278,0.7,127583,0.4,168978,2.00 146,1,2024-09-07 08:54:51:585,454958,454958,0,0,212327369296,2236821142,446675,6436,1847,368,391770,0 146,2,2024-09-07 08:54:51:703,323492,323492,0,0,14817027,0,2730 146,3,2024-09-07 08:54:51:276,1,259,2,0,1520,5943,259,0 147,0,2024-09-07 08:54:51:714,66621,0.5,66465,0.7,132152,0.5,177966,2.00 147,1,2024-09-07 08:54:51:376,457408,457408,0,0,213770153948,2222871867,453305,3518,585,368,391791,0 147,2,2024-09-07 08:54:51:010,329192,329192,0,0,12657917,0,2789 147,3,2024-09-07 08:54:50:913,1,259,6,0,730,3931,259,0 0,0,2024-09-07 08:55:01:726,61935,0.4,62048,0.7,131448,0.4,170459,1.75 0,1,2024-09-07 08:55:00:813,457932,457932,0,0,213805237986,2234795808,454681,3045,206,370,391772,0 0,2,2024-09-07 08:55:01:067,326473,326473,0,0,13142032,0,4480 0,3,2024-09-07 08:55:00:975,1,260,1,0,319,3443,260,0 1,0,2024-09-07 08:55:01:762,65949,0.8,65482,0.9,131579,0.9,175500,2.00 1,1,2024-09-07 08:55:00:576,457687,457687,0,0,212819429947,2228542482,453188,3446,1053,371,391857,0 1,2,2024-09-07 08:55:00:638,326538,326538,0,0,12217975,0,3267 1,3,2024-09-07 08:55:01:304,1,260,8,0,262,3008,260,0 2,0,2024-09-07 08:55:01:567,68452,0.7,68703,0.8,136126,1.0,183103,2.00 2,1,2024-09-07 08:55:00:861,458224,458224,0,0,214655387789,2228040798,456116,1890,218,380,391745,0 2,2,2024-09-07 08:55:01:270,332048,332048,0,0,12746264,0,3594 2,3,2024-09-07 08:55:00:700,1,260,52,0,357,2512,260,0 3,0,2024-09-07 08:55:01:754,64192,0.5,64271,0.6,128197,0.4,170896,2.00 3,1,2024-09-07 08:55:01:619,457881,457881,0,0,214007877026,2227948778,454040,3379,462,380,391556,0 3,2,2024-09-07 08:55:01:142,329534,329511,23,0,12962135,0,5851 3,3,2024-09-07 08:55:01:757,1,260,0,0,103,1540,260,0 4,0,2024-09-07 08:55:01:768,60975,0.4,62751,0.5,127661,0.3,167071,1.75 4,1,2024-09-07 08:55:00:607,457569,457569,0,0,213678656733,2242454145,452825,3953,791,371,391846,0 4,2,2024-09-07 08:55:01:028,327341,327341,0,0,15272586,0,4528 4,3,2024-09-07 08:55:01:027,1,260,1,0,448,3925,260,0 5,0,2024-09-07 08:55:01:415,63948,0.4,64227,0.5,127524,0.3,170035,1.75 5,1,2024-09-07 08:55:00:763,457498,457498,0,0,213275315913,2240767593,452000,4386,1112,368,392005,0 5,2,2024-09-07 08:55:01:829,324634,324634,0,0,13856751,0,2432 5,3,2024-09-07 08:55:01:732,1,260,2,0,457,3865,260,0 6,0,2024-09-07 08:55:00:918,68962,0.5,68354,0.7,136570,0.5,182850,2.00 6,1,2024-09-07 08:55:00:748,457711,457711,0,0,213315907070,2227749309,453035,3832,844,379,391694,0 6,2,2024-09-07 08:55:01:117,330436,330436,0,0,13892112,0,4816 6,3,2024-09-07 08:55:01:274,1,260,1,0,340,2983,260,0 7,0,2024-09-07 08:55:01:564,62421,0.5,62613,0.7,124813,0.5,166095,2.00 7,1,2024-09-07 08:55:00:873,457394,457394,0,0,213664463395,2239902031,451491,4900,1003,382,391747,0 7,2,2024-09-07 08:55:00:771,329964,329964,0,0,13708634,0,4791 7,3,2024-09-07 08:55:00:871,1,260,1,0,398,3138,260,0 8,0,2024-09-07 08:55:01:333,63729,0.4,63690,0.5,126811,0.3,169130,1.75 8,1,2024-09-07 08:55:01:025,456136,456136,0,0,213622869419,2251939150,447838,6279,2019,366,391956,0 8,2,2024-09-07 08:55:00:797,325758,325758,0,0,16564247,0,3220 8,3,2024-09-07 08:55:00:594,1,260,6,0,357,3869,260,0 9,0,2024-09-07 08:55:01:121,63938,0.3,61948,0.5,129508,0.3,169688,1.50 9,1,2024-09-07 08:55:00:557,456589,456589,0,0,213192909362,2245987069,450096,4951,1542,370,392001,0 9,2,2024-09-07 08:55:01:086,325038,325038,0,0,14576230,0,3360 9,3,2024-09-07 08:55:01:754,1,260,4,0,496,3858,260,0 10,0,2024-09-07 08:55:01:614,68132,0.3,67464,0.5,135713,0.2,181441,1.75 10,1,2024-09-07 08:55:00:593,457133,457133,0,0,212993062334,2232218844,450771,5434,928,381,391741,0 10,2,2024-09-07 08:55:00:764,329721,329721,0,0,16260254,0,4264 10,3,2024-09-07 08:55:00:876,1,260,1,0,177,2107,260,0 11,0,2024-09-07 08:55:01:008,64131,0.5,62333,0.7,130307,0.5,172261,2.00 11,1,2024-09-07 08:55:00:575,457851,457851,0,0,213694553687,2247120684,449632,6238,1981,383,391537,0 11,2,2024-09-07 08:55:01:123,331364,331364,0,0,15190282,0,4130 11,3,2024-09-07 08:55:01:300,1,260,3,0,843,4239,260,0 12,0,2024-09-07 08:55:00:949,65721,0.3,65591,0.5,131198,0.3,174026,1.75 12,1,2024-09-07 08:55:00:945,457382,457382,0,0,213246497104,2222231235,453857,3103,422,370,391870,0 12,2,2024-09-07 08:55:01:545,330055,330055,0,0,14203566,0,3469 12,3,2024-09-07 08:55:01:060,1,260,2,0,386,4269,260,0 13,0,2024-09-07 08:55:01:349,64856,0.3,64684,0.5,129242,0.3,171897,1.50 13,1,2024-09-07 08:55:01:526,457855,457855,0,0,213281015289,2236525836,454303,3011,541,382,391717,0 13,2,2024-09-07 08:55:00:609,326669,326669,0,0,13217377,0,3287 13,3,2024-09-07 08:55:01:762,1,260,1,0,467,3948,260,0 14,0,2024-09-07 08:55:00:563,67000,0.3,67567,0.5,133823,0.3,178636,1.75 14,1,2024-09-07 08:55:01:566,460588,460588,0,0,214690507624,2220601869,457757,2642,189,364,391571,0 14,2,2024-09-07 08:55:00:771,327921,327921,0,0,13309873,0,2896 14,3,2024-09-07 08:55:01:117,1,260,1,0,1168,3572,260,0 15,0,2024-09-07 08:55:01:555,67120,0.5,67281,0.7,134253,0.5,178498,2.00 15,1,2024-09-07 08:55:01:615,458559,458559,0,0,214107938124,2224850995,456037,2344,178,381,391619,0 15,2,2024-09-07 08:55:00:999,332399,332399,0,0,11425618,0,3043 15,3,2024-09-07 08:55:01:404,1,260,2,0,1126,5365,260,0 16,0,2024-09-07 08:55:00:943,65047,0.7,65289,0.8,130035,0.7,173564,2.25 16,1,2024-09-07 08:55:00:566,458758,458758,0,0,213723776689,2231506561,455194,3264,300,370,391756,0 16,2,2024-09-07 08:55:01:434,329296,329296,0,0,13879404,0,4719 16,3,2024-09-07 08:55:01:151,1,260,54,0,317,3554,260,0 17,0,2024-09-07 08:55:01:809,65229,0.5,63662,0.7,124643,0.4,169373,1.75 17,1,2024-09-07 08:55:00:576,457260,457260,0,0,213034759905,2235203750,452414,3741,1105,368,391845,0 17,2,2024-09-07 08:55:01:672,330097,330097,0,0,13039039,0,2857 17,3,2024-09-07 08:55:00:575,1,260,9,0,268,4058,260,0 18,0,2024-09-07 08:55:00:954,64216,0.6,64397,0.8,128582,0.6,172338,2.25 18,1,2024-09-07 08:55:01:638,458754,458754,0,0,213534734114,2212057329,456243,2269,242,367,391649,0 18,2,2024-09-07 08:55:01:758,327785,327785,0,0,12673053,0,3541 18,3,2024-09-07 08:55:00:898,1,260,1,0,163,2542,260,0 19,0,2024-09-07 08:55:01:557,67438,0.6,68097,0.8,134914,0.6,179514,2.00 19,1,2024-09-07 08:55:00:569,458650,458650,0,0,215145872712,2234328804,453989,3874,787,367,391777,0 19,2,2024-09-07 08:55:01:752,333226,333226,0,0,11816071,0,3988 19,3,2024-09-07 08:55:01:134,1,260,0,0,524,1900,260,0 20,0,2024-09-07 08:55:01:374,63115,0.7,63095,0.8,126452,0.7,168967,2.25 20,1,2024-09-07 08:55:00:569,457369,457369,0,0,213971803080,2236489173,453545,3426,398,369,391886,0 20,2,2024-09-07 08:55:00:951,330458,330458,0,0,13433401,0,3721 20,3,2024-09-07 08:55:00:594,1,260,1,0,414,4636,260,0 21,0,2024-09-07 08:55:01:136,63278,0.5,63473,0.6,126562,0.4,167846,2.00 21,1,2024-09-07 08:55:01:548,456449,456449,0,0,212844595564,2239024216,450725,4465,1259,368,391962,0 21,2,2024-09-07 08:55:01:082,327860,327860,0,0,15209769,0,3747 21,3,2024-09-07 08:55:01:404,1,260,1,0,103,2653,260,0 22,0,2024-09-07 08:55:01:733,63807,0.5,63928,0.7,127534,0.5,169943,2.00 22,1,2024-09-07 08:55:01:024,456915,456915,0,0,213026689605,2241164017,449683,5590,1642,382,391667,0 22,2,2024-09-07 08:55:00:764,325044,325044,0,0,13303454,0,3134 22,3,2024-09-07 08:55:01:066,1,260,1,0,228,2350,260,0 23,0,2024-09-07 08:55:01:373,67743,0.5,67449,0.7,135654,0.5,181124,2.25 23,1,2024-09-07 08:55:01:007,457865,457865,0,0,213505211240,2240102751,450554,4760,2551,365,391690,0 23,2,2024-09-07 08:55:01:100,330922,330922,0,0,12905232,0,3010 23,3,2024-09-07 08:55:01:757,1,260,9,0,645,3307,260,0 24,0,2024-09-07 08:55:00:875,64303,0.4,64177,0.6,128655,0.4,170677,1.75 24,1,2024-09-07 08:55:00:600,457010,457010,0,0,212964815461,2233636794,451395,4133,1482,368,391987,0 24,2,2024-09-07 08:55:01:068,329449,329449,0,0,16061222,0,3607 24,3,2024-09-07 08:55:01:693,1,260,1,0,468,3801,260,0 25,0,2024-09-07 08:55:01:366,65968,0.5,64290,0.7,126277,0.4,172069,2.00 25,1,2024-09-07 08:55:00:567,457893,457893,0,0,213502759669,2233739916,453543,3796,554,371,391788,0 25,2,2024-09-07 08:55:01:618,328366,328366,0,0,16166448,0,3978 25,3,2024-09-07 08:55:01:000,1,260,6,0,255,2800,260,0 26,0,2024-09-07 08:55:01:739,63624,0.4,62208,0.6,130342,0.3,169389,1.75 26,1,2024-09-07 08:55:01:547,457257,457257,0,0,213314106913,2236982049,450266,5775,1216,381,391748,0 26,2,2024-09-07 08:55:00:865,325235,325235,0,0,15750488,0,2809 26,3,2024-09-07 08:55:01:717,1,260,1,0,796,3398,260,0 27,0,2024-09-07 08:55:01:743,67372,0.4,67488,0.6,133330,0.3,178519,2.00 27,1,2024-09-07 08:55:01:684,459333,459333,0,0,214622515721,2231981032,455879,2861,593,381,391558,0 27,2,2024-09-07 08:55:00:875,327291,327226,65,0,15916845,0,5699 27,3,2024-09-07 08:55:01:016,1,260,1,0,564,2790,260,0 28,0,2024-09-07 08:55:01:407,65910,0.5,65695,0.6,132013,0.4,175654,2.00 28,1,2024-09-07 08:55:00:814,458928,458928,0,0,214771043149,2234519331,456047,2410,471,383,391646,0 28,2,2024-09-07 08:55:01:768,331955,331955,0,0,12724021,0,2915 28,3,2024-09-07 08:55:01:776,1,260,1,0,502,2754,260,0 29,0,2024-09-07 08:55:01:361,67193,0.4,65669,0.6,128484,0.3,175522,1.75 29,1,2024-09-07 08:55:01:567,459785,459785,0,0,214698288942,2222122221,456559,2661,565,369,391621,0 29,2,2024-09-07 08:55:00:861,329169,329169,0,0,12131898,0,4018 29,3,2024-09-07 08:55:00:974,1,260,2,0,115,1882,260,0 30,0,2024-09-07 08:55:01:457,63788,0.5,61916,0.7,129507,0.4,169898,2.00 30,1,2024-09-07 08:55:00:579,459699,459699,0,0,214850665052,2224923688,457368,2038,293,382,391672,0 30,2,2024-09-07 08:55:01:274,326745,326745,0,0,11858911,0,3161 30,3,2024-09-07 08:55:00:584,1,260,0,0,519,2478,260,0 31,0,2024-09-07 08:55:01:764,65568,0.4,65755,0.6,131758,0.3,175550,1.75 31,1,2024-09-07 08:55:00:564,461077,461077,0,0,215708269455,2209141331,459685,1172,220,356,391712,0 31,2,2024-09-07 08:55:01:275,327037,327037,0,0,13502141,0,3525 31,3,2024-09-07 08:55:01:713,1,260,1,0,220,1973,260,0 32,0,2024-09-07 08:55:01:416,68297,0.4,68756,0.5,137357,0.3,183439,1.75 32,1,2024-09-07 08:55:00:806,459387,459387,0,0,214294496517,2221839253,457429,1679,279,381,391622,0 32,2,2024-09-07 08:55:00:940,331916,331916,0,0,11712261,0,3155 32,3,2024-09-07 08:55:01:016,1,260,1,0,227,2054,260,0 33,0,2024-09-07 08:55:01:499,64668,0.3,64026,0.5,128771,0.2,171827,1.75 33,1,2024-09-07 08:55:00:578,459674,459674,0,0,215735116554,2227261360,457091,2409,174,369,391730,0 33,2,2024-09-07 08:55:00:764,330808,330775,33,0,13389992,0,7012 33,3,2024-09-07 08:55:00:895,1,260,0,0,329,3105,260,0 34,0,2024-09-07 08:55:00:933,62824,0.3,64784,0.5,124164,0.2,166517,1.50 34,1,2024-09-07 08:55:01:044,460531,460531,0,0,215767546996,2217746107,459434,1090,7,367,391562,0 34,2,2024-09-07 08:55:00:771,328702,328702,0,0,12655928,0,3577 34,3,2024-09-07 08:55:01:689,1,260,1,0,299,2073,260,0 35,0,2024-09-07 08:55:00:868,63379,0.3,63786,0.5,128178,0.2,170464,1.75 35,1,2024-09-07 08:55:01:078,459372,459372,0,0,213815827759,2216966546,456026,2566,780,384,391589,0 35,2,2024-09-07 08:55:01:591,326622,326622,0,0,12276299,0,2653 35,3,2024-09-07 08:55:00:910,1,260,1,0,418,3047,260,0 36,0,2024-09-07 08:55:01:530,68641,0.5,68420,0.7,137335,0.5,182558,2.25 36,1,2024-09-07 08:55:00:583,458358,458358,0,0,213316049978,2229782650,452376,4403,1579,366,391759,0 36,2,2024-09-07 08:55:01:753,330313,330313,0,0,14215475,0,3875 36,3,2024-09-07 08:55:00:872,1,260,2,0,416,4054,260,0 37,0,2024-09-07 08:55:01:367,62266,0.5,62237,0.7,124719,0.5,167000,2.00 37,1,2024-09-07 08:55:00:579,457432,457425,0,7,213206971608,2232526160,451415,3942,2068,365,391560,0 37,2,2024-09-07 08:55:01:142,329728,329713,15,0,14532097,0,5815 37,3,2024-09-07 08:55:01:771,1,260,1,0,888,4491,260,0 38,0,2024-09-07 08:55:01:443,62962,0.5,61218,0.7,128219,0.4,167282,2.00 38,1,2024-09-07 08:55:01:606,458455,458455,0,0,214307055867,2235217526,453182,4597,676,368,391821,0 38,2,2024-09-07 08:55:00:768,328624,328577,47,0,14890781,0,6710 38,3,2024-09-07 08:55:00:997,1,260,1,0,689,3605,260,0 39,0,2024-09-07 08:55:01:769,65126,0.6,63983,0.7,124195,0.5,169703,2.00 39,1,2024-09-07 08:55:00:716,458400,458400,0,0,214050144920,2232687810,452840,4658,902,365,391594,0 39,2,2024-09-07 08:55:01:417,324986,324986,0,0,12878988,0,2689 39,3,2024-09-07 08:55:00:713,1,260,1,0,324,3442,260,0 40,0,2024-09-07 08:55:01:510,67095,0.7,67799,0.9,135568,0.7,181064,2.75 40,1,2024-09-07 08:55:00:586,457813,457813,0,0,213307005475,2235051972,450673,5697,1443,368,391591,0 40,2,2024-09-07 08:55:01:312,329606,329605,1,0,16285289,0,5137 40,3,2024-09-07 08:55:01:142,1,260,1,0,398,3192,260,0 41,0,2024-09-07 08:55:01:025,63917,1.9,65431,1.4,124723,3.1,170446,3.75 41,1,2024-09-07 08:55:00:776,458045,458045,0,0,213924532918,2235792796,452502,4908,635,370,391742,0 41,2,2024-09-07 08:55:00:764,329967,329967,0,0,15505224,0,3356 41,3,2024-09-07 08:55:01:683,1,260,1,0,366,3037,260,0 42,0,2024-09-07 08:55:01:478,64432,0.8,64630,1.0,129421,0.9,170574,2.50 42,1,2024-09-07 08:55:01:439,456684,456684,0,0,212923915076,2237552597,449399,5833,1452,380,391675,0 42,2,2024-09-07 08:55:01:137,329794,329794,0,0,14792689,0,3568 42,3,2024-09-07 08:55:01:008,1,260,17,0,446,2371,260,0 43,0,2024-09-07 08:55:00:923,64099,0.6,62543,0.9,130604,0.6,171633,2.00 43,1,2024-09-07 08:55:00:579,457721,457721,0,0,214435533783,2239668874,451456,4936,1329,366,391696,0 43,2,2024-09-07 08:55:01:750,325725,325725,0,0,14108604,0,3812 43,3,2024-09-07 08:55:01:749,1,260,1,0,467,3106,260,0 44,0,2024-09-07 08:55:00:858,66687,0.4,67245,0.6,133848,0.4,178842,1.75 44,1,2024-09-07 08:55:00:565,459871,459871,0,0,214103374741,2208413878,457312,2127,432,356,391809,0 44,2,2024-09-07 08:55:01:279,327724,327724,0,0,12026230,0,2231 44,3,2024-09-07 08:55:01:099,1,260,36,0,817,3039,260,0 45,0,2024-09-07 08:55:01:763,66129,0.6,64661,0.8,135724,0.7,178245,2.00 45,1,2024-09-07 08:55:01:019,459120,459120,0,0,214110471349,2217602550,457468,1640,12,382,391917,0 45,2,2024-09-07 08:55:01:276,332398,332398,0,0,12765699,0,3596 45,3,2024-09-07 08:55:00:939,1,260,0,0,271,2698,260,0 46,0,2024-09-07 08:55:00:966,64737,0.6,64448,0.7,129197,0.6,171492,2.00 46,1,2024-09-07 08:55:00:575,460659,460659,0,0,214924833945,2217266977,458312,2073,274,366,391539,0 46,2,2024-09-07 08:55:00:595,330308,330308,0,0,12247630,0,2920 46,3,2024-09-07 08:55:01:136,1,260,2,0,908,3795,260,0 47,0,2024-09-07 08:55:01:132,63638,0.4,63459,0.6,127598,0.4,168805,1.75 47,1,2024-09-07 08:55:00:567,459946,459946,0,0,214150679455,2209413029,457618,2194,134,366,391605,0 47,2,2024-09-07 08:55:00:911,329729,329729,0,0,12208148,0,2558 47,3,2024-09-07 08:55:01:119,1,260,0,0,529,2337,260,0 48,0,2024-09-07 08:55:01:490,65202,0.3,64887,0.4,129232,0.2,173291,1.50 48,1,2024-09-07 08:55:01:025,459141,459141,0,0,213835009997,2220310203,456029,2812,300,384,391710,0 48,2,2024-09-07 08:55:00:705,326765,326765,0,0,11819781,0,3031 48,3,2024-09-07 08:55:00:753,1,260,77,0,339,2235,260,0 49,0,2024-09-07 08:55:01:726,69726,0.4,68558,0.5,132827,0.3,181813,1.75 49,1,2024-09-07 08:55:01:031,458282,458282,0,0,213840093098,2228150258,454411,2690,1181,382,391809,0 49,2,2024-09-07 08:55:01:802,332423,332423,0,0,12372099,0,3900 49,3,2024-09-07 08:55:01:417,1,260,12,0,408,2842,260,0 50,0,2024-09-07 08:55:01:511,63382,0.3,62823,0.5,126321,0.2,168863,1.75 50,1,2024-09-07 08:55:01:017,459773,459773,0,0,215138477944,2224586592,456813,2650,310,368,391530,0 50,2,2024-09-07 08:55:01:067,330737,330737,0,0,11613300,0,2263 50,3,2024-09-07 08:55:01:293,1,260,5,0,335,2145,260,0 51,0,2024-09-07 08:55:01:692,64643,0.3,63305,0.5,123296,0.2,168161,1.75 51,1,2024-09-07 08:55:01:681,460072,460072,0,0,214987641953,2218218196,457270,1862,940,365,391706,0 51,2,2024-09-07 08:55:01:316,329247,329247,0,0,11022847,0,3337 51,3,2024-09-07 08:55:01:027,1,260,1,0,678,2060,260,0 52,0,2024-09-07 08:55:01:419,64538,0.4,64105,0.6,128136,0.4,170615,2.00 52,1,2024-09-07 08:55:00:575,457858,457858,0,0,212653751036,2228753134,450699,5905,1254,368,391722,0 52,2,2024-09-07 08:55:01:760,323771,323733,38,0,15059859,0,6742 52,3,2024-09-07 08:55:00:673,1,260,1,0,1782,4574,260,0 53,0,2024-09-07 08:55:01:732,67428,0.6,65602,0.8,137233,0.7,180383,2.25 53,1,2024-09-07 08:55:00:775,457049,457049,0,0,213407978837,2239705459,449722,4971,2356,367,391702,0 53,2,2024-09-07 08:55:01:301,330862,330862,0,0,12600256,0,2727 53,3,2024-09-07 08:55:00:704,1,260,1,0,271,2328,260,0 54,0,2024-09-07 08:55:01:614,62759,0.8,63327,0.9,125352,0.5,167770,2.75 54,1,2024-09-07 08:55:00:580,458361,458361,0,0,214170668178,2226379689,453625,4139,597,367,391659,0 54,2,2024-09-07 08:55:00:865,331198,331192,6,0,13997488,0,5382 54,3,2024-09-07 08:55:00:765,1,260,0,0,676,3914,260,0 55,0,2024-09-07 08:55:01:777,62051,0.6,64233,0.8,129349,0.5,168471,2.50 55,1,2024-09-07 08:55:00:764,457921,457921,0,0,214024520515,2227440488,452371,4763,787,365,391731,0 55,2,2024-09-07 08:55:00:730,328991,328991,0,0,14119071,0,3275 55,3,2024-09-07 08:55:00:673,1,260,1,0,304,2894,260,0 56,0,2024-09-07 08:55:01:606,65328,0.9,61702,1.0,126802,1.2,169308,2.25 56,1,2024-09-07 08:55:00:582,456187,456187,0,0,213788358412,2259165980,448943,5806,1438,381,391729,0 56,2,2024-09-07 08:55:01:309,325167,325167,0,0,15281809,0,3567 56,3,2024-09-07 08:55:01:069,1,260,6,0,705,3776,260,0 57,0,2024-09-07 08:55:00:984,66261,1.4,66262,1.2,132565,1.9,177505,3.00 57,1,2024-09-07 08:55:00:996,457565,457565,0,0,213180695871,2232519836,452239,4655,671,367,391960,0 57,2,2024-09-07 08:55:01:321,329261,329261,0,0,16545788,0,3317 57,3,2024-09-07 08:55:01:745,1,260,10,0,359,3399,260,0 58,0,2024-09-07 08:55:00:553,64572,1.1,62794,1.1,131494,1.5,172156,2.75 58,1,2024-09-07 08:55:00:579,458567,458564,0,3,214375496394,2238767128,452830,5023,711,367,391603,3 58,2,2024-09-07 08:55:01:071,331711,331711,0,0,14765908,0,2549 58,3,2024-09-07 08:55:01:069,1,260,1,0,1043,2915,260,0 59,0,2024-09-07 08:55:01:781,65152,0.8,64910,1.0,129584,0.8,172160,2.75 59,1,2024-09-07 08:55:00:817,457970,457970,0,0,214097419115,2238862698,452181,4524,1265,369,391525,0 59,2,2024-09-07 08:55:00:584,330892,330892,0,0,13495984,0,2604 59,3,2024-09-07 08:55:01:741,1,260,9,0,1015,3572,260,0 60,0,2024-09-07 08:55:01:716,63922,0.4,63719,0.6,128511,0.3,170426,1.75 60,1,2024-09-07 08:55:00:801,459613,459613,0,0,215134015338,2229178036,457165,1931,517,370,392031,0 60,2,2024-09-07 08:55:01:143,326939,326939,0,0,14478309,0,3811 60,3,2024-09-07 08:55:01:265,1,260,0,0,124,2482,260,0 61,0,2024-09-07 08:55:01:497,65794,0.6,66059,0.7,131571,0.6,175504,2.00 61,1,2024-09-07 08:55:00:771,457945,457945,0,0,213405376381,2231624121,453366,3876,703,382,391880,0 61,2,2024-09-07 08:55:01:116,327964,327964,0,0,12955487,0,2780 61,3,2024-09-07 08:55:01:700,1,260,4,0,397,3489,260,0 62,0,2024-09-07 08:55:01:715,68936,0.6,70246,0.7,134086,0.6,183141,2.00 62,1,2024-09-07 08:55:01:114,460590,460584,0,6,215602726647,2222482189,458118,2348,118,365,391715,6 62,2,2024-09-07 08:55:01:649,330505,330504,1,0,14296261,0,5555 62,3,2024-09-07 08:55:01:143,1,260,1,0,287,1832,260,0 63,0,2024-09-07 08:55:01:462,64231,0.5,64400,0.6,128907,0.4,171416,1.75 63,1,2024-09-07 08:55:00:812,459788,459782,0,6,214090261272,2216071423,458205,1527,50,381,391677,6 63,2,2024-09-07 08:55:00:769,330161,330161,0,0,11934670,0,2674 63,3,2024-09-07 08:55:01:732,1,260,1,0,667,2884,260,0 64,0,2024-09-07 08:55:01:546,62520,0.4,62475,0.6,125224,0.4,166420,1.75 64,1,2024-09-07 08:55:00:757,458339,458339,0,0,214139020906,2232022016,453849,3076,1414,370,391783,0 64,2,2024-09-07 08:55:01:146,331282,331263,19,0,12758188,0,6121 64,3,2024-09-07 08:55:01:144,1,260,1,0,265,2557,260,0 65,0,2024-09-07 08:55:01:701,63356,0.5,63779,0.7,127188,0.5,169307,2.00 65,1,2024-09-07 08:55:00:885,457707,457707,0,0,213257332609,2229416861,454149,3113,445,382,391901,0 65,2,2024-09-07 08:55:01:704,326321,326321,0,0,13502116,0,3367 65,3,2024-09-07 08:55:01:690,1,260,8,0,163,2480,260,0 66,0,2024-09-07 08:55:01:766,68305,0.4,68002,0.7,136731,0.4,181803,2.00 66,1,2024-09-07 08:55:01:293,459386,459386,0,0,214670556714,2229086256,456811,2374,201,380,391653,0 66,2,2024-09-07 08:55:01:139,332881,332881,0,0,13231969,0,4956 66,3,2024-09-07 08:55:01:095,1,260,4,0,291,2650,260,0 67,0,2024-09-07 08:55:01:413,62998,0.6,62472,0.8,125778,0.6,167043,2.00 67,1,2024-09-07 08:55:00:771,458180,458179,0,1,214362462556,2234104384,454333,3098,748,380,391787,1 67,2,2024-09-07 08:55:00:582,331721,331721,0,0,12244069,0,2889 67,3,2024-09-07 08:55:01:750,1,260,1,0,338,2403,260,0 68,0,2024-09-07 08:55:00:575,63763,0.6,63506,0.8,126637,0.6,169061,2.00 68,1,2024-09-07 08:55:00:580,457603,457603,0,0,213123041603,2232595253,453424,3009,1170,381,391953,0 68,2,2024-09-07 08:55:01:044,328245,328180,65,0,15964820,0,6698 68,3,2024-09-07 08:55:00:737,1,260,1,0,417,2864,260,0 69,0,2024-09-07 08:55:01:757,63338,0.7,63670,0.8,127052,0.7,168783,2.00 69,1,2024-09-07 08:55:01:021,456356,456356,0,0,213126798649,2246696887,450653,4301,1402,384,391994,0 69,2,2024-09-07 08:55:01:733,325133,325133,0,0,15725805,0,3722 69,3,2024-09-07 08:55:00:767,1,260,2,0,698,3679,260,0 70,0,2024-09-07 08:55:01:543,67564,0.7,67441,0.9,135463,0.5,180666,2.25 70,1,2024-09-07 08:55:00:806,459186,459186,0,0,215213028612,2233016208,455520,3138,528,366,391725,0 70,2,2024-09-07 08:55:01:355,329889,329889,0,0,14411163,0,4044 70,3,2024-09-07 08:55:00:752,1,260,1,0,854,3029,260,0 71,0,2024-09-07 08:55:01:362,63914,1.2,63836,1.1,127959,1.5,171150,3.00 71,1,2024-09-07 08:55:01:602,458031,458031,0,0,214043439999,2231494122,452875,4535,621,368,391738,0 71,2,2024-09-07 08:55:01:077,331679,331679,0,0,14799005,0,4042 71,3,2024-09-07 08:55:01:750,1,260,1,0,644,3701,260,0 72,0,2024-09-07 08:55:01:063,67352,0.7,65895,0.8,128369,0.8,174398,2.25 72,1,2024-09-07 08:55:01:037,458226,458226,0,0,214555961630,2238533596,453163,4131,932,369,391819,0 72,2,2024-09-07 08:55:01:756,328744,328744,0,0,16506834,0,3983 72,3,2024-09-07 08:55:01:758,1,260,11,0,564,4574,260,0 73,0,2024-09-07 08:55:01:120,62862,0.4,64362,0.6,132037,0.3,171265,2.00 73,1,2024-09-07 08:55:00:777,458680,458680,0,0,214316439177,2225955918,455589,2856,235,367,391750,0 73,2,2024-09-07 08:55:01:751,325760,325760,0,0,15775914,0,3701 73,3,2024-09-07 08:55:00:969,1,260,0,0,274,3748,260,0 74,0,2024-09-07 08:55:01:323,67418,0.4,68880,0.6,131441,0.4,178783,2.25 74,1,2024-09-07 08:55:00:648,457787,457787,0,0,213516745877,2228229409,453384,3604,799,381,391681,0 74,2,2024-09-07 08:55:01:002,328039,328039,0,0,15162443,0,4253 74,3,2024-09-07 08:55:01:445,1,260,0,0,522,3404,260,0 75,0,2024-09-07 08:55:01:773,67378,0.5,66963,0.8,134325,0.5,179312,2.25 75,1,2024-09-07 08:55:01:587,457295,457295,0,0,212801992004,2223986930,452363,4268,664,380,391660,0 75,2,2024-09-07 08:55:01:355,330712,330712,0,0,15661494,0,4766 75,3,2024-09-07 08:55:01:066,1,260,1,0,702,3764,260,0 76,0,2024-09-07 08:55:00:605,64701,0.7,64049,0.8,128495,0.7,172308,2.50 76,1,2024-09-07 08:55:00:814,458095,458095,0,0,213815148772,2229083695,454988,2556,551,382,391692,0 76,2,2024-09-07 08:55:01:062,331210,331210,0,0,12887433,0,3784 76,3,2024-09-07 08:55:01:157,1,260,2,0,175,2672,260,0 77,0,2024-09-07 08:55:01:717,63304,0.5,63579,0.7,126917,0.4,168497,1.75 77,1,2024-09-07 08:55:00:825,458628,458628,0,0,213947205541,2232391683,455176,3195,257,381,391808,0 77,2,2024-09-07 08:55:01:281,327376,327376,0,0,13473526,0,3890 77,3,2024-09-07 08:55:01:101,1,260,8,0,382,2863,260,0 78,0,2024-09-07 08:55:01:728,65079,0.4,64521,0.6,129905,0.3,172443,2.00 78,1,2024-09-07 08:55:00:615,458966,458966,0,0,212981788696,2209665482,455969,2678,319,367,391670,0 78,2,2024-09-07 08:55:01:405,326793,326793,0,0,12037791,0,3855 78,3,2024-09-07 08:55:01:146,1,260,4,0,181,2453,260,0 79,0,2024-09-07 08:55:01:362,65769,0.4,67473,0.6,137888,0.3,179283,2.25 79,1,2024-09-07 08:55:00:575,460095,460095,0,0,214462205745,2217697232,457249,2509,337,369,391682,0 79,2,2024-09-07 08:55:01:070,332470,332470,0,0,12237340,0,3212 79,3,2024-09-07 08:55:00:752,1,260,1,0,418,3768,260,0 80,0,2024-09-07 08:55:01:091,63188,0.6,65079,0.7,124553,0.6,168576,2.00 80,1,2024-09-07 08:55:01:618,458195,458195,0,0,213881278621,2224789268,455221,2804,170,368,391791,0 80,2,2024-09-07 08:55:01:091,331110,331110,0,0,12564792,0,4433 80,3,2024-09-07 08:55:00:576,1,260,1,0,190,3566,260,0 81,0,2024-09-07 08:55:01:556,63008,0.5,64691,0.6,123706,0.4,167210,1.75 81,1,2024-09-07 08:55:01:674,457293,457293,0,0,213284921446,2227941164,453450,3380,463,382,391879,0 81,2,2024-09-07 08:55:01:132,329153,329153,0,0,12901917,0,3993 81,3,2024-09-07 08:55:01:117,1,260,1,0,374,3037,260,0 82,0,2024-09-07 08:55:01:535,63609,0.4,64145,0.6,128295,0.3,170637,1.75 82,1,2024-09-07 08:55:00:594,458957,458953,0,4,214111723736,2228685927,455729,2613,611,381,391768,4 82,2,2024-09-07 08:55:01:694,326624,326624,0,0,12149969,0,3986 82,3,2024-09-07 08:55:01:752,1,260,63,0,363,2892,260,0 83,0,2024-09-07 08:55:01:527,68180,0.5,68112,0.7,135307,0.5,180941,2.00 83,1,2024-09-07 08:55:00:553,457800,457800,0,0,213984997425,2229555785,454622,2934,244,382,391709,0 83,2,2024-09-07 08:55:00:775,330326,330326,0,0,12220053,0,3119 83,3,2024-09-07 08:55:00:748,1,260,1,0,250,2898,260,0 84,0,2024-09-07 08:55:01:889,63444,0.8,63112,0.9,126495,0.8,169312,2.25 84,1,2024-09-07 08:55:01:049,457319,457319,0,0,213509263588,2230668432,452570,4171,578,367,391967,0 84,2,2024-09-07 08:55:00:586,331091,331091,0,0,14250156,0,3801 84,3,2024-09-07 08:55:01:153,1,260,1,0,908,3971,260,0 85,0,2024-09-07 08:55:01:022,62120,0.7,62131,0.8,131717,0.7,169922,2.50 85,1,2024-09-07 08:55:00:579,456936,456936,0,0,213057476045,2249057510,450092,5737,1107,381,392006,0 85,2,2024-09-07 08:55:00:875,330502,330502,0,0,15019238,0,3656 85,3,2024-09-07 08:55:00:693,1,260,1,0,789,3516,260,0 86,0,2024-09-07 08:55:00:950,63931,0.6,65702,0.7,125676,0.5,169199,2.00 86,1,2024-09-07 08:55:00:849,458148,458148,0,0,213419948907,2230134950,453159,4262,727,366,391961,0 86,2,2024-09-07 08:55:00:873,324514,324513,1,0,15798023,0,5004 86,3,2024-09-07 08:55:00:635,1,260,7,0,286,3404,260,0 87,0,2024-09-07 08:55:01:343,66747,0.8,66644,0.9,133803,1.0,179086,2.25 87,1,2024-09-07 08:55:00:625,458155,458155,0,0,213685122580,2232994170,453674,3997,484,366,391788,0 87,2,2024-09-07 08:55:01:070,328081,328081,0,0,14136539,0,4045 87,3,2024-09-07 08:55:01:806,1,260,1,0,473,3825,260,0 88,0,2024-09-07 08:55:01:496,65799,0.5,66139,0.6,132035,0.5,175395,2.00 88,1,2024-09-07 08:55:00:570,456645,456645,0,0,214015040509,2244363680,450456,4675,1514,365,392084,0 88,2,2024-09-07 08:55:00:708,331544,331544,0,0,16291374,0,3583 88,3,2024-09-07 08:55:01:286,1,260,1,0,435,3121,260,0 89,0,2024-09-07 08:55:01:831,67403,0.5,65120,0.7,129298,0.5,175508,1.75 89,1,2024-09-07 08:55:00:560,456002,456002,0,0,213540101373,2250887999,449076,5704,1222,382,391866,0 89,2,2024-09-07 08:55:01:141,328985,328985,0,0,15525105,0,2910 89,3,2024-09-07 08:55:01:830,1,260,12,0,325,4894,260,0 90,0,2024-09-07 08:55:01:798,62145,0.4,63446,0.6,130108,0.4,169628,1.75 90,1,2024-09-07 08:55:00:597,458125,458125,0,0,213690255928,2237984070,453987,3860,278,381,391825,0 90,2,2024-09-07 08:55:01:406,325041,325041,0,0,16718252,0,3060 90,3,2024-09-07 08:55:00:950,1,260,2,0,200,2746,260,0 91,0,2024-09-07 08:55:01:159,65929,0.4,63953,0.6,133630,0.4,175462,1.75 91,1,2024-09-07 08:55:00:577,456848,456848,0,0,213095563445,2239727397,450958,5196,694,381,392047,0 91,2,2024-09-07 08:55:01:386,326853,326853,0,0,14928495,0,2896 91,3,2024-09-07 08:55:00:619,1,260,17,0,216,2419,260,0 92,0,2024-09-07 08:55:01:491,69036,0.5,70699,0.6,135110,0.5,183499,1.75 92,1,2024-09-07 08:55:00:581,457899,457899,0,0,214017681855,2230010524,455333,2160,406,382,392136,0 92,2,2024-09-07 08:55:01:388,333335,333335,0,0,12684085,0,2801 92,3,2024-09-07 08:55:01:009,1,260,3,0,167,2050,260,0 93,0,2024-09-07 08:55:01:013,64532,0.4,66252,0.6,126660,0.4,172031,1.75 93,1,2024-09-07 08:55:00:820,458173,458173,0,0,213651719339,2228691056,453686,3699,788,366,391692,0 93,2,2024-09-07 08:55:00:938,330259,330259,0,0,13529275,0,2797 93,3,2024-09-07 08:55:01:405,1,260,12,0,190,2651,260,0 94,0,2024-09-07 08:55:01:772,62607,0.3,63416,0.5,126290,0.3,167188,1.75 94,1,2024-09-07 08:55:00:569,458066,458066,0,0,213941020722,2233565561,454770,3117,179,381,391850,0 94,2,2024-09-07 08:55:00:766,328187,328187,0,0,12516943,0,2443 94,3,2024-09-07 08:55:01:726,1,260,14,0,264,3332,260,0 95,0,2024-09-07 08:55:01:450,64038,0.3,63717,0.5,128502,0.3,170247,1.75 95,1,2024-09-07 08:55:00:880,458755,458755,0,0,214033173827,2223634534,455174,3205,376,367,391713,0 95,2,2024-09-07 08:55:01:018,325443,325443,0,0,12808240,0,3308 95,3,2024-09-07 08:55:01:722,1,260,2,0,718,4533,260,0 96,0,2024-09-07 08:55:01:115,68603,0.4,68836,0.5,137345,0.3,182103,1.75 96,1,2024-09-07 08:55:01:583,457662,457662,0,0,213703304802,2230399378,454080,2848,734,384,391894,0 96,2,2024-09-07 08:55:01:282,331070,331070,0,0,13454639,0,4042 96,3,2024-09-07 08:55:01:156,1,260,30,0,411,3060,260,0 97,0,2024-09-07 08:55:01:320,62820,0.4,62629,0.5,125803,0.3,166886,1.75 97,1,2024-09-07 08:55:00:780,459387,459387,0,0,214688002649,2225472582,456340,2490,557,367,392140,0 97,2,2024-09-07 08:55:00:619,331361,331361,0,0,12734872,0,3036 97,3,2024-09-07 08:55:00:569,1,260,3,0,214,2973,260,0 98,0,2024-09-07 08:55:01:730,63537,0.3,63564,0.4,127792,0.2,169137,1.50 98,1,2024-09-07 08:55:00:593,458645,458645,0,0,213992522944,2225810371,456496,2048,101,382,391997,0 98,2,2024-09-07 08:55:00:910,329635,329635,0,0,12742891,0,3080 98,3,2024-09-07 08:55:00:729,1,260,3,0,840,4584,260,0 99,0,2024-09-07 08:55:01:599,63690,0.3,63964,0.4,127557,0.2,169912,1.50 99,1,2024-09-07 08:55:01:797,458752,458752,0,0,213997386765,2229617327,454962,3050,740,381,391744,0 99,2,2024-09-07 08:55:01:425,327863,327863,0,0,13410329,0,3106 99,3,2024-09-07 08:55:00:586,1,260,8,0,168,1952,260,0 100,0,2024-09-07 08:55:01:471,67727,0.6,67634,0.9,135245,0.7,181162,2.25 100,1,2024-09-07 08:55:00:555,455868,455868,0,0,213162467674,2251881933,449017,5533,1318,381,391989,0 100,2,2024-09-07 08:55:01:826,328367,328356,11,0,14979928,0,5417 100,3,2024-09-07 08:55:01:737,1,260,13,0,559,4952,260,0 101,0,2024-09-07 08:55:01:750,65810,1.7,64075,1.2,125838,1.9,172680,2.50 101,1,2024-09-07 08:55:00:558,455856,455856,0,0,212926677100,2242676500,448499,5586,1771,368,391769,0 101,2,2024-09-07 08:55:01:784,330589,330589,0,0,16228177,0,4644 101,3,2024-09-07 08:55:00:949,1,260,2,0,448,3279,260,0 102,0,2024-09-07 08:55:01:005,63573,0.7,65854,0.8,132629,0.7,173628,2.00 102,1,2024-09-07 08:55:01:151,457206,457206,0,0,213588515559,2242045429,451547,4818,841,369,391883,0 102,2,2024-09-07 08:55:01:741,330424,330370,54,0,14823837,0,6768 102,3,2024-09-07 08:55:01:616,1,260,0,0,410,2757,260,0 103,0,2024-09-07 08:55:01:602,66475,0.4,66401,0.6,125411,0.4,172555,1.75 103,1,2024-09-07 08:55:01:641,456349,456349,0,0,213315150304,2246140869,450051,4917,1381,381,391829,0 103,2,2024-09-07 08:55:00:586,324935,324935,0,0,13948189,0,2582 103,3,2024-09-07 08:55:00:762,1,260,1,0,916,3590,260,0 104,0,2024-09-07 08:55:01:011,66313,0.7,66716,0.9,132306,0.7,178780,2.25 104,1,2024-09-07 08:55:01:607,457733,457733,0,0,213077597579,2239866600,451054,5584,1095,365,392168,0 104,2,2024-09-07 08:55:01:685,327007,327007,0,0,15833057,0,3941 104,3,2024-09-07 08:55:01:419,1,260,24,0,1245,6499,260,0 105,0,2024-09-07 08:55:01:044,66535,1.1,64601,1.2,135154,1.6,177978,3.25 105,1,2024-09-07 08:55:00:567,457810,457810,0,0,214080790765,2245846544,451494,5337,979,366,391797,0 105,2,2024-09-07 08:55:01:324,331192,331192,0,0,15318811,0,3509 105,3,2024-09-07 08:55:01:312,1,260,1,0,399,4296,260,0 106,0,2024-09-07 08:55:01:173,62607,1.0,64158,1.0,131421,1.1,172000,2.75 106,1,2024-09-07 08:55:01:759,457778,457778,0,0,213660999393,2244552792,450669,6350,759,369,391767,0 106,2,2024-09-07 08:55:00:757,328260,328260,0,0,14809064,0,2795 106,3,2024-09-07 08:55:00:688,1,260,14,0,470,3645,260,0 107,0,2024-09-07 08:55:01:126,63226,0.7,63202,0.9,126335,0.8,168270,2.00 107,1,2024-09-07 08:55:00:621,456261,456261,0,0,212546289157,2235466089,450933,4779,549,381,392234,0 107,2,2024-09-07 08:55:01:293,326766,326765,1,0,15505362,0,5024 107,3,2024-09-07 08:55:01:762,1,260,4,0,353,3485,260,0 108,0,2024-09-07 08:55:01:802,64802,0.4,65133,0.6,129348,0.3,173224,1.75 108,1,2024-09-07 08:55:01:293,457954,457954,0,0,214214171694,2229977905,454739,2830,385,368,391857,0 108,2,2024-09-07 08:55:01:758,325755,325755,0,0,13911479,0,2647 108,3,2024-09-07 08:55:01:358,1,260,6,0,749,5578,260,0 109,0,2024-09-07 08:55:01:829,68395,0.4,67640,0.5,135789,0.3,181568,1.75 109,1,2024-09-07 08:55:00:606,456400,456400,0,0,213547353389,2237509370,452605,3279,516,383,392132,0 109,2,2024-09-07 08:55:00:929,330211,330211,0,0,14377807,0,3617 109,3,2024-09-07 08:55:01:141,1,260,2,0,249,3026,260,0 110,0,2024-09-07 08:55:01:818,63376,0.4,61731,0.6,129184,0.4,169298,1.75 110,1,2024-09-07 08:55:01:663,459458,459458,0,0,214677232490,2227692743,456312,2269,877,369,392045,0 110,2,2024-09-07 08:55:01:306,330846,330846,0,0,13653401,0,3264 110,3,2024-09-07 08:55:00:695,1,260,1,0,406,3430,260,0 111,0,2024-09-07 08:55:01:413,63446,0.3,62996,0.5,125886,0.3,168564,1.75 111,1,2024-09-07 08:55:01:001,459428,459428,0,0,214766920119,2224850403,457436,1656,336,382,391690,0 111,2,2024-09-07 08:55:01:116,328700,328700,0,0,12951529,0,2763 111,3,2024-09-07 08:55:00:913,1,260,1,0,379,3440,260,0 112,0,2024-09-07 08:55:00:959,64173,0.3,64068,0.4,128169,0.2,170724,1.50 112,1,2024-09-07 08:55:00:830,459380,459380,0,0,213798176260,2216101607,456961,1991,428,380,391580,0 112,2,2024-09-07 08:55:01:136,325665,325664,1,0,13079706,0,5036 112,3,2024-09-07 08:55:00:606,1,260,14,0,282,2712,260,0 113,0,2024-09-07 08:55:00:869,67728,0.3,67623,0.5,136283,0.2,181961,1.50 113,1,2024-09-07 08:55:01:692,460257,460257,0,0,214864914843,2216676752,457753,2014,490,366,391661,0 113,2,2024-09-07 08:55:01:305,331585,331585,0,0,11975055,0,3813 113,3,2024-09-07 08:55:00:689,1,260,101,0,340,3730,260,0 114,0,2024-09-07 08:55:00:892,64188,0.4,64649,0.5,128251,0.3,171262,1.75 114,1,2024-09-07 08:55:00:716,458386,458386,0,0,214366085748,2231775722,453575,3222,1589,381,391556,0 114,2,2024-09-07 08:55:00:880,331038,331037,1,0,13024628,0,5069 114,3,2024-09-07 08:55:01:277,1,260,1,0,395,2693,260,0 115,0,2024-09-07 08:55:00:572,64450,0.3,64758,0.4,129494,0.2,172025,1.50 115,1,2024-09-07 08:55:00:575,458386,458386,0,0,214282812865,2228330608,454009,3541,836,382,391639,0 115,2,2024-09-07 08:55:01:129,330483,330483,0,0,11357591,0,2152 115,3,2024-09-07 08:55:01:006,1,260,2,0,159,1386,260,0 116,0,2024-09-07 08:55:01:744,63682,0.6,63649,0.8,127350,0.6,169789,2.00 116,1,2024-09-07 08:55:00:814,455628,455628,0,0,212824307665,2249461643,449222,4351,2055,380,391782,0 116,2,2024-09-07 08:55:01:758,324718,324718,0,0,16161368,0,3529 116,3,2024-09-07 08:55:00:927,1,260,7,0,415,3660,260,0 117,0,2024-09-07 08:55:01:005,66997,0.7,66767,0.8,133762,0.7,179129,2.00 117,1,2024-09-07 08:55:01:588,457373,457373,0,0,213178296812,2229557534,452664,4229,480,370,392033,0 117,2,2024-09-07 08:55:01:118,330964,330964,0,0,13357039,0,3700 117,3,2024-09-07 08:55:01:062,1,260,1,0,490,4038,260,0 118,0,2024-09-07 08:55:01:777,63992,0.6,65673,0.7,134022,0.6,174625,2.00 118,1,2024-09-07 08:55:00:623,457288,457288,0,0,212799994397,2235700116,450910,4944,1434,366,391736,0 118,2,2024-09-07 08:55:01:591,330854,330854,0,0,14592553,0,2781 118,3,2024-09-07 08:55:01:773,1,260,1,0,235,2913,260,0 119,0,2024-09-07 08:55:01:601,65067,0.8,65508,0.9,131204,1.0,174422,2.25 119,1,2024-09-07 08:55:00:617,458413,458413,0,0,213522408540,2229183099,453751,4139,523,367,391641,0 119,2,2024-09-07 08:55:02:048,330137,330137,0,0,13799556,0,4174 119,3,2024-09-07 08:55:01:376,1,260,61,0,443,3556,260,0 120,0,2024-09-07 08:55:01:639,63634,0.5,63464,0.7,127189,0.5,170162,2.00 120,1,2024-09-07 08:55:00:866,457855,457855,0,0,213134550391,2234273828,453160,4344,351,368,391961,0 120,2,2024-09-07 08:55:00:782,326014,326013,1,0,16465459,0,5281 120,3,2024-09-07 08:55:01:305,1,260,32,0,241,3302,260,0 121,0,2024-09-07 08:55:01:738,65743,0.8,65709,0.9,131837,1.0,175541,2.00 121,1,2024-09-07 08:55:01:679,457914,457914,0,0,213255194047,2231125167,453295,4203,416,367,391840,0 121,2,2024-09-07 08:55:01:133,326339,326339,0,0,15711813,0,4127 121,3,2024-09-07 08:55:00:734,1,260,1,0,269,2922,260,0 122,0,2024-09-07 08:55:01:775,68141,0.8,66489,0.9,139344,1.0,183447,2.00 122,1,2024-09-07 08:55:00:871,456990,456990,0,0,213532163526,2239880347,450921,5252,817,366,392130,0 122,2,2024-09-07 08:55:01:324,330483,330410,73,0,18382382,0,5989 122,3,2024-09-07 08:55:00:600,1,260,2,0,411,5034,260,0 123,0,2024-09-07 08:55:00:962,64009,0.9,62547,0.9,130556,1.1,171293,2.25 123,1,2024-09-07 08:55:00:557,457583,457583,0,0,213389810007,2250120600,448908,6959,1716,369,392039,0 123,2,2024-09-07 08:55:01:024,327330,327329,1,0,15212497,0,5215 123,3,2024-09-07 08:55:01:136,1,260,1,0,168,3104,260,0 124,0,2024-09-07 08:55:00:968,64900,0.3,64855,0.5,122491,0.3,167878,1.75 124,1,2024-09-07 08:55:01:029,459096,459096,0,0,213065080094,2213127770,456191,2541,364,367,392178,0 124,2,2024-09-07 08:55:01:009,328916,328916,0,0,12305720,0,3101 124,3,2024-09-07 08:55:00:765,1,260,7,0,490,2851,260,0 125,0,2024-09-07 08:55:01:443,64051,0.3,63828,0.5,128427,0.3,170374,1.75 125,1,2024-09-07 08:55:00:863,457623,457623,0,0,213660977007,2227715147,454524,2704,395,383,391702,0 125,2,2024-09-07 08:55:01:118,326502,326502,0,0,13334640,0,4534 125,3,2024-09-07 08:55:01:127,1,260,2,0,709,3589,260,0 126,0,2024-09-07 08:55:01:426,68614,0.5,70468,0.6,134637,0.5,183053,1.75 126,1,2024-09-07 08:55:00:555,459304,459304,0,0,214167055314,2218649436,456584,2456,264,365,391987,0 126,2,2024-09-07 08:55:00:625,332258,332258,0,0,13683415,0,3186 126,3,2024-09-07 08:55:00:914,1,260,1,0,183,3514,260,0 127,0,2024-09-07 08:55:01:591,62723,0.4,63003,0.5,125532,0.3,167125,1.75 127,1,2024-09-07 08:55:00:575,459136,459136,0,0,214531075291,2222209634,456170,2813,153,365,391816,0 127,2,2024-09-07 08:55:00:642,331149,331149,0,0,12316262,0,2264 127,3,2024-09-07 08:55:01:267,1,260,1,0,968,3008,260,0 128,0,2024-09-07 08:55:01:546,64045,0.3,63950,0.4,127724,0.2,169546,1.50 128,1,2024-09-07 08:55:01:611,458244,458244,0,0,214337529970,2221574520,456068,2019,157,367,391798,0 128,2,2024-09-07 08:55:01:384,330243,330243,0,0,12136807,0,2434 128,3,2024-09-07 08:55:00:781,1,260,31,0,1082,5417,260,0 129,0,2024-09-07 08:55:01:003,64261,0.3,63979,0.4,127948,0.2,169632,1.50 129,1,2024-09-07 08:55:00:566,456491,456491,0,0,213123836195,2232236419,452328,3232,931,379,391835,0 129,2,2024-09-07 08:55:00:696,326158,326158,0,0,13045450,0,4031 129,3,2024-09-07 08:55:00:695,1,260,13,0,469,3502,260,0 130,0,2024-09-07 08:55:01:725,68318,0.5,67915,0.6,136209,0.5,182126,1.75 130,1,2024-09-07 08:55:00:586,458992,458992,0,0,213477981413,2219722259,456549,2364,79,381,391825,0 130,2,2024-09-07 08:55:01:141,330886,330886,0,0,12739641,0,4067 130,3,2024-09-07 08:55:01:303,1,260,1,0,450,3390,260,0 131,0,2024-09-07 08:55:01:932,64431,0.4,64806,0.5,130450,0.3,172436,1.75 131,1,2024-09-07 08:55:01:826,458858,458858,0,0,213890009930,2228580271,455804,2596,458,381,391865,0 131,2,2024-09-07 08:55:00:594,333384,333384,0,0,11689192,0,2415 131,3,2024-09-07 08:55:01:696,1,260,1,0,392,2581,260,0 132,0,2024-09-07 08:55:01:462,64932,0.5,65861,0.7,131119,0.5,174031,2.00 132,1,2024-09-07 08:55:00:585,456472,456472,0,0,212944780763,2243920765,449457,5761,1254,381,392097,0 132,2,2024-09-07 08:55:00:698,329835,329835,0,0,15505040,0,4606 132,3,2024-09-07 08:55:01:691,1,260,6,0,356,3590,260,0 133,0,2024-09-07 08:55:01:556,63003,0.4,64473,0.5,131863,0.3,171305,1.75 133,1,2024-09-07 08:55:00:584,457057,457057,0,0,213181821028,2242013835,451568,4820,669,383,391914,0 133,2,2024-09-07 08:55:01:087,324711,324711,0,0,16586292,0,4315 133,3,2024-09-07 08:55:01:304,1,260,1,0,276,2559,260,0 134,0,2024-09-07 08:55:00:941,66960,0.4,66893,0.6,133923,0.4,178849,2.00 134,1,2024-09-07 08:55:00:585,456362,456362,0,0,213036819577,2235899414,449900,4992,1470,366,391718,0 134,2,2024-09-07 08:55:01:789,327710,327710,0,0,13877788,0,3847 134,3,2024-09-07 08:55:00:751,1,260,6,0,739,3822,260,0 135,0,2024-09-07 08:55:01:097,65008,0.8,64993,0.9,137885,0.9,177740,2.25 135,1,2024-09-07 08:55:01:601,456638,456638,0,0,214010999586,2250133372,450436,5204,998,380,391805,0 135,2,2024-09-07 08:55:00:693,332069,332069,0,0,15430021,0,3981 135,3,2024-09-07 08:55:01:006,1,260,3,0,299,1866,260,0 136,0,2024-09-07 08:55:01:617,65355,0.6,65292,0.8,130206,0.6,173993,2.25 136,1,2024-09-07 08:55:01:447,456749,456749,0,0,212941454569,2237136294,451209,4954,586,382,391685,0 136,2,2024-09-07 08:55:01:148,330071,330071,0,0,14927671,0,3506 136,3,2024-09-07 08:55:01:106,1,260,1,0,150,2146,260,0 137,0,2024-09-07 08:55:00:926,65156,0.6,63314,0.7,124913,0.6,169465,2.00 137,1,2024-09-07 08:55:00:581,457049,457049,0,0,213251994959,2237050052,450097,5535,1417,366,391708,0 137,2,2024-09-07 08:55:01:713,326624,326624,0,0,16451687,0,3185 137,3,2024-09-07 08:55:00:773,1,260,1,0,382,3292,260,0 138,0,2024-09-07 08:55:01:750,64381,0.7,64342,0.9,129467,0.8,172827,2.00 138,1,2024-09-07 08:55:01:689,456879,456879,0,0,214060127989,2246876879,449906,5840,1133,368,391954,0 138,2,2024-09-07 08:55:00:594,326346,326346,0,0,15136161,0,4988 138,3,2024-09-07 08:55:00:621,1,260,2,0,1160,3884,260,0 139,0,2024-09-07 08:55:01:386,66962,1.8,67245,1.2,134556,2.6,179848,2.50 139,1,2024-09-07 08:55:00:575,455396,455396,0,0,212347036326,2255093185,446856,6423,2117,381,391892,0 139,2,2024-09-07 08:55:00:697,329318,329318,0,0,16057421,0,3097 139,3,2024-09-07 08:55:01:665,1,260,1,0,257,2850,260,0 140,0,2024-09-07 08:55:01:589,63768,0.3,63213,0.5,127053,0.2,169568,1.75 140,1,2024-09-07 08:55:01:549,460256,460256,0,0,215006449674,2214576944,458275,1739,242,365,391606,0 140,2,2024-09-07 08:55:00:686,330798,330798,0,0,12603556,0,3388 140,3,2024-09-07 08:55:00:774,1,260,1,0,247,2161,260,0 141,0,2024-09-07 08:55:01:701,63174,0.3,64971,0.4,124226,0.2,168524,1.50 141,1,2024-09-07 08:55:00:866,459435,459435,0,0,214616258415,2225344518,456864,2163,408,379,391614,0 141,2,2024-09-07 08:55:01:693,329178,329178,0,0,12138455,0,2342 141,3,2024-09-07 08:55:01:051,1,260,1,0,202,2375,260,0 142,0,2024-09-07 08:55:01:343,64797,0.3,64108,0.4,127527,0.2,171098,1.50 142,1,2024-09-07 08:55:00:584,458712,458712,0,0,213324387690,2223243990,455879,2568,265,382,392102,0 142,2,2024-09-07 08:55:01:300,325636,325604,32,0,13959740,0,6028 142,3,2024-09-07 08:55:01:745,1,260,12,0,484,3225,260,0 143,0,2024-09-07 08:55:01:394,68092,0.5,68037,0.6,136605,0.5,181795,1.75 143,1,2024-09-07 08:55:00:557,458712,458712,0,0,213880351386,2217104479,455953,2663,96,367,391651,0 143,2,2024-09-07 08:55:00:774,330349,330349,0,0,13224187,0,2669 143,3,2024-09-07 08:55:01:152,1,260,5,0,303,3329,260,0 144,0,2024-09-07 08:55:01:517,61784,0.6,63345,0.9,129279,0.6,169419,2.00 144,1,2024-09-07 08:55:00:569,456506,456506,0,0,213064562790,2234998263,452461,3120,925,381,391649,0 144,2,2024-09-07 08:55:01:762,331746,331746,0,0,12468337,0,3473 144,3,2024-09-07 08:55:01:745,1,260,2,0,249,2996,260,0 145,0,2024-09-07 08:55:01:362,62135,0.6,62097,0.8,131838,0.5,169992,2.25 145,1,2024-09-07 08:55:00:569,456071,456071,0,0,213676928750,2244682526,450570,4678,823,382,391698,0 145,2,2024-09-07 08:55:01:430,328997,328997,0,0,14681484,0,3903 145,3,2024-09-07 08:55:00:898,1,260,0,0,622,4122,260,0 146,0,2024-09-07 08:55:01:625,63819,0.5,63284,0.7,127596,0.4,169000,2.00 146,1,2024-09-07 08:55:01:595,456771,456771,0,0,213120148747,2244895462,448488,6436,1847,368,391770,0 146,2,2024-09-07 08:55:01:707,324803,324803,0,0,14831706,0,2730 146,3,2024-09-07 08:55:01:285,1,260,4,0,1520,5947,260,0 147,0,2024-09-07 08:55:01:701,67011,0.5,66813,0.7,132831,0.5,178944,2.00 147,1,2024-09-07 08:55:01:372,459242,459242,0,0,214482321679,2230190025,455139,3518,585,368,391791,0 147,2,2024-09-07 08:55:01:009,330350,330350,0,0,12687133,0,2789 147,3,2024-09-07 08:55:00:924,1,260,1,0,730,3932,260,0 0,0,2024-09-07 08:55:11:733,62223,0.4,62304,0.7,131961,0.4,170984,1.75 0,1,2024-09-07 08:55:10:804,459749,459749,0,0,214601610957,2243091862,456497,3046,206,370,391772,0 0,2,2024-09-07 08:55:11:067,327296,327296,0,0,13178516,0,4480 0,3,2024-09-07 08:55:10:982,1,261,1,0,319,3444,261,0 1,0,2024-09-07 08:55:11:747,66263,0.8,65836,0.9,132248,0.9,176632,2.00 1,1,2024-09-07 08:55:10:572,459394,459394,0,0,213705771994,2237679559,454895,3446,1053,371,391857,0 1,2,2024-09-07 08:55:10:643,327944,327944,0,0,12270971,0,3267 1,3,2024-09-07 08:55:11:307,1,261,1,0,262,3009,261,0 2,0,2024-09-07 08:55:11:567,68798,0.7,69040,0.8,136765,1.0,183409,2.00 2,1,2024-09-07 08:55:10:887,460032,460032,0,0,215416766863,2235829564,457924,1890,218,380,391745,0 2,2,2024-09-07 08:55:11:266,333535,333535,0,0,12782931,0,3594 2,3,2024-09-07 08:55:10:718,1,261,1,0,357,2513,261,0 3,0,2024-09-07 08:55:11:753,64479,0.5,64571,0.6,128783,0.4,171693,2.00 3,1,2024-09-07 08:55:11:620,459699,459699,0,0,214900380928,2237053448,455858,3379,462,380,391556,0 3,2,2024-09-07 08:55:11:145,330888,330865,23,0,12999029,0,5851 3,3,2024-09-07 08:55:11:752,1,261,3,0,103,1543,261,0 4,0,2024-09-07 08:55:11:775,61149,0.4,62931,0.5,128029,0.3,167632,1.75 4,1,2024-09-07 08:55:10:803,459401,459401,0,0,214412404310,2249976855,454656,3954,791,371,391846,0 4,2,2024-09-07 08:55:11:019,328230,328230,0,0,15280874,0,4528 4,3,2024-09-07 08:55:11:029,1,261,50,0,448,3975,261,0 5,0,2024-09-07 08:55:11:455,64270,0.4,64546,0.5,128206,0.3,171260,1.75 5,1,2024-09-07 08:55:10:756,459205,459205,0,0,213784073210,2246023860,453706,4387,1112,368,392005,0 5,2,2024-09-07 08:55:11:830,326129,326129,0,0,13877571,0,2432 5,3,2024-09-07 08:55:11:732,1,261,7,0,457,3872,261,0 6,0,2024-09-07 08:55:10:923,69293,0.5,68701,0.7,137242,0.5,183582,2.00 6,1,2024-09-07 08:55:10:756,459453,459453,0,0,214137747753,2236103393,454777,3832,844,379,391694,0 6,2,2024-09-07 08:55:11:118,331922,331922,0,0,13908757,0,4816 6,3,2024-09-07 08:55:11:278,1,261,1,0,340,2984,261,0 7,0,2024-09-07 08:55:11:553,62683,0.5,62866,0.7,125331,0.5,166996,2.00 7,1,2024-09-07 08:55:10:850,459187,459187,0,0,214531389575,2248737961,453284,4900,1003,382,391747,0 7,2,2024-09-07 08:55:10:770,331038,331038,0,0,13716192,0,4791 7,3,2024-09-07 08:55:10:856,1,261,10,0,398,3148,261,0 8,0,2024-09-07 08:55:11:387,63848,0.4,63834,0.5,127102,0.3,169591,1.75 8,1,2024-09-07 08:55:11:026,457953,457953,0,0,214440013454,2260264179,449654,6280,2019,366,391956,0 8,2,2024-09-07 08:55:10:803,326915,326915,0,0,16577799,0,3220 8,3,2024-09-07 08:55:10:618,1,261,9,0,357,3878,261,0 9,0,2024-09-07 08:55:11:302,64169,0.3,62178,0.5,129984,0.3,170601,1.50 9,1,2024-09-07 08:55:10:608,458301,458301,0,0,213660474151,2250826425,451808,4951,1542,370,392001,0 9,2,2024-09-07 08:55:11:091,326418,326418,0,0,14600119,0,3360 9,3,2024-09-07 08:55:11:758,1,261,3,0,496,3861,261,0 10,0,2024-09-07 08:55:11:612,68584,0.3,67962,0.5,136642,0.2,182579,1.75 10,1,2024-09-07 08:55:10:593,458878,458878,0,0,213799263124,2240439569,452516,5434,928,381,391741,0 10,2,2024-09-07 08:55:10:767,331079,331079,0,0,16296494,0,4264 10,3,2024-09-07 08:55:10:877,1,261,0,0,177,2107,261,0 11,0,2024-09-07 08:55:11:013,64251,0.5,62438,0.7,130538,0.5,172569,2.00 11,1,2024-09-07 08:55:10:575,459585,459585,0,0,214282142620,2253140812,451366,6238,1981,383,391537,0 11,2,2024-09-07 08:55:11:126,332574,332574,0,0,15200140,0,4130 11,3,2024-09-07 08:55:11:299,1,261,1,0,843,4240,261,0 12,0,2024-09-07 08:55:11:026,65827,0.3,65700,0.5,131398,0.3,174351,1.75 12,1,2024-09-07 08:55:10:939,459146,459146,0,0,214031824117,2230298445,455621,3103,422,370,391870,0 12,2,2024-09-07 08:55:11:552,331387,331387,0,0,14233482,0,3469 12,3,2024-09-07 08:55:11:059,1,261,2,0,386,4271,261,0 13,0,2024-09-07 08:55:11:360,64983,0.3,64788,0.5,129481,0.3,171912,1.50 13,1,2024-09-07 08:55:11:536,459609,459609,0,0,214033829216,2244286720,456057,3011,541,382,391717,0 13,2,2024-09-07 08:55:10:594,327705,327705,0,0,13236838,0,3287 13,3,2024-09-07 08:55:11:762,1,261,3,0,467,3951,261,0 14,0,2024-09-07 08:55:10:570,67363,0.3,67964,0.5,134670,0.3,179583,1.75 14,1,2024-09-07 08:55:11:565,462366,462366,0,0,215287985482,2226700172,459535,2642,189,364,391571,0 14,2,2024-09-07 08:55:10:770,329243,329243,0,0,13330377,0,2896 14,3,2024-09-07 08:55:11:117,1,261,0,0,1168,3572,261,0 15,0,2024-09-07 08:55:11:552,67229,0.5,67411,0.7,134466,0.5,178753,2.00 15,1,2024-09-07 08:55:11:609,460333,460333,0,0,214809160753,2232052280,457811,2344,178,381,391619,0 15,2,2024-09-07 08:55:10:999,333962,333962,0,0,11458233,0,3043 15,3,2024-09-07 08:55:11:409,1,261,0,0,1126,5365,261,0 16,0,2024-09-07 08:55:10:984,65311,0.7,65584,0.8,130545,0.7,174161,2.25 16,1,2024-09-07 08:55:10:576,460491,460491,0,0,214422802734,2238733672,456927,3264,300,370,391756,0 16,2,2024-09-07 08:55:11:441,330797,330797,0,0,13918401,0,4719 16,3,2024-09-07 08:55:11:151,1,261,9,0,317,3563,261,0 17,0,2024-09-07 08:55:11:760,65522,0.5,63924,0.7,125141,0.4,170217,1.75 17,1,2024-09-07 08:55:10:582,459021,459021,0,0,213951287441,2244904380,454159,3757,1105,368,391845,0 17,2,2024-09-07 08:55:11:678,330744,330744,0,0,13054233,0,2857 17,3,2024-09-07 08:55:10:605,1,261,9,0,268,4067,261,0 18,0,2024-09-07 08:55:10:961,64598,0.6,64748,0.8,129334,0.6,172911,2.25 18,1,2024-09-07 08:55:11:638,460483,460483,0,0,214264538226,2219487113,457972,2269,242,367,391649,0 18,2,2024-09-07 08:55:11:758,329204,329204,0,0,12892401,0,3541 18,3,2024-09-07 08:55:10:896,1,261,1,0,163,2543,261,0 19,0,2024-09-07 08:55:11:540,67819,0.6,68505,0.8,135757,0.6,180945,2.00 19,1,2024-09-07 08:55:10:572,460399,460399,0,0,215919472362,2242281174,455738,3874,787,367,391777,0 19,2,2024-09-07 08:55:11:752,334790,334790,0,0,11855632,0,3988 19,3,2024-09-07 08:55:11:136,1,261,3,0,524,1903,261,0 20,0,2024-09-07 08:55:11:403,63432,0.7,63406,0.8,127092,0.7,169793,2.25 20,1,2024-09-07 08:55:10:576,459182,459182,0,0,215126671828,2248210007,455358,3426,398,369,391886,0 20,2,2024-09-07 08:55:10:929,331463,331463,0,0,13444208,0,3721 20,3,2024-09-07 08:55:10:597,1,261,5,0,414,4641,261,0 21,0,2024-09-07 08:55:11:160,63435,0.5,63640,0.6,126918,0.4,168238,2.00 21,1,2024-09-07 08:55:11:551,458241,458241,0,0,213668680695,2247440924,452515,4467,1259,368,391962,0 21,2,2024-09-07 08:55:11:095,328890,328890,0,0,15224004,0,3747 21,3,2024-09-07 08:55:11:418,1,261,1,0,103,2654,261,0 22,0,2024-09-07 08:55:11:720,64111,0.5,64202,0.7,128134,0.5,170587,2.00 22,1,2024-09-07 08:55:11:028,458683,458683,0,0,213992871949,2250966946,451451,5590,1642,382,391667,0 22,2,2024-09-07 08:55:10:768,326653,326653,0,0,13318993,0,3134 22,3,2024-09-07 08:55:11:075,1,261,2,0,228,2352,261,0 23,0,2024-09-07 08:55:11:368,68169,0.5,67851,0.7,136484,0.5,182183,2.25 23,1,2024-09-07 08:55:11:006,459664,459664,0,0,214375481677,2248952094,452353,4760,2551,365,391690,0 23,2,2024-09-07 08:55:11:093,332168,332168,0,0,12917444,0,3010 23,3,2024-09-07 08:55:11:757,1,261,0,0,645,3307,261,0 24,0,2024-09-07 08:55:10:925,64444,0.4,64331,0.6,128947,0.4,171145,1.75 24,1,2024-09-07 08:55:10:608,458758,458758,0,0,213749024421,2241644341,453143,4133,1482,368,391987,0 24,2,2024-09-07 08:55:11:095,330568,330568,0,0,16088584,0,3607 24,3,2024-09-07 08:55:11:686,1,261,1,0,468,3802,261,0 25,0,2024-09-07 08:55:11:418,66092,0.5,64381,0.7,126505,0.4,172315,2.00 25,1,2024-09-07 08:55:10:568,459685,459685,0,0,214411336923,2242966571,455335,3796,554,371,391788,0 25,2,2024-09-07 08:55:11:609,329689,329689,0,0,16180973,0,3978 25,3,2024-09-07 08:55:11:009,1,261,4,0,255,2804,261,0 26,0,2024-09-07 08:55:11:725,63688,0.4,62272,0.6,130488,0.3,169722,1.75 26,1,2024-09-07 08:55:11:548,459062,459062,0,0,214247624048,2246461854,452071,5775,1216,381,391748,0 26,2,2024-09-07 08:55:10:887,326527,326527,0,0,15764593,0,2809 26,3,2024-09-07 08:55:11:712,1,261,2,0,796,3400,261,0 27,0,2024-09-07 08:55:11:727,67842,0.4,67936,0.6,134185,0.3,179943,2.00 27,1,2024-09-07 08:55:11:677,461134,461134,0,0,215215740954,2238076193,457679,2862,593,381,391558,0 27,2,2024-09-07 08:55:10:875,328516,328451,65,0,15954199,0,5699 27,3,2024-09-07 08:55:11:015,1,261,1,0,564,2791,261,0 28,0,2024-09-07 08:55:11:388,66024,0.5,65801,0.6,132210,0.4,175948,2.00 28,1,2024-09-07 08:55:10:797,460727,460727,0,0,215449521237,2241616575,457846,2410,471,383,391646,0 28,2,2024-09-07 08:55:11:764,333403,333403,0,0,12757662,0,2915 28,3,2024-09-07 08:55:11:776,1,261,1,0,502,2755,261,0 29,0,2024-09-07 08:55:11:358,67357,0.4,65846,0.6,128765,0.3,175762,1.75 29,1,2024-09-07 08:55:11:565,461569,461569,0,0,215312835631,2228412070,458343,2661,565,369,391621,0 29,2,2024-09-07 08:55:10:892,330491,330491,0,0,12170979,0,4018 29,3,2024-09-07 08:55:10:967,1,261,1,0,115,1883,261,0 30,0,2024-09-07 08:55:11:462,64037,0.5,62156,0.7,130018,0.4,170389,2.00 30,1,2024-09-07 08:55:10:576,461463,461463,0,0,215635507474,2233023504,459132,2038,293,382,391672,0 30,2,2024-09-07 08:55:11:275,327529,327529,0,0,11874163,0,3161 30,3,2024-09-07 08:55:10:581,1,261,1,0,519,2479,261,0 31,0,2024-09-07 08:55:11:784,65952,0.4,66121,0.6,132520,0.3,176699,1.75 31,1,2024-09-07 08:55:10:571,462897,462897,0,0,216437818159,2216543736,461505,1172,220,356,391712,0 31,2,2024-09-07 08:55:11:275,328546,328546,0,0,13538883,0,3525 31,3,2024-09-07 08:55:11:707,1,261,2,0,220,1975,261,0 32,0,2024-09-07 08:55:11:432,68632,0.4,69110,0.5,138036,0.3,183746,1.75 32,1,2024-09-07 08:55:10:805,461160,461160,0,0,214976598707,2228910007,459201,1680,279,381,391622,0 32,2,2024-09-07 08:55:10:945,333393,333393,0,0,11777906,0,3155 32,3,2024-09-07 08:55:11:020,1,261,1,0,227,2055,261,0 33,0,2024-09-07 08:55:11:503,64963,0.3,64331,0.5,129354,0.2,172586,1.75 33,1,2024-09-07 08:55:10:585,461314,461314,0,0,216469299349,2235031557,458699,2441,174,369,391730,0 33,2,2024-09-07 08:55:10:767,332147,332114,33,0,13415909,0,7012 33,3,2024-09-07 08:55:10:896,1,261,1,0,329,3106,261,0 34,0,2024-09-07 08:55:10:969,62984,0.3,64967,0.5,124528,0.2,167105,1.50 34,1,2024-09-07 08:55:11:059,462306,462306,0,0,216569101792,2226354411,461182,1117,7,367,391562,0 34,2,2024-09-07 08:55:10:778,329495,329495,0,0,12674280,0,3577 34,3,2024-09-07 08:55:11:688,1,261,1,0,299,2074,261,0 35,0,2024-09-07 08:55:10:911,63709,0.3,64107,0.5,128849,0.2,171751,1.75 35,1,2024-09-07 08:55:11:076,461226,461226,0,0,214605091135,2225074973,457880,2566,780,384,391589,0 35,2,2024-09-07 08:55:11:586,328071,328071,0,0,12414008,0,2653 35,3,2024-09-07 08:55:10:909,1,261,202,0,418,3249,261,0 36,0,2024-09-07 08:55:11:525,68962,0.5,68783,0.7,137992,0.5,183278,2.25 36,1,2024-09-07 08:55:10:597,460157,460157,0,0,213866749921,2235421439,454175,4403,1579,366,391759,0 36,2,2024-09-07 08:55:11:751,331884,331884,0,0,14231773,0,3875 36,3,2024-09-07 08:55:10:886,1,261,0,0,416,4054,261,0 37,0,2024-09-07 08:55:11:385,62527,0.5,62513,0.7,125253,0.5,167917,2.00 37,1,2024-09-07 08:55:10:577,459246,459239,0,7,214043883210,2241008057,453229,3942,2068,365,391560,0 37,2,2024-09-07 08:55:11:152,330867,330852,15,0,14543257,0,5815 37,3,2024-09-07 08:55:11:771,1,261,1,0,888,4492,261,0 38,0,2024-09-07 08:55:11:435,63100,0.5,61353,0.7,128496,0.4,167756,2.00 38,1,2024-09-07 08:55:11:604,460328,460328,0,0,215346073400,2245723619,455055,4597,676,368,391821,0 38,2,2024-09-07 08:55:10:758,329781,329734,47,0,14898698,0,6710 38,3,2024-09-07 08:55:10:997,1,261,0,0,689,3605,261,0 39,0,2024-09-07 08:55:11:762,65401,0.6,64220,0.7,124671,0.5,170649,2.00 39,1,2024-09-07 08:55:10:719,460256,460256,0,0,214736337822,2239655536,454696,4658,902,365,391594,0 39,2,2024-09-07 08:55:11:425,326277,326277,0,0,12889293,0,2689 39,3,2024-09-07 08:55:10:722,1,261,1,0,324,3443,261,0 40,0,2024-09-07 08:55:11:531,67607,0.7,68306,0.9,136489,0.7,182254,2.75 40,1,2024-09-07 08:55:10:587,459682,459682,0,0,213945600349,2241574717,452542,5697,1443,368,391591,0 40,2,2024-09-07 08:55:11:303,330983,330982,1,0,16297240,0,5137 40,3,2024-09-07 08:55:11:153,1,261,2,0,398,3194,261,0 41,0,2024-09-07 08:55:11:034,64032,1.9,65544,1.4,124974,3.0,170780,3.75 41,1,2024-09-07 08:55:10:771,459820,459820,0,0,214460898047,2241271705,454277,4908,635,370,391742,0 41,2,2024-09-07 08:55:10:769,331189,331189,0,0,15515753,0,3356 41,3,2024-09-07 08:55:11:676,1,261,0,0,366,3037,261,0 42,0,2024-09-07 08:55:11:506,64539,0.8,64734,1.0,129638,0.9,170897,2.50 42,1,2024-09-07 08:55:11:439,458495,458495,0,0,213630686927,2244758959,451210,5833,1452,380,391675,0 42,2,2024-09-07 08:55:11:145,331108,331108,0,0,14811994,0,3568 42,3,2024-09-07 08:55:11:017,1,261,1,0,446,2372,261,0 43,0,2024-09-07 08:55:10:923,64197,0.6,62635,0.9,130829,0.6,171639,2.00 43,1,2024-09-07 08:55:10:585,459528,459528,0,0,215318498332,2248601247,453263,4936,1329,366,391696,0 43,2,2024-09-07 08:55:11:736,326827,326827,0,0,14117036,0,3812 43,3,2024-09-07 08:55:11:750,1,261,3,0,467,3109,261,0 44,0,2024-09-07 08:55:10:867,67072,0.4,67637,0.6,134634,0.4,179781,1.75 44,1,2024-09-07 08:55:10:577,461685,461685,0,0,214843769246,2215979529,459126,2127,432,356,391809,0 44,2,2024-09-07 08:55:11:280,328987,328987,0,0,12050338,0,2231 44,3,2024-09-07 08:55:11:105,1,261,1,0,817,3040,261,0 45,0,2024-09-07 08:55:11:761,66218,0.6,64758,0.8,135909,0.7,178480,2.00 45,1,2024-09-07 08:55:11:007,460885,460885,0,0,214926024420,2225944779,459233,1640,12,382,391917,0 45,2,2024-09-07 08:55:11:276,333866,333866,0,0,12805694,0,3596 45,3,2024-09-07 08:55:10:951,1,261,7,0,271,2705,261,0 46,0,2024-09-07 08:55:10:951,64983,0.5,64705,0.7,129742,0.6,172065,2.00 46,1,2024-09-07 08:55:10:583,462460,462460,0,0,215637967545,2224556779,460113,2073,274,366,391539,0 46,2,2024-09-07 08:55:10:598,331853,331853,0,0,12287204,0,2920 46,3,2024-09-07 08:55:11:145,1,261,1,0,908,3796,261,0 47,0,2024-09-07 08:55:11:113,63905,0.4,63751,0.6,128145,0.4,169678,1.75 47,1,2024-09-07 08:55:10:573,461754,461754,0,0,215144273963,2219502947,459426,2194,134,366,391605,0 47,2,2024-09-07 08:55:10:918,330393,330393,0,0,12223531,0,2558 47,3,2024-09-07 08:55:11:115,1,261,4,0,529,2341,261,0 48,0,2024-09-07 08:55:11:505,65556,0.3,65243,0.4,129946,0.2,173968,1.50 48,1,2024-09-07 08:55:11:041,460918,460918,0,0,214790576501,2230045241,457806,2812,300,384,391710,0 48,2,2024-09-07 08:55:10:710,328157,328157,0,0,11849747,0,3031 48,3,2024-09-07 08:55:10:758,1,261,0,0,339,2235,261,0 49,0,2024-09-07 08:55:11:711,70144,0.4,68969,0.5,133602,0.3,183317,1.75 49,1,2024-09-07 08:55:11:028,460026,460026,0,0,214668800465,2236739763,456154,2691,1181,382,391809,0 49,2,2024-09-07 08:55:11:800,334076,334076,0,0,12411309,0,3900 49,3,2024-09-07 08:55:11:424,1,261,1,0,408,2843,261,0 50,0,2024-09-07 08:55:11:507,63700,0.3,63118,0.5,126960,0.2,169695,1.75 50,1,2024-09-07 08:55:11:009,461550,461550,0,0,216070113589,2234055693,458590,2650,310,368,391530,0 50,2,2024-09-07 08:55:11:076,331878,331878,0,0,11641912,0,2263 50,3,2024-09-07 08:55:11:291,1,261,1,0,335,2146,261,0 51,0,2024-09-07 08:55:11:703,64841,0.3,63476,0.5,123633,0.2,168570,1.75 51,1,2024-09-07 08:55:11:685,461851,461851,0,0,215716846408,2225623846,459049,1862,940,365,391706,0 51,2,2024-09-07 08:55:11:322,330253,330253,0,0,11036660,0,3337 51,3,2024-09-07 08:55:11:033,1,261,1,0,678,2061,261,0 52,0,2024-09-07 08:55:11:419,64855,0.4,64364,0.6,128686,0.4,171242,2.00 52,1,2024-09-07 08:55:10:584,459690,459690,0,0,213497853411,2237326612,452531,5905,1254,368,391722,0 52,2,2024-09-07 08:55:11:757,325322,325284,38,0,15078471,0,6742 52,3,2024-09-07 08:55:10:684,1,261,0,0,1782,4574,261,0 53,0,2024-09-07 08:55:11:732,67878,0.6,65990,0.8,138055,0.7,181406,2.25 53,1,2024-09-07 08:55:10:773,458861,458861,0,0,214160134749,2247342428,451534,4971,2356,367,391702,0 53,2,2024-09-07 08:55:11:298,332152,332152,0,0,12611618,0,2727 53,3,2024-09-07 08:55:10:700,1,261,3,0,271,2331,261,0 54,0,2024-09-07 08:55:11:632,62892,0.8,63467,0.9,125654,0.5,168228,2.75 54,1,2024-09-07 08:55:10:584,460221,460221,0,0,214904002382,2233813661,455485,4139,597,367,391659,0 54,2,2024-09-07 08:55:10:888,332280,332274,6,0,14005395,0,5382 54,3,2024-09-07 08:55:10:767,1,261,1,0,676,3915,261,0 55,0,2024-09-07 08:55:11:759,62170,0.6,64339,0.8,129569,0.5,168716,2.50 55,1,2024-09-07 08:55:10:772,459662,459662,0,0,214851163542,2235818682,454112,4763,787,365,391731,0 55,2,2024-09-07 08:55:10:741,330225,330225,0,0,14132845,0,3275 55,3,2024-09-07 08:55:10:679,1,261,0,0,304,2894,261,0 56,0,2024-09-07 08:55:11:575,65393,0.9,61763,1.0,126922,1.2,169625,2.25 56,1,2024-09-07 08:55:10:572,458007,458007,0,0,214471392524,2266229632,450761,5808,1438,381,391729,0 56,2,2024-09-07 08:55:11:314,326460,326460,0,0,15293903,0,3567 56,3,2024-09-07 08:55:11:061,1,261,1,0,705,3777,261,0 57,0,2024-09-07 08:55:10:990,66677,1.4,66658,1.2,133357,1.9,178834,3.00 57,1,2024-09-07 08:55:11:200,459359,459359,0,0,214112241107,2241969834,454032,4656,671,367,391960,0 57,2,2024-09-07 08:55:11:326,330504,330504,0,0,16566049,0,3317 57,3,2024-09-07 08:55:11:751,1,261,9,0,359,3408,261,0 58,0,2024-09-07 08:55:10:567,64681,1.1,62885,1.1,131680,1.5,172447,2.75 58,1,2024-09-07 08:55:10:580,460419,460416,0,3,215069066814,2245816635,454682,5023,711,367,391603,3 58,2,2024-09-07 08:55:11:074,333243,333243,0,0,14791574,0,2549 58,3,2024-09-07 08:55:11:075,1,261,0,0,1043,2915,261,0 59,0,2024-09-07 08:55:11:738,65302,0.8,65065,1.0,129875,0.8,172407,2.75 59,1,2024-09-07 08:55:10:817,459710,459710,0,0,214762410075,2245635216,453921,4524,1265,369,391525,0 59,2,2024-09-07 08:55:10:589,332405,332405,0,0,13510712,0,2604 59,3,2024-09-07 08:55:11:737,1,261,10,0,1015,3582,261,0 60,0,2024-09-07 08:55:11:730,64162,0.4,64023,0.6,129057,0.3,170947,1.75 60,1,2024-09-07 08:55:10:780,461320,461320,0,0,215790895832,2235894788,458872,1931,517,370,392031,0 60,2,2024-09-07 08:55:11:156,327725,327725,0,0,14495966,0,3811 60,3,2024-09-07 08:55:11:265,1,261,59,0,124,2541,261,0 61,0,2024-09-07 08:55:11:529,66140,0.6,66391,0.7,132256,0.6,176668,2.00 61,1,2024-09-07 08:55:10:781,459655,459655,0,0,214118355049,2238985446,455075,3877,703,382,391880,0 61,2,2024-09-07 08:55:11:130,329395,329395,0,0,12988737,0,2780 61,3,2024-09-07 08:55:11:686,1,261,0,0,397,3489,261,0 62,0,2024-09-07 08:55:11:710,69293,0.6,70606,0.7,134741,0.6,183471,2.00 62,1,2024-09-07 08:55:11:111,462438,462432,0,6,216255361384,2229141673,459966,2348,118,365,391715,6 62,2,2024-09-07 08:55:11:644,332047,332046,1,0,14337378,0,5555 62,3,2024-09-07 08:55:11:146,1,261,7,0,287,1839,261,0 63,0,2024-09-07 08:55:11:452,64502,0.5,64704,0.6,129512,0.4,172179,1.75 63,1,2024-09-07 08:55:10:805,461544,461538,0,6,215006354881,2225477287,459961,1527,50,381,391677,6 63,2,2024-09-07 08:55:10:772,331555,331555,0,0,11991822,0,2674 63,3,2024-09-07 08:55:11:732,1,261,1,0,667,2885,261,0 64,0,2024-09-07 08:55:11:529,62710,0.4,62661,0.6,125574,0.4,166997,1.75 64,1,2024-09-07 08:55:10:762,460130,460130,0,0,214828139838,2239174693,455637,3077,1416,370,391783,0 64,2,2024-09-07 08:55:11:145,332090,332071,19,0,12774877,0,6121 64,3,2024-09-07 08:55:11:143,1,261,21,0,265,2578,261,0 65,0,2024-09-07 08:55:11:721,63707,0.5,64127,0.7,127876,0.5,170713,2.00 65,1,2024-09-07 08:55:10:893,459466,459466,0,0,214174986388,2239225796,455874,3147,445,382,391901,0 65,2,2024-09-07 08:55:11:702,327787,327787,0,0,13731282,0,3367 65,3,2024-09-07 08:55:11:689,1,261,10,0,163,2490,261,0 66,0,2024-09-07 08:55:11:771,68627,0.4,68293,0.7,137377,0.4,182548,2.00 66,1,2024-09-07 08:55:11:293,461191,461191,0,0,215372770858,2236350983,458616,2374,201,380,391653,0 66,2,2024-09-07 08:55:11:133,334373,334373,0,0,13284672,0,4956 66,3,2024-09-07 08:55:11:085,1,261,2,0,291,2652,261,0 67,0,2024-09-07 08:55:11:419,63285,0.6,62720,0.8,126315,0.6,168014,2.00 67,1,2024-09-07 08:55:10:770,460017,460016,0,1,215243221103,2243093522,456170,3098,748,380,391787,1 67,2,2024-09-07 08:55:10:592,332808,332808,0,0,12264224,0,2889 67,3,2024-09-07 08:55:11:750,1,261,2,0,338,2405,261,0 68,0,2024-09-07 08:55:10:622,63896,0.6,63640,0.8,126904,0.6,169535,2.00 68,1,2024-09-07 08:55:10:571,459421,459421,0,0,214017891692,2241730422,455241,3010,1170,381,391953,0 68,2,2024-09-07 08:55:11:047,329309,329244,65,0,15974966,0,6698 68,3,2024-09-07 08:55:10:730,1,261,0,0,417,2864,261,0 69,0,2024-09-07 08:55:11:735,63560,0.6,63865,0.8,127487,0.7,169681,2.00 69,1,2024-09-07 08:55:11:022,458205,458205,0,0,213945172661,2255081438,452502,4301,1402,384,391994,0 69,2,2024-09-07 08:55:11:735,326522,326522,0,0,15744068,0,3722 69,3,2024-09-07 08:55:10:767,1,261,12,0,698,3691,261,0 70,0,2024-09-07 08:55:11:545,68010,0.7,67872,0.9,136398,0.5,181797,2.25 70,1,2024-09-07 08:55:10:801,461016,461016,0,0,216130517724,2242321437,457350,3138,528,366,391725,0 70,2,2024-09-07 08:55:11:332,331318,331318,0,0,14424894,0,4044 70,3,2024-09-07 08:55:10:745,1,261,1,0,854,3030,261,0 71,0,2024-09-07 08:55:11:357,64033,1.2,63959,1.1,128221,1.5,171480,3.00 71,1,2024-09-07 08:55:11:595,459923,459923,0,0,214772276587,2238897518,454767,4535,621,368,391738,0 71,2,2024-09-07 08:55:11:074,332900,332900,0,0,14808871,0,4042 71,3,2024-09-07 08:55:11:757,1,261,0,0,644,3701,261,0 72,0,2024-09-07 08:55:11:036,67466,0.7,66022,0.8,128574,0.8,174753,2.25 72,1,2024-09-07 08:55:11:035,460133,460133,0,0,215252662661,2245636363,455070,4131,932,369,391819,0 72,2,2024-09-07 08:55:11:758,330034,330034,0,0,16521650,0,3983 72,3,2024-09-07 08:55:11:758,1,261,5,0,564,4579,261,0 73,0,2024-09-07 08:55:11:112,62981,0.4,64481,0.6,132253,0.3,171265,2.00 73,1,2024-09-07 08:55:10:770,460454,460454,0,0,215036194006,2233272555,457363,2856,235,367,391750,0 73,2,2024-09-07 08:55:11:739,326877,326877,0,0,15788147,0,3701 73,3,2024-09-07 08:55:10:969,1,261,1,0,274,3749,261,0 74,0,2024-09-07 08:55:11:333,67835,0.4,69306,0.6,132229,0.4,179694,2.25 74,1,2024-09-07 08:55:10:677,459677,459677,0,0,214406592683,2237296087,455274,3604,799,381,391681,0 74,2,2024-09-07 08:55:11:006,329242,329242,0,0,15173186,0,4253 74,3,2024-09-07 08:55:11:446,1,261,5,0,522,3409,261,0 75,0,2024-09-07 08:55:11:767,67455,0.5,67072,0.8,134525,0.5,179567,2.25 75,1,2024-09-07 08:55:11:591,459028,459028,0,0,213702741926,2233134859,454096,4268,664,380,391660,0 75,2,2024-09-07 08:55:11:351,332173,332173,0,0,15687263,0,4766 75,3,2024-09-07 08:55:11:073,1,261,1,0,702,3765,261,0 76,0,2024-09-07 08:55:10:657,64930,0.7,64293,0.8,129012,0.7,172977,2.50 76,1,2024-09-07 08:55:10:824,459800,459800,0,0,214590124454,2237058876,456693,2556,551,382,391692,0 76,2,2024-09-07 08:55:11:071,332589,332589,0,0,12932905,0,3784 76,3,2024-09-07 08:55:11:155,1,261,4,0,175,2676,261,0 77,0,2024-09-07 08:55:11:723,63547,0.5,63865,0.7,127462,0.4,169425,1.75 77,1,2024-09-07 08:55:10:840,460355,460355,0,0,214651470580,2239649910,456903,3195,257,381,391808,0 77,2,2024-09-07 08:55:11:280,328069,328069,0,0,13489650,0,3890 77,3,2024-09-07 08:55:11:103,1,261,14,0,382,2877,261,0 78,0,2024-09-07 08:55:11:716,65460,0.4,64887,0.6,130602,0.3,173292,2.00 78,1,2024-09-07 08:55:10:642,460688,460688,0,0,214014173692,2220188690,457690,2679,319,367,391670,0 78,2,2024-09-07 08:55:11:410,328426,328426,0,0,12079638,0,3855 78,3,2024-09-07 08:55:11:144,1,261,1,0,181,2454,261,0 79,0,2024-09-07 08:55:11:356,66190,0.4,67896,0.6,138706,0.4,181028,2.25 79,1,2024-09-07 08:55:10:575,461837,461837,0,0,215291027217,2226128435,458991,2509,337,369,391682,0 79,2,2024-09-07 08:55:11:078,334070,334070,0,0,12267909,0,3212 79,3,2024-09-07 08:55:10:756,1,261,4,0,418,3772,261,0 80,0,2024-09-07 08:55:11:098,63511,0.6,65423,0.7,125233,0.6,169437,2.00 80,1,2024-09-07 08:55:11:624,460048,460048,0,0,214586765025,2232007628,457074,2804,170,368,391791,0 80,2,2024-09-07 08:55:11:101,332240,332240,0,0,12584552,0,4433 80,3,2024-09-07 08:55:10:582,1,261,1,0,190,3567,261,0 81,0,2024-09-07 08:55:11:613,63171,0.5,64849,0.6,124021,0.4,167608,1.75 81,1,2024-09-07 08:55:11:661,458994,458994,0,0,214076010038,2236373778,455125,3406,463,382,391879,0 81,2,2024-09-07 08:55:11:130,330253,330253,0,0,12928808,0,3993 81,3,2024-09-07 08:55:11:127,1,261,7,0,374,3044,261,0 82,0,2024-09-07 08:55:11:555,63925,0.4,64442,0.6,128858,0.3,171269,1.75 82,1,2024-09-07 08:55:10:590,460721,460717,0,4,214845025617,2236281786,457493,2613,611,381,391768,4 82,2,2024-09-07 08:55:11:693,328199,328199,0,0,12177176,0,3986 82,3,2024-09-07 08:55:11:752,1,261,1,0,363,2893,261,0 83,0,2024-09-07 08:55:11:533,68612,0.5,68541,0.7,136093,0.5,181934,2.00 83,1,2024-09-07 08:55:10:560,459616,459616,0,0,214814863048,2238070632,456438,2934,244,382,391709,0 83,2,2024-09-07 08:55:10:768,331640,331640,0,0,12253956,0,3119 83,3,2024-09-07 08:55:10:755,1,261,1,0,250,2899,261,0 84,0,2024-09-07 08:55:11:813,63574,0.8,63238,0.9,126791,0.8,169778,2.25 84,1,2024-09-07 08:55:11:042,459126,459126,0,0,214366962465,2239366495,454377,4171,578,367,391967,0 84,2,2024-09-07 08:55:10:573,332211,332211,0,0,14259861,0,3801 84,3,2024-09-07 08:55:11:155,1,261,1,0,908,3972,261,0 85,0,2024-09-07 08:55:11:029,62206,0.7,62219,0.8,131947,0.7,170173,2.50 85,1,2024-09-07 08:55:10:581,458721,458721,0,0,213852764102,2257195518,451876,5738,1107,381,392006,0 85,2,2024-09-07 08:55:10:887,331834,331834,0,0,15033592,0,3656 85,3,2024-09-07 08:55:10:685,1,261,16,0,789,3532,261,0 86,0,2024-09-07 08:55:10:931,63987,0.6,65745,0.7,125792,0.5,169518,2.00 86,1,2024-09-07 08:55:10:843,459943,459943,0,0,214102939691,2237134506,454954,4262,727,366,391961,0 86,2,2024-09-07 08:55:10:872,325915,325914,1,0,15812798,0,5004 86,3,2024-09-07 08:55:10:597,1,261,25,0,286,3429,261,0 87,0,2024-09-07 08:55:11:341,67164,0.8,67064,0.9,134650,1.0,180373,2.25 87,1,2024-09-07 08:55:10:612,459943,459943,0,0,214492472684,2241214762,455462,3997,484,366,391788,0 87,2,2024-09-07 08:55:11:077,329286,329286,0,0,14153995,0,4045 87,3,2024-09-07 08:55:11:797,1,261,8,0,473,3833,261,0 88,0,2024-09-07 08:55:11:481,65914,0.5,66223,0.6,132255,0.5,175687,2.00 88,1,2024-09-07 08:55:10:576,458423,458423,0,0,214721046310,2251552532,452233,4676,1514,365,392084,0 88,2,2024-09-07 08:55:10:690,333049,333049,0,0,16308046,0,3583 88,3,2024-09-07 08:55:11:281,1,261,1,0,435,3122,261,0 89,0,2024-09-07 08:55:11:862,67583,0.5,65294,0.7,129603,0.5,175763,1.75 89,1,2024-09-07 08:55:10:569,457756,457756,0,0,214266293476,2258316148,450829,5705,1222,382,391866,0 89,2,2024-09-07 08:55:11:147,330445,330445,0,0,15539540,0,2910 89,3,2024-09-07 08:55:11:830,1,261,12,0,325,4906,261,0 90,0,2024-09-07 08:55:11:681,62383,0.4,63770,0.6,130574,0.4,170152,1.75 90,1,2024-09-07 08:55:10:606,459909,459909,0,0,214541768424,2246717622,455771,3860,278,381,391825,0 90,2,2024-09-07 08:55:11:413,325888,325888,0,0,16727032,0,3060 90,3,2024-09-07 08:55:10:948,1,261,1,0,200,2747,261,0 91,0,2024-09-07 08:55:10:966,66261,0.4,64302,0.6,134293,0.4,176613,1.75 91,1,2024-09-07 08:55:10:570,458589,458589,0,0,213768730721,2246692392,452699,5196,694,381,392047,0 91,2,2024-09-07 08:55:11:344,328278,328278,0,0,14948473,0,2896 91,3,2024-09-07 08:55:10:622,1,261,11,0,216,2430,261,0 92,0,2024-09-07 08:55:11:456,69415,0.5,71080,0.6,135804,0.5,183785,1.75 92,1,2024-09-07 08:55:10:602,459596,459596,0,0,214845268670,2238512730,457030,2160,406,382,392136,0 92,2,2024-09-07 08:55:11:351,334743,334743,0,0,12728323,0,2801 92,3,2024-09-07 08:55:11:020,1,261,1,0,167,2051,261,0 93,0,2024-09-07 08:55:11:004,64868,0.4,66554,0.6,127279,0.4,172836,1.75 93,1,2024-09-07 08:55:10:812,459940,459940,0,0,214537122534,2237869645,455450,3701,789,366,391692,0 93,2,2024-09-07 08:55:10:952,331578,331578,0,0,13565580,0,2797 93,3,2024-09-07 08:55:11:411,1,261,1,0,190,2652,261,0 94,0,2024-09-07 08:55:11:721,62785,0.3,63620,0.5,126665,0.3,167775,1.75 94,1,2024-09-07 08:55:10:567,459888,459888,0,0,214776848539,2242279972,456591,3118,179,381,391850,0 94,2,2024-09-07 08:55:10:772,328976,328976,0,0,12545054,0,2443 94,3,2024-09-07 08:55:11:689,1,261,1,0,264,3333,261,0 95,0,2024-09-07 08:55:11:432,64375,0.3,64078,0.5,129217,0.3,171513,1.75 95,1,2024-09-07 08:55:10:863,460525,460525,0,0,214704535990,2230579797,456944,3205,376,367,391713,0 95,2,2024-09-07 08:55:11:019,326959,326959,0,0,12836416,0,3308 95,3,2024-09-07 08:55:11:725,1,261,1,0,718,4534,261,0 96,0,2024-09-07 08:55:11:029,68952,0.4,69157,0.5,138016,0.3,182826,1.75 96,1,2024-09-07 08:55:11:584,459468,459468,0,0,214650172591,2240131649,455886,2848,734,384,391894,0 96,2,2024-09-07 08:55:11:275,332451,332451,0,0,13568105,0,4042 96,3,2024-09-07 08:55:11:153,1,261,55,0,411,3115,261,0 97,0,2024-09-07 08:55:11:313,63056,0.4,62870,0.5,126284,0.3,167829,1.75 97,1,2024-09-07 08:55:10:784,461136,461136,0,0,215315829348,2231911852,458089,2490,557,367,392140,0 97,2,2024-09-07 08:55:10:625,332384,332384,0,0,12762514,0,3036 97,3,2024-09-07 08:55:10:571,1,261,14,0,214,2987,261,0 98,0,2024-09-07 08:55:11:701,63686,0.3,63693,0.4,128025,0.2,169634,1.50 98,1,2024-09-07 08:55:10:571,460473,460473,0,0,215061058238,2236818414,458323,2049,101,382,391997,0 98,2,2024-09-07 08:55:10:795,330785,330785,0,0,12763998,0,3080 98,3,2024-09-07 08:55:10:718,1,261,4,0,840,4588,261,0 99,0,2024-09-07 08:55:11:471,63919,0.3,64175,0.4,127987,0.2,170856,1.50 99,1,2024-09-07 08:55:11:735,460576,460576,0,0,214615759331,2236079893,456786,3050,740,381,391744,0 99,2,2024-09-07 08:55:11:425,329244,329244,0,0,13589411,0,3106 99,3,2024-09-07 08:55:10:597,1,261,2,0,168,1954,261,0 100,0,2024-09-07 08:55:11:469,68219,0.6,68088,0.9,136200,0.7,182364,2.25 100,1,2024-09-07 08:55:10:561,457740,457740,0,0,213970094802,2260139535,450889,5533,1318,381,391989,0 100,2,2024-09-07 08:55:11:839,329694,329683,11,0,14997748,0,5417 100,3,2024-09-07 08:55:11:732,1,261,4,0,559,4956,261,0 101,0,2024-09-07 08:55:11:783,65917,1.7,64191,1.2,126041,1.9,173022,2.50 101,1,2024-09-07 08:55:10:558,457630,457630,0,0,213653391333,2250083238,450273,5586,1771,368,391769,0 101,2,2024-09-07 08:55:11:761,331770,331770,0,0,16244198,0,4644 101,3,2024-09-07 08:55:10:963,1,261,2,0,448,3281,261,0 102,0,2024-09-07 08:55:10:960,63692,0.7,65976,0.8,132850,0.7,173966,2.00 102,1,2024-09-07 08:55:11:186,458979,458979,0,0,214150138953,2247844191,453319,4819,841,369,391883,0 102,2,2024-09-07 08:55:11:737,331743,331689,54,0,14840481,0,6768 102,3,2024-09-07 08:55:11:619,1,261,4,0,410,2761,261,0 103,0,2024-09-07 08:55:11:601,66577,0.4,66498,0.6,125632,0.4,172557,1.75 103,1,2024-09-07 08:55:11:632,458038,458038,0,0,213984408274,2253013797,451740,4917,1381,381,391829,0 103,2,2024-09-07 08:55:10:582,326010,326010,0,0,13960223,0,2582 103,3,2024-09-07 08:55:10:755,1,261,1,0,916,3591,261,0 104,0,2024-09-07 08:55:11:012,66698,0.7,67104,0.9,133106,0.7,179683,2.25 104,1,2024-09-07 08:55:11:616,459467,459467,0,0,213685757991,2246087706,452788,5584,1095,365,392168,0 104,2,2024-09-07 08:55:11:666,328293,328293,0,0,15845128,0,3941 104,3,2024-09-07 08:55:11:428,1,261,60,0,1245,6559,261,0 105,0,2024-09-07 08:55:11:057,66626,1.1,64691,1.2,135368,1.6,178219,3.25 105,1,2024-09-07 08:55:10:563,459586,459586,0,0,214741203302,2252568055,453270,5337,979,366,391797,0 105,2,2024-09-07 08:55:11:322,332674,332674,0,0,15336670,0,3509 105,3,2024-09-07 08:55:11:313,1,261,18,0,399,4314,261,0 106,0,2024-09-07 08:55:10:940,62867,1.0,64408,1.0,131907,1.1,172587,2.75 106,1,2024-09-07 08:55:11:751,459596,459596,0,0,214409425117,2252220020,452486,6351,759,369,391767,0 106,2,2024-09-07 08:55:10:756,329775,329775,0,0,14848534,0,2795 106,3,2024-09-07 08:55:10:684,1,261,13,0,470,3658,261,0 107,0,2024-09-07 08:55:11:105,63511,0.7,63476,0.9,126863,0.7,169152,2.00 107,1,2024-09-07 08:55:10:599,458038,458038,0,0,213352408235,2243722783,452710,4779,549,381,392234,0 107,2,2024-09-07 08:55:11:292,327457,327456,1,0,15513520,0,5024 107,3,2024-09-07 08:55:11:758,1,261,0,0,353,3485,261,0 108,0,2024-09-07 08:55:11:805,65186,0.4,65502,0.6,130070,0.3,173931,1.75 108,1,2024-09-07 08:55:11:296,459760,459760,0,0,215023283581,2238319800,456544,2831,385,368,391857,0 108,2,2024-09-07 08:55:11:782,327162,327162,0,0,13954335,0,2647 108,3,2024-09-07 08:55:11:345,1,261,10,0,749,5588,261,0 109,0,2024-09-07 08:55:11:745,68830,0.4,68064,0.5,136575,0.3,183046,1.75 109,1,2024-09-07 08:55:10:591,458139,458139,0,0,214174824980,2244111816,454344,3279,516,383,392132,0 109,2,2024-09-07 08:55:10:926,331807,331807,0,0,14419058,0,3617 109,3,2024-09-07 08:55:11:143,1,261,11,0,249,3037,261,0 110,0,2024-09-07 08:55:11:769,63680,0.4,62039,0.6,129844,0.4,170196,1.75 110,1,2024-09-07 08:55:11:647,461239,461239,0,0,215411364255,2235295530,458093,2269,877,369,392045,0 110,2,2024-09-07 08:55:11:315,332001,332001,0,0,13686000,0,3264 110,3,2024-09-07 08:55:10:723,1,261,8,0,406,3438,261,0 111,0,2024-09-07 08:55:11:419,63605,0.3,63172,0.5,126226,0.3,168980,1.75 111,1,2024-09-07 08:55:11:002,461258,461258,0,0,215730408881,2234728522,459266,1656,336,382,391690,0 111,2,2024-09-07 08:55:11:132,329721,329721,0,0,12971128,0,2763 111,3,2024-09-07 08:55:10:916,1,261,2,0,379,3442,261,0 112,0,2024-09-07 08:55:11:025,64485,0.3,64361,0.4,128780,0.2,171370,1.50 112,1,2024-09-07 08:55:10:830,461191,461191,0,0,214469769136,2223085703,458772,1991,428,380,391580,0 112,2,2024-09-07 08:55:11:136,327173,327172,1,0,13110265,0,5036 112,3,2024-09-07 08:55:10:594,1,261,4,0,282,2716,261,0 113,0,2024-09-07 08:55:10:925,68142,0.3,68043,0.5,137051,0.2,182990,1.50 113,1,2024-09-07 08:55:11:701,461998,461998,0,0,215571346964,2224020701,459493,2015,490,366,391661,0 113,2,2024-09-07 08:55:11:313,332943,332943,0,0,12009416,0,3813 113,3,2024-09-07 08:55:10:685,1,261,1,0,340,3731,261,0 114,0,2024-09-07 08:55:10:876,64342,0.4,64790,0.5,128534,0.3,171718,1.75 114,1,2024-09-07 08:55:10:719,460155,460155,0,0,215074802983,2239023511,455344,3222,1589,381,391556,0 114,2,2024-09-07 08:55:10:875,332182,332181,1,0,13046916,0,5069 114,3,2024-09-07 08:55:11:278,1,261,1,0,395,2694,261,0 115,0,2024-09-07 08:55:10:568,64553,0.3,64848,0.4,129702,0.2,172270,1.50 115,1,2024-09-07 08:55:10:584,460196,460196,0,0,215122244825,2237053185,455819,3541,836,382,391639,0 115,2,2024-09-07 08:55:11:126,331820,331820,0,0,11392017,0,2152 115,3,2024-09-07 08:55:11:006,1,261,3,0,159,1389,261,0 116,0,2024-09-07 08:55:11:735,63737,0.6,63707,0.8,127476,0.6,170114,2.00 116,1,2024-09-07 08:55:10:822,457425,457425,0,0,213645456358,2257829317,451019,4351,2055,380,391782,0 116,2,2024-09-07 08:55:11:755,326010,326010,0,0,16174107,0,3529 116,3,2024-09-07 08:55:10:915,1,261,5,0,415,3665,261,0 117,0,2024-09-07 08:55:10:978,67468,0.7,67195,0.8,134625,0.7,180495,2.00 117,1,2024-09-07 08:55:11:581,459229,459229,0,0,214073050540,2238665443,454520,4229,480,370,392033,0 117,2,2024-09-07 08:55:11:127,332194,332194,0,0,13369534,0,3700 117,3,2024-09-07 08:55:11:062,1,261,4,0,490,4042,261,0 118,0,2024-09-07 08:55:11:769,64089,0.6,65773,0.7,134219,0.6,174912,2.00 118,1,2024-09-07 08:55:10:601,459211,459211,0,0,213661240414,2244499720,452833,4944,1434,366,391736,0 118,2,2024-09-07 08:55:11:587,332307,332307,0,0,14606806,0,2781 118,3,2024-09-07 08:55:11:764,1,261,3,0,235,2916,261,0 119,0,2024-09-07 08:55:11:392,65245,0.8,65678,0.9,131508,1.0,174681,2.25 119,1,2024-09-07 08:55:10:564,460254,460254,0,0,214218001163,2236386218,455589,4141,524,367,391641,0 119,2,2024-09-07 08:55:11:262,331413,331413,0,0,13817824,0,4174 119,3,2024-09-07 08:55:11:334,1,261,8,0,443,3564,261,0 120,0,2024-09-07 08:55:11:558,63908,0.5,63712,0.7,127746,0.5,170680,2.00 120,1,2024-09-07 08:55:10:928,459673,459673,0,0,213896171368,2242048138,454978,4344,351,368,391961,0 120,2,2024-09-07 08:55:10:780,326802,326801,1,0,16476549,0,5281 120,3,2024-09-07 08:55:11:296,1,261,7,0,241,3309,261,0 121,0,2024-09-07 08:55:11:694,66065,0.8,66066,0.9,132528,1.0,176707,2.00 121,1,2024-09-07 08:55:11:656,459730,459730,0,0,213825431451,2236994411,455110,4204,416,367,391840,0 121,2,2024-09-07 08:55:11:125,327774,327774,0,0,15728003,0,4127 121,3,2024-09-07 08:55:10:738,1,261,122,0,269,3044,261,0 122,0,2024-09-07 08:55:11:786,68502,0.8,66804,0.9,140056,1.0,183740,2.00 122,1,2024-09-07 08:55:10:859,458807,458807,0,0,214518173180,2249889625,452736,5254,817,366,392130,0 122,2,2024-09-07 08:55:11:376,332091,332018,73,0,18401004,0,5989 122,3,2024-09-07 08:55:10:602,1,261,15,0,411,5049,261,0 123,0,2024-09-07 08:55:10:988,64303,0.9,62854,0.9,131174,1.1,172069,2.25 123,1,2024-09-07 08:55:10:569,459354,459354,0,0,214137061232,2257741162,450679,6959,1716,369,392039,0 123,2,2024-09-07 08:55:11:036,328771,328770,1,0,15237162,0,5215 123,3,2024-09-07 08:55:11:134,1,261,1,0,168,3105,261,0 124,0,2024-09-07 08:55:10:928,65096,0.3,65048,0.5,122829,0.3,168479,1.75 124,1,2024-09-07 08:55:11:035,460832,460832,0,0,214082234524,2223505455,457927,2541,364,367,392178,0 124,2,2024-09-07 08:55:11:011,329724,329724,0,0,12333131,0,3101 124,3,2024-09-07 08:55:10:777,1,261,25,0,490,2876,261,0 125,0,2024-09-07 08:55:11:458,64389,0.3,64179,0.5,129065,0.3,171695,1.75 125,1,2024-09-07 08:55:10:869,459470,459470,0,0,214776230752,2239065440,456371,2704,395,383,391702,0 125,2,2024-09-07 08:55:11:116,328035,328035,0,0,13365304,0,4534 125,3,2024-09-07 08:55:11:135,1,261,1,0,709,3590,261,0 126,0,2024-09-07 08:55:11:451,68943,0.5,70802,0.6,135297,0.5,183798,1.75 126,1,2024-09-07 08:55:10:583,461100,461100,0,0,214828350347,2225422763,458379,2457,264,365,391987,0 126,2,2024-09-07 08:55:10:630,333757,333757,0,0,13720120,0,3186 126,3,2024-09-07 08:55:10:912,1,261,8,0,183,3522,261,0 127,0,2024-09-07 08:55:11:654,62970,0.4,63286,0.5,126072,0.3,168040,1.75 127,1,2024-09-07 08:55:10:590,460948,460948,0,0,215149451307,2228590345,457981,2814,153,365,391816,0 127,2,2024-09-07 08:55:10:655,332356,332356,0,0,12344436,0,2264 127,3,2024-09-07 08:55:11:274,1,261,3,0,968,3011,261,0 128,0,2024-09-07 08:55:11:566,64171,0.3,64107,0.4,128007,0.2,170040,1.50 128,1,2024-09-07 08:55:11:613,460050,460050,0,0,214899914976,2227365189,457874,2019,157,367,391798,0 128,2,2024-09-07 08:55:11:388,331286,331286,0,0,12171544,0,2434 128,3,2024-09-07 08:55:10:767,1,261,2,0,1082,5419,261,0 129,0,2024-09-07 08:55:10:996,64457,0.3,64187,0.4,128358,0.2,170550,1.50 129,1,2024-09-07 08:55:10:598,458234,458234,0,0,214017258192,2241392092,454071,3232,931,379,391835,0 129,2,2024-09-07 08:55:10:687,327496,327496,0,0,13078231,0,4031 129,3,2024-09-07 08:55:10:698,1,261,8,0,469,3510,261,0 130,0,2024-09-07 08:55:11:797,68773,0.5,68392,0.6,137196,0.5,183155,1.75 130,1,2024-09-07 08:55:10:599,460752,460752,0,0,214428525168,2229469561,458308,2365,79,381,391825,0 130,2,2024-09-07 08:55:11:148,332268,332268,0,0,12766172,0,4067 130,3,2024-09-07 08:55:11:292,1,261,1,0,450,3391,261,0 131,0,2024-09-07 08:55:11:952,64534,0.4,64912,0.5,130657,0.3,172761,1.75 131,1,2024-09-07 08:55:11:841,460552,460552,0,0,214650474988,2236527390,457498,2596,458,381,391865,0 131,2,2024-09-07 08:55:10:568,334544,334544,0,0,11711837,0,2415 131,3,2024-09-07 08:55:11:692,1,261,6,0,392,2587,261,0 132,0,2024-09-07 08:55:11:464,65048,0.5,65986,0.6,131343,0.5,174386,2.00 132,1,2024-09-07 08:55:10:586,458275,458275,0,0,213667144691,2251304802,451260,5761,1254,381,392097,0 132,2,2024-09-07 08:55:10:702,331145,331145,0,0,15517821,0,4606 132,3,2024-09-07 08:55:11:692,1,261,2,0,356,3592,261,0 133,0,2024-09-07 08:55:11:555,63093,0.4,64566,0.5,132081,0.3,171307,1.75 133,1,2024-09-07 08:55:10:593,458892,458892,0,0,214139706762,2251766171,453403,4820,669,383,391914,0 133,2,2024-09-07 08:55:11:092,325803,325803,0,0,16594922,0,4315 133,3,2024-09-07 08:55:11:348,1,261,9,0,276,2568,261,0 134,0,2024-09-07 08:55:10:952,67353,0.4,67285,0.6,134702,0.4,179766,2.00 134,1,2024-09-07 08:55:10:604,458167,458167,0,0,213868990335,2244362336,451705,4992,1470,366,391718,0 134,2,2024-09-07 08:55:11:769,328888,328888,0,0,13889826,0,3847 134,3,2024-09-07 08:55:10:755,1,261,4,0,739,3826,261,0 135,0,2024-09-07 08:55:11:144,65124,0.8,65093,0.9,138094,0.9,177981,2.25 135,1,2024-09-07 08:55:11:587,458408,458408,0,0,214629368383,2256507135,452205,5205,998,380,391805,0 135,2,2024-09-07 08:55:10:707,333500,333500,0,0,15447573,0,3981 135,3,2024-09-07 08:55:11:006,1,261,1,0,299,1867,261,0 136,0,2024-09-07 08:55:11:662,65614,0.6,65524,0.8,130764,0.6,174576,2.25 136,1,2024-09-07 08:55:11:448,458549,458549,0,0,213791285331,2245793829,453009,4954,586,382,391685,0 136,2,2024-09-07 08:55:11:146,331549,331549,0,0,14946084,0,3506 136,3,2024-09-07 08:55:11:110,1,261,2,0,150,2148,261,0 137,0,2024-09-07 08:55:10:949,65454,0.6,63560,0.7,125401,0.6,170360,2.00 137,1,2024-09-07 08:55:10:586,458790,458790,0,0,213935182356,2243996004,451838,5535,1417,366,391708,0 137,2,2024-09-07 08:55:11:711,327339,327339,0,0,16457575,0,3185 137,3,2024-09-07 08:55:10:769,1,261,1,0,382,3293,261,0 138,0,2024-09-07 08:55:11:794,64741,0.7,64694,0.9,130213,0.8,173521,2.00 138,1,2024-09-07 08:55:11:703,458681,458681,0,0,214584029099,2252280623,451706,5842,1133,368,391954,0 138,2,2024-09-07 08:55:10:610,327871,327871,0,0,15153728,0,4988 138,3,2024-09-07 08:55:10:625,1,261,3,0,1160,3887,261,0 139,0,2024-09-07 08:55:11:369,67345,1.7,67642,1.2,135360,2.6,181339,2.50 139,1,2024-09-07 08:55:10:611,457193,457193,0,0,213064718987,2262469234,448653,6423,2117,381,391892,0 139,2,2024-09-07 08:55:10:705,330953,330953,0,0,16106334,0,3097 139,3,2024-09-07 08:55:11:663,1,261,6,0,257,2856,261,0 140,0,2024-09-07 08:55:11:602,64066,0.3,63538,0.5,127718,0.2,170394,1.75 140,1,2024-09-07 08:55:11:539,462042,462042,0,0,215531257035,2219956503,460061,1739,242,365,391606,0 140,2,2024-09-07 08:55:10:688,331899,331899,0,0,12628944,0,3388 140,3,2024-09-07 08:55:10:767,1,261,4,0,247,2165,261,0 141,0,2024-09-07 08:55:11:714,63340,0.3,65155,0.4,124572,0.2,168933,1.50 141,1,2024-09-07 08:55:10:882,461234,461234,0,0,215413035719,2233495187,458663,2163,408,379,391614,0 141,2,2024-09-07 08:55:11:686,330237,330237,0,0,12170160,0,2342 141,3,2024-09-07 08:55:11:043,1,261,1,0,202,2376,261,0 142,0,2024-09-07 08:55:11:315,65106,0.3,64378,0.4,128103,0.2,171725,1.50 142,1,2024-09-07 08:55:10:609,460569,460569,0,0,214409872955,2234476840,457733,2571,265,382,392102,0 142,2,2024-09-07 08:55:11:312,327029,326997,32,0,13997769,0,6028 142,3,2024-09-07 08:55:11:746,1,261,1,0,484,3226,261,0 143,0,2024-09-07 08:55:11:394,68475,0.5,68431,0.6,137444,0.5,182804,1.75 143,1,2024-09-07 08:55:10:568,460485,460485,0,0,214764340739,2226092419,457726,2663,96,367,391651,0 143,2,2024-09-07 08:55:10:778,331737,331737,0,0,13273959,0,2669 143,3,2024-09-07 08:55:11:155,1,261,54,0,303,3383,261,0 144,0,2024-09-07 08:55:11:548,61923,0.6,63515,0.9,129601,0.6,169893,2.00 144,1,2024-09-07 08:55:10:584,458229,458229,0,0,213836958089,2242947714,454184,3120,925,381,391649,0 144,2,2024-09-07 08:55:11:826,332878,332878,0,0,12507371,0,3473 144,3,2024-09-07 08:55:11:741,1,261,10,0,249,3006,261,0 145,0,2024-09-07 08:55:11:369,62229,0.6,62201,0.8,132072,0.5,170236,2.25 145,1,2024-09-07 08:55:10:561,457857,457857,0,0,214381485808,2251895759,452356,4678,823,382,391698,0 145,2,2024-09-07 08:55:11:434,330263,330263,0,0,14697664,0,3903 145,3,2024-09-07 08:55:10:900,1,261,2,0,622,4124,261,0 146,0,2024-09-07 08:55:11:630,63870,0.5,63347,0.7,127723,0.4,169320,2.00 146,1,2024-09-07 08:55:11:881,458632,458632,0,0,214071916970,2254591352,450349,6436,1847,368,391770,0 146,2,2024-09-07 08:55:11:708,326071,326071,0,0,14841829,0,2730 146,3,2024-09-07 08:55:11:275,1,261,1,0,1520,5948,261,0 147,0,2024-09-07 08:55:11:742,67433,0.5,67244,0.7,133694,0.5,180220,2.00 147,1,2024-09-07 08:55:11:380,460985,460985,0,0,215260990323,2238148756,456882,3518,585,368,391791,0 147,2,2024-09-07 08:55:11:010,331537,331537,0,0,12712979,0,2789 147,3,2024-09-07 08:55:10:921,1,261,3,0,730,3935,261,0 0,0,2024-09-07 08:55:21:711,62439,0.4,62508,0.7,132447,0.4,171510,1.75 0,1,2024-09-07 08:55:20:801,461549,461549,0,0,215315841722,2250497470,458297,3046,206,370,391772,0 0,2,2024-09-07 08:55:21:067,328257,328257,0,0,13197809,0,4480 0,3,2024-09-07 08:55:20:973,1,262,15,0,319,3459,262,0 1,0,2024-09-07 08:55:21:856,66669,0.8,66205,0.9,133067,0.9,177938,2.00 1,1,2024-09-07 08:55:20:562,461096,461096,0,0,214598816653,2246824982,456597,3446,1053,371,391857,0 1,2,2024-09-07 08:55:20:652,329364,329364,0,0,12353333,0,3267 1,3,2024-09-07 08:55:21:302,1,262,7,0,262,3016,262,0 2,0,2024-09-07 08:55:21:567,68996,0.7,69247,0.8,137156,1.0,183701,2.00 2,1,2024-09-07 08:55:20:859,461827,461827,0,0,216076394414,2242641985,459719,1890,218,380,391745,0 2,2,2024-09-07 08:55:21:266,334927,334927,0,0,12810070,0,3594 2,3,2024-09-07 08:55:20:690,1,262,1,0,357,2514,262,0 3,0,2024-09-07 08:55:21:752,64811,0.5,64878,0.6,129432,0.4,172486,2.00 3,1,2024-09-07 08:55:21:630,461500,461500,0,0,215537134428,2243680214,457659,3379,462,380,391556,0 3,2,2024-09-07 08:55:21:142,332326,332303,23,0,13039001,0,5851 3,3,2024-09-07 08:55:21:755,1,262,4,0,103,1547,262,0 4,0,2024-09-07 08:55:21:789,61341,0.4,63151,0.5,128451,0.3,168220,1.75 4,1,2024-09-07 08:55:20:593,461252,461252,0,0,215116339314,2257214001,456506,3954,792,371,391846,0 4,2,2024-09-07 08:55:21:018,328940,328940,0,0,15287386,0,4528 4,3,2024-09-07 08:55:21:028,1,262,2,0,448,3977,262,0 5,0,2024-09-07 08:55:21:386,64696,0.4,65002,0.5,129056,0.3,172512,1.75 5,1,2024-09-07 08:55:20:755,461007,461007,0,0,214635906350,2254727446,455506,4389,1112,368,392005,0 5,2,2024-09-07 08:55:21:861,327814,327814,0,0,13893540,0,2432 5,3,2024-09-07 08:55:21:744,1,262,3,0,457,3875,262,0 6,0,2024-09-07 08:55:20:921,69562,0.5,68982,0.7,137835,0.5,184295,2.00 6,1,2024-09-07 08:55:20:746,461253,461253,0,0,214955440806,2244428010,456577,3832,844,379,391694,0 6,2,2024-09-07 08:55:21:117,333429,333429,0,0,13929009,0,4816 6,3,2024-09-07 08:55:21:274,1,262,1,0,340,2985,262,0 7,0,2024-09-07 08:55:21:551,62983,0.5,63166,0.7,125906,0.5,167924,2.00 7,1,2024-09-07 08:55:20:850,460949,460949,0,0,215150009814,2255086060,455046,4900,1003,382,391747,0 7,2,2024-09-07 08:55:20:774,332116,332116,0,0,13724835,0,4791 7,3,2024-09-07 08:55:20:854,1,262,2,0,398,3150,262,0 8,0,2024-09-07 08:55:21:373,64003,0.4,63981,0.5,127444,0.3,170089,1.75 8,1,2024-09-07 08:55:21:016,459766,459766,0,0,215273821875,2268748477,451467,6280,2019,366,391956,0 8,2,2024-09-07 08:55:20:791,328056,328056,0,0,16592184,0,3220 8,3,2024-09-07 08:55:20:588,1,262,0,0,357,3878,262,0 9,0,2024-09-07 08:55:21:148,64498,0.3,62448,0.5,130586,0.3,171502,1.50 9,1,2024-09-07 08:55:20:559,460016,460016,0,0,214316725351,2257575603,453521,4953,1542,370,392001,0 9,2,2024-09-07 08:55:21:106,327814,327814,0,0,14616254,0,3360 9,3,2024-09-07 08:55:21:769,1,262,17,0,496,3878,262,0 10,0,2024-09-07 08:55:21:628,69021,0.3,68435,0.5,137531,0.2,183774,1.75 10,1,2024-09-07 08:55:20:585,460652,460652,0,0,214558218244,2248172018,454290,5434,928,381,391741,0 10,2,2024-09-07 08:55:20:764,332499,332499,0,0,16313657,0,4264 10,3,2024-09-07 08:55:20:872,1,262,2,0,177,2109,262,0 11,0,2024-09-07 08:55:21:007,64373,0.5,62548,0.7,130777,0.5,172876,2.00 11,1,2024-09-07 08:55:20:572,461341,461341,0,0,214993286691,2260391732,453122,6238,1981,383,391537,0 11,2,2024-09-07 08:55:21:123,333658,333658,0,0,15214549,0,4130 11,3,2024-09-07 08:55:21:298,1,262,2,0,843,4242,262,0 12,0,2024-09-07 08:55:20:941,65949,0.3,65808,0.5,131635,0.3,174676,1.75 12,1,2024-09-07 08:55:20:933,460903,460903,0,0,214676791832,2236896230,457378,3103,422,370,391870,0 12,2,2024-09-07 08:55:21:546,332868,332868,0,0,14258631,0,3469 12,3,2024-09-07 08:55:21:058,1,262,97,0,386,4368,262,0 13,0,2024-09-07 08:55:21:346,65024,0.3,64820,0.5,129553,0.3,171912,1.50 13,1,2024-09-07 08:55:21:529,461457,461457,0,0,214871759572,2252885049,457905,3011,541,382,391717,0 13,2,2024-09-07 08:55:20:606,328914,328914,0,0,13257157,0,3287 13,3,2024-09-07 08:55:21:764,1,262,9,0,467,3960,262,0 14,0,2024-09-07 08:55:20:567,67725,0.3,68355,0.5,135352,0.3,180484,1.75 14,1,2024-09-07 08:55:21:572,464137,464137,0,0,215920075671,2233147279,461306,2642,189,364,391571,0 14,2,2024-09-07 08:55:20:764,330511,330511,0,0,13369841,0,2896 14,3,2024-09-07 08:55:21:116,1,262,3,0,1168,3575,262,0 15,0,2024-09-07 08:55:21:558,67313,0.5,67500,0.7,134655,0.5,178985,2.00 15,1,2024-09-07 08:55:21:608,462156,462156,0,0,215330179547,2237472652,459634,2344,178,381,391619,0 15,2,2024-09-07 08:55:20:998,335416,335416,0,0,11477913,0,3043 15,3,2024-09-07 08:55:21:406,1,262,1,0,1126,5366,262,0 16,0,2024-09-07 08:55:20:936,65539,0.7,65815,0.8,130997,0.7,174715,2.25 16,1,2024-09-07 08:55:20:563,462302,462302,0,0,215531174362,2250073306,458738,3264,300,370,391756,0 16,2,2024-09-07 08:55:21:434,332308,332308,0,0,13976267,0,4719 16,3,2024-09-07 08:55:21:142,1,262,5,0,317,3568,262,0 17,0,2024-09-07 08:55:21:789,65847,0.5,64263,0.7,125749,0.4,171153,1.75 17,1,2024-09-07 08:55:20:572,460856,460856,0,0,214783391797,2253497710,455994,3757,1105,368,391845,0 17,2,2024-09-07 08:55:21:666,331512,331512,0,0,13066936,0,2857 17,3,2024-09-07 08:55:20:573,1,262,17,0,268,4084,262,0 18,0,2024-09-07 08:55:20:944,64891,0.6,65024,0.8,129910,0.6,173717,2.25 18,1,2024-09-07 08:55:21:638,462262,462262,0,0,215145461018,2228519738,459751,2269,242,367,391649,0 18,2,2024-09-07 08:55:21:763,330647,330647,0,0,12920795,0,3541 18,3,2024-09-07 08:55:20:899,1,262,3,0,163,2546,262,0 19,0,2024-09-07 08:55:21:541,68353,0.6,68992,0.8,136713,0.6,182500,2.25 19,1,2024-09-07 08:55:20:566,462218,462218,0,0,216634612829,2249585277,457556,3875,787,367,391777,0 19,2,2024-09-07 08:55:21:764,336332,336332,0,0,11894754,0,3988 19,3,2024-09-07 08:55:21:129,1,262,0,0,524,1903,262,0 20,0,2024-09-07 08:55:21:368,63741,0.7,63704,0.8,127765,0.7,170618,2.25 20,1,2024-09-07 08:55:20:571,460996,460996,0,0,215785269184,2254939628,457172,3426,398,369,391886,0 20,2,2024-09-07 08:55:20:927,332680,332680,0,0,13453962,0,3721 20,3,2024-09-07 08:55:20:590,1,262,9,0,414,4650,262,0 21,0,2024-09-07 08:55:21:142,63597,0.5,63783,0.6,127234,0.4,168651,2.00 21,1,2024-09-07 08:55:21:565,460007,460007,0,0,214518209667,2256095420,454281,4467,1259,368,391962,0 21,2,2024-09-07 08:55:21:070,329901,329901,0,0,15238114,0,3747 21,3,2024-09-07 08:55:21:414,1,262,6,0,103,2660,262,0 22,0,2024-09-07 08:55:21:721,64349,0.5,64450,0.7,128615,0.5,171204,2.00 22,1,2024-09-07 08:55:21:029,460489,460489,0,0,214517568828,2256388417,453257,5590,1642,382,391667,0 22,2,2024-09-07 08:55:20:760,328056,328056,0,0,13329452,0,3134 22,3,2024-09-07 08:55:21:067,1,262,1,0,228,2353,262,0 23,0,2024-09-07 08:55:21:372,68535,0.5,68245,0.7,137251,0.5,183183,2.25 23,1,2024-09-07 08:55:21:004,461488,461488,0,0,215223327345,2257558785,454177,4760,2551,365,391690,0 23,2,2024-09-07 08:55:21:092,333577,333577,0,0,12929472,0,3010 23,3,2024-09-07 08:55:21:755,1,262,1,0,645,3308,262,0 24,0,2024-09-07 08:55:20:825,64612,0.4,64486,0.6,129296,0.4,171589,1.75 24,1,2024-09-07 08:55:20:596,460537,460537,0,0,214424066076,2248538110,454922,4133,1482,368,391987,0 24,2,2024-09-07 08:55:21:069,331766,331766,0,0,16102532,0,3607 24,3,2024-09-07 08:55:21:686,1,262,15,0,468,3817,262,0 25,0,2024-09-07 08:55:21:491,66195,0.5,64494,0.7,126731,0.4,172574,2.00 25,1,2024-09-07 08:55:20:570,461483,461483,0,0,215478147862,2253781441,457133,3796,554,371,391788,0 25,2,2024-09-07 08:55:21:619,331011,331011,0,0,16201683,0,3978 25,3,2024-09-07 08:55:21:001,1,262,8,0,255,2812,262,0 26,0,2024-09-07 08:55:21:724,63771,0.4,62377,0.6,130684,0.3,170056,1.75 26,1,2024-09-07 08:55:21:557,460922,460922,0,0,214856292525,2252701051,453931,5775,1216,381,391748,0 26,2,2024-09-07 08:55:20:860,327802,327802,0,0,15779666,0,2809 26,3,2024-09-07 08:55:21:712,1,262,1,0,796,3401,262,0 27,0,2024-09-07 08:55:21:725,68314,0.4,68374,0.6,135139,0.3,181328,2.00 27,1,2024-09-07 08:55:21:685,462913,462913,0,0,216032347454,2246379690,459458,2862,593,381,391558,0 27,2,2024-09-07 08:55:20:867,329815,329750,65,0,15967758,0,5699 27,3,2024-09-07 08:55:21:016,1,262,1,0,564,2792,262,0 28,0,2024-09-07 08:55:21:389,66131,0.5,65920,0.6,132410,0.4,176239,2.00 28,1,2024-09-07 08:55:20:816,462473,462473,0,0,216215246540,2249479862,459592,2410,471,383,391646,0 28,2,2024-09-07 08:55:21:764,334680,334680,0,0,12805454,0,2915 28,3,2024-09-07 08:55:21:776,1,262,1,0,502,2756,262,0 29,0,2024-09-07 08:55:21:438,67478,0.4,65968,0.6,129002,0.3,176009,1.75 29,1,2024-09-07 08:55:21:577,463366,463366,0,0,216258527947,2238015454,460138,2663,565,369,391621,0 29,2,2024-09-07 08:55:20:866,331804,331804,0,0,12201616,0,4018 29,3,2024-09-07 08:55:20:974,1,262,0,0,115,1883,262,0 30,0,2024-09-07 08:55:21:456,64247,0.5,62360,0.7,130489,0.4,170901,2.00 30,1,2024-09-07 08:55:20:572,463174,463174,0,0,216296447299,2239972277,460843,2038,293,382,391672,0 30,2,2024-09-07 08:55:21:275,328508,328508,0,0,11901102,0,3161 30,3,2024-09-07 08:55:20:581,1,262,1,0,519,2480,262,0 31,0,2024-09-07 08:55:21:766,66333,0.4,66524,0.6,133363,0.3,177891,1.75 31,1,2024-09-07 08:55:20:563,464658,464658,0,0,217231045973,2224576342,463264,1174,220,356,391712,0 31,2,2024-09-07 08:55:21:275,329899,329899,0,0,13667895,0,3525 31,3,2024-09-07 08:55:21:706,1,262,1,0,220,1976,262,0 32,0,2024-09-07 08:55:21:428,68818,0.4,69303,0.5,138434,0.3,184038,1.75 32,1,2024-09-07 08:55:20:820,462922,462922,0,0,215863737393,2237956729,460963,1680,279,381,391622,0 32,2,2024-09-07 08:55:20:936,334881,334881,0,0,11817272,0,3155 32,3,2024-09-07 08:55:21:017,1,262,1,0,227,2056,262,0 33,0,2024-09-07 08:55:21:508,65259,0.3,64650,0.5,129974,0.2,173360,1.75 33,1,2024-09-07 08:55:20:575,463285,463285,0,0,217157230726,2242267266,460648,2463,174,369,391730,0 33,2,2024-09-07 08:55:20:758,333513,333480,33,0,13443527,0,7012 33,3,2024-09-07 08:55:20:902,1,262,1,0,329,3107,262,0 34,0,2024-09-07 08:55:20:932,63182,0.3,65176,0.5,124926,0.2,167699,1.50 34,1,2024-09-07 08:55:21:044,464070,464070,0,0,217428533548,2235088671,462946,1117,7,367,391562,0 34,2,2024-09-07 08:55:20:771,330170,330170,0,0,12682672,0,3577 34,3,2024-09-07 08:55:21:688,1,262,4,0,299,2078,262,0 35,0,2024-09-07 08:55:20:866,64149,0.3,64518,0.5,129609,0.2,172983,1.75 35,1,2024-09-07 08:55:21:067,462990,462990,0,0,215405711373,2233274756,459644,2566,780,384,391589,0 35,2,2024-09-07 08:55:21:590,329668,329668,0,0,12450768,0,2653 35,3,2024-09-07 08:55:20:910,1,262,99,0,418,3348,262,0 36,0,2024-09-07 08:55:21:529,69239,0.5,69077,0.7,138565,0.5,183992,2.25 36,1,2024-09-07 08:55:20:584,461899,461899,0,0,214665174965,2243530378,455917,4403,1579,366,391759,0 36,2,2024-09-07 08:55:21:750,333527,333527,0,0,14247552,0,3875 36,3,2024-09-07 08:55:20:863,1,262,1,0,416,4055,262,0 37,0,2024-09-07 08:55:21:373,62804,0.5,62855,0.7,125859,0.5,168833,2.00 37,1,2024-09-07 08:55:20:570,461004,460997,0,7,214816865538,2248862710,454985,3943,2069,365,391560,0 37,2,2024-09-07 08:55:21:142,331909,331894,15,0,14551704,0,5815 37,3,2024-09-07 08:55:21:766,1,262,3,0,888,4495,262,0 38,0,2024-09-07 08:55:21:437,63274,0.5,61511,0.7,128864,0.4,168226,2.00 38,1,2024-09-07 08:55:21:608,462081,462081,0,0,216139826931,2253768807,456808,4597,676,368,391821,0 38,2,2024-09-07 08:55:20:760,330805,330758,47,0,14907146,0,6710 38,3,2024-09-07 08:55:20:997,1,262,1,0,689,3606,262,0 39,0,2024-09-07 08:55:21:763,65699,0.6,64513,0.7,125292,0.5,171563,2.00 39,1,2024-09-07 08:55:20:723,462057,462057,0,0,215435383502,2246739666,456497,4658,902,365,391594,0 39,2,2024-09-07 08:55:21:426,327900,327900,0,0,12902430,0,2689 39,3,2024-09-07 08:55:20:713,1,262,1,0,324,3444,262,0 40,0,2024-09-07 08:55:21:543,68081,0.7,68765,0.9,137406,0.7,183431,2.75 40,1,2024-09-07 08:55:20:581,461480,461480,0,0,214758929688,2249813389,454340,5697,1443,368,391591,0 40,2,2024-09-07 08:55:21:334,332256,332255,1,0,16311668,0,5137 40,3,2024-09-07 08:55:21:142,1,262,1,0,398,3195,262,0 41,0,2024-09-07 08:55:21:050,64163,1.9,65656,1.4,125209,3.0,171096,3.75 41,1,2024-09-07 08:55:20:770,461600,461600,0,0,214999992733,2246806639,456057,4908,635,370,391742,0 41,2,2024-09-07 08:55:20:759,332301,332301,0,0,15525781,0,3356 41,3,2024-09-07 08:55:21:681,1,262,1,0,366,3038,262,0 42,0,2024-09-07 08:55:21:475,64655,0.8,64896,1.0,129872,0.8,171229,2.50 42,1,2024-09-07 08:55:21:439,460335,460335,0,0,214534797678,2253960997,453050,5833,1452,380,391675,0 42,2,2024-09-07 08:55:21:133,332339,332339,0,0,14827956,0,3568 42,3,2024-09-07 08:55:21:009,1,262,1,0,446,2373,262,0 43,0,2024-09-07 08:55:20:916,64245,0.6,62663,0.9,130930,0.6,171639,2.00 43,1,2024-09-07 08:55:20:590,461360,461360,0,0,216037421022,2255909651,455095,4936,1329,366,391696,0 43,2,2024-09-07 08:55:21:741,328158,328158,0,0,14133817,0,3812 43,3,2024-09-07 08:55:21:762,1,262,34,0,467,3143,262,0 44,0,2024-09-07 08:55:20:870,67499,0.4,67947,0.6,135400,0.4,180702,1.75 44,1,2024-09-07 08:55:20:563,463460,463460,0,0,215548425880,2223196420,460900,2128,432,356,391809,0 44,2,2024-09-07 08:55:21:270,330091,330091,0,0,12087297,0,2231 44,3,2024-09-07 08:55:21:103,1,262,6,0,817,3046,262,0 45,0,2024-09-07 08:55:21:761,66317,0.6,64861,0.8,136088,0.7,178728,2.00 45,1,2024-09-07 08:55:21:006,462680,462680,0,0,215553493806,2232473675,461028,1640,12,382,391917,0 45,2,2024-09-07 08:55:21:273,335415,335415,0,0,12855968,0,3596 45,3,2024-09-07 08:55:20:936,1,262,85,0,271,2790,262,0 46,0,2024-09-07 08:55:20:951,65179,0.5,64923,0.7,130194,0.6,172668,2.00 46,1,2024-09-07 08:55:20:575,464297,464297,0,0,216457300341,2232925610,461950,2073,274,366,391539,0 46,2,2024-09-07 08:55:20:598,333331,333331,0,0,12329291,0,2920 46,3,2024-09-07 08:55:21:136,1,262,10,0,908,3806,262,0 47,0,2024-09-07 08:55:21:106,64222,0.4,64067,0.6,128795,0.4,170585,1.75 47,1,2024-09-07 08:55:20:572,463556,463556,0,0,215798968744,2226179626,461227,2195,134,366,391605,0 47,2,2024-09-07 08:55:20:911,331125,331125,0,0,12241483,0,2558 47,3,2024-09-07 08:55:21:115,1,262,0,0,529,2341,262,0 48,0,2024-09-07 08:55:21:514,65883,0.3,65527,0.4,130548,0.2,174671,1.50 48,1,2024-09-07 08:55:21:022,462694,462694,0,0,215950663973,2241869062,459582,2812,300,384,391710,0 48,2,2024-09-07 08:55:20:699,329629,329629,0,0,11896511,0,3031 48,3,2024-09-07 08:55:20:759,1,262,0,0,339,2235,262,0 49,0,2024-09-07 08:55:21:715,70672,0.4,69468,0.5,134661,0.3,184815,1.75 49,1,2024-09-07 08:55:21:032,461823,461823,0,0,215400317466,2244297882,457951,2691,1181,382,391809,0 49,2,2024-09-07 08:55:21:796,335514,335514,0,0,12448817,0,3900 49,3,2024-09-07 08:55:21:421,1,262,6,0,408,2849,262,0 50,0,2024-09-07 08:55:21:506,64031,0.3,63418,0.5,127585,0.2,170537,1.75 50,1,2024-09-07 08:55:21:010,463296,463296,0,0,216643753335,2239992340,460336,2650,310,368,391530,0 50,2,2024-09-07 08:55:21:077,333146,333146,0,0,11674369,0,2263 50,3,2024-09-07 08:55:21:295,1,262,1,0,335,2147,262,0 51,0,2024-09-07 08:55:21:738,65010,0.3,63640,0.5,123956,0.2,168998,1.75 51,1,2024-09-07 08:55:21:700,463665,463665,0,0,216623694848,2234902550,460863,1862,940,365,391706,0 51,2,2024-09-07 08:55:21:334,331211,331211,0,0,11051516,0,3337 51,3,2024-09-07 08:55:21:028,1,262,0,0,678,2061,262,0 52,0,2024-09-07 08:55:21:436,65110,0.4,64612,0.6,129158,0.4,171865,2.00 52,1,2024-09-07 08:55:20:582,461464,461464,0,0,214275764578,2245236181,454304,5906,1254,368,391722,0 52,2,2024-09-07 08:55:21:753,326781,326743,38,0,15091269,0,6742 52,3,2024-09-07 08:55:20:673,1,262,1,0,1782,4575,262,0 53,0,2024-09-07 08:55:21:730,68308,0.6,66378,0.8,138838,0.7,182451,2.25 53,1,2024-09-07 08:55:20:774,460623,460623,0,0,214950020643,2255377486,453296,4971,2356,367,391702,0 53,2,2024-09-07 08:55:21:298,333484,333484,0,0,12623385,0,2727 53,3,2024-09-07 08:55:20:697,1,262,1,0,271,2332,262,0 54,0,2024-09-07 08:55:21:614,63050,0.8,63615,0.9,125939,0.5,168698,2.50 54,1,2024-09-07 08:55:20:579,462051,462051,0,0,215693112889,2241815368,457315,4139,597,367,391659,0 54,2,2024-09-07 08:55:20:864,333562,333556,6,0,14014180,0,5382 54,3,2024-09-07 08:55:20:763,1,262,1,0,676,3916,262,0 55,0,2024-09-07 08:55:21:793,62262,0.6,64427,0.8,129767,0.5,168963,2.50 55,1,2024-09-07 08:55:20:765,461430,461430,0,0,215473851487,2242141466,455880,4763,787,365,391731,0 55,2,2024-09-07 08:55:20:729,331416,331416,0,0,14142184,0,3275 55,3,2024-09-07 08:55:20:674,1,262,1,0,304,2895,262,0 56,0,2024-09-07 08:55:21:584,65479,0.9,61848,1.0,127126,1.2,169947,2.25 56,1,2024-09-07 08:55:20:586,459837,459837,0,0,215307611203,2274782754,452591,5808,1438,381,391729,0 56,2,2024-09-07 08:55:21:324,327788,327788,0,0,15311042,0,3567 56,3,2024-09-07 08:55:21:064,1,262,21,0,705,3798,262,0 57,0,2024-09-07 08:55:21:004,67149,1.4,67114,1.2,134310,1.9,180224,3.00 57,1,2024-09-07 08:55:21:025,461178,461178,0,0,214982576738,2250854836,455850,4657,671,367,391960,0 57,2,2024-09-07 08:55:21:323,331835,331835,0,0,16585127,0,3317 57,3,2024-09-07 08:55:21:740,1,262,30,0,359,3438,262,0 58,0,2024-09-07 08:55:20:594,64766,1.1,62986,1.1,131887,1.5,172738,2.75 58,1,2024-09-07 08:55:20:575,462249,462246,0,3,215817925163,2253441270,456511,5024,711,367,391603,3 58,2,2024-09-07 08:55:21:072,334595,334595,0,0,14805221,0,2549 58,3,2024-09-07 08:55:21:068,1,262,1,0,1043,2916,262,0 59,0,2024-09-07 08:55:21:785,65421,0.8,65179,1.0,130078,0.8,172670,2.75 59,1,2024-09-07 08:55:20:806,461536,461536,0,0,215713631774,2255266226,455747,4524,1265,369,391525,0 59,2,2024-09-07 08:55:20:582,333720,333720,0,0,13521010,0,2604 59,3,2024-09-07 08:55:21:740,1,262,7,0,1015,3589,262,0 60,0,2024-09-07 08:55:21:714,64391,0.4,64271,0.6,129511,0.3,171454,1.75 60,1,2024-09-07 08:55:20:776,463174,463174,0,0,216811564054,2246320190,460722,1935,517,370,392031,0 60,2,2024-09-07 08:55:21:141,328634,328634,0,0,14511013,0,3811 60,3,2024-09-07 08:55:21:258,1,262,11,0,124,2552,262,0 61,0,2024-09-07 08:55:21:520,66575,0.6,66777,0.7,133088,0.6,177793,2.00 61,1,2024-09-07 08:55:20:774,461423,461423,0,0,215191748808,2249985921,456843,3877,703,382,391880,0 61,2,2024-09-07 08:55:21:121,330729,330729,0,0,13017231,0,2780 61,3,2024-09-07 08:55:21:698,1,262,22,0,397,3511,262,0 62,0,2024-09-07 08:55:21:708,69463,0.6,70788,0.7,135122,0.6,183770,2.00 62,1,2024-09-07 08:55:21:111,464181,464175,0,6,217131686293,2238036346,461709,2348,118,365,391715,6 62,2,2024-09-07 08:55:21:654,333506,333505,1,0,14359749,0,5555 62,3,2024-09-07 08:55:21:143,1,262,1,0,287,1840,262,0 63,0,2024-09-07 08:55:21:472,64795,0.5,65003,0.6,130119,0.4,172934,1.75 63,1,2024-09-07 08:55:20:808,463334,463328,0,6,215623627334,2231800739,461751,1527,50,381,391677,6 63,2,2024-09-07 08:55:20:765,332934,332934,0,0,12023450,0,2674 63,3,2024-09-07 08:55:21:732,1,262,1,0,667,2886,262,0 64,0,2024-09-07 08:55:21:529,62907,0.4,62859,0.6,125947,0.4,167571,1.75 64,1,2024-09-07 08:55:20:750,461761,461761,0,0,215496036552,2246103569,457268,3077,1416,370,391783,0 64,2,2024-09-07 08:55:21:141,332726,332707,19,0,12787628,0,6121 64,3,2024-09-07 08:55:21:140,1,262,1,0,265,2579,262,0 65,0,2024-09-07 08:55:21:711,64130,0.5,64583,0.7,128713,0.5,171957,2.00 65,1,2024-09-07 08:55:20:861,461224,461224,0,0,214985375032,2247546912,457632,3147,445,382,391901,0 65,2,2024-09-07 08:55:21:708,329340,329340,0,0,13782961,0,3367 65,3,2024-09-07 08:55:21:683,1,262,18,0,163,2508,262,0 66,0,2024-09-07 08:55:21:766,68902,0.4,68590,0.7,137947,0.4,183245,2.00 66,1,2024-09-07 08:55:21:320,462967,462967,0,0,215946361402,2242276158,460392,2374,201,380,391653,0 66,2,2024-09-07 08:55:21:142,335957,335957,0,0,13336519,0,4956 66,3,2024-09-07 08:55:21:082,1,262,93,0,291,2745,262,0 67,0,2024-09-07 08:55:21:419,63584,0.6,63047,0.8,126923,0.6,168951,2.00 67,1,2024-09-07 08:55:20:769,461708,461707,0,1,215722891384,2248108716,457861,3098,748,380,391787,1 67,2,2024-09-07 08:55:20:588,333808,333808,0,0,12290264,0,2889 67,3,2024-09-07 08:55:21:750,1,262,1,0,338,2406,262,0 68,0,2024-09-07 08:55:20:584,64055,0.6,63792,0.8,127275,0.6,170016,2.00 68,1,2024-09-07 08:55:20:572,461178,461178,0,0,214997262117,2251738636,456994,3014,1170,381,391953,0 68,2,2024-09-07 08:55:21:044,330374,330309,65,0,15984664,0,6698 68,3,2024-09-07 08:55:20:728,1,262,1,0,417,2865,262,0 69,0,2024-09-07 08:55:21:727,63884,0.6,64176,0.8,128085,0.6,170606,2.00 69,1,2024-09-07 08:55:21:024,459996,459996,0,0,214655483076,2262384565,454293,4301,1402,384,391994,0 69,2,2024-09-07 08:55:21:737,327988,327988,0,0,15760767,0,3722 69,3,2024-09-07 08:55:20:764,1,262,1,0,698,3692,262,0 70,0,2024-09-07 08:55:21:532,68485,0.7,68316,0.9,137325,0.5,182962,2.25 70,1,2024-09-07 08:55:20:806,462812,462812,0,0,216821927256,2249354688,459145,3139,528,366,391725,0 70,2,2024-09-07 08:55:21:333,332616,332616,0,0,14433678,0,4044 70,3,2024-09-07 08:55:20:745,1,262,1,0,854,3031,262,0 71,0,2024-09-07 08:55:21:372,64135,1.2,64065,1.1,128436,1.5,171791,2.75 71,1,2024-09-07 08:55:21:611,461726,461726,0,0,215471235039,2246025081,456570,4535,621,368,391738,0 71,2,2024-09-07 08:55:21:067,333903,333903,0,0,14817660,0,4042 71,3,2024-09-07 08:55:21:762,1,262,12,0,644,3713,262,0 72,0,2024-09-07 08:55:21:032,67588,0.7,66138,0.8,128815,0.8,175086,2.25 72,1,2024-09-07 08:55:21:030,462000,462000,0,0,216005839968,2253350962,456935,4133,932,369,391819,0 72,2,2024-09-07 08:55:21:756,331367,331367,0,0,16535233,0,3983 72,3,2024-09-07 08:55:21:756,1,262,1,0,564,4580,262,0 73,0,2024-09-07 08:55:21:108,63017,0.4,64522,0.6,132323,0.3,171265,2.00 73,1,2024-09-07 08:55:20:789,462260,462260,0,0,215794044493,2240990933,459167,2858,235,367,391750,0 73,2,2024-09-07 08:55:21:741,328084,328084,0,0,15801542,0,3701 73,3,2024-09-07 08:55:20:971,1,262,4,0,274,3753,262,0 74,0,2024-09-07 08:55:21:352,68204,0.4,69709,0.6,132908,0.4,180564,2.25 74,1,2024-09-07 08:55:20:635,461482,461482,0,0,215260548247,2246004970,457078,3605,799,381,391681,0 74,2,2024-09-07 08:55:21:002,330414,330414,0,0,15185253,0,4253 74,3,2024-09-07 08:55:21:442,1,262,1,0,522,3410,262,0 75,0,2024-09-07 08:55:21:771,67546,0.5,67184,0.8,134706,0.5,179812,2.25 75,1,2024-09-07 08:55:21:591,460817,460817,0,0,214629312954,2242533648,455885,4268,664,380,391660,0 75,2,2024-09-07 08:55:21:358,333756,333756,0,0,15705460,0,4766 75,3,2024-09-07 08:55:21:067,1,262,1,0,702,3766,262,0 76,0,2024-09-07 08:55:20:583,65189,0.7,64529,0.8,129489,0.7,173566,2.50 76,1,2024-09-07 08:55:20:807,461581,461581,0,0,215407141315,2245496766,458474,2556,551,382,391692,0 76,2,2024-09-07 08:55:21:062,334051,334051,0,0,12965404,0,3784 76,3,2024-09-07 08:55:21:142,1,262,1,0,175,2677,262,0 77,0,2024-09-07 08:55:21:735,63854,0.5,64185,0.7,128097,0.4,170294,1.75 77,1,2024-09-07 08:55:20:824,462093,462093,0,0,215332827985,2246852582,458640,3196,257,381,391808,0 77,2,2024-09-07 08:55:21:293,328765,328765,0,0,13505958,0,3890 77,3,2024-09-07 08:55:21:098,1,262,7,0,382,2884,262,0 78,0,2024-09-07 08:55:21:717,65762,0.4,65187,0.6,131225,0.3,173971,2.00 78,1,2024-09-07 08:55:20:610,462410,462410,0,0,214729941916,2227618453,459412,2679,319,367,391670,0 78,2,2024-09-07 08:55:21:405,329870,329870,0,0,12104200,0,3855 78,3,2024-09-07 08:55:21:134,1,262,1,0,181,2455,262,0 79,0,2024-09-07 08:55:21:376,66676,0.4,68393,0.6,139797,0.4,182437,2.25 79,1,2024-09-07 08:55:20:585,463589,463589,0,0,216070180006,2234103344,460743,2509,337,369,391682,0 79,2,2024-09-07 08:55:21:070,335549,335549,0,0,12309247,0,3212 79,3,2024-09-07 08:55:20:750,1,262,1,0,418,3773,262,0 80,0,2024-09-07 08:55:21:092,63817,0.6,65753,0.7,125910,0.6,170286,2.00 80,1,2024-09-07 08:55:21:623,461804,461804,0,0,215347367758,2239816258,458830,2804,170,368,391791,0 80,2,2024-09-07 08:55:21:091,333435,333435,0,0,12612816,0,4433 80,3,2024-09-07 08:55:20:581,1,262,10,0,190,3577,262,0 81,0,2024-09-07 08:55:21:566,63328,0.5,64983,0.6,124353,0.4,168011,1.75 81,1,2024-09-07 08:55:21:661,460813,460813,0,0,214830575606,2244226147,456943,3407,463,382,391879,0 81,2,2024-09-07 08:55:21:126,331202,331202,0,0,12942446,0,3993 81,3,2024-09-07 08:55:21:118,1,262,6,0,374,3050,262,0 82,0,2024-09-07 08:55:21:559,64163,0.4,64666,0.6,129341,0.3,171898,1.75 82,1,2024-09-07 08:55:20:583,462542,462538,0,4,215747744436,2245539886,459314,2613,611,381,391768,4 82,2,2024-09-07 08:55:21:696,329753,329753,0,0,12238772,0,3986 82,3,2024-09-07 08:55:21:762,1,262,3,0,363,2896,262,0 83,0,2024-09-07 08:55:21:553,68981,0.5,68924,0.7,136915,0.5,182976,2.00 83,1,2024-09-07 08:55:20:556,461269,461269,0,0,215479087069,2244947921,458091,2934,244,382,391709,0 83,2,2024-09-07 08:55:20:764,333036,333036,0,0,12325875,0,3119 83,3,2024-09-07 08:55:20:750,1,262,1,0,250,2900,262,0 84,0,2024-09-07 08:55:21:791,63733,0.8,63394,0.9,127112,0.8,170207,2.25 84,1,2024-09-07 08:55:21:051,460940,460940,0,0,215195820569,2247927999,456185,4172,583,367,391967,0 84,2,2024-09-07 08:55:20:576,333381,333381,0,0,14272343,0,3801 84,3,2024-09-07 08:55:21:141,1,262,9,0,908,3981,262,0 85,0,2024-09-07 08:55:21:023,62300,0.7,62319,0.8,132163,0.7,170446,2.50 85,1,2024-09-07 08:55:20:559,460513,460513,0,0,214743876408,2266285605,453668,5738,1107,381,392006,0 85,2,2024-09-07 08:55:20:868,333126,333126,0,0,15047186,0,3656 85,3,2024-09-07 08:55:20:685,1,262,3,0,789,3535,262,0 86,0,2024-09-07 08:55:20:946,64080,0.6,65830,0.7,125951,0.5,169828,2.00 86,1,2024-09-07 08:55:20:888,461738,461738,0,0,215101027015,2247280458,456749,4262,727,366,391961,0 86,2,2024-09-07 08:55:20:903,327080,327079,1,0,15824591,0,5004 86,3,2024-09-07 08:55:20:598,1,262,132,0,286,3561,262,0 87,0,2024-09-07 08:55:21:311,67596,0.8,67527,0.9,135617,1.0,181688,2.25 87,1,2024-09-07 08:55:20:557,461690,461690,0,0,215229447429,2248749497,457209,3997,484,366,391788,0 87,2,2024-09-07 08:55:21:067,330678,330678,0,0,14166918,0,4045 87,3,2024-09-07 08:55:21:793,1,262,0,0,473,3833,262,0 88,0,2024-09-07 08:55:21:458,66014,0.5,66321,0.6,132482,0.5,175968,2.00 88,1,2024-09-07 08:55:20:583,460276,460276,0,0,215655858441,2261044976,454086,4676,1514,365,392084,0 88,2,2024-09-07 08:55:20:690,334333,334333,0,0,16321213,0,3583 88,3,2024-09-07 08:55:21:271,1,262,0,0,435,3122,262,0 89,0,2024-09-07 08:55:21:781,67685,0.5,65407,0.7,129873,0.5,176039,1.75 89,1,2024-09-07 08:55:20:559,459559,459559,0,0,215200124134,2267873214,452630,5707,1222,382,391866,0 89,2,2024-09-07 08:55:21:132,331719,331719,0,0,15554589,0,2910 89,3,2024-09-07 08:55:21:801,1,262,2,0,325,4908,262,0 90,0,2024-09-07 08:55:21:626,62600,0.4,63969,0.6,131054,0.4,170680,1.75 90,1,2024-09-07 08:55:20:595,461698,461698,0,0,215306761431,2254642644,457558,3861,279,381,391825,0 90,2,2024-09-07 08:55:21:408,326887,326887,0,0,16741901,0,3060 90,3,2024-09-07 08:55:20:930,1,262,1,0,200,2748,262,0 91,0,2024-09-07 08:55:21:030,66686,0.4,64725,0.6,135093,0.4,177774,1.75 91,1,2024-09-07 08:55:20:567,460350,460350,0,0,214601079040,2255234306,454460,5196,694,381,392047,0 91,2,2024-09-07 08:55:21:343,329654,329654,0,0,14967093,0,2896 91,3,2024-09-07 08:55:20:598,1,262,2,0,216,2432,262,0 92,0,2024-09-07 08:55:21:453,69591,0.5,71302,0.6,136202,0.5,184059,1.75 92,1,2024-09-07 08:55:20:585,461449,461449,0,0,215727666686,2247524690,458883,2160,406,382,392136,0 92,2,2024-09-07 08:55:21:359,336369,336369,0,0,12760584,0,2801 92,3,2024-09-07 08:55:21:009,1,262,43,0,167,2094,262,0 93,0,2024-09-07 08:55:20:991,65178,0.4,66852,0.6,127852,0.3,173581,1.75 93,1,2024-09-07 08:55:20:810,461795,461795,0,0,215509845830,2248343706,457244,3762,789,366,391692,0 93,2,2024-09-07 08:55:20:941,333013,333013,0,0,13602397,0,2797 93,3,2024-09-07 08:55:21:408,1,262,2,0,190,2654,262,0 94,0,2024-09-07 08:55:21:631,62996,0.3,63802,0.5,127081,0.3,168336,1.75 94,1,2024-09-07 08:55:20:563,461633,461633,0,0,215549724115,2250277018,458336,3118,179,381,391850,0 94,2,2024-09-07 08:55:20:766,329696,329696,0,0,12571708,0,2443 94,3,2024-09-07 08:55:21:688,1,262,1,0,264,3334,262,0 95,0,2024-09-07 08:55:21:355,64824,0.3,64472,0.5,130061,0.3,172643,1.75 95,1,2024-09-07 08:55:20:865,462266,462266,0,0,215332911355,2237031619,458683,3206,377,367,391713,0 95,2,2024-09-07 08:55:21:017,328527,328527,0,0,12905722,0,3308 95,3,2024-09-07 08:55:21:716,1,262,0,0,718,4534,262,0 96,0,2024-09-07 08:55:21:046,69232,0.4,69444,0.5,138628,0.3,183559,1.75 96,1,2024-09-07 08:55:21:601,461267,461267,0,0,215572365442,2249568242,457685,2848,734,384,391894,0 96,2,2024-09-07 08:55:21:269,334008,334008,0,0,13614111,0,4042 96,3,2024-09-07 08:55:21:140,1,262,5,0,411,3120,262,0 97,0,2024-09-07 08:55:21:343,63376,0.4,63148,0.5,126901,0.3,168780,1.75 97,1,2024-09-07 08:55:20:771,462938,462938,0,0,216197197349,2240921852,459891,2490,557,367,392140,0 97,2,2024-09-07 08:55:20:608,333448,333448,0,0,12815131,0,3036 97,3,2024-09-07 08:55:20:580,1,262,3,0,214,2990,262,0 98,0,2024-09-07 08:55:21:745,63862,0.3,63865,0.4,128354,0.2,170116,1.50 98,1,2024-09-07 08:55:20:589,462298,462298,0,0,216051958042,2246987192,460145,2052,101,382,391997,0 98,2,2024-09-07 08:55:20:769,331846,331846,0,0,12795055,0,3080 98,3,2024-09-07 08:55:20:698,1,262,17,0,840,4605,262,0 99,0,2024-09-07 08:55:21:493,64233,0.3,64502,0.4,128609,0.2,171753,1.50 99,1,2024-09-07 08:55:21:736,462296,462296,0,0,215314941106,2243377289,458506,3050,740,381,391744,0 99,2,2024-09-07 08:55:21:425,330726,330726,0,0,13639379,0,3106 99,3,2024-09-07 08:55:20:602,1,262,1,0,168,1955,262,0 100,0,2024-09-07 08:55:21:460,68702,0.6,68538,0.9,137066,0.7,183543,2.25 100,1,2024-09-07 08:55:20:551,459494,459494,0,0,214685654417,2267496836,452643,5533,1318,381,391989,0 100,2,2024-09-07 08:55:21:841,330985,330974,11,0,15015814,0,5417 100,3,2024-09-07 08:55:21:731,1,262,3,0,559,4959,262,0 101,0,2024-09-07 08:55:21:737,66039,1.7,64300,1.2,126269,1.9,173334,2.50 101,1,2024-09-07 08:55:20:560,459407,459407,0,0,214273177519,2256454863,452049,5587,1771,368,391769,0 101,2,2024-09-07 08:55:21:756,332833,332833,0,0,16254729,0,4644 101,3,2024-09-07 08:55:20:961,1,262,5,0,448,3286,262,0 102,0,2024-09-07 08:55:20:943,63793,0.7,66093,0.8,133081,0.7,174297,2.00 102,1,2024-09-07 08:55:21:142,460740,460740,0,0,214899013371,2255502717,455079,4820,841,369,391883,0 102,2,2024-09-07 08:55:21:748,333149,333095,54,0,14855860,0,6768 102,3,2024-09-07 08:55:21:617,1,262,3,0,410,2764,262,0 103,0,2024-09-07 08:55:21:599,66614,0.4,66533,0.6,125707,0.4,172557,1.75 103,1,2024-09-07 08:55:21:633,459789,459789,0,0,214914826728,2262532733,453491,4917,1381,381,391829,0 103,2,2024-09-07 08:55:20:583,327194,327194,0,0,13975683,0,2582 103,3,2024-09-07 08:55:20:755,1,262,3,0,916,3594,262,0 104,0,2024-09-07 08:55:21:047,67077,0.7,67502,0.9,133871,0.7,180639,2.25 104,1,2024-09-07 08:55:21:689,461330,461330,0,0,214546755822,2254885741,454651,5584,1095,365,392168,0 104,2,2024-09-07 08:55:21:673,329506,329506,0,0,15861337,0,3941 104,3,2024-09-07 08:55:21:430,1,262,2,0,1245,6561,262,0 105,0,2024-09-07 08:55:21:034,66715,1.1,64778,1.2,135587,1.6,178455,3.25 105,1,2024-09-07 08:55:20:559,461403,461403,0,0,215478693576,2260079628,455086,5338,979,366,391797,0 105,2,2024-09-07 08:55:21:332,334199,334199,0,0,15357571,0,3509 105,3,2024-09-07 08:55:21:324,1,262,5,0,399,4319,262,0 106,0,2024-09-07 08:55:20:957,63119,0.9,64661,1.0,132393,1.0,173174,2.75 106,1,2024-09-07 08:55:21:816,461441,461441,0,0,214980394547,2258112045,454329,6353,759,369,391767,0 106,2,2024-09-07 08:55:20:757,331273,331273,0,0,14867813,0,2795 106,3,2024-09-07 08:55:20:678,1,262,12,0,470,3670,262,0 107,0,2024-09-07 08:55:21:107,63829,0.7,63772,0.8,127522,0.7,170044,2.00 107,1,2024-09-07 08:55:20:636,459812,459812,0,0,214226590013,2252678008,454484,4779,549,381,392234,0 107,2,2024-09-07 08:55:21:521,328182,328181,1,0,15523645,0,5024 107,3,2024-09-07 08:55:21:755,1,262,7,0,353,3492,262,0 108,0,2024-09-07 08:55:21:832,65465,0.4,65778,0.6,130639,0.3,174624,1.75 108,1,2024-09-07 08:55:21:324,461443,461443,0,0,215952502565,2247949293,458227,2831,385,368,391857,0 108,2,2024-09-07 08:55:21:756,328657,328657,0,0,13986535,0,2647 108,3,2024-09-07 08:55:21:348,1,262,2,0,749,5590,262,0 109,0,2024-09-07 08:55:21:775,69328,0.4,68551,0.5,137642,0.3,184542,1.75 109,1,2024-09-07 08:55:20:589,459888,459888,0,0,214893720139,2252129088,456019,3353,516,383,392132,0 109,2,2024-09-07 08:55:20:931,333360,333360,0,0,14461596,0,3617 109,3,2024-09-07 08:55:21:140,1,262,1,0,249,3038,262,0 110,0,2024-09-07 08:55:21:774,63983,0.4,62343,0.6,130527,0.4,171022,1.75 110,1,2024-09-07 08:55:21:658,462971,462971,0,0,216172429914,2243092099,459825,2269,877,369,392045,0 110,2,2024-09-07 08:55:21:303,333222,333222,0,0,13730182,0,3264 110,3,2024-09-07 08:55:20:699,1,262,0,0,406,3438,262,0 111,0,2024-09-07 08:55:21:424,63755,0.3,63300,0.5,126572,0.3,169399,1.75 111,1,2024-09-07 08:55:21:000,463070,463070,0,0,216478742286,2242475286,461078,1656,336,382,391690,0 111,2,2024-09-07 08:55:21:116,330635,330635,0,0,12992162,0,2763 111,3,2024-09-07 08:55:20:913,1,262,3,0,379,3445,262,0 112,0,2024-09-07 08:55:20:911,64763,0.3,64611,0.4,129288,0.2,171987,1.50 112,1,2024-09-07 08:55:20:825,462974,462974,0,0,215449619079,2233056995,460555,1991,428,380,391580,0 112,2,2024-09-07 08:55:21:137,328711,328710,1,0,13148280,0,5036 112,3,2024-09-07 08:55:20:599,1,262,6,0,282,2722,262,0 113,0,2024-09-07 08:55:20:870,68538,0.3,68459,0.5,137826,0.2,184025,1.50 113,1,2024-09-07 08:55:21:685,463823,463823,0,0,216535727215,2233815128,461318,2015,490,366,391661,0 113,2,2024-09-07 08:55:21:303,334170,334170,0,0,12036453,0,3813 113,3,2024-09-07 08:55:20:691,1,262,19,0,340,3750,262,0 114,0,2024-09-07 08:55:20:878,64489,0.4,64936,0.5,128850,0.3,172160,1.75 114,1,2024-09-07 08:55:20:716,461888,461888,0,0,215626302440,2244711006,457077,3222,1589,381,391556,0 114,2,2024-09-07 08:55:20:873,333371,333370,1,0,13069747,0,5069 114,3,2024-09-07 08:55:21:278,1,262,2,0,395,2696,262,0 115,0,2024-09-07 08:55:20:562,64655,0.3,64947,0.4,129897,0.2,172515,1.50 115,1,2024-09-07 08:55:20:571,462021,462021,0,0,216005511593,2246106068,457643,3541,837,382,391639,0 115,2,2024-09-07 08:55:21:125,333148,333148,0,0,11418674,0,2152 115,3,2024-09-07 08:55:21:002,1,262,2,0,159,1391,262,0 116,0,2024-09-07 08:55:21:749,63828,0.6,63788,0.8,127669,0.6,170444,2.00 116,1,2024-09-07 08:55:20:804,459274,459274,0,0,214754670635,2269108536,452868,4351,2055,380,391782,0 116,2,2024-09-07 08:55:21:764,327463,327463,0,0,16191778,0,3529 116,3,2024-09-07 08:55:20:920,1,262,2,0,415,3667,262,0 117,0,2024-09-07 08:55:20:998,67965,0.7,67688,0.8,135571,0.6,181860,2.00 117,1,2024-09-07 08:55:21:588,461085,461085,0,0,215069915493,2248787378,456376,4229,480,370,392033,0 117,2,2024-09-07 08:55:21:118,333448,333448,0,0,13385078,0,3700 117,3,2024-09-07 08:55:21:062,1,262,2,0,490,4044,262,0 118,0,2024-09-07 08:55:21:790,64185,0.6,65877,0.7,134446,0.6,175199,2.00 118,1,2024-09-07 08:55:20:589,460985,460985,0,0,214449454936,2252546618,454607,4944,1434,366,391736,0 118,2,2024-09-07 08:55:21:599,333727,333727,0,0,14625845,0,2781 118,3,2024-09-07 08:55:21:778,1,262,1,0,235,2917,262,0 119,0,2024-09-07 08:55:21:357,65360,0.8,65798,0.8,131753,1.0,174935,2.25 119,1,2024-09-07 08:55:20:559,461935,461935,0,0,214868871678,2243072499,457269,4142,524,367,391641,0 119,2,2024-09-07 08:55:21:261,332745,332745,0,0,13831424,0,4174 119,3,2024-09-07 08:55:21:329,1,262,1,0,443,3565,262,0 120,0,2024-09-07 08:55:21:561,64125,0.5,63923,0.7,128167,0.5,171186,2.00 120,1,2024-09-07 08:55:20:863,461476,461476,0,0,214671184733,2249954549,456781,4344,351,368,391961,0 120,2,2024-09-07 08:55:20:770,327775,327774,1,0,16488748,0,5281 120,3,2024-09-07 08:55:21:296,1,262,4,0,241,3313,262,0 121,0,2024-09-07 08:55:21:725,66449,0.8,66499,0.9,133326,1.0,177860,2.00 121,1,2024-09-07 08:55:21:667,461487,461487,0,0,214566547282,2244568751,456867,4204,416,367,391840,0 121,2,2024-09-07 08:55:21:125,329063,329063,0,0,15745034,0,4127 121,3,2024-09-07 08:55:20:736,1,262,1,0,269,3045,262,0 122,0,2024-09-07 08:55:21:772,68702,0.8,66963,0.9,140455,1.0,184033,2.00 122,1,2024-09-07 08:55:20:862,460634,460634,0,0,215441037729,2259298310,454563,5254,817,366,392130,0 122,2,2024-09-07 08:55:21:337,333632,333559,73,0,18429639,0,5989 122,3,2024-09-07 08:55:20:599,1,262,1,0,411,5050,262,0 123,0,2024-09-07 08:55:20:983,64623,0.9,63150,0.9,131736,1.1,172867,2.25 123,1,2024-09-07 08:55:20:558,461144,461144,0,0,214872763488,2265274109,452469,6959,1716,369,392039,0 123,2,2024-09-07 08:55:21:020,330249,330248,1,0,15257972,0,5215 123,3,2024-09-07 08:55:21:137,1,262,1,0,168,3106,262,0 124,0,2024-09-07 08:55:21:019,65317,0.3,65280,0.5,123225,0.3,169036,1.75 124,1,2024-09-07 08:55:21:022,462666,462666,0,0,214901819181,2231858998,459759,2542,365,367,392178,0 124,2,2024-09-07 08:55:21:011,330452,330452,0,0,12346060,0,3101 124,3,2024-09-07 08:55:20:758,1,262,3,0,490,2879,262,0 125,0,2024-09-07 08:55:21:417,64822,0.3,64587,0.5,129913,0.3,172912,1.75 125,1,2024-09-07 08:55:20:866,461254,461254,0,0,215546256768,2246991842,458153,2706,395,383,391702,0 125,2,2024-09-07 08:55:21:119,329511,329511,0,0,13419995,0,4534 125,3,2024-09-07 08:55:21:126,1,262,1,0,709,3591,262,0 126,0,2024-09-07 08:55:21:445,69217,0.5,71127,0.6,135872,0.5,184512,1.75 126,1,2024-09-07 08:55:20:554,462896,462896,0,0,215465187417,2231975585,460174,2458,264,365,391987,0 126,2,2024-09-07 08:55:20:614,335170,335170,0,0,13778537,0,3186 126,3,2024-09-07 08:55:20:929,1,262,6,0,183,3528,262,0 127,0,2024-09-07 08:55:21:600,63295,0.4,63597,0.5,126683,0.3,168926,1.75 127,1,2024-09-07 08:55:20:572,462672,462672,0,0,215968070842,2237000596,459704,2815,153,365,391816,0 127,2,2024-09-07 08:55:20:652,333421,333421,0,0,12374614,0,2264 127,3,2024-09-07 08:55:21:331,1,262,28,0,968,3039,262,0 128,0,2024-09-07 08:55:21:529,64318,0.3,64237,0.4,128334,0.2,170514,1.50 128,1,2024-09-07 08:55:21:717,461754,461754,0,0,215805802320,2236667703,459578,2019,157,367,391798,0 128,2,2024-09-07 08:55:21:387,332272,332272,0,0,12210548,0,2434 128,3,2024-09-07 08:55:20:769,1,262,2,0,1082,5421,262,0 129,0,2024-09-07 08:55:21:039,64756,0.3,64468,0.4,128954,0.2,171474,1.50 129,1,2024-09-07 08:55:20:583,460091,460091,0,0,215194487865,2253463170,455928,3232,931,379,391835,0 129,2,2024-09-07 08:55:20:686,328972,328972,0,0,13132887,0,4031 129,3,2024-09-07 08:55:20:699,1,262,2,0,469,3512,262,0 130,0,2024-09-07 08:55:21:723,69246,0.5,68815,0.6,138139,0.5,184418,1.75 130,1,2024-09-07 08:55:20:587,462553,462553,0,0,215145524992,2236931559,460108,2366,79,381,391825,0 130,2,2024-09-07 08:55:21:133,333587,333587,0,0,12792245,0,4067 130,3,2024-09-07 08:55:21:296,1,262,13,0,450,3404,262,0 131,0,2024-09-07 08:55:21:955,64658,0.4,65040,0.5,130913,0.3,173077,1.75 131,1,2024-09-07 08:55:21:826,462380,462380,0,0,215528007385,2245629166,459326,2596,458,381,391865,0 131,2,2024-09-07 08:55:20:568,335602,335602,0,0,11760058,0,2415 131,3,2024-09-07 08:55:21:700,1,262,4,0,392,2591,262,0 132,0,2024-09-07 08:55:21:472,65156,0.5,66108,0.6,131589,0.5,174724,2.00 132,1,2024-09-07 08:55:20:587,460025,460025,0,0,214410918445,2258928329,453009,5762,1254,381,392097,0 132,2,2024-09-07 08:55:20:709,332442,332442,0,0,15529843,0,4606 132,3,2024-09-07 08:55:21:692,1,262,54,0,356,3646,262,0 133,0,2024-09-07 08:55:21:531,63129,0.4,64594,0.5,132172,0.3,171307,1.75 133,1,2024-09-07 08:55:20:602,460680,460680,0,0,214983167587,2260391437,455191,4820,669,383,391914,0 133,2,2024-09-07 08:55:21:094,327008,327008,0,0,16606119,0,4315 133,3,2024-09-07 08:55:21:297,1,262,2,0,276,2570,262,0 134,0,2024-09-07 08:55:20:948,67678,0.4,67659,0.6,135429,0.4,180672,2.00 134,1,2024-09-07 08:55:20:584,460031,460031,0,0,214765741074,2253476393,453569,4992,1470,366,391718,0 134,2,2024-09-07 08:55:21:756,330166,330166,0,0,13902303,0,3847 134,3,2024-09-07 08:55:20:749,1,262,1,0,739,3827,262,0 135,0,2024-09-07 08:55:21:127,65198,0.8,65183,0.9,138311,0.9,178223,2.25 135,1,2024-09-07 08:55:21:597,460188,460188,0,0,215591328218,2266337310,453984,5206,998,380,391805,0 135,2,2024-09-07 08:55:20:688,334953,334953,0,0,15464276,0,3981 135,3,2024-09-07 08:55:21:004,1,262,1,0,299,1868,262,0 136,0,2024-09-07 08:55:21:649,65834,0.6,65773,0.8,131220,0.6,175166,2.25 136,1,2024-09-07 08:55:21:441,460311,460311,0,0,214481406788,2252872588,454770,4955,586,382,391685,0 136,2,2024-09-07 08:55:21:138,333019,333019,0,0,14962837,0,3506 136,3,2024-09-07 08:55:21:106,1,262,0,0,150,2148,262,0 137,0,2024-09-07 08:55:20:924,65734,0.6,63872,0.7,126038,0.5,171187,2.00 137,1,2024-09-07 08:55:20:587,460571,460571,0,0,214595793088,2250737321,453618,5535,1418,366,391708,0 137,2,2024-09-07 08:55:21:706,328044,328044,0,0,16465874,0,3185 137,3,2024-09-07 08:55:20:774,1,262,35,0,382,3328,262,0 138,0,2024-09-07 08:55:21:743,65042,0.7,64989,0.9,130786,0.8,174201,2.00 138,1,2024-09-07 08:55:21:686,460462,460462,0,0,215587097411,2262477970,453487,5842,1133,368,391954,0 138,2,2024-09-07 08:55:20:588,329351,329351,0,0,15173531,0,4988 138,3,2024-09-07 08:55:20:610,1,262,6,0,1160,3893,262,0 139,0,2024-09-07 08:55:21:407,67814,1.7,68140,1.2,136391,2.5,182824,2.50 139,1,2024-09-07 08:55:20:587,458976,458976,0,0,213900861455,2271107109,450435,6424,2117,381,391892,0 139,2,2024-09-07 08:55:20:695,332437,332437,0,0,16129304,0,3097 139,3,2024-09-07 08:55:21:665,1,262,5,0,257,2861,262,0 140,0,2024-09-07 08:55:21:590,64394,0.3,63857,0.5,128368,0.2,171216,1.75 140,1,2024-09-07 08:55:21:539,463807,463807,0,0,216334033146,2228123113,461825,1740,242,365,391606,0 140,2,2024-09-07 08:55:20:687,333200,333200,0,0,12653201,0,3388 140,3,2024-09-07 08:55:20:767,1,262,42,0,247,2207,262,0 141,0,2024-09-07 08:55:21:710,63516,0.3,65320,0.4,124845,0.2,169346,1.50 141,1,2024-09-07 08:55:20:858,463037,463037,0,0,216252201225,2242081629,460466,2163,408,379,391614,0 141,2,2024-09-07 08:55:21:691,331278,331278,0,0,12203285,0,2342 141,3,2024-09-07 08:55:21:051,1,262,7,0,202,2383,262,0 142,0,2024-09-07 08:55:21:339,65394,0.3,64614,0.4,128635,0.2,172374,1.50 142,1,2024-09-07 08:55:20:593,462351,462351,0,0,215090801861,2241481674,459515,2571,265,382,392102,0 142,2,2024-09-07 08:55:21:299,328594,328562,32,0,14035102,0,6028 142,3,2024-09-07 08:55:21:765,1,262,3,0,484,3229,262,0 143,0,2024-09-07 08:55:21:393,68885,0.5,68839,0.6,138236,0.5,183842,1.75 143,1,2024-09-07 08:55:20:570,462334,462334,0,0,215532514608,2233925020,459575,2663,96,367,391651,0 143,2,2024-09-07 08:55:20:776,333092,333092,0,0,13298287,0,2669 143,3,2024-09-07 08:55:21:140,1,262,21,0,303,3404,262,0 144,0,2024-09-07 08:55:21:525,62092,0.6,63671,0.9,129937,0.6,170348,2.00 144,1,2024-09-07 08:55:20:565,459989,459989,0,0,214651583185,2251376952,455942,3121,926,381,391649,0 144,2,2024-09-07 08:55:21:765,334099,334099,0,0,12539847,0,3473 144,3,2024-09-07 08:55:21:742,1,262,1,0,249,3007,262,0 145,0,2024-09-07 08:55:21:391,62322,0.6,62305,0.8,132277,0.5,170472,2.25 145,1,2024-09-07 08:55:20:561,459602,459602,0,0,215058817914,2258850408,454100,4679,823,382,391698,0 145,2,2024-09-07 08:55:21:435,331463,331463,0,0,14713324,0,3903 145,3,2024-09-07 08:55:20:900,1,262,1,0,622,4125,262,0 146,0,2024-09-07 08:55:21:657,63961,0.5,63433,0.7,127909,0.4,169649,2.00 146,1,2024-09-07 08:55:21:603,460373,460373,0,0,214901108136,2263041937,452090,6436,1847,368,391770,0 146,2,2024-09-07 08:55:21:698,327369,327369,0,0,14857170,0,2730 146,3,2024-09-07 08:55:21:278,1,262,1,0,1520,5949,262,0 147,0,2024-09-07 08:55:21:704,67873,0.5,67704,0.7,134654,0.5,181572,2.00 147,1,2024-09-07 08:55:21:375,462856,462856,0,0,215985727180,2245631260,458752,3519,585,368,391791,0 147,2,2024-09-07 08:55:21:010,332842,332842,0,0,12739082,0,2789 147,3,2024-09-07 08:55:20:922,1,262,3,0,730,3938,262,0 0,0,2024-09-07 08:55:31:728,62623,0.4,62710,0.7,132854,0.4,172019,1.75 0,1,2024-09-07 08:55:30:807,463342,463342,0,0,216270776893,2260295366,460090,3046,206,370,391772,0 0,2,2024-09-07 08:55:31:069,329253,329253,0,0,13234078,0,4480 0,3,2024-09-07 08:55:30:985,1,263,2,0,319,3461,263,0 1,0,2024-09-07 08:55:31:790,67093,0.7,66623,0.9,133910,0.9,179051,2.00 1,1,2024-09-07 08:55:30:570,462988,462988,0,0,215242386163,2253946561,458484,3451,1053,371,391857,0 1,2,2024-09-07 08:55:30:685,330648,330648,0,0,12397213,0,3267 1,3,2024-09-07 08:55:31:302,1,263,1,0,262,3017,263,0 2,0,2024-09-07 08:55:31:573,69142,0.7,69416,0.8,137433,1.0,183995,2.00 2,1,2024-09-07 08:55:30:868,463687,463687,0,0,216894955826,2251049657,461579,1890,218,380,391745,0 2,2,2024-09-07 08:55:31:272,336389,336389,0,0,12891400,0,3594 2,3,2024-09-07 08:55:30:694,1,263,1,0,357,2515,263,0 3,0,2024-09-07 08:55:31:748,65107,0.5,65181,0.6,130059,0.4,173257,2.00 3,1,2024-09-07 08:55:31:627,463275,463275,0,0,216434431897,2252895686,459434,3379,462,380,391556,0 3,2,2024-09-07 08:55:31:143,333833,333810,23,0,13113167,0,5851 3,3,2024-09-07 08:55:31:762,1,263,2,0,103,1549,263,0 4,0,2024-09-07 08:55:31:811,61557,0.4,63371,0.5,128870,0.3,168815,1.75 4,1,2024-09-07 08:55:30:619,462994,462994,0,0,215648244183,2262758151,458248,3954,792,371,391846,0 4,2,2024-09-07 08:55:31:033,329685,329685,0,0,15295440,0,4528 4,3,2024-09-07 08:55:31:047,1,263,4,0,448,3981,263,0 5,0,2024-09-07 08:55:31:388,65128,0.4,65441,0.5,130023,0.3,173763,1.75 5,1,2024-09-07 08:55:30:773,462758,462758,0,0,215332333553,2261881088,457257,4389,1112,368,392005,0 5,2,2024-09-07 08:55:31:830,329359,329359,0,0,13916915,0,2432 5,3,2024-09-07 08:55:31:743,1,263,31,0,457,3906,263,0 6,0,2024-09-07 08:55:30:919,69824,0.5,69286,0.7,138417,0.5,185006,2.00 6,1,2024-09-07 08:55:30:756,463080,463080,0,0,215772490909,2252743489,458404,3832,844,379,391694,0 6,2,2024-09-07 08:55:31:117,335039,335039,0,0,13946185,0,4816 6,3,2024-09-07 08:55:31:278,1,263,0,0,340,2985,263,0 7,0,2024-09-07 08:55:31:537,63264,0.5,63533,0.7,126617,0.5,168816,2.00 7,1,2024-09-07 08:55:30:857,462748,462748,0,0,215883638401,2262614360,456845,4900,1003,382,391747,0 7,2,2024-09-07 08:55:30:772,333147,333147,0,0,13733341,0,4791 7,3,2024-09-07 08:55:30:851,1,263,2,0,398,3152,263,0 8,0,2024-09-07 08:55:31:400,64190,0.4,64148,0.5,127804,0.3,170560,1.75 8,1,2024-09-07 08:55:31:050,461523,461523,0,0,215929486437,2275466249,453224,6280,2019,366,391956,0 8,2,2024-09-07 08:55:30:792,329018,329018,0,0,16602352,0,3220 8,3,2024-09-07 08:55:30:590,1,263,8,0,357,3886,263,0 9,0,2024-09-07 08:55:31:144,64832,0.3,62747,0.5,131220,0.3,172409,1.50 9,1,2024-09-07 08:55:30:567,461787,461787,0,0,215298789073,2267644478,455291,4954,1542,370,392001,0 9,2,2024-09-07 08:55:31:091,329334,329334,0,0,14636507,0,3360 9,3,2024-09-07 08:55:31:774,1,263,1,0,496,3879,263,0 10,0,2024-09-07 08:55:31:600,69444,0.3,68882,0.5,138432,0.2,184905,1.75 10,1,2024-09-07 08:55:30:583,462421,462421,0,0,215330811763,2256047389,456059,5434,928,381,391741,0 10,2,2024-09-07 08:55:30:764,333766,333766,0,0,16328134,0,4264 10,3,2024-09-07 08:55:30:879,1,263,1,0,177,2110,263,0 11,0,2024-09-07 08:55:31:007,64490,0.5,62654,0.7,131037,0.5,173197,2.00 11,1,2024-09-07 08:55:30:572,463142,463142,0,0,216069976974,2271320198,454923,6238,1981,383,391537,0 11,2,2024-09-07 08:55:31:130,334741,334741,0,0,15223649,0,4130 11,3,2024-09-07 08:55:31:300,1,263,1,0,843,4243,263,0 12,0,2024-09-07 08:55:30:967,66087,0.3,65929,0.5,131885,0.3,175012,1.75 12,1,2024-09-07 08:55:30:935,462658,462658,0,0,215660649667,2247025411,459131,3105,422,370,391870,0 12,2,2024-09-07 08:55:31:554,334106,334106,0,0,14296447,0,3469 12,3,2024-09-07 08:55:31:065,1,263,3,0,386,4371,263,0 13,0,2024-09-07 08:55:31:333,65039,0.3,64834,0.5,129583,0.3,171912,1.50 13,1,2024-09-07 08:55:31:540,463160,463160,0,0,215773998197,2262178547,459607,3012,541,382,391740,0 13,2,2024-09-07 08:55:30:604,330161,330161,0,0,13283068,0,3287 13,3,2024-09-07 08:55:31:787,1,263,3,0,467,3963,263,0 14,0,2024-09-07 08:55:30:570,68078,0.3,68691,0.5,136045,0.3,181395,1.75 14,1,2024-09-07 08:55:31:565,465937,465937,0,0,216784297453,2241905694,463106,2642,189,364,391571,0 14,2,2024-09-07 08:55:30:764,331780,331780,0,0,13397217,0,2896 14,3,2024-09-07 08:55:31:116,1,263,0,0,1168,3575,263,0 15,0,2024-09-07 08:55:31:560,67411,0.5,67586,0.7,134823,0.5,179227,2.00 15,1,2024-09-07 08:55:31:609,463927,463927,0,0,216192776351,2246280866,461405,2344,178,381,391619,0 15,2,2024-09-07 08:55:30:999,336841,336841,0,0,11514283,0,3043 15,3,2024-09-07 08:55:31:406,1,263,1,0,1126,5367,263,0 16,0,2024-09-07 08:55:31:010,65767,0.7,66024,0.8,131438,0.7,175314,2.25 16,1,2024-09-07 08:55:30:570,464029,464029,0,0,216291651621,2258044580,460465,3264,300,370,391756,0 16,2,2024-09-07 08:55:31:448,333575,333575,0,0,14075259,0,4719 16,3,2024-09-07 08:55:31:164,1,263,30,0,317,3598,263,0 17,0,2024-09-07 08:55:31:801,66191,0.5,64601,0.7,126368,0.4,171986,1.75 17,1,2024-09-07 08:55:30:587,462636,462636,0,0,215397713647,2259940389,457774,3757,1105,368,391845,0 17,2,2024-09-07 08:55:31:682,332329,332329,0,0,13094945,0,2857 17,3,2024-09-07 08:55:30:575,1,263,38,0,268,4122,263,0 18,0,2024-09-07 08:55:30:947,65132,0.6,65341,0.8,130437,0.6,174293,2.25 18,1,2024-09-07 08:55:31:638,463993,463993,0,0,216084419850,2238207582,461481,2270,242,367,391649,0 18,2,2024-09-07 08:55:31:757,332151,332151,0,0,12963834,0,3541 18,3,2024-09-07 08:55:30:905,1,263,1,0,163,2547,263,0 19,0,2024-09-07 08:55:31:540,68906,0.6,69515,0.8,137798,0.6,183964,2.25 19,1,2024-09-07 08:55:30:566,463999,463999,0,0,217188229420,2255298994,459337,3875,787,367,391777,0 19,2,2024-09-07 08:55:31:762,337811,337811,0,0,11962644,0,3988 19,3,2024-09-07 08:55:31:129,1,263,2,0,524,1905,263,0 20,0,2024-09-07 08:55:31:385,64041,0.7,64007,0.8,128426,0.7,171485,2.25 20,1,2024-09-07 08:55:30:569,462801,462801,0,0,216612722628,2263378432,458977,3426,398,369,391886,0 20,2,2024-09-07 08:55:30:940,334031,334031,0,0,13467275,0,3721 20,3,2024-09-07 08:55:30:591,1,263,4,0,414,4654,263,0 21,0,2024-09-07 08:55:31:143,63748,0.5,63942,0.6,127522,0.4,169055,2.00 21,1,2024-09-07 08:55:31:549,461713,461713,0,0,215424441512,2265322726,455987,4467,1259,368,391962,0 21,2,2024-09-07 08:55:31:068,330734,330734,0,0,15250661,0,3747 21,3,2024-09-07 08:55:31:403,1,263,0,0,103,2660,263,0 22,0,2024-09-07 08:55:31:723,64582,0.5,64700,0.7,129126,0.5,171823,2.00 22,1,2024-09-07 08:55:31:041,462237,462237,0,0,215235478386,2263722256,455005,5590,1642,382,391667,0 22,2,2024-09-07 08:55:30:777,329659,329659,0,0,13343396,0,3134 22,3,2024-09-07 08:55:31:066,1,263,2,0,228,2355,263,0 23,0,2024-09-07 08:55:31:382,68905,0.5,68645,0.7,138032,0.5,184183,2.25 23,1,2024-09-07 08:55:31:003,463328,463328,0,0,216041308179,2265928940,456017,4760,2551,365,391690,0 23,2,2024-09-07 08:55:31:095,335005,335005,0,0,12941898,0,3010 23,3,2024-09-07 08:55:31:757,1,263,2,0,645,3310,263,0 24,0,2024-09-07 08:55:30:858,64785,0.4,64635,0.6,129683,0.4,172024,1.75 24,1,2024-09-07 08:55:30:585,462268,462268,0,0,215378282077,2258269918,456652,4134,1482,368,391987,0 24,2,2024-09-07 08:55:31:069,332872,332872,0,0,16118908,0,3607 24,3,2024-09-07 08:55:31:685,1,263,7,0,468,3824,263,0 25,0,2024-09-07 08:55:31:376,66295,0.5,64602,0.7,126909,0.4,172830,2.00 25,1,2024-09-07 08:55:30:577,463320,463320,0,0,216312540475,2262315902,458970,3796,554,371,391788,0 25,2,2024-09-07 08:55:31:606,332155,332155,0,0,16215060,0,3978 25,3,2024-09-07 08:55:30:999,1,263,2,0,255,2814,263,0 26,0,2024-09-07 08:55:31:733,63908,0.4,62476,0.6,130922,0.3,170396,1.75 26,1,2024-09-07 08:55:31:542,462716,462716,0,0,215547464588,2259777377,455724,5776,1216,381,391748,0 26,2,2024-09-07 08:55:30:863,329232,329232,0,0,15794329,0,2809 26,3,2024-09-07 08:55:31:714,1,263,1,0,796,3402,263,0 27,0,2024-09-07 08:55:31:731,68832,0.4,68856,0.6,136113,0.3,182784,2.00 27,1,2024-09-07 08:55:31:678,464680,464680,0,0,216798221847,2254186070,461225,2862,593,381,391558,0 27,2,2024-09-07 08:55:30:877,331247,331182,65,0,15981180,0,5699 27,3,2024-09-07 08:55:31:021,1,263,1,0,564,2793,263,0 28,0,2024-09-07 08:55:31:388,66237,0.5,66023,0.6,132638,0.4,176535,2.00 28,1,2024-09-07 08:55:30:797,464316,464316,0,0,217100775345,2258523042,461435,2410,471,383,391646,0 28,2,2024-09-07 08:55:31:763,335911,335911,0,0,12847635,0,2915 28,3,2024-09-07 08:55:31:776,1,263,4,0,502,2760,263,0 29,0,2024-09-07 08:55:31:361,67583,0.4,66081,0.6,129209,0.3,176273,1.75 29,1,2024-09-07 08:55:31:564,465157,465157,0,0,217208894277,2247900034,461929,2663,565,369,391621,0 29,2,2024-09-07 08:55:30:863,333056,333056,0,0,12226364,0,4018 29,3,2024-09-07 08:55:30:965,1,263,1,0,115,1884,263,0 30,0,2024-09-07 08:55:31:455,64461,0.5,62554,0.7,130891,0.4,171408,2.00 30,1,2024-09-07 08:55:30:571,465039,465039,0,0,217124825495,2248465276,462708,2038,293,382,391672,0 30,2,2024-09-07 08:55:31:279,329556,329556,0,0,11924763,0,3161 30,3,2024-09-07 08:55:30:582,1,263,1,0,519,2481,263,0 31,0,2024-09-07 08:55:31:767,66735,0.4,66997,0.6,134222,0.3,179068,1.75 31,1,2024-09-07 08:55:30:571,466502,466502,0,0,217844260213,2230805576,465108,1174,220,356,391712,0 31,2,2024-09-07 08:55:31:279,331189,331189,0,0,13696211,0,3525 31,3,2024-09-07 08:55:31:705,1,263,1,0,220,1977,263,0 32,0,2024-09-07 08:55:31:418,68955,0.4,69427,0.5,138727,0.3,184364,1.75 32,1,2024-09-07 08:55:30:803,464687,464687,0,0,216552548034,2245091907,462726,1682,279,381,391622,0 32,2,2024-09-07 08:55:30:941,336318,336318,0,0,11869297,0,3155 32,3,2024-09-07 08:55:31:018,1,263,1,0,227,2057,263,0 33,0,2024-09-07 08:55:31:493,65530,0.3,64933,0.5,130566,0.2,174163,1.75 33,1,2024-09-07 08:55:30:581,465073,465073,0,0,217991106600,2250807956,462436,2463,174,369,391730,0 33,2,2024-09-07 08:55:30:768,334968,334935,33,0,13479384,0,7012 33,3,2024-09-07 08:55:30:902,1,263,1,0,329,3108,263,0 34,0,2024-09-07 08:55:30:945,63416,0.3,65377,0.5,125375,0.2,168281,1.50 34,1,2024-09-07 08:55:31:050,465870,465870,0,0,218083381431,2241780450,464746,1117,7,367,391562,0 34,2,2024-09-07 08:55:30:769,330841,330841,0,0,12713316,0,3577 34,3,2024-09-07 08:55:31:692,1,263,2,0,299,2080,263,0 35,0,2024-09-07 08:55:30:859,64597,0.3,64981,0.5,130499,0.2,174238,1.75 35,1,2024-09-07 08:55:31:069,464709,464709,0,0,216188530502,2241618436,461351,2578,780,384,391589,0 35,2,2024-09-07 08:55:31:589,331101,331101,0,0,12515620,0,2653 35,3,2024-09-07 08:55:30:909,1,263,7,0,418,3355,263,0 36,0,2024-09-07 08:55:31:521,69501,0.5,69370,0.7,139097,0.5,184715,2.25 36,1,2024-09-07 08:55:30:591,463764,463764,0,0,215525069464,2252248878,457782,4403,1579,366,391759,0 36,2,2024-09-07 08:55:31:750,335168,335168,0,0,14260324,0,3875 36,3,2024-09-07 08:55:30:867,1,263,3,0,416,4058,263,0 37,0,2024-09-07 08:55:31:377,63134,0.5,63174,0.7,126564,0.5,169747,2.00 37,1,2024-09-07 08:55:30:569,462777,462770,0,7,215598647769,2256811347,456758,3943,2069,365,391560,0 37,2,2024-09-07 08:55:31:142,333039,333024,15,0,14561287,0,5815 37,3,2024-09-07 08:55:31:768,1,263,0,0,888,4495,263,0 38,0,2024-09-07 08:55:31:436,63451,0.5,61670,0.7,129191,0.4,168717,2.00 38,1,2024-09-07 08:55:31:609,463871,463871,0,0,216834794971,2260829540,458598,4597,676,368,391821,0 38,2,2024-09-07 08:55:30:763,331816,331769,47,0,14914262,0,6710 38,3,2024-09-07 08:55:30:997,1,263,2,0,689,3608,263,0 39,0,2024-09-07 08:55:31:763,66061,0.6,64878,0.7,125906,0.5,172516,2.00 39,1,2024-09-07 08:55:30:721,463787,463787,0,0,215906634103,2251562097,458227,4658,902,365,391594,0 39,2,2024-09-07 08:55:31:421,329532,329532,0,0,12919542,0,2689 39,3,2024-09-07 08:55:30:733,1,263,2,0,324,3446,263,0 40,0,2024-09-07 08:55:31:493,68544,0.7,69206,0.9,138242,0.7,184577,2.75 40,1,2024-09-07 08:55:30:590,463309,463309,0,0,215618233462,2258541760,456169,5697,1443,368,391651,0 40,2,2024-09-07 08:55:31:303,333553,333552,1,0,16325499,0,5137 40,3,2024-09-07 08:55:31:151,1,263,3,0,398,3198,263,0 41,0,2024-09-07 08:55:31:030,64284,1.9,65771,1.4,125429,3.0,171420,3.75 41,1,2024-09-07 08:55:30:772,463383,463383,0,0,215718909654,2254117787,457840,4908,635,370,391742,0 41,2,2024-09-07 08:55:30:764,333496,333496,0,0,15536584,0,3356 41,3,2024-09-07 08:55:31:678,1,263,1,0,366,3039,263,0 42,0,2024-09-07 08:55:31:473,64770,0.8,65031,1.0,130122,0.8,171572,2.50 42,1,2024-09-07 08:55:31:439,462152,462152,0,0,215544668887,2264208290,454867,5833,1452,380,391675,0 42,2,2024-09-07 08:55:31:133,333722,333722,0,0,14839056,0,3568 42,3,2024-09-07 08:55:31:011,1,263,0,0,446,2373,263,0 43,0,2024-09-07 08:55:30:917,64259,0.6,62676,0.9,130964,0.6,171639,2.00 43,1,2024-09-07 08:55:30:579,463183,463183,0,0,216665770582,2262302686,456918,4936,1329,366,391696,0 43,2,2024-09-07 08:55:31:743,329474,329474,0,0,14145752,0,3812 43,3,2024-09-07 08:55:31:750,1,263,1,0,467,3144,263,0 44,0,2024-09-07 08:55:30:857,67884,0.4,68340,0.6,136109,0.4,181644,1.75 44,1,2024-09-07 08:55:30:568,465202,465202,0,0,216218668704,2229980701,462642,2128,432,356,391809,0 44,2,2024-09-07 08:55:31:272,331295,331295,0,0,12144560,0,2231 44,3,2024-09-07 08:55:31:092,1,263,5,0,817,3051,263,0 45,0,2024-09-07 08:55:31:793,66418,0.6,64962,0.8,136295,0.7,178957,2.00 45,1,2024-09-07 08:55:31:005,464430,464430,0,0,216472037084,2241869975,462778,1640,12,382,391917,0 45,2,2024-09-07 08:55:31:268,336759,336759,0,0,12881356,0,3596 45,3,2024-09-07 08:55:30:938,1,263,99,0,271,2889,263,0 46,0,2024-09-07 08:55:30:955,65373,0.5,65155,0.7,130628,0.6,173265,2.00 46,1,2024-09-07 08:55:30:581,466053,466053,0,0,217336220541,2241857033,463706,2073,274,366,391539,0 46,2,2024-09-07 08:55:30:595,334688,334688,0,0,12353244,0,2920 46,3,2024-09-07 08:55:31:132,1,263,1,0,908,3807,263,0 47,0,2024-09-07 08:55:31:104,64567,0.4,64372,0.6,129446,0.4,171494,1.75 47,1,2024-09-07 08:55:30:567,465391,465391,0,0,216510473613,2233558404,463062,2195,134,366,391641,0 47,2,2024-09-07 08:55:30:912,331916,331916,0,0,12254859,0,2558 47,3,2024-09-07 08:55:31:116,1,263,17,0,529,2358,263,0 48,0,2024-09-07 08:55:31:507,66143,0.3,65784,0.4,131128,0.2,175269,1.50 48,1,2024-09-07 08:55:31:022,464532,464532,0,0,216673329552,2249326535,461420,2812,300,384,391710,0 48,2,2024-09-07 08:55:30:713,331047,331047,0,0,11929697,0,3031 48,3,2024-09-07 08:55:30:754,1,263,0,0,339,2235,263,0 49,0,2024-09-07 08:55:31:727,71241,0.4,70031,0.5,135701,0.3,186344,1.75 49,1,2024-09-07 08:55:31:027,463515,463515,0,0,216053206338,2251095126,459643,2691,1181,382,391809,0 49,2,2024-09-07 08:55:31:797,337017,337017,0,0,12500640,0,3900 49,3,2024-09-07 08:55:31:420,1,263,1,0,408,2850,263,0 50,0,2024-09-07 08:55:31:507,64330,0.3,63739,0.5,128241,0.2,171378,1.75 50,1,2024-09-07 08:55:31:013,465054,465054,0,0,217509038058,2248808116,462094,2650,310,368,391530,0 50,2,2024-09-07 08:55:31:067,334443,334443,0,0,11699246,0,2263 50,3,2024-09-07 08:55:31:293,1,263,1,0,335,2148,263,0 51,0,2024-09-07 08:55:31:690,65211,0.3,63817,0.5,124283,0.2,169410,1.75 51,1,2024-09-07 08:55:31:682,465506,465506,0,0,217452446377,2243634327,462702,1864,940,365,391706,0 51,2,2024-09-07 08:55:31:316,332013,332013,0,0,11067085,0,3337 51,3,2024-09-07 08:55:31:032,1,263,18,0,678,2079,263,0 52,0,2024-09-07 08:55:31:415,65379,0.4,64868,0.6,129683,0.4,172486,2.00 52,1,2024-09-07 08:55:30:575,463176,463176,0,0,215073887231,2253353720,456016,5906,1254,368,391722,0 52,2,2024-09-07 08:55:31:756,328231,328193,38,0,15104889,0,6742 52,3,2024-09-07 08:55:30:678,1,263,1,0,1782,4576,263,0 53,0,2024-09-07 08:55:31:732,68679,0.6,66751,0.8,139674,0.6,183453,2.25 53,1,2024-09-07 08:55:30:771,462429,462429,0,0,215529540698,2261321116,455102,4971,2356,367,391702,0 53,2,2024-09-07 08:55:31:300,334939,334939,0,0,12637687,0,2727 53,3,2024-09-07 08:55:30:712,1,263,1,0,271,2333,263,0 54,0,2024-09-07 08:55:31:619,63205,0.8,63794,0.8,126296,0.5,169144,2.50 54,1,2024-09-07 08:55:30:584,463828,463828,0,0,216534602476,2250375117,459092,4139,597,367,391659,0 54,2,2024-09-07 08:55:30:881,334736,334730,6,0,14027364,0,5382 54,3,2024-09-07 08:55:30:764,1,263,0,0,676,3916,263,0 55,0,2024-09-07 08:55:31:763,62361,0.6,64545,0.8,129934,0.5,169199,2.50 55,1,2024-09-07 08:55:30:771,463325,463325,0,0,216416198995,2251697094,457775,4763,787,365,391731,0 55,2,2024-09-07 08:55:30:730,332544,332544,0,0,14150338,0,3275 55,3,2024-09-07 08:55:30:684,1,263,1,0,304,2896,263,0 56,0,2024-09-07 08:55:31:578,65576,0.9,61953,1.0,127333,1.2,170264,2.25 56,1,2024-09-07 08:55:30:578,461613,461613,0,0,216009963556,2282014418,454367,5808,1438,381,391729,0 56,2,2024-09-07 08:55:31:309,329150,329150,0,0,15326862,0,3567 56,3,2024-09-07 08:55:31:066,1,263,24,0,705,3822,263,0 57,0,2024-09-07 08:55:30:996,67642,1.4,67613,1.1,135274,1.9,181577,2.75 57,1,2024-09-07 08:55:31:008,463049,463049,0,0,215848675694,2259696569,457721,4657,671,367,391960,0 57,2,2024-09-07 08:55:31:346,333175,333175,0,0,16601387,0,3317 57,3,2024-09-07 08:55:31:745,1,263,0,0,359,3438,263,0 58,0,2024-09-07 08:55:30:557,64868,1.1,63084,1.1,132104,1.5,173029,2.75 58,1,2024-09-07 08:55:30:578,464050,464047,0,3,216726656824,2262660461,458312,5024,711,367,391603,3 58,2,2024-09-07 08:55:31:071,335758,335758,0,0,14814323,0,2549 58,3,2024-09-07 08:55:31:068,1,263,1,0,1043,2917,263,0 59,0,2024-09-07 08:55:31:761,65524,0.8,65274,1.0,130289,0.8,172937,2.75 59,1,2024-09-07 08:55:30:804,463397,463397,0,0,216364605240,2261934792,457608,4524,1265,369,391525,0 59,2,2024-09-07 08:55:30:583,335162,335162,0,0,13532186,0,2604 59,3,2024-09-07 08:55:31:737,1,263,8,0,1015,3597,263,0 60,0,2024-09-07 08:55:31:732,64584,0.4,64473,0.6,129939,0.3,171964,1.75 60,1,2024-09-07 08:55:30:810,464970,464970,0,0,217574709076,2254155884,462518,1935,517,370,392031,0 60,2,2024-09-07 08:55:31:146,329724,329724,0,0,14531959,0,3811 60,3,2024-09-07 08:55:31:269,1,263,1,0,124,2553,263,0 61,0,2024-09-07 08:55:31:549,66996,0.6,67189,0.7,133908,0.6,178924,2.00 61,1,2024-09-07 08:55:30:772,463282,463282,0,0,216102785994,2259336588,458701,3878,703,382,391880,0 61,2,2024-09-07 08:55:31:120,331947,331947,0,0,13056977,0,2780 61,3,2024-09-07 08:55:31:702,1,263,19,0,397,3530,263,0 62,0,2024-09-07 08:55:31:711,69606,0.6,70932,0.7,135382,0.6,184056,2.00 62,1,2024-09-07 08:55:31:116,465906,465900,0,6,217950228365,2246340117,463434,2348,118,365,391715,6 62,2,2024-09-07 08:55:31:646,334879,334878,1,0,14395073,0,5555 62,3,2024-09-07 08:55:31:143,1,263,1,0,287,1841,263,0 63,0,2024-09-07 08:55:31:463,65097,0.5,65281,0.6,130681,0.4,173715,1.75 63,1,2024-09-07 08:55:30:803,465103,465097,0,6,216514579123,2241029093,463520,1527,50,381,391677,6 63,2,2024-09-07 08:55:30:765,334366,334366,0,0,12056352,0,2674 63,3,2024-09-07 08:55:31:731,1,263,0,0,667,2886,263,0 64,0,2024-09-07 08:55:31:580,63134,0.4,63081,0.6,126327,0.4,168140,1.75 64,1,2024-09-07 08:55:30:768,463590,463590,0,0,216325811874,2254726138,459096,3078,1416,370,391783,0 64,2,2024-09-07 08:55:31:149,333474,333455,19,0,12805445,0,6121 64,3,2024-09-07 08:55:31:142,1,263,1,0,265,2580,263,0 65,0,2024-09-07 08:55:31:790,64540,0.5,65044,0.7,129645,0.5,172838,2.00 65,1,2024-09-07 08:55:30:916,462948,462948,0,0,215720271177,2255243622,459356,3147,445,382,391901,0 65,2,2024-09-07 08:55:31:712,330820,330820,0,0,13841212,0,3367 65,3,2024-09-07 08:55:31:684,1,263,24,0,163,2532,263,0 66,0,2024-09-07 08:55:31:769,69130,0.4,68878,0.7,138465,0.4,183908,2.00 66,1,2024-09-07 08:55:31:300,464679,464679,0,0,216651943264,2249556916,462104,2374,201,380,391653,0 66,2,2024-09-07 08:55:31:137,337508,337508,0,0,13391461,0,4956 66,3,2024-09-07 08:55:31:079,1,263,1,0,291,2746,263,0 67,0,2024-09-07 08:55:31:414,63935,0.6,63386,0.8,127610,0.6,169779,2.00 67,1,2024-09-07 08:55:30:777,463561,463560,0,1,216528959682,2256398390,459713,3098,749,380,391787,1 67,2,2024-09-07 08:55:30:583,334891,334891,0,0,12311137,0,2889 67,3,2024-09-07 08:55:31:749,1,263,1,0,338,2407,263,0 68,0,2024-09-07 08:55:30:584,64230,0.6,63975,0.8,127597,0.6,170504,2.00 68,1,2024-09-07 08:55:30:574,462993,462993,0,0,215757061957,2259532694,458809,3014,1170,381,391953,0 68,2,2024-09-07 08:55:31:066,331411,331346,65,0,15995830,0,6698 68,3,2024-09-07 08:55:30:738,1,263,0,0,417,2865,263,0 69,0,2024-09-07 08:55:31:916,64216,0.6,64509,0.8,128742,0.6,171564,2.00 69,1,2024-09-07 08:55:31:036,461784,461784,0,0,215481884573,2270852127,456081,4301,1402,384,391994,0 69,2,2024-09-07 08:55:31:744,329577,329577,0,0,15783307,0,3722 69,3,2024-09-07 08:55:30:765,1,263,1,0,698,3693,263,0 70,0,2024-09-07 08:55:31:546,68953,0.7,68745,0.9,138227,0.5,184103,2.25 70,1,2024-09-07 08:55:30:804,464621,464621,0,0,217611842653,2257380684,460954,3139,528,366,391725,0 70,2,2024-09-07 08:55:31:333,333917,333917,0,0,14447577,0,4044 70,3,2024-09-07 08:55:30:756,1,263,32,0,854,3063,263,0 71,0,2024-09-07 08:55:31:360,64268,1.2,64189,1.1,128675,1.5,172107,2.75 71,1,2024-09-07 08:55:31:600,463465,463465,0,0,216388792369,2255350047,458309,4535,621,368,391738,0 71,2,2024-09-07 08:55:31:067,334972,334972,0,0,14827383,0,4042 71,3,2024-09-07 08:55:31:749,1,263,1,0,644,3714,263,0 72,0,2024-09-07 08:55:31:094,67701,0.7,66264,0.8,129052,0.8,175416,2.25 72,1,2024-09-07 08:55:31:041,463776,463776,0,0,216993946981,2263395533,458711,4133,932,369,391819,0 72,2,2024-09-07 08:55:31:774,332703,332703,0,0,16552650,0,3983 72,3,2024-09-07 08:55:31:772,1,263,16,0,564,4596,263,0 73,0,2024-09-07 08:55:31:121,63032,0.4,64533,0.6,132346,0.3,171265,2.00 73,1,2024-09-07 08:55:30:787,464073,464073,0,0,216358364192,2246809410,460980,2858,235,367,391750,0 73,2,2024-09-07 08:55:31:743,329452,329452,0,0,15817480,0,3701 73,3,2024-09-07 08:55:30:974,1,263,3,0,274,3756,263,0 74,0,2024-09-07 08:55:31:324,68543,0.4,70043,0.6,133630,0.4,181547,2.25 74,1,2024-09-07 08:55:30:639,463227,463227,0,0,215974815462,2253294626,458822,3606,799,381,391681,0 74,2,2024-09-07 08:55:31:006,331710,331710,0,0,15197956,0,4253 74,3,2024-09-07 08:55:31:443,1,263,0,0,522,3410,263,0 75,0,2024-09-07 08:55:31:764,67643,0.5,67280,0.8,134880,0.5,180063,2.25 75,1,2024-09-07 08:55:31:604,462585,462585,0,0,215617031368,2252555731,457653,4268,664,380,391660,0 75,2,2024-09-07 08:55:31:357,335245,335245,0,0,15720373,0,4766 75,3,2024-09-07 08:55:31:070,1,263,0,0,702,3766,263,0 76,0,2024-09-07 08:55:30:673,65405,0.7,64756,0.8,129964,0.7,174162,2.50 76,1,2024-09-07 08:55:30:817,463373,463373,0,0,216354971280,2255190450,460265,2557,551,382,391692,0 76,2,2024-09-07 08:55:31:074,335362,335362,0,0,12993810,0,3784 76,3,2024-09-07 08:55:31:143,1,263,5,0,175,2682,263,0 77,0,2024-09-07 08:55:31:747,64175,0.5,64464,0.7,128767,0.4,171167,1.75 77,1,2024-09-07 08:55:30:843,463881,463881,0,0,216058022460,2254380653,460428,3196,257,381,391808,0 77,2,2024-09-07 08:55:31:290,329636,329636,0,0,13539634,0,3890 77,3,2024-09-07 08:55:31:098,1,263,22,0,382,2906,263,0 78,0,2024-09-07 08:55:31:722,66038,0.4,65501,0.6,131828,0.3,174650,2.00 78,1,2024-09-07 08:55:30:620,464085,464085,0,0,215633451609,2236782172,461087,2679,319,367,391670,0 78,2,2024-09-07 08:55:31:418,331282,331282,0,0,12126080,0,3855 78,3,2024-09-07 08:55:31:133,1,263,17,0,181,2472,263,0 79,0,2024-09-07 08:55:31:390,67199,0.4,68969,0.6,140933,0.4,183912,2.25 79,1,2024-09-07 08:55:30:571,465386,465386,0,0,216760660775,2241211956,462540,2509,337,369,391682,0 79,2,2024-09-07 08:55:31:068,337058,337058,0,0,12366186,0,3212 79,3,2024-09-07 08:55:30:749,1,263,5,0,418,3778,263,0 80,0,2024-09-07 08:55:31:153,64142,0.6,66084,0.7,126529,0.6,171078,2.00 80,1,2024-09-07 08:55:31:624,463546,463546,0,0,215984645697,2246398322,460572,2804,170,368,391791,0 80,2,2024-09-07 08:55:31:110,334844,334844,0,0,12637376,0,4433 80,3,2024-09-07 08:55:30:576,1,263,4,0,190,3581,263,0 81,0,2024-09-07 08:55:31:638,63496,0.5,65165,0.6,124659,0.4,168399,1.75 81,1,2024-09-07 08:55:31:674,462635,462635,0,0,215751567183,2253883416,458763,3409,463,382,391879,0 81,2,2024-09-07 08:55:31:136,331984,331984,0,0,12956799,0,3993 81,3,2024-09-07 08:55:31:118,1,263,18,0,374,3068,263,0 82,0,2024-09-07 08:55:31:543,64400,0.4,64933,0.6,129828,0.3,172509,1.75 82,1,2024-09-07 08:55:30:586,464260,464256,0,4,216558198302,2253952196,461032,2613,611,381,391768,4 82,2,2024-09-07 08:55:31:693,331312,331312,0,0,12293557,0,3986 82,3,2024-09-07 08:55:31:762,1,263,1,0,363,2897,263,0 83,0,2024-09-07 08:55:31:526,69342,0.5,69300,0.7,137709,0.5,183950,2.00 83,1,2024-09-07 08:55:30:551,462962,462962,0,0,216275202347,2253232816,459784,2934,244,382,391709,0 83,2,2024-09-07 08:55:30:770,334496,334496,0,0,12376364,0,3119 83,3,2024-09-07 08:55:30:752,1,263,5,0,250,2905,263,0 84,0,2024-09-07 08:55:31:845,63891,0.8,63573,0.9,127464,0.8,170672,2.25 84,1,2024-09-07 08:55:31:041,462679,462679,0,0,215812597340,2254259442,457923,4173,583,367,391967,0 84,2,2024-09-07 08:55:30:571,334560,334560,0,0,14285054,0,3801 84,3,2024-09-07 08:55:31:142,1,263,8,0,908,3989,263,0 85,0,2024-09-07 08:55:31:025,62412,0.7,62411,0.8,132351,0.7,170698,2.50 85,1,2024-09-07 08:55:30:561,462301,462301,0,0,215448763145,2273513644,455455,5739,1107,381,392006,0 85,2,2024-09-07 08:55:30:864,334276,334276,0,0,15059419,0,3656 85,3,2024-09-07 08:55:30:701,1,263,3,0,789,3538,263,0 86,0,2024-09-07 08:55:30:916,64172,0.6,65947,0.7,126177,0.5,170156,2.00 86,1,2024-09-07 08:55:30:831,463542,463542,0,0,216017753852,2256599401,458551,4263,728,366,391961,0 86,2,2024-09-07 08:55:30:859,328524,328523,1,0,15836282,0,5004 86,3,2024-09-07 08:55:30:590,1,263,1,0,286,3562,263,0 87,0,2024-09-07 08:55:31:299,68079,0.8,68019,0.9,136639,1.0,183049,2.25 87,1,2024-09-07 08:55:30:552,463485,463485,0,0,215947122501,2256153102,459001,4000,484,366,392012,0 87,2,2024-09-07 08:55:31:069,332049,332049,0,0,14179697,0,4045 87,3,2024-09-07 08:55:31:793,1,263,9,0,473,3842,263,0 88,0,2024-09-07 08:55:31:519,66133,0.5,66426,0.6,132719,0.5,176264,2.00 88,1,2024-09-07 08:55:30:570,462117,462117,0,0,216593648141,2270585639,455926,4677,1514,365,392084,0 88,2,2024-09-07 08:55:30:688,335489,335489,0,0,16333038,0,3583 88,3,2024-09-07 08:55:31:273,1,263,2,0,435,3124,263,0 89,0,2024-09-07 08:55:31:831,67780,0.5,65513,0.7,130068,0.5,176280,1.75 89,1,2024-09-07 08:55:30:550,461320,461320,0,0,215875176924,2274810612,454390,5708,1222,382,391866,0 89,2,2024-09-07 08:55:31:133,333076,333076,0,0,15569904,0,2910 89,3,2024-09-07 08:55:31:813,1,263,15,0,325,4923,263,0 90,0,2024-09-07 08:55:31:620,62820,0.4,64202,0.6,131434,0.4,171214,1.75 90,1,2024-09-07 08:55:30:606,463434,463434,0,0,215744186661,2259186778,459294,3861,279,381,391825,0 90,2,2024-09-07 08:55:31:414,327964,327964,0,0,16751411,0,3060 90,3,2024-09-07 08:55:30:950,1,263,1,0,200,2749,263,0 91,0,2024-09-07 08:55:30:936,67090,0.4,65111,0.6,135897,0.4,178884,1.75 91,1,2024-09-07 08:55:30:564,462156,462156,0,0,215268457515,2262095290,456266,5196,694,381,392047,0 91,2,2024-09-07 08:55:31:347,330936,330936,0,0,14983808,0,2896 91,3,2024-09-07 08:55:30:608,1,263,1,0,216,2433,263,0 92,0,2024-09-07 08:55:31:590,69728,0.5,71434,0.6,136486,0.5,184366,1.75 92,1,2024-09-07 08:55:30:580,463298,463298,0,0,216532374422,2255763717,460732,2160,406,382,392136,0 92,2,2024-09-07 08:55:31:365,337794,337794,0,0,12793625,0,2801 92,3,2024-09-07 08:55:31:033,1,263,14,0,167,2108,263,0 93,0,2024-09-07 08:55:30:964,65478,0.4,67141,0.6,128438,0.3,174436,1.75 93,1,2024-09-07 08:55:30:809,463566,463566,0,0,216152700195,2254933782,459015,3762,789,366,391692,0 93,2,2024-09-07 08:55:30:932,334457,334457,0,0,13635873,0,2797 93,3,2024-09-07 08:55:31:406,1,263,2,0,190,2656,263,0 94,0,2024-09-07 08:55:31:604,63224,0.3,64007,0.5,127503,0.3,168912,1.75 94,1,2024-09-07 08:55:30:569,463340,463340,0,0,216314927617,2258133538,460041,3120,179,381,391850,0 94,2,2024-09-07 08:55:30:764,330355,330355,0,0,12583475,0,2443 94,3,2024-09-07 08:55:31:695,1,263,0,0,264,3334,263,0 95,0,2024-09-07 08:55:31:373,65273,0.3,64966,0.5,130993,0.3,174037,1.75 95,1,2024-09-07 08:55:30:852,464063,464063,0,0,216077543902,2244655473,460480,3206,377,367,391713,0 95,2,2024-09-07 08:55:31:022,329876,329876,0,0,12935713,0,3308 95,3,2024-09-07 08:55:31:712,1,263,2,0,718,4536,263,0 96,0,2024-09-07 08:55:31:042,69506,0.4,69737,0.5,139181,0.3,184270,1.75 96,1,2024-09-07 08:55:31:591,463097,463097,0,0,216343374285,2257573035,459515,2848,734,384,391894,0 96,2,2024-09-07 08:55:31:271,335631,335631,0,0,13669545,0,4042 96,3,2024-09-07 08:55:31:144,1,263,1,0,411,3121,263,0 97,0,2024-09-07 08:55:31:350,63725,0.4,63492,0.5,127588,0.3,169679,1.75 97,1,2024-09-07 08:55:30:763,464709,464709,0,0,216961791851,2248891643,461661,2491,557,367,392140,0 97,2,2024-09-07 08:55:30:621,334552,334552,0,0,12851143,0,3036 97,3,2024-09-07 08:55:30:570,1,263,41,0,214,3031,263,0 98,0,2024-09-07 08:55:31:720,64031,0.3,64044,0.4,128694,0.2,170590,1.50 98,1,2024-09-07 08:55:30:576,464043,464043,0,0,216854847297,2255222386,461890,2052,101,382,391997,0 98,2,2024-09-07 08:55:30:778,332835,332835,0,0,12813641,0,3080 98,3,2024-09-07 08:55:30:701,1,263,1,0,840,4606,263,0 99,0,2024-09-07 08:55:31:448,64574,0.3,64812,0.4,129282,0.2,172678,1.50 99,1,2024-09-07 08:55:31:729,464065,464065,0,0,215998510561,2250415276,460275,3050,740,381,391744,0 99,2,2024-09-07 08:55:31:423,332259,332259,0,0,13696255,0,3106 99,3,2024-09-07 08:55:30:584,1,263,187,0,187,2142,263,0 100,0,2024-09-07 08:55:31:463,69106,0.6,69001,0.9,137992,0.7,184670,2.25 100,1,2024-09-07 08:55:30:557,461272,461272,0,0,215358592150,2274436416,454421,5533,1318,381,391989,0 100,2,2024-09-07 08:55:31:823,332281,332270,11,0,15030432,0,5417 100,3,2024-09-07 08:55:31:732,1,263,4,0,559,4963,263,0 101,0,2024-09-07 08:55:31:722,66178,1.6,64407,1.2,126512,1.9,173651,2.50 101,1,2024-09-07 08:55:30:551,461238,461238,0,0,215020535770,2264104155,453880,5587,1771,368,391769,0 101,2,2024-09-07 08:55:31:763,333936,333936,0,0,16267615,0,4644 101,3,2024-09-07 08:55:30:951,1,263,15,0,448,3301,263,0 102,0,2024-09-07 08:55:30:958,63916,0.7,66203,0.8,133362,0.7,174629,2.00 102,1,2024-09-07 08:55:31:146,462487,462487,0,0,215683102594,2263500050,456826,4820,841,369,391883,0 102,2,2024-09-07 08:55:31:744,334581,334527,54,0,14872173,0,6768 102,3,2024-09-07 08:55:31:613,1,263,1,0,410,2765,263,0 103,0,2024-09-07 08:55:31:635,66621,0.4,66550,0.6,125737,0.4,172557,1.75 103,1,2024-09-07 08:55:31:629,461528,461528,0,0,215603663741,2269599593,455230,4917,1381,381,391829,0 103,2,2024-09-07 08:55:30:582,328490,328490,0,0,13986953,0,2582 103,3,2024-09-07 08:55:30:770,1,263,1,0,916,3595,263,0 104,0,2024-09-07 08:55:31:005,67424,0.7,67843,0.9,134590,0.7,181572,2.25 104,1,2024-09-07 08:55:31:613,463106,463106,0,0,215433912401,2263929125,456425,5586,1095,365,392168,0 104,2,2024-09-07 08:55:31:671,330697,330697,0,0,15876944,0,3941 104,3,2024-09-07 08:55:31:421,1,263,4,0,1245,6565,263,0 105,0,2024-09-07 08:55:31:048,66811,1.1,64866,1.2,135798,1.5,178704,3.25 105,1,2024-09-07 08:55:30:554,463132,463132,0,0,215959987945,2265028924,456814,5339,979,366,391797,0 105,2,2024-09-07 08:55:31:337,335693,335693,0,0,15373635,0,3509 105,3,2024-09-07 08:55:31:305,1,263,1,0,399,4320,263,0 106,0,2024-09-07 08:55:30:957,63370,0.9,64884,1.0,132829,1.0,173739,2.75 106,1,2024-09-07 08:55:31:751,463172,463172,0,0,215716244638,2265623749,456060,6353,759,369,391767,0 106,2,2024-09-07 08:55:30:768,332605,332605,0,0,14882035,0,2795 106,3,2024-09-07 08:55:30:678,1,263,1,0,470,3671,263,0 107,0,2024-09-07 08:55:31:102,64147,0.7,64066,0.8,128236,0.7,170916,2.00 107,1,2024-09-07 08:55:30:585,461583,461583,0,0,215113175632,2261741829,456255,4779,549,381,392234,0 107,2,2024-09-07 08:55:31:294,328989,328988,1,0,15530545,0,5024 107,3,2024-09-07 08:55:31:763,1,263,3,0,353,3495,263,0 108,0,2024-09-07 08:55:31:846,65714,0.4,66046,0.6,131201,0.3,175305,1.75 108,1,2024-09-07 08:55:31:302,463236,463236,0,0,216842793204,2257331590,460020,2831,385,368,391857,0 108,2,2024-09-07 08:55:31:775,330111,330111,0,0,14051782,0,2647 108,3,2024-09-07 08:55:31:339,1,263,5,0,749,5595,263,0 109,0,2024-09-07 08:55:31:808,69879,0.4,69091,0.5,138710,0.3,186020,1.75 109,1,2024-09-07 08:55:30:601,461640,461640,0,0,215552132135,2259073437,457770,3353,517,383,392132,0 109,2,2024-09-07 08:55:30:937,334857,334857,0,0,14493514,0,3617 109,3,2024-09-07 08:55:31:141,1,263,1,0,249,3039,263,0 110,0,2024-09-07 08:55:31:777,64266,0.4,62677,0.6,131162,0.4,171861,1.75 110,1,2024-09-07 08:55:31:655,464806,464806,0,0,217002190305,2251577310,461659,2270,877,369,392045,0 110,2,2024-09-07 08:55:31:328,334529,334529,0,0,13822853,0,3264 110,3,2024-09-07 08:55:30:715,1,263,5,0,406,3443,263,0 111,0,2024-09-07 08:55:31:438,63909,0.3,63460,0.5,126896,0.3,169824,1.75 111,1,2024-09-07 08:55:31:005,464818,464818,0,0,217566545443,2253623338,462826,1656,336,382,391690,0 111,2,2024-09-07 08:55:31:117,331406,331406,0,0,13017542,0,2763 111,3,2024-09-07 08:55:30:917,1,263,1,0,379,3446,263,0 112,0,2024-09-07 08:55:30:987,64994,0.3,64816,0.4,129763,0.2,172601,1.50 112,1,2024-09-07 08:55:30:831,464687,464687,0,0,216096975622,2239941816,462255,2004,428,380,391615,0 112,2,2024-09-07 08:55:31:133,330306,330305,1,0,13243043,0,5036 112,3,2024-09-07 08:55:30:592,1,263,1,0,282,2723,263,0 113,0,2024-09-07 08:55:30:864,68905,0.3,68867,0.5,138576,0.2,185040,1.50 113,1,2024-09-07 08:55:31:685,465598,465598,0,0,217236131322,2240977859,463093,2015,490,366,391661,0 113,2,2024-09-07 08:55:31:303,335625,335625,0,0,12079291,0,3813 113,3,2024-09-07 08:55:30:685,1,263,1,0,340,3751,263,0 114,0,2024-09-07 08:55:30:885,64673,0.4,65084,0.5,129176,0.3,172639,1.75 114,1,2024-09-07 08:55:30:751,463739,463739,0,0,216531269315,2253953453,458928,3222,1589,381,391556,0 114,2,2024-09-07 08:55:30:881,334622,334621,1,0,13099017,0,5069 114,3,2024-09-07 08:55:31:278,1,263,3,0,395,2699,263,0 115,0,2024-09-07 08:55:30:560,64749,0.3,65046,0.4,130084,0.2,172753,1.50 115,1,2024-09-07 08:55:30:571,463831,463831,0,0,217018845872,2256467151,459453,3541,837,382,391639,0 115,2,2024-09-07 08:55:31:131,334390,334390,0,0,11444444,0,2152 115,3,2024-09-07 08:55:31:002,1,263,1,0,159,1392,263,0 116,0,2024-09-07 08:55:31:714,63941,0.6,63896,0.8,127905,0.6,170772,2.00 116,1,2024-09-07 08:55:30:806,461114,461114,0,0,215510332579,2276903437,454708,4351,2055,380,391782,0 116,2,2024-09-07 08:55:31:765,328926,328926,0,0,16208923,0,3529 116,3,2024-09-07 08:55:30:916,1,263,1,0,415,3668,263,0 117,0,2024-09-07 08:55:31:005,68508,0.7,68166,0.8,136592,0.6,183235,2.00 117,1,2024-09-07 08:55:31:601,462900,462900,0,0,215847829988,2256740878,458191,4229,480,370,392033,0 117,2,2024-09-07 08:55:31:121,334768,334768,0,0,13399538,0,3700 117,3,2024-09-07 08:55:31:061,1,263,0,0,490,4044,263,0 118,0,2024-09-07 08:55:31:775,64290,0.6,66009,0.7,134666,0.6,175492,2.00 118,1,2024-09-07 08:55:30:586,462837,462837,0,0,215044072411,2258680280,456459,4944,1434,366,391736,0 118,2,2024-09-07 08:55:31:590,334869,334869,0,0,14651615,0,2781 118,3,2024-09-07 08:55:31:768,1,263,1,0,235,2918,263,0 119,0,2024-09-07 08:55:31:367,65472,0.8,65906,0.8,131950,1.0,175191,2.25 119,1,2024-09-07 08:55:30:552,463729,463729,0,0,215738294286,2251912334,459062,4143,524,367,391641,0 119,2,2024-09-07 08:55:31:272,333991,333991,0,0,13849418,0,4174 119,3,2024-09-07 08:55:31:345,1,263,1,0,443,3566,263,0 120,0,2024-09-07 08:55:31:568,64344,0.5,64138,0.7,128537,0.5,171761,2.00 120,1,2024-09-07 08:55:30:860,463147,463147,0,0,215421808758,2257603782,458451,4345,351,368,391961,0 120,2,2024-09-07 08:55:30:781,328930,328929,1,0,16502349,0,5281 120,3,2024-09-07 08:55:31:293,1,263,1,0,241,3314,263,0 121,0,2024-09-07 08:55:31:820,66856,0.8,66919,0.9,134196,1.0,178923,2.00 121,1,2024-09-07 08:55:31:672,463306,463306,0,0,215369864459,2252784170,458685,4205,416,367,391840,0 121,2,2024-09-07 08:55:31:133,330389,330389,0,0,15759474,0,4127 121,3,2024-09-07 08:55:30:732,1,263,6,0,269,3051,263,0 122,0,2024-09-07 08:55:31:783,68834,0.8,67100,0.9,140740,1.0,184335,2.00 122,1,2024-09-07 08:55:30:859,462462,462462,0,0,216150196880,2266531720,456390,5255,817,366,392130,0 122,2,2024-09-07 08:55:31:367,334990,334917,73,0,18445628,0,5989 122,3,2024-09-07 08:55:30:618,1,263,20,0,411,5070,263,0 123,0,2024-09-07 08:55:30:962,64939,0.9,63432,0.9,132325,1.1,173593,2.25 123,1,2024-09-07 08:55:30:567,462963,462963,0,0,215696301607,2273651030,454288,6959,1716,369,392039,0 123,2,2024-09-07 08:55:31:019,331613,331612,1,0,15272794,0,5215 123,3,2024-09-07 08:55:31:132,1,263,1,0,168,3107,263,0 124,0,2024-09-07 08:55:30:933,65506,0.3,65504,0.5,123624,0.3,169625,1.75 124,1,2024-09-07 08:55:31:022,464498,464498,0,0,215737220564,2240376756,461591,2542,365,367,392178,0 124,2,2024-09-07 08:55:31:019,331179,331179,0,0,12357654,0,3101 124,3,2024-09-07 08:55:30:762,1,263,2,0,490,2881,263,0 125,0,2024-09-07 08:55:31:520,65258,0.3,65021,0.5,130873,0.3,174200,1.75 125,1,2024-09-07 08:55:31:088,462906,462906,0,0,216247354106,2254175435,459805,2706,395,383,391702,0 125,2,2024-09-07 08:55:31:128,330981,330981,0,0,13472035,0,4534 125,3,2024-09-07 08:55:31:131,1,263,8,0,709,3599,263,0 126,0,2024-09-07 08:55:31:469,69480,0.5,71378,0.6,136397,0.5,185235,1.75 126,1,2024-09-07 08:55:30:562,464658,464658,0,0,216148564356,2238994946,461936,2458,264,365,391987,0 126,2,2024-09-07 08:55:30:618,336715,336715,0,0,13868767,0,3186 126,3,2024-09-07 08:55:30:911,1,263,2,0,183,3530,263,0 127,0,2024-09-07 08:55:31:647,63627,0.4,63936,0.5,127362,0.3,169864,1.75 127,1,2024-09-07 08:55:30:569,464383,464383,0,0,216591809867,2243427142,461415,2815,153,365,391816,0 127,2,2024-09-07 08:55:30:644,334555,334555,0,0,12396526,0,2264 127,3,2024-09-07 08:55:31:271,1,263,1,0,968,3040,263,0 128,0,2024-09-07 08:55:31:590,64483,0.3,64405,0.4,128668,0.2,170988,1.50 128,1,2024-09-07 08:55:31:608,463585,463585,0,0,216666680155,2245872118,461400,2027,158,367,391798,0 128,2,2024-09-07 08:55:31:391,333365,333365,0,0,12239245,0,2434 128,3,2024-09-07 08:55:30:784,1,263,1,0,1082,5422,263,0 129,0,2024-09-07 08:55:31:059,65091,0.3,64779,0.4,129632,0.2,172378,1.50 129,1,2024-09-07 08:55:30:569,461934,461934,0,0,215844651567,2260208747,457770,3233,931,379,391835,0 129,2,2024-09-07 08:55:30:707,330528,330528,0,0,13201768,0,4031 129,3,2024-09-07 08:55:30:694,1,263,1,0,469,3513,263,0 130,0,2024-09-07 08:55:31:770,69709,0.5,69260,0.6,139085,0.5,185615,1.75 130,1,2024-09-07 08:55:30:589,464266,464266,0,0,215718521904,2242973140,461821,2366,79,381,391825,0 130,2,2024-09-07 08:55:31:131,334962,334962,0,0,12836160,0,4067 130,3,2024-09-07 08:55:31:298,1,263,1,0,450,3405,263,0 131,0,2024-09-07 08:55:32:057,64766,0.4,65174,0.5,131166,0.3,173398,1.75 131,1,2024-09-07 08:55:31:823,464154,464154,0,0,216289794309,2253577506,461099,2597,458,381,391865,0 131,2,2024-09-07 08:55:30:566,336693,336693,0,0,11790534,0,2415 131,3,2024-09-07 08:55:31:698,1,263,11,0,392,2602,263,0 132,0,2024-09-07 08:55:31:588,65276,0.5,66233,0.6,131822,0.5,175065,2.00 132,1,2024-09-07 08:55:30:580,461804,461804,0,0,215031785463,2265322778,454788,5762,1254,381,392097,0 132,2,2024-09-07 08:55:30:716,333757,333757,0,0,15543838,0,4606 132,3,2024-09-07 08:55:31:696,1,263,15,0,356,3661,263,0 133,0,2024-09-07 08:55:31:578,63142,0.4,64602,0.5,132202,0.3,171307,1.75 133,1,2024-09-07 08:55:30:584,462510,462510,0,0,215810917420,2268841487,457020,4821,669,383,391914,0 133,2,2024-09-07 08:55:31:090,328322,328322,0,0,16618873,0,4315 133,3,2024-09-07 08:55:31:300,1,263,4,0,276,2574,263,0 134,0,2024-09-07 08:55:30:944,67991,0.4,68003,0.6,136152,0.4,181574,2.00 134,1,2024-09-07 08:55:30:585,461823,461823,0,0,215541964815,2261373728,455361,4992,1470,366,391718,0 134,2,2024-09-07 08:55:31:765,331370,331370,0,0,13916221,0,3847 134,3,2024-09-07 08:55:30:767,1,263,0,0,739,3827,263,0 135,0,2024-09-07 08:55:31:108,65286,0.8,65274,0.9,138495,0.9,178455,2.25 135,1,2024-09-07 08:55:31:635,461974,461974,0,0,216416678078,2274766642,455768,5208,998,380,391805,0 135,2,2024-09-07 08:55:30:702,336372,336372,0,0,15478249,0,3981 135,3,2024-09-07 08:55:31:004,1,263,1,0,299,1869,263,0 136,0,2024-09-07 08:55:31:632,66039,0.6,65995,0.8,131657,0.6,175739,2.25 136,1,2024-09-07 08:55:31:467,462111,462111,0,0,215172962659,2259990841,456570,4955,586,382,391685,0 136,2,2024-09-07 08:55:31:141,334422,334422,0,0,14987081,0,3506 136,3,2024-09-07 08:55:31:106,1,263,18,0,150,2166,263,0 137,0,2024-09-07 08:55:30:953,66040,0.6,64231,0.7,126672,0.5,172119,2.00 137,1,2024-09-07 08:55:30:579,462315,462315,0,0,215398591900,2258877299,455362,5535,1418,366,391708,0 137,2,2024-09-07 08:55:31:716,328837,328837,0,0,16474298,0,3185 137,3,2024-09-07 08:55:30:771,1,263,0,0,382,3328,263,0 138,0,2024-09-07 08:55:31:793,65330,0.7,65264,0.9,131366,0.8,174894,2.00 138,1,2024-09-07 08:55:31:689,462286,462286,0,0,216311131736,2269848427,455311,5842,1133,368,391954,0 138,2,2024-09-07 08:55:30:597,330737,330737,0,0,15187147,0,4988 138,3,2024-09-07 08:55:30:619,1,263,2,0,1160,3895,263,0 139,0,2024-09-07 08:55:31:453,68396,1.7,68664,1.2,137466,2.5,184365,2.50 139,1,2024-09-07 08:55:30:571,460797,460797,0,0,214670128410,2279009490,452255,6425,2117,381,391892,0 139,2,2024-09-07 08:55:30:701,333844,333844,0,0,16146241,0,3097 139,3,2024-09-07 08:55:31:672,1,263,5,0,257,2866,263,0 140,0,2024-09-07 08:55:31:598,64703,0.3,64205,0.5,129032,0.2,172084,1.75 140,1,2024-09-07 08:55:31:540,465657,465657,0,0,217218098297,2237087857,463675,1740,242,365,391606,0 140,2,2024-09-07 08:55:30:687,334502,334502,0,0,12680444,0,3388 140,3,2024-09-07 08:55:30:769,1,263,1,0,247,2208,263,0 141,0,2024-09-07 08:55:31:700,63675,0.3,65476,0.4,125159,0.2,169751,1.50 141,1,2024-09-07 08:55:30:863,464892,464892,0,0,217109382675,2250847247,462321,2163,408,379,391614,0 141,2,2024-09-07 08:55:31:686,332025,332025,0,0,12222433,0,2342 141,3,2024-09-07 08:55:31:049,1,263,1,0,202,2384,263,0 142,0,2024-09-07 08:55:31:315,65633,0.3,64845,0.4,129146,0.2,172987,1.50 142,1,2024-09-07 08:55:30:584,464120,464120,0,0,215963573187,2250443052,461284,2571,265,382,392102,0 142,2,2024-09-07 08:55:31:301,330100,330068,32,0,14066240,0,6028 142,3,2024-09-07 08:55:31:756,1,263,1,0,484,3230,263,0 143,0,2024-09-07 08:55:31:428,69301,0.5,69238,0.6,139020,0.4,184859,1.75 143,1,2024-09-07 08:55:30:558,464196,464196,0,0,216292144185,2241674608,461437,2663,96,367,391651,0 143,2,2024-09-07 08:55:30:771,334505,334505,0,0,13341711,0,2669 143,3,2024-09-07 08:55:31:148,1,263,1,0,303,3405,263,0 144,0,2024-09-07 08:55:31:524,62239,0.6,63824,0.9,130294,0.6,170816,2.00 144,1,2024-09-07 08:55:30:597,461632,461632,0,0,215390209648,2259107549,457585,3121,926,381,391649,0 144,2,2024-09-07 08:55:31:763,335151,335151,0,0,12564004,0,3473 144,3,2024-09-07 08:55:31:743,1,263,14,0,249,3021,263,0 145,0,2024-09-07 08:55:31:382,62429,0.6,62409,0.8,132487,0.5,170725,2.25 145,1,2024-09-07 08:55:30:555,461460,461460,0,0,215895894025,2267430392,455958,4679,823,382,391698,0 145,2,2024-09-07 08:55:31:442,332647,332647,0,0,14723170,0,3903 145,3,2024-09-07 08:55:30:911,1,263,1,0,622,4126,263,0 146,0,2024-09-07 08:55:31:656,64093,0.5,63555,0.7,128106,0.4,169966,2.00 146,1,2024-09-07 08:55:31:598,462161,462161,0,0,215556450897,2269748856,453878,6436,1847,368,391770,0 146,2,2024-09-07 08:55:31:710,328814,328814,0,0,14871858,0,2730 146,3,2024-09-07 08:55:31:286,1,263,1,0,1520,5950,263,0 147,0,2024-09-07 08:55:31:799,68358,0.5,68214,0.7,135699,0.5,182924,2.00 147,1,2024-09-07 08:55:31:373,464621,464621,0,0,216750893682,2253462282,460517,3519,585,368,391791,0 147,2,2024-09-07 08:55:31:013,334174,334174,0,0,12765729,0,2789 147,3,2024-09-07 08:55:30:919,1,263,4,0,730,3942,263,0 0,0,2024-09-07 08:55:41:704,62818,0.4,62890,0.7,133234,0.4,172526,1.75 0,1,2024-09-07 08:55:40:806,465092,465092,0,0,216798007407,2265935196,461840,3046,206,370,391772,0 0,2,2024-09-07 08:55:41:068,330470,330470,0,0,13276674,0,4480 0,3,2024-09-07 08:55:40:974,1,264,21,0,319,3482,264,0 1,0,2024-09-07 08:55:41:775,67564,0.7,67075,0.9,134797,0.9,180195,2.00 1,1,2024-09-07 08:55:40:577,464748,464748,0,0,215914269547,2261039667,460244,3451,1053,371,391857,0 1,2,2024-09-07 08:55:40:671,331960,331960,0,0,12424596,0,3267 1,3,2024-09-07 08:55:41:302,1,264,2,0,262,3019,264,0 2,0,2024-09-07 08:55:41:580,69267,0.7,69531,0.8,137665,1.0,184278,2.00 2,1,2024-09-07 08:55:40:859,465482,465482,0,0,217583663451,2258277854,463374,1890,218,380,391745,0 2,2,2024-09-07 08:55:41:273,337809,337809,0,0,12937293,0,3594 2,3,2024-09-07 08:55:40:690,1,264,19,0,357,2534,264,0 3,0,2024-09-07 08:55:41:742,65377,0.5,65477,0.6,130648,0.4,174020,2.00 3,1,2024-09-07 08:55:41:621,465010,465010,0,0,217115833075,2259975735,461169,3379,462,380,391556,0 3,2,2024-09-07 08:55:41:142,335355,335332,23,0,13177998,0,5851 3,3,2024-09-07 08:55:41:752,1,264,1,0,103,1550,264,0 4,0,2024-09-07 08:55:41:775,61759,0.4,63570,0.5,129292,0.3,169397,1.75 4,1,2024-09-07 08:55:40:610,464812,464812,0,0,216500180202,2271505926,460060,3959,793,371,391846,0 4,2,2024-09-07 08:55:41:030,330369,330369,0,0,15302507,0,4528 4,3,2024-09-07 08:55:41:027,1,264,4,0,448,3985,264,0 5,0,2024-09-07 08:55:41:372,65591,0.4,65914,0.5,130980,0.3,174964,1.75 5,1,2024-09-07 08:55:40:755,464607,464607,0,0,216391723667,2272655172,459104,4391,1112,368,392005,0 5,2,2024-09-07 08:55:41:829,330816,330816,0,0,13941254,0,2432 5,3,2024-09-07 08:55:41:731,1,264,7,0,457,3913,264,0 6,0,2024-09-07 08:55:40:918,70097,0.5,69542,0.7,138917,0.5,185737,2.00 6,1,2024-09-07 08:55:40:746,464884,464884,0,0,216673512995,2261899993,460208,3832,844,379,391694,0 6,2,2024-09-07 08:55:41:117,336646,336646,0,0,13966944,0,4816 6,3,2024-09-07 08:55:41:282,1,264,8,0,340,2993,264,0 7,0,2024-09-07 08:55:41:533,63574,0.5,63887,0.7,127308,0.5,169731,2.00 7,1,2024-09-07 08:55:40:854,464539,464539,0,0,216821260681,2272138407,458636,4900,1003,382,391747,0 7,2,2024-09-07 08:55:40:770,334520,334520,0,0,13743613,0,4791 7,3,2024-09-07 08:55:40:856,1,264,3,0,398,3155,264,0 8,0,2024-09-07 08:55:41:338,64369,0.4,64299,0.5,128175,0.3,171068,1.75 8,1,2024-09-07 08:55:41:016,463345,463345,0,0,216739156318,2283728361,455046,6280,2019,366,391956,0 8,2,2024-09-07 08:55:40:795,329946,329946,0,0,16612551,0,3220 8,3,2024-09-07 08:55:40:590,1,264,5,0,357,3891,264,0 9,0,2024-09-07 08:55:41:156,65134,0.3,63110,0.5,131891,0.3,173298,1.50 9,1,2024-09-07 08:55:40:565,463521,463521,0,0,216046502564,2275275021,457024,4955,1542,370,392001,0 9,2,2024-09-07 08:55:41:087,330931,330931,0,0,14659050,0,3360 9,3,2024-09-07 08:55:41:752,1,264,9,0,496,3888,264,0 10,0,2024-09-07 08:55:41:604,69889,0.3,69324,0.5,139321,0.2,186066,1.75 10,1,2024-09-07 08:55:40:591,464242,464242,0,0,215976224202,2262649659,457880,5434,928,381,391741,0 10,2,2024-09-07 08:55:40:767,335174,335174,0,0,16345327,0,4264 10,3,2024-09-07 08:55:40:876,1,264,0,0,177,2110,264,0 11,0,2024-09-07 08:55:41:021,64615,0.5,62775,0.7,131274,0.5,173543,2.00 11,1,2024-09-07 08:55:40:578,464960,464960,0,0,216867740992,2279451083,456741,6238,1981,383,391537,0 11,2,2024-09-07 08:55:41:124,335920,335920,0,0,15234335,0,4130 11,3,2024-09-07 08:55:41:299,1,264,8,0,843,4251,264,0 12,0,2024-09-07 08:55:40:952,66209,0.3,66052,0.5,132119,0.3,175358,1.75 12,1,2024-09-07 08:55:40:933,464458,464458,0,0,216284453985,2253444824,460931,3105,422,370,391870,0 12,2,2024-09-07 08:55:41:541,335339,335339,0,0,14324841,0,3469 12,3,2024-09-07 08:55:41:062,1,264,3,0,386,4374,264,0 13,0,2024-09-07 08:55:41:342,65043,0.3,64838,0.5,129593,0.3,171912,1.50 13,1,2024-09-07 08:55:41:527,464901,464901,0,0,216590685349,2270551807,461347,3013,541,382,391740,0 13,2,2024-09-07 08:55:40:598,331459,331459,0,0,13311836,0,3287 13,3,2024-09-07 08:55:41:762,1,264,1,0,467,3964,264,0 14,0,2024-09-07 08:55:40:561,68413,0.3,69053,0.5,136737,0.3,182317,1.75 14,1,2024-09-07 08:55:41:563,467762,467762,0,0,217492612816,2249133388,464930,2642,190,364,391571,0 14,2,2024-09-07 08:55:40:767,333093,333093,0,0,13423298,0,2896 14,3,2024-09-07 08:55:41:116,1,264,1,0,1168,3576,264,0 15,0,2024-09-07 08:55:41:564,67509,0.5,67678,0.7,135018,0.5,179476,2.00 15,1,2024-09-07 08:55:41:613,465718,465718,0,0,217152358997,2256046298,463196,2344,178,381,391619,0 15,2,2024-09-07 08:55:41:003,338148,338148,0,0,11542696,0,3043 15,3,2024-09-07 08:55:41:413,1,264,1,0,1126,5368,264,0 16,0,2024-09-07 08:55:40:943,66013,0.7,66246,0.8,131889,0.7,175901,2.25 16,1,2024-09-07 08:55:40:583,465843,465843,0,0,217123013798,2266734948,462278,3265,300,370,391756,0 16,2,2024-09-07 08:55:41:435,334857,334857,0,0,14115673,0,4719 16,3,2024-09-07 08:55:41:142,1,264,5,0,317,3603,264,0 17,0,2024-09-07 08:55:41:764,66533,0.5,64932,0.7,127029,0.4,172818,1.75 17,1,2024-09-07 08:55:40:567,464378,464378,0,0,216113779754,2267400083,459516,3757,1105,368,391845,0 17,2,2024-09-07 08:55:41:669,333363,333363,0,0,13119610,0,2857 17,3,2024-09-07 08:55:40:575,1,264,5,0,268,4127,264,0 18,0,2024-09-07 08:55:40:945,65405,0.6,65604,0.8,130952,0.6,175175,2.25 18,1,2024-09-07 08:55:41:638,465753,465753,0,0,217169014803,2249222844,463241,2270,242,367,391649,0 18,2,2024-09-07 08:55:41:754,333519,333519,0,0,12998906,0,3541 18,3,2024-09-07 08:55:40:896,1,264,1,0,163,2548,264,0 19,0,2024-09-07 08:55:41:552,69434,0.6,70066,0.8,138805,0.6,185475,2.25 19,1,2024-09-07 08:55:40:567,465779,465779,0,0,217792671057,2261570018,461117,3875,787,367,391777,0 19,2,2024-09-07 08:55:41:751,339262,339262,0,0,12031729,0,3988 19,3,2024-09-07 08:55:41:129,1,264,1,0,524,1906,264,0 20,0,2024-09-07 08:55:41:358,64374,0.7,64340,0.8,129052,0.7,172331,2.25 20,1,2024-09-07 08:55:40:567,464603,464603,0,0,217294343972,2270345855,460777,3428,398,369,391886,0 20,2,2024-09-07 08:55:40:935,335496,335496,0,0,13484035,0,3721 20,3,2024-09-07 08:55:40:589,1,264,18,0,414,4672,264,0 21,0,2024-09-07 08:55:41:163,63912,0.5,64094,0.6,127801,0.4,169459,2.00 21,1,2024-09-07 08:55:41:539,463457,463457,0,0,216008502439,2271323179,457731,4467,1259,368,391962,0 21,2,2024-09-07 08:55:41:077,331360,331360,0,0,15257815,0,3747 21,3,2024-09-07 08:55:41:406,1,264,0,0,103,2660,264,0 22,0,2024-09-07 08:55:41:724,64812,0.5,64935,0.7,129645,0.5,172523,2.00 22,1,2024-09-07 08:55:41:024,463985,463985,0,0,215876443823,2270279270,456753,5590,1642,382,391667,0 22,2,2024-09-07 08:55:40:767,331224,331224,0,0,13356712,0,3134 22,3,2024-09-07 08:55:41:066,1,264,2,0,228,2357,264,0 23,0,2024-09-07 08:55:41:375,69296,0.5,69019,0.7,138761,0.5,185130,2.25 23,1,2024-09-07 08:55:41:003,465052,465052,0,0,216732371865,2272961890,457741,4760,2551,365,391690,0 23,2,2024-09-07 08:55:41:092,336636,336636,0,0,12957057,0,3010 23,3,2024-09-07 08:55:41:754,1,264,1,0,645,3311,264,0 24,0,2024-09-07 08:55:40:814,64947,0.4,64798,0.6,130080,0.4,172485,1.75 24,1,2024-09-07 08:55:40:590,464125,464125,0,0,216286282961,2267585832,458509,4134,1482,368,391987,0 24,2,2024-09-07 08:55:41:074,333922,333922,0,0,16134049,0,3607 24,3,2024-09-07 08:55:41:696,1,264,6,0,468,3830,264,0 25,0,2024-09-07 08:55:41:409,66383,0.5,64703,0.7,127066,0.4,173068,2.00 25,1,2024-09-07 08:55:40:568,465132,465132,0,0,216986950813,2269225773,460781,3797,554,371,391788,0 25,2,2024-09-07 08:55:41:614,333226,333226,0,0,16226102,0,3978 25,3,2024-09-07 08:55:41:003,1,264,12,0,255,2826,264,0 26,0,2024-09-07 08:55:41:720,64033,0.4,62588,0.6,131192,0.3,170729,1.75 26,1,2024-09-07 08:55:41:542,464490,464490,0,0,216234781804,2266797976,457498,5776,1216,381,391748,0 26,2,2024-09-07 08:55:40:861,330692,330692,0,0,15817752,0,2809 26,3,2024-09-07 08:55:41:712,1,264,3,0,796,3405,264,0 27,0,2024-09-07 08:55:41:733,69342,0.4,69369,0.6,137093,0.3,184030,2.00 27,1,2024-09-07 08:55:41:676,466481,466481,0,0,217484252095,2261184192,463026,2862,593,381,391558,0 27,2,2024-09-07 08:55:40:877,332580,332515,65,0,15998024,0,5699 27,3,2024-09-07 08:55:41:014,1,264,3,0,564,2796,264,0 28,0,2024-09-07 08:55:41:400,66354,0.5,66139,0.6,132849,0.4,176830,2.00 28,1,2024-09-07 08:55:40:797,466051,466051,0,0,217985305635,2267548866,463170,2410,471,383,391646,0 28,2,2024-09-07 08:55:41:763,336964,336964,0,0,12875064,0,2915 28,3,2024-09-07 08:55:41:776,1,264,1,0,502,2761,264,0 29,0,2024-09-07 08:55:41:362,67693,0.4,66175,0.6,129431,0.3,176535,1.75 29,1,2024-09-07 08:55:41:563,466942,466942,0,0,217839016749,2254317571,463712,2665,565,369,391621,0 29,2,2024-09-07 08:55:40:862,334460,334460,0,0,12261718,0,4018 29,3,2024-09-07 08:55:40:964,1,264,0,0,115,1884,264,0 30,0,2024-09-07 08:55:41:457,64675,0.5,62747,0.7,131262,0.4,171912,2.00 30,1,2024-09-07 08:55:40:590,466826,466826,0,0,217952904139,2256912071,464495,2038,293,382,391672,0 30,2,2024-09-07 08:55:41:274,330786,330786,0,0,11950545,0,3161 30,3,2024-09-07 08:55:40:583,1,264,1,0,519,2482,264,0 31,0,2024-09-07 08:55:41:759,67185,0.4,67408,0.6,135073,0.3,180153,1.75 31,1,2024-09-07 08:55:40:567,468268,468268,0,0,218551551744,2237987994,466873,1175,220,356,391712,0 31,2,2024-09-07 08:55:41:275,332399,332399,0,0,13712823,0,3525 31,3,2024-09-07 08:55:41:708,1,264,1,0,220,1978,264,0 32,0,2024-09-07 08:55:41:447,69082,0.4,69535,0.5,138990,0.3,184656,1.75 32,1,2024-09-07 08:55:40:807,466407,466407,0,0,217160046520,2251348453,464446,1682,279,381,391622,0 32,2,2024-09-07 08:55:40:935,337795,337795,0,0,11942137,0,3155 32,3,2024-09-07 08:55:41:014,1,264,1,0,227,2058,264,0 33,0,2024-09-07 08:55:41:497,65810,0.3,65244,0.5,131176,0.2,174938,1.75 33,1,2024-09-07 08:55:40:577,466922,466922,0,0,218658908246,2257792946,464285,2463,174,369,391730,0 33,2,2024-09-07 08:55:40:766,336499,336466,33,0,13514944,0,7012 33,3,2024-09-07 08:55:40:895,1,264,1,0,329,3109,264,0 34,0,2024-09-07 08:55:40:939,63629,0.3,65599,0.4,125820,0.2,168864,1.50 34,1,2024-09-07 08:55:41:044,467658,467658,0,0,218731771629,2248481886,466534,1117,7,367,391562,0 34,2,2024-09-07 08:55:40:766,331636,331636,0,0,12732362,0,3577 34,3,2024-09-07 08:55:41:688,1,264,1,0,299,2081,264,0 35,0,2024-09-07 08:55:40:864,65021,0.3,65467,0.5,131450,0.2,175496,1.75 35,1,2024-09-07 08:55:41:068,466560,466560,0,0,216972323522,2249897023,463201,2579,780,384,391589,0 35,2,2024-09-07 08:55:41:591,332581,332581,0,0,12563653,0,2653 35,3,2024-09-07 08:55:40:907,1,264,3,0,418,3358,264,0 36,0,2024-09-07 08:55:41:520,69777,0.5,69644,0.7,139638,0.5,185521,2.25 36,1,2024-09-07 08:55:40:709,465509,465509,0,0,216303910931,2260150708,459527,4403,1579,366,391759,0 36,2,2024-09-07 08:55:41:750,336854,336854,0,0,14280496,0,3875 36,3,2024-09-07 08:55:40:863,1,264,7,0,416,4065,264,0 37,0,2024-09-07 08:55:41:394,63496,0.5,63523,0.7,127272,0.5,170663,2.00 37,1,2024-09-07 08:55:40:568,464586,464579,0,7,216451553375,2265457109,458567,3943,2069,365,391560,0 37,2,2024-09-07 08:55:41:159,334288,334273,15,0,14577090,0,5815 37,3,2024-09-07 08:55:41:765,1,264,5,0,888,4500,264,0 38,0,2024-09-07 08:55:41:447,63627,0.5,61847,0.7,129523,0.4,169174,2.00 38,1,2024-09-07 08:55:41:605,465651,465651,0,0,217523530206,2267826277,460378,4597,676,368,391821,0 38,2,2024-09-07 08:55:40:760,332825,332778,47,0,14922471,0,6710 38,3,2024-09-07 08:55:41:002,1,264,5,0,689,3613,264,0 39,0,2024-09-07 08:55:41:761,66408,0.5,65184,0.7,126584,0.5,173418,2.00 39,1,2024-09-07 08:55:40:717,465522,465522,0,0,216584169462,2258432682,459962,4658,902,365,391594,0 39,2,2024-09-07 08:55:41:417,331040,331040,0,0,12931632,0,2689 39,3,2024-09-07 08:55:40:713,1,264,1,0,324,3447,264,0 40,0,2024-09-07 08:55:41:496,68989,0.7,69623,0.9,139117,0.6,185738,2.75 40,1,2024-09-07 08:55:40:583,465121,465121,0,0,216415591198,2266648416,457981,5697,1443,368,391651,0 40,2,2024-09-07 08:55:41:303,334968,334967,1,0,16338606,0,5137 40,3,2024-09-07 08:55:41:142,1,264,1,0,398,3199,264,0 41,0,2024-09-07 08:55:41:023,64417,1.9,65898,1.4,125665,3.0,171741,3.75 41,1,2024-09-07 08:55:40:768,465176,465176,0,0,216743435695,2264545148,459632,4909,635,370,391742,0 41,2,2024-09-07 08:55:40:763,334612,334612,0,0,15548901,0,3356 41,3,2024-09-07 08:55:41:676,1,264,0,0,366,3039,264,0 42,0,2024-09-07 08:55:41:476,64899,0.8,65149,1.0,130352,0.8,171889,2.50 42,1,2024-09-07 08:55:41:441,463933,463933,0,0,216588260889,2274793166,456648,5833,1452,380,391675,0 42,2,2024-09-07 08:55:41:133,335056,335056,0,0,14869951,0,3568 42,3,2024-09-07 08:55:41:008,1,264,0,0,446,2373,264,0 43,0,2024-09-07 08:55:40:920,64267,0.6,62682,0.9,130980,0.6,171645,2.00 43,1,2024-09-07 08:55:40:577,465043,465043,0,0,217376651687,2269542780,458778,4936,1329,366,391696,0 43,2,2024-09-07 08:55:41:739,330820,330820,0,0,14159406,0,3812 43,3,2024-09-07 08:55:41:749,1,264,1,0,467,3145,264,0 44,0,2024-09-07 08:55:40:894,68252,0.4,68757,0.6,136821,0.4,182611,1.75 44,1,2024-09-07 08:55:40:567,466978,466978,0,0,217037188327,2238302031,464418,2128,432,356,391809,0 44,2,2024-09-07 08:55:41:275,332575,332575,0,0,12168866,0,2231 44,3,2024-09-07 08:55:41:093,1,264,0,0,817,3051,264,0 45,0,2024-09-07 08:55:41:757,66527,0.6,65063,0.8,136479,0.6,179219,2.00 45,1,2024-09-07 08:55:41:005,466133,466133,0,0,217147533256,2248823522,464480,1641,12,382,391917,0 45,2,2024-09-07 08:55:41:268,338048,338048,0,0,12910428,0,3596 45,3,2024-09-07 08:55:40:942,1,264,0,0,271,2889,264,0 46,0,2024-09-07 08:55:40:952,65582,0.5,65377,0.7,131080,0.5,173817,2.00 46,1,2024-09-07 08:55:40:577,467861,467861,0,0,218123804788,2249887224,465514,2073,274,366,391539,0 46,2,2024-09-07 08:55:40:598,335992,335992,0,0,12373255,0,2920 46,3,2024-09-07 08:55:41:131,1,264,67,0,908,3874,264,0 47,0,2024-09-07 08:55:41:116,64906,0.4,64708,0.6,130119,0.4,172372,1.75 47,1,2024-09-07 08:55:40:567,467146,467146,0,0,217255405310,2241166888,464817,2195,134,366,391641,0 47,2,2024-09-07 08:55:40:908,332873,332873,0,0,12273047,0,2558 47,3,2024-09-07 08:55:41:116,1,264,1,0,529,2359,264,0 48,0,2024-09-07 08:55:41:489,66412,0.3,66046,0.4,131663,0.2,176120,1.50 48,1,2024-09-07 08:55:41:023,466250,466250,0,0,217509421036,2257891104,463138,2812,300,384,391710,0 48,2,2024-09-07 08:55:40:705,332431,332431,0,0,11978821,0,3031 48,3,2024-09-07 08:55:40:754,1,264,1,0,339,2236,264,0 49,0,2024-09-07 08:55:41:711,71774,0.4,70566,0.5,136777,0.3,187734,1.75 49,1,2024-09-07 08:55:41:024,465304,465304,0,0,216793942025,2258846551,461432,2691,1181,382,391809,0 49,2,2024-09-07 08:55:41:801,338432,338432,0,0,12544301,0,3900 49,3,2024-09-07 08:55:41:422,1,264,1,0,408,2851,264,0 50,0,2024-09-07 08:55:41:506,64659,0.3,64077,0.5,128888,0.2,172220,1.75 50,1,2024-09-07 08:55:41:011,466866,466866,0,0,218134387265,2255208318,463906,2650,310,368,391530,0 50,2,2024-09-07 08:55:41:067,335835,335835,0,0,11740597,0,2263 50,3,2024-09-07 08:55:41:291,1,264,1,0,335,2149,264,0 51,0,2024-09-07 08:55:41:686,65394,0.3,63976,0.5,124610,0.2,169843,1.75 51,1,2024-09-07 08:55:41:680,467341,467341,0,0,218226838566,2251529560,464537,1864,940,365,391706,0 51,2,2024-09-07 08:55:41:316,332731,332731,0,0,11102358,0,3337 51,3,2024-09-07 08:55:41:027,1,264,1,0,678,2080,264,0 52,0,2024-09-07 08:55:41:416,65603,0.4,65085,0.6,130161,0.4,173189,2.00 52,1,2024-09-07 08:55:40:578,464923,464923,0,0,215699416125,2259726205,457763,5906,1254,368,391722,0 52,2,2024-09-07 08:55:41:754,329694,329656,38,0,15116793,0,6742 52,3,2024-09-07 08:55:40:681,1,264,4,0,1782,4580,264,0 53,0,2024-09-07 08:55:41:736,69075,0.6,67136,0.7,140483,0.6,184436,2.25 53,1,2024-09-07 08:55:40:773,464176,464176,0,0,216287753799,2269032544,456849,4971,2356,367,391702,0 53,2,2024-09-07 08:55:41:300,336451,336451,0,0,12652797,0,2727 53,3,2024-09-07 08:55:40:698,1,264,9,0,271,2342,264,0 54,0,2024-09-07 08:55:41:620,63399,0.8,63960,0.8,126660,0.5,169609,2.50 54,1,2024-09-07 08:55:40:581,465528,465528,0,0,217322909192,2258362898,460792,4139,597,367,391659,0 54,2,2024-09-07 08:55:40:865,335816,335810,6,0,14040095,0,5382 54,3,2024-09-07 08:55:40:763,1,264,3,0,676,3919,264,0 55,0,2024-09-07 08:55:41:762,62460,0.6,64640,0.8,130134,0.5,169486,2.50 55,1,2024-09-07 08:55:40:765,465151,465151,0,0,217239907755,2260047123,459601,4763,787,365,391731,0 55,2,2024-09-07 08:55:40:730,333604,333604,0,0,14157920,0,3275 55,3,2024-09-07 08:55:40:676,1,264,0,0,304,2896,264,0 56,0,2024-09-07 08:55:41:577,65716,0.9,62084,1.0,127581,1.2,170606,2.25 56,1,2024-09-07 08:55:40:603,463408,463408,0,0,216678925872,2288896384,456162,5808,1438,381,391729,0 56,2,2024-09-07 08:55:41:315,330704,330704,0,0,15358429,0,3567 56,3,2024-09-07 08:55:41:063,1,264,1,0,705,3823,264,0 57,0,2024-09-07 08:55:41:042,68156,1.3,68136,1.1,136237,1.9,182911,2.75 57,1,2024-09-07 08:55:41:022,464836,464836,0,0,216633940342,2267724227,459508,4657,671,367,391960,0 57,2,2024-09-07 08:55:41:326,334540,334540,0,0,16620062,0,3317 57,3,2024-09-07 08:55:41:747,1,264,10,0,359,3448,264,0 58,0,2024-09-07 08:55:40:561,64994,1.1,63183,1.1,132319,1.5,173347,2.75 58,1,2024-09-07 08:55:40:577,465781,465778,0,3,217505445334,2270632273,460043,5024,711,367,391603,3 58,2,2024-09-07 08:55:41:076,336821,336821,0,0,14825807,0,2549 58,3,2024-09-07 08:55:41:068,1,264,2,0,1043,2919,264,0 59,0,2024-09-07 08:55:41:745,65616,0.8,65377,1.0,130472,0.8,173207,2.75 59,1,2024-09-07 08:55:40:808,465099,465099,0,0,217129671311,2269707485,459310,4524,1265,369,391525,0 59,2,2024-09-07 08:55:40:583,336479,336479,0,0,13545733,0,2604 59,3,2024-09-07 08:55:41:741,1,264,0,0,1015,3597,264,0 60,0,2024-09-07 08:55:41:723,64765,0.4,64649,0.6,130368,0.3,172438,1.75 60,1,2024-09-07 08:55:40:774,466725,466725,0,0,218461893113,2263202387,464273,1935,517,370,392031,0 60,2,2024-09-07 08:55:41:144,330956,330956,0,0,14560167,0,3811 60,3,2024-09-07 08:55:41:258,1,264,1,0,124,2554,264,0 61,0,2024-09-07 08:55:41:524,67396,0.6,67624,0.7,134789,0.6,180126,2.00 61,1,2024-09-07 08:55:40:776,465130,465130,0,0,216982328475,2268390632,460549,3878,703,382,391880,0 61,2,2024-09-07 08:55:41:118,333200,333200,0,0,13080070,0,2780 61,3,2024-09-07 08:55:41:687,1,264,6,0,397,3536,264,0 62,0,2024-09-07 08:55:41:715,69713,0.6,71064,0.7,135607,0.6,184358,2.00 62,1,2024-09-07 08:55:41:111,467709,467703,0,6,218627518823,2253274416,465237,2348,118,365,391715,6 62,2,2024-09-07 08:55:41:644,336362,336361,1,0,14422249,0,5555 62,3,2024-09-07 08:55:41:148,1,264,1,0,287,1842,264,0 63,0,2024-09-07 08:55:41:451,65368,0.5,65606,0.6,131248,0.4,174455,1.75 63,1,2024-09-07 08:55:40:805,466919,466913,0,6,217578689906,2251831388,465336,1527,50,381,391677,6 63,2,2024-09-07 08:55:40:761,335911,335911,0,0,12083863,0,2674 63,3,2024-09-07 08:55:41:732,1,264,1,0,667,2887,264,0 64,0,2024-09-07 08:55:41:580,63354,0.4,63274,0.6,126754,0.4,168717,1.75 64,1,2024-09-07 08:55:40:760,465311,465311,0,0,217261271464,2264306557,460816,3079,1416,370,391783,0 64,2,2024-09-07 08:55:41:166,334202,334183,19,0,12819411,0,6121 64,3,2024-09-07 08:55:41:140,1,264,2,0,265,2582,264,0 65,0,2024-09-07 08:55:41:747,64978,0.6,65510,0.7,130638,0.6,174368,2.00 65,1,2024-09-07 08:55:40:869,464705,464705,0,0,216366428412,2261960371,461113,3147,445,382,391901,0 65,2,2024-09-07 08:55:41:704,332299,332299,0,0,13909004,0,3367 65,3,2024-09-07 08:55:41:696,1,264,19,0,163,2551,264,0 66,0,2024-09-07 08:55:41:764,69408,0.4,69161,0.7,139020,0.4,184679,2.00 66,1,2024-09-07 08:55:41:293,466487,466487,0,0,217496057564,2258428895,463912,2374,201,380,391653,0 66,2,2024-09-07 08:55:41:132,338967,338967,0,0,13459956,0,4956 66,3,2024-09-07 08:55:41:079,1,264,1,0,291,2747,264,0 67,0,2024-09-07 08:55:41:412,64278,0.6,63700,0.8,128330,0.6,170800,2.00 67,1,2024-09-07 08:55:40:770,465410,465409,0,1,217473903058,2266079090,461562,3098,749,380,391787,1 67,2,2024-09-07 08:55:40:594,336140,336140,0,0,12362079,0,2889 67,3,2024-09-07 08:55:41:749,1,264,1,0,338,2408,264,0 68,0,2024-09-07 08:55:40:729,64400,0.6,64167,0.7,127953,0.5,170983,2.00 68,1,2024-09-07 08:55:40:578,464804,464804,0,0,216597616652,2268166258,460620,3014,1170,381,391953,0 68,2,2024-09-07 08:55:41:067,332380,332315,65,0,16005823,0,6698 68,3,2024-09-07 08:55:40:729,1,264,3,0,417,2868,264,0 69,0,2024-09-07 08:55:41:820,64551,0.6,64833,0.8,129422,0.6,172418,2.00 69,1,2024-09-07 08:55:41:017,463525,463525,0,0,216183058365,2278053460,457821,4302,1402,384,391994,0 69,2,2024-09-07 08:55:41:733,331142,331142,0,0,15803705,0,3722 69,3,2024-09-07 08:55:40:767,1,264,21,0,698,3714,264,0 70,0,2024-09-07 08:55:41:541,69398,0.7,69168,0.9,139066,0.5,185228,2.25 70,1,2024-09-07 08:55:40:805,466373,466373,0,0,218395866928,2265332266,462706,3139,528,366,391725,0 70,2,2024-09-07 08:55:41:328,335241,335241,0,0,14468559,0,4044 70,3,2024-09-07 08:55:40:745,1,264,17,0,854,3080,264,0 71,0,2024-09-07 08:55:41:358,64387,1.2,64311,1.1,128916,1.5,172435,2.75 71,1,2024-09-07 08:55:41:604,465216,465216,0,0,217059265756,2262162543,460060,4535,621,368,391738,0 71,2,2024-09-07 08:55:41:067,336108,336108,0,0,14835991,0,4042 71,3,2024-09-07 08:55:41:749,1,264,1,0,644,3715,264,0 72,0,2024-09-07 08:55:41:034,67824,0.7,66386,0.8,129292,0.8,175741,2.25 72,1,2024-09-07 08:55:41:039,465606,465606,0,0,217589579284,2269515584,460541,4133,932,369,391819,0 72,2,2024-09-07 08:55:41:760,333909,333909,0,0,16568004,0,3983 72,3,2024-09-07 08:55:41:757,1,264,10,0,564,4606,264,0 73,0,2024-09-07 08:55:41:127,63036,0.4,64544,0.6,132359,0.3,171271,2.00 73,1,2024-09-07 08:55:40:769,465821,465821,0,0,217159427045,2254986129,462726,2859,236,367,391750,0 73,2,2024-09-07 08:55:41:740,330792,330792,0,0,15834997,0,3701 73,3,2024-09-07 08:55:40:969,1,264,1,0,274,3757,264,0 74,0,2024-09-07 08:55:41:324,68845,0.4,70403,0.6,134309,0.4,182547,2.00 74,1,2024-09-07 08:55:40:636,465029,465029,0,0,216940758495,2263151771,460624,3606,799,381,391681,0 74,2,2024-09-07 08:55:41:005,333031,333031,0,0,15209792,0,4253 74,3,2024-09-07 08:55:41:442,1,264,1,0,522,3411,264,0 75,0,2024-09-07 08:55:41:777,67735,0.5,67365,0.8,135030,0.5,180332,2.25 75,1,2024-09-07 08:55:41:585,464358,464358,0,0,216439741436,2260938641,459423,4271,664,380,391660,0 75,2,2024-09-07 08:55:41:352,336551,336551,0,0,15733887,0,4766 75,3,2024-09-07 08:55:41:067,1,264,1,0,702,3767,264,0 76,0,2024-09-07 08:55:40:634,65622,0.7,64978,0.8,130390,0.7,174753,2.50 76,1,2024-09-07 08:55:40:822,465163,465163,0,0,217217035887,2264026850,462055,2557,551,382,391692,0 76,2,2024-09-07 08:55:41:079,336675,336675,0,0,13019942,0,3784 76,3,2024-09-07 08:55:41:151,1,264,0,0,175,2682,264,0 77,0,2024-09-07 08:55:41:813,64495,0.5,64792,0.7,129428,0.4,172037,1.75 77,1,2024-09-07 08:55:40:832,465763,465763,0,0,217147041208,2265493186,462310,3196,257,381,391808,0 77,2,2024-09-07 08:55:41:284,330587,330587,0,0,13557252,0,3890 77,3,2024-09-07 08:55:41:098,1,264,8,0,382,2914,264,0 78,0,2024-09-07 08:55:41:723,66290,0.4,65762,0.6,132369,0.3,175343,2.00 78,1,2024-09-07 08:55:40:612,465841,465841,0,0,216515353764,2245744944,462843,2679,319,367,391670,0 78,2,2024-09-07 08:55:41:406,332552,332552,0,0,12145420,0,3855 78,3,2024-09-07 08:55:41:141,1,264,9,0,181,2481,264,0 79,0,2024-09-07 08:55:41:349,67757,0.4,69533,0.6,142066,0.4,185404,2.25 79,1,2024-09-07 08:55:40:577,467145,467145,0,0,217604699585,2249780589,464299,2509,337,369,391682,0 79,2,2024-09-07 08:55:41:084,338540,338540,0,0,12396695,0,3212 79,3,2024-09-07 08:55:40:749,1,264,1,0,418,3779,264,0 80,0,2024-09-07 08:55:41:083,64440,0.6,66414,0.7,127128,0.6,171893,2.00 80,1,2024-09-07 08:55:41:638,465353,465353,0,0,216718937636,2254088381,462379,2804,170,368,391791,0 80,2,2024-09-07 08:55:41:091,336297,336297,0,0,12688140,0,4433 80,3,2024-09-07 08:55:40:577,1,264,1,0,190,3582,264,0 81,0,2024-09-07 08:55:41:623,63646,0.5,65323,0.6,124950,0.4,168857,1.75 81,1,2024-09-07 08:55:41:666,464396,464396,0,0,216546969046,2262089488,460524,3409,463,382,391879,0 81,2,2024-09-07 08:55:41:125,332685,332685,0,0,13008561,0,3993 81,3,2024-09-07 08:55:41:117,1,264,2,0,374,3070,264,0 82,0,2024-09-07 08:55:41:552,64639,0.4,65162,0.6,130299,0.3,173146,1.75 82,1,2024-09-07 08:55:40:583,466035,466031,0,4,217360979021,2262393915,462805,2615,611,381,391768,4 82,2,2024-09-07 08:55:41:690,332791,332791,0,0,12327616,0,3986 82,3,2024-09-07 08:55:41:751,1,264,56,0,363,2953,264,0 83,0,2024-09-07 08:55:41:522,69688,0.5,69657,0.7,138480,0.5,184958,2.00 83,1,2024-09-07 08:55:40:552,464860,464860,0,0,217253876467,2263345343,461682,2934,244,382,391709,0 83,2,2024-09-07 08:55:40:764,336109,336109,0,0,12444192,0,3119 83,3,2024-09-07 08:55:40:749,1,264,8,0,250,2913,264,0 84,0,2024-09-07 08:55:41:774,64059,0.8,63735,0.9,127826,0.7,171117,2.25 84,1,2024-09-07 08:55:41:040,464471,464471,0,0,216735536368,2263635057,459715,4173,583,367,391967,0 84,2,2024-09-07 08:55:40:579,335682,335682,0,0,14299754,0,3801 84,3,2024-09-07 08:55:41:141,1,264,0,0,908,3989,264,0 85,0,2024-09-07 08:55:41:020,62508,0.7,62480,0.8,132531,0.7,170945,2.50 85,1,2024-09-07 08:55:40:570,464074,464074,0,0,216291704620,2282140271,457228,5739,1107,381,392006,0 85,2,2024-09-07 08:55:40:866,335387,335387,0,0,15071267,0,3656 85,3,2024-09-07 08:55:40:688,1,264,2,0,789,3540,264,0 86,0,2024-09-07 08:55:40:885,64297,0.6,66086,0.7,126419,0.5,170490,2.00 86,1,2024-09-07 08:55:40:841,465362,465362,0,0,216907118782,2265636231,460371,4263,728,366,391961,0 86,2,2024-09-07 08:55:40:857,330026,330025,1,0,15853202,0,5004 86,3,2024-09-07 08:55:40:587,1,264,2,0,286,3564,264,0 87,0,2024-09-07 08:55:41:301,68559,0.8,68576,0.8,137653,0.9,184387,2.25 87,1,2024-09-07 08:55:40:554,465234,465234,0,0,216770115505,2264542443,460749,4001,484,366,392012,0 87,2,2024-09-07 08:55:41:075,333464,333464,0,0,14197076,0,4045 87,3,2024-09-07 08:55:41:793,1,264,1,0,473,3843,264,0 88,0,2024-09-07 08:55:41:445,66235,0.5,66538,0.6,132928,0.5,176557,2.00 88,1,2024-09-07 08:55:40:582,463924,463924,0,0,217212645521,2276942121,457733,4677,1514,365,392084,0 88,2,2024-09-07 08:55:40:688,336546,336546,0,0,16344758,0,3583 88,3,2024-09-07 08:55:41:271,1,264,1,0,435,3125,264,0 89,0,2024-09-07 08:55:41:806,67882,0.5,65601,0.7,130278,0.5,176532,1.75 89,1,2024-09-07 08:55:40:561,463137,463137,0,0,216535854565,2281601805,456207,5708,1222,382,391866,0 89,2,2024-09-07 08:55:41:138,334400,334400,0,0,15585259,0,2910 89,3,2024-09-07 08:55:41:801,1,264,14,0,325,4937,264,0 90,0,2024-09-07 08:55:41:614,62992,0.4,64384,0.6,131831,0.4,171725,1.75 90,1,2024-09-07 08:55:40:590,465194,465194,0,0,216565348872,2267602084,461053,3862,279,381,391825,0 90,2,2024-09-07 08:55:41:412,329133,329133,0,0,16771687,0,3060 90,3,2024-09-07 08:55:40:933,1,264,1,0,200,2750,264,0 91,0,2024-09-07 08:55:40:929,67502,0.4,65523,0.6,136824,0.3,180049,1.75 91,1,2024-09-07 08:55:40:556,463944,463944,0,0,216065519036,2270253108,458054,5196,694,381,392047,0 91,2,2024-09-07 08:55:41:339,332199,332199,0,0,14999142,0,2896 91,3,2024-09-07 08:55:40:598,1,264,0,0,216,2433,264,0 92,0,2024-09-07 08:55:41:471,69840,0.5,71562,0.6,136730,0.5,184662,1.75 92,1,2024-09-07 08:55:40:601,465117,465117,0,0,217450557085,2265189670,462551,2160,406,382,392136,0 92,2,2024-09-07 08:55:41:351,339201,339201,0,0,12832966,0,2801 92,3,2024-09-07 08:55:41:010,1,264,0,0,167,2108,264,0 93,0,2024-09-07 08:55:40:980,65761,0.4,67431,0.6,129017,0.3,175188,1.75 93,1,2024-09-07 08:55:40:807,465430,465430,0,0,217109398261,2264695011,460879,3762,789,366,391692,0 93,2,2024-09-07 08:55:40:931,335880,335880,0,0,13677661,0,2797 93,3,2024-09-07 08:55:41:406,1,264,1,0,190,2657,264,0 94,0,2024-09-07 08:55:41:696,63442,0.3,64195,0.5,127971,0.3,169513,1.75 94,1,2024-09-07 08:55:40:566,465081,465081,0,0,216975181520,2264939887,461782,3120,179,381,391850,0 94,2,2024-09-07 08:55:40:763,331113,331113,0,0,12593875,0,2443 94,3,2024-09-07 08:55:41:700,1,264,3,0,264,3337,264,0 95,0,2024-09-07 08:55:41:362,65728,0.3,65419,0.5,131909,0.3,175267,1.75 95,1,2024-09-07 08:55:40:857,465848,465848,0,0,216965071633,2253680236,462264,3207,377,367,391713,0 95,2,2024-09-07 08:55:41:029,331373,331373,0,0,12982317,0,3308 95,3,2024-09-07 08:55:41:709,1,264,6,0,718,4542,264,0 96,0,2024-09-07 08:55:41:022,69802,0.4,70012,0.5,139734,0.3,185015,1.75 96,1,2024-09-07 08:55:41:589,464903,464903,0,0,216854614678,2263038888,461321,2848,734,384,391894,0 96,2,2024-09-07 08:55:41:274,337166,337166,0,0,13714084,0,4042 96,3,2024-09-07 08:55:41:142,1,264,7,0,411,3128,264,0 97,0,2024-09-07 08:55:41:326,64102,0.4,63825,0.5,128272,0.3,170572,1.75 97,1,2024-09-07 08:55:40:763,466465,466465,0,0,217596429056,2255488549,463417,2491,557,367,392140,0 97,2,2024-09-07 08:55:40:616,335811,335811,0,0,12884698,0,3036 97,3,2024-09-07 08:55:40:577,1,264,3,0,214,3034,264,0 98,0,2024-09-07 08:55:41:722,64194,0.3,64209,0.4,129046,0.2,171084,1.50 98,1,2024-09-07 08:55:40:577,465869,465869,0,0,217591131945,2262817911,463716,2052,101,382,391997,0 98,2,2024-09-07 08:55:40:773,333782,333782,0,0,12879738,0,3080 98,3,2024-09-07 08:55:40:701,1,264,4,0,840,4610,264,0 99,0,2024-09-07 08:55:41:501,64900,0.3,65144,0.4,129938,0.2,173600,1.50 99,1,2024-09-07 08:55:41:728,465846,465846,0,0,216838076958,2259074342,462056,3050,740,381,391744,0 99,2,2024-09-07 08:55:41:433,333686,333686,0,0,13738379,0,3106 99,3,2024-09-07 08:55:40:582,1,264,0,0,187,2142,264,0 100,0,2024-09-07 08:55:41:467,69558,0.6,69453,0.9,138842,0.7,185859,2.25 100,1,2024-09-07 08:55:40:552,463097,463097,0,0,216098334478,2282094362,456244,5535,1318,381,391989,0 100,2,2024-09-07 08:55:41:817,333680,333669,11,0,15054947,0,5417 100,3,2024-09-07 08:55:41:731,1,264,10,0,559,4973,264,0 101,0,2024-09-07 08:55:41:702,66297,1.6,64521,1.2,126724,1.9,173967,2.50 101,1,2024-09-07 08:55:40:554,463027,463027,0,0,215826902385,2272333156,455668,5588,1771,368,391769,0 101,2,2024-09-07 08:55:41:756,335171,335171,0,0,16282451,0,4644 101,3,2024-09-07 08:55:40:944,1,264,0,0,448,3301,264,0 102,0,2024-09-07 08:55:40:981,64035,0.7,66317,0.8,133607,0.7,174963,2.00 102,1,2024-09-07 08:55:41:144,464283,464283,0,0,216683793720,2273673214,458622,4820,841,369,391883,0 102,2,2024-09-07 08:55:41:740,335884,335830,54,0,14888830,0,6768 102,3,2024-09-07 08:55:41:615,1,264,2,0,410,2767,264,0 103,0,2024-09-07 08:55:41:605,66637,0.4,66558,0.6,125751,0.4,172568,1.75 103,1,2024-09-07 08:55:41:635,463310,463310,0,0,216189567485,2275641298,457012,4917,1381,381,391829,0 103,2,2024-09-07 08:55:40:584,329781,329781,0,0,13999229,0,2582 103,3,2024-09-07 08:55:40:755,1,264,2,0,916,3597,264,0 104,0,2024-09-07 08:55:41:007,67804,0.7,68195,0.9,135270,0.6,182515,2.25 104,1,2024-09-07 08:55:41:604,464915,464915,0,0,216564382349,2275512032,458234,5586,1095,365,392168,0 104,2,2024-09-07 08:55:41:667,331979,331979,0,0,15894368,0,3941 104,3,2024-09-07 08:55:41:417,1,264,1,0,1245,6566,264,0 105,0,2024-09-07 08:55:41:026,66904,1.1,64946,1.2,135977,1.5,178968,3.25 105,1,2024-09-07 08:55:40:567,464921,464921,0,0,216833142379,2273962894,458599,5343,979,366,391797,0 105,2,2024-09-07 08:55:41:330,337030,337030,0,0,15403990,0,3509 105,3,2024-09-07 08:55:41:315,1,264,2,0,399,4322,264,0 106,0,2024-09-07 08:55:40:958,63580,0.9,65101,1.0,133298,1.0,174321,2.75 106,1,2024-09-07 08:55:41:750,464893,464893,0,0,216349296898,2272105275,457780,6354,759,369,391767,0 106,2,2024-09-07 08:55:40:756,333953,333953,0,0,14898359,0,2795 106,3,2024-09-07 08:55:40:688,1,264,1,0,470,3672,264,0 107,0,2024-09-07 08:55:41:099,64471,0.7,64390,0.8,128911,0.7,171791,2.00 107,1,2024-09-07 08:55:40:586,463427,463427,0,0,216087037589,2271684781,458097,4781,549,381,392234,0 107,2,2024-09-07 08:55:41:316,329936,329935,1,0,15541750,0,5024 107,3,2024-09-07 08:55:41:757,1,264,3,0,353,3498,264,0 108,0,2024-09-07 08:55:41:772,65993,0.4,66294,0.6,131718,0.3,176053,1.75 108,1,2024-09-07 08:55:41:293,465073,465073,0,0,217733932092,2266468119,461856,2832,385,368,391857,0 108,2,2024-09-07 08:55:41:755,331416,331416,0,0,14084219,0,2647 108,3,2024-09-07 08:55:41:330,1,264,1,0,749,5596,264,0 109,0,2024-09-07 08:55:41:762,70413,0.4,69645,0.5,139775,0.3,187478,1.75 109,1,2024-09-07 08:55:40:584,463447,463447,0,0,216354421768,2267309258,459576,3354,517,383,392132,0 109,2,2024-09-07 08:55:40:922,336306,336306,0,0,14545019,0,3617 109,3,2024-09-07 08:55:41:140,1,264,0,0,249,3039,264,0 110,0,2024-09-07 08:55:41:841,64611,0.4,62965,0.6,131823,0.4,172698,1.75 110,1,2024-09-07 08:55:41:642,466605,466605,0,0,217606556167,2257793311,463457,2270,878,369,392045,0 110,2,2024-09-07 08:55:41:303,336021,336021,0,0,13880513,0,3264 110,3,2024-09-07 08:55:40:715,1,264,3,0,406,3446,264,0 111,0,2024-09-07 08:55:41:413,64083,0.3,63623,0.5,127174,0.3,170250,1.75 111,1,2024-09-07 08:55:41:004,466590,466590,0,0,218221726450,2260419423,464597,1657,336,382,391690,0 111,2,2024-09-07 08:55:41:117,332025,332025,0,0,13027922,0,2763 111,3,2024-09-07 08:55:40:914,1,264,10,0,379,3456,264,0 112,0,2024-09-07 08:55:40:920,65236,0.3,65047,0.4,130263,0.2,173192,1.50 112,1,2024-09-07 08:55:40:830,466421,466421,0,0,216850643070,2247692434,463989,2004,428,380,391615,0 112,2,2024-09-07 08:55:41:133,331753,331752,1,0,13302460,0,5036 112,3,2024-09-07 08:55:40:591,1,264,23,0,282,2746,264,0 113,0,2024-09-07 08:55:40:877,69264,0.3,69272,0.5,139330,0.2,186032,1.50 113,1,2024-09-07 08:55:41:697,467346,467346,0,0,218164646153,2250400437,464841,2015,490,366,391661,0 113,2,2024-09-07 08:55:41:303,337094,337094,0,0,12128134,0,3813 113,3,2024-09-07 08:55:40:688,1,264,0,0,340,3751,264,0 114,0,2024-09-07 08:55:40:911,64849,0.4,65269,0.5,129523,0.3,173118,1.75 114,1,2024-09-07 08:55:40:717,465493,465493,0,0,217384742709,2262651405,460682,3222,1589,381,391556,0 114,2,2024-09-07 08:55:40:880,335719,335718,1,0,13129309,0,5069 114,3,2024-09-07 08:55:41:278,1,264,1,0,395,2700,264,0 115,0,2024-09-07 08:55:40:554,64856,0.3,65162,0.4,130274,0.2,173014,1.50 115,1,2024-09-07 08:55:40:575,465621,465621,0,0,217832295910,2265016135,461226,3558,837,382,391639,0 115,2,2024-09-07 08:55:41:126,335545,335545,0,0,11463030,0,2152 115,3,2024-09-07 08:55:41:002,1,264,2,0,159,1394,264,0 116,0,2024-09-07 08:55:41:719,64063,0.6,64023,0.8,128138,0.6,171145,2.00 116,1,2024-09-07 08:55:40:803,462948,462948,0,0,216211456785,2284120382,456542,4351,2055,380,391782,0 116,2,2024-09-07 08:55:41:751,330382,330382,0,0,16232422,0,3529 116,3,2024-09-07 08:55:40:916,1,264,43,0,415,3711,264,0 117,0,2024-09-07 08:55:40:960,69033,0.7,68661,0.8,137558,0.6,184585,2.00 117,1,2024-09-07 08:55:41:579,464659,464659,0,0,216502220537,2263420754,459949,4230,480,370,392033,0 117,2,2024-09-07 08:55:41:118,336023,336023,0,0,13413657,0,3700 117,3,2024-09-07 08:55:41:063,1,264,2,0,490,4046,264,0 118,0,2024-09-07 08:55:41:773,64386,0.6,66122,0.7,134897,0.6,175775,2.00 118,1,2024-09-07 08:55:40:588,464672,464672,0,0,215948086687,2267882203,458294,4944,1434,366,391736,0 118,2,2024-09-07 08:55:41:592,335996,335996,0,0,14663245,0,2781 118,3,2024-09-07 08:55:41:763,1,264,1,0,235,2919,264,0 119,0,2024-09-07 08:55:41:335,65546,0.8,65997,0.8,132133,1.0,175435,2.25 119,1,2024-09-07 08:55:40:547,465482,465482,0,0,216528837151,2259943902,460815,4143,524,367,391641,0 119,2,2024-09-07 08:55:41:268,335287,335287,0,0,13861518,0,4174 119,3,2024-09-07 08:55:41:328,1,264,5,0,443,3571,264,0 120,0,2024-09-07 08:55:41:548,64534,0.5,64331,0.7,128937,0.5,172223,2.00 120,1,2024-09-07 08:55:40:866,465007,465007,0,0,216330310291,2266857316,460310,4346,351,368,391961,0 120,2,2024-09-07 08:55:40:775,330152,330151,1,0,16515494,0,5281 120,3,2024-09-07 08:55:41:300,1,264,1,0,241,3315,264,0 121,0,2024-09-07 08:55:41:728,67332,0.8,67363,0.9,135087,1.0,180173,2.00 121,1,2024-09-07 08:55:41:658,465071,465071,0,0,216063260712,2259895772,460449,4206,416,367,391840,0 121,2,2024-09-07 08:55:41:125,331610,331610,0,0,15776415,0,4127 121,3,2024-09-07 08:55:40:729,1,264,1,0,269,3052,264,0 122,0,2024-09-07 08:55:41:765,68964,0.8,67211,0.9,141009,1.0,184620,2.00 122,1,2024-09-07 08:55:40:864,464218,464218,0,0,216804137363,2273283768,458146,5255,817,366,392130,0 122,2,2024-09-07 08:55:41:324,336474,336401,73,0,18466951,0,5989 122,3,2024-09-07 08:55:40:597,1,264,1,0,411,5071,264,0 123,0,2024-09-07 08:55:40:969,65239,0.9,63727,0.9,132895,1.0,174382,2.25 123,1,2024-09-07 08:55:40:559,464707,464707,0,0,216368460292,2280545321,456032,6959,1716,369,392039,0 123,2,2024-09-07 08:55:41:018,333102,333101,1,0,15289038,0,5215 123,3,2024-09-07 08:55:41:132,1,264,1,0,168,3108,264,0 124,0,2024-09-07 08:55:40:924,65732,0.3,65713,0.5,124050,0.3,170209,1.75 124,1,2024-09-07 08:55:41:021,466228,466228,0,0,216487363541,2248019851,463321,2542,365,367,392178,0 124,2,2024-09-07 08:55:41:009,331862,331862,0,0,12366666,0,3101 124,3,2024-09-07 08:55:40:759,1,264,3,0,490,2884,264,0 125,0,2024-09-07 08:55:41:426,65736,0.3,65457,0.5,131813,0.3,175451,1.75 125,1,2024-09-07 08:55:40:865,464710,464710,0,0,216969583860,2261693475,461608,2707,395,383,391702,0 125,2,2024-09-07 08:55:41:117,332441,332441,0,0,13506858,0,4534 125,3,2024-09-07 08:55:41:133,1,264,2,0,709,3601,264,0 126,0,2024-09-07 08:55:41:470,69786,0.5,71642,0.6,136954,0.5,185952,1.75 126,1,2024-09-07 08:55:40:553,466449,466449,0,0,216932532356,2247002058,463727,2458,264,365,391987,0 126,2,2024-09-07 08:55:40:612,338270,338270,0,0,13909518,0,3186 126,3,2024-09-07 08:55:40:907,1,264,1,0,183,3531,264,0 127,0,2024-09-07 08:55:41:629,63937,0.4,64271,0.5,128033,0.3,170752,1.75 127,1,2024-09-07 08:55:40:568,466226,466226,0,0,217542383159,2253074060,463258,2815,153,365,391816,0 127,2,2024-09-07 08:55:40:639,335752,335752,0,0,12419113,0,2264 127,3,2024-09-07 08:55:41:272,1,264,1,0,968,3041,264,0 128,0,2024-09-07 08:55:41:539,64635,0.3,64579,0.4,129036,0.2,171483,1.50 128,1,2024-09-07 08:55:41:606,465439,465439,0,0,217639663530,2255825742,463253,2028,158,367,391798,0 128,2,2024-09-07 08:55:41:383,334347,334347,0,0,12263153,0,2434 128,3,2024-09-07 08:55:40:766,1,264,2,0,1082,5424,264,0 129,0,2024-09-07 08:55:41:001,65453,0.3,65123,0.4,130286,0.2,173298,1.50 129,1,2024-09-07 08:55:40:570,463659,463659,0,0,216445977071,2266509998,459495,3233,931,379,391835,0 129,2,2024-09-07 08:55:40:718,332075,332075,0,0,13256900,0,4031 129,3,2024-09-07 08:55:40:698,1,264,7,0,469,3520,264,0 130,0,2024-09-07 08:55:41:747,70144,0.5,69707,0.6,139960,0.5,186794,1.75 130,1,2024-09-07 08:55:40:585,465943,465943,0,0,216370511470,2249728244,463498,2366,79,381,391825,0 130,2,2024-09-07 08:55:41:133,336315,336315,0,0,12881841,0,4067 130,3,2024-09-07 08:55:41:293,1,264,17,0,450,3422,264,0 131,0,2024-09-07 08:55:41:970,64881,0.4,65302,0.5,131409,0.3,173723,1.75 131,1,2024-09-07 08:55:41:828,465963,465963,0,0,217215679169,2263221903,462908,2597,458,381,391865,0 131,2,2024-09-07 08:55:40:567,337735,337735,0,0,11812782,0,2415 131,3,2024-09-07 08:55:41:687,1,264,163,0,392,2765,264,0 132,0,2024-09-07 08:55:41:427,65399,0.5,66345,0.6,132039,0.5,175373,2.00 132,1,2024-09-07 08:55:40:585,463571,463571,0,0,215899405452,2274183781,456555,5762,1254,381,392097,0 132,2,2024-09-07 08:55:40:704,334949,334949,0,0,15554428,0,4606 132,3,2024-09-07 08:55:41:706,1,264,6,0,356,3667,264,0 133,0,2024-09-07 08:55:41:535,63145,0.4,64611,0.5,132223,0.3,171319,1.75 133,1,2024-09-07 08:55:40:585,464313,464313,0,0,216645521592,2277367610,458823,4821,669,383,391914,0 133,2,2024-09-07 08:55:41:087,329703,329703,0,0,16632662,0,4315 133,3,2024-09-07 08:55:41:299,1,264,17,0,276,2591,264,0 134,0,2024-09-07 08:55:40:939,68338,0.4,68327,0.6,136893,0.4,182481,2.00 134,1,2024-09-07 08:55:40:590,463632,463632,0,0,216644168412,2272559457,457169,4992,1471,366,391718,0 134,2,2024-09-07 08:55:41:756,332637,332637,0,0,13935735,0,3847 134,3,2024-09-07 08:55:40:749,1,264,0,0,739,3827,264,0 135,0,2024-09-07 08:55:41:121,65383,0.8,65366,0.9,138658,0.9,178684,2.25 135,1,2024-09-07 08:55:41:602,463708,463708,0,0,217227562425,2283063188,457501,5208,999,380,391805,0 135,2,2024-09-07 08:55:40:688,337670,337670,0,0,15492654,0,3981 135,3,2024-09-07 08:55:41:002,1,264,2,0,299,1871,264,0 136,0,2024-09-07 08:55:41:671,66268,0.6,66200,0.8,132068,0.6,176328,2.25 136,1,2024-09-07 08:55:41:443,463812,463812,0,0,216021818591,2268657488,458271,4955,586,382,391685,0 136,2,2024-09-07 08:55:41:142,335839,335839,0,0,15001807,0,3506 136,3,2024-09-07 08:55:41:106,1,264,2,0,150,2168,264,0 137,0,2024-09-07 08:55:40:925,66384,0.6,64562,0.7,127315,0.5,172999,2.00 137,1,2024-09-07 08:55:40:584,464093,464093,0,0,216142553265,2266567831,457139,5536,1418,366,391708,0 137,2,2024-09-07 08:55:41:715,329843,329843,0,0,16484942,0,3185 137,3,2024-09-07 08:55:40:773,1,264,1,0,382,3329,264,0 138,0,2024-09-07 08:55:41:756,65621,0.7,65537,0.8,131914,0.8,175625,2.00 138,1,2024-09-07 08:55:41:685,464104,464104,0,0,217112782525,2278004998,457129,5842,1133,368,391954,0 138,2,2024-09-07 08:55:40:590,332208,332208,0,0,15209193,0,4988 138,3,2024-09-07 08:55:40:611,1,264,1,0,1160,3896,264,0 139,0,2024-09-07 08:55:41:370,68969,1.7,69189,1.2,138531,2.5,185861,2.50 139,1,2024-09-07 08:55:40:575,462644,462644,0,0,215346837573,2285995430,454099,6428,2117,381,391892,0 139,2,2024-09-07 08:55:40:697,335304,335304,0,0,16165624,0,3097 139,3,2024-09-07 08:55:41:669,1,264,2,0,257,2868,264,0 140,0,2024-09-07 08:55:41:594,65016,0.3,64515,0.5,129678,0.2,172911,1.75 140,1,2024-09-07 08:55:41:539,467420,467420,0,0,218173317133,2246791606,465438,1740,242,365,391606,0 140,2,2024-09-07 08:55:40:688,336017,336017,0,0,12716822,0,3388 140,3,2024-09-07 08:55:40:767,1,264,3,0,247,2211,264,0 141,0,2024-09-07 08:55:41:701,63812,0.3,65624,0.4,125466,0.2,170186,1.50 141,1,2024-09-07 08:55:40:859,466629,466629,0,0,217793450882,2257834784,464058,2163,408,379,391614,0 141,2,2024-09-07 08:55:41:686,332726,332726,0,0,12238166,0,2342 141,3,2024-09-07 08:55:41:044,1,264,1,0,202,2385,264,0 142,0,2024-09-07 08:55:41:351,65863,0.3,65096,0.4,129589,0.2,173644,1.50 142,1,2024-09-07 08:55:40:584,465867,465867,0,0,216673471910,2257732114,463031,2571,265,382,392102,0 142,2,2024-09-07 08:55:41:303,331583,331551,32,0,14095714,0,6028 142,3,2024-09-07 08:55:41:752,1,264,369,0,484,3599,264,0 143,0,2024-09-07 08:55:41:425,69649,0.5,69656,0.6,139790,0.4,185846,1.75 143,1,2024-09-07 08:55:40:558,465948,465948,0,0,217202171766,2250961888,463189,2663,96,367,391651,0 143,2,2024-09-07 08:55:40:770,336117,336117,0,0,13378878,0,2669 143,3,2024-09-07 08:55:41:141,1,264,1,0,303,3406,264,0 144,0,2024-09-07 08:55:41:500,62406,0.6,64007,0.9,130635,0.6,171269,2.00 144,1,2024-09-07 08:55:40:567,463496,463496,0,0,216235138259,2267888873,459448,3122,926,381,391649,0 144,2,2024-09-07 08:55:41:755,336203,336203,0,0,12594359,0,3473 144,3,2024-09-07 08:55:41:739,1,264,0,0,249,3021,264,0 145,0,2024-09-07 08:55:41:391,62518,0.6,62513,0.8,132693,0.5,170964,2.25 145,1,2024-09-07 08:55:40:552,463246,463246,0,0,216530196582,2273943999,457743,4680,823,382,391698,0 145,2,2024-09-07 08:55:41:440,333765,333765,0,0,14737143,0,3903 145,3,2024-09-07 08:55:40:901,1,264,1,0,622,4127,264,0 146,0,2024-09-07 08:55:41:602,64210,0.5,63680,0.7,128352,0.4,170293,2.00 146,1,2024-09-07 08:55:41:589,463978,463978,0,0,216319180943,2277533397,455694,6437,1847,368,391770,0 146,2,2024-09-07 08:55:41:706,330266,330266,0,0,14898684,0,2730 146,3,2024-09-07 08:55:41:274,1,264,1,0,1520,5951,264,0 147,0,2024-09-07 08:55:41:700,68845,0.5,68708,0.7,136693,0.5,184238,2.00 147,1,2024-09-07 08:55:41:372,466458,466458,0,0,217497043344,2261192638,462353,3519,586,368,391791,0 147,2,2024-09-07 08:55:41:011,335503,335503,0,0,12799760,0,2789 147,3,2024-09-07 08:55:40:913,1,264,2,0,730,3944,264,0 0,0,2024-09-07 08:55:51:763,62904,0.4,63003,0.7,133448,0.4,172528,1.75 0,1,2024-09-07 08:55:50:803,466828,466828,0,0,217615835337,2274358220,463575,3047,206,370,391772,0 0,2,2024-09-07 08:55:51:069,331707,331707,0,0,13300509,0,4480 0,3,2024-09-07 08:55:50:984,1,265,7,0,319,3489,265,0 1,0,2024-09-07 08:55:51:755,67952,0.7,67486,0.9,135593,0.9,181140,2.00 1,1,2024-09-07 08:55:50:561,466531,466531,0,0,216701179804,2269106990,462027,3451,1053,371,391857,0 1,2,2024-09-07 08:55:50:641,333193,333193,0,0,12453204,0,3267 1,3,2024-09-07 08:55:51:304,1,265,10,0,262,3029,265,0 2,0,2024-09-07 08:55:51:566,69368,0.7,69635,0.8,137849,1.0,184518,2.00 2,1,2024-09-07 08:55:50:859,467273,467273,0,0,218306169292,2265804500,465165,1890,218,380,391745,0 2,2,2024-09-07 08:55:51:266,339228,339228,0,0,12990706,0,3594 2,3,2024-09-07 08:55:50:694,1,265,0,0,357,2534,265,0 3,0,2024-09-07 08:55:51:758,65630,0.5,65724,0.6,131160,0.4,174588,2.00 3,1,2024-09-07 08:55:51:625,466745,466745,0,0,217762933158,2266634483,462904,3379,462,380,391556,0 3,2,2024-09-07 08:55:51:142,336757,336734,23,0,13207930,0,5851 3,3,2024-09-07 08:55:51:754,1,265,1,0,103,1551,265,0 4,0,2024-09-07 08:55:51:904,62007,0.3,63819,0.5,129849,0.3,170267,1.75 4,1,2024-09-07 08:55:50:597,466585,466585,0,0,217197199239,2278756796,461831,3961,793,371,391846,0 4,2,2024-09-07 08:55:51:018,331217,331217,0,0,15326185,0,4528 4,3,2024-09-07 08:55:51:027,1,265,1,0,448,3986,265,0 5,0,2024-09-07 08:55:51:401,65968,0.4,66279,0.5,131709,0.3,175695,1.75 5,1,2024-09-07 08:55:50:754,466397,466397,0,0,216950592838,2278490933,460893,4392,1112,368,392005,0 5,2,2024-09-07 08:55:51:834,332186,332186,0,0,13998480,0,2432 5,3,2024-09-07 08:55:51:736,1,265,1,0,457,3914,265,0 6,0,2024-09-07 08:55:50:917,70489,0.5,69934,0.7,139705,0.5,187261,2.00 6,1,2024-09-07 08:55:50:746,466670,466670,0,0,217383494990,2269183036,461994,3832,844,379,391694,0 6,2,2024-09-07 08:55:51:122,338130,338130,0,0,14003672,0,4816 6,3,2024-09-07 08:55:51:276,1,265,66,0,340,3059,265,0 7,0,2024-09-07 08:55:51:556,63918,0.5,64222,0.7,127956,0.5,170557,2.00 7,1,2024-09-07 08:55:50:877,466349,466349,0,0,217694034945,2281084452,460446,4900,1003,382,391747,0 7,2,2024-09-07 08:55:50:771,335931,335931,0,0,13766456,0,4791 7,3,2024-09-07 08:55:50:852,1,265,8,0,398,3163,265,0 8,0,2024-09-07 08:55:51:340,64549,0.4,64474,0.5,128501,0.3,171490,1.75 8,1,2024-09-07 08:55:51:016,465111,465111,0,0,217441010850,2290893290,456812,6280,2019,366,391956,0 8,2,2024-09-07 08:55:50:803,330712,330712,0,0,16621183,0,3220 8,3,2024-09-07 08:55:50:589,1,265,8,0,357,3899,265,0 9,0,2024-09-07 08:55:51:148,65415,0.3,63452,0.5,132481,0.3,173938,1.50 9,1,2024-09-07 08:55:50:562,465297,465297,0,0,216798550915,2282942097,458800,4955,1542,370,392001,0 9,2,2024-09-07 08:55:51:091,332571,332571,0,0,14677349,0,3360 9,3,2024-09-07 08:55:51:764,1,265,7,0,496,3895,265,0 10,0,2024-09-07 08:55:51:623,70327,0.3,69756,0.5,140160,0.2,187138,1.75 10,1,2024-09-07 08:55:50:587,466028,466028,0,0,217034763999,2273379959,459666,5434,928,381,391741,0 10,2,2024-09-07 08:55:50:762,336593,336593,0,0,16356109,0,4264 10,3,2024-09-07 08:55:50:872,1,265,1,0,177,2111,265,0 11,0,2024-09-07 08:55:51:009,64744,0.5,62922,0.7,131555,0.5,173999,1.75 11,1,2024-09-07 08:55:50:574,466725,466725,0,0,217530905718,2286246391,458506,6238,1981,383,391537,0 11,2,2024-09-07 08:55:51:123,337005,337005,0,0,15242373,0,4130 11,3,2024-09-07 08:55:51:301,1,265,14,0,843,4265,265,0 12,0,2024-09-07 08:55:50:941,66345,0.3,66157,0.5,132345,0.3,175616,1.75 12,1,2024-09-07 08:55:50:933,466248,466248,0,0,217191211921,2262657635,462721,3105,422,370,391870,0 12,2,2024-09-07 08:55:51:544,336497,336497,0,0,14336769,0,3469 12,3,2024-09-07 08:55:51:064,1,265,1,0,386,4375,265,0 13,0,2024-09-07 08:55:51:344,65105,0.3,64879,0.5,129695,0.3,172238,1.50 13,1,2024-09-07 08:55:51:529,466717,466717,0,0,217380256750,2278716376,463158,3017,542,382,391740,0 13,2,2024-09-07 08:55:50:604,332794,332794,0,0,13329411,0,3287 13,3,2024-09-07 08:55:51:764,1,265,0,0,467,3964,265,0 14,0,2024-09-07 08:55:50:602,68821,0.3,69498,0.5,137583,0.3,183675,1.75 14,1,2024-09-07 08:55:51:572,469531,469531,0,0,218194362743,2256276047,466698,2643,190,364,391571,0 14,2,2024-09-07 08:55:50:767,334506,334506,0,0,13437147,0,2896 14,3,2024-09-07 08:55:51:120,1,265,1,0,1168,3577,265,0 15,0,2024-09-07 08:55:51:553,67610,0.5,67790,0.7,135233,0.5,179786,2.00 15,1,2024-09-07 08:55:51:608,467596,467596,0,0,217786101787,2262547483,465073,2345,178,381,391619,0 15,2,2024-09-07 08:55:51:003,339343,339343,0,0,11556135,0,3043 15,3,2024-09-07 08:55:51:411,1,265,1,0,1126,5369,265,0 16,0,2024-09-07 08:55:50:935,66170,0.7,66418,0.8,132227,0.7,176191,2.25 16,1,2024-09-07 08:55:50:564,467637,467637,0,0,217874853720,2274532733,464070,3267,300,370,391756,0 16,2,2024-09-07 08:55:51:438,336310,336310,0,0,14143460,0,4719 16,3,2024-09-07 08:55:51:142,1,265,1,0,317,3604,265,0 17,0,2024-09-07 08:55:51:757,66804,0.5,65170,0.7,127579,0.4,173330,1.75 17,1,2024-09-07 08:55:50:568,466172,466172,0,0,216717440424,2273679354,461309,3758,1105,368,391845,0 17,2,2024-09-07 08:55:51:670,334412,334412,0,0,13146658,0,2857 17,3,2024-09-07 08:55:50:577,1,265,14,0,268,4141,265,0 18,0,2024-09-07 08:55:50:941,65769,0.6,65954,0.8,131608,0.6,176330,2.25 18,1,2024-09-07 08:55:51:652,467501,467501,0,0,217976432778,2257611116,464988,2271,242,367,391649,0 18,2,2024-09-07 08:55:51:755,334843,334843,0,0,13024204,0,3541 18,3,2024-09-07 08:55:50:896,1,265,4,0,163,2552,265,0 19,0,2024-09-07 08:55:51:538,69745,0.6,70403,0.8,139501,0.6,185768,2.25 19,1,2024-09-07 08:55:50:567,467489,467489,0,0,218542198915,2269194314,462827,3875,787,367,391777,0 19,2,2024-09-07 08:55:51:759,340727,340727,0,0,12080946,0,3988 19,3,2024-09-07 08:55:51:129,1,265,1,0,524,1907,265,0 20,0,2024-09-07 08:55:51:350,64689,0.6,64618,0.8,129652,0.7,173138,2.25 20,1,2024-09-07 08:55:50:570,466369,466369,0,0,217980722957,2277515266,462543,3428,398,369,391886,0 20,2,2024-09-07 08:55:50:939,337091,337091,0,0,13548512,0,3721 20,3,2024-09-07 08:55:50:589,1,265,2,0,414,4674,265,0 21,0,2024-09-07 08:55:51:139,64086,0.5,64279,0.6,128190,0.4,170043,2.00 21,1,2024-09-07 08:55:51:537,465230,465230,0,0,216869493242,2280154698,459504,4467,1259,368,391962,0 21,2,2024-09-07 08:55:51:069,332050,332050,0,0,15276971,0,3747 21,3,2024-09-07 08:55:51:403,1,265,14,0,103,2674,265,0 22,0,2024-09-07 08:55:51:721,65154,0.5,65282,0.7,130396,0.5,173776,2.00 22,1,2024-09-07 08:55:51:023,465715,465715,0,0,216574629953,2277441271,458483,5590,1642,382,391667,0 22,2,2024-09-07 08:55:50:760,332675,332675,0,0,13390702,0,3134 22,3,2024-09-07 08:55:51:067,1,265,0,0,228,2357,265,0 23,0,2024-09-07 08:55:51:374,69612,0.5,69349,0.7,139454,0.5,185843,2.25 23,1,2024-09-07 08:55:51:008,466891,466891,0,0,217632033250,2282157295,459580,4760,2551,365,391690,0 23,2,2024-09-07 08:55:51:092,338213,338213,0,0,13008483,0,3010 23,3,2024-09-07 08:55:51:758,1,265,4,0,645,3315,265,0 24,0,2024-09-07 08:55:50:906,65182,0.4,65035,0.6,130589,0.4,173394,1.75 24,1,2024-09-07 08:55:50:581,465959,465959,0,0,217039205584,2275298951,460343,4134,1482,368,391987,0 24,2,2024-09-07 08:55:51:072,335059,335059,0,0,16146027,0,3607 24,3,2024-09-07 08:55:51:686,1,265,12,0,468,3842,265,0 25,0,2024-09-07 08:55:51:361,66527,0.5,64831,0.7,127319,0.4,173550,2.00 25,1,2024-09-07 08:55:50:573,466931,466931,0,0,217715599231,2276676718,462579,3798,554,371,391788,0 25,2,2024-09-07 08:55:51:610,334263,334263,0,0,16236995,0,3978 25,3,2024-09-07 08:55:51:007,1,265,1,0,255,2827,265,0 26,0,2024-09-07 08:55:51:722,64268,0.4,62817,0.6,131683,0.3,171628,1.75 26,1,2024-09-07 08:55:51:545,466376,466376,0,0,217040808543,2274993819,459384,5776,1216,381,391748,0 26,2,2024-09-07 08:55:50:867,332236,332236,0,0,15832999,0,2809 26,3,2024-09-07 08:55:51:713,1,265,12,0,796,3417,265,0 27,0,2024-09-07 08:55:51:740,69752,0.4,69846,0.6,138088,0.3,185099,2.00 27,1,2024-09-07 08:55:51:680,468274,468274,0,0,218369223060,2270193757,464818,2863,593,381,391558,0 27,2,2024-09-07 08:55:50:867,333834,333769,65,0,16009143,0,5699 27,3,2024-09-07 08:55:51:015,1,265,1,0,564,2797,265,0 28,0,2024-09-07 08:55:51:391,66469,0.5,66256,0.6,133102,0.4,177136,2.00 28,1,2024-09-07 08:55:50:811,467803,467803,0,0,218876907330,2276624549,464922,2410,471,383,391646,0 28,2,2024-09-07 08:55:51:782,338038,338038,0,0,12889892,0,2915 28,3,2024-09-07 08:55:51:778,1,265,7,0,502,2768,265,0 29,0,2024-09-07 08:55:51:391,67807,0.4,66300,0.6,129655,0.3,176869,1.75 29,1,2024-09-07 08:55:51:562,468674,468674,0,0,218722427429,2263252513,465444,2665,565,369,391621,0 29,2,2024-09-07 08:55:50:867,335781,335781,0,0,12277789,0,4018 29,3,2024-09-07 08:55:50:967,1,265,5,0,115,1889,265,0 30,0,2024-09-07 08:55:51:462,64787,0.5,62828,0.7,131448,0.4,171918,2.00 30,1,2024-09-07 08:55:50:574,468601,468601,0,0,218871027697,2266335025,466270,2038,293,382,391672,0 30,2,2024-09-07 08:55:51:276,332200,332200,0,0,11963988,0,3161 30,3,2024-09-07 08:55:50:582,1,265,1,0,519,2483,265,0 31,0,2024-09-07 08:55:51:769,67566,0.4,67857,0.6,135858,0.3,181112,1.75 31,1,2024-09-07 08:55:50:564,470006,470006,0,0,219337713213,2245921881,468611,1175,220,356,391712,0 31,2,2024-09-07 08:55:51:277,333604,333604,0,0,13726512,0,3525 31,3,2024-09-07 08:55:51:709,1,265,1,0,220,1979,265,0 32,0,2024-09-07 08:55:51:435,69190,0.4,69623,0.5,139185,0.3,184914,1.75 32,1,2024-09-07 08:55:50:808,468231,468231,0,0,217952506095,2259446735,466270,1682,279,381,391622,0 32,2,2024-09-07 08:55:50:947,339301,339301,0,0,11970810,0,3155 32,3,2024-09-07 08:55:51:022,1,265,4,0,227,2062,265,0 33,0,2024-09-07 08:55:51:508,66084,0.3,65510,0.5,131675,0.2,175548,1.75 33,1,2024-09-07 08:55:50:575,468727,468727,0,0,219481015519,2266293962,466090,2463,174,369,391730,0 33,2,2024-09-07 08:55:50:763,337781,337748,33,0,13538253,0,7012 33,3,2024-09-07 08:55:50:895,1,265,0,0,329,3109,265,0 34,0,2024-09-07 08:55:50:946,63912,0.3,65893,0.4,126363,0.2,169743,1.50 34,1,2024-09-07 08:55:51:044,469443,469443,0,0,219365257218,2254971353,468318,1118,7,367,391562,0 34,2,2024-09-07 08:55:50:766,332426,332426,0,0,12745343,0,3577 34,3,2024-09-07 08:55:51:688,1,265,0,0,299,2081,265,0 35,0,2024-09-07 08:55:50:860,65380,0.3,65806,0.5,132205,0.2,176173,1.75 35,1,2024-09-07 08:55:51:069,468329,468329,0,0,217707729841,2257463332,464970,2579,780,384,391589,0 35,2,2024-09-07 08:55:51:586,333995,333995,0,0,12622324,0,2653 35,3,2024-09-07 08:55:50:907,1,265,2,0,418,3360,265,0 36,0,2024-09-07 08:55:51:523,70226,0.5,70069,0.7,140479,0.5,186987,2.25 36,1,2024-09-07 08:55:50:583,467293,467293,0,0,217061954810,2267921891,461311,4403,1579,366,391759,0 36,2,2024-09-07 08:55:51:755,338342,338342,0,0,14325447,0,3875 36,3,2024-09-07 08:55:50:866,1,265,2,0,416,4067,265,0 37,0,2024-09-07 08:55:51:404,63825,0.5,63881,0.7,127911,0.5,171461,2.00 37,1,2024-09-07 08:55:50:573,466374,466367,0,7,217328030347,2274443633,460355,3943,2069,365,391560,0 37,2,2024-09-07 08:55:51:142,335606,335591,15,0,14598325,0,5815 37,3,2024-09-07 08:55:51:767,1,265,2,0,888,4502,265,0 38,0,2024-09-07 08:55:51:438,63796,0.5,61996,0.7,129849,0.4,169594,2.00 38,1,2024-09-07 08:55:51:605,467438,467438,0,0,218442828347,2277259677,462165,4597,676,368,391821,0 38,2,2024-09-07 08:55:50:760,333659,333612,47,0,14935684,0,6710 38,3,2024-09-07 08:55:50:997,1,265,1,0,689,3614,265,0 39,0,2024-09-07 08:55:51:760,66718,0.5,65485,0.7,127192,0.5,174040,2.00 39,1,2024-09-07 08:55:50:726,467259,467259,0,0,217389430987,2266645605,461699,4658,902,365,391594,0 39,2,2024-09-07 08:55:51:416,332498,332498,0,0,12963000,0,2689 39,3,2024-09-07 08:55:50:713,1,265,2,0,324,3449,265,0 40,0,2024-09-07 08:55:51:500,69403,0.7,70037,0.9,139918,0.6,186757,2.75 40,1,2024-09-07 08:55:50:576,466953,466953,0,0,217108600993,2273692771,459813,5697,1443,368,391651,0 40,2,2024-09-07 08:55:51:303,336484,336483,1,0,16350452,0,5137 40,3,2024-09-07 08:55:51:142,1,265,9,0,398,3208,265,0 41,0,2024-09-07 08:55:51:030,64545,1.9,66055,1.4,125926,3.0,172176,3.75 41,1,2024-09-07 08:55:50:768,466954,466954,0,0,217616302220,2273413114,461410,4909,635,370,391742,0 41,2,2024-09-07 08:55:50:763,335736,335736,0,0,15558751,0,3356 41,3,2024-09-07 08:55:51:679,1,265,1,0,366,3040,265,0 42,0,2024-09-07 08:55:51:477,65000,0.8,65257,1.0,130583,0.8,172130,2.50 42,1,2024-09-07 08:55:51:439,465775,465775,0,0,217308854493,2282148161,458490,5833,1452,380,391675,0 42,2,2024-09-07 08:55:51:133,336244,336244,0,0,14879708,0,3568 42,3,2024-09-07 08:55:51:009,1,265,1,0,446,2374,265,0 43,0,2024-09-07 08:55:50:917,64333,0.6,62727,0.9,131094,0.6,171998,2.00 43,1,2024-09-07 08:55:50:576,466891,466891,0,0,218181420296,2277699582,460626,4936,1329,366,391696,0 43,2,2024-09-07 08:55:51:742,332179,332179,0,0,14170375,0,3812 43,3,2024-09-07 08:55:51:758,1,265,2,0,467,3147,265,0 44,0,2024-09-07 08:55:50:866,68661,0.4,69171,0.6,137654,0.4,183949,1.75 44,1,2024-09-07 08:55:50:565,468754,468754,0,0,217781742944,2245821698,466194,2128,432,356,391809,0 44,2,2024-09-07 08:55:51:268,333964,333964,0,0,12184845,0,2231 44,3,2024-09-07 08:55:51:096,1,265,0,0,817,3051,265,0 45,0,2024-09-07 08:55:51:768,66611,0.6,65167,0.8,136710,0.6,179510,2.00 45,1,2024-09-07 08:55:51:011,467935,467935,0,0,217972858145,2257285753,466281,1642,12,382,391917,0 45,2,2024-09-07 08:55:51:268,339151,339151,0,0,12923417,0,3596 45,3,2024-09-07 08:55:50:939,1,265,0,0,271,2889,265,0 46,0,2024-09-07 08:55:50:950,65745,0.5,65575,0.7,131378,0.5,174077,2.00 46,1,2024-09-07 08:55:50:577,469697,469697,0,0,219128346065,2260039608,467350,2073,274,366,391539,0 46,2,2024-09-07 08:55:50:594,337312,337312,0,0,12387657,0,2920 46,3,2024-09-07 08:55:51:130,1,265,1,0,908,3875,265,0 47,0,2024-09-07 08:55:51:101,65152,0.4,64994,0.6,130635,0.4,172881,1.75 47,1,2024-09-07 08:55:50:568,468881,468881,0,0,217962163331,2248327636,466552,2195,134,366,391641,0 47,2,2024-09-07 08:55:50:908,334001,334001,0,0,12285508,0,2558 47,3,2024-09-07 08:55:51:120,1,265,0,0,529,2359,265,0 48,0,2024-09-07 08:55:51:540,66757,0.3,66396,0.4,132366,0.2,177292,1.50 48,1,2024-09-07 08:55:51:022,467988,467988,0,0,218225447430,2265421555,464874,2814,300,384,391710,0 48,2,2024-09-07 08:55:50:709,333740,333740,0,0,11998690,0,3031 48,3,2024-09-07 08:55:50:754,1,265,25,0,339,2261,265,0 49,0,2024-09-07 08:55:51:727,72156,0.4,70924,0.5,137467,0.3,188056,1.75 49,1,2024-09-07 08:55:51:022,467035,467035,0,0,217395887610,2265014745,463163,2691,1181,382,391809,0 49,2,2024-09-07 08:55:51:798,339914,339914,0,0,12570690,0,3900 49,3,2024-09-07 08:55:51:416,1,265,2,0,408,2853,265,0 50,0,2024-09-07 08:55:51:513,64952,0.3,64379,0.5,129499,0.2,172983,1.75 50,1,2024-09-07 08:55:51:010,468610,468610,0,0,218864658294,2262713135,465650,2650,310,368,391530,0 50,2,2024-09-07 08:55:51:066,337326,337326,0,0,11774456,0,2263 50,3,2024-09-07 08:55:51:291,1,265,5,0,335,2154,265,0 51,0,2024-09-07 08:55:51:707,65621,0.3,64157,0.5,125006,0.2,170429,1.75 51,1,2024-09-07 08:55:51:688,469056,469056,0,0,218853956409,2257935847,466252,1864,940,365,391706,0 51,2,2024-09-07 08:55:51:316,333395,333395,0,0,11112508,0,3337 51,3,2024-09-07 08:55:51:027,1,265,3,0,678,2083,265,0 52,0,2024-09-07 08:55:51:417,65927,0.4,65446,0.6,130876,0.4,174245,2.00 52,1,2024-09-07 08:55:50:575,466664,466664,0,0,216623860654,2269107243,459504,5906,1254,368,391722,0 52,2,2024-09-07 08:55:51:760,331159,331121,38,0,15163944,0,6742 52,3,2024-09-07 08:55:50:673,1,265,1,0,1782,4581,265,0 53,0,2024-09-07 08:55:51:744,69410,0.6,67447,0.7,141167,0.6,185098,2.25 53,1,2024-09-07 08:55:50:772,465870,465870,0,0,217047067040,2276967542,458526,4988,2356,367,391702,0 53,2,2024-09-07 08:55:51:309,337941,337941,0,0,12681967,0,2727 53,3,2024-09-07 08:55:50:708,1,265,1,0,271,2343,265,0 54,0,2024-09-07 08:55:51:617,63654,0.8,64239,0.8,127201,0.5,170516,2.50 54,1,2024-09-07 08:55:50:581,467339,467339,0,0,218096688032,2266258577,462603,4139,597,367,391659,0 54,2,2024-09-07 08:55:50:964,336878,336872,6,0,14063527,0,5382 54,3,2024-09-07 08:55:50:763,1,265,1,0,676,3920,265,0 55,0,2024-09-07 08:55:51:763,62603,0.6,64791,0.8,130421,0.5,169874,2.50 55,1,2024-09-07 08:55:50:764,466902,466902,0,0,217964807807,2267481591,461352,4763,787,365,391731,0 55,2,2024-09-07 08:55:50:733,334630,334630,0,0,14191721,0,3275 55,3,2024-09-07 08:55:50:678,1,265,7,0,304,2903,265,0 56,0,2024-09-07 08:55:51:555,65963,0.9,62300,1.0,128062,1.2,171515,2.25 56,1,2024-09-07 08:55:50:575,465222,465222,0,0,217579180564,2298094602,457976,5808,1438,381,391729,0 56,2,2024-09-07 08:55:51:309,332321,332321,0,0,15376668,0,3567 56,3,2024-09-07 08:55:51:061,1,265,1,0,705,3824,265,0 57,0,2024-09-07 08:55:50:957,68628,1.3,68645,1.1,137170,1.8,184046,2.75 57,1,2024-09-07 08:55:50:998,466606,466606,0,0,217477947632,2276316159,461278,4657,671,367,391960,0 57,2,2024-09-07 08:55:51:340,335812,335812,0,0,16636929,0,3317 57,3,2024-09-07 08:55:51:738,1,265,6,0,359,3454,265,0 58,0,2024-09-07 08:55:50:558,65109,1.1,63279,1.1,132558,1.5,173670,2.75 58,1,2024-09-07 08:55:50:578,467562,467559,0,3,218176102526,2277483173,461824,5024,711,367,391603,3 58,2,2024-09-07 08:55:51:071,337967,337967,0,0,14836309,0,2549 58,3,2024-09-07 08:55:51:068,1,265,1,0,1043,2920,265,0 59,0,2024-09-07 08:55:51:745,65726,0.8,65496,1.0,130701,0.8,173542,2.75 59,1,2024-09-07 08:55:50:806,466919,466919,0,0,217819385781,2276717848,461130,4524,1265,369,391525,0 59,2,2024-09-07 08:55:50:585,337820,337820,0,0,13556122,0,2604 59,3,2024-09-07 08:55:51:737,1,265,1,0,1015,3598,265,0 60,0,2024-09-07 08:55:51:724,64853,0.4,64751,0.6,130571,0.3,172440,1.75 60,1,2024-09-07 08:55:50:794,468449,468449,0,0,219057877964,2269310290,465995,1937,517,370,392031,0 60,2,2024-09-07 08:55:51:146,332302,332302,0,0,14586157,0,3811 60,3,2024-09-07 08:55:51:260,1,265,2,0,124,2556,265,0 61,0,2024-09-07 08:55:51:491,67782,0.6,68062,0.7,135552,0.6,181043,2.00 61,1,2024-09-07 08:55:50:780,466881,466881,0,0,217701065056,2275754007,462300,3878,703,382,391880,0 61,2,2024-09-07 08:55:51:122,334390,334390,0,0,13092974,0,2780 61,3,2024-09-07 08:55:51:689,1,265,1,0,397,3537,265,0 62,0,2024-09-07 08:55:51:719,69827,0.6,71181,0.7,135834,0.6,184608,2.00 62,1,2024-09-07 08:55:51:111,469521,469515,0,6,219432473236,2261437525,467049,2348,118,365,391715,6 62,2,2024-09-07 08:55:51:657,337862,337861,1,0,14440289,0,5555 62,3,2024-09-07 08:55:51:143,1,265,1,0,287,1843,265,0 63,0,2024-09-07 08:55:51:455,65631,0.5,65854,0.6,131751,0.4,175059,1.75 63,1,2024-09-07 08:55:50:845,468714,468708,0,6,218204134322,2258226952,467131,1527,50,381,391677,6 63,2,2024-09-07 08:55:50:762,337235,337235,0,0,12101985,0,2674 63,3,2024-09-07 08:55:51:736,1,265,60,0,667,2947,265,0 64,0,2024-09-07 08:55:51:546,63633,0.4,63577,0.6,127261,0.4,169598,1.75 64,1,2024-09-07 08:55:50:751,467154,467154,0,0,218110818352,2272994947,462659,3079,1416,370,391783,0 64,2,2024-09-07 08:55:51:150,334998,334979,19,0,12845413,0,6121 64,3,2024-09-07 08:55:51:144,1,265,1,0,265,2583,265,0 65,0,2024-09-07 08:55:51:721,65376,0.6,65860,0.7,131403,0.6,175084,2.00 65,1,2024-09-07 08:55:50:876,466464,466464,0,0,216927779808,2267914135,462872,3147,445,382,391901,0 65,2,2024-09-07 08:55:51:699,333695,333695,0,0,13933591,0,3367 65,3,2024-09-07 08:55:51:686,1,265,6,0,163,2557,265,0 66,0,2024-09-07 08:55:51:770,69820,0.4,69539,0.7,139856,0.4,186137,2.00 66,1,2024-09-07 08:55:51:293,468306,468306,0,0,218328491611,2266976480,465731,2374,201,380,391653,0 66,2,2024-09-07 08:55:51:132,340421,340421,0,0,13484690,0,4956 66,3,2024-09-07 08:55:51:080,1,265,1,0,291,2748,265,0 67,0,2024-09-07 08:55:51:413,64634,0.6,64010,0.7,128965,0.6,171679,2.00 67,1,2024-09-07 08:55:50:766,467164,467163,0,1,218237010435,2273879327,463315,3099,749,380,391787,1 67,2,2024-09-07 08:55:50:582,337566,337566,0,0,12387289,0,2889 67,3,2024-09-07 08:55:51:754,1,265,1,0,338,2409,265,0 68,0,2024-09-07 08:55:50:598,64566,0.6,64353,0.7,128281,0.5,171394,2.00 68,1,2024-09-07 08:55:50:574,466616,466616,0,0,217563225652,2278178632,462432,3014,1170,381,391953,0 68,2,2024-09-07 08:55:51:051,333162,333097,65,0,16019847,0,6698 68,3,2024-09-07 08:55:50:733,1,265,3,0,417,2871,265,0 69,0,2024-09-07 08:55:51:737,64858,0.6,65140,0.8,129996,0.6,173053,2.00 69,1,2024-09-07 08:55:51:016,465343,465343,0,0,217139851322,2287983384,459639,4302,1402,384,391994,0 69,2,2024-09-07 08:55:51:735,332691,332691,0,0,15872014,0,3722 69,3,2024-09-07 08:55:50:778,1,265,12,0,698,3726,265,0 70,0,2024-09-07 08:55:51:539,69778,0.7,69587,0.9,139928,0.5,186220,2.25 70,1,2024-09-07 08:55:50:804,468262,468262,0,0,219223665568,2273832621,464595,3139,528,366,391725,0 70,2,2024-09-07 08:55:51:327,336726,336726,0,0,14508503,0,4044 70,3,2024-09-07 08:55:50:745,1,265,1,0,854,3081,265,0 71,0,2024-09-07 08:55:51:366,64537,1.2,64446,1.1,129209,1.5,172904,2.75 71,1,2024-09-07 08:55:51:601,466930,466930,0,0,218046503564,2272218874,461774,4535,621,368,391738,0 71,2,2024-09-07 08:55:51:067,337263,337263,0,0,14866045,0,4042 71,3,2024-09-07 08:55:51:756,1,265,4,0,644,3719,265,0 72,0,2024-09-07 08:55:51:076,67921,0.7,66507,0.8,129532,0.8,176007,2.25 72,1,2024-09-07 08:55:51:033,467313,467313,0,0,218192221941,2275689821,462247,4134,932,369,391819,0 72,2,2024-09-07 08:55:51:762,335066,335066,0,0,16580528,0,3983 72,3,2024-09-07 08:55:51:758,1,265,1,0,564,4607,265,0 73,0,2024-09-07 08:55:51:161,63103,0.4,64614,0.6,132477,0.3,171596,2.00 73,1,2024-09-07 08:55:50:774,467651,467651,0,0,218020721826,2263734573,464555,2859,237,367,391750,0 73,2,2024-09-07 08:55:51:743,332262,332262,0,0,15851583,0,3701 73,3,2024-09-07 08:55:50:977,1,265,1,0,274,3758,265,0 74,0,2024-09-07 08:55:51:325,69263,0.4,70824,0.6,135146,0.4,183848,2.00 74,1,2024-09-07 08:55:50:635,466840,466840,0,0,217849510439,2272379734,462435,3606,799,381,391681,0 74,2,2024-09-07 08:55:51:002,334340,334340,0,0,15220650,0,4253 74,3,2024-09-07 08:55:51:442,1,265,1,0,522,3412,265,0 75,0,2024-09-07 08:55:51:775,67832,0.5,67469,0.8,135238,0.5,180634,2.25 75,1,2024-09-07 08:55:51:585,466172,466172,0,0,217063894790,2267329827,461237,4271,664,380,391660,0 75,2,2024-09-07 08:55:51:350,337741,337741,0,0,15744060,0,4766 75,3,2024-09-07 08:55:51:068,1,265,1,0,702,3768,265,0 76,0,2024-09-07 08:55:50:629,65764,0.7,65155,0.8,130755,0.7,175055,2.50 76,1,2024-09-07 08:55:50:806,466958,466958,0,0,218066227354,2272694514,463849,2558,551,382,391692,0 76,2,2024-09-07 08:55:51:064,337981,337981,0,0,13034651,0,3784 76,3,2024-09-07 08:55:51:149,1,265,2,0,175,2684,265,0 77,0,2024-09-07 08:55:51:713,64775,0.5,65056,0.7,129991,0.4,172563,1.75 77,1,2024-09-07 08:55:50:827,467546,467546,0,0,218005024829,2274290973,464091,3198,257,381,391869,0 77,2,2024-09-07 08:55:51:298,331692,331692,0,0,13574775,0,3890 77,3,2024-09-07 08:55:51:099,1,265,8,0,382,2922,265,0 78,0,2024-09-07 08:55:51:727,66629,0.4,66091,0.6,133055,0.3,176500,2.00 78,1,2024-09-07 08:55:50:611,467663,467663,0,0,217316855312,2253908863,464665,2679,319,367,391670,0 78,2,2024-09-07 08:55:51:406,333798,333798,0,0,12160253,0,3855 78,3,2024-09-07 08:55:51:137,1,265,1,0,181,2482,265,0 79,0,2024-09-07 08:55:51:349,68115,0.4,69873,0.6,142731,0.4,185696,2.25 79,1,2024-09-07 08:55:50:572,468922,468922,0,0,218421414625,2258050846,466076,2509,337,369,391682,0 79,2,2024-09-07 08:55:51:069,340071,340071,0,0,12414397,0,3212 79,3,2024-09-07 08:55:50:749,1,265,1,0,418,3780,265,0 80,0,2024-09-07 08:55:51:159,64770,0.6,66734,0.7,127729,0.6,172688,2.00 80,1,2024-09-07 08:55:51:626,467114,467114,0,0,217742532237,2264516312,464140,2804,170,368,391791,0 80,2,2024-09-07 08:55:51:096,337608,337608,0,0,12718104,0,4433 80,3,2024-09-07 08:55:50:578,1,265,7,0,190,3589,265,0 81,0,2024-09-07 08:55:51:592,63819,0.5,65509,0.6,125307,0.4,169372,1.75 81,1,2024-09-07 08:55:51:655,466193,466193,0,0,217305470373,2269885884,462321,3409,463,382,391879,0 81,2,2024-09-07 08:55:51:125,333329,333329,0,0,13018955,0,3993 81,3,2024-09-07 08:55:51:123,1,265,23,0,374,3093,265,0 82,0,2024-09-07 08:55:51:557,64998,0.4,65497,0.6,131029,0.3,174357,1.75 82,1,2024-09-07 08:55:50:588,467819,467815,0,4,218197406056,2270938048,464589,2615,611,381,391768,4 82,2,2024-09-07 08:55:51:703,334322,334322,0,0,12355093,0,3986 82,3,2024-09-07 08:55:51:758,1,265,2,0,363,2955,265,0 83,0,2024-09-07 08:55:51:530,70029,0.5,69998,0.7,139147,0.5,185687,2.00 83,1,2024-09-07 08:55:50:551,466663,466663,0,0,218043028787,2271421189,463484,2935,244,382,391709,0 83,2,2024-09-07 08:55:50:764,337643,337643,0,0,12473131,0,3119 83,3,2024-09-07 08:55:50:749,1,265,1,0,250,2914,265,0 84,0,2024-09-07 08:55:51:795,64294,0.8,64017,0.9,128345,0.7,172025,2.25 84,1,2024-09-07 08:55:51:041,466212,466212,0,0,217544980530,2271893142,461456,4173,583,367,391967,0 84,2,2024-09-07 08:55:50:621,336782,336782,0,0,14339978,0,3801 84,3,2024-09-07 08:55:51:150,1,265,3,0,908,3992,265,0 85,0,2024-09-07 08:55:51:044,62645,0.7,62603,0.8,132793,0.7,171419,2.25 85,1,2024-09-07 08:55:50:563,465903,465903,0,0,217029355122,2289888299,459057,5739,1107,381,392006,0 85,2,2024-09-07 08:55:50:873,336390,336390,0,0,15109828,0,3656 85,3,2024-09-07 08:55:50:687,1,265,1,0,789,3541,265,0 86,0,2024-09-07 08:55:50:984,64535,0.6,66306,0.7,126846,0.5,171399,2.00 86,1,2024-09-07 08:55:50:829,467163,467163,0,0,217859473108,2275589572,462172,4263,728,366,391961,0 86,2,2024-09-07 08:55:50:858,331588,331587,1,0,15896891,0,5004 86,3,2024-09-07 08:55:50:590,1,265,2,0,286,3566,265,0 87,0,2024-09-07 08:55:51:361,69014,0.8,69062,0.8,138597,0.9,185527,2.25 87,1,2024-09-07 08:55:50:565,466956,466956,0,0,217542291133,2272473089,462471,4001,484,366,392012,0 87,2,2024-09-07 08:55:51:069,334686,334686,0,0,14222599,0,4045 87,3,2024-09-07 08:55:51:807,1,265,73,0,473,3916,265,0 88,0,2024-09-07 08:55:51:436,66344,0.5,66682,0.6,133147,0.5,176864,2.00 88,1,2024-09-07 08:55:50:601,465714,465714,0,0,217963288289,2284609556,459523,4677,1514,365,392084,0 88,2,2024-09-07 08:55:50:689,337792,337792,0,0,16362278,0,3583 88,3,2024-09-07 08:55:51:272,1,265,4,0,435,3129,265,0 89,0,2024-09-07 08:55:51:783,68006,0.5,65724,0.7,130507,0.5,176875,1.75 89,1,2024-09-07 08:55:50:556,464945,464945,0,0,217347738464,2289918145,458015,5708,1222,382,391866,0 89,2,2024-09-07 08:55:51:139,335628,335628,0,0,15598538,0,2910 89,3,2024-09-07 08:55:51:799,1,265,1,0,325,4938,265,0 90,0,2024-09-07 08:55:51:657,63103,0.4,64504,0.6,132030,0.4,171729,1.75 90,1,2024-09-07 08:55:50:590,466984,466984,0,0,217270740289,2274819367,462843,3862,279,381,391825,0 90,2,2024-09-07 08:55:51:431,330464,330464,0,0,16784730,0,3060 90,3,2024-09-07 08:55:50:931,1,265,2,0,200,2752,265,0 91,0,2024-09-07 08:55:50:965,67914,0.4,65885,0.6,137636,0.3,180959,1.75 91,1,2024-09-07 08:55:50:581,465729,465729,0,0,216855588044,2278342162,459838,5197,694,381,392047,0 91,2,2024-09-07 08:55:51:350,333413,333413,0,0,15011630,0,2896 91,3,2024-09-07 08:55:50:608,1,265,7,0,216,2440,265,0 92,0,2024-09-07 08:55:51:475,69935,0.5,71665,0.6,136918,0.5,184930,1.75 92,1,2024-09-07 08:55:50:581,466882,466882,0,0,218155323619,2272420014,464316,2160,406,382,392136,0 92,2,2024-09-07 08:55:51:352,340645,340645,0,0,12855102,0,2801 92,3,2024-09-07 08:55:51:010,1,265,44,0,167,2152,265,0 93,0,2024-09-07 08:55:50:973,66033,0.4,67696,0.6,129531,0.3,175749,1.75 93,1,2024-09-07 08:55:50:806,467272,467272,0,0,218186070358,2275613245,462721,3762,789,366,391692,0 93,2,2024-09-07 08:55:50:944,337279,337279,0,0,13715120,0,2797 93,3,2024-09-07 08:55:51:414,1,265,0,0,190,2657,265,0 94,0,2024-09-07 08:55:51:632,63706,0.3,64475,0.5,128514,0.3,170380,1.75 94,1,2024-09-07 08:55:50:563,466849,466849,0,0,217967511940,2275021694,463550,3120,179,381,391850,0 94,2,2024-09-07 08:55:50:763,331922,331922,0,0,12601016,0,2443 94,3,2024-09-07 08:55:51:688,1,265,3,0,264,3340,265,0 95,0,2024-09-07 08:55:51:368,66079,0.3,65790,0.5,132688,0.3,175951,1.75 95,1,2024-09-07 08:55:50:857,467576,467576,0,0,217998632240,2264147185,463992,3207,377,367,391713,0 95,2,2024-09-07 08:55:51:016,332844,332844,0,0,12999262,0,3308 95,3,2024-09-07 08:55:51:712,1,265,4,0,718,4546,265,0 96,0,2024-09-07 08:55:51:048,70208,0.4,70419,0.5,140543,0.3,186527,1.75 96,1,2024-09-07 08:55:51:636,466730,466730,0,0,217612741790,2270992847,463147,2849,734,384,391894,0 96,2,2024-09-07 08:55:51:272,338544,338544,0,0,13740012,0,4042 96,3,2024-09-07 08:55:51:140,1,265,1,0,411,3129,265,0 97,0,2024-09-07 08:55:51:331,64440,0.4,64151,0.5,128894,0.3,171412,1.75 97,1,2024-09-07 08:55:50:767,468269,468269,0,0,218405602667,2263759752,465220,2492,557,367,392140,0 97,2,2024-09-07 08:55:50:629,337210,337210,0,0,12913660,0,3036 97,3,2024-09-07 08:55:50:581,1,265,0,0,214,3034,265,0 98,0,2024-09-07 08:55:51:728,64368,0.3,64384,0.4,129368,0.2,171488,1.50 98,1,2024-09-07 08:55:50:582,467728,467728,0,0,218205592552,2269150465,465575,2052,101,382,391997,0 98,2,2024-09-07 08:55:50:770,334562,334562,0,0,12891553,0,3080 98,3,2024-09-07 08:55:50:698,1,265,1,0,840,4611,265,0 99,0,2024-09-07 08:55:51:465,65165,0.3,65439,0.4,130506,0.2,174225,1.50 99,1,2024-09-07 08:55:51:740,467604,467604,0,0,217529568613,2266176802,463811,3053,740,381,391744,0 99,2,2024-09-07 08:55:51:421,335205,335205,0,0,13777964,0,3106 99,3,2024-09-07 08:55:50:594,1,265,13,0,187,2155,265,0 100,0,2024-09-07 08:55:51:480,69953,0.6,69917,0.9,139697,0.7,186878,2.25 100,1,2024-09-07 08:55:50:552,464758,464758,0,0,216880713819,2290631080,457853,5585,1320,381,391989,0 100,2,2024-09-07 08:55:51:823,335118,335107,11,0,15126078,0,5417 100,3,2024-09-07 08:55:51:733,1,265,2,0,559,4975,265,0 101,0,2024-09-07 08:55:51:723,66440,1.6,64680,1.2,126976,1.9,174421,2.50 101,1,2024-09-07 08:55:50:555,464838,464838,0,0,216949116111,2283803912,457479,5588,1771,368,391769,0 101,2,2024-09-07 08:55:51:778,336257,336257,0,0,16306887,0,4644 101,3,2024-09-07 08:55:50:942,1,265,4,0,448,3305,265,0 102,0,2024-09-07 08:55:50:949,64154,0.7,66429,0.8,133849,0.7,175201,2.00 102,1,2024-09-07 08:55:51:145,466077,466077,0,0,217413169621,2281301461,460415,4821,841,369,391883,0 102,2,2024-09-07 08:55:51:742,337024,336970,54,0,14935563,0,6768 102,3,2024-09-07 08:55:51:617,1,265,1,0,410,2768,265,0 103,0,2024-09-07 08:55:51:610,66709,0.4,66624,0.6,125867,0.4,172908,1.75 103,1,2024-09-07 08:55:51:627,465124,465124,0,0,216946576790,2283459965,458826,4917,1381,381,391829,0 103,2,2024-09-07 08:55:50:588,331190,331190,0,0,14028973,0,2582 103,3,2024-09-07 08:55:50:756,1,265,1,0,916,3598,265,0 104,0,2024-09-07 08:55:51:043,68197,0.7,68604,0.9,136069,0.6,183868,2.25 104,1,2024-09-07 08:55:51:631,466712,466712,0,0,217252807047,2282534849,460031,5586,1095,365,392168,0 104,2,2024-09-07 08:55:51:677,333360,333360,0,0,15909821,0,3941 104,3,2024-09-07 08:55:51:418,1,265,2,0,1245,6568,265,0 105,0,2024-09-07 08:55:51:042,66998,1.1,65043,1.2,136157,1.5,179255,3.25 105,1,2024-09-07 08:55:50:555,466679,466679,0,0,217724147565,2283099591,460357,5343,979,366,391797,0 105,2,2024-09-07 08:55:51:341,338216,338216,0,0,15416122,0,3509 105,3,2024-09-07 08:55:51:305,1,265,17,0,399,4339,265,0 106,0,2024-09-07 08:55:50:943,63724,0.9,65251,1.0,133643,1.0,174582,2.75 106,1,2024-09-07 08:55:51:762,466698,466698,0,0,217041329173,2279160096,459584,6355,759,369,391767,0 106,2,2024-09-07 08:55:50:755,335236,335236,0,0,14910489,0,2795 106,3,2024-09-07 08:55:50:684,1,265,2,0,470,3674,265,0 107,0,2024-09-07 08:55:51:109,64718,0.7,64695,0.8,129466,0.7,172308,2.00 107,1,2024-09-07 08:55:50:586,465251,465251,0,0,217017356428,2281163847,459921,4781,549,381,392234,0 107,2,2024-09-07 08:55:51:296,331027,331026,1,0,15553093,0,5024 107,3,2024-09-07 08:55:51:759,1,265,3,0,353,3501,265,0 108,0,2024-09-07 08:55:51:780,66333,0.4,66600,0.6,132440,0.3,177218,1.75 108,1,2024-09-07 08:55:51:293,466797,466797,0,0,218544265726,2274753754,463580,2832,385,368,391857,0 108,2,2024-09-07 08:55:51:804,332679,332679,0,0,14114242,0,2647 108,3,2024-09-07 08:55:51:333,1,265,0,0,749,5596,265,0 109,0,2024-09-07 08:55:51:817,70781,0.4,70011,0.5,140488,0.3,187756,1.75 109,1,2024-09-07 08:55:50:592,465183,465183,0,0,217115120757,2275131656,461311,3355,517,383,392132,0 109,2,2024-09-07 08:55:50:939,337756,337756,0,0,14563834,0,3617 109,3,2024-09-07 08:55:51:140,1,265,1,0,249,3040,265,0 110,0,2024-09-07 08:55:51:764,64901,0.4,63247,0.6,132439,0.4,173469,1.75 110,1,2024-09-07 08:55:51:643,468404,468404,0,0,218230076860,2264174572,465256,2270,878,369,392045,0 110,2,2024-09-07 08:55:51:307,337506,337506,0,0,13903144,0,3264 110,3,2024-09-07 08:55:50:706,1,265,4,0,406,3450,265,0 111,0,2024-09-07 08:55:51:432,64272,0.3,63792,0.5,127540,0.3,170838,1.75 111,1,2024-09-07 08:55:51:005,468403,468403,0,0,219228221186,2270647063,466410,1657,336,382,391690,0 111,2,2024-09-07 08:55:51:134,332707,332707,0,0,13034364,0,2763 111,3,2024-09-07 08:55:50:926,1,265,1,0,379,3457,265,0 112,0,2024-09-07 08:55:50:916,65591,0.3,65415,0.4,130939,0.2,174414,1.50 112,1,2024-09-07 08:55:50:826,468227,468227,0,0,217683901174,2256199609,465795,2004,428,380,391615,0 112,2,2024-09-07 08:55:51:345,333297,333296,1,0,13343242,0,5036 112,3,2024-09-07 08:55:50:593,1,265,3,0,282,2749,265,0 113,0,2024-09-07 08:55:50:882,69598,0.3,69595,0.5,140004,0.2,186743,1.50 113,1,2024-09-07 08:55:51:686,469104,469104,0,0,218863267364,2257510860,466599,2015,490,366,391661,0 113,2,2024-09-07 08:55:51:305,338642,338642,0,0,12151522,0,3813 113,3,2024-09-07 08:55:50:687,1,265,1,0,340,3752,265,0 114,0,2024-09-07 08:55:50:894,65086,0.4,65546,0.5,130066,0.3,174068,1.75 114,1,2024-09-07 08:55:50:724,467261,467261,0,0,218030531380,2269339659,462450,3222,1589,381,391556,0 114,2,2024-09-07 08:55:50:886,336783,336782,1,0,13143256,0,5069 114,3,2024-09-07 08:55:51:280,1,265,0,0,395,2700,265,0 115,0,2024-09-07 08:55:50:556,64991,0.3,65264,0.4,130562,0.2,173461,1.50 115,1,2024-09-07 08:55:50:572,467456,467456,0,0,218637102776,2273205421,463060,3559,837,382,391639,0 115,2,2024-09-07 08:55:51:125,336591,336591,0,0,11482545,0,2152 115,3,2024-09-07 08:55:51:002,1,265,1,0,159,1395,265,0 116,0,2024-09-07 08:55:51:742,64293,0.6,64238,0.8,128607,0.6,172071,2.00 116,1,2024-09-07 08:55:50:811,464728,464728,0,0,216965233118,2291888411,458322,4351,2055,380,391782,0 116,2,2024-09-07 08:55:51:775,331912,331912,0,0,16282775,0,3529 116,3,2024-09-07 08:55:50:923,1,265,2,0,415,3713,265,0 117,0,2024-09-07 08:55:50:985,69510,0.6,69137,0.8,138452,0.6,185746,2.00 117,1,2024-09-07 08:55:51:581,466394,466394,0,0,217350871616,2272110760,461684,4230,480,370,392033,0 117,2,2024-09-07 08:55:51:133,337349,337349,0,0,13448106,0,3700 117,3,2024-09-07 08:55:51:063,1,265,0,0,490,4046,265,0 118,0,2024-09-07 08:55:51:917,64498,0.6,66226,0.7,135106,0.6,176112,2.00 118,1,2024-09-07 08:55:50:603,466452,466452,0,0,216803552760,2276701915,460073,4945,1434,366,391736,0 118,2,2024-09-07 08:55:51:585,337037,337037,0,0,14689176,0,2781 118,3,2024-09-07 08:55:51:852,1,265,32,0,235,2951,265,0 119,0,2024-09-07 08:55:51:360,65650,0.8,66108,0.8,132346,1.0,175762,2.25 119,1,2024-09-07 08:55:50:549,467231,467231,0,0,217380725823,2268691975,462563,4144,524,367,391641,0 119,2,2024-09-07 08:55:51:266,336678,336678,0,0,13911270,0,4174 119,3,2024-09-07 08:55:51:326,1,265,1,0,443,3572,265,0 120,0,2024-09-07 08:55:51:553,64650,0.5,64439,0.7,129141,0.5,172227,2.00 120,1,2024-09-07 08:55:50:868,466791,466791,0,0,217135610242,2275119400,462089,4350,352,368,391961,0 120,2,2024-09-07 08:55:50:770,331423,331422,1,0,16528215,0,5281 120,3,2024-09-07 08:55:51:293,1,265,1,0,241,3316,265,0 121,0,2024-09-07 08:55:51:718,67773,0.8,67735,0.9,135957,0.9,181092,2.00 121,1,2024-09-07 08:55:51:681,466815,466815,0,0,216824220752,2267643566,462193,4206,416,367,391840,0 121,2,2024-09-07 08:55:51:137,332747,332747,0,0,15788102,0,4127 121,3,2024-09-07 08:55:50:733,1,265,3,0,269,3055,265,0 122,0,2024-09-07 08:55:51:768,69063,0.8,67327,0.9,141248,1.0,184880,2.00 122,1,2024-09-07 08:55:50:859,466021,466021,0,0,217617084361,2281584452,459949,5255,817,366,392130,0 122,2,2024-09-07 08:55:51:320,337986,337913,73,0,18488483,0,5989 122,3,2024-09-07 08:55:50:593,1,265,1,0,411,5072,265,0 123,0,2024-09-07 08:55:50:961,65512,0.9,64011,0.9,133455,1.0,174961,2.25 123,1,2024-09-07 08:55:50:559,466442,466442,0,0,217026886174,2287275601,457766,6960,1716,369,392039,0 123,2,2024-09-07 08:55:51:020,334452,334451,1,0,15302059,0,5215 123,3,2024-09-07 08:55:51:137,1,265,1,0,168,3109,265,0 124,0,2024-09-07 08:55:50:949,66042,0.3,65967,0.5,124561,0.3,171122,1.75 124,1,2024-09-07 08:55:51:026,468063,468063,0,0,217265456698,2255978594,465156,2542,365,367,392178,0 124,2,2024-09-07 08:55:51:017,332736,332736,0,0,12375952,0,3101 124,3,2024-09-07 08:55:50:760,1,265,9,0,490,2893,265,0 125,0,2024-09-07 08:55:51:426,66100,0.3,65850,0.5,132552,0.3,176149,1.75 125,1,2024-09-07 08:55:50:865,466422,466422,0,0,217763241671,2269788395,463320,2707,395,383,391702,0 125,2,2024-09-07 08:55:51:123,333817,333817,0,0,13521778,0,4534 125,3,2024-09-07 08:55:51:148,1,265,4,0,709,3605,265,0 126,0,2024-09-07 08:55:51:462,70180,0.5,72061,0.6,137770,0.5,187496,1.75 126,1,2024-09-07 08:55:50:553,468274,468274,0,0,218029456012,2258135821,465551,2459,264,365,391987,0 126,2,2024-09-07 08:55:50:611,339765,339765,0,0,13941319,0,3186 126,3,2024-09-07 08:55:50:907,1,265,1,0,183,3532,265,0 127,0,2024-09-07 08:55:51:750,64245,0.4,64577,0.5,128685,0.3,171570,1.75 127,1,2024-09-07 08:55:50:576,468028,468028,0,0,218214172411,2259958283,465060,2815,153,365,391816,0 127,2,2024-09-07 08:55:50:636,337261,337261,0,0,12444894,0,2264 127,3,2024-09-07 08:55:51:267,1,265,7,0,968,3048,265,0 128,0,2024-09-07 08:55:51:525,64798,0.3,64727,0.4,129378,0.2,171884,1.50 128,1,2024-09-07 08:55:51:605,467222,467222,0,0,218522655798,2264889545,465034,2030,158,367,391798,0 128,2,2024-09-07 08:55:51:384,335200,335200,0,0,12276027,0,2434 128,3,2024-09-07 08:55:50:769,1,265,1,0,1082,5425,265,0 129,0,2024-09-07 08:55:51:016,65732,0.3,65431,0.4,130886,0.2,173938,1.50 129,1,2024-09-07 08:55:50:579,465353,465353,0,0,217074336963,2273077016,461189,3233,931,379,391835,0 129,2,2024-09-07 08:55:50:724,333608,333608,0,0,13305051,0,4031 129,3,2024-09-07 08:55:50:694,1,265,26,0,469,3546,265,0 130,0,2024-09-07 08:55:51:753,70553,0.5,70081,0.6,140782,0.4,187792,1.75 130,1,2024-09-07 08:55:50:588,467715,467715,0,0,217198181592,2258228324,465269,2367,79,381,391825,0 130,2,2024-09-07 08:55:51:138,337838,337838,0,0,12922396,0,4067 130,3,2024-09-07 08:55:51:293,1,265,2,0,450,3424,265,0 131,0,2024-09-07 08:55:51:936,65008,0.4,65455,0.5,131726,0.3,174172,1.75 131,1,2024-09-07 08:55:51:825,467711,467711,0,0,217834058568,2269698520,464655,2598,458,381,391865,0 131,2,2024-09-07 08:55:50:578,338939,338939,0,0,11835473,0,2415 131,3,2024-09-07 08:55:51:692,1,265,1,0,392,2766,265,0 132,0,2024-09-07 08:55:51:437,65518,0.5,66459,0.6,132277,0.4,175627,2.00 132,1,2024-09-07 08:55:50:580,465312,465312,0,0,216646560933,2281909860,458296,5762,1254,381,392097,0 132,2,2024-09-07 08:55:50:700,336145,336145,0,0,15580813,0,4606 132,3,2024-09-07 08:55:51:689,1,265,5,0,356,3672,265,0 133,0,2024-09-07 08:55:51:518,63200,0.4,64676,0.5,132354,0.3,171639,1.75 133,1,2024-09-07 08:55:50:582,466067,466067,0,0,217529691808,2286671296,460575,4823,669,383,391914,0 133,2,2024-09-07 08:55:51:087,331073,331073,0,0,16658379,0,4315 133,3,2024-09-07 08:55:51:302,1,265,1,0,276,2592,265,0 134,0,2024-09-07 08:55:51:090,68796,0.4,68766,0.6,137723,0.4,183844,2.00 134,1,2024-09-07 08:55:50:594,465434,465434,0,0,217381023711,2280162455,458971,4992,1471,366,391718,0 134,2,2024-09-07 08:55:51:769,334085,334085,0,0,13965086,0,3847 134,3,2024-09-07 08:55:50:749,1,265,1,0,739,3828,265,0 135,0,2024-09-07 08:55:51:115,65479,0.8,65459,0.9,138850,0.9,178988,2.25 135,1,2024-09-07 08:55:51:593,465478,465478,0,0,218096964270,2292039140,459270,5209,999,380,391805,0 135,2,2024-09-07 08:55:50:689,338916,338916,0,0,15524629,0,3981 135,3,2024-09-07 08:55:51:002,1,265,1,0,299,1872,265,0 136,0,2024-09-07 08:55:51:627,66446,0.6,66344,0.8,132391,0.6,176582,2.25 136,1,2024-09-07 08:55:51:446,465559,465559,0,0,216721405657,2275878297,460018,4955,586,382,391685,0 136,2,2024-09-07 08:55:51:142,337238,337238,0,0,15017664,0,3506 136,3,2024-09-07 08:55:51:110,1,265,29,0,150,2197,265,0 137,0,2024-09-07 08:55:50:953,66679,0.6,64822,0.7,127851,0.5,173522,2.00 137,1,2024-09-07 08:55:50:582,465894,465894,0,0,217000954860,2275279273,458940,5536,1418,366,391708,0 137,2,2024-09-07 08:55:51:718,330981,330981,0,0,16497262,0,3185 137,3,2024-09-07 08:55:50:773,1,265,2,0,382,3331,265,0 138,0,2024-09-07 08:55:51:961,65971,0.7,65896,0.8,132614,0.8,176789,2.00 138,1,2024-09-07 08:55:51:884,465873,465873,0,0,217968890686,2286718988,458897,5843,1133,368,391954,0 138,2,2024-09-07 08:55:50:598,333500,333500,0,0,15223390,0,4988 138,3,2024-09-07 08:55:50:612,1,265,1,0,1160,3897,265,0 139,0,2024-09-07 08:55:51:444,69311,1.7,69575,1.2,139249,2.4,186172,2.50 139,1,2024-09-07 08:55:50:599,464479,464479,0,0,216173665019,2294499491,455933,6429,2117,381,391892,0 139,2,2024-09-07 08:55:50:695,336645,336645,0,0,16181436,0,3097 139,3,2024-09-07 08:55:51:688,1,265,2,0,257,2870,265,0 140,0,2024-09-07 08:55:51:588,65321,0.3,64814,0.5,130276,0.2,173682,1.75 140,1,2024-09-07 08:55:51:539,469253,469253,0,0,219012398764,2255323483,467271,1740,242,365,391606,0 140,2,2024-09-07 08:55:50:688,337540,337540,0,0,12732971,0,3388 140,3,2024-09-07 08:55:50:770,1,265,0,0,247,2211,265,0 141,0,2024-09-07 08:55:51:708,64002,0.3,65832,0.4,125842,0.2,170786,1.50 141,1,2024-09-07 08:55:50:859,468427,468427,0,0,218521868045,2265269580,465855,2164,408,379,391614,0 141,2,2024-09-07 08:55:51:686,333441,333441,0,0,12246488,0,2342 141,3,2024-09-07 08:55:51:042,1,265,24,0,202,2409,265,0 142,0,2024-09-07 08:55:51:317,66189,0.3,65439,0.4,130296,0.2,174874,1.50 142,1,2024-09-07 08:55:50:588,467597,467597,0,0,217468059758,2265842203,464760,2572,265,382,392102,0 142,2,2024-09-07 08:55:51:305,333064,333032,32,0,14114983,0,6028 142,3,2024-09-07 08:55:51:746,1,265,0,0,484,3599,265,0 143,0,2024-09-07 08:55:51:401,69963,0.5,69984,0.6,140491,0.4,186577,1.75 143,1,2024-09-07 08:55:50:569,467746,467746,0,0,217814047584,2257215681,464987,2663,96,367,391651,0 143,2,2024-09-07 08:55:50:791,337601,337601,0,0,13405651,0,2669 143,3,2024-09-07 08:55:51:143,1,265,7,0,303,3413,265,0 144,0,2024-09-07 08:55:51:566,62627,0.6,64261,0.9,131162,0.6,172150,2.00 144,1,2024-09-07 08:55:50:565,465200,465200,0,0,216954787031,2275721637,461139,3135,926,381,391649,0 144,2,2024-09-07 08:55:51:760,337384,337384,0,0,12611772,0,3473 144,3,2024-09-07 08:55:51:741,1,265,1,0,249,3022,265,0 145,0,2024-09-07 08:55:51:365,62644,0.6,62636,0.8,132975,0.5,171434,2.25 145,1,2024-09-07 08:55:50:559,465063,465063,0,0,217342512542,2282340691,459560,4680,823,382,391698,0 145,2,2024-09-07 08:55:51:431,334774,334774,0,0,14754991,0,3903 145,3,2024-09-07 08:55:50:895,1,265,0,0,622,4127,265,0 146,0,2024-09-07 08:55:51:606,64433,0.5,63884,0.7,128793,0.4,171244,2.00 146,1,2024-09-07 08:55:51:599,465779,465779,0,0,217171873201,2286225055,457495,6437,1847,368,391770,0 146,2,2024-09-07 08:55:51:707,331803,331803,0,0,14916398,0,2730 146,3,2024-09-07 08:55:51:278,1,265,2,0,1520,5953,265,0 147,0,2024-09-07 08:55:51:714,69308,0.5,69183,0.7,137676,0.5,185382,2.00 147,1,2024-09-07 08:55:51:379,468256,468256,0,0,218005588041,2266434098,464150,3520,586,368,391791,0 147,2,2024-09-07 08:55:51:026,336680,336680,0,0,12837643,0,2789 147,3,2024-09-07 08:55:50:916,1,265,1,0,730,3945,265,0 0,0,2024-09-07 08:56:01:718,62948,0.4,63039,0.7,133529,0.4,172528,1.75 0,1,2024-09-07 08:56:00:811,468651,468651,0,0,218331327837,2281705364,465398,3047,206,370,391772,0 0,2,2024-09-07 08:56:01:067,332939,332939,0,0,13350350,0,4480 0,3,2024-09-07 08:56:00:976,1,266,7,0,319,3496,266,0 1,0,2024-09-07 08:56:01:817,68306,0.7,67844,0.9,136329,0.8,182052,2.00 1,1,2024-09-07 08:56:00:566,468286,468286,0,0,217561132709,2277983009,463782,3451,1053,371,391857,0 1,2,2024-09-07 08:56:00:640,334457,334457,0,0,12513810,0,3267 1,3,2024-09-07 08:56:01:303,1,266,16,0,262,3045,266,0 2,0,2024-09-07 08:56:01:590,69470,0.7,69714,0.8,138035,1.0,184758,2.00 2,1,2024-09-07 08:56:00:859,469046,469046,0,0,219071502924,2273702282,466938,1890,218,380,391745,0 2,2,2024-09-07 08:56:01:276,340693,340693,0,0,13018063,0,3594 2,3,2024-09-07 08:56:00:695,1,266,1,0,357,2535,266,0 3,0,2024-09-07 08:56:01:744,65888,0.5,65919,0.6,131628,0.4,175166,2.00 3,1,2024-09-07 08:56:01:626,468512,468512,0,0,218601961028,2275185617,464671,3379,462,380,391556,0 3,2,2024-09-07 08:56:01:144,338102,338079,23,0,13246538,0,5851 3,3,2024-09-07 08:56:01:753,1,266,1,0,103,1552,266,0 4,0,2024-09-07 08:56:01:768,62316,0.3,64132,0.5,130436,0.3,171185,1.75 4,1,2024-09-07 08:56:00:598,468358,468358,0,0,217986492443,2287012760,463604,3961,793,371,391846,0 4,2,2024-09-07 08:56:01:020,332162,332162,0,0,15355728,0,4528 4,3,2024-09-07 08:56:01:027,1,266,1,0,448,3987,266,0 5,0,2024-09-07 08:56:01:382,66262,0.4,66612,0.5,132299,0.3,176404,1.75 5,1,2024-09-07 08:56:00:787,468225,468225,0,0,217745342086,2286698759,462721,4392,1112,368,392005,0 5,2,2024-09-07 08:56:01:856,333551,333551,0,0,14036291,0,2432 5,3,2024-09-07 08:56:01:732,1,266,3,0,457,3917,266,0 6,0,2024-09-07 08:56:00:918,70966,0.5,70449,0.7,140701,0.5,188763,2.00 6,1,2024-09-07 08:56:00:747,468401,468401,0,0,218178722496,2277408279,463725,3832,844,379,391694,0 6,2,2024-09-07 08:56:01:119,339563,339563,0,0,14035194,0,4816 6,3,2024-09-07 08:56:01:275,1,266,0,0,340,3059,266,0 7,0,2024-09-07 08:56:01:585,64240,0.5,64574,0.7,128631,0.5,171441,2.00 7,1,2024-09-07 08:56:00:850,468105,468105,0,0,218418357886,2288619521,462202,4900,1003,382,391747,0 7,2,2024-09-07 08:56:00:770,337445,337445,0,0,13817154,0,4791 7,3,2024-09-07 08:56:00:851,1,266,1,0,398,3164,266,0 8,0,2024-09-07 08:56:01:333,64714,0.4,64613,0.5,128855,0.3,171897,1.75 8,1,2024-09-07 08:56:01:020,466956,466956,0,0,218270106875,2299335678,458657,6280,2019,366,391956,0 8,2,2024-09-07 08:56:00:800,331388,331388,0,0,16629554,0,3220 8,3,2024-09-07 08:56:00:597,1,266,1,0,357,3900,266,0 9,0,2024-09-07 08:56:01:104,65657,0.3,63696,0.5,133039,0.3,174526,1.50 9,1,2024-09-07 08:56:00:556,467072,467072,0,0,217449430553,2289606069,460575,4955,1542,370,392001,0 9,2,2024-09-07 08:56:01:083,334082,334082,0,0,14693760,0,3360 9,3,2024-09-07 08:56:01:754,1,266,1,0,496,3896,266,0 10,0,2024-09-07 08:56:01:655,70727,0.3,70153,0.5,140928,0.2,188195,1.75 10,1,2024-09-07 08:56:00:589,467863,467863,0,0,217728259341,2280456438,461501,5434,928,381,391741,0 10,2,2024-09-07 08:56:00:766,338169,338169,0,0,16370492,0,4264 10,3,2024-09-07 08:56:00:876,1,266,1,0,177,2112,266,0 11,0,2024-09-07 08:56:01:010,64908,0.5,63091,0.7,131866,0.5,174481,1.75 11,1,2024-09-07 08:56:00:573,468548,468548,0,0,218291995789,2293998667,460329,6238,1981,383,391537,0 11,2,2024-09-07 08:56:01:123,338100,338100,0,0,15251451,0,4130 11,3,2024-09-07 08:56:01:304,1,266,0,0,843,4265,266,0 12,0,2024-09-07 08:56:00:978,66442,0.3,66247,0.5,132547,0.3,175869,1.75 12,1,2024-09-07 08:56:00:951,468081,468081,0,0,217947782202,2270404945,464553,3106,422,370,391870,0 12,2,2024-09-07 08:56:01:546,337539,337539,0,0,14349510,0,3469 12,3,2024-09-07 08:56:01:065,1,266,5,0,386,4380,266,0 13,0,2024-09-07 08:56:01:356,65201,0.3,64975,0.5,129933,0.3,172560,1.50 13,1,2024-09-07 08:56:01:568,468556,468556,0,0,218238881825,2287533993,464996,3018,542,382,391740,0 13,2,2024-09-07 08:56:00:607,334289,334289,0,0,13356857,0,3287 13,3,2024-09-07 08:56:01:762,1,266,10,0,467,3974,266,0 14,0,2024-09-07 08:56:00:561,69289,0.3,69982,0.5,138480,0.3,185006,1.75 14,1,2024-09-07 08:56:01:596,471345,471345,0,0,218975309129,2264188731,468512,2643,190,364,391571,0 14,2,2024-09-07 08:56:00:766,335793,335793,0,0,13455065,0,2896 14,3,2024-09-07 08:56:01:131,1,266,10,0,1168,3587,266,0 15,0,2024-09-07 08:56:01:788,67728,0.5,67905,0.7,135459,0.5,180087,2.00 15,1,2024-09-07 08:56:01:608,469313,469313,0,0,218562853921,2270477594,466790,2345,178,381,391619,0 15,2,2024-09-07 08:56:01:014,340498,340498,0,0,11570178,0,3043 15,3,2024-09-07 08:56:01:408,1,266,17,0,1126,5386,266,0 16,0,2024-09-07 08:56:00:929,66292,0.7,66539,0.8,132463,0.7,176435,2.25 16,1,2024-09-07 08:56:00:576,469366,469366,0,0,218747496478,2283467842,465798,3268,300,370,391756,0 16,2,2024-09-07 08:56:01:433,337643,337643,0,0,14169277,0,4719 16,3,2024-09-07 08:56:01:143,1,266,1,0,317,3605,266,0 17,0,2024-09-07 08:56:01:771,67014,0.5,65407,0.7,128007,0.4,173859,1.75 17,1,2024-09-07 08:56:00:577,467990,467990,0,0,217470434180,2281508387,463125,3760,1105,368,391845,0 17,2,2024-09-07 08:56:01:678,335651,335651,0,0,13184762,0,2857 17,3,2024-09-07 08:56:00:578,1,266,109,0,268,4250,266,0 18,0,2024-09-07 08:56:00:950,66174,0.6,66330,0.8,132411,0.6,177515,2.25 18,1,2024-09-07 08:56:01:638,469236,469236,0,0,218597695973,2264063877,466723,2271,242,367,391649,0 18,2,2024-09-07 08:56:01:762,336032,336032,0,0,13053071,0,3541 18,3,2024-09-07 08:56:00:896,1,266,2,0,163,2554,266,0 19,0,2024-09-07 08:56:01:552,69937,0.6,70570,0.8,139917,0.6,186056,2.25 19,1,2024-09-07 08:56:00:577,469197,469197,0,0,219462247785,2278581160,464535,3875,787,367,391777,0 19,2,2024-09-07 08:56:01:752,342200,342200,0,0,12109868,0,3988 19,3,2024-09-07 08:56:01:130,1,266,1,0,524,1908,266,0 20,0,2024-09-07 08:56:01:415,65013,0.6,64912,0.8,130271,0.7,173956,2.25 20,1,2024-09-07 08:56:00:584,468159,468159,0,0,218665462641,2284613466,464333,3428,398,369,391886,0 20,2,2024-09-07 08:56:00:939,338576,338576,0,0,13582815,0,3721 20,3,2024-09-07 08:56:00:606,1,266,1,0,414,4675,266,0 21,0,2024-09-07 08:56:01:209,64291,0.5,64454,0.6,128586,0.4,170621,2.00 21,1,2024-09-07 08:56:01:545,466900,466900,0,0,217690964986,2288603398,461174,4467,1259,368,391962,0 21,2,2024-09-07 08:56:01:082,332751,332751,0,0,15319628,0,3747 21,3,2024-09-07 08:56:01:404,1,266,1,0,103,2675,266,0 22,0,2024-09-07 08:56:01:723,65589,0.5,65727,0.7,131219,0.5,175018,2.00 22,1,2024-09-07 08:56:01:022,467536,467536,0,0,217394657432,2285838468,460304,5590,1642,382,391667,0 22,2,2024-09-07 08:56:00:760,334183,334183,0,0,13421851,0,3134 22,3,2024-09-07 08:56:01:066,1,266,1,0,228,2358,266,0 23,0,2024-09-07 08:56:01:387,69890,0.5,69653,0.7,140007,0.5,186533,2.25 23,1,2024-09-07 08:56:01:005,468729,468729,0,0,218429792313,2290303089,461418,4760,2551,365,391690,0 23,2,2024-09-07 08:56:01:092,339718,339718,0,0,13064627,0,3010 23,3,2024-09-07 08:56:01:754,1,266,5,0,645,3320,266,0 24,0,2024-09-07 08:56:00:832,65478,0.4,65324,0.6,131145,0.4,174307,1.75 24,1,2024-09-07 08:56:00:591,467817,467817,0,0,217834103032,2283426730,462199,4136,1482,368,391987,0 24,2,2024-09-07 08:56:01:069,336260,336260,0,0,16159898,0,3607 24,3,2024-09-07 08:56:01:686,1,266,2,0,468,3844,266,0 25,0,2024-09-07 08:56:01:344,66711,0.5,64992,0.7,127629,0.4,174032,2.00 25,1,2024-09-07 08:56:00:563,468693,468693,0,0,218411949243,2283810400,464340,3798,555,371,391788,0 25,2,2024-09-07 08:56:01:616,335269,335269,0,0,16246252,0,3978 25,3,2024-09-07 08:56:01:012,1,266,1,0,255,2828,266,0 26,0,2024-09-07 08:56:01:725,64580,0.4,63101,0.6,132279,0.3,172520,1.75 26,1,2024-09-07 08:56:01:542,468223,468223,0,0,218106738298,2285806037,461231,5776,1216,381,391748,0 26,2,2024-09-07 08:56:00:863,333734,333734,0,0,15846305,0,2809 26,3,2024-09-07 08:56:01:715,1,266,1,0,796,3418,266,0 27,0,2024-09-07 08:56:01:733,70175,0.4,70269,0.6,138960,0.3,186381,2.00 27,1,2024-09-07 08:56:01:678,470151,470151,0,0,219289740785,2279551293,466695,2863,593,381,391558,0 27,2,2024-09-07 08:56:00:877,335262,335197,65,0,16023395,0,5699 27,3,2024-09-07 08:56:01:016,1,266,0,0,564,2797,266,0 28,0,2024-09-07 08:56:01:392,66582,0.5,66369,0.6,133348,0.4,177443,2.00 28,1,2024-09-07 08:56:00:802,469546,469546,0,0,219643490989,2284452768,466665,2410,471,383,391646,0 28,2,2024-09-07 08:56:01:777,339263,339263,0,0,12911616,0,2915 28,3,2024-09-07 08:56:01:776,1,266,3,0,502,2771,266,0 29,0,2024-09-07 08:56:01:371,67944,0.4,66426,0.6,129920,0.3,177208,1.75 29,1,2024-09-07 08:56:01:570,470432,470432,0,0,219542115901,2271574987,467201,2665,566,369,391621,0 29,2,2024-09-07 08:56:00:860,337006,337006,0,0,12291069,0,4018 29,3,2024-09-07 08:56:00:977,1,266,10,0,115,1899,266,0 30,0,2024-09-07 08:56:01:456,64836,0.5,62871,0.7,131515,0.4,171918,2.00 30,1,2024-09-07 08:56:00:583,470321,470321,0,0,219574642053,2273511099,467990,2038,293,382,391672,0 30,2,2024-09-07 08:56:01:281,333524,333524,0,0,11979711,0,3161 30,3,2024-09-07 08:56:00:584,1,266,0,0,519,2483,266,0 31,0,2024-09-07 08:56:01:769,67940,0.4,68223,0.6,136571,0.3,182052,1.75 31,1,2024-09-07 08:56:00:575,471809,471809,0,0,219901309901,2251608461,470414,1175,220,356,391712,0 31,2,2024-09-07 08:56:01:275,334911,334911,0,0,13745515,0,3525 31,3,2024-09-07 08:56:01:718,1,266,2,0,220,1981,266,0 32,0,2024-09-07 08:56:01:478,69308,0.4,69725,0.5,139376,0.3,185174,1.75 32,1,2024-09-07 08:56:00:808,469998,469998,0,0,218762422387,2267723355,468037,1682,279,381,391622,0 32,2,2024-09-07 08:56:00:952,340637,340637,0,0,11999976,0,3155 32,3,2024-09-07 08:56:01:017,1,266,0,0,227,2062,266,0 33,0,2024-09-07 08:56:01:525,66316,0.3,65744,0.5,132118,0.2,176146,1.75 33,1,2024-09-07 08:56:00:574,470531,470531,0,0,220383592558,2275515698,467894,2463,174,369,391730,0 33,2,2024-09-07 08:56:00:776,339108,339075,33,0,13583953,0,7012 33,3,2024-09-07 08:56:00:898,1,266,1,0,329,3110,266,0 34,0,2024-09-07 08:56:00:939,64220,0.3,66221,0.4,126936,0.2,170591,1.50 34,1,2024-09-07 08:56:01:044,471148,471148,0,0,220156176224,2262997953,470023,1118,7,367,391562,0 34,2,2024-09-07 08:56:00:765,333323,333323,0,0,12760296,0,3577 34,3,2024-09-07 08:56:01:688,1,266,54,0,299,2135,266,0 35,0,2024-09-07 08:56:00:858,65720,0.3,66119,0.5,132827,0.2,176868,1.75 35,1,2024-09-07 08:56:01:066,470125,470125,0,0,218693379331,2267543202,466764,2581,780,384,391589,0 35,2,2024-09-07 08:56:01:583,335363,335363,0,0,12680682,0,2653 35,3,2024-09-07 08:56:00:906,1,266,1,0,418,3361,266,0 36,0,2024-09-07 08:56:01:529,70735,0.5,70609,0.7,141515,0.5,188520,2.25 36,1,2024-09-07 08:56:00:585,469150,469150,0,0,217925301408,2276859280,463168,4403,1579,366,391759,0 36,2,2024-09-07 08:56:01:761,339782,339782,0,0,14384393,0,3875 36,3,2024-09-07 08:56:00:862,1,266,1,0,416,4068,266,0 37,0,2024-09-07 08:56:01:392,64165,0.5,64196,0.7,128544,0.5,172329,2.00 37,1,2024-09-07 08:56:00:575,468144,468137,0,7,217943150100,2280804026,462125,3943,2069,365,391560,0 37,2,2024-09-07 08:56:01:161,337066,337051,15,0,14631751,0,5815 37,3,2024-09-07 08:56:01:776,1,266,1,0,888,4503,266,0 38,0,2024-09-07 08:56:01:436,63969,0.5,62144,0.7,130152,0.4,169989,2.00 38,1,2024-09-07 08:56:01:613,469216,469216,0,0,219188065256,2284865623,463943,4597,676,368,391821,0 38,2,2024-09-07 08:56:00:760,334338,334291,47,0,14946742,0,6710 38,3,2024-09-07 08:56:01:002,1,266,0,0,689,3614,266,0 39,0,2024-09-07 08:56:01:766,67002,0.5,65741,0.7,127699,0.5,174694,2.00 39,1,2024-09-07 08:56:00:722,469074,469074,0,0,218317292904,2276274531,463513,4659,902,365,391594,0 39,2,2024-09-07 08:56:01:417,334009,334009,0,0,13050026,0,2689 39,3,2024-09-07 08:56:00:714,1,266,2,0,324,3451,266,0 40,0,2024-09-07 08:56:01:489,69794,0.6,70413,0.9,140714,0.6,187754,2.75 40,1,2024-09-07 08:56:00:592,468808,468808,0,0,217768101043,2280429113,461668,5697,1443,368,391651,0 40,2,2024-09-07 08:56:01:314,337944,337943,1,0,16363205,0,5137 40,3,2024-09-07 08:56:01:153,1,266,1,0,398,3209,266,0 41,0,2024-09-07 08:56:01:048,64724,1.9,66223,1.4,126215,3.0,172633,3.75 41,1,2024-09-07 08:56:00:774,468623,468623,0,0,218410256295,2281462719,463079,4909,635,370,391742,0 41,2,2024-09-07 08:56:00:767,336882,336882,0,0,15568632,0,3356 41,3,2024-09-07 08:56:01:684,1,266,0,0,366,3040,266,0 42,0,2024-09-07 08:56:01:474,65120,0.8,65350,1.0,130753,0.8,172378,2.50 42,1,2024-09-07 08:56:01:442,467552,467552,0,0,218085993917,2290050135,460267,5833,1452,380,391675,0 42,2,2024-09-07 08:56:01:140,337367,337367,0,0,14889605,0,3568 42,3,2024-09-07 08:56:01:012,1,266,2,0,446,2376,266,0 43,0,2024-09-07 08:56:00:922,64430,0.6,62826,0.9,131314,0.6,172325,2.00 43,1,2024-09-07 08:56:00:576,468657,468657,0,0,218983457849,2285825312,462391,4937,1329,366,391696,0 43,2,2024-09-07 08:56:01:736,333700,333700,0,0,14188716,0,3812 43,3,2024-09-07 08:56:01:750,1,266,1,0,467,3148,266,0 44,0,2024-09-07 08:56:00:868,69104,0.4,69647,0.6,138542,0.4,185261,1.75 44,1,2024-09-07 08:56:00:575,470581,470581,0,0,218669701504,2254781335,468021,2128,432,356,391809,0 44,2,2024-09-07 08:56:01:276,335304,335304,0,0,12203927,0,2231 44,3,2024-09-07 08:56:01:094,1,266,1,0,817,3052,266,0 45,0,2024-09-07 08:56:01:779,66713,0.6,65276,0.8,136960,0.6,179818,2.00 45,1,2024-09-07 08:56:01:005,469628,469628,0,0,218821560219,2265936704,467974,1642,12,382,391917,0 45,2,2024-09-07 08:56:01:268,340196,340196,0,0,12937769,0,3596 45,3,2024-09-07 08:56:00:947,1,266,4,0,271,2893,266,0 46,0,2024-09-07 08:56:00:954,65858,0.5,65712,0.7,131626,0.5,174316,2.00 46,1,2024-09-07 08:56:00:576,471484,471484,0,0,219896407347,2267842206,469136,2074,274,366,391539,0 46,2,2024-09-07 08:56:00:593,338677,338677,0,0,12400274,0,2920 46,3,2024-09-07 08:56:01:131,1,266,1,0,908,3876,266,0 47,0,2024-09-07 08:56:01:108,65383,0.4,65219,0.6,131107,0.3,173380,1.75 47,1,2024-09-07 08:56:00:572,470666,470666,0,0,218524687972,2254064393,468337,2195,134,366,391641,0 47,2,2024-09-07 08:56:00:907,335238,335238,0,0,12299767,0,2558 47,3,2024-09-07 08:56:01:114,1,266,1,0,529,2360,266,0 48,0,2024-09-07 08:56:01:529,67180,0.3,66785,0.4,133181,0.2,178461,1.50 48,1,2024-09-07 08:56:01:039,469726,469726,0,0,219147400525,2274829616,466612,2814,300,384,391710,0 48,2,2024-09-07 08:56:00:699,334908,334908,0,0,12017204,0,3031 48,3,2024-09-07 08:56:00:754,1,266,10,0,339,2271,266,0 49,0,2024-09-07 08:56:01:717,72342,0.4,71091,0.5,137863,0.3,188353,1.75 49,1,2024-09-07 08:56:01:021,468850,468850,0,0,218387881118,2275236632,464978,2691,1181,382,391809,0 49,2,2024-09-07 08:56:01:797,341512,341512,0,0,12615654,0,3900 49,3,2024-09-07 08:56:01:428,1,266,6,0,408,2859,266,0 50,0,2024-09-07 08:56:01:507,65258,0.3,64654,0.5,130070,0.2,173756,1.75 50,1,2024-09-07 08:56:01:012,470372,470372,0,0,219652144768,2270721534,467412,2650,310,368,391530,0 50,2,2024-09-07 08:56:01:067,338825,338825,0,0,11812053,0,2263 50,3,2024-09-07 08:56:01:293,1,266,1,0,335,2155,266,0 51,0,2024-09-07 08:56:01:691,65824,0.3,64375,0.4,125411,0.2,170998,1.75 51,1,2024-09-07 08:56:01:687,470877,470877,0,0,219852612183,2268212634,468073,1864,940,365,391706,0 51,2,2024-09-07 08:56:01:320,334123,334123,0,0,11124255,0,3337 51,3,2024-09-07 08:56:01:027,1,266,1,0,678,2084,266,0 52,0,2024-09-07 08:56:01:415,66340,0.4,65838,0.6,131783,0.4,175576,2.00 52,1,2024-09-07 08:56:00:576,468482,468482,0,0,217512144751,2278154642,461321,5907,1254,368,391722,0 52,2,2024-09-07 08:56:01:760,332572,332534,38,0,15198609,0,6742 52,3,2024-09-07 08:56:00:673,1,266,3,0,1782,4584,266,0 53,0,2024-09-07 08:56:01:733,69695,0.6,67745,0.7,141769,0.6,185876,2.25 53,1,2024-09-07 08:56:00:771,467670,467670,0,0,217812031294,2284842203,460326,4988,2356,367,391702,0 53,2,2024-09-07 08:56:01:300,339395,339395,0,0,12710344,0,2727 53,3,2024-09-07 08:56:00:697,1,266,1,0,271,2344,266,0 54,0,2024-09-07 08:56:01:631,63972,0.7,64561,0.8,127829,0.5,171479,2.50 54,1,2024-09-07 08:56:00:582,469067,469067,0,0,218967404088,2275118813,464331,4139,597,367,391659,0 54,2,2024-09-07 08:56:00:865,338118,338112,6,0,14128392,0,5382 54,3,2024-09-07 08:56:00:763,1,266,2,0,676,3922,266,0 55,0,2024-09-07 08:56:01:763,62773,0.6,64946,0.8,130760,0.5,170459,2.50 55,1,2024-09-07 08:56:00:769,468733,468733,0,0,218547935079,2273675975,463183,4763,787,365,391731,0 55,2,2024-09-07 08:56:00:729,335599,335599,0,0,14209844,0,3275 55,3,2024-09-07 08:56:00:673,1,266,1,0,304,2904,266,0 56,0,2024-09-07 08:56:01:600,66286,0.9,62568,1.0,128696,1.1,172458,2.25 56,1,2024-09-07 08:56:00:660,467045,467045,0,0,218282304305,2305300807,459799,5808,1438,381,391729,0 56,2,2024-09-07 08:56:01:303,333937,333937,0,0,15396873,0,3567 56,3,2024-09-07 08:56:01:068,1,266,9,0,705,3833,266,0 57,0,2024-09-07 08:56:01:012,69056,1.3,69044,1.1,138086,1.8,185223,2.75 57,1,2024-09-07 08:56:01:012,468373,468373,0,0,218193530946,2283663124,463043,4658,672,367,392032,0 57,2,2024-09-07 08:56:01:341,337202,337202,0,0,16657075,0,3317 57,3,2024-09-07 08:56:01:741,1,266,6,0,359,3460,266,0 58,0,2024-09-07 08:56:00:559,65242,1.1,63405,1.1,132785,1.5,173975,2.75 58,1,2024-09-07 08:56:00:585,469360,469357,0,3,218762683972,2283460673,463621,5025,711,367,391603,3 58,2,2024-09-07 08:56:01:071,339180,339180,0,0,14850971,0,2549 58,3,2024-09-07 08:56:01:068,1,266,1,0,1043,2921,266,0 59,0,2024-09-07 08:56:01:741,65843,0.8,65608,1.0,130964,0.8,173862,2.75 59,1,2024-09-07 08:56:00:808,468721,468721,0,0,218429937732,2282948005,462932,4524,1265,369,391525,0 59,2,2024-09-07 08:56:00:585,339126,339126,0,0,13568779,0,2604 59,3,2024-09-07 08:56:01:737,1,266,0,0,1015,3598,266,0 60,0,2024-09-07 08:56:01:710,64888,0.4,64789,0.6,130648,0.3,172440,1.75 60,1,2024-09-07 08:56:00:778,470190,470190,0,0,219710493301,2275956576,467736,1937,517,370,392031,0 60,2,2024-09-07 08:56:01:144,333644,333644,0,0,14602110,0,3811 60,3,2024-09-07 08:56:01:264,1,266,4,0,124,2560,266,0 61,0,2024-09-07 08:56:01:535,68116,0.6,68452,0.7,136269,0.6,181975,2.00 61,1,2024-09-07 08:56:00:771,468665,468665,0,0,218438638089,2283340069,464084,3878,703,382,391880,0 61,2,2024-09-07 08:56:01:118,335702,335702,0,0,13110022,0,2780 61,3,2024-09-07 08:56:01:687,1,266,2,0,397,3539,266,0 62,0,2024-09-07 08:56:01:707,69918,0.6,71281,0.7,136039,0.6,184853,2.00 62,1,2024-09-07 08:56:01:111,471352,471346,0,6,220286182527,2270182630,468880,2348,118,365,391715,6 62,2,2024-09-07 08:56:01:644,339355,339354,1,0,14459076,0,5555 62,3,2024-09-07 08:56:01:143,1,266,1,0,287,1844,266,0 63,0,2024-09-07 08:56:01:498,65898,0.5,66105,0.6,132204,0.4,175671,1.75 63,1,2024-09-07 08:56:00:811,470527,470521,0,6,219125656728,2267599332,468944,1527,50,381,391677,6 63,2,2024-09-07 08:56:00:763,338571,338571,0,0,12116965,0,2674 63,3,2024-09-07 08:56:01:732,1,266,2,0,667,2949,266,0 64,0,2024-09-07 08:56:01:554,63969,0.4,63870,0.6,127846,0.4,170491,1.75 64,1,2024-09-07 08:56:00:757,468964,468964,0,0,219005380633,2282200076,464469,3079,1416,370,391783,0 64,2,2024-09-07 08:56:01:149,336013,335994,19,0,12873300,0,6121 64,3,2024-09-07 08:56:01:149,1,266,3,0,265,2586,266,0 65,0,2024-09-07 08:56:01:767,65659,0.6,66165,0.7,132008,0.6,175770,2.00 65,1,2024-09-07 08:56:00:960,468193,468193,0,0,217635601221,2275252966,464601,3147,445,382,391901,0 65,2,2024-09-07 08:56:01:701,335088,335088,0,0,13983926,0,3367 65,3,2024-09-07 08:56:01:689,1,266,5,0,163,2562,266,0 66,0,2024-09-07 08:56:01:844,70336,0.4,70049,0.7,140864,0.4,187625,2.00 66,1,2024-09-07 08:56:01:304,470123,470123,0,0,219054692391,2274525723,467548,2374,201,380,391653,0 66,2,2024-09-07 08:56:01:144,341913,341913,0,0,13511352,0,4956 66,3,2024-09-07 08:56:01:081,1,266,19,0,291,2767,266,0 67,0,2024-09-07 08:56:01:430,64938,0.6,64313,0.7,129657,0.6,172547,2.00 67,1,2024-09-07 08:56:00:766,468960,468959,0,1,219202463312,2283747643,465111,3099,749,380,391787,1 67,2,2024-09-07 08:56:00:592,338910,338910,0,0,12407802,0,2889 67,3,2024-09-07 08:56:01:755,1,266,1,0,338,2410,266,0 68,0,2024-09-07 08:56:00:572,64728,0.6,64487,0.7,128568,0.5,171794,2.00 68,1,2024-09-07 08:56:00:572,468434,468434,0,0,218550087599,2288261285,464250,3014,1170,381,391953,0 68,2,2024-09-07 08:56:01:052,333839,333774,65,0,16039042,0,6698 68,3,2024-09-07 08:56:00:733,1,266,1,0,417,2872,266,0 69,0,2024-09-07 08:56:01:799,65137,0.6,65407,0.8,130506,0.6,173678,2.00 69,1,2024-09-07 08:56:01:050,467089,467089,0,0,217952654113,2296380170,461385,4302,1402,384,391994,0 69,2,2024-09-07 08:56:01:736,334169,334169,0,0,15923844,0,3722 69,3,2024-09-07 08:56:00:765,1,266,7,0,698,3733,266,0 70,0,2024-09-07 08:56:01:577,70158,0.7,70001,0.9,140663,0.5,187220,2.25 70,1,2024-09-07 08:56:00:801,470036,470036,0,0,219822735753,2280069724,466369,3139,528,366,391725,0 70,2,2024-09-07 08:56:01:336,338252,338252,0,0,14548402,0,4044 70,3,2024-09-07 08:56:00:747,1,266,1,0,854,3082,266,0 71,0,2024-09-07 08:56:01:363,64690,1.2,64598,1.1,129542,1.5,173359,2.75 71,1,2024-09-07 08:56:01:602,468772,468772,0,0,218681057929,2278730771,463616,4535,621,368,391738,0 71,2,2024-09-07 08:56:01:085,338394,338394,0,0,14896126,0,4042 71,3,2024-09-07 08:56:01:752,1,266,0,0,644,3719,266,0 72,0,2024-09-07 08:56:01:087,68030,0.7,66618,0.8,129733,0.8,176243,2.25 72,1,2024-09-07 08:56:01:037,469078,469078,0,0,218997115473,2283869011,464012,4134,932,369,391819,0 72,2,2024-09-07 08:56:01:756,336193,336193,0,0,16590096,0,3983 72,3,2024-09-07 08:56:01:754,1,266,1,0,564,4608,266,0 73,0,2024-09-07 08:56:01:185,63197,0.4,64721,0.6,132674,0.3,171935,2.00 73,1,2024-09-07 08:56:00:773,469456,469456,0,0,218977204920,2273428137,466360,2859,237,367,391750,0 73,2,2024-09-07 08:56:01:745,333752,333752,0,0,15867636,0,3701 73,3,2024-09-07 08:56:00:980,1,266,1,0,274,3759,266,0 74,0,2024-09-07 08:56:01:329,69734,0.4,71332,0.6,136037,0.4,185176,2.00 74,1,2024-09-07 08:56:00:634,468620,468620,0,0,218600061545,2280029037,464215,3606,799,381,391681,0 74,2,2024-09-07 08:56:01:009,335648,335648,0,0,15232092,0,4253 74,3,2024-09-07 08:56:01:442,1,266,1,0,522,3413,266,0 75,0,2024-09-07 08:56:01:781,67944,0.5,67586,0.8,135444,0.5,180917,2.25 75,1,2024-09-07 08:56:01:585,467937,467937,0,0,217936794287,2276199016,463001,4272,664,380,391660,0 75,2,2024-09-07 08:56:01:355,338740,338740,0,0,15752541,0,4766 75,3,2024-09-07 08:56:01:067,1,266,1,0,702,3769,266,0 76,0,2024-09-07 08:56:00:582,65871,0.7,65280,0.8,131000,0.7,175319,2.50 76,1,2024-09-07 08:56:00:812,468719,468719,0,0,218782238889,2280026458,465610,2558,551,382,391692,0 76,2,2024-09-07 08:56:01:068,339334,339334,0,0,13059017,0,3784 76,3,2024-09-07 08:56:01:144,1,266,0,0,175,2684,266,0 77,0,2024-09-07 08:56:01:693,65000,0.5,65273,0.7,130430,0.4,173090,1.75 77,1,2024-09-07 08:56:00:835,469264,469264,0,0,218716514082,2281609186,465809,3198,257,381,391869,0 77,2,2024-09-07 08:56:01:289,332994,332994,0,0,13590026,0,3890 77,3,2024-09-07 08:56:01:095,1,266,7,0,382,2929,266,0 78,0,2024-09-07 08:56:01:723,67046,0.4,66549,0.6,133847,0.3,177644,2.00 78,1,2024-09-07 08:56:00:612,469431,469431,0,0,218112068786,2261976273,466433,2679,319,367,391670,0 78,2,2024-09-07 08:56:01:405,335048,335048,0,0,12175513,0,3855 78,3,2024-09-07 08:56:01:133,1,266,6,0,181,2488,266,0 79,0,2024-09-07 08:56:01:360,68296,0.4,70066,0.6,143086,0.4,185972,2.25 79,1,2024-09-07 08:56:00:572,470798,470798,0,0,219150651396,2265459407,467952,2509,337,369,391682,0 79,2,2024-09-07 08:56:01:068,341587,341587,0,0,12433908,0,3212 79,3,2024-09-07 08:56:00:749,1,266,1,0,418,3781,266,0 80,0,2024-09-07 08:56:01:157,65068,0.6,67020,0.7,128286,0.6,173499,2.00 80,1,2024-09-07 08:56:01:625,468856,468856,0,0,218513100303,2272407645,465882,2804,170,368,391791,0 80,2,2024-09-07 08:56:01:100,339163,339163,0,0,12754073,0,4433 80,3,2024-09-07 08:56:00:586,1,266,1,0,190,3590,266,0 81,0,2024-09-07 08:56:01:552,64044,0.5,65736,0.6,125703,0.4,169993,1.75 81,1,2024-09-07 08:56:01:664,467979,467979,0,0,217984220974,2276938194,464107,3409,463,382,391879,0 81,2,2024-09-07 08:56:01:126,334006,334006,0,0,13039554,0,3993 81,3,2024-09-07 08:56:01:122,1,266,1,0,374,3094,266,0 82,0,2024-09-07 08:56:01:540,65446,0.4,65955,0.6,131890,0.3,175652,1.75 82,1,2024-09-07 08:56:00:583,469599,469595,0,4,218802270882,2277231789,466369,2615,611,381,391768,4 82,2,2024-09-07 08:56:01:693,335716,335716,0,0,12381802,0,3986 82,3,2024-09-07 08:56:01:752,1,266,2,0,363,2957,266,0 83,0,2024-09-07 08:56:01:538,70325,0.5,70289,0.7,139753,0.5,186407,2.00 83,1,2024-09-07 08:56:00:563,468390,468390,0,0,218946311517,2280717621,465208,2937,245,382,391709,0 83,2,2024-09-07 08:56:00:764,339235,339235,0,0,12499584,0,3119 83,3,2024-09-07 08:56:00:749,1,266,85,0,250,2999,266,0 84,0,2024-09-07 08:56:01:785,64621,0.8,64334,0.9,128993,0.7,172952,2.25 84,1,2024-09-07 08:56:01:040,467985,467985,0,0,218267080416,2279423103,463229,4173,583,367,391967,0 84,2,2024-09-07 08:56:00:573,337966,337966,0,0,14405402,0,3801 84,3,2024-09-07 08:56:01:143,1,266,4,0,908,3996,266,0 85,0,2024-09-07 08:56:01:016,62807,0.7,62737,0.8,133132,0.7,171923,2.25 85,1,2024-09-07 08:56:00:565,467724,467724,0,0,217751590282,2297399411,460878,5739,1107,381,392006,0 85,2,2024-09-07 08:56:00:867,337353,337353,0,0,15124359,0,3656 85,3,2024-09-07 08:56:00:686,1,266,7,0,789,3548,266,0 86,0,2024-09-07 08:56:00:892,64847,0.5,66623,0.7,127452,0.5,172337,2.00 86,1,2024-09-07 08:56:00:831,468944,468944,0,0,218710935173,2284302264,463952,4264,728,366,391961,0 86,2,2024-09-07 08:56:00:860,333049,333048,1,0,15926141,0,5004 86,3,2024-09-07 08:56:00:587,1,266,1,0,286,3567,266,0 87,0,2024-09-07 08:56:01:314,69487,0.8,69514,0.8,139527,0.9,186640,2.25 87,1,2024-09-07 08:56:00:554,468689,468689,0,0,218417642639,2281420042,464204,4001,484,366,392012,0 87,2,2024-09-07 08:56:01:083,336056,336056,0,0,14267918,0,4045 87,3,2024-09-07 08:56:01:799,1,266,3,0,473,3919,266,0 88,0,2024-09-07 08:56:01:439,66447,0.5,66812,0.6,133385,0.5,177182,2.00 88,1,2024-09-07 08:56:00:570,467527,467527,0,0,218667120612,2291788710,461336,4677,1514,365,392084,0 88,2,2024-09-07 08:56:00:687,338927,338927,0,0,16377023,0,3583 88,3,2024-09-07 08:56:01:275,1,266,1,0,435,3130,266,0 89,0,2024-09-07 08:56:01:773,68132,0.5,65859,0.7,130766,0.5,177205,1.75 89,1,2024-09-07 08:56:00:558,466828,466828,0,0,218250836236,2299146642,459896,5710,1222,382,391866,0 89,2,2024-09-07 08:56:01:158,336998,336998,0,0,15611553,0,2910 89,3,2024-09-07 08:56:01:791,1,266,1,0,325,4939,266,0 90,0,2024-09-07 08:56:01:624,63137,0.4,64549,0.6,132096,0.4,171729,1.75 90,1,2024-09-07 08:56:00:601,468800,468800,0,0,218182137854,2284127185,464658,3863,279,381,391825,0 90,2,2024-09-07 08:56:01:405,331784,331784,0,0,16795742,0,3060 90,3,2024-09-07 08:56:00:948,1,266,2,0,200,2754,266,0 91,0,2024-09-07 08:56:00:950,68265,0.4,66218,0.6,138343,0.3,181876,1.75 91,1,2024-09-07 08:56:00:564,467473,467473,0,0,217834855906,2288329568,461582,5197,694,381,392047,0 91,2,2024-09-07 08:56:01:331,334688,334688,0,0,15024932,0,2896 91,3,2024-09-07 08:56:00:598,1,266,1,0,216,2441,266,0 92,0,2024-09-07 08:56:01:447,70025,0.5,71758,0.6,137085,0.5,185171,1.75 92,1,2024-09-07 08:56:00:594,468686,468686,0,0,218881579552,2279901494,466119,2161,406,382,392136,0 92,2,2024-09-07 08:56:01:352,342005,342005,0,0,12876179,0,2801 92,3,2024-09-07 08:56:01:015,1,266,1,0,167,2153,266,0 93,0,2024-09-07 08:56:00:957,66262,0.4,67973,0.6,129946,0.3,176344,1.75 93,1,2024-09-07 08:56:00:810,469087,469087,0,0,219054220935,2284527900,464535,3763,789,366,391776,0 93,2,2024-09-07 08:56:00:928,338567,338567,0,0,13736262,0,2797 93,3,2024-09-07 08:56:01:406,1,266,1,0,190,2658,266,0 94,0,2024-09-07 08:56:01:624,63987,0.3,64791,0.5,129165,0.3,171263,1.75 94,1,2024-09-07 08:56:00:575,468652,468652,0,0,218786615984,2283409613,465352,3121,179,381,391850,0 94,2,2024-09-07 08:56:00:760,332920,332920,0,0,12615370,0,2443 94,3,2024-09-07 08:56:01:694,1,266,1,0,264,3341,266,0 95,0,2024-09-07 08:56:01:351,66367,0.3,66089,0.5,133330,0.3,176625,1.50 95,1,2024-09-07 08:56:00:854,469356,469356,0,0,218915499687,2273477308,465771,3208,377,367,391713,0 95,2,2024-09-07 08:56:01:017,334236,334236,0,0,13018807,0,3308 95,3,2024-09-07 08:56:01:714,1,266,1,0,718,4547,266,0 96,0,2024-09-07 08:56:01:030,70704,0.4,70901,0.5,141608,0.3,187983,1.75 96,1,2024-09-07 08:56:01:603,468531,468531,0,0,218449168003,2279617479,464946,2851,734,384,391894,0 96,2,2024-09-07 08:56:01:269,340009,340009,0,0,13778667,0,4042 96,3,2024-09-07 08:56:01:144,1,266,3,0,411,3132,266,0 97,0,2024-09-07 08:56:01:342,64767,0.4,64490,0.5,129528,0.3,172237,1.75 97,1,2024-09-07 08:56:00:763,470027,470027,0,0,219131964528,2271178646,466978,2492,557,367,392140,0 97,2,2024-09-07 08:56:00:619,338754,338754,0,0,12962280,0,3036 97,3,2024-09-07 08:56:00:571,1,266,7,0,214,3041,266,0 98,0,2024-09-07 08:56:01:703,64524,0.3,64539,0.4,129703,0.2,171903,1.50 98,1,2024-09-07 08:56:00:572,469495,469495,0,0,218919766183,2276522489,467342,2052,101,382,391997,0 98,2,2024-09-07 08:56:00:774,335193,335193,0,0,12905083,0,3080 98,3,2024-09-07 08:56:00:698,1,266,10,0,840,4621,266,0 99,0,2024-09-07 08:56:01:474,65424,0.3,65678,0.4,130998,0.2,174847,1.50 99,1,2024-09-07 08:56:01:728,469338,469338,0,0,218287352358,2274048846,465535,3063,740,381,392069,0 99,2,2024-09-07 08:56:01:419,336764,336764,0,0,13828162,0,3106 99,3,2024-09-07 08:56:00:582,1,266,1,0,187,2156,266,0 100,0,2024-09-07 08:56:01:467,70336,0.6,70333,0.8,140489,0.7,187920,2.25 100,1,2024-09-07 08:56:00:549,466562,466562,0,0,217618371382,2298286820,459657,5585,1320,381,391989,0 100,2,2024-09-07 08:56:01:824,336671,336660,11,0,15160626,0,5417 100,3,2024-09-07 08:56:01:734,1,266,12,0,559,4987,266,0 101,0,2024-09-07 08:56:01:718,66607,1.6,64819,1.2,127269,1.8,174868,2.50 101,1,2024-09-07 08:56:00:554,466682,466682,0,0,217589600518,2290540196,459320,5591,1771,368,391769,0 101,2,2024-09-07 08:56:01:761,337375,337375,0,0,16346922,0,4644 101,3,2024-09-07 08:56:00:947,1,266,3,0,448,3308,266,0 102,0,2024-09-07 08:56:00:957,64252,0.7,66520,0.8,134055,0.7,175442,2.00 102,1,2024-09-07 08:56:01:167,467793,467793,0,0,218157841983,2289106877,462131,4821,841,369,391883,0 102,2,2024-09-07 08:56:01:737,338030,337976,54,0,14960263,0,6768 102,3,2024-09-07 08:56:01:613,1,266,1,0,410,2769,266,0 103,0,2024-09-07 08:56:01:593,66824,0.4,66747,0.6,126050,0.4,173225,1.75 103,1,2024-09-07 08:56:01:626,466911,466911,0,0,217730330863,2291755417,460613,4917,1381,381,391829,0 103,2,2024-09-07 08:56:00:585,332646,332646,0,0,14117824,0,2582 103,3,2024-09-07 08:56:00:754,1,266,1,0,916,3599,266,0 104,0,2024-09-07 08:56:01:015,68667,0.7,69071,0.9,137018,0.6,185211,2.25 104,1,2024-09-07 08:56:01:602,468540,468540,0,0,218254888290,2292686002,461859,5586,1095,365,392168,0 104,2,2024-09-07 08:56:01:671,334613,334613,0,0,15922886,0,3941 104,3,2024-09-07 08:56:01:416,1,266,22,0,1245,6590,266,0 105,0,2024-09-07 08:56:01:035,67111,1.1,65139,1.2,136346,1.5,179544,3.25 105,1,2024-09-07 08:56:00:566,468485,468485,0,0,218599455148,2291995669,462163,5343,979,366,391797,0 105,2,2024-09-07 08:56:01:333,339279,339279,0,0,15426586,0,3509 105,3,2024-09-07 08:56:01:309,1,266,1,0,399,4340,266,0 106,0,2024-09-07 08:56:00:998,63837,0.9,65384,1.0,133898,1.0,174836,2.75 106,1,2024-09-07 08:56:01:754,468496,468496,0,0,217784394301,2286756245,461382,6355,759,369,391767,0 106,2,2024-09-07 08:56:00:760,336569,336569,0,0,14924583,0,2795 106,3,2024-09-07 08:56:00:684,1,266,1,0,470,3675,266,0 107,0,2024-09-07 08:56:01:139,64936,0.7,64907,0.8,129912,0.7,172841,2.00 107,1,2024-09-07 08:56:00:596,467002,467002,0,0,217719712009,2288384812,461672,4781,549,381,392234,0 107,2,2024-09-07 08:56:01:292,332294,332293,1,0,15566469,0,5024 107,3,2024-09-07 08:56:01:755,1,266,1,0,353,3502,266,0 108,0,2024-09-07 08:56:01:776,66739,0.4,67034,0.6,133244,0.3,178396,1.75 108,1,2024-09-07 08:56:01:322,468676,468676,0,0,219457794903,2284205972,465456,2835,385,368,391857,0 108,2,2024-09-07 08:56:01:764,333876,333876,0,0,14137057,0,2647 108,3,2024-09-07 08:56:01:330,1,266,8,0,749,5604,266,0 109,0,2024-09-07 08:56:01:769,70996,0.4,70197,0.5,140890,0.3,188054,1.75 109,1,2024-09-07 08:56:00:583,466945,466945,0,0,217757690617,2281789948,463072,3356,517,383,392132,0 109,2,2024-09-07 08:56:00:920,339165,339165,0,0,14598047,0,3617 109,3,2024-09-07 08:56:01:142,1,266,0,0,249,3040,266,0 110,0,2024-09-07 08:56:01:773,65219,0.4,63495,0.6,132997,0.4,174279,1.75 110,1,2024-09-07 08:56:01:647,470149,470149,0,0,219081846569,2272830660,467000,2271,878,369,392045,0 110,2,2024-09-07 08:56:01:305,338996,338996,0,0,13925641,0,3264 110,3,2024-09-07 08:56:00:692,1,266,1,0,406,3451,266,0 111,0,2024-09-07 08:56:01:425,64478,0.3,64010,0.5,127936,0.3,171406,1.75 111,1,2024-09-07 08:56:01:007,470214,470214,0,0,220069016787,2279213680,468221,1657,336,382,391690,0 111,2,2024-09-07 08:56:01:116,333404,333404,0,0,13046122,0,2763 111,3,2024-09-07 08:56:00:913,1,266,2,0,379,3459,266,0 112,0,2024-09-07 08:56:00:909,66007,0.3,65787,0.4,131784,0.2,175726,1.50 112,1,2024-09-07 08:56:00:831,470015,470015,0,0,218381851551,2263381467,467581,2006,428,380,391624,0 112,2,2024-09-07 08:56:01:133,334711,334710,1,0,13384725,0,5036 112,3,2024-09-07 08:56:00:605,1,266,1,0,282,2750,266,0 113,0,2024-09-07 08:56:00:866,69882,0.3,69919,0.5,140579,0.2,187451,1.50 113,1,2024-09-07 08:56:01:687,470873,470873,0,0,219616242385,2265170514,468368,2015,490,366,391661,0 113,2,2024-09-07 08:56:01:306,340057,340057,0,0,12179812,0,3813 113,3,2024-09-07 08:56:00:684,1,266,2,0,340,3754,266,0 114,0,2024-09-07 08:56:00:884,65376,0.4,65878,0.5,130656,0.3,174963,1.75 114,1,2024-09-07 08:56:00:724,469025,469025,0,0,218725987551,2276518842,464214,3222,1589,381,391556,0 114,2,2024-09-07 08:56:00:877,338095,338094,1,0,13182388,0,5069 114,3,2024-09-07 08:56:01:278,1,266,1,0,395,2701,266,0 115,0,2024-09-07 08:56:00:578,65156,0.3,65430,0.4,130906,0.2,173983,1.50 115,1,2024-09-07 08:56:00:581,469210,469210,0,0,219359175049,2280671894,464814,3559,837,382,391639,0 115,2,2024-09-07 08:56:01:131,337552,337552,0,0,11497180,0,2152 115,3,2024-09-07 08:56:01:014,1,266,10,0,159,1405,266,0 116,0,2024-09-07 08:56:01:721,64616,0.6,64526,0.8,129216,0.6,173004,2.00 116,1,2024-09-07 08:56:00:811,466512,466512,0,0,217834871181,2300826889,460105,4352,2055,380,391782,0 116,2,2024-09-07 08:56:01:751,333414,333414,0,0,16370147,0,3529 116,3,2024-09-07 08:56:00:912,1,266,1,0,415,3714,266,0 117,0,2024-09-07 08:56:00:996,69975,0.6,69576,0.8,139409,0.6,186898,2.00 117,1,2024-09-07 08:56:01:619,468156,468156,0,0,218021938160,2279074831,463445,4231,480,370,392033,0 117,2,2024-09-07 08:56:01:118,338676,338676,0,0,13474657,0,3700 117,3,2024-09-07 08:56:01:059,1,266,2,0,490,4048,266,0 118,0,2024-09-07 08:56:01:769,64616,0.6,66339,0.7,135362,0.6,176447,2.00 118,1,2024-09-07 08:56:00:590,468134,468134,0,0,217523804369,2284338622,461746,4953,1435,366,391736,0 118,2,2024-09-07 08:56:01:586,338308,338308,0,0,14712565,0,2781 118,3,2024-09-07 08:56:01:765,1,266,5,0,235,2956,266,0 119,0,2024-09-07 08:56:01:331,65770,0.8,66227,0.8,132583,1.0,176113,2.25 119,1,2024-09-07 08:56:00:557,469024,469024,0,0,218333432554,2278451624,464356,4144,524,367,391641,0 119,2,2024-09-07 08:56:01:275,337858,337858,0,0,13980681,0,4174 119,3,2024-09-07 08:56:01:330,1,266,1,0,443,3573,266,0 120,0,2024-09-07 08:56:01:566,64686,0.5,64474,0.7,129230,0.5,172227,2.00 120,1,2024-09-07 08:56:00:859,468588,468588,0,0,218054333465,2284461843,463885,4351,352,368,391961,0 120,2,2024-09-07 08:56:00:770,332729,332728,1,0,16541914,0,5281 120,3,2024-09-07 08:56:01:290,1,266,0,0,241,3316,266,0 121,0,2024-09-07 08:56:01:701,68169,0.8,68082,0.9,136712,0.9,182027,2.00 121,1,2024-09-07 08:56:01:657,468556,468556,0,0,217715815423,2276724917,463933,4207,416,367,391840,0 121,2,2024-09-07 08:56:01:134,334000,334000,0,0,15802654,0,4127 121,3,2024-09-07 08:56:00:727,1,266,6,0,269,3061,266,0 122,0,2024-09-07 08:56:01:792,69182,0.8,67426,0.9,141444,1.0,185115,2.00 122,1,2024-09-07 08:56:00:859,467775,467775,0,0,218282362725,2288365356,461703,5255,817,366,392130,0 122,2,2024-09-07 08:56:01:320,339357,339284,73,0,18501855,0,5989 122,3,2024-09-07 08:56:00:605,1,266,3,0,411,5075,266,0 123,0,2024-09-07 08:56:01:011,65750,0.9,64274,0.9,133912,1.0,175548,2.25 123,1,2024-09-07 08:56:00:565,468165,468165,0,0,217787261663,2295068856,459487,6962,1716,369,392039,0 123,2,2024-09-07 08:56:01:019,335863,335862,1,0,15322521,0,5215 123,3,2024-09-07 08:56:01:131,1,266,1,0,168,3110,266,0 124,0,2024-09-07 08:56:00:965,66341,0.3,66280,0.5,125160,0.3,171991,1.75 124,1,2024-09-07 08:56:01:022,469856,469856,0,0,218070285737,2264183154,466949,2542,365,367,392178,0 124,2,2024-09-07 08:56:01:013,333690,333690,0,0,12386939,0,3101 124,3,2024-09-07 08:56:00:760,1,266,12,0,490,2905,266,0 125,0,2024-09-07 08:56:01:453,66394,0.3,66162,0.5,133161,0.3,176826,1.75 125,1,2024-09-07 08:56:00:859,468209,468209,0,0,218578716475,2278141767,465105,2708,396,383,391702,0 125,2,2024-09-07 08:56:01:116,335196,335196,0,0,13545496,0,4534 125,3,2024-09-07 08:56:01:130,1,266,5,0,709,3610,266,0 126,0,2024-09-07 08:56:01:434,70647,0.5,72608,0.6,138734,0.5,188981,1.75 126,1,2024-09-07 08:56:00:569,470096,470096,0,0,218699785819,2264980582,467372,2460,264,365,391987,0 126,2,2024-09-07 08:56:00:625,341275,341275,0,0,13959195,0,3186 126,3,2024-09-07 08:56:00:909,1,266,11,0,183,3543,266,0 127,0,2024-09-07 08:56:01:617,64537,0.4,64916,0.5,129340,0.3,172426,1.75 127,1,2024-09-07 08:56:00:575,469819,469819,0,0,218863058551,2266646221,466851,2815,153,365,391816,0 127,2,2024-09-07 08:56:00:638,338692,338692,0,0,12470666,0,2264 127,3,2024-09-07 08:56:01:284,1,266,2,0,968,3050,266,0 128,0,2024-09-07 08:56:01:529,64965,0.3,64907,0.4,129713,0.2,172289,1.50 128,1,2024-09-07 08:56:01:616,469007,469007,0,0,219177586126,2271648396,466817,2032,158,367,391798,0 128,2,2024-09-07 08:56:01:383,335929,335929,0,0,12287004,0,2434 128,3,2024-09-07 08:56:00:767,1,266,5,0,1082,5430,266,0 129,0,2024-09-07 08:56:01:042,65993,0.3,65688,0.4,131433,0.2,174576,1.50 129,1,2024-09-07 08:56:00:572,467146,467146,0,0,217836553476,2281017921,462981,3234,931,379,391835,0 129,2,2024-09-07 08:56:00:707,335132,335132,0,0,13339010,0,4031 129,3,2024-09-07 08:56:00:689,1,266,26,0,469,3572,266,0 130,0,2024-09-07 08:56:01:744,70963,0.5,70465,0.6,141614,0.4,188768,1.75 130,1,2024-09-07 08:56:00:586,469484,469484,0,0,217839903714,2264889091,467038,2367,79,381,391825,0 130,2,2024-09-07 08:56:01:125,339342,339342,0,0,12950698,0,4067 130,3,2024-09-07 08:56:01:300,1,266,1,0,450,3425,266,0 131,0,2024-09-07 08:56:01:966,65173,0.4,65634,0.5,132057,0.3,174625,1.75 131,1,2024-09-07 08:56:01:827,469565,469565,0,0,218657585769,2278259700,466509,2598,458,381,391865,0 131,2,2024-09-07 08:56:00:600,340150,340150,0,0,11877313,0,2415 131,3,2024-09-07 08:56:01:688,1,266,2,0,392,2768,266,0 132,0,2024-09-07 08:56:01:410,65608,0.5,66549,0.6,132459,0.4,175864,2.00 132,1,2024-09-07 08:56:00:589,467100,467100,0,0,217639704291,2292304059,460069,5777,1254,381,392097,0 132,2,2024-09-07 08:56:00:699,337263,337263,0,0,15600514,0,4606 132,3,2024-09-07 08:56:01:688,1,266,3,0,356,3675,266,0 133,0,2024-09-07 08:56:01:527,63298,0.4,64768,0.5,132555,0.3,171961,1.75 133,1,2024-09-07 08:56:00:586,467821,467821,0,0,218197867400,2293596782,462329,4823,669,383,391914,0 133,2,2024-09-07 08:56:01:087,332572,332572,0,0,16731023,0,4315 133,3,2024-09-07 08:56:01:300,1,266,0,0,276,2592,266,0 134,0,2024-09-07 08:56:01:006,69245,0.4,69274,0.6,138606,0.4,185189,2.00 134,1,2024-09-07 08:56:00:587,467225,467225,0,0,218139907528,2288041240,460762,4992,1471,366,391718,0 134,2,2024-09-07 08:56:01:757,335348,335348,0,0,13993810,0,3847 134,3,2024-09-07 08:56:00:749,1,266,2,0,739,3830,266,0 135,0,2024-09-07 08:56:01:122,65580,0.8,65554,0.9,139085,0.9,179259,2.25 135,1,2024-09-07 08:56:01:601,467301,467301,0,0,218696223078,2298454392,461090,5211,1000,380,391805,0 135,2,2024-09-07 08:56:00:688,339966,339966,0,0,15548417,0,3981 135,3,2024-09-07 08:56:01:013,1,266,1,0,299,1873,266,0 136,0,2024-09-07 08:56:01:640,66565,0.6,66455,0.8,132616,0.6,176827,2.25 136,1,2024-09-07 08:56:01:442,467362,467362,0,0,217742077831,2286257007,461821,4955,586,382,391685,0 136,2,2024-09-07 08:56:01:133,338425,338425,0,0,15032110,0,3506 136,3,2024-09-07 08:56:01:131,1,266,0,0,150,2197,266,0 137,0,2024-09-07 08:56:00:929,66930,0.6,65026,0.7,128254,0.5,174039,2.00 137,1,2024-09-07 08:56:00:583,467675,467675,0,0,217831993581,2283759354,460721,5536,1418,366,391708,0 137,2,2024-09-07 08:56:01:707,332310,332310,0,0,16510689,0,3185 137,3,2024-09-07 08:56:00:769,1,266,0,0,382,3331,266,0 138,0,2024-09-07 08:56:01:744,66361,0.7,66318,0.8,133375,0.8,177941,2.00 138,1,2024-09-07 08:56:01:685,467612,467612,0,0,218601895329,2293248652,460635,5844,1133,368,391954,0 138,2,2024-09-07 08:56:00:597,334642,334642,0,0,15235885,0,4988 138,3,2024-09-07 08:56:00:632,1,266,4,0,1160,3901,266,0 139,0,2024-09-07 08:56:01:407,69511,1.7,69789,1.2,139617,2.4,186465,2.50 139,1,2024-09-07 08:56:00:601,466197,466197,0,0,216839904176,2301445569,457651,6429,2117,381,391892,0 139,2,2024-09-07 08:56:00:701,338209,338209,0,0,16202141,0,3097 139,3,2024-09-07 08:56:01:662,1,266,1,0,257,2871,266,0 140,0,2024-09-07 08:56:01:598,65601,0.3,65111,0.5,130880,0.2,174447,1.75 140,1,2024-09-07 08:56:01:542,470991,470991,0,0,219625756110,2261563022,469009,1740,242,365,391606,0 140,2,2024-09-07 08:56:00:687,338957,338957,0,0,12755196,0,3388 140,3,2024-09-07 08:56:00:768,1,266,2,0,247,2213,266,0 141,0,2024-09-07 08:56:01:716,64203,0.3,66050,0.4,126257,0.2,171374,1.50 141,1,2024-09-07 08:56:00:859,470227,470227,0,0,219342559858,2273623446,467655,2164,408,379,391614,0 141,2,2024-09-07 08:56:01:724,334110,334110,0,0,12253615,0,2342 141,3,2024-09-07 08:56:01:051,1,266,1,0,202,2410,266,0 142,0,2024-09-07 08:56:01:309,66645,0.3,65900,0.4,131189,0.2,176164,1.50 142,1,2024-09-07 08:56:00:594,469373,469373,0,0,218143357041,2272797028,466534,2573,266,382,392102,0 142,2,2024-09-07 08:56:01:302,334540,334508,32,0,14136568,0,6028 142,3,2024-09-07 08:56:01:757,1,266,1,0,484,3600,266,0 143,0,2024-09-07 08:56:01:376,70247,0.5,70275,0.6,141036,0.4,187296,1.75 143,1,2024-09-07 08:56:00:570,469557,469557,0,0,218519673523,2264443225,466796,2665,96,367,391651,0 143,2,2024-09-07 08:56:00:769,339104,339104,0,0,13432829,0,2669 143,3,2024-09-07 08:56:01:140,1,266,6,0,303,3419,266,0 144,0,2024-09-07 08:56:01:494,62924,0.6,64559,0.9,131771,0.6,173095,2.00 144,1,2024-09-07 08:56:00:586,466969,466969,0,0,217629524985,2282698226,462906,3136,927,381,391649,0 144,2,2024-09-07 08:56:01:759,338786,338786,0,0,12658733,0,3473 144,3,2024-09-07 08:56:01:738,1,266,3,0,249,3025,266,0 145,0,2024-09-07 08:56:01:397,62798,0.6,62776,0.8,133341,0.5,171915,2.25 145,1,2024-09-07 08:56:00:558,466849,466849,0,0,218112929801,2290258594,461346,4680,823,382,391698,0 145,2,2024-09-07 08:56:01:431,335697,335697,0,0,14783269,0,3903 145,3,2024-09-07 08:56:00:896,1,266,1,0,622,4128,266,0 146,0,2024-09-07 08:56:01:592,64764,0.5,64210,0.7,129388,0.4,172147,2.00 146,1,2024-09-07 08:56:01:585,467637,467637,0,0,217970528922,2294367190,459353,6437,1847,368,391770,0 146,2,2024-09-07 08:56:01:702,333336,333336,0,0,14930885,0,2730 146,3,2024-09-07 08:56:01:275,1,266,7,0,1520,5960,266,0 147,0,2024-09-07 08:56:01:717,69762,0.5,69583,0.7,138594,0.5,186556,2.00 147,1,2024-09-07 08:56:01:376,470005,470005,0,0,218917765176,2275810457,465894,3524,587,368,391791,0 147,2,2024-09-07 08:56:01:014,338089,338089,0,0,12857943,0,2789 147,3,2024-09-07 08:56:00:913,1,266,5,0,730,3950,266,0 0,0,2024-09-07 08:56:11:729,62963,0.4,63052,0.7,133559,0.4,172528,1.75 0,1,2024-09-07 08:56:10:814,470390,470390,0,0,219132098612,2289974039,467137,3047,206,370,391896,0 0,2,2024-09-07 08:56:11:107,334357,334357,0,0,13379077,0,4480 0,3,2024-09-07 08:56:10:996,1,267,9,0,319,3505,267,0 1,0,2024-09-07 08:56:11:805,68652,0.7,68214,0.9,137025,0.8,182986,2.00 1,1,2024-09-07 08:56:10:562,470019,470019,0,0,218327117370,2285874223,465513,3452,1054,371,391857,0 1,2,2024-09-07 08:56:10:641,335816,335816,0,0,12553438,0,3267 1,3,2024-09-07 08:56:11:304,1,267,15,0,262,3060,267,0 2,0,2024-09-07 08:56:11:575,69577,0.7,69793,0.8,138239,0.9,185002,2.00 2,1,2024-09-07 08:56:10:861,470833,470833,0,0,220100945266,2284202871,468724,1891,218,380,391745,0 2,2,2024-09-07 08:56:11:267,341816,341816,0,0,13039315,0,3594 2,3,2024-09-07 08:56:10:694,1,267,13,0,357,2548,267,0 3,0,2024-09-07 08:56:11:751,66084,0.5,66130,0.6,132063,0.4,175748,2.00 3,1,2024-09-07 08:56:11:627,470261,470261,0,0,219394338556,2283283075,466419,3380,462,380,391556,0 3,2,2024-09-07 08:56:11:158,339421,339398,23,0,13272202,0,5851 3,3,2024-09-07 08:56:11:753,1,267,8,0,103,1560,267,0 4,0,2024-09-07 08:56:11:875,62591,0.3,64454,0.5,131082,0.3,172038,1.75 4,1,2024-09-07 08:56:10:621,470091,470091,0,0,218631774594,2293808776,465336,3961,794,371,391846,0 4,2,2024-09-07 08:56:11:024,333249,333249,0,0,15381093,0,4528 4,3,2024-09-07 08:56:11:060,1,267,1,0,448,3988,267,0 5,0,2024-09-07 08:56:11:486,66560,0.4,66868,0.5,132851,0.3,177082,1.75 5,1,2024-09-07 08:56:10:769,469888,469888,0,0,218757285361,2297236522,464384,4392,1112,368,392005,0 5,2,2024-09-07 08:56:11:872,334843,334843,0,0,14095302,0,2432 5,3,2024-09-07 08:56:11:731,1,267,3,0,457,3920,267,0 6,0,2024-09-07 08:56:10:918,71485,0.5,70996,0.7,141787,0.5,190217,2.00 6,1,2024-09-07 08:56:10:752,470162,470162,0,0,219006342567,2285894191,465486,3832,844,379,391694,0 6,2,2024-09-07 08:56:11:117,341082,341082,0,0,14086393,0,4816 6,3,2024-09-07 08:56:11:275,1,267,3,0,340,3062,267,0 7,0,2024-09-07 08:56:11:561,64616,0.5,64889,0.7,129266,0.5,172272,2.00 7,1,2024-09-07 08:56:10:852,469861,469861,0,0,219235540287,2297068352,463958,4900,1003,382,391747,0 7,2,2024-09-07 08:56:10:775,338880,338880,0,0,13865795,0,4791 7,3,2024-09-07 08:56:10:853,1,267,1,0,398,3165,267,0 8,0,2024-09-07 08:56:11:364,64855,0.4,64767,0.5,129150,0.3,172307,1.75 8,1,2024-09-07 08:56:11:019,468738,468738,0,0,219242390229,2309219533,460438,6281,2019,366,391956,0 8,2,2024-09-07 08:56:10:820,332080,332080,0,0,16637654,0,3220 8,3,2024-09-07 08:56:10:609,1,267,0,0,357,3900,267,0 9,0,2024-09-07 08:56:11:125,65880,0.3,63913,0.5,133526,0.3,175123,1.50 9,1,2024-09-07 08:56:10:558,468801,468801,0,0,218437048642,2299647218,462304,4955,1542,370,392001,0 9,2,2024-09-07 08:56:11:105,335571,335571,0,0,14708588,0,3360 9,3,2024-09-07 08:56:11:789,1,267,7,0,496,3903,267,0 10,0,2024-09-07 08:56:11:602,71103,0.3,70536,0.5,141729,0.2,189211,1.75 10,1,2024-09-07 08:56:10:584,469596,469596,0,0,218505714560,2288366136,463234,5434,928,381,391741,0 10,2,2024-09-07 08:56:10:774,339709,339709,0,0,16385066,0,4264 10,3,2024-09-07 08:56:10:873,1,267,0,0,177,2112,267,0 11,0,2024-09-07 08:56:11:008,65060,0.5,63232,0.7,132177,0.5,174950,1.75 11,1,2024-09-07 08:56:10:577,470270,470270,0,0,219182544653,2303056484,462051,6238,1981,383,391573,0 11,2,2024-09-07 08:56:11:125,339105,339105,0,0,15261950,0,4130 11,3,2024-09-07 08:56:11:301,1,267,1,0,843,4266,267,0 12,0,2024-09-07 08:56:10:975,66529,0.3,66341,0.5,132746,0.3,176124,1.75 12,1,2024-09-07 08:56:10:934,469827,469827,0,0,218815677336,2279253709,466297,3108,422,370,391870,0 12,2,2024-09-07 08:56:11:545,338616,338616,0,0,14365250,0,3469 12,3,2024-09-07 08:56:11:073,1,267,22,0,386,4402,267,0 13,0,2024-09-07 08:56:11:384,65320,0.3,65085,0.5,130149,0.3,172893,1.50 13,1,2024-09-07 08:56:11:578,470300,470300,0,0,218814014188,2293532955,466740,3018,542,382,391740,0 13,2,2024-09-07 08:56:10:596,335827,335827,0,0,13385655,0,3287 13,3,2024-09-07 08:56:11:779,1,267,5,0,467,3979,267,0 14,0,2024-09-07 08:56:10:575,69774,0.3,70476,0.5,139432,0.3,186341,1.75 14,1,2024-09-07 08:56:11:577,473093,473093,0,0,219976419462,2274305911,470260,2643,190,364,391571,0 14,2,2024-09-07 08:56:10:774,337046,337046,0,0,13469408,0,2896 14,3,2024-09-07 08:56:11:120,1,267,1,0,1168,3588,267,0 15,0,2024-09-07 08:56:11:562,67849,0.5,68018,0.7,135695,0.5,180395,2.00 15,1,2024-09-07 08:56:11:610,471100,471100,0,0,219229351322,2277295124,468577,2345,178,381,391619,0 15,2,2024-09-07 08:56:11:000,341601,341601,0,0,11582689,0,3043 15,3,2024-09-07 08:56:11:406,1,267,1,0,1126,5387,267,0 16,0,2024-09-07 08:56:10:940,66401,0.7,66634,0.8,132679,0.7,176680,2.25 16,1,2024-09-07 08:56:10:571,471145,471145,0,0,219353670594,2289747064,467577,3268,300,370,391756,0 16,2,2024-09-07 08:56:11:434,338960,338960,0,0,14192722,0,4719 16,3,2024-09-07 08:56:11:149,1,267,1,0,317,3606,267,0 17,0,2024-09-07 08:56:11:816,67219,0.5,65630,0.7,128394,0.4,174361,1.75 17,1,2024-09-07 08:56:10:569,469822,469822,0,0,218258604244,2289783842,464957,3760,1105,368,391899,0 17,2,2024-09-07 08:56:11:685,336968,336968,0,0,13210167,0,2857 17,3,2024-09-07 08:56:10:582,1,267,0,0,268,4250,267,0 18,0,2024-09-07 08:56:10:940,66564,0.6,66731,0.8,133261,0.6,178686,2.25 18,1,2024-09-07 08:56:11:648,471033,471033,0,0,219417177585,2272401240,468520,2271,242,367,391649,0 18,2,2024-09-07 08:56:11:756,337351,337351,0,0,13080187,0,3541 18,3,2024-09-07 08:56:10:896,1,267,18,0,163,2572,267,0 19,0,2024-09-07 08:56:11:544,70067,0.6,70721,0.8,140212,0.6,186340,2.25 19,1,2024-09-07 08:56:10:569,471085,471085,0,0,220241747758,2286537335,466423,3875,787,367,391777,0 19,2,2024-09-07 08:56:11:756,343631,343631,0,0,12132022,0,3988 19,3,2024-09-07 08:56:11:149,1,267,58,0,524,1966,267,0 20,0,2024-09-07 08:56:11:446,65318,0.6,65209,0.8,130844,0.7,174721,2.25 20,1,2024-09-07 08:56:10:577,469950,469950,0,0,219451745491,2292799348,466123,3428,399,369,391886,0 20,2,2024-09-07 08:56:10:942,339924,339924,0,0,13625056,0,3721 20,3,2024-09-07 08:56:10:596,1,267,2,0,414,4677,267,0 21,0,2024-09-07 08:56:11:142,64509,0.5,64661,0.6,129040,0.4,171184,2.00 21,1,2024-09-07 08:56:11:562,468685,468685,0,0,218558247191,2297559407,462958,4468,1259,368,391962,0 21,2,2024-09-07 08:56:11:072,333577,333577,0,0,15380514,0,3747 21,3,2024-09-07 08:56:11:429,1,267,13,0,103,2688,267,0 22,0,2024-09-07 08:56:11:723,66058,0.5,66179,0.7,132148,0.5,176247,2.00 22,1,2024-09-07 08:56:11:038,469346,469346,0,0,218343591889,2295708553,462114,5590,1642,382,391667,0 22,2,2024-09-07 08:56:10:776,335588,335588,0,0,13463175,0,3134 22,3,2024-09-07 08:56:11:076,1,267,1,0,228,2359,267,0 23,0,2024-09-07 08:56:11:376,70170,0.5,69919,0.7,140533,0.5,187166,2.25 23,1,2024-09-07 08:56:11:010,470499,470499,0,0,219027760021,2296405003,463187,4761,2551,365,391690,0 23,2,2024-09-07 08:56:11:094,341165,341165,0,0,13104512,0,3010 23,3,2024-09-07 08:56:11:754,1,267,1,0,645,3321,267,0 24,0,2024-09-07 08:56:10:888,65787,0.4,65628,0.6,131827,0.4,175217,1.75 24,1,2024-09-07 08:56:10:581,469548,469548,0,0,218667407168,2291965096,463930,4136,1482,368,391987,0 24,2,2024-09-07 08:56:11:106,337700,337700,0,0,16178087,0,3607 24,3,2024-09-07 08:56:11:695,1,267,1,0,468,3845,267,0 25,0,2024-09-07 08:56:11:417,66868,0.5,65148,0.6,127973,0.4,174518,2.00 25,1,2024-09-07 08:56:10:583,470505,470505,0,0,219382631243,2293710415,466150,3800,555,371,391788,0 25,2,2024-09-07 08:56:11:630,336096,336096,0,0,16256925,0,3978 25,3,2024-09-07 08:56:11:015,1,267,11,0,255,2839,267,0 26,0,2024-09-07 08:56:11:737,64926,0.4,63391,0.6,132961,0.3,173417,1.75 26,1,2024-09-07 08:56:11:555,470069,470069,0,0,219112136462,2296020946,463077,5776,1216,381,391748,0 26,2,2024-09-07 08:56:10:869,335229,335229,0,0,15859206,0,2809 26,3,2024-09-07 08:56:11:713,1,267,0,0,796,3418,267,0 27,0,2024-09-07 08:56:11:736,70595,0.4,70714,0.6,139860,0.3,187507,2.00 27,1,2024-09-07 08:56:11:676,471915,471915,0,0,220038844163,2287172678,468459,2863,593,381,391558,0 27,2,2024-09-07 08:56:10:867,336767,336702,65,0,16038184,0,5699 27,3,2024-09-07 08:56:11:017,1,267,0,0,564,2797,267,0 28,0,2024-09-07 08:56:11:391,66717,0.5,66486,0.6,133570,0.4,177764,2.00 28,1,2024-09-07 08:56:10:801,471268,471268,0,0,220264735690,2290819518,468387,2410,471,383,391646,0 28,2,2024-09-07 08:56:11:779,340331,340331,0,0,12929061,0,2915 28,3,2024-09-07 08:56:11:786,1,267,2,0,502,2773,267,0 29,0,2024-09-07 08:56:11:383,68082,0.4,66551,0.6,130145,0.3,177549,1.75 29,1,2024-09-07 08:56:11:568,472234,472234,0,0,220448443181,2280761333,469002,2666,566,369,391621,0 29,2,2024-09-07 08:56:10:861,338171,338171,0,0,12304357,0,4018 29,3,2024-09-07 08:56:10:968,1,267,3,0,115,1902,267,0 30,0,2024-09-07 08:56:11:524,64854,0.5,62886,0.7,131539,0.4,171918,2.00 30,1,2024-09-07 08:56:10:570,472093,472093,0,0,220274895917,2280659221,469762,2038,293,382,391672,0 30,2,2024-09-07 08:56:11:275,334879,334879,0,0,11993944,0,3161 30,3,2024-09-07 08:56:10:584,1,267,33,0,519,2516,267,0 31,0,2024-09-07 08:56:11:796,68240,0.4,68572,0.6,137278,0.3,182969,1.75 31,1,2024-09-07 08:56:10:566,473646,473646,0,0,220735584265,2260008395,472251,1175,220,356,391712,0 31,2,2024-09-07 08:56:11:276,336219,336219,0,0,13776296,0,3525 31,3,2024-09-07 08:56:11:712,1,267,4,0,220,1985,267,0 32,0,2024-09-07 08:56:11:431,69405,0.4,69814,0.5,139560,0.3,185411,1.75 32,1,2024-09-07 08:56:10:818,471839,471839,0,0,219519389124,2275469090,469878,1682,279,381,391622,0 32,2,2024-09-07 08:56:10:935,341735,341735,0,0,12015329,0,3155 32,3,2024-09-07 08:56:11:016,1,267,1,0,227,2063,267,0 33,0,2024-09-07 08:56:11:506,66517,0.3,65980,0.5,132548,0.2,176746,1.75 33,1,2024-09-07 08:56:10:584,472332,472332,0,0,221188885498,2283785131,469695,2463,174,369,391730,0 33,2,2024-09-07 08:56:10:778,340413,340380,33,0,13607416,0,7012 33,3,2024-09-07 08:56:10:897,1,267,8,0,329,3118,267,0 34,0,2024-09-07 08:56:10:942,64558,0.3,66566,0.4,127582,0.2,171487,1.50 34,1,2024-09-07 08:56:11:053,472961,472961,0,0,221100842941,2272566401,471836,1118,7,367,391562,0 34,2,2024-09-07 08:56:10:774,334396,334396,0,0,12778585,0,3577 34,3,2024-09-07 08:56:11:712,1,267,1,0,299,2136,267,0 35,0,2024-09-07 08:56:10:860,65996,0.3,66401,0.5,133415,0.2,177546,1.75 35,1,2024-09-07 08:56:11:073,471962,471962,0,0,219484461536,2275670081,468600,2582,780,384,391589,0 35,2,2024-09-07 08:56:11:592,336625,336625,0,0,12714027,0,2653 35,3,2024-09-07 08:56:10:907,1,267,2,0,418,3363,267,0 36,0,2024-09-07 08:56:11:538,71288,0.5,71163,0.7,142551,0.5,190002,2.25 36,1,2024-09-07 08:56:10:583,470898,470898,0,0,218592037474,2283767662,464916,4403,1579,366,391759,0 36,2,2024-09-07 08:56:11:764,341283,341283,0,0,14432196,0,3875 36,3,2024-09-07 08:56:10:868,1,267,3,0,416,4071,267,0 37,0,2024-09-07 08:56:11:369,64485,0.5,64477,0.7,129225,0.5,173178,2.00 37,1,2024-09-07 08:56:10:579,469957,469950,0,7,218696191817,2288541389,463938,3943,2069,365,391560,0 37,2,2024-09-07 08:56:11:155,338498,338483,15,0,14681714,0,5815 37,3,2024-09-07 08:56:11:776,1,267,92,0,888,4595,267,0 38,0,2024-09-07 08:56:11:437,64127,0.5,62279,0.7,130478,0.4,170377,2.00 38,1,2024-09-07 08:56:11:634,470969,470969,0,0,219928900186,2292477290,465696,4597,676,368,391821,0 38,2,2024-09-07 08:56:10:774,335036,334989,47,0,14964378,0,6710 38,3,2024-09-07 08:56:10:999,1,267,5,0,689,3619,267,0 39,0,2024-09-07 08:56:11:761,67277,0.5,65984,0.7,128186,0.5,175314,2.00 39,1,2024-09-07 08:56:10:719,470816,470816,0,0,218999587405,2283375924,465255,4659,902,365,391594,0 39,2,2024-09-07 08:56:11:420,335497,335497,0,0,13089157,0,2689 39,3,2024-09-07 08:56:10:713,1,267,1,0,324,3452,267,0 40,0,2024-09-07 08:56:11:515,70206,0.6,70793,0.9,141513,0.6,188781,2.75 40,1,2024-09-07 08:56:10:584,470599,470599,0,0,218800041853,2290859718,463459,5697,1443,368,391651,0 40,2,2024-09-07 08:56:11:306,339532,339531,1,0,16378483,0,5137 40,3,2024-09-07 08:56:11:184,1,267,4,0,398,3213,267,0 41,0,2024-09-07 08:56:11:025,64897,1.9,66367,1.4,126588,3.0,173072,3.50 41,1,2024-09-07 08:56:10:779,470418,470418,0,0,219248594674,2290061418,464873,4910,635,370,391742,0 41,2,2024-09-07 08:56:10:765,338070,338070,0,0,15581476,0,3356 41,3,2024-09-07 08:56:11:677,1,267,1,0,366,3041,267,0 42,0,2024-09-07 08:56:11:474,65228,0.8,65443,1.0,130936,0.8,172648,2.50 42,1,2024-09-07 08:56:11:449,469429,469429,0,0,218810411467,2297438257,462144,5833,1452,380,391675,0 42,2,2024-09-07 08:56:11:185,338424,338424,0,0,14898929,0,3568 42,3,2024-09-07 08:56:11:009,1,267,1,0,446,2377,267,0 43,0,2024-09-07 08:56:10:918,64544,0.6,62933,0.9,131540,0.6,172631,2.00 43,1,2024-09-07 08:56:10:583,470464,470464,0,0,219882315805,2294911413,464197,4938,1329,366,391696,0 43,2,2024-09-07 08:56:11:736,335264,335264,0,0,14202640,0,3812 43,3,2024-09-07 08:56:11:753,1,267,2,0,467,3150,267,0 44,0,2024-09-07 08:56:10:884,69605,0.4,70119,0.6,139564,0.4,186590,1.75 44,1,2024-09-07 08:56:10:569,472318,472318,0,0,219397783767,2262142559,469758,2128,432,356,391809,0 44,2,2024-09-07 08:56:11:271,336614,336614,0,0,12221608,0,2231 44,3,2024-09-07 08:56:11:110,1,267,1,0,817,3053,267,0 45,0,2024-09-07 08:56:11:766,66825,0.6,65382,0.8,137178,0.6,180128,2.00 45,1,2024-09-07 08:56:11:007,471447,471447,0,0,219658233543,2274469703,469793,1642,12,382,391917,0 45,2,2024-09-07 08:56:11:274,341299,341299,0,0,12950741,0,3596 45,3,2024-09-07 08:56:10:933,1,267,9,0,271,2902,267,0 46,0,2024-09-07 08:56:10:951,65963,0.5,65826,0.7,131830,0.5,174579,2.00 46,1,2024-09-07 08:56:10:584,473192,473192,0,0,220436503522,2273349885,470844,2074,274,366,391539,0 46,2,2024-09-07 08:56:10:594,340041,340041,0,0,12412692,0,2920 46,3,2024-09-07 08:56:11:130,1,267,1,0,908,3877,267,0 47,0,2024-09-07 08:56:11:114,65599,0.4,65438,0.6,131507,0.3,173914,1.75 47,1,2024-09-07 08:56:10:570,472416,472416,0,0,219287204850,2261806801,470087,2195,134,366,391641,0 47,2,2024-09-07 08:56:10:908,336538,336538,0,0,12314573,0,2558 47,3,2024-09-07 08:56:11:117,1,267,1,0,529,2361,267,0 48,0,2024-09-07 08:56:11:531,67598,0.3,67229,0.4,134036,0.2,179617,1.50 48,1,2024-09-07 08:56:11:026,471443,471443,0,0,219980629250,2283382755,468327,2816,300,384,391710,0 48,2,2024-09-07 08:56:10:705,336129,336129,0,0,12038791,0,3031 48,3,2024-09-07 08:56:10:773,1,267,1,0,339,2272,267,0 49,0,2024-09-07 08:56:11:732,72491,0.4,71229,0.5,138142,0.3,188654,1.75 49,1,2024-09-07 08:56:11:061,470583,470583,0,0,219070070897,2282240903,466711,2691,1181,382,391809,0 49,2,2024-09-07 08:56:11:809,342844,342844,0,0,12918318,0,3900 49,3,2024-09-07 08:56:11:416,1,267,1,0,408,2860,267,0 50,0,2024-09-07 08:56:11:517,65537,0.3,64954,0.5,130635,0.2,174492,1.75 50,1,2024-09-07 08:56:11:010,472100,472100,0,0,220387511197,2278185833,469140,2650,310,368,391530,0 50,2,2024-09-07 08:56:11:077,340155,340155,0,0,11842562,0,2263 50,3,2024-09-07 08:56:11:301,1,267,1,0,335,2156,267,0 51,0,2024-09-07 08:56:11:697,66012,0.3,64614,0.4,125851,0.2,171556,1.75 51,1,2024-09-07 08:56:11:684,472669,472669,0,0,220599542771,2275798943,469865,1864,940,365,391706,0 51,2,2024-09-07 08:56:11:321,334918,334918,0,0,11135074,0,3337 51,3,2024-09-07 08:56:11:027,1,267,5,0,678,2089,267,0 52,0,2024-09-07 08:56:11:414,66790,0.4,66288,0.6,132706,0.4,176852,2.00 52,1,2024-09-07 08:56:10:600,470284,470284,0,0,218312891363,2286368528,463122,5908,1254,368,391722,0 52,2,2024-09-07 08:56:11:761,333950,333912,38,0,15241923,0,6742 52,3,2024-09-07 08:56:10:674,1,267,1,0,1782,4585,267,0 53,0,2024-09-07 08:56:11:740,69970,0.6,68012,0.7,142368,0.6,186580,2.25 53,1,2024-09-07 08:56:10:774,469442,469442,0,0,218661020968,2293526161,462095,4991,2356,367,391702,0 53,2,2024-09-07 08:56:11:302,340946,340946,0,0,12748935,0,2727 53,3,2024-09-07 08:56:10:703,1,267,1,0,271,2345,267,0 54,0,2024-09-07 08:56:11:629,64321,0.7,64922,0.8,128502,0.5,172397,2.50 54,1,2024-09-07 08:56:10:584,470801,470801,0,0,219641804508,2282066794,466065,4139,597,367,391659,0 54,2,2024-09-07 08:56:10:869,339509,339503,6,0,14151603,0,5382 54,3,2024-09-07 08:56:10:773,1,267,9,0,676,3931,267,0 55,0,2024-09-07 08:56:11:768,62941,0.6,65138,0.8,131129,0.5,170932,2.50 55,1,2024-09-07 08:56:10:776,470463,470463,0,0,219388548689,2282298764,464913,4763,787,365,391731,0 55,2,2024-09-07 08:56:10:743,336481,336481,0,0,14226494,0,3275 55,3,2024-09-07 08:56:10:674,1,267,1,0,304,2905,267,0 56,0,2024-09-07 08:56:11:552,66641,0.9,62886,1.0,129311,1.1,173424,2.25 56,1,2024-09-07 08:56:10:584,468849,468849,0,0,219125547545,2313920451,461603,5808,1438,381,391729,0 56,2,2024-09-07 08:56:11:306,335398,335398,0,0,15412039,0,3567 56,3,2024-09-07 08:56:11:069,1,267,0,0,705,3833,267,0 57,0,2024-09-07 08:56:10:957,69512,1.3,69474,1.1,138936,1.8,186430,2.75 57,1,2024-09-07 08:56:10:999,470115,470115,0,0,218948220765,2291335550,464785,4658,672,367,392032,0 57,2,2024-09-07 08:56:11:319,338624,338624,0,0,16673697,0,3317 57,3,2024-09-07 08:56:11:752,1,267,0,0,359,3460,267,0 58,0,2024-09-07 08:56:10:559,65365,1.1,63526,1.1,133019,1.5,174294,2.75 58,1,2024-09-07 08:56:10:583,471186,471183,0,3,219382061221,2289782414,465447,5025,711,367,391603,3 58,2,2024-09-07 08:56:11:073,340252,340252,0,0,14860442,0,2549 58,3,2024-09-07 08:56:11:094,1,267,10,0,1043,2931,267,0 59,0,2024-09-07 08:56:11:763,65974,0.8,65740,1.0,131200,0.8,174184,2.75 59,1,2024-09-07 08:56:10:814,470485,470485,0,0,219317149953,2291945334,464695,4525,1265,369,391525,0 59,2,2024-09-07 08:56:10:585,340228,340228,0,0,13578794,0,2604 59,3,2024-09-07 08:56:11:740,1,267,1,0,1015,3599,267,0 60,0,2024-09-07 08:56:11:717,64902,0.4,64806,0.6,130676,0.3,172440,1.75 60,1,2024-09-07 08:56:10:776,472020,472020,0,0,220592453861,2284923355,469566,1937,517,370,392031,0 60,2,2024-09-07 08:56:11:184,335015,335015,0,0,14623236,0,3811 60,3,2024-09-07 08:56:11:258,1,267,1,0,124,2561,267,0 61,0,2024-09-07 08:56:11:521,68463,0.6,68796,0.7,136994,0.5,182888,2.00 61,1,2024-09-07 08:56:10:777,470502,470502,0,0,219245612259,2291591796,465921,3878,703,382,391880,0 61,2,2024-09-07 08:56:11:144,337086,337086,0,0,13132262,0,2780 61,3,2024-09-07 08:56:11:689,1,267,7,0,397,3546,267,0 62,0,2024-09-07 08:56:11:743,70016,0.6,71382,0.7,136248,0.6,185092,2.00 62,1,2024-09-07 08:56:11:117,473162,473156,0,6,220867494919,2276096670,470690,2348,118,365,391715,6 62,2,2024-09-07 08:56:11:647,340434,340433,1,0,14472431,0,5555 62,3,2024-09-07 08:56:11:183,1,267,3,0,287,1847,267,0 63,0,2024-09-07 08:56:11:457,66157,0.5,66348,0.6,132640,0.4,176271,1.75 63,1,2024-09-07 08:56:10:811,472278,472272,0,6,220038275030,2276862903,470695,1527,50,381,391677,6 63,2,2024-09-07 08:56:10:773,339855,339855,0,0,12130361,0,2674 63,3,2024-09-07 08:56:11:748,1,267,1,0,667,2950,267,0 64,0,2024-09-07 08:56:11:603,64279,0.4,64185,0.6,128522,0.4,171343,1.75 64,1,2024-09-07 08:56:10:763,470712,470712,0,0,220104934217,2293381954,466216,3080,1416,370,391783,0 64,2,2024-09-07 08:56:11:194,337074,337055,19,0,12889587,0,6121 64,3,2024-09-07 08:56:11:184,1,267,1,0,265,2587,267,0 65,0,2024-09-07 08:56:11:701,65959,0.6,66408,0.7,132514,0.6,176461,2.00 65,1,2024-09-07 08:56:10:859,470020,470020,0,0,218612092563,2285296443,466427,3148,445,382,391901,0 65,2,2024-09-07 08:56:11:714,336356,336356,0,0,14019938,0,3367 65,3,2024-09-07 08:56:11:689,1,267,0,0,163,2562,267,0 66,0,2024-09-07 08:56:11:806,70815,0.5,70575,0.7,141924,0.4,188628,2.00 66,1,2024-09-07 08:56:11:311,471793,471793,0,0,220019777123,2284490363,469218,2374,201,380,391653,0 66,2,2024-09-07 08:56:11:162,343342,343342,0,0,13539428,0,4956 66,3,2024-09-07 08:56:11:124,1,267,12,0,291,2779,267,0 67,0,2024-09-07 08:56:11:430,65269,0.6,64593,0.7,130258,0.6,173383,2.00 67,1,2024-09-07 08:56:10:774,470793,470792,0,1,219969503862,2291646283,466944,3099,749,380,391787,1 67,2,2024-09-07 08:56:10:584,340390,340390,0,0,12430978,0,2889 67,3,2024-09-07 08:56:11:757,1,267,1,0,338,2411,267,0 68,0,2024-09-07 08:56:10:588,64873,0.6,64650,0.7,128863,0.5,172219,2.00 68,1,2024-09-07 08:56:10:580,470238,470238,0,0,219196952569,2295008590,466054,3014,1170,381,391953,0 68,2,2024-09-07 08:56:11:054,334613,334548,65,0,16063540,0,6698 68,3,2024-09-07 08:56:10:738,1,267,160,0,417,3032,267,0 69,0,2024-09-07 08:56:11:755,65391,0.6,65691,0.8,131033,0.6,174330,2.00 69,1,2024-09-07 08:56:11:018,468915,468915,0,0,218617790532,2303261670,463211,4302,1402,384,391994,0 69,2,2024-09-07 08:56:11:739,335654,335654,0,0,15981266,0,3722 69,3,2024-09-07 08:56:10:765,1,267,6,0,698,3739,267,0 70,0,2024-09-07 08:56:11:565,70535,0.7,70381,0.9,141453,0.5,188226,2.25 70,1,2024-09-07 08:56:10:818,471803,471803,0,0,220579934901,2287926614,468136,3139,528,366,391725,0 70,2,2024-09-07 08:56:11:328,339757,339757,0,0,14585297,0,4044 70,3,2024-09-07 08:56:10:747,1,267,1,0,854,3083,267,0 71,0,2024-09-07 08:56:11:368,64850,1.1,64750,1.1,129878,1.5,173805,2.75 71,1,2024-09-07 08:56:11:621,470521,470521,0,0,219626478882,2288333323,465364,4536,621,368,391738,0 71,2,2024-09-07 08:56:11:077,339512,339512,0,0,14926152,0,4042 71,3,2024-09-07 08:56:11:753,1,267,1,0,644,3720,267,0 72,0,2024-09-07 08:56:11:106,68135,0.7,66711,0.8,129919,0.8,176497,2.25 72,1,2024-09-07 08:56:11:032,470925,470925,0,0,219712442534,2291157561,465859,4134,932,369,391819,0 72,2,2024-09-07 08:56:11:755,337165,337165,0,0,16598764,0,3983 72,3,2024-09-07 08:56:11:754,1,267,0,0,564,4608,267,0 73,0,2024-09-07 08:56:11:101,63300,0.4,64830,0.6,132901,0.3,172255,2.00 73,1,2024-09-07 08:56:10:774,471250,471250,0,0,219724224795,2281023343,468154,2859,237,367,391750,0 73,2,2024-09-07 08:56:11:754,335226,335226,0,0,15886032,0,3701 73,3,2024-09-07 08:56:10:975,1,267,5,0,274,3764,267,0 74,0,2024-09-07 08:56:11:337,70229,0.4,71844,0.6,137001,0.4,186472,2.00 74,1,2024-09-07 08:56:10:656,470443,470443,0,0,219173431934,2285905690,466038,3606,799,381,391681,0 74,2,2024-09-07 08:56:11:002,336910,336910,0,0,15244375,0,4253 74,3,2024-09-07 08:56:11:465,1,267,1,0,522,3414,267,0 75,0,2024-09-07 08:56:11:780,68049,0.5,67698,0.8,135625,0.5,181207,2.25 75,1,2024-09-07 08:56:11:587,469724,469724,0,0,218959807567,2286564589,464788,4272,664,380,391660,0 75,2,2024-09-07 08:56:11:355,339895,339895,0,0,15762990,0,4766 75,3,2024-09-07 08:56:11:120,1,267,1,0,702,3770,267,0 76,0,2024-09-07 08:56:10:598,65970,0.7,65377,0.8,131208,0.7,175571,2.50 76,1,2024-09-07 08:56:10:818,470547,470547,0,0,219727244544,2289678589,467438,2558,551,382,391692,0 76,2,2024-09-07 08:56:11:079,340675,340675,0,0,13076060,0,3784 76,3,2024-09-07 08:56:11:193,1,267,1,0,175,2685,267,0 77,0,2024-09-07 08:56:11:724,65211,0.5,65489,0.7,130841,0.4,173622,1.75 77,1,2024-09-07 08:56:10:835,470984,470984,0,0,219642745400,2291031370,467529,3198,257,381,391869,0 77,2,2024-09-07 08:56:11:290,334256,334256,0,0,13603516,0,3890 77,3,2024-09-07 08:56:11:106,1,267,0,0,382,2929,267,0 78,0,2024-09-07 08:56:11:737,67501,0.4,66955,0.6,134659,0.3,178809,2.00 78,1,2024-09-07 08:56:10:610,471223,471223,0,0,218880510269,2269805561,468225,2679,319,367,391670,0 78,2,2024-09-07 08:56:11:409,336268,336268,0,0,12189124,0,3855 78,3,2024-09-07 08:56:11:133,1,267,1,0,181,2489,267,0 79,0,2024-09-07 08:56:11:355,68421,0.4,70220,0.6,143379,0.4,186267,2.25 79,1,2024-09-07 08:56:10:572,472617,472617,0,0,219914402024,2273214566,469771,2509,337,369,391682,0 79,2,2024-09-07 08:56:11:076,342960,342960,0,0,12447204,0,3212 79,3,2024-09-07 08:56:10:773,1,267,8,0,418,3789,267,0 80,0,2024-09-07 08:56:11:089,65408,0.6,67309,0.7,128841,0.6,174289,2.00 80,1,2024-09-07 08:56:11:630,470660,470660,0,0,219380504223,2281282917,467686,2804,170,368,391791,0 80,2,2024-09-07 08:56:11:096,340520,340520,0,0,12785801,0,4433 80,3,2024-09-07 08:56:10:582,1,267,3,0,190,3593,267,0 81,0,2024-09-07 08:56:11:551,64250,0.5,65997,0.6,126134,0.4,170580,1.75 81,1,2024-09-07 08:56:11:659,469688,469688,0,0,218484537648,2282112078,465816,3409,463,382,391879,0 81,2,2024-09-07 08:56:11:131,334798,334798,0,0,13058938,0,3993 81,3,2024-09-07 08:56:11:117,1,267,1,0,374,3095,267,0 82,0,2024-09-07 08:56:11:538,65899,0.4,66367,0.6,132848,0.3,176925,1.75 82,1,2024-09-07 08:56:10:586,471348,471344,0,4,219389994332,2283253978,468118,2615,611,381,391768,4 82,2,2024-09-07 08:56:11:710,337194,337194,0,0,12400425,0,3986 82,3,2024-09-07 08:56:11:753,1,267,1,0,363,2958,267,0 83,0,2024-09-07 08:56:11:530,70578,0.5,70590,0.7,140294,0.5,187095,2.00 83,1,2024-09-07 08:56:10:568,470205,470205,0,0,219925933576,2291426935,466891,3068,246,382,391709,0 83,2,2024-09-07 08:56:10:774,340735,340735,0,0,12518692,0,3119 83,3,2024-09-07 08:56:10:766,1,267,1,0,250,3000,267,0 84,0,2024-09-07 08:56:11:839,64967,0.8,64711,0.9,129675,0.7,173866,2.25 84,1,2024-09-07 08:56:11:065,469818,469818,0,0,218984691027,2286771017,465059,4176,583,367,391967,0 84,2,2024-09-07 08:56:10:585,339290,339290,0,0,14440973,0,3801 84,3,2024-09-07 08:56:11:143,1,267,5,0,908,4001,267,0 85,0,2024-09-07 08:56:11:021,63009,0.7,62903,0.8,133450,0.7,172412,2.25 85,1,2024-09-07 08:56:10:568,469451,469451,0,0,218576096689,2306005011,462605,5739,1107,381,392006,0 85,2,2024-09-07 08:56:10:869,338174,338174,0,0,15142911,0,3656 85,3,2024-09-07 08:56:10:686,1,267,1,0,789,3549,267,0 86,0,2024-09-07 08:56:10:918,65174,0.5,66975,0.7,128066,0.5,173221,2.00 86,1,2024-09-07 08:56:11:128,470699,470699,0,0,219367941750,2291136766,465707,4264,728,366,391961,0 86,2,2024-09-07 08:56:10:866,334502,334501,1,0,15992307,0,5004 86,3,2024-09-07 08:56:10:595,1,267,2,0,286,3569,267,0 87,0,2024-09-07 08:56:11:361,69948,0.8,69920,0.8,140425,0.9,187801,2.25 87,1,2024-09-07 08:56:10:559,470510,470510,0,0,219545613378,2292976712,466025,4001,484,366,392012,0 87,2,2024-09-07 08:56:11:069,337555,337555,0,0,14335461,0,4045 87,3,2024-09-07 08:56:11:802,1,267,1,0,473,3920,267,0 88,0,2024-09-07 08:56:11:496,66574,0.5,66962,0.6,133616,0.5,177508,2.00 88,1,2024-09-07 08:56:10:577,469233,469233,0,0,219270955667,2297978578,463042,4677,1514,365,392084,0 88,2,2024-09-07 08:56:10:702,340113,340113,0,0,16389223,0,3583 88,3,2024-09-07 08:56:11:282,1,267,6,0,435,3136,267,0 89,0,2024-09-07 08:56:11:790,68262,0.5,65971,0.7,130991,0.4,177547,1.75 89,1,2024-09-07 08:56:10:634,468620,468620,0,0,219384659838,2310643502,461688,5710,1222,382,391866,0 89,2,2024-09-07 08:56:11:135,338196,338196,0,0,15622885,0,2910 89,3,2024-09-07 08:56:11:806,1,267,2,0,325,4941,267,0 90,0,2024-09-07 08:56:11:631,63147,0.4,64560,0.6,132129,0.4,171729,1.75 90,1,2024-09-07 08:56:10:628,470570,470570,0,0,218880802234,2291303020,466428,3863,279,381,391825,0 90,2,2024-09-07 08:56:11:407,333225,333225,0,0,16810196,0,3060 90,3,2024-09-07 08:56:10:939,1,267,2,0,200,2756,267,0 91,0,2024-09-07 08:56:11:018,68645,0.4,66548,0.5,139052,0.3,182827,1.75 91,1,2024-09-07 08:56:10:569,469273,469273,0,0,218665202946,2296830157,463381,5198,694,381,392047,0 91,2,2024-09-07 08:56:11:341,336113,336113,0,0,15040112,0,2896 91,3,2024-09-07 08:56:10:600,1,267,1,0,216,2442,267,0 92,0,2024-09-07 08:56:11:470,70122,0.5,71851,0.6,137258,0.5,185417,1.75 92,1,2024-09-07 08:56:10:626,470485,470485,0,0,219644614851,2287732583,467918,2161,406,382,392136,0 92,2,2024-09-07 08:56:11:368,343185,343185,0,0,12890208,0,2801 92,3,2024-09-07 08:56:11:015,1,267,0,0,167,2153,267,0 93,0,2024-09-07 08:56:10:965,66501,0.4,68187,0.6,130397,0.3,176921,1.75 93,1,2024-09-07 08:56:10:821,470893,470893,0,0,219780212365,2291945095,466339,3765,789,366,391776,0 93,2,2024-09-07 08:56:10:933,339900,339900,0,0,13770865,0,2797 93,3,2024-09-07 08:56:11:419,1,267,3,0,190,2661,267,0 94,0,2024-09-07 08:56:11:606,64281,0.3,65091,0.5,129819,0.3,172140,1.75 94,1,2024-09-07 08:56:10:568,470429,470429,0,0,219578336113,2291554286,467128,3122,179,381,391850,0 94,2,2024-09-07 08:56:10:776,334119,334119,0,0,12636253,0,2443 94,3,2024-09-07 08:56:11:689,1,267,1,0,264,3342,267,0 95,0,2024-09-07 08:56:11:406,66639,0.3,66388,0.5,133827,0.3,177293,1.50 95,1,2024-09-07 08:56:10:858,471119,471119,0,0,219642049602,2280880815,467534,3208,377,367,391713,0 95,2,2024-09-07 08:56:11:027,335447,335447,0,0,13034331,0,3308 95,3,2024-09-07 08:56:11:713,1,267,13,0,718,4560,267,0 96,0,2024-09-07 08:56:11:044,71230,0.4,71400,0.5,142722,0.3,189519,1.75 96,1,2024-09-07 08:56:11:600,470270,470270,0,0,219200047956,2287315596,466685,2851,734,384,391894,0 96,2,2024-09-07 08:56:11:276,341526,341526,0,0,13801274,0,4042 96,3,2024-09-07 08:56:11:191,1,267,2,0,411,3134,267,0 97,0,2024-09-07 08:56:11:329,65088,0.4,64821,0.5,130174,0.3,173081,1.75 97,1,2024-09-07 08:56:10:774,471875,471875,0,0,219997217185,2279997059,468826,2492,557,367,392140,0 97,2,2024-09-07 08:56:10:635,340267,340267,0,0,12985394,0,3036 97,3,2024-09-07 08:56:10:637,1,267,1,0,214,3042,267,0 98,0,2024-09-07 08:56:11:703,64678,0.3,64690,0.4,129989,0.2,172295,1.50 98,1,2024-09-07 08:56:10:585,471236,471236,0,0,219857931594,2286104471,469083,2052,101,382,391997,0 98,2,2024-09-07 08:56:10:778,335847,335847,0,0,12919433,0,3080 98,3,2024-09-07 08:56:10:698,1,267,3,0,840,4624,267,0 99,0,2024-09-07 08:56:11:462,65657,0.3,65931,0.4,131495,0.2,175459,1.50 99,1,2024-09-07 08:56:11:738,471058,471058,0,0,218820606826,2279578567,467255,3063,740,381,392069,0 99,2,2024-09-07 08:56:11:427,338351,338351,0,0,13955571,0,3106 99,3,2024-09-07 08:56:10:593,1,267,5,0,187,2161,267,0 100,0,2024-09-07 08:56:11:555,70726,0.6,70699,0.8,141268,0.7,188969,2.25 100,1,2024-09-07 08:56:10:579,468244,468244,0,0,218441911941,2306913508,461338,5585,1321,381,391989,0 100,2,2024-09-07 08:56:11:822,338160,338149,11,0,15205144,0,5417 100,3,2024-09-07 08:56:11:749,1,267,2,0,559,4989,267,0 101,0,2024-09-07 08:56:11:748,66787,1.6,64982,1.2,127566,1.8,175316,2.50 101,1,2024-09-07 08:56:10:571,468375,468375,0,0,218264691636,2297504467,461013,5591,1771,368,391769,0 101,2,2024-09-07 08:56:11:767,338451,338451,0,0,16390494,0,4644 101,3,2024-09-07 08:56:10:962,1,267,4,0,448,3312,267,0 102,0,2024-09-07 08:56:10:980,64342,0.7,66616,0.8,134239,0.7,175689,2.00 102,1,2024-09-07 08:56:11:192,469625,469625,0,0,219014572879,2298008571,463963,4821,841,369,391883,0 102,2,2024-09-07 08:56:11:746,339100,339046,54,0,14996212,0,6768 102,3,2024-09-07 08:56:11:627,1,267,2,0,410,2771,267,0 103,0,2024-09-07 08:56:11:605,66965,0.4,66853,0.6,126281,0.4,173557,1.75 103,1,2024-09-07 08:56:11:632,468727,468727,0,0,218517973008,2300124551,462420,4926,1381,381,391829,0 103,2,2024-09-07 08:56:10:588,334157,334157,0,0,14165594,0,2582 103,3,2024-09-07 08:56:10:994,1,267,1,0,916,3600,267,0 104,0,2024-09-07 08:56:11:017,69159,0.7,69552,0.9,137958,0.6,186533,2.25 104,1,2024-09-07 08:56:11:644,470275,470275,0,0,218917697711,2299449658,463594,5586,1095,365,392168,0 104,2,2024-09-07 08:56:11:697,335917,335917,0,0,15939916,0,3941 104,3,2024-09-07 08:56:11:429,1,267,1,0,1245,6591,267,0 105,0,2024-09-07 08:56:11:110,67208,1.1,65242,1.2,136592,1.5,179831,3.25 105,1,2024-09-07 08:56:10:577,470265,470265,0,0,219367335344,2299800687,463943,5343,979,366,391797,0 105,2,2024-09-07 08:56:11:327,340430,340430,0,0,15439364,0,3509 105,3,2024-09-07 08:56:11:312,1,267,7,0,399,4347,267,0 106,0,2024-09-07 08:56:10:985,63947,0.9,65486,1.0,134122,1.0,175090,2.75 106,1,2024-09-07 08:56:11:755,470349,470349,0,0,218588629176,2294995627,463235,6355,759,369,391767,0 106,2,2024-09-07 08:56:10:778,337876,337876,0,0,14939358,0,2795 106,3,2024-09-07 08:56:10:685,1,267,3,0,470,3678,267,0 107,0,2024-09-07 08:56:11:141,65146,0.7,65118,0.8,130304,0.7,173341,2.00 107,1,2024-09-07 08:56:10:587,468800,468800,0,0,218648328848,2297866739,463470,4781,549,381,392234,0 107,2,2024-09-07 08:56:11:294,333623,333622,1,0,15581812,0,5024 107,3,2024-09-07 08:56:11:764,1,267,3,0,353,3505,267,0 108,0,2024-09-07 08:56:11:837,67151,0.4,67466,0.5,134153,0.3,179551,1.75 108,1,2024-09-07 08:56:11:302,470504,470504,0,0,220120638092,2291034566,467282,2836,386,368,391857,0 108,2,2024-09-07 08:56:11:769,335098,335098,0,0,14155301,0,2647 108,3,2024-09-07 08:56:11:341,1,267,1,0,749,5605,267,0 109,0,2024-09-07 08:56:11:830,71132,0.4,70354,0.5,141199,0.3,188337,1.75 109,1,2024-09-07 08:56:10:597,468741,468741,0,0,218483660983,2289264360,464867,3357,517,383,392132,0 109,2,2024-09-07 08:56:10:935,340621,340621,0,0,14630548,0,3617 109,3,2024-09-07 08:56:11:234,1,267,21,0,249,3061,267,0 110,0,2024-09-07 08:56:11:762,65502,0.4,63798,0.6,133577,0.4,175051,1.75 110,1,2024-09-07 08:56:11:649,471933,471933,0,0,219914492982,2281284685,468784,2271,878,369,392045,0 110,2,2024-09-07 08:56:11:306,340544,340544,0,0,13957416,0,3264 110,3,2024-09-07 08:56:10:700,1,267,9,0,406,3460,267,0 111,0,2024-09-07 08:56:11:450,64680,0.3,64248,0.5,128372,0.3,171997,1.75 111,1,2024-09-07 08:56:11:001,471915,471915,0,0,220876265790,2287423772,469922,1657,336,382,391690,0 111,2,2024-09-07 08:56:11:117,334308,334308,0,0,13055418,0,2763 111,3,2024-09-07 08:56:10:913,1,267,0,0,379,3459,267,0 112,0,2024-09-07 08:56:10:913,66457,0.3,66219,0.4,132711,0.2,176998,1.50 112,1,2024-09-07 08:56:10:830,471805,471805,0,0,219329216779,2273023228,469370,2007,428,380,391624,0 112,2,2024-09-07 08:56:11:161,336184,336183,1,0,13406507,0,5036 112,3,2024-09-07 08:56:10:595,1,267,1,0,282,2751,267,0 113,0,2024-09-07 08:56:10:884,70161,0.3,70164,0.5,141132,0.2,188181,1.50 113,1,2024-09-07 08:56:11:701,472712,472712,0,0,220487794080,2274052370,470206,2016,490,366,391661,0 113,2,2024-09-07 08:56:11:303,341589,341589,0,0,12210367,0,3813 113,3,2024-09-07 08:56:10:690,1,267,11,0,340,3765,267,0 114,0,2024-09-07 08:56:10:885,65711,0.4,66223,0.5,131318,0.3,175901,1.75 114,1,2024-09-07 08:56:10:716,470901,470901,0,0,219591042400,2285330935,466090,3222,1589,381,391556,0 114,2,2024-09-07 08:56:10:884,339456,339455,1,0,13214152,0,5069 114,3,2024-09-07 08:56:11:283,1,267,0,0,395,2701,267,0 115,0,2024-09-07 08:56:10:583,65336,0.3,65593,0.4,131254,0.2,174473,1.50 115,1,2024-09-07 08:56:10:609,471022,471022,0,0,220117556231,2288407992,466626,3559,837,382,391639,0 115,2,2024-09-07 08:56:11:134,338396,338396,0,0,11510720,0,2152 115,3,2024-09-07 08:56:11:002,1,267,37,0,159,1442,267,0 116,0,2024-09-07 08:56:11:752,64946,0.6,64880,0.8,129809,0.5,173900,2.00 116,1,2024-09-07 08:56:10:827,468277,468277,0,0,218728517423,2310018424,461870,4352,2055,380,391782,0 116,2,2024-09-07 08:56:11:756,334913,334913,0,0,16432946,0,3529 116,3,2024-09-07 08:56:10:922,1,267,2,0,415,3716,267,0 117,0,2024-09-07 08:56:10:964,70428,0.6,70040,0.8,140288,0.6,188042,2.00 117,1,2024-09-07 08:56:11:598,469884,469884,0,0,219149020255,2290538749,465172,4232,480,370,392033,0 117,2,2024-09-07 08:56:11:149,340180,340180,0,0,13520435,0,3700 117,3,2024-09-07 08:56:11:060,1,267,1,0,490,4049,267,0 118,0,2024-09-07 08:56:11:794,64734,0.6,66458,0.7,135594,0.6,176760,2.00 118,1,2024-09-07 08:56:10:674,469970,469970,0,0,218429206484,2293687296,463581,4954,1435,366,391736,0 118,2,2024-09-07 08:56:11:595,339397,339397,0,0,14748534,0,2781 118,3,2024-09-07 08:56:11:802,1,267,1,0,235,2957,267,0 119,0,2024-09-07 08:56:11:361,65873,0.8,66346,0.8,132836,1.0,176431,2.25 119,1,2024-09-07 08:56:10:559,470800,470800,0,0,219259054424,2287911289,466131,4145,524,367,391641,0 119,2,2024-09-07 08:56:11:270,338951,338951,0,0,14019036,0,4174 119,3,2024-09-07 08:56:11:336,1,267,0,0,443,3573,267,0 120,0,2024-09-07 08:56:11:565,64698,0.5,64485,0.7,129260,0.5,172227,2.00 120,1,2024-09-07 08:56:10:860,470307,470307,0,0,218695140899,2291032648,465604,4351,352,368,391961,0 120,2,2024-09-07 08:56:10:783,334138,334137,1,0,16557157,0,5281 120,3,2024-09-07 08:56:11:298,1,267,2,0,241,3318,267,0 121,0,2024-09-07 08:56:11:802,68511,0.8,68438,0.9,137399,0.9,182893,2.00 121,1,2024-09-07 08:56:11:656,470293,470293,0,0,218558514208,2285279138,465670,4207,416,367,391840,0 121,2,2024-09-07 08:56:11:127,335426,335426,0,0,15817936,0,4127 121,3,2024-09-07 08:56:10:727,1,267,2,0,269,3063,267,0 122,0,2024-09-07 08:56:11:815,69277,0.8,67520,0.9,141611,1.0,185356,2.00 122,1,2024-09-07 08:56:10:860,469562,469562,0,0,219076253023,2296478853,463487,5257,818,366,392130,0 122,2,2024-09-07 08:56:11:323,340612,340539,73,0,18515059,0,5989 122,3,2024-09-07 08:56:10:607,1,267,21,0,411,5096,267,0 123,0,2024-09-07 08:56:11:005,65999,0.9,64508,0.9,134374,1.0,176123,2.25 123,1,2024-09-07 08:56:10:582,469887,469887,0,0,218574548200,2303081677,461209,6962,1716,369,392039,0 123,2,2024-09-07 08:56:11:091,337254,337253,1,0,15336211,0,5215 123,3,2024-09-07 08:56:11:148,1,267,3,0,168,3113,267,0 124,0,2024-09-07 08:56:10:920,66669,0.3,66610,0.5,125779,0.3,172893,1.75 124,1,2024-09-07 08:56:11:047,471629,471629,0,0,219015895232,2273782318,468722,2542,365,367,392178,0 124,2,2024-09-07 08:56:11:011,334775,334775,0,0,12399777,0,3101 124,3,2024-09-07 08:56:10:760,1,267,1,0,490,2906,267,0 125,0,2024-09-07 08:56:11:476,66678,0.3,66449,0.5,133702,0.3,177494,1.75 125,1,2024-09-07 08:56:10:859,470024,470024,0,0,219198197375,2284505121,466920,2708,396,383,391702,0 125,2,2024-09-07 08:56:11:123,336422,336422,0,0,13563560,0,4534 125,3,2024-09-07 08:56:11:131,1,267,6,0,709,3616,267,0 126,0,2024-09-07 08:56:11:468,71241,0.5,73188,0.6,139877,0.5,190535,1.75 126,1,2024-09-07 08:56:10:659,471943,471943,0,0,219570231701,2273815542,469219,2460,264,365,391987,0 126,2,2024-09-07 08:56:10:633,342746,342746,0,0,13981615,0,3186 126,3,2024-09-07 08:56:10:909,1,267,8,0,183,3551,267,0 127,0,2024-09-07 08:56:11:604,64867,0.4,65239,0.5,129944,0.3,173259,1.75 127,1,2024-09-07 08:56:10:573,471542,471542,0,0,219616913212,2274349243,468574,2815,153,365,391816,0 127,2,2024-09-07 08:56:10:699,340178,340178,0,0,12492857,0,2264 127,3,2024-09-07 08:56:11:276,1,267,3,0,968,3053,267,0 128,0,2024-09-07 08:56:11:574,65117,0.3,65072,0.4,130057,0.2,172697,1.50 128,1,2024-09-07 08:56:11:621,470815,470815,0,0,219699215192,2277017761,468625,2032,158,367,391798,0 128,2,2024-09-07 08:56:11:382,336627,336627,0,0,12297521,0,2434 128,3,2024-09-07 08:56:10:776,1,267,1,0,1082,5431,267,0 129,0,2024-09-07 08:56:11:006,66239,0.3,65901,0.4,131907,0.2,175204,1.50 129,1,2024-09-07 08:56:10:570,468999,468999,0,0,218863925516,2291506937,464834,3234,931,379,391835,0 129,2,2024-09-07 08:56:10:694,336664,336664,0,0,13370378,0,4031 129,3,2024-09-07 08:56:10:688,1,267,1,0,469,3573,267,0 130,0,2024-09-07 08:56:11:725,71346,0.5,70855,0.6,142391,0.4,189792,1.75 130,1,2024-09-07 08:56:10:589,471122,471122,0,0,218690576109,2273635473,468676,2367,79,381,391825,0 130,2,2024-09-07 08:56:11:132,340966,340966,0,0,12984057,0,4067 130,3,2024-09-07 08:56:11:301,1,267,1,0,450,3426,267,0 131,0,2024-09-07 08:56:11:926,65321,0.4,65801,0.5,132423,0.3,175073,1.75 131,1,2024-09-07 08:56:11:845,471364,471364,0,0,219511270210,2286999210,468308,2598,458,381,391865,0 131,2,2024-09-07 08:56:10:587,341228,341228,0,0,11897709,0,2415 131,3,2024-09-07 08:56:11:713,1,267,1,0,392,2769,267,0 132,0,2024-09-07 08:56:11:424,65718,0.5,66656,0.6,132679,0.4,176115,2.00 132,1,2024-09-07 08:56:10:584,468920,468920,0,0,218263247647,2298768199,461889,5777,1254,381,392097,0 132,2,2024-09-07 08:56:10:698,338261,338261,0,0,15623149,0,4606 132,3,2024-09-07 08:56:11:719,1,267,19,0,356,3694,267,0 133,0,2024-09-07 08:56:11:540,63420,0.4,64901,0.5,132782,0.3,172284,1.75 133,1,2024-09-07 08:56:10:583,469619,469619,0,0,219065388247,2302529844,464126,4824,669,383,391914,0 133,2,2024-09-07 08:56:11:107,334067,334067,0,0,16775674,0,4315 133,3,2024-09-07 08:56:11:300,1,267,1,0,276,2593,267,0 134,0,2024-09-07 08:56:11:263,69766,0.4,69791,0.6,139611,0.4,186593,2.00 134,1,2024-09-07 08:56:10:623,469029,469029,0,0,218815532172,2295539081,462509,5049,1471,366,391718,0 134,2,2024-09-07 08:56:11:768,336633,336633,0,0,14028324,0,3847 134,3,2024-09-07 08:56:10:754,1,267,11,0,739,3841,267,0 135,0,2024-09-07 08:56:11:121,65685,0.8,65645,0.9,139315,0.9,179557,2.25 135,1,2024-09-07 08:56:11:595,469035,469035,0,0,219507008555,2307043955,462824,5211,1000,380,391805,0 135,2,2024-09-07 08:56:10:687,341167,341167,0,0,15573568,0,3981 135,3,2024-09-07 08:56:11:026,1,267,2,0,299,1875,267,0 136,0,2024-09-07 08:56:11:723,66685,0.6,66570,0.8,132819,0.6,177076,2.25 136,1,2024-09-07 08:56:11:462,469162,469162,0,0,218644450909,2295453442,463621,4955,586,382,391685,0 136,2,2024-09-07 08:56:11:143,339657,339657,0,0,15043250,0,3506 136,3,2024-09-07 08:56:11:111,1,267,6,0,150,2203,267,0 137,0,2024-09-07 08:56:10:922,67156,0.6,65239,0.7,128633,0.5,174554,2.00 137,1,2024-09-07 08:56:10:689,469467,469467,0,0,218674072095,2292299154,462513,5536,1418,366,391708,0 137,2,2024-09-07 08:56:11:706,333636,333636,0,0,16523431,0,3185 137,3,2024-09-07 08:56:10:774,1,267,1,0,382,3332,267,0 138,0,2024-09-07 08:56:11:755,66744,0.7,66744,0.8,134205,0.8,179051,2.00 138,1,2024-09-07 08:56:11:702,469362,469362,0,0,219553840555,2302911445,462385,5844,1133,368,391954,0 138,2,2024-09-07 08:56:10:614,335891,335891,0,0,15250042,0,4988 138,3,2024-09-07 08:56:10:613,1,267,11,0,1160,3912,267,0 139,0,2024-09-07 08:56:11:396,69652,1.6,69944,1.2,139907,2.4,186759,2.50 139,1,2024-09-07 08:56:10:581,467991,467991,0,0,217551854447,2308863553,459444,6430,2117,381,391892,0 139,2,2024-09-07 08:56:10:714,339594,339594,0,0,16221480,0,3097 139,3,2024-09-07 08:56:11:666,1,267,31,0,257,2902,267,0 140,0,2024-09-07 08:56:11:589,65890,0.3,65376,0.5,131488,0.2,175254,1.75 140,1,2024-09-07 08:56:11:554,472812,472812,0,0,220471097653,2270130818,470830,1740,242,365,391606,0 140,2,2024-09-07 08:56:10:687,340334,340334,0,0,12770138,0,3388 140,3,2024-09-07 08:56:10:775,1,267,0,0,247,2213,267,0 141,0,2024-09-07 08:56:11:710,64456,0.3,66263,0.4,126675,0.2,171945,1.50 141,1,2024-09-07 08:56:10:860,472060,472060,0,0,220073665184,2281115977,469488,2164,408,379,391614,0 141,2,2024-09-07 08:56:11:689,334918,334918,0,0,12261959,0,2342 141,3,2024-09-07 08:56:11:051,1,267,0,0,202,2410,267,0 142,0,2024-09-07 08:56:11:315,67083,0.3,66357,0.4,132100,0.2,177412,1.50 142,1,2024-09-07 08:56:10:593,471237,471237,0,0,219084340078,2282415204,468398,2573,266,382,392102,0 142,2,2024-09-07 08:56:11:310,336012,335980,32,0,14154450,0,6028 142,3,2024-09-07 08:56:11:754,1,267,1,0,484,3601,267,0 143,0,2024-09-07 08:56:11:375,70516,0.4,70536,0.6,141571,0.4,188031,1.75 143,1,2024-09-07 08:56:10:590,471342,471342,0,0,219388018408,2273263365,468581,2665,96,367,391651,0 143,2,2024-09-07 08:56:10:773,340639,340639,0,0,13449417,0,2669 143,3,2024-09-07 08:56:11:156,1,267,10,0,303,3429,267,0 144,0,2024-09-07 08:56:11:539,63274,0.6,64920,0.9,132437,0.6,174046,2.00 144,1,2024-09-07 08:56:10:632,468685,468685,0,0,218452255382,2291152661,464622,3136,927,381,391649,0 144,2,2024-09-07 08:56:11:767,340181,340181,0,0,12694450,0,3473 144,3,2024-09-07 08:56:11:753,1,267,1,0,249,3026,267,0 145,0,2024-09-07 08:56:11:363,62966,0.6,62987,0.8,133695,0.5,172376,2.25 145,1,2024-09-07 08:56:10:609,468675,468675,0,0,218932253890,2298732235,463172,4680,823,382,391698,0 145,2,2024-09-07 08:56:11:433,336397,336397,0,0,14813658,0,3903 145,3,2024-09-07 08:56:10:896,1,267,1,0,622,4129,267,0 146,0,2024-09-07 08:56:11:744,65101,0.5,64549,0.7,130064,0.4,173031,2.00 146,1,2024-09-07 08:56:11:596,469494,469494,0,0,218892803727,2303754641,461210,6437,1847,368,391770,0 146,2,2024-09-07 08:56:11:743,334890,334890,0,0,14948782,0,2730 146,3,2024-09-07 08:56:11:276,1,267,3,0,1520,5963,267,0 147,0,2024-09-07 08:56:11:703,70210,0.5,70056,0.7,139497,0.5,187727,2.00 147,1,2024-09-07 08:56:11:375,471757,471757,0,0,219539101892,2282198131,467646,3524,587,368,391791,0 147,2,2024-09-07 08:56:11:020,339582,339582,0,0,12880205,0,2789 147,3,2024-09-07 08:56:10:915,1,267,3,0,730,3953,267,0 0,0,2024-09-07 08:56:21:726,62967,0.4,63057,0.7,133568,0.4,172543,1.75 0,1,2024-09-07 08:56:20:801,472174,472174,0,0,220161114949,2300511972,468919,3049,206,370,391896,0 0,2,2024-09-07 08:56:21:067,335857,335857,0,0,13401804,0,4480 0,3,2024-09-07 08:56:20:973,1,268,1,0,319,3506,268,0 1,0,2024-09-07 08:56:21:782,68996,0.7,68535,0.9,137751,0.8,183947,2.00 1,1,2024-09-07 08:56:20:567,471764,471764,0,0,219131972698,2294255871,467257,3453,1054,371,391857,0 1,2,2024-09-07 08:56:20:639,337189,337189,0,0,12596217,0,3267 1,3,2024-09-07 08:56:21:311,1,268,1,0,262,3061,268,0 2,0,2024-09-07 08:56:21:578,69668,0.7,69897,0.8,138439,0.9,185249,2.00 2,1,2024-09-07 08:56:20:863,472673,472673,0,0,220997509231,2293373066,470564,1891,218,380,391745,0 2,2,2024-09-07 08:56:21:266,342884,342884,0,0,13060534,0,3594 2,3,2024-09-07 08:56:20:695,1,268,4,0,357,2552,268,0 3,0,2024-09-07 08:56:21:744,66326,0.5,66357,0.6,132498,0.4,176321,2.00 3,1,2024-09-07 08:56:21:618,471997,471997,0,0,220195048487,2291448314,468155,3380,462,380,391556,0 3,2,2024-09-07 08:56:21:142,340820,340797,23,0,13314495,0,5851 3,3,2024-09-07 08:56:21:753,1,268,1,0,103,1561,268,0 4,0,2024-09-07 08:56:21:762,62926,0.3,64782,0.5,131733,0.3,172919,1.75 4,1,2024-09-07 08:56:20:592,471820,471820,0,0,219384418601,2301721485,467064,3961,795,371,391846,0 4,2,2024-09-07 08:56:21:023,334382,334382,0,0,15403740,0,4528 4,3,2024-09-07 08:56:21:029,1,268,1,0,448,3989,268,0 5,0,2024-09-07 08:56:21:379,66855,0.4,67146,0.5,133329,0.3,177790,1.75 5,1,2024-09-07 08:56:20:758,471702,471702,0,0,219440599926,2304536077,466195,4395,1112,368,392005,0 5,2,2024-09-07 08:56:21:829,336031,336031,0,0,14138548,0,2432 5,3,2024-09-07 08:56:21:742,1,268,261,0,457,4181,268,0 6,0,2024-09-07 08:56:20:917,72029,0.5,71542,0.7,142920,0.5,191751,2.00 6,1,2024-09-07 08:56:20:750,471927,471927,0,0,219852748643,2294532600,467251,3832,844,379,391694,0 6,2,2024-09-07 08:56:21:117,342585,342585,0,0,14117297,0,4816 6,3,2024-09-07 08:56:21:274,1,268,1,0,340,3063,268,0 7,0,2024-09-07 08:56:21:539,64927,0.5,65213,0.7,129913,0.5,173069,2.00 7,1,2024-09-07 08:56:20:855,471602,471602,0,0,219832952616,2303643545,465655,4943,1004,382,391747,0 7,2,2024-09-07 08:56:20:774,340342,340342,0,0,13918270,0,4791 7,3,2024-09-07 08:56:20:855,1,268,10,0,398,3175,268,0 8,0,2024-09-07 08:56:21:376,65006,0.4,64902,0.5,129469,0.3,172707,1.50 8,1,2024-09-07 08:56:21:016,470573,470573,0,0,219981131178,2316769822,462273,6281,2019,366,391956,0 8,2,2024-09-07 08:56:20:800,332826,332826,0,0,16646896,0,3220 8,3,2024-09-07 08:56:20:592,1,268,2,0,357,3902,268,0 9,0,2024-09-07 08:56:21:115,66122,0.3,64128,0.5,133975,0.3,175770,1.50 9,1,2024-09-07 08:56:20:550,470523,470523,0,0,219371821614,2309186696,464026,4955,1542,370,392001,0 9,2,2024-09-07 08:56:21:086,337038,337038,0,0,14730533,0,3360 9,3,2024-09-07 08:56:21:751,1,268,1,0,496,3904,268,0 10,0,2024-09-07 08:56:21:605,71470,0.3,70921,0.5,142458,0.2,190208,1.75 10,1,2024-09-07 08:56:20:587,471352,471352,0,0,219336235497,2296828349,464990,5434,928,381,391741,0 10,2,2024-09-07 08:56:20:762,341208,341208,0,0,16424723,0,4264 10,3,2024-09-07 08:56:20:872,1,268,1,0,177,2113,268,0 11,0,2024-09-07 08:56:21:014,65242,0.5,63412,0.7,132496,0.5,175417,1.75 11,1,2024-09-07 08:56:20:595,471958,471958,0,0,219730984230,2308702364,463739,6238,1981,383,391573,0 11,2,2024-09-07 08:56:21:125,340301,340301,0,0,15282591,0,4130 11,3,2024-09-07 08:56:21:298,1,268,1,0,843,4267,268,0 12,0,2024-09-07 08:56:20:966,66636,0.3,66434,0.5,132928,0.3,176376,1.75 12,1,2024-09-07 08:56:20:933,471617,471617,0,0,219721603719,2288487573,468087,3108,422,370,391870,0 12,2,2024-09-07 08:56:21:543,339517,339517,0,0,14379246,0,3469 12,3,2024-09-07 08:56:21:063,1,268,13,0,386,4415,268,0 13,0,2024-09-07 08:56:21:339,65434,0.3,65214,0.5,130414,0.3,173204,1.50 13,1,2024-09-07 08:56:21:543,472060,472060,0,0,219420588848,2299806889,468500,3018,542,382,391740,0 13,2,2024-09-07 08:56:20:604,337325,337325,0,0,13414524,0,3287 13,3,2024-09-07 08:56:21:762,1,268,1,0,467,3980,268,0 14,0,2024-09-07 08:56:20:568,70269,0.3,70997,0.5,140423,0.3,187680,1.75 14,1,2024-09-07 08:56:21:569,474874,474874,0,0,220724908367,2281889120,472040,2644,190,364,391571,0 14,2,2024-09-07 08:56:20:767,338371,338371,0,0,13491054,0,2896 14,3,2024-09-07 08:56:21:116,1,268,1,0,1168,3589,268,0 15,0,2024-09-07 08:56:21:557,67949,0.5,68148,0.7,135904,0.5,180682,2.00 15,1,2024-09-07 08:56:21:608,472877,472877,0,0,219811076438,2283268680,470353,2346,178,381,391619,0 15,2,2024-09-07 08:56:21:000,342805,342805,0,0,11594127,0,3043 15,3,2024-09-07 08:56:21:406,1,268,2,0,1126,5389,268,0 16,0,2024-09-07 08:56:20:957,66500,0.7,66747,0.8,132910,0.7,176929,2.25 16,1,2024-09-07 08:56:20:596,472995,472995,0,0,220139542640,2297881189,469427,3268,300,370,391756,0 16,2,2024-09-07 08:56:21:442,340203,340203,0,0,14218540,0,4719 16,3,2024-09-07 08:56:21:142,1,268,1,0,317,3607,268,0 17,0,2024-09-07 08:56:21:781,67435,0.5,65823,0.7,128785,0.4,174843,1.75 17,1,2024-09-07 08:56:20:585,471505,471505,0,0,219057925620,2298045412,466637,3762,1106,368,391899,0 17,2,2024-09-07 08:56:21:668,338297,338297,0,0,13256791,0,2857 17,3,2024-09-07 08:56:20:590,1,268,1,0,268,4251,268,0 18,0,2024-09-07 08:56:20:944,66986,0.6,67187,0.8,134105,0.6,179724,2.25 18,1,2024-09-07 08:56:21:638,472782,472782,0,0,220065419865,2279036746,470268,2272,242,367,391649,0 18,2,2024-09-07 08:56:21:764,338730,338730,0,0,13101992,0,3541 18,3,2024-09-07 08:56:20:903,1,268,1,0,163,2573,268,0 19,0,2024-09-07 08:56:21:545,70185,0.6,70843,0.8,140440,0.6,186620,2.25 19,1,2024-09-07 08:56:20:568,472870,472870,0,0,221060102298,2294865662,468208,3875,787,367,391777,0 19,2,2024-09-07 08:56:21:752,344891,344891,0,0,12158352,0,3988 19,3,2024-09-07 08:56:21:136,1,268,56,0,524,2022,268,0 20,0,2024-09-07 08:56:21:362,65609,0.6,65489,0.8,131470,0.7,175522,2.25 20,1,2024-09-07 08:56:20:592,471748,471748,0,0,220291593678,2301468849,467921,3428,399,369,391886,0 20,2,2024-09-07 08:56:20:942,341276,341276,0,0,13660196,0,3721 20,3,2024-09-07 08:56:20:593,1,268,14,0,414,4691,268,0 21,0,2024-09-07 08:56:21:166,64734,0.5,64872,0.6,129476,0.4,171754,2.00 21,1,2024-09-07 08:56:21:568,470550,470550,0,0,219385995367,2306116663,464823,4468,1259,368,391962,0 21,2,2024-09-07 08:56:21:352,334471,334471,0,0,15418050,0,3747 21,3,2024-09-07 08:56:21:404,1,268,1,0,103,2689,268,0 22,0,2024-09-07 08:56:21:722,66535,0.5,66613,0.7,133099,0.5,177459,2.00 22,1,2024-09-07 08:56:21:030,471131,471131,0,0,219072936461,2303338631,463899,5590,1642,382,391667,0 22,2,2024-09-07 08:56:20:760,336943,336943,0,0,13501143,0,3134 22,3,2024-09-07 08:56:21:066,1,268,21,0,228,2380,268,0 23,0,2024-09-07 08:56:21:369,70423,0.5,70220,0.7,141059,0.5,187863,2.25 23,1,2024-09-07 08:56:21:003,472302,472302,0,0,219905561465,2305528531,464990,4761,2551,365,391690,0 23,2,2024-09-07 08:56:21:097,342747,342747,0,0,13135684,0,3010 23,3,2024-09-07 08:56:21:754,1,268,2,0,645,3323,268,0 24,0,2024-09-07 08:56:20:934,66121,0.4,65979,0.6,132519,0.4,176131,1.75 24,1,2024-09-07 08:56:20:599,471332,471332,0,0,219388211868,2299348659,465714,4136,1482,368,391987,0 24,2,2024-09-07 08:56:21:076,339221,339221,0,0,16194897,0,3607 24,3,2024-09-07 08:56:21:708,1,268,8,0,468,3853,268,0 25,0,2024-09-07 08:56:21:353,67045,0.5,65312,0.6,128335,0.4,175007,2.00 25,1,2024-09-07 08:56:20:583,472347,472347,0,0,220186536572,2301923294,467991,3801,555,371,391788,0 25,2,2024-09-07 08:56:21:608,336775,336775,0,0,16263281,0,3978 25,3,2024-09-07 08:56:21:000,1,268,1,0,255,2840,268,0 26,0,2024-09-07 08:56:21:721,65248,0.4,63706,0.5,133666,0.3,174300,1.75 26,1,2024-09-07 08:56:21:542,471867,471867,0,0,219895294844,2304027402,464875,5776,1216,381,391748,0 26,2,2024-09-07 08:56:20:869,336874,336874,0,0,15873321,0,2809 26,3,2024-09-07 08:56:21:711,1,268,1,0,796,3419,268,0 27,0,2024-09-07 08:56:21:730,71041,0.4,71116,0.6,140749,0.3,188676,2.00 27,1,2024-09-07 08:56:21:677,473680,473680,0,0,220897248335,2295892019,470224,2863,593,381,391558,0 27,2,2024-09-07 08:56:20:873,338300,338235,65,0,16057997,0,5699 27,3,2024-09-07 08:56:21:014,1,268,3,0,564,2800,268,0 28,0,2024-09-07 08:56:21:388,66826,0.5,66610,0.6,133789,0.4,178084,2.00 28,1,2024-09-07 08:56:20:801,473121,473121,0,0,220948536225,2297806541,470240,2410,471,383,391646,0 28,2,2024-09-07 08:56:21:764,341364,341364,0,0,12946678,0,2915 28,3,2024-09-07 08:56:21:780,1,268,0,0,502,2773,268,0 29,0,2024-09-07 08:56:21:358,68212,0.4,66676,0.6,130421,0.3,177874,1.75 29,1,2024-09-07 08:56:21:562,474108,474108,0,0,221087206783,2287287706,470876,2666,566,369,391621,0 29,2,2024-09-07 08:56:20:863,339244,339244,0,0,12314824,0,4018 29,3,2024-09-07 08:56:20:963,1,268,1,0,115,1903,268,0 30,0,2024-09-07 08:56:21:457,64863,0.5,62894,0.7,131553,0.4,171937,2.00 30,1,2024-09-07 08:56:20:591,473922,473922,0,0,221309886680,2291153908,471591,2038,293,382,391672,0 30,2,2024-09-07 08:56:21:275,336439,336439,0,0,12008068,0,3161 30,3,2024-09-07 08:56:20:581,1,268,1,0,519,2517,268,0 31,0,2024-09-07 08:56:21:761,68594,0.4,68921,0.5,137938,0.3,183976,1.75 31,1,2024-09-07 08:56:20:582,475455,475455,0,0,221505166412,2267752607,474060,1175,220,356,391712,0 31,2,2024-09-07 08:56:21:275,337598,337598,0,0,13807576,0,3525 31,3,2024-09-07 08:56:21:707,1,268,1,0,220,1986,268,0 32,0,2024-09-07 08:56:21:418,69489,0.4,69893,0.5,139737,0.3,185639,1.75 32,1,2024-09-07 08:56:21:015,473505,473505,0,0,220678089185,2287277713,471544,1682,279,381,391622,0 32,2,2024-09-07 08:56:20:941,342801,342801,0,0,12034101,0,3155 32,3,2024-09-07 08:56:21:024,1,268,4,0,227,2067,268,0 33,0,2024-09-07 08:56:21:494,66728,0.3,66195,0.5,132970,0.2,177272,1.75 33,1,2024-09-07 08:56:20:582,474094,474094,0,0,221775017018,2289855111,471457,2463,174,369,391730,0 33,2,2024-09-07 08:56:20:760,341851,341818,33,0,13629561,0,7012 33,3,2024-09-07 08:56:20:897,1,268,1,0,329,3119,268,0 34,0,2024-09-07 08:56:20:933,64906,0.3,66921,0.4,128240,0.2,172360,1.50 34,1,2024-09-07 08:56:21:044,474807,474807,0,0,221824609499,2279920994,473682,1118,7,367,391562,0 34,2,2024-09-07 08:56:20:766,335641,335641,0,0,12812918,0,3577 34,3,2024-09-07 08:56:21:691,1,268,0,0,299,2136,268,0 35,0,2024-09-07 08:56:20:880,66235,0.3,66648,0.5,133985,0.2,178282,1.75 35,1,2024-09-07 08:56:21:069,473609,473609,0,0,220443650393,2285425715,470247,2582,780,384,391589,0 35,2,2024-09-07 08:56:21:583,337840,337840,0,0,12743733,0,2653 35,3,2024-09-07 08:56:20:909,1,268,11,0,418,3374,268,0 36,0,2024-09-07 08:56:21:535,71836,0.5,71714,0.7,143672,0.5,191391,2.25 36,1,2024-09-07 08:56:20:589,472726,472726,0,0,219382282484,2291914846,466744,4403,1579,366,391759,0 36,2,2024-09-07 08:56:21:753,342749,342749,0,0,14476407,0,3875 36,3,2024-09-07 08:56:20:869,1,268,1,0,416,4072,268,0 37,0,2024-09-07 08:56:21:427,64769,0.5,64801,0.7,129869,0.5,174031,2.00 37,1,2024-09-07 08:56:20:576,471825,471818,0,7,219546373413,2297339511,465806,3943,2069,365,391560,0 37,2,2024-09-07 08:56:21:158,339874,339859,15,0,14713133,0,5815 37,3,2024-09-07 08:56:21:766,1,268,1,0,888,4596,268,0 38,0,2024-09-07 08:56:21:436,64302,0.5,62457,0.7,130796,0.4,170776,2.00 38,1,2024-09-07 08:56:21:606,472794,472794,0,0,220900802647,2302325173,467521,4597,676,368,391821,0 38,2,2024-09-07 08:56:20:760,335726,335679,47,0,14978551,0,6710 38,3,2024-09-07 08:56:21:000,1,268,4,0,689,3623,268,0 39,0,2024-09-07 08:56:21:759,67501,0.5,66195,0.7,128649,0.5,176008,2.00 39,1,2024-09-07 08:56:20:716,472527,472527,0,0,219666153863,2290433068,466963,4662,902,365,391594,0 39,2,2024-09-07 08:56:21:417,336928,336928,0,0,13154891,0,2689 39,3,2024-09-07 08:56:20:721,1,268,1,0,324,3453,268,0 40,0,2024-09-07 08:56:21:534,70543,0.6,71180,0.8,142259,0.6,189791,2.75 40,1,2024-09-07 08:56:20:586,472364,472364,0,0,219625969011,2299244880,465224,5697,1443,368,391651,0 40,2,2024-09-07 08:56:21:312,341043,341042,1,0,16395962,0,5137 40,3,2024-09-07 08:56:21:146,1,268,10,0,398,3223,268,0 41,0,2024-09-07 08:56:21:025,65077,1.9,66549,1.4,126942,3.0,173550,3.50 41,1,2024-09-07 08:56:20:775,472138,472138,0,0,219849218991,2296184528,466593,4910,635,370,391742,0 41,2,2024-09-07 08:56:20:761,339265,339265,0,0,15591389,0,3356 41,3,2024-09-07 08:56:21:691,1,268,1,0,366,3042,268,0 42,0,2024-09-07 08:56:21:489,65335,0.8,65524,1.0,131137,0.8,172939,2.50 42,1,2024-09-07 08:56:21:439,471195,471195,0,0,219762782584,2307095025,463910,5833,1452,380,391675,0 42,2,2024-09-07 08:56:21:154,339418,339418,0,0,14907457,0,3568 42,3,2024-09-07 08:56:21:013,1,268,2,0,446,2379,268,0 43,0,2024-09-07 08:56:20:917,64655,0.6,63059,0.9,131771,0.6,172964,2.00 43,1,2024-09-07 08:56:20:582,472292,472292,0,0,220755207616,2303742597,466025,4938,1329,366,391696,0 43,2,2024-09-07 08:56:21:736,336817,336817,0,0,14242436,0,3812 43,3,2024-09-07 08:56:21:749,1,268,1,0,467,3151,268,0 44,0,2024-09-07 08:56:20:870,70129,0.4,70609,0.6,140613,0.4,187931,1.75 44,1,2024-09-07 08:56:20:568,474127,474127,0,0,220088748915,2269128485,471566,2129,432,356,391809,0 44,2,2024-09-07 08:56:21:268,337975,337975,0,0,12236482,0,2231 44,3,2024-09-07 08:56:21:095,1,268,1,0,817,3054,268,0 45,0,2024-09-07 08:56:21:773,66934,0.6,65484,0.8,137389,0.6,180450,2.00 45,1,2024-09-07 08:56:21:004,473251,473251,0,0,220426367558,2282321734,471596,1643,12,382,391917,0 45,2,2024-09-07 08:56:21:271,342475,342475,0,0,12962524,0,3596 45,3,2024-09-07 08:56:20:939,1,268,0,0,271,2902,268,0 46,0,2024-09-07 08:56:20:964,66057,0.5,65930,0.7,132024,0.5,174849,2.00 46,1,2024-09-07 08:56:20:579,474990,474990,0,0,221177654335,2280879676,472642,2074,274,366,391539,0 46,2,2024-09-07 08:56:20:592,341321,341321,0,0,12425507,0,2920 46,3,2024-09-07 08:56:21:132,1,268,0,0,908,3877,268,0 47,0,2024-09-07 08:56:21:112,65772,0.4,65616,0.6,131928,0.3,174445,1.75 47,1,2024-09-07 08:56:20:574,474181,474181,0,0,220069103163,2269731341,471852,2195,134,366,391641,0 47,2,2024-09-07 08:56:20:909,337891,337891,0,0,12326902,0,2558 47,3,2024-09-07 08:56:21:117,1,268,3,0,529,2364,268,0 48,0,2024-09-07 08:56:21:547,68012,0.3,67639,0.4,134868,0.2,180721,1.50 48,1,2024-09-07 08:56:21:028,473199,473199,0,0,220742091823,2291192293,470083,2816,300,384,391710,0 48,2,2024-09-07 08:56:20:710,337344,337344,0,0,12065156,0,3031 48,3,2024-09-07 08:56:20:759,1,268,1,0,339,2273,268,0 49,0,2024-09-07 08:56:21:721,72603,0.4,71339,0.5,138398,0.3,188951,1.75 49,1,2024-09-07 08:56:21:031,472315,472315,0,0,219848904903,2290323130,468443,2691,1181,382,391809,0 49,2,2024-09-07 08:56:21:801,344111,344111,0,0,12940529,0,3900 49,3,2024-09-07 08:56:21:416,1,268,1,0,408,2861,268,0 50,0,2024-09-07 08:56:21:513,65827,0.3,65217,0.5,131243,0.2,175243,1.75 50,1,2024-09-07 08:56:21:010,473931,473931,0,0,221163271257,2286209896,470971,2650,310,368,391530,0 50,2,2024-09-07 08:56:21:067,341546,341546,0,0,11873837,0,2263 50,3,2024-09-07 08:56:21:291,1,268,1,0,335,2157,268,0 51,0,2024-09-07 08:56:21:692,66250,0.3,64810,0.4,126315,0.2,172173,1.75 51,1,2024-09-07 08:56:21:684,474479,474479,0,0,221383367116,2283812551,471675,1864,940,365,391706,0 51,2,2024-09-07 08:56:21:317,335900,335900,0,0,11157206,0,3337 51,3,2024-09-07 08:56:21:027,1,268,1,0,678,2090,268,0 52,0,2024-09-07 08:56:21:423,67236,0.4,66748,0.6,133644,0.4,178056,2.00 52,1,2024-09-07 08:56:20:583,472100,472100,0,0,219139397625,2294866611,464938,5908,1254,368,391722,0 52,2,2024-09-07 08:56:21:754,335277,335239,38,0,15272079,0,6742 52,3,2024-09-07 08:56:20:683,1,268,2,0,1782,4587,268,0 53,0,2024-09-07 08:56:21:733,70284,0.6,68276,0.7,142954,0.6,187282,2.25 53,1,2024-09-07 08:56:20:776,471317,471317,0,0,219490277044,2302049241,463970,4991,2356,367,391702,0 53,2,2024-09-07 08:56:21:299,342516,342516,0,0,12810065,0,2727 53,3,2024-09-07 08:56:20:709,1,268,1,0,271,2346,268,0 54,0,2024-09-07 08:56:21:617,64682,0.7,65261,0.8,129205,0.5,173366,2.50 54,1,2024-09-07 08:56:20:587,472622,472622,0,0,220551106908,2291318193,467886,4139,597,367,391659,0 54,2,2024-09-07 08:56:20:873,340930,340924,6,0,14191803,0,5382 54,3,2024-09-07 08:56:20:764,1,268,4,0,676,3935,268,0 55,0,2024-09-07 08:56:21:764,63098,0.6,65338,0.8,131496,0.5,171408,2.50 55,1,2024-09-07 08:56:20:766,472242,472242,0,0,220078539895,2289425619,466691,4764,787,365,391731,0 55,2,2024-09-07 08:56:20:731,337231,337231,0,0,14241869,0,3275 55,3,2024-09-07 08:56:20:683,1,268,1,0,304,2906,268,0 56,0,2024-09-07 08:56:21:622,66971,0.9,63211,1.0,129998,1.1,174328,2.25 56,1,2024-09-07 08:56:20:587,470615,470615,0,0,219820718803,2321079156,463369,5808,1438,381,391729,0 56,2,2024-09-07 08:56:21:311,336871,336871,0,0,15427953,0,3567 56,3,2024-09-07 08:56:21:063,1,268,7,0,705,3840,268,0 57,0,2024-09-07 08:56:20:967,69991,1.3,69934,1.1,139795,1.8,187577,2.75 57,1,2024-09-07 08:56:21:039,471937,471937,0,0,219671029179,2298715322,466607,4658,672,367,392032,0 57,2,2024-09-07 08:56:21:330,340171,340171,0,0,16694146,0,3317 57,3,2024-09-07 08:56:21:738,1,268,11,0,359,3471,268,0 58,0,2024-09-07 08:56:20:564,65481,1.1,63648,1.1,133279,1.5,174624,2.50 58,1,2024-09-07 08:56:20:583,472972,472969,0,3,220136145691,2297436096,467233,5025,711,367,391603,3 58,2,2024-09-07 08:56:21:075,341329,341329,0,0,14869905,0,2549 58,3,2024-09-07 08:56:21:068,1,268,1,0,1043,2932,268,0 59,0,2024-09-07 08:56:21:752,66088,0.8,65889,1.0,131421,0.8,174517,2.75 59,1,2024-09-07 08:56:20:804,472236,472236,0,0,220016465281,2299098952,466446,4525,1265,369,391525,0 59,2,2024-09-07 08:56:20:590,341207,341207,0,0,13592717,0,2604 59,3,2024-09-07 08:56:21:736,1,268,1,0,1015,3600,268,0 60,0,2024-09-07 08:56:21:756,64914,0.4,64813,0.6,130700,0.3,172456,1.75 60,1,2024-09-07 08:56:20:794,473874,473874,0,0,221398760843,2293127174,471420,1937,517,370,392031,0 60,2,2024-09-07 08:56:21:143,336437,336437,0,0,14647450,0,3811 60,3,2024-09-07 08:56:21:267,1,268,1,0,124,2562,268,0 61,0,2024-09-07 08:56:21:541,68824,0.6,69166,0.7,137684,0.5,183843,2.00 61,1,2024-09-07 08:56:20:794,472314,472314,0,0,219986342054,2299179704,467733,3878,703,382,391880,0 61,2,2024-09-07 08:56:21:121,338389,338389,0,0,13147101,0,2780 61,3,2024-09-07 08:56:21:715,1,268,28,0,397,3574,268,0 62,0,2024-09-07 08:56:21:734,70099,0.6,71479,0.7,136408,0.6,185324,2.00 62,1,2024-09-07 08:56:21:121,474957,474951,0,6,221703033669,2284570255,472484,2349,118,365,391715,6 62,2,2024-09-07 08:56:21:649,341560,341559,1,0,14484822,0,5555 62,3,2024-09-07 08:56:21:143,1,268,1,0,287,1848,268,0 63,0,2024-09-07 08:56:21:462,66359,0.5,66546,0.6,133081,0.4,176849,1.75 63,1,2024-09-07 08:56:20:803,474098,474092,0,6,220781859124,2284456895,472515,1527,50,381,391677,6 63,2,2024-09-07 08:56:20:765,341212,341212,0,0,12143074,0,2674 63,3,2024-09-07 08:56:21:731,1,268,1,0,667,2951,268,0 64,0,2024-09-07 08:56:21:532,64633,0.4,64534,0.6,129139,0.4,172224,1.75 64,1,2024-09-07 08:56:20:766,472546,472546,0,0,220934058786,2301868825,468050,3080,1416,370,391783,0 64,2,2024-09-07 08:56:21:160,338342,338323,19,0,12916656,0,6121 64,3,2024-09-07 08:56:21:157,1,268,1,0,265,2588,268,0 65,0,2024-09-07 08:56:21:770,66231,0.6,66681,0.7,133026,0.6,177150,2.00 65,1,2024-09-07 08:56:20:887,471823,471823,0,0,219332042952,2292730674,468229,3149,445,382,391901,0 65,2,2024-09-07 08:56:21:729,337649,337649,0,0,14052212,0,3367 65,3,2024-09-07 08:56:21:694,1,268,0,0,163,2562,268,0 66,0,2024-09-07 08:56:21:780,71404,0.5,71145,0.7,143003,0.4,190462,2.00 66,1,2024-09-07 08:56:21:298,473613,473613,0,0,220732671911,2291983962,471037,2375,201,380,391653,0 66,2,2024-09-07 08:56:21:138,344764,344764,0,0,13562846,0,4956 66,3,2024-09-07 08:56:21:079,1,268,0,0,291,2779,268,0 67,0,2024-09-07 08:56:21:448,65587,0.6,64904,0.8,130860,0.6,174199,2.00 67,1,2024-09-07 08:56:20:767,472606,472605,0,1,220846733731,2300789854,468755,3101,749,380,391787,1 67,2,2024-09-07 08:56:20:587,341795,341795,0,0,12471507,0,2889 67,3,2024-09-07 08:56:21:749,1,268,1,0,338,2412,268,0 68,0,2024-09-07 08:56:20:570,65036,0.6,64808,0.7,129164,0.5,172627,2.00 68,1,2024-09-07 08:56:20:580,472024,472024,0,0,219968338526,2303038690,467839,3015,1170,381,391953,0 68,2,2024-09-07 08:56:21:054,335350,335285,65,0,16078280,0,6698 68,3,2024-09-07 08:56:20:740,1,268,8,0,417,3040,268,0 69,0,2024-09-07 08:56:21:740,65630,0.6,65916,0.8,131542,0.6,175026,2.00 69,1,2024-09-07 08:56:21:031,470647,470647,0,0,219565155177,2312957646,464943,4302,1402,384,391994,0 69,2,2024-09-07 08:56:21:733,337123,337123,0,0,16025479,0,3722 69,3,2024-09-07 08:56:20:765,1,268,7,0,698,3746,268,0 70,0,2024-09-07 08:56:21:544,70914,0.6,70770,0.9,142217,0.5,189205,2.25 70,1,2024-09-07 08:56:20:801,473568,473568,0,0,221206648433,2294380382,469901,3139,528,366,391725,0 70,2,2024-09-07 08:56:21:339,341193,341193,0,0,14620261,0,4044 70,3,2024-09-07 08:56:20:745,1,268,1,0,854,3084,268,0 71,0,2024-09-07 08:56:21:414,65013,1.1,64913,1.1,130197,1.5,174285,2.75 71,1,2024-09-07 08:56:21:615,472274,472274,0,0,220313381817,2295361575,467117,4536,621,368,391738,0 71,2,2024-09-07 08:56:21:067,340739,340739,0,0,14967885,0,4042 71,3,2024-09-07 08:56:21:758,1,268,0,0,644,3720,268,0 72,0,2024-09-07 08:56:21:148,68233,0.7,66795,0.8,130105,0.8,176781,2.25 72,1,2024-09-07 08:56:21:053,472759,472759,0,0,220615852869,2300359640,467693,4134,932,369,391819,0 72,2,2024-09-07 08:56:21:761,338129,338129,0,0,16608635,0,3983 72,3,2024-09-07 08:56:21:760,1,268,8,0,564,4616,268,0 73,0,2024-09-07 08:56:21:149,63429,0.4,64969,0.6,133151,0.3,172597,2.00 73,1,2024-09-07 08:56:20:804,472976,472976,0,0,220309719909,2287001372,469879,2860,237,367,391750,0 73,2,2024-09-07 08:56:21:739,336833,336833,0,0,15907878,0,3701 73,3,2024-09-07 08:56:20:974,1,268,5,0,274,3769,268,0 74,0,2024-09-07 08:56:21:322,70710,0.4,72356,0.6,137912,0.4,187789,2.00 74,1,2024-09-07 08:56:20:641,472274,472274,0,0,219832487169,2292657469,467869,3606,799,381,391681,0 74,2,2024-09-07 08:56:21:002,338267,338267,0,0,15259921,0,4253 74,3,2024-09-07 08:56:21:441,1,268,1,0,522,3415,268,0 75,0,2024-09-07 08:56:21:790,68165,0.5,67807,0.8,135807,0.5,181500,2.25 75,1,2024-09-07 08:56:21:601,471508,471508,0,0,219825411425,2295399143,466572,4272,664,380,391660,0 75,2,2024-09-07 08:56:21:356,341044,341044,0,0,15773266,0,4766 75,3,2024-09-07 08:56:21:067,1,268,1,0,702,3771,268,0 76,0,2024-09-07 08:56:20:628,66067,0.7,65460,0.8,131415,0.7,175850,2.50 76,1,2024-09-07 08:56:20:811,472303,472303,0,0,220549596034,2298073233,469194,2558,551,382,391692,0 76,2,2024-09-07 08:56:21:072,341915,341915,0,0,13087762,0,3784 76,3,2024-09-07 08:56:21:146,1,268,0,0,175,2685,268,0 77,0,2024-09-07 08:56:21:739,65392,0.5,65692,0.7,131206,0.4,174088,1.75 77,1,2024-09-07 08:56:20:848,472787,472787,0,0,220356777991,2298363329,469332,3198,257,381,391869,0 77,2,2024-09-07 08:56:21:282,335614,335614,0,0,13621590,0,3890 77,3,2024-09-07 08:56:21:097,1,268,1,0,382,2930,268,0 78,0,2024-09-07 08:56:21:755,67911,0.4,67384,0.6,135491,0.3,179990,2.00 78,1,2024-09-07 08:56:20:610,472981,472981,0,0,219641840913,2277549580,469983,2679,319,367,391670,0 78,2,2024-09-07 08:56:21:407,337490,337490,0,0,12200963,0,3855 78,3,2024-09-07 08:56:21:138,1,268,0,0,181,2489,268,0 79,0,2024-09-07 08:56:21:345,68549,0.4,70356,0.6,143629,0.4,186547,2.25 79,1,2024-09-07 08:56:20:579,474355,474355,0,0,220590514601,2280089223,471509,2509,337,369,391682,0 79,2,2024-09-07 08:56:21:068,344341,344341,0,0,12468650,0,3212 79,3,2024-09-07 08:56:20:759,1,268,8,0,418,3797,268,0 80,0,2024-09-07 08:56:21:084,65710,0.6,67611,0.7,129402,0.6,175061,2.00 80,1,2024-09-07 08:56:21:625,472355,472355,0,0,220232783144,2290030372,469379,2806,170,368,391791,0 80,2,2024-09-07 08:56:21:096,341831,341831,0,0,12840820,0,4433 80,3,2024-09-07 08:56:20:581,1,268,1,0,190,3594,268,0 81,0,2024-09-07 08:56:21:572,64475,0.4,66221,0.6,126549,0.4,171273,1.75 81,1,2024-09-07 08:56:21:664,471465,471465,0,0,219103173286,2288575592,467593,3409,463,382,391879,0 81,2,2024-09-07 08:56:21:125,335804,335804,0,0,13079097,0,3993 81,3,2024-09-07 08:56:21:121,1,268,10,0,374,3105,268,0 82,0,2024-09-07 08:56:21:553,66387,0.4,66834,0.6,133809,0.3,178230,1.75 82,1,2024-09-07 08:56:20:590,473125,473121,0,4,220223494069,2291789395,469895,2615,611,381,391768,4 82,2,2024-09-07 08:56:21:699,338607,338607,0,0,12424982,0,3986 82,3,2024-09-07 08:56:21:753,1,268,1,0,363,2959,268,0 83,0,2024-09-07 08:56:21:524,70854,0.5,70835,0.7,140846,0.5,187924,2.00 83,1,2024-09-07 08:56:20:559,471906,471906,0,0,220499029563,2297442496,468592,3068,246,382,391709,0 83,2,2024-09-07 08:56:20:770,342158,342158,0,0,12545674,0,3119 83,3,2024-09-07 08:56:20:750,1,268,9,0,250,3009,268,0 84,0,2024-09-07 08:56:21:804,65309,0.8,65073,0.9,130389,0.7,174794,2.25 84,1,2024-09-07 08:56:21:040,471671,471671,0,0,219751070144,2294640564,466911,4177,583,367,391967,0 84,2,2024-09-07 08:56:20:583,340700,340700,0,0,14478740,0,3801 84,3,2024-09-07 08:56:21:142,1,268,22,0,908,4023,268,0 85,0,2024-09-07 08:56:21:007,63175,0.7,63065,0.8,133815,0.7,172868,2.25 85,1,2024-09-07 08:56:20:570,471256,471256,0,0,219407300986,2314609047,464410,5739,1107,381,392006,0 85,2,2024-09-07 08:56:20:887,338938,338938,0,0,15156237,0,3656 85,3,2024-09-07 08:56:20:691,1,268,1,0,789,3550,268,0 86,0,2024-09-07 08:56:20:893,65503,0.5,67339,0.7,128737,0.5,174115,2.00 86,1,2024-09-07 08:56:20:826,472385,472385,0,0,220118631858,2298868894,467393,4264,728,366,391961,0 86,2,2024-09-07 08:56:20:880,335995,335994,1,0,16029287,0,5004 86,3,2024-09-07 08:56:20:587,1,268,9,0,286,3578,268,0 87,0,2024-09-07 08:56:21:352,70392,0.7,70372,0.8,141344,0.9,189056,2.25 87,1,2024-09-07 08:56:20:579,472231,472231,0,0,220196271156,2299717969,467746,4001,484,366,392012,0 87,2,2024-09-07 08:56:21:067,339125,339125,0,0,14374492,0,4045 87,3,2024-09-07 08:56:21:800,1,268,9,0,473,3929,268,0 88,0,2024-09-07 08:56:21:449,66711,0.5,67101,0.6,133856,0.5,177846,2.00 88,1,2024-09-07 08:56:20:585,471037,471037,0,0,219904749355,2304453037,464846,4677,1514,365,392084,0 88,2,2024-09-07 08:56:20:691,341200,341200,0,0,16400788,0,3583 88,3,2024-09-07 08:56:21:275,1,268,1,0,435,3137,268,0 89,0,2024-09-07 08:56:21:785,68395,0.5,66090,0.7,131256,0.4,177891,1.75 89,1,2024-09-07 08:56:20:558,470487,470487,0,0,220288122983,2319884656,463555,5710,1222,382,391866,0 89,2,2024-09-07 08:56:21:137,339264,339264,0,0,15634623,0,2910 89,3,2024-09-07 08:56:21:800,1,268,11,0,325,4952,268,0 90,0,2024-09-07 08:56:21:613,63151,0.4,64564,0.6,132144,0.4,171730,1.75 90,1,2024-09-07 08:56:20:595,472354,472354,0,0,219464091532,2297305855,468211,3864,279,381,391825,0 90,2,2024-09-07 08:56:21:406,334805,334805,0,0,16827742,0,3060 90,3,2024-09-07 08:56:20:933,1,268,0,0,200,2756,268,0 91,0,2024-09-07 08:56:20:969,69047,0.4,66852,0.5,139755,0.3,183768,1.75 91,1,2024-09-07 08:56:20:564,471050,471050,0,0,219661210669,2306981409,465158,5198,694,381,392047,0 91,2,2024-09-07 08:56:21:330,337449,337449,0,0,15052918,0,2896 91,3,2024-09-07 08:56:20:607,1,268,1,0,216,2443,268,0 92,0,2024-09-07 08:56:21:448,70199,0.5,71945,0.6,137416,0.5,185662,1.75 92,1,2024-09-07 08:56:20:589,472218,472218,0,0,220293439606,2294400176,469651,2161,406,382,392136,0 92,2,2024-09-07 08:56:21:361,344410,344410,0,0,12908569,0,2801 92,3,2024-09-07 08:56:21:027,1,268,0,0,167,2153,268,0 93,0,2024-09-07 08:56:20:964,66735,0.4,68417,0.6,130810,0.3,177491,1.75 93,1,2024-09-07 08:56:20:810,472747,472747,0,0,220703636685,2301354902,468192,3766,789,366,391776,0 93,2,2024-09-07 08:56:20:933,341173,341173,0,0,13789166,0,2797 93,3,2024-09-07 08:56:21:406,1,268,2,0,190,2663,268,0 94,0,2024-09-07 08:56:21:602,64601,0.3,65413,0.5,130489,0.3,173009,1.75 94,1,2024-09-07 08:56:20:569,472223,472223,0,0,220332267578,2299298159,468922,3122,179,381,391850,0 94,2,2024-09-07 08:56:20:760,335375,335375,0,0,12648308,0,2443 94,3,2024-09-07 08:56:21:692,1,268,1,0,264,3343,268,0 95,0,2024-09-07 08:56:21:361,66900,0.3,66647,0.5,134326,0.3,178019,1.50 95,1,2024-09-07 08:56:20:858,472911,472911,0,0,220519787018,2289829102,469324,3210,377,367,391713,0 95,2,2024-09-07 08:56:21:016,336612,336612,0,0,13057819,0,3308 95,3,2024-09-07 08:56:21:711,1,268,3,0,718,4563,268,0 96,0,2024-09-07 08:56:21:024,71793,0.4,71949,0.5,143863,0.3,191067,1.75 96,1,2024-09-07 08:56:21:609,471996,471996,0,0,219909778598,2294617090,468411,2851,734,384,391894,0 96,2,2024-09-07 08:56:21:275,343120,343120,0,0,13836544,0,4042 96,3,2024-09-07 08:56:21:139,1,268,1,0,411,3135,268,0 97,0,2024-09-07 08:56:21:312,65429,0.4,65129,0.5,130793,0.3,173918,1.75 97,1,2024-09-07 08:56:20:777,473607,473607,0,0,220855309248,2288800435,470558,2492,557,367,392140,0 97,2,2024-09-07 08:56:20:616,341699,341699,0,0,13012243,0,3036 97,3,2024-09-07 08:56:20:585,1,268,12,0,214,3054,268,0 98,0,2024-09-07 08:56:21:700,64849,0.3,64848,0.4,130313,0.2,172699,1.50 98,1,2024-09-07 08:56:20:612,473074,473074,0,0,220664292331,2294469851,470921,2052,101,382,391997,0 98,2,2024-09-07 08:56:20:772,336538,336538,0,0,12935464,0,3080 98,3,2024-09-07 08:56:20:709,1,268,9,0,840,4633,268,0 99,0,2024-09-07 08:56:21:483,65910,0.3,66191,0.4,131992,0.2,176116,1.50 99,1,2024-09-07 08:56:21:745,472897,472897,0,0,219757942870,2289151249,469093,3064,740,381,392069,0 99,2,2024-09-07 08:56:21:417,339788,339788,0,0,14010979,0,3106 99,3,2024-09-07 08:56:20:593,1,268,3,0,187,2164,268,0 100,0,2024-09-07 08:56:21:473,71123,0.6,71085,0.8,142005,0.7,189956,2.25 100,1,2024-09-07 08:56:20:618,470021,470021,0,0,219090336741,2313900979,463115,5585,1321,381,391989,0 100,2,2024-09-07 08:56:21:816,339591,339580,11,0,15253949,0,5417 100,3,2024-09-07 08:56:21:731,1,268,1,0,559,4990,268,0 101,0,2024-09-07 08:56:21:708,66937,1.6,65171,1.2,127887,1.8,175792,2.50 101,1,2024-09-07 08:56:20:558,470176,470176,0,0,219127171002,2306291427,462814,5591,1771,368,391769,0 101,2,2024-09-07 08:56:21:756,339715,339715,0,0,16471888,0,4644 101,3,2024-09-07 08:56:20:946,1,268,9,0,448,3321,268,0 102,0,2024-09-07 08:56:20:962,64437,0.7,66701,0.8,134434,0.7,175931,2.00 102,1,2024-09-07 08:56:21:142,471345,471345,0,0,219702231636,2305140398,465683,4821,841,369,391883,0 102,2,2024-09-07 08:56:21:736,340043,339989,54,0,15019084,0,6768 102,3,2024-09-07 08:56:21:613,1,268,0,0,410,2771,268,0 103,0,2024-09-07 08:56:21:588,67087,0.4,66968,0.6,126515,0.4,173903,1.75 103,1,2024-09-07 08:56:21:625,470462,470462,0,0,219179511516,2306997471,464154,4927,1381,381,391829,0 103,2,2024-09-07 08:56:20:587,335616,335616,0,0,14201762,0,2582 103,3,2024-09-07 08:56:20:777,1,268,1,0,916,3601,268,0 104,0,2024-09-07 08:56:21:012,69657,0.7,70058,0.9,138917,0.6,187895,2.25 104,1,2024-09-07 08:56:21:598,472052,472052,0,0,219670071313,2307127959,465370,5586,1096,365,392168,0 104,2,2024-09-07 08:56:21:668,337231,337231,0,0,15954705,0,3941 104,3,2024-09-07 08:56:21:416,1,268,5,0,1245,6596,268,0 105,0,2024-09-07 08:56:21:034,67303,1.1,65359,1.2,136815,1.5,180111,3.25 105,1,2024-09-07 08:56:20:562,472054,472054,0,0,220081938919,2307110318,465731,5344,979,366,391797,0 105,2,2024-09-07 08:56:21:328,341623,341623,0,0,15474225,0,3509 105,3,2024-09-07 08:56:21:306,1,268,1,0,399,4348,268,0 106,0,2024-09-07 08:56:20:950,64051,0.9,65588,1.0,134322,1.0,175340,2.75 106,1,2024-09-07 08:56:21:756,472121,472121,0,0,219214438228,2301403419,465006,6356,759,369,391767,0 106,2,2024-09-07 08:56:20:760,339094,339094,0,0,14953002,0,2795 106,3,2024-09-07 08:56:20:684,1,268,6,0,470,3684,268,0 107,0,2024-09-07 08:56:21:106,65347,0.7,65315,0.8,130670,0.7,173852,2.00 107,1,2024-09-07 08:56:20:592,470527,470527,0,0,219372111022,2305261097,465197,4781,549,381,392234,0 107,2,2024-09-07 08:56:21:297,335027,335026,1,0,15611252,0,5024 107,3,2024-09-07 08:56:21:763,1,268,3,0,353,3508,268,0 108,0,2024-09-07 08:56:21:769,67578,0.4,67889,0.5,134969,0.3,180742,1.75 108,1,2024-09-07 08:56:21:292,472296,472296,0,0,221067189798,2300706496,469071,2839,386,368,391857,0 108,2,2024-09-07 08:56:21:754,336353,336353,0,0,14178788,0,2647 108,3,2024-09-07 08:56:21:340,1,268,0,0,749,5605,268,0 109,0,2024-09-07 08:56:21:760,71244,0.4,70473,0.5,141448,0.3,188641,1.75 109,1,2024-09-07 08:56:20:588,470492,470492,0,0,219619925045,2300879861,466618,3357,517,383,392132,0 109,2,2024-09-07 08:56:20:922,341807,341807,0,0,14646030,0,3617 109,3,2024-09-07 08:56:21:140,1,268,1,0,249,3062,268,0 110,0,2024-09-07 08:56:21:787,65803,0.4,64056,0.6,134180,0.4,175777,1.75 110,1,2024-09-07 08:56:21:643,473631,473631,0,0,220873709429,2291041173,470482,2271,878,369,392045,0 110,2,2024-09-07 08:56:21:310,341896,341896,0,0,13980895,0,3264 110,3,2024-09-07 08:56:20:697,1,268,5,0,406,3465,268,0 111,0,2024-09-07 08:56:21:415,64905,0.3,64454,0.5,128822,0.3,172590,1.75 111,1,2024-09-07 08:56:21:001,473707,473707,0,0,221541588540,2294257983,471714,1657,336,382,391690,0 111,2,2024-09-07 08:56:21:117,335265,335265,0,0,13070239,0,2763 111,3,2024-09-07 08:56:20:914,1,268,1,0,379,3460,268,0 112,0,2024-09-07 08:56:20:913,66933,0.3,66696,0.4,133668,0.2,178227,1.50 112,1,2024-09-07 08:56:20:826,473633,473633,0,0,220235560937,2282306366,471198,2007,428,380,391624,0 112,2,2024-09-07 08:56:21:138,337470,337469,1,0,13424033,0,5036 112,3,2024-09-07 08:56:20:603,1,268,1,0,282,2752,268,0 113,0,2024-09-07 08:56:20:905,70432,0.3,70456,0.5,141684,0.2,188882,1.50 113,1,2024-09-07 08:56:21:710,474378,474378,0,0,221536992923,2284665999,471872,2016,490,366,391661,0 113,2,2024-09-07 08:56:21:302,342998,342998,0,0,12232813,0,3813 113,3,2024-09-07 08:56:20:691,1,268,0,0,340,3765,268,0 114,0,2024-09-07 08:56:20:903,66038,0.4,66554,0.5,132010,0.3,176831,1.75 114,1,2024-09-07 08:56:20:721,472676,472676,0,0,220278442747,2292390876,467865,3222,1589,381,391556,0 114,2,2024-09-07 08:56:20:873,340939,340938,1,0,13235750,0,5069 114,3,2024-09-07 08:56:21:281,1,268,1,0,395,2702,268,0 115,0,2024-09-07 08:56:20:562,65511,0.3,65766,0.4,131616,0.2,174948,1.50 115,1,2024-09-07 08:56:20:583,472823,472823,0,0,220753182356,2294913952,468427,3559,837,382,391639,0 115,2,2024-09-07 08:56:21:125,339084,339084,0,0,11523442,0,2152 115,3,2024-09-07 08:56:21:002,1,268,1,0,159,1443,268,0 116,0,2024-09-07 08:56:21:702,65312,0.6,65243,0.8,130440,0.5,174822,2.00 116,1,2024-09-07 08:56:20:806,470086,470086,0,0,219491838030,2317910717,463676,4355,2055,380,391782,0 116,2,2024-09-07 08:56:21:751,336318,336318,0,0,16468113,0,3529 116,3,2024-09-07 08:56:20:923,1,268,4,0,415,3720,268,0 117,0,2024-09-07 08:56:20:985,70857,0.6,70477,0.8,141191,0.6,189167,2.00 117,1,2024-09-07 08:56:21:593,471612,471612,0,0,219876028760,2298004725,466900,4232,480,370,392033,0 117,2,2024-09-07 08:56:21:123,341756,341756,0,0,13566080,0,3700 117,3,2024-09-07 08:56:21:059,1,268,3,0,490,4052,268,0 118,0,2024-09-07 08:56:21:791,64858,0.6,66589,0.7,135832,0.6,177079,2.00 118,1,2024-09-07 08:56:20:614,471670,471670,0,0,219181140020,2301393077,465281,4954,1435,366,391736,0 118,2,2024-09-07 08:56:21:592,340501,340501,0,0,14795867,0,2781 118,3,2024-09-07 08:56:21:764,1,268,1,0,235,2958,268,0 119,0,2024-09-07 08:56:21:340,65982,0.8,66457,0.8,133044,1.0,176759,2.25 119,1,2024-09-07 08:56:20:552,472563,472563,0,0,220084919189,2296402291,467894,4145,524,367,391641,0 119,2,2024-09-07 08:56:21:266,340045,340045,0,0,14033697,0,4174 119,3,2024-09-07 08:56:21:327,1,268,1,0,443,3574,268,0 120,0,2024-09-07 08:56:21:564,64703,0.5,64492,0.7,129274,0.5,172250,2.00 120,1,2024-09-07 08:56:20:864,472066,472066,0,0,219391061137,2298116926,467363,4351,352,368,391961,0 120,2,2024-09-07 08:56:20:777,335742,335741,1,0,16586991,0,5281 120,3,2024-09-07 08:56:21:290,1,268,2,0,241,3320,268,0 121,0,2024-09-07 08:56:21:700,68844,0.8,68810,0.9,138068,0.9,183900,2.00 121,1,2024-09-07 08:56:21:655,472115,472115,0,0,219479034996,2294606775,467492,4207,416,367,391840,0 121,2,2024-09-07 08:56:21:136,336688,336688,0,0,15832227,0,4127 121,3,2024-09-07 08:56:20:732,1,268,1,0,269,3064,268,0 122,0,2024-09-07 08:56:21:779,69378,0.8,67617,0.9,141813,1.0,185606,2.00 122,1,2024-09-07 08:56:20:863,471409,471409,0,0,219911846126,2304977129,465334,5257,818,366,392130,0 122,2,2024-09-07 08:56:21:319,341708,341635,73,0,18536917,0,5989 122,3,2024-09-07 08:56:20:602,1,268,4,0,411,5100,268,0 123,0,2024-09-07 08:56:21:003,66206,0.9,64731,0.8,134832,1.0,176722,2.25 123,1,2024-09-07 08:56:20:570,471641,471641,0,0,219521228383,2312709681,462963,6962,1716,369,392039,0 123,2,2024-09-07 08:56:21:028,338540,338539,1,0,15349993,0,5215 123,3,2024-09-07 08:56:21:141,1,268,2,0,168,3115,268,0 124,0,2024-09-07 08:56:20:940,66989,0.3,66939,0.5,126401,0.3,173760,1.75 124,1,2024-09-07 08:56:21:025,473371,473371,0,0,219748260893,2281305485,470464,2542,365,367,392178,0 124,2,2024-09-07 08:56:21:010,336020,336020,0,0,12414969,0,3101 124,3,2024-09-07 08:56:20:778,1,268,2,0,490,2908,268,0 125,0,2024-09-07 08:56:21:423,66929,0.3,66700,0.5,134219,0.3,178193,1.75 125,1,2024-09-07 08:56:20:863,471779,471779,0,0,219863843754,2291384038,468675,2708,396,383,391702,0 125,2,2024-09-07 08:56:21:118,337627,337627,0,0,13585615,0,4534 125,3,2024-09-07 08:56:21:141,1,268,2,0,709,3618,268,0 126,0,2024-09-07 08:56:21:414,71799,0.5,73767,0.6,140894,0.5,192017,1.75 126,1,2024-09-07 08:56:20:551,473733,473733,0,0,220177641237,2280052157,471009,2460,264,365,391987,0 126,2,2024-09-07 08:56:20:624,344225,344225,0,0,14007864,0,3186 126,3,2024-09-07 08:56:20:908,1,268,3,0,183,3554,268,0 127,0,2024-09-07 08:56:21:612,65164,0.4,65548,0.5,130592,0.3,174049,1.75 127,1,2024-09-07 08:56:20:583,473305,473305,0,0,220359799438,2281903359,470337,2815,153,365,391816,0 127,2,2024-09-07 08:56:20:643,341622,341622,0,0,12508508,0,2264 127,3,2024-09-07 08:56:21:271,1,268,1,0,968,3054,268,0 128,0,2024-09-07 08:56:21:539,65284,0.3,65233,0.4,130389,0.2,173102,1.50 128,1,2024-09-07 08:56:21:612,472594,472594,0,0,220399821506,2284191402,470404,2032,158,367,391798,0 128,2,2024-09-07 08:56:21:383,337321,337321,0,0,12314965,0,2434 128,3,2024-09-07 08:56:20:773,1,268,4,0,1082,5435,268,0 129,0,2024-09-07 08:56:21:002,66483,0.3,66149,0.4,132388,0.2,175829,1.50 129,1,2024-09-07 08:56:20:574,470738,470738,0,0,219414043179,2297264603,466572,3235,931,379,391835,0 129,2,2024-09-07 08:56:20:702,338137,338137,0,0,13420615,0,4031 129,3,2024-09-07 08:56:20:702,1,268,1,0,469,3574,268,0 130,0,2024-09-07 08:56:21:730,71727,0.5,71258,0.6,143113,0.4,190758,1.75 130,1,2024-09-07 08:56:20:593,472872,472872,0,0,219517114927,2282215925,470426,2367,79,381,391825,0 130,2,2024-09-07 08:56:21:137,342557,342557,0,0,13009708,0,4067 130,3,2024-09-07 08:56:21:290,1,268,43,0,450,3469,268,0 131,0,2024-09-07 08:56:21:941,65487,0.4,65994,0.5,132789,0.3,175573,1.75 131,1,2024-09-07 08:56:21:827,473102,473102,0,0,220354673890,2295607470,470046,2598,458,381,391865,0 131,2,2024-09-07 08:56:20:574,342428,342428,0,0,11926601,0,2415 131,3,2024-09-07 08:56:21:710,1,268,22,0,392,2791,268,0 132,0,2024-09-07 08:56:21:422,65806,0.5,66754,0.6,132880,0.4,176362,2.00 132,1,2024-09-07 08:56:20:582,470693,470693,0,0,218992551495,2306314111,463661,5778,1254,381,392097,0 132,2,2024-09-07 08:56:20:747,339219,339219,0,0,15637924,0,4606 132,3,2024-09-07 08:56:21:692,1,268,9,0,356,3703,268,0 133,0,2024-09-07 08:56:21:528,63560,0.4,65023,0.5,133053,0.3,172605,1.75 133,1,2024-09-07 08:56:20:587,471341,471341,0,0,219761023791,2310064686,465815,4857,669,383,391914,0 133,2,2024-09-07 08:56:21:089,335686,335686,0,0,16814132,0,4315 133,3,2024-09-07 08:56:21:311,1,268,2,0,276,2595,268,0 134,0,2024-09-07 08:56:20:974,70250,0.4,70288,0.6,140600,0.4,187919,2.00 134,1,2024-09-07 08:56:20:586,470804,470804,0,0,219638862504,2304077280,464284,5049,1471,366,391718,0 134,2,2024-09-07 08:56:21:756,337993,337993,0,0,14051542,0,3847 134,3,2024-09-07 08:56:20:758,1,268,9,0,739,3850,268,0 135,0,2024-09-07 08:56:21:121,65798,0.8,65747,0.9,139519,0.9,179847,2.25 135,1,2024-09-07 08:56:21:593,470891,470891,0,0,220119994288,2313440224,464679,5212,1000,380,391805,0 135,2,2024-09-07 08:56:20:699,342272,342272,0,0,15607236,0,3981 135,3,2024-09-07 08:56:21:003,1,268,5,0,299,1880,268,0 136,0,2024-09-07 08:56:21:651,66788,0.6,66682,0.7,133047,0.6,177327,2.25 136,1,2024-09-07 08:56:21:440,471030,471030,0,0,219637940682,2305584114,465489,4955,586,382,391685,0 136,2,2024-09-07 08:56:21:133,340898,340898,0,0,15059601,0,3506 136,3,2024-09-07 08:56:21:106,1,268,1,0,150,2204,268,0 137,0,2024-09-07 08:56:20:932,67345,0.6,65443,0.7,129008,0.5,175078,2.00 137,1,2024-09-07 08:56:20:580,471168,471168,0,0,219570270813,2301436234,464214,5536,1418,366,391708,0 137,2,2024-09-07 08:56:21:707,334950,334950,0,0,16539726,0,3185 137,3,2024-09-07 08:56:20:783,1,268,11,0,382,3343,268,0 138,0,2024-09-07 08:56:21:837,67194,0.7,67170,0.8,135039,0.7,180222,2.00 138,1,2024-09-07 08:56:21:702,471135,471135,0,0,220411467517,2311630786,464158,5844,1133,368,391954,0 138,2,2024-09-07 08:56:20:589,337246,337246,0,0,15293392,0,4988 138,3,2024-09-07 08:56:20:613,1,268,9,0,1160,3921,268,0 139,0,2024-09-07 08:56:21:378,69757,1.6,70071,1.2,140155,2.4,187061,2.50 139,1,2024-09-07 08:56:20:593,469739,469739,0,0,218491340343,2318427131,461192,6430,2117,381,391892,0 139,2,2024-09-07 08:56:20:700,340906,340906,0,0,16237681,0,3097 139,3,2024-09-07 08:56:21:677,1,268,2,0,257,2904,268,0 140,0,2024-09-07 08:56:21:593,66162,0.3,65677,0.5,132060,0.2,175996,1.75 140,1,2024-09-07 08:56:21:536,474576,474576,0,0,221357050221,2279118031,472594,1740,242,365,391606,0 140,2,2024-09-07 08:56:20:693,341686,341686,0,0,12782002,0,3388 140,3,2024-09-07 08:56:20:767,1,268,0,0,247,2213,268,0 141,0,2024-09-07 08:56:21:699,64662,0.3,66497,0.4,127127,0.2,172553,1.50 141,1,2024-09-07 08:56:20:863,473930,473930,0,0,220927654698,2289798125,471358,2164,408,379,391614,0 141,2,2024-09-07 08:56:21:691,335909,335909,0,0,12269881,0,2342 141,3,2024-09-07 08:56:21:043,1,268,1,0,202,2411,268,0 142,0,2024-09-07 08:56:21:313,67538,0.3,66820,0.4,133006,0.2,178605,1.50 142,1,2024-09-07 08:56:20:590,472999,472999,0,0,220036306380,2292138841,470160,2573,266,382,392102,0 142,2,2024-09-07 08:56:21:301,337328,337296,32,0,14171249,0,6028 142,3,2024-09-07 08:56:21:746,1,268,5,0,484,3606,268,0 143,0,2024-09-07 08:56:21:385,70806,0.4,70834,0.6,142081,0.4,188770,1.75 143,1,2024-09-07 08:56:20:563,473189,473189,0,0,220286255085,2282417897,470427,2666,96,367,391651,0 143,2,2024-09-07 08:56:20:787,342049,342049,0,0,13465871,0,2669 143,3,2024-09-07 08:56:21:140,1,268,4,0,303,3433,268,0 144,0,2024-09-07 08:56:21:519,63596,0.6,65269,0.9,133146,0.6,174964,2.00 144,1,2024-09-07 08:56:20:571,470465,470465,0,0,219204441500,2298936394,466401,3136,928,381,391649,0 144,2,2024-09-07 08:56:21:756,341656,341656,0,0,12722027,0,3473 144,3,2024-09-07 08:56:21:746,1,268,1,0,249,3027,268,0 145,0,2024-09-07 08:56:21:372,63133,0.6,63159,0.8,134083,0.5,172827,2.25 145,1,2024-09-07 08:56:20:568,470457,470457,0,0,219654776511,2306199867,464954,4680,823,382,391698,0 145,2,2024-09-07 08:56:21:433,337155,337155,0,0,14826865,0,3903 145,3,2024-09-07 08:56:20:903,1,268,17,0,622,4146,268,0 146,0,2024-09-07 08:56:21:639,65447,0.5,64884,0.7,130739,0.4,173987,2.00 146,1,2024-09-07 08:56:21:594,471302,471302,0,0,219630268843,2311301306,463018,6437,1847,368,391770,0 146,2,2024-09-07 08:56:21:709,336366,336366,0,0,14965394,0,2730 146,3,2024-09-07 08:56:21:275,1,268,1,0,1520,5964,268,0 147,0,2024-09-07 08:56:21:711,70647,0.5,70501,0.7,140392,0.5,188854,2.00 147,1,2024-09-07 08:56:21:372,473489,473489,0,0,220485597465,2291773514,469377,3525,587,368,391791,0 147,2,2024-09-07 08:56:21:025,341149,341149,0,0,12897051,0,2789 147,3,2024-09-07 08:56:20:921,1,268,1,0,730,3954,268,0 0,0,2024-09-07 08:56:31:754,63029,0.4,63117,0.7,133676,0.4,172879,1.75 0,1,2024-09-07 08:56:30:814,473986,473986,0,0,221003341351,2309173286,470731,3049,206,370,391896,0 0,2,2024-09-07 08:56:31:075,337448,337448,0,0,13430496,0,4480 0,3,2024-09-07 08:56:30:989,1,269,1,0,319,3507,269,0 1,0,2024-09-07 08:56:31:824,69460,0.7,68939,0.9,138615,0.8,185256,2.00 1,1,2024-09-07 08:56:30:572,473489,473489,0,0,219928523319,2302428696,468982,3453,1054,371,391857,0 1,2,2024-09-07 08:56:30:639,338502,338502,0,0,12635940,0,3267 1,3,2024-09-07 08:56:31:309,1,269,1,0,262,3062,269,0 2,0,2024-09-07 08:56:31:577,69783,0.7,69993,0.8,138650,0.9,185560,2.00 2,1,2024-09-07 08:56:30:875,474473,474473,0,0,221950530354,2303131518,472364,1891,218,380,391745,0 2,2,2024-09-07 08:56:31:272,344051,344051,0,0,13078117,0,3594 2,3,2024-09-07 08:56:30:693,1,269,1,0,357,2553,269,0 3,0,2024-09-07 08:56:31:741,66489,0.4,66521,0.6,132816,0.4,176594,2.00 3,1,2024-09-07 08:56:31:630,473721,473721,0,0,221028960641,2299938267,469879,3380,462,380,391556,0 3,2,2024-09-07 08:56:31:156,342136,342113,23,0,13342760,0,5851 3,3,2024-09-07 08:56:31:752,1,269,1,0,103,1562,269,0 4,0,2024-09-07 08:56:31:779,63199,0.3,65051,0.5,132291,0.3,173442,1.75 4,1,2024-09-07 08:56:30:606,473670,473670,0,0,220176154702,2309996743,468914,3961,795,371,391846,0 4,2,2024-09-07 08:56:31:022,335694,335694,0,0,15436449,0,4528 4,3,2024-09-07 08:56:31:039,1,269,11,0,448,4000,269,0 5,0,2024-09-07 08:56:31:386,67203,0.4,67495,0.5,134043,0.3,178850,1.75 5,1,2024-09-07 08:56:30:763,473486,473486,0,0,220284737995,2313230902,467979,4395,1112,368,392005,0 5,2,2024-09-07 08:56:31:832,337328,337328,0,0,14215589,0,2432 5,3,2024-09-07 08:56:31:739,1,269,0,0,457,4181,269,0 6,0,2024-09-07 08:56:30:925,72363,0.5,71883,0.7,143642,0.5,192067,2.00 6,1,2024-09-07 08:56:30:752,473768,473768,0,0,220812359100,2304364589,469092,3832,844,379,391694,0 6,2,2024-09-07 08:56:31:146,344013,344013,0,0,14217747,0,4816 6,3,2024-09-07 08:56:31:275,1,269,1,0,340,3064,269,0 7,0,2024-09-07 08:56:31:535,65200,0.5,65489,0.7,130475,0.5,173836,2.00 7,1,2024-09-07 08:56:30:856,473348,473348,0,0,220713283573,2312840097,467400,4944,1004,382,391747,0 7,2,2024-09-07 08:56:30:777,341704,341704,0,0,13995795,0,4791 7,3,2024-09-07 08:56:30:855,1,269,1,0,398,3176,269,0 8,0,2024-09-07 08:56:31:366,65197,0.4,65092,0.5,129863,0.3,173308,1.50 8,1,2024-09-07 08:56:31:022,472333,472333,0,0,220722087598,2324346865,464033,6281,2019,366,391956,0 8,2,2024-09-07 08:56:30:796,333637,333637,0,0,16656548,0,3220 8,3,2024-09-07 08:56:30:585,1,269,6,0,357,3908,269,0 9,0,2024-09-07 08:56:31:170,66457,0.3,64432,0.5,134665,0.3,177028,1.50 9,1,2024-09-07 08:56:30:558,472311,472311,0,0,219987068965,2315497933,465814,4955,1542,370,392001,0 9,2,2024-09-07 08:56:31:103,338355,338355,0,0,14750639,0,3360 9,3,2024-09-07 08:56:31:753,1,269,1,0,496,3905,269,0 10,0,2024-09-07 08:56:31:627,71834,0.3,71263,0.5,143159,0.2,190931,1.75 10,1,2024-09-07 08:56:30:583,473048,473048,0,0,220071648181,2304322973,466686,5434,928,381,391741,0 10,2,2024-09-07 08:56:30:762,342631,342631,0,0,16438803,0,4264 10,3,2024-09-07 08:56:30:872,1,269,1,0,177,2114,269,0 11,0,2024-09-07 08:56:31:014,65517,0.5,63641,0.7,133027,0.5,176342,1.75 11,1,2024-09-07 08:56:30:571,473714,473714,0,0,220601835333,2317568628,465494,6239,1981,383,391573,0 11,2,2024-09-07 08:56:31:127,341595,341595,0,0,15294753,0,4130 11,3,2024-09-07 08:56:31:298,1,269,1,0,843,4268,269,0 12,0,2024-09-07 08:56:30:943,66759,0.3,66576,0.5,133193,0.3,176837,1.75 12,1,2024-09-07 08:56:30:935,473402,473402,0,0,220467478446,2296089940,469872,3108,422,370,391870,0 12,2,2024-09-07 08:56:31:543,340269,340269,0,0,14386517,0,3469 12,3,2024-09-07 08:56:31:058,1,269,1,0,386,4416,269,0 13,0,2024-09-07 08:56:31:341,65665,0.3,65448,0.5,130875,0.3,174111,1.50 13,1,2024-09-07 08:56:31:526,473865,473865,0,0,220252580078,2308355427,470305,3018,542,382,391740,0 13,2,2024-09-07 08:56:30:604,338901,338901,0,0,13433341,0,3287 13,3,2024-09-07 08:56:31:766,1,269,5,0,467,3985,269,0 14,0,2024-09-07 08:56:30:565,70753,0.3,71495,0.5,141353,0.3,188812,1.75 14,1,2024-09-07 08:56:31:568,476680,476680,0,0,221475896842,2289500536,473846,2644,190,364,391571,0 14,2,2024-09-07 08:56:30:768,339840,339840,0,0,13503480,0,2896 14,3,2024-09-07 08:56:31:115,1,269,1,0,1168,3590,269,0 15,0,2024-09-07 08:56:31:563,68052,0.5,68245,0.7,136135,0.5,180993,2.00 15,1,2024-09-07 08:56:31:609,474636,474636,0,0,220577521351,2291074154,472112,2346,178,381,391619,0 15,2,2024-09-07 08:56:30:998,343989,343989,0,0,11605433,0,3043 15,3,2024-09-07 08:56:31:415,1,269,0,0,1126,5389,269,0 16,0,2024-09-07 08:56:30:949,66611,0.7,66849,0.8,133138,0.7,177248,2.25 16,1,2024-09-07 08:56:30:572,474725,474725,0,0,221028296157,2307053686,471152,3272,301,370,391756,0 16,2,2024-09-07 08:56:31:450,341333,341333,0,0,14237107,0,4719 16,3,2024-09-07 08:56:31:153,1,269,5,0,317,3612,269,0 17,0,2024-09-07 08:56:31:760,67549,0.5,65932,0.7,128987,0.4,174849,1.75 17,1,2024-09-07 08:56:30:583,473347,473347,0,0,219975694192,2307435526,468479,3762,1106,368,391899,0 17,2,2024-09-07 08:56:31:673,339635,339635,0,0,13279845,0,2857 17,3,2024-09-07 08:56:30:589,1,269,2,0,268,4253,269,0 18,0,2024-09-07 08:56:30:944,67341,0.6,67604,0.8,134922,0.6,180734,2.25 18,1,2024-09-07 08:56:31:640,474608,474608,0,0,221101595145,2289538167,472094,2272,242,367,391649,0 18,2,2024-09-07 08:56:31:765,340074,340074,0,0,13138672,0,3541 18,3,2024-09-07 08:56:30:901,1,269,1,0,163,2574,269,0 19,0,2024-09-07 08:56:31:547,70301,0.6,70941,0.8,140645,0.6,186855,2.25 19,1,2024-09-07 08:56:30:569,474679,474679,0,0,221778371770,2302195421,470017,3875,787,367,391777,0 19,2,2024-09-07 08:56:31:753,346027,346027,0,0,12179096,0,3988 19,3,2024-09-07 08:56:31:129,1,269,1,0,524,2023,269,0 20,0,2024-09-07 08:56:31:520,65874,0.6,65776,0.8,131959,0.7,176101,2.25 20,1,2024-09-07 08:56:30:572,473433,473433,0,0,221128868626,2310407953,469568,3466,399,369,391886,0 20,2,2024-09-07 08:56:30:938,342583,342583,0,0,13742315,0,3721 20,3,2024-09-07 08:56:30:589,1,269,115,0,414,4806,269,0 21,0,2024-09-07 08:56:31:190,65005,0.5,65111,0.6,130008,0.4,172622,2.00 21,1,2024-09-07 08:56:31:540,472383,472383,0,0,220190687972,2314530101,466656,4468,1259,368,391962,0 21,2,2024-09-07 08:56:31:076,335510,335510,0,0,15466774,0,3747 21,3,2024-09-07 08:56:31:409,1,269,2,0,103,2691,269,0 22,0,2024-09-07 08:56:31:725,66911,0.5,66998,0.7,133818,0.5,178165,2.00 22,1,2024-09-07 08:56:31:022,472850,472850,0,0,220031848655,2313403525,465617,5591,1642,382,391667,0 22,2,2024-09-07 08:56:30:763,338097,338097,0,0,13545904,0,3134 22,3,2024-09-07 08:56:31:066,1,269,4,0,228,2384,269,0 23,0,2024-09-07 08:56:31:384,70857,0.5,70660,0.7,141883,0.5,189461,2.25 23,1,2024-09-07 08:56:31:009,474035,474035,0,0,221058660473,2317431156,466723,4761,2551,365,391690,0 23,2,2024-09-07 08:56:31:092,344212,344212,0,0,13183914,0,3010 23,3,2024-09-07 08:56:31:760,1,269,7,0,645,3330,269,0 24,0,2024-09-07 08:56:30:817,66450,0.4,66332,0.6,133208,0.4,176987,1.75 24,1,2024-09-07 08:56:30:584,473124,473124,0,0,220216615690,2307837933,467505,4137,1482,368,391987,0 24,2,2024-09-07 08:56:31:078,340745,340745,0,0,16215529,0,3607 24,3,2024-09-07 08:56:31:685,1,269,3,0,468,3856,269,0 25,0,2024-09-07 08:56:31:401,67238,0.5,65491,0.6,128658,0.4,175427,2.00 25,1,2024-09-07 08:56:30:569,474112,474112,0,0,220913163286,2309346439,469755,3802,555,371,391788,0 25,2,2024-09-07 08:56:31:613,337457,337457,0,0,16271054,0,3978 25,3,2024-09-07 08:56:31:000,1,269,3,0,255,2843,269,0 26,0,2024-09-07 08:56:31:720,65529,0.4,63979,0.5,134244,0.3,174893,1.75 26,1,2024-09-07 08:56:31:543,473609,473609,0,0,220671605436,2311918352,466617,5776,1216,381,391748,0 26,2,2024-09-07 08:56:30:861,338394,338394,0,0,15892559,0,2809 26,3,2024-09-07 08:56:31:712,1,269,2,0,796,3421,269,0 27,0,2024-09-07 08:56:31:727,71419,0.4,71528,0.6,141552,0.3,189712,2.00 27,1,2024-09-07 08:56:31:684,475461,475461,0,0,221757951447,2304661330,472005,2863,593,381,391558,0 27,2,2024-09-07 08:56:30:874,339802,339737,65,0,16079585,0,5699 27,3,2024-09-07 08:56:31:021,1,269,1,0,564,2801,269,0 28,0,2024-09-07 08:56:31:399,66983,0.5,66753,0.6,134090,0.4,178544,2.00 28,1,2024-09-07 08:56:30:806,474969,474969,0,0,221713474593,2305612530,472088,2410,471,383,391646,0 28,2,2024-09-07 08:56:31:764,342426,342426,0,0,12962490,0,2915 28,3,2024-09-07 08:56:31:776,1,269,0,0,502,2773,269,0 29,0,2024-09-07 08:56:31:363,68336,0.4,66781,0.6,130645,0.3,178120,1.75 29,1,2024-09-07 08:56:31:561,475943,475943,0,0,221800766010,2294536732,472710,2667,566,369,391621,0 29,2,2024-09-07 08:56:30:861,340304,340304,0,0,12323132,0,4018 29,3,2024-09-07 08:56:30:964,1,269,1,0,115,1904,269,0 30,0,2024-09-07 08:56:31:458,64915,0.5,62947,0.7,131686,0.4,172262,2.00 30,1,2024-09-07 08:56:30:572,475742,475742,0,0,222097131477,2299176182,473411,2038,293,382,391672,0 30,2,2024-09-07 08:56:31:275,337946,337946,0,0,12021021,0,3161 30,3,2024-09-07 08:56:30:586,1,269,3,0,519,2520,269,0 31,0,2024-09-07 08:56:31:768,69010,0.4,69355,0.5,138778,0.3,185314,1.75 31,1,2024-09-07 08:56:30:571,477284,477284,0,0,222362553340,2276397655,475889,1175,220,356,391712,0 31,2,2024-09-07 08:56:31:275,338883,338883,0,0,13820631,0,3525 31,3,2024-09-07 08:56:31:718,1,269,54,0,220,2040,269,0 32,0,2024-09-07 08:56:31:424,69572,0.4,69991,0.5,139945,0.3,185927,1.75 32,1,2024-09-07 08:56:30:820,475345,475345,0,0,221464671607,2295390412,473384,1682,279,381,391622,0 32,2,2024-09-07 08:56:30:934,343932,343932,0,0,12053675,0,3155 32,3,2024-09-07 08:56:31:021,1,269,1,0,227,2068,269,0 33,0,2024-09-07 08:56:31:526,66879,0.3,66378,0.5,133288,0.2,177541,1.75 33,1,2024-09-07 08:56:30:590,475943,475943,0,0,222941139598,2301681546,473306,2463,174,369,391730,0 33,2,2024-09-07 08:56:30:767,343105,343072,33,0,13646489,0,7012 33,3,2024-09-07 08:56:30:902,1,269,0,0,329,3119,269,0 34,0,2024-09-07 08:56:30:940,65181,0.3,67188,0.4,128759,0.2,172855,1.50 34,1,2024-09-07 08:56:31:050,476604,476604,0,0,222773822478,2289556657,475479,1118,7,367,391562,0 34,2,2024-09-07 08:56:30:767,336958,336958,0,0,12838836,0,3577 34,3,2024-09-07 08:56:31:697,1,269,5,0,299,2141,269,0 35,0,2024-09-07 08:56:30:866,66575,0.3,66965,0.5,134693,0.2,179474,1.75 35,1,2024-09-07 08:56:31:067,475433,475433,0,0,221110021160,2292361017,472071,2582,780,384,391589,0 35,2,2024-09-07 08:56:31:592,339009,339009,0,0,12763899,0,2653 35,3,2024-09-07 08:56:30:912,1,269,1,0,418,3375,269,0 36,0,2024-09-07 08:56:31:535,72188,0.5,72075,0.7,144341,0.5,191696,2.25 36,1,2024-09-07 08:56:30:591,474493,474493,0,0,220295990237,2301231837,468511,4403,1579,366,391759,0 36,2,2024-09-07 08:56:31:754,344180,344180,0,0,14514659,0,3875 36,3,2024-09-07 08:56:30:872,1,269,10,0,416,4082,269,0 37,0,2024-09-07 08:56:31:377,65089,0.5,65118,0.7,130495,0.5,174815,2.00 37,1,2024-09-07 08:56:30:571,473612,473605,0,7,220318092325,2305268443,467592,3944,2069,365,391560,0 37,2,2024-09-07 08:56:31:152,341264,341249,15,0,14760452,0,5815 37,3,2024-09-07 08:56:31:771,1,269,23,0,888,4619,269,0 38,0,2024-09-07 08:56:31:440,64494,0.5,62655,0.7,131142,0.4,171364,2.00 38,1,2024-09-07 08:56:31:612,474603,474603,0,0,221676032897,2310252685,469330,4597,676,368,391821,0 38,2,2024-09-07 08:56:30:764,336529,336482,47,0,15000714,0,6710 38,3,2024-09-07 08:56:31:002,1,269,1,0,689,3624,269,0 39,0,2024-09-07 08:56:31:759,67894,0.5,66539,0.7,129317,0.5,177245,2.00 39,1,2024-09-07 08:56:30:716,474267,474267,0,0,220427103243,2298401071,468703,4662,902,365,391594,0 39,2,2024-09-07 08:56:31:420,338481,338481,0,0,13272100,0,2689 39,3,2024-09-07 08:56:30:713,1,269,3,0,324,3456,269,0 40,0,2024-09-07 08:56:31:528,70852,0.6,71522,0.8,142899,0.6,190508,2.75 40,1,2024-09-07 08:56:30:590,474153,474153,0,0,220577796667,2308891595,467013,5697,1443,368,391651,0 40,2,2024-09-07 08:56:31:306,342542,342541,1,0,16410475,0,5137 40,3,2024-09-07 08:56:31:143,1,269,9,0,398,3232,269,0 41,0,2024-09-07 08:56:31:030,65326,1.9,66805,1.4,127491,3.0,174414,3.50 41,1,2024-09-07 08:56:30:771,473807,473807,0,0,220340023313,2301202055,468262,4910,635,370,391742,0 41,2,2024-09-07 08:56:30:766,340585,340585,0,0,15604211,0,3356 41,3,2024-09-07 08:56:31:679,1,269,3,0,366,3045,269,0 42,0,2024-09-07 08:56:31:474,65483,0.8,65651,1.0,131416,0.8,173418,2.50 42,1,2024-09-07 08:56:31:440,473020,473020,0,0,220387567217,2313495867,465735,5833,1452,380,391675,0 42,2,2024-09-07 08:56:31:142,340211,340211,0,0,14914800,0,3568 42,3,2024-09-07 08:56:31:013,1,269,2,0,446,2381,269,0 43,0,2024-09-07 08:56:30:940,64887,0.6,63280,0.9,132201,0.6,173920,2.00 43,1,2024-09-07 08:56:30:591,474070,474070,0,0,221296279399,2309247243,467803,4938,1329,366,391696,0 43,2,2024-09-07 08:56:31:735,338390,338390,0,0,14260034,0,3812 43,3,2024-09-07 08:56:31:752,1,269,1,0,467,3152,269,0 44,0,2024-09-07 08:56:30:859,70603,0.4,71064,0.6,141576,0.4,189062,1.75 44,1,2024-09-07 08:56:30:563,475944,475944,0,0,221112187670,2279450392,473383,2129,432,356,391809,0 44,2,2024-09-07 08:56:31:272,339449,339449,0,0,12252414,0,2231 44,3,2024-09-07 08:56:31:096,1,269,5,0,817,3059,269,0 45,0,2024-09-07 08:56:31:783,67054,0.6,65583,0.8,137658,0.6,180761,2.00 45,1,2024-09-07 08:56:31:006,475075,475075,0,0,221133282550,2289548074,473420,1643,12,382,391917,0 45,2,2024-09-07 08:56:31:272,343657,343657,0,0,12973134,0,3596 45,3,2024-09-07 08:56:30:934,1,269,0,0,271,2902,269,0 46,0,2024-09-07 08:56:30:951,66176,0.5,66031,0.7,132265,0.5,175178,2.00 46,1,2024-09-07 08:56:30:582,476749,476749,0,0,221932412474,2288521830,474401,2074,274,366,391539,0 46,2,2024-09-07 08:56:30:596,342408,342408,0,0,12433235,0,2920 46,3,2024-09-07 08:56:31:132,1,269,1,0,908,3878,269,0 47,0,2024-09-07 08:56:31:110,65892,0.4,65720,0.6,132145,0.3,174447,1.75 47,1,2024-09-07 08:56:30:572,475950,475950,0,0,220964304527,2278802947,473621,2195,134,366,391641,0 47,2,2024-09-07 08:56:30:913,339263,339263,0,0,12338817,0,2558 47,3,2024-09-07 08:56:31:126,1,269,45,0,529,2409,269,0 48,0,2024-09-07 08:56:31:513,68420,0.3,68022,0.4,135721,0.2,181717,1.50 48,1,2024-09-07 08:56:31:030,475016,475016,0,0,221791077882,2301853850,471900,2816,300,384,391710,0 48,2,2024-09-07 08:56:30:703,338801,338801,0,0,12125988,0,3031 48,3,2024-09-07 08:56:30:761,1,269,1,0,339,2274,269,0 49,0,2024-09-07 08:56:31:720,72723,0.4,71428,0.5,138613,0.3,189198,1.75 49,1,2024-09-07 08:56:31:023,474101,474101,0,0,220714079114,2299142900,470229,2691,1181,382,391809,0 49,2,2024-09-07 08:56:31:799,345330,345330,0,0,12967347,0,3900 49,3,2024-09-07 08:56:31:420,1,269,0,0,408,2861,269,0 50,0,2024-09-07 08:56:31:531,66056,0.3,65481,0.5,131742,0.2,175835,1.75 50,1,2024-09-07 08:56:31:015,475710,475710,0,0,222107957607,2295803988,472750,2650,310,368,391530,0 50,2,2024-09-07 08:56:31:075,342850,342850,0,0,11902854,0,2263 50,3,2024-09-07 08:56:31:291,1,269,1,0,335,2158,269,0 51,0,2024-09-07 08:56:31:695,66504,0.3,65104,0.4,126846,0.2,173059,1.50 51,1,2024-09-07 08:56:31:680,476304,476304,0,0,222130770198,2291420282,473500,1864,940,365,391706,0 51,2,2024-09-07 08:56:31:326,337040,337040,0,0,11170508,0,3337 51,3,2024-09-07 08:56:31:039,1,269,6,0,678,2096,269,0 52,0,2024-09-07 08:56:31:425,67588,0.4,67087,0.6,134366,0.4,178788,2.00 52,1,2024-09-07 08:56:30:590,473874,473874,0,0,219894922428,2302639099,466712,5908,1254,368,391722,0 52,2,2024-09-07 08:56:31:762,336500,336462,38,0,15305522,0,6742 52,3,2024-09-07 08:56:30:678,1,269,2,0,1782,4589,269,0 53,0,2024-09-07 08:56:31:764,70702,0.6,68644,0.7,143823,0.6,188750,2.25 53,1,2024-09-07 08:56:30:777,473011,473011,0,0,220519747911,2313154885,465584,5071,2356,367,391702,0 53,2,2024-09-07 08:56:31:298,343978,343978,0,0,12866718,0,2727 53,3,2024-09-07 08:56:30:697,1,269,1,0,271,2347,269,0 54,0,2024-09-07 08:56:31:626,65025,0.7,65612,0.8,129846,0.5,174215,2.50 54,1,2024-09-07 08:56:30:597,474360,474360,0,0,221316282574,2299125334,469624,4139,597,367,391659,0 54,2,2024-09-07 08:56:30:872,342403,342397,6,0,14233406,0,5382 54,3,2024-09-07 08:56:30:766,1,269,221,0,676,4156,269,0 55,0,2024-09-07 08:56:31:760,63258,0.6,65505,0.8,131858,0.5,171778,2.50 55,1,2024-09-07 08:56:30:768,473953,473953,0,0,220750672647,2296350040,468402,4764,787,365,391731,0 55,2,2024-09-07 08:56:30:733,337896,337896,0,0,14259780,0,3275 55,3,2024-09-07 08:56:30:674,1,269,1,0,304,2907,269,0 56,0,2024-09-07 08:56:31:597,67260,0.9,63479,1.0,130560,1.1,174958,2.25 56,1,2024-09-07 08:56:30:571,472453,472453,0,0,220613163833,2329216226,465207,5808,1438,381,391729,0 56,2,2024-09-07 08:56:31:307,338345,338345,0,0,15446713,0,3567 56,3,2024-09-07 08:56:31:065,1,269,0,0,705,3840,269,0 57,0,2024-09-07 08:56:30:938,70417,1.3,70340,1.1,140622,1.8,188595,2.75 57,1,2024-09-07 08:56:30:990,473752,473752,0,0,220571310766,2307863864,468422,4658,672,367,392032,0 57,2,2024-09-07 08:56:31:328,341733,341733,0,0,16713281,0,3317 57,3,2024-09-07 08:56:31:740,1,269,1,0,359,3472,269,0 58,0,2024-09-07 08:56:30:560,65627,1.1,63791,1.1,133590,1.5,175070,2.50 58,1,2024-09-07 08:56:30:588,474795,474792,0,3,221018272066,2306378454,469056,5025,711,367,391603,3 58,2,2024-09-07 08:56:31:073,342463,342463,0,0,14879078,0,2549 58,3,2024-09-07 08:56:31:068,1,269,46,0,1043,2978,269,0 59,0,2024-09-07 08:56:31:755,66187,0.8,65989,1.0,131648,0.8,174760,2.75 59,1,2024-09-07 08:56:30:811,474011,474011,0,0,220738062075,2306429902,468221,4525,1265,369,391525,0 59,2,2024-09-07 08:56:30:583,342221,342221,0,0,13600474,0,2604 59,3,2024-09-07 08:56:31:736,1,269,1,0,1015,3601,269,0 60,0,2024-09-07 08:56:31:716,64982,0.4,64894,0.6,130832,0.3,172769,1.75 60,1,2024-09-07 08:56:30:794,475640,475640,0,0,222075024165,2300055065,473185,1938,517,370,392031,0 60,2,2024-09-07 08:56:31:142,337857,337857,0,0,14663551,0,3811 60,3,2024-09-07 08:56:31:275,1,269,1,0,124,2563,269,0 61,0,2024-09-07 08:56:31:501,69215,0.5,69607,0.7,138489,0.5,185158,2.00 61,1,2024-09-07 08:56:30:777,474122,474122,0,0,220698537578,2306507401,469541,3878,703,382,391880,0 61,2,2024-09-07 08:56:31:120,339682,339682,0,0,13162137,0,2780 61,3,2024-09-07 08:56:31:686,1,269,2,0,397,3576,269,0 62,0,2024-09-07 08:56:31:725,70208,0.6,71573,0.7,136594,0.6,185620,2.00 62,1,2024-09-07 08:56:31:124,476719,476713,0,6,222350955270,2291143371,474246,2349,118,365,391715,6 62,2,2024-09-07 08:56:31:663,342646,342645,1,0,14497396,0,5555 62,3,2024-09-07 08:56:31:146,1,269,0,0,287,1848,269,0 63,0,2024-09-07 08:56:31:471,66524,0.5,66709,0.6,133402,0.4,177103,1.75 63,1,2024-09-07 08:56:30:814,475885,475879,0,6,221553817503,2292316587,474302,1527,50,381,391677,6 63,2,2024-09-07 08:56:30:761,342479,342479,0,0,12155452,0,2674 63,3,2024-09-07 08:56:31:731,1,269,27,0,667,2978,269,0 64,0,2024-09-07 08:56:31:515,64900,0.4,64803,0.6,129668,0.4,172755,1.75 64,1,2024-09-07 08:56:30:819,474410,474410,0,0,221863569665,2311352236,469914,3080,1416,370,391783,0 64,2,2024-09-07 08:56:31:152,339694,339675,19,0,12945436,0,6121 64,3,2024-09-07 08:56:31:140,1,269,13,0,265,2601,269,0 65,0,2024-09-07 08:56:31:716,66587,0.6,67025,0.7,133713,0.6,178391,2.00 65,1,2024-09-07 08:56:30:871,473576,473576,0,0,220098145761,2300654355,469982,3149,445,382,391901,0 65,2,2024-09-07 08:56:31:699,338830,338830,0,0,14074145,0,3367 65,3,2024-09-07 08:56:31:683,1,269,6,0,163,2568,269,0 66,0,2024-09-07 08:56:31:790,71737,0.5,71538,0.7,143657,0.5,190884,2.00 66,1,2024-09-07 08:56:31:302,475395,475395,0,0,221588126820,2300774920,472819,2375,201,380,391653,0 66,2,2024-09-07 08:56:31:131,346272,346272,0,0,13585551,0,4956 66,3,2024-09-07 08:56:31:085,1,269,1,0,291,2780,269,0 67,0,2024-09-07 08:56:31:425,65909,0.6,65210,0.7,131462,0.6,174977,2.00 67,1,2024-09-07 08:56:30:779,474440,474439,0,1,221521442166,2307708974,470589,3101,749,380,391787,1 67,2,2024-09-07 08:56:30:591,343157,343157,0,0,12494093,0,2889 67,3,2024-09-07 08:56:31:751,1,269,1,0,338,2413,269,0 68,0,2024-09-07 08:56:30:570,65221,0.6,64978,0.7,129531,0.5,173213,2.00 68,1,2024-09-07 08:56:30:571,473734,473734,0,0,220719206172,2310948062,469549,3015,1170,381,391953,0 68,2,2024-09-07 08:56:31:044,336251,336186,65,0,16100430,0,6698 68,3,2024-09-07 08:56:30:734,1,269,11,0,417,3051,269,0 69,0,2024-09-07 08:56:31:745,65946,0.6,66254,0.8,132262,0.6,176252,2.00 69,1,2024-09-07 08:56:31:026,472406,472406,0,0,220183893913,2319433067,466702,4302,1402,384,391994,0 69,2,2024-09-07 08:56:31:733,338619,338619,0,0,16115379,0,3722 69,3,2024-09-07 08:56:30:763,1,269,11,0,698,3757,269,0 70,0,2024-09-07 08:56:31:542,71205,0.6,71118,0.9,142834,0.5,189896,2.25 70,1,2024-09-07 08:56:30:808,475431,475431,0,0,222014312833,2302692671,471763,3140,528,366,391725,0 70,2,2024-09-07 08:56:31:329,342566,342566,0,0,14659858,0,4044 70,3,2024-09-07 08:56:30:748,1,269,1,0,854,3085,269,0 71,0,2024-09-07 08:56:31:371,65309,1.1,65208,1.1,130730,1.4,175201,2.75 71,1,2024-09-07 08:56:31:598,474040,474040,0,0,221147119718,2303871158,468883,4536,621,368,391738,0 71,2,2024-09-07 08:56:31:075,342126,342126,0,0,15011626,0,4042 71,3,2024-09-07 08:56:31:750,1,269,0,0,644,3720,269,0 72,0,2024-09-07 08:56:31:033,68357,0.7,66936,0.8,130366,0.8,177260,2.25 72,1,2024-09-07 08:56:31:029,474558,474558,0,0,221305984484,2307410022,469492,4134,932,369,391819,0 72,2,2024-09-07 08:56:31:765,338933,338933,0,0,16617066,0,3983 72,3,2024-09-07 08:56:31:769,1,269,11,0,564,4627,269,0 73,0,2024-09-07 08:56:31:106,63656,0.4,65194,0.6,133572,0.3,173511,2.00 73,1,2024-09-07 08:56:30:776,474723,474723,0,0,221078769931,2294853568,471625,2861,237,367,391750,0 73,2,2024-09-07 08:56:31:754,338408,338408,0,0,15927447,0,3701 73,3,2024-09-07 08:56:30:977,1,269,1,0,274,3770,269,0 74,0,2024-09-07 08:56:31:343,71144,0.4,72804,0.6,138855,0.4,188946,2.00 74,1,2024-09-07 08:56:30:639,474017,474017,0,0,220530784845,2299787536,469609,3609,799,381,391681,0 74,2,2024-09-07 08:56:31:007,339733,339733,0,0,15275588,0,4253 74,3,2024-09-07 08:56:31:443,1,269,1,0,522,3416,269,0 75,0,2024-09-07 08:56:31:774,68297,0.5,67923,0.8,136033,0.5,181833,2.25 75,1,2024-09-07 08:56:31:587,473348,473348,0,0,220710958458,2304402952,468412,4272,664,380,391660,0 75,2,2024-09-07 08:56:31:374,342213,342213,0,0,15786121,0,4766 75,3,2024-09-07 08:56:31:077,1,269,1,0,702,3772,269,0 76,0,2024-09-07 08:56:30:581,66185,0.7,65553,0.8,131630,0.7,176165,2.50 76,1,2024-09-07 08:56:30:811,474059,474059,0,0,221534002427,2308090405,470950,2558,551,382,391692,0 76,2,2024-09-07 08:56:31:081,343105,343105,0,0,13098903,0,3784 76,3,2024-09-07 08:56:31:142,1,269,14,0,175,2699,269,0 77,0,2024-09-07 08:56:31:740,65510,0.5,65785,0.7,131417,0.4,174089,1.75 77,1,2024-09-07 08:56:30:825,474610,474610,0,0,221109969147,2306087125,471155,3198,257,381,391869,0 77,2,2024-09-07 08:56:31:287,336993,336993,0,0,13637091,0,3890 77,3,2024-09-07 08:56:31:096,1,269,2,0,382,2932,269,0 78,0,2024-09-07 08:56:31:736,68325,0.4,67781,0.6,136286,0.3,180933,2.00 78,1,2024-09-07 08:56:30:757,474778,474778,0,0,220561331180,2286868839,471779,2680,319,367,391670,0 78,2,2024-09-07 08:56:31:415,338815,338815,0,0,12213597,0,3855 78,3,2024-09-07 08:56:31:133,1,269,2,0,181,2491,269,0 79,0,2024-09-07 08:56:31:357,68652,0.4,70458,0.6,143848,0.4,186795,2.25 79,1,2024-09-07 08:56:30:571,476122,476122,0,0,221424284291,2288531817,473276,2509,337,369,391682,0 79,2,2024-09-07 08:56:31:069,345599,345599,0,0,12482099,0,3212 79,3,2024-09-07 08:56:30:748,1,269,1,0,418,3798,269,0 80,0,2024-09-07 08:56:31:080,65969,0.6,67875,0.7,129916,0.6,175649,2.00 80,1,2024-09-07 08:56:31:629,474114,474114,0,0,220880739778,2296737221,471138,2806,170,368,391791,0 80,2,2024-09-07 08:56:31:094,343196,343196,0,0,12863570,0,4433 80,3,2024-09-07 08:56:30:590,1,269,13,0,190,3607,269,0 81,0,2024-09-07 08:56:31:568,64738,0.4,66526,0.6,127082,0.4,172098,1.75 81,1,2024-09-07 08:56:31:656,473205,473205,0,0,219872308075,2296717401,469332,3410,463,382,391879,0 81,2,2024-09-07 08:56:31:128,336883,336883,0,0,13098100,0,3993 81,3,2024-09-07 08:56:31:119,1,269,0,0,374,3105,269,0 82,0,2024-09-07 08:56:31:553,66739,0.4,67202,0.6,134526,0.3,178900,1.75 82,1,2024-09-07 08:56:30:584,474955,474951,0,4,221141201309,2301156527,471725,2615,611,381,391768,4 82,2,2024-09-07 08:56:31:691,339870,339870,0,0,12443229,0,3986 82,3,2024-09-07 08:56:31:752,1,269,1,0,363,2960,269,0 83,0,2024-09-07 08:56:31:532,71317,0.5,71275,0.7,141648,0.5,189384,2.00 83,1,2024-09-07 08:56:30:557,473591,473591,0,0,221305857882,2305663908,470277,3068,246,382,391709,0 83,2,2024-09-07 08:56:30:768,343508,343508,0,0,12586383,0,3119 83,3,2024-09-07 08:56:30:749,1,269,1,0,250,3010,269,0 84,0,2024-09-07 08:56:31:791,65628,0.8,65382,0.9,131046,0.7,175607,2.25 84,1,2024-09-07 08:56:31:048,473521,473521,0,0,220654576723,2303855780,468761,4177,583,367,391967,0 84,2,2024-09-07 08:56:30:571,342099,342099,0,0,14509932,0,3801 84,3,2024-09-07 08:56:31:156,1,269,10,0,908,4033,269,0 85,0,2024-09-07 08:56:31:018,63317,0.7,63247,0.8,134153,0.7,173287,2.25 85,1,2024-09-07 08:56:30:563,472982,472982,0,0,220228844817,2323245802,466123,5752,1107,381,392006,0 85,2,2024-09-07 08:56:30:869,339619,339619,0,0,15184730,0,3656 85,3,2024-09-07 08:56:30:688,1,269,5,0,789,3555,269,0 86,0,2024-09-07 08:56:30:880,65788,0.5,67622,0.7,129293,0.5,174743,2.00 86,1,2024-09-07 08:56:30:824,474110,474110,0,0,221057576985,2308618175,469115,4267,728,366,391961,0 86,2,2024-09-07 08:56:30:855,337504,337503,1,0,16074152,0,5004 86,3,2024-09-07 08:56:30:589,1,269,0,0,286,3578,269,0 87,0,2024-09-07 08:56:31:285,70777,0.7,70789,0.8,142171,0.9,190085,2.25 87,1,2024-09-07 08:56:30:555,474082,474082,0,0,221188714587,2309908046,469597,4001,484,366,392012,0 87,2,2024-09-07 08:56:31:076,340670,340670,0,0,14413307,0,4045 87,3,2024-09-07 08:56:31:799,1,269,2,0,473,3931,269,0 88,0,2024-09-07 08:56:31:442,66890,0.5,67233,0.6,134162,0.5,178307,2.00 88,1,2024-09-07 08:56:30:571,472844,472844,0,0,220474164863,2310313081,466650,4680,1514,365,392084,0 88,2,2024-09-07 08:56:30:689,342364,342364,0,0,16416579,0,3583 88,3,2024-09-07 08:56:31:272,1,269,1,0,435,3138,269,0 89,0,2024-09-07 08:56:31:811,68520,0.5,66184,0.7,131473,0.4,178125,1.75 89,1,2024-09-07 08:56:30:551,472287,472287,0,0,221040951125,2327607125,465353,5712,1222,382,391866,0 89,2,2024-09-07 08:56:31:132,340398,340398,0,0,15646612,0,2910 89,3,2024-09-07 08:56:31:800,1,269,1,0,325,4953,269,0 90,0,2024-09-07 08:56:31:683,63204,0.4,64633,0.6,132266,0.4,172056,1.75 90,1,2024-09-07 08:56:30:595,474163,474163,0,0,220103186323,2303880431,470018,3866,279,381,391825,0 90,2,2024-09-07 08:56:31:415,336298,336298,0,0,16843949,0,3060 90,3,2024-09-07 08:56:30:932,1,269,1,0,200,2757,269,0 91,0,2024-09-07 08:56:30:938,69445,0.4,67265,0.5,140590,0.3,185069,1.75 91,1,2024-09-07 08:56:30:557,472825,472825,0,0,220655488571,2317134944,466933,5198,694,381,392047,0 91,2,2024-09-07 08:56:31:351,338652,338652,0,0,15072282,0,2896 91,3,2024-09-07 08:56:30:601,1,269,3,0,216,2446,269,0 92,0,2024-09-07 08:56:31:456,70277,0.5,72049,0.6,137645,0.5,185941,1.75 92,1,2024-09-07 08:56:30:593,474007,474007,0,0,221137654808,2303030063,471438,2163,406,382,392136,0 92,2,2024-09-07 08:56:31:360,345521,345521,0,0,12919044,0,2801 92,3,2024-09-07 08:56:31:018,1,269,2,0,167,2155,269,0 93,0,2024-09-07 08:56:31:013,66921,0.4,68599,0.6,131136,0.3,177748,1.75 93,1,2024-09-07 08:56:30:922,474503,474503,0,0,221600248213,2310454905,469948,3766,789,366,391776,0 93,2,2024-09-07 08:56:30:938,342565,342565,0,0,13809371,0,2797 93,3,2024-09-07 08:56:31:419,1,269,4,0,190,2667,269,0 94,0,2024-09-07 08:56:31:599,64850,0.3,65686,0.5,131015,0.3,173537,1.75 94,1,2024-09-07 08:56:30:572,473953,473953,0,0,221403152350,2310181763,470650,3124,179,381,391850,0 94,2,2024-09-07 08:56:30:762,336719,336719,0,0,12668327,0,2443 94,3,2024-09-07 08:56:31:690,1,269,1,0,264,3344,269,0 95,0,2024-09-07 08:56:31:348,67248,0.3,67029,0.5,134997,0.3,179169,1.50 95,1,2024-09-07 08:56:30:856,474671,474671,0,0,221279550767,2297577127,471082,3212,377,367,391713,0 95,2,2024-09-07 08:56:31:022,337819,337819,0,0,13074565,0,3308 95,3,2024-09-07 08:56:31:712,1,269,10,0,718,4573,269,0 96,0,2024-09-07 08:56:31:041,72177,0.4,72322,0.5,144546,0.3,191402,1.75 96,1,2024-09-07 08:56:31:624,473790,473790,0,0,220700777139,2302744974,470205,2851,734,384,391894,0 96,2,2024-09-07 08:56:31:277,344641,344641,0,0,13861124,0,4042 96,3,2024-09-07 08:56:31:140,1,269,3,0,411,3138,269,0 97,0,2024-09-07 08:56:31:355,65759,0.4,65438,0.5,131373,0.3,174685,1.75 97,1,2024-09-07 08:56:30:765,475445,475445,0,0,221591201727,2296355386,472396,2492,557,367,392140,0 97,2,2024-09-07 08:56:30:610,343050,343050,0,0,13034460,0,3036 97,3,2024-09-07 08:56:30:569,1,269,0,0,214,3054,269,0 98,0,2024-09-07 08:56:31:706,65036,0.3,65024,0.4,130690,0.2,173316,1.50 98,1,2024-09-07 08:56:30:573,474841,474841,0,0,221507616063,2303144809,472686,2054,101,382,391997,0 98,2,2024-09-07 08:56:30:771,337419,337419,0,0,12947161,0,3080 98,3,2024-09-07 08:56:30:698,1,269,2,0,840,4635,269,0 99,0,2024-09-07 08:56:31:470,66207,0.3,66542,0.4,132666,0.2,177428,1.50 99,1,2024-09-07 08:56:31:723,474581,474581,0,0,220367281712,2295520431,470776,3065,740,381,392069,0 99,2,2024-09-07 08:56:31:419,341159,341159,0,0,14031324,0,3106 99,3,2024-09-07 08:56:30:585,1,269,2,0,187,2166,269,0 100,0,2024-09-07 08:56:31:474,71439,0.6,71410,0.8,142675,0.7,190625,2.25 100,1,2024-09-07 08:56:30:550,471722,471722,0,0,219751477103,2320872448,464815,5586,1321,381,391989,0 100,2,2024-09-07 08:56:31:825,341140,341129,11,0,15346861,0,5417 100,3,2024-09-07 08:56:31:735,1,269,9,0,559,4999,269,0 101,0,2024-09-07 08:56:31:697,67211,1.6,65447,1.2,128410,1.7,176631,2.50 101,1,2024-09-07 08:56:30:550,471915,471915,0,0,219781115435,2313406541,464536,5607,1772,368,391769,0 101,2,2024-09-07 08:56:31:759,341073,341073,0,0,16515345,0,4644 101,3,2024-09-07 08:56:30:943,1,269,1,0,448,3322,269,0 102,0,2024-09-07 08:56:30:951,64585,0.7,66860,0.8,134695,0.7,176419,2.00 102,1,2024-09-07 08:56:31:141,473065,473065,0,0,220522591175,2313661164,467400,4824,841,369,391883,0 102,2,2024-09-07 08:56:31:740,340827,340773,54,0,15057439,0,6768 102,3,2024-09-07 08:56:31:620,1,269,14,0,410,2785,269,0 103,0,2024-09-07 08:56:31:592,67314,0.4,67220,0.6,126921,0.4,174842,1.75 103,1,2024-09-07 08:56:31:639,472266,472266,0,0,220025982921,2315765896,465957,4928,1381,381,391829,0 103,2,2024-09-07 08:56:30:590,337229,337229,0,0,14232570,0,2582 103,3,2024-09-07 08:56:30:760,1,269,1,0,916,3602,269,0 104,0,2024-09-07 08:56:31:009,70134,0.7,70519,0.9,139808,0.6,189042,2.25 104,1,2024-09-07 08:56:31:601,473771,473771,0,0,220447571226,2315126612,467082,5591,1098,365,392168,0 104,2,2024-09-07 08:56:31:673,338721,338721,0,0,15976240,0,3941 104,3,2024-09-07 08:56:31:431,1,269,2,0,1245,6598,269,0 105,0,2024-09-07 08:56:31:041,67423,1.1,65477,1.2,137065,1.5,180416,3.25 105,1,2024-09-07 08:56:30:555,473854,473854,0,0,220999404879,2316428287,467531,5344,979,366,391797,0 105,2,2024-09-07 08:56:31:329,342769,342769,0,0,15488017,0,3509 105,3,2024-09-07 08:56:31:313,1,269,1,0,399,4349,269,0 106,0,2024-09-07 08:56:30:944,64154,0.9,65699,1.0,134531,1.0,175667,2.75 106,1,2024-09-07 08:56:31:753,473873,473873,0,0,219950705174,2308919143,466757,6356,760,369,391767,0 106,2,2024-09-07 08:56:30:762,340256,340256,0,0,14965868,0,2795 106,3,2024-09-07 08:56:30:678,1,269,1,0,470,3685,269,0 107,0,2024-09-07 08:56:31:103,65469,0.7,65414,0.8,130854,0.7,173852,2.00 107,1,2024-09-07 08:56:30:590,472355,472355,0,0,220312540773,2314850290,467025,4781,549,381,392234,0 107,2,2024-09-07 08:56:31:292,336416,336415,1,0,15627583,0,5024 107,3,2024-09-07 08:56:31:764,1,269,2,0,353,3510,269,0 108,0,2024-09-07 08:56:31:765,67952,0.4,68275,0.5,135769,0.3,181643,1.75 108,1,2024-09-07 08:56:31:301,474103,474103,0,0,221798783970,2308201679,470877,2840,386,368,391857,0 108,2,2024-09-07 08:56:31:763,337660,337660,0,0,14197527,0,2647 108,3,2024-09-07 08:56:31:339,1,269,8,0,749,5613,269,0 109,0,2024-09-07 08:56:31:775,71361,0.4,70566,0.5,141628,0.3,188885,1.75 109,1,2024-09-07 08:56:30:594,472246,472246,0,0,220720301768,2312092014,468372,3357,517,383,392132,0 109,2,2024-09-07 08:56:30:931,342968,342968,0,0,14657707,0,3617 109,3,2024-09-07 08:56:31:141,1,269,0,0,249,3062,269,0 110,0,2024-09-07 08:56:31:820,66069,0.4,64291,0.6,134704,0.4,176353,1.75 110,1,2024-09-07 08:56:31:660,475455,475455,0,0,221571278162,2298161033,472306,2271,878,369,392045,0 110,2,2024-09-07 08:56:31:307,343194,343194,0,0,13999206,0,3264 110,3,2024-09-07 08:56:30:692,1,269,5,0,406,3470,269,0 111,0,2024-09-07 08:56:31:416,65181,0.3,64693,0.5,129364,0.3,173483,1.75 111,1,2024-09-07 08:56:31:005,475509,475509,0,0,222132924016,2300324469,473516,1657,336,382,391690,0 111,2,2024-09-07 08:56:31:120,336375,336375,0,0,13080632,0,2763 111,3,2024-09-07 08:56:30:924,1,269,1,0,379,3461,269,0 112,0,2024-09-07 08:56:30:930,67298,0.3,67074,0.4,134426,0.2,178915,1.50 112,1,2024-09-07 08:56:30:826,475460,475460,0,0,221227104629,2292401535,473025,2007,428,380,391624,0 112,2,2024-09-07 08:56:31:133,338781,338780,1,0,13443146,0,5036 112,3,2024-09-07 08:56:30:600,1,269,1,0,282,2753,269,0 113,0,2024-09-07 08:56:30:885,70807,0.3,70839,0.5,142498,0.2,190406,1.50 113,1,2024-09-07 08:56:31:696,476223,476223,0,0,222401645808,2293499990,473717,2016,490,366,391661,0 113,2,2024-09-07 08:56:31:303,344496,344496,0,0,12262248,0,3813 113,3,2024-09-07 08:56:30:688,1,269,1,0,340,3766,269,0 114,0,2024-09-07 08:56:30:879,66410,0.4,66862,0.5,132658,0.3,177703,1.75 114,1,2024-09-07 08:56:30:716,474474,474474,0,0,221213982119,2301985544,469663,3222,1589,381,391556,0 114,2,2024-09-07 08:56:30:873,342425,342424,1,0,13270606,0,5069 114,3,2024-09-07 08:56:31:278,1,269,1,0,395,2703,269,0 115,0,2024-09-07 08:56:30:558,65694,0.3,65943,0.4,131949,0.2,175356,1.50 115,1,2024-09-07 08:56:30:571,474643,474643,0,0,221546696372,2303079035,470245,3561,837,382,391639,0 115,2,2024-09-07 08:56:31:126,339792,339792,0,0,11533742,0,2152 115,3,2024-09-07 08:56:31:011,1,269,1,0,159,1444,269,0 116,0,2024-09-07 08:56:31:699,65635,0.6,65509,0.8,131046,0.5,175454,2.00 116,1,2024-09-07 08:56:30:808,471854,471854,0,0,220168964693,2324937477,465443,4356,2055,380,391782,0 116,2,2024-09-07 08:56:31:753,337781,337781,0,0,16521617,0,3529 116,3,2024-09-07 08:56:30:912,1,269,0,0,415,3720,269,0 117,0,2024-09-07 08:56:30:963,71293,0.6,70904,0.8,142051,0.6,190273,2.00 117,1,2024-09-07 08:56:31:593,473359,473359,0,0,220651472151,2306050683,468646,4233,480,370,392033,0 117,2,2024-09-07 08:56:31:130,343206,343206,0,0,13620828,0,3700 117,3,2024-09-07 08:56:31:059,1,269,12,0,490,4064,269,0 118,0,2024-09-07 08:56:31:786,64974,0.6,66749,0.7,136126,0.6,177532,2.00 118,1,2024-09-07 08:56:30:590,473438,473438,0,0,220058326609,2310370120,467049,4954,1435,366,391736,0 118,2,2024-09-07 08:56:31:585,341552,341552,0,0,14821780,0,2781 118,3,2024-09-07 08:56:31:764,1,269,4,0,235,2962,269,0 119,0,2024-09-07 08:56:31:336,66094,0.8,66567,0.8,133264,1.0,176998,2.25 119,1,2024-09-07 08:56:30:551,474330,474330,0,0,220870880070,2304758405,469655,4151,524,367,391780,0 119,2,2024-09-07 08:56:31:261,341120,341120,0,0,14084910,0,4174 119,3,2024-09-07 08:56:31:328,1,269,2,0,443,3576,269,0 120,0,2024-09-07 08:56:31:552,64760,0.5,64551,0.7,129392,0.5,172544,2.00 120,1,2024-09-07 08:56:30:861,473834,473834,0,0,220150520744,2305899217,469131,4351,352,368,391961,0 120,2,2024-09-07 08:56:30:771,337301,337300,1,0,16606912,0,5281 120,3,2024-09-07 08:56:31:300,1,269,1,0,241,3321,269,0 121,0,2024-09-07 08:56:31:694,69275,0.8,69183,0.9,138901,0.9,185295,2.00 121,1,2024-09-07 08:56:31:664,473877,473877,0,0,220351747856,2303536639,469251,4209,417,367,391840,0 121,2,2024-09-07 08:56:31:131,337973,337973,0,0,15848647,0,4127 121,3,2024-09-07 08:56:30:733,1,269,1,0,269,3065,269,0 122,0,2024-09-07 08:56:31:812,69461,0.8,67709,0.9,142005,1.0,185885,2.00 122,1,2024-09-07 08:56:30:861,473185,473185,0,0,220733449292,2313328920,467110,5257,818,366,392130,0 122,2,2024-09-07 08:56:31:326,342776,342703,73,0,18547679,0,5989 122,3,2024-09-07 08:56:30:595,1,269,1,0,411,5101,269,0 123,0,2024-09-07 08:56:30:989,66364,0.9,64897,0.8,135159,1.0,176979,2.25 123,1,2024-09-07 08:56:30:558,473421,473421,0,0,220262357828,2320258090,464743,6962,1716,369,392039,0 123,2,2024-09-07 08:56:31:018,339861,339860,1,0,15362252,0,5215 123,3,2024-09-07 08:56:31:145,1,269,8,0,168,3123,269,0 124,0,2024-09-07 08:56:30:922,67266,0.3,67206,0.5,126898,0.3,174289,1.50 124,1,2024-09-07 08:56:31:022,475108,475108,0,0,220600141201,2289937715,472201,2542,365,367,392178,0 124,2,2024-09-07 08:56:31:014,337322,337322,0,0,12429302,0,3101 124,3,2024-09-07 08:56:30:761,1,269,1,0,490,2909,269,0 125,0,2024-09-07 08:56:31:465,67290,0.3,67028,0.5,134914,0.3,179336,1.75 125,1,2024-09-07 08:56:30:867,473497,473497,0,0,220645530384,2299360077,470393,2708,396,383,391702,0 125,2,2024-09-07 08:56:31:116,338847,338847,0,0,13597435,0,4534 125,3,2024-09-07 08:56:31:138,1,269,6,0,709,3624,269,0 126,0,2024-09-07 08:56:31:422,72146,0.5,74111,0.6,141593,0.4,192316,1.75 126,1,2024-09-07 08:56:30:554,475487,475487,0,0,220955543701,2287952984,472763,2460,264,365,391987,0 126,2,2024-09-07 08:56:30:611,345667,345667,0,0,14021928,0,3186 126,3,2024-09-07 08:56:30:912,1,269,1,0,183,3555,269,0 127,0,2024-09-07 08:56:31:670,65433,0.4,65837,0.5,131200,0.3,174806,1.75 127,1,2024-09-07 08:56:30:575,475081,475081,0,0,221181663228,2290250067,472113,2815,153,365,391816,0 127,2,2024-09-07 08:56:30:641,342996,342996,0,0,12521577,0,2264 127,3,2024-09-07 08:56:31:272,1,269,4,0,968,3058,269,0 128,0,2024-09-07 08:56:31:523,65474,0.3,65415,0.4,130764,0.2,173710,1.50 128,1,2024-09-07 08:56:31:614,474401,474401,0,0,221412697905,2294482713,472211,2032,158,367,391798,0 128,2,2024-09-07 08:56:31:383,338148,338148,0,0,12331629,0,2434 128,3,2024-09-07 08:56:30:771,1,269,1,0,1082,5436,269,0 129,0,2024-09-07 08:56:31:018,66794,0.3,66479,0.4,133094,0.2,177090,1.50 129,1,2024-09-07 08:56:30:571,472538,472538,0,0,220277632194,2306106686,468372,3235,931,379,391835,0 129,2,2024-09-07 08:56:30:689,339473,339473,0,0,13442684,0,4031 129,3,2024-09-07 08:56:30:688,1,269,5,0,469,3579,269,0 130,0,2024-09-07 08:56:31:804,72064,0.5,71577,0.6,143801,0.4,191509,1.75 130,1,2024-09-07 08:56:30:595,474653,474653,0,0,220319427528,2290419445,472206,2368,79,381,391825,0 130,2,2024-09-07 08:56:31:131,343985,343985,0,0,13040862,0,4067 130,3,2024-09-07 08:56:31:291,1,269,1,0,450,3470,269,0 131,0,2024-09-07 08:56:31:955,65784,0.4,66271,0.5,133303,0.3,176510,1.75 131,1,2024-09-07 08:56:31:845,474816,474816,0,0,221129330611,2303690263,471760,2598,458,381,391865,0 131,2,2024-09-07 08:56:30:573,343819,343819,0,0,11951755,0,2415 131,3,2024-09-07 08:56:31:759,1,269,3,0,392,2794,269,0 132,0,2024-09-07 08:56:31:421,65956,0.5,66899,0.6,133141,0.4,176864,2.00 132,1,2024-09-07 08:56:30:601,472402,472402,0,0,219791934863,2314552224,465370,5778,1254,381,392097,0 132,2,2024-09-07 08:56:30:703,340037,340037,0,0,15682447,0,4606 132,3,2024-09-07 08:56:31:697,1,269,1,0,356,3704,269,0 133,0,2024-09-07 08:56:31:537,63748,0.4,65241,0.5,133505,0.3,173526,1.75 133,1,2024-09-07 08:56:30:584,473000,473000,0,0,220450577003,2317495540,467445,4886,669,383,391914,0 133,2,2024-09-07 08:56:31:086,337205,337205,0,0,16863328,0,4315 133,3,2024-09-07 08:56:31:304,1,269,1,0,276,2596,269,0 134,0,2024-09-07 08:56:30:976,70728,0.4,70774,0.6,141540,0.4,189082,2.00 134,1,2024-09-07 08:56:30:615,472382,472382,0,0,220483676718,2312922321,465858,5053,1471,366,391718,0 134,2,2024-09-07 08:56:31:774,339437,339437,0,0,14177784,0,3847 134,3,2024-09-07 08:56:30:753,1,269,1,0,739,3851,269,0 135,0,2024-09-07 08:56:31:101,65918,0.8,65857,0.9,139759,0.9,180173,2.25 135,1,2024-09-07 08:56:31:591,472694,472694,0,0,221008846715,2322555570,466482,5212,1000,380,391805,0 135,2,2024-09-07 08:56:30:693,343396,343396,0,0,15641453,0,3981 135,3,2024-09-07 08:56:31:008,1,269,1,0,299,1881,269,0 136,0,2024-09-07 08:56:31:619,66904,0.6,66799,0.7,133251,0.6,177669,2.25 136,1,2024-09-07 08:56:31:442,472813,472813,0,0,220362520356,2312985725,467272,4955,586,382,391685,0 136,2,2024-09-07 08:56:31:133,342095,342095,0,0,15071463,0,3506 136,3,2024-09-07 08:56:31:105,1,269,2,0,150,2206,269,0 137,0,2024-09-07 08:56:30:926,67455,0.6,65559,0.7,129211,0.5,175085,2.00 137,1,2024-09-07 08:56:30:592,472985,472985,0,0,220619071424,2312055485,466031,5536,1418,366,391708,0 137,2,2024-09-07 08:56:31:705,336331,336331,0,0,16557804,0,3185 137,3,2024-09-07 08:56:30:779,1,269,1,0,382,3344,269,0 138,0,2024-09-07 08:56:31:759,67554,0.7,67540,0.8,135756,0.7,181142,2.00 138,1,2024-09-07 08:56:31:696,472941,472941,0,0,221412955963,2321799050,465963,5845,1133,368,391954,0 138,2,2024-09-07 08:56:30:593,338671,338671,0,0,15313274,0,4988 138,3,2024-09-07 08:56:30:611,1,269,5,0,1160,3926,269,0 139,0,2024-09-07 08:56:31:393,69859,1.6,70180,1.2,140375,2.4,187303,2.50 139,1,2024-09-07 08:56:30:573,471493,471493,0,0,219192489455,2325636758,462946,6430,2117,381,391892,0 139,2,2024-09-07 08:56:30:708,342069,342069,0,0,16252081,0,3097 139,3,2024-09-07 08:56:31:665,1,269,1,0,257,2905,269,0 140,0,2024-09-07 08:56:31:588,66395,0.3,65931,0.5,132560,0.2,176613,1.75 140,1,2024-09-07 08:56:31:554,476373,476373,0,0,222066880545,2286310798,474391,1740,242,365,391606,0 140,2,2024-09-07 08:56:30:689,342986,342986,0,0,12798869,0,3388 140,3,2024-09-07 08:56:30:770,1,269,1,0,247,2214,269,0 141,0,2024-09-07 08:56:31:709,64963,0.3,66766,0.4,127651,0.2,173401,1.50 141,1,2024-09-07 08:56:30:861,475635,475635,0,0,221695874911,2297610608,473063,2164,408,379,391614,0 141,2,2024-09-07 08:56:31:686,337058,337058,0,0,12280116,0,2342 141,3,2024-09-07 08:56:31:049,1,269,2,0,202,2413,269,0 142,0,2024-09-07 08:56:31:326,67925,0.3,67179,0.4,133797,0.2,179308,1.50 142,1,2024-09-07 08:56:30:583,474776,474776,0,0,220704235181,2298986116,471937,2573,266,382,392102,0 142,2,2024-09-07 08:56:31:307,338657,338625,32,0,14184974,0,6028 142,3,2024-09-07 08:56:31:754,1,269,2,0,484,3608,269,0 143,0,2024-09-07 08:56:31:382,71207,0.4,71237,0.6,142898,0.4,190269,1.75 143,1,2024-09-07 08:56:30:556,474986,474986,0,0,221019404577,2289885440,472224,2666,96,367,391651,0 143,2,2024-09-07 08:56:30:787,343525,343525,0,0,13482765,0,2669 143,3,2024-09-07 08:56:31:153,1,269,1,0,303,3434,269,0 144,0,2024-09-07 08:56:31:498,63910,0.6,65575,0.9,133789,0.6,175791,2.00 144,1,2024-09-07 08:56:30:576,472300,472300,0,0,219914142882,2306290075,468235,3137,928,381,391649,0 144,2,2024-09-07 08:56:31:765,343092,343092,0,0,12749819,0,3473 144,3,2024-09-07 08:56:31:745,1,269,6,0,249,3033,269,0 145,0,2024-09-07 08:56:31:373,63296,0.6,63329,0.8,134394,0.5,173223,2.25 145,1,2024-09-07 08:56:30:558,472210,472210,0,0,220548331143,2315370100,466707,4680,823,382,391698,0 145,2,2024-09-07 08:56:31:441,337839,337839,0,0,14866819,0,3903 145,3,2024-09-07 08:56:30:899,1,269,7,0,622,4153,269,0 146,0,2024-09-07 08:56:31:594,65744,0.5,65209,0.7,131304,0.4,174596,2.00 146,1,2024-09-07 08:56:31:589,473094,473094,0,0,220243795758,2317561589,464809,6438,1847,368,391770,0 146,2,2024-09-07 08:56:31:700,337961,337961,0,0,14984812,0,2730 146,3,2024-09-07 08:56:31:278,1,269,1,0,1520,5965,269,0 147,0,2024-09-07 08:56:31:741,71073,0.5,70917,0.7,141201,0.5,189823,2.00 147,1,2024-09-07 08:56:31:376,475240,475240,0,0,221192388170,2298969225,471127,3526,587,368,391791,0 147,2,2024-09-07 08:56:31:014,342705,342705,0,0,12913323,0,2789 147,3,2024-09-07 08:56:30:924,1,269,4,0,730,3958,269,0 0,0,2024-09-07 08:56:41:736,63124,0.4,63190,0.7,133872,0.4,173192,1.75 0,1,2024-09-07 08:56:40:803,475741,475741,0,0,222022963015,2319577198,472485,3050,206,370,391896,0 0,2,2024-09-07 08:56:41:066,338961,338961,0,0,13462275,0,4480 0,3,2024-09-07 08:56:40:980,1,270,4,0,319,3511,270,0 1,0,2024-09-07 08:56:41:794,69927,0.7,69434,0.9,139560,0.8,186522,2.00 1,1,2024-09-07 08:56:40:578,475250,475250,0,0,220663085541,2309989397,470743,3453,1054,371,391857,0 1,2,2024-09-07 08:56:40:654,339756,339756,0,0,12654100,0,3267 1,3,2024-09-07 08:56:41:305,1,270,50,0,262,3112,270,0 2,0,2024-09-07 08:56:41:570,69911,0.7,70102,0.8,138861,0.9,185845,2.00 2,1,2024-09-07 08:56:40:861,476347,476347,0,0,222862953809,2312558997,474238,1891,218,380,391745,0 2,2,2024-09-07 08:56:41:266,345210,345210,0,0,13093662,0,3594 2,3,2024-09-07 08:56:40:697,1,270,1,0,357,2554,270,0 3,0,2024-09-07 08:56:41:745,66613,0.4,66658,0.6,133052,0.4,176839,2.00 3,1,2024-09-07 08:56:41:619,475502,475502,0,0,221940042521,2309278462,471659,3381,462,380,391556,0 3,2,2024-09-07 08:56:41:142,343313,343290,23,0,13381895,0,5851 3,3,2024-09-07 08:56:41:756,1,270,1,0,103,1563,270,0 4,0,2024-09-07 08:56:41:780,63411,0.3,65292,0.5,132717,0.3,173955,1.75 4,1,2024-09-07 08:56:40:592,475411,475411,0,0,220901934649,2317615938,470655,3961,795,371,391846,0 4,2,2024-09-07 08:56:41:018,337009,337009,0,0,15540203,0,4528 4,3,2024-09-07 08:56:41:033,1,270,0,0,448,4000,270,0 5,0,2024-09-07 08:56:41:431,67581,0.4,67888,0.5,134848,0.3,180067,1.75 5,1,2024-09-07 08:56:40:755,475217,475217,0,0,221011624605,2320712474,469710,4395,1112,368,392005,0 5,2,2024-09-07 08:56:41:843,338567,338567,0,0,14244655,0,2432 5,3,2024-09-07 08:56:41:733,1,270,0,0,457,4181,270,0 6,0,2024-09-07 08:56:40:923,72570,0.5,72088,0.7,144043,0.5,192358,2.00 6,1,2024-09-07 08:56:40:756,475609,475609,0,0,221584222962,2312311658,470933,3832,844,379,391694,0 6,2,2024-09-07 08:56:41:119,345315,345315,0,0,14284776,0,4816 6,3,2024-09-07 08:56:41:275,1,270,0,0,340,3064,270,0 7,0,2024-09-07 08:56:41:567,65515,0.5,65775,0.7,131115,0.5,174619,2.00 7,1,2024-09-07 08:56:40:870,474975,474975,0,0,221511731589,2321189709,469024,4947,1004,382,391747,0 7,2,2024-09-07 08:56:40:781,343048,343048,0,0,14024857,0,4791 7,3,2024-09-07 08:56:40:864,1,270,9,0,398,3185,270,0 8,0,2024-09-07 08:56:41:352,65420,0.4,65305,0.5,130266,0.3,173913,1.50 8,1,2024-09-07 08:56:41:022,474145,474145,0,0,221426488170,2331590949,465844,6282,2019,366,391956,0 8,2,2024-09-07 08:56:40:790,334512,334512,0,0,16671319,0,3220 8,3,2024-09-07 08:56:40:585,1,270,3,0,357,3911,270,0 9,0,2024-09-07 08:56:41:136,66897,0.3,64871,0.5,135507,0.3,178273,1.50 9,1,2024-09-07 08:56:40:553,473994,473994,0,0,220648102700,2322242734,467496,4956,1542,370,392001,0 9,2,2024-09-07 08:56:41:087,339752,339752,0,0,14779095,0,3360 9,3,2024-09-07 08:56:41:756,1,270,165,0,496,4070,270,0 10,0,2024-09-07 08:56:41:602,72132,0.3,71516,0.5,143731,0.2,191644,1.75 10,1,2024-09-07 08:56:40:583,474807,474807,0,0,221103317803,2314787647,468445,5434,928,381,391741,0 10,2,2024-09-07 08:56:40:774,344124,344124,0,0,16457713,0,4264 10,3,2024-09-07 08:56:40:873,1,270,97,0,177,2211,270,0 11,0,2024-09-07 08:56:41:016,65847,0.5,63942,0.7,133619,0.5,177274,1.75 11,1,2024-09-07 08:56:40:577,475419,475419,0,0,221220585596,2323905255,467199,6239,1981,383,391573,0 11,2,2024-09-07 08:56:41:125,343052,343052,0,0,15311808,0,4130 11,3,2024-09-07 08:56:41:299,1,270,1,0,843,4269,270,0 12,0,2024-09-07 08:56:40:953,66891,0.3,66750,0.5,133530,0.3,177308,1.75 12,1,2024-09-07 08:56:40:936,475294,475294,0,0,221229760476,2303880567,471764,3108,422,370,391870,0 12,2,2024-09-07 08:56:41:565,340893,340893,0,0,14394496,0,3469 12,3,2024-09-07 08:56:41:064,1,270,1,0,386,4417,270,0 13,0,2024-09-07 08:56:41:438,65953,0.3,65776,0.5,131485,0.3,175034,1.50 13,1,2024-09-07 08:56:41:530,475681,475681,0,0,220977562153,2315861203,472121,3018,542,382,391740,0 13,2,2024-09-07 08:56:40:603,340408,340408,0,0,13457651,0,3287 13,3,2024-09-07 08:56:41:770,1,270,2,0,467,3987,270,0 14,0,2024-09-07 08:56:40:562,71243,0.3,71938,0.5,142248,0.3,189994,1.75 14,1,2024-09-07 08:56:41:561,478462,478462,0,0,222319181537,2298044967,475628,2644,190,364,391571,0 14,2,2024-09-07 08:56:40:771,341392,341392,0,0,13521059,0,2896 14,3,2024-09-07 08:56:41:116,1,270,0,0,1168,3590,270,0 15,0,2024-09-07 08:56:41:560,68157,0.5,68332,0.7,136370,0.5,181299,2.00 15,1,2024-09-07 08:56:41:611,476369,476369,0,0,221532368319,2300779981,473845,2346,178,381,391619,0 15,2,2024-09-07 08:56:40:999,345068,345068,0,0,11613704,0,3043 15,3,2024-09-07 08:56:41:410,1,270,17,0,1126,5406,270,0 16,0,2024-09-07 08:56:40:982,66712,0.7,66962,0.8,133393,0.7,177585,2.25 16,1,2024-09-07 08:56:40:569,476512,476512,0,0,221822487913,2315297572,472939,3272,301,370,391756,0 16,2,2024-09-07 08:56:41:462,342413,342413,0,0,14270529,0,4719 16,3,2024-09-07 08:56:41:148,1,270,91,0,317,3703,270,0 17,0,2024-09-07 08:56:41:770,67580,0.5,65969,0.7,129056,0.4,174849,1.75 17,1,2024-09-07 08:56:40:578,475140,475140,0,0,220654584913,2314416011,470272,3762,1106,368,391899,0 17,2,2024-09-07 08:56:41:672,341112,341112,0,0,13309558,0,2857 17,3,2024-09-07 08:56:40:579,1,270,14,0,268,4267,270,0 18,0,2024-09-07 08:56:40:943,67712,0.6,67951,0.8,135612,0.6,181623,2.25 18,1,2024-09-07 08:56:41:639,476377,476377,0,0,222229692883,2301023759,473862,2273,242,367,391649,0 18,2,2024-09-07 08:56:41:755,341410,341410,0,0,13158104,0,3541 18,3,2024-09-07 08:56:40:896,1,270,1,0,163,2575,270,0 19,0,2024-09-07 08:56:41:538,70403,0.6,71025,0.8,140804,0.6,187118,2.25 19,1,2024-09-07 08:56:40:569,476480,476480,0,0,222482405559,2309486917,471818,3875,787,367,391777,0 19,2,2024-09-07 08:56:41:753,347064,347064,0,0,12197071,0,3988 19,3,2024-09-07 08:56:41:135,1,270,1,0,524,2024,270,0 20,0,2024-09-07 08:56:41:442,66098,0.6,66028,0.8,132416,0.7,176676,2.25 20,1,2024-09-07 08:56:40:571,475229,475229,0,0,222016469988,2319660451,471364,3466,399,369,391886,0 20,2,2024-09-07 08:56:40:930,344010,344010,0,0,13776989,0,3721 20,3,2024-09-07 08:56:40:589,1,270,3,0,414,4809,270,0 21,0,2024-09-07 08:56:41:163,65331,0.5,65423,0.6,130625,0.4,173518,2.00 21,1,2024-09-07 08:56:41:542,474108,474108,0,0,220905149134,2321989656,468381,4468,1259,368,391962,0 21,2,2024-09-07 08:56:41:086,336758,336758,0,0,15521125,0,3747 21,3,2024-09-07 08:56:41:415,1,270,2,0,103,2693,270,0 22,0,2024-09-07 08:56:41:720,67205,0.5,67318,0.7,134415,0.5,178806,2.00 22,1,2024-09-07 08:56:41:023,474623,474623,0,0,220697871462,2320260600,467390,5591,1642,382,391667,0 22,2,2024-09-07 08:56:40:769,339202,339202,0,0,13606970,0,3134 22,3,2024-09-07 08:56:41:066,1,270,1,0,228,2385,270,0 23,0,2024-09-07 08:56:41:376,71369,0.5,71181,0.7,142869,0.5,190823,2.25 23,1,2024-09-07 08:56:41:003,475915,475915,0,0,222165131857,2328699431,468603,4761,2551,365,391690,0 23,2,2024-09-07 08:56:41:092,345627,345627,0,0,13227646,0,3010 23,3,2024-09-07 08:56:41:754,1,270,31,0,645,3361,270,0 24,0,2024-09-07 08:56:40:833,66785,0.4,66666,0.6,133870,0.4,177833,1.75 24,1,2024-09-07 08:56:40:588,474906,474906,0,0,221182086741,2317667104,469287,4137,1482,368,391987,0 24,2,2024-09-07 08:56:41:075,342107,342107,0,0,16232231,0,3607 24,3,2024-09-07 08:56:41:686,1,270,7,0,468,3863,270,0 25,0,2024-09-07 08:56:41:367,67406,0.4,65660,0.6,128975,0.4,175849,2.00 25,1,2024-09-07 08:56:40:564,475879,475879,0,0,221673319882,2317119892,471521,3802,556,371,391788,0 25,2,2024-09-07 08:56:41:614,338151,338151,0,0,16280972,0,3978 25,3,2024-09-07 08:56:41:019,1,270,25,0,255,2868,270,0 26,0,2024-09-07 08:56:41:733,65784,0.4,64216,0.5,134791,0.3,175530,1.75 26,1,2024-09-07 08:56:41:541,475403,475403,0,0,221517146130,2320526025,468411,5776,1216,381,391748,0 26,2,2024-09-07 08:56:40:861,339841,339841,0,0,15907189,0,2809 26,3,2024-09-07 08:56:41:726,1,270,40,0,796,3461,270,0 27,0,2024-09-07 08:56:41:734,71794,0.4,71928,0.6,142281,0.3,190618,2.00 27,1,2024-09-07 08:56:41:676,477250,477250,0,0,222517197445,2312401922,473793,2864,593,381,391558,0 27,2,2024-09-07 08:56:40:872,341204,341139,65,0,16096036,0,5699 27,3,2024-09-07 08:56:41:016,1,270,2,0,564,2803,270,0 28,0,2024-09-07 08:56:41:461,67143,0.5,66908,0.6,134404,0.4,179005,2.00 28,1,2024-09-07 08:56:40:800,476764,476764,0,0,222388765015,2312512766,473882,2411,471,383,391646,0 28,2,2024-09-07 08:56:41:765,343696,343696,0,0,12987726,0,2915 28,3,2024-09-07 08:56:41:782,1,270,39,0,502,2812,270,0 29,0,2024-09-07 08:56:41:374,68446,0.4,66863,0.6,130833,0.3,178363,1.75 29,1,2024-09-07 08:56:41:573,477772,477772,0,0,222456873805,2301208724,474539,2667,566,369,391621,0 29,2,2024-09-07 08:56:40:860,341265,341265,0,0,12331837,0,4018 29,3,2024-09-07 08:56:40:964,1,270,1,0,115,1905,270,0 30,0,2024-09-07 08:56:41:465,65011,0.5,63051,0.7,131895,0.4,172595,2.00 30,1,2024-09-07 08:56:40:571,477508,477508,0,0,222968995238,2308041908,475177,2038,293,382,391672,0 30,2,2024-09-07 08:56:41:275,339508,339508,0,0,12037665,0,3161 30,3,2024-09-07 08:56:40:581,1,270,4,0,519,2524,270,0 31,0,2024-09-07 08:56:41:781,69484,0.4,69810,0.5,139736,0.3,186666,1.75 31,1,2024-09-07 08:56:40:564,479099,479099,0,0,223136206529,2284195552,477704,1175,220,356,391712,0 31,2,2024-09-07 08:56:41:280,340254,340254,0,0,13837452,0,3525 31,3,2024-09-07 08:56:41:706,1,270,3,0,220,2043,270,0 32,0,2024-09-07 08:56:41:429,69701,0.4,70078,0.5,140200,0.3,186217,1.75 32,1,2024-09-07 08:56:40:805,477100,477100,0,0,222213595130,2303051621,475139,1682,279,381,391622,0 32,2,2024-09-07 08:56:40:935,345113,345113,0,0,12086416,0,3155 32,3,2024-09-07 08:56:41:017,1,270,0,0,227,2068,270,0 33,0,2024-09-07 08:56:41:551,66986,0.3,66484,0.5,133511,0.2,177801,1.75 33,1,2024-09-07 08:56:40:578,477880,477880,0,0,223676791941,2309240175,475243,2463,174,369,391730,0 33,2,2024-09-07 08:56:40:764,344399,344366,33,0,13670468,0,7012 33,3,2024-09-07 08:56:40:895,1,270,1,0,329,3120,270,0 34,0,2024-09-07 08:56:40:934,65403,0.3,67428,0.4,129173,0.2,173342,1.50 34,1,2024-09-07 08:56:41:048,478329,478329,0,0,223643308024,2298377499,477204,1118,7,367,391562,0 34,2,2024-09-07 08:56:40:767,338393,338393,0,0,12859907,0,3577 34,3,2024-09-07 08:56:41:687,1,270,1,0,299,2142,270,0 35,0,2024-09-07 08:56:40:857,66982,0.3,67371,0.5,135535,0.2,180672,1.50 35,1,2024-09-07 08:56:41:066,477241,477241,0,0,221859362255,2300050844,473879,2582,780,384,391589,0 35,2,2024-09-07 08:56:41:583,340357,340357,0,0,12790581,0,2653 35,3,2024-09-07 08:56:40:912,1,270,1,0,418,3376,270,0 36,0,2024-09-07 08:56:41:519,72358,0.5,72277,0.7,144748,0.5,191992,2.25 36,1,2024-09-07 08:56:40:586,476279,476279,0,0,221144856514,2309891954,470296,4404,1579,366,391759,0 36,2,2024-09-07 08:56:41:757,345453,345453,0,0,14539993,0,3875 36,3,2024-09-07 08:56:40:871,1,270,1,0,416,4083,270,0 37,0,2024-09-07 08:56:41:380,65391,0.5,65387,0.7,131031,0.5,175563,2.00 37,1,2024-09-07 08:56:40:585,475400,475393,0,7,220867218145,2311021678,469380,3944,2069,365,391560,0 37,2,2024-09-07 08:56:41:143,342615,342600,15,0,14786381,0,5815 37,3,2024-09-07 08:56:41:771,1,270,8,0,888,4627,270,0 38,0,2024-09-07 08:56:41:444,64693,0.5,62836,0.6,131534,0.4,171952,2.00 38,1,2024-09-07 08:56:41:610,476328,476328,0,0,222344684341,2317064147,471055,4597,676,368,391821,0 38,2,2024-09-07 08:56:40:769,337468,337421,47,0,15021172,0,6710 38,3,2024-09-07 08:56:40:998,1,270,1,0,689,3625,270,0 39,0,2024-09-07 08:56:41:761,68345,0.5,66952,0.7,130091,0.5,178459,2.00 39,1,2024-09-07 08:56:40:725,475875,475875,0,0,221297092208,2307477409,470301,4672,902,365,391594,0 39,2,2024-09-07 08:56:41:417,339875,339875,0,0,13367596,0,2689 39,3,2024-09-07 08:56:40:713,1,270,2,0,324,3458,270,0 40,0,2024-09-07 08:56:41:495,71133,0.6,71828,0.8,143512,0.6,191216,2.75 40,1,2024-09-07 08:56:40:578,475884,475884,0,0,221421927491,2317450670,468744,5697,1443,368,391651,0 40,2,2024-09-07 08:56:41:302,344108,344107,1,0,16425255,0,5137 40,3,2024-09-07 08:56:41:142,1,270,1,0,398,3233,270,0 41,0,2024-09-07 08:56:41:036,65622,1.9,67108,1.4,128115,3.0,175340,3.50 41,1,2024-09-07 08:56:40:772,475561,475561,0,0,221083496112,2308792592,470016,4910,635,370,391742,0 41,2,2024-09-07 08:56:40:764,342019,342019,0,0,15623801,0,3356 41,3,2024-09-07 08:56:41:676,1,270,6,0,366,3051,270,0 42,0,2024-09-07 08:56:41:475,65635,0.8,65800,1.0,131739,0.8,173910,2.50 42,1,2024-09-07 08:56:41:450,474701,474701,0,0,221149136267,2321266659,467416,5833,1452,380,391675,0 42,2,2024-09-07 08:56:41:134,340916,340916,0,0,14922125,0,3568 42,3,2024-09-07 08:56:41:010,1,270,1,0,446,2382,270,0 43,0,2024-09-07 08:56:40:920,65218,0.6,63571,0.9,132799,0.6,174814,2.00 43,1,2024-09-07 08:56:40:578,475879,475879,0,0,222149040951,2317879862,469612,4938,1329,366,391696,0 43,2,2024-09-07 08:56:41:742,339966,339966,0,0,14280791,0,3812 43,3,2024-09-07 08:56:41:756,1,270,2,0,467,3154,270,0 44,0,2024-09-07 08:56:40:860,71054,0.4,71525,0.6,142465,0.4,190201,1.75 44,1,2024-09-07 08:56:40:563,477766,477766,0,0,221962828999,2288048896,475205,2129,432,356,391809,0 44,2,2024-09-07 08:56:41:271,340887,340887,0,0,12279785,0,2231 44,3,2024-09-07 08:56:41:110,1,270,0,0,817,3059,270,0 45,0,2024-09-07 08:56:41:782,67168,0.6,65691,0.8,137907,0.6,181082,2.00 45,1,2024-09-07 08:56:41:011,476895,476895,0,0,222029408398,2298673474,475240,1643,12,382,391917,0 45,2,2024-09-07 08:56:41:276,344782,344782,0,0,12985505,0,3596 45,3,2024-09-07 08:56:40:934,1,270,1,0,271,2903,270,0 46,0,2024-09-07 08:56:40:955,66285,0.5,66159,0.7,132524,0.5,175512,2.00 46,1,2024-09-07 08:56:40:578,478471,478471,0,0,222568688715,2294981336,476123,2074,274,366,391539,0 46,2,2024-09-07 08:56:40:593,343505,343505,0,0,12445604,0,2920 46,3,2024-09-07 08:56:41:135,1,270,1,0,908,3879,270,0 47,0,2024-09-07 08:56:41:113,65925,0.4,65752,0.6,132219,0.3,174447,1.75 47,1,2024-09-07 08:56:40:570,477711,477711,0,0,221685169516,2286119554,475382,2195,134,366,391641,0 47,2,2024-09-07 08:56:40:914,340835,340835,0,0,12356468,0,2558 47,3,2024-09-07 08:56:41:116,1,270,1,0,529,2410,270,0 48,0,2024-09-07 08:56:41:509,68779,0.3,68414,0.4,136475,0.2,182645,1.50 48,1,2024-09-07 08:56:41:042,476816,476816,0,0,222545205860,2309554553,473700,2816,300,384,391710,0 48,2,2024-09-07 08:56:40:699,340127,340127,0,0,12141996,0,3031 48,3,2024-09-07 08:56:40:755,1,270,2,0,339,2276,270,0 49,0,2024-09-07 08:56:41:738,72821,0.4,71503,0.5,138759,0.3,189428,1.75 49,1,2024-09-07 08:56:41:020,475836,475836,0,0,221378112624,2305963693,471963,2692,1181,382,391809,0 49,2,2024-09-07 08:56:41:797,346450,346450,0,0,12986006,0,3900 49,3,2024-09-07 08:56:41:421,1,270,1,0,408,2862,270,0 50,0,2024-09-07 08:56:41:522,66286,0.3,65732,0.5,132197,0.2,176433,1.75 50,1,2024-09-07 08:56:41:011,477480,477480,0,0,223019034317,2305090641,474520,2650,310,368,391530,0 50,2,2024-09-07 08:56:41:067,344195,344195,0,0,11930538,0,2263 50,3,2024-09-07 08:56:41:291,1,270,109,0,335,2267,270,0 51,0,2024-09-07 08:56:41:698,66859,0.3,65391,0.4,127475,0.2,173957,1.50 51,1,2024-09-07 08:56:41:688,478059,478059,0,0,222930416037,2299564139,475255,1864,940,365,391706,0 51,2,2024-09-07 08:56:41:334,338351,338351,0,0,11190591,0,3337 51,3,2024-09-07 08:56:41:030,1,270,1,0,678,2097,270,0 52,0,2024-09-07 08:56:41:493,67884,0.4,67418,0.6,134972,0.4,179454,2.00 52,1,2024-09-07 08:56:40:586,475610,475610,0,0,220812724762,2312113524,468448,5908,1254,368,391722,0 52,2,2024-09-07 08:56:41:770,337684,337646,38,0,15387152,0,6742 52,3,2024-09-07 08:56:40:683,1,270,13,0,1782,4602,270,0 53,0,2024-09-07 08:56:41:748,71174,0.6,69188,0.7,144777,0.6,190233,2.25 53,1,2024-09-07 08:56:40:771,474798,474798,0,0,221412079611,2322329033,467370,5072,2356,367,391702,0 53,2,2024-09-07 08:56:41:304,345592,345592,0,0,12902729,0,2727 53,3,2024-09-07 08:56:40:700,1,270,2,0,271,2349,270,0 54,0,2024-09-07 08:56:41:619,65370,0.7,65933,0.8,130524,0.5,175038,2.50 54,1,2024-09-07 08:56:40:582,476109,476109,0,0,222111180335,2307301073,471372,4140,597,367,391659,0 54,2,2024-09-07 08:56:40:865,343768,343762,6,0,14300797,0,5382 54,3,2024-09-07 08:56:40:766,1,270,1,0,676,4157,270,0 55,0,2024-09-07 08:56:41:766,63416,0.6,65657,0.8,132186,0.5,172163,2.50 55,1,2024-09-07 08:56:40:764,475747,475747,0,0,221587991580,2304865496,470196,4764,787,365,391731,0 55,2,2024-09-07 08:56:40:734,338565,338565,0,0,14267353,0,3275 55,3,2024-09-07 08:56:40:673,1,270,33,0,304,2940,270,0 56,0,2024-09-07 08:56:41:573,67538,0.9,63718,1.0,131045,1.1,175601,2.25 56,1,2024-09-07 08:56:40:572,474185,474185,0,0,221293370015,2336191795,466939,5808,1438,381,391729,0 56,2,2024-09-07 08:56:41:303,339818,339818,0,0,15467192,0,3567 56,3,2024-09-07 08:56:41:072,1,270,1,0,705,3841,270,0 57,0,2024-09-07 08:56:40:995,70828,1.3,70702,1.1,141448,1.7,189639,2.75 57,1,2024-09-07 08:56:40:994,475527,475527,0,0,221228935722,2314593556,470197,4658,672,367,392032,0 57,2,2024-09-07 08:56:41:327,343271,343271,0,0,16746011,0,3317 57,3,2024-09-07 08:56:41:741,1,270,1,0,359,3473,270,0 58,0,2024-09-07 08:56:40:560,65802,1.1,63945,1.0,133921,1.5,175525,2.50 58,1,2024-09-07 08:56:40:578,476563,476560,0,3,221730944035,2313630028,470824,5025,711,367,391603,3 58,2,2024-09-07 08:56:41:070,343623,343623,0,0,14893720,0,2549 58,3,2024-09-07 08:56:41:068,1,270,1,0,1043,2979,270,0 59,0,2024-09-07 08:56:41:770,66299,0.8,66073,1.0,131842,0.8,175003,2.75 59,1,2024-09-07 08:56:40:807,475813,475813,0,0,221545597879,2314662094,470022,4526,1265,369,391525,0 59,2,2024-09-07 08:56:40:582,343227,343227,0,0,13612122,0,2604 59,3,2024-09-07 08:56:41:743,1,270,1,0,1015,3602,270,0 60,0,2024-09-07 08:56:41:752,65074,0.4,64985,0.6,131025,0.3,173081,1.75 60,1,2024-09-07 08:56:40:783,477403,477403,0,0,222850099275,2307943170,474948,1938,517,370,392031,0 60,2,2024-09-07 08:56:41:163,339403,339403,0,0,14680429,0,3811 60,3,2024-09-07 08:56:41:271,1,270,1,0,124,2564,270,0 61,0,2024-09-07 08:56:41:544,69684,0.5,70077,0.7,139458,0.5,186511,1.75 61,1,2024-09-07 08:56:40:771,475854,475854,0,0,221443855989,2314143051,471272,3879,703,382,391880,0 61,2,2024-09-07 08:56:41:123,341077,341077,0,0,13184331,0,2780 61,3,2024-09-07 08:56:41:691,1,270,2,0,397,3578,270,0 62,0,2024-09-07 08:56:41:709,70328,0.6,71685,0.7,136794,0.6,185917,2.00 62,1,2024-09-07 08:56:41:111,478467,478461,0,6,222999655149,2297741064,475994,2349,118,365,391715,6 62,2,2024-09-07 08:56:41:644,343889,343888,1,0,14512657,0,5555 62,3,2024-09-07 08:56:41:146,1,270,1,0,287,1849,270,0 63,0,2024-09-07 08:56:41:459,66656,0.5,66812,0.6,133664,0.4,177359,1.75 63,1,2024-09-07 08:56:40:805,477665,477659,0,6,222442628018,2301359734,476082,1527,50,381,391677,6 63,2,2024-09-07 08:56:40:769,343826,343826,0,0,12174135,0,2674 63,3,2024-09-07 08:56:41:732,1,270,15,0,667,2993,270,0 64,0,2024-09-07 08:56:41:521,65134,0.4,65001,0.6,130116,0.4,173272,1.75 64,1,2024-09-07 08:56:40:756,476195,476195,0,0,222610403432,2319008460,471699,3080,1416,370,391783,0 64,2,2024-09-07 08:56:41:149,341090,341071,19,0,12964989,0,6121 64,3,2024-09-07 08:56:41:146,1,270,1,0,265,2602,270,0 65,0,2024-09-07 08:56:41:681,66988,0.5,67426,0.7,134501,0.5,179428,2.00 65,1,2024-09-07 08:56:40:881,475350,475350,0,0,220931209574,2309211641,471756,3149,445,382,391901,0 65,2,2024-09-07 08:56:41:708,340165,340165,0,0,14095268,0,3367 65,3,2024-09-07 08:56:41:701,1,270,6,0,163,2574,270,0 66,0,2024-09-07 08:56:41:786,71918,0.5,71712,0.7,144034,0.5,191166,2.00 66,1,2024-09-07 08:56:41:293,477181,477181,0,0,222364283001,2308743781,474605,2375,201,380,391653,0 66,2,2024-09-07 08:56:41:136,347702,347702,0,0,13611904,0,4956 66,3,2024-09-07 08:56:41:081,1,270,1,0,291,2781,270,0 67,0,2024-09-07 08:56:41:414,66204,0.6,65518,0.7,132060,0.6,175752,2.00 67,1,2024-09-07 08:56:40:769,476239,476238,0,1,222224692657,2314927571,472388,3101,749,380,391787,1 67,2,2024-09-07 08:56:40:592,344385,344385,0,0,12520194,0,2889 67,3,2024-09-07 08:56:41:752,1,270,1,0,338,2414,270,0 68,0,2024-09-07 08:56:40:564,65392,0.6,65191,0.7,129952,0.5,173813,2.00 68,1,2024-09-07 08:56:40:578,475504,475504,0,0,221395055378,2317955813,471319,3015,1170,381,391953,0 68,2,2024-09-07 08:56:41:050,337201,337136,65,0,16121095,0,6698 68,3,2024-09-07 08:56:40:735,1,270,1,0,417,3052,270,0 69,0,2024-09-07 08:56:41:754,66384,0.6,66702,0.8,133077,0.6,177499,2.00 69,1,2024-09-07 08:56:41:036,474163,474163,0,0,221136425180,2329223386,468457,4304,1402,384,391994,0 69,2,2024-09-07 08:56:41:736,339964,339964,0,0,16204069,0,3722 69,3,2024-09-07 08:56:40:766,1,270,1,0,698,3758,270,0 70,0,2024-09-07 08:56:41:537,71494,0.6,71393,0.9,143434,0.5,190614,2.25 70,1,2024-09-07 08:56:40:804,477341,477341,0,0,223090217326,2313593235,473673,3140,528,366,391725,0 70,2,2024-09-07 08:56:41:334,344006,344006,0,0,14740467,0,4044 70,3,2024-09-07 08:56:40:749,1,270,78,0,854,3163,270,0 71,0,2024-09-07 08:56:41:386,65624,1.1,65514,1.1,131331,1.4,176158,2.75 71,1,2024-09-07 08:56:41:608,475675,475675,0,0,221776236191,2310621829,470507,4547,621,368,391738,0 71,2,2024-09-07 08:56:41:068,343579,343579,0,0,15062020,0,4042 71,3,2024-09-07 08:56:41:752,1,270,17,0,644,3737,270,0 72,0,2024-09-07 08:56:41:073,68532,0.7,67109,0.8,130674,0.8,177737,2.25 72,1,2024-09-07 08:56:41:026,476391,476391,0,0,222067121925,2315197006,471324,4135,932,369,391819,0 72,2,2024-09-07 08:56:41:755,339724,339724,0,0,16627265,0,3983 72,3,2024-09-07 08:56:41:755,1,270,1,0,564,4628,270,0 73,0,2024-09-07 08:56:41:109,63982,0.4,65496,0.6,134204,0.3,174414,2.00 73,1,2024-09-07 08:56:40:784,476461,476461,0,0,222095620497,2305158569,473363,2861,237,367,391750,0 73,2,2024-09-07 08:56:41:739,340047,340047,0,0,15947623,0,3701 73,3,2024-09-07 08:56:40:976,1,270,1,0,274,3771,270,0 74,0,2024-09-07 08:56:41:323,71624,0.4,73249,0.6,139747,0.4,190039,2.00 74,1,2024-09-07 08:56:40:635,475797,475797,0,0,221128253880,2305928269,471389,3609,799,381,391681,0 74,2,2024-09-07 08:56:41:013,341154,341154,0,0,15293170,0,4253 74,3,2024-09-07 08:56:41:442,1,270,2,0,522,3418,270,0 75,0,2024-09-07 08:56:41:775,68409,0.5,68043,0.8,136286,0.5,182158,2.25 75,1,2024-09-07 08:56:41:585,475136,475136,0,0,221528096111,2312738798,470200,4272,664,380,391660,0 75,2,2024-09-07 08:56:41:373,343227,343227,0,0,15801957,0,4766 75,3,2024-09-07 08:56:41:067,1,270,1,0,702,3773,270,0 76,0,2024-09-07 08:56:40:586,66290,0.7,65670,0.8,131891,0.7,176500,2.50 76,1,2024-09-07 08:56:40:817,475847,475847,0,0,222264086061,2315564725,472738,2558,551,382,391692,0 76,2,2024-09-07 08:56:41:062,344137,344137,0,0,13110854,0,3784 76,3,2024-09-07 08:56:41:142,1,270,1,0,175,2700,270,0 77,0,2024-09-07 08:56:41:708,65543,0.5,65824,0.7,131490,0.4,174089,1.75 77,1,2024-09-07 08:56:40:844,476407,476407,0,0,221936524121,2314524726,472952,3198,257,381,391869,0 77,2,2024-09-07 08:56:41:282,338570,338570,0,0,13664161,0,3890 77,3,2024-09-07 08:56:41:103,1,270,1,0,382,2933,270,0 78,0,2024-09-07 08:56:41:744,68670,0.4,68146,0.6,137013,0.3,181886,2.00 78,1,2024-09-07 08:56:40:609,476617,476617,0,0,221661323873,2297996419,473618,2680,319,367,391670,0 78,2,2024-09-07 08:56:41:408,340171,340171,0,0,12234012,0,3855 78,3,2024-09-07 08:56:41:133,1,270,1,0,181,2492,270,0 79,0,2024-09-07 08:56:41:356,68742,0.4,70534,0.6,144003,0.4,187044,2.25 79,1,2024-09-07 08:56:40:575,477935,477935,0,0,222207095614,2296459269,475089,2509,337,369,391682,0 79,2,2024-09-07 08:56:41:068,346676,346676,0,0,12494071,0,3212 79,3,2024-09-07 08:56:40:758,1,270,2,0,418,3800,270,0 80,0,2024-09-07 08:56:41:087,66184,0.6,68103,0.7,130337,0.6,176211,2.00 80,1,2024-09-07 08:56:41:619,475879,475879,0,0,221594700180,2304054671,472903,2806,170,368,391791,0 80,2,2024-09-07 08:56:41:095,344617,344617,0,0,12888213,0,4433 80,3,2024-09-07 08:56:40:576,1,270,1,0,190,3608,270,0 81,0,2024-09-07 08:56:41:547,65023,0.4,66821,0.6,127720,0.4,172968,1.75 81,1,2024-09-07 08:56:41:657,475024,475024,0,0,220797765550,2306176734,471151,3410,463,382,391879,0 81,2,2024-09-07 08:56:41:127,338092,338092,0,0,13116312,0,3993 81,3,2024-09-07 08:56:41:119,1,270,4,0,374,3109,270,0 82,0,2024-09-07 08:56:41:539,67042,0.4,67486,0.6,135112,0.3,179584,1.75 82,1,2024-09-07 08:56:40:582,476661,476657,0,4,221845252367,2308478969,473431,2615,611,381,391768,4 82,2,2024-09-07 08:56:41:701,341062,341062,0,0,12466753,0,3986 82,3,2024-09-07 08:56:41:756,1,270,0,0,363,2960,270,0 83,0,2024-09-07 08:56:41:528,71861,0.5,71763,0.6,142659,0.5,190906,2.00 83,1,2024-09-07 08:56:40:551,475427,475427,0,0,222105916639,2313847602,472113,3068,246,382,391709,0 83,2,2024-09-07 08:56:40:764,345022,345022,0,0,12608919,0,3119 83,3,2024-09-07 08:56:40:748,1,270,13,0,250,3023,270,0 84,0,2024-09-07 08:56:41:972,65946,0.8,65708,0.9,131659,0.7,176466,2.25 84,1,2024-09-07 08:56:41:194,475298,475298,0,0,221525739503,2313346483,470448,4266,584,367,391967,0 84,2,2024-09-07 08:56:40:571,343650,343650,0,0,14568310,0,3801 84,3,2024-09-07 08:56:41:152,1,270,2,0,908,4035,270,0 85,0,2024-09-07 08:56:41:018,63480,0.7,63387,0.8,134515,0.7,173704,2.25 85,1,2024-09-07 08:56:40:566,474781,474781,0,0,221025791627,2331512955,467921,5753,1107,381,392006,0 85,2,2024-09-07 08:56:40:876,340349,340349,0,0,15199703,0,3656 85,3,2024-09-07 08:56:40:687,1,270,3,0,789,3558,270,0 86,0,2024-09-07 08:56:40:902,66057,0.5,67880,0.7,129790,0.5,175368,2.00 86,1,2024-09-07 08:56:40:824,475921,475921,0,0,222066424659,2319027517,470925,4268,728,366,391961,0 86,2,2024-09-07 08:56:40:855,338969,338968,1,0,16116200,0,5004 86,3,2024-09-07 08:56:40:586,1,270,31,0,286,3609,270,0 87,0,2024-09-07 08:56:41:302,71179,0.7,71190,0.8,142933,0.9,191099,2.25 87,1,2024-09-07 08:56:40:566,475827,475827,0,0,222075581861,2319038001,471341,4002,484,366,392012,0 87,2,2024-09-07 08:56:41:166,342132,342132,0,0,14496692,0,4045 87,3,2024-09-07 08:56:41:795,1,270,8,0,473,3939,270,0 88,0,2024-09-07 08:56:41:490,67026,0.5,67398,0.6,134492,0.5,178745,1.75 88,1,2024-09-07 08:56:40:569,474617,474617,0,0,221198117282,2317702798,468423,4680,1514,365,392084,0 88,2,2024-09-07 08:56:40:691,343675,343675,0,0,16432794,0,3583 88,3,2024-09-07 08:56:41:298,1,270,1,0,435,3139,270,0 89,0,2024-09-07 08:56:41:877,68612,0.5,66282,0.7,131650,0.4,178364,1.75 89,1,2024-09-07 08:56:40:554,474082,474082,0,0,221739982591,2334837491,467147,5713,1222,382,391866,0 89,2,2024-09-07 08:56:41:134,341310,341310,0,0,15656999,0,2910 89,3,2024-09-07 08:56:41:805,1,270,0,0,325,4953,270,0 90,0,2024-09-07 08:56:41:643,63287,0.4,64732,0.6,132447,0.4,172374,1.75 90,1,2024-09-07 08:56:40:591,475898,475898,0,0,220982068037,2312837542,471752,3867,279,381,391825,0 90,2,2024-09-07 08:56:41:423,337831,337831,0,0,16865221,0,3060 90,3,2024-09-07 08:56:40:933,1,270,4,0,200,2761,270,0 91,0,2024-09-07 08:56:40:931,69923,0.4,67722,0.5,141534,0.3,186397,1.75 91,1,2024-09-07 08:56:40:566,474612,474612,0,0,221213809960,2322905571,468719,5199,694,381,392047,0 91,2,2024-09-07 08:56:41:334,340001,340001,0,0,15094605,0,2896 91,3,2024-09-07 08:56:40:603,1,270,6,0,216,2452,270,0 92,0,2024-09-07 08:56:41:447,70371,0.5,72160,0.6,137838,0.5,186220,1.75 92,1,2024-09-07 08:56:40:585,475798,475798,0,0,221889242822,2310776585,473229,2163,406,382,392136,0 92,2,2024-09-07 08:56:41:353,346698,346698,0,0,12935029,0,2801 92,3,2024-09-07 08:56:41:016,1,270,2,0,167,2157,270,0 93,0,2024-09-07 08:56:40:984,67035,0.4,68732,0.6,131394,0.3,178004,1.75 93,1,2024-09-07 08:56:40:845,476368,476368,0,0,222229827723,2316937459,471811,3767,790,366,391776,0 93,2,2024-09-07 08:56:40:930,343862,343862,0,0,13830228,0,2797 93,3,2024-09-07 08:56:41:409,1,270,9,0,190,2676,270,0 94,0,2024-09-07 08:56:41:688,65091,0.3,65933,0.5,131449,0.3,174072,1.75 94,1,2024-09-07 08:56:40:564,475735,475735,0,0,222209300206,2318450156,472431,3125,179,381,391850,0 94,2,2024-09-07 08:56:40:769,338064,338064,0,0,12681722,0,2443 94,3,2024-09-07 08:56:41:703,1,270,9,0,264,3353,270,0 95,0,2024-09-07 08:56:41:357,67658,0.3,67433,0.5,135822,0.3,180349,1.50 95,1,2024-09-07 08:56:40:851,476421,476421,0,0,221971009374,2304609766,472832,3212,377,367,391713,0 95,2,2024-09-07 08:56:41:017,339155,339155,0,0,13091973,0,3308 95,3,2024-09-07 08:56:41:722,1,270,1,0,718,4574,270,0 96,0,2024-09-07 08:56:41:102,72374,0.4,72548,0.5,144934,0.3,191712,1.75 96,1,2024-09-07 08:56:41:584,475447,475447,0,0,221383985414,2309842554,471858,2854,735,384,391894,0 96,2,2024-09-07 08:56:41:296,346014,346014,0,0,13892446,0,4042 96,3,2024-09-07 08:56:41:158,1,270,4,0,411,3142,270,0 97,0,2024-09-07 08:56:41:323,66043,0.3,65732,0.5,131956,0.3,175485,1.75 97,1,2024-09-07 08:56:40:774,477249,477249,0,0,222342406717,2304020484,474199,2493,557,367,392140,0 97,2,2024-09-07 08:56:40:612,344343,344343,0,0,13069519,0,3036 97,3,2024-09-07 08:56:40:570,1,270,1,0,214,3055,270,0 98,0,2024-09-07 08:56:41:698,65233,0.3,65228,0.4,131108,0.2,173890,1.50 98,1,2024-09-07 08:56:40:570,476656,476656,0,0,222305641127,2311502207,474500,2055,101,382,391997,0 98,2,2024-09-07 08:56:40:771,338344,338344,0,0,12960925,0,3080 98,3,2024-09-07 08:56:40:699,1,270,9,0,840,4644,270,0 99,0,2024-09-07 08:56:41:473,66616,0.3,66979,0.4,133476,0.2,178678,1.50 99,1,2024-09-07 08:56:41:737,476328,476328,0,0,221135501595,2303495916,472523,3065,740,381,392069,0 99,2,2024-09-07 08:56:41:422,342567,342567,0,0,14063953,0,3106 99,3,2024-09-07 08:56:40:582,1,270,2,0,187,2168,270,0 100,0,2024-09-07 08:56:41:477,71711,0.6,71703,0.8,143273,0.7,191390,2.25 100,1,2024-09-07 08:56:40:558,473426,473426,0,0,220410619578,2327772399,466519,5586,1321,381,391989,0 100,2,2024-09-07 08:56:41:820,342550,342539,11,0,15426760,0,5417 100,3,2024-09-07 08:56:41:731,1,270,2,0,559,5001,270,0 101,0,2024-09-07 08:56:41:732,67535,1.6,65748,1.1,129000,1.7,177610,2.50 101,1,2024-09-07 08:56:40:553,473705,473705,0,0,220491463819,2320894280,466326,5607,1772,368,391769,0 101,2,2024-09-07 08:56:41:763,342465,342465,0,0,16601501,0,4644 101,3,2024-09-07 08:56:40:954,1,270,3,0,448,3325,270,0 102,0,2024-09-07 08:56:40:965,64759,0.7,67032,0.8,135010,0.7,176891,2.00 102,1,2024-09-07 08:56:41:160,474841,474841,0,0,221234029790,2321051049,469174,4826,841,369,391883,0 102,2,2024-09-07 08:56:41:737,341469,341415,54,0,15095790,0,6768 102,3,2024-09-07 08:56:41:620,1,270,1,0,410,2786,270,0 103,0,2024-09-07 08:56:41:591,67629,0.4,67526,0.6,127471,0.4,175772,1.75 103,1,2024-09-07 08:56:41:627,473829,473829,0,0,220922892902,2325161158,467514,4934,1381,381,391829,0 103,2,2024-09-07 08:56:40:582,338684,338684,0,0,14285095,0,2582 103,3,2024-09-07 08:56:40:758,1,270,4,0,916,3606,270,0 104,0,2024-09-07 08:56:41:049,70575,0.7,70969,0.9,140727,0.6,190124,2.25 104,1,2024-09-07 08:56:41:620,475449,475449,0,0,221158155051,2322428209,468758,5593,1098,365,392168,0 104,2,2024-09-07 08:56:41:685,340233,340233,0,0,15998054,0,3941 104,3,2024-09-07 08:56:41:433,1,270,6,0,1245,6604,270,0 105,0,2024-09-07 08:56:41:092,67544,1.1,65605,1.2,137294,1.5,180732,3.25 105,1,2024-09-07 08:56:40:555,475662,475662,0,0,221594611847,2322550977,469339,5344,979,366,391797,0 105,2,2024-09-07 08:56:41:333,343797,343797,0,0,15502047,0,3509 105,3,2024-09-07 08:56:41:308,1,270,8,0,399,4357,270,0 106,0,2024-09-07 08:56:40:935,64274,0.9,65846,1.0,134811,1.0,176007,2.75 106,1,2024-09-07 08:56:41:758,475673,475673,0,0,220689337645,2316454261,468557,6356,760,369,391767,0 106,2,2024-09-07 08:56:40:756,341307,341307,0,0,14976037,0,2795 106,3,2024-09-07 08:56:40:680,1,270,0,0,470,3685,270,0 107,0,2024-09-07 08:56:41:100,65508,0.7,65473,0.8,130925,0.7,173852,2.00 107,1,2024-09-07 08:56:40:590,474139,474139,0,0,221241594454,2324306798,468809,4781,549,381,392234,0 107,2,2024-09-07 08:56:41:292,337959,337958,1,0,15664593,0,5024 107,3,2024-09-07 08:56:41:758,1,270,2,0,353,3512,270,0 108,0,2024-09-07 08:56:41:888,68326,0.4,68653,0.5,136507,0.3,182573,1.75 108,1,2024-09-07 08:56:41:296,475889,475889,0,0,222305137817,2313452266,472663,2840,386,368,391857,0 108,2,2024-09-07 08:56:41:774,338936,338936,0,0,14221321,0,2647 108,3,2024-09-07 08:56:41:333,1,270,0,0,749,5613,270,0 109,0,2024-09-07 08:56:41:754,71456,0.4,70657,0.5,141837,0.3,189140,1.75 109,1,2024-09-07 08:56:40:598,473994,473994,0,0,221486597665,2320009036,470120,3357,517,383,392132,0 109,2,2024-09-07 08:56:40:939,344059,344059,0,0,14672686,0,3617 109,3,2024-09-07 08:56:41:140,1,270,2,0,249,3064,270,0 110,0,2024-09-07 08:56:41:837,66317,0.4,64503,0.6,135169,0.4,176954,1.75 110,1,2024-09-07 08:56:41:662,477237,477237,0,0,222395835465,2306554121,474088,2271,878,369,392045,0 110,2,2024-09-07 08:56:41:318,344458,344458,0,0,14015889,0,3264 110,3,2024-09-07 08:56:40:690,1,270,1,0,406,3471,270,0 111,0,2024-09-07 08:56:41:416,65493,0.3,65001,0.5,129990,0.3,174387,1.75 111,1,2024-09-07 08:56:41:000,477233,477233,0,0,222961912269,2308754432,475239,1658,336,382,391690,0 111,2,2024-09-07 08:56:41:129,337726,337726,0,0,13093574,0,2763 111,3,2024-09-07 08:56:40:919,1,270,2,0,379,3463,270,0 112,0,2024-09-07 08:56:40:929,67601,0.3,67367,0.4,134993,0.2,179583,1.50 112,1,2024-09-07 08:56:40:824,477246,477246,0,0,221925540354,2299538302,474810,2008,428,380,391624,0 112,2,2024-09-07 08:56:41:142,339975,339974,1,0,13467779,0,5036 112,3,2024-09-07 08:56:40:592,1,270,1,0,282,2754,270,0 113,0,2024-09-07 08:56:40:877,71323,0.3,71354,0.5,143463,0.2,191933,1.50 113,1,2024-09-07 08:56:41:708,478054,478054,0,0,223378346617,2303438248,475548,2016,490,366,391661,0 113,2,2024-09-07 08:56:41:303,345928,345928,0,0,12286255,0,3813 113,3,2024-09-07 08:56:40:687,1,270,0,0,340,3766,270,0 114,0,2024-09-07 08:56:40:875,66741,0.4,67208,0.5,133316,0.3,178535,1.75 114,1,2024-09-07 08:56:40:716,476341,476341,0,0,221893001089,2308936688,471530,3222,1589,381,391556,0 114,2,2024-09-07 08:56:40:873,343926,343925,1,0,13297982,0,5069 114,3,2024-09-07 08:56:41:279,1,270,1,0,395,2704,270,0 115,0,2024-09-07 08:56:40:559,65838,0.3,66102,0.4,132283,0.2,175770,1.50 115,1,2024-09-07 08:56:40:571,476370,476370,0,0,222423933953,2312034743,471972,3561,837,382,391639,0 115,2,2024-09-07 08:56:41:124,340496,340496,0,0,11544142,0,2152 115,3,2024-09-07 08:56:41:017,1,270,21,0,159,1465,270,0 116,0,2024-09-07 08:56:41:758,65889,0.6,65770,0.8,131505,0.5,176066,2.00 116,1,2024-09-07 08:56:40:855,473655,473655,0,0,221241815124,2335963552,467243,4357,2055,380,391782,0 116,2,2024-09-07 08:56:41:757,339178,339178,0,0,16572690,0,3529 116,3,2024-09-07 08:56:40:923,1,270,18,0,415,3738,270,0 117,0,2024-09-07 08:56:40:986,71707,0.6,71303,0.8,142820,0.6,191314,2.00 117,1,2024-09-07 08:56:41:590,475051,475051,0,0,221470667086,2314691976,470319,4252,480,370,392033,0 117,2,2024-09-07 08:56:41:129,344743,344743,0,0,13652622,0,3700 117,3,2024-09-07 08:56:41:065,1,270,28,0,490,4092,270,0 118,0,2024-09-07 08:56:41:780,65138,0.6,66921,0.7,136448,0.6,177964,2.00 118,1,2024-09-07 08:56:40:586,475158,475158,0,0,220872103128,2318672427,468768,4955,1435,366,391736,0 118,2,2024-09-07 08:56:41:586,342730,342730,0,0,14858836,0,2781 118,3,2024-09-07 08:56:41:764,1,270,1,0,235,2963,270,0 119,0,2024-09-07 08:56:41:362,66197,0.8,66657,0.8,133474,1.0,177254,2.25 119,1,2024-09-07 08:56:40:552,476169,476169,0,0,221502011588,2311282063,471494,4151,524,367,391780,0 119,2,2024-09-07 08:56:41:266,342095,342095,0,0,14101596,0,4174 119,3,2024-09-07 08:56:41:333,1,270,1,0,443,3577,270,0 120,0,2024-09-07 08:56:41:595,64842,0.5,64645,0.7,129578,0.4,172901,2.00 120,1,2024-09-07 08:56:40:860,475693,475693,0,0,220722845808,2311811989,470989,4352,352,368,391961,0 120,2,2024-09-07 08:56:40:784,338813,338812,1,0,16634814,0,5281 120,3,2024-09-07 08:56:41:327,1,270,6,0,241,3327,270,0 121,0,2024-09-07 08:56:41:704,69782,0.7,69682,0.9,139876,0.9,186601,2.00 121,1,2024-09-07 08:56:41:661,475612,475612,0,0,221228809571,2312448318,470986,4209,417,367,391840,0 121,2,2024-09-07 08:56:41:133,339303,339303,0,0,15865892,0,4127 121,3,2024-09-07 08:56:40:730,1,270,10,0,269,3075,270,0 122,0,2024-09-07 08:56:41:768,69564,0.8,67830,0.9,142208,1.0,186193,2.00 122,1,2024-09-07 08:56:40:858,474995,474995,0,0,221424871646,2320370665,468919,5258,818,366,392130,0 122,2,2024-09-07 08:56:41:330,343977,343904,73,0,18566664,0,5989 122,3,2024-09-07 08:56:40:595,1,270,1,0,411,5102,270,0 123,0,2024-09-07 08:56:40:986,66468,0.9,65010,0.8,135424,1.0,177229,2.25 123,1,2024-09-07 08:56:40:565,475163,475163,0,0,221088038263,2328640771,466485,6962,1716,369,392039,0 123,2,2024-09-07 08:56:41:027,341128,341127,1,0,15374766,0,5215 123,3,2024-09-07 08:56:41:136,1,270,9,0,168,3132,270,0 124,0,2024-09-07 08:56:40:962,67511,0.3,67449,0.5,127325,0.3,174803,1.50 124,1,2024-09-07 08:56:41:033,476940,476940,0,0,221545914003,2299520331,474031,2544,365,367,392178,0 124,2,2024-09-07 08:56:41:011,338641,338641,0,0,12443059,0,3101 124,3,2024-09-07 08:56:40:771,1,270,1,0,490,2910,270,0 125,0,2024-09-07 08:56:41:435,67705,0.3,67406,0.5,135763,0.3,180511,1.50 125,1,2024-09-07 08:56:40:857,475282,475282,0,0,221706446191,2310189863,472176,2710,396,383,391702,0 125,2,2024-09-07 08:56:41:118,340224,340224,0,0,13614035,0,4534 125,3,2024-09-07 08:56:41:134,1,270,2,0,709,3626,270,0 126,0,2024-09-07 08:56:41:473,72348,0.5,74305,0.6,141983,0.4,192601,1.75 126,1,2024-09-07 08:56:40:564,477258,477258,0,0,221857414931,2297118986,474534,2460,264,365,391987,0 126,2,2024-09-07 08:56:40:614,347016,347016,0,0,14036801,0,3186 126,3,2024-09-07 08:56:40:913,1,270,5,0,183,3560,270,0 127,0,2024-09-07 08:56:41:622,65732,0.4,66119,0.5,131810,0.3,175606,1.75 127,1,2024-09-07 08:56:40:585,476917,476917,0,0,221976843709,2298337207,473949,2815,153,365,391816,0 127,2,2024-09-07 08:56:40:638,344253,344253,0,0,12538521,0,2264 127,3,2024-09-07 08:56:41:267,1,270,8,0,968,3066,270,0 128,0,2024-09-07 08:56:41:521,65699,0.3,65614,0.4,131195,0.2,174287,1.50 128,1,2024-09-07 08:56:41:618,476201,476201,0,0,222240485168,2302984201,474010,2033,158,367,391798,0 128,2,2024-09-07 08:56:41:385,339108,339108,0,0,12345947,0,2434 128,3,2024-09-07 08:56:40:800,1,270,19,0,1082,5455,270,0 129,0,2024-09-07 08:56:41:021,67231,0.3,66916,0.4,133913,0.2,178367,1.50 129,1,2024-09-07 08:56:40:569,474221,474221,0,0,221074083562,2314265468,470054,3236,931,379,391835,0 129,2,2024-09-07 08:56:40:694,340963,340963,0,0,13467648,0,4031 129,3,2024-09-07 08:56:40:697,1,270,1,0,469,3580,270,0 130,0,2024-09-07 08:56:41:724,72370,0.5,71879,0.6,144361,0.4,192224,1.75 130,1,2024-09-07 08:56:40:586,476370,476370,0,0,221039699485,2297821928,473923,2368,79,381,391825,0 130,2,2024-09-07 08:56:41:128,345426,345426,0,0,13067562,0,4067 130,3,2024-09-07 08:56:41:292,1,270,4,0,450,3474,270,0 131,0,2024-09-07 08:56:41:935,66102,0.4,66598,0.5,133894,0.3,177446,1.75 131,1,2024-09-07 08:56:41:842,476665,476665,0,0,221921335543,2311901575,473609,2598,458,381,391865,0 131,2,2024-09-07 08:56:40:573,345272,345272,0,0,11987130,0,2415 131,3,2024-09-07 08:56:41:701,1,270,3,0,392,2797,270,0 132,0,2024-09-07 08:56:41:430,66116,0.5,67077,0.6,133476,0.4,177337,2.00 132,1,2024-09-07 08:56:40:604,474098,474098,0,0,220533240704,2322488347,467059,5785,1254,381,392097,0 132,2,2024-09-07 08:56:40:698,340761,340761,0,0,15709591,0,4606 132,3,2024-09-07 08:56:41:691,1,270,1,0,356,3705,270,0 133,0,2024-09-07 08:56:41:534,64008,0.4,65561,0.5,134131,0.3,174434,1.75 133,1,2024-09-07 08:56:40:584,474807,474807,0,0,221166087439,2325074176,469252,4886,669,383,391914,0 133,2,2024-09-07 08:56:41:091,338721,338721,0,0,16909151,0,4315 133,3,2024-09-07 08:56:41:296,1,270,1,0,276,2597,270,0 134,0,2024-09-07 08:56:41:031,71198,0.4,71224,0.6,142418,0.4,190255,2.00 134,1,2024-09-07 08:56:40:584,474176,474176,0,0,221410949427,2322662318,467646,5059,1471,366,391718,0 134,2,2024-09-07 08:56:41:757,340926,340926,0,0,14255620,0,3847 134,3,2024-09-07 08:56:40:765,1,270,8,0,739,3859,270,0 135,0,2024-09-07 08:56:41:097,66028,0.8,65964,0.9,140006,0.9,180496,2.25 135,1,2024-09-07 08:56:41:602,474407,474407,0,0,221772344569,2330491101,468194,5213,1000,380,391805,0 135,2,2024-09-07 08:56:40:687,344463,344463,0,0,15674767,0,3981 135,3,2024-09-07 08:56:41:002,1,270,3,0,299,1884,270,0 136,0,2024-09-07 08:56:41:618,67014,0.6,66918,0.7,133486,0.6,177998,2.25 136,1,2024-09-07 08:56:41:453,474566,474566,0,0,221071469577,2320254682,469025,4955,586,382,391685,0 136,2,2024-09-07 08:56:41:134,343108,343108,0,0,15083218,0,3506 136,3,2024-09-07 08:56:41:111,1,270,5,0,150,2211,270,0 137,0,2024-09-07 08:56:40:937,67504,0.6,65607,0.7,129303,0.5,175085,2.00 137,1,2024-09-07 08:56:40:575,474805,474805,0,0,221369036628,2319692752,467849,5538,1418,366,391708,0 137,2,2024-09-07 08:56:41:711,337907,337907,0,0,16593287,0,3185 137,3,2024-09-07 08:56:40:769,1,270,16,0,382,3360,270,0 138,0,2024-09-07 08:56:41:750,67926,0.7,67916,0.8,136487,0.7,182049,2.00 138,1,2024-09-07 08:56:41:687,474685,474685,0,0,222111618318,2328939468,467706,5846,1133,368,391954,0 138,2,2024-09-07 08:56:40:604,339919,339919,0,0,15331773,0,4988 138,3,2024-09-07 08:56:40:611,1,270,1,0,1160,3927,270,0 139,0,2024-09-07 08:56:41:392,69969,1.6,70278,1.2,140535,2.4,187538,2.50 139,1,2024-09-07 08:56:40:578,473293,473293,0,0,220079301149,2334754082,464746,6430,2117,381,391892,0 139,2,2024-09-07 08:56:40:705,343135,343135,0,0,16270258,0,3097 139,3,2024-09-07 08:56:41:662,1,270,12,0,257,2917,270,0 140,0,2024-09-07 08:56:41:606,66646,0.3,66166,0.5,133015,0.2,177243,1.75 140,1,2024-09-07 08:56:41:537,478154,478154,0,0,223088987944,2296646650,476172,1740,242,365,391606,0 140,2,2024-09-07 08:56:40:688,344250,344250,0,0,12810713,0,3388 140,3,2024-09-07 08:56:40:769,1,270,1,0,247,2215,270,0 141,0,2024-09-07 08:56:41:709,65273,0.3,67050,0.4,128263,0.2,174295,1.50 141,1,2024-09-07 08:56:40:859,477448,477448,0,0,222595688615,2306776301,474876,2164,408,379,391614,0 141,2,2024-09-07 08:56:41:686,338279,338279,0,0,12293357,0,2342 141,3,2024-09-07 08:56:41:043,1,270,1,0,202,2414,270,0 142,0,2024-09-07 08:56:41:331,68241,0.3,67480,0.4,134390,0.2,180017,1.50 142,1,2024-09-07 08:56:40:584,476517,476517,0,0,221281101332,2304939450,473678,2573,266,382,392102,0 142,2,2024-09-07 08:56:41:302,339917,339885,32,0,14202059,0,6028 142,3,2024-09-07 08:56:41:754,1,270,8,0,484,3616,270,0 143,0,2024-09-07 08:56:41:378,71764,0.4,71718,0.6,143882,0.4,191770,1.75 143,1,2024-09-07 08:56:40:577,476808,476808,0,0,222015350561,2299990465,474046,2666,96,367,391651,0 143,2,2024-09-07 08:56:40:774,345051,345051,0,0,13501732,0,2669 143,3,2024-09-07 08:56:41:141,1,270,1,0,303,3435,270,0 144,0,2024-09-07 08:56:41:507,64215,0.6,65894,0.9,134448,0.6,176688,2.00 144,1,2024-09-07 08:56:40:566,474024,474024,0,0,220641460641,2313762891,469958,3138,928,381,391649,0 144,2,2024-09-07 08:56:41:755,344494,344494,0,0,12780226,0,3473 144,3,2024-09-07 08:56:41:740,1,270,9,0,249,3042,270,0 145,0,2024-09-07 08:56:41:376,63466,0.6,63487,0.8,134726,0.5,173616,2.25 145,1,2024-09-07 08:56:40:553,473943,473943,0,0,221429443254,2324532667,468440,4680,823,382,391698,0 145,2,2024-09-07 08:56:41:438,338548,338548,0,0,14889544,0,3903 145,3,2024-09-07 08:56:40:895,1,270,1,0,622,4154,270,0 146,0,2024-09-07 08:56:41:597,66008,0.5,65452,0.7,131785,0.4,175247,2.00 146,1,2024-09-07 08:56:41:586,474907,474907,0,0,221061502577,2325880840,466622,6438,1847,368,391770,0 146,2,2024-09-07 08:56:41:703,339381,339381,0,0,15005544,0,2730 146,3,2024-09-07 08:56:41:279,1,270,0,0,1520,5965,270,0 147,0,2024-09-07 08:56:41:715,71482,0.5,71315,0.7,141995,0.5,190892,2.00 147,1,2024-09-07 08:56:41:388,476997,476997,0,0,222074600035,2307939866,472883,3527,587,368,391791,0 147,2,2024-09-07 08:56:41:021,344223,344223,0,0,12934020,0,2789 147,3,2024-09-07 08:56:40:923,1,270,5,0,730,3963,270,0 0,0,2024-09-07 08:56:51:734,63231,0.4,63307,0.7,134107,0.4,173535,1.75 0,1,2024-09-07 08:56:50:801,477454,477454,0,0,222759997104,2327236354,474198,3050,206,370,391896,0 0,2,2024-09-07 08:56:51:076,340428,340428,0,0,13505770,0,4480 0,3,2024-09-07 08:56:50:976,1,271,4,0,319,3515,271,0 1,0,2024-09-07 08:56:51:764,70447,0.7,69921,0.9,140579,0.8,187815,2.00 1,1,2024-09-07 08:56:50:564,476954,476954,0,0,221433280322,2318103459,472447,3453,1054,371,391857,0 1,2,2024-09-07 08:56:50:650,341205,341205,0,0,12741290,0,3267 1,3,2024-09-07 08:56:51:309,1,271,9,0,262,3121,271,0 2,0,2024-09-07 08:56:51:581,70034,0.7,70213,0.8,139083,0.9,186144,2.00 2,1,2024-09-07 08:56:50:867,478143,478143,0,0,223973408931,2323950894,476034,1891,218,380,391745,0 2,2,2024-09-07 08:56:51:265,346307,346307,0,0,13132884,0,3594 2,3,2024-09-07 08:56:50:699,1,271,2,0,357,2556,271,0 3,0,2024-09-07 08:56:51:745,66712,0.4,66769,0.6,133272,0.4,177096,2.00 3,1,2024-09-07 08:56:51:624,477197,477197,0,0,222689486519,2317109901,473354,3381,462,380,391556,0 3,2,2024-09-07 08:56:51:143,344513,344490,23,0,13439667,0,5851 3,3,2024-09-07 08:56:51:752,1,271,8,0,103,1571,271,0 4,0,2024-09-07 08:56:51:763,63617,0.3,65474,0.5,133178,0.3,174465,1.75 4,1,2024-09-07 08:56:50:591,477138,477138,0,0,221686874342,2325976412,472381,3962,795,371,391846,0 4,2,2024-09-07 08:56:51:026,338366,338366,0,0,15673193,0,4528 4,3,2024-09-07 08:56:51:034,1,271,1,0,448,4001,271,0 5,0,2024-09-07 08:56:51:433,67982,0.4,68310,0.5,135699,0.3,181169,1.75 5,1,2024-09-07 08:56:50:763,476915,476915,0,0,221794742846,2328900434,471408,4395,1112,368,392005,0 5,2,2024-09-07 08:56:51:844,339793,339793,0,0,14362608,0,2432 5,3,2024-09-07 08:56:51:734,1,271,1,0,457,4182,271,0 6,0,2024-09-07 08:56:50:930,72710,0.5,72234,0.7,144339,0.5,192636,2.00 6,1,2024-09-07 08:56:50:750,477251,477251,0,0,222354732471,2320824694,472514,3893,844,379,391694,0 6,2,2024-09-07 08:56:51:117,346555,346555,0,0,14360331,0,4816 6,3,2024-09-07 08:56:51:275,1,271,125,0,340,3189,271,0 7,0,2024-09-07 08:56:51:542,65802,0.5,66067,0.7,131672,0.5,175391,2.00 7,1,2024-09-07 08:56:50:854,476831,476831,0,0,222240199579,2329206421,470877,4950,1004,382,391747,0 7,2,2024-09-07 08:56:50:776,344375,344375,0,0,14105548,0,4791 7,3,2024-09-07 08:56:50:852,1,271,9,0,398,3194,271,0 8,0,2024-09-07 08:56:51:338,65669,0.3,65542,0.5,130697,0.3,174513,1.50 8,1,2024-09-07 08:56:51:022,475925,475925,0,0,222175563728,2339303212,467624,6282,2019,366,391956,0 8,2,2024-09-07 08:56:50:793,335532,335532,0,0,16712269,0,3220 8,3,2024-09-07 08:56:50:599,1,271,46,0,357,3957,271,0 9,0,2024-09-07 08:56:51:146,67346,0.3,65319,0.5,136422,0.3,179487,1.50 9,1,2024-09-07 08:56:50:565,475808,475808,0,0,221547051440,2331475490,469310,4956,1542,370,392001,0 9,2,2024-09-07 08:56:51:091,340989,340989,0,0,14821016,0,3360 9,3,2024-09-07 08:56:51:763,1,271,1,0,496,4071,271,0 10,0,2024-09-07 08:56:51:602,72392,0.3,71795,0.5,144269,0.2,192356,1.75 10,1,2024-09-07 08:56:50:590,476508,476508,0,0,221742871255,2321393906,470146,5434,928,381,391741,0 10,2,2024-09-07 08:56:50:763,345568,345568,0,0,16506604,0,4264 10,3,2024-09-07 08:56:50:873,1,271,57,0,177,2268,271,0 11,0,2024-09-07 08:56:51:012,66195,0.5,64265,0.7,134309,0.4,178158,1.75 11,1,2024-09-07 08:56:50:579,477211,477211,0,0,222022750190,2332294643,468990,6240,1981,383,391573,0 11,2,2024-09-07 08:56:51:131,344605,344605,0,0,15355874,0,4130 11,3,2024-09-07 08:56:51:303,1,271,7,0,843,4276,271,0 12,0,2024-09-07 08:56:51:013,67072,0.3,66923,0.5,133867,0.3,177754,1.75 12,1,2024-09-07 08:56:50:967,477025,477025,0,0,221986337500,2311912960,473489,3114,422,370,391870,0 12,2,2024-09-07 08:56:51:541,341686,341686,0,0,14422378,0,3469 12,3,2024-09-07 08:56:51:058,1,271,12,0,386,4429,271,0 13,0,2024-09-07 08:56:51:356,66264,0.3,66129,0.5,132099,0.3,175818,1.50 13,1,2024-09-07 08:56:51:554,477251,477251,0,0,221730518192,2323815076,473690,3019,542,382,391740,0 13,2,2024-09-07 08:56:50:599,341942,341942,0,0,13511125,0,3287 13,3,2024-09-07 08:56:51:777,1,271,1,0,467,3988,271,0 14,0,2024-09-07 08:56:50:565,71684,0.3,72372,0.5,143079,0.3,190923,1.75 14,1,2024-09-07 08:56:51:564,480209,480209,0,0,223182043126,2307024833,477375,2644,190,364,391571,0 14,2,2024-09-07 08:56:50:769,342898,342898,0,0,13578922,0,2896 14,3,2024-09-07 08:56:51:126,1,271,1,0,1168,3591,271,0 15,0,2024-09-07 08:56:51:563,68290,0.5,68447,0.7,136623,0.5,181613,2.00 15,1,2024-09-07 08:56:51:643,478052,478052,0,0,222281918368,2308692045,475528,2346,178,381,391619,0 15,2,2024-09-07 08:56:51:011,346148,346148,0,0,11660545,0,3043 15,3,2024-09-07 08:56:51:408,1,271,11,0,1126,5417,271,0 16,0,2024-09-07 08:56:50:938,66851,0.7,67096,0.8,133644,0.7,177951,2.25 16,1,2024-09-07 08:56:50:565,478181,478181,0,0,222806656514,2325741453,474570,3310,301,370,391756,0 16,2,2024-09-07 08:56:51:441,343425,343425,0,0,14294508,0,4719 16,3,2024-09-07 08:56:51:143,1,271,66,0,317,3769,271,0 17,0,2024-09-07 08:56:51:795,67593,0.5,65986,0.7,129078,0.4,174849,1.75 17,1,2024-09-07 08:56:50:579,476887,476887,0,0,221446209621,2322760804,472018,3763,1106,368,391899,0 17,2,2024-09-07 08:56:51:674,342450,342450,0,0,13609329,0,2857 17,3,2024-09-07 08:56:50:579,1,271,24,0,268,4291,271,0 18,0,2024-09-07 08:56:51:012,68065,0.6,68298,0.8,136297,0.6,182382,2.25 18,1,2024-09-07 08:56:51:656,478177,478177,0,0,222887215946,2308332089,475592,2343,242,367,391649,0 18,2,2024-09-07 08:56:51:754,342663,342663,0,0,13321421,0,3541 18,3,2024-09-07 08:56:50:905,1,271,23,0,163,2598,271,0 19,0,2024-09-07 08:56:51:542,70482,0.6,71097,0.8,141005,0.6,187347,2.25 19,1,2024-09-07 08:56:50:570,478258,478258,0,0,223437915227,2319564771,473586,3885,787,367,391777,0 19,2,2024-09-07 08:56:51:759,348155,348155,0,0,12238666,0,3988 19,3,2024-09-07 08:56:51:130,1,271,14,0,524,2038,271,0 20,0,2024-09-07 08:56:51:392,66348,0.6,66269,0.8,132889,0.7,177251,2.25 20,1,2024-09-07 08:56:50:577,476870,476870,0,0,222810705068,2328058602,473000,3471,399,369,391886,0 20,2,2024-09-07 08:56:50:928,345340,345340,0,0,13871267,0,3721 20,3,2024-09-07 08:56:50:589,1,271,1,0,414,4810,271,0 21,0,2024-09-07 08:56:51:142,65660,0.5,65755,0.6,131291,0.4,174405,2.00 21,1,2024-09-07 08:56:51:791,475723,475723,0,0,221579665898,2329238921,469986,4478,1259,368,391962,0 21,2,2024-09-07 08:56:51:083,338031,338031,0,0,15658185,0,3747 21,3,2024-09-07 08:56:51:404,1,271,5,0,103,2698,271,0 22,0,2024-09-07 08:56:51:724,67485,0.5,67576,0.7,135014,0.4,179427,2.00 22,1,2024-09-07 08:56:51:023,476298,476298,0,0,221584002829,2329921730,468953,5703,1642,382,391667,0 22,2,2024-09-07 08:56:50:763,340461,340461,0,0,13730590,0,3134 22,3,2024-09-07 08:56:51:071,1,271,1,0,228,2386,271,0 23,0,2024-09-07 08:56:51:375,71900,0.5,71722,0.7,143910,0.5,192011,2.25 23,1,2024-09-07 08:56:51:004,477667,477667,0,0,223118811042,2338575507,470354,4762,2551,365,391690,0 23,2,2024-09-07 08:56:51:100,347063,347063,0,0,13323500,0,3010 23,3,2024-09-07 08:56:51:758,1,271,14,0,645,3375,271,0 24,0,2024-09-07 08:56:50:891,67097,0.4,66974,0.6,134495,0.4,178664,1.75 24,1,2024-09-07 08:56:50:589,476591,476591,0,0,221864636204,2324748869,470972,4137,1482,368,391987,0 24,2,2024-09-07 08:56:51:076,343613,343613,0,0,16294569,0,3607 24,3,2024-09-07 08:56:51:692,1,271,58,0,468,3921,271,0 25,0,2024-09-07 08:56:51:521,67561,0.4,65800,0.6,129299,0.4,176264,2.00 25,1,2024-09-07 08:56:50:576,477660,477660,0,0,222510699551,2325745138,473302,3802,556,371,391788,0 25,2,2024-09-07 08:56:51:607,339019,339019,0,0,16311924,0,3978 25,3,2024-09-07 08:56:51:002,1,271,1,0,255,2869,271,0 26,0,2024-09-07 08:56:51:728,66010,0.4,64454,0.5,135256,0.3,176140,1.75 26,1,2024-09-07 08:56:51:541,477233,477233,0,0,222170286957,2327311001,470241,5776,1216,381,391748,0 26,2,2024-09-07 08:56:50:867,341362,341362,0,0,15958104,0,2809 26,3,2024-09-07 08:56:51:719,1,271,5,0,796,3466,271,0 27,0,2024-09-07 08:56:51:738,72168,0.4,72280,0.6,143051,0.3,191593,1.75 27,1,2024-09-07 08:56:51:688,479071,479071,0,0,223359452673,2321032030,475614,2864,593,381,391558,0 27,2,2024-09-07 08:56:50:876,342570,342505,65,0,16138285,0,5699 27,3,2024-09-07 08:56:51:016,1,271,13,0,564,2816,271,0 28,0,2024-09-07 08:56:51:467,67311,0.5,67065,0.6,134773,0.4,179458,2.00 28,1,2024-09-07 08:56:50:797,478505,478505,0,0,223246768768,2321348892,475623,2411,471,383,391646,0 28,2,2024-09-07 08:56:51:779,344982,344982,0,0,13066479,0,2915 28,3,2024-09-07 08:56:51:793,1,271,6,0,502,2818,271,0 29,0,2024-09-07 08:56:51:368,68541,0.4,66965,0.6,131011,0.3,178607,1.75 29,1,2024-09-07 08:56:51:573,479519,479519,0,0,223368796637,2310501388,476286,2667,566,369,391621,0 29,2,2024-09-07 08:56:50:870,342118,342118,0,0,12352528,0,4018 29,3,2024-09-07 08:56:50:965,1,271,11,0,115,1916,271,0 30,0,2024-09-07 08:56:51:458,65111,0.5,63153,0.7,132114,0.4,172909,2.00 30,1,2024-09-07 08:56:50:574,479258,479258,0,0,223542669859,2314018119,476927,2038,293,382,391672,0 30,2,2024-09-07 08:56:51:275,340995,340995,0,0,12093508,0,3161 30,3,2024-09-07 08:56:50:584,1,271,3,0,519,2527,271,0 31,0,2024-09-07 08:56:51:758,69971,0.4,70276,0.5,140742,0.3,187926,1.75 31,1,2024-09-07 08:56:50:567,480819,480819,0,0,223873948964,2291706744,479424,1175,220,356,391712,0 31,2,2024-09-07 08:56:51:275,341702,341702,0,0,13911668,0,3525 31,3,2024-09-07 08:56:51:705,1,271,13,0,220,2056,271,0 32,0,2024-09-07 08:56:51:429,69815,0.4,70178,0.5,140397,0.3,186520,1.75 32,1,2024-09-07 08:56:50:805,478588,478588,0,0,222898879904,2310096000,476627,1682,279,381,391622,0 32,2,2024-09-07 08:56:50:938,346171,346171,0,0,12129293,0,3155 32,3,2024-09-07 08:56:51:016,1,271,0,0,227,2068,271,0 33,0,2024-09-07 08:56:51:498,67081,0.3,66569,0.5,133704,0.2,178052,1.75 33,1,2024-09-07 08:56:50:586,479558,479558,0,0,224338311179,2316096047,476921,2463,174,369,391730,0 33,2,2024-09-07 08:56:50:760,345497,345464,33,0,13707113,0,7012 33,3,2024-09-07 08:56:50:896,1,271,1,0,329,3121,271,0 34,0,2024-09-07 08:56:50:968,65595,0.3,67645,0.4,129569,0.2,173877,1.50 34,1,2024-09-07 08:56:51:054,480106,480106,0,0,224359916560,2305759986,478981,1118,7,367,391562,0 34,2,2024-09-07 08:56:50:772,339776,339776,0,0,12891635,0,3577 34,3,2024-09-07 08:56:51:693,1,271,1,0,299,2143,271,0 35,0,2024-09-07 08:56:50:863,67417,0.3,67780,0.5,136429,0.2,181715,1.50 35,1,2024-09-07 08:56:51:073,479010,479010,0,0,222406865446,2305811836,475648,2582,780,384,391589,0 35,2,2024-09-07 08:56:51:583,341699,341699,0,0,12937467,0,2653 35,3,2024-09-07 08:56:50:909,1,271,13,0,418,3389,271,0 36,0,2024-09-07 08:56:51:548,72515,0.5,72431,0.7,145024,0.5,192270,2.25 36,1,2024-09-07 08:56:50:596,478011,478011,0,0,221877855773,2317521242,472028,4404,1579,366,391759,0 36,2,2024-09-07 08:56:51:754,346521,346521,0,0,14588760,0,3875 36,3,2024-09-07 08:56:50:877,1,271,16,0,416,4099,271,0 37,0,2024-09-07 08:56:51:380,65701,0.5,65708,0.7,131617,0.5,176308,2.00 37,1,2024-09-07 08:56:50:571,477126,477119,0,7,221741537924,2320039955,471106,3944,2069,365,391560,0 37,2,2024-09-07 08:56:51:151,343858,343843,15,0,14874408,0,5815 37,3,2024-09-07 08:56:51:765,1,271,6,0,888,4633,271,0 38,0,2024-09-07 08:56:51:437,64886,0.5,63043,0.6,131982,0.4,172488,2.00 38,1,2024-09-07 08:56:51:607,478124,478124,0,0,223270075198,2326914838,472802,4646,676,368,391821,0 38,2,2024-09-07 08:56:50:762,338527,338480,47,0,15076468,0,6710 38,3,2024-09-07 08:56:51:000,1,271,0,0,689,3625,271,0 39,0,2024-09-07 08:56:51:764,68772,0.5,67396,0.7,130972,0.5,179456,2.00 39,1,2024-09-07 08:56:50:723,477670,477670,0,0,222094318689,2316157348,472078,4690,902,365,391594,0 39,2,2024-09-07 08:56:51:421,341157,341157,0,0,13505484,0,2689 39,3,2024-09-07 08:56:50:728,1,271,16,0,324,3474,271,0 40,0,2024-09-07 08:56:51:493,71422,0.6,72114,0.8,144055,0.6,191936,2.75 40,1,2024-09-07 08:56:50:576,477654,477654,0,0,222252938196,2326184055,470509,5702,1443,368,391651,0 40,2,2024-09-07 08:56:51:303,345609,345608,1,0,16505625,0,5137 40,3,2024-09-07 08:56:51:143,1,271,2,0,398,3235,271,0 41,0,2024-09-07 08:56:51:062,65932,1.8,67441,1.4,128760,2.9,176235,3.50 41,1,2024-09-07 08:56:50:789,477306,477306,0,0,221887325508,2317059725,471761,4910,635,370,391742,0 41,2,2024-09-07 08:56:50:763,343488,343488,0,0,15695097,0,3356 41,3,2024-09-07 08:56:51:687,1,271,3,0,366,3054,271,0 42,0,2024-09-07 08:56:51:483,65808,0.8,65981,1.0,132109,0.8,174395,2.50 42,1,2024-09-07 08:56:51:439,476560,476560,0,0,222077480048,2330836861,469275,5833,1452,380,391675,0 42,2,2024-09-07 08:56:51:142,341533,341533,0,0,14943320,0,3568 42,3,2024-09-07 08:56:51:014,1,271,1,0,446,2383,271,0 43,0,2024-09-07 08:56:50:922,65543,0.6,63866,0.9,133466,0.6,175708,2.00 43,1,2024-09-07 08:56:50:583,477612,477612,0,0,223012369812,2326796101,471345,4938,1329,366,391696,0 43,2,2024-09-07 08:56:51:736,341470,341470,0,0,14435149,0,3812 43,3,2024-09-07 08:56:51:748,1,271,305,0,467,3459,271,0 44,0,2024-09-07 08:56:50:873,71508,0.4,71956,0.6,143328,0.4,191375,1.75 44,1,2024-09-07 08:56:50:567,479541,479541,0,0,222609153092,2294665578,476980,2129,432,356,391809,0 44,2,2024-09-07 08:56:51:269,342408,342408,0,0,12330945,0,2231 44,3,2024-09-07 08:56:51:098,1,271,4,0,817,3063,271,0 45,0,2024-09-07 08:56:51:762,67280,0.6,65808,0.8,138135,0.6,181412,2.00 45,1,2024-09-07 08:56:51:006,478620,478620,0,0,222848429331,2307151566,476965,1643,12,382,391917,0 45,2,2024-09-07 08:56:51:269,345818,345818,0,0,13011957,0,3596 45,3,2024-09-07 08:56:50:935,1,271,1,0,271,2904,271,0 46,0,2024-09-07 08:56:50:982,66413,0.5,66286,0.7,132803,0.5,175867,2.00 46,1,2024-09-07 08:56:50:581,480197,480197,0,0,223246847283,2302016139,477848,2075,274,366,391539,0 46,2,2024-09-07 08:56:50:596,344466,344466,0,0,12495255,0,2920 46,3,2024-09-07 08:56:51:131,1,271,1,0,908,3880,271,0 47,0,2024-09-07 08:56:51:106,65939,0.4,65762,0.6,132245,0.3,174447,1.75 47,1,2024-09-07 08:56:50:568,479480,479480,0,0,222757387368,2297021941,477151,2195,134,366,391641,0 47,2,2024-09-07 08:56:50:909,342333,342333,0,0,12455983,0,2558 47,3,2024-09-07 08:56:51:115,1,271,1,0,529,2411,271,0 48,0,2024-09-07 08:56:51:495,69170,0.3,68779,0.4,137163,0.2,183540,1.50 48,1,2024-09-07 08:56:51:022,478507,478507,0,0,223441294602,2318833943,475391,2816,300,384,391710,0 48,2,2024-09-07 08:56:50:709,341390,341390,0,0,12193953,0,3031 48,3,2024-09-07 08:56:50:757,1,271,43,0,339,2319,271,0 49,0,2024-09-07 08:56:51:734,72926,0.4,71587,0.5,138924,0.3,189670,1.75 49,1,2024-09-07 08:56:51:022,477579,477579,0,0,222338849244,2315857984,473706,2692,1181,382,391809,0 49,2,2024-09-07 08:56:51:810,347513,347513,0,0,13028013,0,3900 49,3,2024-09-07 08:56:51:420,1,271,14,0,408,2876,271,0 50,0,2024-09-07 08:56:51:539,66500,0.3,65964,0.5,132627,0.2,177028,1.75 50,1,2024-09-07 08:56:51:016,479253,479253,0,0,223582068876,2310938337,476293,2650,310,368,391530,0 50,2,2024-09-07 08:56:51:069,345519,345519,0,0,11974945,0,2263 50,3,2024-09-07 08:56:51:291,1,271,1,0,335,2268,271,0 51,0,2024-09-07 08:56:51:689,67217,0.3,65715,0.4,128126,0.2,174815,1.50 51,1,2024-09-07 08:56:51:680,479890,479890,0,0,223724086800,2308084217,477073,1877,940,365,391706,0 51,2,2024-09-07 08:56:51:316,339598,339598,0,0,11247370,0,3337 51,3,2024-09-07 08:56:51:030,1,271,12,0,678,2109,271,0 52,0,2024-09-07 08:56:51:472,68123,0.4,67711,0.6,135582,0.4,180115,2.00 52,1,2024-09-07 08:56:50:585,477548,477548,0,0,221724502370,2321667372,470386,5908,1254,368,391722,0 52,2,2024-09-07 08:56:51:755,338845,338807,38,0,15491882,0,6742 52,3,2024-09-07 08:56:50:690,1,271,4,0,1782,4606,271,0 53,0,2024-09-07 08:56:51:742,71712,0.6,69724,0.8,145685,0.7,191522,2.25 53,1,2024-09-07 08:56:50:777,476314,476314,0,0,222134568661,2330644357,468716,5201,2397,367,391702,0 53,2,2024-09-07 08:56:51:302,346961,346961,0,0,12993622,0,2727 53,3,2024-09-07 08:56:50:709,1,271,1,0,271,2350,271,0 54,0,2024-09-07 08:56:51:617,65652,0.7,66238,0.8,131122,0.5,175874,2.50 54,1,2024-09-07 08:56:50:580,477781,477781,0,0,223048734343,2317295291,472994,4190,597,367,391659,0 54,2,2024-09-07 08:56:50:875,345231,345225,6,0,14511346,0,5382 54,3,2024-09-07 08:56:50:764,1,271,70,0,676,4227,271,0 55,0,2024-09-07 08:56:51:760,63559,0.6,65833,0.8,132490,0.5,172585,2.50 55,1,2024-09-07 08:56:50:770,477437,477437,0,0,222377709896,2313381031,471882,4768,787,365,391731,0 55,2,2024-09-07 08:56:50:737,339300,339300,0,0,14285029,0,3275 55,3,2024-09-07 08:56:50:684,1,271,14,0,304,2954,271,0 56,0,2024-09-07 08:56:51:572,67789,0.9,63953,1.0,131515,1.1,176183,2.25 56,1,2024-09-07 08:56:50:594,475904,475904,0,0,221971985285,2343193871,468657,5809,1438,381,391729,0 56,2,2024-09-07 08:56:51:303,341236,341236,0,0,15529267,0,3567 56,3,2024-09-07 08:56:51:061,1,271,1,0,705,3842,271,0 57,0,2024-09-07 08:56:50:951,71214,1.3,71085,1.1,142174,1.7,190639,2.75 57,1,2024-09-07 08:56:50:997,477283,477283,0,0,222169172638,2324311384,471952,4659,672,367,392032,0 57,2,2024-09-07 08:56:51:316,344747,344747,0,0,16790121,0,3317 57,3,2024-09-07 08:56:51:741,1,271,0,0,359,3473,271,0 58,0,2024-09-07 08:56:50:563,65944,1.1,64122,1.0,134255,1.5,175976,2.50 58,1,2024-09-07 08:56:50:580,478308,478305,0,3,222629811519,2322815373,472569,5025,711,367,391603,3 58,2,2024-09-07 08:56:51:072,345007,345007,0,0,14959921,0,2549 58,3,2024-09-07 08:56:51:068,1,271,2,0,1043,2981,271,0 59,0,2024-09-07 08:56:51:741,66391,0.8,66156,1.0,132020,0.8,175247,2.75 59,1,2024-09-07 08:56:50:804,477483,477483,0,0,222386206591,2323230873,471692,4526,1265,369,391525,0 59,2,2024-09-07 08:56:50:585,344033,344033,0,0,13633873,0,2604 59,3,2024-09-07 08:56:51:737,1,271,8,0,1015,3610,271,0 60,0,2024-09-07 08:56:51:729,65185,0.4,65100,0.6,131250,0.3,173411,1.75 60,1,2024-09-07 08:56:50:777,479078,479078,0,0,223710673266,2316757181,476623,1938,517,370,392031,0 60,2,2024-09-07 08:56:51:143,340904,340904,0,0,14718788,0,3811 60,3,2024-09-07 08:56:51:264,1,271,0,0,124,2564,271,0 61,0,2024-09-07 08:56:51:541,70164,0.5,70563,0.7,140411,0.5,187812,1.75 61,1,2024-09-07 08:56:50:771,477560,477560,0,0,222401831722,2323958678,472976,3880,704,382,391880,0 61,2,2024-09-07 08:56:51:132,342536,342536,0,0,13243447,0,2780 61,3,2024-09-07 08:56:51:687,1,271,1,0,397,3579,271,0 62,0,2024-09-07 08:56:51:709,70434,0.6,71818,0.7,136982,0.6,186217,2.00 62,1,2024-09-07 08:56:51:111,480373,480367,0,6,224017140610,2308244908,477900,2349,118,365,391715,6 62,2,2024-09-07 08:56:51:655,345048,345047,1,0,14578344,0,5555 62,3,2024-09-07 08:56:51:147,1,271,11,0,287,1860,271,0 63,0,2024-09-07 08:56:51:460,66766,0.5,66922,0.6,133839,0.4,177611,1.75 63,1,2024-09-07 08:56:50:804,479261,479255,0,6,223343724543,2311437586,477524,1675,56,381,391677,6 63,2,2024-09-07 08:56:50:780,345050,345050,0,0,12226225,0,2674 63,3,2024-09-07 08:56:51:732,1,271,12,0,667,3005,271,0 64,0,2024-09-07 08:56:51:522,65331,0.4,65189,0.6,130512,0.4,173758,1.75 64,1,2024-09-07 08:56:50:773,477984,477984,0,0,223349008046,2326637141,473488,3080,1416,370,391783,0 64,2,2024-09-07 08:56:51:158,342583,342564,19,0,13049646,0,6121 64,3,2024-09-07 08:56:51:143,1,271,35,0,265,2637,271,0 65,0,2024-09-07 08:56:51:723,67396,0.6,67854,0.7,135339,0.6,180604,2.00 65,1,2024-09-07 08:56:50:870,476911,476911,0,0,221714490641,2317362948,473317,3149,445,382,391901,0 65,2,2024-09-07 08:56:51:727,341507,341507,0,0,14169240,0,3367 65,3,2024-09-07 08:56:51:682,1,271,53,0,163,2627,271,0 66,0,2024-09-07 08:56:51:784,72043,0.5,71849,0.7,144304,0.5,191452,2.00 66,1,2024-09-07 08:56:51:302,478916,478916,0,0,223006763922,2315453857,476340,2375,201,380,391653,0 66,2,2024-09-07 08:56:51:132,348820,348820,0,0,13697065,0,4956 66,3,2024-09-07 08:56:51:080,1,271,2,0,291,2783,271,0 67,0,2024-09-07 08:56:51:412,66524,0.6,65822,0.7,132648,0.6,176518,2.00 67,1,2024-09-07 08:56:50:771,478069,478068,0,1,223209607078,2325194010,474218,3101,749,380,391787,1 67,2,2024-09-07 08:56:50:601,345723,345723,0,0,12602789,0,2889 67,3,2024-09-07 08:56:51:749,1,271,8,0,338,2422,271,0 68,0,2024-09-07 08:56:50:588,65593,0.6,65413,0.7,130382,0.5,174376,2.00 68,1,2024-09-07 08:56:50:578,477258,477258,0,0,222118917606,2325731446,473073,3015,1170,381,391953,0 68,2,2024-09-07 08:56:51:053,338294,338229,65,0,16312953,0,6698 68,3,2024-09-07 08:56:50:729,1,271,20,0,417,3072,271,0 69,0,2024-09-07 08:56:51:749,66799,0.6,67155,0.8,133963,0.6,178454,2.00 69,1,2024-09-07 08:56:51:017,475990,475990,0,0,221859926416,2336997531,470284,4304,1402,384,391994,0 69,2,2024-09-07 08:56:51:740,341203,341203,0,0,16289680,0,3722 69,3,2024-09-07 08:56:50:764,1,271,13,0,698,3771,271,0 70,0,2024-09-07 08:56:51:534,71779,0.6,71687,0.9,143969,0.5,191347,2.25 70,1,2024-09-07 08:56:50:801,479078,479078,0,0,223994652150,2323394195,475338,3212,528,366,391725,0 70,2,2024-09-07 08:56:51:330,345397,345397,0,0,14934934,0,4044 70,3,2024-09-07 08:56:50:747,1,271,1,0,854,3164,271,0 71,0,2024-09-07 08:56:51:370,65958,1.1,65836,1.1,131978,1.4,177017,2.75 71,1,2024-09-07 08:56:51:599,477557,477557,0,0,222443831540,2317764218,472372,4564,621,368,391738,0 71,2,2024-09-07 08:56:51:068,345069,345069,0,0,15157221,0,4042 71,3,2024-09-07 08:56:51:752,1,271,8,0,644,3745,271,0 72,0,2024-09-07 08:56:51:100,68729,0.7,67279,0.8,131026,0.8,178216,2.25 72,1,2024-09-07 08:56:51:025,478091,478091,0,0,222827163751,2323066118,473024,4135,932,369,391819,0 72,2,2024-09-07 08:56:51:773,340364,340364,0,0,16646510,0,3983 72,3,2024-09-07 08:56:51:762,1,271,2,0,564,4630,271,0 73,0,2024-09-07 08:56:51:106,64297,0.4,65809,0.6,134859,0.3,175345,2.00 73,1,2024-09-07 08:56:50:771,478224,478224,0,0,222813562066,2312674487,475126,2861,237,367,391750,0 73,2,2024-09-07 08:56:51:740,341636,341636,0,0,16022630,0,3701 73,3,2024-09-07 08:56:50:974,1,271,7,0,274,3778,271,0 74,0,2024-09-07 08:56:51:330,72072,0.4,73693,0.6,140653,0.4,191224,2.00 74,1,2024-09-07 08:56:50:638,477513,477513,0,0,221800163132,2312865489,473104,3610,799,381,391681,0 74,2,2024-09-07 08:56:51:012,342634,342634,0,0,15345618,0,4253 74,3,2024-09-07 08:56:51:444,1,271,0,0,522,3418,271,0 75,0,2024-09-07 08:56:51:772,68542,0.5,68178,0.8,136517,0.5,182470,2.25 75,1,2024-09-07 08:56:51:585,476889,476889,0,0,222205394162,2319878235,471953,4272,664,380,391660,0 75,2,2024-09-07 08:56:51:358,344318,344318,0,0,15844230,0,4766 75,3,2024-09-07 08:56:51:070,1,271,91,0,702,3864,271,0 76,0,2024-09-07 08:56:50:636,66415,0.7,65806,0.8,132147,0.7,176838,2.50 76,1,2024-09-07 08:56:50:810,477606,477606,0,0,222989651187,2323157142,474496,2559,551,382,391692,0 76,2,2024-09-07 08:56:51:064,345069,345069,0,0,13138526,0,3784 76,3,2024-09-07 08:56:51:144,1,271,0,0,175,2700,271,0 77,0,2024-09-07 08:56:51:709,65557,0.5,65838,0.7,131525,0.4,174089,1.75 77,1,2024-09-07 08:56:50:840,478167,478167,0,0,222781590123,2323226226,474712,3198,257,381,391869,0 77,2,2024-09-07 08:56:51:280,340116,340116,0,0,13743957,0,3890 77,3,2024-09-07 08:56:51:094,1,271,51,0,382,2984,271,0 78,0,2024-09-07 08:56:51:728,69022,0.4,68504,0.6,137753,0.3,182801,2.00 78,1,2024-09-07 08:56:50:615,478383,478383,0,0,222398352716,2305756307,475384,2680,319,367,391670,0 78,2,2024-09-07 08:56:51:404,341519,341519,0,0,12282566,0,3855 78,3,2024-09-07 08:56:51:142,1,271,10,0,181,2502,271,0 79,0,2024-09-07 08:56:51:346,68848,0.4,70627,0.6,144197,0.4,187285,2.25 79,1,2024-09-07 08:56:50:570,479756,479756,0,0,223171487693,2306266742,476910,2509,337,369,391682,0 79,2,2024-09-07 08:56:51:072,347766,347766,0,0,12545942,0,3212 79,3,2024-09-07 08:56:50:750,1,271,12,0,418,3812,271,0 80,0,2024-09-07 08:56:51:185,66389,0.6,68341,0.7,130785,0.6,176729,2.00 80,1,2024-09-07 08:56:51:635,477620,477620,0,0,222222881411,2310822220,474643,2807,170,368,391791,0 80,2,2024-09-07 08:56:51:098,345960,345960,0,0,12953391,0,4433 80,3,2024-09-07 08:56:50:587,1,271,9,0,190,3617,271,0 81,0,2024-09-07 08:56:51:538,65361,0.4,67168,0.6,128353,0.4,173684,1.75 81,1,2024-09-07 08:56:51:673,476777,476777,0,0,221771021722,2316221610,472904,3410,463,382,391879,0 81,2,2024-09-07 08:56:51:144,339330,339330,0,0,13186030,0,3993 81,3,2024-09-07 08:56:51:125,1,271,1,0,374,3110,271,0 82,0,2024-09-07 08:56:51:532,67331,0.4,67738,0.6,135688,0.3,180211,1.75 82,1,2024-09-07 08:56:50:583,478399,478395,0,4,222798611941,2318579733,475154,2630,611,381,391768,4 82,2,2024-09-07 08:56:51:691,342328,342328,0,0,12504301,0,3986 82,3,2024-09-07 08:56:51:752,1,271,8,0,363,2968,271,0 83,0,2024-09-07 08:56:51:530,72400,0.5,72285,0.7,143610,0.5,191883,2.00 83,1,2024-09-07 08:56:50:562,477198,477198,0,0,222744109707,2320635577,473884,3068,246,382,391709,0 83,2,2024-09-07 08:56:50:775,346414,346414,0,0,12723100,0,3119 83,3,2024-09-07 08:56:50:762,1,271,10,0,250,3033,271,0 84,0,2024-09-07 08:56:51:826,66268,0.8,66033,0.9,132258,0.7,177297,2.25 84,1,2024-09-07 08:56:51:048,477067,477067,0,0,222265525293,2321111406,472216,4267,584,367,391967,0 84,2,2024-09-07 08:56:50:582,345010,345010,0,0,14663524,0,3801 84,3,2024-09-07 08:56:51:143,1,271,1,0,908,4036,271,0 85,0,2024-09-07 08:56:51:024,63619,0.7,63524,0.8,134822,0.7,174098,2.25 85,1,2024-09-07 08:56:50:563,476528,476528,0,0,221858884104,2340842766,469549,5868,1111,381,392006,0 85,2,2024-09-07 08:56:50:879,341173,341173,0,0,15248319,0,3656 85,3,2024-09-07 08:56:50:695,1,271,2,0,789,3560,271,0 86,0,2024-09-07 08:56:51:000,66304,0.5,68141,0.7,130268,0.5,175952,2.00 86,1,2024-09-07 08:56:50:836,477629,477629,0,0,222956703463,2328279688,472631,4270,728,366,391961,0 86,2,2024-09-07 08:56:50:860,340308,340307,1,0,16227156,0,5004 86,3,2024-09-07 08:56:50:600,1,271,2,0,286,3611,271,0 87,0,2024-09-07 08:56:51:310,71571,0.7,71574,0.8,143725,0.8,192086,2.25 87,1,2024-09-07 08:56:50:563,477480,477480,0,0,222821918549,2326904771,472994,4002,484,366,392012,0 87,2,2024-09-07 08:56:51:084,343552,343552,0,0,14698149,0,4045 87,3,2024-09-07 08:56:51:807,1,271,10,0,473,3949,271,0 88,0,2024-09-07 08:56:51:453,67201,0.5,67580,0.6,134777,0.5,179183,1.75 88,1,2024-09-07 08:56:50:574,476307,476307,0,0,222004511594,2326011011,470112,4681,1514,365,392084,0 88,2,2024-09-07 08:56:50:696,345027,345027,0,0,16472231,0,3583 88,3,2024-09-07 08:56:51:269,1,271,1,0,435,3140,271,0 89,0,2024-09-07 08:56:51:835,68722,0.5,66394,0.7,131820,0.4,178603,1.75 89,1,2024-09-07 08:56:50:557,475844,475844,0,0,222448774395,2342367146,468909,5713,1222,382,391866,0 89,2,2024-09-07 08:56:51:142,342113,342113,0,0,15686311,0,2910 89,3,2024-09-07 08:56:51:807,1,271,7,0,325,4960,271,0 90,0,2024-09-07 08:56:51:619,63380,0.4,64838,0.6,132686,0.4,172677,1.75 90,1,2024-09-07 08:56:50:598,477717,477717,0,0,221825694403,2321482011,473571,3867,279,381,391825,0 90,2,2024-09-07 08:56:51:411,339311,339311,0,0,16935409,0,3060 90,3,2024-09-07 08:56:50:930,1,271,4,0,200,2765,271,0 91,0,2024-09-07 08:56:50:936,70388,0.4,68219,0.5,142523,0.3,187724,1.75 91,1,2024-09-07 08:56:50:567,476311,476311,0,0,222010470597,2331185350,470418,5199,694,381,392047,0 91,2,2024-09-07 08:56:51:339,341453,341453,0,0,15156344,0,2896 91,3,2024-09-07 08:56:50:617,1,271,12,0,216,2464,271,0 92,0,2024-09-07 08:56:51:506,70475,0.5,72285,0.6,138050,0.5,186513,1.75 92,1,2024-09-07 08:56:50:612,477560,477560,0,0,222756804747,2319766515,474990,2164,406,382,392136,0 92,2,2024-09-07 08:56:51:350,347745,347745,0,0,12978814,0,2801 92,3,2024-09-07 08:56:51:014,1,271,2,0,167,2159,271,0 93,0,2024-09-07 08:56:50:979,67135,0.4,68834,0.6,131595,0.3,178255,1.75 93,1,2024-09-07 08:56:50:807,478148,478148,0,0,222966312756,2324604376,473591,3767,790,366,391776,0 93,2,2024-09-07 08:56:50:928,344998,344998,0,0,13921853,0,2797 93,3,2024-09-07 08:56:51:411,1,271,18,0,190,2694,271,0 94,0,2024-09-07 08:56:51:617,65291,0.3,66151,0.5,131882,0.3,174607,1.75 94,1,2024-09-07 08:56:50:579,477483,477483,0,0,223067469893,2327490455,474164,3140,179,381,391850,0 94,2,2024-09-07 08:56:50:779,339428,339428,0,0,12776107,0,2443 94,3,2024-09-07 08:56:51:688,1,271,2,0,264,3355,271,0 95,0,2024-09-07 08:56:51:411,68087,0.3,67856,0.5,136662,0.3,181510,1.50 95,1,2024-09-07 08:56:50:854,478082,478082,0,0,222573212210,2310939021,474493,3212,377,367,391713,0 95,2,2024-09-07 08:56:51:016,340533,340533,0,0,13129708,0,3308 95,3,2024-09-07 08:56:51:711,1,271,7,0,718,4581,271,0 96,0,2024-09-07 08:56:51:036,72541,0.4,72696,0.5,145271,0.3,192010,1.75 96,1,2024-09-07 08:56:51:586,477288,477288,0,0,222341582794,2319962191,473695,2858,735,384,391894,0 96,2,2024-09-07 08:56:51:269,347156,347156,0,0,13927152,0,4042 96,3,2024-09-07 08:56:51:142,1,271,2,0,411,3144,271,0 97,0,2024-09-07 08:56:51:314,66309,0.3,66009,0.5,132540,0.3,176230,1.75 97,1,2024-09-07 08:56:50:772,478992,478992,0,0,223096428092,2311983264,475942,2493,557,367,392140,0 97,2,2024-09-07 08:56:50:616,345726,345726,0,0,13131105,0,3036 97,3,2024-09-07 08:56:50:581,1,271,1,0,214,3056,271,0 98,0,2024-09-07 08:56:51:693,65444,0.3,65412,0.4,131541,0.2,174481,1.50 98,1,2024-09-07 08:56:50:579,478435,478435,0,0,223255183662,2321418094,476276,2058,101,382,391997,0 98,2,2024-09-07 08:56:50:775,339365,339365,0,0,13016586,0,3080 98,3,2024-09-07 08:56:50:754,1,271,74,0,840,4718,271,0 99,0,2024-09-07 08:56:51:468,67063,0.3,67459,0.4,134379,0.2,179901,1.50 99,1,2024-09-07 08:56:51:723,478019,478019,0,0,222048643148,2312910915,474213,3065,741,381,392069,0 99,2,2024-09-07 08:56:51:418,343815,343815,0,0,14155669,0,3106 99,3,2024-09-07 08:56:50:589,1,271,23,0,187,2191,271,0 100,0,2024-09-07 08:56:51:461,71981,0.6,71945,0.8,143831,0.7,192082,2.25 100,1,2024-09-07 08:56:50:557,475024,475024,0,0,221131986548,2335274612,468117,5586,1321,381,391989,0 100,2,2024-09-07 08:56:51:822,343957,343946,11,0,15569033,0,5417 100,3,2024-09-07 08:56:51:734,1,271,4,0,559,5005,271,0 101,0,2024-09-07 08:56:51:732,67901,1.5,66116,1.1,129671,1.6,178488,2.50 101,1,2024-09-07 08:56:50:558,475086,475086,0,0,221141989118,2327759538,467704,5609,1773,368,391769,0 101,2,2024-09-07 08:56:51:757,343870,343870,0,0,16754696,0,4644 101,3,2024-09-07 08:56:50:953,1,271,11,0,448,3336,271,0 102,0,2024-09-07 08:56:51:028,64913,0.7,67210,0.8,135396,0.7,177389,2.00 102,1,2024-09-07 08:56:51:148,476489,476489,0,0,222086547548,2330500544,470760,4888,841,369,391883,0 102,2,2024-09-07 08:56:51:740,342159,342105,54,0,15152936,0,6768 102,3,2024-09-07 08:56:51:619,1,271,1,0,410,2787,271,0 103,0,2024-09-07 08:56:51:601,67951,0.4,67851,0.6,128122,0.4,176661,1.75 103,1,2024-09-07 08:56:51:626,475596,475596,0,0,221742953672,2334386379,469193,5021,1382,381,391829,0 103,2,2024-09-07 08:56:50:597,340077,340077,0,0,14395100,0,2582 103,3,2024-09-07 08:56:50:764,1,271,8,0,916,3614,271,0 104,0,2024-09-07 08:56:51:024,71042,0.7,71407,0.9,141596,0.6,191301,2.25 104,1,2024-09-07 08:56:51:611,477300,477300,0,0,221947732241,2330579849,470609,5593,1098,365,392168,0 104,2,2024-09-07 08:56:51:673,341690,341690,0,0,16052050,0,3941 104,3,2024-09-07 08:56:51:420,1,271,6,0,1245,6610,271,0 105,0,2024-09-07 08:56:51:041,67650,1.1,65726,1.2,137541,1.5,181059,3.25 105,1,2024-09-07 08:56:50:576,477440,477440,0,0,222449173015,2331290229,471117,5344,979,366,391797,0 105,2,2024-09-07 08:56:51:328,344977,344977,0,0,15530123,0,3509 105,3,2024-09-07 08:56:51:305,1,271,1,0,399,4358,271,0 106,0,2024-09-07 08:56:50:954,64395,0.9,65957,1.0,135047,1.0,176344,2.75 106,1,2024-09-07 08:56:51:751,477423,477423,0,0,221421610645,2324052590,470307,6356,760,369,391767,0 106,2,2024-09-07 08:56:50:764,342379,342379,0,0,15009483,0,2795 106,3,2024-09-07 08:56:50:684,1,271,16,0,470,3701,271,0 107,0,2024-09-07 08:56:51:099,65523,0.7,65491,0.8,130954,0.7,173852,2.00 107,1,2024-09-07 08:56:50:586,475890,475890,0,0,222027228847,2332557007,470560,4781,549,381,392234,0 107,2,2024-09-07 08:56:51:291,339547,339546,1,0,15765785,0,5024 107,3,2024-09-07 08:56:51:779,1,271,16,0,353,3528,271,0 108,0,2024-09-07 08:56:51:765,68694,0.4,69014,0.5,137232,0.3,183473,1.75 108,1,2024-09-07 08:56:51:293,477636,477636,0,0,222942450773,2320183863,474409,2841,386,368,391857,0 108,2,2024-09-07 08:56:51:761,340237,340237,0,0,14295519,0,2647 108,3,2024-09-07 08:56:51:335,1,271,0,0,749,5613,271,0 109,0,2024-09-07 08:56:51:750,71543,0.4,70752,0.5,142012,0.3,189379,1.75 109,1,2024-09-07 08:56:50:608,475862,475862,0,0,222324713039,2328804137,471987,3358,517,383,392132,0 109,2,2024-09-07 08:56:50:921,345045,345045,0,0,14732942,0,3617 109,3,2024-09-07 08:56:51:143,1,271,2,0,249,3066,271,0 110,0,2024-09-07 08:56:51:783,66545,0.4,64733,0.6,135596,0.4,177543,1.75 110,1,2024-09-07 08:56:51:691,479014,479014,0,0,223112245988,2314045408,475865,2271,878,369,392045,0 110,2,2024-09-07 08:56:51:303,345702,345702,0,0,14095958,0,3264 110,3,2024-09-07 08:56:50:705,1,271,16,0,406,3487,271,0 111,0,2024-09-07 08:56:51:419,65806,0.3,65311,0.5,130620,0.3,175228,1.75 111,1,2024-09-07 08:56:51:002,479025,479025,0,0,223935915485,2318810038,477030,1659,336,382,391690,0 111,2,2024-09-07 08:56:51:121,338992,338992,0,0,13131246,0,2763 111,3,2024-09-07 08:56:50:917,1,271,13,0,379,3476,271,0 112,0,2024-09-07 08:56:50:910,67850,0.3,67649,0.4,135572,0.2,180231,1.50 112,1,2024-09-07 08:56:50:836,479032,479032,0,0,222602967860,2306857426,476580,2024,428,380,391624,0 112,2,2024-09-07 08:56:51:134,341159,341158,1,0,13515571,0,5036 112,3,2024-09-07 08:56:50:599,1,271,3,0,282,2757,271,0 113,0,2024-09-07 08:56:50:918,71888,0.3,71925,0.5,144529,0.2,193406,1.50 113,1,2024-09-07 08:56:51:684,479810,479810,0,0,223983291731,2309708736,477304,2016,490,366,391661,0 113,2,2024-09-07 08:56:51:305,347460,347460,0,0,12321298,0,3813 113,3,2024-09-07 08:56:50:688,1,271,1,0,340,3767,271,0 114,0,2024-09-07 08:56:50:894,67048,0.4,67507,0.5,133945,0.3,179361,1.75 114,1,2024-09-07 08:56:50:719,478118,478118,0,0,222769535914,2317981118,473307,3222,1589,381,391556,0 114,2,2024-09-07 08:56:50:874,345291,345290,1,0,13413921,0,5069 114,3,2024-09-07 08:56:51:278,1,271,1,0,395,2705,271,0 115,0,2024-09-07 08:56:50:629,65990,0.3,66261,0.4,132607,0.2,176170,1.50 115,1,2024-09-07 08:56:50:575,478239,478239,0,0,223231822495,2320329749,473841,3561,837,382,391639,0 115,2,2024-09-07 08:56:51:126,341292,341292,0,0,11567243,0,2152 115,3,2024-09-07 08:56:51:003,1,271,70,0,159,1535,271,0 116,0,2024-09-07 08:56:51:761,66155,0.6,65995,0.8,131996,0.5,176712,2.00 116,1,2024-09-07 08:56:50:806,475071,475071,0,0,222044977693,2344585100,468651,4364,2056,380,391782,0 116,2,2024-09-07 08:56:51:810,340585,340585,0,0,16856650,0,3529 116,3,2024-09-07 08:56:50:916,1,271,0,0,415,3738,271,0 117,0,2024-09-07 08:56:50:954,72127,0.6,71694,0.8,143552,0.6,192293,2.00 117,1,2024-09-07 08:56:51:587,476819,476819,0,0,221951296437,2320704883,471925,4401,493,370,392033,0 117,2,2024-09-07 08:56:51:126,346216,346216,0,0,13767220,0,3700 117,3,2024-09-07 08:56:51:061,1,271,4,0,490,4096,271,0 118,0,2024-09-07 08:56:51:791,65312,0.6,67082,0.7,136792,0.6,178447,2.00 118,1,2024-09-07 08:56:50:588,476895,476895,0,0,221675297750,2326959191,470505,4955,1435,366,391736,0 118,2,2024-09-07 08:56:51:586,344055,344055,0,0,14995092,0,2781 118,3,2024-09-07 08:56:51:778,1,271,8,0,235,2971,271,0 119,0,2024-09-07 08:56:51:416,66294,0.8,66768,0.8,133645,1.0,177493,2.25 119,1,2024-09-07 08:56:50:548,477849,477849,0,0,222535980790,2321831839,473174,4151,524,367,391780,0 119,2,2024-09-07 08:56:51:260,342905,342905,0,0,14190915,0,4174 119,3,2024-09-07 08:56:51:351,1,271,35,0,443,3612,271,0 120,0,2024-09-07 08:56:51:541,64984,0.5,64761,0.7,129787,0.4,173228,2.00 120,1,2024-09-07 08:56:50:863,477318,477318,0,0,221790148496,2322821189,472613,4353,352,368,391961,0 120,2,2024-09-07 08:56:50:777,340234,340233,1,0,16716300,0,5281 120,3,2024-09-07 08:56:51:301,1,271,1,0,241,3328,271,0 121,0,2024-09-07 08:56:51:711,70303,0.7,70158,0.9,140852,0.9,187877,2.00 121,1,2024-09-07 08:56:51:664,477368,477368,0,0,222246623123,2322882634,472742,4209,417,367,391840,0 121,2,2024-09-07 08:56:51:130,340718,340718,0,0,15902270,0,4127 121,3,2024-09-07 08:56:50:729,1,271,1,0,269,3076,271,0 122,0,2024-09-07 08:56:51:841,69671,0.8,67929,0.9,142439,1.0,186472,2.00 122,1,2024-09-07 08:56:50:863,476736,476736,0,0,222407094219,2330409859,470660,5258,818,366,392130,0 122,2,2024-09-07 08:56:51:326,345185,345112,73,0,18606466,0,5989 122,3,2024-09-07 08:56:50:598,1,271,86,0,411,5188,271,0 123,0,2024-09-07 08:56:51:005,66565,0.9,65117,0.8,135654,1.0,177471,2.25 123,1,2024-09-07 08:56:50:558,476948,476948,0,0,221943976786,2337447185,468269,6963,1716,369,392039,0 123,2,2024-09-07 08:56:51:020,342312,342311,1,0,15421838,0,5215 123,3,2024-09-07 08:56:51:146,1,271,14,0,168,3146,271,0 124,0,2024-09-07 08:56:50:957,67717,0.3,67696,0.5,127736,0.3,175319,1.50 124,1,2024-09-07 08:56:51:022,478683,478683,0,0,222216863206,2306483681,475774,2544,365,367,392178,0 124,2,2024-09-07 08:56:51:012,339983,339983,0,0,12527557,0,3101 124,3,2024-09-07 08:56:50:761,1,271,7,0,490,2917,271,0 125,0,2024-09-07 08:56:51:424,68121,0.3,67806,0.5,136584,0.3,181709,1.50 125,1,2024-09-07 08:56:50:869,477050,477050,0,0,222590576596,2319289262,473944,2710,396,383,391702,0 125,2,2024-09-07 08:56:51:130,341558,341558,0,0,13653155,0,4534 125,3,2024-09-07 08:56:51:132,1,271,1,0,709,3627,271,0 126,0,2024-09-07 08:56:51:425,72490,0.5,74446,0.6,142296,0.4,192920,1.75 126,1,2024-09-07 08:56:50:563,479035,479035,0,0,222657338283,2305342172,476311,2460,264,365,391987,0 126,2,2024-09-07 08:56:50:610,348134,348134,0,0,14064409,0,3186 126,3,2024-09-07 08:56:50:924,1,271,207,0,207,3767,271,0 127,0,2024-09-07 08:56:51:596,66004,0.4,66420,0.5,132385,0.3,176343,1.75 127,1,2024-09-07 08:56:50:569,478662,478662,0,0,222634970920,2305127134,475694,2815,153,365,391816,0 127,2,2024-09-07 08:56:50:637,345450,345450,0,0,12584525,0,2264 127,3,2024-09-07 08:56:51:275,1,271,7,0,968,3073,271,0 128,0,2024-09-07 08:56:51:534,65920,0.3,65835,0.4,131626,0.2,174870,1.50 128,1,2024-09-07 08:56:51:629,477885,477885,0,0,222911148304,2310140992,475671,2056,158,367,391798,0 128,2,2024-09-07 08:56:51:396,340230,340230,0,0,12393433,0,2434 128,3,2024-09-07 08:56:50:775,1,271,5,0,1082,5460,271,0 129,0,2024-09-07 08:56:50:992,67661,0.3,67422,0.4,134867,0.2,179582,1.50 129,1,2024-09-07 08:56:50:570,475948,475948,0,0,221732496211,2321531929,471774,3243,931,379,391835,0 129,2,2024-09-07 08:56:50:696,342272,342272,0,0,13534306,0,4031 129,3,2024-09-07 08:56:50:695,1,271,202,0,469,3782,271,0 130,0,2024-09-07 08:56:51:724,72655,0.4,72175,0.6,144891,0.4,192898,1.75 130,1,2024-09-07 08:56:50:586,478120,478120,0,0,221896028672,2306685147,475673,2368,79,381,391825,0 130,2,2024-09-07 08:56:51:135,346846,346846,0,0,13121325,0,4067 130,3,2024-09-07 08:56:51:291,1,271,167,0,450,3641,271,0 131,0,2024-09-07 08:56:51:966,66459,0.4,66976,0.5,134586,0.3,178315,1.75 131,1,2024-09-07 08:56:51:824,478492,478492,0,0,222680319517,2319946188,475436,2598,458,381,391865,0 131,2,2024-09-07 08:56:50:570,346744,346744,0,0,12078957,0,2415 131,3,2024-09-07 08:56:51:702,1,271,7,0,392,2804,271,0 132,0,2024-09-07 08:56:51:417,66283,0.5,67266,0.6,133858,0.4,177807,2.00 132,1,2024-09-07 08:56:50:581,475914,475914,0,0,221342400557,2331243137,468852,5808,1254,381,392097,0 132,2,2024-09-07 08:56:50:709,341427,341427,0,0,15740928,0,4606 132,3,2024-09-07 08:56:51:688,1,271,39,0,356,3744,271,0 133,0,2024-09-07 08:56:51:517,64316,0.4,65885,0.5,134789,0.3,175332,1.75 133,1,2024-09-07 08:56:50:586,476293,476293,0,0,221879665632,2333138181,470648,4976,669,383,391914,0 133,2,2024-09-07 08:56:51:095,340269,340269,0,0,16980467,0,4315 133,3,2024-09-07 08:56:51:303,1,271,5,0,276,2602,271,0 134,0,2024-09-07 08:56:50:942,71679,0.4,71632,0.6,143334,0.4,191405,2.00 134,1,2024-09-07 08:56:50:607,476037,476037,0,0,222336979120,2332828597,469455,5111,1471,366,391718,0 134,2,2024-09-07 08:56:51:797,342435,342435,0,0,14391023,0,3847 134,3,2024-09-07 08:56:50:749,1,271,2,0,739,3861,271,0 135,0,2024-09-07 08:56:51:098,66131,0.8,66103,0.9,140259,0.9,180806,2.25 135,1,2024-09-07 08:56:51:592,476132,476132,0,0,222611372201,2340007109,469810,5322,1000,380,391805,0 135,2,2024-09-07 08:56:50:696,345527,345527,0,0,15749273,0,3981 135,3,2024-09-07 08:56:51:002,1,271,12,0,299,1896,271,0 136,0,2024-09-07 08:56:51:677,67140,0.6,67078,0.7,133706,0.6,178349,2.25 136,1,2024-09-07 08:56:51:444,476271,476271,0,0,221901609215,2328814389,470729,4956,586,382,391685,0 136,2,2024-09-07 08:56:51:152,344128,344128,0,0,15124657,0,3506 136,3,2024-09-07 08:56:51:109,1,271,12,0,150,2223,271,0 137,0,2024-09-07 08:56:50:919,67522,0.6,65624,0.7,129326,0.5,175085,2.00 137,1,2024-09-07 08:56:50:581,476610,476610,0,0,222155604234,2327725127,469654,5538,1418,366,391708,0 137,2,2024-09-07 08:56:51:704,339297,339297,0,0,16676729,0,3185 137,3,2024-09-07 08:56:50:776,1,271,1,0,382,3361,271,0 138,0,2024-09-07 08:56:51:752,68264,0.7,68296,0.8,137224,0.7,182946,2.00 138,1,2024-09-07 08:56:51:685,476461,476461,0,0,222955269225,2337604338,469481,5847,1133,368,391954,0 138,2,2024-09-07 08:56:50:589,341180,341180,0,0,15376908,0,4988 138,3,2024-09-07 08:56:50:620,1,271,2,0,1160,3929,271,0 139,0,2024-09-07 08:56:51:423,70059,1.6,70367,1.2,140712,2.4,187769,2.50 139,1,2024-09-07 08:56:50:580,475101,475101,0,0,220894891055,2343376713,466553,6431,2117,381,391892,0 139,2,2024-09-07 08:56:50:700,344203,344203,0,0,16298131,0,3097 139,3,2024-09-07 08:56:51:665,1,271,0,0,257,2917,271,0 140,0,2024-09-07 08:56:51:601,66877,0.3,66390,0.5,133467,0.2,177815,1.75 140,1,2024-09-07 08:56:51:537,479917,479917,0,0,223944343121,2305401376,477935,1740,242,365,391606,0 140,2,2024-09-07 08:56:50:708,345520,345520,0,0,12852940,0,3388 140,3,2024-09-07 08:56:50:772,1,271,32,0,247,2247,271,0 141,0,2024-09-07 08:56:51:728,65586,0.3,67397,0.4,128917,0.2,175176,1.50 141,1,2024-09-07 08:56:50:862,479181,479181,0,0,223130398558,2312320423,476609,2164,408,379,391614,0 141,2,2024-09-07 08:56:51:686,339535,339535,0,0,12334225,0,2342 141,3,2024-09-07 08:56:51:049,1,271,48,0,202,2462,271,0 142,0,2024-09-07 08:56:51:339,68510,0.3,67803,0.4,134937,0.2,180693,1.50 142,1,2024-09-07 08:56:50:592,478258,478258,0,0,222184800266,2314270169,475418,2574,266,382,392102,0 142,2,2024-09-07 08:56:51:302,341145,341113,32,0,14273647,0,6028 142,3,2024-09-07 08:56:51:750,1,271,1,0,484,3617,271,0 143,0,2024-09-07 08:56:51:372,72323,0.4,72245,0.6,144938,0.4,193269,1.75 143,1,2024-09-07 08:56:50:558,478606,478606,0,0,222987672727,2309894671,475844,2666,96,367,391651,0 143,2,2024-09-07 08:56:50:774,346584,346584,0,0,13575569,0,2669 143,3,2024-09-07 08:56:51:143,1,271,18,0,303,3453,271,0 144,0,2024-09-07 08:56:51:496,64518,0.6,66201,0.9,135068,0.5,177514,2.00 144,1,2024-09-07 08:56:50:571,475820,475820,0,0,221567172753,2323392071,471754,3138,928,381,391649,0 144,2,2024-09-07 08:56:51:759,345904,345904,0,0,12856332,0,3473 144,3,2024-09-07 08:56:51:739,1,271,3,0,249,3045,271,0 145,0,2024-09-07 08:56:51:391,63624,0.6,63628,0.8,135052,0.5,174012,2.25 145,1,2024-09-07 08:56:50:564,475612,475612,0,0,222340799913,2333932999,470109,4680,823,382,391698,0 145,2,2024-09-07 08:56:51:467,339312,339312,0,0,14985217,0,3903 145,3,2024-09-07 08:56:50:900,1,271,1,0,622,4155,271,0 146,0,2024-09-07 08:56:51:612,66271,0.5,65712,0.7,132295,0.4,175882,2.00 146,1,2024-09-07 08:56:51:586,476538,476538,0,0,221850862279,2334005177,468253,6438,1847,368,391770,0 146,2,2024-09-07 08:56:51:695,340777,340777,0,0,15062878,0,2730 146,3,2024-09-07 08:56:51:274,1,271,1,0,1520,5966,271,0 147,0,2024-09-07 08:56:51:698,71897,0.5,71731,0.7,142783,0.5,191854,2.00 147,1,2024-09-07 08:56:51:385,478678,478678,0,0,222804579853,2315500915,474563,3528,587,368,391791,0 147,2,2024-09-07 08:56:51:010,345602,345602,0,0,12993763,0,2789 147,3,2024-09-07 08:56:50:918,1,271,14,0,730,3977,271,0 0,0,2024-09-07 08:57:01:732,63343,0.4,63423,0.7,134377,0.4,173857,1.75 0,1,2024-09-07 08:57:00:825,479073,479073,0,0,223343355897,2333304356,475817,3050,206,370,391896,0 0,2,2024-09-07 08:57:01:073,341961,341961,0,0,13570364,0,4480 0,3,2024-09-07 08:57:00:982,1,272,9,0,319,3524,272,0 1,0,2024-09-07 08:57:01:759,70943,0.7,70388,0.8,141618,0.8,189062,2.00 1,1,2024-09-07 08:57:00:580,478753,478753,0,0,222228976850,2326489194,474246,3453,1054,371,391857,0 1,2,2024-09-07 08:57:00:647,342840,342840,0,0,12869448,0,3267 1,3,2024-09-07 08:57:01:308,1,272,2,0,262,3123,272,0 2,0,2024-09-07 08:57:01:599,70135,0.7,70313,0.8,139290,0.9,186420,2.00 2,1,2024-09-07 08:57:00:876,479676,479676,0,0,224684232633,2331955812,477499,1959,218,380,391745,0 2,2,2024-09-07 08:57:01:267,347457,347457,0,0,13190294,0,3594 2,3,2024-09-07 08:57:00:693,1,272,2,0,357,2558,272,0 3,0,2024-09-07 08:57:01:757,66825,0.4,66864,0.6,133479,0.4,177347,2.00 3,1,2024-09-07 08:57:01:624,479008,479008,0,0,223617909177,2326839651,475165,3381,462,380,391556,0 3,2,2024-09-07 08:57:01:149,345490,345467,23,0,13468896,0,5851 3,3,2024-09-07 08:57:01:759,1,272,8,0,103,1579,272,0 4,0,2024-09-07 08:57:01:788,63789,0.3,65673,0.5,133584,0.3,174992,1.75 4,1,2024-09-07 08:57:00:606,478791,478791,0,0,222607578718,2336191984,473939,4057,795,371,391846,0 4,2,2024-09-07 08:57:01:052,339782,339782,0,0,15786859,0,4528 4,3,2024-09-07 08:57:01:040,1,272,1,0,448,4002,272,0 5,0,2024-09-07 08:57:01:421,68366,0.4,68718,0.5,136525,0.3,182084,1.75 5,1,2024-09-07 08:57:00:806,478531,478531,0,0,222420393231,2335935789,472974,4444,1113,368,392005,0 5,2,2024-09-07 08:57:01:850,341100,341100,0,0,14524876,0,2432 5,3,2024-09-07 08:57:01:749,1,272,30,0,457,4212,272,0 6,0,2024-09-07 08:57:00:935,72839,0.5,72373,0.7,144585,0.5,192928,2.00 6,1,2024-09-07 08:57:00:747,478890,478890,0,0,223297096769,2331324590,473995,4009,886,379,391694,0 6,2,2024-09-07 08:57:01:118,347683,347683,0,0,14475066,0,4816 6,3,2024-09-07 08:57:01:292,1,272,7,0,340,3196,272,0 7,0,2024-09-07 08:57:01:533,66079,0.5,66380,0.7,132243,0.5,176142,2.00 7,1,2024-09-07 08:57:00:856,478491,478491,0,0,222826056911,2336298005,472356,5130,1005,382,391747,0 7,2,2024-09-07 08:57:00:781,345687,345687,0,0,14225834,0,4791 7,3,2024-09-07 08:57:00:854,1,272,14,0,398,3208,272,0 8,0,2024-09-07 08:57:01:366,65881,0.3,65738,0.5,131178,0.3,175069,1.50 8,1,2024-09-07 08:57:01:101,477480,477480,0,0,222863240408,2347329654,468976,6468,2036,366,392144,0 8,2,2024-09-07 08:57:00:804,336633,336633,0,0,16964406,0,3220 8,3,2024-09-07 08:57:00:591,1,272,9,0,357,3966,272,0 9,0,2024-09-07 08:57:01:190,67797,0.3,65770,0.5,137372,0.3,180704,1.50 9,1,2024-09-07 08:57:00:570,477516,477516,0,0,222271116217,2339132413,471015,4956,1545,370,392001,0 9,2,2024-09-07 08:57:01:111,342170,342170,0,0,14962635,0,3360 9,3,2024-09-07 08:57:01:760,1,272,3,0,496,4074,272,0 10,0,2024-09-07 08:57:01:600,72672,0.3,72044,0.5,144848,0.2,193114,1.75 10,1,2024-09-07 08:57:00:584,477900,477900,0,0,222369804095,2328339261,471463,5508,929,381,391741,0 10,2,2024-09-07 08:57:00:764,346914,346914,0,0,16634994,0,4264 10,3,2024-09-07 08:57:00:881,1,272,3,0,177,2271,272,0 11,0,2024-09-07 08:57:01:015,66518,0.5,64594,0.7,134952,0.4,179136,1.75 11,1,2024-09-07 08:57:00:575,478838,478838,0,0,222792677217,2340516147,470617,6240,1981,383,391573,0 11,2,2024-09-07 08:57:01:124,345959,345959,0,0,15425353,0,4130 11,3,2024-09-07 08:57:01:305,1,272,20,0,843,4296,272,0 12,0,2024-09-07 08:57:01:042,67249,0.3,67108,0.5,134226,0.3,178266,1.75 12,1,2024-09-07 08:57:01:017,478812,478812,0,0,222914258376,2321519123,475275,3115,422,370,391870,0 12,2,2024-09-07 08:57:01:551,342412,342412,0,0,14453796,0,3469 12,3,2024-09-07 08:57:01:071,1,272,1,0,386,4430,272,0 13,0,2024-09-07 08:57:01:337,66591,0.3,66471,0.5,132746,0.3,176834,1.50 13,1,2024-09-07 08:57:01:560,479086,479086,0,0,222659930545,2333504904,475524,3020,542,382,391740,0 13,2,2024-09-07 08:57:00:596,343429,343429,0,0,13567438,0,3287 13,3,2024-09-07 08:57:01:786,1,272,0,0,467,3988,272,0 14,0,2024-09-07 08:57:00:567,72140,0.3,72795,0.5,143939,0.3,192373,1.75 14,1,2024-09-07 08:57:01:563,481939,481939,0,0,223916515937,2314720984,479105,2644,190,364,391571,0 14,2,2024-09-07 08:57:00:764,344441,344441,0,0,13639849,0,2896 14,3,2024-09-07 08:57:01:127,1,272,12,0,1168,3603,272,0 15,0,2024-09-07 08:57:01:551,68413,0.5,68559,0.7,136906,0.5,181938,2.00 15,1,2024-09-07 08:57:01:608,479874,479874,0,0,223122236741,2317422116,477350,2346,178,381,391619,0 15,2,2024-09-07 08:57:01:016,347404,347404,0,0,11732860,0,3043 15,3,2024-09-07 08:57:01:411,1,272,20,0,1126,5437,272,0 16,0,2024-09-07 08:57:00:960,66995,0.7,67228,0.8,133894,0.7,178279,2.25 16,1,2024-09-07 08:57:00:587,479931,479931,0,0,223327679096,2331481201,476313,3317,301,370,391756,0 16,2,2024-09-07 08:57:01:444,344368,344368,0,0,14325618,0,4719 16,3,2024-09-07 08:57:01:149,1,272,2,0,317,3771,272,0 17,0,2024-09-07 08:57:01:794,67598,0.5,65993,0.7,129095,0.4,174867,1.75 17,1,2024-09-07 08:57:00:623,478621,478621,0,0,222355678724,2332403433,473705,3810,1106,368,391899,0 17,2,2024-09-07 08:57:01:670,343967,343967,0,0,13774151,0,2857 17,3,2024-09-07 08:57:00:575,1,272,1,0,268,4292,272,0 18,0,2024-09-07 08:57:00:961,68393,0.6,68650,0.7,136989,0.6,183383,2.25 18,1,2024-09-07 08:57:01:639,479923,479923,0,0,223476799580,2314618367,477337,2344,242,367,391649,0 18,2,2024-09-07 08:57:01:760,343930,343930,0,0,13386468,0,3541 18,3,2024-09-07 08:57:00:899,1,272,2,0,163,2600,272,0 19,0,2024-09-07 08:57:01:541,70573,0.6,71185,0.8,141196,0.6,187588,2.25 19,1,2024-09-07 08:57:00:566,480017,480017,0,0,224090039583,2326417248,475345,3885,787,367,391777,0 19,2,2024-09-07 08:57:01:758,349307,349307,0,0,12273312,0,3988 19,3,2024-09-07 08:57:01:135,1,272,8,0,524,2046,272,0 20,0,2024-09-07 08:57:01:366,66556,0.6,66483,0.8,133325,0.7,177808,2.25 20,1,2024-09-07 08:57:00:586,478653,478653,0,0,223378782034,2334737989,474727,3527,399,369,391886,0 20,2,2024-09-07 08:57:00:949,346557,346557,0,0,14016282,0,3721 20,3,2024-09-07 08:57:00:590,1,272,14,0,414,4824,272,0 21,0,2024-09-07 08:57:01:198,65988,0.5,66080,0.6,131938,0.4,175148,2.00 21,1,2024-09-07 08:57:01:536,477318,477318,0,0,222048274201,2334826430,471519,4540,1259,368,391962,0 21,2,2024-09-07 08:57:01:076,339340,339340,0,0,15760543,0,3747 21,3,2024-09-07 08:57:01:404,1,272,1,0,103,2699,272,0 22,0,2024-09-07 08:57:01:724,67745,0.5,67863,0.7,135564,0.4,180095,2.00 22,1,2024-09-07 08:57:01:035,478088,478088,0,0,222327195607,2337659155,470743,5703,1642,382,391667,0 22,2,2024-09-07 08:57:00:769,341706,341706,0,0,13788005,0,3134 22,3,2024-09-07 08:57:01:070,1,272,1,0,228,2387,272,0 23,0,2024-09-07 08:57:01:381,72371,0.5,72257,0.7,144767,0.5,193031,2.25 23,1,2024-09-07 08:57:01:014,479413,479413,0,0,223875206366,2347242188,471937,4923,2553,365,391690,0 23,2,2024-09-07 08:57:01:095,348312,348312,0,0,13448942,0,3010 23,3,2024-09-07 08:57:01:757,1,272,22,0,645,3397,272,0 24,0,2024-09-07 08:57:00:829,67437,0.4,67289,0.6,135144,0.4,179481,1.75 24,1,2024-09-07 08:57:00:589,478352,478352,0,0,222750793050,2334216290,472726,4144,1482,368,391987,0 24,2,2024-09-07 08:57:01:070,344926,344926,0,0,16381254,0,3607 24,3,2024-09-07 08:57:01:686,1,272,7,0,468,3928,272,0 25,0,2024-09-07 08:57:01:354,67714,0.4,65961,0.6,129614,0.4,176670,2.00 25,1,2024-09-07 08:57:00:571,479437,479437,0,0,223463683689,2336029992,475040,3841,556,371,391788,0 25,2,2024-09-07 08:57:01:606,340022,340022,0,0,16347914,0,3978 25,3,2024-09-07 08:57:01:016,1,272,13,0,255,2882,272,0 26,0,2024-09-07 08:57:01:734,66231,0.4,64685,0.5,135754,0.3,176850,1.75 26,1,2024-09-07 08:57:01:547,478914,478914,0,0,222821155903,2334197284,471917,5781,1216,381,391748,0 26,2,2024-09-07 08:57:00:870,342646,342646,0,0,16006242,0,2809 26,3,2024-09-07 08:57:01:716,1,272,1,0,796,3467,272,0 27,0,2024-09-07 08:57:01:754,72523,0.4,72675,0.6,143821,0.3,192569,1.75 27,1,2024-09-07 08:57:01:677,480813,480813,0,0,223935734535,2327148883,477356,2864,593,381,391558,0 27,2,2024-09-07 08:57:00:867,343953,343888,65,0,16471971,0,5699 27,3,2024-09-07 08:57:01:016,1,272,35,0,564,2851,272,0 28,0,2024-09-07 08:57:01:458,67479,0.5,67240,0.6,135133,0.4,179922,2.00 28,1,2024-09-07 08:57:00:822,480294,480294,0,0,224232783630,2331836215,477378,2445,471,383,391646,0 28,2,2024-09-07 08:57:01:804,346297,346297,0,0,13126935,0,2915 28,3,2024-09-07 08:57:01:801,1,272,0,0,502,2818,272,0 29,0,2024-09-07 08:57:01:396,68639,0.4,67070,0.6,131181,0.3,178859,1.75 29,1,2024-09-07 08:57:01:584,481250,481250,0,0,223894920731,2316197051,478017,2667,566,369,391621,0 29,2,2024-09-07 08:57:00:863,342821,342821,0,0,12368984,0,4018 29,3,2024-09-07 08:57:00:974,1,272,3,0,115,1919,272,0 30,0,2024-09-07 08:57:01:457,65236,0.5,63284,0.7,132349,0.4,173261,2.00 30,1,2024-09-07 08:57:00:575,481027,481027,0,0,224669309649,2325483067,478696,2038,293,382,391672,0 30,2,2024-09-07 08:57:01:277,342532,342532,0,0,12170472,0,3161 30,3,2024-09-07 08:57:00:581,1,272,2,0,519,2529,272,0 31,0,2024-09-07 08:57:01:767,70474,0.4,70757,0.5,141640,0.3,189222,1.75 31,1,2024-09-07 08:57:00:579,482640,482640,0,0,224665535934,2299861854,481245,1175,220,356,391712,0 31,2,2024-09-07 08:57:01:287,343230,343230,0,0,14056430,0,3525 31,3,2024-09-07 08:57:01:707,1,272,13,0,220,2069,272,0 32,0,2024-09-07 08:57:01:489,69929,0.4,70298,0.5,140616,0.3,186833,1.75 32,1,2024-09-07 08:57:00:825,480427,480427,0,0,223654232189,2318690882,478367,1781,279,381,391622,0 32,2,2024-09-07 08:57:00:941,347293,347293,0,0,12242162,0,3155 32,3,2024-09-07 08:57:01:016,1,272,29,0,227,2097,272,0 33,0,2024-09-07 08:57:01:503,67170,0.3,66672,0.5,133911,0.2,178310,1.75 33,1,2024-09-07 08:57:00:577,481301,481301,0,0,225015116092,2323230966,478664,2463,174,369,391730,0 33,2,2024-09-07 08:57:00:773,346509,346476,33,0,13767446,0,7012 33,3,2024-09-07 08:57:00:899,1,272,1,0,329,3122,272,0 34,0,2024-09-07 08:57:00:948,65814,0.3,67853,0.4,129947,0.2,174366,1.50 34,1,2024-09-07 08:57:01:053,481781,481781,0,0,225125259277,2313647235,480655,1119,7,367,391562,0 34,2,2024-09-07 08:57:00:766,341171,341171,0,0,12982190,0,3577 34,3,2024-09-07 08:57:01:689,1,272,15,0,299,2158,272,0 35,0,2024-09-07 08:57:00:870,67885,0.3,68202,0.5,137308,0.2,182932,1.50 35,1,2024-09-07 08:57:01:073,480644,480644,0,0,223237786827,2314489464,477282,2582,780,384,391589,0 35,2,2024-09-07 08:57:01:586,343037,343037,0,0,13110239,0,2653 35,3,2024-09-07 08:57:00:917,1,272,11,0,418,3400,272,0 36,0,2024-09-07 08:57:01:518,72623,0.5,72545,0.7,145267,0.5,192583,2.25 36,1,2024-09-07 08:57:00:613,479826,479826,0,0,222748880353,2326710344,473843,4404,1579,366,391759,0 36,2,2024-09-07 08:57:01:768,347518,347518,0,0,14697202,0,3875 36,3,2024-09-07 08:57:00:869,1,272,44,0,416,4143,272,0 37,0,2024-09-07 08:57:01:370,65961,0.5,65980,0.7,132171,0.5,177097,2.00 37,1,2024-09-07 08:57:00:611,478813,478806,0,7,222467952161,2327692986,472792,3944,2070,365,391560,0 37,2,2024-09-07 08:57:01:147,345209,345194,15,0,14958025,0,5815 37,3,2024-09-07 08:57:01:780,1,272,20,0,888,4653,272,0 38,0,2024-09-07 08:57:01:445,65107,0.5,63243,0.6,132430,0.4,173082,2.00 38,1,2024-09-07 08:57:01:614,479849,479849,0,0,224131834094,2335821339,474526,4647,676,368,391821,0 38,2,2024-09-07 08:57:00:759,339619,339572,47,0,15133584,0,6710 38,3,2024-09-07 08:57:01:001,1,272,1,0,689,3626,272,0 39,0,2024-09-07 08:57:01:766,69186,0.5,67830,0.7,131913,0.5,180880,2.00 39,1,2024-09-07 08:57:00:721,479292,479292,0,0,223031879784,2327001929,473474,4836,982,365,391594,0 39,2,2024-09-07 08:57:01:418,342379,342379,0,0,13650137,0,2689 39,3,2024-09-07 08:57:00:713,1,272,12,0,324,3486,272,0 40,0,2024-09-07 08:57:01:494,71711,0.6,72398,0.8,144596,0.6,192686,2.75 40,1,2024-09-07 08:57:00:585,479378,479378,0,0,222843771825,2332403689,472233,5702,1443,368,391651,0 40,2,2024-09-07 08:57:01:315,347071,347070,1,0,16593475,0,5137 40,3,2024-09-07 08:57:01:146,1,272,1,0,398,3236,272,0 41,0,2024-09-07 08:57:01:043,66275,1.8,67795,1.4,129432,2.9,177152,3.50 41,1,2024-09-07 08:57:00:769,479102,479102,0,0,222958735242,2328062061,473557,4910,635,370,391742,0 41,2,2024-09-07 08:57:00:761,344776,344776,0,0,15727116,0,3356 41,3,2024-09-07 08:57:01:676,1,272,1,0,366,3055,272,0 42,0,2024-09-07 08:57:01:488,65982,0.8,66155,1.0,132488,0.8,174873,2.50 42,1,2024-09-07 08:57:01:444,478295,478295,0,0,222915085092,2340215399,470893,5950,1452,380,391675,0 42,2,2024-09-07 08:57:01:142,342295,342295,0,0,15027378,0,3568 42,3,2024-09-07 08:57:01:015,1,272,6,0,446,2389,272,0 43,0,2024-09-07 08:57:00:928,65874,0.6,64172,0.9,134169,0.6,176631,2.00 43,1,2024-09-07 08:57:00:584,479462,479462,0,0,223891410769,2335877974,473195,4938,1329,366,391696,0 43,2,2024-09-07 08:57:01:736,342853,342853,0,0,14596663,0,3812 43,3,2024-09-07 08:57:01:750,1,272,10,0,467,3469,272,0 44,0,2024-09-07 08:57:00:870,71946,0.4,72387,0.6,144172,0.3,192504,1.75 44,1,2024-09-07 08:57:00:571,481258,481258,0,0,223468321826,2303783566,478697,2129,432,356,391809,0 44,2,2024-09-07 08:57:01:268,343886,343886,0,0,12392313,0,2231 44,3,2024-09-07 08:57:01:103,1,272,61,0,817,3124,272,0 45,0,2024-09-07 08:57:01:760,67398,0.6,65918,0.8,138371,0.6,181756,2.00 45,1,2024-09-07 08:57:01:008,480341,480341,0,0,223505080519,2314026140,478686,1643,12,382,391917,0 45,2,2024-09-07 08:57:01:268,347160,347160,0,0,13080216,0,3596 45,3,2024-09-07 08:57:00:940,1,272,3,0,271,2907,272,0 46,0,2024-09-07 08:57:00:960,66544,0.5,66417,0.7,133059,0.5,176207,2.00 46,1,2024-09-07 08:57:00:578,481952,481952,0,0,224059518128,2310799288,479596,2082,274,366,391539,0 46,2,2024-09-07 08:57:00:612,345454,345454,0,0,12555509,0,2920 46,3,2024-09-07 08:57:01:138,1,272,1,0,908,3881,272,0 47,0,2024-09-07 08:57:01:112,65944,0.4,65767,0.6,132258,0.3,174450,1.75 47,1,2024-09-07 08:57:00:582,481243,481243,0,0,223574935339,2305388910,478914,2195,134,366,391641,0 47,2,2024-09-07 08:57:00:914,343838,343838,0,0,12542949,0,2558 47,3,2024-09-07 08:57:01:115,1,272,14,0,529,2425,272,0 48,0,2024-09-07 08:57:01:494,69509,0.3,69160,0.4,137894,0.2,184486,1.50 48,1,2024-09-07 08:57:01:083,480239,480239,0,0,224130738560,2326502840,477078,2861,300,384,391710,0 48,2,2024-09-07 08:57:00:704,342768,342768,0,0,12271355,0,3031 48,3,2024-09-07 08:57:00:753,1,272,1,0,339,2320,272,0 49,0,2024-09-07 08:57:01:719,73039,0.4,71668,0.5,139094,0.3,189934,1.75 49,1,2024-09-07 08:57:01:034,479301,479301,0,0,222980081731,2322799420,475428,2692,1181,382,391809,0 49,2,2024-09-07 08:57:01:802,348678,348678,0,0,13089928,0,3900 49,3,2024-09-07 08:57:01:417,1,272,5,0,408,2881,272,0 50,0,2024-09-07 08:57:01:507,66722,0.3,66183,0.5,133060,0.2,177589,1.75 50,1,2024-09-07 08:57:01:016,480982,480982,0,0,224410779336,2320180560,477877,2795,310,368,391530,0 50,2,2024-09-07 08:57:01:070,346677,346677,0,0,12146714,0,2263 50,3,2024-09-07 08:57:01:291,1,272,1,0,335,2269,272,0 51,0,2024-09-07 08:57:01:687,67529,0.3,66043,0.4,128738,0.2,175651,1.50 51,1,2024-09-07 08:57:01:715,481660,481660,0,0,224740339138,2318513749,478843,1877,940,365,391706,0 51,2,2024-09-07 08:57:01:316,340926,340926,0,0,11298874,0,3337 51,3,2024-09-07 08:57:01:036,1,272,2,0,678,2111,272,0 52,0,2024-09-07 08:57:01:435,68386,0.4,67992,0.6,136124,0.4,180743,2.00 52,1,2024-09-07 08:57:00:589,479127,479127,0,0,222429718530,2329873732,471854,6019,1254,368,391722,0 52,2,2024-09-07 08:57:01:760,340135,340097,38,0,15693140,0,6742 52,3,2024-09-07 08:57:00:675,1,272,0,0,1782,4606,272,0 53,0,2024-09-07 08:57:01:739,72193,0.7,70229,0.8,146670,0.7,192670,2.25 53,1,2024-09-07 08:57:00:825,478216,478216,0,0,223036821978,2340560030,470502,5273,2441,367,391702,0 53,2,2024-09-07 08:57:01:305,348313,348313,0,0,13163749,0,2727 53,3,2024-09-07 08:57:00:710,1,272,3,0,271,2353,272,0 54,0,2024-09-07 08:57:01:616,65950,0.7,66556,0.8,131760,0.5,176685,2.50 54,1,2024-09-07 08:57:00:596,479553,479553,0,0,223715996566,2324532415,474766,4190,597,367,391659,0 54,2,2024-09-07 08:57:00:890,346531,346525,6,0,14635058,0,5382 54,3,2024-09-07 08:57:00:763,1,272,78,0,676,4305,272,0 55,0,2024-09-07 08:57:01:767,63694,0.6,65972,0.8,132828,0.5,172986,2.50 55,1,2024-09-07 08:57:00:783,478921,478921,0,0,223077146989,2321496663,473225,4879,817,365,391731,0 55,2,2024-09-07 08:57:00:741,340284,340284,0,0,14340908,0,3275 55,3,2024-09-07 08:57:00:675,1,272,12,0,304,2966,272,0 56,0,2024-09-07 08:57:01:600,68018,0.9,64174,1.0,131983,1.1,176857,2.25 56,1,2024-09-07 08:57:00:597,477529,477529,0,0,222638375621,2350227796,470279,5812,1438,381,391729,0 56,2,2024-09-07 08:57:01:305,342612,342612,0,0,15581672,0,3567 56,3,2024-09-07 08:57:01:071,1,272,59,0,705,3901,272,0 57,0,2024-09-07 08:57:01:029,71581,1.2,71501,1.1,143028,1.7,191689,2.75 57,1,2024-09-07 08:57:01:001,478705,478705,0,0,223111776060,2334463634,473315,4715,675,367,392032,0 57,2,2024-09-07 08:57:01:316,346117,346117,0,0,16844292,0,3317 57,3,2024-09-07 08:57:01:752,1,272,8,0,359,3481,272,0 58,0,2024-09-07 08:57:00:567,66125,1.1,64283,1.0,134602,1.5,176430,2.50 58,1,2024-09-07 08:57:00:576,480042,480039,0,3,223603825380,2333483472,474181,5147,711,367,391603,3 58,2,2024-09-07 08:57:01:074,346350,346350,0,0,15022015,0,2549 58,3,2024-09-07 08:57:01:077,1,272,1,0,1043,2982,272,0 59,0,2024-09-07 08:57:01:752,66506,0.8,66258,1.0,132211,0.8,175521,2.75 59,1,2024-09-07 08:57:00:818,479305,479305,0,0,223191081626,2331741957,473514,4526,1265,369,391525,0 59,2,2024-09-07 08:57:00:583,344756,344756,0,0,13676865,0,2604 59,3,2024-09-07 08:57:01:740,1,272,211,0,1015,3821,272,0 60,0,2024-09-07 08:57:01:717,65306,0.4,65227,0.6,131523,0.3,173762,1.75 60,1,2024-09-07 08:57:00:807,480803,480803,0,0,224588154851,2325817090,478348,1938,517,370,392031,0 60,2,2024-09-07 08:57:01:156,342369,342369,0,0,14787816,0,3811 60,3,2024-09-07 08:57:01:276,1,272,3,0,124,2567,272,0 61,0,2024-09-07 08:57:01:554,70643,0.5,71053,0.7,141406,0.5,189177,1.75 61,1,2024-09-07 08:57:00:792,479374,479374,0,0,223292375933,2333679129,474764,3905,705,382,391880,0 61,2,2024-09-07 08:57:01:134,344045,344045,0,0,13320580,0,2780 61,3,2024-09-07 08:57:01:696,1,272,2,0,397,3581,272,0 62,0,2024-09-07 08:57:01:717,70541,0.6,71929,0.7,137179,0.6,186519,2.00 62,1,2024-09-07 08:57:01:113,482124,482118,0,6,225044845697,2318678296,479651,2349,118,365,391715,6 62,2,2024-09-07 08:57:01:644,346090,346089,1,0,14610763,0,5555 62,3,2024-09-07 08:57:01:148,1,272,4,0,287,1864,272,0 63,0,2024-09-07 08:57:01:485,66855,0.5,67049,0.6,134028,0.4,177877,1.75 63,1,2024-09-07 08:57:00:807,481111,481105,0,6,223992865897,2318394140,479371,1678,56,381,391677,6 63,2,2024-09-07 08:57:00:762,346022,346022,0,0,12257022,0,2674 63,3,2024-09-07 08:57:01:739,1,272,11,0,667,3016,272,0 64,0,2024-09-07 08:57:01:531,65512,0.4,65401,0.6,130885,0.4,174264,1.75 64,1,2024-09-07 08:57:00:773,479703,479703,0,0,224174920732,2335303168,475207,3080,1416,370,391783,0 64,2,2024-09-07 08:57:01:150,344016,343997,19,0,13137761,0,6121 64,3,2024-09-07 08:57:01:152,1,272,3,0,265,2640,272,0 65,0,2024-09-07 08:57:01:706,67827,0.6,68301,0.7,136139,0.6,181679,2.00 65,1,2024-09-07 08:57:00:898,478798,478798,0,0,222612587530,2327004986,475193,3160,445,382,391901,0 65,2,2024-09-07 08:57:01:698,342783,342783,0,0,14366340,0,3367 65,3,2024-09-07 08:57:01:693,1,272,0,0,163,2627,272,0 66,0,2024-09-07 08:57:01:787,72174,0.5,71957,0.7,144560,0.5,191727,2.00 66,1,2024-09-07 08:57:01:316,480723,480723,0,0,223865155958,2324720042,478136,2386,201,380,391653,0 66,2,2024-09-07 08:57:01:141,349911,349911,0,0,13754903,0,4956 66,3,2024-09-07 08:57:01:084,1,272,3,0,291,2786,272,0 67,0,2024-09-07 08:57:01:416,66836,0.6,66107,0.7,133236,0.6,177316,2.00 67,1,2024-09-07 08:57:00:781,479817,479816,0,1,224090157560,2334538854,475966,3101,749,380,391787,1 67,2,2024-09-07 08:57:00:585,347054,347054,0,0,12659465,0,2889 67,3,2024-09-07 08:57:01:758,1,272,26,0,338,2448,272,0 68,0,2024-09-07 08:57:00:585,65780,0.5,65643,0.7,130888,0.5,174927,2.00 68,1,2024-09-07 08:57:00:590,478994,478994,0,0,222887872184,2333872308,474809,3015,1170,381,391953,0 68,2,2024-09-07 08:57:01:052,339424,339359,65,0,16417090,0,6698 68,3,2024-09-07 08:57:00:741,1,272,13,0,417,3085,272,0 69,0,2024-09-07 08:57:01:748,67232,0.7,67636,0.8,134912,0.7,179769,2.25 69,1,2024-09-07 08:57:01:043,477788,477788,0,0,222843388467,2347394371,472074,4312,1402,384,391994,0 69,2,2024-09-07 08:57:01:736,342430,342430,0,0,16407927,0,3722 69,3,2024-09-07 08:57:00:760,1,272,207,0,698,3978,272,0 70,0,2024-09-07 08:57:01:570,72046,0.6,71983,0.9,144567,0.5,192035,2.25 70,1,2024-09-07 08:57:00:826,480539,480539,0,0,224662087594,2331036274,476703,3305,531,366,391725,0 70,2,2024-09-07 08:57:01:332,346755,346755,0,0,15078452,0,4044 70,3,2024-09-07 08:57:00:745,1,272,3,0,854,3167,272,0 71,0,2024-09-07 08:57:01:396,66299,1.1,66174,1.1,132675,1.4,177924,2.75 71,1,2024-09-07 08:57:01:620,479171,479171,0,0,223167019195,2325821720,473961,4589,621,368,391738,0 71,2,2024-09-07 08:57:01:080,346488,346488,0,0,15289867,0,4042 71,3,2024-09-07 08:57:01:751,1,272,8,0,644,3753,272,0 72,0,2024-09-07 08:57:01:060,68914,0.7,67461,0.8,131383,0.7,178678,2.25 72,1,2024-09-07 08:57:01:063,479900,479900,0,0,223680396188,2332149154,474818,4150,932,369,391819,0 72,2,2024-09-07 08:57:01:761,341040,341040,0,0,16714850,0,3983 72,3,2024-09-07 08:57:01:774,1,272,19,0,564,4649,272,0 73,0,2024-09-07 08:57:01:109,64651,0.4,66132,0.6,135508,0.3,176288,2.00 73,1,2024-09-07 08:57:00:777,479879,479879,0,0,223777550576,2322694888,476781,2861,237,367,391750,0 73,2,2024-09-07 08:57:01:756,343149,343149,0,0,16112109,0,3701 73,3,2024-09-07 08:57:00:969,1,272,3,0,274,3781,272,0 74,0,2024-09-07 08:57:01:331,72495,0.4,74155,0.6,141483,0.4,192373,2.00 74,1,2024-09-07 08:57:00:698,479324,479324,0,0,222802173881,2323181603,474915,3610,799,381,391681,0 74,2,2024-09-07 08:57:01:007,344097,344097,0,0,15544093,0,4253 74,3,2024-09-07 08:57:01:442,1,272,0,0,522,3418,272,0 75,0,2024-09-07 08:57:01:836,68639,0.5,68306,0.8,136775,0.5,182798,2.25 75,1,2024-09-07 08:57:01:586,478541,478541,0,0,222938243254,2327496199,473605,4272,664,380,391660,0 75,2,2024-09-07 08:57:01:357,345619,345619,0,0,15894953,0,4766 75,3,2024-09-07 08:57:01:077,1,272,11,0,702,3875,272,0 76,0,2024-09-07 08:57:00:589,66543,0.7,65914,0.8,132401,0.7,177160,2.50 76,1,2024-09-07 08:57:00:822,479389,479389,0,0,224002277795,2333696930,476278,2560,551,382,391692,0 76,2,2024-09-07 08:57:01:065,346012,346012,0,0,13171317,0,3784 76,3,2024-09-07 08:57:01:147,1,272,1,0,175,2701,272,0 77,0,2024-09-07 08:57:01:746,65564,0.5,65843,0.7,131545,0.4,174097,1.75 77,1,2024-09-07 08:57:00:880,480006,480006,0,0,223577210280,2331543565,476551,3198,257,381,391869,0 77,2,2024-09-07 08:57:01:300,341582,341582,0,0,13790061,0,3890 77,3,2024-09-07 08:57:01:104,1,272,2,0,382,2986,272,0 78,0,2024-09-07 08:57:01:718,69380,0.4,68844,0.6,138411,0.3,183722,2.00 78,1,2024-09-07 08:57:00:621,480143,480143,0,0,223049474837,2312580610,477144,2680,319,367,391670,0 78,2,2024-09-07 08:57:01:410,342846,342846,0,0,12317990,0,3855 78,3,2024-09-07 08:57:01:135,1,272,2,0,181,2504,272,0 79,0,2024-09-07 08:57:01:365,68935,0.4,70709,0.6,144388,0.4,187538,2.25 79,1,2024-09-07 08:57:00:574,481490,481490,0,0,223969671977,2314470718,478644,2509,337,369,391682,0 79,2,2024-09-07 08:57:01:078,348938,348938,0,0,12598394,0,3212 79,3,2024-09-07 08:57:00:748,1,272,8,0,418,3820,272,0 80,0,2024-09-07 08:57:01:114,66638,0.6,68560,0.7,131235,0.6,177374,2.00 80,1,2024-09-07 08:57:01:633,479410,479410,0,0,222905832369,2317929572,476433,2807,170,368,391791,0 80,2,2024-09-07 08:57:01:096,347239,347239,0,0,13032590,0,4433 80,3,2024-09-07 08:57:00:580,1,272,1,0,190,3618,272,0 81,0,2024-09-07 08:57:01:595,65686,0.5,67483,0.6,128996,0.4,174697,1.75 81,1,2024-09-07 08:57:01:700,478522,478522,0,0,222538691458,2324508366,474648,3411,463,382,391879,0 81,2,2024-09-07 08:57:01:136,340582,340582,0,0,13382350,0,3993 81,3,2024-09-07 08:57:01:121,1,272,0,0,374,3110,272,0 82,0,2024-09-07 08:57:01:547,67594,0.4,68039,0.6,136203,0.3,180942,1.75 82,1,2024-09-07 08:57:00:599,480157,480153,0,4,223503607258,2326488414,476849,2693,611,381,391768,4 82,2,2024-09-07 08:57:01:697,343645,343645,0,0,12622256,0,3986 82,3,2024-09-07 08:57:01:759,1,272,5,0,363,2973,272,0 83,0,2024-09-07 08:57:01:524,72864,0.5,72804,0.7,144568,0.5,192984,2.00 83,1,2024-09-07 08:57:00:559,478993,478993,0,0,223492018566,2328626138,475666,3081,246,382,391709,0 83,2,2024-09-07 08:57:00:764,347835,347835,0,0,12824637,0,3119 83,3,2024-09-07 08:57:00:749,1,272,8,0,250,3041,272,0 84,0,2024-09-07 08:57:01:775,66572,0.8,66352,0.9,132878,0.7,178093,2.25 84,1,2024-09-07 08:57:01:040,478725,478725,0,0,223043204193,2329340566,473874,4267,584,367,391967,0 84,2,2024-09-07 08:57:00:570,346350,346350,0,0,14765811,0,3801 84,3,2024-09-07 08:57:01:145,1,272,30,0,908,4066,272,0 85,0,2024-09-07 08:57:01:012,63776,0.7,63658,0.8,135154,0.7,174508,2.25 85,1,2024-09-07 08:57:00:571,478306,478306,0,0,222453458989,2347387283,471326,5869,1111,381,392006,0 85,2,2024-09-07 08:57:00:885,342096,342096,0,0,15337670,0,3656 85,3,2024-09-07 08:57:00:686,1,272,11,0,789,3571,272,0 86,0,2024-09-07 08:57:00:897,66507,0.5,68414,0.7,130736,0.5,176554,2.00 86,1,2024-09-07 08:57:00:862,479507,479507,0,0,223727512144,2336525689,474492,4287,728,366,391961,0 86,2,2024-09-07 08:57:00:860,341821,341820,1,0,16429843,0,5004 86,3,2024-09-07 08:57:00:588,1,272,52,0,286,3663,272,0 87,0,2024-09-07 08:57:01:314,71966,0.7,71942,0.8,144479,0.8,193104,2.25 87,1,2024-09-07 08:57:00:553,479189,479189,0,0,223643484505,2335586361,474703,4002,484,366,392012,0 87,2,2024-09-07 08:57:01:069,344956,344956,0,0,14759355,0,4045 87,3,2024-09-07 08:57:01:809,1,272,17,0,473,3966,272,0 88,0,2024-09-07 08:57:01:442,67378,0.5,67751,0.6,135104,0.5,179642,1.75 88,1,2024-09-07 08:57:00:570,478187,478187,0,0,222803037231,2335390739,471777,4894,1516,365,392084,0 88,2,2024-09-07 08:57:00:688,346451,346451,0,0,16651098,0,3583 88,3,2024-09-07 08:57:01:268,1,272,2,0,435,3142,272,0 89,0,2024-09-07 08:57:01:800,68815,0.5,66496,0.7,132007,0.4,178842,1.75 89,1,2024-09-07 08:57:00:560,477550,477550,0,0,223344580671,2352091451,470537,5790,1223,382,391866,0 89,2,2024-09-07 08:57:01:141,342787,342787,0,0,15709075,0,2910 89,3,2024-09-07 08:57:01:800,1,272,3,0,325,4963,272,0 90,0,2024-09-07 08:57:01:652,63485,0.4,64978,0.6,132939,0.4,173003,1.75 90,1,2024-09-07 08:57:00:606,479442,479442,0,0,222562157416,2329144665,475294,3869,279,381,391825,0 90,2,2024-09-07 08:57:01:459,340848,340848,0,0,16985334,0,3060 90,3,2024-09-07 08:57:00:957,1,272,3,0,200,2768,272,0 91,0,2024-09-07 08:57:00:937,70898,0.4,68714,0.5,143533,0.3,189091,1.75 91,1,2024-09-07 08:57:00:559,477925,477925,0,0,222836706249,2339873260,472030,5201,694,381,392047,0 91,2,2024-09-07 08:57:01:336,342945,342945,0,0,15197213,0,2896 91,3,2024-09-07 08:57:00:599,1,272,7,0,216,2471,272,0 92,0,2024-09-07 08:57:01:447,70581,0.5,72415,0.6,138255,0.5,186815,1.75 92,1,2024-09-07 08:57:00:701,479428,479428,0,0,223610185030,2328959567,476844,2178,406,382,392136,0 92,2,2024-09-07 08:57:01:356,348719,348719,0,0,13017051,0,2801 92,3,2024-09-07 08:57:01:015,1,272,1,0,167,2160,272,0 93,0,2024-09-07 08:57:00:973,67240,0.4,68939,0.6,131803,0.3,178499,1.75 93,1,2024-09-07 08:57:00:823,479828,479828,0,0,223579352928,2331236814,475268,3770,790,366,391776,0 93,2,2024-09-07 08:57:00:934,345958,345958,0,0,13965218,0,2797 93,3,2024-09-07 08:57:01:411,1,272,1,0,190,2695,272,0 94,0,2024-09-07 08:57:01:645,65496,0.3,66359,0.5,132228,0.3,175133,1.75 94,1,2024-09-07 08:57:00:579,479249,479249,0,0,223770268929,2334792044,475930,3140,179,381,391850,0 94,2,2024-09-07 08:57:00:766,340981,340981,0,0,12833438,0,2443 94,3,2024-09-07 08:57:01:696,1,272,4,0,264,3359,272,0 95,0,2024-09-07 08:57:01:407,68531,0.3,68315,0.5,137541,0.3,182575,1.50 95,1,2024-09-07 08:57:00:894,479984,479984,0,0,223535386043,2320850307,476391,3216,377,367,391713,0 95,2,2024-09-07 08:57:01:025,341880,341880,0,0,13198600,0,3308 95,3,2024-09-07 08:57:01:727,1,272,43,0,718,4624,272,0 96,0,2024-09-07 08:57:01:024,72668,0.4,72813,0.5,145522,0.3,192296,1.75 96,1,2024-09-07 08:57:01:585,479078,479078,0,0,223061953186,2327704960,475485,2858,735,384,391894,0 96,2,2024-09-07 08:57:01:267,348219,348219,0,0,13984126,0,4042 96,3,2024-09-07 08:57:01:144,1,272,1,0,411,3145,272,0 97,0,2024-09-07 08:57:01:319,66592,0.3,66310,0.5,133127,0.3,177005,1.75 97,1,2024-09-07 08:57:00:765,480745,480745,0,0,223962410436,2320916982,477695,2493,557,367,392140,0 97,2,2024-09-07 08:57:00:618,346961,346961,0,0,13342732,0,3036 97,3,2024-09-07 08:57:00:573,1,272,0,0,214,3056,272,0 98,0,2024-09-07 08:57:01:728,65656,0.3,65621,0.4,131950,0.2,175090,1.50 98,1,2024-09-07 08:57:00:576,480204,480204,0,0,224091568613,2330138953,478045,2058,101,382,391997,0 98,2,2024-09-07 08:57:00:774,340491,340491,0,0,13168005,0,3080 98,3,2024-09-07 08:57:00:703,1,272,0,0,840,4718,272,0 99,0,2024-09-07 08:57:01:465,67547,0.3,67916,0.4,135333,0.2,181099,1.50 99,1,2024-09-07 08:57:01:748,479792,479792,0,0,223053161553,2323384463,475986,3065,741,381,392069,0 99,2,2024-09-07 08:57:01:429,344968,344968,0,0,14247508,0,3106 99,3,2024-09-07 08:57:00:582,1,272,8,0,187,2199,272,0 100,0,2024-09-07 08:57:01:457,72258,0.6,72235,0.8,144413,0.6,192753,2.25 100,1,2024-09-07 08:57:00:569,476838,476838,0,0,222002460400,2344656046,469928,5589,1321,381,391989,0 100,2,2024-09-07 08:57:01:840,345333,345322,11,0,15663148,0,5417 100,3,2024-09-07 08:57:01:731,1,272,1,0,559,5006,272,0 101,0,2024-09-07 08:57:01:722,68237,1.5,66477,1.1,130372,1.5,179378,2.50 101,1,2024-09-07 08:57:00:573,477026,477026,0,0,222130280297,2339612849,469280,5864,1882,368,391769,0 101,2,2024-09-07 08:57:01:777,345182,345182,0,0,16890379,0,4644 101,3,2024-09-07 08:57:00:950,1,272,1,0,448,3337,272,0 102,0,2024-09-07 08:57:00:968,65071,0.7,67381,0.8,135771,0.7,177853,2.00 102,1,2024-09-07 08:57:01:174,478093,478093,0,0,222870291337,2338826458,472358,4894,841,369,391883,0 102,2,2024-09-07 08:57:01:742,342856,342802,54,0,15185377,0,6768 102,3,2024-09-07 08:57:01:615,1,272,3,0,410,2790,272,0 103,0,2024-09-07 08:57:01:615,68270,0.4,68191,0.6,128793,0.4,177506,1.75 103,1,2024-09-07 08:57:01:634,477454,477454,0,0,222437686311,2342213640,470963,5109,1382,381,391829,0 103,2,2024-09-07 08:57:00:590,341626,341626,0,0,14555564,0,2582 103,3,2024-09-07 08:57:00:766,1,272,12,0,916,3626,272,0 104,0,2024-09-07 08:57:01:026,71495,0.7,71859,0.9,142430,0.6,192442,2.25 104,1,2024-09-07 08:57:01:600,479119,479119,0,0,222735303040,2338764466,472428,5593,1098,365,392168,0 104,2,2024-09-07 08:57:01:668,343240,343240,0,0,16115414,0,3941 104,3,2024-09-07 08:57:01:417,1,272,2,0,1245,6612,272,0 105,0,2024-09-07 08:57:01:039,67775,1.1,65863,1.2,137795,1.5,181350,3.25 105,1,2024-09-07 08:57:00:692,479187,479187,0,0,223159271161,2338681251,472864,5344,979,366,391797,0 105,2,2024-09-07 08:57:01:323,346184,346184,0,0,15801899,0,3509 105,3,2024-09-07 08:57:01:304,1,272,10,0,399,4368,272,0 106,0,2024-09-07 08:57:00:954,64524,0.9,66095,1.0,135306,1.0,176667,2.75 106,1,2024-09-07 08:57:01:782,479226,479226,0,0,222194438507,2332307082,472072,6394,760,369,391767,0 106,2,2024-09-07 08:57:00:772,343378,343378,0,0,15054780,0,2795 106,3,2024-09-07 08:57:00:677,1,272,9,0,470,3710,272,0 107,0,2024-09-07 08:57:01:188,65529,0.7,65496,0.8,130962,0.7,173852,2.00 107,1,2024-09-07 08:57:00:618,477719,477719,0,0,222843276319,2341146962,472382,4788,549,381,392234,0 107,2,2024-09-07 08:57:01:292,340933,340932,1,0,15865066,0,5024 107,3,2024-09-07 08:57:01:776,1,272,8,0,353,3536,272,0 108,0,2024-09-07 08:57:01:901,69029,0.4,69365,0.5,137963,0.3,184438,1.75 108,1,2024-09-07 08:57:01:294,479367,479367,0,0,223657534358,2327602395,476140,2841,386,368,391857,0 108,2,2024-09-07 08:57:01:787,341613,341613,0,0,14409266,0,2647 108,3,2024-09-07 08:57:01:338,1,272,24,0,749,5637,272,0 109,0,2024-09-07 08:57:01:787,71655,0.4,70827,0.5,142204,0.3,189623,1.75 109,1,2024-09-07 08:57:00:590,477636,477636,0,0,223157002390,2337665483,473761,3358,517,383,392132,0 109,2,2024-09-07 08:57:00:924,346243,346243,0,0,14795582,0,3617 109,3,2024-09-07 08:57:01:147,1,272,7,0,249,3073,272,0 110,0,2024-09-07 08:57:01:793,66751,0.4,64955,0.6,136035,0.4,178104,1.75 110,1,2024-09-07 08:57:01:695,480781,480781,0,0,224050944818,2323832736,477630,2271,880,369,392045,0 110,2,2024-09-07 08:57:01:323,346933,346933,0,0,14150712,0,3264 110,3,2024-09-07 08:57:00:699,1,272,11,0,406,3498,272,0 111,0,2024-09-07 08:57:01:423,66134,0.3,65658,0.5,131294,0.3,176096,1.75 111,1,2024-09-07 08:57:01:003,480762,480762,0,0,224616065713,2325858703,478767,1659,336,382,391690,0 111,2,2024-09-07 08:57:01:126,340259,340259,0,0,13181386,0,2763 111,3,2024-09-07 08:57:00:923,1,272,9,0,379,3485,272,0 112,0,2024-09-07 08:57:01:024,68151,0.3,67907,0.4,136103,0.2,180926,1.50 112,1,2024-09-07 08:57:00:854,480475,480475,0,0,223176631708,2313025238,478014,2032,429,380,391624,0 112,2,2024-09-07 08:57:01:138,342448,342447,1,0,13658101,0,5036 112,3,2024-09-07 08:57:00:611,1,272,12,0,282,2769,272,0 113,0,2024-09-07 08:57:00:870,72429,0.3,72496,0.5,145623,0.2,194819,1.50 113,1,2024-09-07 08:57:01:692,481621,481621,0,0,224835215675,2318451712,479115,2016,490,366,391661,0 113,2,2024-09-07 08:57:01:316,348827,348827,0,0,12411126,0,3813 113,3,2024-09-07 08:57:00:686,1,272,0,0,340,3767,272,0 114,0,2024-09-07 08:57:00:876,67366,0.4,67839,0.5,134589,0.3,180194,1.75 114,1,2024-09-07 08:57:00:727,479784,479784,0,0,223704795531,2327621726,474973,3222,1589,381,391556,0 114,2,2024-09-07 08:57:00:883,346569,346568,1,0,13501176,0,5069 114,3,2024-09-07 08:57:01:286,1,272,10,0,395,2715,272,0 115,0,2024-09-07 08:57:00:568,66126,0.3,66408,0.4,132931,0.2,176583,1.50 115,1,2024-09-07 08:57:00:572,480049,480049,0,0,223992213570,2328467176,475645,3567,837,382,391639,0 115,2,2024-09-07 08:57:01:124,342220,342220,0,0,11625059,0,2152 115,3,2024-09-07 08:57:01:006,1,272,13,0,159,1548,272,0 116,0,2024-09-07 08:57:01:701,66366,0.6,66218,0.8,132465,0.5,177290,2.00 116,1,2024-09-07 08:57:00:827,477073,477073,0,0,222704975253,2352200263,470553,4464,2056,380,391782,0 116,2,2024-09-07 08:57:01:756,341935,341935,0,0,17011853,0,3529 116,3,2024-09-07 08:57:00:923,1,272,2,0,415,3740,272,0 117,0,2024-09-07 08:57:00:960,72521,0.6,72082,0.8,144300,0.6,193287,2.00 117,1,2024-09-07 08:57:01:605,478532,478532,0,0,222492321375,2326579848,473637,4402,493,370,392033,0 117,2,2024-09-07 08:57:01:118,347604,347604,0,0,13887246,0,3700 117,3,2024-09-07 08:57:01:063,1,272,1,0,490,4097,272,0 118,0,2024-09-07 08:57:01:833,65483,0.6,67272,0.7,137132,0.6,178925,2.00 118,1,2024-09-07 08:57:00:595,478635,478635,0,0,222590101560,2336714970,472243,4957,1435,366,391736,0 118,2,2024-09-07 08:57:01:587,345497,345497,0,0,15106602,0,2781 118,3,2024-09-07 08:57:01:775,1,272,6,0,235,2977,272,0 119,0,2024-09-07 08:57:01:484,66400,0.8,66855,0.8,133836,1.0,177737,2.25 119,1,2024-09-07 08:57:00:563,479432,479432,0,0,223385724065,2331588630,474622,4284,526,367,391780,0 119,2,2024-09-07 08:57:01:313,343550,343550,0,0,14291806,0,4174 119,3,2024-09-07 08:57:01:379,1,272,49,0,443,3661,272,0 120,0,2024-09-07 08:57:01:544,65107,0.5,64882,0.7,130040,0.4,173621,2.00 120,1,2024-09-07 08:57:00:871,479064,479064,0,0,222675293400,2332352852,474352,4360,352,368,391961,0 120,2,2024-09-07 08:57:00:780,341833,341832,1,0,16793359,0,5281 120,3,2024-09-07 08:57:01:290,1,272,15,0,241,3343,272,0 121,0,2024-09-07 08:57:01:715,70743,0.7,70662,0.9,141879,0.8,189250,2.00 121,1,2024-09-07 08:57:01:671,479217,479217,0,0,223076900532,2331470171,474591,4209,417,367,391840,0 121,2,2024-09-07 08:57:01:125,342316,342316,0,0,15991896,0,4127 121,3,2024-09-07 08:57:00:727,1,272,100,0,269,3176,272,0 122,0,2024-09-07 08:57:01:844,69784,0.8,68019,0.9,142658,1.0,186778,2.00 122,1,2024-09-07 08:57:00:873,478464,478464,0,0,223249503513,2339274709,472388,5258,818,366,392130,0 122,2,2024-09-07 08:57:01:326,346312,346239,73,0,18666527,0,5989 122,3,2024-09-07 08:57:00:594,1,272,103,0,411,5291,272,0 123,0,2024-09-07 08:57:00:975,66674,0.9,65200,0.8,135868,1.0,177727,2.25 123,1,2024-09-07 08:57:00:558,478762,478762,0,0,222750832421,2345904566,470083,6963,1716,369,392039,0 123,2,2024-09-07 08:57:01:033,343354,343353,1,0,15467179,0,5215 123,3,2024-09-07 08:57:01:146,1,272,8,0,168,3154,272,0 124,0,2024-09-07 08:57:00:931,67898,0.3,67900,0.5,128127,0.3,175837,1.50 124,1,2024-09-07 08:57:01:039,480457,480457,0,0,223254616361,2317213801,477548,2544,365,367,392178,0 124,2,2024-09-07 08:57:01:016,341394,341394,0,0,12635249,0,3101 124,3,2024-09-07 08:57:00:764,1,272,4,0,490,2921,272,0 125,0,2024-09-07 08:57:01:435,68593,0.3,68234,0.5,137453,0.3,182854,1.50 125,1,2024-09-07 08:57:00:855,478814,478814,0,0,223268574839,2326395519,475707,2711,396,383,391702,0 125,2,2024-09-07 08:57:01:125,342827,342827,0,0,13732493,0,4534 125,3,2024-09-07 08:57:01:129,1,272,11,0,709,3638,272,0 126,0,2024-09-07 08:57:01:476,72608,0.5,74583,0.6,142546,0.4,193220,1.75 126,1,2024-09-07 08:57:00:553,480760,480760,0,0,223700184750,2316117069,478036,2460,264,365,391987,0 126,2,2024-09-07 08:57:00:615,349253,349253,0,0,14120688,0,3186 126,3,2024-09-07 08:57:00:917,1,272,5,0,207,3772,272,0 127,0,2024-09-07 08:57:01:620,66300,0.4,66712,0.5,132972,0.3,177118,1.75 127,1,2024-09-07 08:57:00:591,480506,480506,0,0,223467062237,2313672917,477537,2816,153,365,391816,0 127,2,2024-09-07 08:57:00:646,346850,346850,0,0,12675360,0,2264 127,3,2024-09-07 08:57:01:267,1,272,8,0,968,3081,272,0 128,0,2024-09-07 08:57:01:521,66125,0.3,66050,0.4,132048,0.2,175460,1.50 128,1,2024-09-07 08:57:01:626,479643,479643,0,0,223736573082,2319293872,477300,2185,158,367,391798,0 128,2,2024-09-07 08:57:01:396,341445,341445,0,0,12503083,0,2434 128,3,2024-09-07 08:57:00:771,1,272,11,0,1082,5471,272,0 129,0,2024-09-07 08:57:01:001,68124,0.3,67915,0.4,135798,0.2,180798,1.50 129,1,2024-09-07 08:57:00:609,477619,477619,0,0,222484127167,2329423418,473445,3243,931,379,391835,0 129,2,2024-09-07 08:57:00:688,343395,343395,0,0,13588699,0,4031 129,3,2024-09-07 08:57:00:688,1,272,2,0,469,3784,272,0 130,0,2024-09-07 08:57:01:753,72950,0.4,72458,0.6,145430,0.4,193716,1.75 130,1,2024-09-07 08:57:00:624,479960,479960,0,0,222813006475,2316238790,477512,2369,79,381,391825,0 130,2,2024-09-07 08:57:01:134,348304,348304,0,0,13230143,0,4067 130,3,2024-09-07 08:57:01:293,1,272,3,0,450,3644,272,0 131,0,2024-09-07 08:57:01:945,66810,0.4,67278,0.5,135249,0.3,179288,1.75 131,1,2024-09-07 08:57:01:848,480288,480288,0,0,223630838909,2329752972,477231,2599,458,381,391865,0 131,2,2024-09-07 08:57:00:575,348257,348257,0,0,12137023,0,2415 131,3,2024-09-07 08:57:01:690,1,272,8,0,392,2812,272,0 132,0,2024-09-07 08:57:01:445,66479,0.5,67460,0.6,134221,0.4,178260,2.00 132,1,2024-09-07 08:57:00:576,477452,477452,0,0,221937804763,2338713038,470151,5970,1331,381,392097,0 132,2,2024-09-07 08:57:00:704,342123,342123,0,0,15775886,0,4606 132,3,2024-09-07 08:57:01:687,1,272,2,0,356,3746,272,0 133,0,2024-09-07 08:57:01:558,64618,0.4,66209,0.5,135491,0.3,176230,1.75 133,1,2024-09-07 08:57:00:596,478108,478108,0,0,222674550554,2342601461,472247,5141,720,383,391914,0 133,2,2024-09-07 08:57:01:106,341698,341698,0,0,17190752,0,4315 133,3,2024-09-07 08:57:01:309,1,272,5,0,276,2607,272,0 134,0,2024-09-07 08:57:00:971,72113,0.4,72041,0.6,144234,0.4,192544,2.00 134,1,2024-09-07 08:57:00:602,477872,477872,0,0,222895924491,2339003837,471284,5117,1471,366,391718,0 134,2,2024-09-07 08:57:01:777,344037,344037,0,0,14510833,0,3847 134,3,2024-09-07 08:57:00:749,1,272,1,0,739,3862,272,0 135,0,2024-09-07 08:57:01:103,66246,0.8,66208,0.9,140508,0.9,181124,2.25 135,1,2024-09-07 08:57:01:607,477461,477461,0,0,223234595906,2347777645,470873,5550,1038,380,391805,0 135,2,2024-09-07 08:57:00:687,346741,346741,0,0,15918972,0,3981 135,3,2024-09-07 08:57:01:007,1,272,126,0,299,2022,272,0 136,0,2024-09-07 08:57:01:616,67255,0.6,67190,0.7,133946,0.6,178661,2.25 136,1,2024-09-07 08:57:01:449,478072,478072,0,0,222698566191,2337016366,472530,4956,586,382,391685,0 136,2,2024-09-07 08:57:01:143,345022,345022,0,0,15164187,0,3506 136,3,2024-09-07 08:57:01:117,1,272,10,0,150,2233,272,0 137,0,2024-09-07 08:57:00:918,67530,0.6,65627,0.7,129338,0.5,175086,2.00 137,1,2024-09-07 08:57:00:577,478318,478318,0,0,222863971376,2335177452,471362,5538,1418,366,391708,0 137,2,2024-09-07 08:57:01:710,340794,340794,0,0,16785319,0,3185 137,3,2024-09-07 08:57:00:769,1,272,1,0,382,3362,272,0 138,0,2024-09-07 08:57:01:792,68606,0.7,68668,0.8,137971,0.7,183852,2.00 138,1,2024-09-07 08:57:01:692,478213,478213,0,0,223640941403,2344927739,471233,5847,1133,368,391954,0 138,2,2024-09-07 08:57:00:590,342522,342522,0,0,15439462,0,4988 138,3,2024-09-07 08:57:00:615,1,272,1,0,1160,3930,272,0 139,0,2024-09-07 08:57:01:501,70155,1.6,70454,1.2,140891,2.4,187989,2.50 139,1,2024-09-07 08:57:00:593,476831,476831,0,0,221567430404,2350798951,468235,6479,2117,381,391892,0 139,2,2024-09-07 08:57:00:695,345373,345373,0,0,16433037,0,3097 139,3,2024-09-07 08:57:01:679,1,272,21,0,257,2938,272,0 140,0,2024-09-07 08:57:01:592,67068,0.3,66614,0.5,133893,0.2,178392,1.75 140,1,2024-09-07 08:57:01:536,481662,481662,0,0,224782785043,2314108261,479680,1740,242,365,391606,0 140,2,2024-09-07 08:57:00:687,346893,346893,0,0,12926954,0,3388 140,3,2024-09-07 08:57:00:767,1,272,1,0,247,2248,272,0 141,0,2024-09-07 08:57:01:699,65916,0.3,67774,0.4,129515,0.2,176019,1.50 141,1,2024-09-07 08:57:00:860,480971,480971,0,0,223799734195,2319246583,478399,2164,408,379,391614,0 141,2,2024-09-07 08:57:01:694,340888,340888,0,0,12400689,0,2342 141,3,2024-09-07 08:57:01:053,1,272,1,0,202,2463,272,0 142,0,2024-09-07 08:57:01:327,68749,0.3,68055,0.4,135497,0.2,181398,1.50 142,1,2024-09-07 08:57:00:614,480001,480001,0,0,223048096410,2323316493,477160,2575,266,382,392102,0 142,2,2024-09-07 08:57:01:313,342433,342401,32,0,14331591,0,6028 142,3,2024-09-07 08:57:01:746,1,272,5,0,484,3622,272,0 143,0,2024-09-07 08:57:01:413,72870,0.5,72815,0.6,146048,0.5,194788,1.75 143,1,2024-09-07 08:57:00:592,480388,480388,0,0,223756248896,2317798146,477626,2666,96,367,391651,0 143,2,2024-09-07 08:57:00:770,347943,347943,0,0,13621875,0,2669 143,3,2024-09-07 08:57:01:147,1,272,8,0,303,3461,272,0 144,0,2024-09-07 08:57:01:498,64813,0.6,66552,0.9,135717,0.5,178329,2.00 144,1,2024-09-07 08:57:00:573,477605,477605,0,0,222345669437,2331549066,473538,3139,928,381,391649,0 144,2,2024-09-07 08:57:01:758,347149,347149,0,0,12894565,0,3473 144,3,2024-09-07 08:57:01:750,1,272,1,0,249,3046,272,0 145,0,2024-09-07 08:57:01:442,63791,0.6,63771,0.8,135383,0.5,174442,2.25 145,1,2024-09-07 08:57:00:557,477377,477377,0,0,223300747200,2345101303,471686,4868,823,382,391698,0 145,2,2024-09-07 08:57:01:439,340358,340358,0,0,15065795,0,3903 145,3,2024-09-07 08:57:00:902,1,272,23,0,622,4178,272,0 146,0,2024-09-07 08:57:01:602,66524,0.5,65951,0.7,132778,0.4,176497,2.00 146,1,2024-09-07 08:57:01:591,478329,478329,0,0,222732374963,2343465899,470016,6466,1847,368,391770,0 146,2,2024-09-07 08:57:01:701,342124,342124,0,0,15201266,0,2730 146,3,2024-09-07 08:57:01:287,1,272,2,0,1520,5968,272,0 147,0,2024-09-07 08:57:01:720,72305,0.5,72112,0.7,143533,0.5,192835,2.00 147,1,2024-09-07 08:57:01:379,480481,480481,0,0,223629320563,2323945524,476366,3528,587,368,391791,0 147,2,2024-09-07 08:57:01:030,347134,347134,0,0,13077609,0,2789 147,3,2024-09-07 08:57:00:916,1,272,34,0,730,4011,272,0 0,0,2024-09-07 08:57:11:780,63539,0.4,63637,0.7,134817,0.4,174799,1.75 0,1,2024-09-07 08:57:10:899,480897,480897,0,0,224197606555,2342865070,477586,3105,206,370,391896,0 0,2,2024-09-07 08:57:11:076,343596,343596,0,0,13671242,0,4480 0,3,2024-09-07 08:57:11:008,1,273,5,0,319,3529,273,0 1,0,2024-09-07 08:57:11:781,71403,0.7,70844,0.9,142565,0.8,190313,2.00 1,1,2024-09-07 08:57:10:610,480556,480556,0,0,223067064464,2335189066,476048,3454,1054,371,391857,0 1,2,2024-09-07 08:57:10:670,344393,344393,0,0,12963086,0,3267 1,3,2024-09-07 08:57:11:308,1,273,17,0,262,3140,273,0 2,0,2024-09-07 08:57:11:570,70251,0.7,70426,0.8,139512,0.9,186739,2.00 2,1,2024-09-07 08:57:10:898,481600,481600,0,0,225468467277,2340163216,479421,1961,218,380,391745,0 2,2,2024-09-07 08:57:11:278,348479,348479,0,0,13225402,0,3594 2,3,2024-09-07 08:57:10:691,1,273,1,0,357,2559,273,0 3,0,2024-09-07 08:57:11:773,66941,0.4,66979,0.6,133695,0.4,177679,2.00 3,1,2024-09-07 08:57:11:623,480766,480766,0,0,224161749715,2332695050,476923,3381,462,380,391556,0 3,2,2024-09-07 08:57:11:148,346511,346488,23,0,13544561,0,5851 3,3,2024-09-07 08:57:11:797,1,273,8,0,103,1587,273,0 4,0,2024-09-07 08:57:11:779,63912,0.3,65775,0.5,133798,0.3,174993,1.75 4,1,2024-09-07 08:57:10:600,480534,480534,0,0,223271686229,2343559054,475671,4068,795,371,391846,0 4,2,2024-09-07 08:57:11:028,341236,341236,0,0,15988178,0,4528 4,3,2024-09-07 08:57:11:047,1,273,1,0,448,4003,273,0 5,0,2024-09-07 08:57:11:452,68743,0.4,69107,0.5,137297,0.3,183294,1.75 5,1,2024-09-07 08:57:10:755,480122,480122,0,0,223382489125,2346537071,474456,4553,1113,368,392005,0 5,2,2024-09-07 08:57:11:838,342271,342271,0,0,14721130,0,2432 5,3,2024-09-07 08:57:11:746,1,273,9,0,457,4221,273,0 6,0,2024-09-07 08:57:10:916,72944,0.5,72478,0.7,144818,0.5,193211,2.00 6,1,2024-09-07 08:57:10:762,480610,480610,0,0,224187108618,2341540232,475526,4170,914,379,391694,0 6,2,2024-09-07 08:57:11:123,348810,348810,0,0,14534269,0,4816 6,3,2024-09-07 08:57:11:276,1,273,4,0,340,3200,273,0 7,0,2024-09-07 08:57:11:531,66334,0.5,66639,0.7,132775,0.5,176752,2.00 7,1,2024-09-07 08:57:10:871,480019,480019,0,0,223547047546,2344384800,473761,5253,1005,382,391747,0 7,2,2024-09-07 08:57:10:775,347055,347055,0,0,14339027,0,4791 7,3,2024-09-07 08:57:10:889,1,273,18,0,398,3226,273,0 8,0,2024-09-07 08:57:11:421,66145,0.3,65968,0.5,131715,0.3,175980,1.50 8,1,2024-09-07 08:57:11:016,479179,479179,0,0,223698794838,2356554593,470590,6524,2065,366,392144,0 8,2,2024-09-07 08:57:10:790,337870,337870,0,0,17159334,0,3220 8,3,2024-09-07 08:57:10:596,1,273,2,0,357,3968,273,0 9,0,2024-09-07 08:57:11:116,68135,0.3,66112,0.5,138162,0.3,181459,1.50 9,1,2024-09-07 08:57:10:602,479304,479304,0,0,222983750632,2346725454,472803,4956,1545,370,392001,0 9,2,2024-09-07 08:57:11:083,343290,343290,0,0,15141488,0,3360 9,3,2024-09-07 08:57:11:762,1,273,5,0,496,4079,273,0 10,0,2024-09-07 08:57:11:610,73125,0.3,72450,0.5,145769,0.2,194585,1.75 10,1,2024-09-07 08:57:10:612,479832,479832,0,0,223161379096,2337449253,473204,5665,963,381,391741,0 10,2,2024-09-07 08:57:10:770,348342,348342,0,0,16791362,0,4264 10,3,2024-09-07 08:57:10:882,1,273,0,0,177,2271,273,0 11,0,2024-09-07 08:57:11:006,66857,0.5,64900,0.7,135609,0.4,179957,1.75 11,1,2024-09-07 08:57:10:585,480651,480651,0,0,223472646298,2347629242,472430,6240,1981,383,391573,0 11,2,2024-09-07 08:57:11:159,347316,347316,0,0,15496162,0,4130 11,3,2024-09-07 08:57:11:310,1,273,12,0,843,4308,273,0 12,0,2024-09-07 08:57:11:046,67426,0.3,67291,0.5,134551,0.3,178675,1.75 12,1,2024-09-07 08:57:10:948,480553,480553,0,0,223642588453,2329120806,477016,3115,422,370,391870,0 12,2,2024-09-07 08:57:11:543,343216,343216,0,0,14508840,0,3469 12,3,2024-09-07 08:57:11:067,1,273,14,0,386,4444,273,0 13,0,2024-09-07 08:57:11:400,66892,0.3,66766,0.5,133373,0.3,177493,1.50 13,1,2024-09-07 08:57:11:615,480849,480849,0,0,223473405006,2341943995,477286,3021,542,382,391740,0 13,2,2024-09-07 08:57:10:611,344796,344796,0,0,13612835,0,3287 13,3,2024-09-07 08:57:11:765,1,273,37,0,467,4025,273,0 14,0,2024-09-07 08:57:10:563,72539,0.3,73209,0.5,144772,0.3,193401,1.75 14,1,2024-09-07 08:57:11:561,483676,483676,0,0,224604633137,2321813372,480842,2644,190,364,391571,0 14,2,2024-09-07 08:57:10:769,345890,345890,0,0,13705721,0,2896 14,3,2024-09-07 08:57:11:149,1,273,1,0,1168,3604,273,0 15,0,2024-09-07 08:57:11:571,68575,0.5,68678,0.7,137184,0.5,182382,2.00 15,1,2024-09-07 08:57:11:615,481604,481604,0,0,224014088555,2326577716,479080,2346,178,381,391619,0 15,2,2024-09-07 08:57:11:000,348728,348728,0,0,11769525,0,3043 15,3,2024-09-07 08:57:11:409,1,273,14,0,1126,5451,273,0 16,0,2024-09-07 08:57:10:982,67101,0.7,67332,0.8,134092,0.7,178528,2.25 16,1,2024-09-07 08:57:10:795,481691,481691,0,0,223979903997,2338834136,478038,3352,301,370,391756,0 16,2,2024-09-07 08:57:11:433,345048,345048,0,0,14358768,0,4719 16,3,2024-09-07 08:57:11:156,1,273,1,0,317,3772,273,0 17,0,2024-09-07 08:57:11:801,67662,0.4,66046,0.7,129201,0.4,175201,1.75 17,1,2024-09-07 08:57:10:592,480371,480371,0,0,223156927810,2340878183,475441,3824,1106,368,391899,0 17,2,2024-09-07 08:57:11:674,345573,345573,0,0,13914404,0,2857 17,3,2024-09-07 08:57:10:584,1,273,7,0,268,4299,273,0 18,0,2024-09-07 08:57:10:956,68782,0.6,69056,0.7,137820,0.6,184749,2.25 18,1,2024-09-07 08:57:11:650,481647,481647,0,0,224202332247,2322164566,479061,2344,242,367,391649,0 18,2,2024-09-07 08:57:11:763,345394,345394,0,0,13447399,0,3541 18,3,2024-09-07 08:57:10:898,1,273,0,0,163,2600,273,0 19,0,2024-09-07 08:57:11:539,70682,0.6,71271,0.8,141388,0.6,187896,2.25 19,1,2024-09-07 08:57:10:579,481778,481778,0,0,224841205552,2334253952,477106,3885,787,367,391777,0 19,2,2024-09-07 08:57:11:778,350397,350397,0,0,12309007,0,3988 19,3,2024-09-07 08:57:11:136,1,273,8,0,524,2054,273,0 20,0,2024-09-07 08:57:11:491,66683,0.6,66663,0.8,133662,0.7,178141,2.25 20,1,2024-09-07 08:57:10:645,480344,480344,0,0,223877522501,2340160065,476417,3528,399,369,391886,0 20,2,2024-09-07 08:57:10:958,347747,347747,0,0,14101716,0,3721 20,3,2024-09-07 08:57:10:644,1,273,1,0,414,4825,273,0 21,0,2024-09-07 08:57:11:224,66277,0.5,66345,0.6,132462,0.4,175816,2.00 21,1,2024-09-07 08:57:11:549,478786,478786,0,0,222970785978,2346112991,472606,4764,1416,368,391962,0 21,2,2024-09-07 08:57:11:069,340717,340717,0,0,15861227,0,3747 21,3,2024-09-07 08:57:11:420,1,273,3,0,103,2702,273,0 22,0,2024-09-07 08:57:11:734,68107,0.5,68178,0.7,136277,0.5,181261,2.00 22,1,2024-09-07 08:57:11:029,479896,479896,0,0,223076314745,2345588037,472550,5704,1642,382,391667,0 22,2,2024-09-07 08:57:10:761,343064,343064,0,0,13928556,0,3134 22,3,2024-09-07 08:57:11:068,1,273,3,0,228,2390,273,0 23,0,2024-09-07 08:57:11:378,72755,0.6,72612,0.7,145541,0.6,193986,2.25 23,1,2024-09-07 08:57:11:006,481217,481217,0,0,224780077242,2357072195,473721,4943,2553,365,391690,0 23,2,2024-09-07 08:57:11:097,349479,349479,0,0,13520291,0,3010 23,3,2024-09-07 08:57:11:781,1,273,26,0,645,3423,273,0 24,0,2024-09-07 08:57:10:861,67709,0.4,67610,0.6,135756,0.4,180252,1.75 24,1,2024-09-07 08:57:10:595,480229,480229,0,0,223451326026,2342086704,474516,4230,1483,368,391987,0 24,2,2024-09-07 08:57:11:087,346258,346258,0,0,16528538,0,3607 24,3,2024-09-07 08:57:11:686,1,273,9,0,468,3937,273,0 25,0,2024-09-07 08:57:11:409,67935,0.4,66155,0.6,129988,0.4,177247,2.00 25,1,2024-09-07 08:57:10:584,480841,480841,0,0,224135620050,2343954303,476239,4045,557,371,391788,0 25,2,2024-09-07 08:57:11:609,340955,340955,0,0,16520258,0,3978 25,3,2024-09-07 08:57:11:026,1,273,1,0,255,2883,273,0 26,0,2024-09-07 08:57:11:724,66577,0.4,65032,0.5,136434,0.3,178091,1.75 26,1,2024-09-07 08:57:11:541,480753,480753,0,0,223508470189,2342032221,473645,5892,1216,381,391748,0 26,2,2024-09-07 08:57:11:106,343870,343870,0,0,16096052,0,2809 26,3,2024-09-07 08:57:11:713,1,273,3,0,796,3470,273,0 27,0,2024-09-07 08:57:11:729,72841,0.4,73014,0.6,144489,0.3,193339,2.00 27,1,2024-09-07 08:57:11:701,482512,482512,0,0,224856480877,2337309013,478902,3017,593,381,391558,0 27,2,2024-09-07 08:57:10:886,345312,345247,65,0,16550672,0,5699 27,3,2024-09-07 08:57:11:022,1,273,24,0,564,2875,273,0 28,0,2024-09-07 08:57:11:405,67726,0.4,67457,0.6,135657,0.4,180804,2.00 28,1,2024-09-07 08:57:10:800,482025,482025,0,0,225101588989,2340731948,479109,2445,471,383,391646,0 28,2,2024-09-07 08:57:11:780,347779,347779,0,0,13285566,0,2915 28,3,2024-09-07 08:57:11:777,1,273,1,0,502,2819,273,0 29,0,2024-09-07 08:57:11:368,68792,0.4,67221,0.6,131447,0.3,179374,1.75 29,1,2024-09-07 08:57:11:561,483001,483001,0,0,224587819201,2323294464,479768,2667,566,369,391621,0 29,2,2024-09-07 08:57:11:093,343502,343502,0,0,12386887,0,4018 29,3,2024-09-07 08:57:10:972,1,273,0,0,115,1919,273,0 30,0,2024-09-07 08:57:11:456,65460,0.5,63504,0.7,132820,0.4,174138,2.00 30,1,2024-09-07 08:57:10:586,482864,482864,0,0,225462386813,2333791513,480531,2040,293,382,391672,0 30,2,2024-09-07 08:57:11:280,343985,343985,0,0,12230269,0,3161 30,3,2024-09-07 08:57:10:584,1,273,1,0,519,2530,273,0 31,0,2024-09-07 08:57:11:795,70938,0.4,71181,0.5,142614,0.3,190388,1.75 31,1,2024-09-07 08:57:10:592,484457,484457,0,0,225654680026,2309987276,483062,1175,220,356,391712,0 31,2,2024-09-07 08:57:11:280,344721,344721,0,0,14099462,0,3525 31,3,2024-09-07 08:57:11:706,1,273,8,0,220,2077,273,0 32,0,2024-09-07 08:57:11:427,70046,0.3,70410,0.5,140857,0.3,187152,1.75 32,1,2024-09-07 08:57:10:813,482277,482277,0,0,224511870355,2327692697,480214,1784,279,381,391622,0 32,2,2024-09-07 08:57:10:942,348348,348348,0,0,12280707,0,3155 32,3,2024-09-07 08:57:11:031,1,273,5,0,227,2102,273,0 33,0,2024-09-07 08:57:11:491,67284,0.3,66772,0.5,134152,0.2,178646,1.75 33,1,2024-09-07 08:57:10:616,483088,483088,0,0,225864206573,2332088688,480450,2464,174,369,391730,0 33,2,2024-09-07 08:57:10:777,347601,347568,33,0,13792797,0,7012 33,3,2024-09-07 08:57:10:897,1,273,0,0,329,3122,273,0 34,0,2024-09-07 08:57:10:934,65930,0.3,67961,0.4,130143,0.2,174372,1.50 34,1,2024-09-07 08:57:11:055,483520,483520,0,0,225974136388,2322618060,482389,1124,7,367,391562,0 34,2,2024-09-07 08:57:10:769,342784,342784,0,0,13106822,0,3577 34,3,2024-09-07 08:57:11:689,1,273,1,0,299,2159,273,0 35,0,2024-09-07 08:57:11:092,68287,0.3,68593,0.5,138127,0.2,183865,1.50 35,1,2024-09-07 08:57:11:074,482371,482371,0,0,223968956244,2322249765,479005,2586,780,384,391589,0 35,2,2024-09-07 08:57:11:584,344187,344187,0,0,13201729,0,2653 35,3,2024-09-07 08:57:10:908,1,273,2,0,418,3402,273,0 36,0,2024-09-07 08:57:11:518,72743,0.5,72664,0.7,145466,0.5,192839,2.25 36,1,2024-09-07 08:57:10:597,481515,481515,0,0,223573928980,2335692078,475471,4465,1579,366,391759,0 36,2,2024-09-07 08:57:11:778,348619,348619,0,0,14753882,0,3875 36,3,2024-09-07 08:57:11:100,1,273,6,0,416,4149,273,0 37,0,2024-09-07 08:57:11:394,66217,0.5,66238,0.7,132700,0.5,177684,2.00 37,1,2024-09-07 08:57:10:577,480534,480527,0,7,223290559397,2336417029,474503,3954,2070,365,391560,0 37,2,2024-09-07 08:57:11:159,346428,346413,15,0,15053556,0,5815 37,3,2024-09-07 08:57:11:779,1,273,1,0,888,4654,273,0 38,0,2024-09-07 08:57:11:443,65378,0.5,63527,0.6,132978,0.4,173947,2.00 38,1,2024-09-07 08:57:11:616,481586,481586,0,0,224797210151,2342933260,476256,4654,676,368,391821,0 38,2,2024-09-07 08:57:10:768,340922,340875,47,0,15214199,0,6710 38,3,2024-09-07 08:57:11:005,1,273,3,0,689,3629,273,0 39,0,2024-09-07 08:57:11:784,69555,0.6,68209,0.7,132643,0.5,181563,2.00 39,1,2024-09-07 08:57:10:718,481119,481119,0,0,223835256258,2336601505,475092,5011,1016,365,391594,0 39,2,2024-09-07 08:57:11:419,343612,343612,0,0,13719699,0,2689 39,3,2024-09-07 08:57:10:712,1,273,35,0,324,3521,273,0 40,0,2024-09-07 08:57:11:494,72093,0.6,72806,0.8,145388,0.6,194111,2.75 40,1,2024-09-07 08:57:10:606,481047,481047,0,0,223911146208,2343403565,473902,5702,1443,368,391651,0 40,2,2024-09-07 08:57:11:308,348563,348562,1,0,16676486,0,5137 40,3,2024-09-07 08:57:11:156,1,273,39,0,398,3275,273,0 41,0,2024-09-07 08:57:11:038,66613,1.8,68124,1.4,130075,2.9,178015,3.50 41,1,2024-09-07 08:57:10:789,480804,480804,0,0,223765230243,2336958006,475168,5001,635,370,391742,0 41,2,2024-09-07 08:57:10:769,346075,346075,0,0,15816228,0,3356 41,3,2024-09-07 08:57:11:678,1,273,1,0,366,3056,273,0 42,0,2024-09-07 08:57:11:481,66147,0.8,66302,1.0,132856,0.8,175290,2.50 42,1,2024-09-07 08:57:11:440,479906,479906,0,0,223798965263,2349295916,472504,5950,1452,380,391675,0 42,2,2024-09-07 08:57:11:159,343044,343044,0,0,15073317,0,3568 42,3,2024-09-07 08:57:11:010,1,273,1,0,446,2390,273,0 43,0,2024-09-07 08:57:10:916,66154,0.6,64425,0.9,134758,0.6,177246,2.00 43,1,2024-09-07 08:57:10:585,481271,481271,0,0,224548157014,2342667087,475004,4938,1329,366,391696,0 43,2,2024-09-07 08:57:11:761,344070,344070,0,0,14709635,0,3812 43,3,2024-09-07 08:57:11:762,1,273,12,0,467,3481,273,0 44,0,2024-09-07 08:57:10:899,72355,0.4,72802,0.6,144971,0.3,193542,1.75 44,1,2024-09-07 08:57:10:584,483069,483069,0,0,224304824205,2312519816,480508,2129,432,356,391809,0 44,2,2024-09-07 08:57:11:281,345379,345379,0,0,12483385,0,2231 44,3,2024-09-07 08:57:11:098,1,273,0,0,817,3124,273,0 45,0,2024-09-07 08:57:11:775,67547,0.6,66075,0.8,138668,0.6,182199,2.00 45,1,2024-09-07 08:57:11:014,482143,482143,0,0,224443346499,2323634855,480488,1643,12,382,391917,0 45,2,2024-09-07 08:57:11:281,348388,348388,0,0,13145188,0,3596 45,3,2024-09-07 08:57:10:947,1,273,0,0,271,2907,273,0 46,0,2024-09-07 08:57:10:986,66661,0.5,66524,0.7,133265,0.5,176455,2.00 46,1,2024-09-07 08:57:10:585,483717,483717,0,0,224740911509,2317767514,481361,2082,274,366,391539,0 46,2,2024-09-07 08:57:10:594,346267,346267,0,0,12570631,0,2920 46,3,2024-09-07 08:57:11:158,1,273,1,0,908,3882,273,0 47,0,2024-09-07 08:57:11:113,65995,0.4,65837,0.6,132383,0.3,174791,1.75 47,1,2024-09-07 08:57:10:576,483079,483079,0,0,224723123695,2317153110,480750,2195,134,366,391641,0 47,2,2024-09-07 08:57:10:908,345333,345333,0,0,12581713,0,2558 47,3,2024-09-07 08:57:11:149,1,273,12,0,529,2437,273,0 48,0,2024-09-07 08:57:11:493,69962,0.3,69540,0.4,138787,0.2,185780,1.50 48,1,2024-09-07 08:57:11:026,482031,482031,0,0,224870422199,2334275805,478870,2861,300,384,391710,0 48,2,2024-09-07 08:57:10:700,344242,344242,0,0,12321449,0,3031 48,3,2024-09-07 08:57:10:760,1,273,1,0,339,2321,273,0 49,0,2024-09-07 08:57:11:734,73173,0.4,71754,0.5,139279,0.3,190250,1.75 49,1,2024-09-07 08:57:11:029,481108,481108,0,0,223644755043,2329966414,477220,2707,1181,382,391809,0 49,2,2024-09-07 08:57:11:798,349853,349853,0,0,13194708,0,3900 49,3,2024-09-07 08:57:11:417,1,273,18,0,408,2899,273,0 50,0,2024-09-07 08:57:11:518,66895,0.3,66331,0.5,133348,0.2,177840,1.75 50,1,2024-09-07 08:57:11:023,482718,482718,0,0,225209326545,2328720313,479586,2822,310,368,391530,0 50,2,2024-09-07 08:57:11:073,347842,347842,0,0,12190702,0,2263 50,3,2024-09-07 08:57:11:305,1,273,1,0,335,2270,273,0 51,0,2024-09-07 08:57:11:688,67804,0.3,66302,0.4,129333,0.2,176168,1.50 51,1,2024-09-07 08:57:11:689,483478,483478,0,0,225561151281,2326977011,480661,1877,940,365,391706,0 51,2,2024-09-07 08:57:11:320,342299,342299,0,0,11386212,0,3337 51,3,2024-09-07 08:57:11:036,1,273,8,0,678,2119,273,0 52,0,2024-09-07 08:57:11:439,68702,0.4,68346,0.6,136807,0.4,182000,2.00 52,1,2024-09-07 08:57:10:612,480926,480926,0,0,223257776586,2338608965,473652,6020,1254,368,391722,0 52,2,2024-09-07 08:57:11:766,341332,341294,38,0,15797668,0,6742 52,3,2024-09-07 08:57:10:708,1,273,1,0,1782,4607,273,0 53,0,2024-09-07 08:57:11:818,72567,0.7,70535,0.8,147444,0.8,193455,2.50 53,1,2024-09-07 08:57:10:779,479878,479878,0,0,223887922264,2350324303,471961,5468,2449,367,391702,0 53,2,2024-09-07 08:57:11:306,349456,349456,0,0,13254916,0,2727 53,3,2024-09-07 08:57:10:698,1,273,3,0,271,2356,273,0 54,0,2024-09-07 08:57:11:616,66249,0.7,66842,0.8,132331,0.5,177504,2.50 54,1,2024-09-07 08:57:10:596,481316,481316,0,0,224420662473,2332245420,476514,4205,597,367,391659,0 54,2,2024-09-07 08:57:11:101,347831,347825,6,0,14966856,0,5382 54,3,2024-09-07 08:57:10:768,1,273,67,0,676,4372,273,0 55,0,2024-09-07 08:57:11:784,63891,0.6,66146,0.8,133138,0.5,173579,2.50 55,1,2024-09-07 08:57:10:768,480859,480859,0,0,223874026922,2330492166,475018,4961,880,365,391731,0 55,2,2024-09-07 08:57:10:731,341336,341336,0,0,14418273,0,3275 55,3,2024-09-07 08:57:10:685,1,273,8,0,304,2974,273,0 56,0,2024-09-07 08:57:11:581,68340,0.9,64496,1.0,132641,1.1,177644,2.25 56,1,2024-09-07 08:57:10:591,479401,479401,0,0,223335642474,2358097978,472088,5875,1438,381,391729,0 56,2,2024-09-07 08:57:11:307,343927,343927,0,0,15631547,0,3567 56,3,2024-09-07 08:57:11:064,1,273,2,0,705,3903,273,0 57,0,2024-09-07 08:57:10:994,71908,1.2,71828,1.1,143653,1.7,192420,2.75 57,1,2024-09-07 08:57:11:006,480653,480653,0,0,223990191934,2344187674,475126,4841,686,367,392032,0 57,2,2024-09-07 08:57:11:319,347485,347485,0,0,17053292,0,3317 57,3,2024-09-07 08:57:11:765,1,273,4,0,359,3485,273,0 58,0,2024-09-07 08:57:10:605,66381,1.1,64505,1.0,135077,1.5,177295,2.50 58,1,2024-09-07 08:57:10:602,481762,481759,0,3,224273239452,2340464679,475901,5147,711,367,391603,3 58,2,2024-09-07 08:57:11:071,347787,347787,0,0,15300070,0,2549 58,3,2024-09-07 08:57:11:068,1,273,1,0,1043,2983,273,0 59,0,2024-09-07 08:57:11:743,66630,0.8,66407,1.0,132479,0.8,176016,2.75 59,1,2024-09-07 08:57:10:809,480798,480798,0,0,223985607216,2341297407,474696,4823,1279,369,391525,0 59,2,2024-09-07 08:57:10:587,345485,345485,0,0,13713249,0,2604 59,3,2024-09-07 08:57:11:762,1,273,12,0,1015,3833,273,0 60,0,2024-09-07 08:57:11:704,65528,0.4,65424,0.6,131988,0.3,174661,1.75 60,1,2024-09-07 08:57:10:778,482619,482619,0,0,225416441950,2334334494,480163,1938,518,370,392031,0 60,2,2024-09-07 08:57:11:156,344041,344041,0,0,14844996,0,3811 60,3,2024-09-07 08:57:11:285,1,273,9,0,124,2576,273,0 61,0,2024-09-07 08:57:11:533,71095,0.5,71481,0.7,142323,0.5,190288,1.75 61,1,2024-09-07 08:57:10:793,481188,481188,0,0,224244956983,2343475798,476578,3905,705,382,391880,0 61,2,2024-09-07 08:57:11:166,345543,345543,0,0,13369504,0,2780 61,3,2024-09-07 08:57:11:697,1,273,1,0,397,3582,273,0 62,0,2024-09-07 08:57:11:714,70646,0.6,72055,0.7,137386,0.6,186841,2.00 62,1,2024-09-07 08:57:11:117,483957,483951,0,6,226065413272,2329206567,481484,2349,118,365,391715,6 62,2,2024-09-07 08:57:11:648,347290,347289,1,0,14646497,0,5555 62,3,2024-09-07 08:57:11:150,1,273,5,0,287,1869,273,0 63,0,2024-09-07 08:57:11:490,66981,0.5,67141,0.6,134238,0.4,178217,1.75 63,1,2024-09-07 08:57:11:049,482949,482943,0,6,224753637181,2326242049,481209,1678,56,381,391677,6 63,2,2024-09-07 08:57:10:764,347046,347046,0,0,12288240,0,2674 63,3,2024-09-07 08:57:11:734,1,273,41,0,667,3057,273,0 64,0,2024-09-07 08:57:11:512,65624,0.4,65498,0.6,131087,0.4,174264,1.75 64,1,2024-09-07 08:57:10:753,481441,481441,0,0,225023555525,2344094780,476944,3081,1416,370,391783,0 64,2,2024-09-07 08:57:11:159,345601,345582,19,0,13195514,0,6121 64,3,2024-09-07 08:57:11:140,1,273,1,0,265,2641,273,0 65,0,2024-09-07 08:57:11:687,68193,0.6,68717,0.7,136912,0.6,182727,2.00 65,1,2024-09-07 08:57:11:096,480597,480597,0,0,223507908381,2336257376,476992,3160,445,382,391901,0 65,2,2024-09-07 08:57:11:695,344080,344080,0,0,14422030,0,3367 65,3,2024-09-07 08:57:11:685,1,273,2,0,163,2629,273,0 66,0,2024-09-07 08:57:11:784,72279,0.5,72058,0.7,144771,0.5,191985,2.00 66,1,2024-09-07 08:57:11:296,482507,482507,0,0,224763980767,2334132781,479920,2386,201,380,391653,0 66,2,2024-09-07 08:57:11:141,351040,351040,0,0,13798675,0,4956 66,3,2024-09-07 08:57:11:082,1,273,2,0,291,2788,273,0 67,0,2024-09-07 08:57:11:440,67096,0.6,66373,0.7,133749,0.6,177932,2.00 67,1,2024-09-07 08:57:10:770,481616,481615,0,1,224879462690,2342785818,477765,3101,749,380,391787,1 67,2,2024-09-07 08:57:10:603,348381,348381,0,0,12763699,0,2889 67,3,2024-09-07 08:57:11:775,1,273,8,0,338,2456,273,0 68,0,2024-09-07 08:57:10:578,66030,0.5,65900,0.7,131419,0.5,175783,2.00 68,1,2024-09-07 08:57:10:738,480790,480790,0,0,223757198644,2343321894,476538,3082,1170,381,391953,0 68,2,2024-09-07 08:57:11:049,340657,340592,65,0,16483119,0,6698 68,3,2024-09-07 08:57:10:740,1,273,7,0,417,3092,273,0 69,0,2024-09-07 08:57:11:728,67560,0.7,68021,0.8,135623,0.7,180556,2.25 69,1,2024-09-07 08:57:11:016,479589,479589,0,0,223605324249,2355769517,473845,4342,1402,384,391994,0 69,2,2024-09-07 08:57:11:749,343636,343636,0,0,16483684,0,3722 69,3,2024-09-07 08:57:10:768,1,273,1,0,698,3979,273,0 70,0,2024-09-07 08:57:11:539,72408,0.7,72369,0.9,145249,0.5,193030,2.25 70,1,2024-09-07 08:57:10:810,482507,482507,0,0,225451168684,2340051058,478546,3430,531,366,391725,0 70,2,2024-09-07 08:57:11:325,348225,348225,0,0,15162281,0,4044 70,3,2024-09-07 08:57:10:747,1,273,2,0,854,3169,273,0 71,0,2024-09-07 08:57:11:375,66615,1.1,66494,1.1,133307,1.4,178645,2.75 71,1,2024-09-07 08:57:11:602,480916,480916,0,0,223790691739,2333383692,475534,4741,641,368,391738,0 71,2,2024-09-07 08:57:11:069,347964,347964,0,0,15388540,0,4042 71,3,2024-09-07 08:57:11:775,1,273,17,0,644,3770,273,0 72,0,2024-09-07 08:57:11:048,69088,0.7,67627,0.8,131698,0.7,179100,2.25 72,1,2024-09-07 08:57:11:039,481140,481140,0,0,224291153980,2338584819,476033,4175,932,369,391819,0 72,2,2024-09-07 08:57:11:765,341888,341888,0,0,16775026,0,3983 72,3,2024-09-07 08:57:11:764,1,273,3,0,564,4652,273,0 73,0,2024-09-07 08:57:11:112,64952,0.4,66435,0.6,136099,0.3,176909,2.00 73,1,2024-09-07 08:57:10:782,481662,481662,0,0,224582393866,2331530013,478538,2887,237,367,391750,0 73,2,2024-09-07 08:57:11:777,344441,344441,0,0,16272801,0,3701 73,3,2024-09-07 08:57:10:978,1,273,137,0,274,3918,273,0 74,0,2024-09-07 08:57:11:400,72881,0.4,74583,0.6,142303,0.4,193341,2.00 74,1,2024-09-07 08:57:10:650,480702,480702,0,0,223649736672,2332980776,476030,3795,877,381,391681,0 74,2,2024-09-07 08:57:11:012,345519,345519,0,0,15666801,0,4253 74,3,2024-09-07 08:57:11:445,1,273,4,0,522,3422,273,0 75,0,2024-09-07 08:57:11:765,68765,0.5,68447,0.8,137049,0.5,183242,2.25 75,1,2024-09-07 08:57:11:592,480372,480372,0,0,223760824747,2336350785,475425,4283,664,380,391660,0 75,2,2024-09-07 08:57:11:364,346948,346948,0,0,15954810,0,4766 75,3,2024-09-07 08:57:11:074,1,273,12,0,702,3887,273,0 76,0,2024-09-07 08:57:10:680,66670,0.7,66004,0.8,132618,0.7,177436,2.50 76,1,2024-09-07 08:57:11:062,481203,481203,0,0,224691450395,2340841863,478092,2560,551,382,391692,0 76,2,2024-09-07 08:57:11:079,346774,346774,0,0,13200144,0,3784 76,3,2024-09-07 08:57:11:171,1,273,3,0,175,2704,273,0 77,0,2024-09-07 08:57:11:707,65612,0.5,65915,0.7,131669,0.4,174419,1.75 77,1,2024-09-07 08:57:11:095,481778,481778,0,0,224292680743,2338904382,478323,3198,257,381,391869,0 77,2,2024-09-07 08:57:11:281,343134,343134,0,0,13932557,0,3890 77,3,2024-09-07 08:57:11:100,1,273,1,0,382,2987,273,0 78,0,2024-09-07 08:57:11:718,69825,0.4,69290,0.6,139252,0.3,185041,2.00 78,1,2024-09-07 08:57:10:619,481860,481860,0,0,223739309734,2319927771,478861,2680,319,367,391670,0 78,2,2024-09-07 08:57:11:409,344268,344268,0,0,12377119,0,3855 78,3,2024-09-07 08:57:11:158,1,273,78,0,181,2582,273,0 79,0,2024-09-07 08:57:11:347,69024,0.4,70812,0.6,144595,0.4,187832,2.25 79,1,2024-09-07 08:57:10:571,483263,483263,0,0,224778460530,2322708966,480417,2509,337,369,391682,0 79,2,2024-09-07 08:57:11:071,349996,349996,0,0,12664897,0,3212 79,3,2024-09-07 08:57:10:750,1,273,10,0,418,3830,273,0 80,0,2024-09-07 08:57:11:104,66823,0.6,68719,0.7,131556,0.6,177644,2.00 80,1,2024-09-07 08:57:11:652,481150,481150,0,0,223697320132,2326371370,478173,2807,170,368,391791,0 80,2,2024-09-07 08:57:11:105,348443,348443,0,0,13061084,0,4433 80,3,2024-09-07 08:57:10:591,1,273,2,0,190,3620,273,0 81,0,2024-09-07 08:57:11:538,65939,0.5,67777,0.6,129537,0.4,175264,1.75 81,1,2024-09-07 08:57:11:664,480329,480329,0,0,223587036623,2335410073,476455,3411,463,382,391879,0 81,2,2024-09-07 08:57:11:159,341913,341913,0,0,13427689,0,3993 81,3,2024-09-07 08:57:11:158,1,273,1,0,374,3111,273,0 82,0,2024-09-07 08:57:11:533,67954,0.4,68397,0.6,136918,0.3,182154,1.75 82,1,2024-09-07 08:57:10:596,481932,481928,0,4,224476262899,2336571264,478624,2693,611,381,391768,4 82,2,2024-09-07 08:57:11:697,344963,344963,0,0,12672752,0,3986 82,3,2024-09-07 08:57:11:765,1,273,2,0,363,2975,273,0 83,0,2024-09-07 08:57:11:528,73233,0.5,73136,0.7,145273,0.5,193775,2.00 83,1,2024-09-07 08:57:10:566,480799,480799,0,0,224199023261,2336061338,477471,3082,246,382,391709,0 83,2,2024-09-07 08:57:10:764,348988,348988,0,0,12867154,0,3119 83,3,2024-09-07 08:57:10:768,1,273,8,0,250,3049,273,0 84,0,2024-09-07 08:57:11:780,66851,0.8,66685,0.9,133501,0.7,178910,2.25 84,1,2024-09-07 08:57:11:057,480499,480499,0,0,223841726565,2337708262,475648,4267,584,367,391967,0 84,2,2024-09-07 08:57:10:595,347621,347621,0,0,14853438,0,3801 84,3,2024-09-07 08:57:11:172,1,273,0,0,908,4066,273,0 85,0,2024-09-07 08:57:11:009,63952,0.7,63843,0.8,135533,0.7,175101,2.25 85,1,2024-09-07 08:57:10:596,480005,480005,0,0,223156972545,2355664281,472894,5994,1117,381,392006,0 85,2,2024-09-07 08:57:10:879,343250,343250,0,0,15426462,0,3656 85,3,2024-09-07 08:57:10:708,1,273,1,0,789,3572,273,0 86,0,2024-09-07 08:57:10:914,66852,0.5,68765,0.7,131471,0.5,177814,2.00 86,1,2024-09-07 08:57:10:856,481168,481168,0,0,224357964456,2344220392,476003,4376,789,366,391961,0 86,2,2024-09-07 08:57:10:887,343100,343099,1,0,16511046,0,5004 86,3,2024-09-07 08:57:10:590,1,273,2,0,286,3665,273,0 87,0,2024-09-07 08:57:11:324,72329,0.7,72251,0.8,145086,0.8,193803,2.25 87,1,2024-09-07 08:57:10:558,481022,481022,0,0,224240658269,2342685295,476373,4158,491,366,392012,0 87,2,2024-09-07 08:57:11:069,346383,346383,0,0,14862634,0,4045 87,3,2024-09-07 08:57:11:795,1,273,18,0,473,3984,273,0 88,0,2024-09-07 08:57:11:476,67616,0.5,67986,0.6,135606,0.5,180536,2.00 88,1,2024-09-07 08:57:10:679,479855,479855,0,0,223404663209,2341660717,473445,4894,1516,365,392084,0 88,2,2024-09-07 08:57:10:712,347933,347933,0,0,16738377,0,3583 88,3,2024-09-07 08:57:11:276,1,273,1,0,435,3143,273,0 89,0,2024-09-07 08:57:11:776,68967,0.5,66625,0.7,132281,0.4,179330,1.75 89,1,2024-09-07 08:57:10:572,479417,479417,0,0,224156980761,2360577062,472404,5790,1223,382,391866,0 89,2,2024-09-07 08:57:11:159,343499,343499,0,0,15732286,0,2910 89,3,2024-09-07 08:57:11:791,1,273,28,0,325,4991,273,0 90,0,2024-09-07 08:57:11:615,63721,0.4,65221,0.6,133377,0.4,173923,1.75 90,1,2024-09-07 08:57:10:603,481114,481114,0,0,223477541867,2338638309,476965,3870,279,381,391825,0 90,2,2024-09-07 08:57:11:416,342373,342373,0,0,17159755,0,3060 90,3,2024-09-07 08:57:10:944,1,273,2,0,200,2770,273,0 91,0,2024-09-07 08:57:11:034,71349,0.4,69231,0.5,144391,0.3,190151,1.75 91,1,2024-09-07 08:57:10:569,479722,479722,0,0,223651861570,2348732723,473817,5210,695,381,392047,0 91,2,2024-09-07 08:57:11:365,344344,344344,0,0,15294049,0,2896 91,3,2024-09-07 08:57:10:620,1,273,12,0,216,2483,273,0 92,0,2024-09-07 08:57:11:475,70701,0.5,72541,0.6,138489,0.5,187120,1.75 92,1,2024-09-07 08:57:10:586,481208,481208,0,0,224359594351,2336748948,478624,2178,406,382,392136,0 92,2,2024-09-07 08:57:11:358,349785,349785,0,0,13088861,0,2801 92,3,2024-09-07 08:57:11:010,1,273,1,0,167,2161,273,0 93,0,2024-09-07 08:57:10:977,67344,0.4,69043,0.6,132005,0.3,178858,1.75 93,1,2024-09-07 08:57:10:826,481638,481638,0,0,224291788271,2338638846,477078,3770,790,366,391776,0 93,2,2024-09-07 08:57:10:960,346879,346879,0,0,14009790,0,2797 93,3,2024-09-07 08:57:11:417,1,273,1,0,190,2696,273,0 94,0,2024-09-07 08:57:11:617,65618,0.3,66466,0.5,132406,0.3,175137,1.75 94,1,2024-09-07 08:57:10:586,481030,481030,0,0,224665794572,2344234229,477710,3141,179,381,391850,0 94,2,2024-09-07 08:57:10:769,342556,342556,0,0,12905440,0,2443 94,3,2024-09-07 08:57:11:701,1,273,12,0,264,3371,273,0 95,0,2024-09-07 08:57:11:388,68934,0.3,68716,0.5,138361,0.3,183607,1.50 95,1,2024-09-07 08:57:11:086,481780,481780,0,0,224333348355,2329073208,478186,3217,377,367,391713,0 95,2,2024-09-07 08:57:11:018,343135,343135,0,0,13281815,0,3308 95,3,2024-09-07 08:57:11:710,1,273,7,0,718,4631,273,0 96,0,2024-09-07 08:57:11:026,72775,0.4,72926,0.5,145741,0.3,192556,1.75 96,1,2024-09-07 08:57:11:602,480880,480880,0,0,223956930225,2337585183,477258,2887,735,384,391894,0 96,2,2024-09-07 08:57:11:279,349338,349338,0,0,14037961,0,4042 96,3,2024-09-07 08:57:11:158,1,273,0,0,411,3145,273,0 97,0,2024-09-07 08:57:11:320,66831,0.3,66595,0.5,133633,0.3,177608,1.75 97,1,2024-09-07 08:57:10:889,482516,482516,0,0,224794044421,2329547401,479466,2493,557,367,392140,0 97,2,2024-09-07 08:57:10:650,348233,348233,0,0,13411956,0,3036 97,3,2024-09-07 08:57:10:615,1,273,32,0,214,3088,273,0 98,0,2024-09-07 08:57:11:720,65928,0.3,65907,0.4,132446,0.2,175997,1.50 98,1,2024-09-07 08:57:10:586,481850,481850,0,0,224810659701,2337613996,479690,2059,101,382,391997,0 98,2,2024-09-07 08:57:10:780,341866,341866,0,0,13296173,0,3080 98,3,2024-09-07 08:57:10:699,1,273,35,0,840,4753,273,0 99,0,2024-09-07 08:57:11:454,67934,0.3,68285,0.4,136109,0.2,181852,1.50 99,1,2024-09-07 08:57:11:728,481553,481553,0,0,223877616447,2331950181,477747,3065,741,381,392069,0 99,2,2024-09-07 08:57:11:422,346238,346238,0,0,14304801,0,3106 99,3,2024-09-07 08:57:10:595,1,273,8,0,187,2207,273,0 100,0,2024-09-07 08:57:11:468,72637,0.6,72626,0.8,145170,0.7,193788,2.25 100,1,2024-09-07 08:57:10:580,478381,478381,0,0,222735522524,2352584130,471470,5590,1321,381,391989,0 100,2,2024-09-07 08:57:11:820,346816,346805,11,0,15770275,0,5417 100,3,2024-09-07 08:57:11:747,1,273,0,0,559,5006,273,0 101,0,2024-09-07 08:57:11:718,68578,1.5,66776,1.1,131082,1.4,180271,2.50 101,1,2024-09-07 08:57:10:555,478580,478580,0,0,222899269223,2348886347,470537,6064,1979,368,391769,0 101,2,2024-09-07 08:57:11:781,346543,346543,0,0,17026680,0,4644 101,3,2024-09-07 08:57:10:979,1,273,3,0,448,3340,273,0 102,0,2024-09-07 08:57:10:960,65210,0.7,67554,0.8,136111,0.7,178253,2.00 102,1,2024-09-07 08:57:11:151,479982,479982,0,0,223857343124,2349815485,474074,5067,841,369,391883,0 102,2,2024-09-07 08:57:11:776,343643,343589,54,0,15232358,0,6768 102,3,2024-09-07 08:57:11:613,1,273,8,0,410,2798,273,0 103,0,2024-09-07 08:57:11:606,68581,0.4,68504,0.6,129377,0.4,178257,1.75 103,1,2024-09-07 08:57:11:653,479183,479183,0,0,223576830642,2354444124,472604,5197,1382,381,391829,0 103,2,2024-09-07 08:57:10:592,343136,343136,0,0,14931365,0,2582 103,3,2024-09-07 08:57:10:765,1,273,20,0,916,3646,273,0 104,0,2024-09-07 08:57:11:043,71906,0.7,72265,0.9,143263,0.6,193502,2.25 104,1,2024-09-07 08:57:11:684,480674,480674,0,0,223454560905,2346543734,473971,5605,1098,365,392168,0 104,2,2024-09-07 08:57:11:674,344756,344756,0,0,16221201,0,3941 104,3,2024-09-07 08:57:11:427,1,273,13,0,1245,6625,273,0 105,0,2024-09-07 08:57:11:034,67917,1.1,66001,1.2,138114,1.5,181796,3.25 105,1,2024-09-07 08:57:10:559,480957,480957,0,0,223898555312,2346320267,474634,5344,979,366,391797,0 105,2,2024-09-07 08:57:11:336,347534,347534,0,0,15863230,0,3509 105,3,2024-09-07 08:57:11:308,1,273,10,0,399,4378,273,0 106,0,2024-09-07 08:57:10:939,64638,0.9,66195,1.0,135516,1.0,176904,2.75 106,1,2024-09-07 08:57:11:878,480640,480640,0,0,222884942930,2339833992,473441,6438,761,369,391767,0 106,2,2024-09-07 08:57:10:758,344130,344130,0,0,15122133,0,2795 106,3,2024-09-07 08:57:10:687,1,273,41,0,470,3751,273,0 107,0,2024-09-07 08:57:11:113,65589,0.7,65547,0.8,131079,0.7,174194,2.00 107,1,2024-09-07 08:57:10:590,479349,479349,0,0,223685716435,2351873332,473537,5131,681,381,392234,0 107,2,2024-09-07 08:57:11:296,342425,342424,1,0,15983446,0,5024 107,3,2024-09-07 08:57:11:764,1,273,28,0,353,3564,273,0 108,0,2024-09-07 08:57:11:864,69455,0.4,69774,0.5,138785,0.3,185757,1.75 108,1,2024-09-07 08:57:11:337,481212,481212,0,0,224352748674,2334882195,477984,2842,386,368,391857,0 108,2,2024-09-07 08:57:11:835,342996,342996,0,0,14458093,0,2647 108,3,2024-09-07 08:57:11:336,1,273,5,0,749,5642,273,0 109,0,2024-09-07 08:57:11:825,71754,0.4,70914,0.5,142401,0.3,189930,1.75 109,1,2024-09-07 08:57:10:661,479493,479493,0,0,224288343907,2349209216,475616,3360,517,383,392132,0 109,2,2024-09-07 08:57:10:928,347343,347343,0,0,14833710,0,3617 109,3,2024-09-07 08:57:11:150,1,273,1,0,249,3074,273,0 110,0,2024-09-07 08:57:11:771,66905,0.4,65122,0.6,136353,0.4,178356,1.75 110,1,2024-09-07 08:57:11:655,482557,482557,0,0,224739456314,2331107366,479406,2271,880,369,392045,0 110,2,2024-09-07 08:57:11:307,348043,348043,0,0,14188897,0,3264 110,3,2024-09-07 08:57:10:695,1,273,11,0,406,3509,273,0 111,0,2024-09-07 08:57:11:429,66372,0.3,65917,0.5,131785,0.3,176616,1.75 111,1,2024-09-07 08:57:11:000,482584,482584,0,0,225115193854,2331080468,480589,1659,336,382,391690,0 111,2,2024-09-07 08:57:11:152,341650,341650,0,0,13222592,0,2763 111,3,2024-09-07 08:57:10:918,1,273,17,0,379,3502,273,0 112,0,2024-09-07 08:57:10:918,68514,0.3,68259,0.4,136774,0.2,182123,1.50 112,1,2024-09-07 08:57:10:826,482386,482386,0,0,223819191951,2320284146,479787,2164,435,380,391624,0 112,2,2024-09-07 08:57:11:141,343671,343670,1,0,13745081,0,5036 112,3,2024-09-07 08:57:10:600,1,273,1,0,282,2770,273,0 113,0,2024-09-07 08:57:11:102,72792,0.3,72863,0.5,146342,0.2,195217,1.50 113,1,2024-09-07 08:57:11:689,483393,483393,0,0,225512563254,2325588043,480887,2016,490,366,391661,0 113,2,2024-09-07 08:57:11:308,349939,349939,0,0,12439004,0,3813 113,3,2024-09-07 08:57:10:685,1,273,1,0,340,3768,273,0 114,0,2024-09-07 08:57:10:877,67669,0.4,68136,0.5,135175,0.3,180961,1.75 114,1,2024-09-07 08:57:10:717,481596,481596,0,0,224519997253,2336411753,476768,3239,1589,381,391556,0 114,2,2024-09-07 08:57:10:878,347878,347877,1,0,13553036,0,5069 114,3,2024-09-07 08:57:11:280,1,273,28,0,395,2743,273,0 115,0,2024-09-07 08:57:10:556,66305,0.3,66594,0.4,133271,0.2,177158,1.50 115,1,2024-09-07 08:57:10:577,481740,481740,0,0,224798282913,2336987633,477335,3568,837,382,391639,0 115,2,2024-09-07 08:57:11:126,343265,343265,0,0,11650701,0,2152 115,3,2024-09-07 08:57:11:002,1,273,9,0,159,1557,273,0 116,0,2024-09-07 08:57:11:699,66706,0.6,66577,0.8,133110,0.5,178463,2.00 116,1,2024-09-07 08:57:11:034,478876,478876,0,0,223350427659,2359048397,472355,4464,2057,380,391782,0 116,2,2024-09-07 08:57:11:798,343204,343204,0,0,17189549,0,3529 116,3,2024-09-07 08:57:10:916,1,273,5,0,415,3745,273,0 117,0,2024-09-07 08:57:10:968,72857,0.6,72414,0.8,145024,0.6,194032,2.00 117,1,2024-09-07 08:57:11:582,480244,480244,0,0,223251759862,2334607408,475342,4409,493,370,392033,0 117,2,2024-09-07 08:57:11:159,349009,349009,0,0,13983980,0,3700 117,3,2024-09-07 08:57:11:059,1,273,1,0,490,4098,273,0 118,0,2024-09-07 08:57:11:778,65719,0.6,67558,0.7,137650,0.6,179875,2.00 118,1,2024-09-07 08:57:10:617,480506,480506,0,0,223344328697,2344988772,474091,4980,1435,366,391736,0 118,2,2024-09-07 08:57:11:586,346883,346883,0,0,15173108,0,2781 118,3,2024-09-07 08:57:11:768,1,273,2,0,235,2979,273,0 119,0,2024-09-07 08:57:11:399,66558,0.8,66989,0.8,134080,1.0,178221,2.25 119,1,2024-09-07 08:57:10:577,481148,481148,0,0,224097004542,2341231025,475858,4624,666,367,391780,0 119,2,2024-09-07 08:57:11:299,344225,344225,0,0,14315504,0,4174 119,3,2024-09-07 08:57:11:326,1,273,8,0,443,3669,273,0 120,0,2024-09-07 08:57:11:564,65320,0.5,65080,0.7,130449,0.4,174440,2.00 120,1,2024-09-07 08:57:10:869,480812,480812,0,0,223669566639,2343388905,476049,4411,352,368,391961,0 120,2,2024-09-07 08:57:10:779,343323,343322,1,0,17010900,0,5281 120,3,2024-09-07 08:57:11:297,1,273,52,0,241,3395,273,0 121,0,2024-09-07 08:57:11:716,71183,0.7,71127,0.9,142850,0.9,190200,2.00 121,1,2024-09-07 08:57:11:661,480861,480861,0,0,223692897653,2338356113,476190,4254,417,367,391840,0 121,2,2024-09-07 08:57:11:150,343632,343632,0,0,16081072,0,4127 121,3,2024-09-07 08:57:10:727,1,273,1,0,269,3177,273,0 122,0,2024-09-07 08:57:11:795,69899,0.8,68135,0.9,142882,1.0,187099,2.00 122,1,2024-09-07 08:57:10:893,480130,480130,0,0,223932553285,2347756419,473721,5537,872,366,392130,0 122,2,2024-09-07 08:57:11:319,347383,347310,73,0,18773576,0,5989 122,3,2024-09-07 08:57:10:594,1,273,3,0,411,5294,273,0 123,0,2024-09-07 08:57:10:951,66781,0.9,65310,0.8,136073,1.0,178035,2.25 123,1,2024-09-07 08:57:10:605,480367,480367,0,0,223654966726,2355782172,471622,7029,1716,369,392039,0 123,2,2024-09-07 08:57:11:038,344403,344402,1,0,15508342,0,5215 123,3,2024-09-07 08:57:11:140,1,273,9,0,168,3163,273,0 124,0,2024-09-07 08:57:10:920,68030,0.3,68025,0.5,128335,0.3,175849,1.50 124,1,2024-09-07 08:57:11:026,482243,482243,0,0,224116944280,2326225952,479333,2545,365,367,392178,0 124,2,2024-09-07 08:57:11:011,342961,342961,0,0,12704962,0,3101 124,3,2024-09-07 08:57:10:767,1,273,2,0,490,2923,273,0 125,0,2024-09-07 08:57:11:424,68970,0.3,68637,0.5,138216,0.3,183776,1.50 125,1,2024-09-07 08:57:10:880,480533,480533,0,0,223879569966,2332787190,477426,2711,396,383,391702,0 125,2,2024-09-07 08:57:11:148,343985,343985,0,0,13815086,0,4534 125,3,2024-09-07 08:57:11:149,1,273,0,0,709,3638,273,0 126,0,2024-09-07 08:57:11:450,72718,0.5,74678,0.6,142759,0.4,193456,1.75 126,1,2024-09-07 08:57:10:585,482555,482555,0,0,224673781021,2326127869,479831,2460,264,365,391987,0 126,2,2024-09-07 08:57:10:615,350396,350396,0,0,14150876,0,3186 126,3,2024-09-07 08:57:10:916,1,273,1,0,207,3773,273,0 127,0,2024-09-07 08:57:11:607,66577,0.4,66979,0.5,133462,0.3,177692,1.75 127,1,2024-09-07 08:57:10:596,482203,482203,0,0,224173678160,2320935828,479232,2818,153,365,391816,0 127,2,2024-09-07 08:57:10:642,348099,348099,0,0,12735751,0,2264 127,3,2024-09-07 08:57:11:277,1,273,8,0,968,3089,273,0 128,0,2024-09-07 08:57:11:528,66390,0.3,66333,0.4,132574,0.2,176282,1.50 128,1,2024-09-07 08:57:11:606,481440,481440,0,0,224599799032,2328215761,479097,2185,158,367,391798,0 128,2,2024-09-07 08:57:11:397,342726,342726,0,0,12652523,0,2434 128,3,2024-09-07 08:57:10:778,1,273,0,0,1082,5471,273,0 129,0,2024-09-07 08:57:10:994,68511,0.3,68298,0.4,136543,0.2,181549,1.50 129,1,2024-09-07 08:57:10:572,479210,479210,0,0,223038878451,2335475598,475025,3253,932,379,391835,0 129,2,2024-09-07 08:57:10:690,344635,344635,0,0,13663231,0,4031 129,3,2024-09-07 08:57:10:710,1,273,11,0,469,3795,273,0 130,0,2024-09-07 08:57:11:747,73337,0.5,72838,0.6,146222,0.4,195100,1.75 130,1,2024-09-07 08:57:10:584,481592,481592,0,0,223645988554,2324880882,479144,2369,79,381,391825,0 130,2,2024-09-07 08:57:11:150,349812,349812,0,0,13288124,0,4067 130,3,2024-09-07 08:57:11:296,1,273,5,0,450,3649,273,0 131,0,2024-09-07 08:57:11:974,67111,0.4,67592,0.5,135888,0.3,180089,1.75 131,1,2024-09-07 08:57:11:861,482107,482107,0,0,224563771524,2339609261,479044,2605,458,381,391865,0 131,2,2024-09-07 08:57:10:586,349669,349669,0,0,12188843,0,2415 131,3,2024-09-07 08:57:11:695,1,273,12,0,392,2824,273,0 132,0,2024-09-07 08:57:11:420,66634,0.5,67614,0.6,134544,0.4,178695,2.00 132,1,2024-09-07 08:57:10:591,479203,479203,0,0,222663478966,2346795750,471858,6014,1331,381,392097,0 132,2,2024-09-07 08:57:10:700,342943,342943,0,0,15830378,0,4606 132,3,2024-09-07 08:57:11:707,1,273,1,0,356,3747,273,0 133,0,2024-09-07 08:57:11:525,64899,0.4,66501,0.5,136074,0.3,176888,1.75 133,1,2024-09-07 08:57:10:591,479811,479811,0,0,223415123738,2350941333,473864,5227,720,383,391914,0 133,2,2024-09-07 08:57:11:093,343133,343133,0,0,17335502,0,4315 133,3,2024-09-07 08:57:11:315,1,273,10,0,276,2617,273,0 134,0,2024-09-07 08:57:10:951,72533,0.4,72477,0.6,145046,0.4,193575,2.00 134,1,2024-09-07 08:57:10:606,479581,479581,0,0,223499631193,2345709160,472956,5153,1472,366,391718,0 134,2,2024-09-07 08:57:11:780,345538,345538,0,0,14644205,0,3847 134,3,2024-09-07 08:57:10:750,1,273,1,0,739,3863,273,0 135,0,2024-09-07 08:57:11:100,66372,0.8,66318,0.9,140793,0.9,181568,2.25 135,1,2024-09-07 08:57:11:598,479527,479527,0,0,224108392119,2358740885,472600,5754,1173,380,391805,0 135,2,2024-09-07 08:57:10:698,348045,348045,0,0,16138128,0,3981 135,3,2024-09-07 08:57:11:009,1,273,8,0,299,2030,273,0 136,0,2024-09-07 08:57:11:633,67383,0.6,67292,0.7,134160,0.6,178900,2.25 136,1,2024-09-07 08:57:11:444,479701,479701,0,0,223608520342,2347545140,473904,5155,642,382,391685,0 136,2,2024-09-07 08:57:11:140,345838,345838,0,0,15197183,0,3506 136,3,2024-09-07 08:57:11:112,1,273,0,0,150,2233,273,0 137,0,2024-09-07 08:57:10:926,67587,0.6,65686,0.7,129452,0.5,175412,2.00 137,1,2024-09-07 08:57:10:603,479886,479886,0,0,223507256475,2343938557,472465,5838,1583,366,391708,0 137,2,2024-09-07 08:57:11:705,342295,342295,0,0,16855116,0,3185 137,3,2024-09-07 08:57:10:771,1,273,11,0,382,3373,273,0 138,0,2024-09-07 08:57:11:779,69001,0.7,69054,0.8,138750,0.7,184933,2.00 138,1,2024-09-07 08:57:11:783,479424,479424,0,0,224453183828,2353462534,472400,5891,1133,368,391954,0 138,2,2024-09-07 08:57:10:596,343858,343858,0,0,15598476,0,4988 138,3,2024-09-07 08:57:10:611,1,273,1,0,1160,3931,273,0 139,0,2024-09-07 08:57:11:385,70248,1.6,70551,1.2,141091,2.4,188296,2.50 139,1,2024-09-07 08:57:10:591,478574,478574,0,0,222418251614,2359993557,469969,6487,2118,381,391892,0 139,2,2024-09-07 08:57:10:692,346555,346555,0,0,16471380,0,3097 139,3,2024-09-07 08:57:11:670,1,273,16,0,257,2954,273,0 140,0,2024-09-07 08:57:11:594,67224,0.3,66794,0.5,134216,0.2,178633,1.75 140,1,2024-09-07 08:57:11:537,483443,483443,0,0,225482462152,2321292918,481461,1740,242,365,391606,0 140,2,2024-09-07 08:57:10:709,348065,348065,0,0,12952081,0,3388 140,3,2024-09-07 08:57:10:778,1,273,2,0,247,2250,273,0 141,0,2024-09-07 08:57:11:713,66178,0.3,68046,0.4,130023,0.2,176521,1.50 141,1,2024-09-07 08:57:10:892,482698,482698,0,0,224809054726,2329787014,480126,2164,408,379,391614,0 141,2,2024-09-07 08:57:11:686,342281,342281,0,0,12453381,0,2342 141,3,2024-09-07 08:57:11:047,1,273,5,0,202,2468,273,0 142,0,2024-09-07 08:57:11:338,69107,0.3,68429,0.4,136188,0.2,182517,1.50 142,1,2024-09-07 08:57:10:597,481722,481722,0,0,223869970420,2331869937,478880,2576,266,382,392102,0 142,2,2024-09-07 08:57:11:300,343747,343715,32,0,14392141,0,6028 142,3,2024-09-07 08:57:11:776,1,273,1,0,484,3623,273,0 143,0,2024-09-07 08:57:11:392,73189,0.5,73171,0.6,146786,0.5,195123,1.75 143,1,2024-09-07 08:57:10:701,482236,482236,0,0,224581113590,2326247148,479474,2666,96,367,391651,0 143,2,2024-09-07 08:57:10:770,349134,349134,0,0,13664392,0,2669 143,3,2024-09-07 08:57:11:149,1,273,8,0,303,3469,273,0 144,0,2024-09-07 08:57:11:673,65136,0.6,66872,0.9,136357,0.5,179129,2.00 144,1,2024-09-07 08:57:10:577,479127,479127,0,0,223228704863,2340792763,475055,3144,928,381,391649,0 144,2,2024-09-07 08:57:11:776,348480,348480,0,0,12964425,0,3473 144,3,2024-09-07 08:57:11:752,1,273,10,0,249,3056,273,0 145,0,2024-09-07 08:57:11:407,63998,0.6,63959,0.8,135751,0.5,175027,2.25 145,1,2024-09-07 08:57:10:577,479026,479026,0,0,223740193186,2350207932,473269,4933,824,382,391698,0 145,2,2024-09-07 08:57:11:451,341429,341429,0,0,15175403,0,3903 145,3,2024-09-07 08:57:10:896,1,273,1,0,622,4179,273,0 146,0,2024-09-07 08:57:11:603,66844,0.5,66311,0.7,133494,0.4,177741,2.00 146,1,2024-09-07 08:57:11:588,479984,479984,0,0,223476326019,2351779424,471577,6560,1847,368,391770,0 146,2,2024-09-07 08:57:11:695,343320,343320,0,0,15342255,0,2730 146,3,2024-09-07 08:57:11:281,1,273,29,0,1520,5997,273,0 147,0,2024-09-07 08:57:11:720,72642,0.5,72442,0.7,144167,0.5,193587,2.00 147,1,2024-09-07 08:57:11:491,482182,482182,0,0,224357556020,2331438433,478067,3528,587,368,391791,0 147,2,2024-09-07 08:57:11:009,348525,348525,0,0,13129048,0,2789 147,3,2024-09-07 08:57:10:919,1,273,29,0,730,4040,273,0 0,0,2024-09-07 08:57:21:708,63834,0.4,63934,0.7,135442,0.4,175748,1.75 0,1,2024-09-07 08:57:20:804,482683,482683,0,0,224931327250,2350740965,479372,3105,206,370,391896,0 0,2,2024-09-07 08:57:21:067,345144,345144,0,0,13728629,0,4480 0,3,2024-09-07 08:57:20:981,1,274,1,0,319,3530,274,0 1,0,2024-09-07 08:57:21:773,71855,0.7,71287,0.9,143432,0.8,191424,2.00 1,1,2024-09-07 08:57:20:561,482325,482325,0,0,224037624489,2345218643,477817,3454,1054,371,391857,0 1,2,2024-09-07 08:57:20:644,345874,345874,0,0,13034191,0,3267 1,3,2024-09-07 08:57:21:302,1,274,61,0,262,3201,274,0 2,0,2024-09-07 08:57:21:585,70376,0.7,70542,0.8,139747,0.9,187081,2.00 2,1,2024-09-07 08:57:20:866,483397,483397,0,0,226104716637,2346773554,481218,1961,218,380,391745,0 2,2,2024-09-07 08:57:21:266,349781,349781,0,0,13264793,0,3594 2,3,2024-09-07 08:57:20:691,1,274,1,0,357,2560,274,0 3,0,2024-09-07 08:57:21:745,67080,0.4,67076,0.6,133949,0.4,178042,2.00 3,1,2024-09-07 08:57:21:623,482528,482528,0,0,225005257456,2341387415,478685,3381,462,380,391556,0 3,2,2024-09-07 08:57:21:142,347472,347449,23,0,13571525,0,5851 3,3,2024-09-07 08:57:21:755,1,274,8,0,103,1595,274,0 4,0,2024-09-07 08:57:21:779,63948,0.3,65817,0.5,133863,0.3,174993,1.75 4,1,2024-09-07 08:57:20:606,482024,482024,0,0,223880018977,2350382306,477127,4102,795,371,391846,0 4,2,2024-09-07 08:57:21:021,342686,342686,0,0,16105842,0,4528 4,3,2024-09-07 08:57:21:030,1,274,183,0,448,4186,274,0 5,0,2024-09-07 08:57:21:386,69123,0.4,69499,0.5,137987,0.4,184214,1.75 5,1,2024-09-07 08:57:20:755,481905,481905,0,0,224185519887,2356938614,475833,4772,1300,368,392005,0 5,2,2024-09-07 08:57:21:830,343721,343721,0,0,14845491,0,2432 5,3,2024-09-07 08:57:21:732,1,274,4,0,457,4225,274,0 6,0,2024-09-07 08:57:20:916,73042,0.5,72577,0.7,144978,0.5,193471,2.00 6,1,2024-09-07 08:57:20:746,482380,482380,0,0,224934905857,2349693867,477247,4219,914,379,391694,0 6,2,2024-09-07 08:57:21:118,349960,349960,0,0,14589954,0,4816 6,3,2024-09-07 08:57:21:279,1,274,0,0,340,3200,274,0 7,0,2024-09-07 08:57:21:532,66561,0.5,66879,0.7,133266,0.5,177360,2.00 7,1,2024-09-07 08:57:20:850,481779,481779,0,0,224396995012,2353719466,475465,5309,1005,382,391747,0 7,2,2024-09-07 08:57:20:774,348315,348315,0,0,14452943,0,4791 7,3,2024-09-07 08:57:20:854,1,274,12,0,398,3238,274,0 8,0,2024-09-07 08:57:21:322,66432,0.4,66269,0.5,132330,0.3,176753,1.75 8,1,2024-09-07 08:57:21:023,480913,480913,0,0,224356517977,2365108221,471942,6772,2199,366,392144,0 8,2,2024-09-07 08:57:20:790,339245,339245,0,0,17299719,0,3220 8,3,2024-09-07 08:57:20:593,1,274,91,0,357,4059,274,0 9,0,2024-09-07 08:57:21:149,68427,0.3,66412,0.5,138832,0.3,182126,1.50 9,1,2024-09-07 08:57:20:550,480929,480929,0,0,223677470754,2354026584,474427,4956,1546,370,392001,0 9,2,2024-09-07 08:57:21:094,344593,344593,0,0,15243085,0,3360 9,3,2024-09-07 08:57:21:767,1,274,1,0,496,4080,274,0 10,0,2024-09-07 08:57:21:603,73570,0.3,72961,0.5,146668,0.2,195556,1.75 10,1,2024-09-07 08:57:20:583,481522,481522,0,0,223821294295,2344574988,474892,5667,963,381,391741,0 10,2,2024-09-07 08:57:20:766,349635,349635,0,0,16870748,0,4264 10,3,2024-09-07 08:57:20:882,1,274,6,0,177,2277,274,0 11,0,2024-09-07 08:57:21:040,67174,0.5,65212,0.7,136235,0.4,180773,1.75 11,1,2024-09-07 08:57:20:573,481915,481915,0,0,224322699020,2357446682,473448,6457,2010,383,391573,0 11,2,2024-09-07 08:57:21:127,348611,348611,0,0,15606566,0,4130 11,3,2024-09-07 08:57:21:304,1,274,8,0,843,4316,274,0 12,0,2024-09-07 08:57:20:947,67576,0.3,67442,0.5,134881,0.3,179086,1.75 12,1,2024-09-07 08:57:20:936,482308,482308,0,0,224413441124,2337019708,478771,3115,422,370,391870,0 12,2,2024-09-07 08:57:21:544,344146,344146,0,0,14532301,0,3469 12,3,2024-09-07 08:57:21:058,1,274,0,0,386,4444,274,0 13,0,2024-09-07 08:57:21:370,67155,0.3,67010,0.5,133902,0.3,178133,1.50 13,1,2024-09-07 08:57:21:534,482622,482622,0,0,224281156210,2350288066,479058,3022,542,382,391740,0 13,2,2024-09-07 08:57:20:595,346228,346228,0,0,13655967,0,3287 13,3,2024-09-07 08:57:21:762,1,274,0,0,467,4025,274,0 14,0,2024-09-07 08:57:20:563,72938,0.3,73637,0.5,145553,0.3,194437,1.75 14,1,2024-09-07 08:57:21:561,485409,485409,0,0,225483984927,2330759292,482575,2644,190,364,391571,0 14,2,2024-09-07 08:57:20:766,347277,347277,0,0,13737872,0,2896 14,3,2024-09-07 08:57:21:116,1,274,1,0,1168,3605,274,0 15,0,2024-09-07 08:57:21:567,68735,0.5,68837,0.7,137512,0.5,182845,2.00 15,1,2024-09-07 08:57:21:614,483391,483391,0,0,224856151002,2335390655,480867,2346,178,381,391619,0 15,2,2024-09-07 08:57:21:000,350224,350224,0,0,11820546,0,3043 15,3,2024-09-07 08:57:21:406,1,274,10,0,1126,5461,274,0 16,0,2024-09-07 08:57:20:950,67189,0.7,67430,0.8,134306,0.7,178766,2.25 16,1,2024-09-07 08:57:20:570,483466,483466,0,0,224664266979,2346014499,479812,3353,301,370,391756,0 16,2,2024-09-07 08:57:21:433,345719,345719,0,0,14381830,0,4719 16,3,2024-09-07 08:57:21:142,1,274,1,0,317,3773,274,0 17,0,2024-09-07 08:57:21:790,67749,0.4,66140,0.7,129403,0.4,175528,1.75 17,1,2024-09-07 08:57:20:568,482141,482141,0,0,223996394142,2349602963,477211,3824,1106,368,391899,0 17,2,2024-09-07 08:57:21:670,347024,347024,0,0,13956683,0,2857 17,3,2024-09-07 08:57:20:575,1,274,16,0,268,4315,274,0 18,0,2024-09-07 08:57:20:944,69252,0.6,69574,0.7,138808,0.5,185947,2.25 18,1,2024-09-07 08:57:21:641,483318,483318,0,0,225007752209,2330478376,480732,2344,242,367,391649,0 18,2,2024-09-07 08:57:21:756,346907,346907,0,0,13496139,0,3541 18,3,2024-09-07 08:57:20:896,1,274,1,0,163,2601,274,0 19,0,2024-09-07 08:57:21:545,70810,0.6,71369,0.8,141607,0.6,188182,2.25 19,1,2024-09-07 08:57:20:569,483599,483599,0,0,225537805445,2341400373,478927,3885,787,367,391777,0 19,2,2024-09-07 08:57:21:752,351468,351468,0,0,12356841,0,3988 19,3,2024-09-07 08:57:21:133,1,274,10,0,524,2064,274,0 20,0,2024-09-07 08:57:21:552,66799,0.6,66780,0.8,133906,0.7,178406,2.25 20,1,2024-09-07 08:57:20:568,481965,481965,0,0,224828724316,2350349787,478015,3551,399,369,391886,0 20,2,2024-09-07 08:57:20:938,348809,348809,0,0,14149161,0,3721 20,3,2024-09-07 08:57:20:601,1,274,14,0,414,4839,274,0 21,0,2024-09-07 08:57:21:145,66518,0.5,66579,0.6,132915,0.4,176342,2.00 21,1,2024-09-07 08:57:21:548,480502,480502,0,0,223660606574,2354320975,474139,4840,1523,368,391962,0 21,2,2024-09-07 08:57:21:105,342240,342240,0,0,16031387,0,3747 21,3,2024-09-07 08:57:21:405,1,274,1,0,103,2703,274,0 22,0,2024-09-07 08:57:21:719,68504,0.5,68572,0.7,137102,0.5,182571,2.00 22,1,2024-09-07 08:57:21:023,481515,481515,0,0,223757493574,2352980807,474168,5705,1642,382,391667,0 22,2,2024-09-07 08:57:20:765,344415,344415,0,0,14035356,0,3134 22,3,2024-09-07 08:57:21:073,1,274,3,0,228,2393,274,0 23,0,2024-09-07 08:57:21:380,72974,0.6,72797,0.7,145877,0.6,194263,2.25 23,1,2024-09-07 08:57:21:002,482931,482931,0,0,225363274164,2363632744,475409,4969,2553,365,391690,0 23,2,2024-09-07 08:57:21:094,350608,350608,0,0,13585870,0,3010 23,3,2024-09-07 08:57:21:759,1,274,8,0,645,3431,274,0 24,0,2024-09-07 08:57:20:819,68027,0.4,67925,0.6,136363,0.4,180926,1.75 24,1,2024-09-07 08:57:20:581,481895,481895,0,0,224196416703,2349994430,476182,4230,1483,368,391987,0 24,2,2024-09-07 08:57:21:124,347620,347620,0,0,16618380,0,3607 24,3,2024-09-07 08:57:21:688,1,274,1,0,468,3938,274,0 25,0,2024-09-07 08:57:21:406,68142,0.4,66350,0.6,130410,0.4,177753,2.00 25,1,2024-09-07 08:57:20:575,482362,482362,0,0,225057382406,2355316200,477316,4371,675,371,391788,0 25,2,2024-09-07 08:57:21:625,342292,342292,0,0,16661918,0,3978 25,3,2024-09-07 08:57:21:006,1,274,4,0,255,2887,274,0 26,0,2024-09-07 08:57:21:730,66992,0.4,65456,0.5,137315,0.3,179303,1.75 26,1,2024-09-07 08:57:21:549,482120,482120,0,0,224127425955,2348498310,475002,5902,1216,381,391748,0 26,2,2024-09-07 08:57:20:868,345097,345097,0,0,16405582,0,2809 26,3,2024-09-07 08:57:21:714,1,274,15,0,796,3485,274,0 27,0,2024-09-07 08:57:21:724,73149,0.4,73327,0.6,145072,0.3,193980,2.00 27,1,2024-09-07 08:57:21:676,484171,484171,0,0,225617918178,2345432171,480561,3017,593,381,391558,0 27,2,2024-09-07 08:57:20:874,346718,346653,65,0,16716938,0,5699 27,3,2024-09-07 08:57:21:019,1,274,11,0,564,2886,274,0 28,0,2024-09-07 08:57:21:389,68040,0.4,67761,0.6,136279,0.4,181715,2.00 28,1,2024-09-07 08:57:20:814,483804,483804,0,0,225930731673,2349301332,480886,2446,472,383,391646,0 28,2,2024-09-07 08:57:21:764,349207,349207,0,0,13354117,0,2915 28,3,2024-09-07 08:57:21:781,1,274,6,0,502,2825,274,0 29,0,2024-09-07 08:57:21:356,68950,0.4,67417,0.6,131811,0.3,179861,1.75 29,1,2024-09-07 08:57:21:564,484691,484691,0,0,225521693324,2332759629,481458,2667,566,369,391621,0 29,2,2024-09-07 08:57:20:868,344213,344213,0,0,12403049,0,4018 29,3,2024-09-07 08:57:20:965,1,274,1,0,115,1920,274,0 30,0,2024-09-07 08:57:21:455,65763,0.5,63787,0.6,133456,0.4,175118,2.00 30,1,2024-09-07 08:57:20:571,484641,484641,0,0,226232968785,2341765223,482306,2041,294,382,391672,0 30,2,2024-09-07 08:57:21:274,345472,345472,0,0,12305120,0,3161 30,3,2024-09-07 08:57:20:581,1,274,1,0,519,2531,274,0 31,0,2024-09-07 08:57:21:764,71394,0.4,71640,0.5,143491,0.3,191632,1.75 31,1,2024-09-07 08:57:20:565,486207,486207,0,0,226310285341,2316835364,484812,1175,220,356,391712,0 31,2,2024-09-07 08:57:21:282,346199,346199,0,0,14152340,0,3525 31,3,2024-09-07 08:57:21:706,1,274,15,0,220,2092,274,0 32,0,2024-09-07 08:57:21:414,70173,0.3,70529,0.5,141102,0.3,187483,1.75 32,1,2024-09-07 08:57:20:816,484043,484043,0,0,225254331189,2335345655,481980,1784,279,381,391622,0 32,2,2024-09-07 08:57:20:943,349640,349640,0,0,12305253,0,3155 32,3,2024-09-07 08:57:21:020,1,274,25,0,227,2127,274,0 33,0,2024-09-07 08:57:21:496,67401,0.3,66894,0.5,134372,0.2,178985,1.75 33,1,2024-09-07 08:57:20:575,484837,484837,0,0,226689571551,2340674392,482199,2464,174,369,391730,0 33,2,2024-09-07 08:57:20:766,348548,348515,33,0,13821916,0,7012 33,3,2024-09-07 08:57:20:906,1,274,0,0,329,3122,274,0 34,0,2024-09-07 08:57:20:945,65977,0.3,67997,0.4,130231,0.2,174372,1.50 34,1,2024-09-07 08:57:21:046,485257,485257,0,0,226745980646,2330532088,484126,1124,7,367,391562,0 34,2,2024-09-07 08:57:20:776,344306,344306,0,0,13141468,0,3577 34,3,2024-09-07 08:57:21:688,1,274,1,0,299,2160,274,0 35,0,2024-09-07 08:57:20:861,68625,0.3,68973,0.5,138841,0.2,184762,1.50 35,1,2024-09-07 08:57:21:078,484054,484054,0,0,224890967297,2332152362,480626,2648,780,384,391589,0 35,2,2024-09-07 08:57:21:584,345474,345474,0,0,13243797,0,2653 35,3,2024-09-07 08:57:20:908,1,274,9,0,418,3411,274,0 36,0,2024-09-07 08:57:21:516,72845,0.5,72780,0.7,145639,0.5,193080,2.25 36,1,2024-09-07 08:57:20:583,483182,483182,0,0,224351476763,2344071064,477122,4481,1579,366,391759,0 36,2,2024-09-07 08:57:21:756,349794,349794,0,0,14831381,0,3875 36,3,2024-09-07 08:57:20:863,1,274,3,0,416,4152,274,0 37,0,2024-09-07 08:57:21:418,66471,0.5,66488,0.7,133146,0.5,178214,2.00 37,1,2024-09-07 08:57:20:592,482186,482179,0,7,223987605885,2343771026,476154,3955,2070,365,391560,0 37,2,2024-09-07 08:57:21:142,347573,347558,15,0,15111667,0,5815 37,3,2024-09-07 08:57:21:765,1,274,1,0,888,4655,274,0 38,0,2024-09-07 08:57:21:439,65688,0.5,63882,0.6,133590,0.4,174765,2.00 38,1,2024-09-07 08:57:21:607,483278,483278,0,0,225522621642,2350501023,477945,4657,676,368,391821,0 38,2,2024-09-07 08:57:20:760,342287,342240,47,0,15285617,0,6710 38,3,2024-09-07 08:57:21:000,1,274,0,0,689,3629,274,0 39,0,2024-09-07 08:57:21:762,69853,0.6,68517,0.7,133203,0.5,182210,2.00 39,1,2024-09-07 08:57:20:723,482893,482893,0,0,224705109387,2345858534,476861,5016,1016,365,391594,0 39,2,2024-09-07 08:57:21:417,344844,344844,0,0,13788340,0,2689 39,3,2024-09-07 08:57:20:722,1,274,17,0,324,3538,274,0 40,0,2024-09-07 08:57:21:492,72523,0.7,73306,0.9,146290,0.7,195072,2.75 40,1,2024-09-07 08:57:20:578,482922,482922,0,0,224754448504,2352311093,475777,5702,1443,368,391651,0 40,2,2024-09-07 08:57:21:303,349925,349924,1,0,16754004,0,5137 40,3,2024-09-07 08:57:21:152,1,274,1,0,398,3276,274,0 41,0,2024-09-07 08:57:21:029,66925,1.8,68501,1.4,130734,2.9,178639,3.50 41,1,2024-09-07 08:57:20:776,482579,482579,0,0,224563903800,2345222613,476942,5002,635,370,391742,0 41,2,2024-09-07 08:57:20:761,347408,347408,0,0,15886784,0,3356 41,3,2024-09-07 08:57:21:678,1,274,3,0,366,3059,274,0 42,0,2024-09-07 08:57:21:477,66302,0.8,66449,1.0,133170,0.8,175672,2.50 42,1,2024-09-07 08:57:21:441,481579,481579,0,0,224728181782,2359135161,474172,5955,1452,380,391675,0 42,2,2024-09-07 08:57:21:133,344116,344116,0,0,15207873,0,3568 42,3,2024-09-07 08:57:21:009,1,274,1,0,446,2391,274,0 43,0,2024-09-07 08:57:20:930,66414,0.6,64689,0.9,135298,0.6,177849,2.00 43,1,2024-09-07 08:57:20:576,482943,482943,0,0,225603165887,2354620862,476407,5196,1340,366,391696,0 43,2,2024-09-07 08:57:21:740,345447,345447,0,0,15097409,0,3812 43,3,2024-09-07 08:57:21:755,1,274,8,0,467,3489,274,0 44,0,2024-09-07 08:57:20:862,72763,0.4,73196,0.6,145817,0.3,194554,1.75 44,1,2024-09-07 08:57:20:564,484845,484845,0,0,224883309310,2318590697,482283,2130,432,356,391809,0 44,2,2024-09-07 08:57:21:266,346928,346928,0,0,12525222,0,2231 44,3,2024-09-07 08:57:21:095,1,274,51,0,817,3175,274,0 45,0,2024-09-07 08:57:21:764,67712,0.6,66233,0.8,139005,0.6,182663,2.00 45,1,2024-09-07 08:57:21:012,483954,483954,0,0,225253077568,2331918478,482299,1643,12,382,391917,0 45,2,2024-09-07 08:57:21:267,349807,349807,0,0,13183116,0,3596 45,3,2024-09-07 08:57:20:936,1,274,1,0,271,2908,274,0 46,0,2024-09-07 08:57:20:949,66754,0.5,66630,0.7,133482,0.5,176698,2.00 46,1,2024-09-07 08:57:20:575,485454,485454,0,0,225562763703,2326265981,483097,2083,274,366,391539,0 46,2,2024-09-07 08:57:20:593,346983,346983,0,0,12586312,0,2920 46,3,2024-09-07 08:57:21:131,1,274,1,0,908,3883,274,0 47,0,2024-09-07 08:57:21:105,66083,0.4,65932,0.6,132562,0.3,175097,1.75 47,1,2024-09-07 08:57:20:567,484774,484774,0,0,225687932512,2327113925,482443,2197,134,366,391641,0 47,2,2024-09-07 08:57:20:926,346923,346923,0,0,12625177,0,2558 47,3,2024-09-07 08:57:21:115,1,274,9,0,529,2446,274,0 48,0,2024-09-07 08:57:21:496,70424,0.3,70029,0.4,139712,0.2,187110,1.50 48,1,2024-09-07 08:57:21:022,483791,483791,0,0,225585649032,2341795029,480629,2862,300,384,391710,0 48,2,2024-09-07 08:57:20:703,345740,345740,0,0,12366260,0,3031 48,3,2024-09-07 08:57:20:753,1,274,14,0,339,2335,274,0 49,0,2024-09-07 08:57:21:732,73291,0.4,71866,0.5,139506,0.3,190534,1.75 49,1,2024-09-07 08:57:21:022,482855,482855,0,0,224340553666,2337152426,478966,2708,1181,382,391809,0 49,2,2024-09-07 08:57:21:797,350910,350910,0,0,13237072,0,3900 49,3,2024-09-07 08:57:21:415,1,274,10,0,408,2909,274,0 50,0,2024-09-07 08:57:21:507,66999,0.3,66450,0.5,133571,0.2,178094,1.75 50,1,2024-09-07 08:57:21:014,484396,484396,0,0,226151357949,2338327349,481264,2822,310,368,391530,0 50,2,2024-09-07 08:57:21:067,348924,348924,0,0,12221631,0,2263 50,3,2024-09-07 08:57:21:300,1,274,1,0,335,2271,274,0 51,0,2024-09-07 08:57:21:687,68035,0.3,66512,0.4,129728,0.2,176689,1.50 51,1,2024-09-07 08:57:21:680,485209,485209,0,0,226237613253,2333918638,482392,1877,940,365,391706,0 51,2,2024-09-07 08:57:21:316,343642,343642,0,0,11421146,0,3337 51,3,2024-09-07 08:57:21:030,1,274,8,0,678,2127,274,0 52,0,2024-09-07 08:57:21:417,69132,0.4,68714,0.6,137618,0.4,183114,2.00 52,1,2024-09-07 08:57:20:575,482632,482632,0,0,224036215658,2347202420,475326,6052,1254,368,391722,0 52,2,2024-09-07 08:57:21:756,342686,342648,38,0,15895513,0,6742 52,3,2024-09-07 08:57:20:674,1,274,0,0,1782,4607,274,0 53,0,2024-09-07 08:57:21:729,72758,0.7,70717,0.8,147852,0.8,193750,2.50 53,1,2024-09-07 08:57:20:774,481669,481669,0,0,224615792113,2358368041,473739,5481,2449,367,391702,0 53,2,2024-09-07 08:57:21:305,350543,350543,0,0,13298951,0,2727 53,3,2024-09-07 08:57:20:702,1,274,0,0,271,2356,274,0 54,0,2024-09-07 08:57:21:617,66523,0.7,67136,0.8,132966,0.5,178275,2.50 54,1,2024-09-07 08:57:20:580,483054,483054,0,0,225284542317,2341674309,478233,4224,597,367,391659,0 54,2,2024-09-07 08:57:20:873,349040,349034,6,0,15139593,0,5382 54,3,2024-09-07 08:57:20:764,1,274,3,0,676,4375,274,0 55,0,2024-09-07 08:57:21:765,64107,0.6,66354,0.8,133551,0.5,174184,2.50 55,1,2024-09-07 08:57:20:765,482653,482653,0,0,224959761045,2341855694,476809,4964,880,365,391731,0 55,2,2024-09-07 08:57:20:732,342478,342478,0,0,14471875,0,3275 55,3,2024-09-07 08:57:20:674,1,274,8,0,304,2982,274,0 56,0,2024-09-07 08:57:21:552,68754,0.9,64888,1.0,133455,1.2,179070,2.50 56,1,2024-09-07 08:57:20:575,481127,481127,0,0,224235262924,2367495936,473814,5875,1438,381,391729,0 56,2,2024-09-07 08:57:21:304,345071,345071,0,0,15699236,0,3567 56,3,2024-09-07 08:57:21:064,1,274,7,0,705,3910,274,0 57,0,2024-09-07 08:57:21:019,72230,1.2,72092,1.1,144196,1.7,193148,2.75 57,1,2024-09-07 08:57:21:021,482452,482452,0,0,225063913352,2355496011,476925,4841,686,367,392032,0 57,2,2024-09-07 08:57:21:318,348954,348954,0,0,17123938,0,3317 57,3,2024-09-07 08:57:21:743,1,274,66,0,359,3551,274,0 58,0,2024-09-07 08:57:20:603,66686,1.1,64826,1.0,135675,1.4,178262,2.50 58,1,2024-09-07 08:57:20:586,483175,483172,0,3,225033189934,2349199148,477132,5320,720,367,391603,3 58,2,2024-09-07 08:57:21:074,349192,349192,0,0,15368769,0,2549 58,3,2024-09-07 08:57:21:071,1,274,5,0,1043,2988,274,0 59,0,2024-09-07 08:57:21:792,66792,0.8,66582,1.0,132808,0.8,176489,2.75 59,1,2024-09-07 08:57:20:804,482559,482559,0,0,224941445674,2352380840,476270,5006,1283,369,391525,0 59,2,2024-09-07 08:57:20:583,346180,346180,0,0,13742061,0,2604 59,3,2024-09-07 08:57:21:740,1,274,10,0,1015,3843,274,0 60,0,2024-09-07 08:57:21:708,65819,0.4,65697,0.6,132562,0.3,175607,1.75 60,1,2024-09-07 08:57:20:806,484364,484364,0,0,226084240979,2341179252,481908,1938,518,370,392031,0 60,2,2024-09-07 08:57:21:145,345593,345593,0,0,14897850,0,3811 60,3,2024-09-07 08:57:21:263,1,274,8,0,124,2584,274,0 61,0,2024-09-07 08:57:21:511,71534,0.5,71959,0.7,143233,0.5,191438,1.75 61,1,2024-09-07 08:57:20:789,483000,483000,0,0,225231954250,2353639077,478390,3905,705,382,391880,0 61,2,2024-09-07 08:57:21:116,347031,347031,0,0,13419528,0,2780 61,3,2024-09-07 08:57:21:687,1,274,2,0,397,3584,274,0 62,0,2024-09-07 08:57:21:721,70765,0.6,72192,0.7,137599,0.6,187161,2.00 62,1,2024-09-07 08:57:21:112,485669,485663,0,6,226971366246,2338526809,483196,2349,118,365,391715,6 62,2,2024-09-07 08:57:21:644,348521,348520,1,0,14732741,0,5555 62,3,2024-09-07 08:57:21:143,1,274,1,0,287,1870,274,0 63,0,2024-09-07 08:57:21:477,67102,0.5,67264,0.6,134484,0.4,178550,1.75 63,1,2024-09-07 08:57:20:814,484615,484609,0,6,225467601425,2333599114,482875,1678,56,381,391677,6 63,2,2024-09-07 08:57:20:762,348003,348003,0,0,12309038,0,2674 63,3,2024-09-07 08:57:21:732,1,274,13,0,667,3070,274,0 64,0,2024-09-07 08:57:21:520,65669,0.4,65530,0.6,131166,0.4,174264,1.75 64,1,2024-09-07 08:57:20:759,483214,483214,0,0,225751302882,2351940941,478701,3097,1416,370,391783,0 64,2,2024-09-07 08:57:21:147,347069,347050,19,0,13231800,0,6121 64,3,2024-09-07 08:57:21:144,1,274,2,0,265,2643,274,0 65,0,2024-09-07 08:57:21:675,68566,0.6,69079,0.7,137657,0.6,183639,2.00 65,1,2024-09-07 08:57:20:864,482307,482307,0,0,224506312754,2346477177,478702,3160,445,382,391901,0 65,2,2024-09-07 08:57:21:693,345445,345445,0,0,14525328,0,3367 65,3,2024-09-07 08:57:21:685,1,274,47,0,163,2676,274,0 66,0,2024-09-07 08:57:21:765,72374,0.5,72153,0.7,144962,0.5,192220,2.00 66,1,2024-09-07 08:57:21:297,484178,484178,0,0,225479976310,2341614825,481591,2386,201,380,391653,0 66,2,2024-09-07 08:57:21:134,352156,352156,0,0,13844142,0,4956 66,3,2024-09-07 08:57:21:080,1,274,1,0,291,2789,274,0 67,0,2024-09-07 08:57:21:414,67361,0.6,66629,0.7,134182,0.6,178498,2.00 67,1,2024-09-07 08:57:20:766,483421,483420,0,1,225796864156,2352311397,479569,3102,749,380,391787,1 67,2,2024-09-07 08:57:20:583,349660,349660,0,0,12790811,0,2889 67,3,2024-09-07 08:57:21:750,1,274,1,0,338,2457,274,0 68,0,2024-09-07 08:57:20:585,66328,0.5,66201,0.7,132007,0.5,176672,2.00 68,1,2024-09-07 08:57:20:573,482553,482553,0,0,224578925510,2351989157,478300,3082,1171,381,391953,0 68,2,2024-09-07 08:57:21:046,341914,341849,65,0,16538052,0,6698 68,3,2024-09-07 08:57:20:731,1,274,49,0,417,3141,274,0 69,0,2024-09-07 08:57:21:735,67851,0.7,68319,0.8,136220,0.7,181235,2.25 69,1,2024-09-07 08:57:21:053,481283,481283,0,0,224362900650,2365638037,475092,4610,1581,384,391994,0 69,2,2024-09-07 08:57:21:738,344807,344807,0,0,16568891,0,3722 69,3,2024-09-07 08:57:20:764,1,274,6,0,698,3985,274,0 70,0,2024-09-07 08:57:21:541,72775,0.7,72812,0.9,146054,0.5,194254,2.25 70,1,2024-09-07 08:57:20:815,484339,484339,0,0,226297884845,2348838963,480378,3430,531,366,391725,0 70,2,2024-09-07 08:57:21:326,349514,349514,0,0,15221709,0,4044 70,3,2024-09-07 08:57:20:745,1,274,1,0,854,3170,274,0 71,0,2024-09-07 08:57:21:356,66923,1.1,66831,1.1,133998,1.4,179604,2.75 71,1,2024-09-07 08:57:21:596,482630,482630,0,0,224454819581,2340421017,477248,4741,641,368,391738,0 71,2,2024-09-07 08:57:21:068,349214,349214,0,0,15516398,0,4042 71,3,2024-09-07 08:57:21:755,1,274,13,0,644,3783,274,0 72,0,2024-09-07 08:57:21:108,69268,0.7,67782,0.8,131999,0.7,179550,2.25 72,1,2024-09-07 08:57:21:022,483039,483039,0,0,224973729425,2348922822,477262,4462,1315,369,391819,0 72,2,2024-09-07 08:57:21:760,342905,342905,0,0,16831813,0,3983 72,3,2024-09-07 08:57:21:755,1,274,7,0,564,4659,274,0 73,0,2024-09-07 08:57:21:113,65186,0.4,66691,0.6,136591,0.3,177536,2.00 73,1,2024-09-07 08:57:20:775,483380,483380,0,0,225265838346,2338605115,480256,2887,237,367,391750,0 73,2,2024-09-07 08:57:21:740,345881,345881,0,0,16393401,0,3701 73,3,2024-09-07 08:57:20:974,1,274,1,0,274,3919,274,0 74,0,2024-09-07 08:57:21:328,73243,0.4,74976,0.6,143075,0.4,194414,2.00 74,1,2024-09-07 08:57:20:639,482698,482698,0,0,224584414245,2343540036,477831,3901,966,381,391681,0 74,2,2024-09-07 08:57:21:002,346917,346917,0,0,15953184,0,4253 74,3,2024-09-07 08:57:21:442,1,274,173,0,522,3595,274,0 75,0,2024-09-07 08:57:21:765,68918,0.5,68595,0.8,137378,0.5,183689,2.25 75,1,2024-09-07 08:57:21:585,482082,482082,0,0,224541543255,2344496397,477135,4283,664,380,391660,0 75,2,2024-09-07 08:57:21:350,348345,348345,0,0,16003861,0,4766 75,3,2024-09-07 08:57:21:067,1,274,11,0,702,3898,274,0 76,0,2024-09-07 08:57:20:597,66769,0.7,66115,0.8,132812,0.7,177692,2.50 76,1,2024-09-07 08:57:20:818,482912,482912,0,0,225490032008,2349043953,479801,2560,551,382,391692,0 76,2,2024-09-07 08:57:21:078,347480,347480,0,0,13236278,0,3784 76,3,2024-09-07 08:57:21:149,1,274,3,0,175,2707,274,0 77,0,2024-09-07 08:57:21:699,65718,0.5,66012,0.7,131866,0.4,174767,1.75 77,1,2024-09-07 08:57:20:834,483523,483523,0,0,225119989165,2347409572,480068,3198,257,381,391869,0 77,2,2024-09-07 08:57:21:280,344749,344749,0,0,13983207,0,3890 77,3,2024-09-07 08:57:21:105,1,274,1,0,382,2988,274,0 78,0,2024-09-07 08:57:21:734,70319,0.4,69737,0.6,140156,0.3,186353,2.00 78,1,2024-09-07 08:57:20:612,483540,483540,0,0,224551646406,2328245929,480541,2680,319,367,391670,0 78,2,2024-09-07 08:57:21:405,345839,345839,0,0,12431475,0,3855 78,3,2024-09-07 08:57:21:140,1,274,1,0,181,2583,274,0 79,0,2024-09-07 08:57:21:350,69137,0.4,70911,0.6,144816,0.4,188143,2.25 79,1,2024-09-07 08:57:20:571,485008,485008,0,0,225508341809,2330147612,482162,2509,337,369,391682,0 79,2,2024-09-07 08:57:21:067,351099,351099,0,0,12693457,0,3212 79,3,2024-09-07 08:57:20:749,1,274,8,0,418,3838,274,0 80,0,2024-09-07 08:57:21:160,66959,0.6,68833,0.7,131801,0.6,177886,2.00 80,1,2024-09-07 08:57:21:634,483030,483030,0,0,224521099771,2334864710,480051,2808,171,368,391791,0 80,2,2024-09-07 08:57:21:105,349448,349448,0,0,13092588,0,4433 80,3,2024-09-07 08:57:20:575,1,274,1,0,190,3621,274,0 81,0,2024-09-07 08:57:21:556,66144,0.5,68013,0.6,129969,0.4,175726,1.75 81,1,2024-09-07 08:57:21:650,482120,482120,0,0,224225045179,2342059845,478246,3411,463,382,391879,0 81,2,2024-09-07 08:57:21:139,343354,343354,0,0,13512605,0,3993 81,3,2024-09-07 08:57:21:118,1,274,9,0,374,3120,274,0 82,0,2024-09-07 08:57:21:530,68408,0.4,68802,0.6,137722,0.3,183313,1.75 82,1,2024-09-07 08:57:20:583,483680,483676,0,4,225340599448,2345417253,480372,2693,611,381,391768,4 82,2,2024-09-07 08:57:21:691,346159,346159,0,0,12728176,0,3986 82,3,2024-09-07 08:57:21:755,1,274,41,0,363,3016,274,0 83,0,2024-09-07 08:57:21:521,73413,0.5,73340,0.7,145657,0.5,194058,2.00 83,1,2024-09-07 08:57:20:551,482578,482578,0,0,225021274271,2344951651,479235,3097,246,382,391709,0 83,2,2024-09-07 08:57:20:764,350046,350046,0,0,12900611,0,3119 83,3,2024-09-07 08:57:20:749,1,274,8,0,250,3057,274,0 84,0,2024-09-07 08:57:21:829,67131,0.8,66999,0.9,134112,0.7,179676,2.25 84,1,2024-09-07 08:57:21:038,482140,482140,0,0,224552205488,2345548304,477270,4286,584,367,391967,0 84,2,2024-09-07 08:57:20:571,348923,348923,0,0,14920810,0,3801 84,3,2024-09-07 08:57:21:147,1,274,36,0,908,4102,274,0 85,0,2024-09-07 08:57:21:012,64138,0.6,64058,0.8,135927,0.6,175677,2.25 85,1,2024-09-07 08:57:20:567,481831,481831,0,0,224185901020,2366248361,474720,5994,1117,381,392006,0 85,2,2024-09-07 08:57:20:872,344368,344368,0,0,15553622,0,3656 85,3,2024-09-07 08:57:20:686,1,274,2,0,789,3574,274,0 86,0,2024-09-07 08:57:20:923,67278,0.6,69148,0.7,132342,0.5,178964,2.00 86,1,2024-09-07 08:57:20:833,482988,482988,0,0,224981271224,2351010189,477810,4389,789,366,391961,0 86,2,2024-09-07 08:57:20:869,344293,344292,1,0,16570930,0,5004 86,3,2024-09-07 08:57:20:596,1,274,2,0,286,3667,274,0 87,0,2024-09-07 08:57:21:377,72622,0.7,72556,0.8,145692,0.8,194484,2.25 87,1,2024-09-07 08:57:20:563,482567,482567,0,0,224963506314,2350470691,477909,4165,493,366,392012,0 87,2,2024-09-07 08:57:21:066,347705,347705,0,0,14970608,0,4045 87,3,2024-09-07 08:57:21:798,1,274,14,0,473,3998,274,0 88,0,2024-09-07 08:57:21:464,67943,0.5,68272,0.6,136237,0.5,181428,2.00 88,1,2024-09-07 08:57:20:569,481570,481570,0,0,223957224059,2347635205,475158,4896,1516,365,392084,0 88,2,2024-09-07 08:57:20:700,349398,349398,0,0,16840875,0,3583 88,3,2024-09-07 08:57:21:273,1,274,54,0,435,3197,274,0 89,0,2024-09-07 08:57:21:833,69144,0.5,66794,0.7,132616,0.4,179797,1.75 89,1,2024-09-07 08:57:20:556,481116,481116,0,0,224831399486,2367820192,474092,5801,1223,382,391866,0 89,2,2024-09-07 08:57:21:133,344160,344160,0,0,15898005,0,2910 89,3,2024-09-07 08:57:21:805,1,274,385,0,385,5376,274,0 90,0,2024-09-07 08:57:21:664,64012,0.4,65490,0.6,133996,0.4,174840,1.75 90,1,2024-09-07 08:57:20:594,482856,482856,0,0,224106594612,2346128790,478538,4039,279,381,391825,0 90,2,2024-09-07 08:57:21:414,343733,343733,0,0,17266389,0,3060 90,3,2024-09-07 08:57:20:942,1,274,88,0,200,2858,274,0 91,0,2024-09-07 08:57:20:943,71761,0.4,69673,0.6,145302,0.3,191413,1.75 91,1,2024-09-07 08:57:20:561,481524,481524,0,0,224426335732,2357315166,475598,5231,695,381,392047,0 91,2,2024-09-07 08:57:21:331,345905,345905,0,0,15411207,0,2896 91,3,2024-09-07 08:57:20:608,1,274,12,0,216,2495,274,0 92,0,2024-09-07 08:57:21:510,70832,0.5,72658,0.6,138716,0.5,187451,1.75 92,1,2024-09-07 08:57:20:580,482942,482942,0,0,225015425131,2343556960,480358,2178,406,382,392136,0 92,2,2024-09-07 08:57:21:352,351019,351019,0,0,13146550,0,2801 92,3,2024-09-07 08:57:21:018,1,274,1,0,167,2162,274,0 93,0,2024-09-07 08:57:20:955,67467,0.4,69163,0.6,132215,0.3,179195,1.75 93,1,2024-09-07 08:57:20:815,483272,483272,0,0,225179409691,2347690794,478712,3770,790,366,391776,0 93,2,2024-09-07 08:57:20:937,347866,347866,0,0,14051519,0,2797 93,3,2024-09-07 08:57:21:444,1,274,70,0,190,2766,274,0 94,0,2024-09-07 08:57:21:612,65665,0.3,66494,0.5,132486,0.3,175137,1.75 94,1,2024-09-07 08:57:20:567,482779,482779,0,0,225438622174,2352170852,479458,3142,179,381,391850,0 94,2,2024-09-07 08:57:20:769,344060,344060,0,0,12959207,0,2443 94,3,2024-09-07 08:57:21:693,1,274,9,0,264,3380,274,0 95,0,2024-09-07 08:57:21:373,69303,0.3,69098,0.5,139103,0.3,184547,1.50 95,1,2024-09-07 08:57:20:855,483497,483497,0,0,225058256057,2336572370,479902,3218,377,367,391713,0 95,2,2024-09-07 08:57:21:019,344447,344447,0,0,13354664,0,3308 95,3,2024-09-07 08:57:21:715,1,274,8,0,718,4639,274,0 96,0,2024-09-07 08:57:21:031,72861,0.4,73026,0.5,145916,0.3,192789,1.75 96,1,2024-09-07 08:57:21:583,482572,482572,0,0,224660909140,2344889435,478947,2890,735,384,391894,0 96,2,2024-09-07 08:57:21:267,350434,350434,0,0,14093202,0,4042 96,3,2024-09-07 08:57:21:142,1,274,9,0,411,3154,274,0 97,0,2024-09-07 08:57:21:335,67076,0.3,66803,0.5,134168,0.3,178212,1.75 97,1,2024-09-07 08:57:20:851,484370,484370,0,0,225619982976,2338157686,481320,2493,557,367,392140,0 97,2,2024-09-07 08:57:20:611,349498,349498,0,0,13443438,0,3036 97,3,2024-09-07 08:57:20:569,1,274,26,0,214,3114,274,0 98,0,2024-09-07 08:57:21:696,66234,0.3,66252,0.4,133105,0.2,176834,1.50 98,1,2024-09-07 08:57:20:578,483834,483834,0,0,225558472044,2345513524,481674,2059,101,382,391997,0 98,2,2024-09-07 08:57:20:776,343181,343181,0,0,13351840,0,3080 98,3,2024-09-07 08:57:20:705,1,274,67,0,840,4820,274,0 99,0,2024-09-07 08:57:21:479,68231,0.3,68598,0.4,136708,0.2,182515,1.50 99,1,2024-09-07 08:57:21:742,483287,483287,0,0,224710927169,2340548878,479481,3065,741,381,392069,0 99,2,2024-09-07 08:57:21:416,347478,347478,0,0,14362552,0,3106 99,3,2024-09-07 08:57:20:581,1,274,18,0,187,2225,274,0 100,0,2024-09-07 08:57:21:529,73036,0.6,73047,0.9,145984,0.7,195180,2.25 100,1,2024-09-07 08:57:20:548,480263,480263,0,0,223564359673,2362052322,473193,5735,1335,381,391989,0 100,2,2024-09-07 08:57:21:817,348145,348134,11,0,15916249,0,5417 100,3,2024-09-07 08:57:21:732,1,274,4,0,559,5010,274,0 101,0,2024-09-07 08:57:21:720,68908,1.5,67079,1.1,131692,1.4,181126,2.50 101,1,2024-09-07 08:57:20:550,480303,480303,0,0,223762291646,2358209509,472233,6091,1979,368,391769,0 101,2,2024-09-07 08:57:21:758,347727,347727,0,0,17205417,0,4644 101,3,2024-09-07 08:57:20:947,1,274,0,0,448,3340,274,0 102,0,2024-09-07 08:57:20:962,65358,0.7,67722,0.8,136444,0.7,178661,2.00 102,1,2024-09-07 08:57:21:148,481734,481734,0,0,224720386177,2359001348,475805,5088,841,369,391883,0 102,2,2024-09-07 08:57:21:757,344638,344584,54,0,15320145,0,6768 102,3,2024-09-07 08:57:21:614,1,274,4,0,410,2802,274,0 103,0,2024-09-07 08:57:21:596,68872,0.4,68768,0.6,129890,0.4,178871,1.75 103,1,2024-09-07 08:57:21:625,480596,480596,0,0,224341688153,2363004282,473874,5331,1391,381,391829,0 103,2,2024-09-07 08:57:20:602,344392,344392,0,0,15014195,0,2582 103,3,2024-09-07 08:57:20:758,1,274,13,0,916,3659,274,0 104,0,2024-09-07 08:57:21:022,72290,0.7,72669,0.9,144086,0.6,194542,2.25 104,1,2024-09-07 08:57:21:665,482633,482633,0,0,224339530377,2355998208,475922,5613,1098,365,392168,0 104,2,2024-09-07 08:57:21:668,346185,346185,0,0,16485411,0,3941 104,3,2024-09-07 08:57:21:423,1,274,17,0,1245,6642,274,0 105,0,2024-09-07 08:57:21:026,68084,1.1,66162,1.2,138417,1.5,182231,3.25 105,1,2024-09-07 08:57:20:594,482668,482668,0,0,224742745646,2355159687,476345,5344,979,366,391797,0 105,2,2024-09-07 08:57:21:322,348934,348934,0,0,15927912,0,3509 105,3,2024-09-07 08:57:21:305,1,274,86,0,399,4464,274,0 106,0,2024-09-07 08:57:20:979,64737,0.9,66298,1.0,135724,1.0,177144,2.75 106,1,2024-09-07 08:57:21:756,482377,482377,0,0,223710296494,2350167222,474764,6702,911,369,391767,0 106,2,2024-09-07 08:57:20:760,344765,344765,0,0,15156288,0,2795 106,3,2024-09-07 08:57:20:677,1,274,30,0,470,3781,274,0 107,0,2024-09-07 08:57:21:126,65692,0.7,65646,0.8,131257,0.7,174522,2.00 107,1,2024-09-07 08:57:20:598,481027,481027,0,0,224427923958,2359733160,475214,5131,682,381,392234,0 107,2,2024-09-07 08:57:21:297,343870,343869,1,0,16063766,0,5024 107,3,2024-09-07 08:57:21:755,1,274,25,0,353,3589,274,0 108,0,2024-09-07 08:57:21:796,69912,0.4,70275,0.5,139702,0.3,187101,1.75 108,1,2024-09-07 08:57:21:318,482941,482941,0,0,225058558443,2342259909,479713,2842,386,368,391857,0 108,2,2024-09-07 08:57:21:778,344590,344590,0,0,14501526,0,2647 108,3,2024-09-07 08:57:21:330,1,274,1,0,749,5643,274,0 109,0,2024-09-07 08:57:21:841,71870,0.4,71028,0.5,142598,0.3,190214,1.75 109,1,2024-09-07 08:57:20:583,481250,481250,0,0,225166368635,2358317730,477372,3361,517,383,392132,0 109,2,2024-09-07 08:57:20:934,348399,348399,0,0,14867312,0,3617 109,3,2024-09-07 08:57:21:140,1,274,52,0,249,3126,274,0 110,0,2024-09-07 08:57:21:763,67027,0.4,65242,0.6,136593,0.3,178609,1.75 110,1,2024-09-07 08:57:21:644,484315,484315,0,0,225609746525,2340095478,481164,2271,880,369,392045,0 110,2,2024-09-07 08:57:21:305,349129,349129,0,0,14237592,0,3264 110,3,2024-09-07 08:57:20:702,1,274,5,0,406,3514,274,0 111,0,2024-09-07 08:57:21:421,66588,0.3,66122,0.5,132233,0.3,177142,1.75 111,1,2024-09-07 08:57:21:000,484340,484340,0,0,226167257379,2342004320,482345,1659,336,382,391690,0 111,2,2024-09-07 08:57:21:116,343114,343114,0,0,13278345,0,2763 111,3,2024-09-07 08:57:20:915,1,274,29,0,379,3531,274,0 112,0,2024-09-07 08:57:20:933,68918,0.3,68650,0.4,137563,0.2,183297,1.50 112,1,2024-09-07 08:57:20:831,484157,484157,0,0,224856247828,2330881829,481558,2164,435,380,391624,0 112,2,2024-09-07 08:57:21:133,344988,344987,1,0,13831740,0,5036 112,3,2024-09-07 08:57:20:592,1,274,1,0,282,2771,274,0 113,0,2024-09-07 08:57:20:866,73000,0.3,73072,0.5,146736,0.2,195515,1.50 113,1,2024-09-07 08:57:21:688,485108,485108,0,0,226370838394,2334348803,482601,2017,490,366,391661,0 113,2,2024-09-07 08:57:21:303,351075,351075,0,0,12485006,0,3813 113,3,2024-09-07 08:57:20:684,1,274,8,0,340,3776,274,0 114,0,2024-09-07 08:57:20:882,67936,0.4,68423,0.5,135834,0.3,181743,1.75 114,1,2024-09-07 08:57:20:720,483326,483326,0,0,225334112017,2344722406,478498,3239,1589,381,391556,0 114,2,2024-09-07 08:57:20:880,349184,349183,1,0,13579353,0,5069 114,3,2024-09-07 08:57:21:281,1,274,21,0,395,2764,274,0 115,0,2024-09-07 08:57:20:558,66497,0.3,66802,0.4,133679,0.2,177763,1.50 115,1,2024-09-07 08:57:20:571,483512,483512,0,0,225609765628,2345448578,479107,3568,837,382,391639,0 115,2,2024-09-07 08:57:21:124,344370,344370,0,0,11695171,0,2152 115,3,2024-09-07 08:57:21:003,1,274,8,0,159,1565,274,0 116,0,2024-09-07 08:57:21:807,67155,0.6,66995,0.8,133904,0.6,179647,2.00 116,1,2024-09-07 08:57:20:814,480599,480599,0,0,224266738972,2368829353,474076,4466,2057,380,391782,0 116,2,2024-09-07 08:57:21:763,344387,344387,0,0,17239688,0,3529 116,3,2024-09-07 08:57:20:915,1,274,28,0,415,3773,274,0 117,0,2024-09-07 08:57:20:959,73132,0.6,72709,0.8,145553,0.6,194682,2.00 117,1,2024-09-07 08:57:21:607,482037,482037,0,0,223883725964,2341393195,477135,4409,493,370,392033,0 117,2,2024-09-07 08:57:21:119,350507,350507,0,0,14103760,0,3700 117,3,2024-09-07 08:57:21:072,1,274,224,0,490,4322,274,0 118,0,2024-09-07 08:57:21:765,66036,0.6,67864,0.7,138282,0.6,180774,2.00 118,1,2024-09-07 08:57:20:613,482131,482131,0,0,224228009696,2354284676,475715,4981,1435,366,391736,0 118,2,2024-09-07 08:57:21:587,348228,348228,0,0,15256976,0,2781 118,3,2024-09-07 08:57:21:764,1,274,86,0,235,3065,274,0 119,0,2024-09-07 08:57:21:341,66695,0.8,67158,0.8,134405,1.0,178674,2.25 119,1,2024-09-07 08:57:21:078,483094,483094,0,0,225225290069,2353077883,477774,4654,666,367,391780,0 119,2,2024-09-07 08:57:21:265,344991,344991,0,0,14360270,0,4174 119,3,2024-09-07 08:57:21:325,1,274,10,0,443,3679,274,0 120,0,2024-09-07 08:57:21:634,65594,0.5,65369,0.7,131066,0.4,175340,2.00 120,1,2024-09-07 08:57:20:859,482558,482558,0,0,224270023543,2350145517,477728,4478,352,368,391961,0 120,2,2024-09-07 08:57:20:773,344812,344811,1,0,17117970,0,5281 120,3,2024-09-07 08:57:21:296,1,274,8,0,241,3403,274,0 121,0,2024-09-07 08:57:21:702,71605,0.7,71573,0.9,143693,0.9,191241,2.00 121,1,2024-09-07 08:57:21:668,482583,482583,0,0,224571666341,2347856778,477898,4268,417,367,391840,0 121,2,2024-09-07 08:57:21:126,345219,345219,0,0,16392975,0,4127 121,3,2024-09-07 08:57:20:732,1,274,14,0,269,3191,274,0 122,0,2024-09-07 08:57:21:765,70036,0.8,68250,0.9,143141,1.0,187421,2.00 122,1,2024-09-07 08:57:20:859,481464,481464,0,0,224794152919,2356843583,475045,5547,872,366,392130,0 122,2,2024-09-07 08:57:21:319,348608,348535,73,0,18838678,0,5989 122,3,2024-09-07 08:57:20:594,1,274,10,0,411,5304,274,0 123,0,2024-09-07 08:57:20:955,66912,0.9,65417,0.8,136343,1.0,178387,2.25 123,1,2024-09-07 08:57:20:559,482060,482060,0,0,224583244340,2365705605,473295,7049,1716,369,392039,0 123,2,2024-09-07 08:57:21:019,345360,345359,1,0,15567443,0,5215 123,3,2024-09-07 08:57:21:133,1,274,9,0,168,3172,274,0 124,0,2024-09-07 08:57:20:932,68077,0.3,68068,0.5,128419,0.3,175849,1.50 124,1,2024-09-07 08:57:21:022,484033,484033,0,0,225099640257,2336300088,481123,2545,365,367,392178,0 124,2,2024-09-07 08:57:21:010,344427,344427,0,0,12741257,0,3101 124,3,2024-09-07 08:57:20:758,1,274,4,0,490,2927,274,0 125,0,2024-09-07 08:57:21:439,69331,0.3,69014,0.5,139001,0.3,184682,1.50 125,1,2024-09-07 08:57:20:855,482244,482244,0,0,224612450978,2340516343,479137,2711,396,383,391702,0 125,2,2024-09-07 08:57:21:116,345374,345374,0,0,13880902,0,4534 125,3,2024-09-07 08:57:21:126,1,274,1,0,709,3639,274,0 126,0,2024-09-07 08:57:21:459,72813,0.5,74761,0.6,142952,0.4,193697,1.75 126,1,2024-09-07 08:57:20:569,484317,484317,0,0,225473233809,2334287055,481593,2460,264,365,391987,0 126,2,2024-09-07 08:57:20:610,351541,351541,0,0,14176745,0,3186 126,3,2024-09-07 08:57:20:924,1,274,1,0,207,3774,274,0 127,0,2024-09-07 08:57:21:705,66841,0.4,67215,0.5,133960,0.3,178294,1.75 127,1,2024-09-07 08:57:20:570,483985,483985,0,0,225118951096,2330591844,481014,2818,153,365,391816,0 127,2,2024-09-07 08:57:20:637,349438,349438,0,0,12778626,0,2264 127,3,2024-09-07 08:57:21:267,1,274,8,0,968,3097,274,0 128,0,2024-09-07 08:57:21:527,66666,0.3,66621,0.4,133200,0.2,177193,1.50 128,1,2024-09-07 08:57:21:606,483149,483149,0,0,225495867823,2337582357,480805,2186,158,367,391798,0 128,2,2024-09-07 08:57:21:386,344110,344110,0,0,12714991,0,2434 128,3,2024-09-07 08:57:20:769,1,274,1,0,1082,5472,274,0 129,0,2024-09-07 08:57:21:009,68787,0.3,68584,0.4,137149,0.2,182236,1.50 129,1,2024-09-07 08:57:20:585,481071,481071,0,0,223843579599,2343956867,476886,3253,932,379,391835,0 129,2,2024-09-07 08:57:20:688,345943,345943,0,0,13708577,0,4031 129,3,2024-09-07 08:57:20:692,1,274,1,0,469,3796,274,0 130,0,2024-09-07 08:57:21:723,73831,0.5,73364,0.6,147310,0.5,196560,1.75 130,1,2024-09-07 08:57:20:582,483334,483334,0,0,224467947488,2333539148,480885,2370,79,381,391825,0 130,2,2024-09-07 08:57:21:126,351056,351056,0,0,13462548,0,4067 130,3,2024-09-07 08:57:21:294,1,274,7,0,450,3656,274,0 131,0,2024-09-07 08:57:21:951,67427,0.4,67933,0.5,136519,0.3,180945,1.75 131,1,2024-09-07 08:57:21:821,483843,483843,0,0,225117228807,2345532901,480777,2608,458,381,391865,0 131,2,2024-09-07 08:57:20:570,350873,350873,0,0,12211737,0,2415 131,3,2024-09-07 08:57:21:715,1,274,12,0,392,2836,274,0 132,0,2024-09-07 08:57:21:430,66785,0.5,67776,0.6,134882,0.4,179093,2.00 132,1,2024-09-07 08:57:20:611,480889,480889,0,0,223401727116,2355023907,473488,6070,1331,381,392097,0 132,2,2024-09-07 08:57:20:704,343982,343982,0,0,15864432,0,4606 132,3,2024-09-07 08:57:21:701,1,274,1,0,356,3748,274,0 133,0,2024-09-07 08:57:21:570,65116,0.4,66733,0.5,136583,0.3,177486,1.75 133,1,2024-09-07 08:57:20:586,481658,481658,0,0,224173296167,2359594246,475645,5293,720,383,391914,0 133,2,2024-09-07 08:57:21:087,344395,344395,0,0,17436621,0,4315 133,3,2024-09-07 08:57:21:302,1,274,5,0,276,2622,274,0 134,0,2024-09-07 08:57:21:004,72907,0.4,72869,0.6,145878,0.4,194577,2.00 134,1,2024-09-07 08:57:20:591,481375,481375,0,0,224307665267,2354239411,474750,5153,1472,366,391718,0 134,2,2024-09-07 08:57:21:757,346928,346928,0,0,14749371,0,3847 134,3,2024-09-07 08:57:20:749,1,274,18,0,739,3881,274,0 135,0,2024-09-07 08:57:21:104,66527,0.8,66497,0.9,141134,0.9,182016,2.25 135,1,2024-09-07 08:57:21:586,481208,481208,0,0,224870785804,2367643656,474092,5926,1190,380,391805,0 135,2,2024-09-07 08:57:20:692,349436,349436,0,0,16295202,0,3981 135,3,2024-09-07 08:57:21:003,1,274,12,0,299,2042,274,0 136,0,2024-09-07 08:57:21:616,67479,0.6,67385,0.7,134371,0.6,179141,2.25 136,1,2024-09-07 08:57:21:442,481445,481445,0,0,224593140293,2358471090,475536,5266,643,382,391685,0 136,2,2024-09-07 08:57:21:134,346493,346493,0,0,15253513,0,3506 136,3,2024-09-07 08:57:21:108,1,274,2,0,150,2235,274,0 137,0,2024-09-07 08:57:20:922,67688,0.6,65786,0.7,129650,0.5,175756,2.00 137,1,2024-09-07 08:57:20:587,481581,481581,0,0,224388800136,2353147328,474160,5838,1583,366,391708,0 137,2,2024-09-07 08:57:21:705,343740,343740,0,0,16968898,0,3185 137,3,2024-09-07 08:57:20:780,1,274,1,0,382,3374,274,0 138,0,2024-09-07 08:57:21:751,69464,0.7,69536,0.8,139728,0.8,186454,2.00 138,1,2024-09-07 08:57:21:687,481393,481393,0,0,225210503300,2364493949,473770,6105,1518,368,391954,0 138,2,2024-09-07 08:57:20:598,345291,345291,0,0,15750230,0,4988 138,3,2024-09-07 08:57:20:615,1,274,8,0,1160,3939,274,0 139,0,2024-09-07 08:57:21:366,70363,1.6,70669,1.2,141305,2.4,188578,2.50 139,1,2024-09-07 08:57:20:571,480152,480152,0,0,223244847867,2370956190,471105,6704,2343,381,391892,0 139,2,2024-09-07 08:57:20:692,347654,347654,0,0,16588676,0,3097 139,3,2024-09-07 08:57:21:665,1,274,13,0,257,2967,274,0 140,0,2024-09-07 08:57:21:589,67346,0.3,66900,0.5,134453,0.2,178881,1.75 140,1,2024-09-07 08:57:21:536,485228,485228,0,0,226268011367,2329297439,483246,1740,242,365,391606,0 140,2,2024-09-07 08:57:20:687,349202,349202,0,0,12984229,0,3388 140,3,2024-09-07 08:57:20:767,1,274,3,0,247,2253,274,0 141,0,2024-09-07 08:57:21:698,66395,0.3,68250,0.4,130455,0.2,177040,1.50 141,1,2024-09-07 08:57:20:859,484524,484524,0,0,225758717985,2339466115,481952,2164,408,379,391614,0 141,2,2024-09-07 08:57:21:686,343807,343807,0,0,12536247,0,2342 141,3,2024-09-07 08:57:21:045,1,274,1,0,202,2469,274,0 142,0,2024-09-07 08:57:21:311,69470,0.3,68831,0.4,137018,0.2,183716,1.50 142,1,2024-09-07 08:57:20:587,483544,483544,0,0,224589714257,2339319444,480702,2576,266,382,392102,0 142,2,2024-09-07 08:57:21:304,344978,344946,32,0,14439949,0,6028 142,3,2024-09-07 08:57:21:760,1,274,6,0,484,3629,274,0 143,0,2024-09-07 08:57:21:374,73395,0.5,73367,0.6,147191,0.5,195421,1.75 143,1,2024-09-07 08:57:20:574,483983,483983,0,0,225189185818,2332611107,481221,2666,96,367,391651,0 143,2,2024-09-07 08:57:20:783,350310,350310,0,0,13700586,0,2669 143,3,2024-09-07 08:57:21:140,1,274,8,0,303,3477,274,0 144,0,2024-09-07 08:57:21:507,65435,0.6,67169,0.9,136968,0.5,179913,2.00 144,1,2024-09-07 08:57:20:577,481086,481086,0,0,223858137703,2347927857,476928,3230,928,381,391649,0 144,2,2024-09-07 08:57:21:757,349774,349774,0,0,13030548,0,3473 144,3,2024-09-07 08:57:21:742,1,274,13,0,249,3069,274,0 145,0,2024-09-07 08:57:21:358,64209,0.6,64161,0.8,136187,0.5,175611,2.25 145,1,2024-09-07 08:57:20:613,480840,480840,0,0,224399293911,2357539305,475068,4948,824,382,391698,0 145,2,2024-09-07 08:57:21:431,342660,342660,0,0,15261100,0,3903 145,3,2024-09-07 08:57:20:906,1,274,9,0,622,4188,274,0 146,0,2024-09-07 08:57:21:594,67287,0.5,66775,0.7,134350,0.4,179004,2.00 146,1,2024-09-07 08:57:21:586,481883,481883,0,0,224455458376,2362366181,473452,6584,1847,368,391770,0 146,2,2024-09-07 08:57:21:697,344654,344654,0,0,15485281,0,2730 146,3,2024-09-07 08:57:21:281,1,274,0,0,1520,5997,274,0 147,0,2024-09-07 08:57:21:716,72903,0.5,72743,0.7,144778,0.5,194295,2.00 147,1,2024-09-07 08:57:21:372,483995,483995,0,0,225343817619,2341560836,479880,3528,587,368,391791,0 147,2,2024-09-07 08:57:21:012,349993,349993,0,0,13184235,0,2789 147,3,2024-09-07 08:57:20:925,1,274,14,0,730,4054,274,0 0,0,2024-09-07 08:57:31:725,64151,0.4,64263,0.7,136093,0.4,176668,1.75 0,1,2024-09-07 08:57:30:831,484411,484411,0,0,225785973576,2360242855,480924,3279,208,370,391896,0 0,2,2024-09-07 08:57:31:071,346540,346540,0,0,13763221,0,4480 0,3,2024-09-07 08:57:30:994,1,275,4,0,319,3534,275,0 1,0,2024-09-07 08:57:31:746,72257,0.7,71739,0.9,144315,0.8,192560,2.00 1,1,2024-09-07 08:57:30:557,484081,484081,0,0,224556536340,2350721988,479572,3455,1054,371,391857,0 1,2,2024-09-07 08:57:30:639,347251,347251,0,0,13097470,0,3267 1,3,2024-09-07 08:57:31:318,1,275,2,0,262,3203,275,0 2,0,2024-09-07 08:57:31:571,70491,0.7,70658,0.8,139977,0.9,187429,2.00 2,1,2024-09-07 08:57:30:871,485146,485146,0,0,226752933382,2353926884,482960,1967,219,380,391745,0 2,2,2024-09-07 08:57:31:267,351090,351090,0,0,13338119,0,3594 2,3,2024-09-07 08:57:30:691,1,275,1,0,357,2561,275,0 3,0,2024-09-07 08:57:31:748,67230,0.4,67185,0.6,134189,0.4,178395,2.00 3,1,2024-09-07 08:57:31:618,484221,484221,0,0,225698542741,2348762883,480376,3383,462,380,391556,0 3,2,2024-09-07 08:57:31:156,348271,348248,23,0,13600818,0,5851 3,3,2024-09-07 08:57:31:751,1,275,13,0,103,1608,275,0 4,0,2024-09-07 08:57:31:771,63962,0.3,65830,0.5,133891,0.3,174993,1.75 4,1,2024-09-07 08:57:30:663,483898,483898,0,0,224748533623,2360551990,478770,4205,923,371,391846,0 4,2,2024-09-07 08:57:31:029,344139,344139,0,0,16206887,0,4528 4,3,2024-09-07 08:57:31:033,1,275,14,0,448,4200,275,0 5,0,2024-09-07 08:57:31:372,69487,0.4,69825,0.5,138686,0.4,185140,1.75 5,1,2024-09-07 08:57:30:768,483647,483647,0,0,225019205331,2366018482,477556,4791,1300,368,392005,0 5,2,2024-09-07 08:57:31:833,345119,345119,0,0,14915776,0,2432 5,3,2024-09-07 08:57:31:732,1,275,1,0,457,4226,275,0 6,0,2024-09-07 08:57:30:943,73138,0.5,72655,0.7,145127,0.5,193716,2.00 6,1,2024-09-07 08:57:30:747,484055,484055,0,0,225616352504,2357276272,478864,4277,914,379,391694,0 6,2,2024-09-07 08:57:31:120,350862,350862,0,0,14705029,0,4816 6,3,2024-09-07 08:57:31:279,1,275,14,0,340,3214,275,0 7,0,2024-09-07 08:57:31:538,66776,0.5,67099,0.7,133739,0.5,177931,2.00 7,1,2024-09-07 08:57:30:856,483503,483503,0,0,225376515122,2365193387,476930,5463,1110,382,391747,0 7,2,2024-09-07 08:57:30:774,349451,349451,0,0,14534803,0,4791 7,3,2024-09-07 08:57:30:853,1,275,9,0,398,3247,275,0 8,0,2024-09-07 08:57:31:368,66767,0.4,66572,0.5,132942,0.3,177708,1.75 8,1,2024-09-07 08:57:31:057,482683,482683,0,0,225067185708,2372966735,473709,6774,2200,366,392144,0 8,2,2024-09-07 08:57:30:795,340636,340636,0,0,17437622,0,3220 8,3,2024-09-07 08:57:30:594,1,275,18,0,357,4077,275,0 9,0,2024-09-07 08:57:31:110,68725,0.3,66678,0.5,139404,0.3,182819,1.75 9,1,2024-09-07 08:57:30:549,482551,482551,0,0,224662288034,2365112535,475882,5121,1548,370,392001,0 9,2,2024-09-07 08:57:31:091,345888,345888,0,0,15342871,0,3360 9,3,2024-09-07 08:57:31:753,1,275,20,0,496,4100,275,0 10,0,2024-09-07 08:57:31:603,73945,0.3,73442,0.5,147598,0.2,196783,1.75 10,1,2024-09-07 08:57:30:586,483332,483332,0,0,225210860535,2359174872,476671,5698,963,381,391741,0 10,2,2024-09-07 08:57:30:767,350792,350792,0,0,17026305,0,4264 10,3,2024-09-07 08:57:30:873,1,275,5,0,177,2282,275,0 11,0,2024-09-07 08:57:31:019,67488,0.5,65506,0.7,136853,0.4,181607,1.75 11,1,2024-09-07 08:57:30:577,483990,483990,0,0,225282314033,2369650204,475037,6726,2227,383,391573,0 11,2,2024-09-07 08:57:31:128,349856,349856,0,0,15655057,0,4130 11,3,2024-09-07 08:57:31:298,1,275,8,0,843,4324,275,0 12,0,2024-09-07 08:57:31:005,67720,0.3,67611,0.5,135213,0.3,179490,1.75 12,1,2024-09-07 08:57:30:960,484098,484098,0,0,225269955863,2346431292,480503,3173,422,370,391870,0 12,2,2024-09-07 08:57:31:552,345293,345293,0,0,14582985,0,3469 12,3,2024-09-07 08:57:31:074,1,275,31,0,386,4475,275,0 13,0,2024-09-07 08:57:31:365,67388,0.3,67261,0.5,134359,0.3,178740,1.50 13,1,2024-09-07 08:57:31:530,484385,484385,0,0,225087195441,2358677257,480821,3022,542,382,391740,0 13,2,2024-09-07 08:57:30:639,347539,347539,0,0,13703967,0,3287 13,3,2024-09-07 08:57:31:772,1,275,1,0,467,4026,275,0 14,0,2024-09-07 08:57:30:578,73358,0.3,74069,0.5,146339,0.3,195459,1.75 14,1,2024-09-07 08:57:31:560,487207,487207,0,0,226364775259,2340083409,484367,2650,190,364,391571,0 14,2,2024-09-07 08:57:30:765,348819,348819,0,0,13840086,0,2896 14,3,2024-09-07 08:57:31:125,1,275,6,0,1168,3611,275,0 15,0,2024-09-07 08:57:31:559,68895,0.5,69038,0.7,137839,0.5,183302,2.00 15,1,2024-09-07 08:57:31:615,485234,485234,0,0,225518017659,2342445230,482705,2351,178,381,391619,0 15,2,2024-09-07 08:57:30:999,351716,351716,0,0,11852712,0,3043 15,3,2024-09-07 08:57:31:408,1,275,16,0,1126,5477,275,0 16,0,2024-09-07 08:57:30:954,67295,0.7,67512,0.8,134494,0.7,179015,2.25 16,1,2024-09-07 08:57:30:574,485190,485190,0,0,225397580542,2353619895,481536,3353,301,370,391756,0 16,2,2024-09-07 08:57:31:438,346408,346408,0,0,14411354,0,4719 16,3,2024-09-07 08:57:31:149,1,275,21,0,317,3794,275,0 17,0,2024-09-07 08:57:31:785,67864,0.4,66255,0.7,129618,0.4,175848,1.75 17,1,2024-09-07 08:57:30:571,483868,483868,0,0,224619715716,2356585522,478847,3915,1106,368,391899,0 17,2,2024-09-07 08:57:31:682,348589,348589,0,0,14003669,0,2857 17,3,2024-09-07 08:57:30:577,1,275,24,0,268,4339,275,0 18,0,2024-09-07 08:57:30:949,69703,0.6,70055,0.7,139801,0.5,187457,2.25 18,1,2024-09-07 08:57:31:638,485065,485065,0,0,225814961125,2338799135,482479,2344,242,367,391649,0 18,2,2024-09-07 08:57:31:755,348468,348468,0,0,13532006,0,3541 18,3,2024-09-07 08:57:30:901,1,275,7,0,163,2608,275,0 19,0,2024-09-07 08:57:31:543,70922,0.6,71465,0.8,141833,0.6,188485,2.25 19,1,2024-09-07 08:57:30:566,485371,485371,0,0,226309444964,2349448661,480699,3885,787,367,391777,0 19,2,2024-09-07 08:57:31:752,352572,352572,0,0,12409948,0,3988 19,3,2024-09-07 08:57:31:135,1,275,8,0,524,2072,275,0 20,0,2024-09-07 08:57:31:358,66902,0.6,66877,0.8,134110,0.7,178646,2.25 20,1,2024-09-07 08:57:30:567,483811,483811,0,0,225629763736,2359251005,479830,3582,399,369,391886,0 20,2,2024-09-07 08:57:30:945,349819,349819,0,0,14200645,0,3721 20,3,2024-09-07 08:57:30:597,1,275,6,0,414,4845,275,0 21,0,2024-09-07 08:57:31:189,66715,0.5,66785,0.6,133335,0.4,176841,2.00 21,1,2024-09-07 08:57:31:555,482253,482253,0,0,224498294980,2364281243,475626,5002,1625,368,391962,0 21,2,2024-09-07 08:57:31:076,343479,343479,0,0,16222004,0,3747 21,3,2024-09-07 08:57:31:409,1,275,19,0,103,2722,275,0 22,0,2024-09-07 08:57:31:720,68939,0.5,69013,0.7,137969,0.5,183739,2.00 22,1,2024-09-07 08:57:31:030,483444,483444,0,0,224743740248,2363993670,475951,5826,1667,382,391667,0 22,2,2024-09-07 08:57:30:767,345717,345717,0,0,14112654,0,3134 22,3,2024-09-07 08:57:31:066,1,275,1,0,228,2394,275,0 23,0,2024-09-07 08:57:31:368,73099,0.6,72938,0.7,146166,0.6,194544,2.25 23,1,2024-09-07 08:57:31:011,484666,484666,0,0,226176999363,2372284872,477104,5009,2553,365,391690,0 23,2,2024-09-07 08:57:31:092,351686,351686,0,0,13634715,0,3010 23,3,2024-09-07 08:57:31:759,1,275,8,0,645,3439,275,0 24,0,2024-09-07 08:57:30:862,68304,0.4,68232,0.6,136956,0.4,181814,1.75 24,1,2024-09-07 08:57:30:587,483766,483766,0,0,225016000208,2359151724,478004,4278,1484,368,391987,0 24,2,2024-09-07 08:57:31:075,349088,349088,0,0,16723720,0,3607 24,3,2024-09-07 08:57:31:686,1,275,16,0,468,3954,275,0 25,0,2024-09-07 08:57:31:356,68340,0.4,66560,0.6,130816,0.4,178356,2.00 25,1,2024-09-07 08:57:30:563,484127,484127,0,0,225788490240,2363978337,478839,4505,783,371,391788,0 25,2,2024-09-07 08:57:31:614,343548,343548,0,0,16729440,0,3978 25,3,2024-09-07 08:57:31:003,1,275,1,0,255,2888,275,0 26,0,2024-09-07 08:57:31:732,67429,0.4,65912,0.5,138256,0.3,180634,1.75 26,1,2024-09-07 08:57:31:541,483991,483991,0,0,224989661619,2359164588,476420,6323,1248,381,391748,0 26,2,2024-09-07 08:57:30:860,346200,346200,0,0,16531703,0,2809 26,3,2024-09-07 08:57:31:712,1,275,1,0,796,3486,275,0 27,0,2024-09-07 08:57:31:725,73428,0.4,73606,0.6,145613,0.3,194722,1.75 27,1,2024-09-07 08:57:31:676,485986,485986,0,0,226600382455,2356360528,482257,3136,593,381,391558,0 27,2,2024-09-07 08:57:30:870,348102,348037,65,0,16809606,0,5699 27,3,2024-09-07 08:57:31:022,1,275,8,0,564,2894,275,0 28,0,2024-09-07 08:57:31:386,68353,0.4,68121,0.6,136933,0.4,182642,2.00 28,1,2024-09-07 08:57:30:799,485591,485591,0,0,226814744874,2358448800,482672,2447,472,383,391646,0 28,2,2024-09-07 08:57:31:768,350558,350558,0,0,13402396,0,2915 28,3,2024-09-07 08:57:31:780,1,275,15,0,502,2840,275,0 29,0,2024-09-07 08:57:31:366,69121,0.4,67587,0.6,132156,0.3,180336,1.75 29,1,2024-09-07 08:57:31:560,486502,486502,0,0,226514544448,2342988858,483268,2668,566,369,391753,0 29,2,2024-09-07 08:57:30:863,345052,345052,0,0,12425889,0,4018 29,3,2024-09-07 08:57:30:974,1,275,14,0,115,1934,275,0 30,0,2024-09-07 08:57:31:465,66087,0.5,64119,0.6,134161,0.4,176045,2.00 30,1,2024-09-07 08:57:30:590,486379,486379,0,0,226993103379,2349692051,484043,2042,294,382,391672,0 30,2,2024-09-07 08:57:31:279,346872,346872,0,0,12404233,0,3161 30,3,2024-09-07 08:57:30:585,1,275,3,0,519,2534,275,0 31,0,2024-09-07 08:57:31:761,71820,0.4,72098,0.5,144415,0.3,192755,1.75 31,1,2024-09-07 08:57:30:567,487913,487913,0,0,227141320929,2325432103,486511,1182,220,356,391712,0 31,2,2024-09-07 08:57:31:275,347690,347690,0,0,14256467,0,3525 31,3,2024-09-07 08:57:31:710,1,275,8,0,220,2100,275,0 32,0,2024-09-07 08:57:31:432,70283,0.3,70652,0.5,141355,0.3,187792,1.75 32,1,2024-09-07 08:57:30:810,485650,485650,0,0,225988742102,2343728219,483394,1977,279,381,391622,0 32,2,2024-09-07 08:57:30:952,350995,350995,0,0,12380679,0,3155 32,3,2024-09-07 08:57:31:022,1,275,22,0,227,2149,275,0 33,0,2024-09-07 08:57:31:515,67520,0.3,67008,0.5,134635,0.2,179331,1.75 33,1,2024-09-07 08:57:30:577,486598,486598,0,0,227380202825,2347914905,483960,2464,174,369,391730,0 33,2,2024-09-07 08:57:30:767,349330,349297,33,0,13866998,0,7012 33,3,2024-09-07 08:57:30:902,1,275,1,0,329,3123,275,0 34,0,2024-09-07 08:57:30:933,65989,0.3,68012,0.4,130257,0.2,174372,1.50 34,1,2024-09-07 08:57:31:057,487108,487108,0,0,227583053779,2339179161,485977,1124,7,367,391562,0 34,2,2024-09-07 08:57:30:766,345799,345799,0,0,13233254,0,3577 34,3,2024-09-07 08:57:31:688,1,275,15,0,299,2175,275,0 35,0,2024-09-07 08:57:30:859,68928,0.3,69336,0.5,139608,0.2,185656,1.50 35,1,2024-09-07 08:57:31:069,485796,485796,0,0,225735500862,2340907674,482368,2648,780,384,391589,0 35,2,2024-09-07 08:57:31:586,346857,346857,0,0,13301048,0,2653 35,3,2024-09-07 08:57:30:935,1,275,12,0,418,3423,275,0 36,0,2024-09-07 08:57:31:523,72931,0.5,72884,0.7,145843,0.5,193326,2.25 36,1,2024-09-07 08:57:30:590,484993,484993,0,0,225083973183,2351974239,478922,4492,1579,366,391759,0 36,2,2024-09-07 08:57:31:751,350946,350946,0,0,14897593,0,3875 36,3,2024-09-07 08:57:30:870,1,275,1,0,416,4153,275,0 37,0,2024-09-07 08:57:31:391,66685,0.5,66703,0.7,133623,0.5,178898,2.00 37,1,2024-09-07 08:57:30:568,484058,484051,0,7,224933005680,2353862312,478001,3980,2070,365,391560,0 37,2,2024-09-07 08:57:31:147,348746,348731,15,0,15149693,0,5815 37,3,2024-09-07 08:57:31:769,1,275,1,0,888,4656,275,0 38,0,2024-09-07 08:57:31:438,66022,0.5,64188,0.6,134202,0.4,175740,2.00 38,1,2024-09-07 08:57:31:606,485103,485103,0,0,226171844911,2357439797,479762,4665,676,368,391821,0 38,2,2024-09-07 08:57:30:767,343445,343398,47,0,15494013,0,6710 38,3,2024-09-07 08:57:31:007,1,275,1,0,689,3630,275,0 39,0,2024-09-07 08:57:31:767,70159,0.6,68782,0.7,133708,0.5,182984,2.00 39,1,2024-09-07 08:57:30:722,484366,484366,0,0,225828251919,2357473834,478322,5028,1016,365,391594,0 39,2,2024-09-07 08:57:31:420,346210,346210,0,0,13839808,0,2689 39,3,2024-09-07 08:57:30:717,1,275,8,0,324,3546,275,0 40,0,2024-09-07 08:57:31:488,72961,0.7,73767,0.9,147055,0.7,196416,2.75 40,1,2024-09-07 08:57:30:576,484530,484530,0,0,225498213831,2360029911,477385,5702,1443,368,391651,0 40,2,2024-09-07 08:57:31:316,351059,351058,1,0,16845965,0,5137 40,3,2024-09-07 08:57:31:148,1,275,18,0,398,3294,275,0 41,0,2024-09-07 08:57:31:030,67270,1.8,68825,1.4,131350,2.9,179683,3.50 41,1,2024-09-07 08:57:30:774,484383,484383,0,0,225365348661,2353755584,478735,5013,635,370,391742,0 41,2,2024-09-07 08:57:30:758,348734,348734,0,0,16025464,0,3356 41,3,2024-09-07 08:57:31:676,1,275,5,0,366,3064,275,0 42,0,2024-09-07 08:57:31:478,66471,0.8,66593,1.0,133502,0.8,176088,2.50 42,1,2024-09-07 08:57:31:440,483372,483372,0,0,225576378902,2368799228,475833,6027,1512,380,391675,0 42,2,2024-09-07 08:57:31:136,345271,345271,0,0,15294779,0,3568 42,3,2024-09-07 08:57:31:010,1,275,3,0,446,2394,275,0 43,0,2024-09-07 08:57:30:918,66662,0.6,64941,0.9,135766,0.6,178508,2.00 43,1,2024-09-07 08:57:30:584,484651,484651,0,0,226290790509,2362263437,478048,5263,1340,366,391696,0 43,2,2024-09-07 08:57:31:736,346702,346702,0,0,15236378,0,3812 43,3,2024-09-07 08:57:31:748,1,275,9,0,467,3498,275,0 44,0,2024-09-07 08:57:30:897,73157,0.4,73594,0.6,146596,0.3,195583,1.75 44,1,2024-09-07 08:57:30:566,486633,486633,0,0,225833704930,2328294704,484071,2130,432,356,391809,0 44,2,2024-09-07 08:57:31:267,348389,348389,0,0,12562753,0,2231 44,3,2024-09-07 08:57:31:102,1,275,1,0,817,3176,275,0 45,0,2024-09-07 08:57:31:786,67866,0.6,66376,0.8,139353,0.6,183104,2.00 45,1,2024-09-07 08:57:31:010,485670,485670,0,0,226026979783,2339859914,484015,1643,12,382,391917,0 45,2,2024-09-07 08:57:31:283,351266,351266,0,0,13243438,0,3596 45,3,2024-09-07 08:57:30:935,1,275,1,0,271,2909,275,0 46,0,2024-09-07 08:57:30:958,66848,0.5,66723,0.7,133673,0.5,176965,2.00 46,1,2024-09-07 08:57:30:577,487179,487179,0,0,226312973979,2334042104,484822,2083,274,366,391539,0 46,2,2024-09-07 08:57:30:594,347701,347701,0,0,12620615,0,2920 46,3,2024-09-07 08:57:31:135,1,275,1,0,908,3884,275,0 47,0,2024-09-07 08:57:31:108,66201,0.4,66059,0.6,132788,0.3,175434,1.75 47,1,2024-09-07 08:57:30:629,486509,486509,0,0,226604887301,2336704170,484175,2200,134,366,391641,0 47,2,2024-09-07 08:57:30:922,348329,348329,0,0,12685175,0,2558 47,3,2024-09-07 08:57:31:121,1,275,12,0,529,2458,275,0 48,0,2024-09-07 08:57:31:501,70899,0.3,70522,0.4,140727,0.2,188425,1.50 48,1,2024-09-07 08:57:31:038,485585,485585,0,0,226599837529,2352213075,482423,2862,300,384,391710,0 48,2,2024-09-07 08:57:30:714,347257,347257,0,0,12431851,0,3031 48,3,2024-09-07 08:57:30:760,1,275,1,0,339,2336,275,0 49,0,2024-09-07 08:57:31:734,73401,0.4,71963,0.5,139716,0.3,190857,1.75 49,1,2024-09-07 08:57:31:030,484602,484602,0,0,225181774864,2345828731,480713,2708,1181,382,391809,0 49,2,2024-09-07 08:57:31:797,351981,351981,0,0,13273903,0,3900 49,3,2024-09-07 08:57:31:420,1,275,1,0,408,2910,275,0 50,0,2024-09-07 08:57:31:519,67104,0.3,66544,0.5,133770,0.2,178346,1.75 50,1,2024-09-07 08:57:31:022,486226,486226,0,0,226986911467,2346903837,483094,2822,310,368,391530,0 50,2,2024-09-07 08:57:31:078,349949,349949,0,0,12255005,0,2263 50,3,2024-09-07 08:57:31:301,1,275,0,0,335,2271,275,0 51,0,2024-09-07 08:57:31:698,68265,0.3,66705,0.4,130119,0.2,177217,1.50 51,1,2024-09-07 08:57:31:683,486944,486944,0,0,227249718467,2344605634,484125,1879,940,365,391706,0 51,2,2024-09-07 08:57:31:318,345101,345101,0,0,11481185,0,3337 51,3,2024-09-07 08:57:31:033,1,275,8,0,678,2135,275,0 52,0,2024-09-07 08:57:31:442,69570,0.4,69126,0.6,138503,0.4,184300,2.00 52,1,2024-09-07 08:57:30:592,484398,484398,0,0,225038422799,2357644796,477087,6057,1254,368,391722,0 52,2,2024-09-07 08:57:31:755,343893,343855,38,0,15955436,0,6742 52,3,2024-09-07 08:57:30:685,1,275,0,0,1782,4607,275,0 53,0,2024-09-07 08:57:31:736,72881,0.7,70857,0.8,148138,0.8,194040,2.50 53,1,2024-09-07 08:57:30:775,483418,483418,0,0,225230720372,2365257857,475462,5507,2449,367,391702,0 53,2,2024-09-07 08:57:31:298,351624,351624,0,0,13399064,0,2727 53,3,2024-09-07 08:57:30:712,1,275,1,0,271,2357,275,0 54,0,2024-09-07 08:57:31:621,66820,0.7,67456,0.8,133539,0.5,179035,2.50 54,1,2024-09-07 08:57:30:584,484788,484788,0,0,226303613744,2352115770,479967,4224,597,367,391659,0 54,2,2024-09-07 08:57:30:866,350071,350065,6,0,15293486,0,5382 54,3,2024-09-07 08:57:30:764,1,275,43,0,676,4418,275,0 55,0,2024-09-07 08:57:31:763,64303,0.6,66591,0.8,133941,0.5,174782,2.50 55,1,2024-09-07 08:57:30:764,484407,484407,0,0,225780502650,2350340763,478563,4964,880,365,391731,0 55,2,2024-09-07 08:57:30:730,343423,343423,0,0,14547183,0,3275 55,3,2024-09-07 08:57:30:674,1,275,8,0,304,2990,275,0 56,0,2024-09-07 08:57:31:569,69199,1.0,65317,1.0,134358,1.3,180196,2.50 56,1,2024-09-07 08:57:30:646,482629,482629,0,0,225086142596,2377245345,475100,6069,1460,381,391729,0 56,2,2024-09-07 08:57:31:326,346365,346365,0,0,15818934,0,3567 56,3,2024-09-07 08:57:31:074,1,275,11,0,705,3921,275,0 57,0,2024-09-07 08:57:30:985,72523,1.2,72358,1.1,144729,1.7,193857,2.75 57,1,2024-09-07 08:57:30:994,484117,484117,0,0,225793500700,2363365064,478571,4860,686,367,392032,0 57,2,2024-09-07 08:57:31:327,350235,350235,0,0,17235099,0,3317 57,3,2024-09-07 08:57:31:738,1,275,1,0,359,3552,275,0 58,0,2024-09-07 08:57:30:562,67046,1.1,65149,1.0,136445,1.4,179142,2.50 58,1,2024-09-07 08:57:30:577,485185,485182,0,3,225719222869,2357581707,478878,5489,815,367,391603,3 58,2,2024-09-07 08:57:31:072,350493,350493,0,0,15526076,0,2549 58,3,2024-09-07 08:57:31:074,1,275,81,0,1043,3069,275,0 59,0,2024-09-07 08:57:31:740,66974,0.8,66744,1.0,133146,0.8,176963,2.75 59,1,2024-09-07 08:57:30:810,484234,484234,0,0,225702313467,2360861881,477890,5061,1283,369,391525,0 59,2,2024-09-07 08:57:30:593,346975,346975,0,0,13805297,0,2604 59,3,2024-09-07 08:57:31:737,1,275,8,0,1015,3851,275,0 60,0,2024-09-07 08:57:31:719,66134,0.4,66018,0.6,133176,0.3,176559,1.75 60,1,2024-09-07 08:57:30:792,486166,486166,0,0,226858312328,2349146316,483709,1939,518,370,392031,0 60,2,2024-09-07 08:57:31:145,346967,346967,0,0,14977190,0,3811 60,3,2024-09-07 08:57:31:275,1,275,1,0,124,2585,275,0 61,0,2024-09-07 08:57:31:526,72006,0.5,72405,0.7,144126,0.5,192625,1.75 61,1,2024-09-07 08:57:30:790,484673,484673,0,0,226107986171,2362973231,480060,3908,705,382,391880,0 61,2,2024-09-07 08:57:31:120,348428,348428,0,0,13530642,0,2780 61,3,2024-09-07 08:57:31:691,1,275,1,0,397,3585,275,0 62,0,2024-09-07 08:57:31:705,70896,0.6,72305,0.7,137829,0.6,187499,2.00 62,1,2024-09-07 08:57:31:114,487456,487450,0,6,227867975248,2347713952,484983,2349,118,365,391715,6 62,2,2024-09-07 08:57:31:645,349754,349753,1,0,14815536,0,5555 62,3,2024-09-07 08:57:31:144,1,275,0,0,287,1870,275,0 63,0,2024-09-07 08:57:31:457,67234,0.5,67394,0.6,134746,0.4,178906,1.75 63,1,2024-09-07 08:57:30:813,486354,486348,0,6,226281008869,2342097154,484613,1679,56,381,391677,6 63,2,2024-09-07 08:57:30:767,348772,348772,0,0,12332458,0,2674 63,3,2024-09-07 08:57:31:734,1,275,8,0,667,3078,275,0 64,0,2024-09-07 08:57:31:544,65682,0.4,65544,0.6,131198,0.4,174264,1.75 64,1,2024-09-07 08:57:30:801,485010,485010,0,0,226491214261,2359930449,480470,3124,1416,370,391783,0 64,2,2024-09-07 08:57:31:165,348540,348521,19,0,13296015,0,6121 64,3,2024-09-07 08:57:31:172,1,275,21,0,265,2664,275,0 65,0,2024-09-07 08:57:31:677,68911,0.6,69430,0.7,138326,0.6,184603,2.00 65,1,2024-09-07 08:57:30:914,484122,484122,0,0,225393814032,2355910000,480517,3160,445,382,391901,0 65,2,2024-09-07 08:57:31:695,346969,346969,0,0,14606890,0,3367 65,3,2024-09-07 08:57:31:685,1,275,1,0,163,2677,275,0 66,0,2024-09-07 08:57:31:764,72454,0.5,72240,0.7,145154,0.5,192449,2.00 66,1,2024-09-07 08:57:31:297,485927,485927,0,0,226123794903,2348389549,483339,2387,201,380,391653,0 66,2,2024-09-07 08:57:31:140,353212,353212,0,0,13928093,0,4956 66,3,2024-09-07 08:57:31:085,1,275,0,0,291,2789,275,0 67,0,2024-09-07 08:57:31:416,67564,0.6,66841,0.7,134632,0.6,179079,2.00 67,1,2024-09-07 08:57:30:772,485167,485166,0,1,226613418076,2360905360,481313,3104,749,380,391787,1 67,2,2024-09-07 08:57:30:594,350719,350719,0,0,12862417,0,2889 67,3,2024-09-07 08:57:31:750,1,275,8,0,338,2465,275,0 68,0,2024-09-07 08:57:30:613,66652,0.5,66520,0.7,132616,0.5,177358,2.00 68,1,2024-09-07 08:57:30:582,484169,484169,0,0,225241789264,2359054795,479915,3083,1171,381,391953,0 68,2,2024-09-07 08:57:31:050,343209,343144,65,0,16700536,0,6698 68,3,2024-09-07 08:57:30:748,1,275,5,0,417,3146,275,0 69,0,2024-09-07 08:57:31:737,68143,0.7,68574,0.8,136727,0.7,181916,2.25 69,1,2024-09-07 08:57:31:016,483058,483058,0,0,225078835482,2373783124,476788,4685,1585,384,391994,0 69,2,2024-09-07 08:57:31:733,346169,346169,0,0,16838738,0,3722 69,3,2024-09-07 08:57:30:773,1,275,1,0,698,3986,275,0 70,0,2024-09-07 08:57:31:536,73130,0.8,73251,1.0,146638,0.6,195137,2.25 70,1,2024-09-07 08:57:30:835,485981,485981,0,0,226946686576,2355638060,482020,3430,531,366,391725,0 70,2,2024-09-07 08:57:31:324,350592,350592,0,0,15406181,0,4044 70,3,2024-09-07 08:57:30:745,1,275,6,0,854,3176,275,0 71,0,2024-09-07 08:57:31:363,67240,1.1,67139,1.1,134641,1.4,180338,2.75 71,1,2024-09-07 08:57:31:596,484139,484139,0,0,225200982608,2348863410,478689,4809,641,368,391738,0 71,2,2024-09-07 08:57:31:067,350498,350498,0,0,15589801,0,4042 71,3,2024-09-07 08:57:31:749,1,275,8,0,644,3791,275,0 72,0,2024-09-07 08:57:31:024,69437,0.7,67934,0.8,132319,0.7,179948,2.25 72,1,2024-09-07 08:57:31:030,484627,484627,0,0,225633293442,2356801169,478661,4635,1331,369,391819,0 72,2,2024-09-07 08:57:31:759,343983,343983,0,0,16916524,0,3983 72,3,2024-09-07 08:57:31:753,1,275,1,0,564,4660,275,0 73,0,2024-09-07 08:57:31:112,65427,0.4,66930,0.6,137129,0.3,178145,2.00 73,1,2024-09-07 08:57:30:786,485207,485207,0,0,226210814070,2348348787,482083,2887,237,367,391750,0 73,2,2024-09-07 08:57:31:746,347155,347155,0,0,16568224,0,3701 73,3,2024-09-07 08:57:30:985,1,275,6,0,274,3925,275,0 74,0,2024-09-07 08:57:31:323,73638,0.4,75355,0.6,143869,0.4,195306,2.00 74,1,2024-09-07 08:57:30:636,484422,484422,0,0,225471598680,2352825414,479555,3901,966,381,391681,0 74,2,2024-09-07 08:57:31:007,348270,348270,0,0,16123346,0,4253 74,3,2024-09-07 08:57:31:442,1,275,10,0,522,3605,275,0 75,0,2024-09-07 08:57:31:764,69077,0.5,68749,0.8,137720,0.5,184153,2.25 75,1,2024-09-07 08:57:31:586,483803,483803,0,0,225423518068,2354052831,478794,4345,664,380,391660,0 75,2,2024-09-07 08:57:31:361,349940,349940,0,0,16093982,0,4766 75,3,2024-09-07 08:57:31:074,1,275,8,0,702,3906,275,0 76,0,2024-09-07 08:57:30:636,66860,0.7,66194,0.8,133015,0.7,177936,2.50 76,1,2024-09-07 08:57:30:814,484721,484721,0,0,226360805482,2358003473,481610,2560,551,382,391692,0 76,2,2024-09-07 08:57:31:074,348143,348143,0,0,13254420,0,3784 76,3,2024-09-07 08:57:31:153,1,275,0,0,175,2707,275,0 77,0,2024-09-07 08:57:31:742,65837,0.5,66129,0.7,132115,0.4,175087,1.75 77,1,2024-09-07 08:57:30:825,485299,485299,0,0,225856166586,2355053886,481844,3198,257,381,391869,0 77,2,2024-09-07 08:57:31:455,346185,346185,0,0,14039153,0,3890 77,3,2024-09-07 08:57:31:100,1,275,4,0,382,2992,275,0 78,0,2024-09-07 08:57:31:729,70796,0.4,70259,0.6,141148,0.3,187682,2.00 78,1,2024-09-07 08:57:30:611,485314,485314,0,0,225429553970,2337860531,482247,2748,319,367,391670,0 78,2,2024-09-07 08:57:31:409,347521,347521,0,0,12526685,0,3855 78,3,2024-09-07 08:57:31:140,1,275,2,0,181,2585,275,0 79,0,2024-09-07 08:57:31:359,69247,0.4,71031,0.6,145034,0.4,188459,2.25 79,1,2024-09-07 08:57:30:576,486791,486791,0,0,226390042954,2339264917,483945,2509,337,369,391682,0 79,2,2024-09-07 08:57:31:068,352177,352177,0,0,12714388,0,3212 79,3,2024-09-07 08:57:30:748,1,275,8,0,418,3846,275,0 80,0,2024-09-07 08:57:31:183,67059,0.6,68939,0.7,131991,0.6,178158,2.00 80,1,2024-09-07 08:57:31:623,484737,484737,0,0,225211726275,2342455078,481747,2819,171,368,391791,0 80,2,2024-09-07 08:57:31:096,350468,350468,0,0,13140633,0,4433 80,3,2024-09-07 08:57:30:582,1,275,14,0,190,3635,275,0 81,0,2024-09-07 08:57:31:549,66348,0.5,68201,0.6,130395,0.4,176235,1.75 81,1,2024-09-07 08:57:31:660,483858,483858,0,0,225126226243,2352610976,479692,3691,475,382,391879,0 81,2,2024-09-07 08:57:31:132,344949,344949,0,0,13563231,0,3993 81,3,2024-09-07 08:57:31:123,1,275,73,0,374,3193,275,0 82,0,2024-09-07 08:57:31:609,68810,0.4,69236,0.6,138568,0.3,184489,1.75 82,1,2024-09-07 08:57:30:593,485486,485482,0,4,226229750661,2354525891,482178,2693,611,381,391768,4 82,2,2024-09-07 08:57:31:691,347471,347471,0,0,12830132,0,3986 82,3,2024-09-07 08:57:31:752,1,275,1,0,363,3017,275,0 83,0,2024-09-07 08:57:31:523,73523,0.5,73475,0.7,145947,0.5,194365,2.00 83,1,2024-09-07 08:57:30:550,484359,484359,0,0,225920543430,2354393588,481006,3107,246,382,391709,0 83,2,2024-09-07 08:57:30:774,351181,351181,0,0,13044586,0,3119 83,3,2024-09-07 08:57:30:763,1,275,12,0,250,3069,275,0 84,0,2024-09-07 08:57:31:799,67393,0.8,67296,0.9,134727,0.7,180472,2.25 84,1,2024-09-07 08:57:31:039,484021,484021,0,0,225180086788,2352489161,479129,4308,584,367,391967,0 84,2,2024-09-07 08:57:30:577,350320,350320,0,0,14986636,0,3801 84,3,2024-09-07 08:57:31:168,1,275,0,0,908,4102,275,0 85,0,2024-09-07 08:57:31:003,64330,0.6,64273,0.8,136364,0.6,176248,2.25 85,1,2024-09-07 08:57:30:567,483518,483518,0,0,224889986353,2373985429,476362,6039,1117,381,392006,0 85,2,2024-09-07 08:57:30:871,345641,345641,0,0,15599967,0,3656 85,3,2024-09-07 08:57:30:686,1,275,3,0,789,3577,275,0 86,0,2024-09-07 08:57:30:895,67753,0.6,69584,0.7,133275,0.6,180214,2.00 86,1,2024-09-07 08:57:30:833,484671,484671,0,0,225653312504,2359248566,479215,4624,832,366,391961,0 86,2,2024-09-07 08:57:30:871,345513,345512,1,0,16629110,0,5004 86,3,2024-09-07 08:57:30:587,1,275,198,0,286,3865,275,0 87,0,2024-09-07 08:57:31:284,72893,0.7,72848,0.8,146238,0.8,195191,2.25 87,1,2024-09-07 08:57:30:550,484469,484469,0,0,225741165094,2359212086,479721,4254,494,366,392012,0 87,2,2024-09-07 08:57:31:072,349161,349161,0,0,15085013,0,4045 87,3,2024-09-07 08:57:31:802,1,275,7,0,473,4005,275,0 88,0,2024-09-07 08:57:31:441,68267,0.5,68645,0.6,136908,0.5,182369,2.00 88,1,2024-09-07 08:57:30:575,483244,483244,0,0,224515295260,2354723864,476576,5102,1566,365,392084,0 88,2,2024-09-07 08:57:30:693,350744,350744,0,0,16933096,0,3583 88,3,2024-09-07 08:57:31:266,1,275,25,0,435,3222,275,0 89,0,2024-09-07 08:57:31:800,69338,0.5,66964,0.7,132970,0.4,180275,1.75 89,1,2024-09-07 08:57:30:557,482600,482600,0,0,225487969699,2375092846,475556,5820,1224,382,391866,0 89,2,2024-09-07 08:57:31:159,344943,344943,0,0,15948938,0,2910 89,3,2024-09-07 08:57:31:795,1,275,109,0,385,5485,275,0 90,0,2024-09-07 08:57:31:638,64345,0.4,65830,0.6,134643,0.4,175752,1.75 90,1,2024-09-07 08:57:30:597,484576,484576,0,0,224951353312,2355011405,480258,4039,279,381,391825,0 90,2,2024-09-07 08:57:31:409,345197,345197,0,0,17356736,0,3060 90,3,2024-09-07 08:57:30:935,1,275,5,0,200,2863,275,0 91,0,2024-09-07 08:57:30:957,72188,0.4,70098,0.6,146216,0.4,192585,1.75 91,1,2024-09-07 08:57:30:558,483167,483167,0,0,225426235216,2368357501,477143,5329,695,381,392047,0 91,2,2024-09-07 08:57:31:330,347350,347350,0,0,15511232,0,2896 91,3,2024-09-07 08:57:30:600,1,275,10,0,216,2505,275,0 92,0,2024-09-07 08:57:31:440,70961,0.5,72777,0.6,138930,0.5,187775,1.75 92,1,2024-09-07 08:57:30:594,484563,484563,0,0,225870119121,2352820424,481901,2256,406,382,392136,0 92,2,2024-09-07 08:57:31:353,352449,352449,0,0,13186117,0,2801 92,3,2024-09-07 08:57:31:012,1,275,5,0,167,2167,275,0 93,0,2024-09-07 08:57:30:966,67583,0.4,69293,0.6,132447,0.3,179541,1.75 93,1,2024-09-07 08:57:30:811,485091,485091,0,0,225899303988,2355403655,480527,3774,790,366,391776,0 93,2,2024-09-07 08:57:30:937,348633,348633,0,0,14104510,0,2797 93,3,2024-09-07 08:57:31:409,1,275,1,0,190,2767,275,0 94,0,2024-09-07 08:57:31:627,65682,0.3,66510,0.5,132508,0.3,175137,1.75 94,1,2024-09-07 08:57:30:580,484375,484375,0,0,226210360940,2360144780,481052,3143,180,381,391850,0 94,2,2024-09-07 08:57:30:767,345643,345643,0,0,13092873,0,2443 94,3,2024-09-07 08:57:31:704,1,275,1,0,264,3381,275,0 95,0,2024-09-07 08:57:31:348,69664,0.3,69487,0.5,139770,0.3,185466,1.50 95,1,2024-09-07 08:57:30:851,485194,485194,0,0,225781675453,2344864729,481503,3314,377,367,391713,0 95,2,2024-09-07 08:57:31:023,345900,345900,0,0,13407274,0,3308 95,3,2024-09-07 08:57:31:710,1,275,8,0,718,4647,275,0 96,0,2024-09-07 08:57:31:029,72948,0.4,73129,0.5,146091,0.3,193027,1.75 96,1,2024-09-07 08:57:31:586,484191,484191,0,0,225415020659,2352786273,480566,2890,735,384,391894,0 96,2,2024-09-07 08:57:31:278,351602,351602,0,0,14159588,0,4042 96,3,2024-09-07 08:57:31:158,1,275,0,0,411,3154,275,0 97,0,2024-09-07 08:57:31:310,67292,0.3,67052,0.5,134636,0.3,178771,1.75 97,1,2024-09-07 08:57:30:795,486146,486146,0,0,226521071612,2347642865,483092,2495,559,367,392140,0 97,2,2024-09-07 08:57:30:619,350570,350570,0,0,13476590,0,3036 97,3,2024-09-07 08:57:30:576,1,275,3,0,214,3117,275,0 98,0,2024-09-07 08:57:31:695,66561,0.3,66567,0.4,133756,0.2,177690,1.50 98,1,2024-09-07 08:57:30:577,485578,485578,0,0,226259592568,2352765373,483418,2059,101,382,391997,0 98,2,2024-09-07 08:57:30:774,344573,344573,0,0,13439967,0,3080 98,3,2024-09-07 08:57:30:707,1,275,0,0,840,4820,275,0 99,0,2024-09-07 08:57:31:483,68503,0.3,68902,0.4,137248,0.2,183243,1.50 99,1,2024-09-07 08:57:31:725,485060,485060,0,0,225506633378,2348770806,481254,3065,741,381,392069,0 99,2,2024-09-07 08:57:31:417,348760,348760,0,0,14406168,0,3106 99,3,2024-09-07 08:57:30:594,1,275,8,0,187,2233,275,0 100,0,2024-09-07 08:57:31:499,73473,0.7,73505,0.9,146928,0.8,196675,2.25 100,1,2024-09-07 08:57:30:558,481791,481791,0,0,224468846901,2371849614,474680,5776,1335,381,391989,0 100,2,2024-09-07 08:57:31:823,349341,349330,11,0,16049787,0,5417 100,3,2024-09-07 08:57:31:732,1,275,1,0,559,5011,275,0 101,0,2024-09-07 08:57:31:721,69208,1.5,67415,1.1,132305,1.4,181946,2.50 101,1,2024-09-07 08:57:30:549,481979,481979,0,0,224444922376,2365947557,473781,6219,1979,368,391769,0 101,2,2024-09-07 08:57:31:767,348976,348976,0,0,17541279,0,4644 101,3,2024-09-07 08:57:30:954,1,275,0,0,448,3340,275,0 102,0,2024-09-07 08:57:30:953,65515,0.7,67874,0.8,136737,0.6,179055,2.00 102,1,2024-09-07 08:57:31:146,483516,483516,0,0,225603575501,2368367502,477581,5094,841,369,391883,0 102,2,2024-09-07 08:57:31:737,345687,345633,54,0,15371997,0,6768 102,3,2024-09-07 08:57:31:643,1,275,180,0,410,2982,275,0 103,0,2024-09-07 08:57:31:597,69103,0.4,69004,0.6,130339,0.4,179526,1.75 103,1,2024-09-07 08:57:31:626,482128,482128,0,0,225164899879,2372737557,475124,5522,1482,381,391829,0 103,2,2024-09-07 08:57:30:585,345662,345662,0,0,15076100,0,2582 103,3,2024-09-07 08:57:30:760,1,275,8,0,916,3667,275,0 104,0,2024-09-07 08:57:31:008,72653,0.6,73019,0.9,144835,0.6,195498,2.25 104,1,2024-09-07 08:57:31:602,484384,484384,0,0,225069151258,2364363592,477554,5730,1100,365,392168,0 104,2,2024-09-07 08:57:31:667,347626,347626,0,0,16648047,0,3941 104,3,2024-09-07 08:57:31:420,1,275,29,0,1245,6671,275,0 105,0,2024-09-07 08:57:31:036,68215,1.1,66351,1.2,138738,1.4,182652,3.25 105,1,2024-09-07 08:57:30:557,484484,484484,0,0,225518123052,2363872628,478074,5430,980,366,391797,0 105,2,2024-09-07 08:57:31:321,350366,350366,0,0,16006135,0,3509 105,3,2024-09-07 08:57:31:314,1,275,0,0,399,4464,275,0 106,0,2024-09-07 08:57:30:956,64830,0.9,66406,1.0,135893,1.0,177392,2.75 106,1,2024-09-07 08:57:31:750,484158,484158,0,0,224671235747,2360728454,476494,6753,911,369,391767,0 106,2,2024-09-07 08:57:30:764,345503,345503,0,0,15199890,0,2795 106,3,2024-09-07 08:57:30:685,1,275,0,0,470,3781,275,0 107,0,2024-09-07 08:57:31:101,65788,0.7,65770,0.8,131506,0.7,174837,2.00 107,1,2024-09-07 08:57:30:628,482752,482752,0,0,225132970330,2367463489,476917,5152,683,381,392234,0 107,2,2024-09-07 08:57:31:304,345317,345316,1,0,16198028,0,5024 107,3,2024-09-07 08:57:31:755,1,275,17,0,353,3606,275,0 108,0,2024-09-07 08:57:31:779,70398,0.4,70770,0.5,140691,0.3,188455,1.75 108,1,2024-09-07 08:57:31:297,484808,484808,0,0,225863849977,2350543457,481579,2843,386,368,391857,0 108,2,2024-09-07 08:57:31:777,346030,346030,0,0,14608379,0,2647 108,3,2024-09-07 08:57:31:332,1,275,2,0,749,5645,275,0 109,0,2024-09-07 08:57:31:744,71984,0.4,71146,0.5,142824,0.3,190507,1.75 109,1,2024-09-07 08:57:30:587,482984,482984,0,0,226108660484,2368010560,479106,3361,517,383,392132,0 109,2,2024-09-07 08:57:30:943,349520,349520,0,0,14898456,0,3617 109,3,2024-09-07 08:57:31:145,1,275,1,0,249,3127,275,0 110,0,2024-09-07 08:57:31:869,67124,0.4,65355,0.6,136808,0.3,178852,1.75 110,1,2024-09-07 08:57:31:656,486107,486107,0,0,226411399576,2348335093,482955,2272,880,369,392045,0 110,2,2024-09-07 08:57:31:303,350221,350221,0,0,14296321,0,3264 110,3,2024-09-07 08:57:30:692,1,275,20,0,406,3534,275,0 111,0,2024-09-07 08:57:31:415,66780,0.3,66315,0.5,132644,0.3,177634,1.75 111,1,2024-09-07 08:57:31:002,486153,486153,0,0,227253892000,2353307932,484158,1659,336,382,391690,0 111,2,2024-09-07 08:57:31:121,344627,344627,0,0,13320717,0,2763 111,3,2024-09-07 08:57:30:921,1,275,41,0,379,3572,275,0 112,0,2024-09-07 08:57:30:926,69350,0.3,69057,0.4,138363,0.2,184476,1.50 112,1,2024-09-07 08:57:30:831,485919,485919,0,0,225725529993,2340093402,483315,2169,435,380,391624,0 112,2,2024-09-07 08:57:31:133,346262,346261,1,0,13899656,0,5036 112,3,2024-09-07 08:57:30:597,1,275,1,0,282,2772,275,0 113,0,2024-09-07 08:57:30:894,73129,0.3,73223,0.5,147039,0.2,195821,1.50 113,1,2024-09-07 08:57:31:685,486886,486886,0,0,227034153716,2341287531,484378,2018,490,366,391661,0 113,2,2024-09-07 08:57:31:306,352128,352128,0,0,12508878,0,3813 113,3,2024-09-07 08:57:30:687,1,275,1,0,340,3777,275,0 114,0,2024-09-07 08:57:30:882,68233,0.4,68738,0.5,136404,0.3,182520,1.75 114,1,2024-09-07 08:57:30:723,485040,485040,0,0,226220494098,2353742680,480212,3239,1589,381,391556,0 114,2,2024-09-07 08:57:30:881,350380,350379,1,0,13764473,0,5069 114,3,2024-09-07 08:57:31:278,1,275,1,0,395,2765,275,0 115,0,2024-09-07 08:57:30:589,66720,0.3,67016,0.4,134126,0.2,178353,1.50 115,1,2024-09-07 08:57:30:578,485257,485257,0,0,226184775031,2351516507,480852,3568,837,382,391639,0 115,2,2024-09-07 08:57:31:125,345589,345589,0,0,11877230,0,2346 115,3,2024-09-07 08:57:31:006,1,275,8,0,159,1573,275,0 116,0,2024-09-07 08:57:31:699,67621,0.7,67425,0.8,134836,0.7,180997,2.00 116,1,2024-09-07 08:57:30:814,482373,482373,0,0,225149175774,2378067308,475849,4467,2057,380,391782,0 116,2,2024-09-07 08:57:31:751,345530,345530,0,0,17342856,0,3529 116,3,2024-09-07 08:57:30:922,1,275,60,0,415,3833,275,0 117,0,2024-09-07 08:57:30:975,73423,0.6,73003,0.8,146082,0.6,195462,2.00 117,1,2024-09-07 08:57:31:581,483708,483708,0,0,224875725242,2352590185,478638,4521,549,370,392033,0 117,2,2024-09-07 08:57:31:127,351922,351922,0,0,14208366,0,3700 117,3,2024-09-07 08:57:31:066,1,275,14,0,490,4336,275,0 118,0,2024-09-07 08:57:31:764,66367,0.6,68215,0.7,138923,0.6,181732,2.00 118,1,2024-09-07 08:57:30:589,483685,483685,0,0,224988209411,2362745348,477187,5063,1435,366,391736,0 118,2,2024-09-07 08:57:31:588,349579,349579,0,0,15357998,0,2781 118,3,2024-09-07 08:57:31:765,1,275,0,0,235,3065,275,0 119,0,2024-09-07 08:57:31:335,66872,0.8,67334,0.8,134754,1.0,179198,2.25 119,1,2024-09-07 08:57:30:548,484771,484771,0,0,225992584066,2361488011,479422,4682,667,367,391780,0 119,2,2024-09-07 08:57:31:272,345836,345836,0,0,14380947,0,4174 119,3,2024-09-07 08:57:31:324,1,275,12,0,443,3691,275,0 120,0,2024-09-07 08:57:31:546,65888,0.5,65691,0.7,131696,0.4,176273,2.00 120,1,2024-09-07 08:57:30:862,484205,484205,0,0,225363641097,2361493304,479373,4480,352,368,391961,0 120,2,2024-09-07 08:57:30:774,346220,346219,1,0,17255223,0,5281 120,3,2024-09-07 08:57:31:296,1,275,3,0,241,3406,275,0 121,0,2024-09-07 08:57:31:702,72040,0.8,72010,0.9,144557,1.0,192604,2.00 121,1,2024-09-07 08:57:31:660,484321,484321,0,0,225383800090,2356492416,479633,4271,417,367,391840,0 121,2,2024-09-07 08:57:31:125,346762,346762,0,0,16486016,0,4127 121,3,2024-09-07 08:57:30:737,1,275,1,0,269,3192,275,0 122,0,2024-09-07 08:57:31:763,70156,0.8,68365,0.9,143367,1.0,187764,2.00 122,1,2024-09-07 08:57:30:871,483455,483455,0,0,225519148485,2365886693,476666,5818,971,366,392130,0 122,2,2024-09-07 08:57:31:319,349939,349866,73,0,18914016,0,5989 122,3,2024-09-07 08:57:30:605,1,275,30,0,411,5334,275,0 123,0,2024-09-07 08:57:30:992,67043,0.9,65549,0.8,136629,1.0,178719,2.25 123,1,2024-09-07 08:57:30:558,483954,483954,0,0,225220340815,2372750590,475174,7064,1716,369,392039,0 123,2,2024-09-07 08:57:31:028,346144,346143,1,0,15615459,0,5215 123,3,2024-09-07 08:57:31:137,1,275,9,0,168,3181,275,0 124,0,2024-09-07 08:57:30:942,68089,0.3,68085,0.5,128444,0.3,175849,1.50 124,1,2024-09-07 08:57:31:037,485851,485851,0,0,225977472322,2345324474,482939,2547,365,367,392178,0 124,2,2024-09-07 08:57:31:015,345861,345861,0,0,12796136,0,3101 124,3,2024-09-07 08:57:30:764,1,275,2,0,490,2929,275,0 125,0,2024-09-07 08:57:31:476,69709,0.3,69326,0.5,139698,0.3,185632,1.50 125,1,2024-09-07 08:57:30:866,483892,483892,0,0,225347755103,2348198740,480784,2712,396,383,391702,0 125,2,2024-09-07 08:57:31:121,346720,346720,0,0,13995498,0,4534 125,3,2024-09-07 08:57:31:134,1,275,1,0,709,3640,275,0 126,0,2024-09-07 08:57:31:473,72897,0.5,74847,0.6,143120,0.4,193941,1.75 126,1,2024-09-07 08:57:30:551,486055,486055,0,0,226111420244,2340881500,483331,2460,264,365,391987,0 126,2,2024-09-07 08:57:30:610,352698,352698,0,0,14281765,0,3186 126,3,2024-09-07 08:57:30:908,1,275,0,0,207,3774,275,0 127,0,2024-09-07 08:57:31:598,67064,0.4,67408,0.5,134395,0.3,178900,1.75 127,1,2024-09-07 08:57:30:577,485742,485742,0,0,225948472829,2339185888,482770,2819,153,365,391816,0 127,2,2024-09-07 08:57:30:641,350528,350528,0,0,12799935,0,2264 127,3,2024-09-07 08:57:31:272,1,275,14,0,968,3111,275,0 128,0,2024-09-07 08:57:31:519,66964,0.3,66938,0.4,133817,0.2,178072,1.50 128,1,2024-09-07 08:57:31:615,485059,485059,0,0,226199570995,2345205505,482674,2227,158,367,391798,0 128,2,2024-09-07 08:57:31:398,345505,345505,0,0,12802693,0,2434 128,3,2024-09-07 08:57:30:779,1,275,1,0,1082,5473,275,0 129,0,2024-09-07 08:57:31:013,69071,0.3,68835,0.4,137667,0.2,182935,1.50 129,1,2024-09-07 08:57:30:572,482742,482742,0,0,224898089399,2355023487,478530,3280,932,379,391835,0 129,2,2024-09-07 08:57:30:690,347223,347223,0,0,13773059,0,4031 129,3,2024-09-07 08:57:30:690,1,275,2,0,469,3798,275,0 130,0,2024-09-07 08:57:31:844,74363,0.5,73918,0.6,148353,0.5,197987,1.75 130,1,2024-09-07 08:57:30:584,485088,485088,0,0,225334399973,2342399129,482639,2370,79,381,391825,0 130,2,2024-09-07 08:57:31:132,352249,352249,0,0,13517129,0,4067 130,3,2024-09-07 08:57:31:293,1,275,3,0,450,3659,275,0 131,0,2024-09-07 08:57:31:971,67735,0.4,68261,0.5,137113,0.3,181768,1.75 131,1,2024-09-07 08:57:31:821,485402,485402,0,0,225962388108,2354423774,482336,2608,458,381,391865,0 131,2,2024-09-07 08:57:30:567,352126,352126,0,0,12412057,0,2415 131,3,2024-09-07 08:57:31:704,1,275,8,0,392,2844,275,0 132,0,2024-09-07 08:57:31:428,66946,0.5,67914,0.6,135169,0.4,179512,2.00 132,1,2024-09-07 08:57:30:578,482240,482240,0,0,224207683248,2363546914,474826,6083,1331,381,392097,0 132,2,2024-09-07 08:57:30:708,345041,345041,0,0,15941672,0,4606 132,3,2024-09-07 08:57:31:689,1,275,1,0,356,3749,275,0 133,0,2024-09-07 08:57:31:520,65334,0.4,66974,0.5,137093,0.3,178148,1.75 133,1,2024-09-07 08:57:30:607,483312,483312,0,0,224979468481,2367947000,477299,5293,720,383,391914,0 133,2,2024-09-07 08:57:31:091,345669,345669,0,0,17528310,0,4315 133,3,2024-09-07 08:57:31:297,1,275,8,0,276,2630,275,0 134,0,2024-09-07 08:57:30:966,73282,0.4,73268,0.6,146657,0.4,195610,2.00 134,1,2024-09-07 08:57:30:585,483099,483099,0,0,225150095681,2363903750,476323,5300,1476,366,391718,0 134,2,2024-09-07 08:57:31:770,348303,348303,0,0,14819345,0,3847 134,3,2024-09-07 08:57:30:757,1,275,49,0,739,3930,275,0 135,0,2024-09-07 08:57:31:110,66662,0.8,66651,0.9,141495,0.9,182459,2.25 135,1,2024-09-07 08:57:31:592,482952,482952,0,0,225422272739,2373548397,475835,5927,1190,380,391805,0 135,2,2024-09-07 08:57:30:689,350879,350879,0,0,16340668,0,3981 135,3,2024-09-07 08:57:31:012,1,275,15,0,299,2057,275,0 136,0,2024-09-07 08:57:31:656,67583,0.6,67477,0.7,134557,0.6,179389,2.25 136,1,2024-09-07 08:57:31:446,483106,483106,0,0,225265641282,2366192428,477107,5356,643,382,391685,0 136,2,2024-09-07 08:57:31:132,347187,347187,0,0,15309148,0,3506 136,3,2024-09-07 08:57:31:112,1,275,109,0,150,2344,275,0 137,0,2024-09-07 08:57:30:933,67815,0.6,65889,0.7,129868,0.5,176101,2.00 137,1,2024-09-07 08:57:30:585,483131,483131,0,0,225276244340,2362855532,475652,5896,1583,366,391708,0 137,2,2024-09-07 08:57:31:715,345108,345108,0,0,17213463,0,3185 137,3,2024-09-07 08:57:30:773,1,275,1,0,382,3375,275,0 138,0,2024-09-07 08:57:31:763,69961,0.8,70010,0.9,140704,0.9,187781,2.00 138,1,2024-09-07 08:57:31:685,483052,483052,0,0,225926512311,2372185403,475429,6105,1518,368,391954,0 138,2,2024-09-07 08:57:30:599,346665,346665,0,0,15898426,0,4988 138,3,2024-09-07 08:57:30:616,1,275,1,0,1160,3940,275,0 139,0,2024-09-07 08:57:31:366,70459,1.6,70772,1.2,141529,2.4,188866,2.50 139,1,2024-09-07 08:57:30:586,481819,481819,0,0,223873682762,2378436399,472637,6838,2344,381,391892,0 139,2,2024-09-07 08:57:30:692,348781,348781,0,0,16669561,0,3097 139,3,2024-09-07 08:57:31:662,1,275,7,0,257,2974,275,0 140,0,2024-09-07 08:57:31:594,67465,0.3,66978,0.5,134669,0.2,179143,1.75 140,1,2024-09-07 08:57:31:543,486912,486912,0,0,226861774414,2335614252,484929,1740,243,365,391606,0 140,2,2024-09-07 08:57:30:692,350237,350237,0,0,13049732,0,3388 140,3,2024-09-07 08:57:30:775,1,275,2,0,247,2255,275,0 141,0,2024-09-07 08:57:31:709,66602,0.3,68438,0.4,130844,0.2,177531,1.50 141,1,2024-09-07 08:57:30:871,486159,486159,0,0,226392778667,2346004154,483587,2164,408,379,391614,0 141,2,2024-09-07 08:57:31:699,345300,345300,0,0,12652651,0,2342 141,3,2024-09-07 08:57:31:049,1,275,4,0,202,2473,275,0 142,0,2024-09-07 08:57:31:313,69881,0.3,69238,0.4,137847,0.2,184853,1.50 142,1,2024-09-07 08:57:30:587,485220,485220,0,0,225352810039,2347242847,482378,2576,266,382,392102,0 142,2,2024-09-07 08:57:31:300,346311,346279,32,0,14537995,0,6028 142,3,2024-09-07 08:57:31:752,1,275,3,0,484,3632,275,0 143,0,2024-09-07 08:57:31:382,73550,0.5,73516,0.6,147491,0.5,195707,1.75 143,1,2024-09-07 08:57:30:556,485759,485759,0,0,225904155942,2340051492,482997,2666,96,367,391651,0 143,2,2024-09-07 08:57:30:777,351531,351531,0,0,13731477,0,2669 143,3,2024-09-07 08:57:31:148,1,275,7,0,303,3484,275,0 144,0,2024-09-07 08:57:31:509,65707,0.6,67470,0.9,137539,0.5,180670,2.00 144,1,2024-09-07 08:57:30:566,482862,482862,0,0,224743184756,2357220988,478703,3231,928,381,391649,0 144,2,2024-09-07 08:57:31:755,351097,351097,0,0,13122857,0,3473 144,3,2024-09-07 08:57:31:739,1,275,1,0,249,3070,275,0 145,0,2024-09-07 08:57:31:396,64413,0.6,64373,0.8,136672,0.5,176179,2.25 145,1,2024-09-07 08:57:30:552,482527,482527,0,0,225094906791,2365250070,476711,4992,824,382,391698,0 145,2,2024-09-07 08:57:31:439,343853,343853,0,0,15351098,0,3903 145,3,2024-09-07 08:57:30:901,1,275,1,0,622,4189,275,0 146,0,2024-09-07 08:57:31:610,67738,0.5,67211,0.7,135223,0.4,180229,2.00 146,1,2024-09-07 08:57:31:586,483623,483623,0,0,225151295647,2369764422,475192,6584,1847,368,391770,0 146,2,2024-09-07 08:57:31:696,345852,345852,0,0,15590757,0,2730 146,3,2024-09-07 08:57:31:274,1,275,1,0,1520,5998,275,0 147,0,2024-09-07 08:57:31:746,73198,0.5,73034,0.7,145346,0.5,195008,2.00 147,1,2024-09-07 08:57:31:378,485735,485735,0,0,226163591131,2350049441,481620,3528,587,368,391791,0 147,2,2024-09-07 08:57:31:015,351485,351485,0,0,13229144,0,2789 147,3,2024-09-07 08:57:30:921,1,275,8,0,730,4062,275,0 0,0,2024-09-07 08:57:41:713,64472,0.4,64543,0.7,136817,0.4,177530,1.75 0,1,2024-09-07 08:57:40:822,486148,486148,0,0,226609208506,2368756689,482661,3279,208,370,391896,0 0,2,2024-09-07 08:57:41:083,347913,347913,0,0,13814876,0,4480 0,3,2024-09-07 08:57:41:000,1,276,8,0,319,3542,276,0 1,0,2024-09-07 08:57:41:765,72707,0.7,72118,0.9,145172,0.8,193810,2.00 1,1,2024-09-07 08:57:40:558,485832,485832,0,0,225508004017,2360606612,481322,3456,1054,371,391857,0 1,2,2024-09-07 08:57:40:640,348762,348762,0,0,13145493,0,3267 1,3,2024-09-07 08:57:41:307,1,276,32,0,262,3235,276,0 2,0,2024-09-07 08:57:41:570,70617,0.7,70780,0.8,140236,0.9,187776,2.00 2,1,2024-09-07 08:57:40:862,486843,486843,0,0,227294846241,2359810045,484647,1976,220,380,391745,0 2,2,2024-09-07 08:57:41:271,352511,352511,0,0,13386676,0,3594 2,3,2024-09-07 08:57:40:690,1,276,3,0,357,2564,276,0 3,0,2024-09-07 08:57:41:755,67358,0.4,67301,0.6,134434,0.4,178717,2.00 3,1,2024-09-07 08:57:41:618,485965,485965,0,0,226454225782,2356666905,482120,3383,462,380,391556,0 3,2,2024-09-07 08:57:41:147,348916,348893,23,0,13626572,0,5851 3,3,2024-09-07 08:57:41:752,1,276,8,0,103,1616,276,0 4,0,2024-09-07 08:57:41:800,63974,0.3,65835,0.5,133905,0.3,175004,1.75 4,1,2024-09-07 08:57:40:595,485525,485525,0,0,225502551139,2368979033,480319,4283,923,371,391846,0 4,2,2024-09-07 08:57:41:022,345561,345561,0,0,16343386,0,4528 4,3,2024-09-07 08:57:41:028,1,276,2,0,448,4202,276,0 5,0,2024-09-07 08:57:41:377,69851,0.4,70173,0.5,139402,0.4,186065,1.75 5,1,2024-09-07 08:57:40:761,485315,485315,0,0,225798589458,2374242909,479222,4793,1300,368,392005,0 5,2,2024-09-07 08:57:41:829,346608,346608,0,0,14988024,0,2432 5,3,2024-09-07 08:57:41:736,1,276,7,0,457,4233,276,0 6,0,2024-09-07 08:57:40:920,73240,0.5,72750,0.7,145309,0.5,193959,2.00 6,1,2024-09-07 08:57:40:747,485817,485817,0,0,226234281907,2363847869,480625,4278,914,379,391694,0 6,2,2024-09-07 08:57:41:119,352007,352007,0,0,14910146,0,4816 6,3,2024-09-07 08:57:41:274,1,276,5,0,340,3219,276,0 7,0,2024-09-07 08:57:41:537,66997,0.5,67327,0.7,134132,0.5,178504,2.00 7,1,2024-09-07 08:57:40:859,485186,485186,0,0,226236317788,2374362384,478613,5463,1110,382,391747,0 7,2,2024-09-07 08:57:40:777,350578,350578,0,0,14608404,0,4791 7,3,2024-09-07 08:57:40:855,1,276,9,0,398,3256,276,0 8,0,2024-09-07 08:57:41:352,67077,0.4,66876,0.5,133590,0.3,178604,1.75 8,1,2024-09-07 08:57:41:363,484451,484451,0,0,225826840354,2381169784,475468,6782,2201,366,392144,0 8,2,2024-09-07 08:57:40:789,342081,342081,0,0,17561634,0,3220 8,3,2024-09-07 08:57:40:586,1,276,538,0,538,4615,276,0 9,0,2024-09-07 08:57:41:135,69005,0.3,66950,0.5,139972,0.3,183487,1.75 9,1,2024-09-07 08:57:40:559,484306,484306,0,0,225771922284,2377396688,477459,5299,1548,370,392001,0 9,2,2024-09-07 08:57:41:095,347231,347231,0,0,15405638,0,3360 9,3,2024-09-07 08:57:41:760,1,276,288,0,496,4388,276,0 10,0,2024-09-07 08:57:41:604,74336,0.3,73841,0.5,148141,0.2,197813,1.75 10,1,2024-09-07 08:57:40:591,484950,484950,0,0,226180819990,2369297090,478289,5698,963,381,391741,0 10,2,2024-09-07 08:57:40:772,351794,351794,0,0,17106525,0,4264 10,3,2024-09-07 08:57:40:872,1,276,3,0,177,2285,276,0 11,0,2024-09-07 08:57:41:018,67792,0.5,65810,0.7,137480,0.4,182432,2.00 11,1,2024-09-07 08:57:40:572,485769,485769,0,0,226125692329,2378576695,476806,6736,2227,383,391573,0 11,2,2024-09-07 08:57:41:129,351248,351248,0,0,15729693,0,4130 11,3,2024-09-07 08:57:41:304,1,276,8,0,843,4332,276,0 12,0,2024-09-07 08:57:41:023,67863,0.3,67766,0.5,135522,0.3,179872,1.75 12,1,2024-09-07 08:57:40:956,485912,485912,0,0,226231095774,2356396468,482317,3173,422,370,391870,0 12,2,2024-09-07 08:57:41:549,346448,346448,0,0,14619713,0,3469 12,3,2024-09-07 08:57:41:070,1,276,17,0,386,4492,276,0 13,0,2024-09-07 08:57:41:422,67621,0.3,67504,0.5,134822,0.3,179362,1.50 13,1,2024-09-07 08:57:41:538,486066,486066,0,0,225801853952,2366125292,482501,3023,542,382,391740,0 13,2,2024-09-07 08:57:40:611,348758,348758,0,0,13745457,0,3287 13,3,2024-09-07 08:57:41:763,1,276,11,0,467,4037,276,0 14,0,2024-09-07 08:57:40:591,73764,0.3,74403,0.5,147122,0.3,196466,1.75 14,1,2024-09-07 08:57:41:561,488975,488975,0,0,227210624513,2348786910,486135,2650,190,364,391571,0 14,2,2024-09-07 08:57:40:774,350278,350278,0,0,13884004,0,2896 14,3,2024-09-07 08:57:41:116,1,276,163,0,1168,3774,276,0 15,0,2024-09-07 08:57:41:554,69060,0.5,69207,0.7,138221,0.5,183796,2.00 15,1,2024-09-07 08:57:41:609,486913,486913,0,0,226500849446,2352683811,484384,2351,178,381,391619,0 15,2,2024-09-07 08:57:41:002,353162,353162,0,0,11923610,0,3043 15,3,2024-09-07 08:57:41:406,1,276,8,0,1126,5485,276,0 16,0,2024-09-07 08:57:40:943,67417,0.7,67598,0.8,134691,0.7,179262,2.25 16,1,2024-09-07 08:57:40:577,486948,486948,0,0,226249950001,2362484357,483294,3353,301,370,391756,0 16,2,2024-09-07 08:57:41:441,347103,347103,0,0,14449730,0,4719 16,3,2024-09-07 08:57:41:142,1,276,0,0,317,3794,276,0 17,0,2024-09-07 08:57:41:770,67991,0.4,66372,0.7,129842,0.4,176223,1.75 17,1,2024-09-07 08:57:40:568,485534,485534,0,0,225271239397,2363565791,480510,3918,1106,368,391899,0 17,2,2024-09-07 08:57:41:677,350017,350017,0,0,14071992,0,2857 17,3,2024-09-07 08:57:40:575,1,276,0,0,268,4339,276,0 18,0,2024-09-07 08:57:40:945,70238,0.6,70579,0.7,140794,0.5,188630,2.25 18,1,2024-09-07 08:57:41:637,486829,486829,0,0,226616967335,2347058638,484243,2344,242,367,391649,0 18,2,2024-09-07 08:57:41:754,349858,349858,0,0,13598600,0,3541 18,3,2024-09-07 08:57:40:896,1,276,1,0,163,2609,276,0 19,0,2024-09-07 08:57:41:547,71036,0.6,71568,0.8,142095,0.6,188767,2.25 19,1,2024-09-07 08:57:40:566,487102,487102,0,0,227229860891,2358894607,482430,3885,787,367,391777,0 19,2,2024-09-07 08:57:41:756,353847,353847,0,0,12435446,0,3988 19,3,2024-09-07 08:57:41:140,1,276,12,0,524,2084,276,0 20,0,2024-09-07 08:57:41:387,67029,0.6,66988,0.8,134293,0.7,178908,2.25 20,1,2024-09-07 08:57:40:568,485590,485590,0,0,226560017994,2369007623,481609,3582,399,369,391886,0 20,2,2024-09-07 08:57:40:934,350725,350725,0,0,14261371,0,3721 20,3,2024-09-07 08:57:40:590,1,276,9,0,414,4854,276,0 21,0,2024-09-07 08:57:41:141,66902,0.5,66971,0.6,133750,0.4,177339,2.00 21,1,2024-09-07 08:57:41:538,484134,484134,0,0,225549368675,2375617566,477475,5034,1625,368,391962,0 21,2,2024-09-07 08:57:41:080,345059,345059,0,0,16432213,0,3747 21,3,2024-09-07 08:57:41:407,1,276,5,0,103,2727,276,0 22,0,2024-09-07 08:57:41:727,69351,0.5,69454,0.7,138809,0.5,184880,2.00 22,1,2024-09-07 08:57:41:023,485122,485122,0,0,225518382201,2372441317,477583,5872,1667,382,391667,0 22,2,2024-09-07 08:57:40:765,346990,346990,0,0,14200887,0,3134 22,3,2024-09-07 08:57:41:082,1,276,2,0,228,2396,276,0 23,0,2024-09-07 08:57:41:372,73227,0.6,73070,0.7,146405,0.6,194855,2.25 23,1,2024-09-07 08:57:41:002,486270,486270,0,0,227048180342,2382739049,478434,5170,2666,365,391690,0 23,2,2024-09-07 08:57:41:094,352905,352905,0,0,13719290,0,3010 23,3,2024-09-07 08:57:41:754,1,276,13,0,645,3452,276,0 24,0,2024-09-07 08:57:40:827,68597,0.4,68518,0.6,137507,0.4,182612,1.75 24,1,2024-09-07 08:57:40:585,485525,485525,0,0,225648759383,2365962819,479762,4279,1484,368,391987,0 24,2,2024-09-07 08:57:41:085,350271,350271,0,0,16798906,0,3607 24,3,2024-09-07 08:57:41:690,1,276,61,0,468,4015,276,0 25,0,2024-09-07 08:57:41:352,68575,0.4,66765,0.6,131252,0.4,178914,2.00 25,1,2024-09-07 08:57:40:558,486059,486059,0,0,226602220392,2373122701,480641,4634,784,371,391788,0 25,2,2024-09-07 08:57:41:610,344807,344807,0,0,16821463,0,3978 25,3,2024-09-07 08:57:41:011,1,276,10,0,255,2898,276,0 26,0,2024-09-07 08:57:41:722,67881,0.4,66353,0.6,139195,0.3,181803,1.75 26,1,2024-09-07 08:57:41:540,485810,485810,0,0,225776779736,2367355533,478239,6323,1248,381,391748,0 26,2,2024-09-07 08:57:40:870,347501,347501,0,0,16608830,0,2809 26,3,2024-09-07 08:57:41:713,1,276,1,0,796,3487,276,0 27,0,2024-09-07 08:57:41:732,73758,0.4,73900,0.6,146175,0.3,195392,2.00 27,1,2024-09-07 08:57:41:676,487733,487733,0,0,227332033954,2364351411,483971,3169,593,381,391558,0 27,2,2024-09-07 08:57:40:867,349436,349371,65,0,16915802,0,5699 27,3,2024-09-07 08:57:41:025,1,276,21,0,564,2915,276,0 28,0,2024-09-07 08:57:41:408,68691,0.4,68491,0.6,137620,0.4,183534,2.00 28,1,2024-09-07 08:57:40:801,487331,487331,0,0,227640723445,2366979572,484412,2447,472,383,391646,0 28,2,2024-09-07 08:57:41:765,351810,351810,0,0,13460373,0,2915 28,3,2024-09-07 08:57:41:776,1,276,1,0,502,2841,276,0 29,0,2024-09-07 08:57:41:373,69313,0.4,67771,0.6,132487,0.3,180798,1.75 29,1,2024-09-07 08:57:41:561,488277,488277,0,0,227169086494,2349844054,485043,2668,566,369,391753,0 29,2,2024-09-07 08:57:40:860,346003,346003,0,0,12460758,0,4018 29,3,2024-09-07 08:57:40:981,1,276,284,0,284,2218,276,0 30,0,2024-09-07 08:57:41:456,66432,0.4,64459,0.6,134858,0.4,176935,2.00 30,1,2024-09-07 08:57:40:571,488193,488193,0,0,227541366824,2355530103,485857,2042,294,382,391672,0 30,2,2024-09-07 08:57:41:274,348212,348212,0,0,12474582,0,3161 30,3,2024-09-07 08:57:40:586,1,276,0,0,519,2534,276,0 31,0,2024-09-07 08:57:41:760,72253,0.4,72541,0.5,145275,0.3,193812,1.75 31,1,2024-09-07 08:57:40:566,489656,489656,0,0,227785713849,2332250426,488252,1184,220,356,391712,0 31,2,2024-09-07 08:57:41:275,349125,349125,0,0,14345993,0,3525 31,3,2024-09-07 08:57:41:710,1,276,12,0,220,2112,276,0 32,0,2024-09-07 08:57:41:430,70393,0.3,70765,0.5,141602,0.3,188115,1.75 32,1,2024-09-07 08:57:40:804,487455,487455,0,0,226712713442,2351331439,485199,1977,279,381,391622,0 32,2,2024-09-07 08:57:40:936,352432,352432,0,0,12419873,0,3155 32,3,2024-09-07 08:57:41:024,1,276,0,0,227,2149,276,0 33,0,2024-09-07 08:57:41:536,67642,0.3,67132,0.5,134910,0.2,179660,1.75 33,1,2024-09-07 08:57:40:580,488351,488351,0,0,228035341345,2354768692,485713,2464,174,369,391730,0 33,2,2024-09-07 08:57:40:773,350016,349983,33,0,13889034,0,7012 33,3,2024-09-07 08:57:40:900,1,276,1,0,329,3124,276,0 34,0,2024-09-07 08:57:40:952,65994,0.3,68021,0.4,130266,0.2,174378,1.50 34,1,2024-09-07 08:57:41:050,488877,488877,0,0,228339751910,2346901668,487746,1124,7,367,391562,0 34,2,2024-09-07 08:57:40:783,347253,347253,0,0,13277403,0,3577 34,3,2024-09-07 08:57:41:688,1,276,4,0,299,2179,276,0 35,0,2024-09-07 08:57:40:870,69277,0.3,69661,0.5,140289,0.2,186616,1.50 35,1,2024-09-07 08:57:41:067,487525,487525,0,0,226448392303,2348306701,484097,2648,780,384,391589,0 35,2,2024-09-07 08:57:41:584,348415,348415,0,0,13375378,0,2653 35,3,2024-09-07 08:57:40:912,1,276,9,0,418,3432,276,0 36,0,2024-09-07 08:57:41:514,73028,0.5,72965,0.7,146049,0.5,193564,2.25 36,1,2024-09-07 08:57:40:587,486652,486652,0,0,225986756967,2361938888,480434,4636,1582,366,391759,0 36,2,2024-09-07 08:57:41:751,352057,352057,0,0,15036089,0,3875 36,3,2024-09-07 08:57:40:869,1,276,143,0,416,4296,276,0 37,0,2024-09-07 08:57:41:371,66929,0.5,66931,0.7,134081,0.5,179436,2.00 37,1,2024-09-07 08:57:40:573,485796,485789,0,7,225681129882,2361610916,479739,3980,2070,365,391560,0 37,2,2024-09-07 08:57:41:147,349828,349813,15,0,15225805,0,5815 37,3,2024-09-07 08:57:41:766,1,276,1,0,888,4657,276,0 38,0,2024-09-07 08:57:41:443,66338,0.5,64501,0.6,134871,0.4,176570,2.00 38,1,2024-09-07 08:57:41:610,486935,486935,0,0,226839812840,2364468003,481579,4680,676,368,391821,0 38,2,2024-09-07 08:57:40:772,345091,345044,47,0,15898976,0,6710 38,3,2024-09-07 08:57:40:996,1,276,1,0,689,3631,276,0 39,0,2024-09-07 08:57:41:779,70443,0.6,69009,0.7,134226,0.5,183642,2.00 39,1,2024-09-07 08:57:40:719,486141,486141,0,0,226784363178,2369471049,479636,5319,1186,365,391594,0 39,2,2024-09-07 08:57:41:417,347446,347446,0,0,13934991,0,2689 39,3,2024-09-07 08:57:40:718,1,276,8,0,324,3554,276,0 40,0,2024-09-07 08:57:41:501,73372,0.8,74192,0.9,148129,0.8,197485,2.75 40,1,2024-09-07 08:57:40:587,486298,486298,0,0,226211108799,2367852881,479148,5707,1443,368,391651,0 40,2,2024-09-07 08:57:41:308,352083,352082,1,0,16881926,0,5137 40,3,2024-09-07 08:57:41:142,1,276,1,0,398,3295,276,0 41,0,2024-09-07 08:57:41:037,67590,1.8,69140,1.4,131957,2.9,180337,3.50 41,1,2024-09-07 08:57:40:773,486156,486156,0,0,226243134174,2363491487,480467,5054,635,370,391742,0 41,2,2024-09-07 08:57:40:759,349963,349963,0,0,16148952,0,3356 41,3,2024-09-07 08:57:41:678,1,276,0,0,366,3064,276,0 42,0,2024-09-07 08:57:41:473,66649,0.8,66754,1.0,133830,0.8,176530,2.50 42,1,2024-09-07 08:57:41:439,485198,485198,0,0,226431201688,2378183575,477615,6071,1512,380,391675,0 42,2,2024-09-07 08:57:41:134,346486,346486,0,0,15392007,0,3568 42,3,2024-09-07 08:57:41:012,1,276,0,0,446,2394,276,0 43,0,2024-09-07 08:57:40:917,66908,0.6,65172,0.9,136284,0.6,179118,2.00 43,1,2024-09-07 08:57:40:576,486156,486156,0,0,227022748667,2370471051,479403,5412,1341,366,391696,0 43,2,2024-09-07 08:57:41:749,347790,347790,0,0,15331702,0,3812 43,3,2024-09-07 08:57:41:749,1,276,22,0,467,3520,276,0 44,0,2024-09-07 08:57:40:900,73540,0.4,73984,0.6,147377,0.3,196592,1.75 44,1,2024-09-07 08:57:40:601,488349,488349,0,0,226582569445,2335915596,485787,2130,432,356,391809,0 44,2,2024-09-07 08:57:41:267,349840,349840,0,0,12633572,0,2231 44,3,2024-09-07 08:57:41:096,1,276,1,0,817,3177,276,0 45,0,2024-09-07 08:57:41:757,68064,0.6,66541,0.8,139700,0.6,183694,2.00 45,1,2024-09-07 08:57:41:005,487520,487520,0,0,226943932483,2349258952,485865,1643,12,382,391917,0 45,2,2024-09-07 08:57:41:275,352705,352705,0,0,13308148,0,3596 45,3,2024-09-07 08:57:40:948,1,276,0,0,271,2909,276,0 46,0,2024-09-07 08:57:40:958,66940,0.5,66820,0.7,133848,0.5,177220,2.00 46,1,2024-09-07 08:57:40:575,489025,489025,0,0,227169923883,2342802770,486668,2083,274,366,391539,0 46,2,2024-09-07 08:57:40:600,348432,348432,0,0,12639688,0,2920 46,3,2024-09-07 08:57:41:133,1,276,230,0,908,4114,276,0 47,0,2024-09-07 08:57:41:103,66307,0.4,66196,0.6,133046,0.3,175781,1.75 47,1,2024-09-07 08:57:40:575,488299,488299,0,0,227677028111,2347737009,485965,2200,134,366,391641,0 47,2,2024-09-07 08:57:40:909,349770,349770,0,0,12788549,0,2558 47,3,2024-09-07 08:57:41:115,1,276,13,0,529,2471,276,0 48,0,2024-09-07 08:57:41:496,71414,0.3,71014,0.4,141793,0.2,189753,1.50 48,1,2024-09-07 08:57:41:025,487357,487357,0,0,227392302155,2360613134,484188,2869,300,384,391710,0 48,2,2024-09-07 08:57:40:709,348841,348841,0,0,12511042,0,3031 48,3,2024-09-07 08:57:40:773,1,276,1,0,339,2337,276,0 49,0,2024-09-07 08:57:41:718,73510,0.4,72074,0.5,139915,0.3,191148,1.75 49,1,2024-09-07 08:57:41:023,486402,486402,0,0,225964073032,2354008418,482513,2708,1181,382,391809,0 49,2,2024-09-07 08:57:41:797,353279,353279,0,0,13305940,0,3900 49,3,2024-09-07 08:57:41:416,1,276,7,0,408,2917,276,0 50,0,2024-09-07 08:57:41:525,67198,0.3,66642,0.5,133975,0.2,178600,1.75 50,1,2024-09-07 08:57:41:016,487970,487970,0,0,227947929093,2356910564,484838,2822,310,368,391530,0 50,2,2024-09-07 08:57:41:072,350944,350944,0,0,12281892,0,2263 50,3,2024-09-07 08:57:41:291,1,276,1,0,335,2272,276,0 51,0,2024-09-07 08:57:41:686,68434,0.3,66890,0.4,130494,0.2,177669,1.50 51,1,2024-09-07 08:57:41:680,488787,488787,0,0,228053048580,2352876252,485968,1879,940,365,391706,0 51,2,2024-09-07 08:57:41:329,346660,346660,0,0,11539385,0,3337 51,3,2024-09-07 08:57:41:029,1,276,19,0,678,2154,276,0 52,0,2024-09-07 08:57:41:426,70002,0.4,69548,0.6,139381,0.4,185445,2.00 52,1,2024-09-07 08:57:40:588,486191,486191,0,0,225997291042,2367977599,478869,6068,1254,368,391722,0 52,2,2024-09-07 08:57:41:754,345292,345254,38,0,16054442,0,6742 52,3,2024-09-07 08:57:40:681,1,276,1,0,1782,4608,276,0 53,0,2024-09-07 08:57:41:733,73002,0.7,70978,0.8,148393,0.8,194334,2.50 53,1,2024-09-07 08:57:40:772,485177,485177,0,0,226049118020,2373932447,477221,5507,2449,367,391702,0 53,2,2024-09-07 08:57:41:302,352705,352705,0,0,13457440,0,2727 53,3,2024-09-07 08:57:40:702,1,276,308,0,308,2665,276,0 54,0,2024-09-07 08:57:41:618,67100,0.7,67768,0.8,134104,0.5,179787,2.50 54,1,2024-09-07 08:57:40:586,486408,486408,0,0,227139364752,2361233987,481509,4302,597,367,391659,0 54,2,2024-09-07 08:57:40:870,351504,351498,6,0,15526116,0,5382 54,3,2024-09-07 08:57:40:773,1,276,96,0,676,4514,276,0 55,0,2024-09-07 08:57:41:766,64524,0.6,66839,0.8,134357,0.5,175378,2.50 55,1,2024-09-07 08:57:40:769,485792,485792,0,0,226404409374,2357682197,479815,5085,892,365,391731,0 55,2,2024-09-07 08:57:40:732,344913,344913,0,0,14872646,0,3563 55,3,2024-09-07 08:57:40:681,1,276,8,0,304,2998,276,0 56,0,2024-09-07 08:57:41:555,69649,1.0,65707,1.0,135270,1.5,181202,2.50 56,1,2024-09-07 08:57:40:570,484510,484510,0,0,225949229016,2386531291,476937,6113,1460,381,391729,0 56,2,2024-09-07 08:57:41:314,347629,347629,0,0,15930423,0,3567 56,3,2024-09-07 08:57:41:063,1,276,1,0,705,3922,276,0 57,0,2024-09-07 08:57:40:947,72779,1.2,72610,1.1,145286,1.7,194590,2.75 57,1,2024-09-07 08:57:40:986,485887,485887,0,0,226645217722,2372531364,480317,4884,686,367,392032,0 57,2,2024-09-07 08:57:41:331,351609,351609,0,0,17420556,0,3317 57,3,2024-09-07 08:57:41:745,1,276,24,0,359,3576,276,0 58,0,2024-09-07 08:57:40:564,67391,1.1,65485,1.0,137118,1.4,180080,2.50 58,1,2024-09-07 08:57:40:575,486665,486662,0,3,226568863641,2367860650,479972,5806,884,367,391603,3 58,2,2024-09-07 08:57:41:075,351839,351839,0,0,15642601,0,2549 58,3,2024-09-07 08:57:41:071,1,276,23,0,1043,3092,276,0 59,0,2024-09-07 08:57:41:745,67170,0.8,66921,1.0,133508,0.8,177440,2.75 59,1,2024-09-07 08:57:40:824,485984,485984,0,0,226496448721,2369086049,479640,5061,1283,369,391525,0 59,2,2024-09-07 08:57:40:591,347883,347883,0,0,13863618,0,2604 59,3,2024-09-07 08:57:41:736,1,276,8,0,1015,3859,276,0 60,0,2024-09-07 08:57:41:720,66471,0.4,66378,0.6,133900,0.3,177451,1.75 60,1,2024-09-07 08:57:40:778,487879,487879,0,0,227650765969,2357316886,485422,1939,518,370,392031,0 60,2,2024-09-07 08:57:41:157,348311,348311,0,0,15022306,0,3811 60,3,2024-09-07 08:57:41:265,1,276,0,0,124,2585,276,0 61,0,2024-09-07 08:57:41:495,72422,0.5,72839,0.7,144949,0.5,193792,1.75 61,1,2024-09-07 08:57:40:786,486397,486397,0,0,226726282257,2369450438,481783,3909,705,382,391880,0 61,2,2024-09-07 08:57:41:121,349877,349877,0,0,13597478,0,2780 61,3,2024-09-07 08:57:41:688,1,276,13,0,397,3598,276,0 62,0,2024-09-07 08:57:41:711,71018,0.6,72435,0.7,138048,0.6,187829,2.00 62,1,2024-09-07 08:57:41:112,489106,489100,0,6,228856615951,2357970755,486627,2355,118,365,391715,6 62,2,2024-09-07 08:57:41:645,351197,351196,1,0,14907636,0,5555 62,3,2024-09-07 08:57:41:143,1,276,1,0,287,1871,276,0 63,0,2024-09-07 08:57:41:451,67341,0.5,67517,0.6,134971,0.4,179260,1.75 63,1,2024-09-07 08:57:40:804,488112,488106,0,6,227144449380,2350934447,486371,1679,56,381,391677,6 63,2,2024-09-07 08:57:40:766,349447,349447,0,0,12356694,0,2674 63,3,2024-09-07 08:57:41:736,1,276,8,0,667,3086,276,0 64,0,2024-09-07 08:57:41:514,65692,0.4,65551,0.6,131212,0.4,174272,1.75 64,1,2024-09-07 08:57:40:755,486798,486798,0,0,227109809446,2366319791,482258,3124,1416,370,391783,0 64,2,2024-09-07 08:57:41:143,350043,350024,19,0,13366620,0,6121 64,3,2024-09-07 08:57:41:140,1,276,1,0,265,2665,276,0 65,0,2024-09-07 08:57:41:679,69233,0.6,69786,0.7,139022,0.6,185501,2.00 65,1,2024-09-07 08:57:40:871,485882,485882,0,0,226146690637,2364295814,482203,3233,446,382,391901,0 65,2,2024-09-07 08:57:41:700,348444,348444,0,0,14730390,0,3367 65,3,2024-09-07 08:57:41:683,1,276,0,0,163,2677,276,0 66,0,2024-09-07 08:57:41:766,72550,0.5,72336,0.7,145336,0.5,192692,2.00 66,1,2024-09-07 08:57:41:309,487632,487632,0,0,227102456812,2359573689,484776,2611,245,380,391653,0 66,2,2024-09-07 08:57:41:142,354246,354246,0,0,13959769,0,4956 66,3,2024-09-07 08:57:41:101,1,276,8,0,291,2797,276,0 67,0,2024-09-07 08:57:41:416,67795,0.6,67046,0.7,135089,0.6,179635,2.00 67,1,2024-09-07 08:57:40:773,486950,486949,0,1,227612086504,2371179141,483096,3104,749,380,391787,1 67,2,2024-09-07 08:57:40:588,351833,351833,0,0,12886150,0,2889 67,3,2024-09-07 08:57:41:750,1,276,13,0,338,2478,276,0 68,0,2024-09-07 08:57:40:581,66991,0.6,66844,0.7,133313,0.5,178309,2.00 68,1,2024-09-07 08:57:40:575,485904,485904,0,0,225900461879,2366312414,481645,3088,1171,381,391953,0 68,2,2024-09-07 08:57:41:050,344715,344650,65,0,16762166,0,6698 68,3,2024-09-07 08:57:40:733,1,276,2,0,417,3148,276,0 69,0,2024-09-07 08:57:41:724,68408,0.7,68845,0.8,137255,0.7,182546,2.25 69,1,2024-09-07 08:57:41:021,484754,484754,0,0,225944256224,2382944460,478482,4687,1585,384,391994,0 69,2,2024-09-07 08:57:41:733,347361,347361,0,0,16957891,0,3722 69,3,2024-09-07 08:57:40:771,1,276,6,0,698,3992,276,0 70,0,2024-09-07 08:57:41:599,73541,0.9,73705,1.1,147547,0.7,196221,2.50 70,1,2024-09-07 08:57:40:802,487751,487751,0,0,227763124386,2365161473,483540,3675,536,366,391725,0 70,2,2024-09-07 08:57:41:330,351575,351575,0,0,15497934,0,4044 70,3,2024-09-07 08:57:40:746,1,276,1,0,854,3177,276,0 71,0,2024-09-07 08:57:41:404,67573,1.1,67452,1.1,135269,1.4,181174,2.75 71,1,2024-09-07 08:57:41:597,485978,485978,0,0,225992329723,2357502217,480486,4850,642,368,391738,0 71,2,2024-09-07 08:57:41:072,351744,351744,0,0,15708972,0,4042 71,3,2024-09-07 08:57:41:750,1,276,20,0,644,3811,276,0 72,0,2024-09-07 08:57:41:033,69603,0.7,68103,0.8,132619,0.7,180345,2.25 72,1,2024-09-07 08:57:41:028,486454,486454,0,0,226474840700,2366130139,480375,4746,1333,369,391819,0 72,2,2024-09-07 08:57:41:754,345132,345132,0,0,17010191,0,3983 72,3,2024-09-07 08:57:41:756,1,276,1,0,564,4661,276,0 73,0,2024-09-07 08:57:41:148,65672,0.4,67159,0.6,137648,0.3,178749,2.00 73,1,2024-09-07 08:57:40:770,486976,486976,0,0,227105519550,2357647470,483851,2888,237,367,391750,0 73,2,2024-09-07 08:57:41:748,348280,348280,0,0,16659157,0,3701 73,3,2024-09-07 08:57:40:970,1,276,1,0,274,3926,276,0 74,0,2024-09-07 08:57:41:324,74005,0.4,75754,0.6,144636,0.4,196358,2.00 74,1,2024-09-07 08:57:40:640,486295,486295,0,0,226451693146,2363168764,481427,3902,966,381,391681,0 74,2,2024-09-07 08:57:41:002,349779,349779,0,0,16200849,0,4253 74,3,2024-09-07 08:57:41:442,1,276,1,0,522,3606,276,0 75,0,2024-09-07 08:57:41:766,69238,0.5,68903,0.7,138066,0.5,184606,2.25 75,1,2024-09-07 08:57:41:585,485502,485502,0,0,226538102537,2365695884,480493,4345,664,380,391660,0 75,2,2024-09-07 08:57:41:353,351390,351390,0,0,16269309,0,4766 75,3,2024-09-07 08:57:41:071,1,276,8,0,702,3914,276,0 76,0,2024-09-07 08:57:40:606,66949,0.7,66291,0.8,133210,0.7,178170,2.50 76,1,2024-09-07 08:57:40:817,486463,486463,0,0,226997493543,2364741550,483351,2561,551,382,391692,0 76,2,2024-09-07 08:57:41:065,348855,348855,0,0,13276094,0,3784 76,3,2024-09-07 08:57:41:143,1,276,2,0,175,2709,276,0 77,0,2024-09-07 08:57:41:714,65963,0.5,66240,0.7,132330,0.4,175493,1.75 77,1,2024-09-07 08:57:40:835,487135,487135,0,0,226843601265,2365155686,483679,3199,257,381,391869,0 77,2,2024-09-07 08:57:41:287,347649,347649,0,0,14089773,0,3890 77,3,2024-09-07 08:57:41:097,1,276,0,0,382,2992,276,0 78,0,2024-09-07 08:57:41:743,71293,0.4,70725,0.6,142140,0.3,189012,2.00 78,1,2024-09-07 08:57:40:613,486977,486977,0,0,226191884495,2345895442,483909,2749,319,367,391670,0 78,2,2024-09-07 08:57:41:405,349033,349033,0,0,12597022,0,3855 78,3,2024-09-07 08:57:41:133,1,276,1,0,181,2586,276,0 79,0,2024-09-07 08:57:41:348,69340,0.4,71136,0.6,145261,0.4,188736,2.25 79,1,2024-09-07 08:57:40:571,488528,488528,0,0,227183194963,2347656936,485673,2518,337,369,391682,0 79,2,2024-09-07 08:57:41:073,353328,353328,0,0,12748762,0,3212 79,3,2024-09-07 08:57:40:750,1,276,8,0,418,3854,276,0 80,0,2024-09-07 08:57:41:088,67160,0.6,69021,0.7,132197,0.6,178406,2.00 80,1,2024-09-07 08:57:41:623,486557,486557,0,0,225833316921,2349052609,483567,2819,171,368,391791,0 80,2,2024-09-07 08:57:41:097,351366,351366,0,0,13165365,0,4433 80,3,2024-09-07 08:57:40:596,1,276,8,0,190,3643,276,0 81,0,2024-09-07 08:57:41:563,66551,0.5,68392,0.6,130749,0.4,176747,1.75 81,1,2024-09-07 08:57:41:657,485572,485572,0,0,225884718796,2360472081,481406,3691,475,382,391879,0 81,2,2024-09-07 08:57:41:132,346486,346486,0,0,13607009,0,3993 81,3,2024-09-07 08:57:41:121,1,276,11,0,374,3204,276,0 82,0,2024-09-07 08:57:41:554,69238,0.4,69668,0.6,139466,0.3,185654,1.75 82,1,2024-09-07 08:57:40:586,487249,487245,0,4,226966775894,2362227586,483941,2693,611,381,391768,4 82,2,2024-09-07 08:57:41:691,348826,348826,0,0,12885457,0,3986 82,3,2024-09-07 08:57:41:756,1,276,1,0,363,3018,276,0 83,0,2024-09-07 08:57:41:529,73643,0.5,73595,0.7,146193,0.5,194652,2.00 83,1,2024-09-07 08:57:40:554,486134,486134,0,0,226683237145,2362368469,482781,3107,246,382,391709,0 83,2,2024-09-07 08:57:40:768,352315,352315,0,0,13085837,0,3119 83,3,2024-09-07 08:57:40:755,1,276,8,0,250,3077,276,0 84,0,2024-09-07 08:57:41:779,67704,0.8,67610,0.9,135299,0.7,181249,2.25 84,1,2024-09-07 08:57:41:039,485828,485828,0,0,226002861724,2361314216,480936,4308,584,367,391967,0 84,2,2024-09-07 08:57:40:616,351608,351608,0,0,15046367,0,3801 84,3,2024-09-07 08:57:41:155,1,276,19,0,908,4121,276,0 85,0,2024-09-07 08:57:41:138,64535,0.6,64493,0.8,136835,0.6,176864,2.25 85,1,2024-09-07 08:57:40:584,485300,485300,0,0,225778967157,2383542718,478140,6042,1118,381,392006,0 85,2,2024-09-07 08:57:40:875,346988,346988,0,0,15661529,0,3656 85,3,2024-09-07 08:57:40:686,1,276,27,0,789,3604,276,0 86,0,2024-09-07 08:57:40:900,68192,0.6,70062,0.7,134184,0.6,181508,2.00 86,1,2024-09-07 08:57:40:825,486468,486468,0,0,226475234573,2367971409,481010,4626,832,366,391961,0 86,2,2024-09-07 08:57:40:859,346822,346821,1,0,16720919,0,5004 86,3,2024-09-07 08:57:40:586,1,276,1,0,286,3866,276,0 87,0,2024-09-07 08:57:41:303,73165,0.7,73162,0.8,146797,0.8,195919,2.25 87,1,2024-09-07 08:57:40:599,486162,486162,0,0,226367201224,2365977215,481392,4276,494,366,392012,0 87,2,2024-09-07 08:57:41:072,350617,350617,0,0,15149577,0,4045 87,3,2024-09-07 08:57:41:797,1,276,8,0,473,4013,276,0 88,0,2024-09-07 08:57:41:463,68605,0.5,68982,0.6,137627,0.5,183312,2.00 88,1,2024-09-07 08:57:40:571,484828,484828,0,0,225399186578,2364380425,478082,5179,1567,365,392084,0 88,2,2024-09-07 08:57:40:708,352013,352013,0,0,16996988,0,3583 88,3,2024-09-07 08:57:41:267,1,276,0,0,435,3222,276,0 89,0,2024-09-07 08:57:41:769,69509,0.5,67152,0.7,133308,0.4,180743,1.75 89,1,2024-09-07 08:57:40:575,484490,484490,0,0,226420190196,2385705262,477247,5978,1265,382,391866,0 89,2,2024-09-07 08:57:41:134,345862,345862,0,0,16058559,0,2910 89,3,2024-09-07 08:57:41:792,1,276,24,0,385,5509,276,0 90,0,2024-09-07 08:57:41:705,64651,0.4,66148,0.6,135348,0.4,176655,1.75 90,1,2024-09-07 08:57:40:591,486253,486253,0,0,225798874055,2363880262,481935,4039,279,381,391825,0 90,2,2024-09-07 08:57:41:409,346596,346596,0,0,17420472,0,3060 90,3,2024-09-07 08:57:40:939,1,276,1,0,200,2864,276,0 91,0,2024-09-07 08:57:40:940,72611,0.4,70506,0.6,147062,0.4,193712,1.75 91,1,2024-09-07 08:57:40:559,485077,485077,0,0,226212684560,2376826472,479014,5368,695,381,392047,0 91,2,2024-09-07 08:57:41:333,348827,348827,0,0,15602114,0,2896 91,3,2024-09-07 08:57:40:606,1,276,14,0,216,2519,276,0 92,0,2024-09-07 08:57:41:471,71082,0.5,72907,0.6,139172,0.5,188103,1.75 92,1,2024-09-07 08:57:40:587,486482,486482,0,0,226692370804,2361716773,483734,2339,409,382,392136,0 92,2,2024-09-07 08:57:41:360,353846,353846,0,0,13245456,0,2801 92,3,2024-09-07 08:57:41:011,1,276,0,0,167,2167,276,0 93,0,2024-09-07 08:57:41:002,67717,0.4,69432,0.6,132682,0.3,179879,1.75 93,1,2024-09-07 08:57:40:895,486828,486828,0,0,226610940214,2362799080,482263,3775,790,366,391776,0 93,2,2024-09-07 08:57:40:933,349358,349358,0,0,14128580,0,2797 93,3,2024-09-07 08:57:41:407,1,276,24,0,190,2791,276,0 94,0,2024-09-07 08:57:41:688,65692,0.3,66517,0.5,132524,0.3,175151,1.75 94,1,2024-09-07 08:57:40:563,486221,486221,0,0,227055647231,2369159229,482853,3188,180,381,391850,0 94,2,2024-09-07 08:57:40:803,347100,347100,0,0,13156256,0,2443 94,3,2024-09-07 08:57:41:690,1,276,8,0,264,3389,276,0 95,0,2024-09-07 08:57:41:349,69992,0.3,69836,0.5,140495,0.3,186436,1.50 95,1,2024-09-07 08:57:40:872,486945,486945,0,0,226598778361,2353368029,483254,3314,377,367,391713,0 95,2,2024-09-07 08:57:41:027,347399,347399,0,0,13469478,0,3308 95,3,2024-09-07 08:57:41:713,1,276,8,0,718,4655,276,0 96,0,2024-09-07 08:57:41:044,73026,0.4,73223,0.5,146288,0.3,193275,1.75 96,1,2024-09-07 08:57:41:589,486032,486032,0,0,226579037365,2364929354,482399,2898,735,384,391894,0 96,2,2024-09-07 08:57:41:274,352657,352657,0,0,14192026,0,4042 96,3,2024-09-07 08:57:41:148,1,276,2,0,411,3156,276,0 97,0,2024-09-07 08:57:41:331,67540,0.3,67269,0.5,135081,0.3,179391,1.75 97,1,2024-09-07 08:57:40:766,487956,487956,0,0,227289322076,2355538115,484902,2495,559,367,392140,0 97,2,2024-09-07 08:57:40:613,351639,351639,0,0,13511075,0,3036 97,3,2024-09-07 08:57:40:569,1,276,1,0,214,3118,276,0 98,0,2024-09-07 08:57:41:705,66898,0.3,66888,0.4,134412,0.2,178508,1.50 98,1,2024-09-07 08:57:40:570,487379,487379,0,0,226809274247,2358548615,485218,2060,101,382,391997,0 98,2,2024-09-07 08:57:40:769,346004,346004,0,0,13517227,0,3080 98,3,2024-09-07 08:57:40:703,1,276,1,0,840,4821,276,0 99,0,2024-09-07 08:57:41:472,68807,0.3,69185,0.4,137813,0.2,183955,1.50 99,1,2024-09-07 08:57:41:731,486851,486851,0,0,226345801959,2357552684,483045,3065,741,381,392069,0 99,2,2024-09-07 08:57:41:422,349992,349992,0,0,14478049,0,3106 99,3,2024-09-07 08:57:40:586,1,276,25,0,187,2258,276,0 100,0,2024-09-07 08:57:41:465,73969,0.8,73968,1.0,147719,0.9,198070,2.50 100,1,2024-09-07 08:57:40:565,483703,483703,0,0,225239784060,2380598185,476499,5864,1340,381,391989,0 100,2,2024-09-07 08:57:41:819,350328,350317,11,0,16094842,0,5417 100,3,2024-09-07 08:57:41:733,1,276,1,0,559,5012,276,0 101,0,2024-09-07 08:57:41:727,69523,1.5,67703,1.1,132919,1.3,182737,2.50 101,1,2024-09-07 08:57:40:550,483811,483811,0,0,225469500863,2377042708,475547,6285,1979,368,391769,0 101,2,2024-09-07 08:57:41:760,350227,350227,0,0,17655832,0,4644 101,3,2024-09-07 08:57:40:944,1,276,3,0,448,3343,276,0 102,0,2024-09-07 08:57:40:958,65645,0.7,68025,0.8,137039,0.6,179457,2.00 102,1,2024-09-07 08:57:41:149,485097,485097,0,0,226212071346,2375013290,479144,5112,841,369,391883,0 102,2,2024-09-07 08:57:41:737,346900,346846,54,0,15439419,0,6768 102,3,2024-09-07 08:57:41:629,1,276,3,0,410,2985,276,0 103,0,2024-09-07 08:57:41:606,69372,0.4,69241,0.6,130795,0.4,180153,1.75 103,1,2024-09-07 08:57:41:625,484336,484336,0,0,226065741977,2384163722,476917,5801,1618,381,391829,0 103,2,2024-09-07 08:57:40:597,346840,346840,0,0,15327754,0,2582 103,3,2024-09-07 08:57:40:765,1,276,10,0,916,3677,276,0 104,0,2024-09-07 08:57:41:033,73021,0.6,73390,0.9,145614,0.6,196517,2.25 104,1,2024-09-07 08:57:41:600,485964,485964,0,0,225963587730,2374994175,478803,5987,1174,365,392168,0 104,2,2024-09-07 08:57:41:666,348975,348975,0,0,16907868,0,3941 104,3,2024-09-07 08:57:41:417,1,276,8,0,1245,6679,276,0 105,0,2024-09-07 08:57:41:040,68369,1.1,66520,1.2,139082,1.4,183109,3.25 105,1,2024-09-07 08:57:40:556,486079,486079,0,0,226183570131,2371700511,479520,5578,981,366,391797,0 105,2,2024-09-07 08:57:41:322,351727,351727,0,0,16119523,0,3509 105,3,2024-09-07 08:57:41:307,1,276,1,0,399,4465,276,0 106,0,2024-09-07 08:57:40:943,64907,0.9,66487,1.0,136080,1.0,177640,2.75 106,1,2024-09-07 08:57:41:750,485751,485751,0,0,225486842923,2370656744,477760,7001,990,369,391767,0 106,2,2024-09-07 08:57:40:776,346187,346187,0,0,15251998,0,2795 106,3,2024-09-07 08:57:40:682,1,276,130,0,470,3911,276,0 107,0,2024-09-07 08:57:41:116,65912,0.7,65895,0.8,131744,0.7,175189,2.00 107,1,2024-09-07 08:57:40:603,484386,484386,0,0,225764666772,2374531153,478484,5218,684,381,392234,0 107,2,2024-09-07 08:57:41:302,346706,346705,1,0,16377033,0,5024 107,3,2024-09-07 08:57:41:757,1,276,8,0,353,3614,276,0 108,0,2024-09-07 08:57:41:783,70885,0.4,71269,0.5,141664,0.3,189750,1.75 108,1,2024-09-07 08:57:41:313,486592,486592,0,0,226736360877,2359542915,483362,2844,386,368,391857,0 108,2,2024-09-07 08:57:41:755,347507,347507,0,0,14674363,0,2647 108,3,2024-09-07 08:57:41:332,1,276,6,0,749,5651,276,0 109,0,2024-09-07 08:57:41:777,72079,0.4,71258,0.5,143045,0.3,190800,1.75 109,1,2024-09-07 08:57:40:602,484722,484722,0,0,227074446750,2377958490,480843,3362,517,383,392132,0 109,2,2024-09-07 08:57:40:921,350751,350751,0,0,14938414,0,3617 109,3,2024-09-07 08:57:41:141,1,276,1,0,249,3128,276,0 110,0,2024-09-07 08:57:41:764,67201,0.4,65456,0.6,136995,0.3,179112,1.75 110,1,2024-09-07 08:57:41:642,487892,487892,0,0,227151283751,2355932740,484740,2272,880,369,392045,0 110,2,2024-09-07 08:57:41:325,351167,351167,0,0,14351243,0,3264 110,3,2024-09-07 08:57:40:690,1,276,16,0,406,3550,276,0 111,0,2024-09-07 08:57:41:412,66976,0.3,66493,0.5,133049,0.3,178155,1.75 111,1,2024-09-07 08:57:41:000,487836,487836,0,0,227939446674,2360404965,485841,1659,336,382,391690,0 111,2,2024-09-07 08:57:41:116,346092,346092,0,0,13385090,0,2763 111,3,2024-09-07 08:57:40:913,1,276,24,0,379,3596,276,0 112,0,2024-09-07 08:57:40:919,69729,0.3,69488,0.4,139230,0.2,185579,1.50 112,1,2024-09-07 08:57:40:835,487696,487696,0,0,226517665169,2348228073,485091,2170,435,380,391624,0 112,2,2024-09-07 08:57:41:141,347610,347609,1,0,13959335,0,5036 112,3,2024-09-07 08:57:40:606,1,276,1,0,282,2773,276,0 113,0,2024-09-07 08:57:40:872,73252,0.3,73348,0.5,147275,0.2,196102,1.50 113,1,2024-09-07 08:57:41:690,488689,488689,0,0,227861930992,2349793104,486181,2018,490,366,391661,0 113,2,2024-09-07 08:57:41:304,353372,353372,0,0,12567396,0,3813 113,3,2024-09-07 08:57:40:686,1,276,2,0,340,3779,276,0 114,0,2024-09-07 08:57:40:880,68569,0.4,69013,0.5,136990,0.3,183264,1.75 114,1,2024-09-07 08:57:40:719,486886,486886,0,0,227067606714,2362437225,482058,3239,1589,381,391556,0 114,2,2024-09-07 08:57:40:879,351710,351709,1,0,13801219,0,5069 114,3,2024-09-07 08:57:41:279,1,276,2,0,395,2767,276,0 115,0,2024-09-07 08:57:40:562,66926,0.3,67243,0.4,134509,0.2,178913,1.50 115,1,2024-09-07 08:57:40:588,487060,487060,0,0,227113278405,2361060282,482655,3568,837,382,391639,0 115,2,2024-09-07 08:57:41:127,346909,346909,0,0,11926519,0,2346 115,3,2024-09-07 08:57:41:002,1,276,8,0,159,1581,276,0 116,0,2024-09-07 08:57:41:746,68091,0.7,67862,0.9,135744,0.8,182234,2.00 116,1,2024-09-07 08:57:40:835,484083,484083,0,0,225945014833,2386629319,477543,4483,2057,380,391782,0 116,2,2024-09-07 08:57:41:753,346801,346801,0,0,17474257,0,3529 116,3,2024-09-07 08:57:40:939,1,276,12,0,415,3845,276,0 117,0,2024-09-07 08:57:40:982,73703,0.6,73293,0.8,146609,0.6,196179,2.00 117,1,2024-09-07 08:57:41:578,485430,485430,0,0,225777096907,2362358172,480290,4591,549,370,392033,0 117,2,2024-09-07 08:57:41:120,353224,353224,0,0,14273373,0,3700 117,3,2024-09-07 08:57:41:062,1,276,2,0,490,4338,276,0 118,0,2024-09-07 08:57:41:801,66710,0.6,68540,0.7,139633,0.6,182637,2.00 118,1,2024-09-07 08:57:40:587,485542,485542,0,0,225822722466,2375007938,478328,5397,1817,366,391736,0 118,2,2024-09-07 08:57:41:599,350968,350968,0,0,15435565,0,2781 118,3,2024-09-07 08:57:41:768,1,276,1,0,235,3066,276,0 119,0,2024-09-07 08:57:41:331,67047,0.8,67525,0.8,135101,0.9,179669,2.25 119,1,2024-09-07 08:57:40:549,486429,486429,0,0,226794138088,2370377825,481039,4723,667,367,391780,0 119,2,2024-09-07 08:57:41:267,346748,346748,0,0,14413040,0,4174 119,3,2024-09-07 08:57:41:329,1,276,13,0,443,3704,276,0 120,0,2024-09-07 08:57:41:566,66223,0.5,66056,0.7,132334,0.4,177212,2.00 120,1,2024-09-07 08:57:40:858,486167,486167,0,0,226126891708,2369790847,481304,4511,352,368,391961,0 120,2,2024-09-07 08:57:40:776,347392,347391,1,0,17490763,0,5281 120,3,2024-09-07 08:57:41:307,1,276,2,0,241,3408,276,0 121,0,2024-09-07 08:57:41:693,72480,0.8,72467,0.9,145449,1.0,193818,2.00 121,1,2024-09-07 08:57:41:655,486043,486043,0,0,226283918476,2366029533,481324,4302,417,367,391840,0 121,2,2024-09-07 08:57:41:127,348097,348097,0,0,16668536,0,4127 121,3,2024-09-07 08:57:40:744,1,276,34,0,269,3226,276,0 122,0,2024-09-07 08:57:41:770,70286,0.8,68502,0.9,143613,1.0,188098,2.00 122,1,2024-09-07 08:57:40:866,485158,485158,0,0,226158699058,2373017519,478338,5849,971,366,392130,0 122,2,2024-09-07 08:57:41:320,351341,351268,73,0,19020293,0,5989 122,3,2024-09-07 08:57:40:615,1,276,123,0,411,5457,276,0 123,0,2024-09-07 08:57:41:013,67165,0.9,65675,0.8,136863,1.0,179045,2.25 123,1,2024-09-07 08:57:40:559,485559,485559,0,0,225824154552,2380672518,476417,7314,1828,369,392039,0 123,2,2024-09-07 08:57:41:022,346801,346800,1,0,15708737,0,5215 123,3,2024-09-07 08:57:41:133,1,276,12,0,168,3193,276,0 124,0,2024-09-07 08:57:40:939,68092,0.3,68091,0.5,128452,0.3,175849,1.50 124,1,2024-09-07 08:57:41:029,487586,487586,0,0,226698151704,2353004475,484672,2549,365,367,392178,0 124,2,2024-09-07 08:57:41:013,347435,347435,0,0,12853312,0,3101 124,3,2024-09-07 08:57:40:774,1,276,1,0,490,2930,276,0 125,0,2024-09-07 08:57:41:445,70077,0.3,69705,0.5,140398,0.3,186559,1.50 125,1,2024-09-07 08:57:40:857,485680,485680,0,0,226259605698,2357670144,482571,2713,396,383,391702,0 125,2,2024-09-07 08:57:41:122,348160,348160,0,0,14051762,0,4534 125,3,2024-09-07 08:57:41:132,1,276,22,0,709,3662,276,0 126,0,2024-09-07 08:57:41:421,72986,0.5,74944,0.6,143318,0.4,194186,1.75 126,1,2024-09-07 08:57:40:553,487823,487823,0,0,226930374573,2349292238,485099,2460,264,365,391987,0 126,2,2024-09-07 08:57:40:620,353811,353811,0,0,14328140,0,3186 126,3,2024-09-07 08:57:40:910,1,276,7,0,207,3781,276,0 127,0,2024-09-07 08:57:41:596,67278,0.4,67635,0.5,134853,0.3,179466,1.75 127,1,2024-09-07 08:57:40:569,487485,487485,0,0,226883531587,2348837978,484512,2820,153,365,391816,0 127,2,2024-09-07 08:57:40:648,351623,351623,0,0,12842068,0,2264 127,3,2024-09-07 08:57:41:276,1,276,7,0,968,3118,276,0 128,0,2024-09-07 08:57:41:602,67278,0.3,67223,0.4,134467,0.2,178954,1.50 128,1,2024-09-07 08:57:41:621,486828,486828,0,0,226990008933,2353302267,484443,2227,158,367,391798,0 128,2,2024-09-07 08:57:41:389,346987,346987,0,0,12882598,0,2434 128,3,2024-09-07 08:57:40:777,1,276,1,0,1082,5474,276,0 129,0,2024-09-07 08:57:41:012,69317,0.3,69085,0.4,138205,0.2,183677,1.50 129,1,2024-09-07 08:57:40:570,484469,484469,0,0,225615535732,2362618756,480246,3291,932,379,391835,0 129,2,2024-09-07 08:57:40:687,348541,348541,0,0,13835791,0,4031 129,3,2024-09-07 08:57:40:695,1,276,1,0,469,3799,276,0 130,0,2024-09-07 08:57:41:734,74851,0.5,74441,0.6,149462,0.6,199617,1.75 130,1,2024-09-07 08:57:40:591,486762,486762,0,0,226118618447,2350598159,484312,2371,79,381,391825,0 130,2,2024-09-07 08:57:41:126,353235,353235,0,0,13619283,0,4067 130,3,2024-09-07 08:57:41:295,1,276,2,0,450,3661,276,0 131,0,2024-09-07 08:57:41:928,68028,0.4,68583,0.5,137706,0.3,182615,1.75 131,1,2024-09-07 08:57:41:826,487335,487335,0,0,226533636032,2361099276,484197,2679,459,381,391865,0 131,2,2024-09-07 08:57:40:569,353419,353419,0,0,12479820,0,2415 131,3,2024-09-07 08:57:41:689,1,276,8,0,392,2852,276,0 132,0,2024-09-07 08:57:41:452,67091,0.5,68066,0.6,135492,0.4,179931,2.00 132,1,2024-09-07 08:57:40:576,484106,484106,0,0,225081197051,2374388786,476248,6400,1458,381,392097,0 132,2,2024-09-07 08:57:40:716,346207,346207,0,0,16001020,0,4606 132,3,2024-09-07 08:57:41:690,1,276,3,0,356,3752,276,0 133,0,2024-09-07 08:57:41:543,65592,0.4,67208,0.5,137621,0.3,178784,1.75 133,1,2024-09-07 08:57:40:651,485005,485005,0,0,225791270176,2378925778,478416,5628,961,383,391914,0 133,2,2024-09-07 08:57:41:096,346906,346906,0,0,17582816,0,4315 133,3,2024-09-07 08:57:41:301,1,276,42,0,276,2672,276,0 134,0,2024-09-07 08:57:40:981,73664,0.4,73652,0.6,147429,0.4,196602,2.00 134,1,2024-09-07 08:57:40:682,484864,484864,0,0,225892325634,2371967198,478077,5311,1476,366,391718,0 134,2,2024-09-07 08:57:41:757,349813,349813,0,0,14972699,0,3847 134,3,2024-09-07 08:57:40:750,1,276,1,0,739,3931,276,0 135,0,2024-09-07 08:57:41:180,66817,0.8,66820,0.9,141840,0.9,182927,2.25 135,1,2024-09-07 08:57:41:587,484683,484683,0,0,226153914555,2381788152,477442,6051,1190,380,391805,0 135,2,2024-09-07 08:57:40:691,352210,352210,0,0,16458610,0,3981 135,3,2024-09-07 08:57:41:002,1,276,15,0,299,2072,276,0 136,0,2024-09-07 08:57:41:678,67675,0.6,67583,0.7,134756,0.6,179626,2.25 136,1,2024-09-07 08:57:41:442,484827,484827,0,0,226009088360,2374268181,478819,5365,643,382,391685,0 136,2,2024-09-07 08:57:41:141,347929,347929,0,0,15362292,0,3506 136,3,2024-09-07 08:57:41:106,1,276,2,0,150,2346,276,0 137,0,2024-09-07 08:57:40:938,67938,0.5,65994,0.7,130100,0.5,176424,2.00 137,1,2024-09-07 08:57:40:576,485012,485012,0,0,225887234030,2370026541,477375,6045,1592,366,391708,0 137,2,2024-09-07 08:57:41:708,346628,346628,0,0,17342420,0,3185 137,3,2024-09-07 08:57:40:778,1,276,1,0,382,3376,276,0 138,0,2024-09-07 08:57:41:757,70415,0.8,70499,0.9,141633,1.0,188976,2.00 138,1,2024-09-07 08:57:41:689,484777,484777,0,0,226743917989,2381330402,477071,6188,1518,368,391954,0 138,2,2024-09-07 08:57:40:587,348064,348064,0,0,15979104,0,4988 138,3,2024-09-07 08:57:40:619,1,276,24,0,1160,3964,276,0 139,0,2024-09-07 08:57:41:362,70570,1.6,70880,1.2,141758,2.4,189145,2.50 139,1,2024-09-07 08:57:40:595,483405,483405,0,0,224656878766,2387133257,474168,6893,2344,381,391892,0 139,2,2024-09-07 08:57:40:702,350025,350025,0,0,16766224,0,3097 139,3,2024-09-07 08:57:41:668,1,276,99,0,257,3073,276,0 140,0,2024-09-07 08:57:41:591,67568,0.3,67063,0.5,134881,0.2,179410,1.75 140,1,2024-09-07 08:57:41:536,488634,488634,0,0,227663859950,2343819034,486651,1740,243,365,391606,0 140,2,2024-09-07 08:57:40:687,351175,351175,0,0,13071385,0,3388 140,3,2024-09-07 08:57:40:775,1,276,5,0,247,2260,276,0 141,0,2024-09-07 08:57:41:704,66778,0.3,68629,0.4,131216,0.2,177985,1.50 141,1,2024-09-07 08:57:40:865,488036,488036,0,0,227087042332,2353519955,485437,2191,408,379,391614,0 141,2,2024-09-07 08:57:41:689,346835,346835,0,0,12722818,0,2342 141,3,2024-09-07 08:57:41:049,1,276,1,0,202,2474,276,0 142,0,2024-09-07 08:57:41:323,70284,0.3,69691,0.4,138728,0.2,185966,1.50 142,1,2024-09-07 08:57:40:587,487034,487034,0,0,226166123916,2355744348,484192,2576,266,382,392102,0 142,2,2024-09-07 08:57:41:304,347614,347582,32,0,14586360,0,6028 142,3,2024-09-07 08:57:41:755,1,276,3,0,484,3635,276,0 143,0,2024-09-07 08:57:41:383,73675,0.5,73627,0.6,147725,0.5,196008,1.75 143,1,2024-09-07 08:57:40:556,487508,487508,0,0,226796132116,2349476025,484743,2669,96,367,391651,0 143,2,2024-09-07 08:57:40:774,352664,352664,0,0,13768441,0,2669 143,3,2024-09-07 08:57:41:140,1,276,10,0,303,3494,276,0 144,0,2024-09-07 08:57:41:499,65976,0.6,67770,0.8,138185,0.5,181429,2.00 144,1,2024-09-07 08:57:40:564,484607,484607,0,0,225539973203,2365559449,480445,3234,928,381,391649,0 144,2,2024-09-07 08:57:41:756,352372,352372,0,0,13158235,0,3473 144,3,2024-09-07 08:57:41:742,1,276,0,0,249,3070,276,0 145,0,2024-09-07 08:57:41:396,64608,0.5,64595,0.8,137116,0.5,176777,2.25 145,1,2024-09-07 08:57:40:613,484248,484248,0,0,225670918201,2371427293,478432,4992,824,382,391698,0 145,2,2024-09-07 08:57:41:430,345174,345174,0,0,15546409,0,3903 145,3,2024-09-07 08:57:40:898,1,276,0,0,622,4189,276,0 146,0,2024-09-07 08:57:41:674,68199,0.5,67679,0.7,136216,0.5,181467,2.00 146,1,2024-09-07 08:57:41:592,485462,485462,0,0,226232971632,2381070118,477030,6585,1847,368,391770,0 146,2,2024-09-07 08:57:41:720,347095,347095,0,0,15661085,0,2730 146,3,2024-09-07 08:57:41:274,1,276,1,0,1520,5999,276,0 147,0,2024-09-07 08:57:41:699,73483,0.5,73339,0.7,145885,0.5,195816,2.00 147,1,2024-09-07 08:57:41:400,487430,487430,0,0,226910434650,2357769952,483315,3528,587,368,391791,0 147,2,2024-09-07 08:57:41:014,352799,352799,0,0,13262489,0,2789 147,3,2024-09-07 08:57:40:933,1,276,10,0,730,4072,276,0 0,0,2024-09-07 08:57:51:709,64769,0.4,64830,0.7,137377,0.4,178155,1.75 0,1,2024-09-07 08:57:50:816,487885,487885,0,0,227320155118,2376181383,484397,3279,209,370,391896,0 0,2,2024-09-07 08:57:51:076,349238,349238,0,0,13859463,0,4480 0,3,2024-09-07 08:57:50:976,1,277,21,0,319,3563,277,0 1,0,2024-09-07 08:57:51:781,73097,0.7,72542,0.9,145984,0.8,194804,2.00 1,1,2024-09-07 08:57:50:593,487574,487574,0,0,226353544207,2369712024,483035,3485,1054,371,391857,0 1,2,2024-09-07 08:57:50:651,350125,350125,0,0,13183224,0,3267 1,3,2024-09-07 08:57:51:338,1,277,0,0,262,3235,277,0 2,0,2024-09-07 08:57:51:569,70723,0.7,70944,0.8,140519,0.9,188232,2.00 2,1,2024-09-07 08:57:50:860,488612,488612,0,0,228068988706,2367798223,486416,1976,220,380,391745,0 2,2,2024-09-07 08:57:51:268,353903,353903,0,0,13471823,0,3594 2,3,2024-09-07 08:57:50:690,1,277,5,0,357,2569,277,0 3,0,2024-09-07 08:57:51:751,67458,0.4,67406,0.6,134669,0.4,178958,2.00 3,1,2024-09-07 08:57:51:618,487688,487688,0,0,227131448485,2363696826,483843,3383,462,380,391556,0 3,2,2024-09-07 08:57:51:143,349588,349565,23,0,13653254,0,5851 3,3,2024-09-07 08:57:51:756,1,277,11,0,103,1627,277,0 4,0,2024-09-07 08:57:51:838,64033,0.3,65902,0.5,134033,0.3,175325,1.75 4,1,2024-09-07 08:57:50:661,487172,487172,0,0,226423961595,2378745411,481965,4284,923,371,391846,0 4,2,2024-09-07 08:57:51:020,347049,347049,0,0,16464902,0,4528 4,3,2024-09-07 08:57:51:036,1,277,5,0,448,4207,277,0 5,0,2024-09-07 08:57:51:384,70288,0.4,70591,0.5,140240,0.4,187147,1.75 5,1,2024-09-07 08:57:50:767,487127,487127,0,0,226768440696,2384286281,481032,4795,1300,368,392005,0 5,2,2024-09-07 08:57:51:839,348056,348056,0,0,15109184,0,2432 5,3,2024-09-07 08:57:51:753,1,277,1,0,457,4234,277,0 6,0,2024-09-07 08:57:50:921,73355,0.5,72847,0.7,145513,0.5,194233,2.00 6,1,2024-09-07 08:57:50:934,487551,487551,0,0,227005370930,2371910748,482357,4280,914,379,391694,0 6,2,2024-09-07 08:57:51:120,353006,353006,0,0,14950071,0,4816 6,3,2024-09-07 08:57:51:274,1,277,1,0,340,3220,277,0 7,0,2024-09-07 08:57:51:537,67166,0.5,67494,0.7,134440,0.5,178751,2.00 7,1,2024-09-07 08:57:50:850,486850,486850,0,0,226914454412,2381915996,480242,5498,1110,382,391747,0 7,2,2024-09-07 08:57:50:783,351534,351534,0,0,14692570,0,4791 7,3,2024-09-07 08:57:50:851,1,277,39,0,398,3295,277,0 8,0,2024-09-07 08:57:51:346,67356,0.4,67147,0.5,134162,0.3,179144,1.75 8,1,2024-09-07 08:57:51:031,486137,486137,0,0,226670091653,2390384670,477131,6805,2201,366,392144,0 8,2,2024-09-07 08:57:50:802,343547,343547,0,0,17680103,0,3220 8,3,2024-09-07 08:57:50:606,1,277,84,0,538,4699,277,0 9,0,2024-09-07 08:57:51:154,69339,0.3,67257,0.5,140640,0.3,184546,1.75 9,1,2024-09-07 08:57:50:565,486098,486098,0,0,226469468408,2385201703,479241,5309,1548,370,392001,0 9,2,2024-09-07 08:57:51:120,348492,348492,0,0,15533332,0,3360 9,3,2024-09-07 08:57:51:755,1,277,10,0,496,4398,277,0 10,0,2024-09-07 08:57:51:602,74707,0.3,74114,0.5,149221,0.3,198658,1.75 10,1,2024-09-07 08:57:50:586,486508,486508,0,0,227142384939,2380294431,479649,5889,970,381,391741,0 10,2,2024-09-07 08:57:50:762,353047,353047,0,0,17220312,0,4264 10,3,2024-09-07 08:57:50:871,1,277,1,0,177,2286,277,0 11,0,2024-09-07 08:57:51:017,68067,0.5,66082,0.7,138113,0.4,183218,2.00 11,1,2024-09-07 08:57:50:573,487424,487424,0,0,226861536760,2386460026,478435,6762,2227,383,391573,0 11,2,2024-09-07 08:57:51:123,352488,352488,0,0,15837184,0,4130 11,3,2024-09-07 08:57:51:301,1,277,8,0,843,4340,277,0 12,0,2024-09-07 08:57:50:959,68043,0.3,67931,0.5,135876,0.3,180409,1.75 12,1,2024-09-07 08:57:50:949,487658,487658,0,0,227083202623,2365508128,484044,3192,422,370,391870,0 12,2,2024-09-07 08:57:51:541,347768,347768,0,0,14686172,0,3469 12,3,2024-09-07 08:57:51:058,1,277,16,0,386,4508,277,0 13,0,2024-09-07 08:57:51:537,67976,0.3,67805,0.5,135535,0.3,180531,1.50 13,1,2024-09-07 08:57:51:537,487693,487693,0,0,226623600480,2374944657,484112,3039,542,382,391740,0 13,2,2024-09-07 08:57:50:609,349953,349953,0,0,13858121,0,3287 13,3,2024-09-07 08:57:51:767,1,277,60,0,467,4097,277,0 14,0,2024-09-07 08:57:50:572,74072,0.3,74712,0.5,147782,0.3,197130,1.75 14,1,2024-09-07 08:57:51:561,490747,490747,0,0,228111899428,2357987231,487907,2650,190,364,391571,0 14,2,2024-09-07 08:57:50:764,351651,351651,0,0,13937895,0,2896 14,3,2024-09-07 08:57:51:120,1,277,9,0,1168,3783,277,0 15,0,2024-09-07 08:57:51:566,69305,0.5,69460,0.7,138746,0.5,184673,2.00 15,1,2024-09-07 08:57:51:607,488737,488737,0,0,227244889848,2361388128,486046,2513,178,381,391619,0 15,2,2024-09-07 08:57:51:001,354602,354602,0,0,11973776,0,3043 15,3,2024-09-07 08:57:51:464,1,277,10,0,1126,5495,277,0 16,0,2024-09-07 08:57:51:169,67556,0.7,67726,0.8,134968,0.7,179719,2.25 16,1,2024-09-07 08:57:50:568,488682,488682,0,0,227021639621,2370534129,485028,3353,301,370,391756,0 16,2,2024-09-07 08:57:51:485,347939,347939,0,0,14482607,0,4719 16,3,2024-09-07 08:57:51:166,1,277,16,0,317,3810,277,0 17,0,2024-09-07 08:57:51:792,68219,0.4,66629,0.7,130243,0.4,177188,1.75 17,1,2024-09-07 08:57:50:574,487203,487203,0,0,226026874207,2371691976,482162,3935,1106,368,391899,0 17,2,2024-09-07 08:57:51:686,351447,351447,0,0,14204827,0,2857 17,3,2024-09-07 08:57:50:585,1,277,8,0,268,4347,277,0 18,0,2024-09-07 08:57:50:951,70678,0.6,71034,0.7,141778,0.5,189944,2.25 18,1,2024-09-07 08:57:51:666,488249,488249,0,0,227586620185,2356996487,485657,2350,242,367,391649,0 18,2,2024-09-07 08:57:51:756,351377,351377,0,0,13633718,0,3541 18,3,2024-09-07 08:57:50:902,1,277,17,0,163,2626,277,0 19,0,2024-09-07 08:57:51:543,71165,0.6,71687,0.8,142321,0.6,189106,2.25 19,1,2024-09-07 08:57:50:569,488842,488842,0,0,227809872112,2364893631,484170,3885,787,367,391777,0 19,2,2024-09-07 08:57:51:756,355158,355158,0,0,12498926,0,3988 19,3,2024-09-07 08:57:51:143,1,277,12,0,524,2096,277,0 20,0,2024-09-07 08:57:51:393,67137,0.6,67105,0.8,134514,0.7,179234,2.25 20,1,2024-09-07 08:57:50:577,487275,487275,0,0,227356146242,2377399102,483292,3583,400,369,391886,0 20,2,2024-09-07 08:57:50:937,351540,351540,0,0,14308938,0,3721 20,3,2024-09-07 08:57:50:601,1,277,6,0,414,4860,277,0 21,0,2024-09-07 08:57:51:143,67001,0.5,67086,0.6,133983,0.4,177340,2.00 21,1,2024-09-07 08:57:51:606,485768,485768,0,0,226231950366,2382947950,479109,5034,1625,368,391962,0 21,2,2024-09-07 08:57:51:074,346498,346498,0,0,16583355,0,3747 21,3,2024-09-07 08:57:51:502,1,277,10,0,103,2737,277,0 22,0,2024-09-07 08:57:51:720,69752,0.5,69867,0.7,139610,0.5,185817,2.00 22,1,2024-09-07 08:57:51:027,486893,486893,0,0,226329018869,2381075871,479335,5891,1667,382,391667,0 22,2,2024-09-07 08:57:50:763,348401,348401,0,0,14362587,0,3134 22,3,2024-09-07 08:57:51:066,1,277,127,0,228,2523,277,0 23,0,2024-09-07 08:57:51:375,73331,0.6,73186,0.7,146609,0.6,195083,2.25 23,1,2024-09-07 08:57:51:003,487949,487949,0,0,227724250792,2390231232,480045,5238,2666,365,391690,0 23,2,2024-09-07 08:57:51:093,354130,354130,0,0,13800002,0,3010 23,3,2024-09-07 08:57:51:755,1,277,12,0,645,3464,277,0 24,0,2024-09-07 08:57:50:847,68878,0.4,68782,0.6,138042,0.4,183116,1.75 24,1,2024-09-07 08:57:50:605,487196,487196,0,0,226529937580,2375296290,481431,4281,1484,368,391987,0 24,2,2024-09-07 08:57:51:077,351407,351407,0,0,16927837,0,3607 24,3,2024-09-07 08:57:51:695,1,277,21,0,468,4036,277,0 25,0,2024-09-07 08:57:51:368,68852,0.4,67052,0.6,131802,0.4,179775,2.00 25,1,2024-09-07 08:57:50:571,487612,487612,0,0,227402389313,2381810398,482167,4661,784,371,391928,0 25,2,2024-09-07 08:57:51:625,346190,346190,0,0,16916766,0,3978 25,3,2024-09-07 08:57:51:010,1,277,9,0,255,2907,277,0 26,0,2024-09-07 08:57:51:724,68254,0.4,66713,0.6,139909,0.3,182477,1.75 26,1,2024-09-07 08:57:51:544,487502,487502,0,0,226879701187,2379525752,479815,6439,1248,381,391748,0 26,2,2024-09-07 08:57:50:865,348819,348819,0,0,16800582,0,2809 26,3,2024-09-07 08:57:51:712,1,277,26,0,796,3513,277,0 27,0,2024-09-07 08:57:51:734,74135,0.4,74327,0.6,146985,0.3,196817,2.00 27,1,2024-09-07 08:57:51:679,489446,489446,0,0,227970191302,2371721000,485563,3290,593,381,391558,0 27,2,2024-09-07 08:57:50:871,350565,350500,65,0,17048110,0,5699 27,3,2024-09-07 08:57:51:017,1,277,12,0,564,2927,277,0 28,0,2024-09-07 08:57:51:393,69026,0.4,68808,0.6,138285,0.4,184401,2.00 28,1,2024-09-07 08:57:50:797,489053,489053,0,0,228277136993,2373706571,486134,2447,472,383,391646,0 28,2,2024-09-07 08:57:51:765,353117,353117,0,0,13624271,0,2915 28,3,2024-09-07 08:57:51:788,1,277,2,0,502,2843,277,0 29,0,2024-09-07 08:57:51:363,69524,0.4,67930,0.6,132805,0.3,181245,1.75 29,1,2024-09-07 08:57:51:564,489987,489987,0,0,227933925676,2358173957,486711,2710,566,369,391753,0 29,2,2024-09-07 08:57:50:877,347106,347106,0,0,12491935,0,4018 29,3,2024-09-07 08:57:50:993,1,277,27,0,284,2245,277,0 30,0,2024-09-07 08:57:51:466,66716,0.4,64737,0.6,135404,0.4,177575,2.00 30,1,2024-09-07 08:57:50:570,489961,489961,0,0,228438735380,2364797256,487625,2042,294,382,391672,0 30,2,2024-09-07 08:57:51:274,349406,349406,0,0,12558836,0,3161 30,3,2024-09-07 08:57:50:586,1,277,72,0,519,2606,277,0 31,0,2024-09-07 08:57:51:760,72651,0.4,72962,0.5,146109,0.3,194878,1.75 31,1,2024-09-07 08:57:50:569,491398,491398,0,0,228687765258,2341648163,489986,1192,220,356,391712,0 31,2,2024-09-07 08:57:51:288,350555,350555,0,0,14467711,0,3525 31,3,2024-09-07 08:57:51:718,1,277,8,0,220,2120,277,0 32,0,2024-09-07 08:57:51:492,70544,0.3,70902,0.5,141899,0.3,188560,1.75 32,1,2024-09-07 08:57:50:818,489217,489217,0,0,227711495538,2361565933,486960,1978,279,381,391622,0 32,2,2024-09-07 08:57:50:944,353807,353807,0,0,12481691,0,3155 32,3,2024-09-07 08:57:51:028,1,277,1,0,227,2150,277,0 33,0,2024-09-07 08:57:51:518,67771,0.3,67237,0.5,135131,0.2,179907,1.75 33,1,2024-09-07 08:57:50:617,490063,490063,0,0,228851006304,2363074126,487425,2464,174,369,391730,0 33,2,2024-09-07 08:57:50:764,350788,350755,33,0,13903899,0,7012 33,3,2024-09-07 08:57:50:901,1,277,54,0,329,3178,277,0 34,0,2024-09-07 08:57:50:943,66042,0.3,68071,0.4,130398,0.2,174695,1.50 34,1,2024-09-07 08:57:51:043,490626,490626,0,0,228977657880,2353687065,489495,1124,7,367,391562,0 34,2,2024-09-07 08:57:50:772,348696,348696,0,0,13317552,0,3577 34,3,2024-09-07 08:57:51:695,1,277,1,0,299,2180,277,0 35,0,2024-09-07 08:57:50:866,69716,0.3,70051,0.5,141046,0.2,187865,1.50 35,1,2024-09-07 08:57:51:073,489203,489203,0,0,226881462926,2352878776,485775,2648,780,384,391589,0 35,2,2024-09-07 08:57:51:583,349960,349960,0,0,13505298,0,2653 35,3,2024-09-07 08:57:50:916,1,277,7,0,418,3439,277,0 36,0,2024-09-07 08:57:51:520,73128,0.5,73066,0.7,146239,0.5,193854,2.25 36,1,2024-09-07 08:57:50:603,488423,488423,0,0,226851755925,2371506693,482169,4672,1582,366,391759,0 36,2,2024-09-07 08:57:51:753,353147,353147,0,0,15135082,0,3875 36,3,2024-09-07 08:57:50:868,1,277,8,0,416,4304,277,0 37,0,2024-09-07 08:57:51:382,67085,0.5,67073,0.7,134404,0.5,179694,2.00 37,1,2024-09-07 08:57:50:574,487463,487456,0,7,226197030501,2366967139,481406,3980,2070,365,391560,0 37,2,2024-09-07 08:57:51:145,350849,350834,15,0,15292683,0,5815 37,3,2024-09-07 08:57:51:787,1,277,1,0,888,4658,277,0 38,0,2024-09-07 08:57:51:468,66617,0.5,64762,0.6,135421,0.4,177121,2.00 38,1,2024-09-07 08:57:51:605,488758,488758,0,0,227696939694,2373484864,483402,4680,676,368,391821,0 38,2,2024-09-07 08:57:50:760,346593,346546,47,0,15965735,0,6710 38,3,2024-09-07 08:57:51:000,1,277,1,0,689,3632,277,0 39,0,2024-09-07 08:57:51:761,70833,0.6,69375,0.7,134882,0.5,184840,2.00 39,1,2024-09-07 08:57:50:726,487962,487962,0,0,227477800249,2377356014,481357,5419,1186,365,391594,0 39,2,2024-09-07 08:57:51:465,348771,348771,0,0,13970173,0,2689 39,3,2024-09-07 08:57:50:715,1,277,10,0,324,3564,277,0 40,0,2024-09-07 08:57:51:501,73777,0.8,74550,1.0,148851,0.8,198386,3.00 40,1,2024-09-07 08:57:50:580,488229,488229,0,0,227178884619,2378214795,481079,5707,1443,368,391651,0 40,2,2024-09-07 08:57:51:306,353243,353242,1,0,17040640,0,5137 40,3,2024-09-07 08:57:51:148,1,277,3,0,398,3298,277,0 41,0,2024-09-07 08:57:51:112,67881,1.8,69437,1.4,132547,2.9,181127,3.50 41,1,2024-09-07 08:57:50:769,487582,487582,0,0,227145156722,2373205354,481863,5084,635,370,391742,0 41,2,2024-09-07 08:57:50:762,351318,351318,0,0,16286721,0,3356 41,3,2024-09-07 08:57:51:683,1,277,26,0,366,3090,277,0 42,0,2024-09-07 08:57:51:489,66833,0.8,66920,1.0,134181,0.8,177145,2.50 42,1,2024-09-07 08:57:51:460,486906,486906,0,0,227237699415,2386920572,479315,6079,1512,380,391675,0 42,2,2024-09-07 08:57:51:140,347782,347782,0,0,15472213,0,3568 42,3,2024-09-07 08:57:51:009,1,277,1,0,446,2395,277,0 43,0,2024-09-07 08:57:50:916,67225,0.6,65491,0.9,136948,0.6,180044,2.00 43,1,2024-09-07 08:57:50:578,487934,487934,0,0,227694997044,2378193326,481135,5458,1341,366,391696,0 43,2,2024-09-07 08:57:51:736,349009,349009,0,0,15450590,0,3812 43,3,2024-09-07 08:57:51:754,1,277,8,0,467,3528,277,0 44,0,2024-09-07 08:57:50:905,73865,0.4,74308,0.6,148054,0.3,197299,1.75 44,1,2024-09-07 08:57:50:569,490085,490085,0,0,227099809239,2341523878,487522,2131,432,356,391809,0 44,2,2024-09-07 08:57:51:268,351294,351294,0,0,12739574,0,2231 44,3,2024-09-07 08:57:51:112,1,277,0,0,817,3177,277,0 45,0,2024-09-07 08:57:51:784,68299,0.6,66778,0.8,140223,0.6,184607,2.00 45,1,2024-09-07 08:57:51:011,489247,489247,0,0,227831668798,2358717173,487590,1645,12,382,391917,0 45,2,2024-09-07 08:57:51:274,354049,354049,0,0,13391867,0,3596 45,3,2024-09-07 08:57:50:934,1,277,1,0,271,2910,277,0 46,0,2024-09-07 08:57:50:950,67077,0.5,66957,0.7,134109,0.5,177678,2.00 46,1,2024-09-07 08:57:50:578,490728,490728,0,0,227807738454,2349359135,488371,2083,274,366,391539,0 46,2,2024-09-07 08:57:50:598,349285,349285,0,0,12669211,0,2920 46,3,2024-09-07 08:57:51:139,1,277,0,0,908,4114,277,0 47,0,2024-09-07 08:57:51:111,66538,0.4,66447,0.6,133459,0.3,176661,1.75 47,1,2024-09-07 08:57:50:567,489989,489989,0,0,228370745523,2355185566,487619,2236,134,366,391641,0 47,2,2024-09-07 08:57:50:918,351115,351115,0,0,12870605,0,2558 47,3,2024-09-07 08:57:51:119,1,277,8,0,529,2479,277,0 48,0,2024-09-07 08:57:51:589,71948,0.3,71499,0.4,142719,0.2,190923,1.50 48,1,2024-09-07 08:57:51:033,489138,489138,0,0,228239847249,2369464137,485967,2871,300,384,391710,0 48,2,2024-09-07 08:57:50:705,350226,350226,0,0,12570947,0,3031 48,3,2024-09-07 08:57:50:755,1,277,4,0,339,2341,277,0 49,0,2024-09-07 08:57:51:712,73627,0.4,72180,0.5,140107,0.3,191452,1.75 49,1,2024-09-07 08:57:51:028,488058,488058,0,0,226648918174,2361137653,484169,2708,1181,382,391809,0 49,2,2024-09-07 08:57:51:801,354682,354682,0,0,13347261,0,3900 49,3,2024-09-07 08:57:51:464,1,277,0,0,408,2917,277,0 50,0,2024-09-07 08:57:51:511,67309,0.3,66756,0.5,134198,0.2,178932,1.75 50,1,2024-09-07 08:57:51:012,489713,489713,0,0,229015130809,2367788586,486581,2822,310,368,391530,0 50,2,2024-09-07 08:57:51:067,351738,351738,0,0,12324353,0,2263 50,3,2024-09-07 08:57:51:295,1,277,87,0,335,2359,277,0 51,0,2024-09-07 08:57:51:691,68536,0.3,66997,0.4,130690,0.2,177669,1.50 51,1,2024-09-07 08:57:51:680,490554,490554,0,0,229020482897,2362858677,487735,1879,940,365,391706,0 51,2,2024-09-07 08:57:51:318,348088,348088,0,0,11586402,0,3337 51,3,2024-09-07 08:57:51:027,1,277,12,0,678,2166,277,0 52,0,2024-09-07 08:57:51:505,70374,0.4,69932,0.6,140183,0.4,186323,2.00 52,1,2024-09-07 08:57:50:645,487797,487797,0,0,226959529103,2377970383,480475,6068,1254,368,391722,0 52,2,2024-09-07 08:57:51:755,346695,346657,38,0,16162925,0,6742 52,3,2024-09-07 08:57:50:692,1,277,1,0,1782,4609,277,0 53,0,2024-09-07 08:57:51:732,73099,0.7,71079,0.8,148596,0.8,194576,2.50 53,1,2024-09-07 08:57:50:771,486824,486824,0,0,226695314768,2381318347,478763,5612,2449,367,391702,0 53,2,2024-09-07 08:57:51:301,353846,353846,0,0,13544775,0,2727 53,3,2024-09-07 08:57:50:704,1,277,1,0,308,2666,277,0 54,0,2024-09-07 08:57:51:615,67363,0.7,68017,0.8,134605,0.5,180398,2.50 54,1,2024-09-07 08:57:50:593,488239,488239,0,0,227997970272,2371171230,483138,4502,599,367,391659,0 54,2,2024-09-07 08:57:50:864,352688,352682,6,0,15588756,0,5382 54,3,2024-09-07 08:57:50:763,1,277,1,0,676,4515,277,0 55,0,2024-09-07 08:57:51:763,64817,0.6,67115,0.8,134912,0.5,176170,2.50 55,1,2024-09-07 08:57:50:770,487680,487680,0,0,227283671098,2367503086,481500,5272,908,365,391731,0 55,2,2024-09-07 08:57:50:740,346323,346323,0,0,14982912,0,3563 55,3,2024-09-07 08:57:50:680,1,277,8,0,304,3006,277,0 56,0,2024-09-07 08:57:51:625,70046,1.1,66091,1.1,136065,1.6,182272,2.50 56,1,2024-09-07 08:57:50:577,486174,486174,0,0,226664571985,2395219940,478394,6246,1534,381,391729,0 56,2,2024-09-07 08:57:51:307,348977,348977,0,0,16048928,0,3567 56,3,2024-09-07 08:57:51:064,1,277,1,0,705,3923,277,0 57,0,2024-09-07 08:57:50:939,73110,1.2,72957,1.1,145937,1.7,195348,2.75 57,1,2024-09-07 08:57:50:987,487500,487500,0,0,227357138919,2380999319,481745,5069,686,367,392032,0 57,2,2024-09-07 08:57:51:331,352771,352771,0,0,17477918,0,3317 57,3,2024-09-07 08:57:51:756,1,277,2,0,359,3578,277,0 58,0,2024-09-07 08:57:50:569,67725,1.0,65813,1.0,137826,1.4,180924,2.50 58,1,2024-09-07 08:57:50:578,488573,488570,0,3,227452672319,2377682864,481780,5901,889,367,391603,3 58,2,2024-09-07 08:57:51:075,353188,353188,0,0,15786024,0,2549 58,3,2024-09-07 08:57:51:068,1,277,1,0,1043,3093,277,0 59,0,2024-09-07 08:57:51:742,67330,0.8,67103,1.0,133841,0.8,177866,2.75 59,1,2024-09-07 08:57:50:812,487708,487708,0,0,227175339559,2376725859,481283,5142,1283,369,391525,0 59,2,2024-09-07 08:57:50:593,348973,348973,0,0,13928679,0,2604 59,3,2024-09-07 08:57:51:737,1,277,8,0,1015,3867,277,0 60,0,2024-09-07 08:57:51:728,66762,0.4,66657,0.6,134505,0.3,178081,1.75 60,1,2024-09-07 08:57:50:773,489704,489704,0,0,228575887390,2366927276,487247,1939,518,370,392031,0 60,2,2024-09-07 08:57:51:147,349541,349541,0,0,15115356,0,3811 60,3,2024-09-07 08:57:51:274,1,277,3,0,124,2588,277,0 61,0,2024-09-07 08:57:51:502,72828,0.5,73256,0.7,145831,0.5,194817,1.75 61,1,2024-09-07 08:57:50:774,488021,488021,0,0,227323888451,2375823830,483400,3916,705,382,391880,0 61,2,2024-09-07 08:57:51:121,351333,351333,0,0,13761001,0,2780 61,3,2024-09-07 08:57:51:703,1,277,16,0,397,3614,277,0 62,0,2024-09-07 08:57:51:711,71168,0.6,72590,0.7,138336,0.6,188294,2.00 62,1,2024-09-07 08:57:51:119,490961,490955,0,6,229469356796,2365072433,488374,2462,119,365,391715,6 62,2,2024-09-07 08:57:51:654,352627,352626,1,0,15086183,0,5555 62,3,2024-09-07 08:57:51:146,1,277,6,0,287,1877,277,0 63,0,2024-09-07 08:57:51:488,67455,0.5,67621,0.6,135184,0.4,179512,1.75 63,1,2024-09-07 08:57:50:804,489908,489902,0,6,227941014879,2359110932,488167,1679,56,381,391677,6 63,2,2024-09-07 08:57:50:763,350136,350136,0,0,12452292,0,2674 63,3,2024-09-07 08:57:51:735,1,277,8,0,667,3094,277,0 64,0,2024-09-07 08:57:51:523,65740,0.4,65622,0.6,131331,0.4,174603,1.75 64,1,2024-09-07 08:57:50:756,488503,488503,0,0,227772714194,2373199416,483963,3124,1416,370,391783,0 64,2,2024-09-07 08:57:51:145,351620,351601,19,0,13414103,0,6121 64,3,2024-09-07 08:57:51:140,1,277,9,0,265,2674,277,0 65,0,2024-09-07 08:57:51:715,69665,0.6,70180,0.7,139836,0.6,186868,2.00 65,1,2024-09-07 08:57:50:875,487608,487608,0,0,226792564263,2371002244,483929,3233,446,382,391901,0 65,2,2024-09-07 08:57:51:708,349972,349972,0,0,14836600,0,3367 65,3,2024-09-07 08:57:51:706,1,277,3,0,163,2680,277,0 66,0,2024-09-07 08:57:51:784,72633,0.5,72441,0.7,145543,0.5,193004,2.00 66,1,2024-09-07 08:57:51:295,489185,489185,0,0,227793547458,2366712044,486329,2611,245,380,391653,0 66,2,2024-09-07 08:57:51:143,355374,355374,0,0,13989187,0,4956 66,3,2024-09-07 08:57:51:087,1,277,1,0,291,2798,277,0 67,0,2024-09-07 08:57:51:506,67960,0.6,67226,0.7,135390,0.6,179926,2.00 67,1,2024-09-07 08:57:50:769,488784,488783,0,1,228380302290,2379118957,484930,3104,749,380,391787,1 67,2,2024-09-07 08:57:50:603,352927,352927,0,0,12903457,0,2889 67,3,2024-09-07 08:57:51:753,1,277,9,0,338,2487,277,0 68,0,2024-09-07 08:57:50:570,67280,0.6,67101,0.7,133863,0.5,178815,2.00 68,1,2024-09-07 08:57:50:578,487547,487547,0,0,226483002632,2372608549,483288,3088,1171,381,391953,0 68,2,2024-09-07 08:57:51:045,346160,346095,65,0,16995405,0,6698 68,3,2024-09-07 08:57:50:732,1,277,22,0,417,3170,277,0 69,0,2024-09-07 08:57:51:733,68712,0.7,69150,0.8,137862,0.8,183195,2.25 69,1,2024-09-07 08:57:51:017,486458,486458,0,0,226534273347,2389608241,480132,4741,1585,384,391994,0 69,2,2024-09-07 08:57:51:733,348600,348600,0,0,17096022,0,3722 69,3,2024-09-07 08:57:50:762,1,277,93,0,698,4085,277,0 70,0,2024-09-07 08:57:51:539,73863,1.0,74025,1.1,148677,0.8,196960,2.50 70,1,2024-09-07 08:57:50:802,489434,489434,0,0,228506212770,2372865593,485223,3675,536,366,391725,0 70,2,2024-09-07 08:57:51:329,352619,352619,0,0,15628485,0,4044 70,3,2024-09-07 08:57:50:748,1,277,3,0,854,3180,277,0 71,0,2024-09-07 08:57:51:369,67865,1.1,67785,1.1,135874,1.4,181721,2.75 71,1,2024-09-07 08:57:51:599,487704,487704,0,0,226897041231,2367115062,482202,4860,642,368,391738,0 71,2,2024-09-07 08:57:51:069,352999,352999,0,0,15942619,0,4042 71,3,2024-09-07 08:57:51:754,1,277,16,0,644,3827,277,0 72,0,2024-09-07 08:57:51:030,69788,0.7,68288,0.8,132983,0.7,180901,2.25 72,1,2024-09-07 08:57:51:021,488007,488007,0,0,227112261946,2372895797,481928,4746,1333,369,391819,0 72,2,2024-09-07 08:57:51:770,346365,346365,0,0,17129054,0,3983 72,3,2024-09-07 08:57:51:756,1,277,3,0,564,4664,277,0 73,0,2024-09-07 08:57:51:110,66024,0.4,67510,0.6,138310,0.3,180001,2.00 73,1,2024-09-07 08:57:50:777,488703,488703,0,0,227744982377,2364764408,485572,2894,237,367,391750,0 73,2,2024-09-07 08:57:51:781,349526,349526,0,0,16801880,0,3701 73,3,2024-09-07 08:57:50:976,1,277,2,0,274,3928,277,0 74,0,2024-09-07 08:57:51:328,74325,0.4,76099,0.6,145339,0.4,197135,2.00 74,1,2024-09-07 08:57:50:635,488053,488053,0,0,227216357488,2371208467,483184,3903,966,381,391681,0 74,2,2024-09-07 08:57:51:003,351306,351306,0,0,16353770,0,4253 74,3,2024-09-07 08:57:51:459,1,277,1,0,522,3607,277,0 75,0,2024-09-07 08:57:51:802,69512,0.5,69170,0.7,138543,0.5,185544,2.25 75,1,2024-09-07 08:57:51:584,487311,487311,0,0,227323155636,2374415007,482242,4405,664,380,391660,0 75,2,2024-09-07 08:57:51:360,352735,352735,0,0,16399561,0,4766 75,3,2024-09-07 08:57:51:067,1,277,8,0,702,3922,277,0 76,0,2024-09-07 08:57:50:586,67100,0.7,66405,0.8,133471,0.7,178616,2.50 76,1,2024-09-07 08:57:50:827,488264,488264,0,0,227898856195,2374091353,485150,2562,552,382,391692,0 76,2,2024-09-07 08:57:51:071,349763,349763,0,0,13303646,0,3784 76,3,2024-09-07 08:57:51:149,1,277,9,0,175,2718,277,0 77,0,2024-09-07 08:57:51:701,66187,0.4,66439,0.7,132786,0.4,176421,1.75 77,1,2024-09-07 08:57:50:827,488849,488849,0,0,227730529305,2374290975,485393,3199,257,381,391869,0 77,2,2024-09-07 08:57:51:290,349093,349093,0,0,14170513,0,3890 77,3,2024-09-07 08:57:51:124,1,277,1,0,382,2993,277,0 78,0,2024-09-07 08:57:51:734,71753,0.4,71204,0.6,143111,0.3,190191,2.00 78,1,2024-09-07 08:57:50:611,488649,488649,0,0,226832338753,2353075236,485518,2812,319,367,391670,0 78,2,2024-09-07 08:57:51:472,350540,350540,0,0,12707477,0,3855 78,3,2024-09-07 08:57:51:136,1,277,5,0,181,2591,277,0 79,0,2024-09-07 08:57:51:384,69447,0.4,71244,0.6,145526,0.4,189069,2.25 79,1,2024-09-07 08:57:50:601,490303,490303,0,0,227887880212,2354975164,487448,2518,337,369,391682,0 79,2,2024-09-07 08:57:51:068,354697,354697,0,0,12847801,0,3212 79,3,2024-09-07 08:57:50:754,1,277,12,0,418,3866,277,0 80,0,2024-09-07 08:57:51:075,67264,0.6,69138,0.7,132428,0.6,178721,2.00 80,1,2024-09-07 08:57:51:621,488350,488350,0,0,226639180189,2357362152,485360,2819,171,368,391791,0 80,2,2024-09-07 08:57:51:103,352163,352163,0,0,13186472,0,4433 80,3,2024-09-07 08:57:50:578,1,277,1,0,190,3644,277,0 81,0,2024-09-07 08:57:51:573,66671,0.5,68492,0.6,130952,0.4,176747,1.75 81,1,2024-09-07 08:57:51:660,487369,487369,0,0,226847263817,2370370027,483203,3691,475,382,391879,0 81,2,2024-09-07 08:57:51:128,347936,347936,0,0,13672855,0,3993 81,3,2024-09-07 08:57:51:120,1,277,21,0,374,3225,277,0 82,0,2024-09-07 08:57:51:537,69645,0.4,70023,0.6,140251,0.3,186589,1.75 82,1,2024-09-07 08:57:50:587,489063,489059,0,4,227787582046,2370700082,485755,2693,611,381,391768,4 82,2,2024-09-07 08:57:51:695,350273,350273,0,0,12967909,0,3986 82,3,2024-09-07 08:57:51:754,1,277,5,0,363,3023,277,0 83,0,2024-09-07 08:57:51:532,73763,0.5,73687,0.7,146418,0.5,194897,2.00 83,1,2024-09-07 08:57:50:551,487913,487913,0,0,227420787291,2369973797,484560,3107,246,382,391709,0 83,2,2024-09-07 08:57:50:772,353500,353500,0,0,13165598,0,3119 83,3,2024-09-07 08:57:50:754,1,277,8,0,250,3085,277,0 84,0,2024-09-07 08:57:51:772,67940,0.8,67850,0.9,135780,0.7,181857,2.25 84,1,2024-09-07 08:57:51:039,487564,487564,0,0,226848082539,2370073425,482671,4309,584,367,391967,0 84,2,2024-09-07 08:57:50:578,352788,352788,0,0,15087508,0,3801 84,3,2024-09-07 08:57:51:149,1,277,260,0,908,4381,277,0 85,0,2024-09-07 08:57:51:023,64788,0.6,64746,0.8,137381,0.6,177707,2.25 85,1,2024-09-07 08:57:50:568,486984,486984,0,0,226452420040,2390871257,479819,6047,1118,381,392006,0 85,2,2024-09-07 08:57:50:870,348300,348300,0,0,15764725,0,3656 85,3,2024-09-07 08:57:50:694,1,277,36,0,789,3640,277,0 86,0,2024-09-07 08:57:50:883,68590,0.6,70445,0.7,134895,0.6,182223,2.00 86,1,2024-09-07 08:57:50:829,488150,488150,0,0,227244644114,2376256632,482665,4653,832,366,391961,0 86,2,2024-09-07 08:57:50:869,348117,348116,1,0,16838844,0,5004 86,3,2024-09-07 08:57:50:587,1,277,16,0,286,3882,277,0 87,0,2024-09-07 08:57:51:338,73518,0.7,73577,0.8,147486,0.8,196816,2.25 87,1,2024-09-07 08:57:50:550,487837,487837,0,0,227142796078,2374153184,483065,4278,494,366,392012,0 87,2,2024-09-07 08:57:51:067,351829,351829,0,0,15242046,0,4045 87,3,2024-09-07 08:57:51:795,1,277,11,0,473,4024,277,0 88,0,2024-09-07 08:57:51:573,68946,0.5,69304,0.6,138284,0.5,184148,2.00 88,1,2024-09-07 08:57:50:582,486657,486657,0,0,226290017610,2374463140,479827,5263,1567,365,392084,0 88,2,2024-09-07 08:57:50:704,353169,353169,0,0,17102500,0,3583 88,3,2024-09-07 08:57:51:276,1,277,88,0,435,3310,277,0 89,0,2024-09-07 08:57:51:784,69688,0.5,67333,0.7,133662,0.4,181175,1.75 89,1,2024-09-07 08:57:50:565,486257,486257,0,0,227072184958,2392910080,478984,6008,1265,382,391866,0 89,2,2024-09-07 08:57:51:140,346905,346905,0,0,16110383,0,2910 89,3,2024-09-07 08:57:51:811,1,277,34,0,385,5543,277,0 90,0,2024-09-07 08:57:51:640,64947,0.4,66408,0.6,135929,0.4,177282,1.75 90,1,2024-09-07 08:57:50:606,487874,487874,0,0,226652788454,2373990946,483291,4262,321,381,391825,0 90,2,2024-09-07 08:57:51:477,347853,347853,0,0,17535057,0,3060 90,3,2024-09-07 08:57:50:933,1,277,1,0,200,2865,277,0 91,0,2024-09-07 08:57:50:973,73035,0.4,70906,0.6,147950,0.4,194734,1.75 91,1,2024-09-07 08:57:50:576,486547,486547,0,0,226988424524,2385444888,480407,5445,695,381,392047,0 91,2,2024-09-07 08:57:51:331,350209,350209,0,0,15728740,0,2896 91,3,2024-09-07 08:57:50:606,1,277,0,0,216,2519,277,0 92,0,2024-09-07 08:57:51:505,71227,0.5,73065,0.6,139456,0.5,188545,1.75 92,1,2024-09-07 08:57:50:588,488188,488188,0,0,227259170167,2367703287,485440,2339,409,382,392136,0 92,2,2024-09-07 08:57:51:349,355315,355315,0,0,13369211,0,2801 92,3,2024-09-07 08:57:51:010,1,277,4,0,167,2171,277,0 93,0,2024-09-07 08:57:50:962,67846,0.4,69554,0.6,132900,0.3,180125,1.75 93,1,2024-09-07 08:57:50:852,488591,488591,0,0,227356982337,2370498933,484026,3775,790,366,391776,0 93,2,2024-09-07 08:57:50:929,350004,350004,0,0,14156573,0,2797 93,3,2024-09-07 08:57:51:471,1,277,7,0,190,2798,277,0 94,0,2024-09-07 08:57:51:610,65742,0.3,66567,0.5,132642,0.3,175476,1.75 94,1,2024-09-07 08:57:50:568,488026,488026,0,0,227633537168,2375586799,484657,3189,180,381,391850,0 94,2,2024-09-07 08:57:50:761,348560,348560,0,0,13225091,0,2443 94,3,2024-09-07 08:57:51:689,1,277,18,0,264,3407,277,0 95,0,2024-09-07 08:57:51:389,70403,0.3,70274,0.5,141311,0.3,187744,1.50 95,1,2024-09-07 08:57:50:860,488643,488643,0,0,227387428310,2361940057,484939,3327,377,367,391713,0 95,2,2024-09-07 08:57:51:017,349139,349139,0,0,13575111,0,3308 95,3,2024-09-07 08:57:51:718,1,277,7,0,718,4662,277,0 96,0,2024-09-07 08:57:51:052,73125,0.4,73325,0.5,146489,0.3,193575,1.75 96,1,2024-09-07 08:57:51:585,487829,487829,0,0,227298110749,2372378404,484196,2898,735,384,391894,0 96,2,2024-09-07 08:57:51:284,353749,353749,0,0,14244723,0,4042 96,3,2024-09-07 08:57:51:150,1,277,2,0,411,3158,277,0 97,0,2024-09-07 08:57:51:316,67719,0.3,67413,0.5,135403,0.3,179649,1.75 97,1,2024-09-07 08:57:50:766,489764,489764,0,0,228219620484,2365076078,486710,2495,559,367,392140,0 97,2,2024-09-07 08:57:50:614,352637,352637,0,0,13539884,0,3036 97,3,2024-09-07 08:57:50:573,1,277,1,0,214,3119,277,0 98,0,2024-09-07 08:57:51:693,67182,0.3,67148,0.4,134926,0.2,179018,1.50 98,1,2024-09-07 08:57:50:571,489096,489096,0,0,227720831519,2367898069,486934,2061,101,382,391997,0 98,2,2024-09-07 08:57:50:773,347466,347466,0,0,13562524,0,3080 98,3,2024-09-07 08:57:50:703,1,277,2,0,840,4823,277,0 99,0,2024-09-07 08:57:51:471,69147,0.3,69529,0.4,138512,0.2,185134,1.50 99,1,2024-09-07 08:57:51:751,488635,488635,0,0,227105835977,2365416035,484828,3066,741,381,392069,0 99,2,2024-09-07 08:57:51:470,351320,351320,0,0,14529879,0,3106 99,3,2024-09-07 08:57:50:588,1,277,17,0,187,2275,277,0 100,0,2024-09-07 08:57:51:468,74328,0.8,74278,1.0,148731,1.1,198822,2.50 100,1,2024-09-07 08:57:50:558,485302,485302,0,0,225988169610,2389953846,477783,6043,1476,381,391989,0 100,2,2024-09-07 08:57:51:832,351397,351386,11,0,16190545,0,5417 100,3,2024-09-07 08:57:51:755,1,277,2,0,559,5014,277,0 101,0,2024-09-07 08:57:51:828,69820,1.4,68025,1.1,133503,1.3,183439,2.50 101,1,2024-09-07 08:57:50:559,485653,485653,0,0,226526842959,2388485167,477319,6355,1979,368,391769,0 101,2,2024-09-07 08:57:51:769,351417,351417,0,0,17851794,0,4644 101,3,2024-09-07 08:57:50:951,1,277,1,0,448,3344,277,0 102,0,2024-09-07 08:57:50:946,65819,0.7,68211,0.8,137391,0.6,179951,2.00 102,1,2024-09-07 08:57:51:147,486908,486908,0,0,226983789917,2383819309,480827,5206,875,369,391883,0 102,2,2024-09-07 08:57:51:750,348242,348188,54,0,15559128,0,6768 102,3,2024-09-07 08:57:51:615,1,277,2,0,410,2987,277,0 103,0,2024-09-07 08:57:51:625,69674,0.4,69561,0.6,131368,0.4,180965,1.75 103,1,2024-09-07 08:57:51:628,486131,486131,0,0,227173346538,2395854502,478708,5805,1618,381,391829,0 103,2,2024-09-07 08:57:50:586,347938,347938,0,0,15383674,0,2582 103,3,2024-09-07 08:57:50:755,1,277,9,0,916,3686,277,0 104,0,2024-09-07 08:57:51:032,73325,0.6,73757,0.9,146314,0.6,197280,2.25 104,1,2024-09-07 08:57:51:602,487771,487771,0,0,226764192456,2383363279,480610,5987,1174,365,392168,0 104,2,2024-09-07 08:57:51:670,350419,350419,0,0,17059529,0,3941 104,3,2024-09-07 08:57:51:469,1,277,3,0,1245,6682,277,0 105,0,2024-09-07 08:57:51:075,68595,1.0,66743,1.2,139571,1.4,183916,3.25 105,1,2024-09-07 08:57:50:554,487739,487739,0,0,226859712096,2379760102,480989,5747,1003,366,391797,0 105,2,2024-09-07 08:57:51:322,353093,353093,0,0,16235253,0,3509 105,3,2024-09-07 08:57:51:304,1,277,1,0,399,4466,277,0 106,0,2024-09-07 08:57:50:945,65034,0.9,66613,1.0,136336,1.0,178088,2.75 106,1,2024-09-07 08:57:51:772,487550,487550,0,0,226265734033,2379004258,479545,7015,990,369,391767,0 106,2,2024-09-07 08:57:50:756,346967,346967,0,0,15319286,0,2795 106,3,2024-09-07 08:57:50:681,1,277,1,0,470,3912,277,0 107,0,2024-09-07 08:57:51:151,66124,0.7,66144,0.8,132172,0.7,176085,2.00 107,1,2024-09-07 08:57:50:603,485918,485918,0,0,226670112366,2384194128,480006,5228,684,381,392234,0 107,2,2024-09-07 08:57:51:295,348153,348152,1,0,16516444,0,5024 107,3,2024-09-07 08:57:51:766,1,277,12,0,353,3626,277,0 108,0,2024-09-07 08:57:51:806,71348,0.4,71726,0.5,142593,0.3,190906,1.75 108,1,2024-09-07 08:57:51:295,488253,488253,0,0,227496832576,2367773986,485020,2847,386,368,391857,0 108,2,2024-09-07 08:57:51:770,348890,348890,0,0,14753053,0,2647 108,3,2024-09-07 08:57:51:340,1,277,2,0,749,5653,277,0 109,0,2024-09-07 08:57:51:824,72192,0.4,71370,0.5,143260,0.3,191101,1.75 109,1,2024-09-07 08:57:50:617,486453,486453,0,0,227738002051,2385274562,482568,3368,517,383,392132,0 109,2,2024-09-07 08:57:50:934,352031,352031,0,0,15205636,0,3617 109,3,2024-09-07 08:57:51:158,1,277,19,0,249,3147,277,0 110,0,2024-09-07 08:57:51:784,67319,0.4,65545,0.6,137204,0.3,179446,1.75 110,1,2024-09-07 08:57:51:656,489707,489707,0,0,228008299937,2364820125,486555,2272,880,369,392045,0 110,2,2024-09-07 08:57:51:309,351859,351859,0,0,14388632,0,3264 110,3,2024-09-07 08:57:50:690,1,277,0,0,406,3550,277,0 111,0,2024-09-07 08:57:51:482,67102,0.3,66588,0.5,133253,0.3,178155,1.75 111,1,2024-09-07 08:57:50:999,489760,489760,0,0,228659768953,2368064843,487749,1675,336,382,391690,0 111,2,2024-09-07 08:57:51:120,347646,347646,0,0,13504829,0,2763 111,3,2024-09-07 08:57:50:913,1,277,8,0,379,3604,277,0 112,0,2024-09-07 08:57:50:959,70177,0.3,69908,0.4,140063,0.2,186513,1.50 112,1,2024-09-07 08:57:50:834,489526,489526,0,0,227256752249,2355856402,486921,2170,435,380,391624,0 112,2,2024-09-07 08:57:51:138,348989,348988,1,0,14000870,0,5036 112,3,2024-09-07 08:57:50:601,1,277,1,0,282,2774,277,0 113,0,2024-09-07 08:57:50:884,73367,0.3,73453,0.5,147485,0.2,196350,1.50 113,1,2024-09-07 08:57:51:685,490419,490419,0,0,228506618704,2356405596,487911,2018,490,366,391661,0 113,2,2024-09-07 08:57:51:305,354531,354531,0,0,12597016,0,3813 113,3,2024-09-07 08:57:50:693,1,277,2,0,340,3781,277,0 114,0,2024-09-07 08:57:50:876,68847,0.4,69259,0.5,137514,0.3,183900,1.75 114,1,2024-09-07 08:57:50:728,488654,488654,0,0,227935541536,2371314316,483826,3239,1589,381,391556,0 114,2,2024-09-07 08:57:50:873,352873,352872,1,0,13835996,0,5069 114,3,2024-09-07 08:57:51:287,1,277,2,0,395,2769,277,0 115,0,2024-09-07 08:57:50:556,67211,0.3,67511,0.4,135040,0.2,179809,1.50 115,1,2024-09-07 08:57:50:579,488800,488800,0,0,227641877155,2366598395,484395,3568,837,382,391639,0 115,2,2024-09-07 08:57:51:126,348344,348344,0,0,11959056,0,2346 115,3,2024-09-07 08:57:51:002,1,277,10,0,159,1591,277,0 116,0,2024-09-07 08:57:51:720,68447,0.8,68240,0.9,136479,0.8,183009,2.00 116,1,2024-09-07 08:57:50:817,485915,485915,0,0,226572947207,2393373919,479372,4486,2057,380,391782,0 116,2,2024-09-07 08:57:51:758,347910,347910,0,0,17594882,0,3529 116,3,2024-09-07 08:57:50:916,1,277,0,0,415,3845,277,0 117,0,2024-09-07 08:57:50:974,74074,0.6,73690,0.8,147305,0.6,197372,2.00 117,1,2024-09-07 08:57:51:584,487109,487109,0,0,226579703842,2371446858,481876,4684,549,370,392033,0 117,2,2024-09-07 08:57:51:121,354428,354428,0,0,14371717,0,3700 117,3,2024-09-07 08:57:51:067,1,277,2,0,490,4340,277,0 118,0,2024-09-07 08:57:51:780,67011,0.6,68913,0.7,140282,0.6,183431,2.00 118,1,2024-09-07 08:57:50:602,487214,487214,0,0,226546922401,2382571880,480000,5397,1817,366,391736,0 118,2,2024-09-07 08:57:51:621,352468,352468,0,0,15523601,0,2781 118,3,2024-09-07 08:57:51:771,1,277,27,0,235,3093,277,0 119,0,2024-09-07 08:57:51:337,67214,0.8,67706,0.8,135435,0.9,180090,2.25 119,1,2024-09-07 08:57:50:565,488280,488280,0,0,227495468659,2378260029,482829,4784,667,367,391780,0 119,2,2024-09-07 08:57:51:267,347737,347737,0,0,14514001,0,4174 119,3,2024-09-07 08:57:51:325,1,277,9,0,443,3713,277,0 120,0,2024-09-07 08:57:51:557,66536,0.5,66331,0.7,132913,0.4,177820,2.00 120,1,2024-09-07 08:57:50:867,487548,487548,0,0,226784147643,2376738462,482681,4515,352,368,391961,0 120,2,2024-09-07 08:57:50:783,348744,348743,1,0,17644510,0,5281 120,3,2024-09-07 08:57:51:293,1,277,3,0,241,3411,277,0 121,0,2024-09-07 08:57:51:785,72925,0.9,72874,0.9,146245,1.1,194811,2.00 121,1,2024-09-07 08:57:51:741,487772,487772,0,0,226948187956,2374123376,482810,4542,420,367,391840,0 121,2,2024-09-07 08:57:51:125,349401,349401,0,0,16835486,0,4127 121,3,2024-09-07 08:57:50:740,1,277,1,0,269,3227,277,0 122,0,2024-09-07 08:57:51:782,70428,0.8,68648,0.9,143902,1.0,188570,2.00 122,1,2024-09-07 08:57:50:864,486883,486883,0,0,227408667385,2386235844,480025,5887,971,366,392130,0 122,2,2024-09-07 08:57:51:319,352808,352735,73,0,19185949,0,5989 122,3,2024-09-07 08:57:50:596,1,277,133,0,411,5590,277,0 123,0,2024-09-07 08:57:50:952,67276,0.9,65759,0.8,137101,1.0,179282,2.25 123,1,2024-09-07 08:57:50:558,487281,487281,0,0,226711181598,2390204994,478095,7358,1828,369,392039,0 123,2,2024-09-07 08:57:51:029,347557,347556,1,0,15761651,0,5215 123,3,2024-09-07 08:57:51:139,1,277,8,0,168,3201,277,0 124,0,2024-09-07 08:57:50:938,68139,0.3,68152,0.5,128549,0.3,176165,1.50 124,1,2024-09-07 08:57:51:081,489327,489327,0,0,227425116762,2360584560,486413,2549,365,367,392178,0 124,2,2024-09-07 08:57:51:010,348845,348845,0,0,12944487,0,3101 124,3,2024-09-07 08:57:50:763,1,277,1,0,490,2931,277,0 125,0,2024-09-07 08:57:51:512,70472,0.3,70139,0.5,141215,0.3,187884,1.50 125,1,2024-09-07 08:57:50:862,487419,487419,0,0,227133257441,2366863830,484308,2715,396,383,391702,0 125,2,2024-09-07 08:57:51:121,349632,349632,0,0,14346386,0,4534 125,3,2024-09-07 08:57:51:138,1,277,0,0,709,3662,277,0 126,0,2024-09-07 08:57:51:488,73108,0.5,75057,0.6,143542,0.4,194483,1.75 126,1,2024-09-07 08:57:50:551,489539,489539,0,0,227638176931,2356771877,486815,2460,264,365,391987,0 126,2,2024-09-07 08:57:50:619,354896,354896,0,0,14385171,0,3186 126,3,2024-09-07 08:57:50:916,1,277,2,0,207,3783,277,0 127,0,2024-09-07 08:57:51:645,67441,0.4,67789,0.5,135181,0.3,179739,1.75 127,1,2024-09-07 08:57:50:582,489236,489236,0,0,227579172237,2356068578,486263,2820,153,365,391816,0 127,2,2024-09-07 08:57:50:644,352581,352581,0,0,12903891,0,2264 127,3,2024-09-07 08:57:51:278,1,277,10,0,968,3128,277,0 128,0,2024-09-07 08:57:51:521,67563,0.3,67512,0.4,134967,0.2,179517,1.50 128,1,2024-09-07 08:57:51:613,488639,488639,0,0,227720708463,2360895121,486252,2229,158,367,391798,0 128,2,2024-09-07 08:57:51:474,348454,348454,0,0,12928602,0,2434 128,3,2024-09-07 08:57:50:767,1,277,1,0,1082,5475,277,0 129,0,2024-09-07 08:57:51:004,69669,0.3,69429,0.4,138888,0.2,184836,1.50 129,1,2024-09-07 08:57:50:584,486248,486248,0,0,226354323715,2370258170,482025,3291,932,379,391835,0 129,2,2024-09-07 08:57:50:694,349798,349798,0,0,13863450,0,4031 129,3,2024-09-07 08:57:50:693,1,277,1,0,469,3800,277,0 130,0,2024-09-07 08:57:51:735,75181,0.6,74786,0.6,150157,0.6,199935,1.75 130,1,2024-09-07 08:57:50:587,488550,488550,0,0,226981938201,2359441545,486100,2371,79,381,391825,0 130,2,2024-09-07 08:57:51:140,354367,354367,0,0,13656256,0,4067 130,3,2024-09-07 08:57:51:295,1,277,6,0,450,3667,277,0 131,0,2024-09-07 08:57:51:935,68340,0.4,68875,0.5,138355,0.3,183383,1.75 131,1,2024-09-07 08:57:51:827,489134,489134,0,0,227545339598,2371441521,485995,2679,460,381,391865,0 131,2,2024-09-07 08:57:50:567,354616,354616,0,0,12552616,0,2415 131,3,2024-09-07 08:57:51:701,1,277,12,0,392,2864,277,0 132,0,2024-09-07 08:57:51:507,67275,0.5,68242,0.6,135863,0.4,180509,2.00 132,1,2024-09-07 08:57:50:594,485880,485880,0,0,225784316036,2382654576,477838,6583,1459,381,392097,0 132,2,2024-09-07 08:57:50:753,347415,347415,0,0,16184007,0,4606 132,3,2024-09-07 08:57:51:707,1,277,3,0,356,3755,277,0 133,0,2024-09-07 08:57:51:535,65915,0.4,67556,0.5,138346,0.3,179978,1.75 133,1,2024-09-07 08:57:50:594,486746,486746,0,0,226722250178,2388574554,480156,5629,961,383,391914,0 133,2,2024-09-07 08:57:51:092,348145,348145,0,0,17641826,0,4315 133,3,2024-09-07 08:57:51:300,1,277,16,0,276,2688,277,0 134,0,2024-09-07 08:57:50:964,73995,0.4,73991,0.6,148093,0.4,197311,2.00 134,1,2024-09-07 08:57:50:588,486562,486562,0,0,226696297178,2380674249,479765,5321,1476,366,391718,0 134,2,2024-09-07 08:57:51:771,351296,351296,0,0,15044032,0,3847 134,3,2024-09-07 08:57:50:758,1,277,38,0,739,3969,277,0 135,0,2024-09-07 08:57:51:124,67042,0.8,67057,0.9,142365,0.9,183824,2.25 135,1,2024-09-07 08:57:51:588,486374,486374,0,0,226916750223,2389778957,479133,6051,1190,380,391805,0 135,2,2024-09-07 08:57:50:712,353497,353497,0,0,16525192,0,3981 135,3,2024-09-07 08:57:51:002,1,277,7,0,299,2079,277,0 136,0,2024-09-07 08:57:51:624,67825,0.6,67720,0.7,135016,0.6,180119,2.25 136,1,2024-09-07 08:57:51:462,486714,486714,0,0,226715380040,2382736424,480617,5454,643,382,391685,0 136,2,2024-09-07 08:57:51:136,348753,348753,0,0,15482665,0,3506 136,3,2024-09-07 08:57:51:119,1,277,5,0,150,2351,277,0 137,0,2024-09-07 08:57:50:929,68171,0.6,66206,0.7,130532,0.5,177296,2.00 137,1,2024-09-07 08:57:50:581,486548,486548,0,0,226641012157,2378864140,478711,6194,1643,366,391708,0 137,2,2024-09-07 08:57:51:705,348012,348012,0,0,17554752,0,3185 137,3,2024-09-07 08:57:50:774,1,277,1,0,382,3377,277,0 138,0,2024-09-07 08:57:51:765,70819,0.9,70957,0.9,142438,1.1,189946,2.00 138,1,2024-09-07 08:57:51:700,486466,486466,0,0,227423303641,2388970565,478739,6209,1518,368,391954,0 138,2,2024-09-07 08:57:50:616,349441,349441,0,0,16066135,0,4988 138,3,2024-09-07 08:57:50:616,1,277,18,0,1160,3982,277,0 139,0,2024-09-07 08:57:51:390,70680,1.6,70987,1.2,141997,2.4,189473,2.50 139,1,2024-09-07 08:57:50:573,485116,485116,0,0,225403281470,2396094640,475648,7044,2424,381,391892,0 139,2,2024-09-07 08:57:50:697,351245,351245,0,0,16924782,0,3097 139,3,2024-09-07 08:57:51:667,1,277,14,0,257,3087,277,0 140,0,2024-09-07 08:57:51:604,67685,0.3,67169,0.5,135095,0.2,179746,1.75 140,1,2024-09-07 08:57:51:551,490274,490274,0,0,228388858189,2351549563,488290,1741,243,365,391606,0 140,2,2024-09-07 08:57:50:694,351967,351967,0,0,13101651,0,3388 140,3,2024-09-07 08:57:50:768,1,277,1,0,247,2261,277,0 141,0,2024-09-07 08:57:51:704,66869,0.3,68724,0.4,131418,0.2,177985,1.50 141,1,2024-09-07 08:57:50:881,489860,489860,0,0,227943997246,2362409506,487261,2191,408,379,391614,0 141,2,2024-09-07 08:57:51:686,348326,348326,0,0,12817996,0,2342 141,3,2024-09-07 08:57:51:044,1,277,2,0,202,2476,277,0 142,0,2024-09-07 08:57:51:322,70650,0.3,70041,0.4,139503,0.2,186895,1.50 142,1,2024-09-07 08:57:50:636,488781,488781,0,0,226972175769,2364201479,485938,2576,267,382,392102,0 142,2,2024-09-07 08:57:51:318,349130,349098,32,0,14665669,0,6028 142,3,2024-09-07 08:57:51:750,1,277,15,0,484,3650,277,0 143,0,2024-09-07 08:57:51:372,73765,0.5,73739,0.6,147916,0.5,196270,1.75 143,1,2024-09-07 08:57:50:568,489285,489285,0,0,227440014638,2356219811,486520,2669,96,367,391651,0 143,2,2024-09-07 08:57:50:785,353780,353780,0,0,13850410,0,2669 143,3,2024-09-07 08:57:51:139,1,277,13,0,303,3507,277,0 144,0,2024-09-07 08:57:51:520,66189,0.6,68071,0.8,138698,0.5,181997,2.00 144,1,2024-09-07 08:57:50:566,486349,486349,0,0,226159024441,2372139127,482187,3234,928,381,391649,0 144,2,2024-09-07 08:57:51:755,353545,353545,0,0,13209808,0,3473 144,3,2024-09-07 08:57:51:739,1,277,1,0,249,3071,277,0 145,0,2024-09-07 08:57:51:386,64845,0.5,64860,0.8,137704,0.5,177673,2.25 145,1,2024-09-07 08:57:50:552,485972,485972,0,0,226301016368,2378095092,480155,4993,824,382,391698,0 145,2,2024-09-07 08:57:51:476,346524,346524,0,0,15635057,0,3903 145,3,2024-09-07 08:57:50:902,1,277,0,0,622,4189,277,0 146,0,2024-09-07 08:57:51:619,68585,0.5,68033,0.7,136954,0.5,182139,2.00 146,1,2024-09-07 08:57:51:586,487252,487252,0,0,226895107072,2388297980,478812,6593,1847,368,391770,0 146,2,2024-09-07 08:57:51:707,348446,348446,0,0,15761201,0,2730 146,3,2024-09-07 08:57:51:287,1,277,1,0,1520,6000,277,0 147,0,2024-09-07 08:57:51:720,73859,0.5,73736,0.7,146690,0.5,197028,2.00 147,1,2024-09-07 08:57:51:467,489170,489170,0,0,227801217860,2367083460,485054,3529,587,368,391791,0 147,2,2024-09-07 08:57:51:048,353914,353914,0,0,13356956,0,2789 147,3,2024-09-07 08:57:50:920,1,277,11,0,730,4083,277,0 0,0,2024-09-07 08:58:01:708,65027,0.4,65061,0.7,137917,0.4,178763,1.75 0,1,2024-09-07 08:58:00:809,489671,489671,0,0,227986549634,2383046705,486183,3279,209,370,391896,0 0,2,2024-09-07 08:58:01:068,350438,350438,0,0,13890464,0,4480 0,3,2024-09-07 08:58:00:980,1,278,0,0,319,3563,278,0 1,0,2024-09-07 08:58:01:791,73468,0.7,72960,0.8,146765,0.8,195845,2.00 1,1,2024-09-07 08:58:00:559,489351,489351,0,0,227169326371,2378123564,484812,3485,1054,370,391857,0 1,2,2024-09-07 08:58:00:647,351567,351567,0,0,13214621,0,3267 1,3,2024-09-07 08:58:01:311,1,278,2,0,262,3237,278,0 2,0,2024-09-07 08:58:01:578,70860,0.7,71107,0.8,140839,0.9,188686,2.00 2,1,2024-09-07 08:58:00:864,490313,490313,0,0,228893546430,2376221455,488116,1977,220,380,391745,0 2,2,2024-09-07 08:58:01:266,355454,355454,0,0,13506649,0,3594 2,3,2024-09-07 08:58:00:702,1,278,1,0,357,2570,278,0 3,0,2024-09-07 08:58:01:750,67563,0.4,67522,0.6,134867,0.4,179210,2.00 3,1,2024-09-07 08:58:01:618,489449,489449,0,0,227799963238,2370640635,485604,3383,462,380,391556,0 3,2,2024-09-07 08:58:01:143,350311,350288,23,0,13668059,0,5851 3,3,2024-09-07 08:58:01:752,1,278,0,0,103,1627,278,0 4,0,2024-09-07 08:58:01:881,64137,0.3,66012,0.5,134242,0.3,175680,1.75 4,1,2024-09-07 08:58:00:597,488967,488967,0,0,227201093097,2387151994,483755,4289,923,371,391846,0 4,2,2024-09-07 08:58:01:027,348435,348435,0,0,16573691,0,4528 4,3,2024-09-07 08:58:01:035,1,278,1,0,448,4208,278,0 5,0,2024-09-07 08:58:01:399,70716,0.4,71067,0.5,141228,0.4,188719,1.75 5,1,2024-09-07 08:58:00:786,488855,488855,0,0,227793887272,2395668852,482638,4910,1307,368,392005,0 5,2,2024-09-07 08:58:01:836,349536,349536,0,0,15234267,0,2432 5,3,2024-09-07 08:58:01:731,1,278,6,0,457,4240,278,0 6,0,2024-09-07 08:58:00:930,73469,0.5,72959,0.7,145740,0.5,194543,2.00 6,1,2024-09-07 08:58:00:757,489351,489351,0,0,227643356303,2378638832,484156,4281,914,379,391694,0 6,2,2024-09-07 08:58:01:139,354306,354306,0,0,14999701,0,4816 6,3,2024-09-07 08:58:01:274,1,278,1,0,340,3221,278,0 7,0,2024-09-07 08:58:01:539,67282,0.5,67607,0.7,134668,0.5,179020,2.00 7,1,2024-09-07 08:58:00:856,488595,488595,0,0,227639169575,2389788945,481962,5523,1110,382,391747,0 7,2,2024-09-07 08:58:00:776,352533,352533,0,0,14748774,0,4791 7,3,2024-09-07 08:58:00:858,1,278,0,0,398,3295,278,0 8,0,2024-09-07 08:58:01:424,67569,0.4,67364,0.5,134609,0.3,179666,1.75 8,1,2024-09-07 08:58:01:028,487834,487834,0,0,227348050429,2398345087,478661,6970,2203,366,392144,0 8,2,2024-09-07 08:58:00:790,345066,345066,0,0,17795375,0,3220 8,3,2024-09-07 08:58:00:597,1,278,18,0,538,4717,278,0 9,0,2024-09-07 08:58:01:199,69784,0.3,67640,0.5,141432,0.3,185765,1.75 9,1,2024-09-07 08:58:00:573,487950,487950,0,0,227500450192,2395989158,481093,5309,1548,370,392001,0 9,2,2024-09-07 08:58:01:096,349798,349798,0,0,15610952,0,3360 9,3,2024-09-07 08:58:01:757,1,278,0,0,496,4398,278,0 10,0,2024-09-07 08:58:01:600,74898,0.3,74299,0.5,149501,0.3,198953,1.75 10,1,2024-09-07 08:58:00:583,488371,488371,0,0,227926717766,2389393380,481320,6065,986,381,391741,0 10,2,2024-09-07 08:58:00:762,354238,354238,0,0,17275109,0,4264 10,3,2024-09-07 08:58:00:871,1,278,2,0,177,2288,278,0 11,0,2024-09-07 08:58:01:013,68383,0.5,66359,0.7,138694,0.4,184002,2.00 11,1,2024-09-07 08:58:00:589,489226,489226,0,0,227587989746,2394178467,480237,6762,2227,383,391573,0 11,2,2024-09-07 08:58:01:139,353754,353754,0,0,15897924,0,4130 11,3,2024-09-07 08:58:01:298,1,278,8,0,843,4348,278,0 12,0,2024-09-07 08:58:00:971,68225,0.3,68135,0.5,136296,0.3,181018,1.75 12,1,2024-09-07 08:58:00:948,489439,489439,0,0,227816380961,2373080477,485825,3192,422,370,391870,0 12,2,2024-09-07 08:58:01:599,349055,349055,0,0,14840701,0,3469 12,3,2024-09-07 08:58:01:066,1,278,1,0,386,4509,278,0 13,0,2024-09-07 08:58:01:375,68389,0.3,68215,0.5,136378,0.3,181832,1.50 13,1,2024-09-07 08:58:01:532,489532,489532,0,0,227414344165,2383264651,485950,3040,542,382,391740,0 13,2,2024-09-07 08:58:00:615,351386,351386,0,0,13942906,0,3287 13,3,2024-09-07 08:58:01:766,1,278,11,0,467,4108,278,0 14,0,2024-09-07 08:58:00:565,74387,0.3,74984,0.5,148361,0.3,197932,1.75 14,1,2024-09-07 08:58:01:564,492503,492503,0,0,228740416350,2364596322,489663,2650,190,364,391571,0 14,2,2024-09-07 08:58:00:769,352969,352969,0,0,14024255,0,2896 14,3,2024-09-07 08:58:01:116,1,278,3,0,1168,3786,278,0 15,0,2024-09-07 08:58:01:557,69643,0.5,69774,0.7,139341,0.5,185635,2.00 15,1,2024-09-07 08:58:01:607,490512,490512,0,0,228076531003,2369973437,487821,2513,178,381,391619,0 15,2,2024-09-07 08:58:01:001,355920,355920,0,0,12045064,0,3043 15,3,2024-09-07 08:58:01:413,1,278,7,0,1126,5502,278,0 16,0,2024-09-07 08:58:00:962,67717,0.7,67904,0.8,135287,0.7,180211,2.25 16,1,2024-09-07 08:58:00:602,490464,490464,0,0,227894068017,2379645457,486810,3353,301,370,391756,0 16,2,2024-09-07 08:58:01:435,348851,348851,0,0,14497358,0,4719 16,3,2024-09-07 08:58:01:175,1,278,1,0,317,3811,278,0 17,0,2024-09-07 08:58:01:858,68511,0.4,66924,0.7,130810,0.4,178130,1.75 17,1,2024-09-07 08:58:00:587,489053,489053,0,0,227021370488,2382150073,484004,3943,1106,368,391899,0 17,2,2024-09-07 08:58:01:669,352734,352734,0,0,14230827,0,2857 17,3,2024-09-07 08:58:00:596,1,278,2,0,268,4349,278,0 18,0,2024-09-07 08:58:00:947,71136,0.6,71475,0.7,142671,0.6,191118,2.25 18,1,2024-09-07 08:58:01:638,490335,490335,0,0,228385346243,2365978421,487598,2495,242,367,391649,0 18,2,2024-09-07 08:58:01:757,352810,352810,0,0,13703542,0,3541 18,3,2024-09-07 08:58:00:896,1,278,1,0,163,2627,278,0 19,0,2024-09-07 08:58:01:546,71269,0.6,71797,0.8,142557,0.6,189432,2.25 19,1,2024-09-07 08:58:00:576,490601,490601,0,0,228831607083,2375370528,485929,3885,787,367,391777,0 19,2,2024-09-07 08:58:01:751,356539,356539,0,0,12527964,0,3988 19,3,2024-09-07 08:58:01:175,1,278,7,0,524,2103,278,0 20,0,2024-09-07 08:58:01:411,67260,0.6,67231,0.8,134765,0.7,179575,2.25 20,1,2024-09-07 08:58:00:592,488956,488956,0,0,228087272446,2385123198,484972,3584,400,369,391886,0 20,2,2024-09-07 08:58:00:934,352231,352231,0,0,14339943,0,3721 20,3,2024-09-07 08:58:00:592,1,278,1,0,414,4861,278,0 21,0,2024-09-07 08:58:01:272,67042,0.5,67120,0.6,134067,0.4,177340,2.00 21,1,2024-09-07 08:58:01:563,487560,487560,0,0,226876082877,2390060024,480857,5078,1625,368,391962,0 21,2,2024-09-07 08:58:01:088,347998,347998,0,0,16775016,0,3747 21,3,2024-09-07 08:58:01:412,1,278,4,0,103,2741,278,0 22,0,2024-09-07 08:58:01:717,70123,0.5,70243,0.7,140320,0.5,186723,2.00 22,1,2024-09-07 08:58:01:024,488746,488746,0,0,227193525837,2390315848,481168,5911,1667,382,391667,0 22,2,2024-09-07 08:58:00:760,349905,349905,0,0,14412679,0,3134 22,3,2024-09-07 08:58:01:068,1,278,1,0,228,2524,278,0 23,0,2024-09-07 08:58:01:369,73410,0.6,73269,0.7,146815,0.6,195321,2.25 23,1,2024-09-07 08:58:01:002,489738,489738,0,0,228253955926,2395952733,481816,5256,2666,365,391690,0 23,2,2024-09-07 08:58:01:092,355221,355221,0,0,13856275,0,3010 23,3,2024-09-07 08:58:01:756,1,278,12,0,645,3476,278,0 24,0,2024-09-07 08:58:00:820,69146,0.4,68989,0.6,138490,0.4,183770,1.75 24,1,2024-09-07 08:58:00:587,488953,488953,0,0,227247754436,2384096777,482917,4514,1522,368,391987,0 24,2,2024-09-07 08:58:01:069,352420,352420,0,0,17009372,0,3607 24,3,2024-09-07 08:58:01:686,1,278,1,0,468,4037,278,0 25,0,2024-09-07 08:58:01:400,69153,0.4,67378,0.6,132366,0.4,180711,2.00 25,1,2024-09-07 08:58:00:580,489579,489579,0,0,227855071414,2387306341,484050,4745,784,371,391928,0 25,2,2024-09-07 08:58:01:608,347679,347679,0,0,17223871,0,3978 25,3,2024-09-07 08:58:01:005,1,278,38,0,255,2945,278,0 26,0,2024-09-07 08:58:01:720,68542,0.4,67005,0.6,140528,0.3,183179,1.75 26,1,2024-09-07 08:58:01:553,489288,489288,0,0,227709075741,2388389423,481601,6439,1248,381,391748,0 26,2,2024-09-07 08:58:00:864,350139,350139,0,0,16896055,0,2809 26,3,2024-09-07 08:58:01:712,1,278,1,0,796,3514,278,0 27,0,2024-09-07 08:58:01:753,74631,0.4,74785,0.6,148003,0.3,198363,2.00 27,1,2024-09-07 08:58:01:678,491196,491196,0,0,228549530363,2377862414,487313,3290,593,381,391558,0 27,2,2024-09-07 08:58:00:873,351610,351545,65,0,17167886,0,5699 27,3,2024-09-07 08:58:01:023,1,278,16,0,564,2943,278,0 28,0,2024-09-07 08:58:01:389,69359,0.4,69117,0.6,138900,0.4,185246,2.00 28,1,2024-09-07 08:58:00:797,490790,490790,0,0,228925351037,2380918820,487841,2477,472,383,391646,0 28,2,2024-09-07 08:58:01:768,354495,354495,0,0,13678575,0,2915 28,3,2024-09-07 08:58:01:781,1,278,2,0,502,2845,278,0 29,0,2024-09-07 08:58:01:387,69687,0.4,68075,0.6,133132,0.3,181679,1.75 29,1,2024-09-07 08:58:01:561,491631,491631,0,0,228796586526,2367457202,488288,2777,566,369,391753,0 29,2,2024-09-07 08:58:00:864,348480,348480,0,0,12566775,0,4018 29,3,2024-09-07 08:58:00:963,1,278,1,0,284,2246,278,0 30,0,2024-09-07 08:58:01:462,66954,0.4,64991,0.6,135932,0.4,178181,2.00 30,1,2024-09-07 08:58:00:571,491731,491731,0,0,229109727125,2371882796,489395,2042,294,382,391672,0 30,2,2024-09-07 08:58:01:274,350744,350744,0,0,12607890,0,3161 30,3,2024-09-07 08:58:00:582,1,278,1,0,519,2607,278,0 31,0,2024-09-07 08:58:01:775,73026,0.4,73342,0.5,146863,0.3,195824,1.75 31,1,2024-09-07 08:58:00:567,493175,493175,0,0,229596085465,2350898716,491763,1192,220,356,391712,0 31,2,2024-09-07 08:58:01:275,352038,352038,0,0,14518027,0,3525 31,3,2024-09-07 08:58:01:706,1,278,2,0,220,2122,278,0 32,0,2024-09-07 08:58:01:415,70713,0.3,71072,0.5,142256,0.3,189019,1.75 32,1,2024-09-07 08:58:00:805,490960,490960,0,0,228540345153,2370093051,488703,1978,279,381,391622,0 32,2,2024-09-07 08:58:00:935,355445,355445,0,0,12523051,0,3155 32,3,2024-09-07 08:58:01:023,1,278,26,0,227,2176,278,0 33,0,2024-09-07 08:58:01:508,67873,0.3,67348,0.5,135325,0.2,180153,1.75 33,1,2024-09-07 08:58:00:575,491824,491824,0,0,229707577295,2371789609,489185,2465,174,369,391730,0 33,2,2024-09-07 08:58:00:762,351460,351427,33,0,13913929,0,7012 33,3,2024-09-07 08:58:00:912,1,278,9,0,329,3187,278,0 34,0,2024-09-07 08:58:00:931,66140,0.3,68181,0.4,130604,0.2,175034,1.50 34,1,2024-09-07 08:58:01:047,492450,492450,0,0,229441520761,2358446330,491319,1124,7,367,391562,0 34,2,2024-09-07 08:58:00:768,350200,350200,0,0,13341518,0,3577 34,3,2024-09-07 08:58:01:688,1,278,1,0,299,2181,278,0 35,0,2024-09-07 08:58:00:861,70171,0.3,70506,0.5,141980,0.2,189268,1.50 35,1,2024-09-07 08:58:01:067,490950,490950,0,0,228157449510,2365811324,487522,2648,780,384,391589,0 35,2,2024-09-07 08:58:01:583,351426,351426,0,0,13549546,0,2653 35,3,2024-09-07 08:58:00:907,1,278,0,0,418,3439,278,0 36,0,2024-09-07 08:58:01:518,73237,0.5,73157,0.7,146433,0.5,194158,2.25 36,1,2024-09-07 08:58:00:585,490146,490146,0,0,227595929462,2379258564,483892,4672,1582,366,391759,0 36,2,2024-09-07 08:58:01:757,354386,354386,0,0,15194992,0,3875 36,3,2024-09-07 08:58:00:864,1,278,1,0,416,4305,278,0 37,0,2024-09-07 08:58:01:428,67205,0.5,67195,0.7,134624,0.5,179953,2.00 37,1,2024-09-07 08:58:00:593,489228,489221,0,7,227211688400,2377595495,483171,3980,2070,365,391560,0 37,2,2024-09-07 08:58:01:180,351821,351806,15,0,15335004,0,5815 37,3,2024-09-07 08:58:01:767,1,278,0,0,888,4658,278,0 38,0,2024-09-07 08:58:01:459,66823,0.5,64966,0.6,135892,0.4,177645,2.00 38,1,2024-09-07 08:58:01:606,490458,490458,0,0,228414074767,2380971601,485102,4680,676,368,391821,0 38,2,2024-09-07 08:58:00:760,348064,348017,47,0,16041008,0,6710 38,3,2024-09-07 08:58:00:998,1,278,0,0,689,3632,278,0 39,0,2024-09-07 08:58:01:770,71234,0.6,69753,0.7,135688,0.5,186007,2.00 39,1,2024-09-07 08:58:00:717,489769,489769,0,0,228271611680,2385771520,483143,5440,1186,365,391594,0 39,2,2024-09-07 08:58:01:418,350111,350111,0,0,14022145,0,2689 39,3,2024-09-07 08:58:00:713,1,278,7,0,324,3571,278,0 40,0,2024-09-07 08:58:01:490,73996,0.8,74723,1.0,149190,0.9,198710,3.00 40,1,2024-09-07 08:58:00:576,489978,489978,0,0,227853179637,2385962553,482695,5837,1446,368,391651,0 40,2,2024-09-07 08:58:01:302,354312,354311,1,0,17189364,0,5137 40,3,2024-09-07 08:58:01:151,1,278,0,0,398,3298,278,0 41,0,2024-09-07 08:58:01:031,68188,1.8,69799,1.4,133130,2.8,181877,3.50 41,1,2024-09-07 08:58:00:777,489484,489484,0,0,227847924439,2380991696,483734,5115,635,370,391742,0 41,2,2024-09-07 08:58:00:775,352601,352601,0,0,16414108,0,3356 41,3,2024-09-07 08:58:01:677,1,278,6,0,366,3096,278,0 42,0,2024-09-07 08:58:01:476,67039,0.7,67124,1.0,134590,0.8,177697,2.50 42,1,2024-09-07 08:58:01:439,488715,488715,0,0,228077605973,2396472730,480971,6231,1513,380,391675,0 42,2,2024-09-07 08:58:01:134,349008,349008,0,0,15585909,0,3568 42,3,2024-09-07 08:58:01:008,1,278,5,0,446,2400,278,0 43,0,2024-09-07 08:58:00:952,67586,0.7,65875,0.9,137701,0.6,180952,2.25 43,1,2024-09-07 08:58:00:588,489796,489796,0,0,228409775240,2386061167,482976,5479,1341,366,391696,0 43,2,2024-09-07 08:58:01:740,350298,350298,0,0,15747378,0,3812 43,3,2024-09-07 08:58:01:757,1,278,9,0,467,3537,278,0 44,0,2024-09-07 08:58:00:863,74155,0.4,74615,0.6,148670,0.3,198028,1.75 44,1,2024-09-07 08:58:00:568,491804,491804,0,0,227962021447,2350365478,489241,2131,432,356,391809,0 44,2,2024-09-07 08:58:01:270,352595,352595,0,0,12789892,0,2231 44,3,2024-09-07 08:58:01:103,1,278,5,0,817,3182,278,0 45,0,2024-09-07 08:58:01:764,68617,0.6,67102,0.8,140845,0.6,185547,2.00 45,1,2024-09-07 08:58:01:007,491012,491012,0,0,228482219036,2365506294,489354,1646,12,382,391917,0 45,2,2024-09-07 08:58:01:270,355314,355314,0,0,13435363,0,3596 45,3,2024-09-07 08:58:00:944,1,278,1,0,271,2911,278,0 46,0,2024-09-07 08:58:00:989,67218,0.5,67097,0.7,134431,0.5,178150,2.00 46,1,2024-09-07 08:58:00:586,492421,492421,0,0,228672444485,2358221018,490064,2083,274,366,391539,0 46,2,2024-09-07 08:58:00:597,350195,350195,0,0,12689906,0,2920 46,3,2024-09-07 08:58:01:133,1,278,1,0,908,4115,278,0 47,0,2024-09-07 08:58:01:128,66821,0.4,66755,0.6,134059,0.3,177566,1.75 47,1,2024-09-07 08:58:00:587,491761,491761,0,0,229116755418,2362882735,489391,2236,134,366,391641,0 47,2,2024-09-07 08:58:00:912,352502,352502,0,0,12939060,0,2558 47,3,2024-09-07 08:58:01:120,1,278,8,0,529,2487,278,0 48,0,2024-09-07 08:58:01:488,72427,0.3,71966,0.4,143609,0.2,192145,1.50 48,1,2024-09-07 08:58:01:024,490907,490907,0,0,229057168693,2377880080,487735,2872,300,384,391710,0 48,2,2024-09-07 08:58:00:705,351637,351637,0,0,12595437,0,3031 48,3,2024-09-07 08:58:00:756,1,278,1,0,339,2342,278,0 49,0,2024-09-07 08:58:01:793,73746,0.3,72307,0.5,140317,0.3,191773,1.75 49,1,2024-09-07 08:58:01:024,489887,489887,0,0,227627198192,2371271567,485998,2708,1181,382,391809,0 49,2,2024-09-07 08:58:01:800,356048,356048,0,0,13404482,0,3900 49,3,2024-09-07 08:58:01:416,1,278,4,0,408,2921,278,0 50,0,2024-09-07 08:58:01:506,67429,0.3,66881,0.5,134463,0.2,179266,1.75 50,1,2024-09-07 08:58:01:009,491446,491446,0,0,230136068737,2379424464,488307,2829,310,368,391540,0 50,2,2024-09-07 08:58:01:068,352418,352418,0,0,12341935,0,2263 50,3,2024-09-07 08:58:01:291,1,278,8,0,335,2367,278,0 51,0,2024-09-07 08:58:01:700,68568,0.3,67037,0.4,130764,0.2,177669,1.50 51,1,2024-09-07 08:58:01:680,492262,492262,0,0,229551270797,2368327756,489443,1879,940,365,391706,0 51,2,2024-09-07 08:58:01:333,349625,349625,0,0,11631361,0,3337 51,3,2024-09-07 08:58:01:026,1,278,0,0,678,2166,278,0 52,0,2024-09-07 08:58:01:421,70752,0.4,70319,0.6,140931,0.4,187354,2.00 52,1,2024-09-07 08:58:00:576,489635,489635,0,0,227585193307,2384776897,482311,6070,1254,368,391722,0 52,2,2024-09-07 08:58:01:761,348223,348185,38,0,16215757,0,6742 52,3,2024-09-07 08:58:00:695,1,278,3,0,1782,4612,278,0 53,0,2024-09-07 08:58:01:729,73186,0.7,71166,0.8,148766,0.8,194829,2.50 53,1,2024-09-07 08:58:00:779,488578,488578,0,0,227477395916,2389469315,480515,5614,2449,367,391702,0 53,2,2024-09-07 08:58:01:310,354932,354932,0,0,13665714,0,2727 53,3,2024-09-07 08:58:00:708,1,278,0,0,308,2666,278,0 54,0,2024-09-07 08:58:01:615,67628,0.7,68216,0.8,135103,0.5,181009,2.50 54,1,2024-09-07 08:58:00:585,490027,490027,0,0,228929619312,2380940805,484926,4502,599,367,391659,0 54,2,2024-09-07 08:58:00:870,353835,353829,6,0,15628049,0,5382 54,3,2024-09-07 08:58:00:769,1,278,9,0,676,4524,278,0 55,0,2024-09-07 08:58:01:768,65105,0.6,67408,0.8,135532,0.5,177223,2.25 55,1,2024-09-07 08:58:00:769,489526,489526,0,0,228049461603,2375514498,483346,5272,908,365,391731,0 55,2,2024-09-07 08:58:00:731,347831,347831,0,0,15037660,0,3563 55,3,2024-09-07 08:58:00:688,1,278,2,0,304,3008,278,0 56,0,2024-09-07 08:58:01:605,70371,1.1,66386,1.1,136631,1.6,183018,2.50 56,1,2024-09-07 08:58:00:575,487926,487926,0,0,227450713707,2403501343,480146,6246,1534,381,391729,0 56,2,2024-09-07 08:58:01:316,350209,350209,0,0,16129114,0,3567 56,3,2024-09-07 08:58:01:067,1,278,1,0,705,3924,278,0 57,0,2024-09-07 08:58:00:950,73505,1.3,73390,1.1,146762,1.9,196542,3.00 57,1,2024-09-07 08:58:00:994,489418,489418,0,0,228165623672,2389865278,483575,5157,686,367,392032,0 57,2,2024-09-07 08:58:01:339,353762,353762,0,0,17580892,0,3317 57,3,2024-09-07 08:58:01:743,1,278,1,0,359,3579,278,0 58,0,2024-09-07 08:58:00:564,68016,1.0,66141,1.0,138466,1.4,181789,2.50 58,1,2024-09-07 08:58:00:575,490368,490365,0,3,228135170037,2384908608,483575,5901,889,367,391603,3 58,2,2024-09-07 08:58:01:080,354477,354477,0,0,15901201,0,2549 58,3,2024-09-07 08:58:01:070,1,278,1,0,1043,3094,278,0 59,0,2024-09-07 08:58:01:742,67482,0.8,67281,1.0,134167,0.8,178262,2.75 59,1,2024-09-07 08:58:00:815,489431,489431,0,0,227889575827,2384708274,482995,5153,1283,369,391525,0 59,2,2024-09-07 08:58:00:583,350118,350118,0,0,14018181,0,2604 59,3,2024-09-07 08:58:01:737,1,278,28,0,1015,3895,278,0 60,0,2024-09-07 08:58:01:714,67047,0.4,66922,0.6,135004,0.3,178694,1.75 60,1,2024-09-07 08:58:00:777,491588,491588,0,0,229398420861,2375617669,489128,1941,519,370,392031,0 60,2,2024-09-07 08:58:01:155,350794,350794,0,0,15165749,0,3811 60,3,2024-09-07 08:58:01:260,1,278,175,0,175,2763,278,0 61,0,2024-09-07 08:58:01:640,73212,0.5,73647,0.7,146592,0.5,195844,1.75 61,1,2024-09-07 08:58:00:778,489830,489830,0,0,228094155378,2384112346,485190,3935,705,382,391880,0 61,2,2024-09-07 08:58:01:147,352692,352692,0,0,13866621,0,2780 61,3,2024-09-07 08:58:01:692,1,278,4,0,397,3618,278,0 62,0,2024-09-07 08:58:01:706,71346,0.6,72756,0.7,138641,0.6,188752,2.00 62,1,2024-09-07 08:58:01:121,492807,492801,0,6,230460470785,2375359601,490218,2464,119,365,391715,6 62,2,2024-09-07 08:58:01:646,354039,354038,1,0,15157505,0,5555 62,3,2024-09-07 08:58:01:151,1,278,1,0,287,1878,278,0 63,0,2024-09-07 08:58:01:459,67544,0.5,67737,0.6,135365,0.4,179768,1.75 63,1,2024-09-07 08:58:00:806,491683,491677,0,6,228629494108,2366199813,489941,1680,56,381,391677,6 63,2,2024-09-07 08:58:00:762,350842,350842,0,0,12467142,0,2674 63,3,2024-09-07 08:58:01:733,1,278,8,0,667,3102,278,0 64,0,2024-09-07 08:58:01:546,65838,0.4,65708,0.6,131509,0.4,174929,1.75 64,1,2024-09-07 08:58:00:754,490283,490283,0,0,228676280184,2382534262,485743,3124,1416,370,391783,0 64,2,2024-09-07 08:58:01:177,353090,353071,19,0,13440642,0,6121 64,3,2024-09-07 08:58:01:181,1,278,8,0,265,2682,278,0 65,0,2024-09-07 08:58:01:681,70161,0.6,70611,0.7,140766,0.6,188284,2.00 65,1,2024-09-07 08:58:00:861,489303,489303,0,0,227606273358,2379534512,485623,3234,446,382,391901,0 65,2,2024-09-07 08:58:01:693,351430,351430,0,0,14862179,0,3367 65,3,2024-09-07 08:58:01:683,1,278,1,0,163,2681,278,0 66,0,2024-09-07 08:58:01:768,72736,0.5,72558,0.7,145751,0.5,193309,2.00 66,1,2024-09-07 08:58:01:293,490960,490960,0,0,228556597112,2374676331,488104,2611,245,380,391653,0 66,2,2024-09-07 08:58:01:139,356522,356522,0,0,14024361,0,4956 66,3,2024-09-07 08:58:01:081,1,278,2,0,291,2800,278,0 67,0,2024-09-07 08:58:01:421,68082,0.6,67313,0.7,135619,0.6,180171,2.00 67,1,2024-09-07 08:58:00:770,490555,490554,0,1,229040618207,2385969473,486701,3104,749,380,391787,1 67,2,2024-09-07 08:58:00:589,353902,353902,0,0,12927673,0,2889 67,3,2024-09-07 08:58:01:756,1,278,1,0,338,2488,278,0 68,0,2024-09-07 08:58:00:581,67518,0.6,67317,0.7,134321,0.5,179439,2.00 68,1,2024-09-07 08:58:00:585,489333,489333,0,0,227265372586,2380896710,485062,3100,1171,381,391953,0 68,2,2024-09-07 08:58:01:050,347657,347592,65,0,17086403,0,6698 68,3,2024-09-07 08:58:00:730,1,278,1,0,417,3171,278,0 69,0,2024-09-07 08:58:01:733,69128,0.7,69546,0.8,138690,0.9,184479,2.25 69,1,2024-09-07 08:58:01:031,488256,488256,0,0,227314217313,2398072947,481929,4742,1585,384,391994,0 69,2,2024-09-07 08:58:01:733,349983,349983,0,0,17242242,0,3722 69,3,2024-09-07 08:58:00:767,1,278,1,0,698,4086,278,0 70,0,2024-09-07 08:58:01:540,74094,1.0,74193,1.1,148951,0.8,197264,2.50 70,1,2024-09-07 08:58:00:801,491199,491199,0,0,229094405245,2379160989,486988,3675,536,366,391725,0 70,2,2024-09-07 08:58:01:324,353807,353807,0,0,15696651,0,4044 70,3,2024-09-07 08:58:00:748,1,278,0,0,854,3180,278,0 71,0,2024-09-07 08:58:01:386,68174,1.1,68089,1.1,136471,1.4,182761,2.75 71,1,2024-09-07 08:58:01:597,489471,489471,0,0,227737517782,2375820907,483967,4861,643,368,391738,0 71,2,2024-09-07 08:58:01:072,354205,354205,0,0,15995521,0,4042 71,3,2024-09-07 08:58:01:752,1,278,12,0,644,3839,278,0 72,0,2024-09-07 08:58:01:055,70000,0.7,68508,0.8,133382,0.7,181499,2.25 72,1,2024-09-07 08:58:01:038,489674,489674,0,0,227801327374,2381545260,483313,4911,1450,369,391819,0 72,2,2024-09-07 08:58:01:770,347686,347686,0,0,17213841,0,3983 72,3,2024-09-07 08:58:01:754,1,278,10,0,564,4674,278,0 73,0,2024-09-07 08:58:01:157,66432,0.4,67914,0.6,139188,0.3,181241,2.00 73,1,2024-09-07 08:58:00:778,490388,490388,0,0,228625649136,2375077603,486988,3115,285,367,391750,0 73,2,2024-09-07 08:58:01:745,350822,350822,0,0,16928825,0,3701 73,3,2024-09-07 08:58:01:004,1,278,25,0,274,3953,278,0 74,0,2024-09-07 08:58:01:324,74648,0.4,76380,0.6,145894,0.3,197843,2.00 74,1,2024-09-07 08:58:00:634,489721,489721,0,0,227978985951,2379217204,484852,3903,966,381,391681,0 74,2,2024-09-07 08:58:01:004,352596,352596,0,0,16463696,0,4253 74,3,2024-09-07 08:58:01:447,1,278,0,0,522,3607,278,0 75,0,2024-09-07 08:58:01:763,69827,0.5,69511,0.7,139179,0.5,186492,2.25 75,1,2024-09-07 08:58:01:585,489057,489057,0,0,228103922067,2383306795,483899,4494,664,380,391660,0 75,2,2024-09-07 08:58:01:380,353990,353990,0,0,16468046,0,4766 75,3,2024-09-07 08:58:01:077,1,278,8,0,702,3930,278,0 76,0,2024-09-07 08:58:00:632,67244,0.7,66564,0.8,133780,0.7,179113,2.50 76,1,2024-09-07 08:58:00:820,490148,490148,0,0,228821643708,2383656900,487034,2562,552,382,391692,0 76,2,2024-09-07 08:58:01:070,350827,350827,0,0,13339522,0,3784 76,3,2024-09-07 08:58:01:151,1,278,0,0,175,2718,278,0 77,0,2024-09-07 08:58:01:731,66507,0.4,66765,0.7,133369,0.4,177324,1.75 77,1,2024-09-07 08:58:00:832,490576,490576,0,0,228468198168,2382222632,487103,3216,257,381,391869,0 77,2,2024-09-07 08:58:01:283,350491,350491,0,0,14308224,0,3890 77,3,2024-09-07 08:58:01:100,1,278,2,0,382,2995,278,0 78,0,2024-09-07 08:58:01:746,72186,0.4,71666,0.6,144001,0.3,191346,2.00 78,1,2024-09-07 08:58:00:610,490407,490407,0,0,227770175264,2362806458,487275,2813,319,367,391670,0 78,2,2024-09-07 08:58:01:419,352025,352025,0,0,12777105,0,3855 78,3,2024-09-07 08:58:01:178,1,278,1,0,181,2592,278,0 79,0,2024-09-07 08:58:01:353,69562,0.4,71357,0.6,145788,0.4,189392,2.25 79,1,2024-09-07 08:58:00:575,492072,492072,0,0,228786106541,2364232161,489217,2518,337,369,391682,0 79,2,2024-09-07 08:58:01:072,356192,356192,0,0,12877650,0,3212 79,3,2024-09-07 08:58:00:770,1,278,2,0,418,3868,278,0 80,0,2024-09-07 08:58:01:080,67395,0.6,69278,0.7,132651,0.6,179037,2.00 80,1,2024-09-07 08:58:01:619,490046,490046,0,0,227420932593,2365598905,487056,2819,171,368,391791,0 80,2,2024-09-07 08:58:01:091,352910,352910,0,0,13202231,0,4433 80,3,2024-09-07 08:58:00:575,1,278,8,0,190,3652,278,0 81,0,2024-09-07 08:58:01:577,66706,0.5,68536,0.6,131019,0.4,176747,1.75 81,1,2024-09-07 08:58:01:650,489080,489080,0,0,227607264975,2378308113,484913,3692,475,382,391879,0 81,2,2024-09-07 08:58:01:179,349506,349506,0,0,13724306,0,3993 81,3,2024-09-07 08:58:01:119,1,278,1,0,374,3226,278,0 82,0,2024-09-07 08:58:01:535,70003,0.4,70374,0.6,140987,0.3,187496,1.75 82,1,2024-09-07 08:58:00:585,490843,490839,0,4,228635075258,2379359366,487535,2693,611,381,391768,4 82,2,2024-09-07 08:58:01:691,351831,351831,0,0,13032561,0,3986 82,3,2024-09-07 08:58:01:752,1,278,2,0,363,3025,278,0 83,0,2024-09-07 08:58:01:523,73850,0.5,73780,0.7,146604,0.5,195128,2.00 83,1,2024-09-07 08:58:00:557,489662,489662,0,0,228125475891,2377292866,486309,3107,246,382,391709,0 83,2,2024-09-07 08:58:00:767,354478,354478,0,0,13182692,0,3119 83,3,2024-09-07 08:58:00:758,1,278,10,0,250,3095,278,0 84,0,2024-09-07 08:58:01:798,68195,0.8,68067,0.9,136225,0.7,182457,2.25 84,1,2024-09-07 08:58:01:271,489299,489299,0,0,227704143514,2379275658,484360,4355,584,367,391967,0 84,2,2024-09-07 08:58:00:597,353805,353805,0,0,15173670,0,3801 84,3,2024-09-07 08:58:01:201,1,278,6,0,908,4387,278,0 85,0,2024-09-07 08:58:01:017,65088,0.6,65034,0.8,138057,0.6,178610,2.25 85,1,2024-09-07 08:58:00:585,488792,488792,0,0,227293953227,2399720205,481624,6050,1118,381,392006,0 85,2,2024-09-07 08:58:00:870,349610,349610,0,0,15886410,0,3656 85,3,2024-09-07 08:58:00:695,1,278,3,0,789,3643,278,0 86,0,2024-09-07 08:58:00:890,68896,0.6,70748,0.7,135524,0.6,182945,2.00 86,1,2024-09-07 08:58:00:828,489892,489892,0,0,228053735409,2385003347,484401,4659,832,366,391961,0 86,2,2024-09-07 08:58:00:861,349375,349374,1,0,16944900,0,5004 86,3,2024-09-07 08:58:00:590,1,278,1,0,286,3883,278,0 87,0,2024-09-07 08:58:01:726,73999,0.8,74021,0.9,148502,1.0,198417,2.25 87,1,2024-09-07 08:58:00:604,489675,489675,0,0,227991329504,2383219222,484896,4285,494,366,392012,0 87,2,2024-09-07 08:58:01:069,352959,352959,0,0,15333324,0,4045 87,3,2024-09-07 08:58:01:807,1,278,17,0,473,4041,278,0 88,0,2024-09-07 08:58:01:441,69247,0.5,69628,0.6,138912,0.5,184969,2.00 88,1,2024-09-07 08:58:00:604,487896,487896,0,0,226960930073,2381843122,480986,5337,1573,365,392084,0 88,2,2024-09-07 08:58:00:702,354489,354489,0,0,17191684,0,3583 88,3,2024-09-07 08:58:01:271,1,278,0,0,435,3310,278,0 89,0,2024-09-07 08:58:01:781,69857,0.5,67512,0.7,133987,0.4,181594,1.75 89,1,2024-09-07 08:58:00:557,488030,488030,0,0,227908863302,2401835898,480754,6011,1265,382,391866,0 89,2,2024-09-07 08:58:01:140,348100,348100,0,0,16216076,0,2910 89,3,2024-09-07 08:58:01:795,1,278,53,0,385,5596,278,0 90,0,2024-09-07 08:58:01:790,65187,0.4,66644,0.6,136477,0.4,177903,1.75 90,1,2024-09-07 08:58:00:611,489462,489462,0,0,227419526507,2382403731,484845,4296,321,381,391825,0 90,2,2024-09-07 08:58:01:458,348953,348953,0,0,17606035,0,3060 90,3,2024-09-07 08:58:00:941,1,278,2,0,200,2867,278,0 91,0,2024-09-07 08:58:00:945,73434,0.4,71301,0.6,148769,0.4,195785,1.75 91,1,2024-09-07 08:58:00:591,488371,488371,0,0,227752865377,2395380338,481835,5649,887,381,392047,0 91,2,2024-09-07 08:58:01:355,351728,351728,0,0,15822260,0,2896 91,3,2024-09-07 08:58:00:601,1,278,5,0,216,2524,278,0 92,0,2024-09-07 08:58:01:492,71385,0.5,73199,0.6,139769,0.5,189000,1.75 92,1,2024-09-07 08:58:00:588,489974,489974,0,0,227900738246,2374461134,487226,2339,409,382,392136,0 92,2,2024-09-07 08:58:01:364,356761,356761,0,0,13447562,0,2801 92,3,2024-09-07 08:58:01:010,1,278,1,0,167,2172,278,0 93,0,2024-09-07 08:58:01:038,67966,0.4,69660,0.6,133116,0.3,180375,1.75 93,1,2024-09-07 08:58:00:896,490348,490348,0,0,228074234904,2377932054,485782,3776,790,366,391776,0 93,2,2024-09-07 08:58:00:937,350677,350677,0,0,14223972,0,2797 93,3,2024-09-07 08:58:01:413,1,278,0,0,190,2798,278,0 94,0,2024-09-07 08:58:01:679,65842,0.3,66664,0.5,132826,0.3,175800,1.75 94,1,2024-09-07 08:58:00:575,489712,489712,0,0,228360635500,2383496732,486319,3213,180,381,391850,0 94,2,2024-09-07 08:58:00:783,349962,349962,0,0,13301647,0,2443 94,3,2024-09-07 08:58:01:689,1,278,3,0,264,3410,278,0 95,0,2024-09-07 08:58:01:442,70865,0.3,70749,0.5,142224,0.3,189140,1.50 95,1,2024-09-07 08:58:00:859,490413,490413,0,0,228217028056,2370516808,486708,3328,377,367,391713,0 95,2,2024-09-07 08:58:01:021,350655,350655,0,0,13645550,0,3308 95,3,2024-09-07 08:58:01:710,1,278,10,0,718,4672,278,0 96,0,2024-09-07 08:58:01:056,73251,0.4,73438,0.5,146710,0.3,193889,1.75 96,1,2024-09-07 08:58:01:598,489588,489588,0,0,228130812110,2380976276,485954,2899,735,384,391894,0 96,2,2024-09-07 08:58:01:270,354998,354998,0,0,14291045,0,4042 96,3,2024-09-07 08:58:01:144,1,278,1,0,411,3159,278,0 97,0,2024-09-07 08:58:01:328,67845,0.3,67547,0.5,135640,0.3,179917,1.75 97,1,2024-09-07 08:58:00:816,491512,491512,0,0,229103635921,2374231111,488456,2497,559,367,392140,0 97,2,2024-09-07 08:58:00:630,353600,353600,0,0,13572916,0,3036 97,3,2024-09-07 08:58:00:583,1,278,26,0,214,3145,278,0 98,0,2024-09-07 08:58:01:745,67407,0.3,67363,0.4,135338,0.2,179549,1.50 98,1,2024-09-07 08:58:00:582,490930,490930,0,0,228288601489,2373883617,488768,2061,101,382,391997,0 98,2,2024-09-07 08:58:00:769,349088,349088,0,0,13606670,0,3080 98,3,2024-09-07 08:58:00:705,1,278,3,0,840,4826,278,0 99,0,2024-09-07 08:58:01:637,69604,0.3,69941,0.4,139343,0.2,186335,1.50 99,1,2024-09-07 08:58:01:727,490422,490422,0,0,227815174212,2372740295,486614,3067,741,381,392069,0 99,2,2024-09-07 08:58:01:453,352732,352732,0,0,14566115,0,3106 99,3,2024-09-07 08:58:00:585,1,278,14,0,187,2289,278,0 100,0,2024-09-07 08:58:01:461,74530,0.8,74434,1.0,149063,1.1,199149,2.50 100,1,2024-09-07 08:58:00:551,487074,487074,0,0,226891740862,2399347770,479554,6044,1476,381,391989,0 100,2,2024-09-07 08:58:01:827,352669,352658,11,0,16313404,0,5417 100,3,2024-09-07 08:58:01:734,1,278,1,0,559,5015,278,0 101,0,2024-09-07 08:58:01:721,70103,1.4,68344,1.1,134100,1.3,184299,2.50 101,1,2024-09-07 08:58:00:551,487375,487375,0,0,227191317616,2395429043,479040,6356,1979,368,391769,0 101,2,2024-09-07 08:58:01:759,352645,352645,0,0,17947642,0,4644 101,3,2024-09-07 08:58:00:946,1,278,19,0,448,3363,278,0 102,0,2024-09-07 08:58:00:964,66021,0.7,68434,0.8,137792,0.6,180603,2.00 102,1,2024-09-07 08:58:01:145,488614,488614,0,0,227585974729,2390974237,482383,5326,905,369,391883,0 102,2,2024-09-07 08:58:01:746,349548,349494,54,0,15643916,0,6768 102,3,2024-09-07 08:58:01:615,1,278,4,0,410,2991,278,0 103,0,2024-09-07 08:58:01:616,70083,0.4,69986,0.6,132197,0.4,182658,2.00 103,1,2024-09-07 08:58:01:635,487905,487905,0,0,227752999319,2402178064,480479,5808,1618,381,391829,0 103,2,2024-09-07 08:58:00:587,349218,349218,0,0,15439712,0,2582 103,3,2024-09-07 08:58:00:765,1,278,7,0,916,3693,278,0 104,0,2024-09-07 08:58:01:014,73627,0.6,74038,0.9,146910,0.6,197928,2.25 104,1,2024-09-07 08:58:01:598,489570,489570,0,0,227588101056,2392263594,482406,5990,1174,365,392168,0 104,2,2024-09-07 08:58:01:669,351650,351650,0,0,17150210,0,3941 104,3,2024-09-07 08:58:01:417,1,278,1,0,1245,6683,278,0 105,0,2024-09-07 08:58:01:043,68905,1.0,67062,1.2,140161,1.4,184818,3.25 105,1,2024-09-07 08:58:00:591,489587,489587,0,0,227672662382,2388882164,482730,5848,1009,366,391797,0 105,2,2024-09-07 08:58:01:338,354426,354426,0,0,16409708,0,3509 105,3,2024-09-07 08:58:01:323,1,278,79,0,399,4545,278,0 106,0,2024-09-07 08:58:00:965,65182,0.9,66782,1.0,136675,1.0,178544,2.75 106,1,2024-09-07 08:58:01:752,489173,489173,0,0,227003532335,2387501067,481054,7123,996,369,391865,0 106,2,2024-09-07 08:58:00:767,347926,347926,0,0,15376667,0,2795 106,3,2024-09-07 08:58:00:695,1,278,1,0,470,3913,278,0 107,0,2024-09-07 08:58:01:108,66425,0.7,66428,0.8,132800,0.7,176945,2.00 107,1,2024-09-07 08:58:00:586,487707,487707,0,0,227294943715,2391349232,481737,5286,684,381,392234,0 107,2,2024-09-07 08:58:01:291,349502,349501,1,0,16618219,0,5024 107,3,2024-09-07 08:58:01:765,1,278,18,0,353,3644,278,0 108,0,2024-09-07 08:58:01:851,71817,0.4,72162,0.5,143451,0.3,192047,1.75 108,1,2024-09-07 08:58:01:296,490019,490019,0,0,228335538037,2376434834,486785,2847,387,368,391857,0 108,2,2024-09-07 08:58:01:770,350434,350434,0,0,14837829,0,2647 108,3,2024-09-07 08:58:01:347,1,278,5,0,749,5658,278,0 109,0,2024-09-07 08:58:01:754,72324,0.4,71505,0.5,143480,0.3,191422,1.75 109,1,2024-09-07 08:58:00:589,488231,488231,0,0,228582077763,2394607850,484288,3426,517,383,392132,0 109,2,2024-09-07 08:58:00:931,353433,353433,0,0,15295952,0,3617 109,3,2024-09-07 08:58:01:175,1,278,2,0,249,3149,278,0 110,0,2024-09-07 08:58:01:748,67431,0.4,65679,0.6,137467,0.3,179781,1.75 110,1,2024-09-07 08:58:01:648,491439,491439,0,0,228884258936,2373802559,488287,2272,880,369,392045,0 110,2,2024-09-07 08:58:01:302,352535,352535,0,0,14411394,0,3264 110,3,2024-09-07 08:58:00:707,1,278,1,0,406,3551,278,0 111,0,2024-09-07 08:58:01:412,67139,0.3,66613,0.5,133329,0.3,178155,1.75 111,1,2024-09-07 08:58:01:000,491517,491517,0,0,229221678522,2374107219,489506,1675,336,382,391690,0 111,2,2024-09-07 08:58:01:121,349072,349072,0,0,13708411,0,2763 111,3,2024-09-07 08:58:00:917,1,278,21,0,379,3625,278,0 112,0,2024-09-07 08:58:00:912,70599,0.3,70264,0.4,140798,0.2,187476,1.50 112,1,2024-09-07 08:58:00:846,491283,491283,0,0,228382210732,2367318734,488678,2170,435,380,391624,0 112,2,2024-09-07 08:58:01:149,350567,350566,1,0,14040039,0,5036 112,3,2024-09-07 08:58:00:597,1,278,1,0,282,2775,278,0 113,0,2024-09-07 08:58:00:871,73482,0.3,73559,0.5,147691,0.2,196585,1.50 113,1,2024-09-07 08:58:01:686,492212,492212,0,0,229256445008,2364101725,489704,2018,490,366,391661,0 113,2,2024-09-07 08:58:01:305,355652,355652,0,0,12658310,0,3813 113,3,2024-09-07 08:58:00:705,1,278,1,0,340,3782,278,0 114,0,2024-09-07 08:58:00:900,69074,0.4,69491,0.5,137997,0.3,184479,1.75 114,1,2024-09-07 08:58:00:716,490363,490363,0,0,228796581747,2380249820,485534,3240,1589,381,391556,0 114,2,2024-09-07 08:58:00:873,353958,353957,1,0,13862789,0,5069 114,3,2024-09-07 08:58:01:278,1,278,2,0,395,2771,278,0 115,0,2024-09-07 08:58:00:560,67524,0.3,67796,0.4,135679,0.2,180715,1.50 115,1,2024-09-07 08:58:00:576,490538,490538,0,0,228136203728,2371837733,486133,3568,837,382,391639,0 115,2,2024-09-07 08:58:01:174,349831,349831,0,0,11977146,0,2346 115,3,2024-09-07 08:58:01:003,1,278,5,0,159,1596,278,0 116,0,2024-09-07 08:58:01:706,68715,0.8,68541,0.9,137114,0.9,183689,2.00 116,1,2024-09-07 08:58:00:822,487672,487672,0,0,227256043501,2400596104,481129,4486,2057,380,391782,0 116,2,2024-09-07 08:58:01:761,349224,349224,0,0,17792548,0,3529 116,3,2024-09-07 08:58:00:930,1,278,10,0,415,3855,278,0 117,0,2024-09-07 08:58:00:959,74566,0.7,74221,0.8,148297,0.7,198951,2.00 117,1,2024-09-07 08:58:01:578,488871,488871,0,0,227315110221,2379395787,483623,4699,549,370,392033,0 117,2,2024-09-07 08:58:01:121,355492,355492,0,0,14435880,0,3700 117,3,2024-09-07 08:58:01:067,1,278,4,0,490,4344,278,0 118,0,2024-09-07 08:58:01:860,67328,0.6,69267,0.7,140946,0.6,184313,2.00 118,1,2024-09-07 08:58:00:594,488979,488979,0,0,227373849168,2391158532,481765,5397,1817,366,391736,0 118,2,2024-09-07 08:58:01:618,353785,353785,0,0,15599432,0,2781 118,3,2024-09-07 08:58:01:787,1,278,1,0,235,3094,278,0 119,0,2024-09-07 08:58:01:506,67367,0.8,67875,0.8,135753,0.9,180498,2.25 119,1,2024-09-07 08:58:00:589,490007,490007,0,0,228169753745,2385785967,484547,4793,667,367,391780,0 119,2,2024-09-07 08:58:01:287,349027,349027,0,0,14621125,0,4174 119,3,2024-09-07 08:58:01:434,1,278,16,0,443,3729,278,0 120,0,2024-09-07 08:58:01:549,66795,0.5,66592,0.7,133424,0.4,178415,2.00 120,1,2024-09-07 08:58:00:861,489497,489497,0,0,227618384484,2387274158,484247,4834,416,368,391961,0 120,2,2024-09-07 08:58:00:793,349964,349963,1,0,17711022,0,5281 120,3,2024-09-07 08:58:01:291,1,278,4,0,241,3415,278,0 121,0,2024-09-07 08:58:01:701,73321,0.9,73282,0.9,147023,1.1,195862,2.00 121,1,2024-09-07 08:58:01:656,489474,489474,0,0,227736358150,2383206321,484370,4684,420,367,391840,0 121,2,2024-09-07 08:58:01:126,350959,350959,0,0,16957989,0,4127 121,3,2024-09-07 08:58:00:730,1,278,5,0,269,3232,278,0 122,0,2024-09-07 08:58:01:806,70591,0.8,68808,0.9,144225,0.9,188985,2.00 122,1,2024-09-07 08:58:00:882,488632,488632,0,0,228054744304,2394623343,481497,6060,1075,366,392130,0 122,2,2024-09-07 08:58:01:325,354265,354192,73,0,19253250,0,5989 122,3,2024-09-07 08:58:00:597,1,278,54,0,411,5644,278,0 123,0,2024-09-07 08:58:00:951,67373,0.9,65867,0.8,137304,1.0,179515,2.25 123,1,2024-09-07 08:58:00:568,488857,488857,0,0,227487205286,2398392583,479671,7358,1828,369,392039,0 123,2,2024-09-07 08:58:01:023,348373,348372,1,0,15808336,0,5215 123,3,2024-09-07 08:58:01:207,1,278,1,0,168,3202,278,0 124,0,2024-09-07 08:58:00:951,68233,0.3,68258,0.5,128753,0.3,176492,1.50 124,1,2024-09-07 08:58:01:033,491080,491080,0,0,228158007337,2368365406,488163,2551,366,367,392178,0 124,2,2024-09-07 08:58:01:010,350429,350429,0,0,13076222,0,3101 124,3,2024-09-07 08:58:00:759,1,278,23,0,490,2954,278,0 125,0,2024-09-07 08:58:01:472,70944,0.3,70599,0.5,142165,0.3,189217,1.50 125,1,2024-09-07 08:58:00:859,489127,489127,0,0,228005510805,2376087928,485986,2745,396,383,391702,0 125,2,2024-09-07 08:58:01:122,351095,351095,0,0,14544894,0,4534 125,3,2024-09-07 08:58:01:193,1,278,96,0,709,3758,278,0 126,0,2024-09-07 08:58:01:463,73214,0.5,75164,0.6,143750,0.4,194773,1.75 126,1,2024-09-07 08:58:00:551,491299,491299,0,0,228545759672,2366084550,488575,2460,264,365,391987,0 126,2,2024-09-07 08:58:00:610,356201,356201,0,0,14511528,0,3186 126,3,2024-09-07 08:58:00:907,1,278,2,0,207,3785,278,0 127,0,2024-09-07 08:58:01:591,67553,0.4,67931,0.5,135410,0.3,179983,1.75 127,1,2024-09-07 08:58:00:589,491053,491053,0,0,228326205939,2363857077,488079,2821,153,365,391816,0 127,2,2024-09-07 08:58:00:637,353480,353480,0,0,12973303,0,2264 127,3,2024-09-07 08:58:01:267,1,278,9,0,968,3137,278,0 128,0,2024-09-07 08:58:01:562,67779,0.3,67752,0.4,135408,0.2,180028,1.50 128,1,2024-09-07 08:58:01:615,490374,490374,0,0,228496461193,2369123906,487971,2245,158,367,391798,0 128,2,2024-09-07 08:58:01:392,349995,349995,0,0,12981762,0,2434 128,3,2024-09-07 08:58:00:777,1,278,5,0,1082,5480,278,0 129,0,2024-09-07 08:58:01:007,70082,0.3,69846,0.4,139707,0.2,186000,1.50 129,1,2024-09-07 08:58:00:570,487962,487962,0,0,227075865819,2377983436,483724,3306,932,379,391835,0 129,2,2024-09-07 08:58:00:696,351140,351140,0,0,13887617,0,4031 129,3,2024-09-07 08:58:00:695,1,278,0,0,469,3800,278,0 130,0,2024-09-07 08:58:01:719,75371,0.6,74989,0.6,150519,0.6,200232,1.75 130,1,2024-09-07 08:58:00:586,490309,490309,0,0,227773148993,2367551759,487859,2371,79,381,391825,0 130,2,2024-09-07 08:58:01:131,355566,355566,0,0,13693721,0,4067 130,3,2024-09-07 08:58:01:290,1,278,29,0,450,3696,278,0 131,0,2024-09-07 08:58:01:963,68653,0.4,69167,0.5,138924,0.3,184156,1.75 131,1,2024-09-07 08:58:01:823,490855,490855,0,0,228172610262,2377913942,487716,2679,460,381,391865,0 131,2,2024-09-07 08:58:00:574,355901,355901,0,0,12594104,0,2415 131,3,2024-09-07 08:58:01:688,1,278,28,0,392,2892,278,0 132,0,2024-09-07 08:58:01:434,67470,0.5,68437,0.6,136288,0.4,181078,2.00 132,1,2024-09-07 08:58:00:590,487646,487646,0,0,226672557950,2392007441,479604,6583,1459,381,392097,0 132,2,2024-09-07 08:58:00:710,348776,348776,0,0,16335037,0,4606 132,3,2024-09-07 08:58:01:689,1,278,5,0,356,3760,278,0 133,0,2024-09-07 08:58:01:587,66370,0.4,67980,0.5,139175,0.3,181255,1.75 133,1,2024-09-07 08:58:00:587,488506,488506,0,0,227565912955,2397619105,481909,5636,961,383,391914,0 133,2,2024-09-07 08:58:01:093,349400,349400,0,0,17749256,0,4315 133,3,2024-09-07 08:58:01:297,1,278,5,0,276,2693,278,0 134,0,2024-09-07 08:58:01:002,74324,0.4,74259,0.6,148659,0.4,198035,2.00 134,1,2024-09-07 08:58:00:595,488331,488331,0,0,227389457744,2387973449,481534,5321,1476,366,391718,0 134,2,2024-09-07 08:58:01:757,352692,352692,0,0,15116284,0,3847 134,3,2024-09-07 08:58:00:770,1,278,6,0,739,3975,278,0 135,0,2024-09-07 08:58:01:134,67341,0.8,67365,0.9,142991,0.9,184705,2.25 135,1,2024-09-07 08:58:01:584,488133,488133,0,0,227711740297,2398161370,480891,6052,1190,380,391805,0 135,2,2024-09-07 08:58:00:708,354958,354958,0,0,16593089,0,3981 135,3,2024-09-07 08:58:01:007,1,278,16,0,299,2095,278,0 136,0,2024-09-07 08:58:01:641,67961,0.6,67910,0.7,135351,0.6,180594,2.25 136,1,2024-09-07 08:58:01:448,488377,488377,0,0,227474256951,2390933068,482272,5462,643,382,391685,0 136,2,2024-09-07 08:58:01:139,349702,349702,0,0,15632910,0,3506 136,3,2024-09-07 08:58:01:119,1,278,0,0,150,2351,278,0 137,0,2024-09-07 08:58:00:930,68501,0.6,66508,0.7,131087,0.5,178182,2.00 137,1,2024-09-07 08:58:00:584,488412,488412,0,0,227588248073,2389026594,480507,6251,1654,366,391708,0 137,2,2024-09-07 08:58:01:705,349346,349346,0,0,17710852,0,3185 137,3,2024-09-07 08:58:00:777,1,278,1,0,382,3378,278,0 138,0,2024-09-07 08:58:01:747,71241,1.0,71397,0.9,143365,1.2,191290,2.25 138,1,2024-09-07 08:58:01:686,488279,488279,0,0,228330934515,2398650826,480527,6234,1518,368,391954,0 138,2,2024-09-07 08:58:00:585,350809,350809,0,0,16148885,0,4988 138,3,2024-09-07 08:58:00:616,1,278,0,0,1160,3982,278,0 139,0,2024-09-07 08:58:01:408,70828,1.6,71100,1.2,142263,2.4,189797,2.50 139,1,2024-09-07 08:58:00:582,486867,486867,0,0,226226688820,2405031931,477383,7060,2424,381,391892,0 139,2,2024-09-07 08:58:00:710,352683,352683,0,0,17051093,0,3097 139,3,2024-09-07 08:58:01:666,1,278,1,0,257,3088,278,0 140,0,2024-09-07 08:58:01:593,67799,0.3,67292,0.5,135332,0.2,180078,1.75 140,1,2024-09-07 08:58:01:558,492129,492129,0,0,229306518042,2361147398,490136,1750,243,365,391606,0 140,2,2024-09-07 08:58:00:695,352605,352605,0,0,13118735,0,3388 140,3,2024-09-07 08:58:00:769,1,278,18,0,247,2279,278,0 141,0,2024-09-07 08:58:01:713,66908,0.3,68766,0.4,131483,0.2,177985,1.50 141,1,2024-09-07 08:58:00:865,491665,491665,0,0,228672644666,2370000471,489066,2191,408,379,391614,0 141,2,2024-09-07 08:58:01:686,349783,349783,0,0,12867541,0,2342 141,3,2024-09-07 08:58:01:046,1,278,5,0,202,2481,278,0 142,0,2024-09-07 08:58:01:344,71014,0.3,70419,0.4,140251,0.2,187812,1.50 142,1,2024-09-07 08:58:00:588,490511,490511,0,0,227732204413,2372155213,487666,2578,267,382,392102,0 142,2,2024-09-07 08:58:01:299,350524,350492,32,0,14726114,0,6028 142,3,2024-09-07 08:58:01:747,1,278,42,0,484,3692,278,0 143,0,2024-09-07 08:58:01:396,73855,0.5,73826,0.6,148079,0.5,196504,1.75 143,1,2024-09-07 08:58:00:559,491062,491062,0,0,228302229489,2365253802,488296,2670,96,367,391651,0 143,2,2024-09-07 08:58:00:783,354851,354851,0,0,13889834,0,2669 143,3,2024-09-07 08:58:01:140,1,278,0,0,303,3507,278,0 144,0,2024-09-07 08:58:01:513,66434,0.6,68292,0.8,139174,0.5,182591,2.00 144,1,2024-09-07 08:58:00:591,488104,488104,0,0,227107990587,2382028277,483941,3235,928,381,391649,0 144,2,2024-09-07 08:58:01:757,354628,354628,0,0,13231074,0,3473 144,3,2024-09-07 08:58:01:740,1,278,0,0,249,3071,278,0 145,0,2024-09-07 08:58:01:419,65142,0.5,65133,0.8,138317,0.5,178583,2.25 145,1,2024-09-07 08:58:00:553,487797,487797,0,0,226993514530,2385792621,481948,5024,825,382,391698,0 145,2,2024-09-07 08:58:01:431,347956,347956,0,0,15695249,0,3903 145,3,2024-09-07 08:58:00:895,1,278,26,0,622,4215,278,0 146,0,2024-09-07 08:58:01:604,68872,0.5,68358,0.7,137579,0.5,182851,2.00 146,1,2024-09-07 08:58:01:586,489035,489035,0,0,227808040231,2397772640,480595,6593,1847,368,391770,0 146,2,2024-09-07 08:58:01:700,349748,349748,0,0,15915391,0,2730 146,3,2024-09-07 08:58:01:274,1,278,4,0,1520,6004,278,0 147,0,2024-09-07 08:58:01:701,74331,0.5,74240,0.7,147663,0.5,198579,2.00 147,1,2024-09-07 08:58:01:418,490857,490857,0,0,228645995261,2375965922,486741,3529,587,368,391791,0 147,2,2024-09-07 08:58:01:009,354859,354859,0,0,13453774,0,2789 147,3,2024-09-07 08:58:00:921,1,278,8,0,730,4091,278,0 0,0,2024-09-07 08:58:11:727,65255,0.4,65295,0.7,138416,0.4,179388,1.75 0,1,2024-09-07 08:58:10:802,491388,491388,0,0,228836835384,2391738404,487897,3282,209,370,391896,0 0,2,2024-09-07 08:58:11:067,351668,351668,0,0,13904307,0,4480 0,3,2024-09-07 08:58:10:974,1,279,11,0,319,3574,279,0 1,0,2024-09-07 08:58:11:777,73883,0.7,73350,0.8,147556,0.8,196914,2.00 1,1,2024-09-07 08:58:10:572,491131,491131,0,0,227897115218,2385597385,486592,3485,1054,370,391857,0 1,2,2024-09-07 08:58:10:657,353005,353005,0,0,13232271,0,3267 1,3,2024-09-07 08:58:11:307,1,279,52,0,262,3289,279,0 2,0,2024-09-07 08:58:11:572,71023,0.7,71298,0.8,141151,0.9,189145,2.00 2,1,2024-09-07 08:58:10:862,492206,492206,0,0,229540838189,2382870185,490009,1977,220,380,391745,0 2,2,2024-09-07 08:58:11:266,356785,356785,0,0,13516931,0,3594 2,3,2024-09-07 08:58:10:693,1,279,1,0,357,2571,279,0 3,0,2024-09-07 08:58:11:753,67664,0.4,67625,0.6,135051,0.4,179457,2.00 3,1,2024-09-07 08:58:11:632,491211,491211,0,0,228442128697,2377217025,487365,3384,462,380,391556,0 3,2,2024-09-07 08:58:11:142,351146,351123,23,0,13677631,0,5851 3,3,2024-09-07 08:58:11:754,1,279,2,0,103,1629,279,0 4,0,2024-09-07 08:58:11:795,64248,0.3,66141,0.5,134467,0.3,176017,1.75 4,1,2024-09-07 08:58:10:604,490735,490735,0,0,227975044471,2395151301,485522,4290,923,371,391846,0 4,2,2024-09-07 08:58:11:031,349862,349862,0,0,16609701,0,4528 4,3,2024-09-07 08:58:11:027,1,279,1,0,448,4209,279,0 5,0,2024-09-07 08:58:11:493,71200,0.4,71581,0.5,142210,0.4,190040,1.75 5,1,2024-09-07 08:58:10:792,490570,490570,0,0,228331559592,2401326144,484353,4910,1307,368,392005,0 5,2,2024-09-07 08:58:11:836,351072,351072,0,0,15301613,0,2432 5,3,2024-09-07 08:58:11:738,1,279,5,0,457,4245,279,0 6,0,2024-09-07 08:58:10:926,73573,0.5,73060,0.7,145940,0.5,194826,2.00 6,1,2024-09-07 08:58:10:745,491137,491137,0,0,228396994551,2386363571,485942,4281,914,379,391694,0 6,2,2024-09-07 08:58:11:118,355765,355765,0,0,15032461,0,4816 6,3,2024-09-07 08:58:11:280,1,279,1,0,340,3222,279,0 7,0,2024-09-07 08:58:11:537,67391,0.5,67714,0.7,134888,0.5,179266,2.00 7,1,2024-09-07 08:58:10:853,490369,490369,0,0,228338329066,2396998979,483736,5523,1110,382,391747,0 7,2,2024-09-07 08:58:10:771,353342,353342,0,0,14763784,0,4791 7,3,2024-09-07 08:58:10:852,1,279,0,0,398,3295,279,0 8,0,2024-09-07 08:58:11:399,67784,0.4,67554,0.5,135008,0.3,180199,1.75 8,1,2024-09-07 08:58:11:030,489600,489600,0,0,228234316208,2407537323,480425,6972,2203,366,392144,0 8,2,2024-09-07 08:58:10:790,346565,346565,0,0,17894074,0,3220 8,3,2024-09-07 08:58:10:587,1,279,2,0,538,4719,279,0 9,0,2024-09-07 08:58:11:125,70207,0.3,68050,0.5,142310,0.3,186947,1.75 9,1,2024-09-07 08:58:10:567,489718,489718,0,0,228221789852,2403806822,482849,5321,1548,370,392001,0 9,2,2024-09-07 08:58:11:090,351189,351189,0,0,15676442,0,3360 9,3,2024-09-07 08:58:11:751,1,279,0,0,496,4398,279,0 10,0,2024-09-07 08:58:11:623,75020,0.3,74431,0.5,149729,0.3,199242,1.75 10,1,2024-09-07 08:58:10:588,490136,490136,0,0,228641677701,2397143958,483073,6077,986,381,391741,0 10,2,2024-09-07 08:58:10:771,355321,355321,0,0,17353859,0,4264 10,3,2024-09-07 08:58:10:870,1,279,1,0,177,2289,279,0 11,0,2024-09-07 08:58:11:007,68678,0.5,66676,0.7,139306,0.4,184795,2.00 11,1,2024-09-07 08:58:10:572,491027,491027,0,0,228363575916,2402219639,482038,6762,2227,383,391573,0 11,2,2024-09-07 08:58:11:127,354860,354860,0,0,15943261,0,4130 11,3,2024-09-07 08:58:11:299,1,279,112,0,843,4460,279,0 12,0,2024-09-07 08:58:10:967,68421,0.3,68324,0.5,136727,0.3,181577,1.75 12,1,2024-09-07 08:58:10:944,491232,491232,0,0,228781945922,2382937303,487618,3192,422,370,391870,0 12,2,2024-09-07 08:58:11:549,350400,350400,0,0,14875782,0,3469 12,3,2024-09-07 08:58:11:071,1,279,26,0,386,4535,279,0 13,0,2024-09-07 08:58:11:399,68804,0.3,68712,0.5,137324,0.3,183094,1.50 13,1,2024-09-07 08:58:11:535,491288,491288,0,0,228095865427,2390382162,487704,3042,542,382,391740,0 13,2,2024-09-07 08:58:10:608,352718,352718,0,0,13982553,0,3287 13,3,2024-09-07 08:58:11:769,1,279,8,0,467,4116,279,0 14,0,2024-09-07 08:58:10:561,74688,0.3,75284,0.5,148929,0.3,198685,1.75 14,1,2024-09-07 08:58:11:562,494238,494238,0,0,229601820890,2373408587,491398,2650,190,364,391571,0 14,2,2024-09-07 08:58:10:771,354233,354233,0,0,14044982,0,2896 14,3,2024-09-07 08:58:11:132,1,279,0,0,1168,3786,279,0 15,0,2024-09-07 08:58:11:553,70001,0.5,70109,0.7,139972,0.5,186576,2.00 15,1,2024-09-07 08:58:11:615,492265,492265,0,0,228974468041,2379188728,489572,2515,178,381,391619,0 15,2,2024-09-07 08:58:10:998,357288,357288,0,0,12073833,0,3043 15,3,2024-09-07 08:58:11:413,1,279,8,0,1126,5510,279,0 16,0,2024-09-07 08:58:10:945,67879,0.7,68066,0.8,135629,0.7,180674,2.25 16,1,2024-09-07 08:58:10:572,492201,492201,0,0,228674028303,2387668165,488546,3354,301,370,391756,0 16,2,2024-09-07 08:58:11:435,350040,350040,0,0,14514490,0,4719 16,3,2024-09-07 08:58:11:142,1,279,1,0,317,3812,279,0 17,0,2024-09-07 08:58:11:761,68872,0.4,67239,0.7,131454,0.4,179053,1.75 17,1,2024-09-07 08:58:10:570,490782,490782,0,0,227631964803,2388453447,485732,3944,1106,368,391899,0 17,2,2024-09-07 08:58:11:668,354019,354019,0,0,14254078,0,2857 17,3,2024-09-07 08:58:10:573,1,279,75,0,268,4424,279,0 18,0,2024-09-07 08:58:10:950,71575,0.6,71938,0.7,143509,0.6,192345,2.25 18,1,2024-09-07 08:58:11:638,492118,492118,0,0,229224488671,2374475708,489381,2495,242,367,391649,0 18,2,2024-09-07 08:58:11:758,354292,354292,0,0,13715673,0,3541 18,3,2024-09-07 08:58:10:896,1,279,2,0,163,2629,279,0 19,0,2024-09-07 08:58:11:539,71396,0.6,71927,0.8,142774,0.6,189759,2.25 19,1,2024-09-07 08:58:10:566,492332,492332,0,0,229644632855,2383608474,487660,3885,787,367,391777,0 19,2,2024-09-07 08:58:11:752,358039,358039,0,0,12539581,0,3988 19,3,2024-09-07 08:58:11:130,1,279,0,0,524,2103,279,0 20,0,2024-09-07 08:58:11:449,67363,0.6,67379,0.8,135030,0.7,179895,2.25 20,1,2024-09-07 08:58:10:571,490718,490718,0,0,228718469200,2391861143,486734,3584,400,369,391886,0 20,2,2024-09-07 08:58:10:935,352892,352892,0,0,14360128,0,3721 20,3,2024-09-07 08:58:10:595,1,279,8,0,414,4869,279,0 21,0,2024-09-07 08:58:11:160,67052,0.5,67138,0.6,134098,0.4,177340,2.00 21,1,2024-09-07 08:58:11:552,489361,489361,0,0,227766903278,2399396384,482656,5080,1625,368,392016,0 21,2,2024-09-07 08:58:11:066,349464,349464,0,0,16819517,0,3747 21,3,2024-09-07 08:58:11:412,1,279,7,0,103,2748,279,0 22,0,2024-09-07 08:58:11:735,70475,0.5,70634,0.7,141024,0.5,187612,2.00 22,1,2024-09-07 08:58:11:023,490443,490443,0,0,227924655689,2398197526,482836,5940,1667,382,391667,0 22,2,2024-09-07 08:58:10:765,351405,351405,0,0,14473087,0,3134 22,3,2024-09-07 08:58:11:065,1,279,1,0,228,2525,279,0 23,0,2024-09-07 08:58:11:385,73489,0.6,73371,0.7,147007,0.6,195576,2.25 23,1,2024-09-07 08:58:11:003,491522,491522,0,0,229027698516,2404025918,483568,5288,2666,365,391690,0 23,2,2024-09-07 08:58:11:092,356342,356342,0,0,13880376,0,3010 23,3,2024-09-07 08:58:11:753,1,279,1,0,645,3477,279,0 24,0,2024-09-07 08:58:10:914,69366,0.4,69216,0.6,138918,0.4,184380,1.75 24,1,2024-09-07 08:58:10:590,490606,490606,0,0,227687619030,2389739661,484429,4655,1522,368,391987,0 24,2,2024-09-07 08:58:11:075,353345,353345,0,0,17075903,0,3607 24,3,2024-09-07 08:58:11:701,1,279,51,0,468,4088,279,0 25,0,2024-09-07 08:58:11:366,69478,0.4,67707,0.6,132980,0.4,181592,2.00 25,1,2024-09-07 08:58:10:559,491296,491296,0,0,228552835969,2394530107,485767,4745,784,371,391928,0 25,2,2024-09-07 08:58:11:615,349133,349133,0,0,17314661,0,3978 25,3,2024-09-07 08:58:11:000,1,279,1,0,255,2946,279,0 26,0,2024-09-07 08:58:11:727,68836,0.4,67251,0.6,141045,0.3,183862,1.75 26,1,2024-09-07 08:58:11:548,491070,491070,0,0,228489884451,2396688862,483380,6442,1248,381,391748,0 26,2,2024-09-07 08:58:10:862,351399,351399,0,0,16979514,0,2809 26,3,2024-09-07 08:58:11:718,1,279,3,0,796,3517,279,0 27,0,2024-09-07 08:58:11:762,75166,0.4,75314,0.6,149108,0.4,199969,2.00 27,1,2024-09-07 08:58:11:688,492948,492948,0,0,229475562407,2387725639,489036,3319,593,381,391558,0 27,2,2024-09-07 08:58:10:866,352634,352569,65,0,17219401,0,5699 27,3,2024-09-07 08:58:11:018,1,279,8,0,564,2951,279,0 28,0,2024-09-07 08:58:11:395,69685,0.4,69455,0.6,139541,0.4,186073,2.00 28,1,2024-09-07 08:58:10:797,492489,492489,0,0,229547376782,2387454951,489540,2477,472,383,391646,0 28,2,2024-09-07 08:58:11:771,355822,355822,0,0,13708531,0,2915 28,3,2024-09-07 08:58:11:778,1,279,10,0,502,2855,279,0 29,0,2024-09-07 08:58:11:363,69842,0.4,68215,0.6,133444,0.3,182099,1.75 29,1,2024-09-07 08:58:11:566,493400,493400,0,0,229710326549,2376784249,490056,2778,566,369,391753,0 29,2,2024-09-07 08:58:10:867,349781,349781,0,0,12599379,0,4018 29,3,2024-09-07 08:58:10:973,1,279,17,0,284,2263,279,0 30,0,2024-09-07 08:58:11:457,67181,0.4,65239,0.6,136433,0.4,178805,2.00 30,1,2024-09-07 08:58:10:571,493527,493527,0,0,229712295029,2378174388,491191,2042,294,382,391672,0 30,2,2024-09-07 08:58:11:278,352050,352050,0,0,12669695,0,3161 30,3,2024-09-07 08:58:10:585,1,279,9,0,519,2616,279,0 31,0,2024-09-07 08:58:11:768,73383,0.4,73733,0.5,147598,0.3,196905,1.75 31,1,2024-09-07 08:58:10:568,494971,494971,0,0,230354129483,2358547816,493559,1192,220,356,391712,0 31,2,2024-09-07 08:58:11:277,353531,353531,0,0,14562345,0,3525 31,3,2024-09-07 08:58:11:709,1,279,8,0,220,2130,279,0 32,0,2024-09-07 08:58:11:417,70879,0.3,71220,0.5,142606,0.3,189470,1.75 32,1,2024-09-07 08:58:10:804,492792,492792,0,0,229347714702,2378316881,490535,1978,279,381,391622,0 32,2,2024-09-07 08:58:10:941,356797,356797,0,0,12534950,0,3155 32,3,2024-09-07 08:58:11:018,1,279,1,0,227,2177,279,0 33,0,2024-09-07 08:58:11:498,67982,0.3,67463,0.5,135510,0.2,180397,1.75 33,1,2024-09-07 08:58:10:575,493559,493559,0,0,230572829502,2380559900,490920,2465,174,369,391730,0 33,2,2024-09-07 08:58:10:760,352278,352245,33,0,13921504,0,7012 33,3,2024-09-07 08:58:10:906,1,279,9,0,329,3196,279,0 34,0,2024-09-07 08:58:10:944,66249,0.3,68299,0.4,130825,0.2,175369,1.50 34,1,2024-09-07 08:58:11:044,494250,494250,0,0,230195437004,2366081646,493119,1124,7,367,391562,0 34,2,2024-09-07 08:58:10:771,351675,351675,0,0,13355874,0,3577 34,3,2024-09-07 08:58:11:701,1,279,0,0,299,2181,279,0 35,0,2024-09-07 08:58:10:862,70653,0.3,71002,0.5,142943,0.2,190589,1.50 35,1,2024-09-07 08:58:11:069,492688,492688,0,0,228796391527,2372339261,489260,2648,780,384,391589,0 35,2,2024-09-07 08:58:11:591,352935,352935,0,0,13565475,0,2653 35,3,2024-09-07 08:58:10:910,1,279,4,0,418,3443,279,0 36,0,2024-09-07 08:58:11:519,73334,0.5,73286,0.7,146621,0.5,194442,2.25 36,1,2024-09-07 08:58:10:587,491966,491966,0,0,228461735646,2388284511,485702,4682,1582,366,391759,0 36,2,2024-09-07 08:58:11:751,355754,355754,0,0,15243064,0,3875 36,3,2024-09-07 08:58:10:865,1,279,1,0,416,4306,279,0 37,0,2024-09-07 08:58:11:368,67308,0.5,67293,0.7,134800,0.5,180201,2.00 37,1,2024-09-07 08:58:10:571,491000,490993,0,7,228098510908,2386675641,484942,3981,2070,365,391560,0 37,2,2024-09-07 08:58:11:143,352603,352588,15,0,15346731,0,5815 37,3,2024-09-07 08:58:11:770,1,279,1,0,888,4659,279,0 38,0,2024-09-07 08:58:11:446,67025,0.5,65177,0.6,136306,0.4,178167,2.00 38,1,2024-09-07 08:58:11:606,492291,492291,0,0,229404510680,2391128846,486935,4680,676,368,391821,0 38,2,2024-09-07 08:58:10:762,349641,349594,47,0,16091964,0,6710 38,3,2024-09-07 08:58:10:998,1,279,1,0,689,3633,279,0 39,0,2024-09-07 08:58:11:765,71622,0.6,70182,0.7,136479,0.5,187186,2.00 39,1,2024-09-07 08:58:10:717,491538,491538,0,0,228936168039,2392760645,484907,5445,1186,365,391594,0 39,2,2024-09-07 08:58:11:417,351613,351613,0,0,14093219,0,2689 39,3,2024-09-07 08:58:10:717,1,279,80,0,324,3651,279,0 40,0,2024-09-07 08:58:11:497,74141,0.8,74853,1.0,149457,0.9,199000,3.00 40,1,2024-09-07 08:58:10:580,491678,491678,0,0,228462162216,2392413208,484395,5837,1446,368,391651,0 40,2,2024-09-07 08:58:11:302,355451,355450,1,0,17244341,0,5137 40,3,2024-09-07 08:58:11:142,1,279,1,0,398,3299,279,0 41,0,2024-09-07 08:58:11:023,68486,1.8,70122,1.4,133708,2.8,182809,3.50 41,1,2024-09-07 08:58:10:772,491252,491252,0,0,228603632142,2388940984,485502,5115,635,370,391742,0 41,2,2024-09-07 08:58:10:760,353787,353787,0,0,16479540,0,3356 41,3,2024-09-07 08:58:11:686,1,279,1,0,366,3097,279,0 42,0,2024-09-07 08:58:11:475,67238,0.7,67345,1.0,135027,0.8,178333,2.50 42,1,2024-09-07 08:58:11:442,490439,490439,0,0,228678704607,2402827619,482694,6232,1513,380,391675,0 42,2,2024-09-07 08:58:11:139,350497,350497,0,0,15735112,0,3568 42,3,2024-09-07 08:58:11:010,1,279,44,0,446,2444,279,0 43,0,2024-09-07 08:58:10:919,68020,0.7,66289,0.9,138670,0.7,182689,2.25 43,1,2024-09-07 08:58:10:577,491507,491507,0,0,228967017152,2392297348,484630,5536,1341,366,391696,0 43,2,2024-09-07 08:58:11:735,351662,351662,0,0,15811328,0,3812 43,3,2024-09-07 08:58:11:749,1,279,2,0,467,3539,279,0 44,0,2024-09-07 08:58:10:865,74412,0.4,74885,0.6,149215,0.3,198713,1.75 44,1,2024-09-07 08:58:10:566,493541,493541,0,0,228739436161,2358313882,490978,2131,432,356,391809,0 44,2,2024-09-07 08:58:11:268,353718,353718,0,0,12812513,0,2231 44,3,2024-09-07 08:58:11:100,1,279,3,0,817,3185,279,0 45,0,2024-09-07 08:58:11:796,68961,0.6,67414,0.8,141520,0.6,186475,2.00 45,1,2024-09-07 08:58:11:006,492821,492821,0,0,229385747902,2374747323,491163,1646,12,382,391917,0 45,2,2024-09-07 08:58:11:268,356750,356750,0,0,13459583,0,3596 45,3,2024-09-07 08:58:10:943,1,279,3,0,271,2914,279,0 46,0,2024-09-07 08:58:10:952,67372,0.5,67271,0.7,134795,0.5,178647,2.00 46,1,2024-09-07 08:58:10:575,494254,494254,0,0,229663023415,2368272038,491896,2084,274,366,391539,0 46,2,2024-09-07 08:58:10:594,351250,351250,0,0,12707959,0,2920 46,3,2024-09-07 08:58:11:131,1,279,8,0,908,4123,279,0 47,0,2024-09-07 08:58:11:123,67126,0.4,67081,0.6,134727,0.3,178468,1.75 47,1,2024-09-07 08:58:10:566,493563,493563,0,0,230237823805,2374255341,491193,2236,134,366,391641,0 47,2,2024-09-07 08:58:10:911,353779,353779,0,0,12967353,0,2558 47,3,2024-09-07 08:58:11:125,1,279,20,0,529,2507,279,0 48,0,2024-09-07 08:58:11:508,72875,0.3,72396,0.4,144509,0.2,193316,1.50 48,1,2024-09-07 08:58:11:022,492734,492734,0,0,229798205456,2385473953,489561,2872,301,384,391710,0 48,2,2024-09-07 08:58:10:703,353094,353094,0,0,12609172,0,3031 48,3,2024-09-07 08:58:10:759,1,279,2,0,339,2344,279,0 49,0,2024-09-07 08:58:11:726,73843,0.3,72441,0.5,140561,0.3,192076,1.75 49,1,2024-09-07 08:58:11:021,491694,491694,0,0,228642384295,2381599359,487805,2708,1181,382,391809,0 49,2,2024-09-07 08:58:11:798,357581,357581,0,0,13420110,0,3900 49,3,2024-09-07 08:58:11:416,1,279,0,0,408,2921,279,0 50,0,2024-09-07 08:58:11:520,67538,0.3,66992,0.5,134705,0.2,179598,1.75 50,1,2024-09-07 08:58:11:038,493198,493198,0,0,230879635002,2386964597,490059,2829,310,368,391540,0 50,2,2024-09-07 08:58:11:066,353050,353050,0,0,12347336,0,2263 50,3,2024-09-07 08:58:11:290,1,279,2,0,335,2369,279,0 51,0,2024-09-07 08:58:11:688,68579,0.3,67051,0.4,130800,0.2,177669,1.50 51,1,2024-09-07 08:58:11:700,494062,494062,0,0,230333993964,2376256631,491243,1879,940,365,391706,0 51,2,2024-09-07 08:58:11:325,351049,351049,0,0,11642543,0,3337 51,3,2024-09-07 08:58:11:038,1,279,11,0,678,2177,279,0 52,0,2024-09-07 08:58:11:418,71067,0.4,70725,0.6,141661,0.4,188272,2.00 52,1,2024-09-07 08:58:10:575,491405,491405,0,0,228476298017,2393935643,484081,6070,1254,368,391722,0 52,2,2024-09-07 08:58:11:771,349822,349784,38,0,16255370,0,6742 52,3,2024-09-07 08:58:10:677,1,279,1,0,1782,4613,279,0 53,0,2024-09-07 08:58:11:752,73283,0.7,71251,0.8,148965,0.8,195075,2.50 53,1,2024-09-07 08:58:10:774,490383,490383,0,0,228401100795,2398980407,482320,5614,2449,367,391702,0 53,2,2024-09-07 08:58:11:297,356077,356077,0,0,13698352,0,2727 53,3,2024-09-07 08:58:10:698,1,279,0,0,308,2666,279,0 54,0,2024-09-07 08:58:11:622,67846,0.7,68414,0.8,135565,0.5,181582,2.50 54,1,2024-09-07 08:58:10:580,491850,491850,0,0,229620593886,2388064454,486749,4502,599,367,391659,0 54,2,2024-09-07 08:58:10:866,354797,354791,6,0,15644242,0,5382 54,3,2024-09-07 08:58:10:770,1,279,5,0,676,4529,279,0 55,0,2024-09-07 08:58:11:767,65390,0.6,67724,0.8,136184,0.5,177977,2.25 55,1,2024-09-07 08:58:10:771,491340,491340,0,0,228657708650,2381816842,485160,5272,908,365,391731,0 55,2,2024-09-07 08:58:10:729,349374,349374,0,0,15072948,0,3563 55,3,2024-09-07 08:58:10:680,1,279,1,0,304,3009,279,0 56,0,2024-09-07 08:58:11:608,70646,1.1,66690,1.1,137154,1.6,183703,2.50 56,1,2024-09-07 08:58:10:576,489677,489677,0,0,228133959100,2410835667,481897,6246,1534,381,391729,0 56,2,2024-09-07 08:58:11:304,351502,351502,0,0,16197745,0,3567 56,3,2024-09-07 08:58:11:059,1,279,7,0,705,3931,279,0 57,0,2024-09-07 08:58:10:942,73901,1.4,73847,1.2,147654,2.0,198289,3.00 57,1,2024-09-07 08:58:10:992,491100,491100,0,0,228995973998,2398711230,485256,5158,686,367,392032,0 57,2,2024-09-07 08:58:11:321,354844,354844,0,0,17650299,0,3317 57,3,2024-09-07 08:58:11:738,1,279,8,0,359,3587,279,0 58,0,2024-09-07 08:58:10:562,68327,1.0,66436,1.0,139127,1.4,182619,2.50 58,1,2024-09-07 08:58:10:575,492104,492101,0,3,228923369337,2393212937,485311,5901,889,367,391603,3 58,2,2024-09-07 08:58:11:070,355725,355725,0,0,15982900,0,2549 58,3,2024-09-07 08:58:11:067,1,279,4,0,1043,3098,279,0 59,0,2024-09-07 08:58:11:750,67632,0.8,67426,1.0,134480,0.8,178671,2.75 59,1,2024-09-07 08:58:10:810,491244,491244,0,0,228718158945,2393437335,484805,5156,1283,369,391525,0 59,2,2024-09-07 08:58:10:582,351321,351321,0,0,14145578,0,2604 59,3,2024-09-07 08:58:11:746,1,279,1,0,1015,3896,279,0 60,0,2024-09-07 08:58:11:814,67297,0.4,67143,0.6,135517,0.3,179322,1.75 60,1,2024-09-07 08:58:10:792,493318,493318,0,0,229971793725,2381549724,490858,1941,519,370,392031,0 60,2,2024-09-07 08:58:11:153,351942,351942,0,0,15193328,0,3811 60,3,2024-09-07 08:58:11:263,1,279,0,0,175,2763,279,0 61,0,2024-09-07 08:58:11:515,73588,0.5,74045,0.7,147370,0.5,196836,1.75 61,1,2024-09-07 08:58:10:775,491537,491537,0,0,228826772722,2391746459,486895,3937,705,382,391880,0 61,2,2024-09-07 08:58:11:118,354271,354271,0,0,13900426,0,2780 61,3,2024-09-07 08:58:11:700,1,279,1,0,397,3619,279,0 62,0,2024-09-07 08:58:11:712,71504,0.6,72909,0.7,138951,0.6,189199,2.00 62,1,2024-09-07 08:58:11:114,494615,494609,0,6,230994605837,2380866486,492026,2464,119,365,391715,6 62,2,2024-09-07 08:58:11:645,355367,355366,1,0,15182607,0,5555 62,3,2024-09-07 08:58:11:143,1,279,2,0,287,1880,279,0 63,0,2024-09-07 08:58:11:453,67652,0.5,67834,0.6,135563,0.4,180005,1.75 63,1,2024-09-07 08:58:10:804,493460,493454,0,6,229473472757,2374791445,491718,1680,56,381,391677,6 63,2,2024-09-07 08:58:10:765,351699,351699,0,0,12481222,0,2674 63,3,2024-09-07 08:58:11:738,1,279,0,0,667,3102,279,0 64,0,2024-09-07 08:58:11:525,65938,0.4,65813,0.6,131738,0.4,175258,1.75 64,1,2024-09-07 08:58:10:784,492147,492147,0,0,229566464818,2391651657,487607,3124,1416,370,391783,0 64,2,2024-09-07 08:58:11:147,354463,354444,19,0,13462431,0,6121 64,3,2024-09-07 08:58:11:146,1,279,0,0,265,2682,279,0 65,0,2024-09-07 08:58:11:705,70678,0.6,71121,0.7,141717,0.6,189660,2.00 65,1,2024-09-07 08:58:10:877,491055,491055,0,0,228169976602,2385357701,487374,3234,447,382,391901,0 65,2,2024-09-07 08:58:11:702,353032,353032,0,0,14882513,0,3367 65,3,2024-09-07 08:58:11:687,1,279,1,0,163,2682,279,0 66,0,2024-09-07 08:58:11:777,72845,0.5,72688,0.7,145970,0.5,193606,2.00 66,1,2024-09-07 08:58:11:293,492715,492715,0,0,229601264427,2385286345,489859,2611,245,380,391653,0 66,2,2024-09-07 08:58:11:133,357938,357938,0,0,14035974,0,4956 66,3,2024-09-07 08:58:11:080,1,279,1,0,291,2801,279,0 67,0,2024-09-07 08:58:11:421,68172,0.6,67428,0.7,135818,0.6,180421,2.00 67,1,2024-09-07 08:58:10:771,492392,492391,0,1,230098366505,2396719336,488538,3104,749,380,391787,1 67,2,2024-09-07 08:58:10:582,354667,354667,0,0,12938364,0,2889 67,3,2024-09-07 08:58:11:751,1,279,2,0,338,2490,279,0 68,0,2024-09-07 08:58:10:574,67734,0.6,67488,0.7,134756,0.5,179935,2.00 68,1,2024-09-07 08:58:10:570,491068,491068,0,0,228025355687,2388797930,486796,3101,1171,381,391953,0 68,2,2024-09-07 08:58:11:049,349136,349071,65,0,17127228,0,6698 68,3,2024-09-07 08:58:10:728,1,279,1,0,417,3172,279,0 69,0,2024-09-07 08:58:11:738,69541,0.8,69997,0.8,139511,0.9,185862,2.25 69,1,2024-09-07 08:58:11:020,490039,490039,0,0,227855662241,2404144449,483694,4760,1585,384,391994,0 69,2,2024-09-07 08:58:11:737,351452,351452,0,0,17291927,0,3722 69,3,2024-09-07 08:58:10:777,1,279,8,0,698,4094,279,0 70,0,2024-09-07 08:58:11:545,74223,1.1,74326,1.1,149216,0.8,197562,2.50 70,1,2024-09-07 08:58:10:801,492973,492973,0,0,229866824643,2387123414,488762,3675,536,366,391725,0 70,2,2024-09-07 08:58:11:326,354902,354902,0,0,15721398,0,4044 70,3,2024-09-07 08:58:10:745,1,279,4,0,854,3184,279,0 71,0,2024-09-07 08:58:11:356,68468,1.1,68346,1.1,137050,1.3,183533,2.75 71,1,2024-09-07 08:58:11:596,491205,491205,0,0,228576670114,2384474650,485701,4861,643,368,391738,0 71,2,2024-09-07 08:58:11:066,355411,355411,0,0,16017457,0,4042 71,3,2024-09-07 08:58:11:767,1,279,1,0,644,3840,279,0 72,0,2024-09-07 08:58:11:049,70220,0.7,68726,0.8,133762,0.7,182113,2.00 72,1,2024-09-07 08:58:11:026,491418,491418,0,0,228605520073,2390015196,485057,4911,1450,369,391819,0 72,2,2024-09-07 08:58:11:759,349011,349011,0,0,17356120,0,3983 72,3,2024-09-07 08:58:11:757,1,279,11,0,564,4685,279,0 73,0,2024-09-07 08:58:11:120,66869,0.4,68401,0.6,140164,0.3,182506,2.00 73,1,2024-09-07 08:58:10:774,492198,492198,0,0,229263298400,2381782043,488798,3115,285,367,391750,0 73,2,2024-09-07 08:58:11:739,352164,352164,0,0,17065773,0,3701 73,3,2024-09-07 08:58:10:969,1,279,1,0,274,3954,279,0 74,0,2024-09-07 08:58:11:329,74932,0.4,76667,0.6,146447,0.3,198585,2.00 74,1,2024-09-07 08:58:10:635,491541,491541,0,0,228865093569,2388378604,486672,3903,966,381,391681,0 74,2,2024-09-07 08:58:11:001,353827,353827,0,0,16498353,0,4253 74,3,2024-09-07 08:58:11:447,1,279,1,0,522,3608,279,0 75,0,2024-09-07 08:58:11:779,70178,0.5,69850,0.7,139870,0.5,187483,2.25 75,1,2024-09-07 08:58:11:585,490879,490879,0,0,229046270149,2393077056,485721,4494,664,380,391660,0 75,2,2024-09-07 08:58:11:350,355351,355351,0,0,16540788,0,4766 75,3,2024-09-07 08:58:11:071,1,279,11,0,702,3941,279,0 76,0,2024-09-07 08:58:10:584,67428,0.7,66740,0.8,134183,0.7,179622,2.50 76,1,2024-09-07 08:58:10:805,491862,491862,0,0,229522332060,2390889221,488746,2564,552,382,391692,0 76,2,2024-09-07 08:58:11:085,351928,351928,0,0,13359309,0,3784 76,3,2024-09-07 08:58:11:152,1,279,14,0,175,2732,279,0 77,0,2024-09-07 08:58:11:720,66848,0.4,67116,0.7,134029,0.4,178296,1.75 77,1,2024-09-07 08:58:10:826,492281,492281,0,0,229273494580,2390507605,488808,3216,257,381,391869,0 77,2,2024-09-07 08:58:11:293,351774,351774,0,0,14352950,0,3890 77,3,2024-09-07 08:58:11:101,1,279,9,0,382,3004,279,0 78,0,2024-09-07 08:58:11:717,72630,0.4,72087,0.6,144861,0.3,192500,2.00 78,1,2024-09-07 08:58:10:615,492274,492274,0,0,228822544072,2373530923,489142,2813,319,367,391670,0 78,2,2024-09-07 08:58:11:413,353424,353424,0,0,12826154,0,3855 78,3,2024-09-07 08:58:11:132,1,279,16,0,181,2608,279,0 79,0,2024-09-07 08:58:11:353,69684,0.4,71492,0.6,146041,0.4,189709,2.25 79,1,2024-09-07 08:58:10:571,493835,493835,0,0,229446119975,2370998895,490980,2518,337,369,391682,0 79,2,2024-09-07 08:58:11:068,357611,357611,0,0,12904699,0,3212 79,3,2024-09-07 08:58:10:754,1,279,1,0,418,3869,279,0 80,0,2024-09-07 08:58:11:093,67502,0.6,69401,0.7,132895,0.6,179374,2.00 80,1,2024-09-07 08:58:11:637,491825,491825,0,0,228525862227,2376852620,488833,2821,171,368,391791,0 80,2,2024-09-07 08:58:11:099,353538,353538,0,0,13208191,0,4433 80,3,2024-09-07 08:58:10:576,1,279,8,0,190,3660,279,0 81,0,2024-09-07 08:58:11:603,66717,0.5,68549,0.6,131056,0.4,176747,1.75 81,1,2024-09-07 08:58:11:673,490856,490856,0,0,228616464706,2388622561,486687,3694,475,382,391879,0 81,2,2024-09-07 08:58:11:130,350944,350944,0,0,13742423,0,3993 81,3,2024-09-07 08:58:11:135,1,279,18,0,374,3244,279,0 82,0,2024-09-07 08:58:11:533,70375,0.4,70735,0.6,141717,0.3,188414,1.75 82,1,2024-09-07 08:58:10:583,492655,492651,0,4,229371776597,2386873030,489347,2693,611,381,391768,4 82,2,2024-09-07 08:58:11:703,353390,353390,0,0,13045382,0,3986 82,3,2024-09-07 08:58:11:751,1,279,1,0,363,3026,279,0 83,0,2024-09-07 08:58:11:526,73936,0.5,73867,0.7,146803,0.5,195375,2.00 83,1,2024-09-07 08:58:10:562,491469,491469,0,0,229118378602,2387381913,488116,3107,246,382,391709,0 83,2,2024-09-07 08:58:10:772,355497,355497,0,0,13190355,0,3119 83,3,2024-09-07 08:58:10:753,1,279,5,0,250,3100,279,0 84,0,2024-09-07 08:58:12:037,68443,0.8,68286,0.9,136690,0.7,183049,2.25 84,1,2024-09-07 08:58:11:041,491046,491046,0,0,228293999231,2385459189,486103,4358,585,367,391967,0 84,2,2024-09-07 08:58:10:571,354905,354905,0,0,15191516,0,3801 84,3,2024-09-07 08:58:11:155,1,279,6,0,908,4393,279,0 85,0,2024-09-07 08:58:11:018,65413,0.6,65321,0.8,138712,0.6,179494,2.25 85,1,2024-09-07 08:58:10:566,490535,490535,0,0,228253326691,2409633339,483367,6050,1118,381,392006,0 85,2,2024-09-07 08:58:10:881,351125,351125,0,0,15964293,0,3656 85,3,2024-09-07 08:58:10:693,1,279,92,0,789,3735,279,0 86,0,2024-09-07 08:58:10:897,69173,0.6,71027,0.7,136030,0.6,183644,2.00 86,1,2024-09-07 08:58:10:824,491622,491622,0,0,228823237816,2393041047,486130,4660,832,366,391961,0 86,2,2024-09-07 08:58:10:863,350642,350641,1,0,16988697,0,5004 86,3,2024-09-07 08:58:10:587,1,279,36,0,286,3919,279,0 87,0,2024-09-07 08:58:11:309,74543,0.9,74545,0.9,149603,1.1,200314,2.25 87,1,2024-09-07 08:58:10:559,491387,491387,0,0,228882663559,2392534860,486605,4288,494,366,392076,0 87,2,2024-09-07 08:58:11:068,354039,354039,0,0,15372205,0,4045 87,3,2024-09-07 08:58:11:810,1,279,22,0,473,4063,279,0 88,0,2024-09-07 08:58:11:463,69559,0.5,69957,0.6,139542,0.5,185833,2.00 88,1,2024-09-07 08:58:10:580,489993,489993,0,0,227990199803,2393762443,482761,5581,1651,365,392084,0 88,2,2024-09-07 08:58:10:694,355807,355807,0,0,17298648,0,3583 88,3,2024-09-07 08:58:11:269,1,279,2,0,435,3312,279,0 89,0,2024-09-07 08:58:11:970,70013,0.5,67678,0.7,134296,0.4,182017,1.75 89,1,2024-09-07 08:58:10:561,489801,489801,0,0,228849809198,2411583711,482525,6011,1265,382,391866,0 89,2,2024-09-07 08:58:11:132,349349,349349,0,0,16283264,0,2910 89,3,2024-09-07 08:58:11:792,1,279,13,0,385,5609,279,0 90,0,2024-09-07 08:58:11:678,65430,0.4,66886,0.6,136999,0.4,178536,1.75 90,1,2024-09-07 08:58:10:606,491384,491384,0,0,228490473742,2393929621,486674,4389,321,381,391825,0 90,2,2024-09-07 08:58:11:434,350204,350204,0,0,17758388,0,3060 90,3,2024-09-07 08:58:10:931,1,279,0,0,200,2867,279,0 91,0,2024-09-07 08:58:10:977,73803,0.4,71693,0.6,149554,0.4,196806,1.75 91,1,2024-09-07 08:58:10:590,490139,490139,0,0,228664337721,2405008049,483602,5650,887,381,392047,0 91,2,2024-09-07 08:58:11:334,353241,353241,0,0,15901816,0,2896 91,3,2024-09-07 08:58:10:603,1,279,3,0,216,2527,279,0 92,0,2024-09-07 08:58:11:471,71555,0.5,73368,0.6,140098,0.5,189473,1.75 92,1,2024-09-07 08:58:10:582,491655,491655,0,0,228513112787,2380828717,488906,2340,409,382,392136,0 92,2,2024-09-07 08:58:11:369,358123,358123,0,0,13476001,0,2801 92,3,2024-09-07 08:58:11:012,1,279,12,0,167,2184,279,0 93,0,2024-09-07 08:58:10:989,68054,0.4,69775,0.6,133306,0.3,180622,1.75 93,1,2024-09-07 08:58:10:806,492059,492059,0,0,228768793843,2385169942,487492,3777,790,366,391776,0 93,2,2024-09-07 08:58:10:930,351455,351455,0,0,14247994,0,2797 93,3,2024-09-07 08:58:11:410,1,279,11,0,190,2809,279,0 94,0,2024-09-07 08:58:11:797,65966,0.3,66788,0.5,133059,0.3,176128,1.75 94,1,2024-09-07 08:58:10:618,491456,491456,0,0,229060678619,2390827017,488061,3215,180,381,391850,0 94,2,2024-09-07 08:58:10:772,351348,351348,0,0,13341133,0,2443 94,3,2024-09-07 08:58:11:707,1,279,10,0,264,3420,279,0 95,0,2024-09-07 08:58:11:443,71339,0.3,71260,0.5,143169,0.3,190461,1.50 95,1,2024-09-07 08:58:10:895,492153,492153,0,0,228906333219,2377574477,488447,3329,377,367,391713,0 95,2,2024-09-07 08:58:11:019,352142,352142,0,0,13683625,0,3308 95,3,2024-09-07 08:58:11:723,1,279,2,0,718,4674,279,0 96,0,2024-09-07 08:58:11:038,73376,0.4,73540,0.5,146930,0.3,194190,1.75 96,1,2024-09-07 08:58:11:598,491418,491418,0,0,229048321100,2390394339,487783,2900,735,384,391894,0 96,2,2024-09-07 08:58:11:300,356362,356362,0,0,14306952,0,4042 96,3,2024-09-07 08:58:11:141,1,279,5,0,411,3164,279,0 97,0,2024-09-07 08:58:11:326,67945,0.3,67645,0.5,135864,0.3,180180,1.75 97,1,2024-09-07 08:58:10:768,493306,493306,0,0,230059084325,2383915026,490250,2497,559,367,392140,0 97,2,2024-09-07 08:58:10:619,354416,354416,0,0,13580804,0,3036 97,3,2024-09-07 08:58:10:576,1,279,13,0,214,3158,279,0 98,0,2024-09-07 08:58:11:900,67641,0.3,67579,0.4,135723,0.2,180094,1.50 98,1,2024-09-07 08:58:10:570,492692,492692,0,0,229028095752,2381496804,490530,2061,101,382,391997,0 98,2,2024-09-07 08:58:10:771,350615,350615,0,0,13633387,0,3080 98,3,2024-09-07 08:58:10:711,1,279,4,0,840,4830,279,0 99,0,2024-09-07 08:58:11:549,70017,0.3,70375,0.4,140200,0.2,187545,1.50 99,1,2024-09-07 08:58:11:763,492196,492196,0,0,228563165708,2380433357,488386,3069,741,381,392069,0 99,2,2024-09-07 08:58:11:421,354090,354090,0,0,14587466,0,3106 99,3,2024-09-07 08:58:10:580,1,279,1,0,187,2290,279,0 100,0,2024-09-07 08:58:11:484,74625,0.8,74566,1.0,149350,1.1,199430,2.50 100,1,2024-09-07 08:58:10:549,488859,488859,0,0,227465307255,2405375161,481337,6045,1477,381,391989,0 100,2,2024-09-07 08:58:11:818,353815,353804,11,0,16348338,0,5417 100,3,2024-09-07 08:58:11:731,1,279,3,0,559,5018,279,0 101,0,2024-09-07 08:58:11:730,70404,1.4,68640,1.1,134666,1.3,185144,2.50 101,1,2024-09-07 08:58:10:559,489264,489264,0,0,227980630675,2403628563,480928,6357,1979,368,391769,0 101,2,2024-09-07 08:58:11:759,353745,353745,0,0,17981701,0,4644 101,3,2024-09-07 08:58:10:945,1,279,9,0,448,3372,279,0 102,0,2024-09-07 08:58:11:058,66223,0.7,68663,0.8,138222,0.6,181205,2.00 102,1,2024-09-07 08:58:11:150,490362,490362,0,0,228314505832,2398493618,484129,5327,906,369,391883,0 102,2,2024-09-07 08:58:11:747,350932,350878,54,0,15676806,0,6768 102,3,2024-09-07 08:58:11:632,1,279,1,0,410,2992,279,0 103,0,2024-09-07 08:58:11:684,70552,0.5,70442,0.7,133119,0.4,183965,2.00 103,1,2024-09-07 08:58:11:635,489671,489671,0,0,228443004209,2409479975,482243,5810,1618,381,391829,0 103,2,2024-09-07 08:58:10:590,350591,350591,0,0,15497013,0,2582 103,3,2024-09-07 08:58:10:755,1,279,4,0,916,3697,279,0 104,0,2024-09-07 08:58:11:012,73898,0.6,74336,0.9,147468,0.6,198729,2.25 104,1,2024-09-07 08:58:11:648,491267,491267,0,0,228310406832,2400458860,483987,6106,1174,365,392168,0 104,2,2024-09-07 08:58:11:725,352886,352886,0,0,17267238,0,3941 104,3,2024-09-07 08:58:11:416,1,279,8,0,1245,6691,279,0 105,0,2024-09-07 08:58:11:049,69245,1.0,67397,1.2,140802,1.4,185822,3.25 105,1,2024-09-07 08:58:10:557,491234,491234,0,0,228358756447,2396334681,484362,5862,1010,366,391797,0 105,2,2024-09-07 08:58:11:327,355765,355765,0,0,16485250,0,3509 105,3,2024-09-07 08:58:11:304,1,279,7,0,399,4552,279,0 106,0,2024-09-07 08:58:10:956,65333,0.9,66939,1.0,137025,1.0,179046,2.75 106,1,2024-09-07 08:58:11:751,490925,490925,0,0,227685310595,2394803074,482803,7124,998,369,391865,0 106,2,2024-09-07 08:58:10:760,349006,349006,0,0,15442300,0,2795 106,3,2024-09-07 08:58:10:682,1,279,2,0,470,3915,279,0 107,0,2024-09-07 08:58:11:209,66775,0.7,66724,0.8,133461,0.7,177984,2.00 107,1,2024-09-07 08:58:10:584,489592,489592,0,0,227949533411,2399195701,483429,5479,684,381,392234,0 107,2,2024-09-07 08:58:11:311,350773,350772,1,0,16689737,0,5024 107,3,2024-09-07 08:58:11:758,1,279,19,0,353,3663,279,0 108,0,2024-09-07 08:58:11:769,72247,0.4,72601,0.5,144303,0.3,193236,1.75 108,1,2024-09-07 08:58:11:297,491738,491738,0,0,228938619499,2382658673,488503,2848,387,368,391857,0 108,2,2024-09-07 08:58:11:755,351808,351808,0,0,14873849,0,2647 108,3,2024-09-07 08:58:11:330,1,279,11,0,749,5669,279,0 109,0,2024-09-07 08:58:11:771,72444,0.4,71623,0.5,143739,0.3,191760,1.75 109,1,2024-09-07 08:58:10:593,490077,490077,0,0,229484798876,2404051520,486133,3426,518,383,392132,0 109,2,2024-09-07 08:58:10:924,354911,354911,0,0,15347136,0,3617 109,3,2024-09-07 08:58:11:144,1,279,1,0,249,3150,279,0 110,0,2024-09-07 08:58:11:768,67584,0.4,65796,0.6,137709,0.3,180129,1.75 110,1,2024-09-07 08:58:11:647,493214,493214,0,0,229729719892,2382424136,490062,2272,880,369,392045,0 110,2,2024-09-07 08:58:11:304,353201,353201,0,0,14428222,0,3264 110,3,2024-09-07 08:58:10:692,1,279,2,0,406,3553,279,0 111,0,2024-09-07 08:58:11:426,67159,0.3,66624,0.5,133343,0.3,178155,1.75 111,1,2024-09-07 08:58:11:000,493327,493327,0,0,230107236156,2383216238,491316,1675,336,382,391690,0 111,2,2024-09-07 08:58:11:126,350614,350614,0,0,13730777,0,2763 111,3,2024-09-07 08:58:10:918,1,279,8,0,379,3633,279,0 112,0,2024-09-07 08:58:10:909,70959,0.3,70627,0.4,141495,0.2,188392,1.50 112,1,2024-09-07 08:58:10:824,493028,493028,0,0,229353992335,2377184504,490423,2170,435,380,391624,0 112,2,2024-09-07 08:58:11:133,352307,352306,1,0,14058991,0,5036 112,3,2024-09-07 08:58:10:592,1,279,1,0,282,2776,279,0 113,0,2024-09-07 08:58:10:870,73565,0.3,73652,0.5,147868,0.2,196822,1.50 113,1,2024-09-07 08:58:11:685,494011,494011,0,0,230048566544,2372150675,491503,2018,490,366,391661,0 113,2,2024-09-07 08:58:11:302,356822,356822,0,0,12667761,0,3813 113,3,2024-09-07 08:58:10:689,1,279,1,0,340,3783,279,0 114,0,2024-09-07 08:58:10:877,69272,0.4,69709,0.5,138485,0.3,185048,1.75 114,1,2024-09-07 08:58:10:723,492116,492116,0,0,229453471361,2386954657,487287,3240,1589,381,391556,0 114,2,2024-09-07 08:58:10:873,355002,355001,1,0,13870563,0,5069 114,3,2024-09-07 08:58:11:278,1,279,0,0,395,2771,279,0 115,0,2024-09-07 08:58:10:555,67826,0.3,68081,0.4,136319,0.2,181608,1.50 115,1,2024-09-07 08:58:10:572,492366,492366,0,0,228905691108,2379676913,487961,3568,837,382,391639,0 115,2,2024-09-07 08:58:11:127,351411,351411,0,0,11992740,0,2346 115,3,2024-09-07 08:58:11:003,1,279,8,0,159,1604,279,0 116,0,2024-09-07 08:58:11:726,68996,0.8,68791,0.9,137668,0.9,184400,2.00 116,1,2024-09-07 08:58:10:807,489441,489441,0,0,228088470971,2409182335,482898,4486,2057,380,391782,0 116,2,2024-09-07 08:58:11:752,350569,350569,0,0,17835380,0,3529 116,3,2024-09-07 08:58:10:913,1,279,1,0,415,3856,279,0 117,0,2024-09-07 08:58:11:010,75131,0.7,74778,0.9,149421,0.8,200638,2.00 117,1,2024-09-07 08:58:11:600,490637,490637,0,0,228268834723,2389219147,485387,4701,549,370,392033,0 117,2,2024-09-07 08:58:11:133,356660,356660,0,0,14465447,0,3700 117,3,2024-09-07 08:58:11:063,1,279,1,0,490,4345,279,0 118,0,2024-09-07 08:58:11:788,67630,0.6,69574,0.7,141584,0.6,185140,2.00 118,1,2024-09-07 08:58:10:588,490741,490741,0,0,228199138715,2399725174,483526,5398,1817,366,391736,0 118,2,2024-09-07 08:58:11:606,355011,355011,0,0,15639471,0,2781 118,3,2024-09-07 08:58:11:769,1,279,2,0,235,3096,279,0 119,0,2024-09-07 08:58:11:380,67513,0.8,68041,0.8,136024,0.9,180904,2.25 119,1,2024-09-07 08:58:10:570,491791,491791,0,0,228994789907,2394363856,486331,4793,667,367,391780,0 119,2,2024-09-07 08:58:11:262,350281,350281,0,0,14669148,0,4174 119,3,2024-09-07 08:58:11:325,1,279,130,0,443,3859,279,0 120,0,2024-09-07 08:58:11:623,67039,0.5,66836,0.7,133881,0.4,179014,2.00 120,1,2024-09-07 08:58:10:864,491211,491211,0,0,228424617980,2395691211,485961,4834,416,368,391961,0 120,2,2024-09-07 08:58:10:793,351206,351205,1,0,17770640,0,5281 120,3,2024-09-07 08:58:11:291,1,279,2,0,241,3417,279,0 121,0,2024-09-07 08:58:11:733,73686,0.9,73687,0.9,147795,1.1,196869,2.00 121,1,2024-09-07 08:58:11:662,491295,491295,0,0,228692055653,2393165170,486189,4685,421,367,391840,0 121,2,2024-09-07 08:58:11:136,352279,352279,0,0,17046457,0,4127 121,3,2024-09-07 08:58:10:728,1,279,69,0,269,3301,279,0 122,0,2024-09-07 08:58:11:760,70750,0.8,68988,0.9,144541,0.9,189463,2.00 122,1,2024-09-07 08:58:10:872,490374,490374,0,0,228748932093,2401901226,483238,6061,1075,366,392130,0 122,2,2024-09-07 08:58:11:319,355552,355479,73,0,19349599,0,5989 122,3,2024-09-07 08:58:10:606,1,279,3,0,411,5647,279,0 123,0,2024-09-07 08:58:10:970,67461,0.9,65948,0.8,137482,1.0,179755,2.25 123,1,2024-09-07 08:58:10:564,490618,490618,0,0,228178571423,2407012386,481127,7587,1904,369,392039,0 123,2,2024-09-07 08:58:11:019,349152,349151,1,0,15848126,0,5215 123,3,2024-09-07 08:58:11:133,1,279,1,0,168,3203,279,0 124,0,2024-09-07 08:58:10:933,68351,0.3,68378,0.5,128969,0.3,176814,1.50 124,1,2024-09-07 08:58:11:023,492928,492928,0,0,228956196502,2376540143,490011,2551,366,367,392178,0 124,2,2024-09-07 08:58:11:011,351812,351812,0,0,13129213,0,3101 124,3,2024-09-07 08:58:10:773,1,279,1,0,490,2955,279,0 125,0,2024-09-07 08:58:11:466,71431,0.3,71096,0.5,143139,0.3,190567,1.50 125,1,2024-09-07 08:58:10:858,490829,490829,0,0,228866648779,2385046824,487679,2751,399,383,391702,0 125,2,2024-09-07 08:58:11:132,352562,352562,0,0,14583613,0,4534 125,3,2024-09-07 08:58:11:126,1,279,1,0,709,3759,279,0 126,0,2024-09-07 08:58:11:435,73331,0.5,75282,0.6,143969,0.4,195071,1.75 126,1,2024-09-07 08:58:10:559,493033,493033,0,0,229396292343,2374908300,490308,2461,264,365,391987,0 126,2,2024-09-07 08:58:10:615,357554,357554,0,0,14541152,0,3186 126,3,2024-09-07 08:58:10:910,1,279,1,0,207,3786,279,0 127,0,2024-09-07 08:58:11:593,67644,0.4,68020,0.5,135609,0.3,180237,1.75 127,1,2024-09-07 08:58:10:573,492819,492819,0,0,229186701376,2372618747,489845,2821,153,365,391816,0 127,2,2024-09-07 08:58:10:646,354276,354276,0,0,12990741,0,2264 127,3,2024-09-07 08:58:11:267,1,279,1,0,968,3138,279,0 128,0,2024-09-07 08:58:11:531,67970,0.3,67949,0.4,135818,0.2,180557,1.50 128,1,2024-09-07 08:58:11:613,492125,492125,0,0,229394447773,2378236868,489722,2245,158,367,391798,0 128,2,2024-09-07 08:58:11:388,351571,351571,0,0,13000875,0,2434 128,3,2024-09-07 08:58:10:772,1,279,1,0,1082,5481,279,0 129,0,2024-09-07 08:58:10:995,70515,0.3,70245,0.4,140524,0.2,187176,1.50 129,1,2024-09-07 08:58:10:567,489648,489648,0,0,227705837295,2384462926,485410,3306,932,379,391835,0 129,2,2024-09-07 08:58:10:703,352683,352683,0,0,13904668,0,4031 129,3,2024-09-07 08:58:10:697,1,279,3,0,469,3803,279,0 130,0,2024-09-07 08:58:11:727,75516,0.6,75132,0.6,150816,0.6,200529,1.75 130,1,2024-09-07 08:58:10:591,492182,492182,0,0,229039232566,2380377225,489732,2371,79,381,391825,0 130,2,2024-09-07 08:58:11:128,356736,356736,0,0,13704123,0,4067 130,3,2024-09-07 08:58:11:291,1,279,4,0,450,3700,279,0 131,0,2024-09-07 08:58:11:929,68936,0.4,69478,0.5,139484,0.3,184971,1.75 131,1,2024-09-07 08:58:11:820,492696,492696,0,0,229328621068,2389661725,489552,2683,461,381,391865,0 131,2,2024-09-07 08:58:10:571,357213,357213,0,0,12607594,0,2415 131,3,2024-09-07 08:58:11:689,1,279,4,0,392,2896,279,0 132,0,2024-09-07 08:58:11:428,67709,0.5,68661,0.6,136717,0.4,181669,2.00 132,1,2024-09-07 08:58:10:593,489343,489343,0,0,227522658237,2400757205,481299,6585,1459,381,392097,0 132,2,2024-09-07 08:58:10:703,350210,350210,0,0,16377472,0,4606 132,3,2024-09-07 08:58:11:721,1,279,10,0,356,3770,279,0 133,0,2024-09-07 08:58:11:528,66865,0.4,68387,0.5,140079,0.3,182491,1.75 133,1,2024-09-07 08:58:10:588,490311,490311,0,0,228202883288,2404479335,483711,5639,961,383,391914,0 133,2,2024-09-07 08:58:11:087,350829,350829,0,0,17795500,0,4315 133,3,2024-09-07 08:58:11:296,1,279,21,0,276,2714,279,0 134,0,2024-09-07 08:58:10:945,74615,0.4,74564,0.6,149211,0.4,198740,2.00 134,1,2024-09-07 08:58:10:587,490089,490089,0,0,228085729118,2395178594,483292,5321,1476,366,391718,0 134,2,2024-09-07 08:58:11:756,353756,353756,0,0,15135848,0,3847 134,3,2024-09-07 08:58:10:753,1,279,21,0,739,3996,279,0 135,0,2024-09-07 08:58:11:102,67677,0.8,67672,0.9,143670,0.9,185644,2.25 135,1,2024-09-07 08:58:11:585,489893,489893,0,0,228618399909,2407463616,482651,6052,1190,380,391805,0 135,2,2024-09-07 08:58:10:693,356369,356369,0,0,16616921,0,3981 135,3,2024-09-07 08:58:11:003,1,279,1,0,299,2096,279,0 136,0,2024-09-07 08:58:11:641,68136,0.6,68106,0.7,135691,0.6,181090,2.25 136,1,2024-09-07 08:58:11:450,490167,490167,0,0,228083221497,2397406009,484061,5463,643,382,391685,0 136,2,2024-09-07 08:58:11:133,350804,350804,0,0,15687921,0,3506 136,3,2024-09-07 08:58:11:106,1,279,2,0,150,2353,279,0 137,0,2024-09-07 08:58:10:936,68843,0.6,66874,0.7,131738,0.5,179206,2.00 137,1,2024-09-07 08:58:10:578,490196,490196,0,0,228338382157,2397016180,482289,6253,1654,366,391708,0 137,2,2024-09-07 08:58:11:712,350560,350560,0,0,17803722,0,3185 137,3,2024-09-07 08:58:10:771,1,279,1,0,382,3379,279,0 138,0,2024-09-07 08:58:11:745,71683,1.1,71832,1.0,144254,1.3,192581,2.25 138,1,2024-09-07 08:58:11:690,490045,490045,0,0,229036105450,2406106969,482293,6234,1518,368,391954,0 138,2,2024-09-07 08:58:10:589,352258,352258,0,0,16344593,0,4988 138,3,2024-09-07 08:58:10:626,1,279,14,0,1160,3996,279,0 139,0,2024-09-07 08:58:11:362,70922,1.6,71257,1.2,142497,2.4,190107,2.50 139,1,2024-09-07 08:58:10:576,488598,488598,0,0,227006222773,2413156768,479111,7062,2425,381,391892,0 139,2,2024-09-07 08:58:10:693,354104,354104,0,0,17135140,0,3097 139,3,2024-09-07 08:58:11:662,1,279,34,0,257,3122,279,0 140,0,2024-09-07 08:58:11:609,67904,0.3,67419,0.5,135585,0.2,180417,1.75 140,1,2024-09-07 08:58:11:538,493877,493877,0,0,230186799900,2370105537,491884,1750,243,365,391606,0 140,2,2024-09-07 08:58:10:686,353300,353300,0,0,13140097,0,3388 140,3,2024-09-07 08:58:10:771,1,279,0,0,247,2279,279,0 141,0,2024-09-07 08:58:11:701,66920,0.3,68775,0.4,131510,0.2,177985,1.50 141,1,2024-09-07 08:58:10:862,493452,493452,0,0,229624724604,2379731420,490853,2191,408,379,391614,0 141,2,2024-09-07 08:58:11:686,351315,351315,0,0,12915098,0,2342 141,3,2024-09-07 08:58:11:043,1,279,1,0,202,2482,279,0 142,0,2024-09-07 08:58:11:342,71361,0.3,70779,0.4,140965,0.2,188761,1.50 142,1,2024-09-07 08:58:10:600,492238,492238,0,0,228473607863,2379769749,489392,2579,267,382,392102,0 142,2,2024-09-07 08:58:11:314,352034,352002,32,0,14761827,0,6028 142,3,2024-09-07 08:58:11:757,1,279,3,0,484,3695,279,0 143,0,2024-09-07 08:58:11:373,73924,0.5,73909,0.6,148215,0.5,196744,1.75 143,1,2024-09-07 08:58:10:561,492891,492891,0,0,229182705110,2374249196,490125,2670,96,367,391651,0 143,2,2024-09-07 08:58:10:771,355941,355941,0,0,13912606,0,2669 143,3,2024-09-07 08:58:11:142,1,279,6,0,303,3513,279,0 144,0,2024-09-07 08:58:11:732,66654,0.6,68527,0.8,139639,0.5,183192,2.00 144,1,2024-09-07 08:58:10:567,489906,489906,0,0,227922240464,2390343279,485743,3235,928,381,391649,0 144,2,2024-09-07 08:58:11:755,355705,355705,0,0,13240774,0,3473 144,3,2024-09-07 08:58:11:746,1,279,1,0,249,3072,279,0 145,0,2024-09-07 08:58:11:364,65462,0.5,65446,0.8,138966,0.5,179451,2.25 145,1,2024-09-07 08:58:10:686,489584,489584,0,0,227758425515,2393698656,483735,5024,825,382,391698,0 145,2,2024-09-07 08:58:11:428,349444,349444,0,0,15763636,0,3903 145,3,2024-09-07 08:58:10:895,1,279,2,0,622,4217,279,0 146,0,2024-09-07 08:58:11:600,69200,0.5,68624,0.7,138136,0.5,183529,2.00 146,1,2024-09-07 08:58:11:590,490783,490783,0,0,228618314831,2406225614,482333,6602,1848,368,391770,0 146,2,2024-09-07 08:58:11:702,351032,351032,0,0,15994056,0,2730 146,3,2024-09-07 08:58:11:276,1,279,1,0,1520,6005,279,0 147,0,2024-09-07 08:58:11:720,74927,0.6,74778,0.7,148806,0.5,200306,2.00 147,1,2024-09-07 08:58:11:398,492682,492682,0,0,229433826945,2384110916,488566,3529,587,368,391791,0 147,2,2024-09-07 08:58:11:012,355986,355986,0,0,13491155,0,2789 147,3,2024-09-07 08:58:10:913,1,279,14,0,730,4105,279,0 0,0,2024-09-07 08:58:21:724,65484,0.4,65524,0.7,138929,0.4,180017,1.75 0,1,2024-09-07 08:58:20:801,493134,493134,0,0,229631157058,2399852587,489641,3284,209,370,391896,0 0,2,2024-09-07 08:58:21:067,352989,352989,0,0,13948721,0,4480 0,3,2024-09-07 08:58:20:981,1,280,1,0,319,3575,280,0 1,0,2024-09-07 08:58:21:786,74256,0.7,73745,0.8,148314,0.8,197888,2.00 1,1,2024-09-07 08:58:20:558,492830,492830,0,0,228698088872,2393975255,488291,3485,1054,370,391857,0 1,2,2024-09-07 08:58:20:643,354435,354435,0,0,13255927,0,3267 1,3,2024-09-07 08:58:21:309,1,280,6,0,262,3295,280,0 2,0,2024-09-07 08:58:21:573,71203,0.7,71484,0.8,141497,0.9,189635,2.00 2,1,2024-09-07 08:58:20:860,493921,493921,0,0,230387808370,2391544304,491724,1977,220,380,391745,0 2,2,2024-09-07 08:58:21:271,358137,358137,0,0,13544556,0,3594 2,3,2024-09-07 08:58:20:690,1,280,0,0,357,2571,280,0 3,0,2024-09-07 08:58:21:748,67755,0.4,67726,0.6,135255,0.4,179732,2.00 3,1,2024-09-07 08:58:21:630,492950,492950,0,0,229137216161,2384361308,489104,3384,462,380,391556,0 3,2,2024-09-07 08:58:21:146,352055,352032,23,0,13699585,0,5851 3,3,2024-09-07 08:58:21:751,1,280,41,0,103,1670,280,0 4,0,2024-09-07 08:58:21:827,64363,0.3,66267,0.5,134730,0.3,176323,1.75 4,1,2024-09-07 08:58:20:592,492476,492476,0,0,228842615798,2404211441,487263,4290,923,371,391846,0 4,2,2024-09-07 08:58:21:018,351128,351128,0,0,16677457,0,4528 4,3,2024-09-07 08:58:21:029,1,280,1,0,448,4210,280,0 5,0,2024-09-07 08:58:21:387,71734,0.4,72052,0.5,143209,0.4,191335,1.75 5,1,2024-09-07 08:58:20:755,492413,492413,0,0,229444454876,2412857182,486195,4911,1307,368,392005,0 5,2,2024-09-07 08:58:21:829,352647,352647,0,0,15434561,0,2432 5,3,2024-09-07 08:58:21:732,1,280,0,0,457,4245,280,0 6,0,2024-09-07 08:58:20:928,73676,0.5,73166,0.7,146160,0.5,195122,2.00 6,1,2024-09-07 08:58:20:747,492880,492880,0,0,229173787677,2394463831,487685,4281,914,379,391694,0 6,2,2024-09-07 08:58:21:119,357200,357200,0,0,15077847,0,4816 6,3,2024-09-07 08:58:21:274,1,280,15,0,340,3237,280,0 7,0,2024-09-07 08:58:21:531,67512,0.5,67806,0.7,135078,0.5,179505,2.00 7,1,2024-09-07 08:58:20:859,492018,492018,0,0,229023299831,2404356423,485364,5544,1110,382,391747,0 7,2,2024-09-07 08:58:20:771,354021,354021,0,0,14792895,0,4791 7,3,2024-09-07 08:58:20:861,1,280,9,0,398,3304,280,0 8,0,2024-09-07 08:58:21:329,67970,0.4,67753,0.5,135404,0.3,180719,1.75 8,1,2024-09-07 08:58:21:016,491215,491215,0,0,228846841967,2413972303,482039,6973,2203,366,392144,0 8,2,2024-09-07 08:58:20:790,348036,348036,0,0,17968945,0,3220 8,3,2024-09-07 08:58:20:585,1,280,1,0,538,4720,280,0 9,0,2024-09-07 08:58:21:138,70647,0.3,68492,0.5,143144,0.3,188117,1.75 9,1,2024-09-07 08:58:20:549,491389,491389,0,0,228985630874,2412160595,484502,5339,1548,370,392001,0 9,2,2024-09-07 08:58:21:086,352459,352459,0,0,15898653,0,3360 9,3,2024-09-07 08:58:21:752,1,280,4,0,496,4402,280,0 10,0,2024-09-07 08:58:21:603,75128,0.3,74549,0.5,149955,0.3,199535,1.75 10,1,2024-09-07 08:58:20:583,491833,491833,0,0,229593764445,2407105829,484751,6096,986,381,391741,0 10,2,2024-09-07 08:58:20:763,356362,356362,0,0,17401806,0,4264 10,3,2024-09-07 08:58:20:878,1,280,1,0,177,2290,280,0 11,0,2024-09-07 08:58:21:011,68932,0.5,66925,0.7,139909,0.4,185556,2.00 11,1,2024-09-07 08:58:20:571,492739,492739,0,0,229047446998,2409446795,483750,6762,2227,383,391573,0 11,2,2024-09-07 08:58:21:127,355876,355876,0,0,15994076,0,4130 11,3,2024-09-07 08:58:21:298,1,280,1,0,843,4461,280,0 12,0,2024-09-07 08:58:20:992,68631,0.3,68561,0.5,137138,0.3,182148,1.75 12,1,2024-09-07 08:58:20:936,492995,492995,0,0,229543271795,2390789119,489381,3192,422,370,391870,0 12,2,2024-09-07 08:58:21:547,351934,351934,0,0,14913362,0,3469 12,3,2024-09-07 08:58:21:059,1,280,0,0,386,4535,280,0 13,0,2024-09-07 08:58:21:346,69243,0.3,69195,0.5,138190,0.3,184323,1.50 13,1,2024-09-07 08:58:21:525,492995,492995,0,0,228858840267,2398288192,489411,3042,542,382,391740,0 13,2,2024-09-07 08:58:20:597,354019,354019,0,0,14013616,0,3287 13,3,2024-09-07 08:58:21:764,1,280,18,0,467,4134,280,0 14,0,2024-09-07 08:58:20:568,74991,0.3,75565,0.5,149476,0.3,199396,1.75 14,1,2024-09-07 08:58:21:568,495895,495895,0,0,230460251799,2382151775,493055,2650,190,364,391571,0 14,2,2024-09-07 08:58:20:775,355338,355338,0,0,14072260,0,2896 14,3,2024-09-07 08:58:21:118,1,280,1,0,1168,3787,280,0 15,0,2024-09-07 08:58:21:555,70338,0.5,70422,0.7,140593,0.5,187448,2.00 15,1,2024-09-07 08:58:21:608,494080,494080,0,0,229940563859,2389056598,491387,2515,178,381,391619,0 15,2,2024-09-07 08:58:20:998,358654,358654,0,0,12101339,0,3043 15,3,2024-09-07 08:58:21:407,1,280,2,0,1126,5512,280,0 16,0,2024-09-07 08:58:20:943,68068,0.7,68242,0.8,136006,0.7,181143,2.25 16,1,2024-09-07 08:58:20:568,493972,493972,0,0,229594271198,2397098665,490317,3354,301,370,391756,0 16,2,2024-09-07 08:58:21:441,351274,351274,0,0,14554907,0,4719 16,3,2024-09-07 08:58:21:148,1,280,1,0,317,3813,280,0 17,0,2024-09-07 08:58:21:803,69225,0.4,67596,0.7,132125,0.4,179907,1.75 17,1,2024-09-07 08:58:20:569,492582,492582,0,0,228674316319,2399116726,487532,3944,1106,368,391899,0 17,2,2024-09-07 08:58:21:693,355145,355145,0,0,14275132,0,2857 17,3,2024-09-07 08:58:20:574,1,280,31,0,268,4455,280,0 18,0,2024-09-07 08:58:20:943,72043,0.6,72350,0.7,144394,0.5,193460,2.25 18,1,2024-09-07 08:58:21:640,493797,493797,0,0,230020298872,2382658713,491060,2495,242,367,391649,0 18,2,2024-09-07 08:58:21:754,355792,355792,0,0,13744201,0,3541 18,3,2024-09-07 08:58:20:896,1,280,1,0,163,2630,280,0 19,0,2024-09-07 08:58:21:552,71518,0.6,72040,0.8,143004,0.6,190086,2.00 19,1,2024-09-07 08:58:20:566,494042,494042,0,0,230460224918,2391942375,489369,3886,787,367,391777,0 19,2,2024-09-07 08:58:21:759,359477,359477,0,0,12566763,0,3988 19,3,2024-09-07 08:58:21:129,1,280,13,0,524,2116,280,0 20,0,2024-09-07 08:58:21:346,67487,0.6,67495,0.7,135280,0.7,180218,2.25 20,1,2024-09-07 08:58:20:571,492457,492457,0,0,229549427764,2400389655,488472,3585,400,369,391886,0 20,2,2024-09-07 08:58:20:927,353602,353602,0,0,14378297,0,3721 20,3,2024-09-07 08:58:20:591,1,280,0,0,414,4869,280,0 21,0,2024-09-07 08:58:21:213,67060,0.5,67146,0.6,134110,0.4,177340,2.00 21,1,2024-09-07 08:58:21:545,491066,491066,0,0,228541498703,2407494274,484361,5080,1625,368,392016,0 21,2,2024-09-07 08:58:21:066,350914,350914,0,0,16909137,0,3747 21,3,2024-09-07 08:58:21:407,1,280,45,0,103,2793,280,0 22,0,2024-09-07 08:58:21:718,70820,0.5,70989,0.7,141750,0.5,188585,2.00 22,1,2024-09-07 08:58:21:026,492224,492224,0,0,228641697598,2405665262,484617,5940,1667,382,391667,0 22,2,2024-09-07 08:58:20:762,352873,352873,0,0,14523726,0,3134 22,3,2024-09-07 08:58:21:071,1,280,8,0,228,2533,280,0 23,0,2024-09-07 08:58:21:369,73567,0.6,73466,0.7,147208,0.6,195824,2.25 23,1,2024-09-07 08:58:21:004,493233,493233,0,0,229830082742,2412518840,485269,5298,2666,365,391690,0 23,2,2024-09-07 08:58:21:098,357581,357581,0,0,13913822,0,3010 23,3,2024-09-07 08:58:21:754,1,280,3,0,645,3480,280,0 24,0,2024-09-07 08:58:20:818,69569,0.4,69447,0.6,139383,0.4,184976,1.75 24,1,2024-09-07 08:58:20:582,492300,492300,0,0,228518198116,2398585191,486117,4661,1522,368,391987,0 24,2,2024-09-07 08:58:21:069,354403,354403,0,0,17140377,0,3607 24,3,2024-09-07 08:58:21:705,1,280,1,0,468,4089,280,0 25,0,2024-09-07 08:58:21:427,69790,0.4,68054,0.6,133620,0.4,182491,2.00 25,1,2024-09-07 08:58:20:559,493050,493050,0,0,229259743798,2401902463,487521,4745,784,371,391928,0 25,2,2024-09-07 08:58:21:611,350712,350712,0,0,17441033,0,3978 25,3,2024-09-07 08:58:21:003,1,280,4,0,255,2950,280,0 26,0,2024-09-07 08:58:21:726,69114,0.4,67510,0.6,141584,0.3,184600,1.75 26,1,2024-09-07 08:58:21:546,492863,492863,0,0,229126327518,2403802444,485135,6480,1248,381,391748,0 26,2,2024-09-07 08:58:20:869,352690,352690,0,0,17177495,0,2809 26,3,2024-09-07 08:58:21:713,1,280,1,0,796,3518,280,0 27,0,2024-09-07 08:58:21:732,75707,0.5,75861,0.6,150187,0.4,201416,2.00 27,1,2024-09-07 08:58:21:686,494738,494738,0,0,230329162069,2396612521,490826,3319,593,381,391626,0 27,2,2024-09-07 08:58:20:868,353790,353725,65,0,17301677,0,5699 27,3,2024-09-07 08:58:21:015,1,280,8,0,564,2959,280,0 28,0,2024-09-07 08:58:21:405,69977,0.4,69771,0.6,140113,0.4,186876,2.00 28,1,2024-09-07 08:58:20:800,494231,494231,0,0,230315191235,2395398445,491281,2478,472,383,391646,0 28,2,2024-09-07 08:58:21:774,357047,357047,0,0,13778191,0,2915 28,3,2024-09-07 08:58:21:782,1,280,0,0,502,2855,280,0 29,0,2024-09-07 08:58:21:439,70024,0.4,68376,0.6,133712,0.3,182526,1.75 29,1,2024-09-07 08:58:21:576,495152,495152,0,0,230306277922,2382929313,491808,2778,566,369,391753,0 29,2,2024-09-07 08:58:20:873,351179,351179,0,0,12632857,0,4018 29,3,2024-09-07 08:58:20:970,1,280,18,0,284,2281,280,0 30,0,2024-09-07 08:58:21:461,67419,0.4,65464,0.6,136969,0.4,179392,2.00 30,1,2024-09-07 08:58:20:580,495311,495311,0,0,230592584308,2387207243,492975,2042,294,382,391672,0 30,2,2024-09-07 08:58:21:275,353319,353319,0,0,12711488,0,3161 30,3,2024-09-07 08:58:20:581,1,280,1,0,519,2617,280,0 31,0,2024-09-07 08:58:21:760,73804,0.4,74101,0.5,148340,0.3,197808,1.75 31,1,2024-09-07 08:58:20:568,496758,496758,0,0,231220560733,2367302590,495346,1192,220,356,391712,0 31,2,2024-09-07 08:58:21:275,354815,354815,0,0,14624398,0,3525 31,3,2024-09-07 08:58:21:709,1,280,13,0,220,2143,280,0 32,0,2024-09-07 08:58:21:437,71063,0.3,71388,0.5,142952,0.3,189939,1.75 32,1,2024-09-07 08:58:20:804,494595,494595,0,0,230164154620,2386675515,492337,1979,279,381,391622,0 32,2,2024-09-07 08:58:20:937,358050,358050,0,0,12557158,0,3155 32,3,2024-09-07 08:58:21:015,1,280,0,0,227,2177,280,0 33,0,2024-09-07 08:58:21:493,68068,0.3,67548,0.5,135691,0.2,180666,1.75 33,1,2024-09-07 08:58:20:574,495254,495254,0,0,231171122465,2386746397,492613,2467,174,369,391730,0 33,2,2024-09-07 08:58:20:762,353245,353212,33,0,13936879,0,7012 33,3,2024-09-07 08:58:20:900,1,280,1,0,329,3197,280,0 34,0,2024-09-07 08:58:20:930,66382,0.3,68448,0.4,131050,0.2,175702,1.50 34,1,2024-09-07 08:58:21:044,496000,496000,0,0,230892593014,2373163464,494869,1124,7,367,391562,0 34,2,2024-09-07 08:58:20:766,353043,353043,0,0,13373869,0,3577 34,3,2024-09-07 08:58:21:705,1,280,3,0,299,2184,280,0 35,0,2024-09-07 08:58:20:866,71167,0.3,71495,0.5,143910,0.2,191952,1.50 35,1,2024-09-07 08:58:21:067,494443,494443,0,0,229550109710,2380034463,491015,2648,780,384,391589,0 35,2,2024-09-07 08:58:21:595,354410,354410,0,0,13608394,0,2653 35,3,2024-09-07 08:58:20:911,1,280,7,0,418,3450,280,0 36,0,2024-09-07 08:58:21:522,73441,0.5,73403,0.7,146872,0.5,194734,2.25 36,1,2024-09-07 08:58:20:583,493710,493710,0,0,229107226053,2394880594,487446,4682,1582,366,391759,0 36,2,2024-09-07 08:58:21:753,357102,357102,0,0,15341728,0,3875 36,3,2024-09-07 08:58:20:869,1,280,1,0,416,4307,280,0 37,0,2024-09-07 08:58:21:412,67412,0.5,67396,0.7,134996,0.5,180448,2.00 37,1,2024-09-07 08:58:20:572,492815,492808,0,7,228817108001,2394142986,486757,3981,2070,365,391560,0 37,2,2024-09-07 08:58:21:147,353300,353285,15,0,15365404,0,5815 37,3,2024-09-07 08:58:21:770,1,280,1,0,888,4660,280,0 38,0,2024-09-07 08:58:21:443,67227,0.4,65358,0.6,136661,0.4,178641,2.00 38,1,2024-09-07 08:58:21:614,494234,494234,0,0,230502749348,2402299525,488878,4680,676,368,391821,0 38,2,2024-09-07 08:58:20:762,351277,351230,47,0,16198387,0,6710 38,3,2024-09-07 08:58:21:002,1,280,0,0,689,3633,280,0 39,0,2024-09-07 08:58:21:769,72074,0.6,70633,0.7,137303,0.5,188307,2.00 39,1,2024-09-07 08:58:20:722,493375,493375,0,0,229651634561,2400260104,486744,5445,1186,365,391594,0 39,2,2024-09-07 08:58:21:419,353093,353093,0,0,14125411,0,2689 39,3,2024-09-07 08:58:20:720,1,280,11,0,324,3662,280,0 40,0,2024-09-07 08:58:21:491,74248,0.8,74959,1.0,149701,0.9,199297,3.00 40,1,2024-09-07 08:58:20:581,493482,493482,0,0,229421240715,2402396583,486199,5837,1446,368,391668,0 40,2,2024-09-07 08:58:21:306,356553,356552,1,0,17328723,0,5137 40,3,2024-09-07 08:58:21:148,1,280,1,0,398,3300,280,0 41,0,2024-09-07 08:58:21:026,68799,1.7,70412,1.4,134308,2.8,183631,3.50 41,1,2024-09-07 08:58:20:768,492983,492983,0,0,229534376040,2398689136,487226,5122,635,370,391742,0 41,2,2024-09-07 08:58:20:761,354826,354826,0,0,16592208,0,3356 41,3,2024-09-07 08:58:21:683,1,280,1,0,366,3098,280,0 42,0,2024-09-07 08:58:21:484,67467,0.7,67582,1.0,135439,0.8,178913,2.50 42,1,2024-09-07 08:58:21:439,492203,492203,0,0,229275443283,2409166637,484458,6232,1513,380,391675,0 42,2,2024-09-07 08:58:21:135,351832,351832,0,0,15810839,0,3568 42,3,2024-09-07 08:58:21:011,1,280,1,0,446,2445,280,0 43,0,2024-09-07 08:58:20:922,68502,0.8,66709,0.9,139670,0.8,183996,2.25 43,1,2024-09-07 08:58:20:576,493206,493206,0,0,229874070408,2402156704,486224,5640,1342,366,391696,0 43,2,2024-09-07 08:58:21:736,352999,352999,0,0,15895714,0,3812 43,3,2024-09-07 08:58:21:759,1,280,10,0,467,3549,280,0 44,0,2024-09-07 08:58:20:884,74699,0.4,75180,0.6,149774,0.3,199436,1.75 44,1,2024-09-07 08:58:20:576,495241,495241,0,0,229591861066,2366956592,492677,2132,432,356,391809,0 44,2,2024-09-07 08:58:21:267,354811,354811,0,0,12842888,0,2231 44,3,2024-09-07 08:58:21:099,1,280,1,0,817,3186,280,0 45,0,2024-09-07 08:58:21:792,69314,0.6,67736,0.8,142199,0.6,187304,2.00 45,1,2024-09-07 08:58:21:005,494622,494622,0,0,230249277498,2383581811,492964,1646,12,382,391917,0 45,2,2024-09-07 08:58:21:268,358100,358100,0,0,13503803,0,3596 45,3,2024-09-07 08:58:20:934,1,280,1,0,271,2915,280,0 46,0,2024-09-07 08:58:20:949,67570,0.5,67461,0.7,135118,0.5,179128,2.00 46,1,2024-09-07 08:58:20:575,495993,495993,0,0,230381011921,2375591879,493635,2084,274,366,391539,0 46,2,2024-09-07 08:58:20:602,352376,352376,0,0,12730614,0,2920 46,3,2024-09-07 08:58:21:131,1,280,56,0,908,4179,280,0 47,0,2024-09-07 08:58:21:107,67454,0.4,67421,0.6,135488,0.3,179392,1.75 47,1,2024-09-07 08:58:20:567,495380,495380,0,0,231044516480,2382461759,493010,2236,134,366,391641,0 47,2,2024-09-07 08:58:20:911,354984,354984,0,0,12997314,0,2558 47,3,2024-09-07 08:58:21:120,1,280,9,0,529,2516,280,0 48,0,2024-09-07 08:58:21:498,73333,0.3,72821,0.4,145361,0.2,194440,1.50 48,1,2024-09-07 08:58:21:027,494465,494465,0,0,230774123276,2395433919,491292,2872,301,384,391710,0 48,2,2024-09-07 08:58:20:701,354544,354544,0,0,12629745,0,3031 48,3,2024-09-07 08:58:20:753,1,280,2,0,339,2346,280,0 49,0,2024-09-07 08:58:21:717,73960,0.3,72551,0.5,140795,0.3,192426,1.75 49,1,2024-09-07 08:58:21:029,493390,493390,0,0,229363337575,2389002633,489500,2709,1181,382,391809,0 49,2,2024-09-07 08:58:21:797,359024,359024,0,0,13442867,0,3900 49,3,2024-09-07 08:58:21:426,1,280,4,0,408,2925,280,0 50,0,2024-09-07 08:58:21:508,67675,0.3,67117,0.5,134963,0.2,179923,1.75 50,1,2024-09-07 08:58:21:012,494970,494970,0,0,231560184526,2393894081,491831,2829,310,368,391540,0 50,2,2024-09-07 08:58:21:072,353752,353752,0,0,12354660,0,2263 50,3,2024-09-07 08:58:21:293,1,280,2,0,335,2371,280,0 51,0,2024-09-07 08:58:21:691,68584,0.3,67060,0.4,130814,0.2,177713,1.50 51,1,2024-09-07 08:58:21:682,495796,495796,0,0,231197868514,2385027311,492977,1879,940,365,391706,0 51,2,2024-09-07 08:58:21:321,352553,352553,0,0,11675707,0,3337 51,3,2024-09-07 08:58:21:026,1,280,13,0,678,2190,280,0 52,0,2024-09-07 08:58:21:416,71423,0.4,71115,0.6,142390,0.4,189214,2.00 52,1,2024-09-07 08:58:20:575,493180,493180,0,0,229364969121,2403183295,485855,6071,1254,368,391722,0 52,2,2024-09-07 08:58:21:761,351117,351079,38,0,16341473,0,6742 52,3,2024-09-07 08:58:20:685,1,280,22,0,1782,4635,280,0 53,0,2024-09-07 08:58:21:802,73384,0.7,71343,0.8,149135,0.8,195302,2.50 53,1,2024-09-07 08:58:20:772,492112,492112,0,0,229190305611,2407235398,484043,5620,2449,367,391702,0 53,2,2024-09-07 08:58:21:299,357271,357271,0,0,13735960,0,2727 53,3,2024-09-07 08:58:20:697,1,280,1,0,308,2667,280,0 54,0,2024-09-07 08:58:21:616,68037,0.7,68609,0.8,136003,0.5,182160,2.50 54,1,2024-09-07 08:58:20:580,493605,493605,0,0,230206137148,2394067425,488504,4502,599,367,391659,0 54,2,2024-09-07 08:58:20:869,355755,355749,6,0,15745968,0,5382 54,3,2024-09-07 08:58:20:774,1,280,15,0,676,4544,280,0 55,0,2024-09-07 08:58:21:760,65683,0.6,68029,0.8,136862,0.5,178841,2.50 55,1,2024-09-07 08:58:20:770,493087,493087,0,0,229599830722,2391501598,486907,5272,908,365,391731,0 55,2,2024-09-07 08:58:20:729,350832,350832,0,0,15189600,0,3563 55,3,2024-09-07 08:58:20:679,1,280,12,0,304,3021,280,0 56,0,2024-09-07 08:58:21:581,70911,1.1,66914,1.1,137698,1.6,184444,2.50 56,1,2024-09-07 08:58:20:572,491359,491359,0,0,228848313662,2418587280,483535,6290,1534,381,391729,0 56,2,2024-09-07 08:58:21:317,352846,352846,0,0,16252947,0,3567 56,3,2024-09-07 08:58:21:062,1,280,1,0,705,3932,280,0 57,0,2024-09-07 08:58:20:942,74357,1.6,74301,1.3,148484,2.2,199454,3.25 57,1,2024-09-07 08:58:20:986,492801,492801,0,0,229568973285,2405046186,486941,5174,686,367,392032,0 57,2,2024-09-07 08:58:21:322,355929,355929,0,0,17694670,0,3317 57,3,2024-09-07 08:58:21:755,1,280,1,0,359,3588,280,0 58,0,2024-09-07 08:58:20:567,68659,1.0,66781,1.0,139802,1.4,183324,2.50 58,1,2024-09-07 08:58:20:584,493912,493909,0,3,230100116917,2405266594,487118,5902,889,367,391603,3 58,2,2024-09-07 08:58:21:076,356953,356953,0,0,16026078,0,2549 58,3,2024-09-07 08:58:21:071,1,280,3,0,1043,3101,280,0 59,0,2024-09-07 08:58:21:753,67799,0.8,67583,1.0,134797,0.8,179097,2.75 59,1,2024-09-07 08:58:20:804,492901,492901,0,0,229489353736,2401436927,486462,5156,1283,369,391525,0 59,2,2024-09-07 08:58:20:593,352584,352584,0,0,14268733,0,2604 59,3,2024-09-07 08:58:21:739,1,280,3,0,1015,3899,280,0 60,0,2024-09-07 08:58:21:745,67552,0.4,67408,0.6,136053,0.3,179941,1.75 60,1,2024-09-07 08:58:20:779,495072,495072,0,0,230644566085,2388538481,492612,1941,519,370,392031,0 60,2,2024-09-07 08:58:21:143,353273,353273,0,0,15219146,0,3811 60,3,2024-09-07 08:58:21:258,1,280,1,0,175,2764,280,0 61,0,2024-09-07 08:58:21:504,73948,0.5,74447,0.7,148086,0.5,197837,1.75 61,1,2024-09-07 08:58:20:770,493303,493303,0,0,229471031353,2398397080,488660,3938,705,382,391880,0 61,2,2024-09-07 08:58:21:119,355623,355623,0,0,13928828,0,2780 61,3,2024-09-07 08:58:21:692,1,280,8,0,397,3627,280,0 62,0,2024-09-07 08:58:21:717,71681,0.6,73086,0.7,139291,0.6,189669,2.00 62,1,2024-09-07 08:58:21:115,496361,496355,0,6,231664040694,2387739487,493772,2464,119,365,391715,6 62,2,2024-09-07 08:58:21:646,356732,356731,1,0,15249746,0,5555 62,3,2024-09-07 08:58:21:150,1,280,7,0,287,1887,280,0 63,0,2024-09-07 08:58:21:466,67761,0.5,67904,0.6,135750,0.4,180270,1.75 63,1,2024-09-07 08:58:20:804,495180,495174,0,6,230044858585,2380693749,493436,1682,56,381,391677,6 63,2,2024-09-07 08:58:20:761,352646,352646,0,0,12500612,0,2674 63,3,2024-09-07 08:58:21:732,1,280,9,0,667,3111,280,0 64,0,2024-09-07 08:58:21:524,66064,0.4,65943,0.6,131985,0.4,175600,1.75 64,1,2024-09-07 08:58:20:752,493870,493870,0,0,230296418072,2399233206,489330,3124,1416,370,391783,0 64,2,2024-09-07 08:58:21:162,355913,355894,19,0,13494067,0,6121 64,3,2024-09-07 08:58:21:146,1,280,1,0,265,2683,280,0 65,0,2024-09-07 08:58:21:755,71166,0.6,71626,0.7,142740,0.6,190970,2.00 65,1,2024-09-07 08:58:20:870,492766,492766,0,0,229053429388,2394458530,489084,3235,447,382,391901,0 65,2,2024-09-07 08:58:21:704,354538,354538,0,0,14922850,0,3367 65,3,2024-09-07 08:58:21:709,1,280,32,0,163,2714,280,0 66,0,2024-09-07 08:58:21:772,72949,0.5,72807,0.7,146193,0.5,193888,2.00 66,1,2024-09-07 08:58:21:301,494478,494478,0,0,230310616520,2392565541,491622,2611,245,380,391653,0 66,2,2024-09-07 08:58:21:136,359321,359321,0,0,14059295,0,4956 66,3,2024-09-07 08:58:21:080,1,280,1,0,291,2802,280,0 67,0,2024-09-07 08:58:21:438,68279,0.6,67510,0.7,136002,0.6,180673,2.00 67,1,2024-09-07 08:58:20:804,494214,494213,0,1,230863870773,2404580786,490360,3104,749,380,391787,1 67,2,2024-09-07 08:58:20:588,355361,355361,0,0,12954958,0,2889 67,3,2024-09-07 08:58:21:752,1,280,7,0,338,2497,280,0 68,0,2024-09-07 08:58:20:626,67942,0.6,67691,0.7,135165,0.5,180433,2.00 68,1,2024-09-07 08:58:20:588,492803,492803,0,0,229067198793,2399525325,488531,3101,1171,381,391953,0 68,2,2024-09-07 08:58:21:055,350615,350550,65,0,17185181,0,6698 68,3,2024-09-07 08:58:20:748,1,280,14,0,417,3186,280,0 69,0,2024-09-07 08:58:21:745,70003,0.8,70410,0.8,140404,0.9,186808,2.25 69,1,2024-09-07 08:58:21:031,491755,491755,0,0,228553273158,2411495045,485409,4761,1585,384,391994,0 69,2,2024-09-07 08:58:21:737,352973,352973,0,0,17372360,0,3722 69,3,2024-09-07 08:58:20:764,1,280,26,0,698,4120,280,0 70,0,2024-09-07 08:58:21:569,74333,1.0,74457,1.1,149475,0.8,197859,2.50 70,1,2024-09-07 08:58:20:816,494691,494691,0,0,230730478197,2395973972,490480,3675,536,366,391725,0 70,2,2024-09-07 08:58:21:329,355932,355932,0,0,15755670,0,4044 70,3,2024-09-07 08:58:20:756,1,280,72,0,854,3256,280,0 71,0,2024-09-07 08:58:21:371,68773,1.1,68655,1.1,137660,1.3,184298,2.75 71,1,2024-09-07 08:58:21:596,492864,492864,0,0,229333357800,2392381441,487360,4861,643,368,391738,0 71,2,2024-09-07 08:58:21:072,356395,356395,0,0,16075820,0,4042 71,3,2024-09-07 08:58:21:752,1,280,15,0,644,3855,280,0 72,0,2024-09-07 08:58:21:028,70424,0.7,68950,0.8,134199,0.7,182668,2.00 72,1,2024-09-07 08:58:21:021,493110,493110,0,0,229321866419,2397877720,486709,4951,1450,369,391819,0 72,2,2024-09-07 08:58:21:755,350510,350510,0,0,17667046,0,3983 72,3,2024-09-07 08:58:21:774,1,280,1,0,564,4686,280,0 73,0,2024-09-07 08:58:21:119,67333,0.4,68884,0.6,141131,0.3,183732,2.00 73,1,2024-09-07 08:58:20:780,493927,493927,0,0,229907739407,2388553918,490527,3115,285,367,391750,0 73,2,2024-09-07 08:58:21:742,353369,353369,0,0,17129181,0,3701 73,3,2024-09-07 08:58:20:970,1,280,17,0,274,3971,280,0 74,0,2024-09-07 08:58:21:366,75195,0.4,76970,0.6,147003,0.3,199278,2.00 74,1,2024-09-07 08:58:20:646,493298,493298,0,0,229680567375,2396924453,488429,3903,966,381,391681,0 74,2,2024-09-07 08:58:21:006,354901,354901,0,0,16678887,0,4253 74,3,2024-09-07 08:58:21:455,1,280,16,0,522,3624,280,0 75,0,2024-09-07 08:58:21:798,70563,0.5,70164,0.7,140602,0.5,188386,2.25 75,1,2024-09-07 08:58:21:595,492610,492610,0,0,229923251956,2402160559,487452,4494,664,380,391660,0 75,2,2024-09-07 08:58:21:351,356684,356684,0,0,16604646,0,4766 75,3,2024-09-07 08:58:21:066,1,280,98,0,702,4039,280,0 76,0,2024-09-07 08:58:20:619,67593,0.7,66912,0.8,134537,0.7,180104,2.50 76,1,2024-09-07 08:58:20:805,493697,493697,0,0,230182683628,2397809983,490581,2564,552,382,391692,0 76,2,2024-09-07 08:58:21:065,353140,353140,0,0,13386027,0,3784 76,3,2024-09-07 08:58:21:148,1,280,1,0,175,2733,280,0 77,0,2024-09-07 08:58:21:830,67176,0.4,67454,0.7,134784,0.4,179152,1.75 77,1,2024-09-07 08:58:20:841,494091,494091,0,0,230134338411,2399330133,490618,3216,257,381,391869,0 77,2,2024-09-07 08:58:21:299,352980,352980,0,0,14394406,0,3890 77,3,2024-09-07 08:58:21:105,1,280,0,0,382,3004,280,0 78,0,2024-09-07 08:58:21:729,73048,0.4,72554,0.6,145692,0.3,193667,2.00 78,1,2024-09-07 08:58:20:617,494031,494031,0,0,229682152706,2382279254,490899,2813,319,367,391670,0 78,2,2024-09-07 08:58:21:407,354856,354856,0,0,12861313,0,3855 78,3,2024-09-07 08:58:21:135,1,280,0,0,181,2608,280,0 79,0,2024-09-07 08:58:21:348,69822,0.4,71607,0.6,146310,0.4,190034,2.25 79,1,2024-09-07 08:58:20:577,495651,495651,0,0,230141402728,2378171891,492796,2518,337,369,391682,0 79,2,2024-09-07 08:58:21:072,359040,359040,0,0,12943173,0,3212 79,3,2024-09-07 08:58:20:757,1,280,18,0,418,3887,280,0 80,0,2024-09-07 08:58:21:085,67623,0.6,69526,0.7,133121,0.6,179698,2.00 80,1,2024-09-07 08:58:21:624,493534,493534,0,0,229224061296,2384017746,490541,2821,172,368,391791,0 80,2,2024-09-07 08:58:21:110,354319,354319,0,0,13232231,0,4433 80,3,2024-09-07 08:58:20:590,1,280,17,0,190,3677,280,0 81,0,2024-09-07 08:58:21:533,66722,0.5,68555,0.6,131067,0.4,176791,1.75 81,1,2024-09-07 08:58:21:674,492693,492693,0,0,229487632010,2397550764,488524,3694,475,382,391879,0 81,2,2024-09-07 08:58:21:136,352381,352381,0,0,13797550,0,3993 81,3,2024-09-07 08:58:21:120,1,280,96,0,374,3340,280,0 82,0,2024-09-07 08:58:21:546,70734,0.4,71099,0.6,142374,0.3,189307,1.75 82,1,2024-09-07 08:58:20:584,494413,494409,0,4,230112566493,2394512166,491104,2694,611,381,391768,4 82,2,2024-09-07 08:58:21:696,354916,354916,0,0,13066193,0,3986 82,3,2024-09-07 08:58:21:754,1,280,1,0,363,3027,280,0 83,0,2024-09-07 08:58:21:550,74022,0.5,73964,0.7,146986,0.5,195607,2.00 83,1,2024-09-07 08:58:20:560,493262,493262,0,0,229868963244,2395051512,489908,3108,246,382,391709,0 83,2,2024-09-07 08:58:20:765,356600,356600,0,0,13216926,0,3119 83,3,2024-09-07 08:58:20:752,1,280,2,0,250,3102,280,0 84,0,2024-09-07 08:58:21:789,68668,0.8,68504,0.9,137135,0.7,183605,2.25 84,1,2024-09-07 08:58:21:047,492751,492751,0,0,228963435893,2392425498,487807,4359,585,367,391967,0 84,2,2024-09-07 08:58:20:574,355892,355892,0,0,15244340,0,3801 84,3,2024-09-07 08:58:21:148,1,280,7,0,908,4400,280,0 85,0,2024-09-07 08:58:21:007,65715,0.6,65663,0.8,139360,0.6,180350,2.25 85,1,2024-09-07 08:58:20:625,492254,492254,0,0,229100315814,2418328036,485086,6050,1118,381,392006,0 85,2,2024-09-07 08:58:20:869,352675,352675,0,0,16004989,0,3656 85,3,2024-09-07 08:58:20:691,1,280,1,0,789,3736,280,0 86,0,2024-09-07 08:58:20:967,69449,0.6,71363,0.7,136563,0.6,184305,2.00 86,1,2024-09-07 08:58:20:842,493349,493349,0,0,229626945029,2401377644,487856,4661,832,366,391961,0 86,2,2024-09-07 08:58:20:874,351995,351994,1,0,17104203,0,5004 86,3,2024-09-07 08:58:20:592,1,280,76,0,286,3995,280,0 87,0,2024-09-07 08:58:21:287,75088,1.0,75115,0.9,150721,1.3,201721,2.25 87,1,2024-09-07 08:58:20:551,492982,492982,0,0,229438421651,2398797761,488139,4349,494,366,392076,0 87,2,2024-09-07 08:58:21:072,355224,355224,0,0,15428532,0,4045 87,3,2024-09-07 08:58:21:794,1,280,17,0,473,4080,280,0 88,0,2024-09-07 08:58:21:443,69886,0.5,70254,0.6,140235,0.5,186673,2.00 88,1,2024-09-07 08:58:20:573,491725,491725,0,0,228645733615,2400649129,484493,5581,1651,365,392084,0 88,2,2024-09-07 08:58:20:690,357058,357058,0,0,17372975,0,3583 88,3,2024-09-07 08:58:21:268,1,280,28,0,435,3340,280,0 89,0,2024-09-07 08:58:21:841,70165,0.5,67838,0.7,134584,0.4,182434,1.75 89,1,2024-09-07 08:58:20:552,491517,491517,0,0,229735722824,2420765659,484241,6011,1265,382,391866,0 89,2,2024-09-07 08:58:21:151,350683,350683,0,0,16355735,0,2910 89,3,2024-09-07 08:58:21:794,1,280,12,0,385,5621,280,0 90,0,2024-09-07 08:58:21:616,65671,0.4,67116,0.6,137485,0.4,179166,1.75 90,1,2024-09-07 08:58:20:615,493130,493130,0,0,229249446136,2402066492,488412,4397,321,381,391825,0 90,2,2024-09-07 08:58:21:407,351529,351529,0,0,17801051,0,3060 90,3,2024-09-07 08:58:20:956,1,280,1,0,200,2868,280,0 91,0,2024-09-07 08:58:20:949,74224,0.4,72057,0.6,150318,0.4,197843,1.75 91,1,2024-09-07 08:58:20:588,491916,491916,0,0,229390635731,2412888976,485376,5653,887,381,392047,0 91,2,2024-09-07 08:58:21:332,354487,354487,0,0,16000048,0,2896 91,3,2024-09-07 08:58:20:607,1,280,40,0,216,2567,280,0 92,0,2024-09-07 08:58:21:454,71740,0.5,73578,0.6,140430,0.5,189929,1.75 92,1,2024-09-07 08:58:20:585,493407,493407,0,0,229230060117,2388256149,490658,2340,409,382,392136,0 92,2,2024-09-07 08:58:21:354,359491,359491,0,0,13513017,0,2801 92,3,2024-09-07 08:58:21:021,1,280,1,0,167,2185,280,0 93,0,2024-09-07 08:58:20:964,68132,0.4,69878,0.6,133472,0.3,180857,1.75 93,1,2024-09-07 08:58:20:811,493757,493757,0,0,229490069165,2392589849,489189,3778,790,366,391776,0 93,2,2024-09-07 08:58:20:931,352372,352372,0,0,14268461,0,2797 93,3,2024-09-07 08:58:21:407,1,280,1,0,190,2810,280,0 94,0,2024-09-07 08:58:21:615,66088,0.3,66893,0.5,133352,0.3,176476,1.75 94,1,2024-09-07 08:58:20:562,493145,493145,0,0,229616954305,2396661037,489746,3218,181,381,391850,0 94,2,2024-09-07 08:58:20:784,352719,352719,0,0,13382642,0,2443 94,3,2024-09-07 08:58:21:695,1,280,2,0,264,3422,280,0 95,0,2024-09-07 08:58:21:355,71829,0.3,71717,0.5,144185,0.3,191762,1.50 95,1,2024-09-07 08:58:20:860,493894,493894,0,0,229894860035,2387723149,490188,3329,377,367,391713,0 95,2,2024-09-07 08:58:21:016,353557,353557,0,0,13718092,0,3308 95,3,2024-09-07 08:58:21:717,1,280,8,0,718,4682,280,0 96,0,2024-09-07 08:58:21:025,73484,0.4,73670,0.5,147147,0.3,194487,1.75 96,1,2024-09-07 08:58:21:601,493185,493185,0,0,229961087715,2399733419,489550,2900,735,384,391894,0 96,2,2024-09-07 08:58:21:268,357723,357723,0,0,14332336,0,4042 96,3,2024-09-07 08:58:21:144,1,280,8,0,411,3172,280,0 97,0,2024-09-07 08:58:21:363,68059,0.3,67743,0.5,136065,0.3,180450,1.75 97,1,2024-09-07 08:58:20:766,495114,495114,0,0,230855945634,2392060026,492058,2497,559,367,392140,0 97,2,2024-09-07 08:58:20:617,355090,355090,0,0,13589765,0,3036 97,3,2024-09-07 08:58:20:569,1,280,3,0,214,3161,280,0 98,0,2024-09-07 08:58:21:724,67839,0.3,67761,0.4,136110,0.2,180549,1.50 98,1,2024-09-07 08:58:20:575,494478,494478,0,0,229896982634,2390413234,492316,2061,101,382,391997,0 98,2,2024-09-07 08:58:20:771,352084,352084,0,0,13659512,0,3080 98,3,2024-09-07 08:58:20:700,1,280,3,0,840,4833,280,0 99,0,2024-09-07 08:58:21:455,70450,0.3,70818,0.4,141004,0.2,188679,1.50 99,1,2024-09-07 08:58:21:730,493898,493898,0,0,229197750133,2387001590,490087,3069,742,381,392069,0 99,2,2024-09-07 08:58:21:427,355534,355534,0,0,14626810,0,3106 99,3,2024-09-07 08:58:20:583,1,280,1,0,187,2291,280,0 100,0,2024-09-07 08:58:21:481,74749,0.8,74706,1.0,149588,1.1,199718,2.50 100,1,2024-09-07 08:58:20:552,490514,490514,0,0,228185442766,2412972882,482991,6046,1477,381,391989,0 100,2,2024-09-07 08:58:21:821,354828,354817,11,0,16374881,0,5417 100,3,2024-09-07 08:58:21:731,1,280,19,0,559,5037,280,0 101,0,2024-09-07 08:58:21:741,70728,1.4,68935,1.1,135238,1.2,185939,2.50 101,1,2024-09-07 08:58:20:555,491005,491005,0,0,228731041470,2411452323,482669,6357,1979,368,391769,0 101,2,2024-09-07 08:58:21:761,354742,354742,0,0,18046424,0,4644 101,3,2024-09-07 08:58:20:943,1,280,1,0,448,3373,280,0 102,0,2024-09-07 08:58:20:982,66462,0.7,68862,0.8,138643,0.6,181794,2.00 102,1,2024-09-07 08:58:21:147,492035,492035,0,0,229063386262,2406831725,485700,5429,906,369,391883,0 102,2,2024-09-07 08:58:21:743,352389,352335,54,0,15729884,0,6768 102,3,2024-09-07 08:58:21:613,1,280,1,0,410,2993,280,0 103,0,2024-09-07 08:58:21:602,71022,0.5,70949,0.7,134048,0.4,185155,2.00 103,1,2024-09-07 08:58:21:625,491474,491474,0,0,229095289518,2416495096,484040,5815,1619,381,391829,0 103,2,2024-09-07 08:58:20:583,351938,351938,0,0,15541874,0,2582 103,3,2024-09-07 08:58:20:757,1,280,15,0,916,3712,280,0 104,0,2024-09-07 08:58:21:013,74206,0.6,74633,0.9,148038,0.6,199458,2.25 104,1,2024-09-07 08:58:21:632,492862,492862,0,0,229086223200,2408727130,485572,6116,1174,365,392168,0 104,2,2024-09-07 08:58:21:728,353969,353969,0,0,17364250,0,3941 104,3,2024-09-07 08:58:21:421,1,280,1,0,1245,6692,280,0 105,0,2024-09-07 08:58:21:080,69583,1.0,67736,1.2,141520,1.3,186734,3.25 105,1,2024-09-07 08:58:20:560,492927,492927,0,0,229200665905,2405570264,486002,5914,1011,366,391797,0 105,2,2024-09-07 08:58:21:441,357089,357089,0,0,16577431,0,3509 105,3,2024-09-07 08:58:21:315,1,280,1,0,399,4553,280,0 106,0,2024-09-07 08:58:20:941,65507,0.9,67116,1.0,137392,1.0,179519,2.75 106,1,2024-09-07 08:58:21:759,492606,492606,0,0,228350345858,2401819962,484484,7124,998,369,391865,0 106,2,2024-09-07 08:58:20:771,350126,350126,0,0,15534834,0,2795 106,3,2024-09-07 08:58:20:679,1,280,1,0,470,3916,280,0 107,0,2024-09-07 08:58:21:099,67118,0.7,67065,0.8,134085,0.7,178904,2.00 107,1,2024-09-07 08:58:20:589,491260,491260,0,0,228510325442,2405278269,485094,5482,684,381,392234,0 107,2,2024-09-07 08:58:21:296,351964,351963,1,0,16787908,0,5024 107,3,2024-09-07 08:58:21:755,1,280,2,0,353,3665,280,0 108,0,2024-09-07 08:58:21:800,72689,0.4,73029,0.5,145129,0.3,194398,1.75 108,1,2024-09-07 08:58:21:298,493495,493495,0,0,229780817109,2391279153,490260,2848,387,368,391857,0 108,2,2024-09-07 08:58:21:784,353244,353244,0,0,14936096,0,2647 108,3,2024-09-07 08:58:21:330,1,280,1,0,749,5670,280,0 109,0,2024-09-07 08:58:21:813,72564,0.4,71753,0.5,143968,0.3,192067,1.75 109,1,2024-09-07 08:58:20:583,491917,491917,0,0,230533062631,2414815468,487973,3426,518,383,392132,0 109,2,2024-09-07 08:58:20:934,356375,356375,0,0,15415189,0,3617 109,3,2024-09-07 08:58:21:146,1,280,11,0,249,3161,280,0 110,0,2024-09-07 08:58:21:756,67731,0.4,65894,0.6,137972,0.3,180447,1.75 110,1,2024-09-07 08:58:21:660,494887,494887,0,0,230275141269,2388086990,491733,2274,880,369,392045,0 110,2,2024-09-07 08:58:21:321,353955,353955,0,0,14448554,0,3264 110,3,2024-09-07 08:58:20:701,1,280,9,0,406,3562,280,0 111,0,2024-09-07 08:58:21:414,67165,0.3,66629,0.5,133358,0.3,178177,1.75 111,1,2024-09-07 08:58:21:006,495070,495070,0,0,230919357023,2391553546,493059,1675,336,382,391690,0 111,2,2024-09-07 08:58:21:126,352094,352094,0,0,13777196,0,2763 111,3,2024-09-07 08:58:20:915,1,280,7,0,379,3640,280,0 112,0,2024-09-07 08:58:20:959,71284,0.3,70983,0.4,142215,0.2,189294,1.50 112,1,2024-09-07 08:58:20:836,494840,494840,0,0,230242981797,2386244919,492235,2170,435,380,391624,0 112,2,2024-09-07 08:58:21:144,353776,353775,1,0,14090940,0,5036 112,3,2024-09-07 08:58:20:593,1,280,1,0,282,2777,280,0 113,0,2024-09-07 08:58:20:877,73666,0.3,73727,0.5,148089,0.2,197052,1.50 113,1,2024-09-07 08:58:21:686,495774,495774,0,0,231120807737,2383046603,493266,2018,490,366,391661,0 113,2,2024-09-07 08:58:21:386,358031,358031,0,0,12686670,0,3813 113,3,2024-09-07 08:58:20:684,1,280,14,0,340,3797,280,0 114,0,2024-09-07 08:58:20:877,69512,0.4,69925,0.5,138911,0.3,185627,1.75 114,1,2024-09-07 08:58:20:722,493925,493925,0,0,230447073603,2397076949,489096,3240,1589,381,391556,0 114,2,2024-09-07 08:58:20:878,356033,356032,1,0,13881772,0,5069 114,3,2024-09-07 08:58:21:282,1,280,36,0,395,2807,280,0 115,0,2024-09-07 08:58:20:555,68127,0.3,68433,0.4,136989,0.2,182482,1.50 115,1,2024-09-07 08:58:20:570,494074,494074,0,0,229601377536,2386792619,489669,3568,837,382,391639,0 115,2,2024-09-07 08:58:21:129,352893,352893,0,0,12018785,0,2346 115,3,2024-09-07 08:58:21:002,1,280,15,0,159,1619,280,0 116,0,2024-09-07 08:58:21:740,69251,0.8,69076,0.9,138239,0.9,185019,2.00 116,1,2024-09-07 08:58:20:805,491189,491189,0,0,228796172843,2416766966,484642,4490,2057,380,391782,0 116,2,2024-09-07 08:58:21:763,351869,351869,0,0,17875050,0,3529 116,3,2024-09-07 08:58:20:922,1,280,26,0,415,3882,280,0 117,0,2024-09-07 08:58:20:953,75671,0.8,75340,0.9,150551,1.0,201964,2.00 117,1,2024-09-07 08:58:21:589,492350,492350,0,0,229054238664,2397386606,487099,4702,549,370,392033,0 117,2,2024-09-07 08:58:21:122,357857,357857,0,0,14508056,0,3700 117,3,2024-09-07 08:58:21:059,1,280,15,0,490,4360,280,0 118,0,2024-09-07 08:58:21:764,67937,0.6,69900,0.7,142197,0.6,185936,2.00 118,1,2024-09-07 08:58:20:591,492494,492494,0,0,228885649307,2406877784,485279,5398,1817,366,391736,0 118,2,2024-09-07 08:58:21:595,356195,356195,0,0,15691600,0,2781 118,3,2024-09-07 08:58:21:764,1,280,1,0,235,3097,280,0 119,0,2024-09-07 08:58:21:339,67690,0.8,68191,0.8,136351,0.9,181313,2.25 119,1,2024-09-07 08:58:20:552,493515,493515,0,0,229799906382,2402674317,488055,4793,667,367,391780,0 119,2,2024-09-07 08:58:21:266,351687,351687,0,0,14720371,0,4174 119,3,2024-09-07 08:58:21:328,1,280,10,0,443,3869,280,0 120,0,2024-09-07 08:58:21:591,67281,0.5,67082,0.7,134355,0.4,179648,2.00 120,1,2024-09-07 08:58:20:859,492927,492927,0,0,229390946065,2405700886,487675,4835,417,368,391961,0 120,2,2024-09-07 08:58:20:773,352525,352524,1,0,17856499,0,5281 120,3,2024-09-07 08:58:21:295,1,280,3,0,241,3420,280,0 121,0,2024-09-07 08:58:21:743,74056,0.8,74077,0.9,148590,1.1,197870,2.00 121,1,2024-09-07 08:58:21:679,492872,492872,0,0,229870122030,2405810192,487675,4776,421,367,391840,0 121,2,2024-09-07 08:58:21:138,353814,353814,0,0,17140015,0,4127 121,3,2024-09-07 08:58:20:751,1,280,1,0,269,3302,280,0 122,0,2024-09-07 08:58:21:785,70937,0.8,69154,0.9,144897,0.9,189944,2.00 122,1,2024-09-07 08:58:20:859,492090,492090,0,0,229659350943,2411391243,484953,6062,1075,366,392130,0 122,2,2024-09-07 08:58:21:325,356814,356741,73,0,19502976,0,5989 122,3,2024-09-07 08:58:20:615,1,280,22,0,411,5669,280,0 123,0,2024-09-07 08:58:20:984,67542,0.9,66040,0.8,137700,1.0,179999,2.25 123,1,2024-09-07 08:58:20:558,492361,492361,0,0,229004112060,2415916936,482845,7612,1904,369,392039,0 123,2,2024-09-07 08:58:21:021,350089,350088,1,0,15892394,0,5215 123,3,2024-09-07 08:58:21:133,1,280,9,0,168,3212,280,0 124,0,2024-09-07 08:58:20:930,68497,0.3,68499,0.5,129193,0.3,177146,1.50 124,1,2024-09-07 08:58:21:025,494748,494748,0,0,229894005327,2386205757,491830,2552,366,367,392178,0 124,2,2024-09-07 08:58:21:012,353192,353192,0,0,13174378,0,3101 124,3,2024-09-07 08:58:20:761,1,280,2,0,490,2957,280,0 125,0,2024-09-07 08:58:21:419,71938,0.3,71620,0.5,144149,0.3,191883,1.50 125,1,2024-09-07 08:58:20:859,492607,492607,0,0,229567257744,2392269203,489457,2751,399,383,391702,0 125,2,2024-09-07 08:58:21:122,354116,354116,0,0,14627494,0,4534 125,3,2024-09-07 08:58:21:126,1,280,62,0,709,3821,280,0 126,0,2024-09-07 08:58:21:430,73444,0.5,75393,0.6,144182,0.4,195377,1.75 126,1,2024-09-07 08:58:20:551,494814,494814,0,0,230363339952,2384776137,492089,2461,264,365,391987,0 126,2,2024-09-07 08:58:20:609,359033,359033,0,0,14576114,0,3186 126,3,2024-09-07 08:58:20:917,1,280,4,0,207,3790,280,0 127,0,2024-09-07 08:58:21:611,67753,0.4,68101,0.5,135788,0.3,180505,1.75 127,1,2024-09-07 08:58:20:573,494496,494496,0,0,229866778177,2379569082,491521,2822,153,365,391816,0 127,2,2024-09-07 08:58:20:641,354942,354942,0,0,13004421,0,2264 127,3,2024-09-07 08:58:21:267,1,280,0,0,968,3138,280,0 128,0,2024-09-07 08:58:21:540,68184,0.3,68156,0.4,136226,0.2,181043,1.50 128,1,2024-09-07 08:58:21:614,493901,493901,0,0,230272425791,2387161356,491496,2247,158,367,391798,0 128,2,2024-09-07 08:58:21:383,353108,353108,0,0,13042255,0,2434 128,3,2024-09-07 08:58:20:774,1,280,7,0,1082,5488,280,0 129,0,2024-09-07 08:58:21:012,70948,0.3,70663,0.4,141402,0.2,188305,1.50 129,1,2024-09-07 08:58:20:567,491345,491345,0,0,228548650509,2393058611,487107,3306,932,379,391835,0 129,2,2024-09-07 08:58:20:686,354146,354146,0,0,13949694,0,4031 129,3,2024-09-07 08:58:20:690,1,280,9,0,469,3812,280,0 130,0,2024-09-07 08:58:21:760,75626,0.6,75263,0.6,151107,0.6,200823,1.75 130,1,2024-09-07 08:58:20:583,493919,493919,0,0,229959951735,2389785815,491469,2371,79,381,391825,0 130,2,2024-09-07 08:58:21:128,357785,357785,0,0,13735450,0,4067 130,3,2024-09-07 08:58:21:293,1,280,1,0,450,3701,280,0 131,0,2024-09-07 08:58:21:938,69250,0.4,69778,0.5,140048,0.3,185735,1.75 131,1,2024-09-07 08:58:21:821,494440,494440,0,0,230180878369,2398412594,491294,2685,461,381,391865,0 131,2,2024-09-07 08:58:20:567,358268,358268,0,0,12628504,0,2415 131,3,2024-09-07 08:58:21:709,1,280,15,0,392,2911,280,0 132,0,2024-09-07 08:58:21:412,67940,0.5,68864,0.6,137158,0.4,182268,2.00 132,1,2024-09-07 08:58:20:576,491131,491131,0,0,228457971226,2410831942,483052,6620,1459,381,392097,0 132,2,2024-09-07 08:58:20:703,351719,351719,0,0,16451580,0,4606 132,3,2024-09-07 08:58:21:719,1,280,1,0,356,3771,280,0 133,0,2024-09-07 08:58:21:520,67338,0.4,68793,0.5,141033,0.3,183774,1.75 133,1,2024-09-07 08:58:20:583,491921,491921,0,0,228895654325,2411850018,485321,5639,961,383,391914,0 133,2,2024-09-07 08:58:21:087,352212,352212,0,0,17837589,0,4315 133,3,2024-09-07 08:58:21:297,1,280,50,0,276,2764,280,0 134,0,2024-09-07 08:58:20:945,74891,0.4,74836,0.6,149751,0.4,199475,2.00 134,1,2024-09-07 08:58:20:584,491784,491784,0,0,228665022127,2401950760,484880,5427,1477,366,391718,0 134,2,2024-09-07 08:58:21:762,354787,354787,0,0,15197693,0,3847 134,3,2024-09-07 08:58:20:752,1,280,1,0,739,3997,280,0 135,0,2024-09-07 08:58:21:099,68001,0.8,67982,0.9,144325,0.9,186540,2.25 135,1,2024-09-07 08:58:21:593,491696,491696,0,0,229418587296,2415754789,484454,6052,1190,380,391805,0 135,2,2024-09-07 08:58:20:694,357693,357693,0,0,16696912,0,3981 135,3,2024-09-07 08:58:21:005,1,280,9,0,299,2105,280,0 136,0,2024-09-07 08:58:21:642,68314,0.6,68297,0.7,136059,0.6,181573,2.25 136,1,2024-09-07 08:58:21:455,491746,491746,0,0,228710937390,2404219883,485625,5478,643,382,391685,0 136,2,2024-09-07 08:58:21:144,351903,351903,0,0,15755834,0,3506 136,3,2024-09-07 08:58:21:121,1,280,11,0,150,2364,280,0 137,0,2024-09-07 08:58:20:926,69195,0.6,67202,0.7,132439,0.5,180055,2.00 137,1,2024-09-07 08:58:20:576,491892,491892,0,0,229220279776,2406128430,483985,6253,1654,366,391708,0 137,2,2024-09-07 08:58:21:718,351776,351776,0,0,17872396,0,3185 137,3,2024-09-07 08:58:20:770,1,280,18,0,382,3397,280,0 138,0,2024-09-07 08:58:21:791,72118,1.1,72277,1.0,145191,1.5,193700,2.25 138,1,2024-09-07 08:58:21:717,491496,491496,0,0,229941239353,2415481513,483727,6251,1518,368,391954,0 138,2,2024-09-07 08:58:20:587,353736,353736,0,0,16446238,0,4988 138,3,2024-09-07 08:58:20:611,1,280,1,0,1160,3997,280,0 139,0,2024-09-07 08:58:21:384,71035,1.6,71381,1.2,142726,2.3,190429,2.50 139,1,2024-09-07 08:58:20:573,490294,490294,0,0,227723040690,2420754289,480806,7063,2425,381,391892,0 139,2,2024-09-07 08:58:20:692,355476,355476,0,0,17290830,0,3097 139,3,2024-09-07 08:58:21:691,1,280,13,0,257,3135,280,0 140,0,2024-09-07 08:58:21:602,68010,0.3,67552,0.5,135835,0.2,180731,1.75 140,1,2024-09-07 08:58:21:536,495582,495582,0,0,230782493968,2376219867,493589,1750,243,365,391606,0 140,2,2024-09-07 08:58:20:695,354090,354090,0,0,13152105,0,3388 140,3,2024-09-07 08:58:20:767,1,280,0,0,247,2279,280,0 141,0,2024-09-07 08:58:21:699,66924,0.3,68783,0.4,131522,0.2,178027,1.50 141,1,2024-09-07 08:58:20:859,495200,495200,0,0,230199625865,2385706467,492601,2191,408,379,391614,0 141,2,2024-09-07 08:58:21:693,352786,352786,0,0,12955711,0,2342 141,3,2024-09-07 08:58:21:043,1,280,1,0,202,2483,280,0 142,0,2024-09-07 08:58:21:309,71687,0.3,71113,0.4,141626,0.2,189654,1.50 142,1,2024-09-07 08:58:20:591,493938,493938,0,0,229087151797,2386091028,491092,2579,267,382,392102,0 142,2,2024-09-07 08:58:21:304,353596,353564,32,0,14817057,0,6028 142,3,2024-09-07 08:58:21:755,1,280,5,0,484,3700,280,0 143,0,2024-09-07 08:58:21:367,74018,0.5,74008,0.6,148410,0.5,196989,1.75 143,1,2024-09-07 08:58:20:558,494637,494637,0,0,230168055710,2384316077,491870,2671,96,367,391651,0 143,2,2024-09-07 08:58:20:773,357246,357246,0,0,13941612,0,2669 143,3,2024-09-07 08:58:21:147,1,280,8,0,303,3521,280,0 144,0,2024-09-07 08:58:21:506,66877,0.6,68740,0.8,140105,0.5,183763,2.00 144,1,2024-09-07 08:58:20:566,491661,491661,0,0,229023835798,2401639358,487497,3236,928,381,391649,0 144,2,2024-09-07 08:58:21:755,356571,356571,0,0,13262335,0,3473 144,3,2024-09-07 08:58:21:743,1,280,1,0,249,3073,280,0 145,0,2024-09-07 08:58:21:366,65790,0.5,65766,0.8,139671,0.5,180333,2.25 145,1,2024-09-07 08:58:20:560,491315,491315,0,0,228704108839,2403743439,485457,5033,825,382,391698,0 145,2,2024-09-07 08:58:21:435,350886,350886,0,0,15831612,0,3903 145,3,2024-09-07 08:58:20:895,1,280,4,0,622,4221,280,0 146,0,2024-09-07 08:58:21:624,69451,0.5,68846,0.7,138691,0.5,184222,2.00 146,1,2024-09-07 08:58:21:598,492390,492390,0,0,229382788735,2415261269,483724,6772,1894,368,391770,0 146,2,2024-09-07 08:58:21:705,352387,352387,0,0,16107353,0,2730 146,3,2024-09-07 08:58:21:278,1,280,4,0,1520,6009,280,0 147,0,2024-09-07 08:58:21:724,75484,0.6,75291,0.8,149843,0.6,201695,2.00 147,1,2024-09-07 08:58:21:373,494451,494451,0,0,230375409483,2393694255,490335,3529,587,368,391791,0 147,2,2024-09-07 08:58:21:023,357231,357231,0,0,13523709,0,2789 147,3,2024-09-07 08:58:20:914,1,280,29,0,730,4134,280,0 0,0,2024-09-07 08:58:31:715,65810,0.4,65870,0.7,139630,0.4,181226,1.75 0,1,2024-09-07 08:58:30:801,494844,494844,0,0,230372863410,2407483006,491350,3285,209,370,391896,0 0,2,2024-09-07 08:58:31:080,354327,354327,0,0,13989778,0,4480 0,3,2024-09-07 08:58:30:974,1,281,2,0,319,3577,281,0 1,0,2024-09-07 08:58:31:773,74580,0.7,74055,0.8,148994,0.8,198568,2.00 1,1,2024-09-07 08:58:30:587,494557,494557,0,0,229409373026,2401312207,490018,3485,1054,370,391857,0 1,2,2024-09-07 08:58:30:640,355624,355624,0,0,13283630,0,3267 1,3,2024-09-07 08:58:31:304,1,281,4,0,262,3299,281,0 2,0,2024-09-07 08:58:31:570,71452,0.7,71770,0.8,142047,0.9,190556,2.00 2,1,2024-09-07 08:58:31:101,495827,495827,0,0,231212822958,2400092117,493630,1977,220,380,391745,0 2,2,2024-09-07 08:58:31:267,359375,359375,0,0,13575498,0,3594 2,3,2024-09-07 08:58:30:694,1,281,2,0,357,2573,281,0 3,0,2024-09-07 08:58:31:746,67886,0.4,67848,0.6,135508,0.4,180203,2.00 3,1,2024-09-07 08:58:31:626,494585,494585,0,0,229726865656,2390479225,490739,3384,462,380,391556,0 3,2,2024-09-07 08:58:31:147,353137,353114,23,0,13741174,0,5851 3,3,2024-09-07 08:58:31:760,1,281,11,0,103,1681,281,0 4,0,2024-09-07 08:58:31:970,64573,0.3,66480,0.5,135179,0.3,177281,1.75 4,1,2024-09-07 08:58:30:593,494072,494072,0,0,229454290258,2410800036,488858,4291,923,371,391846,0 4,2,2024-09-07 08:58:31:017,352463,352463,0,0,16737976,0,4528 4,3,2024-09-07 08:58:31:027,1,281,1,0,448,4211,281,0 5,0,2024-09-07 08:58:31:375,72214,0.4,72516,0.5,144185,0.4,192597,1.75 5,1,2024-09-07 08:58:30:765,494074,494074,0,0,230244466367,2421348165,487854,4913,1307,368,392005,0 5,2,2024-09-07 08:58:31:830,354000,354000,0,0,15512774,0,2432 5,3,2024-09-07 08:58:31:738,1,281,2,0,457,4247,281,0 6,0,2024-09-07 08:58:30:929,73792,0.5,73293,0.7,146381,0.5,195442,2.00 6,1,2024-09-07 08:58:30:746,494573,494573,0,0,229929829759,2402234291,489378,4281,914,379,391694,0 6,2,2024-09-07 08:58:31:141,358686,358686,0,0,15135096,0,4816 6,3,2024-09-07 08:58:31:282,1,281,9,0,340,3246,281,0 7,0,2024-09-07 08:58:31:532,67626,0.5,67898,0.7,135294,0.5,179852,2.00 7,1,2024-09-07 08:58:30:874,493760,493760,0,0,229746681871,2411899276,487106,5544,1110,382,391747,0 7,2,2024-09-07 08:58:30:774,354619,354619,0,0,14804585,0,4791 7,3,2024-09-07 08:58:30:873,1,281,8,0,398,3312,281,0 8,0,2024-09-07 08:58:31:374,68078,0.4,67838,0.5,135646,0.3,180724,1.75 8,1,2024-09-07 08:58:31:016,493034,493034,0,0,229812737589,2424539443,483801,7029,2204,366,392144,0 8,2,2024-09-07 08:58:30:801,349584,349584,0,0,18038319,0,3220 8,3,2024-09-07 08:58:30:598,1,281,320,0,538,5040,281,0 9,0,2024-09-07 08:58:31:170,71021,0.3,68924,0.5,143927,0.3,189065,1.75 9,1,2024-09-07 08:58:30:594,493106,493106,0,0,229859298195,2421367427,486215,5342,1549,370,392001,0 9,2,2024-09-07 08:58:31:090,354159,354159,0,0,16071284,0,3360 9,3,2024-09-07 08:58:31:753,1,281,1,0,496,4403,281,0 10,0,2024-09-07 08:58:31:624,75237,0.3,74672,0.5,150148,0.3,199767,1.75 10,1,2024-09-07 08:58:30:588,493535,493535,0,0,230357457455,2415015379,486453,6096,986,381,391741,0 10,2,2024-09-07 08:58:30:764,357424,357424,0,0,17601085,0,4264 10,3,2024-09-07 08:58:30:873,1,281,1,0,177,2291,281,0 11,0,2024-09-07 08:58:31:007,69200,0.5,67184,0.7,140475,0.4,186144,1.75 11,1,2024-09-07 08:58:30:574,494439,494439,0,0,229794793379,2417269972,485442,6770,2227,383,391573,0 11,2,2024-09-07 08:58:31:130,356885,356885,0,0,16056574,0,4130 11,3,2024-09-07 08:58:31:298,1,281,8,0,843,4469,281,0 12,0,2024-09-07 08:58:30:973,68908,0.3,68820,0.5,137652,0.3,183001,1.75 12,1,2024-09-07 08:58:30:956,494736,494736,0,0,230186161398,2397602078,491120,3194,422,370,391870,0 12,2,2024-09-07 08:58:31:542,353578,353578,0,0,14983290,0,3469 12,3,2024-09-07 08:58:31:063,1,281,100,0,386,4635,281,0 13,0,2024-09-07 08:58:31:349,69630,0.3,69566,0.5,139024,0.3,185036,1.50 13,1,2024-09-07 08:58:31:524,494743,494743,0,0,229560435771,2405863799,491158,3043,542,382,391740,0 13,2,2024-09-07 08:58:30:604,355320,355320,0,0,14067228,0,3287 13,3,2024-09-07 08:58:31:773,1,281,0,0,467,4134,281,0 14,0,2024-09-07 08:58:30:567,75386,0.3,75932,0.5,150223,0.3,200502,1.75 14,1,2024-09-07 08:58:31:561,497654,497654,0,0,231436442172,2392305910,494814,2650,190,364,391571,0 14,2,2024-09-07 08:58:30:763,356345,356345,0,0,14114760,0,2896 14,3,2024-09-07 08:58:31:120,1,281,1,0,1168,3788,281,0 15,0,2024-09-07 08:58:31:561,70656,0.5,70760,0.7,141271,0.5,188252,2.00 15,1,2024-09-07 08:58:31:609,495788,495788,0,0,230754912080,2397576943,493092,2518,178,381,391619,0 15,2,2024-09-07 08:58:31:009,360091,360091,0,0,12166257,0,3043 15,3,2024-09-07 08:58:31:416,1,281,4,0,1126,5516,281,0 16,0,2024-09-07 08:58:30:984,68240,0.6,68423,0.8,136353,0.7,181537,2.25 16,1,2024-09-07 08:58:30:592,495678,495678,0,0,230385796598,2405229137,492019,3357,302,370,391756,0 16,2,2024-09-07 08:58:31:440,352562,352562,0,0,14602514,0,4719 16,3,2024-09-07 08:58:31:147,1,281,159,0,317,3972,281,0 17,0,2024-09-07 08:58:31:855,69527,0.4,67920,0.7,132684,0.4,180510,1.75 17,1,2024-09-07 08:58:30:570,494340,494340,0,0,229428523388,2407017654,489288,3946,1106,368,391899,0 17,2,2024-09-07 08:58:31:668,356405,356405,0,0,14319473,0,2857 17,3,2024-09-07 08:58:30:573,1,281,8,0,268,4463,281,0 18,0,2024-09-07 08:58:30:949,72454,0.6,72828,0.7,145272,0.5,194306,2.25 18,1,2024-09-07 08:58:31:638,495583,495583,0,0,231029353576,2393014685,492846,2495,242,367,391649,0 18,2,2024-09-07 08:58:31:759,357286,357286,0,0,13785935,0,3541 18,3,2024-09-07 08:58:30:896,1,281,5,0,163,2635,281,0 19,0,2024-09-07 08:58:31:544,71653,0.6,72201,0.8,143309,0.6,190517,2.00 19,1,2024-09-07 08:58:30:570,495875,495875,0,0,231329567476,2400825379,491202,3886,787,367,391777,0 19,2,2024-09-07 08:58:31:751,360867,360867,0,0,12625698,0,3988 19,3,2024-09-07 08:58:31:133,1,281,7,0,524,2123,281,0 20,0,2024-09-07 08:58:31:440,67594,0.6,67608,0.7,135513,0.6,180475,2.25 20,1,2024-09-07 08:58:30:577,494187,494187,0,0,230379081971,2409091840,490202,3585,400,369,391886,0 20,2,2024-09-07 08:58:30:972,354406,354406,0,0,14440107,0,3721 20,3,2024-09-07 08:58:30:592,1,281,2,0,414,4871,281,0 21,0,2024-09-07 08:58:31:168,67114,0.5,67198,0.6,134208,0.4,177664,2.00 21,1,2024-09-07 08:58:31:542,492735,492735,0,0,229137599510,2414083614,486013,5097,1625,368,392016,0 21,2,2024-09-07 08:58:31:070,352405,352405,0,0,17060854,0,3747 21,3,2024-09-07 08:58:31:404,1,281,3,0,103,2796,281,0 22,0,2024-09-07 08:58:31:717,71253,0.5,71403,0.7,142643,0.4,189928,2.00 22,1,2024-09-07 08:58:31:029,493974,493974,0,0,229646423680,2416330688,486359,5948,1667,382,391667,0 22,2,2024-09-07 08:58:30:770,354413,354413,0,0,14653592,0,3134 22,3,2024-09-07 08:58:31:069,1,281,1,0,228,2534,281,0 23,0,2024-09-07 08:58:31:369,73659,0.6,73562,0.7,147420,0.6,196105,2.25 23,1,2024-09-07 08:58:31:009,494939,494939,0,0,230353720134,2418017716,486973,5299,2667,365,391690,0 23,2,2024-09-07 08:58:31:092,358897,358897,0,0,13957682,0,3010 23,3,2024-09-07 08:58:31:764,1,281,8,0,645,3488,281,0 24,0,2024-09-07 08:58:30:981,69756,0.4,69615,0.6,139709,0.4,185267,1.75 24,1,2024-09-07 08:58:30:612,494055,494055,0,0,229355705568,2407312870,487872,4661,1522,368,391987,0 24,2,2024-09-07 08:58:31:081,355177,355177,0,0,17177518,0,3607 24,3,2024-09-07 08:58:31:688,1,281,1,0,468,4090,281,0 25,0,2024-09-07 08:58:31:356,70067,0.4,68302,0.6,134146,0.4,183057,2.00 25,1,2024-09-07 08:58:30:571,494796,494796,0,0,230094837232,2410755502,489257,4755,784,371,391928,0 25,2,2024-09-07 08:58:31:608,352350,352350,0,0,17535842,0,3978 25,3,2024-09-07 08:58:31:008,1,281,14,0,255,2964,281,0 26,0,2024-09-07 08:58:31:721,69488,0.4,67858,0.6,142320,0.3,185778,1.75 26,1,2024-09-07 08:58:31:543,494684,494684,0,0,230015562900,2413128631,486955,6481,1248,381,391748,0 26,2,2024-09-07 08:58:31:107,354239,354239,0,0,17235437,0,2809 26,3,2024-09-07 08:58:31:712,1,281,1,0,796,3519,281,0 27,0,2024-09-07 08:58:31:728,76039,0.5,76204,0.6,150847,0.5,201767,2.00 27,1,2024-09-07 08:58:31:678,496307,496307,0,0,231225309580,2405965679,492394,3320,593,381,391626,0 27,2,2024-09-07 08:58:30:874,354987,354922,65,0,17360006,0,5699 27,3,2024-09-07 08:58:31:015,1,281,0,0,564,2959,281,0 28,0,2024-09-07 08:58:31:417,70285,0.4,70065,0.6,140726,0.4,187647,2.00 28,1,2024-09-07 08:58:30:799,496093,496093,0,0,231258008417,2405449004,493118,2503,472,383,391646,0 28,2,2024-09-07 08:58:31:772,358184,358184,0,0,13826369,0,2915 28,3,2024-09-07 08:58:31:781,1,281,3,0,502,2858,281,0 29,0,2024-09-07 08:58:31:367,70221,0.4,68567,0.6,134097,0.3,183105,1.75 29,1,2024-09-07 08:58:31:562,496863,496863,0,0,231149614108,2391622276,493518,2778,567,369,391753,0 29,2,2024-09-07 08:58:31:261,352526,352526,0,0,12703370,0,4018 29,3,2024-09-07 08:58:30:965,1,281,3,0,284,2284,281,0 30,0,2024-09-07 08:58:31:456,67736,0.4,65793,0.6,137655,0.4,180642,2.00 30,1,2024-09-07 08:58:30:571,496986,496986,0,0,231344402662,2394957640,494650,2042,294,382,391672,0 30,2,2024-09-07 08:58:31:274,354702,354702,0,0,12804033,0,3161 30,3,2024-09-07 08:58:30:581,1,281,0,0,519,2617,281,0 31,0,2024-09-07 08:58:31:757,74119,0.4,74437,0.5,148973,0.3,198505,1.75 31,1,2024-09-07 08:58:30:566,498512,498512,0,0,232116485433,2376815040,497086,1206,220,356,391712,0 31,2,2024-09-07 08:58:31:275,356059,356059,0,0,14678046,0,3525 31,3,2024-09-07 08:58:31:709,1,281,9,0,220,2152,281,0 32,0,2024-09-07 08:58:31:420,71288,0.3,71648,0.5,143478,0.3,190865,1.75 32,1,2024-09-07 08:58:30:808,496328,496328,0,0,230852452207,2393783791,494070,1979,279,381,391622,0 32,2,2024-09-07 08:58:30:937,359341,359341,0,0,12591944,0,3155 32,3,2024-09-07 08:58:31:016,1,281,0,0,227,2177,281,0 33,0,2024-09-07 08:58:31:489,68203,0.3,67689,0.5,135986,0.2,181160,1.75 33,1,2024-09-07 08:58:30:581,497052,497052,0,0,231833792460,2393573565,494410,2468,174,369,391730,0 33,2,2024-09-07 08:58:30:760,354320,354287,33,0,13970583,0,7012 33,3,2024-09-07 08:58:30:896,1,281,1,0,329,3198,281,0 34,0,2024-09-07 08:58:30:933,66618,0.3,68677,0.4,131501,0.2,176622,1.50 34,1,2024-09-07 08:58:31:050,497730,497730,0,0,231728572121,2381730404,496598,1125,7,367,391562,0 34,2,2024-09-07 08:58:30:773,354358,354358,0,0,13442586,0,3577 34,3,2024-09-07 08:58:31:688,1,281,1,0,299,2185,281,0 35,0,2024-09-07 08:58:30:870,71609,0.3,72004,0.5,144807,0.2,193106,1.50 35,1,2024-09-07 08:58:31:071,496225,496225,0,0,230262574336,2387375053,492796,2649,780,384,391589,0 35,2,2024-09-07 08:58:31:583,355800,355800,0,0,13668325,0,2653 35,3,2024-09-07 08:58:30:919,1,281,11,0,418,3461,281,0 36,0,2024-09-07 08:58:31:517,73548,0.5,73522,0.7,147065,0.5,195045,2.25 36,1,2024-09-07 08:58:30:593,495541,495541,0,0,229961260180,2403618849,489276,4682,1583,366,391759,0 36,2,2024-09-07 08:58:31:751,358618,358618,0,0,15387166,0,3875 36,3,2024-09-07 08:58:31:099,1,281,1,0,416,4308,281,0 37,0,2024-09-07 08:58:31:375,67502,0.5,67509,0.7,135225,0.5,180799,2.00 37,1,2024-09-07 08:58:30:572,494571,494564,0,7,229473477468,2401029124,488512,3982,2070,365,391560,0 37,2,2024-09-07 08:58:31:155,353922,353907,15,0,15388549,0,5815 37,3,2024-09-07 08:58:31:769,1,281,1,0,888,4661,281,0 38,0,2024-09-07 08:58:31:437,67324,0.4,65445,0.6,136874,0.4,178641,2.00 38,1,2024-09-07 08:58:31:610,496067,496067,0,0,231457253336,2412133823,490711,4680,676,368,391821,0 38,2,2024-09-07 08:58:30:761,352770,352723,47,0,16296997,0,6710 38,3,2024-09-07 08:58:30:999,1,281,2,0,689,3635,281,0 39,0,2024-09-07 08:58:31:764,72457,0.6,71031,0.7,138078,0.5,189219,2.00 39,1,2024-09-07 08:58:30:716,494930,494930,0,0,230407990867,2408961094,488135,5584,1211,365,391594,0 39,2,2024-09-07 08:58:31:424,354662,354662,0,0,14183262,0,2689 39,3,2024-09-07 08:58:30:713,1,281,8,0,324,3670,281,0 40,0,2024-09-07 08:58:31:490,74338,0.8,75057,1.0,149904,0.9,199522,3.00 40,1,2024-09-07 08:58:30:576,495243,495243,0,0,230223503808,2410594280,487960,5837,1446,368,391668,0 40,2,2024-09-07 08:58:31:305,357644,357643,1,0,17367606,0,5137 40,3,2024-09-07 08:58:31:151,1,281,1,0,398,3301,281,0 41,0,2024-09-07 08:58:31:025,69041,1.7,70677,1.4,134856,2.8,184167,3.50 41,1,2024-09-07 08:58:30:773,494720,494720,0,0,230478987903,2408564425,488961,5124,635,370,391742,0 41,2,2024-09-07 08:58:30:762,355758,355758,0,0,16726585,0,3356 41,3,2024-09-07 08:58:31:692,1,281,18,0,366,3116,281,0 42,0,2024-09-07 08:58:31:483,67775,0.7,67852,1.0,135970,0.8,179808,2.50 42,1,2024-09-07 08:58:31:439,493969,493969,0,0,229997297089,2416668441,486224,6232,1513,380,391675,0 42,2,2024-09-07 08:58:31:133,353345,353345,0,0,15891082,0,3568 42,3,2024-09-07 08:58:31:013,1,281,6,0,446,2451,281,0 43,0,2024-09-07 08:58:30:917,68860,0.8,67065,1.0,140424,0.8,184670,2.25 43,1,2024-09-07 08:58:30:576,494923,494923,0,0,230638062409,2410314793,487935,5646,1342,366,391696,0 43,2,2024-09-07 08:58:31:744,354162,354162,0,0,15956989,0,3812 43,3,2024-09-07 08:58:31:754,1,281,8,0,467,3557,281,0 44,0,2024-09-07 08:58:30:896,75093,0.4,75581,0.6,150584,0.3,200896,1.75 44,1,2024-09-07 08:58:30:569,497020,497020,0,0,230236934008,2373753599,494455,2133,432,356,391809,0 44,2,2024-09-07 08:58:31:267,355951,355951,0,0,12882881,0,2231 44,3,2024-09-07 08:58:31:094,1,281,0,0,817,3186,281,0 45,0,2024-09-07 08:58:31:764,69639,0.6,68025,0.8,142859,0.6,188120,2.00 45,1,2024-09-07 08:58:31:012,496415,496415,0,0,231106365044,2392628351,494751,1652,12,382,391917,0 45,2,2024-09-07 08:58:31:268,359328,359328,0,0,13549156,0,3596 45,3,2024-09-07 08:58:30:941,1,281,1,0,271,2916,281,0 46,0,2024-09-07 08:58:30:956,67735,0.5,67633,0.7,135451,0.5,179512,2.00 46,1,2024-09-07 08:58:30:575,497765,497765,0,0,231395474258,2386054110,495407,2084,274,366,391539,0 46,2,2024-09-07 08:58:30:593,353695,353695,0,0,12804152,0,2920 46,3,2024-09-07 08:58:31:135,1,281,101,0,908,4280,281,0 47,0,2024-09-07 08:58:31:107,67747,0.4,67724,0.6,136054,0.3,180009,1.75 47,1,2024-09-07 08:58:30:569,497146,497146,0,0,231683301560,2389323887,494765,2247,134,366,391641,0 47,2,2024-09-07 08:58:30:908,356138,356138,0,0,13040224,0,2558 47,3,2024-09-07 08:58:31:120,1,281,10,0,529,2526,281,0 48,0,2024-09-07 08:58:31:497,73728,0.3,73239,0.4,146189,0.2,195449,1.50 48,1,2024-09-07 08:58:31:026,496211,496211,0,0,231538990840,2403357273,493038,2872,301,384,391710,0 48,2,2024-09-07 08:58:30:698,355931,355931,0,0,12673729,0,3031 48,3,2024-09-07 08:58:30:754,1,281,0,0,339,2346,281,0 49,0,2024-09-07 08:58:31:708,74115,0.3,72694,0.5,141089,0.3,192879,1.75 49,1,2024-09-07 08:58:31:026,495134,495134,0,0,230248938571,2398080410,491244,2709,1181,382,391809,0 49,2,2024-09-07 08:58:31:798,360355,360355,0,0,13480341,0,3900 49,3,2024-09-07 08:58:31:427,1,281,4,0,408,2929,281,0 50,0,2024-09-07 08:58:31:511,67790,0.3,67222,0.5,135196,0.2,180163,1.75 50,1,2024-09-07 08:58:31:012,496694,496694,0,0,232188180597,2400347994,493555,2829,310,368,391540,0 50,2,2024-09-07 08:58:31:067,354498,354498,0,0,12373892,0,2263 50,3,2024-09-07 08:58:31:294,1,281,1,0,335,2372,281,0 51,0,2024-09-07 08:58:31:701,68637,0.3,67121,0.4,130945,0.2,178049,1.50 51,1,2024-09-07 08:58:31:680,497562,497562,0,0,232086821522,2394122764,494743,1879,940,365,391706,0 51,2,2024-09-07 08:58:31:325,353903,353903,0,0,11723234,0,3337 51,3,2024-09-07 08:58:31:027,1,281,9,0,678,2199,281,0 52,0,2024-09-07 08:58:31:428,71861,0.4,71547,0.6,143265,0.4,190562,2.00 52,1,2024-09-07 08:58:30:575,494969,494969,0,0,229950621805,2409392708,487640,6075,1254,368,391722,0 52,2,2024-09-07 08:58:31:757,352662,352624,38,0,16413935,0,6742 52,3,2024-09-07 08:58:30:674,1,281,0,0,1782,4635,281,0 53,0,2024-09-07 08:58:31:733,73477,0.7,71436,0.8,149335,0.8,195585,2.50 53,1,2024-09-07 08:58:30:772,493856,493856,0,0,230046520903,2416039014,485787,5620,2449,367,391702,0 53,2,2024-09-07 08:58:31:306,358678,358678,0,0,13784121,0,2727 53,3,2024-09-07 08:58:30:700,1,281,1,0,308,2668,281,0 54,0,2024-09-07 08:58:31:620,68189,0.7,68752,0.8,136295,0.5,182421,2.50 54,1,2024-09-07 08:58:30:593,495406,495406,0,0,230915456770,2401471582,490304,4503,599,367,391659,0 54,2,2024-09-07 08:58:31:122,356522,356516,6,0,15768499,0,5382 54,3,2024-09-07 08:58:30:768,1,281,1,0,676,4545,281,0 55,0,2024-09-07 08:58:31:778,65947,0.6,68278,0.8,137438,0.5,179402,2.25 55,1,2024-09-07 08:58:30:770,494876,494876,0,0,230273066178,2398466767,488696,5272,908,365,391731,0 55,2,2024-09-07 08:58:30:734,352410,352410,0,0,15317345,0,3563 55,3,2024-09-07 08:58:30:677,1,281,7,0,304,3028,281,0 56,0,2024-09-07 08:58:31:558,71265,1.1,67231,1.1,138407,1.6,185550,2.50 56,1,2024-09-07 08:58:30:574,493113,493113,0,0,229579373629,2426369869,485287,6292,1534,381,391729,0 56,2,2024-09-07 08:58:31:309,354274,354274,0,0,16357470,0,3567 56,3,2024-09-07 08:58:31:064,1,281,1,0,705,3933,281,0 57,0,2024-09-07 08:58:30:953,74710,1.7,74594,1.3,149436,2.4,200450,3.25 57,1,2024-09-07 08:58:30:990,494687,494687,0,0,230579957140,2415668880,488826,5175,686,367,392032,0 57,2,2024-09-07 08:58:31:318,357041,357041,0,0,17800941,0,3317 57,3,2024-09-07 08:58:31:741,1,281,2,0,359,3590,281,0 58,0,2024-09-07 08:58:30:570,68965,1.0,67093,1.0,140395,1.3,184271,2.50 58,1,2024-09-07 08:58:30:603,495686,495683,0,3,230847147876,2413021874,488892,5902,889,367,391603,3 58,2,2024-09-07 08:58:31:092,358096,358096,0,0,16103592,0,2549 58,3,2024-09-07 08:58:31:073,1,281,10,0,1043,3111,281,0 59,0,2024-09-07 08:58:31:752,67958,0.8,67749,1.0,135158,0.8,179653,2.75 59,1,2024-09-07 08:58:30:807,494579,494579,0,0,230279558476,2409932755,488134,5162,1283,369,391525,0 59,2,2024-09-07 08:58:30:589,353897,353897,0,0,14474039,0,2604 59,3,2024-09-07 08:58:31:738,1,281,8,0,1015,3907,281,0 60,0,2024-09-07 08:58:31:706,67907,0.4,67755,0.6,136725,0.3,181205,1.75 60,1,2024-09-07 08:58:30:776,496758,496758,0,0,231297207150,2395541778,494297,1942,519,370,392031,0 60,2,2024-09-07 08:58:31:154,354612,354612,0,0,15295199,0,3811 60,3,2024-09-07 08:58:31:266,1,281,49,0,175,2813,281,0 61,0,2024-09-07 08:58:31:602,74290,0.5,74769,0.7,148770,0.5,198572,1.75 61,1,2024-09-07 08:58:30:781,495067,495067,0,0,230280785024,2407056731,490410,3950,707,382,391880,0 61,2,2024-09-07 08:58:31:120,356873,356873,0,0,13995085,0,2780 61,3,2024-09-07 08:58:31:692,1,281,41,0,397,3668,281,0 62,0,2024-09-07 08:58:31:708,71941,0.6,73376,0.7,139796,0.6,190646,2.00 62,1,2024-09-07 08:58:31:127,498048,498042,0,6,232477064196,2396226100,495458,2465,119,365,391715,6 62,2,2024-09-07 08:58:31:646,358032,358031,1,0,15322579,0,5555 62,3,2024-09-07 08:58:31:147,1,281,1,0,287,1888,281,0 63,0,2024-09-07 08:58:31:493,67896,0.5,68035,0.6,136026,0.4,180762,1.75 63,1,2024-09-07 08:58:30:809,496905,496899,0,6,230827586496,2388769375,495161,1682,56,381,391677,6 63,2,2024-09-07 08:58:30:762,353733,353733,0,0,12550555,0,2674 63,3,2024-09-07 08:58:31:739,1,281,8,0,667,3119,281,0 64,0,2024-09-07 08:58:31:538,66299,0.4,66200,0.6,132457,0.4,176546,1.75 64,1,2024-09-07 08:58:30:755,495645,495645,0,0,231176525874,2408378536,491104,3125,1416,370,391783,0 64,2,2024-09-07 08:58:31:159,357208,357189,19,0,13589749,0,6121 64,3,2024-09-07 08:58:31:167,1,281,1,0,265,2684,281,0 65,0,2024-09-07 08:58:31:696,71624,0.6,72069,0.7,143655,0.6,191989,2.00 65,1,2024-09-07 08:58:31:100,494570,494570,0,0,230177037966,2406041772,490888,3235,447,382,391901,0 65,2,2024-09-07 08:58:31:696,356003,356003,0,0,14969872,0,3367 65,3,2024-09-07 08:58:31:687,1,281,0,0,163,2714,281,0 66,0,2024-09-07 08:58:31:770,73093,0.5,72928,0.7,146404,0.5,194227,2.00 66,1,2024-09-07 08:58:31:295,496211,496211,0,0,231141802262,2401310298,493355,2611,245,380,391653,0 66,2,2024-09-07 08:58:31:139,360779,360779,0,0,14110230,0,4956 66,3,2024-09-07 08:58:31:089,1,281,1,0,291,2803,281,0 67,0,2024-09-07 08:58:31:448,68373,0.6,67613,0.7,136247,0.6,181002,2.00 67,1,2024-09-07 08:58:30:778,495994,495993,0,1,231594067562,2412214958,492140,3104,749,380,391787,1 67,2,2024-09-07 08:58:30:582,356115,356115,0,0,12979179,0,2889 67,3,2024-09-07 08:58:31:754,1,281,8,0,338,2505,281,0 68,0,2024-09-07 08:58:30:563,68033,0.6,67807,0.7,135403,0.5,180448,2.00 68,1,2024-09-07 08:58:30:570,494592,494592,0,0,229906931561,2408711190,490270,3151,1171,381,391953,0 68,2,2024-09-07 08:58:31:044,352038,351973,65,0,17260057,0,6698 68,3,2024-09-07 08:58:30:735,1,281,15,0,417,3201,281,0 69,0,2024-09-07 08:58:31:750,70406,0.8,70786,0.8,141219,0.9,187879,2.25 69,1,2024-09-07 08:58:31:027,493516,493516,0,0,229386984478,2420148918,487170,4761,1585,384,391994,0 69,2,2024-09-07 08:58:31:744,354511,354511,0,0,17467067,0,3722 69,3,2024-09-07 08:58:30:780,1,281,0,0,698,4120,281,0 70,0,2024-09-07 08:58:31:544,74443,1.0,74559,1.1,149664,0.8,198113,2.50 70,1,2024-09-07 08:58:30:803,496448,496448,0,0,231464635246,2403811820,492198,3714,536,366,391725,0 70,2,2024-09-07 08:58:31:326,356999,356999,0,0,15839619,0,4044 70,3,2024-09-07 08:58:30:749,1,281,6,0,854,3262,281,0 71,0,2024-09-07 08:58:31:358,68998,1.1,68904,1.1,138198,1.3,184900,2.75 71,1,2024-09-07 08:58:31:623,494457,494457,0,0,229997123876,2399508841,488946,4868,643,368,391738,0 71,2,2024-09-07 08:58:31:068,357491,357491,0,0,16121569,0,4042 71,3,2024-09-07 08:58:31:750,1,281,7,0,644,3862,281,0 72,0,2024-09-07 08:58:31:045,70680,0.7,69202,0.8,134738,0.7,183529,2.00 72,1,2024-09-07 08:58:31:031,494835,494835,0,0,230040615526,2405573715,488433,4952,1450,369,391819,0 72,2,2024-09-07 08:58:31:757,352081,352081,0,0,17737436,0,3983 72,3,2024-09-07 08:58:31:760,1,281,23,0,564,4709,281,0 73,0,2024-09-07 08:58:31:108,67728,0.4,69226,0.6,141895,0.3,184420,2.00 73,1,2024-09-07 08:58:30:778,495743,495743,0,0,230564517132,2395563343,492342,3116,285,367,391750,0 73,2,2024-09-07 08:58:31:741,354574,354574,0,0,17248321,0,3701 73,3,2024-09-07 08:58:30:969,1,281,0,0,274,3971,281,0 74,0,2024-09-07 08:58:31:356,75583,0.4,77396,0.6,147755,0.4,200497,2.00 74,1,2024-09-07 08:58:30:638,495151,495151,0,0,230513922799,2405917668,490245,3940,966,381,391681,0 74,2,2024-09-07 08:58:31:012,355944,355944,0,0,16778942,0,4253 74,3,2024-09-07 08:58:31:447,1,281,23,0,522,3647,281,0 75,0,2024-09-07 08:58:31:797,70872,0.5,70509,0.7,141282,0.5,189197,2.25 75,1,2024-09-07 08:58:31:593,494433,494433,0,0,230818318793,2411825236,489223,4546,664,380,391660,0 75,2,2024-09-07 08:58:31:351,358029,358029,0,0,16659272,0,4766 75,3,2024-09-07 08:58:31:069,1,281,24,0,702,4063,281,0 76,0,2024-09-07 08:58:30:578,67750,0.7,67074,0.8,134872,0.7,180517,2.50 76,1,2024-09-07 08:58:30:813,495522,495522,0,0,230982522066,2406146193,492406,2564,552,382,391692,0 76,2,2024-09-07 08:58:31:083,354410,354410,0,0,13423894,0,3784 76,3,2024-09-07 08:58:31:149,1,281,5,0,175,2738,281,0 77,0,2024-09-07 08:58:31:700,67461,0.4,67733,0.7,135347,0.4,179747,1.75 77,1,2024-09-07 08:58:30:836,495764,495764,0,0,230802640084,2406307201,492291,3216,257,381,391869,0 77,2,2024-09-07 08:58:31:313,354147,354147,0,0,14444190,0,3890 77,3,2024-09-07 08:58:31:110,1,281,1,0,382,3005,281,0 78,0,2024-09-07 08:58:31:768,73456,0.4,72921,0.6,146559,0.3,194699,2.00 78,1,2024-09-07 08:58:30:631,495736,495736,0,0,230351578298,2389245690,492604,2813,319,367,391670,0 78,2,2024-09-07 08:58:31:620,356330,356330,0,0,12933804,0,3855 78,3,2024-09-07 08:58:31:135,1,281,12,0,181,2620,281,0 79,0,2024-09-07 08:58:31:366,69960,0.4,71747,0.6,146587,0.4,190460,2.25 79,1,2024-09-07 08:58:30:573,497421,497421,0,0,231015283548,2387125757,494566,2518,337,369,391682,0 79,2,2024-09-07 08:58:31:073,360374,360374,0,0,13024236,0,3212 79,3,2024-09-07 08:58:30:748,1,281,12,0,418,3899,281,0 80,0,2024-09-07 08:58:31:090,67728,0.6,69638,0.7,133356,0.6,179939,2.00 80,1,2024-09-07 08:58:31:623,495290,495290,0,0,230125591168,2393356848,492297,2821,172,368,391791,0 80,2,2024-09-07 08:58:31:091,355230,355230,0,0,13266228,0,4433 80,3,2024-09-07 08:58:30:578,1,281,8,0,190,3685,281,0 81,0,2024-09-07 08:58:31:539,66784,0.5,68617,0.6,131189,0.4,177104,1.75 81,1,2024-09-07 08:58:31:651,494405,494405,0,0,230264807287,2405598007,490236,3694,475,382,391879,0 81,2,2024-09-07 08:58:31:124,353828,353828,0,0,13859035,0,3993 81,3,2024-09-07 08:58:31:119,1,281,1,0,374,3341,281,0 82,0,2024-09-07 08:58:31:541,71144,0.4,71521,0.6,143167,0.3,190694,1.75 82,1,2024-09-07 08:58:30:593,496140,496136,0,4,231208369282,2405698597,492831,2694,611,381,391768,4 82,2,2024-09-07 08:58:31:693,356339,356339,0,0,13124276,0,3986 82,3,2024-09-07 08:58:31:766,1,281,3,0,363,3030,281,0 83,0,2024-09-07 08:58:31:532,74133,0.5,74070,0.7,147180,0.5,195895,2.00 83,1,2024-09-07 08:58:30:564,495015,495015,0,0,230452975994,2401204291,491661,3108,246,382,391709,0 83,2,2024-09-07 08:58:30:764,357997,357997,0,0,13268845,0,3119 83,3,2024-09-07 08:58:30:758,1,281,13,0,250,3115,281,0 84,0,2024-09-07 08:58:31:777,68855,0.8,68680,0.9,137445,0.7,183886,2.25 84,1,2024-09-07 08:58:31:058,494560,494560,0,0,230036032217,2403631270,489613,4362,585,367,391967,0 84,2,2024-09-07 08:58:30:573,356749,356749,0,0,15279319,0,3801 84,3,2024-09-07 08:58:31:143,1,281,1,0,908,4401,281,0 85,0,2024-09-07 08:58:31:022,65965,0.6,65926,0.8,139893,0.6,180908,2.25 85,1,2024-09-07 08:58:30:563,493873,493873,0,0,230100463100,2428687473,486705,6050,1118,381,392006,0 85,2,2024-09-07 08:58:30:888,354043,354043,0,0,16279009,0,3656 85,3,2024-09-07 08:58:30:687,1,281,13,0,789,3749,281,0 86,0,2024-09-07 08:58:30:876,69743,0.6,71717,0.7,137222,0.6,185480,2.00 86,1,2024-09-07 08:58:30:836,495174,495174,0,0,230659739932,2412041900,489680,4662,832,366,391961,0 86,2,2024-09-07 08:58:30:864,353349,353348,1,0,17149899,0,5004 86,3,2024-09-07 08:58:30:594,1,281,1,0,286,3996,281,0 87,0,2024-09-07 08:58:31:291,75413,1.0,75482,0.9,151404,1.4,202159,2.25 87,1,2024-09-07 08:58:30:582,494822,494822,0,0,230200118843,2407296227,489924,4404,494,366,392076,0 87,2,2024-09-07 08:58:31:068,356357,356357,0,0,15473564,0,4045 87,3,2024-09-07 08:58:31:850,1,281,9,0,473,4089,281,0 88,0,2024-09-07 08:58:31:444,70204,0.5,70572,0.6,140879,0.5,187458,2.00 88,1,2024-09-07 08:58:30:569,493393,493393,0,0,229435001490,2408840290,486160,5582,1651,365,392084,0 88,2,2024-09-07 08:58:30:688,358194,358194,0,0,17421883,0,3583 88,3,2024-09-07 08:58:31:280,1,281,1,0,435,3341,281,0 89,0,2024-09-07 08:58:31:788,70368,0.5,68007,0.7,134953,0.4,183010,1.75 89,1,2024-09-07 08:58:30:568,493302,493302,0,0,230731584478,2431335822,486026,6011,1265,382,391866,0 89,2,2024-09-07 08:58:31:132,351981,351981,0,0,16495959,0,2910 89,3,2024-09-07 08:58:31:796,1,281,3,0,385,5624,281,0 90,0,2024-09-07 08:58:31:661,66022,0.4,67480,0.6,138187,0.4,180417,1.75 90,1,2024-09-07 08:58:30:590,494885,494885,0,0,229953791838,2409414497,490166,4398,321,381,391825,0 90,2,2024-09-07 08:58:31:411,352897,352897,0,0,17884291,0,3060 90,3,2024-09-07 08:58:30:935,1,281,0,0,200,2868,281,0 91,0,2024-09-07 08:58:30:934,74520,0.4,72361,0.6,151018,0.4,198542,1.75 91,1,2024-09-07 08:58:30:562,493675,493675,0,0,230391155607,2423321465,487128,5660,887,381,392047,0 91,2,2024-09-07 08:58:31:332,355713,355713,0,0,16077066,0,2896 91,3,2024-09-07 08:58:30:597,1,281,8,0,216,2575,281,0 92,0,2024-09-07 08:58:31:454,71972,0.5,73840,0.6,140933,0.5,190817,1.75 92,1,2024-09-07 08:58:30:607,495261,495261,0,0,230176377540,2398070315,492512,2340,409,382,392136,0 92,2,2024-09-07 08:58:31:353,360720,360720,0,0,13568008,0,2801 92,3,2024-09-07 08:58:31:015,1,281,38,0,167,2223,281,0 93,0,2024-09-07 08:58:30:953,68285,0.4,70020,0.6,133732,0.3,181332,1.75 93,1,2024-09-07 08:58:30:834,495483,495483,0,0,230348422384,2401519087,490913,3780,790,366,391776,0 93,2,2024-09-07 08:58:30:950,353454,353454,0,0,14354268,0,2797 93,3,2024-09-07 08:58:31:406,1,281,5,0,190,2815,281,0 94,0,2024-09-07 08:58:31:617,66336,0.3,67129,0.5,133796,0.3,177362,1.75 94,1,2024-09-07 08:58:30:569,494930,494930,0,0,230472845436,2405932064,491499,3249,182,381,391850,0 94,2,2024-09-07 08:58:30:770,353979,353979,0,0,13477858,0,2443 94,3,2024-09-07 08:58:31:693,1,281,2,0,264,3424,281,0 95,0,2024-09-07 08:58:31:369,72294,0.3,72176,0.5,145121,0.3,192906,1.50 95,1,2024-09-07 08:58:30:866,495643,495643,0,0,230580119614,2394844269,491936,3330,377,367,391713,0 95,2,2024-09-07 08:58:31:021,354958,354958,0,0,13787607,0,3308 95,3,2024-09-07 08:58:31:733,1,281,7,0,718,4689,281,0 96,0,2024-09-07 08:58:31:026,73601,0.4,73787,0.5,147380,0.3,194806,1.75 96,1,2024-09-07 08:58:31:589,494876,494876,0,0,230539827300,2405822269,491241,2900,735,384,391894,0 96,2,2024-09-07 08:58:31:273,359116,359116,0,0,14379342,0,4042 96,3,2024-09-07 08:58:31:154,1,281,1,0,411,3173,281,0 97,0,2024-09-07 08:58:31:319,68182,0.3,67855,0.5,136317,0.3,180797,1.75 97,1,2024-09-07 08:58:30:787,496881,496881,0,0,231562320458,2399381994,493825,2497,559,367,392140,0 97,2,2024-09-07 08:58:30:611,355801,355801,0,0,13611603,0,3036 97,3,2024-09-07 08:58:30:569,1,281,9,0,214,3170,281,0 98,0,2024-09-07 08:58:31:725,67946,0.3,67853,0.4,136319,0.2,180549,1.50 98,1,2024-09-07 08:58:30:571,496269,496269,0,0,230674494303,2398432355,494107,2061,101,382,391997,0 98,2,2024-09-07 08:58:30:781,353686,353686,0,0,13725411,0,3080 98,3,2024-09-07 08:58:30:703,1,281,2,0,840,4835,281,0 99,0,2024-09-07 08:58:31:447,70847,0.3,71221,0.4,141762,0.2,189588,1.50 99,1,2024-09-07 08:58:31:724,495677,495677,0,0,230114193029,2396494022,491866,3069,742,381,392069,0 99,2,2024-09-07 08:58:31:420,357157,357157,0,0,14671128,0,3106 99,3,2024-09-07 08:58:30:580,1,281,6,0,187,2297,281,0 100,0,2024-09-07 08:58:31:484,74844,0.8,74820,1.0,149813,1.1,199966,2.50 100,1,2024-09-07 08:58:30:565,492311,492311,0,0,228920255491,2420608351,484788,6046,1477,381,391989,0 100,2,2024-09-07 08:58:31:830,356020,356009,11,0,16428628,0,5417 100,3,2024-09-07 08:58:31:739,1,281,3,0,559,5040,281,0 101,0,2024-09-07 08:58:31:720,71013,1.4,69178,1.1,135773,1.2,186546,2.50 101,1,2024-09-07 08:58:30:559,492701,492701,0,0,229553419488,2419983846,484365,6357,1979,368,391769,0 101,2,2024-09-07 08:58:31:758,355715,355715,0,0,18108846,0,4644 101,3,2024-09-07 08:58:30:956,1,281,1,0,448,3374,281,0 102,0,2024-09-07 08:58:31:025,66741,0.7,69129,0.8,139193,0.6,182656,2.00 102,1,2024-09-07 08:58:31:148,493797,493797,0,0,229879912670,2415331492,487461,5430,906,369,391883,0 102,2,2024-09-07 08:58:31:741,354001,353947,54,0,15783374,0,6768 102,3,2024-09-07 08:58:31:619,1,281,4,0,410,2997,281,0 103,0,2024-09-07 08:58:31:637,71404,0.5,71355,0.7,134750,0.4,185845,2.00 103,1,2024-09-07 08:58:31:633,493243,493243,0,0,230084344047,2426723499,485808,5816,1619,381,391829,0 103,2,2024-09-07 08:58:30:595,353366,353366,0,0,15586385,0,2582 103,3,2024-09-07 08:58:30:755,1,281,9,0,916,3721,281,0 104,0,2024-09-07 08:58:31:037,74576,0.6,75049,0.9,148729,0.6,200518,2.25 104,1,2024-09-07 08:58:31:604,494683,494683,0,0,229939015628,2417836327,487390,6119,1174,365,392168,0 104,2,2024-09-07 08:58:31:667,355045,355045,0,0,17431512,0,3941 104,3,2024-09-07 08:58:31:418,1,281,1,0,1245,6693,281,0 105,0,2024-09-07 08:58:31:032,69929,1.0,68030,1.2,142181,1.3,187577,3.25 105,1,2024-09-07 08:58:30:566,494797,494797,0,0,230026679721,2414552775,487849,5937,1011,366,391797,0 105,2,2024-09-07 08:58:31:326,358378,358378,0,0,16714254,0,3509 105,3,2024-09-07 08:58:31:309,1,281,15,0,399,4568,281,0 106,0,2024-09-07 08:58:30:956,65681,0.9,67264,1.0,137727,1.0,179908,2.75 106,1,2024-09-07 08:58:31:757,494284,494284,0,0,229302749255,2411770010,486161,7125,998,369,391865,0 106,2,2024-09-07 08:58:30:763,351365,351365,0,0,15651814,0,2795 106,3,2024-09-07 08:58:30:678,1,281,67,0,470,3983,281,0 107,0,2024-09-07 08:58:31:102,67402,0.7,67375,0.8,134657,0.7,179538,2.00 107,1,2024-09-07 08:58:30:594,493068,493068,0,0,229351764037,2414504300,486810,5572,686,381,392234,0 107,2,2024-09-07 08:58:31:305,353132,353131,1,0,16850784,0,5024 107,3,2024-09-07 08:58:31:776,1,281,17,0,353,3682,281,0 108,0,2024-09-07 08:58:31:820,73116,0.4,73482,0.5,145953,0.3,195424,1.75 108,1,2024-09-07 08:58:31:298,495211,495211,0,0,230588484805,2399739957,491975,2849,387,368,391857,0 108,2,2024-09-07 08:58:31:758,354794,354794,0,0,15024091,0,2647 108,3,2024-09-07 08:58:31:332,1,281,26,0,749,5696,281,0 109,0,2024-09-07 08:58:31:902,72697,0.4,71891,0.5,144265,0.3,192513,1.75 109,1,2024-09-07 08:58:30:613,493636,493636,0,0,231264524222,2422521444,489692,3426,518,383,392132,0 109,2,2024-09-07 08:58:30:945,357645,357645,0,0,15465103,0,3617 109,3,2024-09-07 08:58:31:148,1,281,2,0,249,3163,281,0 110,0,2024-09-07 08:58:31:770,67845,0.4,65997,0.6,138199,0.3,180687,1.75 110,1,2024-09-07 08:58:31:646,496721,496721,0,0,231083017462,2396422156,493567,2274,880,369,392045,0 110,2,2024-09-07 08:58:31:305,354815,354815,0,0,14495002,0,3264 110,3,2024-09-07 08:58:30:701,1,281,1,0,406,3563,281,0 111,0,2024-09-07 08:58:31:421,67242,0.3,66676,0.5,133482,0.3,178502,1.75 111,1,2024-09-07 08:58:31:015,496745,496745,0,0,231836659104,2401005204,494734,1675,336,382,391690,0 111,2,2024-09-07 08:58:31:119,353539,353539,0,0,13853308,0,2763 111,3,2024-09-07 08:58:30:938,1,281,1,0,379,3641,281,0 112,0,2024-09-07 08:58:30:923,71711,0.3,71398,0.4,143023,0.2,190629,1.50 112,1,2024-09-07 08:58:30:825,496656,496656,0,0,231203209387,2396235919,494051,2170,435,380,391624,0 112,2,2024-09-07 08:58:31:133,355220,355219,1,0,14119025,0,5036 112,3,2024-09-07 08:58:30:600,1,281,9,0,282,2786,281,0 113,0,2024-09-07 08:58:31:106,73768,0.3,73833,0.5,148307,0.2,197341,1.50 113,1,2024-09-07 08:58:31:693,497546,497546,0,0,231886936213,2390893910,495038,2018,490,366,391661,0 113,2,2024-09-07 08:58:31:307,359480,359480,0,0,12710730,0,3813 113,3,2024-09-07 08:58:30:686,1,281,0,0,340,3797,281,0 114,0,2024-09-07 08:58:30:883,69661,0.4,70098,0.5,139246,0.3,185894,1.75 114,1,2024-09-07 08:58:30:716,495676,495676,0,0,231241879763,2405193793,490847,3240,1589,381,391556,0 114,2,2024-09-07 08:58:30:875,356798,356797,1,0,13897538,0,5069 114,3,2024-09-07 08:58:31:282,1,281,7,0,395,2814,281,0 115,0,2024-09-07 08:58:30:564,68397,0.3,68696,0.4,137556,0.2,183019,1.50 115,1,2024-09-07 08:58:30:571,495775,495775,0,0,230242668010,2393388756,491370,3568,837,382,391639,0 115,2,2024-09-07 08:58:31:129,354388,354388,0,0,12151294,0,2346 115,3,2024-09-07 08:58:31:008,1,281,15,0,159,1634,281,0 116,0,2024-09-07 08:58:31:722,69572,0.8,69428,0.9,138900,0.8,186288,2.00 116,1,2024-09-07 08:58:30:810,493065,493065,0,0,229469670670,2424114154,486512,4496,2057,380,391782,0 116,2,2024-09-07 08:58:31:762,353284,353284,0,0,17934963,0,3529 116,3,2024-09-07 08:58:30:920,1,281,3,0,415,3885,281,0 117,0,2024-09-07 08:58:30:964,76071,0.9,75754,0.9,151354,1.1,202567,2.00 117,1,2024-09-07 08:58:31:580,493968,493968,0,0,229936804047,2406771219,488715,4704,549,370,392033,0 117,2,2024-09-07 08:58:31:118,358777,358777,0,0,14544290,0,3700 117,3,2024-09-07 08:58:31:061,1,281,1,0,490,4361,281,0 118,0,2024-09-07 08:58:31:780,68248,0.6,70188,0.7,142770,0.5,186771,2.00 118,1,2024-09-07 08:58:30:593,494215,494215,0,0,229757387669,2416499856,486866,5526,1823,366,391736,0 118,2,2024-09-07 08:58:31:589,357387,357387,0,0,15736190,0,2781 118,3,2024-09-07 08:58:31:774,1,281,21,0,235,3118,281,0 119,0,2024-09-07 08:58:31:371,67888,0.8,68388,0.8,136735,0.9,181959,2.25 119,1,2024-09-07 08:58:30:551,495341,495341,0,0,230574536666,2410677374,489881,4793,667,367,391780,0 119,2,2024-09-07 08:58:31:263,353085,353085,0,0,14757221,0,4174 119,3,2024-09-07 08:58:31:332,1,281,6,0,443,3875,281,0 120,0,2024-09-07 08:58:31:580,67609,0.5,67432,0.7,135052,0.4,180866,2.00 120,1,2024-09-07 08:58:30:874,494598,494598,0,0,230146320589,2413486423,489346,4835,417,368,391961,0 120,2,2024-09-07 08:58:30:798,353804,353803,1,0,17954287,0,5281 120,3,2024-09-07 08:58:31:308,1,281,2,0,241,3422,281,0 121,0,2024-09-07 08:58:31:713,74381,0.8,74420,0.9,149277,1.0,198605,2.00 121,1,2024-09-07 08:58:31:657,494847,494847,0,0,230720429170,2415070680,489564,4855,428,367,391840,0 121,2,2024-09-07 08:58:31:134,354971,354971,0,0,17184070,0,4127 121,3,2024-09-07 08:58:30:734,1,281,1,0,269,3303,281,0 122,0,2024-09-07 08:58:31:762,71203,0.8,69389,0.9,145378,0.9,190852,2.00 122,1,2024-09-07 08:58:30:887,493789,493789,0,0,230517571591,2420711030,486611,6103,1075,366,392130,0 122,2,2024-09-07 08:58:31:318,358090,358017,73,0,19622893,0,5989 122,3,2024-09-07 08:58:30:603,1,281,3,0,411,5672,281,0 123,0,2024-09-07 08:58:30:987,67674,0.9,66159,0.8,137943,1.0,180483,2.25 123,1,2024-09-07 08:58:30:559,494088,494088,0,0,229742896081,2423551848,484571,7613,1904,369,392039,0 123,2,2024-09-07 08:58:31:026,351193,351192,1,0,15985569,0,5215 123,3,2024-09-07 08:58:31:136,1,281,9,0,168,3221,281,0 124,0,2024-09-07 08:58:30:937,68742,0.3,68737,0.5,129619,0.3,178092,1.50 124,1,2024-09-07 08:58:31:025,496509,496509,0,0,230777645937,2395370819,493591,2552,366,367,392178,0 124,2,2024-09-07 08:58:31:014,354457,354457,0,0,13227995,0,3101 124,3,2024-09-07 08:58:30:763,1,281,1,0,490,2958,281,0 125,0,2024-09-07 08:58:31:451,72432,0.3,72107,0.5,145053,0.3,193046,1.50 125,1,2024-09-07 08:58:30:874,494318,494318,0,0,230441069333,2401703323,491124,2794,400,383,391702,0 125,2,2024-09-07 08:58:31:118,355628,355628,0,0,14744863,0,4534 125,3,2024-09-07 08:58:31:136,1,281,2,0,709,3823,281,0 126,0,2024-09-07 08:58:31:417,73567,0.5,75511,0.6,144431,0.4,195682,1.75 126,1,2024-09-07 08:58:30:551,496501,496501,0,0,231099715112,2392479118,493776,2461,264,365,391987,0 126,2,2024-09-07 08:58:30:611,360449,360449,0,0,14653475,0,3186 126,3,2024-09-07 08:58:30:912,1,281,9,0,207,3799,281,0 127,0,2024-09-07 08:58:31:599,67852,0.4,68217,0.5,136002,0.3,180853,1.75 127,1,2024-09-07 08:58:30:584,496175,496175,0,0,230659802589,2387814818,493199,2823,153,365,391816,0 127,2,2024-09-07 08:58:30:638,355630,355630,0,0,13028146,0,2264 127,3,2024-09-07 08:58:31:267,1,281,11,0,968,3149,281,0 128,0,2024-09-07 08:58:31:584,68272,0.3,68261,0.4,136464,0.2,181043,1.50 128,1,2024-09-07 08:58:31:609,495569,495569,0,0,231014345677,2394818734,493164,2247,158,367,391798,0 128,2,2024-09-07 08:58:31:385,354624,354624,0,0,13093593,0,2434 128,3,2024-09-07 08:58:30:779,1,281,1,0,1082,5489,281,0 129,0,2024-09-07 08:58:31:001,71347,0.3,71047,0.4,142167,0.2,189245,1.50 129,1,2024-09-07 08:58:30:570,493159,493159,0,0,229372712147,2401531039,488920,3307,932,379,391835,0 129,2,2024-09-07 08:58:30:688,355592,355592,0,0,14013204,0,4031 129,3,2024-09-07 08:58:30:698,1,281,2,0,469,3814,281,0 130,0,2024-09-07 08:58:31:717,75736,0.6,75373,0.6,151328,0.6,201057,1.75 130,1,2024-09-07 08:58:30:593,495604,495604,0,0,230567371182,2396073582,493153,2372,79,381,391825,0 130,2,2024-09-07 08:58:31:132,358837,358837,0,0,13770917,0,4067 130,3,2024-09-07 08:58:31:294,1,281,1,0,450,3702,281,0 131,0,2024-09-07 08:58:31:994,69519,0.4,70022,0.5,140550,0.3,186327,1.75 131,1,2024-09-07 08:58:31:820,496144,496144,0,0,230854882180,2405397152,492997,2686,461,381,391865,0 131,2,2024-09-07 08:58:30:568,359293,359293,0,0,12649293,0,2415 131,3,2024-09-07 08:58:31:688,1,281,12,0,392,2923,281,0 132,0,2024-09-07 08:58:31:439,68209,0.5,69161,0.6,137698,0.4,183095,2.00 132,1,2024-09-07 08:58:30:584,492884,492884,0,0,229239256169,2419033933,484803,6622,1459,381,392097,0 132,2,2024-09-07 08:58:30:703,353174,353174,0,0,16513096,0,4606 132,3,2024-09-07 08:58:31:688,1,281,2,0,356,3773,281,0 133,0,2024-09-07 08:58:31:521,67719,0.4,69149,0.5,141733,0.3,184440,1.75 133,1,2024-09-07 08:58:30:591,493680,493680,0,0,229790128105,2421251916,487079,5640,961,383,391914,0 133,2,2024-09-07 08:58:31:089,353407,353407,0,0,17965554,0,4315 133,3,2024-09-07 08:58:31:297,1,281,1,0,276,2765,281,0 134,0,2024-09-07 08:58:31:007,75251,0.4,75221,0.6,150517,0.4,200804,2.00 134,1,2024-09-07 08:58:30:588,493519,493519,0,0,229662988539,2412603309,486584,5458,1477,366,391718,0 134,2,2024-09-07 08:58:31:760,355968,355968,0,0,15258044,0,3847 134,3,2024-09-07 08:58:30:753,1,281,0,0,739,3997,281,0 135,0,2024-09-07 08:58:31:128,68306,0.8,68314,0.9,145008,0.9,187343,2.25 135,1,2024-09-07 08:58:31:585,493452,493452,0,0,230253957706,2424312062,486210,6052,1190,380,391805,0 135,2,2024-09-07 08:58:30:693,359039,359039,0,0,16747825,0,3981 135,3,2024-09-07 08:58:31:016,1,281,8,0,299,2113,281,0 136,0,2024-09-07 08:58:31:624,68479,0.6,68474,0.7,136376,0.6,181976,2.25 136,1,2024-09-07 08:58:31:448,493599,493599,0,0,229624631078,2414325364,487411,5545,643,382,391685,0 136,2,2024-09-07 08:58:31:134,353061,353061,0,0,15891905,0,3506 136,3,2024-09-07 08:58:31:109,1,281,168,0,168,2532,281,0 137,0,2024-09-07 08:58:30:931,69504,0.6,67499,0.7,133000,0.5,180732,2.00 137,1,2024-09-07 08:58:30:581,493599,493599,0,0,229934591558,2414263119,485573,6372,1654,366,391708,0 137,2,2024-09-07 08:58:31:705,352945,352945,0,0,18009581,0,3185 137,3,2024-09-07 08:58:30:773,1,281,1,0,382,3398,281,0 138,0,2024-09-07 08:58:31:763,72529,1.1,72703,1.0,146018,1.5,194746,2.25 138,1,2024-09-07 08:58:31:688,493555,493555,0,0,230686270803,2424343858,485528,6466,1561,368,391954,0 138,2,2024-09-07 08:58:30:594,355112,355112,0,0,16539486,0,4988 138,3,2024-09-07 08:58:30:612,1,281,86,0,1160,4083,281,0 139,0,2024-09-07 08:58:31:376,71176,1.6,71512,1.2,143014,2.3,190863,2.50 139,1,2024-09-07 08:58:30:574,491860,491860,0,0,228412593003,2428705654,482212,7220,2428,381,391892,0 139,2,2024-09-07 08:58:30:695,356848,356848,0,0,17370438,0,3097 139,3,2024-09-07 08:58:31:662,1,281,8,0,257,3143,281,0 140,0,2024-09-07 08:58:31:591,68134,0.3,67657,0.5,136044,0.2,180985,1.75 140,1,2024-09-07 08:58:31:536,497340,497340,0,0,231346785511,2382290088,495347,1750,243,365,391606,0 140,2,2024-09-07 08:58:30:688,354940,354940,0,0,13192621,0,3388 140,3,2024-09-07 08:58:30:773,1,281,42,0,247,2321,281,0 141,0,2024-09-07 08:58:31:700,66992,0.3,68843,0.4,131661,0.2,178338,1.50 141,1,2024-09-07 08:58:30:874,496884,496884,0,0,230934516111,2393475612,494283,2193,408,379,391614,0 141,2,2024-09-07 08:58:31:693,354174,354174,0,0,13012748,0,2342 141,3,2024-09-07 08:58:31:051,1,281,7,0,202,2490,281,0 142,0,2024-09-07 08:58:31:371,72134,0.3,71524,0.4,142492,0.2,191007,1.50 142,1,2024-09-07 08:58:30:588,495682,495682,0,0,229818754765,2393942415,492835,2580,267,382,392102,0 142,2,2024-09-07 08:58:31:305,355132,355100,32,0,14904790,0,6028 142,3,2024-09-07 08:58:31:746,1,281,2,0,484,3702,281,0 143,0,2024-09-07 08:58:31:400,74123,0.5,74122,0.6,148596,0.5,197320,1.75 143,1,2024-09-07 08:58:30:566,496412,496412,0,0,231038379011,2393443474,493645,2671,96,367,391651,0 143,2,2024-09-07 08:58:30:783,358578,358578,0,0,14001647,0,2669 143,3,2024-09-07 08:58:31:146,1,281,7,0,303,3528,281,0 144,0,2024-09-07 08:58:31:513,67041,0.6,68907,0.8,140442,0.5,184037,2.00 144,1,2024-09-07 08:58:30:570,493416,493416,0,0,229870654853,2410428920,489251,3237,928,381,391649,0 144,2,2024-09-07 08:58:31:757,357273,357273,0,0,13288396,0,3473 144,3,2024-09-07 08:58:31:741,1,281,1,0,249,3074,281,0 145,0,2024-09-07 08:58:31:411,66055,0.5,66044,0.8,140188,0.5,180873,2.25 145,1,2024-09-07 08:58:30:554,493133,493133,0,0,229494242631,2412141504,487275,5033,825,382,391698,0 145,2,2024-09-07 08:58:31:429,352332,352332,0,0,15906565,0,3903 145,3,2024-09-07 08:58:30:901,1,281,3,0,622,4224,281,0 146,0,2024-09-07 08:58:31:649,69772,0.5,69177,0.7,139381,0.5,185354,2.00 146,1,2024-09-07 08:58:31:596,494267,494267,0,0,230029181902,2422113757,485600,6773,1894,368,391770,0 146,2,2024-09-07 08:58:31:699,353842,353842,0,0,16179834,0,2730 146,3,2024-09-07 08:58:31:284,1,281,113,0,1520,6122,281,0 147,0,2024-09-07 08:58:31:708,75839,0.6,75640,0.8,150525,0.6,202036,2.00 147,1,2024-09-07 08:58:31:372,496131,496131,0,0,231026108119,2400489474,492015,3529,587,368,391791,0 147,2,2024-09-07 08:58:31:029,358358,358358,0,0,13580277,0,2789 147,3,2024-09-07 08:58:30:934,1,281,2,0,730,4136,281,0 0,0,2024-09-07 08:58:41:738,66205,0.4,66270,0.7,140478,0.4,182264,1.75 0,1,2024-09-07 08:58:40:801,496384,496384,0,0,231177730207,2415933381,492875,3300,209,370,391896,0 0,2,2024-09-07 08:58:41:067,355652,355652,0,0,14023001,0,4480 0,3,2024-09-07 08:58:40:989,1,282,9,0,319,3586,282,0 1,0,2024-09-07 08:58:41:796,74882,0.7,74293,0.8,149599,0.8,199201,2.00 1,1,2024-09-07 08:58:40:558,496257,496257,0,0,230041942167,2408313466,491686,3517,1054,370,391857,0 1,2,2024-09-07 08:58:40:649,356705,356705,0,0,13331481,0,3267 1,3,2024-09-07 08:58:41:305,1,282,1,0,262,3300,282,0 2,0,2024-09-07 08:58:41:574,71782,0.7,72062,0.8,142686,0.9,191425,2.00 2,1,2024-09-07 08:58:40:860,497565,497565,0,0,232379791348,2412055213,495368,1977,220,380,391745,0 2,2,2024-09-07 08:58:41:282,360717,360717,0,0,13650338,0,3594 2,3,2024-09-07 08:58:40:695,1,282,0,0,357,2573,282,0 3,0,2024-09-07 08:58:41:749,68028,0.4,68015,0.6,135873,0.4,180658,2.00 3,1,2024-09-07 08:58:41:619,496386,496386,0,0,230632352819,2399762824,492540,3384,462,380,391556,0 3,2,2024-09-07 08:58:41:142,354328,354305,23,0,13777081,0,5851 3,3,2024-09-07 08:58:41:752,1,282,8,0,103,1689,282,0 4,0,2024-09-07 08:58:41:770,64860,0.3,66813,0.5,135786,0.3,178187,1.75 4,1,2024-09-07 08:58:40:593,495939,495939,0,0,230415019250,2422234359,490366,4571,1002,371,391846,0 4,2,2024-09-07 08:58:41:019,353646,353646,0,0,16776076,0,4528 4,3,2024-09-07 08:58:41:027,1,282,2,0,448,4213,282,0 5,0,2024-09-07 08:58:41:385,72677,0.4,72966,0.5,145099,0.4,193686,1.75 5,1,2024-09-07 08:58:40:758,495886,495886,0,0,231089541401,2430312392,489666,4913,1307,368,392005,0 5,2,2024-09-07 08:58:41:828,355505,355505,0,0,15555928,0,2432 5,3,2024-09-07 08:58:41:731,1,282,1,0,457,4248,282,0 6,0,2024-09-07 08:58:40:930,73910,0.5,73411,0.7,146610,0.5,195762,2.00 6,1,2024-09-07 08:58:40:752,496321,496321,0,0,230632120138,2409441543,491125,4282,914,379,391694,0 6,2,2024-09-07 08:58:41:116,360042,360042,0,0,15191588,0,4816 6,3,2024-09-07 08:58:41:274,1,282,20,0,340,3266,282,0 7,0,2024-09-07 08:58:41:540,67740,0.5,68019,0.6,135544,0.5,180173,2.00 7,1,2024-09-07 08:58:40:850,495522,495522,0,0,230372268838,2418512317,488868,5544,1110,382,391747,0 7,2,2024-09-07 08:58:40:770,355382,355382,0,0,14840123,0,4791 7,3,2024-09-07 08:58:40:851,1,282,8,0,398,3320,282,0 8,0,2024-09-07 08:58:41:330,68120,0.4,67882,0.5,135732,0.3,180724,1.75 8,1,2024-09-07 08:58:41:026,494783,494783,0,0,230496823094,2432495260,485430,7141,2212,366,392144,0 8,2,2024-09-07 08:58:40:802,351040,351040,0,0,18166397,0,3220 8,3,2024-09-07 08:58:40:597,1,282,13,0,538,5053,282,0 9,0,2024-09-07 08:58:41:117,71380,0.3,69266,0.5,144686,0.3,189999,1.75 9,1,2024-09-07 08:58:40:563,494825,494825,0,0,230636708184,2429523835,487934,5342,1549,370,392001,0 9,2,2024-09-07 08:58:41:091,355571,355571,0,0,16196196,0,3360 9,3,2024-09-07 08:58:41:752,1,282,0,0,496,4403,282,0 10,0,2024-09-07 08:58:41:600,75339,0.3,74789,0.5,150332,0.3,200015,1.75 10,1,2024-09-07 08:58:40:584,495434,495434,0,0,231168587584,2423880860,488324,6124,986,381,391741,0 10,2,2024-09-07 08:58:40:763,358605,358605,0,0,17650841,0,4264 10,3,2024-09-07 08:58:40:871,1,282,6,0,177,2297,282,0 11,0,2024-09-07 08:58:41:006,69424,0.5,67426,0.7,140971,0.4,186712,1.75 11,1,2024-09-07 08:58:40:583,496256,496256,0,0,230724393140,2426794076,487259,6770,2227,383,391573,0 11,2,2024-09-07 08:58:41:123,357811,357811,0,0,16105708,0,4130 11,3,2024-09-07 08:58:41:303,1,282,10,0,843,4479,282,0 12,0,2024-09-07 08:58:41:000,69222,0.3,69143,0.5,138283,0.3,183919,1.75 12,1,2024-09-07 08:58:40:937,496360,496360,0,0,230865867124,2405826341,492525,3368,467,370,391870,0 12,2,2024-09-07 08:58:41:545,355042,355042,0,0,15041769,0,3469 12,3,2024-09-07 08:58:41:062,1,282,1,0,386,4636,282,0 13,0,2024-09-07 08:58:41:332,69943,0.3,69863,0.5,139621,0.3,185755,1.50 13,1,2024-09-07 08:58:41:547,496483,496483,0,0,230302311814,2413639365,492898,3043,542,382,391740,0 13,2,2024-09-07 08:58:40:599,356689,356689,0,0,14139410,0,3287 13,3,2024-09-07 08:58:41:765,1,282,13,0,467,4147,282,0 14,0,2024-09-07 08:58:40:569,75866,0.4,76464,0.5,151203,0.3,201695,1.75 14,1,2024-09-07 08:58:41:563,499320,499320,0,0,232249958473,2401730581,496235,2889,196,364,391571,0 14,2,2024-09-07 08:58:40:764,357573,357573,0,0,14177458,0,2896 14,3,2024-09-07 08:58:41:124,1,282,1,0,1168,3789,282,0 15,0,2024-09-07 08:58:41:564,70969,0.5,71069,0.7,141896,0.5,189149,2.00 15,1,2024-09-07 08:58:41:609,497483,497483,0,0,231394442860,2404432703,494785,2520,178,381,391619,0 15,2,2024-09-07 08:58:40:997,361348,361348,0,0,12219977,0,3043 15,3,2024-09-07 08:58:41:412,1,282,7,0,1126,5523,282,0 16,0,2024-09-07 08:58:40:939,68394,0.6,68590,0.8,136676,0.7,181970,2.25 16,1,2024-09-07 08:58:40:581,497461,497461,0,0,231216999938,2413830894,493800,3359,302,370,391756,0 16,2,2024-09-07 08:58:41:438,353900,353900,0,0,14677336,0,4719 16,3,2024-09-07 08:58:41:142,1,282,1,0,317,3973,282,0 17,0,2024-09-07 08:58:41:779,69798,0.4,68179,0.7,133147,0.4,181140,1.75 17,1,2024-09-07 08:58:40:576,496119,496119,0,0,230164200040,2414668309,491066,3947,1106,368,391899,0 17,2,2024-09-07 08:58:41:666,357700,357700,0,0,14346380,0,2857 17,3,2024-09-07 08:58:40:576,1,282,7,0,268,4470,282,0 18,0,2024-09-07 08:58:40:948,72846,0.6,73275,0.7,146135,0.5,195458,2.25 18,1,2024-09-07 08:58:41:650,497299,497299,0,0,231739527204,2400412123,494560,2497,242,367,391649,0 18,2,2024-09-07 08:58:41:756,358544,358544,0,0,13858243,0,3541 18,3,2024-09-07 08:58:40:896,1,282,1,0,163,2636,282,0 19,0,2024-09-07 08:58:41:556,71815,0.6,72349,0.8,143623,0.6,190985,2.00 19,1,2024-09-07 08:58:40:568,497497,497497,0,0,231878926194,2406467368,492824,3886,787,367,391777,0 19,2,2024-09-07 08:58:41:753,362182,362182,0,0,12661735,0,3988 19,3,2024-09-07 08:58:41:130,1,282,12,0,524,2135,282,0 20,0,2024-09-07 08:58:41:346,67680,0.6,67725,0.7,135715,0.6,180719,2.25 20,1,2024-09-07 08:58:40:569,495804,495804,0,0,231027367424,2415822973,491819,3585,400,369,391886,0 20,2,2024-09-07 08:58:40:935,355401,355401,0,0,14467470,0,3721 20,3,2024-09-07 08:58:40:599,1,282,1,0,414,4872,282,0 21,0,2024-09-07 08:58:41:137,67211,0.5,67284,0.6,134381,0.4,177990,2.00 21,1,2024-09-07 08:58:41:549,494387,494387,0,0,229945345837,2422636570,487665,5097,1625,368,392016,0 21,2,2024-09-07 08:58:41:067,353772,353772,0,0,17130100,0,3747 21,3,2024-09-07 08:58:41:404,1,282,95,0,103,2891,282,0 22,0,2024-09-07 08:58:41:718,71704,0.5,71865,0.7,143619,0.4,191112,2.00 22,1,2024-09-07 08:58:41:028,495734,495734,0,0,230466934313,2424904971,488119,5948,1667,382,391667,0 22,2,2024-09-07 08:58:40:761,355802,355802,0,0,14703572,0,3134 22,3,2024-09-07 08:58:41:066,1,282,5,0,228,2539,282,0 23,0,2024-09-07 08:58:41:373,73777,0.6,73662,0.7,147618,0.6,196396,2.25 23,1,2024-09-07 08:58:41:002,496711,496711,0,0,231044825153,2425495108,488745,5299,2667,365,391690,0 23,2,2024-09-07 08:58:41:091,360212,360212,0,0,14030581,0,3010 23,3,2024-09-07 08:58:41:755,1,282,13,0,645,3501,282,0 24,0,2024-09-07 08:58:40:852,69881,0.4,69736,0.6,139973,0.4,185517,1.75 24,1,2024-09-07 08:58:40:607,495704,495704,0,0,230145017777,2415933677,489500,4682,1522,368,391987,0 24,2,2024-09-07 08:58:41:079,355872,355872,0,0,17215417,0,3607 24,3,2024-09-07 08:58:41:690,1,282,2,0,468,4092,282,0 25,0,2024-09-07 08:58:41:349,70291,0.4,68539,0.6,134571,0.4,183550,2.00 25,1,2024-09-07 08:58:40:558,496519,496519,0,0,230704546354,2417265974,490980,4755,784,371,391928,0 25,2,2024-09-07 08:58:41:609,353837,353837,0,0,17711916,0,3978 25,3,2024-09-07 08:58:41:000,1,282,17,0,255,2981,282,0 26,0,2024-09-07 08:58:41:728,69880,0.4,68250,0.6,143176,0.3,186957,1.75 26,1,2024-09-07 08:58:41:546,496450,496450,0,0,231079768095,2424342467,488716,6486,1248,381,391748,0 26,2,2024-09-07 08:58:40:861,355635,355635,0,0,17363373,0,2809 26,3,2024-09-07 08:58:41:720,1,282,1,0,796,3520,282,0 27,0,2024-09-07 08:58:41:735,76253,0.5,76407,0.6,151252,0.5,202068,2.00 27,1,2024-09-07 08:58:41:676,498035,498035,0,0,231962958867,2415536596,493652,3643,740,381,391626,0 27,2,2024-09-07 08:58:40:867,356055,355990,65,0,17420048,0,5699 27,3,2024-09-07 08:58:41:014,1,282,1,0,564,2960,282,0 28,0,2024-09-07 08:58:41:398,70575,0.4,70397,0.6,141280,0.4,188428,2.00 28,1,2024-09-07 08:58:40:797,497717,497717,0,0,232000780076,2413447158,494736,2509,472,383,391646,0 28,2,2024-09-07 08:58:41:764,359198,359198,0,0,13923947,0,2915 28,3,2024-09-07 08:58:41:776,1,282,9,0,502,2867,282,0 29,0,2024-09-07 08:58:41:393,70431,0.4,68741,0.6,134479,0.3,183710,1.75 29,1,2024-09-07 08:58:41:574,498608,498608,0,0,231693985207,2397402435,495263,2778,567,369,391753,0 29,2,2024-09-07 08:58:40:861,353977,353977,0,0,12859115,0,4018 29,3,2024-09-07 08:58:40:968,1,282,22,0,284,2306,282,0 30,0,2024-09-07 08:58:41:491,68211,0.4,66210,0.6,138484,0.4,181824,2.00 30,1,2024-09-07 08:58:40:583,498758,498758,0,0,232166453644,2404130072,496309,2153,296,382,391672,0 30,2,2024-09-07 08:58:41:273,355994,355994,0,0,12899863,0,3161 30,3,2024-09-07 08:58:40:596,1,282,4,0,519,2621,282,0 31,0,2024-09-07 08:58:41:761,74409,0.4,74744,0.5,149560,0.3,199231,1.75 31,1,2024-09-07 08:58:40:569,500211,500211,0,0,232951771203,2385449362,498785,1206,220,356,391712,0 31,2,2024-09-07 08:58:41:275,357153,357153,0,0,14731348,0,3525 31,3,2024-09-07 08:58:41:708,1,282,9,0,220,2161,282,0 32,0,2024-09-07 08:58:41:421,71614,0.3,71975,0.5,144047,0.3,191743,1.75 32,1,2024-09-07 08:58:40:809,498102,498102,0,0,231623905329,2401729873,495844,1979,279,381,391622,0 32,2,2024-09-07 08:58:40:935,360672,360672,0,0,12631612,0,3155 32,3,2024-09-07 08:58:41:018,1,282,1,0,227,2178,282,0 33,0,2024-09-07 08:58:41:499,68371,0.3,67860,0.5,136300,0.2,181625,1.75 33,1,2024-09-07 08:58:40:578,498774,498774,0,0,232616412983,2401774399,496132,2468,174,369,391730,0 33,2,2024-09-07 08:58:40:760,355436,355403,33,0,14014800,0,7012 33,3,2024-09-07 08:58:40:897,1,282,1,0,329,3199,282,0 34,0,2024-09-07 08:58:40:942,66906,0.3,68994,0.4,132134,0.2,177510,1.50 34,1,2024-09-07 08:58:41:046,499526,499526,0,0,232460724048,2389633881,498391,1128,7,367,391562,0 34,2,2024-09-07 08:58:40:766,355603,355603,0,0,13623514,0,3577 34,3,2024-09-07 08:58:41:694,1,282,36,0,299,2221,282,0 35,0,2024-09-07 08:58:40:857,72045,0.3,72465,0.5,145685,0.2,194214,1.50 35,1,2024-09-07 08:58:41:080,497990,497990,0,0,230804856501,2393024190,494561,2649,780,384,391589,0 35,2,2024-09-07 08:58:41:588,357363,357363,0,0,13757864,0,2653 35,3,2024-09-07 08:58:40:908,1,282,13,0,418,3474,282,0 36,0,2024-09-07 08:58:41:552,73652,0.5,73644,0.7,147293,0.5,195375,2.25 36,1,2024-09-07 08:58:40:583,497358,497358,0,0,230679783780,2411187424,491093,4682,1583,366,391759,0 36,2,2024-09-07 08:58:41:753,359982,359982,0,0,15445857,0,3875 36,3,2024-09-07 08:58:40:865,1,282,0,0,416,4308,282,0 37,0,2024-09-07 08:58:41:412,67637,0.5,67638,0.7,135467,0.5,181161,2.00 37,1,2024-09-07 08:58:40:577,496288,496281,0,7,230200293615,2408599337,490227,3984,2070,365,391560,0 37,2,2024-09-07 08:58:41:142,354598,354583,15,0,15434260,0,5815 37,3,2024-09-07 08:58:41:769,1,282,3,0,888,4664,282,0 38,0,2024-09-07 08:58:41:447,67357,0.4,65488,0.6,136954,0.4,178641,2.00 38,1,2024-09-07 08:58:41:610,497811,497811,0,0,232123010197,2419021609,492455,4680,676,368,391821,0 38,2,2024-09-07 08:58:40:761,354221,354174,47,0,16337543,0,6710 38,3,2024-09-07 08:58:40:998,1,282,7,0,689,3642,282,0 39,0,2024-09-07 08:58:41:759,72834,0.6,71413,0.7,138807,0.5,190144,2.00 39,1,2024-09-07 08:58:40:728,496782,496782,0,0,231478561789,2420034735,489987,5584,1211,365,391594,0 39,2,2024-09-07 08:58:41:424,356149,356149,0,0,14249265,0,2689 39,3,2024-09-07 08:58:40:714,1,282,13,0,324,3683,282,0 40,0,2024-09-07 08:58:41:506,74419,0.8,75149,1.0,150090,0.9,199745,3.00 40,1,2024-09-07 08:58:40:584,496858,496858,0,0,230903969937,2419182684,489218,6191,1449,368,391668,0 40,2,2024-09-07 08:58:41:307,358886,358885,1,0,17408360,0,5137 40,3,2024-09-07 08:58:41:142,1,282,3,0,398,3304,282,0 41,0,2024-09-07 08:58:41:037,69240,1.7,70902,1.4,135360,2.8,184781,3.50 41,1,2024-09-07 08:58:40:769,496462,496462,0,0,231080661354,2415293257,490647,5180,635,370,391742,0 41,2,2024-09-07 08:58:40:758,356707,356707,0,0,16760221,0,3356 41,3,2024-09-07 08:58:41:677,1,282,1,0,366,3117,282,0 42,0,2024-09-07 08:58:41:489,68096,0.7,68178,1.0,136574,0.7,180751,2.50 42,1,2024-09-07 08:58:41:451,495743,495743,0,0,230881036609,2426074082,487991,6239,1513,380,391675,0 42,2,2024-09-07 08:58:41:132,354959,354959,0,0,15967683,0,3568 42,3,2024-09-07 08:58:41:011,1,282,2,0,446,2453,282,0 43,0,2024-09-07 08:58:40:931,69146,0.8,67339,1.0,141084,0.8,185329,2.25 43,1,2024-09-07 08:58:40:583,496744,496744,0,0,231489005763,2419661090,489742,5660,1342,366,391696,0 43,2,2024-09-07 08:58:41:738,355420,355420,0,0,16422813,0,3812 43,3,2024-09-07 08:58:41:759,1,282,13,0,467,3570,282,0 44,0,2024-09-07 08:58:40:857,75585,0.4,76058,0.6,151624,0.4,202371,1.75 44,1,2024-09-07 08:58:40:565,498813,498813,0,0,231300162368,2384853199,496236,2145,432,356,391809,0 44,2,2024-09-07 08:58:41:267,357114,357114,0,0,13013240,0,2231 44,3,2024-09-07 08:58:41:092,1,282,6,0,817,3192,282,0 45,0,2024-09-07 08:58:41:796,69939,0.6,68357,0.8,143452,0.6,188901,2.00 45,1,2024-09-07 08:58:41:004,498167,498167,0,0,231918306798,2401106560,496503,1652,12,382,391917,0 45,2,2024-09-07 08:58:41:274,360489,360489,0,0,13627175,0,3596 45,3,2024-09-07 08:58:40:936,1,282,1,0,271,2917,282,0 46,0,2024-09-07 08:58:40:954,67888,0.5,67797,0.7,135736,0.5,179919,2.00 46,1,2024-09-07 08:58:40:577,499430,499430,0,0,232035735061,2392745681,497069,2087,274,366,391539,0 46,2,2024-09-07 08:58:40:593,354850,354850,0,0,12970230,0,2920 46,3,2024-09-07 08:58:41:130,1,282,1,0,908,4281,282,0 47,0,2024-09-07 08:58:41:116,67988,0.4,67989,0.6,136532,0.3,180630,1.75 47,1,2024-09-07 08:58:40:579,498816,498816,0,0,232326921727,2396183708,496415,2267,134,366,391641,0 47,2,2024-09-07 08:58:40:908,357409,357409,0,0,13088700,0,2558 47,3,2024-09-07 08:58:41:122,1,282,8,0,529,2534,282,0 48,0,2024-09-07 08:58:41:567,74138,0.3,73642,0.4,146946,0.2,196452,1.50 48,1,2024-09-07 08:58:41:032,497923,497923,0,0,232027089321,2408448684,494750,2872,301,384,391710,0 48,2,2024-09-07 08:58:40:705,357264,357264,0,0,12807186,0,3031 48,3,2024-09-07 08:58:40:757,1,282,2,0,339,2348,282,0 49,0,2024-09-07 08:58:41:756,74270,0.3,72852,0.5,141428,0.3,193326,1.75 49,1,2024-09-07 08:58:41:022,496981,496981,0,0,231111362569,2407151050,493090,2710,1181,382,391809,0 49,2,2024-09-07 08:58:41:809,361598,361598,0,0,13522740,0,3900 49,3,2024-09-07 08:58:41:424,1,282,1,0,408,2930,282,0 50,0,2024-09-07 08:58:41:532,67901,0.3,67319,0.5,135405,0.2,180419,1.75 50,1,2024-09-07 08:58:41:011,498386,498386,0,0,232919228689,2408059344,495247,2829,310,368,391540,0 50,2,2024-09-07 08:58:41:067,355466,355466,0,0,12447175,0,2263 50,3,2024-09-07 08:58:41:293,1,282,0,0,335,2372,282,0 51,0,2024-09-07 08:58:41:693,68734,0.3,67221,0.4,131146,0.2,178370,1.50 51,1,2024-09-07 08:58:41:679,499385,499385,0,0,232858744318,2402177691,496565,1880,940,365,391706,0 51,2,2024-09-07 08:58:41:327,355333,355333,0,0,11759911,0,3337 51,3,2024-09-07 08:58:41:027,1,282,11,0,678,2210,282,0 52,0,2024-09-07 08:58:41:422,72324,0.4,72013,0.6,144283,0.4,191883,2.00 52,1,2024-09-07 08:58:40:577,496792,496792,0,0,231060627877,2420769197,489463,6075,1254,368,391722,0 52,2,2024-09-07 08:58:41:771,354006,353968,38,0,16492289,0,6742 52,3,2024-09-07 08:58:40:676,1,282,17,0,1782,4652,282,0 53,0,2024-09-07 08:58:41:753,73569,0.7,71547,0.8,149564,0.8,195879,2.50 53,1,2024-09-07 08:58:40:774,495594,495594,0,0,230897600566,2424797368,487525,5620,2449,367,391702,0 53,2,2024-09-07 08:58:41:298,360078,360078,0,0,13835207,0,2727 53,3,2024-09-07 08:58:40:697,1,282,1,0,308,2669,282,0 54,0,2024-09-07 08:58:41:621,68309,0.7,68863,0.8,136507,0.5,182674,2.50 54,1,2024-09-07 08:58:40:584,497175,497175,0,0,231614987696,2408930050,492073,4503,599,367,391659,0 54,2,2024-09-07 08:58:40:867,357169,357163,6,0,15786029,0,5382 54,3,2024-09-07 08:58:40:763,1,282,1,0,676,4546,282,0 55,0,2024-09-07 08:58:41:760,66153,0.6,68517,0.8,137864,0.5,179858,2.25 55,1,2024-09-07 08:58:40:769,496568,496568,0,0,231015099010,2406360236,490388,5272,908,365,391731,0 55,2,2024-09-07 08:58:40:736,353961,353961,0,0,15427919,0,3563 55,3,2024-09-07 08:58:40:674,1,282,12,0,304,3040,282,0 56,0,2024-09-07 08:58:41:556,71699,1.1,67612,1.1,139234,1.6,186712,2.50 56,1,2024-09-07 08:58:40:578,494822,494822,0,0,230502137614,2435881777,486996,6292,1534,381,391729,0 56,2,2024-09-07 08:58:41:309,355767,355767,0,0,16470556,0,3567 56,3,2024-09-07 08:58:41:059,1,282,8,0,705,3941,282,0 57,0,2024-09-07 08:58:40:971,74982,1.7,74795,1.3,149833,2.4,200732,3.25 57,1,2024-09-07 08:58:41:002,496420,496420,0,0,231297602151,2423080732,490559,5175,686,367,392032,0 57,2,2024-09-07 08:58:41:329,358088,358088,0,0,17834902,0,3317 57,3,2024-09-07 08:58:41:739,1,282,1,0,359,3591,282,0 58,0,2024-09-07 08:58:40:563,69261,1.0,67370,1.0,141041,1.3,185011,2.50 58,1,2024-09-07 08:58:40:591,497404,497401,0,3,231791758765,2422957238,490609,5903,889,367,391603,3 58,2,2024-09-07 08:58:41:071,359149,359149,0,0,16178045,0,2549 58,3,2024-09-07 08:58:41:068,1,282,10,0,1043,3121,282,0 59,0,2024-09-07 08:58:41:743,68189,0.7,67954,1.0,135563,0.8,180256,2.75 59,1,2024-09-07 08:58:40:809,496408,496408,0,0,231101078790,2419040075,489897,5228,1283,369,391525,0 59,2,2024-09-07 08:58:40:590,355338,355338,0,0,14574665,0,2604 59,3,2024-09-07 08:58:41:737,1,282,12,0,1015,3919,282,0 60,0,2024-09-07 08:58:41:706,68304,0.4,68184,0.6,137521,0.3,182261,1.75 60,1,2024-09-07 08:58:40:772,498583,498583,0,0,232096377171,2403965008,496122,1942,519,370,392031,0 60,2,2024-09-07 08:58:41:156,355826,355826,0,0,15393701,0,3811 60,3,2024-09-07 08:58:41:263,1,282,1,0,175,2814,282,0 61,0,2024-09-07 08:58:41:504,74583,0.5,75054,0.7,149355,0.5,199202,1.75 61,1,2024-09-07 08:58:40:770,496697,496697,0,0,230886131372,2413703289,492025,3965,707,382,391880,0 61,2,2024-09-07 08:58:41:144,357838,357838,0,0,14083234,0,2780 61,3,2024-09-07 08:58:41:689,1,282,1,0,397,3669,282,0 62,0,2024-09-07 08:58:41:708,72251,0.6,73702,0.7,140445,0.6,191419,2.00 62,1,2024-09-07 08:58:41:112,499781,499775,0,6,233113756564,2403892071,496966,2649,160,365,391715,6 62,2,2024-09-07 08:58:41:645,359324,359323,1,0,15431867,0,5555 62,3,2024-09-07 08:58:41:143,1,282,2,0,287,1890,282,0 63,0,2024-09-07 08:58:41:465,68059,0.5,68213,0.6,136337,0.4,181215,1.75 63,1,2024-09-07 08:58:40:819,498591,498585,0,6,231686838032,2397689085,496847,1682,56,381,391677,6 63,2,2024-09-07 08:58:40:761,354882,354882,0,0,12645188,0,2674 63,3,2024-09-07 08:58:41:732,1,282,23,0,667,3142,282,0 64,0,2024-09-07 08:58:41:525,66604,0.4,66507,0.6,133082,0.4,177490,1.75 64,1,2024-09-07 08:58:40:753,497384,497384,0,0,232005556709,2416971875,492843,3125,1416,370,391783,0 64,2,2024-09-07 08:58:41:142,358373,358354,19,0,13631420,0,6121 64,3,2024-09-07 08:58:41:140,1,282,10,0,265,2694,282,0 65,0,2024-09-07 08:58:41:705,72086,0.6,72503,0.7,144565,0.6,193134,2.00 65,1,2024-09-07 08:58:40:884,496217,496217,0,0,231068369866,2415342167,492531,3239,447,382,391901,0 65,2,2024-09-07 08:58:41:694,357545,357545,0,0,15003762,0,3367 65,3,2024-09-07 08:58:41:691,1,282,4,0,163,2718,282,0 66,0,2024-09-07 08:58:41:763,73220,0.5,73048,0.7,146634,0.5,194538,2.00 66,1,2024-09-07 08:58:41:297,497973,497973,0,0,231860865811,2408733834,495117,2611,245,380,391653,0 66,2,2024-09-07 08:58:41:136,362274,362274,0,0,14231870,0,4956 66,3,2024-09-07 08:58:41:079,1,282,1,0,291,2804,282,0 67,0,2024-09-07 08:58:41:420,68504,0.6,67747,0.7,136443,0.6,181341,2.00 67,1,2024-09-07 08:58:40:767,497750,497749,0,1,232399072850,2420483877,493896,3104,749,380,391787,1 67,2,2024-09-07 08:58:40:583,356753,356753,0,0,12992611,0,2889 67,3,2024-09-07 08:58:41:752,1,282,13,0,338,2518,282,0 68,0,2024-09-07 08:58:40:617,68080,0.6,67862,0.7,135483,0.5,180448,2.00 68,1,2024-09-07 08:58:40:597,496309,496309,0,0,230692190527,2417011966,491987,3151,1171,381,391953,0 68,2,2024-09-07 08:58:41:058,353378,353313,65,0,17555321,0,6698 68,3,2024-09-07 08:58:40:741,1,282,1,0,417,3202,282,0 69,0,2024-09-07 08:58:41:745,70764,0.8,71161,0.8,141928,0.9,188763,2.25 69,1,2024-09-07 08:58:41:017,495209,495209,0,0,230169388707,2428710836,488816,4808,1585,384,391994,0 69,2,2024-09-07 08:58:41:734,356016,356016,0,0,17557830,0,3722 69,3,2024-09-07 08:58:40:768,1,282,4,0,698,4124,282,0 70,0,2024-09-07 08:58:41:566,74538,1.0,74642,1.1,149860,0.8,198346,2.50 70,1,2024-09-07 08:58:40:801,498317,498317,0,0,232322911919,2412865477,494058,3723,536,366,391725,0 70,2,2024-09-07 08:58:41:330,358204,358204,0,0,15924021,0,4044 70,3,2024-09-07 08:58:40:745,1,282,94,0,854,3356,282,0 71,0,2024-09-07 08:58:41:361,69202,1.1,69173,1.1,138692,1.3,185464,2.75 71,1,2024-09-07 08:58:41:598,496193,496193,0,0,230692667745,2407206950,490617,4933,643,368,391738,0 71,2,2024-09-07 08:58:41:067,358459,358459,0,0,16165703,0,4042 71,3,2024-09-07 08:58:41:752,1,282,9,0,644,3871,282,0 72,0,2024-09-07 08:58:41:085,70997,0.7,69493,0.8,135317,0.7,184403,2.00 72,1,2024-09-07 08:58:41:022,496675,496675,0,0,230780194563,2413306373,490273,4952,1450,369,391819,0 72,2,2024-09-07 08:58:41:755,353609,353609,0,0,17826821,0,3983 72,3,2024-09-07 08:58:41:757,1,282,13,0,564,4722,282,0 73,0,2024-09-07 08:58:41:102,68000,0.4,69533,0.6,142529,0.3,185138,2.00 73,1,2024-09-07 08:58:40:766,497433,497433,0,0,231174383508,2402116581,494025,3123,285,367,391750,0 73,2,2024-09-07 08:58:41:744,355842,355842,0,0,17414902,0,3701 73,3,2024-09-07 08:58:40:969,1,282,1,0,274,3972,282,0 74,0,2024-09-07 08:58:41:346,76091,0.4,77926,0.6,148730,0.4,201988,2.25 74,1,2024-09-07 08:58:40:635,496893,496893,0,0,231318909662,2414804561,491930,3997,966,381,391681,0 74,2,2024-09-07 08:58:41:001,357078,357078,0,0,16815768,0,4253 74,3,2024-09-07 08:58:41:450,1,282,2,0,522,3649,282,0 75,0,2024-09-07 08:58:41:767,71194,0.5,70844,0.7,141926,0.5,190008,2.25 75,1,2024-09-07 08:58:41:585,496162,496162,0,0,231446718370,2418443766,490951,4547,664,380,391660,0 75,2,2024-09-07 08:58:41:354,359307,359307,0,0,16739007,0,4766 75,3,2024-09-07 08:58:41:078,1,282,12,0,702,4075,282,0 76,0,2024-09-07 08:58:40:588,67909,0.7,67229,0.8,135216,0.6,180894,2.50 76,1,2024-09-07 08:58:40:828,497232,497232,0,0,231664388890,2413778481,494048,2632,552,382,391692,0 76,2,2024-09-07 08:58:41:068,355833,355833,0,0,13618537,0,3784 76,3,2024-09-07 08:58:41:143,1,282,29,0,175,2767,282,0 77,0,2024-09-07 08:58:41:710,67720,0.4,68000,0.7,135850,0.4,180348,1.75 77,1,2024-09-07 08:58:40:835,497591,497591,0,0,231889512590,2417962205,494066,3268,257,381,391869,0 77,2,2024-09-07 08:58:41:304,355398,355398,0,0,14517426,0,3890 77,3,2024-09-07 08:58:41:102,1,282,2,0,382,3007,282,0 78,0,2024-09-07 08:58:41:716,73856,0.4,73314,0.6,147343,0.3,195710,2.00 78,1,2024-09-07 08:58:40:610,497434,497434,0,0,231212811952,2398460633,494258,2857,319,367,391670,0 78,2,2024-09-07 08:58:41:412,357675,357675,0,0,13056938,0,3855 78,3,2024-09-07 08:58:41:134,1,282,1,0,181,2621,282,0 79,0,2024-09-07 08:58:41:347,70123,0.4,71932,0.6,146909,0.4,190888,2.25 79,1,2024-09-07 08:58:40:588,498980,498980,0,0,232153771455,2399720292,495906,2702,372,369,391682,0 79,2,2024-09-07 08:58:41:068,361730,361730,0,0,13125909,0,3212 79,3,2024-09-07 08:58:40:751,1,282,74,0,418,3973,282,0 80,0,2024-09-07 08:58:41:081,67831,0.6,69731,0.7,133567,0.6,180209,2.00 80,1,2024-09-07 08:58:41:634,497006,497006,0,0,230805617868,2400373606,494013,2821,172,368,391791,0 80,2,2024-09-07 08:58:41:092,356134,356134,0,0,13298311,0,4433 80,3,2024-09-07 08:58:40:582,1,282,1,0,190,3686,282,0 81,0,2024-09-07 08:58:41:543,66881,0.5,68723,0.6,131374,0.4,177416,1.75 81,1,2024-09-07 08:58:41:654,496151,496151,0,0,230919805013,2412472342,491979,3697,475,382,391879,0 81,2,2024-09-07 08:58:41:131,355225,355225,0,0,13899466,0,3993 81,3,2024-09-07 08:58:41:122,1,282,4,0,374,3345,282,0 82,0,2024-09-07 08:58:41:555,71591,0.4,72007,0.6,144148,0.3,192017,1.75 82,1,2024-09-07 08:58:40:618,497939,497935,0,4,232041794151,2414388519,494630,2694,611,381,391768,4 82,2,2024-09-07 08:58:41:694,357677,357677,0,0,13153991,0,3986 82,3,2024-09-07 08:58:41:753,1,282,11,0,363,3041,282,0 83,0,2024-09-07 08:58:41:532,74254,0.5,74185,0.7,147392,0.5,196197,2.00 83,1,2024-09-07 08:58:40:560,496853,496853,0,0,231378902785,2410833858,493499,3108,246,382,391709,0 83,2,2024-09-07 08:58:40:767,359545,359545,0,0,13339688,0,3119 83,3,2024-09-07 08:58:40:753,1,282,8,0,250,3123,282,0 84,0,2024-09-07 08:58:41:816,68945,0.8,68800,0.9,137667,0.7,184120,2.25 84,1,2024-09-07 08:58:41:053,496328,496328,0,0,230963453830,2413089692,491381,4362,585,367,391967,0 84,2,2024-09-07 08:58:40:579,357422,357422,0,0,15332263,0,3801 84,3,2024-09-07 08:58:41:142,1,282,2,0,908,4403,282,0 85,0,2024-09-07 08:58:41:016,66175,0.6,66155,0.8,140309,0.6,181424,2.25 85,1,2024-09-07 08:58:40:560,495814,495814,0,0,230852412573,2437145238,488518,6177,1119,381,392006,0 85,2,2024-09-07 08:58:40:881,355549,355549,0,0,16343621,0,3656 85,3,2024-09-07 08:58:40:708,1,282,1,0,789,3750,282,0 86,0,2024-09-07 08:58:40:902,70128,0.6,72123,0.7,138010,0.6,186616,2.00 86,1,2024-09-07 08:58:40:831,496896,496896,0,0,231430503562,2420035656,491402,4662,832,366,391961,0 86,2,2024-09-07 08:58:40:856,354787,354786,1,0,17300515,0,5004 86,3,2024-09-07 08:58:40:611,1,282,2,0,286,3998,282,0 87,0,2024-09-07 08:58:41:294,75607,1.0,75672,1.0,151849,1.5,202474,2.25 87,1,2024-09-07 08:58:40:554,496550,496550,0,0,230871145462,2414330727,491652,4404,494,366,392076,0 87,2,2024-09-07 08:58:41:070,357473,357473,0,0,15526596,0,4045 87,3,2024-09-07 08:58:41:798,1,282,23,0,473,4112,282,0 88,0,2024-09-07 08:58:41:448,70512,0.5,70876,0.6,141432,0.5,188215,2.00 88,1,2024-09-07 08:58:40:577,495107,495107,0,0,230267097798,2417497215,487874,5582,1651,365,392084,0 88,2,2024-09-07 08:58:40:695,359253,359253,0,0,17481243,0,3583 88,3,2024-09-07 08:58:41:272,1,282,2,0,435,3343,282,0 89,0,2024-09-07 08:58:41:771,70566,0.5,68206,0.7,135371,0.4,183583,1.75 89,1,2024-09-07 08:58:40:554,495067,495067,0,0,231667709055,2441144681,487790,6012,1265,382,391866,0 89,2,2024-09-07 08:58:41:131,353348,353348,0,0,16633404,0,2910 89,3,2024-09-07 08:58:41:791,1,282,1,0,385,5625,282,0 90,0,2024-09-07 08:58:41:634,66427,0.4,67893,0.6,139026,0.4,181649,1.75 90,1,2024-09-07 08:58:40:603,496547,496547,0,0,230732874960,2417873276,491793,4432,322,381,391825,0 90,2,2024-09-07 08:58:41:428,354179,354179,0,0,17955251,0,3060 90,3,2024-09-07 08:58:40:939,1,282,23,0,200,2891,282,0 91,0,2024-09-07 08:58:41:117,74822,0.4,72652,0.6,151624,0.4,199263,1.75 91,1,2024-09-07 08:58:40:569,495103,495103,0,0,231219434012,2432131717,488531,5685,887,381,392047,0 91,2,2024-09-07 08:58:41:347,356858,356858,0,0,16122975,0,2896 91,3,2024-09-07 08:58:40:601,1,282,11,0,216,2586,282,0 92,0,2024-09-07 08:58:41:452,72298,0.5,74137,0.6,141553,0.5,191805,1.75 92,1,2024-09-07 08:58:40:592,496963,496963,0,0,230977928210,2406527074,494211,2343,409,382,392136,0 92,2,2024-09-07 08:58:41:351,362097,362097,0,0,13626817,0,2801 92,3,2024-09-07 08:58:41:008,1,282,29,0,167,2252,282,0 93,0,2024-09-07 08:58:40:970,68454,0.4,70174,0.6,134069,0.3,181804,1.75 93,1,2024-09-07 08:58:40:809,497223,497223,0,0,230981647919,2408238847,492653,3780,790,366,391776,0 93,2,2024-09-07 08:58:40:933,354660,354660,0,0,14433034,0,2797 93,3,2024-09-07 08:58:41:406,1,282,15,0,190,2830,282,0 94,0,2024-09-07 08:58:41:660,66620,0.3,67427,0.5,134445,0.3,178227,1.75 94,1,2024-09-07 08:58:40:566,496574,496574,0,0,231205948814,2413570870,493143,3249,182,381,391850,0 94,2,2024-09-07 08:58:40:782,355213,355213,0,0,13571136,0,2443 94,3,2024-09-07 08:58:41:694,1,282,1,0,264,3425,282,0 95,0,2024-09-07 08:58:41:369,72733,0.3,72622,0.5,145984,0.3,193978,1.50 95,1,2024-09-07 08:58:40:851,497424,497424,0,0,231472653534,2404055672,493717,3330,377,367,391713,0 95,2,2024-09-07 08:58:41:020,356503,356503,0,0,13919104,0,3308 95,3,2024-09-07 08:58:41:753,1,282,83,0,718,4772,282,0 96,0,2024-09-07 08:58:41:024,73736,0.4,73900,0.5,147623,0.3,195120,1.75 96,1,2024-09-07 08:58:41:585,496651,496651,0,0,231400480972,2414716714,493013,2903,735,384,391894,0 96,2,2024-09-07 08:58:41:274,360495,360495,0,0,14533911,0,4042 96,3,2024-09-07 08:58:41:140,1,282,7,0,411,3180,282,0 97,0,2024-09-07 08:58:41:315,68297,0.3,67979,0.5,136559,0.3,181148,1.75 97,1,2024-09-07 08:58:40:764,498676,498676,0,0,232348604335,2407456963,495620,2497,559,367,392140,0 97,2,2024-09-07 08:58:40:606,356513,356513,0,0,13628541,0,3036 97,3,2024-09-07 08:58:40:576,1,282,2,0,214,3172,282,0 98,0,2024-09-07 08:58:41:733,67981,0.3,67887,0.4,136382,0.2,180549,1.50 98,1,2024-09-07 08:58:40:586,498055,498055,0,0,231549453965,2407460839,495893,2061,101,382,391997,0 98,2,2024-09-07 08:58:40:779,355122,355122,0,0,13884300,0,3080 98,3,2024-09-07 08:58:40:706,1,282,2,0,840,4837,282,0 99,0,2024-09-07 08:58:41:481,71218,0.3,71572,0.4,142465,0.2,190507,1.50 99,1,2024-09-07 08:58:41:762,497442,497442,0,0,230920721686,2404862593,493627,3073,742,381,392069,0 99,2,2024-09-07 08:58:41:441,358574,358574,0,0,14766379,0,3106 99,3,2024-09-07 08:58:40:590,1,282,20,0,187,2317,282,0 100,0,2024-09-07 08:58:41:507,74934,0.8,74913,1.0,149994,1.1,200205,2.50 100,1,2024-09-07 08:58:40:565,494026,494026,0,0,229687534316,2428568849,486501,6048,1477,381,391989,0 100,2,2024-09-07 08:58:41:824,357248,357237,11,0,16506308,0,5417 100,3,2024-09-07 08:58:41:732,1,282,2,0,559,5042,282,0 101,0,2024-09-07 08:58:41:702,71283,1.4,69409,1.1,136253,1.2,187157,2.50 101,1,2024-09-07 08:58:40:557,494567,494567,0,0,230398193563,2428710420,486230,6358,1979,368,391769,0 101,2,2024-09-07 08:58:41:765,356541,356541,0,0,18265369,0,4644 101,3,2024-09-07 08:58:40:949,1,282,0,0,448,3374,282,0 102,0,2024-09-07 08:58:41:003,67027,0.7,69448,0.8,139798,0.6,183534,2.00 102,1,2024-09-07 08:58:41:204,495528,495528,0,0,230662584413,2423909277,489104,5518,906,369,391883,0 102,2,2024-09-07 08:58:41:737,355445,355391,54,0,15891104,0,6768 102,3,2024-09-07 08:58:41:635,1,282,2,0,410,2999,282,0 103,0,2024-09-07 08:58:41:608,71686,0.5,71658,0.7,135319,0.4,186511,1.75 103,1,2024-09-07 08:58:41:646,494922,494922,0,0,230796771210,2434146221,487487,5816,1619,381,391829,0 103,2,2024-09-07 08:58:40:594,354745,354745,0,0,15632302,0,2582 103,3,2024-09-07 08:58:40:761,1,282,8,0,916,3729,282,0 104,0,2024-09-07 08:58:41:003,75023,0.7,75520,0.9,149579,0.6,201938,2.25 104,1,2024-09-07 08:58:41:599,496479,496479,0,0,230607884451,2425049784,489183,6122,1174,365,392168,0 104,2,2024-09-07 08:58:41:666,356243,356243,0,0,17531407,0,3941 104,3,2024-09-07 08:58:41:419,1,282,0,0,1245,6693,282,0 105,0,2024-09-07 08:58:41:044,70237,1.0,68326,1.2,142833,1.3,188426,3.25 105,1,2024-09-07 08:58:40:559,496480,496480,0,0,230979571552,2424590098,489530,5939,1011,366,391797,0 105,2,2024-09-07 08:58:41:328,359644,359644,0,0,16836768,0,3509 105,3,2024-09-07 08:58:41:305,1,282,0,0,399,4568,282,0 106,0,2024-09-07 08:58:40:983,65828,0.9,67425,1.0,138066,1.0,180316,2.75 106,1,2024-09-07 08:58:41:774,496017,496017,0,0,229965547285,2419057446,487875,7144,998,369,391865,0 106,2,2024-09-07 08:58:40:761,352659,352659,0,0,15733969,0,2795 106,3,2024-09-07 08:58:40:689,1,282,5,0,470,3988,282,0 107,0,2024-09-07 08:58:41:133,67670,0.7,67626,0.8,135174,0.7,180175,2.00 107,1,2024-09-07 08:58:40:591,494781,494781,0,0,230028729058,2421927952,488481,5614,686,381,392234,0 107,2,2024-09-07 08:58:41:298,354322,354321,1,0,16926846,0,5024 107,3,2024-09-07 08:58:41:756,1,282,151,0,353,3833,282,0 108,0,2024-09-07 08:58:41:768,73511,0.4,73880,0.5,146752,0.3,196419,1.75 108,1,2024-09-07 08:58:41:304,496965,496965,0,0,231332063416,2407603917,493729,2849,387,368,391857,0 108,2,2024-09-07 08:58:41:757,356096,356096,0,0,15175960,0,2647 108,3,2024-09-07 08:58:41:333,1,282,1,0,749,5697,282,0 109,0,2024-09-07 08:58:41:757,72857,0.4,72058,0.5,144573,0.3,192979,1.75 109,1,2024-09-07 08:58:40:607,495267,495267,0,0,232081682040,2431341960,491316,3433,518,383,392132,0 109,2,2024-09-07 08:58:40:924,358907,358907,0,0,15561537,0,3617 109,3,2024-09-07 08:58:41:148,1,282,12,0,249,3175,282,0 110,0,2024-09-07 08:58:41:793,67930,0.4,66082,0.6,138398,0.3,180920,1.75 110,1,2024-09-07 08:58:41:645,498342,498342,0,0,231801125330,2404263363,495153,2309,880,369,392045,0 110,2,2024-09-07 08:58:41:306,355703,355703,0,0,14553865,0,3264 110,3,2024-09-07 08:58:40:708,1,282,1,0,406,3564,282,0 111,0,2024-09-07 08:58:41:428,67357,0.3,66771,0.5,133677,0.3,178824,1.75 111,1,2024-09-07 08:58:41:005,498418,498418,0,0,232487462871,2407894757,496406,1676,336,382,391690,0 111,2,2024-09-07 08:58:41:122,354849,354849,0,0,13990888,0,2763 111,3,2024-09-07 08:58:40:927,1,282,30,0,379,3671,282,0 112,0,2024-09-07 08:58:40:910,72196,0.3,71860,0.4,143966,0.2,192002,1.50 112,1,2024-09-07 08:58:40:827,498461,498461,0,0,231927733889,2403786161,495856,2170,435,380,391624,0 112,2,2024-09-07 08:58:41:133,356747,356746,1,0,14161351,0,5036 112,3,2024-09-07 08:58:40:592,1,282,1,0,282,2787,282,0 113,0,2024-09-07 08:58:40:889,73881,0.3,73943,0.5,148535,0.2,197628,1.50 113,1,2024-09-07 08:58:41:686,499338,499338,0,0,232734634419,2399597568,496830,2018,490,366,391661,0 113,2,2024-09-07 08:58:41:315,360975,360975,0,0,12747335,0,3813 113,3,2024-09-07 08:58:40:688,1,282,0,0,340,3797,282,0 114,0,2024-09-07 08:58:40:877,69788,0.4,70220,0.5,139492,0.3,186140,1.75 114,1,2024-09-07 08:58:40:722,497473,497473,0,0,232021178354,2413237707,492644,3240,1589,381,391556,0 114,2,2024-09-07 08:58:40:881,357515,357514,1,0,13912608,0,5069 114,3,2024-09-07 08:58:41:278,1,282,2,0,395,2816,282,0 115,0,2024-09-07 08:58:40:610,68611,0.3,68905,0.4,137985,0.2,183530,1.50 115,1,2024-09-07 08:58:40:577,497500,497500,0,0,231231717216,2403530351,493094,3569,837,382,391639,0 115,2,2024-09-07 08:58:41:126,355908,355908,0,0,12208500,0,2346 115,3,2024-09-07 08:58:41:003,1,282,9,0,159,1643,282,0 116,0,2024-09-07 08:58:41:744,69948,0.8,69818,0.9,139694,0.8,187414,2.00 116,1,2024-09-07 08:58:40:810,494848,494848,0,0,230218628732,2431915767,488295,4496,2057,380,391782,0 116,2,2024-09-07 08:58:41:753,354775,354775,0,0,17995987,0,3529 116,3,2024-09-07 08:58:40:912,1,282,1,0,415,3886,282,0 117,0,2024-09-07 08:58:40:971,76273,0.9,75956,0.9,151725,1.1,202857,2.00 117,1,2024-09-07 08:58:41:578,495817,495817,0,0,230624993053,2414597962,490453,4814,550,370,392033,0 117,2,2024-09-07 08:58:41:123,360008,360008,0,0,14714781,0,3700 117,3,2024-09-07 08:58:41:062,1,282,1,0,490,4362,282,0 118,0,2024-09-07 08:58:41:884,68522,0.6,70489,0.7,143368,0.5,187530,2.00 118,1,2024-09-07 08:58:40:597,495962,495962,0,0,230631441574,2425637312,488611,5527,1824,366,391736,0 118,2,2024-09-07 08:58:41:594,358489,358489,0,0,15797568,0,2781 118,3,2024-09-07 08:58:41:779,1,282,39,0,235,3157,282,0 119,0,2024-09-07 08:58:41:346,68117,0.8,68580,0.8,137188,0.9,182546,2.25 119,1,2024-09-07 08:58:40:558,497053,497053,0,0,231415526675,2419523872,491592,4794,667,367,391780,0 119,2,2024-09-07 08:58:41:262,354647,354647,0,0,14817152,0,4174 119,3,2024-09-07 08:58:41:327,1,282,9,0,443,3884,282,0 120,0,2024-09-07 08:58:41:568,68039,0.5,67835,0.7,135935,0.5,182116,2.00 120,1,2024-09-07 08:58:40:866,496368,496368,0,0,230860727099,2421241823,491103,4848,417,368,391961,0 120,2,2024-09-07 08:58:40:773,355083,355082,1,0,18040819,0,5281 120,3,2024-09-07 08:58:41:293,1,282,2,0,241,3424,282,0 121,0,2024-09-07 08:58:41:738,74652,0.8,74708,0.9,149827,1.0,199361,2.00 121,1,2024-09-07 08:58:41:704,496477,496477,0,0,231433562185,2422600431,491192,4857,428,367,391840,0 121,2,2024-09-07 08:58:41:124,355990,355990,0,0,17298259,0,4127 121,3,2024-09-07 08:58:40:731,1,282,151,0,269,3454,282,0 122,0,2024-09-07 08:58:41:766,71492,0.8,69672,0.9,146049,0.9,191820,2.00 122,1,2024-09-07 08:58:40:859,495511,495511,0,0,231094175825,2426782905,488333,6103,1075,366,392130,0 122,2,2024-09-07 08:58:41:321,359403,359330,73,0,19666715,0,5989 122,3,2024-09-07 08:58:40:606,1,282,10,0,411,5682,282,0 123,0,2024-09-07 08:58:41:003,67854,0.8,66319,0.8,138244,1.0,180969,2.25 123,1,2024-09-07 08:58:40:558,495831,495831,0,0,230548600210,2431900573,486314,7613,1904,369,392039,0 123,2,2024-09-07 08:58:41:028,352414,352413,1,0,16126460,0,5215 123,3,2024-09-07 08:58:41:136,1,282,40,0,168,3261,282,0 124,0,2024-09-07 08:58:40:920,69036,0.3,69040,0.5,130198,0.3,178969,1.50 124,1,2024-09-07 08:58:41:031,498148,498148,0,0,231525167787,2403560225,495223,2559,366,367,392178,0 124,2,2024-09-07 08:58:41:009,355737,355737,0,0,13290811,0,3101 124,3,2024-09-07 08:58:40:761,1,282,12,0,490,2970,282,0 125,0,2024-09-07 08:58:41:421,72889,0.3,72572,0.5,145872,0.3,194185,1.75 125,1,2024-09-07 08:58:40:855,496099,496099,0,0,231366386412,2411379911,492904,2795,400,383,391702,0 125,2,2024-09-07 08:58:41:125,357102,357102,0,0,14891700,0,4534 125,3,2024-09-07 08:58:41:126,1,282,0,0,709,3823,282,0 126,0,2024-09-07 08:58:41:492,73677,0.5,75634,0.6,144652,0.4,195999,1.75 126,1,2024-09-07 08:58:40:556,498243,498243,0,0,231935232447,2401237119,495514,2465,264,365,391987,0 126,2,2024-09-07 08:58:40:610,361956,361956,0,0,14788984,0,3186 126,3,2024-09-07 08:58:40:911,1,282,4,0,207,3803,282,0 127,0,2024-09-07 08:58:41:597,67947,0.4,68348,0.5,136249,0.3,181178,1.75 127,1,2024-09-07 08:58:40:595,497955,497955,0,0,231303404147,2394555424,494979,2823,153,365,391816,0 127,2,2024-09-07 08:58:40:655,356419,356419,0,0,13068579,0,2264 127,3,2024-09-07 08:58:41:272,1,282,7,0,968,3156,282,0 128,0,2024-09-07 08:58:41:531,68307,0.3,68294,0.4,136549,0.2,181043,1.50 128,1,2024-09-07 08:58:41:609,497413,497413,0,0,231772353548,2402819312,495008,2247,158,367,391798,0 128,2,2024-09-07 08:58:41:387,356045,356045,0,0,13146865,0,2434 128,3,2024-09-07 08:58:40:775,1,282,1,0,1082,5490,282,0 129,0,2024-09-07 08:58:40:995,71724,0.3,71425,0.4,142898,0.2,190169,1.50 129,1,2024-09-07 08:58:40:579,494884,494884,0,0,230214093122,2410191717,490645,3307,932,379,391835,0 129,2,2024-09-07 08:58:40:707,357038,357038,0,0,14085652,0,4031 129,3,2024-09-07 08:58:40:721,1,282,7,0,469,3821,282,0 130,0,2024-09-07 08:58:41:725,75832,0.6,75462,0.6,151503,0.6,201298,1.75 130,1,2024-09-07 08:58:40:592,497507,497507,0,0,231439983840,2405212817,495056,2372,79,381,391825,0 130,2,2024-09-07 08:58:41:125,360057,360057,0,0,13809395,0,4067 130,3,2024-09-07 08:58:41:294,1,282,11,0,450,3713,282,0 131,0,2024-09-07 08:58:41:925,69759,0.4,70275,0.5,141007,0.3,186929,1.75 131,1,2024-09-07 08:58:41:823,497923,497923,0,0,231490966973,2412052013,494775,2686,462,381,391865,0 131,2,2024-09-07 08:58:40:571,360256,360256,0,0,12691837,0,2415 131,3,2024-09-07 08:58:41:690,1,282,7,0,392,2930,282,0 132,0,2024-09-07 08:58:41:459,68538,0.5,69473,0.6,138301,0.4,184057,2.00 132,1,2024-09-07 08:58:40:588,494696,494696,0,0,229869891333,2425778005,486614,6623,1459,381,392097,0 132,2,2024-09-07 08:58:40:705,354627,354627,0,0,16570715,0,4606 132,3,2024-09-07 08:58:41:697,1,282,4,0,356,3777,282,0 133,0,2024-09-07 08:58:41:534,68001,0.4,69450,0.5,142338,0.3,185137,1.75 133,1,2024-09-07 08:58:40:591,495366,495366,0,0,230486059706,2428679387,488753,5652,961,383,391914,0 133,2,2024-09-07 08:58:41:094,354749,354749,0,0,18026214,0,4315 133,3,2024-09-07 08:58:41:297,1,282,3,0,276,2768,282,0 134,0,2024-09-07 08:58:40:956,75703,0.5,75717,0.6,151423,0.4,202117,2.00 134,1,2024-09-07 08:58:40:591,495307,495307,0,0,230317188669,2419497397,488371,5459,1477,366,391718,0 134,2,2024-09-07 08:58:41:756,357101,357101,0,0,15297724,0,3847 134,3,2024-09-07 08:58:40:761,1,282,2,0,739,3999,282,0 135,0,2024-09-07 08:58:41:156,68621,0.8,68595,0.9,145655,0.9,188228,2.25 135,1,2024-09-07 08:58:41:595,495206,495206,0,0,231036125951,2432579229,487963,6053,1190,380,391805,0 135,2,2024-09-07 08:58:40:702,360279,360279,0,0,16775882,0,3981 135,3,2024-09-07 08:58:41:004,1,282,10,0,299,2123,282,0 136,0,2024-09-07 08:58:41:622,68642,0.6,68616,0.7,136717,0.6,182391,2.25 136,1,2024-09-07 08:58:41:461,495423,495423,0,0,230358767258,2422643058,489185,5595,643,382,391685,0 136,2,2024-09-07 08:58:41:154,354276,354276,0,0,16022280,0,3506 136,3,2024-09-07 08:58:41:106,1,282,301,0,301,2833,282,0 137,0,2024-09-07 08:58:40:930,69781,0.6,67764,0.7,133482,0.5,181356,2.00 137,1,2024-09-07 08:58:40:581,495316,495316,0,0,230852670055,2423721707,487290,6372,1654,366,391708,0 137,2,2024-09-07 08:58:41:706,354243,354243,0,0,18110605,0,3185 137,3,2024-09-07 08:58:40:769,1,282,3,0,382,3401,282,0 138,0,2024-09-07 08:58:41:764,72883,1.1,73125,1.0,146787,1.5,195753,2.25 138,1,2024-09-07 08:58:41:696,495332,495332,0,0,231357633824,2431518187,487304,6467,1561,368,391954,0 138,2,2024-09-07 08:58:40:590,356491,356491,0,0,16651354,0,4988 138,3,2024-09-07 08:58:40:610,1,282,16,0,1160,4099,282,0 139,0,2024-09-07 08:58:41:369,71336,1.6,71660,1.2,143354,2.3,191319,2.50 139,1,2024-09-07 08:58:40:578,493632,493632,0,0,229203443724,2438465156,483678,7435,2519,381,391892,0 139,2,2024-09-07 08:58:40:708,358162,358162,0,0,17429737,0,3097 139,3,2024-09-07 08:58:41:663,1,282,13,0,257,3156,282,0 140,0,2024-09-07 08:58:41:593,68235,0.3,67757,0.5,136273,0.2,181235,1.75 140,1,2024-09-07 08:58:41:545,499136,499136,0,0,232074244642,2389941965,497143,1750,243,365,391606,0 140,2,2024-09-07 08:58:40:686,355828,355828,0,0,13235794,0,3388 140,3,2024-09-07 08:58:40:767,1,282,1,0,247,2322,282,0 141,0,2024-09-07 08:58:41:703,67083,0.3,68938,0.4,131853,0.2,178678,1.50 141,1,2024-09-07 08:58:40:859,498584,498584,0,0,231791428573,2402533163,495983,2193,408,379,391614,0 141,2,2024-09-07 08:58:41:694,355528,355528,0,0,13133059,0,2342 141,3,2024-09-07 08:58:41:045,1,282,4,0,202,2494,282,0 142,0,2024-09-07 08:58:41:353,72595,0.3,71956,0.4,143405,0.2,192339,1.50 142,1,2024-09-07 08:58:40:604,497335,497335,0,0,230489418714,2401794576,494334,2708,293,382,392102,0 142,2,2024-09-07 08:58:41:310,356692,356660,32,0,14987961,0,6028 142,3,2024-09-07 08:58:41:752,1,282,15,0,484,3717,282,0 143,0,2024-09-07 08:58:41:400,74237,0.5,74210,0.6,148802,0.5,197643,1.75 143,1,2024-09-07 08:58:40:559,498105,498105,0,0,231565198799,2398977279,495336,2672,97,367,391651,0 143,2,2024-09-07 08:58:40:770,359956,359956,0,0,14123164,0,2669 143,3,2024-09-07 08:58:41:149,1,282,10,0,303,3538,282,0 144,0,2024-09-07 08:58:41:520,67161,0.6,69025,0.8,140681,0.5,184291,2.00 144,1,2024-09-07 08:58:40:570,495151,495151,0,0,230560566887,2417698631,490986,3237,928,381,391649,0 144,2,2024-09-07 08:58:41:755,357974,357974,0,0,13316270,0,3473 144,3,2024-09-07 08:58:41:740,1,282,5,0,249,3079,282,0 145,0,2024-09-07 08:58:41:454,66267,0.5,66260,0.8,140640,0.5,181390,2.25 145,1,2024-09-07 08:58:40:574,494873,494873,0,0,230279962602,2420268534,489015,5033,825,382,391698,0 145,2,2024-09-07 08:58:41:444,353781,353781,0,0,15979256,0,3903 145,3,2024-09-07 08:58:40:898,1,282,1,0,622,4225,282,0 146,0,2024-09-07 08:58:41:623,70140,0.5,69595,0.7,140146,0.5,186522,2.00 146,1,2024-09-07 08:58:41:585,495964,495964,0,0,230720795618,2429306716,487297,6773,1894,368,391770,0 146,2,2024-09-07 08:58:41:695,355405,355405,0,0,16255364,0,2730 146,3,2024-09-07 08:58:41:278,1,282,7,0,1520,6129,282,0 147,0,2024-09-07 08:58:41:749,76036,0.6,75842,0.8,150918,0.6,202328,2.00 147,1,2024-09-07 08:58:41:378,497818,497818,0,0,231778899739,2408332023,493702,3529,587,368,391791,0 147,2,2024-09-07 08:58:41:015,359377,359377,0,0,13669957,0,2789 147,3,2024-09-07 08:58:40:913,1,282,9,0,730,4145,282,0 0,0,2024-09-07 08:58:51:753,66622,0.5,66675,0.7,141367,0.4,183559,1.75 0,1,2024-09-07 08:58:50:808,498301,498301,0,0,232211491269,2427325722,494671,3421,209,370,391896,0 0,2,2024-09-07 08:58:51:074,356934,356934,0,0,14077286,0,4480 0,3,2024-09-07 08:58:50:987,1,283,16,0,319,3602,283,0 1,0,2024-09-07 08:58:51:876,75170,0.7,74554,0.8,150153,0.8,200012,2.00 1,1,2024-09-07 08:58:50:668,498022,498022,0,0,230929533670,2417469266,493451,3517,1054,370,391857,0 1,2,2024-09-07 08:58:50:639,357808,357808,0,0,13365189,0,3267 1,3,2024-09-07 08:58:51:306,1,283,9,0,262,3309,283,0 2,0,2024-09-07 08:58:51:567,72126,0.7,72370,0.8,143339,0.9,192386,2.00 2,1,2024-09-07 08:58:50:880,499276,499276,0,0,233172952178,2420388527,497075,1981,220,380,391745,0 2,2,2024-09-07 08:58:51:267,362022,362022,0,0,13680490,0,3594 2,3,2024-09-07 08:58:50:698,1,283,1,0,357,2574,283,0 3,0,2024-09-07 08:58:51:746,68179,0.4,68169,0.6,136211,0.4,181167,2.00 3,1,2024-09-07 08:58:51:620,498189,498189,0,0,231526533967,2409090065,494342,3385,462,380,391556,0 3,2,2024-09-07 08:58:51:144,355574,355551,23,0,13818090,0,5851 3,3,2024-09-07 08:58:51:752,1,283,8,0,103,1697,283,0 4,0,2024-09-07 08:58:51:768,65191,0.3,67137,0.5,136469,0.3,179101,1.75 4,1,2024-09-07 08:58:50:616,497704,497704,0,0,231141101765,2430017408,492126,4575,1003,371,391992,0 4,2,2024-09-07 08:58:51:027,354845,354845,0,0,16891258,0,4528 4,3,2024-09-07 08:58:51:034,1,283,0,0,448,4213,283,0 5,0,2024-09-07 08:58:51:440,73106,0.4,73397,0.5,145961,0.4,194878,1.75 5,1,2024-09-07 08:58:50:761,497642,497642,0,0,231761852435,2437632608,491389,4946,1307,368,392005,0 5,2,2024-09-07 08:58:51:836,356943,356943,0,0,15635462,0,2432 5,3,2024-09-07 08:58:51:742,1,283,13,0,457,4261,283,0 6,0,2024-09-07 08:58:50:926,74024,0.5,73526,0.7,146833,0.5,196079,2.00 6,1,2024-09-07 08:58:50:748,497983,497983,0,0,231527573708,2419391751,492644,4412,927,379,391694,0 6,2,2024-09-07 08:58:51:118,361493,361493,0,0,15260715,0,4816 6,3,2024-09-07 08:58:51:279,1,283,2,0,340,3268,283,0 7,0,2024-09-07 08:58:51:533,67864,0.5,68145,0.6,135782,0.5,180507,2.00 7,1,2024-09-07 08:58:50:855,497253,497253,0,0,231254944858,2427849062,490596,5547,1110,382,391747,0 7,2,2024-09-07 08:58:50:776,356086,356086,0,0,14868386,0,4791 7,3,2024-09-07 08:58:50:861,1,283,8,0,398,3328,283,0 8,0,2024-09-07 08:58:51:341,68137,0.4,67892,0.5,135763,0.3,180724,1.75 8,1,2024-09-07 08:58:51:026,496542,496542,0,0,231148529667,2439294203,487189,7141,2212,366,392144,0 8,2,2024-09-07 08:58:50:807,352357,352357,0,0,18360208,0,3220 8,3,2024-09-07 08:58:50:589,1,283,24,0,538,5077,283,0 9,0,2024-09-07 08:58:51:142,71732,0.3,69572,0.5,145417,0.3,190940,1.75 9,1,2024-09-07 08:58:50:560,496659,496659,0,0,231549884597,2439020235,489768,5342,1549,370,392001,0 9,2,2024-09-07 08:58:51:083,357071,357071,0,0,16263187,0,3360 9,3,2024-09-07 08:58:51:756,1,283,10,0,496,4413,283,0 10,0,2024-09-07 08:58:51:650,75430,0.3,74883,0.5,150502,0.3,200243,1.75 10,1,2024-09-07 08:58:50:584,497211,497211,0,0,231928349877,2432199668,490027,6197,987,381,391741,0 10,2,2024-09-07 08:58:50:762,359920,359920,0,0,17782688,0,4264 10,3,2024-09-07 08:58:50:884,1,283,0,0,177,2297,283,0 11,0,2024-09-07 08:58:51:034,69627,0.5,67629,0.7,141471,0.4,187341,1.75 11,1,2024-09-07 08:58:50:576,497943,497943,0,0,231641437454,2436388096,488946,6770,2227,383,391573,0 11,2,2024-09-07 08:58:51:130,358553,358553,0,0,16226156,0,4130 11,3,2024-09-07 08:58:51:299,1,283,13,0,843,4492,283,0 12,0,2024-09-07 08:58:50:991,69541,0.3,69468,0.5,138968,0.3,184831,1.75 12,1,2024-09-07 08:58:50:946,498185,498185,0,0,231737529382,2415072171,494348,3370,467,370,391870,0 12,2,2024-09-07 08:58:51:543,356430,356430,0,0,15216505,0,3469 12,3,2024-09-07 08:58:51:064,1,283,5,0,386,4641,283,0 13,0,2024-09-07 08:58:51:347,70233,0.3,70123,0.5,140187,0.3,186441,1.50 13,1,2024-09-07 08:58:51:530,498089,498089,0,0,231174471113,2422783941,494503,3044,542,382,391740,0 13,2,2024-09-07 08:58:50:611,358100,358100,0,0,14203335,0,3287 13,3,2024-09-07 08:58:51:762,1,283,522,0,522,4669,283,0 14,0,2024-09-07 08:58:50:573,76356,0.4,77011,0.6,152202,0.3,203339,1.75 14,1,2024-09-07 08:58:51:577,501015,501015,0,0,233200821585,2411950107,497890,2929,196,364,391571,0 14,2,2024-09-07 08:58:50:772,358723,358723,0,0,14247041,0,2896 14,3,2024-09-07 08:58:51:137,1,283,99,0,1168,3888,283,0 15,0,2024-09-07 08:58:51:557,71279,0.5,71394,0.7,142517,0.5,189928,2.00 15,1,2024-09-07 08:58:51:608,499213,499213,0,0,232060660238,2411539561,496515,2520,178,381,391619,0 15,2,2024-09-07 08:58:51:009,362522,362522,0,0,12321167,0,3043 15,3,2024-09-07 08:58:51:409,1,283,21,0,1126,5544,283,0 16,0,2024-09-07 08:58:50:949,68545,0.6,68761,0.8,136978,0.7,182356,2.25 16,1,2024-09-07 08:58:50:568,499291,499291,0,0,232194881119,2424049852,495629,3360,302,370,391756,0 16,2,2024-09-07 08:58:51:447,355409,355409,0,0,14720418,0,4719 16,3,2024-09-07 08:58:51:143,1,283,19,0,317,3992,283,0 17,0,2024-09-07 08:58:51:863,70044,0.4,68426,0.7,133618,0.4,181721,1.75 17,1,2024-09-07 08:58:50:590,497681,497681,0,0,230869404397,2422096348,492603,3972,1106,368,391899,0 17,2,2024-09-07 08:58:51:670,359104,359104,0,0,14434101,0,2857 17,3,2024-09-07 08:58:50:591,1,283,32,0,268,4502,283,0 18,0,2024-09-07 08:58:50:944,73228,0.6,73729,0.7,146860,0.5,196339,2.25 18,1,2024-09-07 08:58:51:644,499083,499083,0,0,232552021946,2408713065,496344,2497,242,367,391649,0 18,2,2024-09-07 08:58:51:756,359576,359576,0,0,13892171,0,3541 18,3,2024-09-07 08:58:50:905,1,283,4,0,163,2640,283,0 19,0,2024-09-07 08:58:51:545,71988,0.6,72536,0.8,143949,0.6,191430,2.00 19,1,2024-09-07 08:58:50:570,499216,499216,0,0,232569603463,2413721265,494543,3886,787,367,391777,0 19,2,2024-09-07 08:58:51:763,363374,363374,0,0,12713898,0,3988 19,3,2024-09-07 08:58:51:129,1,283,12,0,524,2147,283,0 20,0,2024-09-07 08:58:51:369,67774,0.6,67817,0.7,135896,0.6,180967,2.25 20,1,2024-09-07 08:58:50:571,497538,497538,0,0,231742435712,2423458490,493549,3589,400,369,391886,0 20,2,2024-09-07 08:58:50:929,356477,356477,0,0,14508198,0,3721 20,3,2024-09-07 08:58:50:601,1,283,3,0,414,4875,283,0 21,0,2024-09-07 08:58:51:174,67313,0.5,67396,0.6,134610,0.4,178309,2.00 21,1,2024-09-07 08:58:51:554,496186,496186,0,0,230676022840,2430399159,489460,5101,1625,368,392016,0 21,2,2024-09-07 08:58:51:067,355040,355040,0,0,17219454,0,3747 21,3,2024-09-07 08:58:51:409,1,283,11,0,103,2902,283,0 22,0,2024-09-07 08:58:51:730,72177,0.5,72398,0.7,144596,0.4,192455,2.00 22,1,2024-09-07 08:58:51:036,497473,497473,0,0,231130447593,2431886405,489858,5948,1667,382,391667,0 22,2,2024-09-07 08:58:50:772,357248,357248,0,0,14759736,0,3134 22,3,2024-09-07 08:58:51:072,1,283,2,0,228,2541,283,0 23,0,2024-09-07 08:58:51:369,73869,0.6,73766,0.7,147826,0.6,196689,2.25 23,1,2024-09-07 08:58:51:005,498481,498481,0,0,231682503406,2432104338,490514,5300,2667,365,391690,0 23,2,2024-09-07 08:58:51:105,361645,361645,0,0,14105585,0,3010 23,3,2024-09-07 08:58:51:765,1,283,8,0,645,3509,283,0 24,0,2024-09-07 08:58:50:835,69986,0.4,69838,0.6,140188,0.4,185766,1.75 24,1,2024-09-07 08:58:50:591,497410,497410,0,0,230918192028,2424073523,491203,4685,1522,368,391987,0 24,2,2024-09-07 08:58:51:071,356551,356551,0,0,17266352,0,3607 24,3,2024-09-07 08:58:51:693,1,283,1,0,468,4093,283,0 25,0,2024-09-07 08:58:51:345,70488,0.4,68761,0.6,134965,0.4,184041,2.00 25,1,2024-09-07 08:58:50:577,498318,498318,0,0,231613104345,2426910386,492779,4755,784,371,391928,0 25,2,2024-09-07 08:58:51:606,355410,355410,0,0,17834136,0,3978 25,3,2024-09-07 08:58:51:004,1,283,12,0,255,2993,283,0 26,0,2024-09-07 08:58:51:722,70311,0.4,68678,0.6,144048,0.3,188097,1.75 26,1,2024-09-07 08:58:51:541,498194,498194,0,0,231963948922,2433784546,490432,6514,1248,381,391748,0 26,2,2024-09-07 08:58:50:872,357108,357108,0,0,17476212,0,2809 26,3,2024-09-07 08:58:51:714,1,283,83,0,796,3603,283,0 27,0,2024-09-07 08:58:51:767,76391,0.5,76542,0.6,151505,0.5,202370,2.00 27,1,2024-09-07 08:58:51:681,499802,499802,0,0,232831655029,2424794240,495419,3643,740,381,391626,0 27,2,2024-09-07 08:58:50:872,357114,357049,65,0,17522447,0,5699 27,3,2024-09-07 08:58:51:027,1,283,8,0,564,2968,283,0 28,0,2024-09-07 08:58:51:412,70874,0.4,70709,0.6,141889,0.4,189202,2.00 28,1,2024-09-07 08:58:50:809,499536,499536,0,0,232767980634,2421583683,496555,2509,472,383,391646,0 28,2,2024-09-07 08:58:51:764,360198,360198,0,0,13977742,0,2915 28,3,2024-09-07 08:58:51:778,1,283,0,0,502,2867,283,0 29,0,2024-09-07 08:58:51:360,70642,0.4,68956,0.6,134910,0.3,184274,1.75 29,1,2024-09-07 08:58:51:566,500225,500225,0,0,232378524792,2404584937,496880,2778,567,369,391753,0 29,2,2024-09-07 08:58:50:878,355548,355548,0,0,12986648,0,4018 29,3,2024-09-07 08:58:50:973,1,283,0,0,284,2306,283,0 30,0,2024-09-07 08:58:51:466,68655,0.5,66642,0.7,139404,0.4,183062,2.00 30,1,2024-09-07 08:58:50:570,500507,500507,0,0,232961019946,2412349693,498058,2153,296,382,391672,0 30,2,2024-09-07 08:58:51:274,357192,357192,0,0,13145269,0,3161 30,3,2024-09-07 08:58:50:584,1,283,10,0,519,2631,283,0 31,0,2024-09-07 08:58:51:778,74659,0.4,75006,0.5,150112,0.3,199870,1.75 31,1,2024-09-07 08:58:50:568,502013,502013,0,0,233561910043,2391932407,500585,1208,220,356,391712,0 31,2,2024-09-07 08:58:51:275,358247,358247,0,0,14773298,0,3525 31,3,2024-09-07 08:58:51:718,1,283,12,0,220,2173,283,0 32,0,2024-09-07 08:58:51:427,71967,0.3,72311,0.5,144690,0.3,192688,1.75 32,1,2024-09-07 08:58:50:809,499887,499887,0,0,232528433048,2410982027,497629,1979,279,381,391622,0 32,2,2024-09-07 08:58:50:935,361971,361971,0,0,12666157,0,3155 32,3,2024-09-07 08:58:51:018,1,283,1,0,227,2179,283,0 33,0,2024-09-07 08:58:51:545,68554,0.3,68025,0.5,136646,0.2,182102,1.75 33,1,2024-09-07 08:58:50:577,500521,500521,0,0,233315457411,2409132223,497871,2476,174,369,391730,0 33,2,2024-09-07 08:58:50:759,356725,356692,33,0,14078261,0,7012 33,3,2024-09-07 08:58:50:903,1,283,3,0,329,3202,283,0 34,0,2024-09-07 08:58:50:935,67232,0.3,69321,0.4,132762,0.2,178467,1.50 34,1,2024-09-07 08:58:51:052,501029,501029,0,0,233309776553,2398563903,499865,1157,7,367,391562,0 34,2,2024-09-07 08:58:50:776,356700,356700,0,0,13760793,0,3577 34,3,2024-09-07 08:58:51:695,1,283,5,0,299,2226,283,0 35,0,2024-09-07 08:58:50:860,72487,0.3,72891,0.5,146580,0.2,195420,1.50 35,1,2024-09-07 08:58:51:067,499668,499668,0,0,231916491519,2404339809,496238,2650,780,384,391589,0 35,2,2024-09-07 08:58:51:588,358832,358832,0,0,13811580,0,2653 35,3,2024-09-07 08:58:50:915,1,283,12,0,418,3486,283,0 36,0,2024-09-07 08:58:51:539,73762,0.5,73777,0.7,147503,0.5,195676,2.25 36,1,2024-09-07 08:58:50:595,499157,499157,0,0,231524654445,2419818037,492892,4682,1583,366,391759,0 36,2,2024-09-07 08:58:51:755,361385,361385,0,0,15541257,0,3875 36,3,2024-09-07 08:58:50:870,1,283,78,0,416,4386,283,0 37,0,2024-09-07 08:58:51:369,67769,0.5,67752,0.7,135730,0.5,181495,2.00 37,1,2024-09-07 08:58:50:568,497926,497919,0,7,230984089170,2417372052,491716,4132,2071,365,391560,0 37,2,2024-09-07 08:58:51:143,355343,355328,15,0,15472150,0,5815 37,3,2024-09-07 08:58:51:766,1,283,2,0,888,4666,283,0 38,0,2024-09-07 08:58:51:454,67367,0.4,65500,0.6,136983,0.4,178641,2.00 38,1,2024-09-07 08:58:51:625,499458,499458,0,0,232815862967,2426362319,494094,4688,676,368,391821,0 38,2,2024-09-07 08:58:50:760,355590,355543,47,0,16475144,0,6710 38,3,2024-09-07 08:58:51:003,1,283,18,0,689,3660,283,0 39,0,2024-09-07 08:58:51:764,73206,0.6,71701,0.7,139462,0.5,191047,2.00 39,1,2024-09-07 08:58:50:719,498474,498474,0,0,232079855003,2426401424,491679,5584,1211,365,391594,0 39,2,2024-09-07 08:58:51:422,357550,357550,0,0,14370553,0,2689 39,3,2024-09-07 08:58:50:714,1,283,2,0,324,3685,283,0 40,0,2024-09-07 08:58:51:506,74522,0.8,75233,1.0,150278,0.9,199988,3.00 40,1,2024-09-07 08:58:50:585,498456,498456,0,0,231672903554,2427181153,490813,6194,1449,368,391668,0 40,2,2024-09-07 08:58:51:318,360221,360220,1,0,17496392,0,5137 40,3,2024-09-07 08:58:51:142,1,283,46,0,398,3350,283,0 41,0,2024-09-07 08:58:51:047,69426,1.7,71115,1.3,135818,2.8,185332,3.25 41,1,2024-09-07 08:58:50:780,498177,498177,0,0,231854551098,2423549195,492357,5185,635,370,391742,0 41,2,2024-09-07 08:58:50:767,357589,357589,0,0,16804772,0,3356 41,3,2024-09-07 08:58:51:680,1,283,3,0,366,3120,283,0 42,0,2024-09-07 08:58:51:475,68401,0.7,68513,0.9,137228,0.7,181595,2.50 42,1,2024-09-07 08:58:51:452,497571,497571,0,0,231645716624,2434166391,489819,6239,1513,380,391675,0 42,2,2024-09-07 08:58:51:140,356443,356443,0,0,16023805,0,3568 42,3,2024-09-07 08:58:51:009,1,283,41,0,446,2494,283,0 43,0,2024-09-07 08:58:50:925,69388,0.8,67621,1.0,141622,0.8,186008,2.25 43,1,2024-09-07 08:58:50:583,498421,498421,0,0,232436465399,2429401684,491418,5661,1342,366,391696,0 43,2,2024-09-07 08:58:51:747,356846,356846,0,0,16520342,0,3812 43,3,2024-09-07 08:58:51:756,1,283,9,0,467,3579,283,0 44,0,2024-09-07 08:58:50:864,76103,0.4,76565,0.6,152572,0.4,203601,1.75 44,1,2024-09-07 08:58:50:633,500452,500452,0,0,232060432115,2392792159,497875,2145,432,356,391809,0 44,2,2024-09-07 08:58:51:275,358190,358190,0,0,13096000,0,2231 44,3,2024-09-07 08:58:51:111,1,283,1,0,817,3193,283,0 45,0,2024-09-07 08:58:51:788,70272,0.6,68652,0.8,144055,0.6,189739,2.00 45,1,2024-09-07 08:58:51:015,499874,499874,0,0,232885008685,2411163030,498210,1652,12,382,391917,0 45,2,2024-09-07 08:58:51:272,361581,361581,0,0,13767274,0,3596 45,3,2024-09-07 08:58:50:934,1,283,1,0,271,2918,283,0 46,0,2024-09-07 08:58:50:960,68032,0.5,67965,0.7,136031,0.5,180334,2.00 46,1,2024-09-07 08:58:50:576,501222,501222,0,0,232827116282,2400985192,498861,2087,274,366,391539,0 46,2,2024-09-07 08:58:50:606,356224,356224,0,0,13066458,0,2920 46,3,2024-09-07 08:58:51:134,1,283,1,0,908,4282,283,0 47,0,2024-09-07 08:58:51:123,68224,0.4,68258,0.6,137003,0.3,181239,1.75 47,1,2024-09-07 08:58:50:569,500582,500582,0,0,233019120596,2403621488,498170,2278,134,366,391641,0 47,2,2024-09-07 08:58:50:918,358847,358847,0,0,13145902,0,2558 47,3,2024-09-07 08:58:51:117,1,283,81,0,529,2615,283,0 48,0,2024-09-07 08:58:51:503,74510,0.3,74019,0.4,147718,0.2,197461,1.50 48,1,2024-09-07 08:58:51:024,499574,499574,0,0,232743210112,2415947754,496401,2872,301,384,391710,0 48,2,2024-09-07 08:58:50:698,358413,358413,0,0,12836084,0,3031 48,3,2024-09-07 08:58:50:754,1,283,1,0,339,2349,283,0 49,0,2024-09-07 08:58:51:717,74446,0.3,73015,0.5,141745,0.3,193777,1.75 49,1,2024-09-07 08:58:51:024,498718,498718,0,0,232051538221,2416774939,494827,2710,1181,382,391809,0 49,2,2024-09-07 08:58:51:808,362941,362941,0,0,13554074,0,3900 49,3,2024-09-07 08:58:51:419,1,283,1,0,408,2931,283,0 50,0,2024-09-07 08:58:51:509,67985,0.3,67407,0.5,135606,0.2,180674,1.75 50,1,2024-09-07 08:58:51:010,500293,500293,0,0,233676524382,2415917823,497154,2829,310,368,391540,0 50,2,2024-09-07 08:58:51:072,356550,356550,0,0,12473117,0,2263 50,3,2024-09-07 08:58:51:291,1,283,1,0,335,2373,283,0 51,0,2024-09-07 08:58:51:706,68848,0.3,67350,0.4,131368,0.2,178711,1.50 51,1,2024-09-07 08:58:51:681,501045,501045,0,0,233538712126,2409171092,498225,1880,940,365,391706,0 51,2,2024-09-07 08:58:51:319,356661,356661,0,0,11810401,0,3337 51,3,2024-09-07 08:58:51:027,1,283,20,0,678,2230,283,0 52,0,2024-09-07 08:58:51:424,72815,0.4,72476,0.6,145257,0.4,193135,2.00 52,1,2024-09-07 08:58:50:589,498513,498513,0,0,231759276132,2428339022,491157,6102,1254,368,391722,0 52,2,2024-09-07 08:58:51:777,355392,355354,38,0,16538227,0,6742 52,3,2024-09-07 08:58:50:687,1,283,2,0,1782,4654,283,0 53,0,2024-09-07 08:58:51:734,73692,0.7,71648,0.8,149779,0.8,196173,2.50 53,1,2024-09-07 08:58:50:777,497357,497357,0,0,231695266249,2432993209,489288,5620,2449,367,391702,0 53,2,2024-09-07 08:58:51:303,361441,361441,0,0,13888304,0,2727 53,3,2024-09-07 08:58:50:704,1,283,9,0,308,2678,283,0 54,0,2024-09-07 08:58:51:619,68414,0.7,68961,0.8,136741,0.5,182932,2.50 54,1,2024-09-07 08:58:50:585,498945,498945,0,0,232658640337,2419497856,493843,4503,599,367,391659,0 54,2,2024-09-07 08:58:50:866,357809,357803,6,0,15808501,0,5382 54,3,2024-09-07 08:58:50:773,1,283,0,0,676,4546,283,0 55,0,2024-09-07 08:58:51:761,66335,0.6,68740,0.8,138271,0.5,180365,2.25 55,1,2024-09-07 08:58:50:776,498330,498330,0,0,231926875078,2415721855,492149,5273,908,365,391731,0 55,2,2024-09-07 08:58:50:729,355378,355378,0,0,15457377,0,3563 55,3,2024-09-07 08:58:50:689,1,283,12,0,304,3052,283,0 56,0,2024-09-07 08:58:51:562,72157,1.1,68041,1.1,140080,1.6,187797,2.50 56,1,2024-09-07 08:58:50:580,496515,496515,0,0,231120952418,2442651597,488676,6305,1534,381,391729,0 56,2,2024-09-07 08:58:51:307,357340,357340,0,0,16567040,0,3567 56,3,2024-09-07 08:58:51:078,1,283,0,0,705,3941,283,0 57,0,2024-09-07 08:58:50:964,75103,1.7,74919,1.3,150086,2.4,201031,3.25 57,1,2024-09-07 08:58:51:017,498179,498179,0,0,232232517869,2432737350,492318,5175,686,367,392032,0 57,2,2024-09-07 08:58:51:319,359242,359242,0,0,17977530,0,3317 57,3,2024-09-07 08:58:51:759,1,283,10,0,359,3601,283,0 58,0,2024-09-07 08:58:50:566,69522,1.0,67667,1.0,141645,1.3,185810,2.50 58,1,2024-09-07 08:58:50:579,499191,499188,0,3,232553533595,2431063339,492396,5903,889,367,391603,3 58,2,2024-09-07 08:58:51:072,360096,360096,0,0,16216226,0,2549 58,3,2024-09-07 08:58:51:070,1,283,1,0,1043,3122,283,0 59,0,2024-09-07 08:58:51:755,68410,0.7,68159,1.0,135971,0.8,180840,2.75 59,1,2024-09-07 08:58:50:809,498160,498160,0,0,232024103934,2428610875,491649,5228,1283,369,391525,0 59,2,2024-09-07 08:58:50:604,356885,356885,0,0,14714650,0,2604 59,3,2024-09-07 08:58:51:740,1,283,8,0,1015,3927,283,0 60,0,2024-09-07 08:58:51:740,68763,0.4,68656,0.6,138379,0.3,183623,1.75 60,1,2024-09-07 08:58:50:779,500317,500317,0,0,232893428987,2412180961,497856,1942,519,370,392031,0 60,2,2024-09-07 08:58:51:157,357082,357082,0,0,15455811,0,3811 60,3,2024-09-07 08:58:51:263,1,283,79,0,175,2893,283,0 61,0,2024-09-07 08:58:51:525,74863,0.5,75331,0.7,149913,0.5,199974,1.75 61,1,2024-09-07 08:58:50:776,498333,498333,0,0,231938346746,2425260552,493502,4123,708,382,391880,0 61,2,2024-09-07 08:58:51:151,358959,358959,0,0,14166212,0,2780 61,3,2024-09-07 08:58:51:698,1,283,80,0,397,3749,283,0 62,0,2024-09-07 08:58:51:727,72563,0.6,74069,0.7,141098,0.6,192479,2.00 62,1,2024-09-07 08:58:51:112,501515,501509,0,6,233886641033,2411860340,498700,2649,160,365,391715,6 62,2,2024-09-07 08:58:51:645,360608,360607,1,0,15527250,0,5555 62,3,2024-09-07 08:58:51:143,1,283,7,0,287,1897,283,0 63,0,2024-09-07 08:58:51:478,68240,0.5,68373,0.6,136681,0.4,181737,1.75 63,1,2024-09-07 08:58:50:805,500402,500396,0,6,232980419631,2411304636,498643,1697,56,381,391677,6 63,2,2024-09-07 08:58:50:773,356139,356139,0,0,12729147,0,2674 63,3,2024-09-07 08:58:51:747,1,283,14,0,667,3156,283,0 64,0,2024-09-07 08:58:51:541,66935,0.4,66833,0.6,133770,0.4,178381,1.75 64,1,2024-09-07 08:58:50:749,499163,499163,0,0,232953720820,2426966218,494600,3147,1416,370,391783,0 64,2,2024-09-07 08:58:51:156,359609,359590,19,0,13663307,0,6121 64,3,2024-09-07 08:58:51:148,1,283,4,0,265,2698,283,0 65,0,2024-09-07 08:58:51:681,72578,0.6,72945,0.7,145457,0.6,194250,2.00 65,1,2024-09-07 08:58:50:880,498050,498050,0,0,232068676774,2425689151,494364,3239,447,382,391901,0 65,2,2024-09-07 08:58:51:701,358906,358906,0,0,15087741,0,3367 65,3,2024-09-07 08:58:51:700,1,283,2,0,163,2720,283,0 66,0,2024-09-07 08:58:51:765,73348,0.5,73202,0.7,146901,0.5,194870,2.00 66,1,2024-09-07 08:58:51:293,499679,499679,0,0,232543644579,2415782796,496820,2613,246,380,391653,0 66,2,2024-09-07 08:58:51:133,363689,363689,0,0,14263691,0,4956 66,3,2024-09-07 08:58:51:083,1,283,1,0,291,2805,283,0 67,0,2024-09-07 08:58:51:414,68613,0.6,67874,0.7,136656,0.6,181678,2.00 67,1,2024-09-07 08:58:50:772,499501,499500,0,1,233045861099,2427232988,495647,3104,749,380,391787,1 67,2,2024-09-07 08:58:50:586,357551,357551,0,0,13007710,0,2889 67,3,2024-09-07 08:58:51:749,1,283,9,0,338,2527,283,0 68,0,2024-09-07 08:58:50:578,68094,0.6,67876,0.7,135516,0.5,180448,2.00 68,1,2024-09-07 08:58:50:572,498032,498032,0,0,231363895597,2424226055,493703,3158,1171,381,391953,0 68,2,2024-09-07 08:58:51:044,354835,354770,65,0,17668663,0,6698 68,3,2024-09-07 08:58:50:728,1,283,8,0,417,3210,283,0 69,0,2024-09-07 08:58:51:763,71159,0.8,71515,0.8,142640,0.9,189768,2.25 69,1,2024-09-07 08:58:51:022,497043,497043,0,0,231074558949,2438093454,490649,4809,1585,384,391994,0 69,2,2024-09-07 08:58:51:742,357485,357485,0,0,17612943,0,3722 69,3,2024-09-07 08:58:50:776,1,283,1,0,698,4125,283,0 70,0,2024-09-07 08:58:51:532,74635,1.0,74724,1.1,150013,0.8,198591,2.50 70,1,2024-09-07 08:58:50:809,500079,500079,0,0,232962544932,2419572887,495819,3724,536,366,391725,0 70,2,2024-09-07 08:58:51:328,359508,359508,0,0,15960403,0,4044 70,3,2024-09-07 08:58:50:756,1,283,45,0,854,3401,283,0 71,0,2024-09-07 08:58:51:359,69421,1.1,69401,1.1,139154,1.3,186086,2.75 71,1,2024-09-07 08:58:51:595,498036,498036,0,0,231500504888,2416008891,492444,4949,643,368,391738,0 71,2,2024-09-07 08:58:51:073,359254,359254,0,0,16186444,0,4042 71,3,2024-09-07 08:58:51:770,1,283,15,0,644,3886,283,0 72,0,2024-09-07 08:58:51:039,71348,0.7,69834,0.8,135960,0.7,185302,2.00 72,1,2024-09-07 08:58:51:094,498385,498385,0,0,231700598644,2423185700,491974,4961,1450,369,391819,0 72,2,2024-09-07 08:58:51:761,355062,355062,0,0,17921253,0,3983 72,3,2024-09-07 08:58:51:763,1,283,13,0,564,4735,283,0 73,0,2024-09-07 08:58:51:114,68278,0.4,69823,0.6,143103,0.3,185828,2.00 73,1,2024-09-07 08:58:50:777,499098,499098,0,0,231896066306,2409890934,495690,3123,285,367,391750,0 73,2,2024-09-07 08:58:51:743,357314,357314,0,0,17500067,0,3701 73,3,2024-09-07 08:58:50:980,1,283,65,0,274,4037,283,0 74,0,2024-09-07 08:58:51:325,76653,0.4,78446,0.6,149821,0.4,203500,2.25 74,1,2024-09-07 08:58:50:635,498659,498659,0,0,232072703506,2423043702,493655,4036,968,381,391681,0 74,2,2024-09-07 08:58:51:004,358148,358148,0,0,16882902,0,4253 74,3,2024-09-07 08:58:51:461,1,283,32,0,522,3681,283,0 75,0,2024-09-07 08:58:51:793,71502,0.5,71138,0.7,142569,0.4,190840,2.25 75,1,2024-09-07 08:58:51:584,497913,497913,0,0,232403381480,2428925514,492588,4661,664,380,391660,0 75,2,2024-09-07 08:58:51:349,360414,360414,0,0,16775099,0,4766 75,3,2024-09-07 08:58:51:067,1,283,14,0,702,4089,283,0 76,0,2024-09-07 08:58:50:594,68043,0.7,67399,0.8,135523,0.6,181328,2.50 76,1,2024-09-07 08:58:50:820,498935,498935,0,0,232324104830,2420831403,495750,2633,552,382,391692,0 76,2,2024-09-07 08:58:51:075,357187,357187,0,0,13739255,0,3784 76,3,2024-09-07 08:58:51:155,1,283,1,0,175,2768,283,0 77,0,2024-09-07 08:58:51:714,67985,0.4,68264,0.7,136298,0.4,180956,1.75 77,1,2024-09-07 08:58:50:826,499185,499185,0,0,232671034545,2426180365,495658,3270,257,381,391869,0 77,2,2024-09-07 08:58:51:331,356795,356795,0,0,14613251,0,3890 77,3,2024-09-07 08:58:51:106,1,283,0,0,382,3007,283,0 78,0,2024-09-07 08:58:51:724,74227,0.4,73687,0.6,148094,0.3,196727,2.00 78,1,2024-09-07 08:58:50:611,499222,499222,0,0,231821450129,2405011320,496024,2878,320,367,391670,0 78,2,2024-09-07 08:58:51:408,358791,358791,0,0,13160147,0,3855 78,3,2024-09-07 08:58:51:133,1,283,3,0,181,2624,283,0 79,0,2024-09-07 08:58:51:353,70281,0.4,72098,0.6,147269,0.4,191333,2.25 79,1,2024-09-07 08:58:50:574,500829,500829,0,0,233221102159,2411184365,497665,2762,402,369,391682,0 79,2,2024-09-07 08:58:51:072,362990,362990,0,0,13239844,0,3212 79,3,2024-09-07 08:58:50:749,1,283,9,0,418,3982,283,0 80,0,2024-09-07 08:58:51:094,67918,0.6,69835,0.7,133768,0.6,180452,2.00 80,1,2024-09-07 08:58:51:624,498634,498634,0,0,231638957943,2408870193,495641,2821,172,368,391791,0 80,2,2024-09-07 08:58:51:111,357191,357191,0,0,13326918,0,4433 80,3,2024-09-07 08:58:50:585,1,283,1,0,190,3687,283,0 81,0,2024-09-07 08:58:51:540,67003,0.5,68845,0.6,131579,0.4,177724,1.75 81,1,2024-09-07 08:58:51:670,497912,497912,0,0,231751822618,2421038714,493740,3697,475,382,391879,0 81,2,2024-09-07 08:58:51:154,356485,356485,0,0,14010773,0,3993 81,3,2024-09-07 08:58:51:123,1,283,145,0,374,3490,283,0 82,0,2024-09-07 08:58:51:564,72077,0.4,72513,0.6,145193,0.3,193318,1.75 82,1,2024-09-07 08:58:50:583,499679,499675,0,4,232930279981,2423471722,496370,2694,611,381,391768,4 82,2,2024-09-07 08:58:51:697,359194,359194,0,0,13222095,0,3986 82,3,2024-09-07 08:58:51:753,1,283,2,0,363,3043,283,0 83,0,2024-09-07 08:58:51:565,74361,0.5,74293,0.7,147621,0.5,196475,2.00 83,1,2024-09-07 08:58:50:555,498663,498663,0,0,232352359565,2420839650,495307,3110,246,382,391709,0 83,2,2024-09-07 08:58:50:773,361032,361032,0,0,13411208,0,3119 83,3,2024-09-07 08:58:50:757,1,283,8,0,250,3131,283,0 84,0,2024-09-07 08:58:51:866,69042,0.8,68908,0.9,137872,0.7,184380,2.25 84,1,2024-09-07 08:58:51:039,497993,497993,0,0,231779540940,2421595135,493046,4362,585,367,391967,0 84,2,2024-09-07 08:58:50:585,358158,358158,0,0,15350386,0,3801 84,3,2024-09-07 08:58:51:148,1,283,1,0,908,4404,283,0 85,0,2024-09-07 08:58:51:017,66376,0.6,66342,0.8,140668,0.6,181933,2.25 85,1,2024-09-07 08:58:50:564,497504,497504,0,0,231589517023,2445365478,490092,6293,1119,381,392006,0 85,2,2024-09-07 08:58:50:895,357023,357023,0,0,16409028,0,3656 85,3,2024-09-07 08:58:50:689,1,283,5,0,789,3755,283,0 86,0,2024-09-07 08:58:50:905,70587,0.6,72552,0.7,138821,0.6,187762,2.00 86,1,2024-09-07 08:58:50:828,498632,498632,0,0,232187514751,2427891948,493138,4662,832,366,391961,0 86,2,2024-09-07 08:58:50:865,356294,356293,1,0,17378871,0,5004 86,3,2024-09-07 08:58:50:589,1,283,2,0,286,4000,283,0 87,0,2024-09-07 08:58:51:288,75741,1.0,75820,1.0,152156,1.5,202774,2.25 87,1,2024-09-07 08:58:50:558,498150,498150,0,0,231511760075,2421843036,493040,4609,501,366,392076,0 87,2,2024-09-07 08:58:51:077,358533,358533,0,0,15550791,0,4045 87,3,2024-09-07 08:58:51:824,1,283,10,0,473,4122,283,0 88,0,2024-09-07 08:58:51:470,70776,0.5,71175,0.6,141999,0.5,188962,2.00 88,1,2024-09-07 08:58:50:578,496707,496707,0,0,230999946655,2425447079,489460,5596,1651,365,392084,0 88,2,2024-09-07 08:58:50:696,360310,360310,0,0,17543071,0,3583 88,3,2024-09-07 08:58:51:272,1,283,1,0,435,3344,283,0 89,0,2024-09-07 08:58:51:864,70804,0.5,68390,0.7,135819,0.4,184167,1.75 89,1,2024-09-07 08:58:50:559,496802,496802,0,0,232263546716,2447817344,489483,6054,1265,382,391866,0 89,2,2024-09-07 08:58:51:140,354792,354792,0,0,16737251,0,2910 89,3,2024-09-07 08:58:51:802,1,283,9,0,385,5634,283,0 90,0,2024-09-07 08:58:51:622,66836,0.4,68338,0.6,140001,0.4,182871,1.75 90,1,2024-09-07 08:58:50:590,498155,498155,0,0,231453699421,2425486793,493401,4432,322,381,391825,0 90,2,2024-09-07 08:58:51:407,355566,355566,0,0,18050575,0,3060 90,3,2024-09-07 08:58:50:931,1,283,1,0,200,2892,283,0 91,0,2024-09-07 08:58:50:964,75090,0.4,72942,0.6,152162,0.4,199955,1.75 91,1,2024-09-07 08:58:50:561,497101,497101,0,0,232101764387,2442032260,490383,5830,888,381,392047,0 91,2,2024-09-07 08:58:51:331,357881,357881,0,0,16226748,0,2896 91,3,2024-09-07 08:58:50:610,1,283,7,0,216,2593,283,0 92,0,2024-09-07 08:58:51:549,72647,0.5,74432,0.6,142192,0.5,192774,1.75 92,1,2024-09-07 08:58:50:587,498659,498659,0,0,231793127908,2414991031,495906,2344,409,382,392136,0 92,2,2024-09-07 08:58:51:357,363324,363324,0,0,13732663,0,2801 92,3,2024-09-07 08:58:51:021,1,283,4,0,167,2256,283,0 93,0,2024-09-07 08:58:50:960,68620,0.4,70328,0.6,134414,0.3,182293,1.75 93,1,2024-09-07 08:58:50:807,498952,498952,0,0,231804510758,2416821038,494381,3781,790,366,391776,0 93,2,2024-09-07 08:58:50:929,355972,355972,0,0,14510341,0,2797 93,3,2024-09-07 08:58:51:411,1,283,4,0,190,2834,283,0 94,0,2024-09-07 08:58:51:622,66948,0.3,67737,0.5,135072,0.3,179166,1.75 94,1,2024-09-07 08:58:50:572,498391,498391,0,0,232003855829,2421970738,494960,3249,182,381,391850,0 94,2,2024-09-07 08:58:50:774,356346,356346,0,0,13643089,0,2443 94,3,2024-09-07 08:58:51:738,1,283,97,0,264,3522,283,0 95,0,2024-09-07 08:58:51:377,73173,0.3,73043,0.5,146860,0.3,195236,1.75 95,1,2024-09-07 08:58:50:864,499213,499213,0,0,232321605348,2413038723,495498,3338,377,367,391713,0 95,2,2024-09-07 08:58:51:032,357900,357900,0,0,14081301,0,3308 95,3,2024-09-07 08:58:51:721,1,283,9,0,718,4781,283,0 96,0,2024-09-07 08:58:51:035,73856,0.4,74032,0.5,147855,0.3,195425,1.75 96,1,2024-09-07 08:58:51:583,498280,498280,0,0,232165128170,2422541041,494641,2904,735,384,391894,0 96,2,2024-09-07 08:58:51:272,361829,361829,0,0,14563824,0,4042 96,3,2024-09-07 08:58:51:147,1,283,0,0,411,3180,283,0 97,0,2024-09-07 08:58:51:339,68438,0.3,68102,0.5,136822,0.3,181486,1.75 97,1,2024-09-07 08:58:50:786,500450,500450,0,0,233057210723,2414693300,497393,2498,559,367,392140,0 97,2,2024-09-07 08:58:50:623,357280,357280,0,0,13657926,0,3036 97,3,2024-09-07 08:58:50:577,1,283,1,0,214,3173,283,0 98,0,2024-09-07 08:58:51:731,67990,0.3,67901,0.4,136414,0.2,180549,1.50 98,1,2024-09-07 08:58:50:570,499898,499898,0,0,232515139446,2417480441,497734,2063,101,382,391997,0 98,2,2024-09-07 08:58:50:799,356574,356574,0,0,13937858,0,3080 98,3,2024-09-07 08:58:50:701,1,283,3,0,840,4840,283,0 99,0,2024-09-07 08:58:51:461,71545,0.3,71916,0.4,143191,0.2,191447,1.50 99,1,2024-09-07 08:58:51:763,499236,499236,0,0,231737422047,2413219254,495420,3074,742,381,392069,0 99,2,2024-09-07 08:58:51:416,360011,360011,0,0,14835434,0,3106 99,3,2024-09-07 08:58:50:581,1,283,8,0,187,2325,283,0 100,0,2024-09-07 08:58:51:459,75021,0.8,75001,1.0,150195,1.1,200457,2.50 100,1,2024-09-07 08:58:50:565,495841,495841,0,0,230608049983,2438269454,488312,6052,1477,381,391989,0 100,2,2024-09-07 08:58:51:823,358619,358608,11,0,16541057,0,5417 100,3,2024-09-07 08:58:51:753,1,283,22,0,559,5064,283,0 101,0,2024-09-07 08:58:51:729,71518,1.4,69646,1.1,136686,1.2,187754,2.50 101,1,2024-09-07 08:58:50:559,496361,496361,0,0,231327989010,2438278229,488024,6358,1979,368,391769,0 101,2,2024-09-07 08:58:51:761,357371,357371,0,0,18323486,0,4644 101,3,2024-09-07 08:58:50:950,1,283,72,0,448,3446,283,0 102,0,2024-09-07 08:58:50:961,67354,0.7,69773,0.8,140480,0.6,184395,2.00 102,1,2024-09-07 08:58:51:145,497097,497097,0,0,231516283667,2433023910,490614,5577,906,369,391883,0 102,2,2024-09-07 08:58:51:749,356981,356927,54,0,15948587,0,6768 102,3,2024-09-07 08:58:51:620,1,283,2,0,410,3001,283,0 103,0,2024-09-07 08:58:51:606,71974,0.5,71956,0.7,135840,0.4,187215,1.75 103,1,2024-09-07 08:58:51:629,496746,496746,0,0,231628411244,2442849685,489311,5816,1619,381,391829,0 103,2,2024-09-07 08:58:50:591,356148,356148,0,0,15810517,0,2582 103,3,2024-09-07 08:58:50:758,1,283,9,0,916,3738,283,0 104,0,2024-09-07 08:58:51:011,75435,0.7,75964,0.9,150390,0.7,202951,2.25 104,1,2024-09-07 08:58:51:598,498128,498128,0,0,231396470846,2434331938,490574,6366,1188,365,392168,0 104,2,2024-09-07 08:58:51:670,357253,357253,0,0,17627873,0,3941 104,3,2024-09-07 08:58:51:429,1,283,0,0,1245,6693,283,0 105,0,2024-09-07 08:58:51:036,70564,1.0,68647,1.2,143481,1.3,189196,3.25 105,1,2024-09-07 08:58:50:560,497710,497710,0,0,231707227140,2433296642,490513,6137,1060,366,391797,0 105,2,2024-09-07 08:58:51:324,360746,360746,0,0,16893185,0,3509 105,3,2024-09-07 08:58:51:305,1,283,1,0,399,4569,283,0 106,0,2024-09-07 08:58:50:963,65976,0.9,67587,1.0,138373,1.0,180675,2.75 106,1,2024-09-07 08:58:51:754,497709,497709,0,0,230546455015,2425436278,489544,7167,998,369,391865,0 106,2,2024-09-07 08:58:50:774,354090,354090,0,0,15828901,0,2795 106,3,2024-09-07 08:58:50:695,1,283,4,0,470,3992,283,0 107,0,2024-09-07 08:58:51:128,67887,0.7,67856,0.8,135674,0.6,180753,2.00 107,1,2024-09-07 08:58:50:585,496538,496538,0,0,231039545929,2433120152,490085,5767,686,381,392234,0 107,2,2024-09-07 08:58:51:298,355594,355593,1,0,17054305,0,5024 107,3,2024-09-07 08:58:51:760,1,283,9,0,353,3842,283,0 108,0,2024-09-07 08:58:51:771,73905,0.4,74287,0.5,147541,0.3,197421,1.75 108,1,2024-09-07 08:58:51:314,498615,498615,0,0,231909437063,2414049597,495348,2880,387,368,391857,0 108,2,2024-09-07 08:58:51:759,357157,357157,0,0,15298270,0,2647 108,3,2024-09-07 08:58:51:330,1,283,21,0,749,5718,283,0 109,0,2024-09-07 08:58:51:766,73038,0.4,72226,0.5,144898,0.3,193435,1.75 109,1,2024-09-07 08:58:50:583,497073,497073,0,0,232584715700,2437069202,493114,3441,518,383,392132,0 109,2,2024-09-07 08:58:50:929,360183,360183,0,0,15627308,0,3617 109,3,2024-09-07 08:58:51:145,1,283,1,0,249,3176,283,0 110,0,2024-09-07 08:58:51:827,68019,0.4,66170,0.6,138567,0.3,181161,1.75 110,1,2024-09-07 08:58:51:645,500059,500059,0,0,232374416826,2410309428,496870,2309,880,369,392045,0 110,2,2024-09-07 08:58:51:308,356797,356797,0,0,14622802,0,3264 110,3,2024-09-07 08:58:50:705,1,283,1,0,406,3565,283,0 111,0,2024-09-07 08:58:51:415,67468,0.3,66901,0.5,133924,0.3,179168,1.75 111,1,2024-09-07 08:58:51:005,500133,500133,0,0,233341000492,2416997818,498121,1676,336,382,391690,0 111,2,2024-09-07 08:58:51:115,356076,356076,0,0,14073600,0,2763 111,3,2024-09-07 08:58:50:917,1,283,8,0,379,3679,283,0 112,0,2024-09-07 08:58:50:923,72724,0.3,72342,0.4,144941,0.2,193317,1.50 112,1,2024-09-07 08:58:50:830,500143,500143,0,0,232684600566,2411566868,497538,2170,435,380,391624,0 112,2,2024-09-07 08:58:51:140,358110,358109,1,0,14265682,0,5036 112,3,2024-09-07 08:58:50:611,1,283,3,0,282,2790,283,0 113,0,2024-09-07 08:58:50:882,73999,0.3,74053,0.5,148752,0.2,197932,1.50 113,1,2024-09-07 08:58:51:686,501100,501100,0,0,233790069733,2410397374,498592,2018,490,366,391661,0 113,2,2024-09-07 08:58:51:309,362484,362484,0,0,12785375,0,3813 113,3,2024-09-07 08:58:50:704,1,283,1,0,340,3798,283,0 114,0,2024-09-07 08:58:50:884,69915,0.4,70325,0.5,139695,0.3,186405,1.75 114,1,2024-09-07 08:58:50:743,499284,499284,0,0,232776871107,2421028400,494455,3240,1589,381,391556,0 114,2,2024-09-07 08:58:50:884,358152,358151,1,0,13926148,0,5069 114,3,2024-09-07 08:58:51:278,1,283,1,0,395,2817,283,0 115,0,2024-09-07 08:58:50:573,68816,0.3,69118,0.4,138435,0.2,184045,1.50 115,1,2024-09-07 08:58:50:587,499364,499364,0,0,232360498674,2415040487,494958,3569,837,382,391639,0 115,2,2024-09-07 08:58:51:125,357247,357247,0,0,12254014,0,2346 115,3,2024-09-07 08:58:51:003,1,283,17,0,159,1660,283,0 116,0,2024-09-07 08:58:51:720,70384,0.8,70223,0.9,140586,0.8,188517,2.00 116,1,2024-09-07 08:58:50:807,496617,496617,0,0,231008833840,2440108807,490064,4496,2057,380,391782,0 116,2,2024-09-07 08:58:51:754,356262,356262,0,0,18041944,0,3529 116,3,2024-09-07 08:58:50:919,1,283,2,0,415,3888,283,0 117,0,2024-09-07 08:58:50:991,76426,0.9,76094,0.9,151999,1.1,203155,2.00 117,1,2024-09-07 08:58:51:577,497518,497518,0,0,231685029317,2425678080,492141,4827,550,370,392033,0 117,2,2024-09-07 08:58:51:126,361097,361097,0,0,14733175,0,3700 117,3,2024-09-07 08:58:51:063,1,283,5,0,490,4367,283,0 118,0,2024-09-07 08:58:51:833,68797,0.6,70781,0.7,143946,0.5,188287,2.00 118,1,2024-09-07 08:58:50:585,497707,497707,0,0,231602836991,2435979474,490343,5540,1824,366,391736,0 118,2,2024-09-07 08:58:51:646,359443,359443,0,0,15834265,0,2781 118,3,2024-09-07 08:58:51:770,1,283,68,0,235,3225,283,0 119,0,2024-09-07 08:58:51:342,68332,0.8,68798,0.8,137615,0.9,183140,2.25 119,1,2024-09-07 08:58:50:557,498831,498831,0,0,232221410442,2427973783,493367,4797,667,367,391780,0 119,2,2024-09-07 08:58:51:264,356245,356245,0,0,14870591,0,4174 119,3,2024-09-07 08:58:51:327,1,283,8,0,443,3892,283,0 120,0,2024-09-07 08:58:51:550,68469,0.5,68252,0.7,136739,0.5,182781,2.00 120,1,2024-09-07 08:58:50:872,498135,498135,0,0,231532844224,2428497180,492850,4868,417,368,391961,0 120,2,2024-09-07 08:58:50:780,356326,356325,1,0,18107936,0,5281 120,3,2024-09-07 08:58:51:301,1,283,0,0,241,3424,283,0 121,0,2024-09-07 08:58:51:710,74924,0.8,74977,0.9,150327,1.0,199965,2.00 121,1,2024-09-07 08:58:51:663,498194,498194,0,0,232057614107,2429415454,492864,4902,428,367,391840,0 121,2,2024-09-07 08:58:51:130,357117,357117,0,0,17366254,0,4127 121,3,2024-09-07 08:58:50:729,1,283,39,0,269,3493,283,0 122,0,2024-09-07 08:58:51:766,71791,0.8,69996,0.9,146738,0.9,192444,2.00 122,1,2024-09-07 08:58:50:872,497168,497168,0,0,231867066826,2434742221,489990,6103,1075,366,392130,0 122,2,2024-09-07 08:58:51:328,360693,360620,73,0,19837309,0,5989 122,3,2024-09-07 08:58:50:606,1,283,1,0,411,5683,283,0 123,0,2024-09-07 08:58:51:030,68045,0.8,66476,0.8,138603,1.0,181457,2.25 123,1,2024-09-07 08:58:50:637,497454,497454,0,0,231472961925,2441572802,487937,7613,1904,369,392039,0 123,2,2024-09-07 08:58:51:029,353706,353705,1,0,16177416,0,5215 123,3,2024-09-07 08:58:51:139,1,283,9,0,168,3270,283,0 124,0,2024-09-07 08:58:50:924,69397,0.3,69370,0.5,130763,0.3,179945,1.50 124,1,2024-09-07 08:58:51:028,499861,499861,0,0,232283861436,2411584553,496935,2560,366,367,392178,0 124,2,2024-09-07 08:58:51:012,356929,356929,0,0,13349991,0,3101 124,3,2024-09-07 08:58:50:764,1,283,7,0,490,2977,283,0 125,0,2024-09-07 08:58:51:459,73328,0.3,73033,0.5,146741,0.3,195327,1.75 125,1,2024-09-07 08:58:50:865,497818,497818,0,0,232389995784,2422432543,494582,2836,400,383,391702,0 125,2,2024-09-07 08:58:51:122,358505,358505,0,0,15046115,0,4534 125,3,2024-09-07 08:58:51:126,1,283,1,0,709,3824,283,0 126,0,2024-09-07 08:58:51:511,73788,0.5,75767,0.6,144904,0.4,196312,1.75 126,1,2024-09-07 08:58:50:565,499715,499715,0,0,232597442790,2408529916,496939,2509,267,365,391987,0 126,2,2024-09-07 08:58:50:628,363244,363244,0,0,14902313,0,3186 126,3,2024-09-07 08:58:50:919,1,283,1,0,207,3804,283,0 127,0,2024-09-07 08:58:51:596,68071,0.4,68460,0.5,136510,0.3,181500,1.75 127,1,2024-09-07 08:58:50:577,499702,499702,0,0,232213403750,2404284608,496690,2859,153,365,391816,0 127,2,2024-09-07 08:58:50:639,357181,357181,0,0,13097858,0,2264 127,3,2024-09-07 08:58:51:267,1,283,22,0,968,3178,283,0 128,0,2024-09-07 08:58:51:627,68321,0.3,68304,0.4,136577,0.2,181043,1.50 128,1,2024-09-07 08:58:51:614,499082,499082,0,0,232764581484,2412917454,496676,2248,158,367,391798,0 128,2,2024-09-07 08:58:51:389,357545,357545,0,0,13177830,0,2434 128,3,2024-09-07 08:58:50:776,1,283,1,0,1082,5491,283,0 129,0,2024-09-07 08:58:50:999,72082,0.3,71762,0.4,143597,0.2,191061,1.50 129,1,2024-09-07 08:58:50:575,496636,496636,0,0,231060111315,2418860549,492396,3307,933,379,391835,0 129,2,2024-09-07 08:58:50:686,358423,358423,0,0,14187348,0,4031 129,3,2024-09-07 08:58:50:688,1,283,1,0,469,3822,283,0 130,0,2024-09-07 08:58:51:730,75922,0.6,75558,0.6,151677,0.6,201529,1.75 130,1,2024-09-07 08:58:50:590,499226,499226,0,0,232186507591,2412926341,496774,2373,79,381,391825,0 130,2,2024-09-07 08:58:51:130,361463,361463,0,0,13860514,0,4067 130,3,2024-09-07 08:58:51:292,1,283,4,0,450,3717,283,0 131,0,2024-09-07 08:58:51:958,69984,0.4,70487,0.5,141463,0.3,187517,1.75 131,1,2024-09-07 08:58:51:820,499593,499593,0,0,232299863395,2420487403,496445,2686,462,381,391865,0 131,2,2024-09-07 08:58:50:579,361099,361099,0,0,12720028,0,2415 131,3,2024-09-07 08:58:51:698,1,283,8,0,392,2938,283,0 132,0,2024-09-07 08:58:51:467,68896,0.5,69780,0.6,138964,0.4,184934,2.00 132,1,2024-09-07 08:58:50:584,496434,496434,0,0,230649304148,2433838064,488351,6624,1459,381,392097,0 132,2,2024-09-07 08:58:50:698,356134,356134,0,0,16635629,0,4606 132,3,2024-09-07 08:58:51:694,1,283,2,0,356,3779,283,0 133,0,2024-09-07 08:58:51:541,68281,0.4,69737,0.5,142904,0.3,185838,1.75 133,1,2024-09-07 08:58:50:591,497037,497037,0,0,231044014322,2435756511,490131,5913,993,383,391914,0 133,2,2024-09-07 08:58:51:099,356261,356261,0,0,18085622,0,4315 133,3,2024-09-07 08:58:51:302,1,283,2,0,276,2770,283,0 134,0,2024-09-07 08:58:50:994,76248,0.5,76228,0.7,152416,0.5,203825,2.00 134,1,2024-09-07 08:58:50:617,497092,497092,0,0,231136509308,2427922946,490156,5459,1477,366,391718,0 134,2,2024-09-07 08:58:51:763,358186,358186,0,0,15333918,0,3847 134,3,2024-09-07 08:58:50:757,1,283,3,0,739,4002,283,0 135,0,2024-09-07 08:58:51:106,68909,0.8,68896,0.9,146279,0.9,189051,2.25 135,1,2024-09-07 08:58:51:584,496912,496912,0,0,231731070146,2440468867,489521,6201,1190,380,391805,0 135,2,2024-09-07 08:58:50:753,361477,361477,0,0,16817829,0,3981 135,3,2024-09-07 08:58:51:005,1,283,12,0,299,2135,283,0 136,0,2024-09-07 08:58:51:631,68795,0.6,68767,0.7,137014,0.6,182776,2.25 136,1,2024-09-07 08:58:51:448,497094,497094,0,0,231294531806,2433174147,490665,5763,666,382,391685,0 136,2,2024-09-07 08:58:51:133,355610,355610,0,0,16095980,0,3506 136,3,2024-09-07 08:58:51:112,1,283,2,0,301,2835,283,0 137,0,2024-09-07 08:58:50:973,70018,0.5,67994,0.7,133935,0.5,181977,2.00 137,1,2024-09-07 08:58:50:590,496938,496938,0,0,231429269616,2429779793,488911,6373,1654,366,391708,0 137,2,2024-09-07 08:58:51:716,355547,355547,0,0,18187116,0,3185 137,3,2024-09-07 08:58:50:781,1,283,19,0,382,3420,283,0 138,0,2024-09-07 08:58:51:775,73273,1.2,73549,1.0,147607,1.6,196878,2.25 138,1,2024-09-07 08:58:51:702,497061,497061,0,0,232206944199,2440461910,489033,6467,1561,368,391954,0 138,2,2024-09-07 08:58:50:593,357668,357668,0,0,16721242,0,4988 138,3,2024-09-07 08:58:50:618,1,283,63,0,1160,4162,283,0 139,0,2024-09-07 08:58:51:403,71520,1.6,71849,1.2,143707,2.3,191776,2.50 139,1,2024-09-07 08:58:50:582,495391,495391,0,0,230100965380,2447847773,485437,7435,2519,381,391892,0 139,2,2024-09-07 08:58:50:720,359356,359356,0,0,17540518,0,3097 139,3,2024-09-07 08:58:51:669,1,283,9,0,257,3165,283,0 140,0,2024-09-07 08:58:51:588,68330,0.3,67848,0.5,136456,0.2,181485,1.75 140,1,2024-09-07 08:58:51:539,500758,500758,0,0,232792674722,2398980383,498434,1958,366,365,391606,0 140,2,2024-09-07 08:58:50:688,356947,356947,0,0,13325230,0,3388 140,3,2024-09-07 08:58:50:780,1,283,20,0,247,2342,283,0 141,0,2024-09-07 08:58:51:710,67196,0.3,69061,0.4,132067,0.2,179008,1.50 141,1,2024-09-07 08:58:50:887,500112,500112,0,0,232767898638,2412909365,497486,2218,408,379,391614,0 141,2,2024-09-07 08:58:51:695,356805,356805,0,0,13235486,0,2342 141,3,2024-09-07 08:58:51:052,1,283,1,0,202,2495,283,0 142,0,2024-09-07 08:58:51:354,73087,0.3,72495,0.4,144399,0.2,193677,1.50 142,1,2024-09-07 08:58:50:591,499075,499075,0,0,231783895104,2415198437,496074,2708,293,382,392102,0 142,2,2024-09-07 08:58:51:341,358094,358062,32,0,15169523,0,6028 142,3,2024-09-07 08:58:51:783,1,283,1,0,484,3718,283,0 143,0,2024-09-07 08:58:51:393,74343,0.5,74303,0.6,149014,0.5,197930,1.75 143,1,2024-09-07 08:58:50:600,499719,499719,0,0,232392990041,2408571595,496693,2909,117,367,391651,0 143,2,2024-09-07 08:58:50:803,361371,361371,0,0,14188637,0,2669 143,3,2024-09-07 08:58:51:147,1,283,12,0,303,3550,283,0 144,0,2024-09-07 08:58:51:559,67267,0.6,69145,0.8,140897,0.5,184558,2.00 144,1,2024-09-07 08:58:50:599,496701,496701,0,0,231196954621,2424819484,492458,3313,930,381,391649,0 144,2,2024-09-07 08:58:51:755,358679,358679,0,0,13340246,0,3473 144,3,2024-09-07 08:58:51:749,1,283,1,0,249,3080,283,0 145,0,2024-09-07 08:58:51:426,66447,0.5,66460,0.8,141045,0.5,181913,2.25 145,1,2024-09-07 08:58:50:558,496588,496588,0,0,231403775771,2431833895,490730,5033,825,382,391698,0 145,2,2024-09-07 08:58:51:446,355283,355283,0,0,16038515,0,3903 145,3,2024-09-07 08:58:50:905,1,283,9,0,622,4234,283,0 146,0,2024-09-07 08:58:51:604,70558,0.5,70004,0.7,140985,0.5,187688,2.00 146,1,2024-09-07 08:58:51:588,497727,497727,0,0,231593918066,2438827721,488998,6835,1894,368,391770,0 146,2,2024-09-07 08:58:51:704,356793,356793,0,0,16315094,0,2730 146,3,2024-09-07 08:58:51:275,1,283,3,0,1520,6132,283,0 147,0,2024-09-07 08:58:51:703,76186,0.6,75978,0.8,151211,0.6,202613,2.00 147,1,2024-09-07 08:58:51:377,499464,499464,0,0,232782258188,2418696468,495347,3529,588,368,391791,0 147,2,2024-09-07 08:58:51:017,360439,360439,0,0,13766820,0,2789 147,3,2024-09-07 08:58:50:924,1,283,8,0,730,4153,283,0 0,0,2024-09-07 08:59:01:711,67079,0.5,67113,0.7,142275,0.4,184800,1.75 0,1,2024-09-07 08:59:00:803,500083,500083,0,0,232963953692,2435387258,496453,3421,209,370,391896,0 0,2,2024-09-07 08:59:01:069,358288,358288,0,0,14154213,0,4480 0,3,2024-09-07 08:59:00:976,1,284,2,0,319,3604,284,0 1,0,2024-09-07 08:59:01:755,75456,0.7,74827,0.8,150692,0.8,200619,2.00 1,1,2024-09-07 08:59:00:558,499805,499805,0,0,231808463351,2426704816,495234,3517,1054,370,391857,0 1,2,2024-09-07 08:59:00:640,358895,358895,0,0,13440720,0,3267 1,3,2024-09-07 08:59:01:312,1,284,1,0,262,3310,284,0 2,0,2024-09-07 08:59:01:570,72427,0.7,72698,0.8,143988,0.9,193253,2.00 2,1,2024-09-07 08:59:00:873,501093,501093,0,0,234016853484,2429539917,498836,2037,220,380,391745,0 2,2,2024-09-07 08:59:01:268,363115,363115,0,0,14047769,0,3594 2,3,2024-09-07 08:59:00:698,1,284,44,0,357,2618,284,0 3,0,2024-09-07 08:59:01:750,68358,0.4,68351,0.6,136553,0.4,181630,2.00 3,1,2024-09-07 08:59:01:633,499876,499876,0,0,232497443972,2419382451,495991,3423,462,380,391556,0 3,2,2024-09-07 08:59:01:142,356826,356803,23,0,13863865,0,5851 3,3,2024-09-07 08:59:01:755,1,284,8,0,103,1705,284,0 4,0,2024-09-07 08:59:01:826,65539,0.3,67497,0.5,137151,0.3,180063,1.75 4,1,2024-09-07 08:59:00:601,499450,499450,0,0,231926123739,2438317455,493869,4578,1003,371,391992,0 4,2,2024-09-07 08:59:01:033,356037,356037,0,0,16965313,0,4528 4,3,2024-09-07 08:59:01:028,1,284,3,0,448,4216,284,0 5,0,2024-09-07 08:59:01:381,73554,0.4,73826,0.5,146817,0.4,196010,1.75 5,1,2024-09-07 08:59:00:787,499384,499384,0,0,232450598773,2444996571,493121,4956,1307,368,392005,0 5,2,2024-09-07 08:59:01:832,358241,358241,0,0,15686607,0,2432 5,3,2024-09-07 08:59:01:735,1,284,5,0,457,4266,284,0 6,0,2024-09-07 08:59:00:918,74160,0.5,73628,0.7,147093,0.5,196406,2.00 6,1,2024-09-07 08:59:00:747,499739,499739,0,0,232458653899,2428926064,494398,4414,927,379,391694,0 6,2,2024-09-07 08:59:01:116,362798,362798,0,0,15306447,0,4816 6,3,2024-09-07 08:59:01:274,1,284,1,0,340,3269,284,0 7,0,2024-09-07 08:59:01:532,67993,0.5,68271,0.6,136046,0.5,180832,2.00 7,1,2024-09-07 08:59:00:852,498949,498949,0,0,232232528873,2438197326,492272,5567,1110,382,391747,0 7,2,2024-09-07 08:59:00:770,357134,357134,0,0,14912067,0,4791 7,3,2024-09-07 08:59:00:852,1,284,8,0,398,3336,284,0 8,0,2024-09-07 08:59:01:385,68146,0.4,67895,0.5,135777,0.3,180724,1.75 8,1,2024-09-07 08:59:01:031,498142,498142,0,0,231951555929,2448060428,488747,7183,2212,366,392144,0 8,2,2024-09-07 08:59:00:790,353701,353701,0,0,18544237,0,3220 8,3,2024-09-07 08:59:00:589,1,284,14,0,538,5091,284,0 9,0,2024-09-07 08:59:01:099,72077,0.3,69909,0.5,146179,0.3,191805,1.75 9,1,2024-09-07 08:59:00:550,498256,498256,0,0,232373802526,2448938799,491050,5562,1644,370,392001,0 9,2,2024-09-07 08:59:01:087,358456,358456,0,0,16575881,0,3360 9,3,2024-09-07 08:59:01:752,1,284,1,0,496,4414,284,0 10,0,2024-09-07 08:59:01:600,75522,0.3,74966,0.5,150687,0.3,200477,1.75 10,1,2024-09-07 08:59:00:590,498983,498983,0,0,232692398844,2440423294,491799,6197,987,381,391741,0 10,2,2024-09-07 08:59:00:762,361480,361480,0,0,17878078,0,4264 10,3,2024-09-07 08:59:00:871,1,284,1,0,177,2298,284,0 11,0,2024-09-07 08:59:01:008,69852,0.5,67859,0.7,141928,0.4,187925,1.75 11,1,2024-09-07 08:59:00:589,499252,499252,0,0,232407284925,2445168363,490039,6953,2260,383,391573,0 11,2,2024-09-07 08:59:01:123,359217,359217,0,0,16262810,0,4130 11,3,2024-09-07 08:59:01:299,1,284,12,0,843,4504,284,0 12,0,2024-09-07 08:59:00:943,69894,0.3,69814,0.5,139632,0.3,185668,1.75 12,1,2024-09-07 08:59:00:935,499832,499832,0,0,232558970155,2423885784,495991,3374,467,370,391870,0 12,2,2024-09-07 08:59:01:541,357988,357988,0,0,15301989,0,3469 12,3,2024-09-07 08:59:01:062,1,284,100,0,386,4741,284,0 13,0,2024-09-07 08:59:01:368,70489,0.3,70394,0.5,140698,0.3,187144,1.50 13,1,2024-09-07 08:59:01:524,499908,499908,0,0,231928368331,2431337560,496255,3111,542,382,391740,0 13,2,2024-09-07 08:59:00:611,359635,359635,0,0,14390529,0,3287 13,3,2024-09-07 08:59:01:762,1,284,1,0,522,4670,284,0 14,0,2024-09-07 08:59:00:575,76809,0.4,77447,0.6,153172,0.3,204579,1.75 14,1,2024-09-07 08:59:01:604,502819,502819,0,0,233970822404,2419983550,499694,2929,196,364,391571,0 14,2,2024-09-07 08:59:00:771,359757,359757,0,0,14315584,0,2896 14,3,2024-09-07 08:59:01:115,1,284,1,0,1168,3889,284,0 15,0,2024-09-07 08:59:01:562,71600,0.5,71713,0.7,143155,0.5,190775,2.00 15,1,2024-09-07 08:59:01:608,500926,500926,0,0,232909730765,2420649547,498190,2558,178,381,391619,0 15,2,2024-09-07 08:59:01:003,363536,363536,0,0,12377524,0,3043 15,3,2024-09-07 08:59:01:406,1,284,11,0,1126,5555,284,0 16,0,2024-09-07 08:59:00:947,68687,0.6,68922,0.8,137278,0.7,182748,2.25 16,1,2024-09-07 08:59:00:567,501094,501094,0,0,232816521708,2430656922,497432,3360,302,370,391756,0 16,2,2024-09-07 08:59:01:436,356796,356796,0,0,14832551,0,4719 16,3,2024-09-07 08:59:01:151,1,284,1,0,317,3993,284,0 17,0,2024-09-07 08:59:01:766,70299,0.4,68659,0.7,134047,0.4,182346,1.75 17,1,2024-09-07 08:59:00:574,499507,499507,0,0,231876389356,2432856781,494379,4022,1106,368,391899,0 17,2,2024-09-07 08:59:01:677,360425,360425,0,0,14468880,0,2857 17,3,2024-09-07 08:59:00:578,1,284,15,0,268,4517,284,0 18,0,2024-09-07 08:59:00:944,73587,0.6,74126,0.7,147617,0.5,197511,2.25 18,1,2024-09-07 08:59:01:641,500798,500798,0,0,233402078836,2417924382,497998,2558,242,367,391649,0 18,2,2024-09-07 08:59:01:755,360689,360689,0,0,13955748,0,3541 18,3,2024-09-07 08:59:00:901,1,284,0,0,163,2640,284,0 19,0,2024-09-07 08:59:01:540,72171,0.6,72724,0.8,144297,0.6,191944,2.00 19,1,2024-09-07 08:59:00:566,500996,500996,0,0,233657515515,2424995945,496315,3894,787,367,391777,0 19,2,2024-09-07 08:59:01:752,364701,364701,0,0,12777449,0,3988 19,3,2024-09-07 08:59:01:128,1,284,9,0,524,2156,284,0 20,0,2024-09-07 08:59:01:345,67865,0.6,67922,0.7,136071,0.6,181213,2.25 20,1,2024-09-07 08:59:00:575,499323,499323,0,0,232421793079,2430514693,495333,3589,401,369,391886,0 20,2,2024-09-07 08:59:00:928,357642,357642,0,0,14558901,0,3721 20,3,2024-09-07 08:59:00:590,1,284,1,0,414,4876,284,0 21,0,2024-09-07 08:59:01:140,67461,0.5,67511,0.6,134837,0.4,178648,2.00 21,1,2024-09-07 08:59:01:547,497925,497925,0,0,231298061651,2436907711,491199,5101,1625,368,392016,0 21,2,2024-09-07 08:59:01:068,356230,356230,0,0,17297709,0,3747 21,3,2024-09-07 08:59:01:404,1,284,1,0,103,2903,284,0 22,0,2024-09-07 08:59:01:723,72677,0.5,72896,0.7,145591,0.4,193866,2.00 22,1,2024-09-07 08:59:01:023,499237,499237,0,0,231961230403,2440556332,491622,5948,1667,382,391667,0 22,2,2024-09-07 08:59:00:762,358627,358627,0,0,14832224,0,3134 22,3,2024-09-07 08:59:01:066,1,284,1,0,228,2542,284,0 23,0,2024-09-07 08:59:01:375,73989,0.6,73889,0.7,148050,0.6,196983,2.25 23,1,2024-09-07 08:59:01:006,500139,500139,0,0,232277196775,2438379142,492172,5300,2667,365,391690,0 23,2,2024-09-07 08:59:01:093,363042,363042,0,0,14280955,0,3010 23,3,2024-09-07 08:59:01:754,1,284,8,0,645,3517,284,0 24,0,2024-09-07 08:59:00:829,70076,0.4,69934,0.6,140382,0.4,186024,1.75 24,1,2024-09-07 08:59:00:619,499090,499090,0,0,231819446497,2433723665,492842,4726,1522,368,391987,0 24,2,2024-09-07 08:59:01:072,357401,357401,0,0,17346865,0,3607 24,3,2024-09-07 08:59:01:693,1,284,1,0,468,4094,284,0 25,0,2024-09-07 08:59:01:347,70693,0.4,68945,0.6,135335,0.4,184559,2.00 25,1,2024-09-07 08:59:00:563,499931,499931,0,0,232480030611,2437320142,494069,5044,818,371,391928,0 25,2,2024-09-07 08:59:01:619,356788,356788,0,0,17917297,0,3978 25,3,2024-09-07 08:59:01:002,1,284,11,0,255,3004,284,0 26,0,2024-09-07 08:59:01:729,70788,0.4,69110,0.6,144890,0.3,189220,1.75 26,1,2024-09-07 08:59:01:541,499948,499948,0,0,232731037696,2442059673,492183,6517,1248,381,391748,0 26,2,2024-09-07 08:59:00:868,358612,358612,0,0,17651812,0,2809 26,3,2024-09-07 08:59:01:714,1,284,23,0,796,3626,284,0 27,0,2024-09-07 08:59:01:723,76553,0.5,76666,0.6,151756,0.5,202665,2.00 27,1,2024-09-07 08:59:01:676,501274,501274,0,0,233697130526,2434158062,496836,3698,740,381,391626,0 27,2,2024-09-07 08:59:00:866,358289,358224,65,0,17636322,0,5699 27,3,2024-09-07 08:59:01:025,1,284,18,0,564,2986,284,0 28,0,2024-09-07 08:59:01:390,71194,0.4,71005,0.6,142460,0.4,189927,2.00 28,1,2024-09-07 08:59:00:804,500940,500940,0,0,233500239071,2429546035,497928,2540,472,383,391646,0 28,2,2024-09-07 08:59:01:764,361080,361080,0,0,14048437,0,2915 28,3,2024-09-07 08:59:01:782,1,284,0,0,502,2867,284,0 29,0,2024-09-07 08:59:01:356,70873,0.4,69180,0.6,135395,0.3,184852,1.75 29,1,2024-09-07 08:59:01:564,501810,501810,0,0,232985627284,2411237243,498442,2801,567,369,391753,0 29,2,2024-09-07 08:59:00:860,357023,357023,0,0,13111544,0,4018 29,3,2024-09-07 08:59:00:965,1,284,7,0,284,2313,284,0 30,0,2024-09-07 08:59:01:457,69091,0.5,67077,0.7,140319,0.4,184236,2.00 30,1,2024-09-07 08:59:00:576,502222,502222,0,0,233665395882,2420206093,499706,2220,296,382,391672,0 30,2,2024-09-07 08:59:01:274,358451,358451,0,0,13223493,0,3161 30,3,2024-09-07 08:59:00:580,1,284,24,0,519,2655,284,0 31,0,2024-09-07 08:59:01:759,74936,0.4,75291,0.5,150686,0.3,200527,1.75 31,1,2024-09-07 08:59:00:566,503700,503700,0,0,234628764051,2403129188,502257,1223,220,356,391712,0 31,2,2024-09-07 08:59:01:275,359457,359457,0,0,14851023,0,3525 31,3,2024-09-07 08:59:01:711,1,284,17,0,220,2190,284,0 32,0,2024-09-07 08:59:01:417,72321,0.3,72638,0.5,145380,0.3,193602,1.75 32,1,2024-09-07 08:59:00:804,501663,501663,0,0,233481860632,2421338402,499369,2015,279,381,391646,0 32,2,2024-09-07 08:59:00:936,363324,363324,0,0,12738725,0,3155 32,3,2024-09-07 08:59:01:025,1,284,5,0,227,2184,284,0 33,0,2024-09-07 08:59:01:528,68730,0.3,68190,0.5,137015,0.2,182575,1.75 33,1,2024-09-07 08:59:00:582,502160,502160,0,0,234321434677,2419702685,499502,2484,174,369,391730,0 33,2,2024-09-07 08:59:00:759,357968,357935,33,0,14141617,0,7012 33,3,2024-09-07 08:59:00:900,1,284,0,0,329,3202,284,0 34,0,2024-09-07 08:59:00:929,67546,0.3,69711,0.4,133471,0.2,179373,1.50 34,1,2024-09-07 08:59:01:045,502989,502989,0,0,234105123339,2406957215,501785,1197,7,367,391562,0 34,2,2024-09-07 08:59:00:768,357947,357947,0,0,13883614,0,3577 34,3,2024-09-07 08:59:01:693,1,284,3,0,299,2229,284,0 35,0,2024-09-07 08:59:00:858,72928,0.3,73290,0.5,147488,0.2,196553,1.75 35,1,2024-09-07 08:59:01:067,501448,501448,0,0,232773576621,2413244630,498018,2650,780,384,391589,0 35,2,2024-09-07 08:59:01:586,360167,360167,0,0,13887247,0,2653 35,3,2024-09-07 08:59:00:906,1,284,10,0,418,3496,284,0 36,0,2024-09-07 08:59:01:518,73896,0.5,73887,0.7,147757,0.5,196021,2.25 36,1,2024-09-07 08:59:00:589,500915,500915,0,0,232227835210,2427171714,494649,4683,1583,366,391759,0 36,2,2024-09-07 08:59:01:752,362775,362775,0,0,15603706,0,3875 36,3,2024-09-07 08:59:00:868,1,284,172,0,416,4558,284,0 37,0,2024-09-07 08:59:01:392,67919,0.5,67894,0.7,135980,0.5,181823,2.00 37,1,2024-09-07 08:59:00:570,499672,499665,0,7,232041836101,2428209334,493462,4132,2071,365,391560,0 37,2,2024-09-07 08:59:01:142,356296,356281,15,0,15520968,0,5815 37,3,2024-09-07 08:59:01:767,1,284,1,0,888,4667,284,0 38,0,2024-09-07 08:59:01:444,67375,0.4,65507,0.6,137003,0.4,178655,2.00 38,1,2024-09-07 08:59:01:607,501319,501319,0,0,233566043660,2434441520,495913,4730,676,368,391821,0 38,2,2024-09-07 08:59:00:760,356961,356914,47,0,16517894,0,6710 38,3,2024-09-07 08:59:00:998,1,284,1,0,689,3661,284,0 39,0,2024-09-07 08:59:01:760,73565,0.5,72058,0.7,140147,0.5,191991,2.00 39,1,2024-09-07 08:59:00:719,500335,500335,0,0,232975594021,2435731800,493540,5584,1211,365,391594,0 39,2,2024-09-07 08:59:01:419,358877,358877,0,0,14470853,0,2689 39,3,2024-09-07 08:59:00:719,1,284,11,0,324,3696,284,0 40,0,2024-09-07 08:59:01:525,74611,0.8,75304,1.0,150442,0.9,200222,3.00 40,1,2024-09-07 08:59:00:577,500321,500321,0,0,232387779937,2435319995,492589,6283,1449,368,391668,0 40,2,2024-09-07 08:59:01:517,361477,361476,1,0,17621555,0,5137 40,3,2024-09-07 08:59:01:142,1,284,3,0,398,3353,284,0 41,0,2024-09-07 08:59:01:056,69654,1.7,71327,1.3,136247,2.8,185928,3.25 41,1,2024-09-07 08:59:00:772,499878,499878,0,0,232615844260,2431496806,494058,5185,635,370,391742,0 41,2,2024-09-07 08:59:00:759,358253,358253,0,0,16830448,0,3356 41,3,2024-09-07 08:59:01:676,1,284,1,0,366,3121,284,0 42,0,2024-09-07 08:59:01:479,68709,0.7,68827,0.9,137867,0.7,182403,2.50 42,1,2024-09-07 08:59:01:439,498971,498971,0,0,232297463814,2441188934,491175,6283,1513,380,391675,0 42,2,2024-09-07 08:59:01:137,357708,357708,0,0,16154655,0,3568 42,3,2024-09-07 08:59:01:011,1,284,0,0,446,2494,284,0 43,0,2024-09-07 08:59:00:916,69647,0.8,67866,1.0,142149,0.8,186704,2.25 43,1,2024-09-07 08:59:00:579,500273,500273,0,0,233196507676,2438188550,493114,5817,1342,366,391696,0 43,2,2024-09-07 08:59:01:737,358359,358359,0,0,16679524,0,3812 43,3,2024-09-07 08:59:01:749,1,284,23,0,467,3602,284,0 44,0,2024-09-07 08:59:00:870,76655,0.4,77061,0.6,153651,0.4,205353,1.75 44,1,2024-09-07 08:59:00:687,502214,502214,0,0,232731851618,2401071852,499383,2307,524,356,391809,0 44,2,2024-09-07 08:59:01:269,359238,359238,0,0,13181829,0,2231 44,3,2024-09-07 08:59:01:093,1,284,1,0,817,3194,284,0 45,0,2024-09-07 08:59:01:811,70581,0.6,68941,0.8,144710,0.6,190562,2.00 45,1,2024-09-07 08:59:01:013,501387,501387,0,0,233633739732,2419150351,499717,1658,12,382,391917,0 45,2,2024-09-07 08:59:01:274,362702,362702,0,0,13951310,0,3596 45,3,2024-09-07 08:59:00:938,1,284,7,0,271,2925,284,0 46,0,2024-09-07 08:59:00:951,68191,0.5,68130,0.7,136362,0.5,180741,2.00 46,1,2024-09-07 08:59:00:589,502939,502939,0,0,233520794684,2408391018,500542,2123,274,366,391539,0 46,2,2024-09-07 08:59:00:593,357855,357855,0,0,13210634,0,2920 46,3,2024-09-07 08:59:01:131,1,284,1,0,908,4283,284,0 47,0,2024-09-07 08:59:01:119,68463,0.4,68496,0.6,137471,0.3,181873,1.75 47,1,2024-09-07 08:59:00:566,502286,502286,0,0,233909175587,2412943061,499869,2283,134,366,391641,0 47,2,2024-09-07 08:59:00:911,360183,360183,0,0,13241484,0,2558 47,3,2024-09-07 08:59:01:120,1,284,8,0,529,2623,284,0 48,0,2024-09-07 08:59:01:536,74885,0.3,74420,0.4,148507,0.2,198413,1.50 48,1,2024-09-07 08:59:01:028,501148,501148,0,0,233728549362,2426230080,497975,2872,301,384,391710,0 48,2,2024-09-07 08:59:00:699,359410,359410,0,0,12880651,0,3031 48,3,2024-09-07 08:59:00:755,1,284,14,0,339,2363,284,0 49,0,2024-09-07 08:59:01:715,74603,0.3,73191,0.5,142052,0.3,194225,1.75 49,1,2024-09-07 08:59:01:022,500500,500500,0,0,232781805610,2424384401,496609,2710,1181,382,391809,0 49,2,2024-09-07 08:59:01:797,364281,364281,0,0,13602675,0,3900 49,3,2024-09-07 08:59:01:416,1,284,3,0,408,2934,284,0 50,0,2024-09-07 08:59:01:516,68080,0.3,67504,0.5,135813,0.2,180932,1.75 50,1,2024-09-07 08:59:01:012,502095,502095,0,0,234699804783,2426490405,498953,2832,310,368,391540,0 50,2,2024-09-07 08:59:01:067,357733,357733,0,0,12513272,0,2263 50,3,2024-09-07 08:59:01:296,1,284,2,0,335,2375,284,0 51,0,2024-09-07 08:59:01:687,68966,0.3,67478,0.4,131603,0.2,179046,1.50 51,1,2024-09-07 08:59:01:680,502889,502889,0,0,234223937221,2416415008,500056,1893,940,365,391706,0 51,2,2024-09-07 08:59:01:316,357931,357931,0,0,11848755,0,3337 51,3,2024-09-07 08:59:01:027,1,284,1,0,678,2231,284,0 52,0,2024-09-07 08:59:01:413,73301,0.4,73024,0.6,146205,0.4,194513,2.00 52,1,2024-09-07 08:59:00:577,500257,500257,0,0,232527940857,2436270211,492901,6102,1254,368,391722,0 52,2,2024-09-07 08:59:01:754,356805,356767,38,0,16587624,0,6742 52,3,2024-09-07 08:59:00:674,1,284,1,0,1782,4655,284,0 53,0,2024-09-07 08:59:01:762,73796,0.7,71748,0.8,149996,0.8,196463,2.25 53,1,2024-09-07 08:59:00:772,499088,499088,0,0,232620367242,2442855914,490981,5658,2449,367,391702,0 53,2,2024-09-07 08:59:01:302,362938,362938,0,0,13939352,0,2727 53,3,2024-09-07 08:59:00:696,1,284,5,0,308,2683,284,0 54,0,2024-09-07 08:59:01:616,68497,0.7,69062,0.8,136962,0.5,183192,2.50 54,1,2024-09-07 08:59:00:580,500676,500676,0,0,233430192651,2427479449,495574,4503,599,367,391659,0 54,2,2024-09-07 08:59:00:869,358544,358538,6,0,15834396,0,5382 54,3,2024-09-07 08:59:00:763,1,284,1,0,676,4547,284,0 55,0,2024-09-07 08:59:01:773,66503,0.6,68913,0.8,138616,0.5,180832,2.25 55,1,2024-09-07 08:59:00:767,500120,500120,0,0,232666061561,2423537777,493936,5276,908,365,391731,0 55,2,2024-09-07 08:59:00:736,356913,356913,0,0,15575385,0,3563 55,3,2024-09-07 08:59:00:678,1,284,17,0,304,3069,284,0 56,0,2024-09-07 08:59:01:584,72594,1.1,68461,1.1,140999,1.6,189104,2.50 56,1,2024-09-07 08:59:00:584,498350,498350,0,0,232063156901,2452866556,490498,6318,1534,381,391729,0 56,2,2024-09-07 08:59:01:314,358785,358785,0,0,16686441,0,3567 56,3,2024-09-07 08:59:01:065,1,284,0,0,705,3941,284,0 57,0,2024-09-07 08:59:00:935,75243,1.7,75040,1.3,150328,2.4,201345,3.25 57,1,2024-09-07 08:59:00:989,499885,499885,0,0,232982145232,2440652421,494024,5175,686,367,392032,0 57,2,2024-09-07 08:59:01:316,360415,360415,0,0,18048190,0,3317 57,3,2024-09-07 08:59:01:739,1,284,1,0,359,3602,284,0 58,0,2024-09-07 08:59:00:554,69780,1.0,67967,1.0,142235,1.3,186555,2.50 58,1,2024-09-07 08:59:00:580,500934,500931,0,3,233234140337,2438113225,494139,5903,889,367,391603,3 58,2,2024-09-07 08:59:01:073,361054,361054,0,0,16346263,0,2549 58,3,2024-09-07 08:59:01:071,1,284,0,0,1043,3122,284,0 59,0,2024-09-07 08:59:01:745,68630,0.7,68390,1.0,136396,0.8,181491,2.75 59,1,2024-09-07 08:59:00:808,499830,499830,0,0,232749336977,2436268422,493319,5228,1283,369,391525,0 59,2,2024-09-07 08:59:00:589,358434,358434,0,0,15080668,0,2604 59,3,2024-09-07 08:59:01:737,1,284,8,0,1015,3935,284,0 60,0,2024-09-07 08:59:01:731,69188,0.4,69136,0.6,139304,0.4,184798,1.75 60,1,2024-09-07 08:59:00:772,502116,502116,0,0,233488570546,2418444507,499655,1942,519,370,392031,0 60,2,2024-09-07 08:59:01:148,358389,358389,0,0,15528713,0,3811 60,3,2024-09-07 08:59:01:257,1,284,151,0,175,3044,284,0 61,0,2024-09-07 08:59:01:495,75128,0.5,75601,0.7,150440,0.5,200702,1.75 61,1,2024-09-07 08:59:00:772,499890,499890,0,0,233110460568,2437453300,495044,4137,709,382,391880,0 61,2,2024-09-07 08:59:01:116,360180,360180,0,0,14242534,0,2780 61,3,2024-09-07 08:59:01:693,1,284,5,0,397,3754,284,0 62,0,2024-09-07 08:59:01:747,72898,0.6,74423,0.7,141758,0.6,193394,2.00 62,1,2024-09-07 08:59:01:112,503189,503183,0,6,234661113732,2419965732,500373,2650,160,365,391715,6 62,2,2024-09-07 08:59:01:648,361872,361871,1,0,15637946,0,5555 62,3,2024-09-07 08:59:01:143,1,284,17,0,287,1914,284,0 63,0,2024-09-07 08:59:01:534,68403,0.5,68542,0.6,137069,0.4,182214,1.75 63,1,2024-09-07 08:59:00:825,501869,501863,0,6,233676514402,2419009753,500037,1769,57,381,391677,6 63,2,2024-09-07 08:59:00:763,357329,357329,0,0,12895759,0,2674 63,3,2024-09-07 08:59:01:735,1,284,12,0,667,3168,284,0 64,0,2024-09-07 08:59:01:536,67255,0.4,67186,0.6,134476,0.3,179281,1.75 64,1,2024-09-07 08:59:00:762,500891,500891,0,0,233662927959,2434368030,496328,3147,1416,370,391783,0 64,2,2024-09-07 08:59:01:143,360872,360853,19,0,13746485,0,6121 64,3,2024-09-07 08:59:01:139,1,284,1,0,265,2699,284,0 65,0,2024-09-07 08:59:01:688,73019,0.6,73400,0.7,146375,0.6,195585,2.00 65,1,2024-09-07 08:59:00:859,499795,499795,0,0,232759501680,2432942173,496109,3239,447,382,391901,0 65,2,2024-09-07 08:59:01:697,360225,360225,0,0,15251271,0,3367 65,3,2024-09-07 08:59:01:683,1,284,1,0,163,2721,284,0 66,0,2024-09-07 08:59:01:770,73470,0.5,73344,0.7,147130,0.5,195196,2.00 66,1,2024-09-07 08:59:01:298,501408,501408,0,0,233197062621,2422726925,498549,2613,246,380,391653,0 66,2,2024-09-07 08:59:01:132,365037,365037,0,0,14380348,0,4956 66,3,2024-09-07 08:59:01:079,1,284,13,0,291,2818,284,0 67,0,2024-09-07 08:59:01:439,68747,0.6,68000,0.7,136909,0.6,182013,2.00 67,1,2024-09-07 08:59:00:771,501064,501063,0,1,233694780923,2434658736,497135,3179,749,380,391787,1 67,2,2024-09-07 08:59:00:585,358609,358609,0,0,13051882,0,2889 67,3,2024-09-07 08:59:01:750,1,284,8,0,338,2535,284,0 68,0,2024-09-07 08:59:00:571,68101,0.6,67880,0.7,135528,0.5,180448,2.00 68,1,2024-09-07 08:59:00:578,499872,499872,0,0,232137635512,2432548922,495542,3159,1171,381,391953,0 68,2,2024-09-07 08:59:01:046,356233,356168,65,0,17714573,0,6698 68,3,2024-09-07 08:59:00:733,1,284,0,0,417,3210,284,0 69,0,2024-09-07 08:59:01:795,71539,0.7,71844,0.8,143315,0.9,190666,2.25 69,1,2024-09-07 08:59:01:016,498777,498777,0,0,231842485210,2446205518,492382,4810,1585,384,391994,0 69,2,2024-09-07 08:59:01:740,359001,359001,0,0,17690341,0,3722 69,3,2024-09-07 08:59:00:763,1,284,2,0,698,4127,284,0 70,0,2024-09-07 08:59:01:536,74741,1.0,74797,1.1,150197,0.8,198846,2.50 70,1,2024-09-07 08:59:00:802,501872,501872,0,0,233615271458,2426287788,497612,3724,536,366,391725,0 70,2,2024-09-07 08:59:01:331,360951,360951,0,0,16020237,0,4044 70,3,2024-09-07 08:59:00:761,1,284,9,0,854,3410,284,0 71,0,2024-09-07 08:59:01:393,69641,1.0,69611,1.1,139613,1.3,186668,2.75 71,1,2024-09-07 08:59:01:600,499694,499694,0,0,232492909838,2426104705,494102,4949,643,368,391738,0 71,2,2024-09-07 08:59:01:070,359977,359977,0,0,16207455,0,4042 71,3,2024-09-07 08:59:01:752,1,284,8,0,644,3894,284,0 72,0,2024-09-07 08:59:01:022,71636,0.7,70105,0.8,136613,0.7,186143,2.00 72,1,2024-09-07 08:59:01:027,500154,500154,0,0,232533729921,2432158403,493717,4987,1450,369,391819,0 72,2,2024-09-07 08:59:01:756,356482,356482,0,0,18023532,0,3983 72,3,2024-09-07 08:59:01:755,1,284,2,0,564,4737,284,0 73,0,2024-09-07 08:59:01:144,68532,0.4,70060,0.6,143659,0.3,186478,2.00 73,1,2024-09-07 08:59:00:980,500751,500751,0,0,232601928604,2417867714,497216,3246,289,367,391750,0 73,2,2024-09-07 08:59:01:741,358797,358797,0,0,17574669,0,3701 73,3,2024-09-07 08:59:00:974,1,284,1,0,274,4038,284,0 74,0,2024-09-07 08:59:01:347,77173,0.5,78969,0.6,150894,0.4,205046,2.25 74,1,2024-09-07 08:59:00:640,500367,500367,0,0,232717077414,2430047081,495352,4047,968,381,391681,0 74,2,2024-09-07 08:59:01:006,359208,359208,0,0,16931322,0,4253 74,3,2024-09-07 08:59:01:447,1,284,0,0,522,3681,284,0 75,0,2024-09-07 08:59:01:769,71809,0.5,71448,0.7,143202,0.4,191680,2.25 75,1,2024-09-07 08:59:01:586,499662,499662,0,0,233080695177,2436385213,494294,4704,664,380,391660,0 75,2,2024-09-07 08:59:01:351,361453,361453,0,0,16828291,0,4766 75,3,2024-09-07 08:59:01:068,1,284,25,0,702,4114,284,0 76,0,2024-09-07 08:59:00:601,68214,0.7,67560,0.8,135845,0.6,181727,2.50 76,1,2024-09-07 08:59:00:812,500721,500721,0,0,233236451842,2430967020,497456,2713,552,382,391692,0 76,2,2024-09-07 08:59:01:065,358556,358556,0,0,13852679,0,3784 76,3,2024-09-07 08:59:01:143,1,284,2,0,175,2770,284,0 77,0,2024-09-07 08:59:01:733,68231,0.4,68487,0.7,136788,0.4,181528,1.75 77,1,2024-09-07 08:59:00:837,500725,500725,0,0,233377662049,2433688602,497198,3270,257,381,391869,0 77,2,2024-09-07 08:59:01:292,358060,358060,0,0,14710281,0,3890 77,3,2024-09-07 08:59:01:094,1,284,2,0,382,3009,284,0 78,0,2024-09-07 08:59:01:736,74616,0.4,74076,0.6,148825,0.3,197742,2.00 78,1,2024-09-07 08:59:00:623,500614,500614,0,0,232523109568,2412360104,497411,2883,320,367,391670,0 78,2,2024-09-07 08:59:01:405,359807,359807,0,0,13261406,0,3855 78,3,2024-09-07 08:59:01:136,1,284,0,0,181,2624,284,0 79,0,2024-09-07 08:59:01:364,70470,0.4,72265,0.6,147642,0.4,191775,2.25 79,1,2024-09-07 08:59:00:574,502575,502575,0,0,233939470747,2419280616,499312,2859,404,369,391682,0 79,2,2024-09-07 08:59:01:072,364204,364204,0,0,13329830,0,3212 79,3,2024-09-07 08:59:00:750,1,284,12,0,418,3994,284,0 80,0,2024-09-07 08:59:01:090,68009,0.6,69937,0.7,133960,0.6,180687,2.00 80,1,2024-09-07 08:59:01:642,500323,500323,0,0,232731894163,2420086779,497328,2823,172,368,391791,0 80,2,2024-09-07 08:59:01:093,358412,358412,0,0,13361181,0,4433 80,3,2024-09-07 08:59:00:575,1,284,1,0,190,3688,284,0 81,0,2024-09-07 08:59:01:543,67107,0.4,68956,0.6,131795,0.4,178108,1.75 81,1,2024-09-07 08:59:01:657,499715,499715,0,0,232457303272,2428546976,495543,3697,475,382,391879,0 81,2,2024-09-07 08:59:01:142,357669,357669,0,0,14068250,0,3993 81,3,2024-09-07 08:59:01:123,1,284,1,0,374,3491,284,0 82,0,2024-09-07 08:59:01:533,72575,0.4,73023,0.6,146178,0.3,194678,1.75 82,1,2024-09-07 08:59:00:587,501504,501500,0,4,233757470391,2432238557,498187,2701,612,381,391768,4 82,2,2024-09-07 08:59:01:692,360738,360738,0,0,13292695,0,3986 82,3,2024-09-07 08:59:01:755,1,284,5,0,363,3048,284,0 83,0,2024-09-07 08:59:01:525,74470,0.5,74404,0.7,147831,0.5,196774,2.00 83,1,2024-09-07 08:59:00:551,500402,500402,0,0,233196862341,2429624258,497046,3110,246,382,391709,0 83,2,2024-09-07 08:59:00:772,362430,362430,0,0,13473508,0,3119 83,3,2024-09-07 08:59:00:754,1,284,17,0,250,3148,284,0 84,0,2024-09-07 08:59:01:811,69131,0.7,68997,0.9,138062,0.7,184652,2.25 84,1,2024-09-07 08:59:01:040,499794,499794,0,0,232697479583,2431096147,494847,4362,585,367,391967,0 84,2,2024-09-07 08:59:00:574,358884,358884,0,0,15372371,0,3801 84,3,2024-09-07 08:59:01:140,1,284,126,0,908,4530,284,0 85,0,2024-09-07 08:59:01:053,66577,0.6,66548,0.8,141056,0.6,182460,2.25 85,1,2024-09-07 08:59:00:560,499239,499239,0,0,232326726519,2452989058,491827,6293,1119,381,392006,0 85,2,2024-09-07 08:59:00:866,358479,358479,0,0,16478177,0,3656 85,3,2024-09-07 08:59:00:686,1,284,1,0,789,3756,284,0 86,0,2024-09-07 08:59:00:875,71012,0.6,73010,0.7,139632,0.6,188934,2.00 86,1,2024-09-07 08:59:00:825,500399,500399,0,0,232800539080,2434345204,494904,4663,832,366,391961,0 86,2,2024-09-07 08:59:00:860,357787,357786,1,0,17447351,0,5004 86,3,2024-09-07 08:59:00:591,1,284,1,0,286,4001,284,0 87,0,2024-09-07 08:59:01:299,75885,1.0,75936,1.0,152405,1.5,203072,2.25 87,1,2024-09-07 08:59:00:550,499991,499991,0,0,232296471730,2429931441,494879,4610,502,366,392076,0 87,2,2024-09-07 08:59:01:066,359717,359717,0,0,15684469,0,4045 87,3,2024-09-07 08:59:01:803,1,284,8,0,473,4130,284,0 88,0,2024-09-07 08:59:01:474,71054,0.5,71508,0.6,142552,0.5,189757,2.00 88,1,2024-09-07 08:59:00:592,498491,498491,0,0,231936009389,2435430528,491229,5611,1651,365,392084,0 88,2,2024-09-07 08:59:00:696,361205,361205,0,0,17602296,0,3583 88,3,2024-09-07 08:59:01:274,1,284,2,0,435,3346,284,0 89,0,2024-09-07 08:59:01:812,71014,0.5,68614,0.7,136253,0.4,184730,1.75 89,1,2024-09-07 08:59:00:565,498534,498534,0,0,233020952862,2455903964,491215,6054,1265,382,391866,0 89,2,2024-09-07 08:59:01:132,356247,356247,0,0,16858998,0,2910 89,3,2024-09-07 08:59:01:796,1,284,2,0,385,5636,284,0 90,0,2024-09-07 08:59:01:625,67322,0.4,68821,0.6,140918,0.4,183877,1.75 90,1,2024-09-07 08:59:00:590,499956,499956,0,0,232323869460,2435288393,495101,4532,323,381,391825,0 90,2,2024-09-07 08:59:01:406,356872,356872,0,0,18167047,0,3060 90,3,2024-09-07 08:59:00:929,1,284,160,0,200,3052,284,0 91,0,2024-09-07 08:59:00:924,75359,0.4,73207,0.6,152664,0.4,200657,1.75 91,1,2024-09-07 08:59:00:556,498833,498833,0,0,232993807121,2452216496,491948,5996,889,381,392047,0 91,2,2024-09-07 08:59:01:332,359005,359005,0,0,16346590,0,2896 91,3,2024-09-07 08:59:00:600,1,284,8,0,216,2601,284,0 92,0,2024-09-07 08:59:01:469,72994,0.5,74766,0.6,142873,0.5,193662,1.75 92,1,2024-09-07 08:59:00:582,500614,500614,0,0,232798918674,2426219323,497776,2428,410,382,392136,0 92,2,2024-09-07 08:59:01:361,364535,364535,0,0,13833746,0,2801 92,3,2024-09-07 08:59:01:012,1,284,75,0,167,2331,284,0 93,0,2024-09-07 08:59:00:994,68809,0.4,70518,0.6,134752,0.3,182735,1.75 93,1,2024-09-07 08:59:00:807,500340,500340,0,0,232656758403,2425805281,495750,3799,791,366,391776,0 93,2,2024-09-07 08:59:00:929,357351,357351,0,0,14586023,0,2797 93,3,2024-09-07 08:59:01:408,1,284,60,0,190,2894,284,0 94,0,2024-09-07 08:59:01:608,67248,0.3,68042,0.5,135715,0.3,180047,1.75 94,1,2024-09-07 08:59:00:563,500159,500159,0,0,232972746928,2432060609,496728,3249,182,381,391850,0 94,2,2024-09-07 08:59:00:764,357645,357645,0,0,13787831,0,2443 94,3,2024-09-07 08:59:01:706,1,284,1,0,264,3523,284,0 95,0,2024-09-07 08:59:01:362,73610,0.3,73460,0.5,147704,0.3,196145,1.75 95,1,2024-09-07 08:59:00:852,500730,500730,0,0,233068680059,2421176627,496980,3373,377,367,391713,0 95,2,2024-09-07 08:59:01:026,359215,359215,0,0,14179895,0,3308 95,3,2024-09-07 08:59:01:711,1,284,8,0,718,4789,284,0 96,0,2024-09-07 08:59:01:058,73989,0.4,74132,0.5,148089,0.3,195748,1.75 96,1,2024-09-07 08:59:01:589,500108,500108,0,0,232831465202,2429529416,496466,2907,735,384,391894,0 96,2,2024-09-07 08:59:01:270,363148,363148,0,0,14615610,0,4042 96,3,2024-09-07 08:59:01:140,1,284,1,0,411,3181,284,0 97,0,2024-09-07 08:59:01:374,68560,0.3,68233,0.5,137101,0.3,181826,1.75 97,1,2024-09-07 08:59:00:773,502201,502201,0,0,233908644704,2423504842,499144,2498,559,367,392140,0 97,2,2024-09-07 08:59:00:633,358290,358290,0,0,13700874,0,3036 97,3,2024-09-07 08:59:00:630,1,284,35,0,214,3208,284,0 98,0,2024-09-07 08:59:01:710,67996,0.3,67904,0.4,136435,0.2,180581,1.50 98,1,2024-09-07 08:59:00:575,501672,501672,0,0,233228339935,2424995043,499507,2064,101,382,391997,0 98,2,2024-09-07 08:59:00:771,357908,357908,0,0,13993982,0,3080 98,3,2024-09-07 08:59:00:698,1,284,3,0,840,4843,284,0 99,0,2024-09-07 08:59:01:471,71882,0.3,72265,0.4,143891,0.2,192369,1.50 99,1,2024-09-07 08:59:01:731,500996,500996,0,0,232886658185,2424972692,497180,3074,742,381,392069,0 99,2,2024-09-07 08:59:01:417,361360,361360,0,0,14894019,0,3106 99,3,2024-09-07 08:59:00:581,1,284,8,0,187,2333,284,0 100,0,2024-09-07 08:59:01:476,75128,0.8,75090,1.0,150378,1.1,200696,2.50 100,1,2024-09-07 08:59:00:557,497627,497627,0,0,231502627955,2447715786,490097,6053,1477,381,391989,0 100,2,2024-09-07 08:59:01:822,360148,360137,11,0,16669065,0,5417 100,3,2024-09-07 08:59:01:734,1,284,1,0,559,5065,284,0 101,0,2024-09-07 08:59:01:757,71723,1.3,69856,1.1,137133,1.2,188334,2.50 101,1,2024-09-07 08:59:00:561,498083,498083,0,0,231962517819,2444921388,489745,6359,1979,368,391769,0 101,2,2024-09-07 08:59:01:760,358100,358100,0,0,18355218,0,4644 101,3,2024-09-07 08:59:00:955,1,284,3,0,448,3449,284,0 102,0,2024-09-07 08:59:00:949,67639,0.7,70110,0.8,141173,0.6,185291,2.00 102,1,2024-09-07 08:59:01:142,498888,498888,0,0,232424335435,2442563973,492372,5610,906,369,391883,0 102,2,2024-09-07 08:59:01:740,358446,358392,54,0,16013582,0,6768 102,3,2024-09-07 08:59:01:613,1,284,1,0,410,3002,284,0 103,0,2024-09-07 08:59:01:587,72237,0.5,72248,0.7,136352,0.4,187893,1.75 103,1,2024-09-07 08:59:01:630,498522,498522,0,0,232486975171,2451701624,491087,5816,1619,381,391829,0 103,2,2024-09-07 08:59:00:582,357692,357692,0,0,15970667,0,2582 103,3,2024-09-07 08:59:00:769,1,284,8,0,916,3746,284,0 104,0,2024-09-07 08:59:01:181,75761,0.8,76339,1.0,150751,0.7,203718,2.25 104,1,2024-09-07 08:59:01:625,499488,499488,0,0,232145349899,2445390884,491246,6626,1616,365,392168,0 104,2,2024-09-07 08:59:01:666,358274,358274,0,0,17710183,0,3941 104,3,2024-09-07 08:59:01:423,1,284,1,0,1245,6694,284,0 105,0,2024-09-07 08:59:01:075,70875,1.0,68979,1.2,144126,1.3,189861,3.25 105,1,2024-09-07 08:59:00:573,499679,499679,0,0,232348669775,2442430752,491993,6387,1299,366,391797,0 105,2,2024-09-07 08:59:01:322,361898,361898,0,0,16993938,0,3509 105,3,2024-09-07 08:59:01:308,1,284,3,0,399,4572,284,0 106,0,2024-09-07 08:59:00:934,66135,0.9,67735,1.0,138707,1.0,181119,2.75 106,1,2024-09-07 08:59:01:750,499290,499290,0,0,231309280457,2433464788,491124,7168,998,369,391865,0 106,2,2024-09-07 08:59:00:762,355581,355581,0,0,15894071,0,2795 106,3,2024-09-07 08:59:00:678,1,284,2,0,470,3994,284,0 107,0,2024-09-07 08:59:01:100,68130,0.7,68046,0.8,136134,0.6,181355,2.00 107,1,2024-09-07 08:59:00:589,498284,498284,0,0,231915502039,2442452949,491820,5778,686,381,392234,0 107,2,2024-09-07 08:59:01:295,356824,356823,1,0,17157285,0,5024 107,3,2024-09-07 08:59:01:755,1,284,9,0,353,3851,284,0 108,0,2024-09-07 08:59:01:774,74286,0.4,74657,0.5,148343,0.3,198421,1.75 108,1,2024-09-07 08:59:01:298,500354,500354,0,0,232844560981,2424457402,496987,2980,387,368,391857,0 108,2,2024-09-07 08:59:01:756,358226,358226,0,0,15386707,0,2647 108,3,2024-09-07 08:59:01:330,1,284,1,0,749,5719,284,0 109,0,2024-09-07 08:59:01:851,73205,0.4,72393,0.5,145234,0.3,193892,1.75 109,1,2024-09-07 08:59:00:780,498529,498529,0,0,233333521337,2445079826,494560,3451,518,383,392132,0 109,2,2024-09-07 08:59:00:922,361435,361435,0,0,15691365,0,3617 109,3,2024-09-07 08:59:01:155,1,284,0,0,249,3176,284,0 110,0,2024-09-07 08:59:01:749,68105,0.4,66269,0.6,138741,0.3,181431,1.75 110,1,2024-09-07 08:59:01:644,501884,501884,0,0,233149752541,2419005580,498635,2369,880,369,392045,0 110,2,2024-09-07 08:59:01:312,357958,357958,0,0,14744367,0,3264 110,3,2024-09-07 08:59:00:690,1,284,1,0,406,3566,284,0 111,0,2024-09-07 08:59:01:415,67591,0.3,67007,0.5,134160,0.3,179515,1.75 111,1,2024-09-07 08:59:01:021,501942,501942,0,0,233933472574,2423716349,499883,1723,336,382,391690,0 111,2,2024-09-07 08:59:01:119,357220,357220,0,0,14324810,0,2763 111,3,2024-09-07 08:59:00:913,1,284,7,0,379,3686,284,0 112,0,2024-09-07 08:59:00:963,73215,0.3,72834,0.4,145934,0.2,194646,1.50 112,1,2024-09-07 08:59:00:829,501908,501908,0,0,233244198452,2417869736,499297,2176,435,380,391624,0 112,2,2024-09-07 08:59:01:133,359454,359453,1,0,14339056,0,5036 112,3,2024-09-07 08:59:00:592,1,284,6,0,282,2796,284,0 113,0,2024-09-07 08:59:00:889,74105,0.3,74172,0.5,148981,0.2,198228,1.50 113,1,2024-09-07 08:59:01:690,502871,502871,0,0,234628838327,2419042760,500363,2018,490,366,391661,0 113,2,2024-09-07 08:59:01:305,363951,363951,0,0,12831801,0,3813 113,3,2024-09-07 08:59:00:683,1,284,2,0,340,3800,284,0 114,0,2024-09-07 08:59:00:898,70007,0.4,70417,0.5,139890,0.2,186680,1.75 114,1,2024-09-07 08:59:00:723,501012,501012,0,0,233424078571,2427749258,496181,3242,1589,381,391556,0 114,2,2024-09-07 08:59:00:872,358906,358905,1,0,13949289,0,5069 114,3,2024-09-07 08:59:01:282,1,284,1,0,395,2818,284,0 115,0,2024-09-07 08:59:00:572,69012,0.3,69299,0.4,138824,0.2,184559,1.50 115,1,2024-09-07 08:59:00:577,501184,501184,0,0,233285384335,2424485554,496778,3569,837,382,391639,0 115,2,2024-09-07 08:59:01:125,358764,358764,0,0,12358388,0,2346 115,3,2024-09-07 08:59:01:012,1,284,8,0,159,1668,284,0 116,0,2024-09-07 08:59:01:739,70808,0.8,70664,0.9,141474,0.8,189691,2.00 116,1,2024-09-07 08:59:00:825,498289,498289,0,0,232184158002,2452153276,491735,4497,2057,380,391782,0 116,2,2024-09-07 08:59:01:753,357785,357785,0,0,18119931,0,3529 116,3,2024-09-07 08:59:00:915,1,284,6,0,415,3894,284,0 117,0,2024-09-07 08:59:00:977,76542,0.9,76209,0.9,152272,1.1,203467,2.00 117,1,2024-09-07 08:59:01:583,499298,499298,0,0,232443460341,2433491755,493919,4829,550,370,392033,0 117,2,2024-09-07 08:59:01:137,362322,362322,0,0,14798804,0,3700 117,3,2024-09-07 08:59:01:087,1,284,0,0,490,4367,284,0 118,0,2024-09-07 08:59:01:789,69036,0.6,71057,0.7,144539,0.5,189052,2.00 118,1,2024-09-07 08:59:00:589,499429,499429,0,0,232216960071,2442369507,492063,5541,1825,366,391736,0 118,2,2024-09-07 08:59:01:591,360471,360471,0,0,15878952,0,2781 118,3,2024-09-07 08:59:01:770,1,284,8,0,235,3233,284,0 119,0,2024-09-07 08:59:01:345,68562,0.8,69004,0.8,138054,0.9,183749,2.25 119,1,2024-09-07 08:59:00:548,500554,500554,0,0,233051946317,2436820946,495090,4797,667,367,391780,0 119,2,2024-09-07 08:59:01:271,357711,357711,0,0,14937489,0,4174 119,3,2024-09-07 08:59:01:326,1,284,10,0,443,3902,284,0 120,0,2024-09-07 08:59:01:571,68901,0.6,68719,0.8,137661,0.5,184320,2.25 120,1,2024-09-07 08:59:00:874,499753,499753,0,0,232191701922,2436234724,494351,4983,419,368,391961,0 120,2,2024-09-07 08:59:00:773,357510,357509,1,0,18247339,0,5281 120,3,2024-09-07 08:59:01:297,1,284,2,0,241,3426,284,0 121,0,2024-09-07 08:59:01:758,75196,0.8,75251,0.9,150894,1.0,200724,2.00 121,1,2024-09-07 08:59:01:662,499943,499943,0,0,232851653320,2437922825,494612,4903,428,367,391840,0 121,2,2024-09-07 08:59:01:143,358181,358181,0,0,17528243,0,4127 121,3,2024-09-07 08:59:00:747,1,284,8,0,269,3501,284,0 122,0,2024-09-07 08:59:01:814,72129,0.8,70353,0.9,147445,0.9,193612,2.00 122,1,2024-09-07 08:59:00:876,498859,498859,0,0,232642264194,2444567817,491311,6416,1132,366,392130,0 122,2,2024-09-07 08:59:01:321,361860,361787,73,0,19957382,0,5989 122,3,2024-09-07 08:59:00:623,1,284,1,0,411,5684,284,0 123,0,2024-09-07 08:59:00:977,68214,0.8,66676,0.8,138952,1.0,181867,2.25 123,1,2024-09-07 08:59:00:558,499224,499224,0,0,232443666290,2451653873,489707,7613,1904,369,392039,0 123,2,2024-09-07 08:59:01:019,354981,354980,1,0,16346717,0,5215 123,3,2024-09-07 08:59:01:137,1,284,7,0,168,3277,284,0 124,0,2024-09-07 08:59:00:941,69735,0.3,69720,0.5,131416,0.3,180851,1.75 124,1,2024-09-07 08:59:01:024,501349,501349,0,0,232968382390,2419010702,498398,2585,366,367,392178,0 124,2,2024-09-07 08:59:01:015,358135,358135,0,0,13527117,0,3101 124,3,2024-09-07 08:59:00:825,1,284,0,0,490,2977,284,0 125,0,2024-09-07 08:59:01:423,73744,0.3,73437,0.5,147628,0.3,196535,1.75 125,1,2024-09-07 08:59:00:862,499563,499563,0,0,233288352804,2431765911,496326,2837,400,383,391702,0 125,2,2024-09-07 08:59:01:116,359921,359921,0,0,15203726,0,4534 125,3,2024-09-07 08:59:01:137,1,284,10,0,709,3834,284,0 126,0,2024-09-07 08:59:01:417,73904,0.5,75908,0.6,145127,0.4,196640,1.75 126,1,2024-09-07 08:59:00:551,501569,501569,0,0,233351606339,2417026253,498709,2593,267,365,391987,0 126,2,2024-09-07 08:59:00:612,364463,364463,0,0,15048471,0,3186 126,3,2024-09-07 08:59:00:907,1,284,1,0,207,3805,284,0 127,0,2024-09-07 08:59:01:609,68201,0.4,68582,0.5,136776,0.3,181810,1.75 127,1,2024-09-07 08:59:00:569,501116,501116,0,0,232868339394,2411146140,498103,2860,153,365,391816,0 127,2,2024-09-07 08:59:00:640,358110,358110,0,0,13173943,0,2264 127,3,2024-09-07 08:59:01:269,1,284,9,0,968,3187,284,0 128,0,2024-09-07 08:59:01:534,68327,0.3,68309,0.4,136595,0.2,181055,1.50 128,1,2024-09-07 08:59:01:617,500869,500869,0,0,233431153194,2421154977,498103,2598,168,367,391798,0 128,2,2024-09-07 08:59:01:383,358992,358992,0,0,13256807,0,2434 128,3,2024-09-07 08:59:00:780,1,284,5,0,1082,5496,284,0 129,0,2024-09-07 08:59:01:032,72399,0.3,72093,0.4,144334,0.2,192005,1.50 129,1,2024-09-07 08:59:00:578,498312,498312,0,0,231603870922,2424623670,494072,3307,933,379,391835,0 129,2,2024-09-07 08:59:00:687,359890,359890,0,0,14292064,0,4031 129,3,2024-09-07 08:59:00:695,1,284,0,0,469,3822,284,0 130,0,2024-09-07 08:59:01:841,76003,0.6,75636,0.6,151857,0.6,201778,1.75 130,1,2024-09-07 08:59:00:582,500953,500953,0,0,233034001685,2421682810,498501,2373,79,381,391825,0 130,2,2024-09-07 08:59:01:125,362839,362839,0,0,13919866,0,4067 130,3,2024-09-07 08:59:01:295,1,284,0,0,450,3717,284,0 131,0,2024-09-07 08:59:01:975,70216,0.4,70699,0.5,141927,0.3,188065,1.75 131,1,2024-09-07 08:59:01:824,501380,501380,0,0,233031201001,2428190001,498232,2686,462,381,391865,0 131,2,2024-09-07 08:59:00:583,361812,361812,0,0,12756937,0,2415 131,3,2024-09-07 08:59:01:701,1,284,13,0,392,2951,284,0 132,0,2024-09-07 08:59:01:411,69215,0.5,70095,0.6,139614,0.4,185804,2.00 132,1,2024-09-07 08:59:00:582,498210,498210,0,0,231423492789,2442209167,490120,6631,1459,381,392097,0 132,2,2024-09-07 08:59:00:707,357560,357560,0,0,16731222,0,4606 132,3,2024-09-07 08:59:01:699,1,284,39,0,356,3818,284,0 133,0,2024-09-07 08:59:01:529,68565,0.4,69984,0.5,143447,0.3,186499,1.75 133,1,2024-09-07 08:59:00:618,498823,498823,0,0,231837472336,2444067728,491916,5914,993,383,391914,0 133,2,2024-09-07 08:59:01:093,357685,357685,0,0,18242091,0,4315 133,3,2024-09-07 08:59:01:305,1,284,3,0,276,2773,284,0 134,0,2024-09-07 08:59:00:948,76722,0.5,76704,0.7,153332,0.5,204833,2.00 134,1,2024-09-07 08:59:00:589,498794,498794,0,0,231981255569,2436661626,491856,5461,1477,366,391718,0 134,2,2024-09-07 08:59:01:765,359286,359286,0,0,15385034,0,3847 134,3,2024-09-07 08:59:00:764,1,284,4,0,739,4006,284,0 135,0,2024-09-07 08:59:01:102,69213,0.8,69178,0.9,146893,0.8,189863,2.25 135,1,2024-09-07 08:59:01:601,498662,498662,0,0,232436963814,2447890384,491271,6201,1190,380,391805,0 135,2,2024-09-07 08:59:00:687,362436,362436,0,0,16846761,0,3981 135,3,2024-09-07 08:59:01:012,1,284,11,0,299,2146,284,0 136,0,2024-09-07 08:59:01:652,68952,0.6,68903,0.7,137329,0.6,183149,2.25 136,1,2024-09-07 08:59:01:475,498828,498828,0,0,232044236507,2441139310,492398,5764,666,382,391685,0 136,2,2024-09-07 08:59:01:137,357203,357203,0,0,16185210,0,3506 136,3,2024-09-07 08:59:01:106,1,284,1,0,301,2836,284,0 137,0,2024-09-07 08:59:01:043,70273,0.5,68243,0.7,134412,0.5,182539,2.00 137,1,2024-09-07 08:59:00:583,498437,498437,0,0,232210701306,2438194537,490380,6402,1655,366,391708,0 137,2,2024-09-07 08:59:01:715,356851,356851,0,0,18264545,0,3185 137,3,2024-09-07 08:59:00:788,1,284,92,0,382,3512,284,0 138,0,2024-09-07 08:59:01:773,73646,1.2,73902,1.0,148361,1.6,197704,2.25 138,1,2024-09-07 08:59:01:697,498583,498583,0,0,232943964612,2448186930,490554,6468,1561,368,391954,0 138,2,2024-09-07 08:59:00:598,358702,358702,0,0,16802009,0,4988 138,3,2024-09-07 08:59:00:612,1,284,0,0,1160,4162,284,0 139,0,2024-09-07 08:59:01:360,71683,1.6,72021,1.2,144049,2.3,192194,2.50 139,1,2024-09-07 08:59:00:574,497066,497066,0,0,230748722279,2454788244,487109,7438,2519,381,391892,0 139,2,2024-09-07 08:59:00:702,360617,360617,0,0,17614642,0,3097 139,3,2024-09-07 08:59:01:662,1,284,8,0,257,3173,284,0 140,0,2024-09-07 08:59:01:588,68427,0.3,67954,0.5,136656,0.2,181755,1.75 140,1,2024-09-07 08:59:01:536,502297,502297,0,0,233722993986,2408546592,499973,1958,366,365,391606,0 140,2,2024-09-07 08:59:00:687,358094,358094,0,0,13391388,0,3388 140,3,2024-09-07 08:59:00:771,1,284,0,0,247,2342,284,0 141,0,2024-09-07 08:59:01:701,67344,0.3,69204,0.4,132304,0.2,179396,1.50 141,1,2024-09-07 08:59:00:863,501783,501783,0,0,233661983575,2422676833,499061,2314,408,379,391614,0 141,2,2024-09-07 08:59:01:686,357932,357932,0,0,13304173,0,2342 141,3,2024-09-07 08:59:01:044,1,284,3,0,202,2498,284,0 142,0,2024-09-07 08:59:01:319,73582,0.3,72957,0.4,145414,0.2,194997,1.50 142,1,2024-09-07 08:59:00:610,500864,500864,0,0,232731332560,2425232089,497862,2709,293,382,392102,0 142,2,2024-09-07 08:59:01:302,359527,359495,32,0,15326786,0,6028 142,3,2024-09-07 08:59:01:750,1,284,12,0,484,3730,284,0 143,0,2024-09-07 08:59:01:379,74473,0.5,74413,0.6,149246,0.5,198222,1.75 143,1,2024-09-07 08:59:00:559,501523,501523,0,0,233188288068,2416986048,498497,2909,117,367,391651,0 143,2,2024-09-07 08:59:00:768,362875,362875,0,0,14298099,0,2669 143,3,2024-09-07 08:59:01:140,1,284,15,0,303,3565,284,0 144,0,2024-09-07 08:59:01:509,67361,0.6,69272,0.8,141106,0.5,184809,2.00 144,1,2024-09-07 08:59:00:579,498561,498561,0,0,231943486250,2433919928,493980,3646,935,381,391649,0 144,2,2024-09-07 08:59:01:756,359467,359467,0,0,13383811,0,3473 144,3,2024-09-07 08:59:01:740,1,284,14,0,249,3094,284,0 145,0,2024-09-07 08:59:01:359,66630,0.5,66649,0.8,141453,0.5,182435,2.25 145,1,2024-09-07 08:59:00:557,498429,498429,0,0,232668571624,2444793145,492570,5034,825,382,391698,0 145,2,2024-09-07 08:59:01:434,356644,356644,0,0,16180336,0,3903 145,3,2024-09-07 08:59:00:895,1,284,38,0,622,4272,284,0 146,0,2024-09-07 08:59:01:624,70975,0.5,70436,0.7,141851,0.5,188852,2.00 146,1,2024-09-07 08:59:01:596,499425,499425,0,0,232152460434,2445131389,490631,6900,1894,368,391770,0 146,2,2024-09-07 08:59:01:715,358345,358345,0,0,16437941,0,2730 146,3,2024-09-07 08:59:01:284,1,284,65,0,1520,6197,284,0 147,0,2024-09-07 08:59:01:730,76313,0.6,76104,0.8,151444,0.6,202908,2.00 147,1,2024-09-07 08:59:01:375,501231,501231,0,0,233561668689,2428197204,496830,3745,656,368,391791,0 147,2,2024-09-07 08:59:01:013,361638,361638,0,0,13888315,0,2789 147,3,2024-09-07 08:59:00:913,1,284,11,0,730,4164,284,0 0,0,2024-09-07 08:59:11:708,67468,0.5,67449,0.7,143080,0.4,185495,1.75 0,1,2024-09-07 08:59:10:807,501806,501806,0,0,233860092980,2444799974,498174,3423,209,370,391896,0 0,2,2024-09-07 08:59:11:069,359789,359789,0,0,14251792,0,4480 0,3,2024-09-07 08:59:10:974,1,285,13,0,319,3617,285,0 1,0,2024-09-07 08:59:11:773,75809,0.7,75241,0.8,151402,0.8,201945,2.00 1,1,2024-09-07 08:59:10:558,501551,501551,0,0,232688114925,2435819761,496979,3518,1054,370,391857,0 1,2,2024-09-07 08:59:10:639,359962,359962,0,0,13508535,0,3267 1,3,2024-09-07 08:59:11:327,1,285,1,0,262,3311,285,0 2,0,2024-09-07 08:59:11:565,72756,0.7,73036,0.8,144661,0.9,194096,2.00 2,1,2024-09-07 08:59:10:859,502903,502903,0,0,234770950681,2437463293,500646,2037,220,380,391745,0 2,2,2024-09-07 08:59:11:276,364224,364224,0,0,14100650,0,3594 2,3,2024-09-07 08:59:10:693,1,285,5,0,357,2623,285,0 3,0,2024-09-07 08:59:11:745,68513,0.4,68504,0.6,136876,0.4,182048,2.00 3,1,2024-09-07 08:59:11:622,501581,501581,0,0,233393759171,2428785454,497696,3423,462,380,391556,0 3,2,2024-09-07 08:59:11:153,358184,358161,23,0,13944692,0,5851 3,3,2024-09-07 08:59:11:752,1,285,8,0,103,1713,285,0 4,0,2024-09-07 08:59:11:786,65864,0.3,67784,0.5,137753,0.3,180667,1.75 4,1,2024-09-07 08:59:10:591,501244,501244,0,0,232921257474,2448508287,495662,4579,1003,371,391992,0 4,2,2024-09-07 08:59:11:019,357286,357286,0,0,17012086,0,4528 4,3,2024-09-07 08:59:11:041,1,285,2,0,448,4218,285,0 5,0,2024-09-07 08:59:11:389,73949,0.4,74208,0.5,147624,0.4,196983,1.75 5,1,2024-09-07 08:59:10:755,500972,500972,0,0,233269562794,2453388330,494709,4956,1307,368,392005,0 5,2,2024-09-07 08:59:11:830,359331,359331,0,0,15715850,0,2432 5,3,2024-09-07 08:59:11:741,1,285,5,0,457,4271,285,0 6,0,2024-09-07 08:59:10:928,74292,0.5,73760,0.7,147399,0.5,196857,2.00 6,1,2024-09-07 08:59:10:748,501478,501478,0,0,233277243630,2437506324,496129,4422,927,379,391694,0 6,2,2024-09-07 08:59:11:116,364114,364114,0,0,15339330,0,4816 6,3,2024-09-07 08:59:11:279,1,285,8,0,340,3277,285,0 7,0,2024-09-07 08:59:11:534,68095,0.5,68381,0.6,136257,0.5,181067,2.00 7,1,2024-09-07 08:59:10:852,500653,500653,0,0,232838848197,2444453340,493976,5567,1110,382,391747,0 7,2,2024-09-07 08:59:10:787,358231,358231,0,0,14937229,0,4791 7,3,2024-09-07 08:59:10:852,1,285,17,0,398,3353,285,0 8,0,2024-09-07 08:59:11:332,68206,0.4,67951,0.5,135894,0.3,181060,1.75 8,1,2024-09-07 08:59:11:021,500002,500002,0,0,232628873253,2455303001,490604,7186,2212,366,392144,0 8,2,2024-09-07 08:59:10:790,354937,354937,0,0,18692011,0,3220 8,3,2024-09-07 08:59:10:588,1,285,5,0,538,5096,285,0 9,0,2024-09-07 08:59:11:168,72490,0.3,70302,0.5,147018,0.3,193143,1.75 9,1,2024-09-07 08:59:10:550,500081,500081,0,0,233460771102,2460427739,492838,5599,1644,369,392001,0 9,2,2024-09-07 08:59:11:083,359868,359868,0,0,16714074,0,3360 9,3,2024-09-07 08:59:11:760,1,285,0,0,496,4414,285,0 10,0,2024-09-07 08:59:11:616,75614,0.3,75063,0.5,150890,0.3,200763,1.75 10,1,2024-09-07 08:59:10:583,500652,500652,0,0,233631671965,2450678383,493417,6248,987,381,391741,0 10,2,2024-09-07 08:59:10:774,363038,363038,0,0,18075747,0,4264 10,3,2024-09-07 08:59:10:882,1,285,296,0,296,2594,285,0 11,0,2024-09-07 08:59:11:017,70024,0.5,68011,0.7,142258,0.4,188201,1.75 11,1,2024-09-07 08:59:10:571,501293,501293,0,0,233284878590,2455610398,491824,7056,2413,383,391573,0 11,2,2024-09-07 08:59:11:124,359920,359920,0,0,16319792,0,4130 11,3,2024-09-07 08:59:11:305,1,285,8,0,843,4512,285,0 12,0,2024-09-07 08:59:10:977,70151,0.3,70075,0.5,140166,0.3,186220,1.75 12,1,2024-09-07 08:59:10:934,501377,501377,0,0,233565719143,2434722108,497511,3398,468,370,391870,0 12,2,2024-09-07 08:59:11:574,359424,359424,0,0,15413770,0,3469 12,3,2024-09-07 08:59:11:059,1,285,5,0,386,4746,285,0 13,0,2024-09-07 08:59:11:363,70847,0.3,70722,0.5,141358,0.3,188279,1.75 13,1,2024-09-07 08:59:11:533,501667,501667,0,0,232692866805,2439549542,498009,3116,542,382,391740,0 13,2,2024-09-07 08:59:10:595,361056,361056,0,0,14515003,0,3287 13,3,2024-09-07 08:59:11:762,1,285,5,0,522,4675,285,0 14,0,2024-09-07 08:59:10:567,77208,0.4,77816,0.6,153979,0.4,205563,1.75 14,1,2024-09-07 08:59:11:562,504517,504517,0,0,234671283896,2427956299,501320,3000,197,364,391571,0 14,2,2024-09-07 08:59:10:768,360910,360910,0,0,14376318,0,2896 14,3,2024-09-07 08:59:11:115,1,285,42,0,1168,3931,285,0 15,0,2024-09-07 08:59:11:566,71927,0.5,72000,0.7,143762,0.4,191561,2.00 15,1,2024-09-07 08:59:11:610,502652,502652,0,0,233659811906,2428564889,499916,2558,178,381,391619,0 15,2,2024-09-07 08:59:11:004,364585,364585,0,0,12435232,0,3043 15,3,2024-09-07 08:59:11:407,1,285,8,0,1126,5563,285,0 16,0,2024-09-07 08:59:10:957,68864,0.6,69112,0.8,137654,0.7,183260,2.25 16,1,2024-09-07 08:59:10:563,502722,502722,0,0,233562525177,2438455872,499060,3360,302,370,391756,0 16,2,2024-09-07 08:59:11:436,358366,358366,0,0,14890288,0,4719 16,3,2024-09-07 08:59:11:153,1,285,3,0,317,3996,285,0 17,0,2024-09-07 08:59:11:784,70609,0.4,69028,0.7,134698,0.4,183274,1.75 17,1,2024-09-07 08:59:10:576,501309,501309,0,0,232860469862,2443192037,496179,4024,1106,368,391899,0 17,2,2024-09-07 08:59:11:685,361601,361601,0,0,14675724,0,2857 17,3,2024-09-07 08:59:10:574,1,285,6,0,268,4523,285,0 18,0,2024-09-07 08:59:10:950,73929,0.6,74434,0.7,148287,0.5,198149,2.25 18,1,2024-09-07 08:59:11:638,502584,502584,0,0,234460929529,2429017960,499783,2559,242,367,391649,0 18,2,2024-09-07 08:59:11:768,361757,361757,0,0,13988653,0,3541 18,3,2024-09-07 08:59:10:896,1,285,5,0,163,2645,285,0 19,0,2024-09-07 08:59:11:542,72434,0.6,72983,0.8,144796,0.6,192727,2.00 19,1,2024-09-07 08:59:10:568,502667,502667,0,0,234287290023,2431946282,497968,3912,787,367,391777,0 19,2,2024-09-07 08:59:11:752,366030,366030,0,0,12864850,0,3988 19,3,2024-09-07 08:59:11:131,1,285,8,0,524,2164,285,0 20,0,2024-09-07 08:59:11:345,68020,0.6,68058,0.7,136322,0.6,181692,2.25 20,1,2024-09-07 08:59:10:567,501065,501065,0,0,233366318435,2440189337,497075,3589,401,369,391886,0 20,2,2024-09-07 08:59:10:927,358948,358948,0,0,14587166,0,3721 20,3,2024-09-07 08:59:10:589,1,285,12,0,414,4888,285,0 21,0,2024-09-07 08:59:11:173,67672,0.5,67743,0.6,135286,0.4,179545,2.00 21,1,2024-09-07 08:59:11:540,499629,499629,0,0,232094996074,2445299629,492903,5101,1625,368,392016,0 21,2,2024-09-07 08:59:11:077,357490,357490,0,0,17334297,0,3747 21,3,2024-09-07 08:59:11:404,1,285,1,0,103,2904,285,0 22,0,2024-09-07 08:59:11:724,73136,0.5,73330,0.7,146555,0.4,195002,2.00 22,1,2024-09-07 08:59:11:025,500994,500994,0,0,232801824454,2449310209,493379,5948,1667,382,391667,0 22,2,2024-09-07 08:59:10:765,360142,360142,0,0,14881092,0,3134 22,3,2024-09-07 08:59:11:067,1,285,1,0,228,2543,285,0 23,0,2024-09-07 08:59:11:367,74109,0.6,73999,0.7,148260,0.6,197306,2.25 23,1,2024-09-07 08:59:11:005,501907,501907,0,0,233226703905,2448102899,493940,5300,2667,365,391690,0 23,2,2024-09-07 08:59:11:094,364360,364360,0,0,14320739,0,3010 23,3,2024-09-07 08:59:11:760,1,285,8,0,645,3525,285,0 24,0,2024-09-07 08:59:10:813,70193,0.4,70042,0.6,140608,0.4,186343,1.75 24,1,2024-09-07 08:59:10:583,500823,500823,0,0,232504126415,2441529493,494472,4829,1522,368,391987,0 24,2,2024-09-07 08:59:11:069,358314,358314,0,0,17387777,0,3607 24,3,2024-09-07 08:59:11:689,1,285,0,0,468,4094,285,0 25,0,2024-09-07 08:59:11:352,70826,0.4,69053,0.6,135540,0.4,184575,2.00 25,1,2024-09-07 08:59:10:568,501706,501706,0,0,233283534924,2445830497,495838,5050,818,371,391928,0 25,2,2024-09-07 08:59:11:628,358300,358300,0,0,17989044,0,3978 25,3,2024-09-07 08:59:11:009,1,285,17,0,255,3021,285,0 26,0,2024-09-07 08:59:11:734,71176,0.4,69490,0.6,145671,0.3,190150,1.75 26,1,2024-09-07 08:59:11:545,501693,501693,0,0,233676353188,2451846236,493927,6518,1248,381,391748,0 26,2,2024-09-07 08:59:10:860,360061,360061,0,0,17706386,0,2809 26,3,2024-09-07 08:59:11:724,1,285,5,0,796,3631,285,0 27,0,2024-09-07 08:59:11:735,76665,0.5,76770,0.6,151948,0.5,202928,2.00 27,1,2024-09-07 08:59:11:676,503081,503081,0,0,234471536836,2443174055,498371,3949,761,381,391626,0 27,2,2024-09-07 08:59:10:871,359705,359640,65,0,17821248,0,5699 27,3,2024-09-07 08:59:11:017,1,285,8,0,564,2994,285,0 28,0,2024-09-07 08:59:11:390,71452,0.4,71282,0.6,142978,0.4,190569,2.00 28,1,2024-09-07 08:59:10:798,502906,502906,0,0,234250161958,2438274423,499769,2665,472,383,391646,0 28,2,2024-09-07 08:59:11:772,361897,361897,0,0,14120366,0,2915 28,3,2024-09-07 08:59:11:778,1,285,0,0,502,2867,285,0 29,0,2024-09-07 08:59:11:373,71130,0.4,69460,0.6,135917,0.3,185788,1.75 29,1,2024-09-07 08:59:11:572,503562,503562,0,0,233732927657,2419695164,500102,2893,567,369,391753,0 29,2,2024-09-07 08:59:10:860,358357,358357,0,0,13201042,0,4018 29,3,2024-09-07 08:59:10:963,1,285,9,0,284,2322,285,0 30,0,2024-09-07 08:59:11:456,69439,0.5,67412,0.7,141052,0.4,184953,2.00 30,1,2024-09-07 08:59:10:571,503975,503975,0,0,234578979139,2430407703,501301,2361,313,382,391672,0 30,2,2024-09-07 08:59:11:280,359890,359890,0,0,13319875,0,3161 30,3,2024-09-07 08:59:10:581,1,285,38,0,519,2693,285,0 31,0,2024-09-07 08:59:11:758,75355,0.4,75693,0.6,151414,0.3,201619,1.75 31,1,2024-09-07 08:59:10:563,505560,505560,0,0,235317738718,2410533013,504117,1223,220,356,391712,0 31,2,2024-09-07 08:59:11:276,360572,360572,0,0,14938174,0,3525 31,3,2024-09-07 08:59:11:706,1,285,8,0,220,2198,285,0 32,0,2024-09-07 08:59:11:427,72638,0.3,72978,0.5,146071,0.3,194422,1.75 32,1,2024-09-07 08:59:10:804,503430,503430,0,0,233922165837,2426263951,501120,2031,279,381,391646,0 32,2,2024-09-07 08:59:10:934,364434,364434,0,0,12811024,0,3155 32,3,2024-09-07 08:59:11:016,1,285,15,0,227,2199,285,0 33,0,2024-09-07 08:59:11:492,68915,0.3,68370,0.5,137331,0.2,182974,1.75 33,1,2024-09-07 08:59:10:577,503978,503978,0,0,235130756115,2428996813,501122,2682,174,369,391730,0 33,2,2024-09-07 08:59:10:764,359375,359342,33,0,14222297,0,7012 33,3,2024-09-07 08:59:10:897,1,285,2,0,329,3204,285,0 34,0,2024-09-07 08:59:10:933,67814,0.3,70018,0.4,134057,0.2,180013,1.50 34,1,2024-09-07 08:59:11:053,504702,504702,0,0,235010663493,2416255866,503498,1197,7,367,391562,0 34,2,2024-09-07 08:59:10:766,359259,359259,0,0,13949661,0,3577 34,3,2024-09-07 08:59:11:691,1,285,3,0,299,2232,285,0 35,0,2024-09-07 08:59:10:858,73308,0.3,73694,0.5,148296,0.2,197575,1.75 35,1,2024-09-07 08:59:11:067,503188,503188,0,0,233465116095,2420640547,499736,2672,780,384,391589,0 35,2,2024-09-07 08:59:11:583,361249,361249,0,0,14076038,0,2653 35,3,2024-09-07 08:59:10:910,1,285,7,0,418,3503,285,0 36,0,2024-09-07 08:59:11:546,74044,0.5,74012,0.7,148066,0.5,196494,2.25 36,1,2024-09-07 08:59:10:582,502680,502680,0,0,232970235760,2434911233,496412,4685,1583,366,391759,0 36,2,2024-09-07 08:59:11:751,364152,364152,0,0,15636133,0,3875 36,3,2024-09-07 08:59:10:862,1,285,10,0,416,4568,285,0 37,0,2024-09-07 08:59:11:388,68050,0.5,68013,0.7,136186,0.5,182085,2.00 37,1,2024-09-07 08:59:10:569,501442,501435,0,7,232913919409,2437080430,495232,4132,2071,365,391560,0 37,2,2024-09-07 08:59:11:160,357420,357405,15,0,15548302,0,5815 37,3,2024-09-07 08:59:11:767,1,285,0,0,888,4667,285,0 38,0,2024-09-07 08:59:11:439,67429,0.4,65556,0.6,137132,0.4,178971,2.00 38,1,2024-09-07 08:59:11:606,503054,503054,0,0,234362394297,2442562513,497648,4730,676,368,391821,0 38,2,2024-09-07 08:59:10:763,358246,358199,47,0,16563977,0,6710 38,3,2024-09-07 08:59:11:002,1,285,0,0,689,3661,285,0 39,0,2024-09-07 08:59:11:759,74008,0.5,72476,0.7,141016,0.5,193361,2.00 39,1,2024-09-07 08:59:10:723,502101,502101,0,0,233952363525,2445752965,495306,5584,1211,365,391594,0 39,2,2024-09-07 08:59:11:418,360281,360281,0,0,14511921,0,2689 39,3,2024-09-07 08:59:10:717,1,285,9,0,324,3705,285,0 40,0,2024-09-07 08:59:11:543,74701,0.8,75394,1.0,150643,0.9,200530,3.00 40,1,2024-09-07 08:59:10:575,502084,502084,0,0,233128133515,2443315604,494341,6293,1450,368,391668,0 40,2,2024-09-07 08:59:11:316,362900,362899,1,0,17700221,0,5137 40,3,2024-09-07 08:59:11:147,1,285,0,0,398,3353,285,0 41,0,2024-09-07 08:59:11:036,69817,1.7,71512,1.3,136578,2.8,186172,3.25 41,1,2024-09-07 08:59:10:768,501663,501663,0,0,233490191038,2441118378,495802,5226,635,370,391742,0 41,2,2024-09-07 08:59:10:764,358946,358946,0,0,16885702,0,3356 41,3,2024-09-07 08:59:11:680,1,285,1,0,366,3122,285,0 42,0,2024-09-07 08:59:11:496,68994,0.7,69073,0.9,138422,0.7,182928,2.50 42,1,2024-09-07 08:59:11:448,500953,500953,0,0,233009556862,2449719372,492941,6452,1560,380,391675,0 42,2,2024-09-07 08:59:11:144,359201,359201,0,0,16238027,0,3568 42,3,2024-09-07 08:59:11:009,1,285,1,0,446,2495,285,0 43,0,2024-09-07 08:59:10:921,69980,0.8,68165,1.0,142816,0.8,187749,2.25 43,1,2024-09-07 08:59:10:576,502042,502042,0,0,234335835212,2450659712,494805,5892,1345,366,391696,0 43,2,2024-09-07 08:59:11:736,359835,359835,0,0,16797151,0,3812 43,3,2024-09-07 08:59:11:749,1,285,2,0,467,3604,285,0 44,0,2024-09-07 08:59:10:879,77019,0.5,77423,0.6,154350,0.4,205714,1.75 44,1,2024-09-07 08:59:10:563,503889,503889,0,0,233625320573,2410417564,501058,2307,524,356,391809,0 44,2,2024-09-07 08:59:11:270,360329,360329,0,0,13257812,0,2231 44,3,2024-09-07 08:59:11:110,1,285,0,0,817,3194,285,0 45,0,2024-09-07 08:59:11:756,70870,0.6,69235,0.8,145310,0.6,191373,2.00 45,1,2024-09-07 08:59:11:013,503362,503362,0,0,234697952854,2431119331,501526,1823,13,382,391917,0 45,2,2024-09-07 08:59:11:280,363703,363703,0,0,14032515,0,3596 45,3,2024-09-07 08:59:10:933,1,285,4,0,271,2929,285,0 46,0,2024-09-07 08:59:10:982,68358,0.5,68322,0.7,136744,0.5,181277,2.00 46,1,2024-09-07 08:59:10:575,504331,504331,0,0,234050649993,2414208276,501908,2149,274,366,391539,0 46,2,2024-09-07 08:59:10:593,359319,359319,0,0,13336189,0,2920 46,3,2024-09-07 08:59:11:136,1,285,77,0,908,4360,285,0 47,0,2024-09-07 08:59:11:119,68808,0.4,68855,0.6,138160,0.3,183077,1.75 47,1,2024-09-07 08:59:10:566,504052,504052,0,0,234426742273,2418347462,501635,2283,134,366,391641,0 47,2,2024-09-07 08:59:10:915,361287,361287,0,0,13312872,0,2558 47,3,2024-09-07 08:59:11:115,1,285,9,0,529,2632,285,0 48,0,2024-09-07 08:59:11:538,75226,0.3,74738,0.4,149150,0.2,199150,1.50 48,1,2024-09-07 08:59:11:029,503055,503055,0,0,234642896623,2436204444,499776,2978,301,384,391710,0 48,2,2024-09-07 08:59:10:701,360509,360509,0,0,12910158,0,3031 48,3,2024-09-07 08:59:10:753,1,285,1,0,339,2364,285,0 49,0,2024-09-07 08:59:11:734,74864,0.3,73452,0.5,142555,0.3,195187,1.75 49,1,2024-09-07 08:59:11:026,502221,502221,0,0,233764508776,2435012000,498297,2743,1181,382,391809,0 49,2,2024-09-07 08:59:11:801,365582,365582,0,0,13647610,0,3900 49,3,2024-09-07 08:59:11:419,1,285,1,0,408,2935,285,0 50,0,2024-09-07 08:59:11:522,68220,0.3,67634,0.5,136120,0.2,181428,1.75 50,1,2024-09-07 08:59:11:011,503819,503819,0,0,235292810251,2432576493,500677,2832,310,368,391540,0 50,2,2024-09-07 08:59:11:074,359007,359007,0,0,12558141,0,2263 50,3,2024-09-07 08:59:11:304,1,285,3,0,335,2378,285,0 51,0,2024-09-07 08:59:11:687,69195,0.3,67731,0.4,132091,0.2,179992,1.50 51,1,2024-09-07 08:59:11:681,504627,504627,0,0,235143283659,2426026571,501787,1900,940,365,391706,0 51,2,2024-09-07 08:59:11:319,359149,359149,0,0,11920092,0,3337 51,3,2024-09-07 08:59:11:027,1,285,14,0,678,2245,285,0 52,0,2024-09-07 08:59:11:472,73778,0.4,73501,0.6,147142,0.4,195639,2.00 52,1,2024-09-07 08:59:10:579,502055,502055,0,0,233293149500,2444244644,494699,6102,1254,368,391722,0 52,2,2024-09-07 08:59:11:972,358268,358230,38,0,16619282,0,6742 52,3,2024-09-07 08:59:10:677,1,285,1,0,1782,4656,285,0 53,0,2024-09-07 08:59:11:744,73917,0.7,71872,0.8,150237,0.8,196792,2.25 53,1,2024-09-07 08:59:10:771,500821,500821,0,0,233631622019,2453466115,492707,5665,2449,367,391702,0 53,2,2024-09-07 08:59:11:298,364349,364349,0,0,13980647,0,2727 53,3,2024-09-07 08:59:10:707,1,285,1,0,308,2684,285,0 54,0,2024-09-07 08:59:11:618,68599,0.7,69165,0.8,137182,0.5,183519,2.50 54,1,2024-09-07 08:59:10:588,502454,502454,0,0,234240858767,2435768136,497352,4503,599,367,391659,0 54,2,2024-09-07 08:59:10:872,359407,359401,6,0,15878371,0,5382 54,3,2024-09-07 08:59:10:764,1,285,1,0,676,4548,285,0 55,0,2024-09-07 08:59:11:761,66599,0.5,69031,0.7,138815,0.5,180834,2.25 55,1,2024-09-07 08:59:10:765,501861,501861,0,0,233569161158,2432766557,495677,5276,908,365,391731,0 55,2,2024-09-07 08:59:10:731,358297,358297,0,0,15607186,0,3563 55,3,2024-09-07 08:59:10:677,1,285,8,0,304,3077,285,0 56,0,2024-09-07 08:59:11:629,73003,1.1,68821,1.1,141790,1.6,190139,2.50 56,1,2024-09-07 08:59:10:577,500153,500153,0,0,232965136196,2462519987,492297,6322,1534,381,391729,0 56,2,2024-09-07 08:59:11:312,360215,360215,0,0,16980631,0,3567 56,3,2024-09-07 08:59:11:059,1,285,1,0,705,3942,285,0 57,0,2024-09-07 08:59:10:939,75353,1.7,75136,1.3,150548,2.4,201576,3.25 57,1,2024-09-07 08:59:10:994,501547,501547,0,0,233738563593,2448588295,495685,5176,686,367,392032,0 57,2,2024-09-07 08:59:11:325,361689,361689,0,0,18248592,0,3317 57,3,2024-09-07 08:59:11:740,1,285,2,0,359,3604,285,0 58,0,2024-09-07 08:59:10:559,70041,1.0,68219,1.0,142772,1.3,187205,2.50 58,1,2024-09-07 08:59:10:575,502704,502701,0,3,233945279387,2445874949,495866,5946,889,367,391603,3 58,2,2024-09-07 08:59:11:071,361789,361789,0,0,16450108,0,2549 58,3,2024-09-07 08:59:11:068,1,285,1,0,1043,3123,285,0 59,0,2024-09-07 08:59:11:742,68910,0.7,68653,0.9,136926,0.8,182351,2.75 59,1,2024-09-07 08:59:10:805,501503,501503,0,0,233589589449,2445346082,494967,5253,1283,369,391525,0 59,2,2024-09-07 08:59:10:583,359917,359917,0,0,15306269,0,2604 59,3,2024-09-07 08:59:11:737,1,285,2,0,1015,3937,285,0 60,0,2024-09-07 08:59:11:704,69543,0.4,69465,0.6,140017,0.4,185564,1.75 60,1,2024-09-07 08:59:10:776,503844,503844,0,0,234447210072,2428943863,501296,2025,523,370,392031,0 60,2,2024-09-07 08:59:11:153,359612,359612,0,0,15628907,0,3811 60,3,2024-09-07 08:59:11:269,1,285,1,0,175,3045,285,0 61,0,2024-09-07 08:59:11:503,75486,0.5,76020,0.7,151096,0.5,201459,1.75 61,1,2024-09-07 08:59:10:771,501701,501701,0,0,233743449608,2445597056,496513,4384,804,382,391880,0 61,2,2024-09-07 08:59:11:120,361220,361220,0,0,14335555,0,2780 61,3,2024-09-07 08:59:11:697,1,285,91,0,397,3845,285,0 62,0,2024-09-07 08:59:11:744,73241,0.6,74786,0.7,142372,0.6,193970,2.00 62,1,2024-09-07 08:59:11:115,505055,505049,0,6,235557957679,2429623741,502236,2653,160,365,391715,6 62,2,2024-09-07 08:59:11:646,362776,362775,1,0,15705260,0,5555 62,3,2024-09-07 08:59:11:159,1,285,1,0,287,1915,285,0 63,0,2024-09-07 08:59:11:480,68562,0.5,68708,0.6,137389,0.4,182611,1.75 63,1,2024-09-07 08:59:10:804,503519,503513,0,6,234471900235,2428019524,501564,1887,62,381,391677,6 63,2,2024-09-07 08:59:10:768,358581,358581,0,0,13018669,0,2674 63,3,2024-09-07 08:59:11:731,1,285,14,0,667,3182,285,0 64,0,2024-09-07 08:59:11:517,67553,0.4,67484,0.6,135088,0.3,179929,1.75 64,1,2024-09-07 08:59:10:760,502652,502652,0,0,234420341796,2442245014,498088,3148,1416,370,391783,0 64,2,2024-09-07 08:59:11:162,362205,362186,19,0,13821609,0,6121 64,3,2024-09-07 08:59:11:161,1,285,0,0,265,2699,285,0 65,0,2024-09-07 08:59:11:681,73406,0.6,73819,0.7,147237,0.6,196483,2.00 65,1,2024-09-07 08:59:10:877,501533,501533,0,0,233521437456,2440898152,497846,3240,447,382,391901,0 65,2,2024-09-07 08:59:11:693,361321,361321,0,0,15426299,0,3367 65,3,2024-09-07 08:59:11:683,1,285,19,0,163,2740,285,0 66,0,2024-09-07 08:59:11:770,73615,0.5,73481,0.7,147417,0.4,195635,2.00 66,1,2024-09-07 08:59:11:306,503053,503053,0,0,234078783673,2432224823,500138,2669,246,380,391653,0 66,2,2024-09-07 08:59:11:132,366187,366187,0,0,14520363,0,4956 66,3,2024-09-07 08:59:11:085,1,285,1,0,291,2819,285,0 67,0,2024-09-07 08:59:11:415,68851,0.6,68121,0.7,137142,0.6,182253,2.00 67,1,2024-09-07 08:59:10:766,502940,502939,0,1,234490813260,2443131198,499005,3185,749,380,391787,1 67,2,2024-09-07 08:59:10:583,359786,359786,0,0,13112348,0,2889 67,3,2024-09-07 08:59:11:750,1,285,7,0,338,2542,285,0 68,0,2024-09-07 08:59:10:581,68165,0.6,67935,0.7,135647,0.5,180765,2.00 68,1,2024-09-07 08:59:10:581,501564,501564,0,0,232878732849,2440416698,497234,3159,1171,381,391953,0 68,2,2024-09-07 08:59:11:048,357547,357482,65,0,17759845,0,6698 68,3,2024-09-07 08:59:10:730,1,285,6,0,417,3216,285,0 69,0,2024-09-07 08:59:11:731,71970,0.7,72290,0.8,144220,0.9,192049,2.25 69,1,2024-09-07 08:59:11:017,500578,500578,0,0,233071534301,2458875301,494182,4811,1585,384,391994,0 69,2,2024-09-07 08:59:11:732,360447,360447,0,0,17737951,0,3722 69,3,2024-09-07 08:59:10:769,1,285,8,0,698,4135,285,0 70,0,2024-09-07 08:59:11:557,74858,1.0,74902,1.1,150420,0.8,199137,2.50 70,1,2024-09-07 08:59:10:843,503617,503617,0,0,234264261611,2432979157,499355,3726,536,366,391725,0 70,2,2024-09-07 08:59:11:326,362444,362444,0,0,16056598,0,4044 70,3,2024-09-07 08:59:10:751,1,285,13,0,854,3423,285,0 71,0,2024-09-07 08:59:11:377,69820,1.0,69766,1.1,139945,1.3,186939,2.75 71,1,2024-09-07 08:59:11:607,501411,501411,0,0,233177728373,2433265332,495819,4949,643,368,391738,0 71,2,2024-09-07 08:59:11:068,360725,360725,0,0,16222763,0,4042 71,3,2024-09-07 08:59:11:750,1,285,14,0,644,3908,285,0 72,0,2024-09-07 08:59:11:023,71943,0.7,70334,0.8,137177,0.7,186716,2.00 72,1,2024-09-07 08:59:11:027,501633,501633,0,0,233379036893,2442016606,494937,5204,1492,369,391819,0 72,2,2024-09-07 08:59:11:754,357935,357935,0,0,18238618,0,3983 72,3,2024-09-07 08:59:11:762,1,285,8,0,564,4745,285,0 73,0,2024-09-07 08:59:11:127,68879,0.4,70372,0.6,144376,0.3,187637,2.00 73,1,2024-09-07 08:59:10:769,502549,502549,0,0,233462043586,2427004191,498944,3315,290,367,391750,0 73,2,2024-09-07 08:59:11:738,360235,360235,0,0,17709920,0,3701 73,3,2024-09-07 08:59:10:984,1,285,0,0,274,4038,285,0 74,0,2024-09-07 08:59:11:328,77542,0.5,79340,0.7,151551,0.5,205556,2.25 74,1,2024-09-07 08:59:10:635,502061,502061,0,0,233399351539,2437637468,497007,4086,968,381,391681,0 74,2,2024-09-07 08:59:11:009,360292,360292,0,0,16997957,0,4253 74,3,2024-09-07 08:59:11:447,1,285,2,0,522,3683,285,0 75,0,2024-09-07 08:59:11:777,72101,0.5,71750,0.7,143816,0.4,192454,2.25 75,1,2024-09-07 08:59:11:588,501361,501361,0,0,233704892417,2442962371,495993,4704,664,380,391660,0 75,2,2024-09-07 08:59:11:350,362478,362478,0,0,16873660,0,4766 75,3,2024-09-07 08:59:11:073,1,285,12,0,702,4126,285,0 76,0,2024-09-07 08:59:10:624,68404,0.6,67740,0.8,136180,0.6,182293,2.50 76,1,2024-09-07 08:59:10:809,502404,502404,0,0,233993103615,2439077727,499139,2713,552,382,391692,0 76,2,2024-09-07 08:59:11:072,359868,359868,0,0,13950092,0,3784 76,3,2024-09-07 08:59:11:167,1,285,74,0,175,2844,285,0 77,0,2024-09-07 08:59:11:701,68533,0.4,68817,0.7,137454,0.4,182530,1.75 77,1,2024-09-07 08:59:10:829,502701,502701,0,0,234242065857,2443498625,499032,3406,263,381,391869,0 77,2,2024-09-07 08:59:11:282,359371,359371,0,0,14799496,0,3890 77,3,2024-09-07 08:59:11:103,1,285,96,0,382,3105,285,0 78,0,2024-09-07 08:59:11:723,74917,0.4,74405,0.6,149520,0.3,198483,2.00 78,1,2024-09-07 08:59:10:610,502146,502146,0,0,233145768646,2420964238,498513,3121,512,367,391670,0 78,2,2024-09-07 08:59:11:405,360907,360907,0,0,13320854,0,3855 78,3,2024-09-07 08:59:11:142,1,285,1,0,181,2625,285,0 79,0,2024-09-07 08:59:11:350,70705,0.4,72491,0.6,148161,0.4,192685,2.25 79,1,2024-09-07 08:59:10:577,504177,504177,0,0,234762846795,2428977877,500650,3082,445,369,391682,0 79,2,2024-09-07 08:59:11:068,365511,365511,0,0,13406836,0,3212 79,3,2024-09-07 08:59:10:753,1,285,8,0,418,4002,285,0 80,0,2024-09-07 08:59:11:084,68123,0.6,70078,0.7,134245,0.6,181159,2.00 80,1,2024-09-07 08:59:11:622,502119,502119,0,0,233566843651,2429017548,499104,2842,173,368,391791,0 80,2,2024-09-07 08:59:11:094,359756,359756,0,0,13462836,0,4433 80,3,2024-09-07 08:59:10:575,1,285,11,0,190,3699,285,0 81,0,2024-09-07 08:59:11:571,67351,0.4,69176,0.6,132244,0.4,178911,1.75 81,1,2024-09-07 08:59:11:662,501449,501449,0,0,233163612410,2435937057,497277,3697,475,382,391879,0 81,2,2024-09-07 08:59:11:126,358847,358847,0,0,14101510,0,3993 81,3,2024-09-07 08:59:11:119,1,285,34,0,374,3525,285,0 82,0,2024-09-07 08:59:11:532,73047,0.4,73476,0.6,147165,0.3,195782,1.75 82,1,2024-09-07 08:59:10:583,503238,503234,0,4,234539286073,2440422456,499920,2702,612,381,391768,4 82,2,2024-09-07 08:59:11:698,362242,362242,0,0,13363253,0,3986 82,3,2024-09-07 08:59:11:752,1,285,1,0,363,3049,285,0 83,0,2024-09-07 08:59:11:529,74566,0.5,74513,0.7,148044,0.5,197085,2.00 83,1,2024-09-07 08:59:10:551,502245,502245,0,0,234116302196,2439212718,498889,3110,246,382,391709,0 83,2,2024-09-07 08:59:10:768,363788,363788,0,0,13522833,0,3119 83,3,2024-09-07 08:59:10:752,1,285,12,0,250,3160,285,0 84,0,2024-09-07 08:59:11:818,69247,0.7,69112,0.9,138296,0.7,184989,2.25 84,1,2024-09-07 08:59:11:063,501538,501538,0,0,233431257711,2438660901,496591,4362,585,367,391967,0 84,2,2024-09-07 08:59:10:599,359518,359518,0,0,15516329,0,3801 84,3,2024-09-07 08:59:11:151,1,285,3,0,908,4533,285,0 85,0,2024-09-07 08:59:11:047,66683,0.6,66655,0.8,141259,0.6,182463,2.25 85,1,2024-09-07 08:59:10:560,501040,501040,0,0,233120251349,2461144438,493627,6294,1119,381,392006,0 85,2,2024-09-07 08:59:10:865,359893,359893,0,0,16553135,0,3656 85,3,2024-09-07 08:59:10:689,1,285,0,0,789,3756,285,0 86,0,2024-09-07 08:59:10:880,71392,0.6,73420,0.7,140454,0.6,189878,2.00 86,1,2024-09-07 08:59:10:830,502160,502160,0,0,233863141097,2445218338,496664,4663,833,366,391961,0 86,2,2024-09-07 08:59:10:858,359280,359279,1,0,17492639,0,5004 86,3,2024-09-07 08:59:10:588,1,285,1,0,286,4002,285,0 87,0,2024-09-07 08:59:11:311,75979,1.0,76026,1.0,152631,1.5,203313,2.25 87,1,2024-09-07 08:59:10:569,501597,501597,0,0,233134294740,2438568551,496485,4610,502,366,392076,0 87,2,2024-09-07 08:59:11:077,361017,361017,0,0,15720206,0,4045 87,3,2024-09-07 08:59:11:799,1,285,7,0,473,4137,285,0 88,0,2024-09-07 08:59:11:481,71302,0.5,71777,0.6,143088,0.5,190331,2.00 88,1,2024-09-07 08:59:10:579,500165,500165,0,0,232949096339,2445863999,492902,5612,1651,365,392084,0 88,2,2024-09-07 08:59:10:702,361996,361996,0,0,17643239,0,3583 88,3,2024-09-07 08:59:11:275,1,285,40,0,435,3386,285,0 89,0,2024-09-07 08:59:11:871,71294,0.5,68902,0.7,136817,0.4,185625,1.75 89,1,2024-09-07 08:59:10:553,500265,500265,0,0,233806512723,2464139680,492946,6054,1265,382,391866,0 89,2,2024-09-07 08:59:11:136,357730,357730,0,0,16976249,0,2910 89,3,2024-09-07 08:59:11:886,1,285,8,0,385,5644,285,0 90,0,2024-09-07 08:59:11:663,67643,0.4,69181,0.6,141705,0.4,184808,1.75 90,1,2024-09-07 08:59:10:590,501733,501733,0,0,233076868056,2443078778,496878,4532,323,381,391825,0 90,2,2024-09-07 08:59:11:406,358291,358291,0,0,18365704,0,3060 90,3,2024-09-07 08:59:10:941,1,285,1,0,200,3053,285,0 91,0,2024-09-07 08:59:10:926,75742,0.4,73589,0.6,153394,0.4,201862,1.75 91,1,2024-09-07 08:59:10:579,500592,500592,0,0,233623557384,2458828236,493707,5996,889,381,392047,0 91,2,2024-09-07 08:59:11:331,360171,360171,0,0,16391822,0,2896 91,3,2024-09-07 08:59:10:602,1,285,13,0,216,2614,285,0 92,0,2024-09-07 08:59:11:491,73318,0.5,75093,0.6,143519,0.5,194542,1.75 92,1,2024-09-07 08:59:10:615,502230,502230,0,0,233575284482,2434508404,499392,2428,410,382,392136,0 92,2,2024-09-07 08:59:11:386,365587,365587,0,0,13955810,0,2801 92,3,2024-09-07 08:59:11:021,1,285,135,0,167,2466,285,0 93,0,2024-09-07 08:59:10:963,68998,0.4,70674,0.6,135085,0.3,183173,1.75 93,1,2024-09-07 08:59:10:805,502251,502251,0,0,233277110656,2432964290,497522,3938,791,366,391776,0 93,2,2024-09-07 08:59:10:931,358630,358630,0,0,14699641,0,2797 93,3,2024-09-07 08:59:11:408,1,285,1,0,190,2895,285,0 94,0,2024-09-07 08:59:11:650,67541,0.3,68359,0.5,136285,0.3,180695,1.75 94,1,2024-09-07 08:59:10:566,501935,501935,0,0,233660454175,2439195749,498504,3249,182,381,391850,0 94,2,2024-09-07 08:59:10:767,358989,358989,0,0,13899895,0,2443 94,3,2024-09-07 08:59:11:695,1,285,15,0,264,3538,285,0 95,0,2024-09-07 08:59:11:358,74000,0.3,73855,0.5,148542,0.3,197364,1.75 95,1,2024-09-07 08:59:10:855,502565,502565,0,0,234215472090,2433746596,498707,3481,377,367,391713,0 95,2,2024-09-07 08:59:11:035,360368,360368,0,0,14241677,0,3308 95,3,2024-09-07 08:59:11:710,1,285,9,0,718,4798,285,0 96,0,2024-09-07 08:59:11:048,74156,0.4,74263,0.5,148390,0.3,196182,1.75 96,1,2024-09-07 08:59:11:606,501769,501769,0,0,233747431280,2439874609,497911,3122,736,384,391894,0 96,2,2024-09-07 08:59:11:285,364478,364478,0,0,14654544,0,4042 96,3,2024-09-07 08:59:11:168,1,285,10,0,411,3191,285,0 97,0,2024-09-07 08:59:11:339,68654,0.3,68343,0.5,137339,0.3,182073,1.75 97,1,2024-09-07 08:59:10:768,503873,503873,0,0,234660392208,2431333496,500814,2500,559,367,392140,0 97,2,2024-09-07 08:59:10:608,359398,359398,0,0,13746573,0,3036 97,3,2024-09-07 08:59:10:572,1,285,1,0,214,3209,285,0 98,0,2024-09-07 08:59:11:786,68066,0.3,67961,0.4,136584,0.2,180945,1.50 98,1,2024-09-07 08:59:10:573,503384,503384,0,0,234045866865,2433721077,501219,2064,101,382,391997,0 98,2,2024-09-07 08:59:10:770,359129,359129,0,0,14040905,0,3080 98,3,2024-09-07 08:59:10:703,1,285,9,0,840,4852,285,0 99,0,2024-09-07 08:59:11:459,72300,0.3,72684,0.4,144719,0.2,193664,1.50 99,1,2024-09-07 08:59:11:727,502731,502731,0,0,233603572250,2432638129,498913,3076,742,381,392069,0 99,2,2024-09-07 08:59:11:423,362711,362711,0,0,14937659,0,3106 99,3,2024-09-07 08:59:10:591,1,285,8,0,187,2341,285,0 100,0,2024-09-07 08:59:11:588,75223,0.8,75184,1.0,150554,1.1,200999,2.50 100,1,2024-09-07 08:59:10:549,499315,499315,0,0,232294555247,2455977301,491785,6053,1477,381,391989,0 100,2,2024-09-07 08:59:11:816,361603,361592,11,0,16724623,0,5417 100,3,2024-09-07 08:59:11:730,1,285,1,0,559,5066,285,0 101,0,2024-09-07 08:59:11:815,71879,1.3,70015,1.1,137446,1.2,188599,2.50 101,1,2024-09-07 08:59:10:552,499783,499783,0,0,232810168424,2453612261,491445,6359,1979,368,391769,0 101,2,2024-09-07 08:59:11:776,358795,358795,0,0,18397091,0,4644 101,3,2024-09-07 08:59:10:955,1,285,1,0,448,3450,285,0 102,0,2024-09-07 08:59:10:960,67915,0.6,70361,0.8,141704,0.6,185816,2.00 102,1,2024-09-07 08:59:11:156,500682,500682,0,0,233324891116,2451873263,494166,5610,906,369,391883,0 102,2,2024-09-07 08:59:11:740,359963,359909,54,0,16067159,0,6768 102,3,2024-09-07 08:59:11:615,1,285,2,0,410,3004,285,0 103,0,2024-09-07 08:59:11:607,72582,0.5,72605,0.7,136997,0.4,189073,1.75 103,1,2024-09-07 08:59:11:673,499653,499653,0,0,233063618384,2457768077,492197,5836,1620,381,391829,0 103,2,2024-09-07 08:59:10:582,358887,358887,0,0,16142238,0,3014 103,3,2024-09-07 08:59:10:769,1,285,12,0,916,3758,285,0 104,0,2024-09-07 08:59:11:019,76134,0.8,76627,1.0,151929,0.8,204717,2.25 104,1,2024-09-07 08:59:11:617,501130,501130,0,0,232906217704,2453502096,492862,6634,1634,365,392168,0 104,2,2024-09-07 08:59:11:679,359384,359384,0,0,17799437,0,3941 104,3,2024-09-07 08:59:11:420,1,285,1,0,1245,6695,285,0 105,0,2024-09-07 08:59:11:049,71150,1.0,69291,1.2,144708,1.4,190812,3.25 105,1,2024-09-07 08:59:10:565,501407,501407,0,0,233119813414,2450466915,493721,6387,1299,366,391797,0 105,2,2024-09-07 08:59:11:337,362921,362921,0,0,17038535,0,3509 105,3,2024-09-07 08:59:11:309,1,285,30,0,399,4602,285,0 106,0,2024-09-07 08:59:10:938,66304,0.9,67930,1.0,139094,1.0,181726,2.50 106,1,2024-09-07 08:59:11:759,501121,501121,0,0,232106748701,2443050296,492694,7423,1004,369,391865,0 106,2,2024-09-07 08:59:10:766,357059,357059,0,0,16004830,0,2795 106,3,2024-09-07 08:59:10:678,1,285,1,0,470,3995,285,0 107,0,2024-09-07 08:59:11:111,68470,0.7,68388,0.8,136848,0.7,182713,2.00 107,1,2024-09-07 08:59:10:842,500006,500006,0,0,232578553005,2450498812,493399,5920,687,381,392234,0 107,2,2024-09-07 08:59:11:306,358051,358050,1,0,17237767,0,5024 107,3,2024-09-07 08:59:11:755,1,285,8,0,353,3859,285,0 108,0,2024-09-07 08:59:11:797,74618,0.4,74996,0.5,149035,0.3,199153,1.75 108,1,2024-09-07 08:59:11:305,501732,501732,0,0,233848997868,2435448716,498283,3060,389,368,391857,0 108,2,2024-09-07 08:59:11:764,359264,359264,0,0,15521268,0,2647 108,3,2024-09-07 08:59:11:332,1,285,13,0,749,5732,285,0 109,0,2024-09-07 08:59:11:789,73429,0.4,72667,0.5,145725,0.3,194787,1.75 109,1,2024-09-07 08:59:10:601,500202,500202,0,0,234056690903,2454284153,495854,3790,558,383,392132,0 109,2,2024-09-07 08:59:10:933,362649,362649,0,0,15817710,0,3617 109,3,2024-09-07 08:59:11:152,1,285,11,0,249,3187,285,0 110,0,2024-09-07 08:59:11:749,68256,0.4,66398,0.6,139018,0.3,181911,1.75 110,1,2024-09-07 08:59:11:654,503570,503570,0,0,233981546633,2428486865,500161,2501,908,369,392045,0 110,2,2024-09-07 08:59:11:313,359022,359022,0,0,14829043,0,3264 110,3,2024-09-07 08:59:10:699,1,285,10,0,406,3576,285,0 111,0,2024-09-07 08:59:11:426,67825,0.3,67231,0.5,134601,0.3,180406,1.75 111,1,2024-09-07 08:59:11:015,503668,503668,0,0,234823488048,2433435594,501550,1782,336,382,391690,0 111,2,2024-09-07 08:59:11:116,358441,358441,0,0,14385543,0,2763 111,3,2024-09-07 08:59:10:913,1,285,21,0,379,3707,285,0 112,0,2024-09-07 08:59:10:937,73709,0.3,73282,0.4,146927,0.2,195804,1.50 112,1,2024-09-07 08:59:10:825,503629,503629,0,0,233963800091,2425789751,500952,2241,436,380,391624,0 112,2,2024-09-07 08:59:11:145,360930,360929,1,0,14428010,0,5036 112,3,2024-09-07 08:59:10:597,1,285,0,0,282,2796,285,0 113,0,2024-09-07 08:59:10:873,74237,0.3,74274,0.5,149202,0.2,198565,1.50 113,1,2024-09-07 08:59:11:685,504638,504638,0,0,235368559219,2426808722,502130,2018,490,366,391661,0 113,2,2024-09-07 08:59:11:316,365227,365227,0,0,12897281,0,3813 113,3,2024-09-07 08:59:10:687,1,285,33,0,340,3833,285,0 114,0,2024-09-07 08:59:10:876,70120,0.4,70521,0.5,140122,0.2,187026,1.75 114,1,2024-09-07 08:59:10:716,502805,502805,0,0,234278257514,2436573411,497974,3242,1589,381,391556,0 114,2,2024-09-07 08:59:10:874,359697,359696,1,0,13972469,0,5069 114,3,2024-09-07 08:59:11:278,1,285,0,0,395,2818,285,0 115,0,2024-09-07 08:59:10:553,69125,0.3,69411,0.4,139040,0.2,184565,1.50 115,1,2024-09-07 08:59:10:571,502654,502654,0,0,233917199359,2431043953,498245,3571,838,382,391639,0 115,2,2024-09-07 08:59:11:125,360295,360295,0,0,12451582,0,2346 115,3,2024-09-07 08:59:11:005,1,285,8,0,159,1676,285,0 116,0,2024-09-07 08:59:11:770,71212,0.8,71079,0.9,142278,0.8,190660,2.00 116,1,2024-09-07 08:59:10:804,500156,500156,0,0,232885580302,2459632476,493599,4500,2057,380,391782,0 116,2,2024-09-07 08:59:11:752,359166,359166,0,0,18194989,0,3529 116,3,2024-09-07 08:59:10:917,1,285,13,0,415,3907,285,0 117,0,2024-09-07 08:59:10:961,76661,0.9,76317,0.9,152456,1.1,203703,2.00 117,1,2024-09-07 08:59:11:596,500939,500939,0,0,233192891907,2441262353,495560,4829,550,370,392033,0 117,2,2024-09-07 08:59:11:130,363696,363696,0,0,14847554,0,3700 117,3,2024-09-07 08:59:11:059,1,285,6,0,490,4373,285,0 118,0,2024-09-07 08:59:11:813,69287,0.6,71310,0.7,145048,0.5,189639,2.00 118,1,2024-09-07 08:59:10:588,501155,501155,0,0,232809982210,2448819711,493757,5573,1825,366,391736,0 118,2,2024-09-07 08:59:11:599,361271,361271,0,0,15907437,0,2781 118,3,2024-09-07 08:59:11:766,1,285,4,0,235,3237,285,0 119,0,2024-09-07 08:59:11:346,68842,0.8,69297,0.8,138617,0.9,184605,2.25 119,1,2024-09-07 08:59:10:552,502244,502244,0,0,233792739037,2444488623,496780,4797,667,367,391780,0 119,2,2024-09-07 08:59:11:275,359243,359243,0,0,14970042,0,4174 119,3,2024-09-07 08:59:11:326,1,285,9,0,443,3911,285,0 120,0,2024-09-07 08:59:11:672,69263,0.6,69066,0.8,138374,0.6,185327,2.25 120,1,2024-09-07 08:59:10:863,501504,501504,0,0,233078584442,2446144349,495962,5123,419,368,391961,0 120,2,2024-09-07 08:59:10:776,358946,358945,1,0,18378097,0,5281 120,3,2024-09-07 08:59:11:305,1,285,24,0,241,3450,285,0 121,0,2024-09-07 08:59:11:789,75561,0.9,75623,0.9,151534,1.1,201659,2.00 121,1,2024-09-07 08:59:11:699,501638,501638,0,0,233693199939,2446935713,496282,4928,428,367,391840,0 121,2,2024-09-07 08:59:11:125,359269,359269,0,0,17657875,0,4127 121,3,2024-09-07 08:59:10:730,1,285,8,0,269,3509,285,0 122,0,2024-09-07 08:59:11:761,72454,0.8,70645,0.9,148101,0.9,194461,2.00 122,1,2024-09-07 08:59:10:873,500585,500585,0,0,233135603679,2449885845,493035,6418,1132,366,392130,0 122,2,2024-09-07 08:59:11:325,363014,362941,73,0,20003093,0,5989 122,3,2024-09-07 08:59:10:596,1,285,1,0,411,5685,285,0 123,0,2024-09-07 08:59:11:010,68381,0.8,66839,0.8,139280,1.0,182323,2.25 123,1,2024-09-07 08:59:10:558,500964,500964,0,0,233292451861,2460773504,491442,7618,1904,369,392039,0 123,2,2024-09-07 08:59:11:209,356273,356272,1,0,16528095,0,5215 123,3,2024-09-07 08:59:11:164,1,285,13,0,168,3290,285,0 124,0,2024-09-07 08:59:10:933,70007,0.3,70012,0.5,132018,0.3,181465,1.75 124,1,2024-09-07 08:59:11:035,503206,503206,0,0,233792938160,2430915785,499659,2859,688,367,392178,0 124,2,2024-09-07 08:59:11:027,359485,359485,0,0,13636218,0,3101 124,3,2024-09-07 08:59:10:764,1,285,2,0,490,2979,285,0 125,0,2024-09-07 08:59:11:481,74172,0.3,73842,0.5,148414,0.3,197587,1.75 125,1,2024-09-07 08:59:10:906,501166,501166,0,0,234229330427,2441651365,497927,2839,400,383,391702,0 125,2,2024-09-07 08:59:11:150,361108,361108,0,0,15370309,0,4534 125,3,2024-09-07 08:59:11:131,1,285,5,0,709,3839,285,0 126,0,2024-09-07 08:59:11:428,74060,0.5,76074,0.6,145433,0.4,197090,1.75 126,1,2024-09-07 08:59:10:553,503332,503332,0,0,234298945703,2426975267,500460,2605,267,365,391987,0 126,2,2024-09-07 08:59:10:616,365708,365708,0,0,15117261,0,3186 126,3,2024-09-07 08:59:10:908,1,285,34,0,207,3839,285,0 127,0,2024-09-07 08:59:11:618,68312,0.4,68696,0.5,137009,0.3,182052,1.75 127,1,2024-09-07 08:59:10:570,503033,503033,0,0,233761436431,2422799434,499470,3159,404,365,391816,0 127,2,2024-09-07 08:59:10:637,359216,359216,0,0,13275712,0,2264 127,3,2024-09-07 08:59:11:286,1,285,31,0,968,3218,285,0 128,0,2024-09-07 08:59:11:555,68376,0.3,68364,0.4,136694,0.2,181364,1.50 128,1,2024-09-07 08:59:12:602,502496,502496,0,0,234400331367,2432270340,499483,2771,242,367,391798,0 128,2,2024-09-07 08:59:11:401,360241,360241,0,0,13307349,0,2434 128,3,2024-09-07 08:59:10:768,1,285,5,0,1082,5501,285,0 129,0,2024-09-07 08:59:11:052,72815,0.3,72468,0.4,145166,0.2,193370,1.50 129,1,2024-09-07 08:59:10:573,499992,499992,0,0,232335663885,2432641157,495677,3382,933,379,391835,0 129,2,2024-09-07 08:59:10:691,361153,361153,0,0,14351975,0,4031 129,3,2024-09-07 08:59:10:689,1,285,2,0,469,3824,285,0 130,0,2024-09-07 08:59:11:734,76126,0.6,75746,0.6,152064,0.6,202068,1.75 130,1,2024-09-07 08:59:10:592,502663,502663,0,0,233833564447,2430097890,500203,2381,79,381,391825,0 130,2,2024-09-07 08:59:11:132,364246,364246,0,0,14108168,0,4067 130,3,2024-09-07 08:59:11:301,1,285,4,0,450,3721,285,0 131,0,2024-09-07 08:59:11:962,70386,0.4,70876,0.5,142234,0.3,188312,1.75 131,1,2024-09-07 08:59:11:825,503071,503071,0,0,233751401278,2435838018,499919,2690,462,381,391865,0 131,2,2024-09-07 08:59:10:567,362551,362551,0,0,12777362,0,2415 131,3,2024-09-07 08:59:11:696,1,285,8,0,392,2959,285,0 132,0,2024-09-07 08:59:11:412,69477,0.5,70369,0.6,140118,0.4,186337,2.00 132,1,2024-09-07 08:59:10:595,499830,499830,0,0,232012616105,2448448259,491739,6632,1459,381,392097,0 132,2,2024-09-07 08:59:10:712,359103,359103,0,0,16805819,0,4606 132,3,2024-09-07 08:59:11:691,1,285,4,0,356,3822,285,0 133,0,2024-09-07 08:59:11:536,68886,0.4,70332,0.6,144163,0.3,187716,1.75 133,1,2024-09-07 08:59:10:586,500540,500540,0,0,232544149182,2451475042,493632,5915,993,383,391914,0 133,2,2024-09-07 08:59:11:094,359164,359164,0,0,18280343,0,4315 133,3,2024-09-07 08:59:11:309,1,285,3,0,276,2776,285,0 134,0,2024-09-07 08:59:10:965,77157,0.5,77075,0.7,154157,0.5,205770,2.00 134,1,2024-09-07 08:59:10:584,500427,500427,0,0,232781094162,2445109015,493476,5474,1477,366,391718,0 134,2,2024-09-07 08:59:11:756,360445,360445,0,0,15415443,0,3847 134,3,2024-09-07 08:59:10:756,1,285,1,0,739,4007,285,0 135,0,2024-09-07 08:59:11:130,69480,0.8,69513,0.9,147521,0.8,190636,2.25 135,1,2024-09-07 08:59:11:591,500439,500439,0,0,233377547216,2457652332,493048,6201,1190,380,391805,0 135,2,2024-09-07 08:59:10:687,363517,363517,0,0,16896259,0,3981 135,3,2024-09-07 08:59:11:005,1,285,16,0,299,2162,285,0 136,0,2024-09-07 08:59:11:683,69120,0.6,69107,0.7,137713,0.6,183768,2.25 136,1,2024-09-07 08:59:11:442,500614,500614,0,0,232804393525,2449185968,494184,5764,666,382,391685,0 136,2,2024-09-07 08:59:11:139,358674,358674,0,0,16321352,0,3506 136,3,2024-09-07 08:59:11:114,1,285,24,0,301,2860,285,0 137,0,2024-09-07 08:59:10:933,70603,0.6,68561,0.7,135030,0.5,183435,2.00 137,1,2024-09-07 08:59:10:589,500199,500199,0,0,233152378678,2450131894,491702,6699,1798,366,391708,0 137,2,2024-09-07 08:59:11:723,358066,358066,0,0,18415439,0,3185 137,3,2024-09-07 08:59:10:769,1,285,3,0,382,3515,285,0 138,0,2024-09-07 08:59:11:747,74014,1.2,74228,1.0,149031,1.6,198588,2.25 138,1,2024-09-07 08:59:11:693,500405,500405,0,0,233798731496,2458261117,492120,6716,1569,368,391954,0 138,2,2024-09-07 08:59:10:589,359787,359787,0,0,16860682,0,4988 138,3,2024-09-07 08:59:10:610,1,285,1,0,1160,4163,285,0 139,0,2024-09-07 08:59:11:386,71935,1.6,72286,1.2,144545,2.3,193140,2.50 139,1,2024-09-07 08:59:10:573,498725,498725,0,0,231694711192,2465209131,488673,7533,2519,381,391892,0 139,2,2024-09-07 08:59:10:694,361881,361881,0,0,17731424,0,3097 139,3,2024-09-07 08:59:11:669,1,285,14,0,257,3187,285,0 140,0,2024-09-07 08:59:11:597,68564,0.3,68095,0.5,136940,0.2,182231,1.75 140,1,2024-09-07 08:59:11:537,504144,504144,0,0,234517909961,2417465777,501718,2060,366,365,391606,0 140,2,2024-09-07 08:59:10:698,359356,359356,0,0,13457059,0,3388 140,3,2024-09-07 08:59:10:767,1,285,56,0,247,2398,285,0 141,0,2024-09-07 08:59:11:697,67594,0.3,69429,0.4,132760,0.2,180284,1.50 141,1,2024-09-07 08:59:10:859,503665,503665,0,0,234519796354,2432481545,500762,2493,410,379,391614,0 141,2,2024-09-07 08:59:11:690,358963,358963,0,0,13475649,0,2342 141,3,2024-09-07 08:59:11:048,1,285,1,0,202,2499,285,0 142,0,2024-09-07 08:59:11:376,74065,0.3,73456,0.4,146315,0.2,195990,1.50 142,1,2024-09-07 08:59:10:591,502532,502532,0,0,233550035599,2434183156,499510,2729,293,382,392102,0 142,2,2024-09-07 08:59:11:313,360844,360812,32,0,15460617,0,6028 142,3,2024-09-07 08:59:11:752,1,285,75,0,484,3805,285,0 143,0,2024-09-07 08:59:11:417,74598,0.5,74514,0.6,149466,0.5,198515,1.75 143,1,2024-09-07 08:59:10:564,503250,503250,0,0,233893089706,2424572756,500216,2917,117,367,391651,0 143,2,2024-09-07 08:59:10:786,364167,364167,0,0,14380353,0,2669 143,3,2024-09-07 08:59:11:166,1,285,7,0,303,3572,285,0 144,0,2024-09-07 08:59:11:591,67466,0.6,69397,0.8,141311,0.5,185162,2.00 144,1,2024-09-07 08:59:10:566,500304,500304,0,0,232721381632,2442099812,495723,3646,935,381,391649,0 144,2,2024-09-07 08:59:11:767,360281,360281,0,0,13416661,0,3473 144,3,2024-09-07 08:59:11:754,1,285,40,0,249,3134,285,0 145,0,2024-09-07 08:59:11:402,66732,0.5,66743,0.8,141669,0.5,182445,2.25 145,1,2024-09-07 08:59:10:553,500215,500215,0,0,233439899801,2452829351,494355,5035,825,382,391698,0 145,2,2024-09-07 08:59:11:443,358068,358068,0,0,16224382,0,3903 145,3,2024-09-07 08:59:10:894,1,285,3,0,622,4275,285,0 146,0,2024-09-07 08:59:11:600,71344,0.5,70812,0.7,142601,0.5,189796,2.00 146,1,2024-09-07 08:59:11:597,501230,501230,0,0,233062007587,2454955873,492413,6923,1894,368,391770,0 146,2,2024-09-07 08:59:11:695,359799,359799,0,0,16523909,0,2730 146,3,2024-09-07 08:59:11:279,1,285,19,0,1520,6216,285,0 147,0,2024-09-07 08:59:11:719,76416,0.6,76221,0.8,151664,0.6,203156,2.00 147,1,2024-09-07 08:59:11:377,503045,503045,0,0,234465765631,2437826619,498637,3752,656,368,391791,0 147,2,2024-09-07 08:59:11:015,363043,363043,0,0,14010985,0,2789 147,3,2024-09-07 08:59:10:913,1,285,18,0,730,4182,285,0 0,0,2024-09-07 08:59:21:718,67740,0.5,67736,0.7,143744,0.5,186176,1.75 0,1,2024-09-07 08:59:20:800,503578,503578,0,0,234739694620,2454010564,499946,3423,209,370,391896,0 0,2,2024-09-07 08:59:21:067,361275,361275,0,0,14309322,0,4480 0,3,2024-09-07 08:59:20:981,1,286,1,0,319,3618,286,0 1,0,2024-09-07 08:59:21:761,76210,0.8,75721,0.9,152208,0.9,203173,2.00 1,1,2024-09-07 08:59:20:570,503296,503296,0,0,233466770598,2443960509,498722,3520,1054,370,391857,0 1,2,2024-09-07 08:59:20:653,361060,361060,0,0,13630799,0,3267 1,3,2024-09-07 08:59:21:316,1,286,2,0,262,3313,286,0 2,0,2024-09-07 08:59:21:572,73075,0.7,73359,0.8,145347,0.9,194954,2.00 2,1,2024-09-07 08:59:20:864,504581,504581,0,0,235393746794,2444198514,502308,2053,220,380,391745,0 2,2,2024-09-07 08:59:21:272,365270,365270,0,0,14138373,0,3594 2,3,2024-09-07 08:59:20:699,1,286,1,0,357,2624,286,0 3,0,2024-09-07 08:59:21:743,68658,0.4,68682,0.6,137209,0.4,182477,2.00 3,1,2024-09-07 08:59:21:622,503423,503423,0,0,234248927830,2437791428,499536,3425,462,380,391556,0 3,2,2024-09-07 08:59:21:158,359618,359595,23,0,14029029,0,5851 3,3,2024-09-07 08:59:21:754,1,286,8,0,103,1721,286,0 4,0,2024-09-07 08:59:21:777,66098,0.3,68037,0.5,138284,0.3,181309,1.75 4,1,2024-09-07 08:59:20:595,503005,503005,0,0,233752137971,2457055116,497423,4579,1003,371,391992,0 4,2,2024-09-07 08:59:21:026,358587,358587,0,0,17039113,0,4528 4,3,2024-09-07 08:59:21:038,1,286,5,0,448,4223,286,0 5,0,2024-09-07 08:59:21:440,74347,0.4,74618,0.5,148416,0.4,198019,1.75 5,1,2024-09-07 08:59:20:755,502654,502654,0,0,234173655970,2462706331,496391,4956,1307,368,392005,0 5,2,2024-09-07 08:59:21:830,360495,360495,0,0,15737953,0,2432 5,3,2024-09-07 08:59:21:732,1,286,9,0,457,4280,286,0 6,0,2024-09-07 08:59:20:941,74444,0.5,73917,0.7,147706,0.5,197308,2.00 6,1,2024-09-07 08:59:20:746,503213,503213,0,0,233949831140,2444413001,497864,4422,927,379,391694,0 6,2,2024-09-07 08:59:21:116,365499,365499,0,0,15372940,0,4816 6,3,2024-09-07 08:59:21:276,1,286,0,0,340,3277,286,0 7,0,2024-09-07 08:59:21:529,68200,0.5,68500,0.6,136467,0.5,181347,2.00 7,1,2024-09-07 08:59:20:850,502382,502382,0,0,233749113242,2453878146,495705,5567,1110,382,391747,0 7,2,2024-09-07 08:59:20:770,359467,359467,0,0,14963731,0,4791 7,3,2024-09-07 08:59:20:854,1,286,8,0,398,3361,286,0 8,0,2024-09-07 08:59:21:323,68302,0.4,68034,0.5,136087,0.3,181383,1.75 8,1,2024-09-07 08:59:21:017,501727,501727,0,0,233501609321,2464356128,492326,7189,2212,366,392144,0 8,2,2024-09-07 08:59:20:790,356101,356101,0,0,18766733,0,3220 8,3,2024-09-07 08:59:20:585,1,286,0,0,538,5096,286,0 9,0,2024-09-07 08:59:21:121,72929,0.3,70732,0.5,148032,0.3,194455,1.75 9,1,2024-09-07 08:59:20:555,501866,501866,0,0,233977018880,2465895199,494621,5601,1644,369,392001,0 9,2,2024-09-07 08:59:21:083,361268,361268,0,0,16761796,0,3360 9,3,2024-09-07 08:59:21:759,1,286,3,0,496,4417,286,0 10,0,2024-09-07 08:59:21:600,75729,0.3,75160,0.5,151104,0.3,201057,1.75 10,1,2024-09-07 08:59:20:583,502406,502406,0,0,234498253803,2459933100,495142,6277,987,381,391741,0 10,2,2024-09-07 08:59:20:762,364442,364442,0,0,18188414,0,4264 10,3,2024-09-07 08:59:20:871,1,286,1,0,296,2595,286,0 11,0,2024-09-07 08:59:21:008,70167,0.5,68130,0.7,142524,0.4,188463,1.75 11,1,2024-09-07 08:59:20:571,502870,502870,0,0,233840005334,2461557351,493397,7059,2414,383,391573,0 11,2,2024-09-07 08:59:21:135,360654,360654,0,0,16346578,0,4130 11,3,2024-09-07 08:59:21:303,1,286,13,0,843,4525,286,0 12,0,2024-09-07 08:59:20:951,70383,0.3,70309,0.5,140608,0.3,186725,1.75 12,1,2024-09-07 08:59:20:938,503269,503269,0,0,234571192938,2446510363,499112,3685,472,370,391870,0 12,2,2024-09-07 08:59:21:552,360818,360818,0,0,15586913,0,3469 12,3,2024-09-07 08:59:21:060,1,286,1,0,386,4747,286,0 13,0,2024-09-07 08:59:21:353,71240,0.3,71133,0.5,142143,0.3,189423,1.75 13,1,2024-09-07 08:59:21:525,502968,502968,0,0,233490878207,2448041214,499299,3125,544,382,391740,0 13,2,2024-09-07 08:59:20:597,362534,362534,0,0,14739463,0,3287 13,3,2024-09-07 08:59:21:763,1,286,1,0,522,4676,286,0 14,0,2024-09-07 08:59:20:578,77409,0.4,78015,0.6,154373,0.4,205860,1.75 14,1,2024-09-07 08:59:21:565,506221,506221,0,0,235424918420,2435901064,503023,3001,197,364,391571,0 14,2,2024-09-07 08:59:20:764,362130,362130,0,0,14477689,0,2896 14,3,2024-09-07 08:59:21:125,1,286,4,0,1168,3935,286,0 15,0,2024-09-07 08:59:21:561,72238,0.5,72311,0.7,144375,0.4,192339,2.00 15,1,2024-09-07 08:59:21:613,504283,504283,0,0,234458893980,2436934345,501547,2558,178,381,391619,0 15,2,2024-09-07 08:59:21:001,365621,365621,0,0,12504043,0,3043 15,3,2024-09-07 08:59:21:406,1,286,11,0,1126,5574,286,0 16,0,2024-09-07 08:59:20:947,69058,0.6,69326,0.8,138077,0.7,183881,2.25 16,1,2024-09-07 08:59:20:568,504466,504466,0,0,234411045491,2447330405,500804,3360,302,370,391756,0 16,2,2024-09-07 08:59:21:436,359848,359848,0,0,14976138,0,4719 16,3,2024-09-07 08:59:21:148,1,286,1,0,317,3997,286,0 17,0,2024-09-07 08:59:21:815,71021,0.5,69418,0.7,135475,0.4,184344,1.75 17,1,2024-09-07 08:59:20:571,503134,503134,0,0,233563726320,2450725903,498004,4024,1106,368,391899,0 17,2,2024-09-07 08:59:21:668,363011,363011,0,0,14768007,0,2857 17,3,2024-09-07 08:59:20:574,1,286,7,0,268,4530,286,0 18,0,2024-09-07 08:59:20:943,74198,0.6,74722,0.7,148868,0.5,198979,2.25 18,1,2024-09-07 08:59:21:643,504344,504344,0,0,235038302134,2435208064,501543,2559,242,367,391649,0 18,2,2024-09-07 08:59:21:755,362867,362867,0,0,14045684,0,3541 18,3,2024-09-07 08:59:20:900,1,286,1,0,163,2646,286,0 19,0,2024-09-07 08:59:21:551,72746,0.6,73316,0.8,145432,0.6,193813,2.25 19,1,2024-09-07 08:59:20:566,504447,504447,0,0,235034350993,2439863611,499745,3915,787,367,391777,0 19,2,2024-09-07 08:59:21:751,367134,367134,0,0,12909347,0,3988 19,3,2024-09-07 08:59:21:158,1,286,23,0,524,2187,286,0 20,0,2024-09-07 08:59:21:397,68187,0.6,68235,0.7,136628,0.6,182164,2.25 20,1,2024-09-07 08:59:20:567,502770,502770,0,0,234312241827,2449832467,498779,3590,401,369,391886,0 20,2,2024-09-07 08:59:20:936,360258,360258,0,0,14630584,0,3721 20,3,2024-09-07 08:59:20:588,1,286,10,0,414,4898,286,0 21,0,2024-09-07 08:59:21:239,67969,0.5,68044,0.6,135880,0.4,180438,2.00 21,1,2024-09-07 08:59:21:547,501429,501429,0,0,232937315556,2453953554,494703,5101,1625,368,392016,0 21,2,2024-09-07 08:59:21:067,358738,358738,0,0,17400849,0,3747 21,3,2024-09-07 08:59:21:405,1,286,15,0,103,2919,286,0 22,0,2024-09-07 08:59:21:731,73561,0.5,73761,0.7,147461,0.4,196204,2.00 22,1,2024-09-07 08:59:21:026,502768,502768,0,0,233491816084,2456507034,495153,5948,1667,382,391667,0 22,2,2024-09-07 08:59:20:760,361474,361474,0,0,14906085,0,3134 22,3,2024-09-07 08:59:21:066,1,286,5,0,228,2548,286,0 23,0,2024-09-07 08:59:21:375,74222,0.6,74112,0.7,148477,0.6,197660,2.25 23,1,2024-09-07 08:59:21:003,503674,503674,0,0,234029733330,2456268788,495706,5301,2667,365,391690,0 23,2,2024-09-07 08:59:21:092,365680,365680,0,0,14347054,0,3010 23,3,2024-09-07 08:59:21:754,1,286,8,0,645,3533,286,0 24,0,2024-09-07 08:59:20:915,70320,0.4,70174,0.6,140853,0.4,186665,1.75 24,1,2024-09-07 08:59:20:590,502511,502511,0,0,233272348077,2449637315,496160,4829,1522,368,391987,0 24,2,2024-09-07 08:59:21:077,359261,359261,0,0,17460196,0,3607 24,3,2024-09-07 08:59:21:692,1,286,25,0,468,4119,286,0 25,0,2024-09-07 08:59:21:362,70857,0.4,69103,0.6,135612,0.4,184575,2.00 25,1,2024-09-07 08:59:20:558,503428,503428,0,0,233944895545,2453122678,497537,5073,818,371,391928,0 25,2,2024-09-07 08:59:21:607,359634,359634,0,0,18060528,0,3978 25,3,2024-09-07 08:59:21:001,1,286,3,0,255,3024,286,0 26,0,2024-09-07 08:59:21:724,71538,0.4,69833,0.6,146429,0.3,191090,1.75 26,1,2024-09-07 08:59:21:541,503304,503304,0,0,234392421262,2459465112,495529,6527,1248,381,391748,0 26,2,2024-09-07 08:59:20:865,361532,361532,0,0,17766988,0,2809 26,3,2024-09-07 08:59:21:721,1,286,1,0,796,3632,286,0 27,0,2024-09-07 08:59:21:722,76752,0.5,76867,0.6,152132,0.5,203128,2.00 27,1,2024-09-07 08:59:21:679,504913,504913,0,0,235331115384,2452334517,500179,3973,761,381,391626,0 27,2,2024-09-07 08:59:20:874,361148,361083,65,0,17860494,0,5699 27,3,2024-09-07 08:59:21:017,1,286,13,0,564,3007,286,0 28,0,2024-09-07 08:59:21:390,71684,0.4,71533,0.6,143457,0.4,191169,2.00 28,1,2024-09-07 08:59:20:803,504417,504417,0,0,235240925761,2449144487,501198,2747,472,383,391646,0 28,2,2024-09-07 08:59:21:764,362527,362527,0,0,14224227,0,2915 28,3,2024-09-07 08:59:21:776,1,286,1,0,502,2868,286,0 29,0,2024-09-07 08:59:21:356,71480,0.4,69783,0.6,136510,0.3,186693,1.75 29,1,2024-09-07 08:59:21:565,505442,505442,0,0,234684797732,2429879108,501955,2920,567,369,391753,0 29,2,2024-09-07 08:59:20:861,359943,359943,0,0,13707338,0,4233 29,3,2024-09-07 08:59:20:971,1,286,36,0,284,2358,286,0 30,0,2024-09-07 08:59:21:459,69760,0.5,67710,0.7,141632,0.4,185657,2.00 30,1,2024-09-07 08:59:20:571,505699,505699,0,0,235457926118,2439627108,503025,2361,313,382,391672,0 30,2,2024-09-07 08:59:21:277,361385,361385,0,0,13453585,0,3161 30,3,2024-09-07 08:59:20:582,1,286,1,0,519,2694,286,0 31,0,2024-09-07 08:59:21:763,75745,0.4,76100,0.6,152285,0.3,202948,1.75 31,1,2024-09-07 08:59:20:564,507273,507273,0,0,236154140296,2419620168,505777,1276,220,356,391712,0 31,2,2024-09-07 08:59:21:275,361607,361607,0,0,15035420,0,3525 31,3,2024-09-07 08:59:21:705,1,286,0,0,220,2198,286,0 32,0,2024-09-07 08:59:21:417,72939,0.3,73288,0.5,146706,0.3,195245,1.75 32,1,2024-09-07 08:59:20:804,505146,505146,0,0,234871462886,2436341454,502818,2049,279,381,391646,0 32,2,2024-09-07 08:59:20:936,365566,365566,0,0,12875321,0,3155 32,3,2024-09-07 08:59:21:016,1,286,88,0,227,2287,286,0 33,0,2024-09-07 08:59:21:492,69054,0.3,68526,0.4,137641,0.2,183365,1.75 33,1,2024-09-07 08:59:20:595,505668,505668,0,0,236094699436,2439214387,502778,2716,174,369,391730,0 33,2,2024-09-07 08:59:20:772,360833,360800,33,0,14266230,0,7012 33,3,2024-09-07 08:59:20:908,1,286,57,0,329,3261,286,0 34,0,2024-09-07 08:59:20:948,68086,0.3,70227,0.4,134580,0.2,180614,1.50 34,1,2024-09-07 08:59:21:044,506414,506414,0,0,235939581496,2425996622,505186,1221,7,367,391562,0 34,2,2024-09-07 08:59:20:766,360548,360548,0,0,14011208,0,3577 34,3,2024-09-07 08:59:21:695,1,286,14,0,299,2246,286,0 35,0,2024-09-07 08:59:20:858,73680,0.3,74138,0.5,149110,0.2,198612,1.50 35,1,2024-09-07 08:59:21:067,504821,504821,0,0,234047026855,2426969672,501361,2680,780,384,391589,0 35,2,2024-09-07 08:59:21:585,362280,362280,0,0,14135299,0,2653 35,3,2024-09-07 08:59:20:923,1,286,14,0,418,3517,286,0 36,0,2024-09-07 08:59:21:552,74183,0.5,74182,0.7,148385,0.5,196960,2.25 36,1,2024-09-07 08:59:20:583,504340,504340,0,0,233895277609,2444588890,498069,4688,1583,366,391759,0 36,2,2024-09-07 08:59:21:755,365492,365492,0,0,15675926,0,3875 36,3,2024-09-07 08:59:20:870,1,286,3,0,416,4571,286,0 37,0,2024-09-07 08:59:21:368,68143,0.5,68126,0.7,136400,0.5,182341,2.00 37,1,2024-09-07 08:59:20:569,503211,503204,0,7,233697170654,2445133096,497001,4132,2071,365,391560,0 37,2,2024-09-07 08:59:21:142,358639,358624,15,0,15582943,0,5815 37,3,2024-09-07 08:59:21:767,1,286,1,0,888,4668,286,0 38,0,2024-09-07 08:59:21:437,67514,0.4,65646,0.6,137321,0.4,179281,2.00 38,1,2024-09-07 08:59:21:605,504834,504834,0,0,235296016942,2452190472,499428,4730,676,368,391821,0 38,2,2024-09-07 08:59:20:760,359449,359402,47,0,16583364,0,6710 38,3,2024-09-07 08:59:21:002,1,286,1,0,689,3662,286,0 39,0,2024-09-07 08:59:21:763,74493,0.5,72958,0.7,141998,0.5,194690,2.00 39,1,2024-09-07 08:59:20:717,503862,503862,0,0,234762384417,2454059733,497067,5584,1211,365,391594,0 39,2,2024-09-07 08:59:21:420,361741,361741,0,0,14551668,0,2689 39,3,2024-09-07 08:59:20:717,1,286,12,0,324,3717,286,0 40,0,2024-09-07 08:59:21:499,74810,0.8,75510,1.0,150837,0.9,200814,3.00 40,1,2024-09-07 08:59:20:588,503681,503681,0,0,233908914317,2451678127,495923,6308,1450,368,391668,0 40,2,2024-09-07 08:59:21:304,364256,364255,1,0,17913075,0,5137 40,3,2024-09-07 08:59:21:153,1,286,1,0,398,3354,286,0 41,0,2024-09-07 08:59:21:028,69938,1.7,71648,1.3,136786,2.7,186437,3.25 41,1,2024-09-07 08:59:20:773,503379,503379,0,0,234416629577,2451003506,497503,5241,635,370,391742,0 41,2,2024-09-07 08:59:20:759,359656,359656,0,0,16919574,0,3356 41,3,2024-09-07 08:59:21:676,1,286,1,0,366,3123,286,0 42,0,2024-09-07 08:59:21:480,69222,0.7,69281,0.9,138885,0.7,183429,2.50 42,1,2024-09-07 08:59:21:440,502600,502600,0,0,233725360141,2457886726,494494,6544,1562,380,391675,0 42,2,2024-09-07 08:59:21:133,360605,360605,0,0,16342689,0,3568 42,3,2024-09-07 08:59:21:011,1,286,1,0,446,2496,286,0 43,0,2024-09-07 08:59:20:954,70359,0.8,68533,1.0,143615,0.8,189046,2.25 43,1,2024-09-07 08:59:20:577,503662,503662,0,0,235055538493,2459317821,496148,6152,1362,366,391696,0 43,2,2024-09-07 08:59:21:735,361224,361224,0,0,16912577,0,3812 43,3,2024-09-07 08:59:21:750,1,286,1,0,467,3605,286,0 44,0,2024-09-07 08:59:20:882,77203,0.5,77631,0.6,154750,0.4,205994,1.75 44,1,2024-09-07 08:59:20:583,505653,505653,0,0,234447268002,2419992763,502620,2464,569,356,391809,0 44,2,2024-09-07 08:59:21:268,361478,361478,0,0,13393848,0,2231 44,3,2024-09-07 08:59:21:093,1,286,10,0,817,3204,286,0 45,0,2024-09-07 08:59:21:756,71160,0.6,69515,0.8,145913,0.6,192147,2.00 45,1,2024-09-07 08:59:21:005,505017,505017,0,0,235410883932,2439352909,503076,1928,13,382,391917,0 45,2,2024-09-07 08:59:21:269,364542,364542,0,0,14107585,0,3596 45,3,2024-09-07 08:59:20:942,1,286,1,0,271,2930,286,0 46,0,2024-09-07 08:59:20:955,68584,0.5,68526,0.7,137178,0.5,181927,2.00 46,1,2024-09-07 08:59:20:583,506256,506256,0,0,234787648874,2422503955,503734,2248,274,366,391539,0 46,2,2024-09-07 08:59:20:598,360787,360787,0,0,13535710,0,2920 46,3,2024-09-07 08:59:21:136,1,286,2,0,908,4362,286,0 47,0,2024-09-07 08:59:21:104,69222,0.4,69279,0.6,139012,0.3,183994,1.75 47,1,2024-09-07 08:59:20:567,505696,505696,0,0,235223634738,2427111325,503209,2353,134,366,391641,0 47,2,2024-09-07 08:59:20:909,362632,362632,0,0,13960802,0,4477 47,3,2024-09-07 08:59:21:116,1,286,69,0,529,2701,286,0 48,0,2024-09-07 08:59:21:497,75498,0.3,75001,0.4,149732,0.2,199853,1.50 48,1,2024-09-07 08:59:21:024,504860,504860,0,0,235559736848,2446361248,501479,3080,301,384,391710,0 48,2,2024-09-07 08:59:20:699,361637,361637,0,0,12943156,0,3031 48,3,2024-09-07 08:59:20:753,1,286,1,0,339,2365,286,0 49,0,2024-09-07 08:59:21:712,75186,0.3,73783,0.5,143155,0.3,196106,1.75 49,1,2024-09-07 08:59:21:024,503931,503931,0,0,234623516935,2443931907,500007,2743,1181,382,391809,0 49,2,2024-09-07 08:59:21:796,366851,366851,0,0,13727987,0,3900 49,3,2024-09-07 08:59:21:419,1,286,4,0,408,2939,286,0 50,0,2024-09-07 08:59:21:508,68388,0.3,67786,0.5,136436,0.2,181910,1.75 50,1,2024-09-07 08:59:21:016,505546,505546,0,0,236152446748,2441366456,502404,2832,310,368,391540,0 50,2,2024-09-07 08:59:21:067,360355,360355,0,0,12611600,0,2263 50,3,2024-09-07 08:59:21:294,1,286,1,0,335,2379,286,0 51,0,2024-09-07 08:59:21:684,69531,0.3,68044,0.4,132651,0.2,180930,1.50 51,1,2024-09-07 08:59:21:680,506379,506379,0,0,236053067784,2435347163,503539,1900,940,365,391706,0 51,2,2024-09-07 08:59:21:315,360352,360352,0,0,11956450,0,3337 51,3,2024-09-07 08:59:21:026,1,286,2,0,678,2247,286,0 52,0,2024-09-07 08:59:21:412,74195,0.4,73939,0.6,148051,0.4,196889,2.00 52,1,2024-09-07 08:59:20:575,503880,503880,0,0,234128302021,2452771590,496524,6102,1254,368,391722,0 52,2,2024-09-07 08:59:21:755,359541,359503,38,0,16743064,0,6742 52,3,2024-09-07 08:59:20:674,1,286,11,0,1782,4667,286,0 53,0,2024-09-07 08:59:21:747,74044,0.7,71981,0.8,150483,0.8,197105,2.25 53,1,2024-09-07 08:59:20:771,502524,502524,0,0,234300050450,2460349323,494410,5665,2449,367,391702,0 53,2,2024-09-07 08:59:21:303,365640,365640,0,0,14026556,0,2727 53,3,2024-09-07 08:59:20:698,1,286,14,0,308,2698,286,0 54,0,2024-09-07 08:59:21:626,68723,0.7,69273,0.8,137422,0.5,183857,2.50 54,1,2024-09-07 08:59:20:580,504081,504081,0,0,234912438465,2442635725,498978,4504,599,367,391659,0 54,2,2024-09-07 08:59:20:877,360351,360345,6,0,15897005,0,5382 54,3,2024-09-07 08:59:20:763,1,286,128,0,676,4676,286,0 55,0,2024-09-07 08:59:21:761,66629,0.5,69069,0.7,138888,0.5,180834,2.25 55,1,2024-09-07 08:59:20:764,503485,503485,0,0,234275722070,2439986824,497301,5276,908,365,391731,0 55,2,2024-09-07 08:59:20:730,359661,359661,0,0,15659353,0,3563 55,3,2024-09-07 08:59:20:674,1,286,8,0,304,3085,286,0 56,0,2024-09-07 08:59:21:553,73418,1.1,69183,1.1,142532,1.6,191041,2.50 56,1,2024-09-07 08:59:20:573,501768,501768,0,0,233765469762,2471125698,493888,6346,1534,381,391729,0 56,2,2024-09-07 08:59:21:322,361659,361659,0,0,17090108,0,3567 56,3,2024-09-07 08:59:21:059,1,286,1,0,705,3943,286,0 57,0,2024-09-07 08:59:21:016,75428,1.7,75219,1.3,150747,2.4,201817,3.25 57,1,2024-09-07 08:59:20:991,503311,503311,0,0,234296320132,2455179119,497310,5297,704,367,392032,0 57,2,2024-09-07 08:59:21:315,363141,363141,0,0,18332046,0,3317 57,3,2024-09-07 08:59:21:746,1,286,12,0,359,3616,286,0 58,0,2024-09-07 08:59:20:560,70288,1.0,68457,1.0,143252,1.3,187802,2.50 58,1,2024-09-07 08:59:20:575,504389,504386,0,3,234837025495,2455198867,497551,5946,889,367,391603,3 58,2,2024-09-07 08:59:21:070,362459,362459,0,0,16484374,0,2549 58,3,2024-09-07 08:59:21:068,1,286,2,0,1043,3125,286,0 59,0,2024-09-07 08:59:21:759,69203,0.7,68969,0.9,137544,0.8,183186,2.75 59,1,2024-09-07 08:59:20:804,503314,503314,0,0,234538561629,2455979085,496656,5375,1283,369,391525,0 59,2,2024-09-07 08:59:20:583,361390,361390,0,0,15440373,0,2604 59,3,2024-09-07 08:59:21:737,1,286,12,0,1015,3949,286,0 60,0,2024-09-07 08:59:21:704,69859,0.5,69759,0.6,140604,0.4,186253,1.75 60,1,2024-09-07 08:59:20:776,505586,505586,0,0,235339191585,2438539283,503002,2061,523,370,392031,0 60,2,2024-09-07 08:59:21:160,361073,361073,0,0,16134819,0,3811 60,3,2024-09-07 08:59:21:258,1,286,30,0,175,3075,286,0 61,0,2024-09-07 08:59:21:502,75894,0.5,76453,0.7,151819,0.5,202781,2.00 61,1,2024-09-07 08:59:20:770,503424,503424,0,0,234583088339,2454566190,498233,4387,804,382,391880,0 61,2,2024-09-07 08:59:21:122,362306,362306,0,0,14643584,0,3598 61,3,2024-09-07 08:59:21:695,1,286,1,0,397,3846,286,0 62,0,2024-09-07 08:59:21:728,73588,0.6,75075,0.7,143019,0.6,195052,2.00 62,1,2024-09-07 08:59:21:117,506832,506826,0,6,236411488895,2438789421,504009,2656,161,365,391715,6 62,2,2024-09-07 08:59:21:644,363938,363937,1,0,16067113,0,5555 62,3,2024-09-07 08:59:21:158,1,286,465,0,465,2380,286,0 63,0,2024-09-07 08:59:21:456,68720,0.5,68870,0.6,137690,0.4,183010,1.75 63,1,2024-09-07 08:59:20:804,505240,505234,0,6,235253107152,2438236198,502810,2215,209,381,391677,6 63,2,2024-09-07 08:59:20:762,360009,360009,0,0,13542129,0,4369 63,3,2024-09-07 08:59:21:732,1,286,8,0,667,3190,286,0 64,0,2024-09-07 08:59:21:529,67826,0.4,67751,0.6,135601,0.3,180588,1.75 64,1,2024-09-07 08:59:20:750,504385,504385,0,0,235193120520,2450333685,499820,3149,1416,370,391783,0 64,2,2024-09-07 08:59:21:157,363592,363573,19,0,13873754,0,6121 64,3,2024-09-07 08:59:21:140,1,286,1,0,265,2700,286,0 65,0,2024-09-07 08:59:21:691,73813,0.6,74226,0.7,148015,0.6,197579,2.00 65,1,2024-09-07 08:59:20:862,503258,503258,0,0,234377634432,2450216241,499522,3289,447,382,391901,0 65,2,2024-09-07 08:59:21:695,362386,362386,0,0,15506024,0,3367 65,3,2024-09-07 08:59:21:694,1,286,6,0,163,2746,286,0 66,0,2024-09-07 08:59:21:771,73776,0.5,73658,0.7,147743,0.4,196064,2.00 66,1,2024-09-07 08:59:21:295,504729,504729,0,0,234850132475,2440215835,501814,2669,246,380,391653,0 66,2,2024-09-07 08:59:21:147,367492,367492,0,0,14581530,0,4956 66,3,2024-09-07 08:59:21:081,1,286,102,0,291,2921,286,0 67,0,2024-09-07 08:59:21:413,68930,0.6,68226,0.7,137333,0.6,182503,2.00 67,1,2024-09-07 08:59:20:766,504737,504736,0,1,235251923480,2451005171,500802,3185,749,380,391787,1 67,2,2024-09-07 08:59:20:583,360944,360944,0,0,13143026,0,2889 67,3,2024-09-07 08:59:21:754,1,286,7,0,338,2549,286,0 68,0,2024-09-07 08:59:20:578,68257,0.5,68037,0.7,135843,0.5,181092,2.00 68,1,2024-09-07 08:59:20:572,503372,503372,0,0,233532814833,2447373532,499040,3161,1171,381,391953,0 68,2,2024-09-07 08:59:21:044,358767,358702,65,0,17887355,0,6698 68,3,2024-09-07 08:59:20:728,1,286,1,0,417,3217,286,0 69,0,2024-09-07 08:59:21:724,72466,0.7,72780,0.8,145123,0.8,193288,2.25 69,1,2024-09-07 08:59:21:016,502363,502363,0,0,233748300160,2465911060,495967,4811,1585,384,391994,0 69,2,2024-09-07 08:59:21:732,361809,361809,0,0,17856455,0,3722 69,3,2024-09-07 08:59:20:765,1,286,1,0,698,4136,286,0 70,0,2024-09-07 08:59:21:545,74976,1.0,75012,1.1,150625,0.8,199435,2.50 70,1,2024-09-07 08:59:20:805,505383,505383,0,0,235206814197,2442603807,501121,3726,536,366,391725,0 70,2,2024-09-07 08:59:21:324,363799,363799,0,0,16082959,0,4044 70,3,2024-09-07 08:59:20:745,1,286,1,0,854,3424,286,0 71,0,2024-09-07 08:59:21:355,69954,1.0,69875,1.1,140173,1.3,187196,2.75 71,1,2024-09-07 08:59:21:611,503201,503201,0,0,234132589576,2443062172,497609,4949,643,368,391738,0 71,2,2024-09-07 08:59:21:067,361425,361425,0,0,16234700,0,4042 71,3,2024-09-07 08:59:21:755,1,286,7,0,644,3915,286,0 72,0,2024-09-07 08:59:21:032,72160,0.7,70545,0.8,137622,0.7,187267,2.00 72,1,2024-09-07 08:59:21:024,503488,503488,0,0,234287090057,2452286974,496650,5346,1492,369,391819,0 72,2,2024-09-07 08:59:21:760,359487,359487,0,0,18335666,0,3983 72,3,2024-09-07 08:59:21:758,1,286,35,0,564,4780,286,0 73,0,2024-09-07 08:59:21:116,69245,0.4,70759,0.6,145167,0.3,188823,2.00 73,1,2024-09-07 08:59:20:766,504387,504387,0,0,234310098143,2435747405,500782,3315,290,367,391750,0 73,2,2024-09-07 08:59:21:798,361790,361790,0,0,17793983,0,3701 73,3,2024-09-07 08:59:20:974,1,286,4,0,274,4042,286,0 74,0,2024-09-07 08:59:21:359,77711,0.5,79555,0.7,151924,0.5,205836,2.25 74,1,2024-09-07 08:59:20:636,503789,503789,0,0,234298217106,2447071972,498723,4098,968,381,391681,0 74,2,2024-09-07 08:59:21:005,361480,361480,0,0,17051203,0,4253 74,3,2024-09-07 08:59:21:442,1,286,1,0,522,3684,286,0 75,0,2024-09-07 08:59:21:769,72386,0.5,72075,0.7,144417,0.4,193217,2.25 75,1,2024-09-07 08:59:21:600,503129,503129,0,0,234457315129,2450772806,497760,4705,664,380,391660,0 75,2,2024-09-07 08:59:21:357,363430,363430,0,0,16919397,0,4766 75,3,2024-09-07 08:59:21:067,1,286,8,0,702,4134,286,0 76,0,2024-09-07 08:59:20:599,68618,0.6,67950,0.8,136605,0.6,182875,2.50 76,1,2024-09-07 08:59:20:835,504160,504160,0,0,234796185054,2448053328,500786,2822,552,382,391692,0 76,2,2024-09-07 08:59:21:061,361307,361306,1,0,14870184,0,5144 76,3,2024-09-07 08:59:21:161,1,286,39,0,175,2883,286,0 77,0,2024-09-07 08:59:21:746,68960,0.5,69233,0.7,138290,0.4,183875,1.75 77,1,2024-09-07 08:59:20:825,504484,504484,0,0,235115228899,2452861023,500798,3423,263,381,391869,0 77,2,2024-09-07 08:59:21:290,360575,360575,0,0,14993755,0,3890 77,3,2024-09-07 08:59:21:094,1,286,1,0,382,3106,286,0 78,0,2024-09-07 08:59:21:728,75187,0.4,74727,0.6,150167,0.3,199195,2.00 78,1,2024-09-07 08:59:20:613,503890,503890,0,0,233901623390,2432117771,499637,3375,878,367,391670,0 78,2,2024-09-07 08:59:21:405,361902,361902,0,0,13382740,0,3855 78,3,2024-09-07 08:59:21:140,1,286,0,0,181,2625,286,0 79,0,2024-09-07 08:59:21:345,70990,0.4,72801,0.6,148830,0.4,193599,2.25 79,1,2024-09-07 08:59:20:570,505800,505800,0,0,235618103628,2437940772,502273,3082,445,369,391682,0 79,2,2024-09-07 08:59:21:068,366631,366631,0,0,13591607,0,3212 79,3,2024-09-07 08:59:20:749,1,286,12,0,418,4014,286,0 80,0,2024-09-07 08:59:21:077,68316,0.6,70241,0.7,134567,0.6,181646,2.00 80,1,2024-09-07 08:59:21:619,503716,503716,0,0,234605453679,2439760174,500701,2842,173,368,391791,0 80,2,2024-09-07 08:59:21:093,361036,361036,0,0,13525932,0,4433 80,3,2024-09-07 08:59:20:575,1,286,1,0,190,3700,286,0 81,0,2024-09-07 08:59:21:545,67670,0.4,69505,0.6,132849,0.4,179872,1.75 81,1,2024-09-07 08:59:21:650,503057,503057,0,0,233994723627,2444666149,498883,3699,475,382,391879,0 81,2,2024-09-07 08:59:21:128,360059,360059,0,0,14191737,0,3993 81,3,2024-09-07 08:59:21:135,1,286,1,0,374,3526,286,0 82,0,2024-09-07 08:59:21:547,73483,0.4,73885,0.6,148011,0.3,196849,1.75 82,1,2024-09-07 08:59:20:589,505095,505091,0,4,235220303651,2447643099,501777,2702,612,381,391768,4 82,2,2024-09-07 08:59:21:701,363615,363615,0,0,13441600,0,3986 82,3,2024-09-07 08:59:21:754,1,286,0,0,363,3049,286,0 83,0,2024-09-07 08:59:21:525,74699,0.5,74634,0.7,148243,0.5,197413,2.00 83,1,2024-09-07 08:59:20:553,503979,503979,0,0,234754926635,2446016211,500621,3112,246,382,391709,0 83,2,2024-09-07 08:59:20:764,365139,365139,0,0,13718301,0,3119 83,3,2024-09-07 08:59:20:749,1,286,8,0,250,3168,286,0 84,0,2024-09-07 08:59:21:786,69350,0.7,69217,0.9,138543,0.7,185332,2.25 84,1,2024-09-07 08:59:21:055,503308,503308,0,0,234169134210,2446246767,498360,4363,585,367,391967,0 84,2,2024-09-07 08:59:20:575,360515,360515,0,0,15675999,0,3801 84,3,2024-09-07 08:59:21:146,1,286,13,0,908,4546,286,0 85,0,2024-09-07 08:59:21:077,66710,0.6,66698,0.8,141348,0.6,182463,2.25 85,1,2024-09-07 08:59:20:571,502807,502807,0,0,234086184364,2471059123,495392,6296,1119,381,392006,0 85,2,2024-09-07 08:59:20:868,361390,361390,0,0,16601031,0,3656 85,3,2024-09-07 08:59:20:702,1,286,5,0,789,3761,286,0 86,0,2024-09-07 08:59:20:896,71744,0.6,73826,0.7,141154,0.6,190814,2.00 86,1,2024-09-07 08:59:21:198,503962,503962,0,0,234632528538,2453343878,498457,4672,833,366,391961,0 86,2,2024-09-07 08:59:20:862,360765,360764,1,0,17537069,0,5004 86,3,2024-09-07 08:59:20:589,1,286,7,0,286,4009,286,0 87,0,2024-09-07 08:59:21:364,76078,1.0,76122,1.0,152803,1.5,203547,2.25 87,1,2024-09-07 08:59:20:575,503409,503409,0,0,233956144570,2446996240,498296,4611,502,366,392076,0 87,2,2024-09-07 08:59:21:067,362479,362479,0,0,15760718,0,4045 87,3,2024-09-07 08:59:21:818,1,286,22,0,473,4159,286,0 88,0,2024-09-07 08:59:21:709,71536,0.5,72019,0.6,143598,0.5,190932,2.00 88,1,2024-09-07 08:59:20:572,501915,501915,0,0,233776520879,2454483613,494652,5612,1651,365,392084,0 88,2,2024-09-07 08:59:20:698,362623,362623,0,0,17716719,0,3583 88,3,2024-09-07 08:59:21:336,1,286,1,0,435,3387,286,0 89,0,2024-09-07 08:59:21:812,71604,0.5,69204,0.7,137395,0.4,186505,1.75 89,1,2024-09-07 08:59:20:560,502111,502111,0,0,234551564329,2471989478,494792,6054,1265,382,391866,0 89,2,2024-09-07 08:59:21:147,359218,359218,0,0,17110208,0,2910 89,3,2024-09-07 08:59:21:797,1,286,6,0,385,5650,286,0 90,0,2024-09-07 08:59:21:627,67934,0.4,69510,0.6,142291,0.4,185480,1.75 90,1,2024-09-07 08:59:20:596,503430,503430,0,0,233829046702,2450925808,498575,4532,323,381,391825,0 90,2,2024-09-07 08:59:21:407,359747,359747,0,0,18462460,0,3060 90,3,2024-09-07 08:59:20:943,1,286,4,0,200,3057,286,0 91,0,2024-09-07 08:59:20:970,76193,0.4,74049,0.6,154333,0.4,203095,1.75 91,1,2024-09-07 08:59:21:115,502343,502343,0,0,234378890923,2466665239,495457,5996,890,381,392047,0 91,2,2024-09-07 08:59:21:339,361288,361288,0,0,16500656,0,2896 91,3,2024-09-07 08:59:20:600,1,286,7,0,216,2621,286,0 92,0,2024-09-07 08:59:21:529,73648,0.5,75416,0.6,144163,0.5,195367,1.75 92,1,2024-09-07 08:59:20:583,504084,504084,0,0,234407884549,2444429625,501018,2611,455,382,392136,0 92,2,2024-09-07 08:59:21:351,366630,366630,0,0,14009680,0,2801 92,3,2024-09-07 08:59:21:017,1,286,14,0,167,2480,286,0 93,0,2024-09-07 08:59:20:966,69150,0.4,70831,0.6,135411,0.3,183587,1.75 93,1,2024-09-07 08:59:20:822,503923,503923,0,0,234020153245,2441532479,499083,4049,791,366,391776,0 93,2,2024-09-07 08:59:20:936,360039,360039,0,0,15180478,0,4311 93,3,2024-09-07 08:59:21:408,1,286,6,0,190,2901,286,0 94,0,2024-09-07 08:59:21:716,67812,0.3,68595,0.5,136812,0.3,181344,1.75 94,1,2024-09-07 08:59:20:576,503447,503447,0,0,234378432033,2446962161,500014,3251,182,381,391850,0 94,2,2024-09-07 08:59:20:787,360331,360331,0,0,13988970,0,2443 94,3,2024-09-07 08:59:21:688,1,286,84,0,264,3622,286,0 95,0,2024-09-07 08:59:21:367,74383,0.3,74273,0.5,149303,0.3,198442,1.75 95,1,2024-09-07 08:59:20:862,504249,504249,0,0,235078328701,2443241990,500329,3543,377,367,391713,0 95,2,2024-09-07 08:59:21:026,361424,361424,0,0,14284805,0,3308 95,3,2024-09-07 08:59:21:715,1,286,13,0,718,4811,286,0 96,0,2024-09-07 08:59:21:092,74307,0.4,74425,0.5,148712,0.3,196653,1.75 96,1,2024-09-07 08:59:21:590,503480,503480,0,0,234464289227,2447683588,499577,3166,737,384,391894,0 96,2,2024-09-07 08:59:21:277,365853,365853,0,0,14919055,0,4042 96,3,2024-09-07 08:59:21:169,1,286,2,0,411,3193,286,0 97,0,2024-09-07 08:59:21:321,68760,0.3,68439,0.5,137546,0.3,182326,1.75 97,1,2024-09-07 08:59:20:776,505740,505740,0,0,235284648700,2437950748,502679,2502,559,367,392140,0 97,2,2024-09-07 08:59:20:617,360656,360656,0,0,13803403,0,3036 97,3,2024-09-07 08:59:20:571,1,286,5,0,214,3214,286,0 98,0,2024-09-07 08:59:21:757,68159,0.3,68056,0.4,136797,0.2,181256,1.50 98,1,2024-09-07 08:59:20:570,505101,505101,0,0,234557069809,2439133935,502934,2066,101,382,391997,0 98,2,2024-09-07 08:59:20:783,360339,360339,0,0,14086766,0,3080 98,3,2024-09-07 08:59:20:706,1,286,31,0,840,4883,286,0 99,0,2024-09-07 08:59:21:488,72746,0.3,73156,0.4,145638,0.2,194978,1.50 99,1,2024-09-07 08:59:21:724,504431,504431,0,0,234403702036,2440946731,500612,3076,743,381,392069,0 99,2,2024-09-07 08:59:21:424,364140,364140,0,0,15101036,0,3106 99,3,2024-09-07 08:59:20:588,1,286,17,0,187,2358,286,0 100,0,2024-09-07 08:59:21:469,75340,0.8,75282,1.0,150767,1.1,201272,2.50 100,1,2024-09-07 08:59:20:553,500988,500988,0,0,232852237941,2461815952,493457,6054,1477,381,391989,0 100,2,2024-09-07 08:59:21:817,363070,363059,11,0,16767844,0,5417 100,3,2024-09-07 08:59:21:742,1,286,6,0,559,5072,286,0 101,0,2024-09-07 08:59:21:699,72005,1.3,70110,1.1,137669,1.1,188857,2.50 101,1,2024-09-07 08:59:20:563,501651,501651,0,0,233801466313,2464036840,493311,6361,1979,368,391769,0 101,2,2024-09-07 08:59:21:765,359530,359530,0,0,18415601,0,4644 101,3,2024-09-07 08:59:20:943,1,286,5,0,448,3455,286,0 102,0,2024-09-07 08:59:20:969,68108,0.6,70595,0.8,142152,0.6,186323,2.00 102,1,2024-09-07 08:59:21:287,502463,502463,0,0,234050125734,2459500152,495944,5613,906,369,391883,0 102,2,2024-09-07 08:59:21:738,361450,361396,54,0,16125419,0,6768 102,3,2024-09-07 08:59:21:616,1,286,35,0,410,3039,286,0 103,0,2024-09-07 08:59:21:711,73009,0.5,73033,0.7,137805,0.4,190276,1.75 103,1,2024-09-07 08:59:21:641,501794,501794,0,0,233699938902,2466810577,493794,6109,1891,381,391829,0 103,2,2024-09-07 08:59:20:592,360694,360694,0,0,16422102,0,3173 103,3,2024-09-07 08:59:20:755,1,286,16,0,916,3774,286,0 104,0,2024-09-07 08:59:21:036,76368,0.8,76791,1.0,152255,0.8,205012,2.25 104,1,2024-09-07 08:59:21:606,502917,502917,0,0,233573059971,2461661596,494429,6829,1659,365,392168,0 104,2,2024-09-07 08:59:21:667,360583,360583,0,0,17890979,0,3941 104,3,2024-09-07 08:59:21:421,1,286,1,0,1245,6696,286,0 105,0,2024-09-07 08:59:21:096,71465,1.0,69580,1.2,145310,1.4,191590,3.25 105,1,2024-09-07 08:59:20:609,502998,502998,0,0,233767516643,2457335264,495311,6388,1299,366,391797,0 105,2,2024-09-07 08:59:21:322,363809,363809,0,0,17226473,0,3509 105,3,2024-09-07 08:59:21:308,1,286,2,0,399,4604,286,0 106,0,2024-09-07 08:59:20:960,66500,0.9,68143,1.0,139538,1.0,182282,2.50 106,1,2024-09-07 08:59:21:762,502872,502872,0,0,232840965131,2450928144,494444,7424,1004,369,391865,0 106,2,2024-09-07 08:59:20:762,358425,358425,0,0,16082593,0,2795 106,3,2024-09-07 08:59:20:680,1,286,2,0,470,3997,286,0 107,0,2024-09-07 08:59:21:115,68893,0.7,68785,0.8,137657,0.7,183870,2.00 107,1,2024-09-07 08:59:20:592,501676,501676,0,0,233303088082,2458347552,495051,5938,687,381,392234,0 107,2,2024-09-07 08:59:21:296,359311,359310,1,0,17317481,0,5024 107,3,2024-09-07 08:59:21:766,1,286,16,0,353,3875,286,0 108,0,2024-09-07 08:59:21:775,74905,0.4,75256,0.5,149610,0.3,199853,1.75 108,1,2024-09-07 08:59:21:297,503706,503706,0,0,234869933216,2447301802,499964,3323,419,368,391857,0 108,2,2024-09-07 08:59:21:759,360438,360438,0,0,15869616,0,4246 108,3,2024-09-07 08:59:21:330,1,286,1,0,749,5733,286,0 109,0,2024-09-07 08:59:21:754,73723,0.4,72979,0.5,146391,0.3,195711,1.75 109,1,2024-09-07 08:59:20:583,502085,502085,0,0,234700965661,2462191501,497587,3850,648,383,392132,0 109,2,2024-09-07 08:59:20:927,363840,363840,0,0,16124644,0,3617 109,3,2024-09-07 08:59:21:156,1,286,6,0,249,3193,286,0 110,0,2024-09-07 08:59:21:787,68436,0.4,66556,0.6,139341,0.3,182394,1.75 110,1,2024-09-07 08:59:21:647,505175,505175,0,0,234661144192,2436137231,501724,2543,908,369,392045,0 110,2,2024-09-07 08:59:21:307,360329,360329,0,0,15305834,0,4067 110,3,2024-09-07 08:59:20:698,1,286,99,0,406,3675,286,0 111,0,2024-09-07 08:59:21:413,68139,0.3,67512,0.5,135206,0.3,181330,1.75 111,1,2024-09-07 08:59:21:003,505252,505252,0,0,235621219456,2442017659,503104,1812,336,382,391690,0 111,2,2024-09-07 08:59:21:117,359786,359786,0,0,14551769,0,2763 111,3,2024-09-07 08:59:20:924,1,286,8,0,379,3715,286,0 112,0,2024-09-07 08:59:20:910,74166,0.3,73740,0.4,147831,0.2,197018,1.50 112,1,2024-09-07 08:59:20:824,505339,505339,0,0,234677618047,2433192356,502662,2241,436,380,391624,0 112,2,2024-09-07 08:59:21:144,362339,362338,1,0,14499516,0,5036 112,3,2024-09-07 08:59:20:595,1,286,20,0,282,2816,286,0 113,0,2024-09-07 08:59:20:869,74346,0.3,74405,0.5,149417,0.2,198868,1.50 113,1,2024-09-07 08:59:21:706,506338,506338,0,0,236213597184,2436723265,503613,2152,573,366,391661,0 113,2,2024-09-07 08:59:21:304,366553,366553,0,0,12958584,0,3813 113,3,2024-09-07 08:59:20:684,1,286,1,0,340,3834,286,0 114,0,2024-09-07 08:59:20:873,70241,0.4,70656,0.5,140342,0.2,187349,1.75 114,1,2024-09-07 08:59:20:718,504525,504525,0,0,235068089395,2445187677,499691,3245,1589,381,391556,0 114,2,2024-09-07 08:59:20:873,360588,360587,1,0,14035595,0,5069 114,3,2024-09-07 08:59:21:278,1,286,3,0,395,2821,286,0 115,0,2024-09-07 08:59:20:555,69170,0.3,69454,0.4,139118,0.2,184565,1.50 115,1,2024-09-07 08:59:20:571,504565,504565,0,0,234700180599,2439461745,500121,3606,838,382,391639,0 115,2,2024-09-07 08:59:21:125,361556,361556,0,0,12651373,0,2346 115,3,2024-09-07 08:59:21:002,1,286,14,0,159,1690,286,0 116,0,2024-09-07 08:59:21:704,71576,0.7,71445,0.9,143016,0.8,191545,2.00 116,1,2024-09-07 08:59:20:813,501923,501923,0,0,233609707491,2467158154,495364,4502,2057,380,391782,0 116,2,2024-09-07 08:59:21:755,360669,360669,0,0,18278192,0,3529 116,3,2024-09-07 08:59:20:923,1,286,1,0,415,3908,286,0 117,0,2024-09-07 08:59:21:040,76742,0.9,76387,0.9,152660,1.1,203946,2.00 117,1,2024-09-07 08:59:21:587,502822,502822,0,0,233865196561,2448404284,497443,4829,550,370,392033,0 117,2,2024-09-07 08:59:21:123,365158,365158,0,0,14885251,0,3700 117,3,2024-09-07 08:59:21:084,1,286,31,0,490,4404,286,0 118,0,2024-09-07 08:59:21:784,69492,0.6,71544,0.7,145510,0.5,190214,2.00 118,1,2024-09-07 08:59:20:613,502937,502937,0,0,233665670164,2457688194,495538,5574,1825,366,391736,0 118,2,2024-09-07 08:59:21:601,361898,361898,0,0,15920529,0,2781 118,3,2024-09-07 08:59:21:767,1,286,8,0,235,3245,286,0 119,0,2024-09-07 08:59:21:368,69122,0.8,69603,0.8,139238,0.9,185412,2.25 119,1,2024-09-07 08:59:20:556,503966,503966,0,0,234795822889,2454768706,498502,4797,667,367,391780,0 119,2,2024-09-07 08:59:21:260,360652,360652,0,0,15036009,0,4174 119,3,2024-09-07 08:59:21:326,1,286,12,0,443,3923,286,0 120,0,2024-09-07 08:59:21:568,69581,0.6,69356,0.8,138958,0.6,185903,2.25 120,1,2024-09-07 08:59:20:861,503176,503176,0,0,233893661707,2454785731,497633,5124,419,368,391961,0 120,2,2024-09-07 08:59:20:771,360368,360367,1,0,18564614,0,5281 120,3,2024-09-07 08:59:21:322,1,286,119,0,241,3569,286,0 121,0,2024-09-07 08:59:21:728,75924,0.9,76061,0.9,152234,1.1,202647,2.25 121,1,2024-09-07 08:59:21:720,503278,503278,0,0,234494540791,2455484635,497916,4934,428,367,391840,0 121,2,2024-09-07 08:59:21:129,360347,360347,0,0,17716340,0,4127 121,3,2024-09-07 08:59:20:729,1,286,5,0,269,3514,286,0 122,0,2024-09-07 08:59:21:765,72822,0.8,70933,0.9,148739,0.9,195200,2.00 122,1,2024-09-07 08:59:20:880,502348,502348,0,0,233813100025,2457039899,494798,6418,1132,366,392130,0 122,2,2024-09-07 08:59:21:318,364030,363957,73,0,20049690,0,5989 122,3,2024-09-07 08:59:20:606,1,286,24,0,411,5709,286,0 123,0,2024-09-07 08:59:20:958,68536,0.8,66989,0.8,139610,1.0,182718,2.25 123,1,2024-09-07 08:59:20:606,502438,502438,0,0,233995958672,2468197016,492914,7620,1904,369,392039,0 123,2,2024-09-07 08:59:21:020,357751,357750,1,0,16616680,0,5215 123,3,2024-09-07 08:59:21:146,1,286,7,0,168,3297,286,0 124,0,2024-09-07 08:59:20:927,70280,0.3,70264,0.5,132471,0.3,182088,1.75 124,1,2024-09-07 08:59:21:031,504895,504895,0,0,234632192974,2440073233,501331,2876,688,367,392178,0 124,2,2024-09-07 08:59:21:016,360741,360741,0,0,13724914,0,3101 124,3,2024-09-07 08:59:20:758,1,286,3,0,490,2982,286,0 125,0,2024-09-07 08:59:21:428,74569,0.3,74198,0.5,149222,0.3,198606,1.75 125,1,2024-09-07 08:59:20:862,502909,502909,0,0,234912442816,2450371175,499301,3168,440,383,391702,0 125,2,2024-09-07 08:59:21:353,362078,362078,0,0,15429970,0,4534 125,3,2024-09-07 08:59:21:128,1,286,6,0,709,3845,286,0 126,0,2024-09-07 08:59:21:416,74230,0.5,76249,0.6,145753,0.4,197552,1.75 126,1,2024-09-07 08:59:20:567,505114,505114,0,0,235279773807,2437454823,502231,2614,269,365,391987,0 126,2,2024-09-07 08:59:20:615,367052,367052,0,0,15225842,0,3186 126,3,2024-09-07 08:59:20:910,1,286,2,0,207,3841,286,0 127,0,2024-09-07 08:59:21:596,68409,0.3,68795,0.5,137225,0.3,182295,1.75 127,1,2024-09-07 08:59:20:569,504677,504677,0,0,234658488168,2433735261,500758,3413,506,365,391816,0 127,2,2024-09-07 08:59:20:644,360428,360428,0,0,13379129,0,2264 127,3,2024-09-07 08:59:21:268,1,286,9,0,968,3227,286,0 128,0,2024-09-07 08:59:21:531,68480,0.3,68462,0.4,136878,0.2,181702,1.50 128,1,2024-09-07 08:59:21:691,504186,504186,0,0,235009743283,2439499112,501004,2896,286,367,391798,0 128,2,2024-09-07 08:59:21:384,361435,361435,0,0,13348186,0,2434 128,3,2024-09-07 08:59:20:767,1,286,2,0,1082,5503,286,0 129,0,2024-09-07 08:59:20:997,73282,0.3,72977,0.4,146073,0.2,194682,1.50 129,1,2024-09-07 08:59:20:627,501690,501690,0,0,233384469165,2443953364,497294,3463,933,379,391835,0 129,2,2024-09-07 08:59:20:696,362817,362817,0,0,14471327,0,4031 129,3,2024-09-07 08:59:20:690,1,286,0,0,469,3824,286,0 130,0,2024-09-07 08:59:21:728,76252,0.6,75849,0.6,152291,0.6,202381,1.75 130,1,2024-09-07 08:59:20:587,504337,504337,0,0,234673824022,2438736823,501877,2381,79,381,391825,0 130,2,2024-09-07 08:59:21:125,365820,365820,0,0,14233096,0,4067 130,3,2024-09-07 08:59:21:297,1,286,1,0,450,3722,286,0 131,0,2024-09-07 08:59:21:959,70505,0.4,70982,0.5,142457,0.3,188577,1.75 131,1,2024-09-07 08:59:21:853,504891,504891,0,0,234387399203,2442783990,501739,2690,462,381,391865,0 131,2,2024-09-07 08:59:20:568,363324,363324,0,0,12804611,0,2415 131,3,2024-09-07 08:59:21:694,1,286,16,0,392,2975,286,0 132,0,2024-09-07 08:59:21:452,69720,0.5,70572,0.6,140590,0.4,186844,2.00 132,1,2024-09-07 08:59:20:576,501564,501564,0,0,233000367195,2458655854,493473,6632,1459,381,392097,0 132,2,2024-09-07 08:59:20:698,360519,360519,0,0,16845180,0,4606 132,3,2024-09-07 08:59:21:696,1,286,16,0,356,3838,286,0 133,0,2024-09-07 08:59:21:540,69284,0.4,70758,0.6,144965,0.3,188805,1.75 133,1,2024-09-07 08:59:20:597,502271,502271,0,0,233202423599,2458319827,495363,5915,993,383,391914,0 133,2,2024-09-07 08:59:21:091,360659,360659,0,0,18381782,0,4315 133,3,2024-09-07 08:59:21:299,1,286,1,0,276,2777,286,0 134,0,2024-09-07 08:59:20:985,77338,0.5,77290,0.7,154551,0.5,206070,2.00 134,1,2024-09-07 08:59:20:586,502321,502321,0,0,233524362606,2452906319,495346,5498,1477,366,391718,0 134,2,2024-09-07 08:59:21:760,361727,361727,0,0,15458099,0,3847 134,3,2024-09-07 08:59:20:749,1,286,5,0,739,4012,286,0 135,0,2024-09-07 08:59:21:123,69780,0.8,69825,0.9,148100,0.8,191419,2.00 135,1,2024-09-07 08:59:21:589,502199,502199,0,0,234291150312,2467170843,494808,6201,1190,380,391805,0 135,2,2024-09-07 08:59:20:742,364436,364436,0,0,16913781,0,3981 135,3,2024-09-07 08:59:21:009,1,286,1,0,299,2163,286,0 136,0,2024-09-07 08:59:21:647,69326,0.6,69272,0.7,138103,0.6,184325,2.25 136,1,2024-09-07 08:59:21:441,502425,502425,0,0,233540480396,2457029870,495994,5765,666,382,391685,0 136,2,2024-09-07 08:59:21:180,360197,360197,0,0,16412410,0,3506 136,3,2024-09-07 08:59:21:106,1,286,2,0,301,2862,286,0 137,0,2024-09-07 08:59:20:924,71035,0.6,68979,0.7,135903,0.6,184987,2.00 137,1,2024-09-07 08:59:20:575,501930,501930,0,0,233979221614,2459374904,493356,6773,1801,366,391708,0 137,2,2024-09-07 08:59:21:716,359351,359351,0,0,18531019,0,3185 137,3,2024-09-07 08:59:20:769,1,286,13,0,382,3528,286,0 138,0,2024-09-07 08:59:21:740,74294,1.2,74518,1.0,149578,1.6,199248,2.25 138,1,2024-09-07 08:59:21:696,502118,502118,0,0,234562732376,2466269429,493831,6718,1569,368,391954,0 138,2,2024-09-07 08:59:20:591,360892,360892,0,0,16952690,0,4988 138,3,2024-09-07 08:59:20:615,1,286,3,0,1160,4166,286,0 139,0,2024-09-07 08:59:21:359,72225,1.5,72601,1.2,145217,2.3,193965,2.50 139,1,2024-09-07 08:59:20:583,500445,500445,0,0,232397699987,2472699227,490392,7534,2519,381,391892,0 139,2,2024-09-07 08:59:20:698,363130,363130,0,0,17924762,0,3097 139,3,2024-09-07 08:59:21:663,1,286,12,0,257,3199,286,0 140,0,2024-09-07 08:59:21:591,68714,0.3,68257,0.5,137281,0.2,182702,1.75 140,1,2024-09-07 08:59:21:537,505893,505893,0,0,235240229827,2425252186,503461,2066,366,365,391606,0 140,2,2024-09-07 08:59:20:687,360521,360521,0,0,13618148,0,3388 140,3,2024-09-07 08:59:20:766,1,286,4,0,247,2402,286,0 141,0,2024-09-07 08:59:21:702,67922,0.3,69760,0.4,133363,0.2,181209,1.50 141,1,2024-09-07 08:59:20:864,505393,505393,0,0,235204647953,2440668455,502275,2683,435,379,391614,0 141,2,2024-09-07 08:59:21:687,360359,360359,0,0,13825198,0,3360 141,3,2024-09-07 08:59:21:044,1,286,1,0,202,2500,286,0 142,0,2024-09-07 08:59:21:434,74526,0.3,73877,0.4,147167,0.2,197148,1.50 142,1,2024-09-07 08:59:20:593,504129,504129,0,0,234460042578,2444183693,501042,2794,293,382,392102,0 142,2,2024-09-07 08:59:21:306,362140,362108,32,0,15604890,0,6028 142,3,2024-09-07 08:59:21:761,1,286,4,0,484,3809,286,0 143,0,2024-09-07 08:59:21:381,74730,0.5,74648,0.6,149700,0.5,198833,1.75 143,1,2024-09-07 08:59:20:572,504902,504902,0,0,234773039843,2434471704,501705,3058,139,367,391651,0 143,2,2024-09-07 08:59:20:774,365452,365452,0,0,14503212,0,2669 143,3,2024-09-07 08:59:21:147,1,286,11,0,303,3583,286,0 144,0,2024-09-07 08:59:21:511,67590,0.6,69495,0.8,141523,0.5,185517,2.00 144,1,2024-09-07 08:59:20:608,501970,501970,0,0,233485902915,2450212563,497389,3646,935,381,391649,0 144,2,2024-09-07 08:59:21:754,361274,361274,0,0,13445916,0,3473 144,3,2024-09-07 08:59:21:741,1,286,1,0,249,3135,286,0 145,0,2024-09-07 08:59:21:359,66774,0.5,66784,0.8,141754,0.5,182445,2.25 145,1,2024-09-07 08:59:20:577,501980,501980,0,0,234308461662,2461761656,496119,5036,825,382,391698,0 145,2,2024-09-07 08:59:21:433,359414,359414,0,0,16271985,0,3903 145,3,2024-09-07 08:59:20:903,1,286,10,0,622,4285,286,0 146,0,2024-09-07 08:59:21:667,71728,0.5,71177,0.7,143354,0.5,190707,2.00 146,1,2024-09-07 08:59:21:595,502968,502968,0,0,234043012879,2465152537,494151,6923,1894,368,391770,0 146,2,2024-09-07 08:59:21:696,361296,361296,0,0,16611596,0,2730 146,3,2024-09-07 08:59:21:286,1,286,4,0,1520,6220,286,0 147,0,2024-09-07 08:59:21:735,76523,0.6,76322,0.8,151837,0.6,203400,2.00 147,1,2024-09-07 08:59:21:373,504659,504659,0,0,235393826855,2447642533,500250,3753,656,368,391791,0 147,2,2024-09-07 08:59:21:018,364387,364387,0,0,14113209,0,2789 147,3,2024-09-07 08:59:20:924,1,286,10,0,730,4192,286,0 0,0,2024-09-07 08:59:31:733,67997,0.5,68008,0.7,144304,0.5,186837,1.75 0,1,2024-09-07 08:59:30:815,505341,505341,0,0,235491771476,2461905116,501709,3423,209,370,391896,0 0,2,2024-09-07 08:59:31:073,362859,362859,0,0,14430993,0,4480 0,3,2024-09-07 08:59:30:973,1,287,5,0,319,3623,287,0 1,0,2024-09-07 08:59:31:776,76627,0.8,76185,0.9,152791,0.9,204443,2.00 1,1,2024-09-07 08:59:30:570,505089,505089,0,0,234252271290,2452289583,500515,3520,1054,370,391857,0 1,2,2024-09-07 08:59:30:639,362123,362123,0,0,13689182,0,3267 1,3,2024-09-07 08:59:31:315,1,287,22,0,262,3335,287,0 2,0,2024-09-07 08:59:31:571,73392,0.7,73647,0.8,145986,0.9,195768,2.00 2,1,2024-09-07 08:59:30:861,506438,506438,0,0,236146228637,2452178057,504128,2090,220,380,391745,0 2,2,2024-09-07 08:59:31:267,366331,366331,0,0,14178765,0,3594 2,3,2024-09-07 08:59:30:693,1,287,1,0,357,2625,287,0 3,0,2024-09-07 08:59:31:757,68808,0.4,68861,0.6,137524,0.4,182885,2.00 3,1,2024-09-07 08:59:31:618,505165,505165,0,0,235105666331,2447372955,501161,3542,462,380,391556,0 3,2,2024-09-07 08:59:31:148,361219,361196,23,0,14108579,0,5851 3,3,2024-09-07 08:59:31:761,1,287,7,0,103,1728,287,0 4,0,2024-09-07 08:59:31:818,66338,0.3,68291,0.5,138735,0.3,181945,1.75 4,1,2024-09-07 08:59:30:591,504770,504770,0,0,234328518969,2463018774,499188,4579,1003,371,391992,0 4,2,2024-09-07 08:59:31:019,359895,359895,0,0,17066800,0,4528 4,3,2024-09-07 08:59:31:027,1,287,1,0,448,4224,287,0 5,0,2024-09-07 08:59:31:377,74722,0.4,74998,0.5,149188,0.4,199002,1.75 5,1,2024-09-07 08:59:30:773,504493,504493,0,0,235079438523,2472990117,498030,5138,1325,368,392005,0 5,2,2024-09-07 08:59:31:833,361666,361666,0,0,15753876,0,2432 5,3,2024-09-07 08:59:31:745,1,287,0,0,457,4280,287,0 6,0,2024-09-07 08:59:30:915,74607,0.5,74095,0.7,148050,0.5,197756,2.00 6,1,2024-09-07 08:59:30:746,505011,505011,0,0,234783367834,2452976488,499661,4423,927,379,391694,0 6,2,2024-09-07 08:59:31:136,366717,366717,0,0,15449555,0,4816 6,3,2024-09-07 08:59:31:273,1,287,1,0,340,3278,287,0 7,0,2024-09-07 08:59:31:538,68284,0.5,68591,0.6,136675,0.5,181589,2.00 7,1,2024-09-07 08:59:30:850,504107,504107,0,0,234531188910,2461905994,497430,5567,1110,382,391747,0 7,2,2024-09-07 08:59:30:779,360771,360771,0,0,14980698,0,4791 7,3,2024-09-07 08:59:30:865,1,287,8,0,398,3369,287,0 8,0,2024-09-07 08:59:31:339,68431,0.4,68158,0.5,136334,0.3,181721,1.75 8,1,2024-09-07 08:59:31:028,503453,503453,0,0,234517473702,2475462661,493983,7258,2212,366,392144,0 8,2,2024-09-07 08:59:30:795,357287,357287,0,0,18870769,0,3220 8,3,2024-09-07 08:59:30:585,1,287,1,0,538,5097,287,0 9,0,2024-09-07 08:59:31:106,73426,0.3,71257,0.5,148980,0.3,195798,1.75 9,1,2024-09-07 08:59:30:550,503615,503615,0,0,234645395578,2473112530,496368,5603,1644,369,392001,0 9,2,2024-09-07 08:59:31:090,362606,362606,0,0,16956396,0,3360 9,3,2024-09-07 08:59:31:761,1,287,41,0,496,4458,287,0 10,0,2024-09-07 08:59:31:599,75848,0.3,75291,0.5,151348,0.3,201361,1.75 10,1,2024-09-07 08:59:30:591,504120,504120,0,0,235478354466,2470126461,496855,6278,987,381,391741,0 10,2,2024-09-07 08:59:30:768,365895,365895,0,0,18298262,0,4264 10,3,2024-09-07 08:59:30:873,1,287,13,0,296,2608,287,0 11,0,2024-09-07 08:59:31:008,70287,0.5,68240,0.7,142741,0.4,188717,1.75 11,1,2024-09-07 08:59:30:571,504623,504623,0,0,234533638870,2468835919,495150,7059,2414,383,391573,0 11,2,2024-09-07 08:59:31:126,361408,361408,0,0,16398164,0,4130 11,3,2024-09-07 08:59:31:298,1,287,8,0,843,4533,287,0 12,0,2024-09-07 08:59:30:961,70575,0.3,70524,0.5,141048,0.3,187266,1.75 12,1,2024-09-07 08:59:30:936,504976,504976,0,0,235331271172,2454853308,500737,3766,473,370,391870,0 12,2,2024-09-07 08:59:31:557,362260,362260,0,0,15791996,0,3469 12,3,2024-09-07 08:59:31:067,1,287,7,0,386,4754,287,0 13,0,2024-09-07 08:59:31:338,71680,0.3,71545,0.5,142968,0.3,190573,1.75 13,1,2024-09-07 08:59:31:546,504419,504419,0,0,234304641002,2461916060,499909,3389,1121,382,391740,0 13,2,2024-09-07 08:59:30:595,364013,364013,0,0,14863249,0,3287 13,3,2024-09-07 08:59:31:769,1,287,346,0,522,5022,287,0 14,0,2024-09-07 08:59:30:568,77532,0.4,78144,0.6,154640,0.4,206154,1.75 14,1,2024-09-07 08:59:31:566,507850,507850,0,0,236388614867,2446232739,504617,3036,197,364,391571,0 14,2,2024-09-07 08:59:30:768,363403,363403,0,0,14570904,0,2896 14,3,2024-09-07 08:59:31:119,1,287,1,0,1168,3936,287,0 15,0,2024-09-07 08:59:31:560,72519,0.5,72605,0.7,144985,0.4,193149,2.00 15,1,2024-09-07 08:59:31:611,506101,506101,0,0,235337216293,2446643334,503326,2597,178,381,391619,0 15,2,2024-09-07 08:59:30:997,366383,366383,0,0,12560411,0,3043 15,3,2024-09-07 08:59:31:408,1,287,8,0,1126,5582,287,0 16,0,2024-09-07 08:59:30:951,69278,0.6,69537,0.8,138507,0.7,184465,2.25 16,1,2024-09-07 08:59:30:574,506214,506214,0,0,235258468155,2456182604,502550,3362,302,370,391756,0 16,2,2024-09-07 08:59:31:434,361349,361349,0,0,15048920,0,4719 16,3,2024-09-07 08:59:31:146,1,287,15,0,317,4012,287,0 17,0,2024-09-07 08:59:31:820,71518,0.5,69869,0.7,136347,0.4,185834,2.00 17,1,2024-09-07 08:59:30:573,504834,504834,0,0,234342743870,2459176846,499654,4074,1106,368,391899,0 17,2,2024-09-07 08:59:31:698,364423,364423,0,0,14866751,0,2857 17,3,2024-09-07 08:59:30:591,1,287,18,0,268,4548,287,0 18,0,2024-09-07 08:59:30:943,74488,0.6,74977,0.7,149428,0.5,199619,2.25 18,1,2024-09-07 08:59:31:645,506126,506126,0,0,235789218265,2442991872,503325,2559,242,367,391649,0 18,2,2024-09-07 08:59:31:757,364052,364052,0,0,14094085,0,3541 18,3,2024-09-07 08:59:30:914,1,287,2,0,163,2648,287,0 19,0,2024-09-07 08:59:31:540,73060,0.6,73678,0.8,146059,0.6,194710,2.25 19,1,2024-09-07 08:59:30:565,506158,506158,0,0,235714636980,2446909932,501456,3915,787,367,391777,0 19,2,2024-09-07 08:59:31:757,368303,368303,0,0,12968096,0,3988 19,3,2024-09-07 08:59:31:129,1,287,5,0,524,2192,287,0 20,0,2024-09-07 08:59:31:347,68378,0.6,68426,0.7,136992,0.6,182658,2.25 20,1,2024-09-07 08:59:30:569,504550,504550,0,0,235088057082,2457766708,500559,3590,401,369,391886,0 20,2,2024-09-07 08:59:30:935,361693,361693,0,0,14657483,0,3721 20,3,2024-09-07 08:59:30:591,1,287,13,0,414,4911,287,0 21,0,2024-09-07 08:59:31:240,68267,0.5,68393,0.6,136505,0.4,181345,2.00 21,1,2024-09-07 08:59:31:537,503198,503198,0,0,234083256311,2466080418,496439,5133,1626,368,392016,0 21,2,2024-09-07 08:59:31:067,360013,360013,0,0,17445209,0,3747 21,3,2024-09-07 08:59:31:408,1,287,1,0,103,2920,287,0 22,0,2024-09-07 08:59:31:719,74004,0.5,74200,0.7,148397,0.4,197364,2.00 22,1,2024-09-07 08:59:31:027,504586,504586,0,0,234181957622,2463620173,496971,5948,1667,382,391667,0 22,2,2024-09-07 08:59:30:761,362546,362546,0,0,14924301,0,3134 22,3,2024-09-07 08:59:31:066,1,287,2,0,228,2550,287,0 23,0,2024-09-07 08:59:31:367,74363,0.6,74222,0.7,148712,0.6,197978,2.25 23,1,2024-09-07 08:59:31:005,505441,505441,0,0,234747735961,2463678273,497473,5301,2667,365,391690,0 23,2,2024-09-07 08:59:31:092,366950,366950,0,0,14372398,0,3010 23,3,2024-09-07 08:59:31:759,1,287,8,0,645,3541,287,0 24,0,2024-09-07 08:59:30:825,70442,0.4,70293,0.6,141107,0.4,186980,1.75 24,1,2024-09-07 08:59:30:592,504338,504338,0,0,234095622393,2458494642,497969,4847,1522,368,391987,0 24,2,2024-09-07 08:59:31:076,360312,360312,0,0,17525620,0,3607 24,3,2024-09-07 08:59:31:693,1,287,0,0,468,4119,287,0 25,0,2024-09-07 08:59:31:375,70878,0.4,69117,0.6,135645,0.4,184575,2.00 25,1,2024-09-07 08:59:30:566,505210,505210,0,0,234809360289,2462234387,499318,5074,818,371,391928,0 25,2,2024-09-07 08:59:31:606,360806,360806,0,0,18250035,0,3978 25,3,2024-09-07 08:59:31:000,1,287,2,0,255,3026,287,0 26,0,2024-09-07 08:59:31:722,71898,0.4,70173,0.6,147160,0.3,192012,1.75 26,1,2024-09-07 08:59:31:541,505145,505145,0,0,235147654149,2467618756,497370,6527,1248,381,391748,0 26,2,2024-09-07 08:59:30:861,363066,363066,0,0,17913741,0,2809 26,3,2024-09-07 08:59:31:727,1,287,0,0,796,3632,287,0 27,0,2024-09-07 08:59:31:727,76861,0.5,76950,0.6,152305,0.5,203417,2.00 27,1,2024-09-07 08:59:31:682,506748,506748,0,0,236051886203,2459785518,502014,3973,761,381,391626,0 27,2,2024-09-07 08:59:30:867,362663,362598,65,0,18025788,0,5699 27,3,2024-09-07 08:59:31:018,1,287,9,0,564,3016,287,0 28,0,2024-09-07 08:59:31:396,71900,0.4,71768,0.6,143888,0.4,191740,2.00 28,1,2024-09-07 08:59:30:810,505905,505905,0,0,236045032537,2459424066,502239,3105,561,383,391646,0 28,2,2024-09-07 08:59:31:770,363130,363130,0,0,14262597,0,2915 28,3,2024-09-07 08:59:31:790,1,287,0,0,502,2868,287,0 29,0,2024-09-07 08:59:31:375,71803,0.4,70100,0.6,137141,0.3,187528,1.75 29,1,2024-09-07 08:59:31:567,507049,507049,0,0,235401296859,2437443799,503561,2921,567,369,391753,0 29,2,2024-09-07 08:59:30:864,361305,361305,0,0,13971437,0,4233 29,3,2024-09-07 08:59:30:971,1,287,1,0,284,2359,287,0 30,0,2024-09-07 08:59:31:476,70039,0.5,67988,0.7,142174,0.4,186319,2.00 30,1,2024-09-07 08:59:30:572,507146,507146,0,0,236124069841,2447296116,504383,2450,313,382,391672,0 30,2,2024-09-07 08:59:31:273,362787,362787,0,0,13591267,0,3161 30,3,2024-09-07 08:59:30:581,1,287,49,0,519,2743,287,0 31,0,2024-09-07 08:59:31:776,76156,0.4,76586,0.6,153068,0.3,204231,2.00 31,1,2024-09-07 08:59:30:567,508544,508544,0,0,237082542326,2430116684,506865,1408,271,356,391712,0 31,2,2024-09-07 08:59:31:280,362717,362717,0,0,15189136,0,3525 31,3,2024-09-07 08:59:31:710,1,287,2,0,220,2200,287,0 32,0,2024-09-07 08:59:31:420,73270,0.3,73594,0.5,147320,0.3,196078,1.75 32,1,2024-09-07 08:59:30:814,506873,506873,0,0,235650392347,2444784604,504517,2077,279,381,391646,0 32,2,2024-09-07 08:59:30:939,366564,366564,0,0,12949905,0,3155 32,3,2024-09-07 08:59:31:017,1,287,0,0,227,2287,287,0 33,0,2024-09-07 08:59:31:497,69222,0.3,68684,0.4,137963,0.2,183781,1.75 33,1,2024-09-07 08:59:30:590,507423,507423,0,0,236939459323,2448331976,504500,2749,174,369,391730,0 33,2,2024-09-07 08:59:30:764,362345,362312,33,0,14335713,0,7012 33,3,2024-09-07 08:59:30:894,1,287,12,0,329,3273,287,0 34,0,2024-09-07 08:59:30:985,68321,0.3,70464,0.4,135065,0.2,181248,1.50 34,1,2024-09-07 08:59:31:049,508224,508224,0,0,236586652005,2432750607,506996,1221,7,367,391562,0 34,2,2024-09-07 08:59:30:773,361713,361713,0,0,14051675,0,3577 34,3,2024-09-07 08:59:31:693,1,287,1,0,299,2247,287,0 35,0,2024-09-07 08:59:30:861,74074,0.3,74514,0.5,149839,0.2,199621,1.75 35,1,2024-09-07 08:59:31:068,506500,506500,0,0,234950889000,2436266768,503038,2682,780,384,391589,0 35,2,2024-09-07 08:59:31:583,363354,363354,0,0,14199469,0,2653 35,3,2024-09-07 08:59:30:913,1,287,0,0,418,3517,287,0 36,0,2024-09-07 08:59:31:521,74390,0.5,74372,0.7,148717,0.5,197413,2.25 36,1,2024-09-07 08:59:30:597,506195,506195,0,0,234816331291,2454274224,499917,4694,1584,366,391759,0 36,2,2024-09-07 08:59:31:758,366756,366756,0,0,15880806,0,3875 36,3,2024-09-07 08:59:30:863,1,287,6,0,416,4577,287,0 37,0,2024-09-07 08:59:31:370,68246,0.5,68227,0.7,136578,0.5,182600,2.00 37,1,2024-09-07 08:59:30:570,505022,505015,0,7,234463398290,2452951138,498812,4132,2071,365,391560,0 37,2,2024-09-07 08:59:31:145,360021,360006,15,0,15631591,0,5815 37,3,2024-09-07 08:59:31:769,1,287,2,0,888,4670,287,0 38,0,2024-09-07 08:59:31:438,67619,0.4,65734,0.6,137544,0.4,179619,2.00 38,1,2024-09-07 08:59:31:607,506622,506622,0,0,236290174704,2462304484,501216,4730,676,368,391821,0 38,2,2024-09-07 08:59:30:764,360673,360626,47,0,16609698,0,6710 38,3,2024-09-07 08:59:30:997,1,287,1,0,689,3663,287,0 39,0,2024-09-07 08:59:31:764,75000,0.5,73434,0.7,142901,0.5,196043,2.00 39,1,2024-09-07 08:59:30:717,505617,505617,0,0,235462323484,2461371509,498822,5584,1211,365,391594,0 39,2,2024-09-07 08:59:31:418,363267,363267,0,0,14599823,0,2689 39,3,2024-09-07 08:59:30:717,1,287,5,0,324,3722,287,0 40,0,2024-09-07 08:59:31:493,74941,0.8,75623,1.0,151043,0.9,201108,3.00 40,1,2024-09-07 08:59:30:576,505533,505533,0,0,234590730280,2459384005,497688,6391,1454,368,391668,0 40,2,2024-09-07 08:59:31:303,365571,365570,1,0,18037972,0,5137 40,3,2024-09-07 08:59:31:155,1,287,2,0,398,3356,287,0 41,0,2024-09-07 08:59:31:026,70042,1.7,71752,1.3,137005,2.7,186690,3.25 41,1,2024-09-07 08:59:30:776,505126,505126,0,0,235043858814,2457769414,499250,5241,635,370,391742,0 41,2,2024-09-07 08:59:30:760,360495,360495,0,0,16976773,0,3356 41,3,2024-09-07 08:59:31:681,1,287,1,0,366,3124,287,0 42,0,2024-09-07 08:59:31:504,69429,0.7,69471,0.9,139254,0.7,183961,2.50 42,1,2024-09-07 08:59:31:448,504289,504289,0,0,234546272359,2467369638,496040,6687,1562,380,391675,0 42,2,2024-09-07 08:59:31:141,361964,361964,0,0,16560930,0,3568 42,3,2024-09-07 08:59:31:014,1,287,12,0,446,2508,287,0 43,0,2024-09-07 08:59:30:918,70789,0.8,68977,1.0,144437,0.8,190228,2.25 43,1,2024-09-07 08:59:30:577,505376,505376,0,0,235708892291,2466438187,497821,6190,1365,366,391696,0 43,2,2024-09-07 08:59:31:742,362697,362697,0,0,17054536,0,3812 43,3,2024-09-07 08:59:31:755,1,287,0,0,467,3605,287,0 44,0,2024-09-07 08:59:30:860,77361,0.5,77786,0.6,155061,0.4,206281,1.75 44,1,2024-09-07 08:59:30:572,507314,507314,0,0,235227979303,2428637440,504161,2584,569,356,391809,0 44,2,2024-09-07 08:59:31:269,362925,362925,0,0,13534257,0,2231 44,3,2024-09-07 08:59:31:093,1,287,187,0,817,3391,287,0 45,0,2024-09-07 08:59:31:782,71475,0.6,69832,0.8,146495,0.6,192846,2.00 45,1,2024-09-07 08:59:31:005,506246,506246,0,0,235964382463,2445620045,504232,1995,19,382,391917,0 45,2,2024-09-07 08:59:31:268,365278,365278,0,0,14185372,0,3596 45,3,2024-09-07 08:59:30:938,1,287,11,0,271,2941,287,0 46,0,2024-09-07 08:59:30:965,68762,0.5,68716,0.7,137600,0.5,182493,2.00 46,1,2024-09-07 08:59:30:582,507602,507602,0,0,235544246524,2430399476,505063,2265,274,366,391539,0 46,2,2024-09-07 08:59:30:593,362275,362275,0,0,13645002,0,2920 46,3,2024-09-07 08:59:31:137,1,287,3,0,908,4365,287,0 47,0,2024-09-07 08:59:31:109,69735,0.4,69773,0.6,139959,0.4,185321,1.75 47,1,2024-09-07 08:59:30:567,507152,507152,0,0,236065212453,2436116293,504637,2381,134,366,391641,0 47,2,2024-09-07 08:59:30:919,363931,363931,0,0,14067704,0,4477 47,3,2024-09-07 08:59:31:120,1,287,13,0,529,2714,287,0 48,0,2024-09-07 08:59:31:489,75780,0.3,75259,0.4,150260,0.2,200615,1.50 48,1,2024-09-07 08:59:31:022,506696,506696,0,0,236346804403,2454600436,503315,3080,301,384,391710,0 48,2,2024-09-07 08:59:30:710,362736,362736,0,0,12998547,0,3031 48,3,2024-09-07 08:59:30:754,1,287,29,0,339,2394,287,0 49,0,2024-09-07 08:59:31:727,75559,0.3,74082,0.5,143812,0.3,197119,1.75 49,1,2024-09-07 08:59:31:026,505597,505597,0,0,235500089570,2452982771,501673,2743,1181,382,391809,0 49,2,2024-09-07 08:59:31:797,367964,367964,0,0,13804380,0,3900 49,3,2024-09-07 08:59:31:416,1,287,193,0,408,3132,287,0 50,0,2024-09-07 08:59:31:509,68589,0.3,67939,0.5,136776,0.2,182416,1.75 50,1,2024-09-07 08:59:31:011,507379,507379,0,0,236964714340,2450111942,504177,2892,310,368,391540,0 50,2,2024-09-07 08:59:31:066,361648,361648,0,0,12702279,0,2263 50,3,2024-09-07 08:59:31:297,1,287,1,0,335,2380,287,0 51,0,2024-09-07 08:59:31:691,69879,0.3,68387,0.4,133319,0.2,181872,1.50 51,1,2024-09-07 08:59:31:682,508128,508128,0,0,236767085599,2442873878,505288,1900,940,365,391706,0 51,2,2024-09-07 08:59:31:316,361762,361762,0,0,12025183,0,3337 51,3,2024-09-07 08:59:31:029,1,287,1,0,678,2248,287,0 52,0,2024-09-07 08:59:31:428,74663,0.4,74409,0.6,148996,0.4,198032,2.00 52,1,2024-09-07 08:59:30:575,505577,505577,0,0,235187453199,2464209262,498112,6211,1254,368,391722,0 52,2,2024-09-07 08:59:31:757,360680,360642,38,0,16765568,0,6742 52,3,2024-09-07 08:59:30:676,1,287,1,0,1782,4668,287,0 53,0,2024-09-07 08:59:31:759,74163,0.7,72099,0.8,150724,0.8,197420,2.25 53,1,2024-09-07 08:59:30:776,504341,504341,0,0,235176580618,2469244847,496227,5665,2449,367,391702,0 53,2,2024-09-07 08:59:31:302,367009,367009,0,0,14064796,0,2727 53,3,2024-09-07 08:59:30:698,1,287,5,0,308,2703,287,0 54,0,2024-09-07 08:59:31:617,68845,0.7,69384,0.8,137677,0.5,184196,2.50 54,1,2024-09-07 08:59:30:609,505855,505855,0,0,235843597660,2452166743,500752,4504,599,367,391659,0 54,2,2024-09-07 08:59:30:872,361397,361391,6,0,15919664,0,5382 54,3,2024-09-07 08:59:30:770,1,287,1,0,676,4677,287,0 55,0,2024-09-07 08:59:31:764,66644,0.5,69083,0.7,138910,0.5,180834,2.25 55,1,2024-09-07 08:59:30:767,505275,505275,0,0,235439135278,2451911169,499091,5276,908,365,391731,0 55,2,2024-09-07 08:59:30:731,360973,360973,0,0,15677945,0,3563 55,3,2024-09-07 08:59:30:677,1,287,8,0,304,3093,287,0 56,0,2024-09-07 08:59:31:566,73775,1.1,69483,1.1,143215,1.5,192000,2.50 56,1,2024-09-07 08:59:30:574,503595,503595,0,0,234674197131,2481014960,495629,6418,1548,381,391729,0 56,2,2024-09-07 08:59:31:305,363008,363008,0,0,17167576,0,3567 56,3,2024-09-07 08:59:31:059,1,287,2,0,705,3945,287,0 57,0,2024-09-07 08:59:30:960,75522,1.7,75311,1.3,150931,2.4,202052,3.25 57,1,2024-09-07 08:59:30:986,505041,505041,0,0,235081334350,2463481333,499038,5299,704,367,392032,0 57,2,2024-09-07 08:59:31:319,364558,364558,0,0,18457350,0,3317 57,3,2024-09-07 08:59:31:746,1,287,2,0,359,3618,287,0 58,0,2024-09-07 08:59:30:562,70506,1.0,68679,1.0,143703,1.3,188387,2.50 58,1,2024-09-07 08:59:30:575,506145,506142,0,3,235481253557,2462309556,499300,5953,889,367,391603,3 58,2,2024-09-07 08:59:31:071,363113,363113,0,0,16541456,0,2549 58,3,2024-09-07 08:59:31:078,1,287,1,0,1043,3126,287,0 59,0,2024-09-07 08:59:31:749,69542,0.7,69322,0.9,138225,0.7,184071,2.75 59,1,2024-09-07 08:59:30:816,505035,505035,0,0,235528584476,2466466899,498377,5375,1283,369,391525,0 59,2,2024-09-07 08:59:30:586,362979,362979,0,0,15509418,0,2604 59,3,2024-09-07 08:59:31:744,1,287,8,0,1015,3957,287,0 60,0,2024-09-07 08:59:31:803,70126,0.5,70033,0.6,141147,0.4,186956,1.75 60,1,2024-09-07 08:59:30:785,507099,507099,0,0,236245681250,2448758317,504345,2229,525,370,392031,0 60,2,2024-09-07 08:59:31:154,362562,362562,0,0,16306284,0,3811 60,3,2024-09-07 08:59:31:273,1,287,16,0,175,3091,287,0 61,0,2024-09-07 08:59:31:505,76292,0.6,76872,0.8,152462,0.6,204260,2.00 61,1,2024-09-07 08:59:30:774,505156,505156,0,0,235317080243,2463709463,499620,4651,885,382,391880,0 61,2,2024-09-07 08:59:31:120,363360,363360,0,0,14764015,0,3598 61,3,2024-09-07 08:59:31:692,1,287,11,0,397,3857,287,0 62,0,2024-09-07 08:59:31:707,73887,0.6,75406,0.7,143641,0.6,195904,2.00 62,1,2024-09-07 08:59:31:111,508447,508441,0,6,237125182202,2446284265,505622,2658,161,365,391715,6 62,2,2024-09-07 08:59:31:644,364914,364913,1,0,16140702,0,5555 62,3,2024-09-07 08:59:31:148,1,287,3,0,465,2383,287,0 63,0,2024-09-07 08:59:31:455,68877,0.5,69033,0.6,138009,0.4,183441,1.75 63,1,2024-09-07 08:59:30:811,507049,507043,0,6,235919652722,2446697355,504312,2497,234,381,391677,6 63,2,2024-09-07 08:59:30:773,361431,361431,0,0,13703601,0,4369 63,3,2024-09-07 08:59:31:743,1,287,12,0,667,3202,287,0 64,0,2024-09-07 08:59:31:548,68054,0.4,68011,0.6,136078,0.3,181195,1.75 64,1,2024-09-07 08:59:30:761,506094,506094,0,0,235853720225,2457387184,501523,3155,1416,370,391783,0 64,2,2024-09-07 08:59:31:150,364941,364922,19,0,13922157,0,6121 64,3,2024-09-07 08:59:31:140,1,287,6,0,265,2706,287,0 65,0,2024-09-07 08:59:31:703,74221,0.6,74587,0.7,148788,0.6,198651,2.00 65,1,2024-09-07 08:59:30:870,505005,505005,0,0,235263355404,2459323796,501269,3289,447,382,391901,0 65,2,2024-09-07 08:59:31:694,363535,363535,0,0,15554979,0,3367 65,3,2024-09-07 08:59:31:693,1,287,1,0,163,2747,287,0 66,0,2024-09-07 08:59:31:769,73935,0.5,73837,0.7,148088,0.4,196535,2.00 66,1,2024-09-07 08:59:31:298,506423,506423,0,0,235647863051,2448609786,503508,2669,246,380,391653,0 66,2,2024-09-07 08:59:31:137,368814,368814,0,0,14647371,0,4956 66,3,2024-09-07 08:59:31:082,1,287,1,0,291,2922,287,0 67,0,2024-09-07 08:59:31:412,69044,0.6,68331,0.7,137512,0.6,182743,2.00 67,1,2024-09-07 08:59:30:767,506424,506423,0,1,235861834409,2457811568,502454,3220,749,380,391787,1 67,2,2024-09-07 08:59:30:583,362222,362222,0,0,13213996,0,2889 67,3,2024-09-07 08:59:31:756,1,287,18,0,338,2567,287,0 68,0,2024-09-07 08:59:30:586,68375,0.5,68154,0.7,136066,0.5,181416,2.00 68,1,2024-09-07 08:59:30:577,505145,505145,0,0,234310582367,2455404341,500813,3161,1171,381,391953,0 68,2,2024-09-07 08:59:31:048,359886,359821,65,0,17908186,0,6698 68,3,2024-09-07 08:59:30:732,1,287,1,0,417,3218,287,0 69,0,2024-09-07 08:59:31:738,72981,0.7,73305,0.8,146108,0.8,194732,2.25 69,1,2024-09-07 08:59:31:025,504156,504156,0,0,234403069067,2472786824,497759,4812,1585,384,391994,0 69,2,2024-09-07 08:59:31:748,363300,363300,0,0,17917805,0,3722 69,3,2024-09-07 08:59:30:762,1,287,5,0,698,4141,287,0 70,0,2024-09-07 08:59:31:533,75074,1.0,75133,1.1,150828,0.8,199722,2.50 70,1,2024-09-07 08:59:30:806,507124,507124,0,0,236205508154,2452799654,502862,3726,536,366,391725,0 70,2,2024-09-07 08:59:31:325,365039,365039,0,0,16345995,0,4044 70,3,2024-09-07 08:59:30:745,1,287,1,0,854,3425,287,0 71,0,2024-09-07 08:59:31:363,70082,1.0,69983,1.1,140376,1.3,187462,2.75 71,1,2024-09-07 08:59:31:596,504905,504905,0,0,235115856852,2453076865,499313,4949,643,368,391738,0 71,2,2024-09-07 08:59:31:066,362294,362294,0,0,16246612,0,4042 71,3,2024-09-07 08:59:31:756,1,287,12,0,644,3927,287,0 72,0,2024-09-07 08:59:31:047,72384,0.7,70743,0.8,138011,0.7,187771,2.00 72,1,2024-09-07 08:59:31:022,505080,505080,0,0,235065487255,2460582124,498239,5349,1492,369,391819,0 72,2,2024-09-07 08:59:31:772,360827,360827,0,0,18425323,0,3983 72,3,2024-09-07 08:59:31:766,1,287,6,0,564,4786,287,0 73,0,2024-09-07 08:59:31:162,69623,0.4,71161,0.6,145975,0.3,189955,2.00 73,1,2024-09-07 08:59:30:776,506062,506062,0,0,235082202906,2443774819,502456,3316,290,367,391750,0 73,2,2024-09-07 08:59:31:753,363296,363296,0,0,17883734,0,3701 73,3,2024-09-07 08:59:30:985,1,287,12,0,274,4054,287,0 74,0,2024-09-07 08:59:31:323,77850,0.5,79706,0.7,152205,0.5,206125,2.25 74,1,2024-09-07 08:59:30:635,505553,505553,0,0,235184909050,2456442251,500459,4126,968,381,391681,0 74,2,2024-09-07 08:59:31:003,362842,362842,0,0,17122316,0,4253 74,3,2024-09-07 08:59:31:443,1,287,2,0,522,3686,287,0 75,0,2024-09-07 08:59:31:796,72671,0.5,72390,0.7,144958,0.4,193982,2.25 75,1,2024-09-07 08:59:31:586,504611,504611,0,0,235276317509,2461012792,498805,5001,805,380,391660,0 75,2,2024-09-07 08:59:31:350,364185,364185,0,0,16992472,0,4766 75,3,2024-09-07 08:59:31:068,1,287,8,0,702,4142,287,0 76,0,2024-09-07 08:59:30:586,68822,0.6,68159,0.8,137044,0.6,183468,2.50 76,1,2024-09-07 08:59:30:815,505850,505850,0,0,235647108765,2457364344,502404,2893,553,382,391692,0 76,2,2024-09-07 08:59:31:095,362754,362753,1,0,15057489,0,5144 76,3,2024-09-07 08:59:31:164,1,287,1,0,175,2884,287,0 77,0,2024-09-07 08:59:31:767,69433,0.5,69684,0.7,139155,0.5,185377,2.00 77,1,2024-09-07 08:59:30:830,506176,506176,0,0,235802090524,2460459544,502453,3460,263,381,391869,0 77,2,2024-09-07 08:59:31:283,362039,362039,0,0,15133506,0,3890 77,3,2024-09-07 08:59:31:094,1,287,401,0,401,3507,287,0 78,0,2024-09-07 08:59:31:727,75444,0.4,75018,0.6,150697,0.3,199930,2.00 78,1,2024-09-07 08:59:30:613,505560,505560,0,0,234685088824,2440450693,501299,3383,878,367,391670,0 78,2,2024-09-07 08:59:31:407,363056,363056,0,0,13497436,0,3855 78,3,2024-09-07 08:59:31:144,1,287,53,0,181,2678,287,0 79,0,2024-09-07 08:59:31:347,71303,0.4,73135,0.6,149505,0.4,194534,2.25 79,1,2024-09-07 08:59:30:647,507577,507577,0,0,236271935087,2445437138,503907,3225,445,369,391682,0 79,2,2024-09-07 08:59:31:078,367775,367775,0,0,14006990,0,3212 79,3,2024-09-07 08:59:30:749,1,287,8,0,418,4022,287,0 80,0,2024-09-07 08:59:31:089,68488,0.6,70445,0.7,134921,0.6,182147,2.00 80,1,2024-09-07 08:59:31:632,505630,505630,0,0,235440252507,2448838435,502584,2873,173,368,391791,0 80,2,2024-09-07 08:59:31:095,362473,362473,0,0,13593276,0,4433 80,3,2024-09-07 08:59:30:590,1,287,5,0,190,3705,287,0 81,0,2024-09-07 08:59:31:598,67998,0.5,69852,0.6,133435,0.4,180861,1.75 81,1,2024-09-07 08:59:31:671,504941,504941,0,0,234851209203,2453867523,500766,3700,475,382,391879,0 81,2,2024-09-07 08:59:31:139,361459,361459,0,0,14265984,0,3993 81,3,2024-09-07 08:59:31:122,1,287,10,0,374,3536,287,0 82,0,2024-09-07 08:59:31:539,73893,0.4,74337,0.6,148932,0.3,198145,1.75 82,1,2024-09-07 08:59:30:587,506746,506742,0,4,235923005833,2455328908,503418,2712,612,381,391768,4 82,2,2024-09-07 08:59:31:693,364722,364722,0,0,13488473,0,3986 82,3,2024-09-07 08:59:31:761,1,287,1,0,363,3050,287,0 83,0,2024-09-07 08:59:31:554,74800,0.5,74756,0.7,148477,0.5,197727,2.00 83,1,2024-09-07 08:59:30:553,505687,505687,0,0,235699837492,2455852376,502329,3112,246,382,391709,0 83,2,2024-09-07 08:59:30:768,366417,366417,0,0,13794262,0,3119 83,3,2024-09-07 08:59:30:749,1,287,8,0,250,3176,287,0 84,0,2024-09-07 08:59:31:781,69473,0.7,69336,0.9,138794,0.7,185676,2.25 84,1,2024-09-07 08:59:31:042,505046,505046,0,0,235192461055,2456766996,500098,4363,585,367,391967,0 84,2,2024-09-07 08:59:30:576,361545,361545,0,0,15703029,0,3801 84,3,2024-09-07 08:59:31:143,1,287,2,0,908,4548,287,0 85,0,2024-09-07 08:59:31:023,66727,0.6,66713,0.8,141372,0.6,182463,2.25 85,1,2024-09-07 08:59:30:566,504565,504565,0,0,234977920389,2480428105,497137,6309,1119,381,392006,0 85,2,2024-09-07 08:59:30:870,362654,362654,0,0,16630310,0,3656 85,3,2024-09-07 08:59:30:686,1,287,0,0,789,3761,287,0 86,0,2024-09-07 08:59:30:878,72096,0.6,74177,0.7,141838,0.6,191726,2.00 86,1,2024-09-07 08:59:30:825,505770,505770,0,0,235471116734,2461946158,500264,4673,833,366,391961,0 86,2,2024-09-07 08:59:30:855,362239,362238,1,0,17562551,0,5004 86,3,2024-09-07 08:59:30:598,1,287,5,0,286,4014,287,0 87,0,2024-09-07 08:59:31:291,76176,1.0,76212,1.0,153001,1.5,203783,2.25 87,1,2024-09-07 08:59:30:586,505150,505150,0,0,234753628826,2455160375,500037,4611,502,366,392076,0 87,2,2024-09-07 08:59:31:076,363929,363929,0,0,15790272,0,4045 87,3,2024-09-07 08:59:31:808,1,287,5,0,473,4164,287,0 88,0,2024-09-07 08:59:31:439,71755,0.5,72211,0.6,144070,0.5,191534,2.00 88,1,2024-09-07 08:59:30:576,503801,503801,0,0,234581157292,2463226623,496475,5675,1651,365,392084,0 88,2,2024-09-07 08:59:30:690,363326,363326,0,0,17768188,0,3583 88,3,2024-09-07 08:59:31:274,1,287,1,0,435,3388,287,0 89,0,2024-09-07 08:59:31:768,71953,0.5,69534,0.7,138054,0.4,187378,1.75 89,1,2024-09-07 08:59:30:551,503825,503825,0,0,235275785687,2479809268,496506,6054,1265,382,391866,0 89,2,2024-09-07 08:59:31:137,360646,360646,0,0,17214337,0,2910 89,3,2024-09-07 08:59:31:803,1,287,3,0,385,5653,287,0 90,0,2024-09-07 08:59:31:629,68231,0.4,69769,0.6,142836,0.4,186187,1.75 90,1,2024-09-07 08:59:30:625,505215,505215,0,0,234523976215,2458192771,500360,4532,323,381,391825,0 90,2,2024-09-07 08:59:31:414,361261,361261,0,0,18615888,0,3060 90,3,2024-09-07 08:59:30:935,1,287,11,0,200,3068,287,0 91,0,2024-09-07 08:59:30:948,76696,0.4,74548,0.6,155411,0.4,204859,1.75 91,1,2024-09-07 08:59:30:571,503989,503989,0,0,235075824994,2474835791,496979,6119,891,381,392047,0 91,2,2024-09-07 08:59:31:331,362312,362312,0,0,16590864,0,2896 91,3,2024-09-07 08:59:30:605,1,287,8,0,216,2629,287,0 92,0,2024-09-07 08:59:31:482,73966,0.5,75767,0.6,144828,0.5,196207,1.75 92,1,2024-09-07 08:59:30:583,505697,505697,0,0,235168362205,2452691960,502626,2616,455,382,392136,0 92,2,2024-09-07 08:59:31:360,367571,367571,0,0,14104821,0,2801 92,3,2024-09-07 08:59:31:009,1,287,1,0,167,2481,287,0 93,0,2024-09-07 08:59:30:974,69338,0.4,70995,0.6,135723,0.3,184008,1.75 93,1,2024-09-07 08:59:30:812,505729,505729,0,0,234914371745,2451270406,500833,4103,793,366,391776,0 93,2,2024-09-07 08:59:30:935,361499,361499,0,0,15359108,0,4311 93,3,2024-09-07 08:59:31:409,1,287,2,0,190,2903,287,0 94,0,2024-09-07 08:59:31:683,68064,0.3,68853,0.5,137301,0.3,181973,1.75 94,1,2024-09-07 08:59:30:567,505313,505313,0,0,235200675775,2456099917,501784,3347,182,381,391850,0 94,2,2024-09-07 08:59:30:768,361558,361558,0,0,14059754,0,2443 94,3,2024-09-07 08:59:31:704,1,287,8,0,264,3630,287,0 95,0,2024-09-07 08:59:31:359,74751,0.3,74681,0.5,150115,0.3,199476,1.75 95,1,2024-09-07 08:59:30:857,505803,505803,0,0,236023354616,2453581643,501797,3629,377,367,391713,0 95,2,2024-09-07 08:59:31:028,362630,362630,0,0,14491796,0,3308 95,3,2024-09-07 08:59:31:710,1,287,7,0,718,4818,287,0 96,0,2024-09-07 08:59:31:032,74483,0.4,74595,0.5,149052,0.3,197108,1.75 96,1,2024-09-07 08:59:31:586,505274,505274,0,0,235325523392,2456695660,501362,3173,739,384,391955,0 96,2,2024-09-07 08:59:31:272,367130,367130,0,0,14989955,0,4042 96,3,2024-09-07 08:59:31:144,1,287,1,0,411,3194,287,0 97,0,2024-09-07 08:59:31:314,68852,0.3,68528,0.5,137755,0.3,182575,1.75 97,1,2024-09-07 08:59:30:767,507541,507541,0,0,236064782775,2446285426,504477,2505,559,367,392140,0 97,2,2024-09-07 08:59:30:611,361913,361913,0,0,13894591,0,3036 97,3,2024-09-07 08:59:30:573,1,287,2,0,214,3216,287,0 98,0,2024-09-07 08:59:31:705,68274,0.3,68161,0.4,137039,0.2,181590,1.50 98,1,2024-09-07 08:59:30:571,506855,506855,0,0,235653044507,2450336614,504688,2066,101,382,391997,0 98,2,2024-09-07 08:59:30:785,361513,361513,0,0,14130054,0,3080 98,3,2024-09-07 08:59:30:698,1,287,103,0,840,4986,287,0 99,0,2024-09-07 08:59:31:460,73223,0.3,73641,0.4,146636,0.2,196300,1.50 99,1,2024-09-07 08:59:31:735,506185,506185,0,0,235358078319,2450897895,502366,3076,743,381,392069,0 99,2,2024-09-07 08:59:31:421,365588,365588,0,0,15173339,0,3106 99,3,2024-09-07 08:59:30:583,1,287,8,0,187,2366,287,0 100,0,2024-09-07 08:59:31:479,75450,0.8,75380,1.0,150986,1.1,201565,2.50 100,1,2024-09-07 08:59:30:568,502785,502785,0,0,233755125068,2471120797,495254,6054,1477,381,391989,0 100,2,2024-09-07 08:59:31:829,364459,364448,11,0,16791870,0,5417 100,3,2024-09-07 08:59:31:746,1,287,1,0,559,5073,287,0 101,0,2024-09-07 08:59:31:712,72116,1.3,70210,1.1,137882,1.1,189094,2.50 101,1,2024-09-07 08:59:30:551,503428,503428,0,0,234570652214,2471934664,495088,6361,1979,368,391769,0 101,2,2024-09-07 08:59:31:762,360312,360312,0,0,18441492,0,4644 101,3,2024-09-07 08:59:30:947,1,287,52,0,448,3507,287,0 102,0,2024-09-07 08:59:30:976,68308,0.6,70795,0.8,142575,0.6,186853,2.00 102,1,2024-09-07 08:59:31:153,504197,504197,0,0,234851744198,2467699391,497677,5614,906,369,391883,0 102,2,2024-09-07 08:59:31:744,362878,362824,54,0,16146620,0,6768 102,3,2024-09-07 08:59:31:616,1,287,3,0,410,3042,287,0 103,0,2024-09-07 08:59:31:591,73461,0.5,73528,0.7,138627,0.4,191417,1.75 103,1,2024-09-07 08:59:31:626,503599,503599,0,0,234335327736,2473431198,495598,6110,1891,381,391829,0 103,2,2024-09-07 08:59:30:588,362185,362185,0,0,16453276,0,3173 103,3,2024-09-07 08:59:30:761,1,287,1,0,916,3775,287,0 104,0,2024-09-07 08:59:31:014,76477,0.8,76920,1.0,152497,0.8,205328,2.25 104,1,2024-09-07 08:59:31:625,504565,504565,0,0,234242937220,2468850140,496077,6829,1659,365,392168,0 104,2,2024-09-07 08:59:31:678,361894,361894,0,0,18070097,0,3941 104,3,2024-09-07 08:59:31:417,1,287,1,0,1245,6697,287,0 105,0,2024-09-07 08:59:31:059,71744,1.0,69854,1.2,145913,1.3,192314,3.25 105,1,2024-09-07 08:59:30:565,504907,504907,0,0,234563641808,2465791813,497217,6391,1299,366,391797,0 105,2,2024-09-07 08:59:31:322,364494,364494,0,0,17266356,0,3509 105,3,2024-09-07 08:59:31:312,1,287,0,0,399,4604,287,0 106,0,2024-09-07 08:59:30:945,66693,0.9,68342,1.0,139961,1.0,182872,2.50 106,1,2024-09-07 08:59:31:758,504570,504570,0,0,233804443810,2460959188,496142,7424,1004,369,391865,0 106,2,2024-09-07 08:59:30:757,359941,359941,0,0,16258837,0,2795 106,3,2024-09-07 08:59:30:679,1,287,3,0,470,4000,287,0 107,0,2024-09-07 08:59:31:101,69328,0.7,69232,0.8,138539,0.7,184948,2.00 107,1,2024-09-07 08:59:30:589,503477,503477,0,0,234208547192,2467918004,496852,5938,687,381,392234,0 107,2,2024-09-07 08:59:31:290,360664,360663,1,0,17471567,0,5024 107,3,2024-09-07 08:59:31:761,1,287,9,0,353,3884,287,0 108,0,2024-09-07 08:59:31:777,75169,0.4,75513,0.5,150186,0.3,200554,1.75 108,1,2024-09-07 08:59:31:304,505370,505370,0,0,235572504304,2455314428,501533,3418,419,368,391857,0 108,2,2024-09-07 08:59:31:767,361661,361661,0,0,16000628,0,4246 108,3,2024-09-07 08:59:31:330,1,287,1,0,749,5734,287,0 109,0,2024-09-07 08:59:31:773,74034,0.4,73324,0.5,147051,0.3,196578,1.75 109,1,2024-09-07 08:59:30:584,503875,503875,0,0,235511698504,2470824327,499377,3850,648,383,392132,0 109,2,2024-09-07 08:59:30:921,364918,364918,0,0,16277924,0,3617 109,3,2024-09-07 08:59:31:144,1,287,5,0,249,3198,287,0 110,0,2024-09-07 08:59:31:868,68615,0.4,66730,0.6,139682,0.3,182860,1.75 110,1,2024-09-07 08:59:31:654,507010,507010,0,0,235389416698,2443998304,503558,2544,908,369,392045,0 110,2,2024-09-07 08:59:31:305,361761,361761,0,0,15409574,0,4067 110,3,2024-09-07 08:59:30:690,1,287,2,0,406,3677,287,0 111,0,2024-09-07 08:59:31:417,68464,0.3,67845,0.5,135805,0.3,182165,1.75 111,1,2024-09-07 08:59:31:004,507123,507123,0,0,236346891825,2450340780,504822,1959,342,382,391690,0 111,2,2024-09-07 08:59:31:120,361106,361106,0,0,14648766,0,2763 111,3,2024-09-07 08:59:30:914,1,287,7,0,379,3722,287,0 112,0,2024-09-07 08:59:30:934,74640,0.3,74143,0.4,148650,0.2,198192,1.50 112,1,2024-09-07 08:59:30:828,506970,506970,0,0,235661596736,2443287793,504292,2242,436,380,391624,0 112,2,2024-09-07 08:59:31:137,363497,363496,1,0,14581863,0,5036 112,3,2024-09-07 08:59:30:596,1,287,1,0,282,2817,287,0 113,0,2024-09-07 08:59:30:895,74468,0.3,74516,0.5,149651,0.2,199189,1.50 113,1,2024-09-07 08:59:31:684,508000,508000,0,0,236891632110,2443874185,505273,2154,573,366,391661,0 113,2,2024-09-07 08:59:31:303,367926,367926,0,0,13018802,0,3813 113,3,2024-09-07 08:59:30:688,1,287,3,0,340,3837,287,0 114,0,2024-09-07 08:59:30:875,70365,0.4,70788,0.5,140608,0.2,187672,1.75 114,1,2024-09-07 08:59:30:717,506201,506201,0,0,235685980467,2451699951,501367,3245,1589,381,391556,0 114,2,2024-09-07 08:59:30:875,361683,361682,1,0,14094367,0,5069 114,3,2024-09-07 08:59:31:277,1,287,35,0,395,2856,287,0 115,0,2024-09-07 08:59:30:561,69187,0.3,69470,0.4,139146,0.2,184565,1.50 115,1,2024-09-07 08:59:30:572,506302,506302,0,0,235520009927,2448049201,501858,3606,838,382,391639,0 115,2,2024-09-07 08:59:31:136,362819,362819,0,0,12784455,0,2346 115,3,2024-09-07 08:59:31:003,1,287,2,0,159,1692,287,0 116,0,2024-09-07 08:59:31:701,71915,0.7,71784,0.9,143736,0.8,192448,2.00 116,1,2024-09-07 08:59:30:809,503647,503647,0,0,234509789363,2476510188,497088,4502,2057,380,391782,0 116,2,2024-09-07 08:59:31:756,362103,362103,0,0,18320046,0,3529 116,3,2024-09-07 08:59:30:922,1,287,0,0,415,3908,287,0 117,0,2024-09-07 08:59:31:053,76838,0.9,76466,0.9,152863,1.1,204192,2.00 117,1,2024-09-07 08:59:31:590,504625,504625,0,0,234606098116,2456057973,499246,4829,550,370,392033,0 117,2,2024-09-07 08:59:31:120,366682,366682,0,0,14945418,0,3700 117,3,2024-09-07 08:59:31:059,1,287,10,0,490,4414,287,0 118,0,2024-09-07 08:59:31:795,69723,0.6,71778,0.7,145981,0.5,190799,2.00 118,1,2024-09-07 08:59:30:591,504671,504671,0,0,234452381496,2465746921,497272,5574,1825,366,391736,0 118,2,2024-09-07 08:59:31:595,362580,362580,0,0,15940412,0,2781 118,3,2024-09-07 08:59:31:769,1,287,1,0,235,3246,287,0 119,0,2024-09-07 08:59:31:332,69459,0.8,69918,0.8,139909,0.9,186365,2.25 119,1,2024-09-07 08:59:30:554,505705,505705,0,0,235777308268,2464859118,500240,4798,667,367,391780,0 119,2,2024-09-07 08:59:31:261,362196,362196,0,0,15069058,0,4174 119,3,2024-09-07 08:59:31:325,1,287,3,0,443,3926,287,0 120,0,2024-09-07 08:59:31:546,69849,0.6,69643,0.8,139473,0.6,186711,2.25 120,1,2024-09-07 08:59:30:874,504905,504905,0,0,234744723323,2463841265,499360,5126,419,368,391961,0 120,2,2024-09-07 08:59:31:005,361827,361826,1,0,18676516,0,5281 120,3,2024-09-07 08:59:31:297,1,287,2,0,241,3571,287,0 121,0,2024-09-07 08:59:31:693,76363,1.0,76460,1.0,153143,1.3,204237,2.25 121,1,2024-09-07 08:59:31:663,504963,504963,0,0,235284850568,2464058309,499591,4944,428,367,391840,0 121,2,2024-09-07 08:59:31:129,361389,361389,0,0,17867902,0,4127 121,3,2024-09-07 08:59:30:753,1,287,10,0,269,3524,287,0 122,0,2024-09-07 08:59:31:773,73133,0.8,71252,0.9,149343,0.9,196223,2.00 122,1,2024-09-07 08:59:30:879,504028,504028,0,0,234706766111,2466698028,496450,6446,1132,366,392130,0 122,2,2024-09-07 08:59:31:319,365104,365031,73,0,20155781,0,5989 122,3,2024-09-07 08:59:30:618,1,287,84,0,411,5793,287,0 123,0,2024-09-07 08:59:30:957,68675,0.8,67128,0.8,139962,1.0,183146,2.25 123,1,2024-09-07 08:59:30:572,504308,504308,0,0,234682272533,2476470889,494537,7831,1940,369,392039,0 123,2,2024-09-07 08:59:31:026,359247,359246,1,0,16788815,0,5215 123,3,2024-09-07 08:59:31:136,1,287,5,0,168,3302,287,0 124,0,2024-09-07 08:59:30:947,70514,0.3,70528,0.5,132980,0.3,182693,1.75 124,1,2024-09-07 08:59:31:037,506239,506239,0,0,235475008709,2450631487,502331,3120,788,367,392178,0 124,2,2024-09-07 08:59:31:012,361960,361960,0,0,13918977,0,3101 124,3,2024-09-07 08:59:30:762,1,287,2,0,490,2984,287,0 125,0,2024-09-07 08:59:31:462,74943,0.3,74592,0.5,149947,0.3,199632,1.75 125,1,2024-09-07 08:59:30:864,504661,504661,0,0,235515028016,2457148234,501037,3183,441,383,391702,0 125,2,2024-09-07 08:59:31:129,363111,363111,0,0,15518287,0,4534 125,3,2024-09-07 08:59:31:126,1,287,3,0,709,3848,287,0 126,0,2024-09-07 08:59:31:435,74407,0.5,76415,0.6,146073,0.4,198002,1.75 126,1,2024-09-07 08:59:30:553,506844,506844,0,0,236068225142,2445723706,503960,2615,269,365,391987,0 126,2,2024-09-07 08:59:30:612,368362,368362,0,0,15314174,0,3186 126,3,2024-09-07 08:59:30:917,1,287,49,0,207,3890,287,0 127,0,2024-09-07 08:59:31:596,68506,0.3,68887,0.5,137425,0.3,182530,1.75 127,1,2024-09-07 08:59:30:570,506178,506178,0,0,235314475172,2441267050,502186,3485,507,365,391816,0 127,2,2024-09-07 08:59:30:650,361746,361746,0,0,13519665,0,2264 127,3,2024-09-07 08:59:31:266,1,287,9,0,968,3236,287,0 128,0,2024-09-07 08:59:31:544,68601,0.3,68572,0.4,137112,0.2,182036,1.50 128,1,2024-09-07 08:59:31:605,505919,505919,0,0,235675516056,2446759266,502722,2911,286,367,391798,0 128,2,2024-09-07 08:59:31:387,362529,362529,0,0,13424016,0,2434 128,3,2024-09-07 08:59:30:775,1,287,2,0,1082,5505,287,0 129,0,2024-09-07 08:59:31:032,73734,0.3,73454,0.4,147001,0.2,196035,1.50 129,1,2024-09-07 08:59:30:570,503274,503274,0,0,234111843314,2451603358,498878,3463,933,379,391835,0 129,2,2024-09-07 08:59:30:688,364246,364246,0,0,14533362,0,4031 129,3,2024-09-07 08:59:30:696,1,287,18,0,469,3842,287,0 130,0,2024-09-07 08:59:31:749,76364,0.6,75961,0.6,152537,0.6,202693,1.75 130,1,2024-09-07 08:59:30:589,506051,506051,0,0,235522169277,2447610590,503591,2381,79,381,391825,0 130,2,2024-09-07 08:59:31:142,367330,367330,0,0,14348976,0,4067 130,3,2024-09-07 08:59:31:294,1,287,5,0,450,3727,287,0 131,0,2024-09-07 08:59:31:934,70611,0.4,71055,0.5,142662,0.3,188836,1.75 131,1,2024-09-07 08:59:31:826,506696,506696,0,0,235368582299,2453059444,503544,2690,462,381,391865,0 131,2,2024-09-07 08:59:30:567,364157,364157,0,0,12834238,0,2415 131,3,2024-09-07 08:59:31:697,1,287,9,0,392,2984,287,0 132,0,2024-09-07 08:59:31:413,69924,0.5,70801,0.6,141022,0.4,187376,2.00 132,1,2024-09-07 08:59:30:586,503328,503328,0,0,234276246296,2471714867,495237,6632,1459,381,392097,0 132,2,2024-09-07 08:59:30:699,361986,361986,0,0,16882028,0,4606 132,3,2024-09-07 08:59:31:694,1,287,4,0,356,3842,287,0 133,0,2024-09-07 08:59:31:549,69695,0.4,71174,0.6,145815,0.3,190015,1.75 133,1,2024-09-07 08:59:30:583,504016,504016,0,0,234280881797,2469352388,497108,5915,993,383,391914,0 133,2,2024-09-07 08:59:31:090,362040,362040,0,0,18469604,0,4315 133,3,2024-09-07 08:59:31:303,1,287,1,0,276,2778,287,0 134,0,2024-09-07 08:59:30:983,77473,0.5,77434,0.7,154826,0.5,206365,2.00 134,1,2024-09-07 08:59:30:618,504079,504079,0,0,234268428426,2460558631,497103,5499,1477,366,391718,0 134,2,2024-09-07 08:59:31:763,363086,363086,0,0,15505608,0,3847 134,3,2024-09-07 08:59:30:759,1,287,9,0,739,4021,287,0 135,0,2024-09-07 08:59:31:101,70084,0.8,70096,0.9,148697,0.8,192193,2.00 135,1,2024-09-07 08:59:31:587,503954,503954,0,0,235022079719,2475051302,496542,6222,1190,380,391805,0 135,2,2024-09-07 08:59:30:702,365289,365289,0,0,16925765,0,3981 135,3,2024-09-07 08:59:31:004,1,287,24,0,299,2187,287,0 136,0,2024-09-07 08:59:31:614,69571,0.6,69483,0.7,138557,0.6,184919,2.25 136,1,2024-09-07 08:59:31:444,504116,504116,0,0,234186244267,2464385678,497634,5816,666,382,391685,0 136,2,2024-09-07 08:59:31:139,361709,361709,0,0,16563763,0,3506 136,3,2024-09-07 08:59:31:112,1,287,8,0,301,2870,287,0 137,0,2024-09-07 08:59:30:929,71496,0.6,69430,0.8,136795,0.6,186283,2.00 137,1,2024-09-07 08:59:30:575,503603,503603,0,0,235023670654,2470701449,494989,6813,1801,366,391708,0 137,2,2024-09-07 08:59:31:711,360810,360810,0,0,18722911,0,3185 137,3,2024-09-07 08:59:30:778,1,287,3,0,382,3531,287,0 138,0,2024-09-07 08:59:31:757,74555,1.2,74815,1.0,150125,1.6,199988,2.25 138,1,2024-09-07 08:59:31:692,503855,503855,0,0,235489421396,2475920905,495566,6718,1571,368,391954,0 138,2,2024-09-07 08:59:30:587,362122,362122,0,0,17098763,0,4988 138,3,2024-09-07 08:59:30:614,1,287,10,0,1160,4176,287,0 139,0,2024-09-07 08:59:31:397,72575,1.5,72959,1.2,145880,2.3,194898,2.50 139,1,2024-09-07 08:59:30:580,502176,502176,0,0,232986417176,2479073573,492121,7536,2519,381,391892,0 139,2,2024-09-07 08:59:30:714,364241,364241,0,0,18002957,0,3097 139,3,2024-09-07 08:59:31:662,1,287,10,0,257,3209,287,0 140,0,2024-09-07 08:59:31:587,68884,0.3,68419,0.5,137640,0.2,183172,1.75 140,1,2024-09-07 08:59:31:536,507450,507450,0,0,235826745335,2432459376,504754,2275,421,365,391606,0 140,2,2024-09-07 08:59:30:699,361834,361834,0,0,13738161,0,3388 140,3,2024-09-07 08:59:30:772,1,287,6,0,247,2408,287,0 141,0,2024-09-07 08:59:31:699,68247,0.3,70097,0.4,134011,0.2,182155,1.50 141,1,2024-09-07 08:59:30:862,507037,507037,0,0,236235158682,2451436322,503919,2683,435,379,391614,0 141,2,2024-09-07 08:59:31:694,361689,361689,0,0,13920976,0,3360 141,3,2024-09-07 08:59:31:043,1,287,391,0,391,2891,287,0 142,0,2024-09-07 08:59:31:355,74959,0.3,74294,0.5,148079,0.2,198396,1.50 142,1,2024-09-07 08:59:30:587,506015,506015,0,0,235324757983,2453865806,502815,2901,299,382,392102,0 142,2,2024-09-07 08:59:31:306,363215,363183,32,0,15740958,0,6028 142,3,2024-09-07 08:59:31:746,1,287,1,0,484,3810,287,0 143,0,2024-09-07 08:59:31:393,74853,0.5,74767,0.6,149926,0.5,199164,1.75 143,1,2024-09-07 08:59:30:556,506759,506759,0,0,235789768839,2445031084,503561,3059,139,367,391651,0 143,2,2024-09-07 08:59:30:773,366725,366725,0,0,14612328,0,2669 143,3,2024-09-07 08:59:31:155,1,287,10,0,303,3593,287,0 144,0,2024-09-07 08:59:31:505,67716,0.6,69622,0.8,141773,0.5,185834,2.00 144,1,2024-09-07 08:59:30:646,503833,503833,0,0,234274598856,2458637223,499250,3648,935,381,391649,0 144,2,2024-09-07 08:59:31:762,362416,362416,0,0,13499250,0,3473 144,3,2024-09-07 08:59:31:746,1,287,4,0,249,3139,287,0 145,0,2024-09-07 08:59:31:398,66787,0.5,66795,0.8,141781,0.5,182445,2.25 145,1,2024-09-07 08:59:30:556,503720,503720,0,0,235023632555,2469217513,497857,5037,826,382,391698,0 145,2,2024-09-07 08:59:31:436,360574,360574,0,0,16299054,0,3903 145,3,2024-09-07 08:59:30:906,1,287,15,0,622,4300,287,0 146,0,2024-09-07 08:59:31:596,72058,0.5,71545,0.7,144048,0.4,191630,2.00 146,1,2024-09-07 08:59:31:587,504708,504708,0,0,234691895927,2472088926,495889,6925,1894,368,391770,0 146,2,2024-09-07 08:59:31:695,362636,362636,0,0,16820044,0,2730 146,3,2024-09-07 08:59:31:273,1,287,3,0,1520,6223,287,0 147,0,2024-09-07 08:59:31:736,76634,0.6,76406,0.8,152026,0.6,203633,2.00 147,1,2024-09-07 08:59:31:372,506389,506389,0,0,236203931867,2456931770,501894,3839,656,368,391791,0 147,2,2024-09-07 08:59:31:019,365697,365697,0,0,14193776,0,2789 147,3,2024-09-07 08:59:30:913,1,287,13,0,730,4205,287,0 0,0,2024-09-07 08:59:41:772,68238,0.5,68291,0.7,144870,0.5,187499,1.75 0,1,2024-09-07 08:59:40:805,507133,507133,0,0,236295944534,2470172919,503501,3423,209,370,391896,0 0,2,2024-09-07 08:59:41:076,364400,364400,0,0,14471195,0,4480 0,3,2024-09-07 08:59:40:989,1,288,10,0,319,3633,288,0 1,0,2024-09-07 08:59:41:940,77113,0.9,76652,1.0,154143,1.1,206402,2.00 1,1,2024-09-07 08:59:40:560,506770,506770,0,0,235029032726,2460293304,502196,3520,1054,370,391857,0 1,2,2024-09-07 08:59:40:653,363366,363366,0,0,13722382,0,3267 1,3,2024-09-07 08:59:41:303,1,288,2,0,262,3337,288,0 2,0,2024-09-07 08:59:41:597,73703,0.7,73965,0.8,146597,0.9,196594,2.00 2,1,2024-09-07 08:59:40:862,508141,508141,0,0,236976521785,2460651774,505829,2092,220,380,391745,0 2,2,2024-09-07 08:59:41:275,367283,367283,0,0,14202941,0,3594 2,3,2024-09-07 08:59:40:691,1,288,0,0,357,2625,288,0 3,0,2024-09-07 08:59:41:753,68956,0.4,69033,0.6,137838,0.4,183294,2.00 3,1,2024-09-07 08:59:41:637,506923,506923,0,0,235980065360,2456307029,502919,3542,462,380,391556,0 3,2,2024-09-07 08:59:41:171,362789,362766,23,0,14139749,0,5851 3,3,2024-09-07 08:59:41:753,1,288,3,0,103,1731,288,0 4,0,2024-09-07 08:59:41:885,66571,0.3,68501,0.5,139224,0.3,182592,1.75 4,1,2024-09-07 08:59:40:593,506438,506438,0,0,234986489275,2469762335,500856,4579,1003,371,391992,0 4,2,2024-09-07 08:59:41:027,361221,361221,0,0,17089067,0,4528 4,3,2024-09-07 08:59:41:052,1,288,13,0,448,4237,288,0 5,0,2024-09-07 08:59:41:421,75116,0.4,75361,0.5,149977,0.4,200031,1.75 5,1,2024-09-07 08:59:40:759,506185,506185,0,0,235798839790,2480400824,499722,5138,1325,368,392005,0 5,2,2024-09-07 08:59:41:839,362836,362836,0,0,15774091,0,2432 5,3,2024-09-07 08:59:41:745,1,288,1,0,457,4281,288,0 6,0,2024-09-07 08:59:40:932,74764,0.5,74267,0.7,148401,0.5,198209,2.00 6,1,2024-09-07 08:59:40:749,506734,506734,0,0,235639661441,2461707083,501383,4424,927,379,391694,0 6,2,2024-09-07 08:59:41:129,368104,368104,0,0,15477371,0,4816 6,3,2024-09-07 08:59:41:278,1,288,0,0,340,3278,288,0 7,0,2024-09-07 08:59:41:536,68385,0.5,68701,0.6,136895,0.5,181858,2.00 7,1,2024-09-07 08:59:40:862,505810,505810,0,0,235614487593,2472894332,499133,5567,1110,382,391747,0 7,2,2024-09-07 08:59:40:789,362180,362180,0,0,14997132,0,4791 7,3,2024-09-07 08:59:40:855,1,288,6,0,398,3375,288,0 8,0,2024-09-07 08:59:41:387,68555,0.4,68271,0.5,136587,0.3,182054,1.75 8,1,2024-09-07 08:59:41:016,505229,505229,0,0,235372074637,2484253139,495759,7258,2212,366,392144,0 8,2,2024-09-07 08:59:40:807,358559,358559,0,0,18968023,0,3220 8,3,2024-09-07 08:59:40:595,1,288,1,0,538,5098,288,0 9,0,2024-09-07 08:59:41:189,73932,0.3,71763,0.5,150022,0.3,197147,1.75 9,1,2024-09-07 08:59:40:554,505321,505321,0,0,235536929597,2482736398,498010,5667,1644,369,392001,0 9,2,2024-09-07 08:59:41:083,363941,363941,0,0,17082567,0,3360 9,3,2024-09-07 08:59:41:763,1,288,1,0,496,4459,288,0 10,0,2024-09-07 08:59:41:623,75952,0.3,75389,0.5,151568,0.3,201653,1.75 10,1,2024-09-07 08:59:40:583,505877,505877,0,0,236557082999,2481181793,498611,6279,987,381,391741,0 10,2,2024-09-07 08:59:40:762,367122,367122,0,0,18423081,0,4264 10,3,2024-09-07 08:59:40:871,1,288,1,0,296,2609,288,0 11,0,2024-09-07 08:59:41:006,70401,0.5,68351,0.7,142946,0.4,188973,1.75 11,1,2024-09-07 08:59:40:572,506345,506345,0,0,235297976183,2476950627,496859,7072,2414,383,391573,0 11,2,2024-09-07 08:59:41:173,362398,362398,0,0,16457871,0,4130 11,3,2024-09-07 08:59:41:306,1,288,17,0,843,4550,288,0 12,0,2024-09-07 08:59:40:978,70791,0.3,70711,0.5,141456,0.3,187769,1.75 12,1,2024-09-07 08:59:40:934,506694,506694,0,0,236320546401,2465235596,502454,3767,473,370,391870,0 12,2,2024-09-07 08:59:41:549,363601,363601,0,0,15949148,0,3469 12,3,2024-09-07 08:59:41:066,1,288,2,0,386,4756,288,0 13,0,2024-09-07 08:59:41:370,72104,0.4,71975,0.5,143853,0.3,191796,1.75 13,1,2024-09-07 08:59:41:525,506304,506304,0,0,235181464708,2472571022,501637,3402,1265,382,391740,0 13,2,2024-09-07 08:59:40:613,365355,365355,0,0,14945372,0,3287 13,3,2024-09-07 08:59:41:781,1,288,0,0,522,5022,288,0 14,0,2024-09-07 08:59:40:563,77664,0.4,78274,0.6,154903,0.4,206446,1.75 14,1,2024-09-07 08:59:41:563,509261,509261,0,0,237149093086,2454631778,505984,3080,197,364,391571,0 14,2,2024-09-07 08:59:40:763,364782,364782,0,0,14631508,0,2896 14,3,2024-09-07 08:59:41:116,1,288,16,0,1168,3952,288,0 15,0,2024-09-07 08:59:41:568,72816,0.5,72881,0.7,145579,0.4,193883,2.00 15,1,2024-09-07 08:59:41:631,507889,507889,0,0,236016902273,2454116709,505092,2619,178,381,391619,0 15,2,2024-09-07 08:59:40:998,367130,367130,0,0,12595696,0,3043 15,3,2024-09-07 08:59:41:409,1,288,8,0,1126,5590,288,0 16,0,2024-09-07 08:59:41:030,69481,0.6,69761,0.8,138941,0.7,185046,2.25 16,1,2024-09-07 08:59:40:567,507948,507948,0,0,236266648909,2466537538,504284,3362,302,370,391756,0 16,2,2024-09-07 08:59:41:461,362893,362893,0,0,15086702,0,4719 16,3,2024-09-07 08:59:41:176,1,288,17,0,317,4029,288,0 17,0,2024-09-07 08:59:41:879,72007,0.5,70336,0.7,137308,0.5,187105,2.00 17,1,2024-09-07 08:59:40:576,506580,506580,0,0,235185093143,2468042285,501399,4075,1106,368,391899,0 17,2,2024-09-07 08:59:41:686,365964,365964,0,0,14909476,0,2857 17,3,2024-09-07 08:59:40:576,1,288,13,0,268,4561,288,0 18,0,2024-09-07 08:59:40:952,74773,0.6,75246,0.7,149937,0.5,200368,2.25 18,1,2024-09-07 08:59:41:662,507845,507845,0,0,236728018983,2452786701,505044,2559,242,367,391649,0 18,2,2024-09-07 08:59:41:772,365155,365155,0,0,14124340,0,3541 18,3,2024-09-07 08:59:40:901,1,288,2,0,163,2650,288,0 19,0,2024-09-07 08:59:41:540,73423,0.6,74012,0.8,146746,0.6,195614,2.25 19,1,2024-09-07 08:59:40:566,507927,507927,0,0,236358926552,2453701264,503224,3916,787,367,391777,0 19,2,2024-09-07 08:59:41:754,369413,369413,0,0,12984612,0,3988 19,3,2024-09-07 08:59:41:141,1,288,2,0,524,2194,288,0 20,0,2024-09-07 08:59:41:411,68587,0.6,68592,0.7,137354,0.6,183140,2.00 20,1,2024-09-07 08:59:40:571,506363,506363,0,0,235898863591,2466163981,502371,3591,401,369,391886,0 20,2,2024-09-07 08:59:40:946,363129,363129,0,0,14675981,0,3721 20,3,2024-09-07 08:59:40:596,1,288,2,0,414,4913,288,0 21,0,2024-09-07 08:59:41:175,68605,0.5,68730,0.6,137207,0.4,182260,2.00 21,1,2024-09-07 08:59:41:544,504951,504951,0,0,234842742637,2473894257,498190,5135,1626,368,392016,0 21,2,2024-09-07 08:59:41:071,361335,361335,0,0,17467262,0,3747 21,3,2024-09-07 08:59:41:404,1,288,0,0,103,2920,288,0 22,0,2024-09-07 08:59:41:730,74442,0.5,74628,0.7,149281,0.4,198475,2.00 22,1,2024-09-07 08:59:41:024,506357,506357,0,0,234853197668,2470516675,498742,5948,1667,382,391667,0 22,2,2024-09-07 08:59:40:761,363646,363646,0,0,14937212,0,3134 22,3,2024-09-07 08:59:41:073,1,288,1,0,228,2551,288,0 23,0,2024-09-07 08:59:41:401,74483,0.6,74349,0.7,148960,0.6,198294,2.25 23,1,2024-09-07 08:59:41:006,507241,507241,0,0,235515540840,2471501946,499273,5301,2667,365,391690,0 23,2,2024-09-07 08:59:41:092,368272,368272,0,0,14391097,0,3010 23,3,2024-09-07 08:59:41:763,1,288,0,0,645,3541,288,0 24,0,2024-09-07 08:59:40:901,70570,0.4,70420,0.6,141355,0.4,187312,1.75 24,1,2024-09-07 08:59:40:719,506057,506057,0,0,234778197500,2465823864,499688,4847,1522,368,391987,0 24,2,2024-09-07 08:59:41:076,361581,361581,0,0,17620269,0,3607 24,3,2024-09-07 08:59:41:694,1,288,4,0,468,4123,288,0 25,0,2024-09-07 08:59:41:394,70888,0.4,69123,0.6,135652,0.4,184575,2.00 25,1,2024-09-07 08:59:40:562,506991,506991,0,0,235651119554,2471003564,501098,5075,818,371,391928,0 25,2,2024-09-07 08:59:41:608,361982,361982,0,0,18301908,0,3978 25,3,2024-09-07 08:59:41:000,1,288,0,0,255,3026,288,0 26,0,2024-09-07 08:59:41:732,72252,0.4,70515,0.6,147882,0.3,193027,1.75 26,1,2024-09-07 08:59:41:552,506867,506867,0,0,235679818642,2473225536,499091,6528,1248,381,391748,0 26,2,2024-09-07 08:59:40:871,364517,364517,0,0,18019895,0,2809 26,3,2024-09-07 08:59:41:721,1,288,0,0,796,3632,288,0 27,0,2024-09-07 08:59:41:739,76948,0.5,77053,0.6,152468,0.5,203659,2.00 27,1,2024-09-07 08:59:41:677,508500,508500,0,0,236834090811,2468266559,503698,4041,761,381,391626,0 27,2,2024-09-07 08:59:40:876,364144,364079,65,0,18090109,0,5699 27,3,2024-09-07 08:59:41:023,1,288,8,0,564,3024,288,0 28,0,2024-09-07 08:59:41:413,72137,0.4,71995,0.6,144286,0.4,192334,2.00 28,1,2024-09-07 08:59:40:807,507737,507737,0,0,236775893384,2468615752,503737,3326,674,383,391646,0 28,2,2024-09-07 08:59:41:767,363948,363948,0,0,14308466,0,2915 28,3,2024-09-07 08:59:41:783,1,288,1,0,502,2869,288,0 29,0,2024-09-07 08:59:41:362,72150,0.4,70393,0.6,137820,0.3,188386,1.75 29,1,2024-09-07 08:59:41:561,508853,508853,0,0,236334038342,2447169267,505364,2922,567,369,391753,0 29,2,2024-09-07 08:59:40:864,362904,362904,0,0,14050618,0,4233 29,3,2024-09-07 08:59:40:967,1,288,57,0,284,2416,288,0 30,0,2024-09-07 08:59:41:459,70294,0.5,68246,0.7,142734,0.4,187062,2.00 30,1,2024-09-07 08:59:40:572,509081,509081,0,0,237032279289,2459011001,505789,2845,447,382,391672,0 30,2,2024-09-07 08:59:41:288,364308,364308,0,0,13738696,0,3161 30,3,2024-09-07 08:59:40:582,1,288,3,0,519,2746,288,0 31,0,2024-09-07 08:59:41:765,76650,0.4,77067,0.6,154167,0.4,206129,2.00 31,1,2024-09-07 08:59:40:565,510654,510654,0,0,237797650396,2439130102,508624,1601,429,356,391712,0 31,2,2024-09-07 08:59:41:277,363929,363929,0,0,15251274,0,3525 31,3,2024-09-07 08:59:41:713,1,288,12,0,220,2212,288,0 32,0,2024-09-07 08:59:41:427,73612,0.3,73945,0.5,147923,0.3,196962,1.75 32,1,2024-09-07 08:59:40:814,508642,508642,0,0,236501183441,2453744036,506276,2087,279,381,391646,0 32,2,2024-09-07 08:59:40:947,367469,367469,0,0,12975007,0,3155 32,3,2024-09-07 08:59:41:021,1,288,1,0,227,2288,288,0 33,0,2024-09-07 08:59:41:494,69372,0.3,68850,0.4,138273,0.2,184190,1.75 33,1,2024-09-07 08:59:40:584,509259,509259,0,0,237669245830,2455822392,506336,2749,174,369,391730,0 33,2,2024-09-07 08:59:40:758,363835,363802,33,0,14361177,0,7012 33,3,2024-09-07 08:59:40:900,1,288,1,0,329,3274,288,0 34,0,2024-09-07 08:59:40:936,68572,0.3,70716,0.4,135514,0.2,181858,1.50 34,1,2024-09-07 08:59:41:047,510011,510011,0,0,237430901899,2441322801,508783,1221,7,367,391562,0 34,2,2024-09-07 08:59:40:776,363030,363030,0,0,14091417,0,3577 34,3,2024-09-07 08:59:41:694,1,288,1,0,299,2248,288,0 35,0,2024-09-07 08:59:40:862,74469,0.3,74889,0.5,150607,0.2,200647,1.75 35,1,2024-09-07 08:59:41:076,508167,508167,0,0,235754032762,2444510992,504704,2683,780,384,391589,0 35,2,2024-09-07 08:59:41:707,364534,364534,0,0,14229346,0,2653 35,3,2024-09-07 08:59:40:922,1,288,7,0,418,3524,288,0 36,0,2024-09-07 08:59:41:522,74571,0.5,74552,0.7,149058,0.5,197932,2.25 36,1,2024-09-07 08:59:40:583,507923,507923,0,0,235985046152,2466081083,501645,4694,1584,366,391759,0 36,2,2024-09-07 08:59:41:780,368053,368053,0,0,15898065,0,3875 36,3,2024-09-07 08:59:40:874,1,288,7,0,416,4584,288,0 37,0,2024-09-07 08:59:41:374,68344,0.5,68309,0.7,136764,0.5,182868,2.00 37,1,2024-09-07 08:59:40:574,506674,506667,0,7,235377344579,2462237419,500464,4132,2071,365,391560,0 37,2,2024-09-07 08:59:41:148,361473,361458,15,0,15653615,0,5815 37,3,2024-09-07 08:59:41:771,1,288,1,0,888,4671,288,0 38,0,2024-09-07 08:59:41:454,67751,0.4,65846,0.6,137791,0.4,179972,2.00 38,1,2024-09-07 08:59:41:607,508355,508355,0,0,237116723830,2470723272,502948,4731,676,368,391821,0 38,2,2024-09-07 08:59:40:759,361967,361920,47,0,16631713,0,6710 38,3,2024-09-07 08:59:40:997,1,288,2,0,689,3665,288,0 39,0,2024-09-07 08:59:41:797,75518,0.5,73897,0.7,143879,0.5,197380,2.00 39,1,2024-09-07 08:59:40:724,507548,507548,0,0,236336250480,2470272280,500753,5584,1211,365,391594,0 39,2,2024-09-07 08:59:41:431,364624,364624,0,0,14629964,0,2689 39,3,2024-09-07 08:59:40:716,1,288,1,0,324,3723,288,0 40,0,2024-09-07 08:59:41:491,75037,0.8,75720,1.0,151254,0.9,201399,3.00 40,1,2024-09-07 08:59:40:579,507363,507363,0,0,235526991846,2468900700,499518,6391,1454,368,391668,0 40,2,2024-09-07 08:59:41:307,366711,366710,1,0,18152789,0,5137 40,3,2024-09-07 08:59:41:167,1,288,1,0,398,3357,288,0 41,0,2024-09-07 08:59:41:022,70146,1.7,71853,1.3,137208,2.7,186949,3.25 41,1,2024-09-07 08:59:40:775,506852,506852,0,0,235866172668,2466256341,500976,5241,635,370,391742,0 41,2,2024-09-07 08:59:40:760,361465,361465,0,0,17026127,0,3356 41,3,2024-09-07 08:59:41:676,1,288,1,0,366,3125,288,0 42,0,2024-09-07 08:59:41:477,69640,0.7,69650,0.9,139649,0.7,184434,2.50 42,1,2024-09-07 08:59:41:442,506141,506141,0,0,235221011961,2474582308,497835,6743,1563,380,391675,0 42,2,2024-09-07 08:59:41:142,363332,363332,0,0,16697852,0,3568 42,3,2024-09-07 08:59:41:010,1,288,1,0,446,2509,288,0 43,0,2024-09-07 08:59:40:939,71262,0.8,69370,1.0,145310,0.8,191401,2.25 43,1,2024-09-07 08:59:40:577,507231,507231,0,0,236562361054,2475322515,499675,6191,1365,366,391696,0 43,2,2024-09-07 08:59:41:740,364269,364269,0,0,17139776,0,3812 43,3,2024-09-07 08:59:41:752,1,288,21,0,467,3626,288,0 44,0,2024-09-07 08:59:40:880,77481,0.5,77894,0.7,155317,0.4,206581,1.75 44,1,2024-09-07 08:59:40:565,509137,509137,0,0,236016090861,2437130956,505971,2597,569,356,391809,0 44,2,2024-09-07 08:59:41:279,364309,364309,0,0,13601038,0,2231 44,3,2024-09-07 08:59:41:099,1,288,4,0,817,3395,288,0 45,0,2024-09-07 08:59:41:761,71766,0.6,70111,0.8,147077,0.6,193691,2.00 45,1,2024-09-07 08:59:41:009,508286,508286,0,0,236777329569,2455486150,505943,2196,147,382,391917,0 45,2,2024-09-07 08:59:41:280,366019,366019,0,0,14212024,0,3596 45,3,2024-09-07 08:59:40:945,1,288,40,0,271,2981,288,0 46,0,2024-09-07 08:59:40:958,68981,0.5,68935,0.7,138071,0.5,183099,2.00 46,1,2024-09-07 08:59:40:590,509575,509575,0,0,236370812991,2440068239,506778,2470,327,366,391539,0 46,2,2024-09-07 08:59:40:598,363685,363685,0,0,13736625,0,2920 46,3,2024-09-07 08:59:41:131,1,288,6,0,908,4371,288,0 47,0,2024-09-07 08:59:41:111,70205,0.5,70247,0.6,140914,0.4,186811,1.75 47,1,2024-09-07 08:59:40:571,508878,508878,0,0,236936383530,2447435336,505912,2608,358,366,391641,0 47,2,2024-09-07 08:59:40:907,365401,365401,0,0,14160214,0,4477 47,3,2024-09-07 08:59:41:118,1,288,1,0,529,2715,288,0 48,0,2024-09-07 08:59:41:501,76058,0.3,75549,0.4,150821,0.2,201385,1.50 48,1,2024-09-07 08:59:41:024,508482,508482,0,0,237043909060,2461744563,505101,3080,301,384,391710,0 48,2,2024-09-07 08:59:40:705,363745,363745,0,0,13024008,0,3031 48,3,2024-09-07 08:59:40:753,1,288,4,0,339,2398,288,0 49,0,2024-09-07 08:59:41:724,75916,0.3,74422,0.5,144448,0.3,198011,1.75 49,1,2024-09-07 08:59:41:024,507448,507448,0,0,236387459558,2462086310,503524,2743,1181,382,391809,0 49,2,2024-09-07 08:59:41:796,368997,368997,0,0,13818705,0,3900 49,3,2024-09-07 08:59:41:430,1,288,0,0,408,3132,288,0 50,0,2024-09-07 08:59:41:512,68742,0.3,68136,0.5,137132,0.2,182860,1.75 50,1,2024-09-07 08:59:41:011,509138,509138,0,0,237920358215,2459801120,505936,2892,310,368,391540,0 50,2,2024-09-07 08:59:41:075,363120,363120,0,0,12734086,0,2263 50,3,2024-09-07 08:59:41:306,1,288,48,0,335,2428,288,0 51,0,2024-09-07 08:59:41:686,70221,0.3,68692,0.4,133988,0.2,182731,1.50 51,1,2024-09-07 08:59:41:694,509883,509883,0,0,237726296111,2452665593,507043,1900,940,365,391706,0 51,2,2024-09-07 08:59:41:317,363012,363012,0,0,12049172,0,3337 51,3,2024-09-07 08:59:41:027,1,288,1,0,678,2249,288,0 52,0,2024-09-07 08:59:41:421,75073,0.4,74860,0.6,149845,0.4,199172,2.00 52,1,2024-09-07 08:59:40:584,507342,507342,0,0,235953876230,2472000525,499876,6212,1254,368,391722,0 52,2,2024-09-07 08:59:41:779,361672,361634,38,0,16783590,0,6742 52,3,2024-09-07 08:59:40:674,1,288,6,0,1782,4674,288,0 53,0,2024-09-07 08:59:41:767,74308,0.7,72203,0.8,150968,0.8,197755,2.25 53,1,2024-09-07 08:59:40:778,506076,506076,0,0,235920941511,2476832494,497962,5665,2449,367,391702,0 53,2,2024-09-07 08:59:41:307,368476,368476,0,0,14098299,0,2727 53,3,2024-09-07 08:59:40:712,1,288,1,0,308,2704,288,0 54,0,2024-09-07 08:59:41:630,68961,0.7,69513,0.8,137909,0.5,184538,2.50 54,1,2024-09-07 08:59:40:580,507716,507716,0,0,236522583934,2459089052,502612,4505,599,367,391659,0 54,2,2024-09-07 08:59:40:871,362574,362568,6,0,15938269,0,5382 54,3,2024-09-07 08:59:40:771,1,288,1,0,676,4678,288,0 55,0,2024-09-07 08:59:41:763,66654,0.5,69091,0.7,138923,0.5,180907,2.25 55,1,2024-09-07 08:59:40:770,507024,507024,0,0,236292098284,2460566559,500840,5276,908,365,391731,0 55,2,2024-09-07 08:59:40:746,362282,362282,0,0,15690866,0,3563 55,3,2024-09-07 08:59:40:683,1,288,0,0,304,3093,288,0 56,0,2024-09-07 08:59:41:577,74098,1.1,69815,1.1,143968,1.5,192952,2.50 56,1,2024-09-07 08:59:40:577,505335,505335,0,0,235510594373,2490153942,497340,6447,1548,381,391867,0 56,2,2024-09-07 08:59:41:303,364507,364507,0,0,17231977,0,3567 56,3,2024-09-07 08:59:41:071,1,288,16,0,705,3961,288,0 57,0,2024-09-07 08:59:40:962,75600,1.7,75398,1.3,151121,2.4,202293,3.25 57,1,2024-09-07 08:59:40:999,506864,506864,0,0,235943337658,2472503633,500861,5299,704,367,392032,0 57,2,2024-09-07 08:59:41:316,365956,365956,0,0,18523673,0,3317 57,3,2024-09-07 08:59:41:745,1,288,2,0,359,3620,288,0 58,0,2024-09-07 08:59:40:555,70732,1.0,68881,1.0,144179,1.3,188976,2.50 58,1,2024-09-07 08:59:40:575,507787,507784,0,3,236271024636,2470658096,500935,5960,889,367,391603,3 58,2,2024-09-07 08:59:41:076,363868,363868,0,0,16579569,0,2549 58,3,2024-09-07 08:59:41:072,1,288,71,0,1043,3197,288,0 59,0,2024-09-07 08:59:41:742,69854,0.7,69646,0.9,138901,0.7,184927,2.75 59,1,2024-09-07 08:59:40:806,506783,506783,0,0,236289176719,2474298354,500125,5375,1283,369,391525,0 59,2,2024-09-07 08:59:40:583,364478,364478,0,0,15555440,0,2604 59,3,2024-09-07 08:59:41:744,1,288,7,0,1015,3964,288,0 60,0,2024-09-07 08:59:41:716,70413,0.5,70301,0.6,141683,0.4,187666,1.75 60,1,2024-09-07 08:59:40:774,508945,508945,0,0,237013990123,2456863774,506173,2246,526,370,392031,0 60,2,2024-09-07 08:59:41:163,364004,364004,0,0,16412247,0,3811 60,3,2024-09-07 08:59:41:270,1,288,19,0,175,3110,288,0 61,0,2024-09-07 08:59:41:504,76826,0.6,77368,0.8,153662,0.6,205617,2.00 61,1,2024-09-07 08:59:40:797,506866,506866,0,0,236034970143,2472525883,501027,4925,914,382,391880,0 61,2,2024-09-07 08:59:41:117,364566,364566,0,0,14855969,0,3598 61,3,2024-09-07 08:59:41:693,1,288,479,0,479,4336,288,0 62,0,2024-09-07 08:59:41:710,74189,0.6,75717,0.7,144311,0.6,196670,2.00 62,1,2024-09-07 08:59:41:117,510157,510151,0,6,237970393852,2455246535,507330,2660,161,365,391715,6 62,2,2024-09-07 08:59:41:667,365864,365863,1,0,16193811,0,5555 62,3,2024-09-07 08:59:41:170,1,288,0,0,465,2383,288,0 63,0,2024-09-07 08:59:41:466,69048,0.5,69183,0.6,138320,0.4,183861,1.75 63,1,2024-09-07 08:59:40:806,508846,508840,0,6,236688871797,2455044936,506105,2501,234,381,391677,6 63,2,2024-09-07 08:59:40:761,362898,362898,0,0,13832598,0,4369 63,3,2024-09-07 08:59:41:731,1,288,7,0,667,3209,288,0 64,0,2024-09-07 08:59:41:616,68286,0.4,68259,0.6,136557,0.3,181816,1.75 64,1,2024-09-07 08:59:40:757,507836,507836,0,0,236677431251,2465914307,503265,3155,1416,370,391783,0 64,2,2024-09-07 08:59:41:176,366288,366269,19,0,14024317,0,6121 64,3,2024-09-07 08:59:41:147,1,288,1,0,265,2707,288,0 65,0,2024-09-07 08:59:41:713,74592,0.6,74982,0.7,149548,0.6,199515,2.00 65,1,2024-09-07 08:59:40:876,506767,506767,0,0,235920113334,2466135438,503030,3289,448,382,391901,0 65,2,2024-09-07 08:59:41:700,364675,364675,0,0,15589553,0,3367 65,3,2024-09-07 08:59:41:684,1,288,0,0,163,2747,288,0 66,0,2024-09-07 08:59:41:812,74092,0.5,74020,0.7,148407,0.4,196993,2.00 66,1,2024-09-07 08:59:41:304,508144,508144,0,0,236385102133,2456236016,505228,2670,246,380,391653,0 66,2,2024-09-07 08:59:41:142,370052,370052,0,0,14666143,0,4956 66,3,2024-09-07 08:59:41:089,1,288,1,0,291,2923,288,0 67,0,2024-09-07 08:59:41:413,69144,0.6,68421,0.7,137669,0.6,182997,2.00 67,1,2024-09-07 08:59:40:780,508176,508175,0,1,236578961258,2465186003,504206,3220,749,380,391787,1 67,2,2024-09-07 08:59:40:583,363471,363471,0,0,13243195,0,2889 67,3,2024-09-07 08:59:41:752,1,288,2,0,338,2569,288,0 68,0,2024-09-07 08:59:40:562,68479,0.5,68281,0.7,136306,0.5,181745,2.00 68,1,2024-09-07 08:59:40:570,506891,506891,0,0,235190369727,2464434361,502559,3161,1171,381,391953,0 68,2,2024-09-07 08:59:41:047,361108,361043,65,0,17923700,0,6698 68,3,2024-09-07 08:59:40:737,1,288,0,0,417,3218,288,0 69,0,2024-09-07 08:59:41:781,73491,0.7,73805,0.8,147094,0.8,196086,2.25 69,1,2024-09-07 08:59:41:027,505923,505923,0,0,235289201691,2481972340,499519,4817,1587,384,391994,0 69,2,2024-09-07 08:59:41:740,364569,364569,0,0,17938474,0,3722 69,3,2024-09-07 08:59:40:760,1,288,9,0,698,4150,288,0 70,0,2024-09-07 08:59:41:531,75179,1.0,75234,1.1,151071,0.8,200018,2.50 70,1,2024-09-07 08:59:40:814,508880,508880,0,0,236995882366,2460859220,504618,3726,536,366,391725,0 70,2,2024-09-07 08:59:41:330,366354,366354,0,0,16362031,0,4044 70,3,2024-09-07 08:59:40:748,1,288,0,0,854,3425,288,0 71,0,2024-09-07 08:59:41:359,70190,1.0,70073,1.1,140568,1.3,187722,2.75 71,1,2024-09-07 08:59:41:645,506720,506720,0,0,236076480144,2462874227,501128,4949,643,368,391738,0 71,2,2024-09-07 08:59:41:072,363186,363186,0,0,16256821,0,4042 71,3,2024-09-07 08:59:41:752,1,288,5,0,644,3932,288,0 72,0,2024-09-07 08:59:41:027,72596,0.7,70954,0.8,138424,0.7,188283,2.00 72,1,2024-09-07 08:59:41:026,506739,506739,0,0,235690199657,2467645354,499869,5378,1492,369,391819,0 72,2,2024-09-07 08:59:41:779,362189,362189,0,0,18458187,0,3983 72,3,2024-09-07 08:59:41:763,1,288,1,0,564,4787,288,0 73,0,2024-09-07 08:59:41:121,70076,0.4,71568,0.6,146859,0.3,191070,2.00 73,1,2024-09-07 08:59:40:773,507820,507820,0,0,235840464300,2451663165,504213,3316,291,367,391750,0 73,2,2024-09-07 08:59:41:739,364742,364742,0,0,17968104,0,3701 73,3,2024-09-07 08:59:40:979,1,288,14,0,274,4068,288,0 74,0,2024-09-07 08:59:41:378,77978,0.5,79824,0.7,152484,0.5,206415,2.25 74,1,2024-09-07 08:59:40:639,507303,507303,0,0,235796064752,2462855353,502209,4126,968,381,391681,0 74,2,2024-09-07 08:59:41:002,364210,364210,0,0,17236069,0,4253 74,3,2024-09-07 08:59:41:446,1,288,19,0,522,3705,288,0 75,0,2024-09-07 08:59:41:772,72977,0.5,72674,0.7,145549,0.4,194728,2.25 75,1,2024-09-07 08:59:41:598,506485,506485,0,0,236269707851,2471402902,500678,5002,805,380,391660,0 75,2,2024-09-07 08:59:41:349,364873,364873,0,0,17013469,0,4766 75,3,2024-09-07 08:59:41:070,1,288,1,0,702,4143,288,0 76,0,2024-09-07 08:59:40:634,69045,0.6,68387,0.8,137488,0.6,184047,2.50 76,1,2024-09-07 08:59:40:806,507534,507534,0,0,236458031195,2466038932,504088,2893,553,382,391692,0 76,2,2024-09-07 08:59:41:064,364263,364262,1,0,15127478,0,5144 76,3,2024-09-07 08:59:41:176,1,288,2,0,175,2886,288,0 77,0,2024-09-07 08:59:41:713,69890,0.5,70117,0.7,140097,0.5,186578,2.00 77,1,2024-09-07 08:59:40:835,507922,507922,0,0,236821168700,2471225302,504198,3461,263,381,391869,0 77,2,2024-09-07 08:59:41:306,363512,363512,0,0,15354805,0,3890 77,3,2024-09-07 08:59:41:099,1,288,2,0,401,3509,288,0 78,0,2024-09-07 08:59:41:731,75716,0.4,75320,0.6,151263,0.3,200692,2.00 78,1,2024-09-07 08:59:40:612,506969,506969,0,0,235624133684,2450957076,502565,3526,878,367,391670,0 78,2,2024-09-07 08:59:41:410,364039,364039,0,0,13605459,0,3855 78,3,2024-09-07 08:59:41:137,1,288,1,0,181,2679,288,0 79,0,2024-09-07 08:59:41:348,71628,0.4,73464,0.6,150177,0.4,195438,2.25 79,1,2024-09-07 08:59:40:575,509198,509198,0,0,237044402646,2453924604,505485,3268,445,369,391682,0 79,2,2024-09-07 08:59:41:072,368836,368836,0,0,14055344,0,3212 79,3,2024-09-07 08:59:40:748,1,288,8,0,418,4030,288,0 80,0,2024-09-07 08:59:41:098,68673,0.6,70651,0.7,135279,0.6,182622,2.00 80,1,2024-09-07 08:59:41:637,507453,507453,0,0,236448786036,2459272742,504407,2873,173,368,391791,0 80,2,2024-09-07 08:59:41:097,363836,363836,0,0,13618136,0,4433 80,3,2024-09-07 08:59:40:575,1,288,11,0,190,3716,288,0 81,0,2024-09-07 08:59:41:551,68361,0.5,70198,0.6,134076,0.4,181756,1.75 81,1,2024-09-07 08:59:41:663,506706,506706,0,0,235770297653,2463509687,502527,3704,475,382,391879,0 81,2,2024-09-07 08:59:41:146,362862,362862,0,0,14300013,0,3993 81,3,2024-09-07 08:59:41:128,1,288,7,0,374,3543,288,0 82,0,2024-09-07 08:59:41:543,74328,0.4,74778,0.6,149842,0.3,199317,1.75 82,1,2024-09-07 08:59:40:582,508587,508583,0,4,236653830899,2462956995,505259,2712,612,381,391768,4 82,2,2024-09-07 08:59:41:697,365871,365871,0,0,13509518,0,3986 82,3,2024-09-07 08:59:41:753,1,288,3,0,363,3053,288,0 83,0,2024-09-07 08:59:41:556,74921,0.5,74880,0.7,148719,0.5,198064,2.00 83,1,2024-09-07 08:59:40:554,507538,507538,0,0,236449067677,2463741087,504180,3112,246,382,391709,0 83,2,2024-09-07 08:59:40:764,367666,367666,0,0,13827838,0,3119 83,3,2024-09-07 08:59:40:759,1,288,2,0,250,3178,288,0 84,0,2024-09-07 08:59:41:800,69594,0.7,69456,0.9,139053,0.7,185997,2.25 84,1,2024-09-07 08:59:41:051,506812,506812,0,0,235824587422,2463242202,501864,4363,585,367,391967,0 84,2,2024-09-07 08:59:40:574,362717,362717,0,0,15724823,0,3801 84,3,2024-09-07 08:59:41:155,1,288,7,0,908,4555,288,0 85,0,2024-09-07 08:59:41:046,66737,0.6,66721,0.8,141384,0.6,182463,2.25 85,1,2024-09-07 08:59:40:571,506261,506261,0,0,235679106009,2487628411,498831,6310,1120,381,392006,0 85,2,2024-09-07 08:59:40:882,363852,363852,0,0,16647327,0,3656 85,3,2024-09-07 08:59:40:698,1,288,5,0,789,3766,288,0 86,0,2024-09-07 08:59:40:925,72460,0.6,74559,0.7,142559,0.6,192640,2.00 86,1,2024-09-07 08:59:40:828,507564,507564,0,0,236161259582,2469009011,502058,4673,833,366,391961,0 86,2,2024-09-07 08:59:40:866,363759,363758,1,0,17589352,0,5004 86,3,2024-09-07 08:59:40:597,1,288,1,0,286,4015,288,0 87,0,2024-09-07 08:59:41:301,76285,1.0,76297,0.9,153187,1.5,204038,2.25 87,1,2024-09-07 08:59:40:552,506889,506889,0,0,235816933253,2465953744,501776,4611,502,366,392076,0 87,2,2024-09-07 08:59:41:076,365422,365422,0,0,15833802,0,4045 87,3,2024-09-07 08:59:41:810,1,288,1,0,473,4165,288,0 88,0,2024-09-07 08:59:41:455,72005,0.5,72451,0.6,144511,0.5,192118,2.00 88,1,2024-09-07 08:59:40:586,505491,505491,0,0,235376647751,2471578470,498165,5675,1651,365,392084,0 88,2,2024-09-07 08:59:40:707,364013,364013,0,0,17834259,0,3583 88,3,2024-09-07 08:59:41:270,1,288,3,0,435,3391,288,0 89,0,2024-09-07 08:59:41:793,72287,0.5,69868,0.7,138692,0.4,188268,1.75 89,1,2024-09-07 08:59:40:554,505465,505465,0,0,235857022794,2485913582,498143,6057,1265,382,391866,0 89,2,2024-09-07 08:59:41:143,362120,362120,0,0,17303695,0,2910 89,3,2024-09-07 08:59:41:799,1,288,7,0,385,5660,288,0 90,0,2024-09-07 08:59:41:647,68492,0.4,70035,0.6,143387,0.4,186897,1.75 90,1,2024-09-07 08:59:40:598,506981,506981,0,0,235500233174,2468234325,502126,4532,323,381,391825,0 90,2,2024-09-07 08:59:41:412,362803,362803,0,0,18666805,0,3060 90,3,2024-09-07 08:59:40:941,1,288,0,0,200,3068,288,0 91,0,2024-09-07 08:59:40:954,77252,0.5,75080,0.6,156510,0.5,206354,1.75 91,1,2024-09-07 08:59:40:560,505737,505737,0,0,236247209214,2486909683,498727,6119,891,381,392047,0 91,2,2024-09-07 08:59:41:331,363562,363562,0,0,16647853,0,2896 91,3,2024-09-07 08:59:40:597,1,288,0,0,216,2629,288,0 92,0,2024-09-07 08:59:41:471,74290,0.5,76118,0.6,145484,0.5,197051,1.75 92,1,2024-09-07 08:59:40:583,507462,507462,0,0,236084348654,2462666700,504320,2687,455,382,392136,0 92,2,2024-09-07 08:59:41:350,368481,368481,0,0,14159339,0,2801 92,3,2024-09-07 08:59:41:024,1,288,1,0,167,2482,288,0 93,0,2024-09-07 08:59:40:993,69487,0.4,71140,0.6,136019,0.3,184414,1.75 93,1,2024-09-07 08:59:40:815,507389,507389,0,0,236098964327,2464249001,502399,4197,793,366,391776,0 93,2,2024-09-07 08:59:40:934,363015,363015,0,0,15606745,0,4311 93,3,2024-09-07 08:59:41:420,1,288,1,0,190,2904,288,0 94,0,2024-09-07 08:59:41:650,68316,0.3,69097,0.5,137741,0.3,182641,1.75 94,1,2024-09-07 08:59:40:564,507016,507016,0,0,235987346147,2464973854,503361,3472,183,381,391850,0 94,2,2024-09-07 08:59:40:761,362904,362904,0,0,14192585,0,2443 94,3,2024-09-07 08:59:41:699,1,288,67,0,264,3697,288,0 95,0,2024-09-07 08:59:41:346,75132,0.3,75048,0.5,150862,0.3,200444,1.75 95,1,2024-09-07 08:59:40:860,507640,507640,0,0,236907745660,2463444986,503530,3731,379,367,391713,0 95,2,2024-09-07 08:59:41:017,363762,363762,0,0,14566163,0,3308 95,3,2024-09-07 08:59:41:714,1,288,17,0,718,4835,288,0 96,0,2024-09-07 08:59:41:055,74654,0.4,74759,0.5,149399,0.3,197550,1.75 96,1,2024-09-07 08:59:41:587,507076,507076,0,0,236270500113,2466440379,503164,3173,739,384,391955,0 96,2,2024-09-07 08:59:41:299,368337,368337,0,0,15014357,0,4042 96,3,2024-09-07 08:59:41:170,1,288,1,0,411,3195,288,0 97,0,2024-09-07 08:59:41:359,68937,0.3,68614,0.5,137937,0.3,182814,1.75 97,1,2024-09-07 08:59:40:794,509211,509211,0,0,236626108669,2452087986,506145,2507,559,367,392140,0 97,2,2024-09-07 08:59:40:678,363249,363249,0,0,13919200,0,3036 97,3,2024-09-07 08:59:40:570,1,288,0,0,214,3216,288,0 98,0,2024-09-07 08:59:41:721,68399,0.3,68296,0.4,137308,0.2,181979,1.50 98,1,2024-09-07 08:59:40:570,508588,508588,0,0,236412452952,2458179633,506420,2067,101,382,391997,0 98,2,2024-09-07 08:59:40:770,362801,362801,0,0,14171018,0,3080 98,3,2024-09-07 08:59:40:713,1,288,0,0,840,4986,288,0 99,0,2024-09-07 08:59:41:465,73681,0.3,74138,0.4,147599,0.2,197643,1.50 99,1,2024-09-07 08:59:41:730,507974,507974,0,0,236279535937,2460372447,504155,3076,743,381,392069,0 99,2,2024-09-07 08:59:41:432,366828,366828,0,0,15199612,0,3106 99,3,2024-09-07 08:59:40:582,1,288,1,0,187,2367,288,0 100,0,2024-09-07 08:59:41:503,75557,0.8,75495,1.0,151225,1.1,201859,2.50 100,1,2024-09-07 08:59:40:569,504500,504500,0,0,234512142708,2478999740,496969,6054,1477,381,391989,0 100,2,2024-09-07 08:59:41:826,365885,365874,11,0,16831571,0,5417 100,3,2024-09-07 08:59:41:741,1,288,1,0,559,5074,288,0 101,0,2024-09-07 08:59:41:723,72221,1.3,70307,1.1,138086,1.1,189342,2.50 101,1,2024-09-07 08:59:40:564,505215,505215,0,0,235281129377,2479184445,496875,6361,1979,368,391769,0 101,2,2024-09-07 08:59:41:787,361357,361357,0,0,18456893,0,4644 101,3,2024-09-07 08:59:40:949,1,288,15,0,448,3522,288,0 102,0,2024-09-07 08:59:40:973,68539,0.6,70938,0.8,142966,0.6,187362,2.00 102,1,2024-09-07 08:59:41:145,505991,505991,0,0,235819058619,2477575704,499470,5615,906,369,391883,0 102,2,2024-09-07 08:59:41:749,364224,364170,54,0,16163831,0,6768 102,3,2024-09-07 08:59:41:622,1,288,5,0,410,3047,288,0 103,0,2024-09-07 08:59:41:716,73897,0.5,74005,0.7,139456,0.4,192588,1.75 103,1,2024-09-07 08:59:41:710,505339,505339,0,0,235141264808,2481709671,497337,6111,1891,381,391829,0 103,2,2024-09-07 08:59:40:600,363628,363628,0,0,16487412,0,3173 103,3,2024-09-07 08:59:40:763,1,288,8,0,916,3783,288,0 104,0,2024-09-07 08:59:41:057,76598,0.8,77062,1.0,152755,0.8,205622,2.25 104,1,2024-09-07 08:59:41:615,506419,506419,0,0,235088943195,2477751553,497923,6837,1659,365,392168,0 104,2,2024-09-07 08:59:41:667,363350,363350,0,0,18154291,0,3941 104,3,2024-09-07 08:59:41:431,1,288,2,0,1245,6699,288,0 105,0,2024-09-07 08:59:41:065,72050,1.0,70136,1.2,146510,1.3,193157,3.25 105,1,2024-09-07 08:59:40:559,506642,506642,0,0,235485346351,2475467624,498950,6393,1299,366,391797,0 105,2,2024-09-07 08:59:41:332,365158,365158,0,0,17315180,0,3509 105,3,2024-09-07 08:59:41:315,1,288,17,0,399,4621,288,0 106,0,2024-09-07 08:59:40:971,66882,0.9,68543,1.0,140383,0.9,183447,2.50 106,1,2024-09-07 08:59:41:768,506262,506262,0,0,234450318331,2467837602,497833,7425,1004,369,391865,0 106,2,2024-09-07 08:59:40:758,361353,361353,0,0,16382927,0,2795 106,3,2024-09-07 08:59:40:681,1,288,39,0,470,4039,288,0 107,0,2024-09-07 08:59:41:106,69778,0.7,69701,0.9,139444,0.8,186409,2.00 107,1,2024-09-07 08:59:40:591,505156,505156,0,0,235113949211,2477399439,498530,5939,687,381,392234,0 107,2,2024-09-07 08:59:41:291,362095,362094,1,0,17576284,0,5024 107,3,2024-09-07 08:59:41:763,1,288,8,0,353,3892,288,0 108,0,2024-09-07 08:59:41:793,75464,0.4,75806,0.5,150719,0.3,201233,1.75 108,1,2024-09-07 08:59:41:299,507127,507127,0,0,236334585976,2464033979,503188,3520,419,368,391857,0 108,2,2024-09-07 08:59:41:804,362679,362679,0,0,16063958,0,4246 108,3,2024-09-07 08:59:41:330,1,288,176,0,749,5910,288,0 109,0,2024-09-07 08:59:41:843,74374,0.4,73641,0.6,147701,0.3,197555,1.75 109,1,2024-09-07 08:59:40:590,505583,505583,0,0,236211509070,2478143173,501085,3850,648,383,392132,0 109,2,2024-09-07 08:59:40:929,365995,365995,0,0,16329973,0,3617 109,3,2024-09-07 08:59:41:170,1,288,0,0,249,3198,288,0 110,0,2024-09-07 08:59:41:806,68799,0.4,66904,0.6,140068,0.3,183323,1.75 110,1,2024-09-07 08:59:41:655,508584,508584,0,0,236157637336,2451932035,505130,2545,909,369,392045,0 110,2,2024-09-07 08:59:41:304,363197,363197,0,0,15627989,0,4067 110,3,2024-09-07 08:59:40:696,1,288,2,0,406,3679,288,0 111,0,2024-09-07 08:59:41:423,68795,0.3,68164,0.5,136463,0.3,183068,1.75 111,1,2024-09-07 08:59:41:008,508761,508761,0,0,237251902494,2460506426,506295,2123,343,382,391690,0 111,2,2024-09-07 08:59:41:117,362335,362335,0,0,14713006,0,2763 111,3,2024-09-07 08:59:40:929,1,288,8,0,379,3730,288,0 112,0,2024-09-07 08:59:40:918,75077,0.3,74604,0.4,149507,0.2,199336,1.50 112,1,2024-09-07 08:59:40:848,508785,508785,0,0,236569854948,2452596586,506106,2243,436,380,391624,0 112,2,2024-09-07 08:59:41:142,364596,364595,1,0,14604570,0,5036 112,3,2024-09-07 08:59:40:595,1,288,20,0,282,2837,288,0 113,0,2024-09-07 08:59:40:882,74580,0.3,74628,0.5,149875,0.2,199522,1.50 113,1,2024-09-07 08:59:41:694,509729,509729,0,0,237862690559,2453762222,507002,2154,573,366,391661,0 113,2,2024-09-07 08:59:41:307,369289,369289,0,0,13062837,0,3813 113,3,2024-09-07 08:59:40:686,1,288,1,0,340,3838,288,0 114,0,2024-09-07 08:59:40:879,70482,0.4,70920,0.5,140882,0.2,188024,1.75 114,1,2024-09-07 08:59:40:728,507984,507984,0,0,236534326458,2460472759,503150,3245,1589,381,391556,0 114,2,2024-09-07 08:59:40:878,362913,362912,1,0,14125383,0,5069 114,3,2024-09-07 08:59:41:278,1,288,1,0,395,2857,288,0 115,0,2024-09-07 08:59:40:557,69189,0.3,69474,0.4,139171,0.2,184571,1.50 115,1,2024-09-07 08:59:40:572,508051,508051,0,0,236291220514,2455995943,503607,3606,838,382,391639,0 115,2,2024-09-07 08:59:41:135,364134,364134,0,0,12817989,0,2346 115,3,2024-09-07 08:59:41:003,1,288,6,0,159,1698,288,0 116,0,2024-09-07 08:59:41:715,72278,0.7,72107,0.9,144487,0.8,193413,2.00 116,1,2024-09-07 08:59:40:807,505398,505398,0,0,235301036037,2484654292,498836,4505,2057,380,391782,0 116,2,2024-09-07 08:59:41:754,363582,363582,0,0,18349382,0,3529 116,3,2024-09-07 08:59:40:918,1,288,1,0,415,3909,288,0 117,0,2024-09-07 08:59:41:125,76924,0.9,76549,0.9,153053,1.1,204429,2.00 117,1,2024-09-07 08:59:41:597,506362,506362,0,0,235719215598,2467361039,500983,4829,550,370,392033,0 117,2,2024-09-07 08:59:41:137,368176,368176,0,0,14965944,0,3700 117,3,2024-09-07 08:59:41:077,1,288,2,0,490,4416,288,0 118,0,2024-09-07 08:59:41:794,69922,0.6,71988,0.7,146409,0.5,191359,2.00 118,1,2024-09-07 08:59:40:597,506471,506471,0,0,235348812916,2475026702,499070,5576,1825,366,391736,0 118,2,2024-09-07 08:59:41:604,363355,363355,0,0,15948948,0,2781 118,3,2024-09-07 08:59:41:772,1,288,3,0,235,3249,288,0 119,0,2024-09-07 08:59:41:373,69803,0.8,70258,0.8,140539,0.9,187220,2.25 119,1,2024-09-07 08:59:40:547,507410,507410,0,0,236632256357,2473582414,501943,4800,667,367,391780,0 119,2,2024-09-07 08:59:41:261,363684,363684,0,0,15094025,0,4174 119,3,2024-09-07 08:59:41:331,1,288,3,0,443,3929,288,0 120,0,2024-09-07 08:59:41:610,70131,0.6,69901,0.8,140013,0.6,187386,2.25 120,1,2024-09-07 08:59:40:863,506624,506624,0,0,235677736146,2473531399,501078,5127,419,368,391961,0 120,2,2024-09-07 08:59:40:784,363370,363369,1,0,18735946,0,5281 120,3,2024-09-07 08:59:41:305,1,288,5,0,241,3576,288,0 121,0,2024-09-07 08:59:41:745,76852,1.1,76919,1.0,154249,1.4,205854,2.25 121,1,2024-09-07 08:59:41:675,506678,506678,0,0,236064382920,2472076439,501304,4946,428,367,391840,0 121,2,2024-09-07 08:59:41:181,362606,362606,0,0,17907455,0,4127 121,3,2024-09-07 08:59:40:743,1,288,3,0,269,3527,288,0 122,0,2024-09-07 08:59:41:789,73416,0.8,71531,0.9,150007,0.9,197044,2.00 122,1,2024-09-07 08:59:40:862,505808,505808,0,0,235581697186,2475802740,498224,6451,1133,366,392130,0 122,2,2024-09-07 08:59:41:336,366050,365977,73,0,20199186,0,5989 122,3,2024-09-07 08:59:40:595,1,288,2,0,411,5795,288,0 123,0,2024-09-07 08:59:40:968,68838,0.8,67271,0.8,140279,1.0,183559,2.25 123,1,2024-09-07 08:59:40:562,506000,506000,0,0,235652058771,2486549103,496227,7833,1940,369,392039,0 123,2,2024-09-07 08:59:41:029,360779,360778,1,0,16844879,0,5215 123,3,2024-09-07 08:59:41:141,1,288,8,0,168,3310,288,0 124,0,2024-09-07 08:59:41:007,70744,0.3,70787,0.5,133421,0.3,183309,1.75 124,1,2024-09-07 08:59:41:047,508166,508166,0,0,236244820603,2459911514,504056,3210,900,367,392178,0 124,2,2024-09-07 08:59:41:027,363306,363306,0,0,14028219,0,3101 124,3,2024-09-07 08:59:40:763,1,288,1,0,490,2985,288,0 125,0,2024-09-07 08:59:41:445,75331,0.3,74989,0.5,150721,0.3,200611,1.75 125,1,2024-09-07 08:59:40:862,506313,506313,0,0,236234776600,2464873969,502661,3211,441,383,391702,0 125,2,2024-09-07 08:59:41:128,364264,364264,0,0,15570763,0,4534 125,3,2024-09-07 08:59:41:129,1,288,6,0,709,3854,288,0 126,0,2024-09-07 08:59:41:426,74588,0.4,76598,0.6,146400,0.4,198440,1.75 126,1,2024-09-07 08:59:40:550,508648,508648,0,0,236827932472,2453809075,505764,2615,269,365,391987,0 126,2,2024-09-07 08:59:40:612,369586,369586,0,0,15370082,0,3186 126,3,2024-09-07 08:59:40:909,1,288,21,0,207,3911,288,0 127,0,2024-09-07 08:59:41:697,68591,0.3,68981,0.5,137612,0.3,182770,1.75 127,1,2024-09-07 08:59:40:574,507845,507845,0,0,236159928818,2450394506,503823,3515,507,365,391816,0 127,2,2024-09-07 08:59:40:637,363031,363031,0,0,13643517,0,2264 127,3,2024-09-07 08:59:41:272,1,288,8,0,968,3244,288,0 128,0,2024-09-07 08:59:41:555,68726,0.3,68695,0.4,137340,0.2,182370,1.50 128,1,2024-09-07 08:59:41:612,507709,507709,0,0,236579207632,2455985011,504509,2914,286,367,391798,0 128,2,2024-09-07 08:59:41:390,363829,363829,0,0,13455518,0,2434 128,3,2024-09-07 08:59:40:771,1,288,1,0,1082,5506,288,0 129,0,2024-09-07 08:59:41:043,74268,0.3,73939,0.4,147958,0.2,197373,1.50 129,1,2024-09-07 08:59:40:595,505059,505059,0,0,234823436183,2459013743,500663,3463,933,379,391835,0 129,2,2024-09-07 08:59:40:715,365625,365625,0,0,14578484,0,4031 129,3,2024-09-07 08:59:40:705,1,288,4,0,469,3846,288,0 130,0,2024-09-07 08:59:41:858,76473,0.6,76080,0.6,152752,0.6,203005,1.75 130,1,2024-09-07 08:59:40:583,507822,507822,0,0,236276120039,2455366133,505362,2381,79,381,391825,0 130,2,2024-09-07 08:59:41:146,368670,368670,0,0,14376566,0,4067 130,3,2024-09-07 08:59:41:317,1,288,13,0,450,3740,288,0 131,0,2024-09-07 08:59:41:991,70714,0.4,71156,0.5,142866,0.3,189113,1.75 131,1,2024-09-07 08:59:41:833,508423,508423,0,0,236354221075,2463159418,505271,2690,462,381,391865,0 131,2,2024-09-07 08:59:40:567,365137,365137,0,0,12858967,0,2415 131,3,2024-09-07 08:59:41:702,1,288,23,0,392,3007,288,0 132,0,2024-09-07 08:59:41:422,70112,0.5,71008,0.6,141405,0.4,187846,2.00 132,1,2024-09-07 08:59:40:583,505087,505087,0,0,235105525663,2480206457,496995,6633,1459,381,392097,0 132,2,2024-09-07 08:59:40:714,363340,363340,0,0,16899377,0,4606 132,3,2024-09-07 08:59:41:694,1,288,0,0,356,3842,288,0 133,0,2024-09-07 08:59:41:548,70097,0.4,71576,0.5,146719,0.3,191188,1.75 133,1,2024-09-07 08:59:40:611,505776,505776,0,0,234893520907,2475670558,498868,5915,993,383,391914,0 133,2,2024-09-07 08:59:41:090,363659,363659,0,0,18493371,0,4315 133,3,2024-09-07 08:59:41:302,1,288,1,0,276,2779,288,0 134,0,2024-09-07 08:59:40:973,77593,0.5,77560,0.7,155064,0.5,206648,2.00 134,1,2024-09-07 08:59:40:583,505899,505899,0,0,235238722740,2470471840,498923,5499,1477,366,391718,0 134,2,2024-09-07 08:59:41:765,364517,364517,0,0,15540796,0,3847 134,3,2024-09-07 08:59:40:751,1,288,6,0,739,4027,288,0 135,0,2024-09-07 08:59:41:176,70364,0.8,70372,0.9,149302,0.8,192957,2.00 135,1,2024-09-07 08:59:41:588,505749,505749,0,0,235763642878,2482634323,498337,6222,1190,380,391805,0 135,2,2024-09-07 08:59:40:691,365999,365999,0,0,16938538,0,3981 135,3,2024-09-07 08:59:41:002,1,288,5,0,299,2192,288,0 136,0,2024-09-07 08:59:41:630,69796,0.6,69680,0.7,138988,0.6,185486,2.25 136,1,2024-09-07 08:59:41:442,505920,505920,0,0,235402924100,2476922838,499437,5817,666,382,391685,0 136,2,2024-09-07 08:59:41:191,363236,363236,0,0,16667301,0,3506 136,3,2024-09-07 08:59:41:129,1,288,11,0,301,2881,288,0 137,0,2024-09-07 08:59:40:981,71980,0.7,69894,0.8,137694,0.7,187651,2.00 137,1,2024-09-07 08:59:40:576,505439,505439,0,0,235944360711,2480575603,496799,6839,1801,366,391708,0 137,2,2024-09-07 08:59:41:714,362404,362404,0,0,18827792,0,3185 137,3,2024-09-07 08:59:40:773,1,288,4,0,382,3535,288,0 138,0,2024-09-07 08:59:41:747,74866,1.2,75072,1.0,150691,1.6,200736,2.25 138,1,2024-09-07 08:59:41:696,505468,505468,0,0,236250420884,2483734204,497178,6719,1571,368,391954,0 138,2,2024-09-07 08:59:40:596,363145,363145,0,0,17180728,0,4988 138,3,2024-09-07 08:59:40:612,1,288,0,0,1160,4176,288,0 139,0,2024-09-07 08:59:41:360,72915,1.5,73284,1.1,146563,2.3,195889,2.50 139,1,2024-09-07 08:59:40:571,503958,503958,0,0,234143313352,2491252298,493903,7536,2519,381,391892,0 139,2,2024-09-07 08:59:40:695,365226,365226,0,0,18095497,0,3097 139,3,2024-09-07 08:59:41:662,1,288,2,0,257,3211,288,0 140,0,2024-09-07 08:59:41:596,69083,0.3,68613,0.5,138010,0.2,183677,1.75 140,1,2024-09-07 08:59:41:536,509197,509197,0,0,236628306920,2440954041,506501,2275,421,365,391606,0 140,2,2024-09-07 08:59:40:694,363274,363274,0,0,13826134,0,3388 140,3,2024-09-07 08:59:40:783,1,288,1,0,247,2409,288,0 141,0,2024-09-07 08:59:41:721,68533,0.3,70456,0.4,134679,0.2,183072,1.50 141,1,2024-09-07 08:59:40:862,508799,508799,0,0,236941156135,2460509506,505299,3044,456,379,391614,0 141,2,2024-09-07 08:59:41:686,362939,362939,0,0,14168070,0,3360 141,3,2024-09-07 08:59:41:046,1,288,1,0,391,2892,288,0 142,0,2024-09-07 08:59:41:352,75405,0.3,74677,0.5,148979,0.2,199631,1.50 142,1,2024-09-07 08:59:40:619,507730,507730,0,0,236021673176,2461475516,504527,2904,299,382,392102,0 142,2,2024-09-07 08:59:41:306,364144,364112,32,0,15774906,0,6028 142,3,2024-09-07 08:59:41:751,1,288,3,0,484,3813,288,0 143,0,2024-09-07 08:59:41:373,74976,0.5,74905,0.6,150186,0.5,199482,1.75 143,1,2024-09-07 08:59:40:555,508456,508456,0,0,236393517686,2451811282,505210,3107,139,367,391651,0 143,2,2024-09-07 08:59:40:776,368069,368069,0,0,14693314,0,2669 143,3,2024-09-07 08:59:41:159,1,288,2,0,303,3595,288,0 144,0,2024-09-07 08:59:41:543,67840,0.6,69754,0.8,142022,0.5,186205,2.00 144,1,2024-09-07 08:59:40:566,505655,505655,0,0,234882666924,2464928477,501071,3649,935,381,391649,0 144,2,2024-09-07 08:59:41:780,363591,363591,0,0,13547207,0,3473 144,3,2024-09-07 08:59:41:748,1,288,3,0,249,3142,288,0 145,0,2024-09-07 08:59:41:420,66794,0.5,66799,0.8,141798,0.5,182460,2.25 145,1,2024-09-07 08:59:40:561,505523,505523,0,0,235784220888,2477072285,499660,5037,826,382,391698,0 145,2,2024-09-07 08:59:41:440,361915,361915,0,0,16316880,0,3903 145,3,2024-09-07 08:59:40:898,1,288,1,0,622,4301,288,0 146,0,2024-09-07 08:59:41:633,72406,0.5,71858,0.7,144794,0.4,192605,2.00 146,1,2024-09-07 08:59:41:609,506418,506418,0,0,235702121855,2482440318,497598,6926,1894,368,391770,0 146,2,2024-09-07 08:59:41:700,364107,364107,0,0,16878221,0,2730 146,3,2024-09-07 08:59:41:280,1,288,4,0,1520,6227,288,0 147,0,2024-09-07 08:59:41:740,76728,0.6,76502,0.8,152198,0.6,203882,2.00 147,1,2024-09-07 08:59:41:375,508210,508210,0,0,236921120480,2464602390,503690,3864,656,368,391791,0 147,2,2024-09-07 08:59:41:011,367031,367031,0,0,14265115,0,2789 147,3,2024-09-07 08:59:40:927,1,288,13,0,730,4218,288,0 0,0,2024-09-07 08:59:51:711,68567,0.5,68600,0.7,145569,0.5,188651,1.75 0,1,2024-09-07 08:59:50:801,508897,508897,0,0,237238355201,2480061887,505251,3437,209,370,391896,0 0,2,2024-09-07 08:59:51:066,365831,365831,0,0,14524058,0,4480 0,3,2024-09-07 08:59:50:974,1,289,112,0,319,3745,289,0 1,0,2024-09-07 08:59:51:765,77509,0.9,77020,1.0,154831,1.1,206785,2.00 1,1,2024-09-07 08:59:50:561,508423,508423,0,0,235787993310,2468340021,503849,3520,1054,370,391857,0 1,2,2024-09-07 08:59:50:643,364628,364628,0,0,13755226,0,3267 1,3,2024-09-07 08:59:51:328,1,289,14,0,262,3351,289,0 2,0,2024-09-07 08:59:51:569,73996,0.7,74274,0.8,147198,0.8,197366,2.00 2,1,2024-09-07 08:59:50:860,509895,509895,0,0,237734634264,2468597747,507583,2092,220,380,391745,0 2,2,2024-09-07 08:59:51:265,368106,368106,0,0,14228761,0,3594 2,3,2024-09-07 08:59:50:698,1,289,1,0,357,2626,289,0 3,0,2024-09-07 08:59:51:744,69148,0.4,69222,0.6,138205,0.4,183845,2.00 3,1,2024-09-07 08:59:51:619,508679,508679,0,0,236822334252,2465044364,504675,3542,462,380,391556,0 3,2,2024-09-07 08:59:51:143,364470,364447,23,0,14209265,0,5851 3,3,2024-09-07 08:59:51:752,1,289,8,0,103,1739,289,0 4,0,2024-09-07 08:59:51:809,66899,0.3,68855,0.5,139865,0.3,183813,1.75 4,1,2024-09-07 08:59:50:607,508142,508142,0,0,235755736754,2477791790,502560,4579,1003,371,391992,0 4,2,2024-09-07 08:59:51:018,362667,362667,0,0,17137487,0,4528 4,3,2024-09-07 08:59:51:040,1,289,2,0,448,4239,289,0 5,0,2024-09-07 08:59:51:424,75422,0.4,75683,0.5,150652,0.4,200764,1.75 5,1,2024-09-07 08:59:50:763,507927,507927,0,0,236912411140,2491758333,501464,5138,1325,368,392005,0 5,2,2024-09-07 08:59:51:835,363963,363963,0,0,15802283,0,2432 5,3,2024-09-07 08:59:51:747,1,289,4,0,457,4285,289,0 6,0,2024-09-07 08:59:50:927,75006,0.5,74517,0.7,148924,0.5,199130,2.00 6,1,2024-09-07 08:59:50:750,508485,508485,0,0,236271513959,2468243454,503134,4424,927,379,391694,0 6,2,2024-09-07 08:59:51:116,369155,369155,0,0,15535581,0,4816 6,3,2024-09-07 08:59:51:277,1,289,67,0,340,3345,289,0 7,0,2024-09-07 08:59:51:530,68521,0.5,68841,0.6,137179,0.5,182353,2.00 7,1,2024-09-07 08:59:50:851,507617,507617,0,0,236552138113,2482494496,500939,5568,1110,382,391747,0 7,2,2024-09-07 08:59:50:770,363627,363627,0,0,15018606,0,4791 7,3,2024-09-07 08:59:50:851,1,289,1,0,398,3376,289,0 8,0,2024-09-07 08:59:51:404,68785,0.4,68504,0.5,137006,0.3,182969,1.75 8,1,2024-09-07 08:59:51:022,506907,506907,0,0,235904474549,2489851085,497436,7259,2212,366,392144,0 8,2,2024-09-07 08:59:50:790,359879,359879,0,0,19041586,0,3220 8,3,2024-09-07 08:59:50:585,1,289,1,0,538,5099,289,0 9,0,2024-09-07 08:59:51:111,74409,0.3,72231,0.5,150971,0.3,198347,1.75 9,1,2024-09-07 08:59:50:552,507078,507078,0,0,236239671363,2490177749,499766,5668,1644,369,392001,0 9,2,2024-09-07 08:59:51:082,365177,365177,0,0,17154415,0,3360 9,3,2024-09-07 08:59:51:755,1,289,4,0,496,4463,289,0 10,0,2024-09-07 08:59:51:622,76065,0.3,75503,0.5,151773,0.3,201964,1.75 10,1,2024-09-07 08:59:50:585,507726,507726,0,0,237666059416,2492454302,500460,6279,987,381,391741,0 10,2,2024-09-07 08:59:50:764,368474,368474,0,0,18466772,0,4264 10,3,2024-09-07 08:59:50:871,1,289,33,0,296,2642,289,0 11,0,2024-09-07 08:59:51:008,70491,0.5,68444,0.7,143199,0.4,189311,1.75 11,1,2024-09-07 08:59:50:573,508120,508120,0,0,236156928917,2485752718,498634,7072,2414,383,391573,0 11,2,2024-09-07 08:59:51:123,363590,363590,0,0,16479762,0,4130 11,3,2024-09-07 08:59:51:308,1,289,5,0,843,4555,289,0 12,0,2024-09-07 08:59:50:950,70876,0.3,70821,0.5,141685,0.3,187806,1.75 12,1,2024-09-07 08:59:50:941,508446,508446,0,0,236790467343,2471108053,504021,3950,475,370,391870,0 12,2,2024-09-07 08:59:51:542,364796,364796,0,0,16069772,0,3469 12,3,2024-09-07 08:59:51:069,1,289,17,0,386,4773,289,0 13,0,2024-09-07 08:59:51:386,72516,0.4,72343,0.5,144657,0.3,192760,1.75 13,1,2024-09-07 08:59:51:524,507987,507987,0,0,235895737649,2480055891,503320,3402,1265,382,391740,0 13,2,2024-09-07 08:59:50:595,366777,366777,0,0,14997565,0,3287 13,3,2024-09-07 08:59:51:764,1,289,4,0,522,5026,289,0 14,0,2024-09-07 08:59:50:561,77774,0.4,78374,0.6,155124,0.4,206700,1.75 14,1,2024-09-07 08:59:51:561,511253,511253,0,0,237743764590,2462165471,507695,3284,274,364,391571,0 14,2,2024-09-07 08:59:50:764,366137,366137,0,0,14797182,0,2896 14,3,2024-09-07 08:59:51:121,1,289,1,0,1168,3953,289,0 15,0,2024-09-07 08:59:51:559,73046,0.5,73141,0.7,146086,0.4,194509,2.00 15,1,2024-09-07 08:59:51:616,509562,509562,0,0,236723299721,2461726201,506734,2650,178,381,391619,0 15,2,2024-09-07 08:59:50:998,367757,367757,0,0,12615294,0,3043 15,3,2024-09-07 08:59:51:405,1,289,1,0,1126,5591,289,0 16,0,2024-09-07 08:59:50:972,69759,0.6,70030,0.8,139476,0.7,185905,2.25 16,1,2024-09-07 08:59:50:563,509683,509683,0,0,237034781775,2474548483,506019,3362,302,370,391756,0 16,2,2024-09-07 08:59:51:446,364412,364412,0,0,15169280,0,4719 16,3,2024-09-07 08:59:51:142,1,289,9,0,317,4038,289,0 17,0,2024-09-07 08:59:51:791,72348,0.5,70692,0.7,138073,0.5,187815,2.00 17,1,2024-09-07 08:59:50:579,508277,508277,0,0,235819645856,2474693663,503094,4077,1106,368,391899,0 17,2,2024-09-07 08:59:51:666,367496,367496,0,0,14974411,0,2857 17,3,2024-09-07 08:59:50:582,1,289,6,0,268,4567,289,0 18,0,2024-09-07 08:59:50:940,75203,0.6,75672,0.7,150695,0.5,201737,2.25 18,1,2024-09-07 08:59:51:638,509595,509595,0,0,237445905325,2460477334,506781,2572,242,367,391649,0 18,2,2024-09-07 08:59:51:755,366214,366214,0,0,14182721,0,3541 18,3,2024-09-07 08:59:50:896,1,289,2,0,163,2652,289,0 19,0,2024-09-07 08:59:51:565,73781,0.6,74355,0.8,147400,0.6,196471,2.25 19,1,2024-09-07 08:59:50:569,509603,509603,0,0,237351387068,2463803674,504900,3916,787,367,391777,0 19,2,2024-09-07 08:59:51:754,370438,370438,0,0,13025666,0,3988 19,3,2024-09-07 08:59:51:130,1,289,16,0,524,2210,289,0 20,0,2024-09-07 08:59:51:363,68752,0.6,68767,0.7,137684,0.6,183532,2.00 20,1,2024-09-07 08:59:50:576,508169,508169,0,0,236731468077,2474714383,504177,3591,401,369,391886,0 20,2,2024-09-07 08:59:50:928,364574,364574,0,0,14740564,0,3721 20,3,2024-09-07 08:59:50:594,1,289,14,0,414,4927,289,0 21,0,2024-09-07 08:59:51:144,68906,0.5,68999,0.6,137786,0.4,182894,2.00 21,1,2024-09-07 08:59:51:555,506764,506764,0,0,235689879849,2482570527,500003,5135,1626,368,392016,0 21,2,2024-09-07 08:59:51:078,362647,362647,0,0,17506959,0,3747 21,3,2024-09-07 08:59:51:429,1,289,34,0,103,2954,289,0 22,0,2024-09-07 08:59:51:720,74851,0.5,75041,0.7,150109,0.4,199418,2.00 22,1,2024-09-07 08:59:51:022,508131,508131,0,0,235931376399,2481528867,500516,5948,1667,382,391667,0 22,2,2024-09-07 08:59:50:766,364790,364790,0,0,14966193,0,3134 22,3,2024-09-07 08:59:51:066,1,289,1,0,228,2552,289,0 23,0,2024-09-07 08:59:51:376,74627,0.6,74491,0.7,149244,0.6,198751,2.25 23,1,2024-09-07 08:59:51:003,508977,508977,0,0,236481065478,2481337440,501009,5301,2667,365,391690,0 23,2,2024-09-07 08:59:51:092,369573,369573,0,0,14416257,0,3010 23,3,2024-09-07 08:59:51:754,1,289,4,0,645,3545,289,0 24,0,2024-09-07 08:59:50:818,70688,0.4,70533,0.6,141594,0.4,187569,1.75 24,1,2024-09-07 08:59:50:581,507731,507731,0,0,235635374695,2474609822,501361,4848,1522,368,391987,0 24,2,2024-09-07 08:59:51:070,362786,362786,0,0,17656676,0,3607 24,3,2024-09-07 08:59:51:687,1,289,0,0,468,4123,289,0 25,0,2024-09-07 08:59:51:400,70954,0.4,69185,0.6,135761,0.4,184905,2.00 25,1,2024-09-07 08:59:50:558,508717,508717,0,0,236469929297,2479423292,502822,5077,818,371,391928,0 25,2,2024-09-07 08:59:51:610,363154,363154,0,0,18349908,0,3978 25,3,2024-09-07 08:59:51:000,1,289,55,0,255,3081,289,0 26,0,2024-09-07 08:59:51:720,72684,0.4,70933,0.6,148778,0.3,194340,1.75 26,1,2024-09-07 08:59:51:541,508591,508591,0,0,236413962092,2480766619,500814,6529,1248,381,391748,0 26,2,2024-09-07 08:59:50:867,366045,366045,0,0,18138738,0,2809 26,3,2024-09-07 08:59:51:713,1,289,5,0,796,3637,289,0 27,0,2024-09-07 08:59:51:726,77060,0.5,77143,0.6,152668,0.5,203981,2.00 27,1,2024-09-07 08:59:51:677,510157,510157,0,0,237581499912,2475995868,505355,4041,761,381,391626,0 27,2,2024-09-07 08:59:50:871,365480,365415,65,0,18150779,0,5699 27,3,2024-09-07 08:59:51:015,1,289,5,0,564,3029,289,0 28,0,2024-09-07 08:59:51:401,72303,0.4,72158,0.6,144619,0.4,192599,2.00 28,1,2024-09-07 08:59:50:798,509548,509548,0,0,237541509111,2476766394,505541,3333,674,383,391646,0 28,2,2024-09-07 08:59:51:765,364822,364822,0,0,14398423,0,2915 28,3,2024-09-07 08:59:51:776,1,289,1,0,502,2870,289,0 29,0,2024-09-07 08:59:51:356,72439,0.4,70651,0.6,138321,0.3,188920,1.75 29,1,2024-09-07 08:59:51:561,510629,510629,0,0,237120996461,2455283305,507140,2922,567,369,391753,0 29,2,2024-09-07 08:59:50:862,364335,364335,0,0,14236662,0,4233 29,3,2024-09-07 08:59:50:968,1,289,4,0,284,2420,289,0 30,0,2024-09-07 08:59:51:458,70645,0.5,68536,0.7,143440,0.4,188222,2.00 30,1,2024-09-07 08:59:50:572,510866,510866,0,0,237974415256,2468996576,507546,2873,447,382,391672,0 30,2,2024-09-07 08:59:51:278,365781,365781,0,0,13794270,0,3161 30,3,2024-09-07 08:59:50:581,1,289,13,0,519,2759,289,0 31,0,2024-09-07 08:59:51:764,77014,0.5,77361,0.6,154872,0.4,206469,2.00 31,1,2024-09-07 08:59:50:565,512317,512317,0,0,238706958814,2448590181,510282,1606,429,356,391712,0 31,2,2024-09-07 08:59:51:277,365260,365260,0,0,15309688,0,3525 31,3,2024-09-07 08:59:51:705,1,289,12,0,220,2224,289,0 32,0,2024-09-07 08:59:51:425,73904,0.3,74219,0.5,148515,0.3,197747,1.75 32,1,2024-09-07 08:59:50:804,510391,510391,0,0,237275395844,2461932697,508025,2087,279,381,391646,0 32,2,2024-09-07 08:59:50:939,368253,368253,0,0,12991662,0,3155 32,3,2024-09-07 08:59:51:014,1,289,4,0,227,2292,289,0 33,0,2024-09-07 08:59:51:495,69579,0.3,69014,0.4,138670,0.2,184757,1.75 33,1,2024-09-07 08:59:50:577,510983,510983,0,0,238477355666,2464116036,508059,2750,174,369,391730,0 33,2,2024-09-07 08:59:50:773,365349,365316,33,0,14421311,0,7012 33,3,2024-09-07 08:59:50:895,1,289,1,0,329,3275,289,0 34,0,2024-09-07 08:59:50:990,68909,0.3,71061,0.4,136168,0.2,183074,1.75 34,1,2024-09-07 08:59:51:051,511717,511717,0,0,238408270773,2451223571,510489,1221,7,367,391562,0 34,2,2024-09-07 08:59:50:773,364476,364476,0,0,14162959,0,3577 34,3,2024-09-07 08:59:51:688,1,289,6,0,299,2254,289,0 35,0,2024-09-07 08:59:50:903,74804,0.3,75206,0.5,151303,0.2,201360,1.75 35,1,2024-09-07 08:59:51:070,509958,509958,0,0,236530309739,2452571861,506495,2683,780,384,391589,0 35,2,2024-09-07 08:59:51:583,365665,365665,0,0,14278863,0,2653 35,3,2024-09-07 08:59:50:907,1,289,17,0,418,3541,289,0 36,0,2024-09-07 08:59:51:528,74831,0.5,74812,0.7,149544,0.5,198859,2.25 36,1,2024-09-07 08:59:50:594,509689,509689,0,0,236771945177,2474114465,503411,4694,1584,366,391759,0 36,2,2024-09-07 08:59:51:752,369235,369235,0,0,15917986,0,3875 36,3,2024-09-07 08:59:50:863,1,289,2,0,416,4586,289,0 37,0,2024-09-07 08:59:51:380,68477,0.5,68463,0.7,137041,0.5,183344,2.00 37,1,2024-09-07 08:59:50:574,508458,508451,0,7,236351724641,2472128511,502248,4132,2071,365,391560,0 37,2,2024-09-07 08:59:51:151,362791,362776,15,0,15678471,0,5815 37,3,2024-09-07 08:59:51:766,1,289,1,0,888,4672,289,0 38,0,2024-09-07 08:59:51:438,67982,0.4,66076,0.6,138287,0.4,180899,2.00 38,1,2024-09-07 08:59:51:605,510103,510103,0,0,238061647193,2480288265,504696,4731,676,368,391821,0 38,2,2024-09-07 08:59:50:759,363334,363287,47,0,16647456,0,6710 38,3,2024-09-07 08:59:50:997,1,289,2,0,689,3667,289,0 39,0,2024-09-07 08:59:51:761,76008,0.5,74396,0.7,144787,0.5,198502,2.00 39,1,2024-09-07 08:59:50:716,509299,509299,0,0,237100376008,2478271264,502503,5585,1211,365,391594,0 39,2,2024-09-07 08:59:51:417,365894,365894,0,0,14668596,0,2689 39,3,2024-09-07 08:59:50:714,1,289,4,0,324,3727,289,0 40,0,2024-09-07 08:59:51:495,75134,0.8,75814,1.0,151481,0.8,201710,3.00 40,1,2024-09-07 08:59:50:576,509106,509106,0,0,236313755161,2476978407,501261,6391,1454,368,391668,0 40,2,2024-09-07 08:59:51:312,368078,368077,1,0,18190165,0,5137 40,3,2024-09-07 08:59:51:145,1,289,3,0,398,3360,289,0 41,0,2024-09-07 08:59:51:022,70255,1.7,71948,1.3,137446,2.7,187285,3.25 41,1,2024-09-07 08:59:50:767,508607,508607,0,0,236814907114,2476024723,502731,5241,635,370,391742,0 41,2,2024-09-07 08:59:50:759,362524,362524,0,0,17049726,0,3356 41,3,2024-09-07 08:59:51:676,1,289,1,0,366,3126,289,0 42,0,2024-09-07 08:59:51:480,69749,0.7,69755,0.9,139852,0.7,184436,2.50 42,1,2024-09-07 08:59:51:441,507867,507867,0,0,236026405994,2482846822,499561,6743,1563,380,391675,0 42,2,2024-09-07 08:59:51:133,364505,364505,0,0,16756082,0,3568 42,3,2024-09-07 08:59:51:008,1,289,8,0,446,2517,289,0 43,0,2024-09-07 08:59:50:918,71635,0.8,69751,1.0,146126,0.8,192341,2.25 43,1,2024-09-07 08:59:50:577,509007,509007,0,0,237478868456,2484641849,501450,6192,1365,366,391696,0 43,2,2024-09-07 08:59:51:740,365597,365597,0,0,17186795,0,3812 43,3,2024-09-07 08:59:51:749,1,289,1,0,467,3627,289,0 44,0,2024-09-07 08:59:50:862,77584,0.5,78011,0.7,155529,0.4,206829,1.75 44,1,2024-09-07 08:59:50:579,510819,510819,0,0,236723594391,2444574636,507653,2597,569,356,391809,0 44,2,2024-09-07 08:59:51:269,365580,365580,0,0,14108606,0,4344 44,3,2024-09-07 08:59:51:110,1,289,1,0,817,3396,289,0 45,0,2024-09-07 08:59:51:763,72002,0.6,70342,0.8,147610,0.6,194263,2.00 45,1,2024-09-07 08:59:51:005,510082,510082,0,0,237583477979,2463772964,507738,2197,147,382,391917,0 45,2,2024-09-07 08:59:51:269,366655,366655,0,0,14257296,0,3596 45,3,2024-09-07 08:59:50:937,1,289,1,0,271,2982,289,0 46,0,2024-09-07 08:59:50:952,69221,0.5,69189,0.7,138598,0.5,184009,2.00 46,1,2024-09-07 08:59:50:575,511285,511285,0,0,237253816216,2449541687,508450,2508,327,366,391539,0 46,2,2024-09-07 08:59:50:593,365122,365122,0,0,13817982,0,2920 46,3,2024-09-07 08:59:51:131,1,289,1,0,908,4372,289,0 47,0,2024-09-07 08:59:51:120,70572,0.5,70610,0.6,141640,0.4,187518,1.75 47,1,2024-09-07 08:59:50:567,510741,510741,0,0,237753808283,2456177351,507735,2648,358,366,391641,0 47,2,2024-09-07 08:59:50:908,367028,367028,0,0,14245491,0,4477 47,3,2024-09-07 08:59:51:121,1,289,2,0,529,2717,289,0 48,0,2024-09-07 08:59:51:503,76442,0.3,75979,0.4,151659,0.2,202715,1.50 48,1,2024-09-07 08:59:51:023,510190,510190,0,0,237778314126,2469286811,506809,3080,301,384,391710,0 48,2,2024-09-07 08:59:50:699,364840,364840,0,0,13044875,0,3031 48,3,2024-09-07 08:59:50:756,1,289,3,0,339,2401,289,0 49,0,2024-09-07 08:59:51:710,76192,0.3,74749,0.5,145084,0.3,198836,1.75 49,1,2024-09-07 08:59:51:021,509192,509192,0,0,237047686858,2469173524,505254,2756,1182,382,391809,0 49,2,2024-09-07 08:59:51:801,369989,369989,0,0,13841977,0,3900 49,3,2024-09-07 08:59:51:416,1,289,1,0,408,3133,289,0 50,0,2024-09-07 08:59:51:515,68901,0.3,68301,0.5,137446,0.2,183249,1.75 50,1,2024-09-07 08:59:51:009,510941,510941,0,0,238787269875,2468757636,507739,2892,310,368,391540,0 50,2,2024-09-07 08:59:51:066,364657,364657,0,0,12783181,0,2263 50,3,2024-09-07 08:59:51:297,1,289,1,0,335,2429,289,0 51,0,2024-09-07 08:59:51:683,70550,0.3,68951,0.4,134562,0.2,183364,1.50 51,1,2024-09-07 08:59:51:685,511561,511561,0,0,238336603290,2458941512,508721,1900,940,365,391706,0 51,2,2024-09-07 08:59:51:315,364225,364225,0,0,12112824,0,3337 51,3,2024-09-07 08:59:51:028,1,289,1,0,678,2250,289,0 52,0,2024-09-07 08:59:51:421,75512,0.4,75280,0.6,150635,0.4,200190,2.00 52,1,2024-09-07 08:59:50:586,509132,509132,0,0,236543779714,2478081137,501665,6213,1254,368,391722,0 52,2,2024-09-07 08:59:51:754,362766,362728,38,0,16809976,0,6742 52,3,2024-09-07 08:59:50:679,1,289,5,0,1782,4679,289,0 53,0,2024-09-07 08:59:51:761,74466,0.7,72371,0.8,151235,0.8,198206,2.25 53,1,2024-09-07 08:59:50:772,507823,507823,0,0,236907021448,2486956796,499709,5665,2449,367,391702,0 53,2,2024-09-07 08:59:51:298,369798,369798,0,0,14143532,0,2727 53,3,2024-09-07 08:59:50:698,1,289,2,0,308,2706,289,0 54,0,2024-09-07 08:59:51:630,69071,0.7,69620,0.8,138149,0.5,184777,2.50 54,1,2024-09-07 08:59:50:582,509466,509466,0,0,237656273550,2470554214,504361,4506,599,367,391659,0 54,2,2024-09-07 08:59:50:865,363975,363969,6,0,15958618,0,5382 54,3,2024-09-07 08:59:50:764,1,289,8,0,676,4686,289,0 55,0,2024-09-07 08:59:51:772,66715,0.5,69165,0.7,139047,0.5,181209,2.25 55,1,2024-09-07 08:59:50:766,508774,508774,0,0,237000607076,2467793575,502590,5276,908,365,391731,0 55,2,2024-09-07 08:59:50:738,363569,363569,0,0,15731769,0,3563 55,3,2024-09-07 08:59:50:675,1,289,1,0,304,3094,289,0 56,0,2024-09-07 08:59:51:571,74543,1.1,70233,1.1,144811,1.5,194241,2.50 56,1,2024-09-07 08:59:50:585,507118,507118,0,0,236014999658,2495521303,499123,6447,1548,381,391867,0 56,2,2024-09-07 08:59:51:309,365971,365971,0,0,17295052,0,3567 56,3,2024-09-07 08:59:51:063,1,289,1,0,705,3962,289,0 57,0,2024-09-07 08:59:50:936,75691,1.7,75517,1.3,151334,2.4,202586,3.25 57,1,2024-09-07 08:59:50:988,508613,508613,0,0,236542638616,2478751056,502610,5299,704,367,392032,0 57,2,2024-09-07 08:59:51:316,367352,367352,0,0,18566933,0,3317 57,3,2024-09-07 08:59:51:749,1,289,4,0,359,3624,289,0 58,0,2024-09-07 08:59:50:559,70895,1.0,69047,1.0,144545,1.3,189239,2.50 58,1,2024-09-07 08:59:50:575,509499,509496,0,3,237063943307,2479495182,502550,6057,889,367,391603,3 58,2,2024-09-07 08:59:51:070,364674,364674,0,0,16598437,0,2549 58,3,2024-09-07 08:59:51:069,1,289,1,0,1043,3198,289,0 59,0,2024-09-07 08:59:51:756,70121,0.7,69870,0.9,139445,0.7,185449,2.75 59,1,2024-09-07 08:59:50:805,508569,508569,0,0,237321425363,2484812141,501911,5375,1283,369,391578,0 59,2,2024-09-07 08:59:50:583,365871,365871,0,0,15607187,0,2604 59,3,2024-09-07 08:59:51:740,1,289,1,0,1015,3965,289,0 60,0,2024-09-07 08:59:51:709,70788,0.5,70666,0.6,142399,0.4,188886,1.75 60,1,2024-09-07 08:59:50:775,510636,510636,0,0,237775048061,2465056999,507816,2294,526,370,392031,0 60,2,2024-09-07 08:59:51:146,365588,365588,0,0,16473792,0,3811 60,3,2024-09-07 08:59:51:258,1,289,1,0,175,3111,289,0 61,0,2024-09-07 08:59:51:492,77232,0.7,77695,0.8,154463,0.7,206306,2.00 61,1,2024-09-07 08:59:50:776,508631,508631,0,0,236592859214,2478480051,502792,4925,914,382,391880,0 61,2,2024-09-07 08:59:51:122,365862,365862,0,0,14910807,0,3598 61,3,2024-09-07 08:59:51:687,1,289,2,0,479,4338,289,0 62,0,2024-09-07 08:59:51:730,74507,0.6,76036,0.7,144890,0.6,197534,2.00 62,1,2024-09-07 08:59:51:115,511875,511869,0,6,238767093297,2463471836,509048,2660,161,365,391715,6 62,2,2024-09-07 08:59:51:644,366634,366633,1,0,16227741,0,5555 62,3,2024-09-07 08:59:51:166,1,289,20,0,465,2403,289,0 63,0,2024-09-07 08:59:51:488,69242,0.4,69376,0.6,138678,0.4,184434,1.75 63,1,2024-09-07 08:59:50:811,510349,510343,0,6,237595218030,2464651261,507583,2526,234,381,391677,6 63,2,2024-09-07 08:59:50:777,364484,364484,0,0,14012743,0,4369 63,3,2024-09-07 08:59:51:739,1,289,1,0,667,3210,289,0 64,0,2024-09-07 08:59:51:539,68641,0.4,68590,0.6,137232,0.3,183031,1.75 64,1,2024-09-07 08:59:50:750,509599,509599,0,0,237289355788,2472321291,505027,3156,1416,370,391783,0 64,2,2024-09-07 08:59:51:145,367786,367767,19,0,14092054,0,6121 64,3,2024-09-07 08:59:51:145,1,289,1,0,265,2708,289,0 65,0,2024-09-07 08:59:51:698,74894,0.6,75306,0.7,150185,0.6,200316,2.00 65,1,2024-09-07 08:59:50:860,508427,508427,0,0,236698806862,2474164596,504690,3289,448,382,391901,0 65,2,2024-09-07 08:59:51:693,365723,365723,0,0,15630931,0,3367 65,3,2024-09-07 08:59:51:685,1,289,0,0,163,2747,289,0 66,0,2024-09-07 08:59:51:767,74355,0.5,74283,0.7,148905,0.4,197908,2.00 66,1,2024-09-07 08:59:51:296,509841,509841,0,0,237385904960,2466455819,506925,2670,246,380,391653,0 66,2,2024-09-07 08:59:51:134,371197,371197,0,0,14704709,0,4956 66,3,2024-09-07 08:59:51:085,1,289,1,0,291,2924,289,0 67,0,2024-09-07 08:59:51:431,69286,0.6,68536,0.7,137952,0.6,183492,2.00 67,1,2024-09-07 08:59:50:766,509869,509868,0,1,237388999959,2473594235,505899,3220,749,380,391787,1 67,2,2024-09-07 08:59:50:582,364780,364780,0,0,13282805,0,2889 67,3,2024-09-07 08:59:51:759,1,289,11,0,338,2580,289,0 68,0,2024-09-07 08:59:50:588,68722,0.5,68495,0.7,136754,0.5,182615,2.00 68,1,2024-09-07 08:59:50:570,508706,508706,0,0,235867086248,2471602665,504374,3161,1171,381,391953,0 68,2,2024-09-07 08:59:51:048,362525,362460,65,0,17954838,0,6698 68,3,2024-09-07 08:59:50:732,1,289,6,0,417,3224,289,0 69,0,2024-09-07 08:59:51:736,73946,0.7,74318,0.8,147984,0.8,197114,2.25 69,1,2024-09-07 08:59:51:016,507664,507664,0,0,235707002304,2486391742,501260,4817,1587,384,391994,0 69,2,2024-09-07 08:59:51:747,365753,365753,0,0,17963777,0,3722 69,3,2024-09-07 08:59:50:763,1,289,2,0,698,4152,289,0 70,0,2024-09-07 08:59:51:558,75282,1.0,75358,1.1,151291,0.8,200315,2.50 70,1,2024-09-07 08:59:50:805,510630,510630,0,0,237741375027,2468470394,506368,3726,536,366,391725,0 70,2,2024-09-07 08:59:51:338,367653,367653,0,0,16385151,0,4044 70,3,2024-09-07 08:59:50:747,1,289,0,0,854,3425,289,0 71,0,2024-09-07 08:59:51:362,70306,1.0,70178,1.1,140821,1.3,188065,2.75 71,1,2024-09-07 08:59:51:601,508407,508407,0,0,237153861667,2473859693,502815,4949,643,368,391738,0 71,2,2024-09-07 08:59:51:067,364272,364272,0,0,16270434,0,4042 71,3,2024-09-07 08:59:51:754,1,289,1,0,644,3933,289,0 72,0,2024-09-07 08:59:51:088,72709,0.7,71055,0.8,138643,0.7,188299,2.00 72,1,2024-09-07 08:59:51:031,508386,508386,0,0,236355203774,2475801197,501200,5641,1545,369,391819,0 72,2,2024-09-07 08:59:51:766,363470,363470,0,0,18514053,0,3983 72,3,2024-09-07 08:59:51:754,1,289,46,0,564,4833,289,0 73,0,2024-09-07 08:59:51:122,70454,0.4,71986,0.6,147679,0.3,191917,2.00 73,1,2024-09-07 08:59:50:838,509582,509582,0,0,236863562173,2462176078,505972,3319,291,367,391750,0 73,2,2024-09-07 08:59:51:757,366264,366264,0,0,18020650,0,3701 73,3,2024-09-07 08:59:50:973,1,289,11,0,274,4079,289,0 74,0,2024-09-07 08:59:51:338,78087,0.5,79928,0.7,152691,0.5,206640,2.25 74,1,2024-09-07 08:59:50:635,509079,509079,0,0,236863134415,2473711080,503985,4126,968,381,391681,0 74,2,2024-09-07 08:59:51:003,365732,365732,0,0,17280253,0,4253 74,3,2024-09-07 08:59:51:447,1,289,13,0,522,3718,289,0 75,0,2024-09-07 08:59:51:770,73239,0.5,72935,0.7,146035,0.4,195354,2.25 75,1,2024-09-07 08:59:51:588,508165,508165,0,0,236984917582,2478768311,502358,5002,805,380,391660,0 75,2,2024-09-07 08:59:51:353,365562,365562,0,0,17025142,0,4766 75,3,2024-09-07 08:59:51:067,1,289,10,0,702,4153,289,0 76,0,2024-09-07 08:59:50:616,69281,0.6,68684,0.8,138029,0.6,184905,2.50 76,1,2024-09-07 08:59:50:818,509341,509341,0,0,237100091007,2472895147,505894,2894,553,382,391692,0 76,2,2024-09-07 08:59:51:063,365710,365709,1,0,15388159,0,5144 76,3,2024-09-07 08:59:51:142,1,289,35,0,175,2921,289,0 77,0,2024-09-07 08:59:51:695,70242,0.6,70477,0.8,140834,0.6,187297,2.00 77,1,2024-09-07 08:59:50:824,509641,509641,0,0,237625441641,2480430079,505803,3575,263,381,391869,0 77,2,2024-09-07 08:59:51:281,365151,365151,0,0,15428445,0,3890 77,3,2024-09-07 08:59:51:110,1,289,18,0,401,3527,289,0 78,0,2024-09-07 08:59:51:745,76159,0.4,75709,0.6,152075,0.3,202192,2.00 78,1,2024-09-07 08:59:50:610,508708,508708,0,0,236457425312,2461072316,503957,3765,986,367,391670,0 78,2,2024-09-07 08:59:51:409,365110,365110,0,0,13633151,0,3855 78,3,2024-09-07 08:59:51:147,1,289,15,0,181,2694,289,0 79,0,2024-09-07 08:59:51:377,71933,0.4,73779,0.6,150820,0.4,196270,2.25 79,1,2024-09-07 08:59:50:573,511021,511021,0,0,238003531150,2464029659,507305,3271,445,369,391682,0 79,2,2024-09-07 08:59:51:068,369842,369842,0,0,14088366,0,3212 79,3,2024-09-07 08:59:50:750,1,289,0,0,418,4030,289,0 80,0,2024-09-07 08:59:51:126,68835,0.6,70811,0.7,135610,0.6,183066,2.00 80,1,2024-09-07 08:59:51:627,509263,509263,0,0,237316151674,2468226994,506217,2873,173,368,391791,0 80,2,2024-09-07 08:59:51:106,365304,365304,0,0,13690454,0,4433 80,3,2024-09-07 08:59:50:576,1,289,4,0,190,3720,289,0 81,0,2024-09-07 08:59:51:557,68652,0.5,70498,0.6,134642,0.4,182392,1.75 81,1,2024-09-07 08:59:51:650,508370,508370,0,0,236672238960,2472804768,504190,3705,475,382,391879,0 81,2,2024-09-07 08:59:51:130,364148,364148,0,0,14359635,0,3993 81,3,2024-09-07 08:59:51:118,1,289,1,0,374,3544,289,0 82,0,2024-09-07 08:59:51:541,74751,0.4,75204,0.6,150649,0.3,200330,1.75 82,1,2024-09-07 08:59:50:588,510281,510277,0,4,237452245090,2471175174,506952,2713,612,381,391768,4 82,2,2024-09-07 08:59:51:691,366885,366885,0,0,13532398,0,3986 82,3,2024-09-07 08:59:51:753,1,289,7,0,363,3060,289,0 83,0,2024-09-07 08:59:51:535,75071,0.5,75019,0.7,149002,0.5,198509,2.00 83,1,2024-09-07 08:59:50:560,509117,509117,0,0,237345978585,2473596785,505626,3221,270,382,391709,0 83,2,2024-09-07 08:59:50:770,369051,369051,0,0,13865696,0,3119 83,3,2024-09-07 08:59:50:750,1,289,10,0,250,3188,289,0 84,0,2024-09-07 08:59:51:777,69692,0.7,69562,0.9,139252,0.6,186235,2.25 84,1,2024-09-07 08:59:51:043,508549,508549,0,0,236620652261,2471380451,503601,4363,585,367,391967,0 84,2,2024-09-07 08:59:50:572,364093,364093,0,0,15747756,0,3801 84,3,2024-09-07 08:59:51:146,1,289,13,0,908,4568,289,0 85,0,2024-09-07 08:59:51:075,66778,0.6,66779,0.8,141501,0.6,182778,2.25 85,1,2024-09-07 08:59:50:571,507969,507969,0,0,236492659895,2495965950,500539,6310,1120,381,392006,0 85,2,2024-09-07 08:59:50:871,365074,365074,0,0,16663761,0,3656 85,3,2024-09-07 08:59:50:689,1,289,2,0,789,3768,289,0 86,0,2024-09-07 08:59:50:934,72905,0.6,74997,0.7,143384,0.6,193976,2.00 86,1,2024-09-07 08:59:50:827,509304,509304,0,0,237029667259,2477930934,503798,4673,833,366,391961,0 86,2,2024-09-07 08:59:50:863,365126,365125,1,0,17630155,0,5004 86,3,2024-09-07 08:59:50:604,1,289,5,0,286,4020,289,0 87,0,2024-09-07 08:59:51:406,76391,1.0,76388,0.9,153402,1.4,204327,2.25 87,1,2024-09-07 08:59:50:566,508525,508525,0,0,236585962495,2473866486,503412,4611,502,366,392076,0 87,2,2024-09-07 08:59:51:081,366780,366780,0,0,15860985,0,4045 87,3,2024-09-07 08:59:51:812,1,289,13,0,473,4178,289,0 88,0,2024-09-07 08:59:51:482,72173,0.5,72633,0.6,144844,0.5,192370,2.00 88,1,2024-09-07 08:59:50:598,507284,507284,0,0,236149955853,2479618985,499957,5676,1651,365,392084,0 88,2,2024-09-07 08:59:50:705,364780,364780,0,0,17853402,0,3583 88,3,2024-09-07 08:59:51:311,1,289,16,0,435,3407,289,0 89,0,2024-09-07 08:59:51:909,72544,0.5,70140,0.7,139235,0.4,188828,1.75 89,1,2024-09-07 08:59:50:565,507313,507313,0,0,236727067040,2495056318,499991,6057,1265,382,391866,0 89,2,2024-09-07 08:59:51:154,363536,363536,0,0,17367197,0,2910 89,3,2024-09-07 08:59:51:798,1,289,69,0,385,5729,289,0 90,0,2024-09-07 08:59:51:734,68849,0.4,70368,0.6,144121,0.4,188056,1.75 90,1,2024-09-07 08:59:50:649,508736,508736,0,0,236163797148,2475079807,503881,4532,323,381,391825,0 90,2,2024-09-07 08:59:51:405,364285,364285,0,0,18742796,0,3060 90,3,2024-09-07 08:59:50:931,1,289,1,0,200,3069,289,0 91,0,2024-09-07 08:59:50:926,77660,0.5,75465,0.6,157228,0.5,206865,1.75 91,1,2024-09-07 08:59:50:558,507487,507487,0,0,237198756163,2496708700,500477,6119,891,381,392047,0 91,2,2024-09-07 08:59:51:347,364898,364898,0,0,16702002,0,2896 91,3,2024-09-07 08:59:50:605,1,289,15,0,216,2644,289,0 92,0,2024-09-07 08:59:51:476,74588,0.5,76414,0.6,146096,0.5,197815,1.75 92,1,2024-09-07 08:59:50:766,508983,508983,0,0,236714895541,2469792469,505783,2745,455,382,392136,0 92,2,2024-09-07 08:59:51:363,369272,369272,0,0,14239153,0,2801 92,3,2024-09-07 08:59:51:023,1,289,7,0,167,2489,289,0 93,0,2024-09-07 08:59:51:227,69693,0.4,71351,0.6,136393,0.3,184983,1.75 93,1,2024-09-07 08:59:50:817,509139,509139,0,0,236777919177,2471373818,504143,4203,793,366,391776,0 93,2,2024-09-07 08:59:50:940,364395,364395,0,0,15979145,0,4311 93,3,2024-09-07 08:59:51:431,1,289,0,0,190,2904,289,0 94,0,2024-09-07 08:59:51:626,68642,0.3,69437,0.5,138417,0.3,183861,1.75 94,1,2024-09-07 08:59:50:741,508597,508597,0,0,236953679143,2474990931,504932,3482,183,381,391850,0 94,2,2024-09-07 08:59:50:766,364369,364369,0,0,14252973,0,2443 94,3,2024-09-07 08:59:51:701,1,289,3,0,264,3700,289,0 95,0,2024-09-07 08:59:51:372,75456,0.3,75386,0.5,151505,0.3,201203,1.75 95,1,2024-09-07 08:59:50:860,509349,509349,0,0,237632955053,2471813096,505151,3819,379,367,391713,0 95,2,2024-09-07 08:59:51:016,364849,364849,0,0,14653697,0,3308 95,3,2024-09-07 08:59:51:712,1,289,9,0,718,4844,289,0 96,0,2024-09-07 08:59:51:087,74910,0.4,75008,0.5,149857,0.3,198467,1.75 96,1,2024-09-07 08:59:51:598,508761,508761,0,0,237179751927,2475812097,504849,3173,739,384,391955,0 96,2,2024-09-07 08:59:51:315,369490,369490,0,0,15061737,0,4042 96,3,2024-09-07 08:59:51:155,1,289,1,0,411,3196,289,0 97,0,2024-09-07 08:59:51:357,69073,0.3,68745,0.5,138186,0.3,183302,1.75 97,1,2024-09-07 08:59:50:891,510893,510893,0,0,237727607093,2463336366,507826,2508,559,367,392140,0 97,2,2024-09-07 08:59:50:618,364643,364643,0,0,13991551,0,3036 97,3,2024-09-07 08:59:50:578,1,289,124,0,214,3340,289,0 98,0,2024-09-07 08:59:51:718,68637,0.3,68514,0.4,137746,0.2,182867,1.50 98,1,2024-09-07 08:59:50:583,510336,510336,0,0,237323656918,2467570878,508168,2067,101,382,391997,0 98,2,2024-09-07 08:59:50:785,364080,364080,0,0,14224377,0,3080 98,3,2024-09-07 08:59:50:700,1,289,1,0,840,4987,289,0 99,0,2024-09-07 08:59:51:522,74145,0.3,74617,0.4,148581,0.2,198741,1.50 99,1,2024-09-07 08:59:51:760,509691,509691,0,0,236952192301,2467422382,505871,3077,743,381,392069,0 99,2,2024-09-07 08:59:51:470,367943,367943,0,0,15276118,0,3106 99,3,2024-09-07 08:59:50:584,1,289,15,0,187,2382,289,0 100,0,2024-09-07 08:59:51:512,75682,0.8,75618,1.0,151458,1.1,202174,2.50 100,1,2024-09-07 08:59:50:601,506233,506233,0,0,235466573772,2488802755,498702,6054,1477,381,391989,0 100,2,2024-09-07 08:59:51:821,367188,367177,11,0,16901303,0,5417 100,3,2024-09-07 08:59:51:742,1,289,1,0,559,5075,289,0 101,0,2024-09-07 08:59:51:810,72357,1.3,70398,1.1,138276,1.1,189659,2.50 101,1,2024-09-07 08:59:50:699,506963,506963,0,0,236132223560,2487992152,498623,6361,1979,368,391769,0 101,2,2024-09-07 08:59:51:787,362544,362544,0,0,18488513,0,4644 101,3,2024-09-07 08:59:50:954,1,289,1,0,448,3523,289,0 102,0,2024-09-07 08:59:50:956,68644,0.6,71042,0.8,143222,0.6,187370,2.00 102,1,2024-09-07 08:59:51:151,507776,507776,0,0,236569979352,2485320395,501255,5615,906,369,391883,0 102,2,2024-09-07 08:59:51:748,365476,365422,54,0,16191131,0,6768 102,3,2024-09-07 08:59:51:615,1,289,1,0,410,3048,289,0 103,0,2024-09-07 08:59:51:649,74332,0.5,74389,0.7,140246,0.4,193523,1.75 103,1,2024-09-07 08:59:51:638,507079,507079,0,0,235964080254,2490198309,499076,6112,1891,381,391829,0 103,2,2024-09-07 08:59:50:589,364991,364991,0,0,16552588,0,3173 103,3,2024-09-07 08:59:50:755,1,289,14,0,916,3797,289,0 104,0,2024-09-07 08:59:51:124,76685,0.8,77157,1.0,152949,0.8,205865,2.25 104,1,2024-09-07 08:59:51:600,508117,508117,0,0,236047775773,2487579628,499620,6838,1659,365,392168,0 104,2,2024-09-07 08:59:51:688,364692,364692,0,0,18211685,0,3941 104,3,2024-09-07 08:59:51:431,1,289,18,0,1245,6717,289,0 105,0,2024-09-07 08:59:51:126,72317,1.0,70392,1.2,147015,1.3,193740,3.25 105,1,2024-09-07 08:59:50:574,508442,508442,0,0,236613945719,2486978074,500749,6394,1299,366,391797,0 105,2,2024-09-07 08:59:51:324,365849,365849,0,0,17357713,0,3509 105,3,2024-09-07 08:59:51:315,1,289,1,0,399,4622,289,0 106,0,2024-09-07 08:59:50:944,67157,0.9,68803,1.0,140891,0.9,184301,2.50 106,1,2024-09-07 08:59:51:769,507949,507949,0,0,235114039396,2475027087,499514,7431,1004,369,391865,0 106,2,2024-09-07 08:59:50:765,362741,362741,0,0,16560816,0,2795 106,3,2024-09-07 08:59:50:688,1,289,14,0,470,4053,289,0 107,0,2024-09-07 08:59:51:109,70154,0.8,70039,0.9,140199,0.8,187077,2.00 107,1,2024-09-07 08:59:50:613,506878,506878,0,0,235778629589,2485469195,499934,6228,716,381,392234,0 107,2,2024-09-07 08:59:51:292,363553,363552,1,0,17632295,0,5024 107,3,2024-09-07 08:59:51:756,1,289,17,0,353,3909,289,0 108,0,2024-09-07 08:59:51:763,75875,0.4,76151,0.6,151518,0.3,202441,1.75 108,1,2024-09-07 08:59:51:294,508727,508727,0,0,237100800255,2471992339,504787,3521,419,368,391857,0 108,2,2024-09-07 08:59:51:755,363746,363746,0,0,16118559,0,4246 108,3,2024-09-07 08:59:51:334,1,289,137,0,749,6047,289,0 109,0,2024-09-07 08:59:51:761,74738,0.4,74007,0.6,148328,0.3,198424,1.75 109,1,2024-09-07 08:59:50:607,507282,507282,0,0,237011520949,2487033397,502706,3928,648,383,392132,0 109,2,2024-09-07 08:59:50:930,367031,367031,0,0,16359436,0,3617 109,3,2024-09-07 08:59:51:142,1,289,0,0,249,3198,289,0 110,0,2024-09-07 08:59:51:776,68968,0.4,67059,0.6,140398,0.3,183751,1.75 110,1,2024-09-07 08:59:51:648,510480,510480,0,0,236893520335,2459789646,507014,2557,909,369,392045,0 110,2,2024-09-07 08:59:51:307,364582,364582,0,0,15699823,0,4067 110,3,2024-09-07 08:59:50:689,1,289,2,0,406,3681,289,0 111,0,2024-09-07 08:59:51:421,69075,0.4,68478,0.5,137040,0.3,183736,1.75 111,1,2024-09-07 08:59:51:000,510587,510587,0,0,237951361833,2467863003,508121,2123,343,382,391690,0 111,2,2024-09-07 08:59:51:122,363644,363644,0,0,14790877,0,2763 111,3,2024-09-07 08:59:50:913,1,289,28,0,379,3758,289,0 112,0,2024-09-07 08:59:50:919,75495,0.3,75009,0.4,150355,0.2,200416,1.50 112,1,2024-09-07 08:59:50:824,510564,510564,0,0,237474266240,2462202965,507875,2253,436,380,391624,0 112,2,2024-09-07 08:59:51:139,365712,365711,1,0,14651525,0,5036 112,3,2024-09-07 08:59:50:592,1,289,255,0,282,3092,289,0 113,0,2024-09-07 08:59:50:871,74732,0.3,74771,0.5,150150,0.2,199978,1.50 113,1,2024-09-07 08:59:51:686,511401,511401,0,0,238380575717,2459142661,508674,2154,573,366,391661,0 113,2,2024-09-07 08:59:51:305,370654,370654,0,0,13106929,0,3813 113,3,2024-09-07 08:59:50:689,1,289,3,0,340,3841,289,0 114,0,2024-09-07 08:59:50:880,70573,0.4,71029,0.5,141090,0.2,188261,1.75 114,1,2024-09-07 08:59:50:716,509751,509751,0,0,237392964070,2469531642,504917,3245,1589,381,391556,0 114,2,2024-09-07 08:59:50:873,364165,364164,1,0,14176562,0,5069 114,3,2024-09-07 08:59:51:278,1,289,1,0,395,2858,289,0 115,0,2024-09-07 08:59:50:559,69246,0.3,69528,0.4,139282,0.2,184884,1.50 115,1,2024-09-07 08:59:50:571,509790,509790,0,0,237256124410,2465829084,505345,3607,838,382,391639,0 115,2,2024-09-07 08:59:51:132,365358,365358,0,0,12842167,0,2346 115,3,2024-09-07 08:59:51:002,1,289,2,0,159,1700,289,0 116,0,2024-09-07 08:59:51:800,72685,0.7,72520,0.9,145337,0.8,194713,2.00 116,1,2024-09-07 08:59:50:807,507130,507130,0,0,235974948362,2491667396,500568,4505,2057,380,391782,0 116,2,2024-09-07 08:59:51:755,365030,365030,0,0,18380664,0,3529 116,3,2024-09-07 08:59:50:912,1,289,3,0,415,3912,289,0 117,0,2024-09-07 08:59:51:003,77030,0.9,76650,0.9,153247,1.1,204720,2.00 117,1,2024-09-07 08:59:51:607,508065,508065,0,0,236379122316,2474430031,502673,4842,550,370,392033,0 117,2,2024-09-07 08:59:51:129,369663,369663,0,0,15006264,0,3700 117,3,2024-09-07 08:59:51:126,1,289,3,0,490,4419,289,0 118,0,2024-09-07 08:59:51:868,70086,0.6,72134,0.7,146734,0.5,191630,2.00 118,1,2024-09-07 08:59:50:592,508143,508143,0,0,235941083149,2481166919,500741,5577,1825,366,391736,0 118,2,2024-09-07 08:59:51:595,364163,364163,0,0,15975296,0,2781 118,3,2024-09-07 08:59:51:770,1,289,22,0,235,3271,289,0 119,0,2024-09-07 08:59:51:355,70080,0.8,70523,0.8,141076,0.9,187735,2.25 119,1,2024-09-07 08:59:50:564,509137,509137,0,0,237397537851,2481447920,503665,4805,667,367,391780,0 119,2,2024-09-07 08:59:51:270,365091,365091,0,0,15124864,0,4174 119,3,2024-09-07 08:59:51:328,1,289,27,0,443,3956,289,0 120,0,2024-09-07 08:59:51:564,70463,0.6,70243,0.8,140666,0.6,188562,2.25 120,1,2024-09-07 08:59:50:862,508341,508341,0,0,236811683170,2485309918,502791,5129,421,368,391961,0 120,2,2024-09-07 08:59:50:829,364907,364906,1,0,18802758,0,5281 120,3,2024-09-07 08:59:51:327,1,289,7,0,241,3583,289,0 121,0,2024-09-07 08:59:51:912,77285,1.2,77239,1.1,155100,1.6,206324,2.25 121,1,2024-09-07 08:59:51:667,508506,508506,0,0,236975469624,2481449387,503132,4946,428,367,391840,0 121,2,2024-09-07 08:59:51:161,363996,363996,0,0,17961198,0,4127 121,3,2024-09-07 08:59:50:767,1,289,3,0,269,3530,289,0 122,0,2024-09-07 08:59:51:771,73713,0.8,71835,0.9,150661,0.9,197832,2.00 122,1,2024-09-07 08:59:50:860,507560,507560,0,0,236472868559,2484982317,499976,6451,1133,366,392130,0 122,2,2024-09-07 08:59:51:328,366811,366738,73,0,20235361,0,5989 122,3,2024-09-07 08:59:50:602,1,289,4,0,411,5799,289,0 123,0,2024-09-07 08:59:50:966,69033,0.8,67446,0.8,140696,1.0,184147,2.25 123,1,2024-09-07 08:59:50:558,507813,507813,0,0,236384640810,2494866920,497910,7963,1940,369,392039,0 123,2,2024-09-07 08:59:51:019,362215,362214,1,0,16887641,0,5215 123,3,2024-09-07 08:59:51:133,1,289,7,0,168,3317,289,0 124,0,2024-09-07 08:59:50:925,71113,0.3,71145,0.5,134088,0.3,184488,1.75 124,1,2024-09-07 08:59:51:023,509960,509960,0,0,237109425132,2469069190,505847,3213,900,367,392178,0 124,2,2024-09-07 08:59:51:011,364692,364692,0,0,14228576,0,3101 124,3,2024-09-07 08:59:50:764,1,289,1,0,490,2986,289,0 125,0,2024-09-07 08:59:51:432,75651,0.3,75308,0.5,151423,0.3,201370,1.75 125,1,2024-09-07 08:59:50:860,507933,507933,0,0,237088133934,2474264332,504169,3319,445,383,391702,0 125,2,2024-09-07 08:59:51:131,365282,365282,0,0,15623610,0,4534 125,3,2024-09-07 08:59:51:138,1,289,6,0,709,3860,289,0 126,0,2024-09-07 08:59:51:438,74848,0.4,76873,0.6,146890,0.4,199383,1.75 126,1,2024-09-07 08:59:50:551,510388,510388,0,0,237534013782,2461332118,507503,2616,269,365,391987,0 126,2,2024-09-07 08:59:50:612,370728,370728,0,0,15449621,0,3186 126,3,2024-09-07 08:59:50:907,1,289,1,0,207,3912,289,0 127,0,2024-09-07 08:59:51:591,68718,0.3,69130,0.5,137870,0.3,183267,1.75 127,1,2024-09-07 08:59:50:569,509649,509649,0,0,236900747225,2458815889,505475,3660,514,365,391816,0 127,2,2024-09-07 08:59:50:638,364405,364405,0,0,13766508,0,2264 127,3,2024-09-07 08:59:51:270,1,289,1,0,968,3245,289,0 128,0,2024-09-07 08:59:51:560,68932,0.3,68947,0.4,137787,0.2,183271,1.50 128,1,2024-09-07 08:59:51:605,509442,509442,0,0,237194396610,2462396756,506239,2917,286,367,391798,0 128,2,2024-09-07 08:59:51:399,365209,365209,0,0,13504538,0,2434 128,3,2024-09-07 08:59:50:767,1,289,1,0,1082,5507,289,0 129,0,2024-09-07 08:59:51:007,74787,0.3,74409,0.4,148954,0.2,198547,1.50 129,1,2024-09-07 08:59:50:570,506817,506817,0,0,235744390999,2468612005,502420,3464,933,379,391835,0 129,2,2024-09-07 08:59:50:688,366818,366818,0,0,14632602,0,4031 129,3,2024-09-07 08:59:50:689,1,289,2,0,469,3848,289,0 130,0,2024-09-07 08:59:51:741,76608,0.6,76196,0.6,152971,0.6,203325,1.75 130,1,2024-09-07 08:59:50:583,509491,509491,0,0,237010066655,2463078353,507030,2381,80,381,391825,0 130,2,2024-09-07 08:59:51:132,369969,369969,0,0,14444537,0,4067 130,3,2024-09-07 08:59:51:292,1,289,2,0,450,3742,289,0 131,0,2024-09-07 08:59:51:956,70825,0.4,71266,0.5,143097,0.3,189455,1.75 131,1,2024-09-07 08:59:51:840,510211,510211,0,0,237232708469,2472369082,507058,2691,462,381,391865,0 131,2,2024-09-07 08:59:50:567,366247,366247,0,0,12895058,0,2415 131,3,2024-09-07 08:59:51:687,1,289,14,0,392,3021,289,0 132,0,2024-09-07 08:59:51:426,70209,0.5,71116,0.6,141612,0.4,187854,2.00 132,1,2024-09-07 08:59:50:583,506923,506923,0,0,236275443467,2492078974,498831,6633,1459,381,392097,0 132,2,2024-09-07 08:59:50:697,364683,364683,0,0,16931999,0,4606 132,3,2024-09-07 08:59:51:688,1,289,37,0,356,3879,289,0 133,0,2024-09-07 08:59:51:526,70445,0.4,71934,0.5,147503,0.3,192092,1.75 133,1,2024-09-07 08:59:50:620,507596,507596,0,0,235646110870,2483410717,500686,5917,993,383,391914,0 133,2,2024-09-07 08:59:51:089,365097,365097,0,0,18515674,0,4315 133,3,2024-09-07 08:59:51:297,1,289,0,0,276,2779,289,0 134,0,2024-09-07 08:59:50:941,77708,0.5,77694,0.7,155274,0.5,206909,2.00 134,1,2024-09-07 08:59:50:584,507645,507645,0,0,236164983287,2479997021,500669,5499,1477,366,391718,0 134,2,2024-09-07 08:59:51:773,366000,366000,0,0,15572681,0,3847 134,3,2024-09-07 08:59:50:751,1,289,5,0,739,4032,289,0 135,0,2024-09-07 08:59:51:119,70598,0.8,70626,0.9,149808,0.8,193551,2.00 135,1,2024-09-07 08:59:51:586,507555,507555,0,0,236669736154,2492037426,500143,6222,1190,380,391805,0 135,2,2024-09-07 08:59:50:690,366697,366697,0,0,16951050,0,3981 135,3,2024-09-07 08:59:51:003,1,289,16,0,299,2208,289,0 136,0,2024-09-07 08:59:51:624,70079,0.6,69949,0.7,139550,0.6,186370,2.25 136,1,2024-09-07 08:59:51:449,507662,507662,0,0,236160579548,2484780525,501179,5817,666,382,391685,0 136,2,2024-09-07 08:59:51:142,364752,364752,0,0,16731317,0,3506 136,3,2024-09-07 08:59:51:113,1,289,1,0,301,2882,289,0 137,0,2024-09-07 08:59:50:929,72374,0.7,70261,0.8,138400,0.7,188323,2.00 137,1,2024-09-07 08:59:50:577,507246,507246,0,0,236856282933,2489955324,498605,6840,1801,366,391708,0 137,2,2024-09-07 08:59:51:705,363917,363917,0,0,18871682,0,3185 137,3,2024-09-07 08:59:50:770,1,289,110,0,382,3645,289,0 138,0,2024-09-07 08:59:51:777,75244,1.2,75481,1.0,151412,1.6,201541,2.25 138,1,2024-09-07 08:59:51:690,507185,507185,0,0,237071618213,2492397131,498882,6732,1571,368,391954,0 138,2,2024-09-07 08:59:50:586,364189,364189,0,0,17215015,0,4988 138,3,2024-09-07 08:59:50:625,1,289,1,0,1160,4177,289,0 139,0,2024-09-07 08:59:51:453,73248,1.5,73629,1.1,147276,2.2,196738,2.50 139,1,2024-09-07 08:59:50:575,505691,505691,0,0,235030414195,2500428399,495634,7538,2519,381,391892,0 139,2,2024-09-07 08:59:50:700,366264,366264,0,0,18119529,0,3097 139,3,2024-09-07 08:59:51:663,1,289,11,0,257,3222,289,0 140,0,2024-09-07 08:59:51:589,69252,0.3,68748,0.5,138329,0.2,184110,1.75 140,1,2024-09-07 08:59:51:541,510953,510953,0,0,237494503481,2450218989,508213,2319,421,365,391606,0 140,2,2024-09-07 08:59:50:690,364796,364796,0,0,13892106,0,3388 140,3,2024-09-07 08:59:50:772,1,289,1,0,247,2410,289,0 141,0,2024-09-07 08:59:51:698,68829,0.3,70762,0.4,135267,0.2,183691,1.50 141,1,2024-09-07 08:59:50:869,510587,510587,0,0,237921186436,2470670886,507086,3045,456,379,391614,0 141,2,2024-09-07 08:59:51:686,364255,364255,0,0,14206801,0,3360 141,3,2024-09-07 08:59:51:045,1,289,38,0,391,2930,289,0 142,0,2024-09-07 08:59:51:355,75799,0.3,75088,0.5,149812,0.3,200667,1.50 142,1,2024-09-07 08:59:50:584,508960,508960,0,0,236955192866,2471205489,505712,2948,300,382,392102,0 142,2,2024-09-07 08:59:51:305,365254,365222,32,0,15863118,0,6028 142,3,2024-09-07 08:59:51:755,1,289,32,0,484,3845,289,0 143,0,2024-09-07 08:59:51:394,75106,0.5,75059,0.6,150501,0.5,199950,1.75 143,1,2024-09-07 08:59:50:557,510232,510232,0,0,237441848506,2463181394,506920,3173,139,367,391651,0 143,2,2024-09-07 08:59:50:774,369326,369326,0,0,14953439,0,2750 143,3,2024-09-07 08:59:51:151,1,289,9,0,303,3604,289,0 144,0,2024-09-07 08:59:51:552,67944,0.6,69875,0.8,142266,0.5,186455,2.00 144,1,2024-09-07 08:59:50:565,507383,507383,0,0,235718149178,2473580061,502796,3651,936,381,391649,0 144,2,2024-09-07 08:59:51:756,364833,364833,0,0,13612689,0,3473 144,3,2024-09-07 08:59:51:740,1,289,1,0,249,3143,289,0 145,0,2024-09-07 08:59:51:449,66842,0.5,66876,0.8,141910,0.5,182772,2.25 145,1,2024-09-07 08:59:50:551,507266,507266,0,0,236672504446,2486292995,501401,5038,827,382,391698,0 145,2,2024-09-07 08:59:51:436,363150,363150,0,0,16356088,0,3903 145,3,2024-09-07 08:59:50:896,1,289,88,0,622,4389,289,0 146,0,2024-09-07 08:59:51:624,72841,0.5,72282,0.7,145669,0.4,193963,2.00 146,1,2024-09-07 08:59:51:588,508184,508184,0,0,236330629974,2488936341,499364,6926,1894,368,391770,0 146,2,2024-09-07 08:59:51:699,365597,365597,0,0,16933224,0,2730 146,3,2024-09-07 08:59:51:277,1,289,0,0,1520,6227,289,0 147,0,2024-09-07 08:59:51:726,76829,0.6,76596,0.8,152402,0.6,204178,2.00 147,1,2024-09-07 08:59:51:414,509837,509837,0,0,237402902957,2469656500,505315,3866,656,368,391791,0 147,2,2024-09-07 08:59:51:012,368431,368431,0,0,14335606,0,2789 147,3,2024-09-07 08:59:50:915,1,289,8,0,730,4226,289,0 0,0,2024-09-07 09:00:01:753,68979,0.5,68958,0.7,146416,0.5,189777,1.75 0,1,2024-09-07 09:00:00:827,510535,510535,0,0,238286832182,2490952375,506884,3442,209,370,391896,0 0,2,2024-09-07 09:00:01:086,367228,367228,0,0,14629024,0,4480 0,3,2024-09-07 09:00:00:996,1,290,6,0,319,3751,290,0 1,0,2024-09-07 09:00:01:769,77679,0.9,77223,1.0,155192,1.1,207075,2.00 1,1,2024-09-07 09:00:00:572,510165,510165,0,0,236739247173,2478276635,505591,3520,1054,370,391857,0 1,2,2024-09-07 09:00:00:666,365938,365938,0,0,13845817,0,3267 1,3,2024-09-07 09:00:01:319,1,290,11,0,262,3362,290,0 2,0,2024-09-07 09:00:01:570,74295,0.7,74580,0.8,147835,0.8,198147,2.00 2,1,2024-09-07 09:00:00:872,511561,511561,0,0,238395415126,2475498877,509249,2092,220,380,391745,0 2,2,2024-09-07 09:00:01:280,368830,368830,0,0,14279436,0,3594 2,3,2024-09-07 09:00:00:690,1,290,1,0,357,2627,290,0 3,0,2024-09-07 09:00:01:753,69360,0.4,69434,0.6,138623,0.4,184413,2.00 3,1,2024-09-07 09:00:01:623,510118,510118,0,0,237522368069,2473237898,505893,3741,484,380,391556,0 3,2,2024-09-07 09:00:01:144,366019,365996,23,0,14261764,0,5851 3,3,2024-09-07 09:00:01:767,1,290,8,0,103,1747,290,0 4,0,2024-09-07 09:00:01:773,67333,0.3,69305,0.5,140746,0.3,185059,1.75 4,1,2024-09-07 09:00:00:635,509790,509790,0,0,236619975451,2486800634,504208,4579,1003,371,391992,0 4,2,2024-09-07 09:00:01:044,364246,364246,0,0,17191289,0,4528 4,3,2024-09-07 09:00:01:034,1,290,22,0,448,4261,290,0 5,0,2024-09-07 09:00:01:463,75741,0.4,75959,0.5,151253,0.4,201502,1.75 5,1,2024-09-07 09:00:00:777,509669,509669,0,0,237537710618,2498349437,503206,5138,1325,368,392005,0 5,2,2024-09-07 09:00:01:849,365077,365077,0,0,15832976,0,2432 5,3,2024-09-07 09:00:01:732,1,290,1,0,457,4286,290,0 6,0,2024-09-07 09:00:00:957,75317,0.5,74837,0.7,149583,0.5,200036,2.00 6,1,2024-09-07 09:00:00:761,510272,510272,0,0,237055269316,2476248319,504921,4424,927,379,391694,0 6,2,2024-09-07 09:00:01:116,370251,370251,0,0,15552354,0,4816 6,3,2024-09-07 09:00:01:282,1,290,0,0,340,3345,290,0 7,0,2024-09-07 09:00:01:542,68669,0.5,68993,0.6,137492,0.5,182828,2.00 7,1,2024-09-07 09:00:00:864,509369,509369,0,0,237425216166,2491644255,502690,5569,1110,382,391747,0 7,2,2024-09-07 09:00:00:774,365119,365119,0,0,15086321,0,4791 7,3,2024-09-07 09:00:00:860,1,290,24,0,398,3400,290,0 8,0,2024-09-07 09:00:01:394,69084,0.4,68819,0.5,137595,0.3,183913,1.75 8,1,2024-09-07 09:00:01:031,508655,508655,0,0,236606863727,2497105405,499184,7259,2212,366,392144,0 8,2,2024-09-07 09:00:00:791,361126,361126,0,0,19126150,0,3220 8,3,2024-09-07 09:00:00:587,1,290,0,0,538,5099,290,0 9,0,2024-09-07 09:00:01:135,74887,0.4,72652,0.5,151943,0.3,199503,1.75 9,1,2024-09-07 09:00:00:566,508812,508812,0,0,237283493433,2501275860,501438,5730,1644,369,392001,0 9,2,2024-09-07 09:00:01:091,366284,366284,0,0,17220720,0,3360 9,3,2024-09-07 09:00:01:791,1,290,5,0,496,4468,290,0 10,0,2024-09-07 09:00:01:600,76198,0.3,75618,0.5,152005,0.3,202296,1.75 10,1,2024-09-07 09:00:00:613,509442,509442,0,0,238187161994,2497988845,502176,6279,987,381,391741,0 10,2,2024-09-07 09:00:00:774,369774,369774,0,0,18523899,0,4264 10,3,2024-09-07 09:00:00:881,1,290,5,0,296,2647,290,0 11,0,2024-09-07 09:00:01:008,70601,0.5,68575,0.7,143462,0.4,189639,1.75 11,1,2024-09-07 09:00:00:574,509806,509806,0,0,236797689416,2492372447,500320,7072,2414,383,391573,0 11,2,2024-09-07 09:00:01:126,364732,364732,0,0,16524776,0,4130 11,3,2024-09-07 09:00:01:307,1,290,10,0,843,4565,290,0 12,0,2024-09-07 09:00:00:966,70921,0.3,70872,0.5,141759,0.3,187806,1.75 12,1,2024-09-07 09:00:01:100,510250,510250,0,0,237859299654,2482148247,505824,3951,475,370,391870,0 12,2,2024-09-07 09:00:01:550,365989,365989,0,0,16151651,0,3469 12,3,2024-09-07 09:00:01:069,1,290,3,0,386,4776,290,0 13,0,2024-09-07 09:00:01:361,72872,0.4,72699,0.5,145373,0.3,193675,1.75 13,1,2024-09-07 09:00:01:544,509641,509641,0,0,236818843444,2489711433,504973,3403,1265,382,391740,0 13,2,2024-09-07 09:00:00:603,368291,368291,0,0,15105908,0,3287 13,3,2024-09-07 09:00:01:770,1,290,0,0,522,5026,290,0 14,0,2024-09-07 09:00:00:567,77874,0.4,78482,0.6,155316,0.4,206942,1.75 14,1,2024-09-07 09:00:01:577,512951,512951,0,0,238457286836,2469470520,509393,3284,274,364,391571,0 14,2,2024-09-07 09:00:00:768,367677,367677,0,0,14987482,0,2896 14,3,2024-09-07 09:00:01:120,1,290,3,0,1168,3956,290,0 15,0,2024-09-07 09:00:01:557,73263,0.5,73341,0.7,146613,0.4,195087,2.00 15,1,2024-09-07 09:00:01:626,511252,511252,0,0,237708021960,2471873104,508422,2652,178,381,391619,0 15,2,2024-09-07 09:00:01:002,368574,368574,0,0,12654696,0,3043 15,3,2024-09-07 09:00:01:418,1,290,2,0,1126,5593,290,0 16,0,2024-09-07 09:00:01:113,70044,0.6,70316,0.8,140123,0.7,186651,2.25 16,1,2024-09-07 09:00:00:579,511370,511370,0,0,237718592566,2481971718,507704,3364,302,370,391756,0 16,2,2024-09-07 09:00:01:440,365830,365830,0,0,15250751,0,4719 16,3,2024-09-07 09:00:01:145,1,290,18,0,317,4056,290,0 17,0,2024-09-07 09:00:01:871,72634,0.5,71013,0.7,138670,0.5,188499,2.00 17,1,2024-09-07 09:00:00:574,509783,509783,0,0,236757323919,2484724097,504540,4137,1106,368,391899,0 17,2,2024-09-07 09:00:01:706,369042,369042,0,0,15096819,0,2857 17,3,2024-09-07 09:00:00:577,1,290,1,0,268,4568,290,0 18,0,2024-09-07 09:00:00:954,75652,0.6,76173,0.8,151539,0.6,202525,2.25 18,1,2024-09-07 09:00:01:642,511403,511403,0,0,238387109042,2470378601,508576,2585,242,367,391649,0 18,2,2024-09-07 09:00:01:772,367409,367409,0,0,14243297,0,3541 18,3,2024-09-07 09:00:00:896,1,290,1,0,163,2653,290,0 19,0,2024-09-07 09:00:01:569,74100,0.6,74636,0.8,148014,0.6,197287,2.25 19,1,2024-09-07 09:00:00:569,511337,511337,0,0,238182070801,2472721903,506630,3920,787,367,391777,0 19,2,2024-09-07 09:00:01:752,371411,371411,0,0,13047117,0,3988 19,3,2024-09-07 09:00:01:129,1,290,10,0,524,2220,290,0 20,0,2024-09-07 09:00:01:395,68899,0.6,68924,0.7,137996,0.6,183938,2.00 20,1,2024-09-07 09:00:00:570,509920,509920,0,0,237299108707,2480608262,505926,3593,401,369,391886,0 20,2,2024-09-07 09:00:00:953,366214,366214,0,0,14787041,0,3721 20,3,2024-09-07 09:00:00:589,1,290,3,0,414,4930,290,0 21,0,2024-09-07 09:00:01:142,69142,0.5,69254,0.6,138274,0.4,183526,2.00 21,1,2024-09-07 09:00:01:576,508571,508571,0,0,236332454400,2489242924,501810,5135,1626,368,392016,0 21,2,2024-09-07 09:00:01:092,364024,364024,0,0,17566647,0,3747 21,3,2024-09-07 09:00:01:406,1,290,15,0,103,2969,290,0 22,0,2024-09-07 09:00:01:723,75268,0.5,75440,0.7,150931,0.4,200503,2.00 22,1,2024-09-07 09:00:01:031,509877,509877,0,0,236747104036,2490000243,502262,5948,1667,382,391667,0 22,2,2024-09-07 09:00:00:770,365872,365872,0,0,14989110,0,3134 22,3,2024-09-07 09:00:01:071,1,290,3,0,228,2555,290,0 23,0,2024-09-07 09:00:01:377,74806,0.6,74634,0.7,149563,0.6,199197,2.25 23,1,2024-09-07 09:00:01:013,510672,510672,0,0,237309822117,2490088783,502677,5328,2667,365,391690,0 23,2,2024-09-07 09:00:01:096,370798,370798,0,0,14504347,0,3010 23,3,2024-09-07 09:00:01:771,1,290,8,0,645,3553,290,0 24,0,2024-09-07 09:00:00:835,70791,0.4,70631,0.6,141802,0.4,187804,1.75 24,1,2024-09-07 09:00:00:589,509471,509471,0,0,236277561795,2481233177,503101,4848,1522,368,391987,0 24,2,2024-09-07 09:00:01:085,364103,364103,0,0,17728297,0,3607 24,3,2024-09-07 09:00:01:701,1,290,7,0,468,4130,290,0 25,0,2024-09-07 09:00:01:437,71063,0.4,69286,0.6,135951,0.4,185235,2.00 25,1,2024-09-07 09:00:00:569,510464,510464,0,0,237335490409,2488321091,504569,5077,818,371,391928,0 25,2,2024-09-07 09:00:01:624,364484,364484,0,0,18390678,0,3978 25,3,2024-09-07 09:00:01:012,1,290,1,0,255,3082,290,0 26,0,2024-09-07 09:00:01:720,73180,0.4,71425,0.6,149791,0.3,195647,1.75 26,1,2024-09-07 09:00:01:548,510424,510424,0,0,237129732305,2488233051,502647,6529,1248,381,391748,0 26,2,2024-09-07 09:00:00:877,367411,367411,0,0,18208569,0,2809 26,3,2024-09-07 09:00:01:717,1,290,3,0,796,3640,290,0 27,0,2024-09-07 09:00:01:732,77168,0.5,77251,0.6,152897,0.5,204300,2.00 27,1,2024-09-07 09:00:01:684,511996,511996,0,0,238653117781,2486907903,507194,4041,761,381,391626,0 27,2,2024-09-07 09:00:00:871,366702,366637,65,0,18234777,0,5699 27,3,2024-09-07 09:00:01:019,1,290,9,0,564,3038,290,0 28,0,2024-09-07 09:00:01:400,72432,0.4,72287,0.6,144821,0.4,192861,2.00 28,1,2024-09-07 09:00:00:799,511285,511285,0,0,238312222078,2484688868,507278,3333,674,383,391646,0 28,2,2024-09-07 09:00:01:769,365840,365840,0,0,14485102,0,2915 28,3,2024-09-07 09:00:01:780,1,290,1,0,502,2871,290,0 29,0,2024-09-07 09:00:01:368,72672,0.4,70868,0.6,138731,0.3,189442,1.75 29,1,2024-09-07 09:00:01:568,512304,512304,0,0,237944343933,2463725833,508814,2922,568,369,391753,0 29,2,2024-09-07 09:00:00:883,365640,365640,0,0,14302401,0,4233 29,3,2024-09-07 09:00:00:966,1,290,45,0,284,2465,290,0 30,0,2024-09-07 09:00:01:462,71054,0.5,68934,0.7,144249,0.4,189360,2.00 30,1,2024-09-07 09:00:00:574,512583,512583,0,0,238745339986,2476983562,509262,2874,447,382,391672,0 30,2,2024-09-07 09:00:01:282,367207,367207,0,0,13852848,0,3161 30,3,2024-09-07 09:00:00:581,1,290,1,0,519,2760,290,0 31,0,2024-09-07 09:00:01:763,77187,0.5,77546,0.7,155213,0.4,206751,2.00 31,1,2024-09-07 09:00:00:567,514290,514290,0,0,239654701475,2458454262,512226,1635,429,356,391712,0 31,2,2024-09-07 09:00:01:277,366653,366653,0,0,15386340,0,3525 31,3,2024-09-07 09:00:01:713,1,290,8,0,220,2232,290,0 32,0,2024-09-07 09:00:01:419,74187,0.3,74513,0.5,149084,0.3,198536,1.75 32,1,2024-09-07 09:00:00:810,512120,512120,0,0,238200918937,2471902726,509726,2115,279,381,391646,0 32,2,2024-09-07 09:00:00:949,369010,369010,0,0,13048820,0,3155 32,3,2024-09-07 09:00:01:017,1,290,1,0,227,2293,290,0 33,0,2024-09-07 09:00:01:491,69761,0.3,69210,0.4,139096,0.2,185346,1.75 33,1,2024-09-07 09:00:00:575,512553,512553,0,0,239166973926,2471614168,509610,2769,174,369,391730,0 33,2,2024-09-07 09:00:00:764,366874,366841,33,0,14464655,0,7012 33,3,2024-09-07 09:00:00:896,1,290,2,0,329,3277,290,0 34,0,2024-09-07 09:00:00:938,69344,0.3,71499,0.4,136939,0.2,184340,1.75 34,1,2024-09-07 09:00:01:048,513503,513503,0,0,238916710575,2456523239,512275,1221,7,367,391562,0 34,2,2024-09-07 09:00:00:773,365966,365966,0,0,14226595,0,3577 34,3,2024-09-07 09:00:01:692,1,290,74,0,299,2328,290,0 35,0,2024-09-07 09:00:00:867,75112,0.3,75514,0.5,151902,0.2,202092,1.75 35,1,2024-09-07 09:00:01:081,511605,511605,0,0,237429188012,2461815492,508142,2683,780,384,391589,0 35,2,2024-09-07 09:00:01:606,366772,366772,0,0,14352841,0,2653 35,3,2024-09-07 09:00:00:948,1,290,231,0,418,3772,290,0 36,0,2024-09-07 09:00:01:523,75150,0.5,75117,0.7,150182,0.5,199733,2.25 36,1,2024-09-07 09:00:00:583,511519,511519,0,0,237691458079,2483513460,505241,4694,1584,366,391759,0 36,2,2024-09-07 09:00:01:767,370356,370356,0,0,15942289,0,3875 36,3,2024-09-07 09:00:00:864,1,290,3,0,416,4589,290,0 37,0,2024-09-07 09:00:01:401,68627,0.5,68613,0.7,137363,0.5,183809,2.00 37,1,2024-09-07 09:00:00:570,510091,510084,0,7,236979105152,2478570149,503881,4132,2071,365,391560,0 37,2,2024-09-07 09:00:01:151,364297,364282,15,0,15729320,0,5815 37,3,2024-09-07 09:00:01:768,1,290,1,0,888,4673,290,0 38,0,2024-09-07 09:00:01:441,68283,0.4,66362,0.6,138905,0.4,181789,2.00 38,1,2024-09-07 09:00:01:626,511890,511890,0,0,238954928448,2489403042,506482,4732,676,368,391821,0 38,2,2024-09-07 09:00:00:764,364728,364681,47,0,16706370,0,6710 38,3,2024-09-07 09:00:00:998,1,290,1,0,689,3668,290,0 39,0,2024-09-07 09:00:01:778,76458,0.5,74842,0.7,145706,0.5,199691,2.00 39,1,2024-09-07 09:00:00:717,511019,511019,0,0,237736862572,2484907930,504223,5585,1211,365,391594,0 39,2,2024-09-07 09:00:01:421,366926,366926,0,0,14713562,0,2689 39,3,2024-09-07 09:00:00:733,1,290,39,0,324,3766,290,0 40,0,2024-09-07 09:00:01:493,75256,0.8,75923,1.0,151718,0.8,202033,3.00 40,1,2024-09-07 09:00:00:576,510843,510843,0,0,237251883219,2486635863,502998,6391,1454,368,391668,0 40,2,2024-09-07 09:00:01:317,369355,369354,1,0,18249517,0,5137 40,3,2024-09-07 09:00:01:146,1,290,0,0,398,3360,290,0 41,0,2024-09-07 09:00:01:031,70384,1.7,72087,1.3,137659,2.7,187621,3.25 41,1,2024-09-07 09:00:00:781,510386,510386,0,0,237592977114,2483977484,504509,5242,635,370,391742,0 41,2,2024-09-07 09:00:00:767,363785,363785,0,0,17083291,0,3356 41,3,2024-09-07 09:00:01:680,1,290,0,0,366,3126,290,0 42,0,2024-09-07 09:00:01:486,69781,0.7,69793,0.9,139926,0.7,184436,2.50 42,1,2024-09-07 09:00:01:445,509581,509581,0,0,236863859415,2491433592,501275,6743,1563,380,391675,0 42,2,2024-09-07 09:00:01:134,365720,365720,0,0,16780813,0,3568 42,3,2024-09-07 09:00:01:017,1,290,20,0,446,2537,290,0 43,0,2024-09-07 09:00:01:146,71986,0.8,70136,0.9,146863,0.8,193239,2.25 43,1,2024-09-07 09:00:00:576,510777,510777,0,0,238333675081,2493587080,503220,6192,1365,366,391696,0 43,2,2024-09-07 09:00:01:740,367084,367084,0,0,17283769,0,3812 43,3,2024-09-07 09:00:01:753,1,290,18,0,467,3645,290,0 44,0,2024-09-07 09:00:00:875,77677,0.5,78107,0.6,155725,0.4,207083,1.75 44,1,2024-09-07 09:00:00:569,512652,512652,0,0,237499452441,2452678513,509473,2609,570,356,391809,0 44,2,2024-09-07 09:00:01:273,366974,366974,0,0,14216798,0,4344 44,3,2024-09-07 09:00:01:102,1,290,6,0,817,3402,290,0 45,0,2024-09-07 09:00:01:759,72234,0.6,70554,0.8,148077,0.6,194843,2.00 45,1,2024-09-07 09:00:01:016,511830,511830,0,0,238518459831,2473439150,509486,2197,147,382,391917,0 45,2,2024-09-07 09:00:01:280,367364,367364,0,0,14318044,0,3596 45,3,2024-09-07 09:00:00:948,1,290,2,0,271,2984,290,0 46,0,2024-09-07 09:00:00:955,69528,0.5,69522,0.7,139222,0.5,184887,2.00 46,1,2024-09-07 09:00:00:575,513079,513079,0,0,238046320308,2457806173,510244,2508,327,366,391539,0 46,2,2024-09-07 09:00:00:593,366611,366611,0,0,13925295,0,2920 46,3,2024-09-07 09:00:01:137,1,290,18,0,908,4390,290,0 47,0,2024-09-07 09:00:01:117,70875,0.5,70922,0.6,142281,0.4,188212,1.75 47,1,2024-09-07 09:00:00:570,512519,512519,0,0,238457571831,2463480207,509513,2648,358,366,391641,0 47,2,2024-09-07 09:00:00:914,368646,368646,0,0,14337618,0,4477 47,3,2024-09-07 09:00:01:126,1,290,16,0,529,2733,290,0 48,0,2024-09-07 09:00:01:502,76933,0.3,76494,0.4,152635,0.2,204247,1.50 48,1,2024-09-07 09:00:01:051,511892,511892,0,0,238550632455,2477397792,508511,3080,301,384,391710,0 48,2,2024-09-07 09:00:00:699,366139,366139,0,0,13099928,0,3031 48,3,2024-09-07 09:00:00:759,1,290,1,0,339,2402,290,0 49,0,2024-09-07 09:00:01:721,76508,0.3,75085,0.5,145715,0.3,199677,1.75 49,1,2024-09-07 09:00:01:021,511011,511011,0,0,238076825882,2479910792,507073,2756,1182,382,391809,0 49,2,2024-09-07 09:00:01:797,371039,371039,0,0,13880941,0,3900 49,3,2024-09-07 09:00:01:421,1,290,48,0,408,3181,290,0 50,0,2024-09-07 09:00:01:507,69042,0.3,68440,0.5,137778,0.2,183665,1.75 50,1,2024-09-07 09:00:01:015,512742,512742,0,0,239700397483,2478136255,509540,2892,310,368,391540,0 50,2,2024-09-07 09:00:01:071,366117,366117,0,0,12902574,0,2263 50,3,2024-09-07 09:00:01:297,1,290,1,0,335,2430,290,0 51,0,2024-09-07 09:00:01:699,70830,0.3,69225,0.4,135048,0.2,183994,1.50 51,1,2024-09-07 09:00:01:680,513218,513218,0,0,239098505839,2467102268,510352,1926,940,365,391706,0 51,2,2024-09-07 09:00:01:341,365469,365469,0,0,12240886,0,3337 51,3,2024-09-07 09:00:01:031,1,290,1,0,678,2251,290,0 52,0,2024-09-07 09:00:01:426,75896,0.4,75652,0.6,151414,0.4,201263,2.00 52,1,2024-09-07 09:00:00:576,510914,510914,0,0,237177873183,2484586391,503447,6213,1254,368,391722,0 52,2,2024-09-07 09:00:01:768,363942,363904,38,0,16856637,0,6742 52,3,2024-09-07 09:00:00:674,1,290,0,0,1782,4679,290,0 53,0,2024-09-07 09:00:01:742,74615,0.7,72522,0.8,151563,0.8,198649,2.25 53,1,2024-09-07 09:00:00:773,509608,509608,0,0,237707694238,2495241165,501494,5665,2449,367,391702,0 53,2,2024-09-07 09:00:01:298,371082,371082,0,0,14197474,0,2727 53,3,2024-09-07 09:00:00:697,1,290,16,0,308,2722,290,0 54,0,2024-09-07 09:00:01:616,69180,0.6,69700,0.8,138344,0.5,185019,2.50 54,1,2024-09-07 09:00:00:581,511230,511230,0,0,238420887103,2478357915,506125,4506,599,367,391659,0 54,2,2024-09-07 09:00:00:871,365341,365335,6,0,16000780,0,5382 54,3,2024-09-07 09:00:00:776,1,290,0,0,676,4686,290,0 55,0,2024-09-07 09:00:01:778,66788,0.5,69266,0.7,139263,0.5,181561,2.25 55,1,2024-09-07 09:00:00:767,510564,510564,0,0,237798048718,2475932583,504380,5276,908,365,391731,0 55,2,2024-09-07 09:00:00:735,364870,364870,0,0,15765095,0,3563 55,3,2024-09-07 09:00:00:674,1,290,7,0,304,3101,290,0 56,0,2024-09-07 09:00:01:579,75040,1.1,70687,1.1,145713,1.5,195634,2.50 56,1,2024-09-07 09:00:00:570,508834,508834,0,0,236985990847,2506053415,500765,6521,1548,381,391867,0 56,2,2024-09-07 09:00:01:319,367320,367320,0,0,17347640,0,3567 56,3,2024-09-07 09:00:01:065,1,290,5,0,705,3967,290,0 57,0,2024-09-07 09:00:00:952,75807,1.7,75646,1.3,151558,2.4,202887,3.25 57,1,2024-09-07 09:00:01:008,510350,510350,0,0,237221098144,2485885235,504347,5299,704,367,392032,0 57,2,2024-09-07 09:00:01:353,368709,368709,0,0,18627978,0,3317 57,3,2024-09-07 09:00:01:743,1,290,1,0,359,3625,290,0 58,0,2024-09-07 09:00:00:558,71028,1.0,69156,1.0,144801,1.3,189502,2.50 58,1,2024-09-07 09:00:00:575,511214,511211,0,3,238098002651,2490099377,504265,6057,889,367,391603,3 58,2,2024-09-07 09:00:01:077,365644,365644,0,0,16632815,0,2549 58,3,2024-09-07 09:00:01:072,1,290,1,0,1043,3199,290,0 59,0,2024-09-07 09:00:01:741,70370,0.7,70078,0.9,139896,0.7,185959,2.75 59,1,2024-09-07 09:00:00:807,510315,510315,0,0,238105773987,2492860279,503657,5375,1283,369,391578,0 59,2,2024-09-07 09:00:00:585,367303,367303,0,0,15697818,0,2604 59,3,2024-09-07 09:00:01:750,1,290,8,0,1015,3973,290,0 60,0,2024-09-07 09:00:01:794,71210,0.5,71114,0.6,143202,0.4,190037,1.75 60,1,2024-09-07 09:00:00:776,512415,512415,0,0,238858549274,2476113023,509595,2294,526,370,392031,0 60,2,2024-09-07 09:00:01:152,366961,366961,0,0,16557343,0,3811 60,3,2024-09-07 09:00:01:274,1,290,12,0,175,3123,290,0 61,0,2024-09-07 09:00:01:510,77441,0.7,77884,0.8,154829,0.7,206605,2.00 61,1,2024-09-07 09:00:00:783,510358,510358,0,0,237282921618,2485884957,504514,4930,914,382,391880,0 61,2,2024-09-07 09:00:01:121,367224,367224,0,0,14979540,0,3598 61,3,2024-09-07 09:00:01:688,1,290,96,0,479,4434,290,0 62,0,2024-09-07 09:00:01:742,74811,0.6,76339,0.7,145485,0.6,198294,2.00 62,1,2024-09-07 09:00:01:115,513617,513611,0,6,239390925083,2470036657,510790,2660,161,365,391715,6 62,2,2024-09-07 09:00:01:645,367327,367326,1,0,16265102,0,5555 62,3,2024-09-07 09:00:01:147,1,290,1,0,465,2404,290,0 63,0,2024-09-07 09:00:01:460,69444,0.4,69583,0.6,139091,0.4,185019,1.75 63,1,2024-09-07 09:00:00:807,512192,512186,0,6,238258708623,2471843753,509365,2587,234,381,391677,6 63,2,2024-09-07 09:00:00:768,365986,365986,0,0,14125920,0,4369 63,3,2024-09-07 09:00:01:732,1,290,8,0,667,3218,290,0 64,0,2024-09-07 09:00:01:522,69036,0.4,69028,0.6,138110,0.4,184127,1.75 64,1,2024-09-07 09:00:00:763,511241,511241,0,0,238012856014,2479933354,506668,3157,1416,370,391783,0 64,2,2024-09-07 09:00:01:144,369381,369362,19,0,14203401,0,6121 64,3,2024-09-07 09:00:01:153,1,290,1,0,265,2709,290,0 65,0,2024-09-07 09:00:01:680,75183,0.6,75584,0.7,150773,0.6,201044,2.00 65,1,2024-09-07 09:00:00:866,510216,510216,0,0,237707614131,2484719126,506479,3289,448,382,391901,0 65,2,2024-09-07 09:00:01:693,366865,366865,0,0,15677738,0,3367 65,3,2024-09-07 09:00:01:687,1,290,1,0,163,2748,290,0 66,0,2024-09-07 09:00:01:775,74665,0.5,74576,0.7,149535,0.4,198842,2.00 66,1,2024-09-07 09:00:01:302,511587,511587,0,0,238220347490,2475078878,508671,2670,246,380,391653,0 66,2,2024-09-07 09:00:01:144,372262,372262,0,0,14774649,0,4956 66,3,2024-09-07 09:00:01:089,1,290,1,0,291,2925,290,0 67,0,2024-09-07 09:00:01:457,69457,0.6,68723,0.7,138282,0.6,183994,2.00 67,1,2024-09-07 09:00:00:777,511748,511747,0,1,238197912858,2482262633,507773,3225,749,380,391787,1 67,2,2024-09-07 09:00:00:584,366248,366248,0,0,13463326,0,2889 67,3,2024-09-07 09:00:01:753,1,290,8,0,338,2588,290,0 68,0,2024-09-07 09:00:00:573,69031,0.5,68788,0.7,137336,0.5,183535,2.00 68,1,2024-09-07 09:00:00:570,510468,510468,0,0,236935571271,2482586797,506136,3161,1171,381,391953,0 68,2,2024-09-07 09:00:01:046,363782,363717,65,0,17987684,0,6698 68,3,2024-09-07 09:00:00:734,1,290,2,0,417,3226,290,0 69,0,2024-09-07 09:00:01:754,74378,0.7,74802,0.8,148872,0.8,198333,2.25 69,1,2024-09-07 09:00:01:018,509453,509453,0,0,236760961239,2497236241,503049,4817,1587,384,391994,0 69,2,2024-09-07 09:00:01:745,366862,366862,0,0,18013979,0,3722 69,3,2024-09-07 09:00:00:763,1,290,2,0,698,4154,290,0 70,0,2024-09-07 09:00:01:570,75395,1.0,75477,1.1,151543,0.8,200638,2.50 70,1,2024-09-07 09:00:00:807,512396,512396,0,0,238539932183,2476804900,508134,3726,536,366,391725,0 70,2,2024-09-07 09:00:01:342,368976,368976,0,0,16411273,0,4044 70,3,2024-09-07 09:00:00:759,1,290,1,0,854,3426,290,0 71,0,2024-09-07 09:00:01:361,70424,1.0,70307,1.1,141070,1.3,188394,2.75 71,1,2024-09-07 09:00:01:600,510204,510204,0,0,237980757173,2482485489,504612,4949,643,368,391738,0 71,2,2024-09-07 09:00:01:073,365525,365525,0,0,16304816,0,4042 71,3,2024-09-07 09:00:01:753,1,290,4,0,644,3937,290,0 72,0,2024-09-07 09:00:01:028,72752,0.7,71081,0.8,138733,0.7,188299,2.00 72,1,2024-09-07 09:00:01:025,510137,510137,0,0,237141680380,2483857208,502951,5641,1545,369,391819,0 72,2,2024-09-07 09:00:01:769,364718,364718,0,0,18558154,0,3983 72,3,2024-09-07 09:00:01:767,1,290,0,0,564,4833,290,0 73,0,2024-09-07 09:00:01:135,70764,0.4,72354,0.6,148428,0.3,192837,2.00 73,1,2024-09-07 09:00:00:769,511281,511281,0,0,238083307284,2474710524,507671,3319,291,367,391750,0 73,2,2024-09-07 09:00:01:760,367778,367778,0,0,18092604,0,3701 73,3,2024-09-07 09:00:00:990,1,290,3,0,274,4082,290,0 74,0,2024-09-07 09:00:01:340,78169,0.5,80028,0.7,152885,0.5,206862,2.25 74,1,2024-09-07 09:00:00:636,510766,510766,0,0,237488631704,2480206280,505672,4126,968,381,391681,0 74,2,2024-09-07 09:00:01:018,367204,367204,0,0,17358758,0,4253 74,3,2024-09-07 09:00:01:451,1,290,8,0,522,3726,290,0 75,0,2024-09-07 09:00:01:775,73452,0.5,73179,0.7,146468,0.4,195939,2.25 75,1,2024-09-07 09:00:01:604,510002,510002,0,0,237792180088,2487009735,504195,5002,805,380,391660,0 75,2,2024-09-07 09:00:01:354,366301,366301,0,0,17063723,0,4766 75,3,2024-09-07 09:00:01:070,1,290,1,0,702,4154,290,0 76,0,2024-09-07 09:00:00:598,69563,0.6,68996,0.8,138620,0.6,185765,2.50 76,1,2024-09-07 09:00:00:811,511105,511105,0,0,237839818816,2480646120,507658,2894,553,382,391692,0 76,2,2024-09-07 09:00:01:065,367057,367056,1,0,15478756,0,5144 76,3,2024-09-07 09:00:01:147,1,290,1,0,175,2922,290,0 77,0,2024-09-07 09:00:01:717,70547,0.6,70816,0.8,141431,0.6,187950,2.00 77,1,2024-09-07 09:00:00:829,511313,511313,0,0,238207963891,2486609657,507475,3575,263,381,391869,0 77,2,2024-09-07 09:00:01:281,366573,366573,0,0,15565708,0,3890 77,3,2024-09-07 09:00:01:104,1,290,1,0,401,3528,290,0 78,0,2024-09-07 09:00:01:720,76656,0.4,76205,0.6,153095,0.4,203680,2.00 78,1,2024-09-07 09:00:00:610,510728,510728,0,0,237315981509,2470699645,505772,3963,993,367,391670,0 78,2,2024-09-07 09:00:01:412,366407,366407,0,0,13714084,0,3855 78,3,2024-09-07 09:00:01:136,1,290,5,0,181,2699,290,0 79,0,2024-09-07 09:00:01:349,72234,0.4,74088,0.6,151483,0.4,197115,2.25 79,1,2024-09-07 09:00:00:574,512820,512820,0,0,238821637116,2472436878,509104,3271,445,369,391682,0 79,2,2024-09-07 09:00:01:072,370818,370818,0,0,14143491,0,3212 79,3,2024-09-07 09:00:00:766,1,290,8,0,418,4038,290,0 80,0,2024-09-07 09:00:01:100,68998,0.6,70980,0.7,135927,0.6,183467,2.00 80,1,2024-09-07 09:00:01:620,510950,510950,0,0,238129414172,2477879390,507682,3091,177,368,391791,0 80,2,2024-09-07 09:00:01:095,366892,366892,0,0,13805382,0,4433 80,3,2024-09-07 09:00:00:581,1,290,12,0,190,3732,290,0 81,0,2024-09-07 09:00:01:553,68890,0.5,70754,0.6,135140,0.4,182986,1.75 81,1,2024-09-07 09:00:01:666,510180,510180,0,0,237569433071,2482535147,505930,3774,476,382,391879,0 81,2,2024-09-07 09:00:01:150,365308,365308,0,0,14484538,0,3993 81,3,2024-09-07 09:00:01:129,1,290,4,0,374,3548,290,0 82,0,2024-09-07 09:00:01:531,75137,0.4,75586,0.6,151415,0.3,201338,1.75 82,1,2024-09-07 09:00:00:584,512054,512050,0,4,238295814959,2480290935,508714,2724,612,381,391768,4 82,2,2024-09-07 09:00:01:695,368059,368059,0,0,13583929,0,3986 82,3,2024-09-07 09:00:01:771,1,290,8,0,363,3068,290,0 83,0,2024-09-07 09:00:01:526,75245,0.5,75176,0.7,149345,0.5,198961,2.00 83,1,2024-09-07 09:00:00:555,510968,510968,0,0,238065782502,2481631349,507401,3287,280,382,391709,0 83,2,2024-09-07 09:00:00:771,370270,370270,0,0,13897511,0,3119 83,3,2024-09-07 09:00:00:759,1,290,8,0,250,3196,290,0 84,0,2024-09-07 09:00:01:775,69792,0.7,69664,0.9,139455,0.6,186476,2.25 84,1,2024-09-07 09:00:01:041,510347,510347,0,0,237340637861,2478797196,505399,4363,585,367,391967,0 84,2,2024-09-07 09:00:00:603,365401,365401,0,0,15771442,0,3801 84,3,2024-09-07 09:00:01:147,1,290,5,0,908,4573,290,0 85,0,2024-09-07 09:00:01:029,66877,0.6,66867,0.8,141681,0.6,183101,2.25 85,1,2024-09-07 09:00:00:572,509782,509782,0,0,237350619371,2504838328,502351,6311,1120,381,392006,0 85,2,2024-09-07 09:00:00:872,366346,366346,0,0,16750086,0,3656 85,3,2024-09-07 09:00:00:697,1,290,15,0,789,3783,290,0 86,0,2024-09-07 09:00:00:923,73357,0.6,75467,0.7,144257,0.6,195286,2.00 86,1,2024-09-07 09:00:00:829,511116,511116,0,0,237832696645,2486206435,505610,4673,833,366,391961,0 86,2,2024-09-07 09:00:00:874,366565,366564,1,0,17725962,0,5004 86,3,2024-09-07 09:00:00:586,1,290,1,0,286,4021,290,0 87,0,2024-09-07 09:00:01:298,76494,1.0,76468,0.9,153619,1.4,204626,2.25 87,1,2024-09-07 09:00:00:560,510313,510313,0,0,237395623622,2482158045,505199,4612,502,366,392076,0 87,2,2024-09-07 09:00:01:081,368000,368000,0,0,15900515,0,4045 87,3,2024-09-07 09:00:01:810,1,290,20,0,473,4198,290,0 88,0,2024-09-07 09:00:01:537,72303,0.5,72736,0.6,145068,0.5,192616,2.00 88,1,2024-09-07 09:00:00:575,508857,508857,0,0,237083533889,2489496588,501528,5678,1651,365,392084,0 88,2,2024-09-07 09:00:00:688,365750,365750,0,0,17891163,0,3583 88,3,2024-09-07 09:00:01:270,1,290,1,0,435,3408,290,0 89,0,2024-09-07 09:00:01:797,72759,0.5,70372,0.7,139701,0.4,189339,1.75 89,1,2024-09-07 09:00:00:564,509076,509076,0,0,237330162018,2501604042,501752,6059,1265,382,391866,0 89,2,2024-09-07 09:00:01:147,364907,364907,0,0,17421756,0,2910 89,3,2024-09-07 09:00:01:805,1,290,7,0,385,5736,290,0 90,0,2024-09-07 09:00:01:651,69256,0.4,70755,0.6,144987,0.4,189222,1.75 90,1,2024-09-07 09:00:00:609,510493,510493,0,0,236889428514,2482545416,505638,4532,323,380,391825,0 90,2,2024-09-07 09:00:01:418,365771,365771,0,0,18811266,0,3060 90,3,2024-09-07 09:00:00:936,1,290,1,0,200,3070,290,0 91,0,2024-09-07 09:00:00:934,77844,0.5,75636,0.7,157639,0.5,207192,1.75 91,1,2024-09-07 09:00:00:564,509205,509205,0,0,237857169877,2503631431,502195,6119,891,381,392047,0 91,2,2024-09-07 09:00:01:353,366381,366381,0,0,16751327,0,2896 91,3,2024-09-07 09:00:00:598,1,290,8,0,216,2652,290,0 92,0,2024-09-07 09:00:01:442,74874,0.5,76718,0.6,146698,0.5,198590,1.75 92,1,2024-09-07 09:00:00:580,510838,510838,0,0,237665690188,2480353107,507510,2848,480,382,392136,0 92,2,2024-09-07 09:00:01:369,369939,369939,0,0,14287498,0,2801 92,3,2024-09-07 09:00:01:027,1,290,4,0,167,2493,290,0 93,0,2024-09-07 09:00:00:955,69872,0.4,71558,0.6,136797,0.3,185504,1.75 93,1,2024-09-07 09:00:00:810,510894,510894,0,0,237695920138,2481196853,505870,4231,793,366,391776,0 93,2,2024-09-07 09:00:00:941,365886,365886,0,0,16133477,0,4311 93,3,2024-09-07 09:00:01:421,1,290,38,0,190,2942,290,0 94,0,2024-09-07 09:00:01:661,69079,0.3,69849,0.5,139236,0.3,185027,1.75 94,1,2024-09-07 09:00:00:576,510653,510653,0,0,237932859876,2485640799,506878,3591,184,381,391850,0 94,2,2024-09-07 09:00:00:791,365763,365763,0,0,14396993,0,2443 94,3,2024-09-07 09:00:01:695,1,290,16,0,264,3716,290,0 95,0,2024-09-07 09:00:01:400,75723,0.3,75674,0.5,152095,0.3,201905,1.75 95,1,2024-09-07 09:00:00:860,511123,511123,0,0,238463587135,2480353742,506925,3819,379,367,391713,0 95,2,2024-09-07 09:00:01:016,365983,365983,0,0,14706516,0,3308 95,3,2024-09-07 09:00:01:713,1,290,14,0,718,4858,290,0 96,0,2024-09-07 09:00:01:093,75222,0.4,75330,0.5,150504,0.3,199386,1.75 96,1,2024-09-07 09:00:01:620,510555,510555,0,0,238073254631,2485287629,506641,3175,739,384,391955,0 96,2,2024-09-07 09:00:01:269,370570,370570,0,0,15198833,0,4042 96,3,2024-09-07 09:00:01:146,1,290,1,0,411,3197,290,0 97,0,2024-09-07 09:00:01:350,69260,0.3,68866,0.5,138511,0.3,183808,1.75 97,1,2024-09-07 09:00:00:771,512646,512646,0,0,238550499859,2471955406,509578,2509,559,367,392140,0 97,2,2024-09-07 09:00:00:608,366064,366064,0,0,14073105,0,3036 97,3,2024-09-07 09:00:00:574,1,290,1,0,214,3341,290,0 98,0,2024-09-07 09:00:01:708,68923,0.3,68843,0.4,138315,0.2,183765,1.50 98,1,2024-09-07 09:00:00:575,512081,512081,0,0,238340456211,2478014227,509913,2067,101,382,391997,0 98,2,2024-09-07 09:00:00:781,365502,365502,0,0,14315983,0,3080 98,3,2024-09-07 09:00:00:711,1,290,79,0,840,5066,290,0 99,0,2024-09-07 09:00:01:467,74604,0.3,75051,0.4,149494,0.2,199867,1.50 99,1,2024-09-07 09:00:01:764,511432,511432,0,0,237855422286,2477135245,507600,3089,743,381,392069,0 99,2,2024-09-07 09:00:01:421,369088,369088,0,0,15333091,0,3106 99,3,2024-09-07 09:00:00:583,1,290,9,0,187,2391,290,0 100,0,2024-09-07 09:00:01:499,75815,0.8,75744,1.0,151665,1.1,202499,2.50 100,1,2024-09-07 09:00:00:556,508003,508003,0,0,236391307830,2498474140,500472,6054,1477,381,391989,0 100,2,2024-09-07 09:00:01:828,368435,368424,11,0,17070185,0,5417 100,3,2024-09-07 09:00:01:742,1,290,5,0,559,5080,290,0 101,0,2024-09-07 09:00:01:752,72488,1.3,70525,1.1,138492,1.1,190008,2.50 101,1,2024-09-07 09:00:00:563,508781,508781,0,0,237068448218,2497737802,500441,6361,1979,368,391769,0 101,2,2024-09-07 09:00:01:772,363656,363656,0,0,18562556,0,4644 101,3,2024-09-07 09:00:00:947,1,290,3,0,448,3526,290,0 102,0,2024-09-07 09:00:00:958,68690,0.6,71076,0.8,143296,0.6,187370,2.00 102,1,2024-09-07 09:00:01:157,509551,509551,0,0,237301764088,2492911687,503030,5615,906,369,391883,0 102,2,2024-09-07 09:00:01:753,366640,366586,54,0,16225181,0,6768 102,3,2024-09-07 09:00:01:620,1,290,1,0,410,3049,290,0 103,0,2024-09-07 09:00:01:637,74707,0.5,74780,0.6,140937,0.4,194460,1.75 103,1,2024-09-07 09:00:01:634,508788,508788,0,0,236856487131,2499451362,500784,6113,1891,381,391829,0 103,2,2024-09-07 09:00:00:583,366391,366391,0,0,16621318,0,3173 103,3,2024-09-07 09:00:00:766,1,290,14,0,916,3811,290,0 104,0,2024-09-07 09:00:01:009,76783,0.8,77232,1.0,153129,0.8,206105,2.25 104,1,2024-09-07 09:00:01:599,509814,509814,0,0,236652620108,2493913089,501317,6838,1659,365,392168,0 104,2,2024-09-07 09:00:01:681,366102,366102,0,0,18305211,0,3941 104,3,2024-09-07 09:00:01:418,1,290,1,0,1245,6718,290,0 105,0,2024-09-07 09:00:01:033,72538,1.0,70628,1.2,147496,1.3,194333,3.25 105,1,2024-09-07 09:00:00:567,510136,510136,0,0,237431801383,2495420231,502442,6395,1299,366,391797,0 105,2,2024-09-07 09:00:01:334,366527,366527,0,0,17375716,0,3509 105,3,2024-09-07 09:00:01:310,1,290,8,0,399,4630,290,0 106,0,2024-09-07 09:00:00:978,67466,0.9,69112,1.0,141501,0.9,185248,2.50 106,1,2024-09-07 09:00:01:763,509534,509534,0,0,235881313017,2483291085,501077,7453,1004,369,391865,0 106,2,2024-09-07 09:00:00:771,364233,364233,0,0,16602222,0,2795 106,3,2024-09-07 09:00:00:678,1,290,6,0,470,4059,290,0 107,0,2024-09-07 09:00:01:116,70442,0.8,70319,0.9,140787,0.8,187797,2.00 107,1,2024-09-07 09:00:00:586,508617,508617,0,0,236524580690,2493289118,501673,6228,716,381,392234,0 107,2,2024-09-07 09:00:01:319,365091,365090,1,0,17682981,0,5024 107,3,2024-09-07 09:00:01:772,1,290,1,0,353,3910,290,0 108,0,2024-09-07 09:00:01:781,76386,0.4,76615,0.6,152514,0.4,204102,1.75 108,1,2024-09-07 09:00:01:327,510515,510515,0,0,237908500821,2481115806,506455,3641,419,368,391857,0 108,2,2024-09-07 09:00:01:768,364946,364946,0,0,16234938,0,4246 108,3,2024-09-07 09:00:01:341,1,290,0,0,749,6047,290,0 109,0,2024-09-07 09:00:01:757,75072,0.4,74302,0.6,148967,0.3,199308,1.75 109,1,2024-09-07 09:00:00:583,508967,508967,0,0,238005794402,2497259559,504390,3929,648,383,392132,0 109,2,2024-09-07 09:00:00:945,367896,367896,0,0,16392638,0,3617 109,3,2024-09-07 09:00:01:159,1,290,6,0,249,3204,290,0 110,0,2024-09-07 09:00:01:764,69152,0.4,67207,0.6,140738,0.3,184172,1.75 110,1,2024-09-07 09:00:01:652,512149,512149,0,0,237651069221,2467622986,508683,2557,909,369,392045,0 110,2,2024-09-07 09:00:01:309,366128,366128,0,0,15787641,0,4067 110,3,2024-09-07 09:00:00:690,1,290,4,0,406,3685,290,0 111,0,2024-09-07 09:00:01:426,69306,0.4,68717,0.5,137553,0.3,184359,1.75 111,1,2024-09-07 09:00:01:012,512193,512193,0,0,238787799438,2476648247,509727,2123,343,382,391690,0 111,2,2024-09-07 09:00:01:121,364949,364949,0,0,14856255,0,2763 111,3,2024-09-07 09:00:00:916,1,290,15,0,379,3773,290,0 112,0,2024-09-07 09:00:00:971,75924,0.3,75401,0.4,151138,0.2,201434,1.50 112,1,2024-09-07 09:00:00:829,512302,512302,0,0,238464806486,2472487219,509613,2253,436,380,391624,0 112,2,2024-09-07 09:00:01:144,366797,366796,1,0,14677674,0,5036 112,3,2024-09-07 09:00:00:598,1,290,1,0,282,3093,290,0 113,0,2024-09-07 09:00:00:889,74901,0.3,74947,0.5,150479,0.2,200442,1.50 113,1,2024-09-07 09:00:01:694,513044,513044,0,0,239581512097,2471659318,510298,2173,573,366,391661,0 113,2,2024-09-07 09:00:01:308,371866,371866,0,0,13184211,0,3813 113,3,2024-09-07 09:00:00:691,1,290,2,0,340,3843,290,0 114,0,2024-09-07 09:00:00:877,70663,0.4,71122,0.5,141298,0.2,188505,1.75 114,1,2024-09-07 09:00:00:717,511453,511453,0,0,238371839435,2479556086,506619,3245,1589,381,391556,0 114,2,2024-09-07 09:00:00:884,365521,365520,1,0,14233431,0,5069 114,3,2024-09-07 09:00:01:281,1,290,5,0,395,2863,290,0 115,0,2024-09-07 09:00:00:556,69349,0.3,69629,0.4,139468,0.2,185215,1.50 115,1,2024-09-07 09:00:00:574,511503,511503,0,0,237980131967,2473350453,507057,3607,839,382,391656,0 115,2,2024-09-07 09:00:01:130,366580,366580,0,0,12910280,0,2346 115,3,2024-09-07 09:00:01:006,1,290,2,0,159,1702,290,0 116,0,2024-09-07 09:00:01:727,73123,0.7,72990,0.8,146297,0.7,196059,2.00 116,1,2024-09-07 09:00:00:811,508844,508844,0,0,236620206153,2498486367,502280,4507,2057,380,391782,0 116,2,2024-09-07 09:00:01:770,366280,366280,0,0,18416663,0,3529 116,3,2024-09-07 09:00:00:924,1,290,1,0,415,3913,290,0 117,0,2024-09-07 09:00:00:993,77154,0.9,76752,0.9,153434,1.1,205021,2.00 117,1,2024-09-07 09:00:01:594,509771,509771,0,0,237322485951,2484084651,504379,4842,550,370,392033,0 117,2,2024-09-07 09:00:01:118,370890,370890,0,0,15061107,0,3700 117,3,2024-09-07 09:00:01:067,1,290,6,0,490,4425,290,0 118,0,2024-09-07 09:00:01:803,70221,0.6,72248,0.7,146972,0.5,191875,2.00 118,1,2024-09-07 09:00:00:588,509797,509797,0,0,236769841291,2489759605,502393,5579,1825,366,391736,0 118,2,2024-09-07 09:00:01:619,365155,365155,0,0,15992218,0,2781 118,3,2024-09-07 09:00:01:790,1,290,6,0,235,3277,290,0 119,0,2024-09-07 09:00:01:367,70297,0.8,70730,0.8,141526,0.8,188242,2.25 119,1,2024-09-07 09:00:00:597,510951,510951,0,0,237974393286,2487441899,505478,4806,667,367,391780,0 119,2,2024-09-07 09:00:01:270,366455,366455,0,0,15176341,0,4174 119,3,2024-09-07 09:00:01:352,1,290,10,0,443,3966,290,0 120,0,2024-09-07 09:00:01:550,70859,0.6,70669,0.8,141481,0.6,189772,2.25 120,1,2024-09-07 09:00:01:060,510108,510108,0,0,237577871570,2493338085,504558,5129,421,368,391961,0 120,2,2024-09-07 09:00:00:799,366310,366309,1,0,18851528,0,5281 120,3,2024-09-07 09:00:01:320,1,290,7,0,241,3590,290,0 121,0,2024-09-07 09:00:01:698,77466,1.2,77426,1.1,155434,1.6,206616,2.25 121,1,2024-09-07 09:00:01:660,510303,510303,0,0,237847266685,2490675112,504928,4947,428,367,391840,0 121,2,2024-09-07 09:00:01:127,365392,365392,0,0,18025129,0,4127 121,3,2024-09-07 09:00:00:741,1,290,9,0,269,3539,290,0 122,0,2024-09-07 09:00:01:817,74028,0.8,72124,0.9,151234,0.9,198619,2.00 122,1,2024-09-07 09:00:00:884,509301,509301,0,0,237179001483,2492322954,501717,6451,1133,366,392130,0 122,2,2024-09-07 09:00:01:357,367527,367454,73,0,20273694,0,5989 122,3,2024-09-07 09:00:00:597,1,290,1,0,411,5800,290,0 123,0,2024-09-07 09:00:01:090,69237,0.8,67646,0.8,141119,1.0,184736,2.25 123,1,2024-09-07 09:00:00:589,509539,509539,0,0,237164577605,2503142943,499627,7972,1940,369,392039,0 123,2,2024-09-07 09:00:01:124,363717,363716,1,0,16950978,0,5215 123,3,2024-09-07 09:00:01:166,1,290,126,0,168,3443,290,0 124,0,2024-09-07 09:00:00:937,71558,0.3,71567,0.5,134943,0.3,185669,1.75 124,1,2024-09-07 09:00:01:033,511687,511687,0,0,237682629254,2475149352,507572,3215,900,367,392178,0 124,2,2024-09-07 09:00:01:016,366237,366237,0,0,14289338,0,3101 124,3,2024-09-07 09:00:00:771,1,290,0,0,490,2986,290,0 125,0,2024-09-07 09:00:01:486,75940,0.3,75631,0.5,152035,0.3,202064,1.75 125,1,2024-09-07 09:00:00:867,509682,509682,0,0,237975837058,2483947948,505836,3397,449,383,391702,0 125,2,2024-09-07 09:00:01:126,366342,366342,0,0,15659902,0,4534 125,3,2024-09-07 09:00:01:137,1,290,18,0,709,3878,290,0 126,0,2024-09-07 09:00:01:442,75155,0.4,77183,0.6,147496,0.4,200286,1.75 126,1,2024-09-07 09:00:00:558,512127,512127,0,0,238454853233,2470824033,509242,2616,269,365,391987,0 126,2,2024-09-07 09:00:00:619,371672,371672,0,0,15496660,0,3186 126,3,2024-09-07 09:00:00:961,1,290,12,0,207,3924,290,0 127,0,2024-09-07 09:00:01:622,68877,0.3,69319,0.5,138209,0.3,183744,1.75 127,1,2024-09-07 09:00:00:578,511497,511497,0,0,237647369894,2466652322,507323,3660,514,365,391816,0 127,2,2024-09-07 09:00:00:651,365756,365756,0,0,13866433,0,2264 127,3,2024-09-07 09:00:01:278,1,290,386,0,968,3631,290,0 128,0,2024-09-07 09:00:01:562,69234,0.3,69259,0.4,138373,0.2,184168,1.50 128,1,2024-09-07 09:00:01:738,511185,511185,0,0,238225948439,2473354940,507920,2979,286,367,391798,0 128,2,2024-09-07 09:00:01:406,366503,366503,0,0,13639330,0,2434 128,3,2024-09-07 09:00:00:767,1,290,0,0,1082,5507,290,0 129,0,2024-09-07 09:00:01:018,75193,0.3,74820,0.4,149798,0.2,199693,1.50 129,1,2024-09-07 09:00:00:624,508512,508512,0,0,236527013046,2476806912,504115,3464,933,379,391835,0 129,2,2024-09-07 09:00:00:700,367852,367852,0,0,14676540,0,4031 129,3,2024-09-07 09:00:00:691,1,290,1,0,469,3849,290,0 130,0,2024-09-07 09:00:01:757,76733,0.6,76305,0.6,153183,0.6,203638,1.75 130,1,2024-09-07 09:00:00:583,511281,511281,0,0,237887337751,2472241640,508819,2382,80,381,391825,0 130,2,2024-09-07 09:00:01:131,371280,371280,0,0,14609921,0,4067 130,3,2024-09-07 09:00:01:308,1,290,4,0,450,3746,290,0 131,0,2024-09-07 09:00:01:953,70931,0.4,71393,0.5,143333,0.3,189789,1.75 131,1,2024-09-07 09:00:01:871,511887,511887,0,0,237960423173,2480157057,508733,2692,462,381,391865,0 131,2,2024-09-07 09:00:00:571,367484,367484,0,0,12950959,0,2415 131,3,2024-09-07 09:00:01:691,1,290,9,0,392,3030,290,0 132,0,2024-09-07 09:00:01:421,70246,0.5,71154,0.6,141698,0.4,187854,2.00 132,1,2024-09-07 09:00:00:591,508541,508541,0,0,237296846648,2502795419,500445,6636,1460,381,392097,0 132,2,2024-09-07 09:00:00:701,365837,365837,0,0,16953073,0,4606 132,3,2024-09-07 09:00:01:694,1,290,1,0,356,3880,290,0 133,0,2024-09-07 09:00:01:519,70770,0.4,72314,0.5,148254,0.3,193008,1.75 133,1,2024-09-07 09:00:00:589,509378,509378,0,0,236540511590,2492621463,502468,5917,993,383,391914,0 133,2,2024-09-07 09:00:01:107,366575,366575,0,0,18594503,0,4315 133,3,2024-09-07 09:00:01:309,1,290,7,0,276,2786,290,0 134,0,2024-09-07 09:00:01:064,77825,0.5,77789,0.7,155456,0.5,207181,2.00 134,1,2024-09-07 09:00:00:584,509450,509450,0,0,237007247792,2488719696,502474,5499,1477,366,391718,0 134,2,2024-09-07 09:00:01:824,367406,367406,0,0,15631318,0,3847 134,3,2024-09-07 09:00:00:793,1,290,57,0,739,4089,290,0 135,0,2024-09-07 09:00:01:174,70851,0.7,70833,0.9,150303,0.8,194142,2.00 135,1,2024-09-07 09:00:01:612,509328,509328,0,0,237482787026,2500459015,501916,6222,1190,380,391805,0 135,2,2024-09-07 09:00:00:696,367366,367366,0,0,16971377,0,3981 135,3,2024-09-07 09:00:01:013,1,290,8,0,299,2216,290,0 136,0,2024-09-07 09:00:01:643,70383,0.6,70252,0.7,140170,0.6,187226,2.25 136,1,2024-09-07 09:00:01:451,509472,509472,0,0,237063563769,2494166737,502989,5817,666,382,391685,0 136,2,2024-09-07 09:00:01:160,366177,366177,0,0,16834507,0,3506 136,3,2024-09-07 09:00:01:121,1,290,3,0,301,2885,290,0 137,0,2024-09-07 09:00:00:987,72670,0.7,70610,0.8,138985,0.8,189009,2.00 137,1,2024-09-07 09:00:00:578,509013,509013,0,0,237502867080,2496763092,500371,6841,1801,366,391708,0 137,2,2024-09-07 09:00:01:713,365455,365455,0,0,18941608,0,3185 137,3,2024-09-07 09:00:00:801,1,290,4,0,382,3649,290,0 138,0,2024-09-07 09:00:01:768,75707,1.2,75981,1.0,152369,1.7,202819,2.25 138,1,2024-09-07 09:00:01:695,508994,508994,0,0,237933655496,2501859736,500625,6797,1572,368,391954,0 138,2,2024-09-07 09:00:00:589,365324,365324,0,0,17250908,0,4988 138,3,2024-09-07 09:00:00:610,1,290,1,0,1160,4178,290,0 139,0,2024-09-07 09:00:01:382,73582,1.5,73950,1.1,147914,2.2,197549,2.50 139,1,2024-09-07 09:00:00:575,507429,507429,0,0,235694134663,2507431076,497369,7541,2519,381,391892,0 139,2,2024-09-07 09:00:00:702,367234,367234,0,0,18181692,0,3097 139,3,2024-09-07 09:00:01:678,1,290,9,0,257,3231,290,0 140,0,2024-09-07 09:00:01:613,69401,0.3,68904,0.5,138630,0.2,184510,1.75 140,1,2024-09-07 09:00:01:542,512643,512643,0,0,238421563851,2459685721,509903,2319,421,365,391606,0 140,2,2024-09-07 09:00:00:692,366279,366279,0,0,13990631,0,3388 140,3,2024-09-07 09:00:00:786,1,290,1,0,247,2411,290,0 141,0,2024-09-07 09:00:01:706,69087,0.3,71032,0.4,135777,0.2,184300,1.50 141,1,2024-09-07 09:00:00:867,512309,512309,0,0,238816643891,2479921045,508808,3045,456,379,391614,0 141,2,2024-09-07 09:00:01:694,365528,365528,0,0,14335831,0,3360 141,3,2024-09-07 09:00:01:047,1,290,47,0,391,2977,290,0 142,0,2024-09-07 09:00:01:386,76158,0.3,75494,0.5,150618,0.3,201700,1.50 142,1,2024-09-07 09:00:00:587,511042,511042,0,0,238012272902,2483648872,507434,3259,349,382,392102,0 142,2,2024-09-07 09:00:01:323,366429,366397,32,0,15931062,0,6028 142,3,2024-09-07 09:00:01:750,1,290,13,0,484,3858,290,0 143,0,2024-09-07 09:00:01:466,75271,0.5,75245,0.6,150848,0.5,200443,1.75 143,1,2024-09-07 09:00:00:582,511908,511908,0,0,238260052159,2471879742,508589,3180,139,367,391651,0 143,2,2024-09-07 09:00:00:789,370609,370609,0,0,15007910,0,2750 143,3,2024-09-07 09:00:01:167,1,290,8,0,303,3612,290,0 144,0,2024-09-07 09:00:01:526,68036,0.6,69964,0.8,142487,0.5,186694,2.00 144,1,2024-09-07 09:00:00:571,509008,509008,0,0,236687545186,2483634984,504421,3651,936,381,391649,0 144,2,2024-09-07 09:00:01:772,366209,366209,0,0,13668986,0,3473 144,3,2024-09-07 09:00:01:746,1,290,8,0,249,3151,290,0 145,0,2024-09-07 09:00:01:377,66923,0.5,66962,0.8,142093,0.5,183095,2.25 145,1,2024-09-07 09:00:00:558,509040,509040,0,0,237704692663,2496903773,503168,5043,829,382,391698,0 145,2,2024-09-07 09:00:01:438,364483,364483,0,0,16421698,0,3903 145,3,2024-09-07 09:00:00:909,1,290,172,0,622,4561,290,0 146,0,2024-09-07 09:00:01:641,73325,0.5,72750,0.7,146653,0.4,195314,2.00 146,1,2024-09-07 09:00:01:615,509858,509858,0,0,237066609463,2496554311,501038,6926,1894,368,391770,0 146,2,2024-09-07 09:00:01:695,366976,366976,0,0,16976059,0,2730 146,3,2024-09-07 09:00:01:288,1,290,18,0,1520,6245,290,0 147,0,2024-09-07 09:00:01:781,76951,0.6,76705,0.8,152621,0.6,204485,2.00 147,1,2024-09-07 09:00:01:388,511651,511651,0,0,238312551285,2479254499,507129,3866,656,368,391791,0 147,2,2024-09-07 09:00:01:021,369692,369692,0,0,14401773,0,2789 147,3,2024-09-07 09:00:00:927,1,290,15,0,730,4241,290,0 0,0,2024-09-07 09:00:11:791,69362,0.5,69360,0.7,147278,0.5,190900,1.75 0,1,2024-09-07 09:00:10:812,512308,512308,0,0,239046231240,2499841843,508529,3566,213,370,391896,0 0,2,2024-09-07 09:00:11:113,368639,368639,0,0,14718697,0,4480 0,3,2024-09-07 09:00:10:998,1,291,2,0,319,3753,291,0 1,0,2024-09-07 09:00:11:777,77809,0.9,77355,1.0,155457,1.1,207387,2.00 1,1,2024-09-07 09:00:10:757,511844,511844,0,0,237554830998,2487366521,507253,3536,1055,370,391857,0 1,2,2024-09-07 09:00:10:658,367329,367329,0,0,14072808,0,3267 1,3,2024-09-07 09:00:11:304,1,291,4,0,262,3366,291,0 2,0,2024-09-07 09:00:11:588,74568,0.7,74867,0.8,148468,0.8,198953,2.00 2,1,2024-09-07 09:00:10:867,513366,513366,0,0,239332196469,2485296541,511054,2092,220,380,391745,0 2,2,2024-09-07 09:00:11:268,369473,369473,0,0,14324357,0,3594 2,3,2024-09-07 09:00:10:701,1,291,240,0,357,2867,291,0 3,0,2024-09-07 09:00:11:753,69556,0.4,69650,0.6,139079,0.4,184959,2.00 3,1,2024-09-07 09:00:11:619,512037,512037,0,0,238252254971,2481311270,507761,3792,484,380,391556,0 3,2,2024-09-07 09:00:11:147,367466,367443,23,0,14348755,0,5851 3,3,2024-09-07 09:00:11:755,1,291,10,0,103,1757,291,0 4,0,2024-09-07 09:00:11:768,67754,0.3,69764,0.5,141568,0.3,186143,1.75 4,1,2024-09-07 09:00:10:608,511535,511535,0,0,237487860337,2495969695,505951,4581,1003,371,391992,0 4,2,2024-09-07 09:00:11:026,365901,365901,0,0,17241127,0,4528 4,3,2024-09-07 09:00:11:035,1,291,1,0,448,4262,291,0 5,0,2024-09-07 09:00:11:554,76024,0.4,76244,0.5,151829,0.4,202195,1.75 5,1,2024-09-07 09:00:10:765,511393,511393,0,0,238292800845,2506156980,504926,5142,1325,368,392005,0 5,2,2024-09-07 09:00:11:831,366188,366188,0,0,15901170,0,2432 5,3,2024-09-07 09:00:11:758,1,291,19,0,457,4305,291,0 6,0,2024-09-07 09:00:10:915,75623,0.5,75153,0.7,150244,0.5,200920,2.00 6,1,2024-09-07 09:00:10:747,511901,511901,0,0,237895614985,2484928361,506550,4424,927,379,391694,0 6,2,2024-09-07 09:00:11:135,371274,371274,0,0,15582252,0,4816 6,3,2024-09-07 09:00:11:283,1,291,3,0,340,3348,291,0 7,0,2024-09-07 09:00:11:534,68850,0.5,69165,0.6,137864,0.4,183313,2.00 7,1,2024-09-07 09:00:10:864,510903,510903,0,0,238461113643,2502565718,504214,5578,1111,382,391747,0 7,2,2024-09-07 09:00:10:789,366643,366643,0,0,15129226,0,4791 7,3,2024-09-07 09:00:10:852,1,291,1,0,398,3401,291,0 8,0,2024-09-07 09:00:11:429,69419,0.4,69143,0.5,138248,0.3,184780,1.75 8,1,2024-09-07 09:00:11:020,510443,510443,0,0,237592237152,2507421218,500971,7260,2212,366,392144,0 8,2,2024-09-07 09:00:10:800,362466,362466,0,0,19170926,0,3220 8,3,2024-09-07 09:00:10:592,1,291,4,0,538,5103,291,0 9,0,2024-09-07 09:00:11:185,75324,0.4,73126,0.5,152885,0.3,200614,1.75 9,1,2024-09-07 09:00:10:556,510600,510600,0,0,238307815310,2511927615,503225,5731,1644,369,392001,0 9,2,2024-09-07 09:00:11:147,367468,367468,0,0,17253252,0,3360 9,3,2024-09-07 09:00:11:758,1,291,1,0,496,4469,291,0 10,0,2024-09-07 09:00:11:674,76311,0.3,75742,0.5,152245,0.3,202609,1.75 10,1,2024-09-07 09:00:10:590,511207,511207,0,0,238955430692,2505925637,503940,6280,987,381,391741,0 10,2,2024-09-07 09:00:10:761,371056,371056,0,0,18559863,0,4264 10,3,2024-09-07 09:00:10:875,1,291,36,0,296,2683,291,0 11,0,2024-09-07 09:00:11:016,70722,0.5,68685,0.7,143697,0.4,189968,1.75 11,1,2024-09-07 09:00:10:586,511595,511595,0,0,237573785006,2500388610,502109,7072,2414,383,391573,0 11,2,2024-09-07 09:00:11:132,366026,366026,0,0,16559710,0,4130 11,3,2024-09-07 09:00:11:303,1,291,8,0,843,4573,291,0 12,0,2024-09-07 09:00:10:957,70942,0.3,70890,0.5,141792,0.3,187806,1.75 12,1,2024-09-07 09:00:10:947,511764,511764,0,0,238626213339,2490338897,507335,3954,475,370,391870,0 12,2,2024-09-07 09:00:11:572,367160,367160,0,0,16209127,0,3469 12,3,2024-09-07 09:00:11:060,1,291,1,0,386,4777,291,0 13,0,2024-09-07 09:00:11:395,73211,0.4,73047,0.5,146083,0.3,194587,1.75 13,1,2024-09-07 09:00:11:581,511431,511431,0,0,237897990873,2501010985,506762,3404,1265,382,391740,0 13,2,2024-09-07 09:00:10:638,369742,369742,0,0,15168941,0,3287 13,3,2024-09-07 09:00:11:776,1,291,1,0,522,5027,291,0 14,0,2024-09-07 09:00:10:568,77964,0.4,78585,0.6,155509,0.4,207170,1.75 14,1,2024-09-07 09:00:11:574,514680,514680,0,0,239277377610,2478584270,510981,3424,275,364,391571,0 14,2,2024-09-07 09:00:10:765,368851,368851,0,0,15135307,0,2896 14,3,2024-09-07 09:00:11:128,1,291,1,0,1168,3957,291,0 15,0,2024-09-07 09:00:11:593,73468,0.4,73558,0.7,147044,0.4,195666,2.00 15,1,2024-09-07 09:00:11:616,512962,512962,0,0,238384122697,2478887437,510132,2652,178,381,391619,0 15,2,2024-09-07 09:00:11:003,369332,369332,0,0,12697903,0,3043 15,3,2024-09-07 09:00:11:416,1,291,7,0,1126,5600,291,0 16,0,2024-09-07 09:00:10:999,70363,0.6,70651,0.8,140761,0.7,187644,2.25 16,1,2024-09-07 09:00:10:592,512899,512899,0,0,238393223587,2489345304,509225,3372,302,370,391756,0 16,2,2024-09-07 09:00:11:477,367115,367115,0,0,15348664,0,4719 16,3,2024-09-07 09:00:11:144,1,291,182,0,317,4238,291,0 17,0,2024-09-07 09:00:11:777,72886,0.5,71279,0.7,139219,0.5,189227,2.00 17,1,2024-09-07 09:00:10:607,511724,511724,0,0,237693679599,2495758669,506251,4334,1139,368,391899,0 17,2,2024-09-07 09:00:11:690,370506,370506,0,0,15278433,0,2857 17,3,2024-09-07 09:00:10:589,1,291,0,0,268,4568,291,0 18,0,2024-09-07 09:00:10:939,76004,0.6,76590,0.8,151992,0.6,203593,2.25 18,1,2024-09-07 09:00:11:637,513239,513239,0,0,239237929198,2479354189,510412,2585,242,367,391649,0 18,2,2024-09-07 09:00:11:755,368790,368790,0,0,14322103,0,3541 18,3,2024-09-07 09:00:10:905,1,291,1,0,163,2654,291,0 19,0,2024-09-07 09:00:11:547,74400,0.6,74916,0.8,148655,0.6,198146,2.25 19,1,2024-09-07 09:00:10:586,513074,513074,0,0,239248552211,2483830856,508361,3926,787,367,391777,0 19,2,2024-09-07 09:00:11:755,372179,372179,0,0,13081406,0,3988 19,3,2024-09-07 09:00:11:146,1,291,12,0,524,2232,291,0 20,0,2024-09-07 09:00:11:507,69080,0.6,69060,0.7,138270,0.6,184346,2.00 20,1,2024-09-07 09:00:10:578,511665,511665,0,0,238076068340,2488722984,507669,3595,401,369,391886,0 20,2,2024-09-07 09:00:10:933,367654,367654,0,0,14829550,0,3721 20,3,2024-09-07 09:00:10:595,1,291,1,0,414,4931,291,0 21,0,2024-09-07 09:00:11:171,69375,0.4,69508,0.6,138746,0.4,184128,2.00 21,1,2024-09-07 09:00:11:581,510260,510260,0,0,237095582558,2497287098,503499,5135,1626,368,392016,0 21,2,2024-09-07 09:00:11:071,365384,365384,0,0,17616896,0,3747 21,3,2024-09-07 09:00:11:425,1,291,4,0,103,2973,291,0 22,0,2024-09-07 09:00:11:715,75680,0.5,75814,0.7,151678,0.4,201496,2.00 22,1,2024-09-07 09:00:11:028,511619,511619,0,0,237732879760,2500150129,504004,5948,1667,382,391667,0 22,2,2024-09-07 09:00:10:772,367030,367030,0,0,15009052,0,3134 22,3,2024-09-07 09:00:11:071,1,291,15,0,228,2570,291,0 23,0,2024-09-07 09:00:11:378,74951,0.6,74817,0.7,149851,0.6,199643,2.25 23,1,2024-09-07 09:00:11:006,512379,512379,0,0,238164719385,2498924035,504384,5328,2667,365,391690,0 23,2,2024-09-07 09:00:11:147,371978,371978,0,0,14610448,0,3010 23,3,2024-09-07 09:00:11:755,1,291,8,0,645,3561,291,0 24,0,2024-09-07 09:00:10:825,70877,0.4,70712,0.6,142001,0.4,188060,1.75 24,1,2024-09-07 09:00:10:608,511264,511264,0,0,237189152723,2490638979,504893,4849,1522,368,391987,0 24,2,2024-09-07 09:00:11:084,365486,365486,0,0,17809750,0,3607 24,3,2024-09-07 09:00:11:695,1,291,4,0,468,4134,291,0 25,0,2024-09-07 09:00:11:493,71185,0.4,69406,0.6,136173,0.4,185567,2.00 25,1,2024-09-07 09:00:10:561,512231,512231,0,0,238011438984,2495369781,506335,5078,818,371,391928,0 25,2,2024-09-07 09:00:11:612,365779,365779,0,0,18451694,0,3978 25,3,2024-09-07 09:00:11:004,1,291,5,0,255,3087,291,0 26,0,2024-09-07 09:00:11:731,73653,0.4,71873,0.5,150815,0.3,196982,1.75 26,1,2024-09-07 09:00:11:554,512163,512163,0,0,238090588115,2498067556,504386,6529,1248,381,391748,0 26,2,2024-09-07 09:00:10:861,368531,368531,0,0,18247061,0,2809 26,3,2024-09-07 09:00:11:718,1,291,1,0,796,3641,291,0 27,0,2024-09-07 09:00:11:724,77277,0.5,77359,0.6,153124,0.5,204580,2.00 27,1,2024-09-07 09:00:11:685,513885,513885,0,0,239493792074,2495543600,509083,4041,761,381,391626,0 27,2,2024-09-07 09:00:10:867,367985,367920,65,0,18291856,0,5699 27,3,2024-09-07 09:00:11:016,1,291,94,0,564,3132,291,0 28,0,2024-09-07 09:00:11:404,72552,0.4,72393,0.6,145009,0.4,193128,2.00 28,1,2024-09-07 09:00:10:817,513045,513045,0,0,239393792856,2495917137,509038,3333,674,383,391646,0 28,2,2024-09-07 09:00:11:767,366983,366983,0,0,14537266,0,2915 28,3,2024-09-07 09:00:11:790,1,291,41,0,502,2912,291,0 29,0,2024-09-07 09:00:11:362,72888,0.4,71060,0.6,139131,0.3,189949,1.75 29,1,2024-09-07 09:00:11:574,513986,513986,0,0,238867096612,2473317342,510496,2922,568,369,391753,0 29,2,2024-09-07 09:00:10:867,366795,366795,0,0,14352189,0,4233 29,3,2024-09-07 09:00:10:981,1,291,22,0,284,2487,291,0 30,0,2024-09-07 09:00:11:467,71483,0.5,69350,0.7,145084,0.4,190501,2.00 30,1,2024-09-07 09:00:10:586,514134,514134,0,0,239494896227,2484716856,510811,2876,447,382,391672,0 30,2,2024-09-07 09:00:11:278,368593,368593,0,0,14108071,0,3161 30,3,2024-09-07 09:00:10:590,1,291,5,0,519,2765,291,0 31,0,2024-09-07 09:00:11:771,77310,0.5,77692,0.7,155473,0.4,207033,2.00 31,1,2024-09-07 09:00:10:586,515825,515825,0,0,240306688017,2465357527,513741,1655,429,356,391712,0 31,2,2024-09-07 09:00:11:276,368147,368147,0,0,15619122,0,3525 31,3,2024-09-07 09:00:11:714,1,291,12,0,220,2244,291,0 32,0,2024-09-07 09:00:11:437,74487,0.3,74791,0.5,149711,0.3,199322,1.75 32,1,2024-09-07 09:00:10:805,513757,513757,0,0,239187018611,2482476414,511314,2164,279,381,391646,0 32,2,2024-09-07 09:00:10:934,369646,369646,0,0,13089811,0,3155 32,3,2024-09-07 09:00:11:019,1,291,2,0,227,2295,291,0 33,0,2024-09-07 09:00:11:515,69978,0.3,69392,0.4,139498,0.2,185923,1.75 33,1,2024-09-07 09:00:10:632,514113,514113,0,0,239749239111,2479509428,510764,3015,334,369,391730,0 33,2,2024-09-07 09:00:10:762,368326,368293,33,0,14599812,0,7012 33,3,2024-09-07 09:00:10:896,1,291,0,0,329,3277,291,0 34,0,2024-09-07 09:00:10:936,69822,0.3,72000,0.4,137844,0.2,185587,1.75 34,1,2024-09-07 09:00:11:047,515257,515257,0,0,239618876811,2463798723,514029,1221,7,367,391562,0 34,2,2024-09-07 09:00:10:789,367525,367525,0,0,14299098,0,3577 34,3,2024-09-07 09:00:11:693,1,291,8,0,299,2336,291,0 35,0,2024-09-07 09:00:10:862,75383,0.3,75772,0.5,152447,0.2,202807,1.75 35,1,2024-09-07 09:00:11:073,513474,513474,0,0,238222523086,2470308206,509997,2697,780,384,391589,0 35,2,2024-09-07 09:00:11:588,367803,367803,0,0,14430661,0,2653 35,3,2024-09-07 09:00:10:908,1,291,7,0,418,3779,291,0 36,0,2024-09-07 09:00:11:526,75507,0.5,75457,0.7,150888,0.5,200665,2.25 36,1,2024-09-07 09:00:10:591,513235,513235,0,0,238377035276,2490703000,506956,4695,1584,366,391759,0 36,2,2024-09-07 09:00:11:772,371314,371314,0,0,15968006,0,3875 36,3,2024-09-07 09:00:10:869,1,291,1,0,416,4590,291,0 37,0,2024-09-07 09:00:11:379,68808,0.5,68822,0.7,137730,0.5,184293,2.00 37,1,2024-09-07 09:00:10:582,511862,511855,0,7,237684067886,2486114788,505632,4152,2071,365,391560,0 37,2,2024-09-07 09:00:11:144,365807,365792,15,0,15769838,0,5815 37,3,2024-09-07 09:00:11:769,1,291,0,0,888,4673,291,0 38,0,2024-09-07 09:00:11:453,68630,0.4,66693,0.6,139577,0.4,182716,2.00 38,1,2024-09-07 09:00:11:614,513618,513618,0,0,239703038271,2497057514,508210,4732,676,368,391821,0 38,2,2024-09-07 09:00:10:761,366080,366033,47,0,16736691,0,6710 38,3,2024-09-07 09:00:11:010,1,291,107,0,689,3775,291,0 39,0,2024-09-07 09:00:11:777,76885,0.5,75290,0.7,146594,0.5,200827,2.00 39,1,2024-09-07 09:00:10:718,512714,512714,0,0,238460230255,2492325595,505918,5585,1211,365,391594,0 39,2,2024-09-07 09:00:11:420,367950,367950,0,0,14924914,0,2689 39,3,2024-09-07 09:00:10:718,1,291,8,0,324,3774,291,0 40,0,2024-09-07 09:00:11:510,75391,0.8,76029,1.0,151971,0.8,202335,3.00 40,1,2024-09-07 09:00:10:590,512643,512643,0,0,237986800365,2494223246,504798,6391,1454,368,391668,0 40,2,2024-09-07 09:00:11:319,370674,370673,1,0,18309546,0,5137 40,3,2024-09-07 09:00:11:142,1,291,0,0,398,3360,291,0 41,0,2024-09-07 09:00:11:057,70514,1.7,72200,1.3,137910,2.7,187964,3.25 41,1,2024-09-07 09:00:10:786,512062,512062,0,0,238388678730,2492217690,506185,5242,635,370,391742,0 41,2,2024-09-07 09:00:10:772,365101,365101,0,0,17119058,0,3356 41,3,2024-09-07 09:00:11:688,1,291,1,0,366,3127,291,0 42,0,2024-09-07 09:00:11:493,69800,0.7,69803,0.9,139949,0.7,184436,2.50 42,1,2024-09-07 09:00:11:446,511381,511381,0,0,237738728465,2500534840,503075,6743,1563,380,391675,0 42,2,2024-09-07 09:00:11:134,366959,366959,0,0,16839550,0,3568 42,3,2024-09-07 09:00:11:011,1,291,1,0,446,2538,291,0 43,0,2024-09-07 09:00:10:937,72342,0.8,70494,0.9,147544,0.8,194141,2.25 43,1,2024-09-07 09:00:10:581,512544,512544,0,0,239220963413,2502643993,504987,6192,1365,366,391696,0 43,2,2024-09-07 09:00:11:747,368481,368481,0,0,17360526,0,3812 43,3,2024-09-07 09:00:11:759,1,291,9,0,467,3654,291,0 44,0,2024-09-07 09:00:10:881,77779,0.5,78208,0.6,155879,0.4,207312,1.75 44,1,2024-09-07 09:00:10:577,514195,514195,0,0,238204248093,2459967293,511016,2609,570,356,391809,0 44,2,2024-09-07 09:00:11:274,368359,368359,0,0,14306730,0,4344 44,3,2024-09-07 09:00:11:111,1,291,7,0,817,3409,291,0 45,0,2024-09-07 09:00:11:763,72477,0.6,70765,0.8,148558,0.5,195396,2.00 45,1,2024-09-07 09:00:11:012,513553,513553,0,0,239364069129,2482282857,511209,2197,147,382,391917,0 45,2,2024-09-07 09:00:11:270,368206,368206,0,0,14354570,0,3596 45,3,2024-09-07 09:00:10:934,1,291,2,0,271,2986,291,0 46,0,2024-09-07 09:00:10:958,69852,0.5,69871,0.7,139881,0.5,185767,2.00 46,1,2024-09-07 09:00:10:587,514840,514840,0,0,238769834128,2465382190,512005,2508,327,366,391539,0 46,2,2024-09-07 09:00:10:598,367926,367926,0,0,13973477,0,2920 46,3,2024-09-07 09:00:11:151,1,291,1,0,908,4391,291,0 47,0,2024-09-07 09:00:11:110,71142,0.5,71225,0.6,142823,0.4,188903,1.75 47,1,2024-09-07 09:00:10:583,514325,514325,0,0,239252118154,2471740935,511319,2648,358,366,391641,0 47,2,2024-09-07 09:00:10:914,370091,370091,0,0,14400894,0,4477 47,3,2024-09-07 09:00:11:140,1,291,25,0,529,2758,291,0 48,0,2024-09-07 09:00:11:506,77497,0.3,77034,0.4,153678,0.2,205657,1.50 48,1,2024-09-07 09:00:11:036,513484,513484,0,0,239322909329,2486038496,510047,3136,301,384,391710,0 48,2,2024-09-07 09:00:10:704,367353,367353,0,0,13212615,0,3031 48,3,2024-09-07 09:00:10:758,1,291,2,0,339,2404,291,0 49,0,2024-09-07 09:00:11:726,76828,0.3,75414,0.5,146321,0.3,200527,1.75 49,1,2024-09-07 09:00:11:035,512748,512748,0,0,239032703413,2490553645,508683,2881,1184,382,391809,0 49,2,2024-09-07 09:00:11:801,371837,371837,0,0,13981517,0,3900 49,3,2024-09-07 09:00:11:419,1,291,76,0,408,3257,291,0 50,0,2024-09-07 09:00:11:548,69204,0.3,68592,0.5,138104,0.2,184050,1.75 50,1,2024-09-07 09:00:11:027,514538,514538,0,0,240443562063,2485901030,511336,2892,310,368,391540,0 50,2,2024-09-07 09:00:11:067,367626,367626,0,0,12992046,0,2263 50,3,2024-09-07 09:00:11:307,1,291,55,0,335,2485,291,0 51,0,2024-09-07 09:00:11:706,71066,0.3,69467,0.4,135493,0.2,184615,1.50 51,1,2024-09-07 09:00:11:687,514956,514956,0,0,239918677271,2476212889,512057,1958,941,365,391706,0 51,2,2024-09-07 09:00:11:325,366907,366907,0,0,12338582,0,3337 51,3,2024-09-07 09:00:11:028,1,291,13,0,678,2264,291,0 52,0,2024-09-07 09:00:11:440,76266,0.4,76051,0.6,152217,0.4,202220,2.00 52,1,2024-09-07 09:00:10:591,512654,512654,0,0,237823343326,2491233580,505187,6213,1254,368,391722,0 52,2,2024-09-07 09:00:11:770,365043,365005,38,0,16900890,0,6742 52,3,2024-09-07 09:00:10:686,1,291,1,0,1782,4680,291,0 53,0,2024-09-07 09:00:11:740,74779,0.7,72704,0.8,151880,0.8,199119,2.25 53,1,2024-09-07 09:00:10:794,511315,511315,0,0,238470318883,2503102940,503200,5666,2449,367,391702,0 53,2,2024-09-07 09:00:11:298,372216,372216,0,0,14243432,0,2727 53,3,2024-09-07 09:00:10:703,1,291,1,0,308,2723,291,0 54,0,2024-09-07 09:00:11:617,69263,0.6,69785,0.8,138511,0.5,185273,2.50 54,1,2024-09-07 09:00:10:591,512927,512927,0,0,239205639198,2486435304,507821,4507,599,367,391659,0 54,2,2024-09-07 09:00:10:868,366728,366722,6,0,16156854,0,5382 54,3,2024-09-07 09:00:10:771,1,291,32,0,676,4718,291,0 55,0,2024-09-07 09:00:11:766,66887,0.5,69370,0.7,139475,0.5,181834,2.25 55,1,2024-09-07 09:00:10:772,512403,512403,0,0,238683635714,2485169468,506218,5277,908,365,391731,0 55,2,2024-09-07 09:00:10:729,366164,366164,0,0,15790352,0,3563 55,3,2024-09-07 09:00:10:721,1,291,11,0,304,3112,291,0 56,0,2024-09-07 09:00:11:618,75516,1.1,71159,1.1,146668,1.5,197023,2.50 56,1,2024-09-07 09:00:10:578,510474,510474,0,0,237587534331,2512536617,502405,6521,1548,381,391867,0 56,2,2024-09-07 09:00:11:309,368456,368456,0,0,17400062,0,3567 56,3,2024-09-07 09:00:11:059,1,291,5,0,705,3972,291,0 57,0,2024-09-07 09:00:10:957,75922,1.7,75734,1.3,151813,2.4,203172,3.25 57,1,2024-09-07 09:00:11:005,512131,512131,0,0,238147096687,2495418905,506128,5299,704,366,392032,0 57,2,2024-09-07 09:00:11:342,370082,370082,0,0,18672067,0,3317 57,3,2024-09-07 09:00:11:749,1,291,14,0,359,3639,291,0 58,0,2024-09-07 09:00:10:595,71143,1.0,69261,1.0,145030,1.3,189765,2.50 58,1,2024-09-07 09:00:10:613,512926,512923,0,3,239102860574,2500313738,505977,6057,889,367,391603,3 58,2,2024-09-07 09:00:11:071,366755,366755,0,0,16694026,0,2549 58,3,2024-09-07 09:00:11:069,1,291,1,0,1043,3200,291,0 59,0,2024-09-07 09:00:11:744,70566,0.7,70271,0.9,140275,0.7,186463,2.75 59,1,2024-09-07 09:00:10:805,512042,512042,0,0,238879321890,2500812847,505383,5376,1283,369,391578,0 59,2,2024-09-07 09:00:10:602,368540,368540,0,0,15730781,0,2604 59,3,2024-09-07 09:00:11:737,1,291,8,0,1015,3981,291,0 60,0,2024-09-07 09:00:11:768,71617,0.5,71528,0.6,144069,0.4,191201,1.75 60,1,2024-09-07 09:00:10:802,514217,514217,0,0,239634102979,2484259743,511393,2298,526,370,392031,0 60,2,2024-09-07 09:00:11:152,368428,368428,0,0,16636164,0,3811 60,3,2024-09-07 09:00:11:267,1,291,1,0,175,3124,291,0 61,0,2024-09-07 09:00:11:539,77593,0.7,78034,0.8,155105,0.7,206895,2.00 61,1,2024-09-07 09:00:10:798,512069,512069,0,0,238128062239,2494877575,506225,4930,914,382,391880,0 61,2,2024-09-07 09:00:11:154,368639,368639,0,0,15080928,0,3598 61,3,2024-09-07 09:00:11:694,1,291,1,0,479,4435,291,0 62,0,2024-09-07 09:00:11:717,75089,0.6,76648,0.7,146028,0.6,199064,2.00 62,1,2024-09-07 09:00:11:111,515380,515374,0,6,240328107765,2480057028,512524,2689,161,365,391715,6 62,2,2024-09-07 09:00:11:646,368027,368026,1,0,16280733,0,5555 62,3,2024-09-07 09:00:11:146,1,291,1,0,465,2405,291,0 63,0,2024-09-07 09:00:11:708,69652,0.4,69798,0.6,139531,0.4,185583,1.75 63,1,2024-09-07 09:00:10:805,513879,513873,0,6,239051745782,2480135164,511052,2587,234,381,391677,6 63,2,2024-09-07 09:00:10:762,367557,367557,0,0,14370616,0,4369 63,3,2024-09-07 09:00:11:739,1,291,10,0,667,3228,291,0 64,0,2024-09-07 09:00:11:588,69489,0.4,69488,0.6,139021,0.4,185315,1.75 64,1,2024-09-07 09:00:10:760,512780,512780,0,0,239029795911,2490704828,508203,3161,1416,370,391783,0 64,2,2024-09-07 09:00:11:147,370874,370855,19,0,14316073,0,6121 64,3,2024-09-07 09:00:11:142,1,291,1,0,265,2710,291,0 65,0,2024-09-07 09:00:11:735,75465,0.6,75863,0.7,151348,0.6,201715,2.00 65,1,2024-09-07 09:00:10:882,511782,511782,0,0,238329709419,2491537547,508038,3296,448,382,391901,0 65,2,2024-09-07 09:00:11:698,367824,367824,0,0,15751037,0,3367 65,3,2024-09-07 09:00:11:688,1,291,6,0,163,2754,291,0 66,0,2024-09-07 09:00:11:775,75031,0.5,74938,0.7,150148,0.4,199725,2.00 66,1,2024-09-07 09:00:11:295,513288,513288,0,0,239211537487,2485446970,510372,2670,246,380,391653,0 66,2,2024-09-07 09:00:11:147,373264,373264,0,0,14808287,0,4956 66,3,2024-09-07 09:00:11:082,1,291,3,0,291,2928,291,0 67,0,2024-09-07 09:00:11:434,69640,0.6,68879,0.7,138637,0.6,184479,2.00 67,1,2024-09-07 09:00:10:783,513379,513378,0,1,239172431989,2492910076,509330,3299,749,380,391787,1 67,2,2024-09-07 09:00:10:590,367927,367927,0,0,13601152,0,2889 67,3,2024-09-07 09:00:11:751,1,291,7,0,338,2595,291,0 68,0,2024-09-07 09:00:10:567,69363,0.5,69134,0.7,137956,0.5,184471,2.00 68,1,2024-09-07 09:00:10:583,512231,512231,0,0,237747794883,2491130092,507899,3161,1171,381,391953,0 68,2,2024-09-07 09:00:11:048,365071,365006,65,0,18020488,0,6698 68,3,2024-09-07 09:00:10:740,1,291,11,0,417,3237,291,0 69,0,2024-09-07 09:00:11:774,74837,0.7,75208,0.8,149732,0.8,199317,2.25 69,1,2024-09-07 09:00:11:030,511182,511182,0,0,237549578759,2505644750,504778,4817,1587,384,391994,0 69,2,2024-09-07 09:00:11:747,367949,367949,0,0,18069683,0,3722 69,3,2024-09-07 09:00:10:769,1,291,15,0,698,4169,291,0 70,0,2024-09-07 09:00:11:534,75512,1.0,75585,1.1,151786,0.8,200957,2.50 70,1,2024-09-07 09:00:10:806,514131,514131,0,0,239270811676,2484356191,509867,3728,536,366,391725,0 70,2,2024-09-07 09:00:11:354,370304,370304,0,0,16453726,0,4044 70,3,2024-09-07 09:00:10:745,1,291,0,0,854,3426,291,0 71,0,2024-09-07 09:00:11:375,70553,1.0,70429,1.1,141316,1.3,188725,2.75 71,1,2024-09-07 09:00:11:598,511920,511920,0,0,239010664519,2493056328,506328,4949,643,368,391738,0 71,2,2024-09-07 09:00:11:072,366754,366754,0,0,16366259,0,4042 71,3,2024-09-07 09:00:11:757,1,291,8,0,644,3945,291,0 72,0,2024-09-07 09:00:11:033,72767,0.7,71096,0.8,138758,0.7,188299,2.00 72,1,2024-09-07 09:00:11:030,511878,511878,0,0,237999969893,2492640401,504692,5641,1545,369,391819,0 72,2,2024-09-07 09:00:11:772,365968,365968,0,0,18605553,0,3983 72,3,2024-09-07 09:00:11:755,1,291,9,0,564,4842,291,0 73,0,2024-09-07 09:00:11:118,71090,0.4,72687,0.6,149133,0.3,193738,2.00 73,1,2024-09-07 09:00:10:876,513116,513116,0,0,238952832030,2483973112,509501,3324,291,367,391750,0 73,2,2024-09-07 09:00:11:748,369194,369194,0,0,18163229,0,3701 73,3,2024-09-07 09:00:10:973,1,291,1,0,274,4083,291,0 74,0,2024-09-07 09:00:11:330,78241,0.5,80125,0.7,153067,0.5,207104,2.25 74,1,2024-09-07 09:00:10:643,512554,512554,0,0,238346908818,2489037046,507460,4126,968,381,391681,0 74,2,2024-09-07 09:00:11:010,368678,368678,0,0,17408355,0,4253 74,3,2024-09-07 09:00:11:467,1,291,1,0,522,3727,291,0 75,0,2024-09-07 09:00:11:765,73663,0.5,73395,0.7,146947,0.4,196528,2.25 75,1,2024-09-07 09:00:11:589,511826,511826,0,0,238503616588,2494600791,506019,5002,805,380,391660,0 75,2,2024-09-07 09:00:11:355,367110,367110,0,0,17081540,0,4766 75,3,2024-09-07 09:00:11:073,1,291,8,0,702,4162,291,0 76,0,2024-09-07 09:00:10:588,69863,0.6,69335,0.8,139248,0.6,186634,2.50 76,1,2024-09-07 09:00:10:812,512717,512717,0,0,238440047124,2487057359,509270,2894,553,382,391692,0 76,2,2024-09-07 09:00:11:062,368402,368401,1,0,15779402,0,5144 76,3,2024-09-07 09:00:11:158,1,291,52,0,175,2974,291,0 77,0,2024-09-07 09:00:11:733,70799,0.6,71078,0.8,141943,0.6,188661,2.00 77,1,2024-09-07 09:00:10:824,513140,513140,0,0,239245315547,2497683446,509292,3585,263,381,391869,0 77,2,2024-09-07 09:00:11:290,368083,368083,0,0,15700907,0,3890 77,3,2024-09-07 09:00:11:100,1,291,1,0,401,3529,291,0 78,0,2024-09-07 09:00:11:725,77181,0.4,76729,0.6,154204,0.4,205124,2.00 78,1,2024-09-07 09:00:10:616,512561,512561,0,0,238524445956,2483550840,507578,3989,994,367,391670,0 78,2,2024-09-07 09:00:11:416,367839,367839,0,0,13831367,0,3855 78,3,2024-09-07 09:00:11:146,1,291,13,0,181,2712,291,0 79,0,2024-09-07 09:00:11:345,72549,0.4,74407,0.6,152156,0.4,197988,2.25 79,1,2024-09-07 09:00:10:584,514567,514567,0,0,239653948465,2481106898,510851,3271,445,369,391682,0 79,2,2024-09-07 09:00:11:075,371670,371670,0,0,14204685,0,3212 79,3,2024-09-07 09:00:10:749,1,291,11,0,418,4049,291,0 80,0,2024-09-07 09:00:11:084,69130,0.6,71147,0.7,136249,0.6,183840,2.00 80,1,2024-09-07 09:00:11:639,512557,512557,0,0,238997818347,2486932780,509289,3091,177,368,391791,0 80,2,2024-09-07 09:00:11:126,368424,368424,0,0,13882249,0,4433 80,3,2024-09-07 09:00:10:586,1,291,1,0,190,3733,291,0 81,0,2024-09-07 09:00:11:799,69149,0.4,71007,0.6,135615,0.4,183574,1.75 81,1,2024-09-07 09:00:11:656,511732,511732,0,0,238283241222,2490516097,507447,3809,476,382,391879,0 81,2,2024-09-07 09:00:11:147,366745,366745,0,0,14581803,0,3993 81,3,2024-09-07 09:00:11:133,1,291,1,0,374,3549,291,0 82,0,2024-09-07 09:00:11:555,75509,0.4,75978,0.6,152211,0.3,202302,1.75 82,1,2024-09-07 09:00:10:588,513791,513787,0,4,239105426663,2489016068,510448,2727,612,381,391768,4 82,2,2024-09-07 09:00:11:691,369089,369089,0,0,13673916,0,3986 82,3,2024-09-07 09:00:11:755,1,291,1,0,363,3069,291,0 83,0,2024-09-07 09:00:11:548,75404,0.5,75351,0.7,149659,0.5,199392,2.00 83,1,2024-09-07 09:00:10:570,512633,512633,0,0,238634494098,2487984774,509017,3336,280,382,391709,0 83,2,2024-09-07 09:00:10:774,371406,371406,0,0,13974242,0,3119 83,3,2024-09-07 09:00:10:751,1,291,8,0,250,3204,291,0 84,0,2024-09-07 09:00:11:775,69893,0.7,69746,0.9,139638,0.6,186743,2.25 84,1,2024-09-07 09:00:11:048,512114,512114,0,0,238220447219,2487928061,507165,4364,585,367,391967,0 84,2,2024-09-07 09:00:10:587,366825,366825,0,0,15809143,0,3801 84,3,2024-09-07 09:00:11:146,1,291,20,0,908,4593,291,0 85,0,2024-09-07 09:00:11:018,66984,0.6,66973,0.8,141925,0.6,183421,2.25 85,1,2024-09-07 09:00:10:581,511519,511519,0,0,238230073042,2514402249,504074,6325,1120,381,392006,0 85,2,2024-09-07 09:00:10:865,367625,367625,0,0,16879795,0,3656 85,3,2024-09-07 09:00:10:704,1,291,94,0,789,3877,291,0 86,0,2024-09-07 09:00:10:881,73820,0.6,75932,0.7,145235,0.6,196644,2.00 86,1,2024-09-07 09:00:10:841,512893,512893,0,0,238658683909,2494721956,507387,4673,833,366,391961,0 86,2,2024-09-07 09:00:10:855,367683,367682,1,0,17759267,0,5004 86,3,2024-09-07 09:00:10:590,1,291,7,0,286,4028,291,0 87,0,2024-09-07 09:00:11:295,76620,1.0,76600,0.9,153843,1.4,204919,2.25 87,1,2024-09-07 09:00:10:565,512082,512082,0,0,238143340015,2489831957,506968,4612,502,366,392076,0 87,2,2024-09-07 09:00:11:072,369388,369388,0,0,16113252,0,4045 87,3,2024-09-07 09:00:11:802,1,291,12,0,473,4210,291,0 88,0,2024-09-07 09:00:11:474,72404,0.5,72849,0.6,145258,0.5,192879,2.00 88,1,2024-09-07 09:00:10:581,510677,510677,0,0,238233752259,2501275178,503348,5678,1651,365,392084,0 88,2,2024-09-07 09:00:10:697,366841,366841,0,0,17919758,0,3583 88,3,2024-09-07 09:00:11:268,1,291,4,0,435,3412,291,0 89,0,2024-09-07 09:00:11:811,72991,0.5,70574,0.7,140117,0.4,189882,1.75 89,1,2024-09-07 09:00:10:569,510826,510826,0,0,237987411587,2508419995,503502,6059,1265,382,391866,0 89,2,2024-09-07 09:00:11:174,366174,366174,0,0,17467487,0,2910 89,3,2024-09-07 09:00:11:802,1,291,0,0,385,5736,291,0 90,0,2024-09-07 09:00:11:622,69683,0.4,71169,0.6,145767,0.4,190378,1.75 90,1,2024-09-07 09:00:10:601,512296,512296,0,0,237668493077,2490674201,507440,4533,323,380,391825,0 90,2,2024-09-07 09:00:11:424,367232,367232,0,0,18856913,0,3060 90,3,2024-09-07 09:00:10:931,1,291,3,0,200,3073,291,0 91,0,2024-09-07 09:00:10:945,77986,0.5,75767,0.7,157908,0.5,207485,1.75 91,1,2024-09-07 09:00:10:564,510994,510994,0,0,238431522306,2509643645,503984,6119,891,381,392047,0 91,2,2024-09-07 09:00:11:330,367918,367918,0,0,16793210,0,2896 91,3,2024-09-07 09:00:10:607,1,291,8,0,216,2660,291,0 92,0,2024-09-07 09:00:11:479,75159,0.5,77056,0.6,147271,0.5,199394,1.75 92,1,2024-09-07 09:00:10:596,512506,512506,0,0,238434957227,2488322297,509178,2848,480,382,392136,0 92,2,2024-09-07 09:00:11:351,370628,370628,0,0,14328739,0,2801 92,3,2024-09-07 09:00:11:015,1,291,4,0,167,2497,291,0 93,0,2024-09-07 09:00:10:959,70082,0.4,71797,0.6,137198,0.3,186085,1.75 93,1,2024-09-07 09:00:10:809,512659,512659,0,0,238428576353,2489112333,507625,4241,793,366,391776,0 93,2,2024-09-07 09:00:10:934,367153,367153,0,0,16312215,0,4311 93,3,2024-09-07 09:00:11:415,1,291,7,0,190,2949,291,0 94,0,2024-09-07 09:00:11:690,69530,0.3,70300,0.5,140106,0.3,186210,1.75 94,1,2024-09-07 09:00:10:662,512368,512368,0,0,238778742505,2494717904,508569,3615,184,381,391850,0 94,2,2024-09-07 09:00:10:761,367467,367467,0,0,14493910,0,2443 94,3,2024-09-07 09:00:11:688,1,291,34,0,264,3750,291,0 95,0,2024-09-07 09:00:11:347,75981,0.3,75928,0.5,152637,0.3,202608,1.75 95,1,2024-09-07 09:00:10:857,512814,512814,0,0,239383331493,2489856042,508615,3820,379,367,391713,0 95,2,2024-09-07 09:00:11:019,367014,367014,0,0,14855291,0,3308 95,3,2024-09-07 09:00:11:716,1,291,9,0,718,4867,291,0 96,0,2024-09-07 09:00:11:031,75554,0.4,75662,0.5,151156,0.3,200289,1.75 96,1,2024-09-07 09:00:11:585,512276,512276,0,0,238950081050,2494410196,508362,3175,739,384,391955,0 96,2,2024-09-07 09:00:11:269,371499,371499,0,0,15238348,0,4042 96,3,2024-09-07 09:00:11:143,1,291,3,0,411,3200,291,0 97,0,2024-09-07 09:00:11:355,69453,0.3,69048,0.5,138893,0.3,184277,1.75 97,1,2024-09-07 09:00:10:786,514329,514329,0,0,239374501263,2480594619,511257,2512,560,367,392140,0 97,2,2024-09-07 09:00:10:609,367525,367525,0,0,14164318,0,3036 97,3,2024-09-07 09:00:10:577,1,291,67,0,214,3408,291,0 98,0,2024-09-07 09:00:11:715,69265,0.3,69194,0.4,139005,0.2,184642,1.50 98,1,2024-09-07 09:00:10:608,513768,513768,0,0,238958773795,2484815526,511587,2080,101,382,391997,0 98,2,2024-09-07 09:00:10:787,366847,366847,0,0,14384180,0,3080 98,3,2024-09-07 09:00:10:703,1,291,2,0,840,5068,291,0 99,0,2024-09-07 09:00:11:497,75060,0.3,75453,0.4,150342,0.3,200895,1.50 99,1,2024-09-07 09:00:11:728,513149,513149,0,0,238774090629,2486733991,509317,3089,743,381,392069,0 99,2,2024-09-07 09:00:11:437,370208,370208,0,0,15442391,0,3106 99,3,2024-09-07 09:00:10:607,1,291,19,0,187,2410,291,0 100,0,2024-09-07 09:00:11:528,75931,0.8,75857,1.0,151915,1.1,202822,2.50 100,1,2024-09-07 09:00:10:556,509698,509698,0,0,237234266401,2507207411,502166,6055,1477,381,391989,0 100,2,2024-09-07 09:00:11:822,369685,369674,11,0,17111607,0,5417 100,3,2024-09-07 09:00:11:747,1,291,4,0,559,5084,291,0 101,0,2024-09-07 09:00:11:712,72615,1.3,70651,1.1,138729,1.1,190349,2.50 101,1,2024-09-07 09:00:10:557,510555,510555,0,0,238019487512,2507619577,502211,6364,1980,368,391769,0 101,2,2024-09-07 09:00:11:760,364900,364900,0,0,18720611,0,4644 101,3,2024-09-07 09:00:10:945,1,291,2,0,448,3528,291,0 102,0,2024-09-07 09:00:10:950,68708,0.6,71092,0.8,143318,0.6,187370,2.00 102,1,2024-09-07 09:00:11:155,511239,511239,0,0,238186175650,2502124729,504717,5616,906,369,391883,0 102,2,2024-09-07 09:00:11:746,367853,367799,54,0,16295291,0,6768 102,3,2024-09-07 09:00:11:617,1,291,1,0,410,3050,291,0 103,0,2024-09-07 09:00:11:596,75089,0.5,75154,0.6,141668,0.4,195367,1.75 103,1,2024-09-07 09:00:11:632,510529,510529,0,0,237460645422,2505880971,502523,6115,1891,381,391829,0 103,2,2024-09-07 09:00:10:601,367846,367846,0,0,16664827,0,3173 103,3,2024-09-07 09:00:10:755,1,291,13,0,916,3824,291,0 104,0,2024-09-07 09:00:11:017,76878,0.8,77329,1.0,153305,0.8,206332,2.25 104,1,2024-09-07 09:00:11:614,511583,511583,0,0,237597242410,2503602060,503084,6840,1659,365,392168,0 104,2,2024-09-07 09:00:11:692,367426,367426,0,0,18352501,0,3941 104,3,2024-09-07 09:00:11:427,1,291,17,0,1245,6735,291,0 105,0,2024-09-07 09:00:11:046,72767,1.0,70836,1.2,147937,1.3,194886,3.25 105,1,2024-09-07 09:00:10:561,511918,511918,0,0,238223756378,2503655763,504222,6397,1299,366,391797,0 105,2,2024-09-07 09:00:11:331,367366,367366,0,0,17412529,0,3509 105,3,2024-09-07 09:00:11:315,1,291,2,0,399,4632,291,0 106,0,2024-09-07 09:00:10:992,67775,0.9,69446,1.0,142154,0.9,186104,2.50 106,1,2024-09-07 09:00:11:761,511314,511314,0,0,236590530463,2490608364,502856,7454,1004,369,391865,0 106,2,2024-09-07 09:00:10:761,365585,365585,0,0,16700287,0,2795 106,3,2024-09-07 09:00:10:695,1,291,1,0,470,4060,291,0 107,0,2024-09-07 09:00:11:105,70719,0.8,70594,0.9,141337,0.8,188475,2.00 107,1,2024-09-07 09:00:10:598,510381,510381,0,0,237416573048,2502756910,503424,6241,716,381,392234,0 107,2,2024-09-07 09:00:11:298,366670,366669,1,0,17746622,0,5024 107,3,2024-09-07 09:00:11:755,1,291,11,0,353,3921,291,0 108,0,2024-09-07 09:00:11:797,76891,0.4,77116,0.6,153546,0.4,205469,1.75 108,1,2024-09-07 09:00:11:309,512212,512212,0,0,238623945062,2489107431,508077,3716,419,368,391857,0 108,2,2024-09-07 09:00:11:774,366128,366128,0,0,16420367,0,4246 108,3,2024-09-07 09:00:11:572,1,291,24,0,749,6071,291,0 109,0,2024-09-07 09:00:11:767,75388,0.4,74610,0.6,149584,0.3,200103,1.75 109,1,2024-09-07 09:00:10:607,510721,510721,0,0,238724945932,2504803009,506143,3930,648,383,392132,0 109,2,2024-09-07 09:00:10:927,368688,368688,0,0,16419272,0,3617 109,3,2024-09-07 09:00:11:146,1,291,6,0,249,3210,291,0 110,0,2024-09-07 09:00:11:772,69327,0.4,67356,0.6,141021,0.3,184587,1.75 110,1,2024-09-07 09:00:11:901,513951,513951,0,0,238346703644,2474822348,510485,2557,909,369,392045,0 110,2,2024-09-07 09:00:11:308,367528,367528,0,0,15856210,0,4067 110,3,2024-09-07 09:00:10:701,1,291,1,0,406,3686,291,0 111,0,2024-09-07 09:00:11:427,69570,0.4,68952,0.5,138057,0.3,184965,1.75 111,1,2024-09-07 09:00:11:016,513949,513949,0,0,239457347964,2484275724,511405,2201,343,382,391690,0 111,2,2024-09-07 09:00:11:141,366341,366341,0,0,14979256,0,2763 111,3,2024-09-07 09:00:10:912,1,291,9,0,379,3782,291,0 112,0,2024-09-07 09:00:10:910,76348,0.3,75805,0.4,151946,0.2,202461,1.50 112,1,2024-09-07 09:00:10:823,514030,514030,0,0,239103742632,2479219944,511341,2253,436,380,391624,0 112,2,2024-09-07 09:00:11:147,367904,367903,1,0,14750964,0,5036 112,3,2024-09-07 09:00:10:607,1,291,1,0,282,3094,291,0 113,0,2024-09-07 09:00:10:868,75078,0.3,75106,0.5,150805,0.2,200885,1.50 113,1,2024-09-07 09:00:11:691,514888,514888,0,0,240483737420,2481291662,512111,2204,573,366,391661,0 113,2,2024-09-07 09:00:11:308,373000,373000,0,0,13268231,0,3813 113,3,2024-09-07 09:00:10:708,1,291,1,0,340,3844,291,0 114,0,2024-09-07 09:00:10:876,70755,0.4,71202,0.5,141496,0.2,188732,1.75 114,1,2024-09-07 09:00:10:718,513217,513217,0,0,238938774959,2485636987,508380,3248,1589,381,391556,0 114,2,2024-09-07 09:00:10:878,366869,366868,1,0,14288159,0,5069 114,3,2024-09-07 09:00:11:282,1,291,0,0,395,2863,291,0 115,0,2024-09-07 09:00:10:565,69469,0.3,69740,0.4,139684,0.2,185537,1.50 115,1,2024-09-07 09:00:10:582,513241,513241,0,0,238875114170,2482616434,508795,3607,839,382,391656,0 115,2,2024-09-07 09:00:11:156,367939,367939,0,0,12997400,0,2346 115,3,2024-09-07 09:00:11:004,1,291,12,0,159,1714,291,0 116,0,2024-09-07 09:00:11:736,73622,0.7,73458,0.8,147264,0.7,197342,2.00 116,1,2024-09-07 09:00:10:808,510626,510626,0,0,237524275388,2507822001,504060,4508,2058,380,391782,0 116,2,2024-09-07 09:00:11:755,367560,367560,0,0,18481648,0,3529 116,3,2024-09-07 09:00:10:914,1,291,2,0,415,3915,291,0 117,0,2024-09-07 09:00:11:009,77253,0.9,76856,0.9,153642,1.1,205313,2.00 117,1,2024-09-07 09:00:11:588,511597,511597,0,0,238061225954,2492000286,506192,4855,550,370,392033,0 117,2,2024-09-07 09:00:11:151,372241,372241,0,0,15108048,0,3700 117,3,2024-09-07 09:00:11:058,1,291,12,0,490,4437,291,0 118,0,2024-09-07 09:00:11:790,70326,0.6,72356,0.7,147160,0.5,192134,2.00 118,1,2024-09-07 09:00:10:590,511563,511563,0,0,237731498739,2500256673,504097,5641,1825,366,391736,0 118,2,2024-09-07 09:00:11:606,366198,366198,0,0,16033594,0,2781 118,3,2024-09-07 09:00:11:774,1,291,17,0,235,3294,291,0 119,0,2024-09-07 09:00:11:352,70468,0.8,70932,0.8,141922,0.8,188716,2.25 119,1,2024-09-07 09:00:10:564,512692,512692,0,0,238663518411,2494569261,507219,4806,667,367,391780,0 119,2,2024-09-07 09:00:11:268,367755,367755,0,0,15349270,0,4174 119,3,2024-09-07 09:00:11:328,1,291,10,0,443,3976,291,0 120,0,2024-09-07 09:00:11:555,71273,0.6,71095,0.8,142290,0.6,190952,2.25 120,1,2024-09-07 09:00:10:860,511815,511815,0,0,238199280704,2499813351,506265,5129,421,368,391961,0 120,2,2024-09-07 09:00:10:784,367716,367715,1,0,18892413,0,5281 120,3,2024-09-07 09:00:11:298,1,291,23,0,241,3613,291,0 121,0,2024-09-07 09:00:11:718,77597,1.2,77572,1.1,155708,1.6,206925,2.25 121,1,2024-09-07 09:00:11:666,512076,512076,0,0,238634416367,2498896583,506700,4947,429,367,391840,0 121,2,2024-09-07 09:00:11:133,366879,366879,0,0,18083353,0,4127 121,3,2024-09-07 09:00:10:733,1,291,1,0,269,3540,291,0 122,0,2024-09-07 09:00:11:785,74332,0.8,72444,0.9,151801,0.9,199411,2.00 122,1,2024-09-07 09:00:10:869,511127,511127,0,0,238040396118,2501195095,503543,6451,1133,366,392130,0 122,2,2024-09-07 09:00:11:335,368161,368088,73,0,20300186,0,5989 122,3,2024-09-07 09:00:10:603,1,291,12,0,411,5812,291,0 123,0,2024-09-07 09:00:10:966,69442,0.8,67849,0.8,141559,1.0,185318,2.25 123,1,2024-09-07 09:00:10:586,511290,511290,0,0,237960837131,2511377996,501376,7974,1940,369,392039,0 123,2,2024-09-07 09:00:11:020,365228,365227,1,0,17029492,0,5215 123,3,2024-09-07 09:00:11:146,1,291,26,0,168,3469,291,0 124,0,2024-09-07 09:00:10:945,72036,0.3,72056,0.5,135796,0.3,186943,1.75 124,1,2024-09-07 09:00:11:052,513372,513372,0,0,238571032972,2485205463,509038,3431,903,367,392178,0 124,2,2024-09-07 09:00:11:021,367757,367757,0,0,14393091,0,3101 124,3,2024-09-07 09:00:10:761,1,291,92,0,490,3078,291,0 125,0,2024-09-07 09:00:11:444,76214,0.3,75870,0.5,152593,0.3,202784,1.75 125,1,2024-09-07 09:00:10:860,511288,511288,0,0,238699420440,2491657794,507441,3398,449,383,391702,0 125,2,2024-09-07 09:00:11:130,367513,367513,0,0,15792198,0,4534 125,3,2024-09-07 09:00:11:146,1,291,5,0,709,3883,291,0 126,0,2024-09-07 09:00:11:502,75476,0.4,77531,0.6,148138,0.4,201151,1.75 126,1,2024-09-07 09:00:10:556,513931,513931,0,0,239018382445,2476742298,511046,2616,269,365,391987,0 126,2,2024-09-07 09:00:10:611,372683,372683,0,0,15629174,0,3186 126,3,2024-09-07 09:00:10:909,1,291,4,0,207,3928,291,0 127,0,2024-09-07 09:00:11:658,69056,0.3,69489,0.5,138603,0.3,184260,1.75 127,1,2024-09-07 09:00:10:587,513276,513276,0,0,238856034279,2479214609,509079,3683,514,365,391816,0 127,2,2024-09-07 09:00:10:657,367196,367196,0,0,13934500,0,2264 127,3,2024-09-07 09:00:11:270,1,291,11,0,968,3642,291,0 128,0,2024-09-07 09:00:11:775,69568,0.3,69614,0.4,139057,0.2,185114,1.50 128,1,2024-09-07 09:00:11:612,512944,512944,0,0,239081137660,2482416627,509675,2983,286,367,391798,0 128,2,2024-09-07 09:00:11:394,367749,367749,0,0,13718107,0,2434 128,3,2024-09-07 09:00:10:774,1,291,0,0,1082,5507,291,0 129,0,2024-09-07 09:00:11:009,75611,0.3,75249,0.4,150677,0.3,200783,1.50 129,1,2024-09-07 09:00:10:581,510124,510124,0,0,237474567138,2487334583,505611,3574,939,379,391835,0 129,2,2024-09-07 09:00:10:707,368891,368891,0,0,14741241,0,4031 129,3,2024-09-07 09:00:10:699,1,291,0,0,469,3849,291,0 130,0,2024-09-07 09:00:11:871,76846,0.6,76420,0.6,153435,0.6,203947,1.75 130,1,2024-09-07 09:00:10:595,512615,512615,0,0,238746454312,2481252423,510140,2395,80,381,391825,0 130,2,2024-09-07 09:00:11:133,372593,372593,0,0,14734526,0,4067 130,3,2024-09-07 09:00:11:298,1,291,1,0,450,3747,291,0 131,0,2024-09-07 09:00:12:044,71059,0.4,71520,0.5,143605,0.3,190110,1.75 131,1,2024-09-07 09:00:11:837,513110,513110,0,0,238812092599,2489362994,509891,2754,465,381,391865,0 131,2,2024-09-07 09:00:10:589,368843,368843,0,0,13012670,0,2415 131,3,2024-09-07 09:00:11:715,1,291,11,0,392,3041,291,0 132,0,2024-09-07 09:00:11:454,70264,0.5,71165,0.6,141734,0.4,187854,2.00 132,1,2024-09-07 09:00:10:593,510467,510467,0,0,237978733967,2510080852,502354,6653,1460,381,392097,0 132,2,2024-09-07 09:00:10:706,366986,366986,0,0,17096120,0,4606 132,3,2024-09-07 09:00:11:688,1,291,0,0,356,3880,291,0 133,0,2024-09-07 09:00:11:538,71119,0.4,72671,0.5,149018,0.3,193966,1.75 133,1,2024-09-07 09:00:10:608,511065,511065,0,0,237158929980,2499196101,504155,5917,993,383,391914,0 133,2,2024-09-07 09:00:11:100,368015,368015,0,0,18637364,0,4315 133,3,2024-09-07 09:00:11:307,1,291,6,0,276,2792,291,0 134,0,2024-09-07 09:00:10:945,77927,0.5,77888,0.7,155641,0.5,207430,2.00 134,1,2024-09-07 09:00:10:595,511255,511255,0,0,237768351154,2496675655,504278,5500,1477,366,391718,0 134,2,2024-09-07 09:00:11:770,368688,368688,0,0,15710628,0,3847 134,3,2024-09-07 09:00:10:756,1,291,54,0,739,4143,291,0 135,0,2024-09-07 09:00:11:138,71062,0.7,71078,0.9,150755,0.8,194715,2.00 135,1,2024-09-07 09:00:11:604,511027,511027,0,0,238336315317,2509507685,503595,6241,1191,380,391805,0 135,2,2024-09-07 09:00:10:709,368186,368186,0,0,16994579,0,3981 135,3,2024-09-07 09:00:11:009,1,291,7,0,299,2223,291,0 136,0,2024-09-07 09:00:11:625,70697,0.6,70591,0.7,140815,0.6,188103,2.25 136,1,2024-09-07 09:00:11:455,511162,511162,0,0,237755558458,2501298341,504679,5817,666,382,391685,0 136,2,2024-09-07 09:00:11:134,367555,367555,0,0,16884790,0,3506 136,3,2024-09-07 09:00:11:109,1,291,1,0,301,2886,291,0 137,0,2024-09-07 09:00:10:924,72948,0.7,70870,0.8,139544,0.8,189717,2.00 137,1,2024-09-07 09:00:10:580,510811,510811,0,0,238302752976,2505001628,502168,6842,1801,366,391708,0 137,2,2024-09-07 09:00:11:717,366973,366973,0,0,18991779,0,3185 137,3,2024-09-07 09:00:10:774,1,291,0,0,382,3649,291,0 138,0,2024-09-07 09:00:11:785,76154,1.3,76473,1.1,153367,1.8,204196,2.25 138,1,2024-09-07 09:00:11:701,510770,510770,0,0,238873187191,2511484118,502401,6797,1572,368,391954,0 138,2,2024-09-07 09:00:10:607,366722,366722,0,0,17303394,0,4988 138,3,2024-09-07 09:00:10:625,1,291,1,0,1160,4179,291,0 139,0,2024-09-07 09:00:11:372,73865,1.5,74273,1.1,148552,2.2,198389,2.50 139,1,2024-09-07 09:00:10:632,509228,509228,0,0,236456123356,2515436324,499166,7543,2519,381,391892,0 139,2,2024-09-07 09:00:10:698,368007,368007,0,0,18199000,0,3097 139,3,2024-09-07 09:00:11:679,1,291,9,0,257,3240,291,0 140,0,2024-09-07 09:00:11:593,69543,0.3,69061,0.5,138928,0.2,184899,1.75 140,1,2024-09-07 09:00:11:545,514358,514358,0,0,239251391738,2469357100,511404,2516,438,365,391606,0 140,2,2024-09-07 09:00:10:699,367797,367797,0,0,14065820,0,3388 140,3,2024-09-07 09:00:10:788,1,291,0,0,247,2411,291,0 141,0,2024-09-07 09:00:11:705,69309,0.3,71274,0.4,136260,0.2,184903,1.50 141,1,2024-09-07 09:00:10:872,514044,514044,0,0,239727047941,2489825154,510520,3067,457,379,391614,0 141,2,2024-09-07 09:00:11:689,366920,366920,0,0,14404324,0,3360 141,3,2024-09-07 09:00:11:048,1,291,1,0,391,2978,291,0 142,0,2024-09-07 09:00:11:317,76564,0.3,75883,0.5,151421,0.3,202735,1.50 142,1,2024-09-07 09:00:10:593,512692,512692,0,0,238724730036,2491218442,509083,3260,349,382,392102,0 142,2,2024-09-07 09:00:11:309,367504,367472,32,0,15982148,0,6028 142,3,2024-09-07 09:00:11:761,1,291,32,0,484,3890,291,0 143,0,2024-09-07 09:00:11:388,75424,0.5,75422,0.6,151158,0.5,200879,1.75 143,1,2024-09-07 09:00:10:561,513618,513618,0,0,239138608737,2480974459,510299,3180,139,367,391651,0 143,2,2024-09-07 09:00:10:782,371783,371783,0,0,15060281,0,2750 143,3,2024-09-07 09:00:11:148,1,291,8,0,303,3620,291,0 144,0,2024-09-07 09:00:11:539,68135,0.6,70039,0.8,142678,0.5,186943,2.00 144,1,2024-09-07 09:00:10:565,510774,510774,0,0,237577692918,2493539119,506133,3705,936,381,391649,0 144,2,2024-09-07 09:00:11:771,367457,367457,0,0,13742497,0,3473 144,3,2024-09-07 09:00:11:746,1,291,11,0,249,3162,291,0 145,0,2024-09-07 09:00:11:424,67044,0.5,67062,0.8,142334,0.5,183444,2.25 145,1,2024-09-07 09:00:10:562,510779,510779,0,0,238325017832,2503706141,504879,5070,830,382,391698,0 145,2,2024-09-07 09:00:11:495,365794,365794,0,0,16517041,0,3903 145,3,2024-09-07 09:00:10:911,1,291,1,0,622,4562,291,0 146,0,2024-09-07 09:00:11:594,73839,0.5,73218,0.7,147604,0.4,196670,2.00 146,1,2024-09-07 09:00:11:596,511570,511570,0,0,237781591206,2503945953,502750,6926,1894,368,391770,0 146,2,2024-09-07 09:00:11:697,368116,368116,0,0,17112388,0,2730 146,3,2024-09-07 09:00:11:294,1,291,108,0,1520,6353,291,0 147,0,2024-09-07 09:00:11:725,77069,0.6,76828,0.8,152832,0.6,204775,2.00 147,1,2024-09-07 09:00:11:398,513254,513254,0,0,239021890045,2486817577,508720,3878,656,368,391791,0 147,2,2024-09-07 09:00:11:012,370970,370970,0,0,14501135,0,2789 147,3,2024-09-07 09:00:10:916,1,291,184,0,730,4425,291,0 0,0,2024-09-07 09:00:21:719,69775,0.5,69790,0.7,148190,0.5,192073,1.75 0,1,2024-09-07 09:00:20:819,514070,514070,0,0,240017355154,2510012024,510288,3569,213,370,391896,0 0,2,2024-09-07 09:00:21:079,369903,369903,0,0,14836564,0,4480 0,3,2024-09-07 09:00:20:974,1,292,7,0,319,3760,292,0 1,0,2024-09-07 09:00:21:787,77926,0.9,77467,1.0,155725,1.1,207691,2.00 1,1,2024-09-07 09:00:20:557,513530,513530,0,0,238393199349,2496429978,508894,3581,1055,370,391857,0 1,2,2024-09-07 09:00:20:643,368629,368629,0,0,14303604,0,3267 1,3,2024-09-07 09:00:21:309,1,292,0,0,262,3366,292,0 2,0,2024-09-07 09:00:21:567,74843,0.7,75163,0.8,149044,0.8,199676,2.00 2,1,2024-09-07 09:00:20:865,515135,515135,0,0,239973687240,2492428490,512818,2097,220,380,391745,0 2,2,2024-09-07 09:00:21:271,370271,370271,0,0,14372668,0,3594 2,3,2024-09-07 09:00:20:690,1,292,10,0,357,2877,292,0 3,0,2024-09-07 09:00:21:762,69752,0.4,69867,0.6,139530,0.4,185559,2.00 3,1,2024-09-07 09:00:21:628,513395,513395,0,0,238903782396,2488320739,509095,3816,484,380,391556,0 3,2,2024-09-07 09:00:21:142,368990,368967,23,0,14415679,0,5851 3,3,2024-09-07 09:00:21:755,1,292,8,0,103,1765,292,0 4,0,2024-09-07 09:00:21:959,68188,0.4,70226,0.5,142470,0.3,187460,1.75 4,1,2024-09-07 09:00:20:601,513233,513233,0,0,238375419556,2505372534,507642,4588,1003,371,391992,0 4,2,2024-09-07 09:00:21:018,367454,367454,0,0,17303804,0,4528 4,3,2024-09-07 09:00:21:029,1,292,1,0,448,4263,292,0 5,0,2024-09-07 09:00:21:372,76302,0.4,76511,0.5,152389,0.4,202879,1.75 5,1,2024-09-07 09:00:20:767,513109,513109,0,0,239099044342,2514524140,506641,5143,1325,368,392005,0 5,2,2024-09-07 09:00:21:841,367373,367373,0,0,15939040,0,2432 5,3,2024-09-07 09:00:21:757,1,292,2,0,457,4307,292,0 6,0,2024-09-07 09:00:20:915,75991,0.5,75531,0.7,150951,0.5,201865,2.00 6,1,2024-09-07 09:00:20:754,513753,513753,0,0,238901348742,2495475236,508402,4424,927,379,391694,0 6,2,2024-09-07 09:00:21:117,372244,372244,0,0,15620720,0,4816 6,3,2024-09-07 09:00:21:283,1,292,42,0,340,3390,292,0 7,0,2024-09-07 09:00:21:540,69049,0.5,69328,0.6,138231,0.4,183830,2.00 7,1,2024-09-07 09:00:20:852,512812,512812,0,0,238973313835,2508263626,506078,5623,1111,382,391747,0 7,2,2024-09-07 09:00:20:777,368107,368107,0,0,15177142,0,4791 7,3,2024-09-07 09:00:20:852,1,292,9,0,398,3410,292,0 8,0,2024-09-07 09:00:21:358,69709,0.4,69476,0.5,138930,0.3,185749,1.75 8,1,2024-09-07 09:00:21:021,512160,512160,0,0,238460501429,2516325002,502688,7260,2212,366,392144,0 8,2,2024-09-07 09:00:20:794,363840,363840,0,0,19228861,0,3220 8,3,2024-09-07 09:00:20:584,1,292,1,0,538,5104,292,0 9,0,2024-09-07 09:00:21:116,75723,0.4,73516,0.5,153768,0.3,201797,1.75 9,1,2024-09-07 09:00:20:556,512407,512407,0,0,239112176925,2520354677,505031,5732,1644,369,392001,0 9,2,2024-09-07 09:00:21:086,368632,368632,0,0,17300351,0,3360 9,3,2024-09-07 09:00:21:756,1,292,5,0,496,4474,292,0 10,0,2024-09-07 09:00:21:612,76447,0.3,75875,0.5,152501,0.3,202936,1.75 10,1,2024-09-07 09:00:20:583,512983,512983,0,0,239855136177,2515347845,505716,6280,987,381,391741,0 10,2,2024-09-07 09:00:20:764,372197,372197,0,0,18597343,0,4264 10,3,2024-09-07 09:00:20:875,1,292,22,0,296,2705,292,0 11,0,2024-09-07 09:00:21:006,70851,0.5,68805,0.7,143971,0.4,190329,1.75 11,1,2024-09-07 09:00:20:570,513210,513210,0,0,238308730387,2508474128,503645,7151,2414,383,391573,0 11,2,2024-09-07 09:00:21:131,367428,367428,0,0,16637431,0,4130 11,3,2024-09-07 09:00:21:300,1,292,9,0,843,4582,292,0 12,0,2024-09-07 09:00:20:969,70947,0.3,70896,0.5,141802,0.3,187806,1.75 12,1,2024-09-07 09:00:20:960,513620,513620,0,0,239493522018,2500118460,508989,4148,483,370,391870,0 12,2,2024-09-07 09:00:21:547,368467,368467,0,0,16268426,0,3469 12,3,2024-09-07 09:00:21:059,1,292,3,0,386,4780,292,0 13,0,2024-09-07 09:00:21:330,73563,0.4,73403,0.5,146792,0.3,195533,1.75 13,1,2024-09-07 09:00:21:548,513141,513141,0,0,238593164977,2508786844,508459,3417,1265,382,391740,0 13,2,2024-09-07 09:00:20:595,371092,371092,0,0,15273423,0,3287 13,3,2024-09-07 09:00:21:762,1,292,1,0,522,5028,292,0 14,0,2024-09-07 09:00:20:565,78059,0.4,78671,0.6,155698,0.4,207393,1.75 14,1,2024-09-07 09:00:21:563,516236,516236,0,0,240340909929,2490117304,512486,3475,275,364,391571,0 14,2,2024-09-07 09:00:20:764,370150,370150,0,0,15208377,0,2896 14,3,2024-09-07 09:00:21:116,1,292,5,0,1168,3962,292,0 15,0,2024-09-07 09:00:21:569,73687,0.4,73777,0.7,147484,0.4,196198,2.00 15,1,2024-09-07 09:00:21:610,514706,514706,0,0,239244733041,2488110998,511831,2697,178,381,391619,0 15,2,2024-09-07 09:00:20:998,370260,370260,0,0,12743005,0,3043 15,3,2024-09-07 09:00:21:407,1,292,8,0,1126,5608,292,0 16,0,2024-09-07 09:00:20:970,70700,0.6,70982,0.8,141370,0.7,188372,2.25 16,1,2024-09-07 09:00:20:566,514496,514496,0,0,239020468364,2497071074,510559,3586,351,370,391756,0 16,2,2024-09-07 09:00:21:449,368374,368374,0,0,15484115,0,4719 16,3,2024-09-07 09:00:21:145,1,292,0,0,317,4238,292,0 17,0,2024-09-07 09:00:21:784,73172,0.5,71545,0.8,139727,0.5,189864,2.00 17,1,2024-09-07 09:00:20:570,513473,513473,0,0,238522283650,2504360011,507998,4336,1139,368,391899,0 17,2,2024-09-07 09:00:21:685,371913,371913,0,0,15386228,0,2857 17,3,2024-09-07 09:00:20:574,1,292,1,0,268,4569,292,0 18,0,2024-09-07 09:00:20:946,76375,0.7,76951,0.8,153001,0.7,204464,2.25 18,1,2024-09-07 09:00:21:648,514968,514968,0,0,240039086919,2487818896,512141,2585,242,367,391649,0 18,2,2024-09-07 09:00:21:756,370277,370277,0,0,14447541,0,3541 18,3,2024-09-07 09:00:20:901,1,292,4,0,163,2658,292,0 19,0,2024-09-07 09:00:21:544,74725,0.6,75218,0.8,149260,0.6,198872,2.25 19,1,2024-09-07 09:00:20:568,514761,514761,0,0,240224985495,2495149812,509752,4172,837,367,391777,0 19,2,2024-09-07 09:00:21:753,372787,372787,0,0,13205979,0,3988 19,3,2024-09-07 09:00:21:129,1,292,12,0,524,2244,292,0 20,0,2024-09-07 09:00:21:353,69237,0.6,69217,0.7,138567,0.6,184771,2.00 20,1,2024-09-07 09:00:20:570,513440,513440,0,0,238788548533,2496085111,509442,3596,402,369,391886,0 20,2,2024-09-07 09:00:20:939,369089,369089,0,0,14882989,0,3721 20,3,2024-09-07 09:00:20:600,1,292,2,0,414,4933,292,0 21,0,2024-09-07 09:00:21:147,69647,0.4,69759,0.6,139232,0.4,184722,2.00 21,1,2024-09-07 09:00:21:556,511962,511962,0,0,237911003019,2505904102,505200,5135,1627,368,392016,0 21,2,2024-09-07 09:00:21:077,366900,366900,0,0,17718019,0,3747 21,3,2024-09-07 09:00:21:409,1,292,15,0,103,2988,292,0 22,0,2024-09-07 09:00:21:730,76057,0.5,76238,0.7,152469,0.4,202471,2.00 22,1,2024-09-07 09:00:21:023,513388,513388,0,0,238789110249,2511465120,505736,5985,1667,382,391667,0 22,2,2024-09-07 09:00:20:761,368039,368039,0,0,15031723,0,3134 22,3,2024-09-07 09:00:21:072,1,292,10,0,228,2580,292,0 23,0,2024-09-07 09:00:21:382,75093,0.6,74980,0.7,150190,0.6,200089,2.25 23,1,2024-09-07 09:00:21:005,514015,514015,0,0,238943110868,2506898079,506020,5328,2667,365,391690,0 23,2,2024-09-07 09:00:21:095,373018,373018,0,0,14721994,0,3010 23,3,2024-09-07 09:00:21:756,1,292,8,0,645,3569,292,0 24,0,2024-09-07 09:00:20:827,70970,0.4,70812,0.6,142181,0.4,188306,1.75 24,1,2024-09-07 09:00:20:581,512985,512985,0,0,238116835922,2500230796,506612,4851,1522,368,391987,0 24,2,2024-09-07 09:00:21:072,366995,366995,0,0,17924894,0,3607 24,3,2024-09-07 09:00:21:688,1,292,6,0,468,4140,292,0 25,0,2024-09-07 09:00:21:378,71313,0.4,69528,0.6,136446,0.4,185903,2.00 25,1,2024-09-07 09:00:20:558,513939,513939,0,0,238508432789,2500578779,508042,5078,819,371,391928,0 25,2,2024-09-07 09:00:21:613,367119,367119,0,0,18535009,0,3978 25,3,2024-09-07 09:00:21:005,1,292,9,0,255,3096,292,0 26,0,2024-09-07 09:00:21:724,74166,0.4,72366,0.5,151881,0.3,198301,1.75 26,1,2024-09-07 09:00:21:550,513954,513954,0,0,238866033193,2506099999,506177,6529,1248,381,391748,0 26,2,2024-09-07 09:00:20:866,369608,369608,0,0,18286174,0,2809 26,3,2024-09-07 09:00:21:716,1,292,5,0,796,3646,292,0 27,0,2024-09-07 09:00:21:733,77396,0.5,77484,0.6,153325,0.5,204903,2.00 27,1,2024-09-07 09:00:21:692,515618,515618,0,0,240221661393,2503047021,510816,4041,761,381,391626,0 27,2,2024-09-07 09:00:20:868,369322,369257,65,0,18349762,0,5699 27,3,2024-09-07 09:00:21:015,1,292,13,0,564,3145,292,0 28,0,2024-09-07 09:00:21:387,72652,0.4,72506,0.6,145209,0.4,193381,2.00 28,1,2024-09-07 09:00:20:804,514860,514860,0,0,240009348091,2502464748,510853,3333,674,383,391646,0 28,2,2024-09-07 09:00:21:765,368167,368167,0,0,14616888,0,2915 28,3,2024-09-07 09:00:21:787,1,292,74,0,502,2986,292,0 29,0,2024-09-07 09:00:21:365,73071,0.4,71257,0.6,139499,0.3,190442,1.75 29,1,2024-09-07 09:00:21:562,515749,515749,0,0,239833922394,2483234527,512259,2922,568,369,391753,0 29,2,2024-09-07 09:00:20:865,368012,368012,0,0,14488668,0,4233 29,3,2024-09-07 09:00:20:964,1,292,5,0,284,2492,292,0 30,0,2024-09-07 09:00:21:456,71901,0.5,69748,0.7,145967,0.4,191646,2.00 30,1,2024-09-07 09:00:20:580,515969,515969,0,0,240177425818,2493244526,512320,3139,510,382,391672,0 30,2,2024-09-07 09:00:21:276,370066,370066,0,0,14222598,0,3161 30,3,2024-09-07 09:00:20:581,1,292,6,0,519,2771,292,0 31,0,2024-09-07 09:00:21:758,77438,0.5,77811,0.7,155705,0.4,207332,2.00 31,1,2024-09-07 09:00:20:569,517675,517675,0,0,241058204799,2473193426,515591,1655,429,356,391712,0 31,2,2024-09-07 09:00:21:276,369425,369425,0,0,15836309,0,3525 31,3,2024-09-07 09:00:21:705,1,292,1,0,220,2245,292,0 32,0,2024-09-07 09:00:21:456,74776,0.3,75114,0.5,150235,0.3,200067,1.75 32,1,2024-09-07 09:00:20:807,515354,515354,0,0,239912591837,2490274403,512901,2174,279,381,391646,0 32,2,2024-09-07 09:00:20:939,370422,370422,0,0,13130255,0,3155 32,3,2024-09-07 09:00:21:015,1,292,18,0,227,2313,292,0 33,0,2024-09-07 09:00:21:521,70167,0.3,69616,0.5,139954,0.2,186496,1.75 33,1,2024-09-07 09:00:20:575,515845,515845,0,0,240592137530,2488980117,512344,3141,360,369,391730,0 33,2,2024-09-07 09:00:20:759,369688,369655,33,0,14711948,0,7012 33,3,2024-09-07 09:00:20:895,1,292,3,0,329,3280,292,0 34,0,2024-09-07 09:00:20:929,70314,0.3,72490,0.4,138766,0.2,186829,1.75 34,1,2024-09-07 09:00:21:044,516860,516860,0,0,240475495194,2472906223,515631,1222,7,367,391562,0 34,2,2024-09-07 09:00:20:765,368981,368981,0,0,14351201,0,3577 34,3,2024-09-07 09:00:21:689,1,292,0,0,299,2336,292,0 35,0,2024-09-07 09:00:20:856,75641,0.3,76028,0.5,153011,0.2,203528,1.75 35,1,2024-09-07 09:00:21:073,515175,515175,0,0,239020277227,2478777625,511681,2714,780,384,391589,0 35,2,2024-09-07 09:00:21:583,369049,369049,0,0,14488004,0,2653 35,3,2024-09-07 09:00:20:907,1,292,8,0,418,3787,292,0 36,0,2024-09-07 09:00:21:521,75851,0.5,75804,0.7,151583,0.5,201597,2.25 36,1,2024-09-07 09:00:20:583,514914,514914,0,0,239280218096,2500005874,508635,4695,1584,366,391759,0 36,2,2024-09-07 09:00:21:751,372265,372265,0,0,15998828,0,3875 36,3,2024-09-07 09:00:20:864,1,292,31,0,416,4621,292,0 37,0,2024-09-07 09:00:21:370,68983,0.5,68966,0.7,138110,0.5,184790,2.00 37,1,2024-09-07 09:00:20:570,513545,513538,0,7,238716201851,2496889061,507304,4163,2071,365,391560,0 37,2,2024-09-07 09:00:21:142,367267,367252,15,0,15802256,0,5815 37,3,2024-09-07 09:00:21:766,1,292,6,0,888,4679,292,0 38,0,2024-09-07 09:00:21:440,68955,0.4,67043,0.6,140230,0.4,183619,2.00 38,1,2024-09-07 09:00:21:605,515391,515391,0,0,240418221389,2504474948,509983,4732,676,368,391821,0 38,2,2024-09-07 09:00:20:762,367339,367292,47,0,16885393,0,6710 38,3,2024-09-07 09:00:20:996,1,292,0,0,689,3775,292,0 39,0,2024-09-07 09:00:21:764,77352,0.5,75759,0.7,147455,0.5,201976,2.00 39,1,2024-09-07 09:00:20:720,514452,514452,0,0,239069387688,2499213527,507576,5663,1213,365,391594,0 39,2,2024-09-07 09:00:21:426,369082,369082,0,0,14954528,0,2689 39,3,2024-09-07 09:00:20:717,1,292,16,0,324,3790,292,0 40,0,2024-09-07 09:00:21:500,75499,0.8,76149,1.0,152185,0.8,202657,2.75 40,1,2024-09-07 09:00:20:578,514303,514303,0,0,238712937919,2502328365,506364,6485,1454,368,391668,0 40,2,2024-09-07 09:00:21:305,371862,371861,1,0,18358359,0,5137 40,3,2024-09-07 09:00:21:142,1,292,10,0,398,3370,292,0 41,0,2024-09-07 09:00:21:034,70634,1.7,72322,1.3,138160,2.7,188286,3.25 41,1,2024-09-07 09:00:20:775,513867,513867,0,0,239147470212,2500197533,507990,5242,635,370,391742,0 41,2,2024-09-07 09:00:20:760,366483,366483,0,0,17204260,0,3356 41,3,2024-09-07 09:00:21:676,1,292,1,0,366,3128,292,0 42,0,2024-09-07 09:00:21:477,69804,0.7,69814,0.9,139961,0.7,184454,2.50 42,1,2024-09-07 09:00:21:443,513063,513063,0,0,238417138350,2507558949,504757,6743,1563,380,391675,0 42,2,2024-09-07 09:00:21:133,368293,368293,0,0,16902307,0,3568 42,3,2024-09-07 09:00:21:017,1,292,4,0,446,2542,292,0 43,0,2024-09-07 09:00:20:916,72688,0.8,70843,0.9,148279,0.8,195069,2.25 43,1,2024-09-07 09:00:20:575,514368,514368,0,0,240169784735,2512310856,506811,6192,1365,366,391696,0 43,2,2024-09-07 09:00:21:741,369865,369865,0,0,17404374,0,3812 43,3,2024-09-07 09:00:21:750,1,292,10,0,467,3664,292,0 44,0,2024-09-07 09:00:20:887,77866,0.5,78298,0.6,156085,0.4,207552,1.75 44,1,2024-09-07 09:00:20:563,516038,516038,0,0,238943075184,2468643127,512640,2801,597,356,391809,0 44,2,2024-09-07 09:00:21:279,369636,369636,0,0,14377593,0,4344 44,3,2024-09-07 09:00:21:100,1,292,5,0,817,3414,292,0 45,0,2024-09-07 09:00:21:758,72703,0.6,70992,0.8,148982,0.5,195906,2.00 45,1,2024-09-07 09:00:21:011,515267,515267,0,0,240104069560,2490669909,512813,2307,147,382,391917,0 45,2,2024-09-07 09:00:21:272,369155,369155,0,0,14420705,0,3596 45,3,2024-09-07 09:00:20:937,1,292,0,0,271,2986,292,0 46,0,2024-09-07 09:00:20:950,70177,0.5,70211,0.7,140530,0.5,186625,2.00 46,1,2024-09-07 09:00:20:576,516597,516597,0,0,239718594320,2475067498,513762,2508,327,366,391539,0 46,2,2024-09-07 09:00:20:593,369310,369310,0,0,14093979,0,2920 46,3,2024-09-07 09:00:21:138,1,292,1,0,908,4392,292,0 47,0,2024-09-07 09:00:21:101,71414,0.5,71505,0.6,143352,0.4,189608,1.75 47,1,2024-09-07 09:00:20:571,515988,515988,0,0,240000100344,2479757264,512948,2682,358,366,391641,0 47,2,2024-09-07 09:00:20:911,371396,371396,0,0,14494436,0,4477 47,3,2024-09-07 09:00:21:115,1,292,480,0,529,3238,292,0 48,0,2024-09-07 09:00:21:490,78058,0.3,77596,0.4,154802,0.2,207256,1.75 48,1,2024-09-07 09:00:21:023,515276,515276,0,0,240230020717,2495745883,511810,3165,301,384,391710,0 48,2,2024-09-07 09:00:20:703,368657,368657,0,0,13380823,0,3031 48,3,2024-09-07 09:00:20:754,1,292,9,0,339,2413,292,0 49,0,2024-09-07 09:00:21:713,77159,0.3,75746,0.5,146909,0.3,201345,1.75 49,1,2024-09-07 09:00:21:021,514459,514459,0,0,240018859701,2500964465,510393,2882,1184,382,391809,0 49,2,2024-09-07 09:00:21:797,372529,372529,0,0,14021851,0,3900 49,3,2024-09-07 09:00:21:423,1,292,1,0,408,3258,292,0 50,0,2024-09-07 09:00:21:508,69352,0.3,68739,0.5,138410,0.2,184473,1.75 50,1,2024-09-07 09:00:21:010,516091,516091,0,0,241385558851,2495578452,512889,2892,310,368,391540,0 50,2,2024-09-07 09:00:21:072,368992,368992,0,0,13136872,0,2263 50,3,2024-09-07 09:00:21:311,1,292,8,0,335,2493,292,0 51,0,2024-09-07 09:00:21:684,71287,0.3,69728,0.4,135998,0.2,185299,1.50 51,1,2024-09-07 09:00:21:687,516620,516620,0,0,240675519887,2484481566,513654,2025,941,365,391706,0 51,2,2024-09-07 09:00:21:315,368352,368352,0,0,12442961,0,3337 51,3,2024-09-07 09:00:21:027,1,292,1,0,678,2265,292,0 52,0,2024-09-07 09:00:21:426,76624,0.4,76419,0.6,153001,0.4,203280,2.00 52,1,2024-09-07 09:00:20:578,514427,514427,0,0,238730856869,2500888756,506924,6249,1254,368,391722,0 52,2,2024-09-07 09:00:21:755,366031,365993,38,0,16934288,0,6742 52,3,2024-09-07 09:00:20:674,1,292,2,0,1782,4682,292,0 53,0,2024-09-07 09:00:21:730,74947,0.7,72876,0.8,152219,0.7,199587,2.25 53,1,2024-09-07 09:00:20:775,512994,512994,0,0,239257012234,2511320250,504879,5666,2449,367,391702,0 53,2,2024-09-07 09:00:21:306,373374,373374,0,0,14263382,0,2727 53,3,2024-09-07 09:00:20:711,1,292,2,0,308,2725,292,0 54,0,2024-09-07 09:00:21:631,69357,0.6,69875,0.8,138705,0.5,185510,2.50 54,1,2024-09-07 09:00:20:582,514614,514614,0,0,239917004366,2493822435,509508,4507,599,367,391659,0 54,2,2024-09-07 09:00:20:865,368180,368174,6,0,16194255,0,5382 54,3,2024-09-07 09:00:20:763,1,292,2,0,676,4720,292,0 55,0,2024-09-07 09:00:21:781,66996,0.5,69515,0.7,139720,0.5,182138,2.25 55,1,2024-09-07 09:00:20:764,514208,514208,0,0,239476329204,2493328027,508020,5280,908,365,391731,0 55,2,2024-09-07 09:00:20:736,367511,367511,0,0,15941140,0,3563 55,3,2024-09-07 09:00:20:674,1,292,7,0,304,3119,292,0 56,0,2024-09-07 09:00:21:558,76029,1.1,71622,1.1,147638,1.5,198362,2.50 56,1,2024-09-07 09:00:20:579,512199,512199,0,0,238291628116,2519968486,504128,6523,1548,381,391867,0 56,2,2024-09-07 09:00:21:306,369507,369507,0,0,17446124,0,3567 56,3,2024-09-07 09:00:21:060,1,292,1,0,705,3973,292,0 57,0,2024-09-07 09:00:20:979,76035,1.7,75839,1.3,152029,2.4,203474,3.25 57,1,2024-09-07 09:00:21:010,513927,513927,0,0,239208718630,2506371783,507924,5299,704,366,392032,0 57,2,2024-09-07 09:00:21:322,371411,371411,0,0,18749068,0,3317 57,3,2024-09-07 09:00:21:742,1,292,2,0,359,3641,292,0 58,0,2024-09-07 09:00:20:555,71254,1.0,69373,1.0,145226,1.3,190032,2.50 58,1,2024-09-07 09:00:20:578,514672,514669,0,3,239897697126,2508512531,507722,6058,889,367,391603,3 58,2,2024-09-07 09:00:21:071,368014,368014,0,0,16820248,0,2549 58,3,2024-09-07 09:00:21:070,1,292,4,0,1043,3204,292,0 59,0,2024-09-07 09:00:21:742,70762,0.7,70460,0.9,140653,0.7,186925,2.75 59,1,2024-09-07 09:00:20:807,513795,513795,0,0,239790863060,2510186619,507136,5376,1283,369,391578,0 59,2,2024-09-07 09:00:20:584,369779,369779,0,0,15785499,0,2604 59,3,2024-09-07 09:00:21:738,1,292,20,0,1015,4001,292,0 60,0,2024-09-07 09:00:21:732,72056,0.5,71932,0.6,144948,0.4,192313,1.75 60,1,2024-09-07 09:00:20:819,515873,515873,0,0,240466527209,2492991005,513049,2298,526,370,392031,0 60,2,2024-09-07 09:00:21:169,369962,369962,0,0,16737710,0,3811 60,3,2024-09-07 09:00:21:258,1,292,1,0,175,3125,292,0 61,0,2024-09-07 09:00:21:493,77706,0.7,78149,0.8,155378,0.7,207175,2.00 61,1,2024-09-07 09:00:20:775,513862,513862,0,0,238860349789,2502616148,508017,4931,914,382,391880,0 61,2,2024-09-07 09:00:21:116,369986,369986,0,0,15133583,0,3598 61,3,2024-09-07 09:00:21:702,1,292,5,0,479,4440,292,0 62,0,2024-09-07 09:00:21:717,75372,0.6,76919,0.7,146586,0.6,199789,2.00 62,1,2024-09-07 09:00:21:111,517118,517112,0,6,241228874883,2489352784,514262,2689,161,365,391715,6 62,2,2024-09-07 09:00:21:645,368755,368754,1,0,16304131,0,5555 62,3,2024-09-07 09:00:21:142,1,292,36,0,465,2441,292,0 63,0,2024-09-07 09:00:21:468,69852,0.4,69997,0.6,139931,0.4,186168,1.75 63,1,2024-09-07 09:00:20:809,515625,515619,0,6,239864874706,2488553108,512798,2587,234,381,391677,6 63,2,2024-09-07 09:00:20:769,369018,369018,0,0,14475026,0,4369 63,3,2024-09-07 09:00:21:731,1,292,11,0,667,3239,292,0 64,0,2024-09-07 09:00:21:537,69897,0.5,69934,0.6,139818,0.4,185945,1.75 64,1,2024-09-07 09:00:20:755,514338,514338,0,0,239947450242,2500803497,509649,3273,1416,370,391783,0 64,2,2024-09-07 09:00:21:142,372304,372285,19,0,14491316,0,6121 64,3,2024-09-07 09:00:21:140,1,292,2,0,265,2712,292,0 65,0,2024-09-07 09:00:21:690,75745,0.6,76111,0.7,151876,0.6,202230,2.00 65,1,2024-09-07 09:00:20:865,513607,513607,0,0,239063264573,2499531643,509863,3296,448,382,391901,0 65,2,2024-09-07 09:00:21:697,369144,369144,0,0,15911764,0,3367 65,3,2024-09-07 09:00:21:686,1,292,1,0,163,2755,292,0 66,0,2024-09-07 09:00:21:774,75399,0.5,75259,0.7,150823,0.5,200270,2.00 66,1,2024-09-07 09:00:21:294,514890,514890,0,0,240232470076,2496324685,511910,2734,246,380,391653,0 66,2,2024-09-07 09:00:21:152,374253,374253,0,0,14850159,0,4956 66,3,2024-09-07 09:00:21:086,1,292,6,0,291,2934,292,0 67,0,2024-09-07 09:00:21:415,69832,0.6,69056,0.7,138983,0.5,184966,2.00 67,1,2024-09-07 09:00:20:769,515031,515030,0,1,239636769416,2498021463,510982,3299,749,380,391787,1 67,2,2024-09-07 09:00:20:583,369298,369298,0,0,13681599,0,2889 67,3,2024-09-07 09:00:21:750,1,292,9,0,338,2604,292,0 68,0,2024-09-07 09:00:20:599,69696,0.5,69488,0.7,138614,0.5,185370,2.00 68,1,2024-09-07 09:00:20:580,514004,514004,0,0,238410755798,2498190605,509672,3161,1171,381,391953,0 68,2,2024-09-07 09:00:21:045,366523,366458,65,0,18143580,0,6698 68,3,2024-09-07 09:00:20:737,1,292,2,0,417,3239,292,0 69,0,2024-09-07 09:00:21:730,75277,0.7,75660,0.8,150569,0.8,200531,2.25 69,1,2024-09-07 09:00:21:016,512867,512867,0,0,238287628278,2513282611,506463,4817,1587,384,391994,0 69,2,2024-09-07 09:00:21:733,369159,369159,0,0,18151243,0,3722 69,3,2024-09-07 09:00:20:761,1,292,1,0,698,4170,292,0 70,0,2024-09-07 09:00:21:537,75628,1.0,75709,1.1,152032,0.8,201273,2.50 70,1,2024-09-07 09:00:20:804,515919,515919,0,0,239912969134,2491417601,511626,3757,536,366,391725,0 70,2,2024-09-07 09:00:21:325,371547,371547,0,0,16544921,0,4044 70,3,2024-09-07 09:00:20:753,1,292,1,0,854,3427,292,0 71,0,2024-09-07 09:00:21:355,70700,1.0,70552,1.1,141582,1.3,189041,2.75 71,1,2024-09-07 09:00:21:613,513656,513656,0,0,239870046842,2502400724,508014,4999,643,368,391738,0 71,2,2024-09-07 09:00:21:072,368074,368074,0,0,16409280,0,4042 71,3,2024-09-07 09:00:21:750,1,292,9,0,644,3954,292,0 72,0,2024-09-07 09:00:21:034,72772,0.7,71102,0.8,138766,0.7,188300,2.00 72,1,2024-09-07 09:00:21:024,513635,513635,0,0,238653065991,2499919978,506443,5646,1546,369,391819,0 72,2,2024-09-07 09:00:21:755,367161,367161,0,0,18745477,0,3983 72,3,2024-09-07 09:00:21:760,1,292,1,0,564,4843,292,0 73,0,2024-09-07 09:00:21:136,71430,0.4,73052,0.6,149857,0.3,194665,2.00 73,1,2024-09-07 09:00:20:798,514841,514841,0,0,239824182256,2493094987,511225,3325,291,367,391750,0 73,2,2024-09-07 09:00:21:742,370444,370444,0,0,18220479,0,3701 73,3,2024-09-07 09:00:20:969,1,292,1,0,274,4084,292,0 74,0,2024-09-07 09:00:21:324,78338,0.5,80221,0.7,153261,0.5,207347,2.25 74,1,2024-09-07 09:00:20:641,514357,514357,0,0,238951245226,2495322639,509263,4126,968,381,391681,0 74,2,2024-09-07 09:00:21:006,370018,370018,0,0,17500737,0,4253 74,3,2024-09-07 09:00:21:442,1,292,1,0,522,3728,292,0 75,0,2024-09-07 09:00:21:764,73892,0.5,73607,0.7,147411,0.4,197089,2.25 75,1,2024-09-07 09:00:21:585,513508,513508,0,0,239316179338,2503156646,507700,5003,805,380,391739,0 75,2,2024-09-07 09:00:21:350,368095,368095,0,0,17158568,0,4766 75,3,2024-09-07 09:00:21:072,1,292,7,0,702,4169,292,0 76,0,2024-09-07 09:00:20:630,70193,0.6,69662,0.8,139918,0.6,187495,2.25 76,1,2024-09-07 09:00:20:818,514537,514537,0,0,239457677493,2497808582,511090,2894,553,382,391692,0 76,2,2024-09-07 09:00:21:061,369703,369702,1,0,15874353,0,5144 76,3,2024-09-07 09:00:21:141,1,292,1,0,175,2975,292,0 77,0,2024-09-07 09:00:21:720,71061,0.6,71332,0.8,142473,0.6,189299,2.00 77,1,2024-09-07 09:00:20:830,514843,514843,0,0,239924816454,2506071141,510757,3822,264,381,391869,0 77,2,2024-09-07 09:00:21:285,369548,369548,0,0,15789402,0,3890 77,3,2024-09-07 09:00:21:094,1,292,1,0,401,3530,292,0 78,0,2024-09-07 09:00:21:732,77720,0.5,77253,0.6,155262,0.4,206088,2.00 78,1,2024-09-07 09:00:20:609,514282,514282,0,0,239568008769,2494545566,509284,4004,994,367,391670,0 78,2,2024-09-07 09:00:21:408,369292,369292,0,0,13927855,0,3855 78,3,2024-09-07 09:00:21:132,1,292,7,0,181,2719,292,0 79,0,2024-09-07 09:00:21:345,72848,0.4,74712,0.6,152809,0.4,198846,2.25 79,1,2024-09-07 09:00:20:571,516313,516313,0,0,240601033567,2490925165,512597,3271,445,369,391682,0 79,2,2024-09-07 09:00:21:072,372348,372348,0,0,14255402,0,3212 79,3,2024-09-07 09:00:20:751,1,292,27,0,418,4076,292,0 80,0,2024-09-07 09:00:21:121,69290,0.6,71310,0.7,136574,0.6,184249,2.00 80,1,2024-09-07 09:00:21:622,514470,514470,0,0,239722446979,2494721669,511202,3091,177,368,391791,0 80,2,2024-09-07 09:00:21:093,369926,369926,0,0,13991287,0,4433 80,3,2024-09-07 09:00:20:575,1,292,12,0,190,3745,292,0 81,0,2024-09-07 09:00:21:539,69402,0.4,71234,0.6,136066,0.4,184192,1.75 81,1,2024-09-07 09:00:21:653,513596,513596,0,0,239403043048,2502368147,509304,3816,476,382,391879,0 81,2,2024-09-07 09:00:21:124,368220,368220,0,0,14727023,0,3993 81,3,2024-09-07 09:00:21:117,1,292,5,0,374,3554,292,0 82,0,2024-09-07 09:00:21:538,75847,0.4,76358,0.6,152985,0.3,203354,1.75 82,1,2024-09-07 09:00:20:588,515362,515358,0,4,239945647694,2497996491,511982,2764,612,381,391768,4 82,2,2024-09-07 09:00:21:692,370193,370193,0,0,13766441,0,3986 82,3,2024-09-07 09:00:21:760,1,292,1,0,363,3070,292,0 83,0,2024-09-07 09:00:21:530,75589,0.5,75514,0.7,150015,0.5,199876,2.00 83,1,2024-09-07 09:00:20:553,514221,514221,0,0,239241989529,2495547378,510374,3552,295,382,391709,0 83,2,2024-09-07 09:00:20:764,372477,372477,0,0,14159079,0,3119 83,3,2024-09-07 09:00:20:754,1,292,8,0,250,3212,292,0 84,0,2024-09-07 09:00:21:784,69990,0.7,69845,0.9,139832,0.6,186985,2.25 84,1,2024-09-07 09:00:21:039,513901,513901,0,0,239046528259,2496353901,508952,4364,585,367,391967,0 84,2,2024-09-07 09:00:20:571,368318,368318,0,0,15957526,0,3801 84,3,2024-09-07 09:00:21:147,1,292,47,0,908,4640,292,0 85,0,2024-09-07 09:00:21:004,67084,0.6,67090,0.8,142174,0.6,183751,2.25 85,1,2024-09-07 09:00:20:560,513280,513280,0,0,239046709495,2522878585,505835,6325,1120,381,392006,0 85,2,2024-09-07 09:00:20:867,368999,368999,0,0,16934796,0,3656 85,3,2024-09-07 09:00:20:685,1,292,1,0,789,3878,292,0 86,0,2024-09-07 09:00:20:942,74307,0.6,76458,0.7,146242,0.6,198000,2.00 86,1,2024-09-07 09:00:20:844,514509,514509,0,0,239509664065,2503570467,509002,4674,833,366,391961,0 86,2,2024-09-07 09:00:20:860,368742,368741,1,0,17785198,0,5004 86,3,2024-09-07 09:00:20:625,1,292,1,0,286,4029,292,0 87,0,2024-09-07 09:00:21:285,76736,1.0,76714,0.9,154055,1.4,205225,2.25 87,1,2024-09-07 09:00:20:558,513790,513790,0,0,238856521833,2497343073,508676,4612,502,366,392076,0 87,2,2024-09-07 09:00:21:085,370482,370482,0,0,16168169,0,4045 87,3,2024-09-07 09:00:21:794,1,292,16,0,473,4226,292,0 88,0,2024-09-07 09:00:21:450,72500,0.5,72931,0.6,145462,0.5,193151,2.00 88,1,2024-09-07 09:00:20:596,512389,512389,0,0,239100574620,2510152253,505060,5678,1651,365,392084,0 88,2,2024-09-07 09:00:20:695,368051,368051,0,0,17950195,0,3583 88,3,2024-09-07 09:00:21:272,1,292,1,0,435,3413,292,0 89,0,2024-09-07 09:00:21:767,73200,0.5,70766,0.7,140466,0.4,190397,1.75 89,1,2024-09-07 09:00:20:554,512527,512527,0,0,238900244088,2517787826,505202,6060,1265,382,391866,0 89,2,2024-09-07 09:00:21:144,367479,367479,0,0,17524296,0,2910 89,3,2024-09-07 09:00:21:796,1,292,1,0,385,5737,292,0 90,0,2024-09-07 09:00:21:652,70118,0.4,71577,0.6,146659,0.4,191555,1.75 90,1,2024-09-07 09:00:20:608,514074,514074,0,0,238385155182,2498221541,509218,4533,323,380,391825,0 90,2,2024-09-07 09:00:21:408,368651,368651,0,0,18905169,0,3060 90,3,2024-09-07 09:00:20:941,1,292,1,0,200,3074,292,0 91,0,2024-09-07 09:00:20:970,78105,0.5,75896,0.7,158161,0.5,207771,1.75 91,1,2024-09-07 09:00:20:567,512724,512724,0,0,239087427270,2516479585,505713,6120,891,381,392047,0 91,2,2024-09-07 09:00:21:336,369403,369403,0,0,16881527,0,2896 91,3,2024-09-07 09:00:20:599,1,292,8,0,216,2668,292,0 92,0,2024-09-07 09:00:21:448,75445,0.5,77368,0.6,147830,0.5,200220,1.75 92,1,2024-09-07 09:00:20:586,514270,514270,0,0,239288819464,2497370991,510942,2848,480,382,392136,0 92,2,2024-09-07 09:00:21:350,371293,371293,0,0,14389246,0,2801 92,3,2024-09-07 09:00:21:009,1,292,2,0,167,2499,292,0 93,0,2024-09-07 09:00:20:965,70290,0.4,72015,0.6,137613,0.3,186688,1.75 93,1,2024-09-07 09:00:20:808,514371,514371,0,0,239281652653,2498100975,509331,4247,793,366,391776,0 93,2,2024-09-07 09:00:20:935,368770,368770,0,0,16574655,0,4311 93,3,2024-09-07 09:00:21:408,1,292,5,0,190,2954,292,0 94,0,2024-09-07 09:00:21:624,69985,0.4,70738,0.5,141044,0.3,187576,1.75 94,1,2024-09-07 09:00:20:575,514053,514053,0,0,239679364909,2504208636,510230,3639,184,381,391850,0 94,2,2024-09-07 09:00:20:765,368954,368954,0,0,14571970,0,2443 94,3,2024-09-07 09:00:21:697,1,292,2,0,264,3752,292,0 95,0,2024-09-07 09:00:21:354,76255,0.3,76200,0.5,153178,0.3,203375,1.75 95,1,2024-09-07 09:00:20:853,514635,514635,0,0,240433574097,2500894904,510423,3833,379,367,391713,0 95,2,2024-09-07 09:00:21:015,368201,368201,0,0,15009491,0,3308 95,3,2024-09-07 09:00:21:716,1,292,11,0,718,4878,292,0 96,0,2024-09-07 09:00:21:026,75919,0.4,75997,0.5,151802,0.3,201202,1.75 96,1,2024-09-07 09:00:21:586,513998,513998,0,0,239759982996,2502914249,510084,3175,739,384,391955,0 96,2,2024-09-07 09:00:21:271,372507,372507,0,0,15317656,0,4042 96,3,2024-09-07 09:00:21:140,1,292,16,0,411,3216,292,0 97,0,2024-09-07 09:00:21:319,69630,0.3,69224,0.5,139215,0.3,184751,1.75 97,1,2024-09-07 09:00:20:765,516027,516027,0,0,240097557214,2489711002,512530,2832,665,367,392140,0 97,2,2024-09-07 09:00:20:612,369106,369106,0,0,14309487,0,3036 97,3,2024-09-07 09:00:20:574,1,292,3,0,214,3411,292,0 98,0,2024-09-07 09:00:21:722,69602,0.3,69504,0.4,139672,0.2,185562,1.50 98,1,2024-09-07 09:00:20:571,515252,515252,0,0,239720822641,2492913795,513070,2081,101,382,391997,0 98,2,2024-09-07 09:00:20:794,368218,368218,0,0,14484976,0,3080 98,3,2024-09-07 09:00:20:702,1,292,7,0,840,5075,292,0 99,0,2024-09-07 09:00:21:462,75502,0.3,75887,0.4,151210,0.3,202102,1.50 99,1,2024-09-07 09:00:21:858,514878,514878,0,0,239552364674,2495092175,511033,3102,743,381,392069,0 99,2,2024-09-07 09:00:21:433,371350,371350,0,0,15808888,0,3424 99,3,2024-09-07 09:00:20:583,1,292,15,0,187,2425,292,0 100,0,2024-09-07 09:00:21:461,76057,0.8,75999,1.0,152158,1.1,203142,2.50 100,1,2024-09-07 09:00:20:560,511401,511401,0,0,238329552834,2519169873,503773,6151,1477,381,391989,0 100,2,2024-09-07 09:00:21:821,370986,370975,11,0,17177911,0,5417 100,3,2024-09-07 09:00:21:737,1,292,1,0,559,5085,292,0 101,0,2024-09-07 09:00:21:707,72739,1.3,70777,1.1,139010,1.1,190671,2.50 101,1,2024-09-07 09:00:20:581,512329,512329,0,0,238685432968,2514789076,503985,6364,1980,368,391769,0 101,2,2024-09-07 09:00:21:779,366197,366197,0,0,18825855,0,4644 101,3,2024-09-07 09:00:20:955,1,292,9,0,448,3537,292,0 102,0,2024-09-07 09:00:20:972,68714,0.6,71100,0.8,143330,0.6,187370,2.00 102,1,2024-09-07 09:00:21:143,512972,512972,0,0,238784582113,2508453338,506449,5617,906,369,391883,0 102,2,2024-09-07 09:00:21:739,369181,369127,54,0,16418592,0,6768 102,3,2024-09-07 09:00:21:617,1,292,21,0,410,3071,292,0 103,0,2024-09-07 09:00:21:673,75445,0.5,75527,0.6,142303,0.4,196281,1.75 103,1,2024-09-07 09:00:21:638,512263,512263,0,0,238270724401,2514218975,504257,6115,1891,381,391829,0 103,2,2024-09-07 09:00:20:585,369128,369128,0,0,16847505,0,3173 103,3,2024-09-07 09:00:20:754,1,292,20,0,916,3844,292,0 104,0,2024-09-07 09:00:21:013,76990,0.8,77425,1.0,153502,0.8,206565,2.25 104,1,2024-09-07 09:00:21:609,513330,513330,0,0,238427413730,2512076148,504831,6840,1659,365,392168,0 104,2,2024-09-07 09:00:21:669,368581,368581,0,0,18441150,0,3941 104,3,2024-09-07 09:00:21:425,1,292,22,0,1245,6757,292,0 105,0,2024-09-07 09:00:21:029,72982,1.0,71047,1.1,148388,1.3,195490,3.25 105,1,2024-09-07 09:00:20:555,513580,513580,0,0,238819532992,2509971594,505884,6397,1299,366,391797,0 105,2,2024-09-07 09:00:21:322,368314,368314,0,0,17472643,0,3509 105,3,2024-09-07 09:00:21:304,1,292,1,0,399,4633,292,0 106,0,2024-09-07 09:00:21:014,68094,0.8,69783,1.0,142843,0.9,187032,2.50 106,1,2024-09-07 09:00:21:752,513049,513049,0,0,237454728814,2499572962,504591,7454,1004,369,391865,0 106,2,2024-09-07 09:00:20:767,366942,366942,0,0,16810568,0,2795 106,3,2024-09-07 09:00:20:677,1,292,0,0,470,4060,292,0 107,0,2024-09-07 09:00:21:116,70988,0.8,70840,0.9,141904,0.8,189194,2.00 107,1,2024-09-07 09:00:20:585,512117,512117,0,0,238051538887,2509419482,505160,6241,716,381,392234,0 107,2,2024-09-07 09:00:21:296,368146,368145,1,0,17915307,0,5024 107,3,2024-09-07 09:00:21:763,1,292,9,0,353,3930,292,0 108,0,2024-09-07 09:00:21:774,77328,0.4,77602,0.6,154542,0.4,207041,1.75 108,1,2024-09-07 09:00:21:398,513983,513983,0,0,239619091505,2499387219,509843,3721,419,368,391857,0 108,2,2024-09-07 09:00:21:756,367587,367587,0,0,16563796,0,4246 108,3,2024-09-07 09:00:21:333,1,292,2,0,749,6073,292,0 109,0,2024-09-07 09:00:21:851,75690,0.4,74946,0.6,150191,0.3,200932,1.75 109,1,2024-09-07 09:00:20:584,512456,512456,0,0,239411806999,2512053494,507877,3931,648,383,392132,0 109,2,2024-09-07 09:00:20:921,369411,369411,0,0,16472861,0,3617 109,3,2024-09-07 09:00:21:140,1,292,7,0,249,3217,292,0 110,0,2024-09-07 09:00:21:760,69489,0.4,67523,0.6,141324,0.3,185009,1.75 110,1,2024-09-07 09:00:21:648,515581,515581,0,0,239090294213,2482457926,512115,2557,909,369,392045,0 110,2,2024-09-07 09:00:21:302,368880,368880,0,0,16032631,0,4067 110,3,2024-09-07 09:00:20:690,1,292,1,0,406,3687,292,0 111,0,2024-09-07 09:00:21:421,69812,0.4,69194,0.5,138534,0.3,185596,1.75 111,1,2024-09-07 09:00:20:999,515549,515549,0,0,240243045892,2492498871,513000,2206,343,382,391690,0 111,2,2024-09-07 09:00:21:116,367774,367774,0,0,15053908,0,2763 111,3,2024-09-07 09:00:20:918,1,292,3,0,379,3785,292,0 112,0,2024-09-07 09:00:20:929,76776,0.3,76186,0.4,152747,0.2,203519,1.50 112,1,2024-09-07 09:00:20:827,515719,515719,0,0,239914254195,2488784678,512760,2506,453,380,391624,0 112,2,2024-09-07 09:00:21:139,368928,368927,1,0,14816472,0,5036 112,3,2024-09-07 09:00:20:601,1,292,17,0,282,3111,292,0 113,0,2024-09-07 09:00:20:872,75240,0.3,75294,0.5,151161,0.2,201337,1.50 113,1,2024-09-07 09:00:21:694,516633,516633,0,0,241289180293,2490419096,513688,2369,576,366,391661,0 113,2,2024-09-07 09:00:21:306,374130,374130,0,0,13338734,0,3813 113,3,2024-09-07 09:00:20:684,1,292,2,0,340,3846,292,0 114,0,2024-09-07 09:00:20:878,70848,0.4,71333,0.5,141658,0.2,188985,1.75 114,1,2024-09-07 09:00:20:722,514797,514797,0,0,239671735792,2493264035,509960,3248,1589,381,391556,0 114,2,2024-09-07 09:00:20:875,368405,368404,1,0,14464539,0,5069 114,3,2024-09-07 09:00:21:282,1,292,0,0,395,2863,292,0 115,0,2024-09-07 09:00:20:553,69589,0.3,69853,0.4,139929,0.2,185844,1.50 115,1,2024-09-07 09:00:20:581,514816,514816,0,0,239833070996,2492458160,510370,3607,839,382,391656,0 115,2,2024-09-07 09:00:21:128,369320,369320,0,0,13197224,0,2346 115,3,2024-09-07 09:00:21:005,1,292,8,0,159,1722,292,0 116,0,2024-09-07 09:00:21:700,74099,0.7,73966,0.8,148277,0.7,198617,2.00 116,1,2024-09-07 09:00:20:819,512364,512364,0,0,238323800163,2516134864,505798,4508,2058,380,391782,0 116,2,2024-09-07 09:00:21:751,368665,368665,0,0,18506255,0,3529 116,3,2024-09-07 09:00:20:926,1,292,1,0,415,3916,292,0 117,0,2024-09-07 09:00:20:968,77358,0.9,76952,0.9,153866,1.1,205617,2.00 117,1,2024-09-07 09:00:21:592,513276,513276,0,0,239041858704,2502015524,507868,4858,550,370,392033,0 117,2,2024-09-07 09:00:21:124,373602,373602,0,0,15141505,0,3700 117,3,2024-09-07 09:00:21:059,1,292,11,0,490,4448,292,0 118,0,2024-09-07 09:00:21:787,70417,0.6,72455,0.7,147354,0.5,192380,2.00 118,1,2024-09-07 09:00:20:590,513258,513258,0,0,238469127109,2508046708,505788,5645,1825,366,391736,0 118,2,2024-09-07 09:00:21:602,367410,367410,0,0,16143962,0,2781 118,3,2024-09-07 09:00:21:770,1,292,10,0,235,3304,292,0 119,0,2024-09-07 09:00:21:332,70662,0.8,71114,0.8,142331,0.8,189231,2.25 119,1,2024-09-07 09:00:20:551,514482,514482,0,0,239347946445,2501709685,509008,4807,667,367,391780,0 119,2,2024-09-07 09:00:21:261,368900,368900,0,0,15387564,0,4174 119,3,2024-09-07 09:00:21:326,1,292,5,0,443,3981,292,0 120,0,2024-09-07 09:00:21:618,71709,0.6,71522,0.8,143198,0.6,192043,2.25 120,1,2024-09-07 09:00:20:877,513533,513533,0,0,239129151347,2509721630,507982,5130,421,368,391961,0 120,2,2024-09-07 09:00:20:806,369123,369122,1,0,18954767,0,5281 120,3,2024-09-07 09:00:21:295,1,292,8,0,241,3621,292,0 121,0,2024-09-07 09:00:21:702,77688,1.2,77692,1.1,155937,1.6,207193,2.25 121,1,2024-09-07 09:00:21:669,513894,513894,0,0,239613749361,2509031301,508517,4948,429,367,391840,0 121,2,2024-09-07 09:00:21:125,368297,368297,0,0,18128556,0,4127 121,3,2024-09-07 09:00:20:736,1,292,4,0,269,3544,292,0 122,0,2024-09-07 09:00:21:852,74622,0.8,72732,0.9,152383,0.9,200178,2.00 122,1,2024-09-07 09:00:20:867,512821,512821,0,0,238902842103,2510110930,505237,6451,1133,366,392130,0 122,2,2024-09-07 09:00:21:320,368909,368836,73,0,20338054,0,5989 122,3,2024-09-07 09:00:20:596,1,292,1,0,411,5813,292,0 123,0,2024-09-07 09:00:20:960,69639,0.8,68048,0.8,142002,1.0,185904,2.25 123,1,2024-09-07 09:00:20:559,513073,513073,0,0,238794323730,2520021905,503157,7975,1941,369,392039,0 123,2,2024-09-07 09:00:21:023,366661,366660,1,0,17100094,0,5215 123,3,2024-09-07 09:00:21:138,1,292,8,0,168,3477,292,0 124,0,2024-09-07 09:00:20:930,72505,0.3,72545,0.5,136619,0.3,188216,1.75 124,1,2024-09-07 09:00:21:027,515144,515144,0,0,239340048226,2493512547,510770,3470,904,367,392178,0 124,2,2024-09-07 09:00:21:013,369204,369204,0,0,14558493,0,3101 124,3,2024-09-07 09:00:20:761,1,292,2,0,490,3080,292,0 125,0,2024-09-07 09:00:21:453,76502,0.3,76145,0.5,153133,0.3,203534,1.75 125,1,2024-09-07 09:00:20:867,513199,513199,0,0,239511217509,2500586307,509310,3440,449,383,391702,0 125,2,2024-09-07 09:00:21:128,368673,368673,0,0,15846687,0,4534 125,3,2024-09-07 09:00:21:127,1,292,371,0,709,4254,292,0 126,0,2024-09-07 09:00:21:443,75818,0.4,77891,0.6,148841,0.4,202127,1.75 126,1,2024-09-07 09:00:20:551,515636,515636,0,0,239942169439,2486436926,512751,2616,269,365,391987,0 126,2,2024-09-07 09:00:20:614,373575,373575,0,0,15715387,0,3186 126,3,2024-09-07 09:00:20:906,1,292,4,0,207,3932,292,0 127,0,2024-09-07 09:00:21:593,69241,0.3,69670,0.5,138953,0.3,184736,1.75 127,1,2024-09-07 09:00:20:569,515001,515001,0,0,239427150779,2485171750,510803,3684,514,365,391816,0 127,2,2024-09-07 09:00:20:642,368670,368670,0,0,14037096,0,2264 127,3,2024-09-07 09:00:21:272,1,292,12,0,968,3654,292,0 128,0,2024-09-07 09:00:21:528,69881,0.3,69946,0.4,139679,0.2,185942,1.50 128,1,2024-09-07 09:00:21:616,514551,514551,0,0,240059407314,2493488376,511068,3174,309,367,391798,0 128,2,2024-09-07 09:00:21:383,369103,369103,0,0,13846392,0,2434 128,3,2024-09-07 09:00:20:767,1,292,93,0,1082,5600,292,0 129,0,2024-09-07 09:00:21:003,76033,0.3,75673,0.4,151516,0.3,201985,1.50 129,1,2024-09-07 09:00:20:607,511695,511695,0,0,238122037183,2496790282,506644,3842,1209,379,391835,0 129,2,2024-09-07 09:00:20:692,370012,370012,0,0,14871596,0,4031 129,3,2024-09-07 09:00:20:692,1,292,14,0,469,3863,292,0 130,0,2024-09-07 09:00:21:715,76953,0.6,76527,0.6,153681,0.6,204267,1.75 130,1,2024-09-07 09:00:20:599,514578,514578,0,0,239424017694,2490085303,511670,2676,232,381,391825,0 130,2,2024-09-07 09:00:21:126,373855,373855,0,0,14794097,0,4067 130,3,2024-09-07 09:00:21:295,1,292,18,0,450,3765,292,0 131,0,2024-09-07 09:00:21:947,71163,0.4,71642,0.5,143851,0.3,190409,1.75 131,1,2024-09-07 09:00:21:828,515022,515022,0,0,239818300082,2501664556,511419,2997,606,381,391865,0 131,2,2024-09-07 09:00:20:591,370135,370135,0,0,13185464,0,2415 131,3,2024-09-07 09:00:21:690,1,292,8,0,392,3049,292,0 132,0,2024-09-07 09:00:21:419,70269,0.5,71172,0.6,141746,0.4,187877,2.00 132,1,2024-09-07 09:00:20:584,512245,512245,0,0,238776723904,2518342126,504131,6654,1460,381,392097,0 132,2,2024-09-07 09:00:20:707,368174,368174,0,0,17200864,0,4606 132,3,2024-09-07 09:00:21:690,1,292,0,0,356,3880,292,0 133,0,2024-09-07 09:00:21:552,71397,0.4,73040,0.5,149739,0.3,194899,1.75 133,1,2024-09-07 09:00:20:658,512671,512671,0,0,238039622136,2508351213,505760,5918,993,383,391914,0 133,2,2024-09-07 09:00:21:102,369352,369352,0,0,18785742,0,4315 133,3,2024-09-07 09:00:21:303,1,292,4,0,276,2796,292,0 134,0,2024-09-07 09:00:20:950,78015,0.5,77962,0.7,155808,0.5,207661,2.00 134,1,2024-09-07 09:00:20:584,512968,512968,0,0,238445359992,2503708097,505990,5501,1477,366,391718,0 134,2,2024-09-07 09:00:21:764,369938,369938,0,0,15862890,0,3847 134,3,2024-09-07 09:00:20:750,1,292,1,0,739,4144,292,0 135,0,2024-09-07 09:00:21:096,71270,0.7,71278,0.9,151212,0.8,195306,2.00 135,1,2024-09-07 09:00:21:586,512735,512735,0,0,239182097502,2518273478,505302,6242,1191,380,391805,0 135,2,2024-09-07 09:00:20:692,369102,369102,0,0,17022805,0,3981 135,3,2024-09-07 09:00:21:005,1,292,8,0,299,2231,292,0 136,0,2024-09-07 09:00:21:630,70998,0.6,70941,0.7,141481,0.5,189003,2.25 136,1,2024-09-07 09:00:21:450,512899,512899,0,0,238387570884,2507945299,506416,5817,666,382,391685,0 136,2,2024-09-07 09:00:21:145,368863,368863,0,0,16993788,0,3506 136,3,2024-09-07 09:00:21:118,1,292,10,0,301,2896,292,0 137,0,2024-09-07 09:00:20:931,73236,0.7,71129,0.8,140084,0.8,190390,2.00 137,1,2024-09-07 09:00:20:579,512572,512572,0,0,239210767320,2514336110,503929,6842,1801,366,391708,0 137,2,2024-09-07 09:00:21:707,368361,368361,0,0,19077212,0,3185 137,3,2024-09-07 09:00:20:770,1,292,1,0,382,3650,292,0 138,0,2024-09-07 09:00:21:742,76661,1.4,76978,1.1,154388,1.9,205791,2.50 138,1,2024-09-07 09:00:21:685,512556,512556,0,0,239613342102,2519592005,504141,6843,1572,368,391954,0 138,2,2024-09-07 09:00:20:599,368032,368032,0,0,17440712,0,4988 138,3,2024-09-07 09:00:20:610,1,292,1,0,1160,4180,292,0 139,0,2024-09-07 09:00:21:375,74153,1.5,74568,1.1,149178,2.2,199210,2.50 139,1,2024-09-07 09:00:20:580,510943,510943,0,0,237133199774,2522665885,500881,7543,2519,381,391892,0 139,2,2024-09-07 09:00:20:692,368762,368762,0,0,18259250,0,3097 139,3,2024-09-07 09:00:21:663,1,292,14,0,257,3254,292,0 140,0,2024-09-07 09:00:21:598,69694,0.3,69227,0.5,139222,0.2,185336,1.75 140,1,2024-09-07 09:00:21:537,515913,515913,0,0,240079817050,2478093124,512957,2518,438,365,391606,0 140,2,2024-09-07 09:00:20:692,369289,369289,0,0,14158547,0,3388 140,3,2024-09-07 09:00:20:768,1,292,3,0,247,2414,292,0 141,0,2024-09-07 09:00:21:708,69527,0.3,71522,0.4,136728,0.2,185575,1.50 141,1,2024-09-07 09:00:20:858,515703,515703,0,0,240459453138,2497891315,512133,3111,459,379,391614,0 141,2,2024-09-07 09:00:21:693,368416,368416,0,0,14531764,0,3360 141,3,2024-09-07 09:00:21:045,1,292,19,0,391,2997,292,0 142,0,2024-09-07 09:00:21:322,76981,0.3,76274,0.5,152178,0.3,203706,1.50 142,1,2024-09-07 09:00:20:607,514559,514559,0,0,239514568720,2499674896,510932,3278,349,382,392102,0 142,2,2024-09-07 09:00:21:301,368576,368544,32,0,16074403,0,6028 142,3,2024-09-07 09:00:21:754,1,292,3,0,484,3893,292,0 143,0,2024-09-07 09:00:21:409,75593,0.5,75614,0.6,151488,0.5,201334,1.75 143,1,2024-09-07 09:00:20:587,515511,515511,0,0,239683096634,2486887138,512192,3180,139,367,391651,0 143,2,2024-09-07 09:00:20:770,372874,372874,0,0,15127787,0,2750 143,3,2024-09-07 09:00:21:140,1,292,28,0,303,3648,292,0 144,0,2024-09-07 09:00:21:493,68204,0.6,70137,0.8,142908,0.5,187183,2.00 144,1,2024-09-07 09:00:20:584,512419,512419,0,0,238409461380,2502407924,507778,3705,936,381,391649,0 144,2,2024-09-07 09:00:21:756,368952,368952,0,0,13866935,0,3473 144,3,2024-09-07 09:00:21:741,1,292,11,0,249,3173,292,0 145,0,2024-09-07 09:00:21:360,67179,0.5,67206,0.8,142586,0.5,183793,2.25 145,1,2024-09-07 09:00:20:552,512452,512452,0,0,239163056814,2512435462,506551,5071,830,382,391698,0 145,2,2024-09-07 09:00:21:432,367036,367036,0,0,16604489,0,3903 145,3,2024-09-07 09:00:20:897,1,292,1,0,622,4563,292,0 146,0,2024-09-07 09:00:21:657,74343,0.5,73731,0.7,148617,0.4,197968,2.00 146,1,2024-09-07 09:00:21:592,513343,513343,0,0,238686325730,2513300105,504522,6926,1895,368,391770,0 146,2,2024-09-07 09:00:21:696,369042,369042,0,0,17228434,0,2730 146,3,2024-09-07 09:00:21:293,1,292,9,0,1520,6362,292,0 147,0,2024-09-07 09:00:21:696,77172,0.6,76950,0.8,153046,0.6,205070,2.00 147,1,2024-09-07 09:00:21:372,514892,514892,0,0,239897960281,2497892078,509891,4210,791,368,391791,0 147,2,2024-09-07 09:00:21:015,372267,372267,0,0,14651945,0,2789 147,3,2024-09-07 09:00:20:916,1,292,8,0,730,4433,292,0 0,0,2024-09-07 09:00:31:744,70155,0.5,70208,0.7,149010,0.5,193000,1.75 0,1,2024-09-07 09:00:30:817,515706,515706,0,0,240688794623,2518046680,511781,3685,240,370,391896,0 0,2,2024-09-07 09:00:31:069,371438,371438,0,0,15085780,0,4480 0,3,2024-09-07 09:00:30:999,1,293,34,0,319,3794,293,0 1,0,2024-09-07 09:00:31:756,78040,0.9,77575,1.0,155940,1.1,207928,2.00 1,1,2024-09-07 09:00:30:561,515124,515124,0,0,239250240186,2505750082,510484,3585,1055,370,391857,0 1,2,2024-09-07 09:00:30:658,370018,370018,0,0,14517051,0,3267 1,3,2024-09-07 09:00:31:312,1,293,2,0,262,3368,293,0 2,0,2024-09-07 09:00:31:581,75084,0.7,75436,0.8,149552,0.8,200333,2.00 2,1,2024-09-07 09:00:30:864,516694,516694,0,0,240704073807,2500811899,514190,2265,239,380,391745,0 2,2,2024-09-07 09:00:31:266,371058,371058,0,0,14411490,0,3594 2,3,2024-09-07 09:00:30:690,1,293,0,0,357,2877,293,0 3,0,2024-09-07 09:00:31:753,70022,0.4,70153,0.6,140052,0.4,186426,2.00 3,1,2024-09-07 09:00:31:625,515317,515317,0,0,239856654958,2499799757,510669,4057,591,380,391556,0 3,2,2024-09-07 09:00:31:143,370550,370527,23,0,14526929,0,5851 3,3,2024-09-07 09:00:31:759,1,293,8,0,103,1773,293,0 4,0,2024-09-07 09:00:31:780,68571,0.4,70600,0.5,143259,0.3,188233,1.75 4,1,2024-09-07 09:00:30:592,514929,514929,0,0,239351829623,2515820150,509322,4604,1003,371,391992,0 4,2,2024-09-07 09:00:31:074,368878,368878,0,0,17391756,0,4528 4,3,2024-09-07 09:00:31:028,1,293,1,0,448,4264,293,0 5,0,2024-09-07 09:00:31:395,76681,0.4,76882,0.6,153169,0.4,204297,1.75 5,1,2024-09-07 09:00:30:764,514801,514801,0,0,239900611742,2523284150,508326,5150,1325,368,392005,0 5,2,2024-09-07 09:00:31:829,368763,368763,0,0,16096823,0,2432 5,3,2024-09-07 09:00:31:732,1,293,8,0,457,4315,293,0 6,0,2024-09-07 09:00:30:924,76323,0.5,75883,0.7,151605,0.5,202710,2.00 6,1,2024-09-07 09:00:30:751,515452,515452,0,0,239766151757,2504402431,510100,4425,927,379,391694,0 6,2,2024-09-07 09:00:31:124,373047,373047,0,0,15655556,0,4816 6,3,2024-09-07 09:00:31:284,1,293,1,0,340,3391,293,0 7,0,2024-09-07 09:00:31:569,69193,0.5,69498,0.6,138522,0.4,184223,2.00 7,1,2024-09-07 09:00:30:875,514295,514295,0,0,239584904833,2515056216,507533,5651,1111,382,391747,0 7,2,2024-09-07 09:00:30:779,369651,369651,0,0,15243737,0,4791 7,3,2024-09-07 09:00:30:864,1,293,25,0,398,3435,293,0 8,0,2024-09-07 09:00:31:386,69955,0.4,69782,0.5,139525,0.3,186370,1.75 8,1,2024-09-07 09:00:31:027,513843,513843,0,0,239401527935,2526188339,504371,7260,2212,366,392144,0 8,2,2024-09-07 09:00:30:790,365211,365211,0,0,19331440,0,3220 8,3,2024-09-07 09:00:30:588,1,293,32,0,538,5136,293,0 9,0,2024-09-07 09:00:31:146,76122,0.4,73912,0.5,154625,0.3,202817,1.75 9,1,2024-09-07 09:00:30:551,513851,513851,0,0,239832632248,2527866738,506474,5733,1644,369,392001,0 9,2,2024-09-07 09:00:31:099,369734,369734,0,0,17353043,0,3360 9,3,2024-09-07 09:00:31:760,1,293,8,0,496,4482,293,0 10,0,2024-09-07 09:00:31:618,76588,0.3,76029,0.5,152750,0.3,203380,1.75 10,1,2024-09-07 09:00:30:589,514729,514729,0,0,240619851977,2523358405,507462,6280,987,381,391741,0 10,2,2024-09-07 09:00:30:761,373378,373378,0,0,18640881,0,4264 10,3,2024-09-07 09:00:30:877,1,293,36,0,296,2741,293,0 11,0,2024-09-07 09:00:31:021,70951,0.5,68916,0.7,144177,0.4,190600,1.75 11,1,2024-09-07 09:00:30:572,515017,515017,0,0,239413335074,2519953618,505452,7151,2414,383,391573,0 11,2,2024-09-07 09:00:31:131,368739,368739,0,0,16679239,0,4130 11,3,2024-09-07 09:00:31:315,1,293,8,0,843,4590,293,0 12,0,2024-09-07 09:00:31:000,70994,0.3,70951,0.5,141895,0.3,188120,1.75 12,1,2024-09-07 09:00:30:957,515384,515384,0,0,240522914740,2510972756,510733,4168,483,370,391870,0 12,2,2024-09-07 09:00:31:542,369779,369779,0,0,16343934,0,3469 12,3,2024-09-07 09:00:31:061,1,293,6,0,386,4786,293,0 13,0,2024-09-07 09:00:31:353,73970,0.4,73855,0.5,147589,0.3,196837,1.75 13,1,2024-09-07 09:00:31:525,514720,514720,0,0,239262348934,2516107925,510027,3428,1265,382,391740,0 13,2,2024-09-07 09:00:30:609,372144,372144,0,0,15333275,0,3287 13,3,2024-09-07 09:00:31:765,1,293,46,0,522,5074,293,0 14,0,2024-09-07 09:00:30:568,78142,0.4,78767,0.6,155897,0.4,207708,1.75 14,1,2024-09-07 09:00:31:580,517958,517958,0,0,241162156218,2499034395,514185,3498,275,364,391571,0 14,2,2024-09-07 09:00:30:763,371438,371438,0,0,15267958,0,2896 14,3,2024-09-07 09:00:31:117,1,293,34,0,1168,3996,293,0 15,0,2024-09-07 09:00:31:601,73833,0.4,73959,0.7,147822,0.4,196445,2.00 15,1,2024-09-07 09:00:31:608,516367,516367,0,0,239962295431,2495956100,513452,2737,178,381,391619,0 15,2,2024-09-07 09:00:31:009,371384,371384,0,0,12826122,0,3043 15,3,2024-09-07 09:00:31:407,1,293,1,0,1126,5609,293,0 16,0,2024-09-07 09:00:30:932,71007,0.7,71248,0.8,141907,0.7,189125,2.25 16,1,2024-09-07 09:00:30:566,516359,516359,0,0,239938537829,2507750502,512233,3767,359,370,391756,0 16,2,2024-09-07 09:00:31:451,369659,369659,0,0,15624737,0,4719 16,3,2024-09-07 09:00:31:141,1,293,1,0,317,4239,293,0 17,0,2024-09-07 09:00:31:806,73491,0.6,71849,0.8,140358,0.5,190705,2.00 17,1,2024-09-07 09:00:30:570,514855,514855,0,0,239318082440,2513772773,509176,4467,1212,368,391899,0 17,2,2024-09-07 09:00:31:687,373266,373266,0,0,15492186,0,2857 17,3,2024-09-07 09:00:30:587,1,293,0,0,268,4569,293,0 18,0,2024-09-07 09:00:30:948,76662,0.7,77258,0.8,153393,0.7,205442,2.25 18,1,2024-09-07 09:00:31:640,516727,516727,0,0,240875203661,2496835213,513858,2627,242,367,391649,0 18,2,2024-09-07 09:00:31:766,371602,371602,0,0,14615707,0,3541 18,3,2024-09-07 09:00:30:899,1,293,1,0,163,2659,293,0 19,0,2024-09-07 09:00:31:543,75005,0.6,75529,0.8,149896,0.6,199700,2.25 19,1,2024-09-07 09:00:30:566,516606,516606,0,0,241132061591,2505023091,511582,4186,838,367,391777,0 19,2,2024-09-07 09:00:31:756,373479,373479,0,0,13257620,0,3988 19,3,2024-09-07 09:00:31:129,1,293,8,0,524,2252,293,0 20,0,2024-09-07 09:00:31:459,69414,0.6,69399,0.7,138933,0.6,185320,2.00 20,1,2024-09-07 09:00:30:568,515189,515189,0,0,239628019869,2504890620,511187,3600,402,369,391886,0 20,2,2024-09-07 09:00:30:927,370570,370570,0,0,14957790,0,3721 20,3,2024-09-07 09:00:30:589,1,293,1,0,414,4934,293,0 21,0,2024-09-07 09:00:31:133,69990,0.4,70122,0.6,139901,0.4,185929,2.00 21,1,2024-09-07 09:00:31:545,513798,513798,0,0,238989714112,2517027040,507035,5136,1627,368,392016,0 21,2,2024-09-07 09:00:31:071,368526,368526,0,0,17818517,0,3747 21,3,2024-09-07 09:00:31:405,1,293,6,0,103,2994,293,0 22,0,2024-09-07 09:00:31:728,76381,0.5,76559,0.7,153155,0.4,203126,2.00 22,1,2024-09-07 09:00:31:029,515028,515028,0,0,239484484105,2518856910,507365,5996,1667,382,391667,0 22,2,2024-09-07 09:00:30:759,369245,369245,0,0,15088734,0,3134 22,3,2024-09-07 09:00:31:067,1,293,1,0,228,2581,293,0 23,0,2024-09-07 09:00:31:408,75347,0.6,75218,0.7,150689,0.5,201004,2.25 23,1,2024-09-07 09:00:31:010,515692,515692,0,0,239642958648,2514176795,507697,5328,2667,365,391690,0 23,2,2024-09-07 09:00:31:099,373980,373980,0,0,14784806,0,3010 23,3,2024-09-07 09:00:31:755,1,293,10,0,645,3579,293,0 24,0,2024-09-07 09:00:30:852,71131,0.4,70948,0.6,142424,0.4,188778,1.75 24,1,2024-09-07 09:00:30:593,514742,514742,0,0,238862796267,2508219711,508353,4867,1522,368,391987,0 24,2,2024-09-07 09:00:31:081,368480,368480,0,0,18029292,0,3607 24,3,2024-09-07 09:00:31:692,1,293,1,0,468,4141,293,0 25,0,2024-09-07 09:00:31:356,71552,0.4,69741,0.6,136876,0.4,186808,2.00 25,1,2024-09-07 09:00:30:564,515646,515646,0,0,239080050230,2506750677,509746,5081,819,371,391928,0 25,2,2024-09-07 09:00:31:620,368394,368394,0,0,18625472,0,3978 25,3,2024-09-07 09:00:31:006,1,293,1,0,255,3097,293,0 26,0,2024-09-07 09:00:31:725,74633,0.4,72859,0.5,152866,0.3,199439,1.75 26,1,2024-09-07 09:00:31:550,515675,515675,0,0,239662886002,2514305991,507898,6529,1248,381,391748,0 26,2,2024-09-07 09:00:30:869,370815,370815,0,0,18372442,0,2809 26,3,2024-09-07 09:00:31:712,1,293,29,0,796,3675,293,0 27,0,2024-09-07 09:00:31:725,77498,0.5,77601,0.6,153544,0.5,205200,2.00 27,1,2024-09-07 09:00:31:677,517378,517378,0,0,241029450615,2511521696,512576,4041,761,381,391626,0 27,2,2024-09-07 09:00:30:867,370505,370440,65,0,18457249,0,5699 27,3,2024-09-07 09:00:31:028,1,293,8,0,564,3153,293,0 28,0,2024-09-07 09:00:31:406,72768,0.4,72628,0.6,145426,0.4,193704,2.00 28,1,2024-09-07 09:00:30:806,516622,516622,0,0,240790294704,2510710624,512615,3333,674,383,391646,0 28,2,2024-09-07 09:00:31:775,369387,369387,0,0,14729837,0,2915 28,3,2024-09-07 09:00:31:789,1,293,3,0,502,2989,293,0 29,0,2024-09-07 09:00:31:378,73170,0.4,71360,0.6,139711,0.3,190442,1.75 29,1,2024-09-07 09:00:31:567,517469,517469,0,0,240620122278,2491389557,513979,2922,568,369,391753,0 29,2,2024-09-07 09:00:30:864,369127,369127,0,0,14577617,0,4233 29,3,2024-09-07 09:00:30:977,1,293,52,0,284,2544,293,0 30,0,2024-09-07 09:00:31:456,72296,0.5,70130,0.7,146746,0.4,192626,2.00 30,1,2024-09-07 09:00:30:635,517603,517603,0,0,240867133318,2502041845,513592,3405,606,382,391672,0 30,2,2024-09-07 09:00:31:278,371479,371479,0,0,14370513,0,3161 30,3,2024-09-07 09:00:30:587,1,293,14,0,519,2785,293,0 31,0,2024-09-07 09:00:31:766,77565,0.5,77914,0.7,155894,0.4,207592,2.00 31,1,2024-09-07 09:00:30:564,519373,519373,0,0,241840483107,2481374512,517288,1656,429,356,391712,0 31,2,2024-09-07 09:00:31:284,370841,370841,0,0,15940904,0,3525 31,3,2024-09-07 09:00:31:706,1,293,23,0,220,2268,293,0 32,0,2024-09-07 09:00:31:427,75049,0.3,75397,0.5,150767,0.3,200651,1.75 32,1,2024-09-07 09:00:30:821,517263,517263,0,0,241089121966,2502942792,514752,2232,279,381,391646,0 32,2,2024-09-07 09:00:30:954,371325,371325,0,0,13185862,0,3155 32,3,2024-09-07 09:00:31:056,1,293,1,0,227,2314,293,0 33,0,2024-09-07 09:00:31:523,70467,0.3,69866,0.5,140497,0.2,187246,1.75 33,1,2024-09-07 09:00:30:577,517493,517493,0,0,241318082806,2496583348,513992,3141,360,369,391730,0 33,2,2024-09-07 09:00:30:770,371167,371134,33,0,14843408,0,7012 33,3,2024-09-07 09:00:30:899,1,293,1,0,329,3281,293,0 34,0,2024-09-07 09:00:30:932,70667,0.3,72853,0.5,139462,0.2,187499,1.75 34,1,2024-09-07 09:00:31:049,518765,518765,0,0,241221488464,2480867628,517517,1241,7,367,391562,0 34,2,2024-09-07 09:00:30:766,370395,370395,0,0,14438851,0,3577 34,3,2024-09-07 09:00:31:687,1,293,2,0,299,2338,293,0 35,0,2024-09-07 09:00:30:871,75995,0.3,76402,0.5,153739,0.2,204819,1.75 35,1,2024-09-07 09:00:31:068,516870,516870,0,0,239747642870,2486807445,513354,2736,780,384,391589,0 35,2,2024-09-07 09:00:31:583,370355,370355,0,0,14677794,0,2653 35,3,2024-09-07 09:00:30:910,1,293,137,0,418,3924,293,0 36,0,2024-09-07 09:00:31:527,76189,0.5,76143,0.7,152274,0.5,202456,2.25 36,1,2024-09-07 09:00:30:583,516636,516636,0,0,240114672604,2508902730,510350,4702,1584,366,391759,0 36,2,2024-09-07 09:00:31:761,373034,373034,0,0,16015297,0,3875 36,3,2024-09-07 09:00:30:863,1,293,1,0,416,4622,293,0 37,0,2024-09-07 09:00:31:400,69145,0.5,69116,0.7,138427,0.5,185198,2.00 37,1,2024-09-07 09:00:30:569,515258,515251,0,7,239506954958,2505357640,508984,4196,2071,365,391560,0 37,2,2024-09-07 09:00:31:152,368688,368673,15,0,15911661,0,5815 37,3,2024-09-07 09:00:31:770,1,293,91,0,888,4770,293,0 38,0,2024-09-07 09:00:31:438,69256,0.4,67314,0.6,140842,0.4,184238,2.00 38,1,2024-09-07 09:00:31:608,517068,517068,0,0,241299330586,2513665411,511660,4732,676,368,391821,0 38,2,2024-09-07 09:00:30:759,368813,368766,47,0,17037092,0,6710 38,3,2024-09-07 09:00:31:028,1,293,0,0,689,3775,293,0 39,0,2024-09-07 09:00:31:797,77764,0.5,76180,0.7,148284,0.5,203035,2.00 39,1,2024-09-07 09:00:30:734,515959,515959,0,0,239927229949,2508603401,509004,5740,1215,365,391594,0 39,2,2024-09-07 09:00:31:440,370239,370239,0,0,15019517,0,2689 39,3,2024-09-07 09:00:30:716,1,293,16,0,324,3806,293,0 40,0,2024-09-07 09:00:31:498,75626,0.8,76291,1.0,152475,0.8,203096,2.75 40,1,2024-09-07 09:00:30:575,516108,516108,0,0,239428454809,2509830588,508169,6485,1454,368,391668,0 40,2,2024-09-07 09:00:31:304,372990,372989,1,0,18452370,0,5137 40,3,2024-09-07 09:00:31:142,1,293,3,0,398,3373,293,0 41,0,2024-09-07 09:00:31:031,70748,1.7,72443,1.3,138389,2.7,188538,3.25 41,1,2024-09-07 09:00:30:768,515582,515582,0,0,240004418492,2509155300,509705,5242,635,370,391742,0 41,2,2024-09-07 09:00:30:758,367842,367842,0,0,17254789,0,3356 41,3,2024-09-07 09:00:31:675,1,293,0,0,366,3128,293,0 42,0,2024-09-07 09:00:31:488,69866,0.7,69862,0.9,140100,0.7,184764,2.50 42,1,2024-09-07 09:00:31:439,514912,514912,0,0,239154617953,2515317369,506605,6744,1563,380,391675,0 42,2,2024-09-07 09:00:31:150,369697,369697,0,0,16945357,0,3568 42,3,2024-09-07 09:00:31:015,1,293,277,0,446,2819,293,0 43,0,2024-09-07 09:00:30:917,73131,0.8,71242,0.9,149134,0.8,196448,2.25 43,1,2024-09-07 09:00:30:578,516112,516112,0,0,240858143285,2519409224,508555,6192,1365,366,391696,0 43,2,2024-09-07 09:00:31:744,370953,370953,0,0,17450471,0,3812 43,3,2024-09-07 09:00:31:752,1,293,9,0,467,3673,293,0 44,0,2024-09-07 09:00:30:861,77958,0.5,78394,0.6,156285,0.4,207853,1.75 44,1,2024-09-07 09:00:30:566,517877,517877,0,0,240107454751,2480612000,514479,2801,597,356,391809,0 44,2,2024-09-07 09:00:31:267,371015,371015,0,0,14483585,0,4344 44,3,2024-09-07 09:00:31:109,1,293,0,0,817,3414,293,0 45,0,2024-09-07 09:00:31:777,72864,0.6,71134,0.8,149322,0.5,196185,2.00 45,1,2024-09-07 09:00:31:017,516552,516552,0,0,240873311370,2499077699,513997,2403,152,382,391917,0 45,2,2024-09-07 09:00:31:275,370230,370230,0,0,14486350,0,3596 45,3,2024-09-07 09:00:30:961,1,293,7,0,271,2993,293,0 46,0,2024-09-07 09:00:30:970,70418,0.5,70473,0.7,141065,0.5,187160,2.00 46,1,2024-09-07 09:00:30:575,518319,518319,0,0,240374205129,2482034671,515484,2508,327,366,391539,0 46,2,2024-09-07 09:00:30:596,370539,370539,0,0,14180996,0,2920 46,3,2024-09-07 09:00:31:131,1,293,1,0,908,4393,293,0 47,0,2024-09-07 09:00:31:119,71759,0.5,71872,0.6,144012,0.5,190752,1.75 47,1,2024-09-07 09:00:30:567,517758,517758,0,0,240808401742,2488081665,514718,2682,358,366,391641,0 47,2,2024-09-07 09:00:30:911,372768,372768,0,0,14568106,0,4477 47,3,2024-09-07 09:00:31:118,1,293,8,0,529,3246,293,0 48,0,2024-09-07 09:00:31:502,78417,0.3,77959,0.4,155449,0.2,207589,1.75 48,1,2024-09-07 09:00:31:057,516976,516976,0,0,240938055205,2503317680,513508,3167,301,384,391710,0 48,2,2024-09-07 09:00:30:699,370227,370227,0,0,13551123,0,3031 48,3,2024-09-07 09:00:30:752,1,293,1,0,339,2414,293,0 49,0,2024-09-07 09:00:31:711,77484,0.3,76074,0.5,147514,0.3,202132,1.75 49,1,2024-09-07 09:00:31:029,516015,516015,0,0,240766042298,2509127912,511896,2935,1184,382,391809,0 49,2,2024-09-07 09:00:31:798,373173,373173,0,0,14057378,0,3900 49,3,2024-09-07 09:00:31:430,1,293,1,0,408,3259,293,0 50,0,2024-09-07 09:00:31:508,69567,0.3,68930,0.5,138811,0.2,185059,1.75 50,1,2024-09-07 09:00:31:027,517903,517903,0,0,242212501772,2505015906,514625,2968,310,368,391540,0 50,2,2024-09-07 09:00:31:075,370679,370679,0,0,13323132,0,2263 50,3,2024-09-07 09:00:31:295,1,293,1,0,335,2494,293,0 51,0,2024-09-07 09:00:31:686,71671,0.3,70045,0.4,136700,0.2,186530,1.75 51,1,2024-09-07 09:00:31:683,518388,518388,0,0,241710047680,2495488080,515418,2029,941,365,391706,0 51,2,2024-09-07 09:00:31:325,369819,369819,0,0,12519982,0,3337 51,3,2024-09-07 09:00:31:028,1,293,8,0,678,2273,293,0 52,0,2024-09-07 09:00:31:461,76931,0.4,76745,0.6,153702,0.4,203962,2.00 52,1,2024-09-07 09:00:30:610,516077,516077,0,0,239586468673,2510552940,508434,6389,1254,368,391722,0 52,2,2024-09-07 09:00:31:784,367129,367091,38,0,17077246,0,6742 52,3,2024-09-07 09:00:30:675,1,293,0,0,1782,4682,293,0 53,0,2024-09-07 09:00:31:793,75181,0.7,73137,0.8,152750,0.7,200471,2.25 53,1,2024-09-07 09:00:30:786,514740,514740,0,0,240129023793,2520951206,506496,5795,2449,367,391702,0 53,2,2024-09-07 09:00:31:303,374388,374388,0,0,14383790,0,2727 53,3,2024-09-07 09:00:30:697,1,293,1,0,308,2726,293,0 54,0,2024-09-07 09:00:31:620,69492,0.6,69997,0.8,139000,0.4,185969,2.50 54,1,2024-09-07 09:00:30:581,516432,516432,0,0,241097789793,2506815733,511179,4653,600,367,391659,0 54,2,2024-09-07 09:00:30:876,369705,369699,6,0,16271172,0,5382 54,3,2024-09-07 09:00:30:763,1,293,1,0,676,4721,293,0 55,0,2024-09-07 09:00:31:765,67210,0.5,69732,0.7,140232,0.5,183021,2.25 55,1,2024-09-07 09:00:30:769,515950,515950,0,0,240474366617,2504050597,509719,5323,908,365,391731,0 55,2,2024-09-07 09:00:30:730,368679,368679,0,0,15986047,0,3563 55,3,2024-09-07 09:00:30:675,1,293,8,0,304,3127,293,0 56,0,2024-09-07 09:00:31:688,76531,1.1,72077,1.0,148582,1.5,199502,2.50 56,1,2024-09-07 09:00:30:574,513942,513942,0,0,239255876562,2530022597,505870,6524,1548,381,391867,0 56,2,2024-09-07 09:00:31:305,370575,370575,0,0,17478449,0,3567 56,3,2024-09-07 09:00:31:067,1,293,13,0,705,3986,293,0 57,0,2024-09-07 09:00:30:982,76160,1.7,75973,1.3,152281,2.4,203799,3.25 57,1,2024-09-07 09:00:30:998,515659,515659,0,0,239871302815,2513894480,509592,5362,705,366,392032,0 57,2,2024-09-07 09:00:31:315,372710,372710,0,0,18833016,0,3317 57,3,2024-09-07 09:00:31:741,1,293,0,0,359,3641,293,0 58,0,2024-09-07 09:00:30:565,71359,1.0,69477,1.0,145463,1.3,190375,2.50 58,1,2024-09-07 09:00:30:576,516428,516425,0,3,240512608169,2514869571,509478,6058,889,367,391603,3 58,2,2024-09-07 09:00:31:071,369237,369237,0,0,16878810,0,2549 58,3,2024-09-07 09:00:31:067,1,293,5,0,1043,3209,293,0 59,0,2024-09-07 09:00:31:747,70865,0.7,70535,0.9,140869,0.7,186925,2.75 59,1,2024-09-07 09:00:30:815,515279,515279,0,0,240601494716,2518570375,508609,5387,1283,369,391578,0 59,2,2024-09-07 09:00:30:589,370923,370923,0,0,15833171,0,2604 59,3,2024-09-07 09:00:31:742,1,293,8,0,1015,4009,293,0 60,0,2024-09-07 09:00:31:706,72453,0.5,72345,0.6,145737,0.4,193217,1.75 60,1,2024-09-07 09:00:30:771,517558,517558,0,0,241219767365,2501156702,514734,2298,526,370,392031,0 60,2,2024-09-07 09:00:31:141,371374,371374,0,0,16810208,0,3811 60,3,2024-09-07 09:00:31:258,1,293,1,0,175,3126,293,0 61,0,2024-09-07 09:00:31:512,77824,0.7,78267,0.8,155590,0.7,207410,2.00 61,1,2024-09-07 09:00:30:774,515614,515614,0,0,239764413332,2512071523,509767,4933,914,382,391880,0 61,2,2024-09-07 09:00:31:118,371367,371367,0,0,15221791,0,3598 61,3,2024-09-07 09:00:31:690,1,293,1,0,479,4441,293,0 62,0,2024-09-07 09:00:31:721,75641,0.6,77194,0.7,147140,0.6,200392,2.00 62,1,2024-09-07 09:00:31:117,518989,518983,0,6,241969538127,2497135463,516133,2689,161,365,391715,6 62,2,2024-09-07 09:00:31:645,369582,369581,1,0,16355681,0,5555 62,3,2024-09-07 09:00:31:145,1,293,1,0,465,2442,293,0 63,0,2024-09-07 09:00:31:483,70127,0.4,70280,0.6,140496,0.4,187045,1.75 63,1,2024-09-07 09:00:30:823,517061,517055,0,6,240565286210,2495882198,514233,2588,234,381,391677,6 63,2,2024-09-07 09:00:30:763,370522,370522,0,0,14580619,0,4369 63,3,2024-09-07 09:00:31:740,1,293,17,0,667,3256,293,0 64,0,2024-09-07 09:00:31:550,70304,0.5,70276,0.7,140565,0.4,186991,2.00 64,1,2024-09-07 09:00:30:765,516152,516152,0,0,240786132832,2511286155,511083,3561,1508,370,391783,0 64,2,2024-09-07 09:00:31:151,373743,373724,19,0,14611453,0,6121 64,3,2024-09-07 09:00:31:145,1,293,1,0,265,2713,293,0 65,0,2024-09-07 09:00:31:723,76076,0.6,76490,0.7,152572,0.6,203212,2.00 65,1,2024-09-07 09:00:30:866,515186,515186,0,0,240044254740,2510481436,511290,3448,448,382,391901,0 65,2,2024-09-07 09:00:31:705,370406,370406,0,0,16015422,0,3367 65,3,2024-09-07 09:00:31:691,1,293,1,0,163,2756,293,0 66,0,2024-09-07 09:00:31:764,75715,0.5,75581,0.7,151459,0.5,201227,2.00 66,1,2024-09-07 09:00:31:300,516768,516768,0,0,241047934331,2505328699,513684,2818,266,380,391653,0 66,2,2024-09-07 09:00:31:138,374978,374978,0,0,14883709,0,4956 66,3,2024-09-07 09:00:31:089,1,293,47,0,291,2981,293,0 67,0,2024-09-07 09:00:31:441,69998,0.6,69211,0.7,139314,0.5,185369,2.00 67,1,2024-09-07 09:00:30:768,516839,516838,0,1,240402277135,2506323151,512770,3319,749,380,391787,1 67,2,2024-09-07 09:00:30:583,370873,370873,0,0,13872426,0,2889 67,3,2024-09-07 09:00:31:751,1,293,11,0,338,2615,293,0 68,0,2024-09-07 09:00:30:597,70008,0.5,69773,0.7,139195,0.5,186013,2.00 68,1,2024-09-07 09:00:30:581,515647,515647,0,0,239274977183,2508534720,511004,3444,1199,381,391953,0 68,2,2024-09-07 09:00:31:063,368022,367957,65,0,18309885,0,6698 68,3,2024-09-07 09:00:30:728,1,293,2,0,417,3241,293,0 69,0,2024-09-07 09:00:31:752,75650,0.7,76048,0.8,151342,0.8,201576,2.25 69,1,2024-09-07 09:00:31:026,514629,514629,0,0,239181541282,2522553071,508225,4817,1587,384,391994,0 69,2,2024-09-07 09:00:31:732,370238,370238,0,0,18206225,0,3722 69,3,2024-09-07 09:00:30:762,1,293,37,0,698,4207,293,0 70,0,2024-09-07 09:00:31:537,75770,1.0,75854,1.1,152332,0.8,201712,2.50 70,1,2024-09-07 09:00:30:821,517584,517584,0,0,240547975170,2497996001,513291,3757,536,366,391725,0 70,2,2024-09-07 09:00:31:329,372717,372717,0,0,16597599,0,4044 70,3,2024-09-07 09:00:30:744,1,293,5,0,854,3432,293,0 71,0,2024-09-07 09:00:31:365,70807,1.0,70650,1.1,141798,1.2,189291,2.75 71,1,2024-09-07 09:00:31:596,515384,515384,0,0,240739037634,2511425218,509740,5001,643,368,391738,0 71,2,2024-09-07 09:00:31:068,369509,369509,0,0,16469626,0,4042 71,3,2024-09-07 09:00:31:755,1,293,8,0,644,3962,293,0 72,0,2024-09-07 09:00:31:053,72820,0.7,71157,0.8,138853,0.7,188621,2.00 72,1,2024-09-07 09:00:31:036,515272,515272,0,0,239501210333,2508989133,508060,5666,1546,369,391819,0 72,2,2024-09-07 09:00:31:755,368462,368462,0,0,18813714,0,3983 72,3,2024-09-07 09:00:31:755,1,293,2,0,564,4845,293,0 73,0,2024-09-07 09:00:31:137,71843,0.4,73454,0.6,150681,0.3,195911,2.00 73,1,2024-09-07 09:00:30:771,516482,516482,0,0,240401000434,2499558946,512814,3377,291,367,391750,0 73,2,2024-09-07 09:00:31:743,371644,371644,0,0,18336751,0,3701 73,3,2024-09-07 09:00:30:992,1,293,13,0,274,4097,293,0 74,0,2024-09-07 09:00:31:331,78433,0.5,80332,0.7,153442,0.5,207627,2.25 74,1,2024-09-07 09:00:30:635,515917,515917,0,0,239749154876,2503652729,510813,4136,968,381,391681,0 74,2,2024-09-07 09:00:31:009,371320,371320,0,0,17557837,0,4253 74,3,2024-09-07 09:00:31:447,1,293,67,0,522,3795,293,0 75,0,2024-09-07 09:00:31:793,74061,0.5,73772,0.7,147731,0.4,197331,2.25 75,1,2024-09-07 09:00:31:585,515255,515255,0,0,240255271397,2512839307,509447,5003,805,380,391739,0 75,2,2024-09-07 09:00:31:357,369125,369125,0,0,17211118,0,4766 75,3,2024-09-07 09:00:31:068,1,293,8,0,702,4177,293,0 76,0,2024-09-07 09:00:30:618,70492,0.6,69934,0.8,140457,0.6,188014,2.25 76,1,2024-09-07 09:00:30:815,516112,516112,0,0,240046076842,2504256225,512647,2912,553,382,391692,0 76,2,2024-09-07 09:00:31:071,370874,370873,1,0,15937962,0,5144 76,3,2024-09-07 09:00:31:141,1,293,4,0,175,2979,293,0 77,0,2024-09-07 09:00:31:704,71393,0.6,71668,0.8,143179,0.6,190496,2.00 77,1,2024-09-07 09:00:30:837,516575,516575,0,0,240496345529,2512744766,512420,3891,264,381,391869,0 77,2,2024-09-07 09:00:31:289,370945,370945,0,0,15975661,0,3890 77,3,2024-09-07 09:00:31:111,1,293,1,0,401,3531,293,0 78,0,2024-09-07 09:00:31:721,78051,0.5,77582,0.7,155905,0.4,206937,2.00 78,1,2024-09-07 09:00:30:610,516057,516057,0,0,240352631814,2502720570,511059,4004,994,367,391670,0 78,2,2024-09-07 09:00:31:409,370798,370798,0,0,14058674,0,3855 78,3,2024-09-07 09:00:31:136,1,293,94,0,181,2813,293,0 79,0,2024-09-07 09:00:31:398,73148,0.4,75015,0.6,153399,0.4,199593,2.25 79,1,2024-09-07 09:00:30:574,518076,518076,0,0,241326765051,2498513726,514360,3271,445,369,391682,0 79,2,2024-09-07 09:00:31:068,373035,373035,0,0,14300097,0,3212 79,3,2024-09-07 09:00:30:755,1,293,8,0,418,4084,293,0 80,0,2024-09-07 09:00:31:078,69481,0.6,71490,0.7,136933,0.6,184827,2.00 80,1,2024-09-07 09:00:31:622,516178,516178,0,0,240435522101,2502526100,512891,3110,177,368,391791,0 80,2,2024-09-07 09:00:31:101,371486,371486,0,0,14095028,0,4433 80,3,2024-09-07 09:00:30:578,1,293,1,0,190,3746,293,0 81,0,2024-09-07 09:00:31:568,69712,0.5,71576,0.6,136622,0.4,185037,2.00 81,1,2024-09-07 09:00:31:671,515301,515301,0,0,240059415584,2509421858,511009,3816,476,382,391879,0 81,2,2024-09-07 09:00:31:130,369694,369694,0,0,14911866,0,3993 81,3,2024-09-07 09:00:31:118,1,293,0,0,374,3554,293,0 82,0,2024-09-07 09:00:31:621,76168,0.4,76701,0.6,153661,0.3,204060,1.75 82,1,2024-09-07 09:00:30:583,516657,516653,0,4,240609005132,2505509540,513187,2843,623,381,391768,4 82,2,2024-09-07 09:00:31:702,371318,371318,0,0,13825178,0,3986 82,3,2024-09-07 09:00:31:759,1,293,8,0,363,3078,293,0 83,0,2024-09-07 09:00:31:528,75829,0.5,75769,0.7,150503,0.5,200658,2.00 83,1,2024-09-07 09:00:30:555,515972,515972,0,0,239891642991,2502548098,512125,3552,295,382,391709,0 83,2,2024-09-07 09:00:30:764,373605,373605,0,0,14267173,0,3119 83,3,2024-09-07 09:00:30:749,1,293,8,0,250,3220,293,0 84,0,2024-09-07 09:00:31:772,70105,0.7,69989,0.9,140114,0.6,187429,2.25 84,1,2024-09-07 09:00:31:061,515703,515703,0,0,239977062927,2506307456,510751,4366,586,367,391967,0 84,2,2024-09-07 09:00:30:595,369561,369561,0,0,16835713,0,4757 84,3,2024-09-07 09:00:31:141,1,293,1,0,908,4641,293,0 85,0,2024-09-07 09:00:31:014,67283,0.6,67315,0.8,142622,0.6,184658,2.25 85,1,2024-09-07 09:00:30:567,515001,515001,0,0,239847850674,2531204467,507556,6325,1120,381,392006,0 85,2,2024-09-07 09:00:30:872,370171,370171,0,0,17000398,0,3656 85,3,2024-09-07 09:00:30:688,1,293,2,0,789,3880,293,0 86,0,2024-09-07 09:00:30:910,74785,0.6,76890,0.7,147219,0.6,199152,2.00 86,1,2024-09-07 09:00:30:831,516339,516339,0,0,240212656431,2511009914,510831,4675,833,366,391961,0 86,2,2024-09-07 09:00:30:865,369717,369716,1,0,17862622,0,5004 86,3,2024-09-07 09:00:30:591,1,293,2,0,286,4031,293,0 87,0,2024-09-07 09:00:31:321,76844,1.0,76830,0.9,154276,1.4,205552,2.25 87,1,2024-09-07 09:00:30:558,515505,515505,0,0,239592917490,2504957189,510391,4612,502,366,392076,0 87,2,2024-09-07 09:00:31:072,371854,371854,0,0,16271429,0,4045 87,3,2024-09-07 09:00:31:796,1,293,12,0,473,4238,293,0 88,0,2024-09-07 09:00:31:480,72629,0.5,73073,0.6,145696,0.4,193494,2.00 88,1,2024-09-07 09:00:30:573,514098,514098,0,0,239946243461,2519251115,506741,5706,1651,365,392084,0 88,2,2024-09-07 09:00:30:699,369376,369376,0,0,17985587,0,3583 88,3,2024-09-07 09:00:31:267,1,293,1,0,435,3414,293,0 89,0,2024-09-07 09:00:31:778,73293,0.5,70892,0.7,140699,0.4,190397,1.75 89,1,2024-09-07 09:00:30:553,514349,514349,0,0,239774709467,2526848101,507022,6062,1265,382,391866,0 89,2,2024-09-07 09:00:31:131,368634,368634,0,0,17547482,0,2910 89,3,2024-09-07 09:00:31:808,1,293,2,0,385,5739,293,0 90,0,2024-09-07 09:00:31:622,70523,0.4,71988,0.6,147468,0.4,192493,1.75 90,1,2024-09-07 09:00:30:592,515824,515824,0,0,239113961588,2505737543,510968,4533,323,380,391825,0 90,2,2024-09-07 09:00:31:419,370025,370025,0,0,19065700,0,3060 90,3,2024-09-07 09:00:30:936,1,293,1,0,200,3075,293,0 91,0,2024-09-07 09:00:30:954,78185,0.5,76015,0.7,158389,0.5,208004,1.75 91,1,2024-09-07 09:00:30:556,514521,514521,0,0,239856624937,2524539011,507509,6120,892,381,392047,0 91,2,2024-09-07 09:00:31:330,370817,370817,0,0,16994803,0,2896 91,3,2024-09-07 09:00:30:608,1,293,9,0,216,2677,293,0 92,0,2024-09-07 09:00:31:446,75722,0.5,77663,0.6,148340,0.5,200811,1.75 92,1,2024-09-07 09:00:30:580,515996,515996,0,0,240087912978,2505726473,512667,2849,480,382,392136,0 92,2,2024-09-07 09:00:31:355,372091,372091,0,0,14438727,0,2801 92,3,2024-09-07 09:00:31:014,1,293,5,0,167,2504,293,0 93,0,2024-09-07 09:00:30:967,70581,0.4,72310,0.6,138107,0.3,187552,1.75 93,1,2024-09-07 09:00:30:806,516055,516055,0,0,240017854124,2505848764,511015,4247,793,366,391776,0 93,2,2024-09-07 09:00:30:932,370141,370141,0,0,16679232,0,4311 93,3,2024-09-07 09:00:31:407,1,293,1,0,190,2955,293,0 94,0,2024-09-07 09:00:31:638,70349,0.4,71107,0.5,141814,0.3,188252,1.75 94,1,2024-09-07 09:00:30:564,515844,515844,0,0,240518855355,2513304026,511978,3682,184,381,391850,0 94,2,2024-09-07 09:00:30:842,370325,370325,0,0,14752672,0,2443 94,3,2024-09-07 09:00:31:688,1,293,11,0,264,3763,293,0 95,0,2024-09-07 09:00:31:377,76617,0.3,76584,0.5,153908,0.3,204517,1.75 95,1,2024-09-07 09:00:30:873,516465,516465,0,0,241362758989,2510494705,512253,3833,379,367,391713,0 95,2,2024-09-07 09:00:31:025,369517,369517,0,0,15136944,0,3308 95,3,2024-09-07 09:00:31:708,1,293,11,0,718,4889,293,0 96,0,2024-09-07 09:00:31:033,76239,0.4,76340,0.5,152491,0.3,202088,1.75 96,1,2024-09-07 09:00:31:590,515713,515713,0,0,240555056044,2512136347,511626,3304,783,384,391955,0 96,2,2024-09-07 09:00:31:284,373205,373205,0,0,15379693,0,4042 96,3,2024-09-07 09:00:31:140,1,293,22,0,411,3238,293,0 97,0,2024-09-07 09:00:31:348,69814,0.3,69387,0.5,139529,0.3,185171,1.75 97,1,2024-09-07 09:00:30:792,517825,517825,0,0,240914335965,2498454446,514319,2841,665,367,392140,0 97,2,2024-09-07 09:00:30:606,370706,370706,0,0,14408088,0,3036 97,3,2024-09-07 09:00:30:569,1,293,198,0,214,3609,293,0 98,0,2024-09-07 09:00:31:694,69871,0.3,69820,0.4,140208,0.2,186183,1.50 98,1,2024-09-07 09:00:30:576,517141,517141,0,0,240630807784,2502958342,514866,2174,101,382,391997,0 98,2,2024-09-07 09:00:30:800,369700,369700,0,0,14649155,0,3080 98,3,2024-09-07 09:00:30:708,1,293,5,0,840,5080,293,0 99,0,2024-09-07 09:00:31:470,75909,0.3,76361,0.4,152059,0.3,203182,1.50 99,1,2024-09-07 09:00:31:732,516611,516611,0,0,240421323007,2504514406,512752,3115,744,381,392069,0 99,2,2024-09-07 09:00:31:452,372392,372392,0,0,15997213,0,3424 99,3,2024-09-07 09:00:30:587,1,293,20,0,187,2445,293,0 100,0,2024-09-07 09:00:31:470,76202,0.8,76127,1.0,152448,1.0,203576,2.50 100,1,2024-09-07 09:00:30:553,513109,513109,0,0,239057257639,2527193189,505439,6193,1477,381,391989,0 100,2,2024-09-07 09:00:31:817,372158,372147,11,0,17269246,0,5417 100,3,2024-09-07 09:00:31:734,1,293,99,0,559,5184,293,0 101,0,2024-09-07 09:00:31:733,72857,1.3,70906,1.1,139233,1.1,190901,2.50 101,1,2024-09-07 09:00:30:550,514198,514198,0,0,239701394845,2525330989,505854,6364,1980,368,391769,0 101,2,2024-09-07 09:00:31:758,367549,367549,0,0,18928594,0,4644 101,3,2024-09-07 09:00:30:956,1,293,3,0,448,3540,293,0 102,0,2024-09-07 09:00:30:973,68765,0.6,71162,0.8,143441,0.6,187686,2.00 102,1,2024-09-07 09:00:31:162,514686,514686,0,0,239799296727,2518837166,508163,5617,906,369,391883,0 102,2,2024-09-07 09:00:31:742,370555,370501,54,0,16504765,0,6768 102,3,2024-09-07 09:00:31:612,1,293,1,0,410,3072,293,0 103,0,2024-09-07 09:00:31:591,75862,0.5,75928,0.6,143123,0.4,197514,1.75 103,1,2024-09-07 09:00:31:625,513683,513683,0,0,239141304109,2523277552,505671,6121,1891,381,391829,0 103,2,2024-09-07 09:00:30:582,370236,370236,0,0,16906231,0,3173 103,3,2024-09-07 09:00:30:757,1,293,8,0,916,3852,293,0 104,0,2024-09-07 09:00:31:020,77076,0.8,77515,1.0,153715,0.8,206850,2.25 104,1,2024-09-07 09:00:31:609,515086,515086,0,0,239237971005,2520605767,506575,6852,1659,365,392168,0 104,2,2024-09-07 09:00:31:666,369998,369998,0,0,18592324,0,3941 104,3,2024-09-07 09:00:31:416,1,293,10,0,1245,6767,293,0 105,0,2024-09-07 09:00:31:063,73157,1.0,71210,1.1,148743,1.3,195782,3.25 105,1,2024-09-07 09:00:30:554,515260,515260,0,0,239569610961,2517799901,507563,6398,1299,366,391797,0 105,2,2024-09-07 09:00:31:333,369373,369373,0,0,17517796,0,3509 105,3,2024-09-07 09:00:31:315,1,293,0,0,399,4633,293,0 106,0,2024-09-07 09:00:30:959,68359,0.8,70046,1.0,143368,0.9,187553,2.50 106,1,2024-09-07 09:00:31:757,514680,514680,0,0,238437320094,2509659226,506222,7454,1004,369,391865,0 106,2,2024-09-07 09:00:30:757,368238,368238,0,0,16939655,0,2795 106,3,2024-09-07 09:00:30:680,1,293,21,0,470,4081,293,0 107,0,2024-09-07 09:00:31:119,71366,0.8,71181,0.9,142573,0.8,190277,2.00 107,1,2024-09-07 09:00:30:589,513848,513848,0,0,238848878114,2517804630,506888,6243,717,381,392234,0 107,2,2024-09-07 09:00:31:291,369430,369429,1,0,18031247,0,5024 107,3,2024-09-07 09:00:31:755,1,293,8,0,353,3938,293,0 108,0,2024-09-07 09:00:31:805,77690,0.4,77931,0.6,155232,0.4,207550,1.75 108,1,2024-09-07 09:00:31:296,515686,515686,0,0,240387367147,2507611201,511532,3735,419,368,391857,0 108,2,2024-09-07 09:00:31:760,369064,369064,0,0,16652456,0,4246 108,3,2024-09-07 09:00:31:329,1,293,27,0,749,6100,293,0 109,0,2024-09-07 09:00:31:802,75983,0.4,75248,0.6,150767,0.3,201787,1.75 109,1,2024-09-07 09:00:30:588,514115,514115,0,0,240126375097,2519693728,509535,3932,648,383,392132,0 109,2,2024-09-07 09:00:30:955,370069,370069,0,0,16504284,0,3617 109,3,2024-09-07 09:00:31:139,1,293,29,0,249,3246,293,0 110,0,2024-09-07 09:00:31:771,69658,0.4,67679,0.6,141705,0.3,185589,1.75 110,1,2024-09-07 09:00:31:654,517326,517326,0,0,239902431147,2491188016,513848,2569,909,369,392045,0 110,2,2024-09-07 09:00:31:314,370373,370373,0,0,16172911,0,4067 110,3,2024-09-07 09:00:30:691,1,293,13,0,406,3700,293,0 111,0,2024-09-07 09:00:31:433,70179,0.4,69527,0.5,139200,0.3,186949,1.75 111,1,2024-09-07 09:00:31:186,517368,517368,0,0,241169609497,2502316400,514795,2230,343,382,391690,0 111,2,2024-09-07 09:00:31:141,369406,369406,0,0,15199536,0,2763 111,3,2024-09-07 09:00:30:941,1,293,24,0,379,3809,293,0 112,0,2024-09-07 09:00:30:919,77121,0.3,76530,0.4,153417,0.2,204212,1.50 112,1,2024-09-07 09:00:30:831,517443,517443,0,0,240745269435,2497668259,514447,2543,453,380,391624,0 112,2,2024-09-07 09:00:31:133,370052,370051,1,0,14868862,0,5036 112,3,2024-09-07 09:00:30:595,1,293,9,0,282,3120,293,0 113,0,2024-09-07 09:00:30:886,75504,0.3,75556,0.5,151652,0.2,202230,1.50 113,1,2024-09-07 09:00:31:685,518334,518334,0,0,241968118850,2497864218,515382,2376,576,366,391661,0 113,2,2024-09-07 09:00:31:303,375180,375180,0,0,13379255,0,3813 113,3,2024-09-07 09:00:30:685,1,293,1,0,340,3847,293,0 114,0,2024-09-07 09:00:30:881,70992,0.4,71482,0.5,141921,0.2,189451,1.75 114,1,2024-09-07 09:00:30:719,516619,516619,0,0,240365088897,2500822834,511773,3257,1589,381,391556,0 114,2,2024-09-07 09:00:30:894,369854,369853,1,0,14547754,0,5069 114,3,2024-09-07 09:00:31:283,1,293,3,0,395,2866,293,0 115,0,2024-09-07 09:00:30:557,69794,0.3,70073,0.4,140370,0.2,186728,1.50 115,1,2024-09-07 09:00:30:573,516760,516760,0,0,240656481858,2501635139,512183,3737,840,382,391656,0 115,2,2024-09-07 09:00:31:129,370705,370705,0,0,13308577,0,2346 115,3,2024-09-07 09:00:31:030,1,293,8,0,159,1730,293,0 116,0,2024-09-07 09:00:31:726,74573,0.7,74405,0.8,149199,0.7,199847,2.00 116,1,2024-09-07 09:00:30:846,514055,514055,0,0,239169777118,2524921772,507488,4509,2058,380,391782,0 116,2,2024-09-07 09:00:31:772,369639,369639,0,0,18590911,0,3529 116,3,2024-09-07 09:00:30:918,1,293,7,0,415,3923,293,0 117,0,2024-09-07 09:00:30:976,77479,0.9,77072,0.9,154096,1.1,205932,2.00 117,1,2024-09-07 09:00:31:579,515091,515091,0,0,239870277925,2510774403,509683,4858,550,370,392033,0 117,2,2024-09-07 09:00:31:127,375034,375034,0,0,15202250,0,3700 117,3,2024-09-07 09:00:31:066,1,293,2,0,490,4450,293,0 118,0,2024-09-07 09:00:31:832,70527,0.6,72576,0.7,147598,0.5,192719,2.00 118,1,2024-09-07 09:00:30:592,514934,514934,0,0,239187455176,2515447693,507464,5645,1825,366,391736,0 118,2,2024-09-07 09:00:31:594,368703,368703,0,0,16185630,0,2781 118,3,2024-09-07 09:00:31:771,1,293,1,0,235,3305,293,0 119,0,2024-09-07 09:00:31:409,70795,0.8,71226,0.8,142529,0.8,189235,2.25 119,1,2024-09-07 09:00:30:553,515965,515965,0,0,240135374014,2509863021,510491,4807,667,367,391780,0 119,2,2024-09-07 09:00:31:286,370115,370115,0,0,15494257,0,4174 119,3,2024-09-07 09:00:31:338,1,293,17,0,443,3998,293,0 120,0,2024-09-07 09:00:31:545,72078,0.6,71909,0.8,143970,0.6,193046,2.25 120,1,2024-09-07 09:00:30:868,515275,515275,0,0,239861429645,2518029248,509602,5252,421,368,391961,0 120,2,2024-09-07 09:00:30:786,370626,370625,1,0,19029005,0,5281 120,3,2024-09-07 09:00:31:290,1,293,204,0,241,3825,293,0 121,0,2024-09-07 09:00:31:707,77779,1.2,77783,1.1,156137,1.6,207440,2.25 121,1,2024-09-07 09:00:31:668,515639,515639,0,0,240216643013,2515296275,510261,4949,429,367,391840,0 121,2,2024-09-07 09:00:31:132,369725,369725,0,0,18380897,0,4127 121,3,2024-09-07 09:00:30:738,1,293,35,0,269,3579,293,0 122,0,2024-09-07 09:00:31:762,74901,0.8,72961,0.9,152964,0.9,200820,2.00 122,1,2024-09-07 09:00:30:869,514595,514595,0,0,239615225311,2517588951,507011,6451,1133,366,392130,0 122,2,2024-09-07 09:00:31:323,369788,369715,73,0,20411251,0,5989 122,3,2024-09-07 09:00:30:594,1,293,1,0,411,5814,293,0 123,0,2024-09-07 09:00:31:001,69893,0.8,68310,0.8,142545,1.0,186774,2.25 123,1,2024-09-07 09:00:30:562,514667,514667,0,0,239832426464,2530885055,504749,7977,1941,369,392039,0 123,2,2024-09-07 09:00:31:057,368005,368004,1,0,17150584,0,5215 123,3,2024-09-07 09:00:31:137,1,293,10,0,168,3487,293,0 124,0,2024-09-07 09:00:30:938,72896,0.3,72916,0.5,137404,0.3,188945,1.75 124,1,2024-09-07 09:00:31:026,516842,516842,0,0,240354757692,2504175429,512463,3475,904,367,392178,0 124,2,2024-09-07 09:00:31:042,370633,370633,0,0,14670061,0,3101 124,3,2024-09-07 09:00:30:758,1,293,1,0,490,3081,293,0 125,0,2024-09-07 09:00:31:437,76889,0.4,76555,0.5,153919,0.3,204913,1.75 125,1,2024-09-07 09:00:30:869,514886,514886,0,0,240362711078,2509841958,510974,3462,450,383,391702,0 125,2,2024-09-07 09:00:31:119,370036,370036,0,0,15939510,0,4534 125,3,2024-09-07 09:00:31:132,1,293,9,0,709,4263,293,0 126,0,2024-09-07 09:00:31:444,76166,0.4,78242,0.6,149478,0.4,202926,1.75 126,1,2024-09-07 09:00:30:639,517371,517371,0,0,240686602537,2494341302,514484,2618,269,365,391987,0 126,2,2024-09-07 09:00:30:610,374460,374460,0,0,15760558,0,3186 126,3,2024-09-07 09:00:30:918,1,293,4,0,207,3936,293,0 127,0,2024-09-07 09:00:31:602,69425,0.3,69840,0.5,139283,0.3,185150,1.75 127,1,2024-09-07 09:00:30:569,516747,516747,0,0,240279298991,2494465146,512522,3711,514,365,391816,0 127,2,2024-09-07 09:00:30:638,370255,370255,0,0,14165868,0,2264 127,3,2024-09-07 09:00:31:268,1,293,9,0,968,3663,293,0 128,0,2024-09-07 09:00:31:542,70205,0.3,70235,0.4,140255,0.2,186616,1.50 128,1,2024-09-07 09:00:31:613,516227,516227,0,0,240784867351,2502543645,512424,3436,367,367,391798,0 128,2,2024-09-07 09:00:31:391,370477,370477,0,0,13902782,0,2434 128,3,2024-09-07 09:00:30:767,1,293,42,0,1082,5642,293,0 129,0,2024-09-07 09:00:31:030,76463,0.3,76093,0.5,152337,0.3,203008,1.50 129,1,2024-09-07 09:00:30:570,513363,513363,0,0,239083571803,2506979475,508311,3843,1209,379,391835,0 129,2,2024-09-07 09:00:30:701,371178,371178,0,0,14977039,0,4031 129,3,2024-09-07 09:00:30:698,1,293,54,0,469,3917,293,0 130,0,2024-09-07 09:00:31:732,77099,0.5,76706,0.6,153968,0.6,204722,1.75 130,1,2024-09-07 09:00:30:583,516347,516347,0,0,240162266174,2497850786,513437,2678,232,381,391825,0 130,2,2024-09-07 09:00:31:130,374838,374838,0,0,14917840,0,4067 130,3,2024-09-07 09:00:31:294,1,293,13,0,450,3778,293,0 131,0,2024-09-07 09:00:31:937,71268,0.4,71749,0.5,144047,0.3,190661,1.75 131,1,2024-09-07 09:00:31:826,516682,516682,0,0,240538138040,2510959123,512689,3253,740,381,391865,0 131,2,2024-09-07 09:00:30:579,371496,371496,0,0,13428652,0,2415 131,3,2024-09-07 09:00:31:690,1,293,7,0,392,3056,293,0 132,0,2024-09-07 09:00:31:456,70324,0.5,71218,0.6,141864,0.4,188188,2.00 132,1,2024-09-07 09:00:30:579,513885,513885,0,0,239642467419,2527529565,505762,6663,1460,381,392097,0 132,2,2024-09-07 09:00:30:706,369508,369508,0,0,17291744,0,4606 132,3,2024-09-07 09:00:31:687,1,293,3,0,356,3883,293,0 133,0,2024-09-07 09:00:31:528,71784,0.4,73449,0.5,150618,0.3,196188,1.75 133,1,2024-09-07 09:00:30:589,514316,514316,0,0,238776913895,2517458878,507063,6206,1047,383,391914,0 133,2,2024-09-07 09:00:31:104,370531,370531,0,0,18825910,0,4315 133,3,2024-09-07 09:00:31:297,1,293,1,0,276,2797,293,0 134,0,2024-09-07 09:00:31:009,78115,0.5,78060,0.7,156025,0.5,207948,2.00 134,1,2024-09-07 09:00:30:585,514719,514719,0,0,239240545628,2511996344,507741,5501,1477,366,391718,0 134,2,2024-09-07 09:00:31:771,371144,371144,0,0,15982570,0,3847 134,3,2024-09-07 09:00:30:751,1,293,6,0,739,4150,293,0 135,0,2024-09-07 09:00:31:103,71435,0.7,71446,0.9,151544,0.8,195566,2.00 135,1,2024-09-07 09:00:31:593,514454,514454,0,0,240045948999,2527550488,507007,6256,1191,380,391805,0 135,2,2024-09-07 09:00:30:691,370213,370213,0,0,17071765,0,3981 135,3,2024-09-07 09:00:31:015,1,293,8,0,299,2239,293,0 136,0,2024-09-07 09:00:31:631,71269,0.6,71225,0.7,142012,0.5,189531,2.25 136,1,2024-09-07 09:00:31:441,514498,514498,0,0,239306801572,2518270645,507853,5961,684,382,391685,0 136,2,2024-09-07 09:00:31:139,370125,370125,0,0,17057287,0,3506 136,3,2024-09-07 09:00:31:109,1,293,0,0,301,2896,293,0 137,0,2024-09-07 09:00:30:950,73589,0.7,71489,0.8,140730,0.8,191594,2.00 137,1,2024-09-07 09:00:30:576,514316,514316,0,0,239792842872,2521002576,505554,6960,1802,366,391708,0 137,2,2024-09-07 09:00:31:704,369956,369956,0,0,19203654,0,3185 137,3,2024-09-07 09:00:30:777,1,293,0,0,382,3650,293,0 138,0,2024-09-07 09:00:31:741,77074,1.5,77317,1.1,155151,2.0,206442,2.50 138,1,2024-09-07 09:00:31:686,514335,514335,0,0,240647595157,2530138715,505917,6846,1572,368,391954,0 138,2,2024-09-07 09:00:30:587,369543,369543,0,0,17492092,0,4988 138,3,2024-09-07 09:00:30:610,1,293,4,0,1160,4184,293,0 139,0,2024-09-07 09:00:31:381,74443,1.5,74890,1.1,149784,2.2,200021,2.50 139,1,2024-09-07 09:00:30:572,512656,512656,0,0,238001451812,2531836091,502581,7556,2519,381,391892,0 139,2,2024-09-07 09:00:30:693,369444,369444,0,0,18275626,0,3097 139,3,2024-09-07 09:00:31:666,1,293,16,0,257,3270,293,0 140,0,2024-09-07 09:00:31:599,69872,0.3,69430,0.5,139569,0.2,185879,1.75 140,1,2024-09-07 09:00:31:539,517754,517754,0,0,240828809037,2486184058,514776,2540,438,365,391606,0 140,2,2024-09-07 09:00:30:691,370886,370886,0,0,14339903,0,3388 140,3,2024-09-07 09:00:30:767,1,293,2,0,247,2416,293,0 141,0,2024-09-07 09:00:31:717,69872,0.3,71865,0.4,137426,0.2,186750,1.50 141,1,2024-09-07 09:00:30:874,517430,517430,0,0,241114890796,2505021237,513859,3112,459,379,391614,0 141,2,2024-09-07 09:00:31:686,369950,369950,0,0,14662807,0,3360 141,3,2024-09-07 09:00:31:065,1,293,33,0,391,3030,293,0 142,0,2024-09-07 09:00:31:344,77343,0.3,76586,0.5,152833,0.3,204429,1.50 142,1,2024-09-07 09:00:30:627,516251,516251,0,0,240276718197,2507921948,512623,3279,349,382,392102,0 142,2,2024-09-07 09:00:31:308,369694,369662,32,0,16144211,0,6028 142,3,2024-09-07 09:00:31:746,1,293,3,0,484,3896,293,0 143,0,2024-09-07 09:00:31:397,75828,0.5,75851,0.6,151967,0.5,202240,1.75 143,1,2024-09-07 09:00:30:568,517170,517170,0,0,240647475173,2497629050,513784,3247,139,367,391651,0 143,2,2024-09-07 09:00:30:790,373878,373878,0,0,15207133,0,2750 143,3,2024-09-07 09:00:31:153,1,293,11,0,303,3659,293,0 144,0,2024-09-07 09:00:31:497,68369,0.6,70265,0.8,143215,0.5,187670,2.00 144,1,2024-09-07 09:00:30:565,514137,514137,0,0,239264232162,2511564313,509467,3734,936,381,391649,0 144,2,2024-09-07 09:00:31:765,370397,370397,0,0,14116351,0,3473 144,3,2024-09-07 09:00:31:742,1,293,49,0,249,3222,293,0 145,0,2024-09-07 09:00:31:394,67406,0.5,67426,0.8,143027,0.5,184672,2.25 145,1,2024-09-07 09:00:30:553,514040,514040,0,0,239797160856,2519773507,508048,5161,831,382,391698,0 145,2,2024-09-07 09:00:31:429,368386,368386,0,0,16715719,0,3903 145,3,2024-09-07 09:00:30:902,1,293,7,0,622,4570,293,0 146,0,2024-09-07 09:00:31:593,74829,0.5,74193,0.7,149565,0.4,199128,2.00 146,1,2024-09-07 09:00:31:585,515151,515151,0,0,239319267964,2520009597,506329,6927,1895,368,391770,0 146,2,2024-09-07 09:00:31:731,370182,370182,0,0,17307492,0,2730 146,3,2024-09-07 09:00:31:278,1,293,217,0,1520,6579,293,0 147,0,2024-09-07 09:00:31:716,77303,0.6,77066,0.8,153296,0.6,205414,2.00 147,1,2024-09-07 09:00:31:375,516536,516536,0,0,240744900401,2506893063,511514,4231,791,368,391791,0 147,2,2024-09-07 09:00:31:011,373601,373601,0,0,14740575,0,2789 147,3,2024-09-07 09:00:30:918,1,293,8,0,730,4441,293,0 0,0,2024-09-07 09:00:41:708,70569,0.5,70568,0.7,149748,0.5,193780,1.75 0,1,2024-09-07 09:00:40:812,517453,517453,0,0,241397385101,2525911915,513493,3720,240,370,391896,0 0,2,2024-09-07 09:00:41:070,372691,372691,0,0,15168468,0,4480 0,3,2024-09-07 09:00:40:977,1,294,1,0,319,3795,294,0 1,0,2024-09-07 09:00:41:756,78138,0.9,77681,1.0,156104,1.1,208179,2.00 1,1,2024-09-07 09:00:40:559,516692,516692,0,0,240028891882,2515754447,511607,3881,1204,370,391857,0 1,2,2024-09-07 09:00:40:670,371257,371257,0,0,14587320,0,3267 1,3,2024-09-07 09:00:41:303,1,294,4,0,262,3372,294,0 2,0,2024-09-07 09:00:41:583,75329,0.7,75684,0.8,150003,0.8,200889,2.00 2,1,2024-09-07 09:00:40:882,518401,518401,0,0,241274169646,2506943098,515897,2265,239,380,391745,0 2,2,2024-09-07 09:00:41:270,372019,372019,0,0,14648745,0,3594 2,3,2024-09-07 09:00:40:695,1,294,23,0,357,2900,294,0 3,0,2024-09-07 09:00:41:750,70305,0.4,70465,0.6,140672,0.4,187253,2.00 3,1,2024-09-07 09:00:41:620,516918,516918,0,0,240681853063,2508404794,512270,4057,591,380,391556,0 3,2,2024-09-07 09:00:41:142,371759,371736,23,0,14593997,0,5851 3,3,2024-09-07 09:00:41:753,1,294,8,0,103,1781,294,0 4,0,2024-09-07 09:00:41:795,68870,0.4,70933,0.5,143889,0.3,188906,1.75 4,1,2024-09-07 09:00:40:617,516686,516686,0,0,240148675937,2524242173,511079,4604,1003,371,391992,0 4,2,2024-09-07 09:00:41:022,370300,370300,0,0,17461000,0,4528 4,3,2024-09-07 09:00:41:038,1,294,8,0,448,4272,294,0 5,0,2024-09-07 09:00:41:485,77145,0.4,77354,0.6,154103,0.4,205431,1.75 5,1,2024-09-07 09:00:40:760,516594,516594,0,0,240733268556,2532203601,510103,5166,1325,368,392005,0 5,2,2024-09-07 09:00:41:828,370221,370221,0,0,16255682,0,2432 5,3,2024-09-07 09:00:41:733,1,294,1,0,457,4316,294,0 6,0,2024-09-07 09:00:40:929,76658,0.5,76180,0.7,152264,0.4,203575,2.00 6,1,2024-09-07 09:00:40:750,517152,517152,0,0,240458931142,2511633291,511800,4425,927,379,391694,0 6,2,2024-09-07 09:00:41:125,373761,373761,0,0,15679843,0,4816 6,3,2024-09-07 09:00:41:275,1,294,4,0,340,3395,294,0 7,0,2024-09-07 09:00:41:534,69358,0.5,69677,0.6,138834,0.4,184647,2.00 7,1,2024-09-07 09:00:40:858,516180,516180,0,0,240467729132,2524513042,509375,5694,1111,382,391747,0 7,2,2024-09-07 09:00:40:775,371067,371067,0,0,15291759,0,4791 7,3,2024-09-07 09:00:40:858,1,294,12,0,398,3447,294,0 8,0,2024-09-07 09:00:41:446,70201,0.4,70044,0.5,140078,0.3,186973,1.75 8,1,2024-09-07 09:00:41:060,515502,515502,0,0,240206382786,2534487641,506030,7260,2212,366,392144,0 8,2,2024-09-07 09:00:40:805,366686,366686,0,0,19400381,0,3220 8,3,2024-09-07 09:00:40:616,1,294,20,0,538,5156,294,0 9,0,2024-09-07 09:00:41:188,76516,0.3,74314,0.5,155429,0.3,203796,1.75 9,1,2024-09-07 09:00:40:558,515829,515829,0,0,240569965224,2536710607,508155,6007,1667,369,392001,0 9,2,2024-09-07 09:00:41:103,370857,370857,0,0,17387611,0,3360 9,3,2024-09-07 09:00:41:766,1,294,4,0,496,4486,294,0 10,0,2024-09-07 09:00:41:609,76747,0.3,76203,0.5,153113,0.3,203845,1.75 10,1,2024-09-07 09:00:40:591,516487,516487,0,0,241338910716,2530858180,509220,6280,987,381,391741,0 10,2,2024-09-07 09:00:40:764,374319,374319,0,0,18719943,0,4264 10,3,2024-09-07 09:00:40:873,1,294,5,0,296,2746,294,0 11,0,2024-09-07 09:00:41:009,71052,0.5,69017,0.7,144351,0.4,190856,1.75 11,1,2024-09-07 09:00:40:575,516721,516721,0,0,240243289971,2528437599,507156,7151,2414,383,391573,0 11,2,2024-09-07 09:00:41:125,370255,370255,0,0,16722219,0,4130 11,3,2024-09-07 09:00:41:298,1,294,12,0,843,4602,294,0 12,0,2024-09-07 09:00:40:954,71090,0.3,71055,0.5,142096,0.3,188431,1.75 12,1,2024-09-07 09:00:40:942,517189,517189,0,0,241256141363,2518859956,512537,4168,484,370,391870,0 12,2,2024-09-07 09:00:41:543,371195,371195,0,0,16435040,0,3469 12,3,2024-09-07 09:00:41:059,1,294,1,0,386,4787,294,0 13,0,2024-09-07 09:00:41:354,74469,0.4,74342,0.5,148564,0.3,198134,1.75 13,1,2024-09-07 09:00:41:528,516575,516575,0,0,240112453680,2525540105,511759,3514,1302,382,391740,0 13,2,2024-09-07 09:00:40:632,373143,373143,0,0,15409958,0,3287 13,3,2024-09-07 09:00:41:784,1,294,1,0,522,5075,294,0 14,0,2024-09-07 09:00:40:565,78264,0.4,78871,0.6,156093,0.4,207992,1.75 14,1,2024-09-07 09:00:41:564,519782,519782,0,0,241612274948,2504666105,515871,3632,279,364,391571,0 14,2,2024-09-07 09:00:40:767,372718,372718,0,0,15350733,0,2896 14,3,2024-09-07 09:00:41:121,1,294,1,0,1168,3997,294,0 15,0,2024-09-07 09:00:41:554,73962,0.4,74081,0.7,148056,0.4,196705,2.00 15,1,2024-09-07 09:00:41:612,518094,518094,0,0,240728330027,2504017146,515178,2738,178,381,391619,0 15,2,2024-09-07 09:00:40:999,372474,372474,0,0,12932571,0,3043 15,3,2024-09-07 09:00:41:406,1,294,56,0,1126,5665,294,0 16,0,2024-09-07 09:00:41:093,71252,0.7,71447,0.8,142353,0.7,189653,2.25 16,1,2024-09-07 09:00:40:581,517906,517906,0,0,240989547161,2519172523,513685,3862,359,370,391756,0 16,2,2024-09-07 09:00:41:440,370864,370864,0,0,15859540,0,4719 16,3,2024-09-07 09:00:41:164,1,294,18,0,317,4257,294,0 17,0,2024-09-07 09:00:41:819,73892,0.6,72227,0.8,141122,0.6,191893,2.00 17,1,2024-09-07 09:00:40:581,516817,516817,0,0,240226660721,2524101998,511013,4554,1250,368,391899,0 17,2,2024-09-07 09:00:41:699,374870,374870,0,0,15672056,0,2857 17,3,2024-09-07 09:00:40:581,1,294,52,0,268,4621,294,0 18,0,2024-09-07 09:00:40:948,77008,0.7,77443,0.8,154310,0.8,205775,2.25 18,1,2024-09-07 09:00:41:639,518364,518364,0,0,241873879841,2507588928,515442,2680,242,367,391649,0 18,2,2024-09-07 09:00:41:783,373085,373085,0,0,14709287,0,3541 18,3,2024-09-07 09:00:40:900,1,294,26,0,163,2685,294,0 19,0,2024-09-07 09:00:41:539,75285,0.6,75833,0.8,150516,0.6,200520,2.25 19,1,2024-09-07 09:00:40:565,518331,518331,0,0,242008718387,2514400275,513289,4204,838,367,391777,0 19,2,2024-09-07 09:00:41:753,374125,374125,0,0,13299492,0,3988 19,3,2024-09-07 09:00:41:133,1,294,7,0,524,2259,294,0 20,0,2024-09-07 09:00:41:388,69622,0.6,69617,0.7,139392,0.6,185885,2.00 20,1,2024-09-07 09:00:40:573,516937,516937,0,0,240653894232,2515563247,512934,3601,402,369,391886,0 20,2,2024-09-07 09:00:40:932,371970,371970,0,0,15021510,0,3721 20,3,2024-09-07 09:00:40:595,1,294,4,0,414,4938,294,0 21,0,2024-09-07 09:00:41:171,70410,0.5,70556,0.6,140763,0.4,187036,2.00 21,1,2024-09-07 09:00:41:542,515548,515548,0,0,239824559308,2525881059,508785,5136,1627,368,392016,0 21,2,2024-09-07 09:00:41:069,369988,369988,0,0,17914897,0,3747 21,3,2024-09-07 09:00:41:412,1,294,1,0,103,2995,294,0 22,0,2024-09-07 09:00:41:717,76664,0.5,76828,0.7,153708,0.4,203856,2.00 22,1,2024-09-07 09:00:41:031,516894,516894,0,0,240538753350,2530068988,509193,6034,1667,382,391667,0 22,2,2024-09-07 09:00:40:775,370512,370512,0,0,15146343,0,3134 22,3,2024-09-07 09:00:41:066,1,294,63,0,228,2644,294,0 23,0,2024-09-07 09:00:41:376,75656,0.6,75535,0.7,151294,0.5,201916,2.25 23,1,2024-09-07 09:00:41:004,517322,517322,0,0,240305095665,2521821868,509167,5464,2691,365,391690,0 23,2,2024-09-07 09:00:41:092,375011,375011,0,0,14815354,0,3010 23,3,2024-09-07 09:00:41:773,1,294,14,0,645,3593,294,0 24,0,2024-09-07 09:00:40:815,71284,0.4,71107,0.6,142725,0.4,189265,1.75 24,1,2024-09-07 09:00:40:595,516478,516478,0,0,239853318530,2518432485,510088,4868,1522,368,391987,0 24,2,2024-09-07 09:00:41:087,369958,369958,0,0,18094950,0,3607 24,3,2024-09-07 09:00:41:687,1,294,7,0,468,4148,294,0 25,0,2024-09-07 09:00:41:350,71858,0.4,70038,0.6,137447,0.4,187714,2.00 25,1,2024-09-07 09:00:40:568,517388,517388,0,0,240222437297,2518451378,511488,5081,819,371,391928,0 25,2,2024-09-07 09:00:41:611,369729,369729,0,0,18678839,0,3978 25,3,2024-09-07 09:00:41:008,1,294,1,0,255,3098,294,0 26,0,2024-09-07 09:00:41:721,75037,0.4,73302,0.5,153757,0.3,200572,1.75 26,1,2024-09-07 09:00:41:541,517493,517493,0,0,240653314344,2524398797,509716,6529,1248,381,391748,0 26,2,2024-09-07 09:00:40:863,371929,371929,0,0,18404503,0,2809 26,3,2024-09-07 09:00:41:712,1,294,1,0,796,3676,294,0 27,0,2024-09-07 09:00:41:724,77624,0.5,77755,0.6,153760,0.5,205551,2.00 27,1,2024-09-07 09:00:41:677,519079,519079,0,0,241669094791,2518100068,514277,4041,761,381,391626,0 27,2,2024-09-07 09:00:40:888,371690,371625,65,0,18489929,0,5699 27,3,2024-09-07 09:00:41:017,1,294,8,0,564,3161,294,0 28,0,2024-09-07 09:00:41:391,72903,0.4,72740,0.6,145659,0.4,194034,2.00 28,1,2024-09-07 09:00:40:805,518366,518366,0,0,241530866334,2518674662,514359,3333,674,383,391646,0 28,2,2024-09-07 09:00:41:777,370656,370656,0,0,14797415,0,2915 28,3,2024-09-07 09:00:41:777,1,294,21,0,502,3010,294,0 29,0,2024-09-07 09:00:41:396,73204,0.4,71394,0.6,139770,0.3,190442,1.75 29,1,2024-09-07 09:00:41:562,518995,518995,0,0,241592102308,2501458674,515505,2922,568,369,391753,0 29,2,2024-09-07 09:00:40:863,370489,370489,0,0,14629099,0,4233 29,3,2024-09-07 09:00:40:970,1,294,1,0,284,2545,294,0 30,0,2024-09-07 09:00:41:463,72635,0.5,70526,0.7,147525,0.4,193536,2.00 30,1,2024-09-07 09:00:40:576,519265,519265,0,0,241816298923,2512126502,515227,3432,606,382,391672,0 30,2,2024-09-07 09:00:41:280,372855,372855,0,0,14432898,0,3161 30,3,2024-09-07 09:00:40:581,1,294,35,0,519,2820,294,0 31,0,2024-09-07 09:00:41:759,77654,0.5,78010,0.7,156084,0.4,207837,2.00 31,1,2024-09-07 09:00:40:566,521237,521237,0,0,242508078359,2488543836,519151,1657,429,356,391712,0 31,2,2024-09-07 09:00:41:279,372107,372107,0,0,16015015,0,3525 31,3,2024-09-07 09:00:41:708,1,294,8,0,220,2276,294,0 32,0,2024-09-07 09:00:41:416,75275,0.3,75641,0.5,151254,0.3,201272,1.75 32,1,2024-09-07 09:00:40:819,518875,518875,0,0,241851092709,2511305328,516296,2299,280,381,391646,0 32,2,2024-09-07 09:00:40:946,372174,372174,0,0,13219255,0,3155 32,3,2024-09-07 09:00:41:019,1,294,6,0,227,2320,294,0 33,0,2024-09-07 09:00:41:493,70774,0.3,70162,0.5,141106,0.2,188216,1.75 33,1,2024-09-07 09:00:40:576,519195,519195,0,0,242043850655,2504674293,515646,3189,360,369,391730,0 33,2,2024-09-07 09:00:40:759,372251,372216,35,0,15534274,0,7012 33,3,2024-09-07 09:00:40:900,1,294,1,0,329,3282,294,0 34,0,2024-09-07 09:00:40:944,70966,0.3,73171,0.5,140091,0.2,188155,1.75 34,1,2024-09-07 09:00:41:049,520445,520445,0,0,241986232434,2488801140,519197,1241,7,367,391562,0 34,2,2024-09-07 09:00:40:767,371862,371862,0,0,14541687,0,3577 34,3,2024-09-07 09:00:41:688,1,294,1,0,299,2339,294,0 35,0,2024-09-07 09:00:40:867,76443,0.3,76904,0.5,154638,0.3,206369,1.75 35,1,2024-09-07 09:00:41:068,518544,518544,0,0,240425171567,2494068301,515028,2736,780,384,391589,0 35,2,2024-09-07 09:00:41:585,371810,371810,0,0,14803116,0,2653 35,3,2024-09-07 09:00:40:912,1,294,8,0,418,3932,294,0 36,0,2024-09-07 09:00:41:522,76502,0.5,76515,0.7,152932,0.5,203295,2.25 36,1,2024-09-07 09:00:40:597,518300,518300,0,0,240807714195,2516486457,511984,4732,1584,366,391759,0 36,2,2024-09-07 09:00:41:764,373718,373718,0,0,16047652,0,3875 36,3,2024-09-07 09:00:40:862,1,294,103,0,416,4725,294,0 37,0,2024-09-07 09:00:41:388,69305,0.5,69276,0.7,138762,0.5,185601,2.00 37,1,2024-09-07 09:00:40:570,516957,516950,0,7,240320795174,2513751351,510683,4196,2071,365,391560,0 37,2,2024-09-07 09:00:41:146,370249,370234,15,0,15964123,0,5815 37,3,2024-09-07 09:00:41:771,1,294,1,0,888,4771,294,0 38,0,2024-09-07 09:00:41:437,69504,0.4,67534,0.6,141356,0.4,184865,2.00 38,1,2024-09-07 09:00:41:612,518862,518862,0,0,242213996924,2523061513,513454,4732,676,368,391821,0 38,2,2024-09-07 09:00:40:766,370264,370217,47,0,17089108,0,6710 38,3,2024-09-07 09:00:40:996,1,294,2,0,689,3777,294,0 39,0,2024-09-07 09:00:41:771,78131,0.5,76570,0.7,149056,0.5,204086,2.00 39,1,2024-09-07 09:00:40:721,517869,517869,0,0,240641913392,2516839180,510763,5860,1246,365,391594,0 39,2,2024-09-07 09:00:41:417,371351,371351,0,0,15054656,0,2689 39,3,2024-09-07 09:00:40:714,1,294,19,0,324,3825,294,0 40,0,2024-09-07 09:00:41:513,75813,0.8,76459,1.0,152813,0.8,203553,2.75 40,1,2024-09-07 09:00:40:593,517841,517841,0,0,240056924227,2516285858,509901,6486,1454,368,391668,0 40,2,2024-09-07 09:00:41:317,374058,374057,1,0,18492934,0,5137 40,3,2024-09-07 09:00:41:143,1,294,41,0,398,3414,294,0 41,0,2024-09-07 09:00:41:039,70851,1.7,72555,1.3,138592,2.7,188784,3.25 41,1,2024-09-07 09:00:40:778,517358,517358,0,0,240864701979,2518096815,511481,5242,635,370,391742,0 41,2,2024-09-07 09:00:40:761,369310,369310,0,0,17355320,0,3356 41,3,2024-09-07 09:00:41:680,1,294,36,0,366,3164,294,0 42,0,2024-09-07 09:00:41:474,69967,0.7,69960,0.9,140292,0.7,185080,2.50 42,1,2024-09-07 09:00:41:439,516609,516609,0,0,240113000105,2525117688,508302,6744,1563,380,391675,0 42,2,2024-09-07 09:00:41:134,371075,371075,0,0,17005287,0,3568 42,3,2024-09-07 09:00:41:009,1,294,1,0,446,2820,294,0 43,0,2024-09-07 09:00:40:929,73586,0.8,71723,0.9,150062,0.8,197763,2.25 43,1,2024-09-07 09:00:40:579,517764,517764,0,0,241461532318,2525937215,510206,6192,1366,366,391696,0 43,2,2024-09-07 09:00:41:741,372108,372108,0,0,17504319,0,3812 43,3,2024-09-07 09:00:41:749,1,294,8,0,467,3681,294,0 44,0,2024-09-07 09:00:40:893,78058,0.5,78505,0.6,156515,0.4,208163,1.75 44,1,2024-09-07 09:00:40:572,519511,519511,0,0,241118859650,2491142281,516104,2810,597,356,391809,0 44,2,2024-09-07 09:00:41:281,372325,372325,0,0,14574463,0,4344 44,3,2024-09-07 09:00:41:104,1,294,553,0,817,3967,294,0 45,0,2024-09-07 09:00:41:773,72971,0.6,71251,0.8,149578,0.5,196453,2.00 45,1,2024-09-07 09:00:41:008,518564,518564,0,0,241705637077,2509240911,515678,2628,258,382,391917,0 45,2,2024-09-07 09:00:41:280,371374,371374,0,0,14535133,0,3596 45,3,2024-09-07 09:00:40:934,1,294,34,0,271,3027,294,0 46,0,2024-09-07 09:00:40:951,70645,0.5,70696,0.7,141499,0.5,187696,2.00 46,1,2024-09-07 09:00:40:575,520001,520001,0,0,241542473745,2493954950,517166,2508,327,366,391539,0 46,2,2024-09-07 09:00:40:593,371786,371786,0,0,14222713,0,2920 46,3,2024-09-07 09:00:41:133,1,294,1,0,908,4394,294,0 47,0,2024-09-07 09:00:41:112,72162,0.5,72261,0.6,144866,0.5,191876,1.75 47,1,2024-09-07 09:00:40:572,519433,519433,0,0,241762905915,2498042374,516392,2683,358,366,391641,0 47,2,2024-09-07 09:00:40:922,374218,374218,0,0,14692760,0,4477 47,3,2024-09-07 09:00:41:117,1,294,9,0,529,3255,294,0 48,0,2024-09-07 09:00:41:497,78642,0.3,78141,0.4,155825,0.2,207890,1.75 48,1,2024-09-07 09:00:41:047,518649,518649,0,0,241751597059,2512137114,515171,3177,301,384,391710,0 48,2,2024-09-07 09:00:40:705,371655,371655,0,0,13652591,0,3031 48,3,2024-09-07 09:00:40:757,1,294,1,0,339,2415,294,0 49,0,2024-09-07 09:00:41:712,77812,0.3,76376,0.5,148050,0.3,202932,1.75 49,1,2024-09-07 09:00:41:038,517872,517872,0,0,241576017076,2518045532,513706,2982,1184,382,391809,0 49,2,2024-09-07 09:00:41:797,373917,373917,0,0,14118715,0,3900 49,3,2024-09-07 09:00:41:416,1,294,1,0,408,3260,294,0 50,0,2024-09-07 09:00:41:508,69758,0.3,69144,0.5,139233,0.2,185649,1.75 50,1,2024-09-07 09:00:41:009,519636,519636,0,0,243198043784,2515656363,516243,3083,310,368,391540,0 50,2,2024-09-07 09:00:41:067,372029,372029,0,0,13450529,0,2263 50,3,2024-09-07 09:00:41:296,1,294,1,0,335,2495,294,0 51,0,2024-09-07 09:00:41:691,72096,0.3,70471,0.5,137561,0.2,187821,1.75 51,1,2024-09-07 09:00:41:682,520129,520129,0,0,242850675964,2507253483,517159,2029,941,365,391706,0 51,2,2024-09-07 09:00:41:323,371280,371280,0,0,12662020,0,3337 51,3,2024-09-07 09:00:41:038,1,294,1,0,678,2274,294,0 52,0,2024-09-07 09:00:41:416,77238,0.4,77026,0.6,154265,0.4,204682,2.00 52,1,2024-09-07 09:00:40:580,517789,517789,0,0,240422857848,2519659904,510082,6453,1254,368,391722,0 52,2,2024-09-07 09:00:41:755,368332,368294,38,0,17132450,0,6742 52,3,2024-09-07 09:00:40:681,1,294,7,0,1782,4689,294,0 53,0,2024-09-07 09:00:41:737,75458,0.7,73433,0.8,153340,0.7,201399,2.25 53,1,2024-09-07 09:00:40:778,516347,516347,0,0,240924652747,2529277560,508101,5797,2449,367,391702,0 53,2,2024-09-07 09:00:41:307,375342,375342,0,0,14436275,0,2727 53,3,2024-09-07 09:00:40:704,1,294,1,0,308,2727,294,0 54,0,2024-09-07 09:00:41:617,69647,0.6,70164,0.8,139327,0.4,186444,2.50 54,1,2024-09-07 09:00:40:583,518147,518147,0,0,241845140594,2514620728,512894,4653,600,367,391659,0 54,2,2024-09-07 09:00:40:870,371188,371182,6,0,16357855,0,5382 54,3,2024-09-07 09:00:40:767,1,294,17,0,676,4738,294,0 55,0,2024-09-07 09:00:41:769,67528,0.5,70066,0.7,140823,0.5,183944,2.25 55,1,2024-09-07 09:00:40:820,517659,517659,0,0,241193760246,2511606204,511422,5329,908,365,391731,0 55,2,2024-09-07 09:00:40:776,369974,369974,0,0,16077551,0,3563 55,3,2024-09-07 09:00:40:681,1,294,14,0,304,3141,294,0 56,0,2024-09-07 09:00:41:559,76971,1.0,72532,1.0,149457,1.4,200608,2.50 56,1,2024-09-07 09:00:40:586,515671,515671,0,0,240351419517,2541279517,507599,6524,1548,381,391867,0 56,2,2024-09-07 09:00:41:303,371731,371731,0,0,17517544,0,3567 56,3,2024-09-07 09:00:41:058,1,294,35,0,705,4021,294,0 57,0,2024-09-07 09:00:40:943,76277,1.7,76112,1.3,152502,2.4,204123,3.25 57,1,2024-09-07 09:00:40:987,517424,517424,0,0,240567899562,2521104159,511356,5363,705,366,392032,0 57,2,2024-09-07 09:00:41:325,374038,374038,0,0,18865591,0,3317 57,3,2024-09-07 09:00:41:751,1,294,4,0,359,3645,294,0 58,0,2024-09-07 09:00:40:573,71468,1.0,69584,1.0,145737,1.2,190699,2.50 58,1,2024-09-07 09:00:40:575,518081,518078,0,3,241160185513,2521848782,511093,6096,889,367,391603,3 58,2,2024-09-07 09:00:41:070,370631,370631,0,0,16926497,0,2549 58,3,2024-09-07 09:00:41:069,1,294,0,0,1043,3209,294,0 59,0,2024-09-07 09:00:41:756,70902,0.7,70576,0.9,140943,0.7,186925,2.75 59,1,2024-09-07 09:00:40:806,517309,517309,0,0,241629225125,2529687258,510511,5515,1283,369,391578,0 59,2,2024-09-07 09:00:40:589,372275,372275,0,0,15884780,0,2604 59,3,2024-09-07 09:00:41:743,1,294,13,0,1015,4022,294,0 60,0,2024-09-07 09:00:41:709,72807,0.5,72707,0.6,146454,0.4,194145,1.75 60,1,2024-09-07 09:00:40:776,519425,519425,0,0,241694916876,2506805520,516547,2352,526,370,392031,0 60,2,2024-09-07 09:00:41:144,372676,372676,0,0,16865370,0,3811 60,3,2024-09-07 09:00:41:259,1,294,1,0,175,3127,294,0 61,0,2024-09-07 09:00:41:561,77920,0.7,78351,0.8,155777,0.7,207641,2.00 61,1,2024-09-07 09:00:40:776,517363,517363,0,0,240581325774,2520832292,511516,4933,914,382,391880,0 61,2,2024-09-07 09:00:41:122,372746,372746,0,0,15342412,0,3598 61,3,2024-09-07 09:00:41:690,1,294,90,0,479,4531,294,0 62,0,2024-09-07 09:00:41:710,75877,0.6,77435,0.7,147625,0.6,200988,2.00 62,1,2024-09-07 09:00:41:113,520707,520701,0,6,242921780779,2507063771,517851,2689,161,365,391715,6 62,2,2024-09-07 09:00:41:655,370564,370563,1,0,16435621,0,5555 62,3,2024-09-07 09:00:41:143,1,294,21,0,465,2463,294,0 63,0,2024-09-07 09:00:41:458,70441,0.4,70612,0.6,141196,0.4,187962,1.75 63,1,2024-09-07 09:00:40:807,519013,519007,0,6,241308994314,2504439183,516058,2715,234,381,391677,6 63,2,2024-09-07 09:00:40:775,371892,371892,0,0,14645312,0,4369 63,3,2024-09-07 09:00:41:746,1,294,8,0,667,3264,294,0 64,0,2024-09-07 09:00:41:531,70601,0.5,70571,0.7,141195,0.4,187672,2.00 64,1,2024-09-07 09:00:40:775,517806,517806,0,0,241479829605,2518746257,512735,3563,1508,370,391783,0 64,2,2024-09-07 09:00:41:143,375166,375147,19,0,14695022,0,6121 64,3,2024-09-07 09:00:41:150,1,294,0,0,265,2713,294,0 65,0,2024-09-07 09:00:41:688,76504,0.6,76961,0.7,153423,0.6,204422,2.00 65,1,2024-09-07 09:00:40:861,516941,516941,0,0,240680188342,2517423946,513045,3448,448,382,391901,0 65,2,2024-09-07 09:00:41:709,371883,371883,0,0,16206524,0,3367 65,3,2024-09-07 09:00:41:688,1,294,0,0,163,2756,294,0 66,0,2024-09-07 09:00:41:765,76041,0.5,75892,0.7,152109,0.5,202179,2.00 66,1,2024-09-07 09:00:41:315,518489,518489,0,0,241875800282,2514011187,515405,2818,266,380,391653,0 66,2,2024-09-07 09:00:41:145,375669,375669,0,0,14924460,0,4956 66,3,2024-09-07 09:00:41:078,1,294,0,0,291,2981,294,0 67,0,2024-09-07 09:00:41:418,70132,0.6,69349,0.7,139615,0.5,185771,2.00 67,1,2024-09-07 09:00:40:769,518648,518647,0,1,241205222425,2514833794,514571,3327,749,380,391787,1 67,2,2024-09-07 09:00:40:596,372315,372315,0,0,14002800,0,2889 67,3,2024-09-07 09:00:41:753,1,294,8,0,338,2623,294,0 68,0,2024-09-07 09:00:40:573,70278,0.5,70032,0.7,139734,0.5,186647,2.00 68,1,2024-09-07 09:00:40:576,517470,517470,0,0,240198684784,2518169866,512827,3444,1199,381,391953,0 68,2,2024-09-07 09:00:41:046,369460,369395,65,0,18490597,0,6698 68,3,2024-09-07 09:00:40:739,1,294,6,0,417,3247,294,0 69,0,2024-09-07 09:00:41:787,76012,0.7,76448,0.8,152139,0.7,202527,2.25 69,1,2024-09-07 09:00:41:043,516346,516346,0,0,240165094079,2532836938,509939,4820,1587,384,391994,0 69,2,2024-09-07 09:00:41:744,371213,371213,0,0,18268938,0,3722 69,3,2024-09-07 09:00:40:761,1,294,4,0,698,4211,294,0 70,0,2024-09-07 09:00:41:533,75966,1.0,76006,1.1,152671,0.8,202171,2.50 70,1,2024-09-07 09:00:40:813,519320,519320,0,0,241568667530,2508519851,515027,3757,536,366,391725,0 70,2,2024-09-07 09:00:41:325,373779,373779,0,0,16670761,0,4044 70,3,2024-09-07 09:00:40:757,1,294,14,0,854,3446,294,0 71,0,2024-09-07 09:00:41:363,70891,1.0,70750,1.1,142015,1.2,189535,2.75 71,1,2024-09-07 09:00:41:600,516971,516971,0,0,241378571524,2518147704,511325,5003,643,368,391738,0 71,2,2024-09-07 09:00:41:067,370935,370935,0,0,16524454,0,4042 71,3,2024-09-07 09:00:41:750,1,294,13,0,644,3975,294,0 72,0,2024-09-07 09:00:41:050,72922,0.7,71255,0.8,139024,0.7,188949,2.00 72,1,2024-09-07 09:00:41:037,517035,517035,0,0,240289201096,2517215302,509823,5666,1546,369,391819,0 72,2,2024-09-07 09:00:41:755,369828,369828,0,0,18869978,0,3983 72,3,2024-09-07 09:00:41:762,1,294,1,0,564,4846,294,0 73,0,2024-09-07 09:00:41:099,72293,0.4,73931,0.6,151565,0.3,197243,2.00 73,1,2024-09-07 09:00:40:778,518231,518231,0,0,241144891136,2507241511,514563,3377,291,367,391750,0 73,2,2024-09-07 09:00:41:741,372646,372646,0,0,18370866,0,3701 73,3,2024-09-07 09:00:40:977,1,294,109,0,274,4206,294,0 74,0,2024-09-07 09:00:41:324,78549,0.5,80427,0.7,153630,0.5,207901,2.25 74,1,2024-09-07 09:00:40:635,517811,517811,0,0,240726377343,2514206754,512623,4220,968,381,391681,0 74,2,2024-09-07 09:00:41:007,372679,372679,0,0,17666514,0,4253 74,3,2024-09-07 09:00:41:442,1,294,6,0,522,3801,294,0 75,0,2024-09-07 09:00:41:779,74173,0.5,73886,0.7,147949,0.4,197598,2.25 75,1,2024-09-07 09:00:41:591,517038,517038,0,0,240933937969,2519810958,511230,5003,805,380,391739,0 75,2,2024-09-07 09:00:41:352,370414,370414,0,0,17255394,0,4766 75,3,2024-09-07 09:00:41:067,1,294,15,0,702,4192,294,0 76,0,2024-09-07 09:00:40:665,70702,0.6,70163,0.8,140920,0.6,188544,2.25 76,1,2024-09-07 09:00:40:808,518004,518004,0,0,240835417792,2512693581,514526,2925,553,382,391692,0 76,2,2024-09-07 09:00:41:062,372048,372047,1,0,16035303,0,5144 76,3,2024-09-07 09:00:41:143,1,294,42,0,175,3021,294,0 77,0,2024-09-07 09:00:41:697,71819,0.6,72051,0.8,143962,0.6,191743,2.00 77,1,2024-09-07 09:00:40:825,518302,518302,0,0,241477533262,2523167026,514099,3939,264,381,391869,0 77,2,2024-09-07 09:00:41:281,372392,372392,0,0,16088604,0,3890 77,3,2024-09-07 09:00:41:100,1,294,10,0,401,3541,294,0 78,0,2024-09-07 09:00:41:717,78263,0.5,77787,0.7,156276,0.4,207237,2.00 78,1,2024-09-07 09:00:40:621,517716,517716,0,0,241218783906,2511955984,512681,4041,994,367,391670,0 78,2,2024-09-07 09:00:41:406,372236,372236,0,0,14125326,0,3855 78,3,2024-09-07 09:00:41:134,1,294,0,0,181,2813,294,0 79,0,2024-09-07 09:00:41:365,73444,0.4,75342,0.6,153974,0.4,200338,2.25 79,1,2024-09-07 09:00:40:579,519766,519766,0,0,242146718271,2507068094,516050,3271,445,369,391682,0 79,2,2024-09-07 09:00:41:068,373725,373725,0,0,14340854,0,3212 79,3,2024-09-07 09:00:40:757,1,294,8,0,418,4092,294,0 80,0,2024-09-07 09:00:41:087,69689,0.6,71696,0.7,137383,0.5,185410,2.00 80,1,2024-09-07 09:00:41:619,517876,517876,0,0,241388219909,2512558728,514588,3111,177,368,391791,0 80,2,2024-09-07 09:00:41:091,372937,372937,0,0,14161012,0,4433 80,3,2024-09-07 09:00:40:583,1,294,15,0,190,3761,294,0 81,0,2024-09-07 09:00:41:541,70098,0.5,71955,0.7,137394,0.4,186182,2.00 81,1,2024-09-07 09:00:41:653,517112,517112,0,0,240774573773,2517284480,512811,3825,476,382,391879,0 81,2,2024-09-07 09:00:41:142,371201,371201,0,0,15202137,0,3993 81,3,2024-09-07 09:00:41:123,1,294,6,0,374,3560,294,0 82,0,2024-09-07 09:00:41:586,76470,0.4,76953,0.6,154230,0.3,204726,1.75 82,1,2024-09-07 09:00:40:597,518705,518701,0,4,241504776308,2516458953,514950,2956,795,381,391768,4 82,2,2024-09-07 09:00:41:691,372411,372411,0,0,14034308,0,3986 82,3,2024-09-07 09:00:41:752,1,294,11,0,363,3089,294,0 83,0,2024-09-07 09:00:41:520,76159,0.5,76078,0.7,151148,0.5,201655,2.00 83,1,2024-09-07 09:00:40:551,517622,517622,0,0,240491018427,2509891776,513578,3724,320,382,391709,0 83,2,2024-09-07 09:00:40:777,374505,374505,0,0,14561477,0,3393 83,3,2024-09-07 09:00:40:757,1,294,8,0,250,3228,294,0 84,0,2024-09-07 09:00:41:844,70246,0.7,70159,0.9,140422,0.6,187900,2.25 84,1,2024-09-07 09:00:41:043,517379,517379,0,0,240928031532,2516232570,512427,4366,586,367,391967,0 84,2,2024-09-07 09:00:40:583,370849,370849,0,0,16966978,0,4757 84,3,2024-09-07 09:00:41:148,1,294,127,0,908,4768,294,0 85,0,2024-09-07 09:00:41:015,67576,0.6,67606,0.8,143226,0.6,185558,2.25 85,1,2024-09-07 09:00:40:562,516700,516700,0,0,240612972502,2539314994,509254,6326,1120,381,392006,0 85,2,2024-09-07 09:00:40:865,371548,371548,0,0,17094104,0,3656 85,3,2024-09-07 09:00:40:697,1,294,19,0,789,3899,294,0 86,0,2024-09-07 09:00:41:017,75250,0.6,77318,0.7,148092,0.6,200244,2.00 86,1,2024-09-07 09:00:40:861,517986,517986,0,0,240996281252,2519329457,512455,4698,833,366,391961,0 86,2,2024-09-07 09:00:40:882,370885,370884,1,0,17925042,0,5004 86,3,2024-09-07 09:00:40:591,1,294,0,0,286,4031,294,0 87,0,2024-09-07 09:00:41:304,76980,1.0,76977,0.9,154496,1.4,205911,2.25 87,1,2024-09-07 09:00:40:556,517181,517181,0,0,240528915729,2514851088,512049,4630,502,366,392076,0 87,2,2024-09-07 09:00:41:067,373153,373153,0,0,16338791,0,4045 87,3,2024-09-07 09:00:41:794,1,294,8,0,473,4246,294,0 88,0,2024-09-07 09:00:41:509,72743,0.5,73197,0.6,145937,0.4,193820,2.00 88,1,2024-09-07 09:00:40:575,515859,515859,0,0,240864613473,2528622794,508502,5706,1651,365,392084,0 88,2,2024-09-07 09:00:40:697,370692,370692,0,0,18132805,0,3583 88,3,2024-09-07 09:00:41:279,1,294,16,0,435,3430,294,0 89,0,2024-09-07 09:00:41:894,73331,0.5,70918,0.7,140777,0.4,190397,1.75 89,1,2024-09-07 09:00:40:550,516125,516125,0,0,240451947871,2533998030,508798,6062,1265,382,391866,0 89,2,2024-09-07 09:00:41:146,369909,369909,0,0,17595589,0,2910 89,3,2024-09-07 09:00:41:810,1,294,18,0,385,5757,294,0 90,0,2024-09-07 09:00:41:638,70866,0.4,72360,0.6,148190,0.4,193407,1.75 90,1,2024-09-07 09:00:40:592,517546,517546,0,0,240221016729,2517008687,512689,4534,323,380,391825,0 90,2,2024-09-07 09:00:41:406,371343,371343,0,0,19104984,0,3060 90,3,2024-09-07 09:00:40:940,1,294,4,0,200,3079,294,0 91,0,2024-09-07 09:00:40:999,78280,0.5,76101,0.7,158587,0.5,208254,1.75 91,1,2024-09-07 09:00:40:566,516335,516335,0,0,240649638858,2532717134,509322,6121,892,381,392047,0 91,2,2024-09-07 09:00:41:334,372134,372134,0,0,17046792,0,2896 91,3,2024-09-07 09:00:40:606,1,294,11,0,216,2688,294,0 92,0,2024-09-07 09:00:41:449,75950,0.5,77893,0.6,148799,0.5,201392,1.75 92,1,2024-09-07 09:00:40:580,517629,517629,0,0,240804624815,2513241856,514300,2849,480,382,392136,0 92,2,2024-09-07 09:00:41:357,373094,373094,0,0,14495751,0,2801 92,3,2024-09-07 09:00:41:024,1,294,1,0,167,2505,294,0 93,0,2024-09-07 09:00:40:967,70882,0.4,72623,0.6,138746,0.3,188347,1.75 93,1,2024-09-07 09:00:40:868,517876,517876,0,0,241012563050,2516362681,512833,4249,794,366,391776,0 93,2,2024-09-07 09:00:40:945,371489,371489,0,0,16806809,0,4311 93,3,2024-09-07 09:00:41:415,1,294,5,0,190,2960,294,0 94,0,2024-09-07 09:00:41:625,70617,0.4,71408,0.5,142415,0.3,188926,1.75 94,1,2024-09-07 09:00:40:584,517560,517560,0,0,241447823270,2522991191,513694,3682,184,381,391850,0 94,2,2024-09-07 09:00:40:761,371763,371763,0,0,14844519,0,2443 94,3,2024-09-07 09:00:41:689,1,294,5,0,264,3768,294,0 95,0,2024-09-07 09:00:41:393,77052,0.3,77071,0.5,154842,0.3,206022,1.75 95,1,2024-09-07 09:00:40:865,518204,518204,0,0,242401618968,2521264811,513992,3833,379,367,391713,0 95,2,2024-09-07 09:00:41:047,370976,370976,0,0,15201709,0,3308 95,3,2024-09-07 09:00:41:709,1,294,8,0,718,4897,294,0 96,0,2024-09-07 09:00:41:074,76556,0.4,76668,0.5,153087,0.3,202927,1.75 96,1,2024-09-07 09:00:41:631,517479,517479,0,0,241555583170,2522473717,513391,3305,783,384,391955,0 96,2,2024-09-07 09:00:41:309,373893,373893,0,0,15420654,0,4042 96,3,2024-09-07 09:00:41:141,1,294,25,0,411,3263,294,0 97,0,2024-09-07 09:00:41:364,69953,0.3,69534,0.5,139863,0.3,185555,1.75 97,1,2024-09-07 09:00:40:782,519219,519219,0,0,241677563995,2506678222,515680,2874,665,367,392140,0 97,2,2024-09-07 09:00:40:611,372132,372132,0,0,14790430,0,3036 97,3,2024-09-07 09:00:40:573,1,294,1,0,214,3610,294,0 98,0,2024-09-07 09:00:41:722,70109,0.3,70070,0.4,140723,0.2,186789,1.50 98,1,2024-09-07 09:00:40:573,518867,518867,0,0,241303609071,2510543406,516551,2215,101,382,391997,0 98,2,2024-09-07 09:00:40:776,371106,371106,0,0,14731649,0,3080 98,3,2024-09-07 09:00:40:722,1,294,9,0,840,5089,294,0 99,0,2024-09-07 09:00:41:446,76318,0.3,76735,0.4,152823,0.3,204200,1.75 99,1,2024-09-07 09:00:41:731,518278,518278,0,0,241436927798,2515394857,514382,3152,744,381,392069,0 99,2,2024-09-07 09:00:41:417,373360,373360,0,0,16223783,0,3424 99,3,2024-09-07 09:00:40:588,1,294,8,0,187,2453,294,0 100,0,2024-09-07 09:00:41:481,76365,0.8,76299,1.0,152728,1.0,204032,2.50 100,1,2024-09-07 09:00:40:549,514812,514812,0,0,240039000030,2537598578,507112,6223,1477,381,391989,0 100,2,2024-09-07 09:00:41:822,373196,373185,11,0,17307078,0,5417 100,3,2024-09-07 09:00:41:756,1,294,1,0,559,5185,294,0 101,0,2024-09-07 09:00:41:803,72976,1.3,71007,1.1,139419,1.1,191163,2.50 101,1,2024-09-07 09:00:40:550,515884,515884,0,0,240328226924,2532209088,507514,6390,1980,368,391769,0 101,2,2024-09-07 09:00:41:789,369110,369110,0,0,19026335,0,4644 101,3,2024-09-07 09:00:40:948,1,294,1,0,448,3541,294,0 102,0,2024-09-07 09:00:40:964,68852,0.6,71256,0.8,143643,0.6,188003,2.00 102,1,2024-09-07 09:00:41:144,516437,516437,0,0,240710361299,2528187998,509913,5618,906,369,391883,0 102,2,2024-09-07 09:00:41:754,371841,371787,54,0,16554867,0,6768 102,3,2024-09-07 09:00:41:615,1,294,3,0,410,3075,294,0 103,0,2024-09-07 09:00:41:591,76371,0.5,76410,0.6,144022,0.4,198893,1.75 103,1,2024-09-07 09:00:41:627,515621,515621,0,0,240042083876,2533768646,507331,6374,1916,381,391829,0 103,2,2024-09-07 09:00:40:611,371357,371357,0,0,16999936,0,3173 103,3,2024-09-07 09:00:40:758,1,294,14,0,916,3866,294,0 104,0,2024-09-07 09:00:41:101,77190,0.8,77629,1.0,153925,0.8,207157,2.25 104,1,2024-09-07 09:00:41:600,516954,516954,0,0,240113888103,2529625472,508442,6853,1659,365,392168,0 104,2,2024-09-07 09:00:41:686,371331,371331,0,0,18641011,0,3941 104,3,2024-09-07 09:00:41:425,1,294,4,0,1245,6771,294,0 105,0,2024-09-07 09:00:41:063,73271,1.0,71336,1.1,149015,1.3,196039,3.25 105,1,2024-09-07 09:00:40:554,517088,517088,0,0,240662809405,2528976488,509390,6399,1299,366,391797,0 105,2,2024-09-07 09:00:41:335,370604,370604,0,0,17548302,0,3509 105,3,2024-09-07 09:00:41:349,1,294,1,0,399,4634,294,0 106,0,2024-09-07 09:00:40:977,68552,0.8,70236,1.0,143836,0.9,188071,2.50 106,1,2024-09-07 09:00:41:782,516434,516434,0,0,239204872818,2517702076,507976,7454,1004,369,391865,0 106,2,2024-09-07 09:00:40:778,369405,369405,0,0,16984654,0,2795 106,3,2024-09-07 09:00:40:696,1,294,4,0,470,4085,294,0 107,0,2024-09-07 09:00:41:104,71774,0.8,71592,0.9,143334,0.8,191518,2.00 107,1,2024-09-07 09:00:40:610,515474,515474,0,0,239550098546,2525510630,508485,6271,718,381,392234,0 107,2,2024-09-07 09:00:41:291,370870,370869,1,0,18076952,0,5024 107,3,2024-09-07 09:00:41:778,1,294,15,0,353,3953,294,0 108,0,2024-09-07 09:00:41:784,77878,0.4,78156,0.6,155636,0.4,207843,1.75 108,1,2024-09-07 09:00:41:301,517242,517242,0,0,241326357287,2517266400,513086,3737,419,368,391857,0 108,2,2024-09-07 09:00:41:770,370467,370467,0,0,16820215,0,4246 108,3,2024-09-07 09:00:41:330,1,294,3,0,749,6103,294,0 109,0,2024-09-07 09:00:41:862,76292,0.4,75551,0.6,151370,0.3,202555,1.75 109,1,2024-09-07 09:00:40:610,515852,515852,0,0,240862916412,2527590285,511272,3932,648,383,392132,0 109,2,2024-09-07 09:00:40:935,370758,370758,0,0,16543655,0,3617 109,3,2024-09-07 09:00:41:157,1,294,1,0,249,3247,294,0 110,0,2024-09-07 09:00:41:842,69873,0.4,67876,0.6,142141,0.3,186172,1.75 110,1,2024-09-07 09:00:41:646,519077,519077,0,0,240879110887,2501499830,515587,2581,909,369,392045,0 110,2,2024-09-07 09:00:41:303,371789,371789,0,0,16452955,0,4067 110,3,2024-09-07 09:00:40:706,1,294,0,0,406,3700,294,0 111,0,2024-09-07 09:00:41:432,70585,0.4,69916,0.5,140024,0.3,188214,1.75 111,1,2024-09-07 09:00:40:999,519120,519120,0,0,242150533030,2513089473,516508,2269,343,382,391690,0 111,2,2024-09-07 09:00:41:119,370952,370952,0,0,15304546,0,2763 111,3,2024-09-07 09:00:40:914,1,294,10,0,379,3819,294,0 112,0,2024-09-07 09:00:40:921,77414,0.3,76821,0.4,153968,0.2,204886,1.50 112,1,2024-09-07 09:00:40:835,519249,519249,0,0,241553480533,2506184891,516252,2544,453,380,391624,0 112,2,2024-09-07 09:00:41:134,371287,371286,1,0,14927931,0,5036 112,3,2024-09-07 09:00:40:592,1,294,63,0,282,3183,294,0 113,0,2024-09-07 09:00:40:889,75822,0.3,75906,0.5,152254,0.2,203139,1.50 113,1,2024-09-07 09:00:41:685,520125,520125,0,0,242857867204,2508283431,516927,2614,584,366,391661,0 113,2,2024-09-07 09:00:41:314,376123,376123,0,0,13424288,0,3813 113,3,2024-09-07 09:00:40:694,1,294,19,0,340,3866,294,0 114,0,2024-09-07 09:00:40:889,71147,0.4,71629,0.5,142252,0.2,189943,1.75 114,1,2024-09-07 09:00:40:756,518220,518220,0,0,241308351996,2510660834,513374,3257,1589,381,391556,0 114,2,2024-09-07 09:00:40:873,371351,371350,1,0,14625151,0,5069 114,3,2024-09-07 09:00:41:278,1,294,2,0,395,2868,294,0 115,0,2024-09-07 09:00:40:555,70091,0.3,70369,0.4,140954,0.2,187656,1.50 115,1,2024-09-07 09:00:40:571,518328,518328,0,0,241508950418,2510420370,513751,3737,840,382,391656,0 115,2,2024-09-07 09:00:41:125,371973,371973,0,0,13389180,0,2346 115,3,2024-09-07 09:00:41:003,1,294,9,0,159,1739,294,0 116,0,2024-09-07 09:00:41:747,75039,0.7,74879,0.8,150093,0.7,201001,2.00 116,1,2024-09-07 09:00:40:811,515888,515888,0,0,239732224459,2531040705,509321,4509,2058,380,391782,0 116,2,2024-09-07 09:00:41:773,370774,370774,0,0,18662945,0,3529 116,3,2024-09-07 09:00:40:923,1,294,0,0,415,3923,294,0 117,0,2024-09-07 09:00:40:954,77576,0.9,77179,0.9,154322,1.1,206255,2.00 117,1,2024-09-07 09:00:41:591,516707,516707,0,0,240612137130,2518544366,511297,4859,551,370,392033,0 117,2,2024-09-07 09:00:41:128,376237,376237,0,0,15275036,0,3700 117,3,2024-09-07 09:00:41:064,1,294,9,0,490,4459,294,0 118,0,2024-09-07 09:00:41:805,70639,0.6,72705,0.7,147853,0.5,193031,2.00 118,1,2024-09-07 09:00:40:616,516748,516748,0,0,239865322304,2522885031,509265,5657,1826,366,391736,0 118,2,2024-09-07 09:00:41:595,369941,369941,0,0,16242378,0,2781 118,3,2024-09-07 09:00:41:771,1,294,16,0,235,3321,294,0 119,0,2024-09-07 09:00:41:333,70829,0.8,71261,0.8,142595,0.8,189235,2.25 119,1,2024-09-07 09:00:40:551,517910,517910,0,0,240983753566,2519345776,512299,4944,667,367,391780,0 119,2,2024-09-07 09:00:41:262,371506,371506,0,0,15636611,0,4174 119,3,2024-09-07 09:00:41:324,1,294,13,0,443,4011,294,0 120,0,2024-09-07 09:00:41:617,72420,0.6,72256,0.8,144692,0.5,193970,2.25 120,1,2024-09-07 09:00:40:889,516988,516988,0,0,240507645624,2524822241,511313,5254,421,368,391961,0 120,2,2024-09-07 09:00:40:776,371962,371961,1,0,19083356,0,5281 120,3,2024-09-07 09:00:41:290,1,294,27,0,241,3852,294,0 121,0,2024-09-07 09:00:42:030,77882,1.2,77889,1.1,156357,1.6,207683,2.25 121,1,2024-09-07 09:00:41:695,517437,517437,0,0,240960111024,2522929031,512059,4949,429,367,391840,0 121,2,2024-09-07 09:00:41:127,370968,370968,0,0,18438741,0,4127 121,3,2024-09-07 09:00:40:792,1,294,23,0,269,3602,294,0 122,0,2024-09-07 09:00:41:763,75152,0.8,73182,0.9,153395,0.9,201423,2.00 122,1,2024-09-07 09:00:40:865,516338,516338,0,0,240269329594,2524468403,508754,6451,1133,366,392130,0 122,2,2024-09-07 09:00:41:319,370734,370661,73,0,20456333,0,5989 122,3,2024-09-07 09:00:40:594,1,294,2,0,411,5816,294,0 123,0,2024-09-07 09:00:40:974,70190,0.8,68645,0.8,143157,1.0,187668,2.25 123,1,2024-09-07 09:00:40:560,516530,516530,0,0,240548845704,2538737587,506588,8001,1941,369,392039,0 123,2,2024-09-07 09:00:41:024,369358,369357,1,0,17210159,0,5215 123,3,2024-09-07 09:00:41:147,1,294,8,0,168,3495,294,0 124,0,2024-09-07 09:00:40:942,73202,0.3,73189,0.5,138014,0.3,189600,1.75 124,1,2024-09-07 09:00:41:027,518576,518576,0,0,241168022869,2512778659,514189,3483,904,367,392178,0 124,2,2024-09-07 09:00:41:021,372105,372105,0,0,14780365,0,3101 124,3,2024-09-07 09:00:40:760,1,294,53,0,490,3134,294,0 125,0,2024-09-07 09:00:41:421,77367,0.4,77029,0.5,154881,0.3,206089,1.75 125,1,2024-09-07 09:00:40:881,516607,516607,0,0,241234663089,2518974113,512695,3462,450,383,391702,0 125,2,2024-09-07 09:00:41:127,371411,371411,0,0,16044786,0,4534 125,3,2024-09-07 09:00:41:130,1,294,4,0,709,4267,294,0 126,0,2024-09-07 09:00:41:422,76492,0.4,78588,0.6,150108,0.4,203776,1.75 126,1,2024-09-07 09:00:40:552,519086,519086,0,0,241566389839,2503458646,516198,2619,269,365,391987,0 126,2,2024-09-07 09:00:40:615,375206,375206,0,0,15793271,0,3186 126,3,2024-09-07 09:00:40:917,1,294,2,0,207,3938,294,0 127,0,2024-09-07 09:00:41:601,69606,0.3,69988,0.5,139627,0.3,185570,1.75 127,1,2024-09-07 09:00:40:581,518494,518494,0,0,241031537726,2502296351,514269,3711,514,365,391816,0 127,2,2024-09-07 09:00:40:648,371742,371742,0,0,14273453,0,2264 127,3,2024-09-07 09:00:41:272,1,294,9,0,968,3672,294,0 128,0,2024-09-07 09:00:41:525,70435,0.3,70472,0.4,140786,0.2,187229,1.50 128,1,2024-09-07 09:00:41:613,517859,517859,0,0,241321099306,2508402032,514055,3437,367,367,391798,0 128,2,2024-09-07 09:00:41:388,371909,371909,0,0,14037744,0,2434 128,3,2024-09-07 09:00:40:779,1,294,100,0,1082,5742,294,0 129,0,2024-09-07 09:00:40:998,76843,0.3,76509,0.5,153125,0.3,204043,1.50 129,1,2024-09-07 09:00:40:573,515090,515090,0,0,240095213514,2518326085,509836,4042,1212,379,391835,0 129,2,2024-09-07 09:00:40:771,372205,372205,0,0,15033608,0,4031 129,3,2024-09-07 09:00:40:696,1,294,1,0,469,3918,294,0 130,0,2024-09-07 09:00:41:720,77237,0.5,76863,0.6,154281,0.6,205217,1.75 130,1,2024-09-07 09:00:40:595,518032,518032,0,0,241205531679,2509037348,515085,2714,233,381,391825,0 130,2,2024-09-07 09:00:41:135,375888,375888,0,0,14964299,0,4067 130,3,2024-09-07 09:00:41:294,1,294,5,0,450,3783,294,0 131,0,2024-09-07 09:00:41:929,71378,0.4,71836,0.5,144252,0.3,190892,1.75 131,1,2024-09-07 09:00:41:835,518314,518314,0,0,241530384842,2521991417,514220,3354,740,381,391865,0 131,2,2024-09-07 09:00:40:571,372914,372914,0,0,13577471,0,2415 131,3,2024-09-07 09:00:41:690,1,294,17,0,392,3073,294,0 132,0,2024-09-07 09:00:41:415,70405,0.5,71328,0.6,142052,0.4,188521,2.00 132,1,2024-09-07 09:00:40:585,515639,515639,0,0,240549305963,2537098207,507515,6663,1461,381,392097,0 132,2,2024-09-07 09:00:40:709,370744,370744,0,0,17425660,0,4606 132,3,2024-09-07 09:00:41:706,1,294,10,0,356,3893,294,0 133,0,2024-09-07 09:00:41:544,72195,0.4,73896,0.5,151525,0.3,197500,1.75 133,1,2024-09-07 09:00:40:595,516059,516059,0,0,239575604039,2526079505,508796,6216,1047,383,391914,0 133,2,2024-09-07 09:00:41:088,371618,371618,0,0,18861628,0,4315 133,3,2024-09-07 09:00:41:298,1,294,1,0,276,2798,294,0 134,0,2024-09-07 09:00:40:948,78215,0.5,78178,0.7,156228,0.5,208249,2.00 134,1,2024-09-07 09:00:40:605,516359,516359,0,0,239900215132,2519020459,509374,5508,1477,366,391718,0 134,2,2024-09-07 09:00:41:769,372484,372484,0,0,16064646,0,3847 134,3,2024-09-07 09:00:40:774,1,294,2,0,739,4152,294,0 135,0,2024-09-07 09:00:41:118,71562,0.7,71562,0.9,151789,0.8,195813,2.00 135,1,2024-09-07 09:00:41:602,516216,516216,0,0,240942322511,2537282050,508758,6267,1191,380,391805,0 135,2,2024-09-07 09:00:40:824,371353,371353,0,0,17112059,0,3981 135,3,2024-09-07 09:00:41:015,1,294,13,0,299,2252,294,0 136,0,2024-09-07 09:00:41:623,71490,0.6,71435,0.7,142450,0.5,190032,2.25 136,1,2024-09-07 09:00:41:442,516353,516353,0,0,240171425102,2527213981,509707,5962,684,382,391685,0 136,2,2024-09-07 09:00:41:146,371342,371342,0,0,17173906,0,3506 136,3,2024-09-07 09:00:41:116,1,294,2,0,301,2898,294,0 137,0,2024-09-07 09:00:40:931,73990,0.7,71876,0.8,141521,0.8,192760,2.00 137,1,2024-09-07 09:00:40:590,516107,516107,0,0,240933669035,2532611774,507344,6961,1802,366,391708,0 137,2,2024-09-07 09:00:41:714,371476,371476,0,0,19257573,0,3185 137,3,2024-09-07 09:00:40:775,1,294,2,0,382,3652,294,0 138,0,2024-09-07 09:00:41:781,77272,1.5,77487,1.1,155546,2.1,206762,2.50 138,1,2024-09-07 09:00:41:686,516065,516065,0,0,241406617458,2538292256,507633,6860,1572,368,391954,0 138,2,2024-09-07 09:00:40:598,371093,371093,0,0,17550721,0,4988 138,3,2024-09-07 09:00:40:630,1,294,1,0,1160,4185,294,0 139,0,2024-09-07 09:00:41:367,74724,1.5,75168,1.1,150370,2.1,200801,2.50 139,1,2024-09-07 09:00:40:587,514310,514310,0,0,238894702016,2541156568,504233,7558,2519,381,391892,0 139,2,2024-09-07 09:00:40:699,370171,370171,0,0,18313631,0,3097 139,3,2024-09-07 09:00:41:700,1,294,11,0,257,3281,294,0 140,0,2024-09-07 09:00:41:614,70102,0.3,69663,0.5,140002,0.2,186447,1.75 140,1,2024-09-07 09:00:41:542,519539,519539,0,0,241661427188,2494729691,516561,2540,438,365,391606,0 140,2,2024-09-07 09:00:40:697,372310,372310,0,0,14427486,0,3388 140,3,2024-09-07 09:00:40:778,1,294,4,0,247,2420,294,0 141,0,2024-09-07 09:00:41:704,70294,0.3,72309,0.4,138288,0.2,188069,1.50 141,1,2024-09-07 09:00:40:879,519170,519170,0,0,241870682791,2512842938,515599,3112,459,379,391614,0 141,2,2024-09-07 09:00:41:686,371463,371463,0,0,14812860,0,3360 141,3,2024-09-07 09:00:41:045,1,294,1,0,391,3031,294,0 142,0,2024-09-07 09:00:41:312,77641,0.3,76905,0.5,153436,0.3,205162,1.50 142,1,2024-09-07 09:00:40:599,518051,518051,0,0,241020767863,2515828345,514421,3281,349,382,392102,0 142,2,2024-09-07 09:00:41:300,370868,370836,32,0,16235723,0,6028 142,3,2024-09-07 09:00:41:773,1,294,21,0,484,3917,294,0 143,0,2024-09-07 09:00:41:438,76156,0.5,76133,0.6,152599,0.5,203163,1.75 143,1,2024-09-07 09:00:40:647,518716,518716,0,0,241283395540,2504867763,515282,3294,140,367,391651,0 143,2,2024-09-07 09:00:40:792,374824,374824,0,0,15238337,0,2750 143,3,2024-09-07 09:00:41:144,1,294,12,0,303,3671,294,0 144,0,2024-09-07 09:00:41:501,68538,0.6,70416,0.8,143544,0.5,188138,2.00 144,1,2024-09-07 09:00:40:564,515823,515823,0,0,240095591031,2520481526,511145,3742,936,381,391649,0 144,2,2024-09-07 09:00:41:758,371920,371920,0,0,14273264,0,3473 144,3,2024-09-07 09:00:41:747,1,294,5,0,249,3227,294,0 145,0,2024-09-07 09:00:41:368,67728,0.5,67701,0.8,143628,0.5,185501,2.25 145,1,2024-09-07 09:00:40:553,515725,515725,0,0,240550465626,2528001409,509728,5166,831,382,391698,0 145,2,2024-09-07 09:00:41:429,369688,369688,0,0,16805142,0,3903 145,3,2024-09-07 09:00:40:901,1,294,30,0,622,4600,294,0 146,0,2024-09-07 09:00:41:611,75285,0.5,74630,0.7,150463,0.4,200270,2.00 146,1,2024-09-07 09:00:41:596,516900,516900,0,0,240118849945,2528253623,508078,6927,1895,368,391770,0 146,2,2024-09-07 09:00:41:697,371363,371363,0,0,17343874,0,2730 146,3,2024-09-07 09:00:41:278,1,294,3,0,1520,6582,294,0 147,0,2024-09-07 09:00:41:694,77420,0.6,77182,0.8,153528,0.6,205726,2.00 147,1,2024-09-07 09:00:41:379,518334,518334,0,0,241814759204,2518295676,513294,4249,791,368,391791,0 147,2,2024-09-07 09:00:41:009,374698,374698,0,0,14855417,0,2789 147,3,2024-09-07 09:00:40:915,1,294,13,0,730,4454,294,0 0,0,2024-09-07 09:00:51:713,70902,0.5,70929,0.7,150489,0.5,194809,2.00 0,1,2024-09-07 09:00:50:802,519155,519155,0,0,242128958566,2533850972,515195,3720,240,370,391896,0 0,2,2024-09-07 09:00:51:069,373795,373795,0,0,15292368,0,4480 0,3,2024-09-07 09:00:50:988,1,295,0,0,319,3795,295,0 1,0,2024-09-07 09:00:51:768,78234,0.9,77774,1.0,156309,1.1,208441,2.00 1,1,2024-09-07 09:00:50:614,518364,518364,0,0,240774055767,2523755466,513265,3895,1204,370,391857,0 1,2,2024-09-07 09:00:50:666,372621,372621,0,0,14653750,0,3267 1,3,2024-09-07 09:00:51:302,1,295,0,0,262,3372,295,0 2,0,2024-09-07 09:00:51:578,75541,0.7,75882,0.8,150388,0.8,201480,2.00 2,1,2024-09-07 09:00:50:858,520239,520239,0,0,241932058353,2514342203,517673,2327,239,380,391745,0 2,2,2024-09-07 09:00:51:271,373072,373072,0,0,14705010,0,3594 2,3,2024-09-07 09:00:50:704,1,295,40,0,357,2940,295,0 3,0,2024-09-07 09:00:51:747,70625,0.4,70782,0.6,141331,0.4,188182,2.00 3,1,2024-09-07 09:00:51:618,518628,518628,0,0,241587094012,2518582539,513845,4192,591,380,391556,0 3,2,2024-09-07 09:00:51:158,373069,373046,23,0,14706577,0,5851 3,3,2024-09-07 09:00:51:751,1,295,1,0,103,1782,295,0 4,0,2024-09-07 09:00:51:771,69116,0.4,71226,0.5,144452,0.3,189603,1.75 4,1,2024-09-07 09:00:50:630,518384,518384,0,0,241080728524,2534110995,512771,4610,1003,371,391992,0 4,2,2024-09-07 09:00:51:018,371812,371812,0,0,17542030,0,4528 4,3,2024-09-07 09:00:51:045,1,295,5,0,448,4277,295,0 5,0,2024-09-07 09:00:51:405,77645,0.4,77835,0.6,155171,0.4,207200,1.75 5,1,2024-09-07 09:00:50:755,518246,518246,0,0,241391081471,2540276670,511480,5414,1352,368,392005,0 5,2,2024-09-07 09:00:51:847,371598,371598,0,0,16366229,0,2432 5,3,2024-09-07 09:00:51:733,1,295,195,0,457,4511,295,0 6,0,2024-09-07 09:00:50:929,76945,0.5,76475,0.7,152916,0.4,204411,2.00 6,1,2024-09-07 09:00:50:746,518886,518886,0,0,241413719418,2521483904,513534,4425,927,379,391694,0 6,2,2024-09-07 09:00:51:116,374467,374467,0,0,15716424,0,4816 6,3,2024-09-07 09:00:51:273,1,295,12,0,340,3407,295,0 7,0,2024-09-07 09:00:51:542,69518,0.5,69820,0.6,139148,0.4,185049,2.00 7,1,2024-09-07 09:00:50:850,517822,517822,0,0,241338786992,2533730544,511014,5697,1111,382,391747,0 7,2,2024-09-07 09:00:50:770,372632,372632,0,0,15404141,0,4791 7,3,2024-09-07 09:00:50:855,1,295,12,0,398,3459,295,0 8,0,2024-09-07 09:00:51:325,70453,0.4,70286,0.5,140548,0.3,187641,1.75 8,1,2024-09-07 09:00:51:018,517196,517196,0,0,241171933320,2544334739,507724,7260,2212,366,392144,0 8,2,2024-09-07 09:00:50:789,368182,368182,0,0,19439544,0,3220 8,3,2024-09-07 09:00:50:588,1,295,6,0,538,5162,295,0 9,0,2024-09-07 09:00:51:099,76901,0.3,74669,0.5,156265,0.3,204818,1.75 9,1,2024-09-07 09:00:50:566,517601,517601,0,0,241478462971,2546129729,509927,6007,1667,369,392001,0 9,2,2024-09-07 09:00:51:085,371898,371898,0,0,17416604,0,3360 9,3,2024-09-07 09:00:51:752,1,295,1,0,496,4487,295,0 10,0,2024-09-07 09:00:51:605,76895,0.3,76372,0.5,153427,0.3,204293,1.75 10,1,2024-09-07 09:00:50:590,518239,518239,0,0,242163296056,2539437006,510971,6281,987,381,391741,0 10,2,2024-09-07 09:00:50:764,375319,375319,0,0,18748318,0,4264 10,3,2024-09-07 09:00:50:871,1,295,8,0,296,2754,295,0 11,0,2024-09-07 09:00:51:016,71150,0.5,69113,0.7,144542,0.4,191117,1.75 11,1,2024-09-07 09:00:50:570,518263,518263,0,0,241063552682,2537060309,508684,7165,2414,383,391664,0 11,2,2024-09-07 09:00:51:126,371907,371907,0,0,16795520,0,4130 11,3,2024-09-07 09:00:51:298,1,295,12,0,843,4614,295,0 12,0,2024-09-07 09:00:50:993,71199,0.3,71160,0.5,142306,0.3,188775,1.75 12,1,2024-09-07 09:00:50:962,518972,518972,0,0,242044821518,2527226992,514318,4170,484,370,391870,0 12,2,2024-09-07 09:00:51:541,372411,372411,0,0,16548578,0,3469 12,3,2024-09-07 09:00:51:059,1,295,1,0,386,4788,295,0 13,0,2024-09-07 09:00:51:407,74985,0.4,74836,0.5,149509,0.3,199442,1.75 13,1,2024-09-07 09:00:51:530,518307,518307,0,0,241010006184,2535152908,513473,3532,1302,382,391740,0 13,2,2024-09-07 09:00:50:599,374288,374288,0,0,15457924,0,3287 13,3,2024-09-07 09:00:51:764,1,295,2,0,522,5077,295,0 14,0,2024-09-07 09:00:50:574,78387,0.4,78978,0.6,156343,0.4,208287,1.75 14,1,2024-09-07 09:00:51:562,521518,521518,0,0,242550099093,2514301593,517607,3632,279,364,391571,0 14,2,2024-09-07 09:00:50:764,374059,374059,0,0,15418535,0,2896 14,3,2024-09-07 09:00:51:115,1,295,2,0,1168,3999,295,0 15,0,2024-09-07 09:00:51:553,74066,0.4,74184,0.7,148278,0.4,196960,2.00 15,1,2024-09-07 09:00:51:607,519804,519804,0,0,241750755200,2514512832,516888,2738,178,381,391619,0 15,2,2024-09-07 09:00:50:998,373854,373854,0,0,12980723,0,3043 15,3,2024-09-07 09:00:51:406,1,295,8,0,1126,5673,295,0 16,0,2024-09-07 09:00:50:952,71468,0.7,71647,0.8,142755,0.7,190181,2.25 16,1,2024-09-07 09:00:50:577,519718,519718,0,0,241838163551,2528489773,515476,3883,359,370,391756,0 16,2,2024-09-07 09:00:51:436,372030,372030,0,0,15966903,0,4719 16,3,2024-09-07 09:00:51:143,1,295,6,0,317,4263,295,0 17,0,2024-09-07 09:00:51:855,74278,0.6,72625,0.8,141853,0.6,193202,2.00 17,1,2024-09-07 09:00:50:593,518402,518402,0,0,240862888696,2531288911,512535,4614,1253,368,391899,0 17,2,2024-09-07 09:00:51:667,376175,376175,0,0,15749575,0,2857 17,3,2024-09-07 09:00:50:574,1,295,38,0,268,4659,295,0 18,0,2024-09-07 09:00:50:955,77127,0.7,77567,0.8,154525,0.8,206079,2.25 18,1,2024-09-07 09:00:51:642,520025,520025,0,0,242700318631,2516360113,517087,2696,242,367,391649,0 18,2,2024-09-07 09:00:51:755,374521,374521,0,0,14770647,0,3541 18,3,2024-09-07 09:00:50:908,1,295,19,0,163,2704,295,0 19,0,2024-09-07 09:00:51:543,75616,0.6,76165,0.8,151106,0.6,201255,2.25 19,1,2024-09-07 09:00:50:569,520059,520059,0,0,242687118704,2521612722,515017,4204,838,367,391777,0 19,2,2024-09-07 09:00:51:756,374909,374909,0,0,13345745,0,3988 19,3,2024-09-07 09:00:51:133,1,295,8,0,524,2267,295,0 20,0,2024-09-07 09:00:51:361,69830,0.6,69828,0.7,139815,0.6,186457,2.00 20,1,2024-09-07 09:00:50:579,518711,518711,0,0,241563588310,2525275652,514667,3642,402,369,391886,0 20,2,2024-09-07 09:00:50:931,373401,373401,0,0,15133806,0,3721 20,3,2024-09-07 09:00:50:591,1,295,21,0,414,4959,295,0 21,0,2024-09-07 09:00:51:157,70828,0.5,70962,0.6,141525,0.4,187817,2.00 21,1,2024-09-07 09:00:51:547,517268,517268,0,0,240651963508,2535294967,510384,5257,1627,368,392016,0 21,2,2024-09-07 09:00:51:069,371478,371478,0,0,18003802,0,3747 21,3,2024-09-07 09:00:51:404,1,295,61,0,103,3056,295,0 22,0,2024-09-07 09:00:51:721,76955,0.5,77110,0.7,154246,0.4,204470,2.00 22,1,2024-09-07 09:00:51:027,518580,518580,0,0,241488313717,2540425630,510809,6104,1667,382,391667,0 22,2,2024-09-07 09:00:50:760,371908,371908,0,0,15266895,0,3134 22,3,2024-09-07 09:00:51:067,1,295,4,0,228,2648,295,0 23,0,2024-09-07 09:00:51:367,75997,0.6,75890,0.7,151997,0.5,202701,2.25 23,1,2024-09-07 09:00:51:140,518803,518803,0,0,241108772597,2530614825,510601,5511,2691,365,391690,0 23,2,2024-09-07 09:00:51:092,375823,375823,0,0,14860586,0,3010 23,3,2024-09-07 09:00:51:755,1,295,8,0,645,3601,295,0 24,0,2024-09-07 09:00:50:905,71466,0.4,71255,0.6,143102,0.4,189751,1.75 24,1,2024-09-07 09:00:50:615,518164,518164,0,0,240825711373,2528473468,511774,4868,1522,368,391987,0 24,2,2024-09-07 09:00:51:068,371423,371423,0,0,18152712,0,3607 24,3,2024-09-07 09:00:51:687,1,295,2,0,468,4150,295,0 25,0,2024-09-07 09:00:51:347,72149,0.4,70374,0.6,138080,0.4,188651,2.00 25,1,2024-09-07 09:00:50:576,519080,519080,0,0,241095402974,2527417591,513180,5081,819,371,391928,0 25,2,2024-09-07 09:00:51:607,371182,371182,0,0,18722872,0,3978 25,3,2024-09-07 09:00:51:002,1,295,1,0,255,3099,295,0 26,0,2024-09-07 09:00:51:720,75486,0.4,73719,0.6,154660,0.3,201706,1.75 26,1,2024-09-07 09:00:51:542,519174,519174,0,0,241322081331,2531253990,511397,6529,1248,381,391748,0 26,2,2024-09-07 09:00:50:862,373017,373017,0,0,18433703,0,2809 26,3,2024-09-07 09:00:51:712,1,295,2,0,796,3678,295,0 27,0,2024-09-07 09:00:51:757,77749,0.5,77871,0.6,153982,0.5,205873,2.00 27,1,2024-09-07 09:00:51:676,520734,520734,0,0,242444300757,2526072862,515932,4041,761,381,391626,0 27,2,2024-09-07 09:00:50:867,372809,372744,65,0,18555526,0,5699 27,3,2024-09-07 09:00:51:015,1,295,8,0,564,3169,295,0 28,0,2024-09-07 09:00:51:393,73022,0.4,72856,0.6,145909,0.4,194370,2.00 28,1,2024-09-07 09:00:50:799,520018,520018,0,0,242261057349,2526365687,516011,3333,674,383,391646,0 28,2,2024-09-07 09:00:51:768,372066,372066,0,0,14919882,0,2915 28,3,2024-09-07 09:00:51:777,1,295,0,0,502,3010,295,0 29,0,2024-09-07 09:00:51:361,73216,0.4,71406,0.6,139797,0.3,190442,1.75 29,1,2024-09-07 09:00:51:561,520688,520688,0,0,242491576868,2512006340,516970,3135,583,369,391753,0 29,2,2024-09-07 09:00:50:862,371629,371629,0,0,14727368,0,4233 29,3,2024-09-07 09:00:50:968,1,295,26,0,284,2571,295,0 30,0,2024-09-07 09:00:51:492,72992,0.5,70887,0.7,148271,0.4,194480,2.00 30,1,2024-09-07 09:00:50:576,520891,520891,0,0,242420218237,2518657932,516851,3434,606,382,391672,0 30,2,2024-09-07 09:00:51:274,373936,373936,0,0,14503076,0,3161 30,3,2024-09-07 09:00:50:586,1,295,21,0,519,2841,295,0 31,0,2024-09-07 09:00:51:757,77741,0.5,78103,0.6,156243,0.4,208084,2.00 31,1,2024-09-07 09:00:50:576,522986,522986,0,0,243331328091,2496976002,520900,1657,429,356,391712,0 31,2,2024-09-07 09:00:51:274,373482,373482,0,0,16069461,0,3525 31,3,2024-09-07 09:00:51:706,1,295,12,0,220,2288,295,0 32,0,2024-09-07 09:00:51:445,75508,0.3,75872,0.5,151697,0.3,201864,1.75 32,1,2024-09-07 09:00:50:809,520528,520528,0,0,242645798605,2521862654,517473,2611,444,381,391646,0 32,2,2024-09-07 09:00:50:939,373244,373244,0,0,13293375,0,3155 32,3,2024-09-07 09:00:51:020,1,295,0,0,227,2320,295,0 33,0,2024-09-07 09:00:51:509,71067,0.3,70494,0.5,141766,0.2,189099,1.75 33,1,2024-09-07 09:00:50:577,520890,520890,0,0,242944935877,2513980350,517341,3189,360,369,391730,0 33,2,2024-09-07 09:00:50:759,373615,373580,35,0,15633619,0,7012 33,3,2024-09-07 09:00:50:900,1,295,18,0,329,3300,295,0 34,0,2024-09-07 09:00:50:954,71257,0.3,73456,0.5,140624,0.2,188845,1.75 34,1,2024-09-07 09:00:51:046,522093,522093,0,0,242784879289,2497300624,520811,1275,7,367,391562,0 34,2,2024-09-07 09:00:50:766,373336,373336,0,0,14766645,0,3577 34,3,2024-09-07 09:00:51:688,1,295,1,0,299,2340,295,0 35,0,2024-09-07 09:00:50:857,76861,0.3,77390,0.5,155525,0.3,207398,1.75 35,1,2024-09-07 09:00:51:068,520241,520241,0,0,241167875354,2502046034,516724,2737,780,384,391589,0 35,2,2024-09-07 09:00:51:583,373209,373209,0,0,14971142,0,2653 35,3,2024-09-07 09:00:50:907,1,295,17,0,418,3949,295,0 36,0,2024-09-07 09:00:51:520,76825,0.5,76867,0.7,153606,0.5,204096,2.25 36,1,2024-09-07 09:00:50:602,520148,520148,0,0,241516209109,2523945394,513832,4732,1584,366,391759,0 36,2,2024-09-07 09:00:51:752,374429,374429,0,0,16074744,0,3875 36,3,2024-09-07 09:00:50:863,1,295,0,0,416,4725,295,0 37,0,2024-09-07 09:00:51:406,69449,0.5,69436,0.7,139078,0.5,185980,2.00 37,1,2024-09-07 09:00:50:579,518740,518733,0,7,241354061921,2524595748,512455,4207,2071,365,391560,0 37,2,2024-09-07 09:00:51:143,371698,371683,15,0,16061576,0,5815 37,3,2024-09-07 09:00:51:767,1,295,3,0,888,4774,295,0 38,0,2024-09-07 09:00:51:438,69721,0.4,67747,0.6,141870,0.4,185466,2.00 38,1,2024-09-07 09:00:51:605,520694,520694,0,0,243079700616,2531993938,515285,4733,676,368,391821,0 38,2,2024-09-07 09:00:50:760,371720,371673,47,0,17155422,0,6710 38,3,2024-09-07 09:00:50:997,1,295,404,0,689,4181,295,0 39,0,2024-09-07 09:00:51:767,78561,0.5,76984,0.7,149820,0.5,205045,2.00 39,1,2024-09-07 09:00:50:717,519607,519607,0,0,241883061834,2529859307,512460,5901,1246,365,391594,0 39,2,2024-09-07 09:00:51:418,372470,372470,0,0,15094869,0,2689 39,3,2024-09-07 09:00:50:716,1,295,8,0,324,3833,295,0 40,0,2024-09-07 09:00:51:503,75976,0.8,76606,1.0,153157,0.8,204040,2.75 40,1,2024-09-07 09:00:50:577,519573,519573,0,0,240883508949,2524736584,511633,6486,1454,368,391668,0 40,2,2024-09-07 09:00:51:303,375064,375063,1,0,18601546,0,5137 40,3,2024-09-07 09:00:51:142,1,295,20,0,398,3434,295,0 41,0,2024-09-07 09:00:51:024,70941,1.7,72655,1.3,138778,2.7,189030,3.25 41,1,2024-09-07 09:00:50:770,518949,518949,0,0,241835518352,2528670616,513032,5282,635,370,391742,0 41,2,2024-09-07 09:00:50:759,370926,370926,0,0,17431615,0,3356 41,3,2024-09-07 09:00:51:681,1,295,1,0,366,3165,295,0 42,0,2024-09-07 09:00:51:484,70088,0.7,70081,0.9,140500,0.7,185415,2.50 42,1,2024-09-07 09:00:51:446,518341,518341,0,0,240921456035,2533576688,510034,6744,1563,380,391675,0 42,2,2024-09-07 09:00:51:137,372257,372257,0,0,17129814,0,3568 42,3,2024-09-07 09:00:51:009,1,295,1,0,446,2821,295,0 43,0,2024-09-07 09:00:50:923,74047,0.8,72167,0.9,151021,0.8,199070,2.25 43,1,2024-09-07 09:00:50:577,519587,519587,0,0,242283968797,2534315364,512029,6192,1366,366,391696,0 43,2,2024-09-07 09:00:51:736,373141,373141,0,0,17533609,0,3812 43,3,2024-09-07 09:00:51:749,1,295,12,0,467,3693,295,0 44,0,2024-09-07 09:00:50:858,78183,0.5,78624,0.6,156749,0.4,208447,1.75 44,1,2024-09-07 09:00:50:566,520925,520925,0,0,241880120736,2499408270,517471,2857,597,356,391809,0 44,2,2024-09-07 09:00:51:271,373570,373570,0,0,14655862,0,4344 44,3,2024-09-07 09:00:51:093,1,295,1,0,817,3968,295,0 45,0,2024-09-07 09:00:51:796,73077,0.6,71359,0.8,149795,0.5,196707,2.00 45,1,2024-09-07 09:00:51:020,520243,520243,0,0,242366561858,2516440684,517354,2630,259,382,391917,0 45,2,2024-09-07 09:00:51:269,372619,372619,0,0,14639627,0,3596 45,3,2024-09-07 09:00:50:942,1,295,1,0,271,3028,295,0 46,0,2024-09-07 09:00:50:966,70864,0.5,70906,0.7,141885,0.5,188198,2.00 46,1,2024-09-07 09:00:50:576,521783,521783,0,0,242290260687,2502656266,518729,2698,356,366,391539,0 46,2,2024-09-07 09:00:50:593,373000,373000,0,0,14284970,0,2920 46,3,2024-09-07 09:00:51:132,1,295,1,0,908,4395,295,0 47,0,2024-09-07 09:00:51:109,72596,0.5,72671,0.6,145713,0.5,193016,1.75 47,1,2024-09-07 09:00:50:569,521189,521189,0,0,242585341285,2506789529,518146,2685,358,366,391641,0 47,2,2024-09-07 09:00:50:908,375720,375720,0,0,14800495,0,4477 47,3,2024-09-07 09:00:51:115,1,295,23,0,529,3278,295,0 48,0,2024-09-07 09:00:51:515,78784,0.3,78276,0.4,156136,0.2,208165,1.75 48,1,2024-09-07 09:00:51:022,520221,520221,0,0,242402125752,2519285389,516741,3179,301,384,391710,0 48,2,2024-09-07 09:00:50:699,373138,373138,0,0,13754813,0,3031 48,3,2024-09-07 09:00:50:754,1,295,17,0,339,2432,295,0 49,0,2024-09-07 09:00:51:714,78117,0.3,76669,0.5,148592,0.3,203684,1.75 49,1,2024-09-07 09:00:51:021,519602,519602,0,0,242153146833,2524721890,515346,3072,1184,382,391809,0 49,2,2024-09-07 09:00:51:797,374711,374711,0,0,14163383,0,3900 49,3,2024-09-07 09:00:51:416,1,295,2,0,408,3262,295,0 50,0,2024-09-07 09:00:51:519,69974,0.3,69368,0.5,139635,0.2,186220,1.75 50,1,2024-09-07 09:00:51:011,521082,521082,0,0,243814159743,2522417291,517656,3115,311,368,391540,0 50,2,2024-09-07 09:00:51:068,373415,373415,0,0,13563964,0,2263 50,3,2024-09-07 09:00:51:291,1,295,3,0,335,2498,295,0 51,0,2024-09-07 09:00:51:690,72583,0.3,70933,0.5,138459,0.2,189020,1.75 51,1,2024-09-07 09:00:51:680,521803,521803,0,0,243796231274,2517781021,518662,2168,973,365,391706,0 51,2,2024-09-07 09:00:51:316,372715,372715,0,0,12785679,0,3337 51,3,2024-09-07 09:00:51:027,1,295,9,0,678,2283,295,0 52,0,2024-09-07 09:00:51:414,77540,0.4,77312,0.6,154833,0.4,205430,2.00 52,1,2024-09-07 09:00:50:576,519541,519541,0,0,241247037343,2528153323,511833,6454,1254,368,391722,0 52,2,2024-09-07 09:00:51:754,369729,369691,38,0,17192967,0,6742 52,3,2024-09-07 09:00:50:680,1,295,29,0,1782,4718,295,0 53,0,2024-09-07 09:00:51:770,75767,0.7,73743,0.8,154019,0.7,202321,2.25 53,1,2024-09-07 09:00:50:770,518151,518151,0,0,241659827796,2537251537,509888,5814,2449,367,391702,0 53,2,2024-09-07 09:00:51:298,376154,376154,0,0,14464806,0,2727 53,3,2024-09-07 09:00:50:696,1,295,0,0,308,2727,295,0 54,0,2024-09-07 09:00:51:616,69819,0.6,70319,0.8,139620,0.4,186929,2.50 54,1,2024-09-07 09:00:50:580,519901,519901,0,0,242765662212,2524554065,514607,4694,600,367,391659,0 54,2,2024-09-07 09:00:50:865,372556,372550,6,0,16436730,0,5382 54,3,2024-09-07 09:00:50:763,1,295,1,0,676,4739,295,0 55,0,2024-09-07 09:00:51:758,67845,0.5,70386,0.7,141500,0.5,184949,2.50 55,1,2024-09-07 09:00:50:773,519340,519340,0,0,241892022439,2518801398,513102,5330,908,365,391731,0 55,2,2024-09-07 09:00:50:728,371352,371352,0,0,16280316,0,3563 55,3,2024-09-07 09:00:50:674,1,295,8,0,304,3149,295,0 56,0,2024-09-07 09:00:51:560,77410,1.0,72948,1.0,150320,1.4,201806,2.50 56,1,2024-09-07 09:00:50:586,517378,517378,0,0,241142342973,2549633901,509306,6524,1548,381,391867,0 56,2,2024-09-07 09:00:51:303,372738,372738,0,0,17572766,0,3567 56,3,2024-09-07 09:00:51:067,1,295,3,0,705,4024,295,0 57,0,2024-09-07 09:00:50:938,76395,1.7,76240,1.3,152730,2.4,204435,3.25 57,1,2024-09-07 09:00:50:989,519153,519153,0,0,241381907454,2529712644,513085,5363,705,366,392032,0 57,2,2024-09-07 09:00:51:322,375199,375199,0,0,18909797,0,3317 57,3,2024-09-07 09:00:51:742,1,295,2,0,359,3647,295,0 58,0,2024-09-07 09:00:50:555,71568,1.0,69695,1.0,145985,1.2,191031,2.50 58,1,2024-09-07 09:00:50:574,519859,519856,0,3,241976579184,2530585712,512870,6097,889,367,391603,3 58,2,2024-09-07 09:00:51:071,371820,371820,0,0,16974338,0,2549 58,3,2024-09-07 09:00:51:067,1,295,1,0,1043,3210,295,0 59,0,2024-09-07 09:00:51:740,70918,0.7,70584,0.9,140969,0.7,186925,2.75 59,1,2024-09-07 09:00:50:808,519072,519072,0,0,242314388885,2536899280,512274,5515,1283,369,391578,0 59,2,2024-09-07 09:00:50:589,373641,373641,0,0,16076589,0,2604 59,3,2024-09-07 09:00:51:742,1,295,18,0,1015,4040,295,0 60,0,2024-09-07 09:00:51:702,73139,0.5,73093,0.6,147161,0.4,195063,1.75 60,1,2024-09-07 09:00:50:772,521219,521219,0,0,242426960504,2514620062,518335,2358,526,370,392031,0 60,2,2024-09-07 09:00:51:141,373842,373842,0,0,16978762,0,3811 60,3,2024-09-07 09:00:51:258,1,295,1,0,175,3128,295,0 61,0,2024-09-07 09:00:51:498,78011,0.7,78439,0.8,155955,0.7,207878,2.00 61,1,2024-09-07 09:00:50:770,518988,518988,0,0,241311011216,2528916608,513109,4965,914,382,391880,0 61,2,2024-09-07 09:00:51:131,374033,374033,0,0,15479543,0,3598 61,3,2024-09-07 09:00:51:688,1,295,10,0,479,4541,295,0 62,0,2024-09-07 09:00:51:706,76082,0.6,77686,0.7,148048,0.6,201615,2.00 62,1,2024-09-07 09:00:51:111,522349,522343,0,6,243763926967,2515787254,519492,2690,161,365,391715,6 62,2,2024-09-07 09:00:51:643,371676,371675,1,0,16512910,0,5555 62,3,2024-09-07 09:00:51:143,1,295,1,0,465,2464,295,0 63,0,2024-09-07 09:00:51:453,70766,0.4,70948,0.6,141815,0.4,188875,1.75 63,1,2024-09-07 09:00:50:815,520705,520699,0,6,242189081079,2513867759,517744,2721,234,381,391677,6 63,2,2024-09-07 09:00:50:776,373242,373242,0,0,14695948,0,4369 63,3,2024-09-07 09:00:51:732,1,295,8,0,667,3272,295,0 64,0,2024-09-07 09:00:51:535,70888,0.5,70860,0.7,141729,0.4,188365,2.00 64,1,2024-09-07 09:00:50:759,519627,519627,0,0,242288861085,2527197061,514555,3564,1508,370,391783,0 64,2,2024-09-07 09:00:51:150,376701,376682,19,0,14780079,0,6121 64,3,2024-09-07 09:00:51:144,1,295,168,0,265,2881,295,0 65,0,2024-09-07 09:00:51:680,76944,0.7,77354,0.8,154099,0.7,205614,2.25 65,1,2024-09-07 09:00:50:861,518643,518643,0,0,241455166818,2525899184,514737,3458,448,382,391901,0 65,2,2024-09-07 09:00:51:692,373258,373258,0,0,16292160,0,3367 65,3,2024-09-07 09:00:51:685,1,295,4,0,163,2760,295,0 66,0,2024-09-07 09:00:51:839,76374,0.5,76237,0.7,152738,0.5,202987,2.00 66,1,2024-09-07 09:00:51:293,520041,520041,0,0,242618435968,2522852703,516760,2962,319,380,391653,0 66,2,2024-09-07 09:00:51:133,376320,376320,0,0,14987954,0,4956 66,3,2024-09-07 09:00:51:079,1,295,1,0,291,2982,295,0 67,0,2024-09-07 09:00:51:413,70302,0.6,69495,0.7,139932,0.5,186200,2.00 67,1,2024-09-07 09:00:50:766,520308,520307,0,1,241862372298,2521806655,516231,3327,749,380,391787,1 67,2,2024-09-07 09:00:50:585,373789,373789,0,0,14096809,0,2889 67,3,2024-09-07 09:00:51:750,1,295,8,0,338,2631,295,0 68,0,2024-09-07 09:00:50:628,70526,0.5,70304,0.7,140195,0.5,187283,2.00 68,1,2024-09-07 09:00:50:593,519087,519087,0,0,240831288756,2524879869,514442,3445,1200,381,391953,0 68,2,2024-09-07 09:00:51:047,370908,370843,65,0,18604776,0,6698 68,3,2024-09-07 09:00:50:728,1,295,10,0,417,3257,295,0 69,0,2024-09-07 09:00:51:722,76397,0.7,76827,0.8,152885,0.7,203531,2.25 69,1,2024-09-07 09:00:51:030,517976,517976,0,0,240986337411,2541984532,511532,4857,1587,384,391994,0 69,2,2024-09-07 09:00:51:733,372252,372252,0,0,18339788,0,3722 69,3,2024-09-07 09:00:50:760,1,295,8,0,698,4219,295,0 70,0,2024-09-07 09:00:51:531,76122,1.0,76176,1.1,152997,0.8,202641,2.50 70,1,2024-09-07 09:00:50:809,521045,521045,0,0,242344883947,2516666821,516752,3757,536,366,391725,0 70,2,2024-09-07 09:00:51:339,374801,374801,0,0,16764300,0,4044 70,3,2024-09-07 09:00:50:744,1,295,1,0,854,3447,295,0 71,0,2024-09-07 09:00:51:356,70991,1.0,70849,1.1,142199,1.2,189802,2.75 71,1,2024-09-07 09:00:51:595,518813,518813,0,0,242321235577,2528685635,512984,5174,655,368,391738,0 71,2,2024-09-07 09:00:51:067,372460,372460,0,0,16580488,0,4042 71,3,2024-09-07 09:00:51:749,1,295,14,0,644,3989,295,0 72,0,2024-09-07 09:00:51:050,73033,0.7,71367,0.8,139239,0.7,189270,2.00 72,1,2024-09-07 09:00:51:022,518670,518670,0,0,240894306145,2523558407,511457,5667,1546,369,391819,0 72,2,2024-09-07 09:00:51:757,371094,371094,0,0,18916347,0,3983 72,3,2024-09-07 09:00:51:759,1,295,4,0,564,4850,295,0 73,0,2024-09-07 09:00:51:122,72810,0.4,74437,0.6,152571,0.3,198561,2.00 73,1,2024-09-07 09:00:50:769,519888,519888,0,0,241860439873,2514576785,516219,3378,291,367,391750,0 73,2,2024-09-07 09:00:51:743,373786,373786,0,0,18407654,0,3701 73,3,2024-09-07 09:00:50:969,1,295,1,0,274,4207,295,0 74,0,2024-09-07 09:00:51:326,78661,0.5,80541,0.7,153851,0.5,208184,2.25 74,1,2024-09-07 09:00:50:639,519599,519599,0,0,241622176311,2523361276,514410,4221,968,381,391681,0 74,2,2024-09-07 09:00:51:002,373953,373953,0,0,17692592,0,4253 74,3,2024-09-07 09:00:51:445,1,295,0,0,522,3801,295,0 75,0,2024-09-07 09:00:51:764,74261,0.5,73982,0.7,148126,0.4,197847,2.25 75,1,2024-09-07 09:00:51:585,518715,518715,0,0,241779763206,2528464382,512907,5003,805,380,391739,0 75,2,2024-09-07 09:00:51:350,371709,371709,0,0,17293763,0,4766 75,3,2024-09-07 09:00:51:067,1,295,12,0,702,4204,295,0 76,0,2024-09-07 09:00:50:599,70922,0.6,70367,0.8,141321,0.6,188994,2.25 76,1,2024-09-07 09:00:50:834,519705,519705,0,0,241572319916,2520346442,516227,2925,553,382,391692,0 76,2,2024-09-07 09:00:51:062,373272,373271,1,0,16149235,0,5144 76,3,2024-09-07 09:00:51:145,1,295,1,0,175,3022,295,0 77,0,2024-09-07 09:00:51:702,72246,0.6,72444,0.8,144755,0.6,192756,2.00 77,1,2024-09-07 09:00:50:834,520023,520023,0,0,242218117959,2530962052,515819,3940,264,381,391869,0 77,2,2024-09-07 09:00:51:284,373870,373870,0,0,16201863,0,3890 77,3,2024-09-07 09:00:51:100,1,295,1,0,401,3542,295,0 78,0,2024-09-07 09:00:51:716,78372,0.5,77938,0.7,156568,0.4,207508,2.00 78,1,2024-09-07 09:00:50:609,519522,519522,0,0,241983861144,2520009104,514477,4051,994,367,391670,0 78,2,2024-09-07 09:00:51:405,373630,373630,0,0,14199086,0,3855 78,3,2024-09-07 09:00:51:133,1,295,51,0,181,2864,295,0 79,0,2024-09-07 09:00:51:352,73721,0.4,75642,0.6,154568,0.4,201102,2.25 79,1,2024-09-07 09:00:50:573,521603,521603,0,0,243171840587,2517677420,517885,3273,445,369,391682,0 79,2,2024-09-07 09:00:51:067,374514,374514,0,0,14454148,0,3212 79,3,2024-09-07 09:00:50:749,1,295,8,0,418,4100,295,0 80,0,2024-09-07 09:00:51:137,69937,0.6,71888,0.7,137781,0.5,186000,2.00 80,1,2024-09-07 09:00:51:622,519701,519701,0,0,241984979949,2518875722,516413,3111,177,368,391791,0 80,2,2024-09-07 09:00:51:103,374406,374406,0,0,14270377,0,4433 80,3,2024-09-07 09:00:50:584,1,295,1,0,190,3762,295,0 81,0,2024-09-07 09:00:51:550,70525,0.5,72362,0.7,138163,0.5,187585,2.00 81,1,2024-09-07 09:00:51:657,518668,518668,0,0,241421827418,2524407774,514356,3836,476,382,391879,0 81,2,2024-09-07 09:00:51:144,372654,372654,0,0,15307144,0,3993 81,3,2024-09-07 09:00:51:120,1,295,7,0,374,3567,295,0 82,0,2024-09-07 09:00:51:533,76751,0.4,77238,0.6,154774,0.3,205502,1.75 82,1,2024-09-07 09:00:50:585,520392,520388,0,4,242348253856,2525626251,516579,3014,795,381,391768,4 82,2,2024-09-07 09:00:51:696,373775,373775,0,0,14167857,0,3986 82,3,2024-09-07 09:00:51:752,1,295,2,0,363,3091,295,0 83,0,2024-09-07 09:00:51:528,76500,0.5,76397,0.7,151834,0.5,202594,2.00 83,1,2024-09-07 09:00:50:560,519272,519272,0,0,241405909132,2519527759,515224,3728,320,382,391709,0 83,2,2024-09-07 09:00:50:764,375253,375253,0,0,14584188,0,3393 83,3,2024-09-07 09:00:50:749,1,295,8,0,250,3236,295,0 84,0,2024-09-07 09:00:51:794,70441,0.7,70335,0.9,140758,0.6,188364,2.25 84,1,2024-09-07 09:00:51:043,519155,519155,0,0,241890764891,2526296144,514201,4368,586,367,391967,0 84,2,2024-09-07 09:00:50:573,372373,372373,0,0,17109267,0,4757 84,3,2024-09-07 09:00:51:142,1,295,12,0,908,4780,295,0 85,0,2024-09-07 09:00:51:065,67876,0.6,67928,0.8,143905,0.6,186445,2.25 85,1,2024-09-07 09:00:50:571,518469,518469,0,0,241364386385,2547093391,511023,6326,1120,381,392006,0 85,2,2024-09-07 09:00:50:865,372934,372934,0,0,17149822,0,3656 85,3,2024-09-07 09:00:50:704,1,295,30,0,789,3929,295,0 86,0,2024-09-07 09:00:50:907,75726,0.6,77771,0.7,148964,0.6,201431,2.00 86,1,2024-09-07 09:00:50:830,519771,519771,0,0,241916823186,2528869050,514240,4698,833,366,391961,0 86,2,2024-09-07 09:00:50:858,371987,371986,1,0,18004755,0,5004 86,3,2024-09-07 09:00:50:596,1,295,7,0,286,4038,295,0 87,0,2024-09-07 09:00:51:288,77099,1.0,77076,0.9,154705,1.4,206222,2.25 87,1,2024-09-07 09:00:50:576,518798,518798,0,0,241180738464,2522092514,513654,4641,503,366,392076,0 87,2,2024-09-07 09:00:51:072,374288,374288,0,0,16397121,0,4045 87,3,2024-09-07 09:00:51:804,1,295,9,0,473,4255,295,0 88,0,2024-09-07 09:00:51:557,72873,0.5,73344,0.6,146165,0.4,194155,2.00 88,1,2024-09-07 09:00:50:569,517590,517590,0,0,241626255700,2536507814,510232,5707,1651,365,392084,0 88,2,2024-09-07 09:00:50:712,372033,372033,0,0,18211188,0,3583 88,3,2024-09-07 09:00:51:271,1,295,26,0,435,3456,295,0 89,0,2024-09-07 09:00:51:781,73351,0.5,70931,0.7,140804,0.4,190397,1.75 89,1,2024-09-07 09:00:50:551,517862,517862,0,0,241215915553,2541894286,510534,6063,1265,382,391866,0 89,2,2024-09-07 09:00:51:153,371264,371264,0,0,17646328,0,2910 89,3,2024-09-07 09:00:51:796,1,295,2,0,385,5759,295,0 90,0,2024-09-07 09:00:51:869,71191,0.4,72759,0.6,148914,0.4,194328,1.75 90,1,2024-09-07 09:00:50:592,519315,519315,0,0,241066528180,2526058956,514438,4554,323,380,391825,0 90,2,2024-09-07 09:00:51:407,372435,372435,0,0,19156028,0,3060 90,3,2024-09-07 09:00:50:937,1,295,2,0,200,3081,295,0 91,0,2024-09-07 09:00:51:019,78374,0.5,76190,0.7,158792,0.5,208502,1.75 91,1,2024-09-07 09:00:50:589,518076,518076,0,0,241178644463,2538548940,511058,6126,892,381,392047,0 91,2,2024-09-07 09:00:51:331,373316,373316,0,0,17099568,0,2896 91,3,2024-09-07 09:00:50:603,1,295,11,0,216,2699,295,0 92,0,2024-09-07 09:00:51:478,76167,0.5,78139,0.6,149220,0.5,201943,1.75 92,1,2024-09-07 09:00:50:590,519440,519440,0,0,241826695800,2524395458,516027,2933,480,382,392136,0 92,2,2024-09-07 09:00:51:359,374168,374168,0,0,14544180,0,2801 92,3,2024-09-07 09:00:51:010,1,295,1,0,167,2506,295,0 93,0,2024-09-07 09:00:51:014,71216,0.4,72996,0.6,139428,0.3,189249,1.75 93,1,2024-09-07 09:00:50:826,519645,519645,0,0,241637043387,2522984685,514601,4249,795,366,391776,0 93,2,2024-09-07 09:00:50:950,372770,372770,0,0,16956650,0,4311 93,3,2024-09-07 09:00:51:413,1,295,7,0,190,2967,295,0 94,0,2024-09-07 09:00:51:637,70904,0.4,71724,0.5,142969,0.3,189520,1.75 94,1,2024-09-07 09:00:50:577,519324,519324,0,0,242280431461,2531631692,515458,3682,184,381,391850,0 94,2,2024-09-07 09:00:50:761,373163,373163,0,0,14930969,0,2443 94,3,2024-09-07 09:00:51:690,1,295,6,0,264,3774,295,0 95,0,2024-09-07 09:00:51:455,77489,0.4,77551,0.5,155725,0.3,207225,1.75 95,1,2024-09-07 09:00:50:859,519818,519818,0,0,242988371778,2527438958,515601,3838,379,367,391713,0 95,2,2024-09-07 09:00:51:017,372408,372408,0,0,15302374,0,3308 95,3,2024-09-07 09:00:51:711,1,295,15,0,718,4912,295,0 96,0,2024-09-07 09:00:51:025,76882,0.4,76985,0.5,153734,0.3,203758,1.75 96,1,2024-09-07 09:00:51:593,519262,519262,0,0,242413510610,2531876975,515079,3400,783,384,391955,0 96,2,2024-09-07 09:00:51:271,374559,374559,0,0,15448251,0,4042 96,3,2024-09-07 09:00:51:164,1,295,5,0,411,3268,295,0 97,0,2024-09-07 09:00:51:339,70129,0.3,69707,0.5,140175,0.3,185949,1.75 97,1,2024-09-07 09:00:50:776,521199,521199,0,0,242458366743,2515648126,517522,3012,665,367,392140,0 97,2,2024-09-07 09:00:50:613,373476,373476,0,0,14887971,0,3036 97,3,2024-09-07 09:00:50:587,1,295,45,0,214,3655,295,0 98,0,2024-09-07 09:00:51:730,70366,0.3,70312,0.4,141204,0.2,187433,1.50 98,1,2024-09-07 09:00:50:577,520608,520608,0,0,241922944606,2517445228,518252,2255,101,382,391997,0 98,2,2024-09-07 09:00:50:773,372734,372734,0,0,14817331,0,3080 98,3,2024-09-07 09:00:50:699,1,295,1,0,840,5090,295,0 99,0,2024-09-07 09:00:51:483,76708,0.3,77149,0.4,153579,0.3,205222,1.75 99,1,2024-09-07 09:00:51:748,520085,520085,0,0,242307850358,2524700634,516188,3153,744,381,392069,0 99,2,2024-09-07 09:00:51:427,374495,374495,0,0,16319161,0,3424 99,3,2024-09-07 09:00:50:596,1,295,12,0,187,2465,295,0 100,0,2024-09-07 09:00:51:499,76519,0.8,76468,1.0,153043,1.0,204485,2.50 100,1,2024-09-07 09:00:50:560,516394,516394,0,0,240923865983,2546935693,508688,6229,1477,381,391989,0 100,2,2024-09-07 09:00:51:873,374212,374201,11,0,17339918,0,5417 100,3,2024-09-07 09:00:51:731,1,295,48,0,559,5233,295,0 101,0,2024-09-07 09:00:51:701,73071,1.3,71118,1.1,139597,1.1,191415,2.50 101,1,2024-09-07 09:00:50:566,517501,517501,0,0,241044033025,2540328135,509037,6484,1980,368,391769,0 101,2,2024-09-07 09:00:51:756,370506,370506,0,0,19118986,0,4644 101,3,2024-09-07 09:00:50:959,1,295,11,0,448,3552,295,0 102,0,2024-09-07 09:00:51:001,68968,0.6,71371,0.8,143854,0.6,188325,2.00 102,1,2024-09-07 09:00:51:147,518046,518046,0,0,241239177016,2534043215,511485,5655,906,369,391883,0 102,2,2024-09-07 09:00:51:738,373068,373014,54,0,16665151,0,6768 102,3,2024-09-07 09:00:51:613,1,295,29,0,410,3104,295,0 103,0,2024-09-07 09:00:51:726,76855,0.5,76906,0.6,145031,0.4,200253,1.75 103,1,2024-09-07 09:00:51:685,517386,517386,0,0,241084513334,2544561093,509096,6374,1916,381,391829,0 103,2,2024-09-07 09:00:50:586,372533,372533,0,0,17052382,0,3173 103,3,2024-09-07 09:00:50:761,1,295,16,0,916,3882,295,0 104,0,2024-09-07 09:00:51:053,77291,0.8,77726,1.0,154144,0.8,207450,2.25 104,1,2024-09-07 09:00:51:614,518688,518688,0,0,240939874365,2538427219,510173,6856,1659,365,392168,0 104,2,2024-09-07 09:00:51:703,372619,372619,0,0,18675246,0,3941 104,3,2024-09-07 09:00:51:423,1,295,7,0,1245,6778,295,0 105,0,2024-09-07 09:00:51:047,73356,1.0,71444,1.1,149235,1.3,196305,3.25 105,1,2024-09-07 09:00:50:575,518754,518754,0,0,241740267348,2539973497,511056,6399,1299,366,391797,0 105,2,2024-09-07 09:00:51:324,371820,371820,0,0,17586689,0,3509 105,3,2024-09-07 09:00:51:304,1,295,5,0,399,4639,295,0 106,0,2024-09-07 09:00:50:955,68752,0.8,70450,1.0,144222,0.9,188574,2.50 106,1,2024-09-07 09:00:51:762,517985,517985,0,0,240001598056,2525818787,509527,7454,1004,369,391865,0 106,2,2024-09-07 09:00:50:756,370664,370664,0,0,17025606,0,2795 106,3,2024-09-07 09:00:50:684,1,295,1,0,470,4086,295,0 107,0,2024-09-07 09:00:51:114,72164,0.8,72023,0.9,144185,0.8,192660,2.00 107,1,2024-09-07 09:00:50:589,517252,517252,0,0,240587579584,2536312433,510262,6272,718,381,392234,0 107,2,2024-09-07 09:00:51:292,372319,372318,1,0,18117657,0,5024 107,3,2024-09-07 09:00:51:762,1,295,23,0,353,3976,295,0 108,0,2024-09-07 09:00:51:762,78024,0.4,78307,0.6,155916,0.4,208161,1.75 108,1,2024-09-07 09:00:51:293,518905,518905,0,0,242105339806,2526497998,514540,3900,465,368,391857,0 108,2,2024-09-07 09:00:51:755,371821,371821,0,0,16908186,0,4246 108,3,2024-09-07 09:00:51:338,1,295,8,0,749,6111,295,0 109,0,2024-09-07 09:00:51:795,76606,0.4,75832,0.6,151930,0.3,203333,1.75 109,1,2024-09-07 09:00:50:632,517535,517535,0,0,241530914356,2534833147,512951,3935,649,383,392132,0 109,2,2024-09-07 09:00:50:924,371578,371578,0,0,16596607,0,3617 109,3,2024-09-07 09:00:51:144,1,295,10,0,249,3257,295,0 110,0,2024-09-07 09:00:51:787,70062,0.4,68085,0.6,142571,0.3,186746,1.75 110,1,2024-09-07 09:00:51:644,520885,520885,0,0,241655970611,2509590156,517395,2581,909,369,392045,0 110,2,2024-09-07 09:00:51:312,373171,373171,0,0,16537416,0,4067 110,3,2024-09-07 09:00:50:689,1,295,1,0,406,3701,295,0 111,0,2024-09-07 09:00:51:412,71055,0.4,70380,0.5,140903,0.3,189439,1.75 111,1,2024-09-07 09:00:51:010,520855,520855,0,0,243116913979,2523543747,518182,2330,343,382,391690,0 111,2,2024-09-07 09:00:51:116,372441,372441,0,0,15405039,0,2763 111,3,2024-09-07 09:00:50:917,1,295,15,0,379,3834,295,0 112,0,2024-09-07 09:00:50:935,77684,0.3,77075,0.4,154540,0.2,205563,1.50 112,1,2024-09-07 09:00:50:825,520831,520831,0,0,242161944528,2513125216,517784,2594,453,380,391624,0 112,2,2024-09-07 09:00:51:135,372662,372661,1,0,15011998,0,5036 112,3,2024-09-07 09:00:50:595,1,295,2,0,282,3185,295,0 113,0,2024-09-07 09:00:50:867,76149,0.3,76248,0.5,152905,0.2,203959,1.50 113,1,2024-09-07 09:00:51:691,521907,521907,0,0,243743623319,2517371148,518709,2614,584,366,391661,0 113,2,2024-09-07 09:00:51:303,376938,376938,0,0,13484270,0,3813 113,3,2024-09-07 09:00:50:684,1,295,1,0,340,3867,295,0 114,0,2024-09-07 09:00:50:877,71336,0.4,71795,0.5,142596,0.2,190394,1.75 114,1,2024-09-07 09:00:50:717,519961,519961,0,0,242106973309,2519517747,515060,3312,1589,381,391556,0 114,2,2024-09-07 09:00:50:874,372797,372796,1,0,14781832,0,5069 114,3,2024-09-07 09:00:51:277,1,295,1,0,395,2869,295,0 115,0,2024-09-07 09:00:50:554,70449,0.3,70685,0.4,141557,0.2,188455,1.50 115,1,2024-09-07 09:00:50:573,520118,520118,0,0,242200386668,2518556294,515391,3887,840,382,391656,0 115,2,2024-09-07 09:00:51:126,373429,373429,0,0,13468060,0,2346 115,3,2024-09-07 09:00:51:002,1,295,9,0,159,1748,295,0 116,0,2024-09-07 09:00:51:730,75484,0.7,75310,0.8,150940,0.7,202112,2.00 116,1,2024-09-07 09:00:50:823,517619,517619,0,0,240709590893,2541295572,511052,4509,2058,380,391782,0 116,2,2024-09-07 09:00:51:764,371977,371977,0,0,18749208,0,3529 116,3,2024-09-07 09:00:50:929,1,295,2,0,415,3925,295,0 117,0,2024-09-07 09:00:50:989,77695,0.9,77295,0.9,154564,1.1,206567,2.00 117,1,2024-09-07 09:00:51:585,518477,518477,0,0,241380895252,2526771044,513067,4859,551,370,392033,0 117,2,2024-09-07 09:00:51:118,377347,377347,0,0,15341203,0,3700 117,3,2024-09-07 09:00:51:059,1,295,10,0,490,4469,295,0 118,0,2024-09-07 09:00:51:869,70762,0.6,72810,0.7,148106,0.5,193353,2.00 118,1,2024-09-07 09:00:50:591,518240,518240,0,0,240506779267,2530256680,510615,5799,1826,366,391736,0 118,2,2024-09-07 09:00:51:587,371388,371388,0,0,16284043,0,2781 118,3,2024-09-07 09:00:51:778,1,295,1,0,235,3322,295,0 119,0,2024-09-07 09:00:51:339,70844,0.8,71271,0.8,142624,0.8,189235,2.25 119,1,2024-09-07 09:00:50:582,519620,519620,0,0,241911999057,2528862359,514009,4944,667,367,391780,0 119,2,2024-09-07 09:00:51:262,372817,372817,0,0,15699198,0,4174 119,3,2024-09-07 09:00:51:329,1,295,8,0,443,4019,295,0 120,0,2024-09-07 09:00:51:551,72789,0.6,72613,0.8,145400,0.5,194904,2.25 120,1,2024-09-07 09:00:50:867,518644,518644,0,0,241282418911,2533386127,512957,5265,422,368,391961,0 120,2,2024-09-07 09:00:50:771,373114,373113,1,0,19114172,0,5281 120,3,2024-09-07 09:00:51:292,1,295,1,0,241,3853,295,0 121,0,2024-09-07 09:00:51:815,77979,1.2,77982,1.1,156521,1.6,207930,2.25 121,1,2024-09-07 09:00:51:706,519134,519134,0,0,241803536231,2531737853,513752,4951,431,367,391840,0 121,2,2024-09-07 09:00:51:125,372301,372301,0,0,18498436,0,4127 121,3,2024-09-07 09:00:50:727,1,295,1,0,269,3603,295,0 122,0,2024-09-07 09:00:51:851,75382,0.8,73411,0.9,153847,0.9,202016,2.00 122,1,2024-09-07 09:00:50:931,518090,518090,0,0,241436726634,2536524945,510504,6453,1133,366,392130,0 122,2,2024-09-07 09:00:51:324,371812,371739,73,0,20529039,0,5989 122,3,2024-09-07 09:00:50:596,1,295,1,0,411,5817,295,0 123,0,2024-09-07 09:00:51:092,70524,0.8,68966,0.8,143818,1.0,188581,2.25 123,1,2024-09-07 09:00:50:583,518236,518236,0,0,241360895392,2547115018,508293,8002,1941,369,392039,0 123,2,2024-09-07 09:00:51:031,370676,370675,1,0,17247656,0,5215 123,3,2024-09-07 09:00:51:132,1,295,12,0,168,3507,295,0 124,0,2024-09-07 09:00:50:918,73499,0.4,73477,0.5,138543,0.3,190297,1.75 124,1,2024-09-07 09:00:51:021,520317,520317,0,0,241886417006,2520362755,515928,3485,904,367,392178,0 124,2,2024-09-07 09:00:51:011,373500,373500,0,0,14852054,0,3101 124,3,2024-09-07 09:00:50:758,1,295,1,0,490,3135,295,0 125,0,2024-09-07 09:00:51:437,77792,0.4,77501,0.5,155794,0.3,207479,1.75 125,1,2024-09-07 09:00:50:856,518298,518298,0,0,242233064956,2529687867,514360,3488,450,383,391702,0 125,2,2024-09-07 09:00:51:116,372932,372932,0,0,16128487,0,4534 125,3,2024-09-07 09:00:51:128,1,295,4,0,709,4271,295,0 126,0,2024-09-07 09:00:51:555,76814,0.5,78907,0.6,150783,0.4,204620,1.75 126,1,2024-09-07 09:00:50:574,520566,520566,0,0,242390895955,2512007199,517675,2622,269,365,391987,0 126,2,2024-09-07 09:00:50:615,375878,375878,0,0,15858375,0,3186 126,3,2024-09-07 09:00:50:907,1,295,38,0,207,3976,295,0 127,0,2024-09-07 09:00:51:601,69783,0.3,70132,0.5,139922,0.3,185979,1.75 127,1,2024-09-07 09:00:50:577,520104,520104,0,0,241739149480,2509713138,515879,3711,514,365,391816,0 127,2,2024-09-07 09:00:50:638,373226,373226,0,0,14348875,0,2264 127,3,2024-09-07 09:00:51:269,1,295,12,0,968,3684,295,0 128,0,2024-09-07 09:00:51:556,70668,0.3,70714,0.4,141304,0.2,187843,1.50 128,1,2024-09-07 09:00:51:789,519754,519754,0,0,242282868166,2518512120,515947,3440,367,367,391798,0 128,2,2024-09-07 09:00:51:405,373495,373495,0,0,14150675,0,2434 128,3,2024-09-07 09:00:50:769,1,295,2,0,1082,5744,295,0 129,0,2024-09-07 09:00:51:004,77217,0.3,76924,0.5,153879,0.3,205053,1.50 129,1,2024-09-07 09:00:50:572,516677,516677,0,0,240758846110,2525231660,511422,4043,1212,379,391835,0 129,2,2024-09-07 09:00:50:706,373325,373325,0,0,15116859,0,4031 129,3,2024-09-07 09:00:50:704,1,295,1,0,469,3919,295,0 130,0,2024-09-07 09:00:51:823,77424,0.5,77012,0.6,154617,0.6,205665,1.75 130,1,2024-09-07 09:00:50:594,519761,519761,0,0,241944834595,2517624666,516619,2905,237,381,391825,0 130,2,2024-09-07 09:00:51:134,376884,376884,0,0,15046195,0,4067 130,3,2024-09-07 09:00:51:297,1,295,18,0,450,3801,295,0 131,0,2024-09-07 09:00:51:979,71466,0.4,71930,0.5,144447,0.3,191149,1.75 131,1,2024-09-07 09:00:51:826,520101,520101,0,0,242336669149,2530669884,516003,3358,740,381,391865,0 131,2,2024-09-07 09:00:50:617,374475,374475,0,0,13636952,0,2415 131,3,2024-09-07 09:00:51:692,1,295,7,0,392,3080,295,0 132,0,2024-09-07 09:00:51:443,70530,0.5,71433,0.6,142290,0.4,188832,2.00 132,1,2024-09-07 09:00:50:589,517153,517153,0,0,241288002178,2544985545,509027,6664,1462,381,392097,0 132,2,2024-09-07 09:00:50:698,372092,372092,0,0,17583035,0,4606 132,3,2024-09-07 09:00:51:694,1,295,149,0,356,4042,295,0 133,0,2024-09-07 09:00:51:552,72672,0.4,74409,0.6,152555,0.3,198880,1.75 133,1,2024-09-07 09:00:50:595,517759,517759,0,0,240452708579,2535742557,510412,6300,1047,383,391914,0 133,2,2024-09-07 09:00:51:087,372695,372695,0,0,18929988,0,4315 133,3,2024-09-07 09:00:51:297,1,295,2,0,276,2800,295,0 134,0,2024-09-07 09:00:51:011,78316,0.5,78289,0.7,156450,0.5,208536,2.00 134,1,2024-09-07 09:00:50:590,518231,518231,0,0,240520811429,2525728342,511230,5524,1477,366,391718,0 134,2,2024-09-07 09:00:51:757,373747,373747,0,0,16122163,0,3847 134,3,2024-09-07 09:00:50:750,1,295,23,0,739,4175,295,0 135,0,2024-09-07 09:00:51:103,71655,0.7,71659,0.9,152038,0.8,196082,2.00 135,1,2024-09-07 09:00:51:585,517959,517959,0,0,241633133588,2544522241,510500,6268,1191,380,391805,0 135,2,2024-09-07 09:00:50:691,372658,372658,0,0,17222505,0,3981 135,3,2024-09-07 09:00:51:010,1,295,12,0,299,2264,295,0 136,0,2024-09-07 09:00:51:617,71682,0.6,71644,0.7,142827,0.5,190543,2.25 136,1,2024-09-07 09:00:51:445,518093,518093,0,0,240942416685,2535136121,511447,5962,684,382,391685,0 136,2,2024-09-07 09:00:51:165,372513,372513,0,0,17246625,0,3506 136,3,2024-09-07 09:00:51:113,1,295,26,0,301,2924,295,0 137,0,2024-09-07 09:00:50:918,74411,0.7,72319,0.8,142349,0.8,193849,2.00 137,1,2024-09-07 09:00:50:577,517835,517835,0,0,241837253615,2541838055,509072,6961,1802,366,391708,0 137,2,2024-09-07 09:00:51:706,372868,372868,0,0,19382268,0,3185 137,3,2024-09-07 09:00:50:769,1,295,16,0,382,3668,295,0 138,0,2024-09-07 09:00:51:753,77416,1.5,77634,1.1,155828,2.1,207049,2.50 138,1,2024-09-07 09:00:51:689,517802,517802,0,0,242077119644,2546230966,509181,7043,1578,368,391954,0 138,2,2024-09-07 09:00:50:616,372526,372526,0,0,17692991,0,4988 138,3,2024-09-07 09:00:50:639,1,295,31,0,1160,4216,295,0 139,0,2024-09-07 09:00:51:377,75017,1.4,75459,1.1,150976,2.1,201552,2.50 139,1,2024-09-07 09:00:50:593,516067,516067,0,0,239812876148,2550688277,505990,7558,2519,381,391892,0 139,2,2024-09-07 09:00:50:702,371025,371025,0,0,18340719,0,3097 139,3,2024-09-07 09:00:51:670,1,295,12,0,257,3293,295,0 140,0,2024-09-07 09:00:51:606,70312,0.3,69869,0.5,140436,0.2,187024,1.75 140,1,2024-09-07 09:00:51:538,521293,521293,0,0,242506593239,2503552348,518315,2540,438,365,391606,0 140,2,2024-09-07 09:00:50:705,373715,373715,0,0,14532464,0,3388 140,3,2024-09-07 09:00:50:767,1,295,5,0,247,2425,295,0 141,0,2024-09-07 09:00:51:700,70761,0.3,72733,0.5,139089,0.2,188821,1.75 141,1,2024-09-07 09:00:50:859,520903,520903,0,0,242512284500,2519591529,517332,3112,459,379,391614,0 141,2,2024-09-07 09:00:51:686,372939,372939,0,0,14879443,0,3360 141,3,2024-09-07 09:00:51:045,1,295,2,0,391,3033,295,0 142,0,2024-09-07 09:00:51:396,77904,0.3,77168,0.5,153989,0.3,205851,1.50 142,1,2024-09-07 09:00:50:592,519778,519778,0,0,241686186392,2522735642,516147,3282,349,382,392102,0 142,2,2024-09-07 09:00:51:320,372192,372160,32,0,16448719,0,6028 142,3,2024-09-07 09:00:51:752,1,295,1,0,484,3918,295,0 143,0,2024-09-07 09:00:51:466,76470,0.5,76467,0.6,153255,0.5,204044,1.75 143,1,2024-09-07 09:00:50:572,520647,520647,0,0,242157184301,2514585113,517096,3407,144,367,391651,0 143,2,2024-09-07 09:00:50:769,375568,375568,0,0,15272986,0,2750 143,3,2024-09-07 09:00:51:140,1,295,13,0,303,3684,295,0 144,0,2024-09-07 09:00:51:548,68684,0.6,70610,0.8,143896,0.5,188616,2.00 144,1,2024-09-07 09:00:50:579,517641,517641,0,0,241086080356,2531628591,512859,3845,937,381,391649,0 144,2,2024-09-07 09:00:51:762,373400,373400,0,0,14388024,0,3473 144,3,2024-09-07 09:00:51:745,1,295,11,0,249,3238,295,0 145,0,2024-09-07 09:00:51:364,68058,0.5,68011,0.8,144337,0.5,186476,2.25 145,1,2024-09-07 09:00:50:578,517422,517422,0,0,241056529303,2533831619,511374,5217,831,382,391698,0 145,2,2024-09-07 09:00:51:448,371195,371195,0,0,16950339,0,3903 145,3,2024-09-07 09:00:50:899,1,295,2,0,622,4602,295,0 146,0,2024-09-07 09:00:51:634,75731,0.5,75093,0.7,151362,0.4,201446,2.00 146,1,2024-09-07 09:00:51:588,518551,518551,0,0,241159277926,2538909793,509729,6927,1895,368,391770,0 146,2,2024-09-07 09:00:51:700,372498,372498,0,0,17387157,0,2730 146,3,2024-09-07 09:00:51:273,1,295,21,0,1520,6603,295,0 147,0,2024-09-07 09:00:51:709,77556,0.6,77277,0.8,153769,0.6,206056,2.00 147,1,2024-09-07 09:00:51:379,520222,520222,0,0,242859963508,2529300402,515152,4278,792,368,391791,0 147,2,2024-09-07 09:00:51:014,375847,375847,0,0,14917545,0,2789 147,3,2024-09-07 09:00:50:917,1,295,12,0,730,4466,295,0 0,0,2024-09-07 09:01:01:750,71244,0.5,71258,0.7,151222,0.5,195701,2.00 0,1,2024-09-07 09:01:00:805,520741,520741,0,0,243059081690,2543551311,516780,3721,240,370,391896,0 0,2,2024-09-07 09:01:01:082,374860,374860,0,0,15364680,0,4480 0,3,2024-09-07 09:01:00:993,1,296,15,0,319,3810,296,0 1,0,2024-09-07 09:01:01:764,78326,0.9,77856,1.0,156482,1.1,208694,2.00 1,1,2024-09-07 09:01:00:577,520122,520122,0,0,241799890491,2534690472,515001,3917,1204,370,391857,0 1,2,2024-09-07 09:01:00:646,373904,373904,0,0,14754842,0,3267 1,3,2024-09-07 09:01:01:304,1,296,7,0,262,3379,296,0 2,0,2024-09-07 09:01:01:566,75749,0.7,76100,0.8,150850,0.8,202073,2.00 2,1,2024-09-07 09:01:00:863,521850,521850,0,0,242725057731,2522661160,519284,2327,239,380,391745,0 2,2,2024-09-07 09:01:01:266,374158,374158,0,0,14827282,0,3594 2,3,2024-09-07 09:01:00:693,1,296,37,0,357,2977,296,0 3,0,2024-09-07 09:01:01:748,70949,0.4,71091,0.6,141972,0.4,189021,2.00 3,1,2024-09-07 09:01:01:624,520356,520356,0,0,242297401322,2527069390,515356,4377,623,380,391556,0 3,2,2024-09-07 09:01:01:142,374343,374320,23,0,14825769,0,5851 3,3,2024-09-07 09:01:01:754,1,296,8,0,103,1790,296,0 4,0,2024-09-07 09:01:01:825,69375,0.4,71485,0.5,144997,0.3,190282,1.75 4,1,2024-09-07 09:01:00:605,520035,520035,0,0,241818768398,2541852877,514422,4610,1003,371,391992,0 4,2,2024-09-07 09:01:01:018,373234,373234,0,0,17609161,0,4528 4,3,2024-09-07 09:01:01:027,1,296,33,0,448,4310,296,0 5,0,2024-09-07 09:01:01:371,78150,0.5,78373,0.6,156192,0.5,208780,1.75 5,1,2024-09-07 09:01:00:779,520047,520047,0,0,242177724933,2548752476,513279,5415,1353,368,392005,0 5,2,2024-09-07 09:01:01:830,372994,372994,0,0,16484450,0,2432 5,3,2024-09-07 09:01:01:746,1,296,14,0,457,4525,296,0 6,0,2024-09-07 09:01:00:921,77269,0.5,76793,0.7,153541,0.4,205285,2.00 6,1,2024-09-07 09:01:00:745,520623,520623,0,0,242105107285,2529074702,515247,4449,927,379,391694,0 6,2,2024-09-07 09:01:01:116,375221,375221,0,0,15749919,0,4816 6,3,2024-09-07 09:01:01:277,1,296,1,0,340,3408,296,0 7,0,2024-09-07 09:01:01:533,69680,0.5,69966,0.6,139468,0.4,185459,2.00 7,1,2024-09-07 09:01:00:851,519653,519653,0,0,242237878884,2543241451,512844,5698,1111,382,391747,0 7,2,2024-09-07 09:01:00:777,374041,374041,0,0,15536457,0,4791 7,3,2024-09-07 09:01:00:851,1,296,13,0,398,3472,296,0 8,0,2024-09-07 09:01:01:403,70716,0.4,70511,0.5,141007,0.3,188239,1.75 8,1,2024-09-07 09:01:01:018,518976,518976,0,0,241896268315,2552144846,509476,7288,2212,366,392144,0 8,2,2024-09-07 09:01:00:823,369718,369718,0,0,19526753,0,3220 8,3,2024-09-07 09:01:00:605,1,296,3,0,538,5165,296,0 9,0,2024-09-07 09:01:01:110,77261,0.3,75055,0.5,157069,0.3,205838,1.75 9,1,2024-09-07 09:01:00:557,519365,519365,0,0,242581230188,2557415179,511690,6008,1667,369,392001,0 9,2,2024-09-07 09:01:01:084,373035,373035,0,0,17477079,0,3360 9,3,2024-09-07 09:01:01:777,1,296,10,0,496,4497,296,0 10,0,2024-09-07 09:01:01:600,77063,0.3,76562,0.5,153786,0.3,204801,1.75 10,1,2024-09-07 09:01:00:591,520052,520052,0,0,243167257709,2550012172,512783,6282,987,381,391741,0 10,2,2024-09-07 09:01:00:767,376318,376318,0,0,18808020,0,4264 10,3,2024-09-07 09:01:00:871,1,296,1,0,296,2755,296,0 11,0,2024-09-07 09:01:01:006,71240,0.5,69196,0.7,144750,0.4,191350,1.75 11,1,2024-09-07 09:01:00:578,520117,520117,0,0,241998571828,2546969085,510538,7165,2414,383,391664,0 11,2,2024-09-07 09:01:01:123,373423,373423,0,0,16879777,0,4130 11,3,2024-09-07 09:01:01:308,1,296,8,0,843,4622,296,0 12,0,2024-09-07 09:01:00:942,71330,0.3,71275,0.5,142569,0.3,189109,1.75 12,1,2024-09-07 09:01:00:933,520735,520735,0,0,243038978569,2537508520,516081,4170,484,370,391870,0 12,2,2024-09-07 09:01:01:549,373778,373778,0,0,16713119,0,3469 12,3,2024-09-07 09:01:01:062,1,296,8,0,386,4796,296,0 13,0,2024-09-07 09:01:01:341,75475,0.4,75316,0.5,150508,0.4,200834,1.75 13,1,2024-09-07 09:01:01:560,520025,520025,0,0,241901626438,2544613890,515170,3553,1302,382,391740,0 13,2,2024-09-07 09:01:00:601,375355,375355,0,0,15552972,0,3287 13,3,2024-09-07 09:01:01:762,1,296,1,0,522,5078,296,0 14,0,2024-09-07 09:01:00:564,78504,0.4,79092,0.6,156577,0.4,208580,1.75 14,1,2024-09-07 09:01:01:560,523325,523325,0,0,243603627658,2525165750,519414,3632,279,364,391571,0 14,2,2024-09-07 09:01:00:766,375320,375320,0,0,15488478,0,2896 14,3,2024-09-07 09:01:01:115,1,296,1,0,1168,4000,296,0 15,0,2024-09-07 09:01:01:566,74167,0.4,74288,0.7,148490,0.4,197228,2.00 15,1,2024-09-07 09:01:01:607,521548,521548,0,0,242548128896,2522822445,518632,2738,178,381,391619,0 15,2,2024-09-07 09:01:00:998,375083,375083,0,0,13051257,0,3043 15,3,2024-09-07 09:01:01:405,1,296,12,0,1126,5685,296,0 16,0,2024-09-07 09:01:01:011,71656,0.7,71855,0.8,143150,0.7,190722,2.25 16,1,2024-09-07 09:01:00:594,521364,521364,0,0,242778992158,2538538548,517111,3894,359,370,391756,0 16,2,2024-09-07 09:01:01:452,373218,373218,0,0,16026966,0,4719 16,3,2024-09-07 09:01:01:152,1,296,24,0,317,4287,296,0 17,0,2024-09-07 09:01:01:796,74722,0.7,73050,0.8,142764,0.7,194497,2.00 17,1,2024-09-07 09:01:00:577,520112,520112,0,0,241531656258,2539013321,514119,4739,1254,368,391899,0 17,2,2024-09-07 09:01:01:686,377573,377573,0,0,15836077,0,2857 17,3,2024-09-07 09:01:00:579,1,296,12,0,268,4671,296,0 18,0,2024-09-07 09:01:00:940,77207,0.7,77681,0.8,154746,0.8,206376,2.25 18,1,2024-09-07 09:01:01:643,521844,521844,0,0,243455559415,2525538038,518628,2906,310,367,391649,0 18,2,2024-09-07 09:01:01:761,375735,375735,0,0,14825851,0,3541 18,3,2024-09-07 09:01:00:903,1,296,9,0,163,2713,296,0 19,0,2024-09-07 09:01:01:550,75891,0.6,76445,0.8,151657,0.6,202115,2.25 19,1,2024-09-07 09:01:00:566,521799,521799,0,0,243697235281,2532130522,516757,4204,838,367,391777,0 19,2,2024-09-07 09:01:01:759,375894,375894,0,0,13441781,0,3988 19,3,2024-09-07 09:01:01:129,1,296,1,0,524,2268,296,0 20,0,2024-09-07 09:01:01:394,70055,0.6,70035,0.7,140249,0.6,187039,2.00 20,1,2024-09-07 09:01:00:590,520423,520423,0,0,242342416707,2533388399,516378,3643,402,369,391886,0 20,2,2024-09-07 09:01:00:937,374790,374790,0,0,15289874,0,3721 20,3,2024-09-07 09:01:00:594,1,296,11,0,414,4970,296,0 21,0,2024-09-07 09:01:01:144,71235,0.5,71394,0.6,142378,0.4,189015,2.00 21,1,2024-09-07 09:01:01:575,519047,519047,0,0,241404586579,2543243262,512160,5260,1627,368,392016,0 21,2,2024-09-07 09:01:01:076,372803,372803,0,0,18102967,0,3747 21,3,2024-09-07 09:01:01:404,1,296,16,0,103,3072,296,0 22,0,2024-09-07 09:01:01:729,77208,0.5,77373,0.7,154836,0.4,205235,2.00 22,1,2024-09-07 09:01:01:024,520354,520354,0,0,242118042044,2547173546,512581,6106,1667,382,391667,0 22,2,2024-09-07 09:01:00:770,373405,373405,0,0,15366955,0,3134 22,3,2024-09-07 09:01:01:074,1,296,23,0,228,2671,296,0 23,0,2024-09-07 09:01:01:373,76333,0.6,76208,0.7,152682,0.6,203815,2.25 23,1,2024-09-07 09:01:01:003,520691,520691,0,0,241978895607,2540562416,512300,5700,2691,365,391690,0 23,2,2024-09-07 09:01:01:095,376496,376496,0,0,15021490,0,3010 23,3,2024-09-07 09:01:01:754,1,296,2,0,645,3603,296,0 24,0,2024-09-07 09:01:00:857,71651,0.4,71435,0.6,143457,0.4,190239,1.75 24,1,2024-09-07 09:01:00:582,519824,519824,0,0,241493262872,2535673219,513433,4869,1522,368,391987,0 24,2,2024-09-07 09:01:01:075,372908,372908,0,0,18339468,0,3607 24,3,2024-09-07 09:01:01:700,1,296,39,0,468,4189,296,0 25,0,2024-09-07 09:01:01:354,72495,0.4,70727,0.6,138724,0.4,189545,2.00 25,1,2024-09-07 09:01:00:571,520862,520862,0,0,241846736312,2535274325,514961,5081,820,371,391928,0 25,2,2024-09-07 09:01:01:607,372552,372552,0,0,18794668,0,3978 25,3,2024-09-07 09:01:00:999,1,296,1,0,255,3100,296,0 26,0,2024-09-07 09:01:01:728,75921,0.4,74184,0.6,155536,0.3,202809,1.75 26,1,2024-09-07 09:01:01:553,520909,520909,0,0,242122884955,2539628686,513132,6529,1248,381,391748,0 26,2,2024-09-07 09:01:00:865,373981,373981,0,0,18479492,0,2809 26,3,2024-09-07 09:01:01:722,1,296,148,0,796,3826,296,0 27,0,2024-09-07 09:01:01:727,77876,0.5,77991,0.6,154221,0.5,206227,2.00 27,1,2024-09-07 09:01:01:686,522543,522543,0,0,243251422622,2534356883,517741,4041,761,381,391626,0 27,2,2024-09-07 09:01:00:869,373920,373855,65,0,18599064,0,5699 27,3,2024-09-07 09:01:01:015,1,296,1,0,564,3170,296,0 28,0,2024-09-07 09:01:01:396,73148,0.4,72970,0.6,146175,0.4,194709,2.00 28,1,2024-09-07 09:01:00:797,521777,521777,0,0,243259004335,2536619790,517770,3333,674,383,391646,0 28,2,2024-09-07 09:01:01:765,373438,373438,0,0,15120396,0,2915 28,3,2024-09-07 09:01:01:777,1,296,1,0,502,3011,296,0 29,0,2024-09-07 09:01:01:362,73227,0.4,71418,0.6,139811,0.3,190467,1.75 29,1,2024-09-07 09:01:01:568,522572,522572,0,0,243540709793,2522837179,518843,3146,583,369,391753,0 29,2,2024-09-07 09:01:00:863,372943,372943,0,0,14967883,0,4233 29,3,2024-09-07 09:01:00:978,1,296,1,0,284,2572,296,0 30,0,2024-09-07 09:01:01:493,73334,0.5,71234,0.7,149042,0.4,195438,2.00 30,1,2024-09-07 09:01:00:590,522741,522741,0,0,243097891491,2526364889,518656,3479,606,382,391672,0 30,2,2024-09-07 09:01:01:277,374912,374912,0,0,14595725,0,3161 30,3,2024-09-07 09:01:00:581,1,296,7,0,519,2848,296,0 31,0,2024-09-07 09:01:01:773,77829,0.5,78209,0.6,156429,0.4,208331,2.00 31,1,2024-09-07 09:01:00:568,524670,524670,0,0,243913011083,2503013934,522584,1657,429,356,391712,0 31,2,2024-09-07 09:01:01:281,374854,374854,0,0,16146148,0,3525 31,3,2024-09-07 09:01:01:710,1,296,3,0,220,2291,296,0 32,0,2024-09-07 09:01:01:420,75749,0.3,76114,0.5,152122,0.3,202444,1.75 32,1,2024-09-07 09:01:00:805,522243,522243,0,0,243408864819,2529984210,519185,2613,445,381,391646,0 32,2,2024-09-07 09:01:00:935,374376,374376,0,0,13366554,0,3155 32,3,2024-09-07 09:01:01:025,1,296,0,0,227,2320,296,0 33,0,2024-09-07 09:01:01:505,71369,0.3,70831,0.5,142399,0.2,189946,1.75 33,1,2024-09-07 09:01:00:579,522625,522625,0,0,243736382871,2522335003,519076,3189,360,369,391730,0 33,2,2024-09-07 09:01:00:759,374753,374718,35,0,15715883,0,7012 33,3,2024-09-07 09:01:00:907,1,296,1,0,329,3301,296,0 34,0,2024-09-07 09:01:00:930,71504,0.3,73706,0.5,141134,0.2,189515,1.75 34,1,2024-09-07 09:01:01:044,523836,523836,0,0,243669998085,2507129595,522462,1367,7,367,391562,0 34,2,2024-09-07 09:01:00:776,374886,374886,0,0,14845267,0,3577 34,3,2024-09-07 09:01:01:698,1,296,1,0,299,2341,296,0 35,0,2024-09-07 09:01:00:857,77315,0.4,77887,0.5,156510,0.3,208953,1.75 35,1,2024-09-07 09:01:01:075,521829,521829,0,0,242197076649,2513175051,518250,2799,780,384,391589,0 35,2,2024-09-07 09:01:01:605,374496,374496,0,0,15085485,0,2653 35,3,2024-09-07 09:01:00:908,1,296,12,0,418,3961,296,0 36,0,2024-09-07 09:01:01:530,77167,0.5,77189,0.7,154236,0.5,204899,2.25 36,1,2024-09-07 09:01:00:584,521928,521928,0,0,242561221394,2534651305,515612,4732,1584,366,391759,0 36,2,2024-09-07 09:01:01:752,375098,375098,0,0,16285490,0,3875 36,3,2024-09-07 09:01:00:863,1,296,1,0,416,4726,296,0 37,0,2024-09-07 09:01:01:378,69615,0.5,69602,0.7,139398,0.5,186410,2.00 37,1,2024-09-07 09:01:00:574,520465,520458,0,7,242134454228,2532729407,514180,4207,2071,365,391560,0 37,2,2024-09-07 09:01:01:155,373153,373138,15,0,16120289,0,5815 37,3,2024-09-07 09:01:01:773,1,296,6,0,888,4780,296,0 38,0,2024-09-07 09:01:01:457,69949,0.4,67957,0.6,142319,0.4,186064,2.00 38,1,2024-09-07 09:01:01:605,522508,522508,0,0,243839049853,2539870417,517099,4733,676,368,391821,0 38,2,2024-09-07 09:01:00:760,373246,373199,47,0,17296951,0,6710 38,3,2024-09-07 09:01:00:999,1,296,1,0,689,4182,296,0 39,0,2024-09-07 09:01:01:760,78914,0.5,77349,0.7,150562,0.5,206067,2.00 39,1,2024-09-07 09:01:00:729,521264,521264,0,0,242619884182,2538399334,513912,6078,1274,365,391594,0 39,2,2024-09-07 09:01:01:417,373736,373736,0,0,15146006,0,2689 39,3,2024-09-07 09:01:00:719,1,296,8,0,324,3841,296,0 40,0,2024-09-07 09:01:01:491,76136,0.8,76778,1.0,153509,0.8,204500,2.75 40,1,2024-09-07 09:01:00:579,521280,521280,0,0,241852051719,2534649569,513340,6486,1454,368,391668,0 40,2,2024-09-07 09:01:01:309,375998,375997,1,0,18624703,0,5137 40,3,2024-09-07 09:01:01:142,1,296,10,0,398,3444,296,0 41,0,2024-09-07 09:01:01:045,71044,1.7,72758,1.3,138990,2.7,189268,3.25 41,1,2024-09-07 09:01:00:781,520619,520619,0,0,242490553011,2536279749,514528,5454,637,370,391742,0 41,2,2024-09-07 09:01:00:777,372335,372335,0,0,17521606,0,3356 41,3,2024-09-07 09:01:01:680,1,296,5,0,366,3170,296,0 42,0,2024-09-07 09:01:01:473,70215,0.7,70199,0.9,140764,0.7,185818,2.50 42,1,2024-09-07 09:01:01:440,520044,520044,0,0,241800583038,2542853018,511733,6748,1563,380,391675,0 42,2,2024-09-07 09:01:01:138,373629,373629,0,0,17218628,0,3568 42,3,2024-09-07 09:01:01:008,1,296,1,0,446,2822,296,0 43,0,2024-09-07 09:01:00:921,74512,0.8,72693,0.9,152043,0.8,200386,2.25 43,1,2024-09-07 09:01:00:581,521256,521256,0,0,243334795151,2544999144,513698,6192,1366,366,391696,0 43,2,2024-09-07 09:01:01:738,374447,374447,0,0,17622266,0,3812 43,3,2024-09-07 09:01:01:749,1,296,9,0,467,3702,296,0 44,0,2024-09-07 09:01:00:980,78289,0.5,78735,0.6,156964,0.4,208738,1.75 44,1,2024-09-07 09:01:00:583,522379,522379,0,0,242858445187,2511944833,518408,3127,844,356,391809,0 44,2,2024-09-07 09:01:01:267,374789,374789,0,0,14798057,0,4344 44,3,2024-09-07 09:01:01:099,1,296,5,0,817,3973,296,0 45,0,2024-09-07 09:01:01:766,73193,0.6,71457,0.8,150004,0.5,196999,2.00 45,1,2024-09-07 09:01:01:006,521925,521925,0,0,243166097890,2524705283,519036,2630,259,382,391917,0 45,2,2024-09-07 09:01:01:280,373929,373929,0,0,14738777,0,3596 45,3,2024-09-07 09:01:00:934,1,296,5,0,271,3033,296,0 46,0,2024-09-07 09:01:00:949,71047,0.5,71109,0.7,142290,0.4,188696,2.00 46,1,2024-09-07 09:01:00:580,523532,523532,0,0,242989275705,2510169498,520451,2725,356,366,391539,0 46,2,2024-09-07 09:01:00:593,374322,374322,0,0,14398274,0,2920 46,3,2024-09-07 09:01:01:137,1,296,2,0,908,4397,296,0 47,0,2024-09-07 09:01:01:106,73040,0.5,73095,0.6,146555,0.4,194188,1.75 47,1,2024-09-07 09:01:00:568,523022,523022,0,0,243338922072,2514882234,519978,2686,358,366,391641,0 47,2,2024-09-07 09:01:00:909,377095,377095,0,0,14894178,0,4477 47,3,2024-09-07 09:01:01:117,1,296,10,0,529,3288,296,0 48,0,2024-09-07 09:01:01:510,78900,0.3,78403,0.4,156374,0.2,208464,1.75 48,1,2024-09-07 09:01:01:024,521962,521962,0,0,243257703406,2528705675,518453,3208,301,384,391710,0 48,2,2024-09-07 09:01:00:707,374434,374434,0,0,13859676,0,3031 48,3,2024-09-07 09:01:00:759,1,296,0,0,339,2432,296,0 49,0,2024-09-07 09:01:01:727,78395,0.3,76956,0.5,149171,0.3,204437,1.75 49,1,2024-09-07 09:01:01:021,521291,521291,0,0,243017952746,2534009955,517003,3104,1184,382,391809,0 49,2,2024-09-07 09:01:01:796,375550,375550,0,0,14216198,0,3900 49,3,2024-09-07 09:01:01:422,1,296,0,0,408,3262,296,0 50,0,2024-09-07 09:01:01:511,70224,0.3,69549,0.5,140093,0.2,186841,1.75 50,1,2024-09-07 09:01:01:010,523096,523096,0,0,244463659221,2530407912,519388,3334,374,368,391540,0 50,2,2024-09-07 09:01:01:075,374792,374792,0,0,13644942,0,2263 50,3,2024-09-07 09:01:01:291,1,296,1,0,335,2499,296,0 51,0,2024-09-07 09:01:01:686,73058,0.3,71360,0.5,139371,0.2,190295,1.75 51,1,2024-09-07 09:01:01:698,523501,523501,0,0,244473335680,2525627575,520265,2263,973,365,391706,0 51,2,2024-09-07 09:01:01:317,374097,374097,0,0,12914564,0,3337 51,3,2024-09-07 09:01:01:039,1,296,8,0,678,2291,296,0 52,0,2024-09-07 09:01:01:418,77823,0.4,77596,0.6,155358,0.4,206112,2.00 52,1,2024-09-07 09:01:00:584,521199,521199,0,0,242181730830,2538081987,513456,6489,1254,368,391722,0 52,2,2024-09-07 09:01:01:758,371106,371068,38,0,17281421,0,6742 52,3,2024-09-07 09:01:00:679,1,296,1,0,1782,4719,296,0 53,0,2024-09-07 09:01:01:745,76123,0.7,74066,0.8,154695,0.7,203282,2.25 53,1,2024-09-07 09:01:00:775,519870,519870,0,0,242428354398,2545212590,511607,5814,2449,367,391702,0 53,2,2024-09-07 09:01:01:309,376821,376821,0,0,14615401,0,2727 53,3,2024-09-07 09:01:00:706,1,296,8,0,308,2735,296,0 54,0,2024-09-07 09:01:01:615,69993,0.6,70470,0.8,139975,0.4,187413,2.50 54,1,2024-09-07 09:01:00:595,521650,521650,0,0,243389909977,2531216394,516355,4695,600,367,391659,0 54,2,2024-09-07 09:01:00:869,374042,374036,6,0,16671099,0,5382 54,3,2024-09-07 09:01:00:766,1,296,1,0,676,4740,296,0 55,0,2024-09-07 09:01:01:761,68160,0.5,70720,0.7,142135,0.5,185785,2.50 55,1,2024-09-07 09:01:00:775,521035,521035,0,0,242779768818,2527857062,514797,5330,908,365,391731,0 55,2,2024-09-07 09:01:00:729,372801,372801,0,0,16338471,0,3563 55,3,2024-09-07 09:01:00:679,1,296,8,0,304,3157,296,0 56,0,2024-09-07 09:01:01:616,77856,1.0,73381,1.0,151201,1.4,202932,2.50 56,1,2024-09-07 09:01:00:582,519145,519145,0,0,241896339062,2557651023,511073,6524,1548,381,391867,0 56,2,2024-09-07 09:01:01:309,373835,373835,0,0,17770925,0,3567 56,3,2024-09-07 09:01:01:061,1,296,1,0,705,4025,296,0 57,0,2024-09-07 09:01:00:943,76520,1.7,76364,1.3,152989,2.4,204744,3.25 57,1,2024-09-07 09:01:00:987,520907,520907,0,0,242136784478,2537701724,514837,5365,705,366,392032,0 57,2,2024-09-07 09:01:01:337,376313,376313,0,0,18943965,0,3317 57,3,2024-09-07 09:01:01:738,1,296,7,0,359,3654,296,0 58,0,2024-09-07 09:01:00:555,71681,1.0,69789,1.0,146250,1.2,191364,2.50 58,1,2024-09-07 09:01:00:580,521521,521518,0,3,242673156562,2537854905,514532,6097,889,367,391603,3 58,2,2024-09-07 09:01:01:077,373373,373373,0,0,17202130,0,2549 58,3,2024-09-07 09:01:01:068,1,296,1,0,1043,3211,296,0 59,0,2024-09-07 09:01:01:742,70924,0.7,70590,0.9,140983,0.7,186942,2.75 59,1,2024-09-07 09:01:00:804,520818,520818,0,0,243039394478,2544386844,514020,5515,1283,369,391578,0 59,2,2024-09-07 09:01:00:591,374939,374939,0,0,16110896,0,2604 59,3,2024-09-07 09:01:01:737,1,296,8,0,1015,4048,296,0 60,0,2024-09-07 09:01:01:713,73499,0.5,73447,0.6,147879,0.4,195917,1.75 60,1,2024-09-07 09:01:00:784,522927,522927,0,0,243342131192,2524057479,520042,2359,526,370,392031,0 60,2,2024-09-07 09:01:01:176,374836,374836,0,0,17028926,0,3811 60,3,2024-09-07 09:01:01:258,1,296,3,0,175,3131,296,0 61,0,2024-09-07 09:01:01:553,78098,0.7,78531,0.8,156144,0.7,208103,2.00 61,1,2024-09-07 09:01:00:779,520811,520811,0,0,242014940274,2536524766,514929,4968,914,382,391880,0 61,2,2024-09-07 09:01:01:126,375346,375346,0,0,15592599,0,3598 61,3,2024-09-07 09:01:01:697,1,296,1,0,479,4542,296,0 62,0,2024-09-07 09:01:01:723,76301,0.6,77936,0.7,148473,0.6,202149,2.00 62,1,2024-09-07 09:01:01:111,524046,524040,0,6,244570224158,2524289224,521189,2690,161,365,391715,6 62,2,2024-09-07 09:01:01:644,372810,372809,1,0,16557305,0,5555 62,3,2024-09-07 09:01:01:143,1,296,1,0,465,2465,296,0 63,0,2024-09-07 09:01:01:458,71078,0.4,71261,0.6,142459,0.4,189733,1.75 63,1,2024-09-07 09:01:00:807,522426,522420,0,6,243181837600,2524193223,519465,2721,234,381,391677,6 63,2,2024-09-07 09:01:00:774,374368,374368,0,0,14796446,0,4369 63,3,2024-09-07 09:01:01:737,1,296,8,0,667,3280,296,0 64,0,2024-09-07 09:01:01:530,71141,0.5,71142,0.7,142289,0.4,189075,2.00 64,1,2024-09-07 09:01:00:756,521384,521384,0,0,242958276133,2534307425,516312,3564,1508,370,391783,0 64,2,2024-09-07 09:01:01:147,378144,378125,19,0,14876055,0,6121 64,3,2024-09-07 09:01:01:144,1,296,21,0,265,2902,296,0 65,0,2024-09-07 09:01:01:706,77343,0.7,77754,0.8,154751,0.7,206610,2.25 65,1,2024-09-07 09:01:00:864,520423,520423,0,0,242133925644,2533130802,516517,3458,448,382,391901,0 65,2,2024-09-07 09:01:01:703,374724,374724,0,0,16394823,0,3367 65,3,2024-09-07 09:01:01:697,1,296,1,0,163,2761,296,0 66,0,2024-09-07 09:01:01:767,76700,0.5,76522,0.7,153368,0.5,203829,2.00 66,1,2024-09-07 09:01:01:319,521871,521871,0,0,243396481255,2531405147,518559,2993,319,380,391653,0 66,2,2024-09-07 09:01:01:138,377003,377003,0,0,15024317,0,4956 66,3,2024-09-07 09:01:01:079,1,296,0,0,291,2982,296,0 67,0,2024-09-07 09:01:01:415,70453,0.6,69647,0.7,140233,0.5,186619,2.00 67,1,2024-09-07 09:01:00:768,522105,522104,0,1,242576372480,2529517757,518013,3342,749,380,391787,1 67,2,2024-09-07 09:01:00:585,375313,375313,0,0,14224644,0,2889 67,3,2024-09-07 09:01:01:750,1,296,8,0,338,2639,296,0 68,0,2024-09-07 09:01:00:586,70777,0.5,70523,0.7,140661,0.5,187900,2.00 68,1,2024-09-07 09:01:00:580,520824,520824,0,0,241812784604,2535320994,516172,3452,1200,381,391953,0 68,2,2024-09-07 09:01:01:050,372449,372384,65,0,18813968,0,6698 68,3,2024-09-07 09:01:00:735,1,296,2,0,417,3259,296,0 69,0,2024-09-07 09:01:01:738,76796,0.7,77200,0.8,153600,0.7,204558,2.25 69,1,2024-09-07 09:01:01:018,519669,519669,0,0,241852814150,2551117045,513210,4872,1587,384,391994,0 69,2,2024-09-07 09:01:01:734,373472,373472,0,0,18427606,0,3722 69,3,2024-09-07 09:01:00:760,1,296,8,0,698,4227,296,0 70,0,2024-09-07 09:01:01:530,76293,1.0,76355,1.1,153342,0.8,203125,2.50 70,1,2024-09-07 09:01:00:805,522786,522786,0,0,243195406012,2525472328,518493,3757,536,366,391725,0 70,2,2024-09-07 09:01:01:327,375627,375627,0,0,16949744,0,4044 70,3,2024-09-07 09:01:00:745,1,296,2,0,854,3449,296,0 71,0,2024-09-07 09:01:01:383,71084,1.0,70937,1.1,142405,1.2,190059,2.75 71,1,2024-09-07 09:01:01:616,520627,520627,0,0,243193735651,2537770871,514797,5175,655,368,391738,0 71,2,2024-09-07 09:01:01:074,373935,373935,0,0,16671312,0,4042 71,3,2024-09-07 09:01:01:750,1,296,8,0,644,3997,296,0 72,0,2024-09-07 09:01:01:027,73151,0.6,71492,0.8,139466,0.7,189603,2.00 72,1,2024-09-07 09:01:01:033,520506,520506,0,0,241908002136,2533924755,513293,5667,1546,369,391819,0 72,2,2024-09-07 09:01:01:765,372519,372519,0,0,19034841,0,3983 72,3,2024-09-07 09:01:01:783,1,296,11,0,564,4861,296,0 73,0,2024-09-07 09:01:01:160,73318,0.4,74926,0.6,153592,0.3,199909,2.00 73,1,2024-09-07 09:01:00:779,521618,521618,0,0,242466876959,2521141899,517913,3414,291,367,391750,0 73,2,2024-09-07 09:01:01:741,374895,374895,0,0,18463481,0,3701 73,3,2024-09-07 09:01:00:978,1,296,1,0,274,4208,296,0 74,0,2024-09-07 09:01:01:320,78787,0.5,80645,0.7,154064,0.5,208515,2.25 74,1,2024-09-07 09:01:00:646,521352,521352,0,0,242256294692,2529981293,516163,4221,968,381,391681,0 74,2,2024-09-07 09:01:01:001,375241,375241,0,0,17832631,0,4253 74,3,2024-09-07 09:01:01:456,1,296,1,0,522,3802,296,0 75,0,2024-09-07 09:01:01:768,74363,0.5,74082,0.7,148312,0.4,198108,2.25 75,1,2024-09-07 09:01:01:585,520501,520501,0,0,242522682811,2536306725,514692,5004,805,380,391739,0 75,2,2024-09-07 09:01:01:350,372968,372968,0,0,17365623,0,4766 75,3,2024-09-07 09:01:01:074,1,296,8,0,702,4212,296,0 76,0,2024-09-07 09:01:00:608,71125,0.6,70555,0.8,141760,0.6,189564,2.25 76,1,2024-09-07 09:01:00:807,521346,521346,0,0,242552714047,2530942972,517822,2971,553,382,391692,0 76,2,2024-09-07 09:01:01:062,374569,374568,1,0,16297916,0,5144 76,3,2024-09-07 09:01:01:144,1,296,1,0,175,3023,296,0 77,0,2024-09-07 09:01:01:705,72664,0.6,72865,0.8,145602,0.6,194063,2.00 77,1,2024-09-07 09:01:00:830,521715,521715,0,0,242802472904,2537230699,517511,3940,264,381,391869,0 77,2,2024-09-07 09:01:01:283,375275,375275,0,0,16283743,0,3890 77,3,2024-09-07 09:01:01:098,1,296,0,0,401,3542,296,0 78,0,2024-09-07 09:01:01:725,78483,0.5,78042,0.7,156793,0.4,207796,2.00 78,1,2024-09-07 09:01:00:611,521285,521285,0,0,242767802895,2528214190,516239,4052,994,367,391670,0 78,2,2024-09-07 09:01:01:405,374971,374971,0,0,14304879,0,3855 78,3,2024-09-07 09:01:01:136,1,296,18,0,181,2882,296,0 79,0,2024-09-07 09:01:01:366,74010,0.4,75929,0.6,155201,0.4,201898,2.25 79,1,2024-09-07 09:01:00:582,523360,523360,0,0,244076950365,2527231558,519640,3275,445,369,391682,0 79,2,2024-09-07 09:01:01:070,375409,375409,0,0,14498640,0,3212 79,3,2024-09-07 09:01:00:756,1,296,13,0,418,4113,296,0 80,0,2024-09-07 09:01:01:115,70146,0.6,72097,0.7,138182,0.5,186574,2.00 80,1,2024-09-07 09:01:01:628,521406,521406,0,0,242724512628,2526887949,518101,3128,177,368,391791,0 80,2,2024-09-07 09:01:01:106,375616,375616,0,0,14352239,0,4433 80,3,2024-09-07 09:01:00:590,1,296,1,0,190,3763,296,0 81,0,2024-09-07 09:01:01:540,70923,0.5,72799,0.7,138954,0.5,188718,2.00 81,1,2024-09-07 09:01:01:651,520406,520406,0,0,242135367179,2532817998,515934,3994,478,382,391879,0 81,2,2024-09-07 09:01:01:125,374021,374021,0,0,15442096,0,3993 81,3,2024-09-07 09:01:01:117,1,296,1,0,374,3568,296,0 82,0,2024-09-07 09:01:01:544,77033,0.4,77571,0.6,155286,0.3,206164,1.75 82,1,2024-09-07 09:01:00:582,522144,522140,0,4,243178406334,2534271167,518331,3014,795,381,391768,4 82,2,2024-09-07 09:01:01:698,375019,375019,0,0,14261907,0,3986 82,3,2024-09-07 09:01:01:754,1,296,3,0,363,3094,296,0 83,0,2024-09-07 09:01:01:522,76832,0.5,76712,0.7,152532,0.5,203447,2.00 83,1,2024-09-07 09:01:00:552,520852,520852,0,0,242317492736,2528953680,516804,3728,320,382,391709,0 83,2,2024-09-07 09:01:00:767,375919,375919,0,0,14628484,0,3393 83,3,2024-09-07 09:01:00:756,1,296,8,0,250,3244,296,0 84,0,2024-09-07 09:01:01:786,70618,0.7,70523,0.9,141111,0.6,188851,2.25 84,1,2024-09-07 09:01:01:064,520914,520914,0,0,242472023129,2532540344,515959,4369,586,367,391967,0 84,2,2024-09-07 09:01:00:579,373908,373908,0,0,17384341,0,4757 84,3,2024-09-07 09:01:01:142,1,296,2,0,908,4782,296,0 85,0,2024-09-07 09:01:01:031,68197,0.6,68264,0.8,144625,0.6,187362,2.25 85,1,2024-09-07 09:01:00:573,520186,520186,0,0,242277618747,2556610741,512738,6327,1121,381,392006,0 85,2,2024-09-07 09:01:00:888,374388,374388,0,0,17221347,0,3656 85,3,2024-09-07 09:01:00:706,1,296,6,0,789,3935,296,0 86,0,2024-09-07 09:01:00:905,76164,0.6,78230,0.7,149772,0.6,202593,2.00 86,1,2024-09-07 09:01:00:834,521465,521465,0,0,242796160821,2538648059,515778,4854,833,366,391961,0 86,2,2024-09-07 09:01:00:863,373100,373099,1,0,18077097,0,5004 86,3,2024-09-07 09:01:00:590,1,296,2,0,286,4040,296,0 87,0,2024-09-07 09:01:01:301,77213,1.0,77193,0.9,154943,1.4,206555,2.25 87,1,2024-09-07 09:01:00:560,520509,520509,0,0,241982694792,2530487152,515364,4642,503,366,392076,0 87,2,2024-09-07 09:01:01:080,375337,375337,0,0,16499108,0,4045 87,3,2024-09-07 09:01:01:794,1,296,13,0,473,4268,296,0 88,0,2024-09-07 09:01:01:455,72986,0.5,73485,0.6,146417,0.4,194478,2.00 88,1,2024-09-07 09:01:00:594,519316,519316,0,0,242486370782,2545489512,511956,5708,1652,365,392084,0 88,2,2024-09-07 09:01:00:707,373565,373565,0,0,18267636,0,3583 88,3,2024-09-07 09:01:01:267,1,296,38,0,435,3494,296,0 89,0,2024-09-07 09:01:01:812,73355,0.5,70935,0.7,140814,0.4,190407,1.75 89,1,2024-09-07 09:01:00:558,519594,519594,0,0,241779012280,2547746978,512264,6064,1266,382,391866,0 89,2,2024-09-07 09:01:01:154,372464,372464,0,0,17718794,0,2910 89,3,2024-09-07 09:01:01:802,1,296,3,0,385,5762,296,0 90,0,2024-09-07 09:01:01:620,71510,0.4,73139,0.6,149641,0.4,195293,1.75 90,1,2024-09-07 09:01:00:609,520843,520843,0,0,241855305789,2534794917,515900,4618,325,380,391825,0 90,2,2024-09-07 09:01:01:414,373518,373518,0,0,19189967,0,3060 90,3,2024-09-07 09:01:00:936,1,296,1,0,200,3082,296,0 91,0,2024-09-07 09:01:00:978,78468,0.5,76291,0.7,158978,0.5,208749,1.75 91,1,2024-09-07 09:01:00:560,519798,519798,0,0,241990867849,2547125677,512780,6126,892,381,392047,0 91,2,2024-09-07 09:01:01:330,374718,374718,0,0,17288839,0,2896 91,3,2024-09-07 09:01:00:602,1,296,12,0,216,2711,296,0 92,0,2024-09-07 09:01:01:476,76389,0.5,78357,0.6,149633,0.5,202557,1.75 92,1,2024-09-07 09:01:00:582,521179,521179,0,0,242759548961,2534395931,517714,2985,480,382,392136,0 92,2,2024-09-07 09:01:01:352,375405,375405,0,0,14624015,0,2801 92,3,2024-09-07 09:01:01:023,1,296,12,0,167,2518,296,0 93,0,2024-09-07 09:01:00:985,71538,0.4,73345,0.6,140059,0.3,190160,1.75 93,1,2024-09-07 09:01:00:818,521379,521379,0,0,242262568511,2529822993,516310,4274,795,366,391776,0 93,2,2024-09-07 09:01:00:934,373940,373940,0,0,17029413,0,4311 93,3,2024-09-07 09:01:01:410,1,296,0,0,190,2967,296,0 94,0,2024-09-07 09:01:01:623,71165,0.4,71974,0.5,143499,0.3,190238,1.75 94,1,2024-09-07 09:01:00:568,521108,521108,0,0,243126784252,2540475011,517241,3682,185,381,391850,0 94,2,2024-09-07 09:01:00:767,374683,374683,0,0,15011220,0,2443 94,3,2024-09-07 09:01:01:690,1,296,1,0,264,3775,296,0 95,0,2024-09-07 09:01:01:353,77916,0.4,77974,0.5,156351,0.3,208470,1.75 95,1,2024-09-07 09:01:00:855,521784,521784,0,0,243737353189,2535823369,517490,3915,379,367,391713,0 95,2,2024-09-07 09:01:01:024,373878,373878,0,0,15368932,0,3308 95,3,2024-09-07 09:01:01:710,1,296,8,0,718,4920,296,0 96,0,2024-09-07 09:01:01:058,77209,0.4,77310,0.5,154294,0.3,204588,1.75 96,1,2024-09-07 09:01:01:609,520919,520919,0,0,243135265592,2539904714,516694,3442,783,384,391955,0 96,2,2024-09-07 09:01:01:274,375270,375270,0,0,15504587,0,4042 96,3,2024-09-07 09:01:01:143,1,296,2,0,411,3270,296,0 97,0,2024-09-07 09:01:01:409,70278,0.3,69853,0.5,140492,0.3,186369,1.75 97,1,2024-09-07 09:01:00:781,523038,523038,0,0,243279400047,2524686581,519291,3082,665,367,392140,0 97,2,2024-09-07 09:01:00:609,374905,374905,0,0,14997165,0,3036 97,3,2024-09-07 09:01:00:577,1,296,10,0,214,3665,296,0 98,0,2024-09-07 09:01:01:702,70596,0.3,70561,0.4,141690,0.2,188100,1.50 98,1,2024-09-07 09:01:00:585,522345,522345,0,0,242922420500,2527918434,519988,2256,101,382,391997,0 98,2,2024-09-07 09:01:00:777,374195,374195,0,0,14952978,0,3080 98,3,2024-09-07 09:01:00:707,1,296,4,0,840,5094,296,0 99,0,2024-09-07 09:01:01:463,77124,0.3,77543,0.4,154352,0.3,206255,1.75 99,1,2024-09-07 09:01:01:726,521645,521645,0,0,243038139548,2533097674,517617,3280,748,381,392069,0 99,2,2024-09-07 09:01:01:428,375658,375658,0,0,16405357,0,3424 99,3,2024-09-07 09:01:00:603,1,296,34,0,187,2499,296,0 100,0,2024-09-07 09:01:01:516,76684,0.8,76630,1.0,153379,1.0,204932,2.25 100,1,2024-09-07 09:01:00:565,518228,518228,0,0,241834414040,2557565050,510290,6447,1491,381,391989,0 100,2,2024-09-07 09:01:01:817,375105,375094,11,0,17430844,0,5417 100,3,2024-09-07 09:01:01:745,1,296,6,0,559,5239,296,0 101,0,2024-09-07 09:01:01:707,73153,1.3,71227,1.1,139798,1.1,191657,2.50 101,1,2024-09-07 09:01:00:550,519284,519284,0,0,241715199584,2547749005,510785,6519,1980,368,391769,0 101,2,2024-09-07 09:01:01:764,371967,371967,0,0,19313935,0,4644 101,3,2024-09-07 09:01:00:943,1,296,10,0,448,3562,296,0 102,0,2024-09-07 09:01:00:962,69078,0.6,71494,0.8,144079,0.6,188639,2.00 102,1,2024-09-07 09:01:01:142,519792,519792,0,0,242138051416,2543416073,513231,5655,906,369,391883,0 102,2,2024-09-07 09:01:01:737,374365,374311,54,0,16867012,0,6768 102,3,2024-09-07 09:01:01:622,1,296,0,0,410,3104,296,0 103,0,2024-09-07 09:01:01:613,77341,0.5,77437,0.6,145990,0.4,201567,1.75 103,1,2024-09-07 09:01:01:627,519056,519056,0,0,241779032234,2552274631,510754,6384,1918,381,391829,0 103,2,2024-09-07 09:01:00:607,373623,373623,0,0,17159980,0,3173 103,3,2024-09-07 09:01:00:758,1,296,4,0,916,3886,296,0 104,0,2024-09-07 09:01:01:018,77406,0.8,77832,1.0,154340,0.8,207749,2.25 104,1,2024-09-07 09:01:01:607,520466,520466,0,0,241577673688,2545241065,511951,6856,1659,365,392168,0 104,2,2024-09-07 09:01:01:674,373844,373844,0,0,18713611,0,3941 104,3,2024-09-07 09:01:01:425,1,296,6,0,1245,6784,296,0 105,0,2024-09-07 09:01:01:073,73449,1.0,71537,1.1,149465,1.2,196567,3.25 105,1,2024-09-07 09:01:00:569,520612,520612,0,0,242605687247,2549104771,512913,6399,1300,366,391797,0 105,2,2024-09-07 09:01:01:328,373127,373127,0,0,17663121,0,3509 105,3,2024-09-07 09:01:01:317,1,296,2,0,399,4641,296,0 106,0,2024-09-07 09:01:01:002,68949,0.8,70636,1.0,144618,0.9,189096,2.50 106,1,2024-09-07 09:01:01:750,519801,519801,0,0,240669935744,2533293137,511251,7546,1004,369,391865,0 106,2,2024-09-07 09:01:00:756,371881,371881,0,0,17079454,0,2795 106,3,2024-09-07 09:01:00:679,1,296,7,0,470,4093,296,0 107,0,2024-09-07 09:01:01:122,72603,0.7,72471,0.9,145034,0.8,193856,2.00 107,1,2024-09-07 09:01:00:598,519037,519037,0,0,241390191629,2544694615,512047,6272,718,381,392234,0 107,2,2024-09-07 09:01:01:318,373569,373568,1,0,18199351,0,5024 107,3,2024-09-07 09:01:01:754,1,296,8,0,353,3984,296,0 108,0,2024-09-07 09:01:01:784,78152,0.4,78439,0.6,156138,0.4,208463,1.75 108,1,2024-09-07 09:01:01:336,520788,520788,0,0,242874651362,2535661967,516202,4075,511,368,391857,0 108,2,2024-09-07 09:01:01:766,373016,373016,0,0,17038302,0,4246 108,3,2024-09-07 09:01:01:336,1,296,16,0,749,6127,296,0 109,0,2024-09-07 09:01:01:759,76905,0.4,76114,0.6,152528,0.3,204151,1.75 109,1,2024-09-07 09:01:00:610,519284,519284,0,0,242474837492,2544845442,514694,3941,649,383,392132,0 109,2,2024-09-07 09:01:00:924,372545,372545,0,0,16684856,0,3617 109,3,2024-09-07 09:01:01:142,1,296,1,0,249,3258,296,0 110,0,2024-09-07 09:01:01:757,70294,0.4,68336,0.6,142997,0.3,187387,1.75 110,1,2024-09-07 09:01:01:649,522621,522621,0,0,242522716297,2518814182,519128,2584,909,369,392045,0 110,2,2024-09-07 09:01:01:323,374566,374566,0,0,16595776,0,4067 110,3,2024-09-07 09:01:00:710,1,296,35,0,406,3736,296,0 111,0,2024-09-07 09:01:01:431,71526,0.4,70829,0.5,141871,0.3,190706,1.75 111,1,2024-09-07 09:01:00:999,522454,522454,0,0,243793005154,2530837685,519779,2332,343,382,391690,0 111,2,2024-09-07 09:01:01:116,373848,373848,0,0,15479519,0,2763 111,3,2024-09-07 09:01:00:915,1,296,8,0,379,3842,296,0 112,0,2024-09-07 09:01:00:912,77949,0.3,77325,0.4,155078,0.2,206316,1.50 112,1,2024-09-07 09:01:00:823,522577,522577,0,0,242899457781,2521170959,519527,2597,453,380,391624,0 112,2,2024-09-07 09:01:01:138,374090,374089,1,0,15114488,0,5036 112,3,2024-09-07 09:01:00:592,1,296,0,0,282,3185,296,0 113,0,2024-09-07 09:01:00:877,76509,0.3,76571,0.5,153583,0.2,205018,1.50 113,1,2024-09-07 09:01:01:689,523607,523607,0,0,244527384463,2525596161,520406,2617,584,366,391661,0 113,2,2024-09-07 09:01:01:319,377643,377643,0,0,13533640,0,3813 113,3,2024-09-07 09:01:00:689,1,296,1,0,340,3868,296,0 114,0,2024-09-07 09:01:00:876,71513,0.4,71958,0.5,142936,0.2,190899,1.75 114,1,2024-09-07 09:01:00:717,521632,521632,0,0,242811540244,2527106099,516728,3315,1589,381,391556,0 114,2,2024-09-07 09:01:00:873,374313,374312,1,0,14893565,0,5069 114,3,2024-09-07 09:01:01:280,1,296,1,0,395,2870,296,0 115,0,2024-09-07 09:01:00:556,70782,0.3,71052,0.4,142248,0.2,189458,1.50 115,1,2024-09-07 09:01:00:572,521867,521867,0,0,242925827516,2526231676,517140,3887,840,382,391656,0 115,2,2024-09-07 09:01:01:125,374928,374928,0,0,13622093,0,2346 115,3,2024-09-07 09:01:01:002,1,296,13,0,159,1761,296,0 116,0,2024-09-07 09:01:01:720,75913,0.7,75749,0.8,151819,0.7,203326,2.00 116,1,2024-09-07 09:01:00:843,519242,519242,0,0,241503036880,2550948772,512372,4731,2139,380,391782,0 116,2,2024-09-07 09:01:01:762,373149,373149,0,0,18806673,0,3529 116,3,2024-09-07 09:01:00:931,1,296,10,0,415,3935,296,0 117,0,2024-09-07 09:01:00:990,77803,0.9,77419,0.9,154816,1.1,206895,2.00 117,1,2024-09-07 09:01:01:609,520208,520208,0,0,242161598168,2535450249,514714,4943,551,370,392033,0 117,2,2024-09-07 09:01:01:143,378314,378314,0,0,15383411,0,3700 117,3,2024-09-07 09:01:01:060,1,296,50,0,490,4519,296,0 118,0,2024-09-07 09:01:01:768,70856,0.6,72922,0.7,148339,0.5,193681,2.00 118,1,2024-09-07 09:01:00:605,519978,519978,0,0,241279623745,2538909278,512246,5895,1837,366,391736,0 118,2,2024-09-07 09:01:01:599,372780,372780,0,0,16524783,0,2781 118,3,2024-09-07 09:01:01:764,1,296,4,0,235,3326,296,0 119,0,2024-09-07 09:01:01:346,70851,0.8,71283,0.8,142638,0.8,189252,2.25 119,1,2024-09-07 09:01:00:553,521326,521326,0,0,242824990303,2538611333,515695,4964,667,367,391780,0 119,2,2024-09-07 09:01:01:266,374181,374181,0,0,15777484,0,4174 119,3,2024-09-07 09:01:01:336,1,296,5,0,443,4024,296,0 120,0,2024-09-07 09:01:01:611,73113,0.6,72944,0.8,146124,0.5,195846,2.25 120,1,2024-09-07 09:01:00:902,520506,520506,0,0,242061251742,2542082687,514742,5342,422,368,391961,0 120,2,2024-09-07 09:01:00:784,374237,374236,1,0,19188358,0,5281 120,3,2024-09-07 09:01:01:313,1,296,6,0,241,3859,296,0 121,0,2024-09-07 09:01:01:708,78056,1.2,78079,1.1,156696,1.6,208172,2.25 121,1,2024-09-07 09:01:01:665,520786,520786,0,0,242371212101,2537608901,515404,4951,431,367,391840,0 121,2,2024-09-07 09:01:01:205,373557,373557,0,0,18642396,0,4127 121,3,2024-09-07 09:01:00:743,1,296,1,0,269,3604,296,0 122,0,2024-09-07 09:01:01:767,75599,0.8,73637,0.9,154318,0.9,202560,2.00 122,1,2024-09-07 09:01:00:859,519855,519855,0,0,242187922349,2544299764,512269,6453,1133,366,392130,0 122,2,2024-09-07 09:01:01:327,372984,372911,73,0,20565140,0,5989 122,3,2024-09-07 09:01:00:601,1,296,4,0,411,5821,296,0 123,0,2024-09-07 09:01:00:984,70845,0.8,69299,0.8,144448,1.0,189454,2.25 123,1,2024-09-07 09:01:00:561,519972,519972,0,0,241982878168,2553670639,510029,8002,1941,369,392039,0 123,2,2024-09-07 09:01:01:019,371853,371852,1,0,17289810,0,5215 123,3,2024-09-07 09:01:01:151,1,296,12,0,168,3519,296,0 124,0,2024-09-07 09:01:00:949,73778,0.4,73771,0.5,139035,0.3,190966,1.75 124,1,2024-09-07 09:01:01:039,522005,522005,0,0,242723503375,2529050649,517616,3485,904,367,392178,0 124,2,2024-09-07 09:01:01:020,375057,375057,0,0,14932695,0,3101 124,3,2024-09-07 09:01:00:770,1,296,52,0,490,3187,296,0 125,0,2024-09-07 09:01:01:445,78285,0.4,78002,0.6,156746,0.4,208914,1.75 125,1,2024-09-07 09:01:00:869,520106,520106,0,0,243143915114,2539714610,516110,3546,450,383,391702,0 125,2,2024-09-07 09:01:01:164,374408,374408,0,0,16201792,0,4534 125,3,2024-09-07 09:01:01:129,1,296,3,0,709,4274,296,0 126,0,2024-09-07 09:01:01:440,77087,0.5,79242,0.6,151409,0.4,205435,1.75 126,1,2024-09-07 09:01:00:554,522498,522498,0,0,243219750929,2521214062,519454,2773,271,365,391987,0 126,2,2024-09-07 09:01:00:611,376628,376628,0,0,15890113,0,3186 126,3,2024-09-07 09:01:00:922,1,296,0,0,207,3976,296,0 127,0,2024-09-07 09:01:01:629,69948,0.3,70292,0.5,140225,0.3,186399,1.75 127,1,2024-09-07 09:01:00:579,521889,521889,0,0,242570963646,2518561740,517659,3716,514,365,391816,0 127,2,2024-09-07 09:01:00:637,374700,374700,0,0,14410033,0,2264 127,3,2024-09-07 09:01:01:277,1,296,8,0,968,3692,296,0 128,0,2024-09-07 09:01:01:617,70900,0.3,70959,0.4,141783,0.2,188452,1.50 128,1,2024-09-07 09:01:01:607,521471,521471,0,0,243003210558,2526735723,517546,3558,367,367,391798,0 128,2,2024-09-07 09:01:01:392,375015,375015,0,0,14240843,0,2434 128,3,2024-09-07 09:01:00:776,1,296,15,0,1082,5759,296,0 129,0,2024-09-07 09:01:01:015,77625,0.3,77283,0.5,154693,0.3,206008,1.75 129,1,2024-09-07 09:01:00:568,518510,518510,0,0,241546308352,2533958864,513222,4076,1212,379,391835,0 129,2,2024-09-07 09:01:00:696,374554,374554,0,0,15217073,0,4031 129,3,2024-09-07 09:01:00:700,1,296,2,0,469,3921,296,0 130,0,2024-09-07 09:01:01:722,77598,0.5,77172,0.6,154962,0.6,206146,1.75 130,1,2024-09-07 09:01:00:600,521568,521568,0,0,242782930434,2526439204,518424,2907,237,381,391825,0 130,2,2024-09-07 09:01:01:125,377750,377750,0,0,15084935,0,4067 130,3,2024-09-07 09:01:01:295,1,296,1,0,450,3802,296,0 131,0,2024-09-07 09:01:01:962,71557,0.4,72029,0.5,144665,0.3,191392,1.75 131,1,2024-09-07 09:01:01:822,521806,521806,0,0,243012815120,2538151087,517662,3403,741,381,391865,0 131,2,2024-09-07 09:01:00:573,375958,375958,0,0,13772473,0,2415 131,3,2024-09-07 09:01:01:701,1,296,9,0,392,3089,296,0 132,0,2024-09-07 09:01:01:424,70682,0.5,71541,0.6,142554,0.4,189183,2.00 132,1,2024-09-07 09:01:00:595,519049,519049,0,0,241930848034,2552062250,510868,6719,1462,381,392097,0 132,2,2024-09-07 09:01:00:716,373364,373364,0,0,17683073,0,4606 132,3,2024-09-07 09:01:01:699,1,296,38,0,356,4080,296,0 133,0,2024-09-07 09:01:01:575,73162,0.4,74863,0.6,153529,0.3,200161,1.75 133,1,2024-09-07 09:01:00:593,519429,519429,0,0,241653539456,2548381247,512051,6331,1047,383,391914,0 133,2,2024-09-07 09:01:01:090,373790,373790,0,0,19091788,0,4315 133,3,2024-09-07 09:01:01:300,1,296,13,0,276,2813,296,0 134,0,2024-09-07 09:01:00:940,78433,0.5,78395,0.7,156664,0.5,208810,2.00 134,1,2024-09-07 09:01:00:588,519974,519974,0,0,241291019943,2534141868,512930,5567,1477,366,391718,0 134,2,2024-09-07 09:01:01:761,374969,374969,0,0,16185226,0,3847 134,3,2024-09-07 09:01:00:756,1,296,1,0,739,4176,296,0 135,0,2024-09-07 09:01:01:097,71774,0.7,71771,0.9,152244,0.8,196331,2.00 135,1,2024-09-07 09:01:01:588,519613,519613,0,0,242786340185,2556585282,512154,6268,1191,380,391805,0 135,2,2024-09-07 09:01:00:704,373935,373935,0,0,17319275,0,3981 135,3,2024-09-07 09:01:01:009,1,296,7,0,299,2271,296,0 136,0,2024-09-07 09:01:01:641,71879,0.6,71844,0.7,143225,0.5,191057,2.25 136,1,2024-09-07 09:01:01:458,519936,519936,0,0,241495887571,2540975699,513289,5963,684,382,391685,0 136,2,2024-09-07 09:01:01:142,373791,373791,0,0,17304970,0,3506 136,3,2024-09-07 09:01:01:115,1,296,0,0,301,2924,296,0 137,0,2024-09-07 09:01:00:937,74853,0.7,72770,0.8,143113,0.8,195053,2.00 137,1,2024-09-07 09:01:00:596,519568,519568,0,0,242442426239,2548500223,510796,6970,1802,366,391708,0 137,2,2024-09-07 09:01:01:710,374248,374248,0,0,19429281,0,3185 137,3,2024-09-07 09:01:00:774,1,296,2,0,382,3670,296,0 138,0,2024-09-07 09:01:01:752,77528,1.5,77760,1.1,156041,2.1,207354,2.50 138,1,2024-09-07 09:01:01:688,519432,519432,0,0,242964929985,2555483493,510811,7043,1578,368,391954,0 138,2,2024-09-07 09:01:00:607,373788,373788,0,0,17754908,0,4988 138,3,2024-09-07 09:01:00:617,1,296,1,0,1160,4217,296,0 139,0,2024-09-07 09:01:01:359,75337,1.4,75741,1.1,151606,2.1,202356,2.50 139,1,2024-09-07 09:01:00:591,517784,517784,0,0,240476452338,2558085982,507628,7637,2519,381,391892,0 139,2,2024-09-07 09:01:00:705,372025,372025,0,0,18408325,0,3097 139,3,2024-09-07 09:01:01:666,1,296,24,0,257,3317,296,0 140,0,2024-09-07 09:01:01:595,70505,0.3,70086,0.5,140875,0.2,187575,1.75 140,1,2024-09-07 09:01:01:544,522955,522955,0,0,243421416679,2513309115,519953,2564,438,365,391606,0 140,2,2024-09-07 09:01:00:699,375112,375112,0,0,14632353,0,3388 140,3,2024-09-07 09:01:00:767,1,296,114,0,247,2539,296,0 141,0,2024-09-07 09:01:01:704,71190,0.3,73193,0.5,139913,0.3,190190,1.75 141,1,2024-09-07 09:01:00:862,522689,522689,0,0,243293994283,2527759502,519118,3112,459,379,391614,0 141,2,2024-09-07 09:01:01:690,374490,374490,0,0,14975983,0,3360 141,3,2024-09-07 09:01:01:043,1,296,32,0,391,3065,296,0 142,0,2024-09-07 09:01:01:331,78189,0.3,77443,0.5,154492,0.3,206575,1.50 142,1,2024-09-07 09:01:00:589,521472,521472,0,0,242386630923,2530679581,517745,3378,349,382,392102,0 142,2,2024-09-07 09:01:01:310,373537,373505,32,0,16536538,0,6028 142,3,2024-09-07 09:01:01:747,1,296,17,0,484,3935,296,0 143,0,2024-09-07 09:01:01:372,76828,0.5,76813,0.6,153905,0.5,204988,1.75 143,1,2024-09-07 09:01:00:558,522396,522396,0,0,243030926857,2523540402,518845,3407,144,367,391651,0 143,2,2024-09-07 09:01:00:775,376215,376215,0,0,15299370,0,2750 143,3,2024-09-07 09:01:01:140,1,296,9,0,303,3693,296,0 144,0,2024-09-07 09:01:01:497,68857,0.6,70781,0.8,144259,0.5,189064,2.00 144,1,2024-09-07 09:01:00:571,519430,519430,0,0,242148829150,2543088861,514629,3864,937,381,391649,0 144,2,2024-09-07 09:01:01:760,374906,374906,0,0,14512324,0,3473 144,3,2024-09-07 09:01:01:739,1,296,42,0,249,3280,296,0 145,0,2024-09-07 09:01:01:356,68357,0.5,68322,0.8,144991,0.5,187287,2.25 145,1,2024-09-07 09:01:00:560,519232,519232,0,0,241791850774,2541650173,513182,5219,831,382,391698,0 145,2,2024-09-07 09:01:01:443,372702,372702,0,0,17217032,0,3903 145,3,2024-09-07 09:01:00:897,1,296,7,0,622,4609,296,0 146,0,2024-09-07 09:01:01:595,76185,0.5,75510,0.7,152272,0.4,202539,2.00 146,1,2024-09-07 09:01:01:605,520250,520250,0,0,242056728433,2548481557,511413,6941,1896,368,391770,0 146,2,2024-09-07 09:01:01:701,373492,373492,0,0,17436911,0,2730 146,3,2024-09-07 09:01:01:281,1,296,9,0,1520,6612,296,0 147,0,2024-09-07 09:01:01:815,77690,0.6,77396,0.8,154036,0.6,206394,2.00 147,1,2024-09-07 09:01:01:373,521981,521981,0,0,243564332868,2536837292,516911,4278,792,368,391791,0 147,2,2024-09-07 09:01:01:010,376891,376891,0,0,14958228,0,2789 147,3,2024-09-07 09:01:00:913,1,296,21,0,730,4487,296,0 0,0,2024-09-07 09:01:11:942,71657,0.6,71658,0.7,152152,0.6,197014,2.00 0,1,2024-09-07 09:01:10:807,522644,522644,0,0,243951206084,2553377021,518654,3750,240,370,391896,0 0,2,2024-09-07 09:01:11:069,375947,375947,0,0,15426656,0,4480 0,3,2024-09-07 09:01:10:975,1,297,14,0,319,3824,297,0 1,0,2024-09-07 09:01:11:784,78431,0.9,77953,1.0,156674,1.1,208984,2.00 1,1,2024-09-07 09:01:10:559,521852,521852,0,0,242583622113,2543798795,516583,4064,1205,370,391857,0 1,2,2024-09-07 09:01:10:639,375216,375216,0,0,14807662,0,3267 1,3,2024-09-07 09:01:11:321,1,297,6,0,262,3385,297,0 2,0,2024-09-07 09:01:11:573,75913,0.7,76259,0.8,151178,0.8,202334,2.00 2,1,2024-09-07 09:01:10:859,523560,523560,0,0,243290922801,2529931323,520678,2589,293,380,391745,0 2,2,2024-09-07 09:01:11:272,375524,375524,0,0,14966987,0,3594 2,3,2024-09-07 09:01:10:695,1,297,1,0,357,2978,297,0 3,0,2024-09-07 09:01:11:763,71244,0.4,71350,0.6,142507,0.4,189570,2.00 3,1,2024-09-07 09:01:11:623,522185,522185,0,0,243294656210,2537779595,517164,4398,623,380,391556,0 3,2,2024-09-07 09:01:11:168,375527,375504,23,0,15001225,0,5851 3,3,2024-09-07 09:01:11:764,1,297,0,0,103,1790,297,0 4,0,2024-09-07 09:01:11:842,69705,0.4,71828,0.5,145670,0.3,191368,1.75 4,1,2024-09-07 09:01:10:603,521708,521708,0,0,242446429897,2548595203,516095,4610,1003,371,391992,0 4,2,2024-09-07 09:01:11:024,374659,374659,0,0,17663433,0,4528 4,3,2024-09-07 09:01:11:033,1,297,1,0,448,4311,297,0 5,0,2024-09-07 09:01:11:446,78515,0.5,78701,0.6,156941,0.5,209195,1.75 5,1,2024-09-07 09:01:10:755,521716,521716,0,0,242857899692,2556177328,514944,5419,1353,368,392005,0 5,2,2024-09-07 09:01:11:837,374322,374322,0,0,16558411,0,2432 5,3,2024-09-07 09:01:11:767,1,297,15,0,457,4540,297,0 6,0,2024-09-07 09:01:10:918,77593,0.5,77095,0.7,154143,0.4,206046,2.00 6,1,2024-09-07 09:01:10:757,522288,522288,0,0,243028938710,2538663649,516912,4449,927,379,391694,0 6,2,2024-09-07 09:01:11:127,375905,375905,0,0,15785632,0,4816 6,3,2024-09-07 09:01:11:283,1,297,59,0,340,3467,297,0 7,0,2024-09-07 09:01:11:532,69877,0.5,70182,0.6,139815,0.4,186051,2.00 7,1,2024-09-07 09:01:10:850,521386,521386,0,0,242973344545,2551145137,514572,5703,1111,382,391747,0 7,2,2024-09-07 09:01:10:779,375425,375425,0,0,15617938,0,4791 7,3,2024-09-07 09:01:10:866,1,297,8,0,398,3480,297,0 8,0,2024-09-07 09:01:11:377,71052,0.4,70822,0.5,141674,0.3,189466,1.75 8,1,2024-09-07 09:01:11:032,520736,520736,0,0,242833901056,2561777908,511236,7288,2212,366,392144,0 8,2,2024-09-07 09:01:10:802,371231,371231,0,0,19644956,0,3220 8,3,2024-09-07 09:01:10:598,1,297,1,0,538,5166,297,0 9,0,2024-09-07 09:01:11:123,77600,0.3,75361,0.5,157776,0.3,206559,1.75 9,1,2024-09-07 09:01:10:560,521161,521161,0,0,243654290381,2568456867,513483,6011,1667,369,392001,0 9,2,2024-09-07 09:01:11:089,374389,374389,0,0,17513878,0,3360 9,3,2024-09-07 09:01:11:758,1,297,1,0,496,4498,297,0 10,0,2024-09-07 09:01:11:609,77342,0.3,76798,0.5,154270,0.3,205748,1.75 10,1,2024-09-07 09:01:10:592,521816,521816,0,0,243945123709,2558098312,514547,6282,987,381,391741,0 10,2,2024-09-07 09:01:10:765,377186,377186,0,0,18832529,0,4264 10,3,2024-09-07 09:01:10:870,1,297,1,0,296,2756,297,0 11,0,2024-09-07 09:01:11:007,71390,0.5,69318,0.7,145007,0.4,191835,1.75 11,1,2024-09-07 09:01:10:579,521840,521840,0,0,242855500106,2555836986,512261,7165,2414,383,391664,0 11,2,2024-09-07 09:01:11:130,374995,374995,0,0,16941468,0,4130 11,3,2024-09-07 09:01:11:320,1,297,8,0,843,4630,297,0 12,0,2024-09-07 09:01:11:011,71564,0.3,71498,0.5,143004,0.3,190024,1.75 12,1,2024-09-07 09:01:10:962,522559,522559,0,0,243687149928,2544407790,517904,4171,484,370,391870,0 12,2,2024-09-07 09:01:11:553,375291,375291,0,0,16795727,0,3469 12,3,2024-09-07 09:01:11:070,1,297,2,0,386,4798,297,0 13,0,2024-09-07 09:01:11:344,75906,0.4,75770,0.5,151469,0.4,201974,1.75 13,1,2024-09-07 09:01:11:538,521687,521687,0,0,242645912678,2552387329,516831,3554,1302,382,391740,0 13,2,2024-09-07 09:01:10:595,376413,376413,0,0,15655897,0,3287 13,3,2024-09-07 09:01:11:776,1,297,1,0,522,5079,297,0 14,0,2024-09-07 09:01:10:561,78617,0.4,79200,0.6,156799,0.4,208908,1.75 14,1,2024-09-07 09:01:11:565,525038,525038,0,0,244383116841,2533184944,521127,3632,279,364,391571,0 14,2,2024-09-07 09:01:10:772,376529,376529,0,0,15545698,0,2896 14,3,2024-09-07 09:01:11:114,1,297,1,0,1168,4001,297,0 15,0,2024-09-07 09:01:11:579,74297,0.4,74420,0.7,148684,0.4,197569,2.00 15,1,2024-09-07 09:01:11:615,523279,523279,0,0,243259013752,2530275462,520363,2738,178,381,391619,0 15,2,2024-09-07 09:01:10:998,376477,376477,0,0,13110798,0,3043 15,3,2024-09-07 09:01:11:413,1,297,8,0,1126,5693,297,0 16,0,2024-09-07 09:01:11:265,71777,0.6,71961,0.8,143400,0.7,190800,2.25 16,1,2024-09-07 09:01:10:581,522979,522979,0,0,243798828811,2549052662,518725,3895,359,370,391756,0 16,2,2024-09-07 09:01:11:446,374653,374653,0,0,16103225,0,4719 16,3,2024-09-07 09:01:11:160,1,297,13,0,317,4300,297,0 17,0,2024-09-07 09:01:11:901,75150,0.7,73425,0.9,143538,0.7,195533,2.00 17,1,2024-09-07 09:01:10:599,522010,522010,0,0,242585106962,2550661346,515937,4818,1255,368,391899,0 17,2,2024-09-07 09:01:11:724,378602,378602,0,0,15923109,0,2857 17,3,2024-09-07 09:01:10:586,1,297,11,0,268,4682,297,0 18,0,2024-09-07 09:01:10:952,77313,0.7,77784,0.8,154921,0.8,206623,2.25 18,1,2024-09-07 09:01:11:647,523561,523561,0,0,244209810572,2533452282,520345,2906,310,367,391649,0 18,2,2024-09-07 09:01:11:762,376954,376954,0,0,14955140,0,3541 18,3,2024-09-07 09:01:10:901,1,297,1,0,163,2714,297,0 19,0,2024-09-07 09:01:11:539,76151,0.6,76694,0.8,152153,0.6,202710,2.25 19,1,2024-09-07 09:01:10:566,523499,523499,0,0,244327923051,2538726934,518457,4204,838,367,391777,0 19,2,2024-09-07 09:01:11:757,376995,376995,0,0,13508432,0,3988 19,3,2024-09-07 09:01:11:133,1,297,5,0,524,2273,297,0 20,0,2024-09-07 09:01:11:357,70280,0.6,70274,0.7,140761,0.6,187880,2.00 20,1,2024-09-07 09:01:10:574,522096,522096,0,0,243167227702,2542030880,518049,3644,403,369,391886,0 20,2,2024-09-07 09:01:10:946,376093,376093,0,0,15358593,0,3721 20,3,2024-09-07 09:01:10:595,1,297,8,0,414,4978,297,0 21,0,2024-09-07 09:01:11:148,71688,0.5,71772,0.7,143215,0.5,190149,2.00 21,1,2024-09-07 09:01:11:540,520797,520797,0,0,242086043576,2550574090,513910,5260,1627,368,392016,0 21,2,2024-09-07 09:01:11:070,374271,374271,0,0,18214996,0,3747 21,3,2024-09-07 09:01:11:413,1,297,2,0,103,3074,297,0 22,0,2024-09-07 09:01:11:731,77632,0.5,77770,0.7,155629,0.4,206505,2.00 22,1,2024-09-07 09:01:11:031,522126,522126,0,0,242964293968,2555992800,514353,6106,1667,382,391667,0 22,2,2024-09-07 09:01:10:778,374808,374808,0,0,15464639,0,3134 22,3,2024-09-07 09:01:11:066,1,297,1,0,228,2672,297,0 23,0,2024-09-07 09:01:11:375,76647,0.6,76540,0.7,153332,0.6,204629,2.25 23,1,2024-09-07 09:01:11:007,522422,522422,0,0,242994976200,2551257263,513997,5734,2691,365,391690,0 23,2,2024-09-07 09:01:11:093,377167,377167,0,0,15043578,0,3010 23,3,2024-09-07 09:01:11:758,1,297,13,0,645,3616,297,0 24,0,2024-09-07 09:01:10:844,71823,0.4,71602,0.6,143792,0.4,190650,1.75 24,1,2024-09-07 09:01:10:586,521569,521569,0,0,242083831892,2541797837,515178,4869,1522,368,391987,0 24,2,2024-09-07 09:01:11:069,374225,374225,0,0,18388669,0,3607 24,3,2024-09-07 09:01:11:695,1,297,7,0,468,4196,297,0 25,0,2024-09-07 09:01:11:489,72790,0.4,70990,0.6,139315,0.4,190142,2.00 25,1,2024-09-07 09:01:10:572,522586,522586,0,0,242857212931,2545653360,516684,5081,821,371,391928,0 25,2,2024-09-07 09:01:11:618,374110,374110,0,0,18877417,0,3978 25,3,2024-09-07 09:01:11:017,1,297,9,0,255,3109,297,0 26,0,2024-09-07 09:01:11:725,76310,0.4,74548,0.5,156383,0.3,203795,1.75 26,1,2024-09-07 09:01:11:544,522681,522681,0,0,242855524267,2547319386,514904,6529,1248,381,391748,0 26,2,2024-09-07 09:01:10:864,375150,375150,0,0,18515580,0,2809 26,3,2024-09-07 09:01:11:716,1,297,0,0,796,3826,297,0 27,0,2024-09-07 09:01:11:754,78041,0.5,78114,0.6,154517,0.5,206697,2.00 27,1,2024-09-07 09:01:11:682,524341,524341,0,0,244021248541,2542667903,519489,4091,761,381,391626,0 27,2,2024-09-07 09:01:10:885,374862,374797,65,0,18625858,0,5699 27,3,2024-09-07 09:01:11:017,1,297,11,0,564,3181,297,0 28,0,2024-09-07 09:01:11:413,73275,0.4,73078,0.6,146412,0.4,194968,2.00 28,1,2024-09-07 09:01:10:798,523572,523572,0,0,244228006679,2546622008,519565,3333,674,383,391646,0 28,2,2024-09-07 09:01:11:776,375013,375013,0,0,15205179,0,2915 28,3,2024-09-07 09:01:11:782,1,297,1,0,502,3012,297,0 29,0,2024-09-07 09:01:11:359,73303,0.4,71480,0.6,139927,0.3,190822,1.75 29,1,2024-09-07 09:01:11:573,524346,524346,0,0,244438138851,2532025699,520617,3146,583,369,391753,0 29,2,2024-09-07 09:01:10:864,374175,374175,0,0,15027808,0,4233 29,3,2024-09-07 09:01:10:963,1,297,374,0,374,2946,297,0 30,0,2024-09-07 09:01:11:461,73700,0.5,71641,0.7,149888,0.4,196741,2.00 30,1,2024-09-07 09:01:10:579,524507,524507,0,0,244077028315,2536391578,520422,3479,606,382,391672,0 30,2,2024-09-07 09:01:11:276,376064,376064,0,0,14624831,0,3161 30,3,2024-09-07 09:01:10:584,1,297,2,0,519,2850,297,0 31,0,2024-09-07 09:01:11:771,77930,0.5,78297,0.6,156639,0.4,208614,2.00 31,1,2024-09-07 09:01:10:565,526484,526484,0,0,244818757709,2512319762,524398,1657,429,356,391712,0 31,2,2024-09-07 09:01:11:276,376196,376196,0,0,16195339,0,3525 31,3,2024-09-07 09:01:11:724,1,297,1,0,220,2292,297,0 32,0,2024-09-07 09:01:11:449,75923,0.3,76279,0.5,152440,0.3,202706,1.75 32,1,2024-09-07 09:01:10:808,524012,524012,0,0,244310514993,2539375952,520953,2614,445,381,391646,0 32,2,2024-09-07 09:01:10:943,375682,375682,0,0,13542374,0,3155 32,3,2024-09-07 09:01:11:020,1,297,4,0,227,2324,297,0 33,0,2024-09-07 09:01:11:495,71612,0.3,71091,0.5,142916,0.2,190462,1.75 33,1,2024-09-07 09:01:10:576,524088,524088,0,0,244632983729,2531659955,520539,3189,360,369,391730,0 33,2,2024-09-07 09:01:10:763,375971,375936,35,0,15759915,0,7012 33,3,2024-09-07 09:01:10:898,1,297,1,0,329,3302,297,0 34,0,2024-09-07 09:01:10:939,71881,0.3,74071,0.5,141813,0.2,190670,1.75 34,1,2024-09-07 09:01:11:046,525558,525558,0,0,244609855870,2516764607,524184,1367,7,367,391562,0 34,2,2024-09-07 09:01:10:772,376373,376373,0,0,14999053,0,3577 34,3,2024-09-07 09:01:11:692,1,297,1,0,299,2342,297,0 35,0,2024-09-07 09:01:10:861,77755,0.4,78193,0.5,157351,0.3,209666,1.75 35,1,2024-09-07 09:01:11:085,523645,523645,0,0,243107127324,2522794778,520057,2808,780,384,391589,0 35,2,2024-09-07 09:01:11:596,375831,375831,0,0,15162742,0,2653 35,3,2024-09-07 09:01:10:913,1,297,19,0,418,3980,297,0 36,0,2024-09-07 09:01:11:522,77481,0.5,77479,0.7,154893,0.5,205757,2.25 36,1,2024-09-07 09:01:10:583,523695,523695,0,0,243589450713,2545482913,517377,4734,1584,366,391759,0 36,2,2024-09-07 09:01:11:757,375948,375948,0,0,16372100,0,3875 36,3,2024-09-07 09:01:10:866,1,297,0,0,416,4726,297,0 37,0,2024-09-07 09:01:11:395,69812,0.5,69782,0.7,139754,0.5,187003,2.00 37,1,2024-09-07 09:01:10:582,522178,522171,0,7,243143861964,2543172141,515893,4207,2071,365,391560,0 37,2,2024-09-07 09:01:11:157,374608,374593,15,0,16181465,0,5815 37,3,2024-09-07 09:01:11:781,1,297,4,0,888,4784,297,0 38,0,2024-09-07 09:01:11:460,70292,0.4,68334,0.6,142983,0.4,187083,2.00 38,1,2024-09-07 09:01:11:607,524243,524243,0,0,244778883965,2549760471,518833,4733,677,368,391821,0 38,2,2024-09-07 09:01:10:769,374655,374608,47,0,17379256,0,6710 38,3,2024-09-07 09:01:10:997,1,297,2,0,689,4184,297,0 39,0,2024-09-07 09:01:11:765,79278,0.5,77719,0.7,151221,0.5,206831,2.00 39,1,2024-09-07 09:01:10:718,523038,523038,0,0,243404733622,2546603834,515686,6078,1274,365,391594,0 39,2,2024-09-07 09:01:11:423,375032,375032,0,0,15381454,0,2689 39,3,2024-09-07 09:01:10:732,1,297,2,0,324,3843,297,0 40,0,2024-09-07 09:01:11:497,76414,0.8,77019,1.0,154057,0.8,205434,2.75 40,1,2024-09-07 09:01:10:583,523063,523063,0,0,242630077287,2542737914,515123,6486,1454,368,391668,0 40,2,2024-09-07 09:01:11:307,376730,376729,1,0,18657800,0,5137 40,3,2024-09-07 09:01:11:163,1,297,4,0,398,3448,297,0 41,0,2024-09-07 09:01:11:047,71154,1.7,72909,1.3,139279,2.7,189729,3.25 41,1,2024-09-07 09:01:10:798,522486,522486,0,0,243267602475,2544606531,516310,5536,640,370,391742,0 41,2,2024-09-07 09:01:10:763,373930,373930,0,0,17582476,0,3356 41,3,2024-09-07 09:01:11:689,1,297,6,0,366,3176,297,0 42,0,2024-09-07 09:01:11:484,70424,0.7,70439,0.9,141235,0.7,186695,2.50 42,1,2024-09-07 09:01:11:442,521671,521671,0,0,242563282341,2550749722,513360,6748,1563,380,391675,0 42,2,2024-09-07 09:01:11:147,375074,375074,0,0,17332804,0,3568 42,3,2024-09-07 09:01:11:012,1,297,1,0,446,2823,297,0 43,0,2024-09-07 09:01:10:931,75007,0.8,73124,0.9,153010,0.8,201487,2.25 43,1,2024-09-07 09:01:10:642,523026,523026,0,0,244026374966,2552078679,515468,6192,1366,366,391696,0 43,2,2024-09-07 09:01:11:738,375581,375581,0,0,17659017,0,3812 43,3,2024-09-07 09:01:11:760,1,297,5,0,467,3707,297,0 44,0,2024-09-07 09:01:10:873,78399,0.5,78844,0.6,157200,0.4,209057,1.75 44,1,2024-09-07 09:01:10:571,524403,524403,0,0,243622870438,2521261162,520097,3361,945,356,391809,0 44,2,2024-09-07 09:01:11:281,375931,375931,0,0,14844051,0,4344 44,3,2024-09-07 09:01:11:098,1,297,1,0,817,3974,297,0 45,0,2024-09-07 09:01:11:768,73313,0.5,71564,0.8,150235,0.5,197322,2.00 45,1,2024-09-07 09:01:11:020,523644,523644,0,0,244283541109,2536209489,520755,2630,259,382,391917,0 45,2,2024-09-07 09:01:11:281,375274,375274,0,0,14786052,0,3596 45,3,2024-09-07 09:01:10:943,1,297,2,0,271,3035,297,0 46,0,2024-09-07 09:01:10:960,71142,0.5,71216,0.7,142530,0.4,188700,2.00 46,1,2024-09-07 09:01:10:582,525266,525266,0,0,244142262962,2521957613,522185,2725,356,366,391539,0 46,2,2024-09-07 09:01:10:593,375698,375698,0,0,14455101,0,2920 46,3,2024-09-07 09:01:11:142,1,297,0,0,908,4397,297,0 47,0,2024-09-07 09:01:11:102,73419,0.5,73502,0.6,147336,0.4,195094,1.75 47,1,2024-09-07 09:01:10:573,524787,524787,0,0,244006458163,2521831951,521743,2686,358,366,391641,0 47,2,2024-09-07 09:01:10:914,378158,378158,0,0,14950109,0,4477 47,3,2024-09-07 09:01:11:116,1,297,12,0,529,3300,297,0 48,0,2024-09-07 09:01:11:498,79019,0.3,78503,0.4,156597,0.2,208711,1.75 48,1,2024-09-07 09:01:11:033,523821,523821,0,0,244034259145,2537120695,520297,3223,301,384,391710,0 48,2,2024-09-07 09:01:10:699,375758,375758,0,0,13902867,0,3031 48,3,2024-09-07 09:01:10:764,1,297,246,0,339,2678,297,0 49,0,2024-09-07 09:01:11:755,78646,0.3,77239,0.5,149692,0.3,205068,1.75 49,1,2024-09-07 09:01:11:032,522930,522930,0,0,243939879124,2543456212,518642,3104,1184,382,391809,0 49,2,2024-09-07 09:01:11:806,376613,376613,0,0,14598727,0,4426 49,3,2024-09-07 09:01:11:426,1,297,3,0,408,3265,297,0 50,0,2024-09-07 09:01:11:511,70492,0.3,69798,0.5,140653,0.2,187711,1.75 50,1,2024-09-07 09:01:11:013,524936,524936,0,0,245174181490,2537809082,521228,3334,374,368,391540,0 50,2,2024-09-07 09:01:11:081,376074,376074,0,0,13701584,0,2263 50,3,2024-09-07 09:01:11:301,1,297,1,0,335,2500,297,0 51,0,2024-09-07 09:01:11:692,73405,0.3,71738,0.5,140084,0.2,190976,1.75 51,1,2024-09-07 09:01:11:712,525169,525169,0,0,245191289774,2533084100,521933,2263,973,365,391706,0 51,2,2024-09-07 09:01:11:343,375360,375360,0,0,12980483,0,3337 51,3,2024-09-07 09:01:11:039,1,297,9,0,678,2300,297,0 52,0,2024-09-07 09:01:11:445,78226,0.4,77995,0.6,156092,0.4,207489,2.00 52,1,2024-09-07 09:01:10:575,522961,522961,0,0,243046204367,2547175860,515218,6489,1254,368,391722,0 52,2,2024-09-07 09:01:11:763,372570,372532,38,0,17363239,0,6742 52,3,2024-09-07 09:01:10:686,1,297,1,0,1782,4720,297,0 53,0,2024-09-07 09:01:11:741,76472,0.7,74379,0.8,155367,0.7,204138,2.25 53,1,2024-09-07 09:01:10:771,521622,521622,0,0,243391233090,2555249952,513359,5814,2449,367,391702,0 53,2,2024-09-07 09:01:11:304,377475,377475,0,0,14641874,0,2727 53,3,2024-09-07 09:01:10:697,1,297,9,0,308,2744,297,0 54,0,2024-09-07 09:01:11:629,70150,0.6,70638,0.8,140314,0.4,187805,2.50 54,1,2024-09-07 09:01:10:592,523368,523368,0,0,244257007995,2540261413,518072,4695,601,367,391659,0 54,2,2024-09-07 09:01:10:865,375618,375612,6,0,16766902,0,5382 54,3,2024-09-07 09:01:10:765,1,297,0,0,676,4740,297,0 55,0,2024-09-07 09:01:11:771,68427,0.5,71007,0.7,142721,0.5,186479,2.50 55,1,2024-09-07 09:01:10:776,522763,522763,0,0,243549448067,2536405923,516466,5389,908,365,391731,0 55,2,2024-09-07 09:01:10:732,374331,374331,0,0,16454209,0,3563 55,3,2024-09-07 09:01:10:684,1,297,1,0,304,3158,297,0 56,0,2024-09-07 09:01:11:615,78279,1.0,73779,1.0,152007,1.4,203915,2.50 56,1,2024-09-07 09:01:10:596,520822,520822,0,0,242677391513,2565750988,512749,6525,1548,381,391867,0 56,2,2024-09-07 09:01:11:320,374921,374921,0,0,17811029,0,3567 56,3,2024-09-07 09:01:11:064,1,297,1,0,705,4026,297,0 57,0,2024-09-07 09:01:10:936,76668,1.7,76503,1.3,153303,2.3,205213,3.25 57,1,2024-09-07 09:01:10:993,522652,522652,0,0,243180839829,2548483782,516580,5367,705,366,392032,0 57,2,2024-09-07 09:01:11:347,377272,377272,0,0,18991558,0,3317 57,3,2024-09-07 09:01:11:743,1,297,1,0,359,3655,297,0 58,0,2024-09-07 09:01:10:561,71804,1.0,69877,1.0,146469,1.2,191626,2.50 58,1,2024-09-07 09:01:10:584,523268,523265,0,3,243520789265,2546601144,516279,6097,889,367,391603,3 58,2,2024-09-07 09:01:11:084,374789,374789,0,0,17243559,0,2549 58,3,2024-09-07 09:01:11:068,1,297,3,0,1043,3214,297,0 59,0,2024-09-07 09:01:11:748,70989,0.7,70661,0.9,141112,0.7,187265,2.75 59,1,2024-09-07 09:01:10:804,522562,522562,0,0,243867360687,2552931027,515764,5515,1283,369,391578,0 59,2,2024-09-07 09:01:10:599,376107,376107,0,0,16175739,0,2604 59,3,2024-09-07 09:01:11:742,1,297,8,0,1015,4056,297,0 60,0,2024-09-07 09:01:11:728,73917,0.5,73854,0.6,148754,0.4,197338,1.75 60,1,2024-09-07 09:01:10:785,524651,524651,0,0,244131360054,2532350499,521765,2360,526,370,392031,0 60,2,2024-09-07 09:01:11:146,375960,375960,0,0,17088728,0,3811 60,3,2024-09-07 09:01:11:263,1,297,1,0,175,3132,297,0 61,0,2024-09-07 09:01:11:500,78198,0.7,78616,0.8,156335,0.7,208419,2.00 61,1,2024-09-07 09:01:10:770,522555,522555,0,0,242785609772,2544661921,516673,4968,914,382,391880,0 61,2,2024-09-07 09:01:11:117,376616,376616,0,0,15653940,0,3598 61,3,2024-09-07 09:01:11:695,1,297,1,0,479,4543,297,0 62,0,2024-09-07 09:01:11:716,76456,0.6,78093,0.7,148800,0.6,202417,2.00 62,1,2024-09-07 09:01:11:117,525876,525870,0,6,245246383452,2531295245,523019,2690,161,365,391715,6 62,2,2024-09-07 09:01:11:648,374074,374073,1,0,16628088,0,5555 62,3,2024-09-07 09:01:11:144,1,297,5,0,465,2470,297,0 63,0,2024-09-07 09:01:11:475,71338,0.4,71530,0.6,142997,0.4,190249,1.75 63,1,2024-09-07 09:01:10:808,524168,524162,0,6,244128340232,2533864844,521207,2721,234,381,391677,6 63,2,2024-09-07 09:01:10:777,375518,375518,0,0,14833124,0,4369 63,3,2024-09-07 09:01:11:760,1,297,8,0,667,3288,297,0 64,0,2024-09-07 09:01:11:562,71454,0.5,71469,0.7,142914,0.4,189662,2.00 64,1,2024-09-07 09:01:10:760,522763,522763,0,0,243580248184,2542605441,517249,3858,1656,370,391783,0 64,2,2024-09-07 09:01:11:146,379655,379636,19,0,14957447,0,6121 64,3,2024-09-07 09:01:11:145,1,297,11,0,265,2913,297,0 65,0,2024-09-07 09:01:11:696,77745,0.7,78030,0.8,155893,0.8,207436,2.25 65,1,2024-09-07 09:01:10:861,522143,522143,0,0,243072657193,2542797204,518237,3458,448,382,391901,0 65,2,2024-09-07 09:01:11:714,376168,376168,0,0,16522026,0,3367 65,3,2024-09-07 09:01:11:695,1,297,1,0,163,2762,297,0 66,0,2024-09-07 09:01:11:778,76982,0.5,76823,0.7,153954,0.5,204310,2.00 66,1,2024-09-07 09:01:11:320,523652,523652,0,0,244288524441,2541396827,520192,3141,319,380,391653,0 66,2,2024-09-07 09:01:11:136,377758,377758,0,0,15059364,0,4956 66,3,2024-09-07 09:01:11:089,1,297,5,0,291,2987,297,0 67,0,2024-09-07 09:01:11:421,70632,0.6,69837,0.7,140609,0.5,187004,2.00 67,1,2024-09-07 09:01:10:772,523817,523816,0,1,243244993492,2536732026,519718,3349,749,380,391787,1 67,2,2024-09-07 09:01:10:586,376774,376774,0,0,14263155,0,2889 67,3,2024-09-07 09:01:11:751,1,297,12,0,338,2651,297,0 68,0,2024-09-07 09:01:10:570,71119,0.5,70821,0.7,141341,0.5,188943,2.00 68,1,2024-09-07 09:01:10:579,522537,522537,0,0,242743282027,2544958441,517885,3452,1200,381,391953,0 68,2,2024-09-07 09:01:11:045,373907,373842,65,0,18993949,0,6698 68,3,2024-09-07 09:01:10:746,1,297,5,0,417,3264,297,0 69,0,2024-09-07 09:01:11:769,77128,0.7,77511,0.8,154253,0.7,205299,2.25 69,1,2024-09-07 09:01:11:030,521371,521371,0,0,242657630853,2559828319,514908,4876,1587,384,391994,0 69,2,2024-09-07 09:01:11:736,374747,374747,0,0,18511818,0,3722 69,3,2024-09-07 09:01:10:762,1,297,0,0,698,4227,297,0 70,0,2024-09-07 09:01:11:533,76524,1.0,76615,1.1,153824,0.8,204006,2.50 70,1,2024-09-07 09:01:10:803,524469,524469,0,0,244178051015,2535773050,520172,3761,536,366,391725,0 70,2,2024-09-07 09:01:11:331,376409,376409,0,0,17014928,0,4044 70,3,2024-09-07 09:01:10:745,1,297,22,0,854,3471,297,0 71,0,2024-09-07 09:01:11:364,71221,1.0,71051,1.1,142668,1.2,190528,2.75 71,1,2024-09-07 09:01:11:610,522298,522298,0,0,243996813802,2546849555,516267,5376,655,368,391738,0 71,2,2024-09-07 09:01:11:069,375373,375373,0,0,16822829,0,4042 71,3,2024-09-07 09:01:11:762,1,297,8,0,644,4005,297,0 72,0,2024-09-07 09:01:11:095,73358,0.6,71721,0.8,139878,0.6,190496,2.00 72,1,2024-09-07 09:01:11:030,522225,522225,0,0,242887603344,2543914370,515011,5668,1546,369,391819,0 72,2,2024-09-07 09:01:11:775,373946,373946,0,0,19098735,0,3983 72,3,2024-09-07 09:01:11:773,1,297,8,0,564,4869,297,0 73,0,2024-09-07 09:01:11:123,73756,0.4,75388,0.6,154533,0.3,201051,2.00 73,1,2024-09-07 09:01:10:770,523352,523352,0,0,243363949904,2530428844,519642,3419,291,367,391750,0 73,2,2024-09-07 09:01:11:754,376075,376075,0,0,18556077,0,3701 73,3,2024-09-07 09:01:10:972,1,297,1,0,274,4209,297,0 74,0,2024-09-07 09:01:11:359,78910,0.5,80764,0.7,154286,0.5,208842,2.25 74,1,2024-09-07 09:01:10:647,522798,522798,0,0,243099151552,2538814615,517597,4233,968,381,391681,0 74,2,2024-09-07 09:01:11:023,376335,376335,0,0,17852221,0,4253 74,3,2024-09-07 09:01:11:460,1,297,10,0,522,3812,297,0 75,0,2024-09-07 09:01:11:770,74487,0.5,74199,0.7,148541,0.4,198464,2.25 75,1,2024-09-07 09:01:11:590,522230,522230,0,0,243119940627,2542453970,516421,5004,805,380,391739,0 75,2,2024-09-07 09:01:11:358,374310,374310,0,0,17400381,0,4766 75,3,2024-09-07 09:01:11:068,1,297,12,0,702,4224,297,0 76,0,2024-09-07 09:01:10:590,71245,0.6,70685,0.8,141992,0.6,189631,2.25 76,1,2024-09-07 09:01:10:808,523160,523160,0,0,243364225378,2539794711,519628,2979,553,382,391692,0 76,2,2024-09-07 09:01:11:067,375941,375940,1,0,16389559,0,5144 76,3,2024-09-07 09:01:11:160,1,297,2,0,175,3025,297,0 77,0,2024-09-07 09:01:11:709,73065,0.6,73234,0.8,146410,0.6,195015,2.00 77,1,2024-09-07 09:01:10:834,523441,523441,0,0,243549158865,2545074229,519235,3942,264,381,391869,0 77,2,2024-09-07 09:01:11:289,376417,376417,0,0,16327949,0,3890 77,3,2024-09-07 09:01:11:102,1,297,0,0,401,3542,297,0 78,0,2024-09-07 09:01:11:731,78586,0.5,78155,0.7,157007,0.4,208041,2.00 78,1,2024-09-07 09:01:10:610,523030,523030,0,0,243678424602,2537620174,517983,4053,994,367,391670,0 78,2,2024-09-07 09:01:11:410,376386,376386,0,0,14379261,0,3855 78,3,2024-09-07 09:01:11:368,1,297,1,0,181,2883,297,0 79,0,2024-09-07 09:01:11:346,74228,0.4,76214,0.6,155744,0.4,202497,2.25 79,1,2024-09-07 09:01:10:576,525096,525096,0,0,244692265756,2533530254,521376,3275,445,369,391682,0 79,2,2024-09-07 09:01:11:072,376443,376443,0,0,14540810,0,3212 79,3,2024-09-07 09:01:10:750,1,297,8,0,418,4121,297,0 80,0,2024-09-07 09:01:11:113,70422,0.6,72354,0.7,138689,0.5,187439,2.00 80,1,2024-09-07 09:01:11:645,523130,523130,0,0,243544446931,2535514049,519825,3128,177,368,391791,0 80,2,2024-09-07 09:01:11:104,376973,376973,0,0,14599017,0,4433 80,3,2024-09-07 09:01:10:579,1,297,2,0,190,3765,297,0 81,0,2024-09-07 09:01:11:553,71337,0.5,73142,0.7,139739,0.5,189655,2.00 81,1,2024-09-07 09:01:11:670,522308,522308,0,0,243167984090,2544276007,517774,4056,478,382,391879,0 81,2,2024-09-07 09:01:11:133,375039,375039,0,0,15584231,0,3993 81,3,2024-09-07 09:01:11:116,1,297,1,0,374,3569,297,0 82,0,2024-09-07 09:01:11:531,77350,0.4,77961,0.6,155943,0.4,207002,2.00 82,1,2024-09-07 09:01:10:585,523889,523885,0,4,243755200056,2540468671,520066,3024,795,381,391768,4 82,2,2024-09-07 09:01:11:691,376600,376600,0,0,14388135,0,3986 82,3,2024-09-07 09:01:11:752,1,297,1,0,363,3095,297,0 83,0,2024-09-07 09:01:11:522,77195,0.5,77023,0.7,153206,0.5,204392,2.00 83,1,2024-09-07 09:01:10:565,522732,522732,0,0,243125788516,2537509571,518670,3742,320,382,391709,0 83,2,2024-09-07 09:01:10:767,376757,376757,0,0,14667218,0,3393 83,3,2024-09-07 09:01:10:750,1,297,1260,0,1260,4504,297,0 84,0,2024-09-07 09:01:11:869,70802,0.7,70699,0.9,141472,0.6,189272,2.25 84,1,2024-09-07 09:01:11:040,522567,522567,0,0,243225377919,2540591455,517608,4373,586,367,391967,0 84,2,2024-09-07 09:01:10:630,375321,375321,0,0,17531857,0,4757 84,3,2024-09-07 09:01:11:145,1,297,9,0,908,4791,297,0 85,0,2024-09-07 09:01:11:060,68468,0.6,68538,0.8,145256,0.6,187980,2.25 85,1,2024-09-07 09:01:10:592,521800,521800,0,0,242992669109,2564112696,514352,6327,1121,381,392006,0 85,2,2024-09-07 09:01:10:890,375882,375882,0,0,17473099,0,3656 85,3,2024-09-07 09:01:10:685,1,297,1,0,789,3936,297,0 86,0,2024-09-07 09:01:10:966,76594,0.6,78671,0.7,150575,0.6,203650,2.00 86,1,2024-09-07 09:01:10:834,523230,523230,0,0,243558220129,2546844635,517541,4856,833,366,391961,0 86,2,2024-09-07 09:01:10:888,374137,374136,1,0,18139322,0,5004 86,3,2024-09-07 09:01:10:609,1,297,10,0,286,4050,297,0 87,0,2024-09-07 09:01:11:291,77361,1.0,77344,0.9,155216,1.4,207013,2.25 87,1,2024-09-07 09:01:10:552,522222,522222,0,0,242778186609,2538851344,517077,4642,503,366,392076,0 87,2,2024-09-07 09:01:11:071,376421,376421,0,0,16540638,0,4045 87,3,2024-09-07 09:01:11:803,1,297,4,0,473,4272,297,0 88,0,2024-09-07 09:01:11:487,73103,0.5,73598,0.6,146641,0.4,194718,2.00 88,1,2024-09-07 09:01:10:579,521083,521083,0,0,243379050482,2554620869,513722,5709,1652,365,392084,0 88,2,2024-09-07 09:01:10:688,375140,375140,0,0,18342042,0,3583 88,3,2024-09-07 09:01:11:279,1,297,1,0,435,3495,297,0 89,0,2024-09-07 09:01:11:781,73421,0.5,71000,0.7,140935,0.4,190733,1.75 89,1,2024-09-07 09:01:10:577,521395,521395,0,0,242853206828,2558766772,514064,6065,1266,382,391866,0 89,2,2024-09-07 09:01:11:389,373795,373795,0,0,17793616,0,2910 89,3,2024-09-07 09:01:11:799,1,297,5,0,385,5767,297,0 90,0,2024-09-07 09:01:11:656,71917,0.4,73583,0.6,150524,0.4,196619,1.75 90,1,2024-09-07 09:01:10:599,522798,522798,0,0,243000037016,2546837237,517774,4699,325,380,391825,0 90,2,2024-09-07 09:01:11:414,374610,374610,0,0,19221115,0,3060 90,3,2024-09-07 09:01:10:932,1,297,71,0,200,3153,297,0 91,0,2024-09-07 09:01:10:999,78566,0.5,76390,0.7,159173,0.5,209034,1.75 91,1,2024-09-07 09:01:10:575,521555,521555,0,0,242903756889,2556546469,514534,6129,892,381,392047,0 91,2,2024-09-07 09:01:11:347,376045,376045,0,0,17318956,0,2896 91,3,2024-09-07 09:01:10:599,1,297,1,0,216,2712,297,0 92,0,2024-09-07 09:01:11:605,76541,0.5,78534,0.6,149963,0.5,202825,1.75 92,1,2024-09-07 09:01:10:593,522984,522984,0,0,243472383869,2541876008,519519,2985,480,382,392136,0 92,2,2024-09-07 09:01:11:378,376764,376764,0,0,14678590,0,2801 92,3,2024-09-07 09:01:11:028,1,297,8,0,167,2526,297,0 93,0,2024-09-07 09:01:11:066,71809,0.4,73628,0.6,140620,0.3,190744,1.75 93,1,2024-09-07 09:01:10:830,523023,523023,0,0,243030548737,2538615341,517799,4384,840,366,391776,0 93,2,2024-09-07 09:01:10:980,375069,375069,0,0,17098887,0,4311 93,3,2024-09-07 09:01:11:416,1,297,1,0,190,2968,297,0 94,0,2024-09-07 09:01:11:617,71513,0.4,72307,0.5,144152,0.3,191482,1.75 94,1,2024-09-07 09:01:10:576,522867,522867,0,0,243812184229,2547638007,518997,3684,186,381,391850,0 94,2,2024-09-07 09:01:10:765,376142,376142,0,0,15077089,0,2443 94,3,2024-09-07 09:01:11:695,1,297,3,0,264,3778,297,0 95,0,2024-09-07 09:01:11:477,78348,0.4,78275,0.6,157394,0.3,209412,1.75 95,1,2024-09-07 09:01:10:946,523546,523546,0,0,244462731931,2543403922,519251,3916,379,367,391713,0 95,2,2024-09-07 09:01:11:034,375315,375315,0,0,15412506,0,3308 95,3,2024-09-07 09:01:11:717,1,297,9,0,718,4929,297,0 96,0,2024-09-07 09:01:11:178,77511,0.4,77606,0.5,154929,0.3,205348,1.75 96,1,2024-09-07 09:01:11:583,522615,522615,0,0,243676443824,2545621442,518388,3444,783,384,391955,0 96,2,2024-09-07 09:01:11:328,376143,376143,0,0,15543566,0,4042 96,3,2024-09-07 09:01:11:168,1,297,14,0,411,3284,297,0 97,0,2024-09-07 09:01:11:537,70475,0.3,70040,0.5,140853,0.3,186944,1.75 97,1,2024-09-07 09:01:10:804,524769,524769,0,0,244316586448,2535298566,521017,3086,666,367,392140,0 97,2,2024-09-07 09:01:10:608,376306,376306,0,0,15041063,0,3036 97,3,2024-09-07 09:01:10:585,1,297,2,0,214,3667,297,0 98,0,2024-09-07 09:01:11:703,70922,0.3,70925,0.4,142463,0.2,189333,1.50 98,1,2024-09-07 09:01:10:579,524067,524067,0,0,243757971208,2537025239,521693,2273,101,382,391997,0 98,2,2024-09-07 09:01:10:776,375566,375566,0,0,15127155,0,3080 98,3,2024-09-07 09:01:10:715,1,297,3,0,840,5097,297,0 99,0,2024-09-07 09:01:11:481,77434,0.3,77861,0.4,155034,0.3,206974,1.75 99,1,2024-09-07 09:01:11:743,523486,523486,0,0,243915315595,2542543680,519415,3323,748,381,392069,0 99,2,2024-09-07 09:01:11:440,376996,376996,0,0,16465273,0,3424 99,3,2024-09-07 09:01:10:584,1,297,18,0,187,2517,297,0 100,0,2024-09-07 09:01:11:604,76919,0.8,76881,1.0,153913,1.0,205752,2.25 100,1,2024-09-07 09:01:10:589,519919,519919,0,0,242867474507,2568367167,511978,6450,1491,381,391989,0 100,2,2024-09-07 09:01:11:827,375736,375725,11,0,17483534,0,5417 100,3,2024-09-07 09:01:11:740,1,297,12,0,559,5251,297,0 101,0,2024-09-07 09:01:11:831,73281,1.3,71363,1.1,140047,1.1,192136,2.50 101,1,2024-09-07 09:01:10:560,520809,520809,0,0,242532619506,2556760895,512230,6599,1980,368,391769,0 101,2,2024-09-07 09:01:11:810,373428,373428,0,0,19418653,0,4644 101,3,2024-09-07 09:01:10:944,1,297,15,0,448,3577,297,0 102,0,2024-09-07 09:01:11:002,69291,0.6,71735,0.8,144550,0.6,189498,2.00 102,1,2024-09-07 09:01:11:163,521499,521499,0,0,243049685041,2553777664,514768,5825,906,369,391883,0 102,2,2024-09-07 09:01:11:749,375824,375770,54,0,16933100,0,6768 102,3,2024-09-07 09:01:11:622,1,297,66,0,410,3170,297,0 103,0,2024-09-07 09:01:11:602,77837,0.5,77945,0.6,146882,0.4,202666,1.75 103,1,2024-09-07 09:01:11:656,520769,520769,0,0,242606921500,2561275578,512463,6387,1919,381,391829,0 103,2,2024-09-07 09:01:10:618,374767,374767,0,0,17224217,0,3173 103,3,2024-09-07 09:01:10:756,1,297,1,0,916,3887,297,0 104,0,2024-09-07 09:01:11:216,77514,0.8,77953,1.0,154576,0.8,208069,2.25 104,1,2024-09-07 09:01:11:608,522260,522260,0,0,242203595960,2551832311,513740,6861,1659,365,392168,0 104,2,2024-09-07 09:01:11:707,374926,374926,0,0,18767902,0,3941 104,3,2024-09-07 09:01:11:437,1,297,3,0,1245,6787,297,0 105,0,2024-09-07 09:01:11:038,73569,1.0,71628,1.1,149691,1.2,196890,3.25 105,1,2024-09-07 09:01:10:557,522381,522381,0,0,243564359026,2559203474,514680,6401,1300,366,391797,0 105,2,2024-09-07 09:01:11:357,374400,374400,0,0,17725565,0,3509 105,3,2024-09-07 09:01:11:324,1,297,42,0,399,4683,297,0 106,0,2024-09-07 09:01:10:943,69046,0.8,70745,1.0,144855,0.9,189102,2.50 106,1,2024-09-07 09:01:11:768,521504,521504,0,0,241494372303,2541720920,512954,7546,1004,369,391865,0 106,2,2024-09-07 09:01:10:757,373297,373297,0,0,17142163,0,2795 106,3,2024-09-07 09:01:10:693,1,297,3,0,470,4096,297,0 107,0,2024-09-07 09:01:11:127,73006,0.7,72872,0.9,145832,0.8,194815,2.00 107,1,2024-09-07 09:01:10:588,520783,520783,0,0,242272309253,2553905248,513791,6274,718,381,392234,0 107,2,2024-09-07 09:01:11:320,374687,374686,1,0,18244444,0,5024 107,3,2024-09-07 09:01:11:762,1,297,19,0,353,4003,297,0 108,0,2024-09-07 09:01:11:791,78258,0.4,78547,0.6,156365,0.4,208719,1.75 108,1,2024-09-07 09:01:11:359,522516,522516,0,0,243878990680,2545929997,517930,4075,511,368,391857,0 108,2,2024-09-07 09:01:11:763,374307,374307,0,0,17102978,0,4246 108,3,2024-09-07 09:01:11:338,1,297,164,0,749,6291,297,0 109,0,2024-09-07 09:01:11:843,77166,0.4,76364,0.6,153013,0.3,204738,1.75 109,1,2024-09-07 09:01:10:612,521041,521041,0,0,243458581844,2554985389,516451,3941,649,383,392132,0 109,2,2024-09-07 09:01:10:927,373574,373574,0,0,16756998,0,3617 109,3,2024-09-07 09:01:11:157,1,297,2,0,249,3260,297,0 110,0,2024-09-07 09:01:11:785,70592,0.4,68593,0.6,143518,0.3,188263,1.75 110,1,2024-09-07 09:01:11:653,524310,524310,0,0,243244307043,2526240522,520816,2585,909,369,392045,0 110,2,2024-09-07 09:01:11:320,375658,375658,0,0,16662269,0,4067 110,3,2024-09-07 09:01:10:696,1,297,15,0,406,3751,297,0 111,0,2024-09-07 09:01:11:426,71888,0.4,71208,0.5,142625,0.3,191396,1.75 111,1,2024-09-07 09:01:11:014,524191,524191,0,0,244547656774,2538844395,521515,2333,343,382,391690,0 111,2,2024-09-07 09:01:11:117,375201,375201,0,0,15564794,0,2763 111,3,2024-09-07 09:01:10:921,1,297,11,0,379,3853,297,0 112,0,2024-09-07 09:01:10:921,78375,0.3,77744,0.4,155950,0.2,207855,1.50 112,1,2024-09-07 09:01:10:825,524384,524384,0,0,243648869315,2530656250,520965,2835,584,380,391624,0 112,2,2024-09-07 09:01:11:148,375464,375463,1,0,15174280,0,5036 112,3,2024-09-07 09:01:10:592,1,297,0,0,282,3185,297,0 113,0,2024-09-07 09:01:10:894,76849,0.3,76893,0.5,154292,0.2,205887,1.50 113,1,2024-09-07 09:01:11:714,525480,525480,0,0,245233384764,2533453870,522209,2687,584,366,391661,0 113,2,2024-09-07 09:01:11:331,378310,378310,0,0,13558019,0,3813 113,3,2024-09-07 09:01:10:686,1,297,1,0,340,3869,297,0 114,0,2024-09-07 09:01:10:880,71676,0.4,72127,0.5,143278,0.2,191307,1.75 114,1,2024-09-07 09:01:10:738,523333,523333,0,0,243846718622,2538223225,518351,3392,1590,381,391556,0 114,2,2024-09-07 09:01:10:886,375798,375797,1,0,15163701,0,5069 114,3,2024-09-07 09:01:11:283,1,297,1,0,395,2871,297,0 115,0,2024-09-07 09:01:10:553,71050,0.3,71367,0.4,142818,0.2,190098,1.50 115,1,2024-09-07 09:01:10:579,523630,523630,0,0,244051316674,2537873956,518903,3887,840,382,391656,0 115,2,2024-09-07 09:01:11:131,376354,376354,0,0,14114381,0,3453 115,3,2024-09-07 09:01:11:009,1,297,12,0,159,1773,297,0 116,0,2024-09-07 09:01:11:832,76328,0.7,76174,0.8,152640,0.7,204222,2.00 116,1,2024-09-07 09:01:10:809,520969,520969,0,0,242550119502,2561867215,514098,4732,2139,380,391782,0 116,2,2024-09-07 09:01:11:770,374240,374240,0,0,18880236,0,3529 116,3,2024-09-07 09:01:10:950,1,297,10,0,415,3945,297,0 117,0,2024-09-07 09:01:10:997,77944,0.9,77555,0.9,155075,1.1,207299,2.00 117,1,2024-09-07 09:01:11:601,521936,521936,0,0,242946318814,2543951522,516417,4968,551,370,392033,0 117,2,2024-09-07 09:01:11:121,379364,379364,0,0,15425286,0,3700 117,3,2024-09-07 09:01:11:071,1,297,5,0,490,4524,297,0 118,0,2024-09-07 09:01:11:773,70969,0.6,73018,0.7,148558,0.5,193924,2.00 118,1,2024-09-07 09:01:10:595,521736,521736,0,0,242088176142,2547831230,513924,5975,1837,366,391736,0 118,2,2024-09-07 09:01:11:595,374297,374297,0,0,16622598,0,2781 118,3,2024-09-07 09:01:11:778,1,297,0,0,235,3326,297,0 119,0,2024-09-07 09:01:11:341,70910,0.8,71343,0.8,142761,0.8,189545,2.25 119,1,2024-09-07 09:01:10:550,523058,523058,0,0,243781207884,2548638962,517426,4965,667,367,391780,0 119,2,2024-09-07 09:01:11:260,375457,375457,0,0,15831965,0,4174 119,3,2024-09-07 09:01:11:341,1,297,563,0,563,4587,297,0 120,0,2024-09-07 09:01:11:584,73502,0.6,73381,0.8,146959,0.5,197168,2.25 120,1,2024-09-07 09:01:10:872,522128,522128,0,0,242803121169,2550251540,516296,5410,422,368,391961,0 120,2,2024-09-07 09:01:10:775,375230,375229,1,0,19221185,0,5281 120,3,2024-09-07 09:01:11:320,1,297,3,0,241,3862,297,0 121,0,2024-09-07 09:01:11:792,78160,1.2,78181,1.1,156897,1.6,208471,2.25 121,1,2024-09-07 09:01:11:668,522521,522521,0,0,243199012027,2546457712,517103,4987,431,367,391840,0 121,2,2024-09-07 09:01:11:134,374749,374749,0,0,18678714,0,4127 121,3,2024-09-07 09:01:10:732,1,297,2,0,269,3606,297,0 122,0,2024-09-07 09:01:11:789,75764,0.8,73785,0.9,154641,0.9,202793,2.00 122,1,2024-09-07 09:01:10:862,521551,521551,0,0,242930395737,2552080809,513959,6459,1133,366,392130,0 122,2,2024-09-07 09:01:11:321,374429,374356,73,0,20599216,0,5989 122,3,2024-09-07 09:01:10:595,1,297,0,0,411,5821,297,0 123,0,2024-09-07 09:01:10:957,71105,0.8,69547,0.8,145030,0.9,189975,2.25 123,1,2024-09-07 09:01:10:575,521655,521655,0,0,242722797235,2561348776,511712,8002,1941,369,392039,0 123,2,2024-09-07 09:01:11:022,373051,373050,1,0,17340934,0,5215 123,3,2024-09-07 09:01:11:137,1,297,19,0,168,3538,297,0 124,0,2024-09-07 09:01:10:925,74129,0.4,74118,0.5,139686,0.3,192140,1.75 124,1,2024-09-07 09:01:11:025,523745,523745,0,0,243536009525,2537648687,519356,3485,904,367,392178,0 124,2,2024-09-07 09:01:11:024,376498,376498,0,0,15010418,0,3101 124,3,2024-09-07 09:01:10:762,1,297,10,0,490,3197,297,0 125,0,2024-09-07 09:01:11:477,78677,0.4,78344,0.6,157548,0.4,209749,1.75 125,1,2024-09-07 09:01:10:869,521841,521841,0,0,243920150035,2547717453,517843,3548,450,383,391702,0 125,2,2024-09-07 09:01:11:126,375827,375827,0,0,16255634,0,4534 125,3,2024-09-07 09:01:11:131,1,297,4,0,709,4278,297,0 126,0,2024-09-07 09:01:11:483,77417,0.5,79544,0.6,152020,0.4,206240,1.75 126,1,2024-09-07 09:01:10:554,524261,524261,0,0,244077376846,2529980994,521215,2775,271,365,391987,0 126,2,2024-09-07 09:01:10:610,377334,377334,0,0,15908971,0,3186 126,3,2024-09-07 09:01:10:918,1,297,1,0,207,3977,297,0 127,0,2024-09-07 09:01:11:701,70120,0.3,70486,0.5,140562,0.3,186994,1.75 127,1,2024-09-07 09:01:10:575,523697,523697,0,0,243524509652,2528369518,519466,3717,514,365,391816,0 127,2,2024-09-07 09:01:10:643,376125,376125,0,0,14477953,0,2264 127,3,2024-09-07 09:01:11:280,1,297,8,0,968,3700,297,0 128,0,2024-09-07 09:01:11:576,71225,0.3,71321,0.4,142438,0.2,189732,1.50 128,1,2024-09-07 09:01:11:615,523110,523110,0,0,243724043023,2534250262,519185,3558,367,367,391798,0 128,2,2024-09-07 09:01:11:395,376428,376428,0,0,14634965,0,2915 128,3,2024-09-07 09:01:10:769,1,297,5,0,1082,5764,297,0 129,0,2024-09-07 09:01:11:016,77938,0.3,77614,0.5,155362,0.3,206809,1.75 129,1,2024-09-07 09:01:10:573,520192,520192,0,0,242431657863,2543296119,514903,4077,1212,379,391835,0 129,2,2024-09-07 09:01:10:687,375908,375908,0,0,15260760,0,4031 129,3,2024-09-07 09:01:10:688,1,297,0,0,469,3921,297,0 130,0,2024-09-07 09:01:11:730,77847,0.5,77415,0.6,155453,0.6,207079,1.75 130,1,2024-09-07 09:01:10:604,523315,523315,0,0,243860630390,2537879043,520145,2933,237,381,391825,0 130,2,2024-09-07 09:01:11:137,378502,378502,0,0,15167934,0,4067 130,3,2024-09-07 09:01:11:303,1,297,3,0,450,3805,297,0 131,0,2024-09-07 09:01:11:950,71686,0.4,72152,0.5,144943,0.3,191892,1.75 131,1,2024-09-07 09:01:11:834,523520,523520,0,0,243698782962,2545473490,519375,3404,741,381,391865,0 131,2,2024-09-07 09:01:10:566,377382,377382,0,0,13861099,0,2415 131,3,2024-09-07 09:01:11:715,1,297,1,0,392,3090,297,0 132,0,2024-09-07 09:01:11:464,70904,0.5,71783,0.6,142985,0.4,190089,2.00 132,1,2024-09-07 09:01:10:579,520750,520750,0,0,242802853512,2561256531,512536,6752,1462,381,392097,0 132,2,2024-09-07 09:01:10:702,374783,374783,0,0,17814631,0,4606 132,3,2024-09-07 09:01:11:698,1,297,22,0,356,4102,297,0 133,0,2024-09-07 09:01:11:668,73595,0.4,75328,0.6,154494,0.3,201352,1.75 133,1,2024-09-07 09:01:10:586,521250,521250,0,0,242479027875,2557402235,513852,6351,1047,383,391914,0 133,2,2024-09-07 09:01:11:104,374882,374882,0,0,19307665,0,4315 133,3,2024-09-07 09:01:11:337,1,297,8,0,276,2821,297,0 134,0,2024-09-07 09:01:10:958,78543,0.5,78507,0.7,156891,0.5,209127,2.00 134,1,2024-09-07 09:01:10:587,521773,521773,0,0,242251013573,2544167572,514729,5567,1477,366,391718,0 134,2,2024-09-07 09:01:11:757,376032,376032,0,0,16230589,0,3847 134,3,2024-09-07 09:01:10:754,1,297,21,0,739,4197,297,0 135,0,2024-09-07 09:01:11:110,71890,0.7,71897,0.9,152480,0.8,196656,2.00 135,1,2024-09-07 09:01:11:594,521466,521466,0,0,243594472596,2565266039,513984,6291,1191,380,391805,0 135,2,2024-09-07 09:01:10:697,375220,375220,0,0,17379711,0,3981 135,3,2024-09-07 09:01:11:009,1,297,2,0,299,2273,297,0 136,0,2024-09-07 09:01:11:626,71983,0.6,71957,0.7,143438,0.5,191061,2.25 136,1,2024-09-07 09:01:11:444,521597,521597,0,0,242162785364,2547926997,514949,5964,684,382,391685,0 136,2,2024-09-07 09:01:11:377,375311,375311,0,0,17368826,0,3506 136,3,2024-09-07 09:01:11:121,1,297,5,0,301,2929,297,0 137,0,2024-09-07 09:01:10:951,75263,0.7,73213,0.8,143920,0.8,195941,2.00 137,1,2024-09-07 09:01:10:582,521243,521243,0,0,243261346061,2556865562,512471,6970,1802,366,391708,0 137,2,2024-09-07 09:01:11:725,375452,375452,0,0,19466718,0,3185 137,3,2024-09-07 09:01:10:787,1,297,2,0,382,3672,297,0 138,0,2024-09-07 09:01:11:754,77658,1.5,77864,1.1,156268,2.1,207617,2.50 138,1,2024-09-07 09:01:11:698,521277,521277,0,0,244097910891,2567053154,512655,7044,1578,368,391954,0 138,2,2024-09-07 09:01:10:586,375127,375127,0,0,17789732,0,4988 138,3,2024-09-07 09:01:10:613,1,297,1,0,1160,4218,297,0 139,0,2024-09-07 09:01:11:363,75597,1.4,76000,1.1,152103,2.1,202939,2.50 139,1,2024-09-07 09:01:10:587,519597,519597,0,0,241181672027,2565470525,509441,7637,2519,381,391892,0 139,2,2024-09-07 09:01:10:701,373107,373107,0,0,18442061,0,3097 139,3,2024-09-07 09:01:11:671,1,297,3,0,257,3320,297,0 140,0,2024-09-07 09:01:11:598,70749,0.3,70358,0.5,141403,0.2,188499,1.75 140,1,2024-09-07 09:01:11:540,524840,524840,0,0,244352178952,2522972618,521816,2586,438,365,391606,0 140,2,2024-09-07 09:01:10:687,376376,376376,0,0,14760979,0,3388 140,3,2024-09-07 09:01:10:767,1,297,7,0,247,2546,297,0 141,0,2024-09-07 09:01:11:705,71569,0.3,73577,0.5,140670,0.3,191257,1.75 141,1,2024-09-07 09:01:10:860,524465,524465,0,0,244086416985,2535910930,520894,3112,459,379,391614,0 141,2,2024-09-07 09:01:11:691,375801,375801,0,0,15047339,0,3360 141,3,2024-09-07 09:01:11:043,1,297,2,0,391,3067,297,0 142,0,2024-09-07 09:01:11:384,78536,0.3,77801,0.5,155257,0.3,207964,1.75 142,1,2024-09-07 09:01:10:584,523386,523386,0,0,243136529187,2538491580,519658,3379,349,382,392102,0 142,2,2024-09-07 09:01:11:358,375094,375062,32,0,16622167,0,6028 142,3,2024-09-07 09:01:11:755,1,297,3,0,484,3938,297,0 143,0,2024-09-07 09:01:11:415,77153,0.5,77180,0.6,154578,0.5,205881,1.75 143,1,2024-09-07 09:01:10:560,524108,524108,0,0,243755753310,2531115609,520556,3408,144,367,391651,0 143,2,2024-09-07 09:01:10:776,376987,376987,0,0,15321177,0,2750 143,3,2024-09-07 09:01:11:145,1,297,5,0,303,3698,297,0 144,0,2024-09-07 09:01:11:503,69002,0.6,70953,0.8,144584,0.5,189460,2.00 144,1,2024-09-07 09:01:10:566,521073,521073,0,0,242796687090,2549856277,516272,3864,937,381,391649,0 144,2,2024-09-07 09:01:11:759,376416,376416,0,0,14604851,0,3473 144,3,2024-09-07 09:01:11:761,1,297,3,0,249,3283,297,0 145,0,2024-09-07 09:01:11:377,68639,0.5,68617,0.8,145648,0.5,187957,2.25 145,1,2024-09-07 09:01:10:558,520955,520955,0,0,242530605682,2549496255,514905,5219,831,382,391698,0 145,2,2024-09-07 09:01:11:438,374177,374177,0,0,17340954,0,3903 145,3,2024-09-07 09:01:10:895,1,297,1,0,622,4610,297,0 146,0,2024-09-07 09:01:11:640,76611,0.5,75961,0.7,153058,0.4,203593,2.00 146,1,2024-09-07 09:01:11:596,522098,522098,0,0,242789061405,2556210893,513242,6959,1897,368,391770,0 146,2,2024-09-07 09:01:11:709,374674,374674,0,0,17475481,0,2730 146,3,2024-09-07 09:01:11:286,1,297,2,0,1520,6614,297,0 147,0,2024-09-07 09:01:11:734,77841,0.6,77535,0.8,154328,0.6,206807,2.00 147,1,2024-09-07 09:01:11:391,523684,523684,0,0,244320644861,2544740856,518614,4278,792,368,391791,0 147,2,2024-09-07 09:01:11:022,377922,377922,0,0,14985366,0,2789 147,3,2024-09-07 09:01:10:917,1,297,8,0,730,4495,297,0 0,0,2024-09-07 09:01:21:719,72105,0.6,72115,0.7,153137,0.6,198326,2.00 0,1,2024-09-07 09:01:20:803,524346,524346,0,0,244624754698,2560373773,520355,3751,240,370,391896,0 0,2,2024-09-07 09:01:21:070,377163,377163,0,0,15481491,0,4480 0,3,2024-09-07 09:01:20:974,1,298,4,0,319,3828,298,0 1,0,2024-09-07 09:01:21:750,78532,0.9,78059,1.0,156902,1.1,209285,2.00 1,1,2024-09-07 09:01:20:563,523556,523556,0,0,243370171672,2551998133,518287,4064,1205,370,391857,0 1,2,2024-09-07 09:01:20:650,376433,376433,0,0,15069868,0,3267 1,3,2024-09-07 09:01:21:303,1,298,1,0,262,3386,298,0 2,0,2024-09-07 09:01:21:573,76044,0.7,76383,0.8,151425,0.8,202592,2.00 2,1,2024-09-07 09:01:20:860,525196,525196,0,0,243943612319,2536654801,522314,2589,293,380,391745,0 2,2,2024-09-07 09:01:21:266,376793,376793,0,0,15004902,0,3594 2,3,2024-09-07 09:01:20:697,1,298,1,0,357,2979,298,0 3,0,2024-09-07 09:01:21:743,71459,0.4,71585,0.6,142941,0.4,190086,2.00 3,1,2024-09-07 09:01:21:623,524007,524007,0,0,244189922725,2546960611,518986,4398,623,380,391556,0 3,2,2024-09-07 09:01:21:141,376737,376714,23,0,15038045,0,5851 3,3,2024-09-07 09:01:21:752,1,298,4,0,103,1794,298,0 4,0,2024-09-07 09:01:21:865,70098,0.4,72220,0.5,146510,0.3,192594,1.75 4,1,2024-09-07 09:01:20:604,523254,523254,0,0,243406060251,2558760892,517639,4612,1003,371,391992,0 4,2,2024-09-07 09:01:21:024,375956,375956,0,0,17864922,0,4528 4,3,2024-09-07 09:01:21:031,1,298,1,0,448,4312,298,0 5,0,2024-09-07 09:01:21:388,78727,0.5,78897,0.6,157318,0.5,209480,1.75 5,1,2024-09-07 09:01:20:754,523460,523460,0,0,243691482385,2565021493,516687,5419,1354,368,392005,0 5,2,2024-09-07 09:01:21:845,375501,375501,0,0,16669382,0,2432 5,3,2024-09-07 09:01:21:744,1,298,1,0,457,4541,298,0 6,0,2024-09-07 09:01:20:916,77902,0.5,77372,0.7,154736,0.4,206804,2.00 6,1,2024-09-07 09:01:20:747,523742,523742,0,0,243794002988,2546806169,518362,4451,929,379,391694,0 6,2,2024-09-07 09:01:21:116,376876,376876,0,0,15822249,0,4816 6,3,2024-09-07 09:01:21:277,1,298,0,0,340,3467,298,0 7,0,2024-09-07 09:01:21:529,70086,0.5,70396,0.6,140263,0.4,186646,2.00 7,1,2024-09-07 09:01:20:850,523151,523151,0,0,243805372335,2560450222,516257,5783,1111,382,391747,0 7,2,2024-09-07 09:01:20:775,376915,376915,0,0,15778935,0,4791 7,3,2024-09-07 09:01:20:852,1,298,12,0,398,3492,298,0 8,0,2024-09-07 09:01:21:355,71469,0.4,71243,0.5,142515,0.3,190707,1.75 8,1,2024-09-07 09:01:21:037,522520,522520,0,0,243809786671,2571977353,513020,7288,2212,366,392144,0 8,2,2024-09-07 09:01:20:792,372641,372641,0,0,19708094,0,3220 8,3,2024-09-07 09:01:20:585,1,298,1,0,538,5167,298,0 9,0,2024-09-07 09:01:21:121,77866,0.3,75662,0.5,158341,0.3,207273,1.75 9,1,2024-09-07 09:01:20:550,522952,522952,0,0,244542861606,2577674159,515272,6012,1668,369,392001,0 9,2,2024-09-07 09:01:21:094,375858,375858,0,0,17552665,0,3360 9,3,2024-09-07 09:01:21:752,1,298,0,0,496,4498,298,0 10,0,2024-09-07 09:01:21:599,77674,0.3,77105,0.5,154869,0.3,206694,1.75 10,1,2024-09-07 09:01:20:583,523559,523559,0,0,244771978910,2566566177,516290,6282,987,381,391741,0 10,2,2024-09-07 09:01:20:762,377852,377852,0,0,18867171,0,4264 10,3,2024-09-07 09:01:20:875,1,298,20,0,296,2776,298,0 11,0,2024-09-07 09:01:21:028,71569,0.5,69476,0.7,145356,0.4,192312,1.75 11,1,2024-09-07 09:01:20:571,523606,523606,0,0,243541488704,2563061476,514027,7165,2414,383,391664,0 11,2,2024-09-07 09:01:21:123,376396,376396,0,0,17025314,0,4130 11,3,2024-09-07 09:01:21:300,1,298,8,0,843,4638,298,0 12,0,2024-09-07 09:01:20:973,71867,0.3,71799,0.5,143608,0.3,190953,1.75 12,1,2024-09-07 09:01:20:947,524370,524370,0,0,244434346035,2552243888,519714,4172,484,370,391870,0 12,2,2024-09-07 09:01:21:551,376783,376783,0,0,16880143,0,3469 12,3,2024-09-07 09:01:21:059,1,298,17,0,386,4815,298,0 13,0,2024-09-07 09:01:21:342,76340,0.4,76214,0.5,152377,0.4,203137,1.75 13,1,2024-09-07 09:01:21:535,523491,523491,0,0,243467078547,2561329969,518628,3561,1302,382,391740,0 13,2,2024-09-07 09:01:20:595,377589,377589,0,0,15718303,0,3287 13,3,2024-09-07 09:01:21:762,1,298,1,0,522,5080,298,0 14,0,2024-09-07 09:01:20:561,78739,0.4,79328,0.6,157041,0.4,209250,1.75 14,1,2024-09-07 09:01:21:561,526846,526846,0,0,245116519531,2540692421,522935,3632,279,364,391571,0 14,2,2024-09-07 09:01:20:764,377640,377640,0,0,15580756,0,2896 14,3,2024-09-07 09:01:21:115,1,298,1,0,1168,4002,298,0 15,0,2024-09-07 09:01:21:554,74416,0.4,74533,0.7,148927,0.4,197923,2.00 15,1,2024-09-07 09:01:21:611,525059,525059,0,0,244028475081,2538260613,522140,2740,179,381,391619,0 15,2,2024-09-07 09:01:20:999,377973,377973,0,0,13266580,0,3043 15,3,2024-09-07 09:01:21:404,1,298,8,0,1126,5701,298,0 16,0,2024-09-07 09:01:20:947,71815,0.6,71999,0.8,143482,0.7,190800,2.25 16,1,2024-09-07 09:01:20:568,524764,524764,0,0,244443656960,2555903560,520502,3903,359,370,391756,0 16,2,2024-09-07 09:01:21:441,376066,376066,0,0,16203027,0,4719 16,3,2024-09-07 09:01:21:142,1,298,11,0,317,4311,298,0 17,0,2024-09-07 09:01:21:784,75495,0.7,73778,0.9,144287,0.7,196420,2.00 17,1,2024-09-07 09:01:20:576,523785,523785,0,0,243498955476,2560144405,517712,4818,1255,368,391899,0 17,2,2024-09-07 09:01:21:671,379721,379721,0,0,16143165,0,2857 17,3,2024-09-07 09:01:20:594,1,298,2,0,268,4684,298,0 18,0,2024-09-07 09:01:20:941,77394,0.7,77886,0.8,155118,0.8,206888,2.25 18,1,2024-09-07 09:01:21:641,525353,525353,0,0,245129835789,2542854623,522137,2906,310,367,391649,0 18,2,2024-09-07 09:01:21:756,378221,378221,0,0,15005473,0,3541 18,3,2024-09-07 09:01:20:903,1,298,1,0,163,2715,298,0 19,0,2024-09-07 09:01:21:540,76362,0.6,76910,0.8,152587,0.6,203311,2.25 19,1,2024-09-07 09:01:20:567,525281,525281,0,0,245089080767,2546871613,520230,4213,838,367,391777,0 19,2,2024-09-07 09:01:21:751,378247,378247,0,0,13564756,0,3988 19,3,2024-09-07 09:01:21:129,1,298,8,0,524,2281,298,0 20,0,2024-09-07 09:01:21:344,70615,0.6,70575,0.7,141355,0.6,188810,2.00 20,1,2024-09-07 09:01:20:587,523667,523667,0,0,243775341646,2548528948,519620,3644,403,369,391886,0 20,2,2024-09-07 09:01:20:928,377219,377219,0,0,15494196,0,3721 20,3,2024-09-07 09:01:20:611,1,298,22,0,414,5000,298,0 21,0,2024-09-07 09:01:21:124,71987,0.5,72059,0.7,143795,0.5,190842,2.00 21,1,2024-09-07 09:01:21:536,522153,522153,0,0,242946682013,2560075924,515139,5385,1629,368,392016,0 21,2,2024-09-07 09:01:21:071,375765,375765,0,0,18310633,0,3747 21,3,2024-09-07 09:01:21:437,1,298,13,0,103,3087,298,0 22,0,2024-09-07 09:01:21:718,78090,0.5,78272,0.7,156549,0.5,207844,2.00 22,1,2024-09-07 09:01:21:022,523896,523896,0,0,243613294418,2562989319,516113,6116,1667,382,391667,0 22,2,2024-09-07 09:01:20:761,376259,376259,0,0,15586172,0,3134 22,3,2024-09-07 09:01:21:069,1,298,3,0,228,2675,298,0 23,0,2024-09-07 09:01:21:367,76977,0.6,76797,0.7,153954,0.6,205472,2.25 23,1,2024-09-07 09:01:21:003,524195,524195,0,0,243874395941,2560717808,515739,5765,2691,365,391690,0 23,2,2024-09-07 09:01:21:092,377791,377791,0,0,15060912,0,3010 23,3,2024-09-07 09:01:21:756,1,298,1,0,645,3617,298,0 24,0,2024-09-07 09:01:20:859,71986,0.4,71743,0.6,144097,0.4,191052,1.75 24,1,2024-09-07 09:01:20:584,523286,523286,0,0,242702454752,2548304564,516893,4871,1522,368,391987,0 24,2,2024-09-07 09:01:21:072,375580,375580,0,0,18500851,0,3607 24,3,2024-09-07 09:01:21:686,1,298,10,0,468,4206,298,0 25,0,2024-09-07 09:01:21:395,73082,0.4,71249,0.6,139797,0.4,190817,2.00 25,1,2024-09-07 09:01:20:561,524397,524397,0,0,244058617791,2558009584,518493,5083,821,371,391928,0 25,2,2024-09-07 09:01:21:622,375703,375703,0,0,18935594,0,3978 25,3,2024-09-07 09:01:21:024,1,298,1,0,255,3110,298,0 26,0,2024-09-07 09:01:21:724,76698,0.4,74927,0.5,157160,0.3,204783,1.75 26,1,2024-09-07 09:01:21:546,524469,524469,0,0,243840109531,2557553650,516692,6529,1248,381,391748,0 26,2,2024-09-07 09:01:20:863,376436,376436,0,0,18607273,0,2809 26,3,2024-09-07 09:01:21:714,1,298,3,0,796,3829,298,0 27,0,2024-09-07 09:01:21:724,78175,0.5,78271,0.6,154846,0.5,207120,2.00 27,1,2024-09-07 09:01:21:676,526086,526086,0,0,244774790353,2550503931,521234,4091,761,381,391626,0 27,2,2024-09-07 09:01:20:867,375788,375723,65,0,18645850,0,5699 27,3,2024-09-07 09:01:21:015,1,298,12,0,564,3193,298,0 28,0,2024-09-07 09:01:21:467,73373,0.4,73167,0.6,146596,0.4,195200,2.00 28,1,2024-09-07 09:01:20:811,525338,525338,0,0,244924661100,2554284759,521305,3358,675,383,391646,0 28,2,2024-09-07 09:01:21:764,376525,376525,0,0,15285304,0,2915 28,3,2024-09-07 09:01:21:785,1,298,9,0,502,3021,298,0 29,0,2024-09-07 09:01:21:384,73409,0.4,71567,0.6,140119,0.3,191152,1.75 29,1,2024-09-07 09:01:21:570,526083,526083,0,0,245433580813,2542232193,522353,3147,583,369,391753,0 29,2,2024-09-07 09:01:20:870,375469,375469,0,0,15066028,0,4233 29,3,2024-09-07 09:01:20:969,1,298,1,0,374,2947,298,0 30,0,2024-09-07 09:01:21:465,74159,0.5,72103,0.7,150810,0.4,198083,2.00 30,1,2024-09-07 09:01:20:571,526344,526344,0,0,244907544777,2545229779,522257,3481,606,382,391672,0 30,2,2024-09-07 09:01:21:277,377130,377130,0,0,14653807,0,3161 30,3,2024-09-07 09:01:20:581,1,298,1,0,519,2851,298,0 31,0,2024-09-07 09:01:21:759,78068,0.5,78417,0.6,156870,0.4,208921,2.00 31,1,2024-09-07 09:01:20:571,528212,528212,0,0,245734892955,2521679615,526126,1657,429,356,391712,0 31,2,2024-09-07 09:01:21:299,377457,377457,0,0,16240107,0,3525 31,3,2024-09-07 09:01:21:709,1,298,13,0,220,2305,298,0 32,0,2024-09-07 09:01:21:426,76044,0.3,76400,0.5,152693,0.3,202969,1.75 32,1,2024-09-07 09:01:20:817,525744,525744,0,0,245219385212,2548679280,522685,2614,445,381,391646,0 32,2,2024-09-07 09:01:20:941,376850,376850,0,0,13583550,0,3155 32,3,2024-09-07 09:01:21:026,1,298,0,0,227,2324,298,0 33,0,2024-09-07 09:01:21:512,71856,0.3,71314,0.5,143348,0.2,190967,1.75 33,1,2024-09-07 09:01:20:579,525841,525841,0,0,245908701758,2548388643,521529,3482,830,369,391730,0 33,2,2024-09-07 09:01:20:759,377276,377241,35,0,15806583,0,7012 33,3,2024-09-07 09:01:20:896,1,298,4,0,329,3306,298,0 34,0,2024-09-07 09:01:20:940,72348,0.3,74496,0.5,142576,0.2,191867,1.75 34,1,2024-09-07 09:01:21:047,527350,527350,0,0,245468975403,2525691747,525964,1379,7,367,391562,0 34,2,2024-09-07 09:01:20:775,377646,377646,0,0,15056624,0,3577 34,3,2024-09-07 09:01:21:688,1,298,2,0,299,2344,298,0 35,0,2024-09-07 09:01:20:876,77926,0.4,78363,0.5,157734,0.3,209979,1.75 35,1,2024-09-07 09:01:21:070,525417,525417,0,0,244210510659,2534043860,521827,2810,780,384,391589,0 35,2,2024-09-07 09:01:21:583,377074,377074,0,0,15212616,0,2653 35,3,2024-09-07 09:01:20:907,1,298,7,0,418,3987,298,0 36,0,2024-09-07 09:01:21:517,77768,0.5,77772,0.7,155513,0.5,206526,2.25 36,1,2024-09-07 09:01:20:587,525399,525399,0,0,244346533724,2553748437,519028,4787,1584,366,391759,0 36,2,2024-09-07 09:01:21:751,376863,376863,0,0,16418343,0,3875 36,3,2024-09-07 09:01:20:866,1,298,1,0,416,4727,298,0 37,0,2024-09-07 09:01:21:393,70026,0.5,70019,0.7,140166,0.4,187579,2.00 37,1,2024-09-07 09:01:20:569,523944,523937,0,7,244181253201,2554341374,517580,4286,2071,365,391560,0 37,2,2024-09-07 09:01:21:156,376034,376019,15,0,16317331,0,5815 37,3,2024-09-07 09:01:21:768,1,298,0,0,888,4784,298,0 38,0,2024-09-07 09:01:21:450,70753,0.5,68726,0.6,143857,0.4,188628,2.00 38,1,2024-09-07 09:01:21:607,526160,526160,0,0,245673403798,2559053562,520750,4733,677,368,391821,0 38,2,2024-09-07 09:01:20:760,376133,376086,47,0,17545277,0,6710 38,3,2024-09-07 09:01:20:999,1,298,13,0,689,4197,298,0 39,0,2024-09-07 09:01:21:766,79573,0.5,78012,0.7,151788,0.5,207534,2.00 39,1,2024-09-07 09:01:20:716,524576,524576,0,0,244331580666,2556566267,517166,6136,1274,365,391594,0 39,2,2024-09-07 09:01:21:423,376428,376428,0,0,15514610,0,2689 39,3,2024-09-07 09:01:20:713,1,298,0,0,324,3843,298,0 40,0,2024-09-07 09:01:21:532,76742,0.8,77314,1.0,154715,0.8,206371,2.75 40,1,2024-09-07 09:01:20:578,524809,524809,0,0,243432273373,2550944413,516868,6487,1454,368,391668,0 40,2,2024-09-07 09:01:21:303,377362,377361,1,0,18677219,0,5137 40,3,2024-09-07 09:01:21:149,1,298,1,0,398,3449,298,0 41,0,2024-09-07 09:01:21:031,71285,1.6,73086,1.3,139638,2.7,190217,3.25 41,1,2024-09-07 09:01:20:770,524293,524293,0,0,244218096534,2554876816,518058,5595,640,370,391742,0 41,2,2024-09-07 09:01:20:759,375409,375409,0,0,17617636,0,3356 41,3,2024-09-07 09:01:21:683,1,298,1,0,366,3177,298,0 42,0,2024-09-07 09:01:21:480,70762,0.7,70748,0.9,141852,0.7,187614,2.50 42,1,2024-09-07 09:01:21:448,523294,523294,0,0,243235527592,2557691962,514982,6749,1563,380,391675,0 42,2,2024-09-07 09:01:21:137,376588,376588,0,0,17384056,0,3568 42,3,2024-09-07 09:01:21:013,1,298,171,0,446,2994,298,0 43,0,2024-09-07 09:01:20:938,75436,0.7,73563,0.9,153971,0.8,202657,2.25 43,1,2024-09-07 09:01:20:579,524755,524755,0,0,245023041794,2562431409,517197,6192,1366,366,391696,0 43,2,2024-09-07 09:01:21:742,376672,376672,0,0,17690501,0,3812 43,3,2024-09-07 09:01:21:750,1,298,1,0,467,3708,298,0 44,0,2024-09-07 09:01:20:859,78526,0.5,78953,0.6,157432,0.4,209383,1.75 44,1,2024-09-07 09:01:20:578,526206,526206,0,0,244334368039,2528538395,521900,3361,945,356,391809,0 44,2,2024-09-07 09:01:21:279,377001,377001,0,0,14908998,0,4344 44,3,2024-09-07 09:01:21:093,1,298,23,0,817,3997,298,0 45,0,2024-09-07 09:01:21:769,73427,0.5,71675,0.8,150454,0.5,197650,2.00 45,1,2024-09-07 09:01:21:005,525415,525415,0,0,245008840866,2543729069,522524,2631,260,382,391917,0 45,2,2024-09-07 09:01:21:280,376749,376749,0,0,14880908,0,3596 45,3,2024-09-07 09:01:20:944,1,298,1,0,271,3036,298,0 46,0,2024-09-07 09:01:20:949,71172,0.5,71246,0.7,142609,0.4,188700,2.00 46,1,2024-09-07 09:01:20:582,526982,526982,0,0,244955708475,2530340297,523901,2725,356,366,391539,0 46,2,2024-09-07 09:01:20:594,376947,376947,0,0,14509268,0,2920 46,3,2024-09-07 09:01:21:132,1,298,4,0,908,4401,298,0 47,0,2024-09-07 09:01:21:102,73766,0.5,73871,0.6,148019,0.4,195989,1.75 47,1,2024-09-07 09:01:20:567,526510,526510,0,0,244830753250,2530391394,523466,2686,358,366,391641,0 47,2,2024-09-07 09:01:20:907,379240,379240,0,0,14989799,0,4477 47,3,2024-09-07 09:01:21:115,1,298,5,0,529,3305,298,0 48,0,2024-09-07 09:01:21:491,79098,0.3,78594,0.4,156784,0.2,208969,1.75 48,1,2024-09-07 09:01:21:042,525523,525523,0,0,244788878668,2544900018,521999,3223,301,384,391710,0 48,2,2024-09-07 09:01:20:698,377020,377020,0,0,13940776,0,3031 48,3,2024-09-07 09:01:20:755,1,298,1,0,339,2679,298,0 49,0,2024-09-07 09:01:21:726,78892,0.3,77457,0.5,150162,0.3,205650,1.75 49,1,2024-09-07 09:01:21:021,524621,524621,0,0,244553163819,2549894668,520333,3104,1184,382,391809,0 49,2,2024-09-07 09:01:21:797,377753,377753,0,0,14624701,0,4426 49,3,2024-09-07 09:01:21:416,1,298,1,0,408,3266,298,0 50,0,2024-09-07 09:01:21:511,70819,0.3,70116,0.5,141261,0.2,188570,1.75 50,1,2024-09-07 09:01:21:014,526699,526699,0,0,245952391332,2546227450,522932,3393,374,368,391540,0 50,2,2024-09-07 09:01:21:070,377249,377249,0,0,13733430,0,2263 50,3,2024-09-07 09:01:21:291,1,298,1,0,335,2501,298,0 51,0,2024-09-07 09:01:21:691,73745,0.3,72034,0.5,140664,0.2,191636,1.75 51,1,2024-09-07 09:01:21:680,526881,526881,0,0,245951538834,2540910698,523644,2264,973,365,391706,0 51,2,2024-09-07 09:01:21:328,376826,376826,0,0,13373760,0,3337 51,3,2024-09-07 09:01:21:028,1,298,1,0,678,2301,298,0 52,0,2024-09-07 09:01:21:415,78622,0.4,78490,0.6,156976,0.4,209030,2.00 52,1,2024-09-07 09:01:20:578,524638,524638,0,0,243748405983,2554574836,516892,6492,1254,368,391722,0 52,2,2024-09-07 09:01:21:755,373741,373703,38,0,17493155,0,6742 52,3,2024-09-07 09:01:20:677,1,298,19,0,1782,4739,298,0 53,0,2024-09-07 09:01:21:732,76774,0.7,74664,0.8,155984,0.7,205020,2.25 53,1,2024-09-07 09:01:20:772,523389,523389,0,0,244167175209,2563743633,515066,5874,2449,367,391702,0 53,2,2024-09-07 09:01:21:298,378194,378194,0,0,14708719,0,2727 53,3,2024-09-07 09:01:20:697,1,298,2,0,308,2746,298,0 54,0,2024-09-07 09:01:21:620,70296,0.6,70782,0.8,140637,0.4,188214,2.50 54,1,2024-09-07 09:01:20:579,525118,525118,0,0,245260305237,2550721392,519822,4695,601,367,391659,0 54,2,2024-09-07 09:01:20:866,377084,377078,6,0,16829558,0,5382 54,3,2024-09-07 09:01:20:763,1,298,1,0,676,4741,298,0 55,0,2024-09-07 09:01:21:788,68702,0.5,71268,0.7,143229,0.5,187117,2.50 55,1,2024-09-07 09:01:20:764,524532,524532,0,0,244352807489,2545068094,518232,5392,908,365,391731,0 55,2,2024-09-07 09:01:20:733,375601,375601,0,0,16533689,0,3563 55,3,2024-09-07 09:01:20:676,1,298,3,0,304,3161,298,0 56,0,2024-09-07 09:01:21:591,78684,1.0,74117,1.0,152810,1.4,204901,2.50 56,1,2024-09-07 09:01:20:577,522558,522558,0,0,243740230948,2576650672,514484,6525,1549,381,391867,0 56,2,2024-09-07 09:01:21:303,376170,376170,0,0,17858345,0,3567 56,3,2024-09-07 09:01:21:063,1,298,2,0,705,4028,298,0 57,0,2024-09-07 09:01:20:951,76842,1.7,76676,1.3,153618,2.3,205683,3.25 57,1,2024-09-07 09:01:20:986,524385,524385,0,0,243916262910,2556418535,518292,5388,705,366,392032,0 57,2,2024-09-07 09:01:21:330,378322,378322,0,0,19046467,0,3317 57,3,2024-09-07 09:01:21:742,1,298,3,0,359,3658,298,0 58,0,2024-09-07 09:01:20:619,71905,1.0,69976,1.0,146655,1.2,191898,2.50 58,1,2024-09-07 09:01:20:581,525068,525065,0,3,244407171379,2555784703,518079,6097,889,367,391603,3 58,2,2024-09-07 09:01:21:073,376257,376257,0,0,17281809,0,2549 58,3,2024-09-07 09:01:21:071,1,298,1,0,1043,3215,298,0 59,0,2024-09-07 09:01:21:755,71094,0.7,70767,0.9,141331,0.7,187575,2.75 59,1,2024-09-07 09:01:20:812,524384,524384,0,0,245016757355,2564750491,517586,5515,1283,369,391578,0 59,2,2024-09-07 09:01:20:584,377454,377454,0,0,16224768,0,2604 59,3,2024-09-07 09:01:21:742,1,298,1,0,1015,4057,298,0 60,0,2024-09-07 09:01:21:778,74406,0.5,74363,0.6,149684,0.4,198668,1.75 60,1,2024-09-07 09:01:20:773,526352,526352,0,0,244950726793,2540777713,523466,2360,526,370,392031,0 60,2,2024-09-07 09:01:21:150,377089,377089,0,0,17123622,0,3811 60,3,2024-09-07 09:01:21:261,1,298,1,0,175,3133,298,0 61,0,2024-09-07 09:01:21:511,78293,0.7,78725,0.8,156540,0.7,208713,2.00 61,1,2024-09-07 09:01:20:782,524327,524327,0,0,243846474868,2555590658,518445,4968,914,382,391880,0 61,2,2024-09-07 09:01:21:125,377892,377892,0,0,15709264,0,3598 61,3,2024-09-07 09:01:21:693,1,298,2,0,479,4545,298,0 62,0,2024-09-07 09:01:21:707,76570,0.6,78234,0.7,149038,0.6,202665,2.00 62,1,2024-09-07 09:01:21:114,527568,527562,0,6,245914010397,2538119393,524711,2690,161,365,391715,6 62,2,2024-09-07 09:01:21:658,375374,375373,1,0,16696739,0,5555 62,3,2024-09-07 09:01:21:149,1,298,0,0,465,2470,298,0 63,0,2024-09-07 09:01:21:456,71548,0.4,71725,0.6,143443,0.4,190781,1.75 63,1,2024-09-07 09:01:20:805,525931,525925,0,6,244957271041,2542606613,522963,2728,234,381,391677,6 63,2,2024-09-07 09:01:20:762,376860,376860,0,0,14881637,0,4369 63,3,2024-09-07 09:01:21:731,1,298,19,0,667,3307,298,0 64,0,2024-09-07 09:01:21:513,71820,0.5,71836,0.7,143561,0.5,191017,2.00 64,1,2024-09-07 09:01:20:763,524730,524730,0,0,244478261398,2552293201,519161,3913,1656,370,391783,0 64,2,2024-09-07 09:01:21:149,380993,380974,19,0,15003246,0,6121 64,3,2024-09-07 09:01:21:148,1,298,2,0,265,2915,298,0 65,0,2024-09-07 09:01:21:708,77940,0.7,78202,0.8,156191,0.8,207891,2.25 65,1,2024-09-07 09:01:20:862,523896,523896,0,0,243813690734,2550507866,519989,3459,448,382,391901,0 65,2,2024-09-07 09:01:21:696,377325,377325,0,0,16618597,0,3367 65,3,2024-09-07 09:01:21:683,1,298,1,0,163,2763,298,0 66,0,2024-09-07 09:01:21:763,77298,0.5,77144,0.7,154546,0.5,205275,2.25 66,1,2024-09-07 09:01:21:293,525342,525342,0,0,244934029762,2548201767,521882,3141,319,380,391653,0 66,2,2024-09-07 09:01:21:138,378725,378725,0,0,15086860,0,4956 66,3,2024-09-07 09:01:21:081,1,298,1,0,291,2988,298,0 67,0,2024-09-07 09:01:21:412,70848,0.6,70050,0.7,141073,0.5,187668,2.00 67,1,2024-09-07 09:01:20:779,525568,525567,0,1,244050585885,2545113195,521466,3351,750,380,391787,1 67,2,2024-09-07 09:01:20:605,378190,378190,0,0,14293453,0,2889 67,3,2024-09-07 09:01:21:750,1,298,12,0,338,2663,298,0 68,0,2024-09-07 09:01:20:594,71562,0.5,71209,0.7,142202,0.5,190221,2.00 68,1,2024-09-07 09:01:20:570,524343,524343,0,0,243667751472,2554665677,519689,3454,1200,381,391953,0 68,2,2024-09-07 09:01:21:048,375269,375204,65,0,19059731,0,6698 68,3,2024-09-07 09:01:20:732,1,298,19,0,417,3283,298,0 69,0,2024-09-07 09:01:21:763,77401,0.7,77800,0.8,154913,0.7,206029,2.25 69,1,2024-09-07 09:01:21:023,522772,522772,0,0,243198000371,2565798496,516272,4912,1588,384,391994,0 69,2,2024-09-07 09:01:21:733,376290,376290,0,0,18670158,0,3722 69,3,2024-09-07 09:01:20:761,1,298,63,0,698,4290,298,0 70,0,2024-09-07 09:01:21:531,76821,0.9,76913,1.1,154433,0.7,204964,2.50 70,1,2024-09-07 09:01:20:807,526232,526232,0,0,245053325618,2544825595,521935,3761,536,366,391725,0 70,2,2024-09-07 09:01:21:325,377064,377064,0,0,17122974,0,4044 70,3,2024-09-07 09:01:20:747,1,298,1,0,854,3472,298,0 71,0,2024-09-07 09:01:21:384,71397,1.0,71195,1.1,142982,1.2,190993,2.75 71,1,2024-09-07 09:01:21:598,524059,524059,0,0,245040747663,2558161366,517959,5444,656,368,391738,0 71,2,2024-09-07 09:01:21:071,376762,376762,0,0,16920831,0,4042 71,3,2024-09-07 09:01:21:750,1,298,1,0,644,4006,298,0 72,0,2024-09-07 09:01:21:037,73693,0.6,72029,0.8,140455,0.6,191428,2.00 72,1,2024-09-07 09:01:21:033,524006,524006,0,0,244116841642,2556557484,516792,5668,1546,369,391819,0 72,2,2024-09-07 09:01:21:768,375425,375425,0,0,19173744,0,3983 72,3,2024-09-07 09:01:21:760,1,298,2,0,564,4871,298,0 73,0,2024-09-07 09:01:21:155,74190,0.4,75791,0.6,155437,0.3,202218,2.00 73,1,2024-09-07 09:01:20:772,525138,525138,0,0,244184231227,2538840671,521426,3421,291,367,391750,0 73,2,2024-09-07 09:01:21:745,377175,377175,0,0,18592882,0,3701 73,3,2024-09-07 09:01:20:976,1,298,8,0,274,4217,298,0 74,0,2024-09-07 09:01:21:330,79023,0.5,80887,0.7,154536,0.5,209155,2.25 74,1,2024-09-07 09:01:20:635,524701,524701,0,0,243965925416,2548492405,519382,4351,968,381,391681,0 74,2,2024-09-07 09:01:21:003,377413,377413,0,0,17881674,0,4253 74,3,2024-09-07 09:01:21:444,1,298,0,0,522,3812,298,0 75,0,2024-09-07 09:01:21:764,74617,0.5,74321,0.7,148772,0.4,198801,2.25 75,1,2024-09-07 09:01:21:585,524017,524017,0,0,243967102644,2551303645,518208,5004,805,380,391739,0 75,2,2024-09-07 09:01:21:354,375801,375801,0,0,17475474,0,4766 75,3,2024-09-07 09:01:21:069,1,298,12,0,702,4236,298,0 76,0,2024-09-07 09:01:20:616,71294,0.6,70727,0.8,142083,0.6,189631,2.25 76,1,2024-09-07 09:01:20:815,524931,524931,0,0,244152504448,2548001303,521399,2979,553,382,391692,0 76,2,2024-09-07 09:01:21:062,377278,377277,1,0,16436124,0,5144 76,3,2024-09-07 09:01:21:148,1,298,2,0,175,3027,298,0 77,0,2024-09-07 09:01:21:698,73451,0.6,73579,0.8,147173,0.6,195933,2.00 77,1,2024-09-07 09:01:20:833,525223,525223,0,0,244217419101,2551973525,521016,3943,264,381,391869,0 77,2,2024-09-07 09:01:21:291,377452,377452,0,0,16375847,0,3890 77,3,2024-09-07 09:01:21:100,1,298,10,0,401,3552,298,0 78,0,2024-09-07 09:01:21:731,78663,0.5,78245,0.7,157199,0.4,208279,2.00 78,1,2024-09-07 09:01:20:611,524796,524796,0,0,244402228716,2545044034,519749,4053,994,367,391670,0 78,2,2024-09-07 09:01:21:404,377766,377766,0,0,14435631,0,3855 78,3,2024-09-07 09:01:21:132,1,298,3,0,181,2886,298,0 79,0,2024-09-07 09:01:21:355,74505,0.4,76415,0.6,156249,0.4,203101,2.25 79,1,2024-09-07 09:01:20:571,526872,526872,0,0,245757736651,2544499570,523152,3275,445,369,391682,0 79,2,2024-09-07 09:01:21:073,377608,377608,0,0,14609961,0,3212 79,3,2024-09-07 09:01:20:750,1,298,8,0,418,4129,298,0 80,0,2024-09-07 09:01:21:095,70698,0.6,72661,0.7,139295,0.6,188335,2.00 80,1,2024-09-07 09:01:21:641,524830,524830,0,0,244260735124,2542964381,521524,3129,177,368,391791,0 80,2,2024-09-07 09:01:21:107,378211,378211,0,0,14635028,0,4433 80,3,2024-09-07 09:01:20:586,1,298,8,0,190,3773,298,0 81,0,2024-09-07 09:01:21:629,71637,0.6,73418,0.7,140326,0.5,190358,2.00 81,1,2024-09-07 09:01:21:676,523991,523991,0,0,243741307808,2550259228,519455,4058,478,382,391879,0 81,2,2024-09-07 09:01:21:125,376672,376609,63,0,16695229,0,5932 81,3,2024-09-07 09:01:21:117,1,298,20,0,374,3589,298,0 82,0,2024-09-07 09:01:21:531,77865,0.4,78429,0.6,156917,0.4,208568,2.00 82,1,2024-09-07 09:01:20:583,525614,525610,0,4,244573774427,2548882238,521791,3024,795,381,391768,4 82,2,2024-09-07 09:01:21:699,377950,377950,0,0,14428496,0,3986 82,3,2024-09-07 09:01:21:753,1,298,1,0,363,3096,298,0 83,0,2024-09-07 09:01:21:521,77539,0.5,77328,0.7,153870,0.5,205233,2.00 83,1,2024-09-07 09:01:20:558,524462,524462,0,0,243935550298,2545857200,520400,3742,320,382,391709,0 83,2,2024-09-07 09:01:20:766,377514,377514,0,0,14704321,0,3393 83,3,2024-09-07 09:01:20:751,1,298,135,0,1260,4639,298,0 84,0,2024-09-07 09:01:21:772,70946,0.7,70836,0.9,141831,0.6,189652,2.25 84,1,2024-09-07 09:01:21:040,524361,524361,0,0,244136008994,2550234942,519402,4373,586,367,391967,0 84,2,2024-09-07 09:01:20:613,376868,376868,0,0,17603300,0,4757 84,3,2024-09-07 09:01:21:156,1,298,0,0,908,4791,298,0 85,0,2024-09-07 09:01:21:009,68720,0.6,68802,0.8,145787,0.6,188578,2.25 85,1,2024-09-07 09:01:20:579,523611,523611,0,0,243899837082,2574083612,516139,6351,1121,381,392006,0 85,2,2024-09-07 09:01:20:870,377293,377293,0,0,17556396,0,3656 85,3,2024-09-07 09:01:20:686,1,298,10,0,789,3946,298,0 86,0,2024-09-07 09:01:20:878,76951,0.6,79133,0.7,151303,0.6,204557,2.00 86,1,2024-09-07 09:01:20:832,524980,524980,0,0,244224558448,2554289129,519234,4913,833,366,391961,0 86,2,2024-09-07 09:01:20:854,375127,375126,1,0,18249198,0,5004 86,3,2024-09-07 09:01:20:587,1,298,2,0,286,4052,298,0 87,0,2024-09-07 09:01:21:310,77533,1.0,77518,0.9,155526,1.4,207433,2.25 87,1,2024-09-07 09:01:20:551,523867,523867,0,0,243766731159,2549443500,518677,4687,503,366,392076,0 87,2,2024-09-07 09:01:21:072,377491,377491,0,0,16592879,0,4045 87,3,2024-09-07 09:01:21:809,1,298,8,0,473,4280,298,0 88,0,2024-09-07 09:01:21:459,73211,0.5,73694,0.6,146846,0.4,194965,2.00 88,1,2024-09-07 09:01:20:573,522801,522801,0,0,244070689755,2561753581,515439,5710,1652,365,392084,0 88,2,2024-09-07 09:01:20:690,376682,376682,0,0,18461599,0,3583 88,3,2024-09-07 09:01:21:275,1,298,1,0,435,3496,298,0 89,0,2024-09-07 09:01:21:765,73546,0.5,71106,0.7,141126,0.4,191050,1.75 89,1,2024-09-07 09:01:20:556,523152,523152,0,0,243744957763,2567928119,515820,6066,1266,382,391866,0 89,2,2024-09-07 09:01:21:135,375149,375149,0,0,17834160,0,2910 89,3,2024-09-07 09:01:21:791,1,298,0,0,385,5767,298,0 90,0,2024-09-07 09:01:21:650,72363,0.4,74101,0.6,151408,0.4,197910,1.75 90,1,2024-09-07 09:01:20:598,524582,524582,0,0,243833714152,2555455166,519558,4699,325,380,391825,0 90,2,2024-09-07 09:01:21:407,375747,375747,0,0,19258130,0,3060 90,3,2024-09-07 09:01:20:936,1,298,246,0,246,3399,298,0 91,0,2024-09-07 09:01:20:941,78678,0.5,76505,0.6,159357,0.5,209342,1.75 91,1,2024-09-07 09:01:20:555,523347,523347,0,0,243948660675,2567197171,516326,6129,892,381,392047,0 91,2,2024-09-07 09:01:21:335,377366,377366,0,0,17361140,0,2896 91,3,2024-09-07 09:01:20:599,1,298,3,0,216,2715,298,0 92,0,2024-09-07 09:01:21:450,76670,0.5,78668,0.6,150209,0.5,203075,1.75 92,1,2024-09-07 09:01:20:581,524750,524750,0,0,244244144029,2550063196,521284,2986,480,382,392136,0 92,2,2024-09-07 09:01:21:355,378115,378115,0,0,14768958,0,2801 92,3,2024-09-07 09:01:21:011,1,298,1,0,167,2527,298,0 93,0,2024-09-07 09:01:20:976,72047,0.4,73844,0.6,141042,0.3,191273,1.75 93,1,2024-09-07 09:01:20:817,524783,524783,0,0,243701941989,2545569920,519559,4384,840,366,391776,0 93,2,2024-09-07 09:01:20:928,376325,376325,0,0,17156970,0,4311 93,3,2024-09-07 09:01:21:408,1,298,0,0,190,2968,298,0 94,0,2024-09-07 09:01:21:620,71926,0.4,72735,0.5,144912,0.3,192651,1.75 94,1,2024-09-07 09:01:20:569,524630,524630,0,0,244588891968,2555677486,520760,3684,186,381,391850,0 94,2,2024-09-07 09:01:20:761,377498,377498,0,0,15134228,0,2443 94,3,2024-09-07 09:01:21:697,1,298,7,0,264,3785,298,0 95,0,2024-09-07 09:01:21:415,78524,0.4,78459,0.6,157691,0.3,209711,1.75 95,1,2024-09-07 09:01:20:850,525255,525255,0,0,245058502988,2549666879,520960,3916,379,367,391713,0 95,2,2024-09-07 09:01:21:029,376684,376684,0,0,15476466,0,3308 95,3,2024-09-07 09:01:21:715,1,298,17,0,718,4946,298,0 96,0,2024-09-07 09:01:21:060,77814,0.4,77923,0.5,155506,0.3,206142,1.75 96,1,2024-09-07 09:01:21:585,524416,524416,0,0,244490566609,2554006485,520189,3444,783,384,391955,0 96,2,2024-09-07 09:01:21:288,377078,377078,0,0,15573828,0,4042 96,3,2024-09-07 09:01:21:158,1,298,0,0,411,3284,298,0 97,0,2024-09-07 09:01:21:315,70686,0.3,70260,0.5,141285,0.3,187490,1.75 97,1,2024-09-07 09:01:20:763,526530,526530,0,0,245053360487,2542937133,522778,3086,666,367,392140,0 97,2,2024-09-07 09:01:20:610,377698,377698,0,0,15084547,0,3036 97,3,2024-09-07 09:01:20:577,1,298,2,0,214,3669,298,0 98,0,2024-09-07 09:01:21:790,71333,0.3,71370,0.4,143286,0.2,190495,1.50 98,1,2024-09-07 09:01:20:571,525794,525794,0,0,244598262937,2545667378,523419,2274,101,382,391997,0 98,2,2024-09-07 09:01:20:774,377046,377046,0,0,15182586,0,3080 98,3,2024-09-07 09:01:20:699,1,298,11,0,840,5108,298,0 99,0,2024-09-07 09:01:21:451,77744,0.3,78136,0.4,155631,0.3,207644,1.75 99,1,2024-09-07 09:01:21:756,525167,525167,0,0,244716202675,2550760257,521095,3323,749,381,392069,0 99,2,2024-09-07 09:01:21:431,378332,378332,0,0,16552040,0,3424 99,3,2024-09-07 09:01:20:593,1,298,1,0,187,2518,298,0 100,0,2024-09-07 09:01:21:489,77223,0.8,77161,1.0,154497,1.0,206685,2.25 100,1,2024-09-07 09:01:20:632,521531,521531,0,0,243641018430,2577207974,513500,6540,1491,381,391989,0 100,2,2024-09-07 09:01:21:819,376495,376484,11,0,17572682,0,5417 100,3,2024-09-07 09:01:21:731,1,298,2,0,559,5253,298,0 101,0,2024-09-07 09:01:21:701,73426,1.3,71558,1.1,140373,1.1,192585,2.50 101,1,2024-09-07 09:01:20:562,522614,522614,0,0,243314850021,2565726568,513879,6732,2003,368,391769,0 101,2,2024-09-07 09:01:21:759,374896,374896,0,0,19671579,0,4644 101,3,2024-09-07 09:01:20:941,1,298,1,0,448,3578,298,0 102,0,2024-09-07 09:01:20:945,69575,0.6,72033,0.8,145134,0.6,190394,2.00 102,1,2024-09-07 09:01:21:142,523279,523279,0,0,243703006656,2560822100,516548,5825,906,369,391883,0 102,2,2024-09-07 09:01:21:746,377327,377273,54,0,17037492,0,6768 102,3,2024-09-07 09:01:21:613,1,298,1,0,410,3171,298,0 103,0,2024-09-07 09:01:21:627,78297,0.5,78366,0.6,147818,0.4,203823,1.75 103,1,2024-09-07 09:01:21:643,522471,522471,0,0,243462040202,2570418806,514146,6406,1919,381,391829,0 103,2,2024-09-07 09:01:20:582,375833,375833,0,0,17280779,0,3173 103,3,2024-09-07 09:01:20:755,1,298,1,0,916,3888,298,0 104,0,2024-09-07 09:01:21:007,77614,0.8,78083,1.0,154826,0.8,208382,2.25 104,1,2024-09-07 09:01:21:598,523964,523964,0,0,242914416277,2559339986,515442,6863,1659,365,392168,0 104,2,2024-09-07 09:01:21:665,376062,376062,0,0,18815769,0,3941 104,3,2024-09-07 09:01:21:417,1,298,60,0,1245,6847,298,0 105,0,2024-09-07 09:01:21:037,73698,1.0,71739,1.1,149935,1.2,197216,3.25 105,1,2024-09-07 09:01:20:578,524116,524116,0,0,244163312719,2565492972,516413,6403,1300,366,391797,0 105,2,2024-09-07 09:01:21:322,375851,375851,0,0,17775093,0,3509 105,3,2024-09-07 09:01:21:306,1,298,16,0,399,4699,298,0 106,0,2024-09-07 09:01:20:939,69081,0.8,70783,1.0,144940,0.9,189102,2.50 106,1,2024-09-07 09:01:21:750,523191,523191,0,0,242390638728,2550934396,514640,7547,1004,369,391865,0 106,2,2024-09-07 09:01:20:755,374615,374615,0,0,17216505,0,2795 106,3,2024-09-07 09:01:20:688,1,298,14,0,470,4110,298,0 107,0,2024-09-07 09:01:21:123,73416,0.7,73208,0.9,146538,0.7,195775,2.00 107,1,2024-09-07 09:01:20:594,522542,522542,0,0,243119779800,2562852172,515550,6274,718,381,392234,0 107,2,2024-09-07 09:01:21:292,375856,375855,1,0,18281863,0,5024 107,3,2024-09-07 09:01:21:782,1,298,15,0,353,4018,298,0 108,0,2024-09-07 09:01:21:790,78354,0.4,78646,0.6,156549,0.4,208961,1.75 108,1,2024-09-07 09:01:21:303,524290,524290,0,0,244834201123,2555861414,519704,4075,511,368,391857,0 108,2,2024-09-07 09:01:21:755,375691,375691,0,0,17170814,0,4246 108,3,2024-09-07 09:01:21:335,1,298,1,0,749,6292,298,0 109,0,2024-09-07 09:01:21:760,77416,0.4,76590,0.6,153471,0.3,205337,1.75 109,1,2024-09-07 09:01:20:583,522808,522808,0,0,244379866550,2564599316,518218,3941,649,383,392132,0 109,2,2024-09-07 09:01:20:933,374759,374759,0,0,16797720,0,3617 109,3,2024-09-07 09:01:21:139,1,298,21,0,249,3281,298,0 110,0,2024-09-07 09:01:21:803,70902,0.4,68901,0.6,144145,0.3,189121,1.75 110,1,2024-09-07 09:01:21:680,526139,526139,0,0,244263691077,2536729709,522645,2585,909,369,392045,0 110,2,2024-09-07 09:01:21:303,376965,376965,0,0,16782184,0,4067 110,3,2024-09-07 09:01:20:703,1,298,17,0,406,3768,298,0 111,0,2024-09-07 09:01:21:412,72183,0.4,71507,0.5,143223,0.3,192085,1.75 111,1,2024-09-07 09:01:21:000,525879,525879,0,0,245362163667,2547170638,523203,2333,343,382,391690,0 111,2,2024-09-07 09:01:21:116,376640,376640,0,0,15614779,0,2763 111,3,2024-09-07 09:01:20:916,1,298,7,0,379,3860,298,0 112,0,2024-09-07 09:01:20:919,78866,0.3,78247,0.4,156961,0.2,209361,1.50 112,1,2024-09-07 09:01:20:828,526004,526004,0,0,244457275671,2539026328,522585,2835,584,380,391624,0 112,2,2024-09-07 09:01:21:133,377049,377048,1,0,15216179,0,5036 112,3,2024-09-07 09:01:20:593,1,298,1,0,282,3186,298,0 113,0,2024-09-07 09:01:20:868,77168,0.3,77228,0.5,154928,0.2,206702,1.50 113,1,2024-09-07 09:01:21:702,527191,527191,0,0,245867890515,2540144074,523919,2688,584,366,391661,0 113,2,2024-09-07 09:01:21:303,379047,379047,0,0,13591893,0,3813 113,3,2024-09-07 09:01:20:684,1,298,3,0,340,3872,298,0 114,0,2024-09-07 09:01:20:878,71842,0.4,72299,0.5,143581,0.2,191716,1.75 114,1,2024-09-07 09:01:20:723,525214,525214,0,0,244740326734,2547836010,520160,3463,1591,381,391556,0 114,2,2024-09-07 09:01:20:874,377275,377274,1,0,15229006,0,5069 114,3,2024-09-07 09:01:21:283,1,298,5,0,395,2876,298,0 115,0,2024-09-07 09:01:20:577,71306,0.3,71615,0.4,143292,0.2,190729,1.50 115,1,2024-09-07 09:01:20:575,525438,525438,0,0,245029891499,2547917520,520711,3887,840,382,391656,0 115,2,2024-09-07 09:01:21:125,377835,377835,0,0,14162542,0,3453 115,3,2024-09-07 09:01:21:001,1,298,9,0,159,1782,298,0 116,0,2024-09-07 09:01:21:707,76736,0.7,76575,0.8,153417,0.7,205386,2.00 116,1,2024-09-07 09:01:20:807,522707,522707,0,0,243357880253,2570866161,515764,4804,2139,380,391782,0 116,2,2024-09-07 09:01:21:754,375492,375492,0,0,18933932,0,3529 116,3,2024-09-07 09:01:20:914,1,298,0,0,415,3945,298,0 117,0,2024-09-07 09:01:20:952,78083,0.9,77685,0.9,155391,1.1,207801,2.00 117,1,2024-09-07 09:01:21:578,523517,523517,0,0,243794110123,2552836239,517997,4969,551,370,392033,0 117,2,2024-09-07 09:01:21:118,380290,380290,0,0,15498885,0,3700 117,3,2024-09-07 09:01:21:059,1,298,1,0,490,4525,298,0 118,0,2024-09-07 09:01:21:840,71062,0.6,73119,0.7,148741,0.5,194168,2.00 118,1,2024-09-07 09:01:20:585,523349,523349,0,0,242898738614,2556557806,515509,6001,1839,366,391736,0 118,2,2024-09-07 09:01:21:590,375916,375916,0,0,16773222,0,2781 118,3,2024-09-07 09:01:21:792,1,298,5,0,235,3331,298,0 119,0,2024-09-07 09:01:21:393,71003,0.8,71437,0.8,142961,0.8,189889,2.25 119,1,2024-09-07 09:01:20:548,524739,524739,0,0,244506456182,2556448588,519101,4971,667,367,391780,0 119,2,2024-09-07 09:01:21:267,376816,376816,0,0,15865921,0,4174 119,3,2024-09-07 09:01:21:338,1,298,15,0,563,4602,298,0 120,0,2024-09-07 09:01:21:568,73979,0.6,73833,0.8,147939,0.5,198504,2.25 120,1,2024-09-07 09:01:20:905,523918,523918,0,0,243559747339,2558132270,518085,5411,422,368,391961,0 120,2,2024-09-07 09:01:20:793,376433,376432,1,0,19263604,0,5281 120,3,2024-09-07 09:01:21:293,1,298,21,0,241,3883,298,0 121,0,2024-09-07 09:01:21:701,78255,1.2,78294,1.1,157125,1.6,208773,2.25 121,1,2024-09-07 09:01:21:657,524298,524298,0,0,244096820317,2555721664,518880,4987,431,367,391840,0 121,2,2024-09-07 09:01:21:132,376038,376038,0,0,18722232,0,4127 121,3,2024-09-07 09:01:20:735,1,298,1,0,269,3607,298,0 122,0,2024-09-07 09:01:21:772,75880,0.8,73908,0.9,154913,0.9,203058,2.00 122,1,2024-09-07 09:01:20:891,523285,523285,0,0,243709377959,2560196660,515693,6459,1133,366,392130,0 122,2,2024-09-07 09:01:21:335,375766,375693,73,0,20645580,0,5989 122,3,2024-09-07 09:01:20:594,1,298,8,0,411,5829,298,0 123,0,2024-09-07 09:01:20:964,71312,0.8,69744,0.8,145485,0.9,190515,2.25 123,1,2024-09-07 09:01:20:560,523350,523350,0,0,243554025894,2570004269,513407,8002,1941,369,392039,0 123,2,2024-09-07 09:01:21:022,374389,374388,1,0,17385620,0,5215 123,3,2024-09-07 09:01:21:132,1,298,8,0,168,3546,298,0 124,0,2024-09-07 09:01:20:976,74525,0.4,74554,0.5,140503,0.3,193263,1.75 124,1,2024-09-07 09:01:21:036,525449,525449,0,0,244301158109,2545553166,521057,3488,904,367,392178,0 124,2,2024-09-07 09:01:21:014,377916,377916,0,0,15085514,0,3101 124,3,2024-09-07 09:01:20:758,1,298,63,0,490,3260,298,0 125,0,2024-09-07 09:01:21:448,78887,0.4,78537,0.6,157943,0.4,210047,1.75 125,1,2024-09-07 09:01:20:855,523627,523627,0,0,244780448239,2556554094,519629,3548,450,383,391702,0 125,2,2024-09-07 09:01:21:116,377007,377007,0,0,16295448,0,4534 125,3,2024-09-07 09:01:21:126,1,298,28,0,709,4306,298,0 126,0,2024-09-07 09:01:21:428,77684,0.5,79867,0.6,152610,0.4,206990,1.75 126,1,2024-09-07 09:01:20:555,526025,526025,0,0,244884347276,2538500175,522977,2777,271,365,391987,0 126,2,2024-09-07 09:01:20:641,378276,378276,0,0,15963903,0,3186 126,3,2024-09-07 09:01:20:908,1,298,3,0,207,3980,298,0 127,0,2024-09-07 09:01:21:631,70358,0.3,70691,0.5,140989,0.3,187574,1.75 127,1,2024-09-07 09:01:20:587,525406,525406,0,0,244493747604,2538405371,521174,3718,514,365,391816,0 127,2,2024-09-07 09:01:20:650,377395,377395,0,0,14520910,0,2264 127,3,2024-09-07 09:01:21:273,1,298,11,0,968,3711,298,0 128,0,2024-09-07 09:01:21:544,71640,0.3,71725,0.4,143278,0.2,190951,1.50 128,1,2024-09-07 09:01:21:620,524904,524904,0,0,244825902224,2545495206,520978,3559,367,367,391798,0 128,2,2024-09-07 09:01:21:385,377815,377815,0,0,14681000,0,2915 128,3,2024-09-07 09:01:20:774,1,298,1,0,1082,5765,298,0 129,0,2024-09-07 09:01:21:035,78222,0.3,77905,0.5,155964,0.3,207561,1.75 129,1,2024-09-07 09:01:20:577,521958,521958,0,0,243164105309,2550974846,516668,4078,1212,379,391835,0 129,2,2024-09-07 09:01:20:703,377238,377238,0,0,15308916,0,4031 129,3,2024-09-07 09:01:20:689,1,298,199,0,469,4120,298,0 130,0,2024-09-07 09:01:21:721,78128,0.5,77719,0.6,156008,0.6,207985,1.75 130,1,2024-09-07 09:01:20:593,525161,525161,0,0,244680407203,2546322252,521991,2933,237,381,391825,0 130,2,2024-09-07 09:01:21:136,379176,379176,0,0,15184454,0,4067 130,3,2024-09-07 09:01:21:301,1,298,10,0,450,3815,298,0 131,0,2024-09-07 09:01:21:950,71834,0.4,72331,0.5,145284,0.3,192372,1.75 131,1,2024-09-07 09:01:21:825,525294,525294,0,0,244678764970,2555621332,521149,3404,741,381,391865,0 131,2,2024-09-07 09:01:20:571,378888,378888,0,0,13925749,0,2415 131,3,2024-09-07 09:01:21:688,1,298,139,0,392,3229,298,0 132,0,2024-09-07 09:01:21:460,71209,0.5,72075,0.6,143602,0.4,191032,2.00 132,1,2024-09-07 09:01:20:583,522493,522493,0,0,243583118955,2569353677,514279,6752,1462,381,392097,0 132,2,2024-09-07 09:01:20:707,375998,375998,0,0,17951446,0,4606 132,3,2024-09-07 09:01:21:690,1,298,12,0,356,4114,298,0 133,0,2024-09-07 09:01:21:563,74083,0.4,75749,0.6,155448,0.3,202514,1.75 133,1,2024-09-07 09:01:20:583,522729,522729,0,0,243328959168,2567139456,515123,6531,1075,383,391914,0 133,2,2024-09-07 09:01:21:090,375860,375860,0,0,19382717,0,4315 133,3,2024-09-07 09:01:21:318,1,298,5,0,276,2826,298,0 134,0,2024-09-07 09:01:20:975,78648,0.5,78638,0.7,157123,0.5,209458,2.00 134,1,2024-09-07 09:01:20:596,523477,523477,0,0,243059438245,2552958636,516388,5612,1477,366,391718,0 134,2,2024-09-07 09:01:21:756,376988,376988,0,0,16390634,0,3847 134,3,2024-09-07 09:01:20:758,1,298,2,0,739,4199,298,0 135,0,2024-09-07 09:01:21:110,72013,0.7,72020,0.9,152738,0.8,197004,2.00 135,1,2024-09-07 09:01:21:592,523133,523133,0,0,244392957071,2573781869,515634,6308,1191,380,391805,0 135,2,2024-09-07 09:01:20:688,376689,376689,0,0,17448673,0,3981 135,3,2024-09-07 09:01:21:011,1,298,8,0,299,2281,298,0 136,0,2024-09-07 09:01:21:640,72014,0.6,71986,0.7,143504,0.5,191061,2.25 136,1,2024-09-07 09:01:21:453,523405,523405,0,0,243110286467,2557725086,516757,5964,684,382,391685,0 136,2,2024-09-07 09:01:21:136,376545,376545,0,0,17423521,0,3506 136,3,2024-09-07 09:01:21:106,1,298,4,0,301,2933,298,0 137,0,2024-09-07 09:01:20:981,75683,0.7,73584,0.8,144597,0.7,196896,2.00 137,1,2024-09-07 09:01:20:587,523046,523046,0,0,243842670435,2562953448,514273,6971,1802,366,391708,0 137,2,2024-09-07 09:01:21:709,376580,376580,0,0,19496025,0,3185 137,3,2024-09-07 09:01:20:769,1,298,9,0,382,3681,298,0 138,0,2024-09-07 09:01:21:754,77752,1.5,77954,1.1,156446,2.1,207843,2.50 138,1,2024-09-07 09:01:21:690,523060,523060,0,0,244824259369,2574543113,514436,7046,1578,368,391954,0 138,2,2024-09-07 09:01:20:599,376556,376556,0,0,17819983,0,4988 138,3,2024-09-07 09:01:20:610,1,298,28,0,1160,4246,298,0 139,0,2024-09-07 09:01:21:383,75840,1.4,76239,1.1,152580,2.1,203531,2.50 139,1,2024-09-07 09:01:20:579,521374,521374,0,0,242179366053,2575752766,511218,7637,2519,381,391892,0 139,2,2024-09-07 09:01:20:697,374309,374309,0,0,18473266,0,3097 139,3,2024-09-07 09:01:21:668,1,298,7,0,257,3327,298,0 140,0,2024-09-07 09:01:21:588,71062,0.3,70639,0.5,142007,0.2,189421,1.75 140,1,2024-09-07 09:01:21:536,526595,526595,0,0,245256895602,2532235732,523571,2586,438,365,391606,0 140,2,2024-09-07 09:01:20:688,377574,377574,0,0,14810123,0,3388 140,3,2024-09-07 09:01:20:770,1,298,0,0,247,2546,298,0 141,0,2024-09-07 09:01:21:702,71842,0.3,73899,0.5,141255,0.3,191922,1.75 141,1,2024-09-07 09:01:20:898,526242,526242,0,0,244883629190,2544126438,522671,3112,459,379,391614,0 141,2,2024-09-07 09:01:21:686,377360,377360,0,0,15114211,0,3360 141,3,2024-09-07 09:01:21:043,1,298,33,0,391,3100,298,0 142,0,2024-09-07 09:01:21:321,78996,0.3,78305,0.5,156246,0.3,209580,1.75 142,1,2024-09-07 09:01:20:593,525107,525107,0,0,244044415707,2547796942,521378,3380,349,382,392102,0 142,2,2024-09-07 09:01:21:302,376517,376485,32,0,16730617,0,6028 142,3,2024-09-07 09:01:21:746,1,298,0,0,484,3938,298,0 143,0,2024-09-07 09:01:21:394,77479,0.5,77515,0.6,155179,0.5,206741,1.75 143,1,2024-09-07 09:01:20:556,525847,525847,0,0,244565193389,2539505143,522294,3409,144,367,391705,0 143,2,2024-09-07 09:01:20:777,377687,377687,0,0,15354662,0,2750 143,3,2024-09-07 09:01:21:141,1,298,4,0,303,3702,298,0 144,0,2024-09-07 09:01:21:494,69166,0.6,71112,0.8,144888,0.5,189899,2.00 144,1,2024-09-07 09:01:20:581,522850,522850,0,0,243554822730,2557741160,518048,3865,937,381,391649,0 144,2,2024-09-07 09:01:21:757,377830,377830,0,0,14646562,0,3473 144,3,2024-09-07 09:01:21:741,1,298,1,0,249,3284,298,0 145,0,2024-09-07 09:01:21:375,68874,0.5,68849,0.8,146191,0.5,188600,2.25 145,1,2024-09-07 09:01:20:553,522626,522626,0,0,243630371484,2560970272,516570,5225,831,382,391698,0 145,2,2024-09-07 09:01:21:438,375671,375671,0,0,17584599,0,3903 145,3,2024-09-07 09:01:20:895,1,298,10,0,622,4620,298,0 146,0,2024-09-07 09:01:21:600,77004,0.5,76327,0.7,153928,0.5,204595,2.00 146,1,2024-09-07 09:01:21:587,523816,523816,0,0,243569185857,2564376722,514954,6965,1897,368,391770,0 146,2,2024-09-07 09:01:21:695,375846,375846,0,0,17520101,0,2730 146,3,2024-09-07 09:01:21:274,1,298,4,0,1520,6618,298,0 147,0,2024-09-07 09:01:21:706,77999,0.6,77704,0.8,154668,0.6,207318,2.00 147,1,2024-09-07 09:01:21:377,525398,525398,0,0,244923922184,2550992403,520328,4278,792,368,391791,0 147,2,2024-09-07 09:01:21:009,378824,378824,0,0,15009769,0,2789 147,3,2024-09-07 09:01:20:916,1,298,9,0,730,4504,298,0 0,0,2024-09-07 09:01:31:719,72606,0.6,72641,0.7,154094,0.6,199707,2.00 0,1,2024-09-07 09:01:30:806,526039,526039,0,0,245318492242,2567820934,522048,3751,240,370,391896,0 0,2,2024-09-07 09:01:31:066,378423,378423,0,0,15522261,0,4480 0,3,2024-09-07 09:01:30:974,1,299,5,0,319,3833,299,0 1,0,2024-09-07 09:01:31:787,78642,0.9,78175,1.0,157115,1.1,209575,2.00 1,1,2024-09-07 09:01:30:568,525290,525290,0,0,244294525853,2561555541,520020,4065,1205,370,391857,0 1,2,2024-09-07 09:01:30:651,377569,377569,0,0,15109589,0,3267 1,3,2024-09-07 09:01:31:301,1,299,1,0,262,3387,299,0 2,0,2024-09-07 09:01:31:586,76150,0.7,76492,0.8,151624,0.8,202846,2.00 2,1,2024-09-07 09:01:30:859,526869,526869,0,0,244691631334,2544327994,523987,2589,293,380,391745,0 2,2,2024-09-07 09:01:31:266,378085,378085,0,0,15051712,0,3594 2,3,2024-09-07 09:01:30:694,1,299,112,0,357,3091,299,0 3,0,2024-09-07 09:01:31:743,71655,0.4,71774,0.6,143325,0.4,190615,2.00 3,1,2024-09-07 09:01:31:621,525721,525721,0,0,245021382587,2555654404,520700,4398,623,380,391556,0 3,2,2024-09-07 09:01:31:152,378013,377990,23,0,15431757,0,5851 3,3,2024-09-07 09:01:31:758,1,299,8,0,103,1802,299,0 4,0,2024-09-07 09:01:31:800,70516,0.4,72635,0.5,147389,0.3,193609,1.75 4,1,2024-09-07 09:01:30:627,524756,524756,0,0,244113691634,2567127909,518964,4787,1005,371,391992,0 4,2,2024-09-07 09:01:31:019,377054,377054,0,0,17913114,0,4528 4,3,2024-09-07 09:01:31:027,1,299,8,0,448,4320,299,0 5,0,2024-09-07 09:01:31:375,78853,0.5,79082,0.6,157644,0.5,209750,1.75 5,1,2024-09-07 09:01:30:761,525153,525153,0,0,244470156680,2573176710,518379,5420,1354,368,392005,0 5,2,2024-09-07 09:01:31:835,376847,376847,0,0,16738021,0,2432 5,3,2024-09-07 09:01:31:735,1,299,1,0,457,4542,299,0 6,0,2024-09-07 09:01:30:928,78215,0.5,77663,0.7,155321,0.4,207572,2.00 6,1,2024-09-07 09:01:30:748,525621,525621,0,0,244618974917,2556209492,520066,4599,956,379,391694,0 6,2,2024-09-07 09:01:31:116,377826,377826,0,0,15876066,0,4816 6,3,2024-09-07 09:01:31:275,1,299,6,0,340,3473,299,0 7,0,2024-09-07 09:01:31:544,70297,0.5,70607,0.6,140684,0.4,187189,2.00 7,1,2024-09-07 09:01:30:851,524848,524848,0,0,244643083353,2569336629,517949,5788,1111,382,391747,0 7,2,2024-09-07 09:01:30:771,378108,378108,0,0,15831718,0,4791 7,3,2024-09-07 09:01:30:857,1,299,9,0,398,3501,299,0 8,0,2024-09-07 09:01:31:364,71918,0.4,71695,0.5,143427,0.3,191920,1.75 8,1,2024-09-07 09:01:31:024,524280,524280,0,0,244745211904,2581531318,514780,7288,2212,366,392144,0 8,2,2024-09-07 09:01:30:808,374056,374056,0,0,19792358,0,3220 8,3,2024-09-07 09:01:30:600,1,299,0,0,538,5167,299,0 9,0,2024-09-07 09:01:31:135,78150,0.3,75924,0.5,158935,0.3,208009,1.75 9,1,2024-09-07 09:01:30:576,524691,524691,0,0,245159521552,2584144786,517008,6014,1669,369,392001,0 9,2,2024-09-07 09:01:31:093,377380,377380,0,0,17594163,0,3360 9,3,2024-09-07 09:01:31:757,1,299,1,0,496,4499,299,0 10,0,2024-09-07 09:01:31:627,78036,0.3,77425,0.5,155532,0.3,207611,1.75 10,1,2024-09-07 09:01:30:592,525356,525356,0,0,245509504459,2574120600,518087,6282,987,381,391741,0 10,2,2024-09-07 09:01:30:773,378473,378473,0,0,18885298,0,4264 10,3,2024-09-07 09:01:30:871,1,299,1,0,296,2777,299,0 11,0,2024-09-07 09:01:31:047,71727,0.5,69672,0.7,145740,0.4,192798,1.75 11,1,2024-09-07 09:01:30:579,525338,525338,0,0,244335026109,2571186322,515759,7165,2414,383,391664,0 11,2,2024-09-07 09:01:31:139,377945,377945,0,0,17056743,0,4130 11,3,2024-09-07 09:01:31:298,1,299,22,0,843,4660,299,0 12,0,2024-09-07 09:01:30:968,72158,0.3,72128,0.5,144198,0.3,191898,1.75 12,1,2024-09-07 09:01:30:933,526073,526073,0,0,245259926966,2560709570,521417,4172,484,370,391870,0 12,2,2024-09-07 09:01:31:545,378349,378349,0,0,16932448,0,3469 12,3,2024-09-07 09:01:31:059,1,299,7,0,386,4822,299,0 13,0,2024-09-07 09:01:31:347,76789,0.4,76619,0.5,153269,0.4,204280,1.75 13,1,2024-09-07 09:01:31:533,525177,525177,0,0,244224948431,2569236902,520311,3564,1302,382,391740,0 13,2,2024-09-07 09:01:30:615,378718,378718,0,0,15751247,0,3287 13,3,2024-09-07 09:01:31:762,1,299,1,0,522,5081,299,0 14,0,2024-09-07 09:01:30:569,78865,0.4,79463,0.6,157267,0.4,209569,1.75 14,1,2024-09-07 09:01:31:564,528637,528637,0,0,245880379953,2548482800,524726,3632,279,364,391571,0 14,2,2024-09-07 09:01:30:764,378699,378699,0,0,15602807,0,2896 14,3,2024-09-07 09:01:31:136,1,299,1,0,1168,4003,299,0 15,0,2024-09-07 09:01:31:557,74518,0.4,74649,0.7,149147,0.4,198243,2.00 15,1,2024-09-07 09:01:31:616,526842,526842,0,0,245136407275,2549568344,523923,2740,179,381,391619,0 15,2,2024-09-07 09:01:30:997,379439,379439,0,0,13308724,0,3043 15,3,2024-09-07 09:01:31:412,1,299,1,0,1126,5702,299,0 16,0,2024-09-07 09:01:30:956,71830,0.6,72019,0.8,143508,0.7,190800,2.25 16,1,2024-09-07 09:01:30:591,526470,526470,0,0,245367045709,2565498937,522208,3903,359,370,391756,0 16,2,2024-09-07 09:01:31:455,377400,377400,0,0,16260525,0,4719 16,3,2024-09-07 09:01:31:148,1,299,2,0,317,4313,299,0 17,0,2024-09-07 09:01:31:762,75878,0.7,74118,0.9,144958,0.7,197350,2.00 17,1,2024-09-07 09:01:30:577,525518,525518,0,0,244045677500,2566129086,519437,4826,1255,368,391899,0 17,2,2024-09-07 09:01:31:669,380842,380842,0,0,16178451,0,2857 17,3,2024-09-07 09:01:30:580,1,299,11,0,268,4695,299,0 18,0,2024-09-07 09:01:30:957,77486,0.7,77979,0.8,155301,0.8,207135,2.25 18,1,2024-09-07 09:01:31:645,527094,527094,0,0,245989376614,2551809167,523878,2906,310,367,391649,0 18,2,2024-09-07 09:01:31:754,379428,379428,0,0,15108187,0,3541 18,3,2024-09-07 09:01:30:897,1,299,4,0,163,2719,299,0 19,0,2024-09-07 09:01:31:570,76576,0.6,77154,0.8,152997,0.6,203891,2.25 19,1,2024-09-07 09:01:30:576,526989,526989,0,0,245580722688,2552081028,521938,4213,838,367,391777,0 19,2,2024-09-07 09:01:31:752,379431,379431,0,0,13605329,0,3988 19,3,2024-09-07 09:01:31:138,1,299,7,0,524,2288,299,0 20,0,2024-09-07 09:01:31:373,70945,0.6,70906,0.7,141984,0.6,189617,2.00 20,1,2024-09-07 09:01:30:580,525555,525555,0,0,244565675965,2557235373,521442,3710,403,369,391886,0 20,2,2024-09-07 09:01:30:934,378401,378401,0,0,15609060,0,3721 20,3,2024-09-07 09:01:30:600,1,299,0,0,414,5000,299,0 21,0,2024-09-07 09:01:31:184,72270,0.5,72331,0.7,144420,0.5,191519,2.00 21,1,2024-09-07 09:01:31:540,524125,524125,0,0,243767660260,2570542380,516699,5649,1777,368,392016,0 21,2,2024-09-07 09:01:31:083,377225,377225,0,0,18407645,0,3747 21,3,2024-09-07 09:01:31:416,1,299,1,0,103,3088,299,0 22,0,2024-09-07 09:01:31:730,78594,0.5,78793,0.7,157519,0.5,209037,2.25 22,1,2024-09-07 09:01:31:027,525585,525585,0,0,244338806648,2570568685,517802,6116,1667,382,391667,0 22,2,2024-09-07 09:01:30:762,377579,377579,0,0,15757143,0,3134 22,3,2024-09-07 09:01:31:067,1,299,40,0,228,2715,299,0 23,0,2024-09-07 09:01:31:369,77293,0.6,77095,0.7,154557,0.6,206259,2.25 23,1,2024-09-07 09:01:31:007,525760,525760,0,0,244705104911,2570300490,517079,5947,2734,365,391690,0 23,2,2024-09-07 09:01:31:097,378709,378709,0,0,15184605,0,3010 23,3,2024-09-07 09:01:31:761,1,299,7,0,645,3624,299,0 24,0,2024-09-07 09:01:30:862,72134,0.4,71909,0.6,144418,0.4,191451,1.75 24,1,2024-09-07 09:01:30:616,525004,525004,0,0,243478293592,2556400385,518611,4871,1522,368,391987,0 24,2,2024-09-07 09:01:31:072,376978,376978,0,0,18557674,0,3607 24,3,2024-09-07 09:01:31:692,1,299,2,0,468,4208,299,0 25,0,2024-09-07 09:01:31:454,73343,0.4,71511,0.6,140280,0.4,191413,2.00 25,1,2024-09-07 09:01:30:594,526154,526154,0,0,245114931030,2568848712,520246,5086,822,371,391928,0 25,2,2024-09-07 09:01:31:620,377217,377217,0,0,18994076,0,3978 25,3,2024-09-07 09:01:31:007,1,299,14,0,255,3124,299,0 26,0,2024-09-07 09:01:31:727,77082,0.4,75262,0.5,157955,0.3,205829,1.75 26,1,2024-09-07 09:01:31:544,526264,526264,0,0,244685109662,2566311135,518487,6529,1248,381,391748,0 26,2,2024-09-07 09:01:30:879,377841,377841,0,0,18690913,0,2809 26,3,2024-09-07 09:01:31:712,1,299,0,0,796,3829,299,0 27,0,2024-09-07 09:01:31:727,78341,0.5,78446,0.6,155180,0.5,207594,2.00 27,1,2024-09-07 09:01:31:676,527828,527828,0,0,245455277946,2557478496,522976,4091,761,381,391626,0 27,2,2024-09-07 09:01:30:868,376535,376470,65,0,18663508,0,5699 27,3,2024-09-07 09:01:31:015,1,299,8,0,564,3201,299,0 28,0,2024-09-07 09:01:31:406,73458,0.4,73273,0.6,146786,0.4,195438,2.00 28,1,2024-09-07 09:01:30:804,527012,527012,0,0,245697027295,2562639042,522932,3405,675,383,391646,0 28,2,2024-09-07 09:01:31:764,377989,377989,0,0,15350597,0,2915 28,3,2024-09-07 09:01:31:778,1,299,12,0,502,3033,299,0 29,0,2024-09-07 09:01:31:378,73525,0.4,71692,0.6,140336,0.3,191482,1.75 29,1,2024-09-07 09:01:31:564,527883,527883,0,0,246180827076,2549891669,524153,3147,583,369,391753,0 29,2,2024-09-07 09:01:30:867,376989,376989,0,0,15130868,0,4233 29,3,2024-09-07 09:01:30:969,1,299,1,0,374,2948,299,0 30,0,2024-09-07 09:01:31:471,74611,0.5,72593,0.7,151797,0.4,199417,2.00 30,1,2024-09-07 09:01:30:572,528063,528063,0,0,245673090512,2553085067,523976,3481,606,382,391672,0 30,2,2024-09-07 09:01:31:273,378269,378269,0,0,14684934,0,3161 30,3,2024-09-07 09:01:30:590,1,299,1,0,519,2852,299,0 31,0,2024-09-07 09:01:31:760,78190,0.5,78518,0.6,157118,0.4,209227,2.00 31,1,2024-09-07 09:01:30:580,529972,529972,0,0,246533420432,2529806149,527886,1657,429,356,391712,0 31,2,2024-09-07 09:01:31:278,378496,378496,0,0,16270206,0,3525 31,3,2024-09-07 09:01:31:706,1,299,12,0,220,2317,299,0 32,0,2024-09-07 09:01:31:428,76143,0.3,76492,0.5,152919,0.3,203213,1.75 32,1,2024-09-07 09:01:30:805,527477,527477,0,0,246095336265,2557886241,524418,2614,445,381,391646,0 32,2,2024-09-07 09:01:30:948,378212,378212,0,0,14005623,0,3155 32,3,2024-09-07 09:01:31:017,1,299,3,0,227,2327,299,0 33,0,2024-09-07 09:01:31:512,72059,0.3,71537,0.5,143737,0.2,191457,1.75 33,1,2024-09-07 09:01:30:596,527438,527438,0,0,246621865725,2555722818,523126,3482,830,369,391730,0 33,2,2024-09-07 09:01:30:761,378591,378556,35,0,15873575,0,7012 33,3,2024-09-07 09:01:30:912,1,299,9,0,329,3315,299,0 34,0,2024-09-07 09:01:30:932,72788,0.3,74946,0.5,143457,0.2,193014,1.75 34,1,2024-09-07 09:01:31:055,529102,529102,0,0,246286606306,2534001865,527715,1380,7,367,391562,0 34,2,2024-09-07 09:01:30:773,378823,378823,0,0,15103363,0,3577 34,3,2024-09-07 09:01:31:692,1,299,0,0,299,2344,299,0 35,0,2024-09-07 09:01:30:866,78052,0.4,78515,0.5,158025,0.3,210276,1.75 35,1,2024-09-07 09:01:31:066,527146,527146,0,0,245075785309,2542953028,523556,2810,780,384,391589,0 35,2,2024-09-07 09:01:31:588,378350,378350,0,0,15280352,0,2653 35,3,2024-09-07 09:01:30:910,1,299,7,0,418,3994,299,0 36,0,2024-09-07 09:01:31:536,78065,0.5,78055,0.7,156129,0.5,207294,2.25 36,1,2024-09-07 09:01:30:617,527047,527047,0,0,245178682738,2562351367,520676,4787,1584,366,391759,0 36,2,2024-09-07 09:01:31:758,377848,377848,0,0,16534427,0,3875 36,3,2024-09-07 09:01:30:869,1,299,54,0,416,4781,299,0 37,0,2024-09-07 09:01:31:389,70231,0.5,70244,0.7,140581,0.4,188175,2.00 37,1,2024-09-07 09:01:30:590,525664,525657,0,7,244952042446,2562474212,519299,4287,2071,365,391560,0 37,2,2024-09-07 09:01:31:145,377304,377289,15,0,16369304,0,5815 37,3,2024-09-07 09:01:31:771,1,299,558,0,888,5342,299,0 38,0,2024-09-07 09:01:31:441,71232,0.5,69197,0.7,144821,0.4,189790,2.00 38,1,2024-09-07 09:01:31:631,527672,527672,0,0,246382553598,2566517829,522261,4734,677,368,391821,0 38,2,2024-09-07 09:01:30:763,377545,377498,47,0,17594438,0,6710 38,3,2024-09-07 09:01:30:997,1,299,1,0,689,4198,299,0 39,0,2024-09-07 09:01:31:770,79878,0.5,78290,0.7,152302,0.5,208254,2.00 39,1,2024-09-07 09:01:30:723,526491,526491,0,0,245120554012,2565185112,519058,6159,1274,365,391594,0 39,2,2024-09-07 09:01:31:432,377869,377869,0,0,15589387,0,2689 39,3,2024-09-07 09:01:30:717,1,299,9,0,324,3852,299,0 40,0,2024-09-07 09:01:31:522,77065,0.8,77669,1.0,155391,0.8,207289,2.75 40,1,2024-09-07 09:01:30:605,526619,526619,0,0,244382313136,2560977961,518677,6488,1454,368,391668,0 40,2,2024-09-07 09:01:31:310,378105,378104,1,0,18703679,0,5137 40,3,2024-09-07 09:01:31:143,1,299,63,0,398,3512,299,0 41,0,2024-09-07 09:01:31:032,71474,1.6,73259,1.3,139963,2.6,190705,3.25 41,1,2024-09-07 09:01:30:772,526008,526008,0,0,245222362785,2565480642,519746,5622,640,370,391742,0 41,2,2024-09-07 09:01:30:765,376933,376933,0,0,17659319,0,3356 41,3,2024-09-07 09:01:31:676,1,299,1,0,366,3178,299,0 42,0,2024-09-07 09:01:31:475,71092,0.7,71063,0.9,142499,0.7,188540,2.50 42,1,2024-09-07 09:01:31:458,525033,525033,0,0,244047541300,2566045336,516721,6749,1563,380,391675,0 42,2,2024-09-07 09:01:31:155,378185,378185,0,0,17443336,0,3568 42,3,2024-09-07 09:01:31:008,1,299,1,0,446,2995,299,0 43,0,2024-09-07 09:01:30:923,75846,0.7,74000,0.9,154920,0.8,203798,2.25 43,1,2024-09-07 09:01:30:581,526548,526548,0,0,245795001943,2570293025,518990,6192,1366,366,391696,0 43,2,2024-09-07 09:01:31:736,377799,377799,0,0,17714760,0,3812 43,3,2024-09-07 09:01:31:749,1,299,11,0,467,3719,299,0 44,0,2024-09-07 09:01:30:873,78667,0.5,79106,0.6,157680,0.4,209702,1.75 44,1,2024-09-07 09:01:30:570,527940,527940,0,0,244900776518,2534311447,523634,3361,945,356,391809,0 44,2,2024-09-07 09:01:31:282,378015,378015,0,0,14935635,0,4344 44,3,2024-09-07 09:01:31:094,1,299,6,0,817,4003,299,0 45,0,2024-09-07 09:01:31:786,73569,0.5,71813,0.8,150696,0.5,198000,2.00 45,1,2024-09-07 09:01:31:006,527177,527177,0,0,245650770138,2550382051,524284,2632,261,382,391917,0 45,2,2024-09-07 09:01:31:274,378268,378268,0,0,14935324,0,3596 45,3,2024-09-07 09:01:30:958,1,299,8,0,271,3044,299,0 46,0,2024-09-07 09:01:30:958,71191,0.5,71257,0.7,142639,0.4,188700,2.00 46,1,2024-09-07 09:01:30:579,528710,528710,0,0,245967139703,2540648370,525629,2725,356,366,391539,0 46,2,2024-09-07 09:01:30:594,378283,378283,0,0,14567388,0,2920 46,3,2024-09-07 09:01:31:132,1,299,2,0,908,4403,299,0 47,0,2024-09-07 09:01:31:123,74119,0.5,74249,0.6,148706,0.4,196890,1.75 47,1,2024-09-07 09:01:30:578,528301,528301,0,0,245997997056,2542215431,525256,2686,359,366,391641,0 47,2,2024-09-07 09:01:30:911,380312,380312,0,0,15014510,0,4477 47,3,2024-09-07 09:01:31:115,1,299,5,0,529,3310,299,0 48,0,2024-09-07 09:01:31:521,79224,0.3,78676,0.4,156936,0.2,209209,1.75 48,1,2024-09-07 09:01:31:035,527316,527316,0,0,245654643768,2553845172,523792,3223,301,384,391710,0 48,2,2024-09-07 09:01:30:702,378343,378343,0,0,13981006,0,3031 48,3,2024-09-07 09:01:30:760,1,299,4,0,339,2683,299,0 49,0,2024-09-07 09:01:31:710,79114,0.3,77675,0.5,150624,0.3,206242,1.75 49,1,2024-09-07 09:01:31:043,526520,526520,0,0,245607123869,2560769434,522229,3107,1184,382,391809,0 49,2,2024-09-07 09:01:31:800,379009,379009,0,0,14651105,0,4426 49,3,2024-09-07 09:01:31:418,1,299,7,0,408,3273,299,0 50,0,2024-09-07 09:01:31:512,71146,0.3,70453,0.5,141919,0.2,189460,1.75 50,1,2024-09-07 09:01:31:011,528476,528476,0,0,246819835628,2555092227,524709,3393,374,368,391540,0 50,2,2024-09-07 09:01:31:068,378392,378392,0,0,13778961,0,2263 50,3,2024-09-07 09:01:31:304,1,299,3,0,335,2504,299,0 51,0,2024-09-07 09:01:31:722,74024,0.3,72312,0.5,141219,0.2,192337,1.75 51,1,2024-09-07 09:01:31:693,528655,528655,0,0,246495851748,2546614127,525418,2264,973,365,391706,0 51,2,2024-09-07 09:01:31:331,378344,378344,0,0,13447905,0,3337 51,3,2024-09-07 09:01:31:037,1,299,20,0,678,2321,299,0 52,0,2024-09-07 09:01:31:460,79130,0.5,78983,0.7,157921,0.4,210386,2.00 52,1,2024-09-07 09:01:30:593,526397,526397,0,0,244593348274,2563789315,518579,6564,1254,368,391722,0 52,2,2024-09-07 09:01:31:768,375190,375152,38,0,17766766,0,6742 52,3,2024-09-07 09:01:30:678,1,299,1,0,1782,4740,299,0 53,0,2024-09-07 09:01:31:730,77111,0.7,74983,0.8,156614,0.7,205835,2.25 53,1,2024-09-07 09:01:30:772,525104,525104,0,0,244945079784,2571842391,516781,5874,2449,367,391702,0 53,2,2024-09-07 09:01:31:299,379029,379029,0,0,14767492,0,2727 53,3,2024-09-07 09:01:30:700,1,299,67,0,308,2813,299,0 54,0,2024-09-07 09:01:31:620,70454,0.6,70935,0.8,140954,0.4,188621,2.50 54,1,2024-09-07 09:01:30:591,526865,526865,0,0,246193810081,2560333154,521568,4696,601,367,391659,0 54,2,2024-09-07 09:01:30:867,378473,378467,6,0,16892408,0,5382 54,3,2024-09-07 09:01:30:773,1,299,3,0,676,4744,299,0 55,0,2024-09-07 09:01:31:764,68943,0.5,71534,0.7,143722,0.5,187718,2.50 55,1,2024-09-07 09:01:30:765,526246,526246,0,0,245048528528,2552594301,519932,5406,908,365,391731,0 55,2,2024-09-07 09:01:30:738,377307,377307,0,0,16968285,0,3563 55,3,2024-09-07 09:01:30:679,1,299,4,0,304,3165,299,0 56,0,2024-09-07 09:01:31:578,79092,1.0,74477,1.0,153628,1.3,205974,2.50 56,1,2024-09-07 09:01:30:581,524343,524343,0,0,244549044248,2585005678,516268,6525,1550,381,391867,0 56,2,2024-09-07 09:01:31:303,377582,377582,0,0,17910045,0,3567 56,3,2024-09-07 09:01:31:059,1,299,5,0,705,4033,299,0 57,0,2024-09-07 09:01:30:962,76990,1.7,76847,1.3,153937,2.3,206135,3.25 57,1,2024-09-07 09:01:30:989,526110,526110,0,0,244523165504,2562801216,520016,5389,705,366,392032,0 57,2,2024-09-07 09:01:31:333,379092,379092,0,0,19069106,0,3317 57,3,2024-09-07 09:01:31:741,1,299,14,0,359,3672,299,0 58,0,2024-09-07 09:01:30:587,72004,1.0,70061,1.0,146843,1.2,192150,2.50 58,1,2024-09-07 09:01:30:582,526832,526829,0,3,245064545363,2562531180,519843,6097,889,367,391603,3 58,2,2024-09-07 09:01:31:078,377726,377726,0,0,17309870,0,2549 58,3,2024-09-07 09:01:31:077,1,299,83,0,1043,3298,299,0 59,0,2024-09-07 09:01:31:740,71193,0.7,70890,0.9,141594,0.7,187890,2.75 59,1,2024-09-07 09:01:30:803,526091,526091,0,0,245868402613,2573659569,519289,5519,1283,369,391578,0 59,2,2024-09-07 09:01:30:592,378945,378945,0,0,16282881,0,2604 59,3,2024-09-07 09:01:31:740,1,299,6,0,1015,4063,299,0 60,0,2024-09-07 09:01:31:726,74920,0.5,74874,0.6,150635,0.4,200012,1.75 60,1,2024-09-07 09:01:30:783,528066,528066,0,0,245789578899,2549402314,525180,2360,526,370,392031,0 60,2,2024-09-07 09:01:31:147,378273,378273,0,0,17157927,0,3811 60,3,2024-09-07 09:01:31:266,1,299,1,0,175,3134,299,0 61,0,2024-09-07 09:01:31:554,78391,0.7,78853,0.8,156742,0.7,209001,2.00 61,1,2024-09-07 09:01:30:773,526070,526070,0,0,244660343675,2563966554,520188,4968,914,382,391880,0 61,2,2024-09-07 09:01:31:137,379010,379010,0,0,15748135,0,3598 61,3,2024-09-07 09:01:31:697,1,299,2,0,479,4547,299,0 62,0,2024-09-07 09:01:31:711,76667,0.6,78347,0.7,149242,0.6,202921,2.00 62,1,2024-09-07 09:01:31:128,529303,529297,0,6,246550382866,2544606874,526446,2690,161,365,391715,6 62,2,2024-09-07 09:01:31:644,376816,376815,1,0,16745968,0,5555 62,3,2024-09-07 09:01:31:143,1,299,1,0,465,2471,299,0 63,0,2024-09-07 09:01:31:469,71750,0.4,71916,0.6,143857,0.4,191284,1.75 63,1,2024-09-07 09:01:30:811,527693,527687,0,6,245959081027,2552827225,524725,2728,234,381,391677,6 63,2,2024-09-07 09:01:30:761,378183,378183,0,0,14917380,0,4369 63,3,2024-09-07 09:01:31:732,1,299,13,0,667,3320,299,0 64,0,2024-09-07 09:01:31:573,72269,0.5,72247,0.7,144527,0.5,192233,2.00 64,1,2024-09-07 09:01:30:838,526369,526369,0,0,245221773923,2560255795,520795,3918,1656,370,391783,0 64,2,2024-09-07 09:01:31:158,382111,382092,19,0,15039459,0,6121 64,3,2024-09-07 09:01:31:149,1,299,1,0,265,2916,299,0 65,0,2024-09-07 09:01:31:689,78067,0.8,78321,0.8,156405,0.8,208191,2.25 65,1,2024-09-07 09:01:30:866,525603,525603,0,0,244733561017,2560371094,521639,3516,448,382,391901,0 65,2,2024-09-07 09:01:31:710,378752,378752,0,0,16727635,0,3367 65,3,2024-09-07 09:01:31:700,1,299,1,0,163,2764,299,0 66,0,2024-09-07 09:01:31:762,77566,0.5,77453,0.7,155155,0.5,206054,2.25 66,1,2024-09-07 09:01:31:299,527035,527035,0,0,245652411223,2555765772,523565,3151,319,380,391653,0 66,2,2024-09-07 09:01:31:138,379784,379784,0,0,15136224,0,4956 66,3,2024-09-07 09:01:31:079,1,299,1,0,291,2989,299,0 67,0,2024-09-07 09:01:31:421,71072,0.6,70278,0.7,141504,0.5,188222,2.00 67,1,2024-09-07 09:01:30:775,527317,527316,0,1,244851476693,2553365652,523215,3351,750,380,391787,1 67,2,2024-09-07 09:01:30:601,379491,379491,0,0,14336296,0,2889 67,3,2024-09-07 09:01:31:750,1,299,8,0,338,2671,299,0 68,0,2024-09-07 09:01:30:620,71995,0.6,71641,0.7,143118,0.5,191486,2.00 68,1,2024-09-07 09:01:30:579,526019,526019,0,0,244489404332,2563623935,521323,3496,1200,381,391953,0 68,2,2024-09-07 09:01:31:055,376611,376546,65,0,19140961,0,6698 68,3,2024-09-07 09:01:30:730,1,299,4,0,417,3287,299,0 69,0,2024-09-07 09:01:31:755,77669,0.7,78059,0.8,155459,0.7,206732,2.25 69,1,2024-09-07 09:01:31:017,524468,524468,0,0,243935222892,2576196240,517401,5166,1901,384,391994,0 69,2,2024-09-07 09:01:31:744,377700,377700,0,0,18847785,0,3722 69,3,2024-09-07 09:01:30:761,1,299,0,0,698,4290,299,0 70,0,2024-09-07 09:01:31:534,77159,0.9,77223,1.1,155127,0.7,205872,2.50 70,1,2024-09-07 09:01:30:800,527976,527976,0,0,245814297291,2552913440,523678,3762,536,366,391725,0 70,2,2024-09-07 09:01:31:332,377806,377806,0,0,17188034,0,4044 70,3,2024-09-07 09:01:30:757,1,299,1,0,854,3473,299,0 71,0,2024-09-07 09:01:31:373,71585,1.0,71339,1.1,143328,1.2,191460,2.75 71,1,2024-09-07 09:01:31:611,525656,525656,0,0,245920610225,2567496394,519548,5452,656,368,391738,0 71,2,2024-09-07 09:01:31:068,378234,378234,0,0,17054580,0,4042 71,3,2024-09-07 09:01:31:760,1,299,338,0,644,4344,299,0 72,0,2024-09-07 09:01:31:082,74008,0.6,72365,0.8,141123,0.6,192339,2.00 72,1,2024-09-07 09:01:31:037,525729,525729,0,0,245082303422,2566507353,518514,5669,1546,369,391819,0 72,2,2024-09-07 09:01:31:763,376906,376906,0,0,19212057,0,3983 72,3,2024-09-07 09:01:31:754,1,299,63,0,564,4934,299,0 73,0,2024-09-07 09:01:31:114,74629,0.4,76219,0.6,156331,0.3,203372,2.00 73,1,2024-09-07 09:01:30:775,526878,526878,0,0,244935307881,2546526483,523166,3421,291,367,391750,0 73,2,2024-09-07 09:01:31:741,378215,378215,0,0,18616265,0,3701 73,3,2024-09-07 09:01:30:969,1,299,4,0,274,4221,299,0 74,0,2024-09-07 09:01:31:321,79137,0.5,81011,0.7,154759,0.5,209500,2.25 74,1,2024-09-07 09:01:30:649,526538,526538,0,0,244917278628,2558236544,521219,4351,968,381,391681,0 74,2,2024-09-07 09:01:31:008,378387,378387,0,0,17912195,0,4253 74,3,2024-09-07 09:01:31:448,1,299,1,0,522,3813,299,0 75,0,2024-09-07 09:01:31:782,74742,0.5,74430,0.7,149027,0.4,199145,2.25 75,1,2024-09-07 09:01:31:585,525728,525728,0,0,244785820984,2559660109,519919,5004,805,380,391739,0 75,2,2024-09-07 09:01:31:350,377421,377421,0,0,17534378,0,4766 75,3,2024-09-07 09:01:31:067,1,299,12,0,702,4248,299,0 76,0,2024-09-07 09:01:30:638,71305,0.6,70736,0.8,142108,0.6,189631,2.25 76,1,2024-09-07 09:01:30:826,526641,526641,0,0,244988217369,2556614539,523109,2979,553,382,391692,0 76,2,2024-09-07 09:01:31:068,378580,378579,1,0,16486271,0,5144 76,3,2024-09-07 09:01:31:152,1,299,1,0,175,3028,299,0 77,0,2024-09-07 09:01:31:697,73802,0.6,73923,0.8,147895,0.6,196848,2.00 77,1,2024-09-07 09:01:30:853,526942,526942,0,0,244949437111,2559574161,522733,3945,264,381,391869,0 77,2,2024-09-07 09:01:31:291,378624,378624,0,0,16415125,0,3890 77,3,2024-09-07 09:01:31:113,1,299,9,0,401,3561,299,0 78,0,2024-09-07 09:01:31:735,78743,0.5,78347,0.7,157385,0.4,208511,2.00 78,1,2024-09-07 09:01:30:621,526595,526595,0,0,245330879494,2554554905,521546,4055,994,367,391670,0 78,2,2024-09-07 09:01:31:416,379032,379032,0,0,14468223,0,3855 78,3,2024-09-07 09:01:31:136,1,299,1,0,181,2887,299,0 79,0,2024-09-07 09:01:31:351,74711,0.4,76628,0.6,156636,0.4,203689,2.25 79,1,2024-09-07 09:01:30:581,528585,528585,0,0,246501242403,2552099056,524865,3275,445,369,391682,0 79,2,2024-09-07 09:01:31:068,378881,378881,0,0,14643105,0,3212 79,3,2024-09-07 09:01:30:764,1,299,0,0,418,4129,299,0 80,0,2024-09-07 09:01:31:104,71016,0.6,72983,0.7,139899,0.5,189155,2.00 80,1,2024-09-07 09:01:31:622,526651,526651,0,0,245307699816,2553803147,523345,3129,177,368,391791,0 80,2,2024-09-07 09:01:31:321,379434,379434,0,0,14675437,0,4433 80,3,2024-09-07 09:01:30:577,1,299,32,0,190,3805,299,0 81,0,2024-09-07 09:01:31:555,71915,0.6,73697,0.7,140835,0.5,190997,2.00 81,1,2024-09-07 09:01:31:654,525765,525765,0,0,244559304879,2558737790,521229,4058,478,382,391879,0 81,2,2024-09-07 09:01:31:135,378088,378025,63,0,16785449,0,5932 81,3,2024-09-07 09:01:31:145,1,299,1,0,374,3590,299,0 82,0,2024-09-07 09:01:31:565,78404,0.5,78945,0.7,157977,0.4,210133,2.00 82,1,2024-09-07 09:01:30:591,527421,527417,0,4,245411388936,2557807806,523595,3027,795,381,391768,4 82,2,2024-09-07 09:01:31:698,379302,379302,0,0,14474895,0,3986 82,3,2024-09-07 09:01:31:752,1,299,2,0,363,3098,299,0 83,0,2024-09-07 09:01:31:525,77880,0.5,77650,0.7,154490,0.5,206036,2.00 83,1,2024-09-07 09:01:30:561,526251,526251,0,0,244849720163,2555385198,522188,3742,321,382,391709,0 83,2,2024-09-07 09:01:30:772,378387,378387,0,0,14728951,0,3393 83,3,2024-09-07 09:01:30:758,1,299,8,0,1260,4647,299,0 84,0,2024-09-07 09:01:31:769,71113,0.7,71011,0.9,142132,0.6,190072,2.25 84,1,2024-09-07 09:01:31:042,526013,526013,0,0,244905188330,2558291335,521054,4373,586,367,391967,0 84,2,2024-09-07 09:01:30:572,378318,378318,0,0,17664951,0,4757 84,3,2024-09-07 09:01:31:144,1,299,4,0,908,4795,299,0 85,0,2024-09-07 09:01:31:028,68978,0.6,69027,0.8,146257,0.6,189225,2.25 85,1,2024-09-07 09:01:30:570,525272,525272,0,0,244791892723,2583638483,517797,6354,1121,381,392006,0 85,2,2024-09-07 09:01:30:870,378683,378683,0,0,17816294,0,3656 85,3,2024-09-07 09:01:30:697,1,299,2,0,789,3948,299,0 86,0,2024-09-07 09:01:30:884,77333,0.6,79540,0.7,152100,0.6,205676,2.00 86,1,2024-09-07 09:01:30:833,526204,526204,0,0,245052597496,2562896425,520451,4920,833,366,391961,0 86,2,2024-09-07 09:01:30:870,376595,376594,1,0,18625407,0,5004 86,3,2024-09-07 09:01:30:593,1,299,8,0,286,4060,299,0 87,0,2024-09-07 09:01:31:310,77711,1.0,77679,0.9,155894,1.4,207935,2.25 87,1,2024-09-07 09:01:30:568,525656,525656,0,0,244952925231,2561828224,520455,4698,503,366,392076,0 87,2,2024-09-07 09:01:31:066,378194,378194,0,0,16661265,0,4045 87,3,2024-09-07 09:01:31:801,1,299,16,0,473,4296,299,0 88,0,2024-09-07 09:01:31:441,73318,0.5,73808,0.6,147016,0.4,195206,2.00 88,1,2024-09-07 09:01:30:572,524521,524521,0,0,244792175007,2569141543,517157,5711,1653,365,392084,0 88,2,2024-09-07 09:01:30:761,378171,378171,0,0,18499644,0,3583 88,3,2024-09-07 09:01:31:269,1,299,6,0,435,3502,299,0 89,0,2024-09-07 09:01:31:787,73653,0.5,71226,0.7,141364,0.4,191362,1.75 89,1,2024-09-07 09:01:30:567,524823,524823,0,0,244420956011,2574909481,517489,6068,1266,382,391866,0 89,2,2024-09-07 09:01:31:155,376630,376630,0,0,17869740,0,2910 89,3,2024-09-07 09:01:31:807,1,299,1,0,385,5768,299,0 90,0,2024-09-07 09:01:31:639,72813,0.4,74571,0.6,152419,0.4,199239,1.75 90,1,2024-09-07 09:01:30:605,526328,526328,0,0,244708213987,2564527322,521304,4699,325,380,391825,0 90,2,2024-09-07 09:01:31:410,376828,376828,0,0,19290817,0,3060 90,3,2024-09-07 09:01:30:936,1,299,1,0,246,3400,299,0 91,0,2024-09-07 09:01:30:927,78798,0.5,76600,0.6,159572,0.5,209650,1.75 91,1,2024-09-07 09:01:30:579,525068,525068,0,0,244767179375,2575647628,518047,6129,892,381,392047,0 91,2,2024-09-07 09:01:31:334,378527,378527,0,0,17395788,0,2896 91,3,2024-09-07 09:01:30:606,1,299,16,0,216,2731,299,0 92,0,2024-09-07 09:01:31:447,76774,0.5,78791,0.6,150419,0.5,203327,1.75 92,1,2024-09-07 09:01:30:590,526517,526517,0,0,245015615073,2558137885,523051,2986,480,382,392136,0 92,2,2024-09-07 09:01:31:350,379412,379412,0,0,14807588,0,2801 92,3,2024-09-07 09:01:31:028,1,299,4,0,167,2531,299,0 93,0,2024-09-07 09:01:31:007,72249,0.4,74073,0.6,141463,0.3,191796,1.75 93,1,2024-09-07 09:01:30:811,526514,526514,0,0,244584541625,2554595002,521290,4384,840,366,391776,0 93,2,2024-09-07 09:01:30:939,377662,377662,0,0,17219508,0,4311 93,3,2024-09-07 09:01:31:411,1,299,0,0,190,2968,299,0 94,0,2024-09-07 09:01:31:621,72357,0.4,73170,0.5,145783,0.3,193853,1.75 94,1,2024-09-07 09:01:30:573,526350,526350,0,0,245188731660,2561908534,522479,3685,186,381,391850,0 94,2,2024-09-07 09:01:30:774,378641,378641,0,0,15196748,0,2443 94,3,2024-09-07 09:01:31:697,1,299,20,0,264,3805,299,0 95,0,2024-09-07 09:01:31:388,78647,0.4,78594,0.6,157952,0.3,210021,1.75 95,1,2024-09-07 09:01:30:853,527046,527046,0,0,245979040411,2559167293,522750,3917,379,367,391713,0 95,2,2024-09-07 09:01:31:019,377951,377951,0,0,15518543,0,3308 95,3,2024-09-07 09:01:31:712,1,299,8,0,718,4954,299,0 96,0,2024-09-07 09:01:31:178,78122,0.4,78242,0.5,156099,0.3,206938,1.75 96,1,2024-09-07 09:01:31:590,526144,526144,0,0,245514587647,2564776360,521908,3453,783,384,391955,0 96,2,2024-09-07 09:01:31:268,378200,378200,0,0,15631871,0,4042 96,3,2024-09-07 09:01:31:146,1,299,2,0,411,3286,299,0 97,0,2024-09-07 09:01:31:309,70898,0.3,70506,0.5,141701,0.3,188124,1.75 97,1,2024-09-07 09:01:30:788,528226,528226,0,0,246055965804,2553504393,524446,3114,666,367,392140,0 97,2,2024-09-07 09:01:30:616,378968,378968,0,0,15127798,0,3036 97,3,2024-09-07 09:01:30:575,1,299,1,0,214,3670,299,0 98,0,2024-09-07 09:01:31:701,71814,0.3,71813,0.5,144147,0.2,191861,1.50 98,1,2024-09-07 09:01:30:577,527536,527536,0,0,245366994582,2553701365,525160,2275,101,382,391997,0 98,2,2024-09-07 09:01:30:773,378389,378389,0,0,15260062,0,3080 98,3,2024-09-07 09:01:30:701,1,299,4,0,840,5112,299,0 99,0,2024-09-07 09:01:31:465,78008,0.3,78417,0.4,156176,0.3,208414,1.75 99,1,2024-09-07 09:01:31:723,526936,526936,0,0,245627353829,2560325425,522862,3325,749,381,392069,0 99,2,2024-09-07 09:01:31:433,379805,379805,0,0,16687213,0,3424 99,3,2024-09-07 09:01:30:590,1,299,7,0,187,2525,299,0 100,0,2024-09-07 09:01:31:472,77542,0.8,77465,1.0,155220,1.0,207613,2.25 100,1,2024-09-07 09:01:30:555,523305,523305,0,0,244459916915,2586758726,515121,6693,1491,381,391989,0 100,2,2024-09-07 09:01:31:817,377199,377188,11,0,17605269,0,5417 100,3,2024-09-07 09:01:31:734,1,299,60,0,559,5313,299,0 101,0,2024-09-07 09:01:31:698,73637,1.3,71716,1.1,140715,1.0,193087,2.25 101,1,2024-09-07 09:01:30:563,524338,524338,0,0,244172440447,2574608876,515603,6732,2003,368,391769,0 101,2,2024-09-07 09:01:31:758,376276,376276,0,0,19771720,0,4644 101,3,2024-09-07 09:01:30:944,1,299,53,0,448,3631,299,0 102,0,2024-09-07 09:01:30:949,69894,0.6,72352,0.8,145804,0.6,191248,2.00 102,1,2024-09-07 09:01:31:147,525027,525027,0,0,244528406896,2569462353,518296,5825,906,369,391891,0 102,2,2024-09-07 09:01:31:741,378827,378773,54,0,17121672,0,6768 102,3,2024-09-07 09:01:31:620,1,299,3,0,410,3174,299,0 103,0,2024-09-07 09:01:31:593,78742,0.5,78762,0.7,148594,0.4,204909,2.00 103,1,2024-09-07 09:01:31:635,524157,524157,0,0,244148126339,2577602487,515832,6406,1919,381,391829,0 103,2,2024-09-07 09:01:30:588,376893,376893,0,0,17382485,0,3173 103,3,2024-09-07 09:01:30:760,1,299,12,0,916,3900,299,0 104,0,2024-09-07 09:01:31:010,77728,0.8,78204,1.0,155058,0.8,208706,2.25 104,1,2024-09-07 09:01:31:611,525649,525649,0,0,243685893215,2567216928,517127,6863,1659,365,392168,0 104,2,2024-09-07 09:01:31:669,377146,377146,0,0,18851094,0,3941 104,3,2024-09-07 09:01:31:416,1,299,1,0,1245,6848,299,0 105,0,2024-09-07 09:01:31:078,73818,1.0,71864,1.1,150163,1.2,197549,3.25 105,1,2024-09-07 09:01:30:567,525833,525833,0,0,244752584656,2571555297,518129,6404,1300,366,391797,0 105,2,2024-09-07 09:01:31:338,377367,377367,0,0,17825521,0,3509 105,3,2024-09-07 09:01:31:309,1,299,0,0,399,4699,299,0 106,0,2024-09-07 09:01:30:934,69093,0.8,70797,1.0,144985,0.9,189102,2.50 106,1,2024-09-07 09:01:31:752,525034,525034,0,0,243364089411,2560918249,516483,7547,1004,369,391865,0 106,2,2024-09-07 09:01:30:767,375851,375851,0,0,17251773,0,2795 106,3,2024-09-07 09:01:30:682,1,299,1,0,470,4111,299,0 107,0,2024-09-07 09:01:31:105,73778,0.7,73573,0.8,147242,0.7,196684,2.00 107,1,2024-09-07 09:01:30:590,524346,524346,0,0,243866354177,2570640782,517349,6278,719,381,392234,0 107,2,2024-09-07 09:01:31:291,376954,376953,1,0,18324171,0,5024 107,3,2024-09-07 09:01:31:758,1,299,44,0,353,4062,299,0 108,0,2024-09-07 09:01:31:768,78434,0.4,78742,0.6,156712,0.4,209186,1.75 108,1,2024-09-07 09:01:31:298,526054,526054,0,0,245718731510,2564967031,521468,4075,511,368,391857,0 108,2,2024-09-07 09:01:31:755,377023,377023,0,0,17216237,0,4246 108,3,2024-09-07 09:01:31:349,1,299,19,0,749,6311,299,0 109,0,2024-09-07 09:01:31:777,77659,0.4,76811,0.6,153933,0.3,205928,1.75 109,1,2024-09-07 09:01:30:605,524568,524568,0,0,245131500646,2572409969,519978,3941,649,383,392132,0 109,2,2024-09-07 09:01:30:921,375956,375956,0,0,16840577,0,3617 109,3,2024-09-07 09:01:31:139,1,299,1,0,249,3282,299,0 110,0,2024-09-07 09:01:31:773,71207,0.4,69191,0.6,144780,0.3,190008,1.75 110,1,2024-09-07 09:01:31:643,527909,527909,0,0,245097761200,2545228251,524415,2585,909,369,392045,0 110,2,2024-09-07 09:01:31:311,378262,378262,0,0,16825081,0,4067 110,3,2024-09-07 09:01:30:697,1,299,1,0,406,3769,299,0 111,0,2024-09-07 09:01:31:423,72460,0.4,71793,0.5,143752,0.3,192772,1.75 111,1,2024-09-07 09:01:30:999,527645,527645,0,0,246190815688,2555768475,524969,2333,343,382,391690,0 111,2,2024-09-07 09:01:31:116,378063,378063,0,0,15657350,0,2763 111,3,2024-09-07 09:01:30:922,1,299,14,0,379,3874,299,0 112,0,2024-09-07 09:01:30:948,79420,0.3,78792,0.4,158050,0.2,210797,1.50 112,1,2024-09-07 09:01:30:824,527809,527809,0,0,245289195841,2547814460,524390,2835,584,380,391624,0 112,2,2024-09-07 09:01:31:142,378366,378365,1,0,15293159,0,5036 112,3,2024-09-07 09:01:30:593,1,299,3,0,282,3189,299,0 113,0,2024-09-07 09:01:30:875,77486,0.3,77563,0.5,155555,0.2,207514,1.50 113,1,2024-09-07 09:01:31:690,528983,528983,0,0,246651706775,2548164896,525711,2688,584,366,391661,0 113,2,2024-09-07 09:01:31:303,379906,379906,0,0,13625091,0,3813 113,3,2024-09-07 09:01:30:688,1,299,8,0,340,3880,299,0 114,0,2024-09-07 09:01:30:880,71990,0.4,72448,0.5,143897,0.2,192095,1.75 114,1,2024-09-07 09:01:30:717,526937,526937,0,0,245509195772,2555736371,521883,3463,1591,381,391556,0 114,2,2024-09-07 09:01:30:873,378676,378675,1,0,15273293,0,5069 114,3,2024-09-07 09:01:31:278,1,299,2,0,395,2878,299,0 115,0,2024-09-07 09:01:30:578,71572,0.3,71849,0.4,143784,0.2,191353,1.50 115,1,2024-09-07 09:01:30:578,527161,527161,0,0,245647231155,2554464316,522434,3887,840,382,391656,0 115,2,2024-09-07 09:01:31:131,379390,379390,0,0,14219073,0,3453 115,3,2024-09-07 09:01:31:003,1,299,1,0,159,1783,299,0 116,0,2024-09-07 09:01:31:699,77114,0.7,76930,0.8,154242,0.7,206417,2.00 116,1,2024-09-07 09:01:30:816,524322,524322,0,0,244055391848,2578241689,517378,4805,2139,380,391782,0 116,2,2024-09-07 09:01:31:751,376748,376748,0,0,19112428,0,3529 116,3,2024-09-07 09:01:30:919,1,299,6,0,415,3951,299,0 117,0,2024-09-07 09:01:30:968,78251,0.9,77853,0.9,155682,1.1,208259,2.00 117,1,2024-09-07 09:01:31:590,525428,525428,0,0,244478325232,2560347622,519866,5011,551,370,392033,0 117,2,2024-09-07 09:01:31:118,381059,381059,0,0,15533781,0,3700 117,3,2024-09-07 09:01:31:059,1,299,2,0,490,4527,299,0 118,0,2024-09-07 09:01:31:803,71154,0.6,73219,0.7,148943,0.5,194407,2.00 118,1,2024-09-07 09:01:30:596,525099,525099,0,0,243632244847,2564623733,517233,6027,1839,366,391736,0 118,2,2024-09-07 09:01:31:590,377434,377434,0,0,16927683,0,2781 118,3,2024-09-07 09:01:31:764,1,299,1,0,235,3332,299,0 119,0,2024-09-07 09:01:31:361,71130,0.8,71568,0.8,143196,0.8,190233,2.25 119,1,2024-09-07 09:01:30:549,526356,526356,0,0,245123052881,2563264517,520652,5036,668,367,391780,0 119,2,2024-09-07 09:01:31:270,378307,378307,0,0,15944982,0,4174 119,3,2024-09-07 09:01:31:352,1,299,12,0,563,4614,299,0 120,0,2024-09-07 09:01:31:579,74451,0.6,74336,0.8,148921,0.5,199822,2.25 120,1,2024-09-07 09:01:30:858,525750,525750,0,0,244378513343,2566586377,519917,5411,422,368,391961,0 120,2,2024-09-07 09:01:30:779,377573,377572,1,0,19296919,0,5281 120,3,2024-09-07 09:01:31:290,1,299,1,0,241,3884,299,0 121,0,2024-09-07 09:01:31:701,78355,1.2,78395,1.1,157364,1.6,209054,2.25 121,1,2024-09-07 09:01:31:655,526002,526002,0,0,244780998012,2562825160,520583,4988,431,367,391840,0 121,2,2024-09-07 09:01:31:137,377147,377147,0,0,18830737,0,4127 121,3,2024-09-07 09:01:30:726,1,299,0,0,269,3607,299,0 122,0,2024-09-07 09:01:31:764,75989,0.8,74006,0.9,155147,0.9,203318,2.00 122,1,2024-09-07 09:01:30:878,524983,524983,0,0,244853684758,2571855657,517390,6460,1133,366,392130,0 122,2,2024-09-07 09:01:31:321,377131,377058,73,0,20679214,0,5989 122,3,2024-09-07 09:01:30:599,1,299,1,0,411,5830,299,0 123,0,2024-09-07 09:01:31:067,71514,0.8,69927,0.8,145910,0.9,191056,2.25 123,1,2024-09-07 09:01:30:751,525140,525140,0,0,244543106137,2580084113,515196,8003,1941,369,392039,0 123,2,2024-09-07 09:01:31:022,375707,375706,1,0,17430317,0,5215 123,3,2024-09-07 09:01:31:138,1,299,22,0,168,3568,299,0 124,0,2024-09-07 09:01:30:958,74962,0.4,75017,0.5,141302,0.3,194477,1.75 124,1,2024-09-07 09:01:31:022,527213,527213,0,0,245098944062,2553740940,522821,3488,904,367,392178,0 124,2,2024-09-07 09:01:31:010,379132,379132,0,0,15130547,0,3101 124,3,2024-09-07 09:01:30:764,1,299,1,0,490,3261,299,0 125,0,2024-09-07 09:01:31:452,79027,0.4,78665,0.6,158203,0.4,210347,1.75 125,1,2024-09-07 09:01:30:863,525455,525455,0,0,245559768428,2564585449,521457,3548,450,383,391702,0 125,2,2024-09-07 09:01:31:117,378302,378302,0,0,16329478,0,4534 125,3,2024-09-07 09:01:31:135,1,299,1,0,709,4307,299,0 126,0,2024-09-07 09:01:31:418,77992,0.5,80143,0.6,153200,0.4,207765,1.75 126,1,2024-09-07 09:01:30:569,527774,527774,0,0,245670760456,2546682391,524724,2778,272,365,391987,0 126,2,2024-09-07 09:01:30:616,379356,379356,0,0,15995497,0,3186 126,3,2024-09-07 09:01:30:910,1,299,1,0,207,3981,299,0 127,0,2024-09-07 09:01:31:598,70579,0.3,70926,0.5,141439,0.3,188152,1.75 127,1,2024-09-07 09:01:30:579,527208,527208,0,0,245262820373,2546368066,522976,3718,514,365,391816,0 127,2,2024-09-07 09:01:30:649,378603,378603,0,0,14578145,0,2264 127,3,2024-09-07 09:01:31:268,1,299,1,0,968,3712,299,0 128,0,2024-09-07 09:01:31:654,72081,0.3,72203,0.5,144190,0.2,191976,1.50 128,1,2024-09-07 09:01:31:623,526558,526558,0,0,245835211771,2555977014,522632,3559,367,367,391798,0 128,2,2024-09-07 09:01:31:387,379250,379250,0,0,14746742,0,2915 128,3,2024-09-07 09:01:30:780,1,299,3,0,1082,5768,299,0 129,0,2024-09-07 09:01:31:093,78499,0.3,78166,0.5,156516,0.3,208217,1.75 129,1,2024-09-07 09:01:30:612,523514,523514,0,0,244034456456,2560098059,518224,4078,1212,379,391835,0 129,2,2024-09-07 09:01:30:692,378599,378599,0,0,15355996,0,4031 129,3,2024-09-07 09:01:30:697,1,299,156,0,469,4276,299,0 130,0,2024-09-07 09:01:31:735,78458,0.5,78042,0.6,156658,0.6,208743,1.75 130,1,2024-09-07 09:01:30:589,526896,526896,0,0,245576233546,2555521554,523726,2933,237,381,391825,0 130,2,2024-09-07 09:01:31:136,379877,379877,0,0,15209994,0,4067 130,3,2024-09-07 09:01:31:291,1,299,3,0,450,3818,299,0 131,0,2024-09-07 09:01:31:926,72024,0.4,72521,0.5,145636,0.3,192789,1.75 131,1,2024-09-07 09:01:31:820,526783,526783,0,0,245439644700,2563556389,522637,3405,741,381,391865,0 131,2,2024-09-07 09:01:30:586,380350,380350,0,0,13977867,0,2415 131,3,2024-09-07 09:01:31:690,1,299,8,0,392,3237,299,0 132,0,2024-09-07 09:01:31:519,71521,0.5,72410,0.6,144290,0.4,191958,2.00 132,1,2024-09-07 09:01:30:588,524161,524161,0,0,244473215883,2578661315,515945,6754,1462,381,392097,0 132,2,2024-09-07 09:01:30:708,377743,377743,0,0,18304167,0,4606 132,3,2024-09-07 09:01:31:693,1,299,6,0,356,4120,299,0 133,0,2024-09-07 09:01:31:696,74521,0.4,76173,0.6,156411,0.3,203635,1.75 133,1,2024-09-07 09:01:30:597,524655,524655,0,0,244062905818,2575776287,516891,6682,1082,383,391914,0 133,2,2024-09-07 09:01:31:108,376950,376950,0,0,19501849,0,4315 133,3,2024-09-07 09:01:31:302,1,299,15,0,276,2841,299,0 134,0,2024-09-07 09:01:30:953,78775,0.5,78759,0.7,157374,0.5,209796,2.00 134,1,2024-09-07 09:01:30:591,525064,525064,0,0,243761823787,2560447385,517974,5613,1477,366,391718,0 134,2,2024-09-07 09:01:31:760,377967,377967,0,0,16470600,0,3847 134,3,2024-09-07 09:01:30:764,1,299,0,0,739,4199,299,0 135,0,2024-09-07 09:01:31:106,72132,0.7,72137,0.9,153003,0.8,197320,2.00 135,1,2024-09-07 09:01:31:584,524908,524908,0,0,245426462116,2584922768,517335,6382,1191,380,391805,0 135,2,2024-09-07 09:01:30:693,378273,378273,0,0,17566039,0,3981 135,3,2024-09-07 09:01:31:002,1,299,9,0,299,2290,299,0 136,0,2024-09-07 09:01:31:646,72031,0.6,71999,0.7,143538,0.5,191061,2.25 136,1,2024-09-07 09:01:31:455,525199,525199,0,0,244250641627,2569341629,518551,5964,684,382,391685,0 136,2,2024-09-07 09:01:31:155,377911,377911,0,0,17484862,0,3506 136,3,2024-09-07 09:01:31:128,1,299,2,0,301,2935,299,0 137,0,2024-09-07 09:01:30:937,76016,0.7,73940,0.8,145321,0.7,197800,2.00 137,1,2024-09-07 09:01:30:578,524826,524826,0,0,244581104040,2570546555,516053,6971,1802,366,391708,0 137,2,2024-09-07 09:01:31:716,377627,377627,0,0,19519759,0,3185 137,3,2024-09-07 09:01:30:770,1,299,9,0,382,3690,299,0 138,0,2024-09-07 09:01:31:786,77840,1.5,78048,1.1,156590,2.1,208088,2.50 138,1,2024-09-07 09:01:31:691,524707,524707,0,0,245624156294,2582834003,516083,7046,1578,368,391954,0 138,2,2024-09-07 09:01:30:594,377929,377929,0,0,17873185,0,4988 138,3,2024-09-07 09:01:30:622,1,299,16,0,1160,4262,299,0 139,0,2024-09-07 09:01:31:358,76080,1.4,76463,1.1,153053,2.1,204120,2.50 139,1,2024-09-07 09:01:30:572,523071,523071,0,0,242771269727,2582014739,512912,7639,2520,381,391892,0 139,2,2024-09-07 09:01:30:693,375574,375574,0,0,18521775,0,3097 139,3,2024-09-07 09:01:31:662,1,299,8,0,257,3335,299,0 140,0,2024-09-07 09:01:31:596,71379,0.3,70965,0.5,142640,0.2,190276,1.75 140,1,2024-09-07 09:01:31:540,528343,528343,0,0,246018397397,2539995365,525319,2586,438,365,391606,0 140,2,2024-09-07 09:01:30:697,378906,378906,0,0,14842746,0,3388 140,3,2024-09-07 09:01:30:782,1,299,8,0,247,2554,299,0 141,0,2024-09-07 09:01:31:709,72107,0.3,74183,0.5,141841,0.3,192610,1.75 141,1,2024-09-07 09:01:30:860,528064,528064,0,0,245626739838,2551783233,524493,3112,459,379,391614,0 141,2,2024-09-07 09:01:31:687,378767,378767,0,0,15157558,0,3360 141,3,2024-09-07 09:01:31:043,1,299,14,0,391,3114,299,0 142,0,2024-09-07 09:01:31:347,79537,0.3,78857,0.5,157313,0.3,210968,1.75 142,1,2024-09-07 09:01:30:611,526873,526873,0,0,244801708257,2555634469,523144,3380,349,382,392102,0 142,2,2024-09-07 09:01:31:303,377929,377897,32,0,16779450,0,6028 142,3,2024-09-07 09:01:31:769,1,299,7,0,484,3945,299,0 143,0,2024-09-07 09:01:31:391,77806,0.5,77819,0.6,155808,0.5,207572,1.75 143,1,2024-09-07 09:01:30:571,527652,527652,0,0,245135490499,2545505878,524098,3410,144,367,391705,0 143,2,2024-09-07 09:01:30:884,378495,378495,0,0,15374515,0,2750 143,3,2024-09-07 09:01:31:151,1,299,8,0,303,3710,299,0 144,0,2024-09-07 09:01:31:501,69309,0.6,71283,0.8,145197,0.5,190314,2.00 144,1,2024-09-07 09:01:30:569,524565,524565,0,0,244531555149,2568067243,519731,3897,937,381,391649,0 144,2,2024-09-07 09:01:31:766,379256,379256,0,0,14694372,0,3473 144,3,2024-09-07 09:01:31:739,1,299,1,0,249,3285,299,0 145,0,2024-09-07 09:01:31:356,69078,0.5,69097,0.8,146673,0.5,189220,2.25 145,1,2024-09-07 09:01:30:561,524324,524324,0,0,244345094012,2570711034,517759,5565,1000,382,391698,0 145,2,2024-09-07 09:01:31:429,377098,377098,0,0,17649364,0,3903 145,3,2024-09-07 09:01:30:897,1,299,13,0,622,4633,299,0 146,0,2024-09-07 09:01:31:648,77391,0.5,76723,0.7,154699,0.5,205632,2.00 146,1,2024-09-07 09:01:31:653,525519,525519,0,0,244353940240,2572509139,516657,6965,1897,368,391770,0 146,2,2024-09-07 09:01:31:702,377232,377232,0,0,17549066,0,2730 146,3,2024-09-07 09:01:31:282,1,299,12,0,1520,6630,299,0 147,0,2024-09-07 09:01:31:730,78147,0.6,77870,0.8,155018,0.6,207771,2.00 147,1,2024-09-07 09:01:31:372,527183,527183,0,0,245681935514,2558769182,522112,4279,792,368,391791,0 147,2,2024-09-07 09:01:31:010,379596,379596,0,0,15026200,0,2789 147,3,2024-09-07 09:01:30:919,1,299,60,0,730,4564,299,0 0,0,2024-09-07 09:01:41:744,73129,0.6,73126,0.7,155046,0.6,201049,2.00 0,1,2024-09-07 09:01:40:815,527846,527846,0,0,246256599960,2577604311,523855,3751,240,370,391896,0 0,2,2024-09-07 09:01:41:087,379500,379500,0,0,15566865,0,4480 0,3,2024-09-07 09:01:40:974,1,300,1,0,319,3834,300,0 1,0,2024-09-07 09:01:41:849,78750,0.9,78278,1.0,157323,1.1,209887,2.00 1,1,2024-09-07 09:01:40:562,526991,526991,0,0,245155631612,2570446171,521721,4065,1205,370,391857,0 1,2,2024-09-07 09:01:40:683,378612,378612,0,0,15145357,0,3267 1,3,2024-09-07 09:01:41:307,1,300,9,0,262,3396,300,0 2,0,2024-09-07 09:01:41:589,76244,0.7,76600,0.8,151809,0.8,203113,2.00 2,1,2024-09-07 09:01:40:862,528661,528661,0,0,245656982750,2554237437,525779,2589,293,380,391745,0 2,2,2024-09-07 09:01:41:271,379613,379613,0,0,15109093,0,3594 2,3,2024-09-07 09:01:40:690,1,300,1,0,357,3092,300,0 3,0,2024-09-07 09:01:41:744,71832,0.4,71978,0.6,143721,0.4,191095,2.00 3,1,2024-09-07 09:01:41:619,527490,527490,0,0,245727210632,2563135738,522469,4398,623,380,391556,0 3,2,2024-09-07 09:01:41:143,379292,379269,23,0,15481197,0,5851 3,3,2024-09-07 09:01:41:752,1,300,8,0,103,1810,300,0 4,0,2024-09-07 09:01:41:766,70931,0.4,73057,0.5,148291,0.3,194848,1.75 4,1,2024-09-07 09:01:40:597,526705,526705,0,0,245098481569,2578936528,520583,5058,1064,371,391992,0 4,2,2024-09-07 09:01:41:024,378040,378040,0,0,17998914,0,4528 4,3,2024-09-07 09:01:41:032,1,300,8,0,448,4328,300,0 5,0,2024-09-07 09:01:41:510,78979,0.5,79214,0.6,157900,0.5,210050,1.75 5,1,2024-09-07 09:01:40:757,526826,526826,0,0,245615373617,2584993929,520052,5420,1354,368,392005,0 5,2,2024-09-07 09:01:41:843,378145,378145,0,0,16853047,0,2432 5,3,2024-09-07 09:01:41:738,1,300,0,0,457,4542,300,0 6,0,2024-09-07 09:01:40:918,78542,0.5,77967,0.7,155934,0.4,208340,2.00 6,1,2024-09-07 09:01:40:753,527311,527311,0,0,245481125388,2565369719,521756,4599,956,379,391694,0 6,2,2024-09-07 09:01:41:123,379066,379066,0,0,15947832,0,4816 6,3,2024-09-07 09:01:41:278,1,300,1,0,340,3474,300,0 7,0,2024-09-07 09:01:41:604,70520,0.5,70836,0.6,141133,0.4,187791,2.00 7,1,2024-09-07 09:01:40:850,526537,526537,0,0,245309070677,2577640741,519381,6040,1116,382,391747,0 7,2,2024-09-07 09:01:40:774,379356,379356,0,0,15895004,0,4791 7,3,2024-09-07 09:01:40:852,1,300,1,0,398,3502,300,0 8,0,2024-09-07 09:01:41:351,72371,0.4,72143,0.5,144359,0.3,193227,1.75 8,1,2024-09-07 09:01:41:021,526000,526000,0,0,245616341745,2590508034,516500,7288,2212,366,392144,0 8,2,2024-09-07 09:01:40:792,375547,375547,0,0,19834049,0,3220 8,3,2024-09-07 09:01:40:585,1,300,5,0,538,5172,300,0 9,0,2024-09-07 09:01:41:126,78444,0.3,76183,0.5,159495,0.3,208754,1.75 9,1,2024-09-07 09:01:40:550,526402,526402,0,0,246033404281,2593325093,518717,6016,1669,369,392001,0 9,2,2024-09-07 09:01:41:098,378753,378753,0,0,17660408,0,3360 9,3,2024-09-07 09:01:41:752,1,300,0,0,496,4499,300,0 10,0,2024-09-07 09:01:41:627,78375,0.3,77759,0.5,156254,0.3,208536,1.75 10,1,2024-09-07 09:01:40:583,527064,527064,0,0,246270540465,2581892917,519795,6282,987,381,391741,0 10,2,2024-09-07 09:01:40:762,379283,379283,0,0,18911827,0,4264 10,3,2024-09-07 09:01:40:873,1,300,2,0,296,2779,300,0 11,0,2024-09-07 09:01:41:011,71908,0.5,69847,0.7,146115,0.4,193277,1.75 11,1,2024-09-07 09:01:40:584,527135,527135,0,0,245277497450,2580961119,517556,7165,2414,383,391664,0 11,2,2024-09-07 09:01:41:126,379380,379380,0,0,17098961,0,4130 11,3,2024-09-07 09:01:41:304,1,300,8,0,843,4668,300,0 12,0,2024-09-07 09:01:41:190,72512,0.3,72503,0.5,144862,0.3,192826,1.75 12,1,2024-09-07 09:01:40:940,527839,527839,0,0,246013645388,2568527948,523181,4174,484,370,391870,0 12,2,2024-09-07 09:01:41:542,379703,379703,0,0,16983884,0,3469 12,3,2024-09-07 09:01:41:059,1,300,68,0,386,4890,300,0 13,0,2024-09-07 09:01:41:362,77260,0.4,77062,0.5,154125,0.4,205428,1.75 13,1,2024-09-07 09:01:41:555,526894,526894,0,0,245079738533,2578024814,522028,3564,1302,382,391740,0 13,2,2024-09-07 09:01:40:595,379942,379942,0,0,15792533,0,3287 13,3,2024-09-07 09:01:41:761,1,300,1,0,522,5082,300,0 14,0,2024-09-07 09:01:40:562,78995,0.4,79592,0.6,157532,0.4,209891,1.75 14,1,2024-09-07 09:01:41:561,530348,530348,0,0,246668940530,2556470735,526437,3632,279,364,391571,0 14,2,2024-09-07 09:01:40:764,379690,379690,0,0,15622805,0,2896 14,3,2024-09-07 09:01:41:115,1,300,1,0,1168,4004,300,0 15,0,2024-09-07 09:01:41:576,74657,0.4,74787,0.7,149400,0.4,198564,2.00 15,1,2024-09-07 09:01:41:608,528587,528587,0,0,245892699204,2557428365,525668,2740,179,381,391619,0 15,2,2024-09-07 09:01:41:007,380949,380949,0,0,13336673,0,3043 15,3,2024-09-07 09:01:41:405,1,300,1,0,1126,5703,300,0 16,0,2024-09-07 09:01:40:950,71832,0.6,72028,0.8,143520,0.7,190800,2.25 16,1,2024-09-07 09:01:40:573,528242,528242,0,0,246300754164,2575276110,523976,3907,359,370,391756,0 16,2,2024-09-07 09:01:41:434,378716,378716,0,0,16391431,0,4719 16,3,2024-09-07 09:01:41:144,1,300,185,0,317,4498,300,0 17,0,2024-09-07 09:01:41:792,76262,0.7,74475,0.9,145662,0.7,198275,2.00 17,1,2024-09-07 09:01:40:576,527272,527272,0,0,244930743008,2575414657,521191,4826,1255,368,391899,0 17,2,2024-09-07 09:01:41:679,381856,381856,0,0,16229182,0,2857 17,3,2024-09-07 09:01:40:579,1,300,13,0,268,4708,300,0 18,0,2024-09-07 09:01:40:947,77567,0.7,78065,0.8,155488,0.8,207364,2.25 18,1,2024-09-07 09:01:41:641,528845,528845,0,0,246769568958,2560020123,525627,2908,310,367,391649,0 18,2,2024-09-07 09:01:41:754,380678,380678,0,0,15214170,0,3541 18,3,2024-09-07 09:01:40:900,1,300,5,0,163,2724,300,0 19,0,2024-09-07 09:01:41:557,76781,0.6,77387,0.8,153445,0.6,204441,2.25 19,1,2024-09-07 09:01:40:567,528664,528664,0,0,246301327902,2559668524,523612,4214,838,367,391777,0 19,2,2024-09-07 09:01:41:759,380716,380716,0,0,13671260,0,3988 19,3,2024-09-07 09:01:41:137,1,300,18,0,524,2306,300,0 20,0,2024-09-07 09:01:41:366,71257,0.6,71240,0.7,142650,0.6,190555,2.25 20,1,2024-09-07 09:01:40:582,527293,527293,0,0,245454569329,2566539350,523180,3710,403,369,391886,0 20,2,2024-09-07 09:01:40:950,379693,379693,0,0,15687530,0,3721 20,3,2024-09-07 09:01:40:596,1,300,5,0,414,5005,300,0 21,0,2024-09-07 09:01:41:134,72534,0.5,72599,0.7,144953,0.5,192197,2.00 21,1,2024-09-07 09:01:41:554,525946,525946,0,0,244625560816,2579599327,518520,5649,1777,368,392016,0 21,2,2024-09-07 09:01:41:070,378469,378469,0,0,18583007,0,3747 21,3,2024-09-07 09:01:41:404,1,300,1,0,103,3089,300,0 22,0,2024-09-07 09:01:41:718,79001,0.6,79275,0.7,158441,0.5,210153,2.25 22,1,2024-09-07 09:01:41:025,527402,527402,0,0,245098773662,2578693807,519619,6116,1667,382,391667,0 22,2,2024-09-07 09:01:40:760,378910,378910,0,0,15809869,0,3134 22,3,2024-09-07 09:01:41:069,1,300,1,0,228,2716,300,0 23,0,2024-09-07 09:01:41:367,77593,0.6,77387,0.7,155168,0.6,207069,2.25 23,1,2024-09-07 09:01:41:004,527372,527372,0,0,245668772542,2582671981,518147,6287,2938,365,391690,0 23,2,2024-09-07 09:01:41:098,379641,379641,0,0,15247051,0,3010 23,3,2024-09-07 09:01:41:760,1,300,13,0,645,3637,300,0 24,0,2024-09-07 09:01:40:827,72273,0.4,72053,0.6,144747,0.4,191874,1.75 24,1,2024-09-07 09:01:40:585,526692,526692,0,0,244318181701,2565248834,520294,4876,1522,368,391987,0 24,2,2024-09-07 09:01:41:087,378364,378364,0,0,18593897,0,3607 24,3,2024-09-07 09:01:41:693,1,300,8,0,468,4216,300,0 25,0,2024-09-07 09:01:41:365,73593,0.4,71750,0.6,140797,0.4,192069,2.00 25,1,2024-09-07 09:01:40:561,527896,527896,0,0,245793917765,2575911920,521988,5086,822,371,391928,0 25,2,2024-09-07 09:01:41:624,378786,378786,0,0,19035029,0,3978 25,3,2024-09-07 09:01:41:002,1,300,57,0,255,3181,300,0 26,0,2024-09-07 09:01:41:723,77456,0.4,75637,0.5,158702,0.3,206863,1.75 26,1,2024-09-07 09:01:41:569,527996,527996,0,0,245406865930,2573715870,520219,6529,1248,380,391748,0 26,2,2024-09-07 09:01:40:872,379278,379278,0,0,18765990,0,2809 26,3,2024-09-07 09:01:41:714,1,300,7,0,796,3836,300,0 27,0,2024-09-07 09:01:41:723,78502,0.5,78629,0.6,155525,0.5,208000,2.00 27,1,2024-09-07 09:01:41:679,529584,529584,0,0,246112806819,2564245921,524732,4091,761,381,391626,0 27,2,2024-09-07 09:01:40:866,377276,377211,65,0,18685145,0,5699 27,3,2024-09-07 09:01:41:023,1,300,5,0,564,3206,300,0 28,0,2024-09-07 09:01:41:398,73560,0.4,73356,0.6,146987,0.4,195699,2.00 28,1,2024-09-07 09:01:40:797,528761,528761,0,0,246561784482,2571476296,524681,3405,675,383,391646,0 28,2,2024-09-07 09:01:41:764,379423,379423,0,0,15413427,0,2915 28,3,2024-09-07 09:01:41:777,1,300,4,0,502,3037,300,0 29,0,2024-09-07 09:01:41:394,73651,0.4,71808,0.6,140560,0.3,191849,1.75 29,1,2024-09-07 09:01:41:564,529703,529703,0,0,246898544771,2557226856,525973,3147,583,369,391753,0 29,2,2024-09-07 09:01:40:872,378451,378451,0,0,15210516,0,4233 29,3,2024-09-07 09:01:40:963,1,300,1,0,374,2949,300,0 30,0,2024-09-07 09:01:41:456,75115,0.5,73074,0.7,152797,0.4,200709,2.00 30,1,2024-09-07 09:01:40:573,529864,529864,0,0,246558506643,2562139682,525777,3481,606,382,391672,0 30,2,2024-09-07 09:01:41:274,379327,379327,0,0,14732172,0,3161 30,3,2024-09-07 09:01:40:584,1,300,12,0,519,2864,300,0 31,0,2024-09-07 09:01:41:758,78318,0.5,78648,0.6,157322,0.4,209518,2.00 31,1,2024-09-07 09:01:40:572,531747,531747,0,0,247292372122,2537501143,529661,1657,429,356,391712,0 31,2,2024-09-07 09:01:41:278,379511,379511,0,0,16306864,0,3525 31,3,2024-09-07 09:01:41:710,1,300,21,0,220,2338,300,0 32,0,2024-09-07 09:01:41:436,76256,0.3,76574,0.5,153127,0.3,203474,1.75 32,1,2024-09-07 09:01:40:809,529195,529195,0,0,246779416838,2565014713,526136,2614,445,381,391646,0 32,2,2024-09-07 09:01:40:938,379630,379630,0,0,14046963,0,3155 32,3,2024-09-07 09:01:41:022,1,300,1,0,227,2328,300,0 33,0,2024-09-07 09:01:41:532,72285,0.3,71723,0.5,144121,0.2,191958,1.75 33,1,2024-09-07 09:01:40:576,529222,529222,0,0,247232423605,2562473897,524879,3513,830,369,391730,0 33,2,2024-09-07 09:01:40:759,379987,379952,35,0,15971199,0,7012 33,3,2024-09-07 09:01:40:899,1,300,3,0,329,3318,300,0 34,0,2024-09-07 09:01:40:940,73236,0.3,75398,0.5,144317,0.2,194188,1.75 34,1,2024-09-07 09:01:41:046,530829,530829,0,0,247149251934,2542887843,529442,1380,7,367,391562,0 34,2,2024-09-07 09:01:40:766,379908,379908,0,0,15140275,0,3577 34,3,2024-09-07 09:01:41:688,1,300,1,0,299,2345,300,0 35,0,2024-09-07 09:01:40:859,78184,0.4,78641,0.5,158258,0.3,210573,1.75 35,1,2024-09-07 09:01:41:089,528846,528846,0,0,245935637060,2551962476,525254,2812,780,382,391589,0 35,2,2024-09-07 09:01:41:599,379578,379578,0,0,15382979,0,2653 35,3,2024-09-07 09:01:40:907,1,300,13,0,418,4007,300,0 36,0,2024-09-07 09:01:41:519,78345,0.5,78351,0.7,156719,0.5,208040,2.25 36,1,2024-09-07 09:01:40:585,528616,528616,0,0,246179153947,2572811491,522216,4816,1584,366,391759,0 36,2,2024-09-07 09:01:41:754,379105,379105,0,0,16729448,0,3875 36,3,2024-09-07 09:01:40:886,1,300,11,0,416,4792,300,0 37,0,2024-09-07 09:01:41:373,70440,0.5,70470,0.7,140993,0.4,188789,2.00 37,1,2024-09-07 09:01:40:577,527343,527336,0,7,245767635225,2571275635,520952,4313,2071,365,391560,0 37,2,2024-09-07 09:01:41:147,378321,378306,15,0,16464123,0,5815 37,3,2024-09-07 09:01:41:765,1,300,1,0,888,5343,300,0 38,0,2024-09-07 09:01:41:441,71704,0.5,69632,0.7,145722,0.4,191120,2.00 38,1,2024-09-07 09:01:41:611,529552,529552,0,0,247168954690,2575031168,524065,4810,677,368,391821,0 38,2,2024-09-07 09:01:40:760,378965,378918,47,0,17650540,0,6710 38,3,2024-09-07 09:01:41:001,1,300,1,0,689,4199,300,0 39,0,2024-09-07 09:01:41:774,80132,0.5,78606,0.7,152831,0.5,209004,2.00 39,1,2024-09-07 09:01:40:717,527884,527884,0,0,245773835616,2572306947,520379,6230,1275,365,391594,0 39,2,2024-09-07 09:01:41:417,379211,379211,0,0,15652048,0,2689 39,3,2024-09-07 09:01:40:732,1,300,12,0,324,3864,300,0 40,0,2024-09-07 09:01:41:513,77404,0.8,78043,0.9,156071,0.8,208168,2.75 40,1,2024-09-07 09:01:40:577,528371,528371,0,0,245231986638,2569707853,520429,6488,1454,368,391668,0 40,2,2024-09-07 09:01:41:303,378891,378890,1,0,18729977,0,5137 40,3,2024-09-07 09:01:41:148,1,300,10,0,398,3522,300,0 41,0,2024-09-07 09:01:41:034,71658,1.6,73478,1.3,140325,2.6,191168,3.25 41,1,2024-09-07 09:01:40:782,527715,527715,0,0,245930091419,2573048693,521452,5623,640,370,391742,0 41,2,2024-09-07 09:01:40:767,378360,378360,0,0,17688557,0,3356 41,3,2024-09-07 09:01:41:677,1,300,1,0,366,3179,300,0 42,0,2024-09-07 09:01:41:478,71408,0.7,71377,0.9,143249,0.7,189402,2.50 42,1,2024-09-07 09:01:41:440,526768,526768,0,0,244935904019,2575107113,518456,6749,1563,380,391675,0 42,2,2024-09-07 09:01:41:133,379646,379646,0,0,17519070,0,3568 42,3,2024-09-07 09:01:41:011,1,300,4,0,446,2999,300,0 43,0,2024-09-07 09:01:40:925,76295,0.7,74454,0.9,155783,0.8,204825,2.25 43,1,2024-09-07 09:01:40:576,528336,528336,0,0,246557702175,2578170952,520778,6192,1366,366,391696,0 43,2,2024-09-07 09:01:41:737,379091,379091,0,0,17746512,0,3812 43,3,2024-09-07 09:01:41:749,1,300,8,0,467,3727,300,0 44,0,2024-09-07 09:01:40:865,78792,0.5,79232,0.6,157959,0.4,210029,1.75 44,1,2024-09-07 09:01:40:563,529654,529654,0,0,245573078555,2541147448,525348,3361,945,356,391809,0 44,2,2024-09-07 09:01:41:277,378957,378957,0,0,14965310,0,4344 44,3,2024-09-07 09:01:41:104,1,300,0,0,817,4003,300,0 45,0,2024-09-07 09:01:41:757,73679,0.5,71935,0.8,150950,0.5,198322,2.00 45,1,2024-09-07 09:01:41:036,528946,528946,0,0,246545407262,2559596781,526052,2633,261,382,391917,0 45,2,2024-09-07 09:01:41:268,379751,379751,0,0,14992405,0,3596 45,3,2024-09-07 09:01:40:939,1,300,2,0,271,3046,300,0 46,0,2024-09-07 09:01:40:971,71195,0.5,71261,0.7,142654,0.4,188719,2.00 46,1,2024-09-07 09:01:40:577,530422,530422,0,0,246801310823,2549120089,527340,2726,356,366,391539,0 46,2,2024-09-07 09:01:40:593,379596,379596,0,0,14607962,0,2920 46,3,2024-09-07 09:01:41:131,1,300,1,0,908,4404,300,0 47,0,2024-09-07 09:01:41:107,74485,0.5,74616,0.6,149392,0.4,197859,1.75 47,1,2024-09-07 09:01:40:581,530078,530078,0,0,246919195704,2551724120,527033,2686,359,366,391641,0 47,2,2024-09-07 09:01:40:908,381454,381454,0,0,15040053,0,4477 47,3,2024-09-07 09:01:41:115,1,300,13,0,529,3323,300,0 48,0,2024-09-07 09:01:41:510,79324,0.3,78773,0.4,157089,0.2,209461,1.75 48,1,2024-09-07 09:01:41:022,529094,529094,0,0,246492150076,2562541694,525570,3223,301,384,391710,0 48,2,2024-09-07 09:01:40:710,379592,379592,0,0,14049109,0,3031 48,3,2024-09-07 09:01:40:753,1,300,1,0,339,2684,300,0 49,0,2024-09-07 09:01:41:712,79330,0.3,77899,0.5,151049,0.3,206806,1.75 49,1,2024-09-07 09:01:41:036,528286,528286,0,0,246425299786,2569326761,523994,3108,1184,382,391809,0 49,2,2024-09-07 09:01:41:797,380310,380310,0,0,14741711,0,4426 49,3,2024-09-07 09:01:41:425,1,300,4,0,408,3277,300,0 50,0,2024-09-07 09:01:41:519,71490,0.3,70771,0.5,142537,0.2,190323,1.75 50,1,2024-09-07 09:01:41:015,530222,530222,0,0,247572531891,2562855415,526455,3393,374,368,391540,0 50,2,2024-09-07 09:01:41:084,379667,379667,0,0,13856469,0,2263 50,3,2024-09-07 09:01:41:291,1,300,187,0,335,2691,300,0 51,0,2024-09-07 09:01:41:692,74315,0.3,72587,0.5,141760,0.2,193086,1.75 51,1,2024-09-07 09:01:41:692,530389,530389,0,0,247278983653,2554894100,527137,2278,974,365,391706,0 51,2,2024-09-07 09:01:41:318,379624,379624,0,0,13539204,0,3337 51,3,2024-09-07 09:01:41:035,1,300,12,0,678,2333,300,0 52,0,2024-09-07 09:01:41:421,79562,0.5,79460,0.7,158636,0.4,211451,2.00 52,1,2024-09-07 09:01:40:576,528065,528065,0,0,245606343671,2574635809,520213,6598,1254,368,391722,0 52,2,2024-09-07 09:01:41:755,376525,376487,38,0,17846138,0,6742 52,3,2024-09-07 09:01:40:674,1,300,5,0,1782,4745,300,0 53,0,2024-09-07 09:01:41:734,77417,0.7,75300,0.8,157253,0.7,206654,2.25 53,1,2024-09-07 09:01:40:782,526638,526638,0,0,245602040079,2578860081,518314,5875,2449,367,391702,0 53,2,2024-09-07 09:01:41:298,380019,380019,0,0,14810413,0,2727 53,3,2024-09-07 09:01:40:704,1,300,1,0,308,2814,300,0 54,0,2024-09-07 09:01:41:622,70620,0.6,71090,0.8,141287,0.4,189044,2.50 54,1,2024-09-07 09:01:40:580,528479,528479,0,0,246883177711,2567547820,523182,4696,601,367,391659,0 54,2,2024-09-07 09:01:40:872,379963,379957,6,0,17002109,0,5382 54,3,2024-09-07 09:01:40:766,1,300,2,0,676,4746,300,0 55,0,2024-09-07 09:01:41:764,69184,0.5,71779,0.7,144245,0.5,188262,2.50 55,1,2024-09-07 09:01:40:764,527991,527991,0,0,245924195542,2561807836,521674,5409,908,365,391731,0 55,2,2024-09-07 09:01:40:741,378730,378730,0,0,17119156,0,3563 55,3,2024-09-07 09:01:40:678,1,300,28,0,304,3193,300,0 56,0,2024-09-07 09:01:41:631,79448,1.0,74873,1.0,154418,1.3,206935,2.50 56,1,2024-09-07 09:01:40:584,526093,526093,0,0,245450598840,2594383829,518018,6525,1550,381,391867,0 56,2,2024-09-07 09:01:41:307,378934,378934,0,0,17960196,0,3567 56,3,2024-09-07 09:01:41:084,1,300,0,0,705,4033,300,0 57,0,2024-09-07 09:01:40:973,77159,1.7,77008,1.3,154272,2.3,206611,3.25 57,1,2024-09-07 09:01:40:991,527870,527870,0,0,245175206719,2569864149,521766,5399,705,366,392032,0 57,2,2024-09-07 09:01:41:318,379729,379729,0,0,19097621,0,3317 57,3,2024-09-07 09:01:41:748,1,300,11,0,359,3683,300,0 58,0,2024-09-07 09:01:40:573,72099,1.0,70143,1.0,147022,1.2,192396,2.50 58,1,2024-09-07 09:01:40:584,528476,528473,0,3,246085099613,2573187636,521486,6098,889,367,391603,3 58,2,2024-09-07 09:01:41:084,379189,379189,0,0,17401463,0,2549 58,3,2024-09-07 09:01:41:078,1,300,1,0,1043,3299,300,0 59,0,2024-09-07 09:01:41:742,71321,0.7,71024,0.9,141847,0.7,188245,2.75 59,1,2024-09-07 09:01:40:820,527877,527877,0,0,246671028010,2582073723,521072,5522,1283,369,391578,0 59,2,2024-09-07 09:01:40:587,380358,380358,0,0,16407346,0,2604 59,3,2024-09-07 09:01:41:738,1,300,8,0,1015,4071,300,0 60,0,2024-09-07 09:01:41:788,75424,0.5,75390,0.6,151552,0.4,201374,1.75 60,1,2024-09-07 09:01:40:771,529823,529823,0,0,246720822613,2559189975,526934,2363,526,370,392031,0 60,2,2024-09-07 09:01:41:153,379422,379422,0,0,17188727,0,3811 60,3,2024-09-07 09:01:41:260,1,300,2,0,175,3136,300,0 61,0,2024-09-07 09:01:41:557,78500,0.7,78958,0.8,156966,0.7,209297,2.00 61,1,2024-09-07 09:01:40:783,527752,527752,0,0,245530426911,2573042024,521870,4968,914,382,391880,0 61,2,2024-09-07 09:01:41:126,380072,380072,0,0,15778764,0,3598 61,3,2024-09-07 09:01:41:692,1,300,1,0,479,4548,300,0 62,0,2024-09-07 09:01:41:714,76764,0.6,78423,0.7,149425,0.6,203181,2.00 62,1,2024-09-07 09:01:41:113,530979,530973,0,6,247274414592,2551975190,528122,2690,161,365,391715,6 62,2,2024-09-07 09:01:41:655,378297,378296,1,0,16773503,0,5555 62,3,2024-09-07 09:01:41:143,1,300,1,0,465,2472,300,0 63,0,2024-09-07 09:01:41:458,71978,0.4,72117,0.6,144264,0.4,191776,1.75 63,1,2024-09-07 09:01:40:812,529478,529472,0,6,246883360709,2562249229,526510,2728,234,381,391677,6 63,2,2024-09-07 09:01:40:763,379459,379459,0,0,14956317,0,4369 63,3,2024-09-07 09:01:41:735,1,300,20,0,667,3340,300,0 64,0,2024-09-07 09:01:41:537,72677,0.5,72688,0.7,145358,0.5,193423,2.00 64,1,2024-09-07 09:01:40:751,528182,528182,0,0,246078236781,2569486766,522577,3949,1656,370,391783,0 64,2,2024-09-07 09:01:41:145,383265,383246,19,0,15088701,0,6121 64,3,2024-09-07 09:01:41:149,1,300,6,0,265,2922,300,0 65,0,2024-09-07 09:01:41:684,78191,0.8,78464,0.8,156673,0.8,208489,2.25 65,1,2024-09-07 09:01:40:873,527309,527309,0,0,245549205856,2568990614,523341,3520,448,382,391901,0 65,2,2024-09-07 09:01:41:694,380182,380182,0,0,16821187,0,3367 65,3,2024-09-07 09:01:41:688,1,300,23,0,163,2787,300,0 66,0,2024-09-07 09:01:41:764,77863,0.5,77715,0.7,155743,0.5,206862,2.25 66,1,2024-09-07 09:01:41:293,528831,528831,0,0,246714142375,2566853917,525360,3152,319,380,391653,0 66,2,2024-09-07 09:01:41:132,381039,381039,0,0,15184428,0,4956 66,3,2024-09-07 09:01:41:083,1,300,37,0,291,3026,300,0 67,0,2024-09-07 09:01:41:424,71296,0.6,70487,0.7,141937,0.5,188855,2.00 67,1,2024-09-07 09:01:40:774,529002,529001,0,1,245456297909,2559967660,524892,3359,750,380,391787,1 67,2,2024-09-07 09:01:40:585,380633,380633,0,0,14445011,0,2889 67,3,2024-09-07 09:01:41:750,1,300,8,0,338,2679,300,0 68,0,2024-09-07 09:01:40:684,72500,0.6,72134,0.7,144040,0.6,192453,2.00 68,1,2024-09-07 09:01:40:596,527733,527733,0,0,245246782952,2571589331,523037,3496,1200,381,391953,0 68,2,2024-09-07 09:01:41:045,378150,378085,65,0,19250988,0,6698 68,3,2024-09-07 09:01:40:728,1,300,4,0,417,3291,300,0 69,0,2024-09-07 09:01:41:752,77935,0.7,78344,0.8,156001,0.7,207376,2.25 69,1,2024-09-07 09:01:41:045,526289,526289,0,0,244645309040,2583749400,519222,5166,1901,384,391994,0 69,2,2024-09-07 09:01:41:738,379075,379075,0,0,18967181,0,3722 69,3,2024-09-07 09:01:40:766,1,300,12,0,698,4302,300,0 70,0,2024-09-07 09:01:41:547,77495,0.9,77529,1.1,155828,0.7,206746,2.50 70,1,2024-09-07 09:01:40:812,529760,529760,0,0,246628211087,2561253304,525462,3762,536,366,391725,0 70,2,2024-09-07 09:01:41:329,378531,378531,0,0,17221079,0,4044 70,3,2024-09-07 09:01:40:756,1,300,1,0,854,3474,300,0 71,0,2024-09-07 09:01:41:359,71757,1.0,71516,1.1,143668,1.2,191903,2.75 71,1,2024-09-07 09:01:41:604,527602,527602,0,0,246626161374,2575757070,521367,5579,656,368,391738,0 71,2,2024-09-07 09:01:41:088,379552,379552,0,0,17128046,0,4042 71,3,2024-09-07 09:01:41:750,1,300,3,0,644,4347,300,0 72,0,2024-09-07 09:01:41:052,74398,0.6,72691,0.8,141777,0.6,193263,2.00 72,1,2024-09-07 09:01:41:026,527486,527486,0,0,245913030372,2575258977,520263,5677,1546,369,391819,0 72,2,2024-09-07 09:01:41:759,378422,378422,0,0,19352719,0,3983 72,3,2024-09-07 09:01:41:755,1,300,1,0,564,4935,300,0 73,0,2024-09-07 09:01:41:155,75039,0.4,76674,0.6,157244,0.3,204478,2.00 73,1,2024-09-07 09:01:40:772,528569,528569,0,0,245758327038,2555192293,524848,3428,293,367,391858,0 73,2,2024-09-07 09:01:41:747,379431,379431,0,0,18680893,0,3701 73,3,2024-09-07 09:01:40:973,1,300,2,0,274,4223,300,0 74,0,2024-09-07 09:01:41:322,79251,0.5,81131,0.7,154980,0.5,209819,2.25 74,1,2024-09-07 09:01:40:658,528278,528278,0,0,245708904108,2566358295,522959,4351,968,381,391681,0 74,2,2024-09-07 09:01:41:004,379292,379292,0,0,17962830,0,4253 74,3,2024-09-07 09:01:41:441,1,300,1,0,522,3814,300,0 75,0,2024-09-07 09:01:41:771,74863,0.5,74569,0.7,149271,0.4,199470,2.25 75,1,2024-09-07 09:01:41:590,527520,527520,0,0,245707991277,2569142629,521710,5004,806,380,391739,0 75,2,2024-09-07 09:01:41:350,379010,379010,0,0,17624090,0,4766 75,3,2024-09-07 09:01:41:083,1,300,4,0,702,4252,300,0 76,0,2024-09-07 09:01:40:591,71311,0.6,70740,0.8,142118,0.6,189631,2.25 76,1,2024-09-07 09:01:40:831,528404,528404,0,0,245692886333,2563901860,524872,2979,553,382,391692,0 76,2,2024-09-07 09:01:41:072,379961,379960,1,0,16521306,0,5144 76,3,2024-09-07 09:01:41:152,1,300,12,0,175,3040,300,0 77,0,2024-09-07 09:01:41:704,74161,0.6,74311,0.8,148568,0.6,197789,2.00 77,1,2024-09-07 09:01:40:865,528635,528635,0,0,245741113641,2567743131,524426,3945,264,381,391869,0 77,2,2024-09-07 09:01:41:282,379826,379826,0,0,16465367,0,3890 77,3,2024-09-07 09:01:41:101,1,300,2,0,401,3563,300,0 78,0,2024-09-07 09:01:41:716,78827,0.5,78435,0.7,157580,0.4,208776,2.00 78,1,2024-09-07 09:01:40:616,528277,528277,0,0,246038978219,2561826374,523228,4055,994,367,391670,0 78,2,2024-09-07 09:01:41:406,380237,380237,0,0,14497086,0,3855 78,3,2024-09-07 09:01:41:132,1,300,74,0,181,2961,300,0 79,0,2024-09-07 09:01:41:348,74930,0.4,76856,0.6,157059,0.4,204266,2.25 79,1,2024-09-07 09:01:40:572,530414,530414,0,0,247180967900,2559025184,526694,3275,445,369,391682,0 79,2,2024-09-07 09:01:41:068,380201,380201,0,0,14693748,0,3212 79,3,2024-09-07 09:01:40:750,1,300,9,0,418,4138,300,0 80,0,2024-09-07 09:01:41:091,71357,0.6,73308,0.7,140547,0.5,190026,2.00 80,1,2024-09-07 09:01:41:699,528292,528292,0,0,246072314941,2561736070,524986,3129,177,368,391791,0 80,2,2024-09-07 09:01:41:093,380631,380631,0,0,14735665,0,4433 80,3,2024-09-07 09:01:40:582,1,300,0,0,190,3805,300,0 81,0,2024-09-07 09:01:41:555,72175,0.6,73947,0.7,141350,0.5,191658,2.00 81,1,2024-09-07 09:01:41:658,527483,527483,0,0,245330993154,2566990705,522932,4072,479,382,391879,0 81,2,2024-09-07 09:01:41:126,379554,379491,63,0,16849037,0,5932 81,3,2024-09-07 09:01:41:125,1,300,92,0,374,3682,300,0 82,0,2024-09-07 09:01:41:536,78874,0.5,79463,0.7,158947,0.4,211063,2.00 82,1,2024-09-07 09:01:40:585,529141,529137,0,4,246123140780,2565544467,525306,3036,795,381,391768,4 82,2,2024-09-07 09:01:41:691,380675,380675,0,0,14518546,0,3986 82,3,2024-09-07 09:01:41:760,1,300,4,0,363,3102,300,0 83,0,2024-09-07 09:01:41:525,78170,0.5,77976,0.7,155126,0.5,206835,2.00 83,1,2024-09-07 09:01:40:552,528014,528014,0,0,245765855697,2564823360,523951,3742,321,382,391709,0 83,2,2024-09-07 09:01:40:768,379400,379400,0,0,14770938,0,3393 83,3,2024-09-07 09:01:40:750,1,300,14,0,1260,4661,300,0 84,0,2024-09-07 09:01:41:806,71265,0.7,71163,0.9,142431,0.6,190464,2.25 84,1,2024-09-07 09:01:41:061,527672,527672,0,0,245630560153,2566093690,522708,4378,586,367,391967,0 84,2,2024-09-07 09:01:40:573,379649,379649,0,0,17788897,0,4757 84,3,2024-09-07 09:01:41:146,1,300,5,0,908,4800,300,0 85,0,2024-09-07 09:01:41:024,69202,0.6,69253,0.8,146730,0.6,189818,2.25 85,1,2024-09-07 09:01:40:566,526802,526802,0,0,245591893178,2592193213,519307,6374,1121,381,392006,0 85,2,2024-09-07 09:01:40:864,380019,380019,0,0,17938880,0,3656 85,3,2024-09-07 09:01:40:687,1,300,10,0,789,3958,300,0 86,0,2024-09-07 09:01:41:007,77698,0.6,79956,0.7,152871,0.6,206643,2.00 86,1,2024-09-07 09:01:40:904,528173,528173,0,0,245827238307,2573488099,521884,5239,1050,366,391961,0 86,2,2024-09-07 09:01:40:887,378062,378061,1,0,18771369,0,5004 86,3,2024-09-07 09:01:40:590,1,300,1,0,286,4061,300,0 87,0,2024-09-07 09:01:41:290,77862,1.0,77837,0.9,156227,1.4,208355,2.25 87,1,2024-09-07 09:01:40:564,527359,527359,0,0,245774136311,2570660739,522147,4709,503,366,392076,0 87,2,2024-09-07 09:01:41:084,378882,378882,0,0,16736465,0,4045 87,3,2024-09-07 09:01:41:804,1,300,9,0,473,4305,300,0 88,0,2024-09-07 09:01:41:444,73406,0.5,73918,0.6,147220,0.4,195444,2.00 88,1,2024-09-07 09:01:40:572,526171,526171,0,0,245563718383,2577220123,518807,5711,1653,365,392084,0 88,2,2024-09-07 09:01:40:688,379655,379655,0,0,18542451,0,3583 88,3,2024-09-07 09:01:41:272,1,300,11,0,435,3513,300,0 89,0,2024-09-07 09:01:41:785,73787,0.5,71342,0.7,141614,0.4,191713,1.75 89,1,2024-09-07 09:01:40:562,526611,526611,0,0,245297981919,2583896705,519276,6069,1266,382,391866,0 89,2,2024-09-07 09:01:41:135,378133,378133,0,0,17965403,0,2910 89,3,2024-09-07 09:01:41:820,1,300,1,0,385,5769,300,0 90,0,2024-09-07 09:01:41:661,73288,0.4,75049,0.6,153465,0.4,200555,1.75 90,1,2024-09-07 09:01:40:590,528066,528066,0,0,245667158239,2574487687,523042,4699,325,380,391825,0 90,2,2024-09-07 09:01:41:409,377837,377837,0,0,19321960,0,3060 90,3,2024-09-07 09:01:40:947,1,300,16,0,246,3416,300,0 91,0,2024-09-07 09:01:41:189,78933,0.5,76718,0.6,159778,0.5,209950,1.75 91,1,2024-09-07 09:01:40:556,526769,526769,0,0,245686579379,2585186538,519748,6129,892,381,392047,0 91,2,2024-09-07 09:01:41:336,379732,379732,0,0,17484992,0,2896 91,3,2024-09-07 09:01:40:610,1,300,25,0,216,2756,300,0 92,0,2024-09-07 09:01:41:467,76887,0.5,78890,0.6,150597,0.5,203586,1.75 92,1,2024-09-07 09:01:40:581,528289,528289,0,0,245709545543,2565324085,524822,2987,480,382,392136,0 92,2,2024-09-07 09:01:41:352,381068,381068,0,0,14855023,0,2801 92,3,2024-09-07 09:01:41:012,1,300,52,0,167,2583,300,0 93,0,2024-09-07 09:01:40:965,72453,0.4,74273,0.6,141844,0.3,192280,1.75 93,1,2024-09-07 09:01:40:808,528262,528262,0,0,245332664420,2562242440,523038,4384,840,366,391776,0 93,2,2024-09-07 09:01:40:955,379000,379000,0,0,17266777,0,4311 93,3,2024-09-07 09:01:41:406,1,300,0,0,190,2968,300,0 94,0,2024-09-07 09:01:41:619,72794,0.4,73557,0.5,146676,0.3,195000,1.75 94,1,2024-09-07 09:01:40:565,528018,528018,0,0,246147452225,2571769656,524146,3686,186,381,391850,0 94,2,2024-09-07 09:01:40:767,379692,379692,0,0,15227247,0,2443 94,3,2024-09-07 09:01:41:690,1,300,1,0,264,3806,300,0 95,0,2024-09-07 09:01:41:360,78759,0.4,78707,0.6,158196,0.3,210313,1.75 95,1,2024-09-07 09:01:40:863,528700,528700,0,0,246849288131,2568058766,524403,3918,379,367,391713,0 95,2,2024-09-07 09:01:41:022,379400,379400,0,0,15571507,0,3308 95,3,2024-09-07 09:01:41:711,1,300,9,0,718,4963,300,0 96,0,2024-09-07 09:01:41:042,78434,0.4,78561,0.5,156663,0.3,207706,1.75 96,1,2024-09-07 09:01:41:584,527921,527921,0,0,246270047358,2572681452,523685,3453,783,384,391955,0 96,2,2024-09-07 09:01:41:427,379401,379401,0,0,15684396,0,4042 96,3,2024-09-07 09:01:41:143,1,300,2,0,411,3288,300,0 97,0,2024-09-07 09:01:41:358,71099,0.3,70713,0.5,142139,0.3,188634,1.75 97,1,2024-09-07 09:01:40:764,530028,530028,0,0,246807340726,2561478989,526247,3115,666,367,392140,0 97,2,2024-09-07 09:01:40:614,380183,380183,0,0,15195112,0,3036 97,3,2024-09-07 09:01:40:568,1,300,1,0,214,3671,300,0 98,0,2024-09-07 09:01:41:751,72305,0.3,72242,0.5,145091,0.2,192902,1.50 98,1,2024-09-07 09:01:40:572,529273,529273,0,0,246326367468,2563991390,526881,2290,102,382,391997,0 98,2,2024-09-07 09:01:40:782,379890,379890,0,0,15351978,0,3080 98,3,2024-09-07 09:01:40:709,1,300,0,0,840,5112,300,0 99,0,2024-09-07 09:01:41:490,78296,0.3,78658,0.5,156758,0.3,209058,1.75 99,1,2024-09-07 09:01:41:732,528760,528760,0,0,246358377868,2568076268,524686,3325,749,381,392069,0 99,2,2024-09-07 09:01:41:420,381199,381199,0,0,16795501,0,3424 99,3,2024-09-07 09:01:40:589,1,300,13,0,187,2538,300,0 100,0,2024-09-07 09:01:41:473,77881,0.8,77812,1.0,155859,1.0,208495,2.50 100,1,2024-09-07 09:01:40:548,525088,525088,0,0,245244212925,2594982493,516904,6693,1491,381,391989,0 100,2,2024-09-07 09:01:41:832,377944,377933,11,0,17624614,0,5417 100,3,2024-09-07 09:01:41:731,1,300,13,0,559,5326,300,0 101,0,2024-09-07 09:01:41:709,73828,1.3,71868,1.1,141075,1.0,193561,2.50 101,1,2024-09-07 09:01:40:562,525928,525928,0,0,245166903647,2585476675,517108,6813,2007,368,391769,0 101,2,2024-09-07 09:01:41:761,377828,377828,0,0,19941074,0,4644 101,3,2024-09-07 09:01:40:953,1,300,5,0,448,3636,300,0 102,0,2024-09-07 09:01:41:060,70228,0.6,72702,0.8,146498,0.6,192220,2.00 102,1,2024-09-07 09:01:41:175,526687,526687,0,0,245563308686,2580446174,519933,5848,906,369,391891,0 102,2,2024-09-07 09:01:41:737,380315,380261,54,0,17213557,0,6768 102,3,2024-09-07 09:01:41:613,1,300,25,0,410,3199,300,0 103,0,2024-09-07 09:01:41:615,79175,0.5,79191,0.7,149376,0.5,205979,2.00 103,1,2024-09-07 09:01:41:634,525875,525875,0,0,244889083981,2585925457,517541,6415,1919,381,391829,0 103,2,2024-09-07 09:01:40:586,378102,378102,0,0,17518773,0,3173 103,3,2024-09-07 09:01:40:768,1,300,16,0,916,3916,300,0 104,0,2024-09-07 09:01:41:010,77848,0.8,78312,1.0,155276,0.8,209026,2.25 104,1,2024-09-07 09:01:41:606,527398,527398,0,0,244646630977,2577075614,518875,6864,1659,365,392168,0 104,2,2024-09-07 09:01:41:670,378068,378068,0,0,18903080,0,3941 104,3,2024-09-07 09:01:41:416,1,300,12,0,1245,6860,300,0 105,0,2024-09-07 09:01:41:075,73928,1.0,71979,1.1,150431,1.2,197906,3.25 105,1,2024-09-07 09:01:40:554,527604,527604,0,0,245589876602,2580107130,519900,6404,1300,366,391797,0 105,2,2024-09-07 09:01:41:330,378924,378924,0,0,17886802,0,3509 105,3,2024-09-07 09:01:41:305,1,300,1,0,399,4700,300,0 106,0,2024-09-07 09:01:40:987,69102,0.8,70805,1.0,144994,0.9,189102,2.50 106,1,2024-09-07 09:01:41:750,526833,526833,0,0,244229552015,2569736188,518280,7549,1004,369,391865,0 106,2,2024-09-07 09:01:40:757,377178,377178,0,0,17287778,0,2795 106,3,2024-09-07 09:01:40:686,1,300,7,0,470,4118,300,0 107,0,2024-09-07 09:01:41:128,74123,0.7,73960,0.8,147956,0.7,197612,2.00 107,1,2024-09-07 09:01:40:589,526116,526116,0,0,244788363752,2580302706,519119,6278,719,381,392234,0 107,2,2024-09-07 09:01:41:290,378132,378131,1,0,18368586,0,5024 107,3,2024-09-07 09:01:41:757,1,300,132,0,353,4194,300,0 108,0,2024-09-07 09:01:41:773,78509,0.4,78820,0.6,156886,0.4,209430,1.75 108,1,2024-09-07 09:01:41:308,527854,527854,0,0,246481004341,2572919073,523265,4077,512,368,391857,0 108,2,2024-09-07 09:01:41:756,378234,378234,0,0,17287760,0,4246 108,3,2024-09-07 09:01:41:337,1,300,6,0,749,6317,300,0 109,0,2024-09-07 09:01:41:838,77889,0.4,77046,0.6,154363,0.3,206498,1.75 109,1,2024-09-07 09:01:40:600,526276,526276,0,0,245841478214,2579902642,521685,3942,649,383,392132,0 109,2,2024-09-07 09:01:40:921,377341,377341,0,0,16899417,0,3617 109,3,2024-09-07 09:01:41:143,1,300,1,0,249,3283,300,0 110,0,2024-09-07 09:01:41:756,71502,0.4,69508,0.6,145470,0.3,190852,1.75 110,1,2024-09-07 09:01:41:666,529661,529661,0,0,246147767009,2555987881,526167,2585,909,369,392045,0 110,2,2024-09-07 09:01:41:309,379602,379602,0,0,16873382,0,4067 110,3,2024-09-07 09:01:40:700,1,300,12,0,406,3781,300,0 111,0,2024-09-07 09:01:41:413,72753,0.4,72053,0.5,144300,0.3,193493,1.75 111,1,2024-09-07 09:01:41:003,529375,529375,0,0,247180875918,2565906995,526699,2333,343,382,391690,0 111,2,2024-09-07 09:01:41:120,379412,379412,0,0,15698397,0,2763 111,3,2024-09-07 09:01:40:913,1,300,12,0,379,3886,300,0 112,0,2024-09-07 09:01:40:916,79957,0.3,79331,0.4,159188,0.2,212229,1.50 112,1,2024-09-07 09:01:40:849,529570,529570,0,0,246190385939,2557213559,526140,2846,584,380,391624,0 112,2,2024-09-07 09:01:41:133,379680,379679,1,0,15345056,0,5036 112,3,2024-09-07 09:01:40:592,1,300,0,0,282,3189,300,0 113,0,2024-09-07 09:01:40:908,77818,0.3,77870,0.5,156216,0.2,208352,1.50 113,1,2024-09-07 09:01:41:686,530754,530754,0,0,247703895611,2558900716,527482,2688,584,366,391661,0 113,2,2024-09-07 09:01:41:303,380900,380900,0,0,13677883,0,3813 113,3,2024-09-07 09:01:40:691,1,300,6,0,340,3886,300,0 114,0,2024-09-07 09:01:40:898,72142,0.4,72608,0.5,144211,0.2,192523,1.75 114,1,2024-09-07 09:01:40:717,528669,528669,0,0,246230942493,2563219072,523615,3463,1591,381,391556,0 114,2,2024-09-07 09:01:40:876,380097,380096,1,0,15336853,0,5069 114,3,2024-09-07 09:01:41:282,1,300,1,0,395,2879,300,0 115,0,2024-09-07 09:01:40:558,71800,0.3,72077,0.4,144298,0.2,191963,1.50 115,1,2024-09-07 09:01:40:577,528918,528918,0,0,246400471635,2562297225,524191,3887,840,382,391656,0 115,2,2024-09-07 09:01:41:126,380796,380796,0,0,14286912,0,3453 115,3,2024-09-07 09:01:41:003,1,300,15,0,159,1798,300,0 116,0,2024-09-07 09:01:41:706,77487,0.7,77322,0.8,155039,0.7,207388,2.00 116,1,2024-09-07 09:01:40:807,526223,526223,0,0,244803144949,2586204761,519279,4805,2139,380,391782,0 116,2,2024-09-07 09:01:41:753,378178,378178,0,0,19224351,0,3529 116,3,2024-09-07 09:01:40:913,1,300,2,0,415,3953,300,0 117,0,2024-09-07 09:01:40:977,78392,0.9,78042,0.9,156006,1.1,208704,2.00 117,1,2024-09-07 09:01:41:587,527094,527094,0,0,245191307435,2568147449,521484,5059,551,370,392033,0 117,2,2024-09-07 09:01:41:163,381746,381746,0,0,15589012,0,3700 117,3,2024-09-07 09:01:41:079,1,300,44,0,490,4571,300,0 118,0,2024-09-07 09:01:41:781,71244,0.6,73309,0.7,149126,0.5,194660,2.00 118,1,2024-09-07 09:01:40:596,526866,526866,0,0,244517368823,2574022034,518999,6028,1839,366,391736,0 118,2,2024-09-07 09:01:41:589,378879,378879,0,0,17046414,0,2781 118,3,2024-09-07 09:01:41:780,1,300,89,0,235,3421,300,0 119,0,2024-09-07 09:01:41:372,71261,0.8,71675,0.8,143468,0.8,190575,2.25 119,1,2024-09-07 09:01:40:547,528148,528148,0,0,246177322343,2574136399,522444,5036,668,367,391780,0 119,2,2024-09-07 09:01:41:265,379742,379742,0,0,16025797,0,4174 119,3,2024-09-07 09:01:41:331,1,300,12,0,563,4626,300,0 120,0,2024-09-07 09:01:41:560,74988,0.6,74862,0.8,149924,0.5,201172,2.25 120,1,2024-09-07 09:01:40:871,527497,527497,0,0,245516854551,2578254887,521664,5411,422,368,391961,0 120,2,2024-09-07 09:01:40:771,378627,378626,1,0,19323514,0,5281 120,3,2024-09-07 09:01:41:293,1,300,7,0,241,3891,300,0 121,0,2024-09-07 09:01:41:727,78472,1.2,78497,1.1,157584,1.6,209339,2.25 121,1,2024-09-07 09:01:41:661,527717,527717,0,0,245690217298,2572399696,522291,4995,431,367,391840,0 121,2,2024-09-07 09:01:41:127,378147,378147,0,0,18856023,0,4127 121,3,2024-09-07 09:01:40:736,1,300,7,0,269,3614,300,0 122,0,2024-09-07 09:01:41:786,76093,0.8,74097,0.9,155356,0.9,203571,2.00 122,1,2024-09-07 09:01:40:862,526721,526721,0,0,245728620168,2580893748,519127,6461,1133,366,392130,0 122,2,2024-09-07 09:01:41:319,378708,378635,73,0,20740811,0,5989 122,3,2024-09-07 09:01:40:602,1,300,2,0,411,5832,300,0 123,0,2024-09-07 09:01:40:953,71708,0.8,70139,0.8,146255,0.9,191573,2.25 123,1,2024-09-07 09:01:40:558,526800,526800,0,0,245350651492,2588406666,516854,8005,1941,369,392039,0 123,2,2024-09-07 09:01:41:032,377081,377080,1,0,17470118,0,5215 123,3,2024-09-07 09:01:41:133,1,300,16,0,168,3584,300,0 124,0,2024-09-07 09:01:40:951,75400,0.4,75407,0.5,142151,0.3,195639,1.75 124,1,2024-09-07 09:01:41:026,528980,528980,0,0,245775302727,2560923497,524580,3495,905,367,392178,0 124,2,2024-09-07 09:01:41:010,380305,380305,0,0,15152603,0,3101 124,3,2024-09-07 09:01:40:767,1,300,1,0,490,3262,300,0 125,0,2024-09-07 09:01:41:431,79149,0.4,78775,0.6,158446,0.4,210638,1.75 125,1,2024-09-07 09:01:40:862,527187,527187,0,0,246356937015,2572798712,523188,3549,450,383,391702,0 125,2,2024-09-07 09:01:41:121,379638,379638,0,0,16374550,0,4534 125,3,2024-09-07 09:01:41:133,1,300,62,0,709,4369,300,0 126,0,2024-09-07 09:01:41:475,78265,0.5,80457,0.6,153773,0.4,208511,1.75 126,1,2024-09-07 09:01:40:552,529488,529488,0,0,246460873859,2554756075,526438,2778,272,365,391987,0 126,2,2024-09-07 09:01:40:617,380616,380616,0,0,16030922,0,3186 126,3,2024-09-07 09:01:40:908,1,300,1,0,207,3982,300,0 127,0,2024-09-07 09:01:41:668,70803,0.3,71158,0.5,141871,0.3,188728,1.75 127,1,2024-09-07 09:01:40:572,528963,528963,0,0,246038818971,2554331029,524731,3718,514,365,391816,0 127,2,2024-09-07 09:01:40:643,379721,379721,0,0,14604346,0,2264 127,3,2024-09-07 09:01:41:274,1,300,9,0,968,3721,300,0 128,0,2024-09-07 09:01:41:575,72524,0.3,72677,0.5,145089,0.2,193446,1.50 128,1,2024-09-07 09:01:41:606,528274,528274,0,0,246512243810,2563757530,524248,3659,367,367,391798,0 128,2,2024-09-07 09:01:41:386,380753,380753,0,0,14808070,0,2915 128,3,2024-09-07 09:01:40:781,1,300,8,0,1082,5776,300,0 129,0,2024-09-07 09:01:41:042,78730,0.3,78443,0.5,157053,0.3,208979,1.75 129,1,2024-09-07 09:01:40:571,525356,525356,0,0,245012471525,2570421067,520061,4083,1212,379,391835,0 129,2,2024-09-07 09:01:40:689,380138,380138,0,0,15429510,0,4031 129,3,2024-09-07 09:01:40:688,1,300,4,0,469,4280,300,0 130,0,2024-09-07 09:01:41:755,78808,0.5,78376,0.6,157324,0.6,209766,1.75 130,1,2024-09-07 09:01:40:595,528627,528627,0,0,246451681510,2564844858,525454,2936,237,381,391825,0 130,2,2024-09-07 09:01:41:143,380604,380604,0,0,15256252,0,4067 130,3,2024-09-07 09:01:41:293,1,300,4,0,450,3822,300,0 131,0,2024-09-07 09:01:41:945,72206,0.4,72672,0.5,145976,0.3,193306,1.75 131,1,2024-09-07 09:01:41:954,528723,528723,0,0,246064994557,2570769272,524438,3543,742,381,391865,0 131,2,2024-09-07 09:01:40:572,381812,381812,0,0,14052545,0,2415 131,3,2024-09-07 09:01:41:727,1,300,22,0,392,3259,300,0 132,0,2024-09-07 09:01:41:440,71887,0.5,72745,0.6,144965,0.4,192839,2.00 132,1,2024-09-07 09:01:40:585,526024,526024,0,0,245717424543,2591538444,517806,6756,1462,381,392097,0 132,2,2024-09-07 09:01:40:700,379071,379071,0,0,18499991,0,4606 132,3,2024-09-07 09:01:41:701,1,300,427,0,427,4547,300,0 133,0,2024-09-07 09:01:41:542,74981,0.4,76664,0.6,157305,0.3,204827,1.75 133,1,2024-09-07 09:01:40:672,526410,526410,0,0,244998207656,2585756928,518643,6685,1082,383,391914,0 133,2,2024-09-07 09:01:41:093,378099,378099,0,0,19576135,0,4315 133,3,2024-09-07 09:01:41:299,1,300,1,0,276,2842,300,0 134,0,2024-09-07 09:01:40:957,78880,0.5,78875,0.7,157589,0.5,210109,2.00 134,1,2024-09-07 09:01:40:589,526898,526898,0,0,244416608322,2567807411,519763,5658,1477,366,391718,0 134,2,2024-09-07 09:01:41:761,379052,379052,0,0,16570986,0,3847 134,3,2024-09-07 09:01:40:749,1,300,2,0,739,4201,300,0 135,0,2024-09-07 09:01:41:139,72246,0.7,72276,0.9,153265,0.8,197642,2.00 135,1,2024-09-07 09:01:41:596,526505,526505,0,0,246097476277,2592224328,518900,6414,1191,380,391805,0 135,2,2024-09-07 09:01:40:696,379841,379841,0,0,17683319,0,3981 135,3,2024-09-07 09:01:41:016,1,300,10,0,299,2300,300,0 136,0,2024-09-07 09:01:41:627,72038,0.6,72005,0.7,143551,0.5,191063,2.25 136,1,2024-09-07 09:01:41:443,526924,526924,0,0,245067957223,2577923545,520273,5966,685,382,391685,0 136,2,2024-09-07 09:01:41:142,379211,379211,0,0,17545635,0,3506 136,3,2024-09-07 09:01:41:142,1,300,0,0,301,2935,300,0 137,0,2024-09-07 09:01:40:932,76378,0.7,74303,0.8,145976,0.7,198710,2.00 137,1,2024-09-07 09:01:40:579,526575,526575,0,0,245413613479,2579119915,517802,6971,1802,366,391708,0 137,2,2024-09-07 09:01:41:706,378792,378792,0,0,19563804,0,3185 137,3,2024-09-07 09:01:40:769,1,300,1,0,382,3691,300,0 138,0,2024-09-07 09:01:41:759,77938,1.5,78138,1.1,156768,2.1,208322,2.50 138,1,2024-09-07 09:01:41:692,526552,526552,0,0,246445454320,2591323381,517928,7046,1578,368,391954,0 138,2,2024-09-07 09:01:40:587,379180,379180,0,0,17955891,0,4988 138,3,2024-09-07 09:01:40:610,1,300,2,0,1160,4264,300,0 139,0,2024-09-07 09:01:41:367,76296,1.4,76684,1.1,153518,2.1,204692,2.50 139,1,2024-09-07 09:01:40:573,524744,524744,0,0,243401235963,2588749504,514585,7639,2520,381,391892,0 139,2,2024-09-07 09:01:40:692,376843,376843,0,0,18596207,0,3097 139,3,2024-09-07 09:01:41:662,1,300,8,0,257,3343,300,0 140,0,2024-09-07 09:01:41:592,71727,0.3,71302,0.5,143281,0.2,191146,1.75 140,1,2024-09-07 09:01:41:546,530081,530081,0,0,246917965566,2549120379,527057,2586,438,365,391606,0 140,2,2024-09-07 09:01:40:688,380131,380131,0,0,14892775,0,3388 140,3,2024-09-07 09:01:40:777,1,300,1,0,247,2555,300,0 141,0,2024-09-07 09:01:41:713,72375,0.3,74441,0.5,142346,0.3,193366,1.75 141,1,2024-09-07 09:01:40:865,529906,529906,0,0,246576265832,2561521027,526335,3112,459,379,391614,0 141,2,2024-09-07 09:01:41:689,380018,380018,0,0,15242492,0,3360 141,3,2024-09-07 09:01:41:043,1,300,2,0,391,3116,300,0 142,0,2024-09-07 09:01:41:311,80080,0.4,79353,0.5,158358,0.3,212541,1.75 142,1,2024-09-07 09:01:40:594,528642,528642,0,0,245591382329,2564016513,524911,3382,349,382,392102,0 142,2,2024-09-07 09:01:41:299,379222,379190,32,0,16807002,0,6028 142,3,2024-09-07 09:01:41:747,1,300,2,0,484,3947,300,0 143,0,2024-09-07 09:01:41:378,78123,0.5,78134,0.6,156394,0.5,208436,1.75 143,1,2024-09-07 09:01:40:569,529384,529384,0,0,245885827570,2553218567,525830,3410,144,367,391705,0 143,2,2024-09-07 09:01:40:770,379457,379457,0,0,15396638,0,2750 143,3,2024-09-07 09:01:41:143,1,300,8,0,303,3718,300,0 144,0,2024-09-07 09:01:41:660,69460,0.6,71449,0.8,145526,0.5,190748,2.00 144,1,2024-09-07 09:01:40:565,526331,526331,0,0,245332922385,2576516794,521496,3897,938,381,391649,0 144,2,2024-09-07 09:01:41:756,380586,380586,0,0,14744907,0,3473 144,3,2024-09-07 09:01:41:745,1,300,1,0,249,3286,300,0 145,0,2024-09-07 09:01:41:371,69306,0.5,69324,0.8,147176,0.5,189848,2.25 145,1,2024-09-07 09:01:40:588,525965,525965,0,0,245188499827,2579612085,519399,5566,1000,382,391698,0 145,2,2024-09-07 09:01:41:431,378682,378682,0,0,17742790,0,3903 145,3,2024-09-07 09:01:40:901,1,300,81,0,622,4714,300,0 146,0,2024-09-07 09:01:41:627,77765,0.5,77077,0.7,155456,0.5,206682,2.00 146,1,2024-09-07 09:01:41:607,527361,527361,0,0,245180028441,2580991447,518499,6965,1897,368,391770,0 146,2,2024-09-07 09:01:41:695,378608,378608,0,0,17606067,0,2730 146,3,2024-09-07 09:01:41:277,1,300,1,0,1520,6631,300,0 147,0,2024-09-07 09:01:41:764,78335,0.6,78021,0.8,155371,0.6,208237,2.00 147,1,2024-09-07 09:01:41:377,528859,528859,0,0,246278080421,2564988898,523788,4279,792,368,391791,0 147,2,2024-09-07 09:01:41:015,380267,380267,0,0,15040980,0,2789 147,3,2024-09-07 09:01:40:912,1,300,4,0,730,4568,300,0 0,0,2024-09-07 09:01:51:775,73564,0.6,73578,0.7,156053,0.6,202215,2.00 0,1,2024-09-07 09:01:50:802,529582,529582,0,0,246943863139,2585119676,525567,3775,240,370,391896,0 0,2,2024-09-07 09:01:51:067,380651,380651,0,0,15636253,0,4480 0,3,2024-09-07 09:01:50:973,1,301,25,0,319,3859,301,0 1,0,2024-09-07 09:01:51:756,78864,0.9,78389,1.0,157552,1.1,210222,2.00 1,1,2024-09-07 09:01:50:566,528699,528699,0,0,245841471859,2577801285,523428,4066,1205,370,391857,0 1,2,2024-09-07 09:01:50:658,379622,379622,0,0,15203954,0,3267 1,3,2024-09-07 09:01:51:309,1,301,4,0,262,3400,301,0 2,0,2024-09-07 09:01:51:568,76352,0.7,76708,0.8,152004,0.8,203439,2.00 2,1,2024-09-07 09:01:50:885,530384,530384,0,0,246527398293,2563188700,527501,2590,293,380,391745,0 2,2,2024-09-07 09:01:51:266,381117,381117,0,0,15206450,0,3594 2,3,2024-09-07 09:01:50:691,1,301,5,0,357,3097,301,0 3,0,2024-09-07 09:01:51:772,71938,0.4,72066,0.6,143926,0.4,191095,2.00 3,1,2024-09-07 09:01:51:621,529149,529149,0,0,246615188594,2572246073,524128,4398,623,380,391556,0 3,2,2024-09-07 09:01:51:143,380562,380539,23,0,15578251,0,5851 3,3,2024-09-07 09:01:51:752,1,301,12,0,103,1822,301,0 4,0,2024-09-07 09:01:51:781,71317,0.4,73485,0.5,149111,0.3,195724,1.75 4,1,2024-09-07 09:01:50:595,528351,528351,0,0,245864391681,2587386681,522178,5109,1064,371,391992,0 4,2,2024-09-07 09:01:51:018,379116,379116,0,0,18083508,0,4528 4,3,2024-09-07 09:01:51:029,1,301,15,0,448,4343,301,0 5,0,2024-09-07 09:01:51:389,79089,0.5,79325,0.6,158103,0.5,210285,1.75 5,1,2024-09-07 09:01:50:762,528697,528697,0,0,246505620970,2594483340,521892,5451,1354,368,392005,0 5,2,2024-09-07 09:01:51:846,379402,379402,0,0,16970416,0,2432 5,3,2024-09-07 09:01:51:738,1,301,1,0,457,4543,301,0 6,0,2024-09-07 09:01:50:924,78824,0.5,78244,0.7,156389,0.4,208931,2.00 6,1,2024-09-07 09:01:50:747,529065,529065,0,0,246270183817,2574004042,523495,4614,956,379,391694,0 6,2,2024-09-07 09:01:51:118,380354,380354,0,0,16028418,0,4816 6,3,2024-09-07 09:01:51:274,1,301,18,0,340,3492,301,0 7,0,2024-09-07 09:01:51:538,70798,0.5,71127,0.6,141676,0.4,188645,2.00 7,1,2024-09-07 09:01:50:856,528154,528154,0,0,246209864682,2587430500,520935,6103,1116,382,391747,0 7,2,2024-09-07 09:01:50:770,380489,380489,0,0,16037093,0,4791 7,3,2024-09-07 09:01:50:852,1,301,4,0,398,3506,301,0 8,0,2024-09-07 09:01:51:351,72735,0.4,72517,0.5,145134,0.3,193929,1.75 8,1,2024-09-07 09:01:51:016,527662,527662,0,0,246362247625,2598597608,518116,7334,2212,366,392144,0 8,2,2024-09-07 09:01:50:817,377013,377013,0,0,19903605,0,3220 8,3,2024-09-07 09:01:50:587,1,301,81,0,538,5253,301,0 9,0,2024-09-07 09:01:51:117,78823,0.3,76539,0.5,160237,0.3,210199,1.75 9,1,2024-09-07 09:01:50:563,528174,528174,0,0,246767452115,2601096123,520489,6016,1669,369,392001,0 9,2,2024-09-07 09:01:51:082,380204,380204,0,0,17735622,0,3360 9,3,2024-09-07 09:01:51:756,1,301,1,0,496,4500,301,0 10,0,2024-09-07 09:01:51:618,78699,0.3,78081,0.5,156936,0.3,209421,1.75 10,1,2024-09-07 09:01:50:595,528844,528844,0,0,247020135159,2589633715,521572,6284,988,381,391741,0 10,2,2024-09-07 09:01:50:763,380083,380083,0,0,18935501,0,4264 10,3,2024-09-07 09:01:50:871,1,301,1,0,296,2780,301,0 11,0,2024-09-07 09:01:51:010,72077,0.5,70014,0.7,146459,0.4,193695,1.75 11,1,2024-09-07 09:01:50:581,528860,528860,0,0,246108239392,2589457252,519281,7165,2414,383,391664,0 11,2,2024-09-07 09:01:51:128,380811,380811,0,0,17164059,0,4130 11,3,2024-09-07 09:01:51:299,1,301,353,0,843,5021,301,0 12,0,2024-09-07 09:01:50:954,72797,0.3,72767,0.5,145424,0.3,193425,1.75 12,1,2024-09-07 09:01:50:933,529542,529542,0,0,246725123704,2575883092,524884,4174,484,370,391870,0 12,2,2024-09-07 09:01:51:557,381199,381199,0,0,17063528,0,3469 12,3,2024-09-07 09:01:51:060,1,301,1,0,386,4891,301,0 13,0,2024-09-07 09:01:51:376,77679,0.4,77451,0.5,154961,0.4,206498,1.75 13,1,2024-09-07 09:01:51:526,528618,528618,0,0,245903619076,2586545728,523750,3566,1302,382,391740,0 13,2,2024-09-07 09:01:50:594,381283,381283,0,0,15848582,0,3287 13,3,2024-09-07 09:01:51:771,1,301,10,0,522,5092,301,0 14,0,2024-09-07 09:01:50:570,79127,0.4,79755,0.6,157790,0.4,210352,1.75 14,1,2024-09-07 09:01:51:562,532075,532075,0,0,247525287687,2565243031,528163,3632,280,364,391571,0 14,2,2024-09-07 09:01:50:766,380383,380383,0,0,15657208,0,2896 14,3,2024-09-07 09:01:51:115,1,301,1,0,1168,4005,301,0 15,0,2024-09-07 09:01:51:552,74761,0.4,74882,0.7,149611,0.4,198832,2.00 15,1,2024-09-07 09:01:51:621,530367,530367,0,0,246683010795,2565524804,527448,2740,179,381,391619,0 15,2,2024-09-07 09:01:50:998,382375,382375,0,0,13384626,0,3043 15,3,2024-09-07 09:01:51:409,1,301,8,0,1126,5711,301,0 16,0,2024-09-07 09:01:50:941,71875,0.6,72081,0.8,143623,0.7,191076,2.25 16,1,2024-09-07 09:01:50:580,529985,529985,0,0,247029021041,2583090442,525718,3908,359,370,391756,0 16,2,2024-09-07 09:01:51:441,380217,380217,0,0,16491936,0,4719 16,3,2024-09-07 09:01:51:158,1,301,2,0,317,4500,301,0 17,0,2024-09-07 09:01:51:778,76693,0.7,74932,0.9,146517,0.8,199563,2.00 17,1,2024-09-07 09:01:50:570,529048,529048,0,0,245765160744,2584243985,522951,4842,1255,368,391899,0 17,2,2024-09-07 09:01:51:680,382934,382934,0,0,16372045,0,2857 17,3,2024-09-07 09:01:50:580,1,301,1,0,268,4709,301,0 18,0,2024-09-07 09:01:50:948,77660,0.7,78168,0.8,155681,0.8,207686,2.25 18,1,2024-09-07 09:01:51:646,530598,530598,0,0,247557801850,2568158215,527380,2908,310,367,391649,0 18,2,2024-09-07 09:01:51:755,381848,381848,0,0,15274111,0,3541 18,3,2024-09-07 09:01:50:905,1,301,1,0,163,2725,301,0 19,0,2024-09-07 09:01:51:538,76948,0.6,77554,0.8,153753,0.6,204693,2.25 19,1,2024-09-07 09:01:50:580,530419,530419,0,0,247166354147,2568626243,525367,4214,838,367,391777,0 19,2,2024-09-07 09:01:51:751,382154,382154,0,0,13723592,0,3988 19,3,2024-09-07 09:01:51:131,1,301,8,0,524,2314,301,0 20,0,2024-09-07 09:01:51:384,71524,0.6,71526,0.7,143183,0.6,191159,2.25 20,1,2024-09-07 09:01:50:569,528856,528856,0,0,246009591144,2572645333,524717,3735,404,369,391886,0 20,2,2024-09-07 09:01:50:931,380879,380879,0,0,15803828,0,3721 20,3,2024-09-07 09:01:50:604,1,301,1,0,414,5006,301,0 21,0,2024-09-07 09:01:51:137,72848,0.5,72935,0.7,145634,0.5,193375,2.00 21,1,2024-09-07 09:01:51:541,527602,527602,0,0,245657138702,2591558899,519912,5814,1876,368,392016,0 21,2,2024-09-07 09:01:51:066,379568,379568,0,0,18744314,0,3747 21,3,2024-09-07 09:01:51:410,1,301,45,0,103,3134,301,0 22,0,2024-09-07 09:01:51:731,79389,0.6,79614,0.8,159268,0.6,210953,2.25 22,1,2024-09-07 09:01:51:027,529124,529124,0,0,245800553675,2586195017,521341,6116,1667,382,391667,0 22,2,2024-09-07 09:01:50:770,380088,380088,0,0,15877957,0,3134 22,3,2024-09-07 09:01:51:065,1,301,2,0,228,2718,301,0 23,0,2024-09-07 09:01:51:369,77906,0.6,77710,0.7,155775,0.6,207892,2.25 23,1,2024-09-07 09:01:51:003,529034,529034,0,0,246474572478,2590966427,519806,6289,2939,365,391690,0 23,2,2024-09-07 09:01:51:095,380746,380746,0,0,15299654,0,3010 23,3,2024-09-07 09:01:51:756,1,301,12,0,645,3649,301,0 24,0,2024-09-07 09:01:50:830,72467,0.4,72224,0.6,145115,0.4,192411,1.75 24,1,2024-09-07 09:01:50:588,528411,528411,0,0,245040286845,2572771710,522013,4876,1522,368,391987,0 24,2,2024-09-07 09:01:51:068,379620,379620,0,0,18648309,0,3607 24,3,2024-09-07 09:01:51:693,1,301,10,0,468,4226,301,0 25,0,2024-09-07 09:01:51:444,73940,0.4,72108,0.6,141505,0.4,193065,2.00 25,1,2024-09-07 09:01:50:567,529614,529614,0,0,246480479242,2583165063,523706,5086,822,371,391928,0 25,2,2024-09-07 09:01:51:608,380151,380151,0,0,19109297,0,3978 25,3,2024-09-07 09:01:51:003,1,301,11,0,255,3192,301,0 26,0,2024-09-07 09:01:51:730,77776,0.4,75954,0.5,159388,0.3,207574,1.75 26,1,2024-09-07 09:01:51:541,529808,529808,0,0,246258930658,2582671215,522031,6529,1248,380,391748,0 26,2,2024-09-07 09:01:50:884,380736,380736,0,0,18844246,0,2809 26,3,2024-09-07 09:01:51:722,1,301,219,0,796,4055,301,0 27,0,2024-09-07 09:01:51:730,78758,0.5,78908,0.6,156044,0.5,208918,2.00 27,1,2024-09-07 09:01:51:687,531364,531364,0,0,247100334898,2574446772,526507,4096,761,381,391626,0 27,2,2024-09-07 09:01:50:868,377940,377875,65,0,18719955,0,5699 27,3,2024-09-07 09:01:51:015,1,301,10,0,564,3216,301,0 28,0,2024-09-07 09:01:51:440,73708,0.4,73478,0.6,147239,0.4,196189,2.00 28,1,2024-09-07 09:01:50:797,530505,530505,0,0,247368469450,2579799622,526424,3406,675,383,391646,0 28,2,2024-09-07 09:01:51:775,380942,380942,0,0,15480651,0,2915 28,3,2024-09-07 09:01:51:783,1,301,1,0,502,3038,301,0 29,0,2024-09-07 09:01:51:357,73897,0.4,72048,0.6,141060,0.3,192738,1.75 29,1,2024-09-07 09:01:51:567,531545,531545,0,0,247696603624,2565528011,527815,3147,583,369,391753,0 29,2,2024-09-07 09:01:50:888,379915,379915,0,0,15261591,0,4233 29,3,2024-09-07 09:01:50:964,1,301,145,0,374,3094,301,0 30,0,2024-09-07 09:01:51:466,75580,0.5,73497,0.7,153771,0.4,201836,2.00 30,1,2024-09-07 09:01:50:582,531573,531573,0,0,247635522195,2573227840,527486,3481,606,382,391672,0 30,2,2024-09-07 09:01:51:278,380426,380426,0,0,14764752,0,3161 30,3,2024-09-07 09:01:50:581,1,301,0,0,519,2864,301,0 31,0,2024-09-07 09:01:51:761,78436,0.5,78764,0.6,157573,0.4,209834,2.00 31,1,2024-09-07 09:01:50:564,533518,533518,0,0,248073647886,2545408502,531432,1657,429,356,391712,0 31,2,2024-09-07 09:01:51:285,380592,380592,0,0,16338632,0,3525 31,3,2024-09-07 09:01:51:718,1,301,14,0,220,2352,301,0 32,0,2024-09-07 09:01:51:419,76342,0.3,76665,0.5,153362,0.3,203824,1.75 32,1,2024-09-07 09:01:50:807,530908,530908,0,0,247552668413,2573134335,527849,2614,445,381,391646,0 32,2,2024-09-07 09:01:50:941,381169,381169,0,0,14185402,0,3155 32,3,2024-09-07 09:01:51:014,1,301,3,0,227,2331,301,0 33,0,2024-09-07 09:01:51:522,72374,0.3,71836,0.5,144317,0.2,191962,1.75 33,1,2024-09-07 09:01:50:579,530948,530948,0,0,248063436138,2571068143,526605,3513,830,369,391730,0 33,2,2024-09-07 09:01:50:765,381219,381184,35,0,16021095,0,7012 33,3,2024-09-07 09:01:50:910,1,301,10,0,329,3328,301,0 34,0,2024-09-07 09:01:50:938,73644,0.3,75815,0.5,145107,0.2,195114,1.75 34,1,2024-09-07 09:01:51:046,532561,532561,0,0,248026408122,2551874073,531174,1380,7,367,391562,0 34,2,2024-09-07 09:01:50:770,381096,381096,0,0,15171962,0,3577 34,3,2024-09-07 09:01:51:692,1,301,1,0,299,2346,301,0 35,0,2024-09-07 09:01:50:875,78283,0.4,78751,0.5,158480,0.3,210831,1.75 35,1,2024-09-07 09:01:51:066,530618,530618,0,0,246841996078,2561597390,527019,2819,780,382,391589,0 35,2,2024-09-07 09:01:51:583,380854,380854,0,0,15471199,0,2653 35,3,2024-09-07 09:01:50:907,1,301,9,0,418,4016,301,0 36,0,2024-09-07 09:01:51:518,78589,0.5,78598,0.7,157273,0.5,208630,2.25 36,1,2024-09-07 09:01:50:604,530508,530508,0,0,247004286089,2582001202,524023,4901,1584,366,391759,0 36,2,2024-09-07 09:01:51:751,380377,380377,0,0,16799437,0,3875 36,3,2024-09-07 09:01:50:886,1,301,1,0,416,4793,301,0 37,0,2024-09-07 09:01:51:374,70718,0.5,70709,0.7,141524,0.4,189474,2.00 37,1,2024-09-07 09:01:50:579,529047,529040,0,7,246416087304,2578262245,522641,4328,2071,365,391560,0 37,2,2024-09-07 09:01:51:266,379631,379616,15,0,16640619,0,5815 37,3,2024-09-07 09:01:51:766,1,301,1,0,888,5344,301,0 38,0,2024-09-07 09:01:51:440,72044,0.5,69958,0.7,146456,0.4,191784,2.00 38,1,2024-09-07 09:01:51:607,531187,531187,0,0,247952490041,2583380217,525698,4812,677,368,391821,0 38,2,2024-09-07 09:01:50:770,380415,380368,47,0,17780971,0,6710 38,3,2024-09-07 09:01:50:997,1,301,1,0,689,4200,301,0 39,0,2024-09-07 09:01:51:782,80490,0.5,78966,0.7,153545,0.5,209791,2.00 39,1,2024-09-07 09:01:50:724,529847,529847,0,0,246362649092,2579483517,522133,6359,1355,365,391594,0 39,2,2024-09-07 09:01:51:442,380567,380567,0,0,15778965,0,2689 39,3,2024-09-07 09:01:50:725,1,301,15,0,324,3879,301,0 40,0,2024-09-07 09:01:51:506,77741,0.8,78404,0.9,156750,0.8,209056,2.75 40,1,2024-09-07 09:01:50:579,529960,529960,0,0,246057953203,2578183902,522018,6488,1454,368,391668,0 40,2,2024-09-07 09:01:51:320,379634,379633,1,0,18755514,0,5137 40,3,2024-09-07 09:01:51:142,1,301,1,0,398,3523,301,0 41,0,2024-09-07 09:01:51:036,71840,1.6,73684,1.3,140688,2.6,191592,3.25 41,1,2024-09-07 09:01:50:772,529430,529430,0,0,246662591933,2580854692,523164,5626,640,370,391742,0 41,2,2024-09-07 09:01:50:764,379674,379674,0,0,17748534,0,3356 41,3,2024-09-07 09:01:51:685,1,301,3,0,366,3182,301,0 42,0,2024-09-07 09:01:51:500,71709,0.7,71700,0.9,143799,0.7,190050,2.50 42,1,2024-09-07 09:01:51:445,528576,528576,0,0,245760459260,2583632404,520264,6749,1563,380,391675,0 42,2,2024-09-07 09:01:51:132,381042,381042,0,0,17567023,0,3568 42,3,2024-09-07 09:01:51:009,1,301,1,0,446,3000,301,0 43,0,2024-09-07 09:01:50:924,76739,0.8,74841,0.9,156632,0.8,205921,2.25 43,1,2024-09-07 09:01:50:579,530036,530036,0,0,247413442049,2587138435,522459,6211,1366,366,391696,0 43,2,2024-09-07 09:01:51:919,380451,380451,0,0,17952704,0,3812 43,3,2024-09-07 09:01:51:754,1,301,13,0,467,3740,301,0 44,0,2024-09-07 09:01:50:866,78921,0.5,79384,0.6,158246,0.4,210462,1.75 44,1,2024-09-07 09:01:50:564,531404,531404,0,0,246457004467,2550229842,527098,3361,945,356,391809,0 44,2,2024-09-07 09:01:51:267,379741,379741,0,0,15016765,0,4344 44,3,2024-09-07 09:01:51:095,1,301,1,0,817,4004,301,0 45,0,2024-09-07 09:01:51:758,73805,0.5,72033,0.8,151179,0.5,198566,2.00 45,1,2024-09-07 09:01:51:005,530641,530641,0,0,247508214964,2569467183,527747,2633,261,382,391917,0 45,2,2024-09-07 09:01:51:268,381316,381316,0,0,15039821,0,3596 45,3,2024-09-07 09:01:50:939,1,301,1,0,271,3047,301,0 46,0,2024-09-07 09:01:50:950,71255,0.5,71319,0.7,142770,0.4,189045,2.00 46,1,2024-09-07 09:01:50:580,532144,532144,0,0,247625137777,2557551924,529062,2726,356,366,391539,0 46,2,2024-09-07 09:01:50:602,381027,381027,0,0,14687800,0,2920 46,3,2024-09-07 09:01:51:130,1,301,2,0,908,4406,301,0 47,0,2024-09-07 09:01:51:105,74902,0.5,75011,0.6,150246,0.4,199212,1.75 47,1,2024-09-07 09:01:50:569,531879,531879,0,0,247929366547,2561965808,528834,2686,359,366,391641,0 47,2,2024-09-07 09:01:50:910,382505,382505,0,0,15074859,0,4477 47,3,2024-09-07 09:01:51:115,1,301,41,0,529,3364,301,0 48,0,2024-09-07 09:01:51:491,79428,0.3,78878,0.4,157313,0.2,209758,1.75 48,1,2024-09-07 09:01:51:025,530821,530821,0,0,247389287334,2571829813,527297,3223,301,384,391710,0 48,2,2024-09-07 09:01:50:700,380745,380745,0,0,14128937,0,3031 48,3,2024-09-07 09:01:50:755,1,301,6,0,339,2690,301,0 49,0,2024-09-07 09:01:51:730,79517,0.3,78060,0.5,151371,0.3,207054,1.75 49,1,2024-09-07 09:01:51:044,529973,529973,0,0,247066912119,2576154165,525681,3108,1184,382,391809,0 49,2,2024-09-07 09:01:51:800,381672,381672,0,0,14799605,0,4426 49,3,2024-09-07 09:01:51:417,1,301,1,0,408,3278,301,0 50,0,2024-09-07 09:01:51:516,71754,0.3,71023,0.5,143012,0.2,190839,1.75 50,1,2024-09-07 09:01:51:010,532087,532087,0,0,248426163203,2571581609,528320,3393,374,368,391540,0 50,2,2024-09-07 09:01:51:067,380998,380998,0,0,13942704,0,2263 50,3,2024-09-07 09:01:51:299,1,301,2,0,335,2693,301,0 51,0,2024-09-07 09:01:51:695,74670,0.3,72928,0.5,142438,0.2,194250,1.75 51,1,2024-09-07 09:01:51:687,532114,532114,0,0,248034581166,2562668488,528862,2278,974,365,391706,0 51,2,2024-09-07 09:01:51:318,380711,380711,0,0,13645715,0,3337 51,3,2024-09-07 09:01:51:027,1,301,13,0,678,2346,301,0 52,0,2024-09-07 09:01:51:412,79933,0.5,79789,0.7,159628,0.5,212320,2.00 52,1,2024-09-07 09:01:50:579,529702,529702,0,0,246293690379,2582094140,521836,6612,1254,368,391722,0 52,2,2024-09-07 09:01:51:764,377845,377807,38,0,17930247,0,6742 52,3,2024-09-07 09:01:50:677,1,301,9,0,1782,4754,301,0 53,0,2024-09-07 09:01:51:775,77713,0.7,75582,0.8,157860,0.7,207437,2.25 53,1,2024-09-07 09:01:50:772,528427,528427,0,0,246418060772,2589381008,519633,6278,2516,367,391702,0 53,2,2024-09-07 09:01:51:305,380975,380975,0,0,14876285,0,2727 53,3,2024-09-07 09:01:50:697,1,301,4,0,308,2818,301,0 54,0,2024-09-07 09:01:51:620,70808,0.6,71292,0.8,141694,0.4,189665,2.50 54,1,2024-09-07 09:01:50:586,530116,530116,0,0,247727632410,2576651186,524802,4713,601,367,391659,0 54,2,2024-09-07 09:01:50:884,381220,381214,6,0,17095346,0,5382 54,3,2024-09-07 09:01:50:763,1,301,1,0,676,4747,301,0 55,0,2024-09-07 09:01:51:764,69537,0.6,72141,0.8,144998,0.5,189612,2.50 55,1,2024-09-07 09:01:50:770,529646,529646,0,0,246574399270,2569086215,523287,5451,908,365,391731,0 55,2,2024-09-07 09:01:50:729,380163,380163,0,0,17242548,0,3563 55,3,2024-09-07 09:01:50:677,1,301,14,0,304,3207,301,0 56,0,2024-09-07 09:01:51:551,79801,1.0,75204,1.0,155079,1.3,207642,2.50 56,1,2024-09-07 09:01:50:585,527837,527837,0,0,246144355405,2601595459,519762,6525,1550,381,391867,0 56,2,2024-09-07 09:01:51:310,380351,380351,0,0,18034982,0,3567 56,3,2024-09-07 09:01:51:060,1,301,3,0,705,4036,301,0 57,0,2024-09-07 09:01:50:951,77382,1.6,77257,1.3,154763,2.3,207526,3.25 57,1,2024-09-07 09:01:50:999,529427,529427,0,0,246043200230,2578938492,523318,5403,706,366,392032,0 57,2,2024-09-07 09:01:51:323,380518,380518,0,0,19127709,0,3317 57,3,2024-09-07 09:01:51:738,1,301,7,0,359,3690,301,0 58,0,2024-09-07 09:01:50:558,72229,1.0,70297,1.0,147285,1.2,192855,2.50 58,1,2024-09-07 09:01:50:584,530037,530034,0,3,246742135711,2580081060,523047,6098,889,367,391603,3 58,2,2024-09-07 09:01:51:075,380670,380670,0,0,17545529,0,2549 58,3,2024-09-07 09:01:51:067,1,301,1,0,1043,3300,301,0 59,0,2024-09-07 09:01:51:739,71547,0.7,71253,0.9,142330,0.7,189128,2.75 59,1,2024-09-07 09:01:50:815,529743,529743,0,0,247282626259,2588485015,522935,5525,1283,369,391578,0 59,2,2024-09-07 09:01:50:591,381793,381793,0,0,16490936,0,2604 59,3,2024-09-07 09:01:51:742,1,301,8,0,1015,4079,301,0 60,0,2024-09-07 09:01:51:778,75906,0.5,75856,0.6,152508,0.4,202504,1.75 60,1,2024-09-07 09:01:50:778,531587,531587,0,0,247810377063,2570362529,528697,2364,526,370,392031,0 60,2,2024-09-07 09:01:51:143,380532,380532,0,0,17224435,0,3811 60,3,2024-09-07 09:01:51:261,1,301,8,0,175,3144,301,0 61,0,2024-09-07 09:01:51:567,78615,0.7,79084,0.8,157188,0.7,209620,2.00 61,1,2024-09-07 09:01:50:773,529464,529464,0,0,246255236487,2580519772,523582,4968,914,382,391880,0 61,2,2024-09-07 09:01:51:117,381088,381088,0,0,15829697,0,3598 61,3,2024-09-07 09:01:51:690,1,301,21,0,479,4569,301,0 62,0,2024-09-07 09:01:51:721,76885,0.6,78516,0.7,149625,0.6,203505,2.00 62,1,2024-09-07 09:01:51:111,532761,532755,0,6,248174451165,2561221967,529904,2690,161,365,391715,6 62,2,2024-09-07 09:01:51:648,379681,379680,1,0,16828727,0,5555 62,3,2024-09-07 09:01:51:143,1,301,1,0,465,2473,301,0 63,0,2024-09-07 09:01:51:451,72088,0.4,72215,0.6,144466,0.4,191777,1.75 63,1,2024-09-07 09:01:50:806,531201,531195,0,6,247668151265,2570322468,528233,2728,234,381,391677,6 63,2,2024-09-07 09:01:50:770,380670,380670,0,0,14994636,0,4369 63,3,2024-09-07 09:01:51:735,1,301,25,0,667,3365,301,0 64,0,2024-09-07 09:01:51:556,73049,0.5,73090,0.7,146138,0.5,194333,2.00 64,1,2024-09-07 09:01:50:752,529908,529908,0,0,247083860675,2579835517,524302,3950,1656,370,391783,0 64,2,2024-09-07 09:01:51:141,384365,384346,19,0,15197900,0,6121 64,3,2024-09-07 09:01:51:139,1,301,61,0,265,2983,301,0 65,0,2024-09-07 09:01:51:701,78299,0.8,78551,0.8,156859,0.8,208727,2.25 65,1,2024-09-07 09:01:50:880,529060,529060,0,0,246171044976,2575940517,525075,3537,448,382,391901,0 65,2,2024-09-07 09:01:51:700,381466,381466,0,0,16947220,0,3367 65,3,2024-09-07 09:01:51:684,1,301,4,0,163,2791,301,0 66,0,2024-09-07 09:01:51:764,78145,0.5,77989,0.7,156269,0.5,207402,2.25 66,1,2024-09-07 09:01:51:294,530509,530509,0,0,247387518810,2573977426,527038,3152,319,380,391653,0 66,2,2024-09-07 09:01:51:169,382405,382405,0,0,15233228,0,4956 66,3,2024-09-07 09:01:51:079,1,301,1,0,291,3027,301,0 67,0,2024-09-07 09:01:51:416,71569,0.6,70785,0.7,142519,0.5,189747,2.00 67,1,2024-09-07 09:01:50:766,530668,530667,0,1,246334606391,2569137455,526558,3359,750,380,391787,1 67,2,2024-09-07 09:01:50:585,381812,381812,0,0,14480838,0,2889 67,3,2024-09-07 09:01:51:753,1,301,7,0,338,2686,301,0 68,0,2024-09-07 09:01:50:580,72882,0.6,72504,0.7,144794,0.6,193410,2.00 68,1,2024-09-07 09:01:50:581,529482,529482,0,0,246006128399,2579658622,524786,3496,1200,381,391953,0 68,2,2024-09-07 09:01:51:044,379507,379442,65,0,19331722,0,6698 68,3,2024-09-07 09:01:50:739,1,301,2,0,417,3293,301,0 69,0,2024-09-07 09:01:51:742,78312,0.7,78713,0.8,156782,0.7,208463,2.25 69,1,2024-09-07 09:01:51:016,527960,527960,0,0,245662851168,2594401507,520893,5166,1901,384,391994,0 69,2,2024-09-07 09:01:51:739,380420,380420,0,0,19064726,0,3722 69,3,2024-09-07 09:01:50:770,1,301,1,0,698,4303,301,0 70,0,2024-09-07 09:01:51:552,77798,0.9,77888,1.1,156483,0.7,207619,2.50 70,1,2024-09-07 09:01:50:801,531424,531424,0,0,247408447755,2569313922,527126,3762,536,366,391725,0 70,2,2024-09-07 09:01:51:325,379227,379227,0,0,17266459,0,4044 70,3,2024-09-07 09:01:50:752,1,301,3,0,854,3477,301,0 71,0,2024-09-07 09:01:51:357,71916,1.0,71705,1.0,144003,1.2,192325,2.75 71,1,2024-09-07 09:01:51:596,529394,529394,0,0,247523354766,2585076326,523159,5579,656,368,391738,0 71,2,2024-09-07 09:01:51:067,381081,381081,0,0,17343495,0,4042 71,3,2024-09-07 09:01:51:752,1,301,13,0,644,4360,301,0 72,0,2024-09-07 09:01:51:065,74672,0.6,72982,0.8,142372,0.6,193896,2.00 72,1,2024-09-07 09:01:51:028,529061,529061,0,0,246752667606,2584134286,521837,5678,1546,369,391819,0 72,2,2024-09-07 09:01:51:761,379792,379792,0,0,19518676,0,3983 72,3,2024-09-07 09:01:51:755,1,301,23,0,564,4958,301,0 73,0,2024-09-07 09:01:51:127,75447,0.4,77068,0.6,158122,0.3,205519,2.00 73,1,2024-09-07 09:01:50:767,530444,530444,0,0,246635309082,2564265223,526722,3429,293,367,391858,0 73,2,2024-09-07 09:01:51:743,380734,380734,0,0,18790231,0,3701 73,3,2024-09-07 09:01:50:970,1,301,13,0,274,4236,301,0 74,0,2024-09-07 09:01:51:329,79378,0.5,81301,0.7,155288,0.5,210289,2.25 74,1,2024-09-07 09:01:50:642,530039,530039,0,0,246675200880,2576413762,524719,4352,968,381,391681,0 74,2,2024-09-07 09:01:51:002,380093,380093,0,0,17998714,0,4253 74,3,2024-09-07 09:01:51:442,1,301,127,0,522,3941,301,0 75,0,2024-09-07 09:01:51:770,74978,0.5,74668,0.7,149471,0.4,199722,2.25 75,1,2024-09-07 09:01:51:585,529290,529290,0,0,246426675287,2576665228,523480,5004,806,380,391739,0 75,2,2024-09-07 09:01:51:349,380422,380422,0,0,17701276,0,4766 75,3,2024-09-07 09:01:51:066,1,301,1,0,702,4253,301,0 76,0,2024-09-07 09:01:50:589,71368,0.6,70803,0.8,142225,0.6,189914,2.25 76,1,2024-09-07 09:01:50:805,530162,530162,0,0,246445276070,2571663506,526630,2979,553,382,391692,0 76,2,2024-09-07 09:01:51:061,381389,381388,1,0,16621789,0,5144 76,3,2024-09-07 09:01:51:142,1,301,1,0,175,3041,301,0 77,0,2024-09-07 09:01:51:718,74574,0.6,74743,0.8,149396,0.6,199156,2.00 77,1,2024-09-07 09:01:50:825,530356,530356,0,0,246719744518,2577781269,526146,3946,264,381,391869,0 77,2,2024-09-07 09:01:51:289,381023,381023,0,0,16527097,0,3890 77,3,2024-09-07 09:01:51:106,1,301,1,0,401,3564,301,0 78,0,2024-09-07 09:01:51:738,78924,0.5,78535,0.7,157777,0.4,209055,2.00 78,1,2024-09-07 09:01:50:612,530110,530110,0,0,246964023693,2571244426,525061,4055,994,367,391670,0 78,2,2024-09-07 09:01:51:409,381333,381333,0,0,14521520,0,3855 78,3,2024-09-07 09:01:51:133,1,301,8,0,181,2969,301,0 79,0,2024-09-07 09:01:51:368,75081,0.4,76993,0.6,157385,0.4,204519,2.25 79,1,2024-09-07 09:01:50:572,532184,532184,0,0,248026392457,2567658808,528464,3275,445,369,391682,0 79,2,2024-09-07 09:01:51:067,381571,381571,0,0,14785481,0,3212 79,3,2024-09-07 09:01:50:754,1,301,9,0,418,4147,301,0 80,0,2024-09-07 09:01:51:128,71636,0.6,73591,0.7,141060,0.5,190591,2.00 80,1,2024-09-07 09:01:51:621,530129,530129,0,0,246878501885,2570258141,526823,3129,177,368,391791,0 80,2,2024-09-07 09:01:51:096,381945,381945,0,0,14819472,0,4433 80,3,2024-09-07 09:01:50:580,1,301,10,0,190,3815,301,0 81,0,2024-09-07 09:01:51:705,72515,0.6,74284,0.7,142041,0.5,192886,2.00 81,1,2024-09-07 09:01:51:663,529260,529260,0,0,245953801113,2573598361,524709,4072,479,382,391879,0 81,2,2024-09-07 09:01:51:149,380664,380601,63,0,16910699,0,5932 81,3,2024-09-07 09:01:51:130,1,301,12,0,374,3694,301,0 82,0,2024-09-07 09:01:51:535,79264,0.5,79808,0.7,159725,0.5,211881,2.00 82,1,2024-09-07 09:01:50:604,530918,530914,0,4,247183908581,2576407063,527083,3036,795,381,391768,4 82,2,2024-09-07 09:01:51:693,382076,382076,0,0,14594365,0,3986 82,3,2024-09-07 09:01:51:752,1,301,2,0,363,3104,301,0 83,0,2024-09-07 09:01:51:522,78445,0.5,78296,0.7,155755,0.5,207698,2.00 83,1,2024-09-07 09:01:50:558,529807,529807,0,0,246380759253,2571556239,525743,3743,321,382,391709,0 83,2,2024-09-07 09:01:50:764,380506,380506,0,0,14815004,0,3393 83,3,2024-09-07 09:01:50:754,1,301,9,0,1260,4670,301,0 84,0,2024-09-07 09:01:51:770,71445,0.7,71333,0.9,142794,0.6,191058,2.25 84,1,2024-09-07 09:01:51:051,529504,529504,0,0,246515419842,2575453780,524529,4389,586,367,391967,0 84,2,2024-09-07 09:01:50:592,380909,380909,0,0,17903568,0,4757 84,3,2024-09-07 09:01:51:147,1,301,0,0,908,4800,301,0 85,0,2024-09-07 09:01:51:032,69488,0.6,69579,0.8,147392,0.6,190929,2.25 85,1,2024-09-07 09:01:50:566,528531,528531,0,0,246422711367,2602328130,520766,6562,1203,381,392006,0 85,2,2024-09-07 09:01:50:870,381366,381366,0,0,18101178,0,3656 85,3,2024-09-07 09:01:50:689,1,301,1,0,789,3959,301,0 86,0,2024-09-07 09:01:50:878,77989,0.6,80286,0.7,153516,0.6,207391,2.00 86,1,2024-09-07 09:01:50:828,529821,529821,0,0,246529210663,2581033595,523530,5241,1050,366,391961,0 86,2,2024-09-07 09:01:50:858,379499,379498,1,0,18899715,0,5004 86,3,2024-09-07 09:01:50:593,1,301,14,0,286,4075,301,0 87,0,2024-09-07 09:01:51:291,78122,1.0,78096,0.9,156746,1.4,209273,2.25 87,1,2024-09-07 09:01:50:553,529224,529224,0,0,246663802357,2579862678,524010,4711,503,366,392076,0 87,2,2024-09-07 09:01:51:067,379475,379475,0,0,16811130,0,4045 87,3,2024-09-07 09:01:51:804,1,301,8,0,473,4313,301,0 88,0,2024-09-07 09:01:51:450,73545,0.5,74053,0.6,147494,0.4,195899,2.00 88,1,2024-09-07 09:01:50:581,527938,527938,0,0,246355273270,2585464813,520574,5711,1653,365,392084,0 88,2,2024-09-07 09:01:50:710,381206,381206,0,0,18605414,0,3583 88,3,2024-09-07 09:01:51:267,1,301,2,0,435,3515,301,0 89,0,2024-09-07 09:01:51:765,74029,0.5,71579,0.7,142074,0.4,192649,1.75 89,1,2024-09-07 09:01:50:552,528217,528217,0,0,246176416362,2592959542,520880,6071,1266,382,391866,0 89,2,2024-09-07 09:01:51:131,379783,379783,0,0,18031913,0,2910 89,3,2024-09-07 09:01:51:799,1,301,0,0,385,5769,301,0 90,0,2024-09-07 09:01:51:644,73756,0.4,75562,0.6,154423,0.4,201723,1.75 90,1,2024-09-07 09:01:50:594,529818,529818,0,0,246434954397,2582517621,524792,4701,325,380,391825,0 90,2,2024-09-07 09:01:51:406,378986,378986,0,0,19374165,0,3060 90,3,2024-09-07 09:01:50:938,1,301,0,0,246,3416,301,0 91,0,2024-09-07 09:01:50:930,79049,0.5,76832,0.6,160011,0.5,210270,1.75 91,1,2024-09-07 09:01:50:569,528543,528543,0,0,246617507826,2594831518,521520,6129,894,381,392047,0 91,2,2024-09-07 09:01:51:332,380677,380677,0,0,17582998,0,2896 91,3,2024-09-07 09:01:50:605,1,301,8,0,216,2764,301,0 92,0,2024-09-07 09:01:51:461,77021,0.5,79004,0.6,150823,0.5,203922,1.75 92,1,2024-09-07 09:01:50:598,530040,530040,0,0,246570313593,2574423180,526557,3003,480,382,392136,0 92,2,2024-09-07 09:01:51:349,382582,382582,0,0,14906431,0,2801 92,3,2024-09-07 09:01:51:009,1,301,1,0,167,2584,301,0 93,0,2024-09-07 09:01:51:015,72545,0.4,74388,0.6,142076,0.3,192310,1.75 93,1,2024-09-07 09:01:50:814,529996,529996,0,0,246166218217,2570771796,524771,4385,840,366,391776,0 93,2,2024-09-07 09:01:50:950,380279,380279,0,0,17319680,0,4311 93,3,2024-09-07 09:01:51:407,1,301,1,0,190,2969,301,0 94,0,2024-09-07 09:01:51:627,73179,0.4,73959,0.5,147471,0.3,195925,1.75 94,1,2024-09-07 09:01:50:570,529730,529730,0,0,246879593173,2579280372,525858,3686,186,381,391850,0 94,2,2024-09-07 09:01:50:769,380848,380848,0,0,15285148,0,2443 94,3,2024-09-07 09:01:51:688,1,301,135,0,264,3941,301,0 95,0,2024-09-07 09:01:51:356,78873,0.4,78804,0.6,158382,0.3,210555,1.75 95,1,2024-09-07 09:01:50:864,530598,530598,0,0,247683275070,2576869493,526300,3919,379,367,391713,0 95,2,2024-09-07 09:01:51:016,380630,380630,0,0,15608842,0,3308 95,3,2024-09-07 09:01:51:720,1,301,12,0,718,4975,301,0 96,0,2024-09-07 09:01:51:033,78701,0.4,78816,0.5,157181,0.3,208315,1.75 96,1,2024-09-07 09:01:51:608,529647,529647,0,0,247301999964,2583484252,525402,3462,783,384,391955,0 96,2,2024-09-07 09:01:51:270,380722,380722,0,0,15790492,0,4042 96,3,2024-09-07 09:01:51:140,1,301,1,0,411,3289,301,0 97,0,2024-09-07 09:01:51:433,71375,0.3,70998,0.5,142648,0.3,189550,1.75 97,1,2024-09-07 09:01:50:782,531667,531667,0,0,247554183728,2569269152,527884,3117,666,367,392140,0 97,2,2024-09-07 09:01:50:619,381416,381416,0,0,15346408,0,3036 97,3,2024-09-07 09:01:50:577,1,301,2,0,214,3673,301,0 98,0,2024-09-07 09:01:51:701,72670,0.3,72620,0.5,145852,0.2,193751,1.50 98,1,2024-09-07 09:01:50:586,530964,530964,0,0,247189677555,2572973675,528572,2290,102,382,391997,0 98,2,2024-09-07 09:01:50:775,381394,381394,0,0,15468267,0,3080 98,3,2024-09-07 09:01:50:698,1,301,1,0,840,5113,301,0 99,0,2024-09-07 09:01:51:459,78686,0.3,79083,0.5,157539,0.3,210609,1.75 99,1,2024-09-07 09:01:51:731,530532,530532,0,0,247330844928,2578179160,526458,3325,749,381,392069,0 99,2,2024-09-07 09:01:51:449,382623,382623,0,0,16874856,0,3424 99,3,2024-09-07 09:01:50:581,1,301,8,0,187,2546,301,0 100,0,2024-09-07 09:01:51:477,78207,0.8,78124,1.0,156535,1.0,209265,2.50 100,1,2024-09-07 09:01:50:566,526761,526761,0,0,245798945101,2601255761,518566,6704,1491,381,391989,0 100,2,2024-09-07 09:01:51:825,378743,378732,11,0,17729930,0,5417 100,3,2024-09-07 09:01:51:731,1,301,4,0,559,5330,301,0 101,0,2024-09-07 09:01:51:708,74017,1.2,72007,1.1,141391,1.0,193974,2.25 101,1,2024-09-07 09:01:50:557,527627,527627,0,0,245822427189,2593191886,518664,6945,2018,368,391771,0 101,2,2024-09-07 09:01:51:755,379145,379145,0,0,20224663,0,4644 101,3,2024-09-07 09:01:50:953,1,301,10,0,448,3646,301,0 102,0,2024-09-07 09:01:50:950,70520,0.6,72995,0.8,147084,0.6,192810,2.00 102,1,2024-09-07 09:01:51:147,528329,528329,0,0,246452749921,2591246320,521263,6037,1029,369,391891,0 102,2,2024-09-07 09:01:51:737,381700,381646,54,0,17348335,0,6768 102,3,2024-09-07 09:01:51:613,1,301,53,0,410,3252,301,0 103,0,2024-09-07 09:01:51:609,79610,0.5,79612,0.7,150115,0.5,206817,2.00 103,1,2024-09-07 09:01:51:625,527617,527617,0,0,245862484206,2596249314,519283,6415,1919,381,391829,0 103,2,2024-09-07 09:01:50:585,379444,379444,0,0,17610162,0,3173 103,3,2024-09-07 09:01:50:757,1,301,16,0,916,3932,301,0 104,0,2024-09-07 09:01:51:023,77998,0.8,78457,1.0,155550,0.8,209470,2.25 104,1,2024-09-07 09:01:51:675,529063,529063,0,0,245358350916,2584523134,520540,6864,1659,365,392168,0 104,2,2024-09-07 09:01:51:680,378787,378787,0,0,18925995,0,3941 104,3,2024-09-07 09:01:51:434,1,301,44,0,1245,6904,301,0 105,0,2024-09-07 09:01:51:033,74059,1.0,72089,1.1,150671,1.2,198171,3.25 105,1,2024-09-07 09:01:50:566,529302,529302,0,0,246286795210,2587288801,521598,6404,1300,366,391797,0 105,2,2024-09-07 09:01:51:324,380447,380447,0,0,17948504,0,3509 105,3,2024-09-07 09:01:51:322,1,301,80,0,399,4780,301,0 106,0,2024-09-07 09:01:50:947,69160,0.8,70859,1.0,145091,0.9,189415,2.50 106,1,2024-09-07 09:01:51:761,528466,528466,0,0,245021029821,2577888575,519913,7549,1004,369,391865,0 106,2,2024-09-07 09:01:50:757,378583,378583,0,0,17410398,0,2795 106,3,2024-09-07 09:01:50:679,1,301,1,0,470,4119,301,0 107,0,2024-09-07 09:01:51:126,74542,0.7,74359,0.8,148818,0.7,198893,2.00 107,1,2024-09-07 09:01:50:590,527720,527720,0,0,245671128955,2589373137,520723,6278,719,381,392234,0 107,2,2024-09-07 09:01:51:295,379263,379262,1,0,18417398,0,5024 107,3,2024-09-07 09:01:51:760,1,301,8,0,353,4202,301,0 108,0,2024-09-07 09:01:51:802,78599,0.4,78916,0.6,157058,0.4,209711,1.75 108,1,2024-09-07 09:01:51:327,529546,529546,0,0,247138221279,2579793112,524957,4077,512,368,391857,0 108,2,2024-09-07 09:01:51:766,379389,379389,0,0,17346649,0,4246 108,3,2024-09-07 09:01:51:336,1,301,1,0,749,6318,301,0 109,0,2024-09-07 09:01:51:841,78071,0.4,77212,0.6,154654,0.3,206751,1.75 109,1,2024-09-07 09:01:50:605,527998,527998,0,0,246726879470,2589152365,523405,3943,650,383,392132,0 109,2,2024-09-07 09:01:50:951,378752,378752,0,0,16956854,0,3617 109,3,2024-09-07 09:01:51:147,1,301,10,0,249,3293,301,0 110,0,2024-09-07 09:01:51:782,71761,0.4,69742,0.6,146000,0.3,191399,1.75 110,1,2024-09-07 09:01:51:661,531374,531374,0,0,246996481777,2564808837,527878,2587,909,369,392045,0 110,2,2024-09-07 09:01:51:302,380921,380921,0,0,16916819,0,4067 110,3,2024-09-07 09:01:50:696,1,301,0,0,406,3781,301,0 111,0,2024-09-07 09:01:51:445,73098,0.4,72392,0.5,145013,0.3,194657,1.75 111,1,2024-09-07 09:01:51:000,531117,531117,0,0,248147722688,2575787512,528441,2333,343,382,391690,0 111,2,2024-09-07 09:01:51:121,380551,380551,0,0,15731876,0,2763 111,3,2024-09-07 09:01:50:923,1,301,1,0,379,3887,301,0 112,0,2024-09-07 09:01:50:922,80325,0.3,79677,0.4,159930,0.2,212688,1.50 112,1,2024-09-07 09:01:50:829,531266,531266,0,0,246905702851,2564772133,527832,2850,584,380,391624,0 112,2,2024-09-07 09:01:51:132,381012,381011,1,0,15441197,0,5036 112,3,2024-09-07 09:01:50:597,1,301,8,0,282,3197,301,0 113,0,2024-09-07 09:01:50:899,78128,0.3,78190,0.5,156805,0.2,209142,1.50 113,1,2024-09-07 09:01:51:685,532611,532611,0,0,248605525415,2568320976,529339,2688,584,366,391661,0 113,2,2024-09-07 09:01:51:310,381936,381936,0,0,13737253,0,3813 113,3,2024-09-07 09:01:50:688,1,301,0,0,340,3886,301,0 114,0,2024-09-07 09:01:50:874,72323,0.4,72821,0.5,144566,0.2,193115,1.75 114,1,2024-09-07 09:01:50:723,530373,530373,0,0,246784295013,2569420272,525265,3517,1591,381,391556,0 114,2,2024-09-07 09:01:50:878,381430,381429,1,0,15386078,0,5069 114,3,2024-09-07 09:01:51:287,1,301,1,0,395,2880,301,0 115,0,2024-09-07 09:01:50:557,72125,0.3,72451,0.4,144952,0.2,193253,1.50 115,1,2024-09-07 09:01:50:585,530569,530569,0,0,247060390977,2569325033,525833,3896,840,382,391656,0 115,2,2024-09-07 09:01:51:132,382284,382284,0,0,14374202,0,3453 115,3,2024-09-07 09:01:51:001,1,301,11,0,159,1809,301,0 116,0,2024-09-07 09:01:51:711,77793,0.7,77641,0.8,155715,0.7,208146,2.00 116,1,2024-09-07 09:01:50:812,527951,527951,0,0,245756415436,2596213188,521006,4806,2139,380,391782,0 116,2,2024-09-07 09:01:51:751,379682,379682,0,0,19387484,0,3529 116,3,2024-09-07 09:01:50:921,1,301,7,0,415,3960,301,0 117,0,2024-09-07 09:01:50:961,78625,0.8,78282,0.9,156491,1.1,209597,2.00 117,1,2024-09-07 09:01:51:578,528607,528607,0,0,245995705502,2576677571,522992,5064,551,370,392033,0 117,2,2024-09-07 09:01:51:118,382395,382395,0,0,15661628,0,3700 117,3,2024-09-07 09:01:51:065,1,301,72,0,490,4643,301,0 118,0,2024-09-07 09:01:51:762,71387,0.5,73441,0.7,149404,0.5,195146,2.00 118,1,2024-09-07 09:01:50:586,528578,528578,0,0,245328920865,2582524937,520711,6028,1839,366,391736,0 118,2,2024-09-07 09:01:51:586,380392,380392,0,0,17154783,0,2781 118,3,2024-09-07 09:01:51:764,1,301,0,0,235,3421,301,0 119,0,2024-09-07 09:01:51:334,71465,0.7,71904,0.8,143880,0.8,191500,2.25 119,1,2024-09-07 09:01:50:559,529948,529948,0,0,247302563204,2585740446,524244,5036,668,367,391780,0 119,2,2024-09-07 09:01:51:261,381432,381432,0,0,16245786,0,4174 119,3,2024-09-07 09:01:51:326,1,301,7,0,563,4633,301,0 120,0,2024-09-07 09:01:51:544,75431,0.6,75339,0.8,150863,0.5,202355,2.25 120,1,2024-09-07 09:01:50:859,529258,529258,0,0,246350874959,2587265524,523391,5445,422,368,391961,0 120,2,2024-09-07 09:01:50:773,379725,379724,1,0,19377462,0,5281 120,3,2024-09-07 09:01:51:298,1,301,2,0,241,3893,301,0 121,0,2024-09-07 09:01:51:718,78575,1.2,78590,1.1,157823,1.6,209641,2.25 121,1,2024-09-07 09:01:51:661,529519,529519,0,0,246677615519,2582685283,524092,4996,431,367,391840,0 121,2,2024-09-07 09:01:51:127,379159,379159,0,0,18890870,0,4127 121,3,2024-09-07 09:01:50:738,1,301,1,0,269,3615,301,0 122,0,2024-09-07 09:01:51:762,76203,0.8,74212,0.9,155571,0.9,203903,2.00 122,1,2024-09-07 09:01:50:860,528437,528437,0,0,246331566261,2587197417,520842,6462,1133,366,392130,0 122,2,2024-09-07 09:01:51:319,380216,380143,73,0,20815099,0,5989 122,3,2024-09-07 09:01:50:603,1,301,0,0,411,5832,301,0 123,0,2024-09-07 09:01:50:958,71810,0.8,70238,0.8,146481,0.9,191576,2.25 123,1,2024-09-07 09:01:50:563,528554,528554,0,0,246143105568,2596776684,518602,8011,1941,369,392039,0 123,2,2024-09-07 09:01:51:020,378428,378427,1,0,17545398,0,5215 123,3,2024-09-07 09:01:51:132,1,301,20,0,168,3604,301,0 124,0,2024-09-07 09:01:50:993,75821,0.4,75778,0.5,142907,0.3,196578,1.75 124,1,2024-09-07 09:01:51:033,530710,530710,0,0,246651146504,2570034780,526308,3496,906,367,392178,0 124,2,2024-09-07 09:01:51:014,381510,381510,0,0,15266011,0,3101 124,3,2024-09-07 09:01:50:769,1,301,4,0,490,3266,301,0 125,0,2024-09-07 09:01:51:476,79248,0.4,78873,0.6,158673,0.4,210871,1.75 125,1,2024-09-07 09:01:50:861,528965,528965,0,0,247166061872,2581169465,524966,3549,450,383,391702,0 125,2,2024-09-07 09:01:51:117,380983,380983,0,0,16437388,0,4534 125,3,2024-09-07 09:01:51:128,1,301,4,0,709,4373,301,0 126,0,2024-09-07 09:01:51:419,78492,0.5,80731,0.6,154327,0.4,209089,1.75 126,1,2024-09-07 09:01:50:558,531310,531310,0,0,247564845349,2566047893,528260,2778,272,365,391987,0 126,2,2024-09-07 09:01:50:614,381839,381839,0,0,16062493,0,3186 126,3,2024-09-07 09:01:50:910,1,301,7,0,207,3989,301,0 127,0,2024-09-07 09:01:51:593,71061,0.3,71418,0.5,142417,0.3,189610,1.75 127,1,2024-09-07 09:01:50:585,530678,530678,0,0,246790494593,2562008406,526446,3718,514,365,391816,0 127,2,2024-09-07 09:01:50:643,380933,380933,0,0,14640531,0,2264 127,3,2024-09-07 09:01:51:267,1,301,9,0,968,3730,301,0 128,0,2024-09-07 09:01:51:571,72893,0.3,73072,0.5,145838,0.2,194165,1.50 128,1,2024-09-07 09:01:51:621,530137,530137,0,0,247232060888,2571228329,526110,3660,367,367,391798,0 128,2,2024-09-07 09:01:51:385,382283,382283,0,0,14862469,0,2915 128,3,2024-09-07 09:01:50:769,1,301,4,0,1082,5780,301,0 129,0,2024-09-07 09:01:50:997,79129,0.3,78836,0.5,157891,0.3,210478,1.75 129,1,2024-09-07 09:01:50:637,527102,527102,0,0,245998263542,2580642578,521805,4084,1213,379,391835,0 129,2,2024-09-07 09:01:50:693,381514,381514,0,0,15477939,0,4031 129,3,2024-09-07 09:01:50:691,1,301,48,0,469,4328,301,0 130,0,2024-09-07 09:01:51:714,79132,0.5,78709,0.6,158015,0.6,210634,1.75 130,1,2024-09-07 09:01:50:590,530312,530312,0,0,247393821787,2574827979,527124,2951,237,381,391825,0 130,2,2024-09-07 09:01:51:128,381351,381351,0,0,15304927,0,4067 130,3,2024-09-07 09:01:51:294,1,301,1,0,450,3823,301,0 131,0,2024-09-07 09:01:51:953,72379,0.4,72828,0.5,146325,0.3,193707,1.75 131,1,2024-09-07 09:01:51:889,530440,530440,0,0,246815638206,2578736888,526155,3543,742,381,391865,0 131,2,2024-09-07 09:01:50:568,383260,383260,0,0,14110486,0,2415 131,3,2024-09-07 09:01:51:693,1,301,15,0,392,3274,301,0 132,0,2024-09-07 09:01:51:492,72158,0.5,73022,0.6,145562,0.4,193461,1.75 132,1,2024-09-07 09:01:50:580,527731,527731,0,0,246320739223,2598081692,519513,6756,1462,381,392097,0 132,2,2024-09-07 09:01:50:698,380604,380604,0,0,18683301,0,4606 132,3,2024-09-07 09:01:51:689,1,301,13,0,427,4560,301,0 133,0,2024-09-07 09:01:51:543,75372,0.4,77058,0.6,158163,0.3,205840,1.75 133,1,2024-09-07 09:01:50:590,528127,528127,0,0,245826869989,2595202965,520260,6785,1082,383,391914,0 133,2,2024-09-07 09:01:51:090,379394,379394,0,0,19687195,0,4315 133,3,2024-09-07 09:01:51:301,1,301,6,0,276,2848,301,0 134,0,2024-09-07 09:01:50:939,79025,0.5,79009,0.7,157868,0.5,210574,2.00 134,1,2024-09-07 09:01:50:590,528565,528565,0,0,245271553784,2576826179,521430,5658,1477,366,391718,0 134,2,2024-09-07 09:01:51:756,379794,379794,0,0,16624188,0,3847 134,3,2024-09-07 09:01:50:755,1,301,1,0,739,4202,301,0 135,0,2024-09-07 09:01:51:107,72348,0.7,72408,0.9,153481,0.8,197871,2.00 135,1,2024-09-07 09:01:51:585,528231,528231,0,0,246842719916,2600376410,520578,6461,1192,380,391805,0 135,2,2024-09-07 09:01:50:689,381287,381287,0,0,17763462,0,3981 135,3,2024-09-07 09:01:51:002,1,301,10,0,299,2310,301,0 136,0,2024-09-07 09:01:51:633,72107,0.6,72062,0.7,143686,0.5,191384,2.25 136,1,2024-09-07 09:01:51:445,528669,528669,0,0,246125172519,2588728170,522017,5966,686,382,391685,0 136,2,2024-09-07 09:01:51:134,380631,380631,0,0,17652409,0,3506 136,3,2024-09-07 09:01:51:106,1,301,1,0,301,2936,301,0 137,0,2024-09-07 09:01:50:956,76825,0.7,74705,0.8,146794,0.7,200080,2.00 137,1,2024-09-07 09:01:50:578,528274,528274,0,0,246160167745,2586833728,519499,6973,1802,366,391708,0 137,2,2024-09-07 09:01:51:714,379979,379979,0,0,19626769,0,3185 137,3,2024-09-07 09:01:50:770,1,301,6,0,382,3697,301,0 138,0,2024-09-07 09:01:51:809,78050,1.5,78221,1.1,156963,2.1,208607,2.50 138,1,2024-09-07 09:01:51:686,528283,528283,0,0,247354149323,2600748077,519659,7046,1578,368,391954,0 138,2,2024-09-07 09:01:50:593,380347,380347,0,0,17985583,0,4988 138,3,2024-09-07 09:01:50:621,1,301,33,0,1160,4297,301,0 139,0,2024-09-07 09:01:51:366,76443,1.4,76850,1.1,153874,2.1,204944,2.50 139,1,2024-09-07 09:01:50:577,526238,526238,0,0,244580473920,2600851698,516079,7639,2520,381,391892,0 139,2,2024-09-07 09:01:50:704,378175,378175,0,0,18780914,0,3097 139,3,2024-09-07 09:01:51:667,1,301,46,0,257,3389,301,0 140,0,2024-09-07 09:01:51:593,71990,0.3,71543,0.5,143835,0.2,191656,1.75 140,1,2024-09-07 09:01:51:536,531729,531729,0,0,247770784928,2557800769,528704,2587,438,365,391606,0 140,2,2024-09-07 09:01:50:687,381516,381516,0,0,14930449,0,3388 140,3,2024-09-07 09:01:50:769,1,301,3,0,247,2558,301,0 141,0,2024-09-07 09:01:51:712,72756,0.3,74841,0.5,143062,0.3,194520,1.75 141,1,2024-09-07 09:01:50:858,531646,531646,0,0,247330237559,2569394426,528075,3112,459,379,391614,0 141,2,2024-09-07 09:01:51:690,381202,381202,0,0,15304121,0,3360 141,3,2024-09-07 09:01:51:043,1,301,14,0,391,3130,301,0 142,0,2024-09-07 09:01:51:307,80423,0.4,79703,0.5,159069,0.3,212882,1.75 142,1,2024-09-07 09:01:50:587,530416,530416,0,0,246338951015,2571738125,526685,3382,349,382,392102,0 142,2,2024-09-07 09:01:51:300,380516,380484,32,0,16863448,0,6028 142,3,2024-09-07 09:01:51:747,1,301,3,0,484,3950,301,0 143,0,2024-09-07 09:01:51:373,78416,0.5,78427,0.6,156996,0.5,209212,1.75 143,1,2024-09-07 09:01:50:560,531164,531164,0,0,246653735783,2561114508,527609,3410,145,367,391705,0 143,2,2024-09-07 09:01:50:771,380609,380609,0,0,15425317,0,2750 143,3,2024-09-07 09:01:51:140,1,301,8,0,303,3726,301,0 144,0,2024-09-07 09:01:51:494,69638,0.6,71613,0.8,145852,0.5,191258,2.00 144,1,2024-09-07 09:01:50:569,528151,528151,0,0,246102347219,2584582642,523316,3897,938,381,391649,0 144,2,2024-09-07 09:01:51:755,381825,381825,0,0,14819451,0,3473 144,3,2024-09-07 09:01:51:742,1,301,2,0,249,3288,301,0 145,0,2024-09-07 09:01:51:359,69626,0.5,69650,0.8,147784,0.5,190471,2.25 145,1,2024-09-07 09:01:50:552,527812,527812,0,0,246015536508,2589059009,521100,5712,1000,382,391698,0 145,2,2024-09-07 09:01:51:440,380131,380131,0,0,17860771,0,3903 145,3,2024-09-07 09:01:50:905,1,301,14,0,622,4728,301,0 146,0,2024-09-07 09:01:51:623,78108,0.5,77391,0.7,156092,0.5,207268,2.00 146,1,2024-09-07 09:01:51:607,529150,529150,0,0,246225073014,2591672282,520288,6965,1897,368,391770,0 146,2,2024-09-07 09:01:51:710,380093,380093,0,0,17693140,0,2730 146,3,2024-09-07 09:01:51:285,1,301,7,0,1520,6638,301,0 147,0,2024-09-07 09:01:51:705,78586,0.6,78298,0.8,155876,0.6,209023,2.00 147,1,2024-09-07 09:01:51:387,530615,530615,0,0,247167760315,2574081341,525541,4281,793,368,391791,0 147,2,2024-09-07 09:01:51:009,380995,380995,0,0,15064027,0,2789 147,3,2024-09-07 09:01:50:923,1,301,12,0,730,4580,301,0 0,0,2024-09-07 09:02:01:889,74014,0.6,73950,0.7,156979,0.6,203381,2.00 0,1,2024-09-07 09:02:00:836,531195,531195,0,0,247813106814,2594729680,527104,3850,241,370,391896,0 0,2,2024-09-07 09:02:01:068,381801,381801,0,0,15729461,0,4480 0,3,2024-09-07 09:02:00:982,1,302,0,0,319,3859,302,0 1,0,2024-09-07 09:02:01:823,78973,0.9,78507,1.0,157773,1.1,210527,2.00 1,1,2024-09-07 09:02:00:564,530501,530501,0,0,246741488894,2587243891,525230,4066,1205,370,391857,0 1,2,2024-09-07 09:02:00:643,380595,380595,0,0,15254893,0,3267 1,3,2024-09-07 09:02:01:309,1,302,8,0,262,3408,302,0 2,0,2024-09-07 09:02:01:568,76470,0.7,76837,0.8,152237,0.8,203765,2.00 2,1,2024-09-07 09:02:00:870,532023,532023,0,0,247430066811,2572679416,529134,2596,293,380,391745,0 2,2,2024-09-07 09:02:01:267,382547,382547,0,0,15258390,0,3594 2,3,2024-09-07 09:02:00:693,1,302,1,0,357,3098,302,0 3,0,2024-09-07 09:02:01:779,71983,0.4,72100,0.6,144005,0.4,191095,2.00 3,1,2024-09-07 09:02:01:618,530977,530977,0,0,247570516127,2582026598,525955,4399,623,380,391556,0 3,2,2024-09-07 09:02:01:145,381954,381931,23,0,15621233,0,5851 3,3,2024-09-07 09:02:01:769,1,302,10,0,103,1832,302,0 4,0,2024-09-07 09:02:01:796,71683,0.4,73846,0.5,149809,0.3,196712,1.75 4,1,2024-09-07 09:02:00:610,530211,530211,0,0,246752477629,2597167015,523954,5192,1065,371,391992,0 4,2,2024-09-07 09:02:01:034,380264,380264,0,0,18206598,0,4528 4,3,2024-09-07 09:02:01:053,1,302,17,0,448,4360,302,0 5,0,2024-09-07 09:02:01:403,79186,0.5,79417,0.6,158292,0.5,210530,1.75 5,1,2024-09-07 09:02:00:757,530334,530334,0,0,247277689678,2602873495,523512,5468,1354,368,392005,0 5,2,2024-09-07 09:02:01:839,380650,380650,0,0,17087221,0,2432 5,3,2024-09-07 09:02:01:770,1,302,16,0,457,4559,302,0 6,0,2024-09-07 09:02:00:947,79078,0.5,78468,0.7,156879,0.4,209501,2.00 6,1,2024-09-07 09:02:00:748,530729,530729,0,0,246877920855,2580476950,525159,4614,956,379,391694,0 6,2,2024-09-07 09:02:01:117,381579,381579,0,0,16115104,0,4816 6,3,2024-09-07 09:02:01:275,1,302,1,0,340,3493,302,0 7,0,2024-09-07 09:02:01:534,71140,0.5,71440,0.6,142320,0.4,189620,2.00 7,1,2024-09-07 09:02:00:856,529733,529733,0,0,247221563257,2598552538,522397,6217,1119,382,391747,0 7,2,2024-09-07 09:02:00:770,381902,381902,0,0,16173703,0,4791 7,3,2024-09-07 09:02:00:869,1,302,9,0,398,3515,302,0 8,0,2024-09-07 09:02:01:454,73033,0.4,72827,0.5,145784,0.3,194603,1.75 8,1,2024-09-07 09:02:01:055,529295,529295,0,0,247175053220,2607168212,519743,7340,2212,366,392144,0 8,2,2024-09-07 09:02:00:800,378409,378409,0,0,19972886,0,3220 8,3,2024-09-07 09:02:00:590,1,302,5,0,538,5258,302,0 9,0,2024-09-07 09:02:01:198,79347,0.4,77022,0.5,161288,0.3,211684,1.75 9,1,2024-09-07 09:02:00:615,529917,529917,0,0,247721384064,2611100222,522232,6016,1669,369,392001,0 9,2,2024-09-07 09:02:01:089,381534,381534,0,0,17823938,0,3360 9,3,2024-09-07 09:02:01:752,1,302,3,0,496,4503,302,0 10,0,2024-09-07 09:02:01:621,79025,0.3,78395,0.5,157579,0.3,210253,1.75 10,1,2024-09-07 09:02:00:584,530427,530427,0,0,247969085652,2599503829,523155,6284,988,381,391741,0 10,2,2024-09-07 09:02:00:763,381057,381057,0,0,19018447,0,4264 10,3,2024-09-07 09:02:00:880,1,302,4,0,296,2784,302,0 11,0,2024-09-07 09:02:01:011,72255,0.4,70144,0.6,146788,0.4,194100,1.75 11,1,2024-09-07 09:02:00:587,530659,530659,0,0,247088612324,2599739298,521080,7165,2414,383,391664,0 11,2,2024-09-07 09:02:01:129,382159,382159,0,0,17319941,0,4130 11,3,2024-09-07 09:02:01:308,1,302,8,0,843,5029,302,0 12,0,2024-09-07 09:02:00:971,73056,0.3,73036,0.5,145979,0.3,194053,1.75 12,1,2024-09-07 09:02:00:939,531329,531329,0,0,247645338643,2585388902,526668,4177,484,370,391870,0 12,2,2024-09-07 09:02:01:545,382613,382613,0,0,17117420,0,3469 12,3,2024-09-07 09:02:01:061,1,302,161,0,386,5052,302,0 13,0,2024-09-07 09:02:01:374,78100,0.4,77843,0.5,155718,0.4,207527,1.75 13,1,2024-09-07 09:02:01:542,530379,530379,0,0,246966290152,2597400587,525510,3567,1302,382,391740,0 13,2,2024-09-07 09:02:00:600,382704,382704,0,0,15889345,0,3287 13,3,2024-09-07 09:02:01:809,1,302,63,0,522,5155,302,0 14,0,2024-09-07 09:02:00:570,79316,0.4,79916,0.6,158097,0.4,210798,1.75 14,1,2024-09-07 09:02:01:562,533884,533884,0,0,248494315175,2575133518,529972,3632,280,364,391571,0 14,2,2024-09-07 09:02:00:764,381034,381034,0,0,15684044,0,2896 14,3,2024-09-07 09:02:01:116,1,302,2,0,1168,4007,302,0 15,0,2024-09-07 09:02:01:552,74839,0.4,74983,0.7,149778,0.4,199063,2.00 15,1,2024-09-07 09:02:01:611,531997,531997,0,0,247385319738,2572735317,529078,2740,179,381,391619,0 15,2,2024-09-07 09:02:01:001,383953,383953,0,0,13427709,0,3043 15,3,2024-09-07 09:02:01:410,1,302,7,0,1126,5718,302,0 16,0,2024-09-07 09:02:00:947,71971,0.6,72166,0.8,143798,0.7,191394,2.25 16,1,2024-09-07 09:02:00:590,531787,531787,0,0,247748818718,2590691135,527517,3911,359,370,391756,0 16,2,2024-09-07 09:02:01:435,381697,381697,0,0,16542418,0,4719 16,3,2024-09-07 09:02:01:168,1,302,8,0,317,4508,302,0 17,0,2024-09-07 09:02:01:777,77151,0.7,75397,0.9,147457,0.8,200922,2.00 17,1,2024-09-07 09:02:00:619,530845,530845,0,0,246644667198,2593408441,524746,4843,1256,368,391899,0 17,2,2024-09-07 09:02:01:703,383988,383988,0,0,16421497,0,2857 17,3,2024-09-07 09:02:00:606,1,302,8,0,268,4717,302,0 18,0,2024-09-07 09:02:00:945,77779,0.7,78254,0.8,155917,0.8,207977,2.25 18,1,2024-09-07 09:02:01:640,532287,532287,0,0,248260325502,2575513797,529069,2908,310,367,391649,0 18,2,2024-09-07 09:02:01:770,382949,382949,0,0,15299100,0,3541 18,3,2024-09-07 09:02:00:907,1,302,10,0,163,2735,302,0 19,0,2024-09-07 09:02:01:540,77060,0.6,77658,0.8,153982,0.6,204948,2.25 19,1,2024-09-07 09:02:00:567,532138,532138,0,0,248021500785,2577952559,527028,4272,838,367,391777,0 19,2,2024-09-07 09:02:01:752,383515,383515,0,0,13810032,0,3988 19,3,2024-09-07 09:02:01:133,1,302,11,0,524,2325,302,0 20,0,2024-09-07 09:02:01:372,71747,0.6,71770,0.7,143640,0.6,191674,2.25 20,1,2024-09-07 09:02:00:572,530651,530651,0,0,246837690623,2581630693,526490,3757,404,369,391886,0 20,2,2024-09-07 09:02:00:945,382197,382197,0,0,16019348,0,3721 20,3,2024-09-07 09:02:00:670,1,302,6,0,414,5012,302,0 21,0,2024-09-07 09:02:01:265,73268,0.5,73354,0.7,146418,0.5,194469,2.00 21,1,2024-09-07 09:02:01:544,529233,529233,0,0,246441681710,2600179665,521530,5827,1876,368,392016,0 21,2,2024-09-07 09:02:01:078,380555,380555,0,0,18852123,0,3747 21,3,2024-09-07 09:02:01:411,1,302,2,0,103,3136,302,0 22,0,2024-09-07 09:02:01:786,79559,0.6,79805,0.8,159638,0.6,211245,2.25 22,1,2024-09-07 09:02:01:022,530803,530803,0,0,246649736362,2595317121,523019,6117,1667,382,391667,0 22,2,2024-09-07 09:02:00:764,381346,381346,0,0,16172377,0,3134 22,3,2024-09-07 09:02:01:082,1,302,2,0,228,2720,302,0 23,0,2024-09-07 09:02:01:370,78173,0.6,78010,0.7,156367,0.6,208653,2.25 23,1,2024-09-07 09:02:01:005,530784,530784,0,0,247293420391,2600681071,521340,6434,3010,365,391690,0 23,2,2024-09-07 09:02:01:095,381947,381947,0,0,15383514,0,3010 23,3,2024-09-07 09:02:01:753,1,302,15,0,645,3664,302,0 24,0,2024-09-07 09:02:00:869,72686,0.4,72439,0.6,145518,0.4,193020,1.75 24,1,2024-09-07 09:02:00:607,529975,529975,0,0,245982272663,2583027632,523495,4958,1522,368,391987,0 24,2,2024-09-07 09:02:01:096,380918,380918,0,0,18816241,0,3607 24,3,2024-09-07 09:02:01:721,1,302,1,0,468,4227,302,0 25,0,2024-09-07 09:02:01:442,74408,0.4,72541,0.6,142344,0.4,194495,2.00 25,1,2024-09-07 09:02:00:619,531347,531347,0,0,247338626496,2592442484,525391,5134,822,371,391928,0 25,2,2024-09-07 09:02:01:623,381718,381718,0,0,19201059,0,3978 25,3,2024-09-07 09:02:01:005,1,302,3,0,255,3195,302,0 26,0,2024-09-07 09:02:01:741,78069,0.4,76241,0.5,159946,0.3,208284,1.75 26,1,2024-09-07 09:02:01:542,531505,531505,0,0,247067380194,2591260025,523710,6547,1248,380,391748,0 26,2,2024-09-07 09:02:00:866,382131,382131,0,0,18968017,0,2809 26,3,2024-09-07 09:02:01:769,1,302,0,0,796,4055,302,0 27,0,2024-09-07 09:02:01:813,79065,0.5,79250,0.6,156679,0.5,209865,2.00 27,1,2024-09-07 09:02:01:687,533038,533038,0,0,247742901323,2581176282,528181,4096,761,381,391626,0 27,2,2024-09-07 09:02:00:868,378667,378602,65,0,18751238,0,5699 27,3,2024-09-07 09:02:01:016,1,302,8,0,564,3224,302,0 28,0,2024-09-07 09:02:01:401,73882,0.4,73652,0.6,147552,0.4,196680,2.00 28,1,2024-09-07 09:02:00:797,532234,532234,0,0,248240598278,2588753164,528153,3406,675,383,391646,0 28,2,2024-09-07 09:02:01:764,382413,382413,0,0,15542566,0,2915 28,3,2024-09-07 09:02:01:802,1,302,11,0,502,3049,302,0 29,0,2024-09-07 09:02:01:376,74188,0.4,72368,0.6,141662,0.3,193691,1.75 29,1,2024-09-07 09:02:01:561,533181,533181,0,0,248355282812,2572447786,529451,3147,583,369,391753,0 29,2,2024-09-07 09:02:00:866,381477,381477,0,0,15319388,0,4233 29,3,2024-09-07 09:02:00:968,1,302,1,0,374,3095,302,0 30,0,2024-09-07 09:02:01:471,76016,0.5,73953,0.7,154682,0.4,203001,2.00 30,1,2024-09-07 09:02:00:581,533318,533318,0,0,248602744798,2583085096,529230,3482,606,382,391672,0 30,2,2024-09-07 09:02:01:276,381683,381683,0,0,14822921,0,3161 30,3,2024-09-07 09:02:00:584,1,302,1,0,519,2865,302,0 31,0,2024-09-07 09:02:01:786,78556,0.5,78880,0.6,157824,0.4,210172,2.00 31,1,2024-09-07 09:02:00:575,535261,535261,0,0,248804076969,2552915128,533175,1657,429,356,391712,0 31,2,2024-09-07 09:02:01:276,381537,381537,0,0,16382033,0,3525 31,3,2024-09-07 09:02:01:769,1,302,12,0,220,2364,302,0 32,0,2024-09-07 09:02:01:422,76456,0.3,76803,0.5,153600,0.3,204144,1.75 32,1,2024-09-07 09:02:00:810,532656,532656,0,0,248499440649,2582944431,529597,2614,445,381,391646,0 32,2,2024-09-07 09:02:00:939,382663,382663,0,0,14238564,0,3155 32,3,2024-09-07 09:02:01:017,1,302,0,0,227,2331,302,0 33,0,2024-09-07 09:02:01:494,72418,0.3,71866,0.5,144399,0.2,191962,1.75 33,1,2024-09-07 09:02:00:575,532659,532659,0,0,248866900990,2579522785,528316,3513,830,369,391730,0 33,2,2024-09-07 09:02:00:758,382534,382499,35,0,16061235,0,7012 33,3,2024-09-07 09:02:00:907,1,302,10,0,329,3338,302,0 34,0,2024-09-07 09:02:00:949,74008,0.3,76207,0.5,145823,0.2,196051,1.75 34,1,2024-09-07 09:02:01:044,534306,534306,0,0,249036604617,2562099935,532919,1380,7,367,391562,0 34,2,2024-09-07 09:02:00:766,382248,382248,0,0,15220210,0,3577 34,3,2024-09-07 09:02:01:722,1,302,2,0,299,2348,302,0 35,0,2024-09-07 09:02:00:879,78385,0.4,78844,0.5,158673,0.3,211059,1.75 35,1,2024-09-07 09:02:01:092,532374,532374,0,0,247875606297,2572241403,528775,2819,780,382,391589,0 35,2,2024-09-07 09:02:01:586,382166,382166,0,0,15518075,0,2653 35,3,2024-09-07 09:02:00:908,1,302,15,0,418,4031,302,0 36,0,2024-09-07 09:02:01:526,78832,0.5,78818,0.7,157746,0.5,209210,2.25 36,1,2024-09-07 09:02:00:610,531953,531953,0,0,247751597002,2590534303,525304,5059,1590,366,391759,0 36,2,2024-09-07 09:02:01:770,381697,381697,0,0,16978902,0,3875 36,3,2024-09-07 09:02:00:870,1,302,8,0,416,4801,302,0 37,0,2024-09-07 09:02:01:373,71048,0.5,71037,0.7,142115,0.5,190497,2.00 37,1,2024-09-07 09:02:00:592,530708,530701,0,7,247265686804,2587238368,524293,4337,2071,365,391560,0 37,2,2024-09-07 09:02:01:146,380911,380896,15,0,16717399,0,5815 37,3,2024-09-07 09:02:01:785,1,302,12,0,888,5356,302,0 38,0,2024-09-07 09:02:01:438,72341,0.5,70249,0.7,147047,0.4,192471,2.00 38,1,2024-09-07 09:02:01:628,533047,533047,0,0,248734531229,2591516426,527558,4812,677,368,391821,0 38,2,2024-09-07 09:02:00:788,381765,381718,47,0,17922879,0,6710 38,3,2024-09-07 09:02:01:003,1,302,1,0,689,4201,302,0 39,0,2024-09-07 09:02:01:810,80891,0.6,79382,0.7,154403,0.5,211032,2.00 39,1,2024-09-07 09:02:00:804,531528,531528,0,0,247538183461,2592133252,523723,6449,1356,365,391594,0 39,2,2024-09-07 09:02:01:417,381799,381799,0,0,15878803,0,2689 39,3,2024-09-07 09:02:00:717,1,302,7,0,324,3886,302,0 40,0,2024-09-07 09:02:01:497,78057,0.8,78738,0.9,157364,0.8,209875,2.75 40,1,2024-09-07 09:02:00:585,531847,531847,0,0,246950468021,2588170875,523833,6560,1454,368,391668,0 40,2,2024-09-07 09:02:01:303,380499,380498,1,0,18799033,0,5137 40,3,2024-09-07 09:02:01:156,1,302,65,0,398,3588,302,0 41,0,2024-09-07 09:02:01:027,72011,1.6,73852,1.3,141004,2.6,191999,3.25 41,1,2024-09-07 09:02:00:777,530982,530982,0,0,247442500605,2588977885,524716,5626,640,370,391742,0 41,2,2024-09-07 09:02:00:758,381118,381118,0,0,17849524,0,3356 41,3,2024-09-07 09:02:01:806,1,302,2,0,366,3184,302,0 42,0,2024-09-07 09:02:01:480,71979,0.7,71968,0.9,144306,0.7,190669,2.25 42,1,2024-09-07 09:02:01:440,530278,530278,0,0,246483393611,2591136388,521966,6749,1563,380,391675,0 42,2,2024-09-07 09:02:01:134,382427,382427,0,0,17761260,0,3568 42,3,2024-09-07 09:02:01:009,1,302,1,0,446,3001,302,0 43,0,2024-09-07 09:02:00:918,77133,0.8,75249,0.9,157503,0.8,206949,2.25 43,1,2024-09-07 09:02:00:584,531697,531697,0,0,248052426440,2594032332,524120,6211,1366,366,391696,0 43,2,2024-09-07 09:02:01:811,381821,381821,0,0,18026399,0,3812 43,3,2024-09-07 09:02:01:787,1,302,9,0,467,3749,302,0 44,0,2024-09-07 09:02:00:875,79079,0.5,79541,0.6,158551,0.4,210920,1.75 44,1,2024-09-07 09:02:00:566,533079,533079,0,0,247351886221,2559343194,528772,3362,945,356,391809,0 44,2,2024-09-07 09:02:01:274,380395,380395,0,0,15036536,0,4344 44,3,2024-09-07 09:02:01:096,1,302,1,0,817,4005,302,0 45,0,2024-09-07 09:02:01:822,73896,0.5,72121,0.8,151364,0.5,198834,2.00 45,1,2024-09-07 09:02:01:036,532366,532366,0,0,248368855728,2578275116,529472,2633,261,382,391917,0 45,2,2024-09-07 09:02:01:278,382790,382790,0,0,15112015,0,3596 45,3,2024-09-07 09:02:00:945,1,302,3,0,271,3050,302,0 46,0,2024-09-07 09:02:01:012,71356,0.5,71409,0.7,142968,0.4,189378,2.00 46,1,2024-09-07 09:02:00:584,533794,533794,0,0,248488803471,2566500208,530710,2728,356,366,391539,0 46,2,2024-09-07 09:02:00:593,382436,382436,0,0,14727367,0,2920 46,3,2024-09-07 09:02:01:133,1,302,5,0,908,4411,302,0 47,0,2024-09-07 09:02:01:105,75398,0.5,75496,0.6,151182,0.4,200546,1.75 47,1,2024-09-07 09:02:00:568,533541,533541,0,0,248538095257,2568231181,530494,2688,359,366,391641,0 47,2,2024-09-07 09:02:00:935,383527,383527,0,0,15110906,0,4477 47,3,2024-09-07 09:02:01:122,1,302,34,0,529,3398,302,0 48,0,2024-09-07 09:02:01:491,79538,0.3,78987,0.4,157539,0.2,210059,1.50 48,1,2024-09-07 09:02:01:021,532633,532633,0,0,248345753003,2581719277,529107,3225,301,384,391710,0 48,2,2024-09-07 09:02:00:701,381739,381739,0,0,14168761,0,3031 48,3,2024-09-07 09:02:00:757,1,302,1,0,339,2691,302,0 49,0,2024-09-07 09:02:01:782,79645,0.3,78204,0.5,151601,0.3,207314,1.75 49,1,2024-09-07 09:02:01:033,531712,531712,0,0,248012007843,2585870983,527420,3108,1184,382,391809,0 49,2,2024-09-07 09:02:01:810,383220,383220,0,0,14897237,0,4426 49,3,2024-09-07 09:02:01:416,1,302,7,0,408,3285,302,0 50,0,2024-09-07 09:02:01:537,71954,0.3,71241,0.5,143431,0.2,191360,1.75 50,1,2024-09-07 09:02:01:010,533857,533857,0,0,249200402526,2580120027,530058,3425,374,368,391540,0 50,2,2024-09-07 09:02:01:073,382292,382292,0,0,13996022,0,2263 50,3,2024-09-07 09:02:01:290,1,302,12,0,335,2705,302,0 51,0,2024-09-07 09:02:01:721,75105,0.3,73341,0.5,143233,0.2,195388,1.75 51,1,2024-09-07 09:02:01:767,533868,533868,0,0,248861995685,2571199704,530616,2278,974,365,391706,0 51,2,2024-09-07 09:02:01:320,381792,381792,0,0,13700205,0,3337 51,3,2024-09-07 09:02:01:034,1,302,9,0,678,2355,302,0 52,0,2024-09-07 09:02:01:474,80104,0.5,79967,0.7,159970,0.5,212605,2.00 52,1,2024-09-07 09:02:00:578,531448,531448,0,0,247192850157,2592384449,523358,6788,1302,368,391722,0 52,2,2024-09-07 09:02:01:767,379140,379102,38,0,17986159,0,6742 52,3,2024-09-07 09:02:00:674,1,302,1,0,1782,4755,302,0 53,0,2024-09-07 09:02:01:962,78045,0.7,75862,0.8,158478,0.7,208171,2.25 53,1,2024-09-07 09:02:00:776,530085,530085,0,0,247213202639,2597900924,521274,6295,2516,367,391702,0 53,2,2024-09-07 09:02:01:308,382196,382196,0,0,15124021,0,2727 53,3,2024-09-07 09:02:00:701,1,302,1,0,308,2819,302,0 54,0,2024-09-07 09:02:01:620,71012,0.6,71477,0.8,142065,0.4,190239,2.50 54,1,2024-09-07 09:02:00:585,531868,531868,0,0,248690953379,2587285573,526454,4813,601,367,391659,0 54,2,2024-09-07 09:02:00:866,382465,382459,6,0,17194052,0,5382 54,3,2024-09-07 09:02:00:764,1,302,106,0,676,4853,302,0 55,0,2024-09-07 09:02:01:766,69959,0.6,72555,0.8,145870,0.5,190750,2.50 55,1,2024-09-07 09:02:00:764,531366,531366,0,0,247349007601,2577450282,524962,5496,908,365,391731,0 55,2,2024-09-07 09:02:00:729,381576,381576,0,0,17294318,0,3563 55,3,2024-09-07 09:02:00:677,1,302,23,0,304,3230,302,0 56,0,2024-09-07 09:02:01:605,80088,1.0,75473,1.0,155694,1.3,208336,2.50 56,1,2024-09-07 09:02:00:582,529483,529483,0,0,246985066068,2610602225,521403,6530,1550,381,391867,0 56,2,2024-09-07 09:02:01:308,381748,381748,0,0,18176403,0,3567 56,3,2024-09-07 09:02:01:071,1,302,1,0,705,4037,302,0 57,0,2024-09-07 09:02:00:957,77693,1.6,77542,1.3,155412,2.3,208421,3.25 57,1,2024-09-07 09:02:00:988,531286,531286,0,0,246875103294,2587961728,525164,5415,707,366,392032,0 57,2,2024-09-07 09:02:01:329,381176,381176,0,0,19169076,0,3317 57,3,2024-09-07 09:02:01:746,1,302,8,0,359,3698,302,0 58,0,2024-09-07 09:02:00:575,72386,1.0,70421,1.0,147648,1.2,193359,2.50 58,1,2024-09-07 09:02:00:576,531866,531863,0,3,247633982138,2590542931,524639,6262,962,367,391603,3 58,2,2024-09-07 09:02:01:072,382259,382259,0,0,17648678,0,2549 58,3,2024-09-07 09:02:01:074,1,302,1,0,1043,3301,302,0 59,0,2024-09-07 09:02:01:741,71841,0.7,71569,0.9,142943,0.7,190019,2.75 59,1,2024-09-07 09:02:00:811,531491,531491,0,0,247904360477,2595043607,524683,5525,1283,369,391578,0 59,2,2024-09-07 09:02:00:584,383308,383308,0,0,16604074,0,2604 59,3,2024-09-07 09:02:01:769,1,302,12,0,1015,4091,302,0 60,0,2024-09-07 09:02:01:805,76359,0.5,76344,0.6,153438,0.4,203656,1.75 60,1,2024-09-07 09:02:00:805,533278,533278,0,0,248474666460,2577214619,530388,2364,526,370,392031,0 60,2,2024-09-07 09:02:01:146,381807,381807,0,0,17255466,0,3811 60,3,2024-09-07 09:02:01:281,1,302,0,0,175,3144,302,0 61,0,2024-09-07 09:02:01:648,78706,0.7,79215,0.8,157434,0.7,209945,2.00 61,1,2024-09-07 09:02:00:771,531230,531230,0,0,247220447251,2590836769,525304,5012,914,382,391880,0 61,2,2024-09-07 09:02:01:118,382014,382014,0,0,15850229,0,3598 61,3,2024-09-07 09:02:01:723,1,302,31,0,479,4600,302,0 62,0,2024-09-07 09:02:01:728,76995,0.6,78638,0.7,149842,0.6,203824,2.00 62,1,2024-09-07 09:02:01:122,534403,534397,0,6,248950799932,2569116891,531546,2690,161,365,391715,6 62,2,2024-09-07 09:02:01:880,381232,381231,1,0,16889306,0,5555 62,3,2024-09-07 09:02:01:144,1,302,2,0,465,2475,302,0 63,0,2024-09-07 09:02:01:464,72138,0.4,72251,0.6,144543,0.4,191777,1.75 63,1,2024-09-07 09:02:00:806,532893,532887,0,6,248281935285,2576648608,529925,2728,234,381,391677,6 63,2,2024-09-07 09:02:00:762,381908,381908,0,0,15049307,0,4369 63,3,2024-09-07 09:02:01:769,1,302,10,0,667,3375,302,0 64,0,2024-09-07 09:02:01:762,73439,0.5,73446,0.7,146829,0.5,195233,2.00 64,1,2024-09-07 09:02:00:778,531597,531597,0,0,247796659438,2587306389,525990,3951,1656,370,391783,0 64,2,2024-09-07 09:02:01:711,385503,385484,19,0,15236539,0,6121 64,3,2024-09-07 09:02:01:153,1,302,4,0,265,2987,302,0 65,0,2024-09-07 09:02:01:762,78382,0.7,78643,0.8,157052,0.8,208960,2.25 65,1,2024-09-07 09:02:00:873,530757,530757,0,0,247069821469,2585263452,526770,3539,448,382,391901,0 65,2,2024-09-07 09:02:01:727,382720,382720,0,0,16995454,0,3367 65,3,2024-09-07 09:02:01:724,1,302,5,0,163,2796,302,0 66,0,2024-09-07 09:02:01:772,78351,0.5,78223,0.7,156760,0.5,207981,2.25 66,1,2024-09-07 09:02:01:302,532246,532246,0,0,248431584759,2585042981,528766,3161,319,380,391653,0 66,2,2024-09-07 09:02:01:140,383669,383669,0,0,15285314,0,4956 66,3,2024-09-07 09:02:01:079,1,302,1,0,291,3028,302,0 67,0,2024-09-07 09:02:01:419,71870,0.6,71085,0.7,143099,0.5,190594,2.00 67,1,2024-09-07 09:02:00:765,532379,532378,0,1,247093539027,2577031876,528269,3359,750,380,391787,1 67,2,2024-09-07 09:02:00:584,383045,383045,0,0,14541275,0,2889 67,3,2024-09-07 09:02:01:813,1,302,7,0,338,2693,302,0 68,0,2024-09-07 09:02:00:633,73179,0.6,72794,0.8,145391,0.6,194145,2.00 68,1,2024-09-07 09:02:00:583,531095,531095,0,0,246649077805,2586561703,526399,3496,1200,381,391953,0 68,2,2024-09-07 09:02:01:058,380773,380708,65,0,19511433,0,6698 68,3,2024-09-07 09:02:00:745,1,302,4,0,417,3297,302,0 69,0,2024-09-07 09:02:01:956,78773,0.7,79172,0.8,157626,0.8,209550,2.25 69,1,2024-09-07 09:02:01:017,529623,529623,0,0,246756608521,2606329191,522497,5224,1902,384,391994,0 69,2,2024-09-07 09:02:01:775,381699,381699,0,0,19234156,0,3722 69,3,2024-09-07 09:02:00:769,1,302,134,0,698,4437,302,0 70,0,2024-09-07 09:02:01:532,78146,0.9,78187,1.1,157115,0.7,208427,2.50 70,1,2024-09-07 09:02:00:810,533168,533168,0,0,248093711153,2577003350,528797,3835,536,366,391725,0 70,2,2024-09-07 09:02:01:328,380250,380250,0,0,17485633,0,4044 70,3,2024-09-07 09:02:00:751,1,302,5,0,854,3482,302,0 71,0,2024-09-07 09:02:01:357,72092,1.0,71859,1.0,144323,1.2,192745,2.75 71,1,2024-09-07 09:02:01:600,531141,531141,0,0,248273518093,2593040130,524903,5582,656,368,391738,0 71,2,2024-09-07 09:02:01:073,382398,382398,0,0,17537639,0,4042 71,3,2024-09-07 09:02:01:757,1,302,12,0,644,4372,302,0 72,0,2024-09-07 09:02:01:040,74926,0.6,73247,0.8,142848,0.6,194526,2.00 72,1,2024-09-07 09:02:01:029,530868,530868,0,0,247486027707,2592076412,523617,5705,1546,369,391819,0 72,2,2024-09-07 09:02:01:756,381145,381145,0,0,19675645,0,3983 72,3,2024-09-07 09:02:01:757,1,302,6,0,564,4964,302,0 73,0,2024-09-07 09:02:01:193,75811,0.4,77463,0.6,158893,0.3,206510,2.00 73,1,2024-09-07 09:02:00:784,532106,532106,0,0,247547919727,2573616266,528383,3429,294,367,391858,0 73,2,2024-09-07 09:02:01:777,382117,382117,0,0,18887961,0,3701 73,3,2024-09-07 09:02:00:969,1,302,9,0,274,4245,302,0 74,0,2024-09-07 09:02:01:328,79531,0.5,81466,0.7,155596,0.5,210726,2.25 74,1,2024-09-07 09:02:00:643,531727,531727,0,0,247351468737,2583728270,526398,4361,968,381,391681,0 74,2,2024-09-07 09:02:01:008,380707,380707,0,0,18030192,0,4253 74,3,2024-09-07 09:02:01:442,1,302,0,0,522,3941,302,0 75,0,2024-09-07 09:02:01:782,75071,0.5,74754,0.7,149682,0.4,199968,2.25 75,1,2024-09-07 09:02:01:605,531057,531057,0,0,247294342825,2585694880,525246,5005,806,380,391739,0 75,2,2024-09-07 09:02:01:351,381961,381961,0,0,17912892,0,4766 75,3,2024-09-07 09:02:01:069,1,302,16,0,702,4269,302,0 76,0,2024-09-07 09:02:00:642,71468,0.6,70900,0.8,142440,0.6,190234,2.25 76,1,2024-09-07 09:02:00:826,531859,531859,0,0,247185656956,2579807072,528285,3021,553,382,391692,0 76,2,2024-09-07 09:02:01:074,383028,383027,1,0,16677410,0,5144 76,3,2024-09-07 09:02:01:153,1,302,25,0,175,3066,302,0 77,0,2024-09-07 09:02:01:852,75046,0.6,75209,0.8,150364,0.6,200412,2.00 77,1,2024-09-07 09:02:00:838,532024,532024,0,0,247334140540,2584141353,527813,3947,264,381,391869,0 77,2,2024-09-07 09:02:01:286,382105,382105,0,0,16560177,0,3890 77,3,2024-09-07 09:02:01:098,1,302,10,0,401,3574,302,0 78,0,2024-09-07 09:02:01:743,79032,0.5,78644,0.7,157984,0.4,209371,2.00 78,1,2024-09-07 09:02:00:657,531926,531926,0,0,247899036372,2581099053,526861,4071,994,367,391670,0 78,2,2024-09-07 09:02:01:411,382420,382420,0,0,14565856,0,3855 78,3,2024-09-07 09:02:01:133,1,302,5,0,181,2974,302,0 79,0,2024-09-07 09:02:01:367,75183,0.4,77108,0.6,157648,0.4,204760,2.25 79,1,2024-09-07 09:02:00:576,533847,533847,0,0,248769900423,2575271594,530127,3275,445,369,391682,0 79,2,2024-09-07 09:02:01:073,382984,382984,0,0,14811611,0,3212 79,3,2024-09-07 09:02:00:751,1,302,8,0,418,4155,302,0 80,0,2024-09-07 09:02:01:132,71879,0.6,73823,0.7,141520,0.5,191094,2.00 80,1,2024-09-07 09:02:02:027,531909,531909,0,0,247929252300,2581006874,528602,3130,177,368,391791,0 80,2,2024-09-07 09:02:01:095,383243,383243,0,0,14904489,0,4433 80,3,2024-09-07 09:02:00:581,1,302,0,0,190,3815,302,0 81,0,2024-09-07 09:02:01:568,72896,0.6,74701,0.7,142825,0.5,194023,2.00 81,1,2024-09-07 09:02:01:768,531053,531053,0,0,246574732687,2580210528,526501,4073,479,382,391879,0 81,2,2024-09-07 09:02:01:128,381714,381651,63,0,16943706,0,5932 81,3,2024-09-07 09:02:01:122,1,302,1,0,374,3695,302,0 82,0,2024-09-07 09:02:01:548,79440,0.5,79992,0.7,160111,0.5,212161,2.00 82,1,2024-09-07 09:02:00:610,532644,532640,0,4,247939023731,2584556586,528799,3045,796,381,391768,4 82,2,2024-09-07 09:02:01:804,383436,383436,0,0,14646162,0,3986 82,3,2024-09-07 09:02:01:755,1,302,2,0,363,3106,302,0 83,0,2024-09-07 09:02:01:523,78753,0.5,78579,0.7,156364,0.5,208470,2.00 83,1,2024-09-07 09:02:00:561,531466,531466,0,0,247233404023,2580616123,527388,3757,321,382,391709,0 83,2,2024-09-07 09:02:00:764,381662,381662,0,0,14895000,0,3393 83,3,2024-09-07 09:02:00:759,1,302,9,0,1260,4679,302,0 84,0,2024-09-07 09:02:01:793,71659,0.7,71546,0.9,143184,0.6,191645,2.25 84,1,2024-09-07 09:02:01:052,531243,531243,0,0,247359685984,2584488793,526268,4389,586,367,391967,0 84,2,2024-09-07 09:02:00:582,382105,382105,0,0,18043393,0,4757 84,3,2024-09-07 09:02:01:151,1,302,7,0,908,4807,302,0 85,0,2024-09-07 09:02:01:036,69886,0.6,69951,0.8,148286,0.6,191936,2.25 85,1,2024-09-07 09:02:00:615,530400,530400,0,0,247274405327,2611562933,522606,6591,1203,381,392006,0 85,2,2024-09-07 09:02:00:865,382820,382820,0,0,18296580,0,3656 85,3,2024-09-07 09:02:00:686,1,302,11,0,789,3970,302,0 86,0,2024-09-07 09:02:00:890,78272,0.6,80576,0.7,154098,0.6,208074,2.00 86,1,2024-09-07 09:02:00:850,531519,531519,0,0,247463361712,2591815408,525021,5437,1061,366,391961,0 86,2,2024-09-07 09:02:00:854,380941,380940,1,0,19085214,0,5004 86,3,2024-09-07 09:02:00:591,1,302,1,0,286,4076,302,0 87,0,2024-09-07 09:02:01:297,78433,1.0,78377,0.9,157427,1.4,209895,2.25 87,1,2024-09-07 09:02:00:563,530813,530813,0,0,247441739233,2588572626,525521,4789,503,366,392076,0 87,2,2024-09-07 09:02:01:073,380274,380274,0,0,17002279,0,4045 87,3,2024-09-07 09:02:01:803,1,302,13,0,473,4326,302,0 88,0,2024-09-07 09:02:01:499,73691,0.5,74214,0.6,147846,0.4,196374,2.00 88,1,2024-09-07 09:02:00:585,529629,529629,0,0,247353513677,2595859058,522265,5711,1653,365,392084,0 88,2,2024-09-07 09:02:00:692,382663,382663,0,0,18752832,0,3583 88,3,2024-09-07 09:02:01:278,1,302,15,0,435,3530,302,0 89,0,2024-09-07 09:02:01:779,74329,0.5,71869,0.7,142661,0.4,193526,1.75 89,1,2024-09-07 09:02:00:606,530017,530017,0,0,247039399960,2601994162,522680,6071,1266,382,391866,0 89,2,2024-09-07 09:02:01:155,381337,381337,0,0,18161151,0,2910 89,3,2024-09-07 09:02:02:024,1,302,3,0,385,5772,302,0 90,0,2024-09-07 09:02:01:623,74170,0.4,76031,0.6,155323,0.4,202864,1.75 90,1,2024-09-07 09:02:00:594,531425,531425,0,0,247343463927,2593111243,526086,4961,378,380,391825,0 90,2,2024-09-07 09:02:01:411,380347,380347,0,0,19440575,0,3060 90,3,2024-09-07 09:02:00:937,1,302,0,0,246,3416,302,0 91,0,2024-09-07 09:02:00:954,79163,0.5,76938,0.6,160254,0.5,210587,1.75 91,1,2024-09-07 09:02:00:576,530209,530209,0,0,247435192070,2603536650,523186,6129,894,381,392047,0 91,2,2024-09-07 09:02:01:335,381645,381645,0,0,17661687,0,2896 91,3,2024-09-07 09:02:00:599,1,302,8,0,216,2772,302,0 92,0,2024-09-07 09:02:01:459,77128,0.5,79125,0.6,151073,0.5,204254,1.75 92,1,2024-09-07 09:02:00:600,531739,531739,0,0,247294518020,2581884983,528256,3003,480,382,392136,0 92,2,2024-09-07 09:02:01:400,384078,384078,0,0,14958849,0,2801 92,3,2024-09-07 09:02:01:011,1,302,117,0,167,2701,302,0 93,0,2024-09-07 09:02:00:966,72600,0.4,74435,0.6,142167,0.3,192310,1.75 93,1,2024-09-07 09:02:00:818,531726,531726,0,0,247039024823,2579682407,526501,4385,840,366,391776,0 93,2,2024-09-07 09:02:00:944,381634,381634,0,0,17367935,0,4311 93,3,2024-09-07 09:02:01:408,1,302,2,0,190,2971,302,0 94,0,2024-09-07 09:02:01:604,73561,0.4,74329,0.5,148190,0.3,196855,1.75 94,1,2024-09-07 09:02:00:577,531394,531394,0,0,247505676084,2585712183,527521,3687,186,381,391850,0 94,2,2024-09-07 09:02:00:765,382055,382055,0,0,15316410,0,2443 94,3,2024-09-07 09:02:01:708,1,302,4,0,264,3945,302,0 95,0,2024-09-07 09:02:01:536,78969,0.4,78892,0.6,158601,0.3,210805,1.75 95,1,2024-09-07 09:02:00:874,532376,532376,0,0,248639253276,2586623920,528078,3919,379,367,391713,0 95,2,2024-09-07 09:02:01:021,381917,381917,0,0,15659634,0,3308 95,3,2024-09-07 09:02:01:814,1,302,9,0,718,4984,302,0 96,0,2024-09-07 09:02:01:028,78929,0.4,79074,0.5,157669,0.3,208897,1.75 96,1,2024-09-07 09:02:01:584,531308,531308,0,0,248049704607,2591267125,527063,3462,783,384,391955,0 96,2,2024-09-07 09:02:01:277,381866,381866,0,0,15838183,0,4042 96,3,2024-09-07 09:02:01:144,1,302,1,0,411,3290,302,0 97,0,2024-09-07 09:02:01:340,71660,0.3,71316,0.5,143270,0.3,190455,1.75 97,1,2024-09-07 09:02:00:771,533478,533478,0,0,248358698428,2577780698,529692,3120,666,367,392140,0 97,2,2024-09-07 09:02:00:680,382709,382709,0,0,15392686,0,3036 97,3,2024-09-07 09:02:00:581,1,302,1,0,214,3674,302,0 98,0,2024-09-07 09:02:01:828,72979,0.3,72906,0.5,146445,0.2,194438,1.50 98,1,2024-09-07 09:02:00:590,532730,532730,0,0,247833792776,2579798316,530329,2299,102,382,391997,0 98,2,2024-09-07 09:02:00:776,382636,382636,0,0,15507016,0,3080 98,3,2024-09-07 09:02:00:701,1,302,11,0,840,5124,302,0 99,0,2024-09-07 09:02:01:472,79136,0.3,79604,0.5,158598,0.3,212143,1.75 99,1,2024-09-07 09:02:01:813,532238,532238,0,0,248045400060,2585804842,528163,3326,749,381,392069,0 99,2,2024-09-07 09:02:01:442,383700,383700,0,0,16918543,0,3424 99,3,2024-09-07 09:02:00:596,1,302,8,0,187,2554,302,0 100,0,2024-09-07 09:02:01:527,78543,0.8,78448,1.0,157211,1.0,210240,2.50 100,1,2024-09-07 09:02:00:571,528557,528557,0,0,246741928130,2611529598,520287,6779,1491,381,391989,0 100,2,2024-09-07 09:02:01:825,379646,379635,11,0,17841446,0,5417 100,3,2024-09-07 09:02:01:787,1,302,38,0,559,5368,302,0 101,0,2024-09-07 09:02:01:754,74168,1.2,72171,1.1,141710,1.0,194405,2.25 101,1,2024-09-07 09:02:00:571,529472,529472,0,0,246651904157,2602165128,520501,6952,2019,368,391771,0 101,2,2024-09-07 09:02:01:788,380501,380501,0,0,20356670,0,4644 101,3,2024-09-07 09:02:00:952,1,302,45,0,448,3691,302,0 102,0,2024-09-07 09:02:00:951,70778,0.6,73254,0.8,147594,0.6,193527,2.00 102,1,2024-09-07 09:02:01:144,530021,530021,0,0,247163867433,2599046229,522924,6068,1029,369,391891,0 102,2,2024-09-07 09:02:01:738,383195,383141,54,0,17497700,0,6768 102,3,2024-09-07 09:02:01:617,1,302,133,0,410,3385,302,0 103,0,2024-09-07 09:02:01:607,80035,0.5,80035,0.7,150893,0.5,208164,2.00 103,1,2024-09-07 09:02:01:690,529419,529419,0,0,246598616602,2604332424,521081,6419,1919,381,391829,0 103,2,2024-09-07 09:02:00:590,380829,380829,0,0,17674529,0,3173 103,3,2024-09-07 09:02:00:789,1,302,19,0,916,3951,302,0 104,0,2024-09-07 09:02:01:073,78154,0.8,78627,1.0,155866,0.8,209920,2.25 104,1,2024-09-07 09:02:01:609,530811,530811,0,0,246341584396,2594741506,522288,6864,1659,365,392168,0 104,2,2024-09-07 09:02:01:697,379484,379484,0,0,18951896,0,3941 104,3,2024-09-07 09:02:01:419,1,302,11,0,1245,6915,302,0 105,0,2024-09-07 09:02:01:049,74175,1.0,72183,1.1,150858,1.2,198414,3.25 105,1,2024-09-07 09:02:00:596,531011,531011,0,0,247232337216,2597013617,523307,6404,1300,366,391797,0 105,2,2024-09-07 09:02:01:392,382023,382023,0,0,18016039,0,3509 105,3,2024-09-07 09:02:01:320,1,302,47,0,399,4827,302,0 106,0,2024-09-07 09:02:00:964,69270,0.8,70957,1.0,145291,0.9,189728,2.50 106,1,2024-09-07 09:02:01:755,530204,530204,0,0,246159473761,2589694806,521649,7551,1004,369,391865,0 106,2,2024-09-07 09:02:00:756,379893,379893,0,0,17558387,0,2795 106,3,2024-09-07 09:02:00:680,1,302,7,0,470,4126,302,0 107,0,2024-09-07 09:02:01:101,75017,0.7,74814,0.8,149788,0.8,200086,2.00 107,1,2024-09-07 09:02:00:595,529571,529571,0,0,246444524753,2597817666,522543,6309,719,381,392234,0 107,2,2024-09-07 09:02:01:296,380334,380333,1,0,18467125,0,5024 107,3,2024-09-07 09:02:01:755,1,302,1,0,353,4203,302,0 108,0,2024-09-07 09:02:01:968,78705,0.4,79039,0.6,157286,0.4,210001,1.75 108,1,2024-09-07 09:02:01:324,531352,531352,0,0,247988075781,2588753378,526750,4090,512,368,391857,0 108,2,2024-09-07 09:02:01:834,380455,380455,0,0,17370884,0,4246 108,3,2024-09-07 09:02:01:343,1,302,7,0,749,6325,302,0 109,0,2024-09-07 09:02:01:809,78197,0.4,77342,0.6,154896,0.3,207003,1.75 109,1,2024-09-07 09:02:00:593,529747,529747,0,0,247557960874,2597765139,525153,3944,650,383,392132,0 109,2,2024-09-07 09:02:00:933,380088,380088,0,0,16998758,0,3617 109,3,2024-09-07 09:02:01:150,1,302,9,0,249,3302,302,0 110,0,2024-09-07 09:02:01:825,71982,0.4,69923,0.6,146470,0.3,191877,1.75 110,1,2024-09-07 09:02:01:651,532998,532998,0,0,247657528505,2571623588,529502,2587,909,369,392045,0 110,2,2024-09-07 09:02:01:314,382179,382179,0,0,16982156,0,4067 110,3,2024-09-07 09:02:00:694,1,302,5,0,406,3786,302,0 111,0,2024-09-07 09:02:01:417,73506,0.4,72785,0.5,145758,0.3,195769,1.75 111,1,2024-09-07 09:02:01:000,532803,532803,0,0,248908205361,2583613480,530127,2333,343,382,391690,0 111,2,2024-09-07 09:02:01:123,381674,381674,0,0,15754381,0,2763 111,3,2024-09-07 09:02:00:917,1,302,14,0,379,3901,302,0 112,0,2024-09-07 09:02:00:958,80557,0.3,79888,0.4,160313,0.2,212986,1.50 112,1,2024-09-07 09:02:00:854,533041,533041,0,0,247737228863,2573388346,529607,2850,584,380,391624,0 112,2,2024-09-07 09:02:01:143,382302,382301,1,0,15517034,0,5036 112,3,2024-09-07 09:02:00:594,1,302,5,0,282,3202,302,0 113,0,2024-09-07 09:02:00:876,78404,0.3,78447,0.5,157375,0.2,209926,1.50 113,1,2024-09-07 09:02:01:710,534430,534430,0,0,249625223725,2578761021,531157,2689,584,366,391661,0 113,2,2024-09-07 09:02:01:313,383172,383172,0,0,13787050,0,3813 113,3,2024-09-07 09:02:00:693,1,302,1,0,340,3887,302,0 114,0,2024-09-07 09:02:00:885,72547,0.4,73031,0.5,145038,0.2,193720,1.75 114,1,2024-09-07 09:02:00:734,532126,532126,0,0,247734929636,2579486630,527010,3525,1591,381,391556,0 114,2,2024-09-07 09:02:00:877,382739,382738,1,0,15422365,0,5069 114,3,2024-09-07 09:02:01:281,1,302,0,0,395,2880,302,0 115,0,2024-09-07 09:02:00:555,72556,0.3,72912,0.4,145782,0.2,194490,1.50 115,1,2024-09-07 09:02:00:574,532424,532424,0,0,247849360256,2577591046,527671,3913,840,382,391656,0 115,2,2024-09-07 09:02:01:128,383735,383735,0,0,14460255,0,3453 115,3,2024-09-07 09:02:01:004,1,302,9,0,159,1818,302,0 116,0,2024-09-07 09:02:01:886,78085,0.7,77917,0.8,156337,0.7,208841,2.00 116,1,2024-09-07 09:02:00:811,529635,529635,0,0,246655311419,2605552576,522690,4806,2139,380,391782,0 116,2,2024-09-07 09:02:01:770,381170,381170,0,0,19511741,0,3529 116,3,2024-09-07 09:02:00:924,1,302,5,0,415,3965,302,0 117,0,2024-09-07 09:02:00:971,78918,0.8,78571,0.9,157154,1.1,210473,2.00 117,1,2024-09-07 09:02:01:634,530391,530391,0,0,246952225260,2587923461,524510,5289,592,370,392033,0 117,2,2024-09-07 09:02:01:127,383068,383068,0,0,15854584,0,3700 117,3,2024-09-07 09:02:01:077,1,302,29,0,490,4672,302,0 118,0,2024-09-07 09:02:01:832,71557,0.5,73583,0.7,149744,0.5,195628,2.00 118,1,2024-09-07 09:02:00:602,530004,530004,0,0,246098281362,2590625346,522136,6029,1839,366,391736,0 118,2,2024-09-07 09:02:01:595,381788,381788,0,0,17227954,0,2781 118,3,2024-09-07 09:02:01:766,1,302,5,0,235,3426,302,0 119,0,2024-09-07 09:02:01:329,71779,0.7,72191,0.8,144515,0.8,192369,2.25 119,1,2024-09-07 09:02:00:591,531665,531665,0,0,248010378839,2593756304,525872,5124,669,367,391780,0 119,2,2024-09-07 09:02:01:267,382923,382923,0,0,16453758,0,4174 119,3,2024-09-07 09:02:01:329,1,302,1,0,563,4634,302,0 120,0,2024-09-07 09:02:01:696,75897,0.6,75781,0.8,151714,0.5,203542,2.25 120,1,2024-09-07 09:02:00:874,530832,530832,0,0,247128703425,2595807603,524947,5463,422,368,391961,0 120,2,2024-09-07 09:02:00:790,380967,380966,1,0,19440021,0,5281 120,3,2024-09-07 09:02:01:311,1,302,1,0,241,3894,302,0 121,0,2024-09-07 09:02:01:801,78677,1.2,78693,1.1,158045,1.6,209960,2.25 121,1,2024-09-07 09:02:01:775,531228,531228,0,0,247640696319,2592632797,525801,4996,431,367,391840,0 121,2,2024-09-07 09:02:01:155,380072,380072,0,0,18926314,0,4127 121,3,2024-09-07 09:02:00:744,1,302,10,0,269,3625,302,0 122,0,2024-09-07 09:02:01:813,76335,0.8,74336,0.9,155811,0.9,204246,2.00 122,1,2024-09-07 09:02:00:860,530120,530120,0,0,247273564361,2597228280,522487,6500,1133,366,392130,0 122,2,2024-09-07 09:02:01:319,381776,381703,73,0,20980201,0,5989 122,3,2024-09-07 09:02:00:642,1,302,1,0,411,5833,302,0 123,0,2024-09-07 09:02:00:972,71856,0.8,70287,0.8,146570,0.9,191576,2.25 123,1,2024-09-07 09:02:00:576,530232,530232,0,0,246974573056,2605453316,520280,8011,1941,369,392039,0 123,2,2024-09-07 09:02:01:027,379757,379756,1,0,17668472,0,5215 123,3,2024-09-07 09:02:01:133,1,302,12,0,168,3616,302,0 124,0,2024-09-07 09:02:01:005,76176,0.4,76164,0.5,143623,0.3,197492,1.75 124,1,2024-09-07 09:02:01:027,532493,532493,0,0,247569154298,2579384466,528091,3496,906,367,392178,0 124,2,2024-09-07 09:02:01:029,382615,382615,0,0,15293799,0,3101 124,3,2024-09-07 09:02:00:765,1,302,37,0,490,3303,302,0 125,0,2024-09-07 09:02:01:420,79346,0.4,78971,0.6,158880,0.4,211112,1.75 125,1,2024-09-07 09:02:00:856,530797,530797,0,0,247808353436,2587863997,526797,3550,450,383,391702,0 125,2,2024-09-07 09:02:01:117,382202,382202,0,0,16499451,0,4534 125,3,2024-09-07 09:02:01:137,1,302,3,0,709,4376,302,0 126,0,2024-09-07 09:02:01:420,78734,0.5,80976,0.6,154764,0.4,209683,1.75 126,1,2024-09-07 09:02:00:569,532976,532976,0,0,248234864927,2572920248,529926,2778,272,365,391987,0 126,2,2024-09-07 09:02:00:619,383121,383121,0,0,16107301,0,3186 126,3,2024-09-07 09:02:00:914,1,302,0,0,207,3989,302,0 127,0,2024-09-07 09:02:01:603,71354,0.3,71744,0.5,143040,0.3,190487,1.75 127,1,2024-09-07 09:02:00:580,532468,532468,0,0,247985361280,2574142783,528235,3719,514,365,391816,0 127,2,2024-09-07 09:02:00:637,382104,382104,0,0,14687798,0,2264 127,3,2024-09-07 09:02:01:266,1,302,40,0,968,3770,302,0 128,0,2024-09-07 09:02:01:524,73187,0.3,73375,0.5,146462,0.2,194887,1.50 128,1,2024-09-07 09:02:01:605,531831,531831,0,0,248219765075,2581359785,527804,3660,367,367,391798,0 128,2,2024-09-07 09:02:01:391,383503,383503,0,0,14931694,0,2915 128,3,2024-09-07 09:02:00:772,1,302,12,0,1082,5792,302,0 129,0,2024-09-07 09:02:01:009,79622,0.3,79334,0.5,158891,0.3,211978,1.75 129,1,2024-09-07 09:02:00:567,528832,528832,0,0,246859888736,2589809250,523525,4094,1213,379,391835,0 129,2,2024-09-07 09:02:00:694,382915,382915,0,0,15576241,0,4031 129,3,2024-09-07 09:02:00:691,1,302,1,0,469,4329,302,0 130,0,2024-09-07 09:02:01:762,79448,0.5,79013,0.6,158677,0.6,211479,1.75 130,1,2024-09-07 09:02:00:584,532128,532128,0,0,248097933696,2582342225,528934,2955,239,381,391825,0 130,2,2024-09-07 09:02:01:128,382246,382246,0,0,15333535,0,4067 130,3,2024-09-07 09:02:01:295,1,302,113,0,450,3936,302,0 131,0,2024-09-07 09:02:01:935,72560,0.4,72980,0.5,146655,0.3,194118,1.75 131,1,2024-09-07 09:02:01:864,532115,532115,0,0,247608439482,2587043726,527830,3543,742,381,391865,0 131,2,2024-09-07 09:02:00:574,384611,384611,0,0,14157678,0,2415 131,3,2024-09-07 09:02:01:814,1,302,11,0,392,3285,302,0 132,0,2024-09-07 09:02:01:413,72425,0.5,73261,0.6,146035,0.4,194094,1.75 132,1,2024-09-07 09:02:00:584,529386,529386,0,0,247193243385,2607965785,521077,6846,1463,381,392097,0 132,2,2024-09-07 09:02:00:708,382028,382028,0,0,18793744,0,4606 132,3,2024-09-07 09:02:01:723,1,302,15,0,427,4575,302,0 133,0,2024-09-07 09:02:01:526,75791,0.4,77429,0.6,158937,0.4,206858,1.75 133,1,2024-09-07 09:02:00:585,529811,529811,0,0,246439306954,2601781175,521944,6785,1082,383,391914,0 133,2,2024-09-07 09:02:01:122,380761,380761,0,0,19851640,0,4315 133,3,2024-09-07 09:02:01:301,1,302,479,0,479,3327,302,0 134,0,2024-09-07 09:02:00:965,79190,0.5,79185,0.7,158176,0.5,211010,2.00 134,1,2024-09-07 09:02:00:602,530272,530272,0,0,246315291689,2587717131,523137,5658,1477,366,391718,0 134,2,2024-09-07 09:02:01:768,380479,380479,0,0,16682510,0,3847 134,3,2024-09-07 09:02:00:751,1,302,1,0,739,4203,302,0 135,0,2024-09-07 09:02:01:108,72432,0.7,72512,0.9,153678,0.8,198124,2.00 135,1,2024-09-07 09:02:01:588,530065,530065,0,0,247687954567,2609723776,522342,6530,1193,380,391805,0 135,2,2024-09-07 09:02:00:744,382795,382795,0,0,17857906,0,3981 135,3,2024-09-07 09:02:01:003,1,302,8,0,299,2318,302,0 136,0,2024-09-07 09:02:01:624,72190,0.6,72172,0.7,143875,0.5,191700,2.25 136,1,2024-09-07 09:02:01:446,530368,530368,0,0,246990181456,2597818288,523716,5966,686,382,391685,0 136,2,2024-09-07 09:02:01:134,382101,382101,0,0,17774146,0,3506 136,3,2024-09-07 09:02:01:107,1,302,0,0,301,2936,302,0 137,0,2024-09-07 09:02:00:971,77302,0.7,75209,0.8,147700,0.7,201392,2.00 137,1,2024-09-07 09:02:00:584,529916,529916,0,0,246845818741,2594068326,521141,6973,1802,366,391708,0 137,2,2024-09-07 09:02:01:770,380998,380998,0,0,19724966,0,3185 137,3,2024-09-07 09:02:00:775,1,302,4,0,382,3701,302,0 138,0,2024-09-07 09:02:01:770,78151,1.5,78344,1.1,157172,2.1,208885,2.50 138,1,2024-09-07 09:02:01:703,530154,530154,0,0,248021196433,2608053963,521487,7089,1578,368,391954,0 138,2,2024-09-07 09:02:00:589,381375,381375,0,0,18087915,0,4988 138,3,2024-09-07 09:02:00:619,1,302,8,0,1160,4305,302,0 139,0,2024-09-07 09:02:01:359,76581,1.4,76970,1.1,154090,2.1,205208,2.50 139,1,2024-09-07 09:02:00:582,528164,528164,0,0,245511015946,2610863777,517989,7655,2520,381,391892,0 139,2,2024-09-07 09:02:00:701,379598,379598,0,0,18867137,0,3097 139,3,2024-09-07 09:02:01:699,1,302,12,0,257,3401,302,0 140,0,2024-09-07 09:02:01:593,72202,0.3,71783,0.5,144259,0.2,192171,1.75 140,1,2024-09-07 09:02:01:535,533593,533593,0,0,248620605200,2566549005,530568,2587,438,365,391606,0 140,2,2024-09-07 09:02:00:687,382782,382782,0,0,14966619,0,3388 140,3,2024-09-07 09:02:00:767,1,302,4,0,247,2562,302,0 141,0,2024-09-07 09:02:01:812,73151,0.3,75221,0.5,143860,0.3,195718,1.75 141,1,2024-09-07 09:02:00:862,533407,533407,0,0,248253971027,2578837550,529836,3112,459,379,391614,0 141,2,2024-09-07 09:02:01:771,382251,382251,0,0,15363049,0,3360 141,3,2024-09-07 09:02:01:043,1,302,2,0,391,3132,302,0 142,0,2024-09-07 09:02:01:349,80643,0.4,79904,0.5,159501,0.3,213180,1.75 142,1,2024-09-07 09:02:00:600,532172,532172,0,0,247318185355,2581814596,528441,3382,349,382,392102,0 142,2,2024-09-07 09:02:01:306,381881,381849,32,0,16912440,0,6028 142,3,2024-09-07 09:02:01:746,1,302,8,0,484,3958,302,0 143,0,2024-09-07 09:02:01:389,78701,0.5,78711,0.6,157636,0.5,209992,1.75 143,1,2024-09-07 09:02:00:562,532860,532860,0,0,247378938149,2568707759,529305,3410,145,367,391705,0 143,2,2024-09-07 09:02:00:781,381865,381865,0,0,15461471,0,2750 143,3,2024-09-07 09:02:01:147,1,302,20,0,303,3746,302,0 144,0,2024-09-07 09:02:01:520,69858,0.6,71831,0.8,146238,0.5,191897,2.00 144,1,2024-09-07 09:02:00:568,529911,529911,0,0,246877551935,2592647704,525075,3898,938,381,391649,0 144,2,2024-09-07 09:02:01:767,383052,383052,0,0,14862081,0,3473 144,3,2024-09-07 09:02:01:769,1,302,3,0,249,3291,302,0 145,0,2024-09-07 09:02:01:359,69995,0.6,69991,0.8,148530,0.5,191386,2.25 145,1,2024-09-07 09:02:00:561,529410,529410,0,0,246876879428,2598236811,522694,5716,1000,382,391698,0 145,2,2024-09-07 09:02:01:428,381516,381516,0,0,18041079,0,3903 145,3,2024-09-07 09:02:00:907,1,302,61,0,622,4789,302,0 146,0,2024-09-07 09:02:01:619,78363,0.5,77673,0.7,156670,0.5,208110,2.00 146,1,2024-09-07 09:02:01:595,530961,530961,0,0,247166276616,2601328978,522098,6966,1897,368,391770,0 146,2,2024-09-07 09:02:01:723,381548,381548,0,0,17770839,0,2730 146,3,2024-09-07 09:02:01:279,1,302,25,0,1520,6663,302,0 147,0,2024-09-07 09:02:01:739,78879,0.6,78639,0.8,156556,0.6,210018,2.25 147,1,2024-09-07 09:02:01:386,532373,532373,0,0,248241908043,2585072711,527299,4281,793,368,391791,0 147,2,2024-09-07 09:02:01:018,381779,381779,0,0,15082261,0,2789 147,3,2024-09-07 09:02:00:922,1,302,8,0,730,4588,302,0 0,0,2024-09-07 09:02:11:821,74436,0.6,74355,0.7,157905,0.6,204524,2.00 0,1,2024-09-07 09:02:10:805,532961,532961,0,0,248646837606,2603505306,528870,3850,241,370,391896,0 0,2,2024-09-07 09:02:11:164,383129,383129,0,0,15822242,0,4480 0,3,2024-09-07 09:02:10:982,1,303,7,0,319,3866,303,0 1,0,2024-09-07 09:02:11:785,79107,0.9,78621,1.0,158021,1.1,210882,2.00 1,1,2024-09-07 09:02:10:577,532208,532208,0,0,247659415234,2596905974,526931,4072,1205,370,391857,0 1,2,2024-09-07 09:02:10:656,381387,381387,0,0,15286251,0,3267 1,3,2024-09-07 09:02:11:304,1,303,103,0,262,3511,303,0 2,0,2024-09-07 09:02:11:570,76592,0.7,76957,0.8,152502,0.8,204106,2.00 2,1,2024-09-07 09:02:10:870,533950,533950,0,0,248547134518,2584340314,531056,2601,293,380,391745,0 2,2,2024-09-07 09:02:11:266,384048,384048,0,0,15324491,0,3594 2,3,2024-09-07 09:02:10:699,1,303,13,0,357,3111,303,0 3,0,2024-09-07 09:02:11:765,71993,0.4,72118,0.6,144030,0.4,191095,2.00 3,1,2024-09-07 09:02:11:639,532682,532682,0,0,248387105013,2590971831,527602,4457,623,380,391556,0 3,2,2024-09-07 09:02:11:165,383399,383376,23,0,15676901,0,5851 3,3,2024-09-07 09:02:11:754,1,303,8,0,103,1840,303,0 4,0,2024-09-07 09:02:11:867,72033,0.4,74184,0.5,150518,0.3,197621,1.75 4,1,2024-09-07 09:02:10:708,531704,531704,0,0,247389584245,2604527411,525356,5281,1067,371,391992,0 4,2,2024-09-07 09:02:11:033,381336,381336,0,0,18316690,0,4528 4,3,2024-09-07 09:02:11:049,1,303,17,0,448,4377,303,0 5,0,2024-09-07 09:02:11:378,79289,0.5,79494,0.6,158479,0.5,210774,1.75 5,1,2024-09-07 09:02:10:886,532166,532166,0,0,248005368702,2611066643,525260,5552,1354,368,392005,0 5,2,2024-09-07 09:02:11:865,381711,381711,0,0,17214456,0,2432 5,3,2024-09-07 09:02:11:743,1,303,30,0,457,4589,303,0 6,0,2024-09-07 09:02:10:917,79304,0.5,78700,0.7,157305,0.4,210077,2.00 6,1,2024-09-07 09:02:10:753,532239,532239,0,0,247608336589,2589595377,526344,4840,1055,379,391694,0 6,2,2024-09-07 09:02:11:119,382782,382782,0,0,16237924,0,4816 6,3,2024-09-07 09:02:11:284,1,303,0,0,340,3493,303,0 7,0,2024-09-07 09:02:11:537,71486,0.5,71756,0.6,142941,0.4,190493,2.00 7,1,2024-09-07 09:02:10:857,531592,531592,0,0,247787374139,2605292961,524144,6279,1169,382,391747,0 7,2,2024-09-07 09:02:10:771,383274,383274,0,0,16310487,0,4791 7,3,2024-09-07 09:02:10:856,1,303,18,0,398,3533,303,0 8,0,2024-09-07 09:02:11:417,73322,0.4,73107,0.5,146346,0.3,195282,1.75 8,1,2024-09-07 09:02:11:024,531113,531113,0,0,247820007577,2613941405,521559,7342,2212,366,392144,0 8,2,2024-09-07 09:02:10:818,379694,379694,0,0,20023902,0,3220 8,3,2024-09-07 09:02:10:588,1,303,0,0,538,5258,303,0 9,0,2024-09-07 09:02:11:201,79851,0.4,77565,0.5,162327,0.3,212977,1.75 9,1,2024-09-07 09:02:10:568,531542,531542,0,0,248779953133,2622389717,523852,6020,1670,369,392001,0 9,2,2024-09-07 09:02:11:094,382835,382835,0,0,17886208,0,3360 9,3,2024-09-07 09:02:11:762,1,303,5,0,496,4508,303,0 10,0,2024-09-07 09:02:11:612,79355,0.3,78714,0.5,158223,0.3,211087,1.75 10,1,2024-09-07 09:02:10:588,532232,532232,0,0,248728878127,2607605734,524950,6294,988,381,391741,0 10,2,2024-09-07 09:02:10:764,382136,382136,0,0,19052859,0,4264 10,3,2024-09-07 09:02:10:877,1,303,1,0,296,2785,303,0 11,0,2024-09-07 09:02:11:013,72411,0.4,70285,0.6,147054,0.4,194507,1.75 11,1,2024-09-07 09:02:10:580,532511,532511,0,0,248161211992,2611148638,522905,7192,2414,383,391664,0 11,2,2024-09-07 09:02:11:126,383408,383408,0,0,17497187,0,4130 11,3,2024-09-07 09:02:11:306,1,303,7,0,843,5036,303,0 12,0,2024-09-07 09:02:11:006,73279,0.3,73273,0.5,146444,0.3,194717,1.75 12,1,2024-09-07 09:02:10:964,533077,533077,0,0,248290555952,2592173195,528414,4179,484,370,391870,0 12,2,2024-09-07 09:02:11:563,384067,384067,0,0,17210875,0,3469 12,3,2024-09-07 09:02:11:073,1,303,12,0,386,5064,303,0 13,0,2024-09-07 09:02:11:335,78504,0.4,78208,0.5,156515,0.4,208585,1.75 13,1,2024-09-07 09:02:11:527,532154,532154,0,0,247780060001,2606019645,527282,3570,1302,382,391740,0 13,2,2024-09-07 09:02:10:600,384171,384171,0,0,15968637,0,3287 13,3,2024-09-07 09:02:11:783,1,303,15,0,522,5170,303,0 14,0,2024-09-07 09:02:10:563,79505,0.4,80083,0.6,158419,0.4,211290,1.75 14,1,2024-09-07 09:02:11:593,535729,535729,0,0,249381619871,2584337717,531816,3633,280,364,391571,0 14,2,2024-09-07 09:02:10:771,381677,381677,0,0,15712725,0,2896 14,3,2024-09-07 09:02:11:118,1,303,0,0,1168,4007,303,0 15,0,2024-09-07 09:02:11:559,74937,0.4,75093,0.7,149965,0.4,199308,2.00 15,1,2024-09-07 09:02:11:608,533785,533785,0,0,248191058472,2581156003,530866,2740,179,381,391619,0 15,2,2024-09-07 09:02:10:999,385429,385429,0,0,13484284,0,3043 15,3,2024-09-07 09:02:11:411,1,303,8,0,1126,5726,303,0 16,0,2024-09-07 09:02:10:946,72082,0.6,72284,0.8,144001,0.7,191707,2.25 16,1,2024-09-07 09:02:10:602,533574,533574,0,0,248399820702,2597543542,529300,3915,359,370,391756,0 16,2,2024-09-07 09:02:11:434,383240,383240,0,0,16633671,0,4719 16,3,2024-09-07 09:02:11:165,1,303,15,0,317,4523,303,0 17,0,2024-09-07 09:02:11:857,77659,0.7,75884,0.9,148423,0.8,202237,2.00 17,1,2024-09-07 09:02:10:603,532502,532502,0,0,247507431321,2602382403,526403,4843,1256,368,391899,0 17,2,2024-09-07 09:02:11:687,385063,385063,0,0,16462031,0,2857 17,3,2024-09-07 09:02:10:579,1,303,68,0,268,4785,303,0 18,0,2024-09-07 09:02:10:939,77888,0.7,78342,0.8,156161,0.7,208257,2.25 18,1,2024-09-07 09:02:11:649,533846,533846,0,0,249146537863,2584641490,530628,2908,310,367,391649,0 18,2,2024-09-07 09:02:11:755,383975,383975,0,0,15354776,0,3541 18,3,2024-09-07 09:02:10:903,1,303,0,0,163,2735,303,0 19,0,2024-09-07 09:02:11:545,77170,0.6,77772,0.8,154220,0.6,205193,2.25 19,1,2024-09-07 09:02:10:566,533862,533862,0,0,248688970606,2584953953,528752,4272,838,367,391777,0 19,2,2024-09-07 09:02:11:762,385027,385027,0,0,13946192,0,3988 19,3,2024-09-07 09:02:11:135,1,303,7,0,524,2332,303,0 20,0,2024-09-07 09:02:11:389,71976,0.6,71994,0.7,144022,0.6,192185,2.25 20,1,2024-09-07 09:02:10:700,532341,532341,0,0,247918670296,2592868219,528179,3758,404,369,391886,0 20,2,2024-09-07 09:02:10:947,383496,383496,0,0,16286096,0,3721 20,3,2024-09-07 09:02:10:601,1,303,11,0,414,5023,303,0 21,0,2024-09-07 09:02:11:229,73702,0.5,73744,0.7,147260,0.5,195636,2.00 21,1,2024-09-07 09:02:11:544,531079,531079,0,0,247529296484,2611668472,523376,5827,1876,368,392016,0 21,2,2024-09-07 09:02:11:093,381611,381611,0,0,18953890,0,3747 21,3,2024-09-07 09:02:11:403,1,303,21,0,103,3157,303,0 22,0,2024-09-07 09:02:11:728,79685,0.6,79954,0.8,159910,0.6,211558,2.25 22,1,2024-09-07 09:02:11:033,532515,532515,0,0,247464319483,2604120696,524693,6155,1667,382,391667,0 22,2,2024-09-07 09:02:10:765,382599,382599,0,0,16288172,0,3134 22,3,2024-09-07 09:02:11:092,1,303,11,0,228,2731,303,0 23,0,2024-09-07 09:02:11:380,78449,0.6,78309,0.7,156960,0.6,209397,2.25 23,1,2024-09-07 09:02:11:007,532417,532417,0,0,248222518599,2611342021,522719,6623,3075,365,391690,0 23,2,2024-09-07 09:02:11:095,383104,383104,0,0,15466619,0,3010 23,3,2024-09-07 09:02:11:763,1,303,8,0,645,3672,303,0 24,0,2024-09-07 09:02:10:832,72861,0.4,72636,0.6,145964,0.4,193587,1.75 24,1,2024-09-07 09:02:10:600,531834,531834,0,0,246748574541,2591214401,525351,4960,1523,368,391987,0 24,2,2024-09-07 09:02:11:082,382161,382161,0,0,18901779,0,3607 24,3,2024-09-07 09:02:11:698,1,303,1,0,468,4228,303,0 25,0,2024-09-07 09:02:11:398,74866,0.4,73000,0.6,143254,0.4,195554,2.00 25,1,2024-09-07 09:02:10:568,533124,533124,0,0,248249452430,2601872714,527168,5134,822,371,391928,0 25,2,2024-09-07 09:02:11:626,383150,383150,0,0,19312134,0,3978 25,3,2024-09-07 09:02:11:005,1,303,0,0,255,3195,303,0 26,0,2024-09-07 09:02:11:728,78361,0.4,76517,0.5,160522,0.3,209002,1.75 26,1,2024-09-07 09:02:11:546,533293,533293,0,0,247969474956,2601610946,525239,6784,1270,380,391748,0 26,2,2024-09-07 09:02:10:878,383490,383490,0,0,19224668,0,2809 26,3,2024-09-07 09:02:11:723,1,303,0,0,796,4055,303,0 27,0,2024-09-07 09:02:11:728,79383,0.5,79565,0.6,157364,0.5,210782,2.00 27,1,2024-09-07 09:02:11:676,534595,534595,0,0,248652601075,2590565877,529738,4096,761,381,391626,0 27,2,2024-09-07 09:02:10:869,379477,379412,65,0,18795493,0,5699 27,3,2024-09-07 09:02:11:022,1,303,15,0,564,3239,303,0 28,0,2024-09-07 09:02:11:388,74054,0.4,73805,0.6,147949,0.4,197150,2.00 28,1,2024-09-07 09:02:10:801,534022,534022,0,0,249109165879,2597648022,529941,3406,675,383,391646,0 28,2,2024-09-07 09:02:11:764,383830,383830,0,0,15604651,0,2915 28,3,2024-09-07 09:02:11:789,1,303,1,0,502,3050,303,0 29,0,2024-09-07 09:02:11:367,74550,0.4,72693,0.6,142251,0.3,194614,1.75 29,1,2024-09-07 09:02:11:573,534782,534782,0,0,249177539198,2581001434,531052,3147,583,369,391753,0 29,2,2024-09-07 09:02:10:878,382956,382956,0,0,15350752,0,4233 29,3,2024-09-07 09:02:10:972,1,303,1,0,374,3096,303,0 30,0,2024-09-07 09:02:11:464,76466,0.5,74379,0.7,155541,0.4,204140,2.00 30,1,2024-09-07 09:02:10:592,534920,534920,0,0,249254336802,2589903623,530832,3482,606,382,391672,0 30,2,2024-09-07 09:02:11:282,383083,383083,0,0,14856999,0,3161 30,3,2024-09-07 09:02:10:593,1,303,0,0,519,2865,303,0 31,0,2024-09-07 09:02:11:805,78666,0.5,78999,0.6,158058,0.4,210508,2.00 31,1,2024-09-07 09:02:10:568,537007,537007,0,0,249992357624,2564984202,534920,1658,429,356,391712,0 31,2,2024-09-07 09:02:11:321,382252,382252,0,0,16402396,0,3525 31,3,2024-09-07 09:02:11:719,1,303,8,0,220,2372,303,0 32,0,2024-09-07 09:02:11:433,76586,0.3,76928,0.5,153826,0.3,204484,1.75 32,1,2024-09-07 09:02:10:821,534396,534396,0,0,249209270024,2590306096,531337,2614,445,381,391646,0 32,2,2024-09-07 09:02:10:946,384148,384148,0,0,14330247,0,3155 32,3,2024-09-07 09:02:11:017,1,303,6,0,227,2337,303,0 33,0,2024-09-07 09:02:11:494,72430,0.3,71884,0.5,144427,0.2,191962,1.75 33,1,2024-09-07 09:02:10:577,534414,534414,0,0,249776564509,2589247605,530038,3546,830,369,391730,0 33,2,2024-09-07 09:02:10:765,383895,383860,35,0,16361643,0,7012 33,3,2024-09-07 09:02:10:900,1,303,1,0,329,3339,303,0 34,0,2024-09-07 09:02:10:934,74348,0.3,76574,0.5,146491,0.2,196953,1.75 34,1,2024-09-07 09:02:11:066,536020,536020,0,0,249798112555,2569941394,534633,1380,7,367,391562,0 34,2,2024-09-07 09:02:10:770,383395,383395,0,0,15316647,0,3577 34,3,2024-09-07 09:02:11:698,1,303,1,0,299,2349,303,0 35,0,2024-09-07 09:02:10:864,78475,0.4,78932,0.5,158847,0.3,211300,1.75 35,1,2024-09-07 09:02:11:074,534104,534104,0,0,248560027077,2579382501,530504,2820,780,382,391589,0 35,2,2024-09-07 09:02:11:599,383267,383267,0,0,15619457,0,2653 35,3,2024-09-07 09:02:10:909,1,303,9,0,418,4040,303,0 36,0,2024-09-07 09:02:11:524,79072,0.5,79065,0.7,158166,0.5,209692,2.25 36,1,2024-09-07 09:02:10:790,533852,533852,0,0,248495932300,2599375903,526961,5238,1653,366,391759,0 36,2,2024-09-07 09:02:11:774,382913,382913,0,0,17112447,0,3875 36,3,2024-09-07 09:02:10:877,1,303,0,0,416,4801,303,0 37,0,2024-09-07 09:02:11:379,71372,0.5,71353,0.7,142753,0.5,191194,2.00 37,1,2024-09-07 09:02:10:569,532429,532422,0,7,248064178615,2595557187,526014,4337,2071,365,391560,0 37,2,2024-09-07 09:02:11:159,382195,382180,15,0,16855930,0,5815 37,3,2024-09-07 09:02:11:773,1,303,0,0,888,5356,303,0 38,0,2024-09-07 09:02:11:442,72610,0.5,70521,0.7,147608,0.4,193009,2.00 38,1,2024-09-07 09:02:11:904,534629,534629,0,0,249504445709,2600174445,529085,4867,677,368,391821,0 38,2,2024-09-07 09:02:10:768,382885,382838,47,0,18043639,0,6710 38,3,2024-09-07 09:02:11:001,1,303,4,0,689,4205,303,0 39,0,2024-09-07 09:02:11:820,81296,0.6,79806,0.7,154937,0.6,211920,2.00 39,1,2024-09-07 09:02:10:740,532837,532837,0,0,248234172980,2599811939,524998,6483,1356,365,391594,0 39,2,2024-09-07 09:02:11:417,383140,383140,0,0,15951307,0,2689 39,3,2024-09-07 09:02:10:720,1,303,8,0,324,3894,303,0 40,0,2024-09-07 09:02:11:551,78338,0.8,79041,0.9,157973,0.8,210279,2.75 40,1,2024-09-07 09:02:10:577,533391,533391,0,0,248101893484,2600226483,525347,6590,1454,368,391668,0 40,2,2024-09-07 09:02:11:304,381577,381576,1,0,18844187,0,5137 40,3,2024-09-07 09:02:11:150,1,303,57,0,398,3645,303,0 41,0,2024-09-07 09:02:11:035,72174,1.6,74000,1.3,141307,2.6,192420,3.25 41,1,2024-09-07 09:02:10:776,532766,532766,0,0,248414181082,2599314870,526480,5646,640,370,391742,0 41,2,2024-09-07 09:02:10:765,382292,382292,0,0,18078427,0,3356 41,3,2024-09-07 09:02:11:681,1,303,2,0,366,3186,303,0 42,0,2024-09-07 09:02:11:503,72222,0.7,72218,0.9,144768,0.7,191244,2.50 42,1,2024-09-07 09:02:11:449,531820,531820,0,0,247281407797,2599874018,523436,6821,1563,380,391675,0 42,2,2024-09-07 09:02:11:153,383726,383726,0,0,18000876,0,3568 42,3,2024-09-07 09:02:11:010,1,303,3,0,446,3004,303,0 43,0,2024-09-07 09:02:10:918,77451,0.8,75609,0.9,158162,0.8,207465,2.25 43,1,2024-09-07 09:02:10:580,533389,533389,0,0,248971470576,2603668205,525812,6211,1366,366,391696,0 43,2,2024-09-07 09:02:11:752,383241,383241,0,0,18219824,0,3812 43,3,2024-09-07 09:02:11:754,1,303,8,0,467,3757,303,0 44,0,2024-09-07 09:02:10:891,79242,0.5,79699,0.6,158896,0.4,211367,1.75 44,1,2024-09-07 09:02:10:576,534872,534872,0,0,248359156738,2569636887,530565,3362,945,356,391809,0 44,2,2024-09-07 09:02:11:288,381113,381113,0,0,15053572,0,4344 44,3,2024-09-07 09:02:11:095,1,303,1,0,817,4006,303,0 45,0,2024-09-07 09:02:11:792,73993,0.5,72206,0.8,151563,0.5,199106,2.00 45,1,2024-09-07 09:02:11:021,534044,534044,0,0,249116239949,2585987345,531150,2633,261,382,391917,0 45,2,2024-09-07 09:02:11:268,384353,384353,0,0,15163456,0,3596 45,3,2024-09-07 09:02:10:934,1,303,7,0,271,3057,303,0 46,0,2024-09-07 09:02:10:970,71459,0.5,71513,0.7,143196,0.4,189709,2.00 46,1,2024-09-07 09:02:10:580,535643,535643,0,0,249647698766,2578329330,532559,2728,356,366,391539,0 46,2,2024-09-07 09:02:10:594,383983,383983,0,0,14830898,0,2920 46,3,2024-09-07 09:02:11:135,1,303,6,0,908,4417,303,0 47,0,2024-09-07 09:02:11:103,75872,0.5,75978,0.6,152170,0.4,201893,1.75 47,1,2024-09-07 09:02:10:570,535344,535344,0,0,249286168190,2576291123,532268,2717,359,366,391641,0 47,2,2024-09-07 09:02:10:908,384618,384618,0,0,15161447,0,4477 47,3,2024-09-07 09:02:11:123,1,303,9,0,529,3407,303,0 48,0,2024-09-07 09:02:11:492,79662,0.3,79087,0.4,157749,0.2,210355,1.50 48,1,2024-09-07 09:02:11:025,534363,534363,0,0,249419090751,2592916184,530829,3233,301,384,391710,0 48,2,2024-09-07 09:02:10:705,382707,382707,0,0,14220149,0,3031 48,3,2024-09-07 09:02:10:764,1,303,5,0,339,2696,303,0 49,0,2024-09-07 09:02:11:758,79757,0.3,78297,0.5,151815,0.3,207572,1.75 49,1,2024-09-07 09:02:11:086,533507,533507,0,0,248763717461,2593654180,529215,3108,1184,382,391809,0 49,2,2024-09-07 09:02:11:801,384622,384622,0,0,15146309,0,4426 49,3,2024-09-07 09:02:11:421,1,303,17,0,408,3302,303,0 50,0,2024-09-07 09:02:11:520,72151,0.3,71434,0.5,143831,0.2,191876,1.75 50,1,2024-09-07 09:02:11:022,535693,535693,0,0,250137030197,2589846391,531893,3426,374,368,391540,0 50,2,2024-09-07 09:02:11:095,383617,383617,0,0,14093521,0,2263 50,3,2024-09-07 09:02:11:293,1,303,5,0,335,2710,303,0 51,0,2024-09-07 09:02:11:728,75487,0.3,73754,0.5,144073,0.2,196545,1.75 51,1,2024-09-07 09:02:11:690,535558,535558,0,0,249508736313,2577869882,532306,2278,974,365,391706,0 51,2,2024-09-07 09:02:11:327,382868,382868,0,0,13920940,0,3337 51,3,2024-09-07 09:02:11:056,1,303,14,0,678,2369,303,0 52,0,2024-09-07 09:02:11:437,80238,0.5,80122,0.7,160237,0.5,212899,2.00 52,1,2024-09-07 09:02:10:577,533202,533202,0,0,248098860037,2602443612,524979,6913,1310,368,391722,0 52,2,2024-09-07 09:02:11:774,380407,380369,38,0,18073846,0,6742 52,3,2024-09-07 09:02:10:679,1,303,52,0,1782,4807,303,0 53,0,2024-09-07 09:02:11:748,78329,0.7,76156,0.8,159038,0.7,208957,2.25 53,1,2024-09-07 09:02:10:776,531521,531521,0,0,248136245365,2607970183,522590,6404,2527,367,391702,0 53,2,2024-09-07 09:02:11:305,383446,383446,0,0,15304329,0,2727 53,3,2024-09-07 09:02:10:708,1,303,1,0,308,2820,303,0 54,0,2024-09-07 09:02:11:625,71228,0.6,71670,0.8,142456,0.4,190840,2.50 54,1,2024-09-07 09:02:10:580,533577,533577,0,0,249272655001,2593711779,528161,4815,601,367,391659,0 54,2,2024-09-07 09:02:10:900,383667,383661,6,0,17305404,0,5382 54,3,2024-09-07 09:02:10:763,1,303,0,0,676,4853,303,0 55,0,2024-09-07 09:02:11:786,70382,0.6,73016,0.8,146836,0.5,191951,2.50 55,1,2024-09-07 09:02:10:767,533089,533089,0,0,248210269691,2586659327,526685,5496,908,365,391731,0 55,2,2024-09-07 09:02:10:739,382886,382886,0,0,17542102,0,3563 55,3,2024-09-07 09:02:10:674,1,303,15,0,304,3245,303,0 56,0,2024-09-07 09:02:11:666,80356,1.0,75737,1.0,156297,1.3,209038,2.50 56,1,2024-09-07 09:02:10:582,531178,531178,0,0,247601151935,2617178199,523098,6530,1550,381,391867,0 56,2,2024-09-07 09:02:11:305,383068,383068,0,0,18256422,0,3567 56,3,2024-09-07 09:02:11:070,1,303,124,0,705,4161,303,0 57,0,2024-09-07 09:02:10:950,78027,1.6,77900,1.3,156107,2.3,209371,3.25 57,1,2024-09-07 09:02:10:997,532883,532883,0,0,247826949977,2598940580,526519,5648,716,366,392032,0 57,2,2024-09-07 09:02:11:321,381910,381910,0,0,19212761,0,3317 57,3,2024-09-07 09:02:11:751,1,303,2,0,359,3700,303,0 58,0,2024-09-07 09:02:10:560,72525,1.0,70613,1.0,147982,1.2,193853,2.50 58,1,2024-09-07 09:02:10:580,533532,533529,0,3,248365400651,2598115902,526305,6262,962,367,391603,3 58,2,2024-09-07 09:02:11:070,383554,383554,0,0,17700905,0,2549 58,3,2024-09-07 09:02:11:101,1,303,1,0,1043,3302,303,0 59,0,2024-09-07 09:02:11:756,72162,0.7,71906,0.9,143600,0.7,190945,2.75 59,1,2024-09-07 09:02:10:806,533218,533218,0,0,248757037185,2604132273,526408,5527,1283,369,391578,0 59,2,2024-09-07 09:02:10:586,384757,384757,0,0,16710512,0,2604 59,3,2024-09-07 09:02:11:749,1,303,12,0,1015,4103,303,0 60,0,2024-09-07 09:02:11:762,76808,0.5,76823,0.6,154286,0.4,204839,1.75 60,1,2024-09-07 09:02:10:790,534999,534999,0,0,249335422661,2586215679,532108,2365,526,370,392031,0 60,2,2024-09-07 09:02:11:148,383074,383074,0,0,17363298,0,3811 60,3,2024-09-07 09:02:11:265,1,303,4,0,175,3148,303,0 61,0,2024-09-07 09:02:11:540,78834,0.7,79318,0.8,157654,0.7,210264,2.00 61,1,2024-09-07 09:02:10:789,532987,532987,0,0,247969855438,2598735457,527061,5012,914,382,391880,0 61,2,2024-09-07 09:02:11:123,382688,382688,0,0,15864847,0,3598 61,3,2024-09-07 09:02:11:696,1,303,1,0,479,4601,303,0 62,0,2024-09-07 09:02:11:742,77119,0.6,78751,0.7,150113,0.6,204162,2.00 62,1,2024-09-07 09:02:11:114,536233,536227,0,6,249886754049,2578957218,533373,2693,161,365,391715,6 62,2,2024-09-07 09:02:11:657,382657,382656,1,0,16964610,0,5555 62,3,2024-09-07 09:02:11:143,1,303,1,0,465,2476,303,0 63,0,2024-09-07 09:02:11:458,72154,0.4,72268,0.6,144570,0.4,191777,1.75 63,1,2024-09-07 09:02:10:821,534608,534602,0,6,248957954399,2583643227,531640,2728,234,381,391677,6 63,2,2024-09-07 09:02:10:764,383562,383562,0,0,15147790,0,4369 63,3,2024-09-07 09:02:11:737,1,303,8,0,667,3383,303,0 64,0,2024-09-07 09:02:11:604,73813,0.5,73804,0.7,147538,0.5,196142,2.00 64,1,2024-09-07 09:02:10:752,533397,533397,0,0,248749928804,2597243757,527778,3963,1656,370,391783,0 64,2,2024-09-07 09:02:11:143,386646,386627,19,0,15272274,0,6121 64,3,2024-09-07 09:02:11:158,1,303,2,0,265,2989,303,0 65,0,2024-09-07 09:02:11:716,78484,0.7,78739,0.8,157229,0.8,209194,2.25 65,1,2024-09-07 09:02:10:874,532496,532496,0,0,247732509750,2592218771,528508,3540,448,382,391901,0 65,2,2024-09-07 09:02:11:715,383903,383903,0,0,17058358,0,3367 65,3,2024-09-07 09:02:11:691,1,303,23,0,163,2819,303,0 66,0,2024-09-07 09:02:11:861,78561,0.5,78457,0.7,157206,0.5,208564,2.25 66,1,2024-09-07 09:02:11:294,533868,533868,0,0,249187168172,2592921322,530386,3163,319,380,391653,0 66,2,2024-09-07 09:02:11:140,385118,385118,0,0,15509068,0,4956 66,3,2024-09-07 09:02:11:081,1,303,9,0,291,3037,303,0 67,0,2024-09-07 09:02:11:428,72174,0.6,71432,0.7,143790,0.5,191442,2.00 67,1,2024-09-07 09:02:10:768,534065,534064,0,1,247949111319,2585855454,529955,3359,750,380,391787,1 67,2,2024-09-07 09:02:10:594,384342,384342,0,0,14602347,0,2889 67,3,2024-09-07 09:02:11:764,1,303,18,0,338,2711,303,0 68,0,2024-09-07 09:02:10:589,73504,0.6,73077,0.8,145928,0.6,194874,2.00 68,1,2024-09-07 09:02:10:579,532794,532794,0,0,247382196251,2594557364,528082,3511,1201,381,391953,0 68,2,2024-09-07 09:02:11:056,381939,381874,65,0,19641591,0,6698 68,3,2024-09-07 09:02:10:739,1,303,21,0,417,3318,303,0 69,0,2024-09-07 09:02:11:789,79144,0.8,79586,0.9,158200,0.9,210807,2.25 69,1,2024-09-07 09:02:11:023,531353,531353,0,0,247518836077,2614802125,524203,5248,1902,384,391994,0 69,2,2024-09-07 09:02:11:742,383038,383038,0,0,19390989,0,3722 69,3,2024-09-07 09:02:10:764,1,303,3,0,698,4440,303,0 70,0,2024-09-07 09:02:11:540,78475,0.9,78467,1.1,157751,0.7,209295,2.50 70,1,2024-09-07 09:02:10:821,534686,534686,0,0,248635050395,2583009673,530309,3841,536,366,391725,0 70,2,2024-09-07 09:02:11:327,381168,381168,0,0,17574448,0,4044 70,3,2024-09-07 09:02:10:752,1,303,1,0,854,3483,303,0 71,0,2024-09-07 09:02:11:364,72260,1.0,72022,1.0,144640,1.2,193153,2.75 71,1,2024-09-07 09:02:11:604,532856,532856,0,0,249104901964,2601624905,526618,5582,656,368,391738,0 71,2,2024-09-07 09:02:11:094,383630,383630,0,0,17584265,0,4042 71,3,2024-09-07 09:02:11:773,1,303,8,0,644,4380,303,0 72,0,2024-09-07 09:02:11:035,75169,0.6,73458,0.8,143309,0.6,195102,2.00 72,1,2024-09-07 09:02:11:021,532561,532561,0,0,248333573502,2601113848,525288,5727,1546,369,391819,0 72,2,2024-09-07 09:02:11:769,382616,382616,0,0,19756771,0,3983 72,3,2024-09-07 09:02:11:768,1,303,1,0,564,4965,303,0 73,0,2024-09-07 09:02:11:102,76162,0.4,77887,0.6,159672,0.3,207510,2.00 73,1,2024-09-07 09:02:10:765,533816,533816,0,0,248417309418,2582744249,530093,3429,294,367,391858,0 73,2,2024-09-07 09:02:11:752,383576,383576,0,0,18974518,0,3701 73,3,2024-09-07 09:02:10:976,1,303,1,0,274,4246,303,0 74,0,2024-09-07 09:02:11:350,79696,0.5,81629,0.7,155898,0.5,211168,2.25 74,1,2024-09-07 09:02:10:641,533499,533499,0,0,248171863226,2592209283,528170,4361,968,381,391681,0 74,2,2024-09-07 09:02:11:001,381427,381427,0,0,18065393,0,4253 74,3,2024-09-07 09:02:11:450,1,303,0,0,522,3941,303,0 75,0,2024-09-07 09:02:11:780,75163,0.5,74837,0.7,149878,0.4,200204,2.25 75,1,2024-09-07 09:02:11:602,532827,532827,0,0,248326217537,2596539626,527001,5020,806,380,391739,0 75,2,2024-09-07 09:02:11:359,383406,383406,0,0,17984636,0,4766 75,3,2024-09-07 09:02:11:070,1,303,11,0,702,4280,303,0 76,0,2024-09-07 09:02:10:599,71570,0.6,71017,0.8,142646,0.6,190560,2.25 76,1,2024-09-07 09:02:10:818,533601,533601,0,0,248043568299,2588745870,530026,3022,553,382,391692,0 76,2,2024-09-07 09:02:11:092,384423,384422,1,0,16754209,0,5144 76,3,2024-09-07 09:02:11:143,1,303,1,0,175,3067,303,0 77,0,2024-09-07 09:02:11:767,75562,0.6,75681,0.8,151321,0.6,201840,2.00 77,1,2024-09-07 09:02:10:827,533787,533787,0,0,248032922580,2591664100,529571,3952,264,381,391869,0 77,2,2024-09-07 09:02:11:282,383254,383254,0,0,16598220,0,3890 77,3,2024-09-07 09:02:11:110,1,303,1,0,401,3575,303,0 78,0,2024-09-07 09:02:11:727,79120,0.5,78738,0.7,158212,0.4,209667,2.00 78,1,2024-09-07 09:02:10:611,533660,533660,0,0,248586836645,2588267459,528595,4071,994,367,391670,0 78,2,2024-09-07 09:02:11:405,383526,383526,0,0,14592692,0,3855 78,3,2024-09-07 09:02:11:135,1,303,0,0,181,2974,303,0 79,0,2024-09-07 09:02:11:350,75278,0.4,77201,0.6,157850,0.4,205023,2.25 79,1,2024-09-07 09:02:10:580,535646,535646,0,0,249598074773,2583739384,531926,3275,445,369,391682,0 79,2,2024-09-07 09:02:11:092,384492,384492,0,0,14857668,0,3212 79,3,2024-09-07 09:02:10:749,1,303,8,0,418,4163,303,0 80,0,2024-09-07 09:02:11:158,72076,0.6,74037,0.7,141950,0.5,191594,2.00 80,1,2024-09-07 09:02:11:653,533643,533643,0,0,248732792515,2589395600,530336,3130,177,368,391791,0 80,2,2024-09-07 09:02:11:114,384497,384497,0,0,14980808,0,4433 80,3,2024-09-07 09:02:10:589,1,303,1,0,190,3816,303,0 81,0,2024-09-07 09:02:11:556,73317,0.6,75189,0.7,143661,0.6,195211,2.00 81,1,2024-09-07 09:02:11:655,532765,532765,0,0,247465406206,2589409906,528213,4073,479,382,391879,0 81,2,2024-09-07 09:02:11:136,382869,382806,63,0,16985827,0,5932 81,3,2024-09-07 09:02:11:126,1,303,27,0,374,3722,303,0 82,0,2024-09-07 09:02:11:575,79580,0.5,80112,0.7,160377,0.5,212443,2.00 82,1,2024-09-07 09:02:10:595,534326,534322,0,4,248758636473,2593089520,530481,3045,796,381,391768,4 82,2,2024-09-07 09:02:11:702,384622,384622,0,0,14681086,0,3986 82,3,2024-09-07 09:02:11:763,1,303,2,0,363,3108,303,0 83,0,2024-09-07 09:02:11:530,79056,0.5,78906,0.7,156937,0.5,209223,2.00 83,1,2024-09-07 09:02:10:560,533206,533206,0,0,248144464944,2590222116,529122,3763,321,382,391709,0 83,2,2024-09-07 09:02:10:764,382946,382946,0,0,14950414,0,3393 83,3,2024-09-07 09:02:10:749,1,303,8,0,1260,4687,303,0 84,0,2024-09-07 09:02:11:793,71873,0.7,71758,0.9,143584,0.6,192257,2.25 84,1,2024-09-07 09:02:11:066,532968,532968,0,0,247941598519,2590760844,527985,4397,586,367,391967,0 84,2,2024-09-07 09:02:10:578,383244,383244,0,0,18203308,0,4757 84,3,2024-09-07 09:02:11:169,1,303,36,0,908,4843,303,0 85,0,2024-09-07 09:02:11:047,70285,0.7,70401,0.8,149170,0.7,193085,2.50 85,1,2024-09-07 09:02:10:571,531923,531923,0,0,248022552954,2621299769,523685,6870,1368,381,392006,0 85,2,2024-09-07 09:02:10:877,384311,384311,0,0,18553046,0,3656 85,3,2024-09-07 09:02:10:684,1,303,12,0,789,3982,303,0 86,0,2024-09-07 09:02:10:907,78563,0.6,80838,0.7,154699,0.6,208830,2.00 86,1,2024-09-07 09:02:10:880,533278,533278,0,0,248380696459,2601958525,526722,5494,1062,366,391961,0 86,2,2024-09-07 09:02:10:877,382184,382183,1,0,19159523,0,5004 86,3,2024-09-07 09:02:10:586,1,303,0,0,286,4076,303,0 87,0,2024-09-07 09:02:11:305,78743,1.0,78740,0.9,158109,1.3,211066,2.25 87,1,2024-09-07 09:02:10:552,532447,532447,0,0,248319015474,2598153080,527078,4866,503,366,392076,0 87,2,2024-09-07 09:02:11:071,381039,381039,0,0,17036854,0,4045 87,3,2024-09-07 09:02:11:797,1,303,8,0,473,4334,303,0 88,0,2024-09-07 09:02:11:451,73868,0.5,74382,0.6,148191,0.4,196851,2.00 88,1,2024-09-07 09:02:10:570,531484,531484,0,0,248303004919,2605795518,524120,5711,1653,365,392084,0 88,2,2024-09-07 09:02:10:700,384076,384076,0,0,18852100,0,3583 88,3,2024-09-07 09:02:11:268,1,303,2,0,435,3532,303,0 89,0,2024-09-07 09:02:11:795,74623,0.5,72208,0.7,143266,0.4,194446,1.75 89,1,2024-09-07 09:02:10:577,531713,531713,0,0,247929393657,2611171745,524376,6071,1266,382,391866,0 89,2,2024-09-07 09:02:11:136,382800,382800,0,0,18280489,0,2910 89,3,2024-09-07 09:02:11:802,1,303,59,0,385,5831,303,0 90,0,2024-09-07 09:02:11:618,74609,0.4,76484,0.6,156213,0.4,204010,1.75 90,1,2024-09-07 09:02:10:598,533104,533104,0,0,248493919698,2605306839,527716,5010,378,380,391825,0 90,2,2024-09-07 09:02:11:421,381730,381730,0,0,19513962,0,3060 90,3,2024-09-07 09:02:10:941,1,303,48,0,246,3464,303,0 91,0,2024-09-07 09:02:10:937,79299,0.5,77045,0.6,160527,0.5,210909,1.75 91,1,2024-09-07 09:02:10:569,531858,531858,0,0,248163618937,2611211998,524835,6129,894,381,392047,0 91,2,2024-09-07 09:02:11:332,382433,382433,0,0,17703604,0,2896 91,3,2024-09-07 09:02:10:599,1,303,9,0,216,2781,303,0 92,0,2024-09-07 09:02:11:497,77253,0.5,79235,0.6,151319,0.5,204579,1.75 92,1,2024-09-07 09:02:10:581,533385,533385,0,0,248127235750,2590591573,529902,3003,480,382,392136,0 92,2,2024-09-07 09:02:11:354,385564,385564,0,0,15074179,0,2801 92,3,2024-09-07 09:02:11:017,1,303,1,0,167,2702,303,0 93,0,2024-09-07 09:02:11:061,72618,0.4,74452,0.6,142201,0.3,192310,1.75 93,1,2024-09-07 09:02:10:821,533443,533443,0,0,247596292123,2585605172,528217,4386,840,366,391776,0 93,2,2024-09-07 09:02:10:951,383162,383162,0,0,17442162,0,4311 93,3,2024-09-07 09:02:11:406,1,303,1,0,190,2972,303,0 94,0,2024-09-07 09:02:11:612,73897,0.4,74644,0.5,148936,0.3,197760,1.75 94,1,2024-09-07 09:02:10:581,533148,533148,0,0,248364567237,2594753010,529275,3687,186,381,391850,0 94,2,2024-09-07 09:02:10:766,383164,383164,0,0,15347857,0,2443 94,3,2024-09-07 09:02:11:695,1,303,4,0,264,3949,303,0 95,0,2024-09-07 09:02:11:391,79057,0.4,78980,0.6,158783,0.3,211039,1.75 95,1,2024-09-07 09:02:10:856,534202,534202,0,0,249535553063,2595970632,529904,3919,379,367,391713,0 95,2,2024-09-07 09:02:11:024,383097,383097,0,0,15694436,0,3308 95,3,2024-09-07 09:02:11:722,1,303,8,0,718,4992,303,0 96,0,2024-09-07 09:02:11:080,79186,0.4,79318,0.5,158117,0.3,209494,1.75 96,1,2024-09-07 09:02:11:591,533075,533075,0,0,248796535583,2599189261,528829,3463,783,384,391955,0 96,2,2024-09-07 09:02:11:269,383231,383231,0,0,15926413,0,4042 96,3,2024-09-07 09:02:11:143,1,303,1,0,411,3291,303,0 97,0,2024-09-07 09:02:11:352,71959,0.3,71635,0.5,143921,0.3,191335,1.75 97,1,2024-09-07 09:02:10:808,535320,535320,0,0,249211959314,2586588335,531534,3120,666,367,392140,0 97,2,2024-09-07 09:02:10:616,384091,384091,0,0,15434374,0,3036 97,3,2024-09-07 09:02:10:585,1,303,57,0,214,3731,303,0 98,0,2024-09-07 09:02:11:694,73263,0.3,73178,0.5,146979,0.2,195094,1.50 98,1,2024-09-07 09:02:10:586,534492,534492,0,0,248710938849,2589025424,532091,2299,102,382,391997,0 98,2,2024-09-07 09:02:10:777,383779,383779,0,0,15597911,0,3080 98,3,2024-09-07 09:02:10:714,1,303,10,0,840,5134,303,0 99,0,2024-09-07 09:02:11:460,79632,0.3,80141,0.5,159602,0.3,213078,1.75 99,1,2024-09-07 09:02:11:731,534021,534021,0,0,248922198153,2594934599,529946,3326,749,381,392069,0 99,2,2024-09-07 09:02:11:418,385121,385121,0,0,17041207,0,3424 99,3,2024-09-07 09:02:10:593,1,303,8,0,187,2562,303,0 100,0,2024-09-07 09:02:11:473,78895,0.8,78749,1.0,157872,1.0,211047,2.50 100,1,2024-09-07 09:02:10:559,530327,530327,0,0,247697442538,2621873699,522013,6823,1491,381,391989,0 100,2,2024-09-07 09:02:11:826,380723,380712,11,0,17955395,0,5417 100,3,2024-09-07 09:02:11:731,1,303,19,0,559,5387,303,0 101,0,2024-09-07 09:02:11:745,74321,1.2,72323,1.1,142011,1.0,194792,2.25 101,1,2024-09-07 09:02:10:554,531257,531257,0,0,247461109186,2610797463,522282,6956,2019,368,391771,0 101,2,2024-09-07 09:02:11:782,381762,381762,0,0,20443051,0,4644 101,3,2024-09-07 09:02:10:964,1,303,1,0,448,3692,303,0 102,0,2024-09-07 09:02:10:976,71002,0.6,73498,0.8,148047,0.6,194124,2.00 102,1,2024-09-07 09:02:11:153,531752,531752,0,0,247853695699,2606331768,524655,6068,1029,369,391891,0 102,2,2024-09-07 09:02:11:745,384620,384566,54,0,17578979,0,6768 102,3,2024-09-07 09:02:11:617,1,303,1,0,410,3386,303,0 103,0,2024-09-07 09:02:11:597,80412,0.6,80450,0.7,151638,0.5,209278,2.00 103,1,2024-09-07 09:02:11:645,531123,531123,0,0,247342719182,2612089682,522785,6419,1919,381,391829,0 103,2,2024-09-07 09:02:10:594,382026,382026,0,0,17869136,0,3173 103,3,2024-09-07 09:02:10:767,1,303,16,0,916,3967,303,0 104,0,2024-09-07 09:02:11:084,78310,0.8,78799,1.0,156200,0.8,210374,2.25 104,1,2024-09-07 09:02:11:623,532349,532349,0,0,247405381972,2605982644,523821,6869,1659,365,392168,0 104,2,2024-09-07 09:02:11:681,380200,380200,0,0,18975118,0,3941 104,3,2024-09-07 09:02:11:421,1,303,57,0,1245,6972,303,0 105,0,2024-09-07 09:02:11:111,74267,1.0,72275,1.1,151073,1.2,198674,3.00 105,1,2024-09-07 09:02:10:557,532507,532507,0,0,248005108863,2605230932,524795,6412,1300,366,391797,0 105,2,2024-09-07 09:02:11:328,383536,383536,0,0,18109014,0,3509 105,3,2024-09-07 09:02:11:312,1,303,13,0,399,4840,303,0 106,0,2024-09-07 09:02:10:948,69381,0.8,71069,1.0,145511,0.9,190080,2.50 106,1,2024-09-07 09:02:11:754,531910,531910,0,0,247173630344,2600054001,523355,7551,1004,369,391865,0 106,2,2024-09-07 09:02:10:780,381499,381499,0,0,17883556,0,2795 106,3,2024-09-07 09:02:10:680,1,303,3,0,470,4129,303,0 107,0,2024-09-07 09:02:11:130,75472,0.8,75293,0.9,150741,0.8,201496,2.00 107,1,2024-09-07 09:02:10:594,531306,531306,0,0,247104696749,2604781924,524277,6310,719,381,392234,0 107,2,2024-09-07 09:02:11:293,381427,381426,1,0,18647769,0,5024 107,3,2024-09-07 09:02:11:767,1,303,15,0,353,4218,303,0 108,0,2024-09-07 09:02:11:890,78808,0.4,79147,0.6,157454,0.4,210306,1.75 108,1,2024-09-07 09:02:11:388,532874,532874,0,0,248845674197,2597674447,528270,4092,512,368,391857,0 108,2,2024-09-07 09:02:11:797,381495,381495,0,0,17496445,0,4246 108,3,2024-09-07 09:02:11:346,1,303,0,0,749,6325,303,0 109,0,2024-09-07 09:02:11:838,78289,0.4,77436,0.6,155105,0.3,207255,1.75 109,1,2024-09-07 09:02:10:616,531491,531491,0,0,248387506383,2606376084,526895,3946,650,383,392132,0 109,2,2024-09-07 09:02:10:921,381621,381621,0,0,17129461,0,3617 109,3,2024-09-07 09:02:11:145,1,303,5,0,249,3307,303,0 110,0,2024-09-07 09:02:11:785,72178,0.4,70133,0.6,146883,0.3,192387,1.75 110,1,2024-09-07 09:02:11:655,534735,534735,0,0,248742354503,2582726074,531238,2588,909,369,392045,0 110,2,2024-09-07 09:02:11:306,383456,383456,0,0,17027440,0,4067 110,3,2024-09-07 09:02:10:698,1,303,38,0,406,3824,303,0 111,0,2024-09-07 09:02:11:418,73957,0.4,73196,0.5,146640,0.3,196971,1.75 111,1,2024-09-07 09:02:11:000,534502,534502,0,0,249697152433,2591748725,531826,2333,343,382,391690,0 111,2,2024-09-07 09:02:11:125,382677,382677,0,0,15785469,0,2763 111,3,2024-09-07 09:02:10:917,1,303,20,0,379,3921,303,0 112,0,2024-09-07 09:02:10:912,80701,0.3,80043,0.4,160608,0.2,213258,1.50 112,1,2024-09-07 09:02:10:829,534730,534730,0,0,248676552906,2583214366,531295,2851,584,380,391624,0 112,2,2024-09-07 09:02:11:135,383538,383537,1,0,15549228,0,5036 112,3,2024-09-07 09:02:10:592,1,303,0,0,282,3202,303,0 113,0,2024-09-07 09:02:10:890,78700,0.3,78768,0.5,158022,0.2,210707,1.50 113,1,2024-09-07 09:02:11:691,536144,536144,0,0,250461500557,2587334306,532871,2689,584,366,391661,0 113,2,2024-09-07 09:02:11:305,384456,384456,0,0,13827887,0,3813 113,3,2024-09-07 09:02:10:689,1,303,6,0,340,3893,303,0 114,0,2024-09-07 09:02:10:888,72782,0.4,73231,0.5,145472,0.2,194301,1.75 114,1,2024-09-07 09:02:10:715,533889,533889,0,0,248632499806,2588655456,528772,3525,1592,381,391556,0 114,2,2024-09-07 09:02:10:899,383981,383980,1,0,15459579,0,5069 114,3,2024-09-07 09:02:11:281,1,303,19,0,395,2899,303,0 115,0,2024-09-07 09:02:10:561,73014,0.3,73391,0.4,146700,0.2,195700,1.50 115,1,2024-09-07 09:02:10:576,533981,533981,0,0,248835317488,2587694562,529227,3914,840,382,391656,0 115,2,2024-09-07 09:02:11:138,385262,385262,0,0,14510206,0,3453 115,3,2024-09-07 09:02:11:005,1,303,12,0,159,1830,303,0 116,0,2024-09-07 09:02:11:723,78353,0.7,78180,0.8,156914,0.7,209544,2.00 116,1,2024-09-07 09:02:10:821,531368,531368,0,0,247412432726,2614435049,524237,4968,2163,380,391782,0 116,2,2024-09-07 09:02:11:775,382497,382497,0,0,19613895,0,3529 116,3,2024-09-07 09:02:10:918,1,303,7,0,415,3972,303,0 117,0,2024-09-07 09:02:11:000,79257,0.8,78897,0.9,157819,1.0,211447,2.00 117,1,2024-09-07 09:02:11:614,532189,532189,0,0,247631443453,2595483205,526278,5316,595,370,392033,0 117,2,2024-09-07 09:02:11:128,383855,383855,0,0,15900722,0,3700 117,3,2024-09-07 09:02:11:092,1,303,7,0,490,4679,303,0 118,0,2024-09-07 09:02:11:791,71712,0.5,73750,0.7,150095,0.5,196097,2.00 118,1,2024-09-07 09:02:10:647,531748,531748,0,0,246926369937,2599772363,523775,6131,1842,366,391736,0 118,2,2024-09-07 09:02:11:587,383199,383199,0,0,17401792,0,2781 118,3,2024-09-07 09:02:11:769,1,303,3,0,235,3429,303,0 119,0,2024-09-07 09:02:11:343,72117,0.7,72514,0.8,145228,0.8,193383,2.25 119,1,2024-09-07 09:02:10:550,533401,533401,0,0,248771346047,2601688637,527608,5124,669,367,391780,0 119,2,2024-09-07 09:02:11:263,384293,384293,0,0,16602708,0,4174 119,3,2024-09-07 09:02:11:329,1,303,21,0,563,4655,303,0 120,0,2024-09-07 09:02:11:554,76323,0.6,76267,0.8,152607,0.5,204673,2.25 120,1,2024-09-07 09:02:10:866,532663,532663,0,0,247922345626,2604504897,526760,5481,422,368,391961,0 120,2,2024-09-07 09:02:10:777,382285,382284,1,0,19529258,0,5281 120,3,2024-09-07 09:02:11:303,1,303,2,0,241,3896,303,0 121,0,2024-09-07 09:02:11:695,78778,1.2,78803,1.1,158294,1.6,210277,2.25 121,1,2024-09-07 09:02:11:698,533009,533009,0,0,248570445281,2602426073,527575,5003,431,367,391840,0 121,2,2024-09-07 09:02:11:137,380822,380822,0,0,18952493,0,4127 121,3,2024-09-07 09:02:10:739,1,303,7,0,269,3632,303,0 122,0,2024-09-07 09:02:11:826,76451,0.8,74449,0.9,156079,0.9,204589,2.00 122,1,2024-09-07 09:02:10:870,531856,531856,0,0,248034293875,2605253365,524223,6500,1133,366,392130,0 122,2,2024-09-07 09:02:11:326,383295,383222,73,0,21029699,0,5989 122,3,2024-09-07 09:02:10:601,1,303,1,0,411,5834,303,0 123,0,2024-09-07 09:02:10:959,71870,0.8,70301,0.8,146595,0.9,191576,2.25 123,1,2024-09-07 09:02:10:559,532028,532028,0,0,247948124659,2615968932,522007,8080,1941,369,392039,0 123,2,2024-09-07 09:02:11:023,381136,381135,1,0,17786126,0,5215 123,3,2024-09-07 09:02:11:135,1,303,11,0,168,3627,303,0 124,0,2024-09-07 09:02:10:949,76540,0.4,76507,0.5,144247,0.3,198442,1.75 124,1,2024-09-07 09:02:11:033,534286,534286,0,0,248373445780,2587780322,529882,3498,906,367,392178,0 124,2,2024-09-07 09:02:11:014,383815,383815,0,0,15347904,0,3101 124,3,2024-09-07 09:02:10:769,1,303,15,0,490,3318,303,0 125,0,2024-09-07 09:02:11:448,79445,0.4,79066,0.6,159064,0.4,211366,1.75 125,1,2024-09-07 09:02:10:856,532580,532580,0,0,248934974251,2599339152,528580,3550,450,383,391702,0 125,2,2024-09-07 09:02:11:125,383372,383372,0,0,16540607,0,4534 125,3,2024-09-07 09:02:11:140,1,303,15,0,709,4391,303,0 126,0,2024-09-07 09:02:11:421,78981,0.4,81222,0.6,155224,0.4,210283,1.75 126,1,2024-09-07 09:02:10:550,534758,534758,0,0,249268237640,2583461757,531708,2778,272,365,391987,0 126,2,2024-09-07 09:02:10:620,384529,384529,0,0,16150206,0,3186 126,3,2024-09-07 09:02:10:917,1,303,1,0,207,3990,303,0 127,0,2024-09-07 09:02:11:608,71680,0.3,72080,0.5,143695,0.3,191341,1.75 127,1,2024-09-07 09:02:10:578,534277,534277,0,0,248922086099,2583787789,530044,3719,514,365,391816,0 127,2,2024-09-07 09:02:10:647,383428,383428,0,0,14739302,0,2264 127,3,2024-09-07 09:02:11:267,1,303,13,0,968,3783,303,0 128,0,2024-09-07 09:02:11:526,73502,0.3,73619,0.5,147038,0.2,195577,1.50 128,1,2024-09-07 09:02:11:608,533468,533468,0,0,248869873067,2588040728,529441,3660,367,367,391798,0 128,2,2024-09-07 09:02:11:384,384619,384619,0,0,14992920,0,2915 128,3,2024-09-07 09:02:10:769,1,303,0,0,1082,5792,303,0 129,0,2024-09-07 09:02:11:003,80158,0.3,79849,0.5,160026,0.3,213504,1.75 129,1,2024-09-07 09:02:10:575,530573,530573,0,0,247879127784,2600304073,525266,4094,1213,379,391835,0 129,2,2024-09-07 09:02:10:707,384184,384184,0,0,15728103,0,4031 129,3,2024-09-07 09:02:10:726,1,303,8,0,469,4337,303,0 130,0,2024-09-07 09:02:11:786,79748,0.5,79321,0.6,159344,0.6,212289,1.75 130,1,2024-09-07 09:02:10:593,533880,533880,0,0,248959083437,2591216892,530686,2955,239,381,391825,0 130,2,2024-09-07 09:02:11:133,383301,383301,0,0,15395167,0,4067 130,3,2024-09-07 09:02:11:297,1,303,1,0,450,3937,303,0 131,0,2024-09-07 09:02:11:923,72700,0.4,73122,0.5,146975,0.3,194563,1.75 131,1,2024-09-07 09:02:11:831,533840,533840,0,0,248456881445,2596725061,529417,3680,743,381,391865,0 131,2,2024-09-07 09:02:10:575,385995,385995,0,0,14228727,0,2415 131,3,2024-09-07 09:02:11:698,1,303,13,0,392,3298,303,0 132,0,2024-09-07 09:02:11:416,72673,0.5,73499,0.6,146499,0.4,194708,1.75 132,1,2024-09-07 09:02:10:580,531161,531161,0,0,248229659477,2619019825,522820,6878,1463,381,392097,0 132,2,2024-09-07 09:02:10:705,383361,383361,0,0,18975344,0,4606 132,3,2024-09-07 09:02:11:695,1,303,366,0,427,4941,303,0 133,0,2024-09-07 09:02:11:544,76181,0.4,77810,0.6,159720,0.4,207863,1.75 133,1,2024-09-07 09:02:10:594,531515,531515,0,0,247171980406,2609641782,523632,6801,1082,383,391914,0 133,2,2024-09-07 09:02:11:131,382082,382082,0,0,19995639,0,4315 133,3,2024-09-07 09:02:11:304,1,303,17,0,479,3344,303,0 134,0,2024-09-07 09:02:10:948,79365,0.5,79335,0.7,158545,0.5,211459,2.00 134,1,2024-09-07 09:02:10:822,531874,531874,0,0,247226066296,2597650245,524695,5702,1477,366,391718,0 134,2,2024-09-07 09:02:11:768,381159,381159,0,0,16717967,0,3847 134,3,2024-09-07 09:02:10:749,1,303,1,0,739,4204,303,0 135,0,2024-09-07 09:02:11:101,72524,0.7,72605,0.9,153878,0.8,198356,2.00 135,1,2024-09-07 09:02:11:592,531809,531809,0,0,248469968476,2618442627,524032,6584,1193,380,391805,0 135,2,2024-09-07 09:02:10:690,384410,384410,0,0,17951604,0,3981 135,3,2024-09-07 09:02:11:011,1,303,10,0,299,2328,303,0 136,0,2024-09-07 09:02:11:621,72296,0.6,72293,0.7,144102,0.5,192014,2.25 136,1,2024-09-07 09:02:11:452,532263,532263,0,0,247848485334,2606790540,525610,5967,686,382,391685,0 136,2,2024-09-07 09:02:11:141,383582,383582,0,0,17870327,0,3506 136,3,2024-09-07 09:02:11:115,1,303,16,0,301,2952,303,0 137,0,2024-09-07 09:02:10:925,77805,0.7,75715,0.8,148719,0.7,202712,2.00 137,1,2024-09-07 09:02:10:576,531744,531744,0,0,247616964539,2602505739,522904,7038,1802,366,391708,0 137,2,2024-09-07 09:02:11:720,382111,382111,0,0,19777132,0,3185 137,3,2024-09-07 09:02:10:770,1,303,1,0,382,3702,303,0 138,0,2024-09-07 09:02:11:770,78258,1.5,78447,1.1,157387,2.1,209179,2.50 138,1,2024-09-07 09:02:11:714,531882,531882,0,0,249010198683,2618169140,523214,7090,1578,368,391954,0 138,2,2024-09-07 09:02:10:599,382368,382368,0,0,18179219,0,4988 138,3,2024-09-07 09:02:10:616,1,303,1,0,1160,4306,303,0 139,0,2024-09-07 09:02:11:375,76695,1.4,77095,1.1,154293,2.1,205465,2.25 139,1,2024-09-07 09:02:10:577,530004,530004,0,0,246389320795,2620040834,519829,7655,2520,381,391892,0 139,2,2024-09-07 09:02:10:698,381148,381148,0,0,19129126,0,3097 139,3,2024-09-07 09:02:11:667,1,303,8,0,257,3409,303,0 140,0,2024-09-07 09:02:11:606,72445,0.3,71978,0.5,144670,0.2,192685,1.75 140,1,2024-09-07 09:02:11:539,535167,535167,0,0,249433833426,2574849589,532142,2587,438,365,391606,0 140,2,2024-09-07 09:02:10:686,384049,384049,0,0,15022165,0,3388 140,3,2024-09-07 09:02:10:768,1,303,1,0,247,2563,303,0 141,0,2024-09-07 09:02:11:708,73587,0.3,75667,0.5,144673,0.3,196891,1.75 141,1,2024-09-07 09:02:10:863,535147,535147,0,0,249107947043,2587711677,531576,3112,459,379,391614,0 141,2,2024-09-07 09:02:11:687,383254,383254,0,0,15395745,0,3360 141,3,2024-09-07 09:02:11:051,1,303,1,0,391,3133,303,0 142,0,2024-09-07 09:02:11:323,80793,0.4,80036,0.5,159802,0.3,213484,1.75 142,1,2024-09-07 09:02:10:824,534015,534015,0,0,248337951467,2592302571,530284,3382,349,382,392102,0 142,2,2024-09-07 09:02:11:300,383200,383168,32,0,16988544,0,6028 142,3,2024-09-07 09:02:11:763,1,303,2,0,484,3960,303,0 143,0,2024-09-07 09:02:11:376,79018,0.5,79011,0.6,158205,0.5,210814,1.75 143,1,2024-09-07 09:02:10:559,534503,534503,0,0,248108995986,2576285603,530947,3411,145,367,391705,0 143,2,2024-09-07 09:02:10:769,383097,383097,0,0,15545302,0,2750 143,3,2024-09-07 09:02:11:143,1,303,10,0,303,3756,303,0 144,0,2024-09-07 09:02:11:506,70079,0.6,72072,0.8,146657,0.5,192491,2.00 144,1,2024-09-07 09:02:10:627,531670,531670,0,0,247612223832,2600290407,526834,3898,938,381,391649,0 144,2,2024-09-07 09:02:11:774,384261,384261,0,0,14910111,0,3473 144,3,2024-09-07 09:02:11:754,1,303,1,0,249,3292,303,0 145,0,2024-09-07 09:02:11:380,70431,0.6,70425,0.8,149501,0.5,192921,2.25 145,1,2024-09-07 09:02:10:560,531226,531226,0,0,247720785672,2607360240,524508,5718,1000,382,391698,0 145,2,2024-09-07 09:02:11:434,382998,382998,0,0,18298699,0,3903 145,3,2024-09-07 09:02:10:900,1,303,1,0,622,4790,303,0 146,0,2024-09-07 09:02:11:607,78617,0.5,77958,0.7,157189,0.5,208811,2.00 146,1,2024-09-07 09:02:11:606,532705,532705,0,0,248150227033,2611562607,523841,6967,1897,368,391770,0 146,2,2024-09-07 09:02:11:701,382898,382898,0,0,17859750,0,2730 146,3,2024-09-07 09:02:11:281,1,303,1,0,1520,6664,303,0 147,0,2024-09-07 09:02:11:717,79206,0.6,78984,0.8,157236,0.6,211014,2.25 147,1,2024-09-07 09:02:11:374,533846,533846,0,0,249075113703,2593714249,528772,4281,793,368,391791,0 147,2,2024-09-07 09:02:11:018,382541,382541,0,0,15106992,0,2789 147,3,2024-09-07 09:02:10:921,1,303,8,0,730,4596,303,0 0,0,2024-09-07 09:02:21:778,74830,0.6,74845,0.7,158773,0.6,205709,2.00 0,1,2024-09-07 09:02:20:828,534744,534744,0,0,249465464100,2612103976,530653,3850,241,370,391896,0 0,2,2024-09-07 09:02:21:075,384649,384649,0,0,15916538,0,4480 0,3,2024-09-07 09:02:20:974,1,304,1,0,319,3867,304,0 1,0,2024-09-07 09:02:21:847,79232,0.9,78725,1.0,158273,1.1,211218,2.00 1,1,2024-09-07 09:02:20:573,533934,533934,0,0,248468597450,2605646105,528655,4074,1205,370,391857,0 1,2,2024-09-07 09:02:20:654,382001,382001,0,0,15334773,0,3267 1,3,2024-09-07 09:02:21:306,1,304,1,0,262,3512,304,0 2,0,2024-09-07 09:02:21:574,76702,0.7,77084,0.8,152744,0.8,204451,2.00 2,1,2024-09-07 09:02:20:876,535655,535655,0,0,249350735022,2592830601,532761,2601,293,380,391745,0 2,2,2024-09-07 09:02:21:274,385481,385481,0,0,15403499,0,3594 2,3,2024-09-07 09:02:20:711,1,304,1,0,357,3112,304,0 3,0,2024-09-07 09:02:21:752,72003,0.4,72125,0.6,144044,0.4,191114,2.00 3,1,2024-09-07 09:02:21:618,534490,534490,0,0,249217039075,2599879576,529406,4461,623,380,391591,0 3,2,2024-09-07 09:02:21:154,384887,384864,23,0,15743494,0,5851 3,3,2024-09-07 09:02:21:755,1,304,8,0,103,1848,304,0 4,0,2024-09-07 09:02:21:798,72364,0.4,74511,0.5,151196,0.3,198495,1.75 4,1,2024-09-07 09:02:20:650,533467,533467,0,0,248211522971,2613496997,527117,5283,1067,371,391992,0 4,2,2024-09-07 09:02:21:029,382340,382340,0,0,18445261,0,4528 4,3,2024-09-07 09:02:21:044,1,304,10,0,448,4387,304,0 5,0,2024-09-07 09:02:21:384,79367,0.5,79576,0.6,158647,0.5,211007,1.75 5,1,2024-09-07 09:02:20:773,533834,533834,0,0,248785710696,2619887958,526820,5659,1355,368,392005,0 5,2,2024-09-07 09:02:21:858,382742,382742,0,0,17329446,0,2432 5,3,2024-09-07 09:02:21:742,1,304,3,0,457,4592,304,0 6,0,2024-09-07 09:02:20:924,79511,0.5,78916,0.7,157736,0.4,210636,2.00 6,1,2024-09-07 09:02:20:750,533782,533782,0,0,248314335016,2597758874,527805,4921,1056,379,391694,0 6,2,2024-09-07 09:02:21:128,384338,384338,0,0,16343441,0,4816 6,3,2024-09-07 09:02:21:276,1,304,1,0,340,3494,304,0 7,0,2024-09-07 09:02:21:538,71798,0.5,72049,0.6,143627,0.4,191353,2.00 7,1,2024-09-07 09:02:20:850,533198,533198,0,0,248850990716,2617369540,525483,6542,1173,382,391747,0 7,2,2024-09-07 09:02:20:787,384526,384526,0,0,16390226,0,4791 7,3,2024-09-07 09:02:20:857,1,304,153,0,398,3686,304,0 8,0,2024-09-07 09:02:21:358,73590,0.4,73368,0.5,146856,0.3,195969,1.75 8,1,2024-09-07 09:02:21:022,532784,532784,0,0,248612918015,2622307480,523229,7343,2212,366,392144,0 8,2,2024-09-07 09:02:20:794,380782,380782,0,0,20084725,0,3220 8,3,2024-09-07 09:02:20:608,1,304,250,0,538,5508,304,0 9,0,2024-09-07 09:02:21:134,80376,0.4,78108,0.5,163343,0.4,214555,1.75 9,1,2024-09-07 09:02:20:576,533359,533359,0,0,249724236244,2632523022,525654,6035,1670,369,392001,0 9,2,2024-09-07 09:02:21:085,384146,384146,0,0,18011415,0,3360 9,3,2024-09-07 09:02:21:767,1,304,21,0,496,4529,304,0 10,0,2024-09-07 09:02:21:609,79677,0.3,79066,0.5,158872,0.3,211931,1.75 10,1,2024-09-07 09:02:20:587,533843,533843,0,0,249454529867,2615121167,526561,6294,988,381,391741,0 10,2,2024-09-07 09:02:20:765,383266,383266,0,0,19108947,0,4264 10,3,2024-09-07 09:02:20:877,1,304,53,0,296,2838,304,0 11,0,2024-09-07 09:02:21:012,72557,0.4,70437,0.6,147354,0.4,194911,1.75 11,1,2024-09-07 09:02:20:608,534264,534264,0,0,249304919443,2622823637,524657,7193,2414,383,391664,0 11,2,2024-09-07 09:02:21:130,384605,384605,0,0,17545019,0,4130 11,3,2024-09-07 09:02:21:306,1,304,8,0,843,5044,304,0 12,0,2024-09-07 09:02:21:028,73518,0.3,73496,0.5,146962,0.3,195308,1.75 12,1,2024-09-07 09:02:20:954,534701,534701,0,0,248890274595,2598748982,530022,4194,485,370,391870,0 12,2,2024-09-07 09:02:21:564,385485,385485,0,0,17358594,0,3469 12,3,2024-09-07 09:02:21:070,1,304,12,0,386,5076,304,0 13,0,2024-09-07 09:02:21:349,78905,0.4,78608,0.5,157281,0.4,209614,1.75 13,1,2024-09-07 09:02:21:559,534015,534015,0,0,248745749658,2616018409,529143,3570,1302,382,391740,0 13,2,2024-09-07 09:02:21:066,385584,385584,0,0,16065133,0,3287 13,3,2024-09-07 09:02:21:766,1,304,41,0,522,5211,304,0 14,0,2024-09-07 09:02:20:587,79665,0.4,80259,0.6,158742,0.4,211714,1.75 14,1,2024-09-07 09:02:21:566,537488,537488,0,0,250233452556,2593177031,533575,3633,280,364,391571,0 14,2,2024-09-07 09:02:20:771,382379,382379,0,0,15730721,0,2896 14,3,2024-09-07 09:02:21:127,1,304,2,0,1168,4009,304,0 15,0,2024-09-07 09:02:21:553,75030,0.4,75194,0.7,150153,0.4,199590,2.00 15,1,2024-09-07 09:02:21:614,535550,535550,0,0,249102066495,2590470909,532631,2740,179,381,391619,0 15,2,2024-09-07 09:02:21:001,386936,386936,0,0,13588236,0,3043 15,3,2024-09-07 09:02:21:407,1,304,7,0,1126,5733,304,0 16,0,2024-09-07 09:02:21:044,72182,0.6,72398,0.8,144223,0.7,192031,2.25 16,1,2024-09-07 09:02:20:588,535285,535285,0,0,249097987703,2604822134,531011,3915,359,370,391756,0 16,2,2024-09-07 09:02:21:444,384766,384766,0,0,16743929,0,4719 16,3,2024-09-07 09:02:21:157,1,304,1,0,317,4524,304,0 17,0,2024-09-07 09:02:22:135,78185,0.8,76358,0.9,149429,0.8,203654,2.00 17,1,2024-09-07 09:02:20:584,534221,534221,0,0,248358924753,2611271878,528122,4843,1256,368,391899,0 17,2,2024-09-07 09:02:21:688,386195,386195,0,0,16702799,0,2857 17,3,2024-09-07 09:02:20:585,1,304,4,0,268,4789,304,0 18,0,2024-09-07 09:02:20:939,78027,0.7,78445,0.8,156372,0.7,208547,2.25 18,1,2024-09-07 09:02:21:648,535683,535683,0,0,249953911319,2593232974,532453,2920,310,367,391649,0 18,2,2024-09-07 09:02:21:763,384884,384884,0,0,15394453,0,3541 18,3,2024-09-07 09:02:20:904,1,304,43,0,163,2778,304,0 19,0,2024-09-07 09:02:21:543,77280,0.6,77889,0.8,154440,0.6,205487,2.25 19,1,2024-09-07 09:02:20:580,535609,535609,0,0,249489990920,2593264093,530499,4272,838,367,391777,0 19,2,2024-09-07 09:02:21:769,386542,386542,0,0,13986362,0,3988 19,3,2024-09-07 09:02:21:130,1,304,8,0,524,2340,304,0 20,0,2024-09-07 09:02:21:387,72169,0.6,72177,0.7,144440,0.6,192694,2.25 20,1,2024-09-07 09:02:20:587,533996,533996,0,0,248745576114,2601400968,529833,3759,404,369,391886,0 20,2,2024-09-07 09:02:20:928,384823,384823,0,0,16426531,0,3721 20,3,2024-09-07 09:02:20:594,1,304,5,0,414,5028,304,0 21,0,2024-09-07 09:02:21:154,74122,0.6,74153,0.7,148135,0.5,196870,2.00 21,1,2024-09-07 09:02:21:544,532780,532780,0,0,248313857838,2620334077,525035,5869,1876,368,392016,0 21,2,2024-09-07 09:02:21:085,382585,382585,0,0,19098267,0,3747 21,3,2024-09-07 09:02:21:405,1,304,8,0,103,3165,304,0 22,0,2024-09-07 09:02:21:725,79792,0.6,80074,0.8,160136,0.6,211852,2.25 22,1,2024-09-07 09:02:21:023,534122,534122,0,0,248186422274,2611822320,526299,6156,1667,382,391667,0 22,2,2024-09-07 09:02:20:783,383811,383811,0,0,16354948,0,3134 22,3,2024-09-07 09:02:21:065,1,304,1,0,228,2732,304,0 23,0,2024-09-07 09:02:21:381,78757,0.6,78584,0.7,157536,0.6,210178,2.25 23,1,2024-09-07 09:02:21:015,533677,533677,0,0,248943465150,2618886140,523961,6641,3075,365,391690,0 23,2,2024-09-07 09:02:21:099,384429,384429,0,0,15540310,0,3010 23,3,2024-09-07 09:02:21:763,1,304,10,0,645,3682,304,0 24,0,2024-09-07 09:02:20:949,73070,0.4,72857,0.6,146421,0.4,194161,1.75 24,1,2024-09-07 09:02:20:611,533539,533539,0,0,247863676175,2602977434,527051,4965,1523,368,391987,0 24,2,2024-09-07 09:02:21:075,383436,383436,0,0,18993746,0,3607 24,3,2024-09-07 09:02:21:731,1,304,30,0,468,4258,304,0 25,0,2024-09-07 09:02:21:410,75356,0.4,73470,0.6,144116,0.4,196834,2.00 25,1,2024-09-07 09:02:20:599,534727,534727,0,0,249129305645,2611561434,528688,5217,822,371,391928,0 25,2,2024-09-07 09:02:21:615,384297,384297,0,0,19374163,0,3978 25,3,2024-09-07 09:02:21:000,1,304,2,0,255,3197,304,0 26,0,2024-09-07 09:02:21:722,78620,0.4,76779,0.5,161101,0.3,209815,1.75 26,1,2024-09-07 09:02:21:547,535028,535028,0,0,248512578483,2607273103,526974,6784,1270,380,391748,0 26,2,2024-09-07 09:02:20:865,384758,384758,0,0,19316553,0,2809 26,3,2024-09-07 09:02:21:717,1,304,1,0,796,4056,304,0 27,0,2024-09-07 09:02:21:726,79755,0.5,79892,0.6,158032,0.4,211653,2.00 27,1,2024-09-07 09:02:21:676,536454,536454,0,0,249705333188,2602016242,531524,4169,761,381,391626,0 27,2,2024-09-07 09:02:20:870,380404,380339,65,0,18868324,0,5699 27,3,2024-09-07 09:02:21:020,1,304,8,0,564,3247,304,0 28,0,2024-09-07 09:02:21:422,74221,0.4,73976,0.6,148290,0.4,197657,2.00 28,1,2024-09-07 09:02:20:817,535753,535753,0,0,250123753442,2608021751,531672,3406,675,383,391646,0 28,2,2024-09-07 09:02:21:772,385142,385142,0,0,15713799,0,2915 28,3,2024-09-07 09:02:21:777,1,304,7,0,502,3057,304,0 29,0,2024-09-07 09:02:21:410,74880,0.4,73035,0.6,142889,0.3,195471,1.75 29,1,2024-09-07 09:02:21:564,536721,536721,0,0,249970687777,2589516530,532969,3169,583,369,391753,0 29,2,2024-09-07 09:02:20:865,384361,384361,0,0,15415026,0,4233 29,3,2024-09-07 09:02:20:963,1,304,1,0,374,3097,304,0 30,0,2024-09-07 09:02:21:482,76916,0.5,74800,0.7,156457,0.4,205268,2.00 30,1,2024-09-07 09:02:20:574,536769,536769,0,0,250127453914,2598928303,532681,3482,606,382,391672,0 30,2,2024-09-07 09:02:21:280,384514,384514,0,0,14980643,0,3161 30,3,2024-09-07 09:02:20:585,1,304,88,0,519,2953,304,0 31,0,2024-09-07 09:02:21:762,78785,0.5,79119,0.6,158313,0.4,210805,2.00 31,1,2024-09-07 09:02:20:575,538756,538756,0,0,250769747313,2573121266,536668,1659,429,356,391712,0 31,2,2024-09-07 09:02:21:277,382915,382915,0,0,16476297,0,3525 31,3,2024-09-07 09:02:21:706,1,304,9,0,220,2381,304,0 32,0,2024-09-07 09:02:21:428,76700,0.3,77061,0.5,154077,0.3,204800,1.75 32,1,2024-09-07 09:02:20:805,536091,536091,0,0,250293810412,2601339152,533032,2614,445,381,391646,0 32,2,2024-09-07 09:02:20:944,385624,385624,0,0,14394057,0,3155 32,3,2024-09-07 09:02:21:015,1,304,1,0,227,2338,304,0 33,0,2024-09-07 09:02:21:517,72437,0.3,71890,0.5,144440,0.2,191981,1.75 33,1,2024-09-07 09:02:20:587,536033,536033,0,0,250522600423,2597036511,531656,3547,830,369,391730,0 33,2,2024-09-07 09:02:20:772,385467,385432,35,0,16402839,0,7012 33,3,2024-09-07 09:02:20:904,1,304,3,0,329,3342,304,0 34,0,2024-09-07 09:02:20:945,74720,0.3,76931,0.5,147179,0.2,197872,1.75 34,1,2024-09-07 09:02:21:044,537732,537732,0,0,250557674083,2577785450,536345,1380,7,367,391562,0 34,2,2024-09-07 09:02:20:780,384448,384448,0,0,15390737,0,3577 34,3,2024-09-07 09:02:21:693,1,304,23,0,299,2372,304,0 35,0,2024-09-07 09:02:20:863,78571,0.4,79033,0.5,159032,0.3,211545,1.75 35,1,2024-09-07 09:02:21:070,535903,535903,0,0,249351096342,2587619797,532303,2820,780,382,391589,0 35,2,2024-09-07 09:02:21:590,384317,384317,0,0,15685927,0,2653 35,3,2024-09-07 09:02:20:914,1,304,9,0,418,4049,304,0 36,0,2024-09-07 09:02:21:550,79279,0.5,79310,0.7,158641,0.4,210331,2.25 36,1,2024-09-07 09:02:20:589,535381,535381,0,0,249218785170,2607714673,528381,5345,1655,366,391759,0 36,2,2024-09-07 09:02:21:751,384515,384515,0,0,17310929,0,3875 36,3,2024-09-07 09:02:20:870,1,304,334,0,416,5135,304,0 37,0,2024-09-07 09:02:21:383,71689,0.5,71663,0.7,143421,0.5,192241,2.00 37,1,2024-09-07 09:02:20:604,534073,534066,0,7,248788345942,2603696448,527583,4411,2072,365,391560,0 37,2,2024-09-07 09:02:21:144,383534,383519,15,0,16987019,0,5815 37,3,2024-09-07 09:02:21:782,1,304,3,0,888,5359,304,0 38,0,2024-09-07 09:02:21:457,72872,0.5,70785,0.7,148139,0.4,193854,2.00 38,1,2024-09-07 09:02:21:609,536374,536374,0,0,250283298768,2608268063,530830,4867,677,368,391821,0 38,2,2024-09-07 09:02:20:765,383889,383842,47,0,18103770,0,6710 38,3,2024-09-07 09:02:21:000,1,304,1,0,689,4206,304,0 39,0,2024-09-07 09:02:21:772,81624,0.6,80187,0.8,155538,0.6,213421,2.00 39,1,2024-09-07 09:02:20:717,534748,534748,0,0,248943221634,2608752418,526535,6753,1460,365,391594,0 39,2,2024-09-07 09:02:21:424,384543,384543,0,0,16078027,0,2689 39,3,2024-09-07 09:02:20:722,1,304,11,0,324,3905,304,0 40,0,2024-09-07 09:02:21:504,78703,0.8,79362,1.0,158635,0.8,211541,2.75 40,1,2024-09-07 09:02:20:587,535318,535318,0,0,249124199620,2611387497,527162,6702,1454,368,391668,0 40,2,2024-09-07 09:02:21:312,382693,382692,1,0,18937218,0,5137 40,3,2024-09-07 09:02:21:144,1,304,1,0,398,3646,304,0 41,0,2024-09-07 09:02:21:032,72327,1.6,74177,1.3,141566,2.6,192837,3.25 41,1,2024-09-07 09:02:20:798,534520,534520,0,0,249062222521,2606842727,528131,5749,640,370,391742,0 41,2,2024-09-07 09:02:20:772,383481,383481,0,0,18170337,0,3356 41,3,2024-09-07 09:02:21:685,1,304,1,0,366,3187,304,0 42,0,2024-09-07 09:02:21:477,72457,0.7,72474,0.9,145258,0.7,191889,2.50 42,1,2024-09-07 09:02:21:446,533693,533693,0,0,248337044871,2611121868,525249,6881,1563,380,391675,0 42,2,2024-09-07 09:02:21:134,385142,385142,0,0,18102450,0,3568 42,3,2024-09-07 09:02:21:009,1,304,2,0,446,3006,304,0 43,0,2024-09-07 09:02:20:922,77836,0.8,75992,1.0,158986,0.9,208680,2.25 43,1,2024-09-07 09:02:20:581,535129,535129,0,0,249655856930,2610778668,527552,6211,1366,366,391696,0 43,2,2024-09-07 09:02:21:744,384650,384650,0,0,18337333,0,3812 43,3,2024-09-07 09:02:21:756,1,304,8,0,467,3765,304,0 44,0,2024-09-07 09:02:20:869,79402,0.5,79868,0.6,159283,0.4,211814,1.75 44,1,2024-09-07 09:02:20:565,536579,536579,0,0,249192763416,2578427881,532261,3373,945,356,391809,0 44,2,2024-09-07 09:02:21:307,381837,381837,0,0,15071229,0,4344 44,3,2024-09-07 09:02:21:094,1,304,1,0,817,4007,304,0 45,0,2024-09-07 09:02:21:787,74090,0.5,72315,0.8,151758,0.5,199421,2.00 45,1,2024-09-07 09:02:21:015,535834,535834,0,0,249990119140,2595231365,532923,2650,261,382,391917,0 45,2,2024-09-07 09:02:21:284,385785,385785,0,0,15211853,0,3596 45,3,2024-09-07 09:02:20:942,1,304,11,0,271,3068,304,0 46,0,2024-09-07 09:02:20:954,71578,0.5,71634,0.7,143450,0.4,190065,2.00 46,1,2024-09-07 09:02:20:578,537389,537389,0,0,250528533600,2587402997,534305,2728,356,366,391539,0 46,2,2024-09-07 09:02:20:602,385509,385509,0,0,14872571,0,2920 46,3,2024-09-07 09:02:21:132,1,304,1,0,908,4418,304,0 47,0,2024-09-07 09:02:21:114,76360,0.5,76517,0.6,153105,0.4,203196,1.75 47,1,2024-09-07 09:02:20:578,537115,537115,0,0,250041762195,2583981347,534039,2717,359,366,391641,0 47,2,2024-09-07 09:02:20:914,385933,385933,0,0,15227613,0,4477 47,3,2024-09-07 09:02:21:118,1,304,8,0,529,3415,304,0 48,0,2024-09-07 09:02:21:501,79763,0.3,79183,0.4,157952,0.2,210654,1.50 48,1,2024-09-07 09:02:21:023,536096,536096,0,0,250003282199,2598954359,532561,3234,301,384,391710,0 48,2,2024-09-07 09:02:20:719,383648,383648,0,0,14239615,0,3031 48,3,2024-09-07 09:02:20:754,1,304,1,0,339,2697,304,0 49,0,2024-09-07 09:02:21:718,79865,0.3,78396,0.5,151994,0.3,207826,1.75 49,1,2024-09-07 09:02:21:182,535092,535092,0,0,249587447070,2602345513,530798,3110,1184,382,391809,0 49,2,2024-09-07 09:02:21:806,386166,386166,0,0,15186110,0,4426 49,3,2024-09-07 09:02:21:427,1,304,11,0,408,3313,304,0 50,0,2024-09-07 09:02:21:516,72353,0.3,71665,0.5,144192,0.2,192332,1.75 50,1,2024-09-07 09:02:21:021,537453,537453,0,0,250798206757,2596636437,533653,3426,374,368,391540,0 50,2,2024-09-07 09:02:21:072,384948,384948,0,0,14197630,0,2263 50,3,2024-09-07 09:02:21:292,1,304,1,0,335,2711,304,0 51,0,2024-09-07 09:02:21:706,75909,0.3,74209,0.5,144916,0.2,197701,1.75 51,1,2024-09-07 09:02:21:691,537302,537302,0,0,250413260607,2587117079,534049,2278,975,365,391706,0 51,2,2024-09-07 09:02:21:324,383968,383968,0,0,13970220,0,3337 51,3,2024-09-07 09:02:21:028,1,304,15,0,678,2384,304,0 52,0,2024-09-07 09:02:21:446,80356,0.5,80257,0.7,160454,0.5,213197,2.00 52,1,2024-09-07 09:02:20:578,534913,534913,0,0,248787634368,2610540671,526547,7056,1310,368,391722,0 52,2,2024-09-07 09:02:21:763,381628,381590,38,0,18168568,0,6742 52,3,2024-09-07 09:02:20:675,1,304,17,0,1782,4824,304,0 53,0,2024-09-07 09:02:21:744,78633,0.7,76436,0.8,159635,0.7,209726,2.25 53,1,2024-09-07 09:02:20:777,533409,533409,0,0,248846903835,2616126189,524319,6539,2551,367,391702,0 53,2,2024-09-07 09:02:21:301,384715,384715,0,0,15376191,0,2727 53,3,2024-09-07 09:02:20:714,1,304,2,0,308,2822,304,0 54,0,2024-09-07 09:02:21:622,71439,0.6,71889,0.8,142893,0.4,191444,2.50 54,1,2024-09-07 09:02:20:580,535166,535166,0,0,250108581600,2603014735,529673,4892,601,367,391659,0 54,2,2024-09-07 09:02:20:871,384865,384859,6,0,17470168,0,5382 54,3,2024-09-07 09:02:20:770,1,304,106,0,676,4959,304,0 55,0,2024-09-07 09:02:21:764,70876,0.6,73477,0.8,147762,0.6,193287,2.50 55,1,2024-09-07 09:02:20:782,534801,534801,0,0,248877586140,2593990764,528360,5533,908,365,391731,0 55,2,2024-09-07 09:02:20:730,384197,384197,0,0,17607022,0,3563 55,3,2024-09-07 09:02:20:683,1,304,104,0,304,3349,304,0 56,0,2024-09-07 09:02:21:566,80620,1.0,76026,1.0,156825,1.3,209748,2.50 56,1,2024-09-07 09:02:20:573,532940,532940,0,0,248426348693,2625890161,524856,6534,1550,381,391867,0 56,2,2024-09-07 09:02:21:303,384276,384276,0,0,18329921,0,3567 56,3,2024-09-07 09:02:21:073,1,304,22,0,705,4183,304,0 57,0,2024-09-07 09:02:20:935,78382,1.6,78238,1.3,156766,2.3,210308,3.25 57,1,2024-09-07 09:02:21:013,534531,534531,0,0,248556098582,2606816307,528162,5653,716,366,392032,0 57,2,2024-09-07 09:02:21:316,382883,382883,0,0,19277041,0,3317 57,3,2024-09-07 09:02:21:744,1,304,4,0,359,3704,304,0 58,0,2024-09-07 09:02:20:556,72708,1.0,70768,1.0,148344,1.2,194329,2.50 58,1,2024-09-07 09:02:20:579,535383,535380,0,3,249417741746,2609417421,528083,6335,962,367,391603,3 58,2,2024-09-07 09:02:21:071,384895,384895,0,0,17862233,0,2549 58,3,2024-09-07 09:02:21:070,1,304,1,0,1043,3303,304,0 59,0,2024-09-07 09:02:21:762,72503,0.7,72214,0.9,144276,0.7,191855,2.75 59,1,2024-09-07 09:02:20:805,534934,534934,0,0,249328939916,2610133987,528124,5527,1283,369,391578,0 59,2,2024-09-07 09:02:20:583,386143,386143,0,0,16852650,0,2604 59,3,2024-09-07 09:02:21:743,1,304,8,0,1015,4111,304,0 60,0,2024-09-07 09:02:21:780,77237,0.5,77313,0.6,155116,0.4,206008,1.75 60,1,2024-09-07 09:02:20:784,536773,536773,0,0,250356824266,2596681183,533882,2365,526,370,392031,0 60,2,2024-09-07 09:02:21:154,384604,384604,0,0,17512278,0,3811 60,3,2024-09-07 09:02:21:262,1,304,23,0,175,3171,304,0 61,0,2024-09-07 09:02:21:580,78955,0.7,79445,0.8,157910,0.7,210597,2.00 61,1,2024-09-07 09:02:20:786,534626,534626,0,0,248850893028,2608084442,528700,5012,914,382,391880,0 61,2,2024-09-07 09:02:21:132,383387,383387,0,0,15885913,0,3598 61,3,2024-09-07 09:02:21:721,1,304,1,0,479,4602,304,0 62,0,2024-09-07 09:02:21:746,77233,0.6,78881,0.7,150342,0.6,204484,2.00 62,1,2024-09-07 09:02:21:119,538023,538017,0,6,250580469942,2586385880,535154,2701,162,365,391715,6 62,2,2024-09-07 09:02:21:648,384111,384110,1,0,17020959,0,5555 62,3,2024-09-07 09:02:21:163,1,304,2,0,465,2478,304,0 63,0,2024-09-07 09:02:21:457,72158,0.4,72274,0.6,144580,0.4,191789,1.75 63,1,2024-09-07 09:02:20:805,536297,536291,0,6,249657254245,2590836696,533329,2728,234,381,391677,6 63,2,2024-09-07 09:02:20:763,385005,385005,0,0,15255809,0,4369 63,3,2024-09-07 09:02:21:743,1,304,9,0,667,3392,304,0 64,0,2024-09-07 09:02:21:589,74158,0.5,74145,0.7,148234,0.5,197041,2.00 64,1,2024-09-07 09:02:20:758,535081,535081,0,0,249602128639,2606114323,529462,3963,1656,370,391783,0 64,2,2024-09-07 09:02:21:146,387629,387610,19,0,15400722,0,6121 64,3,2024-09-07 09:02:21:144,1,304,2,0,265,2991,304,0 65,0,2024-09-07 09:02:21:683,78581,0.7,78827,0.8,157397,0.8,209440,2.25 65,1,2024-09-07 09:02:20:866,534325,534325,0,0,248815428667,2603352555,530336,3540,449,382,391901,0 65,2,2024-09-07 09:02:21:705,385037,385037,0,0,17105708,0,3367 65,3,2024-09-07 09:02:21:692,1,304,12,0,163,2831,304,0 66,0,2024-09-07 09:02:21:772,78776,0.5,78701,0.7,157656,0.5,209143,2.25 66,1,2024-09-07 09:02:21:296,535624,535624,0,0,249890669529,2600603814,532122,3183,319,380,391653,0 66,2,2024-09-07 09:02:21:136,386535,386535,0,0,15558622,0,4956 66,3,2024-09-07 09:02:21:092,1,304,0,0,291,3037,304,0 67,0,2024-09-07 09:02:21:414,72514,0.6,71786,0.7,144416,0.5,192374,2.00 67,1,2024-09-07 09:02:20:775,535810,535809,0,1,248817875663,2594894927,531700,3359,750,380,391787,1 67,2,2024-09-07 09:02:20:590,385722,385722,0,0,14646143,0,2889 67,3,2024-09-07 09:02:21:755,1,304,7,0,338,2718,304,0 68,0,2024-09-07 09:02:20:588,73781,0.6,73361,0.8,146470,0.6,195622,2.00 68,1,2024-09-07 09:02:20:579,534595,534595,0,0,248397239933,2605430759,529872,3522,1201,381,391953,0 68,2,2024-09-07 09:02:21:062,382879,382814,65,0,19735450,0,6698 68,3,2024-09-07 09:02:20:729,1,304,19,0,417,3337,304,0 69,0,2024-09-07 09:02:21:730,79733,0.9,80063,0.9,159571,1.1,212576,2.50 69,1,2024-09-07 09:02:21:024,533064,533064,0,0,248348257494,2623676966,525913,5249,1902,384,391994,0 69,2,2024-09-07 09:02:21:744,384324,384324,0,0,19485382,0,3722 69,3,2024-09-07 09:02:20:765,1,304,7,0,698,4447,304,0 70,0,2024-09-07 09:02:21:533,78779,0.9,78775,1.1,158403,0.7,210130,2.50 70,1,2024-09-07 09:02:20:805,536449,536449,0,0,249665844187,2594075001,531959,3954,536,366,391725,0 70,2,2024-09-07 09:02:21:324,382465,382465,0,0,17722361,0,4044 70,3,2024-09-07 09:02:20:748,1,304,63,0,854,3546,304,0 71,0,2024-09-07 09:02:21:355,72391,1.0,72145,1.0,144935,1.2,193566,2.75 71,1,2024-09-07 09:02:21:599,534626,534626,0,0,249898433648,2609994286,528377,5593,656,368,391738,0 71,2,2024-09-07 09:02:21:068,384776,384776,0,0,17658143,0,4042 71,3,2024-09-07 09:02:21:754,1,304,12,0,644,4392,304,0 72,0,2024-09-07 09:02:21:060,75410,0.6,73689,0.8,143772,0.6,195750,2.00 72,1,2024-09-07 09:02:21:039,534321,534321,0,0,249225390104,2610696329,527033,5741,1547,369,391819,0 72,2,2024-09-07 09:02:21:785,384073,384073,0,0,19848590,0,3983 72,3,2024-09-07 09:02:21:759,1,304,15,0,564,4980,304,0 73,0,2024-09-07 09:02:21:119,76520,0.4,78230,0.6,160439,0.3,208560,2.00 73,1,2024-09-07 09:02:20:771,535501,535501,0,0,249312890156,2592120266,531771,3436,294,367,391858,0 73,2,2024-09-07 09:02:21:770,384961,384961,0,0,19091484,0,3701 73,3,2024-09-07 09:02:20:969,1,304,55,0,274,4301,304,0 74,0,2024-09-07 09:02:21:328,79861,0.5,81799,0.7,156251,0.5,211664,2.25 74,1,2024-09-07 09:02:20:644,535202,535202,0,0,248892618404,2599984598,529866,4368,968,381,391681,0 74,2,2024-09-07 09:02:21:002,382113,382113,0,0,18103293,0,4253 74,3,2024-09-07 09:02:21:448,1,304,76,0,522,4017,304,0 75,0,2024-09-07 09:02:21:773,75256,0.5,74918,0.7,150073,0.4,200479,2.25 75,1,2024-09-07 09:02:21:585,534503,534503,0,0,249299013676,2606920480,528670,5027,806,380,391739,0 75,2,2024-09-07 09:02:21:350,384841,384841,0,0,18071368,0,4766 75,3,2024-09-07 09:02:21:068,1,304,8,0,702,4288,304,0 76,0,2024-09-07 09:02:20:583,71681,0.6,71140,0.8,142878,0.6,190902,2.25 76,1,2024-09-07 09:02:20:806,535290,535290,0,0,248721254162,2596105162,531688,3049,553,382,391692,0 76,2,2024-09-07 09:02:21:069,386062,386061,1,0,16899378,0,5144 76,3,2024-09-07 09:02:21:146,1,304,13,0,175,3080,304,0 77,0,2024-09-07 09:02:21:705,76063,0.6,76198,0.8,152323,0.6,203160,2.00 77,1,2024-09-07 09:02:20:837,535548,535548,0,0,248922562900,2600901919,531332,3952,264,381,391869,0 77,2,2024-09-07 09:02:21:283,384592,384592,0,0,16712958,0,3890 77,3,2024-09-07 09:02:21:104,1,304,1,0,401,3576,304,0 78,0,2024-09-07 09:02:21:717,79242,0.5,78839,0.7,158436,0.4,209970,2.00 78,1,2024-09-07 09:02:20:611,535465,535465,0,0,249482352475,2597984240,530339,4132,994,367,391670,0 78,2,2024-09-07 09:02:21:412,384425,384425,0,0,14640387,0,3855 78,3,2024-09-07 09:02:21:133,1,304,1,0,181,2975,304,0 79,0,2024-09-07 09:02:21:355,75354,0.4,77295,0.6,158037,0.4,205276,2.25 79,1,2024-09-07 09:02:20:571,537359,537359,0,0,250250402594,2590582210,533639,3275,445,369,391682,0 79,2,2024-09-07 09:02:21:069,386045,386045,0,0,14908434,0,3212 79,3,2024-09-07 09:02:20:755,1,304,15,0,418,4178,304,0 80,0,2024-09-07 09:02:21:124,72258,0.6,74232,0.7,142334,0.5,192146,2.00 80,1,2024-09-07 09:02:21:622,535360,535360,0,0,249467559479,2597121324,532053,3130,177,368,391791,0 80,2,2024-09-07 09:02:21:122,385938,385938,0,0,15028733,0,4433 80,3,2024-09-07 09:02:20:584,1,304,1,0,190,3817,304,0 81,0,2024-09-07 09:02:21:566,73751,0.6,75651,0.7,144466,0.6,196333,2.00 81,1,2024-09-07 09:02:21:668,534506,534506,0,0,248421401853,2599347596,529954,4073,479,382,391879,0 81,2,2024-09-07 09:02:21:127,383974,383911,63,0,17071794,0,5932 81,3,2024-09-07 09:02:21:120,1,304,8,0,374,3730,304,0 82,0,2024-09-07 09:02:21:549,79709,0.5,80245,0.7,160624,0.5,212722,2.00 82,1,2024-09-07 09:02:20:588,536020,536016,0,4,249809880261,2603992239,532175,3045,796,381,391768,4 82,2,2024-09-07 09:02:21:721,385874,385874,0,0,14744556,0,3986 82,3,2024-09-07 09:02:21:768,1,304,1,0,363,3109,304,0 83,0,2024-09-07 09:02:21:544,79346,0.5,79215,0.7,157547,0.5,210000,2.00 83,1,2024-09-07 09:02:20:581,534939,534939,0,0,248807592525,2597334982,530853,3765,321,382,391709,0 83,2,2024-09-07 09:02:20:764,384274,384274,0,0,15021673,0,3393 83,3,2024-09-07 09:02:20:754,1,304,21,0,1260,4708,304,0 84,0,2024-09-07 09:02:21:773,72076,0.7,71971,0.9,144020,0.6,192820,2.25 84,1,2024-09-07 09:02:21:041,534652,534652,0,0,248551861319,2597674404,529624,4442,586,367,391967,0 84,2,2024-09-07 09:02:20:574,384551,384551,0,0,18289008,0,4757 84,3,2024-09-07 09:02:21:153,1,304,1,0,908,4844,304,0 85,0,2024-09-07 09:02:21:009,70697,0.7,70809,0.9,149981,0.8,193954,2.50 85,1,2024-09-07 09:02:20:578,533555,533555,0,0,248637652652,2627885539,525308,6879,1368,381,392006,0 85,2,2024-09-07 09:02:20:865,385564,385564,0,0,18635315,0,3656 85,3,2024-09-07 09:02:20:704,1,304,106,0,789,4088,304,0 86,0,2024-09-07 09:02:20:892,78844,0.6,81132,0.7,155227,0.6,209514,2.00 86,1,2024-09-07 09:02:20:832,534994,534994,0,0,249249713774,2611278139,528431,5501,1062,366,391961,0 86,2,2024-09-07 09:02:20:858,383466,383465,1,0,19449054,0,5004 86,3,2024-09-07 09:02:20:597,1,304,4,0,286,4080,304,0 87,0,2024-09-07 09:02:21:288,79090,1.0,79089,0.9,158754,1.3,211702,2.25 87,1,2024-09-07 09:02:20:574,533921,533921,0,0,248956065836,2606544015,528136,5184,601,366,392076,0 87,2,2024-09-07 09:02:21:073,381994,381994,0,0,17091261,0,4045 87,3,2024-09-07 09:02:21:804,1,304,189,0,473,4523,304,0 88,0,2024-09-07 09:02:21:445,74032,0.5,74555,0.6,148580,0.4,197344,1.75 88,1,2024-09-07 09:02:20:571,533213,533213,0,0,249183287191,2614864457,525849,5711,1653,365,392084,0 88,2,2024-09-07 09:02:20:705,385441,385441,0,0,18938685,0,3583 88,3,2024-09-07 09:02:21:271,1,304,8,0,435,3540,304,0 89,0,2024-09-07 09:02:21:790,74988,0.5,72543,0.7,143911,0.4,195372,1.75 89,1,2024-09-07 09:02:20:560,533506,533506,0,0,249010797881,2622429541,526169,6071,1266,382,391866,0 89,2,2024-09-07 09:02:21:133,384130,384130,0,0,18358244,0,2910 89,3,2024-09-07 09:02:21:799,1,304,1,0,385,5832,304,0 90,0,2024-09-07 09:02:21:665,75022,0.4,76944,0.6,157095,0.4,204960,1.75 90,1,2024-09-07 09:02:20:603,534772,534772,0,0,249295076970,2613732958,529384,5010,378,380,391825,0 90,2,2024-09-07 09:02:21:412,383153,383153,0,0,19599628,0,3060 90,3,2024-09-07 09:02:20:930,1,304,11,0,246,3475,304,0 91,0,2024-09-07 09:02:20:924,79397,0.5,77167,0.6,160747,0.5,211222,1.75 91,1,2024-09-07 09:02:20:560,533539,533539,0,0,248844027234,2619360262,526315,6255,969,381,392047,0 91,2,2024-09-07 09:02:21:346,383105,383105,0,0,17723328,0,2896 91,3,2024-09-07 09:02:20:613,1,304,17,0,216,2798,304,0 92,0,2024-09-07 09:02:21:452,77357,0.5,79375,0.6,151547,0.5,204920,1.75 92,1,2024-09-07 09:02:20:580,535158,535158,0,0,248856838427,2598191455,531674,3003,481,382,392136,0 92,2,2024-09-07 09:02:21:356,386947,386947,0,0,15195782,0,2801 92,3,2024-09-07 09:02:21:019,1,304,2,0,167,2704,304,0 93,0,2024-09-07 09:02:20:950,72626,0.4,74459,0.6,142208,0.3,192310,1.75 93,1,2024-09-07 09:02:20:806,535214,535214,0,0,248549361758,2595641856,529988,4386,840,366,391776,0 93,2,2024-09-07 09:02:20:929,384554,384554,0,0,17576004,0,4311 93,3,2024-09-07 09:02:21:415,1,304,1,0,190,2973,304,0 94,0,2024-09-07 09:02:21:628,74241,0.4,74981,0.5,149640,0.3,198706,1.75 94,1,2024-09-07 09:02:20:591,534907,534907,0,0,249096060130,2602406842,531034,3687,186,381,391850,0 94,2,2024-09-07 09:02:20:777,384237,384237,0,0,15421827,0,2443 94,3,2024-09-07 09:02:21:722,1,304,1,0,264,3950,304,0 95,0,2024-09-07 09:02:21:423,79126,0.4,79075,0.6,158963,0.3,211264,1.75 95,1,2024-09-07 09:02:20:886,535993,535993,0,0,250397322761,2604867760,531692,3922,379,367,391713,0 95,2,2024-09-07 09:02:21:021,384141,384141,0,0,15723148,0,3308 95,3,2024-09-07 09:02:21:717,1,304,12,0,718,5004,304,0 96,0,2024-09-07 09:02:21:025,79444,0.4,79553,0.5,158580,0.3,210087,1.75 96,1,2024-09-07 09:02:21:583,534753,534753,0,0,249324981819,2604996714,530507,3463,783,384,391955,0 96,2,2024-09-07 09:02:21:273,384728,384728,0,0,15985927,0,4042 96,3,2024-09-07 09:02:21:141,1,304,38,0,411,3329,304,0 97,0,2024-09-07 09:02:21:387,72318,0.3,71964,0.5,144561,0.3,192278,1.75 97,1,2024-09-07 09:02:20:787,536889,536889,0,0,250009626463,2594952356,533099,3124,666,367,392140,0 97,2,2024-09-07 09:02:20:627,385425,385425,0,0,15650969,0,3036 97,3,2024-09-07 09:02:20:615,1,304,3,0,214,3734,304,0 98,0,2024-09-07 09:02:21:758,73547,0.3,73480,0.5,147485,0.2,195863,1.50 98,1,2024-09-07 09:02:20:574,536246,536246,0,0,249463404655,2596871775,533844,2299,103,382,391997,0 98,2,2024-09-07 09:02:20:771,384886,384886,0,0,15643182,0,3080 98,3,2024-09-07 09:02:20:725,1,304,5,0,840,5139,304,0 99,0,2024-09-07 09:02:21:460,80180,0.4,80680,0.5,160728,0.3,214932,1.75 99,1,2024-09-07 09:02:21:739,535799,535799,0,0,249776133075,2603968222,531715,3335,749,381,392069,0 99,2,2024-09-07 09:02:21:425,386489,386489,0,0,17115081,0,3424 99,3,2024-09-07 09:02:20:585,1,304,15,0,187,2577,304,0 100,0,2024-09-07 09:02:21:475,79182,0.8,79079,1.0,158486,1.0,211889,2.50 100,1,2024-09-07 09:02:20:575,531993,531993,0,0,248384175116,2629125344,523679,6823,1491,381,391989,0 100,2,2024-09-07 09:02:21:825,381882,381871,11,0,18073598,0,5417 100,3,2024-09-07 09:02:21:743,1,304,21,0,559,5408,304,0 101,0,2024-09-07 09:02:21:710,74481,1.2,72495,1.1,142338,1.0,195183,2.25 101,1,2024-09-07 09:02:20:551,532940,532940,0,0,248191861276,2618716685,523964,6957,2019,368,391771,0 101,2,2024-09-07 09:02:21:764,382937,382937,0,0,20575142,0,4644 101,3,2024-09-07 09:02:20:942,1,304,8,0,448,3700,304,0 102,0,2024-09-07 09:02:20:958,71237,0.6,73740,0.8,148552,0.6,194742,2.00 102,1,2024-09-07 09:02:21:153,533469,533469,0,0,248596613615,2614360309,526350,6090,1029,369,391891,0 102,2,2024-09-07 09:02:21:742,386184,386130,54,0,17686507,0,6768 102,3,2024-09-07 09:02:21:619,1,304,2,0,410,3388,304,0 103,0,2024-09-07 09:02:21:672,80847,0.6,80857,0.7,152352,0.5,210304,2.00 103,1,2024-09-07 09:02:21:645,532881,532881,0,0,248194352007,2621535891,524510,6452,1919,381,391829,0 103,2,2024-09-07 09:02:20:588,383387,383387,0,0,17980925,0,3173 103,3,2024-09-07 09:02:20:756,1,304,20,0,916,3987,304,0 104,0,2024-09-07 09:02:21:038,78486,0.8,78970,1.0,156550,0.8,210828,2.25 104,1,2024-09-07 09:02:21:599,534181,534181,0,0,248208652191,2614785282,525604,6918,1659,365,392168,0 104,2,2024-09-07 09:02:21:671,380888,380888,0,0,19009886,0,3941 104,3,2024-09-07 09:02:21:422,1,304,1,0,1245,6973,304,0 105,0,2024-09-07 09:02:21:028,74352,1.0,72382,1.1,151280,1.2,198914,3.00 105,1,2024-09-07 09:02:20:579,534462,534462,0,0,249191290448,2618043389,526670,6492,1300,366,391797,0 105,2,2024-09-07 09:02:21:325,385029,385029,0,0,18174996,0,3509 105,3,2024-09-07 09:02:21:308,1,304,8,0,399,4848,304,0 106,0,2024-09-07 09:02:20:949,69518,0.8,71186,1.0,145770,0.9,190417,2.50 106,1,2024-09-07 09:02:21:769,533633,533633,0,0,248187530038,2610932650,525036,7593,1004,369,391865,0 106,2,2024-09-07 09:02:20:773,382878,382878,0,0,17981538,0,2795 106,3,2024-09-07 09:02:20:702,1,304,1,0,470,4130,304,0 107,0,2024-09-07 09:02:21:162,75991,0.8,75785,0.9,151677,0.9,202817,2.00 107,1,2024-09-07 09:02:20:588,533017,533017,0,0,247925642606,2613296712,525988,6310,719,381,392234,0 107,2,2024-09-07 09:02:21:300,382718,382717,1,0,18713694,0,5024 107,3,2024-09-07 09:02:21:772,1,304,3,0,353,4221,304,0 108,0,2024-09-07 09:02:21:796,78920,0.4,79253,0.6,157657,0.4,210577,1.75 108,1,2024-09-07 09:02:21:313,534812,534812,0,0,249959470514,2610104696,529991,4306,515,368,391857,0 108,2,2024-09-07 09:02:21:756,382450,382450,0,0,17525837,0,4246 108,3,2024-09-07 09:02:21:342,1,304,10,0,749,6335,304,0 109,0,2024-09-07 09:02:21:845,78383,0.4,77537,0.6,155303,0.3,207506,1.75 109,1,2024-09-07 09:02:20:587,533244,533244,0,0,249357842070,2616427457,528648,3946,650,383,392132,0 109,2,2024-09-07 09:02:20:932,383235,383235,0,0,17175552,0,3617 109,3,2024-09-07 09:02:21:161,1,304,23,0,249,3330,304,0 110,0,2024-09-07 09:02:21:767,72363,0.4,70321,0.6,147264,0.3,192854,1.75 110,1,2024-09-07 09:02:21:666,536448,536448,0,0,249604942577,2591591090,532951,2588,909,369,392045,0 110,2,2024-09-07 09:02:21:307,384869,384869,0,0,17063692,0,4067 110,3,2024-09-07 09:02:20:703,1,304,337,0,406,4161,304,0 111,0,2024-09-07 09:02:21:426,74393,0.4,73648,0.5,147518,0.3,198112,1.75 111,1,2024-09-07 09:02:21:012,536228,536228,0,0,250633843495,2601291301,533552,2333,343,382,391690,0 111,2,2024-09-07 09:02:21:119,383645,383645,0,0,15928152,0,2763 111,3,2024-09-07 09:02:20:923,1,304,8,0,379,3929,304,0 112,0,2024-09-07 09:02:20:968,80834,0.3,80172,0.4,160891,0.2,213557,1.50 112,1,2024-09-07 09:02:20:888,536496,536496,0,0,249492063519,2591658427,533061,2851,584,380,391624,0 112,2,2024-09-07 09:02:21:134,384865,384864,1,0,15583777,0,5036 112,3,2024-09-07 09:02:20:594,1,304,5,0,282,3207,304,0 113,0,2024-09-07 09:02:20:878,78991,0.3,79047,0.5,158601,0.2,211485,1.50 113,1,2024-09-07 09:02:21:686,537878,537878,0,0,251125268947,2594360773,534605,2689,584,366,391661,0 113,2,2024-09-07 09:02:21:310,385742,385742,0,0,13903284,0,3813 113,3,2024-09-07 09:02:20:698,1,304,2,0,340,3895,304,0 114,0,2024-09-07 09:02:20:880,73020,0.3,73440,0.5,145935,0.2,194890,1.75 114,1,2024-09-07 09:02:20:718,535585,535585,0,0,249816847239,2600853769,530467,3526,1592,381,391556,0 114,2,2024-09-07 09:02:20:880,385229,385228,1,0,15547344,0,5069 114,3,2024-09-07 09:02:21:279,1,304,1,0,395,2900,304,0 115,0,2024-09-07 09:02:20:567,73447,0.3,73871,0.4,147588,0.2,196906,1.50 115,1,2024-09-07 09:02:20:575,535867,535867,0,0,249583521361,2595740744,531052,3975,840,382,391656,0 115,2,2024-09-07 09:02:21:130,386623,386623,0,0,14569414,0,3453 115,3,2024-09-07 09:02:21:003,1,304,14,0,159,1844,304,0 116,0,2024-09-07 09:02:21:710,78615,0.7,78465,0.8,157488,0.7,210235,2.00 116,1,2024-09-07 09:02:20:805,533129,533129,0,0,248051197301,2621512798,525961,5005,2163,380,391782,0 116,2,2024-09-07 09:02:21:756,383746,383746,0,0,19673402,0,3529 116,3,2024-09-07 09:02:20:914,1,304,16,0,415,3988,304,0 117,0,2024-09-07 09:02:20:953,79554,0.8,79217,0.9,158474,1.0,212316,2.00 117,1,2024-09-07 09:02:21:601,533621,533621,0,0,248457153684,2604628070,527629,5394,598,370,392033,0 117,2,2024-09-07 09:02:21:133,384813,384813,0,0,16054237,0,3700 117,3,2024-09-07 09:02:21:073,1,304,21,0,490,4700,304,0 118,0,2024-09-07 09:02:21:776,71895,0.5,73928,0.7,150477,0.5,196563,2.00 118,1,2024-09-07 09:02:20:587,533466,533466,0,0,247876892275,2610415835,525350,6271,1845,366,391736,0 118,2,2024-09-07 09:02:21:590,384492,384492,0,0,17540900,0,2781 118,3,2024-09-07 09:02:21:772,1,304,1,0,235,3430,304,0 119,0,2024-09-07 09:02:21:341,72482,0.7,72849,0.8,145854,0.8,194256,2.25 119,1,2024-09-07 09:02:20:580,534962,534962,0,0,249928033635,2614208828,529037,5256,669,367,391780,0 119,2,2024-09-07 09:02:21:261,385661,385661,0,0,16781857,0,4174 119,3,2024-09-07 09:02:21:331,1,304,16,0,563,4671,304,0 120,0,2024-09-07 09:02:21:557,76768,0.6,76727,0.8,153446,0.5,205833,2.25 120,1,2024-09-07 09:02:20:866,534461,534461,0,0,248510506355,2610730359,528557,5482,422,368,391961,0 120,2,2024-09-07 09:02:20:771,383670,383669,1,0,19640908,0,5281 120,3,2024-09-07 09:02:21:297,1,304,1,0,241,3897,304,0 121,0,2024-09-07 09:02:21:711,78913,1.2,78930,1.1,158535,1.6,210614,2.25 121,1,2024-09-07 09:02:21:657,534708,534708,0,0,249362291750,2610749969,529274,5003,431,367,391840,0 121,2,2024-09-07 09:02:21:130,381542,381542,0,0,18986011,0,4127 121,3,2024-09-07 09:02:20:726,1,304,1,0,269,3633,304,0 122,0,2024-09-07 09:02:21:782,76588,0.8,74567,0.9,156345,0.9,204925,2.00 122,1,2024-09-07 09:02:20:866,533496,533496,0,0,248773312077,2612976794,525863,6500,1133,366,392130,0 122,2,2024-09-07 09:02:21:322,384758,384685,73,0,21166832,0,5989 122,3,2024-09-07 09:02:20:596,1,304,1,0,411,5835,304,0 123,0,2024-09-07 09:02:20:957,71874,0.8,70303,0.8,146607,0.9,191576,2.25 123,1,2024-09-07 09:02:20:580,533630,533630,0,0,248836111436,2625826065,523531,8158,1941,369,392039,0 123,2,2024-09-07 09:02:21:023,382726,382725,1,0,17975053,0,5215 123,3,2024-09-07 09:02:21:133,1,304,12,0,168,3639,304,0 124,0,2024-09-07 09:02:20:926,76890,0.4,76877,0.5,144868,0.3,199337,1.75 124,1,2024-09-07 09:02:21:023,535904,535904,0,0,249112781184,2596195406,531320,3678,906,367,392178,0 124,2,2024-09-07 09:02:21:010,384807,384807,0,0,15373364,0,3101 124,3,2024-09-07 09:02:20:781,1,304,5,0,490,3323,304,0 125,0,2024-09-07 09:02:21:428,79553,0.4,79142,0.6,159241,0.4,211616,1.75 125,1,2024-09-07 09:02:20:862,534357,534357,0,0,249726987752,2607530605,530357,3550,450,383,391702,0 125,2,2024-09-07 09:02:21:132,384408,384408,0,0,16586516,0,4534 125,3,2024-09-07 09:02:21:126,1,304,1,0,709,4392,304,0 126,0,2024-09-07 09:02:21:455,79188,0.4,81426,0.6,155644,0.4,210891,1.75 126,1,2024-09-07 09:02:20:580,536503,536503,0,0,250141607056,2592430536,533453,2778,272,365,391987,0 126,2,2024-09-07 09:02:20:611,385967,385967,0,0,16212716,0,3186 126,3,2024-09-07 09:02:20:918,1,304,0,0,207,3990,304,0 127,0,2024-09-07 09:02:21:624,72005,0.3,72416,0.5,144392,0.3,192204,1.75 127,1,2024-09-07 09:02:20:579,535992,535992,0,0,249712124532,2592037484,531756,3722,514,365,391816,0 127,2,2024-09-07 09:02:20:654,384684,384684,0,0,14830963,0,2264 127,3,2024-09-07 09:02:21:271,1,304,8,0,968,3791,304,0 128,0,2024-09-07 09:02:21:528,73763,0.3,73880,0.5,147576,0.2,196267,1.50 128,1,2024-09-07 09:02:21:609,535231,535231,0,0,249722479800,2596943325,531204,3660,367,367,391798,0 128,2,2024-09-07 09:02:21:382,385711,385711,0,0,15040471,0,2915 128,3,2024-09-07 09:02:20:779,1,304,1,0,1082,5793,304,0 129,0,2024-09-07 09:02:20:991,80712,0.3,80380,0.5,161142,0.3,215064,1.75 129,1,2024-09-07 09:02:20:608,532345,532345,0,0,248611732072,2608085557,527029,4103,1213,379,391835,0 129,2,2024-09-07 09:02:20:715,385468,385468,0,0,15814758,0,4031 129,3,2024-09-07 09:02:20:713,1,304,12,0,469,4349,304,0 130,0,2024-09-07 09:02:21:838,80044,0.5,79655,0.6,159992,0.6,213099,1.75 130,1,2024-09-07 09:02:20:586,535618,535618,0,0,249613003713,2598223701,532424,2955,239,381,391825,0 130,2,2024-09-07 09:02:21:134,384547,384547,0,0,15421022,0,4067 130,3,2024-09-07 09:02:21:294,1,304,2,0,450,3939,304,0 131,0,2024-09-07 09:02:21:965,72864,0.4,73266,0.5,147299,0.3,194972,1.75 131,1,2024-09-07 09:02:21:843,535548,535548,0,0,249270886509,2605350690,531122,3683,743,381,391865,0 131,2,2024-09-07 09:02:20:570,387177,387177,0,0,14270341,0,2415 131,3,2024-09-07 09:02:21:698,1,304,9,0,392,3307,304,0 132,0,2024-09-07 09:02:21:410,72894,0.5,73751,0.6,146971,0.4,195319,1.75 132,1,2024-09-07 09:02:20:601,532955,532955,0,0,249011160892,2627198835,524614,6878,1463,381,392097,0 132,2,2024-09-07 09:02:20:721,384932,384932,0,0,19071211,0,4606 132,3,2024-09-07 09:02:21:701,1,304,804,0,804,5745,304,0 133,0,2024-09-07 09:02:21:553,76516,0.4,78199,0.6,160513,0.4,208899,1.75 133,1,2024-09-07 09:02:20:583,533199,533199,0,0,247935182214,2618046467,525273,6844,1082,383,391914,0 133,2,2024-09-07 09:02:21:094,383465,383465,0,0,20066285,0,4315 133,3,2024-09-07 09:02:21:301,1,304,1,0,479,3345,304,0 134,0,2024-09-07 09:02:21:051,79533,0.5,79494,0.7,158888,0.5,211920,2.00 134,1,2024-09-07 09:02:20:584,533162,533162,0,0,247958850760,2606839837,525621,5989,1552,366,391718,0 134,2,2024-09-07 09:02:21:782,381822,381822,0,0,16758585,0,3847 134,3,2024-09-07 09:02:20:748,1,304,2,0,739,4206,304,0 135,0,2024-09-07 09:02:21:107,72590,0.7,72710,0.9,154082,0.8,198601,2.00 135,1,2024-09-07 09:02:21:590,533488,533488,0,0,249228733146,2627016868,525642,6653,1193,380,391805,0 135,2,2024-09-07 09:02:20:714,385849,385849,0,0,18060523,0,3981 135,3,2024-09-07 09:02:21:007,1,304,12,0,299,2340,304,0 136,0,2024-09-07 09:02:21:623,72417,0.6,72421,0.7,144341,0.5,192369,2.25 136,1,2024-09-07 09:02:21:443,534001,534001,0,0,248695433138,2615570956,527347,5968,686,382,391685,0 136,2,2024-09-07 09:02:21:136,385090,385090,0,0,17960076,0,3506 136,3,2024-09-07 09:02:21:125,1,304,6,0,301,2958,304,0 137,0,2024-09-07 09:02:20:925,78325,0.7,76230,0.8,149697,0.7,203983,2.00 137,1,2024-09-07 09:02:20:608,533473,533473,0,0,248188371946,2608507240,524633,7038,1802,366,391708,0 137,2,2024-09-07 09:02:21:717,383461,383461,0,0,19866670,0,3185 137,3,2024-09-07 09:02:20:780,1,304,57,0,382,3759,304,0 138,0,2024-09-07 09:02:21:763,78368,1.5,78569,1.1,157590,2.1,209474,2.50 138,1,2024-09-07 09:02:21:712,533671,533671,0,0,249886856400,2627200835,525003,7090,1578,368,391954,0 138,2,2024-09-07 09:02:20:611,383358,383358,0,0,18255233,0,4988 138,3,2024-09-07 09:02:20:618,1,304,1,0,1160,4307,304,0 139,0,2024-09-07 09:02:21:391,76799,1.4,77181,1.1,154493,2.1,205726,2.25 139,1,2024-09-07 09:02:20:584,531716,531716,0,0,247217471673,2629338871,521459,7737,2520,381,391892,0 139,2,2024-09-07 09:02:20:704,382736,382736,0,0,19317982,0,3097 139,3,2024-09-07 09:02:21:664,1,304,14,0,257,3423,304,0 140,0,2024-09-07 09:02:21:593,72651,0.3,72157,0.5,145068,0.2,193138,1.75 140,1,2024-09-07 09:02:21:542,537040,537040,0,0,250289025113,2583843278,534005,2597,438,365,391606,0 140,2,2024-09-07 09:02:20:703,385373,385373,0,0,15154049,0,3388 140,3,2024-09-07 09:02:20:781,1,304,1,0,247,2564,304,0 141,0,2024-09-07 09:02:21:765,74006,0.3,76108,0.5,145534,0.3,198026,1.75 141,1,2024-09-07 09:02:20:862,536802,536802,0,0,250060506488,2597643228,533231,3112,459,379,391614,0 141,2,2024-09-07 09:02:21:728,384373,384373,0,0,15466209,0,3360 141,3,2024-09-07 09:02:21:044,1,304,0,0,391,3133,304,0 142,0,2024-09-07 09:02:21:310,80903,0.4,80157,0.5,160013,0.3,213777,1.75 142,1,2024-09-07 09:02:20:603,535689,535689,0,0,249130891361,2600600278,531958,3382,349,382,392102,0 142,2,2024-09-07 09:02:21:302,384463,384431,32,0,17030174,0,6028 142,3,2024-09-07 09:02:21:750,1,304,1,0,484,3961,304,0 143,0,2024-09-07 09:02:21:391,79341,0.5,79272,0.6,158766,0.5,211567,1.75 143,1,2024-09-07 09:02:20:597,536175,536175,0,0,248983297991,2585401439,532618,3412,145,367,391705,0 143,2,2024-09-07 09:02:20:781,384387,384387,0,0,15658425,0,2750 143,3,2024-09-07 09:02:21:146,1,304,20,0,303,3776,304,0 144,0,2024-09-07 09:02:21:514,70267,0.6,72289,0.8,147067,0.5,193038,2.00 144,1,2024-09-07 09:02:20:582,533387,533387,0,0,248588423633,2610453707,528549,3900,938,381,391649,0 144,2,2024-09-07 09:02:21:764,385654,385654,0,0,14961068,0,3473 144,3,2024-09-07 09:02:21:751,1,304,1,0,249,3293,304,0 145,0,2024-09-07 09:02:21:376,70843,0.6,70836,0.9,150442,0.6,193942,2.50 145,1,2024-09-07 09:02:20:576,532933,532933,0,0,248564604414,2616339887,526215,5718,1000,382,391698,0 145,2,2024-09-07 09:02:21:445,384204,384204,0,0,18405411,0,3903 145,3,2024-09-07 09:02:20:910,1,304,4,0,622,4794,304,0 146,0,2024-09-07 09:02:21:594,78909,0.5,78227,0.7,157718,0.5,209552,2.00 146,1,2024-09-07 09:02:21:585,534379,534379,0,0,249035416639,2620756870,525515,6967,1897,368,391770,0 146,2,2024-09-07 09:02:21:705,384116,384116,0,0,17999556,0,2730 146,3,2024-09-07 09:02:21:279,1,304,2,0,1520,6666,304,0 147,0,2024-09-07 09:02:21:726,79567,0.6,79331,0.8,157917,0.6,211949,2.25 147,1,2024-09-07 09:02:21:375,535861,535861,0,0,249953778556,2603115815,530730,4338,793,368,391791,0 147,2,2024-09-07 09:02:21:012,383513,383513,0,0,15165248,0,2789 147,3,2024-09-07 09:02:20:916,1,304,15,0,730,4611,304,0 0,0,2024-09-07 09:02:31:737,75216,0.6,75256,0.7,159640,0.6,206729,2.00 0,1,2024-09-07 09:02:30:811,536490,536490,0,0,250193823959,2619898343,532399,3850,241,370,391896,0 0,2,2024-09-07 09:02:31:069,386079,386079,0,0,16043011,0,4480 0,3,2024-09-07 09:02:31:001,1,305,1,0,319,3868,305,0 1,0,2024-09-07 09:02:31:781,79378,0.9,78863,1.0,158558,1.1,211688,2.00 1,1,2024-09-07 09:02:30:577,535665,535665,0,0,249261409886,2614015038,530385,4075,1205,370,391857,0 1,2,2024-09-07 09:02:30:667,382743,382743,0,0,15386692,0,3267 1,3,2024-09-07 09:02:31:302,1,305,38,0,262,3550,305,0 2,0,2024-09-07 09:02:31:567,76818,0.7,77175,0.8,152975,0.8,204701,2.00 2,1,2024-09-07 09:02:30:863,537418,537418,0,0,250332611974,2602983972,534524,2601,293,380,391745,0 2,2,2024-09-07 09:02:31:265,386940,386940,0,0,15440707,0,3594 2,3,2024-09-07 09:02:30:700,1,305,8,0,357,3120,305,0 3,0,2024-09-07 09:02:31:743,72072,0.4,72182,0.6,144165,0.4,191461,2.00 3,1,2024-09-07 09:02:31:618,536299,536299,0,0,250065316446,2608614194,531215,4461,623,380,391591,0 3,2,2024-09-07 09:02:31:145,386354,386331,23,0,15929021,0,5851 3,3,2024-09-07 09:02:31:753,1,305,8,0,103,1856,305,0 4,0,2024-09-07 09:02:31:795,72771,0.4,74931,0.5,152062,0.4,199891,1.75 4,1,2024-09-07 09:02:30:598,535058,535058,0,0,249115034119,2625626822,528126,5602,1330,371,391992,0 4,2,2024-09-07 09:02:31:021,383364,383364,0,0,18497696,0,4528 4,3,2024-09-07 09:02:31:033,1,305,9,0,448,4396,305,0 5,0,2024-09-07 09:02:31:433,79464,0.5,79688,0.6,158875,0.5,211287,1.75 5,1,2024-09-07 09:02:30:754,535408,535408,0,0,249413079472,2626732794,528394,5659,1355,368,392005,0 5,2,2024-09-07 09:02:31:829,383773,383773,0,0,17512623,0,2432 5,3,2024-09-07 09:02:31:736,1,305,18,0,457,4610,305,0 6,0,2024-09-07 09:02:30:955,79657,0.5,79097,0.7,158091,0.4,210902,2.00 6,1,2024-09-07 09:02:30:746,535539,535539,0,0,249178568272,2607685016,529370,5093,1076,379,391694,0 6,2,2024-09-07 09:02:31:125,385817,385817,0,0,16445132,0,4816 6,3,2024-09-07 09:02:31:276,1,305,76,0,340,3570,305,0 7,0,2024-09-07 09:02:31:536,72076,0.5,72315,0.6,144208,0.4,191931,2.00 7,1,2024-09-07 09:02:30:850,534902,534902,0,0,249558096372,2625767122,527007,6722,1173,382,391747,0 7,2,2024-09-07 09:02:30:770,385773,385773,0,0,16590761,0,4791 7,3,2024-09-07 09:02:30:851,1,305,9,0,398,3695,305,0 8,0,2024-09-07 09:02:31:348,73933,0.4,73671,0.5,147530,0.3,197072,1.75 8,1,2024-09-07 09:02:31:041,534384,534384,0,0,249408987897,2630836184,524827,7345,2212,366,392144,0 8,2,2024-09-07 09:02:30:804,381987,381987,0,0,20217237,0,3220 8,3,2024-09-07 09:02:30:607,1,305,5,0,538,5513,305,0 9,0,2024-09-07 09:02:31:106,80776,0.4,78475,0.6,164155,0.4,215110,1.75 9,1,2024-09-07 09:02:30:607,534979,534979,0,0,250578408453,2643326619,526853,6312,1814,369,392001,0 9,2,2024-09-07 09:02:31:083,385469,385469,0,0,18260542,0,3360 9,3,2024-09-07 09:02:31:759,1,305,1,0,496,4530,305,0 10,0,2024-09-07 09:02:31:612,79976,0.3,79362,0.5,159458,0.3,212699,1.75 10,1,2024-09-07 09:02:30:585,535411,535411,0,0,250297930494,2624310290,528107,6316,988,381,391741,0 10,2,2024-09-07 09:02:30:771,384536,384536,0,0,19303104,0,4264 10,3,2024-09-07 09:02:30:875,1,305,0,0,296,2838,305,0 11,0,2024-09-07 09:02:31:010,72714,0.4,70605,0.7,147699,0.4,195488,1.75 11,1,2024-09-07 09:02:30:574,535950,535950,0,0,249953238292,2629740084,526343,7193,2414,383,391664,0 11,2,2024-09-07 09:02:31:124,385826,385826,0,0,17745129,0,4130 11,3,2024-09-07 09:02:31:299,1,305,12,0,843,5056,305,0 12,0,2024-09-07 09:02:31:015,73872,0.3,73814,0.5,147584,0.3,196467,1.75 12,1,2024-09-07 09:02:30:997,536496,536496,0,0,249630470675,2606575247,531814,4196,486,370,391870,0 12,2,2024-09-07 09:02:31:558,386869,386869,0,0,17453407,0,3469 12,3,2024-09-07 09:02:31:062,1,305,28,0,386,5104,305,0 13,0,2024-09-07 09:02:31:347,79259,0.4,78944,0.5,157923,0.4,210319,1.75 13,1,2024-09-07 09:02:31:524,535731,535731,0,0,249446609858,2623435623,530859,3570,1302,382,391740,0 13,2,2024-09-07 09:02:30:619,386977,386977,0,0,16170738,0,3287 13,3,2024-09-07 09:02:31:770,1,305,2,0,522,5213,305,0 14,0,2024-09-07 09:02:30:565,79914,0.4,80522,0.6,159234,0.4,212563,1.75 14,1,2024-09-07 09:02:31:560,539318,539318,0,0,251147757220,2602687225,535405,3633,280,364,391571,0 14,2,2024-09-07 09:02:30:764,383250,383250,0,0,15764002,0,2896 14,3,2024-09-07 09:02:31:118,1,305,1,0,1168,4010,305,0 15,0,2024-09-07 09:02:31:563,75178,0.4,75345,0.7,150403,0.4,200056,2.00 15,1,2024-09-07 09:02:31:618,537243,537243,0,0,249791690119,2597723337,534321,2743,179,381,391619,0 15,2,2024-09-07 09:02:31:001,388363,388363,0,0,13690829,0,3043 15,3,2024-09-07 09:02:31:405,1,305,8,0,1126,5741,305,0 16,0,2024-09-07 09:02:30:988,72405,0.6,72655,0.8,144643,0.7,192895,2.25 16,1,2024-09-07 09:02:30:573,537062,537062,0,0,250166085869,2615895288,532788,3915,359,370,391756,0 16,2,2024-09-07 09:02:31:434,386187,386187,0,0,16919053,0,4719 16,3,2024-09-07 09:02:31:149,1,305,2,0,317,4526,305,0 17,0,2024-09-07 09:02:31:926,78675,0.8,76829,0.9,150344,0.8,204730,2.00 17,1,2024-09-07 09:02:30:584,535996,535996,0,0,249137717254,2619735802,529891,4849,1256,368,391899,0 17,2,2024-09-07 09:02:31:698,387498,387498,0,0,16758225,0,2857 17,3,2024-09-07 09:02:30:582,1,305,10,0,268,4799,305,0 18,0,2024-09-07 09:02:30:952,78147,0.7,78545,0.8,156595,0.7,208865,2.25 18,1,2024-09-07 09:02:31:638,537434,537434,0,0,250852921539,2602770943,534196,2928,310,367,391649,0 18,2,2024-09-07 09:02:31:759,385689,385689,0,0,15444172,0,3541 18,3,2024-09-07 09:02:30:897,1,305,1,0,163,2779,305,0 19,0,2024-09-07 09:02:31:543,77401,0.6,77991,0.8,154660,0.6,205805,2.25 19,1,2024-09-07 09:02:30:569,537326,537326,0,0,250196784367,2600690404,532216,4272,838,367,391777,0 19,2,2024-09-07 09:02:31:765,388010,388010,0,0,14076699,0,3988 19,3,2024-09-07 09:02:31:134,1,305,1,0,524,2341,305,0 20,0,2024-09-07 09:02:31:374,72285,0.6,72289,0.7,144646,0.6,192702,2.25 20,1,2024-09-07 09:02:30:574,535711,535711,0,0,249525450143,2610232655,531499,3808,404,369,391886,0 20,2,2024-09-07 09:02:30:995,386209,386209,0,0,16588092,0,3721 20,3,2024-09-07 09:02:30:598,1,305,13,0,414,5041,305,0 21,0,2024-09-07 09:02:31:223,74485,0.6,74490,0.7,148934,0.5,197832,2.00 21,1,2024-09-07 09:02:31:536,534513,534513,0,0,249042715546,2628411788,526754,5883,1876,368,392016,0 21,2,2024-09-07 09:02:31:067,383712,383712,0,0,19301199,0,3747 21,3,2024-09-07 09:02:31:406,1,305,4,0,103,3169,305,0 22,0,2024-09-07 09:02:31:726,79905,0.6,80168,0.8,160352,0.6,212076,2.25 22,1,2024-09-07 09:02:31:024,536035,536035,0,0,248759684401,2618190374,528199,6169,1667,382,391667,0 22,2,2024-09-07 09:02:30:768,384897,384897,0,0,16404787,0,3134 22,3,2024-09-07 09:02:31:079,1,305,0,0,228,2732,305,0 23,0,2024-09-07 09:02:31:368,79014,0.6,78824,0.7,158063,0.6,210771,2.25 23,1,2024-09-07 09:02:31:004,535773,535773,0,0,249723667844,2628339803,525744,6935,3094,365,391690,0 23,2,2024-09-07 09:02:31:092,385879,385879,0,0,15704736,0,3010 23,3,2024-09-07 09:02:31:757,1,305,8,0,645,3690,305,0 24,0,2024-09-07 09:02:30:837,73359,0.4,73098,0.6,146938,0.4,194991,1.75 24,1,2024-09-07 09:02:30:604,535200,535200,0,0,248613297331,2611032531,528710,4966,1524,368,391987,0 24,2,2024-09-07 09:02:31:069,384760,384760,0,0,19177631,0,3607 24,3,2024-09-07 09:02:31:707,1,305,10,0,468,4268,305,0 25,0,2024-09-07 09:02:31:355,75724,0.4,73863,0.6,144926,0.4,197772,2.00 25,1,2024-09-07 09:02:30:561,536172,536172,0,0,250141569227,2622618803,530039,5311,822,371,391928,0 25,2,2024-09-07 09:02:31:619,385510,385510,0,0,19488745,0,3978 25,3,2024-09-07 09:02:31:006,1,305,23,0,255,3220,305,0 26,0,2024-09-07 09:02:31:721,79037,0.4,77164,0.6,161980,0.3,210832,1.75 26,1,2024-09-07 09:02:31:541,536723,536723,0,0,249295138397,2615537810,528669,6784,1270,380,391748,0 26,2,2024-09-07 09:02:30:864,386000,386000,0,0,19476944,0,2809 26,3,2024-09-07 09:02:31:716,1,305,1,0,796,4057,305,0 27,0,2024-09-07 09:02:31:725,80076,0.5,80197,0.6,158675,0.4,212521,2.00 27,1,2024-09-07 09:02:31:676,538120,538120,0,0,250585670129,2611302361,533190,4169,761,381,391626,0 27,2,2024-09-07 09:02:30:867,381401,381336,65,0,18960470,0,5699 27,3,2024-09-07 09:02:31:019,1,305,9,0,564,3256,305,0 28,0,2024-09-07 09:02:31:394,74389,0.4,74135,0.6,148618,0.4,198069,2.00 28,1,2024-09-07 09:02:30:799,537492,537492,0,0,250806076127,2615307700,533411,3406,675,383,391646,0 28,2,2024-09-07 09:02:31:765,386360,386360,0,0,15824637,0,2915 28,3,2024-09-07 09:02:31:776,1,305,23,0,502,3080,305,0 29,0,2024-09-07 09:02:31:373,75182,0.4,73319,0.6,143484,0.3,196117,1.75 29,1,2024-09-07 09:02:31:566,538540,538540,0,0,250846990104,2598513644,534788,3169,583,369,391753,0 29,2,2024-09-07 09:02:30:862,385770,385770,0,0,15483283,0,4233 29,3,2024-09-07 09:02:30:972,1,305,230,0,374,3327,305,0 30,0,2024-09-07 09:02:31:460,77341,0.5,75195,0.7,157294,0.4,206314,2.00 30,1,2024-09-07 09:02:30:570,538471,538471,0,0,251067154340,2608681434,534383,3482,606,382,391672,0 30,2,2024-09-07 09:02:31:276,385949,385949,0,0,15062189,0,3161 30,3,2024-09-07 09:02:30:584,1,305,11,0,519,2964,305,0 31,0,2024-09-07 09:02:31:758,78935,0.5,79263,0.6,158590,0.4,211280,2.00 31,1,2024-09-07 09:02:30:573,540504,540504,0,0,251447730336,2580027726,538416,1659,429,356,391712,0 31,2,2024-09-07 09:02:31:278,383652,383652,0,0,16502338,0,3525 31,3,2024-09-07 09:02:31:706,1,305,1,0,220,2382,305,0 32,0,2024-09-07 09:02:31:416,76826,0.3,77168,0.5,154269,0.3,205052,1.75 32,1,2024-09-07 09:02:30:807,537824,537824,0,0,251003903680,2608696936,534764,2615,445,381,391646,0 32,2,2024-09-07 09:02:30:946,387158,387158,0,0,14442664,0,3155 32,3,2024-09-07 09:02:31:023,1,305,7,0,227,2345,305,0 33,0,2024-09-07 09:02:31:502,72489,0.3,71965,0.5,144568,0.2,192290,1.75 33,1,2024-09-07 09:02:30:575,537752,537752,0,0,251410963701,2606293295,533375,3547,830,369,391730,0 33,2,2024-09-07 09:02:30:759,387075,387040,35,0,16499708,0,7012 33,3,2024-09-07 09:02:30:900,1,305,14,0,329,3356,305,0 34,0,2024-09-07 09:02:30:940,75116,0.3,77363,0.5,148011,0.2,199208,1.75 34,1,2024-09-07 09:02:31:044,539504,539504,0,0,251491336430,2587390381,538117,1380,7,367,391562,0 34,2,2024-09-07 09:02:30:767,385528,385528,0,0,15423383,0,3577 34,3,2024-09-07 09:02:31:694,1,305,1,0,299,2373,305,0 35,0,2024-09-07 09:02:30:859,78677,0.4,79143,0.5,159227,0.3,211838,1.75 35,1,2024-09-07 09:02:31:069,537644,537644,0,0,250454333311,2598831911,534044,2820,780,382,391589,0 35,2,2024-09-07 09:02:31:589,385314,385314,0,0,15716234,0,2653 35,3,2024-09-07 09:02:30:921,1,305,16,0,418,4065,305,0 36,0,2024-09-07 09:02:31:515,79420,0.5,79472,0.7,158960,0.4,210593,2.25 36,1,2024-09-07 09:02:30:583,537227,537227,0,0,249996481989,2616061087,530225,5347,1655,366,391759,0 36,2,2024-09-07 09:02:31:751,385951,385951,0,0,17527999,0,3875 36,3,2024-09-07 09:02:30:862,1,305,44,0,416,5179,305,0 37,0,2024-09-07 09:02:31:367,71953,0.5,71945,0.7,143929,0.5,192772,2.00 37,1,2024-09-07 09:02:30:580,535896,535889,0,7,249618298648,2612571019,529384,4433,2072,365,391570,0 37,2,2024-09-07 09:02:31:143,384764,384749,15,0,17142332,0,5815 37,3,2024-09-07 09:02:31:768,1,305,73,0,888,5432,305,0 38,0,2024-09-07 09:02:31:440,73202,0.5,71154,0.7,148854,0.4,195008,2.00 38,1,2024-09-07 09:02:31:618,538162,538162,0,0,250992763597,2615840706,532610,4875,677,368,391821,0 38,2,2024-09-07 09:02:30:766,384978,384931,47,0,18188089,0,6710 38,3,2024-09-07 09:02:31:001,1,305,16,0,689,4222,305,0 39,0,2024-09-07 09:02:31:762,82014,0.7,80440,0.8,156614,0.7,213872,2.00 39,1,2024-09-07 09:02:30:723,536446,536446,0,0,249746744689,2617625946,528189,6797,1460,365,391594,0 39,2,2024-09-07 09:02:31:417,385890,385890,0,0,16191528,0,2689 39,3,2024-09-07 09:02:30:714,1,305,8,0,324,3913,305,0 40,0,2024-09-07 09:02:31:494,79004,0.8,79662,1.0,159251,0.8,212330,2.75 40,1,2024-09-07 09:02:30:576,537155,537155,0,0,249910643270,2620063762,528954,6747,1454,368,391668,0 40,2,2024-09-07 09:02:31:307,383986,383985,1,0,19156664,0,5137 40,3,2024-09-07 09:02:31:142,1,305,165,0,398,3811,305,0 41,0,2024-09-07 09:02:31:029,72521,1.6,74347,1.3,141917,2.6,193370,3.25 41,1,2024-09-07 09:02:30:775,536196,536196,0,0,249871442628,2615933110,529736,5820,640,370,391742,0 41,2,2024-09-07 09:02:30:759,384535,384535,0,0,18352256,0,3356 41,3,2024-09-07 09:02:31:679,1,305,34,0,366,3221,305,0 42,0,2024-09-07 09:02:31:477,72768,0.7,72824,0.9,145871,0.7,192679,2.50 42,1,2024-09-07 09:02:31:439,535279,535279,0,0,249209819224,2620296893,526834,6882,1563,380,391675,0 42,2,2024-09-07 09:02:31:133,386544,386544,0,0,18299864,0,3568 42,3,2024-09-07 09:02:31:009,1,305,3,0,446,3009,305,0 43,0,2024-09-07 09:02:30:931,78196,0.8,76318,1.0,159634,0.9,209594,2.25 43,1,2024-09-07 09:02:30:578,536794,536794,0,0,250640112243,2621981630,529055,6310,1429,366,391696,0 43,2,2024-09-07 09:02:31:744,385968,385968,0,0,18446531,0,3812 43,3,2024-09-07 09:02:31:749,1,305,8,0,467,3773,305,0 44,0,2024-09-07 09:02:30:858,79638,0.5,80073,0.6,159789,0.4,212740,1.75 44,1,2024-09-07 09:02:30:571,538293,538293,0,0,250070875985,2587409594,533975,3373,945,356,391809,0 44,2,2024-09-07 09:02:31:267,382671,382671,0,0,15111465,0,4344 44,3,2024-09-07 09:02:31:093,1,305,2,0,817,4009,305,0 45,0,2024-09-07 09:02:31:758,74238,0.5,72438,0.8,152037,0.5,199900,2.00 45,1,2024-09-07 09:02:31:005,537467,537467,0,0,250793104543,2603869739,534513,2693,261,382,391917,0 45,2,2024-09-07 09:02:31:268,387254,387254,0,0,15301581,0,3596 45,3,2024-09-07 09:02:30:970,1,305,6,0,271,3074,305,0 46,0,2024-09-07 09:02:30:950,71800,0.5,71860,0.7,143897,0.4,190878,2.00 46,1,2024-09-07 09:02:30:575,539086,539086,0,0,251344138458,2595746343,536002,2728,356,366,391539,0 46,2,2024-09-07 09:02:30:594,387038,387038,0,0,14925442,0,2920 46,3,2024-09-07 09:02:31:131,1,305,1,0,908,4419,305,0 47,0,2024-09-07 09:02:31:102,76851,0.5,76985,0.6,154061,0.4,204327,1.75 47,1,2024-09-07 09:02:30:568,538845,538845,0,0,251010825379,2594123993,535767,2719,359,366,391641,0 47,2,2024-09-07 09:02:30:916,387282,387282,0,0,15313174,0,4477 47,3,2024-09-07 09:02:31:119,1,305,8,0,529,3423,305,0 48,0,2024-09-07 09:02:31:503,79874,0.3,79304,0.4,158196,0.2,210954,1.50 48,1,2024-09-07 09:02:31:022,537757,537757,0,0,250601390863,2605138277,534221,3235,301,384,391710,0 48,2,2024-09-07 09:02:30:704,384359,384359,0,0,14262797,0,3031 48,3,2024-09-07 09:02:30:753,1,305,56,0,339,2753,305,0 49,0,2024-09-07 09:02:31:711,79964,0.3,78519,0.5,152221,0.3,208147,1.75 49,1,2024-09-07 09:02:31:021,536931,536931,0,0,250335187804,2610361222,532633,3114,1184,382,391809,0 49,2,2024-09-07 09:02:31:797,387737,387737,0,0,15246817,0,4426 49,3,2024-09-07 09:02:31:416,1,305,63,0,408,3376,305,0 50,0,2024-09-07 09:02:31:509,72458,0.3,71760,0.5,144403,0.2,192352,1.75 50,1,2024-09-07 09:02:31:010,539248,539248,0,0,251609553776,2605076783,535448,3426,374,368,391540,0 50,2,2024-09-07 09:02:31:068,386393,386393,0,0,14233303,0,2263 50,3,2024-09-07 09:02:31:293,1,305,4,0,335,2715,305,0 51,0,2024-09-07 09:02:31:692,76297,0.3,74589,0.5,145682,0.2,198617,1.75 51,1,2024-09-07 09:02:31:680,539076,539076,0,0,251286885387,2596060550,535823,2278,975,365,391706,0 51,2,2024-09-07 09:02:31:315,385227,385227,0,0,14010673,0,3337 51,3,2024-09-07 09:02:31:027,1,305,13,0,678,2397,305,0 52,0,2024-09-07 09:02:31:413,80462,0.5,80354,0.7,160660,0.5,213429,2.00 52,1,2024-09-07 09:02:30:585,536610,536610,0,0,249601031682,2619766346,528167,7133,1310,368,391722,0 52,2,2024-09-07 09:02:31:756,382655,382617,38,0,18383886,0,6742 52,3,2024-09-07 09:02:30:674,1,305,3,0,1782,4827,305,0 53,0,2024-09-07 09:02:31:753,78892,0.7,76688,0.8,160164,0.7,210335,2.25 53,1,2024-09-07 09:02:30:773,535074,535074,0,0,249608781474,2625023803,525764,6731,2579,367,391702,0 53,2,2024-09-07 09:02:31:298,386101,386101,0,0,15502736,0,2727 53,3,2024-09-07 09:02:30:698,1,305,109,0,308,2931,305,0 54,0,2024-09-07 09:02:31:615,71696,0.6,72144,0.8,143490,0.4,192334,2.50 54,1,2024-09-07 09:02:30:580,536985,536985,0,0,250839943291,2611303937,531396,4987,602,367,391659,0 54,2,2024-09-07 09:02:30:866,386272,386266,6,0,17649170,0,5382 54,3,2024-09-07 09:02:30:763,1,305,3,0,676,4962,305,0 55,0,2024-09-07 09:02:31:766,71219,0.6,73851,0.8,148532,0.6,193967,2.50 55,1,2024-09-07 09:02:30:772,536450,536450,0,0,249587337044,2601979402,529988,5554,908,365,391731,0 55,2,2024-09-07 09:02:30:739,385361,385361,0,0,17694646,0,3563 55,3,2024-09-07 09:02:30:691,1,305,8,0,304,3357,305,0 56,0,2024-09-07 09:02:31:575,80938,1.0,76366,1.0,157440,1.3,210533,2.50 56,1,2024-09-07 09:02:30:589,534292,534292,0,0,249155677198,2633964642,526137,6597,1558,381,391867,0 56,2,2024-09-07 09:02:31:308,385510,385510,0,0,18414151,0,3567 56,3,2024-09-07 09:02:31:069,1,305,1,0,705,4184,305,0 57,0,2024-09-07 09:02:30:972,78720,1.6,78545,1.3,157438,2.2,211093,3.25 57,1,2024-09-07 09:02:30:999,536206,536206,0,0,249141508524,2613385327,529821,5669,716,366,392032,0 57,2,2024-09-07 09:02:31:317,383901,383901,0,0,19370430,0,3317 57,3,2024-09-07 09:02:31:748,1,305,2,0,359,3706,305,0 58,0,2024-09-07 09:02:30:567,72878,0.9,70935,1.0,148692,1.2,194722,2.50 58,1,2024-09-07 09:02:30:575,536814,536811,0,3,250266001906,2618791697,529404,6444,963,367,391603,3 58,2,2024-09-07 09:02:31:077,386066,386066,0,0,17967545,0,2549 58,3,2024-09-07 09:02:31:080,1,305,8,0,1043,3311,305,0 59,0,2024-09-07 09:02:31:741,72821,0.7,72479,0.9,144874,0.7,192490,2.75 59,1,2024-09-07 09:02:30:804,536474,536474,0,0,250088892713,2618023043,529664,5527,1283,369,391578,0 59,2,2024-09-07 09:02:30:589,387410,387410,0,0,16965505,0,2604 59,3,2024-09-07 09:02:31:737,1,305,8,0,1015,4119,305,0 60,0,2024-09-07 09:02:31:785,77649,0.5,77732,0.6,155936,0.4,207000,1.75 60,1,2024-09-07 09:02:30:772,538491,538491,0,0,251128202969,2605068912,535591,2373,527,370,392031,0 60,2,2024-09-07 09:02:31:144,385961,385961,0,0,17573919,0,3811 60,3,2024-09-07 09:02:31:258,1,305,1,0,175,3172,305,0 61,0,2024-09-07 09:02:31:545,79106,0.7,79598,0.8,158197,0.7,211034,2.00 61,1,2024-09-07 09:02:30:770,536258,536258,0,0,249729380114,2617208951,530332,5012,914,382,391880,0 61,2,2024-09-07 09:02:31:142,384051,384051,0,0,15926432,0,3598 61,3,2024-09-07 09:02:31:722,1,305,2,0,479,4604,305,0 62,0,2024-09-07 09:02:31:713,77334,0.6,78981,0.7,150579,0.6,204736,2.00 62,1,2024-09-07 09:02:31:111,539736,539730,0,6,251491765130,2595734087,536867,2701,162,365,391715,6 62,2,2024-09-07 09:02:31:644,385647,385646,1,0,17121262,0,5555 62,3,2024-09-07 09:02:31:143,1,305,2,0,465,2480,305,0 63,0,2024-09-07 09:02:31:453,72207,0.4,72323,0.6,144687,0.4,192112,1.75 63,1,2024-09-07 09:02:30:808,537911,537905,0,6,250638986934,2601060293,534940,2731,234,381,391677,6 63,2,2024-09-07 09:02:30:766,386490,386490,0,0,15379722,0,4369 63,3,2024-09-07 09:02:31:736,1,305,8,0,667,3400,305,0 64,0,2024-09-07 09:02:31:584,74566,0.5,74552,0.7,149066,0.5,198279,2.00 64,1,2024-09-07 09:02:30:759,536986,536986,0,0,250507495316,2615586867,531360,3970,1656,370,391783,0 64,2,2024-09-07 09:02:31:179,388774,388755,19,0,15463806,0,6121 64,3,2024-09-07 09:02:31:142,1,305,1,0,265,2992,305,0 65,0,2024-09-07 09:02:31:796,78674,0.7,78928,0.8,157624,0.8,209759,2.25 65,1,2024-09-07 09:02:30:874,536011,536011,0,0,249665528530,2612129830,532022,3540,449,382,391901,0 65,2,2024-09-07 09:02:31:703,386016,386016,0,0,17139277,0,3367 65,3,2024-09-07 09:02:31:692,1,305,2,0,163,2833,305,0 66,0,2024-09-07 09:02:31:764,78941,0.5,78860,0.7,157980,0.5,209407,2.25 66,1,2024-09-07 09:02:31:294,537338,537338,0,0,250760095637,2609547702,533836,3183,319,380,391653,0 66,2,2024-09-07 09:02:31:134,388057,388057,0,0,15600139,0,4956 66,3,2024-09-07 09:02:31:085,1,305,51,0,291,3088,305,0 67,0,2024-09-07 09:02:31:412,72800,0.6,72035,0.7,144897,0.5,192893,2.00 67,1,2024-09-07 09:02:30:766,537555,537554,0,1,249551798434,2602476293,533445,3359,750,380,391787,1 67,2,2024-09-07 09:02:30:587,386951,386951,0,0,14832533,0,2889 67,3,2024-09-07 09:02:31:750,1,305,8,0,338,2726,305,0 68,0,2024-09-07 09:02:30:587,74127,0.6,73689,0.8,147134,0.6,196587,2.00 68,1,2024-09-07 09:02:30:590,536369,536369,0,0,249190508644,2613880362,531633,3535,1201,381,391953,0 68,2,2024-09-07 09:02:31:047,383941,383876,65,0,19829118,0,6698 68,3,2024-09-07 09:02:30:735,1,305,9,0,417,3346,305,0 69,0,2024-09-07 09:02:31:759,80089,0.9,80414,0.9,160355,1.2,213009,2.50 69,1,2024-09-07 09:02:31:019,534613,534613,0,0,249041179499,2632606032,527051,5537,2025,384,391994,0 69,2,2024-09-07 09:02:31:738,385551,385551,0,0,19616506,0,3722 69,3,2024-09-07 09:02:30:763,1,305,2,0,698,4449,305,0 70,0,2024-09-07 09:02:31:532,79071,0.9,79081,1.1,158993,0.7,210893,2.50 70,1,2024-09-07 09:02:30:801,538169,538169,0,0,250457796975,2602339016,533679,3954,536,366,391725,0 70,2,2024-09-07 09:02:31:324,383721,383721,0,0,17789182,0,4044 70,3,2024-09-07 09:02:30:745,1,305,1,0,854,3547,305,0 71,0,2024-09-07 09:02:31:357,72577,1.0,72320,1.0,145303,1.2,194138,2.75 71,1,2024-09-07 09:02:31:619,536355,536355,0,0,250931362112,2621011248,530054,5645,656,368,391738,0 71,2,2024-09-07 09:02:31:068,386004,386004,0,0,17764082,0,4042 71,3,2024-09-07 09:02:31:750,1,305,8,0,644,4400,305,0 72,0,2024-09-07 09:02:31:193,75746,0.6,74014,0.8,144437,0.6,196898,2.00 72,1,2024-09-07 09:02:31:029,535703,535703,0,0,250004193516,2619211044,528345,5809,1549,369,391819,0 72,2,2024-09-07 09:02:31:761,385451,385451,0,0,20193289,0,3983 72,3,2024-09-07 09:02:31:759,1,305,132,0,564,5112,305,0 73,0,2024-09-07 09:02:31:169,76852,0.4,78576,0.6,161089,0.3,209244,2.00 73,1,2024-09-07 09:02:30:769,537129,537129,0,0,250172473417,2601994962,533229,3573,327,367,391858,0 73,2,2024-09-07 09:02:31:756,386221,386221,0,0,19219046,0,3701 73,3,2024-09-07 09:02:30:971,1,305,10,0,274,4311,305,0 74,0,2024-09-07 09:02:31:322,80121,0.5,82076,0.7,156764,0.5,212528,2.25 74,1,2024-09-07 09:02:30:638,536919,536919,0,0,249646210755,2607834724,531583,4368,968,381,391681,0 74,2,2024-09-07 09:02:31:002,382840,382840,0,0,18225074,0,4253 74,3,2024-09-07 09:02:31:442,1,305,449,0,522,4466,305,0 75,0,2024-09-07 09:02:31:764,75389,0.5,75051,0.7,150350,0.4,200949,2.25 75,1,2024-09-07 09:02:31:589,536189,536189,0,0,249993600114,2614237570,530356,5027,806,380,391739,0 75,2,2024-09-07 09:02:31:350,386273,386273,0,0,18298814,0,4766 75,3,2024-09-07 09:02:31:068,1,305,8,0,702,4296,305,0 76,0,2024-09-07 09:02:30:607,71896,0.6,71370,0.8,143316,0.6,191742,2.25 76,1,2024-09-07 09:02:30:811,537023,537023,0,0,249411289920,2603356422,533421,3049,553,382,391692,0 76,2,2024-09-07 09:02:31:082,387549,387548,1,0,16970665,0,5144 76,3,2024-09-07 09:02:31:158,1,305,8,0,175,3088,305,0 77,0,2024-09-07 09:02:31:743,76519,0.6,76687,0.8,153253,0.6,204254,2.00 77,1,2024-09-07 09:02:30:840,537215,537215,0,0,249864304014,2610731165,532999,3952,264,381,391869,0 77,2,2024-09-07 09:02:31:282,385996,385996,0,0,16784641,0,3890 77,3,2024-09-07 09:02:31:096,1,305,1,0,401,3577,305,0 78,0,2024-09-07 09:02:31:721,79350,0.5,78961,0.7,158650,0.4,210288,2.00 78,1,2024-09-07 09:02:30:618,537129,537129,0,0,250483476262,2608521616,531994,4141,994,367,391670,0 78,2,2024-09-07 09:02:31:406,385130,385130,0,0,14678172,0,3855 78,3,2024-09-07 09:02:31:133,1,305,2,0,181,2977,305,0 79,0,2024-09-07 09:02:31:378,75474,0.4,77410,0.6,158265,0.4,205604,2.25 79,1,2024-09-07 09:02:30:577,539068,539068,0,0,251021601487,2598515530,535347,3276,445,369,391682,0 79,2,2024-09-07 09:02:31:068,387560,387560,0,0,15023891,0,3212 79,3,2024-09-07 09:02:30:749,1,305,8,0,418,4186,305,0 80,0,2024-09-07 09:02:31:125,72383,0.6,74364,0.7,142541,0.5,192158,2.00 80,1,2024-09-07 09:02:31:623,537133,537133,0,0,250169346740,2604349128,533826,3130,177,368,391791,0 80,2,2024-09-07 09:02:31:094,387473,387473,0,0,15090484,0,4433 80,3,2024-09-07 09:02:30:585,1,305,3,0,190,3820,305,0 81,0,2024-09-07 09:02:31:607,74120,0.6,76055,0.7,145187,0.6,197244,2.00 81,1,2024-09-07 09:02:31:684,536301,536301,0,0,249602862597,2611706891,531726,4095,480,382,391879,0 81,2,2024-09-07 09:02:31:135,385131,385068,63,0,17110698,0,5932 81,3,2024-09-07 09:02:31:124,1,305,16,0,374,3746,305,0 82,0,2024-09-07 09:02:31:531,79796,0.5,80346,0.7,160828,0.5,212954,2.00 82,1,2024-09-07 09:02:30:588,537884,537880,0,4,250615767036,2612512703,534029,3055,796,381,391768,4 82,2,2024-09-07 09:02:31:693,386959,386959,0,0,14840424,0,3986 82,3,2024-09-07 09:02:31:757,1,305,1,0,363,3110,305,0 83,0,2024-09-07 09:02:31:521,79624,0.5,79464,0.7,158081,0.5,210573,2.00 83,1,2024-09-07 09:02:30:556,536630,536630,0,0,249315338937,2602770258,532544,3765,321,382,391709,0 83,2,2024-09-07 09:02:30:764,385595,385595,0,0,15076187,0,3393 83,3,2024-09-07 09:02:30:749,1,305,8,0,1260,4716,305,0 84,0,2024-09-07 09:02:31:773,72341,0.7,72242,0.9,144602,0.6,193676,2.25 84,1,2024-09-07 09:02:31:049,536298,536298,0,0,249411159393,2607062826,531219,4493,586,367,391967,0 84,2,2024-09-07 09:02:30:570,385869,385869,0,0,18443128,0,4757 84,3,2024-09-07 09:02:31:143,1,305,1,0,908,4845,305,0 85,0,2024-09-07 09:02:31:015,71087,0.8,71163,0.9,150828,0.8,195154,2.50 85,1,2024-09-07 09:02:30:560,535246,535246,0,0,249356515079,2635688697,526952,6926,1368,381,392006,0 85,2,2024-09-07 09:02:30:865,386649,386649,0,0,18712661,0,3656 85,3,2024-09-07 09:02:30:692,1,305,10,0,789,4098,305,0 86,0,2024-09-07 09:02:30:887,79189,0.6,81509,0.7,155868,0.6,210281,2.00 86,1,2024-09-07 09:02:30:837,536708,536708,0,0,250208843842,2621965128,530032,5614,1062,366,391961,0 86,2,2024-09-07 09:02:30:854,384771,384770,1,0,19545785,0,5004 86,3,2024-09-07 09:02:30:593,1,305,5,0,286,4085,305,0 87,0,2024-09-07 09:02:31:310,79385,1.0,79411,0.9,159431,1.3,212690,2.50 87,1,2024-09-07 09:02:30:555,535804,535804,0,0,249552810019,2613787150,529834,5361,609,366,392076,0 87,2,2024-09-07 09:02:31:077,383040,383040,0,0,17153070,0,4045 87,3,2024-09-07 09:02:31:797,1,305,8,0,473,4531,305,0 88,0,2024-09-07 09:02:31:441,74192,0.5,74734,0.6,148907,0.4,197768,1.75 88,1,2024-09-07 09:02:30:569,534932,534932,0,0,250000718932,2623361976,527567,5712,1653,365,392084,0 88,2,2024-09-07 09:02:30:692,386645,386645,0,0,19030845,0,3583 88,3,2024-09-07 09:02:31:268,1,305,4,0,435,3544,305,0 89,0,2024-09-07 09:02:31:775,75301,0.5,72834,0.7,144486,0.4,195984,1.75 89,1,2024-09-07 09:02:30:579,535231,535231,0,0,249791417036,2630703769,527891,6074,1266,382,391866,0 89,2,2024-09-07 09:02:31:132,385476,385476,0,0,18481799,0,2910 89,3,2024-09-07 09:02:31:793,1,305,1,0,385,5833,305,0 90,0,2024-09-07 09:02:31:718,75388,0.4,77362,0.6,157954,0.4,206217,1.75 90,1,2024-09-07 09:02:30:596,536476,536476,0,0,250093639684,2622138746,531088,5010,378,380,391825,0 90,2,2024-09-07 09:02:31:407,384621,384621,0,0,19711133,0,3060 90,3,2024-09-07 09:02:30:934,1,305,2,0,246,3477,305,0 91,0,2024-09-07 09:02:30:955,79544,0.5,77309,0.6,161034,0.5,211671,1.75 91,1,2024-09-07 09:02:30:558,535136,535136,0,0,249527204343,2627457729,527750,6346,1040,381,392047,0 91,2,2024-09-07 09:02:31:338,383727,383727,0,0,17769337,0,2896 91,3,2024-09-07 09:02:30:601,1,305,8,0,216,2806,305,0 92,0,2024-09-07 09:02:31:543,77468,0.5,79494,0.6,151771,0.5,205168,1.75 92,1,2024-09-07 09:02:30:580,536740,536740,0,0,249603588301,2605878006,533256,3003,481,382,392136,0 92,2,2024-09-07 09:02:31:351,388371,388371,0,0,15288058,0,2801 92,3,2024-09-07 09:02:31:020,1,305,4,0,167,2708,305,0 93,0,2024-09-07 09:02:30:985,72666,0.4,74509,0.6,142312,0.3,192599,1.75 93,1,2024-09-07 09:02:30:812,536892,536892,0,0,249488048143,2605259239,531665,4387,840,366,391776,0 93,2,2024-09-07 09:02:30:935,386180,386180,0,0,17725578,0,4311 93,3,2024-09-07 09:02:31:406,1,305,3,0,190,2976,305,0 94,0,2024-09-07 09:02:31:610,74679,0.4,75396,0.5,150436,0.3,199949,1.75 94,1,2024-09-07 09:02:30:570,536618,536618,0,0,250034628219,2612203179,532745,3687,186,381,391850,0 94,2,2024-09-07 09:02:30:767,385302,385302,0,0,15477598,0,2443 94,3,2024-09-07 09:02:31:689,1,305,6,0,264,3956,305,0 95,0,2024-09-07 09:02:31:346,79239,0.4,79163,0.6,159158,0.3,211572,1.75 95,1,2024-09-07 09:02:30:851,537743,537743,0,0,251164873502,2612854041,533442,3922,379,367,391713,0 95,2,2024-09-07 09:02:31:035,385227,385227,0,0,15780227,0,3308 95,3,2024-09-07 09:02:31:712,1,305,9,0,718,5013,305,0 96,0,2024-09-07 09:02:31:030,79605,0.4,79725,0.5,158935,0.3,210352,1.75 96,1,2024-09-07 09:02:31:589,536509,536509,0,0,250043483908,2612405897,532263,3463,783,384,391955,0 96,2,2024-09-07 09:02:31:305,386363,386363,0,0,16027003,0,4042 96,3,2024-09-07 09:02:31:145,1,305,7,0,411,3336,305,0 97,0,2024-09-07 09:02:31:346,72587,0.3,72243,0.5,145085,0.3,192805,1.75 97,1,2024-09-07 09:02:30:768,538768,538768,0,0,250572994963,2601051337,534967,3135,666,367,392140,0 97,2,2024-09-07 09:02:30:607,386666,386666,0,0,15708546,0,3036 97,3,2024-09-07 09:02:30:576,1,305,0,0,214,3734,305,0 98,0,2024-09-07 09:02:31:697,73875,0.3,73819,0.5,148206,0.2,196976,1.50 98,1,2024-09-07 09:02:30:570,538003,538003,0,0,250278184729,2605209323,535601,2299,103,382,391997,0 98,2,2024-09-07 09:02:30:789,385945,385945,0,0,15666835,0,3080 98,3,2024-09-07 09:02:30:699,1,305,2,0,840,5141,305,0 99,0,2024-09-07 09:02:31:497,80547,0.4,81040,0.5,161401,0.3,215292,1.75 99,1,2024-09-07 09:02:31:723,537557,537557,0,0,250760341406,2614091835,533472,3336,749,381,392069,0 99,2,2024-09-07 09:02:31:434,387806,387806,0,0,17241008,0,3424 99,3,2024-09-07 09:02:30:604,1,305,22,0,187,2599,305,0 100,0,2024-09-07 09:02:31:503,79478,0.8,79381,1.0,159059,1.0,212531,2.50 100,1,2024-09-07 09:02:30:563,533725,533725,0,0,249177880788,2638023417,525295,6939,1491,381,391989,0 100,2,2024-09-07 09:02:31:822,383234,383223,11,0,18217451,0,5417 100,3,2024-09-07 09:02:31:736,1,305,1,0,559,5409,305,0 101,0,2024-09-07 09:02:31:703,74646,1.2,72682,1.1,142691,1.0,195717,2.25 101,1,2024-09-07 09:02:30:550,534575,534575,0,0,248924501971,2626661567,525590,6966,2019,368,391771,0 101,2,2024-09-07 09:02:31:759,384076,384076,0,0,20698379,0,4644 101,3,2024-09-07 09:02:30:943,1,305,1,0,448,3701,305,0 102,0,2024-09-07 09:02:30:948,71545,0.6,74101,0.8,149188,0.6,195698,2.00 102,1,2024-09-07 09:02:31:144,535080,535080,0,0,249639099737,2625484656,527945,6106,1029,369,391891,0 102,2,2024-09-07 09:02:31:754,387550,387496,54,0,17858364,0,6768 102,3,2024-09-07 09:02:31:630,1,305,1,0,410,3389,305,0 103,0,2024-09-07 09:02:31:595,81169,0.6,81202,0.7,152984,0.6,210906,2.00 103,1,2024-09-07 09:02:31:627,534574,534574,0,0,248912744827,2629315770,526193,6462,1919,381,391829,0 103,2,2024-09-07 09:02:30:582,384752,384752,0,0,18144500,0,3173 103,3,2024-09-07 09:02:30:755,1,305,6,0,916,3993,305,0 104,0,2024-09-07 09:02:31:010,78726,0.8,79211,1.0,157010,0.8,211711,2.25 104,1,2024-09-07 09:02:31:621,535669,535669,0,0,248917759533,2622251616,527081,6928,1660,365,392168,0 104,2,2024-09-07 09:02:31:670,381696,381696,0,0,19073794,0,3941 104,3,2024-09-07 09:02:31:417,1,305,3,0,1245,6976,305,0 105,0,2024-09-07 09:02:31:027,74493,0.9,72504,1.1,151518,1.2,199365,3.00 105,1,2024-09-07 09:02:30:558,536221,536221,0,0,250003098591,2626794506,528380,6541,1300,366,391797,0 105,2,2024-09-07 09:02:31:360,386320,386320,0,0,18343804,0,3509 105,3,2024-09-07 09:02:31:305,1,305,17,0,399,4865,305,0 106,0,2024-09-07 09:02:30:995,69745,0.8,71398,1.0,146200,0.9,191268,2.50 106,1,2024-09-07 09:02:31:752,535311,535311,0,0,248936427662,2618727560,526714,7593,1004,369,391865,0 106,2,2024-09-07 09:02:30:755,384333,384333,0,0,18089438,0,2795 106,3,2024-09-07 09:02:30:691,1,305,1,0,470,4131,305,0 107,0,2024-09-07 09:02:31:150,76453,0.9,76225,0.9,152635,0.9,203782,2.25 107,1,2024-09-07 09:02:30:586,534663,534663,0,0,248769488497,2622340815,527608,6336,719,381,392234,0 107,2,2024-09-07 09:02:31:303,384015,384014,1,0,18789052,0,5024 107,3,2024-09-07 09:02:31:776,1,305,20,0,353,4241,305,0 108,0,2024-09-07 09:02:31:790,79027,0.4,79377,0.6,157887,0.4,210909,1.75 108,1,2024-09-07 09:02:31:313,536425,536425,0,0,250747420390,2618323765,531604,4306,515,368,391857,0 108,2,2024-09-07 09:02:31:777,383157,383157,0,0,17566583,0,4246 108,3,2024-09-07 09:02:31:332,1,305,8,0,749,6343,305,0 109,0,2024-09-07 09:02:31:784,78490,0.4,77651,0.6,155519,0.3,207837,1.75 109,1,2024-09-07 09:02:30:598,534820,534820,0,0,250068850416,2624144926,530216,3954,650,383,392132,0 109,2,2024-09-07 09:02:30:944,384779,384779,0,0,17278552,0,3617 109,3,2024-09-07 09:02:31:152,1,305,10,0,249,3340,305,0 110,0,2024-09-07 09:02:31:819,72467,0.4,70450,0.6,147460,0.3,192857,1.75 110,1,2024-09-07 09:02:31:659,538073,538073,0,0,250608563104,2601832508,534572,2590,911,369,392045,0 110,2,2024-09-07 09:02:31:319,386301,386301,0,0,17182431,0,4067 110,3,2024-09-07 09:02:30:698,1,305,0,0,406,4161,305,0 111,0,2024-09-07 09:02:31:441,74797,0.4,74027,0.5,148313,0.3,199054,1.75 111,1,2024-09-07 09:02:31:001,537930,537930,0,0,251522523037,2610390938,535254,2333,343,382,391690,0 111,2,2024-09-07 09:02:31:119,384847,384847,0,0,16069296,0,2763 111,3,2024-09-07 09:02:30:919,1,305,14,0,379,3943,305,0 112,0,2024-09-07 09:02:30:923,80938,0.3,80275,0.4,161096,0.2,213796,1.50 112,1,2024-09-07 09:02:30:824,538208,538208,0,0,250154990028,2598586302,534772,2852,584,380,391624,0 112,2,2024-09-07 09:02:31:133,386021,386020,1,0,15652069,0,5036 112,3,2024-09-07 09:02:30:593,1,305,1,0,282,3208,305,0 113,0,2024-09-07 09:02:30:864,79256,0.3,79283,0.5,159143,0.2,212062,1.50 113,1,2024-09-07 09:02:31:694,539572,539572,0,0,251800036573,2601230485,536299,2689,584,366,391661,0 113,2,2024-09-07 09:02:31:303,387175,387175,0,0,13940256,0,3813 113,3,2024-09-07 09:02:30:693,1,305,2,0,340,3897,305,0 114,0,2024-09-07 09:02:30:876,73285,0.3,73706,0.5,146436,0.2,195786,1.75 114,1,2024-09-07 09:02:30:721,537344,537344,0,0,250848210906,2611525948,532226,3526,1592,381,391556,0 114,2,2024-09-07 09:02:30:877,386644,386643,1,0,15590802,0,5069 114,3,2024-09-07 09:02:31:281,1,305,1,0,395,2901,305,0 115,0,2024-09-07 09:02:30:563,73844,0.3,74214,0.4,148399,0.2,197654,1.50 115,1,2024-09-07 09:02:30:572,537509,537509,0,0,250390674414,2604193178,532687,3982,840,382,391656,0 115,2,2024-09-07 09:02:31:125,387842,387842,0,0,14594328,0,3453 115,3,2024-09-07 09:02:31:003,1,305,8,0,159,1852,305,0 116,0,2024-09-07 09:02:31:708,79004,0.7,78832,0.8,158237,0.7,211588,2.00 116,1,2024-09-07 09:02:30:802,534697,534697,0,0,249013035372,2631540602,527528,5006,2163,380,391782,0 116,2,2024-09-07 09:02:31:751,384997,384997,0,0,19856195,0,3529 116,3,2024-09-07 09:02:30:916,1,305,5,0,415,3993,305,0 117,0,2024-09-07 09:02:31:008,79863,0.8,79528,0.9,159115,1.0,213221,2.00 117,1,2024-09-07 09:02:31:590,535539,535539,0,0,249302677706,2614357954,529415,5456,668,370,392033,0 117,2,2024-09-07 09:02:31:119,385974,385974,0,0,16133390,0,3700 117,3,2024-09-07 09:02:31:061,1,305,1,0,490,4701,305,0 118,0,2024-09-07 09:02:31:775,72052,0.5,74091,0.7,150829,0.5,196963,2.00 118,1,2024-09-07 09:02:30:586,535218,535218,0,0,248801704986,2620197754,527099,6274,1845,366,391736,0 118,2,2024-09-07 09:02:31:599,385688,385688,0,0,17656837,0,2781 118,3,2024-09-07 09:02:31:765,1,305,1,0,235,3431,305,0 119,0,2024-09-07 09:02:31:357,72773,0.7,73130,0.8,146434,0.8,194893,2.25 119,1,2024-09-07 09:02:30:557,536750,536750,0,0,250577395031,2623148745,530413,5502,835,367,391780,0 119,2,2024-09-07 09:02:31:263,387017,387017,0,0,16892044,0,4174 119,3,2024-09-07 09:02:31:324,1,305,5,0,563,4676,305,0 120,0,2024-09-07 09:02:31:556,77199,0.6,77162,0.8,154284,0.5,206847,2.25 120,1,2024-09-07 09:02:30:858,535701,535701,0,0,249540455314,2621563200,529733,5543,425,368,391961,0 120,2,2024-09-07 09:02:30:770,385068,385067,1,0,19785214,0,5281 120,3,2024-09-07 09:02:31:294,1,305,2,0,241,3899,305,0 121,0,2024-09-07 09:02:31:716,79057,1.2,79090,1.0,158825,1.6,211067,2.25 121,1,2024-09-07 09:02:31:659,536417,536417,0,0,249950676186,2617143322,530983,5003,431,367,391840,0 121,2,2024-09-07 09:02:31:132,382301,382301,0,0,19022999,0,4127 121,3,2024-09-07 09:02:30:728,1,305,0,0,269,3633,305,0 122,0,2024-09-07 09:02:31:781,76706,0.8,74690,0.9,156567,0.9,205173,2.00 122,1,2024-09-07 09:02:30:864,535160,535160,0,0,249572575837,2621697201,527518,6509,1133,366,392130,0 122,2,2024-09-07 09:02:31:319,386254,386181,73,0,21345640,0,5989 122,3,2024-09-07 09:02:30:600,1,305,1,0,411,5836,305,0 123,0,2024-09-07 09:02:30:951,71935,0.8,70350,0.8,146726,0.9,191914,2.25 123,1,2024-09-07 09:02:30:560,535289,535289,0,0,249640882085,2634997803,525035,8312,1942,369,392039,0 123,2,2024-09-07 09:02:31:020,384234,384233,1,0,18210679,0,5215 123,3,2024-09-07 09:02:31:133,1,305,9,0,168,3648,305,0 124,0,2024-09-07 09:02:30:942,77285,0.4,77315,0.5,145692,0.3,200549,1.75 124,1,2024-09-07 09:02:31:028,537671,537671,0,0,249959887066,2604879118,533086,3678,907,367,392178,0 124,2,2024-09-07 09:02:31:011,385958,385958,0,0,15459475,0,3101 124,3,2024-09-07 09:02:30:763,1,305,1,0,490,3324,305,0 125,0,2024-09-07 09:02:31:417,79660,0.4,79239,0.6,159448,0.4,211909,1.75 125,1,2024-09-07 09:02:30:859,536130,536130,0,0,250653493203,2617139111,532130,3550,450,383,391702,0 125,2,2024-09-07 09:02:31:135,385451,385451,0,0,16626665,0,4534 125,3,2024-09-07 09:02:31:127,1,305,53,0,709,4445,305,0 126,0,2024-09-07 09:02:31:436,79337,0.4,81586,0.6,155945,0.4,211135,1.75 126,1,2024-09-07 09:02:30:566,538217,538217,0,0,250976934220,2601332685,535162,2783,272,365,391987,0 126,2,2024-09-07 09:02:30:620,387613,387613,0,0,16314621,0,3186 126,3,2024-09-07 09:02:30:915,1,305,2,0,207,3992,305,0 127,0,2024-09-07 09:02:31:620,72261,0.3,72658,0.5,144927,0.3,192724,1.75 127,1,2024-09-07 09:02:30:568,537708,537708,0,0,250878944398,2603939276,533471,3723,514,365,391816,0 127,2,2024-09-07 09:02:30:646,385877,385877,0,0,14882041,0,2264 127,3,2024-09-07 09:02:31:268,1,305,7,0,968,3798,305,0 128,0,2024-09-07 09:02:31:526,74108,0.3,74235,0.5,148299,0.2,197385,1.50 128,1,2024-09-07 09:02:31:609,536939,536939,0,0,250557744565,2605715625,532912,3660,367,367,391798,0 128,2,2024-09-07 09:02:31:388,386831,386831,0,0,15064992,0,2915 128,3,2024-09-07 09:02:30:767,1,305,7,0,1082,5800,305,0 129,0,2024-09-07 09:02:31:012,81086,0.3,80732,0.5,161851,0.3,215403,1.75 129,1,2024-09-07 09:02:30:579,534068,534068,0,0,249625621365,2618447076,528752,4103,1213,379,391835,0 129,2,2024-09-07 09:02:30:704,386871,386871,0,0,15864810,0,4031 129,3,2024-09-07 09:02:30:699,1,305,0,0,469,4349,305,0 130,0,2024-09-07 09:02:31:737,80340,0.5,79965,0.6,160609,0.6,213882,1.75 130,1,2024-09-07 09:02:30:588,537460,537460,0,0,250521955047,2607630737,534265,2956,239,381,391825,0 130,2,2024-09-07 09:02:31:128,385839,385839,0,0,15461418,0,4067 130,3,2024-09-07 09:02:31:295,1,305,2,0,450,3941,305,0 131,0,2024-09-07 09:02:31:978,73035,0.4,73434,0.5,147667,0.3,195558,1.75 131,1,2024-09-07 09:02:31:827,537262,537262,0,0,250062615478,2613532073,532836,3683,743,381,391865,0 131,2,2024-09-07 09:02:30:573,388400,388400,0,0,14418692,0,2415 131,3,2024-09-07 09:02:31:693,1,305,7,0,392,3314,305,0 132,0,2024-09-07 09:02:31:411,73228,0.5,74074,0.6,147620,0.4,196527,2.00 132,1,2024-09-07 09:02:30:583,534743,534743,0,0,249802807473,2635492207,526402,6878,1463,381,392097,0 132,2,2024-09-07 09:02:30:700,386334,386334,0,0,19191380,0,4606 132,3,2024-09-07 09:02:31:692,1,305,1,0,804,5746,305,0 133,0,2024-09-07 09:02:31:515,76836,0.4,78548,0.6,161208,0.4,209605,1.75 133,1,2024-09-07 09:02:30:588,534913,534913,0,0,248898521730,2628382145,526978,6853,1082,383,391914,0 133,2,2024-09-07 09:02:31:087,384844,384844,0,0,20290922,0,4315 133,3,2024-09-07 09:02:31:296,1,305,11,0,479,3356,305,0 134,0,2024-09-07 09:02:30:985,79803,0.5,79730,0.7,159403,0.5,212810,2.00 134,1,2024-09-07 09:02:30:612,535132,535132,0,0,249006520138,2620398035,527098,6181,1853,366,391718,0 134,2,2024-09-07 09:02:31:758,382691,382691,0,0,16822603,0,3847 134,3,2024-09-07 09:02:30:751,1,305,1,0,739,4207,305,0 135,0,2024-09-07 09:02:31:153,72724,0.7,72838,0.9,154346,0.8,199093,2.00 135,1,2024-09-07 09:02:31:610,535187,535187,0,0,250007206078,2635658091,527280,6713,1194,380,391805,0 135,2,2024-09-07 09:02:30:706,387366,387366,0,0,18176215,0,3981 135,3,2024-09-07 09:02:31:002,1,305,9,0,299,2349,305,0 136,0,2024-09-07 09:02:31:644,72643,0.6,72661,0.7,144799,0.5,193299,2.25 136,1,2024-09-07 09:02:31:441,535699,535699,0,0,249505494059,2624057219,529044,5969,686,382,391685,0 136,2,2024-09-07 09:02:31:136,386591,386591,0,0,18157459,0,3506 136,3,2024-09-07 09:02:31:106,1,305,9,0,301,2967,305,0 137,0,2024-09-07 09:02:30:924,78804,0.7,76713,0.8,150596,0.7,205181,2.00 137,1,2024-09-07 09:02:30:575,535108,535108,0,0,249083300452,2618904231,526053,7195,1860,366,391708,0 137,2,2024-09-07 09:02:31:708,384847,384847,0,0,19973178,0,3185 137,3,2024-09-07 09:02:30:769,1,305,7,0,382,3766,305,0 138,0,2024-09-07 09:02:31:745,78474,1.5,78685,1.1,157837,2.1,209808,2.50 138,1,2024-09-07 09:02:31:695,535340,535340,0,0,250638457311,2635331894,526671,7091,1578,368,391954,0 138,2,2024-09-07 09:02:30:588,384083,384083,0,0,18359436,0,4988 138,3,2024-09-07 09:02:30:612,1,305,51,0,1160,4358,305,0 139,0,2024-09-07 09:02:31:421,76903,1.4,77299,1.1,154728,2.0,206040,2.25 139,1,2024-09-07 09:02:30:571,533343,533343,0,0,248054677641,2638842927,522971,7852,2520,381,391892,0 139,2,2024-09-07 09:02:30:713,384144,384144,0,0,19436798,0,3097 139,3,2024-09-07 09:02:31:674,1,305,11,0,257,3434,305,0 140,0,2024-09-07 09:02:31:606,72750,0.3,72258,0.5,145241,0.2,193141,1.75 140,1,2024-09-07 09:02:31:539,538757,538757,0,0,251004906536,2591399452,535722,2597,438,365,391606,0 140,2,2024-09-07 09:02:30:692,386749,386749,0,0,15204797,0,3388 140,3,2024-09-07 09:02:30:767,1,305,1,0,247,2565,305,0 141,0,2024-09-07 09:02:31:703,74400,0.3,76487,0.5,146292,0.3,198937,1.75 141,1,2024-09-07 09:02:30:864,538544,538544,0,0,251009593379,2607465298,534972,3113,459,379,391614,0 141,2,2024-09-07 09:02:31:694,385477,385477,0,0,15519438,0,3360 141,3,2024-09-07 09:02:31:047,1,305,0,0,391,3133,305,0 142,0,2024-09-07 09:02:31:315,81007,0.4,80273,0.5,160210,0.3,214024,1.75 142,1,2024-09-07 09:02:30:584,537430,537430,0,0,249892841661,2608671236,533697,3384,349,382,392102,0 142,2,2024-09-07 09:02:31:299,385555,385523,32,0,17086101,0,6028 142,3,2024-09-07 09:02:31:750,1,305,4,0,484,3965,305,0 143,0,2024-09-07 09:02:31:385,79575,0.5,79512,0.6,159298,0.4,212159,1.75 143,1,2024-09-07 09:02:30:559,537957,537957,0,0,249759207560,2593509212,534400,3412,145,367,391705,0 143,2,2024-09-07 09:02:30:769,385647,385647,0,0,15736253,0,2750 143,3,2024-09-07 09:02:31:140,1,305,8,0,303,3784,305,0 144,0,2024-09-07 09:02:31:514,70514,0.6,72569,0.8,147626,0.5,193948,2.00 144,1,2024-09-07 09:02:30:580,535096,535096,0,0,249370472029,2618592852,530258,3900,938,381,391649,0 144,2,2024-09-07 09:02:31:760,386889,386889,0,0,14989099,0,3473 144,3,2024-09-07 09:02:31:748,1,305,5,0,249,3298,305,0 145,0,2024-09-07 09:02:31:379,71248,0.7,71242,0.9,151197,0.6,195032,2.50 145,1,2024-09-07 09:02:30:563,534637,534637,0,0,249309357540,2624689330,527869,5768,1000,382,391698,0 145,2,2024-09-07 09:02:31:435,385322,385322,0,0,18528094,0,3903 145,3,2024-09-07 09:02:30:900,1,305,1,0,622,4795,305,0 146,0,2024-09-07 09:02:31:619,79306,0.5,78637,0.7,158521,0.5,210656,2.00 146,1,2024-09-07 09:02:31:589,536065,536065,0,0,249768765999,2629339622,527066,7098,1901,368,391770,0 146,2,2024-09-07 09:02:31:695,385260,385260,0,0,18163251,0,2730 146,3,2024-09-07 09:02:31:275,1,305,126,0,1520,6792,305,0 147,0,2024-09-07 09:02:31:716,79891,0.6,79636,0.8,158592,0.6,212800,2.25 147,1,2024-09-07 09:02:31:375,537656,537656,0,0,250724103830,2611247569,532524,4339,793,368,391791,0 147,2,2024-09-07 09:02:31:025,384609,384609,0,0,15243299,0,2789 147,3,2024-09-07 09:02:30:923,1,305,47,0,730,4658,305,0 0,0,2024-09-07 09:02:41:733,75594,0.6,75690,0.7,160428,0.6,207779,2.00 0,1,2024-09-07 09:02:40:802,538223,538223,0,0,250895712324,2627131549,534132,3850,241,370,391896,0 0,2,2024-09-07 09:02:41:067,387528,387528,0,0,16091619,0,4480 0,3,2024-09-07 09:02:40:974,1,306,10,0,319,3878,306,0 1,0,2024-09-07 09:02:41:807,79542,0.9,79034,1.0,158863,1.1,212154,2.00 1,1,2024-09-07 09:02:40:609,537408,537408,0,0,250350956841,2625117700,532127,4075,1206,370,391857,0 1,2,2024-09-07 09:02:40:639,383476,383476,0,0,15406030,0,3267 1,3,2024-09-07 09:02:41:310,1,306,13,0,262,3563,306,0 2,0,2024-09-07 09:02:41:568,76906,0.7,77277,0.8,153159,0.8,204948,2.00 2,1,2024-09-07 09:02:40:859,539160,539160,0,0,250947281786,2609367309,536266,2601,293,380,391745,0 2,2,2024-09-07 09:02:41:269,388424,388424,0,0,15466303,0,3594 2,3,2024-09-07 09:02:40:692,1,306,1,0,357,3121,306,0 3,0,2024-09-07 09:02:41:747,72163,0.4,72280,0.6,144389,0.4,191796,2.00 3,1,2024-09-07 09:02:41:631,538106,538106,0,0,251098188455,2619163264,533022,4461,623,380,391591,0 3,2,2024-09-07 09:02:41:148,387913,387890,23,0,15971732,0,5851 3,3,2024-09-07 09:02:41:755,1,306,1,0,103,1857,306,0 4,0,2024-09-07 09:02:41:782,73254,0.4,75438,0.5,153069,0.4,201216,1.75 4,1,2024-09-07 09:02:40:594,536699,536699,0,0,250020350559,2636293837,529470,5877,1352,371,391992,0 4,2,2024-09-07 09:02:41:017,384559,384559,0,0,18566012,0,4528 4,3,2024-09-07 09:02:41:031,1,306,1,0,448,4397,306,0 5,0,2024-09-07 09:02:41:457,79557,0.5,79805,0.6,159096,0.5,211568,1.75 5,1,2024-09-07 09:02:40:757,537226,537226,0,0,250067769055,2634336669,530150,5721,1355,368,392005,0 5,2,2024-09-07 09:02:41:830,384749,384749,0,0,17654475,0,2432 5,3,2024-09-07 09:02:41:736,1,306,2,0,457,4612,306,0 6,0,2024-09-07 09:02:40:946,79779,0.5,79216,0.7,158331,0.4,211165,2.00 6,1,2024-09-07 09:02:40:746,537122,537122,0,0,249818171851,2614503101,530953,5093,1076,379,391694,0 6,2,2024-09-07 09:02:41:124,387274,387274,0,0,16477760,0,4816 6,3,2024-09-07 09:02:41:292,1,306,26,0,340,3596,306,0 7,0,2024-09-07 09:02:41:536,72297,0.5,72538,0.6,144649,0.4,192452,2.00 7,1,2024-09-07 09:02:40:852,536552,536552,0,0,250490783200,2635718320,528621,6758,1173,382,391747,0 7,2,2024-09-07 09:02:40:769,387043,387043,0,0,16833183,0,4791 7,3,2024-09-07 09:02:40:853,1,306,12,0,398,3707,306,0 8,0,2024-09-07 09:02:41:487,74350,0.4,74083,0.5,148320,0.3,198264,1.75 8,1,2024-09-07 09:02:41:034,536021,536021,0,0,250214504254,2640051233,526297,7506,2218,366,392144,0 8,2,2024-09-07 09:02:40:790,383069,383069,0,0,20432444,0,3220 8,3,2024-09-07 09:02:40:599,1,306,10,0,538,5523,306,0 9,0,2024-09-07 09:02:41:100,80965,0.4,78676,0.6,164559,0.4,215407,1.75 9,1,2024-09-07 09:02:40:583,536632,536632,0,0,251193499773,2650424991,528384,6425,1823,369,392001,0 9,2,2024-09-07 09:02:41:083,386690,386690,0,0,18403121,0,3360 9,3,2024-09-07 09:02:41:769,1,306,3,0,496,4533,306,0 10,0,2024-09-07 09:02:41:610,80266,0.3,79669,0.5,160065,0.3,213489,1.75 10,1,2024-09-07 09:02:40:583,537327,537327,0,0,251075761902,2633836905,529758,6462,1107,381,391741,0 10,2,2024-09-07 09:02:40:765,385866,385866,0,0,19375282,0,4264 10,3,2024-09-07 09:02:40:873,1,306,66,0,296,2904,306,0 11,0,2024-09-07 09:02:41:008,72911,0.4,70774,0.7,148098,0.4,196047,1.75 11,1,2024-09-07 09:02:40:574,537696,537696,0,0,250585719211,2636824470,528056,7226,2414,383,391664,0 11,2,2024-09-07 09:02:41:123,387085,387085,0,0,17829157,0,4130 11,3,2024-09-07 09:02:41:298,1,306,7,0,843,5063,306,0 12,0,2024-09-07 09:02:40:964,74284,0.3,74240,0.5,148461,0.3,197674,1.75 12,1,2024-09-07 09:02:40:933,538216,538216,0,0,250443550259,2615012651,533534,4196,486,370,391870,0 12,2,2024-09-07 09:02:41:563,388206,388206,0,0,17496665,0,3469 12,3,2024-09-07 09:02:41:061,1,306,1,0,386,5105,306,0 13,0,2024-09-07 09:02:41:357,79538,0.4,79261,0.5,158465,0.4,211040,1.75 13,1,2024-09-07 09:02:41:568,537484,537484,0,0,250132708205,2630649031,532612,3570,1302,382,391740,0 13,2,2024-09-07 09:02:40:603,388297,388297,0,0,16219621,0,3287 13,3,2024-09-07 09:02:41:762,1,306,4,0,522,5217,306,0 14,0,2024-09-07 09:02:40:560,80235,0.4,80818,0.6,159865,0.4,213477,1.75 14,1,2024-09-07 09:02:41:564,540964,540964,0,0,252116330002,2612563468,537051,3633,280,364,391571,0 14,2,2024-09-07 09:02:40:766,384301,384301,0,0,15794897,0,2896 14,3,2024-09-07 09:02:41:117,1,306,0,0,1168,4010,306,0 15,0,2024-09-07 09:02:41:562,75327,0.4,75507,0.7,150715,0.4,200556,2.00 15,1,2024-09-07 09:02:41:616,539079,539079,0,0,250860698663,2608717238,536153,2747,179,381,391619,0 15,2,2024-09-07 09:02:40:999,389674,389674,0,0,13756400,0,3043 15,3,2024-09-07 09:02:41:407,1,306,9,0,1126,5750,306,0 16,0,2024-09-07 09:02:40:979,72705,0.6,72961,0.8,145241,0.7,193856,2.25 16,1,2024-09-07 09:02:40:578,538715,538715,0,0,251022661668,2624659099,534441,3915,359,370,391756,0 16,2,2024-09-07 09:02:41:433,387659,387659,0,0,16969996,0,4719 16,3,2024-09-07 09:02:41:147,1,306,19,0,317,4545,306,0 17,0,2024-09-07 09:02:41:774,79140,0.8,77266,0.9,151222,0.8,205918,2.00 17,1,2024-09-07 09:02:40:575,537785,537785,0,0,250150479720,2630126837,531679,4850,1256,368,391899,0 17,2,2024-09-07 09:02:41:666,388893,388893,0,0,16789963,0,2857 17,3,2024-09-07 09:02:40:599,1,306,7,0,268,4806,306,0 18,0,2024-09-07 09:02:40:943,78265,0.7,78674,0.8,156844,0.7,209190,2.25 18,1,2024-09-07 09:02:41:641,539136,539136,0,0,251741562018,2611810721,535898,2928,310,367,391649,0 18,2,2024-09-07 09:02:41:774,386300,386300,0,0,15463205,0,3541 18,3,2024-09-07 09:02:40:895,1,306,4,0,163,2783,306,0 19,0,2024-09-07 09:02:41:564,77520,0.6,78110,0.8,154916,0.6,206146,2.25 19,1,2024-09-07 09:02:40:566,539128,539128,0,0,251297641341,2611839432,534017,4273,838,367,391777,0 19,2,2024-09-07 09:02:41:752,389498,389498,0,0,14123755,0,3988 19,3,2024-09-07 09:02:41:133,1,306,2,0,524,2343,306,0 20,0,2024-09-07 09:02:41:363,72322,0.6,72327,0.7,144738,0.6,192702,2.25 20,1,2024-09-07 09:02:40:582,537335,537335,0,0,250349742571,2619401606,533019,3912,404,369,391886,0 20,2,2024-09-07 09:02:40:932,387777,387777,0,0,16767150,0,3721 20,3,2024-09-07 09:02:40:595,1,306,8,0,414,5049,306,0 21,0,2024-09-07 09:02:41:166,74856,0.6,74852,0.7,149707,0.5,198743,2.00 21,1,2024-09-07 09:02:41:539,536176,536176,0,0,249795225520,2636620587,528376,5924,1876,368,392016,0 21,2,2024-09-07 09:02:41:071,384775,384775,0,0,19429527,0,3747 21,3,2024-09-07 09:02:41:639,1,306,9,0,103,3178,306,0 22,0,2024-09-07 09:02:41:737,80006,0.6,80278,0.8,160538,0.6,212305,2.25 22,1,2024-09-07 09:02:41:027,537339,537339,0,0,249445063130,2625737085,529399,6270,1670,382,391667,0 22,2,2024-09-07 09:02:40:759,385920,385920,0,0,16464838,0,3134 22,3,2024-09-07 09:02:41:069,1,306,12,0,228,2744,306,0 23,0,2024-09-07 09:02:41:371,79244,0.6,79061,0.7,158550,0.6,211345,2.25 23,1,2024-09-07 09:02:41:003,537381,537381,0,0,250324561572,2636059137,527036,7187,3158,365,391690,0 23,2,2024-09-07 09:02:41:092,387385,387385,0,0,15785871,0,3010 23,3,2024-09-07 09:02:41:758,1,306,8,0,645,3698,306,0 24,0,2024-09-07 09:02:40:872,73624,0.4,73422,0.6,147561,0.4,195926,1.75 24,1,2024-09-07 09:02:40:595,536952,536952,0,0,249635600501,2621936851,530460,4968,1524,368,391987,0 24,2,2024-09-07 09:02:41:088,386063,386063,0,0,19257498,0,3607 24,3,2024-09-07 09:02:41:697,1,306,1,0,468,4269,306,0 25,0,2024-09-07 09:02:41:357,76019,0.4,74171,0.6,145523,0.4,198458,2.00 25,1,2024-09-07 09:02:40:582,537885,537885,0,0,251015535946,2634543928,531140,5651,1094,371,391928,0 25,2,2024-09-07 09:02:41:610,386423,386423,0,0,19687028,0,3978 25,3,2024-09-07 09:02:40:999,1,306,1,0,255,3221,306,0 26,0,2024-09-07 09:02:41:722,79489,0.4,77631,0.6,162943,0.3,212171,1.75 26,1,2024-09-07 09:02:41:540,538080,538080,0,0,250019706158,2624593124,529665,7065,1350,380,391748,0 26,2,2024-09-07 09:02:40:866,387210,387210,0,0,19574053,0,2809 26,3,2024-09-07 09:02:41:717,1,306,246,0,796,4303,306,0 27,0,2024-09-07 09:02:41:734,80397,0.5,80494,0.6,159333,0.4,213339,2.00 27,1,2024-09-07 09:02:41:679,539865,539865,0,0,251299831507,2618837165,534935,4169,761,381,391626,0 27,2,2024-09-07 09:02:40:868,382721,382656,65,0,19030634,0,5699 27,3,2024-09-07 09:02:41:016,1,306,8,0,564,3264,306,0 28,0,2024-09-07 09:02:41:418,74553,0.4,74295,0.6,148919,0.4,198482,2.00 28,1,2024-09-07 09:02:40:848,539167,539167,0,0,251640724438,2623893315,535086,3406,675,383,391646,0 28,2,2024-09-07 09:02:41:779,387574,387574,0,0,15874575,0,2915 28,3,2024-09-07 09:02:41:775,1,306,62,0,502,3142,306,0 29,0,2024-09-07 09:02:41:371,75450,0.4,73559,0.6,144015,0.3,196781,1.75 29,1,2024-09-07 09:02:41:562,540279,540279,0,0,251645494306,2606788607,536525,3171,583,369,391753,0 29,2,2024-09-07 09:02:40:865,387184,387184,0,0,15583065,0,4233 29,3,2024-09-07 09:02:40:963,1,306,1,0,374,3328,306,0 30,0,2024-09-07 09:02:41:471,77692,0.5,75580,0.7,158092,0.4,207293,2.00 30,1,2024-09-07 09:02:40:576,540086,540086,0,0,251824724203,2616438533,535998,3482,606,382,391672,0 30,2,2024-09-07 09:02:41:292,387477,387477,0,0,15117770,0,3161 30,3,2024-09-07 09:02:40:589,1,306,1,0,519,2965,306,0 31,0,2024-09-07 09:02:41:768,79105,0.5,79424,0.6,158902,0.4,211738,2.00 31,1,2024-09-07 09:02:40:566,542215,542215,0,0,252192465463,2587576514,540127,1659,429,356,391712,0 31,2,2024-09-07 09:02:41:315,384361,384361,0,0,16574437,0,3525 31,3,2024-09-07 09:02:41:712,1,306,53,0,220,2435,306,0 32,0,2024-09-07 09:02:41:414,76921,0.3,77276,0.5,154458,0.3,205293,1.75 32,1,2024-09-07 09:02:40:809,539567,539567,0,0,251674015274,2615569017,536507,2615,445,381,391646,0 32,2,2024-09-07 09:02:40:938,388543,388543,0,0,14468283,0,3155 32,3,2024-09-07 09:02:41:015,1,306,1,0,227,2346,306,0 33,0,2024-09-07 09:02:41:491,72564,0.3,72073,0.5,144764,0.2,192631,1.75 33,1,2024-09-07 09:02:40:580,539580,539580,0,0,252222915088,2614574071,535203,3547,830,369,391730,0 33,2,2024-09-07 09:02:40:759,388599,388564,35,0,16570396,0,7012 33,3,2024-09-07 09:02:40:895,1,306,1,0,329,3357,306,0 34,0,2024-09-07 09:02:40:953,75642,0.3,77860,0.5,149004,0.2,200566,1.75 34,1,2024-09-07 09:02:41:044,541221,541221,0,0,252152709487,2594152354,539834,1380,7,367,391562,0 34,2,2024-09-07 09:02:40:766,386743,386743,0,0,15470884,0,3577 34,3,2024-09-07 09:02:41:688,1,306,1,0,299,2374,306,0 35,0,2024-09-07 09:02:40:881,78781,0.4,79255,0.5,159430,0.3,212127,1.75 35,1,2024-09-07 09:02:41:070,539360,539360,0,0,251454365431,2609023313,535760,2820,780,382,391589,0 35,2,2024-09-07 09:02:41:589,386256,386256,0,0,15743482,0,2653 35,3,2024-09-07 09:02:40:907,1,306,6,0,418,4071,306,0 36,0,2024-09-07 09:02:41:522,79543,0.5,79613,0.7,159211,0.4,210855,2.25 36,1,2024-09-07 09:02:40:583,538965,538965,0,0,250885345415,2626084698,531751,5557,1657,366,391759,0 36,2,2024-09-07 09:02:41:751,387420,387420,0,0,17769615,0,3875 36,3,2024-09-07 09:02:40:864,1,306,1,0,416,5180,306,0 37,0,2024-09-07 09:02:41:371,72156,0.5,72168,0.7,144374,0.5,193273,2.00 37,1,2024-09-07 09:02:40:574,537585,537578,0,7,250515371934,2622822669,530836,4658,2084,365,391570,0 37,2,2024-09-07 09:02:41:144,386059,386044,15,0,17316976,0,5815 37,3,2024-09-07 09:02:41:769,1,306,0,0,888,5432,306,0 38,0,2024-09-07 09:02:41:453,73594,0.5,71558,0.7,149718,0.4,196158,2.00 38,1,2024-09-07 09:02:41:605,539888,539888,0,0,251703187543,2623118509,534336,4875,677,368,391821,0 38,2,2024-09-07 09:02:40:760,386146,386099,47,0,18249240,0,6710 38,3,2024-09-07 09:02:40:998,1,306,8,0,689,4230,306,0 39,0,2024-09-07 09:02:41:767,82226,0.7,80599,0.8,156853,0.7,214169,2.00 39,1,2024-09-07 09:02:40:735,538082,538082,0,0,250605245645,2626945749,529759,6863,1460,365,391594,0 39,2,2024-09-07 09:02:41:422,387131,387131,0,0,16377983,0,2689 39,3,2024-09-07 09:02:40:734,1,306,9,0,324,3922,306,0 40,0,2024-09-07 09:02:41:491,79314,0.8,79963,1.0,159828,0.8,213003,2.75 40,1,2024-09-07 09:02:40:576,538793,538793,0,0,250451556090,2625883675,530582,6756,1455,368,391668,0 40,2,2024-09-07 09:02:41:308,385249,385248,1,0,19249521,0,5137 40,3,2024-09-07 09:02:41:143,1,306,1,0,398,3812,306,0 41,0,2024-09-07 09:02:41:033,72757,1.6,74550,1.3,142318,2.6,193874,3.25 41,1,2024-09-07 09:02:40:768,538007,538007,0,0,250921951179,2626948964,531544,5823,640,370,391742,0 41,2,2024-09-07 09:02:40:759,385846,385846,0,0,18440362,0,3356 41,3,2024-09-07 09:02:41:676,1,306,19,0,366,3240,306,0 42,0,2024-09-07 09:02:41:475,73154,0.7,73205,1.0,146612,0.7,193650,2.50 42,1,2024-09-07 09:02:41:442,537047,537047,0,0,250069072805,2629840484,528500,6983,1564,380,391675,0 42,2,2024-09-07 09:02:41:135,387877,387877,0,0,18379586,0,3568 42,3,2024-09-07 09:02:41:009,1,306,11,0,446,3020,306,0 43,0,2024-09-07 09:02:40:922,78490,0.8,76595,1.0,160246,0.9,210238,2.25 43,1,2024-09-07 09:02:40:579,538407,538407,0,0,251536857180,2631965728,530528,6447,1432,366,391696,0 43,2,2024-09-07 09:02:41:736,387326,387326,0,0,18591296,0,3812 43,3,2024-09-07 09:02:41:749,1,306,9,0,467,3782,306,0 44,0,2024-09-07 09:02:40:877,79989,0.5,80376,0.6,160416,0.4,213685,1.75 44,1,2024-09-07 09:02:40:563,540011,540011,0,0,250954634332,2596764463,535640,3426,945,356,391809,0 44,2,2024-09-07 09:02:41:273,383697,383697,0,0,15147444,0,4344 44,3,2024-09-07 09:02:41:098,1,306,0,0,817,4009,306,0 45,0,2024-09-07 09:02:41:756,74406,0.5,72608,0.8,152368,0.5,200394,2.00 45,1,2024-09-07 09:02:41:005,539198,539198,0,0,251545113511,2611588002,536243,2694,261,382,391917,0 45,2,2024-09-07 09:02:41:275,388686,388686,0,0,15358175,0,3596 45,3,2024-09-07 09:02:40:934,1,306,1,0,271,3075,306,0 46,0,2024-09-07 09:02:40:950,72072,0.5,72123,0.7,144525,0.4,191818,2.00 46,1,2024-09-07 09:02:40:581,540862,540862,0,0,252012704799,2602571184,537778,2728,356,366,391539,0 46,2,2024-09-07 09:02:40:593,388522,388522,0,0,15005239,0,2920 46,3,2024-09-07 09:02:41:133,1,306,1,0,908,4420,306,0 47,0,2024-09-07 09:02:41:104,77328,0.5,77462,0.6,154966,0.4,205516,1.75 47,1,2024-09-07 09:02:40:567,540637,540637,0,0,251796682524,2602192733,537559,2719,359,366,391641,0 47,2,2024-09-07 09:02:40:908,388697,388697,0,0,15378844,0,4477 47,3,2024-09-07 09:02:41:114,1,306,8,0,529,3431,306,0 48,0,2024-09-07 09:02:41:494,79972,0.3,79426,0.4,158437,0.2,211289,1.50 48,1,2024-09-07 09:02:41:025,539548,539548,0,0,251432645528,2613636725,536012,3235,301,384,391710,0 48,2,2024-09-07 09:02:40:699,385076,385076,0,0,14274905,0,3031 48,3,2024-09-07 09:02:40:754,1,306,1,0,339,2754,306,0 49,0,2024-09-07 09:02:41:720,80080,0.3,78644,0.5,152450,0.3,208468,1.75 49,1,2024-09-07 09:02:41:025,538691,538691,0,0,251261405020,2619812690,534393,3114,1184,382,391809,0 49,2,2024-09-07 09:02:41:808,389195,389195,0,0,15277352,0,4426 49,3,2024-09-07 09:02:41:425,1,306,1,0,408,3377,306,0 50,0,2024-09-07 09:02:41:507,72491,0.3,71799,0.5,144466,0.2,192352,1.75 50,1,2024-09-07 09:02:41:010,541052,541052,0,0,252431117397,2613437202,537252,3426,374,368,391540,0 50,2,2024-09-07 09:02:41:067,387920,387920,0,0,14265664,0,2263 50,3,2024-09-07 09:02:41:291,1,306,87,0,335,2802,306,0 51,0,2024-09-07 09:02:41:721,76651,0.3,74943,0.5,146433,0.2,199544,1.75 51,1,2024-09-07 09:02:41:690,540840,540840,0,0,252107828900,2604392732,537587,2278,975,365,391706,0 51,2,2024-09-07 09:02:41:325,386252,386252,0,0,14051454,0,3337 51,3,2024-09-07 09:02:41:032,1,306,8,0,678,2405,306,0 52,0,2024-09-07 09:02:41:441,80545,0.5,80453,0.7,160852,0.5,213666,2.00 52,1,2024-09-07 09:02:40:584,538277,538277,0,0,250211613454,2626601418,529753,7214,1310,368,391722,0 52,2,2024-09-07 09:02:41:760,383618,383580,38,0,18603639,0,6742 52,3,2024-09-07 09:02:40:677,1,306,12,0,1782,4839,306,0 53,0,2024-09-07 09:02:41:779,79085,0.7,76931,0.8,160624,0.7,210937,2.25 53,1,2024-09-07 09:02:40:771,536808,536808,0,0,250312498132,2633061151,527434,6795,2579,367,391702,0 53,2,2024-09-07 09:02:41:307,387562,387562,0,0,15649180,0,2727 53,3,2024-09-07 09:02:40:697,1,306,3,0,308,2934,306,0 54,0,2024-09-07 09:02:41:622,72035,0.6,72456,0.8,144140,0.4,193226,2.50 54,1,2024-09-07 09:02:40:582,538753,538753,0,0,251596492307,2619079065,533164,4987,602,367,391659,0 54,2,2024-09-07 09:02:40:866,387479,387473,6,0,18098756,0,5382 54,3,2024-09-07 09:02:40:765,1,306,1,0,676,4963,306,0 55,0,2024-09-07 09:02:41:768,71487,0.6,74118,0.8,149116,0.6,194701,2.50 55,1,2024-09-07 09:02:40:766,538226,538226,0,0,250414948670,2610528612,531764,5554,908,365,391731,0 55,2,2024-09-07 09:02:40:728,386456,386456,0,0,17748921,0,3563 55,3,2024-09-07 09:02:40:676,1,306,8,0,304,3365,306,0 56,0,2024-09-07 09:02:41:607,81312,1.0,76812,1.1,157996,1.4,211616,2.50 56,1,2024-09-07 09:02:40:579,535985,535985,0,0,250158975706,2645073777,527678,6729,1578,381,391867,0 56,2,2024-09-07 09:02:41:309,386882,386882,0,0,18546140,0,3567 56,3,2024-09-07 09:02:41:060,1,306,2,0,705,4186,306,0 57,0,2024-09-07 09:02:40:975,79053,1.6,78852,1.3,158084,2.2,211801,3.25 57,1,2024-09-07 09:02:40:990,537994,537994,0,0,249979887695,2622465026,531595,5683,716,366,392032,0 57,2,2024-09-07 09:02:41:323,384996,384996,0,0,19458080,0,3317 57,3,2024-09-07 09:02:41:752,1,306,7,0,359,3713,306,0 58,0,2024-09-07 09:02:40:559,73045,0.9,71106,1.0,148960,1.2,195107,2.50 58,1,2024-09-07 09:02:40:587,538720,538717,0,3,251015995966,2628061529,530988,6678,1051,367,391603,3 58,2,2024-09-07 09:02:41:070,387188,387188,0,0,18182245,0,2549 58,3,2024-09-07 09:02:41:068,1,306,1,0,1043,3312,306,0 59,0,2024-09-07 09:02:41:750,73085,0.7,72737,0.9,145370,0.7,193122,2.75 59,1,2024-09-07 09:02:40:812,538293,538293,0,0,251088381508,2630131468,531144,5748,1401,369,391578,0 59,2,2024-09-07 09:02:40:583,388949,388949,0,0,17133909,0,2604 59,3,2024-09-07 09:02:41:737,1,306,15,0,1015,4134,306,0 60,0,2024-09-07 09:02:41:727,78012,0.5,78106,0.6,156745,0.4,208016,1.75 60,1,2024-09-07 09:02:40:772,540192,540192,0,0,251953441843,2613554707,537292,2373,527,370,392031,0 60,2,2024-09-07 09:02:41:148,387378,387378,0,0,17637306,0,3811 60,3,2024-09-07 09:02:41:266,1,306,3,0,175,3175,306,0 61,0,2024-09-07 09:02:41:499,79277,0.7,79759,0.8,158521,0.7,211478,2.00 61,1,2024-09-07 09:02:40:771,538072,538072,0,0,250750988475,2627833258,532144,5014,914,382,391880,0 61,2,2024-09-07 09:02:41:150,384754,384754,0,0,15948080,0,3598 61,3,2024-09-07 09:02:41:687,1,306,2,0,479,4606,306,0 62,0,2024-09-07 09:02:41:715,77429,0.6,79090,0.7,150753,0.6,204979,2.00 62,1,2024-09-07 09:02:41:112,541396,541390,0,6,252339079083,2604593828,538526,2702,162,365,391715,6 62,2,2024-09-07 09:02:41:645,387129,387128,1,0,17184146,0,5555 62,3,2024-09-07 09:02:41:145,1,306,1,0,465,2481,306,0 63,0,2024-09-07 09:02:41:453,72293,0.4,72419,0.6,144886,0.4,192440,1.75 63,1,2024-09-07 09:02:40:817,539671,539665,0,6,251527358798,2610855462,536555,2876,234,381,391677,6 63,2,2024-09-07 09:02:40:766,387958,387958,0,0,15439375,0,4369 63,3,2024-09-07 09:02:41:732,1,306,8,0,667,3408,306,0 64,0,2024-09-07 09:02:41:516,75043,0.5,74989,0.7,149997,0.5,199752,2.00 64,1,2024-09-07 09:02:40:755,538820,538820,0,0,251660823262,2627305228,533194,3970,1656,370,391783,0 64,2,2024-09-07 09:02:41:171,390016,389997,19,0,15519212,0,6121 64,3,2024-09-07 09:02:41:144,1,306,1,0,265,2993,306,0 65,0,2024-09-07 09:02:41:702,78806,0.7,79037,0.8,157818,0.8,210067,2.25 65,1,2024-09-07 09:02:40:860,537793,537793,0,0,250459912058,2620338997,533804,3540,449,382,391901,0 65,2,2024-09-07 09:02:41:699,386991,386991,0,0,17166147,0,3367 65,3,2024-09-07 09:02:41:685,1,306,2,0,163,2835,306,0 66,0,2024-09-07 09:02:41:772,79062,0.5,78967,0.7,158213,0.5,209677,2.25 66,1,2024-09-07 09:02:41:293,539051,539051,0,0,251610283609,2618248120,535549,3183,319,380,391653,0 66,2,2024-09-07 09:02:41:133,389624,389624,0,0,15635360,0,4956 66,3,2024-09-07 09:02:41:078,1,306,6,0,291,3094,306,0 67,0,2024-09-07 09:02:41:414,73020,0.6,72284,0.7,145310,0.5,193453,2.00 67,1,2024-09-07 09:02:40:770,539231,539230,0,1,250488266101,2612077370,535121,3359,750,380,391787,1 67,2,2024-09-07 09:02:40:594,388284,388284,0,0,14854941,0,2889 67,3,2024-09-07 09:02:41:755,1,306,0,0,338,2726,306,0 68,0,2024-09-07 09:02:40:578,74509,0.6,74098,0.8,147953,0.6,197873,2.00 68,1,2024-09-07 09:02:40:574,538111,538111,0,0,249952058391,2621801142,533374,3536,1201,381,391953,0 68,2,2024-09-07 09:02:41:044,385041,384976,65,0,20090071,0,6698 68,3,2024-09-07 09:02:40:734,1,306,2,0,417,3348,306,0 69,0,2024-09-07 09:02:41:775,80279,1.0,80634,0.9,160748,1.2,213306,2.50 69,1,2024-09-07 09:02:41:016,535823,535823,0,0,249571583089,2638620109,528203,5591,2029,384,391994,0 69,2,2024-09-07 09:02:41:732,386813,386813,0,0,19755347,0,3722 69,3,2024-09-07 09:02:40:760,1,306,1,0,698,4450,306,0 70,0,2024-09-07 09:02:41:535,79372,0.9,79350,1.1,159612,0.7,211676,2.50 70,1,2024-09-07 09:02:40:801,539895,539895,0,0,251545982072,2613447402,535405,3954,536,366,391725,0 70,2,2024-09-07 09:02:41:330,385063,385063,0,0,17943879,0,4044 70,3,2024-09-07 09:02:40:745,1,306,6,0,854,3553,306,0 71,0,2024-09-07 09:02:41:366,72788,1.0,72535,1.0,145704,1.2,194714,2.75 71,1,2024-09-07 09:02:41:596,538036,538036,0,0,251862936941,2631486933,531538,5842,656,368,391738,0 71,2,2024-09-07 09:02:41:067,387164,387164,0,0,17809640,0,4042 71,3,2024-09-07 09:02:41:752,1,306,18,0,644,4418,306,0 72,0,2024-09-07 09:02:41:114,76172,0.6,74444,0.8,145291,0.7,198172,2.00 72,1,2024-09-07 09:02:41:029,537567,537567,0,0,250683656438,2627811083,529934,5949,1684,369,391819,0 72,2,2024-09-07 09:02:41:761,386762,386762,0,0,20293241,0,3983 72,3,2024-09-07 09:02:41:758,1,306,11,0,564,5123,306,0 73,0,2024-09-07 09:02:41:107,77150,0.4,78875,0.6,161656,0.3,209945,2.00 73,1,2024-09-07 09:02:40:768,538988,538988,0,0,251251901144,2613358669,535047,3612,329,367,391858,0 73,2,2024-09-07 09:02:41:742,387417,387417,0,0,19490005,0,3701 73,3,2024-09-07 09:02:40:969,1,306,0,0,274,4311,306,0 74,0,2024-09-07 09:02:41:334,80426,0.5,82422,0.7,157340,0.5,213486,2.25 74,1,2024-09-07 09:02:40:636,538602,538602,0,0,250658080325,2618300010,533263,4370,969,381,391681,0 74,2,2024-09-07 09:02:41:002,383866,383866,0,0,18276321,0,4253 74,3,2024-09-07 09:02:41:443,1,306,11,0,522,4477,306,0 75,0,2024-09-07 09:02:41:792,75519,0.5,75207,0.7,150674,0.4,201422,2.25 75,1,2024-09-07 09:02:41:593,537955,537955,0,0,250604566198,2621564221,531976,5164,815,380,391739,0 75,2,2024-09-07 09:02:41:365,387550,387550,0,0,18449458,0,4766 75,3,2024-09-07 09:02:41:067,1,306,10,0,702,4306,306,0 76,0,2024-09-07 09:02:40:589,72175,0.6,71667,0.8,143870,0.6,192737,2.25 76,1,2024-09-07 09:02:40:809,538707,538707,0,0,250326234719,2612796764,535104,3049,554,382,391692,0 76,2,2024-09-07 09:02:41:061,389011,389010,1,0,17043919,0,5144 76,3,2024-09-07 09:02:41:156,1,306,2,0,175,3090,306,0 77,0,2024-09-07 09:02:41:713,76979,0.6,77145,0.8,154171,0.6,205470,2.00 77,1,2024-09-07 09:02:40:992,538986,538986,0,0,250728501232,2619679284,534770,3952,264,381,391869,0 77,2,2024-09-07 09:02:41:293,387325,387325,0,0,16866907,0,3890 77,3,2024-09-07 09:02:41:098,1,306,1,0,401,3578,306,0 78,0,2024-09-07 09:02:41:723,79500,0.5,79102,0.7,158901,0.4,210620,2.00 78,1,2024-09-07 09:02:40:610,539073,539073,0,0,251575495239,2619900108,533917,4162,994,367,391670,0 78,2,2024-09-07 09:02:41:407,385831,385831,0,0,14697933,0,3855 78,3,2024-09-07 09:02:41:134,1,306,0,0,181,2977,306,0 79,0,2024-09-07 09:02:41:372,75590,0.4,77522,0.6,158491,0.4,205939,2.25 79,1,2024-09-07 09:02:40:579,540797,540797,0,0,251770024432,2606350351,537073,3279,445,369,391682,0 79,2,2024-09-07 09:02:41:068,389020,389020,0,0,15070194,0,3212 79,3,2024-09-07 09:02:40:753,1,306,8,0,418,4194,306,0 80,0,2024-09-07 09:02:41:122,72430,0.6,74408,0.7,142598,0.5,192158,2.00 80,1,2024-09-07 09:02:41:662,538923,538923,0,0,250921995782,2612146962,535615,3131,177,368,391791,0 80,2,2024-09-07 09:02:41:098,389005,389005,0,0,15135046,0,4433 80,3,2024-09-07 09:02:40:576,1,306,52,0,190,3872,306,0 81,0,2024-09-07 09:02:41:544,74497,0.6,76435,0.7,145933,0.6,198191,2.00 81,1,2024-09-07 09:02:41:660,538092,538092,0,0,250363500406,2619584145,533517,4095,480,382,391879,0 81,2,2024-09-07 09:02:41:131,386115,386052,63,0,17132561,0,5932 81,3,2024-09-07 09:02:41:133,1,306,6,0,374,3752,306,0 82,0,2024-09-07 09:02:41:547,79893,0.5,80431,0.7,161005,0.5,213201,2.00 82,1,2024-09-07 09:02:40:583,539692,539688,0,4,251400942459,2620580689,535837,3055,796,381,391768,4 82,2,2024-09-07 09:02:41:696,387944,387944,0,0,14853915,0,3986 82,3,2024-09-07 09:02:41:754,1,306,249,0,363,3359,306,0 83,0,2024-09-07 09:02:41:535,79889,0.5,79710,0.7,158547,0.5,211188,2.00 83,1,2024-09-07 09:02:40:563,538456,538456,0,0,250480696212,2614702352,534370,3765,321,382,391709,0 83,2,2024-09-07 09:02:40:774,387109,387109,0,0,15115416,0,3393 83,3,2024-09-07 09:02:40:753,1,306,12,0,1260,4728,306,0 84,0,2024-09-07 09:02:41:785,72640,0.7,72559,0.9,145213,0.6,194594,2.25 84,1,2024-09-07 09:02:41:039,537940,537940,0,0,250290969823,2616824566,532780,4574,586,367,391967,0 84,2,2024-09-07 09:02:40:576,387177,387177,0,0,18503776,0,4757 84,3,2024-09-07 09:02:41:147,1,306,1,0,908,4846,306,0 85,0,2024-09-07 09:02:41:006,71373,0.8,71457,0.9,151425,0.9,195885,2.50 85,1,2024-09-07 09:02:40:587,536910,536910,0,0,249983449171,2643049643,528539,7003,1368,381,392006,0 85,2,2024-09-07 09:02:40:868,387660,387660,0,0,18794807,0,3656 85,3,2024-09-07 09:02:40:690,1,306,160,0,789,4258,306,0 86,0,2024-09-07 09:02:40:876,79605,0.6,81928,0.8,156739,0.6,211636,2.25 86,1,2024-09-07 09:02:40:825,538369,538369,0,0,250950220216,2630431121,531548,5758,1063,366,391961,0 86,2,2024-09-07 09:02:40:866,386021,386020,1,0,19635545,0,5004 86,3,2024-09-07 09:02:40:591,1,306,4,0,286,4089,306,0 87,0,2024-09-07 09:02:41:407,79701,1.0,79739,0.9,160046,1.3,213665,2.50 87,1,2024-09-07 09:02:40:552,537441,537441,0,0,250283431192,2621454129,531471,5361,609,366,392076,0 87,2,2024-09-07 09:02:41:070,384278,384278,0,0,17259561,0,4045 87,3,2024-09-07 09:02:41:802,1,306,8,0,473,4539,306,0 88,0,2024-09-07 09:02:41:455,74368,0.5,74870,0.6,149222,0.4,198184,1.75 88,1,2024-09-07 09:02:40:577,536629,536629,0,0,250750633209,2631452451,529231,5745,1653,365,392084,0 88,2,2024-09-07 09:02:40:695,387942,387942,0,0,19156835,0,3583 88,3,2024-09-07 09:02:41:269,1,306,1,0,435,3545,306,0 89,0,2024-09-07 09:02:41:785,75556,0.5,73097,0.7,145030,0.4,196590,1.75 89,1,2024-09-07 09:02:40:553,536874,536874,0,0,250420683599,2637543816,529533,6075,1266,382,391866,0 89,2,2024-09-07 09:02:41:139,387017,387017,0,0,18686445,0,2910 89,3,2024-09-07 09:02:41:799,1,306,7,0,385,5840,306,0 90,0,2024-09-07 09:02:41:634,75751,0.4,77764,0.6,158710,0.4,207214,1.75 90,1,2024-09-07 09:02:40:591,538068,538068,0,0,250971727195,2631467498,532679,5011,378,380,391825,0 90,2,2024-09-07 09:02:41:410,386058,386058,0,0,19829344,0,3060 90,3,2024-09-07 09:02:40:936,1,306,63,0,246,3540,306,0 91,0,2024-09-07 09:02:40:932,79708,0.5,77472,0.6,161364,0.5,212128,1.75 91,1,2024-09-07 09:02:40:556,536826,536826,0,0,250378238768,2637114712,529345,6441,1040,381,392047,0 91,2,2024-09-07 09:02:41:386,384460,384460,0,0,17854810,0,2896 91,3,2024-09-07 09:02:40:614,1,306,8,0,216,2814,306,0 92,0,2024-09-07 09:02:41:464,77576,0.5,79601,0.6,151975,0.5,205430,1.75 92,1,2024-09-07 09:02:40:590,538579,538579,0,0,250323151871,2613705421,535054,3044,481,382,392136,0 92,2,2024-09-07 09:02:41:359,389782,389782,0,0,15367005,0,2801 92,3,2024-09-07 09:02:41:010,1,306,1,0,167,2709,306,0 93,0,2024-09-07 09:02:40:987,72750,0.4,74602,0.6,142507,0.3,192948,1.75 93,1,2024-09-07 09:02:40:809,538669,538669,0,0,250348869829,2614048761,533442,4387,840,366,391776,0 93,2,2024-09-07 09:02:41:052,387697,387697,0,0,17797181,0,4311 93,3,2024-09-07 09:02:41:410,1,306,5,0,190,2981,306,0 94,0,2024-09-07 09:02:41:653,75142,0.4,75847,0.5,151328,0.3,201313,1.75 94,1,2024-09-07 09:02:40:568,538379,538379,0,0,250986671033,2621987099,534506,3687,186,381,391850,0 94,2,2024-09-07 09:02:40:767,386516,386516,0,0,15540055,0,2443 94,3,2024-09-07 09:02:41:689,1,306,3,0,264,3959,306,0 95,0,2024-09-07 09:02:41:387,79343,0.4,79255,0.6,159384,0.3,211860,1.75 95,1,2024-09-07 09:02:40:875,539429,539429,0,0,251993458824,2621351894,535128,3922,379,367,391713,0 95,2,2024-09-07 09:02:41:024,386313,386313,0,0,15835082,0,3308 95,3,2024-09-07 09:02:41:715,1,306,1,0,718,5014,306,0 96,0,2024-09-07 09:02:41:029,79743,0.4,79873,0.5,159149,0.3,210612,1.75 96,1,2024-09-07 09:02:41:586,538231,538231,0,0,250641738387,2618568206,533985,3463,783,384,391955,0 96,2,2024-09-07 09:02:41:284,387849,387849,0,0,16081258,0,4042 96,3,2024-09-07 09:02:41:165,1,306,1,0,411,3337,306,0 97,0,2024-09-07 09:02:41:343,72792,0.3,72468,0.5,145526,0.3,193304,1.75 97,1,2024-09-07 09:02:40:768,540509,540509,0,0,251307294277,2608598638,536706,3137,666,367,392140,0 97,2,2024-09-07 09:02:40:607,388078,388078,0,0,15733507,0,3036 97,3,2024-09-07 09:02:40:585,1,306,3,0,214,3737,306,0 98,0,2024-09-07 09:02:41:701,74278,0.3,74219,0.5,149059,0.2,198166,1.50 98,1,2024-09-07 09:02:40:581,539681,539681,0,0,250927705528,2611974186,537279,2299,103,382,391997,0 98,2,2024-09-07 09:02:40:769,387096,387096,0,0,15693152,0,3080 98,3,2024-09-07 09:02:40:698,1,306,3,0,840,5144,306,0 99,0,2024-09-07 09:02:41:463,80734,0.4,81242,0.5,161796,0.3,215573,1.75 99,1,2024-09-07 09:02:41:745,539292,539292,0,0,251461926793,2621377552,535207,3336,749,381,392069,0 99,2,2024-09-07 09:02:41:431,389091,389091,0,0,17287511,0,3424 99,3,2024-09-07 09:02:40:583,1,306,12,0,187,2611,306,0 100,0,2024-09-07 09:02:41:508,79767,0.8,79703,1.0,159627,1.0,213413,2.50 100,1,2024-09-07 09:02:40:549,535508,535508,0,0,250049161998,2647185809,527071,6945,1492,381,391989,0 100,2,2024-09-07 09:02:41:852,384472,384461,11,0,18313908,0,5417 100,3,2024-09-07 09:02:41:743,1,306,5,0,559,5414,306,0 101,0,2024-09-07 09:02:41:748,74877,1.2,72883,1.1,143120,1.0,196303,2.25 101,1,2024-09-07 09:02:40:562,536348,536348,0,0,249773442157,2635593263,527363,6966,2019,368,391771,0 101,2,2024-09-07 09:02:41:757,385347,385347,0,0,20820150,0,4644 101,3,2024-09-07 09:02:40:949,1,306,3,0,448,3704,306,0 102,0,2024-09-07 09:02:40:972,71931,0.7,74490,0.8,150063,0.6,197071,2.00 102,1,2024-09-07 09:02:41:144,536672,536672,0,0,250315481474,2632890910,529527,6116,1029,369,391891,0 102,2,2024-09-07 09:02:41:751,388854,388800,54,0,17934632,0,6768 102,3,2024-09-07 09:02:41:614,1,306,1,0,410,3390,306,0 103,0,2024-09-07 09:02:41:604,81468,0.6,81493,0.7,153540,0.6,211733,2.00 103,1,2024-09-07 09:02:41:629,536285,536285,0,0,249738625360,2638060057,527902,6463,1920,381,391829,0 103,2,2024-09-07 09:02:40:585,386068,386068,0,0,18425754,0,3173 103,3,2024-09-07 09:02:40:758,1,306,1,0,916,3994,306,0 104,0,2024-09-07 09:02:41:045,79001,0.8,79480,1.0,157598,0.8,212601,2.25 104,1,2024-09-07 09:02:41:600,537561,537561,0,0,249708388967,2631531767,528768,7098,1695,365,392168,0 104,2,2024-09-07 09:02:41:667,382622,382622,0,0,19209814,0,3941 104,3,2024-09-07 09:02:41:417,1,306,3,0,1245,6979,306,0 105,0,2024-09-07 09:02:41:035,74664,0.9,72659,1.1,151815,1.2,199868,3.00 105,1,2024-09-07 09:02:40:587,537944,537944,0,0,250825906618,2635604796,530103,6541,1300,366,391797,0 105,2,2024-09-07 09:02:41:629,387662,387662,0,0,18453600,0,3509 105,3,2024-09-07 09:02:41:369,1,306,56,0,399,4921,306,0 106,0,2024-09-07 09:02:40:959,70018,0.8,71680,1.0,146781,0.9,192091,2.50 106,1,2024-09-07 09:02:41:749,537105,537105,0,0,249872198820,2628696716,528506,7595,1004,369,391865,0 106,2,2024-09-07 09:02:40:758,385724,385724,0,0,18244223,0,2795 106,3,2024-09-07 09:02:40:678,1,306,4,0,470,4135,306,0 107,0,2024-09-07 09:02:41:102,76835,0.9,76677,0.9,153447,1.0,204718,2.25 107,1,2024-09-07 09:02:40:591,536222,536222,0,0,249673264066,2632613482,529054,6448,720,381,392234,0 107,2,2024-09-07 09:02:41:301,385466,385465,1,0,18952925,0,5024 107,3,2024-09-07 09:02:41:755,1,306,8,0,353,4249,306,0 108,0,2024-09-07 09:02:41:769,79148,0.4,79481,0.6,158133,0.4,211231,1.75 108,1,2024-09-07 09:02:41:293,538192,538192,0,0,251744280640,2628630707,533371,4306,515,368,391857,0 108,2,2024-09-07 09:02:41:760,383871,383871,0,0,17590280,0,4246 108,3,2024-09-07 09:02:41:330,1,306,4,0,749,6347,306,0 109,0,2024-09-07 09:02:41:757,78609,0.4,77768,0.6,155758,0.3,208191,1.75 109,1,2024-09-07 09:02:40:592,536643,536643,0,0,250925360935,2633091727,532038,3955,650,383,392132,0 109,2,2024-09-07 09:02:40:937,386260,386260,0,0,17334972,0,3617 109,3,2024-09-07 09:02:41:149,1,306,9,0,249,3349,306,0 110,0,2024-09-07 09:02:41:780,72508,0.4,70492,0.6,147528,0.3,192857,1.75 110,1,2024-09-07 09:02:41:643,539868,539868,0,0,251421539824,2610474384,536364,2593,911,369,392045,0 110,2,2024-09-07 09:02:41:310,387626,387626,0,0,17282078,0,4067 110,3,2024-09-07 09:02:40:704,1,306,3,0,406,4164,306,0 111,0,2024-09-07 09:02:41:417,75185,0.4,74395,0.5,149022,0.3,199987,1.75 111,1,2024-09-07 09:02:41:000,539660,539660,0,0,252348726615,2618979293,536982,2335,343,382,391690,0 111,2,2024-09-07 09:02:41:123,385898,385898,0,0,16097247,0,2763 111,3,2024-09-07 09:02:40:914,1,306,9,0,379,3952,306,0 112,0,2024-09-07 09:02:40:909,81027,0.3,80368,0.4,161273,0.2,214026,1.50 112,1,2024-09-07 09:02:40:836,539974,539974,0,0,250894886974,2606170747,536538,2852,584,380,391624,0 112,2,2024-09-07 09:02:41:134,386994,386993,1,0,15677060,0,5036 112,3,2024-09-07 09:02:40:592,1,306,1,0,282,3209,306,0 113,0,2024-09-07 09:02:40:879,79490,0.3,79521,0.5,159606,0.2,212627,1.50 113,1,2024-09-07 09:02:41:685,541396,541396,0,0,252694812890,2610383461,538123,2689,584,366,391661,0 113,2,2024-09-07 09:02:41:317,388702,388702,0,0,13972461,0,3813 113,3,2024-09-07 09:02:40:686,1,306,0,0,340,3897,306,0 114,0,2024-09-07 09:02:40:875,73603,0.3,74038,0.5,147069,0.2,196620,1.75 114,1,2024-09-07 09:02:40:717,539159,539159,0,0,251705973663,2620293111,534041,3526,1592,381,391556,0 114,2,2024-09-07 09:02:40:872,388037,388036,1,0,15660042,0,5069 114,3,2024-09-07 09:02:41:291,1,306,11,0,395,2912,306,0 115,0,2024-09-07 09:02:40:560,74148,0.3,74498,0.4,149021,0.2,198333,1.50 115,1,2024-09-07 09:02:40:572,539284,539284,0,0,251194399718,2612495089,534461,3983,840,382,391656,0 115,2,2024-09-07 09:02:41:125,388926,388926,0,0,14617074,0,3453 115,3,2024-09-07 09:02:41:008,1,306,14,0,159,1866,306,0 116,0,2024-09-07 09:02:41:774,79415,0.7,79310,0.9,159027,0.8,212979,2.00 116,1,2024-09-07 09:02:40:845,536372,536372,0,0,249737160704,2640806551,528813,5295,2264,380,391782,0 116,2,2024-09-07 09:02:41:752,386340,386340,0,0,19954756,0,3529 116,3,2024-09-07 09:02:40:918,1,306,11,0,415,4004,306,0 117,0,2024-09-07 09:02:40:962,80203,0.8,79850,0.9,159765,1.0,213987,2.00 117,1,2024-09-07 09:02:41:579,537315,537315,0,0,250061074593,2622209361,531191,5456,668,370,392033,0 117,2,2024-09-07 09:02:41:142,387071,387071,0,0,16185856,0,3700 117,3,2024-09-07 09:02:41:060,1,306,1,0,490,4702,306,0 118,0,2024-09-07 09:02:41:770,72225,0.5,74240,0.7,151159,0.5,197368,2.00 118,1,2024-09-07 09:02:40:765,536922,536922,0,0,249625258398,2629722023,528535,6535,1852,366,391736,0 118,2,2024-09-07 09:02:41:586,386863,386863,0,0,17792851,0,2781 118,3,2024-09-07 09:02:41:764,1,306,1,0,235,3432,306,0 119,0,2024-09-07 09:02:41:382,73049,0.7,73395,0.8,146933,0.8,195480,2.25 119,1,2024-09-07 09:02:40:554,538427,538427,0,0,251335301786,2631452027,532064,5528,835,367,391780,0 119,2,2024-09-07 09:02:41:261,388618,388618,0,0,17015740,0,4174 119,3,2024-09-07 09:02:41:352,1,306,16,0,563,4692,306,0 120,0,2024-09-07 09:02:41:567,77565,0.6,77530,0.8,155044,0.5,207891,2.25 120,1,2024-09-07 09:02:40:860,537687,537687,0,0,250283211427,2631046750,531378,5758,551,368,391961,0 120,2,2024-09-07 09:02:40:770,386564,386563,1,0,19910848,0,5281 120,3,2024-09-07 09:02:41:290,1,306,9,0,241,3908,306,0 121,0,2024-09-07 09:02:41:716,79224,1.2,79261,1.0,159161,1.6,211543,2.25 121,1,2024-09-07 09:02:41:665,538027,538027,0,0,250622484336,2624544588,532554,5042,431,367,391840,0 121,2,2024-09-07 09:02:41:128,383006,383006,0,0,19059832,0,4127 121,3,2024-09-07 09:02:40:747,1,306,100,0,269,3733,306,0 122,0,2024-09-07 09:02:41:788,76809,0.8,74802,0.9,156769,0.9,205408,2.00 122,1,2024-09-07 09:02:40:869,536684,536684,0,0,250416640172,2632209235,528658,6765,1261,366,392130,0 122,2,2024-09-07 09:02:41:326,387625,387552,73,0,21519481,0,5989 122,3,2024-09-07 09:02:40:594,1,306,1,0,411,5837,306,0 123,0,2024-09-07 09:02:40:971,72027,0.8,70451,0.8,146920,0.9,192242,2.25 123,1,2024-09-07 09:02:40:578,537158,537158,0,0,250411489620,2643540872,526873,8343,1942,369,392039,0 123,2,2024-09-07 09:02:41:025,385620,385619,1,0,18470797,0,5215 123,3,2024-09-07 09:02:41:138,1,306,17,0,168,3665,306,0 124,0,2024-09-07 09:02:40:954,77760,0.4,77828,0.5,146588,0.3,201941,1.75 124,1,2024-09-07 09:02:41:023,539505,539505,0,0,250865573060,2614183417,534920,3678,907,367,392178,0 124,2,2024-09-07 09:02:41:010,387221,387221,0,0,15511821,0,3101 124,3,2024-09-07 09:02:40:761,1,306,2,0,490,3326,306,0 125,0,2024-09-07 09:02:41:427,79768,0.4,79343,0.6,159671,0.4,212206,1.75 125,1,2024-09-07 09:02:40:864,537929,537929,0,0,251325652596,2624221328,533928,3550,451,383,391702,0 125,2,2024-09-07 09:02:41:123,386429,386429,0,0,16706761,0,4534 125,3,2024-09-07 09:02:41:167,1,306,12,0,709,4457,306,0 126,0,2024-09-07 09:02:41:463,79465,0.4,81701,0.6,156162,0.4,211421,1.75 126,1,2024-09-07 09:02:40:552,540005,540005,0,0,251692778120,2608744382,536949,2784,272,365,391987,0 126,2,2024-09-07 09:02:40:616,389089,389089,0,0,16354398,0,3186 126,3,2024-09-07 09:02:40:907,1,306,1,0,207,3993,306,0 127,0,2024-09-07 09:02:41:609,72466,0.3,72866,0.5,145355,0.3,193228,1.75 127,1,2024-09-07 09:02:40:628,539451,539451,0,0,251857260561,2613996050,535214,3723,514,365,391816,0 127,2,2024-09-07 09:02:40:646,387250,387250,0,0,14971938,0,2264 127,3,2024-09-07 09:02:41:270,1,306,8,0,968,3806,306,0 128,0,2024-09-07 09:02:41:535,74527,0.3,74640,0.5,149095,0.2,198578,1.50 128,1,2024-09-07 09:02:41:605,538688,538688,0,0,251214115891,2612444047,534660,3660,368,367,391798,0 128,2,2024-09-07 09:02:41:383,388009,388009,0,0,15091394,0,2915 128,3,2024-09-07 09:02:40:768,1,306,1,0,1082,5801,306,0 129,0,2024-09-07 09:02:41:014,81282,0.3,80945,0.5,162239,0.3,215689,1.75 129,1,2024-09-07 09:02:40:574,535913,535913,0,0,250518607017,2627607488,530597,4103,1213,379,391835,0 129,2,2024-09-07 09:02:40:697,388108,388108,0,0,15912634,0,4031 129,3,2024-09-07 09:02:40:692,1,306,1,0,469,4350,306,0 130,0,2024-09-07 09:02:41:779,80620,0.5,80260,0.6,161176,0.6,214685,1.75 130,1,2024-09-07 09:02:40:583,539251,539251,0,0,251648948219,2619142732,536056,2956,239,381,391825,0 130,2,2024-09-07 09:02:41:124,387199,387199,0,0,15509444,0,4067 130,3,2024-09-07 09:02:41:301,1,306,7,0,450,3948,306,0 131,0,2024-09-07 09:02:41:949,73211,0.4,73665,0.5,148082,0.3,196121,1.75 131,1,2024-09-07 09:02:41:825,538959,538959,0,0,250833065643,2621494970,534529,3685,745,381,391865,0 131,2,2024-09-07 09:02:40:567,389715,389715,0,0,14456111,0,2415 131,3,2024-09-07 09:02:41:694,1,306,12,0,392,3326,306,0 132,0,2024-09-07 09:02:41:437,73658,0.5,74477,0.6,148489,0.4,197851,2.00 132,1,2024-09-07 09:02:40:587,536363,536363,0,0,250484545897,2642642390,528018,6882,1463,381,392097,0 132,2,2024-09-07 09:02:40:727,387708,387708,0,0,19250886,0,4606 132,3,2024-09-07 09:02:41:711,1,306,158,0,804,5904,306,0 133,0,2024-09-07 09:02:41:569,77115,0.4,78839,0.6,161798,0.4,210346,1.75 133,1,2024-09-07 09:02:40:582,536599,536599,0,0,249814486700,2638356637,528584,6933,1082,383,391914,0 133,2,2024-09-07 09:02:41:113,386193,386193,0,0,20460312,0,4315 133,3,2024-09-07 09:02:41:297,1,306,21,0,479,3377,306,0 134,0,2024-09-07 09:02:40:939,80109,0.5,80048,0.7,160064,0.5,213756,2.00 134,1,2024-09-07 09:02:40:588,536922,536922,0,0,249735418626,2627990213,528888,6181,1853,366,391718,0 134,2,2024-09-07 09:02:41:765,383605,383605,0,0,16871537,0,3847 134,3,2024-09-07 09:02:40:761,1,306,1,0,739,4208,306,0 135,0,2024-09-07 09:02:41:102,72907,0.7,73005,0.9,154658,0.8,199575,2.00 135,1,2024-09-07 09:02:41:586,536918,536918,0,0,250875866098,2644812580,529011,6713,1194,380,391805,0 135,2,2024-09-07 09:02:40:693,388642,388642,0,0,18341587,0,3981 135,3,2024-09-07 09:02:41:001,1,306,5,0,299,2354,306,0 136,0,2024-09-07 09:02:41:635,72930,0.6,72949,0.7,145376,0.5,194249,2.25 136,1,2024-09-07 09:02:41:445,537474,537474,0,0,250361130595,2633243120,530819,5969,686,382,391685,0 136,2,2024-09-07 09:02:41:172,388039,388039,0,0,18249591,0,3506 136,3,2024-09-07 09:02:41:113,1,306,637,0,637,3604,306,0 137,0,2024-09-07 09:02:41:084,79271,0.7,77169,0.8,151488,0.7,206426,2.00 137,1,2024-09-07 09:02:40:594,536487,536487,0,0,250214425567,2630796545,527416,7211,1860,366,391708,0 137,2,2024-09-07 09:02:41:705,386139,386139,0,0,20356967,0,3185 137,3,2024-09-07 09:02:40:773,1,306,8,0,382,3774,306,0 138,0,2024-09-07 09:02:41:767,78591,1.4,78817,1.1,158059,2.1,210126,2.50 138,1,2024-09-07 09:02:41:701,537007,537007,0,0,251663393876,2646195440,528334,7095,1578,368,391954,0 138,2,2024-09-07 09:02:40:592,384760,384760,0,0,18435361,0,4988 138,3,2024-09-07 09:02:40:610,1,306,3,0,1160,4361,306,0 139,0,2024-09-07 09:02:41:438,77016,1.4,77422,1.1,154974,2.0,206373,2.25 139,1,2024-09-07 09:02:40:576,534886,534886,0,0,249226364747,2651138448,524511,7855,2520,381,391892,0 139,2,2024-09-07 09:02:40:694,385647,385647,0,0,19540009,0,3097 139,3,2024-09-07 09:02:41:670,1,306,8,0,257,3442,306,0 140,0,2024-09-07 09:02:41:588,72801,0.3,72293,0.5,145318,0.2,193141,1.75 140,1,2024-09-07 09:02:41:537,540502,540502,0,0,251712121988,2598645383,537467,2597,438,365,391606,0 140,2,2024-09-07 09:02:40:687,388169,388169,0,0,15259845,0,3388 140,3,2024-09-07 09:02:40:766,1,306,2,0,247,2567,306,0 141,0,2024-09-07 09:02:41:704,74781,0.3,76881,0.5,147001,0.3,199826,1.75 141,1,2024-09-07 09:02:40:860,540319,540319,0,0,251795282451,2615669652,536747,3113,459,379,391614,0 141,2,2024-09-07 09:02:41:686,386491,386491,0,0,15548901,0,3360 141,3,2024-09-07 09:02:41:043,1,306,46,0,391,3179,306,0 142,0,2024-09-07 09:02:41:306,81112,0.4,80363,0.5,160406,0.3,214268,1.75 142,1,2024-09-07 09:02:40:587,539229,539229,0,0,250704885501,2617134497,535496,3384,349,382,392102,0 142,2,2024-09-07 09:02:41:310,386572,386540,32,0,17115208,0,6028 142,3,2024-09-07 09:02:41:755,1,306,1,0,484,3966,306,0 143,0,2024-09-07 09:02:41:372,79789,0.5,79737,0.6,159752,0.4,212733,1.75 143,1,2024-09-07 09:02:40:556,539696,539696,0,0,250516805980,2601323682,536139,3412,145,367,391705,0 143,2,2024-09-07 09:02:40:768,387082,387082,0,0,15791131,0,2750 143,3,2024-09-07 09:02:41:164,1,306,11,0,303,3795,306,0 144,0,2024-09-07 09:02:41:525,70807,0.6,72887,0.8,148296,0.5,194666,2.00 144,1,2024-09-07 09:02:40:568,536835,536835,0,0,250175128848,2626928025,531996,3901,938,381,391649,0 144,2,2024-09-07 09:02:41:760,388272,388272,0,0,15036369,0,3473 144,3,2024-09-07 09:02:41:740,1,306,12,0,249,3310,306,0 145,0,2024-09-07 09:02:41:453,71555,0.7,71568,0.9,151784,0.6,195650,2.50 145,1,2024-09-07 09:02:40:552,535883,535883,0,0,249963511000,2631800238,529040,5841,1002,382,391698,0 145,2,2024-09-07 09:02:41:502,386371,386371,0,0,18605797,0,3903 145,3,2024-09-07 09:02:40:896,1,306,38,0,622,4833,306,0 146,0,2024-09-07 09:02:41:604,79773,0.5,79107,0.7,159476,0.5,211829,2.25 146,1,2024-09-07 09:02:41:589,537730,537730,0,0,250600370124,2638854207,528588,7239,1903,368,391770,0 146,2,2024-09-07 09:02:41:694,386656,386656,0,0,18302999,0,2730 146,3,2024-09-07 09:02:41:279,1,306,74,0,1520,6866,306,0 147,0,2024-09-07 09:02:41:718,80202,0.6,79955,0.8,159222,0.6,213526,2.25 147,1,2024-09-07 09:02:41:375,539372,539372,0,0,251531830813,2619560863,534239,4340,793,368,391791,0 147,2,2024-09-07 09:02:41:015,385839,385839,0,0,15283789,0,2789 147,3,2024-09-07 09:02:40:914,1,306,9,0,730,4667,306,0 0,0,2024-09-07 09:02:51:708,75984,0.6,76065,0.7,161235,0.6,208821,2.00 0,1,2024-09-07 09:02:50:835,539998,539998,0,0,251692870075,2635301154,535907,3850,241,370,391896,0 0,2,2024-09-07 09:02:51:067,388897,388897,0,0,16112011,0,4480 0,3,2024-09-07 09:02:50:983,1,307,10,0,319,3888,307,0 1,0,2024-09-07 09:02:51:760,79733,0.9,79207,1.0,159176,1.1,212614,2.00 1,1,2024-09-07 09:02:50:556,539174,539174,0,0,251016968760,2631942517,533893,4075,1206,370,391857,0 1,2,2024-09-07 09:02:50:645,384283,384283,0,0,15415596,0,3267 1,3,2024-09-07 09:02:51:301,1,307,3,0,262,3566,307,0 2,0,2024-09-07 09:02:51:571,77007,0.7,77376,0.8,153344,0.8,205194,2.00 2,1,2024-09-07 09:02:50:882,540949,540949,0,0,251739006572,2617667745,538055,2601,293,380,391745,0 2,2,2024-09-07 09:02:51:266,389900,389900,0,0,15485293,0,3594 2,3,2024-09-07 09:02:50:690,1,307,1,0,357,3122,307,0 3,0,2024-09-07 09:02:51:745,72290,0.4,72369,0.6,144598,0.4,192140,2.00 3,1,2024-09-07 09:02:51:627,539817,539817,0,0,251778352565,2626116098,534733,4461,623,380,391591,0 3,2,2024-09-07 09:02:51:143,389443,389420,23,0,15992629,0,5851 3,3,2024-09-07 09:02:51:753,1,307,16,0,103,1873,307,0 4,0,2024-09-07 09:02:51:787,73723,0.4,75956,0.5,154085,0.4,202539,1.75 4,1,2024-09-07 09:02:50:594,538304,538304,0,0,250715397575,2643576541,531075,5877,1352,371,391992,0 4,2,2024-09-07 09:02:51:037,385909,385909,0,0,18671039,0,4528 4,3,2024-09-07 09:02:51:028,1,307,1,0,448,4398,307,0 5,0,2024-09-07 09:02:51:388,79658,0.5,79915,0.6,159328,0.5,211878,1.75 5,1,2024-09-07 09:02:50:762,539011,539011,0,0,251009704572,2644436744,531886,5770,1355,368,392005,0 5,2,2024-09-07 09:02:51:847,385524,385524,0,0,17731752,0,2432 5,3,2024-09-07 09:02:51:732,1,307,90,0,457,4702,307,0 6,0,2024-09-07 09:02:50:920,79871,0.5,79330,0.7,158526,0.4,211422,2.00 6,1,2024-09-07 09:02:50:748,539026,539026,0,0,250655904663,2623977594,532739,5211,1076,379,391694,0 6,2,2024-09-07 09:02:51:125,388772,388772,0,0,16599337,0,4816 6,3,2024-09-07 09:02:51:285,1,307,33,0,340,3629,307,0 7,0,2024-09-07 09:02:51:534,72509,0.5,72726,0.6,145075,0.4,192957,2.00 7,1,2024-09-07 09:02:50:856,538388,538388,0,0,251362814439,2644735713,530456,6758,1174,382,391747,0 7,2,2024-09-07 09:02:50:781,388485,388485,0,0,16928308,0,4791 7,3,2024-09-07 09:02:50:881,1,307,8,0,398,3715,307,0 8,0,2024-09-07 09:02:51:354,74769,0.4,74536,0.5,149213,0.3,199386,1.75 8,1,2024-09-07 09:02:51:036,537741,537741,0,0,250925139091,2648664792,527743,7724,2274,366,392144,0 8,2,2024-09-07 09:02:50:790,384169,384169,0,0,20546106,0,3220 8,3,2024-09-07 09:02:50:586,1,307,1,0,538,5524,307,0 9,0,2024-09-07 09:02:51:165,81107,0.4,78824,0.6,164851,0.4,215717,1.75 9,1,2024-09-07 09:02:50:557,538503,538503,0,0,252312258275,2662048203,530241,6438,1824,369,392001,0 9,2,2024-09-07 09:02:51:095,387791,387791,0,0,18485695,0,3360 9,3,2024-09-07 09:02:51:766,1,307,2,0,496,4535,307,0 10,0,2024-09-07 09:02:51:610,80557,0.3,79956,0.5,160683,0.3,214282,1.75 10,1,2024-09-07 09:02:50:587,539039,539039,0,0,251726581064,2641231349,531408,6524,1107,381,391741,0 10,2,2024-09-07 09:02:50:762,387187,387187,0,0,19472733,0,4264 10,3,2024-09-07 09:02:50:881,1,307,7,0,296,2911,307,0 11,0,2024-09-07 09:02:51:008,73148,0.4,70973,0.7,148546,0.4,196655,1.75 11,1,2024-09-07 09:02:50:587,539466,539466,0,0,251453383411,2645858991,529825,7227,2414,383,391664,0 11,2,2024-09-07 09:02:51:123,388394,388394,0,0,17951885,0,4130 11,3,2024-09-07 09:02:51:298,1,307,7,0,843,5070,307,0 12,0,2024-09-07 09:02:50:983,74748,0.4,74727,0.5,149401,0.3,198970,1.75 12,1,2024-09-07 09:02:50:956,539880,539880,0,0,251287318929,2623734261,535197,4197,486,370,391870,0 12,2,2024-09-07 09:02:51:555,389404,389404,0,0,17539785,0,3469 12,3,2024-09-07 09:02:51:070,1,307,9,0,386,5114,307,0 13,0,2024-09-07 09:02:51:333,79820,0.4,79503,0.5,159032,0.4,211778,1.75 13,1,2024-09-07 09:02:51:531,539016,539016,0,0,250946695234,2639006014,534144,3570,1302,382,391740,0 13,2,2024-09-07 09:02:50:604,389712,389712,0,0,16287011,0,3287 13,3,2024-09-07 09:02:51:765,1,307,7,0,522,5224,307,0 14,0,2024-09-07 09:02:50:573,80631,0.4,81178,0.6,160557,0.4,214567,1.75 14,1,2024-09-07 09:02:51:561,542799,542799,0,0,253034185250,2621941473,538886,3633,280,364,391571,0 14,2,2024-09-07 09:02:50:763,385413,385413,0,0,15825466,0,2896 14,3,2024-09-07 09:02:51:117,1,307,15,0,1168,4025,307,0 15,0,2024-09-07 09:02:51:557,75509,0.4,75682,0.7,151052,0.4,201034,2.00 15,1,2024-09-07 09:02:51:608,540862,540862,0,0,251561897787,2615985853,537936,2747,179,381,391619,0 15,2,2024-09-07 09:02:51:008,390719,390719,0,0,13841182,0,3043 15,3,2024-09-07 09:02:51:405,1,307,8,0,1126,5758,307,0 16,0,2024-09-07 09:02:50:974,73011,0.6,73291,0.8,145885,0.6,194749,2.25 16,1,2024-09-07 09:02:50:566,540478,540478,0,0,251915774067,2633790981,536204,3915,359,370,391756,0 16,2,2024-09-07 09:02:51:440,389043,389043,0,0,16990719,0,4719 16,3,2024-09-07 09:02:51:142,1,307,1,0,317,4546,307,0 17,0,2024-09-07 09:02:51:760,79596,0.8,77757,0.9,152083,0.8,207084,2.00 17,1,2024-09-07 09:02:50:575,539523,539523,0,0,251089051041,2639703771,533417,4850,1256,368,391899,0 17,2,2024-09-07 09:02:51:666,390401,390401,0,0,16819873,0,2857 17,3,2024-09-07 09:02:50:575,1,307,1,0,268,4807,307,0 18,0,2024-09-07 09:02:50:953,78379,0.7,78797,0.8,157091,0.7,209501,2.25 18,1,2024-09-07 09:02:51:639,540863,540863,0,0,252367401798,2618217304,537625,2928,310,367,391649,0 18,2,2024-09-07 09:02:51:767,386973,386973,0,0,15471795,0,3541 18,3,2024-09-07 09:02:50:895,1,307,0,0,163,2783,307,0 19,0,2024-09-07 09:02:51:545,77641,0.6,78235,0.8,155162,0.6,206472,2.25 19,1,2024-09-07 09:02:50:574,540861,540861,0,0,252261479374,2621712144,535750,4273,838,367,391777,0 19,2,2024-09-07 09:02:51:752,391007,391007,0,0,14140493,0,3988 19,3,2024-09-07 09:02:51:129,1,307,14,0,524,2357,307,0 20,0,2024-09-07 09:02:51:352,72339,0.6,72344,0.7,144761,0.6,192702,2.25 20,1,2024-09-07 09:02:50:567,539006,539006,0,0,251164067275,2627726709,534690,3912,404,369,391886,0 20,2,2024-09-07 09:02:50:951,389170,389170,0,0,16839950,0,3721 20,3,2024-09-07 09:02:50:592,1,307,1,0,414,5050,307,0 21,0,2024-09-07 09:02:51:141,75206,0.6,75227,0.7,150402,0.5,199646,2.00 21,1,2024-09-07 09:02:51:537,537976,537976,0,0,250499177385,2643979613,530176,5924,1876,368,392016,0 21,2,2024-09-07 09:02:51:067,385851,385851,0,0,19547147,0,3747 21,3,2024-09-07 09:02:51:404,1,307,2,0,103,3180,307,0 22,0,2024-09-07 09:02:51:721,80097,0.6,80385,0.8,160721,0.6,212549,2.25 22,1,2024-09-07 09:02:51:029,539284,539284,0,0,250239956430,2635146060,531078,6420,1786,382,391667,0 22,2,2024-09-07 09:02:50:762,386926,386926,0,0,16496245,0,3134 22,3,2024-09-07 09:02:51:081,1,307,2,0,228,2746,307,0 23,0,2024-09-07 09:02:51:443,79466,0.6,79315,0.7,158998,0.5,211934,2.25 23,1,2024-09-07 09:02:51:009,539112,539112,0,0,251322921472,2646252649,528767,7187,3158,365,391690,0 23,2,2024-09-07 09:02:51:094,388771,388771,0,0,15856062,0,3010 23,3,2024-09-07 09:02:51:754,1,307,8,0,645,3706,307,0 24,0,2024-09-07 09:02:50:936,73949,0.4,73759,0.6,148239,0.4,196832,1.75 24,1,2024-09-07 09:02:50:587,538602,538602,0,0,250475177967,2631002063,532072,5006,1524,368,391987,0 24,2,2024-09-07 09:02:51:103,387382,387382,0,0,19410007,0,3607 24,3,2024-09-07 09:02:51:685,1,307,2,0,468,4271,307,0 25,0,2024-09-07 09:02:51:341,76312,0.4,74469,0.6,146006,0.4,199181,2.00 25,1,2024-09-07 09:02:50:562,539629,539629,0,0,251894268483,2644945449,532589,5907,1133,371,391928,0 25,2,2024-09-07 09:02:51:606,387469,387469,0,0,19745136,0,3978 25,3,2024-09-07 09:02:51:007,1,307,2,0,255,3223,307,0 26,0,2024-09-07 09:02:51:723,79944,0.4,78085,0.6,163962,0.3,213590,1.75 26,1,2024-09-07 09:02:51:546,539954,539954,0,0,250920926218,2635823733,531128,7290,1536,380,391748,0 26,2,2024-09-07 09:02:50:872,388470,388470,0,0,19699087,0,2809 26,3,2024-09-07 09:02:51:712,1,307,8,0,796,4311,307,0 27,0,2024-09-07 09:02:51:767,80756,0.5,80805,0.6,159989,0.4,214205,2.00 27,1,2024-09-07 09:02:51:680,541541,541541,0,0,252295164286,2629180901,536611,4169,761,381,391626,0 27,2,2024-09-07 09:02:50:895,384007,383942,65,0,19105830,0,5699 27,3,2024-09-07 09:02:51:027,1,307,8,0,564,3272,307,0 28,0,2024-09-07 09:02:51:407,74730,0.4,74433,0.6,149213,0.4,198925,2.00 28,1,2024-09-07 09:02:50:808,540959,540959,0,0,252412713368,2631930841,536878,3406,675,383,391646,0 28,2,2024-09-07 09:02:51:775,388825,388825,0,0,15944578,0,2915 28,3,2024-09-07 09:02:51:777,1,307,0,0,502,3142,307,0 29,0,2024-09-07 09:02:51:363,75711,0.4,73792,0.6,144504,0.3,197410,1.75 29,1,2024-09-07 09:02:51:561,542019,542019,0,0,252376768390,2614351981,538265,3171,583,369,391753,0 29,2,2024-09-07 09:02:50:873,388662,388662,0,0,15642524,0,4233 29,3,2024-09-07 09:02:50:974,1,307,1,0,374,3329,307,0 30,0,2024-09-07 09:02:51:458,78064,0.5,75948,0.7,158865,0.4,208341,2.00 30,1,2024-09-07 09:02:50:573,541837,541837,0,0,252500480363,2623477395,537749,3482,606,382,391672,0 30,2,2024-09-07 09:02:51:275,388765,388765,0,0,15175620,0,3161 30,3,2024-09-07 09:02:50:587,1,307,3,0,519,2968,307,0 31,0,2024-09-07 09:02:51:777,79279,0.5,79581,0.6,159276,0.4,212167,2.00 31,1,2024-09-07 09:02:50:580,543967,543967,0,0,252990301061,2595672501,541879,1659,429,356,391712,0 31,2,2024-09-07 09:02:51:278,385140,385140,0,0,16597207,0,3525 31,3,2024-09-07 09:02:51:706,1,307,8,0,220,2443,307,0 32,0,2024-09-07 09:02:51:415,77021,0.3,77363,0.5,154641,0.3,205542,1.75 32,1,2024-09-07 09:02:50:813,541283,541283,0,0,252495670575,2623927206,538223,2615,445,381,391646,0 32,2,2024-09-07 09:02:50:951,390096,390096,0,0,14489653,0,3155 32,3,2024-09-07 09:02:51:028,1,307,3,0,227,2349,307,0 33,0,2024-09-07 09:02:51:495,72678,0.3,72194,0.5,145008,0.2,192959,1.75 33,1,2024-09-07 09:02:50:575,541392,541392,0,0,252850218019,2621035067,537015,3547,830,369,391730,0 33,2,2024-09-07 09:02:50:761,390068,390033,35,0,16589216,0,7012 33,3,2024-09-07 09:02:50:897,1,307,1,0,329,3358,307,0 34,0,2024-09-07 09:02:50:939,76139,0.3,78374,0.5,149946,0.2,201904,1.75 34,1,2024-09-07 09:02:51:052,542959,542959,0,0,253077541113,2603510358,541572,1380,7,367,391562,0 34,2,2024-09-07 09:02:50:782,388119,388119,0,0,15487193,0,3577 34,3,2024-09-07 09:02:51:688,1,307,3,0,299,2377,307,0 35,0,2024-09-07 09:02:50:882,78897,0.4,79348,0.5,159660,0.3,212418,1.75 35,1,2024-09-07 09:02:51:093,541177,541177,0,0,252441174627,2619075908,537576,2821,780,382,391589,0 35,2,2024-09-07 09:02:51:586,386984,386984,0,0,15755621,0,2653 35,3,2024-09-07 09:02:50:914,1,307,1,0,418,4072,307,0 36,0,2024-09-07 09:02:51:527,79648,0.5,79712,0.7,159427,0.4,211125,2.25 36,1,2024-09-07 09:02:50:600,540689,540689,0,0,251580241005,2633260691,533474,5558,1657,366,391759,0 36,2,2024-09-07 09:02:51:767,388968,388968,0,0,17925073,0,3875 36,3,2024-09-07 09:02:50:870,1,307,4,0,416,5184,307,0 37,0,2024-09-07 09:02:51:369,72369,0.5,72342,0.7,144807,0.5,193783,2.00 37,1,2024-09-07 09:02:50:600,539305,539298,0,7,251480104386,2632963519,532553,4661,2084,365,391570,0 37,2,2024-09-07 09:02:51:148,387502,387487,15,0,17441806,0,5815 37,3,2024-09-07 09:02:51:786,1,307,2,0,888,5434,307,0 38,0,2024-09-07 09:02:51:454,74046,0.5,72019,0.7,150589,0.4,197369,2.00 38,1,2024-09-07 09:02:51:605,541586,541586,0,0,252289998419,2629308611,536034,4875,677,368,391821,0 38,2,2024-09-07 09:02:50:762,387223,387176,47,0,18316129,0,6710 38,3,2024-09-07 09:02:51:000,1,307,33,0,689,4263,307,0 39,0,2024-09-07 09:02:51:770,82367,0.7,80741,0.8,157067,0.7,214471,2.00 39,1,2024-09-07 09:02:50:723,539825,539825,0,0,251731340530,2638727792,531487,6878,1460,365,391594,0 39,2,2024-09-07 09:02:51:447,388268,388268,0,0,16467000,0,2689 39,3,2024-09-07 09:02:50:716,1,307,1,0,324,3923,307,0 40,0,2024-09-07 09:02:51:493,79626,0.8,80262,1.0,160410,0.8,213936,2.75 40,1,2024-09-07 09:02:50:581,540545,540545,0,0,251077853811,2633089015,532276,6814,1455,368,391668,0 40,2,2024-09-07 09:02:51:302,386562,386561,1,0,19358150,0,5137 40,3,2024-09-07 09:02:51:142,1,307,23,0,398,3835,307,0 41,0,2024-09-07 09:02:51:052,72972,1.6,74756,1.3,142726,2.6,194600,3.25 41,1,2024-09-07 09:02:50:768,539746,539746,0,0,251809647335,2636477960,533272,5834,640,370,391742,0 41,2,2024-09-07 09:02:50:763,387195,387195,0,0,18534707,0,3356 41,3,2024-09-07 09:02:51:679,1,307,1,0,366,3241,307,0 42,0,2024-09-07 09:02:51:488,73519,0.8,73573,1.0,147340,0.8,194833,2.75 42,1,2024-09-07 09:02:51:447,538789,538789,0,0,250969183146,2640121741,530091,7134,1564,380,391675,0 42,2,2024-09-07 09:02:51:133,388930,388930,0,0,18446739,0,3568 42,3,2024-09-07 09:02:51:011,1,307,3,0,446,3023,307,0 43,0,2024-09-07 09:02:50:927,78760,0.8,76886,1.0,160804,0.9,211008,2.25 43,1,2024-09-07 09:02:50:576,540279,540279,0,0,252290056739,2640208564,532330,6512,1437,366,391696,0 43,2,2024-09-07 09:02:51:736,388660,388660,0,0,18713255,0,3812 43,3,2024-09-07 09:02:51:751,1,307,1,0,467,3783,307,0 44,0,2024-09-07 09:02:50:882,80312,0.5,80714,0.6,161063,0.4,214577,1.75 44,1,2024-09-07 09:02:50:563,541730,541730,0,0,251795410585,2605428304,537359,3426,945,356,391809,0 44,2,2024-09-07 09:02:51:273,384757,384757,0,0,15182920,0,4344 44,3,2024-09-07 09:02:51:097,1,307,241,0,817,4250,307,0 45,0,2024-09-07 09:02:51:763,74564,0.5,72783,0.8,152747,0.5,200892,2.00 45,1,2024-09-07 09:02:51:011,540956,540956,0,0,252341087561,2619767162,538000,2695,261,382,391917,0 45,2,2024-09-07 09:02:51:272,389915,389915,0,0,15414345,0,3596 45,3,2024-09-07 09:02:50:934,1,307,3,0,271,3078,307,0 46,0,2024-09-07 09:02:50:961,72386,0.5,72450,0.7,145101,0.4,192734,2.00 46,1,2024-09-07 09:02:50:575,542577,542577,0,0,252751271559,2610208795,539493,2728,356,366,391539,0 46,2,2024-09-07 09:02:50:596,389924,389924,0,0,15060868,0,2920 46,3,2024-09-07 09:02:51:132,1,307,1,0,908,4421,307,0 47,0,2024-09-07 09:02:51:106,77754,0.5,77879,0.6,155806,0.4,206655,1.75 47,1,2024-09-07 09:02:50:575,542407,542407,0,0,252604730403,2610444226,539329,2719,359,366,391641,0 47,2,2024-09-07 09:02:50:908,390159,390159,0,0,15440314,0,4477 47,3,2024-09-07 09:02:51:118,1,307,8,0,529,3439,307,0 48,0,2024-09-07 09:02:51:490,80079,0.3,79550,0.4,158660,0.2,211608,1.50 48,1,2024-09-07 09:02:51:030,541277,541277,0,0,252171367151,2621190000,537741,3235,301,384,391710,0 48,2,2024-09-07 09:02:50:703,385762,385762,0,0,14282879,0,3031 48,3,2024-09-07 09:02:50:753,1,307,1,0,339,2755,307,0 49,0,2024-09-07 09:02:51:713,80229,0.3,78780,0.5,152703,0.3,208797,1.75 49,1,2024-09-07 09:02:51:039,540493,540493,0,0,252336728557,2630794497,536195,3114,1184,382,391809,0 49,2,2024-09-07 09:02:51:799,390789,390789,0,0,15293321,0,4426 49,3,2024-09-07 09:02:51:445,1,307,4,0,408,3381,307,0 50,0,2024-09-07 09:02:51:524,72506,0.3,71815,0.5,144484,0.2,192352,1.75 50,1,2024-09-07 09:02:51:012,542783,542783,0,0,253311415883,2622392749,538983,3426,374,368,391540,0 50,2,2024-09-07 09:02:51:067,389447,389447,0,0,14292547,0,2263 50,3,2024-09-07 09:02:51:291,1,307,0,0,335,2802,307,0 51,0,2024-09-07 09:02:51:695,77053,0.3,75289,0.5,147111,0.2,200485,1.75 51,1,2024-09-07 09:02:51:684,542602,542602,0,0,253034209684,2613811831,539349,2278,975,365,391706,0 51,2,2024-09-07 09:02:51:316,387329,387329,0,0,14064710,0,3337 51,3,2024-09-07 09:02:51:036,1,307,1,0,678,2406,307,0 52,0,2024-09-07 09:02:51:415,80648,0.5,80549,0.7,161039,0.5,213899,2.00 52,1,2024-09-07 09:02:50:576,539968,539968,0,0,250986721684,2634556595,531444,7214,1310,368,391722,0 52,2,2024-09-07 09:02:51:764,384597,384559,38,0,18691231,0,6742 52,3,2024-09-07 09:02:50:681,1,307,12,0,1782,4851,307,0 53,0,2024-09-07 09:02:51:743,79293,0.7,77149,0.8,161113,0.7,211503,2.25 53,1,2024-09-07 09:02:50:771,538585,538585,0,0,251003987960,2640342586,529211,6795,2579,367,391702,0 53,2,2024-09-07 09:02:51:299,389037,389037,0,0,15718231,0,2727 53,3,2024-09-07 09:02:50:697,1,307,1,0,308,2935,307,0 54,0,2024-09-07 09:02:51:623,72350,0.6,72799,0.8,144793,0.4,194128,2.50 54,1,2024-09-07 09:02:50:588,540391,540391,0,0,252276289660,2626083401,534802,4987,602,367,391659,0 54,2,2024-09-07 09:02:50:871,388795,388789,6,0,18179095,0,5382 54,3,2024-09-07 09:02:50:763,1,307,37,0,676,5000,307,0 55,0,2024-09-07 09:02:51:775,71756,0.6,74431,0.8,149632,0.6,195403,2.50 55,1,2024-09-07 09:02:50:774,539911,539911,0,0,251167342106,2618274304,533449,5554,908,365,391731,0 55,2,2024-09-07 09:02:50:736,387578,387578,0,0,17849702,0,3563 55,3,2024-09-07 09:02:50:679,1,307,8,0,304,3373,307,0 56,0,2024-09-07 09:02:51:674,81721,1.1,77192,1.1,158984,1.6,213020,2.50 56,1,2024-09-07 09:02:50:577,537906,537906,0,0,250989450722,2654598035,529410,6872,1624,381,391867,0 56,2,2024-09-07 09:02:51:310,388172,388172,0,0,18595768,0,3567 56,3,2024-09-07 09:02:51:059,1,307,1,0,705,4187,307,0 57,0,2024-09-07 09:02:50:944,79353,1.6,79168,1.3,158766,2.2,212771,3.00 57,1,2024-09-07 09:02:50:991,539641,539641,0,0,251000943096,2633618089,533137,5788,716,366,392032,0 57,2,2024-09-07 09:02:51:324,386301,386301,0,0,19555649,0,3317 57,3,2024-09-07 09:02:51:749,1,307,2,0,359,3715,307,0 58,0,2024-09-07 09:02:50:569,73176,0.9,71255,1.0,149268,1.2,195529,2.50 58,1,2024-09-07 09:02:50:575,540453,540450,0,3,251700163942,2635266125,532721,6678,1051,367,391603,3 58,2,2024-09-07 09:02:51:079,388425,388425,0,0,18337669,0,2549 58,3,2024-09-07 09:02:51:080,1,307,23,0,1043,3335,307,0 59,0,2024-09-07 09:02:51:739,73326,0.7,72972,0.9,145867,0.7,193737,2.75 59,1,2024-09-07 09:02:50:840,539915,539915,0,0,251830437116,2638807517,532605,5847,1463,369,391578,0 59,2,2024-09-07 09:02:50:593,390331,390331,0,0,17417452,0,2604 59,3,2024-09-07 09:02:51:743,1,307,9,0,1015,4143,307,0 60,0,2024-09-07 09:02:51:753,78410,0.5,78498,0.6,157516,0.4,209014,1.75 60,1,2024-09-07 09:02:50:799,541955,541955,0,0,252707891735,2621367183,539055,2373,527,370,392031,0 60,2,2024-09-07 09:02:51:141,388721,388721,0,0,17710745,0,3811 60,3,2024-09-07 09:02:51:259,1,307,1,0,175,3176,307,0 61,0,2024-09-07 09:02:51:502,79446,0.7,79936,0.8,158849,0.7,211932,2.00 61,1,2024-09-07 09:02:50:775,539773,539773,0,0,251696747141,2637579093,533845,5014,914,382,391880,0 61,2,2024-09-07 09:02:51:125,385571,385571,0,0,15986590,0,3598 61,3,2024-09-07 09:02:51:690,1,307,3,0,479,4609,307,0 62,0,2024-09-07 09:02:51:727,77512,0.6,79190,0.7,150926,0.6,205227,2.00 62,1,2024-09-07 09:02:51:111,543074,543068,0,6,253122578960,2612646812,540204,2702,162,365,391715,6 62,2,2024-09-07 09:02:51:655,388530,388529,1,0,17266878,0,5555 62,3,2024-09-07 09:02:51:143,1,307,2,0,465,2483,307,0 63,0,2024-09-07 09:02:51:450,72414,0.4,72540,0.6,145090,0.4,192763,1.75 63,1,2024-09-07 09:02:50:853,541397,541391,0,6,252347876453,2619380259,538278,2877,236,381,391800,6 63,2,2024-09-07 09:02:50:762,389574,389574,0,0,15512679,0,4369 63,3,2024-09-07 09:02:51:732,1,307,77,0,667,3485,307,0 64,0,2024-09-07 09:02:51:532,75528,0.5,75482,0.7,151010,0.5,201144,2.00 64,1,2024-09-07 09:02:50:751,540591,540591,0,0,252474292377,2635645580,534964,3971,1656,370,391783,0 64,2,2024-09-07 09:02:51:148,391434,391415,19,0,15534333,0,6121 64,3,2024-09-07 09:02:51:140,1,307,2,0,265,2995,307,0 65,0,2024-09-07 09:02:51:697,78910,0.7,79142,0.8,158051,0.8,210352,2.25 65,1,2024-09-07 09:02:50:888,539500,539500,0,0,251416487098,2630401829,535493,3558,449,382,391901,0 65,2,2024-09-07 09:02:51:694,387766,387766,0,0,17175906,0,3367 65,3,2024-09-07 09:02:51:687,1,307,1,0,163,2836,307,0 66,0,2024-09-07 09:02:51:772,79169,0.5,79050,0.7,158440,0.5,209936,2.00 66,1,2024-09-07 09:02:51:293,540815,540815,0,0,252629426403,2628623130,537313,3183,319,380,391653,0 66,2,2024-09-07 09:02:51:132,391212,391212,0,0,15651592,0,4956 66,3,2024-09-07 09:02:51:080,1,307,0,0,291,3094,307,0 67,0,2024-09-07 09:02:51:413,73214,0.6,72503,0.7,145726,0.5,193985,2.00 67,1,2024-09-07 09:02:50:766,541020,541019,0,1,251421311675,2621578336,536910,3359,750,380,391787,1 67,2,2024-09-07 09:02:50:587,389755,389755,0,0,14880073,0,2889 67,3,2024-09-07 09:02:51:753,1,307,1,0,338,2727,307,0 68,0,2024-09-07 09:02:50:566,74964,0.6,74481,0.8,148768,0.6,199226,2.00 68,1,2024-09-07 09:02:50:592,539875,539875,0,0,251104052927,2633790086,535137,3537,1201,381,391953,0 68,2,2024-09-07 09:02:51:048,386121,386056,65,0,20152046,0,6698 68,3,2024-09-07 09:02:50:736,1,307,3,0,417,3351,307,0 69,0,2024-09-07 09:02:51:779,80410,1.0,80759,0.9,161006,1.2,213592,2.25 69,1,2024-09-07 09:02:51:038,537922,537922,0,0,250441401802,2649037848,529992,5821,2109,384,391994,0 69,2,2024-09-07 09:02:51:733,387957,387957,0,0,19866186,0,3722 69,3,2024-09-07 09:02:50:762,1,307,13,0,698,4463,307,0 70,0,2024-09-07 09:02:51:539,79658,0.9,79659,1.1,160195,0.7,212485,2.50 70,1,2024-09-07 09:02:50:816,541588,541588,0,0,252449116870,2623459410,536996,4056,536,366,391725,0 70,2,2024-09-07 09:02:51:324,386338,386338,0,0,18002084,0,4044 70,3,2024-09-07 09:02:50:745,1,307,1,0,854,3554,307,0 71,0,2024-09-07 09:02:51:358,73015,1.0,72728,1.0,146108,1.2,195314,2.75 71,1,2024-09-07 09:02:51:604,539787,539787,0,0,252465243592,2637847365,533289,5842,656,368,391738,0 71,2,2024-09-07 09:02:51:070,388525,388525,0,0,17884086,0,4042 71,3,2024-09-07 09:02:51:766,1,307,8,0,644,4426,307,0 72,0,2024-09-07 09:02:51:082,76638,0.7,74891,0.8,146256,0.7,199372,2.00 72,1,2024-09-07 09:02:51:037,539286,539286,0,0,251715918207,2639238426,531564,6037,1685,369,391819,0 72,2,2024-09-07 09:02:51:772,387798,387798,0,0,20403660,0,3983 72,3,2024-09-07 09:02:51:774,1,307,7,0,564,5130,307,0 73,0,2024-09-07 09:02:51:244,77418,0.4,79177,0.6,162239,0.3,210619,2.00 73,1,2024-09-07 09:02:50:774,540627,540627,0,0,251956581608,2620885417,536684,3614,329,367,391858,0 73,2,2024-09-07 09:02:51:789,388682,388682,0,0,19573065,0,3701 73,3,2024-09-07 09:02:50:978,1,307,9,0,274,4320,307,0 74,0,2024-09-07 09:02:51:331,80758,0.5,82735,0.7,157975,0.5,214343,2.25 74,1,2024-09-07 09:02:50:634,540258,540258,0,0,251549447219,2627681291,534919,4370,969,381,391681,0 74,2,2024-09-07 09:02:51:011,384934,384934,0,0,18397124,0,4253 74,3,2024-09-07 09:02:51:443,1,307,0,0,522,4477,307,0 75,0,2024-09-07 09:02:51:776,75675,0.5,75377,0.7,151019,0.4,201913,2.25 75,1,2024-09-07 09:02:51:592,539690,539690,0,0,251537985639,2631424956,533711,5164,815,380,391739,0 75,2,2024-09-07 09:02:51:350,388813,388813,0,0,18542300,0,4766 75,3,2024-09-07 09:02:51:067,1,307,12,0,702,4318,307,0 76,0,2024-09-07 09:02:50:619,72507,0.6,71979,0.8,144530,0.6,193662,2.25 76,1,2024-09-07 09:02:50:838,540363,540363,0,0,250976072588,2619668938,536760,3049,554,382,391692,0 76,2,2024-09-07 09:02:51:072,390356,390355,1,0,17151459,0,5144 76,3,2024-09-07 09:02:51:151,1,307,4,0,175,3094,307,0 77,0,2024-09-07 09:02:51:741,77424,0.6,77606,0.8,155034,0.6,206578,2.00 77,1,2024-09-07 09:02:50:839,540738,540738,0,0,251763773360,2630442278,536522,3952,264,381,391869,0 77,2,2024-09-07 09:02:51:288,388796,388796,0,0,16941462,0,3890 77,3,2024-09-07 09:02:51:097,1,307,1,0,401,3579,307,0 78,0,2024-09-07 09:02:51:746,79639,0.5,79211,0.7,159162,0.4,210950,2.00 78,1,2024-09-07 09:02:50:612,540690,540690,0,0,252178968993,2626172458,535534,4162,994,367,391670,0 78,2,2024-09-07 09:02:51:405,386565,386565,0,0,14720626,0,3855 78,3,2024-09-07 09:02:51:133,1,307,1,0,181,2978,307,0 79,0,2024-09-07 09:02:51:350,75700,0.4,77660,0.6,158714,0.4,206261,2.25 79,1,2024-09-07 09:02:50:573,542566,542566,0,0,252759121393,2616545259,538842,3279,445,369,391682,0 79,2,2024-09-07 09:02:51:081,390574,390574,0,0,15114661,0,3212 79,3,2024-09-07 09:02:50:753,1,307,1,0,418,4195,307,0 80,0,2024-09-07 09:02:51:121,72443,0.6,74423,0.7,142626,0.5,192158,2.00 80,1,2024-09-07 09:02:51:627,540650,540650,0,0,251760548624,2620732289,537342,3131,177,368,391791,0 80,2,2024-09-07 09:02:51:104,390598,390598,0,0,15179477,0,4433 80,3,2024-09-07 09:02:50:585,1,307,5,0,190,3877,307,0 81,0,2024-09-07 09:02:51:564,74856,0.6,76762,0.7,146611,0.5,199123,2.00 81,1,2024-09-07 09:02:51:672,539891,539891,0,0,251258993137,2628823230,535316,4095,480,382,391879,0 81,2,2024-09-07 09:02:51:125,387221,387158,63,0,17146870,0,5932 81,3,2024-09-07 09:02:51:125,1,307,2,0,374,3754,307,0 82,0,2024-09-07 09:02:51:546,79973,0.5,80516,0.7,161193,0.5,213455,2.00 82,1,2024-09-07 09:02:50:587,541386,541382,0,4,252438475366,2631112803,537531,3055,796,381,391768,4 82,2,2024-09-07 09:02:51:700,389013,389013,0,0,14866216,0,3986 82,3,2024-09-07 09:02:51:755,1,307,0,0,363,3359,307,0 83,0,2024-09-07 09:02:51:547,80130,0.5,79920,0.7,158976,0.5,211794,2.00 83,1,2024-09-07 09:02:50:551,540161,540161,0,0,251136987190,2621514449,536075,3765,321,382,391709,0 83,2,2024-09-07 09:02:50:765,388568,388568,0,0,15140874,0,3393 83,3,2024-09-07 09:02:50:753,1,307,1,0,1260,4729,307,0 84,0,2024-09-07 09:02:51:771,72943,0.7,72895,0.9,145868,0.6,195482,2.25 84,1,2024-09-07 09:02:51:039,539673,539673,0,0,251153118846,2626001757,534512,4575,586,367,391967,0 84,2,2024-09-07 09:02:50:580,388401,388401,0,0,18608160,0,4757 84,3,2024-09-07 09:02:51:143,1,307,7,0,908,4853,307,0 85,0,2024-09-07 09:02:51:045,71661,0.8,71724,0.9,151986,0.9,196600,2.50 85,1,2024-09-07 09:02:50:615,538627,538627,0,0,250733773978,2651059064,530244,7015,1368,381,392006,0 85,2,2024-09-07 09:02:50:885,388837,388837,0,0,18846606,0,3656 85,3,2024-09-07 09:02:50:696,1,307,11,0,789,4269,307,0 86,0,2024-09-07 09:02:50:952,80064,0.7,82420,0.8,157713,0.7,213303,2.25 86,1,2024-09-07 09:02:50:863,540117,540117,0,0,251711900454,2638603446,533277,5775,1065,366,391961,0 86,2,2024-09-07 09:02:50:855,387352,387351,1,0,19719094,0,5004 86,3,2024-09-07 09:02:50:586,1,307,28,0,286,4117,307,0 87,0,2024-09-07 09:02:51:302,80008,1.0,80049,0.9,160656,1.3,214503,2.50 87,1,2024-09-07 09:02:50:556,539097,539097,0,0,250912241033,2628369217,533111,5377,609,366,392076,0 87,2,2024-09-07 09:02:51:067,385527,385527,0,0,17325413,0,4045 87,3,2024-09-07 09:02:51:798,1,307,0,0,473,4539,307,0 88,0,2024-09-07 09:02:51:453,74532,0.5,75014,0.6,149545,0.4,198592,1.75 88,1,2024-09-07 09:02:50:635,538196,538196,0,0,251410035748,2639127623,530706,5837,1653,365,392084,0 88,2,2024-09-07 09:02:50:713,389137,389137,0,0,19268807,0,3583 88,3,2024-09-07 09:02:51:267,1,307,0,0,435,3545,307,0 89,0,2024-09-07 09:02:51:773,75822,0.5,73354,0.7,145515,0.4,197210,1.75 89,1,2024-09-07 09:02:50:557,538569,538569,0,0,251216779036,2646070607,531227,6075,1267,382,391866,0 89,2,2024-09-07 09:02:51:146,388415,388415,0,0,18824251,0,2910 89,3,2024-09-07 09:02:51:792,1,307,16,0,385,5856,307,0 90,0,2024-09-07 09:02:51:627,76106,0.4,78160,0.6,159471,0.4,208246,1.75 90,1,2024-09-07 09:02:50:635,539866,539866,0,0,251988083312,2643051392,534249,5224,393,380,391825,0 90,2,2024-09-07 09:02:51:409,387420,387420,0,0,20123636,0,3060 90,3,2024-09-07 09:02:50:937,1,307,4,0,246,3544,307,0 91,0,2024-09-07 09:02:50:983,79884,0.5,77647,0.6,161739,0.5,212603,1.75 91,1,2024-09-07 09:02:50:561,538481,538481,0,0,251408193625,2647809811,530999,6441,1041,381,392047,0 91,2,2024-09-07 09:02:51:336,385253,385253,0,0,17907698,0,2896 91,3,2024-09-07 09:02:50:646,1,307,43,0,216,2857,307,0 92,0,2024-09-07 09:02:51:449,77672,0.5,79711,0.6,152183,0.5,205671,1.75 92,1,2024-09-07 09:02:50:591,540327,540327,0,0,251185155187,2622706202,536801,3045,481,382,392136,0 92,2,2024-09-07 09:02:51:366,391214,391214,0,0,15425775,0,2801 92,3,2024-09-07 09:02:51:014,1,307,1,0,167,2710,307,0 93,0,2024-09-07 09:02:50:983,72855,0.4,74725,0.6,142734,0.3,193264,1.75 93,1,2024-09-07 09:02:50:834,540277,540277,0,0,251412416616,2625038218,535050,4387,840,366,391776,0 93,2,2024-09-07 09:02:50:951,389131,389131,0,0,17876505,0,4311 93,3,2024-09-07 09:02:51:406,1,307,1,0,190,2982,307,0 94,0,2024-09-07 09:02:51:644,75614,0.4,76347,0.5,152356,0.3,202618,1.75 94,1,2024-09-07 09:02:50:568,540098,540098,0,0,251611983132,2628651027,536224,3688,186,381,391850,0 94,2,2024-09-07 09:02:50:770,387857,387857,0,0,15599398,0,2443 94,3,2024-09-07 09:02:51:695,1,307,9,0,264,3968,307,0 95,0,2024-09-07 09:02:51:384,79453,0.4,79364,0.6,159590,0.3,212161,1.75 95,1,2024-09-07 09:02:50:871,541222,541222,0,0,252879245632,2630435444,536920,3923,379,367,391713,0 95,2,2024-09-07 09:02:51:038,387116,387116,0,0,15873152,0,3308 95,3,2024-09-07 09:02:51:711,1,307,10,0,718,5024,307,0 96,0,2024-09-07 09:02:51:039,79848,0.4,79964,0.5,159351,0.3,210872,1.75 96,1,2024-09-07 09:02:51:592,539963,539963,0,0,251470580310,2627146469,535715,3464,784,384,391955,0 96,2,2024-09-07 09:02:51:279,389332,389332,0,0,16105609,0,4042 96,3,2024-09-07 09:02:51:147,1,307,15,0,411,3352,307,0 97,0,2024-09-07 09:02:51:322,72977,0.3,72658,0.5,145938,0.3,193788,1.75 97,1,2024-09-07 09:02:50:770,542274,542274,0,0,252119651100,2616881434,538471,3137,666,367,392140,0 97,2,2024-09-07 09:02:50:606,389572,389572,0,0,15758858,0,3036 97,3,2024-09-07 09:02:50:574,1,307,0,0,214,3737,307,0 98,0,2024-09-07 09:02:51:701,74671,0.3,74692,0.5,149933,0.2,199340,1.50 98,1,2024-09-07 09:02:50:596,541426,541426,0,0,251647197901,2619432611,539023,2300,103,382,391997,0 98,2,2024-09-07 09:02:50:794,388206,388206,0,0,15717172,0,3080 98,3,2024-09-07 09:02:50:707,1,307,2,0,840,5146,307,0 99,0,2024-09-07 09:02:51:519,80875,0.4,81378,0.5,162080,0.3,215868,1.75 99,1,2024-09-07 09:02:51:728,541037,541037,0,0,252265937169,2629643103,536951,3336,750,381,392069,0 99,2,2024-09-07 09:02:51:447,390142,390142,0,0,17407422,0,3424 99,3,2024-09-07 09:02:50:587,1,307,11,0,187,2622,307,0 100,0,2024-09-07 09:02:51:459,80046,0.8,80020,1.0,160232,1.0,214234,2.50 100,1,2024-09-07 09:02:50:568,537348,537348,0,0,250989330652,2657052475,528910,6946,1492,381,391989,0 100,2,2024-09-07 09:02:51:829,385854,385843,11,0,18374949,0,5417 100,3,2024-09-07 09:02:51:731,1,307,36,0,559,5450,307,0 101,0,2024-09-07 09:02:51:729,75106,1.2,73097,1.1,143566,1.0,196927,2.25 101,1,2024-09-07 09:02:50:560,538033,538033,0,0,250761900470,2645850569,529048,6966,2019,368,391771,0 101,2,2024-09-07 09:02:51:768,386805,386805,0,0,20910080,0,4644 101,3,2024-09-07 09:02:50:950,1,307,0,0,448,3704,307,0 102,0,2024-09-07 09:02:51:060,72313,0.7,74924,0.8,150896,0.7,197955,2.25 102,1,2024-09-07 09:02:51:143,538541,538541,0,0,251058926791,2641304843,531319,6193,1029,369,391891,0 102,2,2024-09-07 09:02:51:743,389967,389913,54,0,17994820,0,6768 102,3,2024-09-07 09:02:51:613,1,307,2,0,410,3392,307,0 103,0,2024-09-07 09:02:51:623,81761,0.6,81747,0.7,154015,0.6,212461,2.00 103,1,2024-09-07 09:02:51:625,537873,537873,0,0,250354165364,2645903457,529161,6717,1995,381,391829,0 103,2,2024-09-07 09:02:50:582,387386,387386,0,0,18502732,0,3173 103,3,2024-09-07 09:02:50:756,1,307,6,0,916,4000,307,0 104,0,2024-09-07 09:02:51:007,79317,0.8,79808,1.0,158294,0.8,213528,2.25 104,1,2024-09-07 09:02:51:599,539164,539164,0,0,250391552177,2639305445,530293,7176,1695,365,392168,0 104,2,2024-09-07 09:02:51:670,383696,383696,0,0,19270091,0,3941 104,3,2024-09-07 09:02:51:429,1,307,1,0,1245,6980,307,0 105,0,2024-09-07 09:02:51:035,74817,0.9,72811,1.1,152201,1.2,200359,3.00 105,1,2024-09-07 09:02:50:571,539687,539687,0,0,251788492486,2645991961,531831,6555,1301,366,391797,0 105,2,2024-09-07 09:02:51:323,388955,388955,0,0,18629945,0,3509 105,3,2024-09-07 09:02:51:304,1,307,6,0,399,4927,307,0 106,0,2024-09-07 09:02:51:047,70332,0.8,72005,1.0,147441,0.9,193104,2.50 106,1,2024-09-07 09:02:51:760,538697,538697,0,0,250669244117,2637275216,530048,7645,1004,369,391865,0 106,2,2024-09-07 09:02:50:782,387103,387103,0,0,18432055,0,2795 106,3,2024-09-07 09:02:50:688,1,307,3,0,470,4138,307,0 107,0,2024-09-07 09:02:51:187,77224,1.0,77081,0.9,154292,1.2,205783,2.25 107,1,2024-09-07 09:02:50:743,537554,537554,0,0,250195350235,2639832677,530048,6675,831,381,392234,0 107,2,2024-09-07 09:02:51:307,386906,386905,1,0,19056589,0,5024 107,3,2024-09-07 09:02:51:765,1,307,10,0,353,4259,307,0 108,0,2024-09-07 09:02:51:779,79264,0.4,79605,0.6,158359,0.4,211534,1.75 108,1,2024-09-07 09:02:51:306,540013,540013,0,0,252671480693,2638163319,535192,4306,515,368,391857,0 108,2,2024-09-07 09:02:51:765,384609,384609,0,0,17617764,0,4246 108,3,2024-09-07 09:02:51:329,1,307,1,0,749,6348,307,0 109,0,2024-09-07 09:02:51:766,78734,0.4,77885,0.6,156018,0.3,208522,1.75 109,1,2024-09-07 09:02:50:584,538377,538377,0,0,251843533928,2642547763,533772,3955,650,383,392132,0 109,2,2024-09-07 09:02:50:924,387773,387773,0,0,17408847,0,3617 109,3,2024-09-07 09:02:51:140,1,307,2,0,249,3351,307,0 110,0,2024-09-07 09:02:51:834,72511,0.4,70502,0.6,147561,0.3,192857,1.75 110,1,2024-09-07 09:02:51:644,541570,541570,0,0,252252232035,2618988864,538066,2593,911,369,392045,0 110,2,2024-09-07 09:02:51:313,389170,389170,0,0,17396348,0,4067 110,3,2024-09-07 09:02:50:699,1,307,0,0,406,4164,307,0 111,0,2024-09-07 09:02:51:412,75555,0.4,74770,0.5,149756,0.3,200923,1.75 111,1,2024-09-07 09:02:51:000,541392,541392,0,0,253162652415,2627386101,538714,2335,343,382,391690,0 111,2,2024-09-07 09:02:51:116,387009,387009,0,0,16129279,0,2763 111,3,2024-09-07 09:02:50:922,1,307,8,0,379,3960,307,0 112,0,2024-09-07 09:02:50:927,81125,0.3,80465,0.4,161494,0.2,214266,1.50 112,1,2024-09-07 09:02:50:842,541651,541651,0,0,251861360507,2615982137,538215,2852,584,380,391624,0 112,2,2024-09-07 09:02:51:136,388008,388007,1,0,15686522,0,5036 112,3,2024-09-07 09:02:50:595,1,307,0,0,282,3209,307,0 113,0,2024-09-07 09:02:50:921,79726,0.3,79764,0.5,160047,0.2,213238,1.50 113,1,2024-09-07 09:02:51:687,543191,543191,0,0,253522010678,2618795823,539918,2689,584,366,391661,0 113,2,2024-09-07 09:02:51:305,390209,390209,0,0,13994367,0,3813 113,3,2024-09-07 09:02:50:687,1,307,1,0,340,3898,307,0 114,0,2024-09-07 09:02:50:893,73926,0.3,74370,0.5,147750,0.2,197541,1.75 114,1,2024-09-07 09:02:50:719,540917,540917,0,0,252588821857,2629274683,535799,3526,1592,381,391556,0 114,2,2024-09-07 09:02:50:885,389271,389270,1,0,15677430,0,5069 114,3,2024-09-07 09:02:51:279,1,307,1,0,395,2913,307,0 115,0,2024-09-07 09:02:50:559,74416,0.3,74785,0.4,149619,0.2,198996,1.50 115,1,2024-09-07 09:02:50:573,541072,541072,0,0,251837542337,2619089301,536249,3983,840,382,391656,0 115,2,2024-09-07 09:02:51:127,390007,390007,0,0,14628768,0,3453 115,3,2024-09-07 09:02:51:007,1,307,8,0,159,1874,307,0 116,0,2024-09-07 09:02:51:706,79819,0.8,79778,0.9,159849,0.8,214115,2.25 116,1,2024-09-07 09:02:50:825,538098,538098,0,0,250874065738,2652860524,530531,5303,2264,380,391782,0 116,2,2024-09-07 09:02:51:760,387561,387561,0,0,20079901,0,3529 116,3,2024-09-07 09:02:50:912,1,307,5,0,415,4009,307,0 117,0,2024-09-07 09:02:50:959,80506,0.8,80164,0.9,160435,1.0,214885,2.00 117,1,2024-09-07 09:02:51:587,539044,539044,0,0,250729065745,2629310079,532918,5458,668,370,392033,0 117,2,2024-09-07 09:02:51:127,388439,388439,0,0,16248249,0,3700 117,3,2024-09-07 09:02:51:060,1,307,11,0,490,4713,307,0 118,0,2024-09-07 09:02:51:789,72359,0.5,74390,0.7,151479,0.5,197779,2.00 118,1,2024-09-07 09:02:50:595,538602,538602,0,0,250375228306,2637676705,530214,6536,1852,366,391736,0 118,2,2024-09-07 09:02:51:610,388079,388079,0,0,17873988,0,2781 118,3,2024-09-07 09:02:51:769,1,307,6,0,235,3438,307,0 119,0,2024-09-07 09:02:51:368,73286,0.7,73621,0.8,147374,0.8,196129,2.25 119,1,2024-09-07 09:02:50:573,540137,540137,0,0,252032857443,2638977628,533771,5530,836,367,391780,0 119,2,2024-09-07 09:02:51:262,389995,389995,0,0,17108794,0,4174 119,3,2024-09-07 09:02:51:332,1,307,8,0,563,4700,307,0 120,0,2024-09-07 09:02:51:601,77962,0.6,77891,0.8,155844,0.5,208884,2.25 120,1,2024-09-07 09:02:50:882,539471,539471,0,0,251122475330,2640274368,533136,5782,553,368,391961,0 120,2,2024-09-07 09:02:50:770,388024,388023,1,0,20062273,0,5281 120,3,2024-09-07 09:02:51:290,1,307,4,0,241,3912,307,0 121,0,2024-09-07 09:02:51:770,79381,1.2,79424,1.0,159516,1.6,212001,2.25 121,1,2024-09-07 09:02:51:665,539716,539716,0,0,251248108076,2631697223,534188,5097,431,367,391840,0 121,2,2024-09-07 09:02:51:125,383827,383827,0,0,19117268,0,4127 121,3,2024-09-07 09:02:50:736,1,307,21,0,269,3754,307,0 122,0,2024-09-07 09:02:51:789,76896,0.8,74884,0.9,156948,0.9,205646,2.00 122,1,2024-09-07 09:02:50:883,538465,538465,0,0,251279228388,2642002998,530330,6874,1261,366,392130,0 122,2,2024-09-07 09:02:51:328,388944,388871,73,0,21598776,0,5989 122,3,2024-09-07 09:02:50:604,1,307,15,0,411,5852,307,0 123,0,2024-09-07 09:02:50:975,72148,0.8,70557,0.8,147147,0.9,192590,2.25 123,1,2024-09-07 09:02:50:566,538825,538825,0,0,251353697986,2654415734,528269,8576,1980,369,392039,0 123,2,2024-09-07 09:02:51:033,387064,387063,1,0,18588216,0,5215 123,3,2024-09-07 09:02:51:142,1,307,1,0,168,3666,307,0 124,0,2024-09-07 09:02:50:941,78244,0.4,78294,0.5,147570,0.3,203332,1.75 124,1,2024-09-07 09:02:51:033,541271,541271,0,0,251928378570,2625090850,536683,3680,908,367,392178,0 124,2,2024-09-07 09:02:51:012,388795,388795,0,0,15587958,0,3101 124,3,2024-09-07 09:02:50:769,1,307,1,0,490,3327,307,0 125,0,2024-09-07 09:02:51:419,79887,0.4,79472,0.6,159900,0.4,212494,1.75 125,1,2024-09-07 09:02:50:855,539706,539706,0,0,252124106969,2632563893,535704,3551,451,383,391702,0 125,2,2024-09-07 09:02:51:136,387159,387159,0,0,16749129,0,4534 125,3,2024-09-07 09:02:51:126,1,307,1,0,709,4458,307,0 126,0,2024-09-07 09:02:51:422,79570,0.4,81807,0.6,156381,0.4,211684,1.75 126,1,2024-09-07 09:02:50:551,541698,541698,0,0,252441956359,2616443515,538641,2785,272,365,391987,0 126,2,2024-09-07 09:02:50:614,390660,390660,0,0,16427963,0,3186 126,3,2024-09-07 09:02:50:922,1,307,2,0,207,3995,307,0 127,0,2024-09-07 09:02:51:605,72681,0.3,73089,0.5,145749,0.3,193755,1.75 127,1,2024-09-07 09:02:50:574,541303,541303,0,0,252579247138,2621465968,537065,3724,514,365,391816,0 127,2,2024-09-07 09:02:50:659,388613,388613,0,0,15045862,0,2264 127,3,2024-09-07 09:02:51:270,1,307,18,0,968,3824,307,0 128,0,2024-09-07 09:02:51:531,74940,0.3,75064,0.5,149914,0.2,199708,1.50 128,1,2024-09-07 09:02:51:610,540374,540374,0,0,252064753271,2621108339,536346,3660,368,367,391798,0 128,2,2024-09-07 09:02:51:383,389130,389130,0,0,15103780,0,2915 128,3,2024-09-07 09:02:50:785,1,307,2,0,1082,5803,307,0 129,0,2024-09-07 09:02:50:993,81431,0.3,81091,0.5,162516,0.3,215979,1.75 129,1,2024-09-07 09:02:50:581,537669,537669,0,0,251354264424,2636165950,532353,4103,1213,379,391835,0 129,2,2024-09-07 09:02:50:690,389274,389274,0,0,15931258,0,4031 129,3,2024-09-07 09:02:50:696,1,307,0,0,469,4350,307,0 130,0,2024-09-07 09:02:51:800,80914,0.5,80551,0.6,161784,0.6,215463,1.75 130,1,2024-09-07 09:02:50:631,541075,541075,0,0,252371462973,2626553210,537879,2957,239,381,391825,0 130,2,2024-09-07 09:02:51:133,388529,388529,0,0,15535098,0,4067 130,3,2024-09-07 09:02:51:291,1,307,2,0,450,3950,307,0 131,0,2024-09-07 09:02:51:968,73431,0.4,73849,0.5,148511,0.3,196729,1.75 131,1,2024-09-07 09:02:51:832,540779,540779,0,0,251765539612,2630993196,536349,3685,745,381,391865,0 131,2,2024-09-07 09:02:50:603,391000,391000,0,0,14472335,0,2415 131,3,2024-09-07 09:02:51:689,1,307,24,0,392,3350,307,0 132,0,2024-09-07 09:02:51:410,74124,0.5,74921,0.6,149455,0.4,199005,2.00 132,1,2024-09-07 09:02:50:576,538254,538254,0,0,251204934071,2650398501,529904,6887,1463,381,392097,0 132,2,2024-09-07 09:02:50:716,388866,388866,0,0,19298534,0,4606 132,3,2024-09-07 09:02:51:689,1,307,4,0,804,5908,307,0 133,0,2024-09-07 09:02:51:548,77427,0.4,79108,0.6,162380,0.4,211071,1.75 133,1,2024-09-07 09:02:50:596,538304,538304,0,0,250794593965,2649011419,530289,6933,1082,383,391914,0 133,2,2024-09-07 09:02:51:096,387473,387473,0,0,20563326,0,4315 133,3,2024-09-07 09:02:51:297,1,307,11,0,479,3388,307,0 134,0,2024-09-07 09:02:50:961,80426,0.5,80409,0.7,160785,0.5,214685,2.00 134,1,2024-09-07 09:02:50:605,538694,538694,0,0,250687753848,2637865955,530660,6181,1853,366,391718,0 134,2,2024-09-07 09:02:51:795,384730,384730,0,0,16958002,0,3847 134,3,2024-09-07 09:02:50:750,1,307,1,0,739,4209,307,0 135,0,2024-09-07 09:02:51:106,73090,0.7,73191,0.8,155016,0.8,200065,2.00 135,1,2024-09-07 09:02:51:585,538659,538659,0,0,251673665856,2653045216,530752,6713,1194,380,391805,0 135,2,2024-09-07 09:02:50:698,389813,389813,0,0,18477857,0,3981 135,3,2024-09-07 09:02:51:007,1,307,1,0,299,2355,307,0 136,0,2024-09-07 09:02:51:614,73253,0.6,73300,0.7,146005,0.5,195140,2.25 136,1,2024-09-07 09:02:51:441,539120,539120,0,0,251021989551,2640175507,532465,5969,686,382,391685,0 136,2,2024-09-07 09:02:51:134,389445,389445,0,0,18375739,0,3506 136,3,2024-09-07 09:02:51:116,1,307,1,0,637,3605,307,0 137,0,2024-09-07 09:02:50:920,79740,0.7,77583,0.8,152359,0.7,207567,2.00 137,1,2024-09-07 09:02:50:587,538514,538514,0,0,251251418838,2642565299,529305,7349,1860,366,391708,0 137,2,2024-09-07 09:02:51:705,387604,387604,0,0,20439763,0,3185 137,3,2024-09-07 09:02:50:768,1,307,23,0,382,3797,307,0 138,0,2024-09-07 09:02:51:757,78718,1.4,78941,1.1,158276,2.1,210441,2.50 138,1,2024-09-07 09:02:51:685,538954,538954,0,0,252482159575,2655318379,530238,7138,1578,368,391954,0 138,2,2024-09-07 09:02:50:635,385387,385387,0,0,18483676,0,4988 138,3,2024-09-07 09:02:50:614,1,307,12,0,1160,4373,307,0 139,0,2024-09-07 09:02:51:395,77145,1.4,77528,1.1,155209,2.0,206716,2.25 139,1,2024-09-07 09:02:50:573,536712,536712,0,0,249816187107,2657854875,526328,7864,2520,381,391892,0 139,2,2024-09-07 09:02:50:707,387178,387178,0,0,19740094,0,3097 139,3,2024-09-07 09:02:51:682,1,307,2,0,257,3444,307,0 140,0,2024-09-07 09:02:51:593,72817,0.3,72304,0.5,145336,0.2,193141,1.75 140,1,2024-09-07 09:02:51:537,542192,542192,0,0,252551087908,2607339714,539157,2597,438,365,391606,0 140,2,2024-09-07 09:02:50:687,389725,389725,0,0,15330164,0,3388 140,3,2024-09-07 09:02:50:781,1,307,11,0,247,2578,307,0 141,0,2024-09-07 09:02:51:712,75145,0.3,77234,0.5,147700,0.3,200782,1.75 141,1,2024-09-07 09:02:50:882,542044,542044,0,0,252518330375,2623182255,538472,3113,459,379,391614,0 141,2,2024-09-07 09:02:51:690,387740,387740,0,0,15610867,0,3360 141,3,2024-09-07 09:02:51:046,1,307,4,0,391,3183,307,0 142,0,2024-09-07 09:02:51:371,81211,0.4,80459,0.5,160593,0.3,214509,1.75 142,1,2024-09-07 09:02:50:584,540996,540996,0,0,251770675244,2628049178,537263,3384,349,382,392102,0 142,2,2024-09-07 09:02:51:299,387626,387594,32,0,17155036,0,6028 142,3,2024-09-07 09:02:51:746,1,307,2,0,484,3968,307,0 143,0,2024-09-07 09:02:51:416,80016,0.5,79957,0.6,160215,0.4,213317,1.75 143,1,2024-09-07 09:02:50:556,541349,541349,0,0,251450649087,2610996732,537792,3412,145,367,391705,0 143,2,2024-09-07 09:02:50:770,388604,388604,0,0,15870042,0,2750 143,3,2024-09-07 09:02:51:145,1,307,0,0,303,3795,307,0 144,0,2024-09-07 09:02:51:495,71144,0.6,73216,0.8,148945,0.5,195606,2.00 144,1,2024-09-07 09:02:50:565,538580,538580,0,0,251127373142,2636639232,533740,3901,939,381,391649,0 144,2,2024-09-07 09:02:51:764,389586,389586,0,0,15054653,0,3473 144,3,2024-09-07 09:02:51:742,1,307,17,0,249,3327,307,0 145,0,2024-09-07 09:02:51:398,71776,0.7,71826,0.9,152384,0.6,196387,2.50 145,1,2024-09-07 09:02:50:555,537862,537862,0,0,251032110930,2644400092,530685,6038,1139,382,391698,0 145,2,2024-09-07 09:02:51:430,387439,387439,0,0,18672509,0,3903 145,3,2024-09-07 09:02:50:900,1,307,3,0,622,4836,307,0 146,0,2024-09-07 09:02:51:631,80297,0.6,79616,0.7,160481,0.5,213229,2.25 146,1,2024-09-07 09:02:51:596,539585,539585,0,0,251536464735,2649000659,530404,7277,1904,368,391770,0 146,2,2024-09-07 09:02:51:701,387990,387990,0,0,18395735,0,2730 146,3,2024-09-07 09:02:51:285,1,307,6,0,1520,6872,307,0 147,0,2024-09-07 09:02:51:702,80560,0.6,80270,0.8,159836,0.6,214425,2.25 147,1,2024-09-07 09:02:51:372,541134,541134,0,0,252369773433,2628170657,535999,4342,793,368,391791,0 147,2,2024-09-07 09:02:51:024,387214,387214,0,0,15348232,0,2789 147,3,2024-09-07 09:02:50:918,1,307,9,0,730,4676,307,0 0,0,2024-09-07 09:03:01:724,76367,0.6,76445,0.7,162043,0.6,209837,2.00 0,1,2024-09-07 09:03:00:828,541751,541751,0,0,252681409069,2645388006,537660,3850,241,370,391896,0 0,2,2024-09-07 09:03:01:066,390266,390266,0,0,16136573,0,4480 0,3,2024-09-07 09:03:00:974,1,308,8,0,319,3896,308,0 1,0,2024-09-07 09:03:01:790,79908,0.9,79352,1.0,159498,1.1,213063,2.00 1,1,2024-09-07 09:03:00:593,540898,540898,0,0,251772191390,2639716363,535614,4076,1208,370,391857,0 1,2,2024-09-07 09:03:00:656,385253,385253,0,0,15428641,0,3267 1,3,2024-09-07 09:03:01:316,1,308,1,0,262,3567,308,0 2,0,2024-09-07 09:03:01:569,77101,0.7,77479,0.8,153536,0.8,205446,2.00 2,1,2024-09-07 09:03:00:865,542589,542589,0,0,252412355567,2624585443,539695,2601,293,380,391745,0 2,2,2024-09-07 09:03:01:273,391273,391273,0,0,15507080,0,3594 2,3,2024-09-07 09:03:00:691,1,308,3,0,357,3125,308,0 3,0,2024-09-07 09:03:01:752,72421,0.4,72495,0.6,144854,0.4,192499,2.00 3,1,2024-09-07 09:03:01:620,541536,541536,0,0,252528331292,2633829869,536452,4461,623,380,391591,0 3,2,2024-09-07 09:03:01:146,390915,390892,23,0,16041540,0,5851 3,3,2024-09-07 09:03:01:755,1,308,12,0,103,1885,308,0 4,0,2024-09-07 09:03:01:781,74222,0.4,76457,0.5,155121,0.4,203950,1.75 4,1,2024-09-07 09:03:00:615,540193,540193,0,0,251615845410,2653798788,532902,5939,1352,371,391992,0 4,2,2024-09-07 09:03:01:035,387243,387243,0,0,18750647,0,4528 4,3,2024-09-07 09:03:01:103,1,308,0,0,448,4398,308,0 5,0,2024-09-07 09:03:01:475,79766,0.5,80036,0.6,159548,0.5,212174,1.75 5,1,2024-09-07 09:03:00:774,540699,540699,0,0,251721581476,2651906768,533573,5771,1355,368,392005,0 5,2,2024-09-07 09:03:01:866,386233,386233,0,0,17779322,0,2432 5,3,2024-09-07 09:03:01:734,1,308,8,0,457,4710,308,0 6,0,2024-09-07 09:03:00:927,79962,0.5,79420,0.7,158718,0.4,211676,2.00 6,1,2024-09-07 09:03:00:746,540719,540719,0,0,251538091236,2632983533,534432,5211,1076,379,391694,0 6,2,2024-09-07 09:03:01:128,390243,390243,0,0,16664981,0,4816 6,3,2024-09-07 09:03:01:278,1,308,1,0,340,3630,308,0 7,0,2024-09-07 09:03:01:545,72718,0.5,72927,0.6,145471,0.4,193440,2.00 7,1,2024-09-07 09:03:00:857,540109,540109,0,0,252097181484,2652489620,532175,6760,1174,382,391747,0 7,2,2024-09-07 09:03:00:778,389996,389996,0,0,17009000,0,4791 7,3,2024-09-07 09:03:00:856,1,308,8,0,398,3723,308,0 8,0,2024-09-07 09:03:01:361,75234,0.4,74978,0.5,150106,0.3,200586,1.75 8,1,2024-09-07 09:03:01:034,539522,539522,0,0,251662146621,2656651087,529515,7731,2276,366,392144,0 8,2,2024-09-07 09:03:00:831,385223,385223,0,0,20650388,0,3220 8,3,2024-09-07 09:03:00:822,1,308,5,0,538,5529,308,0 9,0,2024-09-07 09:03:01:183,81234,0.4,78950,0.6,165103,0.4,216001,1.75 9,1,2024-09-07 09:03:00:605,540229,540229,0,0,252882023531,2668391691,531947,6457,1825,369,392001,0 9,2,2024-09-07 09:03:01:093,388835,388835,0,0,18600180,0,3360 9,3,2024-09-07 09:03:01:755,1,308,1,0,496,4536,308,0 10,0,2024-09-07 09:03:01:604,80865,0.3,80266,0.5,161257,0.3,215038,1.75 10,1,2024-09-07 09:03:00:584,540702,540702,0,0,252471139201,2648946677,533071,6524,1107,381,391741,0 10,2,2024-09-07 09:03:00:763,388704,388704,0,0,19564607,0,4264 10,3,2024-09-07 09:03:00:880,1,308,0,0,296,2911,308,0 11,0,2024-09-07 09:03:01:016,73366,0.4,71201,0.7,148983,0.4,197217,1.75 11,1,2024-09-07 09:03:00:583,541198,541198,0,0,252302425887,2654652634,531557,7227,2414,383,391664,0 11,2,2024-09-07 09:03:01:130,389689,389689,0,0,18037086,0,4130 11,3,2024-09-07 09:03:01:303,1,308,12,0,843,5082,308,0 12,0,2024-09-07 09:03:00:971,75238,0.4,75157,0.5,150354,0.3,200230,1.75 12,1,2024-09-07 09:03:00:941,541602,541602,0,0,252005156289,2631194951,536918,4198,486,370,391870,0 12,2,2024-09-07 09:03:01:541,390497,390497,0,0,17590696,0,3469 12,3,2024-09-07 09:03:01:063,1,308,27,0,386,5141,308,0 13,0,2024-09-07 09:03:01:379,80113,0.4,79776,0.5,159558,0.4,212495,1.75 13,1,2024-09-07 09:03:01:540,540852,540852,0,0,251702092913,2647142104,535978,3571,1303,382,391740,0 13,2,2024-09-07 09:03:00:615,390923,390923,0,0,16327324,0,3287 13,3,2024-09-07 09:03:01:777,1,308,5,0,522,5229,308,0 14,0,2024-09-07 09:03:00:610,80997,0.4,81540,0.6,161272,0.4,215441,1.75 14,1,2024-09-07 09:03:01:568,544484,544484,0,0,253797153498,2629790105,540571,3633,280,364,391571,0 14,2,2024-09-07 09:03:00:765,386684,386684,0,0,15854180,0,2896 14,3,2024-09-07 09:03:01:116,1,308,9,0,1168,4034,308,0 15,0,2024-09-07 09:03:01:554,75695,0.4,75846,0.7,151404,0.4,201505,2.00 15,1,2024-09-07 09:03:01:608,542494,542494,0,0,252491992649,2625472122,539568,2747,179,381,391619,0 15,2,2024-09-07 09:03:01:008,392215,392215,0,0,14097597,0,3043 15,3,2024-09-07 09:03:01:406,1,308,1,0,1126,5759,308,0 16,0,2024-09-07 09:03:01:050,73353,0.6,73615,0.8,146563,0.6,195682,2.25 16,1,2024-09-07 09:03:00:626,542272,542272,0,0,252754456328,2642388919,537998,3915,359,370,391756,0 16,2,2024-09-07 09:03:01:445,390523,390523,0,0,17023324,0,4719 16,3,2024-09-07 09:03:01:146,1,308,1,0,317,4547,308,0 17,0,2024-09-07 09:03:01:801,80051,0.8,78221,0.9,152910,0.8,208236,2.00 17,1,2024-09-07 09:03:00:572,541217,541217,0,0,251814487172,2647181743,535108,4853,1256,368,391899,0 17,2,2024-09-07 09:03:01:666,391851,391851,0,0,16846234,0,2857 17,3,2024-09-07 09:03:00:583,1,308,2,0,268,4809,308,0 18,0,2024-09-07 09:03:00:966,78493,0.7,78913,0.8,157338,0.7,209830,2.25 18,1,2024-09-07 09:03:01:639,542662,542662,0,0,253147965174,2626222011,539424,2928,310,367,391649,0 18,2,2024-09-07 09:03:01:755,387668,387668,0,0,15480014,0,3541 18,3,2024-09-07 09:03:00:912,1,308,0,0,163,2783,308,0 19,0,2024-09-07 09:03:01:543,77772,0.6,78367,0.8,155399,0.6,206783,2.25 19,1,2024-09-07 09:03:00:567,542590,542590,0,0,253074129255,2630050680,537479,4273,838,367,391777,0 19,2,2024-09-07 09:03:01:756,392481,392481,0,0,14162721,0,3988 19,3,2024-09-07 09:03:01:130,1,308,11,0,524,2368,308,0 20,0,2024-09-07 09:03:01:352,72345,0.6,72350,0.7,144775,0.6,192708,2.25 20,1,2024-09-07 09:03:00:601,540721,540721,0,0,251822153391,2634699411,536405,3912,404,369,391886,0 20,2,2024-09-07 09:03:00:948,390706,390706,0,0,16905606,0,3721 20,3,2024-09-07 09:03:00:595,1,308,1,0,414,5051,308,0 21,0,2024-09-07 09:03:01:191,75558,0.6,75574,0.7,151098,0.5,200566,2.00 21,1,2024-09-07 09:03:01:536,539737,539737,0,0,251439465281,2653690438,531936,5925,1876,368,392016,0 21,2,2024-09-07 09:03:01:070,387033,387033,0,0,19632167,0,3747 21,3,2024-09-07 09:03:01:408,1,308,0,0,103,3180,308,0 22,0,2024-09-07 09:03:01:753,80191,0.6,80479,0.8,160898,0.6,212793,2.25 22,1,2024-09-07 09:03:01:028,540915,540915,0,0,251214498417,2645258392,532709,6420,1786,382,391667,0 22,2,2024-09-07 09:03:00:776,387819,387819,0,0,16533624,0,3134 22,3,2024-09-07 09:03:01:086,1,308,8,0,228,2754,308,0 23,0,2024-09-07 09:03:01:383,79674,0.6,79550,0.7,159416,0.5,212519,2.25 23,1,2024-09-07 09:03:01:003,540816,540816,0,0,252184184215,2655142068,530471,7187,3158,365,391690,0 23,2,2024-09-07 09:03:01:093,390414,390414,0,0,15971972,0,3010 23,3,2024-09-07 09:03:01:755,1,308,3,0,645,3709,308,0 24,0,2024-09-07 09:03:00:873,74289,0.4,74064,0.6,148870,0.4,197702,1.75 24,1,2024-09-07 09:03:00:597,540377,540377,0,0,251324487296,2639869201,533845,5008,1524,368,391987,0 24,2,2024-09-07 09:03:01:071,388656,388656,0,0,19484303,0,3607 24,3,2024-09-07 09:03:01:700,1,308,20,0,468,4291,308,0 25,0,2024-09-07 09:03:01:361,76599,0.4,74744,0.6,146517,0.4,199815,2.00 25,1,2024-09-07 09:03:00:564,541307,541307,0,0,252618257752,2652553336,534267,5907,1133,371,391928,0 25,2,2024-09-07 09:03:01:607,388493,388493,0,0,19869002,0,3978 25,3,2024-09-07 09:03:01:006,1,308,9,0,255,3232,308,0 26,0,2024-09-07 09:03:01:736,80385,0.4,78616,0.6,164962,0.4,214989,2.00 26,1,2024-09-07 09:03:01:552,541716,541716,0,0,251866958762,2645701458,532889,7291,1536,380,391748,0 26,2,2024-09-07 09:03:00:866,389675,389675,0,0,19770029,0,2809 26,3,2024-09-07 09:03:01:718,1,308,2,0,796,4313,308,0 27,0,2024-09-07 09:03:01:760,81081,0.5,81125,0.6,160654,0.4,215050,2.00 27,1,2024-09-07 09:03:01:692,543294,543294,0,0,253239953299,2639045736,538364,4169,761,381,391626,0 27,2,2024-09-07 09:03:00:881,385271,385206,65,0,19209717,0,5699 27,3,2024-09-07 09:03:01:016,1,308,8,0,564,3280,308,0 28,0,2024-09-07 09:03:01:390,74883,0.4,74598,0.6,149528,0.4,199312,2.00 28,1,2024-09-07 09:03:00:797,542638,542638,0,0,253086169424,2638862131,538557,3406,675,383,391646,0 28,2,2024-09-07 09:03:01:767,390150,390150,0,0,15995631,0,2915 28,3,2024-09-07 09:03:01:777,1,308,1,0,502,3143,308,0 29,0,2024-09-07 09:03:01:390,75947,0.4,74071,0.6,145048,0.3,198065,1.75 29,1,2024-09-07 09:03:01:561,543830,543830,0,0,253146680239,2622342475,540076,3171,583,369,391753,0 29,2,2024-09-07 09:03:00:873,390023,390023,0,0,15685993,0,4233 29,3,2024-09-07 09:03:00:963,1,308,2,0,374,3331,308,0 30,0,2024-09-07 09:03:01:466,78466,0.5,76301,0.7,159623,0.4,209338,2.00 30,1,2024-09-07 09:03:00:578,543533,543533,0,0,253288836517,2631653420,539445,3482,606,382,391672,0 30,2,2024-09-07 09:03:01:279,389979,389979,0,0,15213227,0,3161 30,3,2024-09-07 09:03:00:594,1,308,22,0,519,2990,308,0 31,0,2024-09-07 09:03:01:774,79446,0.4,79744,0.6,159629,0.4,212676,2.00 31,1,2024-09-07 09:03:00:564,545706,545706,0,0,254007956854,2606066603,543618,1659,429,356,391712,0 31,2,2024-09-07 09:03:01:289,386116,386116,0,0,16625086,0,3525 31,3,2024-09-07 09:03:01:706,1,308,9,0,220,2452,308,0 32,0,2024-09-07 09:03:01:418,77116,0.3,77449,0.5,154840,0.3,205799,1.75 32,1,2024-09-07 09:03:00:815,542917,542917,0,0,253103203221,2630298309,539857,2615,445,381,391646,0 32,2,2024-09-07 09:03:00:939,391486,391486,0,0,14505785,0,3155 32,3,2024-09-07 09:03:01:025,1,308,1,0,227,2350,308,0 33,0,2024-09-07 09:03:01:506,72794,0.3,72322,0.5,145253,0.2,193315,1.75 33,1,2024-09-07 09:03:00:575,543120,543120,0,0,253814763899,2630850626,538743,3547,830,369,391730,0 33,2,2024-09-07 09:03:00:759,391479,391444,35,0,16632780,0,7012 33,3,2024-09-07 09:03:00:904,1,308,1,0,329,3359,308,0 34,0,2024-09-07 09:03:00:953,76664,0.3,78908,0.5,150930,0.2,203255,1.75 34,1,2024-09-07 09:03:01:059,544718,544718,0,0,254195667887,2614813532,543331,1380,7,367,391562,0 34,2,2024-09-07 09:03:00:778,389551,389551,0,0,15500218,0,3577 34,3,2024-09-07 09:03:01:695,1,308,1,0,299,2378,308,0 35,0,2024-09-07 09:03:00:864,79013,0.4,79431,0.5,159903,0.3,212722,1.75 35,1,2024-09-07 09:03:01:066,542937,542937,0,0,253446442086,2629317960,539336,2821,780,382,391589,0 35,2,2024-09-07 09:03:01:588,387697,387697,0,0,15775896,0,2653 35,3,2024-09-07 09:03:00:912,1,308,16,0,418,4088,308,0 36,0,2024-09-07 09:03:01:526,79747,0.5,79820,0.7,159623,0.4,211377,2.25 36,1,2024-09-07 09:03:00:583,542465,542465,0,0,252311035223,2640901411,535247,5560,1658,366,391759,0 36,2,2024-09-07 09:03:01:758,390458,390458,0,0,17964443,0,3875 36,3,2024-09-07 09:03:00:870,1,308,1,0,416,5185,308,0 37,0,2024-09-07 09:03:01:395,72557,0.5,72533,0.7,145225,0.5,194283,2.00 37,1,2024-09-07 09:03:00:573,540991,540984,0,7,252176311761,2640260149,534238,4662,2084,365,391570,0 37,2,2024-09-07 09:03:01:147,388950,388935,15,0,17525106,0,5815 37,3,2024-09-07 09:03:01:770,1,308,1,0,888,5435,308,0 38,0,2024-09-07 09:03:01:441,74490,0.5,72425,0.7,151458,0.4,198567,2.00 38,1,2024-09-07 09:03:01:610,543237,543237,0,0,252924476462,2635960487,537685,4875,677,368,391821,0 38,2,2024-09-07 09:03:00:765,388295,388248,47,0,18355168,0,6710 38,3,2024-09-07 09:03:01:007,1,308,251,0,689,4514,308,0 39,0,2024-09-07 09:03:01:765,82485,0.7,80843,0.8,157288,0.7,214738,2.00 39,1,2024-09-07 09:03:00:716,541632,541632,0,0,252663303947,2648474955,533294,6878,1460,365,391594,0 39,2,2024-09-07 09:03:01:429,389351,389351,0,0,16591108,0,2689 39,3,2024-09-07 09:03:00:721,1,308,5,0,324,3928,308,0 40,0,2024-09-07 09:03:01:514,79896,0.8,80570,1.0,160995,0.8,214673,2.75 40,1,2024-09-07 09:03:00:586,542251,542251,0,0,251990113731,2642634801,533974,6822,1455,368,391668,0 40,2,2024-09-07 09:03:01:303,388035,388034,1,0,19479218,0,5137 40,3,2024-09-07 09:03:01:161,1,308,1028,0,1028,4863,308,0 41,0,2024-09-07 09:03:01:028,73202,1.6,74986,1.3,143138,2.5,195189,3.25 41,1,2024-09-07 09:03:00:770,541401,541401,0,0,252512231703,2644060026,534916,5845,640,370,391742,0 41,2,2024-09-07 09:03:00:759,388454,388454,0,0,18618821,0,3356 41,3,2024-09-07 09:03:01:687,1,308,40,0,366,3281,308,0 42,0,2024-09-07 09:03:01:495,74032,0.9,74027,1.0,148373,1.0,196305,2.75 42,1,2024-09-07 09:03:01:447,540515,540515,0,0,251753421967,2648361934,531817,7134,1564,380,391675,0 42,2,2024-09-07 09:03:01:158,389972,389972,0,0,18579430,0,3568 42,3,2024-09-07 09:03:01:022,1,308,5,0,446,3028,308,0 43,0,2024-09-07 09:03:00:947,79045,0.8,77150,1.0,161398,0.9,211701,2.25 43,1,2024-09-07 09:03:00:576,541988,541988,0,0,253067196690,2648254922,534039,6512,1437,366,391696,0 43,2,2024-09-07 09:03:01:735,389944,389944,0,0,18794337,0,3812 43,3,2024-09-07 09:03:01:755,1,308,150,0,467,3933,308,0 44,0,2024-09-07 09:03:00:873,80631,0.5,81041,0.6,161725,0.4,215501,1.75 44,1,2024-09-07 09:03:00:564,543501,543501,0,0,252790040885,2615903479,539116,3440,945,356,391809,0 44,2,2024-09-07 09:03:01:270,385896,385896,0,0,15223355,0,4344 44,3,2024-09-07 09:03:01:093,1,308,36,0,817,4286,308,0 45,0,2024-09-07 09:03:01:793,74759,0.5,72980,0.8,153083,0.5,201366,2.00 45,1,2024-09-07 09:03:01:022,542686,542686,0,0,253101287078,2627654791,539730,2695,261,382,391917,0 45,2,2024-09-07 09:03:01:269,391139,391139,0,0,15458761,0,3596 45,3,2024-09-07 09:03:00:946,1,308,1,0,271,3079,308,0 46,0,2024-09-07 09:03:00:955,72752,0.5,72797,0.7,145729,0.4,193647,2.00 46,1,2024-09-07 09:03:00:575,544297,544297,0,0,253456330745,2617399335,541213,2728,356,366,391539,0 46,2,2024-09-07 09:03:00:595,391438,391438,0,0,15110929,0,2920 46,3,2024-09-07 09:03:01:140,1,308,1,0,908,4422,308,0 47,0,2024-09-07 09:03:01:110,78190,0.5,78322,0.6,156725,0.4,207827,1.75 47,1,2024-09-07 09:03:00:567,544096,544096,0,0,253448523527,2619281113,541010,2727,359,366,391641,0 47,2,2024-09-07 09:03:00:917,391620,391620,0,0,15479450,0,4477 47,3,2024-09-07 09:03:01:115,1,308,3,0,529,3442,308,0 48,0,2024-09-07 09:03:01:498,80194,0.3,79672,0.4,158913,0.2,211959,1.50 48,1,2024-09-07 09:03:01:025,542872,542872,0,0,253107984414,2630729967,539336,3235,301,384,391710,0 48,2,2024-09-07 09:03:00:704,386447,386447,0,0,14310427,0,3031 48,3,2024-09-07 09:03:00:756,1,308,1,0,339,2756,308,0 49,0,2024-09-07 09:03:01:742,80384,0.3,78909,0.5,152927,0.3,209129,1.75 49,1,2024-09-07 09:03:01:028,542240,542240,0,0,253063913643,2638252028,537942,3114,1184,382,391809,0 49,2,2024-09-07 09:03:01:797,392207,392207,0,0,15320211,0,4426 49,3,2024-09-07 09:03:01:418,1,308,12,0,408,3393,308,0 50,0,2024-09-07 09:03:01:507,72511,0.3,71823,0.5,144493,0.2,192377,1.75 50,1,2024-09-07 09:03:01:017,544498,544498,0,0,254084608601,2630265951,540697,3427,374,368,391540,0 50,2,2024-09-07 09:03:01:087,390963,390963,0,0,14335010,0,2263 50,3,2024-09-07 09:03:01:291,1,308,25,0,335,2827,308,0 51,0,2024-09-07 09:03:01:692,77434,0.3,75644,0.5,147757,0.2,201454,1.75 51,1,2024-09-07 09:03:01:696,544343,544343,0,0,253893673268,2622509878,541090,2278,975,365,391706,0 51,2,2024-09-07 09:03:01:321,388597,388597,0,0,14081701,0,3337 51,3,2024-09-07 09:03:01:026,1,308,1,0,678,2407,308,0 52,0,2024-09-07 09:03:01:414,80724,0.5,80631,0.7,161222,0.5,214142,2.00 52,1,2024-09-07 09:03:00:587,541670,541670,0,0,251592969960,2641112413,533144,7216,1310,368,391722,0 52,2,2024-09-07 09:03:01:755,385557,385519,38,0,18736353,0,6742 52,3,2024-09-07 09:03:00:674,1,308,1,0,1782,4852,308,0 53,0,2024-09-07 09:03:01:729,79526,0.7,77353,0.8,161581,0.7,212077,2.25 53,1,2024-09-07 09:03:00:777,540260,540260,0,0,251855536373,2649221929,530886,6795,2579,367,391702,0 53,2,2024-09-07 09:03:01:298,390578,390578,0,0,15799567,0,2727 53,3,2024-09-07 09:03:00:704,1,308,186,0,308,3121,308,0 54,0,2024-09-07 09:03:01:615,72695,0.6,73146,0.8,145466,0.4,194969,2.50 54,1,2024-09-07 09:03:00:582,542184,542184,0,0,253110182319,2634841003,536594,4988,602,367,391659,0 54,2,2024-09-07 09:03:00:871,390180,390174,6,0,18264607,0,5382 54,3,2024-09-07 09:03:00:766,1,308,2,0,676,5002,308,0 55,0,2024-09-07 09:03:01:763,72048,0.6,74662,0.8,150200,0.6,196130,2.50 55,1,2024-09-07 09:03:00:778,541672,541672,0,0,252046070892,2627316389,535210,5554,908,365,391731,0 55,2,2024-09-07 09:03:00:733,388644,388644,0,0,17894024,0,3563 55,3,2024-09-07 09:03:00:690,1,308,14,0,304,3387,308,0 56,0,2024-09-07 09:03:01:568,82209,1.2,77629,1.1,159864,1.7,214401,2.75 56,1,2024-09-07 09:03:00:596,539557,539557,0,0,251746586875,2662711746,531053,6879,1625,381,391867,0 56,2,2024-09-07 09:03:01:303,389396,389396,0,0,18675008,0,3567 56,3,2024-09-07 09:03:01:069,1,308,1,0,705,4188,308,0 57,0,2024-09-07 09:03:00:949,79676,1.6,79463,1.3,159409,2.2,213633,3.00 57,1,2024-09-07 09:03:01:007,541297,541297,0,0,251518693561,2639300051,534785,5796,716,366,392032,0 57,2,2024-09-07 09:03:01:322,387458,387458,0,0,19662948,0,3317 57,3,2024-09-07 09:03:01:739,1,308,0,0,359,3715,308,0 58,0,2024-09-07 09:03:00:582,73327,0.9,71394,1.0,149595,1.2,195941,2.50 58,1,2024-09-07 09:03:00:602,542124,542121,0,3,252573259506,2644793553,534331,6739,1051,367,391603,3 58,2,2024-09-07 09:03:01:087,389670,389670,0,0,18449820,0,2549 58,3,2024-09-07 09:03:01:086,1,308,3,0,1043,3338,308,0 59,0,2024-09-07 09:03:01:779,73594,0.7,73211,0.9,146337,0.7,194360,2.75 59,1,2024-09-07 09:03:00:806,541698,541698,0,0,252567549132,2647376727,534200,6033,1465,369,391578,0 59,2,2024-09-07 09:03:00:584,391579,391579,0,0,17513294,0,2604 59,3,2024-09-07 09:03:01:745,1,308,10,0,1015,4153,308,0 60,0,2024-09-07 09:03:01:721,78803,0.5,78847,0.6,158323,0.4,210030,1.75 60,1,2024-09-07 09:03:00:788,543641,543641,0,0,253501500161,2629549546,540741,2373,527,370,392031,0 60,2,2024-09-07 09:03:01:147,390008,390008,0,0,17769873,0,3811 60,3,2024-09-07 09:03:01:263,1,308,1,0,175,3177,308,0 61,0,2024-09-07 09:03:01:502,79614,0.7,80094,0.8,159197,0.7,212414,2.00 61,1,2024-09-07 09:03:00:784,541496,541496,0,0,252844664550,2649384142,535568,5014,914,382,391880,0 61,2,2024-09-07 09:03:01:128,386546,386546,0,0,16015453,0,3598 61,3,2024-09-07 09:03:01:698,1,308,113,0,479,4722,308,0 62,0,2024-09-07 09:03:01:712,77620,0.6,79299,0.7,151114,0.6,205487,2.00 62,1,2024-09-07 09:03:01:135,544895,544889,0,6,253774826427,2619473205,542024,2703,162,365,391715,6 62,2,2024-09-07 09:03:01:646,389888,389887,1,0,17309035,0,5555 62,3,2024-09-07 09:03:01:148,1,308,1,0,465,2484,308,0 63,0,2024-09-07 09:03:01:453,72542,0.4,72671,0.6,145355,0.4,193120,1.75 63,1,2024-09-07 09:03:00:816,543107,543101,0,6,253130508073,2627545946,539988,2877,236,381,391800,6 63,2,2024-09-07 09:03:00:770,390975,390975,0,0,15583866,0,4369 63,3,2024-09-07 09:03:01:732,1,308,8,0,667,3493,308,0 64,0,2024-09-07 09:03:01:513,76042,0.5,75954,0.7,151930,0.5,202453,2.00 64,1,2024-09-07 09:03:00:758,542363,542363,0,0,253253667109,2643639845,536735,3972,1656,370,391783,0 64,2,2024-09-07 09:03:01:161,392864,392845,19,0,15570229,0,6121 64,3,2024-09-07 09:03:01:146,1,308,11,0,265,3006,308,0 65,0,2024-09-07 09:03:01:688,79007,0.7,79244,0.8,158261,0.8,210657,2.25 65,1,2024-09-07 09:03:00:873,541199,541199,0,0,252125503805,2637715995,537192,3558,449,382,391901,0 65,2,2024-09-07 09:03:01:701,388431,388431,0,0,17193486,0,3367 65,3,2024-09-07 09:03:01:697,1,308,1,0,163,2837,308,0 66,0,2024-09-07 09:03:01:781,79265,0.5,79157,0.7,158618,0.5,210198,2.00 66,1,2024-09-07 09:03:01:293,542589,542589,0,0,253763286087,2640147815,539087,3183,319,380,391653,0 66,2,2024-09-07 09:03:01:137,392710,392710,0,0,15687369,0,4956 66,3,2024-09-07 09:03:01:084,1,308,1,0,291,3095,308,0 67,0,2024-09-07 09:03:01:425,73403,0.6,72704,0.7,146115,0.5,194481,2.00 67,1,2024-09-07 09:03:00:766,542738,542737,0,1,252168125162,2629214068,538628,3359,750,380,391787,1 67,2,2024-09-07 09:03:00:583,391313,391313,0,0,14901223,0,2889 67,3,2024-09-07 09:03:01:753,1,308,8,0,338,2735,308,0 68,0,2024-09-07 09:03:00:572,75390,0.6,74911,0.8,149622,0.6,200221,2.00 68,1,2024-09-07 09:03:00:688,541554,541554,0,0,251968237410,2642993606,536789,3564,1201,381,391953,0 68,2,2024-09-07 09:03:01:064,387130,387065,65,0,20180355,0,6698 68,3,2024-09-07 09:03:00:745,1,308,12,0,417,3363,308,0 69,0,2024-09-07 09:03:01:727,80524,1.0,80902,0.9,161274,1.2,213879,2.25 69,1,2024-09-07 09:03:01:026,539647,539647,0,0,251361103042,2658654721,531717,5821,2109,384,391994,0 69,2,2024-09-07 09:03:01:732,388963,388963,0,0,19933566,0,3722 69,3,2024-09-07 09:03:00:775,1,308,11,0,698,4474,308,0 70,0,2024-09-07 09:03:01:549,79953,0.8,79942,1.1,160766,0.7,213259,2.50 70,1,2024-09-07 09:03:00:810,543312,543312,0,0,253338328629,2632619237,538720,4056,536,366,391725,0 70,2,2024-09-07 09:03:01:330,387865,387865,0,0,18096710,0,4044 70,3,2024-09-07 09:03:00:746,1,308,0,0,854,3554,308,0 71,0,2024-09-07 09:03:01:358,73231,0.9,72952,1.0,146515,1.2,195911,2.75 71,1,2024-09-07 09:03:01:597,541450,541450,0,0,253332711552,2646979474,534944,5850,656,368,391738,0 71,2,2024-09-07 09:03:01:067,389824,389824,0,0,17956980,0,4042 71,3,2024-09-07 09:03:01:755,1,308,1,0,644,4427,308,0 72,0,2024-09-07 09:03:01:045,77145,0.7,75370,0.8,147175,0.7,200616,2.25 72,1,2024-09-07 09:03:01:026,541115,541115,0,0,252311103357,2645656022,533389,6041,1685,369,391819,0 72,2,2024-09-07 09:03:01:764,388846,388846,0,0,20520213,0,3983 72,3,2024-09-07 09:03:01:768,1,308,3,0,564,5133,308,0 73,0,2024-09-07 09:03:01:105,77692,0.4,79456,0.6,162841,0.3,211370,2.00 73,1,2024-09-07 09:03:00:769,542391,542391,0,0,252880613151,2631036076,538331,3731,329,367,391858,0 73,2,2024-09-07 09:03:01:740,389875,389875,0,0,19671146,0,3701 73,3,2024-09-07 09:03:00:970,1,308,1,0,274,4321,308,0 74,0,2024-09-07 09:03:01:322,81100,0.5,83077,0.7,158690,0.5,215282,2.25 74,1,2024-09-07 09:03:00:637,541988,541988,0,0,252348371615,2636113929,536649,4370,969,381,391681,0 74,2,2024-09-07 09:03:01:002,386126,386126,0,0,18452241,0,4253 74,3,2024-09-07 09:03:01:447,1,308,1,0,522,4478,308,0 75,0,2024-09-07 09:03:01:764,75860,0.5,75558,0.7,151348,0.4,202376,2.25 75,1,2024-09-07 09:03:01:588,541280,541280,0,0,252370136750,2640284048,535268,5197,815,380,391739,0 75,2,2024-09-07 09:03:01:351,389927,389927,0,0,18637690,0,4766 75,3,2024-09-07 09:03:01:069,1,308,8,0,702,4326,308,0 76,0,2024-09-07 09:03:00:598,72836,0.6,72311,0.8,145187,0.6,194578,2.25 76,1,2024-09-07 09:03:00:809,542156,542156,0,0,251773881452,2627961851,538552,3050,554,382,391692,0 76,2,2024-09-07 09:03:01:096,391976,391975,1,0,17213669,0,5144 76,3,2024-09-07 09:03:01:150,1,308,1,0,175,3095,308,0 77,0,2024-09-07 09:03:01:741,77866,0.6,78012,0.8,155915,0.6,207715,2.00 77,1,2024-09-07 09:03:00:834,542457,542457,0,0,252521535937,2638245139,538241,3952,264,381,391869,0 77,2,2024-09-07 09:03:01:281,390277,390277,0,0,17012003,0,3890 77,3,2024-09-07 09:03:01:102,1,308,1,0,401,3580,308,0 78,0,2024-09-07 09:03:01:722,79746,0.5,79331,0.7,159385,0.4,211272,2.00 78,1,2024-09-07 09:03:00:618,542588,542588,0,0,253060763659,2636005176,537266,4312,1010,367,391670,0 78,2,2024-09-07 09:03:01:405,387253,387253,0,0,14762564,0,3855 78,3,2024-09-07 09:03:01:155,1,308,2,0,181,2980,308,0 79,0,2024-09-07 09:03:01:356,75823,0.4,77791,0.6,158965,0.4,206591,2.25 79,1,2024-09-07 09:03:00:576,544278,544278,0,0,253565462220,2624857352,540554,3279,445,369,391682,0 79,2,2024-09-07 09:03:01:086,391996,391996,0,0,15168337,0,3212 79,3,2024-09-07 09:03:00:758,1,308,1,0,418,4196,308,0 80,0,2024-09-07 09:03:01:095,72446,0.6,74428,0.7,142645,0.5,192162,2.00 80,1,2024-09-07 09:03:01:619,542412,542412,0,0,252701899863,2630504137,539104,3131,177,368,391791,0 80,2,2024-09-07 09:03:01:115,392016,392016,0,0,15208193,0,4433 80,3,2024-09-07 09:03:00:584,1,308,3,0,190,3880,308,0 81,0,2024-09-07 09:03:01:544,75219,0.6,77116,0.7,147298,0.5,200045,2.00 81,1,2024-09-07 09:03:01:651,541572,541572,0,0,251933963910,2635794373,536996,4096,480,382,391879,0 81,2,2024-09-07 09:03:01:130,388391,388328,63,0,17164185,0,5932 81,3,2024-09-07 09:03:01:146,1,308,10,0,374,3764,308,0 82,0,2024-09-07 09:03:01:540,80067,0.5,80617,0.7,161355,0.5,213699,2.00 82,1,2024-09-07 09:03:00:592,543183,543179,0,4,253051628560,2637447363,539328,3055,796,381,391768,4 82,2,2024-09-07 09:03:01:695,390001,390001,0,0,14880536,0,3986 82,3,2024-09-07 09:03:01:755,1,308,0,0,363,3359,308,0 83,0,2024-09-07 09:03:01:527,80361,0.5,80135,0.7,159430,0.5,212343,2.00 83,1,2024-09-07 09:03:00:562,541901,541901,0,0,252171015962,2632033509,537815,3765,321,382,391709,0 83,2,2024-09-07 09:03:00:765,389976,389976,0,0,15195650,0,3393 83,3,2024-09-07 09:03:00:755,1,308,8,0,1260,4737,308,0 84,0,2024-09-07 09:03:01:902,73274,0.7,73222,0.9,146547,0.6,196351,2.25 84,1,2024-09-07 09:03:01:040,541330,541330,0,0,251874060946,2633543421,536168,4576,586,367,391967,0 84,2,2024-09-07 09:03:00:587,389722,389722,0,0,18735703,0,4757 84,3,2024-09-07 09:03:01:167,1,308,10,0,908,4863,308,0 85,0,2024-09-07 09:03:01:128,71920,0.8,71969,0.9,152533,0.9,197291,2.50 85,1,2024-09-07 09:03:00:563,540415,540415,0,0,251622650252,2660291332,532032,7015,1368,381,392006,0 85,2,2024-09-07 09:03:00:953,389960,389960,0,0,18893329,0,3656 85,3,2024-09-07 09:03:00:686,1,308,1,0,789,4270,308,0 86,0,2024-09-07 09:03:00:923,80580,0.7,82928,0.8,158676,0.8,214787,2.25 86,1,2024-09-07 09:03:00:834,541791,541791,0,0,252451824906,2646559154,534951,5775,1065,366,391961,0 86,2,2024-09-07 09:03:00:883,388662,388661,1,0,19809765,0,5004 86,3,2024-09-07 09:03:00:604,1,308,308,0,308,4425,308,0 87,0,2024-09-07 09:03:01:408,80334,1.0,80382,0.9,161261,1.3,215377,2.50 87,1,2024-09-07 09:03:00:598,540770,540770,0,0,251743462094,2636977879,534784,5377,609,366,392076,0 87,2,2024-09-07 09:03:01:094,386849,386849,0,0,17399139,0,4045 87,3,2024-09-07 09:03:01:794,1,308,16,0,473,4555,308,0 88,0,2024-09-07 09:03:01:476,74669,0.5,75166,0.6,149832,0.4,198977,1.75 88,1,2024-09-07 09:03:00:594,540005,540005,0,0,252196777586,2647734323,532493,5859,1653,365,392084,0 88,2,2024-09-07 09:03:00:688,390470,390470,0,0,19371981,0,3583 88,3,2024-09-07 09:03:01:270,1,308,4,0,435,3549,308,0 89,0,2024-09-07 09:03:01:851,76068,0.5,73586,0.7,145946,0.4,197838,1.75 89,1,2024-09-07 09:03:00:567,540330,540330,0,0,251876212096,2653273939,532986,6076,1268,382,391866,0 89,2,2024-09-07 09:03:01:147,389735,389735,0,0,18932051,0,2910 89,3,2024-09-07 09:03:01:813,1,308,6,0,385,5862,308,0 90,0,2024-09-07 09:03:01:679,76458,0.4,78532,0.6,160264,0.4,209262,1.75 90,1,2024-09-07 09:03:00:596,541580,541580,0,0,252706756578,2650754674,535960,5227,393,380,391825,0 90,2,2024-09-07 09:03:01:407,388782,388782,0,0,20238177,0,3060 90,3,2024-09-07 09:03:00:963,1,308,1,0,246,3545,308,0 91,0,2024-09-07 09:03:00:944,80048,0.5,77830,0.6,162096,0.5,213043,1.75 91,1,2024-09-07 09:03:00:574,540150,540150,0,0,252468243511,2659502899,532527,6582,1041,381,392047,0 91,2,2024-09-07 09:03:01:341,386149,386149,0,0,17965742,0,2896 91,3,2024-09-07 09:03:00:602,1,308,123,0,216,2980,308,0 92,0,2024-09-07 09:03:01:510,77777,0.5,79787,0.6,152377,0.5,205924,1.75 92,1,2024-09-07 09:03:00:657,542112,542112,0,0,252147243302,2632697435,538586,3045,481,382,392136,0 92,2,2024-09-07 09:03:01:365,392589,392589,0,0,15488060,0,2801 92,3,2024-09-07 09:03:01:016,1,308,5,0,167,2715,308,0 93,0,2024-09-07 09:03:00:987,72988,0.4,74880,0.6,142952,0.3,193608,1.75 93,1,2024-09-07 09:03:00:816,542035,542035,0,0,252121686029,2632384367,536807,4388,840,366,391776,0 93,2,2024-09-07 09:03:00:944,390549,390549,0,0,17961043,0,4311 93,3,2024-09-07 09:03:01:408,1,308,3,0,190,2985,308,0 94,0,2024-09-07 09:03:01:656,76120,0.4,76818,0.5,153336,0.3,203795,1.75 94,1,2024-09-07 09:03:00:567,541877,541877,0,0,252457487433,2637430184,538003,3688,186,381,391850,0 94,2,2024-09-07 09:03:00:766,389244,389244,0,0,15670737,0,2443 94,3,2024-09-07 09:03:01:697,1,308,3,0,264,3971,308,0 95,0,2024-09-07 09:03:01:369,79555,0.4,79473,0.6,159823,0.3,212467,1.75 95,1,2024-09-07 09:03:00:857,543078,543078,0,0,253729759953,2639202085,538775,3924,379,367,391713,0 95,2,2024-09-07 09:03:01:019,387800,387800,0,0,15898861,0,3308 95,3,2024-09-07 09:03:01:715,1,308,7,0,718,5031,308,0 96,0,2024-09-07 09:03:01:143,79924,0.4,80050,0.5,159528,0.3,211129,1.75 96,1,2024-09-07 09:03:01:613,541650,541650,0,0,252039315928,2633036671,537401,3465,784,384,391955,0 96,2,2024-09-07 09:03:01:279,390812,390812,0,0,16148854,0,4042 96,3,2024-09-07 09:03:01:153,1,308,4,0,411,3356,308,0 97,0,2024-09-07 09:03:01:383,73170,0.3,72858,0.5,146317,0.3,194319,1.75 97,1,2024-09-07 09:03:00:768,543937,543937,0,0,252928701227,2625148584,540134,3137,666,367,392140,0 97,2,2024-09-07 09:03:00:609,391137,391137,0,0,15791227,0,3036 97,3,2024-09-07 09:03:00:595,1,308,22,0,214,3759,308,0 98,0,2024-09-07 09:03:01:755,75131,0.3,75127,0.5,150771,0.2,200495,1.50 98,1,2024-09-07 09:03:00:575,543112,543112,0,0,252528826550,2628438825,540709,2300,103,382,391997,0 98,2,2024-09-07 09:03:00:790,389270,389270,0,0,15730575,0,3080 98,3,2024-09-07 09:03:00:713,1,308,4,0,840,5150,308,0 99,0,2024-09-07 09:03:01:496,81004,0.4,81497,0.5,162317,0.3,216159,1.75 99,1,2024-09-07 09:03:01:733,542758,542758,0,0,253201713420,2639252251,538671,3337,750,381,392069,0 99,2,2024-09-07 09:03:01:469,391083,391083,0,0,17428490,0,3424 99,3,2024-09-07 09:03:00:594,1,308,13,0,187,2635,308,0 100,0,2024-09-07 09:03:01:498,80341,0.8,80309,1.0,160817,0.9,214955,2.50 100,1,2024-09-07 09:03:00:560,539112,539112,0,0,251766413713,2665221097,530674,6946,1492,381,391989,0 100,2,2024-09-07 09:03:01:830,387330,387319,11,0,18455183,0,5417 100,3,2024-09-07 09:03:01:743,1,308,42,0,559,5492,308,0 101,0,2024-09-07 09:03:01:753,75352,1.2,73320,1.1,143990,1.0,197485,2.25 101,1,2024-09-07 09:03:00:553,539667,539667,0,0,251479861223,2653304968,530682,6966,2019,368,391771,0 101,2,2024-09-07 09:03:01:756,388157,388157,0,0,21019384,0,4644 101,3,2024-09-07 09:03:00:944,1,308,4,0,448,3708,308,0 102,0,2024-09-07 09:03:00:948,72796,0.7,75403,0.8,151828,0.8,199463,2.25 102,1,2024-09-07 09:03:01:280,540121,540121,0,0,251849203170,2649600844,532892,6199,1030,369,391891,0 102,2,2024-09-07 09:03:01:741,391018,390964,54,0,18046904,0,6768 102,3,2024-09-07 09:03:01:614,1,308,2,0,410,3394,308,0 103,0,2024-09-07 09:03:01:605,82038,0.6,82024,0.7,154536,0.6,213129,2.00 103,1,2024-09-07 09:03:01:644,539552,539552,0,0,251252967463,2655290754,530839,6718,1995,381,391829,0 103,2,2024-09-07 09:03:00:585,388801,388801,0,0,18663140,0,3173 103,3,2024-09-07 09:03:00:764,1,308,30,0,916,4030,308,0 104,0,2024-09-07 09:03:01:052,79631,0.8,80151,1.0,158918,0.8,214413,2.50 104,1,2024-09-07 09:03:01:607,540964,540964,0,0,251282823600,2648740752,532093,7176,1695,365,392168,0 104,2,2024-09-07 09:03:01:666,384882,384882,0,0,19391262,0,3941 104,3,2024-09-07 09:03:01:423,1,308,17,0,1245,6997,308,0 105,0,2024-09-07 09:03:01:062,74979,0.9,72972,1.1,152596,1.2,200834,3.00 105,1,2024-09-07 09:03:00:560,541467,541467,0,0,252631814869,2654949096,533600,6566,1301,366,391797,0 105,2,2024-09-07 09:03:01:323,389971,389971,0,0,18719473,0,3509 105,3,2024-09-07 09:03:01:314,1,308,1,0,399,4928,308,0 106,0,2024-09-07 09:03:00:951,70678,0.8,72336,1.0,148109,0.9,193976,2.50 106,1,2024-09-07 09:03:01:756,540545,540545,0,0,251486685003,2646177146,531863,7678,1004,369,391865,0 106,2,2024-09-07 09:03:00:764,388509,388509,0,0,18595030,0,2795 106,3,2024-09-07 09:03:00:688,1,308,7,0,470,4145,308,0 107,0,2024-09-07 09:03:01:233,77645,1.0,77499,1.0,155128,1.3,207216,2.25 107,1,2024-09-07 09:03:00:608,539594,539594,0,0,251013159340,2649895563,531734,6946,914,381,392234,0 107,2,2024-09-07 09:03:01:293,388360,388359,1,0,19179380,0,5024 107,3,2024-09-07 09:03:01:757,1,308,5,0,353,4264,308,0 108,0,2024-09-07 09:03:01:867,79388,0.4,79732,0.6,158585,0.4,211844,1.75 108,1,2024-09-07 09:03:01:301,541776,541776,0,0,253267561079,2644393351,536955,4306,515,368,391857,0 108,2,2024-09-07 09:03:01:776,385328,385328,0,0,17646805,0,4246 108,3,2024-09-07 09:03:01:356,1,308,33,0,749,6381,308,0 109,0,2024-09-07 09:03:01:781,78860,0.4,78009,0.6,156219,0.3,208859,1.75 109,1,2024-09-07 09:03:00:678,540127,540127,0,0,252674589896,2651199895,535521,3956,650,383,392132,0 109,2,2024-09-07 09:03:00:939,389230,389230,0,0,17461955,0,3617 109,3,2024-09-07 09:03:01:149,1,308,1,0,249,3352,308,0 110,0,2024-09-07 09:03:01:749,72518,0.4,70506,0.6,147578,0.3,192878,1.75 110,1,2024-09-07 09:03:01:650,543349,543349,0,0,253237677152,2629204155,539845,2593,911,369,392045,0 110,2,2024-09-07 09:03:01:319,390626,390626,0,0,17450633,0,4067 110,3,2024-09-07 09:03:00:689,1,308,1,0,406,4165,308,0 111,0,2024-09-07 09:03:01:422,75902,0.4,75146,0.5,150470,0.3,201795,1.75 111,1,2024-09-07 09:03:01:007,543156,543156,0,0,253819175276,2634167344,540478,2335,343,382,391690,0 111,2,2024-09-07 09:03:01:116,388274,388274,0,0,16164981,0,2763 111,3,2024-09-07 09:03:00:918,1,308,7,0,379,3967,308,0 112,0,2024-09-07 09:03:00:969,81214,0.3,80558,0.4,161678,0.2,214507,1.50 112,1,2024-09-07 09:03:00:828,543375,543375,0,0,252905445672,2626581511,539939,2852,584,380,391624,0 112,2,2024-09-07 09:03:01:141,388969,388968,1,0,15695403,0,5036 112,3,2024-09-07 09:03:00:601,1,308,0,0,282,3209,308,0 113,0,2024-09-07 09:03:00:882,79940,0.3,79985,0.5,160513,0.2,213832,1.50 113,1,2024-09-07 09:03:01:692,544888,544888,0,0,254244041603,2626173645,541615,2689,584,366,391661,0 113,2,2024-09-07 09:03:01:303,391794,391794,0,0,14025570,0,3813 113,3,2024-09-07 09:03:00:684,1,308,1,0,340,3899,308,0 114,0,2024-09-07 09:03:00:901,74233,0.3,74714,0.5,148427,0.2,198426,1.75 114,1,2024-09-07 09:03:00:724,542730,542730,0,0,253548966844,2639138464,537612,3526,1592,381,391556,0 114,2,2024-09-07 09:03:00:874,390654,390653,1,0,15701487,0,5069 114,3,2024-09-07 09:03:01:278,1,308,1,0,395,2914,308,0 115,0,2024-09-07 09:03:00:557,74669,0.3,75041,0.4,150109,0.2,199624,1.50 115,1,2024-09-07 09:03:00:587,542780,542780,0,0,252673994757,2627597677,537957,3983,840,382,391656,0 115,2,2024-09-07 09:03:01:130,391203,391203,0,0,14648880,0,3453 115,3,2024-09-07 09:03:01:009,1,308,9,0,159,1883,308,0 116,0,2024-09-07 09:03:01:726,80283,0.9,80213,0.9,160833,1.0,215877,2.25 116,1,2024-09-07 09:03:00:824,539701,539701,0,0,251544481607,2660095535,532133,5304,2264,380,391782,0 116,2,2024-09-07 09:03:01:800,388818,388818,0,0,20148417,0,3529 116,3,2024-09-07 09:03:00:932,1,308,11,0,415,4020,308,0 117,0,2024-09-07 09:03:01:017,80861,0.8,80472,0.9,161062,1.0,215731,2.00 117,1,2024-09-07 09:03:01:615,540653,540653,0,0,251587692595,2638388346,534527,5458,668,370,392033,0 117,2,2024-09-07 09:03:01:188,389866,389866,0,0,16302082,0,3700 117,3,2024-09-07 09:03:01:108,1,308,33,0,490,4746,308,0 118,0,2024-09-07 09:03:01:793,72521,0.5,74567,0.7,151814,0.5,198201,2.00 118,1,2024-09-07 09:03:00:598,540350,540350,0,0,251191187322,2646155070,531960,6537,1853,366,391736,0 118,2,2024-09-07 09:03:01:590,389355,389355,0,0,17995808,0,2781 118,3,2024-09-07 09:03:01:766,1,308,3,0,235,3441,308,0 119,0,2024-09-07 09:03:01:389,73508,0.7,73860,0.8,147858,0.8,196808,2.25 119,1,2024-09-07 09:03:00:554,541699,541699,0,0,252810323403,2647202273,535333,5530,836,367,391780,0 119,2,2024-09-07 09:03:01:264,391301,391301,0,0,17170291,0,4174 119,3,2024-09-07 09:03:01:325,1,308,11,0,563,4711,308,0 120,0,2024-09-07 09:03:01:629,78361,0.6,78298,0.8,156593,0.5,209930,2.25 120,1,2024-09-07 09:03:00:886,541186,541186,0,0,252079473269,2650453421,534847,5786,553,368,391961,0 120,2,2024-09-07 09:03:00:784,389219,389218,1,0,20139260,0,5281 120,3,2024-09-07 09:03:01:292,1,308,1,0,241,3913,308,0 121,0,2024-09-07 09:03:01:704,79563,1.2,79616,1.0,159878,1.5,212428,2.25 121,1,2024-09-07 09:03:01:660,541580,541580,0,0,252424664967,2644076784,536048,5101,431,367,391840,0 121,2,2024-09-07 09:03:01:164,384851,384851,0,0,19187444,0,4127 121,3,2024-09-07 09:03:00:738,1,308,4,0,269,3758,308,0 122,0,2024-09-07 09:03:01:772,76996,0.8,74965,0.9,157146,0.9,205908,2.00 122,1,2024-09-07 09:03:00:871,540220,540220,0,0,252051966664,2650110599,532084,6875,1261,366,392130,0 122,2,2024-09-07 09:03:01:333,390390,390317,73,0,21697422,0,5989 122,3,2024-09-07 09:03:00:619,1,308,10,0,411,5862,308,0 123,0,2024-09-07 09:03:00:998,72286,0.8,70667,0.8,147362,0.9,192926,2.25 123,1,2024-09-07 09:03:00:564,540505,540505,0,0,252030338632,2661535131,529949,8576,1980,369,392039,0 123,2,2024-09-07 09:03:01:027,388657,388656,1,0,18662523,0,5215 123,3,2024-09-07 09:03:01:146,1,308,8,0,168,3674,308,0 124,0,2024-09-07 09:03:00:948,78748,0.4,78775,0.5,148508,0.3,204666,1.75 124,1,2024-09-07 09:03:01:039,542962,542962,0,0,252607875297,2632542366,538322,3732,908,367,392178,0 124,2,2024-09-07 09:03:01:019,390245,390245,0,0,15629242,0,3101 124,3,2024-09-07 09:03:00:777,1,308,4,0,490,3331,308,0 125,0,2024-09-07 09:03:01:452,80006,0.4,79588,0.6,160113,0.4,212797,1.75 125,1,2024-09-07 09:03:00:906,541522,541522,0,0,252792941908,2639611081,537520,3551,451,383,391702,0 125,2,2024-09-07 09:03:01:119,387807,387807,0,0,16764552,0,4534 125,3,2024-09-07 09:03:01:139,1,308,2,0,709,4460,308,0 126,0,2024-09-07 09:03:01:464,79688,0.4,81911,0.6,156583,0.4,211936,1.75 126,1,2024-09-07 09:03:00:565,543468,543468,0,0,253263475130,2624875260,540410,2786,272,365,391987,0 126,2,2024-09-07 09:03:00:617,392141,392141,0,0,16473582,0,3186 126,3,2024-09-07 09:03:00:934,1,308,1,0,207,3996,308,0 127,0,2024-09-07 09:03:01:623,72893,0.3,73280,0.5,146128,0.3,194232,1.75 127,1,2024-09-07 09:03:00:579,543001,543001,0,0,253592289097,2631872521,538761,3726,514,365,391816,0 127,2,2024-09-07 09:03:00:654,390045,390045,0,0,15089351,0,2264 127,3,2024-09-07 09:03:01:268,1,308,6,0,968,3830,308,0 128,0,2024-09-07 09:03:01:544,75357,0.3,75498,0.5,150838,0.2,200850,1.50 128,1,2024-09-07 09:03:01:613,542075,542075,0,0,252954309562,2630306783,538047,3660,368,367,391798,0 128,2,2024-09-07 09:03:01:411,390188,390188,0,0,15119842,0,2915 128,3,2024-09-07 09:03:00:778,1,308,1,0,1082,5804,308,0 129,0,2024-09-07 09:03:00:994,81539,0.3,81202,0.5,162782,0.3,216264,1.75 129,1,2024-09-07 09:03:00:572,539450,539450,0,0,252399300141,2646878289,534134,4103,1213,379,391835,0 129,2,2024-09-07 09:03:00:704,390421,390421,0,0,15952404,0,4031 129,3,2024-09-07 09:03:00:707,1,308,17,0,469,4367,308,0 130,0,2024-09-07 09:03:01:737,81219,0.5,80871,0.6,162406,0.6,216230,1.75 130,1,2024-09-07 09:03:00:583,542812,542812,0,0,253219438876,2635211477,539616,2957,239,381,391825,0 130,2,2024-09-07 09:03:01:149,390000,390000,0,0,15566482,0,4067 130,3,2024-09-07 09:03:01:308,1,308,2,0,450,3952,308,0 131,0,2024-09-07 09:03:02:016,73655,0.4,74096,0.5,148971,0.3,197340,1.75 131,1,2024-09-07 09:03:01:824,542321,542321,0,0,252417886862,2637707172,537891,3685,745,381,391865,0 131,2,2024-09-07 09:03:00:568,392342,392342,0,0,14489004,0,2415 131,3,2024-09-07 09:03:01:700,1,308,8,0,392,3358,308,0 132,0,2024-09-07 09:03:01:481,74569,0.5,75385,0.6,150384,0.4,200235,2.00 132,1,2024-09-07 09:03:00:585,539987,539987,0,0,252162322729,2660494725,531634,6890,1463,381,392097,0 132,2,2024-09-07 09:03:00:704,389945,389945,0,0,19393463,0,4606 132,3,2024-09-07 09:03:01:700,1,308,2,0,804,5910,308,0 133,0,2024-09-07 09:03:01:553,77704,0.4,79376,0.6,162918,0.4,211747,1.75 133,1,2024-09-07 09:03:00:585,540070,540070,0,0,251610028536,2657705638,532044,6944,1082,383,391914,0 133,2,2024-09-07 09:03:01:104,388753,388753,0,0,20616450,0,4315 133,3,2024-09-07 09:03:01:298,1,308,14,0,479,3402,308,0 134,0,2024-09-07 09:03:00:960,80773,0.5,80748,0.7,161452,0.5,215623,2.00 134,1,2024-09-07 09:03:00:588,540336,540336,0,0,251319777763,2644446638,532301,6181,1854,366,391718,0 134,2,2024-09-07 09:03:01:783,385952,385952,0,0,16993100,0,3847 134,3,2024-09-07 09:03:00:757,1,308,0,0,739,4209,308,0 135,0,2024-09-07 09:03:01:108,73270,0.7,73361,0.8,155429,0.8,200547,2.00 135,1,2024-09-07 09:03:01:587,540396,540396,0,0,252465890610,2661307257,532489,6713,1194,380,391805,0 135,2,2024-09-07 09:03:00:686,391024,391024,0,0,18552697,0,3981 135,3,2024-09-07 09:03:01:006,1,308,7,0,299,2362,308,0 136,0,2024-09-07 09:03:01:617,73579,0.6,73629,0.7,146691,0.5,196021,2.25 136,1,2024-09-07 09:03:01:441,540681,540681,0,0,251716473924,2647614791,534006,5989,686,382,391685,0 136,2,2024-09-07 09:03:01:141,391063,391063,0,0,18446439,0,3506 136,3,2024-09-07 09:03:01:118,1,308,2,0,637,3607,308,0 137,0,2024-09-07 09:03:00:924,80163,0.7,78048,0.8,153273,0.7,208755,2.00 137,1,2024-09-07 09:03:00:584,540203,540203,0,0,252063549501,2651022058,530992,7351,1860,366,391708,0 137,2,2024-09-07 09:03:01:719,389108,389108,0,0,20514505,0,3185 137,3,2024-09-07 09:03:00:790,1,308,81,0,382,3878,308,0 138,0,2024-09-07 09:03:01:743,78848,1.4,79061,1.1,158542,2.0,210796,2.50 138,1,2024-09-07 09:03:01:696,540691,540691,0,0,253318292894,2664482400,531921,7192,1578,368,391954,0 138,2,2024-09-07 09:03:00:598,386168,386168,0,0,18516659,0,4988 138,3,2024-09-07 09:03:00:617,1,308,1,0,1160,4374,308,0 139,0,2024-09-07 09:03:01:386,77263,1.4,77648,1.1,155442,2.0,207061,2.25 139,1,2024-09-07 09:03:00:589,538429,538429,0,0,250734655694,2667910924,528016,7892,2521,381,391892,0 139,2,2024-09-07 09:03:00:714,388583,388583,0,0,19836976,0,3097 139,3,2024-09-07 09:03:01:682,1,308,7,0,257,3451,308,0 140,0,2024-09-07 09:03:01:600,72824,0.3,72313,0.5,145348,0.2,193187,1.75 140,1,2024-09-07 09:03:01:537,543948,543948,0,0,253583049131,2617871898,540912,2598,438,365,391606,0 140,2,2024-09-07 09:03:00:701,391271,391271,0,0,15395047,0,3388 140,3,2024-09-07 09:03:00:771,1,308,8,0,247,2586,308,0 141,0,2024-09-07 09:03:01:708,75500,0.3,77618,0.5,148401,0.3,201732,1.75 141,1,2024-09-07 09:03:00:865,543810,543810,0,0,253243366228,2630724942,540238,3113,459,379,391614,0 141,2,2024-09-07 09:03:01:708,388957,388957,0,0,15646293,0,3360 141,3,2024-09-07 09:03:01:045,1,308,0,0,391,3183,308,0 142,0,2024-09-07 09:03:01:351,81293,0.4,80554,0.5,160775,0.3,214751,1.75 142,1,2024-09-07 09:03:00:587,542691,542691,0,0,252687242580,2637459120,538957,3385,349,382,392102,0 142,2,2024-09-07 09:03:01:299,388606,388574,32,0,17193853,0,6028 142,3,2024-09-07 09:03:01:747,1,308,4,0,484,3972,308,0 143,0,2024-09-07 09:03:01:381,80225,0.5,80154,0.6,160689,0.4,213873,1.75 143,1,2024-09-07 09:03:00:564,543099,543099,0,0,252490066513,2621811090,539536,3417,146,367,391705,0 143,2,2024-09-07 09:03:00:769,390056,390056,0,0,15974610,0,2750 143,3,2024-09-07 09:03:01:165,1,308,5,0,303,3800,308,0 144,0,2024-09-07 09:03:01:520,71479,0.6,73541,0.8,149639,0.5,196581,2.00 144,1,2024-09-07 09:03:00:593,540330,540330,0,0,252023406451,2645803328,535489,3902,939,381,391649,0 144,2,2024-09-07 09:03:01:756,390876,390876,0,0,15081784,0,3473 144,3,2024-09-07 09:03:01:741,1,308,1,0,249,3328,308,0 145,0,2024-09-07 09:03:01:372,72043,0.7,72109,0.9,152954,0.6,197080,2.50 145,1,2024-09-07 09:03:00:564,539634,539634,0,0,252027514666,2654843540,532456,6038,1140,382,391759,0 145,2,2024-09-07 09:03:01:437,388549,388549,0,0,18749152,0,3903 145,3,2024-09-07 09:03:00:902,1,308,98,0,622,4934,308,0 146,0,2024-09-07 09:03:01:691,80779,0.6,80114,0.8,161450,0.6,214612,2.25 146,1,2024-09-07 09:03:01:587,541272,541272,0,0,252098644006,2655275640,532051,7317,1904,368,391770,0 146,2,2024-09-07 09:03:01:703,389241,389241,0,0,18536722,0,2730 146,3,2024-09-07 09:03:01:275,1,308,6,0,1520,6878,308,0 147,0,2024-09-07 09:03:01:714,80857,0.6,80613,0.8,160477,0.6,215325,2.25 147,1,2024-09-07 09:03:01:401,542862,542862,0,0,253326309531,2637924935,537727,4342,793,368,391791,0 147,2,2024-09-07 09:03:01:012,388560,388560,0,0,15382988,0,2789 147,3,2024-09-07 09:03:00:914,1,308,1,0,730,4677,308,0 0,0,2024-09-07 09:03:11:717,76692,0.6,76766,0.7,162742,0.6,210559,2.00 0,1,2024-09-07 09:03:10:820,543461,543461,0,0,253538919455,2654223286,539368,3851,242,370,391896,0 0,2,2024-09-07 09:03:11:073,391615,391615,0,0,16188734,0,4480 0,3,2024-09-07 09:03:10:977,1,309,15,0,319,3911,309,0 1,0,2024-09-07 09:03:11:868,80178,0.9,79641,1.0,160000,1.1,213973,2.00 1,1,2024-09-07 09:03:10:565,542762,542762,0,0,252578340461,2648109346,537478,4076,1208,370,391857,0 1,2,2024-09-07 09:03:10:654,386344,386344,0,0,15499542,0,3267 1,3,2024-09-07 09:03:11:302,1,309,7,0,262,3574,309,0 2,0,2024-09-07 09:03:11:620,77229,0.7,77623,0.8,153834,0.8,205934,2.00 2,1,2024-09-07 09:03:10:878,544367,544367,0,0,253389062056,2634621180,541473,2601,293,380,391745,0 2,2,2024-09-07 09:03:11:273,392667,392667,0,0,15536654,0,3594 2,3,2024-09-07 09:03:10:690,1,309,4,0,357,3129,309,0 3,0,2024-09-07 09:03:11:759,72648,0.4,72731,0.6,145338,0.4,193423,2.00 3,1,2024-09-07 09:03:11:624,543342,543342,0,0,253377300882,2642593348,538258,4461,623,380,391591,0 3,2,2024-09-07 09:03:11:144,392383,392360,23,0,16095794,0,5851 3,3,2024-09-07 09:03:11:759,1,309,12,0,103,1897,309,0 4,0,2024-09-07 09:03:11:920,74659,0.4,76911,0.5,156120,0.4,205112,1.75 4,1,2024-09-07 09:03:10:606,541976,541976,0,0,252442896169,2662461124,534685,5939,1352,371,391992,0 4,2,2024-09-07 09:03:11:065,388739,388739,0,0,18791918,0,4528 4,3,2024-09-07 09:03:11:050,1,309,145,0,448,4543,309,0 5,0,2024-09-07 09:03:11:403,79873,0.5,80156,0.6,159784,0.5,212501,1.75 5,1,2024-09-07 09:03:10:792,542467,542467,0,0,252604149223,2661143148,535341,5771,1355,368,392005,0 5,2,2024-09-07 09:03:11:853,386930,386930,0,0,17802029,0,2432 5,3,2024-09-07 09:03:11:738,1,309,1,0,457,4711,309,0 6,0,2024-09-07 09:03:10:923,80082,0.5,79532,0.7,158944,0.4,212014,2.00 6,1,2024-09-07 09:03:10:748,542512,542512,0,0,252693934592,2644861774,536225,5211,1076,379,391694,0 6,2,2024-09-07 09:03:11:116,391742,391742,0,0,16707662,0,4816 6,3,2024-09-07 09:03:11:279,1,309,1,0,340,3631,309,0 7,0,2024-09-07 09:03:11:588,72816,0.5,73041,0.6,145661,0.4,193440,2.00 7,1,2024-09-07 09:03:10:866,541679,541679,0,0,252857591367,2660319885,533744,6761,1174,382,391747,0 7,2,2024-09-07 09:03:10:771,391410,391410,0,0,17097113,0,4791 7,3,2024-09-07 09:03:10:851,1,309,9,0,398,3732,309,0 8,0,2024-09-07 09:03:11:476,75590,0.4,75370,0.5,150930,0.3,201560,1.75 8,1,2024-09-07 09:03:11:053,541229,541229,0,0,252536216099,2665746169,531221,7732,2276,366,392144,0 8,2,2024-09-07 09:03:10:794,386235,386235,0,0,20762229,0,3220 8,3,2024-09-07 09:03:10:594,1,309,11,0,538,5540,309,0 9,0,2024-09-07 09:03:11:175,81337,0.4,79065,0.6,165308,0.4,216244,1.75 9,1,2024-09-07 09:03:10:576,541961,541961,0,0,253458041375,2674511905,533677,6459,1825,369,392001,0 9,2,2024-09-07 09:03:11:105,389848,389848,0,0,18667286,0,3360 9,3,2024-09-07 09:03:11:768,1,309,70,0,496,4606,309,0 10,0,2024-09-07 09:03:11:603,81125,0.3,80518,0.5,161784,0.3,215614,1.75 10,1,2024-09-07 09:03:10:592,542454,542454,0,0,253140552003,2656710895,534668,6678,1108,381,391741,0 10,2,2024-09-07 09:03:10:763,390326,390326,0,0,19666514,0,4264 10,3,2024-09-07 09:03:10:872,1,309,2,0,296,2913,309,0 11,0,2024-09-07 09:03:11:027,73655,0.4,71469,0.7,149496,0.4,198141,1.75 11,1,2024-09-07 09:03:10:595,542808,542808,0,0,253080298051,2663637449,532982,7397,2429,383,391664,0 11,2,2024-09-07 09:03:11:128,390968,390968,0,0,18129742,0,4130 11,3,2024-09-07 09:03:11:298,1,309,2,0,843,5084,309,0 12,0,2024-09-07 09:03:10:955,75632,0.4,75556,0.5,151124,0.3,201024,1.75 12,1,2024-09-07 09:03:10:945,543287,543287,0,0,252682648120,2638243508,538601,4200,486,370,391870,0 12,2,2024-09-07 09:03:11:545,391580,391580,0,0,17625763,0,3469 12,3,2024-09-07 09:03:11:073,1,309,4,0,386,5145,309,0 13,0,2024-09-07 09:03:11:348,80527,0.4,80160,0.5,160379,0.4,213949,1.75 13,1,2024-09-07 09:03:11:536,542402,542402,0,0,252626072205,2656655009,537527,3572,1303,382,391740,0 13,2,2024-09-07 09:03:10:630,392252,392252,0,0,16389626,0,3287 13,3,2024-09-07 09:03:11:763,1,309,14,0,522,5243,309,0 14,0,2024-09-07 09:03:10:567,81323,0.4,81865,0.6,161908,0.4,216263,1.75 14,1,2024-09-07 09:03:11:562,546329,546329,0,0,254627013040,2638767083,542351,3698,280,364,391571,0 14,2,2024-09-07 09:03:10:771,387942,387942,0,0,15939145,0,2896 14,3,2024-09-07 09:03:11:115,1,309,2,0,1168,4036,309,0 15,0,2024-09-07 09:03:11:571,75836,0.4,76015,0.7,151734,0.4,201919,2.00 15,1,2024-09-07 09:03:11:611,544367,544367,0,0,253403697390,2634899108,541441,2747,179,381,391619,0 15,2,2024-09-07 09:03:10:997,393457,393457,0,0,14125943,0,3043 15,3,2024-09-07 09:03:11:405,1,309,30,0,1126,5789,309,0 16,0,2024-09-07 09:03:11:060,73635,0.6,73896,0.8,147183,0.6,196306,2.25 16,1,2024-09-07 09:03:10:592,543993,543993,0,0,253449854239,2649607094,539718,3915,360,370,391756,0 16,2,2024-09-07 09:03:11:441,392007,392007,0,0,17055950,0,4719 16,3,2024-09-07 09:03:11:155,1,309,1,0,317,4548,309,0 17,0,2024-09-07 09:03:11:847,80459,0.8,78674,0.9,153739,0.8,209238,2.00 17,1,2024-09-07 09:03:10:586,542999,542999,0,0,252853349249,2657828780,536890,4853,1256,368,391899,0 17,2,2024-09-07 09:03:11:676,393231,393231,0,0,16880149,0,2857 17,3,2024-09-07 09:03:10:593,1,309,13,0,268,4822,309,0 18,0,2024-09-07 09:03:10:952,78629,0.7,79055,0.8,157621,0.7,210279,2.25 18,1,2024-09-07 09:03:11:638,544439,544439,0,0,254003086356,2634986523,541200,2928,311,367,391649,0 18,2,2024-09-07 09:03:11:767,388565,388565,0,0,15496353,0,3541 18,3,2024-09-07 09:03:10:909,1,309,0,0,163,2783,309,0 19,0,2024-09-07 09:03:11:546,77876,0.6,78473,0.8,155611,0.6,207028,2.25 19,1,2024-09-07 09:03:10:576,544344,544344,0,0,253927652294,2638812577,539233,4273,838,367,391777,0 19,2,2024-09-07 09:03:11:775,393853,393853,0,0,14190635,0,3988 19,3,2024-09-07 09:03:11:129,1,309,7,0,524,2375,309,0 20,0,2024-09-07 09:03:11:352,72395,0.6,72407,0.7,144918,0.6,193028,2.25 20,1,2024-09-07 09:03:10:583,542485,542485,0,0,252865379619,2645458525,538169,3912,404,369,391886,0 20,2,2024-09-07 09:03:10:931,391996,391996,0,0,16986945,0,3721 20,3,2024-09-07 09:03:10:607,1,309,42,0,414,5093,309,0 21,0,2024-09-07 09:03:11:172,75948,0.6,76023,0.7,151886,0.5,201882,2.00 21,1,2024-09-07 09:03:11:628,541468,541468,0,0,252336682669,2663451481,533625,5967,1876,368,392016,0 21,2,2024-09-07 09:03:11:072,388392,388392,0,0,19705421,0,3747 21,3,2024-09-07 09:03:11:405,1,309,7,0,103,3187,309,0 22,0,2024-09-07 09:03:11:719,80282,0.6,80575,0.8,161100,0.6,213082,2.25 22,1,2024-09-07 09:03:11:031,542717,542717,0,0,252136994049,2655858859,534285,6613,1819,382,391667,0 22,2,2024-09-07 09:03:10:771,388589,388589,0,0,16558740,0,3134 22,3,2024-09-07 09:03:11:066,1,309,1,0,228,2755,309,0 23,0,2024-09-07 09:03:11:384,79834,0.6,79708,0.7,159766,0.5,212758,2.25 23,1,2024-09-07 09:03:11:020,542506,542506,0,0,252955132251,2663314611,532161,7187,3158,365,391690,0 23,2,2024-09-07 09:03:11:098,391900,391900,0,0,16042119,0,3010 23,3,2024-09-07 09:03:11:754,1,309,8,0,645,3717,309,0 24,0,2024-09-07 09:03:10:877,74563,0.4,74352,0.6,149417,0.4,198285,1.75 24,1,2024-09-07 09:03:10:615,542077,542077,0,0,252216066940,2649451690,535544,5009,1524,368,391987,0 24,2,2024-09-07 09:03:11:082,390048,390048,0,0,19545087,0,3607 24,3,2024-09-07 09:03:11:686,1,309,57,0,468,4348,309,0 25,0,2024-09-07 09:03:11:351,76955,0.4,75091,0.6,147185,0.4,201001,2.00 25,1,2024-09-07 09:03:10:575,543019,543019,0,0,253388483238,2660696156,535979,5907,1133,371,391928,0 25,2,2024-09-07 09:03:11:644,389644,389644,0,0,19974857,0,3978 25,3,2024-09-07 09:03:11:053,1,309,1,0,255,3233,309,0 26,0,2024-09-07 09:03:11:734,80773,0.4,78979,0.6,165639,0.4,215684,2.00 26,1,2024-09-07 09:03:11:541,543536,543536,0,0,252720695124,2654635187,534709,7291,1536,380,391748,0 26,2,2024-09-07 09:03:10:878,390891,390891,0,0,19847031,0,2809 26,3,2024-09-07 09:03:11:712,1,309,1,0,796,4314,309,0 27,0,2024-09-07 09:03:11:734,81391,0.5,81408,0.6,161253,0.4,215847,2.00 27,1,2024-09-07 09:03:11:676,544896,544896,0,0,254153820147,2649284269,539827,4304,765,381,391626,0 27,2,2024-09-07 09:03:10:872,386727,386662,65,0,19302804,0,5699 27,3,2024-09-07 09:03:11:030,1,309,5,0,564,3285,309,0 28,0,2024-09-07 09:03:11:404,75073,0.4,74798,0.6,149898,0.4,199930,2.00 28,1,2024-09-07 09:03:10:805,544257,544257,0,0,253972885309,2648019716,540176,3406,675,383,391646,0 28,2,2024-09-07 09:03:11:770,391477,391477,0,0,16076208,0,2915 28,3,2024-09-07 09:03:11:782,1,309,0,0,502,3143,309,0 29,0,2024-09-07 09:03:11:417,76304,0.4,74408,0.6,145723,0.3,199315,1.75 29,1,2024-09-07 09:03:11:564,545540,545540,0,0,253733740667,2628400923,541785,3172,583,369,391753,0 29,2,2024-09-07 09:03:10:886,391170,391170,0,0,15735503,0,4233 29,3,2024-09-07 09:03:10:966,1,309,0,0,374,3331,309,0 30,0,2024-09-07 09:03:11:483,78794,0.4,76599,0.7,160321,0.4,210040,2.00 30,1,2024-09-07 09:03:10:577,545281,545281,0,0,254159328264,2640627254,541193,3482,606,382,391672,0 30,2,2024-09-07 09:03:11:280,391349,391349,0,0,15277930,0,3161 30,3,2024-09-07 09:03:10:593,1,309,1,0,519,2991,309,0 31,0,2024-09-07 09:03:11:764,79749,0.4,80012,0.6,160174,0.4,213585,2.00 31,1,2024-09-07 09:03:10:600,547448,547448,0,0,254833337873,2614506499,545360,1659,429,356,391712,0 31,2,2024-09-07 09:03:11:279,387150,387150,0,0,16669542,0,3525 31,3,2024-09-07 09:03:11:706,1,309,24,0,220,2476,309,0 32,0,2024-09-07 09:03:11:444,77266,0.3,77567,0.5,155109,0.3,206280,1.75 32,1,2024-09-07 09:03:10:806,544701,544701,0,0,253854404155,2638200349,541640,2616,445,381,391646,0 32,2,2024-09-07 09:03:10:945,392741,392741,0,0,14531852,0,3155 32,3,2024-09-07 09:03:11:023,1,309,3,0,227,2353,309,0 33,0,2024-09-07 09:03:11:498,73021,0.3,72539,0.5,145700,0.2,194230,1.75 33,1,2024-09-07 09:03:10:592,544890,544890,0,0,254635637587,2639288384,540513,3547,830,369,391730,0 33,2,2024-09-07 09:03:10:761,392995,392960,35,0,16692095,0,7012 33,3,2024-09-07 09:03:10:896,1,309,4,0,329,3363,309,0 34,0,2024-09-07 09:03:10:948,77136,0.3,79361,0.5,151874,0.2,204440,1.75 34,1,2024-09-07 09:03:11:055,546444,546444,0,0,254906109286,2622045409,545056,1381,7,367,391562,0 34,2,2024-09-07 09:03:10:768,390993,390993,0,0,15543923,0,3577 34,3,2024-09-07 09:03:11:689,1,309,2,0,299,2380,309,0 35,0,2024-09-07 09:03:10:874,79123,0.4,79529,0.5,160096,0.3,213055,1.75 35,1,2024-09-07 09:03:11:073,544744,544744,0,0,254587377194,2640938186,541143,2821,780,382,391589,0 35,2,2024-09-07 09:03:11:585,388415,388415,0,0,15811584,0,2653 35,3,2024-09-07 09:03:10:918,1,309,8,0,418,4096,309,0 36,0,2024-09-07 09:03:11:565,79854,0.5,79919,0.7,159862,0.4,211705,2.25 36,1,2024-09-07 09:03:10:586,544173,544173,0,0,253000976662,2648202106,536948,5567,1658,366,391759,0 36,2,2024-09-07 09:03:11:754,391979,391979,0,0,18038090,0,3875 36,3,2024-09-07 09:03:10:878,1,309,1,0,416,5186,309,0 37,0,2024-09-07 09:03:11:375,72666,0.5,72632,0.7,145404,0.5,194289,2.00 37,1,2024-09-07 09:03:10:601,542550,542543,0,7,252954750414,2648303490,535797,4662,2084,365,391570,0 37,2,2024-09-07 09:03:11:154,390475,390460,15,0,17596438,0,5815 37,3,2024-09-07 09:03:11:767,1,309,4,0,888,5439,309,0 38,0,2024-09-07 09:03:11:463,74887,0.5,72813,0.7,152261,0.4,199502,2.00 38,1,2024-09-07 09:03:11:639,544943,544943,0,0,253730719342,2644661938,539334,4932,677,368,391821,0 38,2,2024-09-07 09:03:10:766,389245,389198,47,0,18397641,0,6710 38,3,2024-09-07 09:03:11:008,1,309,3,0,689,4517,309,0 39,0,2024-09-07 09:03:11:764,82616,0.7,80937,0.8,157476,0.7,214973,2.00 39,1,2024-09-07 09:03:10:733,543371,543371,0,0,253433621012,2656571334,535033,6878,1460,365,391594,0 39,2,2024-09-07 09:03:11:422,390392,390392,0,0,16634990,0,2689 39,3,2024-09-07 09:03:10:729,1,309,8,0,324,3936,309,0 40,0,2024-09-07 09:03:11:516,80145,0.8,80835,1.0,161508,0.8,215225,2.75 40,1,2024-09-07 09:03:10:587,543669,543669,0,0,252677073864,2650200178,535320,6893,1456,368,391668,0 40,2,2024-09-07 09:03:11:305,389548,389547,1,0,19610482,0,5137 40,3,2024-09-07 09:03:11:143,1,309,0,0,1028,4863,309,0 41,0,2024-09-07 09:03:11:046,73461,1.6,75293,1.3,143655,2.5,195938,3.25 41,1,2024-09-07 09:03:10:784,543024,543024,0,0,253446467722,2655140320,536229,6105,690,370,391742,0 41,2,2024-09-07 09:03:10:773,389729,389729,0,0,18690023,0,3356 41,3,2024-09-07 09:03:11:676,1,309,1,0,366,3282,309,0 42,0,2024-09-07 09:03:11:489,74398,1.0,74395,1.1,149153,1.1,197023,2.75 42,1,2024-09-07 09:03:11:440,542197,542197,0,0,252359978130,2654803780,533499,7134,1564,380,391675,0 42,2,2024-09-07 09:03:11:133,391039,391039,0,0,18928241,0,3790 42,3,2024-09-07 09:03:11:011,1,309,1,0,446,3029,309,0 43,0,2024-09-07 09:03:10:929,79353,0.8,77510,1.0,162048,0.9,212417,2.25 43,1,2024-09-07 09:03:10:583,543767,543767,0,0,253840475842,2656260597,535818,6512,1437,366,391696,0 43,2,2024-09-07 09:03:11:738,391289,391289,0,0,18856134,0,3812 43,3,2024-09-07 09:03:11:753,1,309,8,0,467,3941,309,0 44,0,2024-09-07 09:03:10:893,80948,0.5,81394,0.6,162366,0.4,216363,1.75 44,1,2024-09-07 09:03:10:568,545228,545228,0,0,253739615205,2625632411,540843,3440,945,356,391809,0 44,2,2024-09-07 09:03:11:274,387157,387157,0,0,15267650,0,4344 44,3,2024-09-07 09:03:11:104,1,309,5,0,817,4291,309,0 45,0,2024-09-07 09:03:11:799,74926,0.5,73128,0.7,153405,0.5,201754,2.00 45,1,2024-09-07 09:03:11:012,544496,544496,0,0,253942201728,2636270584,541540,2695,261,382,391917,0 45,2,2024-09-07 09:03:11:284,392287,392287,0,0,15507653,0,3596 45,3,2024-09-07 09:03:10:936,1,309,2,0,271,3081,309,0 46,0,2024-09-07 09:03:10:954,73031,0.5,73091,0.7,146301,0.4,194286,2.00 46,1,2024-09-07 09:03:10:578,546084,546084,0,0,254230372801,2625357253,543000,2728,356,366,391539,0 46,2,2024-09-07 09:03:10:609,392902,392902,0,0,15161048,0,2920 46,3,2024-09-07 09:03:11:130,1,309,14,0,908,4436,309,0 47,0,2024-09-07 09:03:11:109,78596,0.5,78696,0.6,157563,0.4,208866,1.75 47,1,2024-09-07 09:03:10:581,545795,545795,0,0,254196199478,2626945436,542709,2727,359,366,391641,0 47,2,2024-09-07 09:03:10:922,392988,392988,0,0,15533068,0,4477 47,3,2024-09-07 09:03:11:119,1,309,1,0,529,3443,309,0 48,0,2024-09-07 09:03:11:501,80346,0.3,79823,0.4,159168,0.2,212397,1.50 48,1,2024-09-07 09:03:11:031,544740,544740,0,0,253847637140,2638635215,541203,3236,301,384,391710,0 48,2,2024-09-07 09:03:10:704,387210,387210,0,0,14325493,0,3031 48,3,2024-09-07 09:03:10:756,1,309,2,0,339,2758,309,0 49,0,2024-09-07 09:03:11:714,80501,0.3,79022,0.5,153131,0.3,209378,1.75 49,1,2024-09-07 09:03:11:022,544087,544087,0,0,254003032450,2647910720,539788,3115,1184,382,391809,0 49,2,2024-09-07 09:03:11:808,393643,393643,0,0,15371595,0,4426 49,3,2024-09-07 09:03:11:429,1,309,1,0,408,3394,309,0 50,0,2024-09-07 09:03:11:511,72569,0.3,71878,0.5,144613,0.2,192688,1.75 50,1,2024-09-07 09:03:11:012,546302,546302,0,0,254673194015,2636554208,542489,3439,374,368,391540,0 50,2,2024-09-07 09:03:11:067,392434,392434,0,0,14376377,0,2263 50,3,2024-09-07 09:03:11:291,1,309,1,0,335,2828,309,0 51,0,2024-09-07 09:03:11:686,77887,0.3,76075,0.5,148660,0.2,202776,1.75 51,1,2024-09-07 09:03:11:686,546061,546061,0,0,254756082309,2631310274,542808,2278,975,365,391706,0 51,2,2024-09-07 09:03:11:322,390116,390116,0,0,14109246,0,3337 51,3,2024-09-07 09:03:11:030,1,309,8,0,678,2415,309,0 52,0,2024-09-07 09:03:11:418,80830,0.5,80738,0.7,161432,0.5,214445,2.00 52,1,2024-09-07 09:03:10:578,543385,543385,0,0,252546768458,2650937531,534859,7216,1310,368,391722,0 52,2,2024-09-07 09:03:11:760,386337,386299,38,0,18758288,0,6742 52,3,2024-09-07 09:03:10:678,1,309,221,0,1782,5073,309,0 53,0,2024-09-07 09:03:11:827,79668,0.7,77501,0.8,161915,0.7,212328,2.25 53,1,2024-09-07 09:03:10:771,541980,541980,0,0,252770667679,2658660910,532605,6796,2579,367,391702,0 53,2,2024-09-07 09:03:11:298,392089,392089,0,0,15892150,0,2727 53,3,2024-09-07 09:03:10:702,1,309,1,0,308,3122,309,0 54,0,2024-09-07 09:03:11:618,72952,0.6,73399,0.8,145980,0.4,195489,2.50 54,1,2024-09-07 09:03:10:592,544011,544011,0,0,254211184246,2646025881,538420,4989,602,367,391659,0 54,2,2024-09-07 09:03:10:882,391440,391434,6,0,18362239,0,5382 54,3,2024-09-07 09:03:10:764,1,309,1,0,676,5003,309,0 55,0,2024-09-07 09:03:11:763,72371,0.6,75073,0.8,150972,0.6,197334,2.50 55,1,2024-09-07 09:03:10:773,543345,543345,0,0,253025679879,2637394123,536883,5554,908,365,391731,0 55,2,2024-09-07 09:03:10:737,389721,389721,0,0,17937389,0,3563 55,3,2024-09-07 09:03:10:673,1,309,2,0,304,3389,309,0 56,0,2024-09-07 09:03:11:591,82459,1.3,77879,1.2,160175,1.7,215267,2.75 56,1,2024-09-07 09:03:10:603,541175,541175,0,0,252581518930,2671465292,532670,6880,1625,381,391867,0 56,2,2024-09-07 09:03:11:309,390462,390462,0,0,18795741,0,3567 56,3,2024-09-07 09:03:11:061,1,309,1,0,705,4189,309,0 57,0,2024-09-07 09:03:10:954,79997,1.6,79762,1.3,159994,2.2,214268,3.00 57,1,2024-09-07 09:03:11:000,542951,542951,0,0,252359889957,2648178200,536433,5802,716,366,392032,0 57,2,2024-09-07 09:03:11:321,388845,388845,0,0,20009073,0,3317 57,3,2024-09-07 09:03:11:740,1,309,4,0,359,3719,309,0 58,0,2024-09-07 09:03:10:573,73510,0.9,71574,1.0,149928,1.2,196503,2.50 58,1,2024-09-07 09:03:10:600,543873,543870,0,3,253469528454,2654015433,536080,6739,1051,367,391603,3 58,2,2024-09-07 09:03:11:074,391007,391007,0,0,18520982,0,2549 58,3,2024-09-07 09:03:11:073,1,309,2,0,1043,3340,309,0 59,0,2024-09-07 09:03:11:741,73909,0.7,73520,0.9,146948,0.7,195147,2.75 59,1,2024-09-07 09:03:10:811,543455,543455,0,0,253349307510,2655622292,535953,6037,1465,369,391578,0 59,2,2024-09-07 09:03:10:602,392759,392759,0,0,17969726,0,2867 59,3,2024-09-07 09:03:11:736,1,309,8,0,1015,4161,309,0 60,0,2024-09-07 09:03:11:704,79120,0.5,79178,0.6,158988,0.4,210737,1.75 60,1,2024-09-07 09:03:10:775,545337,545337,0,0,254298655214,2638051870,542411,2399,527,370,392031,0 60,2,2024-09-07 09:03:11:142,391267,391267,0,0,17831227,0,3811 60,3,2024-09-07 09:03:11:274,1,309,1,0,175,3178,309,0 61,0,2024-09-07 09:03:11:504,79889,0.7,80359,0.8,159714,0.7,213313,2.00 61,1,2024-09-07 09:03:10:785,543263,543263,0,0,253757233418,2658766855,537335,5014,914,382,391880,0 61,2,2024-09-07 09:03:11:129,387652,387652,0,0,16074321,0,3598 61,3,2024-09-07 09:03:11:687,1,309,5,0,479,4727,309,0 62,0,2024-09-07 09:03:11:732,77745,0.6,79422,0.7,151403,0.6,205974,2.00 62,1,2024-09-07 09:03:11:127,546649,546643,0,6,254627433890,2628256870,543778,2703,162,365,391715,6 62,2,2024-09-07 09:03:11:646,391038,391037,1,0,17351408,0,5555 62,3,2024-09-07 09:03:11:151,1,309,1,0,465,2485,309,0 63,0,2024-09-07 09:03:11:460,72769,0.4,72874,0.6,145812,0.4,194036,1.75 63,1,2024-09-07 09:03:10:811,544893,544887,0,6,254223700385,2638669679,541774,2877,236,381,391800,6 63,2,2024-09-07 09:03:10:769,392456,392456,0,0,15648435,0,4369 63,3,2024-09-07 09:03:11:735,1,309,16,0,667,3509,309,0 64,0,2024-09-07 09:03:11:519,76501,0.5,76414,0.7,152825,0.5,203567,2.00 64,1,2024-09-07 09:03:10:752,544131,544131,0,0,253799280742,2649590603,538499,3976,1656,370,391783,0 64,2,2024-09-07 09:03:11:154,394299,394280,19,0,15630348,0,6121 64,3,2024-09-07 09:03:11:155,1,309,1,0,265,3007,309,0 65,0,2024-09-07 09:03:11:720,79115,0.7,79346,0.8,158476,0.8,210982,2.25 65,1,2024-09-07 09:03:10:864,542924,542924,0,0,252912569728,2645861753,538917,3558,449,382,391901,0 65,2,2024-09-07 09:03:11:694,389084,389084,0,0,17215043,0,3367 65,3,2024-09-07 09:03:11:690,1,309,1,0,163,2838,309,0 66,0,2024-09-07 09:03:11:771,79367,0.5,79246,0.7,158847,0.5,210529,2.00 66,1,2024-09-07 09:03:11:528,544273,544273,0,0,254489195439,2647684159,540771,3183,319,380,391653,0 66,2,2024-09-07 09:03:11:132,394102,394102,0,0,15736283,0,4956 66,3,2024-09-07 09:03:11:084,1,309,3,0,291,3098,309,0 67,0,2024-09-07 09:03:11:423,73512,0.6,72809,0.7,146314,0.5,194481,2.00 67,1,2024-09-07 09:03:10:769,544549,544548,0,1,252989899217,2638053626,540422,3375,751,380,391787,1 67,2,2024-09-07 09:03:10:585,392850,392850,0,0,14958570,0,2889 67,3,2024-09-07 09:03:11:752,1,309,8,0,338,2743,309,0 68,0,2024-09-07 09:03:10:563,75797,0.6,75280,0.8,150415,0.6,201285,2.00 68,1,2024-09-07 09:03:10:595,543238,543238,0,0,252607164690,2649657140,538473,3564,1201,381,391953,0 68,2,2024-09-07 09:03:11:056,388286,388221,65,0,20233042,0,6698 68,3,2024-09-07 09:03:10:732,1,309,1,0,417,3364,309,0 69,0,2024-09-07 09:03:11:764,80621,1.0,81010,0.9,161487,1.2,214124,2.25 69,1,2024-09-07 09:03:11:047,541362,541362,0,0,252001306628,2665346551,533432,5821,2109,384,391994,0 69,2,2024-09-07 09:03:11:739,389994,389994,0,0,20033980,0,3722 69,3,2024-09-07 09:03:10:767,1,309,1,0,698,4475,309,0 70,0,2024-09-07 09:03:11:548,80229,0.8,80184,1.1,161303,0.7,213854,2.50 70,1,2024-09-07 09:03:10:805,545056,545056,0,0,254000797547,2639558677,540463,4057,536,366,391725,0 70,2,2024-09-07 09:03:11:329,389375,389375,0,0,18157358,0,4044 70,3,2024-09-07 09:03:10:745,1,309,125,0,854,3679,309,0 71,0,2024-09-07 09:03:11:369,73511,0.9,73228,1.0,147028,1.1,196768,2.75 71,1,2024-09-07 09:03:11:598,543302,543302,0,0,254009107936,2654181416,536792,5854,656,368,391738,0 71,2,2024-09-07 09:03:11:079,391134,391134,0,0,17995086,0,4042 71,3,2024-09-07 09:03:11:753,1,309,4,0,644,4431,309,0 72,0,2024-09-07 09:03:11:038,77545,0.7,75756,0.8,147910,0.7,201318,2.25 72,1,2024-09-07 09:03:11:038,542908,542908,0,0,253005344560,2653007269,535182,6041,1685,369,391819,0 72,2,2024-09-07 09:03:11:758,390042,390042,0,0,20581172,0,3983 72,3,2024-09-07 09:03:11:755,1,309,38,0,564,5171,309,0 73,0,2024-09-07 09:03:11:103,78054,0.4,79832,0.6,163625,0.4,212528,2.00 73,1,2024-09-07 09:03:10:779,544023,544023,0,0,253598486942,2639249277,539837,3857,329,367,391858,0 73,2,2024-09-07 09:03:11:757,391303,391303,0,0,19768761,0,3701 73,3,2024-09-07 09:03:10:971,1,309,0,0,274,4321,309,0 74,0,2024-09-07 09:03:11:333,81436,0.5,83413,0.7,159325,0.5,216178,2.25 74,1,2024-09-07 09:03:10:639,543573,543573,0,0,253328931626,2648798301,537624,4691,1258,381,391681,0 74,2,2024-09-07 09:03:11:005,387359,387359,0,0,18504103,0,4253 74,3,2024-09-07 09:03:11:453,1,309,1,0,522,4479,309,0 75,0,2024-09-07 09:03:11:767,76027,0.5,75720,0.7,151678,0.4,202763,2.25 75,1,2024-09-07 09:03:11:585,543031,543031,0,0,253109863337,2649167280,536731,5476,824,380,391739,0 75,2,2024-09-07 09:03:11:350,391127,391127,0,0,18724731,0,4766 75,3,2024-09-07 09:03:11:072,1,309,12,0,702,4338,309,0 76,0,2024-09-07 09:03:10:606,73105,0.6,72588,0.8,145808,0.6,195217,2.25 76,1,2024-09-07 09:03:10:814,543923,543923,0,0,252594559796,2636418937,540319,3050,554,382,391692,0 76,2,2024-09-07 09:03:11:092,393469,393468,1,0,17287219,0,5144 76,3,2024-09-07 09:03:11:145,1,309,4,0,175,3099,309,0 77,0,2024-09-07 09:03:11:695,78296,0.6,78393,0.8,156770,0.6,208757,2.00 77,1,2024-09-07 09:03:10:835,544163,544163,0,0,253368607586,2646932051,539947,3952,264,381,391869,0 77,2,2024-09-07 09:03:11:287,391694,391694,0,0,17078377,0,3890 77,3,2024-09-07 09:03:11:093,1,309,1,0,401,3581,309,0 78,0,2024-09-07 09:03:11:755,79873,0.5,79485,0.7,159675,0.4,211732,2.00 78,1,2024-09-07 09:03:10:626,544333,544333,0,0,253796716211,2643729098,539010,4313,1010,367,391670,0 78,2,2024-09-07 09:03:11:405,388082,388082,0,0,14798194,0,3855 78,3,2024-09-07 09:03:11:133,1,309,29,0,181,3009,309,0 79,0,2024-09-07 09:03:11:346,75920,0.4,77908,0.6,159198,0.4,206859,2.25 79,1,2024-09-07 09:03:10:587,545973,545973,0,0,254211497281,2631524378,542249,3279,445,369,391682,0 79,2,2024-09-07 09:03:11:079,393495,393495,0,0,15244490,0,3212 79,3,2024-09-07 09:03:10:749,1,309,6,0,418,4202,309,0 80,0,2024-09-07 09:03:11:092,72501,0.6,74501,0.7,142740,0.5,192466,2.00 80,1,2024-09-07 09:03:11:638,544161,544161,0,0,253554343956,2639393147,540853,3131,177,368,391791,0 80,2,2024-09-07 09:03:11:091,393499,393499,0,0,15278668,0,4433 80,3,2024-09-07 09:03:10:586,1,309,18,0,190,3898,309,0 81,0,2024-09-07 09:03:11:569,75685,0.6,77575,0.7,148149,0.5,201397,2.00 81,1,2024-09-07 09:03:11:675,543390,543390,0,0,252829493733,2645186404,538813,4097,480,382,391879,0 81,2,2024-09-07 09:03:11:130,389790,389727,63,0,17194096,0,5932 81,3,2024-09-07 09:03:11:117,1,309,1,0,374,3765,309,0 82,0,2024-09-07 09:03:11:537,80189,0.5,80700,0.7,161554,0.5,214011,2.00 82,1,2024-09-07 09:03:10:587,544872,544868,0,4,254000973705,2647090934,541017,3055,796,381,391768,4 82,2,2024-09-07 09:03:11:697,390745,390745,0,0,14893482,0,3986 82,3,2024-09-07 09:03:11:751,1,309,1,0,363,3360,309,0 83,0,2024-09-07 09:03:11:526,80510,0.5,80283,0.7,159785,0.5,212615,2.00 83,1,2024-09-07 09:03:10:558,543701,543701,0,0,253056689917,2641105091,539615,3765,321,382,391709,0 83,2,2024-09-07 09:03:10:770,391455,391455,0,0,15233336,0,3393 83,3,2024-09-07 09:03:10:765,1,309,8,0,1260,4745,309,0 84,0,2024-09-07 09:03:11:775,73532,0.7,73462,0.9,147086,0.6,196885,2.25 84,1,2024-09-07 09:03:11:048,543289,543289,0,0,252807468849,2643299427,538107,4596,586,367,391967,0 84,2,2024-09-07 09:03:10:577,391131,391131,0,0,18892849,0,4757 84,3,2024-09-07 09:03:11:142,1,309,1,0,908,4864,309,0 85,0,2024-09-07 09:03:11:067,72236,0.8,72304,0.9,153249,0.9,198449,2.50 85,1,2024-09-07 09:03:10:584,541903,541903,0,0,252610433518,2670581504,533497,7038,1368,381,392006,0 85,2,2024-09-07 09:03:10:872,391164,391164,0,0,18975438,0,3656 85,3,2024-09-07 09:03:10:685,1,309,17,0,789,4287,309,0 86,0,2024-09-07 09:03:10:908,80989,0.7,83299,0.8,159474,0.8,215494,2.25 86,1,2024-09-07 09:03:10:839,543576,543576,0,0,253248660142,2655404852,536715,5796,1065,366,391961,0 86,2,2024-09-07 09:03:10:876,389843,389842,1,0,19885336,0,5004 86,3,2024-09-07 09:03:10:639,1,309,3,0,308,4428,309,0 87,0,2024-09-07 09:03:11:293,80581,1.0,80683,0.9,161843,1.3,216148,2.50 87,1,2024-09-07 09:03:10:559,542498,542498,0,0,252455403724,2644352478,536510,5379,609,366,392076,0 87,2,2024-09-07 09:03:11:077,388241,388241,0,0,17484215,0,4045 87,3,2024-09-07 09:03:11:821,1,309,18,0,473,4573,309,0 88,0,2024-09-07 09:03:11:455,74850,0.4,75349,0.6,150223,0.4,199543,1.75 88,1,2024-09-07 09:03:10:592,541770,541770,0,0,253019781476,2656540593,534249,5868,1653,365,392084,0 88,2,2024-09-07 09:03:10:688,391713,391713,0,0,19556490,0,3583 88,3,2024-09-07 09:03:11:276,1,309,8,0,435,3557,309,0 89,0,2024-09-07 09:03:11:787,76437,0.5,73918,0.7,146580,0.4,199128,1.75 89,1,2024-09-07 09:03:10:577,541952,541952,0,0,252837337186,2663417194,534603,6081,1268,382,391866,0 89,2,2024-09-07 09:03:11:138,390920,390920,0,0,19008333,0,2910 89,3,2024-09-07 09:03:11:829,1,309,35,0,385,5897,309,0 90,0,2024-09-07 09:03:11:791,76799,0.4,78863,0.6,160963,0.4,210015,1.75 90,1,2024-09-07 09:03:10:619,543354,543354,0,0,253393960320,2658581670,537626,5335,393,380,391825,0 90,2,2024-09-07 09:03:11:406,390104,390104,0,0,20378577,0,3060 90,3,2024-09-07 09:03:10:943,1,309,2,0,246,3547,309,0 91,0,2024-09-07 09:03:10:927,80292,0.5,78062,0.6,162578,0.5,213969,1.75 91,1,2024-09-07 09:03:10:562,541943,541943,0,0,253389123610,2669105174,534318,6584,1041,381,392047,0 91,2,2024-09-07 09:03:11:331,387253,387253,0,0,18044225,0,2896 91,3,2024-09-07 09:03:10:610,1,309,8,0,216,2988,309,0 92,0,2024-09-07 09:03:11:482,77920,0.5,79929,0.6,152622,0.4,206392,1.75 92,1,2024-09-07 09:03:10:584,543850,543850,0,0,252867145718,2640162316,540324,3045,481,382,392136,0 92,2,2024-09-07 09:03:11:350,393765,393765,0,0,15553894,0,2801 92,3,2024-09-07 09:03:11:010,1,309,3,0,167,2718,309,0 93,0,2024-09-07 09:03:10:982,73211,0.4,75077,0.6,143371,0.3,194486,1.75 93,1,2024-09-07 09:03:10:829,543712,543712,0,0,253097956174,2642414050,538482,4389,841,366,391776,0 93,2,2024-09-07 09:03:10:984,392017,392017,0,0,18061182,0,4311 93,3,2024-09-07 09:03:11:415,1,309,24,0,190,3009,309,0 94,0,2024-09-07 09:03:11:664,76561,0.4,77321,0.5,154253,0.3,205029,1.75 94,1,2024-09-07 09:03:10:582,543670,543670,0,0,253604122911,2649210846,539792,3691,187,381,391850,0 94,2,2024-09-07 09:03:10:766,390710,390710,0,0,15763386,0,2443 94,3,2024-09-07 09:03:11:690,1,309,14,0,264,3985,309,0 95,0,2024-09-07 09:03:11:419,79669,0.4,79589,0.6,160047,0.3,212795,1.75 95,1,2024-09-07 09:03:10:856,544892,544892,0,0,254540213066,2647578427,540588,3925,379,367,391713,0 95,2,2024-09-07 09:03:11:036,388442,388442,0,0,15921859,0,3308 95,3,2024-09-07 09:03:11:710,1,309,21,0,718,5052,309,0 96,0,2024-09-07 09:03:11:038,80035,0.4,80145,0.5,159746,0.3,211455,1.75 96,1,2024-09-07 09:03:11:586,543368,543368,0,0,253094686494,2643796445,539118,3466,784,384,391955,0 96,2,2024-09-07 09:03:11:281,392334,392334,0,0,16200974,0,4042 96,3,2024-09-07 09:03:11:156,1,309,5,0,411,3361,309,0 97,0,2024-09-07 09:03:11:322,73310,0.3,72955,0.5,146530,0.3,194326,1.75 97,1,2024-09-07 09:03:10:773,545648,545648,0,0,253878344841,2634891710,541836,3143,669,367,392140,0 97,2,2024-09-07 09:03:10:619,392775,392775,0,0,15821262,0,3036 97,3,2024-09-07 09:03:10:590,1,309,1,0,214,3760,309,0 98,0,2024-09-07 09:03:11:723,75552,0.3,75515,0.5,151515,0.2,201397,1.50 98,1,2024-09-07 09:03:10:579,544817,544817,0,0,253288690895,2636263537,542413,2301,103,382,391997,0 98,2,2024-09-07 09:03:10:769,390460,390460,0,0,15765654,0,3080 98,3,2024-09-07 09:03:10:699,1,309,21,0,840,5171,309,0 99,0,2024-09-07 09:03:11:557,81096,0.4,81615,0.5,162517,0.3,216400,1.75 99,1,2024-09-07 09:03:11:726,544493,544493,0,0,253842228066,2645946560,540404,3339,750,381,392069,0 99,2,2024-09-07 09:03:11:423,392119,392119,0,0,17546393,0,3424 99,3,2024-09-07 09:03:10:592,1,309,16,0,187,2651,309,0 100,0,2024-09-07 09:03:11:464,80607,0.8,80591,1.0,161371,0.9,215597,2.50 100,1,2024-09-07 09:03:10:557,540923,540923,0,0,252731315733,2675187663,532484,6947,1492,381,391989,0 100,2,2024-09-07 09:03:11:848,388882,388871,11,0,18517299,0,5417 100,3,2024-09-07 09:03:11:733,1,309,4,0,559,5496,309,0 101,0,2024-09-07 09:03:11:830,75605,1.2,73615,1.1,144526,0.9,198400,2.25 101,1,2024-09-07 09:03:10:569,541485,541485,0,0,252423062991,2663438096,532443,7023,2019,368,391771,0 101,2,2024-09-07 09:03:11:775,389446,389446,0,0,21108986,0,4644 101,3,2024-09-07 09:03:10:942,1,309,6,0,448,3714,309,0 102,0,2024-09-07 09:03:11:014,73158,0.8,75773,0.8,152632,0.8,200318,2.25 102,1,2024-09-07 09:03:11:147,542002,542002,0,0,252599824197,2657862535,534728,6244,1030,369,391891,0 102,2,2024-09-07 09:03:11:736,392075,392021,54,0,18089844,0,6768 102,3,2024-09-07 09:03:11:619,1,309,3,0,410,3397,309,0 103,0,2024-09-07 09:03:11:625,82399,0.6,82462,0.7,155324,0.6,214638,2.00 103,1,2024-09-07 09:03:11:646,541301,541301,0,0,252226661530,2665554847,532568,6738,1995,381,391829,0 103,2,2024-09-07 09:03:10:581,390107,390107,0,0,18719860,0,3173 103,3,2024-09-07 09:03:10:762,1,309,12,0,916,4042,309,0 104,0,2024-09-07 09:03:11:014,79963,0.8,80449,1.0,159535,0.8,215308,2.50 104,1,2024-09-07 09:03:11:601,542680,542680,0,0,252295028109,2659422645,533806,7179,1695,365,392168,0 104,2,2024-09-07 09:03:11:671,386094,386094,0,0,19511184,0,3941 104,3,2024-09-07 09:03:11:420,1,309,3,0,1245,7000,309,0 105,0,2024-09-07 09:03:11:038,75116,0.9,73130,1.1,152935,1.2,201251,3.00 105,1,2024-09-07 09:03:10:563,543237,543237,0,0,253292139310,2661947096,535368,6568,1301,366,391797,0 105,2,2024-09-07 09:03:11:322,391187,391187,0,0,18827834,0,3509 105,3,2024-09-07 09:03:11:310,1,309,5,0,399,4933,309,0 106,0,2024-09-07 09:03:10:951,70965,0.8,72639,1.0,148702,0.9,194727,2.50 106,1,2024-09-07 09:03:11:761,542131,542131,0,0,252294025049,2655034422,533361,7766,1004,369,391865,0 106,2,2024-09-07 09:03:10:762,389912,389912,0,0,18755635,0,2795 106,3,2024-09-07 09:03:10:687,1,309,5,0,470,4150,309,0 107,0,2024-09-07 09:03:11:112,78073,1.1,77937,1.0,155995,1.4,208402,2.25 107,1,2024-09-07 09:03:10:587,541297,541297,0,0,251670308873,2656892545,533436,6947,914,381,392234,0 107,2,2024-09-07 09:03:11:298,389691,389690,1,0,19253226,0,5024 107,3,2024-09-07 09:03:11:756,1,309,5,0,353,4269,309,0 108,0,2024-09-07 09:03:11:808,79507,0.4,79885,0.6,158868,0.4,212317,1.75 108,1,2024-09-07 09:03:11:300,543411,543411,0,0,253976318117,2651690893,538587,4309,515,368,391857,0 108,2,2024-09-07 09:03:11:755,386145,386145,0,0,17686176,0,4246 108,3,2024-09-07 09:03:11:330,1,309,6,0,749,6387,309,0 109,0,2024-09-07 09:03:11:819,78957,0.4,78116,0.6,156410,0.3,209114,1.75 109,1,2024-09-07 09:03:10:613,541787,541787,0,0,253309627889,2657816131,537181,3956,650,383,392132,0 109,2,2024-09-07 09:03:10:925,390614,390614,0,0,17537958,0,3617 109,3,2024-09-07 09:03:11:142,1,309,1,0,249,3353,309,0 110,0,2024-09-07 09:03:11:758,72579,0.4,70572,0.6,147715,0.3,193220,1.75 110,1,2024-09-07 09:03:11:654,545141,545141,0,0,254086765256,2637886242,541637,2593,911,369,392045,0 110,2,2024-09-07 09:03:11:311,392091,392091,0,0,17542915,0,4067 110,3,2024-09-07 09:03:10:690,1,309,5,0,406,4170,309,0 111,0,2024-09-07 09:03:11:439,76344,0.4,75558,0.5,151402,0.3,203125,1.75 111,1,2024-09-07 09:03:11:005,544896,544896,0,0,254808104076,2644353876,542218,2335,343,382,391690,0 111,2,2024-09-07 09:03:11:124,389564,389564,0,0,16213720,0,2763 111,3,2024-09-07 09:03:10:913,1,309,8,0,379,3975,309,0 112,0,2024-09-07 09:03:10:948,81319,0.3,80655,0.4,161885,0.2,214796,1.50 112,1,2024-09-07 09:03:10:826,545160,545160,0,0,253653706956,2634277809,541724,2852,584,380,391624,0 112,2,2024-09-07 09:03:11:137,389741,389740,1,0,15720152,0,5036 112,3,2024-09-07 09:03:10:610,1,309,1,0,282,3210,309,0 113,0,2024-09-07 09:03:10:893,80115,0.3,80159,0.5,160852,0.2,214096,1.50 113,1,2024-09-07 09:03:11:686,546633,546633,0,0,255035873155,2634258063,543360,2689,584,366,391661,0 113,2,2024-09-07 09:03:11:306,393347,393347,0,0,14056765,0,3813 113,3,2024-09-07 09:03:10:685,1,309,2,0,340,3901,309,0 114,0,2024-09-07 09:03:10:877,74500,0.3,74982,0.5,148993,0.2,198963,1.75 114,1,2024-09-07 09:03:10:721,544408,544408,0,0,254344964650,2647286631,539290,3526,1592,381,391556,0 114,2,2024-09-07 09:03:10:876,392118,392117,1,0,15732902,0,5069 114,3,2024-09-07 09:03:11:280,1,309,11,0,395,2925,309,0 115,0,2024-09-07 09:03:10:565,75019,0.3,75378,0.4,150793,0.2,200833,1.50 115,1,2024-09-07 09:03:10:578,544546,544546,0,0,253580589754,2636897238,539723,3983,840,382,391656,0 115,2,2024-09-07 09:03:11:128,392337,392337,0,0,14691308,0,3453 115,3,2024-09-07 09:03:11:005,1,309,4,0,159,1887,309,0 116,0,2024-09-07 09:03:11:749,80674,0.9,80593,1.0,161672,1.1,216445,2.25 116,1,2024-09-07 09:03:10:809,541348,541348,0,0,252254450849,2667547223,533779,5304,2265,380,391782,0 116,2,2024-09-07 09:03:11:755,389998,389998,0,0,20186244,0,3529 116,3,2024-09-07 09:03:10:914,1,309,12,0,415,4032,309,0 117,0,2024-09-07 09:03:10:982,81200,0.8,80764,0.9,161693,1.0,216522,2.00 117,1,2024-09-07 09:03:11:580,542471,542471,0,0,252418513248,2647048987,536344,5459,668,370,392033,0 117,2,2024-09-07 09:03:11:127,391203,391203,0,0,16365650,0,3700 117,3,2024-09-07 09:03:11:070,1,309,7,0,490,4753,309,0 118,0,2024-09-07 09:03:11:780,72703,0.5,74741,0.7,152224,0.5,198733,2.00 118,1,2024-09-07 09:03:10:588,542028,542028,0,0,252104625213,2655498239,533638,6537,1853,366,391736,0 118,2,2024-09-07 09:03:11:666,390665,390665,0,0,18042078,0,2781 118,3,2024-09-07 09:03:11:778,1,309,5,0,235,3446,309,0 119,0,2024-09-07 09:03:11:340,73828,0.7,74222,0.8,148555,0.8,197920,2.25 119,1,2024-09-07 09:03:10:578,543525,543525,0,0,253685732815,2656448971,537146,5543,836,367,391780,0 119,2,2024-09-07 09:03:11:260,392330,392330,0,0,17274641,0,4174 119,3,2024-09-07 09:03:11:326,1,309,8,0,563,4719,309,0 120,0,2024-09-07 09:03:11:565,78683,0.6,78641,0.8,157227,0.5,210609,2.25 120,1,2024-09-07 09:03:10:887,542920,542920,0,0,252763149194,2657608302,536581,5786,553,368,391961,0 120,2,2024-09-07 09:03:10:781,390604,390603,1,0,20211867,0,5281 120,3,2024-09-07 09:03:11:291,1,309,1,0,241,3914,309,0 121,0,2024-09-07 09:03:11:695,79831,1.1,79868,1.0,160406,1.5,213421,2.25 121,1,2024-09-07 09:03:11:659,543389,543389,0,0,253202138979,2652200937,537857,5101,431,367,391840,0 121,2,2024-09-07 09:03:11:140,385987,385987,0,0,19251084,0,4127 121,3,2024-09-07 09:03:10:728,1,309,3,0,269,3761,309,0 122,0,2024-09-07 09:03:11:832,77139,0.8,75100,0.9,157411,0.9,206368,2.00 122,1,2024-09-07 09:03:10:865,541965,541965,0,0,252959050156,2659487647,533829,6875,1261,366,392130,0 122,2,2024-09-07 09:03:11:350,391669,391596,73,0,21751437,0,5989 122,3,2024-09-07 09:03:10:596,1,309,28,0,411,5890,309,0 123,0,2024-09-07 09:03:10:979,72514,0.8,70857,0.8,147790,0.9,193844,2.25 123,1,2024-09-07 09:03:10:561,542102,542102,0,0,252822726704,2671760976,531075,8943,2084,369,392039,0 123,2,2024-09-07 09:03:11:024,390102,390101,1,0,18786139,0,5215 123,3,2024-09-07 09:03:11:155,1,309,9,0,168,3683,309,0 124,0,2024-09-07 09:03:10:943,79230,0.4,79235,0.5,149383,0.3,205840,1.75 124,1,2024-09-07 09:03:11:025,544657,544657,0,0,253213562517,2638902429,540013,3736,908,367,392178,0 124,2,2024-09-07 09:03:11:014,391752,391752,0,0,15712887,0,3101 124,3,2024-09-07 09:03:10:773,1,309,0,0,490,3331,309,0 125,0,2024-09-07 09:03:11:429,80120,0.4,79705,0.6,160361,0.4,213114,1.75 125,1,2024-09-07 09:03:10:880,543276,543276,0,0,253760800003,2649580990,539274,3551,451,383,391702,0 125,2,2024-09-07 09:03:11:121,388506,388506,0,0,16782399,0,4534 125,3,2024-09-07 09:03:11:125,1,309,11,0,709,4471,309,0 126,0,2024-09-07 09:03:11:452,79784,0.4,82007,0.6,156784,0.4,212290,1.75 126,1,2024-09-07 09:03:10:558,545211,545211,0,0,254221643154,2634843008,542152,2787,272,365,391987,0 126,2,2024-09-07 09:03:10:633,393593,393593,0,0,16519312,0,3186 126,3,2024-09-07 09:03:10:915,1,309,15,0,207,4011,309,0 127,0,2024-09-07 09:03:11:636,73006,0.3,73390,0.5,146343,0.3,194232,1.75 127,1,2024-09-07 09:03:10:577,544723,544723,0,0,254376859623,2640108450,540483,3726,514,365,391816,0 127,2,2024-09-07 09:03:10:661,391568,391568,0,0,15162514,0,2264 127,3,2024-09-07 09:03:11:272,1,309,21,0,968,3851,309,0 128,0,2024-09-07 09:03:11:534,75781,0.3,75926,0.5,151655,0.2,201780,1.50 128,1,2024-09-07 09:03:11:620,543884,543884,0,0,253811434197,2639117028,539856,3660,368,367,391798,0 128,2,2024-09-07 09:03:11:385,391299,391299,0,0,15139746,0,2915 128,3,2024-09-07 09:03:10:782,1,309,1,0,1082,5805,309,0 129,0,2024-09-07 09:03:11:042,81668,0.3,81318,0.5,162991,0.3,216505,1.75 129,1,2024-09-07 09:03:10:605,541190,541190,0,0,253227000298,2655467137,535874,4103,1213,379,391835,0 129,2,2024-09-07 09:03:10:695,391399,391399,0,0,15985301,0,4031 129,3,2024-09-07 09:03:10:702,1,309,2,0,469,4369,309,0 130,0,2024-09-07 09:03:11:747,81460,0.5,81126,0.6,162930,0.6,216805,1.75 130,1,2024-09-07 09:03:10:600,544684,544684,0,0,254286099903,2646178860,541485,2960,239,381,391825,0 130,2,2024-09-07 09:03:11:132,391506,391506,0,0,15609003,0,4067 130,3,2024-09-07 09:03:11:303,1,309,1,0,450,3953,309,0 131,0,2024-09-07 09:03:11:998,73927,0.4,74383,0.5,149522,0.3,198244,1.75 131,1,2024-09-07 09:03:11:851,544185,544185,0,0,253190566966,2645892890,539755,3685,745,381,391865,0 131,2,2024-09-07 09:03:10:570,393684,393684,0,0,14532430,0,2415 131,3,2024-09-07 09:03:11:695,1,309,11,0,392,3369,309,0 132,0,2024-09-07 09:03:11:416,74909,0.5,75753,0.6,151108,0.4,200963,2.00 132,1,2024-09-07 09:03:10:590,541714,541714,0,0,253010582552,2669301557,533361,6890,1463,381,392097,0 132,2,2024-09-07 09:03:10:709,391012,391012,0,0,19439721,0,4606 132,3,2024-09-07 09:03:11:690,1,309,2,0,804,5912,309,0 133,0,2024-09-07 09:03:11:583,78096,0.4,79726,0.6,163748,0.4,213220,1.75 133,1,2024-09-07 09:03:10:608,541658,541658,0,0,252044167526,2662512828,533606,6970,1082,383,391914,0 133,2,2024-09-07 09:03:11:102,389934,389934,0,0,20683629,0,4315 133,3,2024-09-07 09:03:11:304,1,309,2,0,479,3404,309,0 134,0,2024-09-07 09:03:11:071,81103,0.5,81092,0.7,162092,0.5,216478,2.00 134,1,2024-09-07 09:03:10:597,542053,542053,0,0,252357689214,2655316717,534006,6193,1854,366,391718,0 134,2,2024-09-07 09:03:11:758,387309,387309,0,0,17045647,0,3847 134,3,2024-09-07 09:03:10:749,1,309,1,0,739,4210,309,0 135,0,2024-09-07 09:03:11:168,73432,0.7,73517,0.8,155778,0.8,200951,2.00 135,1,2024-09-07 09:03:11:620,542138,542138,0,0,253251054320,2669632511,534230,6714,1194,380,391805,0 135,2,2024-09-07 09:03:10:687,392269,392269,0,0,18647208,0,3981 135,3,2024-09-07 09:03:11:007,1,309,8,0,299,2370,309,0 136,0,2024-09-07 09:03:11:670,73865,0.6,73947,0.7,147281,0.5,196643,2.25 136,1,2024-09-07 09:03:11:447,542425,542425,0,0,252395765648,2655693239,535502,6228,695,382,391685,0 136,2,2024-09-07 09:03:11:135,392491,392491,0,0,18558220,0,3506 136,3,2024-09-07 09:03:11:114,1,309,6,0,637,3613,309,0 137,0,2024-09-07 09:03:10:934,80619,0.7,78486,0.8,154080,0.7,209785,2.00 137,1,2024-09-07 09:03:10:603,542008,542008,0,0,253064968594,2661444972,532797,7351,1860,366,391708,0 137,2,2024-09-07 09:03:11:707,390523,390523,0,0,20603530,0,3185 137,3,2024-09-07 09:03:10:769,1,309,2,0,382,3880,309,0 138,0,2024-09-07 09:03:11:751,79002,1.4,79211,1.1,158835,2.0,211266,2.50 138,1,2024-09-07 09:03:11:688,542425,542425,0,0,254070061893,2672478424,533653,7194,1578,368,391954,0 138,2,2024-09-07 09:03:10:597,387014,387014,0,0,18553381,0,4988 138,3,2024-09-07 09:03:10:625,1,309,2,0,1160,4376,309,0 139,0,2024-09-07 09:03:11:554,77389,1.4,77756,1.1,155692,2.0,207311,2.25 139,1,2024-09-07 09:03:10:578,539897,539897,0,0,251314241005,2674416928,529434,7942,2521,381,391892,0 139,2,2024-09-07 09:03:10:692,389987,389987,0,0,19918474,0,3097 139,3,2024-09-07 09:03:11:700,1,309,15,0,257,3466,309,0 140,0,2024-09-07 09:03:11:598,72896,0.3,72383,0.5,145485,0.2,193506,1.75 140,1,2024-09-07 09:03:11:542,545660,545660,0,0,254444148871,2626639035,542623,2599,438,365,391606,0 140,2,2024-09-07 09:03:10:687,392730,392730,0,0,15468641,0,3388 140,3,2024-09-07 09:03:10:773,1,309,1,0,247,2587,309,0 141,0,2024-09-07 09:03:11:717,75922,0.3,78063,0.5,149248,0.3,203045,1.75 141,1,2024-09-07 09:03:10:866,545480,545480,0,0,254011278835,2638561980,541908,3113,459,379,391614,0 141,2,2024-09-07 09:03:11:686,390322,390322,0,0,15720131,0,3360 141,3,2024-09-07 09:03:11:044,1,309,2,0,391,3185,309,0 142,0,2024-09-07 09:03:11:316,81382,0.4,80638,0.5,160964,0.3,215064,1.75 142,1,2024-09-07 09:03:10:591,544519,544519,0,0,253680946298,2647706714,540785,3385,349,382,392102,0 142,2,2024-09-07 09:03:11:299,389320,389288,32,0,17221726,0,6028 142,3,2024-09-07 09:03:11:750,1,309,55,0,484,4027,309,0 143,0,2024-09-07 09:03:11:391,80417,0.5,80334,0.6,161030,0.4,214136,1.75 143,1,2024-09-07 09:03:10:589,544847,544847,0,0,253392842664,2631108308,541284,3417,146,367,391705,0 143,2,2024-09-07 09:03:10:771,391578,391578,0,0,16040049,0,2750 143,3,2024-09-07 09:03:11:156,1,309,11,0,303,3811,309,0 144,0,2024-09-07 09:03:11:525,71716,0.6,73792,0.8,150156,0.5,197066,2.00 144,1,2024-09-07 09:03:10:580,542091,542091,0,0,252771828667,2653541374,537250,3902,939,381,391649,0 144,2,2024-09-07 09:03:11:759,392474,392474,0,0,15114517,0,3473 144,3,2024-09-07 09:03:11:740,1,309,5,0,249,3333,309,0 145,0,2024-09-07 09:03:11:457,72388,0.7,72405,0.9,153649,0.6,198240,2.50 145,1,2024-09-07 09:03:10:559,541334,541334,0,0,252737701415,2662333473,534155,6039,1140,382,391759,0 145,2,2024-09-07 09:03:11:433,389694,389694,0,0,18799214,0,3903 145,3,2024-09-07 09:03:10:896,1,309,2,0,622,4936,309,0 146,0,2024-09-07 09:03:11:607,81165,0.6,80434,0.8,162159,0.6,215258,2.25 146,1,2024-09-07 09:03:11:586,542949,542949,0,0,252755146681,2662159357,533727,7317,1905,368,391770,0 146,2,2024-09-07 09:03:11:697,390294,390294,0,0,18628269,0,2730 146,3,2024-09-07 09:03:11:275,1,309,1,0,1520,6879,309,0 147,0,2024-09-07 09:03:11:746,81170,0.6,80912,0.8,161111,0.6,216101,2.25 147,1,2024-09-07 09:03:11:468,544593,544593,0,0,254152501627,2646384186,539457,4343,793,368,391791,0 147,2,2024-09-07 09:03:11:010,389840,389840,0,0,15421459,0,2789 147,3,2024-09-07 09:03:10:920,1,309,1,0,730,4678,309,0 0,0,2024-09-07 09:03:21:716,76976,0.6,77045,0.7,163389,0.6,211252,2.00 0,1,2024-09-07 09:03:20:809,545205,545205,0,0,254305662015,2662192153,541110,3853,242,370,391896,0 0,2,2024-09-07 09:03:21:067,392905,392905,0,0,16242411,0,4480 0,3,2024-09-07 09:03:20:974,1,310,1,0,319,3912,310,0 1,0,2024-09-07 09:03:21:758,80468,0.9,79941,1.0,160623,1.1,214904,2.00 1,1,2024-09-07 09:03:20:597,544543,544543,0,0,253306479210,2655669554,539258,4077,1208,370,391857,0 1,2,2024-09-07 09:03:20:651,387485,387485,0,0,15540394,0,3267 1,3,2024-09-07 09:03:21:302,1,310,169,0,262,3743,310,0 2,0,2024-09-07 09:03:21:566,77423,0.7,77782,0.8,154178,0.8,206399,2.00 2,1,2024-09-07 09:03:20:859,546083,546083,0,0,254164260628,2642639064,543189,2601,293,380,391745,0 2,2,2024-09-07 09:03:21:266,393900,393900,0,0,15569987,0,3594 2,3,2024-09-07 09:03:20:706,1,310,10,0,357,3139,310,0 3,0,2024-09-07 09:03:21:748,72917,0.4,73028,0.6,145941,0.4,194342,2.00 3,1,2024-09-07 09:03:21:647,545100,545100,0,0,254275573806,2651812635,540016,4461,623,380,391591,0 3,2,2024-09-07 09:03:21:143,393780,393757,23,0,16148504,0,5851 3,3,2024-09-07 09:03:21:756,1,310,13,0,103,1910,310,0 4,0,2024-09-07 09:03:21:778,75079,0.4,77343,0.5,157018,0.4,206247,1.75 4,1,2024-09-07 09:03:20:591,543668,543668,0,0,253196337456,2670389544,536376,5939,1353,371,391992,0 4,2,2024-09-07 09:03:21:020,390142,390142,0,0,18875901,0,4528 4,3,2024-09-07 09:03:21:041,1,310,7,0,448,4550,310,0 5,0,2024-09-07 09:03:21:399,79989,0.5,80275,0.6,160005,0.5,212803,1.75 5,1,2024-09-07 09:03:20:766,544196,544196,0,0,253404800769,2669835642,537044,5797,1355,368,392005,0 5,2,2024-09-07 09:03:21:829,387547,387547,0,0,17825503,0,2432 5,3,2024-09-07 09:03:21:732,1,310,14,0,457,4725,310,0 6,0,2024-09-07 09:03:20:918,80199,0.5,79650,0.7,159209,0.4,212363,2.00 6,1,2024-09-07 09:03:20:749,544218,544218,0,0,253675850666,2654920342,537931,5211,1076,379,391694,0 6,2,2024-09-07 09:03:21:124,393240,393240,0,0,16739441,0,4816 6,3,2024-09-07 09:03:21:274,1,310,1,0,340,3632,310,0 7,0,2024-09-07 09:03:21:537,72854,0.5,73072,0.6,145726,0.4,193440,2.00 7,1,2024-09-07 09:03:20:850,543546,543546,0,0,253911262955,2671406762,535603,6768,1175,382,391747,0 7,2,2024-09-07 09:03:20:780,393029,393029,0,0,17226305,0,4791 7,3,2024-09-07 09:03:20:852,1,310,8,0,398,3740,310,0 8,0,2024-09-07 09:03:21:352,75929,0.4,75710,0.5,151673,0.3,202480,1.75 8,1,2024-09-07 09:03:21:020,542961,542961,0,0,253548866318,2676221907,532953,7732,2276,366,392144,0 8,2,2024-09-07 09:03:20:797,387495,387495,0,0,20854107,0,3220 8,3,2024-09-07 09:03:20:588,1,310,1,0,538,5541,310,0 9,0,2024-09-07 09:03:21:175,81428,0.4,79152,0.6,165488,0.4,216481,1.75 9,1,2024-09-07 09:03:20:550,543729,543729,0,0,254233039284,2682533705,535445,6459,1825,369,392001,0 9,2,2024-09-07 09:03:21:086,390781,390781,0,0,18726570,0,3360 9,3,2024-09-07 09:03:21:756,1,310,3,0,496,4609,310,0 10,0,2024-09-07 09:03:21:617,81336,0.3,80744,0.5,162255,0.3,216211,1.75 10,1,2024-09-07 09:03:20:589,544086,544086,0,0,253944502457,2665147042,536299,6679,1108,381,391741,0 10,2,2024-09-07 09:03:20:778,391769,391769,0,0,19769467,0,4264 10,3,2024-09-07 09:03:20:878,1,310,0,0,296,2913,310,0 11,0,2024-09-07 09:03:21:008,73937,0.4,71738,0.6,150091,0.4,199016,1.75 11,1,2024-09-07 09:03:20:624,544512,544512,0,0,253970661060,2673215436,534656,7427,2429,383,391664,0 11,2,2024-09-07 09:03:21:130,392267,392267,0,0,18196638,0,4130 11,3,2024-09-07 09:03:21:299,1,310,9,0,843,5093,310,0 12,0,2024-09-07 09:03:21:006,75904,0.4,75866,0.5,151745,0.3,201712,1.75 12,1,2024-09-07 09:03:20:932,544979,544979,0,0,253482194584,2646616504,540291,4202,486,370,391870,0 12,2,2024-09-07 09:03:21:555,392787,392787,0,0,17701257,0,3469 12,3,2024-09-07 09:03:21:059,1,310,1,0,386,5146,310,0 13,0,2024-09-07 09:03:21:379,81027,0.4,80630,0.5,161382,0.4,215452,1.75 13,1,2024-09-07 09:03:21:562,544204,544204,0,0,253456919532,2665879957,539240,3660,1304,382,391740,0 13,2,2024-09-07 09:03:20:594,393446,393446,0,0,16445352,0,3287 13,3,2024-09-07 09:03:21:765,1,310,1,0,522,5244,310,0 14,0,2024-09-07 09:03:20:560,81649,0.4,82185,0.6,162540,0.4,217158,1.75 14,1,2024-09-07 09:03:21:567,548056,548056,0,0,255721082625,2649922767,544078,3698,280,364,391571,0 14,2,2024-09-07 09:03:20:771,389252,389252,0,0,16045474,0,2896 14,3,2024-09-07 09:03:21:122,1,310,2,0,1168,4038,310,0 15,0,2024-09-07 09:03:21:553,75987,0.4,76166,0.7,152056,0.4,202318,2.00 15,1,2024-09-07 09:03:21:612,546195,546195,0,0,254296871102,2644254462,543258,2758,179,381,391619,0 15,2,2024-09-07 09:03:20:998,394606,394606,0,0,14196489,0,3043 15,3,2024-09-07 09:03:21:406,1,310,8,0,1126,5797,310,0 16,0,2024-09-07 09:03:20:990,73878,0.6,74145,0.8,147680,0.6,196932,2.25 16,1,2024-09-07 09:03:20:563,545745,545745,0,0,254211717954,2657450851,541469,3916,360,370,391756,0 16,2,2024-09-07 09:03:21:434,393270,393270,0,0,17082156,0,4719 16,3,2024-09-07 09:03:21:146,1,310,5,0,317,4553,310,0 17,0,2024-09-07 09:03:21:780,80853,0.7,79056,0.9,154547,0.8,210276,2.00 17,1,2024-09-07 09:03:20:569,544803,544803,0,0,253649505959,2666115812,538694,4853,1256,368,391899,0 17,2,2024-09-07 09:03:21:669,394514,394514,0,0,16912490,0,2857 17,3,2024-09-07 09:03:20:574,1,310,12,0,268,4834,310,0 18,0,2024-09-07 09:03:20:943,78793,0.7,79229,0.8,157948,0.7,210745,2.25 18,1,2024-09-07 09:03:21:638,546180,546180,0,0,254768491446,2642811966,542941,2928,311,367,391649,0 18,2,2024-09-07 09:03:21:757,389558,389558,0,0,15511433,0,3541 18,3,2024-09-07 09:03:20:897,1,310,1,0,163,2784,310,0 19,0,2024-09-07 09:03:21:541,77974,0.6,78580,0.8,155815,0.6,207277,2.25 19,1,2024-09-07 09:03:20:566,545999,545999,0,0,254634124522,2646056274,540888,4273,838,367,391777,0 19,2,2024-09-07 09:03:21:774,395186,395186,0,0,14230110,0,3988 19,3,2024-09-07 09:03:21:130,1,310,10,0,524,2385,310,0 20,0,2024-09-07 09:03:21:352,72500,0.6,72512,0.7,145116,0.6,193371,2.25 20,1,2024-09-07 09:03:20:566,544252,544252,0,0,253953206218,2656749107,539936,3912,404,369,391886,0 20,2,2024-09-07 09:03:20:928,393533,393533,0,0,17236216,0,3721 20,3,2024-09-07 09:03:20:589,1,310,1,0,414,5094,310,0 21,0,2024-09-07 09:03:21:142,76448,0.6,76487,0.7,152802,0.5,203246,2.00 21,1,2024-09-07 09:03:21:556,543214,543214,0,0,253236489948,2672736148,535371,5967,1876,368,392016,0 21,2,2024-09-07 09:03:21:067,389884,389884,0,0,19772198,0,3747 21,3,2024-09-07 09:03:21:403,1,310,0,0,103,3187,310,0 22,0,2024-09-07 09:03:21:725,80391,0.6,80677,0.8,161325,0.6,213371,2.25 22,1,2024-09-07 09:03:21:023,544449,544449,0,0,252933016642,2664025961,536017,6613,1819,382,391667,0 22,2,2024-09-07 09:03:20:765,389226,389226,0,0,16580273,0,3134 22,3,2024-09-07 09:03:21:066,1,310,1,0,228,2756,310,0 23,0,2024-09-07 09:03:21:368,79953,0.6,79834,0.7,160026,0.5,213024,2.25 23,1,2024-09-07 09:03:21:006,544278,544278,0,0,253780196256,2672170508,533919,7201,3158,365,391690,0 23,2,2024-09-07 09:03:21:092,393357,393357,0,0,16171486,0,3010 23,3,2024-09-07 09:03:21:756,1,310,8,0,645,3725,310,0 24,0,2024-09-07 09:03:20:824,74788,0.4,74587,0.6,149834,0.4,198818,1.75 24,1,2024-09-07 09:03:20:589,543800,543800,0,0,253078612496,2658510625,537267,5009,1524,368,391987,0 24,2,2024-09-07 09:03:21:069,391550,391550,0,0,19669679,0,3607 24,3,2024-09-07 09:03:21:686,1,310,6,0,468,4354,310,0 25,0,2024-09-07 09:03:21:417,77377,0.4,75495,0.6,147946,0.4,202057,2.00 25,1,2024-09-07 09:03:20:565,544780,544780,0,0,254169430155,2668870633,537740,5907,1133,371,391928,0 25,2,2024-09-07 09:03:21:616,390726,390726,0,0,20018025,0,3978 25,3,2024-09-07 09:03:21:000,1,310,2,0,255,3235,310,0 26,0,2024-09-07 09:03:21:723,80968,0.5,79140,0.6,166064,0.4,215976,2.00 26,1,2024-09-07 09:03:21:540,545217,545217,0,0,253411956469,2661925342,536389,7292,1536,380,391748,0 26,2,2024-09-07 09:03:20:863,392010,392010,0,0,19941898,0,2809 26,3,2024-09-07 09:03:21:712,1,310,1,0,796,4315,310,0 27,0,2024-09-07 09:03:21:733,81696,0.5,81706,0.6,161878,0.4,216636,2.00 27,1,2024-09-07 09:03:21:681,546672,546672,0,0,255027806114,2658474159,541598,4309,765,381,391626,0 27,2,2024-09-07 09:03:20:867,388103,388038,65,0,19435693,0,5699 27,3,2024-09-07 09:03:21:017,1,310,3,0,564,3288,310,0 28,0,2024-09-07 09:03:21:389,75270,0.4,75009,0.6,150309,0.4,200501,2.00 28,1,2024-09-07 09:03:20:797,546028,546028,0,0,254760239772,2656626155,541936,3416,676,383,391646,0 28,2,2024-09-07 09:03:21:766,392776,392776,0,0,16147083,0,2915 28,3,2024-09-07 09:03:21:776,1,310,3,0,502,3146,310,0 29,0,2024-09-07 09:03:21:358,76748,0.4,74825,0.6,146520,0.3,200425,1.75 29,1,2024-09-07 09:03:21:561,547260,547260,0,0,254699422886,2638362845,543505,3172,583,369,391753,0 29,2,2024-09-07 09:03:20:863,392270,392270,0,0,15772935,0,4233 29,3,2024-09-07 09:03:20:963,1,310,2,0,374,3333,310,0 30,0,2024-09-07 09:03:21:458,79100,0.4,76880,0.7,160922,0.4,210757,2.00 30,1,2024-09-07 09:03:20:578,547048,547048,0,0,255051937572,2649937328,542960,3482,606,382,391672,0 30,2,2024-09-07 09:03:21:289,392657,392657,0,0,15338054,0,3161 30,3,2024-09-07 09:03:20:581,1,310,4,0,519,2995,310,0 31,0,2024-09-07 09:03:21:764,80061,0.4,80329,0.6,160817,0.4,214513,2.00 31,1,2024-09-07 09:03:20:565,549100,549100,0,0,255626206069,2622634558,547012,1659,429,356,391712,0 31,2,2024-09-07 09:03:21:275,388335,388335,0,0,16731869,0,3525 31,3,2024-09-07 09:03:21:709,1,310,57,0,220,2533,310,0 32,0,2024-09-07 09:03:21:518,77438,0.3,77734,0.5,155441,0.3,206784,1.75 32,1,2024-09-07 09:03:20:820,546459,546459,0,0,254688158751,2646733799,543397,2617,445,381,391646,0 32,2,2024-09-07 09:03:20:936,393892,393892,0,0,14591476,0,3155 32,3,2024-09-07 09:03:21:027,1,310,23,0,227,2376,310,0 33,0,2024-09-07 09:03:21:498,73315,0.3,72841,0.5,146275,0.2,195156,1.75 33,1,2024-09-07 09:03:20:583,546697,546697,0,0,255358122614,2646675522,542320,3547,830,369,391730,0 33,2,2024-09-07 09:03:20:765,394421,394386,35,0,16732366,0,7012 33,3,2024-09-07 09:03:20:897,1,310,59,0,329,3422,310,0 34,0,2024-09-07 09:03:20:930,77575,0.3,79836,0.5,152732,0.2,205568,1.75 34,1,2024-09-07 09:03:21:047,548187,548187,0,0,255601334848,2629144448,546798,1382,7,367,391562,0 34,2,2024-09-07 09:03:20:767,392398,392398,0,0,15625678,0,3577 34,3,2024-09-07 09:03:21:687,1,310,1,0,299,2381,310,0 35,0,2024-09-07 09:03:20:860,79244,0.4,79666,0.5,160330,0.3,213366,1.75 35,1,2024-09-07 09:03:21:067,546505,546505,0,0,255521667926,2650497479,542904,2821,780,382,391589,0 35,2,2024-09-07 09:03:21:587,389129,389129,0,0,15844492,0,2653 35,3,2024-09-07 09:03:20:907,1,310,8,0,418,4104,310,0 36,0,2024-09-07 09:03:21:552,79989,0.5,80053,0.7,160129,0.4,212058,2.25 36,1,2024-09-07 09:03:20:597,545885,545885,0,0,253709381320,2655676358,538660,5567,1658,366,391759,0 36,2,2024-09-07 09:03:21:757,393296,393296,0,0,18099583,0,3875 36,3,2024-09-07 09:03:20:878,1,310,9,0,416,5195,310,0 37,0,2024-09-07 09:03:21:388,72698,0.5,72664,0.7,145502,0.5,194289,2.00 37,1,2024-09-07 09:03:20:583,544437,544430,0,7,253979657875,2659080696,537665,4680,2085,365,391570,0 37,2,2024-09-07 09:03:21:142,391981,391966,15,0,17739093,0,5815 37,3,2024-09-07 09:03:21:766,1,310,3,0,888,5442,310,0 38,0,2024-09-07 09:03:21:441,75275,0.5,73163,0.7,152998,0.4,200456,2.00 38,1,2024-09-07 09:03:21:605,546633,546633,0,0,254314819110,2651078290,540983,4973,677,368,391821,0 38,2,2024-09-07 09:03:20:764,390554,390507,47,0,18555432,0,6710 38,3,2024-09-07 09:03:20:998,1,310,3,0,689,4520,310,0 39,0,2024-09-07 09:03:21:765,82706,0.7,81040,0.8,157662,0.7,215235,2.00 39,1,2024-09-07 09:03:20:719,545183,545183,0,0,254254929677,2665044622,536845,6878,1460,365,391594,0 39,2,2024-09-07 09:03:21:420,391458,391458,0,0,16666495,0,2689 39,3,2024-09-07 09:03:20:713,1,310,8,0,324,3944,310,0 40,0,2024-09-07 09:03:21:499,80371,0.8,81081,1.0,161957,0.8,215650,2.75 40,1,2024-09-07 09:03:20:587,545663,545663,0,0,253628003173,2660795745,537160,6994,1509,368,391668,0 40,2,2024-09-07 09:03:21:303,391042,391041,1,0,19747250,0,5137 40,3,2024-09-07 09:03:21:142,1,310,2,0,1028,4865,310,0 41,0,2024-09-07 09:03:21:043,73770,1.6,75618,1.3,144279,2.5,196704,3.25 41,1,2024-09-07 09:03:20:772,544728,544728,0,0,254421472397,2665685048,537893,6145,690,370,391742,0 41,2,2024-09-07 09:03:20:771,391098,391098,0,0,18747572,0,3356 41,3,2024-09-07 09:03:21:683,1,310,11,0,366,3293,310,0 42,0,2024-09-07 09:03:21:492,74693,1.0,74690,1.1,149720,1.1,197651,2.75 42,1,2024-09-07 09:03:21:444,543973,543973,0,0,252912753892,2660851978,535275,7134,1564,380,391675,0 42,2,2024-09-07 09:03:21:133,392230,392230,0,0,19019035,0,3790 42,3,2024-09-07 09:03:21:009,1,310,1,0,446,3030,310,0 43,0,2024-09-07 09:03:20:919,79661,0.8,77872,1.0,162449,0.9,213347,2.50 43,1,2024-09-07 09:03:20:577,545497,545497,0,0,254680803168,2665008922,537548,6512,1437,366,391696,0 43,2,2024-09-07 09:03:21:736,392562,392562,0,0,18924316,0,3812 43,3,2024-09-07 09:03:21:749,1,310,8,0,467,3949,310,0 44,0,2024-09-07 09:03:20:897,81256,0.5,81702,0.6,162971,0.4,217175,1.75 44,1,2024-09-07 09:03:20:566,547020,547020,0,0,254557260686,2633999721,542635,3440,945,356,391809,0 44,2,2024-09-07 09:03:21:267,388504,388504,0,0,15339341,0,4344 44,3,2024-09-07 09:03:21:093,1,310,1,0,817,4292,310,0 45,0,2024-09-07 09:03:21:764,75090,0.5,73283,0.7,153764,0.5,202180,2.00 45,1,2024-09-07 09:03:21:014,546199,546199,0,0,254963449829,2646692658,543243,2695,261,382,391917,0 45,2,2024-09-07 09:03:21:271,393587,393587,0,0,15606077,0,3596 45,3,2024-09-07 09:03:20:960,1,310,1,0,271,3082,310,0 46,0,2024-09-07 09:03:20:950,73282,0.5,73374,0.7,146871,0.4,194898,2.00 46,1,2024-09-07 09:03:20:575,547624,547624,0,0,255116075477,2634414187,544540,2728,356,366,391539,0 46,2,2024-09-07 09:03:20:594,394151,394151,0,0,15231014,0,2920 46,3,2024-09-07 09:03:21:131,1,310,2,0,908,4438,310,0 47,0,2024-09-07 09:03:21:108,78994,0.4,79063,0.6,158347,0.4,209884,1.75 47,1,2024-09-07 09:03:20:567,547340,547340,0,0,254869362647,2633952899,544254,2727,359,366,391641,0 47,2,2024-09-07 09:03:20:908,394240,394240,0,0,15615588,0,4477 47,3,2024-09-07 09:03:21:121,1,310,13,0,529,3456,310,0 48,0,2024-09-07 09:03:21:510,80496,0.3,79978,0.4,159485,0.2,212858,1.50 48,1,2024-09-07 09:03:21:022,546498,546498,0,0,254591887097,2646415731,542961,3236,301,384,391710,0 48,2,2024-09-07 09:03:20:705,388141,388141,0,0,14344740,0,3031 48,3,2024-09-07 09:03:20:763,1,310,1,0,339,2759,310,0 49,0,2024-09-07 09:03:21:759,80599,0.3,79128,0.5,153330,0.3,209628,1.75 49,1,2024-09-07 09:03:21:045,545793,545793,0,0,254889899739,2656992887,541494,3115,1184,382,391809,0 49,2,2024-09-07 09:03:21:806,394955,394955,0,0,15413403,0,4426 49,3,2024-09-07 09:03:21:425,1,310,1,0,408,3395,310,0 50,0,2024-09-07 09:03:21:510,72657,0.3,71974,0.5,144786,0.2,193006,1.75 50,1,2024-09-07 09:03:21:010,548050,548050,0,0,255250220355,2642438868,544237,3439,374,368,391540,0 50,2,2024-09-07 09:03:21:067,393867,393867,0,0,14429121,0,2263 50,3,2024-09-07 09:03:21:291,1,310,2,0,335,2830,310,0 51,0,2024-09-07 09:03:21:693,78372,0.3,76566,0.5,149564,0.2,204108,1.75 51,1,2024-09-07 09:03:21:683,547826,547826,0,0,255790092014,2641852865,544573,2278,975,365,391706,0 51,2,2024-09-07 09:03:21:316,391618,391618,0,0,14138813,0,3337 51,3,2024-09-07 09:03:21:027,1,310,7,0,678,2422,310,0 52,0,2024-09-07 09:03:21:427,80936,0.5,80842,0.7,161639,0.5,214744,2.00 52,1,2024-09-07 09:03:20:602,545123,545123,0,0,253315570814,2658990831,536597,7216,1310,368,391722,0 52,2,2024-09-07 09:03:21:761,387011,386973,38,0,18780008,0,6742 52,3,2024-09-07 09:03:20:685,1,310,20,0,1782,5093,310,0 53,0,2024-09-07 09:03:21:757,79789,0.7,77618,0.8,162141,0.7,212581,2.25 53,1,2024-09-07 09:03:20:772,543879,543879,0,0,253751035379,2669183254,534465,6834,2580,367,391702,0 53,2,2024-09-07 09:03:21:298,393511,393511,0,0,15936274,0,2727 53,3,2024-09-07 09:03:20:699,1,310,4,0,308,3126,310,0 54,0,2024-09-07 09:03:21:637,73174,0.6,73635,0.8,146453,0.4,195995,2.50 54,1,2024-09-07 09:03:20:580,545714,545714,0,0,254682937051,2650955414,540123,4989,602,367,391659,0 54,2,2024-09-07 09:03:20:865,393082,393076,6,0,18457289,0,5382 54,3,2024-09-07 09:03:20:770,1,310,8,0,676,5011,310,0 55,0,2024-09-07 09:03:21:766,72770,0.6,75472,0.8,151798,0.6,198340,2.50 55,1,2024-09-07 09:03:20:772,545156,545156,0,0,254034786089,2647818520,538694,5554,908,365,391731,0 55,2,2024-09-07 09:03:20:737,390665,390665,0,0,18018610,0,3563 55,3,2024-09-07 09:03:20:678,1,310,132,0,304,3521,310,0 56,0,2024-09-07 09:03:21:563,82823,1.3,78105,1.2,161179,1.8,215573,2.75 56,1,2024-09-07 09:03:20:573,542936,542936,0,0,253377078365,2679982302,534431,6880,1625,381,391867,0 56,2,2024-09-07 09:03:21:309,391574,391574,0,0,18846342,0,3567 56,3,2024-09-07 09:03:21:060,1,310,147,0,705,4336,310,0 57,0,2024-09-07 09:03:20:938,80325,1.5,80062,1.3,160578,2.2,215205,3.00 57,1,2024-09-07 09:03:20:993,544603,544603,0,0,253390985967,2658995112,538083,5804,716,366,392032,0 57,2,2024-09-07 09:03:21:417,390310,390310,0,0,20149291,0,3317 57,3,2024-09-07 09:03:21:742,1,310,6,0,359,3725,310,0 58,0,2024-09-07 09:03:20:556,73746,0.9,71765,1.0,150349,1.2,197134,2.50 58,1,2024-09-07 09:03:20:575,545622,545619,0,3,254112761926,2660757594,537829,6739,1051,367,391603,3 58,2,2024-09-07 09:03:21:071,392243,392243,0,0,18608827,0,2549 58,3,2024-09-07 09:03:21:068,1,310,1,0,1043,3341,310,0 59,0,2024-09-07 09:03:21:756,74314,0.7,73931,1.0,147767,0.8,196632,2.75 59,1,2024-09-07 09:03:20:815,545195,545195,0,0,254105665287,2663676574,537693,6037,1465,369,391578,0 59,2,2024-09-07 09:03:20:583,393750,393750,0,0,18024971,0,2867 59,3,2024-09-07 09:03:21:742,1,310,15,0,1015,4176,310,0 60,0,2024-09-07 09:03:21:766,79415,0.5,79486,0.6,159588,0.4,211449,1.75 60,1,2024-09-07 09:03:20:779,547074,547074,0,0,255161534429,2647197944,544128,2419,527,370,392031,0 60,2,2024-09-07 09:03:21:146,392554,392554,0,0,17914270,0,3811 60,3,2024-09-07 09:03:21:263,1,310,1,0,175,3179,310,0 61,0,2024-09-07 09:03:21:534,80231,0.7,80685,0.8,160368,0.7,214261,2.00 61,1,2024-09-07 09:03:20:773,544981,544981,0,0,254662680385,2668087770,539053,5014,914,382,391880,0 61,2,2024-09-07 09:03:21:137,388866,388866,0,0,16116319,0,3598 61,3,2024-09-07 09:03:21:696,1,310,141,0,479,4868,310,0 62,0,2024-09-07 09:03:21:710,77923,0.6,79628,0.7,151738,0.6,206464,2.00 62,1,2024-09-07 09:03:21:117,548322,548316,0,6,255591734005,2638152029,545451,2703,162,365,391715,6 62,2,2024-09-07 09:03:21:644,392317,392316,1,0,17493975,0,5555 62,3,2024-09-07 09:03:21:152,1,310,20,0,465,2505,310,0 63,0,2024-09-07 09:03:21:454,73079,0.4,73169,0.6,146438,0.4,194952,1.75 63,1,2024-09-07 09:03:20:805,546667,546661,0,6,255185703014,2648536808,543548,2877,236,381,391800,6 63,2,2024-09-07 09:03:20:764,393884,393884,0,0,15703399,0,4369 63,3,2024-09-07 09:03:21:732,1,310,8,0,667,3517,310,0 64,0,2024-09-07 09:03:21:524,76966,0.5,76860,0.7,153694,0.5,204752,2.00 64,1,2024-09-07 09:03:20:813,545856,545856,0,0,254559116983,2657452595,540224,3976,1656,370,391783,0 64,2,2024-09-07 09:03:21:161,395747,395728,19,0,15671830,0,6121 64,3,2024-09-07 09:03:21:146,1,310,3,0,265,3010,310,0 65,0,2024-09-07 09:03:21:681,79245,0.7,79473,0.8,158729,0.8,211281,2.25 65,1,2024-09-07 09:03:20:866,544704,544704,0,0,253795261179,2654973558,540697,3558,449,382,391901,0 65,2,2024-09-07 09:03:21:694,389838,389838,0,0,17232427,0,3367 65,3,2024-09-07 09:03:21:686,1,310,7,0,163,2845,310,0 66,0,2024-09-07 09:03:21:767,79494,0.5,79360,0.7,159082,0.5,210870,2.00 66,1,2024-09-07 09:03:21:298,546069,546069,0,0,255396417221,2657048520,542567,3183,319,380,391653,0 66,2,2024-09-07 09:03:21:134,395553,395553,0,0,15775279,0,4956 66,3,2024-09-07 09:03:21:079,1,310,1,0,291,3099,310,0 67,0,2024-09-07 09:03:21:430,73551,0.6,72841,0.7,146404,0.5,194481,2.00 67,1,2024-09-07 09:03:20:775,546353,546352,0,1,253816920179,2646559588,542226,3375,751,380,391787,1 67,2,2024-09-07 09:03:20:590,394385,394385,0,0,15010881,0,2889 67,3,2024-09-07 09:03:21:756,1,310,8,0,338,2751,310,0 68,0,2024-09-07 09:03:20:567,76160,0.6,75654,0.8,151155,0.6,202133,2.00 68,1,2024-09-07 09:03:20:574,545049,545049,0,0,253563342554,2659651073,540282,3566,1201,381,391953,0 68,2,2024-09-07 09:03:21:047,389556,389491,65,0,20271923,0,6698 68,3,2024-09-07 09:03:20:734,1,310,51,0,417,3415,310,0 69,0,2024-09-07 09:03:21:741,80705,1.0,81109,0.9,161652,1.2,214361,2.25 69,1,2024-09-07 09:03:21:018,543049,543049,0,0,252917981605,2675099563,535086,5853,2110,384,391994,0 69,2,2024-09-07 09:03:21:736,390880,390880,0,0,20087737,0,3722 69,3,2024-09-07 09:03:20:766,1,310,10,0,698,4485,310,0 70,0,2024-09-07 09:03:21:533,80491,0.8,80413,1.1,161774,0.7,214437,2.50 70,1,2024-09-07 09:03:20:808,546401,546401,0,0,254795301860,2647689196,541804,4061,536,366,391725,0 70,2,2024-09-07 09:03:21:325,390952,390952,0,0,18271465,0,4044 70,3,2024-09-07 09:03:20:749,1,310,0,0,854,3679,310,0 71,0,2024-09-07 09:03:21:371,73822,0.9,73529,1.0,147629,1.1,197651,2.75 71,1,2024-09-07 09:03:21:600,545053,545053,0,0,254770364193,2661990696,538543,5854,656,368,391738,0 71,2,2024-09-07 09:03:21:078,392527,392527,0,0,18021726,0,4042 71,3,2024-09-07 09:03:21:755,1,310,11,0,644,4442,310,0 72,0,2024-09-07 09:03:21:048,77895,0.7,76064,0.8,148519,0.7,202015,2.25 72,1,2024-09-07 09:03:21:024,544484,544484,0,0,253988245939,2663390292,536757,6042,1685,369,391819,0 72,2,2024-09-07 09:03:21:760,391130,391130,0,0,20649487,0,3983 72,3,2024-09-07 09:03:21:755,1,310,8,0,564,5179,310,0 73,0,2024-09-07 09:03:21:108,78510,0.4,80317,0.6,164622,0.4,214277,2.00 73,1,2024-09-07 09:03:20:774,545796,545796,0,0,254479992377,2648451081,541610,3857,329,367,391858,0 73,2,2024-09-07 09:03:21:742,392528,392528,0,0,19845367,0,3701 73,3,2024-09-07 09:03:20:970,1,310,2,0,274,4323,310,0 74,0,2024-09-07 09:03:21:338,81716,0.5,83736,0.7,159940,0.5,217015,2.25 74,1,2024-09-07 09:03:20:871,545321,545321,0,0,254119463164,2657048150,539372,4691,1258,381,391681,0 74,2,2024-09-07 09:03:21:006,388730,388730,0,0,18568623,0,4253 74,3,2024-09-07 09:03:21:444,1,310,3,0,522,4482,310,0 75,0,2024-09-07 09:03:21:767,76205,0.5,75877,0.7,152004,0.4,203165,2.25 75,1,2024-09-07 09:03:21:591,544803,544803,0,0,253880334200,2657438077,538459,5520,824,380,391739,0 75,2,2024-09-07 09:03:21:355,392440,392440,0,0,18803358,0,4766 75,3,2024-09-07 09:03:21:070,1,310,0,0,702,4338,310,0 76,0,2024-09-07 09:03:20:617,73387,0.6,72842,0.8,146353,0.6,195832,2.25 76,1,2024-09-07 09:03:20:815,545585,545585,0,0,253461947813,2645882900,541925,3106,554,382,391692,0 76,2,2024-09-07 09:03:21:067,394841,394840,1,0,17366527,0,5144 76,3,2024-09-07 09:03:21:149,1,310,18,0,175,3117,310,0 77,0,2024-09-07 09:03:21:705,78686,0.6,78790,0.8,157574,0.6,209843,2.00 77,1,2024-09-07 09:03:20:837,545637,545637,0,0,254175426430,2655466376,541409,3963,265,381,391869,0 77,2,2024-09-07 09:03:21:285,392983,392983,0,0,17165724,0,3890 77,3,2024-09-07 09:03:21:098,1,310,17,0,401,3598,310,0 78,0,2024-09-07 09:03:21:720,80054,0.5,79643,0.7,160008,0.4,212173,2.00 78,1,2024-09-07 09:03:20:611,546090,546090,0,0,254546282349,2651578333,540767,4313,1010,367,391670,0 78,2,2024-09-07 09:03:21:419,389036,389036,0,0,14837753,0,3855 78,3,2024-09-07 09:03:21:133,1,310,0,0,181,3009,310,0 79,0,2024-09-07 09:03:21:346,76023,0.4,78003,0.6,159401,0.4,207129,2.25 79,1,2024-09-07 09:03:20:579,547651,547651,0,0,254987655401,2639545476,543926,3280,445,369,391682,0 79,2,2024-09-07 09:03:21:083,394903,394903,0,0,15324627,0,3212 79,3,2024-09-07 09:03:20:750,1,310,8,0,418,4210,310,0 80,0,2024-09-07 09:03:21:110,72596,0.6,74621,0.7,142913,0.5,192783,2.00 80,1,2024-09-07 09:03:21:621,545878,545878,0,0,254547139548,2649612008,542570,3131,177,368,391791,0 80,2,2024-09-07 09:03:21:101,395022,395022,0,0,15360257,0,4433 80,3,2024-09-07 09:03:20:587,1,310,9,0,190,3907,310,0 81,0,2024-09-07 09:03:21:583,76192,0.6,78093,0.7,149109,0.5,202752,2.00 81,1,2024-09-07 09:03:21:659,545049,545049,0,0,253575688772,2652947766,540472,4097,480,382,391879,0 81,2,2024-09-07 09:03:21:134,391150,391087,63,0,17238297,0,5932 81,3,2024-09-07 09:03:21:124,1,310,1,0,374,3766,310,0 82,0,2024-09-07 09:03:21:538,80300,0.5,80810,0.7,161745,0.5,214284,2.00 82,1,2024-09-07 09:03:20:590,546627,546623,0,4,254713647606,2654433689,542772,3055,796,381,391768,4 82,2,2024-09-07 09:03:21:692,391447,391447,0,0,14906331,0,3986 82,3,2024-09-07 09:03:21:756,1,310,0,0,363,3360,310,0 83,0,2024-09-07 09:03:21:537,80641,0.5,80394,0.7,160020,0.5,212887,2.00 83,1,2024-09-07 09:03:20:552,545319,545319,0,0,253764038742,2648374079,541233,3765,321,382,391709,0 83,2,2024-09-07 09:03:20:771,392894,392894,0,0,15280367,0,3393 83,3,2024-09-07 09:03:20:749,1,310,8,0,1260,4753,310,0 84,0,2024-09-07 09:03:21:791,73759,0.7,73711,0.9,147543,0.6,197410,2.25 84,1,2024-09-07 09:03:21:039,545052,545052,0,0,253878668008,2654291764,539869,4597,586,367,391967,0 84,2,2024-09-07 09:03:20:591,392659,392659,0,0,18977688,0,4757 84,3,2024-09-07 09:03:21:141,1,310,1,0,908,4865,310,0 85,0,2024-09-07 09:03:21:010,72605,0.8,72668,0.9,154048,0.9,199578,2.50 85,1,2024-09-07 09:03:20:590,543817,543817,0,0,253381775421,2679216938,535323,7126,1368,381,392006,0 85,2,2024-09-07 09:03:20:878,392210,392210,0,0,19014132,0,3656 85,3,2024-09-07 09:03:20:685,1,310,5,0,789,4292,310,0 86,0,2024-09-07 09:03:20:960,81188,0.7,83487,0.8,159857,0.8,215779,2.25 86,1,2024-09-07 09:03:20:862,545200,545200,0,0,253910495522,2662590527,538337,5798,1065,366,391961,0 86,2,2024-09-07 09:03:20:921,390801,390800,1,0,19984112,0,5004 86,3,2024-09-07 09:03:20:606,1,310,1,0,308,4429,310,0 87,0,2024-09-07 09:03:21:328,80910,0.9,80968,0.9,162429,1.3,216947,2.25 87,1,2024-09-07 09:03:20:578,544161,544161,0,0,253499707890,2655039971,538173,5379,609,366,392076,0 87,2,2024-09-07 09:03:21:072,389727,389727,0,0,17667594,0,4045 87,3,2024-09-07 09:03:21:794,1,310,12,0,473,4585,310,0 88,0,2024-09-07 09:03:21:444,75067,0.4,75543,0.6,150669,0.4,200113,1.75 88,1,2024-09-07 09:03:20:618,543610,543610,0,0,253928287910,2665922275,536087,5870,1653,365,392084,0 88,2,2024-09-07 09:03:20:694,393012,393012,0,0,19684164,0,3583 88,3,2024-09-07 09:03:21:273,1,310,0,0,435,3557,310,0 89,0,2024-09-07 09:03:21:855,76864,0.5,74351,0.7,147393,0.4,200363,1.75 89,1,2024-09-07 09:03:20:553,543847,543847,0,0,253715869791,2673046086,536475,6104,1268,382,391866,0 89,2,2024-09-07 09:03:21:134,391959,391959,0,0,19101552,0,2910 89,3,2024-09-07 09:03:21:791,1,310,1,0,385,5898,310,0 90,0,2024-09-07 09:03:21:662,77103,0.4,79144,0.6,161555,0.4,210721,1.75 90,1,2024-09-07 09:03:20:608,545046,545046,0,0,254090421671,2666115548,539312,5341,393,380,391825,0 90,2,2024-09-07 09:03:21:438,391411,391411,0,0,20470923,0,3060 90,3,2024-09-07 09:03:20:934,1,310,1,0,246,3548,310,0 91,0,2024-09-07 09:03:20:966,80619,0.5,78374,0.6,163247,0.5,214823,1.75 91,1,2024-09-07 09:03:20:561,543656,543656,0,0,254275222700,2678324834,536028,6587,1041,381,392047,0 91,2,2024-09-07 09:03:21:345,388524,388524,0,0,18098026,0,2896 91,3,2024-09-07 09:03:20:615,1,310,19,0,216,3007,310,0 92,0,2024-09-07 09:03:21:449,78079,0.5,80097,0.6,152940,0.4,206890,1.75 92,1,2024-09-07 09:03:20:580,545653,545653,0,0,253634617898,2648110586,542127,3045,481,382,392136,0 92,2,2024-09-07 09:03:21:361,395014,395014,0,0,15648439,0,2801 92,3,2024-09-07 09:03:21:008,1,310,1,0,167,2719,310,0 93,0,2024-09-07 09:03:20:989,73500,0.4,75364,0.6,143961,0.3,195394,1.75 93,1,2024-09-07 09:03:20:813,545416,545416,0,0,254271848153,2654572012,540185,4390,841,366,391776,0 93,2,2024-09-07 09:03:20:933,393570,393570,0,0,18196959,0,4311 93,3,2024-09-07 09:03:21:407,1,310,2,0,190,3011,310,0 94,0,2024-09-07 09:03:21:677,77006,0.4,77783,0.5,155117,0.3,206284,1.75 94,1,2024-09-07 09:03:20:563,545355,545355,0,0,254412720361,2657667273,541477,3691,187,381,391850,0 94,2,2024-09-07 09:03:20:764,392088,392088,0,0,15848025,0,2443 94,3,2024-09-07 09:03:21:701,1,310,6,0,264,3991,310,0 95,0,2024-09-07 09:03:21:357,79787,0.4,79729,0.6,160280,0.3,213110,1.75 95,1,2024-09-07 09:03:20:853,546701,546701,0,0,255593854549,2658331979,542396,3926,379,367,391713,0 95,2,2024-09-07 09:03:21:023,389202,389202,0,0,15952845,0,3308 95,3,2024-09-07 09:03:21:713,1,310,13,0,718,5065,310,0 96,0,2024-09-07 09:03:21:025,80162,0.4,80260,0.5,160017,0.3,211773,1.75 96,1,2024-09-07 09:03:21:614,545152,545152,0,0,254118864088,2654262991,540902,3466,784,384,391955,0 96,2,2024-09-07 09:03:21:271,393795,393795,0,0,16247183,0,4042 96,3,2024-09-07 09:03:21:140,1,310,8,0,411,3369,310,0 97,0,2024-09-07 09:03:21:311,73342,0.3,72999,0.5,146585,0.3,194326,1.75 97,1,2024-09-07 09:03:20:771,547377,547377,0,0,254709457776,2643384058,543564,3143,670,367,392140,0 97,2,2024-09-07 09:03:20:608,394259,394259,0,0,15895347,0,3036 97,3,2024-09-07 09:03:20:574,1,310,0,0,214,3760,310,0 98,0,2024-09-07 09:03:21:788,75909,0.3,75872,0.5,152235,0.2,202358,1.50 98,1,2024-09-07 09:03:20:580,546559,546559,0,0,254157306017,2645141783,544155,2301,103,382,391997,0 98,2,2024-09-07 09:03:20:786,391622,391622,0,0,15796481,0,3080 98,3,2024-09-07 09:03:20:705,1,310,2,0,840,5173,310,0 99,0,2024-09-07 09:03:21:606,81177,0.4,81713,0.5,162689,0.3,216633,1.75 99,1,2024-09-07 09:03:21:731,546159,546159,0,0,254687171215,2654654865,542070,3339,750,381,392069,0 99,2,2024-09-07 09:03:21:464,393026,393026,0,0,17593189,0,3424 99,3,2024-09-07 09:03:20:580,1,310,19,0,187,2670,310,0 100,0,2024-09-07 09:03:21:468,80857,0.8,80820,1.0,161861,0.9,216192,2.50 100,1,2024-09-07 09:03:20:555,542716,542716,0,0,253679299685,2684996864,534275,6949,1492,381,391989,0 100,2,2024-09-07 09:03:21:829,390458,390447,11,0,18598135,0,5417 100,3,2024-09-07 09:03:21:732,1,310,6,0,559,5502,310,0 101,0,2024-09-07 09:03:21:697,75927,1.2,73901,1.1,145118,0.9,199284,2.25 101,1,2024-09-07 09:03:20:550,543227,543227,0,0,253091391831,2670572378,534182,7026,2019,368,391771,0 101,2,2024-09-07 09:03:21:783,390747,390747,0,0,21381752,0,4644 101,3,2024-09-07 09:03:20:950,1,310,41,0,448,3755,310,0 102,0,2024-09-07 09:03:20:991,73455,0.8,76083,0.8,153197,0.9,201021,2.25 102,1,2024-09-07 09:03:21:142,543746,543746,0,0,253725596228,2669455558,536471,6245,1030,369,391891,0 102,2,2024-09-07 09:03:21:800,393150,393096,54,0,18153958,0,6768 102,3,2024-09-07 09:03:21:613,1,310,66,0,410,3463,310,0 103,0,2024-09-07 09:03:21:657,82894,0.6,82934,0.7,156370,0.6,215938,2.00 103,1,2024-09-07 09:03:21:655,543021,543021,0,0,252979319601,2673473854,534288,6738,1995,381,391829,0 103,2,2024-09-07 09:03:20:599,391381,391381,0,0,18755064,0,3173 103,3,2024-09-07 09:03:20:758,1,310,13,0,916,4055,310,0 104,0,2024-09-07 09:03:21:005,80306,0.8,80757,1.0,160153,0.8,216153,2.50 104,1,2024-09-07 09:03:21:607,544488,544488,0,0,253231542387,2669105002,535614,7179,1695,365,392168,0 104,2,2024-09-07 09:03:21:666,387437,387437,0,0,19583489,0,3941 104,3,2024-09-07 09:03:21:419,1,310,38,0,1245,7038,310,0 105,0,2024-09-07 09:03:21:052,75262,0.9,73275,1.1,153257,1.1,201674,3.00 105,1,2024-09-07 09:03:20:564,544988,544988,0,0,254083047850,2670477364,537104,6583,1301,366,391797,0 105,2,2024-09-07 09:03:21:323,392363,392363,0,0,18962851,0,3509 105,3,2024-09-07 09:03:21:308,1,310,40,0,399,4973,310,0 106,0,2024-09-07 09:03:20:999,71205,0.8,72898,1.0,149212,0.9,195372,2.50 106,1,2024-09-07 09:03:21:750,543915,543915,0,0,253026630123,2663004690,535124,7787,1004,369,391865,0 106,2,2024-09-07 09:03:20:761,391151,391151,0,0,18859901,0,2795 106,3,2024-09-07 09:03:20:683,1,310,16,0,470,4166,310,0 107,0,2024-09-07 09:03:21:111,78492,1.1,78348,1.0,156776,1.5,209449,2.25 107,1,2024-09-07 09:03:20:621,542939,542939,0,0,252515283619,2665779308,535068,6957,914,381,392234,0 107,2,2024-09-07 09:03:21:352,391043,391042,1,0,19323205,0,5024 107,3,2024-09-07 09:03:21:758,1,310,8,0,353,4277,310,0 108,0,2024-09-07 09:03:21:780,79681,0.4,80045,0.6,159190,0.4,212775,1.75 108,1,2024-09-07 09:03:21:298,545261,545261,0,0,254761628727,2659916071,540436,4310,515,368,391857,0 108,2,2024-09-07 09:03:21:765,387166,387166,0,0,17730945,0,4246 108,3,2024-09-07 09:03:21:333,1,310,1,0,749,6388,310,0 109,0,2024-09-07 09:03:21:758,79069,0.4,78215,0.6,156625,0.3,209375,1.75 109,1,2024-09-07 09:03:20:604,543495,543495,0,0,253866009586,2663766674,538889,3956,650,383,392132,0 109,2,2024-09-07 09:03:20:921,391936,391936,0,0,17609063,0,3617 109,3,2024-09-07 09:03:21:140,1,310,1,0,249,3354,310,0 110,0,2024-09-07 09:03:21:772,72685,0.4,70659,0.6,147896,0.3,193553,1.75 110,1,2024-09-07 09:03:21:643,546881,546881,0,0,255102336828,2648313349,543377,2593,911,369,392045,0 110,2,2024-09-07 09:03:21:317,393494,393494,0,0,17600067,0,4067 110,3,2024-09-07 09:03:20:696,1,310,13,0,406,4183,310,0 111,0,2024-09-07 09:03:21:464,76812,0.4,76049,0.5,152344,0.3,204491,1.75 111,1,2024-09-07 09:03:21:000,546623,546623,0,0,255479290060,2651306137,543945,2335,343,382,391690,0 111,2,2024-09-07 09:03:21:123,391081,391081,0,0,16359440,0,2763 111,3,2024-09-07 09:03:20:914,1,310,5,0,379,3980,310,0 112,0,2024-09-07 09:03:20:984,81423,0.3,80771,0.4,162073,0.2,215077,1.50 112,1,2024-09-07 09:03:20:824,546842,546842,0,0,254443069776,2642370388,543406,2852,584,380,391624,0 112,2,2024-09-07 09:03:21:134,390438,390437,1,0,15736867,0,5036 112,3,2024-09-07 09:03:20:595,1,310,12,0,282,3222,310,0 113,0,2024-09-07 09:03:21:001,80252,0.3,80270,0.5,161093,0.2,214350,1.50 113,1,2024-09-07 09:03:21:690,548286,548286,0,0,255654940719,2640621900,545012,2690,584,366,391661,0 113,2,2024-09-07 09:03:21:313,394859,394859,0,0,14095792,0,3813 113,3,2024-09-07 09:03:20:692,1,310,0,0,340,3901,310,0 114,0,2024-09-07 09:03:20:875,74708,0.3,75194,0.5,149443,0.2,199467,1.75 114,1,2024-09-07 09:03:20:726,546182,546182,0,0,254999809249,2654056320,541063,3527,1592,381,391556,0 114,2,2024-09-07 09:03:20:879,393659,393658,1,0,15790174,0,5069 114,3,2024-09-07 09:03:21:280,1,310,5,0,395,2930,310,0 115,0,2024-09-07 09:03:20:558,75396,0.3,75782,0.4,151616,0.2,201981,1.50 115,1,2024-09-07 09:03:20:578,546163,546163,0,0,254446128106,2645780371,541340,3983,840,382,391656,0 115,2,2024-09-07 09:03:21:134,393444,393444,0,0,14712824,0,3453 115,3,2024-09-07 09:03:21:005,1,310,8,0,159,1895,310,0 116,0,2024-09-07 09:03:21:709,80860,0.9,80771,1.0,162013,1.2,216746,2.25 116,1,2024-09-07 09:03:20:813,543240,543240,0,0,253145452560,2676919481,535654,5321,2265,380,391782,0 116,2,2024-09-07 09:03:21:757,391143,391143,0,0,20212974,0,3529 116,3,2024-09-07 09:03:20:912,1,310,2,0,415,4034,310,0 117,0,2024-09-07 09:03:20:959,81487,0.8,81057,0.9,162255,0.9,217323,2.00 117,1,2024-09-07 09:03:21:583,544247,544247,0,0,253427357097,2657393765,538120,5459,668,370,392033,0 117,2,2024-09-07 09:03:21:122,392686,392686,0,0,16470200,0,3700 117,3,2024-09-07 09:03:21:059,1,310,2,0,490,4755,310,0 118,0,2024-09-07 09:03:21:823,72881,0.5,74933,0.7,152637,0.5,199358,2.00 118,1,2024-09-07 09:03:20:592,543784,543784,0,0,253028806545,2664947846,535394,6537,1853,366,391736,0 118,2,2024-09-07 09:03:21:620,392041,392041,0,0,18208389,0,2781 118,3,2024-09-07 09:03:21:766,1,310,14,0,235,3460,310,0 119,0,2024-09-07 09:03:21:349,74267,0.7,74617,0.8,149427,0.8,199289,2.25 119,1,2024-09-07 09:03:20:551,545300,545300,0,0,254402720362,2663921157,538921,5543,836,367,391780,0 119,2,2024-09-07 09:03:21:267,393422,393422,0,0,17367069,0,4174 119,3,2024-09-07 09:03:21:331,1,310,8,0,563,4727,310,0 120,0,2024-09-07 09:03:21:564,78948,0.6,78941,0.8,157820,0.5,211327,2.25 120,1,2024-09-07 09:03:20:859,544676,544676,0,0,253610996595,2666840332,538290,5833,553,368,391961,0 120,2,2024-09-07 09:03:20:769,391960,391959,1,0,20289252,0,5281 120,3,2024-09-07 09:03:21:290,1,310,3,0,241,3917,310,0 121,0,2024-09-07 09:03:21:769,80120,1.1,80199,1.0,161009,1.5,214256,2.25 121,1,2024-09-07 09:03:21:673,545133,545133,0,0,253983055143,2660338045,539601,5101,431,367,391840,0 121,2,2024-09-07 09:03:21:152,387179,387179,0,0,19358362,0,4127 121,3,2024-09-07 09:03:20:727,1,310,30,0,269,3791,310,0 122,0,2024-09-07 09:03:21:780,77314,0.8,75268,0.9,157775,0.9,206871,2.00 122,1,2024-09-07 09:03:20:866,543701,543701,0,0,253833749162,2668836041,535562,6878,1261,366,392130,0 122,2,2024-09-07 09:03:21:321,392877,392804,73,0,21817254,0,5989 122,3,2024-09-07 09:03:20:594,1,310,0,0,411,5890,310,0 123,0,2024-09-07 09:03:20:978,72799,0.8,71150,0.8,148398,0.9,194672,2.25 123,1,2024-09-07 09:03:20:561,543815,543815,0,0,253835504748,2682159320,532788,8943,2084,369,392039,0 123,2,2024-09-07 09:03:21:020,391553,391552,1,0,18949463,0,5215 123,3,2024-09-07 09:03:21:133,1,310,13,0,168,3696,310,0 124,0,2024-09-07 09:03:20:926,79732,0.4,79692,0.5,150219,0.3,207029,1.75 124,1,2024-09-07 09:03:21:036,546350,546350,0,0,253896539105,2646084456,541704,3738,908,367,392178,0 124,2,2024-09-07 09:03:21:010,393136,393136,0,0,15827367,0,3101 124,3,2024-09-07 09:03:20:770,1,310,5,0,490,3336,310,0 125,0,2024-09-07 09:03:21:458,80241,0.4,79834,0.6,160592,0.4,213433,1.75 125,1,2024-09-07 09:03:20:870,544935,544935,0,0,254682768934,2659242479,540930,3553,452,383,391702,0 125,2,2024-09-07 09:03:21:129,389224,389224,0,0,16804653,0,4534 125,3,2024-09-07 09:03:21:162,1,310,2,0,709,4473,310,0 126,0,2024-09-07 09:03:21:423,79914,0.4,82139,0.6,157007,0.4,212614,1.75 126,1,2024-09-07 09:03:20:552,546948,546948,0,0,255056649136,2643496232,543888,2787,273,365,391987,0 126,2,2024-09-07 09:03:20:629,394954,394954,0,0,16593454,0,3186 126,3,2024-09-07 09:03:20:907,1,310,2,0,207,4013,310,0 127,0,2024-09-07 09:03:21:626,73043,0.3,73424,0.5,146420,0.3,194232,1.75 127,1,2024-09-07 09:03:20:582,546469,546469,0,0,255041493298,2647515871,542141,3813,515,365,391816,0 127,2,2024-09-07 09:03:20:639,393054,393054,0,0,15290430,0,2264 127,3,2024-09-07 09:03:21:271,1,310,9,0,968,3860,310,0 128,0,2024-09-07 09:03:21:542,76112,0.3,76293,0.5,152355,0.2,202711,1.50 128,1,2024-09-07 09:03:21:618,545594,545594,0,0,254872957570,2649958788,541565,3661,368,367,391798,0 128,2,2024-09-07 09:03:21:383,392520,392520,0,0,15183198,0,2915 128,3,2024-09-07 09:03:20:768,1,310,2,0,1082,5807,310,0 129,0,2024-09-07 09:03:21:006,81766,0.3,81409,0.5,163175,0.3,216751,1.75 129,1,2024-09-07 09:03:20:576,542931,542931,0,0,254032055329,2663745590,537615,4103,1213,379,391835,0 129,2,2024-09-07 09:03:20:688,392357,392357,0,0,16012150,0,4031 129,3,2024-09-07 09:03:20:688,1,310,2,0,469,4371,310,0 130,0,2024-09-07 09:03:21:776,81704,0.5,81361,0.6,163392,0.6,217378,1.75 130,1,2024-09-07 09:03:20:587,546474,546474,0,0,255044067263,2654064629,543275,2960,239,381,391825,0 130,2,2024-09-07 09:03:21:152,393005,393005,0,0,15658128,0,4067 130,3,2024-09-07 09:03:21:297,1,310,1,0,450,3954,310,0 131,0,2024-09-07 09:03:21:942,74208,0.4,74717,0.5,150157,0.3,199093,1.75 131,1,2024-09-07 09:03:21:828,545919,545919,0,0,253910483344,2653331656,541488,3686,745,381,391865,0 131,2,2024-09-07 09:03:20:567,395052,395052,0,0,14561904,0,2415 131,3,2024-09-07 09:03:21:702,1,310,8,0,392,3377,310,0 132,0,2024-09-07 09:03:21:415,75242,0.5,76049,0.6,151709,0.4,201645,2.00 132,1,2024-09-07 09:03:20:617,543163,543163,0,0,253750371352,2676928449,534808,6892,1463,381,392097,0 132,2,2024-09-07 09:03:20:705,392337,392337,0,0,19484032,0,4606 132,3,2024-09-07 09:03:21:702,1,310,74,0,804,5986,310,0 133,0,2024-09-07 09:03:21:573,78562,0.4,80217,0.6,164742,0.4,214481,1.75 133,1,2024-09-07 09:03:20:587,543426,543426,0,0,252703840072,2670076241,535274,7070,1082,383,391914,0 133,2,2024-09-07 09:03:21:105,391248,391248,0,0,20837150,0,4315 133,3,2024-09-07 09:03:21:299,1,310,21,0,479,3425,310,0 134,0,2024-09-07 09:03:20:974,81439,0.5,81402,0.7,162756,0.5,217346,2.00 134,1,2024-09-07 09:03:20:584,543810,543810,0,0,252906164244,2661274658,535762,6194,1854,366,391718,0 134,2,2024-09-07 09:03:21:781,388552,388552,0,0,17106559,0,3847 134,3,2024-09-07 09:03:20:763,1,310,2,0,739,4212,310,0 135,0,2024-09-07 09:03:21:138,73586,0.7,73682,0.8,156121,0.8,201341,2.00 135,1,2024-09-07 09:03:21:591,543780,543780,0,0,253945751776,2676849557,535872,6714,1194,380,391805,0 135,2,2024-09-07 09:03:20:697,393441,393441,0,0,18776446,0,3981 135,3,2024-09-07 09:03:21:007,1,310,8,0,299,2378,310,0 136,0,2024-09-07 09:03:21:644,74113,0.6,74212,0.7,147768,0.5,197253,2.25 136,1,2024-09-07 09:03:21:457,544184,544184,0,0,253387682859,2665848655,537261,6228,695,382,391685,0 136,2,2024-09-07 09:03:21:141,393779,393779,0,0,18686715,0,3506 136,3,2024-09-07 09:03:21:111,1,310,21,0,637,3634,310,0 137,0,2024-09-07 09:03:20:942,81016,0.7,78852,0.8,154850,0.7,210819,2.00 137,1,2024-09-07 09:03:20:586,543591,543591,0,0,253633894483,2668166831,534237,7476,1878,366,391708,0 137,2,2024-09-07 09:03:21:710,391791,391791,0,0,20705713,0,3185 137,3,2024-09-07 09:03:20:782,1,310,37,0,382,3917,310,0 138,0,2024-09-07 09:03:21:772,79168,1.4,79348,1.1,159184,2.0,211731,2.50 138,1,2024-09-07 09:03:21:749,544127,544127,0,0,254770605039,2680408836,535286,7262,1579,368,391954,0 138,2,2024-09-07 09:03:20:591,387963,387963,0,0,18611511,0,4988 138,3,2024-09-07 09:03:20:610,1,310,1,0,1160,4377,310,0 139,0,2024-09-07 09:03:21:539,77492,1.4,77862,1.1,155882,2.0,207553,2.25 139,1,2024-09-07 09:03:20:582,541697,541697,0,0,252214196094,2685347887,530876,8201,2620,381,391892,0 139,2,2024-09-07 09:03:20:701,391327,391327,0,0,20023565,0,3097 139,3,2024-09-07 09:03:21:664,1,310,16,0,257,3482,310,0 140,0,2024-09-07 09:03:21:595,72984,0.3,72488,0.5,145693,0.2,193843,1.75 140,1,2024-09-07 09:03:21:538,547488,547488,0,0,255356215512,2635972221,544451,2599,438,365,391606,0 140,2,2024-09-07 09:03:20:687,394125,394125,0,0,15533963,0,3388 140,3,2024-09-07 09:03:20:768,1,310,64,0,247,2651,310,0 141,0,2024-09-07 09:03:21:717,76388,0.3,78525,0.5,150162,0.3,204365,1.75 141,1,2024-09-07 09:03:20:859,547016,547016,0,0,254674405230,2645970351,543361,3196,459,379,391614,0 141,2,2024-09-07 09:03:21:685,391710,391710,0,0,15776360,0,3360 141,3,2024-09-07 09:03:21:047,1,310,0,0,391,3185,310,0 142,0,2024-09-07 09:03:21:315,81487,0.4,80744,0.5,161144,0.3,215358,1.75 142,1,2024-09-07 09:03:20:603,546231,546231,0,0,254593985486,2657204757,542495,3387,349,382,392102,0 142,2,2024-09-07 09:03:21:300,389954,389922,32,0,17245496,0,6028 142,3,2024-09-07 09:03:21:748,1,310,0,0,484,4027,310,0 143,0,2024-09-07 09:03:21:395,80542,0.5,80449,0.6,161257,0.4,214401,1.75 143,1,2024-09-07 09:03:20:585,546505,546505,0,0,254083763160,2638353445,542942,3417,146,367,391705,0 143,2,2024-09-07 09:03:20:773,393047,393047,0,0,16118631,0,2750 143,3,2024-09-07 09:03:21:162,1,310,27,0,303,3838,310,0 144,0,2024-09-07 09:03:21:525,71910,0.6,74005,0.8,150614,0.5,197597,2.00 144,1,2024-09-07 09:03:20:566,543803,543803,0,0,253673092828,2662870658,538961,3902,940,381,391649,0 144,2,2024-09-07 09:03:21:762,393961,393961,0,0,15167690,0,3473 144,3,2024-09-07 09:03:21:742,1,310,7,0,249,3340,310,0 145,0,2024-09-07 09:03:21:371,72779,0.7,72793,0.9,154493,0.6,199381,2.50 145,1,2024-09-07 09:03:20:563,543030,543030,0,0,253521779051,2670478004,535849,6041,1140,382,391759,0 145,2,2024-09-07 09:03:21:431,390748,390748,0,0,18828967,0,3903 145,3,2024-09-07 09:03:20:894,1,310,2,0,622,4938,310,0 146,0,2024-09-07 09:03:21:597,81371,0.6,80618,0.8,162571,0.6,215545,2.25 146,1,2024-09-07 09:03:21:596,544754,544754,0,0,253610550392,2671329473,535505,7344,1905,368,391770,0 146,2,2024-09-07 09:03:21:695,391374,391374,0,0,18667076,0,2730 146,3,2024-09-07 09:03:21:275,1,310,4,0,1520,6883,310,0 147,0,2024-09-07 09:03:21:696,81483,0.6,81184,0.8,161712,0.6,216860,2.25 147,1,2024-09-07 09:03:21:374,546199,546199,0,0,254878261010,2654266495,541014,4392,793,368,391791,0 147,2,2024-09-07 09:03:21:011,391329,391329,0,0,15515951,0,2789 147,3,2024-09-07 09:03:20:913,1,310,12,0,730,4690,310,0 0,0,2024-09-07 09:03:31:815,77262,0.6,77341,0.7,163991,0.6,211980,2.00 0,1,2024-09-07 09:03:30:811,546924,546924,0,0,255275646011,2672578441,542813,3869,242,370,391896,0 0,2,2024-09-07 09:03:31:069,394104,394104,0,0,16316926,0,4480 0,3,2024-09-07 09:03:31:003,1,311,48,0,319,3960,311,0 1,0,2024-09-07 09:03:32:001,80822,0.9,80242,1.0,161309,1.1,215871,2.00 1,1,2024-09-07 09:03:30:587,546213,546213,0,0,253970980127,2663124005,540858,4147,1208,370,391857,0 1,2,2024-09-07 09:03:30:647,388801,388801,0,0,15577275,0,3267 1,3,2024-09-07 09:03:31:322,1,311,15,0,262,3758,311,0 2,0,2024-09-07 09:03:31:581,77594,0.6,77958,0.8,154515,0.8,206871,2.00 2,1,2024-09-07 09:03:30:862,547760,547760,0,0,254763122369,2648878986,544866,2601,293,380,391745,0 2,2,2024-09-07 09:03:31:269,395088,395088,0,0,15645687,0,3594 2,3,2024-09-07 09:03:30:695,1,311,1,0,357,3140,311,0 3,0,2024-09-07 09:03:31:767,73214,0.4,73322,0.6,146602,0.4,195260,2.00 3,1,2024-09-07 09:03:31:623,546748,546748,0,0,255033355537,2659673967,541664,4461,623,380,391591,0 3,2,2024-09-07 09:03:31:148,395256,395233,23,0,16179230,0,5851 3,3,2024-09-07 09:03:31:775,1,311,8,0,103,1918,311,0 4,0,2024-09-07 09:03:31:854,75499,0.4,77811,0.5,157888,0.4,207398,1.75 4,1,2024-09-07 09:03:30:616,545355,545355,0,0,253914492693,2677934067,538062,5940,1353,371,391992,0 4,2,2024-09-07 09:03:31:058,391494,391494,0,0,18928951,0,4528 4,3,2024-09-07 09:03:31:032,1,311,6,0,448,4556,311,0 5,0,2024-09-07 09:03:31:390,80093,0.5,80398,0.6,160242,0.5,213121,1.75 5,1,2024-09-07 09:03:30:776,545892,545892,0,0,254137499918,2677473889,538740,5797,1355,368,392005,0 5,2,2024-09-07 09:03:31:844,388402,388402,0,0,17876432,0,2432 5,3,2024-09-07 09:03:31:743,1,311,26,0,457,4751,311,0 6,0,2024-09-07 09:03:30:936,80334,0.5,79782,0.7,159464,0.4,212701,2.00 6,1,2024-09-07 09:03:30:766,545925,545925,0,0,254573414820,2664108112,539638,5211,1076,379,391694,0 6,2,2024-09-07 09:03:31:116,394535,394535,0,0,16847682,0,4816 6,3,2024-09-07 09:03:31:278,1,311,4,0,340,3636,311,0 7,0,2024-09-07 09:03:31:540,72863,0.5,73083,0.6,145757,0.4,193440,2.00 7,1,2024-09-07 09:03:30:873,545261,545261,0,0,254521384496,2677738535,537318,6768,1175,382,391747,0 7,2,2024-09-07 09:03:30:774,394511,394511,0,0,17291595,0,4791 7,3,2024-09-07 09:03:30:866,1,311,14,0,398,3754,311,0 8,0,2024-09-07 09:03:31:338,76277,0.4,76042,0.5,152389,0.3,203366,1.75 8,1,2024-09-07 09:03:31:034,544662,544662,0,0,254315683883,2684659455,534603,7783,2276,366,392144,0 8,2,2024-09-07 09:03:30:797,388716,388716,0,0,21091193,0,3220 8,3,2024-09-07 09:03:30:589,1,311,8,0,538,5549,311,0 9,0,2024-09-07 09:03:31:118,81533,0.4,79249,0.6,165700,0.4,216715,1.75 9,1,2024-09-07 09:03:30:571,545251,545251,0,0,254877911702,2689870559,536883,6543,1825,369,392001,0 9,2,2024-09-07 09:03:31:086,391572,391572,0,0,18760791,0,3360 9,3,2024-09-07 09:03:31:771,1,311,1,0,496,4610,311,0 10,0,2024-09-07 09:03:31:645,81561,0.3,81005,0.5,162713,0.3,216807,1.75 10,1,2024-09-07 09:03:30:594,545770,545770,0,0,254808173351,2674358091,537982,6680,1108,381,391741,0 10,2,2024-09-07 09:03:30:766,393305,393305,0,0,20009735,0,4264 10,3,2024-09-07 09:03:30:875,1,311,0,0,296,2913,311,0 11,0,2024-09-07 09:03:31:017,74275,0.4,72051,0.6,150741,0.4,199882,1.75 11,1,2024-09-07 09:03:30:586,546226,546226,0,0,254847995992,2682448174,536369,7428,2429,383,391664,0 11,2,2024-09-07 09:03:31:132,393669,393669,0,0,18307732,0,4130 11,3,2024-09-07 09:03:31:304,1,311,12,0,843,5105,311,0 12,0,2024-09-07 09:03:31:041,76166,0.4,76156,0.5,152336,0.3,202403,1.75 12,1,2024-09-07 09:03:30:951,546605,546605,0,0,254178565958,2654297314,541832,4285,488,370,391870,0 12,2,2024-09-07 09:03:31:579,393848,393848,0,0,17867967,0,3469 12,3,2024-09-07 09:03:31:066,1,311,15,0,386,5161,311,0 13,0,2024-09-07 09:03:31:377,81577,0.4,81181,0.6,162384,0.4,216804,1.75 13,1,2024-09-07 09:03:31:584,545978,545978,0,0,254358187482,2676204989,540772,3894,1312,382,391740,0 13,2,2024-09-07 09:03:30:631,394579,394579,0,0,16527685,0,3287 13,3,2024-09-07 09:03:31:792,1,311,20,0,522,5264,311,0 14,0,2024-09-07 09:03:30:582,81957,0.4,82492,0.6,163199,0.4,217973,1.75 14,1,2024-09-07 09:03:31:795,549888,549888,0,0,256647931075,2660082226,545781,3827,280,364,391571,0 14,2,2024-09-07 09:03:30:785,390554,390554,0,0,16398033,0,2896 14,3,2024-09-07 09:03:31:115,1,311,1,0,1168,4039,311,0 15,0,2024-09-07 09:03:31:589,76154,0.4,76333,0.7,152387,0.4,202697,2.00 15,1,2024-09-07 09:03:31:638,547817,547817,0,0,255014049439,2651802823,544880,2758,179,381,391619,0 15,2,2024-09-07 09:03:31:005,396050,396050,0,0,14321170,0,3043 15,3,2024-09-07 09:03:31:415,1,311,8,0,1126,5805,311,0 16,0,2024-09-07 09:03:30:981,74094,0.6,74407,0.8,148199,0.6,197528,2.25 16,1,2024-09-07 09:03:30:575,547497,547497,0,0,255008318357,2665898976,543221,3916,360,370,391756,0 16,2,2024-09-07 09:03:31:534,394350,394350,0,0,17113393,0,4719 16,3,2024-09-07 09:03:31:162,1,311,3,0,317,4556,311,0 17,0,2024-09-07 09:03:31:794,81273,0.7,79435,0.9,155346,0.8,211264,2.00 17,1,2024-09-07 09:03:30:603,546517,546517,0,0,254383766098,2673763559,540407,4854,1256,368,391899,0 17,2,2024-09-07 09:03:31:689,395786,395786,0,0,16954828,0,2857 17,3,2024-09-07 09:03:30:597,1,311,16,0,268,4850,311,0 18,0,2024-09-07 09:03:30:956,78983,0.7,79391,0.8,158277,0.7,211185,2.25 18,1,2024-09-07 09:03:31:679,547911,547911,0,0,255845282749,2653803901,544671,2929,311,367,391649,0 18,2,2024-09-07 09:03:31:771,390654,390654,0,0,15538371,0,3541 18,3,2024-09-07 09:03:30:916,1,311,1,0,163,2785,311,0 19,0,2024-09-07 09:03:31:556,78067,0.6,78668,0.8,156018,0.6,207527,2.25 19,1,2024-09-07 09:03:30:600,547882,547882,0,0,255595107478,2655988133,542771,4273,838,367,391777,0 19,2,2024-09-07 09:03:31:758,396431,396431,0,0,14342079,0,3988 19,3,2024-09-07 09:03:31:147,1,311,9,0,524,2394,311,0 20,0,2024-09-07 09:03:31:402,72613,0.6,72622,0.7,145345,0.6,193682,2.25 20,1,2024-09-07 09:03:30:589,546069,546069,0,0,255037821087,2667865295,541753,3912,404,369,391886,0 20,2,2024-09-07 09:03:30:957,394943,394943,0,0,17288384,0,3721 20,3,2024-09-07 09:03:30:594,1,311,21,0,414,5115,311,0 21,0,2024-09-07 09:03:31:189,76920,0.6,76977,0.7,153759,0.5,204595,2.00 21,1,2024-09-07 09:03:31:572,544945,544945,0,0,254055361435,2681303870,537102,5967,1876,368,392016,0 21,2,2024-09-07 09:03:31:073,391285,391285,0,0,20005590,0,3747 21,3,2024-09-07 09:03:31:425,1,311,5,0,103,3192,311,0 22,0,2024-09-07 09:03:31:723,80501,0.6,80795,0.8,161529,0.6,213670,2.25 22,1,2024-09-07 09:03:31:043,546182,546182,0,0,253540177016,2670449283,537749,6614,1819,382,391667,0 22,2,2024-09-07 09:03:30:766,389921,389921,0,0,16635899,0,3134 22,3,2024-09-07 09:03:31:070,1,311,3,0,228,2759,311,0 23,0,2024-09-07 09:03:31:390,80045,0.6,79927,0.7,160230,0.5,213270,2.25 23,1,2024-09-07 09:03:31:015,545987,545987,0,0,254681426539,2681545574,535628,7201,3158,365,391690,0 23,2,2024-09-07 09:03:31:106,394867,394867,0,0,16240700,0,3010 23,3,2024-09-07 09:03:31:770,1,311,15,0,645,3740,311,0 24,0,2024-09-07 09:03:31:021,75011,0.4,74789,0.6,150211,0.4,199348,1.75 24,1,2024-09-07 09:03:30:619,545453,545453,0,0,253846069979,2666711355,538905,5023,1525,368,391987,0 24,2,2024-09-07 09:03:31:073,393014,393014,0,0,19774210,0,3607 24,3,2024-09-07 09:03:31:711,1,311,25,0,468,4379,311,0 25,0,2024-09-07 09:03:31:427,77807,0.4,75953,0.6,148798,0.4,203284,2.00 25,1,2024-09-07 09:03:30:580,546412,546412,0,0,254743972742,2675003020,539369,5909,1134,371,391928,0 25,2,2024-09-07 09:03:31:635,391794,391794,0,0,20126076,0,3978 25,3,2024-09-07 09:03:31:034,1,311,1,0,255,3236,311,0 26,0,2024-09-07 09:03:31:735,81083,0.5,79287,0.7,166343,0.4,216281,2.00 26,1,2024-09-07 09:03:31:542,546978,546978,0,0,254198330592,2670115344,538149,7293,1536,380,391748,0 26,2,2024-09-07 09:03:30:868,393042,393042,0,0,20015697,0,2809 26,3,2024-09-07 09:03:31:715,1,311,8,0,796,4323,311,0 27,0,2024-09-07 09:03:31:731,81995,0.5,81984,0.6,162471,0.4,217447,2.00 27,1,2024-09-07 09:03:31:684,548436,548436,0,0,255768295946,2666160940,543362,4309,765,381,391626,0 27,2,2024-09-07 09:03:30:880,389605,389540,65,0,19564912,0,5699 27,3,2024-09-07 09:03:31:019,1,311,8,0,564,3296,311,0 28,0,2024-09-07 09:03:31:410,75505,0.4,75242,0.6,150746,0.4,201091,2.00 28,1,2024-09-07 09:03:30:806,547714,547714,0,0,255652823831,2666129475,543617,3421,676,383,391646,0 28,2,2024-09-07 09:03:31:771,394013,394013,0,0,16276510,0,2915 28,3,2024-09-07 09:03:31:815,1,311,5,0,502,3151,311,0 29,0,2024-09-07 09:03:31:354,77193,0.4,75319,0.6,147432,0.3,201799,1.75 29,1,2024-09-07 09:03:31:608,548939,548939,0,0,255700867750,2648951913,545157,3199,583,369,391753,0 29,2,2024-09-07 09:03:30:868,393363,393363,0,0,15811700,0,4233 29,3,2024-09-07 09:03:30:970,1,311,0,0,374,3333,311,0 30,0,2024-09-07 09:03:31:455,79389,0.4,77135,0.7,161458,0.4,211468,2.00 30,1,2024-09-07 09:03:30:591,548788,548788,0,0,255992675744,2659650036,544700,3482,606,382,391672,0 30,2,2024-09-07 09:03:31:284,393941,393941,0,0,15427844,0,3161 30,3,2024-09-07 09:03:30:591,1,311,4,0,519,2999,311,0 31,0,2024-09-07 09:03:31:761,80389,0.4,80613,0.6,161530,0.4,215454,2.00 31,1,2024-09-07 09:03:30:583,550878,550878,0,0,256450472320,2631201845,548790,1659,429,356,391712,0 31,2,2024-09-07 09:03:31:279,389591,389591,0,0,16783563,0,3525 31,3,2024-09-07 09:03:31:706,1,311,56,0,220,2589,311,0 32,0,2024-09-07 09:03:31:438,77622,0.3,77906,0.5,155786,0.3,207257,1.75 32,1,2024-09-07 09:03:30:804,548140,548140,0,0,255566114587,2655753903,545077,2618,445,381,391646,0 32,2,2024-09-07 09:03:30:948,395125,395125,0,0,14671315,0,3155 32,3,2024-09-07 09:03:31:039,1,311,0,0,227,2376,311,0 33,0,2024-09-07 09:03:31:519,73670,0.3,73175,0.5,146927,0.2,196072,1.75 33,1,2024-09-07 09:03:30:583,548520,548520,0,0,256384933765,2657121607,544141,3548,831,369,391730,0 33,2,2024-09-07 09:03:30:766,395880,395845,35,0,16761517,0,7012 33,3,2024-09-07 09:03:30:899,1,311,3,0,329,3425,311,0 34,0,2024-09-07 09:03:30:946,77994,0.3,80303,0.5,153654,0.2,206752,1.75 34,1,2024-09-07 09:03:31:047,549928,549928,0,0,256552426469,2638846850,548538,1383,7,367,391562,0 34,2,2024-09-07 09:03:30:772,393742,393742,0,0,15677141,0,3577 34,3,2024-09-07 09:03:31:711,1,311,1,0,299,2382,311,0 35,0,2024-09-07 09:03:30:891,79387,0.4,79776,0.5,160586,0.3,213710,1.75 35,1,2024-09-07 09:03:31:072,548262,548262,0,0,256266660344,2658171291,544661,2821,780,382,391589,0 35,2,2024-09-07 09:03:31:613,389922,389922,0,0,15865455,0,2653 35,3,2024-09-07 09:03:30:907,1,311,12,0,418,4116,311,0 36,0,2024-09-07 09:03:31:549,80135,0.5,80173,0.7,160400,0.4,212397,2.00 36,1,2024-09-07 09:03:30:610,547589,547589,0,0,254384021777,2662677583,540364,5567,1658,366,391759,0 36,2,2024-09-07 09:03:31:751,394870,394870,0,0,18220586,0,3875 36,3,2024-09-07 09:03:30:866,1,311,0,0,416,5195,311,0 37,0,2024-09-07 09:03:31:394,72713,0.5,72673,0.7,145534,0.5,194289,2.00 37,1,2024-09-07 09:03:30:585,546259,546252,0,7,254840146599,2667857398,539487,4680,2085,365,391570,0 37,2,2024-09-07 09:03:31:154,393392,393377,15,0,17808747,0,5815 37,3,2024-09-07 09:03:31:771,1,311,1,0,888,5443,311,0 38,0,2024-09-07 09:03:31:442,75625,0.5,73505,0.7,153693,0.4,201379,2.00 38,1,2024-09-07 09:03:31:635,548295,548295,0,0,255203750417,2660178563,542645,4973,677,368,391821,0 38,2,2024-09-07 09:03:30:769,391996,391949,47,0,18619622,0,6710 38,3,2024-09-07 09:03:31:004,1,311,17,0,689,4537,311,0 39,0,2024-09-07 09:03:31:766,82807,0.7,81131,0.8,157829,0.7,215472,2.00 39,1,2024-09-07 09:03:30:725,546911,546911,0,0,255036019345,2673087528,538573,6878,1460,365,391594,0 39,2,2024-09-07 09:03:31:419,392199,392199,0,0,16709606,0,2689 39,3,2024-09-07 09:03:30:713,1,311,11,0,324,3955,311,0 40,0,2024-09-07 09:03:31:492,80602,0.8,81295,1.0,162427,0.8,216353,2.75 40,1,2024-09-07 09:03:30:582,547392,547392,0,0,254420045906,2669235055,538889,6994,1509,368,391668,0 40,2,2024-09-07 09:03:31:304,392524,392523,1,0,19851252,0,5137 40,3,2024-09-07 09:03:31:155,1,311,8,0,1028,4873,311,0 41,0,2024-09-07 09:03:31:023,74122,1.6,75941,1.3,144913,2.5,197546,3.25 41,1,2024-09-07 09:03:30:791,546442,546442,0,0,255023036302,2672029099,539607,6145,690,370,391742,0 41,2,2024-09-07 09:03:30:785,392540,392540,0,0,18865976,0,3356 41,3,2024-09-07 09:03:31:701,1,311,31,0,366,3324,311,0 42,0,2024-09-07 09:03:31:489,74963,1.0,74939,1.1,150244,1.1,198441,2.75 42,1,2024-09-07 09:03:31:447,545700,545700,0,0,254060226219,2672695881,537002,7134,1564,380,391675,0 42,2,2024-09-07 09:03:31:140,393329,393329,0,0,19098090,0,3790 42,3,2024-09-07 09:03:31:029,1,311,3,0,446,3033,311,0 43,0,2024-09-07 09:03:30:945,80069,0.9,78227,1.0,163541,1.0,214262,2.50 43,1,2024-09-07 09:03:30:586,547151,547151,0,0,255669125138,2675220883,539202,6512,1437,366,391696,0 43,2,2024-09-07 09:03:31:737,393685,393685,0,0,18960920,0,3812 43,3,2024-09-07 09:03:31:753,1,311,12,0,467,3961,311,0 44,0,2024-09-07 09:03:30:871,81627,0.5,82026,0.6,163591,0.4,218003,1.75 44,1,2024-09-07 09:03:30:567,548718,548718,0,0,255298000213,2641652615,544329,3443,946,356,391809,0 44,2,2024-09-07 09:03:31:267,389799,389799,0,0,15434462,0,4344 44,3,2024-09-07 09:03:31:092,1,311,5,0,817,4297,311,0 45,0,2024-09-07 09:03:31:758,75230,0.5,73439,0.7,154082,0.5,202588,2.00 45,1,2024-09-07 09:03:31:009,547849,547849,0,0,255650406169,2653848181,544893,2695,261,382,391917,0 45,2,2024-09-07 09:03:31:284,394893,394893,0,0,15820551,0,3596 45,3,2024-09-07 09:03:30:947,1,311,1,0,271,3083,311,0 46,0,2024-09-07 09:03:30:953,73526,0.5,73598,0.7,147385,0.4,195505,2.00 46,1,2024-09-07 09:03:30:583,549413,549413,0,0,256293697566,2648405579,545880,3108,425,366,391539,0 46,2,2024-09-07 09:03:30:618,395270,395270,0,0,15269117,0,2920 46,3,2024-09-07 09:03:31:142,1,311,1,0,908,4439,311,0 47,0,2024-09-07 09:03:31:112,79374,0.4,79454,0.6,159154,0.4,210896,1.75 47,1,2024-09-07 09:03:30:575,549119,549119,0,0,255764579836,2644763776,545690,2993,436,366,391641,0 47,2,2024-09-07 09:03:30:912,395523,395523,0,0,15671945,0,4477 47,3,2024-09-07 09:03:31:119,1,311,15,0,529,3471,311,0 48,0,2024-09-07 09:03:31:539,80646,0.3,80157,0.4,159806,0.2,213312,1.50 48,1,2024-09-07 09:03:31:034,548180,548180,0,0,255447582844,2655163308,544643,3236,301,384,391710,0 48,2,2024-09-07 09:03:30:710,389227,389227,0,0,14388057,0,3031 48,3,2024-09-07 09:03:30:765,1,311,1,0,339,2760,311,0 49,0,2024-09-07 09:03:31:712,80681,0.3,79211,0.5,153522,0.3,209871,1.75 49,1,2024-09-07 09:03:31:039,547519,547519,0,0,255763783740,2666037269,543220,3115,1184,382,391809,0 49,2,2024-09-07 09:03:31:811,396179,396179,0,0,15455565,0,4426 49,3,2024-09-07 09:03:31:427,1,311,1,0,408,3396,311,0 50,0,2024-09-07 09:03:31:519,72759,0.3,72086,0.5,144999,0.2,193332,1.75 50,1,2024-09-07 09:03:31:030,549790,549790,0,0,255984500949,2649951114,545977,3439,374,368,391540,0 50,2,2024-09-07 09:03:31:071,395245,395245,0,0,14577072,0,2263 50,3,2024-09-07 09:03:31:296,1,311,1,0,335,2831,311,0 51,0,2024-09-07 09:03:31:706,78834,0.3,77086,0.5,150465,0.2,205371,1.75 51,1,2024-09-07 09:03:31:748,549664,549664,0,0,256727528726,2651504374,546411,2278,975,365,391706,0 51,2,2024-09-07 09:03:31:317,393182,393182,0,0,14182298,0,3337 51,3,2024-09-07 09:03:31:030,1,311,8,0,678,2430,311,0 52,0,2024-09-07 09:03:31:441,81042,0.5,80939,0.7,161841,0.5,215038,2.00 52,1,2024-09-07 09:03:30:594,546857,546857,0,0,254100840475,2667234573,538331,7216,1310,368,391722,0 52,2,2024-09-07 09:03:31:771,387725,387687,38,0,18815345,0,6742 52,3,2024-09-07 09:03:30:690,1,311,0,0,1782,5093,311,0 53,0,2024-09-07 09:03:31:731,79904,0.7,77716,0.8,162373,0.7,212823,2.25 53,1,2024-09-07 09:03:30:779,545616,545616,0,0,254702572828,2679115259,536199,6836,2581,367,391702,0 53,2,2024-09-07 09:03:31:297,395000,395000,0,0,16036117,0,2727 53,3,2024-09-07 09:03:30:701,1,311,17,0,308,3143,311,0 54,0,2024-09-07 09:03:31:622,73382,0.6,73851,0.8,146871,0.4,196488,2.50 54,1,2024-09-07 09:03:30:587,547434,547434,0,0,255493137188,2659408672,541843,4989,602,367,391659,0 54,2,2024-09-07 09:03:30:868,394671,394665,6,0,18641023,0,5382 54,3,2024-09-07 09:03:30:770,1,311,3,0,676,5014,311,0 55,0,2024-09-07 09:03:31:774,73176,0.6,75887,0.8,152660,0.6,199596,2.50 55,1,2024-09-07 09:03:30:783,546832,546832,0,0,254959441647,2657444833,540369,5555,908,365,391731,0 55,2,2024-09-07 09:03:30:774,391928,391928,0,0,18130511,0,3563 55,3,2024-09-07 09:03:30:686,1,311,32,0,304,3553,311,0 56,0,2024-09-07 09:03:31:809,82966,1.3,78240,1.2,161396,1.8,215886,2.75 56,1,2024-09-07 09:03:30:588,544672,544672,0,0,254121754831,2687765226,536167,6880,1625,381,391867,0 56,2,2024-09-07 09:03:31:308,392620,392620,0,0,18893733,0,3567 56,3,2024-09-07 09:03:31:059,1,311,12,0,705,4348,311,0 57,0,2024-09-07 09:03:30:989,80601,1.5,80336,1.3,161166,2.2,215974,3.00 57,1,2024-09-07 09:03:31:015,546315,546315,0,0,254320060011,2668987451,539751,5848,716,366,392032,0 57,2,2024-09-07 09:03:31:317,391768,391768,0,0,20274238,0,3317 57,3,2024-09-07 09:03:31:747,1,311,8,0,359,3733,311,0 58,0,2024-09-07 09:03:30:570,73965,0.9,71971,1.0,150783,1.2,197706,2.50 58,1,2024-09-07 09:03:30:604,547372,547369,0,3,255108586814,2670996719,539579,6739,1051,367,391603,3 58,2,2024-09-07 09:03:31:070,393413,393413,0,0,18686591,0,2549 58,3,2024-09-07 09:03:31:069,1,311,23,0,1043,3364,311,0 59,0,2024-09-07 09:03:31:747,74764,0.8,74409,1.0,148748,0.8,198039,2.75 59,1,2024-09-07 09:03:30:825,546963,546963,0,0,254776819648,2670699465,539461,6037,1465,369,391578,0 59,2,2024-09-07 09:03:30:605,394897,394897,0,0,18096459,0,2867 59,3,2024-09-07 09:03:31:740,1,311,14,0,1015,4190,311,0 60,0,2024-09-07 09:03:31:763,79691,0.4,79742,0.6,160121,0.4,212171,1.75 60,1,2024-09-07 09:03:30:820,548741,548741,0,0,256017059509,2656986511,545604,2600,537,370,392031,0 60,2,2024-09-07 09:03:31:150,393944,393944,0,0,17996078,0,3811 60,3,2024-09-07 09:03:31:285,1,311,3,0,175,3182,311,0 61,0,2024-09-07 09:03:31:500,80565,0.7,81041,0.8,161002,0.7,215185,2.00 61,1,2024-09-07 09:03:30:783,546736,546736,0,0,255510813215,2677654836,540716,5106,914,382,391880,0 61,2,2024-09-07 09:03:31:125,390067,390067,0,0,16193759,0,3598 61,3,2024-09-07 09:03:31:695,1,311,17,0,479,4885,311,0 62,0,2024-09-07 09:03:31:718,78074,0.6,79792,0.7,152079,0.6,206932,2.00 62,1,2024-09-07 09:03:31:120,550155,550149,0,6,256501459017,2647969407,547277,2710,162,365,391715,6 62,2,2024-09-07 09:03:31:646,393388,393387,1,0,17542830,0,5555 62,3,2024-09-07 09:03:31:147,1,311,1,0,465,2506,311,0 63,0,2024-09-07 09:03:31:485,73418,0.4,73484,0.6,147122,0.4,195865,1.75 63,1,2024-09-07 09:03:30:811,548339,548333,0,6,256096040538,2658703347,545027,3069,237,381,391800,6 63,2,2024-09-07 09:03:30:768,395382,395382,0,0,15940102,0,4369 63,3,2024-09-07 09:03:31:740,1,311,11,0,667,3528,311,0 64,0,2024-09-07 09:03:31:528,77406,0.5,77285,0.7,154612,0.5,205881,2.00 64,1,2024-09-07 09:03:30:770,547667,547667,0,0,255261508327,2664907094,542035,3976,1656,370,391783,0 64,2,2024-09-07 09:03:31:161,397126,397107,19,0,15708940,0,6121 64,3,2024-09-07 09:03:31:147,1,311,0,0,265,3010,311,0 65,0,2024-09-07 09:03:31:710,79379,0.7,79599,0.8,158979,0.8,211620,2.25 65,1,2024-09-07 09:03:30:868,546433,546433,0,0,254636466473,2664014764,542391,3593,449,382,391901,0 65,2,2024-09-07 09:03:31:712,390634,390634,0,0,17270025,0,3367 65,3,2024-09-07 09:03:31:737,1,311,1,0,163,2846,311,0 66,0,2024-09-07 09:03:31:786,79598,0.5,79501,0.7,159307,0.5,211193,2.00 66,1,2024-09-07 09:03:31:295,547835,547835,0,0,256132833367,2664739345,544331,3184,320,380,391653,0 66,2,2024-09-07 09:03:31:137,397100,397100,0,0,15814454,0,4956 66,3,2024-09-07 09:03:31:085,1,311,0,0,291,3099,311,0 67,0,2024-09-07 09:03:31:422,73561,0.6,72853,0.7,146429,0.5,194481,2.00 67,1,2024-09-07 09:03:30:772,548032,548031,0,1,254489722947,2653541104,543904,3376,751,380,391787,1 67,2,2024-09-07 09:03:30:613,395814,395814,0,0,15062320,0,2889 67,3,2024-09-07 09:03:31:770,1,311,7,0,338,2758,311,0 68,0,2024-09-07 09:03:30:602,76515,0.6,76004,0.8,151846,0.6,203167,2.00 68,1,2024-09-07 09:03:30:591,546759,546759,0,0,254286734049,2667435656,541960,3598,1201,381,391953,0 68,2,2024-09-07 09:03:31:047,390796,390731,65,0,20348167,0,6698 68,3,2024-09-07 09:03:30:764,1,311,5,0,417,3420,311,0 69,0,2024-09-07 09:03:31:793,80798,1.0,81205,0.9,161820,1.2,214591,2.25 69,1,2024-09-07 09:03:31:032,544725,544725,0,0,253530368146,2681644282,536760,5855,2110,384,391994,0 69,2,2024-09-07 09:03:31:753,391628,391628,0,0,20133047,0,3722 69,3,2024-09-07 09:03:30:771,1,311,4,0,698,4489,311,0 70,0,2024-09-07 09:03:31:532,80706,0.8,80663,1.0,162193,0.7,215004,2.50 70,1,2024-09-07 09:03:30:805,548338,548338,0,0,255616049186,2657285627,543518,4284,536,366,391725,0 70,2,2024-09-07 09:03:31:325,392381,392381,0,0,18330184,0,4044 70,3,2024-09-07 09:03:30:765,1,311,2,0,854,3681,311,0 71,0,2024-09-07 09:03:31:359,74168,0.9,73837,1.0,148312,1.1,198582,2.75 71,1,2024-09-07 09:03:31:650,546767,546767,0,0,255706047074,2671899351,540234,5877,656,368,391738,0 71,2,2024-09-07 09:03:31:077,393952,393952,0,0,18086778,0,4042 71,3,2024-09-07 09:03:31:761,1,311,12,0,644,4454,311,0 72,0,2024-09-07 09:03:31:038,78174,0.7,76352,0.8,149072,0.7,202734,2.25 72,1,2024-09-07 09:03:31:032,546386,546386,0,0,254650782168,2670605067,538658,6043,1685,369,391819,0 72,2,2024-09-07 09:03:31:757,392263,392263,0,0,20701651,0,3983 72,3,2024-09-07 09:03:31:763,1,311,0,0,564,5179,311,0 73,0,2024-09-07 09:03:31:126,78986,0.4,80855,0.6,165671,0.4,215612,2.00 73,1,2024-09-07 09:03:30:780,547440,547440,0,0,255188105972,2655798292,543254,3857,329,367,391858,0 73,2,2024-09-07 09:03:31:752,393671,393671,0,0,19923212,0,3701 73,3,2024-09-07 09:03:30:970,1,311,4,0,274,4327,311,0 74,0,2024-09-07 09:03:31:352,82057,0.5,84089,0.7,160594,0.5,217849,2.25 74,1,2024-09-07 09:03:30:639,546958,546958,0,0,254870367503,2664851905,541009,4691,1258,381,391681,0 74,2,2024-09-07 09:03:31:009,390096,390096,0,0,18681894,0,4253 74,3,2024-09-07 09:03:31:457,1,311,4,0,522,4486,311,0 75,0,2024-09-07 09:03:31:777,76384,0.5,76028,0.7,152322,0.4,203598,2.25 75,1,2024-09-07 09:03:31:593,546528,546528,0,0,254563106191,2664585354,540184,5520,824,380,391739,0 75,2,2024-09-07 09:03:31:350,393727,393727,0,0,18869254,0,4766 75,3,2024-09-07 09:03:31:068,1,311,317,0,702,4655,311,0 76,0,2024-09-07 09:03:30:630,73629,0.6,73099,0.8,146836,0.6,196404,2.25 76,1,2024-09-07 09:03:30:813,547363,547363,0,0,254387586066,2655450475,543696,3112,555,382,391692,0 76,2,2024-09-07 09:03:31:068,395951,395950,1,0,17905514,0,5144 76,3,2024-09-07 09:03:31:163,1,311,3,0,175,3120,311,0 77,0,2024-09-07 09:03:31:710,79057,0.6,79192,0.8,158333,0.6,210772,2.00 77,1,2024-09-07 09:03:30:856,547604,547604,0,0,255135353117,2665854493,543307,4032,265,381,391869,0 77,2,2024-09-07 09:03:31:284,394411,394411,0,0,17273951,0,3890 77,3,2024-09-07 09:03:31:096,1,311,4,0,401,3602,311,0 78,0,2024-09-07 09:03:31:744,80212,0.5,79798,0.7,160347,0.4,212625,2.00 78,1,2024-09-07 09:03:30:616,547853,547853,0,0,255363378983,2660068355,542530,4313,1010,367,391670,0 78,2,2024-09-07 09:03:31:434,390167,390167,0,0,14879637,0,3855 78,3,2024-09-07 09:03:31:142,1,311,11,0,181,3020,311,0 79,0,2024-09-07 09:03:31:352,76116,0.4,78106,0.6,159588,0.4,207365,2.25 79,1,2024-09-07 09:03:30:581,549448,549448,0,0,256098950445,2651444569,545675,3328,445,369,391682,0 79,2,2024-09-07 09:03:31:068,396236,396236,0,0,15429697,0,3212 79,3,2024-09-07 09:03:30:767,1,311,8,0,418,4218,311,0 80,0,2024-09-07 09:03:31:149,72708,0.6,74732,0.7,143123,0.5,193104,2.00 80,1,2024-09-07 09:03:31:648,547588,547588,0,0,255319095725,2657772775,544280,3131,177,368,391791,0 80,2,2024-09-07 09:03:31:104,396521,396521,0,0,15410423,0,4433 80,3,2024-09-07 09:03:30:603,1,311,1,0,190,3908,311,0 81,0,2024-09-07 09:03:31:597,76682,0.6,78575,0.7,150139,0.5,204101,2.00 81,1,2024-09-07 09:03:31:671,546723,546723,0,0,254478295171,2662232936,542144,4098,481,382,391879,0 81,2,2024-09-07 09:03:31:126,392624,392561,63,0,17315126,0,5932 81,3,2024-09-07 09:03:31:121,1,311,1,0,374,3767,311,0 82,0,2024-09-07 09:03:31:544,80413,0.5,80919,0.7,161975,0.5,214581,2.00 82,1,2024-09-07 09:03:30:602,548429,548425,0,4,255807300967,2665676288,544573,3056,796,381,391768,4 82,2,2024-09-07 09:03:31:696,392088,392088,0,0,14921566,0,3986 82,3,2024-09-07 09:03:31:775,1,311,0,0,363,3360,311,0 83,0,2024-09-07 09:03:31:540,80737,0.5,80507,0.7,160229,0.5,213153,2.00 83,1,2024-09-07 09:03:30:559,547103,547103,0,0,254613335119,2657286777,543014,3768,321,382,391709,0 83,2,2024-09-07 09:03:30:770,394224,394224,0,0,15351191,0,3393 83,3,2024-09-07 09:03:30:775,1,311,9,0,1260,4762,311,0 84,0,2024-09-07 09:03:31:776,73965,0.7,73884,0.9,147963,0.6,197907,2.25 84,1,2024-09-07 09:03:31:054,546823,546823,0,0,254664962830,2662457321,541638,4599,586,367,391967,0 84,2,2024-09-07 09:03:30:611,394213,394213,0,0,19219411,0,4757 84,3,2024-09-07 09:03:31:147,1,311,35,0,908,4900,311,0 85,0,2024-09-07 09:03:31:044,72984,0.8,73064,0.9,154890,0.8,200786,2.50 85,1,2024-09-07 09:03:30:575,545514,545514,0,0,254055685855,2686369728,537019,7127,1368,381,392006,0 85,2,2024-09-07 09:03:30:868,393215,393215,0,0,19137791,0,3656 85,3,2024-09-07 09:03:30:690,1,311,12,0,789,4304,311,0 86,0,2024-09-07 09:03:30:917,81319,0.7,83626,0.8,160140,0.8,216070,2.25 86,1,2024-09-07 09:03:30:865,546950,546950,0,0,254806966354,2672174357,540079,5806,1065,366,391961,0 86,2,2024-09-07 09:03:30:871,391778,391777,1,0,20017197,0,5004 86,3,2024-09-07 09:03:30:588,1,311,0,0,308,4429,311,0 87,0,2024-09-07 09:03:31:341,81213,0.9,81268,0.9,163008,1.2,217749,2.25 87,1,2024-09-07 09:03:30:577,545869,545869,0,0,254624671290,2667151563,539781,5479,609,366,392076,0 87,2,2024-09-07 09:03:31:073,391197,391197,0,0,17976069,0,4045 87,3,2024-09-07 09:03:31:834,1,311,39,0,473,4624,311,0 88,0,2024-09-07 09:03:31:524,75256,0.4,75746,0.6,151128,0.4,200698,1.75 88,1,2024-09-07 09:03:30:596,545171,545171,0,0,254624132578,2673314102,537648,5870,1653,365,392084,0 88,2,2024-09-07 09:03:30:696,394273,394273,0,0,19779390,0,3583 88,3,2024-09-07 09:03:31:269,1,311,0,0,435,3557,311,0 89,0,2024-09-07 09:03:31:821,77348,0.5,74794,0.7,148320,0.4,201594,1.75 89,1,2024-09-07 09:03:30:580,545514,545514,0,0,254507160506,2681224416,538141,6105,1268,382,391866,0 89,2,2024-09-07 09:03:31:141,393068,393068,0,0,19188995,0,2910 89,3,2024-09-07 09:03:31:827,1,311,2,0,385,5900,311,0 90,0,2024-09-07 09:03:31:716,77382,0.4,79429,0.6,162170,0.4,211421,1.75 90,1,2024-09-07 09:03:30:593,546820,546820,0,0,255162065669,2677158462,541086,5341,393,380,391825,0 90,2,2024-09-07 09:03:31:436,392753,392753,0,0,20544423,0,3060 90,3,2024-09-07 09:03:30:968,1,311,4,0,246,3552,311,0 91,0,2024-09-07 09:03:30:968,80957,0.5,78669,0.6,163944,0.5,215765,1.75 91,1,2024-09-07 09:03:30:569,545446,545446,0,0,255270878264,2689020404,537806,6599,1041,381,392047,0 91,2,2024-09-07 09:03:31:337,389739,389739,0,0,18171021,0,2896 91,3,2024-09-07 09:03:30:606,1,311,13,0,216,3020,311,0 92,0,2024-09-07 09:03:31:480,78256,0.5,80276,0.6,153291,0.4,207361,1.75 92,1,2024-09-07 09:03:30:605,547369,547369,0,0,254571591932,2657875864,543842,3046,481,382,392136,0 92,2,2024-09-07 09:03:31:375,396145,396145,0,0,15735954,0,2801 92,3,2024-09-07 09:03:31:015,1,311,1,0,167,2720,311,0 93,0,2024-09-07 09:03:30:979,73831,0.4,75703,0.6,144599,0.3,196306,1.75 93,1,2024-09-07 09:03:30:845,547195,547195,0,0,255165312262,2663953501,541964,4390,841,366,391776,0 93,2,2024-09-07 09:03:30:954,394970,394970,0,0,18308106,0,4311 93,3,2024-09-07 09:03:31:424,1,311,7,0,190,3018,311,0 94,0,2024-09-07 09:03:31:684,77459,0.4,78203,0.5,156004,0.3,207380,1.75 94,1,2024-09-07 09:03:30:583,547045,547045,0,0,255251338490,2666437884,543167,3691,187,381,391850,0 94,2,2024-09-07 09:03:30:791,393431,393431,0,0,16051973,0,2443 94,3,2024-09-07 09:03:31:729,1,311,26,0,264,4017,311,0 95,0,2024-09-07 09:03:31:402,79908,0.4,79860,0.6,160520,0.3,213423,1.75 95,1,2024-09-07 09:03:30:871,548520,548520,0,0,256204716491,2664679364,544215,3926,379,367,391713,0 95,2,2024-09-07 09:03:31:029,389933,389933,0,0,16097113,0,3308 95,3,2024-09-07 09:03:31:745,1,311,21,0,718,5086,311,0 96,0,2024-09-07 09:03:31:047,80280,0.4,80374,0.5,160234,0.3,212102,1.75 96,1,2024-09-07 09:03:31:625,546853,546853,0,0,254726466515,2660552939,542603,3466,784,384,391955,0 96,2,2024-09-07 09:03:31:285,395282,395282,0,0,16288918,0,4042 96,3,2024-09-07 09:03:31:148,1,311,8,0,411,3377,311,0 97,0,2024-09-07 09:03:31:337,73363,0.3,73015,0.5,146612,0.3,194326,1.75 97,1,2024-09-07 09:03:30:791,549107,549107,0,0,255466158386,2651181261,545294,3143,670,367,392140,0 97,2,2024-09-07 09:03:30:623,395643,395643,0,0,15952426,0,3036 97,3,2024-09-07 09:03:30:598,1,311,2,0,214,3762,311,0 98,0,2024-09-07 09:03:31:733,76256,0.3,76196,0.5,152936,0.2,203292,1.50 98,1,2024-09-07 09:03:30:584,548225,548225,0,0,255041675448,2654212392,545820,2302,103,382,391997,0 98,2,2024-09-07 09:03:30:789,393059,393059,0,0,15835368,0,3080 98,3,2024-09-07 09:03:30:717,1,311,0,0,840,5173,311,0 99,0,2024-09-07 09:03:31:467,81274,0.4,81795,0.5,162870,0.3,216871,1.75 99,1,2024-09-07 09:03:31:745,547966,547966,0,0,255491084261,2663036584,543876,3340,750,381,392069,0 99,2,2024-09-07 09:03:31:447,393824,393824,0,0,17620915,0,3424 99,3,2024-09-07 09:03:30:590,1,311,9,0,187,2679,311,0 100,0,2024-09-07 09:03:31:463,81100,0.8,81060,1.0,162347,0.9,216747,2.50 100,1,2024-09-07 09:03:30:549,544266,544266,0,0,254424728826,2692972756,535824,6950,1492,381,391989,0 100,2,2024-09-07 09:03:31:845,392010,391999,11,0,18696436,0,5417 100,3,2024-09-07 09:03:31:741,1,311,1,0,559,5503,311,0 101,0,2024-09-07 09:03:31:764,76260,1.1,74228,1.1,145710,0.9,200147,2.25 101,1,2024-09-07 09:03:30:635,544966,544966,0,0,253935508325,2679362235,535919,7028,2019,368,391771,0 101,2,2024-09-07 09:03:31:777,392169,392169,0,0,21539933,0,4644 101,3,2024-09-07 09:03:30:950,1,311,11,0,448,3766,311,0 102,0,2024-09-07 09:03:30:989,73736,0.8,76358,0.8,153738,0.9,201706,2.25 102,1,2024-09-07 09:03:31:177,545427,545427,0,0,254507287782,2677688633,538152,6245,1030,369,391891,0 102,2,2024-09-07 09:03:31:745,394239,394185,54,0,18181612,0,6768 102,3,2024-09-07 09:03:31:643,1,311,17,0,410,3480,311,0 103,0,2024-09-07 09:03:31:668,83426,0.6,83434,0.8,157426,0.6,217383,2.00 103,1,2024-09-07 09:03:31:633,544716,544716,0,0,253803259885,2682061310,535982,6739,1995,381,391829,0 103,2,2024-09-07 09:03:30:604,392499,392499,0,0,18891286,0,3173 103,3,2024-09-07 09:03:30:768,1,311,13,0,916,4068,311,0 104,0,2024-09-07 09:03:31:178,80635,0.8,81102,1.0,160848,0.8,217024,2.50 104,1,2024-09-07 09:03:31:627,546221,546221,0,0,254247330886,2679555655,537346,7180,1695,365,392168,0 104,2,2024-09-07 09:03:31:704,388755,388755,0,0,19737070,0,3941 104,3,2024-09-07 09:03:31:420,1,311,1,0,1245,7039,311,0 105,0,2024-09-07 09:03:31:105,75441,0.9,73420,1.1,153569,1.1,202094,3.00 105,1,2024-09-07 09:03:30:573,546669,546669,0,0,254812301054,2678028057,538784,6584,1301,366,391797,0 105,2,2024-09-07 09:03:31:536,393740,393740,0,0,19109456,0,3509 105,3,2024-09-07 09:03:31:330,1,311,32,0,399,5005,311,0 106,0,2024-09-07 09:03:30:973,71437,0.8,73149,1.0,149677,0.9,196002,2.50 106,1,2024-09-07 09:03:31:820,545507,545507,0,0,253953482536,2672977505,536670,7833,1004,369,391865,0 106,2,2024-09-07 09:03:30:775,392224,392224,0,0,18941495,0,2795 106,3,2024-09-07 09:03:30:691,1,311,4,0,470,4170,311,0 107,0,2024-09-07 09:03:31:124,78884,1.1,78749,1.0,157511,1.5,210454,2.25 107,1,2024-09-07 09:03:30:618,544705,544705,0,0,253295539561,2674162963,536834,6957,914,381,392234,0 107,2,2024-09-07 09:03:31:298,392327,392326,1,0,19401447,0,5024 107,3,2024-09-07 09:03:31:856,1,311,53,0,353,4330,311,0 108,0,2024-09-07 09:03:31:851,79844,0.4,80216,0.6,159500,0.4,213217,1.75 108,1,2024-09-07 09:03:31:319,547023,547023,0,0,255537337091,2668038536,542197,4311,515,368,391857,0 108,2,2024-09-07 09:03:31:783,388208,388208,0,0,17982591,0,4246 108,3,2024-09-07 09:03:31:340,1,311,197,0,749,6585,311,0 109,0,2024-09-07 09:03:31:825,79162,0.4,78308,0.6,156805,0.3,209642,1.75 109,1,2024-09-07 09:03:30:637,545173,545173,0,0,254687371729,2672535742,540567,3956,650,383,392132,0 109,2,2024-09-07 09:03:30:933,393288,393288,0,0,17785461,0,3617 109,3,2024-09-07 09:03:31:144,1,311,13,0,249,3367,311,0 110,0,2024-09-07 09:03:31:765,72800,0.4,70786,0.6,148150,0.3,193888,1.75 110,1,2024-09-07 09:03:31:690,548612,548612,0,0,256050218133,2657991837,545108,2593,911,369,392045,0 110,2,2024-09-07 09:03:31:307,394888,394888,0,0,17713679,0,4067 110,3,2024-09-07 09:03:30:703,1,311,3,0,406,4186,311,0 111,0,2024-09-07 09:03:31:443,77311,0.4,76529,0.5,153377,0.3,205892,1.75 111,1,2024-09-07 09:03:31:005,548293,548293,0,0,256182475761,2658863305,545589,2361,343,382,391690,0 111,2,2024-09-07 09:03:31:126,392515,392515,0,0,16417539,0,2763 111,3,2024-09-07 09:03:30:918,1,311,29,0,379,4009,311,0 112,0,2024-09-07 09:03:30:925,81538,0.3,80883,0.4,162327,0.2,215358,1.50 112,1,2024-09-07 09:03:30:825,548456,548456,0,0,255206541844,2650221101,545020,2852,584,380,391624,0 112,2,2024-09-07 09:03:31:137,391118,391117,1,0,15750223,0,5036 112,3,2024-09-07 09:03:30:592,1,311,1,0,282,3223,311,0 113,0,2024-09-07 09:03:30:893,80368,0.3,80377,0.5,161286,0.2,214596,1.50 113,1,2024-09-07 09:03:31:711,550004,550004,0,0,256569717422,2650065457,546728,2692,584,366,391661,0 113,2,2024-09-07 09:03:31:303,396376,396376,0,0,14145621,0,3813 113,3,2024-09-07 09:03:30:690,1,311,0,0,340,3901,311,0 114,0,2024-09-07 09:03:30:878,74890,0.3,75401,0.5,149840,0.2,199984,1.75 114,1,2024-09-07 09:03:30:723,547934,547934,0,0,255623984973,2660461839,542815,3527,1592,381,391556,0 114,2,2024-09-07 09:03:30:874,395199,395198,1,0,15842354,0,5069 114,3,2024-09-07 09:03:31:279,1,311,1,0,395,2931,311,0 115,0,2024-09-07 09:03:30:592,75767,0.3,76221,0.4,152462,0.2,203175,1.50 115,1,2024-09-07 09:03:30:594,547959,547959,0,0,255221564305,2653775994,543136,3983,840,382,391656,0 115,2,2024-09-07 09:03:31:126,394589,394589,0,0,14743107,0,3453 115,3,2024-09-07 09:03:31:006,1,311,8,0,159,1903,311,0 116,0,2024-09-07 09:03:31:714,81005,0.9,80907,1.0,162286,1.2,217047,2.25 116,1,2024-09-07 09:03:30:806,544962,544962,0,0,253754209376,2683281245,537376,5321,2265,380,391782,0 116,2,2024-09-07 09:03:31:765,392116,392116,0,0,20281426,0,3529 116,3,2024-09-07 09:03:30:927,1,311,1,0,415,4035,311,0 117,0,2024-09-07 09:03:30:991,81779,0.8,81350,0.9,162873,0.9,218093,2.00 117,1,2024-09-07 09:03:31:615,545968,545968,0,0,254080909053,2664171789,539841,5459,668,370,392033,0 117,2,2024-09-07 09:03:31:120,394255,394255,0,0,16542648,0,3700 117,3,2024-09-07 09:03:31:060,1,311,46,0,490,4801,311,0 118,0,2024-09-07 09:03:31:770,73074,0.5,75138,0.7,153084,0.5,199946,2.00 118,1,2024-09-07 09:03:30:599,545515,545515,0,0,253924522109,2674190008,537123,6537,1855,366,391907,0 118,2,2024-09-07 09:03:31:594,393296,393296,0,0,18286565,0,2781 118,3,2024-09-07 09:03:31:764,1,311,1,0,235,3461,311,0 119,0,2024-09-07 09:03:31:373,74742,0.7,75028,0.8,150247,0.8,200441,2.25 119,1,2024-09-07 09:03:30:556,547012,547012,0,0,255073372980,2671062219,540632,5544,836,367,391780,0 119,2,2024-09-07 09:03:31:300,394615,394615,0,0,17429636,0,4174 119,3,2024-09-07 09:03:31:345,1,311,11,0,563,4738,311,0 120,0,2024-09-07 09:03:31:553,79225,0.6,79205,0.8,158409,0.5,212044,2.25 120,1,2024-09-07 09:03:30:879,546439,546439,0,0,254532837004,2676467769,540052,5833,554,368,391961,0 120,2,2024-09-07 09:03:30:785,393327,393326,1,0,20358246,0,5281 120,3,2024-09-07 09:03:31:295,1,311,1,0,241,3918,311,0 121,0,2024-09-07 09:03:31:720,80462,1.1,80540,1.0,161667,1.5,215291,2.25 121,1,2024-09-07 09:03:31:686,546825,546825,0,0,255035075980,2671124791,541292,5102,431,367,391840,0 121,2,2024-09-07 09:03:31:126,388432,388432,0,0,19420066,0,4127 121,3,2024-09-07 09:03:30:739,1,311,6,0,269,3797,311,0 122,0,2024-09-07 09:03:31:773,77492,0.8,75449,0.9,158132,0.9,207348,2.00 122,1,2024-09-07 09:03:30:867,545474,545474,0,0,254710244554,2677921274,537335,6878,1261,366,392130,0 122,2,2024-09-07 09:03:31:324,394082,394009,73,0,21908647,0,5989 122,3,2024-09-07 09:03:30:616,1,311,2,0,411,5892,311,0 123,0,2024-09-07 09:03:30:970,73109,0.8,71458,0.8,149054,0.9,195599,2.25 123,1,2024-09-07 09:03:30:586,545630,545630,0,0,254775606929,2691913536,534603,8943,2084,369,392039,0 123,2,2024-09-07 09:03:31:033,392948,392947,1,0,19045371,0,5215 123,3,2024-09-07 09:03:31:137,1,311,12,0,168,3708,311,0 124,0,2024-09-07 09:03:30:926,80171,0.4,80134,0.5,151082,0.3,208183,1.75 124,1,2024-09-07 09:03:31:041,548071,548071,0,0,254732304307,2654818635,543423,3740,908,367,392178,0 124,2,2024-09-07 09:03:31:033,394583,394583,0,0,15891070,0,3101 124,3,2024-09-07 09:03:30:763,1,311,4,0,490,3340,311,0 125,0,2024-09-07 09:03:31:514,80350,0.4,79955,0.6,160839,0.4,213757,1.75 125,1,2024-09-07 09:03:30:877,546163,546163,0,0,255388649837,2667231782,542034,3661,468,383,391702,0 125,2,2024-09-07 09:03:31:140,389985,389985,0,0,16863717,0,4534 125,3,2024-09-07 09:03:31:133,1,311,27,0,709,4500,311,0 126,0,2024-09-07 09:03:31:494,80032,0.4,82271,0.6,157239,0.4,212949,1.75 126,1,2024-09-07 09:03:30:584,548672,548672,0,0,255750306319,2650821728,545612,2787,273,365,391987,0 126,2,2024-09-07 09:03:30:616,396311,396311,0,0,16755436,0,3186 126,3,2024-09-07 09:03:30:954,1,311,18,0,207,4031,311,0 127,0,2024-09-07 09:03:31:666,73053,0.3,73436,0.5,146446,0.3,194232,1.75 127,1,2024-09-07 09:03:30:589,548008,548008,0,0,255862170031,2656271915,543672,3821,515,365,391816,0 127,2,2024-09-07 09:03:30:639,394564,394564,0,0,15397445,0,2264 127,3,2024-09-07 09:03:31:269,1,311,13,0,968,3873,311,0 128,0,2024-09-07 09:03:31:525,76471,0.3,76641,0.5,153046,0.2,203615,1.50 128,1,2024-09-07 09:03:31:649,547309,547309,0,0,255555914595,2657006599,543280,3661,368,367,391798,0 128,2,2024-09-07 09:03:31:385,393785,393785,0,0,15221053,0,2915 128,3,2024-09-07 09:03:30:774,1,311,3,0,1082,5810,311,0 129,0,2024-09-07 09:03:31:046,81855,0.3,81505,0.5,163354,0.3,216986,1.75 129,1,2024-09-07 09:03:30:598,544697,544697,0,0,254666971134,2670406243,539378,4105,1214,379,391835,0 129,2,2024-09-07 09:03:30:700,393159,393159,0,0,16047066,0,4031 129,3,2024-09-07 09:03:30:709,1,311,0,0,469,4371,311,0 130,0,2024-09-07 09:03:31:754,81939,0.5,81590,0.6,163855,0.6,217964,1.75 130,1,2024-09-07 09:03:30:604,548232,548232,0,0,255841694642,2662294832,545031,2962,239,381,391825,0 130,2,2024-09-07 09:03:31:147,394543,394543,0,0,15773322,0,4067 130,3,2024-09-07 09:03:31:304,1,311,1,0,450,3955,311,0 131,0,2024-09-07 09:03:31:929,74502,0.4,75015,0.5,150783,0.3,199972,1.75 131,1,2024-09-07 09:03:31:839,547576,547576,0,0,254497690241,2659495038,543145,3686,745,381,391865,0 131,2,2024-09-07 09:03:30:574,396553,396553,0,0,14610955,0,2415 131,3,2024-09-07 09:03:31:738,1,311,8,0,392,3385,311,0 132,0,2024-09-07 09:03:31:416,75494,0.5,76298,0.6,152276,0.4,202318,2.00 132,1,2024-09-07 09:03:30:582,545061,545061,0,0,254357825089,2684450962,536474,7123,1464,381,392097,0 132,2,2024-09-07 09:03:30:705,393444,393444,0,0,19523630,0,4606 132,3,2024-09-07 09:03:31:737,1,311,27,0,804,6013,311,0 133,0,2024-09-07 09:03:31:595,79053,0.4,80736,0.6,165796,0.4,215868,2.00 133,1,2024-09-07 09:03:30:618,545118,545118,0,0,253544343629,2678748032,536966,7070,1082,383,391914,0 133,2,2024-09-07 09:03:31:094,392485,392485,0,0,20931744,0,4315 133,3,2024-09-07 09:03:31:299,1,311,6,0,479,3431,311,0 134,0,2024-09-07 09:03:30:969,81746,0.5,81721,0.7,163362,0.5,218154,2.00 134,1,2024-09-07 09:03:30:590,545549,545549,0,0,253733667423,2669919119,537501,6194,1854,366,391718,0 134,2,2024-09-07 09:03:31:779,389918,389918,0,0,17148470,0,3847 134,3,2024-09-07 09:03:30:775,1,311,2,0,739,4214,311,0 135,0,2024-09-07 09:03:31:125,73713,0.7,73824,0.8,156432,0.8,201743,2.00 135,1,2024-09-07 09:03:31:614,545625,545625,0,0,254848917744,2686651725,537682,6749,1194,380,391805,0 135,2,2024-09-07 09:03:30:698,394921,394921,0,0,18859089,0,3981 135,3,2024-09-07 09:03:31:013,1,311,8,0,299,2386,311,0 136,0,2024-09-07 09:03:31:726,74350,0.6,74464,0.7,148221,0.5,197860,2.25 136,1,2024-09-07 09:03:31:481,545875,545875,0,0,254060494741,2672861498,538951,6229,695,382,391685,0 136,2,2024-09-07 09:03:31:140,394827,394827,0,0,18733683,0,3506 136,3,2024-09-07 09:03:31:106,1,311,1,0,637,3635,311,0 137,0,2024-09-07 09:03:30:973,81413,0.7,79260,0.8,155638,0.7,211780,2.00 137,1,2024-09-07 09:03:30:609,545216,545216,0,0,254357303858,2675937976,535859,7479,1878,366,391708,0 137,2,2024-09-07 09:03:31:714,392997,392997,0,0,20839624,0,3185 137,3,2024-09-07 09:03:30:779,1,311,1,0,382,3918,311,0 138,0,2024-09-07 09:03:31:791,79329,1.4,79527,1.1,159492,2.0,212169,2.50 138,1,2024-09-07 09:03:31:709,545887,545887,0,0,255719869093,2690331158,537046,7262,1579,368,391954,0 138,2,2024-09-07 09:03:30:589,388949,388949,0,0,18660330,0,4988 138,3,2024-09-07 09:03:30:615,1,311,2,0,1160,4379,311,0 139,0,2024-09-07 09:03:31:474,77577,1.4,77949,1.1,156097,2.0,207801,2.25 139,1,2024-09-07 09:03:30:600,543607,543607,0,0,253044875918,2694380346,532740,8246,2621,381,391892,0 139,2,2024-09-07 09:03:30:713,392618,392618,0,0,20092022,0,3097 139,3,2024-09-07 09:03:31:663,1,311,13,0,257,3495,311,0 140,0,2024-09-07 09:03:31:616,73091,0.3,72618,0.5,145929,0.2,194160,1.75 140,1,2024-09-07 09:03:31:543,549168,549168,0,0,256070799868,2643355205,546131,2599,438,365,391606,0 140,2,2024-09-07 09:03:30:688,395598,395598,0,0,15594473,0,3388 140,3,2024-09-07 09:03:30:771,1,311,1,0,247,2652,311,0 141,0,2024-09-07 09:03:31:697,76909,0.3,79079,0.5,151122,0.3,205674,1.75 141,1,2024-09-07 09:03:30:867,548851,548851,0,0,255703392417,2656717291,545191,3201,459,379,391614,0 141,2,2024-09-07 09:03:31:703,393249,393249,0,0,15858196,0,3360 141,3,2024-09-07 09:03:31:043,1,311,1,0,391,3186,311,0 142,0,2024-09-07 09:03:31:318,81591,0.4,80853,0.5,161364,0.3,215639,1.75 142,1,2024-09-07 09:03:30:603,547983,547983,0,0,255422447666,2665803552,544247,3387,349,382,392102,0 142,2,2024-09-07 09:03:31:305,390694,390662,32,0,17286589,0,6028 142,3,2024-09-07 09:03:31:748,1,311,3,0,484,4030,311,0 143,0,2024-09-07 09:03:31:405,80637,0.5,80538,0.6,161460,0.4,214664,1.75 143,1,2024-09-07 09:03:30:556,548200,548200,0,0,255076982155,2649048465,544618,3436,146,367,391705,0 143,2,2024-09-07 09:03:30:779,394486,394486,0,0,16275867,0,2750 143,3,2024-09-07 09:03:31:152,1,311,8,0,303,3846,311,0 144,0,2024-09-07 09:03:31:497,72082,0.6,74205,0.8,151029,0.5,198112,2.00 144,1,2024-09-07 09:03:30:589,545515,545515,0,0,254440177904,2670795166,540673,3902,940,381,391649,0 144,2,2024-09-07 09:03:31:771,395404,395404,0,0,15200809,0,3473 144,3,2024-09-07 09:03:31:750,1,311,1,0,249,3341,311,0 145,0,2024-09-07 09:03:31:402,73179,0.7,73205,0.9,155416,0.6,200581,2.50 145,1,2024-09-07 09:03:30:561,544900,544900,0,0,254506222537,2680737919,537719,6041,1140,382,391759,0 145,2,2024-09-07 09:03:31:454,391814,391814,0,0,18867166,0,3903 145,3,2024-09-07 09:03:30:916,1,311,2,0,622,4940,311,0 146,0,2024-09-07 09:03:31:688,81512,0.6,80757,0.8,162854,0.6,215847,2.25 146,1,2024-09-07 09:03:31:616,546436,546436,0,0,254423027378,2679896021,537186,7345,1905,368,391770,0 146,2,2024-09-07 09:03:31:712,392350,392350,0,0,18733249,0,2730 146,3,2024-09-07 09:03:31:278,1,311,0,0,1520,6883,311,0 147,0,2024-09-07 09:03:31:735,81787,0.6,81499,0.8,162309,0.6,217653,2.25 147,1,2024-09-07 09:03:31:374,547879,547879,0,0,255647071923,2662721393,542673,4413,793,368,391791,0 147,2,2024-09-07 09:03:31:085,392791,392791,0,0,15569133,0,2789 147,3,2024-09-07 09:03:30:920,1,311,9,0,730,4699,311,0 0,0,2024-09-07 09:03:41:771,77546,0.6,77600,0.7,164545,0.6,212723,2.00 0,1,2024-09-07 09:03:40:877,548668,548668,0,0,256106620269,2681303505,544556,3870,242,370,391896,0 0,2,2024-09-07 09:03:41:069,395373,395373,0,0,16380226,0,4480 0,3,2024-09-07 09:03:40:983,1,312,431,0,431,4391,312,0 1,0,2024-09-07 09:03:41:847,81139,0.9,80575,1.0,161995,1.1,216771,2.00 1,1,2024-09-07 09:03:40:584,547887,547887,0,0,254798312378,2671773460,542532,4147,1208,370,391857,0 1,2,2024-09-07 09:03:40:664,389959,389959,0,0,15635409,0,3267 1,3,2024-09-07 09:03:41:314,1,312,9,0,262,3767,312,0 2,0,2024-09-07 09:03:41:567,77780,0.6,78142,0.8,154888,0.8,207366,2.00 2,1,2024-09-07 09:03:40:877,549454,549454,0,0,255716980549,2658748185,546560,2601,293,380,391745,0 2,2,2024-09-07 09:03:41:265,396461,396461,0,0,15686236,0,3594 2,3,2024-09-07 09:03:40:700,1,312,95,0,357,3235,312,0 3,0,2024-09-07 09:03:41:744,73541,0.4,73662,0.6,147274,0.4,196174,2.00 3,1,2024-09-07 09:03:41:618,548553,548553,0,0,255576755703,2665549827,543464,4466,623,380,391591,0 3,2,2024-09-07 09:03:41:148,396553,396530,23,0,16227372,0,5851 3,3,2024-09-07 09:03:41:753,1,312,12,0,103,1930,312,0 4,0,2024-09-07 09:03:41:866,75938,0.4,78227,0.5,158757,0.4,208574,1.75 4,1,2024-09-07 09:03:40:592,547050,547050,0,0,254754281870,2686710906,539757,5940,1353,371,391992,0 4,2,2024-09-07 09:03:41:039,392763,392763,0,0,18983524,0,4528 4,3,2024-09-07 09:03:41:051,1,312,0,0,448,4556,312,0 5,0,2024-09-07 09:03:41:435,80230,0.5,80507,0.6,160500,0.5,213456,1.75 5,1,2024-09-07 09:03:40:768,547642,547642,0,0,254913575329,2685747143,540489,5798,1355,368,392005,0 5,2,2024-09-07 09:03:41:831,389417,389417,0,0,17928729,0,2432 5,3,2024-09-07 09:03:41:757,1,312,15,0,457,4766,312,0 6,0,2024-09-07 09:03:40:923,80443,0.5,79897,0.7,159715,0.4,213022,2.00 6,1,2024-09-07 09:03:40:751,547564,547564,0,0,255179106413,2670987969,541221,5267,1076,379,391694,0 6,2,2024-09-07 09:03:41:118,395921,395921,0,0,17346180,0,4816 6,3,2024-09-07 09:03:41:276,1,312,29,0,340,3665,312,0 7,0,2024-09-07 09:03:41:532,72874,0.5,73087,0.6,145777,0.4,193462,2.00 7,1,2024-09-07 09:03:40:872,546924,546924,0,0,255534908357,2688777364,538863,6885,1176,382,391747,0 7,2,2024-09-07 09:03:40:779,395889,395889,0,0,17445714,0,4791 7,3,2024-09-07 09:03:40:852,1,312,8,0,398,3762,312,0 8,0,2024-09-07 09:03:41:487,76630,0.4,76398,0.5,153108,0.3,204300,1.75 8,1,2024-09-07 09:03:41:038,546367,546367,0,0,255312862047,2695168426,536307,7784,2276,366,392144,0 8,2,2024-09-07 09:03:40:798,390099,390099,0,0,21255793,0,3220 8,3,2024-09-07 09:03:40:590,1,312,13,0,538,5562,312,0 9,0,2024-09-07 09:03:41:226,81607,0.4,79330,0.6,165900,0.4,216951,1.75 9,1,2024-09-07 09:03:40:581,546956,546956,0,0,255667441196,2701306028,537978,6856,2122,369,392001,0 9,2,2024-09-07 09:03:41:096,392256,392256,0,0,18830505,0,3360 9,3,2024-09-07 09:03:41:758,1,312,105,0,496,4715,312,0 10,0,2024-09-07 09:03:41:599,81812,0.3,81197,0.5,163137,0.3,217382,1.75 10,1,2024-09-07 09:03:40:589,547609,547609,0,0,255654835555,2683326371,539820,6681,1108,381,391741,0 10,2,2024-09-07 09:03:40:783,394706,394706,0,0,20290149,0,4264 10,3,2024-09-07 09:03:40:872,1,312,0,0,296,2913,312,0 11,0,2024-09-07 09:03:41:048,74580,0.4,72361,0.6,151431,0.4,200756,1.75 11,1,2024-09-07 09:03:40:575,547762,547762,0,0,255447756289,2688878078,537905,7428,2429,383,391664,0 11,2,2024-09-07 09:03:41:130,395158,395158,0,0,18412275,0,4130 11,3,2024-09-07 09:03:41:319,1,312,8,0,843,5113,312,0 12,0,2024-09-07 09:03:41:004,76423,0.4,76393,0.5,152847,0.3,203053,1.75 12,1,2024-09-07 09:03:41:062,548421,548421,0,0,255178989805,2664718592,543648,4285,488,370,391870,0 12,2,2024-09-07 09:03:41:546,394899,394899,0,0,17927854,0,3469 12,3,2024-09-07 09:03:41:069,1,312,4,0,386,5165,312,0 13,0,2024-09-07 09:03:41:416,82054,0.4,81707,0.6,163428,0.4,218190,1.75 13,1,2024-09-07 09:03:41:529,547514,547514,0,0,255587285721,2690203290,542000,4116,1398,382,391740,0 13,2,2024-09-07 09:03:40:657,395682,395682,0,0,16619020,0,3287 13,3,2024-09-07 09:03:41:771,1,312,1,0,522,5265,312,0 14,0,2024-09-07 09:03:40:562,82233,0.4,82832,0.6,163807,0.4,218726,1.75 14,1,2024-09-07 09:03:41:568,551492,551492,0,0,257350422437,2667322082,547384,3828,280,364,391571,0 14,2,2024-09-07 09:03:40:771,392065,392065,0,0,16527056,0,2896 14,3,2024-09-07 09:03:41:117,1,312,0,0,1168,4039,312,0 15,0,2024-09-07 09:03:41:553,76288,0.4,76477,0.7,152722,0.4,203071,2.00 15,1,2024-09-07 09:03:41:608,549132,549132,0,0,255805894081,2660244601,546144,2807,181,381,391619,0 15,2,2024-09-07 09:03:41:003,397454,397454,0,0,14473813,0,3043 15,3,2024-09-07 09:03:41:422,1,312,271,0,1126,6076,312,0 16,0,2024-09-07 09:03:41:027,74313,0.6,74615,0.8,148665,0.6,198142,2.25 16,1,2024-09-07 09:03:40:570,549110,549110,0,0,255749310847,2673589969,544832,3918,360,370,391756,0 16,2,2024-09-07 09:03:41:447,395584,395584,0,0,17174141,0,4719 16,3,2024-09-07 09:03:41:161,1,312,6,0,317,4562,312,0 17,0,2024-09-07 09:03:41:852,81639,0.7,79819,0.9,156097,0.8,212203,2.00 17,1,2024-09-07 09:03:40:584,548212,548212,0,0,255055647691,2680991810,542097,4859,1256,368,391899,0 17,2,2024-09-07 09:03:41:672,397073,397073,0,0,16990403,0,2857 17,3,2024-09-07 09:03:40:579,1,312,14,0,268,4864,312,0 18,0,2024-09-07 09:03:40:962,79144,0.7,79568,0.8,158602,0.7,211616,2.25 18,1,2024-09-07 09:03:41:645,549662,549662,0,0,256773911887,2663628156,546403,2948,311,367,391649,0 18,2,2024-09-07 09:03:41:756,391775,391775,0,0,15646360,0,3541 18,3,2024-09-07 09:03:40:911,1,312,2,0,163,2787,312,0 19,0,2024-09-07 09:03:41:539,78168,0.6,78771,0.8,156194,0.6,207772,2.25 19,1,2024-09-07 09:03:40:570,549559,549559,0,0,256621769651,2666445024,544448,4273,838,367,391777,0 19,2,2024-09-07 09:03:41:752,397684,397684,0,0,14375626,0,3988 19,3,2024-09-07 09:03:41:149,1,312,7,0,524,2401,312,0 20,0,2024-09-07 09:03:41:380,72757,0.6,72744,0.7,145603,0.6,194023,2.25 20,1,2024-09-07 09:03:40:575,547764,547764,0,0,256006010035,2677884273,543445,3915,404,369,391886,0 20,2,2024-09-07 09:03:40:969,396323,396323,0,0,17353934,0,3721 20,3,2024-09-07 09:03:40:591,1,312,2,0,414,5117,312,0 21,0,2024-09-07 09:03:41:266,77393,0.6,77446,0.7,154678,0.5,205928,2.00 21,1,2024-09-07 09:03:41:537,546646,546646,0,0,254750618264,2688718233,538801,5969,1876,368,392016,0 21,2,2024-09-07 09:03:41:091,392507,392507,0,0,20446786,0,3747 21,3,2024-09-07 09:03:41:412,1,312,3,0,103,3195,312,0 22,0,2024-09-07 09:03:41:724,80597,0.6,80897,0.8,161747,0.6,213954,2.25 22,1,2024-09-07 09:03:41:028,547874,547874,0,0,254540735846,2680902091,539429,6626,1819,382,391667,0 22,2,2024-09-07 09:03:40:767,390625,390625,0,0,16653991,0,3134 22,3,2024-09-07 09:03:41:072,1,312,1,0,228,2760,312,0 23,0,2024-09-07 09:03:41:374,80138,0.6,80037,0.7,160441,0.5,213540,2.25 23,1,2024-09-07 09:03:41:009,547673,547673,0,0,255537063517,2690951914,537184,7331,3158,365,391690,0 23,2,2024-09-07 09:03:41:102,396438,396438,0,0,16286132,0,3010 23,3,2024-09-07 09:03:41:755,1,312,14,0,645,3754,312,0 24,0,2024-09-07 09:03:40:961,75189,0.4,74996,0.6,150609,0.4,199855,1.75 24,1,2024-09-07 09:03:40:581,547025,547025,0,0,254916987104,2678054886,540443,5057,1525,368,391987,0 24,2,2024-09-07 09:03:41:129,394558,394558,0,0,20000287,0,3607 24,3,2024-09-07 09:03:41:693,1,312,2,0,468,4381,312,0 25,0,2024-09-07 09:03:41:412,78261,0.4,76363,0.6,149683,0.4,204549,2.00 25,1,2024-09-07 09:03:40:573,548233,548233,0,0,255449913954,2682591390,541181,5918,1134,371,391928,0 25,2,2024-09-07 09:03:41:608,393047,393047,0,0,20211755,0,3978 25,3,2024-09-07 09:03:41:004,1,312,37,0,255,3273,312,0 26,0,2024-09-07 09:03:41:725,81193,0.5,79386,0.7,166616,0.4,216574,2.00 26,1,2024-09-07 09:03:41:545,548664,548664,0,0,254925625833,2677932703,539835,7293,1536,380,391748,0 26,2,2024-09-07 09:03:40:894,394065,394065,0,0,20067274,0,2809 26,3,2024-09-07 09:03:41:723,1,312,1,0,796,4324,312,0 27,0,2024-09-07 09:03:41:729,82253,0.5,82263,0.6,163070,0.4,218244,2.00 27,1,2024-09-07 09:03:41:675,550057,550057,0,0,256415787402,2673585766,544922,4370,765,381,391626,0 27,2,2024-09-07 09:03:40:876,391104,391039,65,0,19705526,0,5699 27,3,2024-09-07 09:03:41:023,1,312,15,0,564,3311,312,0 28,0,2024-09-07 09:03:41:424,75691,0.4,75461,0.6,151140,0.4,201686,2.00 28,1,2024-09-07 09:03:40:797,549238,549238,0,0,256445159162,2674264773,545140,3422,676,383,391646,0 28,2,2024-09-07 09:03:41:771,395448,395448,0,0,16366382,0,2915 28,3,2024-09-07 09:03:41:777,1,312,0,0,502,3151,312,0 29,0,2024-09-07 09:03:41:376,77657,0.4,75786,0.6,148304,0.3,203052,1.75 29,1,2024-09-07 09:03:41:561,550690,550690,0,0,256582673640,2658417685,546907,3200,583,369,391753,0 29,2,2024-09-07 09:03:40:879,394506,394506,0,0,15904845,0,4233 29,3,2024-09-07 09:03:40:983,1,312,27,0,374,3360,312,0 30,0,2024-09-07 09:03:41:462,79685,0.4,77397,0.7,162017,0.4,212217,2.00 30,1,2024-09-07 09:03:40:574,550459,550459,0,0,256518205698,2665514600,546309,3544,606,382,391672,0 30,2,2024-09-07 09:03:41:300,395133,395133,0,0,15492855,0,3161 30,3,2024-09-07 09:03:40:590,1,312,1,0,519,3000,312,0 31,0,2024-09-07 09:03:41:766,80732,0.4,80953,0.6,162223,0.4,216374,2.00 31,1,2024-09-07 09:03:40:563,552418,552418,0,0,257502188239,2641906146,550330,1659,429,356,391712,0 31,2,2024-09-07 09:03:41:275,390846,390846,0,0,16863429,0,3525 31,3,2024-09-07 09:03:41:710,1,312,14,0,220,2603,312,0 32,0,2024-09-07 09:03:41:433,77814,0.3,78092,0.5,156165,0.3,207735,1.75 32,1,2024-09-07 09:03:40:809,549867,549867,0,0,256367457325,2664109237,546804,2618,445,381,391646,0 32,2,2024-09-07 09:03:40:946,396460,396460,0,0,14750798,0,3155 32,3,2024-09-07 09:03:41:023,1,312,1,0,227,2377,312,0 33,0,2024-09-07 09:03:41:501,73984,0.3,73555,0.5,147588,0.2,196952,1.75 33,1,2024-09-07 09:03:40:589,550180,550180,0,0,257012450182,2663837851,545801,3548,831,369,391730,0 33,2,2024-09-07 09:03:40:767,397343,397308,35,0,16794652,0,7012 33,3,2024-09-07 09:03:40:904,1,312,64,0,329,3489,312,0 34,0,2024-09-07 09:03:40:954,78425,0.3,80781,0.5,154525,0.2,207878,1.75 34,1,2024-09-07 09:03:41:044,551687,551687,0,0,257411202235,2647620930,550297,1383,7,367,391562,0 34,2,2024-09-07 09:03:40:784,394898,394898,0,0,16018012,0,3577 34,3,2024-09-07 09:03:41:701,1,312,2,0,299,2384,312,0 35,0,2024-09-07 09:03:40:862,79498,0.4,79893,0.5,160823,0.3,214016,1.75 35,1,2024-09-07 09:03:41:080,549995,549995,0,0,257218915180,2667956637,546394,2821,780,382,391589,0 35,2,2024-09-07 09:03:41:585,390805,390805,0,0,15889949,0,2653 35,3,2024-09-07 09:03:40:914,1,312,12,0,418,4128,312,0 36,0,2024-09-07 09:03:41:523,80257,0.5,80304,0.7,160648,0.4,212734,2.00 36,1,2024-09-07 09:03:40:588,549370,549370,0,0,255348307428,2672639501,542145,5567,1658,366,391759,0 36,2,2024-09-07 09:03:41:754,396196,396196,0,0,18481224,0,3875 36,3,2024-09-07 09:03:40:876,1,312,29,0,416,5224,312,0 37,0,2024-09-07 09:03:41:379,72720,0.5,72675,0.7,145559,0.5,194309,2.00 37,1,2024-09-07 09:03:40:578,547965,547958,0,7,255515230152,2674842401,541193,4680,2085,365,391570,0 37,2,2024-09-07 09:03:41:150,394775,394760,15,0,17939895,0,5815 37,3,2024-09-07 09:03:41:770,1,312,1,0,888,5444,312,0 38,0,2024-09-07 09:03:41:439,75982,0.5,73831,0.7,154405,0.4,202320,2.00 38,1,2024-09-07 09:03:41:606,549992,549992,0,0,256087145895,2670884192,543958,5277,757,368,391821,0 38,2,2024-09-07 09:03:40:760,393266,393219,47,0,18663008,0,6710 38,3,2024-09-07 09:03:41:002,1,312,23,0,689,4560,312,0 39,0,2024-09-07 09:03:41:763,82909,0.7,81235,0.8,157991,0.7,215723,2.00 39,1,2024-09-07 09:03:40:718,548718,548718,0,0,255648818540,2679511360,540380,6878,1460,365,391594,0 39,2,2024-09-07 09:03:41:422,392872,392872,0,0,16736609,0,2689 39,3,2024-09-07 09:03:40:721,1,312,64,0,324,4019,312,0 40,0,2024-09-07 09:03:41:500,80844,0.8,81510,1.0,162888,0.8,216951,2.75 40,1,2024-09-07 09:03:40:578,549122,549122,0,0,255187021084,2677298684,540619,6994,1509,368,391668,0 40,2,2024-09-07 09:03:41:314,393993,393992,1,0,19950764,0,5137 40,3,2024-09-07 09:03:41:155,1,312,1,0,1028,4874,312,0 41,0,2024-09-07 09:03:41:061,74424,1.5,76287,1.3,145585,2.5,198555,3.25 41,1,2024-09-07 09:03:40:775,547928,547928,0,0,255683904321,2679857340,540886,6339,703,370,391742,0 41,2,2024-09-07 09:03:40:777,393984,393984,0,0,19002439,0,3356 41,3,2024-09-07 09:03:41:686,1,312,1,0,366,3325,312,0 42,0,2024-09-07 09:03:41:473,75229,1.0,75215,1.1,150775,1.1,199047,2.75 42,1,2024-09-07 09:03:41:446,547409,547409,0,0,254908959790,2681873041,538673,7172,1564,380,391675,0 42,2,2024-09-07 09:03:41:384,394300,394300,0,0,19201099,0,3790 42,3,2024-09-07 09:03:41:015,1,312,1,0,446,3034,312,0 43,0,2024-09-07 09:03:40:922,80406,1.0,78579,1.1,163898,1.0,215340,2.50 43,1,2024-09-07 09:03:40:581,548981,548981,0,0,256412872324,2683238416,541028,6516,1437,366,391696,0 43,2,2024-09-07 09:03:41:738,394752,394752,0,0,19034780,0,3812 43,3,2024-09-07 09:03:41:751,1,312,8,0,467,3969,312,0 44,0,2024-09-07 09:03:40:865,81921,0.5,82344,0.6,164243,0.4,218841,1.75 44,1,2024-09-07 09:03:40:567,550491,550491,0,0,256331753895,2652209092,546102,3443,946,356,391809,0 44,2,2024-09-07 09:03:41:271,391285,391285,0,0,15648702,0,4344 44,3,2024-09-07 09:03:41:103,1,312,1,0,817,4298,312,0 45,0,2024-09-07 09:03:41:779,75377,0.5,73593,0.7,154356,0.5,203003,2.00 45,1,2024-09-07 09:03:41:026,549607,549607,0,0,256401628187,2662794351,546425,2921,261,382,391917,0 45,2,2024-09-07 09:03:41:296,396201,396201,0,0,15887272,0,3596 45,3,2024-09-07 09:03:40:951,1,312,8,0,271,3091,312,0 46,0,2024-09-07 09:03:40:954,73749,0.5,73819,0.7,147844,0.4,196097,2.00 46,1,2024-09-07 09:03:40:587,551207,551207,0,0,257166418835,2657576126,547674,3108,425,366,391539,0 46,2,2024-09-07 09:03:40:594,396340,396340,0,0,15369227,0,2920 46,3,2024-09-07 09:03:41:138,1,312,5,0,908,4444,312,0 47,0,2024-09-07 09:03:41:114,79785,0.5,79841,0.6,159964,0.4,211918,1.75 47,1,2024-09-07 09:03:40:570,550702,550702,0,0,256437934061,2651733055,547273,2993,436,366,391641,0 47,2,2024-09-07 09:03:40:914,396884,396884,0,0,15749079,0,4477 47,3,2024-09-07 09:03:41:134,1,312,12,0,529,3483,312,0 48,0,2024-09-07 09:03:41:499,80817,0.3,80316,0.4,160138,0.2,213818,1.50 48,1,2024-09-07 09:03:41:027,549979,549979,0,0,256325486195,2664252579,546442,3236,301,384,391710,0 48,2,2024-09-07 09:03:40:701,390377,390377,0,0,14474351,0,3031 48,3,2024-09-07 09:03:40:765,1,312,3,0,339,2763,312,0 49,0,2024-09-07 09:03:41:714,80778,0.3,79295,0.5,153708,0.3,210154,1.75 49,1,2024-09-07 09:03:41:027,549317,549317,0,0,256345468035,2672130744,545018,3115,1184,382,391809,0 49,2,2024-09-07 09:03:41:811,397394,397394,0,0,15482006,0,4426 49,3,2024-09-07 09:03:41:420,1,312,1,0,408,3397,312,0 50,0,2024-09-07 09:03:41:506,72873,0.3,72213,0.5,145251,0.2,193653,1.75 50,1,2024-09-07 09:03:41:016,551536,551536,0,0,256837857696,2658631289,547723,3439,374,368,391540,0 50,2,2024-09-07 09:03:41:072,396674,396674,0,0,14626247,0,2263 50,3,2024-09-07 09:03:41:306,1,312,1,0,335,2832,312,0 51,0,2024-09-07 09:03:41:692,79349,0.3,77605,0.5,151466,0.2,206734,1.75 51,1,2024-09-07 09:03:41:684,551433,551433,0,0,257448307148,2658882130,548180,2278,975,365,391706,0 51,2,2024-09-07 09:03:41:316,394725,394725,0,0,14216572,0,3337 51,3,2024-09-07 09:03:41:037,1,312,16,0,678,2446,312,0 52,0,2024-09-07 09:03:41:424,81168,0.5,81053,0.7,162075,0.5,215340,2.00 52,1,2024-09-07 09:03:40:587,548511,548511,0,0,255015755047,2676854639,539983,7218,1310,368,391722,0 52,2,2024-09-07 09:03:41:756,388429,388391,38,0,18835562,0,6742 52,3,2024-09-07 09:03:40:684,1,312,2,0,1782,5095,312,0 53,0,2024-09-07 09:03:41:732,80020,0.7,77811,0.8,162581,0.7,213068,2.25 53,1,2024-09-07 09:03:40:775,547240,547240,0,0,255410372587,2686541350,537823,6836,2581,367,391702,0 53,2,2024-09-07 09:03:41:306,396438,396438,0,0,16095387,0,2727 53,3,2024-09-07 09:03:40:698,1,312,3,0,308,3146,312,0 54,0,2024-09-07 09:03:41:616,73563,0.6,74032,0.8,147270,0.4,196956,2.50 54,1,2024-09-07 09:03:40:589,549225,549225,0,0,256567109050,2670526686,543633,4990,602,367,391659,0 54,2,2024-09-07 09:03:40:876,396089,396083,6,0,18699359,0,5382 54,3,2024-09-07 09:03:40:777,1,312,52,0,676,5066,312,0 55,0,2024-09-07 09:03:41:763,73596,0.6,76334,0.8,153548,0.6,200678,2.50 55,1,2024-09-07 09:03:40:779,548314,548314,0,0,255803010052,2666300679,541849,5557,908,365,391731,0 55,2,2024-09-07 09:03:40:731,393203,393203,0,0,18169419,0,3563 55,3,2024-09-07 09:03:40:674,1,312,8,0,304,3561,312,0 56,0,2024-09-07 09:03:41:564,83096,1.3,78343,1.2,161643,1.8,216195,2.75 56,1,2024-09-07 09:03:40:583,546315,546315,0,0,255116728922,2698255788,537803,6887,1625,381,391867,0 56,2,2024-09-07 09:03:41:317,393579,393579,0,0,18993840,0,3567 56,3,2024-09-07 09:03:41:065,1,312,0,0,705,4348,312,0 57,0,2024-09-07 09:03:41:018,80901,1.5,80642,1.3,161714,2.1,216728,3.00 57,1,2024-09-07 09:03:40:994,547943,547943,0,0,255349603364,2680367045,541255,5971,717,366,392032,0 57,2,2024-09-07 09:03:41:331,393280,393280,0,0,20385580,0,3317 57,3,2024-09-07 09:03:41:762,1,312,10,0,359,3743,312,0 58,0,2024-09-07 09:03:40:555,74182,0.9,72161,1.0,151228,1.1,198271,2.50 58,1,2024-09-07 09:03:40:577,549063,549060,0,3,255936452711,2679787437,541269,6740,1051,367,391603,3 58,2,2024-09-07 09:03:41:075,394755,394755,0,0,18765786,0,2549 58,3,2024-09-07 09:03:41:072,1,312,0,0,1043,3364,312,0 59,0,2024-09-07 09:03:41:751,75232,0.9,74856,1.0,149690,0.9,199254,2.75 59,1,2024-09-07 09:03:40:816,548703,548703,0,0,255795938644,2681235389,541201,6037,1465,369,391578,0 59,2,2024-09-07 09:03:40:584,395954,395954,0,0,18250512,0,2867 59,3,2024-09-07 09:03:41:738,1,312,14,0,1015,4204,312,0 60,0,2024-09-07 09:03:41:716,79973,0.4,80012,0.6,160689,0.4,212912,1.75 60,1,2024-09-07 09:03:40:868,550450,550450,0,0,256757365994,2664842828,547308,2605,537,370,392031,0 60,2,2024-09-07 09:03:41:170,395283,395283,0,0,18105147,0,3811 60,3,2024-09-07 09:03:41:266,1,312,1,0,175,3183,312,0 61,0,2024-09-07 09:03:41:494,80912,0.7,81402,0.8,161659,0.7,216133,2.00 61,1,2024-09-07 09:03:40:779,548510,548510,0,0,256249669849,2685674765,542490,5106,914,382,391880,0 61,2,2024-09-07 09:03:41:145,391451,391451,0,0,16371107,0,3598 61,3,2024-09-07 09:03:41:690,1,312,1,0,479,4886,312,0 62,0,2024-09-07 09:03:41:756,78258,0.5,79962,0.7,152420,0.6,207400,2.00 62,1,2024-09-07 09:03:41:135,551619,551613,0,6,257306531560,2656282153,548723,2728,162,365,391715,6 62,2,2024-09-07 09:03:41:649,394718,394717,1,0,17642741,0,5555 62,3,2024-09-07 09:03:41:148,1,312,1,0,465,2507,312,0 63,0,2024-09-07 09:03:41:478,73754,0.4,73788,0.6,147793,0.4,196779,1.75 63,1,2024-09-07 09:03:40:864,549946,549940,0,6,256965325430,2668090207,546577,3126,237,381,391800,6 63,2,2024-09-07 09:03:40:762,396618,396618,0,0,16239808,0,4369 63,3,2024-09-07 09:03:41:741,1,312,8,0,667,3536,312,0 64,0,2024-09-07 09:03:41:522,77845,0.5,77729,0.7,155462,0.5,207031,2.00 64,1,2024-09-07 09:03:40:758,549378,549378,0,0,255996473958,2672437390,543746,3976,1656,370,391783,0 64,2,2024-09-07 09:03:41:154,398298,398279,19,0,15973185,0,6121 64,3,2024-09-07 09:03:41:160,1,312,13,0,265,3023,312,0 65,0,2024-09-07 09:03:41:699,79510,0.7,79717,0.8,159220,0.8,211955,2.25 65,1,2024-09-07 09:03:40:886,548142,548142,0,0,255679659961,2674948696,544097,3596,449,382,391901,0 65,2,2024-09-07 09:03:41:695,391616,391616,0,0,17318784,0,3367 65,3,2024-09-07 09:03:41:685,1,312,1,0,163,2847,312,0 66,0,2024-09-07 09:03:41:762,79718,0.5,79611,0.7,159556,0.5,211533,2.00 66,1,2024-09-07 09:03:41:311,549576,549576,0,0,256996475552,2674129473,546024,3232,320,380,391653,0 66,2,2024-09-07 09:03:41:150,398405,398405,0,0,15874532,0,4956 66,3,2024-09-07 09:03:41:089,1,312,1,0,291,3100,312,0 67,0,2024-09-07 09:03:41:425,73567,0.6,72859,0.7,146441,0.5,194512,2.00 67,1,2024-09-07 09:03:40:784,549730,549729,0,1,255329508705,2662206486,545602,3376,751,380,391787,1 67,2,2024-09-07 09:03:40:594,397308,397308,0,0,15147460,0,2889 67,3,2024-09-07 09:03:41:754,1,312,11,0,338,2769,312,0 68,0,2024-09-07 09:03:40:658,76857,0.6,76362,0.8,152542,0.6,204021,2.00 68,1,2024-09-07 09:03:40:581,548483,548483,0,0,255381309806,2678696305,543683,3599,1201,381,391953,0 68,2,2024-09-07 09:03:41:049,392196,392131,65,0,20428984,0,6698 68,3,2024-09-07 09:03:40:738,1,312,53,0,417,3473,312,0 69,0,2024-09-07 09:03:41:729,80881,1.0,81301,0.9,162007,1.2,214826,2.25 69,1,2024-09-07 09:03:41:017,546467,546467,0,0,254156193674,2688336880,538502,5855,2110,384,391994,0 69,2,2024-09-07 09:03:41:743,392250,392250,0,0,20354681,0,3722 69,3,2024-09-07 09:03:40:769,1,312,0,0,698,4489,312,0 70,0,2024-09-07 09:03:41:540,80921,0.8,80886,1.0,162662,0.7,215577,2.50 70,1,2024-09-07 09:03:40:809,550048,550048,0,0,256473051843,2666187595,545228,4284,536,366,391725,0 70,2,2024-09-07 09:03:41:330,393877,393877,0,0,18430651,0,4044 70,3,2024-09-07 09:03:40:746,1,312,0,0,854,3681,312,0 71,0,2024-09-07 09:03:41:362,74494,0.9,74156,1.0,148959,1.1,199426,2.75 71,1,2024-09-07 09:03:41:596,548561,548561,0,0,256676327392,2682354988,541998,5907,656,368,391738,0 71,2,2024-09-07 09:03:41:071,395393,395393,0,0,18189442,0,4042 71,3,2024-09-07 09:03:41:752,1,312,8,0,644,4462,312,0 72,0,2024-09-07 09:03:41:046,78401,0.7,76613,0.8,149607,0.7,203421,2.00 72,1,2024-09-07 09:03:41:029,548086,548086,0,0,255324675721,2678238679,540325,6076,1685,369,391819,0 72,2,2024-09-07 09:03:41:766,393305,393305,0,0,20845833,0,3983 72,3,2024-09-07 09:03:41:765,1,312,26,0,564,5205,312,0 73,0,2024-09-07 09:03:41:119,79446,0.4,81374,0.6,166625,0.4,216824,2.00 73,1,2024-09-07 09:03:40:799,549171,549171,0,0,256113967211,2665578565,544985,3857,329,367,391858,0 73,2,2024-09-07 09:03:41:750,394694,394694,0,0,20000172,0,3701 73,3,2024-09-07 09:03:40:996,1,312,58,0,274,4385,312,0 74,0,2024-09-07 09:03:41:334,82374,0.5,84440,0.7,161196,0.5,218602,2.25 74,1,2024-09-07 09:03:40:658,548693,548693,0,0,255391532296,2670414883,542744,4691,1258,381,391681,0 74,2,2024-09-07 09:03:41:011,391481,391481,0,0,18775600,0,4253 74,3,2024-09-07 09:03:41:447,1,312,29,0,522,4515,312,0 75,0,2024-09-07 09:03:41:764,76548,0.5,76182,0.7,152625,0.4,204001,2.25 75,1,2024-09-07 09:03:41:585,548238,548238,0,0,255684084398,2676229503,541893,5520,825,380,391739,0 75,2,2024-09-07 09:03:41:355,395042,395042,0,0,19062610,0,4766 75,3,2024-09-07 09:03:41:068,1,312,12,0,702,4667,312,0 76,0,2024-09-07 09:03:40:593,73866,0.6,73337,0.8,147283,0.6,197091,2.25 76,1,2024-09-07 09:03:40:859,549085,549085,0,0,255031536568,2662182236,545417,3112,556,382,391692,0 76,2,2024-09-07 09:03:41:068,397046,397045,1,0,17951729,0,5144 76,3,2024-09-07 09:03:41:148,1,312,0,0,175,3120,312,0 77,0,2024-09-07 09:03:41:782,79461,0.6,79579,0.8,159105,0.6,211858,2.00 77,1,2024-09-07 09:03:40:850,549286,549286,0,0,255812063642,2673401910,544954,4066,266,381,391869,0 77,2,2024-09-07 09:03:41:306,395655,395655,0,0,17431877,0,3890 77,3,2024-09-07 09:03:41:106,1,312,1,0,401,3603,312,0 78,0,2024-09-07 09:03:41:723,80376,0.5,79972,0.7,160737,0.4,213108,2.00 78,1,2024-09-07 09:03:40:622,549548,549548,0,0,256003552105,2666892852,544219,4319,1010,367,391670,0 78,2,2024-09-07 09:03:41:422,391318,391318,0,0,14950370,0,3855 78,3,2024-09-07 09:03:41:138,1,312,2,0,181,3022,312,0 79,0,2024-09-07 09:03:41:364,76215,0.4,78200,0.6,159770,0.4,207627,2.25 79,1,2024-09-07 09:03:40:576,551159,551159,0,0,256669370443,2657387735,547386,3328,445,369,391682,0 79,2,2024-09-07 09:03:41:070,397281,397281,0,0,15503740,0,3212 79,3,2024-09-07 09:03:40:756,1,312,8,0,418,4226,312,0 80,0,2024-09-07 09:03:41:087,72822,0.6,74842,0.7,143356,0.5,193435,2.00 80,1,2024-09-07 09:03:41:620,549261,549261,0,0,256075764713,2665630493,545953,3131,177,368,391791,0 80,2,2024-09-07 09:03:41:110,398050,398050,0,0,15449686,0,4433 80,3,2024-09-07 09:03:40:575,1,312,0,0,190,3908,312,0 81,0,2024-09-07 09:03:41:560,77187,0.6,79081,0.7,151109,0.5,205452,2.00 81,1,2024-09-07 09:03:41:659,548243,548243,0,0,255131331636,2670136734,543404,4340,499,382,391879,0 81,2,2024-09-07 09:03:41:128,394112,394049,63,0,17348900,0,5932 81,3,2024-09-07 09:03:41:119,1,312,2,0,374,3769,312,0 82,0,2024-09-07 09:03:41:557,80504,0.5,81030,0.7,162199,0.5,214875,2.00 82,1,2024-09-07 09:03:40:596,550119,550115,0,4,256677979676,2674578674,546263,3056,796,381,391768,4 82,2,2024-09-07 09:03:41:694,392733,392733,0,0,14954161,0,3986 82,3,2024-09-07 09:03:41:756,1,312,17,0,363,3377,312,0 83,0,2024-09-07 09:03:41:523,80852,0.5,80598,0.7,160424,0.5,213413,2.00 83,1,2024-09-07 09:03:40:557,548735,548735,0,0,255601840685,2667846339,544626,3788,321,382,391709,0 83,2,2024-09-07 09:03:40:782,395821,395821,0,0,15427567,0,3393 83,3,2024-09-07 09:03:40:749,1,312,12,0,1260,4774,312,0 84,0,2024-09-07 09:03:41:815,74173,0.7,74088,0.9,148387,0.6,198397,2.25 84,1,2024-09-07 09:03:41:042,548362,548362,0,0,255592238356,2674118151,542639,4996,727,367,391967,0 84,2,2024-09-07 09:03:40:572,395671,395671,0,0,19339472,0,4757 84,3,2024-09-07 09:03:41:157,1,312,3,0,908,4903,312,0 85,0,2024-09-07 09:03:41:022,73416,0.8,73496,0.9,155819,0.8,201908,2.50 85,1,2024-09-07 09:03:40:581,547197,547197,0,0,254991370568,2696095069,538702,7127,1368,381,392006,0 85,2,2024-09-07 09:03:40:867,394384,394384,0,0,19215058,0,3656 85,3,2024-09-07 09:03:40:692,1,312,18,0,789,4322,312,0 86,0,2024-09-07 09:03:40:880,81435,0.7,83726,0.8,160396,0.8,216374,2.25 86,1,2024-09-07 09:03:40:832,548678,548678,0,0,255602922444,2680690450,541806,5807,1065,366,391961,0 86,2,2024-09-07 09:03:40:859,392714,392713,1,0,20050156,0,5004 86,3,2024-09-07 09:03:40:587,1,312,2,0,308,4431,312,0 87,0,2024-09-07 09:03:41:289,81518,0.9,81575,0.9,163628,1.2,218509,2.25 87,1,2024-09-07 09:03:40:574,547683,547683,0,0,255444063607,2675717248,541593,5481,609,366,392076,0 87,2,2024-09-07 09:03:41:075,392635,392635,0,0,18019330,0,4045 87,3,2024-09-07 09:03:41:808,1,312,13,0,473,4637,312,0 88,0,2024-09-07 09:03:41:450,75479,0.4,75940,0.6,151539,0.4,201265,2.00 88,1,2024-09-07 09:03:40:569,546998,546998,0,0,255554144564,2683208920,539468,5877,1653,365,392084,0 88,2,2024-09-07 09:03:40:693,395614,395614,0,0,19939948,0,3583 88,3,2024-09-07 09:03:41:317,1,312,2,0,435,3559,312,0 89,0,2024-09-07 09:03:41:770,77797,0.5,75246,0.7,149262,0.4,202677,2.00 89,1,2024-09-07 09:03:40:557,547015,547015,0,0,255213815644,2689417554,539478,6267,1270,382,391866,0 89,2,2024-09-07 09:03:41:139,394270,394270,0,0,19308771,0,2910 89,3,2024-09-07 09:03:41:800,1,312,2,0,385,5902,312,0 90,0,2024-09-07 09:03:41:631,77662,0.4,79713,0.6,162672,0.4,212114,1.75 90,1,2024-09-07 09:03:40:594,548555,548555,0,0,256138767182,2687275863,542820,5342,393,380,391825,0 90,2,2024-09-07 09:03:41:416,394013,394013,0,0,20687601,0,3060 90,3,2024-09-07 09:03:40:945,1,312,0,0,246,3552,312,0 91,0,2024-09-07 09:03:40:934,81305,0.5,78987,0.7,164696,0.5,216657,1.75 91,1,2024-09-07 09:03:40:573,547148,547148,0,0,256075085780,2697510710,539508,6599,1041,381,392047,0 91,2,2024-09-07 09:03:41:338,391040,391040,0,0,18307649,0,2896 91,3,2024-09-07 09:03:40:610,1,312,10,0,216,3030,312,0 92,0,2024-09-07 09:03:41:484,78440,0.5,80459,0.6,153653,0.4,207834,1.75 92,1,2024-09-07 09:03:40:588,549055,549055,0,0,255270145286,2665702928,545452,3122,481,382,392136,0 92,2,2024-09-07 09:03:41:397,397372,397372,0,0,15896175,0,2801 92,3,2024-09-07 09:03:41:017,1,312,18,0,167,2738,312,0 93,0,2024-09-07 09:03:40:957,74191,0.4,76078,0.6,145274,0.3,197232,1.75 93,1,2024-09-07 09:03:40:811,548861,548861,0,0,256033225643,2673411190,543551,4469,841,366,391776,0 93,2,2024-09-07 09:03:40:946,396196,396196,0,0,18547975,0,4311 93,3,2024-09-07 09:03:41:421,1,312,20,0,190,3038,312,0 94,0,2024-09-07 09:03:41:632,77914,0.4,78639,0.5,156899,0.3,208598,1.75 94,1,2024-09-07 09:03:40:576,548821,548821,0,0,256030403355,2674606096,544943,3691,187,381,391850,0 94,2,2024-09-07 09:03:40:766,394582,394582,0,0,16239791,0,2443 94,3,2024-09-07 09:03:41:694,1,312,3,0,264,4020,312,0 95,0,2024-09-07 09:03:41:415,80027,0.4,79973,0.6,160764,0.3,213748,1.75 95,1,2024-09-07 09:03:40:860,550199,550199,0,0,257131088356,2674864429,545785,4035,379,367,391713,0 95,2,2024-09-07 09:03:41:052,390904,390904,0,0,16165216,0,3308 95,3,2024-09-07 09:03:41:710,1,312,31,0,718,5117,312,0 96,0,2024-09-07 09:03:41:105,80403,0.4,80491,0.5,160472,0.3,212438,1.75 96,1,2024-09-07 09:03:41:681,548595,548595,0,0,255746183548,2671591236,544242,3569,784,384,391955,0 96,2,2024-09-07 09:03:41:276,396713,396713,0,0,16371443,0,4042 96,3,2024-09-07 09:03:41:149,1,312,1,0,411,3378,312,0 97,0,2024-09-07 09:03:41:315,73368,0.3,73020,0.5,146627,0.3,194330,1.75 97,1,2024-09-07 09:03:40:770,550900,550900,0,0,256165787830,2658382310,547087,3143,670,367,392140,0 97,2,2024-09-07 09:03:40:614,397064,397064,0,0,16034481,0,3036 97,3,2024-09-07 09:03:40:579,1,312,35,0,214,3797,312,0 98,0,2024-09-07 09:03:41:772,76608,0.3,76542,0.5,153572,0.2,204283,1.50 98,1,2024-09-07 09:03:40:602,549937,549937,0,0,255963729250,2664383714,547393,2440,104,382,391997,0 98,2,2024-09-07 09:03:40:775,394570,394570,0,0,15927522,0,3080 98,3,2024-09-07 09:03:40:707,1,312,1,0,840,5174,312,0 99,0,2024-09-07 09:03:41:489,81362,0.4,81891,0.5,163046,0.3,217105,1.75 99,1,2024-09-07 09:03:41:762,549562,549562,0,0,256228074290,2671111035,545439,3371,752,381,392069,0 99,2,2024-09-07 09:03:41:423,394457,394457,0,0,17747589,0,3424 99,3,2024-09-07 09:03:40:602,1,312,9,0,187,2688,312,0 100,0,2024-09-07 09:03:41:536,81329,0.8,81310,1.0,162757,0.9,217337,2.50 100,1,2024-09-07 09:03:40:564,546156,546156,0,0,255249373856,2701706299,537711,6953,1492,381,391989,0 100,2,2024-09-07 09:03:41:841,393494,393483,11,0,18763420,0,5417 100,3,2024-09-07 09:03:41:748,1,312,1,0,559,5504,312,0 101,0,2024-09-07 09:03:41:766,76598,1.1,74562,1.0,146292,0.9,201011,2.25 101,1,2024-09-07 09:03:40:557,546732,546732,0,0,254704027794,2687462930,537684,7029,2019,368,391771,0 101,2,2024-09-07 09:03:41:762,393579,393579,0,0,21631526,0,4644 101,3,2024-09-07 09:03:40:945,1,312,0,0,448,3766,312,0 102,0,2024-09-07 09:03:40:957,74014,0.8,76582,0.8,154325,0.8,202412,2.25 102,1,2024-09-07 09:03:41:155,547072,547072,0,0,255251510106,2685779208,539778,6264,1030,369,391891,0 102,2,2024-09-07 09:03:41:768,395336,395282,54,0,18259974,0,6768 102,3,2024-09-07 09:03:41:614,1,312,3,0,410,3483,312,0 103,0,2024-09-07 09:03:41:604,83981,0.7,84020,0.8,158503,0.7,218960,2.00 103,1,2024-09-07 09:03:41:880,546547,546547,0,0,254585906961,2690401316,537813,6739,1995,381,391829,0 103,2,2024-09-07 09:03:40:587,393562,393562,0,0,18952342,0,3173 103,3,2024-09-07 09:03:40:763,1,312,9,0,916,4077,312,0 104,0,2024-09-07 09:03:41:016,80960,0.8,81405,1.0,161513,0.8,217860,2.50 104,1,2024-09-07 09:03:41:668,547876,547876,0,0,255002549439,2687478091,539001,7180,1695,365,392168,0 104,2,2024-09-07 09:03:41:769,390156,390156,0,0,19993001,0,3941 104,3,2024-09-07 09:03:41:421,1,312,17,0,1245,7056,312,0 105,0,2024-09-07 09:03:41:049,75592,0.9,73546,1.1,153888,1.1,202496,3.00 105,1,2024-09-07 09:03:40:583,548300,548300,0,0,255400926746,2684553939,540407,6592,1301,366,391797,0 105,2,2024-09-07 09:03:41:330,395006,395006,0,0,19247097,0,3509 105,3,2024-09-07 09:03:41:306,1,312,2,0,399,5007,312,0 106,0,2024-09-07 09:03:40:955,71680,0.8,73364,1.0,150145,0.9,196628,2.50 106,1,2024-09-07 09:03:41:759,547233,547233,0,0,254870719705,2682547895,538378,7851,1004,369,391865,0 106,2,2024-09-07 09:03:40:763,393387,393387,0,0,19032388,0,2795 106,3,2024-09-07 09:03:40:707,1,312,1,0,470,4171,312,0 107,0,2024-09-07 09:03:41:116,79245,1.1,79136,1.0,158291,1.5,211458,2.25 107,1,2024-09-07 09:03:40:587,546426,546426,0,0,254308048600,2684803763,538542,6970,914,381,392234,0 107,2,2024-09-07 09:03:41:307,393664,393663,1,0,19546526,0,5024 107,3,2024-09-07 09:03:41:999,1,312,8,0,353,4338,312,0 108,0,2024-09-07 09:03:41:872,79986,0.4,80395,0.6,159874,0.4,213671,1.75 108,1,2024-09-07 09:03:41:324,548794,548794,0,0,256414856529,2677100131,543968,4311,515,368,391857,0 108,2,2024-09-07 09:03:41:781,389460,389460,0,0,18077131,0,4246 108,3,2024-09-07 09:03:41:337,1,312,1,0,749,6586,312,0 109,0,2024-09-07 09:03:41:766,79260,0.4,78388,0.6,156981,0.3,209884,1.75 109,1,2024-09-07 09:03:40:614,546790,546790,0,0,255486301705,2681302016,542138,4002,650,383,392132,0 109,2,2024-09-07 09:03:40:931,394431,394431,0,0,17857589,0,3617 109,3,2024-09-07 09:03:41:164,1,312,36,0,249,3403,312,0 110,0,2024-09-07 09:03:41:805,72910,0.4,70908,0.6,148391,0.3,194231,1.75 110,1,2024-09-07 09:03:41:667,550261,550261,0,0,256965764837,2667600381,546751,2599,911,369,392045,0 110,2,2024-09-07 09:03:41:321,396381,396381,0,0,17814411,0,4067 110,3,2024-09-07 09:03:40:697,1,312,6,0,406,4192,312,0 111,0,2024-09-07 09:03:41:426,77794,0.4,77032,0.5,154345,0.3,207186,1.75 111,1,2024-09-07 09:03:41:048,550012,550012,0,0,256983819224,2668494957,546980,2683,349,382,391690,0 111,2,2024-09-07 09:03:41:121,393913,393913,0,0,16574910,0,2763 111,3,2024-09-07 09:03:40:914,1,312,8,0,379,4017,312,0 112,0,2024-09-07 09:03:40:920,81631,0.3,80986,0.4,162542,0.2,215644,1.50 112,1,2024-09-07 09:03:40:825,550349,550349,0,0,256063292583,2659114252,546912,2853,584,380,391624,0 112,2,2024-09-07 09:03:41:136,391878,391877,1,0,15772530,0,5036 112,3,2024-09-07 09:03:40:592,1,312,0,0,282,3223,312,0 113,0,2024-09-07 09:03:40:886,80459,0.3,80467,0.5,161489,0.2,214854,1.50 113,1,2024-09-07 09:03:41:685,551720,551720,0,0,257322522220,2657746273,548443,2693,584,366,391661,0 113,2,2024-09-07 09:03:41:311,397769,397769,0,0,14457928,0,3813 113,3,2024-09-07 09:03:40:697,1,312,0,0,340,3901,312,0 114,0,2024-09-07 09:03:40:884,75073,0.3,75605,0.5,150206,0.2,200491,1.75 114,1,2024-09-07 09:03:40:722,549695,549695,0,0,256359620980,2668037498,544576,3527,1592,381,391556,0 114,2,2024-09-07 09:03:40:907,396851,396850,1,0,15883830,0,5069 114,3,2024-09-07 09:03:41:280,1,312,1,0,395,2932,312,0 115,0,2024-09-07 09:03:40:560,76209,0.3,76641,0.4,153359,0.2,204288,1.50 115,1,2024-09-07 09:03:40:578,549713,549713,0,0,255937229856,2661308160,544890,3983,840,382,391656,0 115,2,2024-09-07 09:03:41:131,395802,395802,0,0,14775804,0,3453 115,3,2024-09-07 09:03:41:011,1,312,8,0,159,1911,312,0 116,0,2024-09-07 09:03:41:709,81141,0.9,81041,1.0,162524,1.2,217332,2.25 116,1,2024-09-07 09:03:40:867,546532,546532,0,0,254599852390,2692055347,538946,5321,2265,380,391782,0 116,2,2024-09-07 09:03:41:773,393028,393028,0,0,20309598,0,3529 116,3,2024-09-07 09:03:40:930,1,312,12,0,415,4047,312,0 117,0,2024-09-07 09:03:40:955,82065,0.8,81648,0.9,163407,0.9,218883,2.00 117,1,2024-09-07 09:03:41:579,547696,547696,0,0,254750930363,2671291288,541569,5459,668,370,392033,0 117,2,2024-09-07 09:03:41:135,395759,395759,0,0,16658838,0,3700 117,3,2024-09-07 09:03:41:058,1,312,14,0,490,4815,312,0 118,0,2024-09-07 09:03:41:786,73284,0.5,75372,0.7,153534,0.5,200531,2.00 118,1,2024-09-07 09:03:40:593,547251,547251,0,0,254987123092,2686444459,538486,6869,1896,366,391907,0 118,2,2024-09-07 09:03:41:587,394500,394500,0,0,18379282,0,2781 118,3,2024-09-07 09:03:41:773,1,312,3,0,235,3464,312,0 119,0,2024-09-07 09:03:41:340,75174,0.7,75521,0.8,151223,0.8,201692,2.25 119,1,2024-09-07 09:03:40:579,548701,548701,0,0,255859660329,2679333956,542320,5545,836,367,391780,0 119,2,2024-09-07 09:03:41:262,395684,395684,0,0,17506339,0,4174 119,3,2024-09-07 09:03:41:329,1,312,7,0,563,4745,312,0 120,0,2024-09-07 09:03:41:561,79522,0.6,79450,0.8,158957,0.5,212761,2.25 120,1,2024-09-07 09:03:40:881,548035,548035,0,0,255238925573,2683942383,541648,5833,554,368,391961,0 120,2,2024-09-07 09:03:40:776,394557,394556,1,0,20436532,0,5281 120,3,2024-09-07 09:03:41:295,1,312,7,0,241,3925,312,0 121,0,2024-09-07 09:03:41:694,80819,1.1,80905,1.0,162342,1.5,216209,2.25 121,1,2024-09-07 09:03:41:655,548584,548584,0,0,255930988941,2680935122,542996,5157,431,367,391840,0 121,2,2024-09-07 09:03:41:130,389726,389726,0,0,19486582,0,4127 121,3,2024-09-07 09:03:40:728,1,312,1,0,269,3798,312,0 122,0,2024-09-07 09:03:41:840,77677,0.8,75624,0.9,158502,0.9,207818,2.00 122,1,2024-09-07 09:03:40:880,547080,547080,0,0,255538740280,2686640373,538940,6879,1261,366,392130,0 122,2,2024-09-07 09:03:41:339,395312,395239,73,0,22029049,0,5989 122,3,2024-09-07 09:03:40:597,1,312,8,0,411,5900,312,0 123,0,2024-09-07 09:03:41:016,73479,0.8,71760,0.8,149712,0.9,196462,2.25 123,1,2024-09-07 09:03:40:565,547116,547116,0,0,255363811433,2698422506,536079,8953,2084,369,392039,0 123,2,2024-09-07 09:03:41:046,394288,394287,1,0,19114901,0,5215 123,3,2024-09-07 09:03:41:134,1,312,13,0,168,3721,312,0 124,0,2024-09-07 09:03:40:942,80583,0.4,80591,0.5,151915,0.3,209338,1.75 124,1,2024-09-07 09:03:41:044,549805,549805,0,0,255517267222,2662956141,545157,3740,908,367,392178,0 124,2,2024-09-07 09:03:41:024,395898,395898,0,0,15961484,0,3101 124,3,2024-09-07 09:03:40:760,1,312,1,0,490,3341,312,0 125,0,2024-09-07 09:03:41:445,80461,0.4,80082,0.6,161090,0.4,214071,1.75 125,1,2024-09-07 09:03:40:859,548260,548260,0,0,256272246414,2678024213,543782,3840,638,383,391702,0 125,2,2024-09-07 09:03:41:135,390783,390783,0,0,16973304,0,4534 125,3,2024-09-07 09:03:41:129,1,312,9,0,709,4509,312,0 126,0,2024-09-07 09:03:41:475,80168,0.4,82389,0.6,157490,0.4,213269,1.75 126,1,2024-09-07 09:03:40:557,550274,550274,0,0,256679487537,2660323862,547214,2787,273,365,391987,0 126,2,2024-09-07 09:03:40:620,397623,397623,0,0,16811857,0,3186 126,3,2024-09-07 09:03:40:914,1,312,1,0,207,4032,312,0 127,0,2024-09-07 09:03:41:618,73056,0.3,73444,0.5,146464,0.3,194237,1.75 127,1,2024-09-07 09:03:40:569,549532,549532,0,0,256708616491,2665242277,545178,3839,515,365,391816,0 127,2,2024-09-07 09:03:40:642,396094,396094,0,0,15506927,0,2264 127,3,2024-09-07 09:03:41:319,1,312,8,0,968,3881,312,0 128,0,2024-09-07 09:03:41:576,76819,0.3,76993,0.5,153750,0.2,204544,1.50 128,1,2024-09-07 09:03:41:611,549056,549056,0,0,256363722267,2665406786,545027,3661,368,367,391798,0 128,2,2024-09-07 09:03:41:384,395149,395149,0,0,15255198,0,2915 128,3,2024-09-07 09:03:40:767,1,312,1,0,1082,5811,312,0 129,0,2024-09-07 09:03:40:994,81963,0.3,81601,0.5,163566,0.3,217234,1.75 129,1,2024-09-07 09:03:40:570,546418,546418,0,0,255492698489,2678882991,541097,4106,1215,379,391835,0 129,2,2024-09-07 09:03:40:686,393861,393861,0,0,16074066,0,4031 129,3,2024-09-07 09:03:40:701,1,312,4,0,469,4375,312,0 130,0,2024-09-07 09:03:41:734,82155,0.5,81808,0.6,164336,0.6,218559,1.75 130,1,2024-09-07 09:03:40:584,549942,549942,0,0,256548714013,2669959253,546698,3005,239,381,391825,0 130,2,2024-09-07 09:03:41:128,396056,396056,0,0,15801677,0,4067 130,3,2024-09-07 09:03:41:295,1,312,0,0,450,3955,312,0 131,0,2024-09-07 09:03:41:999,74842,0.4,75342,0.5,151414,0.3,200813,1.75 131,1,2024-09-07 09:03:41:837,549265,549265,0,0,255462346215,2669376075,544834,3686,745,381,391865,0 131,2,2024-09-07 09:03:40:570,398023,398023,0,0,14671020,0,2415 131,3,2024-09-07 09:03:41:688,1,312,8,0,392,3393,312,0 132,0,2024-09-07 09:03:41:446,75751,0.5,76557,0.6,152848,0.4,203076,2.00 132,1,2024-09-07 09:03:40:582,546876,546876,0,0,255034749417,2691913718,538247,7165,1464,381,392097,0 132,2,2024-09-07 09:03:40:707,394570,394570,0,0,19602552,0,4606 132,3,2024-09-07 09:03:41:695,1,312,74,0,804,6087,312,0 133,0,2024-09-07 09:03:41:532,79586,0.5,81261,0.6,166951,0.4,217695,2.00 133,1,2024-09-07 09:03:40:584,546837,546837,0,0,254583271383,2689468909,538685,7070,1082,383,391914,0 133,2,2024-09-07 09:03:41:096,393633,393633,0,0,20976877,0,4315 133,3,2024-09-07 09:03:41:314,1,312,6,0,479,3437,312,0 134,0,2024-09-07 09:03:40:975,82032,0.5,82042,0.7,163981,0.5,218997,2.00 134,1,2024-09-07 09:03:40:594,547212,547212,0,0,254501004599,2678092440,539156,6202,1854,366,391718,0 134,2,2024-09-07 09:03:41:761,391441,391441,0,0,17216227,0,3847 134,3,2024-09-07 09:03:40:774,1,312,3,0,739,4217,312,0 135,0,2024-09-07 09:03:41:123,73867,0.7,73953,0.8,156775,0.8,202125,2.00 135,1,2024-09-07 09:03:41:588,547378,547378,0,0,255757731143,2696362898,539418,6765,1195,380,391805,0 135,2,2024-09-07 09:03:40:693,396206,396206,0,0,18909490,0,3981 135,3,2024-09-07 09:03:41:016,1,312,87,0,299,2473,312,0 136,0,2024-09-07 09:03:41:620,74601,0.5,74688,0.7,148710,0.5,198500,2.00 136,1,2024-09-07 09:03:41:450,547553,547553,0,0,255008793003,2682672622,540629,6229,695,382,391685,0 136,2,2024-09-07 09:03:41:139,395917,395917,0,0,18785573,0,3506 136,3,2024-09-07 09:03:41:118,1,312,0,0,637,3635,312,0 137,0,2024-09-07 09:03:40:921,81835,0.7,79642,0.8,156374,0.7,212822,2.00 137,1,2024-09-07 09:03:40:577,546935,546935,0,0,255149896302,2684806672,537483,7573,1879,366,391708,0 137,2,2024-09-07 09:03:41:712,394303,394303,0,0,20955832,0,3185 137,3,2024-09-07 09:03:40:783,1,312,2,0,382,3920,312,0 138,0,2024-09-07 09:03:41:844,79512,1.4,79696,1.1,159862,2.0,212652,2.25 138,1,2024-09-07 09:03:41:686,547448,547448,0,0,256465658170,2698289629,538595,7274,1579,368,391954,0 138,2,2024-09-07 09:03:40:588,390187,390187,0,0,18711701,0,4988 138,3,2024-09-07 09:03:40:619,1,312,279,0,1160,4658,312,0 139,0,2024-09-07 09:03:41:408,77656,1.4,78040,1.1,156292,2.0,208046,2.25 139,1,2024-09-07 09:03:40:578,545294,545294,0,0,254048939008,2704903705,534423,8250,2621,381,391892,0 139,2,2024-09-07 09:03:40:702,393781,393781,0,0,20315654,0,3097 139,3,2024-09-07 09:03:41:667,1,312,8,0,257,3503,312,0 140,0,2024-09-07 09:03:41:587,73208,0.3,72750,0.5,146166,0.2,194543,1.75 140,1,2024-09-07 09:03:41:536,550886,550886,0,0,256994772159,2653187245,547845,2603,438,365,391606,0 140,2,2024-09-07 09:03:40:693,396952,396952,0,0,15706224,0,3388 140,3,2024-09-07 09:03:40:783,1,312,1,0,247,2653,312,0 141,0,2024-09-07 09:03:41:703,77437,0.3,79608,0.5,152087,0.3,206990,1.75 141,1,2024-09-07 09:03:40:872,550631,550631,0,0,256533579233,2665898582,546866,3300,465,379,391614,0 141,2,2024-09-07 09:03:41:686,394642,394642,0,0,16131486,0,3360 141,3,2024-09-07 09:03:41:047,1,312,132,0,391,3318,312,0 142,0,2024-09-07 09:03:41:333,81711,0.4,80959,0.5,161573,0.3,215946,1.75 142,1,2024-09-07 09:03:40:588,549765,549765,0,0,256342082730,2675681789,545995,3421,349,382,392102,0 142,2,2024-09-07 09:03:41:316,391331,391299,32,0,17409811,0,6028 142,3,2024-09-07 09:03:41:757,1,312,3,0,484,4033,312,0 143,0,2024-09-07 09:03:41:406,80737,0.5,80636,0.6,161645,0.4,214910,1.75 143,1,2024-09-07 09:03:40:560,549837,549837,0,0,256169150209,2660350525,546254,3436,147,367,391705,0 143,2,2024-09-07 09:03:40:775,395961,395961,0,0,16494826,0,2750 143,3,2024-09-07 09:03:41:155,1,312,8,0,303,3854,312,0 144,0,2024-09-07 09:03:41:530,72304,0.6,74384,0.8,151405,0.5,198615,2.00 144,1,2024-09-07 09:03:40:570,547346,547346,0,0,255183111065,2678895031,542504,3902,940,381,391649,0 144,2,2024-09-07 09:03:41:756,396907,396907,0,0,15383556,0,3473 144,3,2024-09-07 09:03:41:741,1,312,2,0,249,3343,312,0 145,0,2024-09-07 09:03:41:363,73646,0.7,73627,0.9,156299,0.6,201734,2.50 145,1,2024-09-07 09:03:40:566,546603,546603,0,0,255125033348,2687813319,539318,6145,1140,382,391759,0 145,2,2024-09-07 09:03:41:442,393065,393065,0,0,18951562,0,3903 145,3,2024-09-07 09:03:40:911,1,312,2,0,622,4942,312,0 146,0,2024-09-07 09:03:41:603,81630,0.6,80886,0.8,163086,0.6,216152,2.25 146,1,2024-09-07 09:03:41:594,547841,547841,0,0,255133970444,2687430733,538585,7351,1905,368,391770,0 146,2,2024-09-07 09:03:41:696,393302,393302,0,0,18769185,0,2730 146,3,2024-09-07 09:03:41:280,1,312,20,0,1520,6903,312,0 147,0,2024-09-07 09:03:41:756,82043,0.6,81797,0.8,162898,0.6,218431,2.25 147,1,2024-09-07 09:03:41:384,549582,549582,0,0,256299993810,2669870019,544331,4458,793,368,391791,0 147,2,2024-09-07 09:03:41:016,394199,394199,0,0,15861274,0,2789 147,3,2024-09-07 09:03:40:914,1,312,10,0,730,4709,312,0 0,0,2024-09-07 09:03:51:757,77939,0.6,77976,0.7,165378,0.6,214204,2.00 0,1,2024-09-07 09:03:50:801,550337,550337,0,0,256865334466,2689222004,546225,3870,242,370,391896,0 0,2,2024-09-07 09:03:51:071,396529,396529,0,0,16416165,0,4480 0,3,2024-09-07 09:03:50:974,1,313,1,0,431,4392,313,0 1,0,2024-09-07 09:03:51:843,81475,0.9,80892,1.0,162638,1.1,217566,2.00 1,1,2024-09-07 09:03:50:560,549548,549548,0,0,255826058909,2682293916,544193,4147,1208,370,391857,0 1,2,2024-09-07 09:03:50:647,391514,391514,0,0,15690706,0,3267 1,3,2024-09-07 09:03:51:302,1,313,1,0,262,3768,313,0 2,0,2024-09-07 09:03:51:574,77961,0.6,78302,0.8,155177,0.8,207774,2.00 2,1,2024-09-07 09:03:50:864,551169,551169,0,0,256563948742,2667582135,548275,2601,293,380,391745,0 2,2,2024-09-07 09:03:51:281,397675,397675,0,0,15754529,0,3594 2,3,2024-09-07 09:03:50:700,1,313,1,0,357,3236,313,0 3,0,2024-09-07 09:03:51:756,73849,0.4,73931,0.6,147870,0.4,196795,2.00 3,1,2024-09-07 09:03:51:627,550331,550331,0,0,256476280940,2674716663,545242,4466,623,380,391591,0 3,2,2024-09-07 09:03:51:141,397767,397744,23,0,16260886,0,5851 3,3,2024-09-07 09:03:51:753,1,313,7,0,103,1937,313,0 4,0,2024-09-07 09:03:51:804,76356,0.4,78622,0.5,159628,0.4,209622,1.75 4,1,2024-09-07 09:03:50:610,548949,548949,0,0,255638367613,2695980075,541653,5942,1354,371,391992,0 4,2,2024-09-07 09:03:51:020,394073,394073,0,0,19030077,0,4528 4,3,2024-09-07 09:03:51:041,1,313,23,0,448,4579,313,0 5,0,2024-09-07 09:03:51:392,80360,0.5,80648,0.6,160784,0.5,213892,1.75 5,1,2024-09-07 09:03:50:760,549298,549298,0,0,255590194841,2692870792,542145,5798,1355,368,392005,0 5,2,2024-09-07 09:03:51:831,390459,390459,0,0,17974827,0,2432 5,3,2024-09-07 09:03:51:751,1,313,11,0,457,4777,313,0 6,0,2024-09-07 09:03:50:928,80561,0.5,80009,0.7,159938,0.4,213298,2.00 6,1,2024-09-07 09:03:50:757,549216,549216,0,0,256268810924,2682382824,542863,5277,1076,379,391694,0 6,2,2024-09-07 09:03:51:125,397052,397052,0,0,17401059,0,4816 6,3,2024-09-07 09:03:51:280,1,313,2,0,340,3667,313,0 7,0,2024-09-07 09:03:51:538,72936,0.5,73140,0.6,145884,0.4,193785,2.00 7,1,2024-09-07 09:03:50:850,548672,548672,0,0,256131130510,2694984667,540611,6885,1176,382,391747,0 7,2,2024-09-07 09:03:50:782,397386,397386,0,0,17480670,0,4791 7,3,2024-09-07 09:03:50:851,1,313,8,0,398,3770,313,0 8,0,2024-09-07 09:03:51:402,77029,0.4,76795,0.5,153971,0.3,205607,1.75 8,1,2024-09-07 09:03:51:024,548046,548046,0,0,256133435160,2703697152,537986,7784,2276,366,392144,0 8,2,2024-09-07 09:03:50:794,391582,391582,0,0,21434337,0,3220 8,3,2024-09-07 09:03:50:596,1,313,1,0,538,5563,313,0 9,0,2024-09-07 09:03:51:111,81691,0.4,79447,0.6,166110,0.4,217220,1.75 9,1,2024-09-07 09:03:50:557,548735,548735,0,0,256353560313,2708639295,539752,6861,2122,369,392001,0 9,2,2024-09-07 09:03:51:089,392911,392911,0,0,18928978,0,3360 9,3,2024-09-07 09:03:51:768,1,313,3,0,496,4718,313,0 10,0,2024-09-07 09:03:51:627,81981,0.3,81338,0.5,163448,0.3,217620,1.75 10,1,2024-09-07 09:03:50:592,549310,549310,0,0,256603649012,2693339003,541520,6682,1108,381,391741,0 10,2,2024-09-07 09:03:50:777,396146,396146,0,0,20417959,0,4264 10,3,2024-09-07 09:03:50:871,1,313,3,0,296,2916,313,0 11,0,2024-09-07 09:03:51:009,74844,0.4,72617,0.6,151906,0.4,201304,1.75 11,1,2024-09-07 09:03:50:580,549594,549594,0,0,256226307350,2697591575,539730,7435,2429,383,391664,0 11,2,2024-09-07 09:03:51:128,396687,396687,0,0,18534022,0,4130 11,3,2024-09-07 09:03:51:300,1,313,8,0,843,5121,313,0 12,0,2024-09-07 09:03:51:000,76764,0.4,76738,0.5,153518,0.3,204141,1.75 12,1,2024-09-07 09:03:50:934,550088,550088,0,0,255806389527,2671777534,545256,4344,488,370,391870,0 12,2,2024-09-07 09:03:51:563,396020,396020,0,0,18003413,0,3469 12,3,2024-09-07 09:03:51:070,1,313,163,0,386,5328,313,0 13,0,2024-09-07 09:03:51:376,82468,0.5,82092,0.6,164222,0.4,218876,1.75 13,1,2024-09-07 09:03:51:551,549239,549239,0,0,256342322390,2699046203,543540,4293,1406,382,391740,0 13,2,2024-09-07 09:03:50:619,396725,396725,0,0,16678806,0,3287 13,3,2024-09-07 09:03:51:787,1,313,4,0,522,5269,313,0 14,0,2024-09-07 09:03:50:575,82557,0.4,83160,0.6,164430,0.4,219636,1.75 14,1,2024-09-07 09:03:51:563,553414,553414,0,0,258032296383,2674623137,549304,3830,280,364,391571,0 14,2,2024-09-07 09:03:50:764,393234,393204,30,0,17724811,0,6104 14,3,2024-09-07 09:03:51:119,1,313,0,0,1168,4039,313,0 15,0,2024-09-07 09:03:51:553,76458,0.4,76647,0.7,153110,0.4,203674,2.00 15,1,2024-09-07 09:03:51:609,550592,550592,0,0,256689805252,2673434273,546859,3157,576,381,391619,0 15,2,2024-09-07 09:03:51:001,398631,398631,0,0,14842163,0,3622 15,3,2024-09-07 09:03:51:414,1,313,18,0,1126,6094,313,0 16,0,2024-09-07 09:03:50:937,74638,0.6,74911,0.8,149286,0.6,199286,2.25 16,1,2024-09-07 09:03:50:567,550936,550936,0,0,256605977265,2682442594,546658,3918,360,370,391756,0 16,2,2024-09-07 09:03:51:434,396541,396541,0,0,17243831,0,4719 16,3,2024-09-07 09:03:51:150,1,313,1,0,317,4563,313,0 17,0,2024-09-07 09:03:51:846,81948,0.7,80181,0.9,156708,0.8,213006,2.00 17,1,2024-09-07 09:03:50:573,549933,549933,0,0,255926599000,2690607665,543711,4965,1257,368,391899,0 17,2,2024-09-07 09:03:51:685,398360,398360,0,0,17023520,0,2857 17,3,2024-09-07 09:03:50:595,1,313,298,0,298,5162,313,0 18,0,2024-09-07 09:03:50:941,79378,0.7,79813,0.8,159086,0.7,212556,2.25 18,1,2024-09-07 09:03:51:638,551345,551345,0,0,257696170649,2673236345,548086,2948,311,367,391649,0 18,2,2024-09-07 09:03:51:759,393058,393058,0,0,15692611,0,3541 18,3,2024-09-07 09:03:50:900,1,313,1,0,163,2788,313,0 19,0,2024-09-07 09:03:51:544,78311,0.6,78906,0.8,156435,0.6,208260,2.25 19,1,2024-09-07 09:03:50:572,551345,551345,0,0,257426064065,2674674992,546234,4273,838,367,391777,0 19,2,2024-09-07 09:03:51:753,398952,398952,0,0,14415409,0,3988 19,3,2024-09-07 09:03:51:137,1,313,19,0,524,2420,313,0 20,0,2024-09-07 09:03:51:383,72964,0.6,72967,0.7,146020,0.6,194891,2.25 20,1,2024-09-07 09:03:50:590,549560,549560,0,0,256960292124,2687647577,545241,3915,404,369,391886,0 20,2,2024-09-07 09:03:50:929,397792,397792,0,0,17413514,0,3721 20,3,2024-09-07 09:03:50:597,1,313,1,0,414,5118,313,0 21,0,2024-09-07 09:03:51:161,77872,0.6,77968,0.7,155644,0.5,207061,2.00 21,1,2024-09-07 09:03:51:564,548462,548462,0,0,255756242276,2699226029,540616,5970,1876,368,392016,0 21,2,2024-09-07 09:03:51:072,393885,393885,0,0,20511705,0,3747 21,3,2024-09-07 09:03:51:410,1,313,713,0,713,3908,313,0 22,0,2024-09-07 09:03:51:731,80713,0.6,80995,0.8,161993,0.6,214275,2.25 22,1,2024-09-07 09:03:51:029,549601,549601,0,0,255321745808,2689003705,541156,6626,1819,382,391667,0 22,2,2024-09-07 09:03:50:764,391434,391434,0,0,16682072,0,3134 22,3,2024-09-07 09:03:51:068,1,313,26,0,228,2786,313,0 23,0,2024-09-07 09:03:51:386,80241,0.6,80135,0.7,160662,0.5,213879,2.25 23,1,2024-09-07 09:03:51:004,549442,549442,0,0,256349469829,2699308651,538952,7332,3158,365,391690,0 23,2,2024-09-07 09:03:51:098,397862,397862,0,0,16367124,0,3010 23,3,2024-09-07 09:03:51:753,1,313,8,0,645,3762,313,0 24,0,2024-09-07 09:03:50:830,75312,0.4,75122,0.6,150847,0.4,199881,1.75 24,1,2024-09-07 09:03:50:590,548658,548658,0,0,255621836636,2685954327,542037,5096,1525,368,391987,0 24,2,2024-09-07 09:03:51:100,396032,396032,0,0,20120697,0,3607 24,3,2024-09-07 09:03:51:688,1,313,7,0,468,4388,313,0 25,0,2024-09-07 09:03:51:375,78682,0.4,76725,0.6,150467,0.4,205470,2.00 25,1,2024-09-07 09:03:50:579,549633,549633,0,0,256294124050,2691927120,542494,6005,1134,371,391928,0 25,2,2024-09-07 09:03:51:626,394482,394482,0,0,20330601,0,3978 25,3,2024-09-07 09:03:51:000,1,313,3,0,255,3276,313,0 26,0,2024-09-07 09:03:51:725,81298,0.5,79497,0.7,166831,0.4,216824,2.00 26,1,2024-09-07 09:03:51:546,550340,550340,0,0,255882073174,2687770667,541511,7293,1536,380,391748,0 26,2,2024-09-07 09:03:50:873,394875,394875,0,0,20121903,0,2809 26,3,2024-09-07 09:03:51:714,1,313,0,0,796,4324,313,0 27,0,2024-09-07 09:03:51:740,82508,0.5,82521,0.6,163596,0.4,218822,2.00 27,1,2024-09-07 09:03:51:684,551833,551833,0,0,256922781002,2679043154,546698,4370,765,381,391626,0 27,2,2024-09-07 09:03:50:871,392583,392518,65,0,19815900,0,5699 27,3,2024-09-07 09:03:51:019,1,313,8,0,564,3319,313,0 28,0,2024-09-07 09:03:51:399,75951,0.4,75746,0.6,151681,0.4,202541,2.00 28,1,2024-09-07 09:03:50:799,550959,550959,0,0,257305369696,2683671395,546812,3471,676,383,391646,0 28,2,2024-09-07 09:03:51:764,396714,396714,0,0,16443863,0,2915 28,3,2024-09-07 09:03:51:790,1,313,1,0,502,3152,313,0 29,0,2024-09-07 09:03:51:357,78017,0.4,76140,0.6,149084,0.3,203726,1.75 29,1,2024-09-07 09:03:51:567,552376,552376,0,0,257504541680,2668281872,548541,3252,583,369,391753,0 29,2,2024-09-07 09:03:50:865,395281,395281,0,0,16506512,0,4986 29,3,2024-09-07 09:03:50:969,1,313,459,0,459,3819,313,0 30,0,2024-09-07 09:03:51:469,80072,0.5,77791,0.7,162827,0.4,213594,2.00 30,1,2024-09-07 09:03:50:573,552090,552090,0,0,257307710757,2674152559,547910,3574,606,382,391672,0 30,2,2024-09-07 09:03:51:278,396202,396202,0,0,15774305,0,3161 30,3,2024-09-07 09:03:50:580,1,313,1,0,519,3001,313,0 31,0,2024-09-07 09:03:51:768,81054,0.4,81267,0.6,162850,0.4,217231,2.00 31,1,2024-09-07 09:03:50:564,554209,554209,0,0,258285430058,2650331615,552109,1671,429,356,391712,0 31,2,2024-09-07 09:03:51:280,392202,392202,0,0,17022583,0,3525 31,3,2024-09-07 09:03:51:712,1,313,15,0,220,2618,313,0 32,0,2024-09-07 09:03:51:451,77982,0.3,78278,0.5,156499,0.3,208135,1.75 32,1,2024-09-07 09:03:50:804,551581,551581,0,0,257259715473,2673265946,548518,2618,445,381,391646,0 32,2,2024-09-07 09:03:50:934,397801,397801,0,0,14799320,0,3155 32,3,2024-09-07 09:03:51:019,1,313,20,0,227,2397,313,0 33,0,2024-09-07 09:03:51:494,74276,0.3,73842,0.5,148164,0.2,197600,1.75 33,1,2024-09-07 09:03:50:590,551974,551974,0,0,257869167506,2673100105,547572,3571,831,369,391730,0 33,2,2024-09-07 09:03:50:764,398485,398450,35,0,16899664,0,7012 33,3,2024-09-07 09:03:50:895,1,313,0,0,329,3489,313,0 34,0,2024-09-07 09:03:50:931,78857,0.3,81197,0.5,155289,0.2,208889,1.75 34,1,2024-09-07 09:03:51:045,553361,553361,0,0,258053545326,2654249380,551970,1383,8,367,391562,0 34,2,2024-09-07 09:03:50:766,396282,396282,0,0,16047883,0,3577 34,3,2024-09-07 09:03:51:687,1,313,6,0,299,2390,313,0 35,0,2024-09-07 09:03:50:858,79647,0.4,80047,0.5,161093,0.3,214470,1.75 35,1,2024-09-07 09:03:51:071,551806,551806,0,0,258067887269,2676718485,548205,2821,780,382,391589,0 35,2,2024-09-07 09:03:51:590,391914,391914,0,0,15953402,0,2653 35,3,2024-09-07 09:03:50:911,1,313,7,0,418,4135,313,0 36,0,2024-09-07 09:03:51:519,80367,0.5,80417,0.7,160865,0.4,212986,2.00 36,1,2024-09-07 09:03:50:595,551111,551111,0,0,255948789548,2678831892,543886,5567,1658,366,391759,0 36,2,2024-09-07 09:03:51:753,397434,397434,0,0,18512484,0,3875 36,3,2024-09-07 09:03:50:864,1,313,1,0,416,5225,313,0 37,0,2024-09-07 09:03:51:380,72786,0.5,72741,0.7,145673,0.5,194639,2.00 37,1,2024-09-07 09:03:50:642,549730,549723,0,7,256495934673,2684860537,542958,4680,2085,365,391570,0 37,2,2024-09-07 09:03:51:148,396272,396257,15,0,18082010,0,5815 37,3,2024-09-07 09:03:51:771,1,313,10,0,888,5454,313,0 38,0,2024-09-07 09:03:51:443,76404,0.5,74227,0.7,155304,0.4,203666,2.00 38,1,2024-09-07 09:03:51:608,551702,551702,0,0,256728863175,2677476980,545668,5277,757,368,391821,0 38,2,2024-09-07 09:03:50:760,394759,394712,47,0,18754080,0,6710 38,3,2024-09-07 09:03:50:997,1,313,1,0,689,4561,313,0 39,0,2024-09-07 09:03:51:766,83002,0.7,81331,0.8,158197,0.7,216022,2.00 39,1,2024-09-07 09:03:50:715,550442,550442,0,0,256331274700,2686521741,542104,6878,1460,365,391594,0 39,2,2024-09-07 09:03:51:425,393558,393558,0,0,16753360,0,2689 39,3,2024-09-07 09:03:50:714,1,313,11,0,324,4030,313,0 40,0,2024-09-07 09:03:51:495,81006,0.8,81677,1.0,163192,0.8,217217,2.75 40,1,2024-09-07 09:03:50:578,550882,550882,0,0,256046235659,2686166820,542379,6994,1509,368,391668,0 40,2,2024-09-07 09:03:51:305,395535,395534,1,0,20011437,0,5137 40,3,2024-09-07 09:03:51:149,1,313,1,0,1028,4875,313,0 41,0,2024-09-07 09:03:51:040,74695,1.5,76575,1.3,146126,2.4,199169,3.25 41,1,2024-09-07 09:03:50:768,549763,549763,0,0,256480081413,2688346508,542718,6342,703,370,391742,0 41,2,2024-09-07 09:03:50:759,395557,395557,0,0,19251595,0,3356 41,3,2024-09-07 09:03:51:680,1,313,1,0,366,3326,313,0 42,0,2024-09-07 09:03:51:484,75596,1.0,75580,1.1,151483,1.1,200306,2.75 42,1,2024-09-07 09:03:51:441,549046,549046,0,0,255914703649,2693830779,539903,7479,1664,380,391675,0 42,2,2024-09-07 09:03:51:132,395468,395468,0,0,19341495,0,3790 42,3,2024-09-07 09:03:51:009,1,313,2,0,446,3036,313,0 43,0,2024-09-07 09:03:50:936,80812,1.0,78855,1.1,165166,1.2,216360,2.50 43,1,2024-09-07 09:03:50:576,550569,550569,0,0,257267034183,2691997837,542616,6516,1437,366,391696,0 43,2,2024-09-07 09:03:51:741,395729,395729,0,0,19081703,0,3812 43,3,2024-09-07 09:03:51:759,1,313,2,0,467,3971,313,0 44,0,2024-09-07 09:03:50:868,82233,0.5,82642,0.6,164882,0.4,219603,1.75 44,1,2024-09-07 09:03:50:563,552060,552060,0,0,257234812638,2663042447,547286,3738,1036,356,391809,0 44,2,2024-09-07 09:03:51:285,392737,392737,0,0,15717099,0,4344 44,3,2024-09-07 09:03:51:096,1,313,1,0,817,4299,313,0 45,0,2024-09-07 09:03:51:768,75574,0.5,73761,0.7,154716,0.5,203593,2.00 45,1,2024-09-07 09:03:51:004,550986,550986,0,0,257135760467,2671834858,547475,3203,308,382,391917,0 45,2,2024-09-07 09:03:51:288,397428,397428,0,0,15968193,0,3596 45,3,2024-09-07 09:03:50:934,1,313,1,0,271,3092,313,0 46,0,2024-09-07 09:03:50:959,74089,0.5,74124,0.7,148490,0.4,197178,2.00 46,1,2024-09-07 09:03:50:590,552778,552778,0,0,258098350527,2667460079,549211,3141,426,366,391572,0 46,2,2024-09-07 09:03:50:598,397393,397393,0,0,15443291,0,2920 46,3,2024-09-07 09:03:51:134,1,313,5,0,908,4449,313,0 47,0,2024-09-07 09:03:51:104,80113,0.4,80158,0.6,160631,0.4,212654,1.75 47,1,2024-09-07 09:03:50:572,552467,552467,0,0,257396250142,2663136830,548733,3256,478,366,391641,0 47,2,2024-09-07 09:03:50:912,398128,398128,0,0,15894564,0,4477 47,3,2024-09-07 09:03:51:118,1,313,11,0,529,3494,313,0 48,0,2024-09-07 09:03:51:492,81074,0.3,80561,0.4,160654,0.2,214763,1.50 48,1,2024-09-07 09:03:51:022,551676,551676,0,0,257238961690,2673698554,548139,3236,301,384,391710,0 48,2,2024-09-07 09:03:50:707,391631,391631,0,0,14536713,0,3031 48,3,2024-09-07 09:03:50:761,1,313,12,0,339,2775,313,0 49,0,2024-09-07 09:03:51:733,80919,0.3,79431,0.5,153978,0.3,210628,1.75 49,1,2024-09-07 09:03:51:020,551069,551069,0,0,256980315122,2678825373,546770,3115,1184,382,391809,0 49,2,2024-09-07 09:03:51:803,398564,398564,0,0,15521495,0,4426 49,3,2024-09-07 09:03:51:423,1,313,1,0,408,3398,313,0 50,0,2024-09-07 09:03:51:511,73119,0.3,72477,0.5,145709,0.2,194575,1.75 50,1,2024-09-07 09:03:51:010,553325,553325,0,0,257854708867,2669050115,549511,3440,374,368,391565,0 50,2,2024-09-07 09:03:51:068,398157,398157,0,0,14729725,0,2263 50,3,2024-09-07 09:03:51:299,1,313,5,0,335,2837,313,0 51,0,2024-09-07 09:03:51:691,79855,0.3,78043,0.5,152394,0.2,207888,1.75 51,1,2024-09-07 09:03:51:680,553184,553184,0,0,258419090887,2668784266,549931,2278,975,365,391706,0 51,2,2024-09-07 09:03:51:321,396113,396113,0,0,14300038,0,3337 51,3,2024-09-07 09:03:51:033,1,313,20,0,678,2466,313,0 52,0,2024-09-07 09:03:51:417,81288,0.5,81172,0.7,162309,0.5,215655,2.00 52,1,2024-09-07 09:03:50:590,550152,550152,0,0,255735605533,2684392771,541624,7218,1310,368,391722,0 52,2,2024-09-07 09:03:51:763,389235,389197,38,0,18852691,0,6742 52,3,2024-09-07 09:03:50:678,1,313,1,0,1782,5096,313,0 53,0,2024-09-07 09:03:51:731,80132,0.7,77929,0.8,162840,0.7,213405,2.25 53,1,2024-09-07 09:03:50:777,549099,549099,0,0,256425188871,2696984184,539682,6836,2581,367,391702,0 53,2,2024-09-07 09:03:51:304,397871,397871,0,0,16130160,0,2727 53,3,2024-09-07 09:03:50:706,1,313,2,0,308,3148,313,0 54,0,2024-09-07 09:03:51:615,73671,0.6,74127,0.8,147463,0.4,196961,2.50 54,1,2024-09-07 09:03:50:590,550855,550855,0,0,257434968850,2679390675,545263,4990,602,367,391659,0 54,2,2024-09-07 09:03:50:864,397579,397573,6,0,18745400,0,5382 54,3,2024-09-07 09:03:50:776,1,313,1,0,676,5067,313,0 55,0,2024-09-07 09:03:51:762,73965,0.6,76738,0.8,154347,0.6,201650,2.50 55,1,2024-09-07 09:03:50:777,550250,550250,0,0,256683591976,2676097861,543637,5704,909,365,391731,0 55,2,2024-09-07 09:03:50:734,394570,394570,0,0,18211522,0,3563 55,3,2024-09-07 09:03:50:675,1,313,20,0,304,3581,313,0 56,0,2024-09-07 09:03:51:627,83212,1.3,78458,1.2,161829,1.8,216455,2.75 56,1,2024-09-07 09:03:50:574,548063,548063,0,0,255959432297,2707878208,539375,7060,1628,381,391867,0 56,2,2024-09-07 09:03:51:312,394337,394337,0,0,19035305,0,3567 56,3,2024-09-07 09:03:51:069,1,313,9,0,705,4357,313,0 57,0,2024-09-07 09:03:50:934,81156,1.5,80897,1.3,162221,2.1,217366,3.00 57,1,2024-09-07 09:03:50:986,549705,549705,0,0,256137937792,2688625105,543017,5971,717,366,392032,0 57,2,2024-09-07 09:03:51:326,394885,394885,0,0,20495492,0,3317 57,3,2024-09-07 09:03:51:750,1,313,1,0,359,3744,313,0 58,0,2024-09-07 09:03:50:559,74428,0.9,72416,1.0,151746,1.1,199142,2.50 58,1,2024-09-07 09:03:50:577,550792,550789,0,3,256699142551,2687911967,542997,6741,1051,367,391603,3 58,2,2024-09-07 09:03:51:071,396244,396244,0,0,18883030,0,2549 58,3,2024-09-07 09:03:51:068,1,313,1,0,1043,3365,313,0 59,0,2024-09-07 09:03:51:742,75584,0.9,75225,1.0,150445,1.0,199963,2.75 59,1,2024-09-07 09:03:50:811,550410,550410,0,0,256523399103,2689343649,542802,6143,1465,369,391578,0 59,2,2024-09-07 09:03:50:582,397135,397135,0,0,18463257,0,2867 59,3,2024-09-07 09:03:51:743,1,313,12,0,1015,4216,313,0 60,0,2024-09-07 09:03:51:751,80332,0.5,80403,0.6,161414,0.4,214080,1.75 60,1,2024-09-07 09:03:50:772,551859,551859,0,0,257598564327,2673618927,548716,2606,537,370,392031,0 60,2,2024-09-07 09:03:51:141,396370,396370,0,0,18185520,0,3811 60,3,2024-09-07 09:03:51:280,1,313,0,0,175,3183,313,0 61,0,2024-09-07 09:03:51:503,81280,0.7,81753,0.8,162332,0.7,216920,2.00 61,1,2024-09-07 09:03:50:783,550001,550001,0,0,257057783962,2697660922,543264,5432,1305,382,392127,0 61,2,2024-09-07 09:03:51:117,392485,392418,67,0,17626585,0,6411 61,3,2024-09-07 09:03:51:694,1,313,1,0,479,4887,313,0 62,0,2024-09-07 09:03:51:714,78447,0.5,80127,0.7,152755,0.5,207794,2.00 62,1,2024-09-07 09:03:51:120,553580,553574,0,6,258229773831,2666635320,550489,2914,171,365,391715,6 62,2,2024-09-07 09:03:51:648,396162,396161,1,0,17746321,0,5555 62,3,2024-09-07 09:03:51:148,1,313,1,0,465,2508,313,0 63,0,2024-09-07 09:03:51:455,74031,0.4,74116,0.6,148388,0.4,197404,1.75 63,1,2024-09-07 09:03:50:804,551736,551730,0,6,257670032631,2675816562,548311,3182,237,381,391800,6 63,2,2024-09-07 09:03:50:762,397748,397748,0,0,16328462,0,4369 63,3,2024-09-07 09:03:51:733,1,313,9,0,667,3545,313,0 64,0,2024-09-07 09:03:51:515,78266,0.5,78148,0.7,156258,0.5,208084,2.00 64,1,2024-09-07 09:03:50:754,551190,551190,0,0,256915813758,2682253302,545557,3977,1656,370,391783,0 64,2,2024-09-07 09:03:51:150,399420,399401,19,0,16028123,0,6121 64,3,2024-09-07 09:03:51:141,1,313,17,0,265,3040,313,0 65,0,2024-09-07 09:03:51:702,79652,0.7,79870,0.8,159495,0.8,212385,2.25 65,1,2024-09-07 09:03:50:862,549805,549805,0,0,256346438917,2681924370,545759,3596,450,382,391901,0 65,2,2024-09-07 09:03:51:696,392702,392702,0,0,17376728,0,3367 65,3,2024-09-07 09:03:51:683,1,313,1,0,163,2848,313,0 66,0,2024-09-07 09:03:51:799,79833,0.5,79704,0.7,159762,0.5,211781,2.00 66,1,2024-09-07 09:03:51:292,551398,551398,0,0,257902804304,2683432922,547845,3232,321,380,391653,0 66,2,2024-09-07 09:03:51:135,399655,399655,0,0,15912761,0,4956 66,3,2024-09-07 09:03:51:082,1,313,2,0,291,3102,313,0 67,0,2024-09-07 09:03:51:440,73630,0.6,72931,0.7,146562,0.5,194846,2.00 67,1,2024-09-07 09:03:50:765,551508,551507,0,1,256256357353,2672061497,547345,3411,751,380,391787,1 67,2,2024-09-07 09:03:50:595,398849,398849,0,0,15188794,0,2889 67,3,2024-09-07 09:03:51:755,1,313,8,0,338,2777,313,0 68,0,2024-09-07 09:03:50:562,77260,0.6,76761,0.8,153330,0.6,205280,2.00 68,1,2024-09-07 09:03:50:580,550141,550141,0,0,256141080526,2686719111,545340,3600,1201,381,391953,0 68,2,2024-09-07 09:03:51:049,393666,393601,65,0,20478526,0,6698 68,3,2024-09-07 09:03:50:733,1,313,29,0,417,3502,313,0 69,0,2024-09-07 09:03:51:736,80987,1.0,81400,0.9,162219,1.2,215119,2.25 69,1,2024-09-07 09:03:51:018,548199,548199,0,0,255072788452,2697830694,540234,5855,2110,384,391994,0 69,2,2024-09-07 09:03:51:735,392868,392868,0,0,20390051,0,3722 69,3,2024-09-07 09:03:50:771,1,313,8,0,698,4497,313,0 70,0,2024-09-07 09:03:51:559,81061,0.8,81043,1.0,163010,0.7,215819,2.50 70,1,2024-09-07 09:03:50:801,551767,551767,0,0,257133544674,2673374747,546931,4300,536,366,391725,0 70,2,2024-09-07 09:03:51:324,395297,395297,0,0,18489219,0,4044 70,3,2024-09-07 09:03:50:756,1,313,1,0,854,3682,313,0 71,0,2024-09-07 09:03:51:393,74779,0.9,74401,1.0,149507,1.1,199986,2.75 71,1,2024-09-07 09:03:51:611,550263,550263,0,0,257380556406,2689748001,543699,5908,656,368,391738,0 71,2,2024-09-07 09:03:51:068,396880,396880,0,0,18225931,0,4042 71,3,2024-09-07 09:03:51:757,1,313,16,0,644,4478,313,0 72,0,2024-09-07 09:03:51:030,78758,0.7,76969,0.8,150257,0.7,204563,2.25 72,1,2024-09-07 09:03:51:029,549706,549706,0,0,256065312325,2687096909,541715,6296,1695,369,391819,0 72,2,2024-09-07 09:03:51:768,394357,394357,0,0,20920565,0,3983 72,3,2024-09-07 09:03:51:763,1,313,1,0,564,5206,313,0 73,0,2024-09-07 09:03:51:102,79822,0.5,81710,0.6,167445,0.4,217596,2.25 73,1,2024-09-07 09:03:50:768,550764,550764,0,0,256884977359,2674234061,546505,3930,329,367,391858,0 73,2,2024-09-07 09:03:51:753,395654,395654,0,0,20076682,0,3701 73,3,2024-09-07 09:03:50:973,1,313,58,0,274,4443,313,0 74,0,2024-09-07 09:03:51:336,82674,0.5,84752,0.7,161771,0.5,219441,2.25 74,1,2024-09-07 09:03:50:647,550437,550437,0,0,256166033663,2678788748,544473,4706,1258,381,391681,0 74,2,2024-09-07 09:03:51:002,393054,393054,0,0,18923914,0,4253 74,3,2024-09-07 09:03:51:448,1,313,1,0,522,4516,313,0 75,0,2024-09-07 09:03:51:783,76720,0.5,76373,0.7,153013,0.4,204576,2.25 75,1,2024-09-07 09:03:51:599,549947,549947,0,0,256531650730,2685056650,543602,5520,825,380,391739,0 75,2,2024-09-07 09:03:51:378,396336,396336,0,0,19163216,0,4766 75,3,2024-09-07 09:03:51:070,1,313,8,0,702,4675,313,0 76,0,2024-09-07 09:03:50:587,74189,0.6,73675,0.8,147939,0.6,198251,2.25 76,1,2024-09-07 09:03:50:810,550653,550653,0,0,255836313327,2670533460,546984,3113,556,382,391692,0 76,2,2024-09-07 09:03:51:071,398068,398067,1,0,18240035,0,5144 76,3,2024-09-07 09:03:51:141,1,313,3,0,175,3123,313,0 77,0,2024-09-07 09:03:51:706,79793,0.6,79905,0.8,159743,0.6,212597,2.00 77,1,2024-09-07 09:03:50:824,550769,550769,0,0,256558153881,2681722082,546357,4146,266,381,391869,0 77,2,2024-09-07 09:03:51:290,396970,396970,0,0,17517791,0,3890 77,3,2024-09-07 09:03:51:094,1,313,45,0,401,3648,313,0 78,0,2024-09-07 09:03:51:733,80639,0.5,80207,0.7,161268,0.4,214074,2.00 78,1,2024-09-07 09:03:50:615,551153,551153,0,0,256773622169,2675382716,545765,4378,1010,367,391670,0 78,2,2024-09-07 09:03:51:421,392523,392523,0,0,15017422,0,3855 78,3,2024-09-07 09:03:51:135,1,313,94,0,181,3116,313,0 79,0,2024-09-07 09:03:51:346,76345,0.4,78330,0.6,160021,0.4,208112,2.25 79,1,2024-09-07 09:03:50:573,552555,552555,0,0,257244851250,2664059276,548701,3409,445,369,391682,0 79,2,2024-09-07 09:03:51:071,398598,398598,0,0,16079883,0,4195 79,3,2024-09-07 09:03:50:758,1,313,8,0,418,4234,313,0 80,0,2024-09-07 09:03:51:093,73045,0.6,75093,0.7,143804,0.5,194360,2.00 80,1,2024-09-07 09:03:51:626,551109,551109,0,0,256799334424,2673291147,547800,3132,177,368,391791,0 80,2,2024-09-07 09:03:51:098,399509,399509,0,0,15549362,0,4433 80,3,2024-09-07 09:03:50:582,1,313,0,0,190,3908,313,0 81,0,2024-09-07 09:03:51:574,77664,0.6,79578,0.7,152013,0.5,206599,2.00 81,1,2024-09-07 09:03:51:676,550117,550117,0,0,255802330818,2677304353,545274,4344,499,382,391879,0 81,2,2024-09-07 09:03:51:125,395462,395399,63,0,17489239,0,5932 81,3,2024-09-07 09:03:51:118,1,313,1,0,374,3770,313,0 82,0,2024-09-07 09:03:51:533,80620,0.5,81125,0.7,162441,0.5,215223,2.00 82,1,2024-09-07 09:03:50:595,551865,551861,0,4,257490365537,2683046947,548007,3057,797,381,391768,4 82,2,2024-09-07 09:03:51:696,393580,393580,0,0,14974711,0,3986 82,3,2024-09-07 09:03:51:752,1,313,1,0,363,3378,313,0 83,0,2024-09-07 09:03:51:534,80968,0.5,80700,0.7,160621,0.5,213764,2.00 83,1,2024-09-07 09:03:50:553,550436,550436,0,0,256353050842,2675914837,546323,3792,321,382,391709,0 83,2,2024-09-07 09:03:50:764,397236,397236,0,0,15477788,0,3393 83,3,2024-09-07 09:03:50:750,1,313,11,0,1260,4785,313,0 84,0,2024-09-07 09:03:51:769,74281,0.7,74193,0.9,148602,0.6,198400,2.25 84,1,2024-09-07 09:03:51:040,550161,550161,0,0,256421939741,2682764202,544437,4997,727,367,391967,0 84,2,2024-09-07 09:03:50:574,397128,397128,0,0,19442888,0,4757 84,3,2024-09-07 09:03:51:141,1,313,3,0,908,4906,313,0 85,0,2024-09-07 09:03:51:026,73801,0.8,73883,0.9,156641,0.8,202921,2.50 85,1,2024-09-07 09:03:50:560,548941,548941,0,0,255744486185,2703861309,540446,7127,1368,381,392006,0 85,2,2024-09-07 09:03:50:870,395721,395721,0,0,19295184,0,3656 85,3,2024-09-07 09:03:50:692,1,313,2,0,789,4324,313,0 86,0,2024-09-07 09:03:50:982,81529,0.7,83842,0.8,160599,0.8,216620,2.25 86,1,2024-09-07 09:03:50:824,550428,550428,0,0,256362601126,2688546397,543556,5807,1065,366,391961,0 86,2,2024-09-07 09:03:50:933,393596,393595,1,0,20084103,0,5004 86,3,2024-09-07 09:03:50:613,1,313,43,0,308,4474,313,0 87,0,2024-09-07 09:03:51:307,81771,0.9,81838,0.9,164113,1.2,219143,2.25 87,1,2024-09-07 09:03:50:564,549304,549304,0,0,256397995113,2685634064,543212,5483,609,366,392076,0 87,2,2024-09-07 09:03:51:091,394083,394083,0,0,18110787,0,4045 87,3,2024-09-07 09:03:51:800,1,313,18,0,473,4655,313,0 88,0,2024-09-07 09:03:51:472,75749,0.4,76213,0.6,152079,0.4,202120,2.00 88,1,2024-09-07 09:03:50:611,548639,548639,0,0,256300344399,2691198162,541094,5892,1653,365,392084,0 88,2,2024-09-07 09:03:50:689,396976,396976,0,0,20120619,0,3583 88,3,2024-09-07 09:03:51:284,1,313,1,0,435,3560,313,0 89,0,2024-09-07 09:03:51:787,78222,0.5,75585,0.7,149998,0.5,203617,2.00 89,1,2024-09-07 09:03:50:566,548907,548907,0,0,256122001660,2699460683,541258,6379,1270,382,391866,0 89,2,2024-09-07 09:03:51:139,395396,395396,0,0,19431784,0,2910 89,3,2024-09-07 09:03:51:804,1,313,1,0,385,5903,313,0 90,0,2024-09-07 09:03:51:658,78040,0.4,80093,0.6,163401,0.4,212896,1.75 90,1,2024-09-07 09:03:50:602,550222,550222,0,0,256648408301,2692830157,544487,5342,393,380,391825,0 90,2,2024-09-07 09:03:51:406,395068,395068,0,0,20742600,0,3060 90,3,2024-09-07 09:03:50:934,1,313,26,0,246,3578,313,0 91,0,2024-09-07 09:03:51:336,81630,0.5,79313,0.7,165382,0.5,217454,1.75 91,1,2024-09-07 09:03:50:703,548621,548621,0,0,256685950227,2704106012,540978,6602,1041,381,392047,0 91,2,2024-09-07 09:03:51:369,392428,392428,0,0,18443648,0,2896 91,3,2024-09-07 09:03:50:621,1,313,27,0,216,3057,313,0 92,0,2024-09-07 09:03:51:480,78588,0.5,80619,0.6,153972,0.4,208265,1.75 92,1,2024-09-07 09:03:50:598,550697,550697,0,0,256211522882,2675377157,547094,3122,481,382,392136,0 92,2,2024-09-07 09:03:51:367,398637,398637,0,0,16238218,0,3259 92,3,2024-09-07 09:03:51:010,1,313,1,0,167,2739,313,0 93,0,2024-09-07 09:03:50:988,74531,0.4,76411,0.6,145875,0.3,197847,1.75 93,1,2024-09-07 09:03:50:805,550367,550367,0,0,256711512883,2682976871,544473,4868,1026,366,391776,0 93,2,2024-09-07 09:03:50:934,397144,397144,0,0,19209120,0,4845 93,3,2024-09-07 09:03:51:419,1,313,7,0,190,3045,313,0 94,0,2024-09-07 09:03:51:628,78351,0.4,79041,0.5,157715,0.3,209637,1.75 94,1,2024-09-07 09:03:50:650,550551,550551,0,0,256912269984,2683809512,546673,3691,187,381,391850,0 94,2,2024-09-07 09:03:50:767,395944,395944,0,0,16457885,0,2443 94,3,2024-09-07 09:03:51:689,1,313,6,0,264,4026,313,0 95,0,2024-09-07 09:03:51:586,80186,0.4,80114,0.6,161047,0.3,214225,1.75 95,1,2024-09-07 09:03:50:861,551823,551823,0,0,258088225216,2685103470,547348,4094,381,367,391713,0 95,2,2024-09-07 09:03:51:020,391980,391980,0,0,16243724,0,3308 95,3,2024-09-07 09:03:51:714,1,313,13,0,718,5130,313,0 96,0,2024-09-07 09:03:51:047,80527,0.4,80603,0.5,160715,0.3,212674,1.75 96,1,2024-09-07 09:03:51:595,550351,550351,0,0,256535949788,2679732873,545997,3570,784,384,391955,0 96,2,2024-09-07 09:03:51:274,397964,397964,0,0,16426592,0,4042 96,3,2024-09-07 09:03:51:140,1,313,2,0,411,3380,313,0 97,0,2024-09-07 09:03:51:331,73417,0.3,73079,0.5,146751,0.3,194645,1.75 97,1,2024-09-07 09:03:50:781,552562,552562,0,0,257025206287,2667150025,548749,3143,670,367,392140,0 97,2,2024-09-07 09:03:50:615,398488,398488,0,0,16077490,0,3036 97,3,2024-09-07 09:03:50:603,1,313,14,0,214,3811,313,0 98,0,2024-09-07 09:03:51:751,77027,0.3,76935,0.5,154378,0.2,205525,1.50 98,1,2024-09-07 09:03:50:573,551583,551583,0,0,256856307020,2673650208,549036,2443,104,382,391997,0 98,2,2024-09-07 09:03:50:770,396021,396021,0,0,15988707,0,3080 98,3,2024-09-07 09:03:50:722,1,313,15,0,840,5189,313,0 99,0,2024-09-07 09:03:51:659,81468,0.4,81986,0.5,163243,0.3,217379,1.75 99,1,2024-09-07 09:03:51:734,551296,551296,0,0,257111303947,2680358305,547172,3372,752,381,392069,0 99,2,2024-09-07 09:03:51:459,395167,395167,0,0,17766510,0,3424 99,3,2024-09-07 09:03:50:594,1,313,199,0,199,2887,313,0 100,0,2024-09-07 09:03:51:502,81490,0.8,81480,1.0,163055,0.9,217604,2.50 100,1,2024-09-07 09:03:50:552,547898,547898,0,0,256013777140,2709638161,539453,6953,1492,381,391989,0 100,2,2024-09-07 09:03:51:826,395035,395024,11,0,18807989,0,5417 100,3,2024-09-07 09:03:51:733,1,313,7,0,559,5511,313,0 101,0,2024-09-07 09:03:51:715,76865,1.1,74858,1.0,146842,0.9,201556,2.25 101,1,2024-09-07 09:03:50:550,548383,548383,0,0,255445190135,2695181955,539334,7030,2019,368,391771,0 101,2,2024-09-07 09:03:51:761,395223,395223,0,0,21699464,0,4644 101,3,2024-09-07 09:03:50:953,1,313,15,0,448,3781,313,0 102,0,2024-09-07 09:03:51:009,74329,0.8,76941,0.8,155013,0.8,203579,2.25 102,1,2024-09-07 09:03:51:154,548904,548904,0,0,256133721344,2695005505,541609,6265,1030,369,391891,0 102,2,2024-09-07 09:03:51:747,396441,396387,54,0,18290992,0,6768 102,3,2024-09-07 09:03:51:619,1,313,0,0,410,3483,313,0 103,0,2024-09-07 09:03:51:623,84368,0.7,84366,0.8,159179,0.7,219433,2.00 103,1,2024-09-07 09:03:51:649,548290,548290,0,0,255485241290,2699652016,539556,6739,1995,381,391829,0 103,2,2024-09-07 09:03:50:606,394584,394584,0,0,18992678,0,3173 103,3,2024-09-07 09:03:50:764,1,313,8,0,916,4085,313,0 104,0,2024-09-07 09:03:51:010,81275,0.8,81693,1.0,162103,0.8,218654,2.50 104,1,2024-09-07 09:03:51:613,549634,549634,0,0,255537270475,2693205027,540758,7180,1696,365,392168,0 104,2,2024-09-07 09:03:51:678,391650,391650,0,0,20075415,0,3941 104,3,2024-09-07 09:03:51:423,1,313,8,0,1245,7064,313,0 105,0,2024-09-07 09:03:51:053,75776,0.9,73702,1.1,154246,1.1,203046,3.00 105,1,2024-09-07 09:03:50:563,550006,550006,0,0,256244576020,2694368081,541867,6809,1330,366,391797,0 105,2,2024-09-07 09:03:51:325,396248,396248,0,0,19481138,0,3509 105,3,2024-09-07 09:03:51:327,1,313,240,0,399,5247,313,0 106,0,2024-09-07 09:03:50:952,71994,0.8,73711,1.0,150791,0.9,197648,2.50 106,1,2024-09-07 09:03:51:752,548909,548909,0,0,255992283731,2694147613,540053,7852,1004,369,391865,0 106,2,2024-09-07 09:03:50:767,394451,394451,0,0,19242111,0,2795 106,3,2024-09-07 09:03:50:713,1,313,11,0,470,4182,313,0 107,0,2024-09-07 09:03:51:221,79605,1.1,79504,1.0,158975,1.5,212220,2.25 107,1,2024-09-07 09:03:50:591,548019,548019,0,0,255031136711,2692815126,540080,7025,914,381,392234,0 107,2,2024-09-07 09:03:51:335,395033,395032,1,0,19779869,0,5024 107,3,2024-09-07 09:03:51:759,1,313,22,0,353,4360,313,0 108,0,2024-09-07 09:03:51:782,80219,0.4,80673,0.6,160370,0.4,214572,1.75 108,1,2024-09-07 09:03:51:354,550503,550503,0,0,257238439207,2685769220,545676,4312,515,368,391857,0 108,2,2024-09-07 09:03:51:760,390645,390645,0,0,18183432,0,4246 108,3,2024-09-07 09:03:51:330,1,313,7,0,749,6593,313,0 109,0,2024-09-07 09:03:51:813,79382,0.4,78527,0.6,157238,0.3,210382,1.75 109,1,2024-09-07 09:03:50:615,548323,548323,0,0,256544433584,2693279508,543415,4247,661,383,392132,0 109,2,2024-09-07 09:03:50:924,395552,395552,0,0,17936145,0,3617 109,3,2024-09-07 09:03:51:195,1,313,16,0,249,3419,313,0 110,0,2024-09-07 09:03:51:752,73147,0.4,71145,0.6,148883,0.3,195110,1.75 110,1,2024-09-07 09:03:51:650,551774,551774,0,0,257843069243,2676835851,548263,2600,911,369,392045,0 110,2,2024-09-07 09:03:51:308,397794,397794,0,0,17885090,0,4067 110,3,2024-09-07 09:03:50:700,1,313,722,0,722,4914,313,0 111,0,2024-09-07 09:03:51:498,78253,0.4,77496,0.5,155277,0.3,208283,1.75 111,1,2024-09-07 09:03:51:001,551672,551672,0,0,257993895213,2679673299,548435,2887,350,382,391690,0 111,2,2024-09-07 09:03:51:124,395028,395028,0,0,17320009,0,4823 111,3,2024-09-07 09:03:50:916,1,313,7,0,379,4024,313,0 112,0,2024-09-07 09:03:50:911,81741,0.3,81113,0.4,162740,0.2,215956,1.50 112,1,2024-09-07 09:03:50:824,552052,552052,0,0,256747706320,2666225560,548615,2853,584,380,391624,0 112,2,2024-09-07 09:03:51:138,392706,392705,1,0,15823075,0,5036 112,3,2024-09-07 09:03:50:597,1,313,1,0,282,3224,313,0 113,0,2024-09-07 09:03:50:873,80573,0.3,80568,0.5,161708,0.2,215186,1.50 113,1,2024-09-07 09:03:51:685,553538,553538,0,0,258134237530,2666108024,550261,2693,584,366,391661,0 113,2,2024-09-07 09:03:51:303,399195,399195,0,0,14567526,0,3813 113,3,2024-09-07 09:03:50:687,1,313,1,0,340,3902,313,0 114,0,2024-09-07 09:03:50:875,75173,0.3,75708,0.5,150431,0.2,200492,1.75 114,1,2024-09-07 09:03:50:716,551423,551423,0,0,257230443066,2676954977,546304,3527,1592,381,391556,0 114,2,2024-09-07 09:03:50:880,398327,398326,1,0,15958592,0,5069 114,3,2024-09-07 09:03:51:280,1,313,0,0,395,2932,313,0 115,0,2024-09-07 09:03:50:557,76633,0.3,77047,0.4,154148,0.2,205240,1.50 115,1,2024-09-07 09:03:50:573,551396,551396,0,0,256648546376,2668652545,546573,3983,840,382,391656,0 115,2,2024-09-07 09:03:51:128,397101,397101,0,0,14806594,0,3453 115,3,2024-09-07 09:03:51:002,1,313,8,0,159,1919,313,0 116,0,2024-09-07 09:03:51:722,81252,0.9,81154,1.0,162730,1.2,217578,2.25 116,1,2024-09-07 09:03:50:813,548201,548201,0,0,255342312170,2699837175,540615,5321,2265,380,391782,0 116,2,2024-09-07 09:03:51:772,393879,393879,0,0,20333622,0,3529 116,3,2024-09-07 09:03:50:912,1,313,1,0,415,4048,313,0 117,0,2024-09-07 09:03:50:966,82304,0.8,81904,0.9,163928,0.9,219471,2.00 117,1,2024-09-07 09:03:51:589,549197,549197,0,0,255376210313,2677831262,543058,5471,668,370,392033,0 117,2,2024-09-07 09:03:51:134,397296,397296,0,0,16717670,0,3700 117,3,2024-09-07 09:03:51:086,1,313,1,0,490,4816,313,0 118,0,2024-09-07 09:03:51:809,73565,0.5,75624,0.7,154141,0.5,201389,2.00 118,1,2024-09-07 09:03:50:606,548982,548982,0,0,255937987943,2696165243,540217,6869,1896,366,391907,0 118,2,2024-09-07 09:03:51:591,395933,395933,0,0,18444287,0,2781 118,3,2024-09-07 09:03:51:767,1,313,15,0,235,3479,313,0 119,0,2024-09-07 09:03:51:378,75548,0.7,75865,0.8,151922,0.8,202401,2.25 119,1,2024-09-07 09:03:50:575,550542,550542,0,0,256778335609,2689017704,544148,5558,836,367,391780,0 119,2,2024-09-07 09:03:51:268,396810,396810,0,0,17535911,0,4174 119,3,2024-09-07 09:03:51:333,1,313,81,0,563,4826,313,0 120,0,2024-09-07 09:03:51:588,79915,0.6,79794,0.8,159601,0.5,213541,2.25 120,1,2024-09-07 09:03:50:866,549828,549828,0,0,255888235689,2690942441,543439,5835,554,368,391961,0 120,2,2024-09-07 09:03:50:779,395620,395619,1,0,20587138,0,5281 120,3,2024-09-07 09:03:51:290,1,313,162,0,241,4087,313,0 121,0,2024-09-07 09:03:51:692,81175,1.1,81218,1.0,163034,1.5,216943,2.25 121,1,2024-09-07 09:03:51:660,550284,550284,0,0,256735836489,2690187498,544501,5347,436,367,391840,0 121,2,2024-09-07 09:03:51:128,391102,391102,0,0,19581882,0,4127 121,3,2024-09-07 09:03:50:730,1,313,2,0,269,3800,313,0 122,0,2024-09-07 09:03:51:766,77834,0.8,75775,0.9,158862,0.9,208230,2.00 122,1,2024-09-07 09:03:50:864,548774,548774,0,0,256374852896,2696088623,540516,6997,1261,366,392130,0 122,2,2024-09-07 09:03:51:324,396631,396558,73,0,22210472,0,5989 122,3,2024-09-07 09:03:50:612,1,313,1,0,411,5901,313,0 123,0,2024-09-07 09:03:50:953,73772,0.8,72043,0.8,150270,0.9,197125,2.25 123,1,2024-09-07 09:03:50:567,548883,548883,0,0,256113190610,2707800722,537514,9244,2125,369,392039,0 123,2,2024-09-07 09:03:51:031,395464,395463,1,0,19173472,0,5215 123,3,2024-09-07 09:03:51:136,1,313,8,0,168,3729,313,0 124,0,2024-09-07 09:03:50:936,81008,0.4,81030,0.5,152687,0.3,210327,1.75 124,1,2024-09-07 09:03:51:029,551565,551565,0,0,256100903588,2669128799,546917,3740,908,367,392178,0 124,2,2024-09-07 09:03:51:009,396922,396869,53,0,17079782,0,6487 124,3,2024-09-07 09:03:50:763,1,313,1,0,490,3342,313,0 125,0,2024-09-07 09:03:51:426,80600,0.4,80204,0.6,161374,0.4,214532,1.75 125,1,2024-09-07 09:03:50:855,549915,549915,0,0,257099163868,2687438906,545276,4001,638,383,391702,0 125,2,2024-09-07 09:03:51:140,391902,391902,0,0,17311500,0,4534 125,3,2024-09-07 09:03:51:138,1,313,8,0,709,4517,313,0 126,0,2024-09-07 09:03:51:421,80274,0.4,82511,0.6,157716,0.4,213507,1.75 126,1,2024-09-07 09:03:50:555,552021,552021,0,0,257510640386,2669959025,548774,2967,280,365,391987,0 126,2,2024-09-07 09:03:50:617,398731,398731,0,0,17439682,0,4539 126,3,2024-09-07 09:03:50:912,1,313,0,0,207,4032,313,0 127,0,2024-09-07 09:03:51:589,73125,0.3,73508,0.5,146565,0.3,194554,1.75 127,1,2024-09-07 09:03:50:577,550912,550912,0,0,257448551534,2674497331,546157,4191,564,365,391816,0 127,2,2024-09-07 09:03:50:641,397424,397424,0,0,15967453,0,3897 127,3,2024-09-07 09:03:51:273,1,313,18,0,968,3899,313,0 128,0,2024-09-07 09:03:51:534,77232,0.3,77390,0.5,154596,0.2,205879,1.50 128,1,2024-09-07 09:03:51:635,550892,550892,0,0,257244925668,2674617622,546863,3661,368,367,391798,0 128,2,2024-09-07 09:03:51:382,396756,396756,0,0,15318763,0,2915 128,3,2024-09-07 09:03:50:767,1,313,1,0,1082,5812,313,0 129,0,2024-09-07 09:03:50:993,82051,0.3,81687,0.5,163780,0.3,217531,1.75 129,1,2024-09-07 09:03:50:571,548123,548123,0,0,256154792519,2685784800,542802,4106,1215,379,391835,0 129,2,2024-09-07 09:03:50:686,394465,394465,0,0,16118118,0,4031 129,3,2024-09-07 09:03:50:699,1,313,0,0,469,4375,313,0 130,0,2024-09-07 09:03:51:726,82330,0.5,81967,0.6,164667,0.6,218823,1.75 130,1,2024-09-07 09:03:50:583,551660,551660,0,0,257320615345,2678042496,548416,3005,239,381,391825,0 130,2,2024-09-07 09:03:51:125,397615,397615,0,0,15875695,0,4067 130,3,2024-09-07 09:03:51:296,1,313,43,0,450,3998,313,0 131,0,2024-09-07 09:03:51:950,75105,0.4,75582,0.5,151938,0.3,201316,1.75 131,1,2024-09-07 09:03:51:826,551050,551050,0,0,256421308250,2679466494,546619,3686,745,381,391865,0 131,2,2024-09-07 09:03:50:574,399544,399544,0,0,14747523,0,2415 131,3,2024-09-07 09:03:51:687,1,313,7,0,392,3400,313,0 132,0,2024-09-07 09:03:51:418,76099,0.5,76923,0.6,153530,0.4,204253,2.00 132,1,2024-09-07 09:03:50:581,548547,548547,0,0,255895765322,2700761544,539918,7165,1464,381,392097,0 132,2,2024-09-07 09:03:50:701,395546,395546,0,0,19666117,0,4606 132,3,2024-09-07 09:03:51:691,1,313,1,0,804,6088,313,0 133,0,2024-09-07 09:03:51:557,79893,0.5,81585,0.6,167657,0.5,218058,2.00 133,1,2024-09-07 09:03:50:583,548554,548554,0,0,255355766488,2697467678,540402,7070,1082,383,391914,0 133,2,2024-09-07 09:03:51:088,394592,394592,0,0,21002684,0,4315 133,3,2024-09-07 09:03:51:297,1,313,39,0,479,3476,313,0 134,0,2024-09-07 09:03:50:966,82325,0.5,82342,0.7,164605,0.5,219817,2.00 134,1,2024-09-07 09:03:50:590,548906,548906,0,0,255382430705,2687142772,540849,6203,1854,366,391718,0 134,2,2024-09-07 09:03:51:772,392886,392886,0,0,17334633,0,3847 134,3,2024-09-07 09:03:50:766,1,313,2,0,739,4219,313,0 135,0,2024-09-07 09:03:51:095,74054,0.7,74121,0.8,157155,0.8,202720,2.00 135,1,2024-09-07 09:03:51:584,549155,549155,0,0,256716609687,2706282105,541195,6765,1195,380,391805,0 135,2,2024-09-07 09:03:50:693,397508,397508,0,0,18968920,0,3981 135,3,2024-09-07 09:03:51:003,1,313,9,0,299,2482,313,0 136,0,2024-09-07 09:03:51:679,74938,0.5,75048,0.7,149418,0.5,199707,2.00 136,1,2024-09-07 09:03:51:442,549428,549428,0,0,255886270980,2692110446,542503,6230,695,382,391685,0 136,2,2024-09-07 09:03:51:138,396931,396931,0,0,18858277,0,3506 136,3,2024-09-07 09:03:51:120,1,313,2,0,637,3637,313,0 137,0,2024-09-07 09:03:50:986,82160,0.7,79977,0.8,157022,0.7,213547,2.00 137,1,2024-09-07 09:03:50:582,548591,548591,0,0,255694244990,2691024995,539102,7610,1879,366,391708,0 137,2,2024-09-07 09:03:51:709,395447,395447,0,0,21059263,0,3185 137,3,2024-09-07 09:03:50:768,1,313,16,0,382,3936,313,0 138,0,2024-09-07 09:03:51:750,79773,1.4,79956,1.1,160359,2.0,213573,2.25 138,1,2024-09-07 09:03:51:703,549349,549349,0,0,257151135393,2705764216,540491,7278,1580,368,391954,0 138,2,2024-09-07 09:03:50:599,391425,391425,0,0,18820552,0,4988 138,3,2024-09-07 09:03:50:610,1,313,2,0,1160,4660,313,0 139,0,2024-09-07 09:03:51:500,77789,1.3,78203,1.1,156587,2.0,208501,2.25 139,1,2024-09-07 09:03:50:585,546979,546979,0,0,254742090394,2712401332,536099,8259,2621,381,391892,0 139,2,2024-09-07 09:03:50:712,395021,395021,0,0,20422735,0,3097 139,3,2024-09-07 09:03:51:672,1,313,38,0,257,3541,313,0 140,0,2024-09-07 09:03:51:605,73434,0.3,73011,0.5,146633,0.2,195317,1.75 140,1,2024-09-07 09:03:51:546,552564,552564,0,0,257945519716,2663213652,549521,2605,438,365,391606,0 140,2,2024-09-07 09:03:50:693,398235,398235,0,0,15996065,0,3388 140,3,2024-09-07 09:03:50:766,1,313,27,0,247,2680,313,0 141,0,2024-09-07 09:03:51:703,77933,0.3,80062,0.5,152996,0.3,207904,1.75 141,1,2024-09-07 09:03:50:863,552066,552066,0,0,257156554937,2675739306,547637,3567,862,379,391614,0 141,2,2024-09-07 09:03:51:686,395948,395948,0,0,16203287,0,3360 141,3,2024-09-07 09:03:51:049,1,313,0,0,391,3318,313,0 142,0,2024-09-07 09:03:51:363,81824,0.4,81078,0.5,161782,0.3,216274,1.75 142,1,2024-09-07 09:03:50:588,551511,551511,0,0,257102774989,2684024005,547705,3457,349,382,392102,0 142,2,2024-09-07 09:03:51:302,392098,392066,32,0,17484725,0,6028 142,3,2024-09-07 09:03:51:747,1,313,1,0,484,4034,313,0 143,0,2024-09-07 09:03:51:389,80870,0.5,80760,0.6,161884,0.4,215231,1.75 143,1,2024-09-07 09:03:50:567,551544,551544,0,0,257128272762,2670440399,547955,3442,147,367,391705,0 143,2,2024-09-07 09:03:50:777,397385,397385,0,0,16623702,0,2750 143,3,2024-09-07 09:03:51:143,1,313,8,0,303,3862,313,0 144,0,2024-09-07 09:03:51:496,72403,0.6,74499,0.8,151605,0.5,198619,2.00 144,1,2024-09-07 09:03:50:578,549007,549007,0,0,255821953345,2685664161,544165,3902,940,381,391649,0 144,2,2024-09-07 09:03:51:765,398432,398432,0,0,15424616,0,3473 144,3,2024-09-07 09:03:51:740,1,313,1,0,249,3344,313,0 145,0,2024-09-07 09:03:51:363,74021,0.7,74047,0.9,157100,0.6,202671,2.50 145,1,2024-09-07 09:03:50:569,548321,548321,0,0,256100604460,2697871965,541036,6145,1140,382,391759,0 145,2,2024-09-07 09:03:51:449,394278,394278,0,0,19004852,0,3903 145,3,2024-09-07 09:03:50:900,1,313,1,0,622,4943,313,0 146,0,2024-09-07 09:03:51:616,81740,0.6,80994,0.8,163293,0.6,216398,2.25 146,1,2024-09-07 09:03:51:599,549711,549711,0,0,255978896540,2698130578,540039,7663,2009,368,391770,0 146,2,2024-09-07 09:03:51:696,394084,394084,0,0,18834700,0,2730 146,3,2024-09-07 09:03:51:283,1,313,0,0,1520,6903,313,0 147,0,2024-09-07 09:03:51:697,82311,0.6,82057,0.8,163436,0.6,219011,2.25 147,1,2024-09-07 09:03:51:380,551399,551399,0,0,257027002774,2678144173,546029,4577,793,368,391791,0 147,2,2024-09-07 09:03:51:010,395603,395603,0,0,16018041,0,2789 147,3,2024-09-07 09:03:50:913,1,313,9,0,730,4718,313,0 0,0,2024-09-07 09:04:01:849,78393,0.6,78447,0.7,166419,0.7,215668,2.00 0,1,2024-09-07 09:04:00:801,552061,552061,0,0,257473787765,2695701419,547946,3873,242,370,391896,0 0,2,2024-09-07 09:04:01:071,397554,397554,0,0,16445603,0,4480 0,3,2024-09-07 09:04:00:986,1,314,4,0,431,4396,314,0 1,0,2024-09-07 09:04:01:782,81772,0.9,81215,1.0,163278,1.1,218401,2.00 1,1,2024-09-07 09:04:00:581,551141,551141,0,0,256619499692,2691481167,545560,4356,1225,370,391857,0 1,2,2024-09-07 09:04:00:669,392952,392952,0,0,15811992,0,3267 1,3,2024-09-07 09:04:01:311,1,314,23,0,262,3791,314,0 2,0,2024-09-07 09:04:01:569,78102,0.6,78444,0.8,155483,0.8,208182,2.00 2,1,2024-09-07 09:04:00:859,552971,552971,0,0,257595707880,2678224231,550077,2601,293,380,391745,0 2,2,2024-09-07 09:04:01:272,398925,398925,0,0,15820634,0,3594 2,3,2024-09-07 09:04:00:691,1,314,11,0,357,3247,314,0 3,0,2024-09-07 09:04:01:758,74106,0.4,74168,0.6,148340,0.4,197400,2.00 3,1,2024-09-07 09:04:01:637,552012,552012,0,0,257152004079,2681860288,546918,4471,623,380,391591,0 3,2,2024-09-07 09:04:01:156,398783,398760,23,0,16314447,0,5851 3,3,2024-09-07 09:04:01:753,1,314,8,0,103,1945,314,0 4,0,2024-09-07 09:04:01:839,76755,0.4,78999,0.5,160397,0.4,210637,1.75 4,1,2024-09-07 09:04:00:595,550717,550717,0,0,256446376674,2704513569,543421,5942,1354,371,391992,0 4,2,2024-09-07 09:04:01:042,395621,395621,0,0,19124500,0,4528 4,3,2024-09-07 09:04:01:032,1,314,33,0,448,4612,314,0 5,0,2024-09-07 09:04:01:370,80510,0.5,80823,0.6,161114,0.5,214336,1.75 5,1,2024-09-07 09:04:00:771,551014,551014,0,0,256638535267,2703729667,543860,5798,1356,368,392005,0 5,2,2024-09-07 09:04:01:838,391585,391585,0,0,18015866,0,2432 5,3,2024-09-07 09:04:01:744,1,314,10,0,457,4787,314,0 6,0,2024-09-07 09:04:00:922,80676,0.5,80119,0.7,160137,0.4,213556,2.00 6,1,2024-09-07 09:04:00:747,550973,550973,0,0,256979422612,2689798000,544620,5277,1076,379,391694,0 6,2,2024-09-07 09:04:01:128,398323,398323,0,0,17466650,0,4816 6,3,2024-09-07 09:04:01:274,1,314,2,0,340,3669,314,0 7,0,2024-09-07 09:04:01:532,73026,0.5,73213,0.6,146097,0.4,194126,2.00 7,1,2024-09-07 09:04:00:855,550467,550467,0,0,256821636092,2702235416,542406,6885,1176,382,391747,0 7,2,2024-09-07 09:04:00:770,398910,398910,0,0,17543151,0,4791 7,3,2024-09-07 09:04:00:854,1,314,8,0,398,3778,314,0 8,0,2024-09-07 09:04:01:338,77486,0.4,77282,0.5,154905,0.3,206952,1.75 8,1,2024-09-07 09:04:01:073,549727,549727,0,0,257010797574,2712934921,539667,7784,2276,366,392144,0 8,2,2024-09-07 09:04:00:790,392986,392986,0,0,21570002,0,3220 8,3,2024-09-07 09:04:00:594,1,314,43,0,538,5606,314,0 9,0,2024-09-07 09:04:01:191,81799,0.4,79564,0.6,166352,0.4,217516,1.75 9,1,2024-09-07 09:04:00:573,550451,550451,0,0,257154105980,2717188013,541459,6869,2123,369,392001,0 9,2,2024-09-07 09:04:01:090,393590,393590,0,0,18995164,0,3360 9,3,2024-09-07 09:04:01:754,1,314,2,0,496,4720,314,0 10,0,2024-09-07 09:04:01:600,82102,0.3,81460,0.5,163676,0.3,217865,1.75 10,1,2024-09-07 09:04:00:583,551216,551216,0,0,257388406073,2701672502,543426,6682,1108,381,391741,0 10,2,2024-09-07 09:04:00:766,397527,397527,0,0,20730046,0,4264 10,3,2024-09-07 09:04:00:880,1,314,1,0,296,2917,314,0 11,0,2024-09-07 09:04:01:016,75058,0.4,72837,0.6,152406,0.4,201806,1.75 11,1,2024-09-07 09:04:00:571,551297,551297,0,0,257089795468,2706513766,541433,7435,2429,383,391664,0 11,2,2024-09-07 09:04:01:140,398105,398105,0,0,18620104,0,4130 11,3,2024-09-07 09:04:01:300,1,314,7,0,843,5128,314,0 12,0,2024-09-07 09:04:01:084,77198,0.4,77132,0.5,154312,0.3,205377,1.75 12,1,2024-09-07 09:04:00:953,551818,551818,0,0,256517923079,2679456526,546984,4344,490,370,391870,0 12,2,2024-09-07 09:04:01:544,397211,397211,0,0,18153945,0,3469 12,3,2024-09-07 09:04:01:059,1,314,7,0,386,5335,314,0 13,0,2024-09-07 09:04:01:345,82640,0.5,82319,0.6,164631,0.5,219165,1.75 13,1,2024-09-07 09:04:01:544,550814,550814,0,0,257138638727,2707842593,545060,4346,1408,382,391740,0 13,2,2024-09-07 09:04:00:602,397586,397586,0,0,16787426,0,3287 13,3,2024-09-07 09:04:01:765,1,314,2,0,522,5271,314,0 14,0,2024-09-07 09:04:00:574,82837,0.4,83460,0.6,164995,0.4,220405,1.75 14,1,2024-09-07 09:04:01:576,554616,554616,0,0,258793515963,2683259059,550326,3970,320,364,391571,0 14,2,2024-09-07 09:04:00:768,394516,394486,30,0,18326949,0,6104 14,3,2024-09-07 09:04:01:127,1,314,7,0,1168,4046,314,0 15,0,2024-09-07 09:04:01:561,76669,0.4,76850,0.7,153533,0.4,204239,2.00 15,1,2024-09-07 09:04:01:637,552536,552536,0,0,257746812515,2686351596,548530,3242,764,381,391619,0 15,2,2024-09-07 09:04:00:998,399913,399913,0,0,15015391,0,3622 15,3,2024-09-07 09:04:01:422,1,314,8,0,1126,6102,314,0 16,0,2024-09-07 09:04:01:073,75027,0.6,75390,0.8,150137,0.6,200361,2.25 16,1,2024-09-07 09:04:00:590,552605,552605,0,0,257357706110,2690248430,548327,3918,360,370,391756,0 16,2,2024-09-07 09:04:01:440,397683,397683,0,0,17292659,0,4719 16,3,2024-09-07 09:04:01:145,1,314,8,0,317,4571,314,0 17,0,2024-09-07 09:04:01:813,82266,0.7,80473,0.9,157332,0.8,213771,2.00 17,1,2024-09-07 09:04:00:582,551570,551570,0,0,256741410219,2699142657,545348,4965,1257,368,391899,0 17,2,2024-09-07 09:04:01:670,399541,399541,0,0,17056124,0,2857 17,3,2024-09-07 09:04:00:584,1,314,11,0,298,5173,314,0 18,0,2024-09-07 09:04:00:955,79689,0.7,80098,0.8,159673,0.7,213328,2.25 18,1,2024-09-07 09:04:01:645,553088,553088,0,0,258190579081,2678846542,549802,2975,311,367,391649,0 18,2,2024-09-07 09:04:01:766,394389,394389,0,0,15757440,0,3541 18,3,2024-09-07 09:04:00:901,1,314,1,0,163,2789,314,0 19,0,2024-09-07 09:04:01:556,78450,0.6,79076,0.8,156787,0.6,208722,2.25 19,1,2024-09-07 09:04:00:574,553109,553109,0,0,258282264667,2683606320,547996,4275,838,367,391777,0 19,2,2024-09-07 09:04:01:753,400228,400228,0,0,14478517,0,3988 19,3,2024-09-07 09:04:01:128,1,314,4,0,524,2424,314,0 20,0,2024-09-07 09:04:01:384,73240,0.6,73284,0.7,146623,0.6,195804,2.00 20,1,2024-09-07 09:04:00:573,551344,551344,0,0,257827083086,2696568039,547025,3915,404,369,391886,0 20,2,2024-09-07 09:04:00:960,399238,399238,0,0,17475646,0,3721 20,3,2024-09-07 09:04:00:589,1,314,1,0,414,5119,314,0 21,0,2024-09-07 09:04:01:141,78308,0.6,78395,0.7,156562,0.5,208186,2.00 21,1,2024-09-07 09:04:01:536,550181,550181,0,0,256521576329,2707178092,542335,5970,1876,368,392016,0 21,2,2024-09-07 09:04:01:075,395156,395156,0,0,20591377,0,3747 21,3,2024-09-07 09:04:01:409,1,314,1,0,713,3909,314,0 22,0,2024-09-07 09:04:01:719,80824,0.6,81124,0.8,162213,0.6,214586,2.25 22,1,2024-09-07 09:04:01:037,551233,551233,0,0,256090054563,2696977911,542788,6626,1819,382,391667,0 22,2,2024-09-07 09:04:00:768,392306,392306,0,0,16717732,0,3134 22,3,2024-09-07 09:04:01:066,1,314,1,0,228,2787,314,0 23,0,2024-09-07 09:04:01:370,80353,0.6,80259,0.7,160906,0.5,214223,2.25 23,1,2024-09-07 09:04:01:019,551161,551161,0,0,257171741592,2707698676,540671,7332,3158,365,391690,0 23,2,2024-09-07 09:04:01:095,399392,399392,0,0,16417676,0,3010 23,3,2024-09-07 09:04:01:754,1,314,12,0,645,3774,314,0 24,0,2024-09-07 09:04:00:842,75343,0.4,75161,0.6,150921,0.4,199881,1.75 24,1,2024-09-07 09:04:00:588,550448,550448,0,0,256298571280,2694049324,543703,5220,1525,368,391987,0 24,2,2024-09-07 09:04:01:085,397467,397467,0,0,20238421,0,3607 24,3,2024-09-07 09:04:01:686,1,314,1,0,468,4389,314,0 25,0,2024-09-07 09:04:01:378,79059,0.4,77115,0.6,151160,0.4,206447,2.00 25,1,2024-09-07 09:04:00:560,551351,551351,0,0,257256159078,2704144588,543687,6298,1366,371,391928,0 25,2,2024-09-07 09:04:01:644,395958,395958,0,0,20457608,0,3978 25,3,2024-09-07 09:04:01:010,1,314,0,0,255,3276,314,0 26,0,2024-09-07 09:04:01:764,81390,0.5,79580,0.7,167030,0.4,217077,2.00 26,1,2024-09-07 09:04:01:543,552066,552066,0,0,256683399122,2696467040,543231,7299,1536,380,391748,0 26,2,2024-09-07 09:04:00:870,395544,395544,0,0,20185018,0,2809 26,3,2024-09-07 09:04:01:712,1,314,1,0,796,4325,314,0 27,0,2024-09-07 09:04:01:725,82733,0.5,82766,0.6,164068,0.4,219402,2.00 27,1,2024-09-07 09:04:01:676,553552,553552,0,0,257814600430,2688315631,548414,4373,765,381,391626,0 27,2,2024-09-07 09:04:00:876,394056,393991,65,0,19890354,0,5699 27,3,2024-09-07 09:04:01:035,1,314,14,0,564,3333,314,0 28,0,2024-09-07 09:04:01:387,76249,0.4,76038,0.6,152247,0.4,203388,2.00 28,1,2024-09-07 09:04:00:798,552801,552801,0,0,258369890872,2694779506,548654,3471,676,383,391646,0 28,2,2024-09-07 09:04:01:765,398259,398259,0,0,16894704,0,2915 28,3,2024-09-07 09:04:01:776,1,314,1,0,502,3153,314,0 29,0,2024-09-07 09:04:01:365,78347,0.4,76435,0.6,149681,0.3,204415,1.75 29,1,2024-09-07 09:04:01:561,553910,553910,0,0,258732165804,2682652257,549680,3547,683,369,391753,0 29,2,2024-09-07 09:04:00:869,396303,396303,0,0,17006389,0,4986 29,3,2024-09-07 09:04:00:981,1,314,2,0,459,3821,314,0 30,0,2024-09-07 09:04:01:455,80513,0.5,78268,0.7,163715,0.4,214795,2.00 30,1,2024-09-07 09:04:00:570,553622,553622,0,0,258193689909,2685028167,549076,3855,691,382,391672,0 30,2,2024-09-07 09:04:01:282,397196,397196,0,0,15851784,0,3161 30,3,2024-09-07 09:04:00:581,1,314,5,0,519,3006,314,0 31,0,2024-09-07 09:04:01:758,81388,0.4,81603,0.6,163465,0.4,218030,2.00 31,1,2024-09-07 09:04:00:572,555794,555794,0,0,259125507842,2660795659,553290,1946,558,356,391712,0 31,2,2024-09-07 09:04:01:275,393635,393635,0,0,17170889,0,3525 31,3,2024-09-07 09:04:01:727,1,314,175,0,220,2793,314,0 32,0,2024-09-07 09:04:01:416,78123,0.3,78419,0.5,156798,0.2,208533,1.75 32,1,2024-09-07 09:04:00:814,553301,553301,0,0,257921595836,2680261417,550236,2620,445,381,391646,0 32,2,2024-09-07 09:04:00:959,399128,399128,0,0,14836945,0,3155 32,3,2024-09-07 09:04:01:040,1,314,3,0,227,2400,314,0 33,0,2024-09-07 09:04:01:494,74508,0.3,74098,0.5,148642,0.2,198220,1.75 33,1,2024-09-07 09:04:00:592,553694,553694,0,0,258913320285,2683825144,549292,3571,831,369,391730,0 33,2,2024-09-07 09:04:00:762,399571,399536,35,0,16932359,0,7012 33,3,2024-09-07 09:04:00:910,1,314,7,0,329,3496,314,0 34,0,2024-09-07 09:04:00:935,79248,0.3,81563,0.5,156073,0.2,209924,1.75 34,1,2024-09-07 09:04:01:047,555046,555046,0,0,258934167528,2663248676,553655,1383,8,367,391562,0 34,2,2024-09-07 09:04:00:770,397643,397643,0,0,16249032,0,3577 34,3,2024-09-07 09:04:01:689,1,314,1,0,299,2391,314,0 35,0,2024-09-07 09:04:00:863,79814,0.4,80217,0.5,161372,0.3,214935,1.75 35,1,2024-09-07 09:04:01:080,553536,553536,0,0,258706138963,2683431966,549935,2821,780,382,391589,0 35,2,2024-09-07 09:04:01:583,393048,393048,0,0,16037725,0,2653 35,3,2024-09-07 09:04:00:913,1,314,8,0,418,4143,314,0 36,0,2024-09-07 09:04:01:515,80472,0.5,80516,0.7,161064,0.4,213227,2.00 36,1,2024-09-07 09:04:00:594,552824,552824,0,0,256859873883,2688160027,545599,5567,1658,366,391759,0 36,2,2024-09-07 09:04:01:753,398772,398772,0,0,18537165,0,3875 36,3,2024-09-07 09:04:00:867,1,314,4,0,416,5229,314,0 37,0,2024-09-07 09:04:01:369,72886,0.5,72832,0.7,145841,0.5,194958,2.00 37,1,2024-09-07 09:04:00:572,551434,551427,0,7,257212736426,2692296423,544662,4680,2085,365,391570,0 37,2,2024-09-07 09:04:01:144,397746,397731,15,0,18166881,0,5815 37,3,2024-09-07 09:04:01:766,1,314,2,0,888,5456,314,0 38,0,2024-09-07 09:04:01:444,76843,0.5,74678,0.7,156304,0.4,205000,2.00 38,1,2024-09-07 09:04:01:615,553432,553432,0,0,257749668322,2687812709,547398,5277,757,368,391821,0 38,2,2024-09-07 09:04:00:769,396189,396142,47,0,18795615,0,6710 38,3,2024-09-07 09:04:00:997,1,314,14,0,689,4575,314,0 39,0,2024-09-07 09:04:01:762,83116,0.7,81429,0.8,158382,0.7,216312,2.00 39,1,2024-09-07 09:04:00:719,551938,551938,0,0,257181233245,2695303998,543598,6880,1460,365,391594,0 39,2,2024-09-07 09:04:01:423,394321,394321,0,0,16774546,0,2689 39,3,2024-09-07 09:04:00:716,1,314,8,0,324,4038,314,0 40,0,2024-09-07 09:04:01:490,81107,0.8,81823,1.0,163416,0.8,217490,2.75 40,1,2024-09-07 09:04:00:577,552472,552472,0,0,256908557340,2695341104,543968,6995,1509,368,391668,0 40,2,2024-09-07 09:04:01:304,397007,397006,1,0,20088373,0,5137 40,3,2024-09-07 09:04:01:149,1,314,1,0,1028,4876,314,0 41,0,2024-09-07 09:04:01:028,74907,1.5,76820,1.3,146538,2.4,199670,3.25 41,1,2024-09-07 09:04:00:791,551498,551498,0,0,257406788604,2697984015,544453,6342,703,370,391742,0 41,2,2024-09-07 09:04:00:760,397029,397029,0,0,19302033,0,3356 41,3,2024-09-07 09:04:01:676,1,314,3,0,366,3329,314,0 42,0,2024-09-07 09:04:01:491,76002,1.0,75995,1.1,152317,1.1,201370,2.75 42,1,2024-09-07 09:04:01:439,550364,550364,0,0,256616074673,2701899725,541044,7640,1680,380,391675,0 42,2,2024-09-07 09:04:01:136,396737,396737,0,0,19418262,0,3790 42,3,2024-09-07 09:04:01:016,1,314,7,0,446,3043,314,0 43,0,2024-09-07 09:04:00:921,80998,1.0,79019,1.1,165430,1.3,216659,2.50 43,1,2024-09-07 09:04:00:576,552399,552399,0,0,258050738458,2700415053,544433,6529,1437,366,391696,0 43,2,2024-09-07 09:04:01:752,396696,396696,0,0,19155445,0,3812 43,3,2024-09-07 09:04:01:749,1,314,1,0,467,3972,314,0 44,0,2024-09-07 09:04:00:859,82518,0.5,82968,0.6,165439,0.4,220433,1.75 44,1,2024-09-07 09:04:00:574,553703,553703,0,0,258163867372,2672609847,548929,3738,1036,356,391809,0 44,2,2024-09-07 09:04:01:272,394245,394245,0,0,15829888,0,4344 44,3,2024-09-07 09:04:01:102,1,314,2,0,817,4301,314,0 45,0,2024-09-07 09:04:01:755,75784,0.5,73959,0.7,155129,0.5,204122,2.00 45,1,2024-09-07 09:04:01:009,552772,552772,0,0,258088610820,2683662729,548824,3523,425,382,391917,0 45,2,2024-09-07 09:04:01:269,398621,398621,0,0,16217719,0,3596 45,3,2024-09-07 09:04:00:959,1,314,1,0,271,3093,314,0 46,0,2024-09-07 09:04:00:988,74465,0.5,74517,0.7,149222,0.5,198091,2.00 46,1,2024-09-07 09:04:00:575,554591,554591,0,0,258740194692,2675050209,550936,3229,426,366,391572,0 46,2,2024-09-07 09:04:00:595,398534,398534,0,0,15530166,0,2920 46,3,2024-09-07 09:04:01:131,1,314,2,0,908,4451,314,0 47,0,2024-09-07 09:04:01:105,80397,0.5,80461,0.6,161214,0.4,213373,1.75 47,1,2024-09-07 09:04:00:570,553738,553738,0,0,258274427102,2672705353,549879,3368,491,366,391641,0 47,2,2024-09-07 09:04:00:911,399399,399399,0,0,16136676,0,4477 47,3,2024-09-07 09:04:01:126,1,314,10,0,529,3504,314,0 48,0,2024-09-07 09:04:01:491,81379,0.3,80845,0.4,161257,0.2,215687,1.50 48,1,2024-09-07 09:04:01:023,553412,553412,0,0,258170397210,2683573615,549860,3251,301,384,391710,0 48,2,2024-09-07 09:04:00:712,392867,392867,0,0,14565469,0,3031 48,3,2024-09-07 09:04:00:762,1,314,3,0,339,2778,314,0 49,0,2024-09-07 09:04:01:726,81092,0.3,79607,0.5,154296,0.3,211128,1.75 49,1,2024-09-07 09:04:01:026,552743,552743,0,0,257627097402,2685534376,548444,3115,1184,382,391809,0 49,2,2024-09-07 09:04:01:804,399837,399837,0,0,15565783,0,4426 49,3,2024-09-07 09:04:01:425,1,314,5,0,408,3403,314,0 50,0,2024-09-07 09:04:01:506,73456,0.3,72733,0.5,146280,0.2,195484,1.75 50,1,2024-09-07 09:04:01:027,555059,555059,0,0,258596380236,2676652587,551245,3440,374,368,391565,0 50,2,2024-09-07 09:04:01:066,399555,399555,0,0,14811316,0,2263 50,3,2024-09-07 09:04:01:291,1,314,319,0,335,3156,314,0 51,0,2024-09-07 09:04:01:690,80332,0.3,78449,0.5,153305,0.2,209050,1.75 51,1,2024-09-07 09:04:01:681,554829,554829,0,0,258978252135,2674640990,551575,2279,975,365,391706,0 51,2,2024-09-07 09:04:01:329,397435,397435,0,0,14326296,0,3337 51,3,2024-09-07 09:04:01:034,1,314,11,0,678,2477,314,0 52,0,2024-09-07 09:04:01:414,81401,0.5,81294,0.7,162534,0.5,215983,2.00 52,1,2024-09-07 09:04:00:582,551860,551860,0,0,256728721849,2694549123,543332,7218,1310,368,391722,0 52,2,2024-09-07 09:04:01:755,390223,390185,38,0,18917030,0,6742 52,3,2024-09-07 09:04:00:683,1,314,1,0,1782,5097,314,0 53,0,2024-09-07 09:04:01:753,80254,0.7,78027,0.8,163087,0.7,213735,2.25 53,1,2024-09-07 09:04:00:778,550837,550837,0,0,257715496036,2710114273,541420,6836,2581,367,391702,0 53,2,2024-09-07 09:04:01:299,399205,399205,0,0,16180808,0,2727 53,3,2024-09-07 09:04:00:700,1,314,27,0,308,3175,314,0 54,0,2024-09-07 09:04:01:636,73703,0.6,74160,0.8,147540,0.4,196961,2.50 54,1,2024-09-07 09:04:00:586,552649,552649,0,0,258174860763,2686949176,547057,4990,602,367,391659,0 54,2,2024-09-07 09:04:00:869,399066,399060,6,0,18787753,0,5382 54,3,2024-09-07 09:04:00:773,1,314,9,0,676,5076,314,0 55,0,2024-09-07 09:04:01:763,74348,0.6,77083,0.8,155031,0.6,202482,2.50 55,1,2024-09-07 09:04:00:769,552035,552035,0,0,257611906698,2685773582,545419,5707,909,365,391731,0 55,2,2024-09-07 09:04:00:731,395993,395993,0,0,18247006,0,3563 55,3,2024-09-07 09:04:00:678,1,314,8,0,304,3589,314,0 56,0,2024-09-07 09:04:01:577,83322,1.3,78556,1.2,162040,1.8,216694,2.75 56,1,2024-09-07 09:04:00:569,549740,549740,0,0,256671528452,2715392248,541052,7060,1628,381,391867,0 56,2,2024-09-07 09:04:01:303,394943,394943,0,0,19072801,0,3567 56,3,2024-09-07 09:04:01:064,1,314,0,0,705,4357,314,0 57,0,2024-09-07 09:04:00:979,81391,1.5,81140,1.2,162708,2.1,217947,3.00 57,1,2024-09-07 09:04:01:009,551389,551389,0,0,257041323069,2698036889,544701,5971,717,366,392032,0 57,2,2024-09-07 09:04:01:321,396464,396464,0,0,20574253,0,3317 57,3,2024-09-07 09:04:01:744,1,314,48,0,359,3792,314,0 58,0,2024-09-07 09:04:00:614,74725,0.9,72728,1.0,152389,1.1,200042,2.50 58,1,2024-09-07 09:04:00:579,552342,552339,0,3,257399633500,2696136010,544277,6985,1077,367,391603,3 58,2,2024-09-07 09:04:01:071,397756,397756,0,0,18957623,0,2549 58,3,2024-09-07 09:04:01:070,1,314,1,0,1043,3366,314,0 59,0,2024-09-07 09:04:01:758,75883,0.9,75512,1.0,151052,1.0,200643,2.75 59,1,2024-09-07 09:04:00:804,552031,552031,0,0,257277665078,2697626349,544385,6181,1465,369,391578,0 59,2,2024-09-07 09:04:00:586,398212,398212,0,0,18561404,0,2867 59,3,2024-09-07 09:04:01:737,1,314,11,0,1015,4227,314,0 60,0,2024-09-07 09:04:01:717,80714,0.5,80863,0.7,162263,0.4,215359,1.75 60,1,2024-09-07 09:04:00:783,553695,553695,0,0,258627855119,2685650675,550210,2923,562,370,392031,0 60,2,2024-09-07 09:04:01:144,397367,397367,0,0,18270351,0,3811 60,3,2024-09-07 09:04:01:266,1,314,0,0,175,3183,314,0 61,0,2024-09-07 09:04:01:504,81651,0.7,82083,0.8,162974,0.7,217798,2.00 61,1,2024-09-07 09:04:00:781,551787,551787,0,0,257868508223,2706175298,545026,5456,1305,382,392127,0 61,2,2024-09-07 09:04:01:154,393852,393785,67,0,18018707,0,6411 61,3,2024-09-07 09:04:01:687,1,314,2,0,479,4889,314,0 62,0,2024-09-07 09:04:01:714,78601,0.5,80302,0.7,153066,0.5,208211,2.00 62,1,2024-09-07 09:04:01:144,554901,554895,0,6,258811535751,2674210073,551451,3232,212,365,391715,6 62,2,2024-09-07 09:04:01:647,397402,397401,1,0,17876185,0,5555 62,3,2024-09-07 09:04:01:147,1,314,2,0,465,2510,314,0 63,0,2024-09-07 09:04:01:454,74279,0.4,74353,0.6,148889,0.4,198011,1.75 63,1,2024-09-07 09:04:00:803,553446,553440,0,6,258511539664,2685262175,549958,3245,237,381,391800,6 63,2,2024-09-07 09:04:00:769,398783,398783,0,0,16389103,0,4369 63,3,2024-09-07 09:04:01:752,1,314,9,0,667,3554,314,0 64,0,2024-09-07 09:04:01:545,78653,0.5,78530,0.7,157009,0.5,209083,2.00 64,1,2024-09-07 09:04:00:779,552830,552830,0,0,257681277806,2690199069,547197,3977,1656,370,391783,0 64,2,2024-09-07 09:04:01:144,400777,400758,19,0,16239853,0,6121 64,3,2024-09-07 09:04:01:141,1,314,2,0,265,3042,314,0 65,0,2024-09-07 09:04:01:673,79808,0.7,80035,0.8,159802,0.8,212834,2.25 65,1,2024-09-07 09:04:00:872,551622,551622,0,0,257430962544,2693308929,547548,3623,451,382,391901,0 65,2,2024-09-07 09:04:01:693,393864,393864,0,0,17537340,0,3367 65,3,2024-09-07 09:04:01:684,1,314,0,0,163,2848,314,0 66,0,2024-09-07 09:04:01:776,79920,0.5,79798,0.7,159950,0.5,212035,2.00 66,1,2024-09-07 09:04:01:297,553058,553058,0,0,258614677182,2691074979,549504,3233,321,380,391653,0 66,2,2024-09-07 09:04:01:136,400954,400954,0,0,15956679,0,4956 66,3,2024-09-07 09:04:01:082,1,314,0,0,291,3102,314,0 67,0,2024-09-07 09:04:01:429,73730,0.5,73028,0.7,146742,0.5,195188,2.00 67,1,2024-09-07 09:04:00:770,553167,553166,0,1,257419052064,2683960326,549004,3411,751,380,391787,1 67,2,2024-09-07 09:04:00:583,400175,400175,0,0,15399077,0,2889 67,3,2024-09-07 09:04:01:759,1,314,7,0,338,2784,314,0 68,0,2024-09-07 09:04:00:579,77726,0.6,77267,0.8,154259,0.6,206733,2.00 68,1,2024-09-07 09:04:00:589,551962,551962,0,0,256917248104,2694822132,547161,3600,1201,381,391953,0 68,2,2024-09-07 09:04:01:055,395134,395069,65,0,20557466,0,6698 68,3,2024-09-07 09:04:00:728,1,314,4,0,417,3506,314,0 69,0,2024-09-07 09:04:01:746,81095,0.9,81521,0.9,162426,1.2,215412,2.25 69,1,2024-09-07 09:04:01:018,549974,549974,0,0,255958560559,2706931512,542008,5856,2110,384,391994,0 69,2,2024-09-07 09:04:01:733,393639,393639,0,0,20430871,0,3722 69,3,2024-09-07 09:04:00:760,1,314,106,0,698,4603,314,0 70,0,2024-09-07 09:04:01:535,81170,0.8,81147,1.0,163262,0.7,216079,2.50 70,1,2024-09-07 09:04:00:801,553383,553383,0,0,257738847910,2679718230,548544,4303,536,366,391725,0 70,2,2024-09-07 09:04:01:331,396807,396807,0,0,18547363,0,4044 70,3,2024-09-07 09:04:00:746,1,314,1,0,854,3683,314,0 71,0,2024-09-07 09:04:01:368,75019,0.9,74610,1.0,149959,1.1,200494,2.75 71,1,2024-09-07 09:04:01:605,552041,552041,0,0,258382201312,2699961019,545476,5909,656,368,391738,0 71,2,2024-09-07 09:04:01:071,398435,398435,0,0,18281095,0,4042 71,3,2024-09-07 09:04:01:752,1,314,7,0,644,4485,314,0 72,0,2024-09-07 09:04:01:058,79187,0.7,77363,0.8,150988,0.7,205742,2.25 72,1,2024-09-07 09:04:01:038,551365,551365,0,0,256787051435,2694845140,543361,6309,1695,369,391819,0 72,2,2024-09-07 09:04:01:755,395621,395621,0,0,21016209,0,3983 72,3,2024-09-07 09:04:01:763,1,314,9,0,564,5215,314,0 73,0,2024-09-07 09:04:01:106,79990,0.5,81920,0.7,167850,0.4,217884,2.25 73,1,2024-09-07 09:04:00:779,552604,552604,0,0,257690672562,2682880686,548343,3932,329,367,391858,0 73,2,2024-09-07 09:04:01:752,396648,396648,0,0,20167550,0,3701 73,3,2024-09-07 09:04:00:970,1,314,2,0,274,4445,314,0 74,0,2024-09-07 09:04:01:332,82989,0.5,85048,0.7,162373,0.5,220243,2.25 74,1,2024-09-07 09:04:00:640,551992,551992,0,0,256898088270,2686432746,546028,4706,1258,381,391681,0 74,2,2024-09-07 09:04:01:011,394538,394538,0,0,19014736,0,4253 74,3,2024-09-07 09:04:01:442,1,314,1,0,522,4517,314,0 75,0,2024-09-07 09:04:01:765,76923,0.5,76592,0.7,153405,0.4,205141,2.25 75,1,2024-09-07 09:04:01:594,551654,551654,0,0,257233593019,2692334846,545308,5521,825,380,391739,0 75,2,2024-09-07 09:04:01:350,397623,397623,0,0,19204447,0,4766 75,3,2024-09-07 09:04:01:068,1,314,8,0,702,4683,314,0 76,0,2024-09-07 09:04:00:622,74619,0.6,74096,0.8,148741,0.6,199464,2.25 76,1,2024-09-07 09:04:00:832,552398,552398,0,0,256889622736,2682652546,548560,3249,589,382,391692,0 76,2,2024-09-07 09:04:01:065,399292,399291,1,0,18311213,0,5144 76,3,2024-09-07 09:04:01:147,1,314,3,0,175,3126,314,0 77,0,2024-09-07 09:04:01:716,80063,0.6,80185,0.8,160360,0.6,213297,2.00 77,1,2024-09-07 09:04:00:841,552478,552478,0,0,257554417385,2694129729,547573,4428,477,381,391869,0 77,2,2024-09-07 09:04:01:289,398241,398241,0,0,17816406,0,3890 77,3,2024-09-07 09:04:01:093,1,314,10,0,401,3658,314,0 78,0,2024-09-07 09:04:01:737,80972,0.5,80530,0.7,161909,0.4,214969,2.00 78,1,2024-09-07 09:04:00:610,552932,552932,0,0,257819123851,2687459662,547279,4532,1121,367,391670,0 78,2,2024-09-07 09:04:01:406,393973,393973,0,0,15437253,0,3855 78,3,2024-09-07 09:04:01:136,1,314,4,0,181,3120,314,0 79,0,2024-09-07 09:04:01:346,76502,0.4,78468,0.6,160348,0.4,208596,2.25 79,1,2024-09-07 09:04:00:572,554445,554445,0,0,257858842781,2672382294,550186,3739,520,369,391682,0 79,2,2024-09-07 09:04:01:070,399800,399800,0,0,16309650,0,4195 79,3,2024-09-07 09:04:00:760,1,314,1,0,418,4235,314,0 80,0,2024-09-07 09:04:01:109,73354,0.5,75389,0.7,144389,0.5,195303,2.00 80,1,2024-09-07 09:04:01:643,552796,552796,0,0,257698565005,2682784845,549487,3132,177,368,391791,0 80,2,2024-09-07 09:04:01:100,400815,400815,0,0,15659517,0,4433 80,3,2024-09-07 09:04:00:580,1,314,0,0,190,3908,314,0 81,0,2024-09-07 09:04:01:576,78137,0.6,80041,0.7,152859,0.5,207783,2.00 81,1,2024-09-07 09:04:01:668,551857,551857,0,0,256935882572,2688891102,547014,4344,499,382,391879,0 81,2,2024-09-07 09:04:01:136,396767,396704,63,0,17581590,0,5932 81,3,2024-09-07 09:04:01:123,1,314,5,0,374,3775,314,0 82,0,2024-09-07 09:04:01:536,80747,0.5,81240,0.7,162665,0.5,215534,2.00 82,1,2024-09-07 09:04:00:583,553707,553703,0,4,258262409623,2691588372,549808,3098,797,381,391768,4 82,2,2024-09-07 09:04:01:691,394529,394529,0,0,15004671,0,3986 82,3,2024-09-07 09:04:01:752,1,314,1,0,363,3379,314,0 83,0,2024-09-07 09:04:01:528,81073,0.5,80817,0.7,160879,0.5,214114,2.00 83,1,2024-09-07 09:04:00:562,552095,552095,0,0,257176702199,2685608593,547788,3927,380,382,391709,0 83,2,2024-09-07 09:04:00:782,398582,398582,0,0,15533043,0,3393 83,3,2024-09-07 09:04:00:759,1,314,9,0,1260,4794,314,0 84,0,2024-09-07 09:04:01:831,74321,0.7,74224,0.9,148670,0.6,198400,2.25 84,1,2024-09-07 09:04:01:055,551917,551917,0,0,257155713596,2690515842,546193,4997,727,367,391967,0 84,2,2024-09-07 09:04:00:582,398537,398537,0,0,19615704,0,4757 84,3,2024-09-07 09:04:01:143,1,314,2,0,908,4908,314,0 85,0,2024-09-07 09:04:01:028,74164,0.8,74222,0.9,157418,0.8,203813,2.50 85,1,2024-09-07 09:04:00:563,550691,550691,0,0,256714995497,2713856330,542196,7127,1368,381,392006,0 85,2,2024-09-07 09:04:00:865,397214,397214,0,0,19344935,0,3656 85,3,2024-09-07 09:04:00:685,1,314,1,0,789,4325,314,0 86,0,2024-09-07 09:04:00:877,81623,0.7,83952,0.8,160782,0.8,216863,2.25 86,1,2024-09-07 09:04:00:843,552196,552196,0,0,256989289964,2695122140,545324,5807,1065,366,391961,0 86,2,2024-09-07 09:04:00:869,394386,394385,1,0,20161909,0,5004 86,3,2024-09-07 09:04:00:586,1,314,0,0,308,4474,314,0 87,0,2024-09-07 09:04:01:292,82040,0.9,82094,0.9,164562,1.2,219742,2.25 87,1,2024-09-07 09:04:00:565,550808,550808,0,0,257302581311,2695366167,544640,5558,610,366,392076,0 87,2,2024-09-07 09:04:01:070,395798,395798,0,0,18314885,0,4045 87,3,2024-09-07 09:04:01:808,1,314,11,0,473,4666,314,0 88,0,2024-09-07 09:04:01:450,76018,0.4,76533,0.6,152760,0.4,202998,2.00 88,1,2024-09-07 09:04:00:611,550363,550363,0,0,257246755552,2701705970,542683,6016,1664,365,392084,0 88,2,2024-09-07 09:04:00:692,398385,398385,0,0,20385328,0,3583 88,3,2024-09-07 09:04:01:273,1,314,1,0,435,3561,314,0 89,0,2024-09-07 09:04:01:791,78543,0.5,75900,0.7,150612,0.5,204354,2.00 89,1,2024-09-07 09:04:00:558,550639,550639,0,0,256864498863,2707171419,542989,6380,1270,382,391866,0 89,2,2024-09-07 09:04:01:136,396502,396502,0,0,19488799,0,2910 89,3,2024-09-07 09:04:01:795,1,314,70,0,385,5973,314,0 90,0,2024-09-07 09:04:01:636,78440,0.4,80530,0.6,164335,0.4,214374,2.00 90,1,2024-09-07 09:04:00:651,551973,551973,0,0,257344706494,2700414280,546212,5368,393,380,391825,0 90,2,2024-09-07 09:04:01:418,396233,396233,0,0,20800540,0,3060 90,3,2024-09-07 09:04:00:941,1,314,1,0,246,3579,314,0 91,0,2024-09-07 09:04:00:968,81976,0.5,79590,0.7,165988,0.5,218330,1.75 91,1,2024-09-07 09:04:00:556,550585,550585,0,0,257411207336,2712262425,542846,6698,1041,381,392047,0 91,2,2024-09-07 09:04:01:331,393919,393919,0,0,18539951,0,2896 91,3,2024-09-07 09:04:00:599,1,314,7,0,216,3064,314,0 92,0,2024-09-07 09:04:01:477,78760,0.5,80807,0.6,154299,0.4,208681,1.75 92,1,2024-09-07 09:04:00:583,552333,552333,0,0,256887942260,2684932115,548202,3447,684,382,392136,0 92,2,2024-09-07 09:04:01:352,399960,399960,0,0,16402649,0,3259 92,3,2024-09-07 09:04:01:023,1,314,4,0,167,2743,314,0 93,0,2024-09-07 09:04:01:005,74798,0.4,76675,0.6,146378,0.3,198489,1.75 93,1,2024-09-07 09:04:00:821,552101,552101,0,0,257742600873,2694097100,546178,4897,1026,366,391776,0 93,2,2024-09-07 09:04:00:959,398270,398270,0,0,19469951,0,4845 93,3,2024-09-07 09:04:01:407,1,314,4,0,190,3049,314,0 94,0,2024-09-07 09:04:01:607,78752,0.4,79426,0.5,158504,0.3,210667,1.75 94,1,2024-09-07 09:04:00:570,552250,552250,0,0,257839715040,2693576318,548369,3692,189,381,391850,0 94,2,2024-09-07 09:04:00:765,397260,397260,0,0,16646135,0,2443 94,3,2024-09-07 09:04:01:711,1,314,1,0,264,4027,314,0 95,0,2024-09-07 09:04:01:350,80353,0.4,80289,0.6,161360,0.3,214689,1.75 95,1,2024-09-07 09:04:00:853,553527,553527,0,0,258674001436,2692345122,548870,4270,387,367,391713,0 95,2,2024-09-07 09:04:01:017,393081,393081,0,0,16355251,0,3308 95,3,2024-09-07 09:04:01:722,1,314,11,0,718,5141,314,0 96,0,2024-09-07 09:04:01:036,80610,0.4,80712,0.5,160941,0.3,212927,1.75 96,1,2024-09-07 09:04:01:597,551935,551935,0,0,257196314648,2687335134,547430,3714,791,384,391955,0 96,2,2024-09-07 09:04:01:269,399147,399147,0,0,16625472,0,4042 96,3,2024-09-07 09:04:01:141,1,314,30,0,411,3410,314,0 97,0,2024-09-07 09:04:01:313,73510,0.3,73196,0.5,146957,0.3,194956,1.75 97,1,2024-09-07 09:04:00:764,554249,554249,0,0,257938419969,2676929473,550412,3166,671,367,392140,0 97,2,2024-09-07 09:04:00:622,400023,400023,0,0,16127349,0,3036 97,3,2024-09-07 09:04:00:575,1,314,2,0,214,3813,314,0 98,0,2024-09-07 09:04:01:702,77491,0.3,77421,0.5,155338,0.2,206866,1.50 98,1,2024-09-07 09:04:00:576,553297,553297,0,0,257660749680,2682510208,550656,2537,104,382,391997,0 98,2,2024-09-07 09:04:00:770,397535,397535,0,0,16050980,0,3080 98,3,2024-09-07 09:04:00:714,1,314,10,0,840,5199,314,0 99,0,2024-09-07 09:04:01:453,81572,0.4,82086,0.5,163464,0.3,217670,1.75 99,1,2024-09-07 09:04:01:733,552992,552992,0,0,257787423133,2687355800,548867,3373,752,381,392069,0 99,2,2024-09-07 09:04:01:424,395878,395878,0,0,17788919,0,3424 99,3,2024-09-07 09:04:00:581,1,314,66,0,199,2953,314,0 100,0,2024-09-07 09:04:01:464,81631,0.8,81610,1.0,163266,0.9,217844,2.50 100,1,2024-09-07 09:04:00:555,549608,549608,0,0,256892858794,2718771602,541161,6955,1492,381,391989,0 100,2,2024-09-07 09:04:01:821,396501,396490,11,0,18869305,0,5417 100,3,2024-09-07 09:04:01:753,1,314,4,0,559,5515,314,0 101,0,2024-09-07 09:04:01:701,77088,1.1,75072,1.0,147237,0.9,202082,2.25 101,1,2024-09-07 09:04:00:552,550208,550208,0,0,256219438891,2703225871,541159,7030,2019,368,391771,0 101,2,2024-09-07 09:04:01:765,396754,396754,0,0,21745696,0,4644 101,3,2024-09-07 09:04:00:964,1,314,18,0,448,3799,314,0 102,0,2024-09-07 09:04:00:946,74699,0.8,77325,0.8,155875,0.8,204777,2.25 102,1,2024-09-07 09:04:01:144,550687,550687,0,0,256960278851,2703546162,543392,6265,1030,369,391891,0 102,2,2024-09-07 09:04:01:745,397706,397652,54,0,18406292,0,6768 102,3,2024-09-07 09:04:01:617,1,314,4,0,410,3487,314,0 103,0,2024-09-07 09:04:01:654,84585,0.7,84570,0.8,159579,0.8,219713,2.00 103,1,2024-09-07 09:04:01:668,550071,550071,0,0,256302775271,2708042205,541336,6740,1995,381,391829,0 103,2,2024-09-07 09:04:00:582,395495,395495,0,0,19014423,0,3173 103,3,2024-09-07 09:04:00:760,1,314,13,0,916,4098,314,0 104,0,2024-09-07 09:04:01:051,81575,0.8,81977,1.0,162726,0.7,219430,2.50 104,1,2024-09-07 09:04:01:634,551345,551345,0,0,256354495019,2701702021,542469,7180,1696,365,392168,0 104,2,2024-09-07 09:04:01:764,393132,393132,0,0,20146359,0,3941 104,3,2024-09-07 09:04:01:415,1,314,1,0,1245,7065,314,0 105,0,2024-09-07 09:04:01:060,75970,0.9,73891,1.1,154650,1.1,203603,3.00 105,1,2024-09-07 09:04:00:563,551796,551796,0,0,257076131064,2702978436,543657,6809,1330,366,391797,0 105,2,2024-09-07 09:04:01:322,397631,397631,0,0,19546664,0,3509 105,3,2024-09-07 09:04:01:308,1,314,2,0,399,5249,314,0 106,0,2024-09-07 09:04:00:978,72418,0.8,74141,1.0,151599,0.9,198782,2.50 106,1,2024-09-07 09:04:01:752,550721,550721,0,0,257141196157,2706325561,541832,7885,1004,369,391865,0 106,2,2024-09-07 09:04:00:761,395571,395571,0,0,19322959,0,2795 106,3,2024-09-07 09:04:00:680,1,314,3,0,470,4185,314,0 107,0,2024-09-07 09:04:01:114,79922,1.1,79786,1.0,159542,1.5,212951,2.25 107,1,2024-09-07 09:04:00:586,549823,549823,0,0,255777125660,2700729333,541884,7025,914,381,392234,0 107,2,2024-09-07 09:04:01:291,396276,396275,1,0,19844420,0,5024 107,3,2024-09-07 09:04:01:764,1,314,9,0,353,4369,314,0 108,0,2024-09-07 09:04:01:792,80537,0.4,80971,0.6,160986,0.4,215251,1.75 108,1,2024-09-07 09:04:01:307,552103,552103,0,0,257810361124,2692414210,547184,4404,515,368,391857,0 108,2,2024-09-07 09:04:01:773,391991,391991,0,0,18419999,0,4246 108,3,2024-09-07 09:04:01:330,1,314,12,0,749,6605,314,0 109,0,2024-09-07 09:04:01:872,79546,0.4,78691,0.6,157552,0.3,210801,1.75 109,1,2024-09-07 09:04:00:594,550039,550039,0,0,257609248634,2705116909,545016,4362,661,383,392132,0 109,2,2024-09-07 09:04:00:944,396813,396813,0,0,18201961,0,3617 109,3,2024-09-07 09:04:01:147,1,314,163,0,249,3582,314,0 110,0,2024-09-07 09:04:01:785,73456,0.4,71466,0.6,149513,0.3,195904,1.75 110,1,2024-09-07 09:04:01:658,553498,553498,0,0,258557494119,2686033382,549627,2906,965,369,392045,0 110,2,2024-09-07 09:04:01:309,399051,399051,0,0,18032615,0,4067 110,3,2024-09-07 09:04:00:694,1,314,22,0,722,4936,314,0 111,0,2024-09-07 09:04:01:414,78673,0.4,77946,0.5,156103,0.3,208952,1.75 111,1,2024-09-07 09:04:01:001,553459,553459,0,0,259005593276,2690307469,550222,2887,350,382,391690,0 111,2,2024-09-07 09:04:01:127,396254,396254,0,0,17621582,0,4823 111,3,2024-09-07 09:04:00:918,1,314,8,0,379,4032,314,0 112,0,2024-09-07 09:04:00:923,81861,0.3,81222,0.4,162966,0.2,216281,1.50 112,1,2024-09-07 09:04:00:848,553670,553670,0,0,257630004220,2675357623,550232,2854,584,380,391624,0 112,2,2024-09-07 09:04:01:140,393619,393618,1,0,15853910,0,5036 112,3,2024-09-07 09:04:00:594,1,314,6,0,282,3230,314,0 113,0,2024-09-07 09:04:00:895,80687,0.3,80688,0.5,161958,0.2,215536,1.50 113,1,2024-09-07 09:04:01:685,555309,555309,0,0,258864556300,2673752917,552032,2693,584,366,391661,0 113,2,2024-09-07 09:04:01:304,400478,400478,0,0,14689699,0,3813 113,3,2024-09-07 09:04:00:687,1,314,1,0,340,3903,314,0 114,0,2024-09-07 09:04:00:876,75213,0.3,75753,0.5,150505,0.2,200492,1.75 114,1,2024-09-07 09:04:00:716,553190,553190,0,0,258047491649,2685479517,548071,3527,1592,381,391556,0 114,2,2024-09-07 09:04:00:888,399752,399751,1,0,16235121,0,5069 114,3,2024-09-07 09:04:01:279,1,314,1,0,395,2933,314,0 115,0,2024-09-07 09:04:00:559,77032,0.3,77424,0.4,154890,0.2,206104,1.50 115,1,2024-09-07 09:04:00:572,553085,553085,0,0,257499929688,2677714670,548228,4017,840,382,391656,0 115,2,2024-09-07 09:04:01:140,398329,398329,0,0,15035063,0,3453 115,3,2024-09-07 09:04:01:008,1,314,8,0,159,1927,314,0 116,0,2024-09-07 09:04:01:723,81337,0.9,81259,1.0,162919,1.2,217819,2.25 116,1,2024-09-07 09:04:00:804,550035,550035,0,0,256260898816,2709450175,542449,5321,2265,380,391782,0 116,2,2024-09-07 09:04:01:756,394558,394558,0,0,20356442,0,3529 116,3,2024-09-07 09:04:00:913,1,314,7,0,415,4055,314,0 117,0,2024-09-07 09:04:00:962,82559,0.8,82106,0.9,164412,0.9,220074,2.00 117,1,2024-09-07 09:04:01:580,551155,551155,0,0,256139808517,2686423917,544892,5595,668,370,392033,0 117,2,2024-09-07 09:04:01:124,398819,398819,0,0,16764507,0,3700 117,3,2024-09-07 09:04:01:062,1,314,1,0,490,4817,314,0 118,0,2024-09-07 09:04:01:794,73858,0.5,75937,0.7,154766,0.5,202286,2.00 118,1,2024-09-07 09:04:00:588,550725,550725,0,0,256956152117,2706791040,541959,6870,1896,366,391907,0 118,2,2024-09-07 09:04:01:599,397573,397573,0,0,18483133,0,2781 118,3,2024-09-07 09:04:01:768,1,314,17,0,235,3496,314,0 119,0,2024-09-07 09:04:01:337,75845,0.7,76175,0.8,152521,0.7,203076,2.25 119,1,2024-09-07 09:04:00:551,552051,552051,0,0,257511906135,2697169703,545562,5653,836,367,391780,0 119,2,2024-09-07 09:04:01:275,397909,397909,0,0,17576638,0,4174 119,3,2024-09-07 09:04:01:327,1,314,8,0,563,4834,314,0 120,0,2024-09-07 09:04:01:579,80314,0.6,80240,0.8,160480,0.6,214967,2.25 120,1,2024-09-07 09:04:00:858,551603,551603,0,0,256650412710,2698945822,545213,5836,554,368,391961,0 120,2,2024-09-07 09:04:00:771,396742,396741,1,0,20654761,0,5281 120,3,2024-09-07 09:04:01:290,1,314,2,0,241,4089,314,0 121,0,2024-09-07 09:04:01:689,81473,1.1,81553,1.0,163709,1.5,217888,2.25 121,1,2024-09-07 09:04:01:664,552030,552030,0,0,257349384238,2696677070,546247,5347,436,367,391840,0 121,2,2024-09-07 09:04:01:143,392547,392547,0,0,19717515,0,4127 121,3,2024-09-07 09:04:00:751,1,314,5,0,269,3805,314,0 122,0,2024-09-07 09:04:01:762,77989,0.8,75925,0.9,159177,0.9,208646,2.00 122,1,2024-09-07 09:04:00:859,550596,550596,0,0,257032697773,2702989493,542338,6997,1261,366,392130,0 122,2,2024-09-07 09:04:01:323,397867,397794,73,0,22322028,0,5989 122,3,2024-09-07 09:04:00:595,1,314,7,0,411,5908,314,0 123,0,2024-09-07 09:04:00:968,74043,0.8,72299,0.8,150817,0.9,197795,2.25 123,1,2024-09-07 09:04:00:561,550525,550525,0,0,256862497311,2715662253,539154,9246,2125,369,392039,0 123,2,2024-09-07 09:04:01:026,396469,396468,1,0,19226650,0,5215 123,3,2024-09-07 09:04:01:136,1,314,28,0,168,3757,314,0 124,0,2024-09-07 09:04:00:940,81407,0.4,81450,0.5,153447,0.3,211260,1.75 124,1,2024-09-07 09:04:01:037,553328,553328,0,0,256825069906,2676780705,548680,3740,908,367,392178,0 124,2,2024-09-07 09:04:01:027,398274,398221,53,0,17171628,0,6487 124,3,2024-09-07 09:04:00:764,1,314,1,0,490,3343,314,0 125,0,2024-09-07 09:04:01:425,80750,0.4,80336,0.6,161704,0.4,214997,1.75 125,1,2024-09-07 09:04:00:879,551667,551667,0,0,257979238266,2697658937,546816,4210,641,383,391702,0 125,2,2024-09-07 09:04:01:128,393080,393080,0,0,17513235,0,4534 125,3,2024-09-07 09:04:01:135,1,314,0,0,709,4517,314,0 126,0,2024-09-07 09:04:01:428,80382,0.4,82613,0.6,157905,0.4,213767,1.75 126,1,2024-09-07 09:04:00:618,553642,553642,0,0,258320298407,2679589951,550149,3212,281,365,391987,0 126,2,2024-09-07 09:04:00:610,399917,399917,0,0,17624812,0,4539 126,3,2024-09-07 09:04:00:916,1,314,1,0,207,4033,314,0 127,0,2024-09-07 09:04:01:595,73228,0.3,73608,0.5,146773,0.3,194885,1.75 127,1,2024-09-07 09:04:00:579,552943,552943,0,0,258500984462,2688065574,547670,4413,860,365,392187,0 127,2,2024-09-07 09:04:00:639,398847,398847,0,0,16106897,0,3897 127,3,2024-09-07 09:04:01:275,1,314,8,0,968,3907,314,0 128,0,2024-09-07 09:04:01:524,77697,0.3,77860,0.5,155487,0.2,207255,1.50 128,1,2024-09-07 09:04:01:608,552624,552624,0,0,258216569508,2685088323,548534,3721,369,367,391798,0 128,2,2024-09-07 09:04:01:383,398149,398149,0,0,15434272,0,2915 128,3,2024-09-07 09:04:00:777,1,314,2,0,1082,5814,314,0 129,0,2024-09-07 09:04:01:041,82147,0.3,81788,0.5,164009,0.3,217826,1.75 129,1,2024-09-07 09:04:00:568,549699,549699,0,0,256905475548,2694831946,544069,4375,1255,379,391835,0 129,2,2024-09-07 09:04:00:698,395148,395148,0,0,16166064,0,4031 129,3,2024-09-07 09:04:00:691,1,314,2,0,469,4377,314,0 130,0,2024-09-07 09:04:01:724,82437,0.5,82093,0.6,164887,0.5,219091,1.75 130,1,2024-09-07 09:04:00:667,553354,553354,0,0,257943291879,2684574190,550110,3005,239,381,391825,0 130,2,2024-09-07 09:04:01:128,399011,399011,0,0,15959980,0,4067 130,3,2024-09-07 09:04:01:292,1,314,2,0,450,4000,314,0 131,0,2024-09-07 09:04:01:927,75303,0.4,75822,0.5,152372,0.3,201844,1.75 131,1,2024-09-07 09:04:01:822,552686,552686,0,0,257163930904,2687189355,548255,3686,745,381,391865,0 131,2,2024-09-07 09:04:00:574,401044,401044,0,0,14796904,0,2415 131,3,2024-09-07 09:04:01:696,1,314,15,0,392,3415,314,0 132,0,2024-09-07 09:04:01:435,76503,0.5,77338,0.6,154299,0.4,205396,2.00 132,1,2024-09-07 09:04:00:580,550279,550279,0,0,256548290512,2707606413,541650,7165,1464,381,392097,0 132,2,2024-09-07 09:04:00:700,396752,396752,0,0,19888015,0,4606 132,3,2024-09-07 09:04:01:703,1,314,3,0,804,6091,314,0 133,0,2024-09-07 09:04:01:521,80080,0.5,81785,0.6,168031,0.5,218341,2.00 133,1,2024-09-07 09:04:00:604,550159,550159,0,0,256351791261,2707645361,542007,7070,1082,383,391914,0 133,2,2024-09-07 09:04:01:090,395576,395576,0,0,21043062,0,4315 133,3,2024-09-07 09:04:01:306,1,314,1,0,479,3477,314,0 134,0,2024-09-07 09:04:00:982,82622,0.5,82629,0.7,165162,0.5,220603,2.00 134,1,2024-09-07 09:04:00:607,550541,550541,0,0,256374919589,2698185776,542293,6394,1854,366,391718,0 134,2,2024-09-07 09:04:01:756,394431,394431,0,0,17441670,0,3847 134,3,2024-09-07 09:04:00:760,1,314,0,0,739,4219,314,0 135,0,2024-09-07 09:04:01:101,74263,0.7,74279,0.8,157574,0.8,203286,2.00 135,1,2024-09-07 09:04:01:586,550769,550769,0,0,257316721439,2713975571,542424,7110,1235,380,391805,0 135,2,2024-09-07 09:04:00:687,398833,398833,0,0,19008340,0,3981 135,3,2024-09-07 09:04:01:016,1,314,17,0,299,2499,314,0 136,0,2024-09-07 09:04:01:628,75345,0.6,75465,0.7,150225,0.5,200768,2.25 136,1,2024-09-07 09:04:01:441,551107,551107,0,0,256518958087,2699220474,544136,6276,695,382,391685,0 136,2,2024-09-07 09:04:01:140,398092,398092,0,0,18960304,0,3506 136,3,2024-09-07 09:04:01:108,1,314,4,0,637,3641,314,0 137,0,2024-09-07 09:04:00:958,82468,0.7,80255,0.8,157574,0.7,214245,2.00 137,1,2024-09-07 09:04:00:581,550283,550283,0,0,256539151086,2699841159,540794,7610,1879,366,391708,0 137,2,2024-09-07 09:04:01:711,396751,396751,0,0,21145071,0,3185 137,3,2024-09-07 09:04:00:769,1,314,1,0,382,3937,314,0 138,0,2024-09-07 09:04:01:742,80128,1.4,80263,1.1,161040,2.0,214436,2.25 138,1,2024-09-07 09:04:01:685,551069,551069,0,0,258010711152,2714753100,542211,7278,1580,368,391954,0 138,2,2024-09-07 09:04:00:623,392716,392716,0,0,18881842,0,4988 138,3,2024-09-07 09:04:00:610,1,314,19,0,1160,4679,314,0 139,0,2024-09-07 09:04:01:385,77972,1.3,78387,1.1,156908,2.0,209005,2.25 139,1,2024-09-07 09:04:00:582,548758,548758,0,0,255566385379,2721074255,537876,8260,2622,381,391892,0 139,2,2024-09-07 09:04:00:694,396312,396312,0,0,20488924,0,3097 139,3,2024-09-07 09:04:01:669,1,314,15,0,257,3556,314,0 140,0,2024-09-07 09:04:01:610,73725,0.3,73315,0.5,147261,0.2,196364,1.75 140,1,2024-09-07 09:04:01:550,554170,554170,0,0,258909433061,2673804007,551007,2725,438,365,391606,0 140,2,2024-09-07 09:04:00:687,399495,399494,1,0,16722202,0,5036 140,3,2024-09-07 09:04:00:777,1,314,0,0,247,2680,314,0 141,0,2024-09-07 09:04:01:699,78386,0.3,80560,0.5,153910,0.3,209271,1.75 141,1,2024-09-07 09:04:00:863,553690,553690,0,0,257951516772,2684683922,549141,3687,862,379,391614,0 141,2,2024-09-07 09:04:01:686,397162,397162,0,0,16390142,0,3360 141,3,2024-09-07 09:04:01:046,1,314,1,0,391,3319,314,0 142,0,2024-09-07 09:04:01:318,81938,0.4,81196,0.5,162039,0.3,216592,1.75 142,1,2024-09-07 09:04:00:584,553215,553215,0,0,257718480142,2690902099,549356,3510,349,382,392102,0 142,2,2024-09-07 09:04:01:308,392972,392940,32,0,17683635,0,6028 142,3,2024-09-07 09:04:01:746,1,314,3,0,484,4037,314,0 143,0,2024-09-07 09:04:01:380,80995,0.5,80873,0.6,162132,0.4,215559,1.75 143,1,2024-09-07 09:04:00:567,553265,553265,0,0,257879398378,2679037628,549593,3524,148,367,391705,0 143,2,2024-09-07 09:04:00:774,398716,398716,0,0,17127051,0,3123 143,3,2024-09-07 09:04:01:145,1,314,9,0,303,3871,314,0 144,0,2024-09-07 09:04:01:493,72439,0.6,74535,0.8,151692,0.5,198619,2.00 144,1,2024-09-07 09:04:00:572,550678,550678,0,0,256444919866,2692141378,545835,3903,940,381,391649,0 144,2,2024-09-07 09:04:01:755,399884,399884,0,0,15585603,0,3473 144,3,2024-09-07 09:04:01:742,1,314,1,0,249,3345,314,0 145,0,2024-09-07 09:04:01:377,74362,0.7,74391,0.9,157816,0.6,203647,2.50 145,1,2024-09-07 09:04:00:572,550028,550028,0,0,256759296055,2704674421,542743,6145,1140,382,391759,0 145,2,2024-09-07 09:04:01:429,395633,395633,0,0,19036335,0,3903 145,3,2024-09-07 09:04:00:901,1,314,3,0,622,4946,314,0 146,0,2024-09-07 09:04:01:649,81848,0.6,81075,0.8,163481,0.6,216630,2.25 146,1,2024-09-07 09:04:01:586,551435,551435,0,0,256648013268,2705334473,541755,7670,2010,368,391770,0 146,2,2024-09-07 09:04:01:698,394808,394808,0,0,18969466,0,2730 146,3,2024-09-07 09:04:01:274,1,314,12,0,1520,6915,314,0 147,0,2024-09-07 09:04:01:797,82560,0.6,82311,0.8,163926,0.6,219588,2.25 147,1,2024-09-07 09:04:01:375,552975,552975,0,0,257809264850,2687119458,547426,4749,800,368,391791,0 147,2,2024-09-07 09:04:01:010,397129,397129,0,0,16338798,0,2789 147,3,2024-09-07 09:04:00:915,1,314,15,0,730,4733,314,0 0,0,2024-09-07 09:04:11:744,78922,0.6,78924,0.8,167481,0.7,217164,2.00 0,1,2024-09-07 09:04:10:801,553783,553783,0,0,258281441270,2704118926,549668,3873,242,370,391896,0 0,2,2024-09-07 09:04:11:067,398566,398566,0,0,16478314,0,4480 0,3,2024-09-07 09:04:10:997,1,315,12,0,431,4408,315,0 1,0,2024-09-07 09:04:11:764,82089,0.9,81512,1.0,163927,1.0,219254,2.00 1,1,2024-09-07 09:04:10:561,552908,552908,0,0,257460612875,2700213349,547327,4356,1225,370,391857,0 1,2,2024-09-07 09:04:10:646,394479,394479,0,0,15869544,0,3267 1,3,2024-09-07 09:04:11:320,1,315,9,0,262,3800,315,0 2,0,2024-09-07 09:04:11:574,78236,0.6,78593,0.8,155799,0.7,208580,2.00 2,1,2024-09-07 09:04:10:859,554717,554717,0,0,258434514847,2686838981,551823,2601,293,380,391745,0 2,2,2024-09-07 09:04:11:266,400180,400180,0,0,15849339,0,3594 2,3,2024-09-07 09:04:10:691,1,315,1,0,357,3248,315,0 3,0,2024-09-07 09:04:11:743,74382,0.4,74403,0.6,148772,0.4,198039,2.00 3,1,2024-09-07 09:04:11:620,553779,553779,0,0,258063649413,2691258207,548684,4472,623,380,391591,0 3,2,2024-09-07 09:04:11:148,399848,399825,23,0,16356623,0,5851 3,3,2024-09-07 09:04:11:753,1,315,8,0,103,1953,315,0 4,0,2024-09-07 09:04:11:772,77139,0.4,79448,0.5,161167,0.4,211687,1.75 4,1,2024-09-07 09:04:10:594,552417,552417,0,0,257352859806,2713855312,545121,5942,1354,371,391992,0 4,2,2024-09-07 09:04:11:045,396940,396940,0,0,19156866,0,4528 4,3,2024-09-07 09:04:11:030,1,315,13,0,448,4625,315,0 5,0,2024-09-07 09:04:11:378,80677,0.5,80987,0.6,161479,0.5,214801,1.75 5,1,2024-09-07 09:04:10:756,552746,552746,0,0,257716193416,2714703048,545592,5798,1356,368,392005,0 5,2,2024-09-07 09:04:11:831,392888,392888,0,0,18074130,0,2432 5,3,2024-09-07 09:04:11:743,1,315,1,0,457,4788,315,0 6,0,2024-09-07 09:04:10:919,80770,0.5,80211,0.7,160350,0.4,213804,2.00 6,1,2024-09-07 09:04:10:747,552720,552720,0,0,257842953725,2698656728,546367,5277,1076,379,391694,0 6,2,2024-09-07 09:04:11:124,399532,399532,0,0,17508032,0,4816 6,3,2024-09-07 09:04:11:291,1,315,8,0,340,3677,315,0 7,0,2024-09-07 09:04:11:534,73135,0.5,73329,0.6,146295,0.4,194453,2.00 7,1,2024-09-07 09:04:10:850,552184,552184,0,0,257720746058,2711461391,544123,6885,1176,382,391747,0 7,2,2024-09-07 09:04:10:773,400319,400319,0,0,17597063,0,4791 7,3,2024-09-07 09:04:10:851,1,315,8,0,398,3786,315,0 8,0,2024-09-07 09:04:11:341,77963,0.4,77803,0.5,155915,0.3,208164,1.75 8,1,2024-09-07 09:04:11:021,551469,551469,0,0,257739853077,2720640737,541408,7785,2276,366,392144,0 8,2,2024-09-07 09:04:10:789,394315,394315,0,0,21665383,0,3220 8,3,2024-09-07 09:04:10:595,1,315,0,0,538,5606,315,0 9,0,2024-09-07 09:04:11:098,81897,0.4,79646,0.6,166563,0.4,217810,1.75 9,1,2024-09-07 09:04:10:560,552197,552197,0,0,258012705856,2726164810,543205,6869,2123,369,392001,0 9,2,2024-09-07 09:04:11:086,394438,394438,0,0,19036444,0,3360 9,3,2024-09-07 09:04:11:766,1,315,141,0,496,4861,315,0 10,0,2024-09-07 09:04:11:604,82226,0.3,81574,0.5,163869,0.3,218110,1.75 10,1,2024-09-07 09:04:10:594,552922,552922,0,0,258105032084,2709030030,545131,6683,1108,381,391741,0 10,2,2024-09-07 09:04:10:767,398917,398917,0,0,20826690,0,4264 10,3,2024-09-07 09:04:10:871,1,315,24,0,296,2941,315,0 11,0,2024-09-07 09:04:11:016,75270,0.4,73026,0.6,152829,0.4,202289,1.75 11,1,2024-09-07 09:04:10:577,553047,553047,0,0,258074451488,2717011106,543181,7437,2429,383,391664,0 11,2,2024-09-07 09:04:11:124,399531,399531,0,0,18737865,0,4130 11,3,2024-09-07 09:04:11:307,1,315,8,0,843,5136,315,0 12,0,2024-09-07 09:04:10:994,77647,0.4,77535,0.5,155252,0.3,206577,1.75 12,1,2024-09-07 09:04:10:952,553489,553489,0,0,257367134020,2688406404,548655,4344,490,370,391870,0 12,2,2024-09-07 09:04:11:544,398602,398602,0,0,18271721,0,3469 12,3,2024-09-07 09:04:11:060,1,315,1,0,386,5336,315,0 13,0,2024-09-07 09:04:11:378,82802,0.5,82458,0.6,164908,0.5,219467,1.75 13,1,2024-09-07 09:04:11:552,552631,552631,0,0,257921027645,2716473835,546853,4370,1408,382,391740,0 13,2,2024-09-07 09:04:10:617,398366,398366,0,0,16832746,0,3287 13,3,2024-09-07 09:04:11:762,1,315,1,0,522,5272,315,0 14,0,2024-09-07 09:04:10:566,83107,0.4,83726,0.6,165592,0.4,221096,1.75 14,1,2024-09-07 09:04:11:562,556765,556765,0,0,259600357485,2692557770,552236,4174,355,364,391571,0 14,2,2024-09-07 09:04:10:774,395947,395917,30,0,18442936,0,6104 14,3,2024-09-07 09:04:11:124,1,315,5,0,1168,4051,315,0 15,0,2024-09-07 09:04:11:556,76894,0.4,77040,0.7,154003,0.4,204834,2.00 15,1,2024-09-07 09:04:11:611,553952,553952,0,0,258372464381,2693882159,549773,3365,814,381,391619,0 15,2,2024-09-07 09:04:11:005,401362,401362,0,0,15082083,0,3622 15,3,2024-09-07 09:04:11:406,1,315,8,0,1126,6110,315,0 16,0,2024-09-07 09:04:10:977,75480,0.6,75864,0.8,151062,0.7,201696,2.25 16,1,2024-09-07 09:04:10:576,554319,554319,0,0,258076824101,2697744538,550040,3919,360,370,391756,0 16,2,2024-09-07 09:04:11:441,398799,398799,0,0,17355850,0,4719 16,3,2024-09-07 09:04:11:142,1,315,1,0,317,4572,315,0 17,0,2024-09-07 09:04:11:815,82583,0.7,80740,0.9,157849,0.8,214470,2.00 17,1,2024-09-07 09:04:10:575,553295,553295,0,0,257384152279,2705888278,547072,4966,1257,368,391899,0 17,2,2024-09-07 09:04:11:672,400637,400637,0,0,17081749,0,2857 17,3,2024-09-07 09:04:10:588,1,315,5,0,298,5178,315,0 18,0,2024-09-07 09:04:10:940,80008,0.7,80431,0.8,160357,0.7,214406,2.25 18,1,2024-09-07 09:04:11:645,554792,554792,0,0,259197319454,2689519573,551459,3022,311,367,391649,0 18,2,2024-09-07 09:04:11:756,395803,395803,0,0,15825179,0,3541 18,3,2024-09-07 09:04:10:896,1,315,3,0,163,2792,315,0 19,0,2024-09-07 09:04:11:542,78632,0.6,79244,0.8,157141,0.6,209218,2.25 19,1,2024-09-07 09:04:10:572,554804,554804,0,0,259093204089,2691912559,549689,4276,839,367,391777,0 19,2,2024-09-07 09:04:11:756,401516,401516,0,0,14544138,0,3988 19,3,2024-09-07 09:04:11:136,1,315,4,0,524,2428,315,0 20,0,2024-09-07 09:04:11:359,73552,0.6,73607,0.7,147265,0.6,196681,2.00 20,1,2024-09-07 09:04:10:587,552995,552995,0,0,258491543908,2703542762,548675,3916,404,369,391886,0 20,2,2024-09-07 09:04:10:934,400385,400385,0,0,17512794,0,3721 20,3,2024-09-07 09:04:10:590,1,315,1,0,414,5120,315,0 21,0,2024-09-07 09:04:11:174,78742,0.6,78849,0.7,157419,0.5,209338,2.00 21,1,2024-09-07 09:04:11:543,551899,551899,0,0,257079670165,2713010053,544052,5971,1876,368,392016,0 21,2,2024-09-07 09:04:11:067,396471,396471,0,0,20635545,0,3747 21,3,2024-09-07 09:04:11:405,1,315,17,0,713,3926,315,0 22,0,2024-09-07 09:04:11:718,80949,0.6,81257,0.8,162464,0.6,214926,2.25 22,1,2024-09-07 09:04:11:024,553033,553033,0,0,256944573529,2706096989,544548,6666,1819,382,391667,0 22,2,2024-09-07 09:04:10:763,393393,393393,0,0,16760545,0,3134 22,3,2024-09-07 09:04:11:069,1,315,33,0,228,2820,315,0 23,0,2024-09-07 09:04:11:367,80473,0.6,80384,0.7,161135,0.5,214542,2.25 23,1,2024-09-07 09:04:11:010,552866,552866,0,0,257944372802,2715673996,542376,7332,3158,365,391690,0 23,2,2024-09-07 09:04:11:093,400675,400675,0,0,16458841,0,3010 23,3,2024-09-07 09:04:11:754,1,315,14,0,645,3788,315,0 24,0,2024-09-07 09:04:10:824,75356,0.4,75177,0.6,150948,0.4,199881,1.75 24,1,2024-09-07 09:04:10:590,552180,552180,0,0,257027561742,2701796066,545435,5220,1525,368,391987,0 24,2,2024-09-07 09:04:11:088,398632,398632,0,0,20335134,0,3607 24,3,2024-09-07 09:04:11:694,1,315,1,0,468,4390,315,0 25,0,2024-09-07 09:04:11:342,79458,0.4,77480,0.6,151888,0.4,207302,2.00 25,1,2024-09-07 09:04:10:560,553200,553200,0,0,257949802990,2711787684,545529,6305,1366,371,391928,0 25,2,2024-09-07 09:04:11:607,397396,397396,0,0,20577722,0,3978 25,3,2024-09-07 09:04:11:018,1,315,0,0,255,3276,315,0 26,0,2024-09-07 09:04:11:723,81486,0.5,79668,0.6,167205,0.4,217308,2.00 26,1,2024-09-07 09:04:11:543,553893,553893,0,0,257536210354,2705396425,545057,7300,1536,380,391748,0 26,2,2024-09-07 09:04:10:860,396196,396196,0,0,20242995,0,2809 26,3,2024-09-07 09:04:11:718,1,315,1,0,796,4326,315,0 27,0,2024-09-07 09:04:11:727,82958,0.5,82997,0.6,164520,0.4,219958,2.00 27,1,2024-09-07 09:04:11:678,555228,555228,0,0,258700676711,2697381264,550090,4373,765,381,391626,0 27,2,2024-09-07 09:04:10:867,395522,395457,65,0,19963029,0,5699 27,3,2024-09-07 09:04:11:014,1,315,18,0,564,3351,315,0 28,0,2024-09-07 09:04:11:410,76595,0.4,76327,0.6,152936,0.4,204297,2.00 28,1,2024-09-07 09:04:10:798,554463,554463,0,0,259126873227,2703181920,550270,3517,676,383,391646,0 28,2,2024-09-07 09:04:11:764,399757,399757,0,0,17245495,0,2915 28,3,2024-09-07 09:04:11:777,1,315,1,0,502,3154,315,0 29,0,2024-09-07 09:04:11:356,78601,0.4,76704,0.6,150221,0.3,205117,1.75 29,1,2024-09-07 09:04:11:563,555777,555777,0,0,259661378432,2692418700,551540,3554,683,369,391753,0 29,2,2024-09-07 09:04:10:861,397332,397332,0,0,17043422,0,4986 29,3,2024-09-07 09:04:10:973,1,315,5,0,459,3826,315,0 30,0,2024-09-07 09:04:11:478,80949,0.5,78760,0.7,164662,0.5,215879,2.00 30,1,2024-09-07 09:04:10:580,555416,555416,0,0,259193755191,2695592295,550848,3877,691,382,391672,0 30,2,2024-09-07 09:04:11:275,398293,398293,0,0,15986375,0,3161 30,3,2024-09-07 09:04:10:581,1,315,364,0,519,3370,315,0 31,0,2024-09-07 09:04:11:758,81716,0.4,81910,0.6,164076,0.4,218881,2.00 31,1,2024-09-07 09:04:10:577,557683,557683,0,0,260266088405,2672537412,555144,1981,558,356,391712,0 31,2,2024-09-07 09:04:11:285,395216,395216,0,0,17464077,0,3525 31,3,2024-09-07 09:04:11:707,1,315,9,0,220,2802,315,0 32,0,2024-09-07 09:04:11:515,78272,0.3,78578,0.5,157098,0.2,208926,1.75 32,1,2024-09-07 09:04:10:808,555073,555073,0,0,258752860171,2688888060,552006,2622,445,381,391646,0 32,2,2024-09-07 09:04:10:935,400471,400471,0,0,14897289,0,3155 32,3,2024-09-07 09:04:11:016,1,315,1,0,227,2401,315,0 33,0,2024-09-07 09:04:11:532,74737,0.3,74328,0.5,149076,0.2,198841,1.75 33,1,2024-09-07 09:04:10:578,555467,555467,0,0,260003818660,2694982430,551065,3571,831,369,391730,0 33,2,2024-09-07 09:04:10:763,400641,400606,35,0,16954665,0,7012 33,3,2024-09-07 09:04:10:895,1,315,1,0,329,3497,315,0 34,0,2024-09-07 09:04:10:930,79637,0.3,81940,0.5,156795,0.2,210932,1.75 34,1,2024-09-07 09:04:11:044,556874,556874,0,0,259581484273,2669934027,555483,1383,8,367,391562,0 34,2,2024-09-07 09:04:10:766,398998,398998,0,0,16303738,0,3577 34,3,2024-09-07 09:04:11:694,1,315,1,0,299,2392,315,0 35,0,2024-09-07 09:04:10:857,79975,0.4,80381,0.5,161745,0.3,215386,1.75 35,1,2024-09-07 09:04:11:067,555220,555220,0,0,259346457309,2690155926,551619,2821,780,382,391589,0 35,2,2024-09-07 09:04:11:585,394299,394299,0,0,16077351,0,2653 35,3,2024-09-07 09:04:10:911,1,315,11,0,418,4154,315,0 36,0,2024-09-07 09:04:11:516,80570,0.5,80621,0.7,161236,0.4,213467,2.00 36,1,2024-09-07 09:04:10:589,554472,554472,0,0,257842021901,2698283410,547247,5567,1658,366,391759,0 36,2,2024-09-07 09:04:11:751,399997,399997,0,0,18576797,0,3875 36,3,2024-09-07 09:04:10:863,1,315,2,0,416,5231,315,0 37,0,2024-09-07 09:04:11:416,72997,0.5,72942,0.7,146065,0.5,195295,2.00 37,1,2024-09-07 09:04:10:577,553177,553170,0,7,258315460627,2703464358,546405,4680,2085,365,391570,0 37,2,2024-09-07 09:04:11:144,399210,399195,15,0,18252544,0,5815 37,3,2024-09-07 09:04:11:766,1,315,1,0,888,5457,315,0 38,0,2024-09-07 09:04:11:445,77313,0.5,75146,0.7,157299,0.4,206353,2.00 38,1,2024-09-07 09:04:11:606,555126,555126,0,0,258665717008,2697162203,549092,5277,757,368,391821,0 38,2,2024-09-07 09:04:10:764,397668,397621,47,0,18827380,0,6710 38,3,2024-09-07 09:04:10:997,1,315,1,0,689,4576,315,0 39,0,2024-09-07 09:04:11:775,83234,0.7,81536,0.8,158598,0.7,216620,2.00 39,1,2024-09-07 09:04:10:721,553727,553727,0,0,257985305459,2703771635,545370,6897,1460,365,391594,0 39,2,2024-09-07 09:04:11:439,395066,395066,0,0,16792497,0,2689 39,3,2024-09-07 09:04:10:714,1,315,122,0,324,4160,315,0 40,0,2024-09-07 09:04:11:504,81217,0.8,81928,1.0,163621,0.8,217733,2.75 40,1,2024-09-07 09:04:10:581,554261,554261,0,0,257601132533,2702802089,545720,7032,1509,368,391668,0 40,2,2024-09-07 09:04:11:305,398408,398407,1,0,20162520,0,5137 40,3,2024-09-07 09:04:11:144,1,315,61,0,1028,4937,315,0 41,0,2024-09-07 09:04:11:023,75116,1.5,77012,1.3,146959,2.4,200208,3.25 41,1,2024-09-07 09:04:10:768,553284,553284,0,0,258260003716,2706787113,546238,6343,703,370,391742,0 41,2,2024-09-07 09:04:10:758,398387,398387,0,0,19375785,0,3356 41,3,2024-09-07 09:04:11:676,1,315,0,0,366,3329,315,0 42,0,2024-09-07 09:04:11:484,76427,1.0,76452,1.1,153166,1.1,202583,2.75 42,1,2024-09-07 09:04:11:439,552342,552342,0,0,257436595318,2711387176,542847,7737,1758,380,391675,0 42,2,2024-09-07 09:04:11:133,398084,398084,0,0,19497937,0,3790 42,3,2024-09-07 09:04:11:015,1,315,1,0,446,3044,315,0 43,0,2024-09-07 09:04:10:918,81105,1.0,79132,1.1,165685,1.3,216952,2.50 43,1,2024-09-07 09:04:10:578,554073,554073,0,0,258679008609,2707279082,546088,6548,1437,366,391696,0 43,2,2024-09-07 09:04:11:736,397517,397517,0,0,19203203,0,3812 43,3,2024-09-07 09:04:11:758,1,315,12,0,467,3984,315,0 44,0,2024-09-07 09:04:10:890,82791,0.5,83246,0.6,165996,0.4,221177,1.75 44,1,2024-09-07 09:04:10:618,555395,555395,0,0,258991034678,2681405395,550613,3746,1036,356,391809,0 44,2,2024-09-07 09:04:11:267,395698,395698,0,0,15944178,0,4344 44,3,2024-09-07 09:04:11:093,1,315,0,0,817,4301,315,0 45,0,2024-09-07 09:04:11:762,75991,0.5,74160,0.7,155566,0.5,204624,2.00 45,1,2024-09-07 09:04:11:009,554386,554386,0,0,258897685209,2693147782,550214,3747,425,382,391917,0 45,2,2024-09-07 09:04:11:287,399960,399960,0,0,16388340,0,3596 45,3,2024-09-07 09:04:10:937,1,315,5,0,271,3098,315,0 46,0,2024-09-07 09:04:10:952,74860,0.5,74921,0.7,150057,0.5,199374,2.00 46,1,2024-09-07 09:04:10:583,556215,556215,0,0,259607341139,2684456225,552512,3277,426,366,391572,0 46,2,2024-09-07 09:04:10:596,399712,399712,0,0,15629348,0,2920 46,3,2024-09-07 09:04:11:133,1,315,1,0,908,4452,315,0 47,0,2024-09-07 09:04:11:101,80652,0.4,80744,0.6,161799,0.4,214069,1.75 47,1,2024-09-07 09:04:10:572,555708,555708,0,0,258923380681,2682324865,551288,3605,815,366,391641,0 47,2,2024-09-07 09:04:10:911,400495,400495,0,0,16223871,0,4477 47,3,2024-09-07 09:04:11:124,1,315,13,0,529,3517,315,0 48,0,2024-09-07 09:04:11:509,81702,0.3,81185,0.4,161934,0.2,216566,1.50 48,1,2024-09-07 09:04:11:031,555131,555131,0,0,259032573427,2692426086,551579,3251,301,384,391710,0 48,2,2024-09-07 09:04:10:713,394272,394272,0,0,14605098,0,3031 48,3,2024-09-07 09:04:10:753,1,315,1,0,339,2779,315,0 49,0,2024-09-07 09:04:11:742,81270,0.3,79793,0.5,154619,0.3,211603,1.75 49,1,2024-09-07 09:04:11:024,554647,554647,0,0,258458119335,2694413388,550336,3127,1184,382,391809,0 49,2,2024-09-07 09:04:11:803,401100,401100,0,0,15625770,0,4426 49,3,2024-09-07 09:04:11:438,1,315,11,0,408,3414,315,0 50,0,2024-09-07 09:04:11:508,73797,0.3,73059,0.5,146926,0.2,196376,1.75 50,1,2024-09-07 09:04:11:015,556695,556695,0,0,259384761928,2684722913,552881,3440,374,368,391565,0 50,2,2024-09-07 09:04:11:069,400685,400685,0,0,14839705,0,2263 50,3,2024-09-07 09:04:11:293,1,315,7,0,335,3163,315,0 51,0,2024-09-07 09:04:11:684,80764,0.3,78863,0.5,154160,0.2,210156,1.75 51,1,2024-09-07 09:04:11:687,556649,556649,0,0,259887801851,2684078130,553395,2279,975,365,391706,0 51,2,2024-09-07 09:04:11:318,398656,398656,0,0,14382599,0,3337 51,3,2024-09-07 09:04:11:028,1,315,28,0,678,2505,315,0 52,0,2024-09-07 09:04:11:434,81522,0.5,81419,0.7,162754,0.5,216312,2.00 52,1,2024-09-07 09:04:10:578,553587,553587,0,0,257539511573,2702838505,545059,7218,1310,368,391722,0 52,2,2024-09-07 09:04:11:755,391282,391244,38,0,18941311,0,6742 52,3,2024-09-07 09:04:10:674,1,315,1,0,1782,5098,315,0 53,0,2024-09-07 09:04:11:742,80365,0.7,78141,0.8,163348,0.7,214083,2.25 53,1,2024-09-07 09:04:10:771,552629,552629,0,0,258342718942,2716668218,543212,6836,2581,367,391702,0 53,2,2024-09-07 09:04:11:312,400466,400466,0,0,16235050,0,2727 53,3,2024-09-07 09:04:10:697,1,315,2,0,308,3177,315,0 54,0,2024-09-07 09:04:11:615,73715,0.6,74175,0.8,147569,0.4,196961,2.50 54,1,2024-09-07 09:04:10:589,554312,554312,0,0,258861132486,2693997639,548720,4990,602,367,391659,0 54,2,2024-09-07 09:04:10:865,400502,400496,6,0,18823811,0,5382 54,3,2024-09-07 09:04:10:766,1,315,2,0,676,5078,315,0 55,0,2024-09-07 09:04:11:767,74691,0.6,77417,0.8,155701,0.6,203499,2.50 55,1,2024-09-07 09:04:10:767,553833,553833,0,0,258444049454,2694348320,547213,5711,909,365,391731,0 55,2,2024-09-07 09:04:10:729,397505,397505,0,0,18280549,0,3563 55,3,2024-09-07 09:04:10:674,1,315,17,0,304,3606,315,0 56,0,2024-09-07 09:04:11:630,83421,1.3,78643,1.2,162230,1.8,216960,2.75 56,1,2024-09-07 09:04:10:597,551471,551471,0,0,257471997301,2724066647,542745,7098,1628,381,391867,0 56,2,2024-09-07 09:04:11:333,395633,395633,0,0,19132606,0,3567 56,3,2024-09-07 09:04:11:069,1,315,3,0,705,4360,315,0 57,0,2024-09-07 09:04:10:941,81611,1.5,81384,1.2,163233,2.1,218520,3.00 57,1,2024-09-07 09:04:10:988,553021,553021,0,0,257794890777,2706292224,546285,6019,717,366,392032,0 57,2,2024-09-07 09:04:11:327,397841,397841,0,0,20666260,0,3317 57,3,2024-09-07 09:04:11:747,1,315,40,0,359,3832,315,0 58,0,2024-09-07 09:04:10:559,75060,0.9,73038,1.0,153002,1.1,200962,2.50 58,1,2024-09-07 09:04:10:580,554116,554113,0,3,258253133493,2705309256,545998,7033,1082,367,391603,3 58,2,2024-09-07 09:04:11:078,399217,399217,0,0,19061474,0,2549 58,3,2024-09-07 09:04:11:068,1,315,2,0,1043,3368,315,0 59,0,2024-09-07 09:04:11:744,76123,0.9,75784,1.0,151559,1.0,201317,2.75 59,1,2024-09-07 09:04:10:806,553755,553755,0,0,258100181574,2706263954,546109,6181,1465,369,391578,0 59,2,2024-09-07 09:04:10:583,399317,399317,0,0,18665806,0,2867 59,3,2024-09-07 09:04:11:738,1,315,8,0,1015,4235,315,0 60,0,2024-09-07 09:04:11:722,81128,0.5,81308,0.7,162984,0.5,216621,1.75 60,1,2024-09-07 09:04:10:771,555449,555449,0,0,259464866799,2695350429,551765,3110,574,370,392031,0 60,2,2024-09-07 09:04:11:144,398441,398441,0,0,18339253,0,3811 60,3,2024-09-07 09:04:11:260,1,315,257,0,257,3440,315,0 61,0,2024-09-07 09:04:11:541,81936,0.7,82396,0.8,163657,0.7,218628,2.00 61,1,2024-09-07 09:04:10:770,553539,553539,0,0,258639083993,2714729405,546753,5481,1305,382,392127,0 61,2,2024-09-07 09:04:11:123,395030,394963,67,0,18329569,0,6411 61,3,2024-09-07 09:04:11:697,1,315,1,0,479,4890,315,0 62,0,2024-09-07 09:04:11:708,78761,0.5,80464,0.7,153344,0.5,208653,2.00 62,1,2024-09-07 09:04:11:111,556735,556729,0,6,259590900591,2683029764,553155,3315,259,365,391715,6 62,2,2024-09-07 09:04:11:653,398745,398744,1,0,18010375,0,5555 62,3,2024-09-07 09:04:11:143,1,315,4,0,465,2514,315,0 63,0,2024-09-07 09:04:11:537,74540,0.4,74610,0.6,149431,0.4,198633,1.75 63,1,2024-09-07 09:04:10:806,554683,554677,0,6,259656097124,2697143556,551158,3282,237,381,391800,6 63,2,2024-09-07 09:04:10:767,399900,399900,0,0,16498866,0,4369 63,3,2024-09-07 09:04:11:734,1,315,1,0,667,3555,315,0 64,0,2024-09-07 09:04:11:550,79039,0.5,78924,0.7,157786,0.5,210050,2.00 64,1,2024-09-07 09:04:10:773,554593,554593,0,0,258549702003,2699114907,548959,3978,1656,370,391783,0 64,2,2024-09-07 09:04:11:143,402107,402088,19,0,16280593,0,6121 64,3,2024-09-07 09:04:11:140,1,315,2,0,265,3044,315,0 65,0,2024-09-07 09:04:11:782,79975,0.7,80204,0.8,160148,0.8,213280,2.25 65,1,2024-09-07 09:04:10:879,553463,553463,0,0,258226131265,2701566804,549389,3623,451,382,391901,0 65,2,2024-09-07 09:04:11:697,395063,395063,0,0,17604442,0,3367 65,3,2024-09-07 09:04:11:691,1,315,1,0,163,2849,315,0 66,0,2024-09-07 09:04:11:768,80017,0.5,79895,0.7,160139,0.5,212277,2.00 66,1,2024-09-07 09:04:11:293,554775,554775,0,0,259419766232,2699370461,551221,3233,321,380,391653,0 66,2,2024-09-07 09:04:11:138,402151,402151,0,0,15998828,0,4956 66,3,2024-09-07 09:04:11:079,1,315,10,0,291,3112,315,0 67,0,2024-09-07 09:04:11:413,73847,0.5,73149,0.7,147004,0.5,195518,2.00 67,1,2024-09-07 09:04:10:769,554892,554891,0,1,258266611050,2692696869,550728,3412,751,380,391787,1 67,2,2024-09-07 09:04:10:589,401679,401679,0,0,15453670,0,2889 67,3,2024-09-07 09:04:11:751,1,315,11,0,338,2795,315,0 68,0,2024-09-07 09:04:10:591,78215,0.6,77712,0.8,155201,0.6,208054,2.00 68,1,2024-09-07 09:04:10:590,553652,553652,0,0,257660142367,2702487588,548851,3600,1201,381,391953,0 68,2,2024-09-07 09:04:11:046,396433,396368,65,0,20592452,0,6698 68,3,2024-09-07 09:04:10:731,1,315,11,0,417,3517,315,0 69,0,2024-09-07 09:04:11:842,81198,0.9,81617,0.9,162648,1.2,215715,2.25 69,1,2024-09-07 09:04:11:030,551664,551664,0,0,256786592813,2715503935,543698,5856,2110,384,391994,0 69,2,2024-09-07 09:04:11:742,394469,394469,0,0,20457566,0,3722 69,3,2024-09-07 09:04:10:765,1,315,0,0,698,4603,315,0 70,0,2024-09-07 09:04:11:538,81274,0.8,81235,1.0,163479,0.6,216331,2.50 70,1,2024-09-07 09:04:10:805,555058,555058,0,0,258524463355,2687931158,550212,4310,536,366,391725,0 70,2,2024-09-07 09:04:11:330,398260,398260,0,0,18616083,0,4044 70,3,2024-09-07 09:04:10:746,1,315,0,0,854,3683,315,0 71,0,2024-09-07 09:04:11:357,75233,0.9,74787,1.0,150352,1.1,201016,2.75 71,1,2024-09-07 09:04:11:596,553865,553865,0,0,259197491995,2708394184,547299,5910,656,368,391738,0 71,2,2024-09-07 09:04:11:067,399902,399902,0,0,18312117,0,4042 71,3,2024-09-07 09:04:11:750,1,315,12,0,644,4497,315,0 72,0,2024-09-07 09:04:11:053,79627,0.7,77811,0.8,151797,0.7,206917,2.25 72,1,2024-09-07 09:04:11:046,553063,553063,0,0,257642331107,2704369613,544943,6425,1695,369,391819,0 72,2,2024-09-07 09:04:11:763,397003,397003,0,0,21067177,0,3983 72,3,2024-09-07 09:04:11:759,1,315,10,0,564,5225,315,0 73,0,2024-09-07 09:04:11:130,80131,0.5,82061,0.7,168149,0.4,218201,2.00 73,1,2024-09-07 09:04:10:766,554348,554348,0,0,258458673725,2690884479,550087,3932,329,367,391858,0 73,2,2024-09-07 09:04:11:758,397346,397346,0,0,20209157,0,3701 73,3,2024-09-07 09:04:10:969,1,315,1,0,274,4446,315,0 74,0,2024-09-07 09:04:11:372,83268,0.5,85349,0.7,162939,0.5,221043,2.25 74,1,2024-09-07 09:04:10:642,553862,553862,0,0,257693177390,2695258209,547795,4805,1262,381,391681,0 74,2,2024-09-07 09:04:11:009,396078,396078,0,0,19130873,0,4253 74,3,2024-09-07 09:04:11:445,1,315,42,0,522,4559,315,0 75,0,2024-09-07 09:04:11:769,77128,0.5,76798,0.7,153801,0.4,205712,2.25 75,1,2024-09-07 09:04:11:585,553378,553378,0,0,258026884192,2700715064,547032,5521,825,380,391739,0 75,2,2024-09-07 09:04:11:351,398904,398904,0,0,19325568,0,4766 75,3,2024-09-07 09:04:11:072,1,315,8,0,702,4691,315,0 76,0,2024-09-07 09:04:10:675,75048,0.6,74524,0.8,149643,0.6,200730,2.25 76,1,2024-09-07 09:04:10:805,553991,553991,0,0,257642651810,2690636852,550152,3250,589,382,391692,0 76,2,2024-09-07 09:04:11:062,400171,400170,1,0,18382045,0,5144 76,3,2024-09-07 09:04:11:152,1,315,1,0,175,3127,315,0 77,0,2024-09-07 09:04:11:815,80358,0.6,80473,0.8,160915,0.6,214010,2.00 77,1,2024-09-07 09:04:10:827,553988,553988,0,0,258362175962,2702699192,549083,4428,477,381,391869,0 77,2,2024-09-07 09:04:11:301,399412,399412,0,0,17949131,0,3890 77,3,2024-09-07 09:04:11:094,1,315,1,0,401,3659,315,0 78,0,2024-09-07 09:04:11:716,81335,0.5,80876,0.7,162556,0.4,215909,2.00 78,1,2024-09-07 09:04:10:616,554679,554679,0,0,258340289689,2693924802,548834,4701,1144,367,391670,0 78,2,2024-09-07 09:04:11:405,395302,395302,0,0,15564704,0,3855 78,3,2024-09-07 09:04:11:139,1,315,1,0,181,3121,315,0 79,0,2024-09-07 09:04:11:364,76665,0.4,78641,0.6,160663,0.4,209060,2.25 79,1,2024-09-07 09:04:10:572,555812,555812,0,0,258620987306,2680939803,551444,3846,522,369,391682,0 79,2,2024-09-07 09:04:11:067,401142,401142,0,0,16414996,0,4195 79,3,2024-09-07 09:04:10:753,1,315,8,0,418,4243,315,0 80,0,2024-09-07 09:04:11:077,73706,0.5,75730,0.7,145020,0.5,196230,2.00 80,1,2024-09-07 09:04:11:626,554530,554530,0,0,258658206483,2692944046,551188,3165,177,368,391791,0 80,2,2024-09-07 09:04:11:094,401971,401971,0,0,15698640,0,4433 80,3,2024-09-07 09:04:10:583,1,315,6,0,190,3914,315,0 81,0,2024-09-07 09:04:11:572,78559,0.6,80524,0.7,153717,0.5,208951,2.00 81,1,2024-09-07 09:04:11:654,553660,553660,0,0,257892194256,2698746035,548816,4345,499,382,391879,0 81,2,2024-09-07 09:04:11:131,397964,397901,63,0,17645470,0,5932 81,3,2024-09-07 09:04:11:131,1,315,7,0,374,3782,315,0 82,0,2024-09-07 09:04:11:548,80840,0.5,81375,0.7,162932,0.5,215864,2.00 82,1,2024-09-07 09:04:10:590,555402,555398,0,4,259052600102,2699777531,551503,3098,797,381,391768,4 82,2,2024-09-07 09:04:11:690,395634,395634,0,0,15048522,0,3986 82,3,2024-09-07 09:04:11:752,1,315,1,0,363,3380,315,0 83,0,2024-09-07 09:04:11:535,81200,0.5,80936,0.7,161147,0.5,214435,2.00 83,1,2024-09-07 09:04:10:551,553890,553890,0,0,258103282403,2695118359,549583,3927,380,382,391709,0 83,2,2024-09-07 09:04:10:769,399859,399859,0,0,15578998,0,3393 83,3,2024-09-07 09:04:10:754,1,315,14,0,1260,4808,315,0 84,0,2024-09-07 09:04:11:800,74328,0.7,74238,0.9,148693,0.6,198400,2.25 84,1,2024-09-07 09:04:11:039,553667,553667,0,0,258101948738,2700366650,547943,4997,727,367,391967,0 84,2,2024-09-07 09:04:10:581,399960,399960,0,0,19666629,0,4757 84,3,2024-09-07 09:04:11:150,1,315,13,0,908,4921,315,0 85,0,2024-09-07 09:04:11:036,74538,0.7,74545,0.9,158123,0.8,204733,2.50 85,1,2024-09-07 09:04:10:561,552422,552422,0,0,257774836564,2724882059,543926,7128,1368,381,392006,0 85,2,2024-09-07 09:04:10:865,398621,398621,0,0,19434852,0,3656 85,3,2024-09-07 09:04:10:691,1,315,1,0,789,4326,315,0 86,0,2024-09-07 09:04:10:888,81717,0.7,84047,0.8,160958,0.8,217118,2.25 86,1,2024-09-07 09:04:10:828,553977,553977,0,0,258078091583,2706201758,547105,5807,1065,366,391961,0 86,2,2024-09-07 09:04:10:857,395064,395063,1,0,20174358,0,5004 86,3,2024-09-07 09:04:10:595,1,315,2,0,308,4476,315,0 87,0,2024-09-07 09:04:11:319,82287,0.9,82303,0.9,164996,1.2,220311,2.25 87,1,2024-09-07 09:04:10:556,552698,552698,0,0,258151561340,2704298859,546529,5559,610,366,392076,0 87,2,2024-09-07 09:04:11:068,397172,397172,0,0,18350607,0,4045 87,3,2024-09-07 09:04:11:799,1,315,8,0,473,4674,315,0 88,0,2024-09-07 09:04:11:475,76321,0.4,76870,0.6,153433,0.4,203904,2.00 88,1,2024-09-07 09:04:10:592,552091,552091,0,0,257971709232,2709311432,544411,6016,1664,365,392084,0 88,2,2024-09-07 09:04:10:689,399883,399883,0,0,20509950,0,3583 88,3,2024-09-07 09:04:11:304,1,315,6,0,435,3567,315,0 89,0,2024-09-07 09:04:11:819,78836,0.5,76180,0.7,151150,0.5,205027,2.00 89,1,2024-09-07 09:04:10:635,552250,552250,0,0,257770237012,2716514034,544600,6380,1270,382,391866,0 89,2,2024-09-07 09:04:11:140,397683,397683,0,0,19553457,0,2910 89,3,2024-09-07 09:04:11:815,1,315,24,0,385,5997,315,0 90,0,2024-09-07 09:04:11:620,78882,0.5,81047,0.6,165373,0.4,215843,2.00 90,1,2024-09-07 09:04:10:600,553700,553700,0,0,258148888496,2708789079,547937,5370,393,380,391825,0 90,2,2024-09-07 09:04:11:413,397178,397178,0,0,20885288,0,3060 90,3,2024-09-07 09:04:10:943,1,315,26,0,246,3605,315,0 91,0,2024-09-07 09:04:10:935,82293,0.5,79894,0.7,166625,0.5,219199,1.75 91,1,2024-09-07 09:04:10:569,552316,552316,0,0,258363202906,2722292237,544576,6699,1041,381,392047,0 91,2,2024-09-07 09:04:11:341,395341,395341,0,0,18627080,0,2896 91,3,2024-09-07 09:04:10:603,1,315,9,0,216,3073,315,0 92,0,2024-09-07 09:04:11:488,78910,0.5,80989,0.6,154610,0.4,209096,1.75 92,1,2024-09-07 09:04:10:584,553820,553820,0,0,257286714084,2690112782,549464,3671,685,382,392136,0 92,2,2024-09-07 09:04:11:370,401226,401226,0,0,16549770,0,3259 92,3,2024-09-07 09:04:11:021,1,315,1,0,167,2744,315,0 93,0,2024-09-07 09:04:10:964,75082,0.4,76910,0.6,146885,0.3,199112,1.75 93,1,2024-09-07 09:04:10:807,553684,553684,0,0,258446748957,2701596042,547745,4913,1026,366,391776,0 93,2,2024-09-07 09:04:10:934,399336,399336,0,0,19566360,0,4845 93,3,2024-09-07 09:04:11:457,1,315,2,0,190,3051,315,0 94,0,2024-09-07 09:04:11:634,79129,0.4,79813,0.5,159306,0.3,211618,1.75 94,1,2024-09-07 09:04:10:575,553939,553939,0,0,258753552211,2703328157,550057,3693,189,381,391850,0 94,2,2024-09-07 09:04:10:766,398636,398636,0,0,16768543,0,2443 94,3,2024-09-07 09:04:11:694,1,315,2,0,264,4029,315,0 95,0,2024-09-07 09:04:11:355,80519,0.4,80476,0.6,161694,0.3,215141,1.75 95,1,2024-09-07 09:04:10:855,555398,555398,0,0,259641040052,2702663331,550688,4318,392,367,391713,0 95,2,2024-09-07 09:04:11:024,394332,394332,0,0,16584221,0,3308 95,3,2024-09-07 09:04:11:709,1,315,8,0,718,5149,315,0 96,0,2024-09-07 09:04:11:046,80714,0.4,80823,0.5,161144,0.3,213165,1.75 96,1,2024-09-07 09:04:11:620,553642,553642,0,0,258019131679,2695985858,549137,3714,791,384,391955,0 96,2,2024-09-07 09:04:11:293,400349,400349,0,0,16667064,0,4042 96,3,2024-09-07 09:04:11:144,1,315,30,0,411,3440,315,0 97,0,2024-09-07 09:04:11:372,73627,0.3,73337,0.5,147180,0.3,195288,1.75 97,1,2024-09-07 09:04:10:767,555985,555985,0,0,258771419327,2685487137,552147,3167,671,367,392140,0 97,2,2024-09-07 09:04:10:679,401568,401568,0,0,16181430,0,3036 97,3,2024-09-07 09:04:10:587,1,315,14,0,214,3827,315,0 98,0,2024-09-07 09:04:11:707,77968,0.3,77894,0.5,156329,0.2,208237,1.50 98,1,2024-09-07 09:04:10:569,554939,554939,0,0,258549852075,2691641008,552298,2537,104,382,391997,0 98,2,2024-09-07 09:04:10:770,398948,398948,0,0,16105554,0,3080 98,3,2024-09-07 09:04:10:710,1,315,1,0,840,5200,315,0 99,0,2024-09-07 09:04:11:529,81688,0.4,82193,0.5,163681,0.3,217960,1.75 99,1,2024-09-07 09:04:11:774,554688,554688,0,0,258607846320,2695793765,550562,3374,752,381,392069,0 99,2,2024-09-07 09:04:11:441,396714,396714,0,0,17829997,0,3424 99,3,2024-09-07 09:04:10:588,1,315,8,0,199,2961,315,0 100,0,2024-09-07 09:04:11:477,81736,0.8,81712,1.0,163484,0.9,218105,2.50 100,1,2024-09-07 09:04:10:570,551376,551376,0,0,257686287886,2727010810,542928,6955,1493,381,391989,0 100,2,2024-09-07 09:04:11:838,397882,397871,11,0,18914950,0,5417 100,3,2024-09-07 09:04:11:748,1,315,62,0,559,5577,315,0 101,0,2024-09-07 09:04:11:784,77278,1.1,75312,1.0,147644,0.9,202593,2.25 101,1,2024-09-07 09:04:10:573,551809,551809,0,0,257001896842,2711266712,542760,7030,2019,368,391771,0 101,2,2024-09-07 09:04:11:768,398131,398131,0,0,21818978,0,4644 101,3,2024-09-07 09:04:10:955,1,315,2,0,448,3801,315,0 102,0,2024-09-07 09:04:10:977,75128,0.8,77735,0.8,156745,0.8,205910,2.25 102,1,2024-09-07 09:04:11:142,552427,552427,0,0,257849417999,2712617093,545132,6265,1030,369,391891,0 102,2,2024-09-07 09:04:11:744,399092,399038,54,0,18437361,0,6768 102,3,2024-09-07 09:04:11:613,1,315,17,0,410,3504,315,0 103,0,2024-09-07 09:04:11:640,84738,0.7,84726,0.8,159846,0.8,220016,2.00 103,1,2024-09-07 09:04:11:648,551753,551753,0,0,257098356685,2716227927,543018,6740,1995,381,391829,0 103,2,2024-09-07 09:04:10:591,396268,396268,0,0,19060931,0,3173 103,3,2024-09-07 09:04:10:755,1,315,1,0,916,4099,315,0 104,0,2024-09-07 09:04:11:038,81842,0.8,82271,1.0,163316,0.7,220193,2.50 104,1,2024-09-07 09:04:11:626,553047,553047,0,0,256993215027,2708510465,544169,7182,1696,365,392168,0 104,2,2024-09-07 09:04:11:703,394590,394590,0,0,20204510,0,3941 104,3,2024-09-07 09:04:11:442,1,315,4,0,1245,7069,315,0 105,0,2024-09-07 09:04:11:069,76179,0.9,74083,1.1,155090,1.1,204165,3.00 105,1,2024-09-07 09:04:10:569,553456,553456,0,0,257895936601,2711501052,545317,6809,1330,366,391797,0 105,2,2024-09-07 09:04:11:331,399147,399147,0,0,19699517,0,3509 105,3,2024-09-07 09:04:11:307,1,315,10,0,399,5259,315,0 106,0,2024-09-07 09:04:10:945,72848,0.9,74601,1.0,152514,0.9,200016,2.50 106,1,2024-09-07 09:04:11:750,552447,552447,0,0,258163282808,2717334920,543516,7927,1004,369,391865,0 106,2,2024-09-07 09:04:10:758,396718,396718,0,0,19465728,0,2795 106,3,2024-09-07 09:04:10:677,1,315,22,0,470,4207,315,0 107,0,2024-09-07 09:04:11:106,80200,1.1,80073,1.0,160152,1.5,213661,2.25 107,1,2024-09-07 09:04:10:591,551524,551524,0,0,256715293522,2710631753,543572,7038,914,381,392234,0 107,2,2024-09-07 09:04:11:297,397370,397369,1,0,19892732,0,5024 107,3,2024-09-07 09:04:11:757,1,315,9,0,353,4378,315,0 108,0,2024-09-07 09:04:11:774,80890,0.5,81311,0.6,161655,0.4,216283,1.75 108,1,2024-09-07 09:04:11:293,553845,553845,0,0,258897565581,2703970901,548863,4467,515,368,391857,0 108,2,2024-09-07 09:04:11:755,393329,393329,0,0,18531295,0,4246 108,3,2024-09-07 09:04:11:336,1,315,1,0,749,6606,315,0 109,0,2024-09-07 09:04:11:752,79724,0.4,78827,0.6,157879,0.3,211344,1.75 109,1,2024-09-07 09:04:10:608,551823,551823,0,0,258451948148,2714202248,546787,4374,662,383,392132,0 109,2,2024-09-07 09:04:10:924,398021,398021,0,0,18358684,0,3617 109,3,2024-09-07 09:04:11:140,1,315,379,0,379,3961,315,0 110,0,2024-09-07 09:04:11:772,73786,0.4,71797,0.6,150183,0.3,196880,1.75 110,1,2024-09-07 09:04:11:654,555182,555182,0,0,259247240788,2693926909,551187,3021,974,369,392045,0 110,2,2024-09-07 09:04:11:320,400174,400174,0,0,18156114,0,4067 110,3,2024-09-07 09:04:10:691,1,315,70,0,722,5006,315,0 111,0,2024-09-07 09:04:11:412,79083,0.4,78365,0.6,156878,0.4,209910,1.75 111,1,2024-09-07 09:04:11:006,555180,555180,0,0,259723512667,2697951213,551936,2894,350,382,391690,0 111,2,2024-09-07 09:04:11:133,397549,397549,0,0,17696021,0,4823 111,3,2024-09-07 09:04:10:919,1,315,8,0,379,4040,315,0 112,0,2024-09-07 09:04:10:925,81965,0.3,81332,0.4,163193,0.2,216600,1.50 112,1,2024-09-07 09:04:10:828,555437,555437,0,0,258589929820,2685465853,551993,2860,584,380,391624,0 112,2,2024-09-07 09:04:11:138,394786,394785,1,0,15891033,0,5036 112,3,2024-09-07 09:04:10:591,1,315,83,0,282,3313,315,0 113,0,2024-09-07 09:04:10:880,80820,0.3,80814,0.5,162194,0.2,215856,1.50 113,1,2024-09-07 09:04:11:688,557003,557003,0,0,259923306733,2684678700,553726,2693,584,366,391661,0 113,2,2024-09-07 09:04:11:307,401716,401716,0,0,14749110,0,3813 113,3,2024-09-07 09:04:10:691,1,315,0,0,340,3903,315,0 114,0,2024-09-07 09:04:10:874,75228,0.3,75764,0.5,150525,0.2,200492,1.75 114,1,2024-09-07 09:04:10:719,554940,554940,0,0,258857840509,2693837962,549821,3527,1592,381,391556,0 114,2,2024-09-07 09:04:10:873,401136,401135,1,0,16307100,0,5069 114,3,2024-09-07 09:04:11:285,1,315,0,0,395,2933,315,0 115,0,2024-09-07 09:04:10:560,77390,0.3,77834,0.4,155575,0.2,207080,1.50 115,1,2024-09-07 09:04:10:572,554774,554774,0,0,258435007207,2687310956,549917,4017,840,382,391656,0 115,2,2024-09-07 09:04:11:135,399766,399766,0,0,15085080,0,3453 115,3,2024-09-07 09:04:11:008,1,315,8,0,159,1935,315,0 116,0,2024-09-07 09:04:11:773,81437,0.9,81352,1.0,163087,1.2,218081,2.25 116,1,2024-09-07 09:04:10:819,551751,551751,0,0,257083951426,2717975482,544165,5321,2265,380,391782,0 116,2,2024-09-07 09:04:11:755,395226,395226,0,0,20368182,0,3529 116,3,2024-09-07 09:04:10:921,1,315,17,0,415,4072,315,0 117,0,2024-09-07 09:04:10:979,82813,0.8,82327,0.9,164912,0.9,220689,2.00 117,1,2024-09-07 09:04:11:586,552865,552865,0,0,257073567175,2695998208,546601,5596,668,370,392033,0 117,2,2024-09-07 09:04:11:123,400355,400355,0,0,16807943,0,3700 117,3,2024-09-07 09:04:11:059,1,315,13,0,490,4830,315,0 118,0,2024-09-07 09:04:11:799,74208,0.5,76235,0.7,155446,0.5,203166,2.00 118,1,2024-09-07 09:04:10:598,552544,552544,0,0,257617336659,2713673829,543776,6872,1896,366,391907,0 118,2,2024-09-07 09:04:11:606,399011,399011,0,0,18547772,0,2781 118,3,2024-09-07 09:04:11:771,1,315,6,0,235,3502,315,0 119,0,2024-09-07 09:04:11:467,76100,0.7,76452,0.8,153071,0.7,203799,2.25 119,1,2024-09-07 09:04:10:550,553802,553802,0,0,258506971714,2707830993,547250,5716,836,367,391780,0 119,2,2024-09-07 09:04:11:299,399054,399054,0,0,17617928,0,4174 119,3,2024-09-07 09:04:11:355,1,315,19,0,563,4853,315,0 120,0,2024-09-07 09:04:11:577,80766,0.6,80687,0.8,161439,0.6,216435,2.25 120,1,2024-09-07 09:04:10:871,553452,553452,0,0,257441398196,2707352542,547062,5836,554,368,391961,0 120,2,2024-09-07 09:04:10:772,397872,397871,1,0,20690218,0,5281 120,3,2024-09-07 09:04:11:309,1,315,3,0,241,4092,315,0 121,0,2024-09-07 09:04:11:745,81794,1.1,81855,1.0,164309,1.5,218735,2.25 121,1,2024-09-07 09:04:11:824,553626,553626,0,0,258138188653,2705327369,547785,5405,436,367,391840,0 121,2,2024-09-07 09:04:11:142,393963,393963,0,0,19861423,0,4127 121,3,2024-09-07 09:04:10:735,1,315,1,0,269,3806,315,0 122,0,2024-09-07 09:04:11:799,78135,0.8,76063,0.9,159483,0.9,209024,2.00 122,1,2024-09-07 09:04:10:865,552234,552234,0,0,257882659034,2711989989,543973,7000,1261,366,392130,0 122,2,2024-09-07 09:04:11:324,399233,399160,73,0,22391356,0,5989 122,3,2024-09-07 09:04:10:616,1,315,3,0,411,5911,315,0 123,0,2024-09-07 09:04:11:201,74293,0.8,72544,0.8,151328,0.9,198410,2.00 123,1,2024-09-07 09:04:10:558,552175,552175,0,0,257730878825,2724746522,540804,9246,2125,369,392039,0 123,2,2024-09-07 09:04:11:069,397539,397538,1,0,19278094,0,5215 123,3,2024-09-07 09:04:11:162,1,315,38,0,168,3795,315,0 124,0,2024-09-07 09:04:10:930,81850,0.4,81819,0.5,154207,0.3,212348,1.75 124,1,2024-09-07 09:04:11:036,555044,555044,0,0,257867390829,2687953197,550339,3797,908,367,392178,0 124,2,2024-09-07 09:04:11:015,399515,399462,53,0,17260507,0,6487 124,3,2024-09-07 09:04:10:767,1,315,5,0,490,3348,315,0 125,0,2024-09-07 09:04:11:497,80932,0.4,80487,0.6,162054,0.4,215501,1.75 125,1,2024-09-07 09:04:10:869,553355,553355,0,0,258958252534,2707960143,548504,4210,641,383,391702,0 125,2,2024-09-07 09:04:11:123,394268,394268,0,0,17646968,0,4534 125,3,2024-09-07 09:04:11:132,1,315,10,0,709,4527,315,0 126,0,2024-09-07 09:04:11:522,80474,0.4,82723,0.6,158118,0.4,214015,1.75 126,1,2024-09-07 09:04:10:551,555448,555448,0,0,259051678203,2687564613,551931,3235,282,365,391987,0 126,2,2024-09-07 09:04:10:616,401118,401118,0,0,17740912,0,4539 126,3,2024-09-07 09:04:10:921,1,315,27,0,207,4060,315,0 127,0,2024-09-07 09:04:11:631,73337,0.3,73739,0.5,147004,0.3,195224,1.75 127,1,2024-09-07 09:04:10:569,554696,554696,0,0,259369826737,2697142173,549423,4413,860,365,392187,0 127,2,2024-09-07 09:04:10:637,400163,400163,0,0,16274643,0,3897 127,3,2024-09-07 09:04:11:274,1,315,7,0,968,3914,315,0 128,0,2024-09-07 09:04:11:546,78191,0.3,78384,0.5,156478,0.2,208525,1.50 128,1,2024-09-07 09:04:11:612,554408,554408,0,0,259002830336,2693174240,550318,3721,369,367,391798,0 128,2,2024-09-07 09:04:11:395,399559,399559,0,0,15481215,0,2915 128,3,2024-09-07 09:04:10:770,1,315,4,0,1082,5818,315,0 129,0,2024-09-07 09:04:11:016,82246,0.3,81892,0.5,164221,0.3,218109,1.75 129,1,2024-09-07 09:04:10:574,551434,551434,0,0,257821442727,2704232594,545804,4375,1255,379,391835,0 129,2,2024-09-07 09:04:10:691,395981,395981,0,0,16206972,0,4031 129,3,2024-09-07 09:04:10:691,1,315,1,0,469,4378,315,0 130,0,2024-09-07 09:04:11:822,82556,0.5,82198,0.6,165094,0.5,219351,1.75 130,1,2024-09-07 09:04:10:583,554797,554797,0,0,258953188670,2695085614,551535,3023,239,381,391825,0 130,2,2024-09-07 09:04:11:162,400450,400450,0,0,16006076,0,4067 130,3,2024-09-07 09:04:11:299,1,315,29,0,450,4029,315,0 131,0,2024-09-07 09:04:11:929,75528,0.4,75997,0.5,152763,0.3,202336,1.75 131,1,2024-09-07 09:04:11:830,554406,554406,0,0,258222168171,2698004390,549975,3686,745,381,391865,0 131,2,2024-09-07 09:04:10:572,402502,402502,0,0,14836673,0,2415 131,3,2024-09-07 09:04:11:699,1,315,8,0,392,3423,315,0 132,0,2024-09-07 09:04:11:434,76909,0.5,77760,0.6,155167,0.4,206558,2.00 132,1,2024-09-07 09:04:10:598,552010,552010,0,0,257228258316,2714626850,543381,7165,1464,381,392097,0 132,2,2024-09-07 09:04:10:717,398063,398063,0,0,19918208,0,4606 132,3,2024-09-07 09:04:11:691,1,315,4,0,804,6095,315,0 133,0,2024-09-07 09:04:11:599,80218,0.5,81939,0.6,168299,0.5,218638,2.00 133,1,2024-09-07 09:04:10:694,552038,552038,0,0,257369476657,2718342490,543878,7078,1082,383,391914,0 133,2,2024-09-07 09:04:11:091,396387,396387,0,0,21067334,0,4315 133,3,2024-09-07 09:04:11:308,1,315,16,0,479,3493,315,0 134,0,2024-09-07 09:04:10:948,82933,0.5,82914,0.7,165775,0.5,221400,2.00 134,1,2024-09-07 09:04:10:589,552235,552235,0,0,257100457441,2705654961,543987,6394,1854,366,391718,0 134,2,2024-09-07 09:04:11:763,395944,395944,0,0,17528030,0,3847 134,3,2024-09-07 09:04:10:757,1,315,4,0,739,4223,315,0 135,0,2024-09-07 09:04:11:218,74482,0.7,74467,0.8,158002,0.8,203831,2.00 135,1,2024-09-07 09:04:11:613,552510,552510,0,0,258512991085,2726211941,544164,7111,1235,380,391805,0 135,2,2024-09-07 09:04:10:692,400265,400265,0,0,19071264,0,3981 135,3,2024-09-07 09:04:11:018,1,315,43,0,299,2542,315,0 136,0,2024-09-07 09:04:11:633,75840,0.6,75905,0.7,151110,0.5,201721,2.25 136,1,2024-09-07 09:04:11:444,552855,552855,0,0,257390246844,2708527143,545854,6306,695,382,391685,0 136,2,2024-09-07 09:04:11:154,399227,399227,0,0,19018143,0,3506 136,3,2024-09-07 09:04:11:122,1,315,179,0,637,3820,315,0 137,0,2024-09-07 09:04:10:924,82779,0.7,80529,0.8,158148,0.7,214977,2.00 137,1,2024-09-07 09:04:10:584,551954,551954,0,0,257271970798,2707490144,542465,7610,1879,366,391708,0 137,2,2024-09-07 09:04:11:735,397954,397954,0,0,21273467,0,3185 137,3,2024-09-07 09:04:10:769,1,315,1,0,382,3938,315,0 138,0,2024-09-07 09:04:11:837,80460,1.4,80598,1.1,161758,2.0,215330,2.50 138,1,2024-09-07 09:04:11:686,552795,552795,0,0,258943767351,2724738476,543916,7299,1580,368,391954,0 138,2,2024-09-07 09:04:10:589,394021,394021,0,0,18945082,0,4988 138,3,2024-09-07 09:04:10:635,1,315,46,0,1160,4725,315,0 139,0,2024-09-07 09:04:11:460,78145,1.3,78565,1.1,157242,2.0,209488,2.25 139,1,2024-09-07 09:04:10:571,550102,550102,0,0,256500937281,2731146566,539172,8308,2622,381,391892,0 139,2,2024-09-07 09:04:10:693,397592,397592,0,0,20559160,0,3097 139,3,2024-09-07 09:04:11:665,1,315,52,0,257,3608,315,0 140,0,2024-09-07 09:04:11:596,74065,0.3,73675,0.5,147920,0.2,197199,1.75 140,1,2024-09-07 09:04:11:547,555997,555997,0,0,259673236173,2681977793,552810,2749,438,365,391606,0 140,2,2024-09-07 09:04:10:692,400516,400515,1,0,16915336,0,5036 140,3,2024-09-07 09:04:10:767,1,315,29,0,247,2709,315,0 141,0,2024-09-07 09:04:11:701,78846,0.4,81014,0.5,154818,0.3,210444,1.75 141,1,2024-09-07 09:04:10:859,555316,555316,0,0,258891488847,2694474716,550767,3687,862,379,391614,0 141,2,2024-09-07 09:04:11:687,398379,398379,0,0,16542441,0,3360 141,3,2024-09-07 09:04:11:046,1,315,8,0,391,3327,315,0 142,0,2024-09-07 09:04:11:347,82070,0.4,81324,0.5,162287,0.3,216923,1.75 142,1,2024-09-07 09:04:10:590,554832,554832,0,0,258575236133,2700056867,550948,3535,349,382,392102,0 142,2,2024-09-07 09:04:11:300,394136,394104,32,0,17825546,0,6028 142,3,2024-09-07 09:04:11:753,1,315,2,0,484,4039,315,0 143,0,2024-09-07 09:04:11:372,81113,0.5,80990,0.6,162382,0.4,215889,1.75 143,1,2024-09-07 09:04:10:557,555000,555000,0,0,258747402150,2688004233,551327,3525,148,367,391705,0 143,2,2024-09-07 09:04:10:771,399835,399835,0,0,17211654,0,3123 143,3,2024-09-07 09:04:11:142,1,315,9,0,303,3880,315,0 144,0,2024-09-07 09:04:11:557,72454,0.6,74556,0.8,151724,0.5,198619,2.00 144,1,2024-09-07 09:04:10:579,552434,552434,0,0,257503078326,2703187515,547591,3903,940,381,391649,0 144,2,2024-09-07 09:04:11:801,401246,401246,0,0,15619106,0,3473 144,3,2024-09-07 09:04:11:742,1,315,1,0,249,3346,315,0 145,0,2024-09-07 09:04:11:367,74692,0.7,74753,0.9,158545,0.6,204554,2.50 145,1,2024-09-07 09:04:10:557,551823,551823,0,0,257596933974,2713332760,544537,6146,1140,382,391759,0 145,2,2024-09-07 09:04:11:456,396929,396929,0,0,19094764,0,3903 145,3,2024-09-07 09:04:10:898,1,315,2,0,622,4948,315,0 146,0,2024-09-07 09:04:11:599,81931,0.6,81163,0.8,163670,0.6,216869,2.25 146,1,2024-09-07 09:04:11:587,553089,553089,0,0,257479652908,2714260657,543404,7675,2010,368,391770,0 146,2,2024-09-07 09:04:11:696,395453,395453,0,0,19017739,0,2730 146,3,2024-09-07 09:04:11:277,1,315,22,0,1520,6937,315,0 147,0,2024-09-07 09:04:11:744,82789,0.6,82512,0.8,164370,0.6,220190,2.25 147,1,2024-09-07 09:04:11:396,554762,554762,0,0,258789949555,2697715546,549171,4791,800,368,391791,0 147,2,2024-09-07 09:04:11:017,398524,398524,0,0,16536288,0,2789 147,3,2024-09-07 09:04:10:914,1,315,89,0,730,4822,315,0 0,0,2024-09-07 09:04:21:724,79426,0.7,79450,0.8,168651,0.8,218737,2.00 0,1,2024-09-07 09:04:20:805,555513,555513,0,0,259077897073,2712460114,551397,3874,242,370,391896,0 0,2,2024-09-07 09:04:21:068,399607,399607,0,0,16502695,0,4480 0,3,2024-09-07 09:04:20:980,1,316,1,0,431,4409,316,0 1,0,2024-09-07 09:04:21:835,82397,0.9,81810,1.0,164602,1.0,220092,2.00 1,1,2024-09-07 09:04:20:572,554665,554665,0,0,258287346858,2708742252,549084,4356,1225,370,391857,0 1,2,2024-09-07 09:04:20:648,395922,395922,0,0,15902622,0,3267 1,3,2024-09-07 09:04:21:305,1,316,1,0,262,3801,316,0 2,0,2024-09-07 09:04:21:566,78388,0.6,78751,0.8,156116,0.7,209000,2.00 2,1,2024-09-07 09:04:20:859,556484,556484,0,0,259393422094,2696620106,553590,2601,293,380,391745,0 2,2,2024-09-07 09:04:21:266,401686,401686,0,0,15902896,0,3594 2,3,2024-09-07 09:04:20:692,1,316,0,0,357,3248,316,0 3,0,2024-09-07 09:04:21:750,74622,0.4,74631,0.6,149297,0.4,198730,2.00 3,1,2024-09-07 09:04:21:627,555559,555559,0,0,258871492030,2699722062,550463,4473,623,380,391591,0 3,2,2024-09-07 09:04:21:142,400956,400933,23,0,16391094,0,5851 3,3,2024-09-07 09:04:21:752,1,316,1,0,103,1954,316,0 4,0,2024-09-07 09:04:21:865,77524,0.4,79812,0.5,161952,0.4,212632,1.75 4,1,2024-09-07 09:04:20:618,554156,554156,0,0,258297049057,2723774769,546860,5942,1354,371,391992,0 4,2,2024-09-07 09:04:21:018,398183,398183,0,0,19182478,0,4528 4,3,2024-09-07 09:04:21:037,1,316,1,0,448,4626,316,0 5,0,2024-09-07 09:04:21:395,80838,0.5,81161,0.6,161792,0.5,215256,1.75 5,1,2024-09-07 09:04:20:769,554551,554551,0,0,258608492062,2723881240,547396,5799,1356,368,392005,0 5,2,2024-09-07 09:04:21:835,394174,394174,0,0,18103749,0,2432 5,3,2024-09-07 09:04:21:732,1,316,2,0,457,4790,316,0 6,0,2024-09-07 09:04:20:916,80868,0.5,80319,0.7,160526,0.4,214050,2.00 6,1,2024-09-07 09:04:20:746,554483,554483,0,0,258648829191,2706909720,548130,5277,1076,379,391694,0 6,2,2024-09-07 09:04:21:119,400826,400826,0,0,17532020,0,4816 6,3,2024-09-07 09:04:21:285,1,316,1,0,340,3678,316,0 7,0,2024-09-07 09:04:21:535,73255,0.5,73460,0.6,146549,0.4,194803,2.00 7,1,2024-09-07 09:04:20:859,553987,553987,0,0,258651561241,2720959747,545926,6885,1176,382,391747,0 7,2,2024-09-07 09:04:20:782,401678,401678,0,0,17628773,0,4791 7,3,2024-09-07 09:04:20:852,1,316,8,0,398,3794,316,0 8,0,2024-09-07 09:04:21:332,78442,0.4,78279,0.5,156967,0.4,209573,1.75 8,1,2024-09-07 09:04:21:025,553129,553129,0,0,258262777886,2726758959,542989,7864,2276,366,392144,0 8,2,2024-09-07 09:04:20:796,395555,395555,0,0,21802341,0,3220 8,3,2024-09-07 09:04:20:586,1,316,4,0,538,5610,316,0 9,0,2024-09-07 09:04:21:110,81990,0.4,79750,0.6,166764,0.4,218113,1.75 9,1,2024-09-07 09:04:20:555,553853,553853,0,0,258649313298,2733360315,544820,6910,2123,369,392001,0 9,2,2024-09-07 09:04:21:087,395337,395337,0,0,19183919,0,3360 9,3,2024-09-07 09:04:21:753,1,316,19,0,496,4880,316,0 10,0,2024-09-07 09:04:21:599,82311,0.3,81663,0.5,164057,0.3,218372,1.75 10,1,2024-09-07 09:04:20:585,554661,554661,0,0,259065598682,2719447310,546782,6770,1109,381,391741,0 10,2,2024-09-07 09:04:20:766,400227,400227,0,0,20909868,0,4264 10,3,2024-09-07 09:04:20:871,1,316,1,0,296,2942,316,0 11,0,2024-09-07 09:04:21:008,75481,0.4,73214,0.6,153223,0.4,202808,1.75 11,1,2024-09-07 09:04:20:585,554618,554618,0,0,258809550483,2724785023,544752,7437,2429,383,391664,0 11,2,2024-09-07 09:04:21:127,400954,400954,0,0,18978302,0,4130 11,3,2024-09-07 09:04:21:298,1,316,12,0,843,5148,316,0 12,0,2024-09-07 09:04:20:953,78080,0.4,77989,0.5,156075,0.3,207681,1.75 12,1,2024-09-07 09:04:20:951,555223,555223,0,0,258292040617,2698046677,550388,4345,490,370,391870,0 12,2,2024-09-07 09:04:21:542,400089,400089,0,0,18346583,0,3469 12,3,2024-09-07 09:04:21:058,1,316,2,0,386,5338,316,0 13,0,2024-09-07 09:04:21:354,82928,0.5,82569,0.6,165141,0.5,219747,1.75 13,1,2024-09-07 09:04:21:539,554243,554243,0,0,258954892657,2727639756,548378,4457,1408,382,391740,0 13,2,2024-09-07 09:04:20:608,399138,399138,0,0,16873308,0,3287 13,3,2024-09-07 09:04:21:762,1,316,7,0,522,5279,316,0 14,0,2024-09-07 09:04:20:563,83397,0.4,84031,0.6,166135,0.4,221805,1.75 14,1,2024-09-07 09:04:21:561,558232,558232,0,0,260574155821,2702781174,553703,4174,355,364,391571,0 14,2,2024-09-07 09:04:20:764,397451,397421,30,0,18560201,0,6104 14,3,2024-09-07 09:04:21:118,1,316,1,0,1168,4052,316,0 15,0,2024-09-07 09:04:21:568,77118,0.4,77249,0.7,154463,0.4,205438,2.00 15,1,2024-09-07 09:04:21:619,555677,555677,0,0,259136692594,2702362329,551424,3433,820,381,391619,0 15,2,2024-09-07 09:04:21:000,402835,402835,0,0,15163729,0,3622 15,3,2024-09-07 09:04:21:407,1,316,8,0,1126,6118,316,0 16,0,2024-09-07 09:04:20:959,75944,0.6,76325,0.8,151996,0.7,203057,2.25 16,1,2024-09-07 09:04:20:598,556049,556049,0,0,259033876532,2707503451,551770,3919,360,370,391756,0 16,2,2024-09-07 09:04:21:444,399903,399903,0,0,17466282,0,4719 16,3,2024-09-07 09:04:21:142,1,316,1,0,317,4573,316,0 17,0,2024-09-07 09:04:21:844,82917,0.7,81012,0.9,158372,0.8,215188,2.00 17,1,2024-09-07 09:04:20:581,555038,555038,0,0,258221743127,2714727912,548813,4968,1257,368,391899,0 17,2,2024-09-07 09:04:21:675,401752,401752,0,0,17107855,0,2857 17,3,2024-09-07 09:04:20:579,1,316,11,0,298,5189,316,0 18,0,2024-09-07 09:04:20:951,80348,0.7,80769,0.8,161015,0.7,215304,2.25 18,1,2024-09-07 09:04:21:641,556630,556630,0,0,259800789532,2695777307,553297,3022,311,367,391649,0 18,2,2024-09-07 09:04:21:754,397295,397295,0,0,15853259,0,3541 18,3,2024-09-07 09:04:20:896,1,316,1,0,163,2793,316,0 19,0,2024-09-07 09:04:21:558,78826,0.6,79436,0.8,157475,0.6,209694,2.25 19,1,2024-09-07 09:04:20:575,556532,556532,0,0,259765912651,2698922796,551417,4276,839,367,391777,0 19,2,2024-09-07 09:04:21:753,402902,402902,0,0,14572065,0,3988 19,3,2024-09-07 09:04:21:129,1,316,1,0,524,2429,316,0 20,0,2024-09-07 09:04:21:359,73890,0.6,73938,0.7,147951,0.6,197670,2.00 20,1,2024-09-07 09:04:20:577,554711,554711,0,0,259354157928,2712497519,550391,3916,404,369,391886,0 20,2,2024-09-07 09:04:20:947,401436,401436,0,0,17533289,0,3721 20,3,2024-09-07 09:04:20:595,1,316,89,0,414,5209,316,0 21,0,2024-09-07 09:04:21:148,79158,0.5,79277,0.7,158273,0.5,210488,2.00 21,1,2024-09-07 09:04:21:551,553667,553667,0,0,257917079343,2721840553,545807,5984,1876,368,392016,0 21,2,2024-09-07 09:04:21:068,397730,397730,0,0,20666243,0,3747 21,3,2024-09-07 09:04:21:407,1,316,0,0,713,3926,316,0 22,0,2024-09-07 09:04:21:727,81089,0.6,81372,0.8,162718,0.6,215297,2.25 22,1,2024-09-07 09:04:21:025,554781,554781,0,0,257664931711,2713467891,546296,6666,1819,382,391667,0 22,2,2024-09-07 09:04:20:760,394555,394555,0,0,16776473,0,3134 22,3,2024-09-07 09:04:21:067,1,316,3,0,228,2823,316,0 23,0,2024-09-07 09:04:21:377,80596,0.6,80523,0.7,161401,0.5,214853,2.25 23,1,2024-09-07 09:04:21:004,554556,554556,0,0,259009024284,2726563627,544066,7332,3158,365,391690,0 23,2,2024-09-07 09:04:21:092,401905,401905,0,0,16515377,0,3010 23,3,2024-09-07 09:04:21:760,1,316,147,0,645,3935,316,0 24,0,2024-09-07 09:04:20:875,75363,0.4,75183,0.6,150960,0.4,199881,1.75 24,1,2024-09-07 09:04:20:685,553926,553926,0,0,258045653173,2713150136,547046,5353,1527,368,392269,0 24,2,2024-09-07 09:04:21:069,400200,400200,0,0,20653291,0,3607 24,3,2024-09-07 09:04:21:685,1,316,6,0,468,4396,316,0 25,0,2024-09-07 09:04:21:351,79812,0.4,77837,0.6,152615,0.4,208317,2.00 25,1,2024-09-07 09:04:20:578,554877,554877,0,0,258859005542,2721354311,547205,6306,1366,371,391928,0 25,2,2024-09-07 09:04:21:619,398847,398847,0,0,20660433,0,3978 25,3,2024-09-07 09:04:21:000,1,316,1,0,255,3277,316,0 26,0,2024-09-07 09:04:21:722,81579,0.5,79740,0.6,167367,0.4,217556,2.00 26,1,2024-09-07 09:04:21:545,555672,555672,0,0,258274657733,2713036787,546836,7300,1536,380,391748,0 26,2,2024-09-07 09:04:20:861,396947,396947,0,0,20297464,0,2809 26,3,2024-09-07 09:04:21:712,1,316,1,0,796,4327,316,0 27,0,2024-09-07 09:04:21:734,83181,0.5,83204,0.6,164983,0.4,220547,2.00 27,1,2024-09-07 09:04:21:676,556977,556977,0,0,259447467825,2705968931,551713,4499,765,381,391626,0 27,2,2024-09-07 09:04:21:093,396899,396834,65,0,20078291,0,5699 27,3,2024-09-07 09:04:21:015,1,316,14,0,564,3365,316,0 28,0,2024-09-07 09:04:21:424,76909,0.4,76651,0.6,153641,0.4,205164,2.00 28,1,2024-09-07 09:04:20:853,555981,555981,0,0,259953928716,2712485684,551675,3604,702,383,391646,0 28,2,2024-09-07 09:04:21:764,401136,401136,0,0,17584700,0,2915 28,3,2024-09-07 09:04:21:788,1,316,335,0,502,3489,316,0 29,0,2024-09-07 09:04:21:359,78887,0.4,76981,0.6,150717,0.3,205786,1.75 29,1,2024-09-07 09:04:21:562,557495,557495,0,0,260602042578,2702280562,553258,3554,683,369,391753,0 29,2,2024-09-07 09:04:20:861,398515,398515,0,0,17109220,0,4986 29,3,2024-09-07 09:04:20:971,1,316,127,0,459,3953,316,0 30,0,2024-09-07 09:04:21:482,81423,0.5,79253,0.7,165603,0.5,217145,2.00 30,1,2024-09-07 09:04:20:578,556993,556993,0,0,260013834100,2704973856,552195,4088,710,382,391672,0 30,2,2024-09-07 09:04:21:272,399172,399172,0,0,16066222,0,3161 30,3,2024-09-07 09:04:20:581,1,316,1,0,519,3371,316,0 31,0,2024-09-07 09:04:21:761,82021,0.4,82258,0.6,164721,0.4,219698,2.00 31,1,2024-09-07 09:04:20:568,559409,559409,0,0,260969020660,2679921729,556869,1982,558,356,391712,0 31,2,2024-09-07 09:04:21:288,396560,396560,0,0,17694230,0,3525 31,3,2024-09-07 09:04:21:710,1,316,1,0,220,2803,316,0 32,0,2024-09-07 09:04:21:432,78414,0.3,78718,0.5,157385,0.2,209297,1.75 32,1,2024-09-07 09:04:20:808,556896,556896,0,0,259554880470,2697200881,553829,2622,445,381,391646,0 32,2,2024-09-07 09:04:20:935,401751,401751,0,0,14929344,0,3155 32,3,2024-09-07 09:04:21:017,1,316,1,0,227,2402,316,0 33,0,2024-09-07 09:04:21:498,74975,0.3,74542,0.5,149569,0.2,199458,1.75 33,1,2024-09-07 09:04:20:576,557290,557290,0,0,260865994057,2703823205,552887,3572,831,369,391730,0 33,2,2024-09-07 09:04:20:780,401754,401719,35,0,17000964,0,7012 33,3,2024-09-07 09:04:20:914,1,316,8,0,329,3505,316,0 34,0,2024-09-07 09:04:20:934,80038,0.3,82324,0.5,157599,0.2,211968,1.75 34,1,2024-09-07 09:04:21:053,558558,558558,0,0,260370942936,2677962708,557167,1383,8,367,391562,0 34,2,2024-09-07 09:04:20:766,400326,400326,0,0,16332656,0,3577 34,3,2024-09-07 09:04:21:690,1,316,2,0,299,2394,316,0 35,0,2024-09-07 09:04:20:860,80134,0.4,80547,0.5,162128,0.3,215795,1.75 35,1,2024-09-07 09:04:21:069,556994,556994,0,0,260161882661,2698470556,553393,2821,780,382,391589,0 35,2,2024-09-07 09:04:21:591,395688,395688,0,0,16101001,0,2653 35,3,2024-09-07 09:04:20:908,1,316,11,0,418,4165,316,0 36,0,2024-09-07 09:04:21:537,80666,0.5,80719,0.7,161426,0.4,213729,2.00 36,1,2024-09-07 09:04:20:583,556229,556229,0,0,258593256335,2705967632,549004,5567,1658,366,391759,0 36,2,2024-09-07 09:04:21:756,401316,401316,0,0,18606047,0,3875 36,3,2024-09-07 09:04:20:863,1,316,1,0,416,5232,316,0 37,0,2024-09-07 09:04:21:374,73126,0.5,73076,0.7,146336,0.5,195669,2.00 37,1,2024-09-07 09:04:20:577,554911,554904,0,7,259037818749,2711085642,548135,4684,2085,365,391570,0 37,2,2024-09-07 09:04:21:150,400481,400466,15,0,18285045,0,5815 37,3,2024-09-07 09:04:21:788,1,316,5,0,888,5462,316,0 38,0,2024-09-07 09:04:21:454,77834,0.5,75598,0.7,158291,0.4,207687,2.00 38,1,2024-09-07 09:04:21:611,556938,556938,0,0,259362783775,2704355386,550904,5277,757,368,391821,0 38,2,2024-09-07 09:04:20:763,398987,398940,47,0,18878180,0,6710 38,3,2024-09-07 09:04:20:997,1,316,1,0,689,4577,316,0 39,0,2024-09-07 09:04:21:761,83362,0.7,81651,0.8,158810,0.7,216916,2.00 39,1,2024-09-07 09:04:20:721,555543,555543,0,0,258978097642,2714045327,547183,6900,1460,365,391594,0 39,2,2024-09-07 09:04:21:426,395954,395954,0,0,16814708,0,2689 39,3,2024-09-07 09:04:20:713,1,316,8,0,324,4168,316,0 40,0,2024-09-07 09:04:21:523,81331,0.8,82024,1.0,163803,0.8,217992,2.75 40,1,2024-09-07 09:04:20:576,556040,556040,0,0,258305064173,2710366010,547492,7038,1510,368,391668,0 40,2,2024-09-07 09:04:21:317,399729,399728,1,0,20261940,0,5137 40,3,2024-09-07 09:04:21:150,1,316,12,0,1028,4949,316,0 41,0,2024-09-07 09:04:21:033,75332,1.5,77203,1.3,147338,2.4,200716,3.25 41,1,2024-09-07 09:04:20:768,554927,554927,0,0,258971437874,2714162437,547881,6343,703,370,391742,0 41,2,2024-09-07 09:04:20:763,399874,399874,0,0,19441572,0,3356 41,3,2024-09-07 09:04:21:678,1,316,1,0,366,3330,316,0 42,0,2024-09-07 09:04:21:479,76869,1.0,76922,1.1,154050,1.1,203828,2.75 42,1,2024-09-07 09:04:21:438,554072,554072,0,0,258357214730,2721116817,544546,7768,1758,380,391675,0 42,2,2024-09-07 09:04:21:133,399412,399412,0,0,19549072,0,3790 42,3,2024-09-07 09:04:21:014,1,316,1,0,446,3045,316,0 43,0,2024-09-07 09:04:20:928,81228,1.0,79233,1.1,165921,1.2,217268,2.50 43,1,2024-09-07 09:04:20:576,555485,555485,0,0,259261789236,2714084622,547334,6713,1438,366,391696,0 43,2,2024-09-07 09:04:21:739,398129,398129,0,0,19303449,0,3812 43,3,2024-09-07 09:04:21:749,1,316,11,0,467,3995,316,0 44,0,2024-09-07 09:04:20:881,83083,0.5,83565,0.6,166563,0.4,221901,2.00 44,1,2024-09-07 09:04:20:586,556964,556964,0,0,259718645990,2689579107,552038,3890,1036,356,391809,0 44,2,2024-09-07 09:04:21:267,397063,397063,0,0,16019887,0,4344 44,3,2024-09-07 09:04:21:092,1,316,91,0,817,4392,316,0 45,0,2024-09-07 09:04:21:784,76187,0.5,74355,0.7,156010,0.5,205301,2.00 45,1,2024-09-07 09:04:21:018,555825,555825,0,0,259665129035,2701370920,551609,3791,425,382,391917,0 45,2,2024-09-07 09:04:21:272,401403,401403,0,0,16497581,0,3596 45,3,2024-09-07 09:04:20:946,1,316,14,0,271,3112,316,0 46,0,2024-09-07 09:04:20:949,75253,0.6,75332,0.8,150740,0.6,200522,2.25 46,1,2024-09-07 09:04:20:575,558070,558070,0,0,260391653119,2692655661,554367,3277,426,366,391572,0 46,2,2024-09-07 09:04:20:592,400713,400713,0,0,15693766,0,2920 46,3,2024-09-07 09:04:21:131,1,316,335,0,908,4787,316,0 47,0,2024-09-07 09:04:21:106,80924,0.4,81012,0.6,162315,0.4,214750,1.75 47,1,2024-09-07 09:04:20:567,557385,557385,0,0,259693351543,2690614272,552941,3629,815,366,391641,0 47,2,2024-09-07 09:04:20:908,401568,401568,0,0,16317269,0,4477 47,3,2024-09-07 09:04:21:120,1,316,14,0,529,3531,316,0 48,0,2024-09-07 09:04:21:523,82076,0.3,81524,0.4,162581,0.2,217544,1.50 48,1,2024-09-07 09:04:21:024,556840,556840,0,0,259802756087,2700363177,553288,3251,301,384,391710,0 48,2,2024-09-07 09:04:20:712,395774,395774,0,0,14655739,0,3031 48,3,2024-09-07 09:04:20:770,1,316,16,0,339,2795,316,0 49,0,2024-09-07 09:04:21:737,81455,0.3,79972,0.5,154915,0.3,212091,1.75 49,1,2024-09-07 09:04:21:021,556363,556363,0,0,259293191299,2702956343,552052,3127,1184,382,391809,0 49,2,2024-09-07 09:04:21:798,402386,402386,0,0,15745532,0,4426 49,3,2024-09-07 09:04:21:425,1,316,0,0,408,3414,316,0 50,0,2024-09-07 09:04:21:510,74139,0.3,73374,0.5,147605,0.2,197295,1.75 50,1,2024-09-07 09:04:21:013,558478,558478,0,0,260300579792,2694080902,554664,3440,374,368,391565,0 50,2,2024-09-07 09:04:21:073,401728,401728,0,0,14876811,0,2263 50,3,2024-09-07 09:04:21:291,1,316,17,0,335,3180,316,0 51,0,2024-09-07 09:04:21:685,81212,0.3,79316,0.5,155015,0.2,211386,1.75 51,1,2024-09-07 09:04:21:680,558409,558409,0,0,260746648984,2692824621,555155,2279,975,365,391706,0 51,2,2024-09-07 09:04:21:316,399972,399972,0,0,14401852,0,3337 51,3,2024-09-07 09:04:21:043,1,316,11,0,678,2516,316,0 52,0,2024-09-07 09:04:21:415,81631,0.5,81554,0.7,163004,0.5,216641,2.00 52,1,2024-09-07 09:04:20:575,555318,555318,0,0,258543910784,2713322561,546773,7235,1310,368,391722,0 52,2,2024-09-07 09:04:21:755,392515,392477,38,0,18970623,0,6742 52,3,2024-09-07 09:04:20:677,1,316,49,0,1782,5147,316,0 53,0,2024-09-07 09:04:21:734,80470,0.7,78265,0.8,163617,0.7,214402,2.25 53,1,2024-09-07 09:04:20:784,554391,554391,0,0,259218170590,2725835460,544972,6838,2581,367,391702,0 53,2,2024-09-07 09:04:21:297,401692,401692,0,0,16275373,0,2727 53,3,2024-09-07 09:04:20:701,1,316,1,0,308,3178,316,0 54,0,2024-09-07 09:04:21:618,73726,0.6,74181,0.8,147578,0.4,197000,2.50 54,1,2024-09-07 09:04:20:580,555990,555990,0,0,259814600618,2703752206,550398,4990,602,367,391659,0 54,2,2024-09-07 09:04:20:869,401975,401969,6,0,18853165,0,5382 54,3,2024-09-07 09:04:20:763,1,316,26,0,676,5104,316,0 55,0,2024-09-07 09:04:21:772,75051,0.6,77801,0.8,156414,0.6,204439,2.50 55,1,2024-09-07 09:04:20:764,555562,555562,0,0,259282138830,2702859505,548942,5711,909,365,391731,0 55,2,2024-09-07 09:04:20:729,398877,398877,0,0,18349143,0,3563 55,3,2024-09-07 09:04:20:674,1,316,8,0,304,3614,316,0 56,0,2024-09-07 09:04:21:595,83497,1.3,78725,1.2,162426,1.8,217202,2.75 56,1,2024-09-07 09:04:20:584,553117,553117,0,0,258054118012,2730300121,544390,7098,1629,381,391867,0 56,2,2024-09-07 09:04:21:305,396312,396312,0,0,19194857,0,3567 56,3,2024-09-07 09:04:21:059,1,316,9,0,705,4369,316,0 57,0,2024-09-07 09:04:20:933,81837,1.5,81604,1.2,163677,2.1,219112,3.00 57,1,2024-09-07 09:04:20:992,554768,554768,0,0,258701708448,2716074930,548002,6048,718,366,392032,0 57,2,2024-09-07 09:04:21:321,399348,399348,0,0,20871591,0,3317 57,3,2024-09-07 09:04:21:741,1,316,2,0,359,3834,316,0 58,0,2024-09-07 09:04:20:581,75370,0.9,73360,1.0,153647,1.1,201783,2.50 58,1,2024-09-07 09:04:20:579,555818,555815,0,3,259117822068,2714223638,547700,7033,1082,367,391603,3 58,2,2024-09-07 09:04:21:071,400726,400726,0,0,19163169,0,2549 58,3,2024-09-07 09:04:21:068,1,316,1,0,1043,3369,316,0 59,0,2024-09-07 09:04:21:765,76393,0.9,76047,1.0,152091,1.0,202036,2.75 59,1,2024-09-07 09:04:20:810,555498,555498,0,0,259094970754,2717694139,547640,6338,1520,369,391578,0 59,2,2024-09-07 09:04:20:587,400485,400485,0,0,18737554,0,2867 59,3,2024-09-07 09:04:21:739,1,316,12,0,1015,4247,316,0 60,0,2024-09-07 09:04:21:725,81570,0.5,81743,0.7,164143,0.5,218291,1.75 60,1,2024-09-07 09:04:20:779,556963,556963,0,0,260209470882,2704370091,552993,3325,645,370,392031,0 60,2,2024-09-07 09:04:21:150,399250,399250,0,0,18424646,0,3811 60,3,2024-09-07 09:04:21:259,1,316,409,0,409,3849,316,0 61,0,2024-09-07 09:04:21:500,82217,0.7,82700,0.8,164325,0.7,219423,2.00 61,1,2024-09-07 09:04:20:780,555294,555294,0,0,259248381182,2722464202,548243,5727,1324,382,392127,0 61,2,2024-09-07 09:04:21:119,396567,396500,67,0,18536773,0,6411 61,3,2024-09-07 09:04:21:696,1,316,0,0,479,4890,316,0 62,0,2024-09-07 09:04:21:729,78900,0.5,80615,0.7,153640,0.5,209068,2.00 62,1,2024-09-07 09:04:21:122,558434,558428,0,6,260362618587,2691031468,554854,3315,259,365,391715,6 62,2,2024-09-07 09:04:21:644,400040,400039,1,0,18098757,0,5555 62,3,2024-09-07 09:04:21:143,1,316,3,0,465,2517,316,0 63,0,2024-09-07 09:04:21:461,74800,0.4,74823,0.6,149923,0.4,199303,1.75 63,1,2024-09-07 09:04:20:807,556839,556833,0,6,260354979193,2706420925,552906,3540,387,381,391800,6 63,2,2024-09-07 09:04:20:764,401004,401004,0,0,16611662,0,4369 63,3,2024-09-07 09:04:21:736,1,316,170,0,667,3725,316,0 64,0,2024-09-07 09:04:21:509,79405,0.5,79313,0.7,158536,0.5,211080,2.00 64,1,2024-09-07 09:04:20:765,556369,556369,0,0,259169309399,2705526345,550735,3978,1656,370,391783,0 64,2,2024-09-07 09:04:21:151,403346,403327,19,0,16307701,0,6121 64,3,2024-09-07 09:04:21:140,1,316,1,0,265,3045,316,0 65,0,2024-09-07 09:04:21:699,80146,0.7,80383,0.8,160469,0.8,213765,2.25 65,1,2024-09-07 09:04:20:865,555165,555165,0,0,258942231491,2709133463,551087,3627,451,382,391901,0 65,2,2024-09-07 09:04:21:696,396336,396336,0,0,17631972,0,3367 65,3,2024-09-07 09:04:21:686,1,316,2,0,163,2851,316,0 66,0,2024-09-07 09:04:21:763,80107,0.5,80001,0.7,160331,0.5,212524,2.00 66,1,2024-09-07 09:04:21:297,556450,556450,0,0,260322638587,2708693828,552895,3234,321,380,391653,0 66,2,2024-09-07 09:04:21:164,403423,403423,0,0,16025595,0,4956 66,3,2024-09-07 09:04:21:081,1,316,24,0,291,3136,316,0 67,0,2024-09-07 09:04:21:418,73981,0.5,73263,0.7,147252,0.5,195831,2.00 67,1,2024-09-07 09:04:20:771,556566,556565,0,1,259025654498,2700588932,552401,3413,751,380,391787,1 67,2,2024-09-07 09:04:20:583,402999,402999,0,0,15479591,0,2889 67,3,2024-09-07 09:04:21:751,1,316,2,0,338,2797,316,0 68,0,2024-09-07 09:04:20:571,78739,0.6,78212,0.8,156150,0.6,209457,2.00 68,1,2024-09-07 09:04:20:577,555302,555302,0,0,258331339925,2709402226,550501,3600,1201,381,391953,0 68,2,2024-09-07 09:04:21:059,397807,397742,65,0,20613734,0,6698 68,3,2024-09-07 09:04:20:728,1,316,39,0,417,3556,316,0 69,0,2024-09-07 09:04:21:811,81302,0.9,81730,0.9,162878,1.2,216009,2.25 69,1,2024-09-07 09:04:21:024,553392,553392,0,0,257957137294,2727439296,545426,5856,2110,384,391994,0 69,2,2024-09-07 09:04:21:810,395376,395376,0,0,20470471,0,3722 69,3,2024-09-07 09:04:20:766,1,316,18,0,698,4621,316,0 70,0,2024-09-07 09:04:21:545,81373,0.8,81332,1.0,163693,0.6,216592,2.50 70,1,2024-09-07 09:04:20:808,556727,556727,0,0,259233060567,2695178785,551881,4310,536,366,391725,0 70,2,2024-09-07 09:04:21:324,399538,399538,0,0,18657922,0,4044 70,3,2024-09-07 09:04:20:745,1,316,1,0,854,3684,316,0 71,0,2024-09-07 09:04:21:358,75464,0.9,74991,1.0,150743,1.1,201495,2.75 71,1,2024-09-07 09:04:21:600,555598,555598,0,0,259870188395,2715329278,549032,5910,656,368,391738,0 71,2,2024-09-07 09:04:21:071,401382,401382,0,0,18375334,0,4042 71,3,2024-09-07 09:04:21:751,1,316,8,0,644,4505,316,0 72,0,2024-09-07 09:04:21:022,80055,0.7,78277,0.8,152680,0.7,208014,2.25 72,1,2024-09-07 09:04:21:021,554796,554796,0,0,258358385586,2712480473,546573,6527,1696,369,391819,0 72,2,2024-09-07 09:04:21:754,398398,398398,0,0,21130775,0,3983 72,3,2024-09-07 09:04:21:754,1,316,1,0,564,5226,316,0 73,0,2024-09-07 09:04:21:097,80260,0.5,82177,0.7,168390,0.4,218480,2.00 73,1,2024-09-07 09:04:20:777,556112,556112,0,0,259202976334,2699118951,551797,3985,330,367,391858,0 73,2,2024-09-07 09:04:21:758,398053,398053,0,0,20278323,0,3701 73,3,2024-09-07 09:04:20:968,1,316,1,0,274,4447,316,0 74,0,2024-09-07 09:04:21:359,83587,0.5,85635,0.7,163483,0.4,221733,2.25 74,1,2024-09-07 09:04:20:642,555618,555618,0,0,258596341065,2704756366,549542,4814,1262,381,391681,0 74,2,2024-09-07 09:04:21:005,397573,397573,0,0,19319633,0,4253 74,3,2024-09-07 09:04:21:445,1,316,10,0,522,4569,316,0 75,0,2024-09-07 09:04:21:815,77333,0.5,77027,0.7,154267,0.4,206305,2.25 75,1,2024-09-07 09:04:21:602,555054,555054,0,0,258771197780,2708591082,548704,5525,825,380,391739,0 75,2,2024-09-07 09:04:21:356,400288,400288,0,0,19412576,0,4766 75,3,2024-09-07 09:04:21:067,1,316,8,0,702,4699,316,0 76,0,2024-09-07 09:04:20:588,75501,0.6,74978,0.8,150443,0.6,201923,2.50 76,1,2024-09-07 09:04:20:810,555794,555794,0,0,258258909514,2697283368,551955,3250,589,382,391692,0 76,2,2024-09-07 09:04:21:060,401382,401381,1,0,18610000,0,5144 76,3,2024-09-07 09:04:21:153,1,316,1,0,175,3128,316,0 77,0,2024-09-07 09:04:21:699,80629,0.6,80737,0.8,161442,0.6,214702,2.00 77,1,2024-09-07 09:04:20:847,555798,555798,0,0,259370539996,2714240805,550642,4668,488,381,391869,0 77,2,2024-09-07 09:04:21:293,400443,400443,0,0,18045882,0,3890 77,3,2024-09-07 09:04:21:093,1,316,4,0,401,3663,316,0 78,0,2024-09-07 09:04:21:717,81680,0.5,81183,0.7,163239,0.4,216809,2.00 78,1,2024-09-07 09:04:20:610,556465,556465,0,0,259154597288,2702696519,550609,4711,1145,367,391670,0 78,2,2024-09-07 09:04:21:409,396882,396882,0,0,15646494,0,3855 78,3,2024-09-07 09:04:21:134,1,316,2,0,181,3123,316,0 79,0,2024-09-07 09:04:21:349,76821,0.4,78827,0.6,161027,0.4,209563,2.25 79,1,2024-09-07 09:04:20:574,557779,557779,0,0,259544861892,2691710396,553227,3919,633,369,391682,0 79,2,2024-09-07 09:04:21:068,402441,402441,0,0,16506760,0,4195 79,3,2024-09-07 09:04:20:756,1,316,15,0,418,4258,316,0 80,0,2024-09-07 09:04:21:079,74022,0.5,76075,0.7,145702,0.5,197164,2.00 80,1,2024-09-07 09:04:21:620,556286,556286,0,0,259333412098,2699956686,552944,3165,177,368,391791,0 80,2,2024-09-07 09:04:21:091,403013,403013,0,0,15738327,0,4433 80,3,2024-09-07 09:04:20:575,1,316,1,0,190,3915,316,0 81,0,2024-09-07 09:04:21:544,78991,0.6,80987,0.7,154551,0.5,210100,2.00 81,1,2024-09-07 09:04:21:662,555414,555414,0,0,258624456116,2706249604,550570,4345,499,382,391879,0 81,2,2024-09-07 09:04:21:125,399343,399280,63,0,17691320,0,5932 81,3,2024-09-07 09:04:21:117,1,316,2,0,374,3784,316,0 82,0,2024-09-07 09:04:21:566,80979,0.5,81489,0.7,163192,0.5,216188,2.00 82,1,2024-09-07 09:04:20:593,557189,557185,0,4,259786490378,2707329231,553290,3098,797,381,391768,4 82,2,2024-09-07 09:04:21:693,396794,396794,0,0,15066811,0,3986 82,3,2024-09-07 09:04:21:752,1,316,1,0,363,3381,316,0 83,0,2024-09-07 09:04:21:557,81332,0.5,81078,0.7,161399,0.5,214735,2.00 83,1,2024-09-07 09:04:20:551,555595,555595,0,0,258880859897,2703072561,551288,3927,380,382,391709,0 83,2,2024-09-07 09:04:20:764,401088,401088,0,0,15600760,0,3393 83,3,2024-09-07 09:04:20:756,1,316,1,0,1260,4809,316,0 84,0,2024-09-07 09:04:21:818,74337,0.7,74240,0.9,148704,0.6,198408,2.25 84,1,2024-09-07 09:04:21:061,555359,555359,0,0,258773569189,2707494657,549625,5007,727,367,391967,0 84,2,2024-09-07 09:04:20:580,401500,401500,0,0,19699031,0,4757 84,3,2024-09-07 09:04:21:142,1,316,3,0,908,4924,316,0 85,0,2024-09-07 09:04:21:039,74871,0.7,74887,0.9,158816,0.8,205654,2.50 85,1,2024-09-07 09:04:20:571,554167,554167,0,0,258642104251,2733785764,545670,7129,1368,381,392006,0 85,2,2024-09-07 09:04:20:870,400095,400095,0,0,19528674,0,3656 85,3,2024-09-07 09:04:20:693,1,316,8,0,789,4334,316,0 86,0,2024-09-07 09:04:20:901,81819,0.7,84147,0.8,161133,0.8,217357,2.25 86,1,2024-09-07 09:04:20:833,555678,555678,0,0,258932534150,2714909815,548806,5807,1065,366,391961,0 86,2,2024-09-07 09:04:20:886,395844,395843,1,0,20251050,0,5004 86,3,2024-09-07 09:04:20:587,1,316,15,0,308,4491,316,0 87,0,2024-09-07 09:04:21:295,82510,0.9,82523,0.9,165449,1.2,220888,2.25 87,1,2024-09-07 09:04:20:557,554451,554451,0,0,258975980752,2712752583,548282,5559,610,366,392076,0 87,2,2024-09-07 09:04:21:071,398627,398627,0,0,18516306,0,4045 87,3,2024-09-07 09:04:21:798,1,316,4,0,473,4678,316,0 88,0,2024-09-07 09:04:21:543,76634,0.4,77203,0.6,154087,0.4,204756,2.00 88,1,2024-09-07 09:04:20:570,553849,553849,0,0,258893376637,2719069851,546162,6023,1664,365,392084,0 88,2,2024-09-07 09:04:20:702,401186,401186,0,0,20659610,0,3583 88,3,2024-09-07 09:04:21:266,1,316,5,0,435,3572,316,0 89,0,2024-09-07 09:04:21:784,79082,0.5,76445,0.7,151678,0.5,205701,2.00 89,1,2024-09-07 09:04:20:624,554047,554047,0,0,258600756845,2725918781,546318,6459,1270,382,391866,0 89,2,2024-09-07 09:04:21:137,398880,398880,0,0,19642395,0,2910 89,3,2024-09-07 09:04:21:798,1,316,4,0,385,6001,316,0 90,0,2024-09-07 09:04:21:691,79408,0.5,81520,0.7,166424,0.4,217594,2.00 90,1,2024-09-07 09:04:20:609,555405,555405,0,0,259027373007,2718027669,549637,5375,393,380,391825,0 90,2,2024-09-07 09:04:21:461,398104,398104,0,0,20937627,0,3060 90,3,2024-09-07 09:04:20:943,1,316,7,0,246,3612,316,0 91,0,2024-09-07 09:04:21:167,82602,0.5,80185,0.7,167317,0.5,220054,1.75 91,1,2024-09-07 09:04:20:572,553936,553936,0,0,259209763496,2731461596,546134,6760,1042,381,392047,0 91,2,2024-09-07 09:04:21:533,396812,396812,0,0,18753497,0,2896 91,3,2024-09-07 09:04:20:602,1,316,7,0,216,3080,316,0 92,0,2024-09-07 09:04:21:465,79068,0.5,81132,0.6,154925,0.4,209462,1.75 92,1,2024-09-07 09:04:20:581,555477,555477,0,0,258108075534,2699263982,551028,3764,685,382,392136,0 92,2,2024-09-07 09:04:21:361,402413,402413,0,0,16757574,0,3259 92,3,2024-09-07 09:04:21:018,1,316,2,0,167,2746,316,0 93,0,2024-09-07 09:04:21:054,75338,0.4,77185,0.6,147365,0.3,199687,1.75 93,1,2024-09-07 09:04:20:805,555424,555424,0,0,259191187152,2709851419,549434,4964,1026,366,391776,0 93,2,2024-09-07 09:04:20:951,400490,400490,0,0,19652988,0,4845 93,3,2024-09-07 09:04:21:409,1,316,2,0,190,3053,316,0 94,0,2024-09-07 09:04:21:619,79486,0.4,80178,0.5,160004,0.3,212380,1.75 94,1,2024-09-07 09:04:20:588,555708,555708,0,0,259751469164,2713730931,551824,3694,190,381,391850,0 94,2,2024-09-07 09:04:20:765,399859,399859,0,0,16944003,0,2443 94,3,2024-09-07 09:04:21:708,1,316,5,0,264,4034,316,0 95,0,2024-09-07 09:04:21:408,80695,0.4,80656,0.6,162044,0.3,215572,1.75 95,1,2024-09-07 09:04:20:867,557149,557149,0,0,260435420493,2711719128,552287,4465,397,367,391713,0 95,2,2024-09-07 09:04:21:016,395639,395639,0,0,16645826,0,3308 95,3,2024-09-07 09:04:21:717,1,316,1,0,718,5150,316,0 96,0,2024-09-07 09:04:21:070,80807,0.4,80913,0.5,161309,0.3,213417,1.75 96,1,2024-09-07 09:04:21:588,555344,555344,0,0,258813100144,2704120612,550837,3716,791,384,391955,0 96,2,2024-09-07 09:04:21:268,401601,401601,0,0,16705009,0,4042 96,3,2024-09-07 09:04:21:154,1,316,1,0,411,3441,316,0 97,0,2024-09-07 09:04:21:347,73761,0.3,73455,0.5,147425,0.3,195624,1.75 97,1,2024-09-07 09:04:20:781,557737,557737,0,0,259596051371,2693904576,553898,3168,671,367,392140,0 97,2,2024-09-07 09:04:20:608,402908,402908,0,0,16213819,0,3036 97,3,2024-09-07 09:04:20:582,1,316,6,0,214,3833,316,0 98,0,2024-09-07 09:04:21:777,78484,0.3,78403,0.5,157357,0.2,209628,1.50 98,1,2024-09-07 09:04:20:617,556684,556684,0,0,259254464463,2699067437,554042,2538,104,382,391997,0 98,2,2024-09-07 09:04:20:787,400284,400284,0,0,16133995,0,3080 98,3,2024-09-07 09:04:20:713,1,316,8,0,840,5208,316,0 99,0,2024-09-07 09:04:21:478,81783,0.4,82307,0.5,163916,0.3,218257,1.75 99,1,2024-09-07 09:04:21:937,556351,556351,0,0,259446661482,2704499697,552222,3376,753,381,392069,0 99,2,2024-09-07 09:04:21:438,397634,397634,0,0,17868001,0,3424 99,3,2024-09-07 09:04:20:585,1,316,7,0,199,2968,316,0 100,0,2024-09-07 09:04:21:480,81836,0.8,81801,1.0,163678,0.9,218343,2.50 100,1,2024-09-07 09:04:20:555,553122,553122,0,0,258394093526,2734344584,544673,6956,1493,381,391989,0 100,2,2024-09-07 09:04:21:817,399193,399182,11,0,18943886,0,5417 100,3,2024-09-07 09:04:21:731,1,316,3,0,559,5580,316,0 101,0,2024-09-07 09:04:21:701,77491,1.1,75514,1.0,147988,0.9,203075,2.25 101,1,2024-09-07 09:04:20:562,553631,553631,0,0,257913343990,2720788754,544581,7030,2020,368,391771,0 101,2,2024-09-07 09:04:21:762,399630,399630,0,0,21861482,0,4644 101,3,2024-09-07 09:04:20:955,1,316,1,0,448,3802,316,0 102,0,2024-09-07 09:04:20:965,75572,0.8,78181,0.8,157676,0.8,207094,2.25 102,1,2024-09-07 09:04:21:148,554214,554214,0,0,259010630954,2724379624,546919,6265,1030,369,391891,0 102,2,2024-09-07 09:04:21:743,400533,400479,54,0,18520413,0,6768 102,3,2024-09-07 09:04:21:629,1,316,25,0,410,3529,316,0 103,0,2024-09-07 09:04:21:653,84847,0.7,84861,0.8,160060,0.8,220313,2.00 103,1,2024-09-07 09:04:21:658,553519,553519,0,0,258173495622,2727308745,544784,6740,1995,381,391829,0 103,2,2024-09-07 09:04:20:621,396967,396967,0,0,19078317,0,3173 103,3,2024-09-07 09:04:20:766,1,316,2,0,916,4101,316,0 104,0,2024-09-07 09:04:21:079,82143,0.8,82564,1.0,163929,0.7,220959,2.50 104,1,2024-09-07 09:04:21:621,554672,554672,0,0,257796864875,2716947468,545792,7184,1696,365,392168,0 104,2,2024-09-07 09:04:21:683,396061,396061,0,0,20409836,0,3941 104,3,2024-09-07 09:04:21:418,1,316,43,0,1245,7112,316,0 105,0,2024-09-07 09:04:21:099,76377,0.9,74285,1.1,155537,1.1,204729,3.00 105,1,2024-09-07 09:04:20:566,555079,555079,0,0,258765607909,2720568146,546939,6809,1331,366,391797,0 105,2,2024-09-07 09:04:21:322,400522,400522,0,0,19787405,0,3509 105,3,2024-09-07 09:04:21:304,1,316,1,0,399,5260,316,0 106,0,2024-09-07 09:04:20:971,73270,0.9,75053,1.0,153371,1.0,201084,2.75 106,1,2024-09-07 09:04:21:775,553724,553724,0,0,258844646156,2726472163,544387,8127,1210,369,391865,0 106,2,2024-09-07 09:04:20:768,397662,397662,0,0,19521521,0,2795 106,3,2024-09-07 09:04:20:732,1,316,29,0,470,4236,316,0 107,0,2024-09-07 09:04:21:115,80470,1.1,80340,1.0,160734,1.5,214387,2.25 107,1,2024-09-07 09:04:20:585,553151,553151,0,0,257386521648,2717709675,545198,7039,914,381,392234,0 107,2,2024-09-07 09:04:21:297,398385,398384,1,0,19943602,0,5024 107,3,2024-09-07 09:04:21:761,1,316,12,0,353,4390,316,0 108,0,2024-09-07 09:04:21:832,81219,0.5,81667,0.6,162367,0.4,217379,1.75 108,1,2024-09-07 09:04:21:299,555492,555492,0,0,259847897213,2714254739,550474,4502,516,368,391857,0 108,2,2024-09-07 09:04:21:760,394713,394713,0,0,18657788,0,4246 108,3,2024-09-07 09:04:21:340,1,316,21,0,749,6627,316,0 109,0,2024-09-07 09:04:21:788,79893,0.4,78999,0.6,158232,0.3,211829,1.75 109,1,2024-09-07 09:04:20:583,553541,553541,0,0,259261276599,2723319975,548352,4527,662,383,392132,0 109,2,2024-09-07 09:04:20:932,399450,399450,0,0,18509189,0,3617 109,3,2024-09-07 09:04:21:145,1,316,6,0,379,3967,316,0 110,0,2024-09-07 09:04:21:749,74104,0.4,72143,0.6,150872,0.3,197885,1.75 110,1,2024-09-07 09:04:21:644,556756,556756,0,0,260028928467,2702045936,552761,3021,974,369,392045,0 110,2,2024-09-07 09:04:21:310,401159,401159,0,0,18230389,0,4067 110,3,2024-09-07 09:04:20:692,1,316,2,0,722,5008,316,0 111,0,2024-09-07 09:04:21:513,79556,0.4,78790,0.6,157797,0.4,211490,1.75 111,1,2024-09-07 09:04:21:001,556716,556716,0,0,260526927257,2706635163,553426,2938,352,382,391690,0 111,2,2024-09-07 09:04:21:119,398857,398857,0,0,17805680,0,4823 111,3,2024-09-07 09:04:20:917,1,316,15,0,379,4055,316,0 112,0,2024-09-07 09:04:20:927,82092,0.3,81460,0.4,163458,0.2,216932,1.50 112,1,2024-09-07 09:04:20:843,557090,557090,0,0,259267755316,2692706709,553631,2875,584,380,391624,0 112,2,2024-09-07 09:04:21:141,396060,396059,1,0,15930200,0,5036 112,3,2024-09-07 09:04:20:593,1,316,25,0,282,3338,316,0 113,0,2024-09-07 09:04:20:882,80972,0.3,80948,0.5,162426,0.2,216183,1.50 113,1,2024-09-07 09:04:21:686,558783,558783,0,0,260768904388,2693308443,555506,2693,584,366,391661,0 113,2,2024-09-07 09:04:21:310,402997,402997,0,0,14780537,0,3813 113,3,2024-09-07 09:04:20:685,1,316,1,0,340,3904,316,0 114,0,2024-09-07 09:04:20:901,75234,0.3,75769,0.5,150545,0.2,200499,1.75 114,1,2024-09-07 09:04:20:719,556657,556657,0,0,259776748494,2703221682,551538,3527,1592,381,391556,0 114,2,2024-09-07 09:04:20:873,402692,402691,1,0,16338876,0,5069 114,3,2024-09-07 09:04:21:278,1,316,1,0,395,2934,316,0 115,0,2024-09-07 09:04:20:558,77748,0.3,78168,0.4,156246,0.2,208053,1.50 115,1,2024-09-07 09:04:20:578,556518,556518,0,0,259264595444,2695821556,551661,4017,840,382,391656,0 115,2,2024-09-07 09:04:21:127,401334,401334,0,0,15134001,0,3453 115,3,2024-09-07 09:04:21:002,1,316,8,0,159,1943,316,0 116,0,2024-09-07 09:04:21:730,81541,0.9,81445,1.0,163252,1.2,218321,2.25 116,1,2024-09-07 09:04:20:955,553433,553433,0,0,257983474992,2727186768,545847,5321,2265,380,391782,0 116,2,2024-09-07 09:04:21:762,395967,395967,0,0,20391209,0,3529 116,3,2024-09-07 09:04:20:921,1,316,6,0,415,4078,316,0 117,0,2024-09-07 09:04:20:974,83008,0.8,82535,0.9,165317,0.9,221254,2.00 117,1,2024-09-07 09:04:21:589,554621,554621,0,0,258109900306,2706545945,548357,5596,668,370,392033,0 117,2,2024-09-07 09:04:21:134,401765,401765,0,0,16862380,0,3700 117,3,2024-09-07 09:04:21:093,1,316,0,0,490,4830,316,0 118,0,2024-09-07 09:04:21:790,74498,0.5,76536,0.7,156087,0.5,204007,2.00 118,1,2024-09-07 09:04:20:593,554282,554282,0,0,258313071273,2720841408,545512,6873,1897,366,391907,0 118,2,2024-09-07 09:04:21:606,400513,400513,0,0,18592219,0,2781 118,3,2024-09-07 09:04:21:775,1,316,1,0,235,3503,316,0 119,0,2024-09-07 09:04:21:357,76349,0.7,76726,0.8,153572,0.7,204436,2.25 119,1,2024-09-07 09:04:20:552,555637,555637,0,0,259176602401,2714840849,549083,5718,836,367,391780,0 119,2,2024-09-07 09:04:21:269,400287,400287,0,0,17635660,0,4174 119,3,2024-09-07 09:04:21:332,1,316,36,0,563,4889,316,0 120,0,2024-09-07 09:04:21:661,81275,0.7,81186,0.9,162441,0.7,218224,2.25 120,1,2024-09-07 09:04:20:890,555152,555152,0,0,258250277797,2716061810,548757,5840,555,368,391961,0 120,2,2024-09-07 09:04:20:793,398824,398823,1,0,20726995,0,5281 120,3,2024-09-07 09:04:21:290,1,316,2,0,241,4094,316,0 121,0,2024-09-07 09:04:21:692,82091,1.1,82169,1.0,164932,1.4,219661,2.25 121,1,2024-09-07 09:04:21:655,555333,555333,0,0,259035666472,2715262658,549446,5451,436,367,391840,0 121,2,2024-09-07 09:04:21:129,395425,395425,0,0,19915683,0,4127 121,3,2024-09-07 09:04:20:752,1,316,14,0,269,3820,316,0 122,0,2024-09-07 09:04:21:759,78286,0.8,76236,0.9,159796,0.9,209445,2.00 122,1,2024-09-07 09:04:20:922,553905,553905,0,0,258717708383,2720788091,545644,7000,1261,366,392130,0 122,2,2024-09-07 09:04:21:327,400514,400441,73,0,22461216,0,5989 122,3,2024-09-07 09:04:20:594,1,316,4,0,411,5915,316,0 123,0,2024-09-07 09:04:20:977,74523,0.8,72756,0.8,151752,0.9,199068,2.00 123,1,2024-09-07 09:04:20:589,553994,553994,0,0,258430537669,2732306564,542591,9278,2125,369,392039,0 123,2,2024-09-07 09:04:21:019,398773,398772,1,0,19353295,0,5215 123,3,2024-09-07 09:04:21:148,1,316,8,0,168,3803,316,0 124,0,2024-09-07 09:04:20:922,82261,0.4,82241,0.5,154962,0.3,213362,1.75 124,1,2024-09-07 09:04:21:025,556598,556598,0,0,258634865870,2695963793,551893,3797,908,367,392178,0 124,2,2024-09-07 09:04:21:018,400732,400679,53,0,17348016,0,6487 124,3,2024-09-07 09:04:20:762,1,316,1,0,490,3349,316,0 125,0,2024-09-07 09:04:21:429,81087,0.4,80635,0.6,162349,0.4,215960,1.75 125,1,2024-09-07 09:04:20:894,555007,555007,0,0,259813755897,2717082985,550148,4218,641,383,391702,0 125,2,2024-09-07 09:04:21:122,395748,395748,0,0,17756737,0,4534 125,3,2024-09-07 09:04:21:133,1,316,20,0,709,4547,316,0 126,0,2024-09-07 09:04:21:432,80573,0.4,82802,0.6,158295,0.4,214264,1.75 126,1,2024-09-07 09:04:20:555,557179,557179,0,0,259921116826,2697121200,553590,3306,283,365,391987,0 126,2,2024-09-07 09:04:20:611,402347,402347,0,0,17830380,0,4539 126,3,2024-09-07 09:04:20:907,1,316,1,0,207,4061,316,0 127,0,2024-09-07 09:04:21:610,73433,0.3,73868,0.5,147229,0.3,195572,1.75 127,1,2024-09-07 09:04:20:578,556361,556361,0,0,260069317854,2705152249,551018,4483,860,365,392187,0 127,2,2024-09-07 09:04:20:641,401481,401481,0,0,16490409,0,3897 127,3,2024-09-07 09:04:21:267,1,316,30,0,968,3944,316,0 128,0,2024-09-07 09:04:21:575,78721,0.3,78860,0.5,157476,0.2,209893,1.50 128,1,2024-09-07 09:04:21:612,556175,556175,0,0,260054843484,2704034324,552085,3721,369,367,391798,0 128,2,2024-09-07 09:04:21:384,400927,400927,0,0,15505014,0,2915 128,3,2024-09-07 09:04:20:767,1,316,1,0,1082,5819,316,0 129,0,2024-09-07 09:04:21:003,82351,0.3,82013,0.5,164416,0.3,218417,1.75 129,1,2024-09-07 09:04:20:572,553258,553258,0,0,258702465004,2713332523,547628,4375,1255,379,391835,0 129,2,2024-09-07 09:04:20:687,396896,396896,0,0,16225087,0,4031 129,3,2024-09-07 09:04:20:692,1,316,2,0,469,4380,316,0 130,0,2024-09-07 09:04:21:726,82679,0.5,82285,0.6,165290,0.5,219614,1.75 130,1,2024-09-07 09:04:20:585,556744,556744,0,0,259553167000,2702039449,553344,3121,279,381,391825,0 130,2,2024-09-07 09:04:21:127,401852,401852,0,0,16033641,0,4067 130,3,2024-09-07 09:04:21:295,1,316,25,0,450,4054,316,0 131,0,2024-09-07 09:04:21:941,75721,0.4,76212,0.5,153140,0.3,202805,1.75 131,1,2024-09-07 09:04:21:821,556231,556231,0,0,259031745087,2706312813,551800,3686,745,381,391865,0 131,2,2024-09-07 09:04:20:577,403872,403872,0,0,14867588,0,2415 131,3,2024-09-07 09:04:21:690,1,316,1,0,392,3424,316,0 132,0,2024-09-07 09:04:21:465,77336,0.5,78203,0.6,156042,0.4,207696,2.00 132,1,2024-09-07 09:04:20:576,553759,553759,0,0,257928768274,2721869168,545129,7166,1464,381,392097,0 132,2,2024-09-07 09:04:20:708,399457,399457,0,0,19961070,0,4606 132,3,2024-09-07 09:04:21:690,1,316,4,0,804,6099,316,0 133,0,2024-09-07 09:04:21:551,80351,0.5,82061,0.6,168539,0.5,218941,2.00 133,1,2024-09-07 09:04:20:595,553805,553805,0,0,258461283314,2729672644,545643,7080,1082,383,391914,0 133,2,2024-09-07 09:04:21:101,397044,397044,0,0,21077083,0,4315 133,3,2024-09-07 09:04:21:298,1,316,4,0,479,3497,316,0 134,0,2024-09-07 09:04:20:986,83241,0.5,83212,0.7,166332,0.5,222169,2.00 134,1,2024-09-07 09:04:20:619,553911,553911,0,0,258019878732,2715024207,545662,6395,1854,366,391718,0 134,2,2024-09-07 09:04:21:761,397432,397432,0,0,17584150,0,3847 134,3,2024-09-07 09:04:20:763,1,316,13,0,739,4236,316,0 135,0,2024-09-07 09:04:21:100,74673,0.7,74671,0.8,158449,0.8,204387,2.00 135,1,2024-09-07 09:04:21:587,554346,554346,0,0,259085333520,2732157615,546000,7111,1235,380,391805,0 135,2,2024-09-07 09:04:20:703,401834,401834,0,0,19135567,0,3981 135,3,2024-09-07 09:04:21:002,1,316,8,0,299,2550,316,0 136,0,2024-09-07 09:04:21:633,76312,0.6,76378,0.8,152052,0.6,203307,2.25 136,1,2024-09-07 09:04:21:449,554568,554568,0,0,258201535414,2717021402,547567,6306,695,382,391685,0 136,2,2024-09-07 09:04:21:133,400355,400355,0,0,19076389,0,3506 136,3,2024-09-07 09:04:21:110,1,316,2,0,637,3822,316,0 137,0,2024-09-07 09:04:20:940,83028,0.6,80797,0.8,158698,0.7,215700,2.00 137,1,2024-09-07 09:04:20:576,553542,553542,0,0,258237365294,2717960922,543974,7688,1880,366,391708,0 137,2,2024-09-07 09:04:21:710,398984,398984,0,0,21376891,0,3185 137,3,2024-09-07 09:04:20:768,1,316,2,0,382,3940,316,0 138,0,2024-09-07 09:04:21:747,80768,1.4,80905,1.1,162418,2.0,216324,2.50 138,1,2024-09-07 09:04:21:687,554512,554512,0,0,259935021641,2735743776,545479,7438,1595,368,391954,0 138,2,2024-09-07 09:04:20:587,395481,395481,0,0,19024853,0,4988 138,3,2024-09-07 09:04:20:611,1,316,3,0,1160,4728,316,0 139,0,2024-09-07 09:04:21:358,78331,1.3,78750,1.1,157598,2.0,209992,2.25 139,1,2024-09-07 09:04:20:580,552213,552213,0,0,257628164646,2743913163,541029,8548,2636,381,391892,0 139,2,2024-09-07 09:04:20:701,398827,398827,0,0,20680355,0,3097 139,3,2024-09-07 09:04:21:662,1,316,8,0,257,3616,316,0 140,0,2024-09-07 09:04:21:593,74440,0.3,74000,0.5,148579,0.2,198185,1.75 140,1,2024-09-07 09:04:21:546,557721,557721,0,0,260698985405,2692739369,554519,2764,438,365,391606,0 140,2,2024-09-07 09:04:20:693,401486,401485,1,0,16990221,0,5036 140,3,2024-09-07 09:04:20:767,1,316,4,0,247,2713,316,0 141,0,2024-09-07 09:04:21:707,79287,0.4,81437,0.5,155625,0.3,211694,1.75 141,1,2024-09-07 09:04:20:866,557083,557083,0,0,259858068156,2704769691,552524,3697,862,379,391614,0 141,2,2024-09-07 09:04:21:686,399677,399677,0,0,16676005,0,3360 141,3,2024-09-07 09:04:21:051,1,316,302,0,391,3629,316,0 142,0,2024-09-07 09:04:21:324,82182,0.4,81425,0.5,162532,0.3,217240,1.75 142,1,2024-09-07 09:04:20:590,556548,556548,0,0,259407067409,2708763362,552664,3535,349,382,392102,0 142,2,2024-09-07 09:04:21:309,395267,395235,32,0,17907858,0,6028 142,3,2024-09-07 09:04:21:748,1,316,10,0,484,4049,316,0 143,0,2024-09-07 09:04:21:375,81227,0.5,81110,0.6,162627,0.4,216211,1.75 143,1,2024-09-07 09:04:20:560,556745,556745,0,0,259608325795,2697071116,553069,3527,149,367,391705,0 143,2,2024-09-07 09:04:20:768,401142,401142,0,0,17296386,0,3123 143,3,2024-09-07 09:04:21:151,1,316,8,0,303,3888,316,0 144,0,2024-09-07 09:04:21:492,72458,0.6,74565,0.8,151736,0.5,198629,2.00 144,1,2024-09-07 09:04:20:574,554242,554242,0,0,258085368205,2709381981,549398,3904,940,381,391649,0 144,2,2024-09-07 09:04:21:755,402759,402759,0,0,15664939,0,3473 144,3,2024-09-07 09:04:21:740,1,316,1,0,249,3347,316,0 145,0,2024-09-07 09:04:21:359,75052,0.7,75110,0.9,159292,0.6,205502,2.50 145,1,2024-09-07 09:04:20:561,553532,553532,0,0,258462924335,2722341684,546245,6147,1140,382,391759,0 145,2,2024-09-07 09:04:21:430,398567,398567,0,0,19159712,0,3903 145,3,2024-09-07 09:04:20:896,1,316,66,0,622,5014,316,0 146,0,2024-09-07 09:04:21:601,82026,0.6,81249,0.8,163858,0.6,217088,2.25 146,1,2024-09-07 09:04:21:602,554853,554853,0,0,258591518406,2725847331,545167,7676,2010,368,391770,0 146,2,2024-09-07 09:04:21:696,396149,396149,0,0,19049173,0,2730 146,3,2024-09-07 09:04:21:278,1,316,1,0,1520,6938,316,0 147,0,2024-09-07 09:04:21:708,82999,0.6,82728,0.8,164778,0.6,220792,2.25 147,1,2024-09-07 09:04:21:380,556464,556464,0,0,259606272267,2706507149,550813,4851,800,368,391791,0 147,2,2024-09-07 09:04:21:013,400085,400085,0,0,16677699,0,2789 147,3,2024-09-07 09:04:20:919,1,316,11,0,730,4833,316,0 0,0,2024-09-07 09:04:31:770,79785,0.7,79789,0.8,169372,0.8,219066,2.00 0,1,2024-09-07 09:04:30:805,557249,557249,0,0,259860856942,2720496292,553133,3874,242,370,391896,0 0,2,2024-09-07 09:04:31:067,400391,400391,0,0,16516544,0,4480 0,3,2024-09-07 09:04:30:974,1,317,12,0,431,4421,317,0 1,0,2024-09-07 09:04:31:779,82707,0.9,82122,1.0,165186,1.0,220876,2.00 1,1,2024-09-07 09:04:30:559,556420,556420,0,0,259012940937,2716226831,550839,4356,1225,370,391857,0 1,2,2024-09-07 09:04:30:639,397501,397501,0,0,15932259,0,3267 1,3,2024-09-07 09:04:31:314,1,317,2,0,262,3803,317,0 2,0,2024-09-07 09:04:31:576,78568,0.6,78920,0.8,156458,0.7,209578,2.00 2,1,2024-09-07 09:04:30:859,558225,558225,0,0,260372147913,2706589686,555331,2601,293,380,391745,0 2,2,2024-09-07 09:04:31:266,403108,403108,0,0,15929415,0,3594 2,3,2024-09-07 09:04:30:695,1,317,1,0,357,3249,317,0 3,0,2024-09-07 09:04:31:751,74989,0.4,74986,0.6,150002,0.4,200001,2.00 3,1,2024-09-07 09:04:31:619,557311,557311,0,0,259663675988,2707836885,552214,4474,623,380,391591,0 3,2,2024-09-07 09:04:31:145,402122,402099,23,0,16425284,0,5851 3,3,2024-09-07 09:04:31:752,1,317,3,0,103,1957,317,0 4,0,2024-09-07 09:04:31:796,77841,0.4,80115,0.5,162612,0.4,213366,1.75 4,1,2024-09-07 09:04:30:607,555901,555901,0,0,259268520482,2733933997,548602,5945,1354,371,391992,0 4,2,2024-09-07 09:04:31:024,399354,399354,0,0,19206306,0,4528 4,3,2024-09-07 09:04:31:028,1,317,1,0,448,4627,317,0 5,0,2024-09-07 09:04:31:416,81092,0.5,81420,0.6,162285,0.5,216174,1.75 5,1,2024-09-07 09:04:30:755,556323,556323,0,0,259613717781,2734117669,549167,5800,1356,368,392005,0 5,2,2024-09-07 09:04:31:830,395666,395666,0,0,18133868,0,2432 5,3,2024-09-07 09:04:31:753,1,317,2,0,457,4792,317,0 6,0,2024-09-07 09:04:30:921,80989,0.5,80436,0.7,160804,0.4,214529,2.00 6,1,2024-09-07 09:04:30:747,556188,556188,0,0,259397899923,2714602444,549833,5279,1076,379,391694,0 6,2,2024-09-07 09:04:31:121,402218,402218,0,0,17552431,0,4816 6,3,2024-09-07 09:04:31:275,1,317,1,0,340,3679,317,0 7,0,2024-09-07 09:04:31:531,73487,0.5,73703,0.6,147004,0.4,195760,2.00 7,1,2024-09-07 09:04:30:853,555669,555669,0,0,259445412667,2729303574,547605,6888,1176,382,391747,0 7,2,2024-09-07 09:04:30:771,402865,402865,0,0,17644782,0,4791 7,3,2024-09-07 09:04:30:852,1,317,8,0,398,3802,317,0 8,0,2024-09-07 09:04:31:359,78909,0.4,78771,0.5,157982,0.4,210771,1.75 8,1,2024-09-07 09:04:31:029,554853,554853,0,0,259176065859,2736159209,544712,7865,2276,366,392144,0 8,2,2024-09-07 09:04:30:796,396981,396981,0,0,21913250,0,3220 8,3,2024-09-07 09:04:30:584,1,317,42,0,538,5652,317,0 9,0,2024-09-07 09:04:31:127,82110,0.4,79848,0.6,166991,0.4,218456,1.75 9,1,2024-09-07 09:04:30:570,555677,555677,0,0,259527978925,2742594814,546641,6913,2123,369,392001,0 9,2,2024-09-07 09:04:31:091,396359,396359,0,0,19239850,0,3360 9,3,2024-09-07 09:04:31:763,1,317,1,0,496,4881,317,0 10,0,2024-09-07 09:04:31:615,82446,0.3,81766,0.5,164289,0.3,218708,1.75 10,1,2024-09-07 09:04:30:582,556413,556413,0,0,259956630969,2728690213,548534,6770,1109,381,391741,0 10,2,2024-09-07 09:04:30:761,401494,401494,0,0,20977195,0,4264 10,3,2024-09-07 09:04:30:870,1,317,12,0,296,2954,317,0 11,0,2024-09-07 09:04:31:007,75594,0.4,73320,0.6,153427,0.4,202808,1.75 11,1,2024-09-07 09:04:30:579,556299,556299,0,0,259585515616,2733566108,546290,7561,2448,383,391664,0 11,2,2024-09-07 09:04:31:129,402395,402395,0,0,19025396,0,4130 11,3,2024-09-07 09:04:31:321,1,317,8,0,843,5156,317,0 12,0,2024-09-07 09:04:30:962,78493,0.4,78385,0.6,156893,0.4,208736,1.75 12,1,2024-09-07 09:04:30:957,556973,556973,0,0,259056249452,2706200287,552129,4354,490,370,391870,0 12,2,2024-09-07 09:04:31:566,401466,401466,0,0,18472449,0,3469 12,3,2024-09-07 09:04:31:059,1,317,60,0,386,5398,317,0 13,0,2024-09-07 09:04:31:332,83029,0.5,82668,0.6,165361,0.5,219983,1.75 13,1,2024-09-07 09:04:31:530,556069,556069,0,0,259534939162,2734204793,550179,4482,1408,382,391740,0 13,2,2024-09-07 09:04:30:597,399888,399888,0,0,16907102,0,3287 13,3,2024-09-07 09:04:31:770,1,317,1,0,522,5280,317,0 14,0,2024-09-07 09:04:30:570,83626,0.4,84286,0.6,166658,0.4,222496,1.75 14,1,2024-09-07 09:04:31:561,560119,560119,0,0,261248312268,2710652469,555435,4325,359,364,391571,0 14,2,2024-09-07 09:04:30:763,398925,398895,30,0,18658390,0,6104 14,3,2024-09-07 09:04:31:122,1,317,1,0,1168,4053,317,0 15,0,2024-09-07 09:04:31:557,77383,0.4,77528,0.7,155008,0.4,206302,2.00 15,1,2024-09-07 09:04:31:607,557594,557594,0,0,260133697316,2713270091,553259,3515,820,381,391619,0 15,2,2024-09-07 09:04:31:018,404257,404257,0,0,15238860,0,3622 15,3,2024-09-07 09:04:31:406,1,317,1,0,1126,6119,317,0 16,0,2024-09-07 09:04:30:980,76302,0.6,76692,0.8,152747,0.7,203845,2.25 16,1,2024-09-07 09:04:30:565,557869,557869,0,0,259992658078,2717280218,553590,3919,360,370,391756,0 16,2,2024-09-07 09:04:31:438,401013,401013,0,0,17484961,0,4719 16,3,2024-09-07 09:04:31:143,1,317,11,0,317,4584,317,0 17,0,2024-09-07 09:04:31:778,83346,0.7,81413,0.9,159113,0.8,216696,2.00 17,1,2024-09-07 09:04:30:568,556783,556783,0,0,259203162728,2724741645,550558,4968,1257,368,391899,0 17,2,2024-09-07 09:04:31:671,402760,402760,0,0,17121773,0,2857 17,3,2024-09-07 09:04:30:579,1,317,1,0,298,5190,317,0 18,0,2024-09-07 09:04:30:947,80699,0.7,81072,0.8,161712,0.7,216190,2.25 18,1,2024-09-07 09:04:31:640,558365,558365,0,0,260842172907,2706321242,555032,3022,311,367,391649,0 18,2,2024-09-07 09:04:31:758,398807,398807,0,0,15906014,0,3541 18,3,2024-09-07 09:04:30:900,1,317,9,0,163,2802,317,0 19,0,2024-09-07 09:04:31:540,78974,0.6,79613,0.8,157767,0.6,210105,2.25 19,1,2024-09-07 09:04:30:569,558381,558381,0,0,260821340310,2709686057,553266,4276,839,367,391777,0 19,2,2024-09-07 09:04:31:753,404121,404121,0,0,14591405,0,3988 19,3,2024-09-07 09:04:31:133,1,317,0,0,524,2429,317,0 20,0,2024-09-07 09:04:31:411,74177,0.6,74219,0.7,148517,0.6,198286,2.00 20,1,2024-09-07 09:04:30:567,556505,556505,0,0,260257095209,2721746715,552184,3917,404,369,391886,0 20,2,2024-09-07 09:04:30:936,402486,402486,0,0,17553558,0,3721 20,3,2024-09-07 09:04:30:592,1,317,1,0,414,5210,317,0 21,0,2024-09-07 09:04:31:162,79560,0.5,79686,0.7,159122,0.5,211508,2.00 21,1,2024-09-07 09:04:31:567,555396,555396,0,0,258594085777,2728785399,547536,5984,1876,368,392016,0 21,2,2024-09-07 09:04:31:073,399099,399099,0,0,20693048,0,3747 21,3,2024-09-07 09:04:31:407,1,317,1,0,713,3927,317,0 22,0,2024-09-07 09:04:31:724,81232,0.6,81505,0.8,163027,0.6,215767,2.25 22,1,2024-09-07 09:04:31:027,556451,556451,0,0,258448490470,2721476127,547963,6669,1819,382,391667,0 22,2,2024-09-07 09:04:30:759,395848,395848,0,0,16796095,0,3134 22,3,2024-09-07 09:04:31:069,1,317,2,0,228,2825,317,0 23,0,2024-09-07 09:04:31:367,80713,0.6,80624,0.7,161588,0.5,215105,2.25 23,1,2024-09-07 09:04:31:007,556330,556330,0,0,259931009742,2735950748,545840,7332,3158,365,391690,0 23,2,2024-09-07 09:04:31:093,403096,403096,0,0,16530009,0,3010 23,3,2024-09-07 09:04:31:758,1,317,1,0,645,3936,317,0 24,0,2024-09-07 09:04:30:821,75424,0.4,75233,0.6,151067,0.4,200194,1.75 24,1,2024-09-07 09:04:30:604,555603,555603,0,0,258873113763,2721899272,548723,5353,1527,368,392269,0 24,2,2024-09-07 09:04:31:071,401716,401716,0,0,20720670,0,3607 24,3,2024-09-07 09:04:31:686,1,317,9,0,468,4405,317,0 25,0,2024-09-07 09:04:31:396,80232,0.4,78233,0.6,153426,0.4,209641,2.00 25,1,2024-09-07 09:04:30:563,556545,556545,0,0,260048308325,2733831961,548871,6308,1366,371,391928,0 25,2,2024-09-07 09:04:31:608,400225,400225,0,0,20713382,0,3978 25,3,2024-09-07 09:04:31:019,1,317,1,0,255,3278,317,0 26,0,2024-09-07 09:04:31:718,81666,0.5,79814,0.6,167567,0.4,217851,2.00 26,1,2024-09-07 09:04:31:541,557338,557338,0,0,259198341367,2722711902,548491,7311,1536,380,391748,0 26,2,2024-09-07 09:04:30:861,397838,397838,0,0,20332847,0,2809 26,3,2024-09-07 09:04:31:717,1,317,3,0,796,4330,317,0 27,0,2024-09-07 09:04:31:739,83346,0.5,83362,0.6,165315,0.4,220814,2.00 27,1,2024-09-07 09:04:31:679,558685,558685,0,0,260286489540,2714522616,553421,4499,765,381,391626,0 27,2,2024-09-07 09:04:30:871,398293,398228,65,0,20224173,0,5699 27,3,2024-09-07 09:04:31:026,1,317,9,0,564,3374,317,0 28,0,2024-09-07 09:04:31:418,77180,0.4,76901,0.6,154168,0.4,205693,2.00 28,1,2024-09-07 09:04:30:804,557747,557747,0,0,260815427006,2721848542,553372,3673,702,383,391646,0 28,2,2024-09-07 09:04:31:763,402657,402657,0,0,17737779,0,2915 28,3,2024-09-07 09:04:31:788,1,317,0,0,502,3489,317,0 29,0,2024-09-07 09:04:31:400,79281,0.4,77301,0.6,151391,0.3,207047,1.75 29,1,2024-09-07 09:04:31:612,559202,559202,0,0,261478468920,2711886963,554866,3653,683,369,391753,0 29,2,2024-09-07 09:04:30:861,399917,399917,0,0,17164189,0,4986 29,3,2024-09-07 09:04:30:969,1,317,8,0,459,3961,317,0 30,0,2024-09-07 09:04:31:464,81884,0.6,79535,0.8,166411,0.5,218046,2.00 30,1,2024-09-07 09:04:30:579,558757,558757,0,0,260482497973,2710195263,553939,4108,710,382,391672,0 30,2,2024-09-07 09:04:31:278,400007,400007,0,0,16166560,0,3161 30,3,2024-09-07 09:04:30:581,1,317,1,0,519,3372,317,0 31,0,2024-09-07 09:04:31:761,82334,0.4,82570,0.6,165323,0.4,220536,2.00 31,1,2024-09-07 09:04:30:563,561209,561209,0,0,261855872284,2689194368,558669,1982,558,356,391712,0 31,2,2024-09-07 09:04:31:277,398149,398149,0,0,17886224,0,3525 31,3,2024-09-07 09:04:31:711,1,317,5,0,220,2808,317,0 32,0,2024-09-07 09:04:31:446,78598,0.3,78908,0.5,157786,0.2,209894,1.75 32,1,2024-09-07 09:04:30:841,558599,558599,0,0,260506671714,2706939140,555531,2623,445,381,391646,0 32,2,2024-09-07 09:04:31:000,403194,403194,0,0,14957838,0,3155 32,3,2024-09-07 09:04:31:031,1,317,3,0,227,2405,317,0 33,0,2024-09-07 09:04:31:505,75314,0.3,74892,0.5,150288,0.2,200730,1.75 33,1,2024-09-07 09:04:30:582,559108,559108,0,0,261670741109,2712272350,554702,3575,831,369,391730,0 33,2,2024-09-07 09:04:30:770,402856,402821,35,0,17020128,0,7012 33,3,2024-09-07 09:04:30:904,1,317,2,0,329,3507,317,0 34,0,2024-09-07 09:04:30:953,80383,0.3,82647,0.5,158257,0.2,212692,1.75 34,1,2024-09-07 09:04:31:044,560294,560294,0,0,261144081260,2685835410,558903,1383,8,367,391562,0 34,2,2024-09-07 09:04:30:771,401480,401480,0,0,16364474,0,3577 34,3,2024-09-07 09:04:31:688,1,317,26,0,299,2420,317,0 35,0,2024-09-07 09:04:30:866,80403,0.4,80816,0.5,162596,0.3,216793,1.75 35,1,2024-09-07 09:04:31:067,558759,558759,0,0,260792599515,2704968253,555158,2821,780,382,391589,0 35,2,2024-09-07 09:04:31:585,397152,397152,0,0,16130249,0,2653 35,3,2024-09-07 09:04:30:930,1,317,1,0,418,4166,317,0 36,0,2024-09-07 09:04:31:523,80802,0.5,80879,0.7,161693,0.4,214209,2.00 36,1,2024-09-07 09:04:30:585,557975,557975,0,0,259428785832,2714453592,550750,5567,1658,366,391759,0 36,2,2024-09-07 09:04:31:754,402688,402688,0,0,18628745,0,3875 36,3,2024-09-07 09:04:30:870,1,317,1,0,416,5233,317,0 37,0,2024-09-07 09:04:31:376,73365,0.5,73285,0.7,146781,0.5,196585,2.00 37,1,2024-09-07 09:04:30:571,556684,556677,0,7,259800595865,2718874817,549908,4684,2085,365,391570,0 37,2,2024-09-07 09:04:31:148,401612,401597,15,0,18306037,0,5815 37,3,2024-09-07 09:04:31:773,1,317,7,0,888,5469,317,0 38,0,2024-09-07 09:04:31:456,78287,0.5,76043,0.7,159221,0.4,208822,2.00 38,1,2024-09-07 09:04:31:605,558610,558610,0,0,260057739849,2711444204,552576,5277,757,368,391821,0 38,2,2024-09-07 09:04:30:759,400291,400244,47,0,18897663,0,6710 38,3,2024-09-07 09:04:30:997,1,317,0,0,689,4577,317,0 39,0,2024-09-07 09:04:31:766,83482,0.7,81773,0.8,159053,0.7,217251,2.00 39,1,2024-09-07 09:04:30:720,557309,557309,0,0,259713685686,2721533847,548948,6901,1460,365,391594,0 39,2,2024-09-07 09:04:31:417,397070,397070,0,0,16837397,0,2689 39,3,2024-09-07 09:04:30:713,1,317,1,0,324,4169,317,0 40,0,2024-09-07 09:04:31:489,81440,0.8,82133,1.0,164035,0.8,218334,2.75 40,1,2024-09-07 09:04:30:582,557717,557717,0,0,259161801821,2719123599,549169,7038,1510,368,391668,0 40,2,2024-09-07 09:04:31:310,400929,400928,1,0,20344354,0,5137 40,3,2024-09-07 09:04:31:147,1,317,1,0,1028,4950,317,0 41,0,2024-09-07 09:04:31:045,75448,1.5,77304,1.3,147535,2.4,200717,3.25 41,1,2024-09-07 09:04:30:776,556697,556697,0,0,259756040529,2722289103,549650,6344,703,370,391742,0 41,2,2024-09-07 09:04:30:758,401277,401277,0,0,19497178,0,3356 41,3,2024-09-07 09:04:31:686,1,317,9,0,366,3339,317,0 42,0,2024-09-07 09:04:31:497,77248,1.0,77298,1.1,154848,1.1,204794,2.75 42,1,2024-09-07 09:04:31:439,555902,555902,0,0,259031504755,2728148811,546376,7768,1758,380,391675,0 42,2,2024-09-07 09:04:31:134,400918,400918,0,0,19588015,0,3790 42,3,2024-09-07 09:04:31:014,1,317,0,0,446,3045,317,0 43,0,2024-09-07 09:04:30:924,81334,1.0,79340,1.1,166120,1.2,217503,2.50 43,1,2024-09-07 09:04:30:580,557562,557562,0,0,260140095499,2723715155,549331,6793,1438,366,391696,0 43,2,2024-09-07 09:04:31:744,398773,398773,0,0,19314246,0,3812 43,3,2024-09-07 09:04:31:753,1,317,1,0,467,3996,317,0 44,0,2024-09-07 09:04:30:931,83329,0.5,83802,0.6,167068,0.4,222558,2.00 44,1,2024-09-07 09:04:30:565,558895,558895,0,0,260363599442,2696901391,553840,4008,1047,356,391809,0 44,2,2024-09-07 09:04:31:269,398685,398685,0,0,16132898,0,4344 44,3,2024-09-07 09:04:31:101,1,317,0,0,817,4392,317,0 45,0,2024-09-07 09:04:31:761,76455,0.5,74621,0.7,156561,0.5,206263,2.00 45,1,2024-09-07 09:04:31:031,557853,557853,0,0,260614499474,2712392673,553421,3956,476,382,391917,0 45,2,2024-09-07 09:04:31:284,402866,402866,0,0,16714874,0,3596 45,3,2024-09-07 09:04:30:976,1,317,2,0,271,3114,317,0 46,0,2024-09-07 09:04:30:954,75675,0.6,75695,0.8,151666,0.7,201615,2.25 46,1,2024-09-07 09:04:30:579,559756,559756,0,0,261279312798,2701802329,556053,3277,426,366,391572,0 46,2,2024-09-07 09:04:30:593,401819,401819,0,0,15789846,0,2920 46,3,2024-09-07 09:04:31:138,1,317,1,0,908,4788,317,0 47,0,2024-09-07 09:04:31:111,81319,0.5,81385,0.6,163059,0.4,215970,2.00 47,1,2024-09-07 09:04:30:567,559104,559104,0,0,260537506445,2699650772,554621,3668,815,366,391641,0 47,2,2024-09-07 09:04:30:914,402536,402536,0,0,16352759,0,4477 47,3,2024-09-07 09:04:31:133,1,317,9,0,529,3540,317,0 48,0,2024-09-07 09:04:31:565,82354,0.3,81872,0.4,163239,0.2,218391,1.50 48,1,2024-09-07 09:04:31:060,558528,558528,0,0,260788912723,2710402558,554976,3251,301,384,391710,0 48,2,2024-09-07 09:04:30:711,397344,397344,0,0,14692603,0,3031 48,3,2024-09-07 09:04:30:761,1,317,7,0,339,2802,317,0 49,0,2024-09-07 09:04:31:722,81611,0.3,80142,0.5,155261,0.3,212491,1.75 49,1,2024-09-07 09:04:31:028,557999,557999,0,0,259795455491,2708279682,553688,3127,1184,382,391809,0 49,2,2024-09-07 09:04:31:806,403634,403634,0,0,15769420,0,4426 49,3,2024-09-07 09:04:31:417,1,317,25,0,408,3439,317,0 50,0,2024-09-07 09:04:31:527,74432,0.3,73642,0.5,148229,0.2,197912,1.75 50,1,2024-09-07 09:04:31:020,560227,560227,0,0,261324086173,2704483271,556413,3440,374,368,391565,0 50,2,2024-09-07 09:04:31:076,402827,402827,0,0,14904453,0,2263 50,3,2024-09-07 09:04:31:321,1,317,4,0,335,3184,317,0 51,0,2024-09-07 09:04:31:688,81651,0.3,79695,0.5,155733,0.2,212413,1.75 51,1,2024-09-07 09:04:31:680,560005,560005,0,0,261841988160,2703887937,556751,2279,975,365,391706,0 51,2,2024-09-07 09:04:31:319,401200,401200,0,0,14435596,0,3337 51,3,2024-09-07 09:04:31:027,1,317,21,0,678,2537,317,0 52,0,2024-09-07 09:04:31:431,81764,0.5,81706,0.7,163305,0.5,217096,2.00 52,1,2024-09-07 09:04:30:581,557099,557099,0,0,259613922547,2724176819,548550,7239,1310,368,391722,0 52,2,2024-09-07 09:04:31:756,393861,393823,38,0,18993877,0,6742 52,3,2024-09-07 09:04:30:674,1,317,1,0,1782,5148,317,0 53,0,2024-09-07 09:04:31:757,80582,0.6,78367,0.8,163855,0.7,214643,2.25 53,1,2024-09-07 09:04:30:775,556033,556033,0,0,259738331548,2731178175,546613,6839,2581,367,391702,0 53,2,2024-09-07 09:04:31:335,402957,402957,0,0,16293588,0,2727 53,3,2024-09-07 09:04:30:697,1,317,0,0,308,3178,317,0 54,0,2024-09-07 09:04:31:620,73788,0.6,74235,0.8,147688,0.4,197330,2.50 54,1,2024-09-07 09:04:30:580,557682,557682,0,0,260782539178,2713572413,552090,4990,602,367,391659,0 54,2,2024-09-07 09:04:30:870,403448,403442,6,0,18871914,0,5382 54,3,2024-09-07 09:04:30:763,1,317,1,0,676,5105,317,0 55,0,2024-09-07 09:04:31:761,75484,0.6,78215,0.8,157298,0.6,205815,2.50 55,1,2024-09-07 09:04:30:763,557279,557279,0,0,260139742054,2711555242,550659,5711,909,365,391731,0 55,2,2024-09-07 09:04:30:729,400291,400291,0,0,18368614,0,3563 55,3,2024-09-07 09:04:30:674,1,317,8,0,304,3622,317,0 56,0,2024-09-07 09:04:31:580,83575,1.3,78807,1.2,162602,1.8,217493,2.75 56,1,2024-09-07 09:04:30:594,554872,554872,0,0,258962266102,2739733667,546145,7098,1629,381,391867,0 56,2,2024-09-07 09:04:31:319,397175,397175,0,0,19221349,0,3567 56,3,2024-09-07 09:04:31:059,1,317,1,0,705,4370,317,0 57,0,2024-09-07 09:04:30:951,82010,1.5,81768,1.2,164046,2.1,219384,3.00 57,1,2024-09-07 09:04:30:987,556607,556607,0,0,259483220937,2724677502,549795,6094,718,366,392032,0 57,2,2024-09-07 09:04:31:425,400755,400755,0,0,20966940,0,3317 57,3,2024-09-07 09:04:31:745,1,317,1,0,359,3835,317,0 58,0,2024-09-07 09:04:30:555,75654,0.9,73614,1.0,154206,1.1,202386,2.50 58,1,2024-09-07 09:04:30:585,557564,557561,0,3,259976084851,2723065728,549446,7033,1082,367,391603,3 58,2,2024-09-07 09:04:31:071,402215,402215,0,0,19249177,0,2549 58,3,2024-09-07 09:04:31:068,1,317,10,0,1043,3379,317,0 59,0,2024-09-07 09:04:31:754,76745,0.9,76412,1.0,152778,1.0,203254,2.75 59,1,2024-09-07 09:04:30:804,557304,557304,0,0,260028004394,2727236638,549446,6338,1520,369,391586,0 59,2,2024-09-07 09:04:30:582,401768,401768,0,0,18953680,0,2867 59,3,2024-09-07 09:04:31:739,1,317,5,0,1015,4252,317,0 60,0,2024-09-07 09:04:31:752,82027,0.6,82095,0.7,164886,0.6,218808,2.00 60,1,2024-09-07 09:04:30:785,558643,558643,0,0,260949592394,2712781712,554556,3442,645,370,392031,0 60,2,2024-09-07 09:04:31:145,400070,400070,0,0,18505523,0,3811 60,3,2024-09-07 09:04:31:259,1,317,8,0,409,3857,317,0 61,0,2024-09-07 09:04:31:497,82528,0.7,83016,0.8,164959,0.7,220219,2.00 61,1,2024-09-07 09:04:30:776,557009,557009,0,0,260041056831,2731331737,549855,5830,1324,382,392127,0 61,2,2024-09-07 09:04:31:131,398050,397983,67,0,18697313,0,6411 61,3,2024-09-07 09:04:31:690,1,317,1,0,479,4891,317,0 62,0,2024-09-07 09:04:31:726,79092,0.5,80807,0.7,154003,0.5,209637,2.00 62,1,2024-09-07 09:04:31:112,560187,560181,0,6,261042409703,2698035850,556606,3316,259,365,391715,6 62,2,2024-09-07 09:04:31:649,401435,401434,1,0,18248383,0,5555 62,3,2024-09-07 09:04:31:144,1,317,1,0,465,2518,317,0 63,0,2024-09-07 09:04:31:458,75170,0.4,75225,0.6,150646,0.4,200643,1.75 63,1,2024-09-07 09:04:30:805,558496,558490,0,6,261066820263,2713980992,554563,3540,387,381,391800,6 63,2,2024-09-07 09:04:30:761,402194,402194,0,0,16666677,0,4369 63,3,2024-09-07 09:04:31:741,1,317,1,0,667,3726,317,0 64,0,2024-09-07 09:04:31:516,79740,0.5,79657,0.7,159193,0.5,211787,2.00 64,1,2024-09-07 09:04:30:749,558113,558113,0,0,259962397556,2713649434,552479,3978,1656,370,391783,0 64,2,2024-09-07 09:04:31:165,404456,404437,19,0,16334198,0,6121 64,3,2024-09-07 09:04:31:143,1,317,1,0,265,3046,317,0 65,0,2024-09-07 09:04:31:726,80378,0.7,80643,0.8,160952,0.8,214679,2.25 65,1,2024-09-07 09:04:30:859,556990,556990,0,0,260002671563,2719972298,552912,3627,451,382,391901,0 65,2,2024-09-07 09:04:31:720,397755,397755,0,0,17669588,0,3367 65,3,2024-09-07 09:04:31:692,1,317,10,0,163,2861,317,0 66,0,2024-09-07 09:04:31:781,80258,0.5,80153,0.7,160614,0.5,213022,2.00 66,1,2024-09-07 09:04:31:307,558257,558257,0,0,261176703296,2717518489,554702,3234,321,380,391653,0 66,2,2024-09-07 09:04:31:140,404810,404810,0,0,16057785,0,4956 66,3,2024-09-07 09:04:31:080,1,317,0,0,291,3136,317,0 67,0,2024-09-07 09:04:31:440,74225,0.5,73488,0.7,147706,0.5,196746,2.00 67,1,2024-09-07 09:04:30:771,558422,558421,0,1,260025125530,2710850544,554257,3413,751,380,391787,1 67,2,2024-09-07 09:04:30:582,404095,404095,0,0,15499234,0,2889 67,3,2024-09-07 09:04:31:753,1,317,1,0,338,2798,317,0 68,0,2024-09-07 09:04:30:656,79195,0.6,78709,0.8,157082,0.6,210657,2.00 68,1,2024-09-07 09:04:30:579,557032,557032,0,0,259157724259,2717885911,552230,3601,1201,381,391953,0 68,2,2024-09-07 09:04:31:058,399174,399109,65,0,20634754,0,6698 68,3,2024-09-07 09:04:30:728,1,317,1,0,417,3557,317,0 69,0,2024-09-07 09:04:31:827,81414,0.9,81845,0.9,163110,1.2,216314,2.25 69,1,2024-09-07 09:04:31:021,555073,555073,0,0,258898983715,2737091176,547106,5857,2110,384,391994,0 69,2,2024-09-07 09:04:31:754,396567,396567,0,0,20493905,0,3722 69,3,2024-09-07 09:04:30:762,1,317,1,0,698,4622,317,0 70,0,2024-09-07 09:04:31:557,81462,0.8,81426,1.0,163911,0.6,216938,2.50 70,1,2024-09-07 09:04:30:804,558482,558482,0,0,260010315218,2703088096,553635,4311,536,366,391725,0 70,2,2024-09-07 09:04:31:332,400775,400775,0,0,18676694,0,4044 70,3,2024-09-07 09:04:30:746,1,317,0,0,854,3684,317,0 71,0,2024-09-07 09:04:31:363,75558,0.9,75089,1.0,150954,1.1,201496,2.75 71,1,2024-09-07 09:04:31:596,557328,557328,0,0,260563629639,2722406858,550762,5910,656,368,391738,0 71,2,2024-09-07 09:04:31:066,402724,402724,0,0,18395415,0,4042 71,3,2024-09-07 09:04:31:753,1,317,1,0,644,4506,317,0 72,0,2024-09-07 09:04:31:045,80472,0.7,78690,0.8,153474,0.7,208966,2.25 72,1,2024-09-07 09:04:31:028,556516,556516,0,0,259352987795,2722825635,548293,6527,1696,369,391819,0 72,2,2024-09-07 09:04:31:756,399803,399803,0,0,21346351,0,3983 72,3,2024-09-07 09:04:31:760,1,317,4,0,564,5230,317,0 73,0,2024-09-07 09:04:31:104,80354,0.5,82292,0.7,168588,0.4,218726,2.00 73,1,2024-09-07 09:04:30:771,557792,557792,0,0,260037978105,2707640863,553476,3986,330,367,391858,0 73,2,2024-09-07 09:04:31:761,398675,398675,0,0,20296390,0,3701 73,3,2024-09-07 09:04:30:978,1,317,7,0,274,4454,317,0 74,0,2024-09-07 09:04:31:327,83827,0.5,85914,0.7,164024,0.4,222350,2.25 74,1,2024-09-07 09:04:30:636,557345,557345,0,0,259488304059,2713956625,551269,4814,1262,381,391681,0 74,2,2024-09-07 09:04:31:011,399114,399114,0,0,19362589,0,4253 74,3,2024-09-07 09:04:31:456,1,317,11,0,522,4580,317,0 75,0,2024-09-07 09:04:31:807,77631,0.5,77326,0.7,154796,0.4,207177,2.25 75,1,2024-09-07 09:04:31:600,556816,556816,0,0,259521598656,2716285706,550466,5525,825,380,391739,0 75,2,2024-09-07 09:04:31:359,401785,401785,0,0,19455180,0,4766 75,3,2024-09-07 09:04:31:071,1,317,12,0,702,4711,317,0 76,0,2024-09-07 09:04:30:651,75902,0.7,75348,0.8,151274,0.6,202728,2.50 76,1,2024-09-07 09:04:30:814,557493,557493,0,0,259149241311,2707016298,553579,3325,589,382,391692,0 76,2,2024-09-07 09:04:31:061,402424,402423,1,0,18660661,0,5144 76,3,2024-09-07 09:04:31:143,1,317,2,0,175,3130,317,0 77,0,2024-09-07 09:04:31:750,80988,0.6,81155,0.8,162196,0.6,215815,2.00 77,1,2024-09-07 09:04:30:842,557704,557704,0,0,260087009066,2721975495,552526,4690,488,381,391869,0 77,2,2024-09-07 09:04:31:280,401460,401460,0,0,18137199,0,3890 77,3,2024-09-07 09:04:31:100,1,317,3,0,401,3666,317,0 78,0,2024-09-07 09:04:31:719,82011,0.5,81501,0.7,163877,0.4,217544,2.00 78,1,2024-09-07 09:04:30:610,558188,558188,0,0,260095653329,2712483159,552332,4711,1145,367,391670,0 78,2,2024-09-07 09:04:31:414,398322,398322,0,0,15728387,0,3855 78,3,2024-09-07 09:04:31:139,1,317,14,0,181,3137,317,0 79,0,2024-09-07 09:04:31:403,76979,0.4,79000,0.6,161365,0.4,209945,2.25 79,1,2024-09-07 09:04:30:571,559528,559528,0,0,260383806612,2700439967,554976,3919,633,369,391682,0 79,2,2024-09-07 09:04:31:072,403692,403692,0,0,16592518,0,4195 79,3,2024-09-07 09:04:30:750,1,317,1,0,418,4259,317,0 80,0,2024-09-07 09:04:31:099,74350,0.5,76368,0.7,146257,0.5,197795,2.00 80,1,2024-09-07 09:04:31:619,558015,558015,0,0,260240559924,2709258856,554673,3165,177,368,391791,0 80,2,2024-09-07 09:04:31:094,404164,404164,0,0,15766683,0,4433 80,3,2024-09-07 09:04:30:578,1,317,1,0,190,3916,317,0 81,0,2024-09-07 09:04:31:549,79436,0.6,81416,0.7,155351,0.5,211128,2.00 81,1,2024-09-07 09:04:31:653,557130,557130,0,0,259475316899,2715004740,552285,4346,499,382,391879,0 81,2,2024-09-07 09:04:31:129,400667,400604,63,0,17720838,0,5932 81,3,2024-09-07 09:04:31:128,1,317,9,0,374,3793,317,0 82,0,2024-09-07 09:04:31:531,81131,0.5,81643,0.7,163462,0.5,216639,2.00 82,1,2024-09-07 09:04:30:587,558897,558893,0,4,260593176820,2715579658,554998,3098,797,381,391768,4 82,2,2024-09-07 09:04:31:714,398102,398102,0,0,15085583,0,3986 82,3,2024-09-07 09:04:31:761,1,317,9,0,363,3390,317,0 83,0,2024-09-07 09:04:31:531,81416,0.5,81181,0.7,161605,0.5,214979,2.00 83,1,2024-09-07 09:04:30:553,557315,557315,0,0,259895762789,2713400667,553008,3927,380,382,391709,0 83,2,2024-09-07 09:04:30:763,402353,402353,0,0,15632593,0,3393 83,3,2024-09-07 09:04:30:748,1,317,6,0,1260,4815,317,0 84,0,2024-09-07 09:04:31:789,74403,0.7,74303,0.9,148803,0.6,198731,2.25 84,1,2024-09-07 09:04:31:043,557180,557180,0,0,259790573841,2717905868,551446,5007,727,367,391967,0 84,2,2024-09-07 09:04:30:581,403017,403017,0,0,19741400,0,4757 84,3,2024-09-07 09:04:31:141,1,317,43,0,908,4967,317,0 85,0,2024-09-07 09:04:31:058,75256,0.7,75285,0.9,159706,0.8,206971,2.50 85,1,2024-09-07 09:04:30:616,555890,555890,0,0,259439564480,2742027552,547391,7131,1368,381,392006,0 85,2,2024-09-07 09:04:30:876,401477,401477,0,0,19551879,0,3656 85,3,2024-09-07 09:04:30:686,1,317,10,0,789,4344,317,0 86,0,2024-09-07 09:04:30:931,81924,0.7,84248,0.8,161317,0.8,217671,2.25 86,1,2024-09-07 09:04:30:830,557318,557318,0,0,259587388098,2721816001,550444,5809,1065,366,391961,0 86,2,2024-09-07 09:04:30:862,396731,396730,1,0,20266132,0,5004 86,3,2024-09-07 09:04:30:588,1,317,1,0,308,4492,317,0 87,0,2024-09-07 09:04:31:312,82685,0.9,82674,0.9,165776,1.2,221146,2.25 87,1,2024-09-07 09:04:30:553,556250,556250,0,0,259857186660,2721751067,550080,5560,610,366,392076,0 87,2,2024-09-07 09:04:31:078,400038,400038,0,0,18546064,0,4045 87,3,2024-09-07 09:04:31:798,1,317,3,0,473,4681,317,0 88,0,2024-09-07 09:04:31:471,76894,0.4,77483,0.6,154588,0.4,205279,2.00 88,1,2024-09-07 09:04:30:579,555592,555592,0,0,259766809078,2728128570,547905,6023,1664,365,392084,0 88,2,2024-09-07 09:04:30:688,402726,402726,0,0,20718269,0,3583 88,3,2024-09-07 09:04:31:266,1,317,4,0,435,3576,317,0 89,0,2024-09-07 09:04:31:927,79441,0.5,76816,0.7,152374,0.5,206873,2.00 89,1,2024-09-07 09:04:30:557,555777,555777,0,0,259407442700,2734415791,548039,6465,1273,382,391866,0 89,2,2024-09-07 09:04:31:149,400326,400326,0,0,19783564,0,2910 89,3,2024-09-07 09:04:32:036,1,317,2,0,385,6003,317,0 90,0,2024-09-07 09:04:31:632,79816,0.5,81849,0.7,167130,0.5,218131,2.00 90,1,2024-09-07 09:04:30:603,556980,556980,0,0,260178637326,2731552342,550839,5654,487,380,391825,0 90,2,2024-09-07 09:04:31:424,398906,398906,0,0,20967813,0,3060 90,3,2024-09-07 09:04:30:933,1,317,3,0,246,3615,317,0 91,0,2024-09-07 09:04:30:945,82908,0.5,80477,0.7,167897,0.5,220826,1.75 91,1,2024-09-07 09:04:30:576,555709,555709,0,0,259983519928,2739760078,547904,6763,1042,381,392047,0 91,2,2024-09-07 09:04:31:332,398265,398265,0,0,18814913,0,2896 91,3,2024-09-07 09:04:30:605,1,317,5,0,216,3085,317,0 92,0,2024-09-07 09:04:31:477,79238,0.5,81338,0.6,155282,0.4,210050,1.75 92,1,2024-09-07 09:04:30:580,557139,557139,0,0,259182680243,2711485611,552469,3984,686,382,392136,0 92,2,2024-09-07 09:04:31:356,403827,403827,0,0,16822972,0,3259 92,3,2024-09-07 09:04:31:032,1,317,63,0,167,2809,317,0 93,0,2024-09-07 09:04:31:113,75647,0.4,77519,0.6,148037,0.3,200385,1.75 93,1,2024-09-07 09:04:30:904,556957,556957,0,0,260009197760,2718909631,550900,5031,1026,366,391776,0 93,2,2024-09-07 09:04:30:956,401464,401464,0,0,19794396,0,4845 93,3,2024-09-07 09:04:31:408,1,317,1,0,190,3054,317,0 94,0,2024-09-07 09:04:31:642,79849,0.4,80512,0.5,160669,0.3,213323,1.75 94,1,2024-09-07 09:04:30:563,557418,557418,0,0,260545906429,2722759881,553391,3837,190,381,391850,0 94,2,2024-09-07 09:04:30:766,401094,401094,0,0,17057048,0,2443 94,3,2024-09-07 09:04:31:692,1,317,2,0,264,4036,317,0 95,0,2024-09-07 09:04:31:346,80945,0.4,80919,0.6,162547,0.3,216344,1.75 95,1,2024-09-07 09:04:30:860,558889,558889,0,0,260983538652,2718033515,553941,4551,397,367,391713,0 95,2,2024-09-07 09:04:31:020,397011,397011,0,0,16778618,0,3308 95,3,2024-09-07 09:04:31:716,1,317,6,0,718,5156,317,0 96,0,2024-09-07 09:04:31:093,80934,0.4,81050,0.5,161558,0.3,213875,1.75 96,1,2024-09-07 09:04:31:591,557160,557160,0,0,259749875628,2713856623,552651,3718,791,384,391955,0 96,2,2024-09-07 09:04:31:404,402999,402999,0,0,16732847,0,4042 96,3,2024-09-07 09:04:31:148,1,317,1,0,411,3442,317,0 97,0,2024-09-07 09:04:31:358,73959,0.3,73707,0.5,147849,0.3,196520,1.75 97,1,2024-09-07 09:04:30:767,559543,559543,0,0,260555964159,2703781654,555704,3168,671,367,392140,0 97,2,2024-09-07 09:04:30:607,404085,404085,0,0,16246032,0,3036 97,3,2024-09-07 09:04:30:568,1,317,1,0,214,3834,317,0 98,0,2024-09-07 09:04:31:785,78957,0.3,78858,0.5,158318,0.2,210750,1.50 98,1,2024-09-07 09:04:30:585,558446,558446,0,0,259932991552,2706179519,555804,2538,104,382,391997,0 98,2,2024-09-07 09:04:30:772,401642,401642,0,0,16157990,0,3080 98,3,2024-09-07 09:04:30:701,1,317,5,0,840,5213,317,0 99,0,2024-09-07 09:04:31:461,81888,0.4,82437,0.5,164146,0.3,218596,1.75 99,1,2024-09-07 09:04:31:739,558068,558068,0,0,260033254351,2710590969,553939,3376,753,381,392069,0 99,2,2024-09-07 09:04:31:417,398784,398784,0,0,17901114,0,3424 99,3,2024-09-07 09:04:30:581,1,317,9,0,199,2977,317,0 100,0,2024-09-07 09:04:31:480,81962,0.8,81906,1.0,163921,0.9,218677,2.50 100,1,2024-09-07 09:04:30:554,554844,554844,0,0,259111228205,2741725053,546395,6956,1493,381,391989,0 100,2,2024-09-07 09:04:31:836,400471,400460,11,0,18965997,0,5417 100,3,2024-09-07 09:04:31:754,1,317,5,0,559,5585,317,0 101,0,2024-09-07 09:04:31:727,77620,1.1,75637,1.0,148178,0.9,203077,2.25 101,1,2024-09-07 09:04:30:550,555329,555329,0,0,258591940580,2727770289,546278,7031,2020,368,391771,0 101,2,2024-09-07 09:04:31:781,401047,401047,0,0,21895781,0,4644 101,3,2024-09-07 09:04:30:973,1,317,0,0,448,3802,317,0 102,0,2024-09-07 09:04:31:043,75972,0.8,78567,0.8,158461,0.8,208005,2.25 102,1,2024-09-07 09:04:31:155,556048,556048,0,0,259956633093,2734055302,548753,6265,1030,369,391891,0 102,2,2024-09-07 09:04:31:749,401922,401868,54,0,18543502,0,6768 102,3,2024-09-07 09:04:31:613,1,317,2,0,410,3531,317,0 103,0,2024-09-07 09:04:31:606,84958,0.7,84974,0.8,160260,0.8,220550,2.00 103,1,2024-09-07 09:04:31:640,555322,555322,0,0,259040996792,2736223512,546586,6741,1995,381,391829,0 103,2,2024-09-07 09:04:30:584,397704,397704,0,0,19093317,0,3173 103,3,2024-09-07 09:04:30:755,1,317,6,0,916,4107,317,0 104,0,2024-09-07 09:04:31:024,82397,0.8,82828,1.0,164490,0.7,221575,2.50 104,1,2024-09-07 09:04:31:610,556519,556519,0,0,258603751985,2725576733,547638,7184,1697,365,392168,0 104,2,2024-09-07 09:04:31:705,397516,397516,0,0,20464369,0,3941 104,3,2024-09-07 09:04:31:418,1,317,22,0,1245,7134,317,0 105,0,2024-09-07 09:04:31:044,76620,0.9,74550,1.1,156116,1.1,205587,3.00 105,1,2024-09-07 09:04:30:565,556875,556875,0,0,259752437829,2730680627,548735,6809,1331,366,391797,0 105,2,2024-09-07 09:04:31:369,402002,402002,0,0,19847658,0,3509 105,3,2024-09-07 09:04:31:321,1,317,0,0,399,5260,317,0 106,0,2024-09-07 09:04:31:038,73660,0.9,75440,1.0,154217,1.1,202241,2.75 106,1,2024-09-07 09:04:31:765,555697,555697,0,0,259604294599,2735598695,546131,8275,1291,369,391914,0 106,2,2024-09-07 09:04:30:756,398734,398734,0,0,19615888,0,2795 106,3,2024-09-07 09:04:30:697,1,317,1,0,470,4237,317,0 107,0,2024-09-07 09:04:31:142,80846,1.1,80731,1.0,161516,1.5,215654,2.25 107,1,2024-09-07 09:04:30:587,554969,554969,0,0,258201177767,2726272297,547015,7040,914,381,392234,0 107,2,2024-09-07 09:04:31:300,399430,399429,1,0,19975161,0,5024 107,3,2024-09-07 09:04:31:758,1,317,9,0,353,4399,317,0 108,0,2024-09-07 09:04:31:826,81547,0.5,82011,0.6,163016,0.4,218214,1.75 108,1,2024-09-07 09:04:31:319,557290,557290,0,0,260788853519,2724448821,552218,4556,516,368,391857,0 108,2,2024-09-07 09:04:31:768,396280,396280,0,0,18880263,0,4246 108,3,2024-09-07 09:04:31:331,1,317,9,0,749,6636,317,0 109,0,2024-09-07 09:04:31:776,80087,0.4,79157,0.6,158604,0.3,212274,1.75 109,1,2024-09-07 09:04:30:583,555267,555267,0,0,260464150435,2735978388,550078,4527,662,383,392132,0 109,2,2024-09-07 09:04:30:938,400700,400700,0,0,18597226,0,3617 109,3,2024-09-07 09:04:31:154,1,317,5,0,379,3972,317,0 110,0,2024-09-07 09:04:31:769,74389,0.4,72436,0.6,151429,0.3,198564,1.75 110,1,2024-09-07 09:04:31:651,558643,558643,0,0,260803970120,2710243883,554648,3021,974,369,392045,0 110,2,2024-09-07 09:04:31:311,402285,402285,0,0,18463226,0,4067 110,3,2024-09-07 09:04:30:695,1,317,1,0,722,5009,317,0 111,0,2024-09-07 09:04:31:413,79952,0.4,79201,0.6,158609,0.4,212588,1.75 111,1,2024-09-07 09:04:31:014,558618,558618,0,0,261546653435,2717369969,555322,2944,352,382,391690,0 111,2,2024-09-07 09:04:31:128,400086,400086,0,0,17856068,0,4823 111,3,2024-09-07 09:04:30:913,1,317,14,0,379,4069,317,0 112,0,2024-09-07 09:04:30:966,82237,0.3,81607,0.4,163708,0.2,217382,1.50 112,1,2024-09-07 09:04:30:829,558883,558883,0,0,260149611613,2701737810,555424,2875,584,380,391624,0 112,2,2024-09-07 09:04:31:140,397310,397309,1,0,15964983,0,5036 112,3,2024-09-07 09:04:30:592,1,317,53,0,282,3391,317,0 113,0,2024-09-07 09:04:30:959,81081,0.3,81061,0.5,162629,0.2,216438,1.50 113,1,2024-09-07 09:04:31:688,560492,560492,0,0,261487683925,2700655838,557215,2693,584,366,391661,0 113,2,2024-09-07 09:04:31:312,404181,404181,0,0,14805838,0,3813 113,3,2024-09-07 09:04:30:688,1,317,73,0,340,3977,317,0 114,0,2024-09-07 09:04:30:881,75293,0.3,75825,0.5,150662,0.2,200830,1.75 114,1,2024-09-07 09:04:30:720,558433,558433,0,0,260627010002,2711925172,553314,3527,1592,381,391556,0 114,2,2024-09-07 09:04:30:873,404095,404094,1,0,16366366,0,5069 114,3,2024-09-07 09:04:31:277,1,317,1,0,395,2935,317,0 115,0,2024-09-07 09:04:30:567,78186,0.3,78597,0.4,157048,0.2,209381,1.50 115,1,2024-09-07 09:04:30:575,558111,558111,0,0,260323413473,2706565525,553254,4017,840,382,391656,0 115,2,2024-09-07 09:04:31:135,402769,402769,0,0,15162582,0,3453 115,3,2024-09-07 09:04:31:007,1,317,3,0,159,1946,317,0 116,0,2024-09-07 09:04:31:790,81652,0.9,81547,1.0,163462,1.1,218620,2.25 116,1,2024-09-07 09:04:30:831,555217,555217,0,0,259025154770,2737845467,547628,5323,2266,380,391782,0 116,2,2024-09-07 09:04:31:771,396769,396769,0,0,20408570,0,3529 116,3,2024-09-07 09:04:30:931,1,317,4,0,415,4082,317,0 117,0,2024-09-07 09:04:31:008,83180,0.8,82701,0.9,165656,0.9,221528,2.00 117,1,2024-09-07 09:04:31:587,556404,556404,0,0,259001198758,2715698153,550139,5597,668,370,392033,0 117,2,2024-09-07 09:04:31:139,403190,403190,0,0,16885464,0,3700 117,3,2024-09-07 09:04:31:081,1,317,2,0,490,4832,317,0 118,0,2024-09-07 09:04:31:826,74770,0.5,76811,0.7,156634,0.5,204541,2.00 118,1,2024-09-07 09:04:30:586,555996,555996,0,0,259210102452,2730044069,547224,6875,1897,366,391907,0 118,2,2024-09-07 09:04:31:611,402121,402121,0,0,18636683,0,2781 118,3,2024-09-07 09:04:31:778,1,317,1,0,235,3504,317,0 119,0,2024-09-07 09:04:31:338,76671,0.7,77103,0.8,154244,0.7,205661,2.00 119,1,2024-09-07 09:04:30:548,557370,557370,0,0,259883407200,2722084320,550816,5718,836,367,391780,0 119,2,2024-09-07 09:04:31:260,401633,401633,0,0,17655018,0,4174 119,3,2024-09-07 09:04:31:326,1,317,15,0,563,4904,317,0 120,0,2024-09-07 09:04:31:744,81726,0.7,81502,0.9,163223,0.7,218625,2.25 120,1,2024-09-07 09:04:30:862,556820,556820,0,0,258954239388,2723438088,550423,5842,555,368,391961,0 120,2,2024-09-07 09:04:30:772,399521,399520,1,0,20758406,0,5281 120,3,2024-09-07 09:04:31:304,1,317,2,0,241,4096,317,0 121,0,2024-09-07 09:04:31:700,82387,1.1,82515,1.0,165546,1.4,220468,2.25 121,1,2024-09-07 09:04:31:701,557132,557132,0,0,259919156055,2724423046,551245,5451,436,367,391840,0 121,2,2024-09-07 09:04:31:171,397032,397032,0,0,19972574,0,4127 121,3,2024-09-07 09:04:30:733,1,317,4,0,269,3824,317,0 122,0,2024-09-07 09:04:31:765,78451,0.8,76441,0.9,160190,0.9,210028,2.00 122,1,2024-09-07 09:04:30:864,555653,555653,0,0,259545866821,2730153887,547200,7192,1261,366,392130,0 122,2,2024-09-07 09:04:31:319,402146,402073,73,0,22520046,0,5989 122,3,2024-09-07 09:04:30:607,1,317,6,0,411,5921,317,0 123,0,2024-09-07 09:04:30:962,74886,0.8,73081,0.8,152433,0.9,200327,2.00 123,1,2024-09-07 09:04:30:557,555754,555754,0,0,259091487066,2739378542,544342,9287,2125,369,392039,0 123,2,2024-09-07 09:04:31:034,399887,399886,1,0,19487919,0,5215 123,3,2024-09-07 09:04:31:133,1,317,2,0,168,3805,317,0 124,0,2024-09-07 09:04:30:942,82602,0.4,82624,0.5,155659,0.3,214100,1.75 124,1,2024-09-07 09:04:31:029,558451,558451,0,0,259553128172,2705699187,553746,3797,908,367,392178,0 124,2,2024-09-07 09:04:31:015,401962,401909,53,0,17432147,0,6487 124,3,2024-09-07 09:04:30:760,1,317,4,0,490,3353,317,0 125,0,2024-09-07 09:04:31:426,81324,0.4,80887,0.6,162821,0.4,216868,1.75 125,1,2024-09-07 09:04:30:855,556858,556858,0,0,260529065763,2724857900,551988,4229,641,383,391702,0 125,2,2024-09-07 09:04:31:115,397243,397243,0,0,17879984,0,4534 125,3,2024-09-07 09:04:31:133,1,317,2,0,709,4549,317,0 126,0,2024-09-07 09:04:31:436,80694,0.4,82932,0.6,158547,0.4,214729,1.75 126,1,2024-09-07 09:04:30:589,558947,558947,0,0,260575620286,2704036370,555358,3306,283,365,391987,0 126,2,2024-09-07 09:04:30:620,403585,403585,0,0,18020799,0,4539 126,3,2024-09-07 09:04:30:913,1,317,2,0,207,4063,317,0 127,0,2024-09-07 09:04:31:590,73653,0.3,74083,0.5,147643,0.3,196466,1.75 127,1,2024-09-07 09:04:30:570,558113,558113,0,0,260750821373,2712931049,552721,4532,860,365,392187,0 127,2,2024-09-07 09:04:30:639,402570,402570,0,0,16614879,0,3897 127,3,2024-09-07 09:04:31:281,1,317,10,0,968,3954,317,0 128,0,2024-09-07 09:04:31:539,79198,0.3,79328,0.5,158441,0.2,211012,1.50 128,1,2024-09-07 09:04:31:613,557915,557915,0,0,260912406024,2712798643,553825,3721,369,367,391798,0 128,2,2024-09-07 09:04:31:392,402249,402249,0,0,15536009,0,2915 128,3,2024-09-07 09:04:30:770,1,317,0,0,1082,5819,317,0 129,0,2024-09-07 09:04:31:025,82461,0.3,82132,0.5,164636,0.3,218723,1.75 129,1,2024-09-07 09:04:30:570,554992,554992,0,0,259666694911,2723210228,549359,4377,1256,379,391835,0 129,2,2024-09-07 09:04:30:686,397997,397997,0,0,16245728,0,4031 129,3,2024-09-07 09:04:30:688,1,317,1,0,469,4381,317,0 130,0,2024-09-07 09:04:31:717,82795,0.5,82398,0.6,165530,0.5,219934,1.75 130,1,2024-09-07 09:04:30:583,558467,558467,0,0,260475089375,2711496251,555067,3121,279,381,391825,0 130,2,2024-09-07 09:04:31:135,403142,403142,0,0,16062976,0,4067 130,3,2024-09-07 09:04:31:322,1,317,1,0,450,4055,317,0 131,0,2024-09-07 09:04:31:948,75802,0.4,76312,0.5,153363,0.3,202806,1.75 131,1,2024-09-07 09:04:31:820,557943,557943,0,0,260047452580,2716804037,553512,3686,745,381,391865,0 131,2,2024-09-07 09:04:30:573,405406,405406,0,0,14959844,0,2415 131,3,2024-09-07 09:04:31:688,1,317,1,0,392,3425,317,0 132,0,2024-09-07 09:04:31:420,77747,0.5,78566,0.6,156893,0.4,208615,2.00 132,1,2024-09-07 09:04:30:580,555516,555516,0,0,258985739567,2732605338,546886,7166,1464,381,392097,0 132,2,2024-09-07 09:04:30:702,400976,400976,0,0,19996533,0,4606 132,3,2024-09-07 09:04:31:691,1,317,3,0,804,6102,317,0 133,0,2024-09-07 09:04:31:521,80459,0.5,82174,0.6,168753,0.5,219190,2.00 133,1,2024-09-07 09:04:30:584,555671,555671,0,0,259449036345,2739769006,547508,7081,1082,383,391914,0 133,2,2024-09-07 09:04:31:089,397697,397697,0,0,21085473,0,4315 133,3,2024-09-07 09:04:31:297,1,317,6,0,479,3503,317,0 134,0,2024-09-07 09:04:30:973,83516,0.5,83456,0.7,166853,0.5,222752,2.00 134,1,2024-09-07 09:04:30:595,555645,555645,0,0,258887454228,2724113331,547393,6396,1856,366,391718,0 134,2,2024-09-07 09:04:31:759,398982,398982,0,0,17604946,0,3847 134,3,2024-09-07 09:04:30:759,1,317,1,0,739,4237,317,0 135,0,2024-09-07 09:04:31:099,74918,0.7,74924,0.8,159007,0.8,205278,2.00 135,1,2024-09-07 09:04:31:596,556155,556155,0,0,260123257248,2742731583,547809,7111,1235,380,391805,0 135,2,2024-09-07 09:04:30:696,403357,403357,0,0,19157294,0,3981 135,3,2024-09-07 09:04:31:006,1,317,3,0,299,2553,317,0 136,0,2024-09-07 09:04:31:659,76691,0.6,76737,0.8,152809,0.6,204049,2.25 136,1,2024-09-07 09:04:31:442,556265,556265,0,0,258975402245,2724979578,549264,6306,695,382,391685,0 136,2,2024-09-07 09:04:31:144,401431,401431,0,0,19115138,0,3506 136,3,2024-09-07 09:04:31:111,1,317,1,0,637,3823,317,0 137,0,2024-09-07 09:04:30:923,83448,0.7,81207,0.8,159487,0.7,217087,2.00 137,1,2024-09-07 09:04:30:580,555422,555422,0,0,258990270468,2725887880,545852,7690,1880,366,391708,0 137,2,2024-09-07 09:04:31:713,400009,400009,0,0,21400871,0,3185 137,3,2024-09-07 09:04:30:769,1,317,1,0,382,3941,317,0 138,0,2024-09-07 09:04:31:746,81100,1.4,81236,1.1,163087,2.0,217153,2.50 138,1,2024-09-07 09:04:31:692,556305,556305,0,0,260481346822,2741439738,547272,7438,1595,368,391954,0 138,2,2024-09-07 09:04:30:597,396987,396987,0,0,19069529,0,4988 138,3,2024-09-07 09:04:30:634,1,317,2,0,1160,4730,317,0 139,0,2024-09-07 09:04:31:365,78506,1.3,78893,1.1,157959,2.0,210398,2.25 139,1,2024-09-07 09:04:30:580,553889,553889,0,0,258356321154,2752272008,542543,8702,2644,381,391892,0 139,2,2024-09-07 09:04:30:696,400124,400124,0,0,20741139,0,3097 139,3,2024-09-07 09:04:31:663,1,317,3,0,257,3619,317,0 140,0,2024-09-07 09:04:31:588,74703,0.3,74273,0.5,149189,0.2,198800,1.75 140,1,2024-09-07 09:04:31:536,559405,559405,0,0,261306670715,2698978655,556203,2764,438,365,391606,0 140,2,2024-09-07 09:04:30:687,402631,402630,1,0,17127481,0,5036 140,3,2024-09-07 09:04:30:770,1,317,1,0,247,2714,317,0 141,0,2024-09-07 09:04:31:697,79697,0.4,81851,0.5,156448,0.3,212763,1.75 141,1,2024-09-07 09:04:30:859,558818,558818,0,0,260942999925,2715890200,554259,3697,862,379,391614,0 141,2,2024-09-07 09:04:31:686,400993,400993,0,0,16754543,0,3360 141,3,2024-09-07 09:04:31:045,1,317,1,0,391,3630,317,0 142,0,2024-09-07 09:04:31:317,82334,0.4,81551,0.5,162823,0.3,217726,1.75 142,1,2024-09-07 09:04:30:591,558221,558221,0,0,260166744065,2717285756,554265,3607,349,382,392102,0 142,2,2024-09-07 09:04:31:299,396604,396572,32,0,17983437,0,6028 142,3,2024-09-07 09:04:31:753,1,317,5,0,484,4054,317,0 143,0,2024-09-07 09:04:31:370,81343,0.5,81212,0.6,162847,0.4,216444,1.75 143,1,2024-09-07 09:04:30:555,558393,558393,0,0,260431230580,2706206125,554628,3616,149,367,391705,0 143,2,2024-09-07 09:04:30:771,402258,402258,0,0,17440028,0,3123 143,3,2024-09-07 09:04:31:143,1,317,3,0,303,3891,317,0 144,0,2024-09-07 09:04:31:495,72517,0.6,74608,0.8,151869,0.5,198959,2.00 144,1,2024-09-07 09:04:30:573,555901,555901,0,0,258732429760,2716166818,551057,3904,940,381,391649,0 144,2,2024-09-07 09:04:31:758,404172,404172,0,0,15703191,0,3473 144,3,2024-09-07 09:04:31:746,1,317,16,0,249,3363,317,0 145,0,2024-09-07 09:04:31:370,75480,0.7,75512,0.9,160179,0.6,206857,2.50 145,1,2024-09-07 09:04:30:556,555217,555217,0,0,259340777229,2731308712,547929,6148,1140,382,391759,0 145,2,2024-09-07 09:04:31:438,399952,399952,0,0,19179629,0,3903 145,3,2024-09-07 09:04:30:901,1,317,25,0,622,5039,317,0 146,0,2024-09-07 09:04:31:625,82130,0.6,81344,0.8,164068,0.6,217385,2.25 146,1,2024-09-07 09:04:31:586,556601,556601,0,0,259220905198,2732499363,546914,7677,2010,368,391770,0 146,2,2024-09-07 09:04:31:715,397038,397038,0,0,19079216,0,2730 146,3,2024-09-07 09:04:31:275,1,317,1,0,1520,6939,317,0 147,0,2024-09-07 09:04:31:709,83162,0.6,82883,0.8,165122,0.6,221048,2.25 147,1,2024-09-07 09:04:31:373,558276,558276,0,0,260504888514,2715904081,552625,4851,800,368,391791,0 147,2,2024-09-07 09:04:31:015,401423,401423,0,0,16870072,0,2789 147,3,2024-09-07 09:04:30:917,1,317,2,0,730,4835,317,0 0,0,2024-09-07 09:04:41:753,79978,0.7,79985,0.8,169785,0.8,219368,2.00 0,1,2024-09-07 09:04:40:800,559010,559010,0,0,260877443155,2730811493,554894,3874,242,370,391896,0 0,2,2024-09-07 09:04:41:067,401088,401088,0,0,16526653,0,4480 0,3,2024-09-07 09:04:40:973,1,318,78,0,431,4499,318,0 1,0,2024-09-07 09:04:41:777,82980,0.9,82410,1.0,165788,1.0,221629,2.00 1,1,2024-09-07 09:04:40:571,558176,558176,0,0,259933624059,2725656705,552595,4356,1225,370,391857,0 1,2,2024-09-07 09:04:40:648,398992,398992,0,0,15956116,0,3267 1,3,2024-09-07 09:04:41:308,1,318,1,0,262,3804,318,0 2,0,2024-09-07 09:04:41:567,78757,0.6,79114,0.8,156886,0.7,210173,2.00 2,1,2024-09-07 09:04:40:859,559926,559926,0,0,261180214094,2714825551,557032,2601,293,380,391745,0 2,2,2024-09-07 09:04:41:265,404597,404597,0,0,15954048,0,3594 2,3,2024-09-07 09:04:40:690,1,318,1,0,357,3250,318,0 3,0,2024-09-07 09:04:41:746,75430,0.4,75372,0.6,150885,0.4,201292,2.00 3,1,2024-09-07 09:04:41:621,559026,559026,0,0,260574344457,2717104583,553929,4474,623,380,391591,0 3,2,2024-09-07 09:04:41:149,403235,403212,23,0,16438781,0,5851 3,3,2024-09-07 09:04:41:753,1,318,0,0,103,1957,318,0 4,0,2024-09-07 09:04:41:811,78116,0.4,80423,0.5,163194,0.4,214083,1.75 4,1,2024-09-07 09:04:40:627,557666,557666,0,0,260158506755,2743007415,550367,5945,1354,371,391992,0 4,2,2024-09-07 09:04:41:026,400378,400378,0,0,19224309,0,4528 4,3,2024-09-07 09:04:41:028,1,318,13,0,448,4640,318,0 5,0,2024-09-07 09:04:41:401,81425,0.5,81727,0.6,162934,0.5,217117,1.75 5,1,2024-09-07 09:04:40:763,558121,558121,0,0,260261366350,2740764633,550965,5800,1356,367,392005,0 5,2,2024-09-07 09:04:41:858,397157,397157,0,0,18159354,0,2432 5,3,2024-09-07 09:04:41:786,1,318,10,0,457,4802,318,0 6,0,2024-09-07 09:04:40:916,81149,0.5,80591,0.7,161140,0.4,215012,2.00 6,1,2024-09-07 09:04:40:746,557901,557901,0,0,260471287804,2725479152,551546,5279,1076,379,391694,0 6,2,2024-09-07 09:04:41:124,403620,403620,0,0,17568115,0,4816 6,3,2024-09-07 09:04:41:275,1,318,2,0,340,3681,318,0 7,0,2024-09-07 09:04:41:533,73809,0.5,73988,0.6,147645,0.4,196723,2.00 7,1,2024-09-07 09:04:40:850,557412,557412,0,0,260185116185,2736859398,549346,6890,1176,382,391747,0 7,2,2024-09-07 09:04:40:771,403951,403951,0,0,17657320,0,4791 7,3,2024-09-07 09:04:40:850,1,318,12,0,398,3814,318,0 8,0,2024-09-07 09:04:41:339,79359,0.4,79237,0.5,158891,0.4,211969,1.75 8,1,2024-09-07 09:04:41:028,556581,556581,0,0,260104487059,2745609908,546439,7865,2277,366,392144,0 8,2,2024-09-07 09:04:40:790,398334,398334,0,0,21950178,0,3220 8,3,2024-09-07 09:04:40:597,1,318,8,0,538,5660,318,0 9,0,2024-09-07 09:04:41:184,82229,0.4,79960,0.6,167226,0.4,218777,1.75 9,1,2024-09-07 09:04:40:584,557398,557398,0,0,260272650294,2750209048,548362,6913,2123,369,392001,0 9,2,2024-09-07 09:04:41:116,397509,397509,0,0,19263327,0,3360 9,3,2024-09-07 09:04:41:754,1,318,11,0,496,4892,318,0 10,0,2024-09-07 09:04:41:623,82570,0.3,81880,0.5,164550,0.3,219054,1.75 10,1,2024-09-07 09:04:40:583,558170,558170,0,0,260628171870,2735599388,550291,6770,1109,381,391741,0 10,2,2024-09-07 09:04:40:763,402768,402768,0,0,21006634,0,4264 10,3,2024-09-07 09:04:40:871,1,318,17,0,296,2971,318,0 11,0,2024-09-07 09:04:41:016,75622,0.4,73357,0.6,153508,0.4,202808,1.75 11,1,2024-09-07 09:04:40:574,557968,557968,0,0,260522126204,2743144959,547959,7561,2448,383,391664,0 11,2,2024-09-07 09:04:41:131,403803,403803,0,0,19053755,0,4130 11,3,2024-09-07 09:04:41:298,1,318,2,0,843,5158,318,0 12,0,2024-09-07 09:04:40:964,78864,0.4,78763,0.6,157615,0.4,209662,1.75 12,1,2024-09-07 09:04:40:936,558720,558720,0,0,259820589821,2714066167,553876,4354,490,370,391870,0 12,2,2024-09-07 09:04:41:543,402874,402874,0,0,18534418,0,3469 12,3,2024-09-07 09:04:41:059,1,318,0,0,386,5398,318,0 13,0,2024-09-07 09:04:41:359,83119,0.5,82755,0.6,165532,0.5,220240,1.75 13,1,2024-09-07 09:04:41:538,557775,557775,0,0,260432994874,2743531582,551885,4482,1408,382,391740,0 13,2,2024-09-07 09:04:40:609,400564,400564,0,0,16927251,0,3287 13,3,2024-09-07 09:04:41:762,1,318,77,0,522,5357,318,0 14,0,2024-09-07 09:04:40:576,83855,0.4,84563,0.6,167126,0.4,223070,1.75 14,1,2024-09-07 09:04:41:563,561888,561888,0,0,262031426072,2718618826,557203,4326,359,364,391571,0 14,2,2024-09-07 09:04:40:764,400397,400367,30,0,18707455,0,6104 14,3,2024-09-07 09:04:41:122,1,318,5,0,1168,4058,318,0 15,0,2024-09-07 09:04:41:567,77719,0.4,77830,0.7,155638,0.4,207201,2.00 15,1,2024-09-07 09:04:41:610,559323,559323,0,0,261057099786,2722668608,554988,3515,820,381,391619,0 15,2,2024-09-07 09:04:40:997,405853,405853,0,0,15308404,0,3622 15,3,2024-09-07 09:04:41:405,1,318,0,0,1126,6119,318,0 16,0,2024-09-07 09:04:40:933,76632,0.6,76971,0.8,153386,0.7,204537,2.25 16,1,2024-09-07 09:04:40:573,559700,559700,0,0,260915862432,2726718391,555421,3919,360,370,391756,0 16,2,2024-09-07 09:04:41:434,402319,402319,0,0,17519344,0,4719 16,3,2024-09-07 09:04:41:170,1,318,2,0,317,4586,318,0 17,0,2024-09-07 09:04:41:980,83864,0.7,81943,0.8,160152,0.8,218210,2.00 17,1,2024-09-07 09:04:40:598,558519,558519,0,0,260088009648,2733771000,552294,4968,1257,368,391899,0 17,2,2024-09-07 09:04:41:698,403729,403729,0,0,17138798,0,2857 17,3,2024-09-07 09:04:40:584,1,318,17,0,298,5207,318,0 18,0,2024-09-07 09:04:40:941,81046,0.7,81410,0.8,162337,0.7,217017,2.25 18,1,2024-09-07 09:04:41:642,560110,560110,0,0,261615739166,2714227735,556777,3022,311,367,391649,0 18,2,2024-09-07 09:04:41:755,400435,400435,0,0,15932946,0,3541 18,3,2024-09-07 09:04:40:896,1,318,1,0,163,2803,318,0 19,0,2024-09-07 09:04:41:560,79123,0.6,79779,0.8,158062,0.6,210500,2.25 19,1,2024-09-07 09:04:40:582,560082,560082,0,0,261775931731,2719362017,554967,4276,839,367,391777,0 19,2,2024-09-07 09:04:41:756,405459,405459,0,0,14608291,0,3988 19,3,2024-09-07 09:04:41:135,1,318,12,0,524,2441,318,0 20,0,2024-09-07 09:04:41:414,74455,0.6,74477,0.7,148993,0.6,198886,2.00 20,1,2024-09-07 09:04:40:591,558256,558256,0,0,261104112384,2730416052,553935,3917,404,369,391886,0 20,2,2024-09-07 09:04:40:933,403706,403706,0,0,17577968,0,3721 20,3,2024-09-07 09:04:40:590,1,318,12,0,414,5222,318,0 21,0,2024-09-07 09:04:41:140,79955,0.5,80072,0.7,159917,0.5,212524,2.00 21,1,2024-09-07 09:04:41:601,557174,557174,0,0,259527254557,2738372754,549310,5987,1877,368,392016,0 21,2,2024-09-07 09:04:41:071,400336,400336,0,0,20716488,0,3747 21,3,2024-09-07 09:04:41:404,1,318,3,0,713,3930,318,0 22,0,2024-09-07 09:04:41:717,81379,0.6,81653,0.8,163352,0.6,216216,2.25 22,1,2024-09-07 09:04:41:024,558225,558225,0,0,259693135451,2734123404,549737,6669,1819,382,391667,0 22,2,2024-09-07 09:04:40:760,397178,397178,0,0,16813110,0,3134 22,3,2024-09-07 09:04:41:068,1,318,1,0,228,2826,318,0 23,0,2024-09-07 09:04:41:395,80817,0.6,80716,0.7,161788,0.5,215346,2.25 23,1,2024-09-07 09:04:41:006,558017,558017,0,0,260748489575,2744290856,547526,7333,3158,365,391690,0 23,2,2024-09-07 09:04:41:100,404392,404392,0,0,16548725,0,3010 23,3,2024-09-07 09:04:41:755,1,318,0,0,645,3936,318,0 24,0,2024-09-07 09:04:40:868,75508,0.4,75311,0.6,151251,0.4,200547,1.75 24,1,2024-09-07 09:04:40:645,557306,557306,0,0,259710207631,2730421172,550425,5354,1527,368,392269,0 24,2,2024-09-07 09:04:41:074,403117,403117,0,0,20749909,0,3607 24,3,2024-09-07 09:04:41:693,1,318,8,0,468,4413,318,0 25,0,2024-09-07 09:04:41:355,80696,0.4,78707,0.6,154353,0.4,211003,2.00 25,1,2024-09-07 09:04:40:589,558371,558371,0,0,260914323112,2742830024,550697,6308,1366,371,391928,0 25,2,2024-09-07 09:04:41:622,401549,401549,0,0,20741074,0,3978 25,3,2024-09-07 09:04:41:002,1,318,0,0,255,3278,318,0 26,0,2024-09-07 09:04:41:727,81782,0.5,79895,0.6,167791,0.4,218151,2.00 26,1,2024-09-07 09:04:41:541,559047,559047,0,0,259857005234,2729450665,550200,7311,1536,380,391748,0 26,2,2024-09-07 09:04:40:860,398845,398845,0,0,20361820,0,2809 26,3,2024-09-07 09:04:41:712,1,318,1,0,796,4331,318,0 27,0,2024-09-07 09:04:41:727,83466,0.5,83487,0.6,165509,0.4,221072,2.00 27,1,2024-09-07 09:04:41:676,560407,560407,0,0,261155407793,2723408509,555143,4499,765,381,391626,0 27,2,2024-09-07 09:04:40:866,399574,399509,65,0,20258471,0,5699 27,3,2024-09-07 09:04:41:016,1,318,232,0,564,3606,318,0 28,0,2024-09-07 09:04:41:401,77410,0.4,77119,0.6,154592,0.4,206177,2.00 28,1,2024-09-07 09:04:40:796,559484,559484,0,0,261522199748,2729214999,555108,3674,702,383,391646,0 28,2,2024-09-07 09:04:41:764,404137,404137,0,0,17817113,0,2915 28,3,2024-09-07 09:04:41:783,1,318,3,0,502,3492,318,0 29,0,2024-09-07 09:04:41:382,79694,0.4,77705,0.6,152130,0.3,208200,1.75 29,1,2024-09-07 09:04:41:565,560990,560990,0,0,262101037002,2718262429,556654,3653,683,369,391753,0 29,2,2024-09-07 09:04:40:871,401407,401407,0,0,17215914,0,4986 29,3,2024-09-07 09:04:40:967,1,318,14,0,459,3975,318,0 30,0,2024-09-07 09:04:41:472,82099,0.6,79706,0.8,166782,0.5,218365,2.00 30,1,2024-09-07 09:04:40:581,560508,560508,0,0,261412791982,2719710928,555690,4108,710,382,391672,0 30,2,2024-09-07 09:04:41:282,400722,400722,0,0,16192350,0,3161 30,3,2024-09-07 09:04:40:583,1,318,1,0,519,3373,318,0 31,0,2024-09-07 09:04:41:763,82615,0.4,82825,0.6,165894,0.4,221313,2.00 31,1,2024-09-07 09:04:40:584,562930,562930,0,0,262546699594,2696272045,560390,1982,558,356,391712,0 31,2,2024-09-07 09:04:41:275,399576,399576,0,0,17937419,0,3525 31,3,2024-09-07 09:04:41:709,1,318,10,0,220,2818,318,0 32,0,2024-09-07 09:04:41:449,78807,0.3,79117,0.5,158184,0.2,210469,1.75 32,1,2024-09-07 09:04:40:804,560327,560327,0,0,261232973509,2714404011,557258,2624,445,381,391646,0 32,2,2024-09-07 09:04:40:937,404774,404774,0,0,14989394,0,3155 32,3,2024-09-07 09:04:41:015,1,318,1,0,227,2406,318,0 33,0,2024-09-07 09:04:41:494,75766,0.3,75308,0.5,151121,0.2,201987,1.75 33,1,2024-09-07 09:04:40:583,560930,560930,0,0,262609531348,2721850009,556524,3575,831,369,391730,0 33,2,2024-09-07 09:04:40:771,403944,403909,35,0,17047112,0,7012 33,3,2024-09-07 09:04:40:895,1,318,2,0,329,3509,318,0 34,0,2024-09-07 09:04:40:932,80664,0.3,82930,0.5,158773,0.2,213406,1.75 34,1,2024-09-07 09:04:41:044,562026,562026,0,0,261950569601,2694046512,560635,1383,8,367,391562,0 34,2,2024-09-07 09:04:40:771,402492,402492,0,0,16383161,0,3577 34,3,2024-09-07 09:04:41:689,1,318,0,0,299,2420,318,0 35,0,2024-09-07 09:04:40:859,80733,0.4,81123,0.5,163172,0.3,217688,1.75 35,1,2024-09-07 09:04:41:067,560527,560527,0,0,261605203475,2713276708,556926,2821,780,382,391589,0 35,2,2024-09-07 09:04:41:584,398694,398694,0,0,16166597,0,2653 35,3,2024-09-07 09:04:40:907,1,318,6,0,418,4172,318,0 36,0,2024-09-07 09:04:41:515,80984,0.5,81049,0.7,162008,0.4,214703,2.00 36,1,2024-09-07 09:04:40:582,559757,559757,0,0,260356164965,2723875603,552531,5568,1658,366,391759,0 36,2,2024-09-07 09:04:41:755,404097,404097,0,0,18645931,0,3875 36,3,2024-09-07 09:04:40:863,1,318,14,0,416,5247,318,0 37,0,2024-09-07 09:04:41:385,73656,0.5,73578,0.7,147368,0.5,197469,2.00 37,1,2024-09-07 09:04:40:581,558456,558449,0,7,260749134057,2728495031,551680,4684,2085,365,391570,0 37,2,2024-09-07 09:04:41:147,402612,402597,15,0,18321727,0,5815 37,3,2024-09-07 09:04:41:766,1,318,3,0,888,5472,318,0 38,0,2024-09-07 09:04:41:445,78756,0.5,76517,0.7,160108,0.4,209979,2.00 38,1,2024-09-07 09:04:41:610,560384,560384,0,0,261005082917,2721072920,554350,5277,757,368,391821,0 38,2,2024-09-07 09:04:40:760,401637,401590,47,0,18919171,0,6710 38,3,2024-09-07 09:04:40:999,1,318,1,0,689,4578,318,0 39,0,2024-09-07 09:04:41:763,83615,0.6,81905,0.8,159297,0.7,217598,2.00 39,1,2024-09-07 09:04:40:716,559066,559066,0,0,260669731722,2731244096,550705,6901,1460,365,391594,0 39,2,2024-09-07 09:04:41:425,398232,398232,0,0,16852972,0,2689 39,3,2024-09-07 09:04:40:713,1,318,1,0,324,4170,318,0 40,0,2024-09-07 09:04:41:489,81566,0.8,82232,1.0,164257,0.8,218680,2.75 40,1,2024-09-07 09:04:40:583,559542,559542,0,0,260039208426,2728090317,550992,7040,1510,368,391668,0 40,2,2024-09-07 09:04:41:310,402099,402098,1,0,20377030,0,5137 40,3,2024-09-07 09:04:41:148,1,318,6,0,1028,4956,318,0 41,0,2024-09-07 09:04:41:028,75485,1.5,77355,1.3,147614,2.4,200717,3.25 41,1,2024-09-07 09:04:40:769,558412,558412,0,0,260662153415,2731478269,551365,6344,703,370,391742,0 41,2,2024-09-07 09:04:40:760,402815,402815,0,0,19542428,0,3356 41,3,2024-09-07 09:04:41:676,1,318,1,0,366,3340,318,0 42,0,2024-09-07 09:04:41:478,77604,1.0,77641,1.1,155571,1.1,205688,2.75 42,1,2024-09-07 09:04:41:440,557643,557643,0,0,259922551450,2737242627,548116,7769,1758,380,391675,0 42,2,2024-09-07 09:04:41:138,402293,402293,0,0,19613725,0,3790 42,3,2024-09-07 09:04:41:009,1,318,2,0,446,3047,318,0 43,0,2024-09-07 09:04:40:920,81434,1.0,79422,1.1,166295,1.2,217732,2.50 43,1,2024-09-07 09:04:40:583,559237,559237,0,0,260939963783,2731868250,551006,6793,1438,366,391696,0 43,2,2024-09-07 09:04:41:738,399453,399453,0,0,19343671,0,3812 43,3,2024-09-07 09:04:41:752,1,318,19,0,467,4015,318,0 44,0,2024-09-07 09:04:40:858,83568,0.5,84007,0.6,167507,0.4,223121,2.00 44,1,2024-09-07 09:04:40:568,560609,560609,0,0,261103332165,2704705902,555551,4011,1047,356,391809,0 44,2,2024-09-07 09:04:41:269,400124,400124,0,0,16168642,0,4344 44,3,2024-09-07 09:04:41:093,1,318,1,0,817,4393,318,0 45,0,2024-09-07 09:04:41:762,76794,0.5,74943,0.7,157152,0.5,207165,2.00 45,1,2024-09-07 09:04:41:009,559592,559592,0,0,261390245671,2720419393,555160,3956,476,382,391917,0 45,2,2024-09-07 09:04:41:271,404372,404372,0,0,16765235,0,3596 45,3,2024-09-07 09:04:40:936,1,318,16,0,271,3130,318,0 46,0,2024-09-07 09:04:40:965,75981,0.7,75987,0.8,152202,0.7,202293,2.25 46,1,2024-09-07 09:04:40:575,561478,561478,0,0,262279301440,2712126494,557773,3279,426,366,391572,0 46,2,2024-09-07 09:04:40:593,403078,403078,0,0,15827102,0,2920 46,3,2024-09-07 09:04:41:136,1,318,51,0,908,4839,318,0 47,0,2024-09-07 09:04:41:109,81846,0.5,81905,0.6,164082,0.5,217776,2.00 47,1,2024-09-07 09:04:40:574,560836,560836,0,0,261366690796,2708134322,556353,3668,815,366,391641,0 47,2,2024-09-07 09:04:40:908,403506,403506,0,0,16383774,0,4477 47,3,2024-09-07 09:04:41:115,1,318,1,0,529,3541,318,0 48,0,2024-09-07 09:04:41:492,82694,0.3,82182,0.4,163893,0.2,219238,1.50 48,1,2024-09-07 09:04:41:027,560261,560261,0,0,261492608158,2717629839,556708,3251,302,384,391710,0 48,2,2024-09-07 09:04:40:699,398924,398924,0,0,14736053,0,3031 48,3,2024-09-07 09:04:40:755,1,318,0,0,339,2802,318,0 49,0,2024-09-07 09:04:41:726,81767,0.3,80316,0.5,155558,0.3,212907,1.75 49,1,2024-09-07 09:04:41:028,559756,559756,0,0,260669498589,2717214734,555443,3128,1185,382,391809,0 49,2,2024-09-07 09:04:41:818,404975,404975,0,0,15787021,0,4426 49,3,2024-09-07 09:04:41:425,1,318,0,0,408,3439,318,0 50,0,2024-09-07 09:04:41:508,74706,0.3,73905,0.5,148706,0.2,198506,1.75 50,1,2024-09-07 09:04:41:009,561970,561970,0,0,262219842428,2713579784,558156,3440,374,368,391565,0 50,2,2024-09-07 09:04:41:067,403977,403977,0,0,14919516,0,2263 50,3,2024-09-07 09:04:41:292,1,318,4,0,335,3188,318,0 51,0,2024-09-07 09:04:41:695,82051,0.3,80117,0.5,156502,0.2,213444,1.75 51,1,2024-09-07 09:04:41:680,561923,561923,0,0,262976501886,2715462951,558669,2279,975,365,391706,0 51,2,2024-09-07 09:04:41:325,402491,402491,0,0,14453803,0,3337 51,3,2024-09-07 09:04:41:027,1,318,4,0,678,2541,318,0 52,0,2024-09-07 09:04:41:419,81912,0.5,81865,0.7,163598,0.5,217544,2.00 52,1,2024-09-07 09:04:40:584,558829,558829,0,0,260310586937,2731269064,550280,7239,1310,368,391722,0 52,2,2024-09-07 09:04:41:756,395244,395206,38,0,19013377,0,6742 52,3,2024-09-07 09:04:40:674,1,318,0,0,1782,5148,318,0 53,0,2024-09-07 09:04:41:742,80687,0.6,78452,0.8,164059,0.7,214888,2.25 53,1,2024-09-07 09:04:40:770,557807,557807,0,0,260538520603,2739322010,548385,6841,2581,367,391702,0 53,2,2024-09-07 09:04:41:311,404283,404283,0,0,16311514,0,2727 53,3,2024-09-07 09:04:40:699,1,318,1,0,308,3179,318,0 54,0,2024-09-07 09:04:41:620,73882,0.6,74343,0.8,147893,0.4,197658,2.50 54,1,2024-09-07 09:04:40:582,559367,559367,0,0,261499786448,2720870414,553775,4990,602,367,391659,0 54,2,2024-09-07 09:04:40:864,404862,404856,6,0,18901595,0,5382 54,3,2024-09-07 09:04:40:763,1,318,1,0,676,5106,318,0 55,0,2024-09-07 09:04:41:773,75959,0.6,78719,0.8,158337,0.6,207102,2.50 55,1,2024-09-07 09:04:40:763,559069,559069,0,0,260985930491,2720171532,552449,5711,909,365,391731,0 55,2,2024-09-07 09:04:40:730,401576,401576,0,0,18387181,0,3563 55,3,2024-09-07 09:04:40:676,1,318,11,0,304,3633,318,0 56,0,2024-09-07 09:04:41:712,83686,1.3,78916,1.2,162789,1.8,217786,2.75 56,1,2024-09-07 09:04:40:587,556587,556587,0,0,259810393218,2748538274,547860,7098,1629,381,391867,0 56,2,2024-09-07 09:04:41:325,398194,398194,0,0,19247491,0,3567 56,3,2024-09-07 09:04:41:061,1,318,3,0,705,4373,318,0 57,0,2024-09-07 09:04:40:949,82124,1.5,81889,1.2,164273,2.1,219638,3.00 57,1,2024-09-07 09:04:41:101,558357,558357,0,0,260266550561,2732667623,551544,6095,718,366,392032,0 57,2,2024-09-07 09:04:41:317,402135,402135,0,0,20993830,0,3317 57,3,2024-09-07 09:04:41:743,1,318,9,0,359,3844,318,0 58,0,2024-09-07 09:04:40:559,75878,0.9,73834,1.0,154650,1.1,202922,2.50 58,1,2024-09-07 09:04:40:577,559359,559356,0,3,260654162153,2730098587,551240,7034,1082,367,391603,3 58,2,2024-09-07 09:04:41:071,403571,403571,0,0,19285906,0,2549 58,3,2024-09-07 09:04:41:068,1,318,0,0,1043,3379,318,0 59,0,2024-09-07 09:04:41:761,77178,0.9,76815,1.0,153573,1.0,204419,2.75 59,1,2024-09-07 09:04:40:803,558998,558998,0,0,260758283267,2734665599,551140,6338,1520,369,391586,0 59,2,2024-09-07 09:04:40:583,403187,403187,0,0,18980617,0,2867 59,3,2024-09-07 09:04:41:737,1,318,2,0,1015,4254,318,0 60,0,2024-09-07 09:04:41:767,82193,0.6,82294,0.7,165240,0.6,219071,2.00 60,1,2024-09-07 09:04:40:837,560386,560386,0,0,261778762896,2721502309,556287,3454,645,370,392031,0 60,2,2024-09-07 09:04:41:149,400670,400670,0,0,18524069,0,3811 60,3,2024-09-07 09:04:41:258,1,318,2,0,409,3859,318,0 61,0,2024-09-07 09:04:41:535,82822,0.7,83342,0.8,165561,0.7,220995,2.00 61,1,2024-09-07 09:04:40:794,558712,558712,0,0,260868564106,2739875519,551557,5831,1324,382,392127,0 61,2,2024-09-07 09:04:41:206,399708,399641,67,0,18756856,0,6411 61,3,2024-09-07 09:04:41:702,1,318,10,0,479,4901,318,0 62,0,2024-09-07 09:04:41:715,79293,0.5,81011,0.7,154465,0.5,210216,2.00 62,1,2024-09-07 09:04:41:114,561894,561888,0,6,261797850589,2705778461,558313,3316,259,365,391715,6 62,2,2024-09-07 09:04:41:645,402945,402944,1,0,18307944,0,5555 62,3,2024-09-07 09:04:41:148,1,318,12,0,465,2530,318,0 63,0,2024-09-07 09:04:41:455,75621,0.4,75645,0.6,151508,0.4,201884,1.75 63,1,2024-09-07 09:04:40:803,560244,560238,0,6,261895582062,2722707901,556311,3540,387,381,391800,6 63,2,2024-09-07 09:04:40:764,403213,403213,0,0,16711535,0,4369 63,3,2024-09-07 09:04:41:745,1,318,2,0,667,3728,318,0 64,0,2024-09-07 09:04:41:522,80013,0.5,79957,0.7,159769,0.5,212498,2.00 64,1,2024-09-07 09:04:40:752,559833,559833,0,0,261130529587,2725549774,554199,3978,1656,370,391783,0 64,2,2024-09-07 09:04:41:153,405517,405498,19,0,16352795,0,6121 64,3,2024-09-07 09:04:41:181,1,318,24,0,265,3070,318,0 65,0,2024-09-07 09:04:41:733,80669,0.7,80970,0.8,161555,0.8,215618,2.25 65,1,2024-09-07 09:04:40:862,558721,558721,0,0,260689777757,2727086881,554643,3627,451,382,391901,0 65,2,2024-09-07 09:04:41:709,399291,399291,0,0,17721416,0,3367 65,3,2024-09-07 09:04:41:694,1,318,2,0,163,2863,318,0 66,0,2024-09-07 09:04:41:765,80437,0.5,80334,0.7,160954,0.5,213547,2.00 66,1,2024-09-07 09:04:41:299,559968,559968,0,0,261841450548,2724425710,556413,3234,321,380,391653,0 66,2,2024-09-07 09:04:41:136,406103,406103,0,0,16074134,0,4956 66,3,2024-09-07 09:04:41:087,1,318,1,0,291,3137,318,0 67,0,2024-09-07 09:04:41:417,74506,0.5,73800,0.7,148335,0.5,197629,2.00 67,1,2024-09-07 09:04:40:773,560145,560144,0,1,260941468496,2720169411,555980,3413,751,380,391787,1 67,2,2024-09-07 09:04:40:584,405123,405123,0,0,15515134,0,2889 67,3,2024-09-07 09:04:41:755,1,318,10,0,338,2808,318,0 68,0,2024-09-07 09:04:40:580,79635,0.6,79158,0.7,158002,0.6,211824,2.00 68,1,2024-09-07 09:04:40:581,558702,558702,0,0,259903951384,2725537271,553900,3601,1201,381,391953,0 68,2,2024-09-07 09:04:41:045,400545,400480,65,0,20654766,0,6698 68,3,2024-09-07 09:04:40:729,1,318,2,0,417,3559,318,0 69,0,2024-09-07 09:04:41:746,81513,0.9,81969,0.9,163363,1.2,216634,2.25 69,1,2024-09-07 09:04:41:032,556795,556795,0,0,259772087564,2746035067,548827,5858,2110,384,391994,0 69,2,2024-09-07 09:04:41:750,397740,397740,0,0,20513386,0,3722 69,3,2024-09-07 09:04:40:762,1,318,1,0,698,4623,318,0 70,0,2024-09-07 09:04:41:532,81594,0.8,81542,1.0,164169,0.6,217299,2.50 70,1,2024-09-07 09:04:40:800,560206,560206,0,0,260973299263,2712830281,555358,4312,536,366,391725,0 70,2,2024-09-07 09:04:41:325,402060,402060,0,0,18694799,0,4044 70,3,2024-09-07 09:04:40:747,1,318,1,0,854,3685,318,0 71,0,2024-09-07 09:04:41:356,75591,0.9,75129,1.0,151028,1.1,201496,2.75 71,1,2024-09-07 09:04:41:599,559146,559146,0,0,261401448230,2730916572,552580,5910,656,368,391738,0 71,2,2024-09-07 09:04:41:067,404210,404210,0,0,18415063,0,4042 71,3,2024-09-07 09:04:41:754,1,318,0,0,644,4506,318,0 72,0,2024-09-07 09:04:41:030,80849,0.7,79095,0.8,154190,0.7,209879,2.25 72,1,2024-09-07 09:04:41:036,558320,558320,0,0,260358269330,2733113959,550096,6528,1696,369,391819,0 72,2,2024-09-07 09:04:41:757,401214,401214,0,0,21378679,0,3983 72,3,2024-09-07 09:04:41:754,1,318,8,0,564,5238,318,0 73,0,2024-09-07 09:04:41:175,80448,0.5,82395,0.7,168772,0.4,218980,2.00 73,1,2024-09-07 09:04:40:785,559549,559549,0,0,260799191474,2715445717,555233,3986,330,367,391858,0 73,2,2024-09-07 09:04:41:756,399352,399352,0,0,20320867,0,3701 73,3,2024-09-07 09:04:40:982,1,318,9,0,274,4463,318,0 74,0,2024-09-07 09:04:41:322,84073,0.5,86128,0.7,164506,0.4,222942,2.25 74,1,2024-09-07 09:04:40:644,559127,559127,0,0,260516440383,2724443720,553050,4815,1262,381,391681,0 74,2,2024-09-07 09:04:41:002,400573,400573,0,0,19406816,0,4253 74,3,2024-09-07 09:04:41:454,1,318,10,0,522,4590,318,0 75,0,2024-09-07 09:04:41:785,77941,0.5,77649,0.7,155471,0.4,208102,2.25 75,1,2024-09-07 09:04:41:592,558467,558467,0,0,260146400475,2722793620,552117,5525,825,380,391739,0 75,2,2024-09-07 09:04:41:350,403489,403489,0,0,19497364,0,4766 75,3,2024-09-07 09:04:41:069,1,318,12,0,702,4723,318,0 76,0,2024-09-07 09:04:40:655,76224,0.7,75658,0.8,151905,0.7,203469,2.50 76,1,2024-09-07 09:04:40:839,559207,559207,0,0,259979088643,2715591291,555292,3326,589,382,391692,0 76,2,2024-09-07 09:04:41:062,403675,403674,1,0,18700326,0,5144 76,3,2024-09-07 09:04:41:148,1,318,28,0,175,3158,318,0 77,0,2024-09-07 09:04:41:939,81513,0.6,81660,0.8,163265,0.7,217780,2.00 77,1,2024-09-07 09:04:40:831,559351,559351,0,0,260893160935,2730370156,554172,4691,488,381,391869,0 77,2,2024-09-07 09:04:41:315,402327,402327,0,0,18216866,0,3890 77,3,2024-09-07 09:04:41:106,1,318,3,0,401,3669,318,0 78,0,2024-09-07 09:04:41:716,82309,0.5,81827,0.7,164495,0.4,218474,2.00 78,1,2024-09-07 09:04:40:611,559993,559993,0,0,261103166660,2723006998,554136,4712,1145,367,391670,0 78,2,2024-09-07 09:04:41:405,399842,399842,0,0,15774731,0,3855 78,3,2024-09-07 09:04:41:135,1,318,8,0,181,3145,318,0 79,0,2024-09-07 09:04:41:349,77126,0.4,79170,0.6,161687,0.4,210358,2.25 79,1,2024-09-07 09:04:40:583,561188,561188,0,0,261080923018,2707763895,556636,3919,633,369,391682,0 79,2,2024-09-07 09:04:41:070,404940,404940,0,0,16674072,0,4195 79,3,2024-09-07 09:04:40:749,1,318,1,0,418,4260,318,0 80,0,2024-09-07 09:04:41:104,74587,0.5,76618,0.7,146803,0.5,198416,2.00 80,1,2024-09-07 09:04:41:639,559707,559707,0,0,260999059117,2717037878,556365,3165,177,368,391791,0 80,2,2024-09-07 09:04:41:107,405273,405273,0,0,15787885,0,4433 80,3,2024-09-07 09:04:40:579,1,318,10,0,190,3926,318,0 81,0,2024-09-07 09:04:41:614,79843,0.6,81808,0.7,156095,0.5,212177,2.00 81,1,2024-09-07 09:04:41:664,558864,558864,0,0,260405864084,2724582401,554018,4347,499,382,391879,0 81,2,2024-09-07 09:04:41:131,401992,401929,63,0,17759828,0,5932 81,3,2024-09-07 09:04:41:130,1,318,3,0,374,3796,318,0 82,0,2024-09-07 09:04:41:568,81304,0.5,81783,0.7,163809,0.5,217099,2.00 82,1,2024-09-07 09:04:40:584,560698,560694,0,4,261359156953,2723450962,556799,3098,797,381,391768,4 82,2,2024-09-07 09:04:41:695,399393,399393,0,0,15102324,0,3986 82,3,2024-09-07 09:04:41:752,1,318,0,0,363,3390,318,0 83,0,2024-09-07 09:04:41:526,81532,0.5,81278,0.7,161810,0.5,215226,2.00 83,1,2024-09-07 09:04:40:575,559084,559084,0,0,260830994739,2722919413,554777,3927,380,382,391709,0 83,2,2024-09-07 09:04:40:764,403588,403588,0,0,15655772,0,3393 83,3,2024-09-07 09:04:40:749,1,318,1,0,1260,4816,318,0 84,0,2024-09-07 09:04:41:932,74504,0.7,74408,0.9,149033,0.6,199075,2.25 84,1,2024-09-07 09:04:41:042,558984,558984,0,0,260659629099,2726775526,553250,5007,727,367,391967,0 84,2,2024-09-07 09:04:40:572,404423,404423,0,0,19760511,0,4757 84,3,2024-09-07 09:04:41:149,1,318,2,0,908,4969,318,0 85,0,2024-09-07 09:04:41:111,75684,0.7,75721,0.9,160680,0.8,208301,2.50 85,1,2024-09-07 09:04:40:613,557634,557634,0,0,260436892386,2752214062,549134,7132,1368,381,392006,0 85,2,2024-09-07 09:04:40:870,402786,402786,0,0,19573023,0,3656 85,3,2024-09-07 09:04:40:690,1,318,2,0,789,4346,318,0 86,0,2024-09-07 09:04:40:895,82049,0.7,84341,0.8,161538,0.8,217956,2.25 86,1,2024-09-07 09:04:40:824,559054,559054,0,0,260534463353,2731443246,552179,5810,1065,366,391961,0 86,2,2024-09-07 09:04:40:854,397636,397635,1,0,20278465,0,5004 86,3,2024-09-07 09:04:40:591,1,318,5,0,308,4497,318,0 87,0,2024-09-07 09:04:41:289,82802,0.9,82786,0.9,166013,1.2,221384,2.25 87,1,2024-09-07 09:04:40:585,558073,558073,0,0,260810672042,2731484068,551903,5560,610,366,392076,0 87,2,2024-09-07 09:04:41:069,401446,401446,0,0,18576103,0,4045 87,3,2024-09-07 09:04:41:795,1,318,2,0,473,4683,318,0 88,0,2024-09-07 09:04:41:479,77104,0.4,77715,0.6,154980,0.4,205789,2.00 88,1,2024-09-07 09:04:40:629,557315,557315,0,0,260629087432,2736931034,549626,6025,1664,365,392084,0 88,2,2024-09-07 09:04:40:691,404158,404158,0,0,20752700,0,3583 88,3,2024-09-07 09:04:41:271,1,318,6,0,435,3582,318,0 89,0,2024-09-07 09:04:41:852,79871,0.5,77205,0.7,153136,0.4,208037,2.00 89,1,2024-09-07 09:04:40:562,557552,557552,0,0,260171205039,2742412386,549812,6467,1273,382,391866,0 89,2,2024-09-07 09:04:41:139,401787,401787,0,0,19824465,0,2910 89,3,2024-09-07 09:04:41:797,1,318,29,0,385,6032,318,0 90,0,2024-09-07 09:04:41:628,80018,0.5,82052,0.7,167534,0.5,218432,2.00 90,1,2024-09-07 09:04:40:592,558760,558760,0,0,261186498325,2742116389,552580,5682,498,380,391825,0 90,2,2024-09-07 09:04:41:416,399611,399611,0,0,20982347,0,3060 90,3,2024-09-07 09:04:40:931,1,318,2,0,246,3617,318,0 91,0,2024-09-07 09:04:40:938,83227,0.5,80764,0.7,168538,0.5,221582,1.75 91,1,2024-09-07 09:04:40:571,557402,557402,0,0,260675096775,2746942092,549594,6766,1042,381,392047,0 91,2,2024-09-07 09:04:41:331,399790,399790,0,0,18863328,0,2896 91,3,2024-09-07 09:04:40:598,1,318,7,0,216,3092,318,0 92,0,2024-09-07 09:04:41:509,79462,0.5,81539,0.6,155676,0.4,210682,1.75 92,1,2024-09-07 09:04:41:126,558782,558782,0,0,259765708576,2718238202,554013,4083,686,382,392136,0 92,2,2024-09-07 09:04:41:357,405345,405345,0,0,17001080,0,3259 92,3,2024-09-07 09:04:41:010,1,318,23,0,167,2832,318,0 93,0,2024-09-07 09:04:41:058,76098,0.4,77952,0.6,148840,0.3,201923,1.75 93,1,2024-09-07 09:04:40:819,558845,558845,0,0,260958833730,2729118782,552739,5079,1027,366,391776,0 93,2,2024-09-07 09:04:40:969,402590,402590,0,0,19849234,0,4845 93,3,2024-09-07 09:04:41:407,1,318,6,0,190,3060,318,0 94,0,2024-09-07 09:04:41:642,80140,0.4,80823,0.5,161224,0.3,214048,1.75 94,1,2024-09-07 09:04:40:575,559186,559186,0,0,261436664952,2732058688,555159,3837,190,381,391850,0 94,2,2024-09-07 09:04:40:763,402121,402121,0,0,17126659,0,2443 94,3,2024-09-07 09:04:41:691,1,318,1,0,264,4037,318,0 95,0,2024-09-07 09:04:41:342,81243,0.4,81265,0.6,163113,0.3,217467,1.75 95,1,2024-09-07 09:04:40:850,560735,560735,0,0,261656594513,2725101940,555786,4552,397,367,391713,0 95,2,2024-09-07 09:04:41:022,398596,398596,0,0,16837964,0,3308 95,3,2024-09-07 09:04:41:713,1,318,7,0,718,5163,318,0 96,0,2024-09-07 09:04:41:082,81070,0.4,81215,0.5,161876,0.3,214379,1.75 96,1,2024-09-07 09:04:41:608,558857,558857,0,0,260886123379,2725433013,554348,3718,791,384,391955,0 96,2,2024-09-07 09:04:41:270,404334,404334,0,0,16774932,0,4042 96,3,2024-09-07 09:04:41:148,1,318,2,0,411,3444,318,0 97,0,2024-09-07 09:04:41:346,74267,0.3,74010,0.5,148471,0.3,197435,1.75 97,1,2024-09-07 09:04:40:772,561237,561237,0,0,261201380581,2710411648,557396,3170,671,367,392140,0 97,2,2024-09-07 09:04:40:616,405158,405158,0,0,16264776,0,3036 97,3,2024-09-07 09:04:40:572,1,318,1,0,214,3835,318,0 98,0,2024-09-07 09:04:41:692,79442,0.3,79315,0.5,159220,0.2,211958,1.50 98,1,2024-09-07 09:04:40:573,560172,560172,0,0,260693575487,2714013994,557528,2539,105,382,391997,0 98,2,2024-09-07 09:04:40:769,402989,402989,0,0,16180372,0,3080 98,3,2024-09-07 09:04:40:698,1,318,3,0,840,5216,318,0 99,0,2024-09-07 09:04:41:493,82001,0.4,82556,0.5,164385,0.3,218929,1.75 99,1,2024-09-07 09:04:41:737,559815,559815,0,0,261018157324,2720638501,555686,3376,753,381,392069,0 99,2,2024-09-07 09:04:41:419,399837,399837,0,0,17926589,0,3424 99,3,2024-09-07 09:04:40:583,1,318,1,0,199,2978,318,0 100,0,2024-09-07 09:04:41:525,82068,0.8,82028,1.0,164153,0.9,219004,2.50 100,1,2024-09-07 09:04:40:565,556659,556659,0,0,260066230406,2751520125,548209,6957,1493,381,391989,0 100,2,2024-09-07 09:04:41:830,401643,401632,11,0,18985190,0,5417 100,3,2024-09-07 09:04:41:746,1,318,3,0,559,5588,318,0 101,0,2024-09-07 09:04:41:792,77659,1.1,75669,1.0,148246,0.9,203077,2.25 101,1,2024-09-07 09:04:40:560,557044,557044,0,0,259256183303,2734568521,547992,7032,2020,368,391771,0 101,2,2024-09-07 09:04:41:762,402428,402428,0,0,21931811,0,4644 101,3,2024-09-07 09:04:40:947,1,318,37,0,448,3839,318,0 102,0,2024-09-07 09:04:41:004,76304,0.8,78916,0.8,159211,0.8,208916,2.25 102,1,2024-09-07 09:04:41:150,557797,557797,0,0,260771630536,2742360818,550502,6265,1030,369,391891,0 102,2,2024-09-07 09:04:41:743,403523,403469,54,0,18571270,0,6768 102,3,2024-09-07 09:04:41:618,1,318,1,0,410,3532,318,0 103,0,2024-09-07 09:04:41:605,85067,0.7,85097,0.8,160452,0.8,220791,2.00 103,1,2024-09-07 09:04:41:629,557070,557070,0,0,259951700216,2745507130,548334,6741,1995,381,391829,0 103,2,2024-09-07 09:04:40:583,398410,398410,0,0,19105950,0,3173 103,3,2024-09-07 09:04:40:756,1,318,1,0,916,4108,318,0 104,0,2024-09-07 09:04:41:226,82666,0.8,83049,1.0,164966,0.7,222156,2.50 104,1,2024-09-07 09:04:41:611,558247,558247,0,0,259676570057,2736530485,549365,7185,1697,365,392168,0 104,2,2024-09-07 09:04:41:692,399011,399011,0,0,20501356,0,3941 104,3,2024-09-07 09:04:41:418,1,318,102,0,1245,7236,318,0 105,0,2024-09-07 09:04:41:115,76917,0.9,74865,1.1,156788,1.1,206474,3.00 105,1,2024-09-07 09:04:40:610,558681,558681,0,0,260764982557,2741026870,550540,6810,1331,366,391797,0 105,2,2024-09-07 09:04:41:328,403568,403568,0,0,19889952,0,3509 105,3,2024-09-07 09:04:41:317,1,318,4,0,399,5264,318,0 106,0,2024-09-07 09:04:40:993,73944,1.0,75731,1.0,154842,1.1,202921,2.75 106,1,2024-09-07 09:04:41:760,557433,557433,0,0,260619760639,2745914126,547867,8275,1291,369,391914,0 106,2,2024-09-07 09:04:40:762,400042,400042,0,0,19643984,0,2795 106,3,2024-09-07 09:04:40:678,1,318,1,0,470,4238,318,0 107,0,2024-09-07 09:04:41:192,81338,1.2,81234,1.0,162488,1.6,217274,2.25 107,1,2024-09-07 09:04:40:585,556735,556735,0,0,259172992807,2736270232,548780,7041,914,381,392234,0 107,2,2024-09-07 09:04:41:295,400376,400375,1,0,20000984,0,5024 107,3,2024-09-07 09:04:41:757,1,318,8,0,353,4407,318,0 108,0,2024-09-07 09:04:41:789,81850,0.5,82329,0.7,163627,0.4,219079,1.75 108,1,2024-09-07 09:04:41:316,558996,558996,0,0,261470630013,2731614091,553923,4557,516,368,391857,0 108,2,2024-09-07 09:04:41:756,397781,397781,0,0,18983775,0,4246 108,3,2024-09-07 09:04:41:334,1,318,1,0,749,6637,318,0 109,0,2024-09-07 09:04:41:768,80249,0.4,79306,0.6,158923,0.3,212667,1.75 109,1,2024-09-07 09:04:40:610,557064,557064,0,0,261286829565,2744460477,551874,4528,662,383,392132,0 109,2,2024-09-07 09:04:40:922,402004,402004,0,0,18641663,0,3617 109,3,2024-09-07 09:04:41:151,1,318,8,0,379,3980,318,0 110,0,2024-09-07 09:04:41:904,74654,0.4,72691,0.6,151936,0.3,199183,1.75 110,1,2024-09-07 09:04:41:667,560354,560354,0,0,261559976761,2718199328,556358,3022,974,369,392045,0 110,2,2024-09-07 09:04:41:337,403442,403442,0,0,18496152,0,4067 110,3,2024-09-07 09:04:40:690,1,318,4,0,722,5013,318,0 111,0,2024-09-07 09:04:41:411,80332,0.5,79631,0.6,159422,0.4,213589,1.75 111,1,2024-09-07 09:04:41:000,560294,560294,0,0,262414969516,2726755980,556915,3027,352,382,391690,0 111,2,2024-09-07 09:04:41:123,401324,401324,0,0,17889560,0,4823 111,3,2024-09-07 09:04:40:920,1,318,10,0,379,4079,318,0 112,0,2024-09-07 09:04:40:917,82394,0.3,81769,0.4,164080,0.2,217848,1.50 112,1,2024-09-07 09:04:40:823,560633,560633,0,0,260923690870,2709702870,557174,2875,584,380,391624,0 112,2,2024-09-07 09:04:41:140,398735,398734,1,0,15987045,0,5036 112,3,2024-09-07 09:04:40:592,1,318,1,0,282,3392,318,0 113,0,2024-09-07 09:04:40:865,81178,0.3,81169,0.5,162839,0.2,216695,1.50 113,1,2024-09-07 09:04:41:685,562234,562234,0,0,262298808686,2708902252,558957,2693,584,366,391661,0 113,2,2024-09-07 09:04:41:317,405514,405514,0,0,14828956,0,3813 113,3,2024-09-07 09:04:40:684,1,318,1,0,340,3978,318,0 114,0,2024-09-07 09:04:40:879,75388,0.3,75910,0.5,150885,0.2,201185,1.75 114,1,2024-09-07 09:04:40:716,560110,560110,0,0,261409541637,2719887951,554990,3528,1592,381,391556,0 114,2,2024-09-07 09:04:40:873,405409,405408,1,0,16387261,0,5069 114,3,2024-09-07 09:04:41:283,1,318,14,0,395,2949,318,0 115,0,2024-09-07 09:04:40:558,78626,0.3,79078,0.4,157942,0.2,210721,1.50 115,1,2024-09-07 09:04:40:573,559924,559924,0,0,261304869049,2716572269,555067,4017,840,382,391656,0 115,2,2024-09-07 09:04:41:132,404055,404055,0,0,15183638,0,3453 115,3,2024-09-07 09:04:41:001,1,318,1,0,159,1947,318,0 116,0,2024-09-07 09:04:41:761,81755,0.9,81653,1.0,163673,1.1,218906,2.25 116,1,2024-09-07 09:04:40:813,556932,556932,0,0,259965197222,2747436619,549343,5323,2266,380,391782,0 116,2,2024-09-07 09:04:41:759,397732,397732,0,0,20421141,0,3529 116,3,2024-09-07 09:04:40:912,1,318,35,0,415,4117,318,0 117,0,2024-09-07 09:04:41:002,83295,0.8,82818,0.9,165911,0.9,221778,2.00 117,1,2024-09-07 09:04:41:743,558141,558141,0,0,260140516257,2727268612,551876,5597,668,370,392033,0 117,2,2024-09-07 09:04:41:131,404602,404602,0,0,16904967,0,3700 117,3,2024-09-07 09:04:41:064,1,318,8,0,490,4840,318,0 118,0,2024-09-07 09:04:41:791,74991,0.5,77045,0.7,157079,0.5,205072,2.00 118,1,2024-09-07 09:04:40:585,557841,557841,0,0,260201733044,2740134753,549069,6875,1897,366,391907,0 118,2,2024-09-07 09:04:41:597,403588,403588,0,0,18663434,0,2781 118,3,2024-09-07 09:04:41:768,1,318,8,0,235,3512,318,0 119,0,2024-09-07 09:04:41:348,77071,0.7,77528,0.8,155113,0.7,206802,2.00 119,1,2024-09-07 09:04:40:548,559108,559108,0,0,260730425239,2730732095,552552,5720,836,367,391780,0 119,2,2024-09-07 09:04:41:263,403035,403035,0,0,17673717,0,4174 119,3,2024-09-07 09:04:41:325,1,318,1,0,563,4905,318,0 120,0,2024-09-07 09:04:41:626,81884,0.7,81682,0.9,163594,0.7,218932,2.25 120,1,2024-09-07 09:04:40:998,558493,558493,0,0,259535640066,2729465962,552095,5843,555,368,391961,0 120,2,2024-09-07 09:04:40:774,400267,400266,1,0,20777876,0,5281 120,3,2024-09-07 09:04:41:315,1,318,23,0,241,4119,318,0 121,0,2024-09-07 09:04:42:007,82673,1.1,82823,1.0,166144,1.4,221242,2.25 121,1,2024-09-07 09:04:41:726,558828,558828,0,0,260838854083,2733847470,552939,5452,437,367,391840,0 121,2,2024-09-07 09:04:41:137,398566,398566,0,0,20013782,0,4127 121,3,2024-09-07 09:04:40:728,1,318,2,0,269,3826,318,0 122,0,2024-09-07 09:04:41:838,78648,0.8,76647,0.9,160615,0.9,210606,2.00 122,1,2024-09-07 09:04:40:862,557393,557393,0,0,260094139548,2735815394,548940,7192,1261,366,392130,0 122,2,2024-09-07 09:04:41:319,403706,403633,73,0,22555826,0,5989 122,3,2024-09-07 09:04:40:593,1,318,1,0,411,5922,318,0 123,0,2024-09-07 09:04:40:987,75264,0.8,73469,0.8,153330,0.9,201605,2.00 123,1,2024-09-07 09:04:40:651,557497,557497,0,0,260000141464,2748869008,546084,9288,2125,369,392039,0 123,2,2024-09-07 09:04:41:028,400934,400933,1,0,19523435,0,5215 123,3,2024-09-07 09:04:41:141,1,318,7,0,168,3812,318,0 124,0,2024-09-07 09:04:40:937,82949,0.4,82928,0.5,156212,0.3,214867,1.75 124,1,2024-09-07 09:04:41:026,560096,560096,0,0,260329173164,2713744006,555390,3798,908,367,392178,0 124,2,2024-09-07 09:04:41:009,403083,403030,53,0,17473480,0,6487 124,3,2024-09-07 09:04:40:764,1,318,2,0,490,3355,318,0 125,0,2024-09-07 09:04:41:449,81657,0.4,81227,0.6,163511,0.4,217782,1.75 125,1,2024-09-07 09:04:40:857,558580,558580,0,0,261269541718,2732536018,553709,4230,641,383,391702,0 125,2,2024-09-07 09:04:41:130,398686,398686,0,0,17935714,0,4534 125,3,2024-09-07 09:04:41:137,1,318,1,0,709,4550,318,0 126,0,2024-09-07 09:04:41:428,80837,0.4,83098,0.6,158885,0.4,215211,1.75 126,1,2024-09-07 09:04:40:596,560599,560599,0,0,261284383588,2712032530,556873,3443,283,365,391987,0 126,2,2024-09-07 09:04:40:610,404846,404846,0,0,18064007,0,4539 126,3,2024-09-07 09:04:40:907,1,318,2,0,207,4065,318,0 127,0,2024-09-07 09:04:41:606,73965,0.3,74399,0.5,148302,0.3,197384,1.75 127,1,2024-09-07 09:04:40:573,559836,559836,0,0,261479206008,2720640316,554444,4532,860,365,392187,0 127,2,2024-09-07 09:04:40:652,403634,403634,0,0,16659907,0,3897 127,3,2024-09-07 09:04:41:271,1,318,5,0,968,3959,318,0 128,0,2024-09-07 09:04:41:633,79607,0.3,79799,0.5,159309,0.2,212166,1.50 128,1,2024-09-07 09:04:41:702,559641,559641,0,0,261400205959,2717854984,555551,3721,369,367,391798,0 128,2,2024-09-07 09:04:41:386,403553,403553,0,0,15557280,0,2915 128,3,2024-09-07 09:04:40:768,1,318,1,0,1082,5820,318,0 129,0,2024-09-07 09:04:40:999,82591,0.3,82235,0.5,164877,0.3,219042,1.75 129,1,2024-09-07 09:04:40:580,556759,556759,0,0,260347673034,2730232141,551126,4377,1256,379,391835,0 129,2,2024-09-07 09:04:40:686,399261,399261,0,0,16266271,0,4031 129,3,2024-09-07 09:04:40:688,1,318,0,0,469,4381,318,0 130,0,2024-09-07 09:04:41:727,82914,0.5,82511,0.6,165753,0.5,220280,1.75 130,1,2024-09-07 09:04:40:584,560201,560201,0,0,261234560208,2719283345,556800,3122,279,381,391825,0 130,2,2024-09-07 09:04:41:136,404351,404351,0,0,16097041,0,4067 130,3,2024-09-07 09:04:41:290,1,318,5,0,450,4060,318,0 131,0,2024-09-07 09:04:41:953,75845,0.4,76337,0.5,153427,0.3,202806,1.75 131,1,2024-09-07 09:04:41:827,559729,559729,0,0,261037267225,2726939215,555298,3686,745,381,391865,0 131,2,2024-09-07 09:04:40:572,406917,406917,0,0,15000157,0,2415 131,3,2024-09-07 09:04:41:700,1,318,14,0,392,3439,318,0 132,0,2024-09-07 09:04:41:422,78120,0.5,78936,0.6,157628,0.4,209518,2.00 132,1,2024-09-07 09:04:40:584,557303,557303,0,0,259686625613,2739803962,548673,7166,1464,381,392097,0 132,2,2024-09-07 09:04:40:770,402358,402358,0,0,20019957,0,4606 132,3,2024-09-07 09:04:41:701,1,318,2,0,804,6104,318,0 133,0,2024-09-07 09:04:41:561,80555,0.5,82247,0.6,168954,0.5,219415,2.00 133,1,2024-09-07 09:04:40:591,557418,557418,0,0,260462272186,2750115694,549255,7081,1082,383,391914,0 133,2,2024-09-07 09:04:41:107,398483,398483,0,0,21096607,0,4315 133,3,2024-09-07 09:04:41:308,1,318,3,0,479,3506,318,0 134,0,2024-09-07 09:04:40:946,83777,0.5,83707,0.7,167319,0.5,223344,2.00 134,1,2024-09-07 09:04:40:592,557416,557416,0,0,259925769463,2734638228,549164,6396,1856,366,391718,0 134,2,2024-09-07 09:04:41:760,400464,400464,0,0,17630948,0,3847 134,3,2024-09-07 09:04:40:759,1,318,5,0,739,4242,318,0 135,0,2024-09-07 09:04:41:185,75215,0.7,75216,0.8,159607,0.8,206148,2.00 135,1,2024-09-07 09:04:41:585,557919,557919,0,0,261165759143,2753335708,549573,7111,1235,380,391805,0 135,2,2024-09-07 09:04:40:819,404985,404985,0,0,19201432,0,3981 135,3,2024-09-07 09:04:41:002,1,318,22,0,299,2575,318,0 136,0,2024-09-07 09:04:41:665,76995,0.6,77035,0.8,153393,0.6,204749,2.25 136,1,2024-09-07 09:04:41:468,558048,558048,0,0,259700075366,2732462689,551046,6307,695,382,391685,0 136,2,2024-09-07 09:04:41:145,402670,402670,0,0,19144903,0,3506 136,3,2024-09-07 09:04:41:112,1,318,1,0,637,3824,318,0 137,0,2024-09-07 09:04:40:961,83955,0.7,81674,0.8,160460,0.8,218660,2.00 137,1,2024-09-07 09:04:40:587,557189,557189,0,0,260266207555,2738821485,547619,7690,1880,366,391708,0 137,2,2024-09-07 09:04:41:724,400901,400901,0,0,21419300,0,3185 137,3,2024-09-07 09:04:40:769,1,318,26,0,382,3967,318,0 138,0,2024-09-07 09:04:41:762,81441,1.4,81571,1.1,163754,2.0,218064,2.50 138,1,2024-09-07 09:04:41:695,558009,558009,0,0,261126990992,2748076713,548976,7438,1595,368,391954,0 138,2,2024-09-07 09:04:40:591,398477,398477,0,0,19111756,0,4988 138,3,2024-09-07 09:04:40:616,1,318,3,0,1160,4733,318,0 139,0,2024-09-07 09:04:41:369,78686,1.3,79053,1.1,158285,2.0,210824,2.25 139,1,2024-09-07 09:04:40:573,555643,555643,0,0,259207701715,2761047216,544295,8704,2644,381,391892,0 139,2,2024-09-07 09:04:40:692,401384,401384,0,0,20769240,0,3097 139,3,2024-09-07 09:04:41:665,1,318,4,0,257,3623,318,0 140,0,2024-09-07 09:04:41:613,74967,0.3,74506,0.5,149736,0.2,199425,1.75 140,1,2024-09-07 09:04:41:541,561203,561203,0,0,262083494027,2706949766,558001,2764,438,365,391606,0 140,2,2024-09-07 09:04:40:687,403764,403763,1,0,17223529,0,5036 140,3,2024-09-07 09:04:40:767,1,318,0,0,247,2714,318,0 141,0,2024-09-07 09:04:41:699,80087,0.4,82297,0.5,157254,0.3,213777,1.75 141,1,2024-09-07 09:04:40:862,560510,560510,0,0,261687371840,2723521095,555951,3697,862,379,391614,0 141,2,2024-09-07 09:04:41:686,402258,402258,0,0,16789678,0,3360 141,3,2024-09-07 09:04:41:043,1,318,1,0,391,3631,318,0 142,0,2024-09-07 09:04:41:342,82502,0.4,81709,0.5,163182,0.3,218169,1.75 142,1,2024-09-07 09:04:40:592,559971,559971,0,0,260940749139,2725358114,556014,3608,349,382,392102,0 142,2,2024-09-07 09:04:41:329,397896,397864,32,0,18049154,0,6028 142,3,2024-09-07 09:04:41:754,1,318,17,0,484,4071,318,0 143,0,2024-09-07 09:04:41:414,81449,0.5,81315,0.6,163065,0.4,216724,1.75 143,1,2024-09-07 09:04:40:567,560072,560072,0,0,261191711253,2714094472,556307,3616,149,367,391705,0 143,2,2024-09-07 09:04:40:799,403406,403406,0,0,17528438,0,3123 143,3,2024-09-07 09:04:41:160,1,318,2,0,303,3893,318,0 144,0,2024-09-07 09:04:41:498,72610,0.6,74708,0.8,152073,0.5,199308,2.00 144,1,2024-09-07 09:04:40:587,557694,557694,0,0,259482787575,2723960904,552849,3905,940,381,391649,0 144,2,2024-09-07 09:04:41:757,405413,405413,0,0,15741428,0,3473 144,3,2024-09-07 09:04:41:747,1,318,1,0,249,3364,318,0 145,0,2024-09-07 09:04:41:369,75942,0.7,75995,0.9,161162,0.6,208195,2.50 145,1,2024-09-07 09:04:40:553,557002,557002,0,0,260432061273,2742438870,549711,6151,1140,382,391759,0 145,2,2024-09-07 09:04:41:445,401230,401230,0,0,19200668,0,3903 145,3,2024-09-07 09:04:40:896,1,318,1,0,622,5040,318,0 146,0,2024-09-07 09:04:41:639,82214,0.6,81440,0.8,164283,0.6,217687,2.25 146,1,2024-09-07 09:04:41:586,558310,558310,0,0,259939335674,2739924764,548623,7677,2010,368,391770,0 146,2,2024-09-07 09:04:41:711,397888,397888,0,0,19106457,0,2730 146,3,2024-09-07 09:04:41:275,1,318,8,0,1520,6947,318,0 147,0,2024-09-07 09:04:41:705,83277,0.6,83011,0.8,165350,0.6,221308,2.25 147,1,2024-09-07 09:04:41:380,560085,560085,0,0,261483136509,2725907953,554434,4851,800,368,391791,0 147,2,2024-09-07 09:04:41:009,402809,402809,0,0,16940512,0,2789 147,3,2024-09-07 09:04:40:919,1,318,5,0,730,4840,318,0 0,0,2024-09-07 09:04:51:764,80122,0.7,80114,0.8,170098,0.8,219668,2.00 0,1,2024-09-07 09:04:50:801,560818,560818,0,0,261634829063,2738581035,556702,3874,242,370,391896,0 0,2,2024-09-07 09:04:51:066,401771,401771,0,0,16542820,0,4480 0,3,2024-09-07 09:04:50:977,1,319,23,0,431,4522,319,0 1,0,2024-09-07 09:04:51:820,83317,0.8,82692,1.0,166377,1.0,222428,2.00 1,1,2024-09-07 09:04:50:567,559917,559917,0,0,260741472080,2733904291,554336,4356,1225,370,391857,0 1,2,2024-09-07 09:04:50:679,400445,400445,0,0,15993765,0,3267 1,3,2024-09-07 09:04:51:313,1,319,9,0,262,3813,319,0 2,0,2024-09-07 09:04:51:573,78990,0.6,79337,0.8,157280,0.7,210753,2.00 2,1,2024-09-07 09:04:50:859,561679,561679,0,0,261922566312,2722448698,558785,2601,293,380,391745,0 2,2,2024-09-07 09:04:51:266,406093,406093,0,0,16013719,0,3594 2,3,2024-09-07 09:04:50:713,1,319,1,0,357,3251,319,0 3,0,2024-09-07 09:04:51:761,75915,0.4,75850,0.6,151769,0.4,202569,2.00 3,1,2024-09-07 09:04:51:618,560782,560782,0,0,261298294247,2724508511,555685,4474,623,380,391591,0 3,2,2024-09-07 09:04:51:153,404303,404280,23,0,16453751,0,5851 3,3,2024-09-07 09:04:51:762,1,319,1,0,103,1958,319,0 4,0,2024-09-07 09:04:51:880,78377,0.4,80720,0.5,163790,0.4,214806,1.75 4,1,2024-09-07 09:04:50:609,559399,559399,0,0,260917234591,2750804842,552099,5946,1354,371,391992,0 4,2,2024-09-07 09:04:51:018,401430,401430,0,0,19235147,0,4528 4,3,2024-09-07 09:04:51:030,1,319,0,0,448,4640,319,0 5,0,2024-09-07 09:04:51:442,81751,0.5,82074,0.6,163592,0.5,218028,1.75 5,1,2024-09-07 09:04:50:762,559843,559843,0,0,261106337627,2749523854,552686,5801,1356,367,392005,0 5,2,2024-09-07 09:04:51:853,398686,398686,0,0,18184066,0,2432 5,3,2024-09-07 09:04:51:750,1,319,8,0,457,4810,319,0 6,0,2024-09-07 09:04:50:927,81319,0.5,80786,0.7,161499,0.4,215491,2.00 6,1,2024-09-07 09:04:50:746,559679,559679,0,0,261349771348,2734455160,553324,5279,1076,379,391694,0 6,2,2024-09-07 09:04:51:123,404932,404932,0,0,17592219,0,4816 6,3,2024-09-07 09:04:51:281,1,319,2,0,340,3683,319,0 7,0,2024-09-07 09:04:51:535,74125,0.5,74300,0.6,148264,0.4,197609,2.00 7,1,2024-09-07 09:04:50:851,559186,559186,0,0,261068859481,2745850289,551119,6891,1176,382,391747,0 7,2,2024-09-07 09:04:50:770,405120,405120,0,0,17665986,0,4791 7,3,2024-09-07 09:04:50:859,1,319,0,0,398,3814,319,0 8,0,2024-09-07 09:04:51:471,79802,0.4,79656,0.5,159798,0.4,213138,1.75 8,1,2024-09-07 09:04:51:022,558270,558270,0,0,261035013004,2755058662,548128,7865,2277,366,392144,0 8,2,2024-09-07 09:04:50:793,399634,399634,0,0,21989220,0,3220 8,3,2024-09-07 09:04:50:585,1,319,0,0,538,5660,319,0 9,0,2024-09-07 09:04:51:176,82342,0.4,80061,0.6,167467,0.4,219116,1.75 9,1,2024-09-07 09:04:50:560,559103,559103,0,0,261128853746,2759003816,550062,6917,2124,369,392001,0 9,2,2024-09-07 09:04:51:097,398845,398845,0,0,19293287,0,3360 9,3,2024-09-07 09:04:51:753,1,319,15,0,496,4907,319,0 10,0,2024-09-07 09:04:51:600,82717,0.3,82008,0.5,164771,0.3,219372,1.75 10,1,2024-09-07 09:04:50:591,559919,559919,0,0,261332796711,2742830669,552040,6770,1109,381,391741,0 10,2,2024-09-07 09:04:50:764,403982,403982,0,0,21031036,0,4264 10,3,2024-09-07 09:04:50:878,1,319,1,0,296,2972,319,0 11,0,2024-09-07 09:04:51:007,75635,0.4,73368,0.6,153538,0.4,202808,1.75 11,1,2024-09-07 09:04:50:576,559683,559683,0,0,261289615298,2750959213,549674,7561,2448,383,391664,0 11,2,2024-09-07 09:04:51:131,405437,405437,0,0,19073115,0,4130 11,3,2024-09-07 09:04:51:308,1,319,1,0,843,5159,319,0 12,0,2024-09-07 09:04:50:994,79256,0.4,79089,0.6,158354,0.4,210565,1.75 12,1,2024-09-07 09:04:50:948,560417,560417,0,0,260579053191,2721936180,555573,4354,490,370,391870,0 12,2,2024-09-07 09:04:51:549,404252,404252,0,0,18589440,0,3469 12,3,2024-09-07 09:04:51:065,1,319,7,0,386,5405,319,0 13,0,2024-09-07 09:04:51:425,83215,0.5,82868,0.6,165685,0.5,220501,1.75 13,1,2024-09-07 09:04:51:541,559589,559589,0,0,261264131797,2752258940,553699,4482,1408,382,391740,0 13,2,2024-09-07 09:04:50:596,401348,401348,0,0,16962852,0,3287 13,3,2024-09-07 09:04:51:788,1,319,7,0,522,5364,319,0 14,0,2024-09-07 09:04:50:561,84084,0.4,84781,0.6,167580,0.4,223677,1.75 14,1,2024-09-07 09:04:51:560,563663,563663,0,0,263058357573,2729080008,558978,4326,359,364,391571,0 14,2,2024-09-07 09:04:50:778,401908,401878,30,0,18780404,0,6104 14,3,2024-09-07 09:04:51:118,1,319,1,0,1168,4059,319,0 15,0,2024-09-07 09:04:51:552,78044,0.4,78138,0.6,156244,0.4,208085,2.00 15,1,2024-09-07 09:04:51:617,561132,561132,0,0,262094883573,2733281322,556797,3515,820,381,391619,0 15,2,2024-09-07 09:04:50:998,407376,407376,0,0,15360044,0,3622 15,3,2024-09-07 09:04:51:405,1,319,2,0,1126,6121,319,0 16,0,2024-09-07 09:04:50:951,76915,0.6,77252,0.8,153933,0.7,205211,2.25 16,1,2024-09-07 09:04:50:586,561398,561398,0,0,261707148860,2734881469,557118,3920,360,370,391756,0 16,2,2024-09-07 09:04:51:446,403600,403600,0,0,17542870,0,4719 16,3,2024-09-07 09:04:51:146,1,319,3,0,317,4589,319,0 17,0,2024-09-07 09:04:51:951,84415,0.7,82510,0.8,161216,0.8,219667,2.00 17,1,2024-09-07 09:04:50:589,560200,560200,0,0,260983485470,2742940309,553974,4969,1257,368,391899,0 17,2,2024-09-07 09:04:51:677,404451,404451,0,0,17154151,0,2857 17,3,2024-09-07 09:04:50:585,1,319,17,0,298,5224,319,0 18,0,2024-09-07 09:04:50:953,81354,0.7,81743,0.8,162954,0.7,217848,2.25 18,1,2024-09-07 09:04:51:649,561913,561913,0,0,262437719252,2722606406,558580,3022,311,367,391649,0 18,2,2024-09-07 09:04:51:779,401949,401949,0,0,15957490,0,3541 18,3,2024-09-07 09:04:50:907,1,319,0,0,163,2803,319,0 19,0,2024-09-07 09:04:51:539,79292,0.6,79946,0.8,158365,0.6,210902,2.25 19,1,2024-09-07 09:04:50:576,561805,561805,0,0,262582166272,2727601832,556690,4276,839,367,391777,0 19,2,2024-09-07 09:04:51:752,407026,407026,0,0,14632407,0,3988 19,3,2024-09-07 09:04:51:129,1,319,2,0,524,2443,319,0 20,0,2024-09-07 09:04:51:420,74707,0.6,74732,0.7,149450,0.6,199456,2.00 20,1,2024-09-07 09:04:50:583,559985,559985,0,0,261830103467,2737866636,555663,3918,404,369,391886,0 20,2,2024-09-07 09:04:50:936,404898,404898,0,0,17594532,0,3721 20,3,2024-09-07 09:04:50:643,1,319,0,0,414,5222,319,0 21,0,2024-09-07 09:04:51:143,80356,0.5,80484,0.7,160658,0.5,213560,2.00 21,1,2024-09-07 09:04:51:544,558932,558932,0,0,260522651512,2748556763,551067,5988,1877,368,392016,0 21,2,2024-09-07 09:04:51:066,401458,401458,0,0,20731495,0,3747 21,3,2024-09-07 09:04:51:418,1,319,1,0,713,3931,319,0 22,0,2024-09-07 09:04:51:727,81544,0.6,81827,0.8,163719,0.6,216671,2.25 22,1,2024-09-07 09:04:51:023,560018,560018,0,0,260777631657,2745133683,551530,6669,1819,382,391667,0 22,2,2024-09-07 09:04:50:767,398607,398607,0,0,16838910,0,3134 22,3,2024-09-07 09:04:51:071,1,319,0,0,228,2826,319,0 23,0,2024-09-07 09:04:51:374,80911,0.6,80809,0.7,161976,0.5,215581,2.25 23,1,2024-09-07 09:04:51:006,559757,559757,0,0,261539358299,2752307819,549266,7333,3158,365,391690,0 23,2,2024-09-07 09:04:51:093,405778,405778,0,0,16560053,0,3010 23,3,2024-09-07 09:04:51:755,1,319,39,0,645,3975,319,0 24,0,2024-09-07 09:04:50:921,75635,0.4,75425,0.6,151483,0.4,200876,1.75 24,1,2024-09-07 09:04:50:596,559078,559078,0,0,260446996605,2738014653,552196,5355,1527,368,392269,0 24,2,2024-09-07 09:04:51:072,404335,404335,0,0,20771390,0,3607 24,3,2024-09-07 09:04:51:693,1,319,7,0,468,4420,319,0 25,0,2024-09-07 09:04:51:376,81199,0.4,79152,0.6,155333,0.4,212356,2.00 25,1,2024-09-07 09:04:50:583,560117,560117,0,0,261721562160,2751079822,552441,6308,1368,371,391928,0 25,2,2024-09-07 09:04:51:617,402865,402865,0,0,20763560,0,3978 25,3,2024-09-07 09:04:51:000,1,319,1,0,255,3279,319,0 26,0,2024-09-07 09:04:51:728,81883,0.5,80007,0.6,168020,0.4,218481,2.00 26,1,2024-09-07 09:04:51:541,560784,560784,0,0,260730396356,2738334370,551937,7311,1536,380,391748,0 26,2,2024-09-07 09:04:50:867,399959,399959,0,0,20387088,0,2809 26,3,2024-09-07 09:04:51:719,1,319,1,0,796,4332,319,0 27,0,2024-09-07 09:04:51:735,83557,0.5,83589,0.6,165720,0.4,221311,2.00 27,1,2024-09-07 09:04:51:680,562204,562204,0,0,262198953201,2734028365,556940,4499,765,381,391626,0 27,2,2024-09-07 09:04:50:869,400874,400809,65,0,20288776,0,5699 27,3,2024-09-07 09:04:51:015,1,319,3,0,564,3609,319,0 28,0,2024-09-07 09:04:51:401,77601,0.4,77313,0.6,154985,0.4,206693,2.00 28,1,2024-09-07 09:04:50:809,561283,561283,0,0,262548201789,2739719968,556906,3675,702,383,391698,0 28,2,2024-09-07 09:04:51:765,405548,405548,0,0,17880474,0,2915 28,3,2024-09-07 09:04:51:783,1,319,1,0,502,3493,319,0 29,0,2024-09-07 09:04:51:357,80126,0.4,78143,0.6,152913,0.3,209304,1.75 29,1,2024-09-07 09:04:51:571,562723,562723,0,0,263037230731,2727870779,558387,3653,683,369,391753,0 29,2,2024-09-07 09:04:50:893,402861,402861,0,0,17265370,0,4986 29,3,2024-09-07 09:04:50:972,1,319,1,0,459,3976,319,0 30,0,2024-09-07 09:04:51:474,82253,0.6,79834,0.8,167100,0.5,218666,2.00 30,1,2024-09-07 09:04:50:576,562295,562295,0,0,262215544490,2727925104,557477,4108,710,382,391672,0 30,2,2024-09-07 09:04:51:276,401437,401437,0,0,16211709,0,3161 30,3,2024-09-07 09:04:50:590,1,319,1,0,519,3374,319,0 31,0,2024-09-07 09:04:51:765,82909,0.4,83145,0.6,166494,0.4,222118,2.00 31,1,2024-09-07 09:04:50:568,564646,564646,0,0,263384119759,2704730645,562106,1982,558,356,391712,0 31,2,2024-09-07 09:04:51:279,401093,401093,0,0,17985584,0,3525 31,3,2024-09-07 09:04:51:712,1,319,11,0,220,2829,319,0 32,0,2024-09-07 09:04:51:431,79014,0.3,79321,0.5,158599,0.2,211072,1.75 32,1,2024-09-07 09:04:50:807,562022,562022,0,0,262144616557,2723698841,558953,2624,445,381,391646,0 32,2,2024-09-07 09:04:50:937,406425,406425,0,0,15015402,0,3155 32,3,2024-09-07 09:04:51:015,1,319,1,0,227,2407,319,0 33,0,2024-09-07 09:04:51:496,76203,0.3,75767,0.5,152020,0.2,203259,1.75 33,1,2024-09-07 09:04:50:595,562688,562688,0,0,263358399258,2729510123,558280,3577,831,369,391730,0 33,2,2024-09-07 09:04:50:764,404972,404937,35,0,17069452,0,7012 33,3,2024-09-07 09:04:50:900,1,319,1,0,329,3510,319,0 34,0,2024-09-07 09:04:50:940,80945,0.3,83204,0.5,159321,0.2,214113,1.75 34,1,2024-09-07 09:04:51:044,563760,563760,0,0,262970859696,2704351720,562369,1383,8,367,391562,0 34,2,2024-09-07 09:04:50:776,403530,403530,0,0,16399040,0,3577 34,3,2024-09-07 09:04:51:690,1,319,1,0,299,2421,319,0 35,0,2024-09-07 09:04:50:868,81044,0.4,81457,0.5,163790,0.3,218615,1.75 35,1,2024-09-07 09:04:51:066,562296,562296,0,0,262296318365,2720424819,558693,2822,781,382,391589,0 35,2,2024-09-07 09:04:51:584,400208,400208,0,0,16214723,0,2653 35,3,2024-09-07 09:04:50:909,1,319,1,0,418,4173,319,0 36,0,2024-09-07 09:04:51:523,81173,0.5,81204,0.7,162362,0.4,215167,2.00 36,1,2024-09-07 09:04:50:589,561446,561446,0,0,261058758055,2731026118,554220,5568,1658,366,391759,0 36,2,2024-09-07 09:04:51:757,405391,405391,0,0,18657650,0,3875 36,3,2024-09-07 09:04:50:876,1,319,1,0,416,5248,319,0 37,0,2024-09-07 09:04:51:377,73963,0.5,73922,0.7,148018,0.5,198399,2.00 37,1,2024-09-07 09:04:50:570,560227,560220,0,7,261843995226,2739617290,553451,4684,2085,365,391570,0 37,2,2024-09-07 09:04:51:143,403704,403689,15,0,18332680,0,5815 37,3,2024-09-07 09:04:51:791,1,319,1,0,888,5473,319,0 38,0,2024-09-07 09:04:51:448,79203,0.5,76926,0.6,161024,0.4,211144,2.00 38,1,2024-09-07 09:04:51:606,562104,562104,0,0,261645651405,2727606421,556070,5277,757,368,391821,0 38,2,2024-09-07 09:04:50:761,402922,402875,47,0,18932614,0,6710 38,3,2024-09-07 09:04:51:000,1,319,2,0,689,4580,319,0 39,0,2024-09-07 09:04:51:773,83740,0.6,82011,0.8,159531,0.7,217925,2.00 39,1,2024-09-07 09:04:50:716,560747,560747,0,0,261601710405,2740685684,552386,6901,1460,365,391594,0 39,2,2024-09-07 09:04:51:417,399506,399506,0,0,16866694,0,2689 39,3,2024-09-07 09:04:50:713,1,319,3,0,324,4173,319,0 40,0,2024-09-07 09:04:51:500,81675,0.8,82350,1.0,164501,0.8,219020,2.75 40,1,2024-09-07 09:04:50:591,561245,561245,0,0,260734569853,2735173603,552695,7040,1510,368,391668,0 40,2,2024-09-07 09:04:51:306,403283,403282,1,0,20394561,0,5137 40,3,2024-09-07 09:04:51:161,1,319,2,0,1028,4958,319,0 41,0,2024-09-07 09:04:51:028,75498,1.5,77371,1.3,147641,2.4,200717,3.25 41,1,2024-09-07 09:04:50:772,560183,560183,0,0,261461312644,2739596744,553136,6344,703,370,391742,0 41,2,2024-09-07 09:04:50:759,404222,404222,0,0,19565341,0,3356 41,3,2024-09-07 09:04:51:697,1,319,0,0,366,3340,319,0 42,0,2024-09-07 09:04:51:480,77986,0.9,77982,1.1,156323,1.1,206665,2.75 42,1,2024-09-07 09:04:51:446,559465,559465,0,0,260863959715,2746861685,549938,7769,1758,380,391675,0 42,2,2024-09-07 09:04:51:133,403871,403871,0,0,19664746,0,3790 42,3,2024-09-07 09:04:51:015,1,319,0,0,446,3047,319,0 43,0,2024-09-07 09:04:50:933,81514,1.0,79514,1.1,166474,1.2,217982,2.50 43,1,2024-09-07 09:04:50:576,560938,560938,0,0,261667559281,2739285861,552707,6793,1438,366,391696,0 43,2,2024-09-07 09:04:51:750,400237,400237,0,0,19354122,0,3812 43,3,2024-09-07 09:04:51:750,1,319,0,0,467,4015,319,0 44,0,2024-09-07 09:04:50:875,83814,0.5,84220,0.6,167950,0.4,223740,2.00 44,1,2024-09-07 09:04:50:567,562296,562296,0,0,262069380180,2714543323,557238,4011,1047,356,391809,0 44,2,2024-09-07 09:04:51:269,401589,401589,0,0,16225103,0,4344 44,3,2024-09-07 09:04:51:100,1,319,1,0,817,4394,319,0 45,0,2024-09-07 09:04:51:766,77091,0.5,75264,0.7,157830,0.5,208087,2.00 45,1,2024-09-07 09:04:51:010,561299,561299,0,0,262275787254,2729520091,556867,3956,476,382,391917,0 45,2,2024-09-07 09:04:51:285,405834,405834,0,0,16834067,0,3596 45,3,2024-09-07 09:04:50:947,1,319,1,0,271,3131,319,0 46,0,2024-09-07 09:04:50:955,76257,0.7,76254,0.8,152750,0.7,202987,2.25 46,1,2024-09-07 09:04:50:576,563244,563244,0,0,263364798578,2723259753,559539,3279,426,366,391572,0 46,2,2024-09-07 09:04:50:606,404473,404473,0,0,15871812,0,2920 46,3,2024-09-07 09:04:51:140,1,319,2,0,908,4841,319,0 47,0,2024-09-07 09:04:51:119,82367,0.5,82461,0.7,165182,0.5,219309,2.00 47,1,2024-09-07 09:04:50:567,562587,562587,0,0,262219938531,2716959751,558104,3668,815,366,391641,0 47,2,2024-09-07 09:04:50:917,404299,404299,0,0,16408060,0,4477 47,3,2024-09-07 09:04:51:117,1,319,118,0,529,3659,319,0 48,0,2024-09-07 09:04:51:495,83015,0.3,82485,0.4,164534,0.2,220082,1.50 48,1,2024-09-07 09:04:51:033,561986,561986,0,0,262352194507,2726489935,558433,3251,302,384,391710,0 48,2,2024-09-07 09:04:50:699,400442,400442,0,0,14759419,0,3031 48,3,2024-09-07 09:04:50:753,1,319,4,0,339,2806,319,0 49,0,2024-09-07 09:04:51:732,81941,0.3,80473,0.5,155883,0.3,213300,1.75 49,1,2024-09-07 09:04:51:059,561482,561482,0,0,261686832422,2727594818,557169,3128,1185,382,391809,0 49,2,2024-09-07 09:04:51:818,406380,406380,0,0,15819310,0,4426 49,3,2024-09-07 09:04:51:417,1,319,1,0,408,3440,319,0 50,0,2024-09-07 09:04:51:523,74957,0.3,74129,0.5,149162,0.2,199099,1.75 50,1,2024-09-07 09:04:51:011,563716,563716,0,0,263050230252,2722026310,559902,3440,374,368,391565,0 50,2,2024-09-07 09:04:51:085,405118,405118,0,0,14934970,0,2263 50,3,2024-09-07 09:04:51:296,1,319,1,0,335,3189,319,0 51,0,2024-09-07 09:04:51:706,82467,0.3,80532,0.5,157241,0.2,214481,1.75 51,1,2024-09-07 09:04:51:701,563776,563776,0,0,263749473022,2723414366,560522,2279,975,365,391706,0 51,2,2024-09-07 09:04:51:336,403722,403722,0,0,14476016,0,3337 51,3,2024-09-07 09:04:51:031,1,319,1,0,678,2542,319,0 52,0,2024-09-07 09:04:51:435,82064,0.5,82047,0.7,163925,0.5,217996,2.00 52,1,2024-09-07 09:04:50:580,560595,560595,0,0,261235726001,2740682599,552046,7239,1310,368,391722,0 52,2,2024-09-07 09:04:51:765,396600,396562,38,0,19024670,0,6742 52,3,2024-09-07 09:04:50:676,1,319,1,0,1782,5149,319,0 53,0,2024-09-07 09:04:51:750,80795,0.6,78543,0.8,164225,0.7,215149,2.25 53,1,2024-09-07 09:04:50:779,559550,559550,0,0,261544995550,2749519947,550128,6841,2581,367,391702,0 53,2,2024-09-07 09:04:51:310,405614,405614,0,0,16327327,0,2727 53,3,2024-09-07 09:04:50:713,1,319,1,0,308,3180,319,0 54,0,2024-09-07 09:04:51:644,73982,0.6,74462,0.8,148130,0.4,198004,2.50 54,1,2024-09-07 09:04:50:590,561112,561112,0,0,262395537514,2729941299,555520,4990,602,367,391659,0 54,2,2024-09-07 09:04:50:870,405981,405975,6,0,18915262,0,5382 54,3,2024-09-07 09:04:50:763,1,319,1,0,676,5107,319,0 55,0,2024-09-07 09:04:51:770,76454,0.6,79217,0.8,159311,0.5,208492,2.50 55,1,2024-09-07 09:04:50:772,560804,560804,0,0,261882576494,2729258643,554184,5711,909,365,391731,0 55,2,2024-09-07 09:04:50:730,402903,402903,0,0,18399193,0,3563 55,3,2024-09-07 09:04:50:678,1,319,1,0,304,3634,319,0 56,0,2024-09-07 09:04:51:586,83803,1.3,79021,1.2,162987,1.8,218074,2.75 56,1,2024-09-07 09:04:50:590,558267,558267,0,0,260494835508,2755624774,549539,7098,1630,381,391867,0 56,2,2024-09-07 09:04:51:319,399279,399279,0,0,19267514,0,3567 56,3,2024-09-07 09:04:51:065,1,319,30,0,705,4403,319,0 57,0,2024-09-07 09:04:50:939,82225,1.5,81999,1.2,164483,2.1,219880,3.00 57,1,2024-09-07 09:04:50:995,560053,560053,0,0,261304125374,2743233024,553238,6096,719,366,392032,0 57,2,2024-09-07 09:04:51:322,403495,403495,0,0,21023779,0,3317 57,3,2024-09-07 09:04:51:742,1,319,36,0,359,3880,319,0 58,0,2024-09-07 09:04:50:578,76109,0.9,74039,1.0,155089,1.1,203446,2.50 58,1,2024-09-07 09:04:50:576,561093,561090,0,3,261407034062,2737755276,552974,7034,1082,367,391603,3 58,2,2024-09-07 09:04:51:072,404940,404940,0,0,19306746,0,2549 58,3,2024-09-07 09:04:51:074,1,319,1,0,1043,3380,319,0 59,0,2024-09-07 09:04:51:741,77582,0.9,77264,1.0,154452,0.9,205599,2.75 59,1,2024-09-07 09:04:50:804,560732,560732,0,0,261543834533,2742646321,552873,6339,1520,369,391586,0 59,2,2024-09-07 09:04:50:589,404676,404676,0,0,19012368,0,2867 59,3,2024-09-07 09:04:51:741,1,319,1,0,1015,4255,319,0 60,0,2024-09-07 09:04:51:759,82320,0.6,82431,0.7,165524,0.6,219383,1.75 60,1,2024-09-07 09:04:50:778,562191,562191,0,0,262726840680,2731238717,558092,3454,645,370,392031,0 60,2,2024-09-07 09:04:51:153,401381,401381,0,0,18541864,0,3811 60,3,2024-09-07 09:04:51:269,1,319,1,0,409,3860,319,0 61,0,2024-09-07 09:04:51:499,83109,0.7,83645,0.8,166122,0.7,221777,2.00 61,1,2024-09-07 09:04:50:777,560361,560361,0,0,261605762744,2747630118,553206,5831,1324,382,392127,0 61,2,2024-09-07 09:04:51:122,401255,401188,67,0,18805115,0,6411 61,3,2024-09-07 09:04:51:688,1,319,1,0,479,4902,319,0 62,0,2024-09-07 09:04:51:712,79522,0.5,81242,0.7,154903,0.5,210798,2.00 62,1,2024-09-07 09:04:51:118,563671,563665,0,6,262826292918,2716270532,560090,3316,259,365,391715,6 62,2,2024-09-07 09:04:51:649,404563,404562,1,0,18386172,0,5555 62,3,2024-09-07 09:04:51:160,1,319,1,0,465,2531,319,0 63,0,2024-09-07 09:04:51:492,76121,0.4,76123,0.6,152448,0.4,203205,1.75 63,1,2024-09-07 09:04:50:804,562036,562030,0,6,262824685411,2732226882,558103,3540,387,381,391800,6 63,2,2024-09-07 09:04:50:763,404226,404226,0,0,16746907,0,4369 63,3,2024-09-07 09:04:51:737,1,319,1,0,667,3729,319,0 64,0,2024-09-07 09:04:51:514,80291,0.5,80214,0.7,160366,0.5,213253,2.00 64,1,2024-09-07 09:04:50:757,561610,561610,0,0,262051244952,2734976249,555974,3979,1657,370,391783,0 64,2,2024-09-07 09:04:51:153,406565,406546,19,0,16372944,0,6121 64,3,2024-09-07 09:04:51:148,1,319,1,0,265,3071,319,0 65,0,2024-09-07 09:04:51:696,80994,0.7,81289,0.8,162254,0.8,216539,2.25 65,1,2024-09-07 09:04:51:009,560518,560518,0,0,261893241521,2739383265,556440,3627,451,382,391901,0 65,2,2024-09-07 09:04:51:701,400894,400894,0,0,17751405,0,3367 65,3,2024-09-07 09:04:51:701,1,319,3,0,163,2866,319,0 66,0,2024-09-07 09:04:51:771,80616,0.5,80516,0.7,161279,0.5,214036,2.00 66,1,2024-09-07 09:04:51:302,561722,561722,0,0,262679338668,2733025306,558167,3234,321,380,391653,0 66,2,2024-09-07 09:04:51:135,407432,407432,0,0,16106939,0,4956 66,3,2024-09-07 09:04:51:088,1,319,11,0,291,3148,319,0 67,0,2024-09-07 09:04:51:422,74816,0.5,74139,0.7,149010,0.5,198564,2.00 67,1,2024-09-07 09:04:50:772,561941,561940,0,1,261915090738,2730155862,557775,3414,751,380,391787,1 67,2,2024-09-07 09:04:50:590,406165,406165,0,0,15558354,0,2889 67,3,2024-09-07 09:04:51:755,1,319,1,0,338,2809,319,0 68,0,2024-09-07 09:04:50:634,80065,0.6,79580,0.7,158921,0.6,212956,2.00 68,1,2024-09-07 09:04:50:599,560440,560440,0,0,260939519831,2736128391,555638,3601,1201,381,391953,0 68,2,2024-09-07 09:04:51:048,401834,401769,65,0,20674102,0,6698 68,3,2024-09-07 09:04:50:728,1,319,9,0,417,3568,319,0 69,0,2024-09-07 09:04:51:760,81635,0.9,82080,0.9,163604,1.2,216967,2.25 69,1,2024-09-07 09:04:51:016,558532,558532,0,0,260618486908,2754694673,550563,5859,2110,384,391994,0 69,2,2024-09-07 09:04:51:742,398991,398991,0,0,20531141,0,3722 69,3,2024-09-07 09:04:50:760,1,319,5,0,698,4628,319,0 70,0,2024-09-07 09:04:51:532,81718,0.8,81663,1.0,164407,0.6,217648,2.50 70,1,2024-09-07 09:04:50:801,561892,561892,0,0,261833431216,2721539891,557044,4312,536,366,391725,0 70,2,2024-09-07 09:04:51:342,403285,403285,0,0,18713461,0,4044 70,3,2024-09-07 09:04:50:745,1,319,2,0,854,3687,319,0 71,0,2024-09-07 09:04:51:358,75610,0.9,75139,1.0,151059,1.1,201496,2.75 71,1,2024-09-07 09:04:51:596,560904,560904,0,0,262165145170,2738698562,554338,5910,656,368,391738,0 71,2,2024-09-07 09:04:51:067,405587,405587,0,0,18429187,0,4042 71,3,2024-09-07 09:04:51:756,1,319,1,0,644,4507,319,0 72,0,2024-09-07 09:04:51:026,81238,0.7,79460,0.8,154902,0.7,210818,2.25 72,1,2024-09-07 09:04:51:028,560028,560028,0,0,261204529070,2741744069,551803,6529,1696,369,391819,0 72,2,2024-09-07 09:04:51:756,402574,402574,0,0,21400514,0,3983 72,3,2024-09-07 09:04:51:765,1,319,5,0,564,5243,319,0 73,0,2024-09-07 09:04:51:107,80532,0.5,82476,0.7,168945,0.4,219231,2.00 73,1,2024-09-07 09:04:50:787,561258,561258,0,0,261527751933,2722902937,556942,3986,330,367,391858,0 73,2,2024-09-07 09:04:51:743,400126,400126,0,0,20335512,0,3701 73,3,2024-09-07 09:04:50:976,1,319,2,0,274,4465,319,0 74,0,2024-09-07 09:04:51:343,84313,0.5,86360,0.7,164924,0.4,223547,2.25 74,1,2024-09-07 09:04:50:643,560809,560809,0,0,261431214764,2733732629,554732,4815,1262,381,391681,0 74,2,2024-09-07 09:04:51:002,401856,401856,0,0,19428116,0,4253 74,3,2024-09-07 09:04:51:451,1,319,1,0,522,4591,319,0 75,0,2024-09-07 09:04:51:783,78269,0.5,77947,0.7,156063,0.4,208985,2.25 75,1,2024-09-07 09:04:51:592,560175,560175,0,0,260932511432,2730808627,553825,5525,825,380,391739,0 75,2,2024-09-07 09:04:51:351,404979,404979,0,0,19523547,0,4766 75,3,2024-09-07 09:04:51:072,1,319,8,0,702,4731,319,0 76,0,2024-09-07 09:04:50:597,76508,0.7,75930,0.8,152455,0.7,204109,2.50 76,1,2024-09-07 09:04:50:805,560894,560894,0,0,260937363953,2725432481,556979,3326,589,382,391692,0 76,2,2024-09-07 09:04:51:064,405130,405129,1,0,18762102,0,5144 76,3,2024-09-07 09:04:51:157,1,319,1,0,175,3159,319,0 77,0,2024-09-07 09:04:51:742,82058,0.7,82242,0.8,164305,0.7,219235,2.00 77,1,2024-09-07 09:04:50:828,561106,561106,0,0,261527543754,2737095253,555927,4691,488,381,391869,0 77,2,2024-09-07 09:04:51:284,403101,403101,0,0,18246868,0,3890 77,3,2024-09-07 09:04:51:101,1,319,58,0,401,3727,319,0 78,0,2024-09-07 09:04:51:732,82605,0.5,82172,0.7,165102,0.4,219287,2.00 78,1,2024-09-07 09:04:50:619,561692,561692,0,0,261825008024,2730399770,555835,4712,1145,367,391670,0 78,2,2024-09-07 09:04:51:416,401329,401329,0,0,15820106,0,3855 78,3,2024-09-07 09:04:51:136,1,319,1,0,181,3146,319,0 79,0,2024-09-07 09:04:51:387,77267,0.4,79332,0.6,161988,0.4,210756,2.25 79,1,2024-09-07 09:04:50:590,562980,562980,0,0,261966895333,2716787613,558428,3919,633,369,391682,0 79,2,2024-09-07 09:04:51:067,406343,406343,0,0,16724878,0,4195 79,3,2024-09-07 09:04:50:756,1,319,1,0,418,4261,319,0 80,0,2024-09-07 09:04:51:136,74857,0.5,76902,0.7,147299,0.5,199036,2.00 80,1,2024-09-07 09:04:51:625,561430,561430,0,0,261845062217,2725745877,558086,3167,177,368,391791,0 80,2,2024-09-07 09:04:51:105,406294,406294,0,0,15814986,0,4433 80,3,2024-09-07 09:04:50:586,1,319,12,0,190,3938,319,0 81,0,2024-09-07 09:04:51:539,80259,0.6,82195,0.7,156888,0.5,213225,2.00 81,1,2024-09-07 09:04:51:650,560637,560637,0,0,261307856274,2733828792,555791,4347,499,382,391879,0 81,2,2024-09-07 09:04:51:133,403159,403096,63,0,17784764,0,5932 81,3,2024-09-07 09:04:51:128,1,319,6,0,374,3802,319,0 82,0,2024-09-07 09:04:51:543,81470,0.5,81955,0.7,164145,0.5,217537,2.00 82,1,2024-09-07 09:04:50:593,562464,562460,0,4,262357947332,2733693016,558565,3098,797,381,391768,4 82,2,2024-09-07 09:04:51:691,400834,400834,0,0,15127612,0,3986 82,3,2024-09-07 09:04:51:760,1,319,1,0,363,3391,319,0 83,0,2024-09-07 09:04:51:542,81621,0.5,81385,0.7,162024,0.5,215481,2.00 83,1,2024-09-07 09:04:50:561,560862,560862,0,0,261597842889,2730748012,556555,3927,380,382,391709,0 83,2,2024-09-07 09:04:50:763,404889,404889,0,0,15674248,0,3393 83,3,2024-09-07 09:04:50:757,1,319,0,0,1260,4816,319,0 84,0,2024-09-07 09:04:51:832,74616,0.7,74528,0.9,149255,0.6,199408,2.25 84,1,2024-09-07 09:04:51:040,560714,560714,0,0,261702558171,2737393048,554980,5007,727,367,391967,0 84,2,2024-09-07 09:04:50:639,405605,405605,0,0,19772867,0,4757 84,3,2024-09-07 09:04:51:160,1,319,1,0,908,4970,319,0 85,0,2024-09-07 09:04:51:021,76185,0.7,76162,0.9,161683,0.8,209658,2.50 85,1,2024-09-07 09:04:50:561,559248,559248,0,0,261082780229,2758899272,550744,7135,1369,381,392006,0 85,2,2024-09-07 09:04:50:872,404169,404169,0,0,19602737,0,3656 85,3,2024-09-07 09:04:50:687,1,319,3,0,789,4349,319,0 86,0,2024-09-07 09:04:51:083,82175,0.7,84453,0.8,161766,0.8,218264,2.25 86,1,2024-09-07 09:04:50:836,560778,560778,0,0,261552137130,2741792270,553903,5810,1065,366,391961,0 86,2,2024-09-07 09:04:50:872,398839,398838,1,0,20300091,0,5004 86,3,2024-09-07 09:04:50:714,1,319,6,0,308,4503,319,0 87,0,2024-09-07 09:04:51:451,82904,0.9,82872,0.9,166227,1.2,221634,2.25 87,1,2024-09-07 09:04:50:614,559835,559835,0,0,261589908977,2739463503,553665,5560,610,366,392076,0 87,2,2024-09-07 09:04:51:078,402708,402708,0,0,18591345,0,4045 87,3,2024-09-07 09:04:51:808,1,319,3,0,473,4686,319,0 88,0,2024-09-07 09:04:51:456,77290,0.4,77927,0.6,155365,0.4,206303,2.00 88,1,2024-09-07 09:04:50:577,558958,558958,0,0,261526653002,2746108611,551268,6026,1664,365,392084,0 88,2,2024-09-07 09:04:50:692,405554,405554,0,0,20780121,0,3583 88,3,2024-09-07 09:04:51:284,1,319,3,0,435,3585,319,0 89,0,2024-09-07 09:04:51:793,80285,0.5,77645,0.7,153953,0.4,209195,2.00 89,1,2024-09-07 09:04:50:557,559320,559320,0,0,261068152558,2751651194,551578,6469,1273,382,391866,0 89,2,2024-09-07 09:04:51:136,403187,403187,0,0,19854559,0,2910 89,3,2024-09-07 09:04:51:824,1,319,2,0,385,6034,319,0 90,0,2024-09-07 09:04:51:662,80172,0.5,82164,0.7,167836,0.5,218739,2.00 90,1,2024-09-07 09:04:50:789,560552,560552,0,0,262044037395,2750950042,554370,5684,498,380,391825,0 90,2,2024-09-07 09:04:51:434,400255,400255,0,0,20999823,0,3060 90,3,2024-09-07 09:04:50:955,1,319,1,0,246,3618,319,0 91,0,2024-09-07 09:04:51:014,83540,0.5,81031,0.7,169176,0.5,222384,1.75 91,1,2024-09-07 09:04:50:557,559095,559095,0,0,261375140587,2754264877,551283,6769,1043,381,392047,0 91,2,2024-09-07 09:04:51:355,401306,401306,0,0,18895406,0,2896 91,3,2024-09-07 09:04:50:603,1,319,2,0,216,3094,319,0 92,0,2024-09-07 09:04:51:455,79676,0.5,81741,0.6,156077,0.4,211248,1.75 92,1,2024-09-07 09:04:50:581,560541,560541,0,0,260733107791,2728409407,555741,4114,686,382,392136,0 92,2,2024-09-07 09:04:51:352,406840,406840,0,0,17079311,0,3259 92,3,2024-09-07 09:04:51:014,1,319,1,0,167,2833,319,0 93,0,2024-09-07 09:04:50:959,76557,0.4,78428,0.6,149746,0.4,203162,1.75 93,1,2024-09-07 09:04:50:805,560540,560540,0,0,261716855739,2736943223,554433,5080,1027,366,391776,0 93,2,2024-09-07 09:04:50:928,403688,403688,0,0,19906652,0,4845 93,3,2024-09-07 09:04:51:409,1,319,1,0,190,3061,319,0 94,0,2024-09-07 09:04:51:619,80426,0.4,81109,0.5,161799,0.3,214791,1.75 94,1,2024-09-07 09:04:50:583,560933,560933,0,0,262115379886,2739266718,556906,3837,190,381,391850,0 94,2,2024-09-07 09:04:50:766,403141,403141,0,0,17206670,0,2443 94,3,2024-09-07 09:04:51:693,1,319,5,0,264,4042,319,0 95,0,2024-09-07 09:04:51:457,81575,0.4,81569,0.6,163759,0.3,218421,1.75 95,1,2024-09-07 09:04:50:867,562388,562388,0,0,262356846178,2732582555,557439,4552,397,367,391713,0 95,2,2024-09-07 09:04:51:032,400112,400112,0,0,16888960,0,3308 95,3,2024-09-07 09:04:51:726,1,319,96,0,718,5259,319,0 96,0,2024-09-07 09:04:51:032,81256,0.4,81393,0.5,162226,0.3,214875,1.75 96,1,2024-09-07 09:04:51:589,560674,560674,0,0,261864905431,2735452316,556165,3718,791,384,391955,0 96,2,2024-09-07 09:04:51:279,405587,405587,0,0,16807284,0,4042 96,3,2024-09-07 09:04:51:141,1,319,26,0,411,3470,319,0 97,0,2024-09-07 09:04:51:341,74614,0.3,74357,0.5,149122,0.3,198375,1.75 97,1,2024-09-07 09:04:50:772,562911,562911,0,0,262153055105,2720097560,559070,3170,671,367,392140,0 97,2,2024-09-07 09:04:50:647,406303,406303,0,0,16279734,0,3036 97,3,2024-09-07 09:04:50:582,1,319,21,0,214,3856,319,0 98,0,2024-09-07 09:04:51:717,79877,0.3,79773,0.5,160053,0.2,213072,1.50 98,1,2024-09-07 09:04:50:577,561918,561918,0,0,261595385815,2723263421,559273,2540,105,382,391997,0 98,2,2024-09-07 09:04:50:785,404309,404309,0,0,16200015,0,3080 98,3,2024-09-07 09:04:50:699,1,319,0,0,840,5216,319,0 99,0,2024-09-07 09:04:51:591,82124,0.4,82695,0.5,164613,0.3,219277,1.75 99,1,2024-09-07 09:04:51:739,561625,561625,0,0,261993099299,2730658010,557495,3377,753,381,392069,0 99,2,2024-09-07 09:04:51:439,401277,401277,0,0,17967402,0,3424 99,3,2024-09-07 09:04:50:601,1,319,0,0,199,2978,319,0 100,0,2024-09-07 09:04:51:536,82187,0.7,82138,1.0,164409,0.9,219339,2.50 100,1,2024-09-07 09:04:50:549,558362,558362,0,0,260890971934,2760001774,549912,6957,1493,381,391989,0 100,2,2024-09-07 09:04:51:823,402969,402958,11,0,19004580,0,5417 100,3,2024-09-07 09:04:51:736,1,319,2,0,559,5590,319,0 101,0,2024-09-07 09:04:51:746,77673,1.1,75689,1.0,148277,0.9,203077,2.25 101,1,2024-09-07 09:04:50:556,558701,558701,0,0,259984587488,2742044656,549648,7033,2020,368,391771,0 101,2,2024-09-07 09:04:51:768,403873,403873,0,0,21955296,0,4644 101,3,2024-09-07 09:04:50:948,1,319,1,0,448,3840,319,0 102,0,2024-09-07 09:04:51:141,76667,0.8,79258,0.8,159902,0.8,209820,2.25 102,1,2024-09-07 09:04:51:161,559502,559502,0,0,261638583144,2751281404,552205,6266,1031,369,391891,0 102,2,2024-09-07 09:04:51:773,404859,404805,54,0,18603271,0,6768 102,3,2024-09-07 09:04:51:624,1,319,3,0,410,3535,319,0 103,0,2024-09-07 09:04:51:711,85169,0.7,85209,0.8,160643,0.8,221022,2.00 103,1,2024-09-07 09:04:51:686,558806,558806,0,0,260724510096,2753526240,550068,6743,1995,381,391829,0 103,2,2024-09-07 09:04:50:647,399200,399200,0,0,19117055,0,3173 103,3,2024-09-07 09:04:50:962,1,319,41,0,916,4149,319,0 104,0,2024-09-07 09:04:51:027,82891,0.8,83267,1.0,165394,0.7,222764,2.50 104,1,2024-09-07 09:04:51:622,559972,559972,0,0,260390458709,2743840373,551088,7187,1697,365,392168,0 104,2,2024-09-07 09:04:51:676,400509,400509,0,0,20530452,0,3941 104,3,2024-09-07 09:04:51:426,1,319,1,0,1245,7237,319,0 105,0,2024-09-07 09:04:51:169,77268,0.9,75156,1.1,157411,1.1,207378,3.00 105,1,2024-09-07 09:04:50:555,560371,560371,0,0,261523389253,2748776902,552230,6810,1331,366,391797,0 105,2,2024-09-07 09:04:51:326,405030,405030,0,0,19919116,0,3509 105,3,2024-09-07 09:04:51:322,1,319,12,0,399,5276,319,0 106,0,2024-09-07 09:04:51:030,74197,1.0,76022,1.0,155398,1.1,203605,2.75 106,1,2024-09-07 09:04:51:889,559154,559154,0,0,261265884190,2752644248,549585,8277,1292,369,391914,0 106,2,2024-09-07 09:04:50:764,401396,401396,0,0,19671949,0,2795 106,3,2024-09-07 09:04:50:680,1,319,48,0,470,4286,319,0 107,0,2024-09-07 09:04:51:169,81913,1.2,81758,1.0,163591,1.8,218887,2.25 107,1,2024-09-07 09:04:50:742,558458,558458,0,0,260013626480,2744994016,550503,7041,914,381,392234,0 107,2,2024-09-07 09:04:51:328,401134,401133,1,0,20018970,0,5024 107,3,2024-09-07 09:04:51:775,1,319,2,0,353,4409,319,0 108,0,2024-09-07 09:04:51:995,82214,0.5,82680,0.7,164283,0.4,219931,1.75 108,1,2024-09-07 09:04:51:303,560790,560790,0,0,262195777724,2739301594,555716,4558,516,368,391857,0 108,2,2024-09-07 09:04:51:759,399303,399303,0,0,19051532,0,4246 108,3,2024-09-07 09:04:51:344,1,319,17,0,749,6654,319,0 109,0,2024-09-07 09:04:51:765,80421,0.4,79462,0.6,159235,0.3,213071,1.75 109,1,2024-09-07 09:04:50:597,558782,558782,0,0,262157910673,2753445537,553591,4528,663,383,392132,0 109,2,2024-09-07 09:04:50:937,403471,403471,0,0,18698575,0,3617 109,3,2024-09-07 09:04:51:145,1,319,2,0,379,3982,319,0 110,0,2024-09-07 09:04:51:776,74892,0.4,72939,0.6,152432,0.3,199800,1.75 110,1,2024-09-07 09:04:51:649,562071,562071,0,0,262370826076,2726669116,558075,3022,974,369,392045,0 110,2,2024-09-07 09:04:51:318,404488,404488,0,0,18544374,0,4067 110,3,2024-09-07 09:04:50:722,1,319,23,0,722,5036,319,0 111,0,2024-09-07 09:04:51:438,80721,0.5,79995,0.6,160203,0.4,214629,1.75 111,1,2024-09-07 09:04:51:001,562089,562089,0,0,263253744975,2735400783,558710,3027,352,382,391690,0 111,2,2024-09-07 09:04:51:118,402488,402488,0,0,17947914,0,4823 111,3,2024-09-07 09:04:50:928,1,319,7,0,379,4086,319,0 112,0,2024-09-07 09:04:50:948,82565,0.3,81903,0.4,164406,0.2,218303,1.50 112,1,2024-09-07 09:04:50:829,562301,562301,0,0,261909248875,2719793955,558842,2875,584,380,391624,0 112,2,2024-09-07 09:04:51:135,399998,399997,1,0,16006467,0,5036 112,3,2024-09-07 09:04:50:598,1,319,4,0,282,3396,319,0 113,0,2024-09-07 09:04:50:881,81263,0.3,81278,0.5,163026,0.2,216930,1.50 113,1,2024-09-07 09:04:51:685,564000,564000,0,0,263062932015,2716728162,560723,2693,584,366,391661,0 113,2,2024-09-07 09:04:51:314,406917,406917,0,0,14850745,0,3813 113,3,2024-09-07 09:04:50:685,1,319,22,0,340,4000,319,0 114,0,2024-09-07 09:04:51:104,75505,0.3,76032,0.5,151135,0.2,201496,1.75 114,1,2024-09-07 09:04:50:721,561865,561865,0,0,262171154834,2727662020,556744,3528,1593,381,391556,0 114,2,2024-09-07 09:04:50:918,406525,406524,1,0,16432449,0,5069 114,3,2024-09-07 09:04:51:284,1,319,1,0,395,2950,319,0 115,0,2024-09-07 09:04:50:557,79103,0.3,79577,0.4,158924,0.2,212038,1.50 115,1,2024-09-07 09:04:50:576,561605,561605,0,0,262223418476,2725909692,556748,4017,840,382,391656,0 115,2,2024-09-07 09:04:51:125,405488,405488,0,0,15200788,0,3453 115,3,2024-09-07 09:04:51:001,1,319,1,0,159,1948,319,0 116,0,2024-09-07 09:04:51:703,81885,0.9,81765,1.0,163869,1.1,219201,2.25 116,1,2024-09-07 09:04:50:803,558722,558722,0,0,260710076252,2755102455,551133,5323,2266,380,391782,0 116,2,2024-09-07 09:04:51:768,398765,398765,0,0,20431630,0,3529 116,3,2024-09-07 09:04:50:936,1,319,55,0,415,4172,319,0 117,0,2024-09-07 09:04:50:952,83399,0.8,82912,0.9,166124,0.9,222030,2.00 117,1,2024-09-07 09:04:51:581,559907,559907,0,0,261113539525,2737164735,553642,5597,668,370,392033,0 117,2,2024-09-07 09:04:51:118,405917,405917,0,0,16919020,0,3700 117,3,2024-09-07 09:04:51:071,1,319,0,0,490,4840,319,0 118,0,2024-09-07 09:04:51:907,75202,0.5,77238,0.7,157448,0.5,205598,2.00 118,1,2024-09-07 09:04:50:590,559558,559558,0,0,260980561797,2748130941,550785,6876,1897,366,391907,0 118,2,2024-09-07 09:04:51:588,405158,405158,0,0,18683850,0,2781 118,3,2024-09-07 09:04:51:771,1,319,2,0,235,3514,319,0 119,0,2024-09-07 09:04:51:568,77501,0.7,77930,0.8,156008,0.7,207935,2.00 119,1,2024-09-07 09:04:50:572,560859,560859,0,0,261625482727,2739841413,554303,5720,836,367,391780,0 119,2,2024-09-07 09:04:51:285,404556,404556,0,0,17698142,0,4174 119,3,2024-09-07 09:04:51:395,1,319,21,0,563,4926,319,0 120,0,2024-09-07 09:04:51:552,82027,0.7,81836,0.9,163882,0.7,219208,2.25 120,1,2024-09-07 09:04:50:865,560235,560235,0,0,260295074378,2737249905,553837,5843,555,368,391961,0 120,2,2024-09-07 09:04:50:770,401036,401035,1,0,20792074,0,5281 120,3,2024-09-07 09:04:51:308,1,319,2,0,241,4121,319,0 121,0,2024-09-07 09:04:51:692,82947,1.1,83136,1.0,166742,1.4,222014,2.25 121,1,2024-09-07 09:04:51:712,560646,560646,0,0,261779259010,2743548927,554754,5455,437,367,391840,0 121,2,2024-09-07 09:04:51:131,400114,400114,0,0,20049065,0,4127 121,3,2024-09-07 09:04:50:732,1,319,1,0,269,3827,319,0 122,0,2024-09-07 09:04:51:789,78847,0.8,76852,0.9,161044,0.9,211168,2.00 122,1,2024-09-07 09:04:50:867,559125,559125,0,0,260990340161,2745043764,550672,7192,1261,366,392130,0 122,2,2024-09-07 09:04:51:319,405297,405224,73,0,22586198,0,5989 122,3,2024-09-07 09:04:50:619,1,319,2,0,411,5924,319,0 123,0,2024-09-07 09:04:50:965,75684,0.8,73937,0.8,154257,0.9,202841,2.00 123,1,2024-09-07 09:04:50:562,559170,559170,0,0,261005445931,2759063065,547755,9290,2125,369,392039,0 123,2,2024-09-07 09:04:51:020,401954,401953,1,0,19547450,0,5215 123,3,2024-09-07 09:04:51:136,1,319,2,0,168,3814,319,0 124,0,2024-09-07 09:04:50:936,83219,0.4,83227,0.5,156791,0.3,215581,1.75 124,1,2024-09-07 09:04:51:029,561850,561850,0,0,261201803884,2722684373,557144,3798,908,367,392178,0 124,2,2024-09-07 09:04:51:016,404116,404063,53,0,17503523,0,6487 124,3,2024-09-07 09:04:50:760,1,319,40,0,490,3395,319,0 125,0,2024-09-07 09:04:51:420,82024,0.4,81585,0.6,164221,0.4,218757,1.75 125,1,2024-09-07 09:04:50:859,560296,560296,0,0,261913939451,2739268983,555424,4231,641,383,391702,0 125,2,2024-09-07 09:04:51:118,400264,400264,0,0,18013192,0,4534 125,3,2024-09-07 09:04:51:134,1,319,2,0,709,4552,319,0 126,0,2024-09-07 09:04:51:450,81021,0.4,83263,0.6,159247,0.4,215696,1.75 126,1,2024-09-07 09:04:50:566,562324,562324,0,0,262180994586,2721212135,558597,3444,283,365,391987,0 126,2,2024-09-07 09:04:50:614,406016,406016,0,0,18112641,0,4539 126,3,2024-09-07 09:04:50:915,1,319,2,0,207,4067,319,0 127,0,2024-09-07 09:04:51:617,74309,0.3,74676,0.5,148964,0.3,198314,1.75 127,1,2024-09-07 09:04:50:569,561541,561541,0,0,262241334198,2728571692,556149,4532,860,365,392187,0 127,2,2024-09-07 09:04:50:642,404744,404744,0,0,16701614,0,3897 127,3,2024-09-07 09:04:51:278,1,319,1,0,968,3960,319,0 128,0,2024-09-07 09:04:51:543,80078,0.3,80262,0.5,160207,0.2,213352,1.50 128,1,2024-09-07 09:04:51:618,561475,561475,0,0,262133961949,2725393153,557385,3721,369,367,391798,0 128,2,2024-09-07 09:04:51:389,404922,404922,0,0,15596269,0,2915 128,3,2024-09-07 09:04:50:768,1,319,4,0,1082,5824,319,0 129,0,2024-09-07 09:04:51:019,82724,0.3,82375,0.5,165128,0.3,219372,1.75 129,1,2024-09-07 09:04:50:572,558652,558652,0,0,261186895107,2738904173,553018,4378,1256,379,391835,0 129,2,2024-09-07 09:04:50:687,400561,400561,0,0,16292682,0,4031 129,3,2024-09-07 09:04:50:698,1,319,16,0,469,4397,319,0 130,0,2024-09-07 09:04:51:724,83036,0.5,82653,0.6,166000,0.5,220617,1.75 130,1,2024-09-07 09:04:50:591,561929,561929,0,0,262158215576,2728748726,558525,3125,279,381,391825,0 130,2,2024-09-07 09:04:51:125,405549,405549,0,0,16114721,0,4067 130,3,2024-09-07 09:04:51:295,1,319,7,0,450,4067,319,0 131,0,2024-09-07 09:04:51:942,75860,0.4,76356,0.5,153455,0.3,202806,1.75 131,1,2024-09-07 09:04:51:827,561491,561491,0,0,261759691097,2734400971,557060,3686,745,381,391865,0 131,2,2024-09-07 09:04:50:569,408335,408335,0,0,15035980,0,2415 131,3,2024-09-07 09:04:51:696,1,319,1,0,392,3440,319,0 132,0,2024-09-07 09:04:51:416,78457,0.5,79272,0.6,158332,0.4,210440,2.00 132,1,2024-09-07 09:04:50:593,559046,559046,0,0,260436604670,2747510580,550415,7167,1464,381,392097,0 132,2,2024-09-07 09:04:50:711,403746,403746,0,0,20036535,0,4606 132,3,2024-09-07 09:04:51:690,1,319,8,0,804,6112,319,0 133,0,2024-09-07 09:04:51:526,80641,0.5,82348,0.6,169147,0.5,219655,2.00 133,1,2024-09-07 09:04:50:595,559138,559138,0,0,261293701698,2758619819,550975,7081,1082,383,391914,0 133,2,2024-09-07 09:04:51:087,399286,399286,0,0,21104448,0,4315 133,3,2024-09-07 09:04:51:301,1,319,1,0,479,3507,319,0 134,0,2024-09-07 09:04:50:942,84005,0.5,83924,0.7,167828,0.5,223942,2.00 134,1,2024-09-07 09:04:50:590,559166,559166,0,0,260781476873,2743351048,550913,6397,1856,366,391718,0 134,2,2024-09-07 09:04:51:764,401894,401894,0,0,17644749,0,3847 134,3,2024-09-07 09:04:50:756,1,319,5,0,739,4247,319,0 135,0,2024-09-07 09:04:51:106,75532,0.7,75521,0.8,160280,0.7,207031,2.00 135,1,2024-09-07 09:04:51:585,559682,559682,0,0,261938507672,2761261883,551336,7111,1235,380,391805,0 135,2,2024-09-07 09:04:50:688,406456,406456,0,0,19218722,0,3981 135,3,2024-09-07 09:04:51:001,1,319,3,0,299,2578,319,0 136,0,2024-09-07 09:04:51:655,77259,0.6,77337,0.8,153957,0.6,205430,2.25 136,1,2024-09-07 09:04:51:447,559790,559790,0,0,260688773139,2742541807,552788,6307,695,382,391685,0 136,2,2024-09-07 09:04:51:137,404125,404125,0,0,19169779,0,3506 136,3,2024-09-07 09:04:51:119,1,319,1,0,637,3825,319,0 137,0,2024-09-07 09:04:50:949,84515,0.7,82223,0.8,161549,0.8,220232,2.00 137,1,2024-09-07 09:04:50:610,558955,558955,0,0,261161261304,2747991383,549384,7691,1880,366,391708,0 137,2,2024-09-07 09:04:51:713,401719,401719,0,0,21434608,0,3185 137,3,2024-09-07 09:04:50:770,1,319,1,0,382,3968,319,0 138,0,2024-09-07 09:04:51:809,81742,1.4,81896,1.1,164398,1.9,218899,2.50 138,1,2024-09-07 09:04:51:702,559753,559753,0,0,261956928150,2756575411,550720,7438,1595,368,391954,0 138,2,2024-09-07 09:04:50:590,399939,399939,0,0,19143124,0,4988 138,3,2024-09-07 09:04:50:613,1,319,4,0,1160,4737,319,0 139,0,2024-09-07 09:04:51:414,78862,1.3,79186,1.1,158592,2.0,211221,2.25 139,1,2024-09-07 09:04:50:617,557329,557329,0,0,259879031322,2768047166,545981,8704,2644,381,391892,0 139,2,2024-09-07 09:04:50:729,402853,402853,0,0,20801936,0,3097 139,3,2024-09-07 09:04:51:715,1,319,4,0,257,3627,319,0 140,0,2024-09-07 09:04:51:588,75209,0.3,74741,0.5,150234,0.2,200060,1.75 140,1,2024-09-07 09:04:51:536,563003,563003,0,0,262926695262,2715490427,559801,2764,438,365,391606,0 140,2,2024-09-07 09:04:50:698,404914,404913,1,0,17275550,0,5036 140,3,2024-09-07 09:04:50:768,1,319,1,0,247,2715,319,0 141,0,2024-09-07 09:04:51:705,80466,0.4,82694,0.5,157997,0.3,214807,1.75 141,1,2024-09-07 09:04:50:881,562299,562299,0,0,262525758087,2732097385,557740,3697,862,379,391614,0 141,2,2024-09-07 09:04:51:686,403506,403506,0,0,16819992,0,3360 141,3,2024-09-07 09:04:51:047,1,319,0,0,391,3631,319,0 142,0,2024-09-07 09:04:51:312,82656,0.4,81869,0.5,163500,0.3,218620,1.75 142,1,2024-09-07 09:04:50:599,561704,561704,0,0,261793185529,2734152987,557747,3608,349,382,392102,0 142,2,2024-09-07 09:04:51:300,399283,399251,32,0,18097048,0,6028 142,3,2024-09-07 09:04:51:757,1,319,1,0,484,4072,319,0 143,0,2024-09-07 09:04:51:374,81572,0.4,81389,0.6,163252,0.4,216982,1.75 143,1,2024-09-07 09:04:50:558,561758,561758,0,0,262139900382,2723838626,557993,3616,149,367,391705,0 143,2,2024-09-07 09:04:50:770,404828,404828,0,0,17578404,0,3123 143,3,2024-09-07 09:04:51:145,1,319,1,0,303,3894,319,0 144,0,2024-09-07 09:04:51:503,72727,0.6,74821,0.8,152309,0.5,199632,2.00 144,1,2024-09-07 09:04:50:590,559428,559428,0,0,260453129565,2733849088,554582,3906,940,381,391649,0 144,2,2024-09-07 09:04:51:784,406613,406613,0,0,15762324,0,3473 144,3,2024-09-07 09:04:51:740,1,319,1,0,249,3365,319,0 145,0,2024-09-07 09:04:51:416,76438,0.6,76447,0.9,162163,0.6,209583,2.25 145,1,2024-09-07 09:04:50:570,558822,558822,0,0,261267625283,2750995157,551531,6151,1140,382,391759,0 145,2,2024-09-07 09:04:51:434,402537,402537,0,0,19217176,0,3903 145,3,2024-09-07 09:04:50:902,1,319,17,0,622,5057,319,0 146,0,2024-09-07 09:04:51:593,82308,0.6,81545,0.8,164504,0.6,217970,2.25 146,1,2024-09-07 09:04:51:586,560081,560081,0,0,260928173433,2749966049,550394,7677,2010,368,391770,0 146,2,2024-09-07 09:04:51:698,399008,399008,0,0,19122065,0,2730 146,3,2024-09-07 09:04:51:274,1,319,1,0,1520,6948,319,0 147,0,2024-09-07 09:04:51:718,83404,0.6,83111,0.8,165576,0.6,221584,2.25 147,1,2024-09-07 09:04:51:375,561735,561735,0,0,262333326149,2734767351,556082,4853,800,368,391791,0 147,2,2024-09-07 09:04:51:014,404063,404063,0,0,16984386,0,2789 147,3,2024-09-07 09:04:50:929,1,319,2,0,730,4842,319,0 0,0,2024-09-07 09:05:01:712,80248,0.7,80236,0.8,170360,0.8,219966,2.00 0,1,2024-09-07 09:05:00:823,562546,562546,0,0,262372203236,2746206345,558430,3874,242,370,391896,0 0,2,2024-09-07 09:05:01:086,402495,402495,0,0,16555647,0,4480 0,3,2024-09-07 09:05:01:121,1,320,6,0,431,4528,320,0 1,0,2024-09-07 09:05:01:810,83631,0.8,82970,1.0,166931,1.0,223182,2.00 1,1,2024-09-07 09:05:00:605,561578,561578,0,0,261697793551,2743703732,555995,4358,1225,370,391857,0 1,2,2024-09-07 09:05:00:655,401775,401775,0,0,16018917,0,3267 1,3,2024-09-07 09:05:01:319,1,320,6,0,262,3819,320,0 2,0,2024-09-07 09:05:01:588,79212,0.6,79539,0.8,157735,0.7,211322,2.00 2,1,2024-09-07 09:05:00:903,563436,563436,0,0,262801415700,2731393557,560542,2601,293,380,391745,0 2,2,2024-09-07 09:05:01:274,407629,407629,0,0,16035658,0,3594 2,3,2024-09-07 09:05:00:690,1,320,1,0,357,3252,320,0 3,0,2024-09-07 09:05:01:743,76390,0.4,76308,0.6,152642,0.4,203760,2.00 3,1,2024-09-07 09:05:01:619,562502,562502,0,0,262234865807,2734061501,557405,4474,623,380,391591,0 3,2,2024-09-07 09:05:01:145,405553,405530,23,0,16474549,0,5851 3,3,2024-09-07 09:05:01:755,1,320,22,0,103,1980,320,0 4,0,2024-09-07 09:05:01:872,78633,0.4,80991,0.5,164345,0.4,215504,1.75 4,1,2024-09-07 09:05:00:854,561230,561230,0,0,261848303998,2760398277,553929,5947,1354,371,391992,0 4,2,2024-09-07 09:05:01:126,402432,402432,0,0,19251421,0,4528 4,3,2024-09-07 09:05:01:135,1,320,5,0,448,4645,320,0 5,0,2024-09-07 09:05:01:519,82076,0.5,82429,0.6,164309,0.5,218938,1.75 5,1,2024-09-07 09:05:00:787,561513,561513,0,0,261783693850,2756565607,554355,5802,1356,367,392005,0 5,2,2024-09-07 09:05:01:860,400227,400227,0,0,18226735,0,2432 5,3,2024-09-07 09:05:01:743,1,320,4,0,457,4814,320,0 6,0,2024-09-07 09:05:00:939,81505,0.5,80965,0.7,161879,0.4,215971,2.00 6,1,2024-09-07 09:05:00:755,561469,561469,0,0,262268903743,2743852177,555114,5279,1076,379,391694,0 6,2,2024-09-07 09:05:01:117,406257,406257,0,0,17607975,0,4816 6,3,2024-09-07 09:05:01:286,1,320,1,0,340,3684,320,0 7,0,2024-09-07 09:05:01:536,74437,0.5,74646,0.6,148918,0.4,198505,2.00 7,1,2024-09-07 09:05:00:866,560931,560931,0,0,261899982143,2754315712,552864,6891,1176,382,391747,0 7,2,2024-09-07 09:05:00:774,406312,406312,0,0,17677605,0,4791 7,3,2024-09-07 09:05:00:875,1,320,7,0,398,3821,320,0 8,0,2024-09-07 09:05:01:490,80270,0.4,80130,0.5,160672,0.4,214316,1.75 8,1,2024-09-07 09:05:01:158,560047,560047,0,0,262007831727,2764994619,549903,7867,2277,366,392144,0 8,2,2024-09-07 09:05:00:821,400981,400981,0,0,22012295,0,3220 8,3,2024-09-07 09:05:00:642,1,320,15,0,538,5675,320,0 9,0,2024-09-07 09:05:01:226,82461,0.4,80185,0.6,167718,0.4,219430,1.75 9,1,2024-09-07 09:05:00:562,560895,560895,0,0,261900066985,2766990681,551853,6918,2124,369,392001,0 9,2,2024-09-07 09:05:01:145,400166,400166,0,0,19317449,0,3360 9,3,2024-09-07 09:05:01:762,1,320,5,0,496,4912,320,0 10,0,2024-09-07 09:05:01:600,82829,0.3,82142,0.5,165044,0.3,219696,1.75 10,1,2024-09-07 09:05:00:596,561645,561645,0,0,262265452981,2752295987,553765,6771,1109,381,391741,0 10,2,2024-09-07 09:05:00:770,405313,405313,0,0,21058259,0,4264 10,3,2024-09-07 09:05:00:892,1,320,0,0,296,2972,320,0 11,0,2024-09-07 09:05:01:143,75639,0.4,73376,0.6,153547,0.4,202825,1.75 11,1,2024-09-07 09:05:00:598,561464,561464,0,0,261917950824,2757415027,551455,7561,2448,383,391664,0 11,2,2024-09-07 09:05:01:125,406841,406841,0,0,19090432,0,4130 11,3,2024-09-07 09:05:01:298,1,320,1,0,843,5160,320,0 12,0,2024-09-07 09:05:01:034,79612,0.4,79429,0.6,159043,0.4,211518,1.75 12,1,2024-09-07 09:05:00:951,562157,562157,0,0,261618372123,2732677925,557308,4359,490,370,391870,0 12,2,2024-09-07 09:05:01:546,405564,405564,0,0,18621251,0,3469 12,3,2024-09-07 09:05:01:104,1,320,7,0,386,5412,320,0 13,0,2024-09-07 09:05:01:390,83319,0.5,82959,0.6,165868,0.5,220741,1.75 13,1,2024-09-07 09:05:01:552,561292,561292,0,0,262229081127,2762296369,555401,4483,1408,382,391740,0 13,2,2024-09-07 09:05:00:597,402490,402490,0,0,16994463,0,3287 13,3,2024-09-07 09:05:01:762,1,320,25,0,522,5389,320,0 14,0,2024-09-07 09:05:00:585,84294,0.4,85009,0.6,168077,0.4,224263,1.75 14,1,2024-09-07 09:05:01:565,565403,565403,0,0,263934149618,2738037271,560718,4326,359,364,391571,0 14,2,2024-09-07 09:05:00:770,403247,403217,30,0,18806368,0,6104 14,3,2024-09-07 09:05:01:115,1,320,2,0,1168,4061,320,0 15,0,2024-09-07 09:05:01:557,78360,0.4,78463,0.6,156878,0.4,208913,2.00 15,1,2024-09-07 09:05:01:613,562912,562912,0,0,263014245445,2742669455,558577,3515,820,381,391619,0 15,2,2024-09-07 09:05:01:104,408794,408794,0,0,15398396,0,3622 15,3,2024-09-07 09:05:01:413,1,320,17,0,1126,6138,320,0 16,0,2024-09-07 09:05:01:016,77190,0.6,77528,0.8,154444,0.7,205915,2.25 16,1,2024-09-07 09:05:00:607,563068,563068,0,0,262501763224,2743089884,558786,3922,360,370,391756,0 16,2,2024-09-07 09:05:01:454,405082,405082,0,0,17572910,0,4719 16,3,2024-09-07 09:05:01:149,1,320,5,0,317,4594,320,0 17,0,2024-09-07 09:05:01:787,84994,0.7,83060,0.8,162294,0.8,221226,2.00 17,1,2024-09-07 09:05:00:592,561955,561955,0,0,261811731705,2751475166,555728,4970,1257,368,391899,0 17,2,2024-09-07 09:05:01:671,405185,405185,0,0,17165981,0,2857 17,3,2024-09-07 09:05:00:627,1,320,2,0,298,5226,320,0 18,0,2024-09-07 09:05:00:960,81688,0.7,82064,0.8,163585,0.7,218665,2.25 18,1,2024-09-07 09:05:01:644,563648,563648,0,0,263255200292,2730922157,560315,3022,311,367,391649,0 18,2,2024-09-07 09:05:01:765,403555,403555,0,0,15975744,0,3541 18,3,2024-09-07 09:05:00:903,1,320,1,0,163,2804,320,0 19,0,2024-09-07 09:05:01:556,79440,0.6,80108,0.8,158669,0.6,211322,2.25 19,1,2024-09-07 09:05:00:574,563565,563565,0,0,263802821945,2739930250,558449,4277,839,367,391777,0 19,2,2024-09-07 09:05:01:763,408576,408576,0,0,14676223,0,3988 19,3,2024-09-07 09:05:01:132,1,320,0,0,524,2443,320,0 20,0,2024-09-07 09:05:01:365,74934,0.6,74978,0.7,149927,0.6,200119,2.00 20,1,2024-09-07 09:05:00:580,561654,561654,0,0,262631009700,2746191042,557331,3919,404,369,391886,0 20,2,2024-09-07 09:05:00:933,405938,405938,0,0,17607861,0,3721 20,3,2024-09-07 09:05:00:615,1,320,10,0,414,5232,320,0 21,0,2024-09-07 09:05:01:152,80707,0.5,80885,0.7,161440,0.5,214598,2.00 21,1,2024-09-07 09:05:01:574,560642,560642,0,0,261274482793,2756290647,552775,5990,1877,368,392016,0 21,2,2024-09-07 09:05:01:110,402594,402594,0,0,20749738,0,3747 21,3,2024-09-07 09:05:01:417,1,320,8,0,713,3939,320,0 22,0,2024-09-07 09:05:01:726,81706,0.6,82012,0.8,164083,0.6,217176,2.25 22,1,2024-09-07 09:05:01:129,561796,561796,0,0,261569072745,2753194977,553308,6669,1819,382,391667,0 22,2,2024-09-07 09:05:00:767,400013,400013,0,0,16857231,0,3134 22,3,2024-09-07 09:05:01:075,1,320,1,0,228,2827,320,0 23,0,2024-09-07 09:05:01:370,81006,0.6,80924,0.7,162156,0.5,215837,2.25 23,1,2024-09-07 09:05:01:122,561510,561510,0,0,262565566044,2762669534,551019,7333,3158,365,391690,0 23,2,2024-09-07 09:05:01:121,407183,407183,0,0,16573871,0,3010 23,3,2024-09-07 09:05:01:754,1,320,2,0,645,3977,320,0 24,0,2024-09-07 09:05:00:886,75737,0.4,75558,0.6,151727,0.4,201208,1.75 24,1,2024-09-07 09:05:00:598,560847,560847,0,0,261293044174,2746700327,553965,5355,1527,368,392269,0 24,2,2024-09-07 09:05:01:099,405383,405383,0,0,20792136,0,3607 24,3,2024-09-07 09:05:01:691,1,320,9,0,468,4429,320,0 25,0,2024-09-07 09:05:01:362,81719,0.4,79665,0.6,156322,0.4,213685,2.00 25,1,2024-09-07 09:05:00:590,561832,561832,0,0,262531673394,2759392614,554156,6308,1368,371,391928,0 25,2,2024-09-07 09:05:01:634,404301,404301,0,0,20789177,0,3978 25,3,2024-09-07 09:05:01:136,1,320,1,0,255,3280,320,0 26,0,2024-09-07 09:05:01:731,81996,0.4,80114,0.6,168263,0.4,218789,2.00 26,1,2024-09-07 09:05:01:547,562557,562557,0,0,261498472289,2746179320,553710,7311,1536,380,391748,0 26,2,2024-09-07 09:05:00:877,401153,401153,0,0,20405318,0,2809 26,3,2024-09-07 09:05:01:713,1,320,2,0,796,4334,320,0 27,0,2024-09-07 09:05:01:733,83630,0.5,83693,0.6,165891,0.4,221614,2.00 27,1,2024-09-07 09:05:01:677,563990,563990,0,0,263266759622,2744877584,558726,4499,765,381,391626,0 27,2,2024-09-07 09:05:00:867,402167,402102,65,0,20307632,0,5699 27,3,2024-09-07 09:05:01:128,1,320,1,0,564,3610,320,0 28,0,2024-09-07 09:05:01:398,77795,0.4,77515,0.6,155332,0.3,207172,2.00 28,1,2024-09-07 09:05:00:806,562962,562962,0,0,263308033525,2747489606,558585,3675,702,383,391698,0 28,2,2024-09-07 09:05:01:764,407007,407007,0,0,17925305,0,2915 28,3,2024-09-07 09:05:01:776,1,320,1,0,502,3494,320,0 29,0,2024-09-07 09:05:01:372,80521,0.4,78570,0.6,153792,0.3,210462,1.75 29,1,2024-09-07 09:05:01:560,564517,564517,0,0,263855285991,2736381159,560181,3653,683,369,391753,0 29,2,2024-09-07 09:05:00:881,404329,404329,0,0,17299409,0,4986 29,3,2024-09-07 09:05:00:984,1,320,3,0,459,3979,320,0 30,0,2024-09-07 09:05:01:473,82366,0.6,79954,0.8,167366,0.5,218948,2.00 30,1,2024-09-07 09:05:00:580,564022,564022,0,0,262788472953,2733822212,559204,4108,710,382,391672,0 30,2,2024-09-07 09:05:01:274,402133,402133,0,0,16232551,0,3161 30,3,2024-09-07 09:05:00:588,1,320,3,0,519,3377,320,0 31,0,2024-09-07 09:05:01:772,83182,0.4,83460,0.6,167069,0.4,222834,2.00 31,1,2024-09-07 09:05:00:566,566380,566380,0,0,264149948885,2712501028,563840,1982,558,356,391712,0 31,2,2024-09-07 09:05:01:293,402637,402637,0,0,18016387,0,3525 31,3,2024-09-07 09:05:01:709,1,320,12,0,220,2841,320,0 32,0,2024-09-07 09:05:01:447,79210,0.3,79554,0.5,159035,0.2,211624,1.75 32,1,2024-09-07 09:05:00:817,563782,563782,0,0,262792131512,2730333136,560713,2624,445,381,391646,0 32,2,2024-09-07 09:05:00:979,407929,407929,0,0,15038522,0,3155 32,3,2024-09-07 09:05:01:128,1,320,1,0,227,2408,320,0 33,0,2024-09-07 09:05:01:496,76654,0.3,76217,0.4,152929,0.2,204440,1.75 33,1,2024-09-07 09:05:00:580,564467,564467,0,0,264325616025,2739306778,560059,3577,831,369,391730,0 33,2,2024-09-07 09:05:00:766,406218,406183,35,0,17092833,0,7012 33,3,2024-09-07 09:05:00:911,1,320,10,0,329,3520,320,0 34,0,2024-09-07 09:05:00:942,81204,0.3,83491,0.5,159811,0.2,214807,1.75 34,1,2024-09-07 09:05:01:116,565478,565478,0,0,263817859367,2712967126,564087,1383,8,367,391562,0 34,2,2024-09-07 09:05:00:774,404440,404440,0,0,16407621,0,3577 34,3,2024-09-07 09:05:01:688,1,320,0,0,299,2421,320,0 35,0,2024-09-07 09:05:00:884,81394,0.4,81785,0.5,164467,0.3,219515,1.75 35,1,2024-09-07 09:05:01:101,563931,563931,0,0,262879993504,2726446924,560328,2822,781,382,391589,0 35,2,2024-09-07 09:05:01:596,401732,401732,0,0,16276255,0,2653 35,3,2024-09-07 09:05:00:918,1,320,5,0,418,4178,320,0 36,0,2024-09-07 09:05:01:519,81368,0.5,81381,0.7,162742,0.4,215649,2.00 36,1,2024-09-07 09:05:00:587,563201,563201,0,0,261883087864,2739405601,555975,5568,1658,366,391759,0 36,2,2024-09-07 09:05:01:751,406713,406713,0,0,18678668,0,3875 36,3,2024-09-07 09:05:00:876,1,320,0,0,416,5248,320,0 37,0,2024-09-07 09:05:01:388,74271,0.5,74262,0.7,148673,0.5,199279,2.00 37,1,2024-09-07 09:05:00:594,562014,562007,0,7,262666554270,2747982460,555237,4685,2085,365,391570,0 37,2,2024-09-07 09:05:01:148,404994,404979,15,0,18347043,0,5815 37,3,2024-09-07 09:05:01:767,1,320,2,0,888,5475,320,0 38,0,2024-09-07 09:05:01:447,79634,0.5,77352,0.6,161918,0.4,212306,2.00 38,1,2024-09-07 09:05:01:615,563828,563828,0,0,262501704917,2736272507,557794,5277,757,368,391821,0 38,2,2024-09-07 09:05:00:770,404237,404190,47,0,18950390,0,6710 38,3,2024-09-07 09:05:01:128,1,320,9,0,689,4589,320,0 39,0,2024-09-07 09:05:01:772,83874,0.6,82123,0.8,159762,0.7,218282,2.00 39,1,2024-09-07 09:05:00:724,562468,562468,0,0,262427710192,2749055615,554107,6901,1460,365,391594,0 39,2,2024-09-07 09:05:01:417,400834,400834,0,0,16878567,0,2689 39,3,2024-09-07 09:05:00:735,1,320,14,0,324,4187,320,0 40,0,2024-09-07 09:05:01:490,81784,0.8,82484,1.0,164747,0.8,219341,2.75 40,1,2024-09-07 09:05:00:577,562982,562982,0,0,261520423501,2743157759,554432,7040,1510,368,391668,0 40,2,2024-09-07 09:05:01:326,404598,404597,1,0,20410169,0,5137 40,3,2024-09-07 09:05:01:145,1,320,6,0,1028,4964,320,0 41,0,2024-09-07 09:05:01:095,75504,1.5,77379,1.3,147656,2.4,200736,3.25 41,1,2024-09-07 09:05:00:781,561955,561955,0,0,262220932613,2747335576,554908,6344,703,370,391742,0 41,2,2024-09-07 09:05:00:764,405587,405587,0,0,19584454,0,3356 41,3,2024-09-07 09:05:01:684,1,320,10,0,366,3350,320,0 42,0,2024-09-07 09:05:01:479,78319,0.9,78350,1.1,157024,1.1,207630,2.75 42,1,2024-09-07 09:05:01:444,561261,561261,0,0,261667530078,2755083948,551734,7769,1758,380,391675,0 42,2,2024-09-07 09:05:01:138,405165,405165,0,0,19686957,0,3790 42,3,2024-09-07 09:05:01:084,1,320,1,0,446,3048,320,0 43,0,2024-09-07 09:05:00:938,81588,1.0,79602,1.1,166679,1.2,218209,2.50 43,1,2024-09-07 09:05:00:597,562677,562677,0,0,262363649090,2746364336,554446,6793,1438,366,391696,0 43,2,2024-09-07 09:05:01:744,401206,401206,0,0,19368389,0,3812 43,3,2024-09-07 09:05:01:761,1,320,1,0,467,4016,320,0 44,0,2024-09-07 09:05:00:878,84023,0.5,84434,0.6,168405,0.4,224319,1.75 44,1,2024-09-07 09:05:00:572,564134,564134,0,0,262890362009,2723055055,559076,4011,1047,356,391809,0 44,2,2024-09-07 09:05:01:276,402931,402931,0,0,16274070,0,4344 44,3,2024-09-07 09:05:01:106,1,320,1,0,817,4395,320,0 45,0,2024-09-07 09:05:01:782,77410,0.5,75568,0.7,158508,0.5,208871,2.00 45,1,2024-09-07 09:05:01:101,563070,563070,0,0,263242503205,2739402633,558637,3957,476,382,391917,0 45,2,2024-09-07 09:05:01:272,407342,407342,0,0,16877570,0,3596 45,3,2024-09-07 09:05:00:941,1,320,2,0,271,3133,320,0 46,0,2024-09-07 09:05:00:962,76533,0.7,76534,0.8,153284,0.7,203690,2.25 46,1,2024-09-07 09:05:00:587,564989,564989,0,0,264196974368,2731840434,561284,3279,426,366,391572,0 46,2,2024-09-07 09:05:00:609,405886,405886,0,0,15901180,0,2920 46,3,2024-09-07 09:05:01:138,1,320,2,0,908,4843,320,0 47,0,2024-09-07 09:05:01:118,82894,0.5,83031,0.7,166296,0.5,220763,2.00 47,1,2024-09-07 09:05:00:575,564381,564381,0,0,263103519591,2725941976,559898,3668,815,366,391641,0 47,2,2024-09-07 09:05:00:916,404982,404982,0,0,16422125,0,4477 47,3,2024-09-07 09:05:01:117,1,320,14,0,529,3673,320,0 48,0,2024-09-07 09:05:01:532,83303,0.3,82778,0.4,165183,0.2,220905,1.50 48,1,2024-09-07 09:05:01:134,563684,563684,0,0,263424951256,2737372479,560131,3251,302,384,391710,0 48,2,2024-09-07 09:05:00:705,401944,401944,0,0,14786711,0,3031 48,3,2024-09-07 09:05:00:764,1,320,2,0,339,2808,320,0 49,0,2024-09-07 09:05:01:715,82110,0.3,80642,0.5,156201,0.3,213755,1.75 49,1,2024-09-07 09:05:01:144,563263,563263,0,0,262645126619,2737357997,558950,3128,1185,382,391809,0 49,2,2024-09-07 09:05:01:797,407849,407849,0,0,15858568,0,4426 49,3,2024-09-07 09:05:01:419,1,320,1,0,408,3441,320,0 50,0,2024-09-07 09:05:01:510,75214,0.3,74352,0.4,149647,0.2,199794,1.75 50,1,2024-09-07 09:05:01:130,565466,565466,0,0,263773662962,2729400962,561652,3440,374,368,391565,0 50,2,2024-09-07 09:05:01:090,406166,406166,0,0,14947459,0,2263 50,3,2024-09-07 09:05:01:297,1,320,1,0,335,3190,320,0 51,0,2024-09-07 09:05:01:684,82822,0.3,80941,0.5,158043,0.2,215482,1.75 51,1,2024-09-07 09:05:01:680,565510,565510,0,0,264493019333,2730973248,562256,2279,975,365,391706,0 51,2,2024-09-07 09:05:01:326,404808,404808,0,0,14501225,0,3337 51,3,2024-09-07 09:05:01:121,1,320,1,0,678,2543,320,0 52,0,2024-09-07 09:05:01:425,82248,0.5,82238,0.7,164263,0.5,218452,2.00 52,1,2024-09-07 09:05:00:634,562412,562412,0,0,262106135249,2749512306,553863,7239,1310,368,391722,0 52,2,2024-09-07 09:05:01:763,398131,398093,38,0,19042127,0,6742 52,3,2024-09-07 09:05:00:689,1,320,9,0,1782,5158,320,0 53,0,2024-09-07 09:05:01:768,80897,0.6,78623,0.8,164426,0.7,215392,2.25 53,1,2024-09-07 09:05:00:778,561287,561287,0,0,262341613759,2757638025,551865,6841,2581,367,391702,0 53,2,2024-09-07 09:05:01:326,406926,406926,0,0,16338656,0,2727 53,3,2024-09-07 09:05:00:712,1,320,1,0,308,3181,320,0 54,0,2024-09-07 09:05:01:631,74089,0.6,74577,0.8,148397,0.4,198380,2.25 54,1,2024-09-07 09:05:00:587,562847,562847,0,0,263381124846,2739911618,557255,4990,602,367,391659,0 54,2,2024-09-07 09:05:00:876,407077,407071,6,0,18925239,0,5382 54,3,2024-09-07 09:05:00:766,1,320,3,0,676,5110,320,0 55,0,2024-09-07 09:05:01:769,76927,0.6,79723,0.7,160407,0.5,209748,2.50 55,1,2024-09-07 09:05:00:767,562589,562589,0,0,262684603861,2737411274,555968,5712,909,365,391731,0 55,2,2024-09-07 09:05:00:761,404288,404288,0,0,18411944,0,3563 55,3,2024-09-07 09:05:00:675,1,320,1,0,304,3635,320,0 56,0,2024-09-07 09:05:01:566,83925,1.3,79112,1.2,163190,1.8,218361,2.75 56,1,2024-09-07 09:05:00:576,559996,559996,0,0,261355987287,2764424196,551268,7098,1630,381,391867,0 56,2,2024-09-07 09:05:01:315,400414,400414,0,0,19298024,0,3567 56,3,2024-09-07 09:05:01:121,1,320,0,0,705,4403,320,0 57,0,2024-09-07 09:05:01:071,82322,1.5,82100,1.2,164662,2.1,220135,3.00 57,1,2024-09-07 09:05:00:997,561769,561769,0,0,262056744450,2750906889,554953,6097,719,366,392032,0 57,2,2024-09-07 09:05:01:326,404722,404722,0,0,21039678,0,3317 57,3,2024-09-07 09:05:01:747,1,320,7,0,359,3887,320,0 58,0,2024-09-07 09:05:00:590,76281,0.9,74198,1.0,155491,1.1,203934,2.50 58,1,2024-09-07 09:05:00:588,562850,562847,0,3,262182894345,2745637616,554731,7034,1082,367,391603,3 58,2,2024-09-07 09:05:01:099,406381,406381,0,0,19329839,0,2549 58,3,2024-09-07 09:05:01:086,1,320,2,0,1043,3382,320,0 59,0,2024-09-07 09:05:01:754,78022,0.9,77717,1.0,155339,0.9,206747,2.75 59,1,2024-09-07 09:05:00:819,562503,562503,0,0,262569785217,2753050031,554644,6339,1520,369,391586,0 59,2,2024-09-07 09:05:00:587,406160,406160,0,0,19032648,0,2867 59,3,2024-09-07 09:05:01:740,1,320,0,0,1015,4255,320,0 60,0,2024-09-07 09:05:01:722,82440,0.6,82571,0.7,165780,0.6,219651,1.75 60,1,2024-09-07 09:05:00:783,563943,563943,0,0,263594717192,2740172660,559844,3454,645,370,392031,0 60,2,2024-09-07 09:05:01:145,402087,402087,0,0,18559351,0,3811 60,3,2024-09-07 09:05:01:265,1,320,2,0,409,3862,320,0 61,0,2024-09-07 09:05:01:524,83391,0.7,83948,0.8,166705,0.7,222534,2.00 61,1,2024-09-07 09:05:00:783,562161,562161,0,0,262489186651,2756739710,555006,5831,1324,382,392127,0 61,2,2024-09-07 09:05:01:126,402831,402764,67,0,18848236,0,6411 61,3,2024-09-07 09:05:01:700,1,320,12,0,479,4914,320,0 62,0,2024-09-07 09:05:01:715,79726,0.5,81473,0.7,155328,0.5,211429,2.00 62,1,2024-09-07 09:05:01:122,565386,565380,0,6,263940166446,2727753986,561805,3316,259,365,391715,6 62,2,2024-09-07 09:05:01:646,406086,406085,1,0,18433977,0,5555 62,3,2024-09-07 09:05:01:144,1,320,1,0,465,2532,320,0 63,0,2024-09-07 09:05:01:456,76580,0.4,76616,0.6,153396,0.4,204463,1.75 63,1,2024-09-07 09:05:00:827,563860,563854,0,6,263761464712,2741774846,559927,3540,387,381,391800,6 63,2,2024-09-07 09:05:00:768,405564,405564,0,0,16780911,0,4369 63,3,2024-09-07 09:05:01:740,1,320,2,0,667,3731,320,0 64,0,2024-09-07 09:05:01:555,80546,0.5,80500,0.7,160911,0.5,213944,2.00 64,1,2024-09-07 09:05:00:773,563294,563294,0,0,263054535560,2745153519,557658,3979,1657,370,391783,0 64,2,2024-09-07 09:05:01:149,407505,407486,19,0,16394576,0,6121 64,3,2024-09-07 09:05:01:151,1,320,2,0,265,3073,320,0 65,0,2024-09-07 09:05:01:741,81303,0.7,81615,0.8,162939,0.8,217360,2.25 65,1,2024-09-07 09:05:00:888,562251,562251,0,0,262663616786,2747308108,558173,3627,451,382,391901,0 65,2,2024-09-07 09:05:01:700,402513,402513,0,0,17818935,0,3367 65,3,2024-09-07 09:05:01:683,1,320,1,0,163,2867,320,0 66,0,2024-09-07 09:05:01:770,80798,0.5,80700,0.7,161609,0.5,214481,2.00 66,1,2024-09-07 09:05:01:326,563468,563468,0,0,263488744091,2741279021,559913,3234,321,380,391653,0 66,2,2024-09-07 09:05:01:135,408808,408808,0,0,16132862,0,4956 66,3,2024-09-07 09:05:01:104,1,320,4,0,291,3152,320,0 67,0,2024-09-07 09:05:01:471,75151,0.5,74480,0.7,149725,0.5,199426,2.00 67,1,2024-09-07 09:05:00:768,563713,563712,0,1,262919674738,2740359740,559547,3414,751,380,391787,1 67,2,2024-09-07 09:05:00:595,407350,407350,0,0,15575780,0,2889 67,3,2024-09-07 09:05:01:750,1,320,2,0,338,2811,320,0 68,0,2024-09-07 09:05:00:640,80527,0.6,80038,0.7,159812,0.6,214121,2.00 68,1,2024-09-07 09:05:00:601,562151,562151,0,0,261580329976,2742744132,557349,3601,1201,381,391953,0 68,2,2024-09-07 09:05:01:119,403155,403090,65,0,20690007,0,6698 68,3,2024-09-07 09:05:00:764,1,320,1,0,417,3569,320,0 69,0,2024-09-07 09:05:01:785,81771,0.9,82193,0.9,163840,1.2,217310,2.25 69,1,2024-09-07 09:05:01:086,560293,560293,0,0,261422652272,2762928006,552323,5860,2110,384,391994,0 69,2,2024-09-07 09:05:01:744,400310,400310,0,0,20550559,0,3722 69,3,2024-09-07 09:05:00:766,1,320,8,0,698,4636,320,0 70,0,2024-09-07 09:05:01:579,81833,0.8,81789,1.0,164635,0.6,217976,2.50 70,1,2024-09-07 09:05:00:813,563587,563587,0,0,262562314160,2728927038,558739,4312,536,366,391725,0 70,2,2024-09-07 09:05:01:341,404520,404520,0,0,18724293,0,4044 70,3,2024-09-07 09:05:00:745,1,320,2,0,854,3689,320,0 71,0,2024-09-07 09:05:01:433,75617,0.9,75143,1.0,151068,1.1,201510,2.75 71,1,2024-09-07 09:05:01:605,562651,562651,0,0,262997804020,2747144224,556085,5910,656,368,391738,0 71,2,2024-09-07 09:05:01:096,406994,406994,0,0,18443711,0,4042 71,3,2024-09-07 09:05:01:761,1,320,7,0,644,4514,320,0 72,0,2024-09-07 09:05:01:149,81601,0.7,79815,0.8,155580,0.7,211759,2.25 72,1,2024-09-07 09:05:01:122,561757,561757,0,0,262331277692,2753148672,553532,6529,1696,369,391819,0 72,2,2024-09-07 09:05:01:769,403894,403894,0,0,21419331,0,3983 72,3,2024-09-07 09:05:01:759,1,320,2,0,564,5245,320,0 73,0,2024-09-07 09:05:01:137,80616,0.5,82576,0.7,169113,0.4,219465,2.00 73,1,2024-09-07 09:05:00:777,563017,563017,0,0,262431148822,2732070312,558701,3986,330,367,391858,0 73,2,2024-09-07 09:05:01:739,401049,401049,0,0,20350312,0,3701 73,3,2024-09-07 09:05:01:125,1,320,1,0,274,4466,320,0 74,0,2024-09-07 09:05:01:345,84538,0.5,86598,0.7,165354,0.4,224087,2.25 74,1,2024-09-07 09:05:00:639,562502,562502,0,0,262226709368,2741833902,556425,4815,1262,381,391681,0 74,2,2024-09-07 09:05:01:134,403252,403252,0,0,19448240,0,4253 74,3,2024-09-07 09:05:01:488,1,320,0,0,522,4591,320,0 75,0,2024-09-07 09:05:01:766,78613,0.5,78234,0.7,156693,0.4,209830,2.25 75,1,2024-09-07 09:05:01:591,561924,561924,0,0,261809166739,2739720939,555574,5525,825,380,391739,0 75,2,2024-09-07 09:05:01:352,406446,406446,0,0,19539608,0,4766 75,3,2024-09-07 09:05:01:085,1,320,0,0,702,4731,320,0 76,0,2024-09-07 09:05:00:613,76764,0.7,76177,0.8,152980,0.7,204769,2.50 76,1,2024-09-07 09:05:00:820,562661,562661,0,0,261731569640,2733600644,558745,3327,589,382,391692,0 76,2,2024-09-07 09:05:01:111,406539,406538,1,0,18803361,0,5144 76,3,2024-09-07 09:05:01:151,1,320,18,0,175,3177,320,0 77,0,2024-09-07 09:05:01:743,82609,0.7,82758,0.8,165415,0.8,220690,2.00 77,1,2024-09-07 09:05:00:844,562746,562746,0,0,262367984427,2745696461,557565,4693,488,381,391869,0 77,2,2024-09-07 09:05:01:286,403764,403764,0,0,18283386,0,3890 77,3,2024-09-07 09:05:01:099,1,320,7,0,401,3734,320,0 78,0,2024-09-07 09:05:01:753,82928,0.5,82530,0.7,165762,0.4,220127,2.00 78,1,2024-09-07 09:05:00:624,563377,563377,0,0,262540138128,2737701681,557520,4712,1145,367,391670,0 78,2,2024-09-07 09:05:01:412,402781,402781,0,0,15843865,0,3855 78,3,2024-09-07 09:05:01:139,1,320,1,0,181,3147,320,0 79,0,2024-09-07 09:05:01:383,77408,0.4,79486,0.6,162332,0.4,211150,2.25 79,1,2024-09-07 09:05:00:575,564729,564729,0,0,262940673305,2726643878,560176,3920,633,369,391682,0 79,2,2024-09-07 09:05:01:087,407881,407881,0,0,16766215,0,4195 79,3,2024-09-07 09:05:00:764,1,320,1,0,418,4262,320,0 80,0,2024-09-07 09:05:01:105,75099,0.5,77156,0.7,147780,0.5,199628,2.00 80,1,2024-09-07 09:05:01:625,563115,563115,0,0,262659383421,2734058725,559771,3167,177,368,391791,0 80,2,2024-09-07 09:05:01:105,407357,407357,0,0,15842450,0,4433 80,3,2024-09-07 09:05:00:579,1,320,0,0,190,3938,320,0 81,0,2024-09-07 09:05:01:568,80649,0.6,82566,0.7,157655,0.5,214268,2.00 81,1,2024-09-07 09:05:01:665,562405,562405,0,0,262054913397,2741523017,557558,4348,499,382,391879,0 81,2,2024-09-07 09:05:01:126,404262,404199,63,0,17804628,0,5932 81,3,2024-09-07 09:05:01:117,1,320,3,0,374,3805,320,0 82,0,2024-09-07 09:05:01:552,81628,0.5,82146,0.7,164465,0.5,218005,2.00 82,1,2024-09-07 09:05:00:595,564215,564211,0,4,263460863318,2744892464,560316,3098,797,381,391768,4 82,2,2024-09-07 09:05:01:691,402366,402366,0,0,15157393,0,3986 82,3,2024-09-07 09:05:01:762,1,320,1,0,363,3392,320,0 83,0,2024-09-07 09:05:01:538,81711,0.5,81476,0.7,162183,0.5,215742,2.00 83,1,2024-09-07 09:05:00:568,562643,562643,0,0,262542154411,2740371979,558336,3927,380,382,391709,0 83,2,2024-09-07 09:05:00:777,406209,406209,0,0,15691181,0,3393 83,3,2024-09-07 09:05:00:765,1,320,13,0,1260,4829,320,0 84,0,2024-09-07 09:05:01:801,74741,0.7,74647,0.9,149487,0.6,199758,2.25 84,1,2024-09-07 09:05:01:147,562511,562511,0,0,262518774612,2745713388,556777,5007,727,367,391967,0 84,2,2024-09-07 09:05:00:574,406716,406716,0,0,19784586,0,4757 84,3,2024-09-07 09:05:01:161,1,320,13,0,908,4983,320,0 85,0,2024-09-07 09:05:01:151,76674,0.7,76658,0.9,162727,0.7,211039,2.50 85,1,2024-09-07 09:05:00:570,560959,560959,0,0,261961800968,2767905258,552453,7137,1369,381,392006,0 85,2,2024-09-07 09:05:00:877,405394,405394,0,0,19616538,0,3656 85,3,2024-09-07 09:05:00:687,1,320,2,0,789,4351,320,0 86,0,2024-09-07 09:05:00:960,82290,0.7,84572,0.8,161960,0.8,218573,2.25 86,1,2024-09-07 09:05:00:830,562489,562489,0,0,262287485837,2749316712,555614,5810,1065,366,391961,0 86,2,2024-09-07 09:05:00:862,400087,400086,1,0,20314624,0,5004 86,3,2024-09-07 09:05:00:622,1,320,1,0,308,4504,320,0 87,0,2024-09-07 09:05:01:330,83000,0.9,82968,0.9,166413,1.2,221904,2.25 87,1,2024-09-07 09:05:00:572,561569,561569,0,0,262492708112,2748686083,555397,5562,610,366,392076,0 87,2,2024-09-07 09:05:01:099,403923,403923,0,0,18606723,0,4045 87,3,2024-09-07 09:05:01:797,1,320,2,0,473,4688,320,0 88,0,2024-09-07 09:05:01:444,77497,0.4,78126,0.6,155777,0.4,206814,2.00 88,1,2024-09-07 09:05:00:574,560672,560672,0,0,262440125156,2755386422,552982,6026,1664,365,392084,0 88,2,2024-09-07 09:05:00:691,407166,407166,0,0,20804173,0,3583 88,3,2024-09-07 09:05:01:267,1,320,2,0,435,3587,320,0 89,0,2024-09-07 09:05:01:780,80705,0.5,78042,0.7,154830,0.4,210367,2.00 89,1,2024-09-07 09:05:00:551,561060,561060,0,0,261793529649,2759083511,553318,6469,1273,382,391866,0 89,2,2024-09-07 09:05:01:139,404682,404682,0,0,19879490,0,2910 89,3,2024-09-07 09:05:01:791,1,320,5,0,385,6039,320,0 90,0,2024-09-07 09:05:01:669,80296,0.5,82284,0.7,168081,0.5,219046,2.00 90,1,2024-09-07 09:05:00:631,562332,562332,0,0,262918119521,2759988037,556147,5686,499,380,391825,0 90,2,2024-09-07 09:05:01:436,400959,400959,0,0,21012341,0,3060 90,3,2024-09-07 09:05:00:943,1,320,3,0,246,3621,320,0 91,0,2024-09-07 09:05:01:003,83819,0.5,81306,0.7,169785,0.5,223126,1.75 91,1,2024-09-07 09:05:00:587,560891,560891,0,0,262074729928,2761521982,553078,6770,1043,381,392047,0 91,2,2024-09-07 09:05:01:345,402862,402862,0,0,18939266,0,2896 91,3,2024-09-07 09:05:00:614,1,320,3,0,216,3097,320,0 92,0,2024-09-07 09:05:01:471,79891,0.5,81968,0.6,156492,0.4,211825,1.75 92,1,2024-09-07 09:05:00:597,562336,562336,0,0,261704111383,2738362422,557536,4114,686,382,392136,0 92,2,2024-09-07 09:05:01:380,408443,408443,0,0,17120651,0,3259 92,3,2024-09-07 09:05:01:126,1,320,30,0,167,2863,320,0 93,0,2024-09-07 09:05:00:958,76981,0.4,78906,0.6,150691,0.4,204455,1.75 93,1,2024-09-07 09:05:00:829,562222,562222,0,0,262481532167,2744870111,556114,5081,1027,366,391776,0 93,2,2024-09-07 09:05:00:931,404875,404875,0,0,19937772,0,4845 93,3,2024-09-07 09:05:01:413,1,320,1,0,190,3062,320,0 94,0,2024-09-07 09:05:01:613,80685,0.4,81379,0.5,162322,0.3,215511,1.75 94,1,2024-09-07 09:05:00:570,562699,562699,0,0,262919247011,2747579929,558671,3838,190,381,391850,0 94,2,2024-09-07 09:05:00:774,404030,404030,0,0,17234917,0,2443 94,3,2024-09-07 09:05:01:690,1,320,14,0,264,4056,320,0 95,0,2024-09-07 09:05:01:354,81916,0.4,81910,0.6,164402,0.3,219332,1.75 95,1,2024-09-07 09:05:00:892,564169,564169,0,0,263236346054,2741742232,559218,4554,397,367,391713,0 95,2,2024-09-07 09:05:01:124,401622,401622,0,0,16934721,0,3308 95,3,2024-09-07 09:05:01:709,1,320,1,0,718,5260,320,0 96,0,2024-09-07 09:05:01:151,81431,0.4,81572,0.5,162626,0.3,215354,1.75 96,1,2024-09-07 09:05:01:996,562440,562440,0,0,262646711083,2743465273,557930,3719,791,384,391955,0 96,2,2024-09-07 09:05:01:273,407008,407008,0,0,16830950,0,4042 96,3,2024-09-07 09:05:01:148,1,320,66,0,411,3536,320,0 97,0,2024-09-07 09:05:01:321,74964,0.3,74685,0.5,149814,0.3,199274,1.75 97,1,2024-09-07 09:05:00:765,564669,564669,0,0,262964749538,2728410165,560827,3171,671,367,392140,0 97,2,2024-09-07 09:05:00:612,407411,407411,0,0,16300113,0,3036 97,3,2024-09-07 09:05:00:570,1,320,66,0,214,3922,320,0 98,0,2024-09-07 09:05:01:735,80289,0.3,80221,0.5,160905,0.2,214233,1.50 98,1,2024-09-07 09:05:00:582,563717,563717,0,0,262755720013,2735107903,561070,2541,106,382,391997,0 98,2,2024-09-07 09:05:00:787,405504,405504,0,0,16219282,0,3080 98,3,2024-09-07 09:05:00:707,1,320,6,0,840,5222,320,0 99,0,2024-09-07 09:05:01:504,82240,0.4,82802,0.5,164840,0.3,219591,1.75 99,1,2024-09-07 09:05:01:738,563363,563363,0,0,262790442132,2738931411,559232,3378,753,381,392069,0 99,2,2024-09-07 09:05:01:420,402599,402599,0,0,17999727,0,3424 99,3,2024-09-07 09:05:00:596,1,320,1,0,199,2979,320,0 100,0,2024-09-07 09:05:01:479,82318,0.7,82256,1.0,164654,0.9,219670,2.50 100,1,2024-09-07 09:05:00:552,560103,560103,0,0,261520005851,2766532883,551651,6958,1494,381,391989,0 100,2,2024-09-07 09:05:01:833,404263,404252,11,0,19028715,0,5417 100,3,2024-09-07 09:05:01:731,1,320,1,0,559,5591,320,0 101,0,2024-09-07 09:05:01:739,77677,1.1,75691,1.0,148286,0.9,203100,2.25 101,1,2024-09-07 09:05:00:641,560388,560388,0,0,260807360834,2750393194,551334,7034,2020,368,391771,0 101,2,2024-09-07 09:05:01:757,405197,405197,0,0,21976909,0,4644 101,3,2024-09-07 09:05:00:945,1,320,37,0,448,3877,320,0 102,0,2024-09-07 09:05:01:028,77019,0.8,79622,0.8,160632,0.8,210754,2.25 102,1,2024-09-07 09:05:01:152,561230,561230,0,0,262345439802,2758649144,553928,6270,1032,369,391891,0 102,2,2024-09-07 09:05:01:744,406182,406128,54,0,18624611,0,6768 102,3,2024-09-07 09:05:01:614,1,320,12,0,410,3547,320,0 103,0,2024-09-07 09:05:01:607,85257,0.7,85309,0.8,160835,0.8,221276,2.00 103,1,2024-09-07 09:05:01:653,560478,560478,0,0,261590231890,2762496524,551740,6743,1995,381,391829,0 103,2,2024-09-07 09:05:00:619,400209,400209,0,0,19133150,0,3173 103,3,2024-09-07 09:05:00:763,1,320,6,0,916,4155,320,0 104,0,2024-09-07 09:05:01:139,83130,0.8,83481,1.0,165818,0.7,223346,2.50 104,1,2024-09-07 09:05:01:607,561719,561719,0,0,261438020165,2754489734,552835,7187,1697,365,392168,0 104,2,2024-09-07 09:05:01:680,401922,401922,0,0,20560233,0,3941 104,3,2024-09-07 09:05:01:419,1,320,2,0,1245,7239,320,0 105,0,2024-09-07 09:05:01:167,77597,0.9,75480,1.1,158054,1.0,208257,3.00 105,1,2024-09-07 09:05:00:562,562150,562150,0,0,262353591282,2757327910,554009,6810,1331,366,391797,0 105,2,2024-09-07 09:05:01:327,406532,406532,0,0,19942129,0,3509 105,3,2024-09-07 09:05:01:326,1,320,3,0,399,5279,320,0 106,0,2024-09-07 09:05:00:964,74449,1.0,76307,1.0,155927,1.1,204314,2.75 106,1,2024-09-07 09:05:01:758,560906,560906,0,0,262020898102,2760401123,551337,8277,1292,369,391914,0 106,2,2024-09-07 09:05:00:781,402960,402960,0,0,19700866,0,2795 106,3,2024-09-07 09:05:00:682,1,320,1,0,470,4287,320,0 107,0,2024-09-07 09:05:01:168,82454,1.2,82329,1.0,164701,1.8,220373,2.25 107,1,2024-09-07 09:05:00:660,560152,560152,0,0,260779681250,2752958006,552197,7041,914,381,392234,0 107,2,2024-09-07 09:05:01:322,401853,401852,1,0,20032943,0,5024 107,3,2024-09-07 09:05:01:755,1,320,0,0,353,4409,320,0 108,0,2024-09-07 09:05:01:776,82500,0.5,83000,0.6,164896,0.4,220676,1.75 108,1,2024-09-07 09:05:01:295,562537,562537,0,0,263136346520,2748955178,557463,4558,516,368,391857,0 108,2,2024-09-07 09:05:01:755,400824,400824,0,0,19111451,0,4246 108,3,2024-09-07 09:05:01:335,1,320,13,0,749,6667,320,0 109,0,2024-09-07 09:05:01:768,80573,0.4,79593,0.6,159522,0.3,213447,1.75 109,1,2024-09-07 09:05:00:645,560561,560561,0,0,263020671447,2762391025,555370,4528,663,383,392132,0 109,2,2024-09-07 09:05:00:985,405099,405099,0,0,18755858,0,3617 109,3,2024-09-07 09:05:01:161,1,320,17,0,379,3999,320,0 110,0,2024-09-07 09:05:01:769,75107,0.4,73162,0.6,152933,0.3,200380,1.75 110,1,2024-09-07 09:05:01:650,563727,563727,0,0,263175342012,2734957352,559731,3022,974,369,392045,0 110,2,2024-09-07 09:05:01:332,405589,405589,0,0,18575683,0,4067 110,3,2024-09-07 09:05:00:693,1,320,2,0,722,5038,320,0 111,0,2024-09-07 09:05:01:426,81096,0.5,80375,0.6,160971,0.4,215653,1.75 111,1,2024-09-07 09:05:01:125,563891,563891,0,0,264144883099,2744501311,560512,3027,352,382,391690,0 111,2,2024-09-07 09:05:01:128,403569,403569,0,0,17976940,0,4823 111,3,2024-09-07 09:05:00:922,1,320,3,0,379,4089,320,0 112,0,2024-09-07 09:05:00:940,82769,0.3,82073,0.4,164719,0.2,218802,1.50 112,1,2024-09-07 09:05:00:826,564021,564021,0,0,262678219637,2727667161,560562,2875,584,380,391624,0 112,2,2024-09-07 09:05:01:136,401507,401506,1,0,16031887,0,5036 112,3,2024-09-07 09:05:00:602,1,320,1,0,282,3397,320,0 113,0,2024-09-07 09:05:00:885,81364,0.3,81398,0.5,163228,0.2,217173,1.50 113,1,2024-09-07 09:05:01:687,565846,565846,0,0,263944341703,2725653771,562569,2693,584,366,391661,0 113,2,2024-09-07 09:05:01:310,408175,408175,0,0,14865667,0,3813 113,3,2024-09-07 09:05:00:686,1,320,3,0,340,4003,320,0 114,0,2024-09-07 09:05:00:889,75611,0.3,76156,0.5,151385,0.2,201834,1.75 114,1,2024-09-07 09:05:00:718,563590,563590,0,0,262840312083,2734527100,558469,3528,1593,381,391556,0 114,2,2024-09-07 09:05:00:903,407554,407553,1,0,16449390,0,5069 114,3,2024-09-07 09:05:01:279,1,320,0,0,395,2950,320,0 115,0,2024-09-07 09:05:00:564,79573,0.3,80054,0.4,159954,0.2,213373,1.50 115,1,2024-09-07 09:05:00:596,563380,563380,0,0,263190103100,2735726185,558523,4017,840,382,391656,0 115,2,2024-09-07 09:05:01:125,406764,406764,0,0,15223212,0,3453 115,3,2024-09-07 09:05:01:116,1,320,6,0,159,1954,320,0 116,0,2024-09-07 09:05:01:706,81998,0.9,81868,1.0,164089,1.1,219488,2.25 116,1,2024-09-07 09:05:00:819,560456,560456,0,0,261528095744,2763571883,552863,5327,2266,380,391782,0 116,2,2024-09-07 09:05:01:756,400030,400030,0,0,20445524,0,3529 116,3,2024-09-07 09:05:00:921,1,320,1,0,415,4173,320,0 117,0,2024-09-07 09:05:01:020,83497,0.8,83008,0.9,166322,0.9,222282,2.00 117,1,2024-09-07 09:05:01:581,561689,561689,0,0,262091955770,2747114408,555424,5597,668,370,392033,0 117,2,2024-09-07 09:05:01:126,407086,407086,0,0,16931505,0,3700 117,3,2024-09-07 09:05:01:124,1,320,3,0,490,4843,320,0 118,0,2024-09-07 09:05:01:774,75364,0.5,77420,0.7,157851,0.5,206096,2.00 118,1,2024-09-07 09:05:00:610,561289,561289,0,0,261606022577,2754612356,552515,6877,1897,366,391907,0 118,2,2024-09-07 09:05:01:590,406635,406635,0,0,18704365,0,2781 118,3,2024-09-07 09:05:01:766,1,320,1,0,235,3515,320,0 119,0,2024-09-07 09:05:01:343,77973,0.7,78369,0.8,156876,0.7,209107,2.00 119,1,2024-09-07 09:05:00:564,562589,562589,0,0,262550621612,2749354520,556030,5723,836,367,391780,0 119,2,2024-09-07 09:05:01:266,406005,406005,0,0,17734097,0,4174 119,3,2024-09-07 09:05:01:326,1,320,2,0,563,4928,320,0 120,0,2024-09-07 09:05:01:563,82133,0.7,81959,0.9,164137,0.7,219522,2.25 120,1,2024-09-07 09:05:00:866,561982,561982,0,0,260997146504,2744431377,555584,5843,555,368,391961,0 120,2,2024-09-07 09:05:00:772,401783,401782,1,0,20802856,0,5281 120,3,2024-09-07 09:05:01:292,1,320,2,0,241,4123,320,0 121,0,2024-09-07 09:05:01:713,83249,1.1,83425,1.0,167313,1.4,222815,2.25 121,1,2024-09-07 09:05:01:666,562413,562413,0,0,262755021445,2753452447,556521,5455,437,367,391840,0 121,2,2024-09-07 09:05:01:134,401524,401524,0,0,20069305,0,4127 121,3,2024-09-07 09:05:00:745,1,320,3,0,269,3830,320,0 122,0,2024-09-07 09:05:01:770,79066,0.8,77056,0.9,161529,0.9,211797,2.00 122,1,2024-09-07 09:05:00:915,560806,560806,0,0,261640384325,2751742717,552353,7192,1261,366,392130,0 122,2,2024-09-07 09:05:01:319,406806,406733,73,0,22615465,0,5989 122,3,2024-09-07 09:05:00:616,1,320,13,0,411,5937,320,0 123,0,2024-09-07 09:05:01:017,76125,0.8,74417,0.8,155200,0.9,204081,2.00 123,1,2024-09-07 09:05:00:577,560884,560884,0,0,261917709554,2768365866,549469,9290,2125,369,392039,0 123,2,2024-09-07 09:05:01:143,403225,403224,1,0,19567265,0,5215 123,3,2024-09-07 09:05:01:140,1,320,1,0,168,3815,320,0 124,0,2024-09-07 09:05:00:927,83506,0.4,83537,0.5,157345,0.3,216278,1.75 124,1,2024-09-07 09:05:01:139,563506,563506,0,0,262236522895,2733202165,558800,3798,908,367,392178,0 124,2,2024-09-07 09:05:01:094,405084,405031,53,0,17519673,0,6487 124,3,2024-09-07 09:05:00:764,1,320,1,0,490,3396,320,0 125,0,2024-09-07 09:05:01:445,82365,0.4,81922,0.6,164897,0.4,219688,1.75 125,1,2024-09-07 09:05:00:895,562035,562035,0,0,262798861724,2748413822,557163,4231,641,383,391702,0 125,2,2024-09-07 09:05:01:118,401824,401824,0,0,18059313,0,4534 125,3,2024-09-07 09:05:01:126,1,320,1,0,709,4553,320,0 126,0,2024-09-07 09:05:01:453,81170,0.4,83452,0.6,159617,0.4,216183,1.75 126,1,2024-09-07 09:05:00:573,564044,564044,0,0,262965042028,2729312323,560316,3445,283,365,391987,0 126,2,2024-09-07 09:05:00:633,407424,407424,0,0,18166392,0,4539 126,3,2024-09-07 09:05:00:964,1,320,2,0,207,4069,320,0 127,0,2024-09-07 09:05:01:605,74642,0.3,75043,0.5,149608,0.3,199246,1.75 127,1,2024-09-07 09:05:00:575,563300,563300,0,0,263122872188,2737705466,557907,4533,860,365,392187,0 127,2,2024-09-07 09:05:00:654,405964,405964,0,0,16743527,0,3897 127,3,2024-09-07 09:05:01:272,1,320,3,0,968,3963,320,0 128,0,2024-09-07 09:05:01:521,80508,0.3,80685,0.5,161075,0.2,214535,1.50 128,1,2024-09-07 09:05:01:609,563228,563228,0,0,262893049077,2733155750,559137,3722,369,367,391798,0 128,2,2024-09-07 09:05:01:388,406232,406232,0,0,15617264,0,2915 128,3,2024-09-07 09:05:00:776,1,320,12,0,1082,5836,320,0 129,0,2024-09-07 09:05:01:136,82853,0.3,82500,0.5,165383,0.3,219688,1.75 129,1,2024-09-07 09:05:00:575,560364,560364,0,0,261813868233,2745349184,554730,4378,1256,379,391835,0 129,2,2024-09-07 09:05:00:694,401964,401964,0,0,16323198,0,4031 129,3,2024-09-07 09:05:00:688,1,320,1,0,469,4398,320,0 130,0,2024-09-07 09:05:01:767,83164,0.5,82757,0.6,166252,0.5,220958,1.75 130,1,2024-09-07 09:05:00:617,563677,563677,0,0,263132187120,2738742446,560271,3127,279,381,391825,0 130,2,2024-09-07 09:05:01:134,406837,406837,0,0,16139727,0,4067 130,3,2024-09-07 09:05:01:325,1,320,7,0,450,4074,320,0 131,0,2024-09-07 09:05:01:977,75867,0.4,76365,0.5,153467,0.3,202839,1.75 131,1,2024-09-07 09:05:01:831,563226,563226,0,0,262744514110,2744545920,558794,3687,745,381,391865,0 131,2,2024-09-07 09:05:00:576,409814,409814,0,0,15058731,0,2415 131,3,2024-09-07 09:05:01:688,1,320,0,0,392,3440,320,0 132,0,2024-09-07 09:05:01:419,78809,0.5,79624,0.6,159027,0.4,211360,2.00 132,1,2024-09-07 09:05:00:589,560830,560830,0,0,261432245680,2757641968,552199,7167,1464,381,392097,0 132,2,2024-09-07 09:05:00:706,405103,405103,0,0,20050917,0,4606 132,3,2024-09-07 09:05:01:689,1,320,3,0,804,6115,320,0 133,0,2024-09-07 09:05:01:515,80732,0.5,82449,0.6,169327,0.5,219894,2.00 133,1,2024-09-07 09:05:00:588,560881,560881,0,0,262137883304,2767232276,552716,7083,1082,383,391914,0 133,2,2024-09-07 09:05:01:096,400200,400200,0,0,21112137,0,4315 133,3,2024-09-07 09:05:01:325,1,320,0,0,479,3507,320,0 134,0,2024-09-07 09:05:00:981,84225,0.5,84158,0.7,168281,0.5,224540,2.00 134,1,2024-09-07 09:05:00:594,560918,560918,0,0,261562873938,2751381670,552665,6397,1856,366,391718,0 134,2,2024-09-07 09:05:01:758,403277,403277,0,0,17674700,0,3847 134,3,2024-09-07 09:05:00:764,1,320,20,0,739,4267,320,0 135,0,2024-09-07 09:05:01:126,75847,0.7,75855,0.8,160937,0.7,207903,2.00 135,1,2024-09-07 09:05:01:590,561479,561479,0,0,262719658762,2769312813,553133,7111,1235,380,391805,0 135,2,2024-09-07 09:05:00:691,407994,407994,0,0,19238683,0,3981 135,3,2024-09-07 09:05:01:128,1,320,1,0,299,2579,320,0 136,0,2024-09-07 09:05:01:637,77526,0.6,77578,0.8,154464,0.6,206144,2.25 136,1,2024-09-07 09:05:01:447,561519,561519,0,0,261401985274,2749846643,554517,6307,695,382,391685,0 136,2,2024-09-07 09:05:01:134,405520,405520,0,0,19190192,0,3506 136,3,2024-09-07 09:05:01:115,1,320,3,0,637,3828,320,0 137,0,2024-09-07 09:05:00:935,85108,0.7,82824,0.8,162604,0.8,221742,2.00 137,1,2024-09-07 09:05:00:580,560762,560762,0,0,261926509977,2755802649,551191,7691,1880,366,391708,0 137,2,2024-09-07 09:05:01:725,402419,402419,0,0,21441951,0,3185 137,3,2024-09-07 09:05:00:769,1,320,1,0,382,3969,320,0 138,0,2024-09-07 09:05:01:742,82058,1.4,82197,1.1,165065,1.9,219718,2.25 138,1,2024-09-07 09:05:01:687,561505,561505,0,0,262736632508,2764570868,552471,7439,1595,368,391954,0 138,2,2024-09-07 09:05:00:595,401483,401483,0,0,19167813,0,4988 138,3,2024-09-07 09:05:00:624,1,320,6,0,1160,4743,320,0 139,0,2024-09-07 09:05:01:366,79031,1.3,79339,1.1,158900,2.0,211642,2.25 139,1,2024-09-07 09:05:00:597,559102,559102,0,0,260758253677,2777101260,547754,8704,2644,381,391892,0 139,2,2024-09-07 09:05:00:701,404396,404396,0,0,20841469,0,3097 139,3,2024-09-07 09:05:01:672,1,320,6,0,257,3633,320,0 140,0,2024-09-07 09:05:01:590,75449,0.3,74990,0.5,150713,0.2,200728,1.75 140,1,2024-09-07 09:05:01:541,564870,564870,0,0,263909257420,2725441514,561668,2764,438,365,391606,0 140,2,2024-09-07 09:05:00:692,405949,405948,1,0,17306439,0,5036 140,3,2024-09-07 09:05:00:773,1,320,0,0,247,2715,320,0 141,0,2024-09-07 09:05:01:725,80830,0.4,83059,0.5,158729,0.3,215745,1.75 141,1,2024-09-07 09:05:00:871,564067,564067,0,0,263481888423,2741847052,559508,3697,862,379,391614,0 141,2,2024-09-07 09:05:01:689,404491,404491,0,0,16853560,0,3360 141,3,2024-09-07 09:05:01:115,1,320,194,0,391,3825,320,0 142,0,2024-09-07 09:05:01:329,82853,0.4,82047,0.5,163867,0.3,219110,1.75 142,1,2024-09-07 09:05:00:587,563473,563473,0,0,262521087427,2741645900,559515,3609,349,382,392102,0 142,2,2024-09-07 09:05:01:299,400770,400738,32,0,18139374,0,6028 142,3,2024-09-07 09:05:01:748,1,320,3,0,484,4075,320,0 143,0,2024-09-07 09:05:01:381,81666,0.4,81495,0.6,163447,0.4,217243,1.75 143,1,2024-09-07 09:05:00:561,563619,563619,0,0,262875755916,2731559419,559852,3618,149,367,391705,0 143,2,2024-09-07 09:05:00:776,406167,406167,0,0,17610276,0,3123 143,3,2024-09-07 09:05:01:147,1,320,1,0,303,3895,320,0 144,0,2024-09-07 09:05:01:510,72853,0.5,74951,0.8,152596,0.5,199990,2.00 144,1,2024-09-07 09:05:00:577,561136,561136,0,0,261477938141,2744333730,556289,3907,940,381,391649,0 144,2,2024-09-07 09:05:01:758,407711,407711,0,0,15785927,0,3473 144,3,2024-09-07 09:05:01:741,1,320,2,0,249,3367,320,0 145,0,2024-09-07 09:05:01:393,76949,0.6,76928,0.9,163198,0.6,210909,2.25 145,1,2024-09-07 09:05:00:559,560539,560539,0,0,261826443355,2756772379,553247,6152,1140,382,391759,0 145,2,2024-09-07 09:05:01:440,403892,403892,0,0,19233639,0,3903 145,3,2024-09-07 09:05:00:903,1,320,0,0,622,5057,320,0 146,0,2024-09-07 09:05:01:682,82432,0.6,81653,0.8,164733,0.6,218270,2.25 146,1,2024-09-07 09:05:01:594,561860,561860,0,0,261636592218,2757252505,552173,7677,2010,368,391770,0 146,2,2024-09-07 09:05:01:702,400181,400181,0,0,19137074,0,2730 146,3,2024-09-07 09:05:01:276,1,320,1,0,1520,6949,320,0 147,0,2024-09-07 09:05:01:702,83521,0.6,83213,0.8,165783,0.6,221866,2.25 147,1,2024-09-07 09:05:01:382,563511,563511,0,0,263109869741,2742761528,557858,4853,800,368,391791,0 147,2,2024-09-07 09:05:01:093,405206,405206,0,0,17022903,0,2789 147,3,2024-09-07 09:05:00:928,1,320,2,0,730,4844,320,0 0,0,2024-09-07 09:05:11:722,80359,0.7,80340,0.8,170581,0.8,220204,2.00 0,1,2024-09-07 09:05:10:804,564372,564372,0,0,263320279460,2755985485,560256,3874,242,370,391896,0 0,2,2024-09-07 09:05:11:074,403299,403299,0,0,16572391,0,4480 0,3,2024-09-07 09:05:10:981,1,321,5,0,431,4533,321,0 1,0,2024-09-07 09:05:11:781,83885,0.8,83279,0.9,167463,1.0,223763,2.00 1,1,2024-09-07 09:05:10:561,563346,563346,0,0,262546505792,2752535556,557762,4359,1225,370,391857,0 1,2,2024-09-07 09:05:10:651,403162,403162,0,0,16092737,0,3267 1,3,2024-09-07 09:05:11:302,1,321,58,0,262,3877,321,0 2,0,2024-09-07 09:05:11:573,79461,0.6,79824,0.8,158296,0.7,212184,2.00 2,1,2024-09-07 09:05:10:864,565175,565175,0,0,263835935892,2741952127,562281,2601,293,380,391745,0 2,2,2024-09-07 09:05:11:282,409096,409096,0,0,16116372,0,3594 2,3,2024-09-07 09:05:10:694,1,321,1,0,357,3253,321,0 3,0,2024-09-07 09:05:11:765,76715,0.4,76671,0.6,153372,0.4,204434,2.00 3,1,2024-09-07 09:05:11:630,564207,564207,0,0,262932692745,2741290021,559110,4474,623,380,391591,0 3,2,2024-09-07 09:05:11:142,406872,406849,23,0,16526204,0,5851 3,3,2024-09-07 09:05:11:752,1,321,0,0,103,1980,321,0 4,0,2024-09-07 09:05:11:838,78998,0.4,81385,0.5,165214,0.4,217004,1.75 4,1,2024-09-07 09:05:10:598,562956,562956,0,0,262758286763,2769720350,555654,5948,1354,371,391992,0 4,2,2024-09-07 09:05:11:030,403189,403189,0,0,19266506,0,4528 4,3,2024-09-07 09:05:11:050,1,321,3,0,448,4648,321,0 5,0,2024-09-07 09:05:11:430,82416,0.5,82731,0.6,165003,0.5,219817,1.75 5,1,2024-09-07 09:05:10:756,563298,563298,0,0,262749276629,2766454120,556139,5803,1356,367,392005,0 5,2,2024-09-07 09:05:11:843,401761,401761,0,0,18257289,0,2432 5,3,2024-09-07 09:05:11:765,1,321,25,0,457,4839,321,0 6,0,2024-09-07 09:05:10:927,81671,0.5,81141,0.7,162219,0.4,216387,2.00 6,1,2024-09-07 09:05:10:757,563233,563233,0,0,263128442297,2752592742,556878,5279,1076,379,391694,0 6,2,2024-09-07 09:05:11:116,407732,407732,0,0,17633271,0,4816 6,3,2024-09-07 09:05:11:285,1,321,1,0,340,3685,321,0 7,0,2024-09-07 09:05:11:541,74748,0.5,74953,0.6,149506,0.4,199101,2.00 7,1,2024-09-07 09:05:10:850,562625,562625,0,0,262821866008,2763690995,554558,6891,1176,382,391747,0 7,2,2024-09-07 09:05:10:770,407363,407363,0,0,17691146,0,4791 7,3,2024-09-07 09:05:10:851,1,321,1,0,398,3822,321,0 8,0,2024-09-07 09:05:11:402,80672,0.4,80536,0.5,161452,0.4,215350,1.75 8,1,2024-09-07 09:05:11:018,561743,561743,0,0,262612561124,2771205609,551598,7868,2277,366,392144,0 8,2,2024-09-07 09:05:10:790,402118,402118,0,0,22024181,0,3220 8,3,2024-09-07 09:05:10:595,1,321,8,0,538,5683,321,0 9,0,2024-09-07 09:05:11:197,82605,0.4,80303,0.6,168011,0.4,219883,1.75 9,1,2024-09-07 09:05:10:565,562653,562653,0,0,262860848150,2776777691,553610,6919,2124,369,392001,0 9,2,2024-09-07 09:05:11:108,401520,401520,0,0,19331866,0,3360 9,3,2024-09-07 09:05:11:977,1,321,15,0,496,4927,321,0 10,0,2024-09-07 09:05:11:602,82932,0.3,82253,0.5,165256,0.3,219934,1.75 10,1,2024-09-07 09:05:10:588,563423,563423,0,0,263217739645,2761961523,555543,6771,1109,381,391741,0 10,2,2024-09-07 09:05:10:762,406687,406687,0,0,21069106,0,4264 10,3,2024-09-07 09:05:10:872,1,321,6,0,296,2978,321,0 11,0,2024-09-07 09:05:11:027,75695,0.4,73439,0.6,153671,0.4,203149,1.75 11,1,2024-09-07 09:05:10:578,563228,563228,0,0,262952275669,2767893121,553219,7561,2448,383,391664,0 11,2,2024-09-07 09:05:11:129,408051,408051,0,0,19098448,0,4130 11,3,2024-09-07 09:05:11:299,1,321,3,0,843,5163,321,0 12,0,2024-09-07 09:05:10:966,80022,0.4,79836,0.6,159850,0.4,212850,1.75 12,1,2024-09-07 09:05:10:942,563772,563772,0,0,262409008756,2740920566,558923,4359,490,370,391870,0 12,2,2024-09-07 09:05:11:546,406826,406826,0,0,18685425,0,3469 12,3,2024-09-07 09:05:11:065,1,321,1,0,386,5413,321,0 13,0,2024-09-07 09:05:11:369,83408,0.5,83063,0.6,166062,0.5,221036,1.75 13,1,2024-09-07 09:05:11:533,563050,563050,0,0,262890510059,2769294608,557154,4488,1408,382,391740,0 13,2,2024-09-07 09:05:10:596,403481,403481,0,0,17035030,0,3287 13,3,2024-09-07 09:05:11:769,1,321,4,0,522,5393,321,0 14,0,2024-09-07 09:05:10:563,84479,0.4,85160,0.6,168409,0.4,224515,1.75 14,1,2024-09-07 09:05:11:561,567145,567145,0,0,264810073167,2746943209,562460,4326,359,364,391571,0 14,2,2024-09-07 09:05:10:770,404412,404382,30,0,18846191,0,6104 14,3,2024-09-07 09:05:11:121,1,321,2,0,1168,4063,321,0 15,0,2024-09-07 09:05:11:554,78608,0.4,78710,0.6,157380,0.4,209441,2.00 15,1,2024-09-07 09:05:11:615,564710,564710,0,0,263713455351,2749943381,560375,3515,820,381,391619,0 15,2,2024-09-07 09:05:11:002,410242,410242,0,0,15470226,0,3622 15,3,2024-09-07 09:05:11:408,1,321,1,0,1126,6139,321,0 16,0,2024-09-07 09:05:11:018,77525,0.6,77854,0.8,155143,0.6,207025,2.25 16,1,2024-09-07 09:05:10:587,564767,564767,0,0,263429860127,2752587662,560485,3922,360,370,391756,0 16,2,2024-09-07 09:05:11:457,406556,406556,0,0,17603436,0,4719 16,3,2024-09-07 09:05:11:144,1,321,12,0,317,4606,321,0 17,0,2024-09-07 09:05:11:858,85376,0.7,83421,0.8,162978,0.8,221534,2.00 17,1,2024-09-07 09:05:10:578,563683,563683,0,0,262506373236,2758657044,557455,4971,1257,368,391899,0 17,2,2024-09-07 09:05:11:665,405917,405917,0,0,17211389,0,2857 17,3,2024-09-07 09:05:10:583,1,321,20,0,298,5246,321,0 18,0,2024-09-07 09:05:10:947,81983,0.7,82348,0.8,164161,0.7,219448,2.25 18,1,2024-09-07 09:05:11:647,565467,565467,0,0,263999383122,2738515226,562134,3022,311,367,391649,0 18,2,2024-09-07 09:05:11:759,405094,405094,0,0,16014145,0,3541 18,3,2024-09-07 09:05:10:897,1,321,1,0,163,2805,321,0 19,0,2024-09-07 09:05:11:554,79649,0.6,80304,0.8,159036,0.6,211901,2.25 19,1,2024-09-07 09:05:10:566,565370,565370,0,0,264646855646,2748539140,560253,4278,839,367,391777,0 19,2,2024-09-07 09:05:11:756,410060,410060,0,0,14717455,0,3988 19,3,2024-09-07 09:05:11:129,1,321,1,0,524,2444,321,0 20,0,2024-09-07 09:05:11:427,75283,0.6,75328,0.7,150596,0.6,201349,2.00 20,1,2024-09-07 09:05:10:568,563403,563403,0,0,263413817027,2754186646,559080,3919,404,369,391886,0 20,2,2024-09-07 09:05:10:929,406976,406976,0,0,17624261,0,3721 20,3,2024-09-07 09:05:10:605,1,321,6,0,414,5238,321,0 21,0,2024-09-07 09:05:11:185,81046,0.5,81235,0.7,162133,0.5,215300,2.00 21,1,2024-09-07 09:05:11:537,562430,562430,0,0,262176865864,2765502905,554562,5991,1877,368,392016,0 21,2,2024-09-07 09:05:11:119,403623,403623,0,0,20766130,0,3747 21,3,2024-09-07 09:05:11:413,1,321,0,0,713,3939,321,0 22,0,2024-09-07 09:05:11:725,81933,0.6,82288,0.8,164582,0.6,218098,2.25 22,1,2024-09-07 09:05:11:030,563511,563511,0,0,262599517635,2763695912,555023,6669,1819,382,391667,0 22,2,2024-09-07 09:05:10:761,401508,401508,0,0,16875110,0,3134 22,3,2024-09-07 09:05:11:104,1,321,1,0,228,2828,321,0 23,0,2024-09-07 09:05:11:370,81156,0.6,81060,0.7,162439,0.5,216293,2.25 23,1,2024-09-07 09:05:11:017,563243,563243,0,0,263341999597,2770566373,552752,7333,3158,365,391690,0 23,2,2024-09-07 09:05:11:108,408506,408506,0,0,16593420,0,3010 23,3,2024-09-07 09:05:11:765,1,321,2,0,645,3979,321,0 24,0,2024-09-07 09:05:10:892,75929,0.4,75773,0.6,152180,0.4,202108,1.75 24,1,2024-09-07 09:05:10:584,562593,562593,0,0,262223342370,2756161686,555711,5355,1527,368,392269,0 24,2,2024-09-07 09:05:11:105,406469,406469,0,0,20802885,0,3607 24,3,2024-09-07 09:05:11:695,1,321,13,0,468,4442,321,0 25,0,2024-09-07 09:05:11:394,82200,0.4,80143,0.6,157259,0.4,214856,2.00 25,1,2024-09-07 09:05:10:570,563667,563667,0,0,263255854669,2766814960,555990,6309,1368,371,391928,0 25,2,2024-09-07 09:05:11:652,405701,405701,0,0,20804102,0,3978 25,3,2024-09-07 09:05:11:023,1,321,1,0,255,3281,321,0 26,0,2024-09-07 09:05:11:726,82118,0.4,80239,0.6,168490,0.4,219104,2.00 26,1,2024-09-07 09:05:11:544,564249,564249,0,0,262322846362,2754547456,555402,7311,1536,380,391748,0 26,2,2024-09-07 09:05:10:861,402466,402466,0,0,20420887,0,2809 26,3,2024-09-07 09:05:11:712,1,321,3,0,796,4337,321,0 27,0,2024-09-07 09:05:11:731,83742,0.5,83800,0.6,166121,0.4,221950,2.00 27,1,2024-09-07 09:05:11:677,565706,565706,0,0,264057317471,2752903187,560441,4500,765,381,391626,0 27,2,2024-09-07 09:05:10:867,403352,403287,65,0,20317614,0,5699 27,3,2024-09-07 09:05:11:016,1,321,1,0,564,3611,321,0 28,0,2024-09-07 09:05:11:389,77889,0.4,77614,0.6,155508,0.3,207174,2.00 28,1,2024-09-07 09:05:10:804,564817,564817,0,0,263982679012,2754482381,560440,3675,702,383,391698,0 28,2,2024-09-07 09:05:11:765,408453,408453,0,0,17975463,0,2915 28,3,2024-09-07 09:05:11:776,1,321,1,0,502,3495,321,0 29,0,2024-09-07 09:05:11:364,80937,0.4,78938,0.6,154567,0.3,211403,1.75 29,1,2024-09-07 09:05:11:561,566282,566282,0,0,264736071427,2745328299,561946,3653,683,369,391753,0 29,2,2024-09-07 09:05:10:861,405650,405650,0,0,17322459,0,4986 29,3,2024-09-07 09:05:10:965,1,321,1,0,459,3980,321,0 30,0,2024-09-07 09:05:11:456,82473,0.6,80048,0.8,167567,0.5,219187,2.00 30,1,2024-09-07 09:05:10:578,565740,565740,0,0,263526243366,2741356784,560922,4108,710,382,391672,0 30,2,2024-09-07 09:05:11:275,402954,402954,0,0,16255983,0,3161 30,3,2024-09-07 09:05:10:584,1,321,1,0,519,3378,321,0 31,0,2024-09-07 09:05:11:758,83448,0.4,83722,0.6,167557,0.4,223460,2.00 31,1,2024-09-07 09:05:10:575,568149,568149,0,0,264885392086,2719935616,565609,1982,558,356,391712,0 31,2,2024-09-07 09:05:11:286,403975,403975,0,0,18062733,0,3525 31,3,2024-09-07 09:05:11:710,1,321,1,0,220,2842,321,0 32,0,2024-09-07 09:05:11:418,79491,0.3,79815,0.5,159562,0.2,212542,1.75 32,1,2024-09-07 09:05:10:804,565485,565485,0,0,263648123304,2739231896,562416,2624,445,381,391646,0 32,2,2024-09-07 09:05:10:937,409325,409325,0,0,15076304,0,3155 32,3,2024-09-07 09:05:11:020,1,321,0,0,227,2408,321,0 33,0,2024-09-07 09:05:11:501,77034,0.3,76577,0.4,153639,0.2,205151,1.75 33,1,2024-09-07 09:05:10:581,566180,566180,0,0,265099734695,2747288668,561771,3578,831,369,391730,0 33,2,2024-09-07 09:05:10:759,407650,407615,35,0,17142719,0,7012 33,3,2024-09-07 09:05:10:895,1,321,1,0,329,3521,321,0 34,0,2024-09-07 09:05:10:934,81633,0.3,83952,0.5,160646,0.2,216305,1.75 34,1,2024-09-07 09:05:11:044,567208,567208,0,0,264853177196,2723620603,565816,1384,8,367,391562,0 34,2,2024-09-07 09:05:10:767,405302,405302,0,0,16422490,0,3577 34,3,2024-09-07 09:05:11:694,1,321,1,0,299,2422,321,0 35,0,2024-09-07 09:05:10:868,81734,0.4,82103,0.5,165096,0.3,220383,1.75 35,1,2024-09-07 09:05:11:066,565727,565727,0,0,263506603312,2732940432,562124,2822,781,382,391589,0 35,2,2024-09-07 09:05:11:583,403222,403222,0,0,16327081,0,2653 35,3,2024-09-07 09:05:10:912,1,321,1,0,418,4179,321,0 36,0,2024-09-07 09:05:11:526,81531,0.5,81564,0.7,163060,0.4,216054,2.00 36,1,2024-09-07 09:05:10:582,564978,564978,0,0,262841683983,2749133170,557752,5568,1658,366,391759,0 36,2,2024-09-07 09:05:11:751,408260,408260,0,0,18698999,0,3875 36,3,2024-09-07 09:05:10:863,1,321,0,0,416,5248,321,0 37,0,2024-09-07 09:05:11:388,74571,0.5,74555,0.7,149258,0.5,199907,2.00 37,1,2024-09-07 09:05:10:573,563796,563789,0,7,263590014332,2757364285,557019,4685,2085,365,391570,0 37,2,2024-09-07 09:05:11:145,406091,406076,15,0,18360140,0,5815 37,3,2024-09-07 09:05:11:767,1,321,13,0,888,5488,321,0 38,0,2024-09-07 09:05:11:437,80069,0.5,77734,0.6,162774,0.4,213335,2.00 38,1,2024-09-07 09:05:11:605,565559,565559,0,0,263219288692,2743567760,559525,5277,757,368,391821,0 38,2,2024-09-07 09:05:10:760,405338,405291,47,0,18968153,0,6710 38,3,2024-09-07 09:05:11:015,1,321,0,0,689,4589,321,0 39,0,2024-09-07 09:05:11:767,84044,0.6,82273,0.8,160046,0.7,218758,2.00 39,1,2024-09-07 09:05:10:717,564085,564085,0,0,263055405546,2755456734,555724,6901,1460,365,391594,0 39,2,2024-09-07 09:05:11:418,402239,402239,0,0,16894087,0,2689 39,3,2024-09-07 09:05:10:725,1,321,1,0,324,4188,321,0 40,0,2024-09-07 09:05:11:489,81904,0.8,82588,1.0,164975,0.8,219593,2.75 40,1,2024-09-07 09:05:10:577,564691,564691,0,0,262260190225,2750667240,556141,7040,1510,368,391668,0 40,2,2024-09-07 09:05:11:304,405946,405945,1,0,20420358,0,5137 40,3,2024-09-07 09:05:11:141,1,321,1,0,1028,4965,321,0 41,0,2024-09-07 09:05:11:051,75561,1.5,77423,1.3,147768,2.4,201063,3.25 41,1,2024-09-07 09:05:10:774,563639,563639,0,0,263165768025,2756901244,556592,6344,703,370,391742,0 41,2,2024-09-07 09:05:10:759,406742,406742,0,0,19593502,0,3356 41,3,2024-09-07 09:05:11:676,1,321,2,0,366,3352,321,0 42,0,2024-09-07 09:05:11:481,78720,0.9,78774,1.1,157878,1.0,208924,2.75 42,1,2024-09-07 09:05:11:449,563063,563063,0,0,262564822242,2764181059,553536,7769,1758,380,391675,0 42,2,2024-09-07 09:05:11:133,406428,406428,0,0,19695849,0,3790 42,3,2024-09-07 09:05:11:023,1,321,1,0,446,3049,321,0 43,0,2024-09-07 09:05:10:929,81674,1.0,79676,1.1,166902,1.2,218498,2.50 43,1,2024-09-07 09:05:10:583,564294,564294,0,0,263125447213,2754077279,556063,6793,1438,366,391696,0 43,2,2024-09-07 09:05:11:745,402283,402283,0,0,19375235,0,3812 43,3,2024-09-07 09:05:11:750,1,321,1,0,467,4017,321,0 44,0,2024-09-07 09:05:10:881,84168,0.5,84615,0.6,168768,0.4,224566,1.75 44,1,2024-09-07 09:05:10:569,565878,565878,0,0,263559757556,2729891767,560820,4011,1047,356,391809,0 44,2,2024-09-07 09:05:11:287,404190,404190,0,0,16293588,0,4344 44,3,2024-09-07 09:05:11:107,1,321,15,0,817,4410,321,0 45,0,2024-09-07 09:05:11:759,77666,0.5,75828,0.7,159053,0.5,209392,2.00 45,1,2024-09-07 09:05:11:006,564811,564811,0,0,264280278334,2749955365,560378,3957,476,382,391917,0 45,2,2024-09-07 09:05:11:268,408793,408793,0,0,16901367,0,3596 45,3,2024-09-07 09:05:10:935,1,321,7,0,271,3140,321,0 46,0,2024-09-07 09:05:10:951,76875,0.7,76877,0.8,153977,0.7,204860,2.25 46,1,2024-09-07 09:05:10:578,566769,566769,0,0,264951270307,2739575977,563064,3279,426,366,391572,0 46,2,2024-09-07 09:05:10:595,407432,407432,0,0,15925245,0,2920 46,3,2024-09-07 09:05:11:132,1,321,1,0,908,4844,321,0 47,0,2024-09-07 09:05:11:112,83215,0.5,83394,0.7,166999,0.5,221065,2.00 47,1,2024-09-07 09:05:10:568,566140,566140,0,0,263789842085,2732982795,561657,3668,815,366,391641,0 47,2,2024-09-07 09:05:10:914,405679,405679,0,0,16432633,0,4477 47,3,2024-09-07 09:05:11:120,1,321,1,0,529,3674,321,0 48,0,2024-09-07 09:05:11:490,83590,0.3,83062,0.4,165766,0.2,221701,1.50 48,1,2024-09-07 09:05:11:097,565428,565428,0,0,264110545897,2744492034,561875,3251,302,384,391710,0 48,2,2024-09-07 09:05:10:699,403377,403377,0,0,14832879,0,3031 48,3,2024-09-07 09:05:10:759,1,321,11,0,339,2819,321,0 49,0,2024-09-07 09:05:11:724,82326,0.3,80849,0.5,156577,0.3,214368,1.75 49,1,2024-09-07 09:05:11:025,565024,565024,0,0,263595286554,2747096780,560710,3129,1185,382,391809,0 49,2,2024-09-07 09:05:11:801,409321,409321,0,0,15890951,0,4426 49,3,2024-09-07 09:05:11:416,1,321,3,0,408,3444,321,0 50,0,2024-09-07 09:05:11:522,75600,0.3,74684,0.4,150322,0.2,201060,1.75 50,1,2024-09-07 09:05:11:025,567222,567222,0,0,264634171711,2738147060,563407,3441,374,368,391565,0 50,2,2024-09-07 09:05:11:076,407275,407275,0,0,14985803,0,2263 50,3,2024-09-07 09:05:11:292,1,321,1,0,335,3191,321,0 51,0,2024-09-07 09:05:11:684,83142,0.3,81253,0.5,158709,0.2,216226,1.75 51,1,2024-09-07 09:05:11:680,567286,567286,0,0,265298524843,2739318089,564032,2279,975,365,391706,0 51,2,2024-09-07 09:05:11:316,405826,405826,0,0,14514831,0,3337 51,3,2024-09-07 09:05:11:029,1,321,2,0,678,2545,321,0 52,0,2024-09-07 09:05:11:417,82504,0.5,82496,0.7,164763,0.5,219365,2.00 52,1,2024-09-07 09:05:10:588,564201,564201,0,0,262833331535,2756934610,555651,7240,1310,368,391722,0 52,2,2024-09-07 09:05:11:762,399743,399705,38,0,19068749,0,6742 52,3,2024-09-07 09:05:10:675,1,321,0,0,1782,5158,321,0 53,0,2024-09-07 09:05:11:730,81035,0.6,78762,0.8,164668,0.7,215888,2.25 53,1,2024-09-07 09:05:10:771,563015,563015,0,0,263122945293,2765580982,553592,6842,2581,367,391702,0 53,2,2024-09-07 09:05:11:300,408386,408386,0,0,16354441,0,2727 53,3,2024-09-07 09:05:10:697,1,321,1,0,308,3182,321,0 54,0,2024-09-07 09:05:11:621,74318,0.6,74823,0.8,148873,0.4,199309,2.25 54,1,2024-09-07 09:05:10:579,564572,564572,0,0,264191095013,2748123083,558979,4991,602,367,391659,0 54,2,2024-09-07 09:05:10:873,408133,408127,6,0,18939997,0,5382 54,3,2024-09-07 09:05:10:763,1,321,1,0,676,5111,321,0 55,0,2024-09-07 09:05:11:768,77369,0.6,80206,0.7,161359,0.5,210911,2.50 55,1,2024-09-07 09:05:10:764,564426,564426,0,0,263553077130,2746214706,557804,5713,909,365,391731,0 55,2,2024-09-07 09:05:10:735,405718,405718,0,0,18441366,0,3563 55,3,2024-09-07 09:05:10:674,1,321,4,0,304,3639,321,0 56,0,2024-09-07 09:05:11:579,84047,1.3,79227,1.2,163439,1.8,218686,2.75 56,1,2024-09-07 09:05:10:588,561694,561694,0,0,261989327810,2770955919,552966,7098,1630,381,391867,0 56,2,2024-09-07 09:05:11:303,401770,401770,0,0,19312545,0,3567 56,3,2024-09-07 09:05:11:062,1,321,3,0,705,4406,321,0 57,0,2024-09-07 09:05:10:969,82430,1.5,82201,1.2,164873,2.1,220468,3.00 57,1,2024-09-07 09:05:11:005,563537,563537,0,0,262717936403,2757684187,556720,6097,720,366,392032,0 57,2,2024-09-07 09:05:11:351,405955,405955,0,0,21059016,0,3317 57,3,2024-09-07 09:05:11:765,1,321,4,0,359,3891,321,0 58,0,2024-09-07 09:05:10:557,76386,0.9,74315,1.0,155722,1.1,203940,2.50 58,1,2024-09-07 09:05:10:577,564532,564529,0,3,263112300642,2755055935,556413,7034,1082,367,391603,3 58,2,2024-09-07 09:05:11:101,407918,407918,0,0,19343909,0,2549 58,3,2024-09-07 09:05:11:072,1,321,2,0,1043,3384,321,0 59,0,2024-09-07 09:05:11:780,78392,0.8,78092,1.0,156156,0.9,207644,2.75 59,1,2024-09-07 09:05:10:804,564286,564286,0,0,263445238885,2761924420,556427,6339,1520,369,391586,0 59,2,2024-09-07 09:05:10:598,407593,407593,0,0,19050262,0,2867 59,3,2024-09-07 09:05:11:765,1,321,6,0,1015,4261,321,0 60,0,2024-09-07 09:05:11:747,82543,0.6,82677,0.7,165983,0.6,219890,1.75 60,1,2024-09-07 09:05:10:772,565657,565657,0,0,264321125796,2747665649,561557,3455,645,370,392031,0 60,2,2024-09-07 09:05:11:152,402894,402894,0,0,18576144,0,3811 60,3,2024-09-07 09:05:11:266,1,321,21,0,409,3883,321,0 61,0,2024-09-07 09:05:11:522,83679,0.6,84226,0.8,167181,0.7,223118,2.00 61,1,2024-09-07 09:05:10:780,563946,563946,0,0,263135661762,2763457717,556790,5832,1324,382,392127,0 61,2,2024-09-07 09:05:11:121,404269,404202,67,0,18887663,0,6411 61,3,2024-09-07 09:05:11:691,1,321,92,0,479,5006,321,0 62,0,2024-09-07 09:05:11:707,79989,0.5,81747,0.7,155852,0.5,212284,2.00 62,1,2024-09-07 09:05:11:113,567180,567174,0,6,264837726574,2736856372,563599,3316,259,365,391715,6 62,2,2024-09-07 09:05:11:646,407574,407573,1,0,18477001,0,5555 62,3,2024-09-07 09:05:11:144,1,321,1,0,465,2533,321,0 63,0,2024-09-07 09:05:11:470,76935,0.4,76959,0.6,154125,0.4,205140,1.75 63,1,2024-09-07 09:05:10:805,565618,565612,0,6,264588230461,2750204009,561685,3540,387,381,391800,6 63,2,2024-09-07 09:05:10:761,406888,406888,0,0,16818421,0,4369 63,3,2024-09-07 09:05:11:765,1,321,1,0,667,3732,321,0 64,0,2024-09-07 09:05:11:552,80954,0.5,80885,0.7,161711,0.5,215433,2.00 64,1,2024-09-07 09:05:10:755,565068,565068,0,0,263777637487,2752579922,559431,3980,1657,370,391783,0 64,2,2024-09-07 09:05:11:141,408283,408264,19,0,16407494,0,6121 64,3,2024-09-07 09:05:11:144,1,321,1,0,265,3074,321,0 65,0,2024-09-07 09:05:11:679,81642,0.7,81955,0.8,163579,0.8,218347,2.25 65,1,2024-09-07 09:05:10:859,564007,564007,0,0,263605572306,2757040506,559929,3627,451,382,391901,0 65,2,2024-09-07 09:05:11:692,404007,404007,0,0,17877488,0,3367 65,3,2024-09-07 09:05:11:696,1,321,10,0,163,2877,321,0 66,0,2024-09-07 09:05:11:766,80975,0.5,80869,0.7,161917,0.5,214902,2.00 66,1,2024-09-07 09:05:11:303,565188,565188,0,0,264178751153,2748349093,561633,3234,321,380,391653,0 66,2,2024-09-07 09:05:11:132,410193,410193,0,0,16193613,0,4956 66,3,2024-09-07 09:05:11:103,1,321,1,0,291,3153,321,0 67,0,2024-09-07 09:05:11:458,75456,0.5,74763,0.7,150296,0.5,200090,2.00 67,1,2024-09-07 09:05:10:765,565458,565457,0,1,263526227281,2746626875,561292,3414,751,380,391787,1 67,2,2024-09-07 09:05:10:584,408517,408517,0,0,15600830,0,2889 67,3,2024-09-07 09:05:11:749,1,321,0,0,338,2811,321,0 68,0,2024-09-07 09:05:10:570,80934,0.6,80460,0.7,160624,0.6,215167,2.00 68,1,2024-09-07 09:05:10:573,563836,563836,0,0,262458562760,2751760290,559033,3602,1201,381,391953,0 68,2,2024-09-07 09:05:11:044,404304,404239,65,0,20703844,0,6698 68,3,2024-09-07 09:05:10:735,1,321,0,0,417,3569,321,0 69,0,2024-09-07 09:05:11:728,81923,0.9,82386,0.9,164140,1.2,217739,2.25 69,1,2024-09-07 09:05:11:016,561988,561988,0,0,262436248446,2773267940,554018,5860,2110,384,391994,0 69,2,2024-09-07 09:05:11:743,401667,401667,0,0,20580877,0,3722 69,3,2024-09-07 09:05:10:763,1,321,8,0,698,4644,321,0 70,0,2024-09-07 09:05:11:531,81951,0.8,81896,1.0,164860,0.6,218220,2.50 70,1,2024-09-07 09:05:10:802,565276,565276,0,0,263637867209,2739809972,560428,4312,536,366,391725,0 70,2,2024-09-07 09:05:11:326,405891,405891,0,0,18743718,0,4044 70,3,2024-09-07 09:05:10:756,1,321,0,0,854,3689,321,0 71,0,2024-09-07 09:05:11:373,75675,0.9,75211,1.0,151172,1.1,201833,2.75 71,1,2024-09-07 09:05:11:595,564411,564411,0,0,263635942155,2753680006,557845,5910,656,368,391738,0 71,2,2024-09-07 09:05:11:076,408055,408055,0,0,18453531,0,4042 71,3,2024-09-07 09:05:11:757,1,321,2,0,644,4516,321,0 72,0,2024-09-07 09:05:11:021,82010,0.6,80272,0.8,156446,0.7,213070,2.25 72,1,2024-09-07 09:05:11:024,563518,563518,0,0,263084753311,2760835182,555293,6529,1696,369,391819,0 72,2,2024-09-07 09:05:11:762,405194,405194,0,0,21430823,0,3983 72,3,2024-09-07 09:05:11:761,1,321,2,0,564,5247,321,0 73,0,2024-09-07 09:05:11:114,80715,0.5,82686,0.7,169307,0.4,219758,2.00 73,1,2024-09-07 09:05:10:768,564775,564775,0,0,263367903726,2741564059,560459,3986,330,367,391858,0 73,2,2024-09-07 09:05:11:752,402225,402225,0,0,20360596,0,3701 73,3,2024-09-07 09:05:10:980,1,321,1,0,274,4467,321,0 74,0,2024-09-07 09:05:11:321,84661,0.5,86775,0.7,165684,0.4,224330,2.25 74,1,2024-09-07 09:05:10:640,564219,564219,0,0,263082996431,2750531690,558142,4815,1262,381,391681,0 74,2,2024-09-07 09:05:11:038,404484,404484,0,0,19456576,0,4253 74,3,2024-09-07 09:05:11:447,1,321,2,0,522,4593,321,0 75,0,2024-09-07 09:05:11:772,78853,0.5,78500,0.7,157219,0.4,210362,2.25 75,1,2024-09-07 09:05:11:592,563694,563694,0,0,262506941551,2746836464,557344,5525,825,380,391739,0 75,2,2024-09-07 09:05:11:354,407952,407952,0,0,19553942,0,4766 75,3,2024-09-07 09:05:11:072,1,321,3,0,702,4734,321,0 76,0,2024-09-07 09:05:10:633,77114,0.7,76525,0.8,153622,0.7,205972,2.50 76,1,2024-09-07 09:05:10:822,564467,564467,0,0,262618565108,2742681074,560551,3327,589,382,391692,0 76,2,2024-09-07 09:05:11:065,408050,408049,1,0,18831113,0,5144 76,3,2024-09-07 09:05:11:142,1,321,2,0,175,3179,321,0 77,0,2024-09-07 09:05:11:729,82970,0.7,83112,0.8,166137,0.8,221025,2.00 77,1,2024-09-07 09:05:10:824,564469,564469,0,0,263170821840,2753907619,559287,4694,488,381,391869,0 77,2,2024-09-07 09:05:11:285,404400,404400,0,0,18295594,0,3890 77,3,2024-09-07 09:05:11:101,1,321,2,0,401,3736,321,0 78,0,2024-09-07 09:05:11:731,83247,0.5,82844,0.7,166367,0.4,220917,2.00 78,1,2024-09-07 09:05:10:616,565204,565204,0,0,263343078534,2745885352,559347,4712,1145,367,391670,0 78,2,2024-09-07 09:05:11:409,404184,404184,0,0,15904986,0,3855 78,3,2024-09-07 09:05:11:133,1,321,15,0,181,3162,321,0 79,0,2024-09-07 09:05:11:357,77620,0.4,79649,0.6,162729,0.4,211732,2.25 79,1,2024-09-07 09:05:10:571,566433,566433,0,0,263883397670,2736203236,561880,3920,633,369,391682,0 79,2,2024-09-07 09:05:11:074,409327,409327,0,0,16904876,0,4195 79,3,2024-09-07 09:05:10:754,1,321,0,0,418,4262,321,0 80,0,2024-09-07 09:05:11:086,75446,0.5,77498,0.7,148497,0.5,200858,2.00 80,1,2024-09-07 09:05:11:635,564813,564813,0,0,263459014746,2742241855,561469,3167,177,368,391791,0 80,2,2024-09-07 09:05:11:108,408484,408484,0,0,15934815,0,4433 80,3,2024-09-07 09:05:10:583,1,321,0,0,190,3938,321,0 81,0,2024-09-07 09:05:11:581,80963,0.6,82901,0.7,158302,0.5,214976,2.00 81,1,2024-09-07 09:05:11:651,564175,564175,0,0,262954485440,2750889820,559327,4349,499,382,391879,0 81,2,2024-09-07 09:05:11:138,405282,405219,63,0,17827864,0,5932 81,3,2024-09-07 09:05:11:117,1,321,1,0,374,3806,321,0 82,0,2024-09-07 09:05:11:549,81894,0.5,82394,0.7,164954,0.5,218948,2.00 82,1,2024-09-07 09:05:10:585,565983,565979,0,4,264166540347,2752127809,562084,3098,797,381,391768,4 82,2,2024-09-07 09:05:11:700,403944,403944,0,0,15193971,0,3986 82,3,2024-09-07 09:05:11:765,1,321,2,0,363,3394,321,0 83,0,2024-09-07 09:05:11:527,81850,0.5,81608,0.7,162452,0.5,216214,2.00 83,1,2024-09-07 09:05:10:551,564351,564351,0,0,263365597344,2748830536,560044,3927,380,382,391709,0 83,2,2024-09-07 09:05:10:764,407470,407470,0,0,15727579,0,3393 83,3,2024-09-07 09:05:10:754,1,321,14,0,1260,4843,321,0 84,0,2024-09-07 09:05:11:809,74969,0.7,74872,0.9,149927,0.6,200636,2.25 84,1,2024-09-07 09:05:11:047,564226,564226,0,0,263243001091,2753148734,558491,5008,727,367,391967,0 84,2,2024-09-07 09:05:10:571,407733,407733,0,0,19807213,0,4757 84,3,2024-09-07 09:05:11:141,1,321,3,0,908,4986,321,0 85,0,2024-09-07 09:05:11:019,77116,0.7,77101,0.9,163698,0.7,212188,2.50 85,1,2024-09-07 09:05:10:567,562696,562696,0,0,262815752510,2776669458,554190,7137,1369,381,392006,0 85,2,2024-09-07 09:05:10:872,406625,406625,0,0,19637157,0,3656 85,3,2024-09-07 09:05:10:689,1,321,1,0,789,4352,321,0 86,0,2024-09-07 09:05:10:881,82427,0.7,84681,0.8,162196,0.8,218892,2.25 86,1,2024-09-07 09:05:10:824,564263,564263,0,0,263005785377,2756673740,557388,5810,1065,366,391961,0 86,2,2024-09-07 09:05:10:854,401412,401411,1,0,20338645,0,5004 86,3,2024-09-07 09:05:10:594,1,321,1,0,308,4505,321,0 87,0,2024-09-07 09:05:11:314,83105,0.9,83091,0.9,166627,1.2,222244,2.25 87,1,2024-09-07 09:05:10:558,563324,563324,0,0,263324570326,2757182811,557152,5562,610,366,392076,0 87,2,2024-09-07 09:05:11:104,405120,405120,0,0,18627259,0,4045 87,3,2024-09-07 09:05:11:800,1,321,1,0,473,4689,321,0 88,0,2024-09-07 09:05:11:582,77617,0.4,78245,0.6,155985,0.4,206818,2.00 88,1,2024-09-07 09:05:10:572,562437,562437,0,0,263340156118,2764518127,554747,6026,1664,365,392084,0 88,2,2024-09-07 09:05:10:689,408814,408814,0,0,20819788,0,3583 88,3,2024-09-07 09:05:11:267,1,321,3,0,435,3590,321,0 89,0,2024-09-07 09:05:11:808,81117,0.5,78428,0.7,155652,0.4,211287,2.00 89,1,2024-09-07 09:05:10:562,562823,562823,0,0,262582298926,2767145632,555081,6469,1273,382,391866,0 89,2,2024-09-07 09:05:11:133,406057,406057,0,0,19894062,0,2910 89,3,2024-09-07 09:05:11:802,1,321,10,0,385,6049,321,0 90,0,2024-09-07 09:05:11:624,80410,0.5,82406,0.7,168285,0.5,219284,2.00 90,1,2024-09-07 09:05:10:598,564059,564059,0,0,263729467581,2768276468,557874,5686,499,380,391825,0 90,2,2024-09-07 09:05:11:407,401768,401768,0,0,21019286,0,3060 90,3,2024-09-07 09:05:10:938,1,321,1,0,246,3622,321,0 91,0,2024-09-07 09:05:10:952,84078,0.5,81562,0.7,170353,0.5,223707,1.75 91,1,2024-09-07 09:05:10:556,562612,562612,0,0,262903611226,2769974670,554798,6771,1043,381,392047,0 91,2,2024-09-07 09:05:11:347,404246,404246,0,0,18952117,0,2896 91,3,2024-09-07 09:05:10:603,1,321,1,0,216,3098,321,0 92,0,2024-09-07 09:05:11:479,80172,0.5,82236,0.6,157036,0.4,212713,1.75 92,1,2024-09-07 09:05:10:581,563998,563998,0,0,262282434612,2744616519,559194,4118,686,382,392136,0 92,2,2024-09-07 09:05:11:357,409842,409842,0,0,17204683,0,3259 92,3,2024-09-07 09:05:11:022,1,321,1,0,167,2864,321,0 93,0,2024-09-07 09:05:10:976,77342,0.4,79285,0.6,151409,0.4,205213,1.75 93,1,2024-09-07 09:05:10:817,563982,563982,0,0,263419612384,2754460680,557873,5082,1027,366,391776,0 93,2,2024-09-07 09:05:10:931,406212,406212,0,0,19972877,0,4845 93,3,2024-09-07 09:05:11:407,1,321,1,0,190,3063,321,0 94,0,2024-09-07 09:05:11:599,81063,0.4,81777,0.5,163101,0.3,216759,1.75 94,1,2024-09-07 09:05:10:575,564523,564523,0,0,263757327568,2756296401,560494,3839,190,381,391850,0 94,2,2024-09-07 09:05:10:785,404822,404822,0,0,17260074,0,2443 94,3,2024-09-07 09:05:11:695,1,321,5,0,264,4061,321,0 95,0,2024-09-07 09:05:11:395,82238,0.4,82224,0.6,165018,0.3,220175,1.75 95,1,2024-09-07 09:05:10:860,565947,565947,0,0,263827852758,2747906810,560995,4555,397,367,391713,0 95,2,2024-09-07 09:05:11:015,402992,402992,0,0,16962690,0,3308 95,3,2024-09-07 09:05:11:709,1,321,1,0,718,5261,321,0 96,0,2024-09-07 09:05:11:023,81592,0.4,81705,0.5,162948,0.3,215760,1.75 96,1,2024-09-07 09:05:11:591,564099,564099,0,0,263551667733,2752776910,559588,3720,791,384,391955,0 96,2,2024-09-07 09:05:11:271,408382,408382,0,0,16870160,0,4042 96,3,2024-09-07 09:05:11:140,1,321,16,0,411,3552,321,0 97,0,2024-09-07 09:05:11:328,75260,0.3,74980,0.5,150374,0.3,199904,1.75 97,1,2024-09-07 09:05:10:763,566392,566392,0,0,263789964200,2737201503,562525,3195,672,367,392140,0 97,2,2024-09-07 09:05:10:611,408594,408594,0,0,16326254,0,3036 97,3,2024-09-07 09:05:10:570,1,321,2,0,214,3924,321,0 98,0,2024-09-07 09:05:11:697,80737,0.3,80631,0.5,161714,0.2,215277,1.50 98,1,2024-09-07 09:05:10:575,565450,565450,0,0,263606978462,2743822484,562802,2542,106,382,391997,0 98,2,2024-09-07 09:05:10:769,406672,406672,0,0,16249958,0,3080 98,3,2024-09-07 09:05:10:705,1,321,2,0,840,5224,321,0 99,0,2024-09-07 09:05:11:461,82377,0.4,82947,0.5,165140,0.3,220054,1.75 99,1,2024-09-07 09:05:11:728,565068,565068,0,0,263498779236,2746215989,560935,3379,754,381,392069,0 99,2,2024-09-07 09:05:11:417,403928,403928,0,0,18027299,0,3424 99,3,2024-09-07 09:05:10:585,1,321,2,0,199,2981,321,0 100,0,2024-09-07 09:05:11:483,82436,0.7,82366,1.0,164889,0.9,219924,2.50 100,1,2024-09-07 09:05:10:549,561909,561909,0,0,262166156204,2773202595,553457,6958,1494,381,391989,0 100,2,2024-09-07 09:05:11:818,405528,405517,11,0,19064654,0,5417 100,3,2024-09-07 09:05:11:744,1,321,1,0,559,5592,321,0 101,0,2024-09-07 09:05:11:729,77732,1.1,75745,1.0,148392,0.8,203431,2.25 101,1,2024-09-07 09:05:10:550,562099,562099,0,0,261787052851,2760458013,553045,7034,2020,368,391771,0 101,2,2024-09-07 09:05:11:767,406420,406420,0,0,22006674,0,4644 101,3,2024-09-07 09:05:10:946,1,321,2,0,448,3879,321,0 102,0,2024-09-07 09:05:10:952,77438,0.7,80058,0.8,161504,0.8,212032,2.25 102,1,2024-09-07 09:05:11:145,562939,562939,0,0,263155363273,2766961233,555637,6270,1032,369,391891,0 102,2,2024-09-07 09:05:11:766,407579,407525,54,0,18643715,0,6768 102,3,2024-09-07 09:05:11:617,1,321,3,0,410,3550,321,0 103,0,2024-09-07 09:05:11:594,85366,0.7,85408,0.8,160994,0.8,221580,2.00 103,1,2024-09-07 09:05:11:627,562196,562196,0,0,262412062044,2770917076,553458,6743,1995,381,391829,0 103,2,2024-09-07 09:05:10:585,401267,401267,0,0,19154337,0,3173 103,3,2024-09-07 09:05:10:757,1,321,2,0,916,4157,321,0 104,0,2024-09-07 09:05:11:035,83298,0.8,83650,1.0,166158,0.7,223606,2.50 104,1,2024-09-07 09:05:11:769,563433,563433,0,0,262437163776,2764648573,554547,7189,1697,365,392168,0 104,2,2024-09-07 09:05:11:694,403275,403275,0,0,20574472,0,3941 104,3,2024-09-07 09:05:11:419,1,321,4,0,1245,7243,321,0 105,0,2024-09-07 09:05:11:035,77864,0.9,75749,1.1,158594,1.0,208769,3.00 105,1,2024-09-07 09:05:10:554,563864,563864,0,0,263121062236,2765163455,555721,6812,1331,366,391797,0 105,2,2024-09-07 09:05:11:357,407983,407983,0,0,19957490,0,3509 105,3,2024-09-07 09:05:11:315,1,321,2,0,399,5281,321,0 106,0,2024-09-07 09:05:10:952,74775,1.0,76635,1.0,156600,1.1,205476,2.75 106,1,2024-09-07 09:05:11:766,562616,562616,0,0,262688210794,2767210348,553047,8277,1292,369,391914,0 106,2,2024-09-07 09:05:10:756,404423,404423,0,0,19716594,0,2795 106,3,2024-09-07 09:05:10:678,1,321,1,0,470,4288,321,0 107,0,2024-09-07 09:05:11:148,82796,1.2,82709,1.0,165423,1.8,220665,2.25 107,1,2024-09-07 09:05:10:586,561834,561834,0,0,261751726045,2762878207,553878,7042,914,381,392234,0 107,2,2024-09-07 09:05:11:293,402529,402528,1,0,20040560,0,5024 107,3,2024-09-07 09:05:11:759,1,321,1,0,353,4410,321,0 108,0,2024-09-07 09:05:11:815,82780,0.5,83315,0.6,165559,0.4,221515,1.75 108,1,2024-09-07 09:05:11:296,564255,564255,0,0,263728700971,2755100679,559180,4559,516,368,391857,0 108,2,2024-09-07 09:05:11:761,402299,402299,0,0,19165532,0,4246 108,3,2024-09-07 09:05:11:358,1,321,1,0,749,6668,321,0 109,0,2024-09-07 09:05:11:839,80750,0.4,79777,0.6,159895,0.3,214053,1.75 109,1,2024-09-07 09:05:10:619,562288,562288,0,0,263889297662,2771527557,557095,4530,663,383,392132,0 109,2,2024-09-07 09:05:10:931,406662,406662,0,0,18788131,0,3617 109,3,2024-09-07 09:05:11:144,1,321,11,0,379,4010,321,0 110,0,2024-09-07 09:05:11:786,75452,0.4,73525,0.6,153638,0.3,201734,1.75 110,1,2024-09-07 09:05:11:643,565426,565426,0,0,263835832014,2741728596,561430,3022,974,369,392045,0 110,2,2024-09-07 09:05:11:304,406711,406711,0,0,18598584,0,4067 110,3,2024-09-07 09:05:10:694,1,321,1,0,722,5039,321,0 111,0,2024-09-07 09:05:11:417,81424,0.5,80704,0.6,161650,0.4,216407,1.75 111,1,2024-09-07 09:05:11:001,565596,565596,0,0,264948564874,2752731961,562217,3027,352,382,391690,0 111,2,2024-09-07 09:05:11:116,404581,404581,0,0,17989253,0,4823 111,3,2024-09-07 09:05:10:913,1,321,6,0,379,4095,321,0 112,0,2024-09-07 09:05:10:930,83032,0.3,82346,0.4,165236,0.2,219668,1.50 112,1,2024-09-07 09:05:10:827,565694,565694,0,0,263456770629,2735624472,562235,2875,584,380,391624,0 112,2,2024-09-07 09:05:11:135,403098,403097,1,0,16096859,0,5036 112,3,2024-09-07 09:05:10:595,1,321,5,0,282,3402,321,0 113,0,2024-09-07 09:05:10:888,81483,0.3,81523,0.5,163490,0.2,217638,1.50 113,1,2024-09-07 09:05:11:694,567563,567563,0,0,264750276351,2733920220,564285,2694,584,366,391661,0 113,2,2024-09-07 09:05:11:303,409504,409504,0,0,14891729,0,3813 113,3,2024-09-07 09:05:10:685,1,321,1,0,340,4004,321,0 114,0,2024-09-07 09:05:10:883,75826,0.3,76390,0.5,151847,0.2,202737,1.75 114,1,2024-09-07 09:05:10:718,565318,565318,0,0,263655038589,2742859398,560197,3528,1593,381,391556,0 114,2,2024-09-07 09:05:10:874,408759,408758,1,0,16479281,0,5069 114,3,2024-09-07 09:05:11:281,1,321,1,0,395,2951,321,0 115,0,2024-09-07 09:05:10:564,80034,0.3,80530,0.4,160904,0.2,214527,1.50 115,1,2024-09-07 09:05:10:583,565125,565125,0,0,264166528185,2745688391,560268,4017,840,382,391656,0 115,2,2024-09-07 09:05:11:126,408177,408177,0,0,15255197,0,3453 115,3,2024-09-07 09:05:11:007,1,321,8,0,159,1962,321,0 116,0,2024-09-07 09:05:11:771,82099,0.9,81992,1.0,164304,1.1,219789,2.25 116,1,2024-09-07 09:05:10:809,562133,562133,0,0,262379412998,2772355186,554540,5327,2266,380,391782,0 116,2,2024-09-07 09:05:11:756,401395,401395,0,0,20468340,0,3529 116,3,2024-09-07 09:05:10:913,1,321,1,0,415,4174,321,0 117,0,2024-09-07 09:05:10:957,83598,0.8,83097,0.9,166527,0.9,222613,2.00 117,1,2024-09-07 09:05:11:584,563438,563438,0,0,262855325060,2754943385,557173,5597,668,370,392033,0 117,2,2024-09-07 09:05:11:118,408372,408372,0,0,16978286,0,3700 117,3,2024-09-07 09:05:11:063,1,321,8,0,490,4851,321,0 118,0,2024-09-07 09:05:11:771,75476,0.5,77515,0.7,158052,0.5,206098,2.00 118,1,2024-09-07 09:05:10:613,563005,563005,0,0,262559134953,2764301628,554231,6877,1897,366,391907,0 118,2,2024-09-07 09:05:11:587,408070,408070,0,0,18724919,0,2781 118,3,2024-09-07 09:05:11:766,1,321,0,0,235,3515,321,0 119,0,2024-09-07 09:05:11:369,78360,0.7,78778,0.8,157687,0.7,210027,2.00 119,1,2024-09-07 09:05:10:557,564346,564346,0,0,263188336463,2755880708,557787,5723,836,367,391780,0 119,2,2024-09-07 09:05:11:267,407347,407347,0,0,17756984,0,4174 119,3,2024-09-07 09:05:11:339,1,321,2,0,563,4930,321,0 120,0,2024-09-07 09:05:11:545,82260,0.7,82062,0.9,164336,0.7,219757,2.25 120,1,2024-09-07 09:05:10:859,563698,563698,0,0,262151282067,2756139992,557300,5843,555,368,391961,0 120,2,2024-09-07 09:05:10:779,402575,402574,1,0,20812067,0,5281 120,3,2024-09-07 09:05:11:298,1,321,4,0,241,4127,321,0 121,0,2024-09-07 09:05:11:740,83508,1.1,83688,1.0,167826,1.4,223404,2.25 121,1,2024-09-07 09:05:11:658,564185,564185,0,0,263644097757,2762496963,558293,5455,437,367,391840,0 121,2,2024-09-07 09:05:11:128,402955,402955,0,0,20088265,0,4127 121,3,2024-09-07 09:05:10:761,1,321,1,0,269,3831,321,0 122,0,2024-09-07 09:05:11:781,79362,0.8,77299,0.9,162082,0.9,212666,2.00 122,1,2024-09-07 09:05:10:867,562555,562555,0,0,262303467855,2758522230,554102,7192,1261,366,392130,0 122,2,2024-09-07 09:05:11:320,408264,408191,73,0,22628500,0,5989 122,3,2024-09-07 09:05:10:595,1,321,2,0,411,5939,321,0 123,0,2024-09-07 09:05:10:967,76491,0.8,74762,0.8,155959,0.9,204793,2.00 123,1,2024-09-07 09:05:10:558,562623,562623,0,0,262698478086,2776318117,551208,9290,2125,369,392039,0 123,2,2024-09-07 09:05:11:028,404614,404613,1,0,19579117,0,5215 123,3,2024-09-07 09:05:11:132,1,321,1,0,168,3816,321,0 124,0,2024-09-07 09:05:10:987,83924,0.4,83896,0.5,158119,0.3,217747,1.75 124,1,2024-09-07 09:05:11:056,565211,565211,0,0,262937505275,2740361414,560505,3798,908,367,392178,0 124,2,2024-09-07 09:05:11:033,405923,405870,53,0,17531141,0,6487 124,3,2024-09-07 09:05:10:757,1,321,0,0,490,3396,321,0 125,0,2024-09-07 09:05:11:418,82697,0.4,82220,0.6,165558,0.4,220496,1.75 125,1,2024-09-07 09:05:10:887,563861,563861,0,0,263707082761,2757771534,558986,4233,642,383,391702,0 125,2,2024-09-07 09:05:11:117,403327,403327,0,0,18096126,0,4534 125,3,2024-09-07 09:05:11:128,1,321,10,0,709,4563,321,0 126,0,2024-09-07 09:05:11:424,81334,0.4,83631,0.6,159960,0.4,216577,1.75 126,1,2024-09-07 09:05:10:552,565845,565845,0,0,264047688569,2740321195,562117,3445,283,365,391987,0 126,2,2024-09-07 09:05:10:612,408796,408796,0,0,18194125,0,4539 126,3,2024-09-07 09:05:10:913,1,321,1,0,207,4070,321,0 127,0,2024-09-07 09:05:11:628,74936,0.3,75352,0.5,150206,0.3,199861,1.75 127,1,2024-09-07 09:05:10:569,565033,565033,0,0,263864768476,2745308601,559640,4533,860,365,392187,0 127,2,2024-09-07 09:05:10:641,407099,407099,0,0,16777980,0,3897 127,3,2024-09-07 09:05:11:274,1,321,1,0,968,3964,321,0 128,0,2024-09-07 09:05:11:542,80920,0.3,81048,0.5,161904,0.2,215592,1.50 128,1,2024-09-07 09:05:11:606,564946,564946,0,0,263757774671,2742104436,560855,3722,369,367,391798,0 128,2,2024-09-07 09:05:11:383,407341,407341,0,0,15642358,0,2915 128,3,2024-09-07 09:05:10:771,1,321,0,0,1082,5836,321,0 129,0,2024-09-07 09:05:11:041,82987,0.3,82647,0.5,165673,0.3,220142,1.75 129,1,2024-09-07 09:05:10:589,562095,562095,0,0,262734339371,2754905254,556457,4382,1256,379,391835,0 129,2,2024-09-07 09:05:10:691,403315,403315,0,0,16354983,0,4031 129,3,2024-09-07 09:05:10:694,1,321,3,0,469,4401,321,0 130,0,2024-09-07 09:05:11:722,83267,0.5,82860,0.6,166482,0.5,221217,1.75 130,1,2024-09-07 09:05:10:613,565406,565406,0,0,263862137253,2746208877,562000,3127,279,381,391825,0 130,2,2024-09-07 09:05:11:139,408135,408135,0,0,16176738,0,4067 130,3,2024-09-07 09:05:11:296,1,321,8,0,450,4082,321,0 131,0,2024-09-07 09:05:11:930,75928,0.4,76433,0.5,153604,0.3,203161,1.75 131,1,2024-09-07 09:05:11:820,564897,564897,0,0,263605711834,2753608976,560452,3700,745,381,391865,0 131,2,2024-09-07 09:05:10:567,410897,410897,0,0,15156967,0,2415 131,3,2024-09-07 09:05:11:688,1,321,3,0,392,3443,321,0 132,0,2024-09-07 09:05:11:551,79289,0.5,80035,0.6,159779,0.4,212707,2.00 132,1,2024-09-07 09:05:10:582,562534,562534,0,0,262167530935,2765188063,553903,7167,1464,381,392097,0 132,2,2024-09-07 09:05:10:709,406408,406408,0,0,20066886,0,4606 132,3,2024-09-07 09:05:11:697,1,321,2,0,804,6117,321,0 133,0,2024-09-07 09:05:11:530,80837,0.5,82562,0.6,169532,0.5,220177,2.00 133,1,2024-09-07 09:05:10:593,562571,562571,0,0,262841508970,2774453970,554406,7083,1082,383,391914,0 133,2,2024-09-07 09:05:11:106,401281,401281,0,0,21123492,0,4315 133,3,2024-09-07 09:05:11:317,1,321,18,0,479,3525,321,0 134,0,2024-09-07 09:05:10:962,84387,0.5,84312,0.7,168621,0.5,224794,2.00 134,1,2024-09-07 09:05:10:595,562689,562689,0,0,262490592210,2760821393,554436,6397,1856,366,391718,0 134,2,2024-09-07 09:05:11:766,404493,404493,0,0,17691819,0,3847 134,3,2024-09-07 09:05:10:758,1,321,1,0,739,4268,321,0 135,0,2024-09-07 09:05:11:127,76136,0.7,76105,0.8,161503,0.7,208425,2.00 135,1,2024-09-07 09:05:11:600,563217,563217,0,0,263395539559,2776280947,554871,7111,1235,380,391805,0 135,2,2024-09-07 09:05:10:687,409350,409350,0,0,19262792,0,3981 135,3,2024-09-07 09:05:11:015,1,321,2,0,299,2581,321,0 136,0,2024-09-07 09:05:11:641,77856,0.6,77945,0.8,155154,0.6,207328,2.25 136,1,2024-09-07 09:05:11:486,563163,563163,0,0,262154217708,2757530978,556159,6309,695,382,391685,0 136,2,2024-09-07 09:05:11:147,406904,406904,0,0,19201630,0,3506 136,3,2024-09-07 09:05:11:115,1,321,3,0,637,3831,321,0 137,0,2024-09-07 09:05:10:984,85488,0.7,83197,0.8,163330,0.8,222103,2.00 137,1,2024-09-07 09:05:10:581,562482,562482,0,0,262708525157,2763740704,552910,7692,1880,366,391708,0 137,2,2024-09-07 09:05:11:711,403078,403078,0,0,21447571,0,3185 137,3,2024-09-07 09:05:10:769,1,321,1,0,382,3970,321,0 138,0,2024-09-07 09:05:11:755,82349,1.4,82488,1.1,165643,1.9,220455,2.25 138,1,2024-09-07 09:05:11:686,563275,563275,0,0,263627237321,2773588580,554241,7439,1595,368,391954,0 138,2,2024-09-07 09:05:10:586,403027,403027,0,0,19182759,0,4988 138,3,2024-09-07 09:05:10:611,1,321,1,0,1160,4744,321,0 139,0,2024-09-07 09:05:11:369,79214,1.3,79527,1.1,159249,1.9,212244,2.25 139,1,2024-09-07 09:05:10:581,560913,560913,0,0,261722904267,2786960406,549565,8704,2644,381,391892,0 139,2,2024-09-07 09:05:10:699,405932,405932,0,0,20860701,0,3097 139,3,2024-09-07 09:05:11:663,1,321,13,0,257,3646,321,0 140,0,2024-09-07 09:05:11:594,75795,0.3,75341,0.5,151418,0.2,201974,1.75 140,1,2024-09-07 09:05:11:536,566699,566699,0,0,264811811734,2734657153,563497,2764,438,365,391606,0 140,2,2024-09-07 09:05:10:687,407233,407232,1,0,17332173,0,5036 140,3,2024-09-07 09:05:10:767,1,321,0,0,247,2715,321,0 141,0,2024-09-07 09:05:11:726,81144,0.4,83398,0.5,159366,0.3,216444,1.75 141,1,2024-09-07 09:05:10:861,565802,565802,0,0,264250481223,2749725053,561243,3697,862,379,391614,0 141,2,2024-09-07 09:05:11:690,405551,405551,0,0,16873081,0,3360 141,3,2024-09-07 09:05:11:063,1,321,4,0,391,3829,321,0 142,0,2024-09-07 09:05:11:320,83118,0.4,82292,0.5,164378,0.3,220005,1.75 142,1,2024-09-07 09:05:10:588,565199,565199,0,0,263322653114,2749863150,561240,3609,350,382,392102,0 142,2,2024-09-07 09:05:11:303,402198,402166,32,0,18250639,0,6028 142,3,2024-09-07 09:05:11:765,1,321,27,0,484,4102,321,0 143,0,2024-09-07 09:05:11:376,81798,0.4,81624,0.6,163742,0.4,217723,1.75 143,1,2024-09-07 09:05:10:564,565332,565332,0,0,263825103046,2741275831,561565,3618,149,367,391705,0 143,2,2024-09-07 09:05:10:777,407568,407568,0,0,17644856,0,3123 143,3,2024-09-07 09:05:11:149,1,321,2,0,303,3897,321,0 144,0,2024-09-07 09:05:11:497,73070,0.5,75178,0.8,153061,0.5,200911,2.00 144,1,2024-09-07 09:05:10:574,562894,562894,0,0,262232347793,2752178574,558047,3907,940,381,391649,0 144,2,2024-09-07 09:05:11:757,408823,408823,0,0,15818755,0,3473 144,3,2024-09-07 09:05:11:742,1,321,4,0,249,3371,321,0 145,0,2024-09-07 09:05:11:375,77418,0.6,77364,0.9,164182,0.6,212083,2.25 145,1,2024-09-07 09:05:10:557,562259,562259,0,0,262754523092,2766279134,554966,6153,1140,382,391759,0 145,2,2024-09-07 09:05:11:429,405221,405221,0,0,19261192,0,3903 145,3,2024-09-07 09:05:10:899,1,321,13,0,622,5070,321,0 146,0,2024-09-07 09:05:11:604,82513,0.6,81764,0.8,164953,0.6,218582,2.25 146,1,2024-09-07 09:05:11:586,563623,563623,0,0,262629925299,2767316524,553936,7677,2010,368,391770,0 146,2,2024-09-07 09:05:11:694,401394,401394,0,0,19146876,0,2730 146,3,2024-09-07 09:05:11:286,1,321,9,0,1520,6958,321,0 147,0,2024-09-07 09:05:11:698,83646,0.6,83322,0.8,165999,0.6,222224,2.25 147,1,2024-09-07 09:05:11:373,565238,565238,0,0,263969639200,2751506122,559585,4853,800,368,391791,0 147,2,2024-09-07 09:05:11:015,406383,406383,0,0,17042189,0,2789 147,3,2024-09-07 09:05:10:915,1,321,1,0,730,4845,321,0 0,0,2024-09-07 09:05:21:714,80453,0.7,80431,0.8,170785,0.8,220456,2.00 0,1,2024-09-07 09:05:20:807,566035,566035,0,0,263999335250,2763237655,561916,3876,243,370,391896,0 0,2,2024-09-07 09:05:21:067,404227,404227,0,0,16604004,0,4480 0,3,2024-09-07 09:05:20:974,1,322,2,0,431,4535,322,0 1,0,2024-09-07 09:05:21:757,84114,0.8,83510,0.9,167931,1.0,224376,2.00 1,1,2024-09-07 09:05:20:560,565025,565025,0,0,263296348866,2760353771,559441,4359,1225,370,391857,0 1,2,2024-09-07 09:05:20:643,404638,404638,0,0,16143198,0,3267 1,3,2024-09-07 09:05:21:301,1,322,13,0,262,3890,322,0 2,0,2024-09-07 09:05:21:578,79788,0.6,80140,0.8,158956,0.7,213117,2.00 2,1,2024-09-07 09:05:20:862,566963,566963,0,0,264664733878,2750508300,564069,2601,293,380,391745,0 2,2,2024-09-07 09:05:21:267,410527,410527,0,0,16164900,0,3594 2,3,2024-09-07 09:05:20:694,1,322,2,0,357,3255,322,0 3,0,2024-09-07 09:05:21:746,76992,0.4,76995,0.6,153942,0.4,205096,2.00 3,1,2024-09-07 09:05:21:617,565867,565867,0,0,263653823523,2748805394,560770,4474,623,380,391591,0 3,2,2024-09-07 09:05:21:143,408408,408385,23,0,16569588,0,5851 3,3,2024-09-07 09:05:21:754,1,322,1,0,103,1981,322,0 4,0,2024-09-07 09:05:21:808,79497,0.4,81883,0.5,166261,0.4,218510,1.75 4,1,2024-09-07 09:05:20:594,564692,564692,0,0,263544710197,2777947291,557386,5950,1356,371,391992,0 4,2,2024-09-07 09:05:21:025,403842,403842,0,0,19277065,0,4528 4,3,2024-09-07 09:05:21:032,1,322,2,0,448,4650,322,0 5,0,2024-09-07 09:05:21:432,82738,0.5,83042,0.6,165643,0.5,220653,1.75 5,1,2024-09-07 09:05:20:776,565102,565102,0,0,263462828127,2773850482,557943,5803,1356,367,392005,0 5,2,2024-09-07 09:05:21:831,403251,403251,0,0,18280138,0,2432 5,3,2024-09-07 09:05:21:746,1,322,2,0,457,4841,322,0 6,0,2024-09-07 09:05:20:945,81823,0.5,81289,0.6,162534,0.4,216781,2.00 6,1,2024-09-07 09:05:20:756,564990,564990,0,0,264080162055,2762250297,558635,5279,1076,379,391694,0 6,2,2024-09-07 09:05:21:119,409300,409300,0,0,17652434,0,4816 6,3,2024-09-07 09:05:21:275,1,322,1,0,340,3686,322,0 7,0,2024-09-07 09:05:21:538,75006,0.5,75218,0.6,149994,0.4,199731,2.00 7,1,2024-09-07 09:05:20:857,564364,564364,0,0,263614962593,2771779295,556297,6891,1176,382,391747,0 7,2,2024-09-07 09:05:20:777,408545,408545,0,0,17719344,0,4791 7,3,2024-09-07 09:05:20:853,1,322,1,0,398,3823,322,0 8,0,2024-09-07 09:05:21:356,81063,0.4,80935,0.5,162226,0.4,216358,1.75 8,1,2024-09-07 09:05:21:041,563551,563551,0,0,263383624937,2779083259,553406,7868,2277,366,392144,0 8,2,2024-09-07 09:05:20:794,403132,403132,0,0,22036902,0,3220 8,3,2024-09-07 09:05:20:588,1,322,1,0,538,5684,322,0 9,0,2024-09-07 09:05:21:102,82776,0.4,80454,0.6,168327,0.4,220348,1.75 9,1,2024-09-07 09:05:20:554,564422,564422,0,0,263516028939,2783531321,555379,6919,2124,369,392001,0 9,2,2024-09-07 09:05:21:085,403020,403020,0,0,19348611,0,3360 9,3,2024-09-07 09:05:21:758,1,322,1,0,496,4928,322,0 10,0,2024-09-07 09:05:21:608,83032,0.3,82353,0.5,165443,0.3,220185,1.75 10,1,2024-09-07 09:05:20:587,565185,565185,0,0,263957495611,2769518927,557305,6771,1109,381,391741,0 10,2,2024-09-07 09:05:20:769,408033,408033,0,0,21081032,0,4264 10,3,2024-09-07 09:05:20:879,1,322,1,0,296,2979,322,0 11,0,2024-09-07 09:05:21:009,75802,0.4,73527,0.6,153868,0.4,203459,1.75 11,1,2024-09-07 09:05:20:591,564961,564961,0,0,263898317711,2777500072,554952,7561,2448,383,391664,0 11,2,2024-09-07 09:05:21:124,409161,409161,0,0,19106057,0,4130 11,3,2024-09-07 09:05:21:299,1,322,1,0,843,5164,322,0 12,0,2024-09-07 09:05:20:964,80459,0.4,80330,0.5,160809,0.4,214215,1.75 12,1,2024-09-07 09:05:20:935,565633,565633,0,0,263282274524,2750045393,560783,4360,490,370,391870,0 12,2,2024-09-07 09:05:21:546,408140,408140,0,0,18728026,0,3469 12,3,2024-09-07 09:05:21:059,1,322,1,0,386,5414,322,0 13,0,2024-09-07 09:05:21:355,83528,0.5,83157,0.6,166285,0.5,221352,1.75 13,1,2024-09-07 09:05:21:548,564869,564869,0,0,263833659374,2778979414,558973,4488,1408,382,391740,0 13,2,2024-09-07 09:05:20:608,404604,404604,0,0,17069718,0,3287 13,3,2024-09-07 09:05:21:768,1,322,4,0,522,5397,322,0 14,0,2024-09-07 09:05:20:571,84605,0.4,85275,0.6,168668,0.4,224757,1.75 14,1,2024-09-07 09:05:21:580,568931,568931,0,0,265530772677,2754279608,564246,4326,359,364,391571,0 14,2,2024-09-07 09:05:20:771,405629,405599,30,0,18864579,0,6104 14,3,2024-09-07 09:05:21:118,1,322,2,0,1168,4065,322,0 15,0,2024-09-07 09:05:21:561,78837,0.4,78933,0.6,157819,0.4,209985,2.00 15,1,2024-09-07 09:05:21:618,566390,566390,0,0,264457309046,2757549534,562055,3515,820,381,391619,0 15,2,2024-09-07 09:05:20:998,411652,411652,0,0,15499188,0,3622 15,3,2024-09-07 09:05:21:405,1,322,12,0,1126,6151,322,0 16,0,2024-09-07 09:05:20:966,77896,0.6,78221,0.8,155955,0.6,208221,2.25 16,1,2024-09-07 09:05:20:584,566469,566469,0,0,264239089871,2760951942,562186,3923,360,370,391756,0 16,2,2024-09-07 09:05:21:455,408013,408013,0,0,17633947,0,4719 16,3,2024-09-07 09:05:21:141,1,322,0,0,317,4606,322,0 17,0,2024-09-07 09:05:21:785,85575,0.7,83624,0.8,163355,0.8,221829,2.00 17,1,2024-09-07 09:05:20:569,565290,565290,0,0,263425831215,2768123440,559061,4972,1257,368,391899,0 17,2,2024-09-07 09:05:21:674,406711,406711,0,0,17236086,0,2857 17,3,2024-09-07 09:05:20:586,1,322,1,0,298,5247,322,0 18,0,2024-09-07 09:05:20:954,82268,0.7,82651,0.8,164766,0.7,220187,2.25 18,1,2024-09-07 09:05:21:640,567105,567105,0,0,264748021681,2746249509,563772,3022,311,367,391649,0 18,2,2024-09-07 09:05:21:755,406540,406540,0,0,16043685,0,3541 18,3,2024-09-07 09:05:20:902,1,322,1,0,163,2806,322,0 19,0,2024-09-07 09:05:21:566,79879,0.6,80526,0.8,159434,0.6,212461,2.25 19,1,2024-09-07 09:05:20:573,567136,567136,0,0,265456555049,2756854772,562018,4279,839,367,391777,0 19,2,2024-09-07 09:05:21:754,411571,411571,0,0,14780801,0,3988 19,3,2024-09-07 09:05:21:131,1,322,102,0,524,2546,322,0 20,0,2024-09-07 09:05:21:446,75674,0.6,75728,0.7,151423,0.5,202609,2.00 20,1,2024-09-07 09:05:20:577,565135,565135,0,0,264089149354,2761153113,560811,3920,404,369,391886,0 20,2,2024-09-07 09:05:20:931,408253,408253,0,0,17643317,0,3721 20,3,2024-09-07 09:05:20:597,1,322,0,0,414,5238,322,0 21,0,2024-09-07 09:05:21:134,81355,0.5,81509,0.7,162723,0.5,216034,2.00 21,1,2024-09-07 09:05:21:545,564234,564234,0,0,263074796368,2774772244,556361,5996,1877,368,392016,0 21,2,2024-09-07 09:05:21:069,404610,404610,0,0,20784373,0,3747 21,3,2024-09-07 09:05:21:433,1,322,1,0,713,3940,322,0 22,0,2024-09-07 09:05:21:723,82223,0.6,82613,0.8,165203,0.6,219013,2.25 22,1,2024-09-07 09:05:21:024,565226,565226,0,0,263343786595,2771298401,556738,6669,1819,382,391667,0 22,2,2024-09-07 09:05:20:768,403063,403063,0,0,16893454,0,3134 22,3,2024-09-07 09:05:21:066,1,322,0,0,228,2828,322,0 23,0,2024-09-07 09:05:21:369,81322,0.6,81236,0.7,162762,0.5,216788,2.25 23,1,2024-09-07 09:05:21:002,564922,564922,0,0,264017998838,2777447657,554431,7333,3158,365,391690,0 23,2,2024-09-07 09:05:21:092,409831,409831,0,0,16609986,0,3010 23,3,2024-09-07 09:05:21:754,1,322,2,0,645,3981,322,0 24,0,2024-09-07 09:05:20:877,76211,0.4,76082,0.6,152775,0.4,203007,1.75 24,1,2024-09-07 09:05:20:603,564257,564257,0,0,263327510678,2767384528,557373,5357,1527,368,392269,0 24,2,2024-09-07 09:05:21:069,407663,407663,0,0,20815639,0,3607 24,3,2024-09-07 09:05:21:700,1,322,19,0,468,4461,322,0 25,0,2024-09-07 09:05:21:349,82642,0.4,80604,0.6,158119,0.4,215991,2.00 25,1,2024-09-07 09:05:20:582,565371,565371,0,0,264031831271,2774769326,557694,6309,1368,371,391928,0 25,2,2024-09-07 09:05:21:618,406966,406966,0,0,20822010,0,3978 25,3,2024-09-07 09:05:20:999,1,322,1,0,255,3282,322,0 26,0,2024-09-07 09:05:21:721,82245,0.4,80368,0.6,168747,0.4,219438,2.00 26,1,2024-09-07 09:05:21:544,565997,565997,0,0,263252696165,2763976411,557149,7312,1536,380,391748,0 26,2,2024-09-07 09:05:20:861,403820,403820,0,0,20433835,0,2809 26,3,2024-09-07 09:05:21:712,1,322,2,0,796,4339,322,0 27,0,2024-09-07 09:05:21:725,83865,0.5,83935,0.6,166348,0.4,222274,2.00 27,1,2024-09-07 09:05:21:676,567454,567454,0,0,265037095472,2762837637,562189,4500,765,381,391626,0 27,2,2024-09-07 09:05:20:877,404732,404667,65,0,20326248,0,5699 27,3,2024-09-07 09:05:21:016,1,322,0,0,564,3611,322,0 28,0,2024-09-07 09:05:21:402,77931,0.4,77655,0.6,155589,0.3,207174,2.00 28,1,2024-09-07 09:05:20:823,566573,566573,0,0,264663901407,2761463106,562196,3675,702,383,391698,0 28,2,2024-09-07 09:05:21:766,409793,409793,0,0,18012171,0,2915 28,3,2024-09-07 09:05:21:777,1,322,2,0,502,3497,322,0 29,0,2024-09-07 09:05:21:358,81277,0.4,79287,0.6,155306,0.3,212370,1.75 29,1,2024-09-07 09:05:21:560,568048,568048,0,0,265436857079,2752475264,563712,3653,683,369,391753,0 29,2,2024-09-07 09:05:20:861,406960,406960,0,0,17368393,0,4986 29,3,2024-09-07 09:05:20:965,1,322,20,0,459,4000,322,0 30,0,2024-09-07 09:05:21:471,82561,0.6,80139,0.8,167753,0.5,219420,2.00 30,1,2024-09-07 09:05:20:587,567467,567467,0,0,264439309888,2750647869,562649,4108,710,382,391672,0 30,2,2024-09-07 09:05:21:273,403923,403923,0,0,16274578,0,3161 30,3,2024-09-07 09:05:20:586,1,322,0,0,519,3378,322,0 31,0,2024-09-07 09:05:21:758,83667,0.4,83971,0.6,168016,0.4,224031,2.00 31,1,2024-09-07 09:05:20:581,569948,569948,0,0,265524922308,2726476792,567408,1982,558,356,391712,0 31,2,2024-09-07 09:05:21:275,405383,405383,0,0,18094410,0,3525 31,3,2024-09-07 09:05:21:710,1,322,0,0,220,2842,322,0 32,0,2024-09-07 09:05:21:439,79800,0.3,80124,0.5,160166,0.2,213433,1.75 32,1,2024-09-07 09:05:20:810,567163,567163,0,0,264385482262,2746916662,564094,2624,445,381,391646,0 32,2,2024-09-07 09:05:20:934,410872,410872,0,0,15103568,0,3155 32,3,2024-09-07 09:05:21:021,1,322,5,0,227,2413,322,0 33,0,2024-09-07 09:05:21:516,77306,0.3,76874,0.4,154245,0.2,205824,1.75 33,1,2024-09-07 09:05:20:587,567959,567959,0,0,265907142748,2755544384,563550,3578,831,369,391730,0 33,2,2024-09-07 09:05:20:763,409112,409077,35,0,17189979,0,7012 33,3,2024-09-07 09:05:20:900,1,322,2,0,329,3523,322,0 34,0,2024-09-07 09:05:20:943,82127,0.3,84521,0.5,161652,0.2,217818,1.75 34,1,2024-09-07 09:05:21:044,568935,568935,0,0,266014842991,2735672410,567518,1409,8,367,391562,0 34,2,2024-09-07 09:05:20:772,405967,405967,0,0,16436671,0,3577 34,3,2024-09-07 09:05:21:689,1,322,7,0,299,2429,322,0 35,0,2024-09-07 09:05:20:858,82034,0.4,82443,0.5,165743,0.3,221239,1.75 35,1,2024-09-07 09:05:21:070,567440,567440,0,0,264190066367,2739954130,563836,2823,781,382,391589,0 35,2,2024-09-07 09:05:21:586,404715,404715,0,0,16392494,0,2653 35,3,2024-09-07 09:05:20:915,1,322,30,0,418,4209,322,0 36,0,2024-09-07 09:05:21:526,81686,0.5,81712,0.7,163386,0.4,216465,2.00 36,1,2024-09-07 09:05:20:593,566715,566715,0,0,263795206752,2758809613,559489,5568,1658,366,391759,0 36,2,2024-09-07 09:05:21:752,409806,409806,0,0,18724467,0,3875 36,3,2024-09-07 09:05:20:864,1,322,1,0,416,5249,322,0 37,0,2024-09-07 09:05:21:378,74823,0.5,74836,0.7,149831,0.5,200546,2.00 37,1,2024-09-07 09:05:20:568,565562,565555,0,7,264306150462,2764680618,558785,4685,2085,365,391570,0 37,2,2024-09-07 09:05:21:143,407214,407199,15,0,18373620,0,5815 37,3,2024-09-07 09:05:21:765,1,322,1,0,888,5489,322,0 38,0,2024-09-07 09:05:21:459,80441,0.5,78128,0.6,163568,0.4,214349,2.00 38,1,2024-09-07 09:05:21:609,567308,567308,0,0,264067359298,2752175203,561272,5278,758,368,391821,0 38,2,2024-09-07 09:05:20:768,406501,406454,47,0,18978402,0,6710 38,3,2024-09-07 09:05:20:996,1,322,2,0,689,4591,322,0 39,0,2024-09-07 09:05:21:762,84203,0.6,82457,0.8,160362,0.7,219202,2.00 39,1,2024-09-07 09:05:20:724,565777,565777,0,0,263797400154,2763008111,557416,6901,1460,365,391594,0 39,2,2024-09-07 09:05:21:423,403834,403834,0,0,16918374,0,2689 39,3,2024-09-07 09:05:20:734,1,322,6,0,324,4194,322,0 40,0,2024-09-07 09:05:21:496,81998,0.8,82703,1.0,165174,0.8,219830,2.75 40,1,2024-09-07 09:05:20:578,566392,566392,0,0,263307261930,2761247271,557842,7040,1510,368,391668,0 40,2,2024-09-07 09:05:21:310,407274,407273,1,0,20430970,0,5137 40,3,2024-09-07 09:05:21:143,1,322,4,0,1028,4969,322,0 41,0,2024-09-07 09:05:21:033,75662,1.5,77521,1.3,147983,2.4,201389,3.25 41,1,2024-09-07 09:05:20:790,565381,565381,0,0,264027554849,2765632994,558333,6345,703,370,391742,0 41,2,2024-09-07 09:05:20:765,407773,407773,0,0,19601665,0,3356 41,3,2024-09-07 09:05:21:676,1,322,9,0,366,3361,322,0 42,0,2024-09-07 09:05:21:474,79219,0.9,79274,1.0,158820,1.0,210319,2.75 42,1,2024-09-07 09:05:21:449,564794,564794,0,0,263388299733,2772550799,555267,7769,1758,380,391675,0 42,2,2024-09-07 09:05:21:133,407751,407751,0,0,19704848,0,3790 42,3,2024-09-07 09:05:21:011,1,322,1,0,446,3050,322,0 43,0,2024-09-07 09:05:20:927,81778,1.0,79782,1.1,167128,1.2,218799,2.50 43,1,2024-09-07 09:05:20:579,566005,566005,0,0,264052513521,2763448216,557774,6793,1438,366,391696,0 43,2,2024-09-07 09:05:21:735,403553,403553,0,0,19383796,0,3812 43,3,2024-09-07 09:05:21:749,1,322,1,0,467,4018,322,0 44,0,2024-09-07 09:05:20:875,84316,0.5,84725,0.6,169006,0.4,224820,1.75 44,1,2024-09-07 09:05:20:570,567547,567547,0,0,264241791577,2736922119,562489,4011,1047,356,391809,0 44,2,2024-09-07 09:05:21:267,405378,405378,0,0,16314989,0,4344 44,3,2024-09-07 09:05:21:100,1,322,5,0,817,4415,322,0 45,0,2024-09-07 09:05:21:765,77890,0.5,76050,0.7,159460,0.5,209878,2.00 45,1,2024-09-07 09:05:21:010,566525,566525,0,0,265041109236,2757743314,562092,3957,476,382,391917,0 45,2,2024-09-07 09:05:21:267,410275,410275,0,0,16936918,0,3596 45,3,2024-09-07 09:05:20:941,1,322,3,0,271,3143,322,0 46,0,2024-09-07 09:05:20:950,77279,0.6,77284,0.8,154722,0.7,206071,2.25 46,1,2024-09-07 09:05:20:584,568558,568558,0,0,265620250793,2746411857,564853,3279,426,366,391572,0 46,2,2024-09-07 09:05:20:596,408844,408844,0,0,15954439,0,2920 46,3,2024-09-07 09:05:21:131,1,322,1,0,908,4845,322,0 47,0,2024-09-07 09:05:21:110,83416,0.5,83603,0.7,167411,0.5,221351,2.00 47,1,2024-09-07 09:05:20:571,567908,567908,0,0,264453048578,2739758714,563424,3669,815,366,391641,0 47,2,2024-09-07 09:05:20:913,406332,406332,0,0,16446618,0,4477 47,3,2024-09-07 09:05:21:118,1,322,0,0,529,3674,322,0 48,0,2024-09-07 09:05:21:506,83906,0.3,83356,0.4,166343,0.2,222514,1.50 48,1,2024-09-07 09:05:21:028,567116,567116,0,0,264852913877,2752089228,563563,3251,302,384,391710,0 48,2,2024-09-07 09:05:20:704,404768,404768,0,0,14923862,0,3031 48,3,2024-09-07 09:05:20:753,1,322,9,0,339,2828,322,0 49,0,2024-09-07 09:05:21:713,82516,0.3,81017,0.5,156986,0.3,214965,1.75 49,1,2024-09-07 09:05:21:026,566747,566747,0,0,264386774308,2755268445,562433,3129,1185,382,391809,0 49,2,2024-09-07 09:05:21:797,410823,410823,0,0,15999540,0,4426 49,3,2024-09-07 09:05:21:423,1,322,2,0,408,3446,322,0 50,0,2024-09-07 09:05:21:518,76008,0.3,75093,0.5,151157,0.2,202336,1.75 50,1,2024-09-07 09:05:21:010,568921,568921,0,0,265451978114,2746495971,565106,3441,374,368,391565,0 50,2,2024-09-07 09:05:21:074,408604,408604,0,0,15012028,0,2263 50,3,2024-09-07 09:05:21:292,1,322,1,0,335,3192,322,0 51,0,2024-09-07 09:05:21:685,83447,0.3,81525,0.5,159226,0.2,216940,1.75 51,1,2024-09-07 09:05:21:681,569071,569071,0,0,266204744446,2748621518,565817,2279,975,365,391706,0 51,2,2024-09-07 09:05:21:315,406796,406796,0,0,14543113,0,3337 51,3,2024-09-07 09:05:21:027,1,322,2,0,678,2547,322,0 52,0,2024-09-07 09:05:21:417,82835,0.5,82811,0.7,165402,0.5,220300,2.00 52,1,2024-09-07 09:05:20:587,565974,565974,0,0,263588276329,2764662421,557424,7240,1310,368,391722,0 52,2,2024-09-07 09:05:21:759,401221,401183,38,0,19094998,0,6742 52,3,2024-09-07 09:05:20:686,1,322,0,0,1782,5158,322,0 53,0,2024-09-07 09:05:21:729,81201,0.6,78918,0.8,164954,0.7,216371,2.25 53,1,2024-09-07 09:05:20:775,564737,564737,0,0,263894907189,2773451812,555314,6842,2581,367,391702,0 53,2,2024-09-07 09:05:21:300,409758,409758,0,0,16375283,0,2727 53,3,2024-09-07 09:05:20:734,1,322,6,0,308,3188,322,0 54,0,2024-09-07 09:05:21:617,74620,0.6,75148,0.8,149459,0.4,200230,2.25 54,1,2024-09-07 09:05:20:584,566348,566348,0,0,264852569350,2754864986,560755,4991,602,367,391659,0 54,2,2024-09-07 09:05:20:876,409337,409331,6,0,18953799,0,5382 54,3,2024-09-07 09:05:20:771,1,322,1,0,676,5112,322,0 55,0,2024-09-07 09:05:21:768,77779,0.6,80663,0.7,162313,0.5,212069,2.50 55,1,2024-09-07 09:05:20:771,566163,566163,0,0,264419425925,2755059767,559541,5713,909,365,391731,0 55,2,2024-09-07 09:05:20:728,406937,406937,0,0,18454918,0,3563 55,3,2024-09-07 09:05:20:723,1,322,1,0,304,3640,322,0 56,0,2024-09-07 09:05:21:563,84168,1.3,79350,1.2,163668,1.8,219035,2.75 56,1,2024-09-07 09:05:20:575,563390,563390,0,0,262565525423,2776897644,554662,7098,1630,381,391867,0 56,2,2024-09-07 09:05:21:303,403173,403173,0,0,19323676,0,3567 56,3,2024-09-07 09:05:21:066,1,322,1,0,705,4407,322,0 57,0,2024-09-07 09:05:20:947,82529,1.5,82319,1.2,165114,2.1,220798,3.00 57,1,2024-09-07 09:05:20:989,565257,565257,0,0,263414380511,2764771984,558440,6097,720,366,392032,0 57,2,2024-09-07 09:05:21:315,407239,407239,0,0,21073300,0,3317 57,3,2024-09-07 09:05:21:743,1,322,1,0,359,3892,322,0 58,0,2024-09-07 09:05:20:561,76431,0.9,74361,1.0,155812,1.1,203940,2.50 58,1,2024-09-07 09:05:20:593,566215,566212,0,3,263862281819,2762677430,558095,7035,1082,367,391603,3 58,2,2024-09-07 09:05:21:080,409244,409244,0,0,19361227,0,2549 58,3,2024-09-07 09:05:21:069,1,322,1,0,1043,3385,322,0 59,0,2024-09-07 09:05:21:740,78759,0.8,78415,1.0,156858,0.9,208550,2.75 59,1,2024-09-07 09:05:20:844,566021,566021,0,0,264233094112,2769930523,558162,6339,1520,369,391586,0 59,2,2024-09-07 09:05:20:593,408838,408838,0,0,19058359,0,2867 59,3,2024-09-07 09:05:21:736,1,322,1,0,1015,4262,322,0 60,0,2024-09-07 09:05:21:715,82652,0.6,82777,0.7,166187,0.6,220116,1.75 60,1,2024-09-07 09:05:20:779,567458,567458,0,0,265101269840,2755613820,563358,3455,645,370,392031,0 60,2,2024-09-07 09:05:21:145,403943,403943,0,0,18592489,0,3811 60,3,2024-09-07 09:05:21:258,1,322,1,0,409,3884,322,0 61,0,2024-09-07 09:05:21:502,83899,0.6,84447,0.8,167667,0.6,223692,2.00 61,1,2024-09-07 09:05:20:780,565658,565658,0,0,263839844672,2770707603,558501,5833,1324,382,392127,0 61,2,2024-09-07 09:05:21:126,405653,405586,67,0,18907557,0,6411 61,3,2024-09-07 09:05:21:687,1,322,11,0,479,5017,322,0 62,0,2024-09-07 09:05:21:722,80287,0.5,82068,0.7,156460,0.5,213180,2.00 62,1,2024-09-07 09:05:21:114,568898,568892,0,6,265774631805,2746351943,565317,3316,259,365,391715,6 62,2,2024-09-07 09:05:21:654,409064,409063,1,0,18516457,0,5555 62,3,2024-09-07 09:05:21:153,1,322,15,0,465,2548,322,0 63,0,2024-09-07 09:05:21:508,77250,0.4,77237,0.6,154679,0.4,205796,1.75 63,1,2024-09-07 09:05:20:805,567455,567449,0,6,265646346793,2761045419,563522,3540,387,381,391800,6 63,2,2024-09-07 09:05:20:767,408260,408260,0,0,16843410,0,4369 63,3,2024-09-07 09:05:21:731,1,322,78,0,667,3810,322,0 64,0,2024-09-07 09:05:21:541,81456,0.5,81362,0.7,162760,0.5,216719,2.00 64,1,2024-09-07 09:05:20:761,566849,566849,0,0,264543432605,2760560614,561211,3980,1658,370,391783,0 64,2,2024-09-07 09:05:21:143,409026,409007,19,0,16421471,0,6121 64,3,2024-09-07 09:05:21:144,1,322,1,0,265,3075,322,0 65,0,2024-09-07 09:05:21:687,81919,0.7,82251,0.8,164167,0.7,219114,2.25 65,1,2024-09-07 09:05:20:892,565760,565760,0,0,264500277544,2766228238,561682,3627,451,382,391901,0 65,2,2024-09-07 09:05:21:695,405525,405525,0,0,17922308,0,3367 65,3,2024-09-07 09:05:21:689,1,322,8,0,163,2885,322,0 66,0,2024-09-07 09:05:21:770,81114,0.5,81043,0.7,162278,0.4,215293,2.00 66,1,2024-09-07 09:05:21:294,566899,566899,0,0,265271122549,2759644247,563341,3237,321,380,391653,0 66,2,2024-09-07 09:05:21:136,411612,411612,0,0,16230725,0,4956 66,3,2024-09-07 09:05:21:084,1,322,1,0,291,3154,322,0 67,0,2024-09-07 09:05:21:439,75686,0.5,75026,0.7,150790,0.5,200680,2.00 67,1,2024-09-07 09:05:20:771,567239,567238,0,1,264282930422,2754421752,563073,3414,751,380,391787,1 67,2,2024-09-07 09:05:20:589,409583,409583,0,0,15631904,0,2889 67,3,2024-09-07 09:05:21:752,1,322,1,0,338,2812,322,0 68,0,2024-09-07 09:05:20:578,81341,0.6,80866,0.7,161388,0.6,216214,2.00 68,1,2024-09-07 09:05:20:587,565533,565533,0,0,263345707167,2760822571,560730,3602,1201,381,391953,0 68,2,2024-09-07 09:05:21:053,405479,405414,65,0,20717366,0,6698 68,3,2024-09-07 09:05:20:727,1,322,1,0,417,3570,322,0 69,0,2024-09-07 09:05:21:764,82107,0.9,82537,0.9,164439,1.2,218187,2.25 69,1,2024-09-07 09:05:21:024,563724,563724,0,0,263370354471,2782793655,555752,5862,2110,384,391994,0 69,2,2024-09-07 09:05:21:734,403202,403202,0,0,20604703,0,3722 69,3,2024-09-07 09:05:20:761,1,322,1,0,698,4645,322,0 70,0,2024-09-07 09:05:21:536,82069,0.8,82004,1.0,165067,0.6,218449,2.50 70,1,2024-09-07 09:05:20:805,567034,567034,0,0,264356229605,2747099200,562186,4312,536,366,391725,0 70,2,2024-09-07 09:05:21:334,407296,407296,0,0,18768711,0,4044 70,3,2024-09-07 09:05:20:758,1,322,0,0,854,3689,322,0 71,0,2024-09-07 09:05:21:384,75769,0.9,75305,1.0,151378,1.1,202151,2.75 71,1,2024-09-07 09:05:21:597,566179,566179,0,0,264636139011,2763804844,559613,5910,656,368,391738,0 71,2,2024-09-07 09:05:21:083,409274,409274,0,0,18469066,0,4042 71,3,2024-09-07 09:05:21:752,1,322,1,0,644,4517,322,0 72,0,2024-09-07 09:05:21:034,82468,0.6,80722,0.8,157408,0.7,214402,2.25 72,1,2024-09-07 09:05:21:027,565347,565347,0,0,263902116018,2769146083,557122,6529,1696,369,391819,0 72,2,2024-09-07 09:05:21:755,406427,406427,0,0,21440087,0,3983 72,3,2024-09-07 09:05:21:763,1,322,2,0,564,5249,322,0 73,0,2024-09-07 09:05:21:120,80817,0.5,82807,0.7,169542,0.4,220047,2.00 73,1,2024-09-07 09:05:20:769,566500,566500,0,0,264076881459,2748757799,562184,3986,330,367,391858,0 73,2,2024-09-07 09:05:21:743,403449,403449,0,0,20369844,0,3701 73,3,2024-09-07 09:05:20:973,1,322,1,0,274,4468,322,0 74,0,2024-09-07 09:05:21:327,84780,0.5,86883,0.7,165917,0.4,224570,2.25 74,1,2024-09-07 09:05:20:642,565959,565959,0,0,263855542605,2758393278,559882,4815,1262,381,391681,0 74,2,2024-09-07 09:05:21:002,405761,405761,0,0,19465237,0,4253 74,3,2024-09-07 09:05:21:453,1,322,1,0,522,4594,322,0 75,0,2024-09-07 09:05:21:767,79068,0.5,78726,0.7,157647,0.4,210849,2.25 75,1,2024-09-07 09:05:21:591,565386,565386,0,0,263566785106,2757569899,559036,5525,825,380,391739,0 75,2,2024-09-07 09:05:21:356,409481,409481,0,0,19565415,0,4766 75,3,2024-09-07 09:05:21:067,1,322,1,0,702,4735,322,0 76,0,2024-09-07 09:05:20:666,77531,0.7,76975,0.8,154456,0.6,207169,2.50 76,1,2024-09-07 09:05:20:812,566226,566226,0,0,263691810478,2753604347,562310,3327,589,382,391692,0 76,2,2024-09-07 09:05:21:065,409483,409482,1,0,18871204,0,5144 76,3,2024-09-07 09:05:21:142,1,322,8,0,175,3187,322,0 77,0,2024-09-07 09:05:21:710,83159,0.7,83307,0.8,166517,0.8,221333,2.00 77,1,2024-09-07 09:05:20:831,566093,566093,0,0,263981736873,2762211180,560911,4694,488,381,391869,0 77,2,2024-09-07 09:05:21:285,405083,405083,0,0,18315819,0,3890 77,3,2024-09-07 09:05:21:099,1,322,2,0,401,3738,322,0 78,0,2024-09-07 09:05:21:723,83519,0.5,83144,0.7,166932,0.4,221699,2.00 78,1,2024-09-07 09:05:20:617,566890,566890,0,0,263880754086,2751389988,561031,4714,1145,367,391670,0 78,2,2024-09-07 09:05:21:406,405717,405717,0,0,15929999,0,3855 78,3,2024-09-07 09:05:21:132,1,322,1,0,181,3163,322,0 79,0,2024-09-07 09:05:21:366,77831,0.4,79836,0.6,163115,0.4,212321,2.25 79,1,2024-09-07 09:05:20:578,568199,568199,0,0,264931796241,2746842776,563646,3920,633,369,391682,0 79,2,2024-09-07 09:05:21:067,410776,410776,0,0,16935594,0,4195 79,3,2024-09-07 09:05:20:749,1,322,0,0,418,4262,322,0 80,0,2024-09-07 09:05:21:087,75881,0.5,77938,0.7,149386,0.5,202098,2.00 80,1,2024-09-07 09:05:21:618,566559,566559,0,0,264248890556,2750368048,563215,3167,177,368,391791,0 80,2,2024-09-07 09:05:21:093,409736,409736,0,0,15968449,0,4433 80,3,2024-09-07 09:05:20:586,1,322,1,0,190,3939,322,0 81,0,2024-09-07 09:05:21:561,81238,0.6,83228,0.7,158847,0.5,215673,2.00 81,1,2024-09-07 09:05:21:653,565945,565945,0,0,263687435862,2758416589,561097,4349,499,382,391879,0 81,2,2024-09-07 09:05:21:126,406242,406179,63,0,17909934,0,5932 81,3,2024-09-07 09:05:21:118,1,322,5,0,374,3811,322,0 82,0,2024-09-07 09:05:21:538,82183,0.5,82697,0.7,165596,0.5,219847,2.00 82,1,2024-09-07 09:05:20:590,567673,567669,0,4,265047209556,2761124962,563774,3098,797,381,391768,4 82,2,2024-09-07 09:05:21:694,405541,405541,0,0,15244553,0,3986 82,3,2024-09-07 09:05:21:752,1,322,0,0,363,3394,322,0 83,0,2024-09-07 09:05:21:526,82002,0.5,81787,0.7,162809,0.5,216670,2.00 83,1,2024-09-07 09:05:20:558,566070,566070,0,0,264212704700,2757472168,561763,3927,380,382,391709,0 83,2,2024-09-07 09:05:20:772,408842,408842,0,0,15771275,0,3393 83,3,2024-09-07 09:05:20:755,1,322,1,0,1260,4844,322,0 84,0,2024-09-07 09:05:21:968,75249,0.7,75209,0.9,150494,0.6,201549,2.25 84,1,2024-09-07 09:05:21:040,565873,565873,0,0,263949335328,2760379318,560138,5008,727,367,391967,0 84,2,2024-09-07 09:05:20:579,408934,408934,0,0,19826805,0,4757 84,3,2024-09-07 09:05:21:143,1,322,1,0,908,4987,322,0 85,0,2024-09-07 09:05:21:149,77548,0.7,77530,0.9,164621,0.7,213345,2.50 85,1,2024-09-07 09:05:20:571,564407,564407,0,0,263801022631,2786731074,555901,7137,1369,381,392006,0 85,2,2024-09-07 09:05:20:890,407904,407904,0,0,19652881,0,3656 85,3,2024-09-07 09:05:20:726,1,322,11,0,789,4363,322,0 86,0,2024-09-07 09:05:20:908,82546,0.7,84811,0.8,162416,0.8,219218,2.25 86,1,2024-09-07 09:05:20:823,565983,565983,0,0,263802726582,2764772542,559108,5810,1065,366,391961,0 86,2,2024-09-07 09:05:20:858,402685,402684,1,0,20357560,0,5004 86,3,2024-09-07 09:05:20:596,1,322,1,0,308,4506,322,0 87,0,2024-09-07 09:05:21:287,83231,0.9,83221,0.9,166882,1.2,222575,2.25 87,1,2024-09-07 09:05:20:560,565124,565124,0,0,264200148514,2766104245,558951,5563,610,366,392076,0 87,2,2024-09-07 09:05:21:067,406281,406281,0,0,18644724,0,4045 87,3,2024-09-07 09:05:21:807,1,322,3,0,473,4692,322,0 88,0,2024-09-07 09:05:21:458,77663,0.4,78288,0.6,156057,0.4,206818,2.00 88,1,2024-09-07 09:05:20:587,564203,564203,0,0,264170891983,2772984262,556512,6027,1664,365,392084,0 88,2,2024-09-07 09:05:20:694,410268,410268,0,0,20834274,0,3583 88,3,2024-09-07 09:05:21:268,1,322,16,0,435,3606,322,0 89,0,2024-09-07 09:05:21:786,81492,0.5,78774,0.7,156362,0.4,212222,2.00 89,1,2024-09-07 09:05:20:567,564580,564580,0,0,263284200090,2774355325,556837,6470,1273,382,391866,0 89,2,2024-09-07 09:05:21:164,407402,407402,0,0,19909050,0,2910 89,3,2024-09-07 09:05:21:805,1,322,10,0,385,6059,322,0 90,0,2024-09-07 09:05:21:638,80504,0.5,82489,0.7,168464,0.5,219513,2.00 90,1,2024-09-07 09:05:20:599,565813,565813,0,0,264452879459,2775657472,559627,5687,499,380,391825,0 90,2,2024-09-07 09:05:21:409,402646,402646,0,0,21026200,0,3060 90,3,2024-09-07 09:05:20:936,1,322,1,0,246,3623,322,0 91,0,2024-09-07 09:05:20:955,84320,0.5,81787,0.6,170859,0.5,224279,1.75 91,1,2024-09-07 09:05:20:558,564362,564362,0,0,263642773294,2777539555,556548,6771,1043,381,392047,0 91,2,2024-09-07 09:05:21:334,405581,405581,0,0,18966875,0,2896 91,3,2024-09-07 09:05:20:602,1,322,1,0,216,3099,322,0 92,0,2024-09-07 09:05:21:520,80494,0.5,82587,0.6,157673,0.4,213573,1.75 92,1,2024-09-07 09:05:20:589,565710,565710,0,0,263170042164,2753728349,560905,4119,686,382,392136,0 92,2,2024-09-07 09:05:21:369,411353,411353,0,0,17236791,0,3259 92,3,2024-09-07 09:05:21:012,1,322,1,0,167,2865,322,0 93,0,2024-09-07 09:05:21:058,77651,0.4,79628,0.6,152026,0.4,205932,1.75 93,1,2024-09-07 09:05:20:816,565748,565748,0,0,264252620732,2762943194,559639,5082,1027,366,391776,0 93,2,2024-09-07 09:05:20:944,407618,407618,0,0,20018435,0,4845 93,3,2024-09-07 09:05:21:437,1,322,116,0,190,3179,322,0 94,0,2024-09-07 09:05:21:632,81573,0.4,82304,0.6,164178,0.3,218455,1.75 94,1,2024-09-07 09:05:20:574,566204,566204,0,0,264468883352,2763599691,562174,3840,190,381,391850,0 94,2,2024-09-07 09:05:20:766,405513,405513,0,0,17272866,0,2443 94,3,2024-09-07 09:05:21:706,1,322,14,0,264,4075,322,0 95,0,2024-09-07 09:05:21:404,82586,0.4,82528,0.6,165624,0.3,221037,1.75 95,1,2024-09-07 09:05:20:861,567677,567677,0,0,264685210217,2756647871,562725,4555,397,367,391713,0 95,2,2024-09-07 09:05:21:026,404582,404582,0,0,16989151,0,3308 95,3,2024-09-07 09:05:21:711,1,322,7,0,718,5268,322,0 96,0,2024-09-07 09:05:21:081,81740,0.4,81853,0.5,163263,0.3,216163,1.75 96,1,2024-09-07 09:05:21:588,565823,565823,0,0,264178946410,2759279478,561310,3721,792,384,391955,0 96,2,2024-09-07 09:05:21:268,409903,409903,0,0,16906076,0,4042 96,3,2024-09-07 09:05:21:143,1,322,98,0,411,3650,322,0 97,0,2024-09-07 09:05:21:327,75515,0.3,75223,0.5,150879,0.3,200495,1.75 97,1,2024-09-07 09:05:20:773,568048,568048,0,0,264633817214,2745877594,564180,3196,672,367,392140,0 97,2,2024-09-07 09:05:20:646,409650,409650,0,0,16344859,0,3036 97,3,2024-09-07 09:05:20:596,1,322,1,0,214,3925,322,0 98,0,2024-09-07 09:05:21:736,81157,0.3,81053,0.5,162486,0.2,216300,1.50 98,1,2024-09-07 09:05:20:590,567285,567285,0,0,264621240599,2754222247,564636,2543,106,382,391997,0 98,2,2024-09-07 09:05:20:780,407651,407651,0,0,16299027,0,3080 98,3,2024-09-07 09:05:20:708,1,322,4,0,840,5228,322,0 99,0,2024-09-07 09:05:21:462,82530,0.4,83110,0.5,165484,0.3,220500,1.75 99,1,2024-09-07 09:05:21:725,566794,566794,0,0,264144289475,2752842235,562661,3379,754,381,392069,0 99,2,2024-09-07 09:05:21:427,405375,405375,0,0,18085998,0,3424 99,3,2024-09-07 09:05:20:591,1,322,3,0,199,2984,322,0 100,0,2024-09-07 09:05:21:500,82545,0.7,82471,1.0,165110,0.9,220178,2.50 100,1,2024-09-07 09:05:20:548,563605,563605,0,0,262865216375,2780436903,555152,6959,1494,381,391989,0 100,2,2024-09-07 09:05:21:827,406861,406850,11,0,19089007,0,5417 100,3,2024-09-07 09:05:21:746,1,322,18,0,559,5610,322,0 101,0,2024-09-07 09:05:21:725,77822,1.1,75850,1.0,148594,0.8,203768,2.25 101,1,2024-09-07 09:05:20:567,563852,563852,0,0,262672654562,2769477496,554798,7034,2020,368,391771,0 101,2,2024-09-07 09:05:21:763,407542,407542,0,0,22028555,0,4644 101,3,2024-09-07 09:05:20:943,1,322,1,0,448,3880,322,0 102,0,2024-09-07 09:05:20:949,77901,0.7,80534,0.8,162497,0.8,213372,2.25 102,1,2024-09-07 09:05:21:148,564651,564651,0,0,263679588413,2772416365,557349,6270,1032,369,391891,0 102,2,2024-09-07 09:05:21:750,408858,408804,54,0,18670355,0,6768 102,3,2024-09-07 09:05:21:612,1,322,3,0,410,3553,322,0 103,0,2024-09-07 09:05:21:598,85484,0.7,85530,0.8,161197,0.8,221883,2.00 103,1,2024-09-07 09:05:21:627,563964,563964,0,0,263359507778,2780584368,555226,6743,1995,381,391829,0 103,2,2024-09-07 09:05:20:608,402488,402488,0,0,19178742,0,3173 103,3,2024-09-07 09:05:20:755,1,322,9,0,916,4166,322,0 104,0,2024-09-07 09:05:21:102,83420,0.8,83764,1.0,166411,0.7,223874,2.50 104,1,2024-09-07 09:05:21:627,565231,565231,0,0,263326757062,2773740447,556343,7191,1697,365,392168,0 104,2,2024-09-07 09:05:21:681,404511,404511,0,0,20587986,0,3941 104,3,2024-09-07 09:05:21:433,1,322,2,0,1245,7245,322,0 105,0,2024-09-07 09:05:21:186,78079,0.9,75982,1.1,159061,1.0,209271,3.00 105,1,2024-09-07 09:05:20:568,565641,565641,0,0,263940491448,2773491847,557498,6812,1331,366,391797,0 105,2,2024-09-07 09:05:21:341,409429,409429,0,0,19975345,0,3509 105,3,2024-09-07 09:05:21:309,1,322,8,0,399,5289,322,0 106,0,2024-09-07 09:05:20:942,75115,1.0,77058,1.0,157388,1.1,206654,2.75 106,1,2024-09-07 09:05:21:757,564404,564404,0,0,263577184268,2776217532,554835,8277,1292,369,391914,0 106,2,2024-09-07 09:05:20:764,405911,405911,0,0,19731352,0,2795 106,3,2024-09-07 09:05:20:724,1,322,0,0,470,4288,322,0 107,0,2024-09-07 09:05:21:128,82986,1.2,82918,1.0,165851,1.8,220958,2.25 107,1,2024-09-07 09:05:20:597,563589,563589,0,0,262723469343,2772790002,555632,7043,914,381,392234,0 107,2,2024-09-07 09:05:21:300,403230,403229,1,0,20047052,0,5024 107,3,2024-09-07 09:05:21:761,1,322,0,0,353,4410,322,0 108,0,2024-09-07 09:05:21:772,83081,0.5,83626,0.6,166133,0.4,222313,1.75 108,1,2024-09-07 09:05:21:298,565935,565935,0,0,264409567102,2762087689,560860,4559,516,368,391857,0 108,2,2024-09-07 09:05:21:757,403779,403779,0,0,19207435,0,4246 108,3,2024-09-07 09:05:21:334,1,322,3,0,749,6671,322,0 109,0,2024-09-07 09:05:21:785,80970,0.4,79994,0.6,160359,0.3,214658,1.75 109,1,2024-09-07 09:05:20:603,564076,564076,0,0,264560061918,2778552929,558881,4532,663,383,392132,0 109,2,2024-09-07 09:05:20:931,408267,408267,0,0,18847153,0,3617 109,3,2024-09-07 09:05:21:145,1,322,0,0,379,4010,322,0 110,0,2024-09-07 09:05:21:771,75871,0.4,73924,0.6,154521,0.3,202991,1.75 110,1,2024-09-07 09:05:21:645,567203,567203,0,0,264456041302,2748106619,563207,3022,974,369,392045,0 110,2,2024-09-07 09:05:21:320,407916,407916,0,0,18634151,0,4067 110,3,2024-09-07 09:05:20:723,1,322,7,0,722,5046,322,0 111,0,2024-09-07 09:05:21:427,81709,0.4,81024,0.6,162221,0.4,217119,1.75 111,1,2024-09-07 09:05:21:000,567288,567288,0,0,265667288763,2760130873,563909,3027,352,382,391690,0 111,2,2024-09-07 09:05:21:118,405588,405588,0,0,18008451,0,4823 111,3,2024-09-07 09:05:20:917,1,322,0,0,379,4095,322,0 112,0,2024-09-07 09:05:20:920,83348,0.3,82650,0.4,165836,0.2,220669,1.50 112,1,2024-09-07 09:05:20:823,567410,567410,0,0,264434344167,2745575380,563951,2875,584,380,391624,0 112,2,2024-09-07 09:05:21:142,404589,404588,1,0,16185113,0,5036 112,3,2024-09-07 09:05:20:595,1,322,1,0,282,3403,322,0 113,0,2024-09-07 09:05:20:919,81639,0.3,81662,0.5,163820,0.2,218116,1.50 113,1,2024-09-07 09:05:21:688,569414,569414,0,0,265651502404,2743214342,566136,2694,584,366,391661,0 113,2,2024-09-07 09:05:21:303,410886,410886,0,0,14934514,0,3813 113,3,2024-09-07 09:05:20:727,1,322,1,0,340,4005,322,0 114,0,2024-09-07 09:05:20:879,76117,0.3,76688,0.5,152444,0.2,203651,1.75 114,1,2024-09-07 09:05:20:724,567050,567050,0,0,264465745799,2751149433,561929,3528,1593,381,391556,0 114,2,2024-09-07 09:05:20:880,409836,409835,1,0,16510311,0,5069 114,3,2024-09-07 09:05:21:278,1,322,1,0,395,2952,322,0 115,0,2024-09-07 09:05:20:581,80487,0.3,80954,0.4,161807,0.2,215654,1.50 115,1,2024-09-07 09:05:20:593,566845,566845,0,0,264904879451,2753555499,561988,4017,840,382,391656,0 115,2,2024-09-07 09:05:21:129,409459,409459,0,0,15292398,0,3453 115,3,2024-09-07 09:05:21:009,1,322,0,0,159,1962,322,0 116,0,2024-09-07 09:05:21:812,82210,0.9,82118,1.0,164532,1.1,220119,2.25 116,1,2024-09-07 09:05:20:819,563946,563946,0,0,263358540661,2782434580,556353,5327,2266,380,391782,0 116,2,2024-09-07 09:05:21:754,402659,402659,0,0,20494721,0,3529 116,3,2024-09-07 09:05:20:929,1,322,1,0,415,4175,322,0 117,0,2024-09-07 09:05:20:970,83723,0.8,83216,0.9,166784,0.9,222954,2.00 117,1,2024-09-07 09:05:21:588,565171,565171,0,0,263604099990,2762632747,558906,5597,668,370,392033,0 117,2,2024-09-07 09:05:21:124,409601,409601,0,0,16996803,0,3700 117,3,2024-09-07 09:05:21:065,1,322,23,0,490,4874,322,0 118,0,2024-09-07 09:05:21:792,75515,0.5,77549,0.7,158130,0.5,206098,2.00 118,1,2024-09-07 09:05:20:598,564795,564795,0,0,263505631539,2773908050,556018,6879,1898,366,391907,0 118,2,2024-09-07 09:05:21:589,409351,409351,0,0,18746456,0,2781 118,3,2024-09-07 09:05:21:763,1,322,1,0,235,3516,322,0 119,0,2024-09-07 09:05:21:385,78740,0.7,79180,0.8,158407,0.7,210953,2.00 119,1,2024-09-07 09:05:20:559,566105,566105,0,0,263955155379,2763731572,559546,5723,836,367,391780,0 119,2,2024-09-07 09:05:21:261,408648,408648,0,0,17782662,0,4174 119,3,2024-09-07 09:05:21:327,1,322,1,0,563,4931,322,0 120,0,2024-09-07 09:05:21:586,82357,0.7,82152,0.9,164515,0.7,220002,2.25 120,1,2024-09-07 09:05:20:874,565418,565418,0,0,263040548527,2765172455,559018,5845,555,368,391961,0 120,2,2024-09-07 09:05:20:790,403490,403489,1,0,20820408,0,5281 120,3,2024-09-07 09:05:21:291,1,322,27,0,241,4154,322,0 121,0,2024-09-07 09:05:21:720,83745,1.1,83926,1.0,168309,1.4,224009,2.25 121,1,2024-09-07 09:05:21:656,565944,565944,0,0,264537990959,2771621524,560049,5458,437,367,391840,0 121,2,2024-09-07 09:05:21:136,404285,404285,0,0,20102297,0,4127 121,3,2024-09-07 09:05:20:739,1,322,1,0,269,3832,322,0 122,0,2024-09-07 09:05:21:789,79643,0.8,77613,0.9,162717,0.9,213546,2.00 122,1,2024-09-07 09:05:20:866,564281,564281,0,0,263251385293,2768128936,555828,7192,1261,366,392130,0 122,2,2024-09-07 09:05:21:322,409760,409687,73,0,22642202,0,5989 122,3,2024-09-07 09:05:20:600,1,322,2,0,411,5941,322,0 123,0,2024-09-07 09:05:20:988,76772,0.7,75041,0.8,156564,0.9,205477,2.00 123,1,2024-09-07 09:05:20:558,564367,564367,0,0,263509414422,2784549830,552952,9290,2125,369,392039,0 123,2,2024-09-07 09:05:21:027,406135,406134,1,0,19593795,0,5215 123,3,2024-09-07 09:05:21:136,1,322,2,0,168,3818,322,0 124,0,2024-09-07 09:05:21:007,84425,0.4,84424,0.5,159145,0.3,219209,1.75 124,1,2024-09-07 09:05:21:026,566953,566953,0,0,263919540003,2750498935,562246,3799,908,367,392178,0 124,2,2024-09-07 09:05:21:018,406580,406527,53,0,17540078,0,6487 124,3,2024-09-07 09:05:20:766,1,322,9,0,490,3405,322,0 125,0,2024-09-07 09:05:21:472,83010,0.4,82535,0.6,166189,0.4,221320,1.75 125,1,2024-09-07 09:05:20:858,565592,565592,0,0,264598884330,2766884047,560717,4233,642,383,391702,0 125,2,2024-09-07 09:05:21:129,404787,404787,0,0,18128357,0,4534 125,3,2024-09-07 09:05:21:150,1,322,1,0,709,4564,322,0 126,0,2024-09-07 09:05:21:427,81485,0.4,83795,0.6,160276,0.4,216990,1.75 126,1,2024-09-07 09:05:20:566,567596,567596,0,0,265118083124,2751177378,563868,3445,283,365,391987,0 126,2,2024-09-07 09:05:20:616,410276,410276,0,0,18234602,0,4539 126,3,2024-09-07 09:05:20:914,1,322,0,0,207,4070,322,0 127,0,2024-09-07 09:05:21:598,75195,0.3,75607,0.5,150705,0.3,200500,1.75 127,1,2024-09-07 09:05:20:578,566812,566812,0,0,264510464095,2751920793,561419,4533,860,365,392187,0 127,2,2024-09-07 09:05:20:643,408203,408203,0,0,16797539,0,3897 127,3,2024-09-07 09:05:21:267,1,322,2,0,968,3966,322,0 128,0,2024-09-07 09:05:21:566,81307,0.3,81435,0.5,162720,0.2,216626,1.50 128,1,2024-09-07 09:05:21:616,566738,566738,0,0,264680326160,2751657652,562647,3722,369,367,391798,0 128,2,2024-09-07 09:05:21:389,408330,408330,0,0,15667346,0,2915 128,3,2024-09-07 09:05:20:789,1,322,13,0,1082,5849,322,0 129,0,2024-09-07 09:05:21:030,83146,0.3,82808,0.5,165999,0.3,220590,1.75 129,1,2024-09-07 09:05:20:571,563852,563852,0,0,263496567399,2762907189,558211,4385,1256,379,391835,0 129,2,2024-09-07 09:05:20:725,404791,404791,0,0,16400387,0,4031 129,3,2024-09-07 09:05:20:716,1,322,3,0,469,4404,322,0 130,0,2024-09-07 09:05:21:740,83359,0.5,82961,0.6,166666,0.5,221469,1.75 130,1,2024-09-07 09:05:20:588,567161,567161,0,0,264778416740,2755607388,563753,3129,279,381,391825,0 130,2,2024-09-07 09:05:21:125,409483,409483,0,0,16240496,0,4067 130,3,2024-09-07 09:05:21:291,1,322,2,0,450,4084,322,0 131,0,2024-09-07 09:05:21:996,76031,0.3,76549,0.5,153817,0.3,203500,1.75 131,1,2024-09-07 09:05:21:830,566656,566656,0,0,264634339603,2764127462,562211,3700,745,381,391865,0 131,2,2024-09-07 09:05:20:578,411990,411990,0,0,15187483,0,2415 131,3,2024-09-07 09:05:21:696,1,322,1,0,392,3444,322,0 132,0,2024-09-07 09:05:21:502,79786,0.5,80521,0.6,160747,0.4,214075,2.00 132,1,2024-09-07 09:05:20:580,564245,564245,0,0,262852318658,2772264836,555613,7167,1465,381,392097,0 132,2,2024-09-07 09:05:20:721,407715,407715,0,0,20083939,0,4606 132,3,2024-09-07 09:05:21:700,1,322,2,0,804,6119,322,0 133,0,2024-09-07 09:05:21:531,80944,0.5,82658,0.6,169737,0.5,220466,2.00 133,1,2024-09-07 09:05:20:590,564314,564314,0,0,263681356570,2783079747,556149,7083,1082,383,391914,0 133,2,2024-09-07 09:05:21:089,402505,402505,0,0,21143235,0,4315 133,3,2024-09-07 09:05:21:299,1,322,2,0,479,3527,322,0 134,0,2024-09-07 09:05:20:953,84508,0.5,84423,0.7,168881,0.5,225055,2.00 134,1,2024-09-07 09:05:20:591,564390,564390,0,0,263059208675,2766660330,556137,6397,1856,366,391718,0 134,2,2024-09-07 09:05:21:766,405591,405591,0,0,17707776,0,3847 134,3,2024-09-07 09:05:20:749,1,322,0,0,739,4268,322,0 135,0,2024-09-07 09:05:21:114,76347,0.7,76332,0.8,161937,0.7,208942,2.00 135,1,2024-09-07 09:05:21:588,564983,564983,0,0,264342674595,2785935664,556637,7111,1235,380,391805,0 135,2,2024-09-07 09:05:20:697,410809,410809,0,0,19285579,0,3981 135,3,2024-09-07 09:05:21:001,1,322,1,0,299,2582,322,0 136,0,2024-09-07 09:05:21:617,78268,0.6,78366,0.8,155991,0.6,208503,2.25 136,1,2024-09-07 09:05:21:455,564875,564875,0,0,263073222193,2766888751,557871,6309,695,382,391685,0 136,2,2024-09-07 09:05:21:143,408368,408368,0,0,19223848,0,3506 136,3,2024-09-07 09:05:21:120,1,322,3,0,637,3834,322,0 137,0,2024-09-07 09:05:20:944,85712,0.7,83424,0.8,163671,0.8,222380,2.00 137,1,2024-09-07 09:05:20:587,564227,564227,0,0,263533909491,2772110800,554655,7692,1880,366,391708,0 137,2,2024-09-07 09:05:21:713,403753,403753,0,0,21458633,0,3185 137,3,2024-09-07 09:05:20:771,1,322,0,0,382,3970,322,0 138,0,2024-09-07 09:05:21:759,82653,1.4,82764,1.1,166190,1.9,221257,2.25 138,1,2024-09-07 09:05:21:689,565077,565077,0,0,264647737093,2783934718,556043,7439,1595,368,391954,0 138,2,2024-09-07 09:05:20:601,404581,404581,0,0,19199737,0,4988 138,3,2024-09-07 09:05:20:614,1,322,4,0,1160,4748,322,0 139,0,2024-09-07 09:05:21:381,79415,1.3,79728,1.1,159661,1.9,212836,2.25 139,1,2024-09-07 09:05:20:585,562629,562629,0,0,262348986559,2793387140,551281,8704,2644,381,391892,0 139,2,2024-09-07 09:05:20:708,407517,407517,0,0,20876679,0,3097 139,3,2024-09-07 09:05:21:663,1,322,3,0,257,3649,322,0 140,0,2024-09-07 09:05:21:597,76226,0.3,75765,0.5,152270,0.2,203257,1.75 140,1,2024-09-07 09:05:21:545,568427,568427,0,0,265468388552,2741330291,565225,2764,438,365,391606,0 140,2,2024-09-07 09:05:20:724,408474,408473,1,0,17369852,0,5036 140,3,2024-09-07 09:05:20:771,1,322,1,0,247,2716,322,0 141,0,2024-09-07 09:05:21:717,81410,0.4,83713,0.5,159920,0.3,217170,1.75 141,1,2024-09-07 09:05:20:861,567632,567632,0,0,264915978250,2756561991,563071,3699,862,379,391614,0 141,2,2024-09-07 09:05:21:686,406450,406450,0,0,16889338,0,3360 141,3,2024-09-07 09:05:21:042,1,322,7,0,391,3836,322,0 142,0,2024-09-07 09:05:21:381,83444,0.4,82604,0.5,165041,0.3,220940,1.75 142,1,2024-09-07 09:05:20:592,566895,566895,0,0,263967282222,2756493342,562936,3609,350,382,392102,0 142,2,2024-09-07 09:05:21:302,403752,403720,32,0,18279879,0,6028 142,3,2024-09-07 09:05:21:746,1,322,4,0,484,4106,322,0 143,0,2024-09-07 09:05:21:390,81956,0.4,81782,0.6,164102,0.4,218205,1.75 143,1,2024-09-07 09:05:20:558,567105,567105,0,0,264811351391,2751297907,563337,3619,149,367,391705,0 143,2,2024-09-07 09:05:20:774,408904,408904,0,0,17677651,0,3123 143,3,2024-09-07 09:05:21:160,1,322,14,0,303,3911,322,0 144,0,2024-09-07 09:05:21:531,73381,0.5,75482,0.8,153693,0.5,201820,2.00 144,1,2024-09-07 09:05:20:590,564582,564582,0,0,262997871485,2760134547,559734,3908,940,381,391649,0 144,2,2024-09-07 09:05:21:758,410011,410011,0,0,15842479,0,3473 144,3,2024-09-07 09:05:21:747,1,322,1,0,249,3372,322,0 145,0,2024-09-07 09:05:21:387,77868,0.6,77800,0.8,165108,0.6,213216,2.25 145,1,2024-09-07 09:05:20:563,563963,563963,0,0,263762717155,2776581196,556670,6153,1140,382,391759,0 145,2,2024-09-07 09:05:21:449,406523,406523,0,0,19280992,0,3903 145,3,2024-09-07 09:05:20:900,1,322,1,0,622,5071,322,0 146,0,2024-09-07 09:05:21:661,82613,0.6,81884,0.8,165166,0.6,218917,2.25 146,1,2024-09-07 09:05:21:611,565418,565418,0,0,263447122833,2775625340,555731,7677,2010,368,391770,0 146,2,2024-09-07 09:05:21:697,402721,402721,0,0,19157271,0,2730 146,3,2024-09-07 09:05:21:275,1,322,11,0,1520,6969,322,0 147,0,2024-09-07 09:05:21:698,83772,0.6,83444,0.8,166219,0.6,222570,2.25 147,1,2024-09-07 09:05:21:372,567004,567004,0,0,264903996363,2761029710,561350,4854,800,368,391791,0 147,2,2024-09-07 09:05:21:012,407598,407598,0,0,17066579,0,2789 147,3,2024-09-07 09:05:20:917,1,322,62,0,730,4907,322,0 0,0,2024-09-07 09:05:31:755,80537,0.7,80525,0.8,170997,0.8,220686,2.00 0,1,2024-09-07 09:05:30:801,567777,567777,0,0,264796392728,2771519467,563657,3877,243,370,391896,0 0,2,2024-09-07 09:05:31:070,405319,405319,0,0,16642020,0,4480 0,3,2024-09-07 09:05:30:983,1,323,1,0,431,4536,323,0 1,0,2024-09-07 09:05:31:757,84370,0.8,83736,0.9,168382,1.0,224944,2.00 1,1,2024-09-07 09:05:30:575,566754,566754,0,0,264119362267,2768908020,561167,4361,1226,370,391857,0 1,2,2024-09-07 09:05:30:640,405892,405892,0,0,16168358,0,3267 1,3,2024-09-07 09:05:31:302,1,323,1,0,262,3891,323,0 2,0,2024-09-07 09:05:31:572,80087,0.6,80482,0.8,159584,0.7,214005,2.00 2,1,2024-09-07 09:05:30:859,568727,568727,0,0,265548697680,2759574952,565833,2601,293,380,391745,0 2,2,2024-09-07 09:05:31:273,411957,411957,0,0,16198014,0,3594 2,3,2024-09-07 09:05:30:690,1,323,1,0,357,3256,323,0 3,0,2024-09-07 09:05:31:756,77279,0.4,77274,0.6,154506,0.4,205759,2.00 3,1,2024-09-07 09:05:31:631,567613,567613,0,0,264507984253,2757540324,562516,4474,623,380,391591,0 3,2,2024-09-07 09:05:31:148,409893,409870,23,0,16595891,0,5851 3,3,2024-09-07 09:05:31:751,1,323,207,0,207,2188,323,0 4,0,2024-09-07 09:05:31:814,79980,0.4,82418,0.5,167318,0.4,220020,1.75 4,1,2024-09-07 09:05:30:620,566366,566366,0,0,264336653116,2786085723,559060,5950,1356,371,391992,0 4,2,2024-09-07 09:05:31:038,404572,404572,0,0,19289223,0,4528 4,3,2024-09-07 09:05:31:027,1,323,3,0,448,4653,323,0 5,0,2024-09-07 09:05:31:404,83067,0.5,83353,0.6,166296,0.5,221506,1.75 5,1,2024-09-07 09:05:30:758,566884,566884,0,0,264398957793,2783416070,559725,5803,1356,367,392005,0 5,2,2024-09-07 09:05:31:834,404723,404723,0,0,18305314,0,2432 5,3,2024-09-07 09:05:31:751,1,323,2,0,457,4843,323,0 6,0,2024-09-07 09:05:30:928,81971,0.5,81427,0.6,162820,0.4,217184,2.00 6,1,2024-09-07 09:05:30:747,566784,566784,0,0,265063577694,2772240818,560429,5279,1076,379,391694,0 6,2,2024-09-07 09:05:31:117,410829,410829,0,0,17672060,0,4816 6,3,2024-09-07 09:05:31:275,1,323,1,0,340,3687,323,0 7,0,2024-09-07 09:05:31:566,75235,0.5,75464,0.6,150474,0.4,200345,2.00 7,1,2024-09-07 09:05:30:850,566111,566111,0,0,264636687291,2782150699,558044,6891,1176,382,391747,0 7,2,2024-09-07 09:05:30:770,409631,409631,0,0,17740904,0,4791 7,3,2024-09-07 09:05:30:852,1,323,0,0,398,3823,323,0 8,0,2024-09-07 09:05:31:372,81453,0.4,81345,0.5,163022,0.3,217394,1.75 8,1,2024-09-07 09:05:31:028,565230,565230,0,0,264165405542,2787048280,555085,7868,2277,366,392144,0 8,2,2024-09-07 09:05:30:791,404217,404217,0,0,22046830,0,3220 8,3,2024-09-07 09:05:30:588,1,323,3,0,538,5687,323,0 9,0,2024-09-07 09:05:31:171,82938,0.4,80609,0.6,168639,0.4,220803,1.75 9,1,2024-09-07 09:05:30:555,566209,566209,0,0,264354184260,2792080878,557166,6919,2124,369,392001,0 9,2,2024-09-07 09:05:31:089,404549,404549,0,0,19366046,0,3360 9,3,2024-09-07 09:05:31:752,1,323,1,0,496,4929,323,0 10,0,2024-09-07 09:05:31:602,83117,0.3,82462,0.5,165628,0.2,220428,1.75 10,1,2024-09-07 09:05:30:586,566958,566958,0,0,264691835574,2776997514,559077,6772,1109,381,391741,0 10,2,2024-09-07 09:05:30:770,409344,409344,0,0,21091335,0,4264 10,3,2024-09-07 09:05:30:878,1,323,0,0,296,2979,323,0 11,0,2024-09-07 09:05:31:008,75906,0.4,73639,0.6,154103,0.4,203777,1.75 11,1,2024-09-07 09:05:30:571,566793,566793,0,0,264878708148,2787445998,556783,7562,2448,383,391664,0 11,2,2024-09-07 09:05:31:138,410292,410292,0,0,19115418,0,4130 11,3,2024-09-07 09:05:31:301,1,323,5,0,843,5169,323,0 12,0,2024-09-07 09:05:30:957,80965,0.4,80810,0.5,161773,0.4,215577,1.75 12,1,2024-09-07 09:05:30:943,567407,567407,0,0,264169104510,2759145221,562557,4360,490,370,391870,0 12,2,2024-09-07 09:05:31:544,409571,409571,0,0,18769759,0,3469 12,3,2024-09-07 09:05:31:059,1,323,0,0,386,5414,323,0 13,0,2024-09-07 09:05:31:332,83635,0.5,83251,0.6,166471,0.5,221633,1.75 13,1,2024-09-07 09:05:31:525,566545,566545,0,0,264593394106,2786778787,560647,4489,1409,382,391740,0 13,2,2024-09-07 09:05:30:595,405902,405902,0,0,17092057,0,3287 13,3,2024-09-07 09:05:31:770,1,323,1,0,522,5398,323,0 14,0,2024-09-07 09:05:30:566,84705,0.4,85382,0.6,168889,0.4,224988,1.75 14,1,2024-09-07 09:05:31:563,570695,570695,0,0,266102616589,2760128785,566010,4326,359,364,391571,0 14,2,2024-09-07 09:05:30:771,406881,406851,30,0,18892785,0,6104 14,3,2024-09-07 09:05:31:116,1,323,0,0,1168,4065,323,0 15,0,2024-09-07 09:05:31:564,79030,0.4,79104,0.6,158195,0.4,210507,2.00 15,1,2024-09-07 09:05:31:607,568109,568109,0,0,265310557513,2766264734,563772,3516,821,381,391619,0 15,2,2024-09-07 09:05:30:998,413193,413193,0,0,15521199,0,3622 15,3,2024-09-07 09:05:31:405,1,323,1,0,1126,6152,323,0 16,0,2024-09-07 09:05:30:965,78337,0.6,78660,0.8,156807,0.6,209346,2.25 16,1,2024-09-07 09:05:30:574,568180,568180,0,0,265233082207,2771184528,563897,3923,360,370,391756,0 16,2,2024-09-07 09:05:31:436,409379,409379,0,0,17678270,0,4719 16,3,2024-09-07 09:05:31:154,1,323,9,0,317,4615,323,0 17,0,2024-09-07 09:05:31:769,85732,0.7,83782,0.8,163617,0.8,222118,2.00 17,1,2024-09-07 09:05:30:583,566996,566996,0,0,264118095800,2775274368,560767,4972,1257,368,391899,0 17,2,2024-09-07 09:05:31:671,407604,407604,0,0,17255900,0,2857 17,3,2024-09-07 09:05:30:576,1,323,1,0,298,5248,323,0 18,0,2024-09-07 09:05:30:942,82579,0.7,82943,0.8,165374,0.7,220952,2.25 18,1,2024-09-07 09:05:31:638,568841,568841,0,0,265360764807,2752565379,565507,3023,311,367,391649,0 18,2,2024-09-07 09:05:31:755,408058,408058,0,0,16073655,0,3541 18,3,2024-09-07 09:05:30:897,1,323,20,0,163,2826,323,0 19,0,2024-09-07 09:05:31:541,80094,0.6,80747,0.8,159842,0.6,213085,2.25 19,1,2024-09-07 09:05:30:567,568831,568831,0,0,266161848897,2764073392,563713,4279,839,367,391777,0 19,2,2024-09-07 09:05:31:755,413050,413050,0,0,14818872,0,3988 19,3,2024-09-07 09:05:31:131,1,323,0,0,524,2546,323,0 20,0,2024-09-07 09:05:31:349,76133,0.6,76148,0.7,152377,0.5,203882,2.00 20,1,2024-09-07 09:05:30:568,566883,566883,0,0,264696060143,2767418374,562558,3921,404,369,391886,0 20,2,2024-09-07 09:05:30:943,409670,409670,0,0,17680300,0,3721 20,3,2024-09-07 09:05:30:594,1,323,1,0,414,5239,323,0 21,0,2024-09-07 09:05:31:171,81641,0.5,81802,0.7,163246,0.5,216780,2.00 21,1,2024-09-07 09:05:31:565,566027,566027,0,0,263994980885,2784162148,558154,5996,1877,368,392016,0 21,2,2024-09-07 09:05:31:074,405389,405389,0,0,20798492,0,3747 21,3,2024-09-07 09:05:31:406,1,323,1,0,713,3941,323,0 22,0,2024-09-07 09:05:31:722,82563,0.6,82960,0.7,165921,0.6,219947,2.25 22,1,2024-09-07 09:05:31:028,567030,567030,0,0,264493066791,2783009693,558542,6669,1819,382,391667,0 22,2,2024-09-07 09:05:30:759,404475,404475,0,0,16919653,0,3134 22,3,2024-09-07 09:05:31:068,1,323,0,0,228,2828,323,0 23,0,2024-09-07 09:05:31:384,81494,0.5,81409,0.7,163101,0.5,217280,2.25 23,1,2024-09-07 09:05:31:008,566641,566641,0,0,264990169415,2787297889,556150,7333,3158,365,391690,0 23,2,2024-09-07 09:05:31:094,411200,411200,0,0,16628167,0,3010 23,3,2024-09-07 09:05:31:756,1,323,1,0,645,3982,323,0 24,0,2024-09-07 09:05:30:940,76540,0.4,76407,0.6,153421,0.4,203948,1.75 24,1,2024-09-07 09:05:30:610,565999,565999,0,0,264113055115,2775451267,559115,5357,1527,368,392269,0 24,2,2024-09-07 09:05:31:077,408810,408810,0,0,20827868,0,3607 24,3,2024-09-07 09:05:31:698,1,323,1,0,468,4462,323,0 25,0,2024-09-07 09:05:31:412,83092,0.4,81047,0.6,158952,0.4,217136,2.00 25,1,2024-09-07 09:05:30:573,567135,567135,0,0,264886875553,2783503733,559456,6311,1368,371,391928,0 25,2,2024-09-07 09:05:31:620,408029,408029,0,0,20834046,0,3978 25,3,2024-09-07 09:05:31:011,1,323,4,0,255,3286,323,0 26,0,2024-09-07 09:05:31:732,82373,0.4,80476,0.6,168983,0.4,219754,2.00 26,1,2024-09-07 09:05:31:544,567654,567654,0,0,264136808026,2772967060,558806,7312,1536,380,391748,0 26,2,2024-09-07 09:05:30:861,405180,405180,0,0,20448280,0,2809 26,3,2024-09-07 09:05:31:712,1,323,1,0,796,4340,323,0 27,0,2024-09-07 09:05:31:724,83978,0.5,84047,0.6,166587,0.4,222596,2.00 27,1,2024-09-07 09:05:31:676,569254,569254,0,0,266193774884,2774538635,563989,4500,765,381,391626,0 27,2,2024-09-07 09:05:30:876,406050,405985,65,0,20339404,0,5699 27,3,2024-09-07 09:05:31:027,1,323,2,0,564,3613,323,0 28,0,2024-09-07 09:05:31:402,77951,0.4,77671,0.6,155615,0.3,207174,2.00 28,1,2024-09-07 09:05:30:796,568325,568325,0,0,265451846043,2769514261,563948,3675,702,383,391698,0 28,2,2024-09-07 09:05:31:770,410941,410941,0,0,18047734,0,2915 28,3,2024-09-07 09:05:31:781,1,323,13,0,502,3510,323,0 29,0,2024-09-07 09:05:31:392,81668,0.4,79615,0.6,155996,0.3,213301,1.75 29,1,2024-09-07 09:05:31:561,569817,569817,0,0,266323908430,2761480642,565481,3653,683,369,391753,0 29,2,2024-09-07 09:05:30:870,408277,408277,0,0,17392295,0,4986 29,3,2024-09-07 09:05:30:964,1,323,5,0,459,4005,323,0 30,0,2024-09-07 09:05:31:486,82654,0.6,80227,0.8,167973,0.5,219670,2.00 30,1,2024-09-07 09:05:30:583,569186,569186,0,0,265345065264,2759878117,564368,4108,710,382,391672,0 30,2,2024-09-07 09:05:31:279,405004,405004,0,0,16291783,0,3161 30,3,2024-09-07 09:05:30:587,1,323,7,0,519,3385,323,0 31,0,2024-09-07 09:05:31:763,83894,0.4,84185,0.6,168476,0.4,224627,2.00 31,1,2024-09-07 09:05:30:570,571594,571594,0,0,266281185203,2734133138,569053,1983,558,356,391712,0 31,2,2024-09-07 09:05:31:276,406597,406597,0,0,18114602,0,3525 31,3,2024-09-07 09:05:31:711,1,323,7,0,220,2849,323,0 32,0,2024-09-07 09:05:31:417,80091,0.3,80473,0.5,160860,0.2,214328,1.75 32,1,2024-09-07 09:05:30:804,568937,568937,0,0,265247378720,2755769051,565868,2624,445,381,391646,0 32,2,2024-09-07 09:05:30:941,412305,412305,0,0,15142743,0,3155 32,3,2024-09-07 09:05:31:024,1,323,1,0,227,2414,323,0 33,0,2024-09-07 09:05:31:497,77577,0.3,77174,0.4,154850,0.2,206489,1.75 33,1,2024-09-07 09:05:30:576,569741,569741,0,0,266777268163,2764465024,565331,3579,831,369,391730,0 33,2,2024-09-07 09:05:30:758,410698,410663,35,0,17267751,0,7012 33,3,2024-09-07 09:05:30:898,1,323,1,0,329,3524,323,0 34,0,2024-09-07 09:05:30:937,82686,0.3,85103,0.5,162670,0.2,219334,1.75 34,1,2024-09-07 09:05:31:044,570658,570658,0,0,266905749257,2744744010,569241,1409,8,367,391562,0 34,2,2024-09-07 09:05:30:768,406637,406637,0,0,16447030,0,3577 34,3,2024-09-07 09:05:31:691,1,323,1,0,299,2430,323,0 35,0,2024-09-07 09:05:30:865,82382,0.4,82777,0.5,166443,0.3,222037,1.75 35,1,2024-09-07 09:05:31:070,569224,569224,0,0,265374437499,2752017477,565620,2823,781,382,391589,0 35,2,2024-09-07 09:05:31:590,406224,406224,0,0,16434292,0,2653 35,3,2024-09-07 09:05:30:908,1,323,1,0,418,4210,323,0 36,0,2024-09-07 09:05:31:527,81858,0.5,81859,0.7,163679,0.4,216865,2.00 36,1,2024-09-07 09:05:30:585,568482,568482,0,0,264775716176,2768752230,561255,5569,1658,366,391759,0 36,2,2024-09-07 09:05:31:755,411350,411350,0,0,18749304,0,3875 36,3,2024-09-07 09:05:30:864,1,323,1,0,416,5250,323,0 37,0,2024-09-07 09:05:31:441,75059,0.5,75088,0.7,150352,0.4,201156,2.00 37,1,2024-09-07 09:05:30:570,567228,567221,0,7,265120585815,2772978691,560451,4685,2085,365,391570,0 37,2,2024-09-07 09:05:31:142,408225,408210,15,0,18386202,0,5815 37,3,2024-09-07 09:05:31:769,1,323,1,0,888,5490,323,0 38,0,2024-09-07 09:05:31:443,80824,0.5,78489,0.6,164351,0.4,215364,2.00 38,1,2024-09-07 09:05:31:623,569026,569026,0,0,264811391123,2759727924,562990,5278,758,368,391821,0 38,2,2024-09-07 09:05:30:774,407440,407393,47,0,18990622,0,6710 38,3,2024-09-07 09:05:30:997,1,323,1,0,689,4592,323,0 39,0,2024-09-07 09:05:31:793,84365,0.6,82619,0.8,160695,0.7,219660,2.00 39,1,2024-09-07 09:05:30:718,567469,567469,0,0,264840390836,2773549217,559108,6901,1460,365,391594,0 39,2,2024-09-07 09:05:31:417,405295,405295,0,0,16939897,0,2689 39,3,2024-09-07 09:05:30:713,1,323,1,0,324,4195,323,0 40,0,2024-09-07 09:05:31:495,82098,0.8,82809,1.0,165361,0.8,220093,2.75 40,1,2024-09-07 09:05:30:578,568143,568143,0,0,264202879350,2770319371,559592,7041,1510,368,391668,0 40,2,2024-09-07 09:05:31:307,408582,408581,1,0,20441161,0,5137 40,3,2024-09-07 09:05:31:147,1,323,0,0,1028,4969,323,0 41,0,2024-09-07 09:05:31:051,75781,1.5,77641,1.3,148221,2.4,201734,3.25 41,1,2024-09-07 09:05:30:775,567159,567159,0,0,264795865482,2773481283,560109,6347,703,370,391742,0 41,2,2024-09-07 09:05:30:758,408947,408947,0,0,19614091,0,3356 41,3,2024-09-07 09:05:31:676,1,323,3,0,366,3364,323,0 42,0,2024-09-07 09:05:31:531,79718,0.9,79741,1.0,159852,1.0,211659,2.75 42,1,2024-09-07 09:05:31:439,566560,566560,0,0,264189861522,2780709427,557033,7769,1758,380,391675,0 42,2,2024-09-07 09:05:31:132,409151,409151,0,0,19717307,0,3790 42,3,2024-09-07 09:05:31:011,1,323,1,0,446,3051,323,0 43,0,2024-09-07 09:05:30:927,81879,1.0,79872,1.1,167354,1.2,219109,2.50 43,1,2024-09-07 09:05:30:576,567699,567699,0,0,265108325669,2774098228,559468,6793,1438,366,391696,0 43,2,2024-09-07 09:05:31:739,404832,404832,0,0,19394589,0,3812 43,3,2024-09-07 09:05:31:754,1,323,1,0,467,4019,323,0 44,0,2024-09-07 09:05:30:885,84424,0.5,84839,0.6,169236,0.4,225075,1.75 44,1,2024-09-07 09:05:30:593,569339,569339,0,0,264993102248,2744530271,564281,4011,1047,356,391809,0 44,2,2024-09-07 09:05:31:274,406650,406650,0,0,16340428,0,4344 44,3,2024-09-07 09:05:31:093,1,323,2,0,817,4417,323,0 45,0,2024-09-07 09:05:31:767,78087,0.5,76261,0.7,159846,0.5,210397,2.00 45,1,2024-09-07 09:05:31:008,568269,568269,0,0,265884601400,2766345229,563836,3957,476,382,391917,0 45,2,2024-09-07 09:05:31:268,411713,411713,0,0,16955988,0,3596 45,3,2024-09-07 09:05:30:941,1,323,45,0,271,3188,323,0 46,0,2024-09-07 09:05:30:947,77718,0.6,77738,0.8,155609,0.6,207236,2.25 46,1,2024-09-07 09:05:30:575,570289,570289,0,0,266322017420,2753573892,566583,3280,426,366,391572,0 46,2,2024-09-07 09:05:30:597,410297,410297,0,0,15974186,0,2920 46,3,2024-09-07 09:05:31:131,1,323,1,0,908,4846,323,0 47,0,2024-09-07 09:05:31:109,83553,0.5,83754,0.7,167737,0.5,221631,2.00 47,1,2024-09-07 09:05:30:570,569684,569684,0,0,265419247723,2749524045,565199,3670,815,366,391641,0 47,2,2024-09-07 09:05:30:910,407211,407211,0,0,16460826,0,4477 47,3,2024-09-07 09:05:31:116,1,323,10,0,529,3684,323,0 48,0,2024-09-07 09:05:31:492,84161,0.3,83659,0.4,166954,0.2,223280,1.50 48,1,2024-09-07 09:05:31:028,568936,568936,0,0,265787044104,2761686482,565383,3251,302,384,391710,0 48,2,2024-09-07 09:05:30:711,406223,406223,0,0,14955426,0,3031 48,3,2024-09-07 09:05:30:755,1,323,2,0,339,2830,323,0 49,0,2024-09-07 09:05:31:714,82756,0.3,81230,0.5,157378,0.3,215546,1.75 49,1,2024-09-07 09:05:31:028,568436,568436,0,0,265371348081,2765301295,564121,3130,1185,382,391809,0 49,2,2024-09-07 09:05:31:798,412350,412350,0,0,16042470,0,4426 49,3,2024-09-07 09:05:31:416,1,323,1,0,408,3447,323,0 50,0,2024-09-07 09:05:31:523,76495,0.3,75562,0.5,152090,0.2,203586,1.75 50,1,2024-09-07 09:05:31:010,570639,570639,0,0,266421349397,2756536563,566823,3442,374,368,391565,0 50,2,2024-09-07 09:05:31:069,409916,409916,0,0,15039279,0,2263 50,3,2024-09-07 09:05:31:291,1,323,27,0,335,3219,323,0 51,0,2024-09-07 09:05:31:709,83736,0.3,81825,0.5,159772,0.2,217645,1.75 51,1,2024-09-07 09:05:31:682,570866,570866,0,0,267358327318,2760293632,567611,2280,975,365,391706,0 51,2,2024-09-07 09:05:31:324,407631,407631,0,0,14557600,0,3337 51,3,2024-09-07 09:05:31:034,1,323,1,0,678,2548,323,0 52,0,2024-09-07 09:05:31:414,83191,0.5,83132,0.7,166028,0.4,221225,2.00 52,1,2024-09-07 09:05:30:576,567745,567745,0,0,264483692913,2773750010,559194,7241,1310,368,391722,0 52,2,2024-09-07 09:05:31:758,402738,402700,38,0,19115304,0,6742 52,3,2024-09-07 09:05:30:678,1,323,0,0,1782,5158,323,0 53,0,2024-09-07 09:05:31:737,81375,0.6,79095,0.8,165314,0.7,216849,2.25 53,1,2024-09-07 09:05:30:772,566447,566447,0,0,264650135549,2781151794,557024,6842,2581,367,391702,0 53,2,2024-09-07 09:05:31:302,411301,411301,0,0,16392966,0,2727 53,3,2024-09-07 09:05:30:697,1,323,1,0,308,3189,323,0 54,0,2024-09-07 09:05:31:633,74953,0.6,75495,0.8,150127,0.4,201148,2.25 54,1,2024-09-07 09:05:30:585,568093,568093,0,0,265881490364,2765266478,562500,4991,602,367,391659,0 54,2,2024-09-07 09:05:30:865,410500,410494,6,0,18970064,0,5382 54,3,2024-09-07 09:05:30:769,1,323,5,0,676,5117,323,0 55,0,2024-09-07 09:05:31:761,78222,0.6,81056,0.7,163193,0.5,213204,2.50 55,1,2024-09-07 09:05:30:768,567973,567973,0,0,265373673602,2764735941,561351,5713,909,365,391731,0 55,2,2024-09-07 09:05:30:729,408128,408128,0,0,18469752,0,3563 55,3,2024-09-07 09:05:30:678,1,323,1,0,304,3641,323,0 56,0,2024-09-07 09:05:31:590,84310,1.3,79463,1.2,163907,1.8,219359,2.75 56,1,2024-09-07 09:05:30:571,565193,565193,0,0,263554253879,2786945216,556465,7098,1630,381,391867,0 56,2,2024-09-07 09:05:31:303,404663,404663,0,0,19336928,0,3567 56,3,2024-09-07 09:05:31:069,1,323,1,0,705,4408,323,0 57,0,2024-09-07 09:05:30:954,82645,1.5,82439,1.2,165359,2.1,221115,3.00 57,1,2024-09-07 09:05:30:986,566961,566961,0,0,264090729650,2771687690,560144,6097,720,366,392032,0 57,2,2024-09-07 09:05:31:335,408616,408616,0,0,21086227,0,3317 57,3,2024-09-07 09:05:31:742,1,323,1,0,359,3893,323,0 58,0,2024-09-07 09:05:30:555,76446,0.9,74376,1.0,155838,1.1,203940,2.50 58,1,2024-09-07 09:05:30:584,567988,567985,0,3,264805600817,2772238857,559868,7035,1082,367,391603,3 58,2,2024-09-07 09:05:31:071,410480,410480,0,0,19369878,0,2549 58,3,2024-09-07 09:05:31:068,1,323,0,0,1043,3385,323,0 59,0,2024-09-07 09:05:31:749,79147,0.8,78773,1.0,157547,0.9,209468,2.75 59,1,2024-09-07 09:05:30:810,567770,567770,0,0,265119551799,2778905456,559911,6339,1520,369,391586,0 59,2,2024-09-07 09:05:30:582,410235,410235,0,0,19068474,0,2867 59,3,2024-09-07 09:05:31:737,1,323,1,0,1015,4263,323,0 60,0,2024-09-07 09:05:31:708,82741,0.6,82865,0.7,166357,0.6,220333,1.75 60,1,2024-09-07 09:05:30:783,569252,569252,0,0,265945744286,2764280719,565150,3457,645,370,392031,0 60,2,2024-09-07 09:05:31:151,405066,405066,0,0,18610976,0,3811 60,3,2024-09-07 09:05:31:276,1,323,1,0,409,3885,323,0 61,0,2024-09-07 09:05:31:510,84127,0.6,84666,0.8,168097,0.6,224283,2.00 61,1,2024-09-07 09:05:30:781,567334,567334,0,0,264576451273,2778253075,560176,5834,1324,382,392127,0 61,2,2024-09-07 09:05:31:116,406843,406776,67,0,18936902,0,6411 61,3,2024-09-07 09:05:31:690,1,323,1,0,479,5018,323,0 62,0,2024-09-07 09:05:31:713,80604,0.5,82408,0.7,157046,0.5,214048,2.00 62,1,2024-09-07 09:05:31:115,570679,570673,0,6,266670237733,2755417627,567098,3316,259,365,391715,6 62,2,2024-09-07 09:05:31:644,410453,410452,1,0,18544045,0,5555 62,3,2024-09-07 09:05:31:148,1,323,0,0,465,2548,323,0 63,0,2024-09-07 09:05:31:454,77531,0.4,77496,0.6,155228,0.4,206481,1.75 63,1,2024-09-07 09:05:30:804,569197,569191,0,6,266653259189,2771258359,565263,3541,387,381,391800,6 63,2,2024-09-07 09:05:30:768,409723,409723,0,0,16867778,0,4369 63,3,2024-09-07 09:05:31:737,1,323,1,0,667,3811,323,0 64,0,2024-09-07 09:05:31:576,82012,0.5,81903,0.7,163868,0.5,218455,2.00 64,1,2024-09-07 09:05:30:759,568669,568669,0,0,265382082523,2769097731,563031,3980,1658,370,391783,0 64,2,2024-09-07 09:05:31:159,409700,409681,19,0,16433018,0,6121 64,3,2024-09-07 09:05:31:141,1,323,1,0,265,3076,323,0 65,0,2024-09-07 09:05:31:702,82222,0.7,82554,0.8,164795,0.7,220001,2.25 65,1,2024-09-07 09:05:30:859,567559,567559,0,0,265496892975,2776457350,563480,3628,451,382,391901,0 65,2,2024-09-07 09:05:31:694,407042,407042,0,0,17977284,0,3367 65,3,2024-09-07 09:05:31:687,1,323,1,0,163,2886,323,0 66,0,2024-09-07 09:05:31:776,81280,0.5,81183,0.7,162594,0.4,215714,2.00 66,1,2024-09-07 09:05:31:299,568661,568661,0,0,265963738297,2766884241,565103,3237,321,380,391653,0 66,2,2024-09-07 09:05:31:135,413230,413230,0,0,16272281,0,4956 66,3,2024-09-07 09:05:31:091,1,323,28,0,291,3182,323,0 67,0,2024-09-07 09:05:31:441,75922,0.5,75266,0.7,151284,0.5,201342,2.00 67,1,2024-09-07 09:05:30:796,568923,568922,0,1,265185491749,2763613730,564757,3414,751,380,391787,1 67,2,2024-09-07 09:05:30:585,410636,410636,0,0,15676696,0,2889 67,3,2024-09-07 09:05:31:751,1,323,1,0,338,2813,323,0 68,0,2024-09-07 09:05:30:592,81724,0.6,81309,0.7,162178,0.6,217246,2.00 68,1,2024-09-07 09:05:30:577,567259,567259,0,0,264172130406,2769271141,562456,3602,1201,381,391953,0 68,2,2024-09-07 09:05:31:049,406535,406470,65,0,20728322,0,6698 68,3,2024-09-07 09:05:30:728,1,323,13,0,417,3583,323,0 69,0,2024-09-07 09:05:31:728,82301,0.9,82684,0.9,164763,1.2,218641,2.25 69,1,2024-09-07 09:05:31:027,565399,565399,0,0,264051543922,2789865524,557426,5863,2110,384,391994,0 69,2,2024-09-07 09:05:31:749,404733,404733,0,0,20632499,0,3722 69,3,2024-09-07 09:05:30:761,1,323,29,0,698,4674,323,0 70,0,2024-09-07 09:05:31:532,82167,0.8,82098,1.0,165249,0.6,218694,2.50 70,1,2024-09-07 09:05:30:802,568719,568719,0,0,265213347766,2755798278,563871,4312,536,366,391725,0 70,2,2024-09-07 09:05:31:331,408618,408618,0,0,18786863,0,4044 70,3,2024-09-07 09:05:30:744,1,323,1,0,854,3690,323,0 71,0,2024-09-07 09:05:31:384,75888,0.9,75424,1.0,151606,1.1,202476,2.75 71,1,2024-09-07 09:05:31:606,567921,567921,0,0,265240285835,2769988197,561355,5910,656,368,391738,0 71,2,2024-09-07 09:05:31:069,410402,410402,0,0,18484345,0,4042 71,3,2024-09-07 09:05:31:756,1,323,2,0,644,4519,323,0 72,0,2024-09-07 09:05:31:037,83009,0.6,81199,0.8,158339,0.6,215806,2.25 72,1,2024-09-07 09:05:31:035,567039,567039,0,0,264974474543,2780015234,558812,6530,1697,369,391819,0 72,2,2024-09-07 09:05:31:781,407857,407857,0,0,21451259,0,3983 72,3,2024-09-07 09:05:31:754,1,323,16,0,564,5265,323,0 73,0,2024-09-07 09:05:31:132,80944,0.5,82912,0.7,169787,0.4,220326,2.00 73,1,2024-09-07 09:05:30:774,568279,568279,0,0,264956487242,2757668774,563963,3986,330,367,391858,0 73,2,2024-09-07 09:05:31:746,404683,404683,0,0,20379756,0,3701 73,3,2024-09-07 09:05:30:969,1,323,1,0,274,4469,323,0 74,0,2024-09-07 09:05:31:329,84887,0.5,87002,0.7,166104,0.4,224819,2.25 74,1,2024-09-07 09:05:30:661,567738,567738,0,0,264903925039,2769014109,561661,4815,1262,381,391681,0 74,2,2024-09-07 09:05:31:011,407123,407123,0,0,19476554,0,4253 74,3,2024-09-07 09:05:31:451,1,323,1,0,522,4595,323,0 75,0,2024-09-07 09:05:31:766,79270,0.5,78924,0.7,158056,0.4,211359,2.25 75,1,2024-09-07 09:05:31:586,567205,567205,0,0,264323132958,2765267066,560855,5525,825,380,391739,0 75,2,2024-09-07 09:05:31:376,410914,410914,0,0,19577075,0,4766 75,3,2024-09-07 09:05:31:068,1,323,0,0,702,4735,323,0 76,0,2024-09-07 09:05:30:611,77976,0.7,77398,0.8,155297,0.6,208355,2.50 76,1,2024-09-07 09:05:30:823,567967,567967,0,0,264649069290,2763355607,564050,3328,589,382,391692,0 76,2,2024-09-07 09:05:31:061,410782,410781,1,0,18901382,0,5144 76,3,2024-09-07 09:05:31:153,1,323,5,0,175,3192,323,0 77,0,2024-09-07 09:05:31:702,83287,0.7,83469,0.8,166819,0.8,221620,2.00 77,1,2024-09-07 09:05:30:835,567831,567831,0,0,264640870461,2769023688,562649,4694,488,381,391869,0 77,2,2024-09-07 09:05:31:303,405887,405887,0,0,18332359,0,3890 77,3,2024-09-07 09:05:31:115,1,323,1,0,401,3739,323,0 78,0,2024-09-07 09:05:31:742,83820,0.5,83404,0.7,167527,0.4,222462,2.00 78,1,2024-09-07 09:05:30:620,568670,568670,0,0,264676343352,2759564826,562811,4714,1145,367,391670,0 78,2,2024-09-07 09:05:31:406,407126,407126,0,0,15964558,0,3855 78,3,2024-09-07 09:05:31:133,1,323,1,0,181,3164,323,0 79,0,2024-09-07 09:05:31:349,78054,0.4,80060,0.6,163554,0.4,212910,2.25 79,1,2024-09-07 09:05:30:576,569951,569951,0,0,265687461974,2754521894,565398,3920,633,369,391682,0 79,2,2024-09-07 09:05:31:069,412410,412410,0,0,16981687,0,4195 79,3,2024-09-07 09:05:30:749,1,323,1,0,418,4263,323,0 80,0,2024-09-07 09:05:31:102,76315,0.5,78398,0.7,150262,0.5,203311,2.00 80,1,2024-09-07 09:05:31:638,568306,568306,0,0,265025202994,2758382463,564960,3168,178,368,391791,0 80,2,2024-09-07 09:05:31:099,411116,411116,0,0,16015342,0,4433 80,3,2024-09-07 09:05:30:576,1,323,1,0,190,3940,323,0 81,0,2024-09-07 09:05:31:537,81497,0.6,83496,0.7,159388,0.5,216350,2.00 81,1,2024-09-07 09:05:31:653,567710,567710,0,0,264526927346,2767046977,562861,4350,499,382,391879,0 81,2,2024-09-07 09:05:31:129,407046,406983,63,0,17924305,0,5932 81,3,2024-09-07 09:05:31:123,1,323,1,0,374,3812,323,0 82,0,2024-09-07 09:05:31:532,82529,0.5,83057,0.7,166326,0.5,220763,2.00 82,1,2024-09-07 09:05:30:585,569418,569414,0,4,265986235733,2770753208,565519,3098,797,381,391768,4 82,2,2024-09-07 09:05:31:703,407035,407035,0,0,15279473,0,3986 82,3,2024-09-07 09:05:31:754,1,323,0,0,363,3394,323,0 83,0,2024-09-07 09:05:31:538,82177,0.5,81964,0.7,163185,0.5,217141,2.00 83,1,2024-09-07 09:05:30:551,567831,567831,0,0,265159093320,2767161796,563524,3927,380,382,391709,0 83,2,2024-09-07 09:05:30:772,410343,410343,0,0,15802055,0,3393 83,3,2024-09-07 09:05:30:748,1,323,6,0,1260,4850,323,0 84,0,2024-09-07 09:05:31:838,75558,0.7,75556,0.8,151138,0.6,202455,2.25 84,1,2024-09-07 09:05:31:061,567704,567704,0,0,264683338234,2767944991,561969,5008,727,367,391967,0 84,2,2024-09-07 09:05:30:589,409998,409998,0,0,19847940,0,4757 84,3,2024-09-07 09:05:31:150,1,323,8,0,908,4995,323,0 85,0,2024-09-07 09:05:31:123,77943,0.7,77968,0.9,165533,0.7,214506,2.50 85,1,2024-09-07 09:05:30:582,566168,566168,0,0,264589649760,2794840679,557662,7137,1369,381,392006,0 85,2,2024-09-07 09:05:30:876,409036,409036,0,0,19671934,0,3656 85,3,2024-09-07 09:05:30:697,1,323,5,0,789,4368,323,0 86,0,2024-09-07 09:05:30:893,82642,0.7,84958,0.8,162650,0.8,219524,2.25 86,1,2024-09-07 09:05:30:827,567724,567724,0,0,264663476653,2773545087,560847,5812,1065,366,391961,0 86,2,2024-09-07 09:05:30:854,404041,404040,1,0,20385459,0,5004 86,3,2024-09-07 09:05:30:586,1,323,2,0,308,4508,323,0 87,0,2024-09-07 09:05:31:293,83364,0.9,83340,0.9,167139,1.2,222907,2.25 87,1,2024-09-07 09:05:30:580,566886,566886,0,0,265043522455,2774749401,560713,5563,610,366,392076,0 87,2,2024-09-07 09:05:31:069,407730,407730,0,0,18662960,0,4045 87,3,2024-09-07 09:05:31:798,1,323,0,0,473,4692,323,0 88,0,2024-09-07 09:05:31:472,77681,0.4,78303,0.6,156088,0.4,206818,2.00 88,1,2024-09-07 09:05:30:580,565933,565933,0,0,264972812367,2781156339,558241,6028,1664,365,392084,0 88,2,2024-09-07 09:05:30:691,411460,411460,0,0,20846143,0,3583 88,3,2024-09-07 09:05:31:270,1,323,2,0,435,3608,323,0 89,0,2024-09-07 09:05:31:828,81859,0.5,79102,0.7,157037,0.4,213161,1.75 89,1,2024-09-07 09:05:30:566,566263,566263,0,0,264058845190,2782294052,558519,6471,1273,382,391866,0 89,2,2024-09-07 09:05:31:157,408745,408745,0,0,19924319,0,2910 89,3,2024-09-07 09:05:31:810,1,323,3,0,385,6062,323,0 90,0,2024-09-07 09:05:31:625,80607,0.5,82577,0.7,168639,0.5,219754,2.00 90,1,2024-09-07 09:05:30:595,567547,567547,0,0,265246041209,2783729838,561361,5687,499,380,391825,0 90,2,2024-09-07 09:05:31:406,403766,403766,0,0,21036477,0,3060 90,3,2024-09-07 09:05:30:940,1,323,0,0,246,3623,323,0 91,0,2024-09-07 09:05:30:935,84558,0.5,81985,0.6,171312,0.5,224875,1.75 91,1,2024-09-07 09:05:30:556,566106,566106,0,0,264484274363,2786105966,558292,6771,1043,381,392047,0 91,2,2024-09-07 09:05:31:332,406846,406846,0,0,18978073,0,2896 91,3,2024-09-07 09:05:30:598,1,323,3,0,216,3102,323,0 92,0,2024-09-07 09:05:31:576,80822,0.4,82927,0.6,158350,0.4,214457,1.75 92,1,2024-09-07 09:05:30:641,567485,567485,0,0,264257605165,2764911746,562680,4119,686,382,392136,0 92,2,2024-09-07 09:05:31:415,412783,412783,0,0,17275510,0,3259 92,3,2024-09-07 09:05:31:025,1,323,1,0,167,2866,323,0 93,0,2024-09-07 09:05:31:142,77926,0.4,79942,0.6,152608,0.4,206631,1.75 93,1,2024-09-07 09:05:30:839,567419,567419,0,0,265183018829,2772427285,561310,5082,1027,366,391776,0 93,2,2024-09-07 09:05:30:931,409042,409042,0,0,20067049,0,4845 93,3,2024-09-07 09:05:31:407,1,323,21,0,190,3200,323,0 94,0,2024-09-07 09:05:31:626,82116,0.4,82859,0.6,165222,0.3,220024,1.75 94,1,2024-09-07 09:05:30:568,567988,567988,0,0,265337120311,2772542531,563958,3840,190,381,391850,0 94,2,2024-09-07 09:05:30:761,406224,406224,0,0,17283347,0,2443 94,3,2024-09-07 09:05:31:727,1,323,6,0,264,4081,323,0 95,0,2024-09-07 09:05:31:348,82892,0.4,82853,0.6,166275,0.3,221886,1.75 95,1,2024-09-07 09:05:30:851,569448,569448,0,0,265652468210,2766481905,564494,4557,397,367,391713,0 95,2,2024-09-07 09:05:31:017,406079,406079,0,0,17025852,0,3308 95,3,2024-09-07 09:05:31:723,1,323,9,0,718,5277,323,0 96,0,2024-09-07 09:05:31:045,81899,0.4,82020,0.5,163584,0.3,216575,1.75 96,1,2024-09-07 09:05:31:685,567507,567507,0,0,264877809066,2766540708,562992,3722,793,384,391955,0 96,2,2024-09-07 09:05:31:306,411450,411450,0,0,16949010,0,4042 96,3,2024-09-07 09:05:31:149,1,323,0,0,411,3650,323,0 97,0,2024-09-07 09:05:31:337,75767,0.3,75437,0.5,151355,0.3,201128,1.75 97,1,2024-09-07 09:05:30:770,569769,569769,0,0,265808652317,2757813427,565901,3196,672,367,392140,0 97,2,2024-09-07 09:05:30:630,410731,410731,0,0,16375024,0,3036 97,3,2024-09-07 09:05:30:576,1,323,42,0,214,3967,323,0 98,0,2024-09-07 09:05:31:696,81540,0.3,81409,0.5,163274,0.2,217266,1.50 98,1,2024-09-07 09:05:30:571,568956,568956,0,0,265339294937,2761617600,566307,2543,106,382,391997,0 98,2,2024-09-07 09:05:30:774,408727,408727,0,0,16319376,0,3080 98,3,2024-09-07 09:05:30:705,1,323,1,0,840,5229,323,0 99,0,2024-09-07 09:05:31:445,82697,0.4,83280,0.5,165863,0.3,220950,1.75 99,1,2024-09-07 09:05:31:728,568428,568428,0,0,264727372504,2758905059,564295,3379,754,381,392069,0 99,2,2024-09-07 09:05:31:417,406807,406807,0,0,18156152,0,3424 99,3,2024-09-07 09:05:30:589,1,323,2,0,199,2986,323,0 100,0,2024-09-07 09:05:31:561,82649,0.7,82584,1.0,165299,0.9,220428,2.50 100,1,2024-09-07 09:05:30:597,565373,565373,0,0,263852204979,2790584975,556919,6960,1494,381,391989,0 100,2,2024-09-07 09:05:31:836,408120,408109,11,0,19126316,0,5417 100,3,2024-09-07 09:05:31:744,1,323,2,0,559,5612,323,0 101,0,2024-09-07 09:05:31:762,77943,1.1,75968,1.0,148837,0.8,204095,2.25 101,1,2024-09-07 09:05:30:592,565527,565527,0,0,263657030485,2779571495,556471,7036,2020,368,391771,0 101,2,2024-09-07 09:05:31:808,408632,408632,0,0,22063496,0,4644 101,3,2024-09-07 09:05:31:000,1,323,1,0,448,3881,323,0 102,0,2024-09-07 09:05:30:951,78357,0.7,81045,0.8,163458,0.8,214728,2.25 102,1,2024-09-07 09:05:31:147,566447,566447,0,0,264471836813,2780521065,559145,6270,1032,369,391891,0 102,2,2024-09-07 09:05:31:755,410216,410162,54,0,18689808,0,6768 102,3,2024-09-07 09:05:31:630,1,323,2,0,410,3555,323,0 103,0,2024-09-07 09:05:31:587,85610,0.7,85643,0.8,161406,0.8,222188,2.00 103,1,2024-09-07 09:05:31:625,565730,565730,0,0,264384104812,2790998369,556992,6743,1995,381,391829,0 103,2,2024-09-07 09:05:30:592,403782,403782,0,0,19198858,0,3173 103,3,2024-09-07 09:05:30:756,1,323,4,0,916,4170,323,0 104,0,2024-09-07 09:05:31:232,83511,0.8,83861,1.0,166629,0.7,224116,2.25 104,1,2024-09-07 09:05:31:634,566981,566981,0,0,264147697843,2782129743,558093,7191,1697,365,392168,0 104,2,2024-09-07 09:05:31:707,405795,405795,0,0,20601666,0,3941 104,3,2024-09-07 09:05:31:422,1,323,41,0,1245,7286,323,0 105,0,2024-09-07 09:05:31:096,78297,0.9,76189,1.1,159492,1.0,209782,3.00 105,1,2024-09-07 09:05:30:574,567381,567381,0,0,264901130086,2783245576,559237,6812,1332,366,391797,0 105,2,2024-09-07 09:05:31:324,410879,410879,0,0,19989870,0,3509 105,3,2024-09-07 09:05:31:324,1,323,2,0,399,5291,323,0 106,0,2024-09-07 09:05:30:941,75555,0.9,77457,1.0,158216,1.1,207842,2.75 106,1,2024-09-07 09:05:31:752,566222,566222,0,0,264541402739,2786000238,556652,8278,1292,369,391914,0 106,2,2024-09-07 09:05:30:756,407393,407393,0,0,19745083,0,2795 106,3,2024-09-07 09:05:30:680,1,323,1,0,470,4289,323,0 107,0,2024-09-07 09:05:31:098,83121,1.2,83076,1.0,166112,1.8,221246,2.25 107,1,2024-09-07 09:05:30:585,565391,565391,0,0,263633012324,2782077475,557433,7044,914,381,392234,0 107,2,2024-09-07 09:05:31:292,404012,404011,1,0,20052820,0,5024 107,3,2024-09-07 09:05:31:757,1,323,2,0,353,4412,323,0 108,0,2024-09-07 09:05:31:777,83366,0.5,83921,0.6,166704,0.4,223074,1.75 108,1,2024-09-07 09:05:31:294,567756,567756,0,0,265481834145,2773006699,562680,4560,516,368,391857,0 108,2,2024-09-07 09:05:31:757,405237,405237,0,0,19251035,0,4246 108,3,2024-09-07 09:05:31:330,1,323,0,0,749,6671,323,0 109,0,2024-09-07 09:05:31:774,81196,0.4,80189,0.6,160783,0.3,215259,1.75 109,1,2024-09-07 09:05:30:588,565863,565863,0,0,265529708338,2788501945,560668,4532,663,383,392132,0 109,2,2024-09-07 09:05:30:924,409780,409780,0,0,18889032,0,3617 109,3,2024-09-07 09:05:31:139,1,323,1,0,379,4011,323,0 110,0,2024-09-07 09:05:31:806,76330,0.4,74368,0.6,155437,0.3,204220,1.75 110,1,2024-09-07 09:05:31:653,568897,568897,0,0,265140220144,2755100545,564901,3022,974,369,392045,0 110,2,2024-09-07 09:05:31:302,409433,409433,0,0,18665178,0,4067 110,3,2024-09-07 09:05:30:693,1,323,1,0,722,5047,323,0 111,0,2024-09-07 09:05:31:413,81986,0.4,81287,0.6,162829,0.4,217830,1.75 111,1,2024-09-07 09:05:31:000,569067,569067,0,0,266784933635,2771493948,565688,3027,352,382,391690,0 111,2,2024-09-07 09:05:31:117,406473,406473,0,0,18026879,0,4823 111,3,2024-09-07 09:05:30:920,1,323,1,0,379,4096,323,0 112,0,2024-09-07 09:05:30:912,83701,0.3,82959,0.4,166478,0.2,221619,1.50 112,1,2024-09-07 09:05:30:824,569132,569132,0,0,265220966493,2753605229,565672,2876,584,380,391624,0 112,2,2024-09-07 09:05:31:132,406110,406109,1,0,16230190,0,5036 112,3,2024-09-07 09:05:30:596,1,323,0,0,282,3403,323,0 113,0,2024-09-07 09:05:30:866,81818,0.3,81850,0.5,164187,0.2,218592,1.50 113,1,2024-09-07 09:05:31:686,571118,571118,0,0,266375315787,2750581049,567840,2694,584,366,391661,0 113,2,2024-09-07 09:05:31:303,412279,412279,0,0,14974277,0,3813 113,3,2024-09-07 09:05:30:703,1,323,1,0,340,4006,323,0 114,0,2024-09-07 09:05:30:880,76430,0.3,77014,0.5,153069,0.2,204613,1.75 114,1,2024-09-07 09:05:30:717,568840,568840,0,0,265386913197,2760542331,563719,3528,1593,381,391556,0 114,2,2024-09-07 09:05:30:873,410968,410967,1,0,16546692,0,5069 114,3,2024-09-07 09:05:31:279,1,323,0,0,395,2952,323,0 115,0,2024-09-07 09:05:30:553,80927,0.3,81381,0.4,162686,0.2,216839,1.50 115,1,2024-09-07 09:05:30:571,568544,568544,0,0,265798596374,2762665124,563687,4017,840,382,391656,0 115,2,2024-09-07 09:05:31:130,410587,410587,0,0,15317551,0,3453 115,3,2024-09-07 09:05:31:009,1,323,1,0,159,1963,323,0 116,0,2024-09-07 09:05:31:764,82329,0.9,82231,1.0,164741,1.1,220431,2.25 116,1,2024-09-07 09:05:30:810,565675,565675,0,0,264094730147,2790042530,558082,5327,2266,380,391782,0 116,2,2024-09-07 09:05:31:780,404082,404082,0,0,20512457,0,3529 116,3,2024-09-07 09:05:30:918,1,323,1,0,415,4176,323,0 117,0,2024-09-07 09:05:30:988,83854,0.8,83327,0.9,167032,0.9,223286,2.00 117,1,2024-09-07 09:05:31:618,566891,566891,0,0,264296925497,2769741043,560624,5599,668,370,392033,0 117,2,2024-09-07 09:05:31:130,410959,410959,0,0,17022634,0,3700 117,3,2024-09-07 09:05:31:074,1,323,1,0,490,4875,323,0 118,0,2024-09-07 09:05:31:767,75532,0.5,77566,0.7,158152,0.5,206098,2.00 118,1,2024-09-07 09:05:30:586,566561,566561,0,0,264452395652,2783536567,557784,6879,1898,366,391907,0 118,2,2024-09-07 09:05:31:598,410474,410474,0,0,18764104,0,2781 118,3,2024-09-07 09:05:31:764,1,323,1,0,235,3517,323,0 119,0,2024-09-07 09:05:31:336,79087,0.7,79526,0.8,159116,0.7,211848,2.00 119,1,2024-09-07 09:05:30:565,567890,567890,0,0,265186157046,2776185095,561331,5723,836,367,391780,0 119,2,2024-09-07 09:05:31:262,409942,409942,0,0,17800755,0,4174 119,3,2024-09-07 09:05:31:325,1,323,1,0,563,4932,323,0 120,0,2024-09-07 09:05:31:609,82449,0.7,82251,0.9,164726,0.7,220247,2.25 120,1,2024-09-07 09:05:30:868,567191,567191,0,0,264077622488,2775732034,560790,5846,555,368,391961,0 120,2,2024-09-07 09:05:30:788,404636,404635,1,0,20831759,0,5281 120,3,2024-09-07 09:05:31:296,1,323,12,0,241,4166,323,0 121,0,2024-09-07 09:05:31:791,83983,1.0,84126,1.0,168777,1.4,224587,2.25 121,1,2024-09-07 09:05:31:689,567610,567610,0,0,265325675604,2779678631,561714,5459,437,367,391840,0 121,2,2024-09-07 09:05:31:132,405508,405508,0,0,20116550,0,4127 121,3,2024-09-07 09:05:30:944,1,323,9,0,269,3841,323,0 122,0,2024-09-07 09:05:31:764,79980,0.8,77963,0.9,163363,0.9,214424,2.00 122,1,2024-09-07 09:05:30:859,566052,566052,0,0,264152890911,2777291377,557598,7193,1261,366,392130,0 122,2,2024-09-07 09:05:31:318,411304,411231,73,0,22660145,0,5989 122,3,2024-09-07 09:05:30:598,1,323,2,0,411,5943,323,0 123,0,2024-09-07 09:05:30:956,77036,0.7,75305,0.8,157124,0.9,206189,2.00 123,1,2024-09-07 09:05:30:561,566118,566118,0,0,264112000964,2790729559,554702,9291,2125,369,392039,0 123,2,2024-09-07 09:05:31:030,407601,407600,1,0,19608583,0,5215 123,3,2024-09-07 09:05:31:137,1,323,2,0,168,3820,323,0 124,0,2024-09-07 09:05:30:965,84999,0.4,85015,0.5,160185,0.3,220710,1.75 124,1,2024-09-07 09:05:31:034,568650,568650,0,0,265075696352,2762264505,563943,3799,908,367,392178,0 124,2,2024-09-07 09:05:31:016,407312,407259,53,0,17550336,0,6487 124,3,2024-09-07 09:05:30:758,1,323,1,0,490,3406,323,0 125,0,2024-09-07 09:05:31:426,83321,0.4,82848,0.6,166838,0.4,222164,1.75 125,1,2024-09-07 09:05:30:855,567387,567387,0,0,265462206083,2775754780,562511,4233,643,383,391702,0 125,2,2024-09-07 09:05:31:117,406302,406302,0,0,18161373,0,4534 125,3,2024-09-07 09:05:31:134,1,323,0,0,709,4564,323,0 126,0,2024-09-07 09:05:31:465,81642,0.4,83957,0.6,160569,0.4,217410,1.75 126,1,2024-09-07 09:05:30:553,569327,569327,0,0,265884200097,2759017101,565599,3445,283,365,391987,0 126,2,2024-09-07 09:05:30:618,411854,411854,0,0,18280968,0,4539 126,3,2024-09-07 09:05:30:911,1,323,1,0,207,4071,323,0 127,0,2024-09-07 09:05:31:606,75450,0.3,75856,0.5,151161,0.3,201142,1.75 127,1,2024-09-07 09:05:30:571,568503,568503,0,0,265162833390,2758611873,563110,4533,860,365,392187,0 127,2,2024-09-07 09:05:30:637,409363,409363,0,0,16818299,0,3897 127,3,2024-09-07 09:05:31:274,1,323,4,0,968,3970,323,0 128,0,2024-09-07 09:05:31:527,81719,0.3,81780,0.5,163523,0.2,217636,1.50 128,1,2024-09-07 09:05:31:607,568390,568390,0,0,265244573232,2757484877,564297,3724,369,367,391798,0 128,2,2024-09-07 09:05:31:390,409350,409350,0,0,15701556,0,2915 128,3,2024-09-07 09:05:30:778,1,323,0,0,1082,5849,323,0 129,0,2024-09-07 09:05:30:998,83319,0.3,82983,0.5,166318,0.3,221034,1.75 129,1,2024-09-07 09:05:30:571,565581,565581,0,0,264455003474,2772765281,559940,4385,1256,379,391835,0 129,2,2024-09-07 09:05:30:687,406258,406258,0,0,16447789,0,4031 129,3,2024-09-07 09:05:30:701,1,323,0,0,469,4404,323,0 130,0,2024-09-07 09:05:31:721,83468,0.5,83054,0.6,166872,0.5,221727,1.75 130,1,2024-09-07 09:05:30:585,568859,568859,0,0,265795767626,2766112005,565451,3129,279,381,391825,0 130,2,2024-09-07 09:05:31:130,410765,410765,0,0,16266702,0,4067 130,3,2024-09-07 09:05:31:304,1,323,4,0,450,4088,323,0 131,0,2024-09-07 09:05:31:923,76140,0.3,76670,0.5,154038,0.3,203820,1.75 131,1,2024-09-07 09:05:31:835,568529,568529,0,0,265646723715,2774585667,564083,3701,745,381,391865,0 131,2,2024-09-07 09:05:30:567,413047,413047,0,0,15212148,0,2415 131,3,2024-09-07 09:05:31:690,1,323,1,0,392,3445,323,0 132,0,2024-09-07 09:05:31:450,80313,0.5,81041,0.6,161689,0.4,215427,2.00 132,1,2024-09-07 09:05:30:577,565924,565924,0,0,263601518992,2779949543,557292,7167,1465,381,392097,0 132,2,2024-09-07 09:05:30:703,409074,409074,0,0,20114185,0,4606 132,3,2024-09-07 09:05:31:689,1,323,1,0,804,6120,323,0 133,0,2024-09-07 09:05:31:561,81054,0.5,82780,0.6,169964,0.5,220771,2.00 133,1,2024-09-07 09:05:30:584,566070,566070,0,0,264431786182,2790819734,557905,7083,1082,383,391914,0 133,2,2024-09-07 09:05:31:089,403767,403767,0,0,21163903,0,4315 133,3,2024-09-07 09:05:31:305,1,323,2,0,479,3529,323,0 134,0,2024-09-07 09:05:30:947,84602,0.5,84541,0.7,169113,0.5,225314,2.00 134,1,2024-09-07 09:05:30:586,566073,566073,0,0,263981957524,2776035841,557820,6397,1856,366,391718,0 134,2,2024-09-07 09:05:31:757,406822,406822,0,0,17724644,0,3847 134,3,2024-09-07 09:05:30:749,1,323,2,0,739,4270,323,0 135,0,2024-09-07 09:05:31:106,76542,0.7,76535,0.8,162335,0.7,209473,2.00 135,1,2024-09-07 09:05:31:596,566764,566764,0,0,265067020590,2793370742,558418,7111,1235,380,391805,0 135,2,2024-09-07 09:05:30:697,412343,412343,0,0,19316594,0,3981 135,3,2024-09-07 09:05:31:002,1,323,1,0,299,2583,323,0 136,0,2024-09-07 09:05:31:612,78680,0.6,78792,0.7,156858,0.6,209652,2.25 136,1,2024-09-07 09:05:31:442,566609,566609,0,0,263934240557,2775671602,559602,6312,695,382,391685,0 136,2,2024-09-07 09:05:31:137,409826,409826,0,0,19236320,0,3506 136,3,2024-09-07 09:05:31:112,1,323,0,0,637,3834,323,0 137,0,2024-09-07 09:05:30:948,85857,0.7,83573,0.8,163985,0.8,222673,2.00 137,1,2024-09-07 09:05:30:585,565976,565976,0,0,264160884224,2778512325,556403,7693,1880,366,391708,0 137,2,2024-09-07 09:05:31:715,404626,404626,0,0,21466988,0,3185 137,3,2024-09-07 09:05:30:768,1,323,17,0,382,3987,323,0 138,0,2024-09-07 09:05:31:748,82933,1.3,83081,1.1,166772,1.9,222051,2.25 138,1,2024-09-07 09:05:31:699,566797,566797,0,0,265412540370,2791741105,557762,7440,1595,368,391954,0 138,2,2024-09-07 09:05:30:589,406000,406000,0,0,19212944,0,4988 138,3,2024-09-07 09:05:30:621,1,323,2,0,1160,4750,323,0 139,0,2024-09-07 09:05:31:387,79640,1.3,79924,1.1,160095,1.9,213438,2.25 139,1,2024-09-07 09:05:30:573,564391,564391,0,0,263153221625,2801619804,553042,8705,2644,381,391892,0 139,2,2024-09-07 09:05:30:694,409025,409025,0,0,20899040,0,3097 139,3,2024-09-07 09:05:31:671,1,323,1,0,257,3650,323,0 140,0,2024-09-07 09:05:31:589,76674,0.3,76192,0.5,153178,0.2,204489,1.75 140,1,2024-09-07 09:05:31:550,570218,570218,0,0,266384295100,2750627782,567016,2764,438,365,391606,0 140,2,2024-09-07 09:05:30:687,409807,409806,1,0,17395437,0,5036 140,3,2024-09-07 09:05:30:772,1,323,0,0,247,2716,323,0 141,0,2024-09-07 09:05:31:703,81723,0.4,83993,0.5,160531,0.3,217871,1.75 141,1,2024-09-07 09:05:30:860,569305,569305,0,0,265571598166,2763287847,564744,3699,862,379,391614,0 141,2,2024-09-07 09:05:31:691,407236,407236,0,0,16904811,0,3360 141,3,2024-09-07 09:05:31:043,1,323,1,0,391,3837,323,0 142,0,2024-09-07 09:05:31:318,83740,0.4,82960,0.5,165700,0.3,221878,1.75 142,1,2024-09-07 09:05:30:589,568714,568714,0,0,264927295458,2766366387,564755,3609,350,382,392102,0 142,2,2024-09-07 09:05:31:301,405318,405286,32,0,18318810,0,6028 142,3,2024-09-07 09:05:31:754,1,323,1,0,484,4107,323,0 143,0,2024-09-07 09:05:31:391,82132,0.4,81946,0.6,164449,0.4,218702,1.75 143,1,2024-09-07 09:05:30:559,568851,568851,0,0,265699006145,2760421069,565083,3619,149,367,391705,0 143,2,2024-09-07 09:05:30:768,410332,410332,0,0,17710888,0,3123 143,3,2024-09-07 09:05:31:147,1,323,15,0,303,3926,323,0 144,0,2024-09-07 09:05:31:531,73699,0.5,75809,0.8,154368,0.5,202755,2.00 144,1,2024-09-07 09:05:30:570,566288,566288,0,0,263925177700,2769618577,561440,3908,940,381,391649,0 144,2,2024-09-07 09:05:31:755,411044,411044,0,0,15877222,0,3473 144,3,2024-09-07 09:05:31:739,1,323,1,0,249,3373,323,0 145,0,2024-09-07 09:05:31:408,78308,0.6,78246,0.8,166001,0.6,214387,2.25 145,1,2024-09-07 09:05:30:555,565734,565734,0,0,264723031508,2786418673,558441,6153,1140,382,391759,0 145,2,2024-09-07 09:05:31:429,407663,407663,0,0,19296289,0,3903 145,3,2024-09-07 09:05:30:897,1,323,0,0,622,5071,323,0 146,0,2024-09-07 09:05:31:634,82734,0.6,81992,0.8,165414,0.6,219223,2.25 146,1,2024-09-07 09:05:31:586,567149,567149,0,0,264269867600,2784011682,557461,7678,2010,368,391770,0 146,2,2024-09-07 09:05:31:695,404124,404124,0,0,19172177,0,2730 146,3,2024-09-07 09:05:31:274,1,323,1,0,1520,6970,323,0 147,0,2024-09-07 09:05:31:729,83899,0.6,83570,0.8,166474,0.6,222899,2.25 147,1,2024-09-07 09:05:31:387,568741,568741,0,0,265669290916,2768873532,563087,4854,800,368,391791,0 147,2,2024-09-07 09:05:31:015,408948,408948,0,0,17097037,0,2789 147,3,2024-09-07 09:05:30:921,1,323,2,0,730,4909,323,0 0,0,2024-09-07 09:05:41:714,80623,0.7,80625,0.8,171165,0.8,220924,2.00 0,1,2024-09-07 09:05:40:805,569541,569541,0,0,265693061685,2780799409,565421,3877,243,370,391896,0 0,2,2024-09-07 09:05:41:081,406514,406514,0,0,16690322,0,4480 0,3,2024-09-07 09:05:40:982,1,324,0,0,431,4536,324,0 1,0,2024-09-07 09:05:41:757,84592,0.8,83976,0.9,168779,1.0,225517,2.00 1,1,2024-09-07 09:05:40:581,568451,568451,0,0,264941529101,2777427961,562864,4361,1226,370,391857,0 1,2,2024-09-07 09:05:40:651,407072,407072,0,0,16216916,0,3267 1,3,2024-09-07 09:05:41:322,1,324,10,0,262,3901,324,0 2,0,2024-09-07 09:05:41:575,80423,0.6,80780,0.8,160205,0.7,214858,2.00 2,1,2024-09-07 09:05:40:859,570479,570479,0,0,266525597292,2769628881,567585,2601,293,380,391745,0 2,2,2024-09-07 09:05:41:265,413416,413416,0,0,16240628,0,3594 2,3,2024-09-07 09:05:40:690,1,324,4,0,357,3260,324,0 3,0,2024-09-07 09:05:41:745,77571,0.4,77537,0.6,155060,0.4,206494,2.00 3,1,2024-09-07 09:05:41:617,569327,569327,0,0,265164874244,2764275506,564230,4474,623,380,391591,0 3,2,2024-09-07 09:05:41:142,411324,411301,23,0,16631997,0,5851 3,3,2024-09-07 09:05:41:754,1,324,3,0,207,2191,324,0 4,0,2024-09-07 09:05:41:884,80521,0.4,82935,0.5,168528,0.4,221511,1.75 4,1,2024-09-07 09:05:40:597,568015,568015,0,0,265019227904,2793237629,560707,5952,1356,371,391992,0 4,2,2024-09-07 09:05:41:022,405341,405341,0,0,19300468,0,4528 4,3,2024-09-07 09:05:41:028,1,324,2,0,448,4655,324,0 5,0,2024-09-07 09:05:41:411,83374,0.5,83707,0.6,166909,0.5,222338,1.75 5,1,2024-09-07 09:05:40:798,568644,568644,0,0,265214659786,2791762770,561485,5803,1356,367,392005,0 5,2,2024-09-07 09:05:41:835,406170,406170,0,0,18328303,0,2432 5,3,2024-09-07 09:05:41:737,1,324,1,0,457,4844,324,0 6,0,2024-09-07 09:05:40:923,82116,0.5,81587,0.6,163132,0.4,217579,2.00 6,1,2024-09-07 09:05:40:746,568556,568556,0,0,265926152838,2781115614,562200,5280,1076,379,391694,0 6,2,2024-09-07 09:05:41:126,412380,412380,0,0,17697057,0,4816 6,3,2024-09-07 09:05:41:275,1,324,0,0,340,3687,324,0 7,0,2024-09-07 09:05:41:532,75462,0.5,75721,0.6,150947,0.4,201005,2.00 7,1,2024-09-07 09:05:40:852,567870,567870,0,0,265443119589,2790433887,559803,6891,1176,382,391747,0 7,2,2024-09-07 09:05:40:770,410916,410916,0,0,17756358,0,4791 7,3,2024-09-07 09:05:40:852,1,324,1,0,398,3824,324,0 8,0,2024-09-07 09:05:41:376,81838,0.4,81749,0.5,163742,0.3,218427,1.75 8,1,2024-09-07 09:05:41:017,567048,567048,0,0,265076895352,2796377057,556901,7870,2277,366,392144,0 8,2,2024-09-07 09:05:40:798,405099,405099,0,0,22055765,0,3220 8,3,2024-09-07 09:05:40:587,1,324,0,0,538,5687,324,0 9,0,2024-09-07 09:05:41:116,83119,0.4,80783,0.6,169002,0.4,221245,1.75 9,1,2024-09-07 09:05:40:577,567956,567956,0,0,265193328306,2800628522,558912,6920,2124,369,392001,0 9,2,2024-09-07 09:05:41:086,405934,405934,0,0,19381838,0,3360 9,3,2024-09-07 09:05:41:755,1,324,4,0,496,4933,324,0 10,0,2024-09-07 09:05:41:623,83227,0.3,82575,0.5,165821,0.2,220683,1.75 10,1,2024-09-07 09:05:40:589,568693,568693,0,0,265399714047,2784217152,560812,6772,1109,381,391741,0 10,2,2024-09-07 09:05:40:761,410691,410691,0,0,21100948,0,4264 10,3,2024-09-07 09:05:40:871,1,324,1,0,296,2980,324,0 11,0,2024-09-07 09:05:41:008,76032,0.4,73769,0.6,154362,0.4,204133,1.75 11,1,2024-09-07 09:05:40:586,568509,568509,0,0,265579889080,2794592311,558499,7562,2448,383,391664,0 11,2,2024-09-07 09:05:41:127,411444,411444,0,0,19123474,0,4130 11,3,2024-09-07 09:05:41:299,1,324,1,0,843,5170,324,0 12,0,2024-09-07 09:05:41:007,81467,0.4,81334,0.5,162812,0.3,216911,1.75 12,1,2024-09-07 09:05:40:944,569114,569114,0,0,265044371401,2768091401,564263,4361,490,370,391870,0 12,2,2024-09-07 09:05:41:540,410942,410942,0,0,18800259,0,3469 12,3,2024-09-07 09:05:41:116,1,324,8,0,386,5422,324,0 13,0,2024-09-07 09:05:41:341,83738,0.5,83375,0.6,166692,0.5,221922,1.75 13,1,2024-09-07 09:05:41:524,568245,568245,0,0,265473160853,2795816057,562346,4490,1409,382,391740,0 13,2,2024-09-07 09:05:40:598,407183,407183,0,0,17115643,0,3287 13,3,2024-09-07 09:05:41:762,1,324,1,0,522,5399,324,0 14,0,2024-09-07 09:05:40:568,84813,0.4,85482,0.6,169074,0.4,225228,1.75 14,1,2024-09-07 09:05:41:564,572414,572414,0,0,266841666121,2767646496,567729,4326,359,364,391571,0 14,2,2024-09-07 09:05:40:770,408195,408165,30,0,18929750,0,6104 14,3,2024-09-07 09:05:41:125,1,324,4,0,1168,4069,324,0 15,0,2024-09-07 09:05:41:553,79226,0.4,79315,0.6,158595,0.4,210956,2.00 15,1,2024-09-07 09:05:41:610,569809,569809,0,0,266060721768,2773921696,565472,3516,821,381,391619,0 15,2,2024-09-07 09:05:41:004,414511,414511,0,0,15545667,0,3622 15,3,2024-09-07 09:05:41:410,1,324,3,0,1126,6155,324,0 16,0,2024-09-07 09:05:40:965,78746,0.6,79062,0.8,157633,0.6,210522,2.25 16,1,2024-09-07 09:05:40:584,569849,569849,0,0,266093769981,2780118746,565566,3923,360,370,391756,0 16,2,2024-09-07 09:05:41:443,410695,410695,0,0,17715863,0,4719 16,3,2024-09-07 09:05:41:142,1,324,4,0,317,4619,324,0 17,0,2024-09-07 09:05:41:792,85861,0.7,83894,0.8,163888,0.8,222423,2.00 17,1,2024-09-07 09:05:40:586,568720,568720,0,0,264715682692,2781486546,562491,4972,1257,368,391899,0 17,2,2024-09-07 09:05:41:673,408598,408598,0,0,17304433,0,2857 17,3,2024-09-07 09:05:40:588,1,324,19,0,298,5267,324,0 18,0,2024-09-07 09:05:40:945,82855,0.6,83228,0.8,165967,0.7,221778,2.25 18,1,2024-09-07 09:05:41:648,570547,570547,0,0,266121338682,2760437751,567213,3023,311,367,391649,0 18,2,2024-09-07 09:05:41:755,409353,409353,0,0,16104043,0,3541 18,3,2024-09-07 09:05:40:898,1,324,0,0,163,2826,324,0 19,0,2024-09-07 09:05:41:538,80348,0.6,80986,0.8,160284,0.6,213691,2.25 19,1,2024-09-07 09:05:40:574,570546,570546,0,0,266852527679,2771138917,565428,4279,839,367,391777,0 19,2,2024-09-07 09:05:41:751,414425,414425,0,0,14857946,0,3988 19,3,2024-09-07 09:05:41:131,1,324,1,0,524,2547,324,0 20,0,2024-09-07 09:05:41:361,76667,0.5,76606,0.7,153308,0.5,205150,2.00 20,1,2024-09-07 09:05:40:584,568580,568580,0,0,265448932977,2775168173,564252,3924,404,369,391886,0 20,2,2024-09-07 09:05:40:940,411141,411141,0,0,17710814,0,3721 20,3,2024-09-07 09:05:40:594,1,324,1,0,414,5240,324,0 21,0,2024-09-07 09:05:41:175,81910,0.5,82036,0.7,163796,0.5,217474,2.00 21,1,2024-09-07 09:05:41:546,567735,567735,0,0,264617400782,2790611944,559861,5997,1877,368,392016,0 21,2,2024-09-07 09:05:41:117,406143,406143,0,0,20816067,0,3747 21,3,2024-09-07 09:05:41:415,1,324,2,0,713,3943,324,0 22,0,2024-09-07 09:05:41:717,82901,0.6,83313,0.7,166640,0.6,220876,2.25 22,1,2024-09-07 09:05:41:031,568764,568764,0,0,265204589171,2790372118,560276,6669,1819,382,391667,0 22,2,2024-09-07 09:05:40:766,406091,406091,0,0,16937833,0,3134 22,3,2024-09-07 09:05:41:068,1,324,0,0,228,2828,324,0 23,0,2024-09-07 09:05:41:372,81679,0.5,81587,0.7,163450,0.5,217763,2.25 23,1,2024-09-07 09:05:41:004,568440,568440,0,0,265912074778,2796664338,557949,7333,3158,365,391690,0 23,2,2024-09-07 09:05:41:096,412788,412788,0,0,16649786,0,3010 23,3,2024-09-07 09:05:41:753,1,324,2,0,645,3984,324,0 24,0,2024-09-07 09:05:40:842,76893,0.4,76782,0.6,154085,0.4,204849,1.75 24,1,2024-09-07 09:05:40:589,567702,567702,0,0,264785004403,2782351386,560817,5357,1528,368,392269,0 24,2,2024-09-07 09:05:41:084,409877,409877,0,0,20839395,0,3607 24,3,2024-09-07 09:05:41:686,1,324,1,0,468,4463,324,0 25,0,2024-09-07 09:05:41:471,83550,0.4,81506,0.6,159809,0.4,218283,2.00 25,1,2024-09-07 09:05:40:559,568812,568812,0,0,265951138952,2794300429,561132,6311,1369,371,391928,0 25,2,2024-09-07 09:05:41:606,409094,409094,0,0,20845643,0,3978 25,3,2024-09-07 09:05:41:007,1,324,0,0,255,3286,324,0 26,0,2024-09-07 09:05:41:724,82502,0.4,80588,0.6,169216,0.4,220101,2.00 26,1,2024-09-07 09:05:41:542,569342,569342,0,0,264868490680,2780407622,560494,7312,1536,380,391748,0 26,2,2024-09-07 09:05:40:862,406736,406736,0,0,20462255,0,2809 26,3,2024-09-07 09:05:41:711,1,324,1,0,796,4341,324,0 27,0,2024-09-07 09:05:41:723,84104,0.5,84176,0.6,166845,0.4,222907,2.00 27,1,2024-09-07 09:05:41:681,571049,571049,0,0,266997892027,2782721060,565783,4501,765,381,391626,0 27,2,2024-09-07 09:05:40:866,407426,407361,65,0,20349661,0,5699 27,3,2024-09-07 09:05:41:030,1,324,14,0,564,3627,324,0 28,0,2024-09-07 09:05:41:397,77959,0.4,77679,0.6,155633,0.3,207196,2.00 28,1,2024-09-07 09:05:40:798,570013,570013,0,0,266375012145,2778899946,565636,3675,702,383,391698,0 28,2,2024-09-07 09:05:41:764,412055,412055,0,0,18074601,0,2915 28,3,2024-09-07 09:05:41:778,1,324,1,0,502,3511,324,0 29,0,2024-09-07 09:05:41:377,82011,0.4,79935,0.6,156705,0.3,214243,1.75 29,1,2024-09-07 09:05:41:566,571633,571633,0,0,267105678568,2769466131,567296,3654,683,369,391753,0 29,2,2024-09-07 09:05:40:863,409642,409642,0,0,17411386,0,4986 29,3,2024-09-07 09:05:40:974,1,324,1,0,459,4006,324,0 30,0,2024-09-07 09:05:41:472,82734,0.6,80328,0.8,168162,0.5,219912,2.00 30,1,2024-09-07 09:05:40:577,570910,570910,0,0,266366003544,2770248639,566091,4109,710,381,391672,0 30,2,2024-09-07 09:05:41:274,406216,406216,0,0,16307935,0,3161 30,3,2024-09-07 09:05:40:581,1,324,10,0,519,3395,324,0 31,0,2024-09-07 09:05:41:761,84113,0.4,84412,0.6,168913,0.4,225137,2.00 31,1,2024-09-07 09:05:40:569,573343,573343,0,0,266997222356,2741404615,570801,1984,558,356,391712,0 31,2,2024-09-07 09:05:41:275,407770,407770,0,0,18132642,0,3525 31,3,2024-09-07 09:05:41:710,1,324,2,0,220,2851,324,0 32,0,2024-09-07 09:05:41:468,80420,0.3,80782,0.5,161515,0.2,215249,1.75 32,1,2024-09-07 09:05:40:850,570644,570644,0,0,266080023356,2764392309,567574,2625,445,381,391646,0 32,2,2024-09-07 09:05:40:942,413766,413766,0,0,15189763,0,3155 32,3,2024-09-07 09:05:41:023,1,324,1,0,227,2415,324,0 33,0,2024-09-07 09:05:41:499,77839,0.3,77437,0.4,155435,0.2,207191,1.75 33,1,2024-09-07 09:05:40:583,571573,571573,0,0,267680485678,2773755887,567163,3579,831,369,391730,0 33,2,2024-09-07 09:05:40:775,412182,412147,35,0,17298041,0,7012 33,3,2024-09-07 09:05:40:901,1,324,5,0,329,3529,324,0 34,0,2024-09-07 09:05:40:947,83255,0.3,85665,0.5,163722,0.2,220824,1.75 34,1,2024-09-07 09:05:41:044,572459,572459,0,0,267634632801,2752255103,571042,1409,8,367,391562,0 34,2,2024-09-07 09:05:40:769,407391,407391,0,0,16462410,0,3577 34,3,2024-09-07 09:05:41:697,1,324,0,0,299,2430,324,0 35,0,2024-09-07 09:05:40:860,82682,0.4,83085,0.5,167075,0.3,222914,1.75 35,1,2024-09-07 09:05:41:081,570942,570942,0,0,266312760863,2761602989,567338,2823,781,382,391589,0 35,2,2024-09-07 09:05:41:583,407731,407731,0,0,16467863,0,2653 35,3,2024-09-07 09:05:40:908,1,324,2,0,418,4212,324,0 36,0,2024-09-07 09:05:41:518,82005,0.5,82034,0.7,163993,0.4,217278,2.00 36,1,2024-09-07 09:05:40:583,570208,570208,0,0,265838596342,2779554020,562980,5570,1658,366,391759,0 36,2,2024-09-07 09:05:41:750,412814,412814,0,0,18786832,0,3875 36,3,2024-09-07 09:05:40:864,1,324,3,0,416,5253,324,0 37,0,2024-09-07 09:05:41:419,75291,0.5,75308,0.7,150866,0.4,201803,2.00 37,1,2024-09-07 09:05:40:580,569017,569010,0,7,265825135669,2780192684,562240,4685,2085,365,391570,0 37,2,2024-09-07 09:05:41:142,409554,409539,15,0,18404675,0,5815 37,3,2024-09-07 09:05:41:766,1,324,1,0,888,5491,324,0 38,0,2024-09-07 09:05:41:442,81178,0.5,78873,0.6,165089,0.4,216321,2.00 38,1,2024-09-07 09:05:41:605,570777,570777,0,0,265714600565,2768912082,564741,5278,758,368,391821,0 38,2,2024-09-07 09:05:40:764,408450,408403,47,0,19000130,0,6710 38,3,2024-09-07 09:05:40:996,1,324,0,0,689,4592,324,0 39,0,2024-09-07 09:05:41:764,84554,0.6,82794,0.8,161011,0.7,220178,2.00 39,1,2024-09-07 09:05:40:718,569167,569167,0,0,265519263208,2780533110,560806,6901,1460,365,391594,0 39,2,2024-09-07 09:05:41:424,406879,406879,0,0,16963398,0,2689 39,3,2024-09-07 09:05:40:733,1,324,35,0,324,4230,324,0 40,0,2024-09-07 09:05:41:493,82189,0.8,82891,1.0,165577,0.8,220347,2.75 40,1,2024-09-07 09:05:40:578,569828,569828,0,0,265062246781,2779043893,561275,7043,1510,368,391668,0 40,2,2024-09-07 09:05:41:303,409874,409873,1,0,20450106,0,5137 40,3,2024-09-07 09:05:41:142,1,324,0,0,1028,4969,324,0 41,0,2024-09-07 09:05:41:068,75918,1.5,77774,1.3,148433,2.4,202079,3.25 41,1,2024-09-07 09:05:40:775,568914,568914,0,0,265793769878,2783568815,561864,6347,703,370,391742,0 41,2,2024-09-07 09:05:40:769,410111,410111,0,0,19622287,0,3356 41,3,2024-09-07 09:05:41:677,1,324,1,0,366,3365,324,0 42,0,2024-09-07 09:05:41:475,80206,0.9,80283,1.0,160850,1.0,212959,2.75 42,1,2024-09-07 09:05:41:445,568215,568215,0,0,265109336194,2790033705,558688,7769,1758,380,391675,0 42,2,2024-09-07 09:05:41:132,410316,410316,0,0,19726380,0,3790 42,3,2024-09-07 09:05:41:010,1,324,3,0,446,3054,324,0 43,0,2024-09-07 09:05:40:920,81977,1.0,79988,1.1,167593,1.2,219407,2.50 43,1,2024-09-07 09:05:40:577,569382,569382,0,0,265918441949,2782297321,561151,6793,1438,366,391696,0 43,2,2024-09-07 09:05:41:736,406149,406149,0,0,19403959,0,3812 43,3,2024-09-07 09:05:41:748,1,324,1,0,467,4020,324,0 44,0,2024-09-07 09:05:40:890,84525,0.5,84935,0.6,169439,0.4,225357,1.75 44,1,2024-09-07 09:05:40:577,571088,571088,0,0,265777337288,2752458203,566030,4011,1047,356,391809,0 44,2,2024-09-07 09:05:41:268,407938,407938,0,0,16374722,0,4344 44,3,2024-09-07 09:05:41:118,1,324,1,0,817,4418,324,0 45,0,2024-09-07 09:05:41:800,78292,0.5,76439,0.7,160214,0.5,210778,2.00 45,1,2024-09-07 09:05:41:006,569995,569995,0,0,266805049052,2775728244,565562,3957,476,382,391917,0 45,2,2024-09-07 09:05:41:270,412985,412985,0,0,16982259,0,3596 45,3,2024-09-07 09:05:40:937,1,324,6,0,271,3194,324,0 46,0,2024-09-07 09:05:40:950,78159,0.6,78168,0.8,156461,0.6,208390,2.25 46,1,2024-09-07 09:05:40:583,572000,572000,0,0,266937040203,2759836691,568293,3281,426,366,391572,0 46,2,2024-09-07 09:05:40:594,411688,411688,0,0,15992981,0,2920 46,3,2024-09-07 09:05:41:131,1,324,2,0,908,4848,324,0 47,0,2024-09-07 09:05:41:130,83684,0.5,83879,0.7,167979,0.5,221927,2.00 47,1,2024-09-07 09:05:40:570,571484,571484,0,0,266186621621,2757346885,566998,3671,815,366,391641,0 47,2,2024-09-07 09:05:40:908,408198,408198,0,0,16473299,0,4477 47,3,2024-09-07 09:05:41:120,1,324,2,0,529,3686,324,0 48,0,2024-09-07 09:05:41:521,84461,0.3,83963,0.4,167497,0.2,224022,1.50 48,1,2024-09-07 09:05:41:035,570633,570633,0,0,266538524251,2769460131,567080,3251,302,384,391710,0 48,2,2024-09-07 09:05:40:701,407608,407608,0,0,14986111,0,3031 48,3,2024-09-07 09:05:40:764,1,324,11,0,339,2841,324,0 49,0,2024-09-07 09:05:41:765,82943,0.3,81468,0.5,157806,0.3,216132,1.75 49,1,2024-09-07 09:05:41:041,570213,570213,0,0,266164038683,2773474457,565897,3131,1185,382,391809,0 49,2,2024-09-07 09:05:41:800,413712,413712,0,0,16076114,0,4426 49,3,2024-09-07 09:05:41:425,1,324,3,0,408,3450,324,0 50,0,2024-09-07 09:05:41:507,76955,0.3,75985,0.5,152982,0.2,204780,1.75 50,1,2024-09-07 09:05:41:010,572341,572341,0,0,267072026839,2763196350,568523,3444,374,368,391565,0 50,2,2024-09-07 09:05:41:067,411336,411336,0,0,15088207,0,2263 50,3,2024-09-07 09:05:41:297,1,324,2,0,335,3221,324,0 51,0,2024-09-07 09:05:41:695,84031,0.3,82090,0.5,160316,0.2,218443,1.75 51,1,2024-09-07 09:05:41:688,572639,572639,0,0,268195962051,2768854774,569384,2280,975,365,391706,0 51,2,2024-09-07 09:05:41:330,408326,408326,0,0,14567915,0,3337 51,3,2024-09-07 09:05:41:028,1,324,1,0,678,2549,324,0 52,0,2024-09-07 09:05:41:448,83553,0.5,83486,0.7,166738,0.4,222148,2.00 52,1,2024-09-07 09:05:40:580,569433,569433,0,0,265085177363,2779885507,560881,7241,1311,368,391722,0 52,2,2024-09-07 09:05:41:761,404249,404211,38,0,19139555,0,6742 52,3,2024-09-07 09:05:40:676,1,324,1,0,1782,5159,324,0 53,0,2024-09-07 09:05:41:731,81571,0.6,79275,0.8,165685,0.7,217341,2.25 53,1,2024-09-07 09:05:40:776,568147,568147,0,0,265390590244,2788754288,558723,6843,2581,367,391702,0 53,2,2024-09-07 09:05:41:308,412805,412805,0,0,16414364,0,2727 53,3,2024-09-07 09:05:40:704,1,324,1,0,308,3190,324,0 54,0,2024-09-07 09:05:41:622,75299,0.6,75860,0.8,150783,0.4,202027,2.25 54,1,2024-09-07 09:05:40:586,569787,569787,0,0,266639632129,2773084813,564194,4991,602,367,391659,0 54,2,2024-09-07 09:05:40:866,411575,411569,6,0,18981335,0,5382 54,3,2024-09-07 09:05:40:762,1,324,1,0,676,5118,324,0 55,0,2024-09-07 09:05:41:773,78632,0.6,81459,0.7,164094,0.5,214316,2.50 55,1,2024-09-07 09:05:40:771,569754,569754,0,0,266128906106,2772388649,563132,5713,909,365,391731,0 55,2,2024-09-07 09:05:40:730,409098,409098,0,0,18482414,0,3563 55,3,2024-09-07 09:05:40:683,1,324,1,0,304,3642,324,0 56,0,2024-09-07 09:05:41:600,84425,1.3,79581,1.2,164127,1.8,219690,2.75 56,1,2024-09-07 09:05:40:579,566966,566966,0,0,264480565313,2796394898,558238,7098,1630,381,391867,0 56,2,2024-09-07 09:05:41:303,406107,406107,0,0,19349637,0,3567 56,3,2024-09-07 09:05:41:080,1,324,1,0,705,4409,324,0 57,0,2024-09-07 09:05:41:004,82766,1.5,82593,1.2,165594,2.1,221434,3.00 57,1,2024-09-07 09:05:41:002,568774,568774,0,0,264814525976,2779070734,561957,6097,720,366,392032,0 57,2,2024-09-07 09:05:41:324,409965,409965,0,0,21097971,0,3317 57,3,2024-09-07 09:05:41:738,1,324,17,0,359,3910,324,0 58,0,2024-09-07 09:05:40:554,76450,0.9,74384,1.0,155851,1.1,203940,2.50 58,1,2024-09-07 09:05:40:576,569735,569732,0,3,265701560722,2781301181,561615,7035,1082,367,391603,3 58,2,2024-09-07 09:05:41:078,411532,411532,0,0,19378393,0,2549 58,3,2024-09-07 09:05:41:073,1,324,2,0,1043,3387,324,0 59,0,2024-09-07 09:05:41:755,79537,0.8,79127,1.0,158230,0.9,210399,2.75 59,1,2024-09-07 09:05:40:804,569551,569551,0,0,265921557857,2787032426,561692,6339,1520,369,391586,0 59,2,2024-09-07 09:05:40:595,411579,411579,0,0,19077559,0,2867 59,3,2024-09-07 09:05:41:737,1,324,1,0,1015,4264,324,0 60,0,2024-09-07 09:05:41:754,82849,0.6,82971,0.7,166524,0.6,220575,1.75 60,1,2024-09-07 09:05:40:817,571013,571013,0,0,266888801696,2773903497,566911,3457,645,370,392031,0 60,2,2024-09-07 09:05:41:147,406217,406217,0,0,18643869,0,3811 60,3,2024-09-07 09:05:41:266,1,324,1,0,409,3886,324,0 61,0,2024-09-07 09:05:41:517,84336,0.6,84875,0.8,168576,0.6,224892,2.00 61,1,2024-09-07 09:05:40:779,569090,569090,0,0,265391235191,2786586670,561932,5834,1324,382,392127,0 61,2,2024-09-07 09:05:41:128,408059,407992,67,0,18977206,0,6411 61,3,2024-09-07 09:05:41:692,1,324,18,0,479,5036,324,0 62,0,2024-09-07 09:05:41:714,80954,0.5,82739,0.7,157712,0.5,214887,2.00 62,1,2024-09-07 09:05:41:114,572387,572381,0,6,267464505430,2763483965,568806,3316,259,365,391715,6 62,2,2024-09-07 09:05:41:669,412014,412013,1,0,18571533,0,5555 62,3,2024-09-07 09:05:41:143,1,324,1,0,465,2549,324,0 63,0,2024-09-07 09:05:41:481,77818,0.4,77777,0.6,155770,0.4,207233,1.75 63,1,2024-09-07 09:05:40:812,571017,571011,0,6,267672431998,2781606792,567083,3541,387,381,391800,6 63,2,2024-09-07 09:05:40:761,411259,411259,0,0,16890928,0,4369 63,3,2024-09-07 09:05:41:733,1,324,0,0,667,3811,324,0 64,0,2024-09-07 09:05:41:522,82532,0.5,82491,0.7,164994,0.5,219923,2.00 64,1,2024-09-07 09:05:40:770,570393,570393,0,0,266410326229,2779633725,564754,3981,1658,370,391783,0 64,2,2024-09-07 09:05:41:149,410372,410353,19,0,16444218,0,6121 64,3,2024-09-07 09:05:41:143,1,324,2,0,265,3078,324,0 65,0,2024-09-07 09:05:41:687,82531,0.7,82842,0.8,165455,0.7,220812,2.25 65,1,2024-09-07 09:05:40:865,569360,569360,0,0,266090750330,2782661849,565281,3628,451,382,391901,0 65,2,2024-09-07 09:05:41:704,408488,408488,0,0,18033788,0,3367 65,3,2024-09-07 09:05:41:704,1,324,12,0,163,2898,324,0 66,0,2024-09-07 09:05:41:766,81417,0.5,81316,0.7,162905,0.4,216137,2.00 66,1,2024-09-07 09:05:41:298,570341,570341,0,0,266785117234,2775307653,566783,3237,321,380,391653,0 66,2,2024-09-07 09:05:41:141,414694,414694,0,0,16307369,0,4956 66,3,2024-09-07 09:05:41:083,1,324,0,0,291,3182,324,0 67,0,2024-09-07 09:05:41:415,76141,0.5,75504,0.7,151770,0.5,201981,2.00 67,1,2024-09-07 09:05:40:771,570622,570621,0,1,265856772072,2770621761,566456,3414,751,380,391787,1 67,2,2024-09-07 09:05:40:587,411889,411889,0,0,15700719,0,2889 67,3,2024-09-07 09:05:41:754,1,324,2,0,338,2815,324,0 68,0,2024-09-07 09:05:40:588,82108,0.6,81736,0.7,162971,0.5,218338,2.00 68,1,2024-09-07 09:05:40:587,568992,568992,0,0,265029209108,2778051472,564188,3602,1202,381,391953,0 68,2,2024-09-07 09:05:41:046,407546,407481,65,0,20744747,0,6698 68,3,2024-09-07 09:05:40:730,1,324,1,0,417,3584,324,0 69,0,2024-09-07 09:05:41:757,82452,0.9,82863,0.9,165111,1.2,219160,2.25 69,1,2024-09-07 09:05:41:022,567186,567186,0,0,264998175855,2799572602,559213,5863,2110,384,391994,0 69,2,2024-09-07 09:05:41:737,406192,406192,0,0,20667521,0,3722 69,3,2024-09-07 09:05:40:769,1,324,1,0,698,4675,324,0 70,0,2024-09-07 09:05:41:531,82262,0.8,82182,1.0,165433,0.6,218965,2.25 70,1,2024-09-07 09:05:40:801,570472,570472,0,0,266287158528,2766677150,565624,4312,536,366,391725,0 70,2,2024-09-07 09:05:41:325,409978,409978,0,0,18803726,0,4044 70,3,2024-09-07 09:05:40:751,1,324,0,0,854,3690,324,0 71,0,2024-09-07 09:05:41:362,76015,0.9,75549,1.0,151867,1.1,202829,2.75 71,1,2024-09-07 09:05:41:599,569675,569675,0,0,266145987707,2779211384,563109,5910,656,368,391738,0 71,2,2024-09-07 09:05:41:068,411554,411554,0,0,18502265,0,4042 71,3,2024-09-07 09:05:41:749,1,324,3,0,644,4522,324,0 72,0,2024-09-07 09:05:41:032,83508,0.6,81704,0.8,159325,0.6,217203,2.00 72,1,2024-09-07 09:05:41:025,568807,568807,0,0,265742895745,2787857013,560580,6530,1697,369,391819,0 72,2,2024-09-07 09:05:41:757,409082,409082,0,0,21462774,0,3983 72,3,2024-09-07 09:05:41:756,1,324,0,0,564,5265,324,0 73,0,2024-09-07 09:05:41:178,81050,0.5,83020,0.7,170007,0.4,220632,2.00 73,1,2024-09-07 09:05:40:786,570091,570091,0,0,265880513967,2767032165,565775,3986,330,367,391858,0 73,2,2024-09-07 09:05:41:769,406029,406029,0,0,20397256,0,3701 73,3,2024-09-07 09:05:40:981,1,324,5,0,274,4474,324,0 74,0,2024-09-07 09:05:41:344,84973,0.5,87112,0.7,166311,0.4,225054,2.25 74,1,2024-09-07 09:05:40:645,569461,569461,0,0,265797762024,2778088536,563384,4815,1262,381,391681,0 74,2,2024-09-07 09:05:41:012,408455,408455,0,0,19485635,0,4253 74,3,2024-09-07 09:05:41:444,1,324,1,0,522,4596,324,0 75,0,2024-09-07 09:05:41:790,79430,0.5,79117,0.7,158454,0.4,211791,2.25 75,1,2024-09-07 09:05:41:596,568891,568891,0,0,265331356996,2775483047,562541,5525,825,380,391739,0 75,2,2024-09-07 09:05:41:351,412284,412284,0,0,19586775,0,4766 75,3,2024-09-07 09:05:41:067,1,324,2,0,702,4737,324,0 76,0,2024-09-07 09:05:40:707,78407,0.6,77802,0.8,156169,0.6,209529,2.50 76,1,2024-09-07 09:05:40:826,569692,569692,0,0,265535936749,2772441882,565775,3328,589,382,391692,0 76,2,2024-09-07 09:05:41:086,412094,412093,1,0,18930551,0,5144 76,3,2024-09-07 09:05:41:149,1,324,7,0,175,3199,324,0 77,0,2024-09-07 09:05:41:716,83424,0.7,83599,0.8,167076,0.8,221910,2.00 77,1,2024-09-07 09:05:40:842,569605,569605,0,0,265481481321,2777592741,564422,4695,488,381,391869,0 77,2,2024-09-07 09:05:41:293,406839,406839,0,0,18347588,0,3890 77,3,2024-09-07 09:05:41:112,1,324,4,0,401,3743,324,0 78,0,2024-09-07 09:05:41:720,84094,0.5,83676,0.7,168120,0.4,223220,2.00 78,1,2024-09-07 09:05:40:619,570389,570389,0,0,265381929287,2766765983,564530,4714,1145,367,391670,0 78,2,2024-09-07 09:05:41:406,408524,408524,0,0,15989251,0,3855 78,3,2024-09-07 09:05:41:149,1,324,1,0,181,3165,324,0 79,0,2024-09-07 09:05:41:346,78268,0.4,80272,0.6,164023,0.4,213504,2.25 79,1,2024-09-07 09:05:40:575,571676,571676,0,0,266372285388,2761470820,567123,3920,633,369,391682,0 79,2,2024-09-07 09:05:41:070,413867,413867,0,0,16997972,0,4195 79,3,2024-09-07 09:05:40:752,1,324,0,0,418,4263,324,0 80,0,2024-09-07 09:05:41:188,76800,0.5,78885,0.7,151217,0.5,204591,2.00 80,1,2024-09-07 09:05:41:632,570094,570094,0,0,265864215426,2767111237,566748,3168,178,368,391791,0 80,2,2024-09-07 09:05:41:091,412563,412563,0,0,16051385,0,4433 80,3,2024-09-07 09:05:40:592,1,324,9,0,190,3949,324,0 81,0,2024-09-07 09:05:41:598,81781,0.6,83778,0.7,159915,0.5,217085,2.00 81,1,2024-09-07 09:05:41:690,569467,569467,0,0,265147640036,2773501808,564618,4350,499,382,391879,0 81,2,2024-09-07 09:05:41:129,407736,407673,63,0,17936795,0,5932 81,3,2024-09-07 09:05:41:133,1,324,3,0,374,3815,324,0 82,0,2024-09-07 09:05:41:538,82863,0.5,83403,0.7,166980,0.5,221707,2.00 82,1,2024-09-07 09:05:40:583,571217,571213,0,4,266782172253,2778969073,567318,3098,797,381,391768,4 82,2,2024-09-07 09:05:41:701,408502,408502,0,0,15305647,0,3986 82,3,2024-09-07 09:05:41:753,1,324,0,0,363,3394,324,0 83,0,2024-09-07 09:05:41:522,82355,0.5,82129,0.7,163529,0.5,217610,2.00 83,1,2024-09-07 09:05:40:560,569526,569526,0,0,266074545783,2776540221,565219,3927,380,382,391709,0 83,2,2024-09-07 09:05:40:763,411772,411772,0,0,15840453,0,3393 83,3,2024-09-07 09:05:40:768,1,324,11,0,1260,4861,324,0 84,0,2024-09-07 09:05:41:795,75901,0.7,75906,0.8,151813,0.6,203368,2.25 84,1,2024-09-07 09:05:41:046,569430,569430,0,0,265339094846,2774696668,563694,5009,727,367,391967,0 84,2,2024-09-07 09:05:40:578,411011,411011,0,0,19863477,0,4757 84,3,2024-09-07 09:05:41:141,1,324,1,0,908,4996,324,0 85,0,2024-09-07 09:05:41:017,78382,0.7,78353,0.8,166394,0.7,215613,2.50 85,1,2024-09-07 09:05:40:562,567907,567907,0,0,265413809265,2803353359,559399,7138,1370,381,392006,0 85,2,2024-09-07 09:05:40:875,410132,410132,0,0,19690104,0,3656 85,3,2024-09-07 09:05:40:691,1,324,1,0,789,4369,324,0 86,0,2024-09-07 09:05:40:897,82755,0.7,85086,0.8,162877,0.8,219839,2.25 86,1,2024-09-07 09:05:40:843,569455,569455,0,0,265427430410,2781331748,562578,5812,1065,366,391961,0 86,2,2024-09-07 09:05:40:864,405512,405511,1,0,20408647,0,5004 86,3,2024-09-07 09:05:40:596,1,324,28,0,308,4536,324,0 87,0,2024-09-07 09:05:41:323,83504,0.9,83447,0.9,167396,1.1,223251,2.25 87,1,2024-09-07 09:05:40:561,568586,568586,0,0,265828120966,2782742092,562413,5563,610,366,392076,0 87,2,2024-09-07 09:05:41:071,409058,409058,0,0,18686929,0,4045 87,3,2024-09-07 09:05:41:799,1,324,2,0,473,4694,324,0 88,0,2024-09-07 09:05:41:462,77684,0.4,78310,0.6,156097,0.4,206819,2.00 88,1,2024-09-07 09:05:40:591,567751,567751,0,0,265841444283,2789996981,560059,6028,1664,365,392084,0 88,2,2024-09-07 09:05:40:688,412536,412536,0,0,20856602,0,3583 88,3,2024-09-07 09:05:41:267,1,324,1,0,435,3609,324,0 89,0,2024-09-07 09:05:41:783,82204,0.5,79445,0.7,157727,0.4,214082,1.75 89,1,2024-09-07 09:05:40:585,567888,567888,0,0,264825059378,2790209909,560143,6472,1273,382,391866,0 89,2,2024-09-07 09:05:41:143,410051,410051,0,0,19938904,0,2910 89,3,2024-09-07 09:05:41:798,1,324,1,0,385,6063,324,0 90,0,2024-09-07 09:05:41:637,80682,0.5,82675,0.7,168841,0.5,219991,2.00 90,1,2024-09-07 09:05:40:607,569304,569304,0,0,265825026885,2789675261,563118,5687,499,380,391825,0 90,2,2024-09-07 09:05:41:413,404996,404996,0,0,21046572,0,3060 90,3,2024-09-07 09:05:40:940,1,324,0,0,246,3623,324,0 91,0,2024-09-07 09:05:40:931,84788,0.5,82188,0.6,171740,0.5,225444,1.75 91,1,2024-09-07 09:05:40:565,567871,567871,0,0,265258522331,2794002878,560056,6772,1043,381,392047,0 91,2,2024-09-07 09:05:41:338,408054,408054,0,0,18988217,0,2896 91,3,2024-09-07 09:05:40:631,1,324,1,0,216,3103,324,0 92,0,2024-09-07 09:05:41:475,81167,0.4,83272,0.6,159017,0.4,215347,1.75 92,1,2024-09-07 09:05:40:582,569231,569231,0,0,264801824322,2770641830,564425,4120,686,382,392136,0 92,2,2024-09-07 09:05:41:350,414212,414212,0,0,17310754,0,3259 92,3,2024-09-07 09:05:41:014,1,324,7,0,167,2873,324,0 93,0,2024-09-07 09:05:40:995,78170,0.4,80205,0.6,153147,0.4,207281,1.75 93,1,2024-09-07 09:05:40:809,569203,569203,0,0,266011804046,2780928790,563094,5082,1027,366,391776,0 93,2,2024-09-07 09:05:40:935,410508,410508,0,0,20105510,0,4845 93,3,2024-09-07 09:05:41:408,1,324,2,0,190,3202,324,0 94,0,2024-09-07 09:05:41:648,82635,0.4,83453,0.6,166379,0.4,221503,1.75 94,1,2024-09-07 09:05:40:575,569746,569746,0,0,266095775228,2780305094,565716,3840,190,381,391850,0 94,2,2024-09-07 09:05:40:784,406940,406940,0,0,17295025,0,2443 94,3,2024-09-07 09:05:41:695,1,324,3,0,264,4084,324,0 95,0,2024-09-07 09:05:41:367,83219,0.4,83168,0.6,166914,0.3,222689,1.75 95,1,2024-09-07 09:05:40:858,571161,571161,0,0,266434653903,2774483394,566204,4559,398,367,391713,0 95,2,2024-09-07 09:05:41:019,407585,407585,0,0,17051832,0,3308 95,3,2024-09-07 09:05:41:712,1,324,1,0,718,5278,324,0 96,0,2024-09-07 09:05:41:065,82041,0.4,82161,0.5,163877,0.3,216965,1.75 96,1,2024-09-07 09:05:41:596,569159,569159,0,0,265831882633,2776300331,564643,3722,794,384,391955,0 96,2,2024-09-07 09:05:41:275,413072,413072,0,0,16987351,0,4042 96,3,2024-09-07 09:05:41:145,1,324,13,0,411,3663,324,0 97,0,2024-09-07 09:05:41:313,75995,0.3,75651,0.5,151868,0.3,201755,1.75 97,1,2024-09-07 09:05:40:775,571604,571604,0,0,266841479190,2768333904,567735,3197,672,367,392140,0 97,2,2024-09-07 09:05:40:616,412099,412099,0,0,16404956,0,3036 97,3,2024-09-07 09:05:40:570,1,324,1,0,214,3968,324,0 98,0,2024-09-07 09:05:41:705,81957,0.3,81826,0.5,164059,0.2,218253,1.50 98,1,2024-09-07 09:05:40:595,570744,570744,0,0,266216428400,2770582313,568094,2544,106,382,391997,0 98,2,2024-09-07 09:05:40:814,409679,409679,0,0,16334721,0,3080 98,3,2024-09-07 09:05:40:715,1,324,54,0,840,5283,324,0 99,0,2024-09-07 09:05:41:471,82876,0.4,83443,0.5,166194,0.3,221419,1.75 99,1,2024-09-07 09:05:41:723,570223,570223,0,0,265552663214,2767436886,566090,3379,754,381,392069,0 99,2,2024-09-07 09:05:41:425,408323,408323,0,0,18215633,0,3424 99,3,2024-09-07 09:05:40:590,1,324,0,0,199,2986,324,0 100,0,2024-09-07 09:05:41:483,82730,0.7,82675,1.0,165471,0.9,220681,2.50 100,1,2024-09-07 09:05:40:551,567166,567166,0,0,264849553524,2800858760,558711,6961,1494,381,391989,0 100,2,2024-09-07 09:05:41:824,409392,409381,11,0,19164903,0,5417 100,3,2024-09-07 09:05:41:732,1,324,0,0,559,5612,324,0 101,0,2024-09-07 09:05:41:703,78067,1.1,76102,1.0,149079,0.8,204401,2.25 101,1,2024-09-07 09:05:40:569,567195,567195,0,0,264581273915,2789030817,558138,7037,2020,368,391771,0 101,2,2024-09-07 09:05:41:775,409706,409706,0,0,22086025,0,4644 101,3,2024-09-07 09:05:40:964,1,324,1,0,448,3882,324,0 102,0,2024-09-07 09:05:40:958,78852,0.7,81543,0.8,164448,0.7,216037,2.25 102,1,2024-09-07 09:05:41:142,568190,568190,0,0,265385946796,2789944383,560885,6273,1032,369,391891,0 102,2,2024-09-07 09:05:41:738,411423,411369,54,0,18705568,0,6768 102,3,2024-09-07 09:05:41:612,1,324,26,0,410,3581,324,0 103,0,2024-09-07 09:05:41:591,85723,0.7,85784,0.8,161626,0.8,222477,2.00 103,1,2024-09-07 09:05:41:628,567546,567546,0,0,265428320957,2801714641,558806,6745,1995,381,391829,0 103,2,2024-09-07 09:05:40:592,405212,405212,0,0,19219508,0,3173 103,3,2024-09-07 09:05:40:776,1,324,1,0,916,4171,324,0 104,0,2024-09-07 09:05:41:032,83613,0.8,83963,1.0,166823,0.7,224356,2.25 104,1,2024-09-07 09:05:41:628,568768,568768,0,0,265011882395,2790928255,559878,7192,1698,365,392168,0 104,2,2024-09-07 09:05:41:686,407083,407083,0,0,20614175,0,3941 104,3,2024-09-07 09:05:41:416,1,324,1,0,1245,7287,324,0 105,0,2024-09-07 09:05:41:034,78472,0.9,76370,1.1,159903,1.0,210273,3.00 105,1,2024-09-07 09:05:40:562,569161,569161,0,0,265959261997,2794046053,561016,6813,1332,366,391797,0 105,2,2024-09-07 09:05:41:326,412223,412223,0,0,20006920,0,3509 105,3,2024-09-07 09:05:41:309,1,324,1,0,399,5292,324,0 106,0,2024-09-07 09:05:40:954,75976,0.9,77877,1.0,159074,1.1,208992,2.50 106,1,2024-09-07 09:05:41:763,567903,567903,0,0,265309957456,2793826484,558333,8278,1292,369,391914,0 106,2,2024-09-07 09:05:40:762,408747,408747,0,0,19756325,0,2795 106,3,2024-09-07 09:05:40:687,1,324,1,0,470,4290,324,0 107,0,2024-09-07 09:05:41:139,83264,1.2,83188,1.0,166359,1.8,221557,2.25 107,1,2024-09-07 09:05:40:624,567120,567120,0,0,264304887420,2788944834,559162,7044,914,381,392234,0 107,2,2024-09-07 09:05:41:292,404956,404955,1,0,20064874,0,5024 107,3,2024-09-07 09:05:41:755,1,324,0,0,353,4412,324,0 108,0,2024-09-07 09:05:41:764,83668,0.5,84171,0.6,167284,0.4,223825,1.75 108,1,2024-09-07 09:05:41:322,569502,569502,0,0,266233294600,2780711728,564425,4560,517,368,391857,0 108,2,2024-09-07 09:05:41:755,406673,406673,0,0,19284804,0,4246 108,3,2024-09-07 09:05:41:337,1,324,20,0,749,6691,324,0 109,0,2024-09-07 09:05:41:761,81416,0.4,80411,0.6,161199,0.3,215853,1.75 109,1,2024-09-07 09:05:40:638,567577,567577,0,0,266316928427,2796601024,562382,4532,663,383,392132,0 109,2,2024-09-07 09:05:40:951,411215,411215,0,0,18913907,0,3617 109,3,2024-09-07 09:05:41:148,1,324,8,0,379,4019,324,0 110,0,2024-09-07 09:05:41:760,76818,0.4,74775,0.6,156361,0.3,205392,1.75 110,1,2024-09-07 09:05:41:871,570672,570672,0,0,266123138299,2765111136,566675,3023,974,369,392045,0 110,2,2024-09-07 09:05:41:308,410836,410836,0,0,18687333,0,4067 110,3,2024-09-07 09:05:40:698,1,324,3,0,722,5050,324,0 111,0,2024-09-07 09:05:41:414,82266,0.4,81571,0.6,163412,0.4,218586,1.75 111,1,2024-09-07 09:05:41:005,570864,570864,0,0,267770766576,2781519921,567485,3027,352,382,391690,0 111,2,2024-09-07 09:05:41:126,407112,407112,0,0,18037675,0,4823 111,3,2024-09-07 09:05:40:917,1,324,0,0,379,4096,324,0 112,0,2024-09-07 09:05:40:928,84042,0.3,83298,0.4,167177,0.2,222483,1.50 112,1,2024-09-07 09:05:40:828,570898,570898,0,0,266439919399,2765990891,567438,2876,584,380,391624,0 112,2,2024-09-07 09:05:41:143,407634,407633,1,0,16258832,0,5036 112,3,2024-09-07 09:05:40:597,1,324,4,0,282,3407,324,0 113,0,2024-09-07 09:05:40:882,82000,0.3,82022,0.5,164509,0.2,219073,1.50 113,1,2024-09-07 09:05:41:695,572858,572858,0,0,267509803875,2762049698,569580,2694,584,366,391661,0 113,2,2024-09-07 09:05:41:303,413849,413849,0,0,15017549,0,3813 113,3,2024-09-07 09:05:40:685,1,324,1,0,340,4007,324,0 114,0,2024-09-07 09:05:40:880,76787,0.3,77348,0.5,153698,0.2,205499,1.75 114,1,2024-09-07 09:05:40:724,570608,570608,0,0,266221207648,2769336576,565487,3528,1593,381,391556,0 114,2,2024-09-07 09:05:40:873,412071,412070,1,0,16580348,0,5069 114,3,2024-09-07 09:05:41:286,1,324,0,0,395,2952,324,0 115,0,2024-09-07 09:05:40:567,81367,0.3,81831,0.4,163533,0.2,217931,1.50 115,1,2024-09-07 09:05:40:574,570321,570321,0,0,267006238269,2774903858,565464,4017,840,382,391656,0 115,2,2024-09-07 09:05:41:127,411682,411682,0,0,15348254,0,3453 115,3,2024-09-07 09:05:41:014,1,324,1,0,159,1964,324,0 116,0,2024-09-07 09:05:41:725,82438,0.9,82356,1.0,164955,1.1,220733,2.25 116,1,2024-09-07 09:05:40:816,567318,567318,0,0,264842717218,2797761207,559724,5328,2266,380,391782,0 116,2,2024-09-07 09:05:41:751,405551,405551,0,0,20538825,0,3529 116,3,2024-09-07 09:05:40:918,1,324,1,0,415,4177,324,0 117,0,2024-09-07 09:05:40:966,83986,0.8,83479,0.9,167263,0.9,223617,2.00 117,1,2024-09-07 09:05:41:584,568615,568615,0,0,265057961202,2777562963,562347,5600,668,370,392033,0 117,2,2024-09-07 09:05:41:123,412310,412310,0,0,17056800,0,3700 117,3,2024-09-07 09:05:41:070,1,324,3,0,490,4878,324,0 118,0,2024-09-07 09:05:41:765,75542,0.5,77572,0.7,158169,0.5,206116,2.00 118,1,2024-09-07 09:05:40:615,568341,568341,0,0,265247038976,2791639935,559564,6879,1898,366,391907,0 118,2,2024-09-07 09:05:41:589,411577,411577,0,0,18779777,0,2781 118,3,2024-09-07 09:05:41:764,1,324,2,0,235,3519,324,0 119,0,2024-09-07 09:05:41:398,79468,0.7,79881,0.8,159814,0.7,212808,2.00 119,1,2024-09-07 09:05:40:583,569638,569638,0,0,266073013439,2785245127,563078,5724,836,367,391780,0 119,2,2024-09-07 09:05:41:275,411231,411231,0,0,17821796,0,4174 119,3,2024-09-07 09:05:41:326,1,324,3,0,563,4935,324,0 120,0,2024-09-07 09:05:41:555,82566,0.7,82333,0.9,164891,0.7,220497,2.25 120,1,2024-09-07 09:05:40:859,568929,568929,0,0,264830005332,2783401090,562527,5847,555,368,391961,0 120,2,2024-09-07 09:05:40:807,405807,405806,1,0,20842291,0,5281 120,3,2024-09-07 09:05:41:300,1,324,2,0,241,4168,324,0 121,0,2024-09-07 09:05:41:693,84205,1.0,84328,1.0,169211,1.4,225140,2.25 121,1,2024-09-07 09:05:41:678,569410,569410,0,0,266156105717,2788143902,563514,5459,437,367,391840,0 121,2,2024-09-07 09:05:41:126,406740,406740,0,0,20128605,0,4127 121,3,2024-09-07 09:05:40:737,1,324,2,0,269,3843,324,0 122,0,2024-09-07 09:05:41:761,80312,0.8,78277,0.9,164016,0.9,215285,2.00 122,1,2024-09-07 09:05:40:866,567843,567843,0,0,265039778557,2786278816,559389,7193,1261,366,392130,0 122,2,2024-09-07 09:05:41:321,412804,412731,73,0,22673471,0,5989 122,3,2024-09-07 09:05:40:596,1,324,1,0,411,5944,324,0 123,0,2024-09-07 09:05:40:988,77275,0.7,75556,0.8,157666,0.8,206865,2.00 123,1,2024-09-07 09:05:40:559,567839,567839,0,0,264899086084,2798719586,556423,9291,2125,369,392039,0 123,2,2024-09-07 09:05:41:071,409087,409086,1,0,19622637,0,5215 123,3,2024-09-07 09:05:41:145,1,324,11,0,168,3831,324,0 124,0,2024-09-07 09:05:40:976,85585,0.4,85577,0.5,161298,0.3,222333,1.75 124,1,2024-09-07 09:05:41:023,570387,570387,0,0,266166772597,2773300239,565679,3800,908,367,392178,0 124,2,2024-09-07 09:05:41:012,408011,407958,53,0,17563511,0,6487 124,3,2024-09-07 09:05:40:766,1,324,3,0,490,3409,324,0 125,0,2024-09-07 09:05:41:430,83643,0.4,83168,0.6,167418,0.4,223006,1.75 125,1,2024-09-07 09:05:40:858,569205,569205,0,0,266311175891,2784443649,564329,4233,643,383,391702,0 125,2,2024-09-07 09:05:41:134,407811,407811,0,0,18195438,0,4534 125,3,2024-09-07 09:05:41:133,1,324,1,0,709,4565,324,0 126,0,2024-09-07 09:05:41:435,81785,0.4,84105,0.6,160864,0.4,217833,1.75 126,1,2024-09-07 09:05:40:569,571027,571027,0,0,266476845677,2765070652,567299,3445,283,365,391987,0 126,2,2024-09-07 09:05:40:649,413270,413270,0,0,18312376,0,4539 126,3,2024-09-07 09:05:40:913,1,324,75,0,207,4146,324,0 127,0,2024-09-07 09:05:41:622,75703,0.3,76100,0.5,151662,0.3,201797,1.75 127,1,2024-09-07 09:05:40:574,570295,570295,0,0,266077260556,2767894950,564901,4534,860,365,392187,0 127,2,2024-09-07 09:05:40:659,410716,410716,0,0,16843906,0,3897 127,3,2024-09-07 09:05:41:268,1,324,2,0,968,3972,324,0 128,0,2024-09-07 09:05:41:535,82107,0.3,82167,0.5,164312,0.2,218645,1.50 128,1,2024-09-07 09:05:41:626,570184,570184,0,0,266174425807,2766981739,566089,3725,370,367,391798,0 128,2,2024-09-07 09:05:41:384,410245,410245,0,0,15730510,0,2915 128,3,2024-09-07 09:05:40:768,1,324,3,0,1082,5852,324,0 129,0,2024-09-07 09:05:41:075,83483,0.3,83139,0.5,166631,0.3,221483,1.75 129,1,2024-09-07 09:05:40:575,567381,567381,0,0,265361475091,2782069733,561739,4386,1256,379,391835,0 129,2,2024-09-07 09:05:40:685,407838,407838,0,0,16486431,0,4031 129,3,2024-09-07 09:05:40:697,1,324,6,0,469,4410,324,0 130,0,2024-09-07 09:05:41:730,83566,0.5,83129,0.6,167077,0.5,221982,1.75 130,1,2024-09-07 09:05:40:615,570560,570560,0,0,266469643900,2773022927,567152,3129,279,381,391825,0 130,2,2024-09-07 09:05:41:129,412166,412166,0,0,16319650,0,4067 130,3,2024-09-07 09:05:41:317,1,324,1,0,450,4089,324,0 131,0,2024-09-07 09:05:41:964,76253,0.3,76795,0.5,154272,0.3,204187,1.75 131,1,2024-09-07 09:05:41:851,570260,570260,0,0,266376425539,2782090712,565814,3701,745,381,391865,0 131,2,2024-09-07 09:05:40:589,414154,414154,0,0,15236487,0,2415 131,3,2024-09-07 09:05:41:695,1,324,3,0,392,3448,324,0 132,0,2024-09-07 09:05:41:451,80809,0.4,81527,0.6,162718,0.4,216752,1.75 132,1,2024-09-07 09:05:40:604,567660,567660,0,0,264528523360,2789423191,559028,7167,1465,381,392097,0 132,2,2024-09-07 09:05:40:700,410323,410323,0,0,20134466,0,4606 132,3,2024-09-07 09:05:41:691,1,324,1,0,804,6121,324,0 133,0,2024-09-07 09:05:41:543,81153,0.5,82891,0.6,170167,0.5,221071,2.00 133,1,2024-09-07 09:05:40:591,567846,567846,0,0,265371711767,2800473118,559681,7083,1082,383,391914,0 133,2,2024-09-07 09:05:41:094,405180,405180,0,0,21187176,0,4315 133,3,2024-09-07 09:05:41:300,1,324,1,0,479,3530,324,0 134,0,2024-09-07 09:05:40:960,84716,0.5,84646,0.7,169291,0.5,225568,2.00 134,1,2024-09-07 09:05:40:587,567844,567844,0,0,264738603126,2783744882,559591,6397,1856,366,391718,0 134,2,2024-09-07 09:05:41:769,408068,408068,0,0,17748496,0,3847 134,3,2024-09-07 09:05:40:761,1,324,75,0,739,4345,324,0 135,0,2024-09-07 09:05:41:116,76705,0.7,76727,0.8,162727,0.7,209980,2.00 135,1,2024-09-07 09:05:41:599,568539,568539,0,0,265835557080,2801222169,560192,7112,1235,380,391805,0 135,2,2024-09-07 09:05:40:688,413688,413688,0,0,19332918,0,3981 135,3,2024-09-07 09:05:41:008,1,324,1,0,299,2584,324,0 136,0,2024-09-07 09:05:41:623,79112,0.6,79221,0.7,157668,0.6,210795,2.25 136,1,2024-09-07 09:05:41:450,568287,568287,0,0,264750828052,2784008061,561278,6314,695,382,391685,0 136,2,2024-09-07 09:05:41:158,411129,411129,0,0,19247420,0,3506 136,3,2024-09-07 09:05:41:113,1,324,1,0,637,3835,324,0 137,0,2024-09-07 09:05:40:930,85974,0.7,83715,0.8,164247,0.8,222971,2.00 137,1,2024-09-07 09:05:40:579,567683,567683,0,0,265141604272,2788469360,558108,7695,1880,366,391708,0 137,2,2024-09-07 09:05:41:704,405587,405587,0,0,21475888,0,3185 137,3,2024-09-07 09:05:40:769,1,324,1,0,382,3988,324,0 138,0,2024-09-07 09:05:41:744,83227,1.3,83352,1.1,167370,1.9,222800,2.25 138,1,2024-09-07 09:05:41:693,568514,568514,0,0,266163258736,2799389367,559477,7442,1595,368,391954,0 138,2,2024-09-07 09:05:40:702,407309,407309,0,0,19224296,0,4988 138,3,2024-09-07 09:05:40:619,1,324,7,0,1160,4757,324,0 139,0,2024-09-07 09:05:41:363,79836,1.3,80143,1.1,160538,1.9,214018,2.25 139,1,2024-09-07 09:05:40:874,566180,566180,0,0,263986354987,2810106965,554829,8707,2644,381,391892,0 139,2,2024-09-07 09:05:40:700,410423,410423,0,0,20913487,0,3097 139,3,2024-09-07 09:05:41:671,1,324,8,0,257,3658,324,0 140,0,2024-09-07 09:05:41:591,77099,0.3,76667,0.5,154075,0.2,205652,1.75 140,1,2024-09-07 09:05:41:537,572033,572033,0,0,267363492481,2760548468,568831,2764,438,365,391606,0 140,2,2024-09-07 09:05:40:692,411224,411223,1,0,17415071,0,5036 140,3,2024-09-07 09:05:40:769,1,324,1,0,247,2717,324,0 141,0,2024-09-07 09:05:41:703,82000,0.4,84276,0.5,161061,0.3,218651,1.75 141,1,2024-09-07 09:05:40:859,571023,571023,0,0,266352731753,2771263126,566462,3699,862,379,391614,0 141,2,2024-09-07 09:05:41:686,407980,407980,0,0,16921136,0,3360 141,3,2024-09-07 09:05:41:043,1,324,1,0,391,3838,324,0 142,0,2024-09-07 09:05:41:325,84078,0.4,83321,0.5,166360,0.3,222771,1.75 142,1,2024-09-07 09:05:40:667,570487,570487,0,0,265811956417,2775388223,566528,3609,350,382,392102,0 142,2,2024-09-07 09:05:41:299,406786,406754,32,0,18343861,0,6028 142,3,2024-09-07 09:05:41:748,1,324,3,0,484,4110,324,0 143,0,2024-09-07 09:05:41:373,82312,0.4,82125,0.6,164795,0.4,219164,1.75 143,1,2024-09-07 09:05:40:559,570600,570600,0,0,266355422772,2767113016,566832,3619,149,367,391705,0 143,2,2024-09-07 09:05:40:771,411950,411950,0,0,17738139,0,3123 143,3,2024-09-07 09:05:41:143,1,324,1,0,303,3927,324,0 144,0,2024-09-07 09:05:41:524,74041,0.5,76132,0.8,155057,0.5,203673,2.00 144,1,2024-09-07 09:05:40:586,568026,568026,0,0,265032558283,2780921233,563178,3908,940,381,391649,0 144,2,2024-09-07 09:05:41:755,412143,412143,0,0,15913052,0,3473 144,3,2024-09-07 09:05:41:739,1,324,1,0,249,3374,324,0 145,0,2024-09-07 09:05:41:410,78755,0.6,78660,0.8,166893,0.6,215555,2.25 145,1,2024-09-07 09:05:40:573,567328,567328,0,0,265294589900,2792278441,560035,6153,1140,382,391759,0 145,2,2024-09-07 09:05:41:444,408672,408672,0,0,19307528,0,3903 145,3,2024-09-07 09:05:40:898,1,324,1,0,622,5072,324,0 146,0,2024-09-07 09:05:41:592,82847,0.6,82115,0.8,165689,0.6,219549,2.25 146,1,2024-09-07 09:05:41:589,568875,568875,0,0,264963483119,2791082471,559187,7678,2010,368,391770,0 146,2,2024-09-07 09:05:41:697,405664,405664,0,0,19186294,0,2730 146,3,2024-09-07 09:05:41:274,1,324,5,0,1520,6975,324,0 147,0,2024-09-07 09:05:41:745,84005,0.6,83696,0.8,166733,0.6,223228,2.25 147,1,2024-09-07 09:05:41:388,570476,570476,0,0,266390047653,2776218197,564821,4855,800,368,391791,0 147,2,2024-09-07 09:05:41:024,410243,410243,0,0,17123994,0,2789 147,3,2024-09-07 09:05:40:927,1,324,1,0,730,4910,324,0 0,0,2024-09-07 09:05:51:735,80705,0.7,80720,0.8,171400,0.8,221232,2.00 0,1,2024-09-07 09:05:50:801,571287,571287,0,0,266387985989,2787959352,567166,3878,243,370,391896,0 0,2,2024-09-07 09:05:51:067,407807,407807,0,0,16721405,0,4480 0,3,2024-09-07 09:05:50:975,1,325,7,0,431,4543,325,0 1,0,2024-09-07 09:05:51:778,84731,0.8,84143,0.9,169110,1.0,225760,2.00 1,1,2024-09-07 09:05:50:560,570185,570185,0,0,265826972615,2786477035,564598,4361,1226,370,391857,0 1,2,2024-09-07 09:05:50:646,408375,408375,0,0,16250346,0,3267 1,3,2024-09-07 09:05:51:306,1,325,1,0,262,3902,325,0 2,0,2024-09-07 09:05:51:572,80701,0.6,81021,0.8,160766,0.7,215361,2.00 2,1,2024-09-07 09:05:50:873,572223,572223,0,0,267484616726,2779398923,569329,2601,293,380,391745,0 2,2,2024-09-07 09:05:51:275,414910,414910,0,0,16280880,0,3594 2,3,2024-09-07 09:05:50:697,1,325,6,0,357,3266,325,0 3,0,2024-09-07 09:05:51:750,77896,0.4,77883,0.6,155742,0.4,207674,2.00 3,1,2024-09-07 09:05:51:628,571071,571071,0,0,265988025197,2772678051,565973,4475,623,380,391591,0 3,2,2024-09-07 09:05:51:143,412678,412655,23,0,16656293,0,5851 3,3,2024-09-07 09:05:51:769,1,325,0,0,207,2191,325,0 4,0,2024-09-07 09:05:51:782,80869,0.4,83299,0.5,169269,0.4,221803,1.75 4,1,2024-09-07 09:05:50:597,569768,569768,0,0,265963894493,2802916618,562460,5952,1356,371,391992,0 4,2,2024-09-07 09:05:51:020,406144,406144,0,0,19320216,0,4528 4,3,2024-09-07 09:05:51:027,1,325,1,0,448,4656,325,0 5,0,2024-09-07 09:05:51:396,83647,0.5,84002,0.6,167568,0.5,223079,1.75 5,1,2024-09-07 09:05:50:755,570336,570336,0,0,266074560144,2800531058,563176,5804,1356,367,392005,0 5,2,2024-09-07 09:05:51:848,407492,407492,0,0,18395691,0,2432 5,3,2024-09-07 09:05:51:740,1,325,50,0,457,4894,325,0 6,0,2024-09-07 09:05:50:917,82297,0.5,81761,0.6,163492,0.4,218161,2.00 6,1,2024-09-07 09:05:50:748,570310,570310,0,0,266790153754,2790020308,563953,5281,1076,379,391694,0 6,2,2024-09-07 09:05:51:116,413772,413772,0,0,17727831,0,4816 6,3,2024-09-07 09:05:51:274,1,325,2,0,340,3689,325,0 7,0,2024-09-07 09:05:51:551,75825,0.5,76117,0.6,151639,0.4,202207,1.75 7,1,2024-09-07 09:05:51:017,569558,569558,0,0,266290108431,2799058668,561491,6891,1176,382,391747,0 7,2,2024-09-07 09:05:50:773,412407,412407,0,0,17777397,0,4791 7,3,2024-09-07 09:05:50:856,1,325,1,0,398,3825,325,0 8,0,2024-09-07 09:05:51:360,82215,0.4,82092,0.5,164431,0.3,219142,1.75 8,1,2024-09-07 09:05:51:027,568815,568815,0,0,265968491469,2805446873,558668,7870,2277,366,392144,0 8,2,2024-09-07 09:05:50:791,405835,405835,0,0,22063087,0,3220 8,3,2024-09-07 09:05:50:606,1,325,4,0,538,5691,325,0 9,0,2024-09-07 09:05:51:145,83368,0.4,81027,0.6,169509,0.4,222098,1.75 9,1,2024-09-07 09:05:50:552,569693,569693,0,0,266180994327,2810645544,560649,6920,2124,369,392001,0 9,2,2024-09-07 09:05:51:083,407438,407438,0,0,19398566,0,3360 9,3,2024-09-07 09:05:51:753,1,325,17,0,496,4950,325,0 10,0,2024-09-07 09:05:51:625,83342,0.3,82696,0.5,166078,0.2,221156,1.75 10,1,2024-09-07 09:05:50:583,570511,570511,0,0,266262560564,2792996158,562630,6772,1109,381,391741,0 10,2,2024-09-07 09:05:50:766,412139,412139,0,0,21116800,0,4264 10,3,2024-09-07 09:05:50:874,1,325,1,0,296,2981,325,0 11,0,2024-09-07 09:05:51:012,76268,0.4,74024,0.6,154838,0.4,205045,1.75 11,1,2024-09-07 09:05:50:571,570204,570204,0,0,266443671761,2803374562,560194,7562,2448,383,391664,0 11,2,2024-09-07 09:05:51:124,412540,412540,0,0,19132371,0,4130 11,3,2024-09-07 09:05:51:298,1,325,0,0,843,5170,325,0 12,0,2024-09-07 09:05:50:940,81971,0.4,81764,0.5,163725,0.3,218109,1.75 12,1,2024-09-07 09:05:50:933,570936,570936,0,0,265956454148,2777381436,566083,4363,490,370,391870,0 12,2,2024-09-07 09:05:51:546,412011,412011,0,0,18812976,0,3469 12,3,2024-09-07 09:05:51:061,1,325,5,0,386,5427,325,0 13,0,2024-09-07 09:05:51:337,83847,0.5,83504,0.6,166905,0.5,222229,1.75 13,1,2024-09-07 09:05:51:536,570011,570011,0,0,266494408932,2806239695,564112,4490,1409,382,391740,0 13,2,2024-09-07 09:05:50:601,408476,408476,0,0,17133340,0,3287 13,3,2024-09-07 09:05:51:774,1,325,0,0,522,5399,325,0 14,0,2024-09-07 09:05:50:563,84928,0.4,85586,0.6,169279,0.4,225550,1.75 14,1,2024-09-07 09:05:51:564,574180,574180,0,0,267533609543,2774678976,569495,4326,359,364,391571,0 14,2,2024-09-07 09:05:50:774,409640,409610,30,0,18947362,0,6104 14,3,2024-09-07 09:05:51:114,1,325,1,0,1168,4070,325,0 15,0,2024-09-07 09:05:51:558,79326,0.4,79408,0.6,158803,0.4,210956,2.00 15,1,2024-09-07 09:05:51:615,571549,571549,0,0,266878566150,2782265041,567212,3516,821,381,391619,0 15,2,2024-09-07 09:05:50:998,415695,415695,0,0,15558876,0,3622 15,3,2024-09-07 09:05:51:405,1,325,12,0,1126,6167,325,0 16,0,2024-09-07 09:05:50:935,79122,0.6,79493,0.8,158439,0.6,211426,2.25 16,1,2024-09-07 09:05:50:566,571585,571585,0,0,266855194670,2787954360,567302,3923,360,370,391756,0 16,2,2024-09-07 09:05:51:440,411988,411988,0,0,17742877,0,4719 16,3,2024-09-07 09:05:51:144,1,325,0,0,317,4619,325,0 17,0,2024-09-07 09:05:51:811,85958,0.7,83993,0.8,164080,0.8,222655,2.00 17,1,2024-09-07 09:05:50:570,570411,570411,0,0,265453576606,2789080391,564182,4972,1257,368,391899,0 17,2,2024-09-07 09:05:51:670,409750,409750,0,0,17337547,0,2857 17,3,2024-09-07 09:05:50:574,1,325,9,0,298,5276,325,0 18,0,2024-09-07 09:05:50:941,83110,0.6,83467,0.8,166456,0.7,222357,2.25 18,1,2024-09-07 09:05:51:643,572376,572376,0,0,267122952539,2770694251,569041,3024,311,367,391649,0 18,2,2024-09-07 09:05:51:758,410641,410641,0,0,16125001,0,3541 18,3,2024-09-07 09:05:50:896,1,325,10,0,163,2836,325,0 19,0,2024-09-07 09:05:51:554,80671,0.6,81267,0.8,160823,0.6,214535,2.25 19,1,2024-09-07 09:05:50:566,572308,572308,0,0,267675260208,2779560156,567188,4281,839,367,391777,0 19,2,2024-09-07 09:05:51:753,415908,415908,0,0,14893045,0,3988 19,3,2024-09-07 09:05:51:134,1,325,2,0,524,2549,325,0 20,0,2024-09-07 09:05:51:363,77020,0.5,76988,0.7,154018,0.5,205846,2.00 20,1,2024-09-07 09:05:50:583,570281,570281,0,0,266299391877,2783839408,565953,3924,404,369,391886,0 20,2,2024-09-07 09:05:50:930,412632,412632,0,0,17754789,0,3721 20,3,2024-09-07 09:05:50:608,1,325,1,0,414,5241,325,0 21,0,2024-09-07 09:05:51:138,82310,0.5,82447,0.7,164612,0.5,218940,2.00 21,1,2024-09-07 09:05:51:539,569537,569537,0,0,265422376189,2798924785,561663,5997,1877,368,392016,0 21,2,2024-09-07 09:05:51:066,406824,406824,0,0,20827805,0,3747 21,3,2024-09-07 09:05:51:406,1,325,1,0,713,3944,325,0 22,0,2024-09-07 09:05:51:719,83236,0.6,83641,0.7,167320,0.6,221731,2.25 22,1,2024-09-07 09:05:51:023,570534,570534,0,0,266167441014,2800276247,562046,6669,1819,382,391667,0 22,2,2024-09-07 09:05:50:763,407548,407548,0,0,16961598,0,3134 22,3,2024-09-07 09:05:51:066,1,325,1,0,228,2829,325,0 23,0,2024-09-07 09:05:51:368,81852,0.5,81780,0.7,163767,0.5,218180,2.25 23,1,2024-09-07 09:05:51:003,570177,570177,0,0,266656844506,2804286018,559685,7334,3158,365,391690,0 23,2,2024-09-07 09:05:51:095,414376,414376,0,0,16682124,0,3010 23,3,2024-09-07 09:05:51:768,1,325,4,0,645,3988,325,0 24,0,2024-09-07 09:05:50:817,77176,0.4,77099,0.6,154691,0.3,205438,1.75 24,1,2024-09-07 09:05:50:586,569511,569511,0,0,265762720827,2792269895,562626,5357,1528,368,392269,0 24,2,2024-09-07 09:05:51:069,411001,411001,0,0,20851865,0,3607 24,3,2024-09-07 09:05:51:690,1,325,18,0,468,4481,325,0 25,0,2024-09-07 09:05:51:356,83998,0.4,81912,0.6,160582,0.4,219327,2.00 25,1,2024-09-07 09:05:50:662,570635,570635,0,0,266807142052,2803032179,562955,6311,1369,371,391928,0 25,2,2024-09-07 09:05:51:612,410118,410118,0,0,20854664,0,3978 25,3,2024-09-07 09:05:51:000,1,325,3,0,255,3289,325,0 26,0,2024-09-07 09:05:51:722,82642,0.4,80736,0.6,169512,0.4,220536,2.00 26,1,2024-09-07 09:05:51:545,571022,571022,0,0,265952765447,2791383008,562174,7312,1536,380,391748,0 26,2,2024-09-07 09:05:50:861,408266,408266,0,0,20477756,0,2809 26,3,2024-09-07 09:05:51:712,1,325,0,0,796,4341,325,0 27,0,2024-09-07 09:05:51:731,84206,0.5,84294,0.6,167051,0.4,223153,2.00 27,1,2024-09-07 09:05:51:678,572791,572791,0,0,267743746150,2790320794,567525,4501,765,381,391626,0 27,2,2024-09-07 09:05:50:869,408748,408683,65,0,20360414,0,5699 27,3,2024-09-07 09:05:51:016,1,325,1,0,564,3628,325,0 28,0,2024-09-07 09:05:51:392,78018,0.4,77746,0.6,155758,0.3,207511,2.00 28,1,2024-09-07 09:05:50:797,571731,571731,0,0,267225905291,2787554332,567354,3675,702,383,391698,0 28,2,2024-09-07 09:05:51:770,413126,413126,0,0,18088471,0,2915 28,3,2024-09-07 09:05:51:779,1,325,0,0,502,3511,325,0 29,0,2024-09-07 09:05:51:355,82422,0.4,80363,0.6,157517,0.3,215577,1.75 29,1,2024-09-07 09:05:51:565,573370,573370,0,0,267761860924,2776151992,569033,3654,683,369,391753,0 29,2,2024-09-07 09:05:50:861,410973,410973,0,0,17431984,0,4986 29,3,2024-09-07 09:05:50:965,1,325,1,0,459,4007,325,0 30,0,2024-09-07 09:05:51:455,82839,0.6,80423,0.8,168372,0.5,220224,2.00 30,1,2024-09-07 09:05:50:571,572621,572621,0,0,267469055608,2781420988,567802,4109,710,381,391672,0 30,2,2024-09-07 09:05:51:273,407517,407517,0,0,16322824,0,3161 30,3,2024-09-07 09:05:50:581,1,325,1,0,519,3396,325,0 31,0,2024-09-07 09:05:51:760,84260,0.4,84558,0.6,169206,0.4,225408,2.00 31,1,2024-09-07 09:05:50:563,575089,575089,0,0,267917884021,2750674947,572547,1984,558,356,391712,0 31,2,2024-09-07 09:05:51:275,409037,409037,0,0,18144737,0,3525 31,3,2024-09-07 09:05:51:709,1,325,7,0,220,2858,325,0 32,0,2024-09-07 09:05:51:465,80677,0.3,81045,0.5,162047,0.2,215758,1.75 32,1,2024-09-07 09:05:50:804,572373,572373,0,0,267204996738,2775900853,569303,2625,445,381,391646,0 32,2,2024-09-07 09:05:50:936,415354,415354,0,0,15247503,0,3155 32,3,2024-09-07 09:05:51:015,1,325,0,0,227,2415,325,0 33,0,2024-09-07 09:05:51:549,78195,0.3,77766,0.4,156103,0.2,208374,1.75 33,1,2024-09-07 09:05:50:579,573432,573432,0,0,268669535169,2783807781,569022,3579,831,369,391730,0 33,2,2024-09-07 09:05:50:759,413517,413482,35,0,17348028,0,7012 33,3,2024-09-07 09:05:50:895,1,325,1,0,329,3530,325,0 34,0,2024-09-07 09:05:50:934,83601,0.3,86023,0.5,164434,0.2,221135,1.75 34,1,2024-09-07 09:05:51:047,574221,574221,0,0,268475940920,2760802529,572804,1409,8,367,391562,0 34,2,2024-09-07 09:05:50:766,408189,408189,0,0,16482615,0,3577 34,3,2024-09-07 09:05:51:690,1,325,1,0,299,2431,325,0 35,0,2024-09-07 09:05:50:858,83003,0.4,83405,0.5,167696,0.3,223645,1.75 35,1,2024-09-07 09:05:51:067,572678,572678,0,0,267214330744,2770810565,569074,2823,781,382,391589,0 35,2,2024-09-07 09:05:51:584,409175,409175,0,0,16509723,0,2653 35,3,2024-09-07 09:05:50:907,1,325,1,0,418,4213,325,0 36,0,2024-09-07 09:05:51:515,82206,0.5,82242,0.7,164397,0.4,217534,2.00 36,1,2024-09-07 09:05:50:588,571975,571975,0,0,266772935623,2789089204,564747,5570,1658,366,391759,0 36,2,2024-09-07 09:05:51:754,414277,414277,0,0,18835633,0,3875 36,3,2024-09-07 09:05:50:863,1,325,1,0,416,5254,325,0 37,0,2024-09-07 09:05:51:376,75646,0.5,75675,0.7,151575,0.4,202302,2.00 37,1,2024-09-07 09:05:50:571,570865,570858,0,7,266631795158,2788420396,564088,4685,2085,365,391570,0 37,2,2024-09-07 09:05:51:147,410909,410894,15,0,18428386,0,5815 37,3,2024-09-07 09:05:51:769,1,325,0,0,888,5491,325,0 38,0,2024-09-07 09:05:51:438,81512,0.4,79196,0.6,165729,0.4,216657,2.00 38,1,2024-09-07 09:05:51:605,572488,572488,0,0,266726573117,2779164955,566452,5278,758,368,391821,0 38,2,2024-09-07 09:05:50:760,409211,409164,47,0,19012510,0,6710 38,3,2024-09-07 09:05:50:997,1,325,1,0,689,4593,325,0 39,0,2024-09-07 09:05:51:786,84791,0.6,83054,0.8,161551,0.6,220449,2.00 39,1,2024-09-07 09:05:50:715,570933,570933,0,0,266395204990,2789477225,562572,6901,1460,365,391594,0 39,2,2024-09-07 09:05:51:417,408377,408377,0,0,16984886,0,2689 39,3,2024-09-07 09:05:50:713,1,325,1,0,324,4231,325,0 40,0,2024-09-07 09:05:51:498,82346,0.8,83031,1.0,165853,0.8,220841,2.75 40,1,2024-09-07 09:05:50:580,571546,571546,0,0,265990164816,2788431191,562993,7043,1510,368,391668,0 40,2,2024-09-07 09:05:51:309,411355,411354,1,0,20461156,0,5137 40,3,2024-09-07 09:05:51:142,1,325,8,0,1028,4977,325,0 41,0,2024-09-07 09:05:51:022,76125,1.5,77996,1.3,148829,2.4,203000,3.00 41,1,2024-09-07 09:05:50:792,570670,570670,0,0,266515537134,2790907403,563620,6347,703,370,391742,0 41,2,2024-09-07 09:05:50:764,411242,411242,0,0,19633853,0,3356 41,3,2024-09-07 09:05:51:680,1,325,3,0,366,3368,325,0 42,0,2024-09-07 09:05:51:478,80677,0.9,80760,1.0,161813,1.0,214117,2.75 42,1,2024-09-07 09:05:51:450,570026,570026,0,0,266280277983,2801902597,560499,7769,1758,380,391675,0 42,2,2024-09-07 09:05:51:136,411517,411517,0,0,19736061,0,3790 42,3,2024-09-07 09:05:51:009,1,325,1,0,446,3055,325,0 43,0,2024-09-07 09:05:50:916,82089,1.0,80101,1.1,167809,1.2,219735,2.50 43,1,2024-09-07 09:05:50:584,571137,571137,0,0,266994006243,2793162080,562906,6793,1438,366,391696,0 43,2,2024-09-07 09:05:51:742,407580,407580,0,0,19416146,0,3812 43,3,2024-09-07 09:05:51:752,1,325,0,0,467,4020,325,0 44,0,2024-09-07 09:05:50:861,84622,0.4,85061,0.6,169695,0.4,225677,1.75 44,1,2024-09-07 09:05:50:567,572887,572887,0,0,266549918600,2760291030,567829,4011,1047,356,391809,0 44,2,2024-09-07 09:05:51:266,409297,409297,0,0,16389345,0,4344 44,3,2024-09-07 09:05:51:093,1,325,1,0,817,4419,325,0 45,0,2024-09-07 09:05:51:800,78399,0.5,76537,0.7,160407,0.5,210778,2.00 45,1,2024-09-07 09:05:51:005,571776,571776,0,0,267872472124,2786560882,567343,3957,476,382,391917,0 45,2,2024-09-07 09:05:51:267,414279,414279,0,0,16996843,0,3596 45,3,2024-09-07 09:05:50:936,1,325,1,0,271,3195,325,0 46,0,2024-09-07 09:05:50:967,78556,0.6,78554,0.8,157281,0.6,209355,2.25 46,1,2024-09-07 09:05:50:575,573725,573725,0,0,267871650564,2769294165,570018,3281,426,366,391572,0 46,2,2024-09-07 09:05:50:596,413029,413029,0,0,16016471,0,2920 46,3,2024-09-07 09:05:51:135,1,325,124,0,908,4972,325,0 47,0,2024-09-07 09:05:51:101,83793,0.5,83981,0.7,168169,0.5,222161,2.00 47,1,2024-09-07 09:05:50:567,573290,573290,0,0,267476758067,2770363438,568804,3671,815,366,391641,0 47,2,2024-09-07 09:05:50:910,409181,409181,0,0,16484461,0,4477 47,3,2024-09-07 09:05:51:115,1,325,12,0,529,3698,325,0 48,0,2024-09-07 09:05:51:497,84706,0.3,84228,0.4,168010,0.2,224609,1.50 48,1,2024-09-07 09:05:51:022,572363,572363,0,0,267459562351,2778964329,568810,3251,302,384,391710,0 48,2,2024-09-07 09:05:50:699,408819,408819,0,0,15004043,0,3031 48,3,2024-09-07 09:05:50:757,1,325,1,0,339,2842,325,0 49,0,2024-09-07 09:05:51:740,83223,0.3,81732,0.5,158364,0.3,217035,1.75 49,1,2024-09-07 09:05:51:021,572002,572002,0,0,266999398438,2782036661,567685,3132,1185,382,391809,0 49,2,2024-09-07 09:05:51:797,415181,415181,0,0,16154773,0,4426 49,3,2024-09-07 09:05:51:416,1,325,1,0,408,3451,325,0 50,0,2024-09-07 09:05:51:509,77317,0.3,76367,0.5,153722,0.2,205448,1.75 50,1,2024-09-07 09:05:51:010,574083,574083,0,0,267920141921,2771873490,570265,3444,374,368,391565,0 50,2,2024-09-07 09:05:51:067,412735,412735,0,0,15111907,0,2263 50,3,2024-09-07 09:05:51:291,1,325,3,0,335,3224,325,0 51,0,2024-09-07 09:05:51:689,84483,0.3,82519,0.5,161136,0.2,219974,1.75 51,1,2024-09-07 09:05:51:696,574350,574350,0,0,268928500524,2776330987,571095,2280,975,365,391706,0 51,2,2024-09-07 09:05:51:319,408949,408949,0,0,14578648,0,3337 51,3,2024-09-07 09:05:51:033,1,325,0,0,678,2549,325,0 52,0,2024-09-07 09:05:51:428,83897,0.5,83783,0.7,167393,0.4,222977,2.00 52,1,2024-09-07 09:05:50:575,571158,571158,0,0,266005692851,2789222752,562606,7241,1311,368,391722,0 52,2,2024-09-07 09:05:51:756,405814,405776,38,0,19183816,0,6742 52,3,2024-09-07 09:05:50:674,1,325,3,0,1782,5162,325,0 53,0,2024-09-07 09:05:51:778,81750,0.6,79434,0.8,166047,0.7,217744,2.25 53,1,2024-09-07 09:05:50:781,569853,569853,0,0,266161050430,2796621809,560429,6843,2581,367,391702,0 53,2,2024-09-07 09:05:51:298,414402,414402,0,0,16446337,0,2727 53,3,2024-09-07 09:05:50:698,1,325,10,0,308,3200,325,0 54,0,2024-09-07 09:05:51:617,75589,0.6,76148,0.8,151348,0.4,202641,2.25 54,1,2024-09-07 09:05:50:585,571501,571501,0,0,267521954663,2782061582,565908,4991,602,367,391659,0 54,2,2024-09-07 09:05:50:868,412628,412622,6,0,18996364,0,5382 54,3,2024-09-07 09:05:50:765,1,325,1,0,676,5119,325,0 55,0,2024-09-07 09:05:51:770,79059,0.6,81874,0.7,164935,0.5,215393,2.50 55,1,2024-09-07 09:05:50:767,571515,571515,0,0,267123290935,2782455893,564893,5713,909,365,391731,0 55,2,2024-09-07 09:05:50:735,410082,410082,0,0,18509649,0,3563 55,3,2024-09-07 09:05:50:674,1,325,2,0,304,3644,325,0 56,0,2024-09-07 09:05:51:559,84586,1.3,79739,1.2,164395,1.8,220145,2.75 56,1,2024-09-07 09:05:50:586,568713,568713,0,0,265748376219,2809229585,559985,7098,1630,381,391867,0 56,2,2024-09-07 09:05:51:321,407738,407738,0,0,19364910,0,3567 56,3,2024-09-07 09:05:51:071,1,325,1,0,705,4410,325,0 57,0,2024-09-07 09:05:50:960,82869,1.5,82706,1.2,165799,2.1,221680,3.00 57,1,2024-09-07 09:05:51:060,570526,570526,0,0,265837921262,2789451538,563709,6097,720,366,392032,0 57,2,2024-09-07 09:05:51:322,411225,411225,0,0,21110902,0,3317 57,3,2024-09-07 09:05:51:742,1,325,1,0,359,3911,325,0 58,0,2024-09-07 09:05:50:559,76510,0.9,74444,1.0,155958,1.1,204260,2.50 58,1,2024-09-07 09:05:50:575,571509,571506,0,3,266689312445,2791302826,563389,7035,1082,367,391603,3 58,2,2024-09-07 09:05:51:079,412569,412569,0,0,19386148,0,2549 58,3,2024-09-07 09:05:51:069,1,325,9,0,1043,3396,325,0 59,0,2024-09-07 09:05:51:790,79955,0.8,79578,1.0,159073,0.9,211777,2.75 59,1,2024-09-07 09:05:50:806,571280,571280,0,0,266740574713,2795327918,563421,6339,1520,369,391586,0 59,2,2024-09-07 09:05:50:591,412878,412878,0,0,19089837,0,2867 59,3,2024-09-07 09:05:51:745,1,325,7,0,1015,4271,325,0 60,0,2024-09-07 09:05:51:729,82943,0.6,83061,0.7,166723,0.6,220870,1.75 60,1,2024-09-07 09:05:50:784,572746,572746,0,0,267533684388,2780509838,568641,3460,645,370,392031,0 60,2,2024-09-07 09:05:51:145,407575,407575,0,0,18659568,0,3811 60,3,2024-09-07 09:05:51:263,1,325,5,0,409,3891,325,0 61,0,2024-09-07 09:05:51:548,84507,0.6,85038,0.8,168934,0.6,225160,2.00 61,1,2024-09-07 09:05:50:777,570801,570801,0,0,266109862066,2793950384,563643,5834,1324,382,392127,0 61,2,2024-09-07 09:05:51:132,409247,409180,67,0,18995423,0,6411 61,3,2024-09-07 09:05:51:692,1,325,0,0,479,5036,325,0 62,0,2024-09-07 09:05:51:716,81218,0.5,82999,0.7,158215,0.5,215405,2.00 62,1,2024-09-07 09:05:51:182,574198,574192,0,6,268494831685,2773889191,570616,3317,259,365,391715,6 62,2,2024-09-07 09:05:51:653,413542,413541,1,0,18594094,0,5555 62,3,2024-09-07 09:05:51:144,1,325,13,0,465,2562,325,0 63,0,2024-09-07 09:05:51:456,78188,0.4,78105,0.6,156478,0.4,208427,1.75 63,1,2024-09-07 09:05:50:804,572773,572767,0,6,268264455323,2787678838,568837,3542,388,381,391800,6 63,2,2024-09-07 09:05:50:766,412610,412610,0,0,16905456,0,4369 63,3,2024-09-07 09:05:51:740,1,325,5,0,667,3816,325,0 64,0,2024-09-07 09:05:51:553,82897,0.5,82811,0.7,165716,0.5,220222,2.00 64,1,2024-09-07 09:05:50:750,572136,572136,0,0,267422064724,2789936940,566496,3982,1658,370,391783,0 64,2,2024-09-07 09:05:51:145,411172,411153,19,0,16467919,0,6121 64,3,2024-09-07 09:05:51:146,1,325,4,0,265,3082,325,0 65,0,2024-09-07 09:05:51:706,82857,0.7,83128,0.8,166083,0.7,221589,2.25 65,1,2024-09-07 09:05:50:867,571204,571204,0,0,266894392149,2790962673,567125,3628,451,382,391901,0 65,2,2024-09-07 09:05:51:695,410007,410007,0,0,18068734,0,3367 65,3,2024-09-07 09:05:51:691,1,325,4,0,163,2902,325,0 66,0,2024-09-07 09:05:51:823,81607,0.5,81515,0.7,163259,0.4,216753,2.00 66,1,2024-09-07 09:05:51:295,572122,572122,0,0,267427797023,2781921629,568564,3237,321,380,391653,0 66,2,2024-09-07 09:05:51:151,416108,416108,0,0,16336989,0,4956 66,3,2024-09-07 09:05:51:080,1,325,1,0,291,3183,325,0 67,0,2024-09-07 09:05:51:426,76481,0.5,75855,0.7,152503,0.5,203257,2.00 67,1,2024-09-07 09:05:50:766,572350,572349,0,1,266839367971,2780658146,568184,3414,751,380,391787,1 67,2,2024-09-07 09:05:50:584,413207,413207,0,0,15726245,0,2889 67,3,2024-09-07 09:05:51:752,1,325,3,0,338,2818,325,0 68,0,2024-09-07 09:05:50:636,82470,0.6,82073,0.7,163614,0.5,219045,2.00 68,1,2024-09-07 09:05:50:577,570696,570696,0,0,265917429476,2787141243,565892,3602,1202,381,391953,0 68,2,2024-09-07 09:05:51:050,408236,408171,65,0,20755493,0,6698 68,3,2024-09-07 09:05:50:742,1,325,1,0,417,3585,325,0 69,0,2024-09-07 09:05:51:749,82706,0.9,83093,0.9,165611,1.1,220112,2.25 69,1,2024-09-07 09:05:51:015,568944,568944,0,0,265849434789,2808301553,560971,5863,2110,384,391994,0 69,2,2024-09-07 09:05:51:733,407797,407797,0,0,20699743,0,3722 69,3,2024-09-07 09:05:50:760,1,325,1,0,698,4676,325,0 70,0,2024-09-07 09:05:51:551,82406,0.8,82330,1.0,165689,0.6,219444,2.25 70,1,2024-09-07 09:05:50:801,572257,572257,0,0,267579811901,2779815068,567409,4312,536,366,391725,0 70,2,2024-09-07 09:05:51:325,411444,411444,0,0,18830453,0,4044 70,3,2024-09-07 09:05:50:750,1,325,2,0,854,3692,325,0 71,0,2024-09-07 09:05:51:356,76213,0.9,75767,1.0,152307,1.0,203749,2.75 71,1,2024-09-07 09:05:51:601,571427,571427,0,0,266782372003,2785726409,564861,5910,656,368,391738,0 71,2,2024-09-07 09:05:51:067,412774,412774,0,0,18530801,0,4042 71,3,2024-09-07 09:05:51:768,1,325,1,0,644,4523,325,0 72,0,2024-09-07 09:05:51:049,84038,0.6,82177,0.8,160261,0.6,218336,2.00 72,1,2024-09-07 09:05:51:029,570549,570549,0,0,266357827279,2794153227,562322,6530,1697,369,391819,0 72,2,2024-09-07 09:05:51:755,410285,410285,0,0,21474989,0,3983 72,3,2024-09-07 09:05:51:757,1,325,1,0,564,5266,325,0 73,0,2024-09-07 09:05:51:129,81178,0.5,83126,0.7,170251,0.4,220952,2.00 73,1,2024-09-07 09:05:50:797,571850,571850,0,0,266709740654,2775451226,567534,3986,330,367,391858,0 73,2,2024-09-07 09:05:51:759,407503,407503,0,0,20414814,0,3701 73,3,2024-09-07 09:05:50:969,1,325,9,0,274,4483,325,0 74,0,2024-09-07 09:05:51:327,85059,0.5,87231,0.7,166518,0.4,225381,2.25 74,1,2024-09-07 09:05:50:644,571221,571221,0,0,266793444905,2788206407,565144,4815,1262,381,391681,0 74,2,2024-09-07 09:05:51:005,409817,409817,0,0,19497230,0,4253 74,3,2024-09-07 09:05:51:442,1,325,1,0,522,4597,325,0 75,0,2024-09-07 09:05:51:768,79525,0.5,79219,0.7,158630,0.4,211791,2.25 75,1,2024-09-07 09:05:51:587,570604,570604,0,0,265809968488,2780394793,564254,5525,825,380,391739,0 75,2,2024-09-07 09:05:51:350,413415,413415,0,0,19595837,0,4766 75,3,2024-09-07 09:05:51:067,1,325,1,0,702,4738,325,0 76,0,2024-09-07 09:05:50:609,78803,0.6,78189,0.8,156945,0.6,210464,2.50 76,1,2024-09-07 09:05:50:811,571449,571449,0,0,266787713964,2785137235,567532,3328,589,382,391692,0 76,2,2024-09-07 09:05:51:061,413331,413330,1,0,18946691,0,5144 76,3,2024-09-07 09:05:51:142,1,325,10,0,175,3209,325,0 77,0,2024-09-07 09:05:51:704,83529,0.7,83698,0.8,167296,0.8,222150,2.00 77,1,2024-09-07 09:05:50:827,571327,571327,0,0,266117177170,2784121993,566144,4695,488,381,391869,0 77,2,2024-09-07 09:05:51:289,407924,407924,0,0,18363776,0,3890 77,3,2024-09-07 09:05:51:099,1,325,7,0,401,3750,325,0 78,0,2024-09-07 09:05:51:723,84363,0.5,83922,0.7,168630,0.4,223808,2.00 78,1,2024-09-07 09:05:50:616,572125,572125,0,0,266239375074,2775441790,566266,4714,1145,367,391670,0 78,2,2024-09-07 09:05:51:406,409775,409775,0,0,16000160,0,3855 78,3,2024-09-07 09:05:51:136,1,325,1,0,181,3166,325,0 79,0,2024-09-07 09:05:51:358,78544,0.4,80521,0.6,164570,0.4,214394,2.25 79,1,2024-09-07 09:05:50:571,573404,573404,0,0,267232574708,2770177147,568851,3920,633,369,391682,0 79,2,2024-09-07 09:05:51:068,415310,415310,0,0,17012223,0,4195 79,3,2024-09-07 09:05:50:752,1,325,1,0,418,4264,325,0 80,0,2024-09-07 09:05:51:111,77179,0.5,79248,0.7,151950,0.5,205283,2.00 80,1,2024-09-07 09:05:51:628,571800,571800,0,0,266702504921,2775713708,568454,3168,178,368,391791,0 80,2,2024-09-07 09:05:51:094,413952,413952,0,0,16079633,0,4433 80,3,2024-09-07 09:05:50:583,1,325,34,0,190,3983,325,0 81,0,2024-09-07 09:05:51:541,82193,0.6,84206,0.7,160732,0.5,218624,2.00 81,1,2024-09-07 09:05:51:655,571244,571244,0,0,265792174017,2780169454,566395,4350,499,382,391879,0 81,2,2024-09-07 09:05:51:125,408411,408348,63,0,17951773,0,5932 81,3,2024-09-07 09:05:51:121,1,325,0,0,374,3815,325,0 82,0,2024-09-07 09:05:51:540,83196,0.5,83734,0.7,167623,0.5,222517,2.00 82,1,2024-09-07 09:05:50:584,572987,572983,0,4,267581329526,2787162364,569088,3098,797,381,391768,4 82,2,2024-09-07 09:05:51:700,409999,409999,0,0,15340031,0,3986 82,3,2024-09-07 09:05:51:767,1,325,3,0,363,3397,325,0 83,0,2024-09-07 09:05:51:522,82515,0.5,82289,0.7,163864,0.5,218010,2.00 83,1,2024-09-07 09:05:50:551,571314,571314,0,0,267219291641,2788254760,567007,3927,380,382,391709,0 83,2,2024-09-07 09:05:50:766,413370,413370,0,0,15904296,0,3393 83,3,2024-09-07 09:05:50:751,1,325,1,0,1260,4862,325,0 84,0,2024-09-07 09:05:51:777,76222,0.7,76201,0.8,152391,0.6,203977,2.25 84,1,2024-09-07 09:05:51:051,571070,571070,0,0,265890861770,2780410503,565334,5009,727,367,391967,0 84,2,2024-09-07 09:05:50:571,412123,412123,0,0,19895888,0,4757 84,3,2024-09-07 09:05:51:144,1,325,3,0,908,4999,325,0 85,0,2024-09-07 09:05:51:040,78790,0.7,78796,0.8,167196,0.7,216663,2.25 85,1,2024-09-07 09:05:50:572,569617,569617,0,0,266217888229,2811678993,561107,7138,1372,381,392006,0 85,2,2024-09-07 09:05:50:881,411147,411147,0,0,19709779,0,3656 85,3,2024-09-07 09:05:50:687,1,325,6,0,789,4375,325,0 86,0,2024-09-07 09:05:50:919,82906,0.7,85221,0.8,163149,0.8,220270,2.25 86,1,2024-09-07 09:05:50:839,571218,571218,0,0,266193069275,2789410432,564338,5814,1066,366,391961,0 86,2,2024-09-07 09:05:50:866,407144,407143,1,0,20463860,0,5004 86,3,2024-09-07 09:05:50:611,1,325,29,0,308,4565,325,0 87,0,2024-09-07 09:05:51:330,83622,0.9,83550,0.9,167620,1.1,223494,2.25 87,1,2024-09-07 09:05:50:585,570369,570369,0,0,266763888471,2792351393,564195,5563,611,366,392076,0 87,2,2024-09-07 09:05:51:074,410346,410346,0,0,18711075,0,4045 87,3,2024-09-07 09:05:51:793,1,325,31,0,473,4725,325,0 88,0,2024-09-07 09:05:51:474,77747,0.4,78388,0.6,156205,0.4,207151,2.00 88,1,2024-09-07 09:05:50:574,569452,569452,0,0,266638041635,2798107001,561760,6028,1664,365,392084,0 88,2,2024-09-07 09:05:50:692,413685,413685,0,0,20868043,0,3583 88,3,2024-09-07 09:05:51:274,1,325,13,0,435,3622,325,0 89,0,2024-09-07 09:05:51:771,82651,0.5,79859,0.7,158504,0.4,215422,1.75 89,1,2024-09-07 09:05:50:560,569547,569547,0,0,265765215980,2799814068,561801,6473,1273,382,391866,0 89,2,2024-09-07 09:05:51:146,411376,411376,0,0,19957949,0,2910 89,3,2024-09-07 09:05:51:790,1,325,0,0,385,6063,325,0 90,0,2024-09-07 09:05:51:795,80759,0.5,82763,0.7,169049,0.5,220283,2.00 90,1,2024-09-07 09:05:50:597,571029,571029,0,0,266625976472,2797939756,564841,5689,499,380,391825,0 90,2,2024-09-07 09:05:51:407,406265,406265,0,0,21064308,0,3060 90,3,2024-09-07 09:05:50:930,1,325,12,0,246,3635,325,0 91,0,2024-09-07 09:05:50:939,84967,0.5,82366,0.6,172069,0.5,225713,1.75 91,1,2024-09-07 09:05:50:566,569577,569577,0,0,266155811352,2803171813,561760,6774,1043,381,392047,0 91,2,2024-09-07 09:05:51:334,409330,409330,0,0,19002735,0,2896 91,3,2024-09-07 09:05:50:600,1,325,6,0,216,3109,325,0 92,0,2024-09-07 09:05:51:542,81468,0.4,83557,0.6,159545,0.4,215867,1.75 92,1,2024-09-07 09:05:50:586,570884,570884,0,0,265566423210,2778535030,566078,4120,686,382,392136,0 92,2,2024-09-07 09:05:51:350,415693,415693,0,0,17333090,0,3259 92,3,2024-09-07 09:05:51:008,1,325,1,0,167,2874,325,0 93,0,2024-09-07 09:05:51:099,78472,0.4,80559,0.6,153803,0.4,208421,1.75 93,1,2024-09-07 09:05:50:816,570852,570852,0,0,266737649759,2788388116,564742,5083,1027,366,391776,0 93,2,2024-09-07 09:05:50:935,411921,411921,0,0,20135237,0,4845 93,3,2024-09-07 09:05:51:406,1,325,2,0,190,3204,325,0 94,0,2024-09-07 09:05:51:620,82993,0.4,83818,0.6,167094,0.4,221813,1.75 94,1,2024-09-07 09:05:50:586,571465,571465,0,0,266895096152,2788619303,567434,3841,190,381,391850,0 94,2,2024-09-07 09:05:50:769,407796,407796,0,0,17309914,0,2443 94,3,2024-09-07 09:05:51:693,1,325,1,0,264,4085,325,0 95,0,2024-09-07 09:05:51:379,83498,0.4,83491,0.6,167520,0.3,223462,1.75 95,1,2024-09-07 09:05:50:905,572962,572962,0,0,267084291827,2781237444,568003,4560,399,367,391713,0 95,2,2024-09-07 09:05:51:051,408980,408980,0,0,17075754,0,3308 95,3,2024-09-07 09:05:51:719,1,325,2,0,718,5280,325,0 96,0,2024-09-07 09:05:51:051,82189,0.4,82347,0.5,164250,0.3,217516,1.75 96,1,2024-09-07 09:05:51:627,570916,570916,0,0,266894044402,2787142395,566400,3722,794,384,391955,0 96,2,2024-09-07 09:05:51:284,414584,414584,0,0,17047639,0,4042 96,3,2024-09-07 09:05:51:139,1,325,9,0,411,3672,325,0 97,0,2024-09-07 09:05:51:341,76347,0.3,75985,0.5,152551,0.3,202982,1.75 97,1,2024-09-07 09:05:50:767,573364,573364,0,0,267717926208,2777311296,569495,3197,672,367,392140,0 97,2,2024-09-07 09:05:50:620,413591,413591,0,0,16436689,0,3036 97,3,2024-09-07 09:05:50:569,1,325,2,0,214,3970,325,0 98,0,2024-09-07 09:05:51:747,82304,0.3,82126,0.5,164712,0.2,218954,1.50 98,1,2024-09-07 09:05:50:645,572423,572423,0,0,266903289223,2777786610,569770,2547,106,382,391997,0 98,2,2024-09-07 09:05:50:813,410428,410428,0,0,16357493,0,3080 98,3,2024-09-07 09:05:50:741,1,325,50,0,840,5333,325,0 99,0,2024-09-07 09:05:51:515,83117,0.4,83699,0.5,166670,0.3,222348,1.75 99,1,2024-09-07 09:05:51:730,571798,571798,0,0,266453155537,2776696269,567665,3379,754,381,392069,0 99,2,2024-09-07 09:05:51:421,409847,409847,0,0,18260765,0,3424 99,3,2024-09-07 09:05:50:584,1,325,1,0,199,2987,325,0 100,0,2024-09-07 09:05:51:498,82829,0.7,82785,1.0,165731,0.9,221159,2.50 100,1,2024-09-07 09:05:50:556,568946,568946,0,0,265715859171,2809876839,560489,6962,1495,381,391989,0 100,2,2024-09-07 09:05:51:817,410851,410840,11,0,19197920,0,5417 100,3,2024-09-07 09:05:51:731,1,325,5,0,559,5617,325,0 101,0,2024-09-07 09:05:51:713,78263,1.1,76337,1.0,149502,0.8,205315,2.25 101,1,2024-09-07 09:05:50:591,568911,568911,0,0,265515863168,2798595081,559853,7038,2020,368,391771,0 101,2,2024-09-07 09:05:51:817,410788,410788,0,0,22119217,0,4644 101,3,2024-09-07 09:05:50:953,1,325,579,0,579,4461,325,0 102,0,2024-09-07 09:05:51:015,79301,0.7,81995,0.8,165382,0.7,217243,2.25 102,1,2024-09-07 09:05:51:155,569905,569905,0,0,266142730514,2797859188,562595,6278,1032,369,391891,0 102,2,2024-09-07 09:05:51:742,412569,412515,54,0,18743241,0,6768 102,3,2024-09-07 09:05:51:620,1,325,1,0,410,3582,325,0 103,0,2024-09-07 09:05:51:679,85847,0.7,85894,0.8,161832,0.8,222777,2.00 103,1,2024-09-07 09:05:51:642,569201,569201,0,0,266345886287,2811171310,560458,6747,1996,381,391829,0 103,2,2024-09-07 09:05:50:593,406711,406711,0,0,19266077,0,3173 103,3,2024-09-07 09:05:50:755,1,325,19,0,916,4190,325,0 104,0,2024-09-07 09:05:51:037,83725,0.8,84091,1.0,167044,0.7,224691,2.25 104,1,2024-09-07 09:05:51:602,570524,570524,0,0,265799019524,2798985375,561633,7193,1698,365,392168,0 104,2,2024-09-07 09:05:51:676,408459,408459,0,0,20633948,0,3941 104,3,2024-09-07 09:05:51:419,1,325,59,0,1245,7346,325,0 105,0,2024-09-07 09:05:51:081,78596,0.9,76475,1.1,160146,1.0,210293,3.00 105,1,2024-09-07 09:05:50:561,570943,570943,0,0,266777784385,2802398786,562796,6815,1332,366,391797,0 105,2,2024-09-07 09:05:51:327,413349,413349,0,0,20018463,0,3509 105,3,2024-09-07 09:05:51:308,1,325,11,0,399,5303,325,0 106,0,2024-09-07 09:05:50:961,76353,0.9,78286,1.0,159862,1.1,209899,2.50 106,1,2024-09-07 09:05:51:766,569671,569671,0,0,266320514159,2804148062,560100,8279,1292,369,391914,0 106,2,2024-09-07 09:05:50:767,410053,410053,0,0,19772710,0,2795 106,3,2024-09-07 09:05:50:678,1,325,1,0,470,4291,325,0 107,0,2024-09-07 09:05:51:125,83372,1.2,83290,1.0,166567,1.8,221793,2.25 107,1,2024-09-07 09:05:50:603,568831,568831,0,0,265108386877,2797233743,560871,7046,914,381,392234,0 107,2,2024-09-07 09:05:51:322,406032,406031,1,0,20078982,0,5024 107,3,2024-09-07 09:05:51:755,1,325,1,0,353,4413,325,0 108,0,2024-09-07 09:05:51:778,83923,0.5,84413,0.6,167801,0.4,224394,1.75 108,1,2024-09-07 09:05:51:300,571266,571266,0,0,266963047548,2788210596,566188,4561,517,368,391857,0 108,2,2024-09-07 09:05:51:770,408018,408018,0,0,19307800,0,4246 108,3,2024-09-07 09:05:51:331,1,325,2,0,749,6693,325,0 109,0,2024-09-07 09:05:51:767,81672,0.4,80679,0.6,161736,0.3,216710,1.75 109,1,2024-09-07 09:05:50:596,569321,569321,0,0,267047720969,2804124881,564126,4532,663,383,392132,0 109,2,2024-09-07 09:05:50:926,412619,412619,0,0,18938253,0,3617 109,3,2024-09-07 09:05:51:140,1,325,2,0,379,4021,325,0 110,0,2024-09-07 09:05:51:755,77171,0.4,75107,0.6,157095,0.3,206058,1.75 110,1,2024-09-07 09:05:51:661,572369,572369,0,0,267076550867,2774765473,568372,3023,974,369,392045,0 110,2,2024-09-07 09:05:51:323,412306,412306,0,0,18713842,0,4067 110,3,2024-09-07 09:05:50:690,1,325,1,0,722,5051,325,0 111,0,2024-09-07 09:05:51:413,82698,0.4,81978,0.6,164186,0.4,220065,1.75 111,1,2024-09-07 09:05:51:004,572586,572586,0,0,268525951521,2789220232,569207,3027,352,382,391690,0 111,2,2024-09-07 09:05:51:132,407829,407829,0,0,18045433,0,4823 111,3,2024-09-07 09:05:50:913,1,325,1,0,379,4097,325,0 112,0,2024-09-07 09:05:50:929,84360,0.3,83647,0.4,167825,0.2,223375,1.50 112,1,2024-09-07 09:05:50:827,572677,572677,0,0,267399494721,2775781314,569217,2876,584,380,391624,0 112,2,2024-09-07 09:05:51:135,409208,409207,1,0,16296737,0,5036 112,3,2024-09-07 09:05:50:595,1,325,0,0,282,3407,325,0 113,0,2024-09-07 09:05:50:874,82158,0.3,82201,0.5,164883,0.2,219479,1.50 113,1,2024-09-07 09:05:51:692,574602,574602,0,0,268315471205,2770273416,571324,2694,584,366,391661,0 113,2,2024-09-07 09:05:51:307,415331,415331,0,0,15056813,0,3813 113,3,2024-09-07 09:05:50:693,1,325,13,0,340,4020,325,0 114,0,2024-09-07 09:05:50:887,77066,0.3,77642,0.5,154260,0.2,206140,1.75 114,1,2024-09-07 09:05:50:716,572287,572287,0,0,267125499842,2778561933,567165,3529,1593,381,391556,0 114,2,2024-09-07 09:05:50:879,413248,413247,1,0,16604048,0,5069 114,3,2024-09-07 09:05:51:280,1,325,2,0,395,2954,325,0 115,0,2024-09-07 09:05:50:561,81771,0.3,82205,0.4,164368,0.2,219005,1.50 115,1,2024-09-07 09:05:50:571,572077,572077,0,0,267982246531,2784923662,567220,4017,840,382,391656,0 115,2,2024-09-07 09:05:51:136,412713,412713,0,0,15366118,0,3453 115,3,2024-09-07 09:05:51:002,1,325,2,0,159,1966,325,0 116,0,2024-09-07 09:05:51:723,82591,0.9,82502,1.0,165263,1.1,221192,2.25 116,1,2024-09-07 09:05:50:812,569035,569035,0,0,265513986515,2804731011,561441,5328,2266,380,391782,0 116,2,2024-09-07 09:05:51:763,407229,407229,0,0,20575345,0,3529 116,3,2024-09-07 09:05:50:912,1,325,3,0,415,4180,325,0 117,0,2024-09-07 09:05:51:009,84105,0.8,83595,0.9,167486,0.8,223864,2.00 117,1,2024-09-07 09:05:51:580,570328,570328,0,0,265947422594,2786745818,564059,5601,668,370,392033,0 117,2,2024-09-07 09:05:51:121,413535,413535,0,0,17087604,0,3700 117,3,2024-09-07 09:05:51:066,1,325,8,0,490,4886,325,0 118,0,2024-09-07 09:05:51:800,75594,0.5,77634,0.7,158294,0.5,206433,2.00 118,1,2024-09-07 09:05:50:927,570127,570127,0,0,266022260668,2799766483,561350,6879,1898,366,391907,0 118,2,2024-09-07 09:05:51:599,412790,412790,0,0,18803967,0,2781 118,3,2024-09-07 09:05:51:769,1,325,0,0,235,3519,325,0 119,0,2024-09-07 09:05:51:383,79900,0.7,80310,0.8,160607,0.7,214139,2.00 119,1,2024-09-07 09:05:50:552,571304,571304,0,0,266995216727,2794747655,564743,5725,836,367,391780,0 119,2,2024-09-07 09:05:51:265,412552,412552,0,0,17857629,0,4174 119,3,2024-09-07 09:05:51:326,1,325,4,0,563,4939,325,0 120,0,2024-09-07 09:05:51:582,82656,0.7,82401,0.9,165060,0.7,220789,2.25 120,1,2024-09-07 09:05:50:867,570620,570620,0,0,265530985693,2790543789,564218,5847,555,368,391961,0 120,2,2024-09-07 09:05:50:773,407116,407115,1,0,20855455,0,5281 120,3,2024-09-07 09:05:51:290,1,325,2,0,241,4170,325,0 121,0,2024-09-07 09:05:51:697,84356,1.0,84505,1.0,169524,1.4,225403,2.25 121,1,2024-09-07 09:05:51:656,571160,571160,0,0,266891724611,2795656256,565263,5460,437,367,391840,0 121,2,2024-09-07 09:05:51:147,407954,407954,0,0,20142487,0,4127 121,3,2024-09-07 09:05:50:734,1,325,0,0,269,3843,325,0 122,0,2024-09-07 09:05:51:839,80591,0.7,78533,0.9,164575,0.8,215812,2.00 122,1,2024-09-07 09:05:50:859,569655,569655,0,0,265980730286,2795823200,561201,7193,1261,366,392130,0 122,2,2024-09-07 09:05:51:325,414241,414168,73,0,22687894,0,5989 122,3,2024-09-07 09:05:50:597,1,325,1,0,411,5945,325,0 123,0,2024-09-07 09:05:50:959,77634,0.7,75887,0.8,158396,0.8,207999,2.00 123,1,2024-09-07 09:05:50:575,569568,569568,0,0,265934266802,2809203343,558151,9292,2125,369,392039,0 123,2,2024-09-07 09:05:51:038,410423,410422,1,0,19636184,0,5215 123,3,2024-09-07 09:05:51:137,1,325,4,0,168,3835,325,0 124,0,2024-09-07 09:05:50:930,85921,0.4,85965,0.5,162004,0.3,222656,1.75 124,1,2024-09-07 09:05:51:030,572100,572100,0,0,266998418498,2781762438,567391,3801,908,367,392178,0 124,2,2024-09-07 09:05:51:033,408868,408815,53,0,17573531,0,6487 124,3,2024-09-07 09:05:50:758,1,325,3,0,490,3412,325,0 125,0,2024-09-07 09:05:51:418,83969,0.4,83464,0.6,168011,0.4,223771,1.75 125,1,2024-09-07 09:05:50:864,571003,571003,0,0,267368950959,2795182617,566127,4233,643,383,391702,0 125,2,2024-09-07 09:05:51:115,409317,409317,0,0,18213049,0,4534 125,3,2024-09-07 09:05:51:126,1,325,3,0,709,4568,325,0 126,0,2024-09-07 09:05:51:470,81975,0.4,84288,0.6,161247,0.4,218411,1.75 126,1,2024-09-07 09:05:50:551,572838,572838,0,0,267115425101,2771568429,569110,3445,283,365,391987,0 126,2,2024-09-07 09:05:50:616,414696,414696,0,0,18328839,0,4539 126,3,2024-09-07 09:05:50:919,1,325,10,0,207,4156,325,0 127,0,2024-09-07 09:05:51:654,76033,0.3,76453,0.5,152344,0.3,203007,1.75 127,1,2024-09-07 09:05:50:570,572083,572083,0,0,266873040406,2775987444,566689,4534,860,365,392187,0 127,2,2024-09-07 09:05:50:638,411975,411975,0,0,16861694,0,3897 127,3,2024-09-07 09:05:51:279,1,325,9,0,968,3981,325,0 128,0,2024-09-07 09:05:51:541,82418,0.3,82500,0.5,165013,0.2,219365,1.50 128,1,2024-09-07 09:05:51:622,571904,571904,0,0,266800772750,2773412936,567808,3726,370,367,391798,0 128,2,2024-09-07 09:05:51:383,411028,411028,0,0,15744918,0,2915 128,3,2024-09-07 09:05:50:772,1,325,10,0,1082,5862,325,0 129,0,2024-09-07 09:05:50:994,83712,0.3,83433,0.5,167101,0.3,222413,1.75 129,1,2024-09-07 09:05:50:568,569088,569088,0,0,266186288048,2790545278,563446,4386,1256,379,391835,0 129,2,2024-09-07 09:05:50:696,409378,409378,0,0,16532539,0,4031 129,3,2024-09-07 09:05:50:688,1,325,3,0,469,4413,325,0 130,0,2024-09-07 09:05:51:738,83704,0.5,83270,0.6,167360,0.5,222454,1.75 130,1,2024-09-07 09:05:50:584,572265,572265,0,0,267328827451,2781935974,568855,3131,279,381,391825,0 130,2,2024-09-07 09:05:51:130,413690,413690,0,0,16366567,0,4067 130,3,2024-09-07 09:05:51:292,1,325,47,0,450,4136,325,0 131,0,2024-09-07 09:05:52:032,76490,0.3,77012,0.5,154706,0.3,205090,1.75 131,1,2024-09-07 09:05:51:829,572039,572039,0,0,267172902378,2790285031,567593,3701,745,381,391865,0 131,2,2024-09-07 09:05:50:570,415342,415342,0,0,15260110,0,2415 131,3,2024-09-07 09:05:51:690,1,325,16,0,392,3464,325,0 132,0,2024-09-07 09:05:51:411,81308,0.4,81980,0.6,163727,0.4,217962,1.75 132,1,2024-09-07 09:05:50:589,569373,569373,0,0,265268818396,2797053641,560741,7167,1465,381,392097,0 132,2,2024-09-07 09:05:50:713,411521,411521,0,0,20155317,0,4606 132,3,2024-09-07 09:05:51:690,1,325,7,0,804,6128,325,0 133,0,2024-09-07 09:05:51:520,81279,0.5,83016,0.6,170436,0.5,221377,2.00 133,1,2024-09-07 09:05:50:597,569498,569498,0,0,266197394917,2808929141,561333,7083,1082,383,391914,0 133,2,2024-09-07 09:05:51:091,406542,406542,0,0,21222465,0,4315 133,3,2024-09-07 09:05:51:297,1,325,1,0,479,3531,325,0 134,0,2024-09-07 09:05:51:005,84823,0.5,84749,0.7,169512,0.5,225895,2.00 134,1,2024-09-07 09:05:50:586,569561,569561,0,0,265474117941,2791291877,561308,6397,1856,366,391718,0 134,2,2024-09-07 09:05:51:788,409423,409423,0,0,17790351,0,3847 134,3,2024-09-07 09:05:50:749,1,325,12,0,739,4357,325,0 135,0,2024-09-07 09:05:51:122,76818,0.7,76836,0.8,162948,0.7,209983,2.00 135,1,2024-09-07 09:05:51:607,570243,570243,0,0,266714841991,2810258162,561894,7113,1236,380,391805,0 135,2,2024-09-07 09:05:50:688,414853,414853,0,0,19353588,0,3981 135,3,2024-09-07 09:05:51:002,1,325,0,0,299,2584,325,0 136,0,2024-09-07 09:05:51:619,79515,0.6,79630,0.7,158497,0.6,211718,2.25 136,1,2024-09-07 09:05:51:457,569964,569964,0,0,265646522534,2793118845,562955,6314,695,382,391685,0 136,2,2024-09-07 09:05:51:150,412443,412443,0,0,19260035,0,3506 136,3,2024-09-07 09:05:51:110,1,325,7,0,637,3842,325,0 137,0,2024-09-07 09:05:50:933,86067,0.7,83843,0.8,164466,0.8,223227,2.00 137,1,2024-09-07 09:05:50:577,569522,569522,0,0,266125659618,2798422142,559947,7695,1880,366,391708,0 137,2,2024-09-07 09:05:51:709,406733,406733,0,0,21485096,0,3185 137,3,2024-09-07 09:05:50:771,1,325,3,0,382,3991,325,0 138,0,2024-09-07 09:05:51:994,83490,1.3,83612,1.1,167927,1.9,223395,2.25 138,1,2024-09-07 09:05:51:689,570273,570273,0,0,267084445978,2808731516,561236,7442,1595,368,391954,0 138,2,2024-09-07 09:05:50:595,408576,408576,0,0,19236477,0,4988 138,3,2024-09-07 09:05:50:615,1,325,2,0,1160,4759,325,0 139,0,2024-09-07 09:05:51:532,80082,1.3,80382,1.1,161081,1.9,214892,2.25 139,1,2024-09-07 09:05:50:586,567885,567885,0,0,264660094392,2817094442,556531,8710,2644,381,391892,0 139,2,2024-09-07 09:05:50:698,411863,411863,0,0,20934866,0,3097 139,3,2024-09-07 09:05:51:669,1,325,8,0,257,3666,325,0 140,0,2024-09-07 09:05:51:592,77488,0.3,77048,0.5,154799,0.2,206365,1.75 140,1,2024-09-07 09:05:51:537,573776,573776,0,0,268079345331,2767801173,570574,2764,438,365,391606,0 140,2,2024-09-07 09:05:50:691,412710,412709,1,0,17432178,0,5036 140,3,2024-09-07 09:05:50:768,1,325,2,0,247,2719,325,0 141,0,2024-09-07 09:05:51:728,82431,0.4,84721,0.5,161902,0.3,220141,1.75 141,1,2024-09-07 09:05:50:859,572798,572798,0,0,267066372427,2778537505,568237,3699,862,379,391614,0 141,2,2024-09-07 09:05:51:706,408669,408669,0,0,16928016,0,3360 141,3,2024-09-07 09:05:51:043,1,325,3,0,391,3841,325,0 142,0,2024-09-07 09:05:51:325,84444,0.4,83659,0.5,167005,0.3,223636,1.75 142,1,2024-09-07 09:05:50:602,572273,572273,0,0,266585850252,2783307978,568314,3609,350,382,392102,0 142,2,2024-09-07 09:05:51:302,408231,408199,32,0,18362805,0,6028 142,3,2024-09-07 09:05:51:746,1,325,2,0,484,4112,325,0 143,0,2024-09-07 09:05:51:392,82476,0.4,82294,0.6,165111,0.4,219593,1.75 143,1,2024-09-07 09:05:50:576,572288,572288,0,0,267062154989,2774302411,568520,3619,149,367,391705,0 143,2,2024-09-07 09:05:50:774,413548,413548,0,0,17760990,0,3123 143,3,2024-09-07 09:05:51:143,1,325,0,0,303,3927,325,0 144,0,2024-09-07 09:05:51:554,74323,0.5,76418,0.8,155673,0.5,204288,2.00 144,1,2024-09-07 09:05:50:585,569774,569774,0,0,266074755140,2791553552,564926,3908,940,381,391649,0 144,2,2024-09-07 09:05:51:776,413288,413288,0,0,15947956,0,3473 144,3,2024-09-07 09:05:51:745,1,325,24,0,249,3398,325,0 145,0,2024-09-07 09:05:51:369,79138,0.6,79060,0.8,167721,0.6,216555,2.25 145,1,2024-09-07 09:05:50:551,569178,569178,0,0,266195374545,2801629238,561884,6154,1140,382,391759,0 145,2,2024-09-07 09:05:51:433,409705,409705,0,0,19326393,0,3903 145,3,2024-09-07 09:05:50:897,1,325,3,0,622,5075,325,0 146,0,2024-09-07 09:05:51:594,82996,0.6,82276,0.8,165976,0.6,220012,2.25 146,1,2024-09-07 09:05:51:599,570602,570602,0,0,265708015696,2798643837,560913,7679,2010,368,391770,0 146,2,2024-09-07 09:05:51:698,407145,407145,0,0,19199117,0,2730 146,3,2024-09-07 09:05:51:274,1,325,2,0,1520,6977,325,0 147,0,2024-09-07 09:05:51:744,84131,0.6,83803,0.8,166972,0.6,223468,2.25 147,1,2024-09-07 09:05:51:396,572198,572198,0,0,267270078807,2785197464,566542,4856,800,368,391791,0 147,2,2024-09-07 09:05:51:011,411383,411383,0,0,17139673,0,2789 147,3,2024-09-07 09:05:50:917,1,325,7,0,730,4917,325,0 0,0,2024-09-07 09:06:01:742,80810,0.7,80829,0.8,171630,0.8,221515,2.00 0,1,2024-09-07 09:06:00:833,572985,572985,0,0,267134626293,2795745354,568864,3878,243,370,391896,0 0,2,2024-09-07 09:06:01:091,409172,409172,0,0,16779146,0,4480 0,3,2024-09-07 09:06:00:979,1,326,18,0,431,4561,326,0 1,0,2024-09-07 09:06:01:861,84853,0.8,84275,0.9,169340,1.0,226003,2.00 1,1,2024-09-07 09:06:00:578,571955,571955,0,0,266663966207,2795418406,566363,4366,1226,370,391857,0 1,2,2024-09-07 09:06:00:657,409705,409705,0,0,16308452,0,3267 1,3,2024-09-07 09:06:01:338,1,326,9,0,262,3911,326,0 2,0,2024-09-07 09:06:01:572,80914,0.6,81248,0.7,161221,0.7,215878,2.00 2,1,2024-09-07 09:06:00:871,573954,573954,0,0,268477405459,2789610297,571059,2602,293,380,391745,0 2,2,2024-09-07 09:06:01:266,416133,416133,0,0,16316479,0,3594 2,3,2024-09-07 09:06:00:697,1,326,1,0,357,3267,326,0 3,0,2024-09-07 09:06:01:763,78300,0.4,78272,0.6,156547,0.4,208871,2.00 3,1,2024-09-07 09:06:01:644,572797,572797,0,0,266991061361,2782896940,567699,4475,623,380,391591,0 3,2,2024-09-07 09:06:01:369,413996,413973,23,0,16759411,0,5851 3,3,2024-09-07 09:06:01:757,1,326,6,0,207,2197,326,0 4,0,2024-09-07 09:06:01:816,81059,0.4,83524,0.5,169690,0.4,222085,1.75 4,1,2024-09-07 09:06:00:595,571465,571465,0,0,266972519137,2813252388,564156,5953,1356,371,391992,0 4,2,2024-09-07 09:06:01:026,407096,407096,0,0,19337075,0,4528 4,3,2024-09-07 09:06:01:039,1,326,0,0,448,4656,326,0 5,0,2024-09-07 09:06:01:420,83949,0.5,84306,0.6,168157,0.5,223908,1.75 5,1,2024-09-07 09:06:00:798,571982,571982,0,0,266800695315,2808098115,564821,5805,1356,367,392005,0 5,2,2024-09-07 09:06:01:853,408891,408891,0,0,18447862,0,2432 5,3,2024-09-07 09:06:01:731,1,326,1,0,457,4895,326,0 6,0,2024-09-07 09:06:00:932,82502,0.5,81963,0.6,163907,0.4,218730,2.00 6,1,2024-09-07 09:06:00:756,571984,571984,0,0,267413549685,2796415335,565626,5282,1076,379,391694,0 6,2,2024-09-07 09:06:01:140,415249,415249,0,0,17758562,0,4816 6,3,2024-09-07 09:06:01:282,1,326,1,0,340,3690,326,0 7,0,2024-09-07 09:06:01:535,76261,0.5,76543,0.6,152489,0.4,203534,1.75 7,1,2024-09-07 09:06:00:856,571204,571204,0,0,266984243770,2806168847,563135,6893,1176,382,391747,0 7,2,2024-09-07 09:06:00:769,413849,413849,0,0,17838669,0,4791 7,3,2024-09-07 09:06:00:860,1,326,0,0,398,3825,326,0 8,0,2024-09-07 09:06:01:474,82541,0.4,82404,0.5,165002,0.3,219871,1.75 8,1,2024-09-07 09:06:01:065,570558,570558,0,0,266690396176,2812813630,560411,7870,2277,366,392144,0 8,2,2024-09-07 09:06:00:813,406560,406560,0,0,22070516,0,3220 8,3,2024-09-07 09:06:00:592,1,326,34,0,538,5725,326,0 9,0,2024-09-07 09:06:01:361,83684,0.4,81326,0.6,170182,0.4,223019,1.75 9,1,2024-09-07 09:06:00:583,571446,571446,0,0,266982905277,2818833977,562402,6920,2124,369,392001,0 9,2,2024-09-07 09:06:01:092,408937,408937,0,0,19414424,0,3360 9,3,2024-09-07 09:06:01:771,1,326,15,0,496,4965,326,0 10,0,2024-09-07 09:06:01:604,83507,0.3,82846,0.5,166424,0.2,221632,1.75 10,1,2024-09-07 09:06:00:600,572242,572242,0,0,267098021606,2801495779,564361,6772,1109,381,391741,0 10,2,2024-09-07 09:06:00:767,413556,413556,0,0,21137489,0,4264 10,3,2024-09-07 09:06:00:872,1,326,1,0,296,2982,326,0 11,0,2024-09-07 09:06:01:013,76578,0.4,74334,0.6,155511,0.4,205974,1.75 11,1,2024-09-07 09:06:00:582,572029,572029,0,0,267220502163,2811285032,562019,7562,2448,383,391664,0 11,2,2024-09-07 09:06:01:141,413516,413516,0,0,19141603,0,4130 11,3,2024-09-07 09:06:01:311,1,326,2,0,843,5172,326,0 12,0,2024-09-07 09:06:01:047,82423,0.4,82254,0.5,164641,0.3,219270,1.75 12,1,2024-09-07 09:06:00:989,572651,572651,0,0,266806256496,2786065056,567798,4363,490,370,391870,0 12,2,2024-09-07 09:06:01:550,413030,413030,0,0,18825169,0,3469 12,3,2024-09-07 09:06:01:075,1,326,1,0,386,5428,326,0 13,0,2024-09-07 09:06:01:363,83971,0.5,83625,0.6,167137,0.5,222564,1.75 13,1,2024-09-07 09:06:01:576,571705,571705,0,0,267221281345,2813714797,565805,4491,1409,382,391740,0 13,2,2024-09-07 09:06:00:603,409939,409939,0,0,17153813,0,3287 13,3,2024-09-07 09:06:01:771,1,326,12,0,522,5411,326,0 14,0,2024-09-07 09:06:00:569,85054,0.4,85720,0.6,169536,0.4,225879,1.75 14,1,2024-09-07 09:06:01:560,575934,575934,0,0,268297592200,2782427083,571249,4326,359,364,391571,0 14,2,2024-09-07 09:06:00:774,411034,411004,30,0,18963411,0,6104 14,3,2024-09-07 09:06:01:121,1,326,1,0,1168,4071,326,0 15,0,2024-09-07 09:06:01:564,79382,0.4,79441,0.6,158863,0.4,210956,2.00 15,1,2024-09-07 09:06:01:628,573258,573258,0,0,267764697945,2791269271,568921,3516,821,381,391619,0 15,2,2024-09-07 09:06:01:009,416757,416757,0,0,15569628,0,3622 15,3,2024-09-07 09:06:01:408,1,326,2,0,1126,6169,326,0 16,0,2024-09-07 09:06:01:003,79532,0.6,79823,0.8,159216,0.6,212343,2.25 16,1,2024-09-07 09:06:00:592,573294,573294,0,0,267691189472,2796507183,569010,3924,360,370,391756,0 16,2,2024-09-07 09:06:01:457,413358,413358,0,0,17780412,0,4719 16,3,2024-09-07 09:06:01:146,1,326,8,0,317,4627,326,0 17,0,2024-09-07 09:06:01:911,86035,0.7,84084,0.8,164285,0.8,222907,2.00 17,1,2024-09-07 09:06:00:642,572099,572099,0,0,266191517351,2796683218,565869,4973,1257,368,391899,0 17,2,2024-09-07 09:06:01:671,410940,410940,0,0,17378843,0,2857 17,3,2024-09-07 09:06:00:631,1,326,133,0,298,5409,326,0 18,0,2024-09-07 09:06:00:953,83340,0.6,83708,0.8,166956,0.7,222962,2.25 18,1,2024-09-07 09:06:01:646,574085,574085,0,0,267925274363,2778976525,570750,3024,311,367,391649,0 18,2,2024-09-07 09:06:01:756,411825,411825,0,0,16153407,0,3541 18,3,2024-09-07 09:06:00:907,1,326,45,0,163,2881,326,0 19,0,2024-09-07 09:06:01:555,80965,0.6,81548,0.8,161491,0.6,215409,2.25 19,1,2024-09-07 09:06:00:573,574059,574059,0,0,268570855472,2788859338,568939,4281,839,367,391777,0 19,2,2024-09-07 09:06:01:759,417340,417340,0,0,14947246,0,3988 19,3,2024-09-07 09:06:01:141,1,326,2,0,524,2551,326,0 20,0,2024-09-07 09:06:01:448,77336,0.5,77294,0.7,154574,0.5,206545,2.00 20,1,2024-09-07 09:06:00:577,572017,572017,0,0,267361812776,2794786462,567689,3924,404,369,391886,0 20,2,2024-09-07 09:06:00:937,414046,414046,0,0,17778888,0,3721 20,3,2024-09-07 09:06:00:594,1,326,5,0,414,5246,326,0 21,0,2024-09-07 09:06:01:136,82832,0.5,82923,0.7,165619,0.5,220466,2.00 21,1,2024-09-07 09:06:01:558,571241,571241,0,0,266101750829,2805959191,563366,5998,1877,368,392016,0 21,2,2024-09-07 09:06:01:087,407550,407550,0,0,20851433,0,3747 21,3,2024-09-07 09:06:01:409,1,326,9,0,713,3953,326,0 22,0,2024-09-07 09:06:01:728,83523,0.6,83967,0.7,167997,0.6,222563,2.25 22,1,2024-09-07 09:06:01:026,572209,572209,0,0,266824082993,2807087793,563721,6669,1819,382,391667,0 22,2,2024-09-07 09:06:00:765,409058,409058,0,0,17002541,0,3134 22,3,2024-09-07 09:06:01:299,1,326,1,0,228,2830,326,0 23,0,2024-09-07 09:06:01:395,81997,0.5,81946,0.7,164087,0.5,218589,2.25 23,1,2024-09-07 09:06:01:004,571840,571840,0,0,267356354345,2811490515,561348,7334,3158,365,391690,0 23,2,2024-09-07 09:06:01:107,415915,415915,0,0,16706681,0,3010 23,3,2024-09-07 09:06:01:754,1,326,1,0,645,3989,326,0 24,0,2024-09-07 09:06:00:854,77437,0.4,77355,0.6,155216,0.3,206045,1.75 24,1,2024-09-07 09:06:00:614,571277,571277,0,0,266622011273,2801028788,564390,5359,1528,368,392269,0 24,2,2024-09-07 09:06:01:080,412246,412246,0,0,20865676,0,3607 24,3,2024-09-07 09:06:01:694,1,326,1,0,468,4482,326,0 25,0,2024-09-07 09:06:01:405,84399,0.4,82321,0.6,161384,0.4,220344,2.00 25,1,2024-09-07 09:06:00:594,572377,572377,0,0,267520643189,2810326503,564696,6312,1369,371,391928,0 25,2,2024-09-07 09:06:01:626,411026,411026,0,0,20865106,0,3978 25,3,2024-09-07 09:06:01:009,1,326,8,0,255,3297,326,0 26,0,2024-09-07 09:06:01:726,82793,0.4,80881,0.6,169820,0.4,220980,2.00 26,1,2024-09-07 09:06:01:547,572784,572784,0,0,266868091070,2800663422,563936,7312,1536,380,391748,0 26,2,2024-09-07 09:06:00:861,409836,409836,0,0,20493777,0,2809 26,3,2024-09-07 09:06:01:715,1,326,1,0,796,4342,326,0 27,0,2024-09-07 09:06:01:726,84297,0.5,84380,0.6,167273,0.4,223390,2.00 27,1,2024-09-07 09:06:01:677,574583,574583,0,0,268732975059,2800355493,569316,4502,765,381,391626,0 27,2,2024-09-07 09:06:00:866,409994,409929,65,0,20372392,0,5699 27,3,2024-09-07 09:06:01:024,1,326,0,0,564,3628,326,0 28,0,2024-09-07 09:06:01:397,78126,0.4,77834,0.6,155978,0.3,207842,2.00 28,1,2024-09-07 09:06:00:808,573414,573414,0,0,268233434313,2797786021,569037,3675,702,383,391698,0 28,2,2024-09-07 09:06:01:766,414262,414262,0,0,18100542,0,2915 28,3,2024-09-07 09:06:01:784,1,326,0,0,502,3511,326,0 29,0,2024-09-07 09:06:01:379,82904,0.4,80824,0.6,158455,0.3,216898,1.75 29,1,2024-09-07 09:06:01:569,575210,575210,0,0,268753677480,2786197064,570873,3654,683,369,391753,0 29,2,2024-09-07 09:06:00:866,412161,412161,0,0,17445861,0,4986 29,3,2024-09-07 09:06:00:977,1,326,1,0,459,4008,326,0 30,0,2024-09-07 09:06:01:477,82953,0.6,80520,0.8,168572,0.5,220507,2.00 30,1,2024-09-07 09:06:00:580,574308,574308,0,0,268123233902,2788094558,569489,4109,710,381,391672,0 30,2,2024-09-07 09:06:01:299,408762,408762,0,0,16336570,0,3161 30,3,2024-09-07 09:06:00:594,1,326,2,0,519,3398,326,0 31,0,2024-09-07 09:06:01:762,84358,0.4,84676,0.6,169465,0.4,225659,2.00 31,1,2024-09-07 09:06:00:585,576743,576743,0,0,268861150263,2760168617,574201,1984,558,356,391712,0 31,2,2024-09-07 09:06:01:282,410279,410279,0,0,18158657,0,3525 31,3,2024-09-07 09:06:01:721,1,326,2,0,220,2860,326,0 32,0,2024-09-07 09:06:01:427,80889,0.3,81262,0.5,162522,0.2,216242,1.75 32,1,2024-09-07 09:06:00:815,574093,574093,0,0,268177793828,2786496483,570954,2694,445,381,391646,0 32,2,2024-09-07 09:06:00:942,416653,416653,0,0,15285567,0,3155 32,3,2024-09-07 09:06:01:024,1,326,4,0,227,2419,326,0 33,0,2024-09-07 09:06:01:493,78588,0.3,78194,0.4,156898,0.2,209552,1.75 33,1,2024-09-07 09:06:00:597,575164,575164,0,0,269593013844,2793210675,570754,3579,831,369,391730,0 33,2,2024-09-07 09:06:00:758,414829,414794,35,0,17384176,0,7012 33,3,2024-09-07 09:06:00:907,1,326,1,0,329,3531,326,0 34,0,2024-09-07 09:06:00:937,83799,0.3,86208,0.5,164849,0.2,221427,1.75 34,1,2024-09-07 09:06:01:085,575952,575952,0,0,269229550944,2768551241,574535,1409,8,367,391562,0 34,2,2024-09-07 09:06:00:766,409097,409097,0,0,16503402,0,3577 34,3,2024-09-07 09:06:01:693,1,326,1,0,299,2432,326,0 35,0,2024-09-07 09:06:00:867,83292,0.4,83680,0.5,168297,0.3,224429,1.75 35,1,2024-09-07 09:06:01:068,574363,574363,0,0,268002762873,2778900045,570758,2824,781,382,391589,0 35,2,2024-09-07 09:06:01:613,410485,410485,0,0,16571107,0,2653 35,3,2024-09-07 09:06:00:920,1,326,3,0,418,4216,326,0 36,0,2024-09-07 09:06:01:529,82410,0.5,82446,0.7,164809,0.4,218370,2.00 36,1,2024-09-07 09:06:00:594,573672,573672,0,0,267641881210,2797928003,566444,5570,1658,366,391759,0 36,2,2024-09-07 09:06:01:757,415821,415821,0,0,18861904,0,3875 36,3,2024-09-07 09:06:00:865,1,326,1,0,416,5255,326,0 37,0,2024-09-07 09:06:01:373,76081,0.5,76118,0.7,152374,0.4,204104,2.00 37,1,2024-09-07 09:06:00:580,572601,572594,0,7,267521757259,2797502820,565824,4685,2085,365,391570,0 37,2,2024-09-07 09:06:01:143,412306,412291,15,0,18456167,0,5815 37,3,2024-09-07 09:06:01:777,1,326,1,0,888,5492,326,0 38,0,2024-09-07 09:06:01:479,81793,0.4,79497,0.6,166324,0.4,217673,2.00 38,1,2024-09-07 09:06:01:622,574312,574312,0,0,268134024118,2793403464,568276,5278,758,368,391821,0 38,2,2024-09-07 09:06:00:771,409878,409831,47,0,19021756,0,6710 38,3,2024-09-07 09:06:01:002,1,326,1,0,689,4594,326,0 39,0,2024-09-07 09:06:01:793,85088,0.6,83354,0.8,162179,0.6,221842,2.25 39,1,2024-09-07 09:06:00:716,572685,572685,0,0,267311034528,2798792667,564324,6901,1460,365,391594,0 39,2,2024-09-07 09:06:01:418,409876,409876,0,0,17026547,0,2689 39,3,2024-09-07 09:06:00:722,1,326,2,0,324,4233,326,0 40,0,2024-09-07 09:06:01:528,82518,0.8,83173,1.0,166155,0.8,221307,2.75 40,1,2024-09-07 09:06:00:584,573354,573354,0,0,266827753115,2796928850,564801,7043,1510,368,391668,0 40,2,2024-09-07 09:06:01:312,412862,412861,1,0,20475618,0,5137 40,3,2024-09-07 09:06:01:144,1,326,0,0,1028,4977,326,0 41,0,2024-09-07 09:06:01:052,76414,1.5,78308,1.3,149431,2.3,203908,3.00 41,1,2024-09-07 09:06:00:790,572393,572393,0,0,267342519029,2799292005,565343,6347,703,370,391742,0 41,2,2024-09-07 09:06:00:769,412378,412378,0,0,19642385,0,3356 41,3,2024-09-07 09:06:01:682,1,326,0,0,366,3368,326,0 42,0,2024-09-07 09:06:01:490,81119,0.9,81250,1.0,162675,1.0,215236,2.50 42,1,2024-09-07 09:06:01:465,571738,571738,0,0,267196070220,2811189561,562211,7769,1758,380,391675,0 42,2,2024-09-07 09:06:01:154,412526,412526,0,0,19743141,0,3790 42,3,2024-09-07 09:06:01:020,1,326,1,0,446,3056,326,0 43,0,2024-09-07 09:06:00:928,82209,1.0,80219,1.1,168035,1.2,220046,2.50 43,1,2024-09-07 09:06:00:581,572822,572822,0,0,267772259650,2801047441,564591,6793,1438,366,391696,0 43,2,2024-09-07 09:06:01:736,409134,409134,0,0,19428996,0,3812 43,3,2024-09-07 09:06:01:758,1,326,1,0,467,4021,326,0 44,0,2024-09-07 09:06:00:864,84775,0.4,85180,0.6,169948,0.4,226030,1.75 44,1,2024-09-07 09:06:00:572,574606,574606,0,0,267269799506,2767576292,569548,4011,1047,356,391809,0 44,2,2024-09-07 09:06:01:293,410661,410661,0,0,16407095,0,4344 44,3,2024-09-07 09:06:01:095,1,326,13,0,817,4432,326,0 45,0,2024-09-07 09:06:01:773,78438,0.5,76562,0.7,160468,0.5,210778,2.00 45,1,2024-09-07 09:06:01:010,573590,573590,0,0,268540611879,2793404697,569157,3957,476,382,391917,0 45,2,2024-09-07 09:06:01:292,415313,415313,0,0,17006469,0,3596 45,3,2024-09-07 09:06:00:958,1,326,5,0,271,3200,326,0 46,0,2024-09-07 09:06:00:955,78914,0.6,78936,0.8,157985,0.6,210285,2.25 46,1,2024-09-07 09:06:00:580,575457,575457,0,0,268628305970,2776955601,571750,3281,426,366,391572,0 46,2,2024-09-07 09:06:00:599,414276,414276,0,0,16030352,0,2920 46,3,2024-09-07 09:06:01:368,1,326,2,0,908,4974,326,0 47,0,2024-09-07 09:06:01:107,83881,0.5,84067,0.7,168388,0.5,222397,2.00 47,1,2024-09-07 09:06:00:581,575042,575042,0,0,268282045913,2778543640,570556,3671,815,366,391641,0 47,2,2024-09-07 09:06:00:920,410421,410421,0,0,16496123,0,4477 47,3,2024-09-07 09:06:01:135,1,326,1,0,529,3699,326,0 48,0,2024-09-07 09:06:01:496,84935,0.3,84498,0.4,168460,0.2,225179,1.50 48,1,2024-09-07 09:06:01:039,574050,574050,0,0,268250219400,2787153337,570496,3251,303,384,391710,0 48,2,2024-09-07 09:06:00:709,410007,410007,0,0,15026548,0,3031 48,3,2024-09-07 09:06:00:755,1,326,0,0,339,2842,326,0 49,0,2024-09-07 09:06:01:728,83574,0.3,82040,0.5,158947,0.3,217932,1.75 49,1,2024-09-07 09:06:01:032,573706,573706,0,0,267799949831,2790223894,569389,3132,1185,382,391809,0 49,2,2024-09-07 09:06:01:807,416564,416564,0,0,16204931,0,4426 49,3,2024-09-07 09:06:01:423,1,326,15,0,408,3466,326,0 50,0,2024-09-07 09:06:01:520,77655,0.3,76664,0.5,154337,0.2,206161,1.75 50,1,2024-09-07 09:06:01:014,575850,575850,0,0,268664347769,2779597935,572032,3444,374,368,391565,0 50,2,2024-09-07 09:06:01:078,414212,414212,0,0,15154316,0,2263 50,3,2024-09-07 09:06:01:315,1,326,4,0,335,3228,326,0 51,0,2024-09-07 09:06:01:691,84993,0.3,83050,0.5,162090,0.2,221464,1.75 51,1,2024-09-07 09:06:01:689,576155,576155,0,0,269615007646,2783346280,572900,2280,975,365,391706,0 51,2,2024-09-07 09:06:01:324,409617,409617,0,0,14613965,0,3337 51,3,2024-09-07 09:06:01:037,1,326,1,0,678,2550,326,0 52,0,2024-09-07 09:06:01:418,84259,0.5,84108,0.7,168071,0.4,223783,2.00 52,1,2024-09-07 09:06:00:580,572886,572886,0,0,266923775674,2798585150,564333,7242,1311,368,391722,0 52,2,2024-09-07 09:06:01:759,407236,407198,38,0,19225274,0,6742 52,3,2024-09-07 09:06:00:689,1,326,2,0,1782,5164,326,0 53,0,2024-09-07 09:06:01:744,81892,0.6,79578,0.8,166340,0.7,218153,2.25 53,1,2024-09-07 09:06:00:780,571482,571482,0,0,267110000195,2806317268,562058,6843,2581,367,391702,0 53,2,2024-09-07 09:06:01:311,416008,416008,0,0,16499577,0,2727 53,3,2024-09-07 09:06:00:704,1,326,0,0,308,3200,326,0 54,0,2024-09-07 09:06:01:635,75840,0.6,76405,0.8,151881,0.4,203247,2.25 54,1,2024-09-07 09:06:00:584,573284,573284,0,0,268227286020,2789317720,567690,4992,602,367,391659,0 54,2,2024-09-07 09:06:00:872,413905,413899,6,0,19014350,0,5382 54,3,2024-09-07 09:06:00:765,1,326,1,0,676,5120,326,0 55,0,2024-09-07 09:06:01:764,79380,0.6,82274,0.7,165739,0.5,216383,2.50 55,1,2024-09-07 09:06:00:774,573302,573302,0,0,268043193025,2791772052,566680,5713,909,365,391731,0 55,2,2024-09-07 09:06:00:730,411028,411028,0,0,18520840,0,3563 55,3,2024-09-07 09:06:00:682,1,326,5,0,304,3649,326,0 56,0,2024-09-07 09:06:01:571,84742,1.3,79897,1.2,164750,1.8,220599,2.75 56,1,2024-09-07 09:06:00:581,570483,570483,0,0,266501068961,2816931027,561754,7099,1630,381,391867,0 56,2,2024-09-07 09:06:01:332,409384,409384,0,0,19382170,0,3567 56,3,2024-09-07 09:06:01:059,1,326,1,0,705,4411,326,0 57,0,2024-09-07 09:06:01:013,82971,1.5,82794,1.2,166001,2.1,221937,3.00 57,1,2024-09-07 09:06:00:995,572187,572187,0,0,266642080702,2797621696,565370,6097,720,366,392032,0 57,2,2024-09-07 09:06:01:354,412645,412645,0,0,21124018,0,3317 57,3,2024-09-07 09:06:01:752,1,326,4,0,359,3915,326,0 58,0,2024-09-07 09:06:00:573,76615,0.9,74541,1.0,156140,1.1,204575,2.50 58,1,2024-09-07 09:06:00:582,573221,573218,0,3,267459005287,2799103147,565101,7035,1082,367,391603,3 58,2,2024-09-07 09:06:01:084,413697,413697,0,0,19397709,0,2549 58,3,2024-09-07 09:06:01:308,1,326,3,0,1043,3399,326,0 59,0,2024-09-07 09:06:01:742,80414,0.8,80068,1.0,160054,0.9,213135,2.75 59,1,2024-09-07 09:06:00:819,573004,573004,0,0,267608829387,2804122090,565145,6339,1520,369,391586,0 59,2,2024-09-07 09:06:00:592,414048,414048,0,0,19098058,0,2867 59,3,2024-09-07 09:06:01:744,1,326,1,0,1015,4272,326,0 60,0,2024-09-07 09:06:01:709,83051,0.6,83166,0.7,166923,0.6,221165,1.75 60,1,2024-09-07 09:06:00:785,574584,574584,0,0,268456715163,2789906485,570479,3460,645,370,392031,0 60,2,2024-09-07 09:06:01:163,408828,408828,0,0,18673012,0,3811 60,3,2024-09-07 09:06:01:304,1,326,17,0,409,3908,326,0 61,0,2024-09-07 09:06:01:551,84619,0.6,85151,0.8,169173,0.6,225409,2.00 61,1,2024-09-07 09:06:00:794,572532,572532,0,0,266995998144,2803035960,565374,5834,1324,382,392127,0 61,2,2024-09-07 09:06:01:148,410607,410540,67,0,19016551,0,6411 61,3,2024-09-07 09:06:01:701,1,326,10,0,479,5046,326,0 62,0,2024-09-07 09:06:01:720,81426,0.5,83219,0.7,158643,0.5,215921,2.00 62,1,2024-09-07 09:06:01:136,576006,576000,0,6,269206985578,2781107563,572424,3317,259,365,391715,6 62,2,2024-09-07 09:06:01:660,414854,414853,1,0,18607981,0,5555 62,3,2024-09-07 09:06:01:146,1,326,1,0,465,2563,326,0 63,0,2024-09-07 09:06:01:480,78581,0.4,78507,0.6,157305,0.4,209593,1.75 63,1,2024-09-07 09:06:00:814,574479,574473,0,6,268922293197,2794407185,570543,3542,388,381,391800,6 63,2,2024-09-07 09:06:00:766,413992,413992,0,0,16921418,0,4369 63,3,2024-09-07 09:06:01:740,1,326,1,0,667,3817,326,0 64,0,2024-09-07 09:06:01:555,83104,0.5,83033,0.7,166131,0.5,220511,2.00 64,1,2024-09-07 09:06:00:771,573912,573912,0,0,268362636336,2799758448,568269,3984,1659,370,391783,0 64,2,2024-09-07 09:06:01:370,412107,412088,19,0,16498600,0,6121 64,3,2024-09-07 09:06:01:159,1,326,19,0,265,3101,326,0 65,0,2024-09-07 09:06:01:737,83152,0.7,83411,0.8,166701,0.7,222370,2.25 65,1,2024-09-07 09:06:00:897,572932,572932,0,0,267643385579,2798751463,568853,3628,451,382,391901,0 65,2,2024-09-07 09:06:01:698,411406,411406,0,0,18138396,0,3367 65,3,2024-09-07 09:06:01:698,1,326,0,0,163,2902,326,0 66,0,2024-09-07 09:06:01:792,81803,0.5,81706,0.7,163663,0.4,217292,2.00 66,1,2024-09-07 09:06:01:302,573863,573863,0,0,268276461383,2790756356,570305,3237,321,380,391653,0 66,2,2024-09-07 09:06:01:145,417567,417567,0,0,16392554,0,4956 66,3,2024-09-07 09:06:01:088,1,326,0,0,291,3183,326,0 67,0,2024-09-07 09:06:01:457,76940,0.5,76279,0.7,153312,0.5,204473,2.00 67,1,2024-09-07 09:06:00:785,574092,574091,0,1,267798718866,2790577617,569926,3414,751,380,391787,1 67,2,2024-09-07 09:06:00:594,414640,414640,0,0,15758586,0,2889 67,3,2024-09-07 09:06:01:754,1,326,5,0,338,2823,326,0 68,0,2024-09-07 09:06:00:603,82765,0.6,82332,0.7,164219,0.5,219766,2.00 68,1,2024-09-07 09:06:00:575,572344,572344,0,0,266532101738,2793558857,567539,3603,1202,381,391953,0 68,2,2024-09-07 09:06:01:079,408950,408885,65,0,20771079,0,6698 68,3,2024-09-07 09:06:00:741,1,326,1,0,417,3586,326,0 69,0,2024-09-07 09:06:01:728,83048,0.9,83391,0.9,166231,1.1,221033,2.25 69,1,2024-09-07 09:06:01:043,570627,570627,0,0,266704422931,2817082733,562654,5863,2110,384,391994,0 69,2,2024-09-07 09:06:01:747,409287,409287,0,0,20752198,0,3722 69,3,2024-09-07 09:06:00:793,1,326,0,0,698,4676,326,0 70,0,2024-09-07 09:06:01:550,82542,0.8,82491,1.0,166009,0.6,219936,2.25 70,1,2024-09-07 09:06:00:818,573995,573995,0,0,268534381179,2789512291,569147,4312,536,366,391725,0 70,2,2024-09-07 09:06:01:345,413039,413039,0,0,18866537,0,4044 70,3,2024-09-07 09:06:00:752,1,326,1,0,854,3693,326,0 71,0,2024-09-07 09:06:01:364,76527,0.9,76076,1.0,152866,1.0,204644,2.75 71,1,2024-09-07 09:06:01:623,573193,573193,0,0,267976803346,2797825903,566627,5910,656,368,391738,0 71,2,2024-09-07 09:06:01:300,413832,413832,0,0,18548037,0,4042 71,3,2024-09-07 09:06:01:763,1,326,5,0,644,4528,326,0 72,0,2024-09-07 09:06:01:060,84506,0.6,82609,0.8,161162,0.6,219507,2.00 72,1,2024-09-07 09:06:01:082,572264,572264,0,0,267065722974,2801366654,564036,6531,1697,369,391819,0 72,2,2024-09-07 09:06:01:760,411310,411310,0,0,21485471,0,3983 72,3,2024-09-07 09:06:01:758,1,326,1,0,564,5267,326,0 73,0,2024-09-07 09:06:01:105,81322,0.5,83234,0.6,170499,0.4,221254,2.00 73,1,2024-09-07 09:06:00:809,573640,573640,0,0,267619212080,2784696780,569323,3987,330,367,391858,0 73,2,2024-09-07 09:06:01:745,409091,409091,0,0,20430938,0,3701 73,3,2024-09-07 09:06:00:977,1,326,0,0,274,4483,326,0 74,0,2024-09-07 09:06:01:321,85173,0.5,87358,0.7,166751,0.4,225700,2.25 74,1,2024-09-07 09:06:00:643,573045,573045,0,0,267842461120,2798865630,566967,4816,1262,381,391681,0 74,2,2024-09-07 09:06:01:009,411071,411071,0,0,19512909,0,4253 74,3,2024-09-07 09:06:01:443,1,326,2,0,522,4599,326,0 75,0,2024-09-07 09:06:01:772,79570,0.5,79250,0.7,158699,0.4,211791,2.25 75,1,2024-09-07 09:06:01:597,572364,572364,0,0,266755774178,2789986338,566014,5525,825,380,391739,0 75,2,2024-09-07 09:06:01:350,414513,414513,0,0,19603367,0,4766 75,3,2024-09-07 09:06:01:077,1,326,2,0,702,4740,326,0 76,0,2024-09-07 09:06:00:643,79186,0.6,78572,0.8,157636,0.6,211403,2.50 76,1,2024-09-07 09:06:00:822,573123,573123,0,0,267656215203,2794003280,569206,3328,589,382,391692,0 76,2,2024-09-07 09:06:01:106,414770,414769,1,0,18967922,0,5144 76,3,2024-09-07 09:06:01:167,1,326,3,0,175,3212,326,0 77,0,2024-09-07 09:06:01:723,83604,0.7,83794,0.8,167485,0.8,222389,2.00 77,1,2024-09-07 09:06:00:844,573100,573100,0,0,267003737377,2793155879,567917,4695,488,381,391869,0 77,2,2024-09-07 09:06:01:326,409070,409070,0,0,18379527,0,3890 77,3,2024-09-07 09:06:01:136,1,326,26,0,401,3776,326,0 78,0,2024-09-07 09:06:01:776,84593,0.5,84163,0.7,169123,0.4,224399,2.00 78,1,2024-09-07 09:06:00:615,573840,573840,0,0,266994871007,2783127750,567981,4714,1145,367,391670,0 78,2,2024-09-07 09:06:01:411,411060,411060,0,0,16014487,0,3855 78,3,2024-09-07 09:06:01:144,1,326,31,0,181,3197,326,0 79,0,2024-09-07 09:06:01:352,78823,0.4,80821,0.6,165187,0.4,215271,2.25 79,1,2024-09-07 09:06:00:576,575150,575150,0,0,268206453167,2780030833,570597,3920,633,369,391682,0 79,2,2024-09-07 09:06:01:095,416843,416843,0,0,17026575,0,4195 79,3,2024-09-07 09:06:00:789,1,326,1,0,418,4265,326,0 80,0,2024-09-07 09:06:01:151,77494,0.5,79558,0.7,152556,0.5,205998,2.00 80,1,2024-09-07 09:06:01:628,573564,573564,0,0,267568769569,2784723429,570216,3170,178,368,391791,0 80,2,2024-09-07 09:06:01:148,415425,415425,0,0,16119869,0,4433 80,3,2024-09-07 09:06:00:579,1,326,5,0,190,3988,326,0 81,0,2024-09-07 09:06:01:552,82693,0.6,84740,0.7,161734,0.5,220118,2.00 81,1,2024-09-07 09:06:01:680,572945,572945,0,0,266502678035,2787506368,568096,4350,499,382,391879,0 81,2,2024-09-07 09:06:01:155,409104,409041,63,0,17962988,0,5932 81,3,2024-09-07 09:06:01:134,1,326,79,0,374,3894,326,0 82,0,2024-09-07 09:06:01:551,83525,0.5,84034,0.7,168284,0.5,223328,2.00 82,1,2024-09-07 09:06:00:593,574709,574705,0,4,268431418529,2795836760,570810,3098,797,381,391768,4 82,2,2024-09-07 09:06:01:708,411510,411510,0,0,15396117,0,3986 82,3,2024-09-07 09:06:01:758,1,326,1,0,363,3398,326,0 83,0,2024-09-07 09:06:01:534,82682,0.5,82440,0.7,164201,0.5,218407,2.00 83,1,2024-09-07 09:06:00:561,573046,573046,0,0,268133447155,2797749527,568739,3927,380,382,391709,0 83,2,2024-09-07 09:06:00:779,414907,414907,0,0,15947957,0,3393 83,3,2024-09-07 09:06:00:774,1,326,0,0,1260,4862,326,0 84,0,2024-09-07 09:06:01:804,76458,0.7,76452,0.8,152917,0.6,204584,2.25 84,1,2024-09-07 09:06:01:050,572815,572815,0,0,266765189022,2789472417,567077,5011,727,367,391967,0 84,2,2024-09-07 09:06:00:583,413367,413367,0,0,19915101,0,4757 84,3,2024-09-07 09:06:01:144,1,326,15,0,908,5014,326,0 85,0,2024-09-07 09:06:01:042,79172,0.7,79196,0.8,168048,0.7,217662,2.25 85,1,2024-09-07 09:06:00:565,571414,571414,0,0,267199582823,2821756032,562904,7138,1372,381,392006,0 85,2,2024-09-07 09:06:00:879,412141,412141,0,0,19724855,0,3656 85,3,2024-09-07 09:06:00:691,1,326,4,0,789,4379,326,0 86,0,2024-09-07 09:06:00:941,83050,0.7,85378,0.8,163461,0.8,220727,2.25 86,1,2024-09-07 09:06:00:855,572994,572994,0,0,267007185315,2797787481,566114,5814,1066,366,391961,0 86,2,2024-09-07 09:06:00:865,408714,408713,1,0,20489316,0,5004 86,3,2024-09-07 09:06:00:606,1,326,4,0,308,4569,326,0 87,0,2024-09-07 09:06:01:323,83720,0.9,83644,0.9,167816,1.1,223738,2.25 87,1,2024-09-07 09:06:00:557,572099,572099,0,0,267688388033,2801751389,565925,5563,611,366,392076,0 87,2,2024-09-07 09:06:01:096,411619,411619,0,0,18747165,0,4045 87,3,2024-09-07 09:06:01:807,1,326,67,0,473,4792,326,0 88,0,2024-09-07 09:06:01:455,77838,0.4,78489,0.6,156416,0.4,207485,2.00 88,1,2024-09-07 09:06:00:581,571220,571220,0,0,267428566405,2806149354,563528,6028,1664,365,392084,0 88,2,2024-09-07 09:06:00:699,414868,414868,0,0,20880823,0,3583 88,3,2024-09-07 09:06:01:292,1,326,3,0,435,3625,326,0 89,0,2024-09-07 09:06:01:820,83132,0.5,80383,0.7,159388,0.4,216768,1.75 89,1,2024-09-07 09:06:00:574,571213,571213,0,0,266737163322,2809697963,563467,6473,1273,382,391866,0 89,2,2024-09-07 09:06:01:144,412641,412641,0,0,19970843,0,2910 89,3,2024-09-07 09:06:01:803,1,326,1,0,385,6064,326,0 90,0,2024-09-07 09:06:01:640,80856,0.5,82864,0.7,169240,0.5,220581,2.00 90,1,2024-09-07 09:06:00:610,572755,572755,0,0,267378927220,2805642915,566567,5689,499,380,391825,0 90,2,2024-09-07 09:06:01:408,407635,407635,0,0,21077815,0,3060 90,3,2024-09-07 09:06:00:941,1,326,6,0,246,3641,326,0 91,0,2024-09-07 09:06:01:097,85120,0.5,82494,0.6,172330,0.5,225965,1.75 91,1,2024-09-07 09:06:00:580,571252,571252,0,0,266922275035,2810988498,563435,6774,1043,381,392047,0 91,2,2024-09-07 09:06:01:345,410597,410597,0,0,19016939,0,2896 91,3,2024-09-07 09:06:00:612,1,326,8,0,216,3117,326,0 92,0,2024-09-07 09:06:01:447,81669,0.4,83785,0.6,159940,0.4,216365,1.75 92,1,2024-09-07 09:06:00:581,572636,572636,0,0,266484232826,2787893835,567830,4120,686,382,392136,0 92,2,2024-09-07 09:06:01:352,417048,417048,0,0,17351005,0,3259 92,3,2024-09-07 09:06:01:051,1,326,0,0,167,2874,326,0 93,0,2024-09-07 09:06:01:054,78903,0.4,80953,0.6,154598,0.4,209618,1.75 93,1,2024-09-07 09:06:00:815,572623,572623,0,0,267618798874,2797365852,566513,5083,1027,366,391776,0 93,2,2024-09-07 09:06:00:962,413295,413295,0,0,20159588,0,4845 93,3,2024-09-07 09:06:01:407,1,326,0,0,190,3204,326,0 94,0,2024-09-07 09:06:01:636,83193,0.4,84009,0.6,167485,0.4,222109,1.75 94,1,2024-09-07 09:06:00:572,573234,573234,0,0,267782763495,2797699560,569203,3841,190,381,391850,0 94,2,2024-09-07 09:06:00:773,408766,408766,0,0,17325036,0,2443 94,3,2024-09-07 09:06:01:723,1,326,4,0,264,4089,326,0 95,0,2024-09-07 09:06:01:398,83795,0.4,83816,0.6,168137,0.3,224234,1.75 95,1,2024-09-07 09:06:00:852,574717,574717,0,0,267777044754,2788297031,569758,4560,399,367,391713,0 95,2,2024-09-07 09:06:01:032,410437,410437,0,0,17095468,0,3308 95,3,2024-09-07 09:06:01:729,1,326,12,0,718,5292,326,0 96,0,2024-09-07 09:06:01:063,82392,0.4,82550,0.5,164638,0.3,218096,1.75 96,1,2024-09-07 09:06:01:587,572482,572482,0,0,267575282755,2794230532,567964,3723,795,384,391955,0 96,2,2024-09-07 09:06:01:302,415976,415976,0,0,17081101,0,4042 96,3,2024-09-07 09:06:01:144,1,326,1,0,411,3673,326,0 97,0,2024-09-07 09:06:01:321,76763,0.3,76384,0.5,153384,0.3,204249,1.75 97,1,2024-09-07 09:06:00:775,575085,575085,0,0,268449213857,2784891053,571215,3198,672,367,392140,0 97,2,2024-09-07 09:06:00:615,414949,414949,0,0,16475225,0,3036 97,3,2024-09-07 09:06:00:578,1,326,5,0,214,3975,326,0 98,0,2024-09-07 09:06:01:733,82580,0.3,82380,0.5,165292,0.2,219714,1.50 98,1,2024-09-07 09:06:00:576,574163,574163,0,0,267925195537,2788283033,571510,2547,106,382,391997,0 98,2,2024-09-07 09:06:00:777,411122,411122,0,0,16415791,0,3080 98,3,2024-09-07 09:06:00:703,1,326,1,0,840,5334,326,0 99,0,2024-09-07 09:06:01:466,83422,0.4,83987,0.5,167317,0.3,223292,1.75 99,1,2024-09-07 09:06:01:741,573660,573660,0,0,267232728426,2784790336,569527,3379,754,381,392069,0 99,2,2024-09-07 09:06:01:427,411369,411369,0,0,18374130,0,3424 99,3,2024-09-07 09:06:00:593,1,326,148,0,199,3135,326,0 100,0,2024-09-07 09:06:01:468,82978,0.7,82938,1.0,166045,0.9,221645,2.50 100,1,2024-09-07 09:06:00:557,570748,570748,0,0,266425828394,2817234816,562289,6963,1496,381,391989,0 100,2,2024-09-07 09:06:01:830,412486,412475,11,0,19254798,0,5417 100,3,2024-09-07 09:06:01:742,1,326,1,0,559,5618,326,0 101,0,2024-09-07 09:06:01:729,78573,1.1,76631,1.0,150084,0.8,206288,2.25 101,1,2024-09-07 09:06:00:558,570649,570649,0,0,266336457668,2807019610,561589,7040,2020,368,391771,0 101,2,2024-09-07 09:06:01:765,411898,411898,0,0,22164859,0,4644 101,3,2024-09-07 09:06:00:956,1,326,8,0,579,4469,326,0 102,0,2024-09-07 09:06:01:010,79771,0.7,82448,0.8,166337,0.7,218389,2.25 102,1,2024-09-07 09:06:01:151,571670,571670,0,0,267066344237,2807315122,564360,6278,1032,369,391891,0 102,2,2024-09-07 09:06:01:747,413590,413536,54,0,18761392,0,6768 102,3,2024-09-07 09:06:01:624,1,326,1,0,410,3583,326,0 103,0,2024-09-07 09:06:01:635,85967,0.7,86012,0.8,162061,0.8,223095,2.00 103,1,2024-09-07 09:06:01:683,570856,570856,0,0,267195756268,2820171771,562105,6755,1996,381,391829,0 103,2,2024-09-07 09:06:00:595,408209,408209,0,0,19327087,0,3173 103,3,2024-09-07 09:06:00:778,1,326,1,0,916,4191,326,0 104,0,2024-09-07 09:06:01:059,83846,0.8,84217,1.0,167289,0.7,225047,2.25 104,1,2024-09-07 09:06:01:602,572274,572274,0,0,266679221252,2807935932,563380,7196,1698,365,392168,0 104,2,2024-09-07 09:06:01:666,409778,409778,0,0,20647382,0,3941 104,3,2024-09-07 09:06:01:425,1,326,0,0,1245,7346,326,0 105,0,2024-09-07 09:06:01:045,78629,0.9,76503,1.1,160240,1.0,210293,3.00 105,1,2024-09-07 09:06:00:557,572673,572673,0,0,267562178424,2810360713,564526,6815,1332,366,391797,0 105,2,2024-09-07 09:06:01:332,414446,414446,0,0,20029157,0,3509 105,3,2024-09-07 09:06:01:324,1,326,1,0,399,5304,326,0 106,0,2024-09-07 09:06:00:972,76726,0.9,78665,1.0,160599,1.1,210838,2.50 106,1,2024-09-07 09:06:01:755,571437,571437,0,0,267247774599,2813533021,561866,8279,1292,369,391914,0 106,2,2024-09-07 09:06:00:792,411447,411447,0,0,19784992,0,2795 106,3,2024-09-07 09:06:00:685,1,326,2,0,470,4293,326,0 107,0,2024-09-07 09:06:01:155,83467,1.2,83386,1.0,166761,1.8,222048,2.25 107,1,2024-09-07 09:06:00:591,570606,570606,0,0,266068636237,2807007144,562645,7047,914,381,392234,0 107,2,2024-09-07 09:06:01:311,407259,407258,1,0,20092304,0,5024 107,3,2024-09-07 09:06:01:758,1,326,4,0,353,4417,326,0 108,0,2024-09-07 09:06:01:803,84142,0.5,84652,0.6,168326,0.4,224988,1.75 108,1,2024-09-07 09:06:01:321,573078,573078,0,0,268145693145,2800195284,567999,4562,517,368,391857,0 108,2,2024-09-07 09:06:01:772,409247,409247,0,0,19325907,0,4246 108,3,2024-09-07 09:06:01:332,1,326,9,0,749,6702,326,0 109,0,2024-09-07 09:06:01:757,81988,0.4,81002,0.6,162326,0.3,217624,1.75 109,1,2024-09-07 09:06:00:602,571008,571008,0,0,268002785151,2813903328,565812,4533,663,383,392132,0 109,2,2024-09-07 09:06:00:963,414107,414107,0,0,18963614,0,3617 109,3,2024-09-07 09:06:01:143,1,326,1,0,379,4022,326,0 110,0,2024-09-07 09:06:01:758,77475,0.4,75379,0.6,157698,0.3,206728,1.75 110,1,2024-09-07 09:06:01:646,574115,574115,0,0,267924524287,2783371841,570118,3023,974,369,392045,0 110,2,2024-09-07 09:06:01:324,413806,413806,0,0,18733857,0,4067 110,3,2024-09-07 09:06:00:705,1,326,1,0,722,5052,326,0 111,0,2024-09-07 09:06:01:418,83193,0.4,82502,0.6,165274,0.4,221611,1.75 111,1,2024-09-07 09:06:01:015,574344,574344,0,0,269293805301,2797057704,570965,3027,352,382,391690,0 111,2,2024-09-07 09:06:01:144,408577,408577,0,0,18055961,0,4823 111,3,2024-09-07 09:06:00:923,1,326,1,0,379,4098,326,0 112,0,2024-09-07 09:06:00:925,84676,0.3,83965,0.4,168499,0.2,224191,1.50 112,1,2024-09-07 09:06:00:845,574430,574430,0,0,268253804221,2784531198,570970,2876,584,380,391624,0 112,2,2024-09-07 09:06:01:141,410713,410712,1,0,16362637,0,5036 112,3,2024-09-07 09:06:00:599,1,326,11,0,282,3418,326,0 113,0,2024-09-07 09:06:00:876,82327,0.3,82361,0.5,165215,0.2,219916,1.50 113,1,2024-09-07 09:06:01:701,576376,576376,0,0,269167699441,2778954914,573098,2694,584,366,391661,0 113,2,2024-09-07 09:06:01:311,416746,416746,0,0,15108494,0,3813 113,3,2024-09-07 09:06:00:710,1,326,1,0,340,4021,326,0 114,0,2024-09-07 09:06:00:891,77344,0.3,77894,0.5,154750,0.2,206750,1.75 114,1,2024-09-07 09:06:00:722,574103,574103,0,0,267835059899,2785864620,568981,3529,1593,381,391556,0 114,2,2024-09-07 09:06:00:872,414486,414485,1,0,16677996,0,5069 114,3,2024-09-07 09:06:01:301,1,326,1,0,395,2955,326,0 115,0,2024-09-07 09:06:00:571,82147,0.3,82597,0.4,165190,0.2,220031,1.50 115,1,2024-09-07 09:06:00:581,573788,573788,0,0,268783330113,2793251470,568931,4017,840,382,391656,0 115,2,2024-09-07 09:06:01:139,413647,413647,0,0,15390379,0,3453 115,3,2024-09-07 09:06:01:014,1,326,1,0,159,1967,326,0 116,0,2024-09-07 09:06:01:723,82762,0.9,82657,1.0,165599,1.1,221661,2.25 116,1,2024-09-07 09:06:00:815,570757,570757,0,0,266610041326,2815891248,563163,5328,2266,380,391782,0 116,2,2024-09-07 09:06:01:760,408658,408658,0,0,20612768,0,3529 116,3,2024-09-07 09:06:00:920,1,326,1,0,415,4181,326,0 117,0,2024-09-07 09:06:00:959,84226,0.8,83700,0.9,167707,0.8,224111,2.00 117,1,2024-09-07 09:06:01:596,572103,572103,0,0,267093690080,2798403939,565833,5602,668,370,392033,0 117,2,2024-09-07 09:06:01:135,414956,414956,0,0,17122187,0,3700 117,3,2024-09-07 09:06:01:066,1,326,3,0,490,4889,326,0 118,0,2024-09-07 09:06:01:802,75693,0.5,77742,0.7,158496,0.5,206767,2.00 118,1,2024-09-07 09:06:00:597,571859,571859,0,0,266791098739,2807667968,563081,6880,1898,366,391907,0 118,2,2024-09-07 09:06:01:602,413949,413949,0,0,18827342,0,2781 118,3,2024-09-07 09:06:01:771,1,326,12,0,235,3531,326,0 119,0,2024-09-07 09:06:01:394,80357,0.7,80771,0.8,161576,0.7,215463,2.00 119,1,2024-09-07 09:06:00:559,573104,573104,0,0,267778527825,2802780630,566542,5726,836,367,391780,0 119,2,2024-09-07 09:06:01:308,413748,413748,0,0,17881845,0,4174 119,3,2024-09-07 09:06:01:344,1,326,1,0,563,4940,326,0 120,0,2024-09-07 09:06:01:546,82778,0.7,82505,0.9,165259,0.7,221096,2.25 120,1,2024-09-07 09:06:00:865,572330,572330,0,0,266285900675,2798219011,565927,5848,555,368,391961,0 120,2,2024-09-07 09:06:00:769,408468,408467,1,0,20868084,0,5281 120,3,2024-09-07 09:06:01:293,1,326,1,0,241,4171,326,0 121,0,2024-09-07 09:06:01:722,84477,1.0,84626,1.0,169763,1.3,225643,2.25 121,1,2024-09-07 09:06:01:664,572937,572937,0,0,267638625507,2803263264,567038,5462,437,367,391840,0 121,2,2024-09-07 09:06:01:139,409280,409280,0,0,20156519,0,4127 121,3,2024-09-07 09:06:00:731,1,326,1,0,269,3844,326,0 122,0,2024-09-07 09:06:01:775,80821,0.7,78748,0.9,165015,0.8,216325,2.00 122,1,2024-09-07 09:06:00:866,571449,571449,0,0,266990828292,2806046015,562995,7193,1261,366,392130,0 122,2,2024-09-07 09:06:01:343,415537,415464,73,0,22700720,0,5989 122,3,2024-09-07 09:06:00:611,1,326,1,0,411,5946,326,0 123,0,2024-09-07 09:06:01:007,78033,0.7,76296,0.8,159169,0.8,209131,2.00 123,1,2024-09-07 09:06:00:568,571236,571236,0,0,266921681002,2819199845,559818,9292,2126,369,392039,0 123,2,2024-09-07 09:06:01:038,411670,411669,1,0,19647480,0,5215 123,3,2024-09-07 09:06:01:135,1,326,2,0,168,3837,326,0 124,0,2024-09-07 09:06:00:939,86154,0.4,86192,0.5,162405,0.3,222935,1.75 124,1,2024-09-07 09:06:01:038,573904,573904,0,0,267918939065,2791088459,569195,3801,908,367,392178,0 124,2,2024-09-07 09:06:01:021,409833,409780,53,0,17586365,0,6487 124,3,2024-09-07 09:06:00:772,1,326,1,0,490,3413,326,0 125,0,2024-09-07 09:06:01:426,84244,0.4,83749,0.6,168595,0.4,224559,1.75 125,1,2024-09-07 09:06:00:862,572810,572810,0,0,268094276327,2802617618,567934,4233,643,383,391702,0 125,2,2024-09-07 09:06:01:132,410654,410654,0,0,18231587,0,4534 125,3,2024-09-07 09:06:01:136,1,326,0,0,709,4568,326,0 126,0,2024-09-07 09:06:01:446,82202,0.4,84489,0.6,161686,0.4,218998,1.75 126,1,2024-09-07 09:06:00:558,574601,574601,0,0,268036456859,2780901762,570873,3445,283,365,391987,0 126,2,2024-09-07 09:06:00:618,416222,416222,0,0,18346264,0,4539 126,3,2024-09-07 09:06:00:926,1,326,3,0,207,4159,326,0 127,0,2024-09-07 09:06:01:639,76453,0.3,76909,0.5,153165,0.3,204249,1.75 127,1,2024-09-07 09:06:00:581,573782,573782,0,0,267651994255,2783899304,568388,4534,860,365,392187,0 127,2,2024-09-07 09:06:00:657,413376,413376,0,0,16879761,0,3897 127,3,2024-09-07 09:06:01:317,1,326,9,0,968,3990,326,0 128,0,2024-09-07 09:06:01:545,82689,0.3,82804,0.5,165669,0.2,220079,1.50 128,1,2024-09-07 09:06:01:618,573666,573666,0,0,267696861983,2782550041,569570,3726,370,367,391798,0 128,2,2024-09-07 09:06:01:390,411750,411750,0,0,15764928,0,2915 128,3,2024-09-07 09:06:00:778,1,326,2,0,1082,5864,326,0 129,0,2024-09-07 09:06:01:000,84049,0.3,83779,0.5,167783,0.3,223336,1.75 129,1,2024-09-07 09:06:00:589,570856,570856,0,0,266991453507,2798912804,565214,4386,1256,379,391835,0 129,2,2024-09-07 09:06:00:695,410834,410834,0,0,16578853,0,4031 129,3,2024-09-07 09:06:00:711,1,326,3,0,469,4416,326,0 130,0,2024-09-07 09:06:01:737,83868,0.5,83441,0.6,167718,0.5,222929,1.75 130,1,2024-09-07 09:06:00:600,574036,574036,0,0,268098055542,2789815577,570626,3131,279,381,391825,0 130,2,2024-09-07 09:06:01:140,415308,415308,0,0,16517261,0,4067 130,3,2024-09-07 09:06:01:315,1,326,1,0,450,4137,326,0 131,0,2024-09-07 09:06:01:954,76819,0.3,77334,0.5,155343,0.3,205988,1.75 131,1,2024-09-07 09:06:01:833,573868,573868,0,0,268014515222,2798973148,569421,3702,745,381,391865,0 131,2,2024-09-07 09:06:00:572,416342,416342,0,0,15290532,0,2415 131,3,2024-09-07 09:06:01:689,1,326,1,0,392,3465,326,0 132,0,2024-09-07 09:06:01:446,81738,0.4,82447,0.6,164585,0.4,219098,1.75 132,1,2024-09-07 09:06:00:576,571131,571131,0,0,266176788195,2806389247,562498,7168,1465,381,392097,0 132,2,2024-09-07 09:06:00:710,412649,412649,0,0,20185830,0,4606 132,3,2024-09-07 09:06:01:696,1,326,4,0,804,6132,326,0 133,0,2024-09-07 09:06:01:544,81389,0.5,83137,0.6,170688,0.5,221699,2.00 133,1,2024-09-07 09:06:00:590,571239,571239,0,0,266899397427,2816173524,563074,7083,1082,383,391914,0 133,2,2024-09-07 09:06:01:099,408020,408020,0,0,21245882,0,4315 133,3,2024-09-07 09:06:01:308,1,326,20,0,479,3551,326,0 134,0,2024-09-07 09:06:01:006,84955,0.5,84870,0.7,169767,0.5,226226,2.00 134,1,2024-09-07 09:06:00:594,571350,571350,0,0,266181205741,2798558436,563097,6397,1856,366,391718,0 134,2,2024-09-07 09:06:01:765,410747,410747,0,0,17828128,0,3847 134,3,2024-09-07 09:06:00:796,1,326,19,0,739,4376,326,0 135,0,2024-09-07 09:06:01:150,76856,0.7,76875,0.8,163026,0.7,209983,2.00 135,1,2024-09-07 09:06:01:593,571941,571941,0,0,267734608690,2820657656,563592,7113,1236,380,391805,0 135,2,2024-09-07 09:06:00:744,415927,415927,0,0,19369489,0,3981 135,3,2024-09-07 09:06:01:033,1,326,8,0,299,2592,326,0 136,0,2024-09-07 09:06:01:668,79876,0.6,80002,0.7,159221,0.6,212645,2.25 136,1,2024-09-07 09:06:01:455,571711,571711,0,0,266779027259,2804594603,564702,6314,695,382,391685,0 136,2,2024-09-07 09:06:01:149,413752,413752,0,0,19273765,0,3506 136,3,2024-09-07 09:06:01:113,1,326,1,0,637,3843,326,0 137,0,2024-09-07 09:06:00:941,86183,0.7,83933,0.8,164657,0.8,223473,2.00 137,1,2024-09-07 09:06:00:579,571238,571238,0,0,266951100792,2806782628,561663,7695,1880,366,391708,0 137,2,2024-09-07 09:06:01:708,408006,408006,0,0,21495384,0,3185 137,3,2024-09-07 09:06:00:780,1,326,0,0,382,3991,326,0 138,0,2024-09-07 09:06:01:785,83751,1.3,83845,1.1,168424,1.9,223987,2.25 138,1,2024-09-07 09:06:01:698,572043,572043,0,0,267909529342,2817113160,563005,7443,1595,368,391954,0 138,2,2024-09-07 09:06:00:590,409884,409884,0,0,19251087,0,4988 138,3,2024-09-07 09:06:00:614,1,326,3,0,1160,4762,326,0 139,0,2024-09-07 09:06:01:379,80405,1.3,80700,1.1,161693,1.9,215789,2.25 139,1,2024-09-07 09:06:00:584,569729,569729,0,0,265621146940,2826899121,558375,8710,2644,381,391892,0 139,2,2024-09-07 09:06:00:707,413407,413407,0,0,20954114,0,3097 139,3,2024-09-07 09:06:01:662,1,326,4,0,257,3670,326,0 140,0,2024-09-07 09:06:01:593,77776,0.3,77361,0.5,155404,0.2,207073,1.75 140,1,2024-09-07 09:06:01:537,575644,575644,0,0,269017739896,2777336966,572442,2764,438,365,391606,0 140,2,2024-09-07 09:06:00:689,414206,414205,1,0,17446906,0,5036 140,3,2024-09-07 09:06:00:778,1,326,1,0,247,2720,326,0 141,0,2024-09-07 09:06:01:703,82957,0.4,85259,0.5,162945,0.3,221661,1.75 141,1,2024-09-07 09:06:00:866,574448,574448,0,0,267766922420,2785678804,569887,3699,862,379,391614,0 141,2,2024-09-07 09:06:01:694,409318,409318,0,0,16934300,0,3360 141,3,2024-09-07 09:06:01:052,1,326,0,0,391,3841,326,0 142,0,2024-09-07 09:06:01:334,84754,0.4,83974,0.5,167659,0.3,224473,1.75 142,1,2024-09-07 09:06:00:595,574024,574024,0,0,267367147684,2791282971,570065,3609,350,382,392102,0 142,2,2024-09-07 09:06:01:311,409672,409640,32,0,18380643,0,6028 142,3,2024-09-07 09:06:01:753,1,326,3,0,484,4115,326,0 143,0,2024-09-07 09:06:01:369,82636,0.4,82437,0.6,165412,0.4,220012,1.75 143,1,2024-09-07 09:06:00:570,574056,574056,0,0,267956250681,2783373902,570288,3619,149,367,391705,0 143,2,2024-09-07 09:06:00:793,414995,414995,0,0,17780100,0,3123 143,3,2024-09-07 09:06:01:368,1,326,1,0,303,3928,326,0 144,0,2024-09-07 09:06:01:506,74560,0.5,76664,0.8,156191,0.4,204922,2.00 144,1,2024-09-07 09:06:00:592,571538,571538,0,0,267131006646,2802336833,566690,3908,940,381,391649,0 144,2,2024-09-07 09:06:01:776,414503,414503,0,0,15974327,0,3473 144,3,2024-09-07 09:06:01:743,1,326,15,0,249,3413,326,0 145,0,2024-09-07 09:06:01:369,79491,0.6,79440,0.8,168569,0.6,217603,2.25 145,1,2024-09-07 09:06:00:561,570906,570906,0,0,267071990964,2810709223,563612,6154,1140,382,391759,0 145,2,2024-09-07 09:06:01:434,410668,410668,0,0,19344610,0,3903 145,3,2024-09-07 09:06:00:907,1,326,2,0,622,5077,326,0 146,0,2024-09-07 09:06:01:617,83153,0.6,82457,0.8,166334,0.6,220453,2.25 146,1,2024-09-07 09:06:01:618,572318,572318,0,0,266582349470,2807497587,562629,7679,2010,368,391770,0 146,2,2024-09-07 09:06:01:697,408630,408630,0,0,19212264,0,2730 146,3,2024-09-07 09:06:01:282,1,326,2,0,1520,6979,326,0 147,0,2024-09-07 09:06:01:702,84246,0.6,83884,0.8,167166,0.6,223709,2.25 147,1,2024-09-07 09:06:01:426,574018,574018,0,0,268037971374,2793024870,568361,4857,800,368,391791,0 147,2,2024-09-07 09:06:01:027,412643,412643,0,0,17156742,0,2789 147,3,2024-09-07 09:06:00:935,1,326,1,0,730,4918,326,0 0,0,2024-09-07 09:06:11:788,80924,0.7,80929,0.8,171835,0.8,221805,2.00 0,1,2024-09-07 09:06:10:807,574739,574739,0,0,267856508902,2803262753,570617,3879,243,370,391896,0 0,2,2024-09-07 09:06:11:079,410622,410622,0,0,16837388,0,4480 0,3,2024-09-07 09:06:10:979,1,327,6,0,431,4567,327,0 1,0,2024-09-07 09:06:11:781,84959,0.8,84381,0.9,169573,1.0,226246,2.00 1,1,2024-09-07 09:06:10:580,573659,573659,0,0,267513381031,2804255648,568067,4366,1226,370,391857,0 1,2,2024-09-07 09:06:10:641,411018,411018,0,0,16347765,0,3267 1,3,2024-09-07 09:06:11:322,1,327,5,0,262,3916,327,0 2,0,2024-09-07 09:06:11:566,81122,0.6,81462,0.7,161666,0.7,216391,2.00 2,1,2024-09-07 09:06:10:859,575687,575687,0,0,269027215265,2795354574,572792,2602,293,380,391745,0 2,2,2024-09-07 09:06:11:271,417332,417332,0,0,16339666,0,3594 2,3,2024-09-07 09:06:10:698,1,327,2,0,357,3269,327,0 3,0,2024-09-07 09:06:11:749,78723,0.4,78694,0.6,157440,0.3,210049,2.00 3,1,2024-09-07 09:06:11:618,574490,574490,0,0,267602977180,2789191713,569392,4475,623,380,391591,0 3,2,2024-09-07 09:06:11:147,415273,415250,23,0,16791198,0,5851 3,3,2024-09-07 09:06:11:756,1,327,0,0,207,2197,327,0 4,0,2024-09-07 09:06:11:783,81197,0.4,83689,0.5,169992,0.4,222399,1.75 4,1,2024-09-07 09:06:10:634,573178,573178,0,0,267704305904,2820876601,565869,5953,1356,371,391992,0 4,2,2024-09-07 09:06:11:043,408186,408186,0,0,19360573,0,4528 4,3,2024-09-07 09:06:11:042,1,327,9,0,448,4665,327,0 5,0,2024-09-07 09:06:11:428,84265,0.5,84609,0.6,168729,0.5,224695,1.75 5,1,2024-09-07 09:06:10:756,573788,573788,0,0,267727111137,2817676292,566626,5806,1356,367,392005,0 5,2,2024-09-07 09:06:11:833,410192,410192,0,0,18496205,0,2432 5,3,2024-09-07 09:06:11:742,1,327,1,0,457,4896,327,0 6,0,2024-09-07 09:06:10:922,82738,0.5,82178,0.6,164314,0.4,219323,2.00 6,1,2024-09-07 09:06:10:785,573759,573759,0,0,268408429612,2806565467,567401,5282,1076,379,391694,0 6,2,2024-09-07 09:06:11:124,416614,416614,0,0,17778999,0,4816 6,3,2024-09-07 09:06:11:278,1,327,2,0,340,3692,327,0 7,0,2024-09-07 09:06:11:540,76702,0.5,77032,0.6,153367,0.4,204759,1.75 7,1,2024-09-07 09:06:10:850,572988,572988,0,0,267997567742,2816502897,564919,6893,1176,382,391747,0 7,2,2024-09-07 09:06:10:773,415349,415349,0,0,17886208,0,4791 7,3,2024-09-07 09:06:10:855,1,327,0,0,398,3825,327,0 8,0,2024-09-07 09:06:11:392,82828,0.4,82688,0.5,165547,0.3,220579,1.75 8,1,2024-09-07 09:06:11:024,572251,572251,0,0,267468319460,2820780922,562104,7870,2277,366,392144,0 8,2,2024-09-07 09:06:10:808,407264,407264,0,0,22078563,0,3220 8,3,2024-09-07 09:06:10:607,1,327,1,0,538,5726,327,0 9,0,2024-09-07 09:06:11:204,84036,0.4,81627,0.6,170859,0.4,223980,1.75 9,1,2024-09-07 09:06:10:572,573092,573092,0,0,267641267979,2825585162,564047,6921,2124,369,392001,0 9,2,2024-09-07 09:06:11:091,410424,410424,0,0,19432914,0,3360 9,3,2024-09-07 09:06:11:758,1,327,0,0,496,4965,327,0 10,0,2024-09-07 09:06:11:611,83681,0.3,83033,0.5,166780,0.2,222107,1.75 10,1,2024-09-07 09:06:10:608,574021,574021,0,0,267950492806,2810181668,566139,6773,1109,381,391741,0 10,2,2024-09-07 09:06:10:764,415019,415019,0,0,21153037,0,4264 10,3,2024-09-07 09:06:10:871,1,327,0,0,296,2982,327,0 11,0,2024-09-07 09:06:11:015,76920,0.4,74665,0.6,156153,0.4,206864,1.75 11,1,2024-09-07 09:06:10:575,573769,573769,0,0,267955784887,2818773324,563759,7562,2448,383,391664,0 11,2,2024-09-07 09:06:11:125,414590,414590,0,0,19151065,0,4130 11,3,2024-09-07 09:06:11:301,1,327,4,0,843,5176,327,0 12,0,2024-09-07 09:06:10:982,82854,0.4,82687,0.5,165512,0.3,220411,1.75 12,1,2024-09-07 09:06:10:954,574279,574279,0,0,267657011953,2794813786,569426,4363,490,370,391870,0 12,2,2024-09-07 09:06:11:572,414007,414007,0,0,18837686,0,3469 12,3,2024-09-07 09:06:11:066,1,327,14,0,386,5442,327,0 13,0,2024-09-07 09:06:11:365,84074,0.5,83750,0.6,167378,0.4,222875,1.75 13,1,2024-09-07 09:06:11:527,573512,573512,0,0,268021632231,2822016211,567610,4493,1409,382,391740,0 13,2,2024-09-07 09:06:10:616,411469,411469,0,0,17180356,0,3287 13,3,2024-09-07 09:06:11:768,1,327,10,0,522,5421,327,0 14,0,2024-09-07 09:06:10:572,85183,0.4,85833,0.6,169774,0.4,226210,1.75 14,1,2024-09-07 09:06:11:561,577623,577623,0,0,269067578377,2790218853,572938,4326,359,364,391571,0 14,2,2024-09-07 09:06:10:770,412338,412308,30,0,18979095,0,6104 14,3,2024-09-07 09:06:11:124,1,327,0,0,1168,4071,327,0 15,0,2024-09-07 09:06:11:563,79390,0.4,79457,0.6,158889,0.4,210956,2.00 15,1,2024-09-07 09:06:11:612,574994,574994,0,0,268621184587,2799990815,570657,3516,821,381,391619,0 15,2,2024-09-07 09:06:11:004,418022,418022,0,0,15588591,0,3622 15,3,2024-09-07 09:06:11:407,1,327,1,0,1126,6170,327,0 16,0,2024-09-07 09:06:10:963,79879,0.6,80168,0.8,159905,0.6,213280,2.25 16,1,2024-09-07 09:06:10:581,574996,574996,0,0,268540416438,2805274715,570711,3925,360,370,391756,0 16,2,2024-09-07 09:06:11:441,414618,414618,0,0,17845072,0,4719 16,3,2024-09-07 09:06:11:141,1,327,1,0,317,4628,327,0 17,0,2024-09-07 09:06:11:810,86134,0.7,84160,0.8,164469,0.8,223145,2.00 17,1,2024-09-07 09:06:10:613,573800,573800,0,0,266937402650,2804480486,567569,4974,1257,368,391899,0 17,2,2024-09-07 09:06:11:679,412227,412227,0,0,17412864,0,2857 17,3,2024-09-07 09:06:10:591,1,327,5,0,298,5414,327,0 18,0,2024-09-07 09:06:10:942,83560,0.6,83932,0.8,167404,0.7,223564,2.25 18,1,2024-09-07 09:06:11:639,575782,575782,0,0,268594459977,2785928967,572446,3025,311,367,391649,0 18,2,2024-09-07 09:06:11:759,413093,413093,0,0,16178630,0,3541 18,3,2024-09-07 09:06:10:896,1,327,11,0,163,2892,327,0 19,0,2024-09-07 09:06:11:560,81306,0.6,81896,0.8,162120,0.6,216243,2.25 19,1,2024-09-07 09:06:10:585,575726,575726,0,0,269393845303,2797299870,570606,4281,839,367,391777,0 19,2,2024-09-07 09:06:11:761,418721,418721,0,0,15007840,0,3988 19,3,2024-09-07 09:06:11:130,1,327,2,0,524,2553,327,0 20,0,2024-09-07 09:06:11:409,77598,0.5,77552,0.7,155122,0.5,207255,2.00 20,1,2024-09-07 09:06:10:634,573793,573793,0,0,268355771065,2804952448,569464,3925,404,369,391886,0 20,2,2024-09-07 09:06:10:936,415492,415492,0,0,17807531,0,3721 20,3,2024-09-07 09:06:10:619,1,327,6,0,414,5252,327,0 21,0,2024-09-07 09:06:11:214,83396,0.5,83473,0.7,166719,0.5,222001,2.00 21,1,2024-09-07 09:06:11:544,572973,572973,0,0,267092991370,2816352859,565087,6009,1877,368,392016,0 21,2,2024-09-07 09:06:11:072,408307,408307,0,0,20881702,0,3747 21,3,2024-09-07 09:06:11:418,1,327,6,0,713,3959,327,0 22,0,2024-09-07 09:06:11:719,83809,0.6,84297,0.7,168637,0.5,223390,2.25 22,1,2024-09-07 09:06:11:025,573951,573951,0,0,267496005460,2814018811,565463,6669,1819,382,391667,0 22,2,2024-09-07 09:06:10:762,410548,410548,0,0,17032329,0,3134 22,3,2024-09-07 09:06:11:066,1,327,1,0,228,2831,327,0 23,0,2024-09-07 09:06:11:368,82159,0.5,82111,0.7,164400,0.5,218985,2.25 23,1,2024-09-07 09:06:11:006,573623,573623,0,0,268423524622,2822410166,563131,7334,3158,365,391690,0 23,2,2024-09-07 09:06:11:093,417372,417372,0,0,16773533,0,3010 23,3,2024-09-07 09:06:11:756,1,327,720,0,720,4709,327,0 24,0,2024-09-07 09:06:10:830,77658,0.4,77607,0.6,155726,0.3,206652,1.75 24,1,2024-09-07 09:06:10:604,573058,573058,0,0,267506718299,2810071542,566171,5359,1528,368,392269,0 24,2,2024-09-07 09:06:11:072,413646,413646,0,0,20881789,0,3607 24,3,2024-09-07 09:06:11:693,1,327,2,0,468,4484,327,0 25,0,2024-09-07 09:06:11:416,84826,0.4,82717,0.6,162145,0.4,221387,2.00 25,1,2024-09-07 09:06:10:595,574134,574134,0,0,268493107626,2820254696,566452,6313,1369,371,391928,0 25,2,2024-09-07 09:06:11:625,411825,411825,0,0,20876535,0,3978 25,3,2024-09-07 09:06:11:000,1,327,2,0,255,3299,327,0 26,0,2024-09-07 09:06:11:736,82972,0.4,81045,0.6,170147,0.4,221454,2.00 26,1,2024-09-07 09:06:11:545,574531,574531,0,0,267732050224,2809430570,565683,7312,1536,380,391748,0 26,2,2024-09-07 09:06:10:861,411333,411333,0,0,20511472,0,2809 26,3,2024-09-07 09:06:11:712,1,327,1,0,796,4343,327,0 27,0,2024-09-07 09:06:11:726,84401,0.5,84470,0.6,167479,0.4,223653,2.00 27,1,2024-09-07 09:06:11:685,576334,576334,0,0,269550127218,2808656205,571067,4502,765,381,391626,0 27,2,2024-09-07 09:06:10:867,411435,411370,65,0,20386037,0,5699 27,3,2024-09-07 09:06:11:021,1,327,1,0,564,3629,327,0 28,0,2024-09-07 09:06:11:404,78251,0.4,77929,0.6,156197,0.3,208169,2.00 28,1,2024-09-07 09:06:10:987,575138,575138,0,0,269091758287,2806530794,570760,3675,703,383,391698,0 28,2,2024-09-07 09:06:11:769,415367,415367,0,0,18114837,0,2915 28,3,2024-09-07 09:06:11:785,1,327,2,0,502,3513,327,0 29,0,2024-09-07 09:06:11:355,83435,0.4,81321,0.6,159459,0.3,218217,1.75 29,1,2024-09-07 09:06:11:570,576997,576997,0,0,269592912595,2794710879,572659,3654,684,369,391753,0 29,2,2024-09-07 09:06:10:869,413310,413310,0,0,17456547,0,4986 29,3,2024-09-07 09:06:10:969,1,327,0,0,459,4008,327,0 30,0,2024-09-07 09:06:11:472,83047,0.6,80624,0.8,168768,0.5,220809,2.00 30,1,2024-09-07 09:06:10:572,576103,576103,0,0,268910525680,2796104184,571284,4109,710,381,391672,0 30,2,2024-09-07 09:06:11:274,410157,410157,0,0,16353908,0,3161 30,3,2024-09-07 09:06:10:583,1,327,16,0,519,3414,327,0 31,0,2024-09-07 09:06:11:760,84466,0.4,84797,0.6,169674,0.4,225909,2.00 31,1,2024-09-07 09:06:10:566,578462,578462,0,0,269628162507,2767884697,575920,1984,558,356,391712,0 31,2,2024-09-07 09:06:11:279,411781,411781,0,0,18173854,0,3525 31,3,2024-09-07 09:06:11:706,1,327,1,0,220,2861,327,0 32,0,2024-09-07 09:06:11:460,81115,0.3,81481,0.5,162935,0.2,216791,1.75 32,1,2024-09-07 09:06:10:804,575846,575846,0,0,268935197438,2794341687,572707,2694,445,381,391646,0 32,2,2024-09-07 09:06:10:940,417822,417822,0,0,15320293,0,3155 32,3,2024-09-07 09:06:11:030,1,327,0,0,227,2419,327,0 33,0,2024-09-07 09:06:11:508,79011,0.3,78596,0.4,157708,0.2,210687,1.50 33,1,2024-09-07 09:06:10:583,576979,576979,0,0,270332040007,2800763939,572569,3579,831,369,391730,0 33,2,2024-09-07 09:06:10:764,416094,416059,35,0,17416345,0,7012 33,3,2024-09-07 09:06:10:895,1,327,0,0,329,3531,327,0 34,0,2024-09-07 09:06:10:943,83945,0.3,86340,0.5,165148,0.2,221736,1.75 34,1,2024-09-07 09:06:11:044,577691,577691,0,0,270265664343,2779088179,576274,1409,8,367,391562,0 34,2,2024-09-07 09:06:10:768,410174,410174,0,0,16528832,0,3577 34,3,2024-09-07 09:06:11:691,1,327,0,0,299,2432,327,0 35,0,2024-09-07 09:06:10:865,83599,0.4,83989,0.5,168903,0.3,225189,1.75 35,1,2024-09-07 09:06:11:072,576134,576134,0,0,268816008363,2787209922,572529,2824,781,382,391589,0 35,2,2024-09-07 09:06:11:590,411721,411721,0,0,16611638,0,2653 35,3,2024-09-07 09:06:10:912,1,327,22,0,418,4238,327,0 36,0,2024-09-07 09:06:11:546,82628,0.5,82655,0.7,165225,0.4,218949,2.00 36,1,2024-09-07 09:06:10:601,575481,575481,0,0,268551487350,2807175936,568253,5570,1658,366,391759,0 36,2,2024-09-07 09:06:11:760,417213,417213,0,0,18919243,0,3875 36,3,2024-09-07 09:06:10:876,1,327,0,0,416,5255,327,0 37,0,2024-09-07 09:06:11:384,76541,0.5,76552,0.7,153230,0.4,205326,2.00 37,1,2024-09-07 09:06:10:591,574372,574365,0,7,268417314181,2806693369,567595,4685,2085,365,391570,0 37,2,2024-09-07 09:06:11:144,413757,413742,15,0,18491222,0,5815 37,3,2024-09-07 09:06:11:770,1,327,1,0,888,5493,327,0 38,0,2024-09-07 09:06:11:447,82110,0.4,79773,0.6,166874,0.4,218394,2.00 38,1,2024-09-07 09:06:11:612,576052,576052,0,0,268836016188,2800557116,570016,5278,758,368,391821,0 38,2,2024-09-07 09:06:10:764,410582,410535,47,0,19032955,0,6710 38,3,2024-09-07 09:06:11:002,1,327,3,0,689,4597,327,0 39,0,2024-09-07 09:06:11:766,85415,0.6,83690,0.8,162837,0.6,222785,2.00 39,1,2024-09-07 09:06:10:785,574374,574374,0,0,268189417070,2807734122,566013,6901,1460,365,391594,0 39,2,2024-09-07 09:06:11:427,411390,411390,0,0,17069906,0,2689 39,3,2024-09-07 09:06:10:721,1,327,8,0,324,4241,327,0 40,0,2024-09-07 09:06:11:506,82681,0.8,83338,1.0,166522,0.8,221794,2.75 40,1,2024-09-07 09:06:10:583,575069,575069,0,0,267765788801,2806429106,566516,7043,1510,368,391668,0 40,2,2024-09-07 09:06:11:310,414444,414443,1,0,20490308,0,5137 40,3,2024-09-07 09:06:11:146,1,327,1,0,1028,4978,327,0 41,0,2024-09-07 09:06:11:037,76716,1.5,78655,1.3,150080,2.3,204810,3.00 41,1,2024-09-07 09:06:10:774,574182,574182,0,0,268096704224,2806956909,567132,6347,703,370,391742,0 41,2,2024-09-07 09:06:10:764,413519,413519,0,0,19652705,0,3356 41,3,2024-09-07 09:06:11:678,1,327,0,0,366,3368,327,0 42,0,2024-09-07 09:06:11:477,81535,0.9,81715,1.0,163532,0.9,216398,2.50 42,1,2024-09-07 09:06:11:451,573471,573471,0,0,268068928078,2820050403,563944,7769,1758,380,391675,0 42,2,2024-09-07 09:06:11:134,413636,413636,0,0,19752210,0,3790 42,3,2024-09-07 09:06:11:013,1,327,1,0,446,3057,327,0 43,0,2024-09-07 09:06:10:930,82336,1.0,80314,1.1,168276,1.2,220366,2.50 43,1,2024-09-07 09:06:10:585,574547,574547,0,0,268473530033,2808161200,566316,6793,1438,366,391696,0 43,2,2024-09-07 09:06:11:737,410695,410695,0,0,19442182,0,3812 43,3,2024-09-07 09:06:11:749,1,327,0,0,467,4021,327,0 44,0,2024-09-07 09:06:10:863,84900,0.4,85279,0.6,170192,0.4,226379,1.75 44,1,2024-09-07 09:06:10:572,576320,576320,0,0,268002720545,2774985194,571260,4013,1047,356,391809,0 44,2,2024-09-07 09:06:11:274,411949,411949,0,0,16421841,0,4344 44,3,2024-09-07 09:06:11:102,1,327,4,0,817,4436,327,0 45,0,2024-09-07 09:06:11:760,78452,0.5,76577,0.7,160499,0.5,210778,2.00 45,1,2024-09-07 09:06:11:008,575323,575323,0,0,269435969310,2802505563,570890,3957,476,382,391917,0 45,2,2024-09-07 09:06:11:273,416434,416434,0,0,17017239,0,3596 45,3,2024-09-07 09:06:10:940,1,327,1,0,271,3201,327,0 46,0,2024-09-07 09:06:10:958,79257,0.6,79250,0.8,158653,0.6,211197,2.25 46,1,2024-09-07 09:06:10:576,577215,577215,0,0,269430185834,2785082575,573507,3282,426,366,391572,0 46,2,2024-09-07 09:06:10:608,415633,415633,0,0,16045951,0,2920 46,3,2024-09-07 09:06:11:134,1,327,2,0,908,4976,327,0 47,0,2024-09-07 09:06:11:113,83971,0.5,84140,0.7,168582,0.5,222636,2.00 47,1,2024-09-07 09:06:10:591,576796,576796,0,0,269075413973,2786573012,572310,3671,815,366,391641,0 47,2,2024-09-07 09:06:10:914,411753,411753,0,0,16509361,0,4477 47,3,2024-09-07 09:06:11:124,1,327,1,0,529,3700,327,0 48,0,2024-09-07 09:06:11:498,85147,0.3,84729,0.4,168905,0.2,225756,1.50 48,1,2024-09-07 09:06:11:022,575820,575820,0,0,269125171216,2796118848,572266,3251,303,384,391710,0 48,2,2024-09-07 09:06:10:700,411185,411185,0,0,15045422,0,3031 48,3,2024-09-07 09:06:10:754,1,327,2,0,339,2844,327,0 49,0,2024-09-07 09:06:11:719,83904,0.3,82386,0.5,159604,0.3,218839,1.75 49,1,2024-09-07 09:06:11:022,575388,575388,0,0,268477269501,2797245847,571071,3132,1185,382,391809,0 49,2,2024-09-07 09:06:11:810,417932,417932,0,0,16235923,0,4426 49,3,2024-09-07 09:06:11:426,1,327,1,0,408,3467,327,0 50,0,2024-09-07 09:06:11:509,77900,0.3,76897,0.5,154843,0.2,206861,1.75 50,1,2024-09-07 09:06:11:010,577568,577568,0,0,269396140701,2787055629,573750,3444,374,368,391565,0 50,2,2024-09-07 09:06:11:077,415583,415583,0,0,15207889,0,2263 50,3,2024-09-07 09:06:11:296,1,327,46,0,335,3274,327,0 51,0,2024-09-07 09:06:11:727,85555,0.3,83611,0.5,163149,0.2,222979,1.75 51,1,2024-09-07 09:06:11:696,577950,577950,0,0,270649675645,2793879070,574695,2280,975,365,391706,0 51,2,2024-09-07 09:06:11:331,410396,410396,0,0,14629477,0,3337 51,3,2024-09-07 09:06:11:038,1,327,0,0,678,2550,327,0 52,0,2024-09-07 09:06:11:421,84540,0.5,84441,0.7,168680,0.4,224622,2.00 52,1,2024-09-07 09:06:10:585,574682,574682,0,0,267834913178,2807960894,566128,7243,1311,368,391722,0 52,2,2024-09-07 09:06:11:757,408578,408540,38,0,19247738,0,6742 52,3,2024-09-07 09:06:10:685,1,327,1,0,1782,5165,327,0 53,0,2024-09-07 09:06:11:735,82053,0.6,79738,0.8,166665,0.7,218563,2.25 53,1,2024-09-07 09:06:10:781,573353,573353,0,0,267978233319,2815377004,563915,6857,2581,367,391702,0 53,2,2024-09-07 09:06:11:299,417567,417567,0,0,16538140,0,2727 53,3,2024-09-07 09:06:10:699,1,327,0,0,308,3200,327,0 54,0,2024-09-07 09:06:11:625,76080,0.6,76665,0.8,152351,0.4,203896,2.25 54,1,2024-09-07 09:06:10:584,575071,575071,0,0,269247234357,2799736076,569477,4992,602,367,391659,0 54,2,2024-09-07 09:06:10:870,415282,415276,6,0,19037952,0,5382 54,3,2024-09-07 09:06:10:767,1,327,1,0,676,5121,327,0 55,0,2024-09-07 09:06:11:766,79799,0.6,82640,0.7,166567,0.5,217374,2.50 55,1,2024-09-07 09:06:10:768,575068,575068,0,0,268931686762,2800778893,568446,5713,909,365,391731,0 55,2,2024-09-07 09:06:10:750,411843,411843,0,0,18535671,0,3563 55,3,2024-09-07 09:06:10:689,1,327,1,0,304,3650,327,0 56,0,2024-09-07 09:06:11:588,84917,1.3,80063,1.2,165080,1.8,221036,2.75 56,1,2024-09-07 09:06:10:583,572205,572205,0,0,267560969882,2827696956,563476,7099,1630,381,391867,0 56,2,2024-09-07 09:06:11:314,410926,410926,0,0,19397485,0,3567 56,3,2024-09-07 09:06:11:065,1,327,0,0,705,4411,327,0 57,0,2024-09-07 09:06:10:954,83058,1.5,82910,1.2,166174,2.0,222172,3.00 57,1,2024-09-07 09:06:10:989,573954,573954,0,0,267372483830,2805055348,567136,6098,720,366,392032,0 57,2,2024-09-07 09:06:11:321,414117,414117,0,0,21139694,0,3317 57,3,2024-09-07 09:06:11:758,1,327,2,0,359,3917,327,0 58,0,2024-09-07 09:06:10:579,76742,0.9,74645,1.0,156382,1.1,204900,2.50 58,1,2024-09-07 09:06:10:581,574958,574955,0,3,268153179534,2806168528,566838,7035,1082,367,391603,3 58,2,2024-09-07 09:06:11:086,414838,414838,0,0,19408681,0,2549 58,3,2024-09-07 09:06:11:072,1,327,3,0,1043,3402,327,0 59,0,2024-09-07 09:06:11:749,80922,0.8,80562,1.0,161011,0.9,214509,2.75 59,1,2024-09-07 09:06:10:815,574763,574763,0,0,268388974513,2812053212,566904,6339,1520,369,391586,0 59,2,2024-09-07 09:06:10:591,415217,415217,0,0,19106778,0,2867 59,3,2024-09-07 09:06:11:737,1,327,1,0,1015,4273,327,0 60,0,2024-09-07 09:06:11:773,83162,0.6,83264,0.7,167143,0.6,221450,1.75 60,1,2024-09-07 09:06:10:778,576397,576397,0,0,269377403823,2799267748,572291,3461,645,370,392031,0 60,2,2024-09-07 09:06:11:164,410253,410253,0,0,18690594,0,3811 60,3,2024-09-07 09:06:11:258,1,327,5,0,409,3913,327,0 61,0,2024-09-07 09:06:11:502,84724,0.6,85267,0.8,169360,0.6,225663,2.00 61,1,2024-09-07 09:06:10:779,574297,574297,0,0,267962729639,2812896042,567138,5835,1324,382,392127,0 61,2,2024-09-07 09:06:11:128,411994,411927,67,0,19034863,0,6411 61,3,2024-09-07 09:06:11:686,1,327,1,0,479,5047,327,0 62,0,2024-09-07 09:06:11:721,81613,0.5,83438,0.7,159040,0.5,216437,2.00 62,1,2024-09-07 09:06:11:119,577717,577711,0,6,270127127647,2790443019,574134,3318,259,365,391715,6 62,2,2024-09-07 09:06:11:661,416003,416002,1,0,18621185,0,5555 62,3,2024-09-07 09:06:11:151,1,327,4,0,465,2567,327,0 63,0,2024-09-07 09:06:11:507,79022,0.4,78957,0.6,158183,0.4,210752,1.75 63,1,2024-09-07 09:06:10:803,576181,576175,0,6,269663700929,2801981102,572245,3542,388,381,391800,6 63,2,2024-09-07 09:06:10:764,415246,415246,0,0,16934944,0,4369 63,3,2024-09-07 09:06:11:744,1,327,1,0,667,3818,327,0 64,0,2024-09-07 09:06:11:536,83242,0.5,83172,0.7,166395,0.5,220822,2.00 64,1,2024-09-07 09:06:10:753,575668,575668,0,0,268993149196,2806279689,570024,3985,1659,370,391783,0 64,2,2024-09-07 09:06:11:146,413203,413184,19,0,16526675,0,6121 64,3,2024-09-07 09:06:11:148,1,327,1,0,265,3102,327,0 65,0,2024-09-07 09:06:11:684,83436,0.7,83694,0.8,167312,0.7,223173,2.25 65,1,2024-09-07 09:06:10:867,574694,574694,0,0,268556606368,2808217388,570614,3629,451,382,391901,0 65,2,2024-09-07 09:06:11:698,412643,412643,0,0,18195753,0,3367 65,3,2024-09-07 09:06:11:698,1,327,108,0,163,3010,327,0 66,0,2024-09-07 09:06:11:787,82026,0.5,81933,0.7,164123,0.4,217906,2.00 66,1,2024-09-07 09:06:11:299,575614,575614,0,0,269100992551,2799287018,572054,3238,322,380,391653,0 66,2,2024-09-07 09:06:11:138,419010,419010,0,0,16443253,0,4956 66,3,2024-09-07 09:06:11:088,1,327,3,0,291,3186,327,0 67,0,2024-09-07 09:06:11:438,77359,0.5,76745,0.7,154298,0.5,205784,2.00 67,1,2024-09-07 09:06:10:783,575832,575831,0,1,268552807672,2798388553,571666,3414,751,380,391787,1 67,2,2024-09-07 09:06:10:601,416177,416177,0,0,15817093,0,2889 67,3,2024-09-07 09:06:11:757,1,327,5,0,338,2828,327,0 68,0,2024-09-07 09:06:10:580,83014,0.6,82608,0.7,164786,0.5,220473,2.00 68,1,2024-09-07 09:06:10:580,574210,574210,0,0,267733349667,2805974068,569403,3604,1203,381,391953,0 68,2,2024-09-07 09:06:11:052,409593,409528,65,0,20782707,0,6698 68,3,2024-09-07 09:06:10:741,1,327,40,0,417,3626,327,0 69,0,2024-09-07 09:06:11:799,83405,0.9,83757,0.9,166922,1.1,221982,2.25 69,1,2024-09-07 09:06:11:032,572346,572346,0,0,267857496521,2828830647,564373,5863,2110,384,391994,0 69,2,2024-09-07 09:06:11:777,410762,410762,0,0,20779855,0,3722 69,3,2024-09-07 09:06:10:768,1,327,52,0,698,4728,327,0 70,0,2024-09-07 09:06:11:539,82700,0.8,82624,1.0,166355,0.6,220418,2.25 70,1,2024-09-07 09:06:10:807,575665,575665,0,0,269334836144,2797697900,570817,4312,536,366,391725,0 70,2,2024-09-07 09:06:11:329,414574,414574,0,0,18943602,0,4044 70,3,2024-09-07 09:06:10:755,1,327,0,0,854,3693,327,0 71,0,2024-09-07 09:06:11:367,76843,0.9,76410,1.0,153570,1.0,205564,2.75 71,1,2024-09-07 09:06:11:604,574922,574922,0,0,268778331382,2805993456,568356,5910,656,368,391738,0 71,2,2024-09-07 09:06:11:071,414891,414891,0,0,18566856,0,4042 71,3,2024-09-07 09:06:11:750,1,327,1,0,644,4529,327,0 72,0,2024-09-07 09:06:11:063,84913,0.6,83042,0.8,162020,0.6,220687,2.00 72,1,2024-09-07 09:06:11:031,573953,573953,0,0,267963369771,2810473686,565725,6531,1697,369,391819,0 72,2,2024-09-07 09:06:11:772,412411,412411,0,0,21495094,0,3983 72,3,2024-09-07 09:06:11:772,1,327,1,0,564,5268,327,0 73,0,2024-09-07 09:06:11:138,81428,0.5,83377,0.6,170762,0.4,221560,2.00 73,1,2024-09-07 09:06:10:786,575432,575432,0,0,268746096619,2796089674,571115,3987,330,367,391858,0 73,2,2024-09-07 09:06:11:749,410644,410644,0,0,20450528,0,3701 73,3,2024-09-07 09:06:10:974,1,327,0,0,274,4483,327,0 74,0,2024-09-07 09:06:11:352,85297,0.5,87485,0.7,166975,0.4,226042,2.25 74,1,2024-09-07 09:06:10:664,574781,574781,0,0,268519989654,2805784486,568703,4816,1262,381,391681,0 74,2,2024-09-07 09:06:11:010,412344,412344,0,0,19525023,0,4253 74,3,2024-09-07 09:06:11:458,1,327,7,0,522,4606,327,0 75,0,2024-09-07 09:06:11:767,79584,0.5,79266,0.7,158733,0.4,211791,2.25 75,1,2024-09-07 09:06:11:591,574116,574116,0,0,267456404870,2797125539,567766,5525,825,380,391739,0 75,2,2024-09-07 09:06:11:349,415741,415741,0,0,19613125,0,4766 75,3,2024-09-07 09:06:11:072,1,327,1,0,702,4741,327,0 76,0,2024-09-07 09:06:10:593,79523,0.6,78925,0.8,158348,0.6,212355,2.50 76,1,2024-09-07 09:06:10:812,574946,574946,0,0,268431084740,2801975153,571029,3328,589,382,391692,0 76,2,2024-09-07 09:06:11:083,416095,416094,1,0,18983883,0,5144 76,3,2024-09-07 09:06:11:165,1,327,1,0,175,3213,327,0 77,0,2024-09-07 09:06:11:730,83687,0.7,83879,0.8,167705,0.8,222618,2.00 77,1,2024-09-07 09:06:10:848,574828,574828,0,0,267989437595,2803178046,569645,4695,488,381,391869,0 77,2,2024-09-07 09:06:11:291,410315,410315,0,0,18396044,0,3890 77,3,2024-09-07 09:06:11:120,1,327,1,0,401,3777,327,0 78,0,2024-09-07 09:06:11:737,84818,0.5,84393,0.7,169579,0.4,225003,2.00 78,1,2024-09-07 09:06:10:622,575521,575521,0,0,267686919387,2790156922,569660,4716,1145,367,391670,0 78,2,2024-09-07 09:06:11:423,412286,412286,0,0,16025975,0,3855 78,3,2024-09-07 09:06:11:133,1,327,1,0,181,3198,327,0 79,0,2024-09-07 09:06:11:353,79126,0.4,81143,0.6,165861,0.3,216169,2.25 79,1,2024-09-07 09:06:10:576,576907,576907,0,0,269092584487,2789014322,572354,3920,633,369,391682,0 79,2,2024-09-07 09:06:11:079,418315,418315,0,0,17040040,0,4195 79,3,2024-09-07 09:06:10:750,1,327,1,0,418,4266,327,0 80,0,2024-09-07 09:06:11:112,77748,0.5,79833,0.7,153110,0.5,206700,2.00 80,1,2024-09-07 09:06:11:625,575310,575310,0,0,268330965190,2792623564,571962,3170,178,368,391791,0 80,2,2024-09-07 09:06:11:126,416872,416872,0,0,16156997,0,4433 80,3,2024-09-07 09:06:10:580,1,327,2,0,190,3990,327,0 81,0,2024-09-07 09:06:11:559,83273,0.6,85301,0.7,162770,0.5,221627,2.00 81,1,2024-09-07 09:06:11:657,574668,574668,0,0,267272879758,2795468069,569818,4351,499,382,391879,0 81,2,2024-09-07 09:06:11:137,409912,409849,63,0,17985179,0,5932 81,3,2024-09-07 09:06:11:126,1,327,4,0,374,3898,327,0 82,0,2024-09-07 09:06:11:553,83849,0.5,84383,0.7,168939,0.4,224148,2.00 82,1,2024-09-07 09:06:10:601,576452,576448,0,4,269336039067,2805083586,572553,3098,797,381,391768,4 82,2,2024-09-07 09:06:11:699,412927,412927,0,0,15426149,0,3986 82,3,2024-09-07 09:06:11:756,1,327,1,0,363,3399,327,0 83,0,2024-09-07 09:06:11:533,82841,0.5,82597,0.7,164495,0.5,218835,2.00 83,1,2024-09-07 09:06:10:566,574766,574766,0,0,269081644374,2807449067,570459,3927,380,382,391709,0 83,2,2024-09-07 09:06:10:768,416392,416392,0,0,15994211,0,3393 83,3,2024-09-07 09:06:10:755,1,327,2,0,1260,4864,327,0 84,0,2024-09-07 09:06:11:817,76695,0.7,76673,0.8,153387,0.6,205200,2.25 84,1,2024-09-07 09:06:11:045,574564,574564,0,0,267783615883,2799890505,568825,5012,727,367,391967,0 84,2,2024-09-07 09:06:10:584,414749,414749,0,0,19940100,0,4757 84,3,2024-09-07 09:06:11:144,1,327,3,0,908,5017,327,0 85,0,2024-09-07 09:06:11:061,79606,0.7,79581,0.8,168863,0.7,218739,2.25 85,1,2024-09-07 09:06:10:593,573019,573019,0,0,267794665775,2827976531,564509,7138,1372,381,392006,0 85,2,2024-09-07 09:06:10:889,412919,412919,0,0,19741749,0,3656 85,3,2024-09-07 09:06:10:685,1,327,5,0,789,4384,327,0 86,0,2024-09-07 09:06:10:901,83213,0.7,85552,0.8,163756,0.8,221168,2.25 86,1,2024-09-07 09:06:10:838,574806,574806,0,0,267856477914,2806491537,567926,5814,1066,366,391961,0 86,2,2024-09-07 09:06:10:859,410260,410259,1,0,20523164,0,5004 86,3,2024-09-07 09:06:10:608,1,327,7,0,308,4576,327,0 87,0,2024-09-07 09:06:11:306,83811,0.9,83735,0.9,168008,1.1,223987,2.25 87,1,2024-09-07 09:06:10:565,573829,573829,0,0,268335878841,2808467638,567655,5563,611,366,392076,0 87,2,2024-09-07 09:06:11:073,413138,413138,0,0,18806063,0,4045 87,3,2024-09-07 09:06:11:811,1,327,9,0,473,4801,327,0 88,0,2024-09-07 09:06:11:495,77965,0.4,78611,0.6,156630,0.4,207832,2.00 88,1,2024-09-07 09:06:10:584,572908,572908,0,0,268250172859,2814485954,565216,6028,1664,365,392084,0 88,2,2024-09-07 09:06:10:696,416043,416043,0,0,20891615,0,3583 88,3,2024-09-07 09:06:11:277,1,327,3,0,435,3628,327,0 89,0,2024-09-07 09:06:11:869,83633,0.5,80896,0.7,160371,0.4,218115,1.75 89,1,2024-09-07 09:06:10:579,572993,572993,0,0,267547524041,2817961932,565247,6473,1273,382,391866,0 89,2,2024-09-07 09:06:11:184,413825,413825,0,0,19983819,0,2910 89,3,2024-09-07 09:06:11:801,1,327,5,0,385,6069,327,0 90,0,2024-09-07 09:06:11:639,80962,0.5,82964,0.7,169456,0.5,220872,2.00 90,1,2024-09-07 09:06:10:610,574502,574502,0,0,268168361377,2813835827,568306,5696,500,380,391825,0 90,2,2024-09-07 09:06:11:421,409021,409021,0,0,21093587,0,3060 90,3,2024-09-07 09:06:10:932,1,327,14,0,246,3655,327,0 91,0,2024-09-07 09:06:10:941,85223,0.5,82591,0.6,172541,0.5,226216,1.75 91,1,2024-09-07 09:06:10:563,573083,573083,0,0,267672985391,2818705822,565265,6774,1044,381,392047,0 91,2,2024-09-07 09:06:11:338,411987,411987,0,0,19031409,0,2896 91,3,2024-09-07 09:06:10:629,1,327,1,0,216,3118,327,0 92,0,2024-09-07 09:06:11:495,81868,0.4,83983,0.6,160333,0.4,216858,1.75 92,1,2024-09-07 09:06:10:600,574414,574414,0,0,267578379905,2799004127,569608,4120,686,382,392136,0 92,2,2024-09-07 09:06:11:481,418147,418147,0,0,17364951,0,3259 92,3,2024-09-07 09:06:11:026,1,327,7,0,167,2881,327,0 93,0,2024-09-07 09:06:11:046,79291,0.4,81405,0.6,155434,0.4,210763,1.75 93,1,2024-09-07 09:06:10:810,574498,574498,0,0,268424721528,2805562744,568388,5083,1027,366,391776,0 93,2,2024-09-07 09:06:10:982,414531,414531,0,0,20185468,0,4845 93,3,2024-09-07 09:06:11:407,1,327,0,0,190,3204,327,0 94,0,2024-09-07 09:06:11:643,83340,0.4,84153,0.6,167761,0.4,222399,1.75 94,1,2024-09-07 09:06:10:566,574963,574963,0,0,268671365240,2806764181,570930,3843,190,381,391850,0 94,2,2024-09-07 09:06:10:774,409848,409848,0,0,17339873,0,2443 94,3,2024-09-07 09:06:11:693,1,327,1,0,264,4090,327,0 95,0,2024-09-07 09:06:11:364,84106,0.4,84117,0.6,168713,0.3,224991,1.75 95,1,2024-09-07 09:06:10:861,576473,576473,0,0,268603785100,2796736355,571510,4564,399,367,391713,0 95,2,2024-09-07 09:06:11:043,411788,411788,0,0,17125946,0,3308 95,3,2024-09-07 09:06:11:722,1,327,10,0,718,5302,327,0 96,0,2024-09-07 09:06:11:084,82579,0.4,82790,0.5,165085,0.3,218666,1.75 96,1,2024-09-07 09:06:11:594,574307,574307,0,0,268364612184,2802573017,569788,3724,795,384,391955,0 96,2,2024-09-07 09:06:11:278,417380,417380,0,0,17123253,0,4042 96,3,2024-09-07 09:06:11:167,1,327,16,0,411,3689,327,0 97,0,2024-09-07 09:06:11:455,77206,0.3,76820,0.5,154257,0.3,205470,1.75 97,1,2024-09-07 09:06:10:785,576807,576807,0,0,269393412060,2794569610,572935,3200,672,367,392140,0 97,2,2024-09-07 09:06:10:648,416435,416435,0,0,16542673,0,3036 97,3,2024-09-07 09:06:10:585,1,327,4,0,214,3979,327,0 98,0,2024-09-07 09:06:11:713,82841,0.3,82659,0.5,165837,0.2,220383,1.50 98,1,2024-09-07 09:06:10:595,575876,575876,0,0,268874493134,2798041397,573221,2549,106,382,391997,0 98,2,2024-09-07 09:06:10:773,411839,411839,0,0,16436081,0,3080 98,3,2024-09-07 09:06:10:705,1,327,1,0,840,5335,327,0 99,0,2024-09-07 09:06:11:478,83753,0.4,84330,0.5,167962,0.3,224211,1.75 99,1,2024-09-07 09:06:11:738,575430,575430,0,0,268127531825,2793928559,571297,3379,754,381,392069,0 99,2,2024-09-07 09:06:11:420,412894,412894,0,0,18463081,0,3424 99,3,2024-09-07 09:06:10:584,1,327,0,0,199,3135,327,0 100,0,2024-09-07 09:06:11:526,83168,0.7,83110,1.0,166393,0.9,222136,2.50 100,1,2024-09-07 09:06:10:577,572492,572492,0,0,267603585697,2829283212,564032,6964,1496,381,391989,0 100,2,2024-09-07 09:06:11:821,414063,414052,11,0,19301201,0,5417 100,3,2024-09-07 09:06:11:764,1,327,239,0,559,5857,327,0 101,0,2024-09-07 09:06:11:783,78906,1.0,76980,1.0,150725,0.8,207224,2.25 101,1,2024-09-07 09:06:10:559,572344,572344,0,0,267049379570,2814422615,563281,7042,2021,368,391771,0 101,2,2024-09-07 09:06:11:768,413076,413076,0,0,22198439,0,4644 101,3,2024-09-07 09:06:10:961,1,327,1,0,579,4470,327,0 102,0,2024-09-07 09:06:10:966,80215,0.7,82906,0.8,167278,0.7,219561,2.25 102,1,2024-09-07 09:06:11:144,573417,573417,0,0,267850902721,2815366540,566107,6278,1032,369,391891,0 102,2,2024-09-07 09:06:11:750,414556,414502,54,0,18778524,0,6768 102,3,2024-09-07 09:06:11:615,1,327,4,0,410,3587,327,0 103,0,2024-09-07 09:06:11:602,86095,0.7,86128,0.8,162290,0.8,223410,2.00 103,1,2024-09-07 09:06:11:631,572754,572754,0,0,267997445178,2828767717,563939,6819,1996,381,391829,0 103,2,2024-09-07 09:06:10:586,409687,409687,0,0,19373223,0,3173 103,3,2024-09-07 09:06:10:755,1,327,1,0,916,4192,327,0 104,0,2024-09-07 09:06:11:146,83969,0.8,84338,1.0,167551,0.7,225392,2.25 104,1,2024-09-07 09:06:11:643,573995,573995,0,0,267488311031,2816177100,565099,7198,1698,365,392168,0 104,2,2024-09-07 09:06:11:677,411069,411069,0,0,20663574,0,3941 104,3,2024-09-07 09:06:11:488,1,327,5,0,1245,7351,327,0 105,0,2024-09-07 09:06:11:323,78644,0.9,76525,1.1,160263,1.0,210293,3.00 105,1,2024-09-07 09:06:10:572,574476,574476,0,0,268633669271,2821217301,566329,6815,1332,366,391797,0 105,2,2024-09-07 09:06:11:323,415600,415600,0,0,20043267,0,3509 105,3,2024-09-07 09:06:11:362,1,327,0,0,399,5304,327,0 106,0,2024-09-07 09:06:10:972,77101,0.9,79007,1.0,161343,1.0,211774,2.50 106,1,2024-09-07 09:06:11:762,573133,573133,0,0,267953890866,2820748674,563562,8279,1292,369,391914,0 106,2,2024-09-07 09:06:10:773,412689,412689,0,0,19799555,0,2795 106,3,2024-09-07 09:06:10:691,1,327,1,0,470,4294,327,0 107,0,2024-09-07 09:06:11:107,83565,1.2,83468,1.0,166943,1.8,222293,2.25 107,1,2024-09-07 09:06:10:637,572441,572441,0,0,266919082603,2815709320,564480,7047,914,381,392234,0 107,2,2024-09-07 09:06:11:296,408533,408532,1,0,20105567,0,5024 107,3,2024-09-07 09:06:11:767,1,327,1,0,353,4418,327,0 108,0,2024-09-07 09:06:11:820,84355,0.5,84843,0.6,168770,0.4,225552,1.75 108,1,2024-09-07 09:06:11:308,574814,574814,0,0,268904897218,2807970274,569735,4562,517,368,391857,0 108,2,2024-09-07 09:06:11:761,410495,410495,0,0,19347352,0,4246 108,3,2024-09-07 09:06:11:336,1,327,23,0,749,6725,327,0 109,0,2024-09-07 09:06:11:772,82306,0.4,81320,0.6,162965,0.3,218507,1.75 109,1,2024-09-07 09:06:10:618,572734,572734,0,0,268753152658,2821622055,567538,4533,663,383,392132,0 109,2,2024-09-07 09:06:10:922,415566,415566,0,0,18984572,0,3617 109,3,2024-09-07 09:06:11:146,1,327,3,0,379,4025,327,0 110,0,2024-09-07 09:06:11:826,77736,0.4,75668,0.6,158262,0.3,207464,1.75 110,1,2024-09-07 09:06:11:667,575870,575870,0,0,268561811453,2789889027,571873,3023,974,369,392045,0 110,2,2024-09-07 09:06:11:314,415159,415159,0,0,18749110,0,4067 110,3,2024-09-07 09:06:10:701,1,327,9,0,722,5061,327,0 111,0,2024-09-07 09:06:11:435,83719,0.4,83040,0.6,166440,0.4,223131,1.75 111,1,2024-09-07 09:06:11:006,576085,576085,0,0,269919359604,2803471068,572705,3028,352,382,391690,0 111,2,2024-09-07 09:06:11:130,409310,409310,0,0,18063235,0,4823 111,3,2024-09-07 09:06:10:918,1,327,1,0,379,4099,327,0 112,0,2024-09-07 09:06:10:924,85029,0.3,84280,0.4,169099,0.2,225039,1.50 112,1,2024-09-07 09:06:10:844,576144,576144,0,0,269129459276,2793498581,572684,2876,584,380,391624,0 112,2,2024-09-07 09:06:11:134,412132,412131,1,0,16399716,0,5036 112,3,2024-09-07 09:06:10:600,1,327,0,0,282,3418,327,0 113,0,2024-09-07 09:06:10:864,82457,0.3,82519,0.5,165537,0.2,220318,1.50 113,1,2024-09-07 09:06:11:689,578124,578124,0,0,269876870195,2786183189,574845,2695,584,366,391661,0 113,2,2024-09-07 09:06:11:318,418255,418255,0,0,15138314,0,3813 113,3,2024-09-07 09:06:10:697,1,327,20,0,340,4041,327,0 114,0,2024-09-07 09:06:10:883,77593,0.3,78130,0.5,155246,0.2,207357,1.75 114,1,2024-09-07 09:06:10:718,575814,575814,0,0,268643879738,2794179755,570692,3529,1593,381,391556,0 114,2,2024-09-07 09:06:10:873,415867,415866,1,0,16714213,0,5069 114,3,2024-09-07 09:06:11:278,1,327,1,0,395,2956,327,0 115,0,2024-09-07 09:06:10:568,82539,0.3,83013,0.4,165961,0.2,221030,1.50 115,1,2024-09-07 09:06:10:591,575522,575522,0,0,269503333323,2800677109,570663,4019,840,382,391656,0 115,2,2024-09-07 09:06:11:125,414421,414421,0,0,15409191,0,3453 115,3,2024-09-07 09:06:11:003,1,327,4,0,159,1971,327,0 116,0,2024-09-07 09:06:11:743,82936,0.9,82827,1.0,165920,1.1,222105,2.25 116,1,2024-09-07 09:06:10:816,572451,572451,0,0,267712808649,2827204781,564857,5328,2266,380,391782,0 116,2,2024-09-07 09:06:11:762,410235,410235,0,0,20668275,0,3529 116,3,2024-09-07 09:06:10:917,1,327,17,0,415,4198,327,0 117,0,2024-09-07 09:06:10:995,84340,0.8,83785,0.9,167893,0.8,224364,2.00 117,1,2024-09-07 09:06:11:593,573827,573827,0,0,267870431588,2806326104,567556,5603,668,370,392033,0 117,2,2024-09-07 09:06:11:121,416487,416487,0,0,17167772,0,3700 117,3,2024-09-07 09:06:11:068,1,327,26,0,490,4915,327,0 118,0,2024-09-07 09:06:11:769,75811,0.5,77861,0.7,158728,0.5,207087,2.00 118,1,2024-09-07 09:06:10:602,573588,573588,0,0,267741907241,2817472476,564808,6882,1898,366,391907,0 118,2,2024-09-07 09:06:11:601,415013,415013,0,0,18850654,0,2781 118,3,2024-09-07 09:06:11:770,1,327,3,0,235,3534,327,0 119,0,2024-09-07 09:06:11:334,80834,0.7,81245,0.8,162550,0.7,216760,2.00 119,1,2024-09-07 09:06:10:562,574895,574895,0,0,268716195841,2812362490,568333,5726,836,367,391780,0 119,2,2024-09-07 09:06:11:268,414862,414862,0,0,17908055,0,4174 119,3,2024-09-07 09:06:11:338,1,327,11,0,563,4951,327,0 120,0,2024-09-07 09:06:11:598,82893,0.7,82619,0.9,165485,0.7,221389,2.25 120,1,2024-09-07 09:06:10:874,574064,574064,0,0,267104216467,2806537283,567661,5848,555,368,391961,0 120,2,2024-09-07 09:06:10:780,409909,409908,1,0,20881783,0,5281 120,3,2024-09-07 09:06:11:322,1,327,7,0,241,4178,327,0 121,0,2024-09-07 09:06:11:733,84576,1.0,84723,1.0,169980,1.3,225905,2.25 121,1,2024-09-07 09:06:11:726,574630,574630,0,0,268268655012,2809698308,568730,5463,437,367,391840,0 121,2,2024-09-07 09:06:11:127,410723,410723,0,0,20171188,0,4127 121,3,2024-09-07 09:06:10:740,1,327,8,0,269,3852,327,0 122,0,2024-09-07 09:06:11:765,81033,0.7,78941,0.9,165437,0.8,216838,2.00 122,1,2024-09-07 09:06:10:866,573189,573189,0,0,267878088924,2815049503,564735,7193,1261,366,392130,0 122,2,2024-09-07 09:06:11:321,416712,416639,73,0,22716895,0,5989 122,3,2024-09-07 09:06:10:611,1,327,1,0,411,5947,327,0 123,0,2024-09-07 09:06:10:964,78458,0.7,76729,0.8,160016,0.8,210319,2.00 123,1,2024-09-07 09:06:10:571,572983,572983,0,0,267762539965,2827754186,561564,9293,2126,369,392039,0 123,2,2024-09-07 09:06:11:032,412960,412959,1,0,19660512,0,5215 123,3,2024-09-07 09:06:11:149,1,327,7,0,168,3844,327,0 124,0,2024-09-07 09:06:10:938,86293,0.4,86345,0.5,162721,0.3,223238,1.75 124,1,2024-09-07 09:06:11:034,575606,575606,0,0,268641280158,2798541146,570891,3806,909,367,392178,0 124,2,2024-09-07 09:06:11:014,410978,410925,53,0,17601169,0,6487 124,3,2024-09-07 09:06:10:761,1,327,1,0,490,3414,327,0 125,0,2024-09-07 09:06:11:434,84540,0.4,84012,0.6,169217,0.4,225344,1.75 125,1,2024-09-07 09:06:10:859,574499,574499,0,0,268838818306,2810303328,569622,4234,643,383,391702,0 125,2,2024-09-07 09:06:11:124,411984,411984,0,0,18249286,0,4534 125,3,2024-09-07 09:06:11:134,1,327,7,0,709,4575,327,0 126,0,2024-09-07 09:06:11:417,82420,0.4,84682,0.6,162100,0.4,219578,1.75 126,1,2024-09-07 09:06:10:560,576306,576306,0,0,268651795137,2787214855,572578,3445,283,365,391987,0 126,2,2024-09-07 09:06:10:622,417624,417624,0,0,18364335,0,4539 126,3,2024-09-07 09:06:10:915,1,327,8,0,207,4167,327,0 127,0,2024-09-07 09:06:11:600,76914,0.3,77384,0.5,154101,0.3,205499,1.75 127,1,2024-09-07 09:06:10:586,575563,575563,0,0,268530447544,2792834906,570168,4535,860,365,392187,0 127,2,2024-09-07 09:06:10:662,414894,414894,0,0,16902513,0,3897 127,3,2024-09-07 09:06:11:271,1,327,1,0,968,3991,327,0 128,0,2024-09-07 09:06:11:520,82946,0.3,83119,0.5,166201,0.2,220758,1.50 128,1,2024-09-07 09:06:11:636,575458,575458,0,0,268597993268,2791813410,571362,3726,370,367,391798,0 128,2,2024-09-07 09:06:11:391,412427,412427,0,0,15788178,0,2915 128,3,2024-09-07 09:06:10:772,1,327,2,0,1082,5866,327,0 129,0,2024-09-07 09:06:10:997,84387,0.3,84124,0.5,168401,0.3,224272,1.75 129,1,2024-09-07 09:06:10:583,572637,572637,0,0,268020511726,2809451935,566994,4387,1256,379,391835,0 129,2,2024-09-07 09:06:10:698,412285,412285,0,0,16628897,0,4031 129,3,2024-09-07 09:06:10:689,1,327,1,0,469,4417,327,0 130,0,2024-09-07 09:06:11:717,84048,0.5,83615,0.6,168080,0.5,223395,1.75 130,1,2024-09-07 09:06:10:609,575726,575726,0,0,268936827862,2798473319,572316,3131,279,381,391825,0 130,2,2024-09-07 09:06:11:128,416881,416881,0,0,16556275,0,4067 130,3,2024-09-07 09:06:11:296,1,327,1,0,450,4138,327,0 131,0,2024-09-07 09:06:11:959,77133,0.3,77665,0.5,156045,0.3,206927,1.75 131,1,2024-09-07 09:06:11:820,575666,575666,0,0,268850566895,2807548874,571219,3702,745,381,391865,0 131,2,2024-09-07 09:06:10:581,417376,417376,0,0,15313232,0,2415 131,3,2024-09-07 09:06:11:709,1,327,14,0,392,3479,327,0 132,0,2024-09-07 09:06:11:429,82187,0.4,82875,0.6,165441,0.4,220263,1.75 132,1,2024-09-07 09:06:10:585,572849,572849,0,0,267063875567,2815495127,564215,7169,1465,381,392097,0 132,2,2024-09-07 09:06:10:700,413599,413599,0,0,20198735,0,4606 132,3,2024-09-07 09:06:11:693,1,327,0,0,804,6132,327,0 133,0,2024-09-07 09:06:11:571,81509,0.5,83263,0.6,170965,0.5,222022,2.00 133,1,2024-09-07 09:06:10:595,572940,572940,0,0,267460876508,2822018970,564774,7084,1082,383,391914,0 133,2,2024-09-07 09:06:11:087,409572,409572,0,0,21285522,0,4315 133,3,2024-09-07 09:06:11:310,1,327,10,0,479,3561,327,0 134,0,2024-09-07 09:06:10:943,85081,0.5,84998,0.7,169993,0.5,226579,2.00 134,1,2024-09-07 09:06:10:592,573110,573110,0,0,267104390754,2808041592,564857,6397,1856,366,391718,0 134,2,2024-09-07 09:06:11:757,412113,412113,0,0,17854220,0,3847 134,3,2024-09-07 09:06:10:785,1,327,1,0,739,4377,327,0 135,0,2024-09-07 09:06:11:102,76864,0.7,76894,0.8,163054,0.7,209983,2.00 135,1,2024-09-07 09:06:11:589,573751,573751,0,0,268496550480,2828509836,565401,7114,1236,380,391805,0 135,2,2024-09-07 09:06:10:699,416976,416976,0,0,19394297,0,3981 135,3,2024-09-07 09:06:11:008,1,327,7,0,299,2599,327,0 136,0,2024-09-07 09:06:11:633,80218,0.6,80335,0.7,159912,0.6,213573,2.25 136,1,2024-09-07 09:06:11:447,573489,573489,0,0,267752594712,2814510677,566479,6315,695,382,391685,0 136,2,2024-09-07 09:06:11:135,415034,415034,0,0,19287466,0,3506 136,3,2024-09-07 09:06:11:119,1,327,1,0,637,3844,327,0 137,0,2024-09-07 09:06:10:929,86267,0.7,84029,0.8,164827,0.8,223705,2.00 137,1,2024-09-07 09:06:10:575,573043,573043,0,0,267667286451,2814091221,563468,7695,1880,366,391708,0 137,2,2024-09-07 09:06:11:708,409286,409286,0,0,21507867,0,3185 137,3,2024-09-07 09:06:10:777,1,327,4,0,382,3995,327,0 138,0,2024-09-07 09:06:11:745,83980,1.3,84047,1.1,168857,1.9,224580,2.25 138,1,2024-09-07 09:06:11:693,573810,573810,0,0,268558754169,2823727670,564772,7443,1595,368,391954,0 138,2,2024-09-07 09:06:10:612,411184,411184,0,0,19265244,0,4988 138,3,2024-09-07 09:06:10:622,1,327,2,0,1160,4764,327,0 139,0,2024-09-07 09:06:11:421,80738,1.2,80996,1.1,162353,1.8,216647,2.25 139,1,2024-09-07 09:06:10:660,571503,571503,0,0,266386636846,2834777330,560149,8710,2644,381,391892,0 139,2,2024-09-07 09:06:10:700,414809,414809,0,0,20972731,0,3097 139,3,2024-09-07 09:06:11:670,1,327,24,0,257,3694,327,0 140,0,2024-09-07 09:06:11:598,78076,0.3,77634,0.5,155952,0.2,207763,1.75 140,1,2024-09-07 09:06:11:536,577389,577389,0,0,269813272258,2785395103,574187,2764,438,365,391606,0 140,2,2024-09-07 09:06:10:690,415582,415581,1,0,17460810,0,5036 140,3,2024-09-07 09:06:10:772,1,327,0,0,247,2720,327,0 141,0,2024-09-07 09:06:11:713,83512,0.4,85813,0.5,163989,0.3,223200,1.75 141,1,2024-09-07 09:06:10:870,576210,576210,0,0,268676794227,2794916328,571649,3699,862,379,391614,0 141,2,2024-09-07 09:06:11:698,410104,410104,0,0,16941364,0,3360 141,3,2024-09-07 09:06:11:048,1,327,2,0,391,3843,327,0 142,0,2024-09-07 09:06:11:329,85059,0.4,84276,0.5,168294,0.3,225285,1.75 142,1,2024-09-07 09:06:10:591,575746,575746,0,0,268161118197,2799432025,571786,3610,350,382,392102,0 142,2,2024-09-07 09:06:11:303,411075,411043,32,0,18401620,0,6028 142,3,2024-09-07 09:06:11:750,1,327,1,0,484,4116,327,0 143,0,2024-09-07 09:06:11:380,82803,0.4,82597,0.6,165706,0.4,220414,1.75 143,1,2024-09-07 09:06:10:562,575845,575845,0,0,268846878302,2792442498,572077,3619,149,367,391705,0 143,2,2024-09-07 09:06:10:774,416568,416568,0,0,17801461,0,3123 143,3,2024-09-07 09:06:11:146,1,327,2,0,303,3930,327,0 144,0,2024-09-07 09:06:11:511,74827,0.5,76889,0.8,156691,0.4,205566,2.00 144,1,2024-09-07 09:06:10:573,573268,573268,0,0,267752393085,2808788752,568420,3908,940,381,391649,0 144,2,2024-09-07 09:06:11:778,416002,416002,0,0,16017155,0,3473 144,3,2024-09-07 09:06:11:749,1,327,1,0,249,3414,327,0 145,0,2024-09-07 09:06:11:372,79881,0.6,79833,0.8,169393,0.6,218623,2.25 145,1,2024-09-07 09:06:10:566,572677,572677,0,0,267899411138,2819250637,565382,6155,1140,382,391759,0 145,2,2024-09-07 09:06:11:430,411507,411507,0,0,19366667,0,3903 145,3,2024-09-07 09:06:10:895,1,327,1,0,622,5078,327,0 146,0,2024-09-07 09:06:11:642,83317,0.6,82634,0.8,166666,0.6,220881,2.25 146,1,2024-09-07 09:06:11:594,574072,574072,0,0,267810191591,2819922607,564380,7682,2010,368,391770,0 146,2,2024-09-07 09:06:11:717,410113,410113,0,0,19229623,0,2730 146,3,2024-09-07 09:06:11:279,1,327,5,0,1520,6984,327,0 147,0,2024-09-07 09:06:11:706,84345,0.6,83981,0.8,167342,0.6,223976,2.25 147,1,2024-09-07 09:06:11:377,575795,575795,0,0,269113626855,2803925434,570138,4857,800,368,391791,0 147,2,2024-09-07 09:06:11:010,414092,414092,0,0,17173087,0,2789 147,3,2024-09-07 09:06:10:918,1,327,2,0,730,4920,327,0 0,0,2024-09-07 09:06:21:711,81034,0.7,81029,0.8,172021,0.8,222085,2.00 0,1,2024-09-07 09:06:20:801,576504,576504,0,0,268850353945,2813462702,572382,3879,243,370,391896,0 0,2,2024-09-07 09:06:21:072,412189,412189,0,0,16871986,0,4480 0,3,2024-09-07 09:06:20:979,1,328,5,0,431,4572,328,0 1,0,2024-09-07 09:06:21:810,85050,0.8,84485,0.9,169747,1.0,226493,2.00 1,1,2024-09-07 09:06:20:616,575344,575344,0,0,268406930315,2813467416,569752,4366,1226,370,391857,0 1,2,2024-09-07 09:06:20:662,412353,412353,0,0,16380910,0,3267 1,3,2024-09-07 09:06:21:302,1,328,2,0,262,3918,328,0 2,0,2024-09-07 09:06:21:567,81317,0.6,81651,0.7,162053,0.7,216883,2.00 2,1,2024-09-07 09:06:20:862,577471,577471,0,0,269945340263,2804728737,574576,2602,293,380,391745,0 2,2,2024-09-07 09:06:21:273,418413,418413,0,0,16378460,0,3594 2,3,2024-09-07 09:06:20:691,1,328,110,0,357,3379,328,0 3,0,2024-09-07 09:06:21:746,79133,0.4,79116,0.6,158254,0.3,211194,2.00 3,1,2024-09-07 09:06:21:618,576173,576173,0,0,268286380349,2796194361,571075,4475,623,380,391591,0 3,2,2024-09-07 09:06:21:150,416578,416555,23,0,16813584,0,5851 3,3,2024-09-07 09:06:21:758,1,328,2,0,207,2199,328,0 4,0,2024-09-07 09:06:22:027,81317,0.4,83804,0.5,170253,0.4,222704,1.75 4,1,2024-09-07 09:06:20:606,575020,575020,0,0,268616908419,2830279191,567711,5953,1356,371,391992,0 4,2,2024-09-07 09:06:21:022,409480,409480,0,0,19386197,0,4528 4,3,2024-09-07 09:06:21:043,1,328,21,0,448,4686,328,0 5,0,2024-09-07 09:06:21:388,84561,0.5,84895,0.6,169308,0.5,225475,1.75 5,1,2024-09-07 09:06:20:770,575556,575556,0,0,268658109187,2827192037,568394,5806,1356,367,392005,0 5,2,2024-09-07 09:06:21:843,411372,411372,0,0,18535650,0,2432 5,3,2024-09-07 09:06:21:733,1,328,1,0,457,4897,328,0 6,0,2024-09-07 09:06:20:915,82962,0.5,82406,0.6,164763,0.4,219876,2.00 6,1,2024-09-07 09:06:20:747,575472,575472,0,0,269331745760,2815995446,569114,5282,1076,379,391694,0 6,2,2024-09-07 09:06:21:121,418157,418157,0,0,17810519,0,4816 6,3,2024-09-07 09:06:21:278,1,328,1,0,340,3693,328,0 7,0,2024-09-07 09:06:21:532,77137,0.5,77484,0.6,154289,0.4,205978,1.75 7,1,2024-09-07 09:06:20:876,574753,574753,0,0,268971378740,2826402498,566684,6893,1176,382,391747,0 7,2,2024-09-07 09:06:20:770,416880,416880,0,0,17914713,0,4791 7,3,2024-09-07 09:06:20:861,1,328,0,0,398,3825,328,0 8,0,2024-09-07 09:06:21:329,83112,0.4,82949,0.5,166083,0.3,221271,1.75 8,1,2024-09-07 09:06:21:061,573944,573944,0,0,268170577649,2827928950,563797,7870,2277,366,392144,0 8,2,2024-09-07 09:06:20:791,407929,407929,0,0,22085694,0,3220 8,3,2024-09-07 09:06:20:593,1,328,4,0,538,5730,328,0 9,0,2024-09-07 09:06:21:160,84352,0.4,81956,0.6,171598,0.4,224916,1.75 9,1,2024-09-07 09:06:20:689,574829,574829,0,0,268585918590,2835188527,565784,6921,2124,369,392001,0 9,2,2024-09-07 09:06:21:089,411898,411898,0,0,19450009,0,3360 9,3,2024-09-07 09:06:21:764,1,328,6,0,496,4971,328,0 10,0,2024-09-07 09:06:21:604,83855,0.3,83230,0.5,167115,0.2,222596,1.75 10,1,2024-09-07 09:06:20:587,575791,575791,0,0,268899074799,2819807725,567908,6774,1109,381,391741,0 10,2,2024-09-07 09:06:20:769,416697,416697,0,0,21168016,0,4264 10,3,2024-09-07 09:06:20:871,1,328,4,0,296,2986,328,0 11,0,2024-09-07 09:06:21:006,77256,0.4,74991,0.6,156864,0.4,207770,1.75 11,1,2024-09-07 09:06:20:577,575590,575590,0,0,268863709254,2828002854,565580,7562,2448,383,391664,0 11,2,2024-09-07 09:06:21:129,415820,415820,0,0,19161740,0,4130 11,3,2024-09-07 09:06:21:302,1,328,43,0,843,5219,328,0 12,0,2024-09-07 09:06:20:991,83280,0.4,83150,0.5,166426,0.3,221550,1.75 12,1,2024-09-07 09:06:20:950,576083,576083,0,0,268616249450,2804602666,571230,4363,490,370,391870,0 12,2,2024-09-07 09:06:21:541,414950,414950,0,0,18848198,0,3469 12,3,2024-09-07 09:06:21:060,1,328,2,0,386,5444,328,0 13,0,2024-09-07 09:06:21:342,84197,0.5,83881,0.6,167591,0.4,223201,1.75 13,1,2024-09-07 09:06:21:530,575236,575236,0,0,268795732145,2829948466,569334,4493,1409,382,391740,0 13,2,2024-09-07 09:06:20:610,412982,412982,0,0,17201838,0,3287 13,3,2024-09-07 09:06:21:790,1,328,0,0,522,5421,328,0 14,0,2024-09-07 09:06:20:567,85302,0.4,85961,0.6,170025,0.3,226559,1.75 14,1,2024-09-07 09:06:21:561,579342,579342,0,0,269913481652,2798767107,574657,4326,359,364,391571,0 14,2,2024-09-07 09:06:20:770,413689,413659,30,0,18992769,0,6104 14,3,2024-09-07 09:06:21:120,1,328,3,0,1168,4074,328,0 15,0,2024-09-07 09:06:21:556,79399,0.4,79461,0.6,158899,0.4,210992,2.00 15,1,2024-09-07 09:06:21:612,576691,576691,0,0,269323194619,2807139703,572354,3516,821,381,391619,0 15,2,2024-09-07 09:06:20:998,419195,419195,0,0,15601939,0,3622 15,3,2024-09-07 09:06:21:411,1,328,1,0,1126,6171,328,0 16,0,2024-09-07 09:06:20:954,80234,0.6,80501,0.8,160646,0.6,214174,2.25 16,1,2024-09-07 09:06:20:568,576838,576838,0,0,269264317814,2812721250,572553,3925,360,370,391756,0 16,2,2024-09-07 09:06:21:481,415948,415948,0,0,17872324,0,4719 16,3,2024-09-07 09:06:21:150,1,328,0,0,317,4628,328,0 17,0,2024-09-07 09:06:21:842,86244,0.7,84266,0.8,164628,0.8,223372,2.00 17,1,2024-09-07 09:06:20:601,575504,575504,0,0,268081670321,2816249882,569273,4974,1257,368,391899,0 17,2,2024-09-07 09:06:21:673,413583,413583,0,0,17437619,0,2857 17,3,2024-09-07 09:06:20:601,1,328,1,0,298,5415,328,0 18,0,2024-09-07 09:06:20:976,83795,0.6,84144,0.8,167826,0.7,224150,2.25 18,1,2024-09-07 09:06:21:641,577392,577392,0,0,269464905755,2794797397,574056,3025,311,367,391649,0 18,2,2024-09-07 09:06:21:756,414367,414367,0,0,16220022,0,3541 18,3,2024-09-07 09:06:20:897,1,328,9,0,163,2901,328,0 19,0,2024-09-07 09:06:21:542,81616,0.6,82211,0.8,162753,0.6,217126,2.25 19,1,2024-09-07 09:06:20:573,577410,577410,0,0,270329328055,2807062380,572286,4285,839,367,391777,0 19,2,2024-09-07 09:06:21:752,420050,420050,0,0,15032981,0,3988 19,3,2024-09-07 09:06:21:139,1,328,1,0,524,2554,328,0 20,0,2024-09-07 09:06:21:390,77873,0.5,77794,0.7,155643,0.5,207918,2.00 20,1,2024-09-07 09:06:20:600,575569,575569,0,0,269334240920,2814945855,571239,3925,405,369,391886,0 20,2,2024-09-07 09:06:20:932,416782,416782,0,0,17836006,0,3721 20,3,2024-09-07 09:06:20:589,1,328,12,0,414,5264,328,0 21,0,2024-09-07 09:06:21:172,83970,0.5,84011,0.7,167804,0.5,223420,2.00 21,1,2024-09-07 09:06:21:536,574743,574743,0,0,267866840262,2824352896,566855,6010,1878,368,392016,0 21,2,2024-09-07 09:06:21:067,409240,409240,0,0,20911617,0,3747 21,3,2024-09-07 09:06:21:417,1,328,4,0,713,3963,328,0 22,0,2024-09-07 09:06:21:717,84122,0.6,84624,0.7,169263,0.5,224228,2.25 22,1,2024-09-07 09:06:21:023,575681,575681,0,0,268674975404,2825961458,567193,6669,1819,382,391667,0 22,2,2024-09-07 09:06:20:760,411990,411990,0,0,17058275,0,3134 22,3,2024-09-07 09:06:21:072,1,328,0,0,228,2831,328,0 23,0,2024-09-07 09:06:21:380,82309,0.5,82248,0.7,164691,0.5,219399,2.25 23,1,2024-09-07 09:06:21:015,575411,575411,0,0,269369771874,2832006019,564919,7334,3158,365,391690,0 23,2,2024-09-07 09:06:21:099,418907,418907,0,0,16806117,0,3010 23,3,2024-09-07 09:06:21:758,1,328,1,0,720,4710,328,0 24,0,2024-09-07 09:06:20:894,77898,0.4,77835,0.6,156190,0.3,207297,1.75 24,1,2024-09-07 09:06:20:599,574878,574878,0,0,268255213883,2817704081,567990,5360,1528,368,392269,0 24,2,2024-09-07 09:06:21:089,415114,415114,0,0,20899538,0,3607 24,3,2024-09-07 09:06:21:686,1,328,2,0,468,4486,328,0 25,0,2024-09-07 09:06:21:385,85243,0.4,83089,0.6,162849,0.4,222426,2.00 25,1,2024-09-07 09:06:20:584,575910,575910,0,0,269373658099,2829247786,568228,6313,1369,371,391928,0 25,2,2024-09-07 09:06:21:606,412568,412568,0,0,20884760,0,3978 25,3,2024-09-07 09:06:21:007,1,328,1,0,255,3300,328,0 26,0,2024-09-07 09:06:21:719,83146,0.4,81211,0.6,170506,0.4,221943,2.00 26,1,2024-09-07 09:06:21:541,576210,576210,0,0,268598817557,2818224453,567362,7312,1536,380,391748,0 26,2,2024-09-07 09:06:20:861,412869,412869,0,0,20530311,0,2809 26,3,2024-09-07 09:06:21:725,1,328,5,0,796,4348,328,0 27,0,2024-09-07 09:06:21:732,84497,0.5,84586,0.6,167684,0.4,223958,2.00 27,1,2024-09-07 09:06:21:675,578064,578064,0,0,270172920905,2815019860,572797,4502,765,381,391626,0 27,2,2024-09-07 09:06:20:873,412967,412902,65,0,20398498,0,5699 27,3,2024-09-07 09:06:21:021,1,328,1,0,564,3630,328,0 28,0,2024-09-07 09:06:21:392,78367,0.4,78048,0.6,156439,0.3,208514,2.00 28,1,2024-09-07 09:06:20:797,576917,576917,0,0,269897230976,2814799588,572539,3675,703,383,391698,0 28,2,2024-09-07 09:06:21:774,416498,416498,0,0,18126667,0,2915 28,3,2024-09-07 09:06:21:779,1,328,1,0,502,3514,328,0 29,0,2024-09-07 09:06:21:371,83923,0.4,81847,0.6,160403,0.3,219550,1.75 29,1,2024-09-07 09:06:21:582,578740,578740,0,0,270390140108,2802779162,574402,3654,684,369,391753,0 29,2,2024-09-07 09:06:20:874,414425,414425,0,0,17465720,0,4986 29,3,2024-09-07 09:06:20:967,1,328,10,0,459,4018,328,0 30,0,2024-09-07 09:06:21:469,83152,0.6,80732,0.8,169019,0.5,221102,2.00 30,1,2024-09-07 09:06:20:574,577840,577840,0,0,269526421083,2802400623,573021,4109,710,381,391672,0 30,2,2024-09-07 09:06:21:277,411628,411628,0,0,16370035,0,3161 30,3,2024-09-07 09:06:20:601,1,328,3,0,519,3417,328,0 31,0,2024-09-07 09:06:21:759,84561,0.4,84896,0.6,169900,0.4,226167,2.00 31,1,2024-09-07 09:06:20:569,580203,580203,0,0,270393074989,2775587076,577661,1984,558,356,391712,0 31,2,2024-09-07 09:06:21:276,413127,413127,0,0,18188115,0,3525 31,3,2024-09-07 09:06:21:706,1,328,1,0,220,2862,328,0 32,0,2024-09-07 09:06:21:456,81306,0.3,81665,0.5,163316,0.2,217263,1.75 32,1,2024-09-07 09:06:20:832,577616,577616,0,0,270047234227,2805691150,574477,2694,445,381,391646,0 32,2,2024-09-07 09:06:20:941,418885,418885,0,0,15336786,0,3155 32,3,2024-09-07 09:06:21:022,1,328,1,0,227,2420,328,0 33,0,2024-09-07 09:06:21:551,79475,0.3,79029,0.4,158569,0.2,211836,1.50 33,1,2024-09-07 09:06:20:579,578758,578758,0,0,271184285785,2809494217,574347,3580,831,369,391730,0 33,2,2024-09-07 09:06:20:769,417425,417390,35,0,17445372,0,7012 33,3,2024-09-07 09:06:20:895,1,328,1,0,329,3532,328,0 34,0,2024-09-07 09:06:20:931,84067,0.3,86463,0.5,165405,0.2,222029,1.75 34,1,2024-09-07 09:06:21:044,579480,579480,0,0,270870985081,2785249564,578063,1409,8,367,391562,0 34,2,2024-09-07 09:06:20:766,411393,411393,0,0,16582507,0,3577 34,3,2024-09-07 09:06:21:688,1,328,1,0,299,2433,328,0 35,0,2024-09-07 09:06:20:859,83869,0.4,84272,0.5,169502,0.3,225929,1.75 35,1,2024-09-07 09:06:21:067,577912,577912,0,0,269663740324,2795984293,574307,2824,781,382,391589,0 35,2,2024-09-07 09:06:21:584,412903,412903,0,0,16636851,0,2653 35,3,2024-09-07 09:06:20:907,1,328,1,0,418,4239,328,0 36,0,2024-09-07 09:06:21:547,82857,0.5,82893,0.7,165667,0.4,219541,2.00 36,1,2024-09-07 09:06:20:594,577260,577260,0,0,269265059556,2814446005,570032,5570,1658,366,391759,0 36,2,2024-09-07 09:06:21:754,418712,418712,0,0,18982390,0,3875 36,3,2024-09-07 09:06:20:869,1,328,2,0,416,5257,328,0 37,0,2024-09-07 09:06:21:386,76981,0.5,77017,0.7,154151,0.4,206459,2.00 37,1,2024-09-07 09:06:20:568,576167,576160,0,7,269239044823,2815083877,569390,4685,2085,365,391570,0 37,2,2024-09-07 09:06:21:146,415195,415180,15,0,18543658,0,5815 37,3,2024-09-07 09:06:21:771,1,328,2,0,888,5495,328,0 38,0,2024-09-07 09:06:21:447,82373,0.4,80047,0.6,167432,0.4,219111,2.00 38,1,2024-09-07 09:06:21:605,577837,577837,0,0,269971839984,2812081925,571801,5278,758,368,391821,0 38,2,2024-09-07 09:06:20:764,411286,411239,47,0,19043252,0,6710 38,3,2024-09-07 09:06:20:997,1,328,1,0,689,4598,328,0 39,0,2024-09-07 09:06:21:762,85747,0.6,84022,0.7,163483,0.6,223711,2.00 39,1,2024-09-07 09:06:20:716,576009,576009,0,0,269331708302,2819296438,567648,6901,1460,365,391594,0 39,2,2024-09-07 09:06:21:417,412814,412814,0,0,17099499,0,2689 39,3,2024-09-07 09:06:20:724,1,328,13,0,324,4254,328,0 40,0,2024-09-07 09:06:21:502,82843,0.8,83498,1.0,166886,0.8,222296,2.75 40,1,2024-09-07 09:06:20:578,576853,576853,0,0,268752015620,2816398786,568300,7043,1510,368,391668,0 40,2,2024-09-07 09:06:21:307,416024,416023,1,0,20506885,0,5137 40,3,2024-09-07 09:06:21:149,1,328,1,0,1028,4979,328,0 41,0,2024-09-07 09:06:21:033,77039,1.5,78980,1.3,150742,2.3,205724,3.00 41,1,2024-09-07 09:06:20:773,575864,575864,0,0,269165760672,2817761292,568814,6347,703,370,391742,0 41,2,2024-09-07 09:06:20:769,414748,414748,0,0,19663177,0,3356 41,3,2024-09-07 09:06:21:683,1,328,1,0,366,3369,328,0 42,0,2024-09-07 09:06:21:480,81911,0.9,82156,1.0,164359,0.9,217507,2.50 42,1,2024-09-07 09:06:21:440,575292,575292,0,0,268663322643,2826128452,565765,7769,1758,380,391675,0 42,2,2024-09-07 09:06:21:140,414472,414472,0,0,19759537,0,3790 42,3,2024-09-07 09:06:21:008,1,328,1,0,446,3058,328,0 43,0,2024-09-07 09:06:20:916,82471,1.0,80429,1.1,168503,1.2,220693,2.50 43,1,2024-09-07 09:06:20:589,576257,576257,0,0,269319609144,2816714337,568026,6793,1438,366,391696,0 43,2,2024-09-07 09:06:21:736,412210,412210,0,0,19462048,0,3812 43,3,2024-09-07 09:06:21:756,1,328,5,0,467,4026,328,0 44,0,2024-09-07 09:06:20:893,85009,0.4,85422,0.6,170449,0.4,226726,1.75 44,1,2024-09-07 09:06:20:576,578075,578075,0,0,268819061183,2783209712,573015,4013,1047,356,391809,0 44,2,2024-09-07 09:06:21:285,413276,413276,0,0,16435775,0,4344 44,3,2024-09-07 09:06:21:102,1,328,0,0,817,4436,328,0 45,0,2024-09-07 09:06:21:789,78462,0.5,76584,0.7,160518,0.5,210842,2.00 45,1,2024-09-07 09:06:21:007,577067,577067,0,0,270250548343,2810804594,572634,3957,476,382,391917,0 45,2,2024-09-07 09:06:21:279,417708,417708,0,0,17032005,0,3596 45,3,2024-09-07 09:06:20:934,1,328,4,0,271,3205,328,0 46,0,2024-09-07 09:06:20:951,79615,0.6,79584,0.8,159390,0.6,212155,2.25 46,1,2024-09-07 09:06:20:575,578961,578961,0,0,270251327655,2793390457,575253,3282,426,366,391572,0 46,2,2024-09-07 09:06:20:598,416845,416845,0,0,16057769,0,2920 46,3,2024-09-07 09:06:21:139,1,328,1,0,908,4977,328,0 47,0,2024-09-07 09:06:21:111,84068,0.5,84227,0.7,168786,0.5,222861,2.00 47,1,2024-09-07 09:06:20:568,578557,578557,0,0,270301839410,2798940537,574071,3671,815,366,391641,0 47,2,2024-09-07 09:06:20:910,413070,413070,0,0,16522148,0,4477 47,3,2024-09-07 09:06:21:120,1,328,3,0,529,3703,328,0 48,0,2024-09-07 09:06:21:518,85345,0.3,84944,0.4,169334,0.2,226314,1.50 48,1,2024-09-07 09:06:21:022,577530,577530,0,0,269809909765,2803260404,573976,3251,303,384,391710,0 48,2,2024-09-07 09:06:20:711,412474,412474,0,0,15086987,0,3031 48,3,2024-09-07 09:06:20:757,1,328,1,0,339,2845,328,0 49,0,2024-09-07 09:06:21:716,84211,0.3,82732,0.5,160271,0.3,219679,1.75 49,1,2024-09-07 09:06:21:022,577125,577125,0,0,269244032668,2805083425,572808,3132,1185,382,391809,0 49,2,2024-09-07 09:06:21:799,419188,419188,0,0,16297062,0,4426 49,3,2024-09-07 09:06:21:421,1,328,12,0,408,3479,328,0 50,0,2024-09-07 09:06:21:522,78160,0.3,77141,0.5,155388,0.2,207581,1.75 50,1,2024-09-07 09:06:21:009,579329,579329,0,0,270595442381,2799187967,575511,3444,374,368,391565,0 50,2,2024-09-07 09:06:21:077,416854,416854,0,0,15250379,0,2263 50,3,2024-09-07 09:06:21:292,1,328,1,0,335,3275,328,0 51,0,2024-09-07 09:06:21:701,86118,0.3,84118,0.5,164224,0.2,224424,1.75 51,1,2024-09-07 09:06:21:683,579688,579688,0,0,271288375438,2800455457,576432,2281,975,365,391706,0 51,2,2024-09-07 09:06:21:316,411399,411399,0,0,14662734,0,3337 51,3,2024-09-07 09:06:21:031,1,328,0,0,678,2550,328,0 52,0,2024-09-07 09:06:21:414,84861,0.5,84734,0.7,169322,0.4,225457,2.00 52,1,2024-09-07 09:06:20:589,576481,576481,0,0,268853710022,2818336120,567927,7243,1311,368,391722,0 52,2,2024-09-07 09:06:21:763,409941,409903,38,0,19282409,0,6742 52,3,2024-09-07 09:06:20:680,1,328,1,0,1782,5166,328,0 53,0,2024-09-07 09:06:21:740,82205,0.6,79865,0.8,166970,0.7,218957,2.25 53,1,2024-09-07 09:06:20:775,575102,575102,0,0,268963029228,2825375313,565664,6857,2581,367,391702,0 53,2,2024-09-07 09:06:21:299,418959,418959,0,0,16559157,0,2727 53,3,2024-09-07 09:06:20:702,1,328,0,0,308,3200,328,0 54,0,2024-09-07 09:06:21:625,76352,0.6,76927,0.8,152807,0.4,204557,2.25 54,1,2024-09-07 09:06:20:580,576806,576806,0,0,270146648814,2808883413,571211,4993,602,367,391659,0 54,2,2024-09-07 09:06:20:872,416667,416661,6,0,19067536,0,5382 54,3,2024-09-07 09:06:20:768,1,328,3,0,676,5124,328,0 55,0,2024-09-07 09:06:21:758,80178,0.6,83010,0.7,167326,0.5,218343,2.50 55,1,2024-09-07 09:06:20:765,576796,576796,0,0,269885818318,2810462903,570174,5713,909,365,391731,0 55,2,2024-09-07 09:06:20:728,412550,412550,0,0,18545254,0,3563 55,3,2024-09-07 09:06:20:675,1,328,0,0,304,3650,328,0 56,0,2024-09-07 09:06:21:579,85077,1.3,80225,1.2,165405,1.8,221521,2.75 56,1,2024-09-07 09:06:20:579,573981,573981,0,0,268524950983,2837544155,565251,7100,1630,381,391867,0 56,2,2024-09-07 09:06:21:308,412342,412342,0,0,19412101,0,3567 56,3,2024-09-07 09:06:21:060,1,328,2,0,705,4413,328,0 57,0,2024-09-07 09:06:20:970,83156,1.5,83007,1.2,166352,2.0,222412,3.00 57,1,2024-09-07 09:06:20:993,575689,575689,0,0,268458150401,2816060830,568871,6098,720,366,392032,0 57,2,2024-09-07 09:06:21:335,415685,415685,0,0,21157780,0,3317 57,3,2024-09-07 09:06:21:744,1,328,10,0,359,3927,328,0 58,0,2024-09-07 09:06:20:598,76855,0.9,74749,1.0,156641,1.1,205238,2.50 58,1,2024-09-07 09:06:20:587,576694,576691,0,3,269049859069,2815268148,568574,7035,1082,367,391603,3 58,2,2024-09-07 09:06:21:071,415866,415866,0,0,19419505,0,2549 58,3,2024-09-07 09:06:21:069,1,328,1,0,1043,3403,328,0 59,0,2024-09-07 09:06:21:981,81453,0.8,81090,1.0,161966,0.9,215872,2.75 59,1,2024-09-07 09:06:20:805,576494,576494,0,0,269118993364,2819504382,568633,6341,1520,369,391586,0 59,2,2024-09-07 09:06:20:589,416293,416293,0,0,19118872,0,2867 59,3,2024-09-07 09:06:21:745,1,328,1,0,1015,4274,328,0 60,0,2024-09-07 09:06:21:718,83279,0.6,83379,0.7,167352,0.6,221761,1.75 60,1,2024-09-07 09:06:20:787,578146,578146,0,0,270382744123,2809448973,574039,3462,645,370,392031,0 60,2,2024-09-07 09:06:21:143,411777,411777,0,0,18704954,0,3811 60,3,2024-09-07 09:06:21:260,1,328,2,0,409,3915,328,0 61,0,2024-09-07 09:06:21:525,84807,0.6,85370,0.8,169571,0.6,225917,2.00 61,1,2024-09-07 09:06:20:791,576018,576018,0,0,268615178930,2819582240,568858,5836,1324,382,392127,0 61,2,2024-09-07 09:06:21:129,413344,413277,67,0,19059685,0,6411 61,3,2024-09-07 09:06:21:694,1,328,3,0,479,5050,328,0 62,0,2024-09-07 09:06:21:718,81811,0.5,83642,0.7,159427,0.5,216908,2.00 62,1,2024-09-07 09:06:21:121,579610,579604,0,6,271124443827,2800541180,576026,3319,259,365,391715,6 62,2,2024-09-07 09:06:21:644,417063,417062,1,0,18633447,0,5555 62,3,2024-09-07 09:06:21:151,1,328,0,0,465,2567,328,0 63,0,2024-09-07 09:06:21:490,79500,0.4,79410,0.6,159039,0.4,211923,1.75 63,1,2024-09-07 09:06:20:813,577878,577872,0,6,270407289730,2809565933,573942,3542,388,381,391800,6 63,2,2024-09-07 09:06:20:764,416538,416538,0,0,16948876,0,4369 63,3,2024-09-07 09:06:21:734,1,328,1,0,667,3819,328,0 64,0,2024-09-07 09:06:21:561,83369,0.5,83297,0.7,166661,0.5,221108,2.00 64,1,2024-09-07 09:06:20:763,577398,577398,0,0,269927794893,2815816806,571753,3985,1660,370,391783,0 64,2,2024-09-07 09:06:21:160,414407,414388,19,0,16553064,0,6121 64,3,2024-09-07 09:06:21:146,1,328,1,0,265,3103,328,0 65,0,2024-09-07 09:06:21:748,83708,0.7,83972,0.8,167888,0.7,223914,2.25 65,1,2024-09-07 09:06:20:864,576461,576461,0,0,269317610442,2816070024,572381,3629,451,382,391901,0 65,2,2024-09-07 09:06:21:696,413857,413857,0,0,18246426,0,3367 65,3,2024-09-07 09:06:21:685,1,328,1,0,163,3011,328,0 66,0,2024-09-07 09:06:21:770,82232,0.5,82138,0.7,164569,0.4,218490,2.00 66,1,2024-09-07 09:06:21:308,577311,577311,0,0,269915262263,2807626596,573751,3238,322,380,391653,0 66,2,2024-09-07 09:06:21:143,420512,420512,0,0,16482829,0,4956 66,3,2024-09-07 09:06:21:083,1,328,13,0,291,3199,328,0 67,0,2024-09-07 09:06:21:453,77791,0.5,77230,0.7,155181,0.5,207006,2.00 67,1,2024-09-07 09:06:20:778,577550,577549,0,1,269364213031,2806715555,573384,3414,751,380,391787,1 67,2,2024-09-07 09:06:20:591,417625,417625,0,0,15867597,0,2889 67,3,2024-09-07 09:06:21:759,1,328,26,0,338,2854,328,0 68,0,2024-09-07 09:06:20:603,83271,0.6,82899,0.7,165309,0.5,221174,2.00 68,1,2024-09-07 09:06:20:579,575957,575957,0,0,268536198983,2814215682,571150,3604,1203,381,391953,0 68,2,2024-09-07 09:06:21:051,410311,410246,65,0,20800687,0,6698 68,3,2024-09-07 09:06:20:732,1,328,3,0,417,3629,328,0 69,0,2024-09-07 09:06:21:751,83717,0.9,84129,0.9,167593,1.1,222872,2.25 69,1,2024-09-07 09:06:21:031,574099,574099,0,0,268817950431,2838663123,566126,5863,2110,384,391994,0 69,2,2024-09-07 09:06:21:744,412298,412298,0,0,20819093,0,3722 69,3,2024-09-07 09:06:20:777,1,328,10,0,698,4738,328,0 70,0,2024-09-07 09:06:21:557,82878,0.8,82821,1.0,166723,0.6,220921,2.25 70,1,2024-09-07 09:06:20:804,577509,577509,0,0,270258360597,2807073272,572661,4312,536,366,391725,0 70,2,2024-09-07 09:06:21:341,416061,416061,0,0,18972823,0,4044 70,3,2024-09-07 09:06:20:756,1,328,0,0,854,3693,328,0 71,0,2024-09-07 09:06:21:363,77128,0.9,76728,1.0,154270,1.0,206444,2.50 71,1,2024-09-07 09:06:21:598,576595,576595,0,0,269607529446,2814429386,570029,5910,656,368,391738,0 71,2,2024-09-07 09:06:21:067,416228,416228,0,0,18590647,0,4042 71,3,2024-09-07 09:06:21:763,1,328,13,0,644,4542,328,0 72,0,2024-09-07 09:06:21:041,85375,0.6,83476,0.8,162883,0.6,221846,2.00 72,1,2024-09-07 09:06:21:023,575741,575741,0,0,268904071382,2820027747,567513,6531,1697,369,391819,0 72,2,2024-09-07 09:06:21:755,413390,413390,0,0,21503312,0,3983 72,3,2024-09-07 09:06:21:757,1,328,4,0,564,5272,328,0 73,0,2024-09-07 09:06:21:145,81567,0.5,83503,0.6,171031,0.4,221899,2.00 73,1,2024-09-07 09:06:20:770,577198,577198,0,0,269632220753,2805081322,572880,3988,330,367,391858,0 73,2,2024-09-07 09:06:21:738,412258,412258,0,0,20465488,0,3701 73,3,2024-09-07 09:06:20:971,1,328,2,0,274,4485,328,0 74,0,2024-09-07 09:06:21:323,85417,0.5,87602,0.7,167239,0.4,226380,2.25 74,1,2024-09-07 09:06:20:649,576518,576518,0,0,269360010721,2814333162,570440,4816,1262,381,391681,0 74,2,2024-09-07 09:06:21:005,413634,413634,0,0,19538986,0,4253 74,3,2024-09-07 09:06:21:443,1,328,0,0,522,4606,328,0 75,0,2024-09-07 09:06:21:781,79593,0.5,79276,0.7,158744,0.4,211844,2.25 75,1,2024-09-07 09:06:21:585,575744,575744,0,0,268200978501,2804703629,569394,5525,825,380,391739,0 75,2,2024-09-07 09:06:21:349,416902,416902,0,0,19623730,0,4766 75,3,2024-09-07 09:06:21:068,1,328,1,0,702,4742,328,0 76,0,2024-09-07 09:06:20:589,79855,0.6,79262,0.8,159034,0.6,213275,2.25 76,1,2024-09-07 09:06:20:826,576698,576698,0,0,269343317989,2811264685,572781,3328,589,382,391692,0 76,2,2024-09-07 09:06:21:061,417494,417493,1,0,18999005,0,5144 76,3,2024-09-07 09:06:21:147,1,328,23,0,175,3236,328,0 77,0,2024-09-07 09:06:21:708,83772,0.7,83980,0.8,167882,0.8,222850,2.00 77,1,2024-09-07 09:06:20:837,576678,576678,0,0,269055232463,2814047245,571495,4695,488,381,391869,0 77,2,2024-09-07 09:06:21:281,411596,411596,0,0,18416202,0,3890 77,3,2024-09-07 09:06:21:123,1,328,8,0,401,3785,328,0 78,0,2024-09-07 09:06:21:731,85048,0.5,84597,0.7,169998,0.4,225545,2.00 78,1,2024-09-07 09:06:20:611,577229,577229,0,0,268247546984,2795873150,571366,4718,1145,367,391670,0 78,2,2024-09-07 09:06:21:409,413588,413588,0,0,16040396,0,3855 78,3,2024-09-07 09:06:21:148,1,328,11,0,181,3209,328,0 79,0,2024-09-07 09:06:21:374,79431,0.4,81446,0.6,166541,0.3,216995,2.25 79,1,2024-09-07 09:06:20:584,578705,578705,0,0,269818946868,2796395857,574152,3920,633,369,391682,0 79,2,2024-09-07 09:06:21:073,419778,419778,0,0,17055588,0,4195 79,3,2024-09-07 09:06:20:749,1,328,1,0,418,4267,328,0 80,0,2024-09-07 09:06:21:132,77997,0.5,80083,0.7,153604,0.5,207390,2.00 80,1,2024-09-07 09:06:21:637,577066,577066,0,0,269018140479,2799660811,573717,3170,179,368,391791,0 80,2,2024-09-07 09:06:21:110,418279,418279,0,0,16197553,0,4433 80,3,2024-09-07 09:06:20:588,1,328,1,0,190,3991,328,0 81,0,2024-09-07 09:06:21:548,83816,0.6,85859,0.7,163809,0.6,223075,2.00 81,1,2024-09-07 09:06:21:653,576460,576460,0,0,268274069946,2805882669,571606,4355,499,382,391879,0 81,2,2024-09-07 09:06:21:138,410897,410834,63,0,18000818,0,5932 81,3,2024-09-07 09:06:21:126,1,328,8,0,374,3906,328,0 82,0,2024-09-07 09:06:21:547,84157,0.5,84706,0.7,169583,0.4,224973,2.00 82,1,2024-09-07 09:06:20:592,578254,578250,0,4,270323165388,2815132420,574355,3098,797,381,391768,4 82,2,2024-09-07 09:06:21:694,414269,414269,0,0,15465405,0,3986 82,3,2024-09-07 09:06:21:761,1,328,13,0,363,3412,328,0 83,0,2024-09-07 09:06:21:529,82975,0.5,82758,0.7,164816,0.5,219243,2.00 83,1,2024-09-07 09:06:20:559,576448,576448,0,0,269448729048,2811354472,572141,3927,380,382,391709,0 83,2,2024-09-07 09:06:20:767,417822,417822,0,0,16014835,0,3393 83,3,2024-09-07 09:06:20:748,1,328,10,0,1260,4874,328,0 84,0,2024-09-07 09:06:21:800,76946,0.7,76933,0.8,153858,0.6,205838,2.25 84,1,2024-09-07 09:06:21:057,576300,576300,0,0,268866196676,2810917198,570560,5013,727,367,391967,0 84,2,2024-09-07 09:06:20:575,416258,416258,0,0,19972455,0,4757 84,3,2024-09-07 09:06:21:150,1,328,31,0,908,5048,328,0 85,0,2024-09-07 09:06:21:017,79976,0.7,79970,0.8,169701,0.7,219726,2.25 85,1,2024-09-07 09:06:20:575,574740,574740,0,0,268762792925,2837902060,566230,7138,1372,381,392006,0 85,2,2024-09-07 09:06:20:900,413614,413614,0,0,19756159,0,3656 85,3,2024-09-07 09:06:20:702,1,328,1,0,789,4385,328,0 86,0,2024-09-07 09:06:20:904,83368,0.7,85704,0.8,164072,0.8,221607,2.25 86,1,2024-09-07 09:06:20:842,576510,576510,0,0,268619637229,2814289783,569630,5814,1066,366,391961,0 86,2,2024-09-07 09:06:20:881,411682,411681,1,0,20597632,0,5004 86,3,2024-09-07 09:06:20:586,1,328,1,0,308,4577,328,0 87,0,2024-09-07 09:06:21:575,83907,0.9,83838,0.9,168201,1.1,224256,2.25 87,1,2024-09-07 09:06:20:556,575633,575633,0,0,269338824566,2818682102,569458,5564,611,366,392076,0 87,2,2024-09-07 09:06:21:081,414575,414575,0,0,18851925,0,4045 87,3,2024-09-07 09:06:21:794,1,328,1,0,473,4802,328,0 88,0,2024-09-07 09:06:21:450,78077,0.4,78732,0.6,156877,0.4,208146,2.00 88,1,2024-09-07 09:06:20:591,574696,574696,0,0,269123970557,2823366042,567004,6028,1664,365,392084,0 88,2,2024-09-07 09:06:20:688,417073,417073,0,0,20900970,0,3583 88,3,2024-09-07 09:06:21:272,1,328,1,0,435,3629,328,0 89,0,2024-09-07 09:06:21:799,84146,0.5,81420,0.7,161363,0.4,219479,1.75 89,1,2024-09-07 09:06:20:556,574755,574755,0,0,268553775243,2828243383,567008,6474,1273,382,391866,0 89,2,2024-09-07 09:06:21:139,414912,414912,0,0,19995415,0,2910 89,3,2024-09-07 09:06:21:793,1,328,4,0,385,6073,328,0 90,0,2024-09-07 09:06:21:633,81068,0.5,83074,0.7,169679,0.5,221171,2.00 90,1,2024-09-07 09:06:20:610,576236,576236,0,0,268902192470,2821348114,570039,5696,501,380,391825,0 90,2,2024-09-07 09:06:21:409,410491,410491,0,0,21110357,0,3060 90,3,2024-09-07 09:06:20:930,1,328,2,0,246,3657,328,0 91,0,2024-09-07 09:06:20:965,85314,0.5,82676,0.6,172741,0.5,226468,1.75 91,1,2024-09-07 09:06:20:564,574811,574811,0,0,268536378929,2827534827,566990,6777,1044,381,392047,0 91,2,2024-09-07 09:06:21:336,413283,413283,0,0,19046750,0,2896 91,3,2024-09-07 09:06:20:601,1,328,2,0,216,3120,328,0 92,0,2024-09-07 09:06:21:562,82088,0.4,84189,0.6,160700,0.4,217343,1.75 92,1,2024-09-07 09:06:20:597,576221,576221,0,0,268503091686,2808435571,571415,4120,686,382,392136,0 92,2,2024-09-07 09:06:21:403,419133,419133,0,0,17377668,0,3259 92,3,2024-09-07 09:06:21:010,1,328,1,0,167,2882,328,0 93,0,2024-09-07 09:06:20:976,79728,0.4,81806,0.6,156262,0.4,211941,1.75 93,1,2024-09-07 09:06:20:868,576196,576196,0,0,269115901320,2812657226,570083,5084,1029,366,391776,0 93,2,2024-09-07 09:06:20:939,415914,415914,0,0,20210203,0,4845 93,3,2024-09-07 09:06:21:409,1,328,1,0,190,3205,328,0 94,0,2024-09-07 09:06:21:615,83481,0.4,84269,0.6,167974,0.4,222688,1.75 94,1,2024-09-07 09:06:20:571,576643,576643,0,0,269618379831,2816420863,572610,3843,190,381,391850,0 94,2,2024-09-07 09:06:20:773,411139,411139,0,0,17367041,0,2443 94,3,2024-09-07 09:06:21:694,1,328,1,0,264,4091,328,0 95,0,2024-09-07 09:06:21:370,84369,0.4,84412,0.6,169303,0.3,225761,1.75 95,1,2024-09-07 09:06:20:862,578149,578149,0,0,269533779188,2806156942,573186,4564,399,367,391713,0 95,2,2024-09-07 09:06:21:024,413071,413071,0,0,17146438,0,3308 95,3,2024-09-07 09:06:21:710,1,328,1,0,718,5303,328,0 96,0,2024-09-07 09:06:21:084,82752,0.4,82992,0.5,165516,0.3,219242,1.75 96,1,2024-09-07 09:06:21:586,576035,576035,0,0,269030206441,2809439882,571516,3724,795,384,391955,0 96,2,2024-09-07 09:06:21:280,418874,418874,0,0,17159101,0,4042 96,3,2024-09-07 09:06:21:150,1,328,1,0,411,3690,328,0 97,0,2024-09-07 09:06:21:319,77658,0.3,77297,0.5,155172,0.3,206728,1.75 97,1,2024-09-07 09:06:20:790,578477,578477,0,0,270100402733,2801891026,574604,3201,672,367,392140,0 97,2,2024-09-07 09:06:20:626,417814,417814,0,0,16573738,0,3036 97,3,2024-09-07 09:06:20:608,1,328,1,0,214,3980,328,0 98,0,2024-09-07 09:06:21:696,83110,0.3,82900,0.5,166359,0.2,221122,1.50 98,1,2024-09-07 09:06:20:574,577572,577572,0,0,269522353485,2804730869,574917,2549,106,382,391997,0 98,2,2024-09-07 09:06:20:778,412490,412490,0,0,16447552,0,3080 98,3,2024-09-07 09:06:20:702,1,328,8,0,840,5343,328,0 99,0,2024-09-07 09:06:21:454,84118,0.4,84708,0.5,168649,0.3,225112,1.75 99,1,2024-09-07 09:06:21:752,577108,577108,0,0,269149825192,2804375384,572975,3379,754,381,392069,0 99,2,2024-09-07 09:06:21:420,414354,414354,0,0,18493019,0,3424 99,3,2024-09-07 09:06:20:607,1,328,3,0,199,3138,328,0 100,0,2024-09-07 09:06:21:492,83347,0.7,83308,1.0,166727,0.9,222615,2.50 100,1,2024-09-07 09:06:20:562,574187,574187,0,0,268433005679,2837773228,565725,6966,1496,381,391989,0 100,2,2024-09-07 09:06:21:840,415495,415484,11,0,19346827,0,5417 100,3,2024-09-07 09:06:21:732,1,328,3,0,559,5860,328,0 101,0,2024-09-07 09:06:21:759,79260,1.0,77322,1.0,151439,0.8,208114,2.25 101,1,2024-09-07 09:06:20:598,574070,574070,0,0,267990329686,2824004491,565007,7042,2021,368,391771,0 101,2,2024-09-07 09:06:21:760,414346,414346,0,0,22229880,0,4644 101,3,2024-09-07 09:06:20:944,1,328,1,0,579,4471,328,0 102,0,2024-09-07 09:06:20:957,80621,0.7,83374,0.8,168197,0.7,220717,2.25 102,1,2024-09-07 09:06:21:151,575186,575186,0,0,268634492963,2823409121,567875,6279,1032,369,391891,0 102,2,2024-09-07 09:06:21:738,415409,415355,54,0,18798011,0,6768 102,3,2024-09-07 09:06:21:617,1,328,4,0,410,3591,328,0 103,0,2024-09-07 09:06:21:590,86222,0.7,86250,0.8,162548,0.7,223744,2.00 103,1,2024-09-07 09:06:21:643,574481,574481,0,0,268873116965,2837723466,565666,6819,1996,381,391829,0 103,2,2024-09-07 09:06:20:589,411201,411201,0,0,19406038,0,3173 103,3,2024-09-07 09:06:20:764,1,328,3,0,916,4195,328,0 104,0,2024-09-07 09:06:21:061,84097,0.8,84455,1.0,167791,0.7,225731,2.25 104,1,2024-09-07 09:06:21:923,575690,575690,0,0,268187131577,2823311823,566792,7200,1698,365,392168,0 104,2,2024-09-07 09:06:21:669,412377,412377,0,0,20682090,0,3941 104,3,2024-09-07 09:06:21:422,1,328,1,0,1245,7352,328,0 105,0,2024-09-07 09:06:21:036,78645,0.9,76531,1.1,160278,1.0,210293,3.00 105,1,2024-09-07 09:06:20:561,576219,576219,0,0,269470377832,2829751631,568072,6815,1332,366,391797,0 105,2,2024-09-07 09:06:21:327,416807,416807,0,0,20061427,0,3509 105,3,2024-09-07 09:06:21:310,1,328,1,0,399,5305,328,0 106,0,2024-09-07 09:06:20:943,77438,0.9,79342,1.0,162054,1.0,212699,2.50 106,1,2024-09-07 09:06:21:760,574934,574934,0,0,268793317385,2829288567,565363,8279,1292,369,391914,0 106,2,2024-09-07 09:06:20:757,414046,414046,0,0,19815497,0,2795 106,3,2024-09-07 09:06:20:679,1,328,1,0,470,4295,328,0 107,0,2024-09-07 09:06:21:151,83672,1.2,83556,1.0,167132,1.8,222529,2.25 107,1,2024-09-07 09:06:20:589,574175,574175,0,0,267942772442,2826110354,566214,7047,914,381,392234,0 107,2,2024-09-07 09:06:21:292,409882,409881,1,0,20121384,0,5024 107,3,2024-09-07 09:06:21:755,1,328,35,0,353,4453,328,0 108,0,2024-09-07 09:06:21:804,84568,0.5,85072,0.6,169229,0.4,226135,1.75 108,1,2024-09-07 09:06:21:293,576566,576566,0,0,269651697112,2815638972,571485,4564,517,368,391857,0 108,2,2024-09-07 09:06:21:762,411717,411717,0,0,19369595,0,4246 108,3,2024-09-07 09:06:21:334,1,328,5,0,749,6730,328,0 109,0,2024-09-07 09:06:21:782,82652,0.4,81645,0.6,163635,0.3,219393,1.75 109,1,2024-09-07 09:06:20:587,574502,574502,0,0,269557095646,2829849193,569306,4533,663,383,392132,0 109,2,2024-09-07 09:06:20:937,416948,416948,0,0,19004533,0,3617 109,3,2024-09-07 09:06:21:146,1,328,2,0,379,4027,328,0 110,0,2024-09-07 09:06:21:761,77991,0.4,75941,0.6,158792,0.3,208197,1.75 110,1,2024-09-07 09:06:21:643,577607,577607,0,0,269290536223,2797325955,573609,3024,974,369,392045,0 110,2,2024-09-07 09:06:21:303,416446,416446,0,0,18763982,0,4067 110,3,2024-09-07 09:06:20:693,1,328,1,0,722,5062,328,0 111,0,2024-09-07 09:06:21:460,84282,0.4,83604,0.6,167477,0.4,224620,1.75 111,1,2024-09-07 09:06:21:006,577820,577820,0,0,270717421148,2811607689,574440,3028,352,382,391690,0 111,2,2024-09-07 09:06:21:121,410181,410181,0,0,18071087,0,4823 111,3,2024-09-07 09:06:20:924,1,328,4,0,379,4103,328,0 112,0,2024-09-07 09:06:20:959,85319,0.3,84617,0.4,169724,0.2,225838,1.50 112,1,2024-09-07 09:06:20:830,577877,577877,0,0,269877374651,2801160795,574417,2876,584,380,391624,0 112,2,2024-09-07 09:06:21:140,413498,413497,1,0,16431159,0,5036 112,3,2024-09-07 09:06:20:599,1,328,1,0,282,3419,328,0 113,0,2024-09-07 09:06:20:880,82602,0.3,82682,0.5,165883,0.2,220735,1.50 113,1,2024-09-07 09:06:21:691,579944,579944,0,0,270851479925,2796085406,576664,2696,584,366,391661,0 113,2,2024-09-07 09:06:21:305,419640,419640,0,0,15166980,0,3813 113,3,2024-09-07 09:06:20:685,1,328,0,0,340,4041,328,0 114,0,2024-09-07 09:06:20:885,77835,0.3,78377,0.5,155710,0.2,208015,1.75 114,1,2024-09-07 09:06:20:723,577537,577537,0,0,269679305098,2804730668,572415,3529,1593,381,391556,0 114,2,2024-09-07 09:06:20:874,417331,417330,1,0,16755199,0,5069 114,3,2024-09-07 09:06:21:279,1,328,0,0,395,2956,328,0 115,0,2024-09-07 09:06:20:563,82908,0.3,83416,0.4,166731,0.2,222075,1.50 115,1,2024-09-07 09:06:20:572,577248,577248,0,0,270190844868,2807930227,572385,4023,840,382,391656,0 115,2,2024-09-07 09:06:21:132,415036,415036,0,0,15419964,0,3453 115,3,2024-09-07 09:06:21:004,1,328,0,0,159,1971,328,0 116,0,2024-09-07 09:06:21:708,83105,0.9,82991,1.0,166264,1.1,222581,2.25 116,1,2024-09-07 09:06:20:836,574183,574183,0,0,268362851957,2833945350,566588,5328,2267,380,391782,0 116,2,2024-09-07 09:06:21:760,411742,411742,0,0,20725105,0,3529 116,3,2024-09-07 09:06:20:922,1,328,9,0,415,4207,328,0 117,0,2024-09-07 09:06:21:009,84422,0.8,83905,0.9,168074,0.8,224607,2.00 117,1,2024-09-07 09:06:21:597,575564,575564,0,0,268808193572,2815918583,569292,5604,668,370,392033,0 117,2,2024-09-07 09:06:21:123,418010,418010,0,0,17214146,0,3700 117,3,2024-09-07 09:06:21:060,1,328,1,0,490,4916,328,0 118,0,2024-09-07 09:06:21:797,75922,0.5,77975,0.7,158996,0.5,207441,2.00 118,1,2024-09-07 09:06:20:612,575291,575291,0,0,268518366955,2825438386,566511,6882,1898,366,391907,0 118,2,2024-09-07 09:06:21:586,416114,416114,0,0,18884753,0,2781 118,3,2024-09-07 09:06:21:776,1,328,1,0,235,3535,328,0 119,0,2024-09-07 09:06:21:373,81302,0.7,81766,0.8,163536,0.7,217863,2.00 119,1,2024-09-07 09:06:20:548,576664,576664,0,0,269505113852,2820470342,570102,5726,836,367,391780,0 119,2,2024-09-07 09:06:21:277,415887,415887,0,0,17923834,0,4174 119,3,2024-09-07 09:06:21:326,1,328,121,0,563,5072,328,0 120,0,2024-09-07 09:06:21:555,83003,0.7,82735,0.9,165707,0.7,221676,2.25 120,1,2024-09-07 09:06:20:872,575797,575797,0,0,268069227803,2816354600,569393,5848,556,368,391961,0 120,2,2024-09-07 09:06:20:782,411335,411334,1,0,20896873,0,5281 120,3,2024-09-07 09:06:21:293,1,328,8,0,241,4186,328,0 121,0,2024-09-07 09:06:21:752,84677,1.0,84810,1.0,170170,1.3,226197,2.25 121,1,2024-09-07 09:06:21:657,576454,576454,0,0,269304562289,2820218708,570553,5464,437,367,391840,0 121,2,2024-09-07 09:06:21:129,412136,412136,0,0,20187819,0,4127 121,3,2024-09-07 09:06:20:736,1,328,10,0,269,3862,328,0 122,0,2024-09-07 09:06:21:773,81195,0.7,79126,0.9,165849,0.8,217326,2.00 122,1,2024-09-07 09:06:20:862,574968,574968,0,0,268588151557,2822276397,566513,7194,1261,366,392130,0 122,2,2024-09-07 09:06:21:326,417835,417762,73,0,22727379,0,5989 122,3,2024-09-07 09:06:20:598,1,328,1,0,411,5948,328,0 123,0,2024-09-07 09:06:20:966,78887,0.7,77175,0.8,160921,0.8,211489,2.00 123,1,2024-09-07 09:06:20:570,574770,574770,0,0,268582215984,2836094556,563351,9293,2126,369,392039,0 123,2,2024-09-07 09:06:21:022,414404,414403,1,0,19672963,0,5215 123,3,2024-09-07 09:06:21:138,1,328,2,0,168,3846,328,0 124,0,2024-09-07 09:06:20:982,86411,0.4,86466,0.5,162947,0.3,223542,1.75 124,1,2024-09-07 09:06:21:024,577395,577395,0,0,269600975920,2808251889,572680,3806,909,367,392178,0 124,2,2024-09-07 09:06:21:009,412180,412127,53,0,17612768,0,6487 124,3,2024-09-07 09:06:20:769,1,328,1,0,490,3415,328,0 125,0,2024-09-07 09:06:21:432,84865,0.4,84296,0.6,169807,0.4,226126,1.75 125,1,2024-09-07 09:06:20:870,576282,576282,0,0,269496965897,2817049544,571404,4234,644,383,391702,0 125,2,2024-09-07 09:06:21:119,413235,413235,0,0,18265401,0,4534 125,3,2024-09-07 09:06:21:137,1,328,3,0,709,4578,328,0 126,0,2024-09-07 09:06:21:428,82653,0.4,84917,0.6,162558,0.4,220159,1.75 126,1,2024-09-07 09:06:20:553,578087,578087,0,0,269694518887,2797759171,574358,3446,283,365,391987,0 126,2,2024-09-07 09:06:20:613,419167,419167,0,0,18382156,0,4539 126,3,2024-09-07 09:06:20:909,1,328,1,0,207,4168,328,0 127,0,2024-09-07 09:06:21:592,77330,0.3,77864,0.5,154998,0.3,206673,1.75 127,1,2024-09-07 09:06:20:569,577259,577259,0,0,269335216489,2800995627,571864,4535,860,365,392187,0 127,2,2024-09-07 09:06:20:640,416374,416374,0,0,16918422,0,3897 127,3,2024-09-07 09:06:21:272,1,328,0,0,968,3991,328,0 128,0,2024-09-07 09:06:21:538,83234,0.3,83428,0.5,166742,0.2,221511,1.50 128,1,2024-09-07 09:06:21:608,577198,577198,0,0,269490925116,2800897462,573102,3726,370,367,391798,0 128,2,2024-09-07 09:06:21:406,413118,413118,0,0,15799746,0,2915 128,3,2024-09-07 09:06:20:768,1,328,18,0,1082,5884,328,0 129,0,2024-09-07 09:06:21:011,84733,0.3,84435,0.5,169103,0.3,225203,1.75 129,1,2024-09-07 09:06:20:569,574375,574375,0,0,268898038543,2818425382,568732,4387,1256,379,391835,0 129,2,2024-09-07 09:06:20:692,413698,413698,0,0,16663506,0,4031 129,3,2024-09-07 09:06:20:688,1,328,1,0,469,4418,328,0 130,0,2024-09-07 09:06:21:716,84250,0.5,83791,0.6,168494,0.5,223878,1.75 130,1,2024-09-07 09:06:20:587,577502,577502,0,0,270072635420,2810056229,574091,3132,279,381,391825,0 130,2,2024-09-07 09:06:21:140,418455,418455,0,0,16594314,0,4067 130,3,2024-09-07 09:06:21:292,1,328,1,0,450,4139,328,0 131,0,2024-09-07 09:06:21:928,77458,0.3,78028,0.5,156708,0.3,207826,1.75 131,1,2024-09-07 09:06:21:833,577446,577446,0,0,269515673734,2814781567,572975,3726,745,381,391865,0 131,2,2024-09-07 09:06:20:575,418591,418591,0,0,15355917,0,2415 131,3,2024-09-07 09:06:21:693,1,328,0,0,392,3479,328,0 132,0,2024-09-07 09:06:21:477,82621,0.4,83302,0.6,166333,0.4,221414,1.75 132,1,2024-09-07 09:06:20:589,574507,574507,0,0,267704024372,2822064325,565872,7170,1465,381,392097,0 132,2,2024-09-07 09:06:20:725,414442,414442,0,0,20229729,0,4606 132,3,2024-09-07 09:06:21:693,1,328,9,0,804,6141,328,0 133,0,2024-09-07 09:06:21:629,81625,0.5,83375,0.6,171208,0.5,222336,2.00 133,1,2024-09-07 09:06:20:676,574649,574649,0,0,268262393307,2830249413,566482,7084,1083,383,391914,0 133,2,2024-09-07 09:06:21:113,411153,411153,0,0,21321853,0,4315 133,3,2024-09-07 09:06:21:299,1,328,0,0,479,3561,328,0 134,0,2024-09-07 09:06:20:942,85223,0.5,85117,0.7,170246,0.5,226936,2.00 134,1,2024-09-07 09:06:20:589,574920,574920,0,0,268155704941,2818712570,566667,6397,1856,366,391718,0 134,2,2024-09-07 09:06:21:759,413430,413430,0,0,17885838,0,3847 134,3,2024-09-07 09:06:20:749,1,328,2,0,739,4379,328,0 135,0,2024-09-07 09:06:21:129,76873,0.7,76896,0.8,163067,0.7,209985,2.00 135,1,2024-09-07 09:06:21:591,575465,575465,0,0,269216853106,2835913828,567115,7114,1236,380,391805,0 135,2,2024-09-07 09:06:20:691,418146,418146,0,0,19425639,0,3981 135,3,2024-09-07 09:06:21:004,1,328,1,0,299,2600,328,0 136,0,2024-09-07 09:06:21:648,80565,0.6,80680,0.7,160590,0.6,214539,2.25 136,1,2024-09-07 09:06:21:443,575303,575303,0,0,268841464046,2825617868,568293,6315,695,382,391685,0 136,2,2024-09-07 09:06:21:141,416349,416349,0,0,19300039,0,3506 136,3,2024-09-07 09:06:21:120,1,328,2,0,637,3846,328,0 137,0,2024-09-07 09:06:20:943,86359,0.7,84127,0.8,164986,0.8,223939,2.00 137,1,2024-09-07 09:06:20:592,574739,574739,0,0,268381530661,2821344131,565164,7695,1880,366,391708,0 137,2,2024-09-07 09:06:21:707,410695,410695,0,0,21520500,0,3185 137,3,2024-09-07 09:06:20:782,1,328,17,0,382,4012,328,0 138,0,2024-09-07 09:06:21:746,84212,1.3,84252,1.1,169274,1.8,225131,2.25 138,1,2024-09-07 09:06:21:687,575508,575508,0,0,269434171661,2832593529,566470,7443,1595,368,391954,0 138,2,2024-09-07 09:06:20:591,412479,412479,0,0,19282908,0,4988 138,3,2024-09-07 09:06:20:612,1,328,3,0,1160,4767,328,0 139,0,2024-09-07 09:06:21:369,81073,1.2,81341,1.1,163026,1.8,217550,2.25 139,1,2024-09-07 09:06:20:571,573246,573246,0,0,267254408001,2843716083,561889,8713,2644,381,391892,0 139,2,2024-09-07 09:06:20:691,416219,416219,0,0,20989695,0,3097 139,3,2024-09-07 09:06:21:670,1,328,18,0,257,3712,328,0 140,0,2024-09-07 09:06:21:588,78349,0.3,77883,0.5,156465,0.2,208508,1.75 140,1,2024-09-07 09:06:21:537,579126,579126,0,0,270527621845,2792635230,575924,2764,438,365,391606,0 140,2,2024-09-07 09:06:20:702,416916,416915,1,0,17475509,0,5036 140,3,2024-09-07 09:06:20:767,1,328,1,0,247,2721,328,0 141,0,2024-09-07 09:06:21:701,84079,0.4,86389,0.5,165000,0.3,224535,1.75 141,1,2024-09-07 09:06:20:869,577999,577999,0,0,269619405803,2804482821,573438,3699,862,379,391614,0 141,2,2024-09-07 09:06:21:686,411043,411043,0,0,16949919,0,3360 141,3,2024-09-07 09:06:21:043,1,328,11,0,391,3854,328,0 142,0,2024-09-07 09:06:21:317,85379,0.4,84603,0.5,168950,0.3,226133,1.75 142,1,2024-09-07 09:06:20:590,577497,577497,0,0,269019109303,2808167847,573537,3610,350,382,392102,0 142,2,2024-09-07 09:06:21:307,412405,412373,32,0,18418001,0,6028 142,3,2024-09-07 09:06:21:758,1,328,0,0,484,4116,328,0 143,0,2024-09-07 09:06:21:371,82955,0.4,82750,0.6,166010,0.4,220831,1.75 143,1,2024-09-07 09:06:20:568,577597,577597,0,0,269521157660,2799293628,573829,3619,149,367,391705,0 143,2,2024-09-07 09:06:20:770,418034,418034,0,0,17816154,0,3123 143,3,2024-09-07 09:06:21:152,1,328,26,0,303,3956,328,0 144,0,2024-09-07 09:06:21:504,75053,0.5,77154,0.8,157173,0.4,206197,2.00 144,1,2024-09-07 09:06:20:565,575024,575024,0,0,268499391692,2816452379,570176,3908,940,381,391649,0 144,2,2024-09-07 09:06:21:760,417305,417305,0,0,16041171,0,3473 144,3,2024-09-07 09:06:21:750,1,328,1,0,249,3415,328,0 145,0,2024-09-07 09:06:21:358,80255,0.6,80162,0.8,170223,0.6,219572,2.25 145,1,2024-09-07 09:06:20:554,574465,574465,0,0,268851960214,2828973105,567170,6155,1140,382,391759,0 145,2,2024-09-07 09:06:21:428,412248,412248,0,0,19381398,0,3903 145,3,2024-09-07 09:06:20:895,1,328,4,0,622,5082,328,0 146,0,2024-09-07 09:06:21:671,83467,0.6,82798,0.8,167021,0.6,221333,2.25 146,1,2024-09-07 09:06:21:591,575885,575885,0,0,268738191242,2829322408,566193,7682,2010,368,391770,0 146,2,2024-09-07 09:06:21:695,411670,411670,0,0,19246070,0,2730 146,3,2024-09-07 09:06:21:275,1,328,1,0,1520,6985,328,0 147,0,2024-09-07 09:06:21:710,84431,0.6,84077,0.8,167563,0.6,224225,2.25 147,1,2024-09-07 09:06:21:381,577480,577480,0,0,270012029102,2813026037,571823,4857,800,368,391791,0 147,2,2024-09-07 09:06:21:017,415543,415543,0,0,17199891,0,2789 147,3,2024-09-07 09:06:20:921,1,328,1,0,730,4921,328,0 0,0,2024-09-07 09:06:31:797,81142,0.7,81130,0.8,172255,0.8,222411,2.00 0,1,2024-09-07 09:06:30:805,578251,578251,0,0,269775125999,2822978429,574127,3880,244,370,391896,0 0,2,2024-09-07 09:06:31:095,413709,413709,0,0,16897862,0,4480 0,3,2024-09-07 09:06:30:973,1,329,37,0,431,4609,329,0 1,0,2024-09-07 09:06:31:790,85164,0.8,84607,0.9,169979,1.0,226816,2.00 1,1,2024-09-07 09:06:30:563,577085,577085,0,0,269483399624,2824454269,571492,4367,1226,370,391857,0 1,2,2024-09-07 09:06:30:673,413685,413685,0,0,16404527,0,3267 1,3,2024-09-07 09:06:31:301,1,329,2,0,262,3920,329,0 2,0,2024-09-07 09:06:31:595,81415,0.6,81744,0.7,162275,0.7,216886,2.00 2,1,2024-09-07 09:06:30:859,579251,579251,0,0,270741649820,2812857580,576356,2602,293,380,391745,0 2,2,2024-09-07 09:06:31:271,419632,419632,0,0,16400589,0,3594 2,3,2024-09-07 09:06:30:690,1,329,1,0,357,3380,329,0 3,0,2024-09-07 09:06:31:758,79523,0.4,79522,0.6,159035,0.3,212116,1.75 3,1,2024-09-07 09:06:31:619,577919,577919,0,0,269316735433,2806662723,572821,4475,623,380,391591,0 3,2,2024-09-07 09:06:31:153,417983,417960,23,0,16835088,0,5851 3,3,2024-09-07 09:06:31:755,1,329,8,0,207,2207,329,0 4,0,2024-09-07 09:06:31:807,81431,0.4,83919,0.5,170444,0.4,222950,1.75 4,1,2024-09-07 09:06:30:602,576624,576624,0,0,269660330320,2841320059,569311,5957,1356,371,391992,0 4,2,2024-09-07 09:06:31:038,410671,410671,0,0,19432779,0,4528 4,3,2024-09-07 09:06:31:038,1,329,51,0,448,4737,329,0 5,0,2024-09-07 09:06:31:494,84828,0.5,85151,0.6,169819,0.5,226066,1.75 5,1,2024-09-07 09:06:31:036,577232,577232,0,0,269523004795,2836249444,570069,5807,1356,367,392005,0 5,2,2024-09-07 09:06:31:829,412536,412536,0,0,18568575,0,2432 5,3,2024-09-07 09:06:31:740,1,329,1,0,457,4898,329,0 6,0,2024-09-07 09:06:30:931,83219,0.5,82672,0.6,165310,0.4,220743,2.00 6,1,2024-09-07 09:06:30:747,577252,577252,0,0,270266950657,2825566455,570894,5282,1076,379,391694,0 6,2,2024-09-07 09:06:31:117,419663,419663,0,0,17854769,0,4816 6,3,2024-09-07 09:06:31:280,1,329,1,0,340,3694,329,0 7,0,2024-09-07 09:06:31:536,77484,0.5,77863,0.6,155004,0.4,206686,1.75 7,1,2024-09-07 09:06:30:852,576411,576411,0,0,269632412358,2833324971,568342,6893,1176,382,391747,0 7,2,2024-09-07 09:06:30:782,418316,418316,0,0,17948414,0,4791 7,3,2024-09-07 09:06:30:854,1,329,1,0,398,3826,329,0 8,0,2024-09-07 09:06:31:349,83510,0.4,83315,0.5,166860,0.3,222735,1.75 8,1,2024-09-07 09:06:31:027,575624,575624,0,0,268958881217,2836022596,565477,7870,2277,366,392144,0 8,2,2024-09-07 09:06:30:821,408798,408798,0,0,22099834,0,3220 8,3,2024-09-07 09:06:30:586,1,329,12,0,538,5742,329,0 9,0,2024-09-07 09:06:31:133,84692,0.4,82264,0.6,172261,0.4,225750,1.75 9,1,2024-09-07 09:06:30:570,576608,576608,0,0,269506569595,2844609676,567563,6921,2124,369,392001,0 9,2,2024-09-07 09:06:31:089,413377,413377,0,0,19479097,0,3360 9,3,2024-09-07 09:06:31:766,1,329,1,0,496,4972,329,0 10,0,2024-09-07 09:06:31:605,84035,0.3,83388,0.5,167474,0.2,222990,1.75 10,1,2024-09-07 09:06:30:587,577539,577539,0,0,270023214003,2831272083,569656,6774,1109,381,391741,0 10,2,2024-09-07 09:06:30:782,418249,418249,0,0,21196596,0,4264 10,3,2024-09-07 09:06:30:873,1,329,1,0,296,2987,329,0 11,0,2024-09-07 09:06:31:031,77551,0.4,75281,0.6,157478,0.4,208416,1.75 11,1,2024-09-07 09:06:30:572,577341,577341,0,0,269837719829,2837917115,567331,7562,2448,383,391664,0 11,2,2024-09-07 09:06:31:131,417221,417221,0,0,19185948,0,4130 11,3,2024-09-07 09:06:31:298,1,329,0,0,843,5219,329,0 12,0,2024-09-07 09:06:30:994,83711,0.4,83591,0.5,167263,0.3,222613,1.75 12,1,2024-09-07 09:06:30:951,577816,577816,0,0,269311538161,2811733251,572962,4364,490,370,391870,0 12,2,2024-09-07 09:06:31:556,415721,415721,0,0,18856991,0,3469 12,3,2024-09-07 09:06:31:064,1,329,2,0,386,5446,329,0 13,0,2024-09-07 09:06:31:384,84357,0.5,84039,0.6,167869,0.4,223647,1.75 13,1,2024-09-07 09:06:31:535,577069,577069,0,0,269655326962,2838817839,571164,4495,1410,382,391740,0 13,2,2024-09-07 09:06:30:604,414561,414561,0,0,17219682,0,3287 13,3,2024-09-07 09:06:31:769,1,329,1,0,522,5422,329,0 14,0,2024-09-07 09:06:30:577,85415,0.4,86076,0.6,170247,0.3,226820,1.75 14,1,2024-09-07 09:06:31:570,581131,581131,0,0,270880176925,2808520969,576446,4326,359,364,391571,0 14,2,2024-09-07 09:06:30:764,415173,415143,30,0,19004031,0,6104 14,3,2024-09-07 09:06:31:120,1,329,3,0,1168,4077,329,0 15,0,2024-09-07 09:06:31:572,79447,0.4,79526,0.6,159027,0.4,211318,2.00 15,1,2024-09-07 09:06:31:611,578488,578488,0,0,270277981420,2816828196,574151,3516,821,381,391619,0 15,2,2024-09-07 09:06:30:999,420201,420201,0,0,15609097,0,3622 15,3,2024-09-07 09:06:31:406,1,329,37,0,1126,6208,329,0 16,0,2024-09-07 09:06:30:965,80606,0.6,80914,0.8,161458,0.6,215491,2.25 16,1,2024-09-07 09:06:30:576,578546,578546,0,0,270064894741,2820922373,574261,3925,360,370,391756,0 16,2,2024-09-07 09:06:31:463,417049,417049,0,0,17887787,0,4719 16,3,2024-09-07 09:06:31:146,1,329,1,0,317,4629,329,0 17,0,2024-09-07 09:06:31:778,86348,0.7,84354,0.8,164839,0.8,223690,2.00 17,1,2024-09-07 09:06:30:576,577187,577187,0,0,268713924742,2822775062,570956,4974,1257,368,391899,0 17,2,2024-09-07 09:06:31:697,414956,414956,0,0,17476476,0,2857 17,3,2024-09-07 09:06:30:582,1,329,10,0,298,5425,329,0 18,0,2024-09-07 09:06:30:958,83958,0.6,84286,0.8,168160,0.6,224413,2.25 18,1,2024-09-07 09:06:31:644,579104,579104,0,0,270287375205,2803165457,575768,3025,311,367,391649,0 18,2,2024-09-07 09:06:31:760,415762,415762,0,0,16238244,0,3541 18,3,2024-09-07 09:06:30:914,1,329,1,0,163,2902,329,0 19,0,2024-09-07 09:06:31:543,81888,0.6,82491,0.8,163255,0.5,217649,2.25 19,1,2024-09-07 09:06:30:566,579208,579208,0,0,271240698567,2816320568,574084,4285,839,367,391777,0 19,2,2024-09-07 09:06:31:755,421195,421195,0,0,15049403,0,3988 19,3,2024-09-07 09:06:31:137,1,329,1,0,524,2555,329,0 20,0,2024-09-07 09:06:31:402,78216,0.5,78131,0.7,156350,0.5,209055,2.00 20,1,2024-09-07 09:06:30:601,577232,577232,0,0,270298667654,2824884248,572902,3925,405,369,391886,0 20,2,2024-09-07 09:06:30:958,418079,418079,0,0,17942527,0,3721 20,3,2024-09-07 09:06:30:600,1,329,27,0,414,5291,329,0 21,0,2024-09-07 09:06:31:170,84348,0.5,84385,0.7,168585,0.5,223843,2.00 21,1,2024-09-07 09:06:31:535,576504,576504,0,0,268771495369,2833841787,568615,6011,1878,368,392016,0 21,2,2024-09-07 09:06:31:095,410339,410339,0,0,20974390,0,3747 21,3,2024-09-07 09:06:31:405,1,329,7,0,713,3970,329,0 22,0,2024-09-07 09:06:31:728,84410,0.6,84896,0.7,169833,0.5,225040,2.25 22,1,2024-09-07 09:06:31:027,577450,577450,0,0,269430442291,2833781167,568962,6669,1819,382,391667,0 22,2,2024-09-07 09:06:30:772,413217,413217,0,0,17125700,0,3134 22,3,2024-09-07 09:06:31:069,1,329,1,0,228,2832,329,0 23,0,2024-09-07 09:06:31:379,82501,0.5,82443,0.7,165059,0.5,219980,2.25 23,1,2024-09-07 09:06:31:011,577043,577043,0,0,270215628562,2840772171,566551,7334,3158,365,391690,0 23,2,2024-09-07 09:06:31:127,420375,420375,0,0,16883130,0,3010 23,3,2024-09-07 09:06:31:755,1,329,1,0,720,4711,329,0 24,0,2024-09-07 09:06:30:852,78217,0.4,78168,0.6,156806,0.3,208500,1.75 24,1,2024-09-07 09:06:30:598,576559,576559,0,0,269119614716,2826560831,569668,5363,1528,368,392269,0 24,2,2024-09-07 09:06:31:094,416545,416545,0,0,20926589,0,3607 24,3,2024-09-07 09:06:31:689,1,329,1,0,468,4487,329,0 25,0,2024-09-07 09:06:31:397,85615,0.4,83405,0.6,163503,0.4,223168,2.00 25,1,2024-09-07 09:06:30:590,577625,577625,0,0,270098432315,2836692784,569943,6313,1369,371,391928,0 25,2,2024-09-07 09:06:31:617,413310,413310,0,0,20894791,0,3978 25,3,2024-09-07 09:06:31:004,1,329,1,0,255,3301,329,0 26,0,2024-09-07 09:06:31:735,83397,0.4,81482,0.6,171076,0.4,222883,2.00 26,1,2024-09-07 09:06:31:553,578000,578000,0,0,269545607617,2827826507,569152,7312,1536,380,391748,0 26,2,2024-09-07 09:06:30:875,414368,414368,0,0,20574505,0,2809 26,3,2024-09-07 09:06:31:712,1,329,20,0,796,4368,329,0 27,0,2024-09-07 09:06:31:732,84648,0.5,84734,0.6,167979,0.4,224432,2.00 27,1,2024-09-07 09:06:31:682,579859,579859,0,0,270990909304,2823370942,574592,4502,765,381,391626,0 27,2,2024-09-07 09:06:30:885,414512,414447,65,0,20423253,0,5699 27,3,2024-09-07 09:06:31:019,1,329,2,0,564,3632,329,0 28,0,2024-09-07 09:06:31:390,78583,0.4,78265,0.6,156889,0.3,209444,2.00 28,1,2024-09-07 09:06:30:799,578705,578705,0,0,270913663869,2825104958,574327,3675,703,383,391698,0 28,2,2024-09-07 09:06:31:772,417632,417632,0,0,18137490,0,2915 28,3,2024-09-07 09:06:31:776,1,329,2,0,502,3516,329,0 29,0,2024-09-07 09:06:31:362,84404,0.4,82279,0.6,161314,0.3,220696,1.75 29,1,2024-09-07 09:06:31:565,580425,580425,0,0,271211259647,2811093399,576087,3654,684,369,391753,0 29,2,2024-09-07 09:06:30:875,415476,415476,0,0,17474189,0,4986 29,3,2024-09-07 09:06:30:972,1,329,1,0,459,4019,329,0 30,0,2024-09-07 09:06:31:476,83274,0.6,80853,0.8,169265,0.5,221428,2.00 30,1,2024-09-07 09:06:30:574,579536,579536,0,0,270368777404,2810950357,574717,4109,710,381,391672,0 30,2,2024-09-07 09:06:31:281,413262,413262,0,0,16382740,0,3161 30,3,2024-09-07 09:06:30:581,1,329,1,0,519,3418,329,0 31,0,2024-09-07 09:06:31:763,84672,0.4,85014,0.6,170131,0.4,226502,2.00 31,1,2024-09-07 09:06:30:571,581946,581946,0,0,271284364855,2784545958,579404,1984,558,356,391712,0 31,2,2024-09-07 09:06:31:284,414401,414401,0,0,18199688,0,3525 31,3,2024-09-07 09:06:31:710,1,329,0,0,220,2862,329,0 32,0,2024-09-07 09:06:31:417,81416,0.3,81780,0.5,163504,0.2,217265,1.75 32,1,2024-09-07 09:06:30:803,579359,579359,0,0,270841759021,2813779203,576220,2694,445,381,391646,0 32,2,2024-09-07 09:06:30:936,419933,419933,0,0,15356405,0,3155 32,3,2024-09-07 09:06:31:023,1,329,0,0,227,2420,329,0 33,0,2024-09-07 09:06:31:499,79861,0.3,79422,0.4,159378,0.2,212717,1.50 33,1,2024-09-07 09:06:30:582,580533,580533,0,0,272113319656,2818902437,576122,3580,831,369,391730,0 33,2,2024-09-07 09:06:30:803,418780,418745,35,0,17464057,0,7012 33,3,2024-09-07 09:06:30:920,1,329,8,0,329,3540,329,0 34,0,2024-09-07 09:06:30:936,84170,0.3,86581,0.5,165641,0.2,222271,1.75 34,1,2024-09-07 09:06:31:049,581305,581305,0,0,271654897235,2793201060,579888,1409,8,367,391562,0 34,2,2024-09-07 09:06:30:782,412729,412729,0,0,16600200,0,3577 34,3,2024-09-07 09:06:31:692,1,329,0,0,299,2433,329,0 35,0,2024-09-07 09:06:30:864,84151,0.4,84523,0.5,170012,0.3,226562,1.75 35,1,2024-09-07 09:06:31:090,579617,579617,0,0,270477334932,2804259281,576012,2824,781,382,391589,0 35,2,2024-09-07 09:06:31:588,414123,414123,0,0,16654662,0,2653 35,3,2024-09-07 09:06:30:917,1,329,0,0,418,4239,329,0 36,0,2024-09-07 09:06:31:574,83134,0.5,83178,0.6,166218,0.4,220406,2.00 36,1,2024-09-07 09:06:30:593,578924,578924,0,0,270127931669,2823338552,571695,5571,1658,366,391759,0 36,2,2024-09-07 09:06:31:763,420277,420277,0,0,19029203,0,3875 36,3,2024-09-07 09:06:30:876,1,329,6,0,416,5263,329,0 37,0,2024-09-07 09:06:31:399,77369,0.5,77348,0.7,154869,0.4,207087,2.00 37,1,2024-09-07 09:06:30:577,577872,577865,0,7,269975437977,2822631311,571095,4685,2085,365,391570,0 37,2,2024-09-07 09:06:31:156,416602,416587,15,0,18609691,0,5815 37,3,2024-09-07 09:06:31:770,1,329,0,0,888,5495,329,0 38,0,2024-09-07 09:06:31:456,82799,0.4,80437,0.6,168304,0.4,220560,2.00 38,1,2024-09-07 09:06:31:610,579590,579590,0,0,270752298177,2820021043,573554,5278,758,368,391821,0 38,2,2024-09-07 09:06:30:782,412146,412099,47,0,19067664,0,6710 38,3,2024-09-07 09:06:31:003,1,329,16,0,689,4614,329,0 39,0,2024-09-07 09:06:31:766,86110,0.6,84350,0.7,164181,0.6,224521,2.00 39,1,2024-09-07 09:06:30:719,577761,577761,0,0,270013482260,2826332838,569400,6901,1460,365,391594,0 39,2,2024-09-07 09:06:31:432,414234,414234,0,0,17135144,0,2689 39,3,2024-09-07 09:06:30:781,1,329,0,0,324,4254,329,0 40,0,2024-09-07 09:06:31:495,83028,0.8,83666,1.0,167232,0.8,222699,2.75 40,1,2024-09-07 09:06:30:583,578683,578683,0,0,269480151219,2823878103,570130,7043,1510,368,391668,0 40,2,2024-09-07 09:06:31:311,417550,417549,1,0,20529626,0,5137 40,3,2024-09-07 09:06:31:149,1,329,2,0,1028,4981,329,0 41,0,2024-09-07 09:06:31:029,77328,1.5,79272,1.3,151354,2.3,206334,3.00 41,1,2024-09-07 09:06:30:789,577649,577649,0,0,270001364083,2826259344,570599,6347,703,369,391742,0 41,2,2024-09-07 09:06:30:782,416044,416044,0,0,19679709,0,3356 41,3,2024-09-07 09:06:31:676,1,329,1,0,366,3370,329,0 42,0,2024-09-07 09:06:31:490,82315,0.8,82576,1.0,165178,0.9,218554,2.50 42,1,2024-09-07 09:06:31:442,577027,577027,0,0,269346176946,2833112877,567500,7769,1758,380,391675,0 42,2,2024-09-07 09:06:31:133,415225,415225,0,0,19769911,0,3790 42,3,2024-09-07 09:06:31:013,1,329,1,0,446,3059,329,0 43,0,2024-09-07 09:06:30:924,82612,1.0,80569,1.1,168837,1.2,221149,2.50 43,1,2024-09-07 09:06:30:649,578038,578038,0,0,270373750129,2827366233,569806,6794,1438,366,391696,0 43,2,2024-09-07 09:06:31:740,413814,413814,0,0,19495702,0,3812 43,3,2024-09-07 09:06:31:755,1,329,1,0,467,4027,329,0 44,0,2024-09-07 09:06:30:920,85131,0.4,85532,0.6,170705,0.4,226973,1.75 44,1,2024-09-07 09:06:30:563,579787,579787,0,0,269795067378,2793036983,574727,4013,1047,356,391809,0 44,2,2024-09-07 09:06:31:272,414690,414690,0,0,16449986,0,4344 44,3,2024-09-07 09:06:31:093,1,329,10,0,817,4446,329,0 45,0,2024-09-07 09:06:31:786,78527,0.5,76642,0.7,160640,0.5,211154,2.00 45,1,2024-09-07 09:06:31:045,578810,578810,0,0,271022691339,2818695740,574376,3958,476,382,391917,0 45,2,2024-09-07 09:06:31:272,418814,418814,0,0,17040816,0,3596 45,3,2024-09-07 09:06:30:939,1,329,1,0,271,3206,329,0 46,0,2024-09-07 09:06:30:955,80037,0.6,80001,0.8,160183,0.6,213485,2.00 46,1,2024-09-07 09:06:30:575,580723,580723,0,0,271042385728,2801404138,577015,3282,426,366,391572,0 46,2,2024-09-07 09:06:30:597,418006,418006,0,0,16065540,0,2920 46,3,2024-09-07 09:06:31:141,1,329,1,0,908,4978,329,0 47,0,2024-09-07 09:06:31:106,84170,0.5,84326,0.7,168998,0.5,223169,2.00 47,1,2024-09-07 09:06:30:573,580380,580380,0,0,271081607866,2806837817,575894,3671,815,366,391641,0 47,2,2024-09-07 09:06:30:918,414411,414411,0,0,16531768,0,4477 47,3,2024-09-07 09:06:31:116,1,329,2,0,529,3705,329,0 48,0,2024-09-07 09:06:31:492,85494,0.3,85113,0.4,169675,0.2,226561,1.50 48,1,2024-09-07 09:06:31:027,579276,579276,0,0,270759295644,2812919340,575722,3251,303,384,391710,0 48,2,2024-09-07 09:06:30:758,413840,413840,0,0,15104481,0,3031 48,3,2024-09-07 09:06:30:753,1,329,3,0,339,2848,329,0 49,0,2024-09-07 09:06:31:724,84472,0.3,82986,0.5,160775,0.3,220199,1.75 49,1,2024-09-07 09:06:31:025,578900,578900,0,0,270192391020,2814729162,574583,3132,1185,382,391809,0 49,2,2024-09-07 09:06:31:797,420364,420364,0,0,16320816,0,4426 49,3,2024-09-07 09:06:31:422,1,329,0,0,408,3479,329,0 50,0,2024-09-07 09:06:31:509,78502,0.3,77501,0.5,156074,0.2,208735,1.75 50,1,2024-09-07 09:06:31:020,581055,581055,0,0,271344026567,2806820092,577237,3444,374,368,391565,0 50,2,2024-09-07 09:06:31:071,418258,418258,0,0,15266024,0,2263 50,3,2024-09-07 09:06:31:297,1,329,1,0,335,3276,329,0 51,0,2024-09-07 09:06:31:689,86477,0.3,84447,0.5,164909,0.3,224727,1.75 51,1,2024-09-07 09:06:31:683,581393,581393,0,0,271905186617,2806734293,578137,2281,975,365,391706,0 51,2,2024-09-07 09:06:31:319,412493,412493,0,0,14677211,0,3337 51,3,2024-09-07 09:06:31:033,1,329,1,0,678,2551,329,0 52,0,2024-09-07 09:06:31:417,85168,0.5,85037,0.7,169921,0.4,226206,2.00 52,1,2024-09-07 09:06:30:579,578128,578128,0,0,269543186307,2825930585,569491,7325,1312,368,391722,0 52,2,2024-09-07 09:06:31:762,411206,411168,38,0,19353309,0,6742 52,3,2024-09-07 09:06:30:680,1,329,0,0,1782,5166,329,0 53,0,2024-09-07 09:06:31:734,82383,0.6,80018,0.8,167373,0.7,219528,2.25 53,1,2024-09-07 09:06:30:794,576875,576875,0,0,269900812230,2835027801,567437,6857,2581,367,391702,0 53,2,2024-09-07 09:06:31:298,420402,420402,0,0,16640360,0,2727 53,3,2024-09-07 09:06:30:711,1,329,10,0,308,3210,329,0 54,0,2024-09-07 09:06:31:630,76685,0.6,77266,0.8,153443,0.4,205707,2.25 54,1,2024-09-07 09:06:30:581,578550,578550,0,0,271120130200,2818780335,572955,4993,602,367,391659,0 54,2,2024-09-07 09:06:30:875,418166,418160,6,0,19150841,0,5382 54,3,2024-09-07 09:06:30:781,1,329,12,0,676,5136,329,0 55,0,2024-09-07 09:06:31:769,80509,0.6,83331,0.7,167987,0.5,219116,2.50 55,1,2024-09-07 09:06:30:783,578697,578697,0,0,270807352260,2819958496,572075,5713,909,365,391731,0 55,2,2024-09-07 09:06:30:737,413199,413199,0,0,18564518,0,3563 55,3,2024-09-07 09:06:30:680,1,329,2,0,304,3652,329,0 56,0,2024-09-07 09:06:31:628,85341,1.3,80456,1.2,165912,1.8,222434,2.75 56,1,2024-09-07 09:06:30:597,575726,575726,0,0,269660178057,2849102707,566996,7100,1630,381,391867,0 56,2,2024-09-07 09:06:31:319,413825,413825,0,0,19436384,0,3567 56,3,2024-09-07 09:06:31:072,1,329,3,0,705,4416,329,0 57,0,2024-09-07 09:06:30:951,83274,1.5,83139,1.2,166631,2.0,222907,3.00 57,1,2024-09-07 09:06:30:990,577417,577417,0,0,269282045589,2824460060,570599,6098,720,366,392032,0 57,2,2024-09-07 09:06:31:318,417174,417174,0,0,21185508,0,3317 57,3,2024-09-07 09:06:31:747,1,329,1,0,359,3928,329,0 58,0,2024-09-07 09:06:30:559,77050,0.9,74988,1.0,157095,1.0,206153,2.50 58,1,2024-09-07 09:06:30:587,578425,578422,0,3,269977343437,2824721160,570305,7035,1082,367,391603,3 58,2,2024-09-07 09:06:31:079,416997,416997,0,0,19439575,0,2549 58,3,2024-09-07 09:06:31:068,1,329,0,0,1043,3403,329,0 59,0,2024-09-07 09:06:31:748,81941,0.8,81546,1.0,162837,0.8,217015,2.75 59,1,2024-09-07 09:06:30:805,578233,578233,0,0,269901329037,2827527480,570372,6341,1520,369,391586,0 59,2,2024-09-07 09:06:30:591,417337,417337,0,0,19132794,0,2867 59,3,2024-09-07 09:06:31:746,1,329,1,0,1015,4275,329,0 60,0,2024-09-07 09:06:31:880,83399,0.6,83478,0.7,167595,0.6,222092,1.75 60,1,2024-09-07 09:06:30:789,579912,579912,0,0,270938710801,2815139256,575805,3462,645,370,392031,0 60,2,2024-09-07 09:06:31:154,413325,413325,0,0,18719063,0,3811 60,3,2024-09-07 09:06:31:262,1,329,11,0,409,3926,329,0 61,0,2024-09-07 09:06:31:560,84921,0.6,85479,0.8,169815,0.6,226253,2.00 61,1,2024-09-07 09:06:30:808,577695,577695,0,0,269171991106,2825299082,570535,5836,1324,382,392127,0 61,2,2024-09-07 09:06:31:145,414593,414526,67,0,19069911,0,6411 61,3,2024-09-07 09:06:31:700,1,329,15,0,479,5065,329,0 62,0,2024-09-07 09:06:31:710,81912,0.5,83751,0.7,159618,0.5,216908,2.00 62,1,2024-09-07 09:06:31:113,581505,581499,0,6,271955297353,2808955967,577921,3319,259,365,391715,6 62,2,2024-09-07 09:06:31:644,418170,418169,1,0,18643535,0,5555 62,3,2024-09-07 09:06:31:152,1,329,2,0,465,2569,329,0 63,0,2024-09-07 09:06:31:452,79844,0.4,79782,0.6,159799,0.4,212859,1.75 63,1,2024-09-07 09:06:30:812,579539,579533,0,6,271333418279,2818954686,575603,3542,388,381,391800,6 63,2,2024-09-07 09:06:30:782,417901,417901,0,0,16959199,0,4369 63,3,2024-09-07 09:06:31:738,1,329,0,0,667,3819,329,0 64,0,2024-09-07 09:06:31:552,83469,0.5,83412,0.7,166891,0.5,221363,2.00 64,1,2024-09-07 09:06:30:762,579142,579142,0,0,270915570939,2825833410,573497,3985,1660,370,391783,0 64,2,2024-09-07 09:06:31:148,415705,415686,19,0,16573124,0,6121 64,3,2024-09-07 09:06:31:157,1,329,1,0,265,3104,329,0 65,0,2024-09-07 09:06:31:680,83950,0.7,84216,0.8,168398,0.7,224501,2.25 65,1,2024-09-07 09:06:30:881,578237,578237,0,0,270075310563,2823885033,574155,3631,451,382,391901,0 65,2,2024-09-07 09:06:31:693,415011,415011,0,0,18269129,0,3367 65,3,2024-09-07 09:06:31:693,1,329,1,0,163,3012,329,0 66,0,2024-09-07 09:06:31:774,82503,0.5,82403,0.7,165096,0.4,219344,2.00 66,1,2024-09-07 09:06:31:308,578938,578938,0,0,270622117350,2814867269,575378,3238,322,380,391653,0 66,2,2024-09-07 09:06:31:135,421961,421961,0,0,16507358,0,4956 66,3,2024-09-07 09:06:31:086,1,329,1,0,291,3200,329,0 67,0,2024-09-07 09:06:31:439,78150,0.5,77566,0.7,155925,0.5,207704,2.00 67,1,2024-09-07 09:06:30:767,579311,579310,0,1,270040592473,2813679377,575145,3414,751,380,391787,1 67,2,2024-09-07 09:06:30:587,419033,419033,0,0,15892303,0,2889 67,3,2024-09-07 09:06:31:755,1,329,0,0,338,2854,329,0 68,0,2024-09-07 09:06:30:573,83668,0.6,83299,0.7,166130,0.5,222416,2.00 68,1,2024-09-07 09:06:30:574,577749,577749,0,0,269619278488,2825383115,572941,3605,1203,381,391953,0 68,2,2024-09-07 09:06:31:068,411131,411066,65,0,20817701,0,6698 68,3,2024-09-07 09:06:30:781,1,329,1,0,417,3630,329,0 69,0,2024-09-07 09:06:31:772,84073,0.9,84459,0.9,168261,1.1,223710,2.25 69,1,2024-09-07 09:06:31:020,575888,575888,0,0,269377397330,2844535900,567915,5863,2110,384,391994,0 69,2,2024-09-07 09:06:31:771,413714,413714,0,0,20933187,0,3722 69,3,2024-09-07 09:06:30:778,1,329,23,0,698,4761,329,0 70,0,2024-09-07 09:06:31:561,83051,0.8,82979,1.0,167048,0.6,221317,2.25 70,1,2024-09-07 09:06:30:807,579239,579239,0,0,271008594912,2814723197,574391,4312,536,366,391725,0 70,2,2024-09-07 09:06:31:336,417450,417450,0,0,19014970,0,4044 70,3,2024-09-07 09:06:30:747,1,329,1,0,854,3694,329,0 71,0,2024-09-07 09:06:31:379,77421,0.9,77028,1.0,154847,1.0,207084,2.50 71,1,2024-09-07 09:06:31:607,578392,578392,0,0,270651810207,2825126221,571826,5910,656,368,391738,0 71,2,2024-09-07 09:06:31:078,417498,417498,0,0,18681885,0,4042 71,3,2024-09-07 09:06:31:755,1,329,1,0,644,4543,329,0 72,0,2024-09-07 09:06:31:125,85799,0.6,83865,0.8,163663,0.6,222878,2.00 72,1,2024-09-07 09:06:31:030,577513,577513,0,0,269810574273,2829225885,569285,6531,1697,369,391819,0 72,2,2024-09-07 09:06:31:759,414186,414186,0,0,21512974,0,3983 72,3,2024-09-07 09:06:31:758,1,329,1,0,564,5273,329,0 73,0,2024-09-07 09:06:31:170,81726,0.5,83628,0.6,171287,0.4,222357,2.00 73,1,2024-09-07 09:06:30:768,578881,578881,0,0,270346463642,2812349799,574563,3988,330,367,391858,0 73,2,2024-09-07 09:06:31:749,413788,413788,0,0,20493680,0,3701 73,3,2024-09-07 09:06:30:975,1,329,1,0,274,4486,329,0 74,0,2024-09-07 09:06:31:328,85531,0.5,87727,0.7,167456,0.4,226633,2.25 74,1,2024-09-07 09:06:30:663,578335,578335,0,0,270160127584,2822510747,572257,4816,1262,381,391681,0 74,2,2024-09-07 09:06:31:004,415039,415039,0,0,19561306,0,4253 74,3,2024-09-07 09:06:31:445,1,329,3,0,522,4609,329,0 75,0,2024-09-07 09:06:31:769,79659,0.5,79343,0.7,158879,0.4,212166,2.25 75,1,2024-09-07 09:06:31:587,577484,577484,0,0,268879860100,2811651924,571133,5526,825,380,391739,0 75,2,2024-09-07 09:06:31:350,417991,417991,0,0,19649487,0,4766 75,3,2024-09-07 09:06:31:078,1,329,1,0,702,4743,329,0 76,0,2024-09-07 09:06:30:599,80267,0.6,79676,0.8,159890,0.6,214609,2.25 76,1,2024-09-07 09:06:30:835,578486,578486,0,0,270287298063,2820897227,574568,3329,589,382,391692,0 76,2,2024-09-07 09:06:31:070,418652,418651,1,0,19009563,0,5144 76,3,2024-09-07 09:06:31:147,1,329,1,0,175,3237,329,0 77,0,2024-09-07 09:06:31:747,83886,0.7,84072,0.8,168080,0.7,223163,2.00 77,1,2024-09-07 09:06:30:859,578448,578448,0,0,269748040536,2821144217,573265,4695,488,381,391869,0 77,2,2024-09-07 09:06:31:294,413046,413046,0,0,18429237,0,3890 77,3,2024-09-07 09:06:31:123,1,329,4,0,401,3789,329,0 78,0,2024-09-07 09:06:31:719,85221,0.5,84760,0.7,170302,0.4,225784,2.00 78,1,2024-09-07 09:06:30:610,578936,578936,0,0,269061641683,2804111605,573073,4718,1145,367,391670,0 78,2,2024-09-07 09:06:31:407,414964,414964,0,0,16050342,0,3855 78,3,2024-09-07 09:06:31:143,1,329,25,0,181,3234,329,0 79,0,2024-09-07 09:06:31:382,79670,0.4,81742,0.6,167095,0.3,217501,2.25 79,1,2024-09-07 09:06:30:575,580473,580473,0,0,270784807375,2806172886,575920,3920,633,369,391682,0 79,2,2024-09-07 09:06:31:086,420938,420938,0,0,17065219,0,4195 79,3,2024-09-07 09:06:30:775,1,329,9,0,418,4276,329,0 80,0,2024-09-07 09:06:31:109,78333,0.5,80414,0.7,154252,0.5,208543,2.00 80,1,2024-09-07 09:06:31:648,578750,578750,0,0,269981854415,2809489375,575399,3172,179,368,391791,0 80,2,2024-09-07 09:06:31:107,419644,419644,0,0,16218608,0,4433 80,3,2024-09-07 09:06:30:588,1,329,1,0,190,3992,329,0 81,0,2024-09-07 09:06:31:572,84170,0.6,86242,0.7,164502,0.6,223418,2.00 81,1,2024-09-07 09:06:31:665,578190,578190,0,0,269068838252,2814006438,573336,4355,499,382,391879,0 81,2,2024-09-07 09:06:31:145,411994,411931,63,0,18017802,0,5932 81,3,2024-09-07 09:06:31:133,1,329,1,0,374,3907,329,0 82,0,2024-09-07 09:06:31:536,84444,0.5,84978,0.7,170168,0.4,225782,2.00 82,1,2024-09-07 09:06:30:587,579947,579943,0,4,271067236740,2822724694,576048,3098,797,381,391768,4 82,2,2024-09-07 09:06:31:711,415602,415602,0,0,15481569,0,3986 82,3,2024-09-07 09:06:31:755,1,329,5,0,363,3417,329,0 83,0,2024-09-07 09:06:31:531,83164,0.5,82958,0.7,165198,0.5,219830,2.00 83,1,2024-09-07 09:06:30:554,578221,578221,0,0,270337309097,2820412804,573913,3928,380,382,391709,0 83,2,2024-09-07 09:06:30:803,419219,419219,0,0,16030038,0,3393 83,3,2024-09-07 09:06:30:752,1,329,0,0,1260,4874,329,0 84,0,2024-09-07 09:06:31:806,77299,0.7,77258,0.8,154547,0.5,207078,2.25 84,1,2024-09-07 09:06:31:044,577993,577993,0,0,269620770997,2818728738,572253,5013,727,367,391967,0 84,2,2024-09-07 09:06:30:574,417612,417612,0,0,20031854,0,4757 84,3,2024-09-07 09:06:31:147,1,329,4,0,908,5052,329,0 85,0,2024-09-07 09:06:31:041,80297,0.7,80309,0.8,170385,0.7,220429,2.25 85,1,2024-09-07 09:06:30:573,576554,576554,0,0,269617568784,2846709968,568043,7139,1372,381,392006,0 85,2,2024-09-07 09:06:30:904,414277,414277,0,0,19792156,0,3656 85,3,2024-09-07 09:06:30:689,1,329,1,0,789,4386,329,0 86,0,2024-09-07 09:06:30:899,83585,0.7,85940,0.8,164543,0.8,222538,2.25 86,1,2024-09-07 09:06:30:842,578269,578269,0,0,269240234482,2820755964,571388,5815,1066,366,391961,0 86,2,2024-09-07 09:06:30:855,413136,413135,1,0,20634397,0,5004 86,3,2024-09-07 09:06:30:595,1,329,1,0,308,4578,329,0 87,0,2024-09-07 09:06:31:292,84048,0.9,83968,0.9,168474,1.1,224714,2.25 87,1,2024-09-07 09:06:30:553,577339,577339,0,0,270154912850,2827132270,571164,5564,611,366,392076,0 87,2,2024-09-07 09:06:31:081,416118,416118,0,0,18896590,0,4045 87,3,2024-09-07 09:06:31:802,1,329,3,0,473,4805,329,0 88,0,2024-09-07 09:06:31:455,78304,0.4,78940,0.6,157327,0.4,209061,2.00 88,1,2024-09-07 09:06:30:573,576470,576470,0,0,269974379161,2832036651,568778,6028,1664,365,392084,0 88,2,2024-09-07 09:06:30:693,418246,418246,0,0,20919286,0,3583 88,3,2024-09-07 09:06:31:270,1,329,1,0,435,3630,329,0 89,0,2024-09-07 09:06:31:832,84633,0.5,81885,0.7,162295,0.4,220620,1.75 89,1,2024-09-07 09:06:30:561,576551,576551,0,0,269320990947,2836132859,568804,6474,1273,382,391866,0 89,2,2024-09-07 09:06:31:173,415930,415930,0,0,20013676,0,2910 89,3,2024-09-07 09:06:31:803,1,329,1,0,385,6074,329,0 90,0,2024-09-07 09:06:31:633,81172,0.5,83189,0.7,169891,0.5,221505,2.00 90,1,2024-09-07 09:06:30:590,577954,577954,0,0,269556010186,2828105867,571756,5697,501,380,391825,0 90,2,2024-09-07 09:06:31:406,411896,411896,0,0,21133736,0,3060 90,3,2024-09-07 09:06:30:939,1,329,32,0,246,3689,329,0 91,0,2024-09-07 09:06:30:968,85427,0.5,82781,0.6,172968,0.5,226824,1.75 91,1,2024-09-07 09:06:30:560,576570,576570,0,0,269698760129,2839365597,568749,6777,1044,381,392047,0 91,2,2024-09-07 09:06:31:331,414569,414569,0,0,19072523,0,2896 91,3,2024-09-07 09:06:30:606,1,329,3,0,216,3123,329,0 92,0,2024-09-07 09:06:31:451,82195,0.4,84305,0.6,160924,0.4,217350,1.75 92,1,2024-09-07 09:06:30:590,577940,577940,0,0,269313756754,2816734412,573134,4120,686,382,392136,0 92,2,2024-09-07 09:06:31:352,420223,420223,0,0,17387967,0,3259 92,3,2024-09-07 09:06:31:023,1,329,1,0,167,2883,329,0 93,0,2024-09-07 09:06:30:989,80131,0.4,82191,0.6,157044,0.4,212903,1.75 93,1,2024-09-07 09:06:30:821,577980,577980,0,0,269902118469,2820655848,571867,5084,1029,366,391776,0 93,2,2024-09-07 09:06:30:944,417192,417192,0,0,20224494,0,4845 93,3,2024-09-07 09:06:31:407,1,329,4,0,190,3209,329,0 94,0,2024-09-07 09:06:31:622,83567,0.4,84375,0.6,168199,0.4,222934,1.75 94,1,2024-09-07 09:06:30:564,578396,578396,0,0,270421218210,2824606028,574363,3843,190,381,391850,0 94,2,2024-09-07 09:06:30:763,412313,412313,0,0,17375608,0,2443 94,3,2024-09-07 09:06:31:692,1,329,2,0,264,4093,329,0 95,0,2024-09-07 09:06:31:350,84619,0.4,84667,0.6,169808,0.3,226336,1.75 95,1,2024-09-07 09:06:30:857,579882,579882,0,0,270205603119,2812998159,574917,4566,399,367,391713,0 95,2,2024-09-07 09:06:31:025,414310,414310,0,0,17157614,0,3308 95,3,2024-09-07 09:06:31:717,1,329,2,0,718,5305,329,0 96,0,2024-09-07 09:06:31:078,83016,0.4,83274,0.5,166043,0.3,220125,1.75 96,1,2024-09-07 09:06:31:621,577808,577808,0,0,270018385457,2819494836,573288,3724,796,384,391955,0 96,2,2024-09-07 09:06:31:273,420307,420307,0,0,17182928,0,4042 96,3,2024-09-07 09:06:31:149,1,329,4,0,411,3694,329,0 97,0,2024-09-07 09:06:31:333,77990,0.3,77656,0.5,155923,0.3,207428,1.75 97,1,2024-09-07 09:06:30:787,580181,580181,0,0,270946975710,2810515110,576308,3201,672,367,392140,0 97,2,2024-09-07 09:06:30:654,419137,419137,0,0,16597554,0,3036 97,3,2024-09-07 09:06:30:586,1,329,1,0,214,3981,329,0 98,0,2024-09-07 09:06:31:692,83487,0.3,83309,0.5,167169,0.2,222602,1.50 98,1,2024-09-07 09:06:30:574,579323,579323,0,0,270334994564,2813046830,576665,2551,107,382,391997,0 98,2,2024-09-07 09:06:30:782,413299,413299,0,0,16457213,0,3080 98,3,2024-09-07 09:06:30:707,1,329,1,0,840,5344,329,0 99,0,2024-09-07 09:06:31:487,84469,0.4,85049,0.5,169234,0.3,225966,1.75 99,1,2024-09-07 09:06:31:731,578839,578839,0,0,270085069813,2813885863,574706,3379,754,381,392069,0 99,2,2024-09-07 09:06:31:431,415712,415712,0,0,18525835,0,3424 99,3,2024-09-07 09:06:30:601,1,329,0,0,199,3138,329,0 100,0,2024-09-07 09:06:31:475,83508,0.7,83498,1.0,167070,0.9,223022,2.25 100,1,2024-09-07 09:06:30:552,575991,575991,0,0,269333259284,2847042760,567528,6967,1496,381,391989,0 100,2,2024-09-07 09:06:31:823,417092,417081,11,0,19409640,0,5417 100,3,2024-09-07 09:06:31:766,1,329,11,0,559,5871,329,0 101,0,2024-09-07 09:06:31:733,79547,1.0,77604,1.0,152006,0.8,208728,2.25 101,1,2024-09-07 09:06:30:569,575736,575736,0,0,268835699568,2832774455,566672,7042,2022,368,391771,0 101,2,2024-09-07 09:06:31:759,415744,415744,0,0,22279869,0,4644 101,3,2024-09-07 09:06:30:943,1,329,1,0,579,4472,329,0 102,0,2024-09-07 09:06:30:980,81007,0.7,83807,0.8,169036,0.7,221734,2.00 102,1,2024-09-07 09:06:31:150,576908,576908,0,0,269580353158,2833209217,569595,6281,1032,369,391891,0 102,2,2024-09-07 09:06:31:747,416267,416213,54,0,18821318,0,6768 102,3,2024-09-07 09:06:31:630,1,329,7,0,410,3598,329,0 103,0,2024-09-07 09:06:31:605,86378,0.7,86404,0.8,162845,0.7,224203,2.00 103,1,2024-09-07 09:06:31:628,576256,576256,0,0,269805290683,2847335336,567441,6819,1996,381,391829,0 103,2,2024-09-07 09:06:30:582,412750,412750,0,0,19478544,0,3173 103,3,2024-09-07 09:06:30:758,1,329,1,0,916,4196,329,0 104,0,2024-09-07 09:06:31:078,84208,0.8,84558,1.0,168010,0.7,225987,2.25 104,1,2024-09-07 09:06:31:610,577364,577364,0,0,268993625517,2831660772,568464,7202,1698,365,392168,0 104,2,2024-09-07 09:06:31:695,413814,413814,0,0,20707700,0,3941 104,3,2024-09-07 09:06:31:422,1,329,11,0,1245,7363,329,0 105,0,2024-09-07 09:06:31:028,78693,0.8,76583,1.1,160375,1.0,210635,3.00 105,1,2024-09-07 09:06:30:555,577909,577909,0,0,270314581629,2838353337,569762,6815,1332,366,391797,0 105,2,2024-09-07 09:06:31:328,417873,417873,0,0,20077064,0,3509 105,3,2024-09-07 09:06:31:308,1,329,2,0,399,5307,329,0 106,0,2024-09-07 09:06:30:978,77837,0.9,79726,1.0,162952,1.0,214033,2.50 106,1,2024-09-07 09:06:31:764,576578,576578,0,0,269734972552,2838884061,567007,8279,1292,369,391914,0 106,2,2024-09-07 09:06:30:758,415232,415232,0,0,19835871,0,2795 106,3,2024-09-07 09:06:30:684,1,329,1,0,470,4296,329,0 107,0,2024-09-07 09:06:31:145,83774,1.2,83669,1.0,167362,1.8,222828,2.25 107,1,2024-09-07 09:06:30:585,575991,575991,0,0,268678199237,2833715145,568030,7047,914,381,392234,0 107,2,2024-09-07 09:06:31:292,411358,411357,1,0,20156255,0,5024 107,3,2024-09-07 09:06:31:766,1,329,8,0,353,4461,329,0 108,0,2024-09-07 09:06:31:783,84734,0.5,85224,0.6,169533,0.4,226390,1.75 108,1,2024-09-07 09:06:31:297,578306,578306,0,0,270521964562,2824512408,573224,4565,517,368,391857,0 108,2,2024-09-07 09:06:31:767,413034,413034,0,0,19384924,0,4246 108,3,2024-09-07 09:06:31:330,1,329,1,0,749,6731,329,0 109,0,2024-09-07 09:06:31:799,82904,0.4,81943,0.5,164158,0.3,219903,1.75 109,1,2024-09-07 09:06:30:592,576199,576199,0,0,270209680085,2836602202,571002,4534,663,383,392132,0 109,2,2024-09-07 09:06:30:921,418096,418096,0,0,19018065,0,3617 109,3,2024-09-07 09:06:31:154,1,329,2,0,379,4029,329,0 110,0,2024-09-07 09:06:31:843,78367,0.4,76260,0.6,159565,0.3,209370,1.75 110,1,2024-09-07 09:06:31:664,579335,579335,0,0,270382662134,2808373145,575337,3024,974,369,392045,0 110,2,2024-09-07 09:06:31:316,417763,417763,0,0,18777301,0,4067 110,3,2024-09-07 09:06:30:710,1,329,1,0,722,5063,329,0 111,0,2024-09-07 09:06:31:416,84638,0.4,83983,0.6,168159,0.4,224925,1.75 111,1,2024-09-07 09:06:31:000,579598,579598,0,0,271570309314,2820280731,576218,3028,352,382,391690,0 111,2,2024-09-07 09:06:31:121,411330,411330,0,0,18080484,0,4823 111,3,2024-09-07 09:06:30:916,1,329,0,0,379,4103,329,0 112,0,2024-09-07 09:06:30:930,85592,0.3,84914,0.4,170317,0.2,226572,1.50 112,1,2024-09-07 09:06:30:854,579669,579669,0,0,270555202201,2808099941,576209,2876,584,380,391624,0 112,2,2024-09-07 09:06:31:146,414806,414805,1,0,16455591,0,5036 112,3,2024-09-07 09:06:30:601,1,329,2,0,282,3421,329,0 113,0,2024-09-07 09:06:30:904,82789,0.3,82868,0.5,166244,0.2,221305,1.50 113,1,2024-09-07 09:06:31:696,581671,581671,0,0,271424918164,2801935992,578391,2696,584,366,391661,0 113,2,2024-09-07 09:06:31:312,421114,421114,0,0,15191231,0,3813 113,3,2024-09-07 09:06:30:689,1,329,1,0,340,4042,329,0 114,0,2024-09-07 09:06:30:876,78186,0.3,78723,0.5,156401,0.2,209184,1.75 114,1,2024-09-07 09:06:30:732,579299,579299,0,0,270590713002,2814010248,574176,3529,1594,381,391556,0 114,2,2024-09-07 09:06:30:875,418759,418758,1,0,16787418,0,5069 114,3,2024-09-07 09:06:31:281,1,329,0,0,395,2956,329,0 115,0,2024-09-07 09:06:30:577,83250,0.3,83751,0.4,167388,0.2,222798,1.50 115,1,2024-09-07 09:06:30:575,578946,578946,0,0,270895386335,2815111941,574083,4023,840,382,391656,0 115,2,2024-09-07 09:06:31:131,415717,415717,0,0,15430826,0,3453 115,3,2024-09-07 09:06:31:007,1,329,1,0,159,1972,329,0 116,0,2024-09-07 09:06:31:713,83369,0.9,83214,1.0,166801,1.1,223527,2.25 116,1,2024-09-07 09:06:30:817,575897,575897,0,0,269376943788,2844287473,568300,5330,2267,380,391782,0 116,2,2024-09-07 09:06:31:758,413155,413155,0,0,20817650,0,3529 116,3,2024-09-07 09:06:30:920,1,329,2,0,415,4209,329,0 117,0,2024-09-07 09:06:30:963,84536,0.8,84041,0.9,168325,0.8,225105,2.00 117,1,2024-09-07 09:06:31:584,577345,577345,0,0,269863915981,2826709494,571072,5605,668,370,392033,0 117,2,2024-09-07 09:06:31:128,419538,419538,0,0,17263817,0,3700 117,3,2024-09-07 09:06:31:069,1,329,2,0,490,4918,329,0 118,0,2024-09-07 09:06:31:796,76114,0.5,78183,0.7,159478,0.5,208337,2.00 118,1,2024-09-07 09:06:30:592,577086,577086,0,0,269437646892,2834881812,568305,6883,1898,366,391907,0 118,2,2024-09-07 09:06:31:594,417187,417187,0,0,18909873,0,2781 118,3,2024-09-07 09:06:31:770,1,329,1,0,235,3536,329,0 119,0,2024-09-07 09:06:31:339,81786,0.7,82224,0.8,164486,0.6,219124,2.00 119,1,2024-09-07 09:06:30:550,578411,578411,0,0,270201976691,2827677811,571848,5727,836,367,391780,0 119,2,2024-09-07 09:06:31:265,416918,416918,0,0,17946259,0,4174 119,3,2024-09-07 09:06:31:328,1,329,6,0,563,5078,329,0 120,0,2024-09-07 09:06:31:557,83137,0.7,82857,0.9,165905,0.7,222032,2.25 120,1,2024-09-07 09:06:30:864,577578,577578,0,0,268874391245,2824573408,571173,5849,556,368,391961,0 120,2,2024-09-07 09:06:30:803,412862,412861,1,0,20924655,0,5281 120,3,2024-09-07 09:06:31:290,1,329,5,0,241,4191,329,0 121,0,2024-09-07 09:06:31:709,84781,1.0,84931,1.0,170394,1.3,226515,2.25 121,1,2024-09-07 09:06:31:660,578224,578224,0,0,270042458527,2827804480,572320,5467,437,367,391840,0 121,2,2024-09-07 09:06:31:133,413510,413510,0,0,20209902,0,4127 121,3,2024-09-07 09:06:30:737,1,329,1,0,269,3863,329,0 122,0,2024-09-07 09:06:31:794,81298,0.7,79222,0.9,166054,0.8,217328,2.00 122,1,2024-09-07 09:06:30:859,576739,576739,0,0,269423189430,2830781220,568284,7194,1261,366,392130,0 122,2,2024-09-07 09:06:31:320,418908,418835,73,0,22742865,0,5989 122,3,2024-09-07 09:06:30:594,1,329,1,0,411,5949,329,0 123,0,2024-09-07 09:06:30:955,79298,0.7,77578,0.8,161736,0.8,212399,2.00 123,1,2024-09-07 09:06:30:566,576578,576578,0,0,269446185942,2844878251,565159,9293,2126,369,392039,0 123,2,2024-09-07 09:06:31:029,415722,415721,1,0,19697105,0,5215 123,3,2024-09-07 09:06:31:153,1,329,6,0,168,3852,329,0 124,0,2024-09-07 09:06:30:999,86522,0.4,86572,0.5,163169,0.3,223781,1.75 124,1,2024-09-07 09:06:31:033,579148,579148,0,0,270305260095,2815442672,574433,3806,909,367,392178,0 124,2,2024-09-07 09:06:31:014,413492,413439,53,0,17631159,0,6487 124,3,2024-09-07 09:06:30:765,1,329,41,0,490,3456,329,0 125,0,2024-09-07 09:06:31:437,85121,0.4,84568,0.6,170303,0.4,226715,1.75 125,1,2024-09-07 09:06:30:866,577991,577991,0,0,270243769075,2824682159,573112,4235,644,383,391702,0 125,2,2024-09-07 09:06:31:139,414424,414424,0,0,18277850,0,4534 125,3,2024-09-07 09:06:31:161,1,329,5,0,709,4583,329,0 126,0,2024-09-07 09:06:31:420,82922,0.4,85184,0.6,163103,0.4,221008,1.75 126,1,2024-09-07 09:06:30:563,579783,579783,0,0,270491317066,2805825724,576054,3446,283,365,391987,0 126,2,2024-09-07 09:06:30:610,420658,420658,0,0,18397911,0,4539 126,3,2024-09-07 09:06:31:149,1,329,13,0,207,4181,329,0 127,0,2024-09-07 09:06:31:619,77682,0.3,78245,0.5,155739,0.3,207347,1.75 127,1,2024-09-07 09:06:30:571,579037,579037,0,0,270092593705,2808683641,573642,4535,860,364,392187,0 127,2,2024-09-07 09:06:30:641,417762,417762,0,0,16935375,0,3897 127,3,2024-09-07 09:06:31:275,1,329,1,0,968,3992,329,0 128,0,2024-09-07 09:06:31:529,83628,0.3,83872,0.5,167629,0.2,222998,1.50 128,1,2024-09-07 09:06:31:757,578943,578943,0,0,270279938883,2808963420,574846,3727,370,367,391798,0 128,2,2024-09-07 09:06:31:382,413970,413970,0,0,15810955,0,2915 128,3,2024-09-07 09:06:30:806,1,329,9,0,1082,5893,329,0 129,0,2024-09-07 09:06:31:014,85045,0.3,84767,0.5,169740,0.3,226019,1.75 129,1,2024-09-07 09:06:30:590,576176,576176,0,0,269891141090,2828556765,570533,4387,1256,379,391835,0 129,2,2024-09-07 09:06:30:693,415116,415116,0,0,16686526,0,4031 129,3,2024-09-07 09:06:30:697,1,329,5,0,469,4423,329,0 130,0,2024-09-07 09:06:31:741,84443,0.5,83956,0.6,168825,0.5,224297,1.75 130,1,2024-09-07 09:06:30:587,579265,579265,0,0,270854475982,2818029318,575853,3133,279,381,391825,0 130,2,2024-09-07 09:06:31:134,419987,419987,0,0,16623412,0,4067 130,3,2024-09-07 09:06:31:299,1,329,1,0,450,4140,329,0 131,0,2024-09-07 09:06:31:937,77757,0.3,78303,0.5,157299,0.3,208431,1.75 131,1,2024-09-07 09:06:31:823,579226,579226,0,0,270458918063,2824380978,574755,3726,745,381,391865,0 131,2,2024-09-07 09:06:30:570,419880,419880,0,0,15371608,0,2415 131,3,2024-09-07 09:06:31:693,1,329,4,0,392,3483,329,0 132,0,2024-09-07 09:06:31:430,83024,0.4,83751,0.6,167151,0.4,222398,1.75 132,1,2024-09-07 09:06:30:589,576233,576233,0,0,268712023927,2832450783,567598,7170,1465,381,392097,0 132,2,2024-09-07 09:06:30:718,415277,415277,0,0,20248526,0,4606 132,3,2024-09-07 09:06:31:697,1,329,1,0,804,6142,329,0 133,0,2024-09-07 09:06:31:566,81762,0.5,83516,0.6,171510,0.5,222770,2.00 133,1,2024-09-07 09:06:30:633,576390,576390,0,0,269046778321,2838469208,568223,7084,1083,383,391914,0 133,2,2024-09-07 09:06:31:099,412717,412717,0,0,21446667,0,4315 133,3,2024-09-07 09:06:31:304,1,329,7,0,479,3568,329,0 134,0,2024-09-07 09:06:30:966,85326,0.5,85254,0.7,170463,0.5,227178,2.00 134,1,2024-09-07 09:06:30:592,576834,576834,0,0,269045246973,2827880199,568581,6397,1856,366,391718,0 134,2,2024-09-07 09:06:31:771,414899,414899,0,0,17941186,0,3847 134,3,2024-09-07 09:06:30:786,1,329,5,0,739,4384,329,0 135,0,2024-09-07 09:06:31:110,76918,0.7,76958,0.8,163195,0.7,210320,2.00 135,1,2024-09-07 09:06:31:585,577265,577265,0,0,270048713793,2844626478,568915,7114,1236,380,391805,0 135,2,2024-09-07 09:06:30:691,419374,419374,0,0,19484523,0,3981 135,3,2024-09-07 09:06:31:007,1,329,1,0,299,2601,329,0 136,0,2024-09-07 09:06:31:635,81004,0.6,81106,0.7,161400,0.6,215889,2.25 136,1,2024-09-07 09:06:31:446,577090,577090,0,0,269501860351,2832531574,570078,6317,695,382,391685,0 136,2,2024-09-07 09:06:31:142,417502,417502,0,0,19327484,0,3506 136,3,2024-09-07 09:06:31:116,1,329,1,0,637,3847,329,0 137,0,2024-09-07 09:06:30:969,86464,0.7,84211,0.8,165193,0.8,224230,2.00 137,1,2024-09-07 09:06:30:587,576495,576495,0,0,269284398955,2830539893,566918,7696,1881,366,391708,0 137,2,2024-09-07 09:06:31:718,412062,412062,0,0,21545792,0,3185 137,3,2024-09-07 09:06:30:781,1,329,4,0,382,4016,329,0 138,0,2024-09-07 09:06:31:758,84368,1.3,84430,1.1,169573,1.8,225373,2.25 138,1,2024-09-07 09:06:31:708,577321,577321,0,0,270312822620,2841532694,568283,7443,1595,368,391954,0 138,2,2024-09-07 09:06:30:587,413768,413768,0,0,19306848,0,4988 138,3,2024-09-07 09:06:30:610,1,329,1,0,1160,4768,329,0 139,0,2024-09-07 09:06:31:359,81346,1.2,81637,1.1,163579,1.8,218055,2.25 139,1,2024-09-07 09:06:30:573,574978,574978,0,0,268114882224,2852516988,563621,8713,2644,381,391892,0 139,2,2024-09-07 09:06:30:712,417325,417325,0,0,21012256,0,3097 139,3,2024-09-07 09:06:31:672,1,329,2,0,257,3714,329,0 140,0,2024-09-07 09:06:31:596,78701,0.3,78255,0.5,157149,0.2,209705,1.75 140,1,2024-09-07 09:06:31:558,580957,580957,0,0,271280906197,2800271307,577755,2764,438,365,391606,0 140,2,2024-09-07 09:06:30:695,418274,418273,1,0,17486581,0,5036 140,3,2024-09-07 09:06:30:805,1,329,2,0,247,2723,329,0 141,0,2024-09-07 09:06:31:700,84432,0.4,86723,0.5,165644,0.3,224851,1.75 141,1,2024-09-07 09:06:30:860,579736,579736,0,0,270342059848,2811841899,575175,3699,862,379,391614,0 141,2,2024-09-07 09:06:31:690,412122,412122,0,0,16957774,0,3360 141,3,2024-09-07 09:06:31:051,1,329,1,0,391,3855,329,0 142,0,2024-09-07 09:06:31:317,85679,0.4,84915,0.5,169592,0.3,226881,1.75 142,1,2024-09-07 09:06:30:588,579255,579255,0,0,269662865766,2814791183,575294,3610,351,382,392102,0 142,2,2024-09-07 09:06:31:301,413764,413732,32,0,18432528,0,6028 142,3,2024-09-07 09:06:31:753,1,329,5,0,484,4121,329,0 143,0,2024-09-07 09:06:31:371,83148,0.4,82938,0.6,166363,0.4,221402,1.75 143,1,2024-09-07 09:06:30:573,579327,579327,0,0,270354973115,2807762326,575559,3619,149,367,391705,0 143,2,2024-09-07 09:06:30:779,419465,419465,0,0,17830122,0,3123 143,3,2024-09-07 09:06:31:188,1,329,7,0,303,3963,329,0 144,0,2024-09-07 09:06:31:525,75414,0.5,77481,0.8,157847,0.4,207442,2.00 144,1,2024-09-07 09:06:30:564,576813,576813,0,0,269329100464,2824920773,571965,3908,940,381,391649,0 144,2,2024-09-07 09:06:31:756,418793,418793,0,0,16072100,0,3473 144,3,2024-09-07 09:06:31:743,1,329,1,0,249,3416,329,0 145,0,2024-09-07 09:06:31:359,80569,0.6,80547,0.8,170925,0.6,220301,2.25 145,1,2024-09-07 09:06:30:560,576199,576199,0,0,269665793852,2837366324,568903,6156,1140,382,391759,0 145,2,2024-09-07 09:06:31:437,412917,412917,0,0,19400627,0,3903 145,3,2024-09-07 09:06:30:914,1,329,3,0,622,5085,329,0 146,0,2024-09-07 09:06:31:682,83730,0.6,83048,0.8,167507,0.6,222231,2.25 146,1,2024-09-07 09:06:31:596,577673,577673,0,0,269751101409,2839682293,567981,7682,2010,368,391770,0 146,2,2024-09-07 09:06:31:717,413133,413133,0,0,19274880,0,2730 146,3,2024-09-07 09:06:31:283,1,329,10,0,1520,6995,329,0 147,0,2024-09-07 09:06:31:708,84582,0.6,84215,0.8,167830,0.6,224710,2.25 147,1,2024-09-07 09:06:31:389,579277,579277,0,0,270623364204,2819250549,573620,4857,800,368,391791,0 147,2,2024-09-07 09:06:31:026,417078,417078,0,0,17211911,0,2789 147,3,2024-09-07 09:06:30:916,1,329,1,0,730,4922,329,0 0,0,2024-09-07 09:06:41:741,81238,0.7,81246,0.8,172497,0.8,222738,2.00 0,1,2024-09-07 09:06:40:801,580029,580029,0,0,270997109544,2835426131,575905,3880,244,370,391896,0 0,2,2024-09-07 09:06:41:077,415191,415191,0,0,16923485,0,4480 0,3,2024-09-07 09:06:40:977,1,330,1,0,431,4610,330,0 1,0,2024-09-07 09:06:41:784,85293,0.8,84713,0.9,170216,1.0,227165,2.00 1,1,2024-09-07 09:06:40:561,578849,578849,0,0,270497627224,2834797621,573255,4368,1226,370,391857,0 1,2,2024-09-07 09:06:40:659,414992,414992,0,0,16431167,0,3267 1,3,2024-09-07 09:06:41:304,1,330,4,0,262,3924,330,0 2,0,2024-09-07 09:06:41:568,81449,0.6,81779,0.7,162330,0.7,216886,2.00 2,1,2024-09-07 09:06:40:858,580947,580947,0,0,271654670075,2822137581,578052,2602,293,380,391745,0 2,2,2024-09-07 09:06:41:268,420782,420782,0,0,16417808,0,3594 2,3,2024-09-07 09:06:40:696,1,330,1,0,357,3381,330,0 3,0,2024-09-07 09:06:41:747,79853,0.4,79867,0.6,159753,0.3,213050,1.75 3,1,2024-09-07 09:06:41:618,579639,579639,0,0,270459799713,2818260805,574541,4475,623,380,391591,0 3,2,2024-09-07 09:06:41:154,419194,419171,23,0,16856483,0,5851 3,3,2024-09-07 09:06:41:752,1,330,1,0,207,2208,330,0 4,0,2024-09-07 09:06:41:770,81527,0.4,84015,0.5,170632,0.4,223201,1.75 4,1,2024-09-07 09:06:40:592,578389,578389,0,0,270477268070,2849899773,571075,5958,1356,371,391992,0 4,2,2024-09-07 09:06:41:018,412011,412011,0,0,19473100,0,4528 4,3,2024-09-07 09:06:41:027,1,330,1,0,448,4738,330,0 5,0,2024-09-07 09:06:41:386,85070,0.5,85403,0.6,170313,0.5,226662,1.75 5,1,2024-09-07 09:06:40:755,578902,578902,0,0,270185691779,2843087561,571739,5807,1356,367,392005,0 5,2,2024-09-07 09:06:41:829,413870,413870,0,0,18605854,0,2432 5,3,2024-09-07 09:06:41:735,1,330,19,0,457,4917,330,0 6,0,2024-09-07 09:06:40:922,83519,0.5,83011,0.6,165938,0.4,221595,2.00 6,1,2024-09-07 09:06:40:746,578966,578966,0,0,271016091230,2833401237,572608,5282,1076,379,391694,0 6,2,2024-09-07 09:06:41:117,421003,421003,0,0,17890222,0,4816 6,3,2024-09-07 09:06:41:278,1,330,8,0,340,3702,330,0 7,0,2024-09-07 09:06:41:531,77813,0.5,78167,0.6,155605,0.4,207384,1.75 7,1,2024-09-07 09:06:40:851,578189,578189,0,0,270303121804,2840245913,570120,6893,1176,382,391747,0 7,2,2024-09-07 09:06:40:770,419519,419519,0,0,17972108,0,4791 7,3,2024-09-07 09:06:40:856,1,330,15,0,398,3841,330,0 8,0,2024-09-07 09:06:41:349,83952,0.4,83828,0.5,167805,0.3,224223,1.75 8,1,2024-09-07 09:06:41:032,577400,577400,0,0,269898086450,2845570703,567253,7870,2277,366,392144,0 8,2,2024-09-07 09:06:40:793,409824,409824,0,0,22114088,0,3220 8,3,2024-09-07 09:06:40:595,1,330,18,0,538,5760,330,0 9,0,2024-09-07 09:06:41:110,84994,0.4,82568,0.5,172887,0.4,226593,1.75 9,1,2024-09-07 09:06:40:550,578362,578362,0,0,270554270858,2855275930,569317,6921,2124,369,392001,0 9,2,2024-09-07 09:06:41:083,414718,414718,0,0,19505176,0,3360 9,3,2024-09-07 09:06:41:758,1,330,1,0,496,4973,330,0 10,0,2024-09-07 09:06:41:605,84216,0.3,83540,0.5,167817,0.2,223399,1.75 10,1,2024-09-07 09:06:40:591,579263,579263,0,0,270925981628,2840455531,571380,6774,1109,381,391741,0 10,2,2024-09-07 09:06:40:762,419735,419735,0,0,21226974,0,4264 10,3,2024-09-07 09:06:40:877,1,330,10,0,296,2997,330,0 11,0,2024-09-07 09:06:41:008,77816,0.4,75491,0.6,157962,0.4,209046,1.75 11,1,2024-09-07 09:06:40:574,579088,579088,0,0,270760061567,2847372250,569075,7565,2448,383,391756,0 11,2,2024-09-07 09:06:41:137,418666,418666,0,0,19203050,0,4130 11,3,2024-09-07 09:06:41:300,1,330,2,0,843,5221,330,0 12,0,2024-09-07 09:06:40:963,84108,0.4,84018,0.5,168045,0.3,223666,1.75 12,1,2024-09-07 09:06:40:949,579533,579533,0,0,270192348969,2820672535,574679,4364,490,370,391870,0 12,2,2024-09-07 09:06:41:561,416442,416442,0,0,18865090,0,3469 12,3,2024-09-07 09:06:41:060,1,330,1,0,386,5447,330,0 13,0,2024-09-07 09:06:41:340,84538,0.5,84182,0.6,168156,0.4,224126,1.75 13,1,2024-09-07 09:06:41:526,578782,578782,0,0,270287852978,2845317506,572876,4496,1410,382,391740,0 13,2,2024-09-07 09:06:40:595,416133,416133,0,0,17233924,0,3287 13,3,2024-09-07 09:06:41:767,1,330,3,0,522,5425,330,0 14,0,2024-09-07 09:06:40:569,85527,0.4,86170,0.6,170469,0.3,227079,1.75 14,1,2024-09-07 09:06:41:571,582920,582920,0,0,271711259173,2816923717,578235,4326,359,364,391571,0 14,2,2024-09-07 09:06:40:763,416659,416629,30,0,19014874,0,6104 14,3,2024-09-07 09:06:41:117,1,330,1,0,1168,4078,330,0 15,0,2024-09-07 09:06:41:560,79548,0.4,79606,0.6,159213,0.4,211645,2.00 15,1,2024-09-07 09:06:41:615,580237,580237,0,0,271063679798,2824842958,575900,3516,821,381,391619,0 15,2,2024-09-07 09:06:40:999,421268,421268,0,0,15616218,0,3622 15,3,2024-09-07 09:06:41:408,1,330,2,0,1126,6210,330,0 16,0,2024-09-07 09:06:40:954,81100,0.6,81399,0.8,162384,0.6,216855,2.25 16,1,2024-09-07 09:06:40:565,580373,580373,0,0,270928757986,2829746264,576088,3925,360,370,391756,0 16,2,2024-09-07 09:06:41:438,418040,418040,0,0,17903873,0,4719 16,3,2024-09-07 09:06:41:142,1,330,3,0,317,4632,330,0 17,0,2024-09-07 09:06:41:771,86466,0.7,84461,0.8,165041,0.8,223971,2.00 17,1,2024-09-07 09:06:40:585,578949,578949,0,0,269752380743,2833339077,572717,4975,1257,368,391899,0 17,2,2024-09-07 09:06:41:688,416485,416485,0,0,17499701,0,2857 17,3,2024-09-07 09:06:40:591,1,330,33,0,298,5458,330,0 18,0,2024-09-07 09:06:40:940,84071,0.6,84408,0.8,168396,0.6,224660,2.25 18,1,2024-09-07 09:06:41:656,580864,580864,0,0,271213660465,2812582676,577528,3025,311,367,391649,0 18,2,2024-09-07 09:06:41:758,417078,417078,0,0,16256104,0,3541 18,3,2024-09-07 09:06:40:903,1,330,21,0,163,2923,330,0 19,0,2024-09-07 09:06:41:543,82100,0.6,82713,0.8,163693,0.5,218160,2.25 19,1,2024-09-07 09:06:40:566,580923,580923,0,0,271904342204,2823070665,575799,4285,839,367,391777,0 19,2,2024-09-07 09:06:41:752,422189,422189,0,0,15062120,0,3988 19,3,2024-09-07 09:06:41:143,1,330,2,0,524,2557,330,0 20,0,2024-09-07 09:06:41:349,78617,0.5,78522,0.7,157119,0.5,210223,2.00 20,1,2024-09-07 09:06:40:591,579023,579023,0,0,271446441745,2836627737,574692,3926,405,369,391886,0 20,2,2024-09-07 09:06:40:931,419396,419396,0,0,18001763,0,3721 20,3,2024-09-07 09:06:40:589,1,330,16,0,414,5307,330,0 21,0,2024-09-07 09:06:41:173,84530,0.5,84566,0.7,169000,0.5,224125,2.00 21,1,2024-09-07 09:06:41:564,578230,578230,0,0,269615387612,2842485103,570340,6012,1878,368,392016,0 21,2,2024-09-07 09:06:41:067,411532,411532,0,0,21002471,0,3747 21,3,2024-09-07 09:06:41:412,1,330,6,0,713,3976,330,0 22,0,2024-09-07 09:06:41:724,84734,0.6,85187,0.7,170414,0.5,225821,2.25 22,1,2024-09-07 09:06:41:024,579202,579202,0,0,270409331861,2843790654,570714,6669,1819,382,391667,0 22,2,2024-09-07 09:06:40:759,414464,414464,0,0,17153119,0,3134 22,3,2024-09-07 09:06:41:074,1,330,1,0,228,2833,330,0 23,0,2024-09-07 09:06:41:377,82720,0.5,82630,0.7,165505,0.5,220549,2.25 23,1,2024-09-07 09:06:41:003,578912,578912,0,0,271197444022,2850888610,568420,7334,3158,365,391690,0 23,2,2024-09-07 09:06:41:092,421873,421873,0,0,16923246,0,3010 23,3,2024-09-07 09:06:41:754,1,330,1,0,720,4712,330,0 24,0,2024-09-07 09:06:40:821,78615,0.4,78541,0.6,157648,0.3,209737,1.75 24,1,2024-09-07 09:06:40:590,578300,578300,0,0,269919195889,2834731476,571408,5364,1528,368,392269,0 24,2,2024-09-07 09:06:41:068,417992,417992,0,0,20954851,0,3607 24,3,2024-09-07 09:06:41:695,1,330,7,0,468,4494,330,0 25,0,2024-09-07 09:06:41:354,85918,0.4,83708,0.6,164095,0.4,223861,2.00 25,1,2024-09-07 09:06:40:568,579410,579410,0,0,270810034512,2843985596,571728,6313,1369,371,391928,0 25,2,2024-09-07 09:06:41:622,414017,414017,0,0,20909940,0,3978 25,3,2024-09-07 09:06:41:000,1,330,1,0,255,3302,330,0 26,0,2024-09-07 09:06:41:724,83726,0.4,81756,0.6,171670,0.4,223811,2.00 26,1,2024-09-07 09:06:41:542,579734,579734,0,0,270307755227,2835593812,570886,7312,1536,380,391748,0 26,2,2024-09-07 09:06:40:861,415856,415856,0,0,20602960,0,2809 26,3,2024-09-07 09:06:41:715,1,330,12,0,796,4380,330,0 27,0,2024-09-07 09:06:41:745,84787,0.5,84886,0.6,168288,0.4,224909,2.00 27,1,2024-09-07 09:06:41:678,581565,581565,0,0,271810907731,2831718353,576298,4502,765,381,391626,0 27,2,2024-09-07 09:06:40:869,415964,415899,65,0,20448652,0,5699 27,3,2024-09-07 09:06:41:015,1,330,1,0,564,3633,330,0 28,0,2024-09-07 09:06:41:418,78856,0.4,78571,0.6,157479,0.3,210371,2.00 28,1,2024-09-07 09:06:40:831,580466,580466,0,0,271832371789,2834435625,576088,3675,703,383,391698,0 28,2,2024-09-07 09:06:41:764,418869,418869,0,0,18148173,0,2915 28,3,2024-09-07 09:06:41:776,1,330,1,0,502,3517,330,0 29,0,2024-09-07 09:06:41:369,84893,0.4,82734,0.6,162210,0.3,221842,1.75 29,1,2024-09-07 09:06:41:562,582138,582138,0,0,271939553852,2818481697,577800,3654,684,369,391753,0 29,2,2024-09-07 09:06:40:870,416388,416388,0,0,17479775,0,4986 29,3,2024-09-07 09:06:40:965,1,330,2,0,459,4021,330,0 30,0,2024-09-07 09:06:41:471,83395,0.6,80966,0.7,169503,0.5,221752,2.00 30,1,2024-09-07 09:06:40:579,581302,581302,0,0,271272915541,2820117599,576483,4109,710,381,391672,0 30,2,2024-09-07 09:06:41:277,414864,414864,0,0,16393850,0,3161 30,3,2024-09-07 09:06:40:585,1,330,1,0,519,3419,330,0 31,0,2024-09-07 09:06:41:767,84794,0.4,85129,0.6,170386,0.4,226821,2.00 31,1,2024-09-07 09:06:40:566,583720,583720,0,0,272209198650,2793846860,581178,1984,558,356,391712,0 31,2,2024-09-07 09:06:41:280,415725,415725,0,0,18210537,0,3525 31,3,2024-09-07 09:06:41:708,1,330,1,0,220,2863,330,0 32,0,2024-09-07 09:06:41:470,81460,0.3,81824,0.5,163564,0.2,217265,1.75 32,1,2024-09-07 09:06:40:807,581040,581040,0,0,271989489987,2825478193,577899,2695,446,381,391646,0 32,2,2024-09-07 09:06:40:938,421101,421101,0,0,15378519,0,3155 32,3,2024-09-07 09:06:41:015,1,330,1,0,227,2421,330,0 33,0,2024-09-07 09:06:41:497,80247,0.3,79784,0.4,160112,0.2,213662,1.50 33,1,2024-09-07 09:06:40:577,582383,582383,0,0,272880021363,2826701987,577972,3580,831,369,391730,0 33,2,2024-09-07 09:06:40:759,420033,419998,35,0,17480358,0,7012 33,3,2024-09-07 09:06:40:895,1,330,1,0,329,3541,330,0 34,0,2024-09-07 09:06:40:931,84271,0.3,86678,0.5,165807,0.2,222514,1.75 34,1,2024-09-07 09:06:41:046,583104,583104,0,0,272435171943,2801111350,581687,1409,8,367,391562,0 34,2,2024-09-07 09:06:40:767,414033,414033,0,0,16619759,0,3577 34,3,2024-09-07 09:06:41:690,1,330,3,0,299,2436,330,0 35,0,2024-09-07 09:06:40:860,84375,0.4,84757,0.5,170487,0.3,227161,1.75 35,1,2024-09-07 09:06:41:067,581335,581335,0,0,271248887781,2812127491,577730,2824,781,382,391589,0 35,2,2024-09-07 09:06:41:589,415475,415475,0,0,16678596,0,2653 35,3,2024-09-07 09:06:40:908,1,330,0,0,418,4239,330,0 36,0,2024-09-07 09:06:41:518,83448,0.5,83494,0.6,166821,0.4,221244,2.00 36,1,2024-09-07 09:06:40:590,580799,580799,0,0,271062126284,2833035428,573569,5572,1658,366,391759,0 36,2,2024-09-07 09:06:41:751,421595,421595,0,0,19055973,0,3875 36,3,2024-09-07 09:06:40:868,1,330,4,0,416,5267,330,0 37,0,2024-09-07 09:06:41:383,77661,0.5,77690,0.7,155514,0.4,207780,2.00 37,1,2024-09-07 09:06:40:580,579571,579564,0,7,270529218413,2828434141,572792,4686,2086,365,391570,0 37,2,2024-09-07 09:06:41:144,417947,417932,15,0,18638988,0,5815 37,3,2024-09-07 09:06:41:766,1,330,1,0,888,5496,330,0 38,0,2024-09-07 09:06:41:437,83323,0.4,80921,0.6,169331,0.4,222063,2.00 38,1,2024-09-07 09:06:41:612,581304,581304,0,0,271680165666,2829461011,575268,5278,758,368,391821,0 38,2,2024-09-07 09:06:40:760,413076,413029,47,0,19097157,0,6710 38,3,2024-09-07 09:06:40:997,1,330,45,0,689,4659,330,0 39,0,2024-09-07 09:06:41:759,86446,0.6,84652,0.7,164858,0.6,225376,2.00 39,1,2024-09-07 09:06:40:722,579577,579577,0,0,270842321055,2834814245,571216,6901,1460,365,391594,0 39,2,2024-09-07 09:06:41:427,415629,415629,0,0,17175888,0,2689 39,3,2024-09-07 09:06:40:713,1,330,5,0,324,4259,330,0 40,0,2024-09-07 09:06:41:493,83183,0.8,83859,1.0,167561,0.8,223107,2.75 40,1,2024-09-07 09:06:40:577,580418,580418,0,0,270310975111,2832315894,571865,7043,1510,368,391668,0 40,2,2024-09-07 09:06:41:304,419005,419004,1,0,20548529,0,5137 40,3,2024-09-07 09:06:41:152,1,330,10,0,1028,4991,330,0 41,0,2024-09-07 09:06:41:062,77603,1.4,79539,1.3,151825,2.2,206941,3.00 41,1,2024-09-07 09:06:40:771,579386,579386,0,0,270686656790,2833237722,572336,6347,703,369,391742,0 41,2,2024-09-07 09:06:40:769,417493,417493,0,0,19702006,0,3356 41,3,2024-09-07 09:06:41:678,1,330,0,0,366,3370,330,0 42,0,2024-09-07 09:06:41:478,82676,0.8,82959,1.0,165910,0.9,219583,2.50 42,1,2024-09-07 09:06:41:449,578741,578741,0,0,270123470995,2841039430,569214,7769,1758,380,391675,0 42,2,2024-09-07 09:06:41:133,415893,415893,0,0,19777633,0,3790 42,3,2024-09-07 09:06:41:015,1,330,1,0,446,3060,330,0 43,0,2024-09-07 09:06:40:917,82780,1.0,80742,1.1,169134,1.2,221634,2.50 43,1,2024-09-07 09:06:40:579,579804,579804,0,0,271178225822,2835541686,571572,6794,1438,366,391696,0 43,2,2024-09-07 09:06:41:736,415331,415331,0,0,19512566,0,3812 43,3,2024-09-07 09:06:41:749,1,330,1,0,467,4028,330,0 44,0,2024-09-07 09:06:40:877,85249,0.4,85626,0.6,170878,0.4,227212,1.75 44,1,2024-09-07 09:06:40:574,581524,581524,0,0,270486634408,2800018061,576464,4013,1047,356,391809,0 44,2,2024-09-07 09:06:41:285,416266,416266,0,0,16462736,0,4344 44,3,2024-09-07 09:06:41:101,1,330,3,0,817,4449,330,0 45,0,2024-09-07 09:06:41:765,78607,0.5,76730,0.7,160840,0.5,211472,2.00 45,1,2024-09-07 09:06:41:004,580496,580496,0,0,271702867060,2825638006,576062,3958,476,382,391917,0 45,2,2024-09-07 09:06:41:267,419861,419861,0,0,17050052,0,3596 45,3,2024-09-07 09:06:40:934,1,330,1,0,271,3207,330,0 46,0,2024-09-07 09:06:40:954,80511,0.6,80482,0.8,161092,0.6,214808,2.00 46,1,2024-09-07 09:06:40:575,582458,582458,0,0,272132262397,2812397035,578750,3282,426,366,391572,0 46,2,2024-09-07 09:06:40:593,419013,419013,0,0,16071710,0,2920 46,3,2024-09-07 09:06:41:137,1,330,1,0,908,4979,330,0 47,0,2024-09-07 09:06:41:102,84277,0.5,84448,0.7,169179,0.5,223468,2.00 47,1,2024-09-07 09:06:40:569,582156,582156,0,0,271894534658,2815050531,577669,3672,815,366,391641,0 47,2,2024-09-07 09:06:40:915,415870,415870,0,0,16544406,0,4477 47,3,2024-09-07 09:06:41:116,1,330,1,0,529,3706,330,0 48,0,2024-09-07 09:06:41:490,85617,0.3,85217,0.4,169931,0.2,226809,1.50 48,1,2024-09-07 09:06:41:022,581026,581026,0,0,271578621947,2821422414,577470,3253,303,384,391710,0 48,2,2024-09-07 09:06:40:705,415201,415201,0,0,15124779,0,3031 48,3,2024-09-07 09:06:40:752,1,330,1,0,339,2849,330,0 49,0,2024-09-07 09:06:41:723,84694,0.3,83223,0.5,161200,0.3,220724,1.75 49,1,2024-09-07 09:06:41:022,580699,580699,0,0,271203159575,2824994959,576382,3132,1185,382,391809,0 49,2,2024-09-07 09:06:41:797,421440,421440,0,0,16333347,0,4426 49,3,2024-09-07 09:06:41:415,1,330,0,0,408,3479,330,0 50,0,2024-09-07 09:06:41:512,78921,0.3,77909,0.5,156880,0.2,209927,1.75 50,1,2024-09-07 09:06:41:010,582739,582739,0,0,271983153442,2813327589,578921,3444,374,368,391565,0 50,2,2024-09-07 09:06:41:066,419585,419585,0,0,15286959,0,2263 50,3,2024-09-07 09:06:41:292,1,330,1,0,335,3277,330,0 51,0,2024-09-07 09:06:41:686,86657,0.3,84627,0.5,165261,0.3,225026,1.75 51,1,2024-09-07 09:06:41:692,583100,583100,0,0,272571778741,2813522269,579844,2281,975,365,391706,0 51,2,2024-09-07 09:06:41:324,413826,413826,0,0,14696267,0,3337 51,3,2024-09-07 09:06:41:027,1,330,8,0,678,2559,330,0 52,0,2024-09-07 09:06:41:424,85457,0.5,85336,0.7,170468,0.4,226981,2.00 52,1,2024-09-07 09:06:40:579,579869,579869,0,0,270577462079,2836504053,571232,7325,1312,368,391722,0 52,2,2024-09-07 09:06:41:755,412380,412342,38,0,19430916,0,6742 52,3,2024-09-07 09:06:40:676,1,330,4,0,1782,5170,330,0 53,0,2024-09-07 09:06:41:742,82589,0.6,80229,0.8,167801,0.7,220147,2.25 53,1,2024-09-07 09:06:40:771,578678,578678,0,0,270901213643,2845198767,569240,6857,2581,367,391702,0 53,2,2024-09-07 09:06:41:315,421808,421808,0,0,16690419,0,2727 53,3,2024-09-07 09:06:40:697,1,330,7,0,308,3217,330,0 54,0,2024-09-07 09:06:41:618,77083,0.6,77693,0.8,154276,0.4,207061,2.25 54,1,2024-09-07 09:06:40:587,580273,580273,0,0,271841593193,2826196565,574678,4993,602,367,391659,0 54,2,2024-09-07 09:06:40:869,419615,419609,6,0,19176449,0,5382 54,3,2024-09-07 09:06:40:767,1,330,1,0,676,5137,330,0 55,0,2024-09-07 09:06:41:769,80818,0.6,83588,0.7,168573,0.5,219831,2.50 55,1,2024-09-07 09:06:40:766,580434,580434,0,0,271736058464,2829445694,573812,5713,909,365,391731,0 55,2,2024-09-07 09:06:40:729,413895,413895,0,0,18582687,0,3563 55,3,2024-09-07 09:06:40:675,1,330,96,0,304,3748,330,0 56,0,2024-09-07 09:06:41:564,85673,1.3,80763,1.2,166590,1.8,223370,2.75 56,1,2024-09-07 09:06:40:601,577520,577520,0,0,270630853861,2859069068,568790,7100,1630,381,391867,0 56,2,2024-09-07 09:06:41:310,415347,415347,0,0,19456924,0,3567 56,3,2024-09-07 09:06:41:062,1,330,6,0,705,4422,330,0 57,0,2024-09-07 09:06:40:943,83459,1.4,83314,1.2,166986,2.0,223385,3.00 57,1,2024-09-07 09:06:40:992,579082,579082,0,0,270087830024,2832654953,572263,6099,720,366,392032,0 57,2,2024-09-07 09:06:41:327,418745,418745,0,0,21212178,0,3317 57,3,2024-09-07 09:06:41:737,1,330,14,0,359,3942,330,0 58,0,2024-09-07 09:06:40:582,77354,0.9,75270,1.0,157707,1.0,207063,2.50 58,1,2024-09-07 09:06:40:581,580207,580204,0,3,270633215221,2831402218,572087,7035,1082,367,391603,3 58,2,2024-09-07 09:06:41:071,418309,418309,0,0,19457701,0,2549 58,3,2024-09-07 09:06:41:074,1,330,3,0,1043,3406,330,0 59,0,2024-09-07 09:06:41:779,82408,0.8,81992,1.0,163766,0.8,218165,2.75 59,1,2024-09-07 09:06:40:808,579913,579913,0,0,270793780240,2836648516,572052,6341,1520,369,391586,0 59,2,2024-09-07 09:06:40:594,418336,418336,0,0,19141835,0,2867 59,3,2024-09-07 09:06:41:737,1,330,0,0,1015,4275,330,0 60,0,2024-09-07 09:06:41:720,83499,0.6,83597,0.7,167822,0.6,222404,1.75 60,1,2024-09-07 09:06:40:793,581595,581595,0,0,271668817224,2822575925,577486,3464,645,370,392031,0 60,2,2024-09-07 09:06:41:149,414808,414808,0,0,18731425,0,3811 60,3,2024-09-07 09:06:41:272,1,330,10,0,409,3936,330,0 61,0,2024-09-07 09:06:41:530,85047,0.6,85601,0.8,170062,0.6,226593,2.00 61,1,2024-09-07 09:06:40:781,579492,579492,0,0,270049055171,2834242036,572332,5836,1324,382,392127,0 61,2,2024-09-07 09:06:41:120,415866,415799,67,0,19082118,0,6411 61,3,2024-09-07 09:06:41:691,1,330,2,0,479,5067,330,0 62,0,2024-09-07 09:06:41:722,81949,0.5,83778,0.7,159682,0.5,216908,2.00 62,1,2024-09-07 09:06:41:115,583300,583294,0,6,272859732584,2818105568,579716,3319,259,365,391715,6 62,2,2024-09-07 09:06:41:645,419258,419257,1,0,18652686,0,5555 62,3,2024-09-07 09:06:41:143,1,330,1,0,465,2570,330,0 63,0,2024-09-07 09:06:41:469,80239,0.4,80137,0.6,160544,0.4,213785,1.75 63,1,2024-09-07 09:06:40:814,581321,581315,0,6,272322050345,2828987906,577385,3542,388,381,391800,6 63,2,2024-09-07 09:06:40:762,419195,419195,0,0,16969353,0,4369 63,3,2024-09-07 09:06:41:736,1,330,1,0,667,3820,330,0 64,0,2024-09-07 09:06:41:524,83563,0.5,83492,0.7,167113,0.5,221609,2.00 64,1,2024-09-07 09:06:40:757,580963,580963,0,0,271731105898,2834161454,575318,3985,1660,370,391783,0 64,2,2024-09-07 09:06:41:154,417044,417025,19,0,16591477,0,6121 64,3,2024-09-07 09:06:41:146,1,330,2,0,265,3106,330,0 65,0,2024-09-07 09:06:41:682,84195,0.7,84423,0.8,168899,0.7,225068,2.25 65,1,2024-09-07 09:06:40:860,579922,579922,0,0,270693188183,2830270933,575839,3632,451,382,391901,0 65,2,2024-09-07 09:06:41:699,416331,416331,0,0,18294883,0,3367 65,3,2024-09-07 09:06:41:690,1,330,0,0,163,3012,330,0 66,0,2024-09-07 09:06:41:769,82808,0.5,82720,0.7,165703,0.4,220157,2.00 66,1,2024-09-07 09:06:41:296,580807,580807,0,0,271525269351,2824117628,577247,3238,322,380,391653,0 66,2,2024-09-07 09:06:41:137,423229,423229,0,0,16539199,0,4956 66,3,2024-09-07 09:06:41:079,1,330,0,0,291,3200,330,0 67,0,2024-09-07 09:06:41:438,78448,0.5,77870,0.7,156510,0.5,208384,2.00 67,1,2024-09-07 09:06:40:767,581048,581047,0,1,270950556836,2823010474,576882,3414,751,380,391787,1 67,2,2024-09-07 09:06:40:590,420303,420303,0,0,15918326,0,2889 67,3,2024-09-07 09:06:41:751,1,330,0,0,338,2854,330,0 68,0,2024-09-07 09:06:40:663,84154,0.6,83799,0.7,167102,0.5,223637,2.00 68,1,2024-09-07 09:06:40:593,579513,579513,0,0,270442719532,2833880064,574704,3606,1203,381,391953,0 68,2,2024-09-07 09:06:41:051,412072,412007,65,0,20833430,0,6698 68,3,2024-09-07 09:06:40:728,1,330,1,0,417,3631,330,0 69,0,2024-09-07 09:06:41:764,84385,0.9,84774,0.9,168923,1.1,224575,2.25 69,1,2024-09-07 09:06:41:017,577572,577572,0,0,270338132340,2854507942,569598,5864,2110,384,391994,0 69,2,2024-09-07 09:06:41:745,415118,415118,0,0,21021071,0,3722 69,3,2024-09-07 09:06:40:770,1,330,20,0,698,4781,330,0 70,0,2024-09-07 09:06:41:537,83195,0.8,83132,1.0,167363,0.6,221726,2.25 70,1,2024-09-07 09:06:40:824,581042,581042,0,0,272018374048,2825044498,576194,4312,536,366,391725,0 70,2,2024-09-07 09:06:41:328,418912,418912,0,0,19100550,0,4044 70,3,2024-09-07 09:06:40:745,1,330,58,0,854,3752,330,0 71,0,2024-09-07 09:06:41:365,77663,0.8,77301,1.0,155345,1.0,207707,2.50 71,1,2024-09-07 09:06:41:596,580128,580128,0,0,271386924160,2833062163,573520,5952,656,368,391738,0 71,2,2024-09-07 09:06:41:075,418955,418955,0,0,18727621,0,4042 71,3,2024-09-07 09:06:41:756,1,330,34,0,644,4577,330,0 72,0,2024-09-07 09:06:41:058,86193,0.6,84273,0.8,164411,0.6,223900,2.00 72,1,2024-09-07 09:06:41:021,579204,579204,0,0,270661390431,2837869702,570976,6531,1697,369,391819,0 72,2,2024-09-07 09:06:41:763,414882,414882,0,0,21521891,0,3983 72,3,2024-09-07 09:06:41:760,1,330,4,0,564,5277,330,0 73,0,2024-09-07 09:06:41:113,81871,0.5,83792,0.6,171614,0.4,222795,2.00 73,1,2024-09-07 09:06:40:766,580655,580655,0,0,271281476110,2821849105,576337,3988,330,367,391858,0 73,2,2024-09-07 09:06:41:742,415230,415230,0,0,20521425,0,3701 73,3,2024-09-07 09:06:40:969,1,330,1,0,274,4487,330,0 74,0,2024-09-07 09:06:41:334,85621,0.5,87821,0.7,167631,0.4,226883,2.25 74,1,2024-09-07 09:06:40:653,580050,580050,0,0,270746327019,2828565405,573972,4816,1262,381,391681,0 74,2,2024-09-07 09:06:41:003,416581,416581,0,0,19593595,0,4253 74,3,2024-09-07 09:06:41:448,1,330,1,0,522,4610,330,0 75,0,2024-09-07 09:06:41:769,79773,0.5,79447,0.7,159059,0.4,212483,2.25 75,1,2024-09-07 09:06:41:588,579242,579242,0,0,269790179739,2821001226,572891,5526,825,380,391739,0 75,2,2024-09-07 09:06:41:356,419011,419011,0,0,19663192,0,4766 75,3,2024-09-07 09:06:41:067,1,330,1,0,702,4744,330,0 76,0,2024-09-07 09:06:40:599,80740,0.6,80150,0.8,160813,0.6,215964,2.25 76,1,2024-09-07 09:06:40:810,580292,580292,0,0,270934521780,2827558558,576373,3330,589,382,391692,0 76,2,2024-09-07 09:06:41:060,419666,419665,1,0,19017754,0,5144 76,3,2024-09-07 09:06:41:142,1,330,7,0,175,3244,330,0 77,0,2024-09-07 09:06:41:732,83986,0.7,84174,0.8,168278,0.7,223467,2.00 77,1,2024-09-07 09:06:40:833,580204,580204,0,0,270708959577,2830934186,575019,4697,488,381,391869,0 77,2,2024-09-07 09:06:41:303,414499,414499,0,0,18443052,0,3890 77,3,2024-09-07 09:06:41:107,1,330,0,0,401,3789,330,0 78,0,2024-09-07 09:06:41:763,85349,0.5,84880,0.7,170548,0.4,226048,2.00 78,1,2024-09-07 09:06:40:612,580692,580692,0,0,270081396136,2814415680,574829,4718,1145,367,391670,0 78,2,2024-09-07 09:06:41:432,416265,416265,0,0,16061033,0,3855 78,3,2024-09-07 09:06:41:137,1,330,0,0,181,3234,330,0 79,0,2024-09-07 09:06:41:441,79875,0.4,81960,0.6,167581,0.3,217982,2.25 79,1,2024-09-07 09:06:40:584,582253,582253,0,0,271750980106,2815937679,577700,3920,633,369,391682,0 79,2,2024-09-07 09:06:41:068,422066,422066,0,0,17073289,0,4195 79,3,2024-09-07 09:06:40:750,1,330,1,0,418,4277,330,0 80,0,2024-09-07 09:06:41:079,78725,0.5,80840,0.7,155069,0.5,209734,2.00 80,1,2024-09-07 09:06:41:627,580532,580532,0,0,270793017669,2817825832,577181,3172,179,368,391791,0 80,2,2024-09-07 09:06:41:092,421060,421060,0,0,16238146,0,4433 80,3,2024-09-07 09:06:40:585,1,330,23,0,190,4015,330,0 81,0,2024-09-07 09:06:41:544,84371,0.6,86429,0.7,164909,0.6,223720,2.00 81,1,2024-09-07 09:06:41:652,579874,579874,0,0,269739907924,2820916780,575020,4355,499,382,391879,0 81,2,2024-09-07 09:06:41:129,413263,413200,63,0,18041320,0,5932 81,3,2024-09-07 09:06:41:119,1,330,4,0,374,3911,330,0 82,0,2024-09-07 09:06:41:552,84717,0.5,85296,0.7,170747,0.4,226537,2.00 82,1,2024-09-07 09:06:40:590,581736,581732,0,4,271895494101,2831181003,577837,3098,797,381,391768,4 82,2,2024-09-07 09:06:41:701,416914,416914,0,0,15500863,0,3986 82,3,2024-09-07 09:06:41:754,1,330,1,0,363,3418,330,0 83,0,2024-09-07 09:06:41:521,83394,0.5,83182,0.7,165616,0.5,220411,2.00 83,1,2024-09-07 09:06:40:569,580019,580019,0,0,270986925002,2827078091,575710,3929,380,382,391709,0 83,2,2024-09-07 09:06:40:767,420748,420748,0,0,16051594,0,3393 83,3,2024-09-07 09:06:40:751,1,330,1,0,1260,4875,330,0 84,0,2024-09-07 09:06:41:781,77734,0.7,77672,0.8,155417,0.5,208363,2.25 84,1,2024-09-07 09:06:41:051,579783,579783,0,0,270390041922,2826777801,574042,5014,727,367,391967,0 84,2,2024-09-07 09:06:40:575,419083,419083,0,0,20113005,0,4757 84,3,2024-09-07 09:06:41:150,1,330,22,0,908,5074,330,0 85,0,2024-09-07 09:06:41:027,80596,0.7,80565,0.8,170963,0.7,221155,2.25 85,1,2024-09-07 09:06:40:561,578199,578199,0,0,270184362613,2852638604,569686,7141,1372,381,392006,0 85,2,2024-09-07 09:06:40:871,414986,414986,0,0,19815412,0,3656 85,3,2024-09-07 09:06:40:693,1,330,1,0,789,4387,330,0 86,0,2024-09-07 09:06:40:913,83923,0.7,86254,0.8,165123,0.8,223450,2.25 86,1,2024-09-07 09:06:40:876,579899,579899,0,0,269883432870,2827483724,573017,5816,1066,366,391961,0 86,2,2024-09-07 09:06:40:879,414652,414651,1,0,20686033,0,5004 86,3,2024-09-07 09:06:40:597,1,330,1,0,308,4579,330,0 87,0,2024-09-07 09:06:41:323,84221,0.9,84120,0.9,168799,1.1,225209,2.25 87,1,2024-09-07 09:06:40:594,579112,579112,0,0,271009385185,2835909083,572934,5567,611,366,392076,0 87,2,2024-09-07 09:06:41:067,417538,417538,0,0,18959769,0,4045 87,3,2024-09-07 09:06:41:797,1,330,5,0,473,4810,330,0 88,0,2024-09-07 09:06:41:463,78602,0.4,79259,0.6,157883,0.4,209959,1.75 88,1,2024-09-07 09:06:40:578,578259,578259,0,0,270990590769,2842367058,570567,6028,1664,365,392084,0 88,2,2024-09-07 09:06:40:688,419556,419556,0,0,20946625,0,3583 88,3,2024-09-07 09:06:41:280,1,330,2,0,435,3632,330,0 89,0,2024-09-07 09:06:41:770,85134,0.5,82358,0.7,163162,0.4,221775,1.75 89,1,2024-09-07 09:06:40:571,578306,578306,0,0,270072845805,2843884552,570557,6476,1273,382,391866,0 89,2,2024-09-07 09:06:41:133,416920,416920,0,0,20027516,0,2910 89,3,2024-09-07 09:06:41:791,1,330,0,0,385,6074,330,0 90,0,2024-09-07 09:06:41:623,81287,0.5,83302,0.7,170157,0.5,221820,2.00 90,1,2024-09-07 09:06:40:608,579715,579715,0,0,270270879918,2835461607,573516,5698,501,380,391825,0 90,2,2024-09-07 09:06:41:408,413423,413423,0,0,21164899,0,3060 90,3,2024-09-07 09:06:40:963,1,330,5,0,246,3694,330,0 91,0,2024-09-07 09:06:40:975,85553,0.5,82897,0.6,173225,0.5,227150,1.75 91,1,2024-09-07 09:06:40:625,578298,578298,0,0,270519531277,2847789644,570477,6777,1044,381,392047,0 91,2,2024-09-07 09:06:41:335,415917,415917,0,0,19097360,0,2896 91,3,2024-09-07 09:06:40:607,1,330,22,0,216,3145,330,0 92,0,2024-09-07 09:06:41:448,82224,0.4,84355,0.6,160989,0.4,217350,1.75 92,1,2024-09-07 09:06:40:580,579638,579638,0,0,269915390472,2822930722,574830,4122,686,382,392136,0 92,2,2024-09-07 09:06:41:384,421365,421365,0,0,17397037,0,3259 92,3,2024-09-07 09:06:41:015,1,330,1,0,167,2884,330,0 93,0,2024-09-07 09:06:40:958,80483,0.4,82563,0.6,157774,0.4,213799,1.75 93,1,2024-09-07 09:06:40:824,579715,579715,0,0,270871854636,2830484363,573601,5085,1029,366,391776,0 93,2,2024-09-07 09:06:40:931,418441,418441,0,0,20239306,0,4845 93,3,2024-09-07 09:06:41:407,1,330,0,0,190,3209,330,0 94,0,2024-09-07 09:06:41:627,83654,0.4,84485,0.6,168386,0.4,223179,1.75 94,1,2024-09-07 09:06:40:564,580149,580149,0,0,271396575901,2834523375,576116,3843,190,381,391850,0 94,2,2024-09-07 09:06:40:767,413696,413696,0,0,17387594,0,2443 94,3,2024-09-07 09:06:41:691,1,330,12,0,264,4105,330,0 95,0,2024-09-07 09:06:41:358,84860,0.4,84914,0.6,170284,0.3,226937,1.75 95,1,2024-09-07 09:06:40:871,581697,581697,0,0,270978839868,2820873412,576732,4566,399,367,391713,0 95,2,2024-09-07 09:06:41:019,415659,415659,0,0,17169066,0,3308 95,3,2024-09-07 09:06:41:711,1,330,7,0,718,5312,330,0 96,0,2024-09-07 09:06:41:042,83310,0.4,83565,0.5,166655,0.3,221006,1.75 96,1,2024-09-07 09:06:41:585,579523,579523,0,0,271182397590,2831323147,575002,3725,796,384,391955,0 96,2,2024-09-07 09:06:41:278,421686,421686,0,0,17207626,0,4042 96,3,2024-09-07 09:06:41:140,1,330,4,0,411,3698,330,0 97,0,2024-09-07 09:06:41:333,78277,0.3,77959,0.5,156485,0.3,208106,1.75 97,1,2024-09-07 09:06:40:772,581958,581958,0,0,271841789083,2819617487,578085,3201,672,367,392140,0 97,2,2024-09-07 09:06:40:613,420575,420575,0,0,16621620,0,3036 97,3,2024-09-07 09:06:40:574,1,330,4,0,214,3985,330,0 98,0,2024-09-07 09:06:41:802,83985,0.3,83783,0.5,168229,0.2,224082,1.50 98,1,2024-09-07 09:06:40:620,581138,581138,0,0,271241291616,2822486103,578478,2553,107,382,391997,0 98,2,2024-09-07 09:06:40:859,414326,414326,0,0,16474919,0,3080 98,3,2024-09-07 09:06:40:812,1,330,55,0,840,5399,330,0 99,0,2024-09-07 09:06:41:462,84778,0.4,85357,0.5,169837,0.3,226796,1.75 99,1,2024-09-07 09:06:41:736,580561,580561,0,0,271023831655,2823500332,576428,3379,754,381,392069,0 99,2,2024-09-07 09:06:41:433,417082,417082,0,0,18549679,0,3424 99,3,2024-09-07 09:06:40:591,1,330,1,0,199,3139,330,0 100,0,2024-09-07 09:06:41:494,83671,0.7,83665,1.0,167373,0.9,223424,2.25 100,1,2024-09-07 09:06:40:548,577715,577715,0,0,270108937505,2855241037,569251,6968,1496,381,391989,0 100,2,2024-09-07 09:06:41:833,418566,418555,11,0,19471016,0,5417 100,3,2024-09-07 09:06:41:742,1,330,1,0,559,5872,330,0 101,0,2024-09-07 09:06:41:707,79792,1.0,77885,1.0,152522,0.7,209355,2.25 101,1,2024-09-07 09:06:40:550,577477,577477,0,0,269788435594,2842721088,568412,7043,2022,368,391771,0 101,2,2024-09-07 09:06:41:757,417098,417098,0,0,22384212,0,4644 101,3,2024-09-07 09:06:40:943,1,330,2,0,579,4474,330,0 102,0,2024-09-07 09:06:41:043,81359,0.7,84182,0.8,169778,0.7,222727,2.00 102,1,2024-09-07 09:06:41:149,578663,578663,0,0,270368569817,2841514611,571349,6282,1032,369,391891,0 102,2,2024-09-07 09:06:41:743,416956,416902,54,0,18835980,0,6768 102,3,2024-09-07 09:06:41:614,1,330,1,0,410,3599,330,0 103,0,2024-09-07 09:06:41:702,86548,0.7,86575,0.8,163184,0.7,224662,2.00 103,1,2024-09-07 09:06:41:657,577840,577840,0,0,270478358714,2854369539,569025,6819,1996,381,391829,0 103,2,2024-09-07 09:06:40:591,414243,414243,0,0,19515526,0,3173 103,3,2024-09-07 09:06:40:774,1,330,14,0,916,4210,330,0 104,0,2024-09-07 09:06:41:010,84296,0.7,84664,1.0,168196,0.7,226232,2.25 104,1,2024-09-07 09:06:41:599,579134,579134,0,0,269830799847,2840252737,570234,7202,1698,365,392168,0 104,2,2024-09-07 09:06:41:677,415281,415281,0,0,20738677,0,3941 104,3,2024-09-07 09:06:41:419,1,330,52,0,1245,7415,330,0 105,0,2024-09-07 09:06:41:056,78801,0.8,76670,1.1,160568,1.0,210961,3.00 105,1,2024-09-07 09:06:40:562,579641,579641,0,0,270906044300,2844431012,571492,6817,1332,366,391797,0 105,2,2024-09-07 09:06:41:329,418951,418951,0,0,20093798,0,3509 105,3,2024-09-07 09:06:41:314,1,330,1,0,399,5308,330,0 106,0,2024-09-07 09:06:40:943,78284,0.9,80212,1.0,163902,1.0,215391,2.50 106,1,2024-09-07 09:06:41:760,578299,578299,0,0,270455971764,2846230809,568728,8279,1292,369,391914,0 106,2,2024-09-07 09:06:40:758,416267,416267,0,0,19852614,0,2795 106,3,2024-09-07 09:06:40:696,1,330,10,0,470,4306,330,0 107,0,2024-09-07 09:06:41:205,83871,1.2,83761,1.0,167592,1.8,223128,2.25 107,1,2024-09-07 09:06:40:586,577722,577722,0,0,269738914420,2844512253,569761,7047,914,381,392234,0 107,2,2024-09-07 09:06:41:298,413035,413034,1,0,20200941,0,5024 107,3,2024-09-07 09:06:41:762,1,330,3,0,353,4464,330,0 108,0,2024-09-07 09:06:41:770,84844,0.5,85344,0.6,169791,0.4,226662,1.75 108,1,2024-09-07 09:06:41:304,580119,580119,0,0,271537184873,2834840230,575037,4565,517,368,391857,0 108,2,2024-09-07 09:06:41:762,414317,414317,0,0,19398201,0,4246 108,3,2024-09-07 09:06:41:331,1,330,1,0,749,6732,330,0 109,0,2024-09-07 09:06:41:778,83134,0.4,82157,0.5,164619,0.3,220426,1.75 109,1,2024-09-07 09:06:40:593,577912,577912,0,0,270701483742,2841697422,572715,4534,663,383,392132,0 109,2,2024-09-07 09:06:40:949,419172,419172,0,0,19027340,0,3617 109,3,2024-09-07 09:06:41:148,1,330,6,0,379,4035,330,0 110,0,2024-09-07 09:06:41:760,78745,0.4,76629,0.6,160370,0.3,210510,1.75 110,1,2024-09-07 09:06:41:643,581080,581080,0,0,271356384595,2818235201,577082,3024,974,369,392045,0 110,2,2024-09-07 09:06:41:310,419087,419087,0,0,18789570,0,4067 110,3,2024-09-07 09:06:40:690,1,330,1,0,722,5064,330,0 111,0,2024-09-07 09:06:41:416,84851,0.4,84175,0.6,168531,0.4,225222,1.75 111,1,2024-09-07 09:06:41:001,581399,581399,0,0,272670322820,2831415519,578019,3028,352,382,391690,0 111,2,2024-09-07 09:06:41:117,412581,412581,0,0,18088906,0,4823 111,3,2024-09-07 09:06:40:913,1,330,1,0,379,4104,330,0 112,0,2024-09-07 09:06:40:911,85861,0.3,85221,0.4,170881,0.2,227352,1.50 112,1,2024-09-07 09:06:40:823,581336,581336,0,0,271601678283,2818754105,577876,2876,584,380,391624,0 112,2,2024-09-07 09:06:41:142,416019,416018,1,0,16472858,0,5036 112,3,2024-09-07 09:06:40:592,1,330,11,0,282,3432,330,0 113,0,2024-09-07 09:06:40:880,82981,0.3,83090,0.5,166630,0.2,221862,1.50 113,1,2024-09-07 09:06:41:691,583439,583439,0,0,272148864469,2809303286,580159,2696,584,366,391661,0 113,2,2024-09-07 09:06:41:310,422634,422634,0,0,15221371,0,3813 113,3,2024-09-07 09:06:40:684,1,330,1,0,340,4043,330,0 114,0,2024-09-07 09:06:40:879,78612,0.3,79149,0.5,157256,0.2,210482,1.75 114,1,2024-09-07 09:06:40:716,581083,581083,0,0,271444818005,2822726772,575960,3529,1594,381,391556,0 114,2,2024-09-07 09:06:40:873,420246,420245,1,0,16805286,0,5069 114,3,2024-09-07 09:06:41:286,1,330,1,0,395,2957,330,0 115,0,2024-09-07 09:06:40:570,83542,0.3,84058,0.4,167994,0.2,223479,1.50 115,1,2024-09-07 09:06:40:578,580746,580746,0,0,271605814751,2822395243,575882,4024,840,382,391656,0 115,2,2024-09-07 09:06:41:136,416421,416421,0,0,15438963,0,3453 115,3,2024-09-07 09:06:41:002,1,330,0,0,159,1972,330,0 116,0,2024-09-07 09:06:41:736,83666,0.9,83511,1.0,167434,1.1,224461,2.25 116,1,2024-09-07 09:06:40:803,577543,577543,0,0,270185824137,2852639684,569945,5331,2267,380,391782,0 116,2,2024-09-07 09:06:41:756,414751,414751,0,0,20902710,0,3529 116,3,2024-09-07 09:06:40:915,1,330,6,0,415,4215,330,0 117,0,2024-09-07 09:06:40:957,84706,0.8,84224,0.9,168626,0.8,225577,2.00 117,1,2024-09-07 09:06:41:585,579079,579079,0,0,270790137939,2836207271,572806,5605,668,370,392033,0 117,2,2024-09-07 09:06:41:118,421074,421074,0,0,17313652,0,3700 117,3,2024-09-07 09:06:41:062,1,330,3,0,490,4921,330,0 118,0,2024-09-07 09:06:41:898,76420,0.5,78489,0.7,160108,0.5,209247,2.00 118,1,2024-09-07 09:06:40:623,578805,578805,0,0,270294715941,2843640844,570024,6883,1898,366,391907,0 118,2,2024-09-07 09:06:41:589,418395,418395,0,0,18978160,0,2781 118,3,2024-09-07 09:06:41:778,1,330,18,0,235,3554,330,0 119,0,2024-09-07 09:06:41:373,82225,0.6,82659,0.8,165404,0.6,220312,2.00 119,1,2024-09-07 09:06:40:564,580028,580028,0,0,271130647283,2837313139,573465,5727,836,367,391780,0 119,2,2024-09-07 09:06:41:281,417859,417859,0,0,17966377,0,4174 119,3,2024-09-07 09:06:41:336,1,330,64,0,563,5142,330,0 120,0,2024-09-07 09:06:41:581,83251,0.7,82968,0.9,166151,0.7,222356,2.25 120,1,2024-09-07 09:06:40:857,579366,579366,0,0,269983211496,2835846555,572961,5849,556,368,391961,0 120,2,2024-09-07 09:06:40:773,414378,414377,1,0,20956855,0,5281 120,3,2024-09-07 09:06:41:295,1,330,2,0,241,4193,330,0 121,0,2024-09-07 09:06:41:689,84900,1.0,85049,1.0,170656,1.3,226851,2.25 121,1,2024-09-07 09:06:41:661,579970,579970,0,0,270862170671,2836163983,574066,5467,437,367,391840,0 121,2,2024-09-07 09:06:41:131,414858,414858,0,0,20231872,0,4127 121,3,2024-09-07 09:06:40:727,1,330,1,0,269,3864,330,0 122,0,2024-09-07 09:06:41:770,81330,0.7,79269,0.9,166132,0.8,217328,2.00 122,1,2024-09-07 09:06:40:862,578541,578541,0,0,270208417377,2838894611,570086,7194,1261,366,392130,0 122,2,2024-09-07 09:06:41:328,420116,420043,73,0,22767065,0,5989 122,3,2024-09-07 09:06:40:594,1,330,2,0,411,5951,330,0 123,0,2024-09-07 09:06:41:051,79669,0.7,77910,0.8,162489,0.8,213346,2.00 123,1,2024-09-07 09:06:40:557,578322,578322,0,0,270151124448,2852087957,566903,9293,2126,369,392039,0 123,2,2024-09-07 09:06:41:078,417023,417022,1,0,19713520,0,5215 123,3,2024-09-07 09:06:41:140,1,330,1,0,168,3853,330,0 124,0,2024-09-07 09:06:40:930,86617,0.4,86687,0.5,163346,0.3,224007,1.75 124,1,2024-09-07 09:06:41:021,580961,580961,0,0,271088049122,2823440197,576244,3807,910,367,392178,0 124,2,2024-09-07 09:06:41:018,414814,414761,53,0,17643842,0,6487 124,3,2024-09-07 09:06:40:775,1,330,1,0,490,3457,330,0 125,0,2024-09-07 09:06:41:420,85344,0.4,84788,0.6,170746,0.4,227300,1.75 125,1,2024-09-07 09:06:40:860,579783,579783,0,0,271010854922,2832521821,574904,4235,644,383,391702,0 125,2,2024-09-07 09:06:41:121,415732,415732,0,0,18289137,0,4534 125,3,2024-09-07 09:06:41:136,1,330,1,0,709,4584,330,0 126,0,2024-09-07 09:06:41:454,83236,0.4,85475,0.6,163726,0.4,221897,1.75 126,1,2024-09-07 09:06:40:557,581565,581565,0,0,271369650844,2814738444,577835,3447,283,365,391987,0 126,2,2024-09-07 09:06:40:612,421999,421999,0,0,18412270,0,4539 126,3,2024-09-07 09:06:40:913,1,330,2,0,207,4183,330,0 127,0,2024-09-07 09:06:41:617,77996,0.3,78569,0.5,156340,0.3,208051,1.75 127,1,2024-09-07 09:06:40:575,580828,580828,0,0,270894566980,2816813351,575433,4535,860,364,392187,0 127,2,2024-09-07 09:06:40:641,419135,419135,0,0,16947456,0,3897 127,3,2024-09-07 09:06:41:275,1,330,1,0,968,3993,330,0 128,0,2024-09-07 09:06:41:521,84138,0.3,84404,0.5,168718,0.2,224535,1.50 128,1,2024-09-07 09:06:41:611,580643,580643,0,0,271135463442,2817657359,576545,3728,370,367,391798,0 128,2,2024-09-07 09:06:41:389,414976,414976,0,0,15824778,0,2915 128,3,2024-09-07 09:06:40:768,1,330,20,0,1082,5913,330,0 129,0,2024-09-07 09:06:41:006,85363,0.3,85096,0.5,170383,0.3,226830,1.75 129,1,2024-09-07 09:06:40:569,577998,577998,0,0,270976423910,2839635096,572355,4387,1256,379,391835,0 129,2,2024-09-07 09:06:40:686,416567,416567,0,0,16713802,0,4031 129,3,2024-09-07 09:06:40:689,1,330,0,0,469,4423,330,0 130,0,2024-09-07 09:06:41:749,84597,0.5,84100,0.6,169145,0.5,224695,1.75 130,1,2024-09-07 09:06:40:591,581047,581047,0,0,271647062635,2826242230,577635,3133,279,381,391825,0 130,2,2024-09-07 09:06:41:136,421434,421434,0,0,16650163,0,4067 130,3,2024-09-07 09:06:41:294,1,330,2,0,450,4142,330,0 131,0,2024-09-07 09:06:41:981,78030,0.3,78551,0.5,157823,0.3,209046,1.75 131,1,2024-09-07 09:06:41:890,580990,580990,0,0,271432136402,2834295355,576519,3726,745,381,391865,0 131,2,2024-09-07 09:06:40:581,421291,421291,0,0,15389568,0,2415 131,3,2024-09-07 09:06:41:702,1,330,26,0,392,3509,330,0 132,0,2024-09-07 09:06:41:428,83430,0.4,84133,0.6,167932,0.4,223440,1.75 132,1,2024-09-07 09:06:40:588,577936,577936,0,0,269458681800,2840194533,569300,7171,1465,381,392097,0 132,2,2024-09-07 09:06:40:698,415954,415954,0,0,20272995,0,4606 132,3,2024-09-07 09:06:41:690,1,330,1,0,804,6143,330,0 133,0,2024-09-07 09:06:41:540,81922,0.5,83690,0.6,171832,0.5,223206,2.00 133,1,2024-09-07 09:06:40:587,578124,578124,0,0,269680054603,2845070811,569957,7084,1083,383,391914,0 133,2,2024-09-07 09:06:41:086,414284,414284,0,0,21517506,0,4315 133,3,2024-09-07 09:06:41:301,1,330,2,0,479,3570,330,0 134,0,2024-09-07 09:06:41:035,85449,0.5,85350,0.7,170666,0.5,227436,2.00 134,1,2024-09-07 09:06:40:599,578513,578513,0,0,269775679922,2835414303,570260,6397,1856,366,391718,0 134,2,2024-09-07 09:06:41:785,416386,416386,0,0,18011117,0,3847 134,3,2024-09-07 09:06:40:752,1,330,17,0,739,4401,330,0 135,0,2024-09-07 09:06:41:127,77020,0.7,77053,0.8,163375,0.7,210660,2.00 135,1,2024-09-07 09:06:41:589,579018,579018,0,0,271061839699,2855014566,570667,7114,1237,380,391805,0 135,2,2024-09-07 09:06:40:706,420455,420455,0,0,19515347,0,3981 135,3,2024-09-07 09:06:41:003,1,330,2,0,299,2603,330,0 136,0,2024-09-07 09:06:41:614,81484,0.6,81576,0.7,162333,0.6,217253,2.25 136,1,2024-09-07 09:06:41:449,578814,578814,0,0,270205969114,2839763665,571802,6317,695,382,391685,0 136,2,2024-09-07 09:06:41:143,418564,418564,0,0,19339369,0,3506 136,3,2024-09-07 09:06:41:106,1,330,13,0,637,3860,330,0 137,0,2024-09-07 09:06:40:939,86580,0.7,84312,0.8,165386,0.8,224533,2.00 137,1,2024-09-07 09:06:40:579,578302,578302,0,0,270272196399,2840578775,568725,7696,1881,366,391708,0 137,2,2024-09-07 09:06:41:705,413506,413506,0,0,21573326,0,3185 137,3,2024-09-07 09:06:40:769,1,330,0,0,382,4016,330,0 138,0,2024-09-07 09:06:41:786,84496,1.3,84559,1.1,169793,1.8,225636,2.25 138,1,2024-09-07 09:06:41:691,579130,579130,0,0,271112909978,2849730672,570090,7444,1596,368,391954,0 138,2,2024-09-07 09:06:40:596,415163,415163,0,0,19340573,0,4988 138,3,2024-09-07 09:06:40:611,1,330,5,0,1160,4773,330,0 139,0,2024-09-07 09:06:41:410,81551,1.2,81869,1.1,164043,1.8,218581,2.25 139,1,2024-09-07 09:06:40:577,576666,576666,0,0,269073237018,2862382769,565309,8713,2644,381,391892,0 139,2,2024-09-07 09:06:40:704,418403,418403,0,0,21028979,0,3097 139,3,2024-09-07 09:06:41:684,1,330,1,0,257,3715,330,0 140,0,2024-09-07 09:06:41:593,79126,0.3,78628,0.5,157956,0.2,210854,1.75 140,1,2024-09-07 09:06:41:541,582796,582796,0,0,272433137731,2811884516,579594,2764,438,365,391606,0 140,2,2024-09-07 09:06:40:687,419607,419606,1,0,17496057,0,5036 140,3,2024-09-07 09:06:40:768,1,330,1,0,247,2724,330,0 141,0,2024-09-07 09:06:41:717,84641,0.4,86891,0.5,166032,0.3,225150,1.75 141,1,2024-09-07 09:06:40:858,581439,581439,0,0,271111897040,2819660846,576878,3699,862,379,391614,0 141,2,2024-09-07 09:06:41:700,413373,413373,0,0,16966581,0,3360 141,3,2024-09-07 09:06:41:044,1,330,0,0,391,3855,330,0 142,0,2024-09-07 09:06:41:329,86003,0.3,85198,0.5,170222,0.3,227674,1.75 142,1,2024-09-07 09:06:40:590,581054,581054,0,0,270508042835,2823429691,577093,3610,351,382,392102,0 142,2,2024-09-07 09:06:41:301,414987,414955,32,0,18443694,0,6028 142,3,2024-09-07 09:06:41:746,1,330,6,0,484,4127,330,0 143,0,2024-09-07 09:06:41:378,83354,0.4,83122,0.6,166733,0.4,221994,1.75 143,1,2024-09-07 09:06:40:558,581094,581094,0,0,271376486539,2818119678,577326,3619,149,367,391705,0 143,2,2024-09-07 09:06:40:772,421094,421094,0,0,17844282,0,3123 143,3,2024-09-07 09:06:41:152,1,330,3,0,303,3966,330,0 144,0,2024-09-07 09:06:41:523,75826,0.5,77914,0.8,158703,0.4,208669,2.00 144,1,2024-09-07 09:06:40:574,578616,578616,0,0,270207048911,2833928804,573767,3909,940,381,391649,0 144,2,2024-09-07 09:06:41:792,420128,420128,0,0,16091889,0,3473 144,3,2024-09-07 09:06:41:783,1,330,10,0,249,3426,330,0 145,0,2024-09-07 09:06:41:429,80869,0.6,80830,0.8,171511,0.6,220991,2.25 145,1,2024-09-07 09:06:40:562,577961,577961,0,0,270655194832,2847518982,570665,6156,1140,382,391759,0 145,2,2024-09-07 09:06:41:441,413642,413642,0,0,19443239,0,3903 145,3,2024-09-07 09:06:40:896,1,330,5,0,622,5090,330,0 146,0,2024-09-07 09:06:41:592,84054,0.6,83337,0.8,168127,0.6,223103,2.25 146,1,2024-09-07 09:06:41:594,579532,579532,0,0,270722309318,2849595196,569840,7682,2010,368,391770,0 146,2,2024-09-07 09:06:41:695,414608,414608,0,0,19308557,0,2730 146,3,2024-09-07 09:06:41:278,1,330,1,0,1520,6996,330,0 147,0,2024-09-07 09:06:41:867,84749,0.6,84398,0.8,168127,0.6,225191,2.25 147,1,2024-09-07 09:06:41:391,581047,581047,0,0,271581696612,2828976710,575388,4859,800,368,391791,0 147,2,2024-09-07 09:06:41:025,418611,418611,0,0,17227516,0,2789 147,3,2024-09-07 09:06:40:931,1,330,9,0,730,4931,330,0 0,0,2024-09-07 09:06:51:716,81350,0.7,81357,0.8,172746,0.8,223059,2.00 0,1,2024-09-07 09:06:50:806,581758,581758,0,0,271846007613,2844091474,577632,3882,244,370,391896,0 0,2,2024-09-07 09:06:51:189,416702,416702,0,0,16950448,0,4480 0,3,2024-09-07 09:06:50:977,1,331,2,0,431,4612,331,0 1,0,2024-09-07 09:06:51:788,85414,0.8,84842,0.9,170456,1.0,227490,2.00 1,1,2024-09-07 09:06:50:569,580510,580510,0,0,271271191178,2842693422,574916,4368,1226,370,391857,0 1,2,2024-09-07 09:06:50:639,416521,416521,0,0,16456987,0,3267 1,3,2024-09-07 09:06:51:302,1,331,1,0,262,3925,331,0 2,0,2024-09-07 09:06:51:567,81459,0.6,81791,0.7,162360,0.7,216886,2.00 2,1,2024-09-07 09:06:50:862,582697,582697,0,0,272639031192,2832149207,579802,2602,293,380,391745,0 2,2,2024-09-07 09:06:51:270,421963,421963,0,0,16439969,0,3594 2,3,2024-09-07 09:06:50:694,1,331,1,0,357,3382,331,0 3,0,2024-09-07 09:06:51:744,80247,0.4,80200,0.6,160468,0.3,213955,1.75 3,1,2024-09-07 09:06:51:618,581374,581374,0,0,271424576832,2828065767,576276,4475,623,380,391591,0 3,2,2024-09-07 09:06:51:152,420421,420398,23,0,16870388,0,5851 3,3,2024-09-07 09:06:51:753,1,331,4,0,207,2212,331,0 4,0,2024-09-07 09:06:51:831,81635,0.4,84110,0.5,170825,0.4,223436,1.75 4,1,2024-09-07 09:06:50:607,580083,580083,0,0,271238815019,2858072919,572743,5984,1356,371,391992,0 4,2,2024-09-07 09:06:51:024,413362,413362,0,0,19525934,0,4528 4,3,2024-09-07 09:06:51:028,1,331,1,0,448,4739,331,0 5,0,2024-09-07 09:06:51:403,85307,0.5,85617,0.6,170780,0.4,227253,1.75 5,1,2024-09-07 09:06:50:777,580570,580570,0,0,271113464576,2852657264,573407,5807,1356,367,392005,0 5,2,2024-09-07 09:06:51:834,415149,415149,0,0,18640897,0,2432 5,3,2024-09-07 09:06:51:733,1,331,1,0,457,4918,331,0 6,0,2024-09-07 09:06:50:931,83843,0.5,83324,0.6,166582,0.4,222487,2.00 6,1,2024-09-07 09:06:50:765,580555,580555,0,0,271865449724,2842125828,574196,5283,1076,379,391694,0 6,2,2024-09-07 09:06:51:154,422199,422199,0,0,17919025,0,4816 6,3,2024-09-07 09:06:51:276,1,331,6,0,340,3708,331,0 7,0,2024-09-07 09:06:51:542,78111,0.5,78459,0.6,156135,0.4,208065,1.75 7,1,2024-09-07 09:06:50:850,579868,579868,0,0,271255326709,2849988164,571798,6894,1176,382,391747,0 7,2,2024-09-07 09:06:50:775,420791,420791,0,0,18029669,0,4791 7,3,2024-09-07 09:06:50:852,1,331,1,0,398,3842,331,0 8,0,2024-09-07 09:06:51:340,84485,0.4,84377,0.5,168879,0.3,225747,1.75 8,1,2024-09-07 09:06:51:029,579131,579131,0,0,270663829902,2853370266,568984,7870,2277,366,392144,0 8,2,2024-09-07 09:06:50:804,410979,410979,0,0,22137179,0,3220 8,3,2024-09-07 09:06:50:599,1,331,0,0,538,5760,331,0 9,0,2024-09-07 09:06:51:198,85286,0.4,82873,0.5,173508,0.4,227421,1.75 9,1,2024-09-07 09:06:50:554,580113,580113,0,0,271342867883,2863347866,571068,6921,2124,369,392001,0 9,2,2024-09-07 09:06:51:099,416071,416071,0,0,19524322,0,3360 9,3,2024-09-07 09:06:51:754,1,331,1,0,496,4974,331,0 10,0,2024-09-07 09:06:51:606,84370,0.3,83685,0.5,168146,0.2,223796,1.75 10,1,2024-09-07 09:06:50:583,581023,581023,0,0,271917618375,2850516967,573140,6774,1109,381,391741,0 10,2,2024-09-07 09:06:50:778,421286,421286,0,0,21258707,0,4264 10,3,2024-09-07 09:06:50:885,1,331,0,0,296,2997,331,0 11,0,2024-09-07 09:06:51:009,78055,0.4,75745,0.6,158439,0.4,209648,1.75 11,1,2024-09-07 09:06:50:570,580838,580838,0,0,271819103221,2858127455,570825,7565,2448,383,391756,0 11,2,2024-09-07 09:06:51:132,420103,420103,0,0,19241972,0,4130 11,3,2024-09-07 09:06:51:298,1,331,1,0,843,5222,331,0 12,0,2024-09-07 09:06:50:970,84534,0.4,84403,0.5,168789,0.3,224673,1.75 12,1,2024-09-07 09:06:50:935,581273,581273,0,0,270868636432,2827580734,576419,4364,490,370,391870,0 12,2,2024-09-07 09:06:51:561,417123,417123,0,0,18870965,0,3469 12,3,2024-09-07 09:06:51:067,1,331,1,0,386,5448,331,0 13,0,2024-09-07 09:06:51:361,84720,0.5,84346,0.6,168500,0.4,224587,1.75 13,1,2024-09-07 09:06:51:545,580483,580483,0,0,271353178944,2856153137,574577,4496,1410,382,391740,0 13,2,2024-09-07 09:06:50:616,417663,417663,0,0,17249498,0,3287 13,3,2024-09-07 09:06:51:775,1,331,9,0,522,5434,331,0 14,0,2024-09-07 09:06:50:574,85621,0.4,86268,0.6,170649,0.3,227331,1.75 14,1,2024-09-07 09:06:51:563,584690,584690,0,0,272525802202,2825157639,580005,4326,359,364,391571,0 14,2,2024-09-07 09:06:50:768,418164,418134,30,0,19026677,0,6104 14,3,2024-09-07 09:06:51:144,1,331,2,0,1168,4080,331,0 15,0,2024-09-07 09:06:51:554,79652,0.4,79718,0.6,159479,0.4,211956,2.00 15,1,2024-09-07 09:06:51:608,581954,581954,0,0,271895358651,2833301926,577615,3517,822,381,391619,0 15,2,2024-09-07 09:06:50:999,422423,422423,0,0,15624414,0,3622 15,3,2024-09-07 09:06:51:405,1,331,8,0,1126,6218,331,0 16,0,2024-09-07 09:06:51:029,81613,0.6,81894,0.8,163361,0.6,218215,2.25 16,1,2024-09-07 09:06:50:573,582082,582082,0,0,272023289062,2840877673,577797,3925,360,370,391756,0 16,2,2024-09-07 09:06:51:461,419080,419080,0,0,17925648,0,4719 16,3,2024-09-07 09:06:51:159,1,331,1,0,317,4633,331,0 17,0,2024-09-07 09:06:51:781,86574,0.7,84567,0.8,165264,0.8,224287,2.00 17,1,2024-09-07 09:06:50:569,580636,580636,0,0,270438513872,2840364630,574403,4976,1257,368,391899,0 17,2,2024-09-07 09:06:51:670,418077,418077,0,0,17521696,0,2857 17,3,2024-09-07 09:06:50:576,1,331,47,0,298,5505,331,0 18,0,2024-09-07 09:06:50:941,84175,0.6,84500,0.8,168598,0.6,224931,2.25 18,1,2024-09-07 09:06:51:642,582519,582519,0,0,271895560117,2819517360,579183,3025,311,367,391649,0 18,2,2024-09-07 09:06:51:755,418360,418360,0,0,16268156,0,3541 18,3,2024-09-07 09:06:50:895,1,331,1,0,163,2924,331,0 19,0,2024-09-07 09:06:51:548,82324,0.6,82933,0.8,164108,0.5,218680,2.25 19,1,2024-09-07 09:06:50:572,582676,582676,0,0,272842959865,2832576252,577552,4285,839,367,391777,0 19,2,2024-09-07 09:06:51:753,423281,423281,0,0,15074849,0,3988 19,3,2024-09-07 09:06:51:144,1,331,5,0,524,2562,331,0 20,0,2024-09-07 09:06:51:382,79049,0.5,78924,0.7,157994,0.5,211387,2.00 20,1,2024-09-07 09:06:50:580,580724,580724,0,0,272185107184,2844265304,576393,3926,405,369,391886,0 20,2,2024-09-07 09:06:50:932,420723,420723,0,0,18053585,0,3721 20,3,2024-09-07 09:06:50:589,1,331,15,0,414,5322,331,0 21,0,2024-09-07 09:06:51:178,84705,0.5,84693,0.7,169266,0.5,224425,2.00 21,1,2024-09-07 09:06:51:551,580001,580001,0,0,270435631371,2850939841,572111,6012,1878,368,392016,0 21,2,2024-09-07 09:06:51:072,412834,412834,0,0,21052361,0,3747 21,3,2024-09-07 09:06:51:405,1,331,1,0,713,3977,331,0 22,0,2024-09-07 09:06:51:721,85032,0.6,85490,0.7,171047,0.5,226599,2.25 22,1,2024-09-07 09:06:51:022,580930,580930,0,0,271134320056,2851269165,572442,6669,1819,382,391667,0 22,2,2024-09-07 09:06:50:760,415711,415711,0,0,17186802,0,3134 22,3,2024-09-07 09:06:51:067,1,331,0,0,228,2833,331,0 23,0,2024-09-07 09:06:51:375,82940,0.5,82874,0.7,165950,0.5,221107,2.25 23,1,2024-09-07 09:06:51:007,580672,580672,0,0,272147152076,2860638408,570180,7334,3158,365,391690,0 23,2,2024-09-07 09:06:51:110,423343,423343,0,0,17024422,0,3010 23,3,2024-09-07 09:06:51:754,1,331,2,0,720,4714,331,0 24,0,2024-09-07 09:06:50:819,79064,0.4,78998,0.6,158533,0.3,210978,1.75 24,1,2024-09-07 09:06:50:581,580101,580101,0,0,270863834912,2844417450,573209,5364,1528,368,392269,0 24,2,2024-09-07 09:06:51:192,419351,419351,0,0,20976958,0,3607 24,3,2024-09-07 09:06:51:693,1,331,1,0,468,4495,331,0 25,0,2024-09-07 09:06:51:354,86228,0.4,83990,0.6,164677,0.4,224592,2.00 25,1,2024-09-07 09:06:50:562,581163,581163,0,0,271683717711,2852867521,573481,6313,1369,371,391928,0 25,2,2024-09-07 09:06:51:630,414830,414830,0,0,20922947,0,3978 25,3,2024-09-07 09:06:51:000,1,331,1,0,255,3303,331,0 26,0,2024-09-07 09:06:51:722,84065,0.4,82108,0.6,172351,0.4,224722,2.00 26,1,2024-09-07 09:06:51:558,581482,581482,0,0,270953764681,2842225150,572634,7312,1536,380,391748,0 26,2,2024-09-07 09:06:50:867,417287,417287,0,0,20629182,0,2809 26,3,2024-09-07 09:06:51:713,1,331,4,0,796,4384,331,0 27,0,2024-09-07 09:06:51:749,84974,0.5,85065,0.6,168630,0.4,225394,2.00 27,1,2024-09-07 09:06:51:681,583293,583293,0,0,272550669110,2839282403,578026,4502,765,381,391626,0 27,2,2024-09-07 09:06:50:873,417435,417370,65,0,20472208,0,5699 27,3,2024-09-07 09:06:51:017,1,331,1,0,564,3634,331,0 28,0,2024-09-07 09:06:51:398,79174,0.4,78910,0.6,158137,0.3,211288,2.00 28,1,2024-09-07 09:06:50:811,582322,582322,0,0,272919348875,2845458962,577944,3675,703,383,391698,0 28,2,2024-09-07 09:06:51:764,420264,420264,0,0,18160865,0,2915 28,3,2024-09-07 09:06:51:776,1,331,1,0,502,3518,331,0 29,0,2024-09-07 09:06:51:373,85330,0.4,83163,0.6,163043,0.3,222983,1.75 29,1,2024-09-07 09:06:51:630,583877,583877,0,0,272735133895,2826560538,579539,3654,684,369,391753,0 29,2,2024-09-07 09:06:50:868,417176,417176,0,0,17485819,0,4986 29,3,2024-09-07 09:06:50:968,1,331,1,0,459,4022,331,0 30,0,2024-09-07 09:06:51:457,83513,0.6,81091,0.7,169725,0.5,222066,2.00 30,1,2024-09-07 09:06:50:570,583073,583073,0,0,272370628566,2831230110,578254,4109,710,381,391672,0 30,2,2024-09-07 09:06:51:274,416325,416325,0,0,16408955,0,3161 30,3,2024-09-07 09:06:50:588,1,331,1,0,519,3420,331,0 31,0,2024-09-07 09:06:51:763,84901,0.4,85245,0.6,170613,0.4,227146,2.00 31,1,2024-09-07 09:06:50:564,585469,585469,0,0,273100114779,2802807237,582927,1984,558,356,391712,0 31,2,2024-09-07 09:06:51:284,417117,417117,0,0,18221178,0,3525 31,3,2024-09-07 09:06:51:708,1,331,1,0,220,2864,331,0 32,0,2024-09-07 09:06:51:421,81479,0.3,81838,0.5,163588,0.2,217265,1.75 32,1,2024-09-07 09:06:50:815,582799,582799,0,0,273055935702,2836295696,579658,2695,446,381,391646,0 32,2,2024-09-07 09:06:50:935,422323,422323,0,0,15398384,0,3155 32,3,2024-09-07 09:06:51:020,1,331,0,0,227,2421,331,0 33,0,2024-09-07 09:06:51:510,80606,0.3,80131,0.4,160788,0.2,214580,1.50 33,1,2024-09-07 09:06:50:576,584216,584216,0,0,273926507260,2837306147,579805,3580,831,369,391730,0 33,2,2024-09-07 09:06:50:772,421093,421058,35,0,17491451,0,7012 33,3,2024-09-07 09:06:50:904,1,331,7,0,329,3548,331,0 34,0,2024-09-07 09:06:50:935,84372,0.3,86782,0.5,165993,0.2,222753,1.75 34,1,2024-09-07 09:06:51:055,584849,584849,0,0,273427361642,2811133429,583432,1409,8,367,391562,0 34,2,2024-09-07 09:06:50:770,415475,415475,0,0,16639763,0,3577 34,3,2024-09-07 09:06:51:688,1,331,1,0,299,2437,331,0 35,0,2024-09-07 09:06:50:861,84617,0.4,84980,0.5,170929,0.3,227734,1.75 35,1,2024-09-07 09:06:51:069,583005,583005,0,0,271997684965,2819753945,579400,2824,781,382,391589,0 35,2,2024-09-07 09:06:51:588,416789,416789,0,0,16699863,0,2653 35,3,2024-09-07 09:06:50:913,1,331,1,0,418,4240,331,0 36,0,2024-09-07 09:06:51:566,83766,0.5,83813,0.6,167456,0.4,222174,2.00 36,1,2024-09-07 09:06:50:590,582498,582498,0,0,271768382225,2840245923,575268,5572,1658,366,391759,0 36,2,2024-09-07 09:06:51:754,422802,422802,0,0,19089534,0,3875 36,3,2024-09-07 09:06:50:866,1,331,1,0,416,5268,331,0 37,0,2024-09-07 09:06:51:387,77942,0.5,77949,0.7,156072,0.4,208543,2.00 37,1,2024-09-07 09:06:50:569,581310,581303,0,7,271531721831,2838639276,574531,4686,2086,365,391570,0 37,2,2024-09-07 09:06:51:145,419249,419234,15,0,18675462,0,5815 37,3,2024-09-07 09:06:51:767,1,331,25,0,888,5521,331,0 38,0,2024-09-07 09:06:51:439,83873,0.5,81433,0.7,170424,0.4,223581,2.00 38,1,2024-09-07 09:06:51:626,583109,583109,0,0,272644333069,2839364100,577073,5278,758,368,391821,0 38,2,2024-09-07 09:06:50:766,414159,414112,47,0,19123369,0,6710 38,3,2024-09-07 09:06:50:997,1,331,2,0,689,4661,331,0 39,0,2024-09-07 09:06:51:769,86784,0.6,84950,0.7,165481,0.6,226266,2.00 39,1,2024-09-07 09:06:50:725,581319,581319,0,0,271478989689,2841369947,572958,6901,1460,365,391594,0 39,2,2024-09-07 09:06:51:417,416827,416827,0,0,17217259,0,2689 39,3,2024-09-07 09:06:50:722,1,331,16,0,324,4275,331,0 40,0,2024-09-07 09:06:51:489,83343,0.8,84018,0.9,167882,0.8,223504,2.75 40,1,2024-09-07 09:06:50:585,582234,582234,0,0,271206731922,2841409482,573681,7043,1510,368,391668,0 40,2,2024-09-07 09:06:51:302,420458,420457,1,0,20570748,0,5137 40,3,2024-09-07 09:06:51:148,1,331,1,0,1028,4992,331,0 41,0,2024-09-07 09:06:51:024,77876,1.4,79786,1.3,152315,2.2,207566,3.00 41,1,2024-09-07 09:06:50:780,581087,581087,0,0,271459359085,2841236093,574037,6347,703,369,391742,0 41,2,2024-09-07 09:06:50:770,418943,418943,0,0,19723449,0,3356 41,3,2024-09-07 09:06:51:678,1,331,1,0,366,3371,331,0 42,0,2024-09-07 09:06:51:477,83049,0.8,83343,1.0,166660,0.9,220645,2.50 42,1,2024-09-07 09:06:51:439,580577,580577,0,0,271043748838,2850428233,571050,7769,1758,380,391675,0 42,2,2024-09-07 09:06:51:143,416595,416595,0,0,19785568,0,3790 42,3,2024-09-07 09:06:51:015,1,331,2,0,446,3062,331,0 43,0,2024-09-07 09:06:50:934,82938,1.0,80901,1.1,169481,1.2,222108,2.50 43,1,2024-09-07 09:06:50:580,581519,581519,0,0,271986160850,2843754159,573287,6794,1438,366,391696,0 43,2,2024-09-07 09:06:51:737,416893,416893,0,0,19548338,0,3812 43,3,2024-09-07 09:06:51:749,1,331,1,0,467,4029,331,0 44,0,2024-09-07 09:06:50:863,85342,0.4,85731,0.6,171057,0.4,227465,1.75 44,1,2024-09-07 09:06:50:564,583250,583250,0,0,271307897084,2808296606,578190,4013,1047,356,391809,0 44,2,2024-09-07 09:06:51:277,417760,417760,0,0,16475148,0,4344 44,3,2024-09-07 09:06:51:113,1,331,1,0,817,4450,331,0 45,0,2024-09-07 09:06:51:759,78723,0.5,76829,0.7,161046,0.5,211792,2.00 45,1,2024-09-07 09:06:51:015,582211,582211,0,0,272552865267,2834284366,577777,3958,476,382,391917,0 45,2,2024-09-07 09:06:51:280,420984,420984,0,0,17058851,0,3596 45,3,2024-09-07 09:06:50:933,1,331,5,0,271,3212,331,0 46,0,2024-09-07 09:06:50:950,80991,0.6,80974,0.8,162064,0.6,216119,2.00 46,1,2024-09-07 09:06:50:576,584209,584209,0,0,273244889684,2823610697,580501,3282,426,366,391572,0 46,2,2024-09-07 09:06:50:593,420018,420018,0,0,16077745,0,2920 46,3,2024-09-07 09:06:51:141,1,331,1,0,908,4980,331,0 47,0,2024-09-07 09:06:51:120,84395,0.5,84566,0.7,169412,0.5,223769,2.00 47,1,2024-09-07 09:06:50:585,583896,583896,0,0,272587097822,2822067465,579409,3672,815,366,391641,0 47,2,2024-09-07 09:06:50:926,417393,417393,0,0,16559751,0,4477 47,3,2024-09-07 09:06:51:143,1,331,0,0,529,3706,331,0 48,0,2024-09-07 09:06:51:490,85717,0.3,85321,0.4,170129,0.2,227063,1.50 48,1,2024-09-07 09:06:51:022,582761,582761,0,0,272452092378,2830309361,579204,3254,303,384,391710,0 48,2,2024-09-07 09:06:50:724,416423,416423,0,0,15139735,0,3031 48,3,2024-09-07 09:06:50:753,1,331,1,0,339,2850,331,0 49,0,2024-09-07 09:06:51:711,84938,0.3,83434,0.5,161584,0.3,221274,1.75 49,1,2024-09-07 09:06:51:021,582506,582506,0,0,271959671984,2832792963,578188,3133,1185,382,391809,0 49,2,2024-09-07 09:06:51:875,422495,422495,0,0,16343454,0,4426 49,3,2024-09-07 09:06:51:416,1,331,1,0,408,3480,331,0 50,0,2024-09-07 09:06:51:518,79381,0.3,78321,0.5,157717,0.2,211075,1.75 50,1,2024-09-07 09:06:51:010,584546,584546,0,0,272949852505,2823128816,580727,3445,374,368,391565,0 50,2,2024-09-07 09:06:51:093,420958,420958,0,0,15299741,0,2263 50,3,2024-09-07 09:06:51:294,1,331,1,0,335,3278,331,0 51,0,2024-09-07 09:06:51:689,86801,0.3,84775,0.5,165521,0.3,225324,1.75 51,1,2024-09-07 09:06:51:683,584899,584899,0,0,273787957304,2825782308,581641,2283,975,365,391706,0 51,2,2024-09-07 09:06:51:325,415075,415075,0,0,14707806,0,3337 51,3,2024-09-07 09:06:51:027,1,331,1,0,678,2560,331,0 52,0,2024-09-07 09:06:51:414,85735,0.5,85628,0.7,171095,0.4,227724,2.00 52,1,2024-09-07 09:06:50:577,581648,581648,0,0,271411977872,2845066570,573011,7325,1312,368,391722,0 52,2,2024-09-07 09:06:51:768,413545,413507,38,0,19461922,0,6742 52,3,2024-09-07 09:06:50:674,1,331,0,0,1782,5170,331,0 53,0,2024-09-07 09:06:51:732,82815,0.6,80459,0.8,168250,0.7,220709,2.25 53,1,2024-09-07 09:06:50:779,580405,580405,0,0,271737065698,2853828631,570967,6857,2581,367,391702,0 53,2,2024-09-07 09:06:51:297,423289,423289,0,0,16748711,0,2727 53,3,2024-09-07 09:06:50:709,1,331,1,0,308,3218,331,0 54,0,2024-09-07 09:06:51:615,77540,0.6,78146,0.8,155184,0.4,208284,2.25 54,1,2024-09-07 09:06:50:581,582041,582041,0,0,272449683925,2832409411,576446,4993,602,367,391659,0 54,2,2024-09-07 09:06:50:873,420962,420956,6,0,19225944,0,5382 54,3,2024-09-07 09:06:50:769,1,331,25,0,676,5162,331,0 55,0,2024-09-07 09:06:51:764,81067,0.6,83898,0.7,169164,0.5,220508,2.50 55,1,2024-09-07 09:06:50:766,582200,582200,0,0,272350287703,2835769084,575578,5713,909,365,391731,0 55,2,2024-09-07 09:06:50:743,414724,414724,0,0,18604401,0,3563 55,3,2024-09-07 09:06:50:674,1,331,1,0,304,3749,331,0 56,0,2024-09-07 09:06:51:565,85999,1.3,81097,1.2,167231,1.8,224260,2.75 56,1,2024-09-07 09:06:50:569,579317,579317,0,0,271369713036,2866660558,570586,7101,1630,381,391867,0 56,2,2024-09-07 09:06:51:320,416701,416701,0,0,19475530,0,3567 56,3,2024-09-07 09:06:51:063,1,331,1,0,705,4423,331,0 57,0,2024-09-07 09:06:50:940,83646,1.4,83490,1.2,167348,2.0,223878,3.00 57,1,2024-09-07 09:06:50:994,580749,580749,0,0,270966002267,2841656138,573930,6099,720,366,392032,0 57,2,2024-09-07 09:06:51:325,420252,420252,0,0,21276243,0,3317 57,3,2024-09-07 09:06:51:738,1,331,1,0,359,3943,331,0 58,0,2024-09-07 09:06:50:567,77712,0.9,75608,1.0,158336,1.0,207995,2.50 58,1,2024-09-07 09:06:50:575,581888,581885,0,3,271624773905,2841495687,573767,7036,1082,367,391603,3 58,2,2024-09-07 09:06:51:112,419633,419633,0,0,19475966,0,2549 58,3,2024-09-07 09:06:51:074,1,331,0,0,1043,3406,331,0 59,0,2024-09-07 09:06:51:752,82851,0.8,82441,1.0,164640,0.8,219340,2.75 59,1,2024-09-07 09:06:50:812,581618,581618,0,0,271424680823,2843098888,573757,6341,1520,369,391586,0 59,2,2024-09-07 09:06:50:583,419153,419153,0,0,19152124,0,2867 59,3,2024-09-07 09:06:51:748,1,331,1,0,1015,4276,331,0 60,0,2024-09-07 09:06:51:716,83608,0.6,83714,0.7,168071,0.6,222710,1.75 60,1,2024-09-07 09:06:50:777,583264,583264,0,0,272406440930,2830068426,579155,3464,645,370,392031,0 60,2,2024-09-07 09:06:51:143,416414,416414,0,0,18745789,0,3811 60,3,2024-09-07 09:06:51:266,1,331,3,0,409,3939,331,0 61,0,2024-09-07 09:06:51:524,85171,0.6,85719,0.8,170317,0.6,226935,2.00 61,1,2024-09-07 09:06:50:775,581175,581175,0,0,270947796181,2843372845,574015,5836,1324,382,392127,0 61,2,2024-09-07 09:06:51:143,417350,417283,67,0,19094159,0,6411 61,3,2024-09-07 09:06:51:697,1,331,2,0,479,5069,331,0 62,0,2024-09-07 09:06:51:720,81964,0.5,83795,0.7,159712,0.5,216908,2.00 62,1,2024-09-07 09:06:51:144,585100,585094,0,6,273967683691,2829290923,581516,3319,259,365,391715,6 62,2,2024-09-07 09:06:51:651,420382,420381,1,0,18662519,0,5555 62,3,2024-09-07 09:06:51:148,1,331,1,0,465,2571,331,0 63,0,2024-09-07 09:06:51:501,80570,0.4,80468,0.6,161293,0.4,214710,1.75 63,1,2024-09-07 09:06:50:806,583098,583092,0,6,273470129778,2840612733,579161,3543,388,381,391800,6 63,2,2024-09-07 09:06:50:767,420270,420270,0,0,16977145,0,4369 63,3,2024-09-07 09:06:51:733,1,331,1,0,667,3821,331,0 64,0,2024-09-07 09:06:51:517,83644,0.5,83606,0.7,167279,0.5,221841,2.00 64,1,2024-09-07 09:06:50:753,582698,582698,0,0,272327224849,2840251702,577053,3985,1660,370,391783,0 64,2,2024-09-07 09:06:51:153,418487,418468,19,0,16624695,0,6121 64,3,2024-09-07 09:06:51:142,1,331,0,0,265,3106,331,0 65,0,2024-09-07 09:06:51:689,84428,0.7,84652,0.8,169335,0.7,225656,2.25 65,1,2024-09-07 09:06:50:864,581695,581695,0,0,271573579783,2839242372,577612,3632,451,382,391901,0 65,2,2024-09-07 09:06:51:715,417807,417807,0,0,18328639,0,3367 65,3,2024-09-07 09:06:51:686,1,331,1,0,163,3013,331,0 66,0,2024-09-07 09:06:51:778,83144,0.5,83035,0.7,166346,0.4,221101,2.00 66,1,2024-09-07 09:06:51:293,582461,582461,0,0,272212310283,2831148902,578901,3238,322,380,391653,0 66,2,2024-09-07 09:06:51:138,424366,424366,0,0,16553757,0,4956 66,3,2024-09-07 09:06:51:109,1,331,1,0,291,3201,331,0 67,0,2024-09-07 09:06:51:427,78727,0.5,78127,0.7,157065,0.5,209088,2.00 67,1,2024-09-07 09:06:50:775,582788,582787,0,1,271800183002,2831674593,578622,3414,751,380,391787,1 67,2,2024-09-07 09:06:50:589,421642,421642,0,0,15932903,0,2889 67,3,2024-09-07 09:06:51:750,1,331,1,0,338,2855,331,0 68,0,2024-09-07 09:06:50:577,84717,0.6,84341,0.7,168207,0.6,225089,2.00 68,1,2024-09-07 09:06:50:569,581349,581349,0,0,271376297389,2843609270,576535,3610,1204,381,391953,0 68,2,2024-09-07 09:06:51:046,413115,413050,65,0,20868830,0,6698 68,3,2024-09-07 09:06:50:732,1,331,8,0,417,3639,331,0 69,0,2024-09-07 09:06:51:745,84719,0.9,85087,0.9,169547,1.1,225344,2.25 69,1,2024-09-07 09:06:51:019,579265,579265,0,0,271124455308,2862641333,571291,5864,2110,384,391994,0 69,2,2024-09-07 09:06:51:747,416357,416357,0,0,21069488,0,3722 69,3,2024-09-07 09:06:50:764,1,331,4,0,698,4785,331,0 70,0,2024-09-07 09:06:51:545,83345,0.8,83285,1.0,167663,0.6,222132,2.25 70,1,2024-09-07 09:06:50:801,582803,582803,0,0,272834116899,2833386339,577955,4312,536,366,391725,0 70,2,2024-09-07 09:06:51:326,420424,420424,0,0,19162484,0,4044 70,3,2024-09-07 09:06:50:745,1,331,13,0,854,3765,331,0 71,0,2024-09-07 09:06:51:357,77897,0.8,77547,1.0,155842,1.0,208314,2.50 71,1,2024-09-07 09:06:51:615,581762,581762,0,0,272044163610,2839978705,575154,5952,656,368,391738,0 71,2,2024-09-07 09:06:51:073,420413,420413,0,0,18774047,0,4042 71,3,2024-09-07 09:06:51:750,1,331,58,0,644,4635,331,0 72,0,2024-09-07 09:06:51:029,86605,0.6,84685,0.8,165162,0.6,224943,2.00 72,1,2024-09-07 09:06:51:021,580979,580979,0,0,271474752041,2846143806,572751,6531,1697,369,391819,0 72,2,2024-09-07 09:06:51:755,415511,415511,0,0,21529112,0,3983 72,3,2024-09-07 09:06:51:754,1,331,1,0,564,5278,331,0 73,0,2024-09-07 09:06:51:125,82049,0.5,83970,0.6,171945,0.4,223234,2.00 73,1,2024-09-07 09:06:50:777,582312,582312,0,0,271866080677,2827812674,577994,3988,330,367,391858,0 73,2,2024-09-07 09:06:51:739,416808,416808,0,0,20544781,0,3701 73,3,2024-09-07 09:06:50:973,1,331,1,0,274,4488,331,0 74,0,2024-09-07 09:06:51:351,85720,0.5,87903,0.7,167829,0.4,227141,2.25 74,1,2024-09-07 09:06:50:635,581870,581870,0,0,271574748077,2837033679,575791,4816,1263,381,391681,0 74,2,2024-09-07 09:06:51:011,418037,418037,0,0,19623516,0,4253 74,3,2024-09-07 09:06:51:452,1,331,9,0,522,4619,331,0 75,0,2024-09-07 09:06:51:769,79887,0.5,79561,0.7,159315,0.4,212813,2.25 75,1,2024-09-07 09:06:51:585,580997,580997,0,0,270654130041,2829813643,574646,5526,825,380,391739,0 75,2,2024-09-07 09:06:51:351,420120,420120,0,0,19688753,0,4766 75,3,2024-09-07 09:06:51:109,1,331,2,0,702,4746,331,0 76,0,2024-09-07 09:06:50:641,81222,0.6,80670,0.8,161831,0.6,217294,2.25 76,1,2024-09-07 09:06:50:805,581970,581970,0,0,271819001670,2836559841,578051,3330,589,382,391692,0 76,2,2024-09-07 09:06:51:080,420682,420681,1,0,19026180,0,5144 76,3,2024-09-07 09:06:51:142,1,331,0,0,175,3244,331,0 77,0,2024-09-07 09:06:51:700,84078,0.7,84290,0.8,168505,0.7,223756,2.00 77,1,2024-09-07 09:06:50:832,582024,582024,0,0,271744665664,2841460797,576838,4697,489,381,391869,0 77,2,2024-09-07 09:06:51:286,416058,416058,0,0,18456920,0,3890 77,3,2024-09-07 09:06:51:124,1,331,2,0,401,3791,331,0 78,0,2024-09-07 09:06:51:726,85456,0.5,84992,0.7,170757,0.4,226305,2.00 78,1,2024-09-07 09:06:50:624,582441,582441,0,0,270724431889,2820964081,576577,4719,1145,367,391670,0 78,2,2024-09-07 09:06:51:405,417517,417517,0,0,16070262,0,3855 78,3,2024-09-07 09:06:51:140,1,331,0,0,181,3234,331,0 79,0,2024-09-07 09:06:51:392,80079,0.4,82184,0.6,167968,0.3,218539,2.25 79,1,2024-09-07 09:06:50:571,583990,583990,0,0,272657395596,2825100880,579437,3920,633,369,391682,0 79,2,2024-09-07 09:06:51:069,423140,423140,0,0,17080022,0,4195 79,3,2024-09-07 09:06:50:753,1,331,1,0,418,4278,331,0 80,0,2024-09-07 09:06:51:090,79184,0.5,81265,0.7,155926,0.5,210881,2.00 80,1,2024-09-07 09:06:51:699,582323,582323,0,0,271672084956,2826825537,578972,3172,179,368,391791,0 80,2,2024-09-07 09:06:51:109,422399,422399,0,0,16258523,0,4433 80,3,2024-09-07 09:06:50:575,1,331,1,0,190,4016,331,0 81,0,2024-09-07 09:06:51:538,84511,0.6,86587,0.7,165185,0.6,224027,2.00 81,1,2024-09-07 09:06:51:654,581506,581506,0,0,270493206481,2828617160,576652,4355,499,382,391879,0 81,2,2024-09-07 09:06:51:133,414495,414432,63,0,18056558,0,5932 81,3,2024-09-07 09:06:51:143,1,331,1,0,374,3912,331,0 82,0,2024-09-07 09:06:51:535,84998,0.5,85582,0.7,171358,0.4,227308,2.00 82,1,2024-09-07 09:06:50:589,583523,583519,0,4,272351974703,2835946628,579624,3098,797,381,391768,4 82,2,2024-09-07 09:06:51:694,418171,418171,0,0,15514953,0,3986 82,3,2024-09-07 09:06:51:770,1,331,1,0,363,3419,331,0 83,0,2024-09-07 09:06:51:525,83604,0.5,83382,0.7,166074,0.5,220991,2.00 83,1,2024-09-07 09:06:50:623,581720,581720,0,0,271710445313,2834488874,577410,3930,380,382,391709,0 83,2,2024-09-07 09:06:50:766,422268,422268,0,0,16081924,0,3393 83,3,2024-09-07 09:06:50:751,1,331,2,0,1260,4877,331,0 84,0,2024-09-07 09:06:51:816,78181,0.7,78099,0.8,156364,0.5,209629,2.25 84,1,2024-09-07 09:06:51:040,581511,581511,0,0,271338126368,2836505050,575768,5016,727,367,391967,0 84,2,2024-09-07 09:06:50:586,420432,420432,0,0,20160691,0,4757 84,3,2024-09-07 09:06:51:145,1,331,0,0,908,5074,331,0 85,0,2024-09-07 09:06:51:007,80841,0.7,80813,0.8,171545,0.7,221863,2.25 85,1,2024-09-07 09:06:50:580,579987,579987,0,0,271110208402,2862327760,571473,7142,1372,381,392006,0 85,2,2024-09-07 09:06:50:876,415758,415758,0,0,19845987,0,3656 85,3,2024-09-07 09:06:50:690,1,331,1,0,789,4388,331,0 86,0,2024-09-07 09:06:50:889,84250,0.7,86591,0.8,165770,0.8,224376,2.25 86,1,2024-09-07 09:06:50:827,581653,581653,0,0,270803547125,2837047240,574766,5821,1066,366,391961,0 86,2,2024-09-07 09:06:50:867,416004,416003,1,0,20768605,0,5004 86,3,2024-09-07 09:06:50:593,1,331,1,0,308,4580,331,0 87,0,2024-09-07 09:06:51:286,84375,0.9,84295,0.9,169179,1.1,225699,2.25 87,1,2024-09-07 09:06:50:561,580875,580875,0,0,271763551595,2843716785,574696,5568,611,366,392076,0 87,2,2024-09-07 09:06:51:106,418996,418996,0,0,19017902,0,4045 87,3,2024-09-07 09:06:51:799,1,331,84,0,473,4894,331,0 88,0,2024-09-07 09:06:51:487,78968,0.4,79586,0.6,158557,0.4,210890,1.75 88,1,2024-09-07 09:06:50:586,580062,580062,0,0,271872044656,2851488913,572370,6028,1664,365,392084,0 88,2,2024-09-07 09:06:50:692,420894,420894,0,0,20985361,0,3583 88,3,2024-09-07 09:06:51:285,1,331,11,0,435,3643,331,0 89,0,2024-09-07 09:06:51:810,85591,0.5,82765,0.7,164060,0.4,222936,1.75 89,1,2024-09-07 09:06:50:551,580052,580052,0,0,271148335563,2854875950,572301,6478,1273,382,391866,0 89,2,2024-09-07 09:06:51:143,417693,417693,0,0,20039588,0,2910 89,3,2024-09-07 09:06:51:799,1,331,0,0,385,6074,331,0 90,0,2024-09-07 09:06:51:636,81400,0.5,83418,0.7,170419,0.5,222139,2.00 90,1,2024-09-07 09:06:50:643,581461,581461,0,0,271170402363,2844672899,575262,5698,501,380,391825,0 90,2,2024-09-07 09:06:51:406,414949,414949,0,0,21197220,0,3060 90,3,2024-09-07 09:06:50:941,1,331,2,0,246,3696,331,0 91,0,2024-09-07 09:06:51:043,85699,0.5,83017,0.6,173467,0.5,227497,1.75 91,1,2024-09-07 09:06:50:563,580083,580083,0,0,271368266728,2856509428,572262,6777,1044,381,392047,0 91,2,2024-09-07 09:06:51:340,417432,417432,0,0,19121171,0,2896 91,3,2024-09-07 09:06:50:620,1,331,12,0,216,3157,331,0 92,0,2024-09-07 09:06:51:551,82239,0.4,84364,0.6,161022,0.4,217350,1.75 92,1,2024-09-07 09:06:50:580,581364,581364,0,0,270614296995,2830098910,576556,4122,686,382,392136,0 92,2,2024-09-07 09:06:51:354,422438,422438,0,0,17410660,0,3259 92,3,2024-09-07 09:06:51:013,1,331,1,0,167,2885,331,0 93,0,2024-09-07 09:06:50:966,80845,0.4,82931,0.6,158482,0.4,214734,1.75 93,1,2024-09-07 09:06:50:808,581500,581500,0,0,271784358202,2839755478,575385,5086,1029,366,391776,0 93,2,2024-09-07 09:06:50:931,419558,419558,0,0,20251300,0,4845 93,3,2024-09-07 09:06:51:407,1,331,1,0,190,3210,331,0 94,0,2024-09-07 09:06:51:603,83750,0.4,84569,0.6,168564,0.4,223432,1.75 94,1,2024-09-07 09:06:50:566,581908,581908,0,0,272316101754,2843917086,577874,3844,190,381,391850,0 94,2,2024-09-07 09:06:50:777,415075,415075,0,0,17400670,0,2443 94,3,2024-09-07 09:06:51:692,1,331,13,0,264,4118,331,0 95,0,2024-09-07 09:06:51:363,85103,0.4,85146,0.5,170756,0.3,227523,1.75 95,1,2024-09-07 09:06:50:852,583413,583413,0,0,271817988781,2829422578,578448,4566,399,367,391713,0 95,2,2024-09-07 09:06:51:032,417018,417018,0,0,17182844,0,3308 95,3,2024-09-07 09:06:51:740,1,331,2,0,718,5314,331,0 96,0,2024-09-07 09:06:51:026,83621,0.4,83878,0.5,167288,0.3,221894,1.75 96,1,2024-09-07 09:06:51:593,581244,581244,0,0,271749163342,2837211473,576723,3725,796,384,391955,0 96,2,2024-09-07 09:06:51:278,422866,422866,0,0,17223888,0,4042 96,3,2024-09-07 09:06:51:142,1,331,1,0,411,3699,331,0 97,0,2024-09-07 09:06:51:327,78526,0.3,78251,0.5,157019,0.3,208763,1.75 97,1,2024-09-07 09:06:50:766,583632,583632,0,0,272618188471,2827601975,579757,3203,672,367,392140,0 97,2,2024-09-07 09:06:50:612,421803,421803,0,0,16646975,0,3036 97,3,2024-09-07 09:06:50:570,1,331,0,0,214,3985,331,0 98,0,2024-09-07 09:06:51:708,84539,0.3,84305,0.5,169306,0.2,225704,1.50 98,1,2024-09-07 09:06:50:811,582946,582946,0,0,272302638952,2833483271,580286,2553,107,382,391997,0 98,2,2024-09-07 09:06:50:796,415471,415471,0,0,16491600,0,3080 98,3,2024-09-07 09:06:50:711,1,331,2,0,840,5401,331,0 99,0,2024-09-07 09:06:51:455,85111,0.4,85677,0.5,170432,0.3,227635,1.75 99,1,2024-09-07 09:06:51:750,582289,582289,0,0,271831852731,2831810083,578156,3379,754,381,392069,0 99,2,2024-09-07 09:06:51:417,418346,418346,0,0,18602832,0,3424 99,3,2024-09-07 09:06:50:587,1,331,1,0,199,3140,331,0 100,0,2024-09-07 09:06:51:494,83807,0.7,83815,1.0,167710,0.9,223827,2.25 100,1,2024-09-07 09:06:50:550,579481,579481,0,0,271101295845,2865511181,571016,6969,1496,381,391989,0 100,2,2024-09-07 09:06:51:879,420036,420025,11,0,19538957,0,5417 100,3,2024-09-07 09:06:51:745,1,331,36,0,559,5908,331,0 101,0,2024-09-07 09:06:51:751,80063,1.0,78114,1.0,153023,0.7,209966,2.25 101,1,2024-09-07 09:06:50:568,579157,579157,0,0,270470342184,2849805377,570092,7043,2022,368,391771,0 101,2,2024-09-07 09:06:51:775,418581,418581,0,0,22433368,0,4644 101,3,2024-09-07 09:06:50:950,1,331,0,0,579,4474,331,0 102,0,2024-09-07 09:06:50:957,81769,0.7,84558,0.8,170602,0.7,223725,2.00 102,1,2024-09-07 09:06:51:146,580438,580438,0,0,271232819772,2850413583,573124,6282,1032,369,391891,0 102,2,2024-09-07 09:06:51:737,417656,417602,54,0,18851089,0,6768 102,3,2024-09-07 09:06:51:650,1,331,1,0,410,3600,331,0 103,0,2024-09-07 09:06:51:600,86715,0.7,86770,0.8,163484,0.7,225112,2.00 103,1,2024-09-07 09:06:51:631,579541,579541,0,0,271284895600,2862753702,570723,6822,1996,381,391829,0 103,2,2024-09-07 09:06:50:587,415801,415801,0,0,19576562,0,3173 103,3,2024-09-07 09:06:50:765,1,331,16,0,916,4226,331,0 104,0,2024-09-07 09:06:51:005,84403,0.7,84770,1.0,168399,0.7,226483,2.25 104,1,2024-09-07 09:06:51:600,580941,580941,0,0,270669275429,2848800158,572040,7203,1698,365,392168,0 104,2,2024-09-07 09:06:51:678,416840,416840,0,0,20776489,0,3941 104,3,2024-09-07 09:06:51:418,1,331,6,0,1245,7421,331,0 105,0,2024-09-07 09:06:51:027,78904,0.8,76767,1.1,160773,1.0,211293,3.00 105,1,2024-09-07 09:06:50:564,581380,581380,0,0,271745968079,2853014052,573231,6817,1332,366,391797,0 105,2,2024-09-07 09:06:51:326,419958,419958,0,0,20110944,0,3509 105,3,2024-09-07 09:06:51:303,1,331,5,0,399,5313,331,0 106,0,2024-09-07 09:06:50:946,78736,0.9,80659,1.0,164905,1.0,216713,2.50 106,1,2024-09-07 09:06:51:751,580039,580039,0,0,271201065429,2853949642,570466,8280,1293,369,391914,0 106,2,2024-09-07 09:06:50:759,417405,417405,0,0,19871167,0,2795 106,3,2024-09-07 09:06:50:697,1,331,4,0,470,4310,331,0 107,0,2024-09-07 09:06:51:112,83961,1.2,83870,1.0,167816,1.7,223429,2.25 107,1,2024-09-07 09:06:50:601,579486,579486,0,0,270682231941,2854249028,571524,7048,914,381,392234,0 107,2,2024-09-07 09:06:51:291,414491,414490,1,0,20230127,0,5024 107,3,2024-09-07 09:06:51:767,1,331,45,0,353,4509,331,0 108,0,2024-09-07 09:06:51:778,84948,0.5,85437,0.6,170001,0.4,226906,1.75 108,1,2024-09-07 09:06:51:301,581882,581882,0,0,272122246390,2840843835,576800,4565,517,368,391857,0 108,2,2024-09-07 09:06:51:760,415545,415545,0,0,19414356,0,4246 108,3,2024-09-07 09:06:51:339,1,331,2,0,749,6734,331,0 109,0,2024-09-07 09:06:51:807,83345,0.4,82345,0.5,165046,0.3,220908,1.75 109,1,2024-09-07 09:06:50:590,579663,579663,0,0,271693710052,2851793735,574465,4535,663,383,392132,0 109,2,2024-09-07 09:06:50:923,420286,420286,0,0,19043106,0,3617 109,3,2024-09-07 09:06:51:145,1,331,3,0,379,4038,331,0 110,0,2024-09-07 09:06:51:770,79189,0.4,77037,0.6,161189,0.3,211672,1.75 110,1,2024-09-07 09:06:51:643,582835,582835,0,0,272412123196,2828894096,578837,3024,974,369,392045,0 110,2,2024-09-07 09:06:51:313,420395,420395,0,0,18802931,0,4067 110,3,2024-09-07 09:06:50:691,1,331,1,0,722,5065,331,0 111,0,2024-09-07 09:06:51:430,84992,0.4,84320,0.6,168792,0.4,225509,1.75 111,1,2024-09-07 09:06:51:004,583146,583146,0,0,273566541223,2840520299,579766,3028,352,382,391690,0 111,2,2024-09-07 09:06:51:145,413854,413854,0,0,18097910,0,4823 111,3,2024-09-07 09:06:50:912,1,331,1,0,379,4105,331,0 112,0,2024-09-07 09:06:50:909,86177,0.3,85507,0.4,171490,0.2,228122,1.50 112,1,2024-09-07 09:06:50:833,583019,583019,0,0,272408311416,2826981752,579559,2876,584,380,391624,0 112,2,2024-09-07 09:06:51:141,417231,417230,1,0,16488939,0,5036 112,3,2024-09-07 09:06:50:594,1,331,2,0,282,3434,331,0 113,0,2024-09-07 09:06:50:883,83194,0.3,83300,0.5,167032,0.2,222445,1.50 113,1,2024-09-07 09:06:51:705,585175,585175,0,0,272919632244,2817146154,581895,2696,584,366,391661,0 113,2,2024-09-07 09:06:51:311,424026,424026,0,0,15241957,0,3813 113,3,2024-09-07 09:06:50:684,1,331,1,0,340,4044,331,0 114,0,2024-09-07 09:06:50:880,79076,0.3,79594,0.5,158129,0.2,211764,1.75 114,1,2024-09-07 09:06:50:722,582800,582800,0,0,272234665506,2830769951,577677,3529,1594,381,391556,0 114,2,2024-09-07 09:06:50:873,421557,421556,1,0,16821948,0,5069 114,3,2024-09-07 09:06:51:277,1,331,2,0,395,2959,331,0 115,0,2024-09-07 09:06:50:561,83803,0.3,84336,0.4,168584,0.2,224173,1.50 115,1,2024-09-07 09:06:50:571,582468,582468,0,0,272179172107,2828284522,577604,4024,840,382,391656,0 115,2,2024-09-07 09:06:51:132,417231,417231,0,0,15453122,0,3453 115,3,2024-09-07 09:06:51:018,1,331,1,0,159,1973,331,0 116,0,2024-09-07 09:06:51:709,83988,0.9,83842,1.0,168089,1.0,225381,2.25 116,1,2024-09-07 09:06:50:807,579225,579225,0,0,270874665942,2859804749,571627,5331,2267,380,391782,0 116,2,2024-09-07 09:06:51:759,416255,416255,0,0,20951867,0,3529 116,3,2024-09-07 09:06:50:913,1,331,2,0,415,4217,331,0 117,0,2024-09-07 09:06:50:982,84854,0.7,84397,0.9,168988,0.8,226100,2.00 117,1,2024-09-07 09:06:51:609,580867,580867,0,0,271703175016,2845627617,574594,5605,668,370,392033,0 117,2,2024-09-07 09:06:51:121,422514,422514,0,0,17388613,0,3700 117,3,2024-09-07 09:06:51:063,1,331,0,0,490,4921,331,0 118,0,2024-09-07 09:06:51:779,76751,0.5,78798,0.7,160760,0.5,210144,2.00 118,1,2024-09-07 09:06:50:589,580453,580453,0,0,271179701041,2852738434,571672,6883,1898,366,391907,0 118,2,2024-09-07 09:06:51:587,419702,419702,0,0,19037291,0,2781 118,3,2024-09-07 09:06:51:767,1,331,1,0,235,3555,331,0 119,0,2024-09-07 09:06:51:353,82656,0.6,83103,0.8,166305,0.6,221504,2.00 119,1,2024-09-07 09:06:50:583,581769,581769,0,0,272214848709,2848442341,575205,5727,837,367,391780,0 119,2,2024-09-07 09:06:51:265,418752,418752,0,0,17982362,0,4174 119,3,2024-09-07 09:06:51:325,1,331,1,0,563,5143,331,0 120,0,2024-09-07 09:06:51:631,83360,0.7,83079,0.9,166399,0.7,222685,2.25 120,1,2024-09-07 09:06:50:868,581122,581122,0,0,270689545099,2843099630,574717,5849,556,368,391961,0 120,2,2024-09-07 09:06:50:771,415953,415952,1,0,20978689,0,5281 120,3,2024-09-07 09:06:51:293,1,331,3,0,241,4196,331,0 121,0,2024-09-07 09:06:51:761,85008,1.0,85186,1.0,170895,1.3,227171,2.25 121,1,2024-09-07 09:06:51:662,581705,581705,0,0,271600766870,2843717008,575800,5468,437,367,391840,0 121,2,2024-09-07 09:06:51:143,416301,416301,0,0,20270809,0,4127 121,3,2024-09-07 09:06:50:729,1,331,1,0,269,3865,331,0 122,0,2024-09-07 09:06:51:794,81341,0.7,79286,0.9,166158,0.8,217328,2.00 122,1,2024-09-07 09:06:50:863,580371,580371,0,0,271271788601,2849741347,571914,7196,1261,366,392130,0 122,2,2024-09-07 09:06:51:321,421238,421165,73,0,22779503,0,5989 122,3,2024-09-07 09:06:50:601,1,331,2,0,411,5953,331,0 123,0,2024-09-07 09:06:50:953,80007,0.7,78269,0.8,163197,0.8,214308,2.00 123,1,2024-09-07 09:06:50:563,580084,580084,0,0,271039815730,2861136954,568665,9293,2126,369,392039,0 123,2,2024-09-07 09:06:51:024,418219,418218,1,0,19730465,0,5215 123,3,2024-09-07 09:06:51:132,1,331,2,0,168,3855,331,0 124,0,2024-09-07 09:06:50:944,86712,0.4,86773,0.5,163550,0.3,224259,1.75 124,1,2024-09-07 09:06:51:023,582686,582686,0,0,271867179990,2831370301,577969,3807,910,367,392178,0 124,2,2024-09-07 09:06:51:014,416158,416105,53,0,17655521,0,6487 124,3,2024-09-07 09:06:50:759,1,331,1,0,490,3458,331,0 125,0,2024-09-07 09:06:51:439,85591,0.4,85028,0.6,171210,0.4,227895,1.75 125,1,2024-09-07 09:06:50:868,581458,581458,0,0,271888756941,2841465466,576579,4235,644,383,391702,0 125,2,2024-09-07 09:06:51:130,417084,417084,0,0,18302479,0,4534 125,3,2024-09-07 09:06:51:131,1,331,0,0,709,4584,331,0 126,0,2024-09-07 09:06:51:451,83529,0.4,85784,0.6,164352,0.4,222772,1.75 126,1,2024-09-07 09:06:50:563,583299,583299,0,0,272394012513,2825097477,579568,3448,283,365,391987,0 126,2,2024-09-07 09:06:50:631,423182,423182,0,0,18422674,0,4539 126,3,2024-09-07 09:06:50:919,1,331,4,0,207,4187,331,0 127,0,2024-09-07 09:06:51:609,78273,0.3,78841,0.5,156894,0.3,208728,1.75 127,1,2024-09-07 09:06:50:624,582605,582605,0,0,271525425885,2823252936,577209,4536,860,364,392187,0 127,2,2024-09-07 09:06:50:642,420462,420462,0,0,16964843,0,3897 127,3,2024-09-07 09:06:51:276,1,331,3,0,968,3996,331,0 128,0,2024-09-07 09:06:51:529,84690,0.3,84941,0.5,169813,0.2,225943,1.50 128,1,2024-09-07 09:06:51:611,582366,582366,0,0,272004578218,2826479333,578268,3728,370,367,391798,0 128,2,2024-09-07 09:06:51:386,416116,416116,0,0,15836309,0,2915 128,3,2024-09-07 09:06:50:769,1,331,0,0,1082,5913,331,0 129,0,2024-09-07 09:06:51:078,85677,0.3,85414,0.5,171080,0.3,227645,1.75 129,1,2024-09-07 09:06:50:569,579787,579787,0,0,271688043541,2846935454,574144,4387,1256,379,391835,0 129,2,2024-09-07 09:06:50:687,417842,417842,0,0,16734412,0,4031 129,3,2024-09-07 09:06:50:692,1,331,1,0,469,4424,331,0 130,0,2024-09-07 09:06:51:742,84751,0.5,84258,0.6,169454,0.5,225091,1.75 130,1,2024-09-07 09:06:50:620,582779,582779,0,0,272220932747,2832138541,579366,3134,279,381,391825,0 130,2,2024-09-07 09:06:51:132,422815,422815,0,0,16672975,0,4067 130,3,2024-09-07 09:06:51:292,1,331,0,0,450,4142,331,0 131,0,2024-09-07 09:06:51:934,78252,0.3,78819,0.5,158327,0.3,209679,1.75 131,1,2024-09-07 09:06:51:824,582688,582688,0,0,271920409573,2839380072,578217,3726,745,381,391865,0 131,2,2024-09-07 09:06:50:567,422824,422824,0,0,15409693,0,2415 131,3,2024-09-07 09:06:51:688,1,331,1,0,392,3510,331,0 132,0,2024-09-07 09:06:51:428,83836,0.4,84532,0.6,168671,0.4,224473,1.75 132,1,2024-09-07 09:06:50:581,579654,579654,0,0,270255764941,2848488915,571018,7171,1465,381,392097,0 132,2,2024-09-07 09:06:50:713,416713,416713,0,0,20296006,0,4606 132,3,2024-09-07 09:06:51:696,1,331,3,0,804,6146,331,0 133,0,2024-09-07 09:06:51:525,82075,0.5,83869,0.6,172186,0.4,223693,2.00 133,1,2024-09-07 09:06:50:600,579793,579793,0,0,270403165547,2852597420,571624,7086,1083,383,391914,0 133,2,2024-09-07 09:06:51:107,415745,415745,0,0,21581467,0,4315 133,3,2024-09-07 09:06:51:297,1,331,1,0,479,3571,331,0 134,0,2024-09-07 09:06:50:943,85550,0.5,85429,0.7,170860,0.5,227672,2.00 134,1,2024-09-07 09:06:50:596,580295,580295,0,0,270555832220,2843456982,572041,6398,1856,366,391718,0 134,2,2024-09-07 09:06:51:764,417868,417868,0,0,18080871,0,3847 134,3,2024-09-07 09:06:50:753,1,331,2,0,739,4403,331,0 135,0,2024-09-07 09:06:51:115,77120,0.7,77156,0.8,163607,0.7,210983,2.00 135,1,2024-09-07 09:06:51:596,580751,580751,0,0,272162549994,2866249169,572399,7115,1237,380,391805,0 135,2,2024-09-07 09:06:50:694,421587,421587,0,0,19542836,0,3981 135,3,2024-09-07 09:06:51:008,1,331,17,0,299,2620,331,0 136,0,2024-09-07 09:06:51:655,82014,0.6,82069,0.7,163293,0.6,218659,2.25 136,1,2024-09-07 09:06:51:441,580510,580510,0,0,271002411647,2847963655,573497,6317,696,382,391685,0 136,2,2024-09-07 09:06:51:141,419446,419446,0,0,19349720,0,3506 136,3,2024-09-07 09:06:51:128,1,331,1,0,637,3861,331,0 137,0,2024-09-07 09:06:50:948,86694,0.7,84418,0.8,165622,0.8,224824,2.00 137,1,2024-09-07 09:06:50:605,580092,580092,0,0,271173844565,2849767088,570515,7696,1881,366,391708,0 137,2,2024-09-07 09:06:51:740,415098,415098,0,0,21602311,0,3185 137,3,2024-09-07 09:06:50:774,1,331,6,0,382,4022,331,0 138,0,2024-09-07 09:06:51:754,84617,1.3,84679,1.1,170010,1.8,225888,2.25 138,1,2024-09-07 09:06:51:685,580904,580904,0,0,271967208264,2858406835,571863,7445,1596,368,391954,0 138,2,2024-09-07 09:06:50:593,416426,416426,0,0,19370149,0,4988 138,3,2024-09-07 09:06:50:623,1,331,2,0,1160,4775,331,0 139,0,2024-09-07 09:06:51:363,81738,1.2,82065,1.1,164444,1.8,219083,2.25 139,1,2024-09-07 09:06:50:576,578415,578415,0,0,269965621679,2871519845,567057,8714,2644,381,391892,0 139,2,2024-09-07 09:06:50:695,419509,419509,0,0,21062925,0,3097 139,3,2024-09-07 09:06:51:662,1,331,1,0,257,3716,331,0 140,0,2024-09-07 09:06:51:595,79568,0.3,79062,0.5,158751,0.2,212009,1.75 140,1,2024-09-07 09:06:51:536,584556,584556,0,0,273080116359,2818450559,581353,2765,438,365,391606,0 140,2,2024-09-07 09:06:50:697,420951,420950,1,0,17506840,0,5036 140,3,2024-09-07 09:06:50:769,1,331,1,0,247,2725,331,0 141,0,2024-09-07 09:06:51:703,84785,0.4,87024,0.5,166300,0.3,225443,1.75 141,1,2024-09-07 09:06:50:867,583189,583189,0,0,271953764818,2828213558,578628,3699,862,379,391614,0 141,2,2024-09-07 09:06:51:694,414741,414741,0,0,16979234,0,3360 141,3,2024-09-07 09:06:51:044,1,331,0,0,391,3855,331,0 142,0,2024-09-07 09:06:51:318,86309,0.3,85492,0.5,170807,0.3,228457,1.75 142,1,2024-09-07 09:06:50:590,582794,582794,0,0,271263474475,2831141635,578833,3610,351,382,392102,0 142,2,2024-09-07 09:06:51:310,416271,416239,32,0,18455971,0,6028 142,3,2024-09-07 09:06:51:747,1,331,4,0,484,4131,331,0 143,0,2024-09-07 09:06:51:377,83531,0.4,83328,0.6,167160,0.4,222565,1.75 143,1,2024-09-07 09:06:50:583,582847,582847,0,0,272147411232,2825983920,579076,3622,149,367,391705,0 143,2,2024-09-07 09:06:50:808,422562,422562,0,0,17856837,0,3123 143,3,2024-09-07 09:06:51:146,1,331,4,0,303,3970,331,0 144,0,2024-09-07 09:06:51:515,76262,0.5,78343,0.8,159577,0.4,209929,2.00 144,1,2024-09-07 09:06:50:573,580412,580412,0,0,270874178866,2840816224,575562,3909,941,381,391649,0 144,2,2024-09-07 09:06:51:766,421514,421514,0,0,16110971,0,3473 144,3,2024-09-07 09:06:51:745,1,331,1,0,249,3427,331,0 145,0,2024-09-07 09:06:51:389,81114,0.6,81087,0.8,172089,0.6,221684,2.25 145,1,2024-09-07 09:06:50:562,579733,579733,0,0,271368666844,2854967534,572436,6157,1140,382,391759,0 145,2,2024-09-07 09:06:51:429,414458,414458,0,0,19463699,0,3903 145,3,2024-09-07 09:06:50:898,1,331,1,0,622,5091,331,0 146,0,2024-09-07 09:06:51:686,84350,0.6,83676,0.8,168771,0.6,224029,2.25 146,1,2024-09-07 09:06:51:677,581331,581331,0,0,271701299826,2859570936,571639,7682,2010,368,391770,0 146,2,2024-09-07 09:06:51:694,415982,415982,0,0,19329213,0,2730 146,3,2024-09-07 09:06:51:278,1,331,1,0,1520,6997,331,0 147,0,2024-09-07 09:06:51:711,84933,0.6,84584,0.8,168482,0.6,225686,2.25 147,1,2024-09-07 09:06:51:402,582864,582864,0,0,272317714018,2836498536,577205,4859,800,368,391791,0 147,2,2024-09-07 09:06:51:011,420123,420123,0,0,17244468,0,2789 147,3,2024-09-07 09:06:50:912,1,331,3,0,730,4934,331,0 0,0,2024-09-07 09:07:01:718,81463,0.7,81492,0.8,172974,0.8,223384,2.00 0,1,2024-09-07 09:07:00:802,583456,583456,0,0,272539188422,2851193555,579330,3882,244,370,391896,0 0,2,2024-09-07 09:07:01:067,418183,418183,0,0,16983565,0,4480 0,3,2024-09-07 09:07:00:984,1,332,2,0,431,4614,332,0 1,0,2024-09-07 09:07:01:777,85532,0.8,84947,0.9,170711,1.0,227832,2.00 1,1,2024-09-07 09:07:00:586,582272,582272,0,0,272244119116,2852598172,576678,4368,1226,370,391857,0 1,2,2024-09-07 09:07:00:639,418029,418029,0,0,16484434,0,3267 1,3,2024-09-07 09:07:01:302,1,332,1,0,262,3926,332,0 2,0,2024-09-07 09:07:01:581,81467,0.6,81799,0.7,162376,0.7,216912,2.00 2,1,2024-09-07 09:07:00:867,584461,584461,0,0,273350724430,2839436640,581566,2602,293,380,391745,0 2,2,2024-09-07 09:07:01:270,422969,422969,0,0,16454960,0,3594 2,3,2024-09-07 09:07:00:700,1,332,1,0,357,3383,332,0 3,0,2024-09-07 09:07:01:763,80621,0.4,80549,0.6,161197,0.3,214939,1.75 3,1,2024-09-07 09:07:01:624,583154,583154,0,0,272381660647,2837814400,578056,4475,623,380,391591,0 3,2,2024-09-07 09:07:01:143,421520,421497,23,0,16884603,0,5851 3,3,2024-09-07 09:07:01:753,1,332,0,0,207,2212,332,0 4,0,2024-09-07 09:07:01:778,81708,0.4,84205,0.5,171015,0.4,223679,1.75 4,1,2024-09-07 09:07:00:604,581825,581825,0,0,272157756703,2867720477,574485,5984,1356,371,391992,0 4,2,2024-09-07 09:07:01:026,414892,414892,0,0,19572238,0,4528 4,3,2024-09-07 09:07:01:027,1,332,1,0,448,4740,332,0 5,0,2024-09-07 09:07:01:380,85502,0.5,85809,0.6,171276,0.4,227839,1.75 5,1,2024-09-07 09:07:00:755,582319,582319,0,0,271795954879,2859741648,575156,5807,1356,367,392005,0 5,2,2024-09-07 09:07:01:838,416477,416477,0,0,18718731,0,2432 5,3,2024-09-07 09:07:01:739,1,332,1,0,457,4919,332,0 6,0,2024-09-07 09:07:00:923,84152,0.5,83655,0.6,167242,0.4,223342,2.00 6,1,2024-09-07 09:07:00:749,582355,582355,0,0,272383191512,2847614729,575995,5284,1076,379,391694,0 6,2,2024-09-07 09:07:01:116,423278,423278,0,0,17986638,0,4816 6,3,2024-09-07 09:07:01:274,1,332,1,0,340,3709,332,0 7,0,2024-09-07 09:07:01:561,78385,0.5,78709,0.6,156683,0.4,208803,1.75 7,1,2024-09-07 09:07:00:856,581481,581481,0,0,271818867973,2855962932,573411,6894,1176,382,391747,0 7,2,2024-09-07 09:07:00:778,422173,422173,0,0,18085664,0,4791 7,3,2024-09-07 09:07:00:852,1,332,3,0,398,3845,332,0 8,0,2024-09-07 09:07:01:342,85016,0.4,84951,0.5,169963,0.3,227259,1.75 8,1,2024-09-07 09:07:01:022,580862,580862,0,0,271428256800,2861209704,570715,7870,2277,366,392144,0 8,2,2024-09-07 09:07:00:822,412232,412232,0,0,22161805,0,3220 8,3,2024-09-07 09:07:00:585,1,332,12,0,538,5772,332,0 9,0,2024-09-07 09:07:01:162,85574,0.4,83205,0.5,174158,0.4,228262,1.75 9,1,2024-09-07 09:07:00:550,581765,581765,0,0,272140895901,2871503660,572720,6921,2124,369,392001,0 9,2,2024-09-07 09:07:01:087,417324,417324,0,0,19550748,0,3360 9,3,2024-09-07 09:07:01:767,1,332,13,0,496,4987,332,0 10,0,2024-09-07 09:07:01:603,84522,0.3,83835,0.5,168442,0.2,224207,1.75 10,1,2024-09-07 09:07:00:587,582810,582810,0,0,272714300818,2858650458,574927,6774,1109,381,391741,0 10,2,2024-09-07 09:07:00:762,422882,422882,0,0,21289382,0,4264 10,3,2024-09-07 09:07:00:871,1,332,1,0,296,2998,332,0 11,0,2024-09-07 09:07:01:018,78308,0.4,75956,0.6,158897,0.4,210297,1.75 11,1,2024-09-07 09:07:00:572,582609,582609,0,0,272823283777,2868340246,572596,7565,2448,383,391756,0 11,2,2024-09-07 09:07:01:123,421676,421676,0,0,19273997,0,4130 11,3,2024-09-07 09:07:01:304,1,332,1,0,843,5223,332,0 12,0,2024-09-07 09:07:00:966,84938,0.4,84777,0.5,169533,0.3,225686,1.75 12,1,2024-09-07 09:07:00:949,582983,582983,0,0,271765278526,2836678816,578129,4364,490,370,391870,0 12,2,2024-09-07 09:07:01:546,417818,417818,0,0,18877453,0,3469 12,3,2024-09-07 09:07:01:058,1,332,2,0,386,5450,332,0 13,0,2024-09-07 09:07:01:386,84873,0.5,84508,0.6,168840,0.4,225034,1.75 13,1,2024-09-07 09:07:01:533,582198,582198,0,0,272175342869,2864596004,576292,4496,1410,382,391740,0 13,2,2024-09-07 09:07:00:605,419223,419223,0,0,17265693,0,3287 13,3,2024-09-07 09:07:01:778,1,332,9,0,522,5443,332,0 14,0,2024-09-07 09:07:00:577,85710,0.4,86358,0.6,170846,0.3,227593,1.75 14,1,2024-09-07 09:07:01:562,586439,586439,0,0,273133157275,2831322542,581754,4326,359,364,391571,0 14,2,2024-09-07 09:07:00:767,419652,419622,30,0,19038097,0,6104 14,3,2024-09-07 09:07:01:115,1,332,2,0,1168,4082,332,0 15,0,2024-09-07 09:07:01:563,79788,0.4,79823,0.6,159720,0.4,212357,2.00 15,1,2024-09-07 09:07:01:607,583604,583604,0,0,272631574863,2840794676,579265,3517,822,381,391619,0 15,2,2024-09-07 09:07:01:006,423543,423543,0,0,15632016,0,3622 15,3,2024-09-07 09:07:01:404,1,332,1,0,1126,6219,332,0 16,0,2024-09-07 09:07:00:960,82105,0.6,82403,0.8,164358,0.6,219570,2.25 16,1,2024-09-07 09:07:00:593,583820,583820,0,0,272849809640,2849347066,579535,3925,360,370,391756,0 16,2,2024-09-07 09:07:01:433,420009,420009,0,0,17937419,0,4719 16,3,2024-09-07 09:07:01:143,1,332,20,0,317,4653,332,0 17,0,2024-09-07 09:07:01:803,86679,0.7,84679,0.8,165485,0.8,224573,2.00 17,1,2024-09-07 09:07:00:574,582341,582341,0,0,271134833550,2847568622,576102,4981,1258,368,392075,0 17,2,2024-09-07 09:07:01:680,419662,419662,0,0,17547968,0,2857 17,3,2024-09-07 09:07:00:582,1,332,1,0,298,5506,332,0 18,0,2024-09-07 09:07:00:945,84264,0.6,84608,0.8,168785,0.6,225189,2.25 18,1,2024-09-07 09:07:01:638,584236,584236,0,0,272688366825,2827595131,580900,3025,311,367,391649,0 18,2,2024-09-07 09:07:01:755,419637,419637,0,0,16280889,0,3541 18,3,2024-09-07 09:07:00:901,1,332,2,0,163,2926,332,0 19,0,2024-09-07 09:07:01:549,82504,0.6,83152,0.8,164528,0.5,219103,2.25 19,1,2024-09-07 09:07:00:580,584416,584416,0,0,273604959011,2840320552,579292,4285,839,367,391777,0 19,2,2024-09-07 09:07:01:760,424496,424496,0,0,15086919,0,3988 19,3,2024-09-07 09:07:01:130,1,332,1,0,524,2563,332,0 20,0,2024-09-07 09:07:01:388,79484,0.5,79345,0.7,158827,0.5,212562,2.00 20,1,2024-09-07 09:07:00:572,582482,582482,0,0,273118743825,2853914041,578151,3926,405,369,391886,0 20,2,2024-09-07 09:07:00:934,421907,421907,0,0,18107655,0,3721 20,3,2024-09-07 09:07:00:593,1,332,7,0,414,5329,332,0 21,0,2024-09-07 09:07:01:154,84811,0.5,84827,0.7,169494,0.5,224720,2.00 21,1,2024-09-07 09:07:01:547,581686,581686,0,0,271309201768,2860434509,573710,6098,1878,368,392016,0 21,2,2024-09-07 09:07:01:070,414181,414181,0,0,21096351,0,3747 21,3,2024-09-07 09:07:01:408,1,332,1,0,713,3978,332,0 22,0,2024-09-07 09:07:01:729,85311,0.6,85762,0.7,171617,0.5,227390,2.25 22,1,2024-09-07 09:07:01:024,582723,582723,0,0,271957577928,2859761997,574234,6669,1820,382,391667,0 22,2,2024-09-07 09:07:00:761,417031,417031,0,0,17219080,0,3134 22,3,2024-09-07 09:07:01:068,1,332,1,0,228,2834,332,0 23,0,2024-09-07 09:07:01:375,83128,0.5,83086,0.7,166401,0.5,221715,2.25 23,1,2024-09-07 09:07:01:009,582373,582373,0,0,272867293784,2868311995,571880,7335,3158,365,391690,0 23,2,2024-09-07 09:07:01:091,424580,424580,0,0,17070188,0,3010 23,3,2024-09-07 09:07:01:754,1,332,10,0,720,4724,332,0 24,0,2024-09-07 09:07:01:005,79521,0.4,79454,0.6,159466,0.3,212207,1.75 24,1,2024-09-07 09:07:00:596,581729,581729,0,0,271755053524,2853552477,574836,5365,1528,368,392269,0 24,2,2024-09-07 09:07:01:087,420782,420782,0,0,21013777,0,3607 24,3,2024-09-07 09:07:01:687,1,332,2,0,468,4497,332,0 25,0,2024-09-07 09:07:01:344,86483,0.4,84260,0.6,165193,0.4,225313,2.00 25,1,2024-09-07 09:07:00:565,582940,582940,0,0,272321152050,2859482151,575258,6313,1369,371,391928,0 25,2,2024-09-07 09:07:01:606,415787,415787,0,0,20946296,0,3978 25,3,2024-09-07 09:07:01:003,1,332,1,0,255,3304,332,0 26,0,2024-09-07 09:07:01:720,84373,0.4,82465,0.6,173018,0.4,225650,2.00 26,1,2024-09-07 09:07:01:540,583240,583240,0,0,271882739635,2851683739,574392,7312,1536,380,391748,0 26,2,2024-09-07 09:07:00:861,418690,418690,0,0,20657764,0,2809 26,3,2024-09-07 09:07:01:714,1,332,1,0,796,4385,332,0 27,0,2024-09-07 09:07:01:728,85144,0.5,85222,0.6,168990,0.4,225857,2.00 27,1,2024-09-07 09:07:01:679,585125,585125,0,0,273711903409,2851077472,579858,4502,765,381,391626,0 27,2,2024-09-07 09:07:00:869,418841,418776,65,0,20493867,0,5699 27,3,2024-09-07 09:07:01:015,1,332,0,0,564,3634,332,0 28,0,2024-09-07 09:07:01:407,79515,0.4,79239,0.6,158839,0.3,212175,2.00 28,1,2024-09-07 09:07:00:799,584092,584092,0,0,273623860121,2852672504,579713,3676,703,383,391698,0 28,2,2024-09-07 09:07:01:764,421700,421700,0,0,18177594,0,2915 28,3,2024-09-07 09:07:01:776,1,332,1,0,502,3519,332,0 29,0,2024-09-07 09:07:01:358,85797,0.4,83576,0.6,163881,0.3,224109,1.75 29,1,2024-09-07 09:07:01:578,585615,585615,0,0,273388439483,2833218246,581277,3654,684,369,391753,0 29,2,2024-09-07 09:07:00:861,417851,417851,0,0,17490071,0,4986 29,3,2024-09-07 09:07:00:963,1,332,1,0,459,4023,332,0 30,0,2024-09-07 09:07:01:460,83637,0.6,81195,0.7,169982,0.5,222408,2.00 30,1,2024-09-07 09:07:00:574,584778,584778,0,0,273198284371,2839651708,579959,4109,710,381,391672,0 30,2,2024-09-07 09:07:01:274,417871,417871,0,0,16421414,0,3161 30,3,2024-09-07 09:07:00:585,1,332,0,0,519,3420,332,0 31,0,2024-09-07 09:07:01:781,85019,0.4,85360,0.6,170847,0.4,227449,2.00 31,1,2024-09-07 09:07:00:572,587251,587251,0,0,273996767201,2811817402,584708,1984,559,356,391712,0 31,2,2024-09-07 09:07:01:283,418591,418591,0,0,18234222,0,3525 31,3,2024-09-07 09:07:01:706,1,332,1,0,220,2865,332,0 32,0,2024-09-07 09:07:01:423,81484,0.3,81843,0.5,163610,0.2,217296,1.75 32,1,2024-09-07 09:07:00:807,584601,584601,0,0,274074019986,2846673704,581460,2695,446,381,391646,0 32,2,2024-09-07 09:07:00:967,423425,423425,0,0,15411080,0,3155 32,3,2024-09-07 09:07:01:019,1,332,0,0,227,2421,332,0 33,0,2024-09-07 09:07:01:499,80961,0.3,80482,0.4,161454,0.2,215549,1.50 33,1,2024-09-07 09:07:00:577,586050,586050,0,0,274628005003,2844448745,581639,3580,831,369,391730,0 33,2,2024-09-07 09:07:00:759,422211,422176,35,0,17510820,0,7012 33,3,2024-09-07 09:07:00:904,1,332,1,0,329,3549,332,0 34,0,2024-09-07 09:07:00:958,84460,0.3,86878,0.5,166174,0.2,222998,1.75 34,1,2024-09-07 09:07:01:047,586574,586574,0,0,274566841484,2822644108,585157,1409,8,367,391562,0 34,2,2024-09-07 09:07:00:767,417043,417043,0,0,16670366,0,3577 34,3,2024-09-07 09:07:01:688,1,332,1,0,299,2438,332,0 35,0,2024-09-07 09:07:00:860,84818,0.4,85213,0.5,171349,0.3,228307,1.75 35,1,2024-09-07 09:07:01:067,584703,584703,0,0,272793286560,2827855029,581097,2825,781,382,391589,0 35,2,2024-09-07 09:07:01:588,418116,418116,0,0,16720830,0,2653 35,3,2024-09-07 09:07:00:907,1,332,1,0,418,4241,332,0 36,0,2024-09-07 09:07:01:585,84093,0.5,84117,0.6,168119,0.4,223028,2.00 36,1,2024-09-07 09:07:00:584,584243,584243,0,0,272532175635,2848112593,577012,5573,1658,366,391759,0 36,2,2024-09-07 09:07:01:762,423864,423864,0,0,19146078,0,3875 36,3,2024-09-07 09:07:00:877,1,332,79,0,416,5347,332,0 37,0,2024-09-07 09:07:01:404,78198,0.5,78213,0.7,156623,0.4,209130,2.00 37,1,2024-09-07 09:07:00:577,583115,583108,0,7,272441657834,2848030292,576336,4686,2086,365,391570,0 37,2,2024-09-07 09:07:01:152,420582,420567,15,0,18729406,0,5815 37,3,2024-09-07 09:07:01:773,1,332,2,0,888,5523,332,0 38,0,2024-09-07 09:07:01:437,84451,0.5,81964,0.7,171571,0.4,225138,2.00 38,1,2024-09-07 09:07:01:666,584865,584865,0,0,273614325421,2849338621,578826,5281,758,368,391821,0 38,2,2024-09-07 09:07:00:760,415376,415329,47,0,19160514,0,6710 38,3,2024-09-07 09:07:01:009,1,332,1,0,689,4662,332,0 39,0,2024-09-07 09:07:01:761,87083,0.6,85261,0.7,166052,0.6,227068,2.00 39,1,2024-09-07 09:07:00:719,582938,582938,0,0,272376769973,2850687497,574577,6901,1460,365,391594,0 39,2,2024-09-07 09:07:01:417,418112,418112,0,0,17256799,0,2689 39,3,2024-09-07 09:07:00:721,1,332,1,0,324,4276,332,0 40,0,2024-09-07 09:07:01:525,83534,0.8,84196,0.9,168178,0.8,223899,2.75 40,1,2024-09-07 09:07:00:577,583981,583981,0,0,271895234421,2848460856,575427,7044,1510,368,391668,0 40,2,2024-09-07 09:07:01:309,421988,421987,1,0,20605267,0,5137 40,3,2024-09-07 09:07:01:143,1,332,4,0,1028,4996,332,0 41,0,2024-09-07 09:07:01:064,78124,1.4,80025,1.2,152780,2.2,208196,3.00 41,1,2024-09-07 09:07:00:768,582759,582759,0,0,272135929510,2848158961,575709,6347,703,369,391742,0 41,2,2024-09-07 09:07:00:759,420450,420450,0,0,19740783,0,3356 41,3,2024-09-07 09:07:01:679,1,332,6,0,366,3377,332,0 42,0,2024-09-07 09:07:01:481,83435,0.8,83716,1.0,167431,0.9,221654,2.50 42,1,2024-09-07 09:07:01:439,582390,582390,0,0,271749298798,2857660160,572863,7769,1758,380,391675,0 42,2,2024-09-07 09:07:01:136,417290,417290,0,0,19797228,0,3790 42,3,2024-09-07 09:07:01:024,1,332,0,0,446,3062,332,0 43,0,2024-09-07 09:07:00:923,83120,1.0,81074,1.1,169863,1.1,222571,2.50 43,1,2024-09-07 09:07:00:575,583312,583312,0,0,272990160450,2853933522,575080,6794,1438,366,391696,0 43,2,2024-09-07 09:07:01:736,418344,418344,0,0,19579058,0,3812 43,3,2024-09-07 09:07:01:754,1,332,1,0,467,4030,332,0 44,0,2024-09-07 09:07:00:878,85444,0.4,85817,0.6,171262,0.4,227707,1.75 44,1,2024-09-07 09:07:00:573,584944,584944,0,0,272159571408,2816863395,579883,4014,1047,356,391809,0 44,2,2024-09-07 09:07:01:267,419335,419335,0,0,16487486,0,4344 44,3,2024-09-07 09:07:01:097,1,332,1,0,817,4451,332,0 45,0,2024-09-07 09:07:01:778,78844,0.5,76950,0.7,161256,0.5,212291,2.00 45,1,2024-09-07 09:07:01:005,583972,583972,0,0,273439049072,2843285370,579538,3958,476,382,391917,0 45,2,2024-09-07 09:07:01:270,422325,422325,0,0,17069972,0,3596 45,3,2024-09-07 09:07:00:935,1,332,0,0,271,3212,332,0 46,0,2024-09-07 09:07:00:955,81499,0.6,81472,0.8,163065,0.6,217431,2.00 46,1,2024-09-07 09:07:00:575,585947,585947,0,0,274099737986,2832255637,582238,3283,426,366,391572,0 46,2,2024-09-07 09:07:00:596,420970,420970,0,0,16082984,0,2920 46,3,2024-09-07 09:07:01:136,1,332,9,0,908,4989,332,0 47,0,2024-09-07 09:07:01:111,84489,0.5,84668,0.7,169635,0.5,224054,2.00 47,1,2024-09-07 09:07:00:569,585685,585685,0,0,273384077811,2830129665,581198,3672,815,366,391641,0 47,2,2024-09-07 09:07:00:908,419015,419015,0,0,16570022,0,4477 47,3,2024-09-07 09:07:01:115,1,332,3,0,529,3709,332,0 48,0,2024-09-07 09:07:01:504,85831,0.3,85412,0.4,170348,0.2,227316,1.50 48,1,2024-09-07 09:07:01:024,584465,584465,0,0,273410055823,2840053382,580908,3254,303,384,391710,0 48,2,2024-09-07 09:07:00:698,417717,417717,0,0,15152423,0,3031 48,3,2024-09-07 09:07:00:753,1,332,7,0,339,2857,332,0 49,0,2024-09-07 09:07:01:722,85134,0.3,83621,0.5,161978,0.3,221746,1.75 49,1,2024-09-07 09:07:01:025,584247,584247,0,0,272723824153,2840600214,579929,3133,1185,382,391809,0 49,2,2024-09-07 09:07:01:811,423703,423703,0,0,16360439,0,4426 49,3,2024-09-07 09:07:01:416,1,332,1,0,408,3481,332,0 50,0,2024-09-07 09:07:01:518,79828,0.3,78716,0.4,158582,0.2,212220,1.75 50,1,2024-09-07 09:07:01:009,586365,586365,0,0,274023117094,2833996242,582546,3445,374,368,391565,0 50,2,2024-09-07 09:07:01:068,422194,422194,0,0,15315947,0,2263 50,3,2024-09-07 09:07:01:295,1,332,1,0,335,3279,332,0 51,0,2024-09-07 09:07:01:687,86931,0.3,84910,0.5,165762,0.3,225607,1.75 51,1,2024-09-07 09:07:01:732,586567,586567,0,0,274598822288,2833988506,583308,2284,975,365,391706,0 51,2,2024-09-07 09:07:01:316,416426,416426,0,0,14721994,0,3337 51,3,2024-09-07 09:07:01:037,1,332,1,0,678,2561,332,0 52,0,2024-09-07 09:07:01:422,86024,0.5,85921,0.7,171707,0.4,228517,2.00 52,1,2024-09-07 09:07:00:590,583472,583472,0,0,272344955998,2854617368,574835,7325,1312,368,391722,0 52,2,2024-09-07 09:07:01:768,414843,414805,38,0,19512703,0,6742 52,3,2024-09-07 09:07:00:676,1,332,3,0,1782,5173,332,0 53,0,2024-09-07 09:07:01:731,83024,0.6,80661,0.8,168687,0.6,221314,2.25 53,1,2024-09-07 09:07:00:773,582035,582035,0,0,272483299215,2861651568,572597,6857,2581,367,391702,0 53,2,2024-09-07 09:07:01:310,424644,424644,0,0,16808594,0,2727 53,3,2024-09-07 09:07:00:696,1,332,10,0,308,3228,332,0 54,0,2024-09-07 09:07:01:639,78009,0.6,78607,0.7,156139,0.4,209501,2.25 54,1,2024-09-07 09:07:00:582,583737,583737,0,0,273760143830,2845694821,578142,4993,602,367,391659,0 54,2,2024-09-07 09:07:00:865,422230,422224,6,0,19283041,0,5382 54,3,2024-09-07 09:07:00:765,1,332,43,0,676,5205,332,0 55,0,2024-09-07 09:07:01:770,81357,0.6,84179,0.7,169765,0.5,221184,2.50 55,1,2024-09-07 09:07:00:767,583925,583925,0,0,273139889580,2843835717,577302,5714,909,365,391731,0 55,2,2024-09-07 09:07:00:729,415605,415605,0,0,18673715,0,3563 55,3,2024-09-07 09:07:00:676,1,332,1,0,304,3750,332,0 56,0,2024-09-07 09:07:01:561,86338,1.3,81431,1.1,167930,1.7,225199,2.75 56,1,2024-09-07 09:07:00:578,581014,581014,0,0,272337416479,2876575286,572282,7102,1630,381,391867,0 56,2,2024-09-07 09:07:01:311,417971,417971,0,0,19494570,0,3567 56,3,2024-09-07 09:07:01:063,1,332,11,0,705,4434,332,0 57,0,2024-09-07 09:07:00:962,83825,1.4,83660,1.2,167724,2.0,224383,3.00 57,1,2024-09-07 09:07:00:996,582490,582490,0,0,271770155825,2849841448,575670,6100,720,366,392032,0 57,2,2024-09-07 09:07:01:316,421761,421761,0,0,21304474,0,3317 57,3,2024-09-07 09:07:01:742,1,332,1,0,359,3944,332,0 58,0,2024-09-07 09:07:00:585,78052,0.9,75955,1.0,159053,1.0,208918,2.50 58,1,2024-09-07 09:07:00:577,583639,583636,0,3,272349716392,2848895592,575517,7037,1082,367,391603,3 58,2,2024-09-07 09:07:01:070,421236,421236,0,0,19497634,0,2549 58,3,2024-09-07 09:07:01:076,1,332,10,0,1043,3416,332,0 59,0,2024-09-07 09:07:01:757,83284,0.8,82894,0.9,165478,0.8,220503,2.75 59,1,2024-09-07 09:07:00:805,583321,583321,0,0,272136369975,2850389645,575459,6342,1520,369,391586,0 59,2,2024-09-07 09:07:00:587,419876,419876,0,0,19160549,0,2867 59,3,2024-09-07 09:07:01:746,1,332,1,0,1015,4277,332,0 60,0,2024-09-07 09:07:01:720,83718,0.6,83853,0.7,168321,0.6,223046,1.75 60,1,2024-09-07 09:07:00:786,584908,584908,0,0,273106051620,2837176064,580799,3464,645,370,392031,0 60,2,2024-09-07 09:07:01:145,417925,417925,0,0,18758032,0,3811 60,3,2024-09-07 09:07:01:266,1,332,1,0,409,3940,332,0 61,0,2024-09-07 09:07:01:608,85306,0.6,85821,0.8,170561,0.6,227257,2.00 61,1,2024-09-07 09:07:00:772,582962,582962,0,0,271936539073,2853422555,575802,5836,1324,382,392127,0 61,2,2024-09-07 09:07:01:121,418983,418916,67,0,19108189,0,6411 61,3,2024-09-07 09:07:01:700,1,332,1,0,479,5070,332,0 62,0,2024-09-07 09:07:01:755,81973,0.5,83802,0.7,159726,0.5,216944,2.00 62,1,2024-09-07 09:07:01:113,586871,586865,0,6,274929023070,2839020323,583287,3319,259,365,391715,6 62,2,2024-09-07 09:07:01:643,421435,421434,1,0,18670283,0,5555 62,3,2024-09-07 09:07:01:143,1,332,1,0,465,2572,332,0 63,0,2024-09-07 09:07:01:462,80931,0.4,80821,0.6,162009,0.4,215668,1.75 63,1,2024-09-07 09:07:00:804,584854,584848,0,6,274222282497,2848265700,580917,3543,388,381,391800,6 63,2,2024-09-07 09:07:00:762,421423,421423,0,0,16984754,0,4369 63,3,2024-09-07 09:07:01:738,1,332,1,0,667,3822,332,0 64,0,2024-09-07 09:07:01:535,83733,0.5,83696,0.7,167463,0.5,222106,2.00 64,1,2024-09-07 09:07:00:758,584467,584467,0,0,273258419278,2849712836,578822,3985,1660,370,391783,0 64,2,2024-09-07 09:07:01:146,419976,419957,19,0,16643718,0,6121 64,3,2024-09-07 09:07:01:159,1,332,9,0,265,3115,332,0 65,0,2024-09-07 09:07:01:680,84640,0.7,84909,0.8,169769,0.7,226238,2.25 65,1,2024-09-07 09:07:00:859,583455,583455,0,0,272581502798,2849502030,579372,3632,451,382,391901,0 65,2,2024-09-07 09:07:01:714,419159,419159,0,0,18356181,0,3367 65,3,2024-09-07 09:07:01:689,1,332,0,0,163,3013,332,0 66,0,2024-09-07 09:07:01:767,83451,0.5,83360,0.7,166992,0.4,221956,2.00 66,1,2024-09-07 09:07:01:307,584219,584219,0,0,273036874552,2839549721,580659,3238,322,380,391653,0 66,2,2024-09-07 09:07:01:132,425484,425484,0,0,16568164,0,4956 66,3,2024-09-07 09:07:01:086,1,332,0,0,291,3201,332,0 67,0,2024-09-07 09:07:01:420,79013,0.5,78432,0.7,157620,0.5,209793,2.00 67,1,2024-09-07 09:07:00:776,584537,584536,0,1,272583907835,2839698890,580371,3414,751,380,391787,1 67,2,2024-09-07 09:07:00:596,422930,422930,0,0,15949388,0,2889 67,3,2024-09-07 09:07:01:756,1,332,1,0,338,2856,332,0 68,0,2024-09-07 09:07:00:575,85265,0.6,84890,0.7,169294,0.6,226577,2.00 68,1,2024-09-07 09:07:00:578,583071,583071,0,0,272202363147,2852254441,578257,3610,1204,381,391953,0 68,2,2024-09-07 09:07:01:059,414310,414245,65,0,20903628,0,6698 68,3,2024-09-07 09:07:00:734,1,332,34,0,417,3673,332,0 69,0,2024-09-07 09:07:01:745,85019,0.9,85422,0.9,170178,1.1,226190,2.25 69,1,2024-09-07 09:07:01:017,580981,580981,0,0,271975890893,2871451180,573007,5864,2110,384,391994,0 69,2,2024-09-07 09:07:01:736,417646,417646,0,0,21151556,0,3722 69,3,2024-09-07 09:07:00:765,1,332,55,0,698,4840,332,0 70,0,2024-09-07 09:07:01:541,83512,0.8,83446,1.0,168008,0.6,222555,2.25 70,1,2024-09-07 09:07:00:806,584469,584469,0,0,273589947387,2841181910,579620,4313,536,366,391725,0 70,2,2024-09-07 09:07:01:334,421876,421876,0,0,19220319,0,4044 70,3,2024-09-07 09:07:00:748,1,332,24,0,854,3789,332,0 71,0,2024-09-07 09:07:01:362,78144,0.8,77788,1.0,156319,1.0,208935,2.50 71,1,2024-09-07 09:07:01:596,583509,583509,0,0,272771271369,2847533870,576898,5955,656,368,391738,0 71,2,2024-09-07 09:07:01:067,421942,421942,0,0,18857269,0,4042 71,3,2024-09-07 09:07:01:752,1,332,2,0,644,4637,332,0 72,0,2024-09-07 09:07:01:034,87021,0.6,85034,0.7,165927,0.6,225984,2.00 72,1,2024-09-07 09:07:01:025,582763,582763,0,0,272297659968,2854556301,574535,6531,1697,369,391819,0 72,2,2024-09-07 09:07:01:757,416187,416187,0,0,21538282,0,3983 72,3,2024-09-07 09:07:01:754,1,332,1,0,564,5279,332,0 73,0,2024-09-07 09:07:01:115,82205,0.5,84161,0.6,172300,0.4,223687,2.00 73,1,2024-09-07 09:07:00:771,584065,584065,0,0,272831670444,2837610393,579746,3989,330,367,391858,0 73,2,2024-09-07 09:07:01:743,418277,418277,0,0,20588944,0,3701 73,3,2024-09-07 09:07:00:984,1,332,3,0,274,4491,332,0 74,0,2024-09-07 09:07:01:324,85821,0.5,87978,0.6,168024,0.4,227405,2.25 74,1,2024-09-07 09:07:00:635,583657,583657,0,0,272410195316,2845561250,577578,4816,1263,381,391681,0 74,2,2024-09-07 09:07:01:005,419639,419639,0,0,19649174,0,4253 74,3,2024-09-07 09:07:01:443,1,332,17,0,522,4636,332,0 75,0,2024-09-07 09:07:01:794,80011,0.5,79696,0.7,159550,0.4,213200,2.25 75,1,2024-09-07 09:07:01:588,582765,582765,0,0,271596826446,2839399971,576414,5526,825,380,391739,0 75,2,2024-09-07 09:07:01:350,421338,421338,0,0,19714443,0,4766 75,3,2024-09-07 09:07:01:067,1,332,2,0,702,4748,332,0 76,0,2024-09-07 09:07:00:628,81754,0.6,81221,0.8,162790,0.6,218677,2.25 76,1,2024-09-07 09:07:00:812,583726,583726,0,0,272751089603,2846060708,579807,3330,589,382,391692,0 76,2,2024-09-07 09:07:01:073,421691,421690,1,0,19034513,0,5144 76,3,2024-09-07 09:07:01:143,1,332,3,0,175,3247,332,0 77,0,2024-09-07 09:07:01:718,84199,0.7,84398,0.8,168757,0.7,224045,2.00 77,1,2024-09-07 09:07:00:835,583690,583690,0,0,272406579714,2848236798,578504,4697,489,381,391869,0 77,2,2024-09-07 09:07:01:281,417568,417568,0,0,18473678,0,3890 77,3,2024-09-07 09:07:01:101,1,332,6,0,401,3797,332,0 78,0,2024-09-07 09:07:01:727,85550,0.5,85079,0.7,170955,0.4,226567,2.00 78,1,2024-09-07 09:07:00:610,584155,584155,0,0,271499909110,2828813692,578291,4719,1145,367,391670,0 78,2,2024-09-07 09:07:01:405,418830,418830,0,0,16081585,0,3855 78,3,2024-09-07 09:07:01:135,1,332,1,0,181,3235,332,0 79,0,2024-09-07 09:07:01:352,80293,0.4,82358,0.6,168380,0.3,219025,2.25 79,1,2024-09-07 09:07:00:574,585753,585753,0,0,273451828130,2833148516,581198,3922,633,369,391682,0 79,2,2024-09-07 09:07:01:068,424304,424304,0,0,17087395,0,4195 79,3,2024-09-07 09:07:00:748,1,332,0,0,418,4278,332,0 80,0,2024-09-07 09:07:01:195,79606,0.5,81751,0.7,156772,0.5,212049,2.00 80,1,2024-09-07 09:07:01:624,584124,584124,0,0,272536252987,2835623207,580773,3172,179,368,391791,0 80,2,2024-09-07 09:07:01:113,423751,423751,0,0,16273741,0,4433 80,3,2024-09-07 09:07:00:575,1,332,1,0,190,4017,332,0 81,0,2024-09-07 09:07:01:574,84643,0.6,86709,0.7,165435,0.6,224340,2.00 81,1,2024-09-07 09:07:01:662,583192,583192,0,0,271275586876,2836607959,578338,4355,499,382,391879,0 81,2,2024-09-07 09:07:01:141,415786,415723,63,0,18075134,0,5932 81,3,2024-09-07 09:07:01:129,1,332,11,0,374,3923,332,0 82,0,2024-09-07 09:07:01:537,85319,0.5,85855,0.7,171986,0.4,228066,2.00 82,1,2024-09-07 09:07:00:585,585281,585277,0,4,273168921179,2844286612,581382,3098,797,381,391768,4 82,2,2024-09-07 09:07:01:690,419544,419544,0,0,15530873,0,3986 82,3,2024-09-07 09:07:01:757,1,332,1,0,363,3420,332,0 83,0,2024-09-07 09:07:01:529,83818,0.5,83597,0.7,166513,0.5,221603,2.00 83,1,2024-09-07 09:07:00:560,583483,583483,0,0,272532191168,2842897676,579172,3930,381,382,391709,0 83,2,2024-09-07 09:07:00:767,423682,423682,0,0,16101967,0,3393 83,3,2024-09-07 09:07:00:749,1,332,2,0,1260,4879,332,0 84,0,2024-09-07 09:07:01:802,78602,0.6,78570,0.8,157313,0.5,210821,2.25 84,1,2024-09-07 09:07:01:039,583244,583244,0,0,272195428142,2845500070,577501,5016,727,367,391967,0 84,2,2024-09-07 09:07:00:581,421762,421762,0,0,20207925,0,4757 84,3,2024-09-07 09:07:01:143,1,332,0,0,908,5074,332,0 85,0,2024-09-07 09:07:01:017,81104,0.7,81066,0.8,172071,0.7,222563,2.25 85,1,2024-09-07 09:07:00:574,581686,581686,0,0,271770805601,2869250568,573172,7142,1372,381,392006,0 85,2,2024-09-07 09:07:00:865,416689,416689,0,0,19869530,0,3656 85,3,2024-09-07 09:07:00:685,1,332,6,0,789,4394,332,0 86,0,2024-09-07 09:07:00:937,84587,0.7,86961,0.8,166451,0.8,225306,2.25 86,1,2024-09-07 09:07:00:889,583329,583329,0,0,271980653780,2849171134,576442,5821,1066,366,391961,0 86,2,2024-09-07 09:07:00:857,417330,417329,1,0,20850805,0,5004 86,3,2024-09-07 09:07:00:586,1,332,20,0,308,4600,332,0 87,0,2024-09-07 09:07:01:313,84560,0.9,84471,0.9,169544,1.1,226174,2.25 87,1,2024-09-07 09:07:00:564,582576,582576,0,0,272572169019,2851985954,576396,5569,611,366,392076,0 87,2,2024-09-07 09:07:01:068,420380,420380,0,0,19058575,0,4045 87,3,2024-09-07 09:07:01:816,1,332,8,0,473,4902,332,0 88,0,2024-09-07 09:07:01:448,79328,0.4,79925,0.6,159291,0.4,211851,1.75 88,1,2024-09-07 09:07:00:582,581799,581799,0,0,272696093750,2859933267,574105,6030,1664,365,392084,0 88,2,2024-09-07 09:07:00:694,422337,422337,0,0,21019128,0,3583 88,3,2024-09-07 09:07:01:267,1,332,19,0,435,3662,332,0 89,0,2024-09-07 09:07:01:789,86051,0.5,83207,0.7,164917,0.4,224119,1.75 89,1,2024-09-07 09:07:00:591,581754,581754,0,0,272043132651,2864047998,574003,6478,1273,382,391866,0 89,2,2024-09-07 09:07:01:136,418305,418305,0,0,20049382,0,2910 89,3,2024-09-07 09:07:01:796,1,332,1,0,385,6075,332,0 90,0,2024-09-07 09:07:01:629,81505,0.5,83551,0.7,170656,0.5,222450,2.00 90,1,2024-09-07 09:07:00:599,583258,583258,0,0,272129823406,2854501361,577059,5698,501,380,391825,0 90,2,2024-09-07 09:07:01:417,416442,416442,0,0,21224418,0,3060 90,3,2024-09-07 09:07:00:945,1,332,1,0,246,3697,332,0 91,0,2024-09-07 09:07:00:945,85827,0.5,83131,0.6,173709,0.5,227813,1.75 91,1,2024-09-07 09:07:00:559,581749,581749,0,0,272201510855,2865034408,573928,6777,1044,381,392047,0 91,2,2024-09-07 09:07:01:332,418921,418921,0,0,19141808,0,2896 91,3,2024-09-07 09:07:00:604,1,332,2,0,216,3159,332,0 92,0,2024-09-07 09:07:01:499,82250,0.4,84373,0.6,161032,0.4,217358,1.75 92,1,2024-09-07 09:07:00:588,583097,583097,0,0,271585678908,2839993079,578289,4122,686,382,392136,0 92,2,2024-09-07 09:07:01:351,423629,423629,0,0,17422382,0,3259 92,3,2024-09-07 09:07:01:026,1,332,1,0,167,2886,332,0 93,0,2024-09-07 09:07:00:983,81212,0.4,83262,0.6,159163,0.3,215631,1.75 93,1,2024-09-07 09:07:00:839,583256,583256,0,0,272647102995,2848520419,577140,5087,1029,366,391776,0 93,2,2024-09-07 09:07:00:932,420623,420623,0,0,20263907,0,4845 93,3,2024-09-07 09:07:01:405,1,332,26,0,190,3236,332,0 94,0,2024-09-07 09:07:01:628,83859,0.4,84646,0.6,168763,0.4,223685,1.75 94,1,2024-09-07 09:07:00:580,583662,583662,0,0,273161014222,2852541055,579626,3846,190,381,391850,0 94,2,2024-09-07 09:07:00:767,416601,416601,0,0,17413351,0,2443 94,3,2024-09-07 09:07:01:691,1,332,1,0,264,4119,332,0 95,0,2024-09-07 09:07:01:407,85321,0.4,85388,0.5,171198,0.3,228113,1.75 95,1,2024-09-07 09:07:00:853,585127,585127,0,0,272739147291,2838753103,580162,4566,399,367,391713,0 95,2,2024-09-07 09:07:01:022,418405,418405,0,0,17195358,0,3308 95,3,2024-09-07 09:07:01:718,1,332,5,0,718,5319,332,0 96,0,2024-09-07 09:07:01:033,83935,0.4,84203,0.5,167948,0.3,222788,1.75 96,1,2024-09-07 09:07:01:606,583012,583012,0,0,272410442618,2844027488,578491,3725,796,384,391955,0 96,2,2024-09-07 09:07:01:268,423957,423957,0,0,17238473,0,4042 96,3,2024-09-07 09:07:01:142,1,332,1,0,411,3700,332,0 97,0,2024-09-07 09:07:01:322,78793,0.3,78510,0.5,157557,0.3,209471,1.75 97,1,2024-09-07 09:07:00:778,585335,585335,0,0,273453746676,2836117910,581460,3203,672,367,392140,0 97,2,2024-09-07 09:07:00:615,423153,423153,0,0,16662060,0,3036 97,3,2024-09-07 09:07:00:580,1,332,0,0,214,3985,332,0 98,0,2024-09-07 09:07:01:698,85134,0.3,84828,0.5,170444,0.2,227165,1.50 98,1,2024-09-07 09:07:00:581,584649,584649,0,0,272955319986,2840219610,581988,2554,107,382,391997,0 98,2,2024-09-07 09:07:00:776,416608,416608,0,0,16506339,0,3080 98,3,2024-09-07 09:07:00:709,1,332,4,0,840,5405,332,0 99,0,2024-09-07 09:07:01:531,85417,0.4,85987,0.5,171080,0.3,228468,1.75 99,1,2024-09-07 09:07:01:727,584084,584084,0,0,272786260879,2841581113,579951,3379,754,381,392069,0 99,2,2024-09-07 09:07:01:425,419543,419543,0,0,18627136,0,3424 99,3,2024-09-07 09:07:00:586,1,332,4,0,199,3144,332,0 100,0,2024-09-07 09:07:01:502,83964,0.7,83978,1.0,168017,0.9,224231,2.25 100,1,2024-09-07 09:07:00:573,581166,581166,0,0,271813431399,2872881861,572701,6969,1496,381,391989,0 100,2,2024-09-07 09:07:01:828,421502,421491,11,0,19601116,0,5417 100,3,2024-09-07 09:07:01:731,1,332,1,0,559,5909,332,0 101,0,2024-09-07 09:07:01:731,80331,1.0,78342,1.0,153496,0.7,210582,2.25 101,1,2024-09-07 09:07:00:568,580926,580926,0,0,271457803865,2860065401,571860,7044,2022,368,391771,0 101,2,2024-09-07 09:07:01:760,419992,419992,0,0,22498597,0,4644 101,3,2024-09-07 09:07:00:950,1,332,1,0,579,4475,332,0 102,0,2024-09-07 09:07:00:963,82101,0.7,84924,0.8,171361,0.7,224756,2.25 102,1,2024-09-07 09:07:01:155,582117,582117,0,0,272131955153,2859725525,574803,6282,1032,369,391891,0 102,2,2024-09-07 09:07:01:750,418357,418303,54,0,18883593,0,6768 102,3,2024-09-07 09:07:01:623,1,332,4,0,410,3604,332,0 103,0,2024-09-07 09:07:01:616,86875,0.7,86931,0.8,163835,0.7,225598,2.00 103,1,2024-09-07 09:07:01:632,581252,581252,0,0,271919605378,2869458780,572434,6822,1996,381,391829,0 103,2,2024-09-07 09:07:00:583,417253,417253,0,0,19628526,0,3173 103,3,2024-09-07 09:07:00:755,1,332,5,0,916,4231,332,0 104,0,2024-09-07 09:07:01:010,84495,0.7,84863,1.0,168601,0.7,226743,2.25 104,1,2024-09-07 09:07:01:600,582701,582701,0,0,271526740067,2857545604,573799,7204,1698,365,392168,0 104,2,2024-09-07 09:07:01:674,418507,418507,0,0,20804464,0,3941 104,3,2024-09-07 09:07:01:417,1,332,0,0,1245,7421,332,0 105,0,2024-09-07 09:07:01:041,79038,0.8,76880,1.1,161016,1.0,211633,3.00 105,1,2024-09-07 09:07:00:564,583066,583066,0,0,272741523596,2863170016,574914,6819,1333,366,391797,0 105,2,2024-09-07 09:07:01:324,421218,421218,0,0,20131301,0,3509 105,3,2024-09-07 09:07:01:309,1,332,11,0,399,5324,332,0 106,0,2024-09-07 09:07:01:015,79230,0.9,81150,1.0,165942,1.0,218041,2.50 106,1,2024-09-07 09:07:01:762,581738,581738,0,0,271972247740,2861837946,572164,8281,1293,369,391914,0 106,2,2024-09-07 09:07:00:821,418330,418330,0,0,19884200,0,2795 106,3,2024-09-07 09:07:00:696,1,332,5,0,470,4315,332,0 107,0,2024-09-07 09:07:01:127,84069,1.2,83979,1.0,168062,1.7,223733,2.25 107,1,2024-09-07 09:07:00:604,581210,581210,0,0,271567440744,2863272591,573248,7048,914,381,392234,0 107,2,2024-09-07 09:07:01:295,415899,415898,1,0,20254259,0,5024 107,3,2024-09-07 09:07:01:761,1,332,4,0,353,4513,332,0 108,0,2024-09-07 09:07:01:798,85061,0.5,85538,0.6,170201,0.4,227162,1.75 108,1,2024-09-07 09:07:01:296,583593,583593,0,0,273091912574,2850692128,578510,4565,518,368,391857,0 108,2,2024-09-07 09:07:01:757,416947,416947,0,0,19432546,0,4246 108,3,2024-09-07 09:07:01:329,1,332,1,0,749,6735,332,0 109,0,2024-09-07 09:07:01:764,83552,0.4,82537,0.5,165448,0.3,221410,1.75 109,1,2024-09-07 09:07:00:587,581397,581397,0,0,272470772267,2859767920,576198,4536,663,383,392132,0 109,2,2024-09-07 09:07:00:921,421464,421464,0,0,19057289,0,3617 109,3,2024-09-07 09:07:01:151,1,332,9,0,379,4047,332,0 110,0,2024-09-07 09:07:01:769,79590,0.4,77406,0.6,162105,0.3,212776,1.75 110,1,2024-09-07 09:07:01:651,584619,584619,0,0,273117067973,2836060357,580621,3024,974,369,392045,0 110,2,2024-09-07 09:07:01:311,421740,421740,0,0,18813488,0,4067 110,3,2024-09-07 09:07:00:695,1,332,1,0,722,5066,332,0 111,0,2024-09-07 09:07:01:448,85126,0.4,84450,0.6,169055,0.4,225801,1.75 111,1,2024-09-07 09:07:01:003,584797,584797,0,0,274241122195,2847412753,581417,3028,352,382,391690,0 111,2,2024-09-07 09:07:01:116,415187,415187,0,0,18109629,0,4823 111,3,2024-09-07 09:07:00:925,1,332,1,0,379,4106,332,0 112,0,2024-09-07 09:07:00:970,86458,0.3,85787,0.4,172093,0.2,228884,1.50 112,1,2024-09-07 09:07:00:832,584742,584742,0,0,272997370229,2833027601,581282,2876,584,380,391624,0 112,2,2024-09-07 09:07:01:133,418535,418534,1,0,16511971,0,5036 112,3,2024-09-07 09:07:00:592,1,332,3,0,282,3437,332,0 113,0,2024-09-07 09:07:00:871,83405,0.3,83520,0.5,167481,0.2,223041,1.50 113,1,2024-09-07 09:07:01:686,586897,586897,0,0,273923069621,2827310780,583617,2696,584,366,391661,0 113,2,2024-09-07 09:07:01:304,425376,425376,0,0,15258025,0,3813 113,3,2024-09-07 09:07:00:685,1,332,0,0,340,4044,332,0 114,0,2024-09-07 09:07:00:885,79522,0.3,80116,0.5,159033,0.2,212993,1.75 114,1,2024-09-07 09:07:00:732,584557,584557,0,0,273265497053,2841244596,579433,3530,1594,381,391556,0 114,2,2024-09-07 09:07:00:877,422900,422899,1,0,16845283,0,5069 114,3,2024-09-07 09:07:01:278,1,332,0,0,395,2959,332,0 115,0,2024-09-07 09:07:00:577,84086,0.3,84619,0.4,169136,0.2,224910,1.50 115,1,2024-09-07 09:07:00:578,584219,584219,0,0,272796686422,2834616433,579355,4024,840,382,391656,0 115,2,2024-09-07 09:07:01:127,418089,418089,0,0,15464342,0,3453 115,3,2024-09-07 09:07:01:004,1,332,1,0,159,1974,332,0 116,0,2024-09-07 09:07:01:716,84332,0.9,84190,1.0,168746,1.0,226300,2.25 116,1,2024-09-07 09:07:00:804,580978,580978,0,0,271877733310,2870185119,573378,5332,2268,380,391782,0 116,2,2024-09-07 09:07:01:756,417603,417603,0,0,21022270,0,3529 116,3,2024-09-07 09:07:00:925,1,332,1,0,415,4218,332,0 117,0,2024-09-07 09:07:01:004,85034,0.7,84569,0.9,169397,0.8,226593,2.00 117,1,2024-09-07 09:07:01:587,582535,582535,0,0,272557268681,2854430102,576262,5605,668,370,392033,0 117,2,2024-09-07 09:07:01:121,423923,423923,0,0,17459155,0,3700 117,3,2024-09-07 09:07:01:062,1,332,6,0,490,4927,332,0 118,0,2024-09-07 09:07:01:841,77086,0.5,79116,0.7,161453,0.5,211059,2.00 118,1,2024-09-07 09:07:00:606,582228,582228,0,0,271978548604,2861190662,573437,6893,1898,366,391907,0 118,2,2024-09-07 09:07:01:588,421164,421164,0,0,19126032,0,2781 118,3,2024-09-07 09:07:01:779,1,332,10,0,235,3565,332,0 119,0,2024-09-07 09:07:01:402,83086,0.6,83540,0.8,167126,0.6,222638,2.00 119,1,2024-09-07 09:07:00:574,583575,583575,0,0,273077965293,2857423293,577010,5728,837,367,391780,0 119,2,2024-09-07 09:07:01:282,419449,419449,0,0,18014411,0,4174 119,3,2024-09-07 09:07:01:325,1,332,1,0,563,5144,332,0 120,0,2024-09-07 09:07:01:708,83491,0.7,83207,0.9,166640,0.7,223011,2.25 120,1,2024-09-07 09:07:00:875,582886,582886,0,0,271792899178,2854375886,576479,5851,556,368,391961,0 120,2,2024-09-07 09:07:00:771,417387,417386,1,0,21013671,0,5281 120,3,2024-09-07 09:07:01:292,1,332,13,0,241,4209,332,0 121,0,2024-09-07 09:07:01:709,85110,1.0,85299,1.0,171150,1.3,227498,2.25 121,1,2024-09-07 09:07:01:667,583507,583507,0,0,272530328169,2853212622,577601,5469,437,367,391840,0 121,2,2024-09-07 09:07:01:125,417841,417841,0,0,20298322,0,4127 121,3,2024-09-07 09:07:00:731,1,332,1,0,269,3866,332,0 122,0,2024-09-07 09:07:01:782,81346,0.7,79292,0.9,166172,0.8,217348,2.00 122,1,2024-09-07 09:07:00:867,582198,582198,0,0,272373669929,2860906204,573741,7196,1261,366,392130,0 122,2,2024-09-07 09:07:01:324,422280,422207,73,0,22802861,0,5989 122,3,2024-09-07 09:07:00:598,1,332,44,0,411,5997,332,0 123,0,2024-09-07 09:07:00:976,80388,0.7,78605,0.8,163890,0.8,215223,2.00 123,1,2024-09-07 09:07:00:620,581787,581787,0,0,271965051721,2870561841,570368,9293,2126,369,392039,0 123,2,2024-09-07 09:07:01:037,419266,419265,1,0,19745045,0,5215 123,3,2024-09-07 09:07:01:143,1,332,13,0,168,3868,332,0 124,0,2024-09-07 09:07:00:966,86825,0.4,86871,0.5,163723,0.3,224520,1.75 124,1,2024-09-07 09:07:01:024,584420,584420,0,0,272561018902,2838440596,579703,3807,910,367,392178,0 124,2,2024-09-07 09:07:01:025,417740,417687,53,0,17669715,0,6487 124,3,2024-09-07 09:07:00:758,1,332,1,0,490,3459,332,0 125,0,2024-09-07 09:07:01:459,85796,0.4,85238,0.6,171622,0.4,228467,1.75 125,1,2024-09-07 09:07:00:859,583205,583205,0,0,272650906510,2849233980,578326,4235,644,383,391702,0 125,2,2024-09-07 09:07:01:116,418316,418316,0,0,18313575,0,4534 125,3,2024-09-07 09:07:01:128,1,332,1,0,709,4585,332,0 126,0,2024-09-07 09:07:01:449,83849,0.4,86113,0.6,165025,0.4,223599,1.75 126,1,2024-09-07 09:07:00:553,585039,585039,0,0,273059841022,2831900988,581308,3448,283,365,391987,0 126,2,2024-09-07 09:07:00:630,424236,424236,0,0,18432471,0,4539 126,3,2024-09-07 09:07:00:907,1,332,1,0,207,4188,332,0 127,0,2024-09-07 09:07:01:634,78555,0.3,79103,0.5,157454,0.3,209442,1.75 127,1,2024-09-07 09:07:00:638,584300,584300,0,0,272418287117,2832313105,578903,4537,860,364,392187,0 127,2,2024-09-07 09:07:00:675,421807,421807,0,0,16981651,0,3897 127,3,2024-09-07 09:07:01:267,1,332,9,0,968,4005,332,0 128,0,2024-09-07 09:07:01:522,85275,0.3,85490,0.5,170930,0.2,227477,1.50 128,1,2024-09-07 09:07:01:607,584107,584107,0,0,272896544630,2835554229,580009,3728,370,367,391798,0 128,2,2024-09-07 09:07:01:389,417321,417321,0,0,15856377,0,2915 128,3,2024-09-07 09:07:00:771,1,332,1,0,1082,5914,332,0 129,0,2024-09-07 09:07:01:008,86007,0.3,85716,0.5,171736,0.3,228479,1.75 129,1,2024-09-07 09:07:00:569,581603,581603,0,0,272684834761,2857093413,575960,4387,1256,379,391835,0 129,2,2024-09-07 09:07:00:700,419002,419002,0,0,16753940,0,4031 129,3,2024-09-07 09:07:00:688,1,332,1,0,469,4425,332,0 130,0,2024-09-07 09:07:01:831,84899,0.5,84410,0.6,169776,0.5,225487,1.75 130,1,2024-09-07 09:07:00:616,584497,584497,0,0,272992128893,2840019464,581084,3134,279,381,391825,0 130,2,2024-09-07 09:07:01:127,424232,424232,0,0,16688810,0,4067 130,3,2024-09-07 09:07:01:291,1,332,1,0,450,4143,332,0 131,0,2024-09-07 09:07:02:007,78452,0.3,79037,0.5,158820,0.3,210345,1.75 131,1,2024-09-07 09:07:02:071,584429,584429,0,0,272713336121,2847505495,579955,3729,745,381,391865,0 131,2,2024-09-07 09:07:00:589,424222,424222,0,0,15428383,0,2415 131,3,2024-09-07 09:07:01:689,1,332,2,0,392,3512,332,0 132,0,2024-09-07 09:07:01:446,84244,0.4,84908,0.6,169345,0.4,225487,1.75 132,1,2024-09-07 09:07:00:577,581174,581174,0,0,271386827133,2860132945,572538,7171,1465,381,392097,0 132,2,2024-09-07 09:07:00:722,417417,417417,0,0,20316594,0,4606 132,3,2024-09-07 09:07:01:715,1,332,3,0,804,6149,332,0 133,0,2024-09-07 09:07:01:533,82247,0.5,84026,0.6,172538,0.4,224149,2.00 133,1,2024-09-07 09:07:00:583,581532,581532,0,0,271199375828,2860796919,573363,7086,1083,383,391914,0 133,2,2024-09-07 09:07:01:091,417145,417145,0,0,21631454,0,4315 133,3,2024-09-07 09:07:01:306,1,332,1,0,479,3572,332,0 134,0,2024-09-07 09:07:00:979,85663,0.5,85509,0.7,171055,0.5,227918,2.00 134,1,2024-09-07 09:07:00:606,581932,581932,0,0,271111851271,2849263973,573678,6398,1856,366,391718,0 134,2,2024-09-07 09:07:01:756,419388,419388,0,0,18124029,0,3847 134,3,2024-09-07 09:07:00:749,1,332,1,0,739,4404,332,0 135,0,2024-09-07 09:07:01:120,77232,0.7,77278,0.8,163860,0.7,211306,2.00 135,1,2024-09-07 09:07:01:586,582479,582479,0,0,272751414189,2872413176,574126,7116,1237,380,391805,0 135,2,2024-09-07 09:07:00:700,422842,422842,0,0,19617910,0,3981 135,3,2024-09-07 09:07:01:011,1,332,14,0,299,2634,332,0 136,0,2024-09-07 09:07:01:630,82493,0.6,82601,0.7,164333,0.6,219967,2.25 136,1,2024-09-07 09:07:01:442,582221,582221,0,0,271858296645,2856692486,575208,6317,696,382,391685,0 136,2,2024-09-07 09:07:01:137,420411,420411,0,0,19368270,0,3506 136,3,2024-09-07 09:07:01:111,1,332,1,0,637,3862,332,0 137,0,2024-09-07 09:07:00:934,86830,0.7,84526,0.8,165880,0.8,225126,2.00 137,1,2024-09-07 09:07:00:582,581907,581907,0,0,272114222539,2859358861,572330,7696,1881,366,391708,0 137,2,2024-09-07 09:07:01:713,416634,416634,0,0,21625348,0,3185 137,3,2024-09-07 09:07:00:794,1,332,2,0,382,4024,332,0 138,0,2024-09-07 09:07:01:787,84697,1.3,84770,1.1,170209,1.8,226136,2.25 138,1,2024-09-07 09:07:01:696,582680,582680,0,0,272658461328,2865498750,573638,7446,1596,368,391954,0 138,2,2024-09-07 09:07:00:599,417784,417784,0,0,19394479,0,4988 138,3,2024-09-07 09:07:00:634,1,332,18,0,1160,4793,332,0 139,0,2024-09-07 09:07:01:386,81917,1.2,82256,1.1,164842,1.8,219597,2.25 139,1,2024-09-07 09:07:00:617,580115,580115,0,0,270896376849,2881074126,568756,8715,2644,381,391892,0 139,2,2024-09-07 09:07:00:704,420743,420743,0,0,21097415,0,3097 139,3,2024-09-07 09:07:01:664,1,332,1,0,257,3717,332,0 140,0,2024-09-07 09:07:01:595,80046,0.3,79481,0.5,159652,0.2,213139,1.75 140,1,2024-09-07 09:07:01:543,586236,586236,0,0,273779447288,2825527455,583033,2765,438,365,391606,0 140,2,2024-09-07 09:07:00:687,422182,422181,1,0,17515019,0,5036 140,3,2024-09-07 09:07:00:767,1,332,1,0,247,2726,332,0 141,0,2024-09-07 09:07:01:706,84892,0.4,87158,0.5,166522,0.3,225735,1.75 141,1,2024-09-07 09:07:00:859,584922,584922,0,0,272765766621,2836469508,580361,3699,862,379,391614,0 141,2,2024-09-07 09:07:01:687,416029,416029,0,0,16987029,0,3360 141,3,2024-09-07 09:07:01:043,1,332,1,0,391,3856,332,0 142,0,2024-09-07 09:07:01:310,86601,0.3,85761,0.5,171393,0.3,229219,1.75 142,1,2024-09-07 09:07:00:584,584559,584559,0,0,272434718805,2843022442,580598,3610,351,382,392102,0 142,2,2024-09-07 09:07:01:299,417608,417576,32,0,18467006,0,6028 142,3,2024-09-07 09:07:01:754,1,332,15,0,484,4146,332,0 143,0,2024-09-07 09:07:01:374,83765,0.4,83538,0.6,167574,0.4,223168,1.75 143,1,2024-09-07 09:07:00:571,584659,584659,0,0,272948350410,2834126489,580887,3622,150,367,391705,0 143,2,2024-09-07 09:07:00:769,423900,423900,0,0,17867819,0,3123 143,3,2024-09-07 09:07:01:143,1,332,1,0,303,3971,332,0 144,0,2024-09-07 09:07:01:533,76713,0.5,78799,0.8,160484,0.4,211134,2.00 144,1,2024-09-07 09:07:00:567,582164,582164,0,0,271720087673,2849508769,577312,3911,941,381,391649,0 144,2,2024-09-07 09:07:01:781,422832,422832,0,0,16136447,0,3473 144,3,2024-09-07 09:07:01:745,1,332,9,0,249,3436,332,0 145,0,2024-09-07 09:07:01:373,81369,0.6,81342,0.8,172681,0.6,222367,2.25 145,1,2024-09-07 09:07:00:562,581510,581510,0,0,272098060156,2862727038,574213,6157,1140,382,391759,0 145,2,2024-09-07 09:07:01:458,415436,415436,0,0,19494545,0,3903 145,3,2024-09-07 09:07:00:907,1,332,2,0,622,5093,332,0 146,0,2024-09-07 09:07:01:616,84682,0.6,83992,0.8,169431,0.6,224933,2.25 146,1,2024-09-07 09:07:01:601,583119,583119,0,0,272486132241,2867594970,573425,7684,2010,368,391770,0 146,2,2024-09-07 09:07:01:704,417329,417329,0,0,19351823,0,2730 146,3,2024-09-07 09:07:01:274,1,332,15,0,1520,7012,332,0 147,0,2024-09-07 09:07:01:742,85104,0.6,84745,0.8,168851,0.6,226143,2.25 147,1,2024-09-07 09:07:01:377,584566,584566,0,0,273061942266,2844063926,578907,4859,800,368,391791,0 147,2,2024-09-07 09:07:01:023,421632,421632,0,0,17258018,0,2789 147,3,2024-09-07 09:07:00:929,1,332,1,0,730,4935,332,0 0,0,2024-09-07 09:07:11:731,81625,0.7,81655,0.8,173257,0.8,223843,2.00 0,1,2024-09-07 09:07:10:810,585206,585206,0,0,273408452720,2860095732,581080,3882,244,370,391896,0 0,2,2024-09-07 09:07:11:072,419681,419681,0,0,17005771,0,4480 0,3,2024-09-07 09:07:10:978,1,333,8,0,431,4622,333,0 1,0,2024-09-07 09:07:11:794,85649,0.8,85072,0.9,170919,1.0,228086,2.00 1,1,2024-09-07 09:07:10:585,584029,584029,0,0,273229376218,2862600253,578433,4370,1226,370,391857,0 1,2,2024-09-07 09:07:10:668,419615,419615,0,0,16506025,0,3267 1,3,2024-09-07 09:07:11:302,1,333,1,0,262,3927,333,0 2,0,2024-09-07 09:07:11:582,81534,0.6,81856,0.7,162492,0.7,217232,2.00 2,1,2024-09-07 09:07:10:859,586205,586205,0,0,274264104489,2848727414,583309,2603,293,380,391745,0 2,2,2024-09-07 09:07:11:267,423953,423953,0,0,16467524,0,3594 2,3,2024-09-07 09:07:10:695,1,333,1,0,357,3384,333,0 3,0,2024-09-07 09:07:11:744,81026,0.4,80960,0.6,162041,0.3,216292,1.75 3,1,2024-09-07 09:07:11:618,584907,584907,0,0,273211724473,2846263944,579809,4475,623,380,391591,0 3,2,2024-09-07 09:07:11:146,422497,422474,23,0,16896861,0,5851 3,3,2024-09-07 09:07:11:753,1,333,1,0,207,2213,333,0 4,0,2024-09-07 09:07:11:777,81809,0.4,84312,0.5,171224,0.4,223948,1.75 4,1,2024-09-07 09:07:10:606,583601,583601,0,0,272795271979,2874399778,576261,5984,1356,371,391992,0 4,2,2024-09-07 09:07:11:017,416467,416467,0,0,19606292,0,4528 4,3,2024-09-07 09:07:11:027,1,333,1,0,448,4741,333,0 5,0,2024-09-07 09:07:11:383,85642,0.5,85967,0.6,171608,0.4,228066,1.75 5,1,2024-09-07 09:07:10:759,584078,584078,0,0,272800477287,2869998939,576915,5807,1356,367,392005,0 5,2,2024-09-07 09:07:11:830,417727,417727,0,0,18746913,0,2432 5,3,2024-09-07 09:07:11:733,1,333,1,0,457,4920,333,0 6,0,2024-09-07 09:07:10:918,84397,0.5,83890,0.6,167744,0.4,223856,2.00 6,1,2024-09-07 09:07:10:754,584081,584081,0,0,273264119235,2856591844,577721,5284,1076,379,391694,0 6,2,2024-09-07 09:07:11:119,424355,424355,0,0,18021435,0,4816 6,3,2024-09-07 09:07:11:274,1,333,2,0,340,3711,333,0 7,0,2024-09-07 09:07:11:533,78756,0.5,79021,0.6,157384,0.4,209844,1.75 7,1,2024-09-07 09:07:10:858,583304,583304,0,0,272852200260,2866549477,575234,6894,1176,382,391747,0 7,2,2024-09-07 09:07:10:776,423527,423527,0,0,18151489,0,4791 7,3,2024-09-07 09:07:10:851,1,333,1,0,398,3846,333,0 8,0,2024-09-07 09:07:11:356,85409,0.4,85303,0.5,170708,0.3,227617,1.75 8,1,2024-09-07 09:07:11:025,582607,582607,0,0,272676015823,2873832283,572460,7870,2277,366,392144,0 8,2,2024-09-07 09:07:10:791,413540,413540,0,0,22181713,0,3220 8,3,2024-09-07 09:07:10:593,1,333,21,0,538,5793,333,0 9,0,2024-09-07 09:07:11:125,85902,0.4,83535,0.5,174769,0.4,229050,1.75 9,1,2024-09-07 09:07:10:563,583540,583540,0,0,272973047329,2880062129,574494,6922,2124,369,392001,0 9,2,2024-09-07 09:07:11:092,418571,418571,0,0,19573611,0,3360 9,3,2024-09-07 09:07:11:758,1,333,1,0,496,4988,333,0 10,0,2024-09-07 09:07:11:608,84722,0.3,84025,0.5,168843,0.2,224788,1.75 10,1,2024-09-07 09:07:10:591,584592,584592,0,0,273865203023,2870338878,576709,6774,1109,381,391741,0 10,2,2024-09-07 09:07:10:765,424481,424481,0,0,21338815,0,4264 10,3,2024-09-07 09:07:10:874,1,333,0,0,296,2998,333,0 11,0,2024-09-07 09:07:11:008,78653,0.4,76290,0.6,159632,0.4,211587,1.75 11,1,2024-09-07 09:07:10:585,584405,584405,0,0,273592476264,2876232958,574392,7565,2448,383,391756,0 11,2,2024-09-07 09:07:11:127,423061,423061,0,0,19294590,0,4130 11,3,2024-09-07 09:07:11:304,1,333,1,0,843,5224,333,0 12,0,2024-09-07 09:07:10:949,85275,0.4,85153,0.5,170191,0.3,226416,1.75 12,1,2024-09-07 09:07:10:951,584677,584677,0,0,272692808462,2846074400,579822,4365,490,370,391870,0 12,2,2024-09-07 09:07:11:547,418717,418717,0,0,18884679,0,3469 12,3,2024-09-07 09:07:11:068,1,333,1,0,386,5451,333,0 13,0,2024-09-07 09:07:11:400,85130,0.5,84746,0.6,169347,0.4,225924,1.75 13,1,2024-09-07 09:07:11:555,583885,583885,0,0,272998672617,2873029814,577979,4496,1410,382,391740,0 13,2,2024-09-07 09:07:10:595,420692,420692,0,0,17282697,0,3287 13,3,2024-09-07 09:07:11:769,1,333,16,0,522,5459,333,0 14,0,2024-09-07 09:07:10:569,85828,0.4,86492,0.6,171105,0.3,228061,1.75 14,1,2024-09-07 09:07:11:560,588195,588195,0,0,273955734037,2839650037,583510,4326,359,364,391571,0 14,2,2024-09-07 09:07:10:768,421116,421086,30,0,19050024,0,6104 14,3,2024-09-07 09:07:11:118,1,333,1,0,1168,4083,333,0 15,0,2024-09-07 09:07:11:586,80006,0.4,80047,0.6,160178,0.4,213257,2.00 15,1,2024-09-07 09:07:11:613,585417,585417,0,0,273806442615,2852685884,581078,3517,822,381,391619,0 15,2,2024-09-07 09:07:11:002,424889,424889,0,0,15642867,0,3622 15,3,2024-09-07 09:07:11:405,1,333,1,0,1126,6220,333,0 16,0,2024-09-07 09:07:10:947,82597,0.6,82880,0.7,165317,0.6,220772,2.00 16,1,2024-09-07 09:07:10:564,585492,585492,0,0,273730677002,2858318855,581206,3926,360,370,391756,0 16,2,2024-09-07 09:07:11:436,420756,420756,0,0,17944650,0,4719 16,3,2024-09-07 09:07:11:145,1,333,0,0,317,4653,333,0 17,0,2024-09-07 09:07:11:796,86790,0.7,84756,0.8,165715,0.7,224895,2.00 17,1,2024-09-07 09:07:10:570,584016,584016,0,0,272015510703,2856519535,577777,4981,1258,368,392075,0 17,2,2024-09-07 09:07:11:665,421138,421138,0,0,17565307,0,2857 17,3,2024-09-07 09:07:10:590,1,333,0,0,298,5506,333,0 18,0,2024-09-07 09:07:10:943,84380,0.6,84721,0.8,168990,0.6,225522,2.25 18,1,2024-09-07 09:07:11:641,585940,585940,0,0,273679338206,2837641133,582604,3025,311,367,391649,0 18,2,2024-09-07 09:07:11:755,421106,421106,0,0,16297622,0,3541 18,3,2024-09-07 09:07:10:905,1,333,2,0,163,2928,333,0 19,0,2024-09-07 09:07:11:553,82600,0.6,83256,0.8,164726,0.5,219104,2.25 19,1,2024-09-07 09:07:10:575,586227,586227,0,0,274519657473,2849689662,581103,4285,839,367,391777,0 19,2,2024-09-07 09:07:11:751,425616,425616,0,0,15097983,0,3988 19,3,2024-09-07 09:07:11:134,1,333,16,0,524,2579,333,0 20,0,2024-09-07 09:07:11:359,79899,0.5,79753,0.7,159573,0.5,213497,2.00 20,1,2024-09-07 09:07:10:581,584235,584235,0,0,273894414093,2861872047,579904,3926,405,369,391886,0 20,2,2024-09-07 09:07:10:938,423073,423073,0,0,18144220,0,3721 20,3,2024-09-07 09:07:10:599,1,333,2,0,414,5331,333,0 21,0,2024-09-07 09:07:11:127,84917,0.5,84927,0.7,169712,0.5,224953,2.00 21,1,2024-09-07 09:07:11:535,583508,583508,0,0,272278106606,2870497684,575531,6099,1878,368,392016,0 21,2,2024-09-07 09:07:11:079,415586,415586,0,0,21126764,0,3747 21,3,2024-09-07 09:07:11:405,1,333,1,0,713,3979,333,0 22,0,2024-09-07 09:07:11:726,85576,0.6,86027,0.7,172118,0.5,227969,2.25 22,1,2024-09-07 09:07:11:027,584521,584521,0,0,272612016195,2866669651,576031,6670,1820,382,391667,0 22,2,2024-09-07 09:07:10:768,418271,418271,0,0,17269533,0,3134 22,3,2024-09-07 09:07:11:069,1,333,0,0,228,2834,333,0 23,0,2024-09-07 09:07:11:370,83391,0.5,83342,0.7,166933,0.5,222592,2.25 23,1,2024-09-07 09:07:11:014,584247,584247,0,0,273800870113,2877940524,573753,7336,3158,365,391690,0 23,2,2024-09-07 09:07:11:092,425749,425749,0,0,17119424,0,3010 23,3,2024-09-07 09:07:11:759,1,333,9,0,720,4733,333,0 24,0,2024-09-07 09:07:10:861,79904,0.4,79862,0.6,160211,0.3,212988,1.75 24,1,2024-09-07 09:07:10:591,583560,583560,0,0,272432687622,2860628554,576667,5365,1528,368,392269,0 24,2,2024-09-07 09:07:11:072,422090,422090,0,0,21036248,0,3607 24,3,2024-09-07 09:07:11:686,1,333,3,0,468,4500,333,0 25,0,2024-09-07 09:07:11:366,86896,0.4,84662,0.6,165993,0.4,226672,2.00 25,1,2024-09-07 09:07:10:560,584721,584721,0,0,273341605204,2869964293,577039,6313,1369,371,391928,0 25,2,2024-09-07 09:07:11:611,416904,416904,0,0,20965241,0,3978 25,3,2024-09-07 09:07:11:005,1,333,3,0,255,3307,333,0 26,0,2024-09-07 09:07:11:734,84712,0.4,82794,0.6,173687,0.4,226481,2.00 26,1,2024-09-07 09:07:11:542,584897,584897,0,0,272634558636,2859365221,576049,7312,1536,380,391748,0 26,2,2024-09-07 09:07:10:868,419906,419906,0,0,20701550,0,2809 26,3,2024-09-07 09:07:11:723,1,333,5,0,796,4390,333,0 27,0,2024-09-07 09:07:11:736,85338,0.4,85391,0.6,169349,0.4,226285,2.00 27,1,2024-09-07 09:07:11:675,586904,586904,0,0,274301799363,2857140468,581637,4502,765,381,391626,0 27,2,2024-09-07 09:07:10:874,420359,420294,65,0,20524603,0,5699 27,3,2024-09-07 09:07:11:015,1,333,1,0,564,3635,333,0 28,0,2024-09-07 09:07:11:398,79808,0.4,79552,0.6,159389,0.3,212797,2.00 28,1,2024-09-07 09:07:10:807,585853,585853,0,0,274529869225,2861869001,581474,3676,703,383,391698,0 28,2,2024-09-07 09:07:11:768,423235,423235,0,0,18192207,0,2915 28,3,2024-09-07 09:07:11:781,1,333,8,0,502,3527,333,0 29,0,2024-09-07 09:07:11:357,86216,0.4,83966,0.6,164701,0.3,225113,1.75 29,1,2024-09-07 09:07:11:561,587396,587396,0,0,274389396048,2843332245,583057,3655,684,369,391753,0 29,2,2024-09-07 09:07:10:861,418622,418622,0,0,17496302,0,4986 29,3,2024-09-07 09:07:10:963,1,333,1,0,459,4024,333,0 30,0,2024-09-07 09:07:11:458,83791,0.6,81343,0.7,170279,0.5,222889,2.00 30,1,2024-09-07 09:07:10:586,586578,586578,0,0,274186078470,2849664215,581759,4109,710,381,391672,0 30,2,2024-09-07 09:07:11:276,419514,419514,0,0,16435812,0,3161 30,3,2024-09-07 09:07:10:585,1,333,0,0,519,3420,333,0 31,0,2024-09-07 09:07:11:772,85134,0.4,85502,0.6,171052,0.4,227689,2.00 31,1,2024-09-07 09:07:10:569,589056,589056,0,0,274835343740,2820253251,586513,1984,559,356,391712,0 31,2,2024-09-07 09:07:11:276,420228,420228,0,0,18245839,0,3525 31,3,2024-09-07 09:07:11:706,1,333,5,0,220,2870,333,0 32,0,2024-09-07 09:07:11:428,81560,0.3,81907,0.5,163698,0.2,217631,1.75 32,1,2024-09-07 09:07:10:819,586395,586395,0,0,275039416716,2856498897,583254,2695,446,381,391646,0 32,2,2024-09-07 09:07:10:938,424539,424539,0,0,15422911,0,3155 32,3,2024-09-07 09:07:11:026,1,333,8,0,227,2429,333,0 33,0,2024-09-07 09:07:11:492,81361,0.3,80893,0.4,162263,0.2,216846,1.50 33,1,2024-09-07 09:07:10:576,587818,587818,0,0,275548023087,2853745101,583407,3580,831,369,391730,0 33,2,2024-09-07 09:07:10:762,423293,423258,35,0,17520876,0,7012 33,3,2024-09-07 09:07:10:909,1,333,1,0,329,3550,333,0 34,0,2024-09-07 09:07:10:936,84548,0.3,86974,0.5,166359,0.2,223292,1.75 34,1,2024-09-07 09:07:11:048,588312,588312,0,0,275399597169,2831072427,586895,1409,8,367,391562,0 34,2,2024-09-07 09:07:10:770,418547,418547,0,0,16684497,0,3577 34,3,2024-09-07 09:07:11:688,1,333,14,0,299,2452,333,0 35,0,2024-09-07 09:07:10:869,84976,0.4,85362,0.5,171722,0.3,228576,1.75 35,1,2024-09-07 09:07:11:072,586377,586377,0,0,273653931066,2836592273,582771,2825,781,382,391589,0 35,2,2024-09-07 09:07:11:597,419368,419368,0,0,16734893,0,2653 35,3,2024-09-07 09:07:10:924,1,333,1,0,418,4242,333,0 36,0,2024-09-07 09:07:11:516,84362,0.5,84381,0.6,168653,0.4,223543,2.00 36,1,2024-09-07 09:07:10:613,585927,585927,0,0,273527461194,2858260670,578695,5574,1658,366,391759,0 36,2,2024-09-07 09:07:11:758,424982,424982,0,0,19186502,0,3875 36,3,2024-09-07 09:07:10:868,1,333,2,0,416,5349,333,0 37,0,2024-09-07 09:07:11:373,78576,0.5,78568,0.7,157337,0.4,210175,2.00 37,1,2024-09-07 09:07:10:579,584875,584868,0,7,273224893596,2856045511,578096,4686,2086,365,391570,0 37,2,2024-09-07 09:07:11:146,421854,421839,15,0,18763143,0,5815 37,3,2024-09-07 09:07:11:767,1,333,9,0,888,5532,333,0 38,0,2024-09-07 09:07:11:445,84779,0.5,82299,0.7,172269,0.4,225433,2.00 38,1,2024-09-07 09:07:11:608,586626,586626,0,0,274326548453,2856770944,580587,5281,758,368,391821,0 38,2,2024-09-07 09:07:10:763,416644,416597,47,0,19233264,0,6710 38,3,2024-09-07 09:07:11:001,1,333,1,0,689,4663,333,0 39,0,2024-09-07 09:07:11:759,87381,0.6,85539,0.7,166653,0.6,227834,2.00 39,1,2024-09-07 09:07:10:716,584750,584750,0,0,273358330471,2860841718,576389,6901,1460,365,391630,0 39,2,2024-09-07 09:07:11:419,419288,419288,0,0,17280419,0,2689 39,3,2024-09-07 09:07:10:716,1,333,1,0,324,4277,333,0 40,0,2024-09-07 09:07:11:491,83736,0.8,84384,0.9,168535,0.8,224498,2.75 40,1,2024-09-07 09:07:10:576,585735,585735,0,0,272588444163,2855538940,577180,7045,1510,368,391668,0 40,2,2024-09-07 09:07:11:308,423516,423515,1,0,20628177,0,5137 40,3,2024-09-07 09:07:11:151,1,333,1,0,1028,4997,333,0 41,0,2024-09-07 09:07:11:057,78469,1.4,80370,1.2,153424,2.2,209426,3.00 41,1,2024-09-07 09:07:10:774,584570,584570,0,0,272980285236,2856785167,577520,6347,703,369,391742,0 41,2,2024-09-07 09:07:10:763,421869,421869,0,0,19768771,0,3356 41,3,2024-09-07 09:07:11:675,1,333,0,0,366,3377,333,0 42,0,2024-09-07 09:07:11:482,83755,0.8,84050,1.0,168066,0.9,222361,2.50 42,1,2024-09-07 09:07:11:439,584127,584127,0,0,272677716513,2867091885,574599,7770,1758,380,391675,0 42,2,2024-09-07 09:07:11:133,418109,418109,0,0,19809846,0,3790 42,3,2024-09-07 09:07:11:018,1,333,1,0,446,3063,333,0 43,0,2024-09-07 09:07:10:918,83385,1.0,81323,1.1,170341,1.1,223497,2.50 43,1,2024-09-07 09:07:10:586,585006,585006,0,0,273912548916,2863289584,576774,6794,1438,366,391696,0 43,2,2024-09-07 09:07:11:735,419877,419877,0,0,19603993,0,3812 43,3,2024-09-07 09:07:11:749,1,333,1,0,467,4031,333,0 44,0,2024-09-07 09:07:10:888,85588,0.4,85976,0.6,171546,0.4,228181,1.75 44,1,2024-09-07 09:07:10:569,586696,586696,0,0,272978515701,2825121797,581635,4014,1047,356,391809,0 44,2,2024-09-07 09:07:11:266,420812,420812,0,0,16499122,0,4344 44,3,2024-09-07 09:07:11:095,1,333,1,0,817,4452,333,0 45,0,2024-09-07 09:07:11:810,79078,0.5,77161,0.7,161699,0.5,213230,2.00 45,1,2024-09-07 09:07:11:009,585765,585765,0,0,274339711395,2852436959,581331,3958,476,382,391917,0 45,2,2024-09-07 09:07:11:272,423651,423651,0,0,17080908,0,3596 45,3,2024-09-07 09:07:10:942,1,333,1,0,271,3213,333,0 46,0,2024-09-07 09:07:10:948,82020,0.6,81947,0.8,163985,0.6,218553,2.00 46,1,2024-09-07 09:07:10:585,587691,587691,0,0,275050356819,2841855452,583982,3283,426,366,391572,0 46,2,2024-09-07 09:07:10:596,421765,421765,0,0,16088040,0,2920 46,3,2024-09-07 09:07:11:136,1,333,0,0,908,4989,333,0 47,0,2024-09-07 09:07:11:114,84591,0.5,84784,0.7,169893,0.5,224373,2.00 47,1,2024-09-07 09:07:10:569,587567,587567,0,0,274475829763,2841148834,583080,3672,815,366,391641,0 47,2,2024-09-07 09:07:10:924,420466,420466,0,0,16581004,0,4477 47,3,2024-09-07 09:07:11:121,1,333,2,0,529,3711,333,0 48,0,2024-09-07 09:07:11:492,85920,0.3,85521,0.4,170577,0.2,227635,1.50 48,1,2024-09-07 09:07:11:026,586208,586208,0,0,274493455437,2851040805,582651,3254,303,384,391710,0 48,2,2024-09-07 09:07:10:710,419179,419179,0,0,15173399,0,3031 48,3,2024-09-07 09:07:10:762,1,333,2,0,339,2859,333,0 49,0,2024-09-07 09:07:11:743,85240,0.3,83728,0.5,162157,0.3,221746,1.75 49,1,2024-09-07 09:07:11:027,585974,585974,0,0,273336905575,2846955436,581656,3133,1185,382,391809,0 49,2,2024-09-07 09:07:11:809,424854,424854,0,0,16370405,0,4426 49,3,2024-09-07 09:07:11:416,1,333,1,0,408,3482,333,0 50,0,2024-09-07 09:07:11:507,80199,0.3,79112,0.4,159375,0.2,213161,1.75 50,1,2024-09-07 09:07:11:014,588093,588093,0,0,274705942150,2840930285,584274,3445,374,368,391565,0 50,2,2024-09-07 09:07:11:069,423381,423381,0,0,15324930,0,2263 50,3,2024-09-07 09:07:11:297,1,333,12,0,335,3291,333,0 51,0,2024-09-07 09:07:11:690,87011,0.3,85034,0.5,165969,0.3,225833,1.75 51,1,2024-09-07 09:07:11:682,588295,588295,0,0,275336012311,2841468745,585036,2284,975,365,391706,0 51,2,2024-09-07 09:07:11:320,417849,417849,0,0,14734986,0,3337 51,3,2024-09-07 09:07:11:038,1,333,4,0,678,2565,333,0 52,0,2024-09-07 09:07:11:438,86281,0.5,86167,0.7,172211,0.4,229104,2.00 52,1,2024-09-07 09:07:10:580,585148,585148,0,0,273100709708,2862313755,576510,7326,1312,368,391722,0 52,2,2024-09-07 09:07:11:767,416160,416122,38,0,19539830,0,6742 52,3,2024-09-07 09:07:10:675,1,333,2,0,1782,5175,333,0 53,0,2024-09-07 09:07:11:732,83287,0.6,80917,0.8,169272,0.6,222209,2.25 53,1,2024-09-07 09:07:10:794,583875,583875,0,0,273506691266,2872278233,574437,6857,2581,367,391702,0 53,2,2024-09-07 09:07:11:304,425835,425835,0,0,16831625,0,2727 53,3,2024-09-07 09:07:10:709,1,333,3,0,308,3231,333,0 54,0,2024-09-07 09:07:11:618,78372,0.6,78950,0.7,156801,0.4,210211,2.25 54,1,2024-09-07 09:07:10:585,585593,585593,0,0,274801267595,2856460408,579998,4993,602,367,391659,0 54,2,2024-09-07 09:07:10:865,423575,423569,6,0,19308040,0,5382 54,3,2024-09-07 09:07:10:768,1,333,1,0,676,5206,333,0 55,0,2024-09-07 09:07:11:759,81729,0.6,84604,0.7,170604,0.5,222851,2.50 55,1,2024-09-07 09:07:10:765,585669,585669,0,0,273848798120,2851091782,579046,5714,909,365,391731,0 55,2,2024-09-07 09:07:10:735,416646,416646,0,0,18690231,0,3563 55,3,2024-09-07 09:07:10:679,1,333,0,0,304,3750,333,0 56,0,2024-09-07 09:07:11:559,86667,1.2,81728,1.1,168574,1.7,226005,2.75 56,1,2024-09-07 09:07:10:577,582785,582785,0,0,273364315439,2887062059,574053,7102,1630,381,391867,0 56,2,2024-09-07 09:07:11:309,419215,419215,0,0,19521434,0,3567 56,3,2024-09-07 09:07:11:068,1,333,1,0,705,4435,333,0 57,0,2024-09-07 09:07:10:958,83993,1.4,83834,1.2,168069,2.0,224796,3.00 57,1,2024-09-07 09:07:11:002,584263,584263,0,0,272741119767,2859739728,577443,6100,720,366,392032,0 57,2,2024-09-07 09:07:11:325,423269,423269,0,0,21339379,0,3317 57,3,2024-09-07 09:07:11:748,1,333,1,0,359,3945,333,0 58,0,2024-09-07 09:07:10:569,78372,0.9,76248,1.0,159648,1.0,209533,2.50 58,1,2024-09-07 09:07:10:576,585328,585325,0,3,273375768013,2859323472,577206,7037,1082,367,391603,3 58,2,2024-09-07 09:07:11:072,422773,422773,0,0,19526216,0,2549 58,3,2024-09-07 09:07:11:070,1,333,1,0,1043,3417,333,0 59,0,2024-09-07 09:07:11:747,83692,0.8,83338,0.9,166317,0.8,221541,2.75 59,1,2024-09-07 09:07:10:824,585073,585073,0,0,272927239420,2858462595,577211,6342,1520,369,391586,0 59,2,2024-09-07 09:07:10:623,420556,420556,0,0,19171160,0,2867 59,3,2024-09-07 09:07:11:739,1,333,13,0,1015,4290,333,0 60,0,2024-09-07 09:07:11:724,83857,0.6,83991,0.7,168613,0.6,223503,1.75 60,1,2024-09-07 09:07:10:786,586629,586629,0,0,273889324680,2845123143,582520,3464,645,370,392031,0 60,2,2024-09-07 09:07:11:140,419420,419420,0,0,18771090,0,3811 60,3,2024-09-07 09:07:11:269,1,333,1,0,409,3941,333,0 61,0,2024-09-07 09:07:11:586,85407,0.6,85923,0.8,170811,0.6,227498,2.00 61,1,2024-09-07 09:07:10:776,584679,584679,0,0,272904857841,2863270069,577519,5836,1324,382,392127,0 61,2,2024-09-07 09:07:11:119,420485,420418,67,0,19122353,0,6411 61,3,2024-09-07 09:07:11:687,1,333,1,0,479,5071,333,0 62,0,2024-09-07 09:07:11:711,82037,0.5,83857,0.7,159833,0.5,217255,2.00 62,1,2024-09-07 09:07:11:111,588682,588676,0,6,275689684574,2846738684,585098,3319,259,365,391715,6 62,2,2024-09-07 09:07:11:648,422603,422602,1,0,18683027,0,5555 62,3,2024-09-07 09:07:11:145,1,333,2,0,465,2574,333,0 63,0,2024-09-07 09:07:11:455,81342,0.4,81222,0.6,162875,0.4,217027,1.75 63,1,2024-09-07 09:07:10:819,586628,586622,0,6,275259739272,2858773911,582691,3543,388,381,391800,6 63,2,2024-09-07 09:07:10:765,422506,422506,0,0,16992793,0,4369 63,3,2024-09-07 09:07:11:735,1,333,7,0,667,3829,333,0 64,0,2024-09-07 09:07:11:551,83840,0.5,83811,0.7,167672,0.5,222407,2.00 64,1,2024-09-07 09:07:10:758,586180,586180,0,0,274048523348,2857752541,580535,3985,1660,370,391783,0 64,2,2024-09-07 09:07:11:143,421513,421494,19,0,16658196,0,6121 64,3,2024-09-07 09:07:11:140,1,333,23,0,265,3138,333,0 65,0,2024-09-07 09:07:11:689,84790,0.7,85080,0.8,170101,0.7,226486,2.25 65,1,2024-09-07 09:07:10:873,585196,585196,0,0,273385622820,2857764212,581113,3632,451,382,391901,0 65,2,2024-09-07 09:07:11:708,420495,420495,0,0,18378712,0,3367 65,3,2024-09-07 09:07:11:684,1,333,0,0,163,3013,333,0 66,0,2024-09-07 09:07:11:829,83705,0.5,83615,0.7,167500,0.4,222470,2.00 66,1,2024-09-07 09:07:11:297,585989,585989,0,0,273920044229,2848520312,582429,3238,322,380,391653,0 66,2,2024-09-07 09:07:11:134,426499,426499,0,0,16579427,0,4956 66,3,2024-09-07 09:07:11:091,1,333,2,0,291,3203,333,0 67,0,2024-09-07 09:07:11:427,79346,0.5,78782,0.7,158275,0.5,210977,2.00 67,1,2024-09-07 09:07:10:766,586325,586324,0,1,273454530024,2848567844,582159,3414,751,380,391787,1 67,2,2024-09-07 09:07:10:620,424282,424282,0,0,15961651,0,2889 67,3,2024-09-07 09:07:11:750,1,333,1,0,338,2857,333,0 68,0,2024-09-07 09:07:10:563,85656,0.6,85265,0.7,170042,0.6,227404,2.00 68,1,2024-09-07 09:07:10:576,584735,584735,0,0,273039558511,2860848304,579921,3610,1204,381,391953,0 68,2,2024-09-07 09:07:11:048,415565,415500,65,0,20937179,0,6698 68,3,2024-09-07 09:07:10:739,1,333,1,0,417,3674,333,0 69,0,2024-09-07 09:07:11:770,85341,0.9,85733,0.9,170794,1.1,227011,2.25 69,1,2024-09-07 09:07:11:032,582722,582722,0,0,272753740386,2879448809,574748,5864,2110,384,391994,0 69,2,2024-09-07 09:07:11:736,418810,418810,0,0,21195108,0,3722 69,3,2024-09-07 09:07:10:768,1,333,18,0,698,4858,333,0 70,0,2024-09-07 09:07:11:534,83689,0.8,83649,1.0,168393,0.6,223142,2.25 70,1,2024-09-07 09:07:10:819,586243,586243,0,0,274293040926,2848351889,581394,4313,536,366,391725,0 70,2,2024-09-07 09:07:11:325,423331,423331,0,0,19253420,0,4044 70,3,2024-09-07 09:07:10:752,1,333,5,0,854,3794,333,0 71,0,2024-09-07 09:07:11:355,78495,0.8,78114,1.0,157009,1.0,210191,2.50 71,1,2024-09-07 09:07:11:601,585216,585216,0,0,273567206288,2855644329,578605,5955,656,368,391738,0 71,2,2024-09-07 09:07:11:070,423179,423179,0,0,18884234,0,4042 71,3,2024-09-07 09:07:11:750,1,333,6,0,644,4643,333,0 72,0,2024-09-07 09:07:11:045,87378,0.6,85395,0.7,166541,0.6,226697,2.00 72,1,2024-09-07 09:07:11:028,584514,584514,0,0,273304071006,2864795327,576286,6531,1697,369,391819,0 72,2,2024-09-07 09:07:11:769,417039,417039,0,0,21553877,0,3983 72,3,2024-09-07 09:07:11:758,1,333,2,0,564,5281,333,0 73,0,2024-09-07 09:07:11:111,82445,0.5,84399,0.6,172837,0.4,224568,2.00 73,1,2024-09-07 09:07:10:769,585854,585854,0,0,273426179312,2843715737,581535,3989,330,367,391858,0 73,2,2024-09-07 09:07:11:749,419727,419727,0,0,20656768,0,3701 73,3,2024-09-07 09:07:10:978,1,333,4,0,274,4495,333,0 74,0,2024-09-07 09:07:11:327,85976,0.5,88122,0.6,168305,0.4,227900,2.25 74,1,2024-09-07 09:07:10:662,585361,585361,0,0,273513940495,2856771815,579282,4816,1263,381,391681,0 74,2,2024-09-07 09:07:11:005,421134,421134,0,0,19673638,0,4253 74,3,2024-09-07 09:07:11:445,1,333,60,0,522,4696,333,0 75,0,2024-09-07 09:07:11:763,80259,0.5,79941,0.7,160041,0.4,214105,2.25 75,1,2024-09-07 09:07:11:589,584519,584519,0,0,272801582009,2851603565,578168,5526,825,380,391739,0 75,2,2024-09-07 09:07:11:350,422689,422689,0,0,19747053,0,4766 75,3,2024-09-07 09:07:11:071,1,333,1,0,702,4749,333,0 76,0,2024-09-07 09:07:10:644,82214,0.6,81695,0.8,163687,0.6,219831,2.25 76,1,2024-09-07 09:07:10:815,585501,585501,0,0,273502065480,2853726032,581582,3330,589,382,391692,0 76,2,2024-09-07 09:07:11:061,422506,422505,1,0,19042871,0,5144 76,3,2024-09-07 09:07:11:149,1,333,0,0,175,3247,333,0 77,0,2024-09-07 09:07:11:773,84311,0.7,84514,0.8,169010,0.7,224360,2.00 77,1,2024-09-07 09:07:10:824,585414,585414,0,0,273243034177,2856770783,580228,4697,489,381,391869,0 77,2,2024-09-07 09:07:11:286,419116,419116,0,0,18490225,0,3890 77,3,2024-09-07 09:07:11:095,1,333,0,0,401,3797,333,0 78,0,2024-09-07 09:07:11:726,85672,0.5,85175,0.7,171162,0.4,226905,2.00 78,1,2024-09-07 09:07:10:658,585964,585964,0,0,272610043825,2840024524,580100,4719,1145,367,391670,0 78,2,2024-09-07 09:07:11:405,420260,420260,0,0,16094767,0,3855 78,3,2024-09-07 09:07:11:133,1,333,1,0,181,3236,333,0 79,0,2024-09-07 09:07:11:345,80390,0.4,82474,0.6,168595,0.3,219027,2.25 79,1,2024-09-07 09:07:10:590,587546,587546,0,0,274299120157,2841723914,582991,3922,633,369,391682,0 79,2,2024-09-07 09:07:11:072,425398,425398,0,0,17095175,0,4195 79,3,2024-09-07 09:07:10:749,1,333,1,0,418,4279,333,0 80,0,2024-09-07 09:07:11:104,80006,0.5,82176,0.7,157590,0.5,212977,2.00 80,1,2024-09-07 09:07:11:628,585878,585878,0,0,273271183493,2843130147,582527,3172,179,368,391791,0 80,2,2024-09-07 09:07:11:093,424821,424821,0,0,16286367,0,4433 80,3,2024-09-07 09:07:10:587,1,333,8,0,190,4025,333,0 81,0,2024-09-07 09:07:11:551,84768,0.6,86809,0.7,165643,0.6,224577,2.00 81,1,2024-09-07 09:07:11:671,584905,584905,0,0,272075128308,2844767824,580051,4355,499,382,391879,0 81,2,2024-09-07 09:07:11:135,417159,417096,63,0,18092803,0,5932 81,3,2024-09-07 09:07:11:127,1,333,5,0,374,3928,333,0 82,0,2024-09-07 09:07:11:533,85568,0.5,86126,0.7,172517,0.4,228642,2.00 82,1,2024-09-07 09:07:10:646,587069,587065,0,4,274036287248,2853138534,583170,3098,797,381,391768,4 82,2,2024-09-07 09:07:11:695,421022,421022,0,0,15546686,0,3986 82,3,2024-09-07 09:07:11:753,1,333,1,0,363,3421,333,0 83,0,2024-09-07 09:07:11:527,84097,0.5,83854,0.7,167093,0.5,222508,2.00 83,1,2024-09-07 09:07:10:553,585219,585219,0,0,273443664913,2852163147,580908,3930,381,382,391709,0 83,2,2024-09-07 09:07:10:769,424918,424918,0,0,16116372,0,3393 83,3,2024-09-07 09:07:10:762,1,333,3,0,1260,4882,333,0 84,0,2024-09-07 09:07:11:772,78997,0.6,78916,0.8,158000,0.5,211545,2.25 84,1,2024-09-07 09:07:11:048,584952,584952,0,0,273107908310,2854791969,579209,5016,727,367,391967,0 84,2,2024-09-07 09:07:10:585,423148,423148,0,0,20262565,0,4757 84,3,2024-09-07 09:07:11:144,1,333,1,0,908,5075,333,0 85,0,2024-09-07 09:07:11:029,81507,0.6,81448,0.8,172863,0.7,224071,2.25 85,1,2024-09-07 09:07:10:571,583457,583457,0,0,272845879528,2880204363,574943,7142,1372,381,392006,0 85,2,2024-09-07 09:07:10:866,417720,417720,0,0,19901262,0,3656 85,3,2024-09-07 09:07:10:690,1,333,1,0,789,4395,333,0 86,0,2024-09-07 09:07:10:935,84930,0.7,87289,0.8,167085,0.8,226167,2.25 86,1,2024-09-07 09:07:10:844,585082,585082,0,0,272868256830,2858365799,578195,5821,1066,366,391961,0 86,2,2024-09-07 09:07:10:856,418645,418644,1,0,20913504,0,5004 86,3,2024-09-07 09:07:10:601,1,333,4,0,308,4604,333,0 87,0,2024-09-07 09:07:11:287,84722,0.9,84637,0.9,169884,1.1,226592,2.25 87,1,2024-09-07 09:07:10:556,584392,584392,0,0,273696233424,2863499235,578212,5569,611,366,392076,0 87,2,2024-09-07 09:07:11:071,421952,421952,0,0,19104206,0,4045 87,3,2024-09-07 09:07:11:801,1,333,1,0,473,4903,333,0 88,0,2024-09-07 09:07:11:563,79637,0.4,80217,0.6,159839,0.4,212471,1.75 88,1,2024-09-07 09:07:10:574,583520,583520,0,0,273372269743,2866896614,575825,6031,1664,365,392084,0 88,2,2024-09-07 09:07:10:705,423975,423975,0,0,21051756,0,3583 88,3,2024-09-07 09:07:11:267,1,333,2,0,435,3664,333,0 89,0,2024-09-07 09:07:11:775,86453,0.5,83592,0.7,165706,0.4,225131,1.75 89,1,2024-09-07 09:07:10:560,583471,583471,0,0,272806723151,2871897663,575719,6479,1273,382,391866,0 89,2,2024-09-07 09:07:11:137,419007,419007,0,0,20069136,0,2910 89,3,2024-09-07 09:07:11:792,1,333,0,0,385,6075,333,0 90,0,2024-09-07 09:07:11:623,81651,0.5,83693,0.7,170955,0.5,222910,2.00 90,1,2024-09-07 09:07:10:596,584941,584941,0,0,272918413523,2862584166,578740,5700,501,380,391825,0 90,2,2024-09-07 09:07:11:407,417936,417936,0,0,21259125,0,3060 90,3,2024-09-07 09:07:10:941,1,333,1,0,246,3698,333,0 91,0,2024-09-07 09:07:10:957,85937,0.5,83241,0.6,173919,0.5,228071,1.75 91,1,2024-09-07 09:07:10:560,583464,583464,0,0,273038453485,2873751684,575643,6777,1044,381,392047,0 91,2,2024-09-07 09:07:11:343,420441,420441,0,0,19188780,0,2896 91,3,2024-09-07 09:07:10:624,1,333,10,0,216,3169,333,0 92,0,2024-09-07 09:07:11:448,82320,0.4,84425,0.6,161164,0.4,217699,1.75 92,1,2024-09-07 09:07:10:591,584808,584808,0,0,272505936113,2849348301,579999,4123,686,382,392136,0 92,2,2024-09-07 09:07:11:350,424717,424717,0,0,17431928,0,3259 92,3,2024-09-07 09:07:11:019,1,333,1,0,167,2887,333,0 93,0,2024-09-07 09:07:10:958,81626,0.4,83655,0.6,159958,0.3,216976,1.75 93,1,2024-09-07 09:07:10:808,585028,585028,0,0,273491418391,2857100868,578912,5087,1029,366,391776,0 93,2,2024-09-07 09:07:10:946,421641,421641,0,0,20273497,0,4845 93,3,2024-09-07 09:07:11:406,1,333,1,0,190,3237,333,0 94,0,2024-09-07 09:07:11:615,83964,0.4,84731,0.6,168955,0.4,223967,1.75 94,1,2024-09-07 09:07:10:570,585437,585437,0,0,273988400641,2860963812,581401,3846,190,381,391850,0 94,2,2024-09-07 09:07:10:779,418126,418126,0,0,17428157,0,2443 94,3,2024-09-07 09:07:11:688,1,333,1,0,264,4120,333,0 95,0,2024-09-07 09:07:11:371,85489,0.4,85564,0.5,171535,0.3,228375,1.75 95,1,2024-09-07 09:07:10:860,586885,586885,0,0,273839033303,2849860283,581920,4566,399,367,391713,0 95,2,2024-09-07 09:07:11:033,419668,419668,0,0,17205562,0,3308 95,3,2024-09-07 09:07:11:714,1,333,1,0,718,5320,333,0 96,0,2024-09-07 09:07:11:064,84234,0.4,84469,0.5,168484,0.3,223319,1.75 96,1,2024-09-07 09:07:11:584,584692,584692,0,0,273147291749,2851569476,580171,3725,796,384,391955,0 96,2,2024-09-07 09:07:11:268,425108,425108,0,0,17249874,0,4042 96,3,2024-09-07 09:07:11:140,1,333,1,0,411,3701,333,0 97,0,2024-09-07 09:07:11:319,79126,0.3,78862,0.5,158261,0.3,210677,1.75 97,1,2024-09-07 09:07:10:779,587013,587013,0,0,274281037361,2844508086,583138,3203,672,367,392140,0 97,2,2024-09-07 09:07:10:628,424528,424528,0,0,16679111,0,3036 97,3,2024-09-07 09:07:10:575,1,333,3,0,214,3988,333,0 98,0,2024-09-07 09:07:11:691,85483,0.3,85165,0.5,171109,0.3,227445,1.50 98,1,2024-09-07 09:07:10:589,586363,586363,0,0,273887558643,2849703756,583702,2554,107,382,391997,0 98,2,2024-09-07 09:07:10:769,417892,417892,0,0,16519598,0,3080 98,3,2024-09-07 09:07:10:711,1,333,1,0,840,5406,333,0 99,0,2024-09-07 09:07:11:459,85723,0.4,86327,0.5,171661,0.3,229224,1.75 99,1,2024-09-07 09:07:11:724,585882,585882,0,0,273644027477,2850352985,581747,3381,754,381,392069,0 99,2,2024-09-07 09:07:11:421,420741,420741,0,0,18644450,0,3424 99,3,2024-09-07 09:07:10:585,1,333,2,0,199,3146,333,0 100,0,2024-09-07 09:07:11:473,84163,0.7,84172,1.0,168356,0.9,224813,2.25 100,1,2024-09-07 09:07:10:554,582927,582927,0,0,272585237092,2880867685,574460,6971,1496,381,391989,0 100,2,2024-09-07 09:07:11:829,422873,422862,11,0,19634118,0,5417 100,3,2024-09-07 09:07:11:732,1,333,0,0,559,5909,333,0 101,0,2024-09-07 09:07:11:708,80672,1.0,78697,1.0,154152,0.7,211750,2.25 101,1,2024-09-07 09:07:10:572,582736,582736,0,0,272335731270,2869169273,573668,7046,2022,368,391771,0 101,2,2024-09-07 09:07:11:760,421290,421290,0,0,22558238,0,4644 101,3,2024-09-07 09:07:10:941,1,333,0,0,579,4475,333,0 102,0,2024-09-07 09:07:10:982,82410,0.7,85242,0.8,172026,0.7,225476,2.00 102,1,2024-09-07 09:07:11:145,583822,583822,0,0,272867371006,2867258120,576508,6282,1032,369,391891,0 102,2,2024-09-07 09:07:11:740,419144,419090,54,0,18940357,0,6768 102,3,2024-09-07 09:07:11:616,1,333,4,0,410,3608,333,0 103,0,2024-09-07 09:07:11:587,87147,0.7,87190,0.8,164304,0.7,226556,2.00 103,1,2024-09-07 09:07:11:626,582964,582964,0,0,272705454084,2877581645,574146,6822,1996,381,391829,0 103,2,2024-09-07 09:07:10:585,418620,418620,0,0,19694355,0,3173 103,3,2024-09-07 09:07:10:757,1,333,0,0,916,4231,333,0 104,0,2024-09-07 09:07:11:025,84640,0.7,84979,1.0,168852,0.7,227220,2.25 104,1,2024-09-07 09:07:11:603,584449,584449,0,0,272298617264,2865408915,575547,7204,1698,365,392168,0 104,2,2024-09-07 09:07:11:665,419990,419990,0,0,20828475,0,3941 104,3,2024-09-07 09:07:11:421,1,333,3,0,1245,7424,333,0 105,0,2024-09-07 09:07:11:093,79260,0.8,77080,1.1,161409,1.0,212516,3.00 105,1,2024-09-07 09:07:10:562,584838,584838,0,0,273478410982,2870697802,576686,6819,1333,366,391797,0 105,2,2024-09-07 09:07:11:325,422656,422656,0,0,20167472,0,3509 105,3,2024-09-07 09:07:11:319,1,333,7,0,399,5331,333,0 106,0,2024-09-07 09:07:10:961,79711,0.9,81604,1.0,166917,1.0,219183,2.50 106,1,2024-09-07 09:07:11:749,583476,583476,0,0,273097795599,2873227146,573902,8281,1293,369,391914,0 106,2,2024-09-07 09:07:10:758,419075,419075,0,0,19896256,0,2795 106,3,2024-09-07 09:07:10:691,1,333,4,0,470,4319,333,0 107,0,2024-09-07 09:07:11:107,84196,1.2,84093,1.0,168294,1.7,224078,2.25 107,1,2024-09-07 09:07:10:584,582883,582883,0,0,272278487953,2870587629,574920,7049,914,381,392234,0 107,2,2024-09-07 09:07:11:304,417399,417398,1,0,20282328,0,5024 107,3,2024-09-07 09:07:11:759,1,333,2,0,353,4515,333,0 108,0,2024-09-07 09:07:11:768,85167,0.5,85645,0.6,170421,0.4,227506,1.75 108,1,2024-09-07 09:07:11:303,585313,585313,0,0,273920872218,2859119239,580230,4565,518,368,391857,0 108,2,2024-09-07 09:07:11:760,418451,418451,0,0,19449623,0,4246 108,3,2024-09-07 09:07:11:337,1,333,2,0,749,6737,333,0 109,0,2024-09-07 09:07:11:747,83648,0.4,82632,0.5,165654,0.3,221411,1.75 109,1,2024-09-07 09:07:10:633,583215,583215,0,0,273482404793,2870072747,578015,4537,663,383,392132,0 109,2,2024-09-07 09:07:10:937,422563,422563,0,0,19070884,0,3617 109,3,2024-09-07 09:07:11:145,1,333,1,0,379,4048,333,0 110,0,2024-09-07 09:07:11:789,79968,0.4,77784,0.6,162868,0.3,213701,1.75 110,1,2024-09-07 09:07:11:645,586415,586415,0,0,273884311493,2843856175,582417,3024,974,369,392045,0 110,2,2024-09-07 09:07:11:302,422831,422831,0,0,18821432,0,4067 110,3,2024-09-07 09:07:10:693,1,333,4,0,722,5070,333,0 111,0,2024-09-07 09:07:11:427,85224,0.4,84554,0.6,169295,0.4,226038,1.75 111,1,2024-09-07 09:07:11:000,586473,586473,0,0,275055910089,2855698639,583093,3028,352,382,391690,0 111,2,2024-09-07 09:07:11:119,416520,416520,0,0,18119791,0,4823 111,3,2024-09-07 09:07:10:914,1,333,1,0,379,4107,333,0 112,0,2024-09-07 09:07:10:918,86694,0.3,86056,0.4,172626,0.2,229476,1.50 112,1,2024-09-07 09:07:10:823,586517,586517,0,0,274054462251,2843762093,583057,2876,584,380,391624,0 112,2,2024-09-07 09:07:11:136,419763,419762,1,0,16524610,0,5036 112,3,2024-09-07 09:07:10:599,1,333,14,0,282,3451,333,0 113,0,2024-09-07 09:07:10:887,83649,0.3,83789,0.5,167990,0.2,223892,1.50 113,1,2024-09-07 09:07:11:685,588651,588651,0,0,274651197663,2834701259,585371,2696,584,366,391661,0 113,2,2024-09-07 09:07:11:302,426392,426392,0,0,15265905,0,3813 113,3,2024-09-07 09:07:10:690,1,333,3,0,340,4047,333,0 114,0,2024-09-07 09:07:10:875,79914,0.3,80491,0.5,159751,0.2,213697,1.75 114,1,2024-09-07 09:07:10:716,586278,586278,0,0,273882349314,2847564317,581154,3530,1594,381,391556,0 114,2,2024-09-07 09:07:10:872,424252,424251,1,0,16858609,0,5069 114,3,2024-09-07 09:07:11:278,1,333,1,0,395,2960,333,0 115,0,2024-09-07 09:07:10:555,84495,0.3,85068,0.4,169972,0.2,226384,1.50 115,1,2024-09-07 09:07:10:570,585938,585938,0,0,273531984362,2842107581,581074,4024,840,382,391656,0 115,2,2024-09-07 09:07:11:132,419109,419109,0,0,15473014,0,3453 115,3,2024-09-07 09:07:11:012,1,333,2,0,159,1976,333,0 116,0,2024-09-07 09:07:11:722,84681,0.9,84494,0.9,169404,1.0,227157,2.25 116,1,2024-09-07 09:07:10:810,582693,582693,0,0,272726486943,2878864445,575093,5332,2268,380,391782,0 116,2,2024-09-07 09:07:11:751,418853,418853,0,0,21053669,0,3529 116,3,2024-09-07 09:07:10:915,1,333,1,0,415,4219,333,0 117,0,2024-09-07 09:07:10:970,85209,0.7,84735,0.9,169737,0.8,226988,2.00 117,1,2024-09-07 09:07:11:578,584399,584399,0,0,273180989562,2861217833,578094,5637,668,370,392033,0 117,2,2024-09-07 09:07:11:119,425329,425329,0,0,17487831,0,3700 117,3,2024-09-07 09:07:11:068,1,333,5,0,490,4932,333,0 118,0,2024-09-07 09:07:11:781,77380,0.5,79380,0.7,162023,0.5,211680,2.00 118,1,2024-09-07 09:07:10:587,583962,583962,0,0,272820245584,2869879135,575169,6895,1898,366,391907,0 118,2,2024-09-07 09:07:11:598,422663,422663,0,0,19173892,0,2781 118,3,2024-09-07 09:07:11:764,1,333,1,0,235,3566,333,0 119,0,2024-09-07 09:07:11:353,83519,0.6,83947,0.8,167963,0.6,223717,2.00 119,1,2024-09-07 09:07:10:563,585374,585374,0,0,273867304395,2865606289,578808,5729,837,367,391780,0 119,2,2024-09-07 09:07:11:266,420225,420225,0,0,18044816,0,4174 119,3,2024-09-07 09:07:11:328,1,333,1,0,563,5145,333,0 120,0,2024-09-07 09:07:11:559,83637,0.7,83374,0.9,166910,0.7,223434,2.25 120,1,2024-09-07 09:07:10:864,584627,584627,0,0,272662256313,2863227672,578220,5851,556,368,391961,0 120,2,2024-09-07 09:07:10:777,418876,418875,1,0,21041098,0,5281 120,3,2024-09-07 09:07:11:290,1,333,1,0,241,4210,333,0 121,0,2024-09-07 09:07:11:698,85217,1.0,85399,1.0,171387,1.3,227742,2.25 121,1,2024-09-07 09:07:11:656,585178,585178,0,0,273563516197,2863723475,579272,5469,437,367,391840,0 121,2,2024-09-07 09:07:11:144,419373,419373,0,0,20326593,0,4127 121,3,2024-09-07 09:07:10:739,1,333,12,0,269,3878,333,0 122,0,2024-09-07 09:07:11:761,81395,0.7,79355,0.8,166310,0.8,217664,2.00 122,1,2024-09-07 09:07:10:869,583978,583978,0,0,273135737296,2868715127,575520,7197,1261,366,392130,0 122,2,2024-09-07 09:07:11:318,423500,423427,73,0,22825167,0,5989 122,3,2024-09-07 09:07:10:612,1,333,2,0,411,5999,333,0 123,0,2024-09-07 09:07:10:966,80807,0.7,79013,0.8,164759,0.8,216563,2.00 123,1,2024-09-07 09:07:10:567,583510,583510,0,0,272853767343,2879635999,572091,9293,2126,369,392039,0 123,2,2024-09-07 09:07:11:054,420298,420297,1,0,19762220,0,5215 123,3,2024-09-07 09:07:11:136,1,333,0,0,168,3868,333,0 124,0,2024-09-07 09:07:10:952,86928,0.4,86994,0.5,163925,0.3,224843,1.75 124,1,2024-09-07 09:07:11:022,586189,586189,0,0,273507906395,2848017319,581472,3807,910,367,392178,0 124,2,2024-09-07 09:07:11:027,419261,419208,53,0,17681919,0,6487 124,3,2024-09-07 09:07:10:762,1,333,0,0,490,3459,333,0 125,0,2024-09-07 09:07:11:427,85938,0.4,85415,0.6,171975,0.4,228737,1.75 125,1,2024-09-07 09:07:10:863,584922,584922,0,0,273569358029,2858572229,580043,4235,644,383,391702,0 125,2,2024-09-07 09:07:11:116,419612,419612,0,0,18326276,0,4534 125,3,2024-09-07 09:07:11:131,1,333,1,0,709,4586,333,0 126,0,2024-09-07 09:07:11:485,84110,0.4,86390,0.6,165565,0.4,224160,1.75 126,1,2024-09-07 09:07:10:552,586744,586744,0,0,273978465605,2841183106,583011,3450,283,365,391987,0 126,2,2024-09-07 09:07:10:649,425412,425412,0,0,18441433,0,4539 126,3,2024-09-07 09:07:10:915,1,333,0,0,207,4188,333,0 127,0,2024-09-07 09:07:11:611,78900,0.3,79458,0.5,158142,0.3,210628,1.75 127,1,2024-09-07 09:07:10:576,586044,586044,0,0,273573304058,2843997585,580647,4537,860,364,392187,0 127,2,2024-09-07 09:07:10:637,423114,423114,0,0,16993878,0,3897 127,3,2024-09-07 09:07:11:267,1,333,1,0,968,4006,333,0 128,0,2024-09-07 09:07:11:545,85600,0.3,85837,0.5,171672,0.2,227794,1.50 128,1,2024-09-07 09:07:11:608,585812,585812,0,0,273496296390,2841681799,581714,3728,370,367,391798,0 128,2,2024-09-07 09:07:11:388,418688,418688,0,0,15873036,0,2915 128,3,2024-09-07 09:07:10:790,1,333,1,0,1082,5915,333,0 129,0,2024-09-07 09:07:11:040,86319,0.3,86002,0.5,172364,0.3,229281,1.75 129,1,2024-09-07 09:07:10:579,583378,583378,0,0,273364655983,2864092934,577735,4387,1256,379,391835,0 129,2,2024-09-07 09:07:10:688,420140,420140,0,0,16769241,0,4031 129,3,2024-09-07 09:07:10:689,1,333,1,0,469,4426,333,0 130,0,2024-09-07 09:07:11:726,85082,0.5,84595,0.6,170140,0.5,226090,1.75 130,1,2024-09-07 09:07:10:591,586143,586143,0,0,273694065225,2847184014,582730,3134,279,381,391825,0 130,2,2024-09-07 09:07:11:128,425776,425776,0,0,16712554,0,4067 130,3,2024-09-07 09:07:11:296,1,333,1,0,450,4144,333,0 131,0,2024-09-07 09:07:11:932,78808,0.3,79387,0.5,159542,0.3,211609,1.75 131,1,2024-09-07 09:07:11:827,586256,586256,0,0,273672246962,2857326462,581780,3730,746,381,391865,0 131,2,2024-09-07 09:07:10:575,425612,425612,0,0,15442404,0,2415 131,3,2024-09-07 09:07:11:688,1,333,9,0,392,3521,333,0 132,0,2024-09-07 09:07:11:431,84590,0.4,85257,0.6,170028,0.4,226179,1.75 132,1,2024-09-07 09:07:10:591,583120,583120,0,0,272449878096,2871165573,574483,7172,1465,381,392097,0 132,2,2024-09-07 09:07:10:711,418239,418239,0,0,20333022,0,4606 132,3,2024-09-07 09:07:11:692,1,333,1,0,804,6150,333,0 133,0,2024-09-07 09:07:11:552,82532,0.5,84279,0.6,173025,0.4,225080,2.00 133,1,2024-09-07 09:07:10:591,583302,583302,0,0,272155585441,2870567885,575133,7086,1083,383,391914,0 133,2,2024-09-07 09:07:11:115,418574,418574,0,0,21667932,0,4315 133,3,2024-09-07 09:07:11:299,1,333,0,0,479,3572,333,0 134,0,2024-09-07 09:07:10:948,85792,0.5,85662,0.7,171337,0.5,228414,2.00 134,1,2024-09-07 09:07:10:584,583714,583714,0,0,272030721961,2858699502,575460,6398,1856,366,391718,0 134,2,2024-09-07 09:07:11:760,420863,420863,0,0,18165100,0,3847 134,3,2024-09-07 09:07:10:749,1,333,1,0,739,4405,333,0 135,0,2024-09-07 09:07:11:109,77437,0.7,77498,0.8,164304,0.7,212204,2.00 135,1,2024-09-07 09:07:11:600,584256,584256,0,0,273604545602,2881218386,575903,7116,1237,380,391805,0 135,2,2024-09-07 09:07:10:692,424244,424244,0,0,19646135,0,3981 135,3,2024-09-07 09:07:11:001,1,333,1,0,299,2635,333,0 136,0,2024-09-07 09:07:11:622,82955,0.6,83073,0.7,165283,0.5,221128,2.25 136,1,2024-09-07 09:07:11:519,583942,583942,0,0,272627355866,2864645406,576929,6317,696,382,391685,0 136,2,2024-09-07 09:07:11:138,421158,421158,0,0,19383168,0,3506 136,3,2024-09-07 09:07:11:106,1,333,1,0,637,3863,333,0 137,0,2024-09-07 09:07:10:950,86951,0.7,84640,0.8,166116,0.8,225446,2.00 137,1,2024-09-07 09:07:10:581,583652,583652,0,0,273120022921,2869565921,574075,7696,1881,366,391708,0 137,2,2024-09-07 09:07:11:705,418150,418150,0,0,21652205,0,3185 137,3,2024-09-07 09:07:10:773,1,333,3,0,382,4027,333,0 138,0,2024-09-07 09:07:11:740,84806,1.3,84883,1.1,170453,1.8,226462,2.25 138,1,2024-09-07 09:07:11:687,584470,584470,0,0,273673577018,2875793611,575427,7447,1596,368,391954,0 138,2,2024-09-07 09:07:10:603,419211,419211,0,0,19429804,0,4988 138,3,2024-09-07 09:07:10:648,1,333,0,0,1160,4793,333,0 139,0,2024-09-07 09:07:11:360,82030,1.2,82360,1.0,165061,1.7,219603,2.25 139,1,2024-09-07 09:07:10:576,581911,581911,0,0,271828135346,2890634245,570551,8716,2644,381,391892,0 139,2,2024-09-07 09:07:10:702,421794,421794,0,0,21121447,0,3097 139,3,2024-09-07 09:07:11:661,1,333,3,0,257,3720,333,0 140,0,2024-09-07 09:07:11:606,80411,0.3,79868,0.5,160436,0.2,214102,1.75 140,1,2024-09-07 09:07:11:536,587973,587973,0,0,274683305781,2834651571,584770,2765,438,365,391606,0 140,2,2024-09-07 09:07:10:690,423377,423376,1,0,17523035,0,5036 140,3,2024-09-07 09:07:10:773,1,333,1,0,247,2727,333,0 141,0,2024-09-07 09:07:11:716,85000,0.4,87248,0.5,166721,0.3,225963,1.75 141,1,2024-09-07 09:07:10:859,586671,586671,0,0,273665707568,2845611787,582110,3699,862,379,391614,0 141,2,2024-09-07 09:07:11:686,417357,417357,0,0,17000896,0,3360 141,3,2024-09-07 09:07:11:047,1,333,1,0,391,3857,333,0 142,0,2024-09-07 09:07:11:337,86870,0.3,86016,0.5,171910,0.3,229821,1.75 142,1,2024-09-07 09:07:10:592,586277,586277,0,0,273220132006,2851028247,582316,3610,351,382,392102,0 142,2,2024-09-07 09:07:11:307,418911,418879,32,0,18479540,0,6028 142,3,2024-09-07 09:07:11:748,1,333,0,0,484,4146,333,0 143,0,2024-09-07 09:07:11:413,84017,0.4,83803,0.6,168104,0.4,224072,1.75 143,1,2024-09-07 09:07:10:561,586381,586381,0,0,274063458425,2845390305,582609,3622,150,367,391705,0 143,2,2024-09-07 09:07:10:776,425089,425089,0,0,17877308,0,3123 143,3,2024-09-07 09:07:11:145,1,333,1,0,303,3972,333,0 144,0,2024-09-07 09:07:11:496,77080,0.5,79192,0.8,161266,0.4,211871,2.00 144,1,2024-09-07 09:07:10:572,583963,583963,0,0,272559813609,2858095181,579111,3911,941,381,391649,0 144,2,2024-09-07 09:07:11:760,424194,424194,0,0,16150521,0,3473 144,3,2024-09-07 09:07:11:739,1,333,1,0,249,3437,333,0 145,0,2024-09-07 09:07:11:358,81732,0.6,81749,0.8,173498,0.5,223893,2.25 145,1,2024-09-07 09:07:10:563,583221,583221,0,0,273001077995,2872000536,575924,6157,1140,382,391759,0 145,2,2024-09-07 09:07:11:428,416415,416415,0,0,19513281,0,3903 145,3,2024-09-07 09:07:10:906,1,333,5,0,622,5098,333,0 146,0,2024-09-07 09:07:11:599,84995,0.6,84333,0.8,170072,0.6,225765,2.25 146,1,2024-09-07 09:07:11:593,584808,584808,0,0,273156422990,2874515175,575114,7684,2010,368,391770,0 146,2,2024-09-07 09:07:11:695,418662,418662,0,0,19379617,0,2730 146,3,2024-09-07 09:07:11:275,1,333,0,0,1520,7012,333,0 147,0,2024-09-07 09:07:11:705,85272,0.6,84911,0.8,169161,0.6,226582,2.25 147,1,2024-09-07 09:07:11:375,586400,586400,0,0,274017731737,2853742221,580740,4860,800,368,391791,0 147,2,2024-09-07 09:07:11:009,423119,423119,0,0,17272988,0,2789 147,3,2024-09-07 09:07:10:914,1,333,1,0,730,4936,333,0 0,0,2024-09-07 09:07:21:775,81774,0.7,81823,0.8,173584,0.8,224312,2.00 0,1,2024-09-07 09:07:20:813,586949,586949,0,0,274132168715,2867580017,582822,3883,244,370,391896,0 0,2,2024-09-07 09:07:21:071,421182,421182,0,0,17029985,0,4480 0,3,2024-09-07 09:07:20:974,1,334,2,0,431,4624,334,0 1,0,2024-09-07 09:07:21:761,85747,0.8,85175,0.9,171110,1.0,228332,2.00 1,1,2024-09-07 09:07:20:583,585778,585778,0,0,274136892666,2871836818,580182,4370,1226,370,391857,0 1,2,2024-09-07 09:07:20:653,421051,421051,0,0,16520840,0,3267 1,3,2024-09-07 09:07:21:307,1,334,1,0,262,3928,334,0 2,0,2024-09-07 09:07:21:571,81628,0.6,81954,0.7,162669,0.7,217554,2.00 2,1,2024-09-07 09:07:20:873,587998,587998,0,0,275240193460,2858640803,585102,2603,293,380,391745,0 2,2,2024-09-07 09:07:21:279,425208,425208,0,0,16479582,0,3594 2,3,2024-09-07 09:07:20:699,1,334,4,0,357,3388,334,0 3,0,2024-09-07 09:07:21:762,81521,0.4,81442,0.6,163009,0.3,217623,1.75 3,1,2024-09-07 09:07:21:630,586671,586671,0,0,274039159927,2854690108,581573,4475,623,380,391591,0 3,2,2024-09-07 09:07:21:149,423450,423427,23,0,16903459,0,5851 3,3,2024-09-07 09:07:21:755,1,334,0,0,207,2213,334,0 4,0,2024-09-07 09:07:21:774,81907,0.4,84421,0.5,171444,0.4,224239,1.75 4,1,2024-09-07 09:07:20:669,585334,585334,0,0,273514669624,2882273590,577952,6026,1356,371,391992,0 4,2,2024-09-07 09:07:21:017,418016,418016,0,0,19658041,0,4528 4,3,2024-09-07 09:07:21:027,1,334,1,0,448,4742,334,0 5,0,2024-09-07 09:07:21:373,85756,0.5,86081,0.6,171842,0.4,228335,1.75 5,1,2024-09-07 09:07:20:769,585837,585837,0,0,273733543991,2879545458,578674,5807,1356,367,392005,0 5,2,2024-09-07 09:07:21:848,419064,419064,0,0,18797276,0,2432 5,3,2024-09-07 09:07:21:737,1,334,2,0,457,4922,334,0 6,0,2024-09-07 09:07:20:924,84599,0.4,84105,0.6,168193,0.4,224378,2.00 6,1,2024-09-07 09:07:20:756,585872,585872,0,0,274067337122,2864843836,579512,5284,1076,379,391694,0 6,2,2024-09-07 09:07:21:119,425447,425447,0,0,18047214,0,4816 6,3,2024-09-07 09:07:21:274,1,334,0,0,340,3711,334,0 7,0,2024-09-07 09:07:21:532,79123,0.5,79443,0.6,158167,0.4,211057,1.75 7,1,2024-09-07 09:07:20:857,585119,585119,0,0,273730055883,2875568212,577049,6894,1176,382,391747,0 7,2,2024-09-07 09:07:20:773,424748,424748,0,0,18221143,0,4791 7,3,2024-09-07 09:07:20:872,1,334,1,0,398,3847,334,0 8,0,2024-09-07 09:07:21:328,85593,0.4,85509,0.5,171150,0.3,227903,1.75 8,1,2024-09-07 09:07:21:020,584424,584424,0,0,273489173804,2882096375,574277,7870,2277,366,392144,0 8,2,2024-09-07 09:07:20:790,414846,414846,0,0,22204648,0,3220 8,3,2024-09-07 09:07:20:656,1,334,0,0,538,5793,334,0 9,0,2024-09-07 09:07:21:106,86185,0.4,83824,0.5,175332,0.4,229805,1.75 9,1,2024-09-07 09:07:20:564,585216,585216,0,0,273575310609,2886243494,576170,6922,2124,369,392001,0 9,2,2024-09-07 09:07:21:083,419963,419963,0,0,19603613,0,3360 9,3,2024-09-07 09:07:21:757,1,334,0,0,496,4988,334,0 10,0,2024-09-07 09:07:21:601,84907,0.3,84215,0.5,169228,0.2,225346,1.75 10,1,2024-09-07 09:07:20:582,586348,586348,0,0,274847928086,2880348139,578465,6774,1109,381,391741,0 10,2,2024-09-07 09:07:20:762,425763,425763,0,0,21375927,0,4264 10,3,2024-09-07 09:07:20:876,1,334,1,0,296,2999,334,0 11,0,2024-09-07 09:07:21:011,79056,0.4,76715,0.6,160429,0.4,212831,1.75 11,1,2024-09-07 09:07:20:578,586117,586117,0,0,274270013117,2883159354,576104,7565,2448,383,391756,0 11,2,2024-09-07 09:07:21:123,424295,424295,0,0,19310164,0,4130 11,3,2024-09-07 09:07:21:305,1,334,18,0,843,5242,334,0 12,0,2024-09-07 09:07:20:976,85557,0.4,85441,0.5,170773,0.3,227134,1.75 12,1,2024-09-07 09:07:20:940,586428,586428,0,0,273448814111,2853772107,581572,4365,491,370,391870,0 12,2,2024-09-07 09:07:21:545,419744,419744,0,0,18894010,0,3469 12,3,2024-09-07 09:07:21:068,1,334,9,0,386,5460,334,0 13,0,2024-09-07 09:07:21:331,85447,0.4,85091,0.6,169919,0.4,226832,1.75 13,1,2024-09-07 09:07:21:526,585630,585630,0,0,273705646739,2880347974,579723,4497,1410,382,391740,0 13,2,2024-09-07 09:07:20:657,422059,422059,0,0,17299533,0,3287 13,3,2024-09-07 09:07:21:762,1,334,2,0,522,5461,334,0 14,0,2024-09-07 09:07:20:565,85966,0.4,86634,0.6,171433,0.3,228530,1.75 14,1,2024-09-07 09:07:21:562,589923,589923,0,0,275063250924,2850811175,585238,4326,359,364,391571,0 14,2,2024-09-07 09:07:20:763,422600,422570,30,0,19063072,0,6104 14,3,2024-09-07 09:07:21:118,1,334,3,0,1168,4086,334,0 15,0,2024-09-07 09:07:21:552,80284,0.4,80339,0.6,160788,0.4,214139,2.00 15,1,2024-09-07 09:07:21:613,587145,587145,0,0,274723025932,2861988534,582805,3518,822,381,391619,0 15,2,2024-09-07 09:07:21:001,426256,426256,0,0,15653156,0,3622 15,3,2024-09-07 09:07:21:406,1,334,3,0,1126,6223,334,0 16,0,2024-09-07 09:07:20:942,83032,0.6,83305,0.7,166228,0.6,221881,2.00 16,1,2024-09-07 09:07:20:568,587370,587370,0,0,274813964839,2869304242,583084,3926,360,370,391756,0 16,2,2024-09-07 09:07:21:441,421469,421469,0,0,17951663,0,4719 16,3,2024-09-07 09:07:21:153,1,334,12,0,317,4665,334,0 17,0,2024-09-07 09:07:21:809,86910,0.7,84878,0.8,165940,0.7,225202,2.00 17,1,2024-09-07 09:07:20:595,585716,585716,0,0,272820933195,2864718176,579477,4981,1258,368,392075,0 17,2,2024-09-07 09:07:21:674,422760,422760,0,0,17588298,0,2857 17,3,2024-09-07 09:07:20:651,1,334,43,0,298,5549,334,0 18,0,2024-09-07 09:07:20:948,84502,0.6,84846,0.8,169214,0.6,225854,2.25 18,1,2024-09-07 09:07:21:644,587766,587766,0,0,274571183238,2846677975,584430,3025,311,367,391649,0 18,2,2024-09-07 09:07:21:758,422634,422634,0,0,16315930,0,3541 18,3,2024-09-07 09:07:20:903,1,334,0,0,163,2928,334,0 19,0,2024-09-07 09:07:21:555,82633,0.6,83287,0.8,164810,0.5,219104,2.25 19,1,2024-09-07 09:07:20:580,588042,588042,0,0,275212645528,2856736924,582918,4285,839,367,391777,0 19,2,2024-09-07 09:07:21:751,426661,426661,0,0,15107315,0,3988 19,3,2024-09-07 09:07:21:135,1,334,3,0,524,2582,334,0 20,0,2024-09-07 09:07:21:408,80273,0.5,80136,0.7,160269,0.5,214423,2.00 20,1,2024-09-07 09:07:20:589,585929,585929,0,0,274795166873,2871038305,581597,3927,405,369,391886,0 20,2,2024-09-07 09:07:20:929,424098,424098,0,0,18167568,0,3721 20,3,2024-09-07 09:07:20:651,1,334,15,0,414,5346,334,0 21,0,2024-09-07 09:07:21:138,85006,0.5,85009,0.7,169888,0.5,225199,2.00 21,1,2024-09-07 09:07:21:540,585373,585373,0,0,273246458699,2880497938,577396,6099,1878,368,392016,0 21,2,2024-09-07 09:07:21:076,417114,417115,0,0,21171081,0,3747 21,3,2024-09-07 09:07:21:408,1,334,50,0,713,4029,334,0 22,0,2024-09-07 09:07:21:721,85869,0.6,86250,0.7,172602,0.5,228579,2.25 22,1,2024-09-07 09:07:21:022,586332,586332,0,0,273750301731,2878285256,577842,6670,1820,382,391667,0 22,2,2024-09-07 09:07:20:761,419626,419626,0,0,17312191,0,3134 22,3,2024-09-07 09:07:21:066,1,334,1,0,228,2835,334,0 23,0,2024-09-07 09:07:21:382,83681,0.5,83675,0.7,167524,0.5,223484,2.25 23,1,2024-09-07 09:07:21:004,586033,586033,0,0,274560723068,2885776318,575539,7336,3158,365,391690,0 23,2,2024-09-07 09:07:21:094,426741,426741,0,0,17143013,0,3010 23,3,2024-09-07 09:07:21:754,1,334,1,0,720,4734,334,0 24,0,2024-09-07 09:07:20:917,80187,0.4,80186,0.5,160852,0.3,213686,1.75 24,1,2024-09-07 09:07:20:650,585353,585353,0,0,273350970178,2869998288,578459,5366,1528,368,392269,0 24,2,2024-09-07 09:07:21:072,423416,423416,0,0,21063404,0,3607 24,3,2024-09-07 09:07:21:692,1,334,13,0,468,4513,334,0 25,0,2024-09-07 09:07:21:373,87434,0.4,85186,0.6,166977,0.4,228013,2.00 25,1,2024-09-07 09:07:20:582,586514,586514,0,0,274177862348,2878571739,578829,6316,1369,371,391928,0 25,2,2024-09-07 09:07:21:617,418119,418119,0,0,20987521,0,3978 25,3,2024-09-07 09:07:21:004,1,334,1,0,255,3308,334,0 26,0,2024-09-07 09:07:21:721,85022,0.4,83093,0.6,174316,0.4,227314,2.00 26,1,2024-09-07 09:07:21:547,586654,586654,0,0,273274584079,2865921470,577806,7312,1536,380,391748,0 26,2,2024-09-07 09:07:20:875,421147,421147,0,0,20735612,0,2809 26,3,2024-09-07 09:07:21:715,1,334,5,0,796,4395,334,0 27,0,2024-09-07 09:07:21:736,85498,0.4,85550,0.6,169666,0.4,226661,2.00 27,1,2024-09-07 09:07:21:676,588689,588689,0,0,275388177637,2868161397,583421,4503,765,381,391626,0 27,2,2024-09-07 09:07:20:872,421785,421720,65,0,20546140,0,5699 27,3,2024-09-07 09:07:21:014,1,334,1,0,564,3636,334,0 28,0,2024-09-07 09:07:21:395,80074,0.4,79794,0.6,159907,0.3,213401,2.00 28,1,2024-09-07 09:07:20:804,587652,587652,0,0,275532919156,2872062353,583272,3677,703,383,391698,0 28,2,2024-09-07 09:07:21:769,424723,424723,0,0,18210462,0,2915 28,3,2024-09-07 09:07:21:801,1,334,8,0,502,3535,334,0 29,0,2024-09-07 09:07:21:376,86633,0.4,84394,0.6,165463,0.3,226156,1.75 29,1,2024-09-07 09:07:21:565,589196,589196,0,0,275270116442,2852250294,584857,3655,684,369,391753,0 29,2,2024-09-07 09:07:20:867,419352,419352,0,0,17501640,0,4986 29,3,2024-09-07 09:07:20:976,1,334,3,0,459,4027,334,0 30,0,2024-09-07 09:07:21:471,83954,0.6,81495,0.7,170587,0.5,223331,2.00 30,1,2024-09-07 09:07:20:652,588371,588371,0,0,275186615489,2859804343,583552,4109,710,381,391672,0 30,2,2024-09-07 09:07:21:290,421025,421025,0,0,16450543,0,3161 30,3,2024-09-07 09:07:20:649,1,334,1,0,519,3421,334,0 31,0,2024-09-07 09:07:21:765,85237,0.4,85597,0.6,171229,0.4,227933,2.00 31,1,2024-09-07 09:07:20:606,590822,590822,0,0,275964438733,2831590589,588279,1984,559,356,391712,0 31,2,2024-09-07 09:07:21:281,421817,421817,0,0,18260407,0,3525 31,3,2024-09-07 09:07:21:712,1,334,0,0,220,2870,334,0 32,0,2024-09-07 09:07:21:455,81655,0.3,82018,0.5,163895,0.2,217954,1.75 32,1,2024-09-07 09:07:20:826,588135,588135,0,0,275957577682,2865811476,584993,2696,446,381,391646,0 32,2,2024-09-07 09:07:20:936,425792,425792,0,0,15435096,0,3155 32,3,2024-09-07 09:07:21:015,1,334,2,0,227,2431,334,0 33,0,2024-09-07 09:07:21:493,81873,0.3,81368,0.4,163196,0.2,218173,1.50 33,1,2024-09-07 09:07:20:659,589585,589585,0,0,276437995351,2862775746,585174,3580,831,369,391730,0 33,2,2024-09-07 09:07:20:762,424259,424224,35,0,17528598,0,7012 33,3,2024-09-07 09:07:20:904,1,334,1,0,329,3551,334,0 34,0,2024-09-07 09:07:20:933,84659,0.3,87086,0.5,166543,0.2,223592,1.75 34,1,2024-09-07 09:07:21:050,590063,590063,0,0,276237986184,2839572277,588643,1412,8,367,391562,0 34,2,2024-09-07 09:07:20:767,420009,420009,0,0,16697088,0,3577 34,3,2024-09-07 09:07:21:688,1,334,1,0,299,2453,334,0 35,0,2024-09-07 09:07:20:859,85105,0.4,85462,0.5,171955,0.3,228841,1.75 35,1,2024-09-07 09:07:21:071,588035,588035,0,0,274245957907,2842647519,584428,2826,781,382,391589,0 35,2,2024-09-07 09:07:21:583,420765,420765,0,0,16750873,0,2653 35,3,2024-09-07 09:07:20:908,1,334,1,0,418,4243,334,0 36,0,2024-09-07 09:07:21:530,84574,0.5,84604,0.6,169120,0.4,224065,2.00 36,1,2024-09-07 09:07:20:660,587678,587678,0,0,274251199330,2865705134,580446,5574,1658,366,391759,0 36,2,2024-09-07 09:07:21:755,426183,426183,0,0,19217399,0,3875 36,3,2024-09-07 09:07:20:874,1,334,1,0,416,5350,334,0 37,0,2024-09-07 09:07:21:375,79017,0.5,79002,0.7,158107,0.4,211542,2.00 37,1,2024-09-07 09:07:20:648,586599,586592,0,7,274126032750,2865238226,579820,4686,2086,365,391570,0 37,2,2024-09-07 09:07:21:149,423189,423174,15,0,18794596,0,5815 37,3,2024-09-07 09:07:21:768,1,334,0,0,888,5532,334,0 38,0,2024-09-07 09:07:21:440,85002,0.5,82499,0.7,172645,0.4,225721,2.00 38,1,2024-09-07 09:07:21:605,588336,588336,0,0,275215534855,2865959224,582297,5281,758,368,391821,0 38,2,2024-09-07 09:07:20:761,418077,418030,47,0,19275517,0,6710 38,3,2024-09-07 09:07:20:998,1,334,23,0,689,4686,334,0 39,0,2024-09-07 09:07:21:763,87667,0.6,85840,0.7,167267,0.6,228630,2.00 39,1,2024-09-07 09:07:20:718,586457,586457,0,0,274245917893,2869977163,578096,6901,1460,365,391630,0 39,2,2024-09-07 09:07:21:417,420586,420586,0,0,17336760,0,2689 39,3,2024-09-07 09:07:20:716,1,334,16,0,324,4293,334,0 40,0,2024-09-07 09:07:21:530,83954,0.7,84587,0.9,168947,0.8,225063,2.75 40,1,2024-09-07 09:07:20:660,587462,587462,0,0,273670531316,2866715045,578907,7045,1510,368,391668,0 40,2,2024-09-07 09:07:21:304,424836,424835,1,0,20656664,0,5137 40,3,2024-09-07 09:07:21:148,1,334,1,0,1028,4998,334,0 41,0,2024-09-07 09:07:21:041,78907,1.4,80796,1.2,154230,2.2,210715,3.00 41,1,2024-09-07 09:07:20:768,586312,586312,0,0,273814912975,2865269889,579261,6348,703,369,391742,0 41,2,2024-09-07 09:07:20:770,423146,423146,0,0,19786135,0,3356 41,3,2024-09-07 09:07:21:686,1,334,1,0,366,3378,334,0 42,0,2024-09-07 09:07:21:493,84067,0.8,84353,1.0,168662,0.9,223098,2.50 42,1,2024-09-07 09:07:21:440,585836,585836,0,0,273551311368,2876056144,576308,7770,1758,380,391675,0 42,2,2024-09-07 09:07:21:139,419058,419058,0,0,19830077,0,3790 42,3,2024-09-07 09:07:21:008,1,334,3,0,446,3066,334,0 43,0,2024-09-07 09:07:20:921,83720,1.0,81605,1.1,170978,1.1,224425,2.50 43,1,2024-09-07 09:07:20:578,586700,586700,0,0,274814297220,2872496676,578468,6794,1438,366,391696,0 43,2,2024-09-07 09:07:21:741,421180,421180,0,0,19624452,0,3812 43,3,2024-09-07 09:07:21:749,1,334,6,0,467,4037,334,0 44,0,2024-09-07 09:07:20:867,85763,0.4,86139,0.6,171888,0.4,228657,1.75 44,1,2024-09-07 09:07:20:614,588511,588511,0,0,274067766056,2836075254,583450,4014,1047,356,391809,0 44,2,2024-09-07 09:07:21:275,422328,422328,0,0,16511254,0,4344 44,3,2024-09-07 09:07:21:101,1,334,1,0,817,4453,334,0 45,0,2024-09-07 09:07:21:758,79377,0.5,77458,0.7,162322,0.5,214132,2.00 45,1,2024-09-07 09:07:21:016,587581,587581,0,0,275272322189,2861912368,583147,3958,476,382,391917,0 45,2,2024-09-07 09:07:21:285,425161,425161,0,0,17094705,0,3596 45,3,2024-09-07 09:07:20:939,1,334,6,0,271,3219,334,0 46,0,2024-09-07 09:07:20:961,82474,0.6,82359,0.8,164893,0.6,219693,2.00 46,1,2024-09-07 09:07:20:652,589462,589462,0,0,275754415569,2848995842,585753,3283,426,366,391572,0 46,2,2024-09-07 09:07:20:653,422460,422460,0,0,16092896,0,2920 46,3,2024-09-07 09:07:21:136,1,334,7,0,908,4996,334,0 47,0,2024-09-07 09:07:21:105,84704,0.5,84925,0.7,170111,0.5,224713,2.00 47,1,2024-09-07 09:07:20:570,589387,589387,0,0,275155848479,2848045593,584900,3672,815,366,391641,0 47,2,2024-09-07 09:07:20:915,422025,422025,0,0,16592536,0,4477 47,3,2024-09-07 09:07:21:118,1,334,2,0,529,3713,334,0 48,0,2024-09-07 09:07:21:499,86063,0.3,85639,0.4,170820,0.2,227968,1.50 48,1,2024-09-07 09:07:21:022,587962,587962,0,0,275360047446,2859846908,584405,3254,303,384,391710,0 48,2,2024-09-07 09:07:20:707,420762,420762,0,0,15192422,0,3031 48,3,2024-09-07 09:07:20:757,1,334,0,0,339,2859,334,0 49,0,2024-09-07 09:07:21:714,85287,0.3,83770,0.5,162225,0.3,221746,1.75 49,1,2024-09-07 09:07:21:022,587709,587709,0,0,274089792862,2854642765,583391,3133,1185,382,391809,0 49,2,2024-09-07 09:07:21:802,425846,425846,0,0,16380942,0,4426 49,3,2024-09-07 09:07:21:422,1,334,13,0,408,3495,334,0 50,0,2024-09-07 09:07:21:519,80572,0.3,79451,0.4,160139,0.2,214067,1.75 50,1,2024-09-07 09:07:21:009,589834,589834,0,0,275618969281,2850172505,586015,3445,374,368,391565,0 50,2,2024-09-07 09:07:21:067,424506,424506,0,0,15332130,0,2263 50,3,2024-09-07 09:07:21:292,1,334,0,0,335,3291,334,0 51,0,2024-09-07 09:07:21:690,87103,0.3,85125,0.5,166167,0.3,226075,1.75 51,1,2024-09-07 09:07:21:687,589991,589991,0,0,276149075716,2849709211,586732,2284,975,365,391706,0 51,2,2024-09-07 09:07:21:321,419368,419368,0,0,14747430,0,3337 51,3,2024-09-07 09:07:21:030,1,334,2,0,678,2567,334,0 52,0,2024-09-07 09:07:21:420,86519,0.5,86402,0.7,172665,0.4,229691,2.00 52,1,2024-09-07 09:07:20:653,586954,586954,0,0,273978127480,2871254091,578316,7326,1312,368,391722,0 52,2,2024-09-07 09:07:21:755,417542,417504,38,0,19593682,0,6742 52,3,2024-09-07 09:07:20:674,1,334,20,0,1782,5195,334,0 53,0,2024-09-07 09:07:21:741,83639,0.6,81206,0.8,169908,0.6,223110,2.25 53,1,2024-09-07 09:07:20:774,585617,585617,0,0,274265757350,2880116160,576179,6857,2581,367,391702,0 53,2,2024-09-07 09:07:21:298,426868,426868,0,0,16857809,0,2727 53,3,2024-09-07 09:07:20:697,1,334,1,0,308,3232,334,0 54,0,2024-09-07 09:07:21:618,78681,0.6,79218,0.7,157372,0.4,210902,2.25 54,1,2024-09-07 09:07:20:649,587367,587367,0,0,275871856906,2867335540,581772,4993,602,367,391659,0 54,2,2024-09-07 09:07:20:876,424930,424924,6,0,19344079,0,5382 54,3,2024-09-07 09:07:20:767,1,334,0,0,676,5206,334,0 55,0,2024-09-07 09:07:21:764,82246,0.6,85145,0.7,171655,0.5,224328,2.25 55,1,2024-09-07 09:07:20:772,587382,587382,0,0,274696059559,2859688864,580759,5714,909,365,391731,0 55,2,2024-09-07 09:07:20:738,417843,417843,0,0,18727943,0,3563 55,3,2024-09-07 09:07:20:674,1,334,1,0,304,3751,334,0 56,0,2024-09-07 09:07:21:550,87004,1.2,82054,1.1,169208,1.7,226886,2.75 56,1,2024-09-07 09:07:20:570,584526,584526,0,0,274117321783,2894860577,575794,7102,1630,381,391867,0 56,2,2024-09-07 09:07:21:312,420467,420467,0,0,19573899,0,3567 56,3,2024-09-07 09:07:21:066,1,334,1,0,705,4436,334,0 57,0,2024-09-07 09:07:20:959,84135,1.4,83987,1.2,168361,2.0,225198,3.00 57,1,2024-09-07 09:07:21:001,586031,586031,0,0,273809032355,2870628486,579211,6100,720,366,392032,0 57,2,2024-09-07 09:07:21:327,424773,424773,0,0,21390245,0,3317 57,3,2024-09-07 09:07:21:745,1,334,1,0,359,3946,334,0 58,0,2024-09-07 09:07:20:558,78649,0.9,76514,1.0,160152,1.0,210175,2.50 58,1,2024-09-07 09:07:20:652,587085,587082,0,3,274407546813,2869837240,578963,7037,1082,367,391603,3 58,2,2024-09-07 09:07:21:075,424274,424274,0,0,19559585,0,2549 58,3,2024-09-07 09:07:21:068,1,334,189,0,1043,3606,334,0 59,0,2024-09-07 09:07:21:752,84072,0.8,83733,0.9,167133,0.8,222588,2.75 59,1,2024-09-07 09:07:20:805,586893,586893,0,0,273792306362,2867248952,579031,6342,1520,369,391586,0 59,2,2024-09-07 09:07:20:655,421235,421235,0,0,19179658,0,2867 59,3,2024-09-07 09:07:21:737,1,334,7,0,1015,4297,334,0 60,0,2024-09-07 09:07:21:705,84014,0.6,84146,0.7,168923,0.6,223960,1.75 60,1,2024-09-07 09:07:20:775,588365,588365,0,0,274875523460,2855108692,584255,3465,645,370,392031,0 60,2,2024-09-07 09:07:21:148,420822,420822,0,0,18784372,0,3811 60,3,2024-09-07 09:07:21:284,1,334,0,0,409,3941,334,0 61,0,2024-09-07 09:07:21:514,85514,0.6,86012,0.8,171015,0.6,227777,2.00 61,1,2024-09-07 09:07:20:773,586430,586430,0,0,273842703431,2872817397,579270,5836,1324,382,392127,0 61,2,2024-09-07 09:07:21:119,421955,421888,67,0,19137376,0,6411 61,3,2024-09-07 09:07:21:686,1,334,3,0,479,5074,334,0 62,0,2024-09-07 09:07:21:712,82135,0.5,83943,0.7,160054,0.5,217596,2.00 62,1,2024-09-07 09:07:21:113,590411,590405,0,6,276371763699,2853655846,586827,3319,259,365,391715,6 62,2,2024-09-07 09:07:21:643,423918,423917,1,0,18693363,0,5555 62,3,2024-09-07 09:07:21:154,1,334,7,0,465,2581,334,0 63,0,2024-09-07 09:07:21:492,81785,0.4,81702,0.6,163854,0.4,218370,1.75 63,1,2024-09-07 09:07:20:813,588454,588448,0,6,276008035155,2866402418,584517,3543,388,381,391800,6 63,2,2024-09-07 09:07:20:762,423418,423418,0,0,16999723,0,4369 63,3,2024-09-07 09:07:21:732,1,334,1,0,667,3830,334,0 64,0,2024-09-07 09:07:21:514,83960,0.5,83926,0.7,167865,0.5,222691,2.00 64,1,2024-09-07 09:07:20:750,587933,587933,0,0,274561092685,2863007801,582288,3985,1660,370,391783,0 64,2,2024-09-07 09:07:21:150,423104,423085,19,0,16677345,0,6121 64,3,2024-09-07 09:07:21:148,1,334,1,0,265,3139,334,0 65,0,2024-09-07 09:07:21:715,84897,0.7,85195,0.8,170342,0.7,226733,2.25 65,1,2024-09-07 09:07:20:875,586919,586919,0,0,274129708672,2865378838,582836,3632,451,382,391901,0 65,2,2024-09-07 09:07:21:703,421835,421835,0,0,18393751,0,3367 65,3,2024-09-07 09:07:21:691,1,334,0,0,163,3013,334,0 66,0,2024-09-07 09:07:21:770,83934,0.5,83818,0.7,167927,0.4,222972,2.00 66,1,2024-09-07 09:07:21:293,587712,587712,0,0,274762349587,2857110976,584152,3238,322,380,391653,0 66,2,2024-09-07 09:07:21:137,427697,427697,0,0,16592362,0,4956 66,3,2024-09-07 09:07:21:085,1,334,2,0,291,3205,334,0 67,0,2024-09-07 09:07:21:415,79773,0.5,79158,0.7,159109,0.5,212129,2.00 67,1,2024-09-07 09:07:20:775,588006,588005,0,1,274376746591,2857925402,583840,3414,751,380,391787,1 67,2,2024-09-07 09:07:20:659,425496,425496,0,0,15970237,0,2889 67,3,2024-09-07 09:07:21:755,1,334,0,0,338,2857,334,0 68,0,2024-09-07 09:07:20:617,85841,0.6,85478,0.7,170441,0.6,227705,2.00 68,1,2024-09-07 09:07:20:660,586497,586497,0,0,273975849110,2870546505,581683,3610,1204,381,391953,0 68,2,2024-09-07 09:07:21:044,416928,416863,65,0,20989812,0,6698 68,3,2024-09-07 09:07:20:730,1,334,17,0,417,3691,334,0 69,0,2024-09-07 09:07:21:776,85633,0.9,86056,0.9,171409,1.1,227794,2.25 69,1,2024-09-07 09:07:21:020,584517,584517,0,0,273746297049,2889612484,576543,5864,2110,384,391994,0 69,2,2024-09-07 09:07:21:734,420044,420044,0,0,21254066,0,3722 69,3,2024-09-07 09:07:20:762,1,334,1,0,698,4859,334,0 70,0,2024-09-07 09:07:21:535,83912,0.8,83869,1.0,168815,0.6,223718,2.25 70,1,2024-09-07 09:07:20:801,588041,588041,0,0,275409907249,2859726777,583192,4313,536,366,391725,0 70,2,2024-09-07 09:07:21:332,424851,424851,0,0,19287045,0,4044 70,3,2024-09-07 09:07:20:745,1,334,1,0,854,3795,334,0 71,0,2024-09-07 09:07:21:361,78926,0.8,78530,1.0,157860,1.0,211423,2.50 71,1,2024-09-07 09:07:21:596,586905,586905,0,0,274409957535,2864256236,580294,5955,656,368,391738,0 71,2,2024-09-07 09:07:21:067,424476,424476,0,0,18945741,0,4042 71,3,2024-09-07 09:07:21:754,1,334,9,0,644,4652,334,0 72,0,2024-09-07 09:07:21:039,87675,0.6,85727,0.7,167145,0.6,227384,2.00 72,1,2024-09-07 09:07:21:022,586293,586293,0,0,274223638414,2874203223,578064,6532,1697,369,391819,0 72,2,2024-09-07 09:07:21:755,417991,417991,0,0,21591734,0,3983 72,3,2024-09-07 09:07:21:755,1,334,51,0,564,5332,334,0 73,0,2024-09-07 09:07:21:108,82746,0.5,84708,0.6,173490,0.4,225476,2.00 73,1,2024-09-07 09:07:20:768,587611,587611,0,0,274281685938,2852431826,583292,3989,330,367,391858,0 73,2,2024-09-07 09:07:21:746,421096,421096,0,0,20692801,0,3701 73,3,2024-09-07 09:07:20:976,1,334,8,0,274,4503,334,0 74,0,2024-09-07 09:07:21:324,86131,0.5,88301,0.6,168635,0.4,228367,2.25 74,1,2024-09-07 09:07:20:646,587077,587077,0,0,274301358319,2864855822,580996,4818,1263,381,391681,0 74,2,2024-09-07 09:07:21:002,422677,422677,0,0,19708533,0,4253 74,3,2024-09-07 09:07:21:442,1,334,1,0,522,4697,334,0 75,0,2024-09-07 09:07:21:784,80564,0.4,80239,0.7,160645,0.4,215007,2.25 75,1,2024-09-07 09:07:21:585,586277,586277,0,0,273506771849,2858818436,579926,5526,825,380,391739,0 75,2,2024-09-07 09:07:21:352,424192,424192,0,0,19779233,0,4766 75,3,2024-09-07 09:07:21:070,1,334,0,0,702,4749,334,0 76,0,2024-09-07 09:07:20:661,82699,0.6,82126,0.8,164564,0.6,220998,2.25 76,1,2024-09-07 09:07:20:813,587272,587272,0,0,274271804184,2861590895,583353,3330,589,382,391692,0 76,2,2024-09-07 09:07:21:062,423215,423214,1,0,19049162,0,5144 76,3,2024-09-07 09:07:21:150,1,334,1,0,175,3248,334,0 77,0,2024-09-07 09:07:21:694,84426,0.7,84612,0.8,169269,0.7,224708,2.00 77,1,2024-09-07 09:07:20:830,587156,587156,0,0,274211581889,2866631901,581969,4698,489,381,391869,0 77,2,2024-09-07 09:07:21:291,420668,420668,0,0,18506102,0,3890 77,3,2024-09-07 09:07:21:093,1,334,1,0,401,3798,334,0 78,0,2024-09-07 09:07:21:731,85778,0.5,85301,0.7,171400,0.4,227237,2.00 78,1,2024-09-07 09:07:20:648,587753,587753,0,0,273538936845,2849434154,581889,4719,1145,367,391670,0 78,2,2024-09-07 09:07:21:404,421798,421798,0,0,16106900,0,3855 78,3,2024-09-07 09:07:21:139,1,334,2,0,181,3238,334,0 79,0,2024-09-07 09:07:21:369,80428,0.4,82516,0.6,168654,0.3,219027,2.25 79,1,2024-09-07 09:07:20:648,589320,589320,0,0,274979159457,2848633673,584765,3922,633,369,391682,0 79,2,2024-09-07 09:07:21:079,426437,426437,0,0,17103347,0,4195 79,3,2024-09-07 09:07:20:765,1,334,9,0,418,4288,334,0 80,0,2024-09-07 09:07:21:086,80367,0.5,82585,0.7,158336,0.5,213880,2.00 80,1,2024-09-07 09:07:21:619,587662,587662,0,0,274290231336,2853462018,584311,3172,179,368,391791,0 80,2,2024-09-07 09:07:21:095,425933,425933,0,0,16300106,0,4433 80,3,2024-09-07 09:07:20:647,1,334,8,0,190,4033,334,0 81,0,2024-09-07 09:07:21:540,84858,0.6,86899,0.7,165828,0.6,224813,2.00 81,1,2024-09-07 09:07:21:650,586689,586689,0,0,273125140879,2855468299,581834,4356,499,382,391879,0 81,2,2024-09-07 09:07:21:136,418659,418596,63,0,18120807,0,5932 81,3,2024-09-07 09:07:21:123,1,334,0,0,374,3928,334,0 82,0,2024-09-07 09:07:21:531,85785,0.5,86359,0.7,172957,0.4,229253,2.00 82,1,2024-09-07 09:07:20:654,588818,588814,0,4,275009183737,2863005395,584919,3098,797,381,391768,4 82,2,2024-09-07 09:07:21:694,422322,422322,0,0,15557052,0,3986 82,3,2024-09-07 09:07:21:760,1,334,6,0,363,3427,334,0 83,0,2024-09-07 09:07:21:521,84416,0.5,84163,0.7,167720,0.5,223423,2.00 83,1,2024-09-07 09:07:20:553,587095,587095,0,0,274368268698,2861582046,582784,3930,381,382,391709,0 83,2,2024-09-07 09:07:20:767,426028,426028,0,0,16124811,0,3393 83,3,2024-09-07 09:07:20:749,1,334,1,0,1260,4883,334,0 84,0,2024-09-07 09:07:21:767,79279,0.6,79237,0.8,158563,0.5,212257,2.25 84,1,2024-09-07 09:07:21:040,586636,586636,0,0,273865617562,2862929989,580881,5027,728,367,391967,0 84,2,2024-09-07 09:07:20:581,424457,424457,0,0,20305944,0,4757 84,3,2024-09-07 09:07:21:150,1,334,3,0,908,5078,334,0 85,0,2024-09-07 09:07:21:044,82027,0.6,81953,0.8,173889,0.7,225476,2.25 85,1,2024-09-07 09:07:20:563,585165,585165,0,0,273787421357,2890029893,576646,7147,1372,381,392006,0 85,2,2024-09-07 09:07:20:868,418924,418924,0,0,19935262,0,3656 85,3,2024-09-07 09:07:20:688,1,334,14,0,789,4409,334,0 86,0,2024-09-07 09:07:20:890,85253,0.7,87595,0.8,167705,0.8,226987,2.25 86,1,2024-09-07 09:07:20:843,586826,586826,0,0,274000590065,2869928636,579939,5821,1066,366,391961,0 86,2,2024-09-07 09:07:20:873,419944,419943,1,0,20963430,0,5004 86,3,2024-09-07 09:07:20:648,1,334,8,0,308,4612,334,0 87,0,2024-09-07 09:07:21:288,84864,0.9,84785,0.9,170205,1.1,227010,2.25 87,1,2024-09-07 09:07:20:552,586169,586169,0,0,274708509044,2873855583,579989,5569,611,366,392076,0 87,2,2024-09-07 09:07:21:070,423332,423332,0,0,19134262,0,4045 87,3,2024-09-07 09:07:21:812,1,334,105,0,473,5008,334,0 88,0,2024-09-07 09:07:21:478,79888,0.4,80463,0.6,160359,0.4,213108,1.75 88,1,2024-09-07 09:07:20:570,585219,585219,0,0,274030582334,2873651870,577524,6031,1664,365,392084,0 88,2,2024-09-07 09:07:20:709,425389,425389,0,0,21091175,0,3583 88,3,2024-09-07 09:07:21:278,1,334,0,0,435,3664,334,0 89,0,2024-09-07 09:07:21:765,86834,0.5,83976,0.7,166472,0.4,226123,1.75 89,1,2024-09-07 09:07:20:553,585203,585203,0,0,273836219694,2882367764,577450,6480,1273,382,391866,0 89,2,2024-09-07 09:07:21:137,419656,419656,0,0,20077902,0,2910 89,3,2024-09-07 09:07:21:801,1,334,1,0,385,6076,334,0 90,0,2024-09-07 09:07:21:662,81810,0.5,83851,0.7,171287,0.5,223360,2.00 90,1,2024-09-07 09:07:20:651,586781,586781,0,0,273818690081,2871774779,580579,5700,502,380,391825,0 90,2,2024-09-07 09:07:21:405,419312,419312,0,0,21279025,0,3060 90,3,2024-09-07 09:07:20:945,1,334,1,0,246,3699,334,0 91,0,2024-09-07 09:07:20:933,86047,0.5,83345,0.6,174141,0.5,228305,1.75 91,1,2024-09-07 09:07:20:567,585221,585221,0,0,273731494592,2880885386,577400,6777,1044,381,392047,0 91,2,2024-09-07 09:07:21:334,422057,422057,0,0,19260132,0,2896 91,3,2024-09-07 09:07:20:658,1,334,1,0,216,3170,334,0 92,0,2024-09-07 09:07:21:451,82428,0.4,84538,0.6,161371,0.4,218043,1.75 92,1,2024-09-07 09:07:20:650,586522,586522,0,0,273376742848,2858220687,581712,4124,686,382,392136,0 92,2,2024-09-07 09:07:21:352,425992,425992,0,0,17447462,0,3259 92,3,2024-09-07 09:07:21:009,1,334,0,0,167,2887,334,0 93,0,2024-09-07 09:07:20:985,82089,0.4,84169,0.6,160898,0.3,218333,1.75 93,1,2024-09-07 09:07:20:813,586844,586844,0,0,274407425178,2866397848,580728,5087,1029,366,391776,0 93,2,2024-09-07 09:07:20:928,422607,422607,0,0,20283791,0,4845 93,3,2024-09-07 09:07:21:406,1,334,6,0,190,3243,334,0 94,0,2024-09-07 09:07:21:617,84074,0.4,84846,0.6,169171,0.4,224273,1.75 94,1,2024-09-07 09:07:20:585,587218,587218,0,0,274826554404,2869504157,583182,3846,190,381,391850,0 94,2,2024-09-07 09:07:20:764,419657,419657,0,0,17444389,0,2443 94,3,2024-09-07 09:07:21:712,1,334,21,0,264,4141,334,0 95,0,2024-09-07 09:07:21:377,85605,0.4,85685,0.5,171796,0.3,228631,1.75 95,1,2024-09-07 09:07:20:858,588633,588633,0,0,274622638512,2857812191,583668,4566,399,367,391713,0 95,2,2024-09-07 09:07:21:016,420966,420966,0,0,17216165,0,3308 95,3,2024-09-07 09:07:21:712,1,334,3,0,718,5323,334,0 96,0,2024-09-07 09:07:21:032,84455,0.4,84686,0.5,168902,0.3,223835,1.75 96,1,2024-09-07 09:07:21:589,586440,586440,0,0,274074707314,2861006258,581919,3725,796,384,391955,0 96,2,2024-09-07 09:07:21:290,426367,426367,0,0,17260633,0,4042 96,3,2024-09-07 09:07:21:150,1,334,6,0,411,3707,334,0 97,0,2024-09-07 09:07:21:313,79498,0.3,79244,0.5,159092,0.3,211860,1.75 97,1,2024-09-07 09:07:20:767,588723,588723,0,0,275230011562,2854104318,584848,3203,672,367,392140,0 97,2,2024-09-07 09:07:20:680,425789,425789,0,0,16695398,0,3036 97,3,2024-09-07 09:07:20:658,1,334,1,0,214,3989,334,0 98,0,2024-09-07 09:07:21:713,85673,0.3,85359,0.5,171496,0.3,227733,1.50 98,1,2024-09-07 09:07:20:654,588090,588090,0,0,274816761667,2859153396,585429,2554,107,382,391997,0 98,2,2024-09-07 09:07:20:768,419238,419238,0,0,16530692,0,3080 98,3,2024-09-07 09:07:20:698,1,334,1,0,840,5407,334,0 99,0,2024-09-07 09:07:21:451,86029,0.4,86620,0.5,172273,0.3,230013,1.75 99,1,2024-09-07 09:07:21:723,587632,587632,0,0,274722577329,2861285586,583497,3381,754,381,392069,0 99,2,2024-09-07 09:07:21:417,421962,421962,0,0,18662720,0,3424 99,3,2024-09-07 09:07:20:647,1,334,1,0,199,3147,334,0 100,0,2024-09-07 09:07:21:465,84371,0.7,84369,1.0,168797,0.9,225407,2.25 100,1,2024-09-07 09:07:20:553,584678,584678,0,0,273328597263,2888599986,576211,6971,1496,381,391989,0 100,2,2024-09-07 09:07:21:828,424140,424129,11,0,19664229,0,5417 100,3,2024-09-07 09:07:21:737,1,334,1,0,559,5910,334,0 101,0,2024-09-07 09:07:21:704,81088,1.0,79079,1.0,154941,0.7,213069,2.25 101,1,2024-09-07 09:07:20:553,584563,584563,0,0,273464958041,2880773861,575493,7047,2023,368,391771,0 101,2,2024-09-07 09:07:21:762,422642,422642,0,0,22601452,0,4644 101,3,2024-09-07 09:07:20:951,1,334,9,0,579,4484,334,0 102,0,2024-09-07 09:07:20:957,82685,0.7,85572,0.8,172632,0.7,226198,2.25 102,1,2024-09-07 09:07:21:150,585528,585528,0,0,273782998516,2876645150,578213,6283,1032,369,391891,0 102,2,2024-09-07 09:07:21:737,420137,420083,54,0,18962465,0,6768 102,3,2024-09-07 09:07:21:618,1,334,8,0,410,3616,334,0 103,0,2024-09-07 09:07:21:590,87468,0.7,87485,0.8,164899,0.7,227433,2.00 103,1,2024-09-07 09:07:21:630,584755,584755,0,0,273703121310,2887799926,575937,6822,1996,381,391829,0 103,2,2024-09-07 09:07:20:649,419920,419920,0,0,19749862,0,3173 103,3,2024-09-07 09:07:20:755,1,334,1,0,916,4232,334,0 104,0,2024-09-07 09:07:21:023,84794,0.7,85149,1.0,169180,0.7,227693,2.25 104,1,2024-09-07 09:07:21:599,586218,586218,0,0,273140664388,2874036367,577315,7205,1698,365,392168,0 104,2,2024-09-07 09:07:21:667,421348,421348,0,0,20862392,0,3941 104,3,2024-09-07 09:07:21:415,1,334,0,0,1245,7424,334,0 105,0,2024-09-07 09:07:21:040,79540,0.8,77374,1.1,162001,1.0,213413,2.75 105,1,2024-09-07 09:07:20:553,586521,586521,0,0,274072969133,2876851262,578369,6819,1333,366,391797,0 105,2,2024-09-07 09:07:21:325,424026,424026,0,0,20193736,0,3509 105,3,2024-09-07 09:07:21:320,1,334,3,0,399,5334,334,0 106,0,2024-09-07 09:07:20:954,80154,0.9,82021,1.0,167835,1.0,220333,2.50 106,1,2024-09-07 09:07:21:756,585276,585276,0,0,273873524759,2881172881,575702,8281,1293,369,391914,0 106,2,2024-09-07 09:07:20:767,419731,419731,0,0,19909482,0,2795 106,3,2024-09-07 09:07:20:690,1,334,4,0,470,4323,334,0 107,0,2024-09-07 09:07:21:115,84311,1.2,84216,1.0,168515,1.7,224416,2.25 107,1,2024-09-07 09:07:20:656,584700,584700,0,0,273147061899,2879512428,576736,7050,914,381,392234,0 107,2,2024-09-07 09:07:21:296,418921,418920,1,0,20316601,0,5024 107,3,2024-09-07 09:07:21:760,1,334,237,0,353,4752,334,0 108,0,2024-09-07 09:07:21:774,85282,0.5,85764,0.6,170619,0.4,227842,1.75 108,1,2024-09-07 09:07:21:371,587063,587063,0,0,274850883821,2868609444,581977,4568,518,368,391857,0 108,2,2024-09-07 09:07:21:760,419953,419953,0,0,19466664,0,4246 108,3,2024-09-07 09:07:21:333,1,334,2,0,749,6739,334,0 109,0,2024-09-07 09:07:21:769,83694,0.4,82676,0.5,165743,0.3,221411,1.75 109,1,2024-09-07 09:07:20:666,585004,585004,0,0,274567726478,2881144051,579801,4540,663,383,392132,0 109,2,2024-09-07 09:07:20:922,423688,423688,0,0,19082666,0,3617 109,3,2024-09-07 09:07:21:158,1,334,6,0,379,4054,334,0 110,0,2024-09-07 09:07:21:786,80321,0.4,78131,0.6,163643,0.3,214646,1.75 110,1,2024-09-07 09:07:21:649,588168,588168,0,0,274911043037,2854259632,584170,3024,974,369,392045,0 110,2,2024-09-07 09:07:21:305,423921,423921,0,0,18833762,0,4067 110,3,2024-09-07 09:07:20:708,1,334,19,0,722,5089,334,0 111,0,2024-09-07 09:07:21:438,85321,0.4,84646,0.6,169480,0.4,226278,1.75 111,1,2024-09-07 09:07:21:001,588229,588229,0,0,275940491403,2864690005,584849,3028,352,382,391690,0 111,2,2024-09-07 09:07:21:118,418051,418051,0,0,18131662,0,4823 111,3,2024-09-07 09:07:20:916,1,334,1,0,379,4108,334,0 112,0,2024-09-07 09:07:20:919,86917,0.3,86296,0.4,173069,0.2,230053,1.50 112,1,2024-09-07 09:07:20:829,588327,588327,0,0,275115706294,2854511590,584867,2876,584,380,391624,0 112,2,2024-09-07 09:07:21:136,421040,421039,1,0,16537829,0,5036 112,3,2024-09-07 09:07:20:651,1,334,0,0,282,3451,334,0 113,0,2024-09-07 09:07:20:903,83968,0.3,84060,0.5,168619,0.2,224768,1.50 113,1,2024-09-07 09:07:21:703,590373,590373,0,0,275520567530,2843515233,587093,2696,584,366,391661,0 113,2,2024-09-07 09:07:21:303,427467,427467,0,0,15276968,0,3813 113,3,2024-09-07 09:07:20:704,1,334,4,0,340,4051,334,0 114,0,2024-09-07 09:07:20:876,80222,0.3,80789,0.5,160335,0.2,214381,1.75 114,1,2024-09-07 09:07:20:717,588036,588036,0,0,274659987666,2855475310,582912,3530,1594,381,391556,0 114,2,2024-09-07 09:07:20:877,425662,425661,1,0,16873883,0,5069 114,3,2024-09-07 09:07:21:283,1,334,1,0,395,2961,334,0 115,0,2024-09-07 09:07:20:553,84980,0.3,85575,0.4,170925,0.2,227875,1.50 115,1,2024-09-07 09:07:20:652,587688,587688,0,0,274213381004,2849060430,582824,4024,840,382,391656,0 115,2,2024-09-07 09:07:21:132,420462,420462,0,0,15485752,0,3453 115,3,2024-09-07 09:07:21:003,1,334,1,0,159,1977,334,0 116,0,2024-09-07 09:07:21:709,84987,0.9,84801,0.9,170062,1.0,227975,2.25 116,1,2024-09-07 09:07:20:813,584376,584376,0,0,273380677630,2885604076,576776,5332,2268,380,391782,0 116,2,2024-09-07 09:07:21:756,420028,420028,0,0,21079022,0,3529 116,3,2024-09-07 09:07:20:912,1,334,0,0,415,4219,334,0 117,0,2024-09-07 09:07:20:969,85376,0.7,84881,0.8,170056,0.8,227394,2.00 117,1,2024-09-07 09:07:21:597,586086,586086,0,0,273886661566,2868536376,579781,5637,668,370,392033,0 117,2,2024-09-07 09:07:21:122,426813,426813,0,0,17541547,0,3700 117,3,2024-09-07 09:07:21:061,1,334,2,0,490,4934,334,0 118,0,2024-09-07 09:07:21:775,77596,0.5,79617,0.7,162555,0.5,212302,2.00 118,1,2024-09-07 09:07:20:653,585754,585754,0,0,273590884340,2877850561,576960,6896,1898,366,391907,0 118,2,2024-09-07 09:07:21:591,424116,424116,0,0,19222533,0,2781 118,3,2024-09-07 09:07:21:775,1,334,143,0,235,3709,334,0 119,0,2024-09-07 09:07:21:332,83886,0.6,84347,0.8,168738,0.6,224679,2.00 119,1,2024-09-07 09:07:20:555,587074,587074,0,0,274695627892,2874137625,580507,5730,837,367,391780,0 119,2,2024-09-07 09:07:21:283,420935,420935,0,0,18069567,0,4174 119,3,2024-09-07 09:07:21:326,1,334,0,0,563,5145,334,0 120,0,2024-09-07 09:07:21:554,83824,0.7,83553,0.9,167238,0.7,223894,2.25 120,1,2024-09-07 09:07:20:862,586288,586288,0,0,273574240777,2872803932,579869,5863,556,368,391961,0 120,2,2024-09-07 09:07:20:773,420437,420436,1,0,21089095,0,5281 120,3,2024-09-07 09:07:21:291,1,334,2,0,241,4212,334,0 121,0,2024-09-07 09:07:21:692,85308,1.0,85497,1.0,171570,1.3,227988,2.25 121,1,2024-09-07 09:07:21:655,586988,586988,0,0,274550831079,2873854153,581081,5470,437,367,391840,0 121,2,2024-09-07 09:07:21:136,420876,420876,0,0,20352521,0,4127 121,3,2024-09-07 09:07:20:738,1,334,3,0,269,3881,334,0 122,0,2024-09-07 09:07:21:792,81501,0.7,79471,0.8,166507,0.8,218003,2.00 122,1,2024-09-07 09:07:20:881,585699,585699,0,0,274024939329,2877772603,577240,7198,1261,366,392130,0 122,2,2024-09-07 09:07:21:326,424732,424659,73,0,22860182,0,5989 122,3,2024-09-07 09:07:20:657,1,334,1,0,411,6000,334,0 123,0,2024-09-07 09:07:21:005,81279,0.7,79459,0.8,165732,0.8,217903,2.00 123,1,2024-09-07 09:07:20:563,585071,585071,0,0,274137141493,2892753637,573651,9294,2126,369,392039,0 123,2,2024-09-07 09:07:21:022,421237,421236,1,0,19778863,0,5215 123,3,2024-09-07 09:07:21:136,1,334,2,0,168,3870,334,0 124,0,2024-09-07 09:07:20:924,87032,0.4,87097,0.5,164125,0.3,225140,1.75 124,1,2024-09-07 09:07:21:021,588021,588021,0,0,274353579184,2856598273,583304,3807,910,367,392178,0 124,2,2024-09-07 09:07:21:010,420813,420760,53,0,17695358,0,6487 124,3,2024-09-07 09:07:20:761,1,334,1,0,490,3460,334,0 125,0,2024-09-07 09:07:21:426,86051,0.4,85541,0.6,172208,0.4,228981,1.75 125,1,2024-09-07 09:07:20:873,586656,586656,0,0,274375654651,2866786452,581777,4235,644,383,391702,0 125,2,2024-09-07 09:07:21:123,420983,420983,0,0,18340036,0,4534 125,3,2024-09-07 09:07:21:137,1,334,1,0,709,4587,334,0 126,0,2024-09-07 09:07:21:418,84292,0.4,86596,0.6,166012,0.4,224708,1.75 126,1,2024-09-07 09:07:20:563,588477,588477,0,0,274757345506,2849084029,584742,3452,283,365,391987,0 126,2,2024-09-07 09:07:20:652,426589,426589,0,0,18452416,0,4539 126,3,2024-09-07 09:07:20:907,1,334,26,0,207,4214,334,0 127,0,2024-09-07 09:07:21:595,79273,0.3,79889,0.5,159000,0.3,211804,1.75 127,1,2024-09-07 09:07:20:581,587865,587865,0,0,274545645058,2853827428,582468,4537,860,364,392187,0 127,2,2024-09-07 09:07:20:656,424523,424523,0,0,17007387,0,3897 127,3,2024-09-07 09:07:21:274,1,334,4,0,968,4010,334,0 128,0,2024-09-07 09:07:21:527,85776,0.3,86028,0.5,172104,0.2,228079,1.50 128,1,2024-09-07 09:07:21:610,587598,587598,0,0,274511291431,2851991947,583499,3729,370,367,391798,0 128,2,2024-09-07 09:07:21:387,420073,420073,0,0,15890167,0,2915 128,3,2024-09-07 09:07:20:791,1,334,1,0,1082,5916,334,0 129,0,2024-09-07 09:07:21:001,86636,0.3,86262,0.5,172972,0.3,230061,1.75 129,1,2024-09-07 09:07:20:571,585107,585107,0,0,274269219737,2873322894,579464,4387,1256,379,391835,0 129,2,2024-09-07 09:07:20:686,421456,421456,0,0,16783628,0,4031 129,3,2024-09-07 09:07:20:700,1,334,2,0,469,4428,334,0 130,0,2024-09-07 09:07:21:720,85289,0.5,84772,0.6,170575,0.5,226693,1.75 130,1,2024-09-07 09:07:20:584,587822,587822,0,0,274474696600,2855147037,584407,3136,279,381,391825,0 130,2,2024-09-07 09:07:21:137,427016,427016,0,0,16726787,0,4067 130,3,2024-09-07 09:07:21:301,1,334,2,0,450,4146,334,0 131,0,2024-09-07 09:07:21:971,79237,0.3,79784,0.5,160391,0.3,212879,1.75 131,1,2024-09-07 09:07:21:825,587975,587975,0,0,274597475083,2866737571,583499,3730,746,381,391865,0 131,2,2024-09-07 09:07:20:656,426958,426958,0,0,15454189,0,2415 131,3,2024-09-07 09:07:21:688,1,334,1,0,392,3522,334,0 132,0,2024-09-07 09:07:21:416,84879,0.4,85570,0.6,170618,0.4,226929,1.75 132,1,2024-09-07 09:07:20:655,584803,584803,0,0,273036827407,2877272872,576166,7172,1465,381,392097,0 132,2,2024-09-07 09:07:20:698,419248,419248,0,0,20362139,0,4606 132,3,2024-09-07 09:07:21:693,1,334,45,0,804,6195,334,0 133,0,2024-09-07 09:07:21:524,82837,0.5,84561,0.6,173643,0.4,226020,2.00 133,1,2024-09-07 09:07:20:650,584996,584996,0,0,272957766102,2878819635,576827,7086,1083,383,391914,0 133,2,2024-09-07 09:07:21:121,420029,420029,0,0,21710383,0,4315 133,3,2024-09-07 09:07:21:297,1,334,2,0,479,3574,334,0 134,0,2024-09-07 09:07:20:951,85946,0.5,85829,0.7,171680,0.5,228896,2.00 134,1,2024-09-07 09:07:20:650,585418,585418,0,0,272742175912,2865953283,577164,6398,1856,366,391718,0 134,2,2024-09-07 09:07:21:758,422263,422263,0,0,18200405,0,3847 134,3,2024-09-07 09:07:20:761,1,334,2,0,739,4407,334,0 135,0,2024-09-07 09:07:21:105,77720,0.7,77774,0.8,164876,0.7,213170,2.00 135,1,2024-09-07 09:07:21:599,586011,586011,0,0,274499398567,2890477598,577658,7116,1237,380,391805,0 135,2,2024-09-07 09:07:20:690,425625,425625,0,0,19722025,0,3981 135,3,2024-09-07 09:07:21:003,1,334,1,0,299,2636,334,0 136,0,2024-09-07 09:07:21:632,83368,0.6,83499,0.7,166196,0.5,222238,2.25 136,1,2024-09-07 09:07:21:442,585635,585635,0,0,273350443920,2872044190,578622,6317,696,382,391685,0 136,2,2024-09-07 09:07:21:136,421863,421863,0,0,19401648,0,3506 136,3,2024-09-07 09:07:21:105,1,334,2,0,637,3865,334,0 137,0,2024-09-07 09:07:20:936,87059,0.7,84765,0.8,166368,0.8,225758,2.00 137,1,2024-09-07 09:07:20:578,585359,585359,0,0,273891816269,2877446026,575782,7696,1881,366,391708,0 137,2,2024-09-07 09:07:21:705,419702,419702,0,0,21680456,0,3185 137,3,2024-09-07 09:07:20:776,1,334,11,0,382,4038,334,0 138,0,2024-09-07 09:07:21:757,84920,1.3,84991,1.1,170719,1.8,226793,2.25 138,1,2024-09-07 09:07:21:684,586208,586208,0,0,274590966907,2885111595,577165,7447,1596,368,391954,0 138,2,2024-09-07 09:07:20:650,420741,420741,0,0,19455133,0,4988 138,3,2024-09-07 09:07:20:650,1,334,35,0,1160,4828,334,0 139,0,2024-09-07 09:07:21:365,82065,1.2,82401,1.0,165154,1.7,219603,2.25 139,1,2024-09-07 09:07:20:582,583703,583703,0,0,272621885880,2898781174,572343,8716,2644,381,391892,0 139,2,2024-09-07 09:07:20:696,422889,422889,0,0,21162882,0,3097 139,3,2024-09-07 09:07:21:664,1,334,2,0,257,3722,334,0 140,0,2024-09-07 09:07:21:592,80771,0.3,80212,0.5,161180,0.2,214994,1.75 140,1,2024-09-07 09:07:21:537,589745,589745,0,0,275679664796,2844711459,586541,2766,438,365,391606,0 140,2,2024-09-07 09:07:20:689,424458,424457,1,0,17532374,0,5036 140,3,2024-09-07 09:07:20:768,1,334,3,0,247,2730,334,0 141,0,2024-09-07 09:07:21:712,85105,0.4,87350,0.5,166881,0.3,226212,1.75 141,1,2024-09-07 09:07:20:862,588390,588390,0,0,274445426558,2853536782,583829,3699,862,379,391614,0 141,2,2024-09-07 09:07:21:686,418955,418955,0,0,17015593,0,3360 141,3,2024-09-07 09:07:21:043,1,334,1,0,391,3858,334,0 142,0,2024-09-07 09:07:21:312,87090,0.3,86278,0.5,172439,0.3,230440,1.75 142,1,2024-09-07 09:07:20:651,588040,588040,0,0,274240632951,2861391170,584079,3610,351,382,392102,0 142,2,2024-09-07 09:07:21:305,420223,420191,32,0,18491717,0,6028 142,3,2024-09-07 09:07:21:746,1,334,4,0,484,4150,334,0 143,0,2024-09-07 09:07:21:400,84331,0.4,84108,0.6,168704,0.4,224959,1.75 143,1,2024-09-07 09:07:20:555,588127,588127,0,0,275131884969,2856195802,584355,3622,150,367,391705,0 143,2,2024-09-07 09:07:20:772,426199,426199,0,0,17887786,0,3123 143,3,2024-09-07 09:07:21:148,1,334,1,0,303,3973,334,0 144,0,2024-09-07 09:07:21:497,77398,0.5,79505,0.8,161874,0.4,212535,2.00 144,1,2024-09-07 09:07:20:571,585703,585703,0,0,273189969838,2864561736,580851,3911,941,381,391649,0 144,2,2024-09-07 09:07:21:755,425532,425532,0,0,16163542,0,3473 144,3,2024-09-07 09:07:21:739,1,334,2,0,249,3439,334,0 145,0,2024-09-07 09:07:21:395,82240,0.6,82258,0.8,174524,0.5,225361,2.25 145,1,2024-09-07 09:07:20:555,584982,584982,0,0,273849542189,2880743778,577682,6160,1140,382,391759,0 145,2,2024-09-07 09:07:21:429,417618,417618,0,0,19571259,0,3903 145,3,2024-09-07 09:07:20:901,1,334,1,0,622,5099,334,0 146,0,2024-09-07 09:07:21:610,85285,0.6,84647,0.8,170763,0.6,226579,2.25 146,1,2024-09-07 09:07:21:592,586603,586603,0,0,274064883532,2884088714,576905,7688,2010,368,391770,0 146,2,2024-09-07 09:07:21:698,419872,419872,0,0,19400357,0,2730 146,3,2024-09-07 09:07:21:280,1,334,2,0,1520,7014,334,0 147,0,2024-09-07 09:07:21:717,85420,0.6,85057,0.8,169484,0.6,226975,2.25 147,1,2024-09-07 09:07:21:375,588091,588091,0,0,274733113409,2860998022,582431,4860,800,368,391791,0 147,2,2024-09-07 09:07:21:009,424608,424608,0,0,17285989,0,2789 147,3,2024-09-07 09:07:20:913,1,334,1,0,730,4937,334,0 0,0,2024-09-07 09:07:31:725,81942,0.7,81982,0.8,173884,0.8,224765,2.00 0,1,2024-09-07 09:07:30:809,588706,588706,0,0,274983112300,2876277298,584579,3883,244,370,391896,0 0,2,2024-09-07 09:07:31:086,422683,422683,0,0,17045253,0,4480 0,3,2024-09-07 09:07:30:974,1,335,1,0,431,4625,335,0 1,0,2024-09-07 09:07:31:819,85822,0.8,85265,0.9,171296,1.0,228570,2.00 1,1,2024-09-07 09:07:30:563,587491,587491,0,0,274831743402,2878957376,581895,4370,1226,370,391857,0 1,2,2024-09-07 09:07:30:674,422488,422488,0,0,16535485,0,3267 1,3,2024-09-07 09:07:31:304,1,335,2,0,262,3930,335,0 2,0,2024-09-07 09:07:31:587,81731,0.6,82075,0.7,162894,0.7,217873,2.00 2,1,2024-09-07 09:07:30:894,589779,589779,0,0,276225102407,2868635128,586883,2603,293,380,391745,0 2,2,2024-09-07 09:07:31:273,426538,426538,0,0,16494943,0,3594 2,3,2024-09-07 09:07:30:691,1,335,1,0,357,3389,335,0 3,0,2024-09-07 09:07:31:752,82023,0.4,81975,0.6,163949,0.3,219008,1.75 3,1,2024-09-07 09:07:31:622,588400,588400,0,0,274783332635,2862289175,583302,4475,623,380,391591,0 3,2,2024-09-07 09:07:31:143,424169,424146,23,0,16913414,0,5851 3,3,2024-09-07 09:07:31:751,1,335,2,0,207,2215,335,0 4,0,2024-09-07 09:07:31:788,82023,0.4,84515,0.5,171652,0.4,224536,1.75 4,1,2024-09-07 09:07:30:640,587061,587061,0,0,274407229071,2891920797,579617,6088,1356,371,391992,0 4,2,2024-09-07 09:07:31:018,419526,419526,0,0,19720715,0,4528 4,3,2024-09-07 09:07:31:027,1,335,17,0,448,4759,335,0 5,0,2024-09-07 09:07:31:376,85863,0.5,86204,0.6,172056,0.4,228594,1.75 5,1,2024-09-07 09:07:30:981,587564,587564,0,0,274610974506,2888654477,580401,5807,1356,367,392005,0 5,2,2024-09-07 09:07:31:848,420527,420527,0,0,18857161,0,2432 5,3,2024-09-07 09:07:31:746,1,335,16,0,457,4938,335,0 6,0,2024-09-07 09:07:30:919,84816,0.4,84290,0.6,168613,0.4,224888,2.00 6,1,2024-09-07 09:07:30:746,587459,587459,0,0,274881962307,2874226603,580850,5524,1085,379,391694,0 6,2,2024-09-07 09:07:31:126,426482,426482,0,0,18140158,0,4816 6,3,2024-09-07 09:07:31:279,1,335,5,0,340,3716,335,0 7,0,2024-09-07 09:07:31:545,79520,0.5,79846,0.6,159033,0.4,212296,1.75 7,1,2024-09-07 09:07:30:860,586934,586934,0,0,274655773924,2885087855,578864,6894,1176,382,391747,0 7,2,2024-09-07 09:07:30:775,425875,425875,0,0,18324867,0,4791 7,3,2024-09-07 09:07:30:855,1,335,1,0,398,3848,335,0 8,0,2024-09-07 09:07:31:375,85741,0.4,85659,0.5,171411,0.3,228196,1.75 8,1,2024-09-07 09:07:31:020,586188,586188,0,0,274437838101,2891803626,576040,7871,2277,366,392144,0 8,2,2024-09-07 09:07:30:801,416266,416266,0,0,22246774,0,3220 8,3,2024-09-07 09:07:30:586,1,335,22,0,538,5815,335,0 9,0,2024-09-07 09:07:31:187,86499,0.4,84116,0.5,175942,0.4,230585,1.75 9,1,2024-09-07 09:07:30:564,586986,586986,0,0,274419058489,2894882677,577939,6922,2125,369,392001,0 9,2,2024-09-07 09:07:31:090,421330,421330,0,0,19645368,0,3360 9,3,2024-09-07 09:07:31:758,1,335,17,0,496,5005,335,0 10,0,2024-09-07 09:07:31:606,85121,0.3,84454,0.5,169662,0.2,225946,1.75 10,1,2024-09-07 09:07:30:592,588104,588104,0,0,275441342247,2886551969,580221,6774,1109,381,391741,0 10,2,2024-09-07 09:07:30:769,426941,426941,0,0,21420729,0,4264 10,3,2024-09-07 09:07:30:881,1,335,1,0,296,3000,335,0 11,0,2024-09-07 09:07:31:021,79539,0.4,77169,0.6,161354,0.4,214088,1.75 11,1,2024-09-07 09:07:30:578,587916,587916,0,0,275163468463,2892358294,577902,7566,2448,383,391756,0 11,2,2024-09-07 09:07:31:125,425649,425649,0,0,19355577,0,4130 11,3,2024-09-07 09:07:31:299,1,335,4,0,843,5246,335,0 12,0,2024-09-07 09:07:30:987,85820,0.4,85731,0.5,171324,0.3,227855,1.75 12,1,2024-09-07 09:07:30:942,588221,588221,0,0,274286057347,2862286031,583365,4365,491,370,391870,0 12,2,2024-09-07 09:07:31:583,420880,420880,0,0,18903712,0,3469 12,3,2024-09-07 09:07:31:060,1,335,18,0,386,5478,335,0 13,0,2024-09-07 09:07:31:377,85815,0.4,85436,0.6,170542,0.4,227779,1.75 13,1,2024-09-07 09:07:31:537,587389,587389,0,0,274403373284,2887518177,581482,4497,1410,382,391740,0 13,2,2024-09-07 09:07:30:626,423286,423286,0,0,17312847,0,3287 13,3,2024-09-07 09:07:31:762,1,335,1,0,522,5462,335,0 14,0,2024-09-07 09:07:30:561,86151,0.4,86801,0.6,171773,0.3,229003,1.75 14,1,2024-09-07 09:07:31:562,591677,591677,0,0,275951404611,2859775166,586992,4326,359,364,391571,0 14,2,2024-09-07 09:07:30:776,424032,424002,30,0,19073215,0,6104 14,3,2024-09-07 09:07:31:131,1,335,6,0,1168,4092,335,0 15,0,2024-09-07 09:07:31:557,80586,0.4,80651,0.6,161431,0.4,215066,2.00 15,1,2024-09-07 09:07:31:609,588845,588845,0,0,275525225912,2870141974,584505,3518,822,381,391619,0 15,2,2024-09-07 09:07:30:998,427716,427716,0,0,15662774,0,3622 15,3,2024-09-07 09:07:31:411,1,335,1,0,1126,6224,335,0 16,0,2024-09-07 09:07:30:988,83456,0.6,83749,0.7,167062,0.6,223031,2.00 16,1,2024-09-07 09:07:30:581,589118,589118,0,0,275718333879,2878517844,584832,3926,360,370,391756,0 16,2,2024-09-07 09:07:31:447,422250,422250,0,0,17959300,0,4719 16,3,2024-09-07 09:07:31:143,1,335,6,0,317,4671,335,0 17,0,2024-09-07 09:07:31:783,87037,0.7,85000,0.8,166188,0.7,225525,2.00 17,1,2024-09-07 09:07:30:594,587412,587412,0,0,273585584915,2872576694,581173,4981,1258,368,392075,0 17,2,2024-09-07 09:07:31:686,424357,424357,0,0,17610276,0,2857 17,3,2024-09-07 09:07:30:582,1,335,1,0,298,5550,335,0 18,0,2024-09-07 09:07:30:947,84630,0.6,84989,0.8,169439,0.6,226187,2.25 18,1,2024-09-07 09:07:31:645,589490,589490,0,0,275517729450,2856255382,586154,3025,311,367,391649,0 18,2,2024-09-07 09:07:31:754,424214,424214,0,0,16328515,0,3541 18,3,2024-09-07 09:07:30:896,1,335,0,0,163,2928,335,0 19,0,2024-09-07 09:07:31:561,82648,0.6,83297,0.8,164835,0.5,219104,2.25 19,1,2024-09-07 09:07:30:603,589776,589776,0,0,275873203739,2863457210,584652,4285,839,367,391777,0 19,2,2024-09-07 09:07:31:753,427764,427764,0,0,15115068,0,3988 19,3,2024-09-07 09:07:31:133,1,335,1,0,524,2583,335,0 20,0,2024-09-07 09:07:31:418,80622,0.5,80495,0.7,160948,0.5,215373,2.00 20,1,2024-09-07 09:07:30:610,587681,587681,0,0,275675923903,2880056302,583349,3927,405,369,391886,0 20,2,2024-09-07 09:07:30:933,425092,425092,0,0,18280738,0,3721 20,3,2024-09-07 09:07:30:635,1,335,28,0,414,5374,335,0 21,0,2024-09-07 09:07:31:247,85093,0.5,85102,0.7,170077,0.5,225455,2.00 21,1,2024-09-07 09:07:31:613,587043,587043,0,0,273944937021,2887842046,579059,6104,1880,368,392016,0 21,2,2024-09-07 09:07:31:088,418682,418682,0,0,21280544,0,3747 21,3,2024-09-07 09:07:31:407,1,335,4,0,713,4033,335,0 22,0,2024-09-07 09:07:31:729,86077,0.6,86478,0.7,173035,0.5,229180,2.25 22,1,2024-09-07 09:07:31:023,587997,587997,0,0,274577431164,2886911734,579507,6670,1820,382,391667,0 22,2,2024-09-07 09:07:30:760,420805,420805,0,0,17342333,0,3134 22,3,2024-09-07 09:07:31:072,1,335,1,0,228,2836,335,0 23,0,2024-09-07 09:07:31:368,84018,0.5,84009,0.7,168184,0.5,224351,2.25 23,1,2024-09-07 09:07:31:007,587734,587734,0,0,275392055470,2894257726,577240,7336,3158,365,391690,0 23,2,2024-09-07 09:07:31:092,427728,427728,0,0,17239006,0,3010 23,3,2024-09-07 09:07:31:753,1,335,2,0,720,4736,335,0 24,0,2024-09-07 09:07:30:964,80471,0.4,80458,0.5,161412,0.3,214363,1.75 24,1,2024-09-07 09:07:30:594,587112,587112,0,0,274374791838,2880405639,580218,5366,1528,368,392269,0 24,2,2024-09-07 09:07:31:090,424680,424680,0,0,21086097,0,3607 24,3,2024-09-07 09:07:31:709,1,335,11,0,468,4524,335,0 25,0,2024-09-07 09:07:31:396,87979,0.4,85742,0.6,168025,0.4,229727,2.00 25,1,2024-09-07 09:07:30:557,588298,588298,0,0,275219936952,2889361016,580611,6318,1369,371,391928,0 25,2,2024-09-07 09:07:31:642,419354,419354,0,0,21012404,0,3978 25,3,2024-09-07 09:07:31:219,1,335,37,0,255,3345,335,0 26,0,2024-09-07 09:07:31:721,85326,0.4,83375,0.6,174963,0.4,228145,2.00 26,1,2024-09-07 09:07:31:554,588389,588389,0,0,274199827600,2875324470,579541,7312,1536,380,391748,0 26,2,2024-09-07 09:07:30:874,422369,422369,0,0,20766767,0,2809 26,3,2024-09-07 09:07:31:716,1,335,7,0,796,4402,335,0 27,0,2024-09-07 09:07:31:725,85645,0.4,85699,0.6,169982,0.4,227106,2.00 27,1,2024-09-07 09:07:31:676,590482,590482,0,0,276206495215,2876591858,585212,4504,766,381,391626,0 27,2,2024-09-07 09:07:30:880,423221,423156,65,0,20580500,0,5699 27,3,2024-09-07 09:07:31:016,1,335,1,0,564,3637,335,0 28,0,2024-09-07 09:07:31:437,80303,0.4,80035,0.6,160421,0.3,214017,2.00 28,1,2024-09-07 09:07:30:804,589449,589449,0,0,276306932948,2879954919,585069,3677,703,383,391698,0 28,2,2024-09-07 09:07:31:765,426147,426147,0,0,18223429,0,2915 28,3,2024-09-07 09:07:31:776,1,335,164,0,502,3699,335,0 29,0,2024-09-07 09:07:31:367,87017,0.4,84781,0.6,166246,0.3,227134,1.75 29,1,2024-09-07 09:07:31:562,590919,590919,0,0,275939005393,2859065015,586577,3657,685,369,391753,0 29,2,2024-09-07 09:07:30:910,420168,420168,0,0,17506743,0,4986 29,3,2024-09-07 09:07:30:963,1,335,188,0,459,4215,335,0 30,0,2024-09-07 09:07:31:464,84108,0.6,81661,0.7,170938,0.5,223792,2.00 30,1,2024-09-07 09:07:30:575,590131,590131,0,0,276330752898,2871381950,585312,4109,710,381,391672,0 30,2,2024-09-07 09:07:31:278,422480,422480,0,0,16460264,0,3161 30,3,2024-09-07 09:07:30:582,1,335,24,0,519,3445,335,0 31,0,2024-09-07 09:07:31:757,85341,0.4,85687,0.6,171441,0.4,228197,2.00 31,1,2024-09-07 09:07:30:574,592491,592491,0,0,276828179413,2840268048,589948,1984,559,356,391712,0 31,2,2024-09-07 09:07:31:279,423266,423266,0,0,18272180,0,3525 31,3,2024-09-07 09:07:31:706,1,335,0,0,220,2870,335,0 32,0,2024-09-07 09:07:31:458,81771,0.3,82148,0.5,164145,0.2,218283,1.75 32,1,2024-09-07 09:07:30:828,589864,589864,0,0,276570919751,2872096077,586722,2696,446,381,391646,0 32,2,2024-09-07 09:07:30:941,427198,427198,0,0,15448310,0,3155 32,3,2024-09-07 09:07:31:016,1,335,0,0,227,2431,335,0 33,0,2024-09-07 09:07:31:520,82369,0.3,81896,0.4,164226,0.2,219509,1.50 33,1,2024-09-07 09:07:30:578,591282,591282,0,0,276962084022,2868138133,586871,3580,831,369,391730,0 33,2,2024-09-07 09:07:30:759,425015,424980,35,0,17535055,0,7012 33,3,2024-09-07 09:07:30:897,1,335,3,0,329,3554,335,0 34,0,2024-09-07 09:07:30:930,84760,0.3,87195,0.5,166764,0.2,223897,1.75 34,1,2024-09-07 09:07:31:045,591816,591816,0,0,276888093898,2846170270,590396,1412,8,367,391562,0 34,2,2024-09-07 09:07:30:776,421475,421475,0,0,16710820,0,3577 34,3,2024-09-07 09:07:31:689,1,335,0,0,299,2453,335,0 35,0,2024-09-07 09:07:30:865,85203,0.4,85569,0.5,172160,0.3,229129,1.75 35,1,2024-09-07 09:07:31:073,589782,589782,0,0,274998897541,2850311166,586174,2827,781,382,391589,0 35,2,2024-09-07 09:07:31:607,422358,422358,0,0,16777077,0,2653 35,3,2024-09-07 09:07:30:909,1,335,1,0,418,4244,335,0 36,0,2024-09-07 09:07:31:547,84787,0.5,84823,0.6,169542,0.4,224556,2.00 36,1,2024-09-07 09:07:30:600,589413,589413,0,0,275171433817,2875094714,582181,5574,1658,366,391759,0 36,2,2024-09-07 09:07:31:751,427342,427342,0,0,19292313,0,3875 36,3,2024-09-07 09:07:30:873,1,335,3,0,416,5353,335,0 37,0,2024-09-07 09:07:31:446,79451,0.5,79412,0.7,158969,0.4,212574,2.00 37,1,2024-09-07 09:07:30:585,588304,588297,0,7,275117994801,2875366380,581525,4686,2086,365,391570,0 37,2,2024-09-07 09:07:31:167,424312,424297,15,0,19009576,0,5815 37,3,2024-09-07 09:07:31:766,1,335,2,0,888,5534,335,0 38,0,2024-09-07 09:07:31:438,85132,0.5,82644,0.7,172935,0.4,226012,2.00 38,1,2024-09-07 09:07:31:618,590155,590155,0,0,276060656223,2874638235,584116,5281,758,368,391821,0 38,2,2024-09-07 09:07:30:760,419458,419411,47,0,19301336,0,6710 38,3,2024-09-07 09:07:30:998,1,335,1,0,689,4687,335,0 39,0,2024-09-07 09:07:31:768,88004,0.6,86108,0.7,167835,0.6,229407,2.00 39,1,2024-09-07 09:07:30:720,588196,588196,0,0,275149710458,2879697922,579763,6972,1461,365,391630,0 39,2,2024-09-07 09:07:31:425,421888,421888,0,0,17388890,0,2689 39,3,2024-09-07 09:07:30:729,1,335,1,0,324,4294,335,0 40,0,2024-09-07 09:07:31:492,84165,0.7,84816,0.9,169350,0.8,225631,2.75 40,1,2024-09-07 09:07:30:578,589284,589284,0,0,274526509161,2875492334,580729,7045,1510,368,391668,0 40,2,2024-09-07 09:07:31:303,425977,425976,1,0,20696148,0,5137 40,3,2024-09-07 09:07:31:143,1,335,2,0,1028,5000,335,0 41,0,2024-09-07 09:07:31:041,79359,1.4,81234,1.2,155120,2.2,211913,3.00 41,1,2024-09-07 09:07:30:776,588083,588083,0,0,274559018215,2872861211,581031,6349,703,369,391742,0 41,2,2024-09-07 09:07:30:759,424448,424448,0,0,19808004,0,3356 41,3,2024-09-07 09:07:31:678,1,335,0,0,366,3378,335,0 42,0,2024-09-07 09:07:31:480,84360,0.8,84609,1.0,169196,0.9,223836,2.50 42,1,2024-09-07 09:07:31:444,587554,587554,0,0,274303783143,2883827707,578026,7770,1758,380,391675,0 42,2,2024-09-07 09:07:31:134,420114,420114,0,0,19850810,0,3790 42,3,2024-09-07 09:07:31:010,1,335,19,0,446,3085,335,0 43,0,2024-09-07 09:07:30:917,84037,1.0,81926,1.1,171726,1.1,225331,2.50 43,1,2024-09-07 09:07:30:592,588434,588434,0,0,275617193525,2880808466,580202,6794,1438,366,391696,0 43,2,2024-09-07 09:07:31:741,422406,422406,0,0,19640833,0,3812 43,3,2024-09-07 09:07:31:752,1,335,1,0,467,4038,335,0 44,0,2024-09-07 09:07:30:882,85934,0.4,86311,0.6,172234,0.4,229112,1.75 44,1,2024-09-07 09:07:30:567,590188,590188,0,0,274999790397,2845457592,585127,4014,1047,356,391809,0 44,2,2024-09-07 09:07:31:275,423735,423735,0,0,16521545,0,4344 44,3,2024-09-07 09:07:31:097,1,335,0,0,817,4453,335,0 45,0,2024-09-07 09:07:31:761,79694,0.5,77783,0.7,163019,0.5,215051,2.00 45,1,2024-09-07 09:07:31:013,589383,589383,0,0,276206369454,2871420019,584949,3958,476,382,391917,0 45,2,2024-09-07 09:07:31:276,426486,426486,0,0,17111495,0,3596 45,3,2024-09-07 09:07:30:935,1,335,9,0,271,3228,335,0 46,0,2024-09-07 09:07:30:957,82891,0.6,82804,0.7,165750,0.5,220831,2.00 46,1,2024-09-07 09:07:30:582,591224,591224,0,0,276479412629,2856342568,587515,3283,426,366,391572,0 46,2,2024-09-07 09:07:30:596,423184,423184,0,0,16097552,0,2920 46,3,2024-09-07 09:07:31:133,1,335,0,0,908,4996,335,0 47,0,2024-09-07 09:07:31:117,84814,0.5,85043,0.7,170369,0.5,225041,2.00 47,1,2024-09-07 09:07:30:576,591111,591111,0,0,275899759716,2855575679,586624,3672,815,366,391641,0 47,2,2024-09-07 09:07:30:911,423500,423500,0,0,16611626,0,4477 47,3,2024-09-07 09:07:31:128,1,335,0,0,529,3713,335,0 48,0,2024-09-07 09:07:31:530,86178,0.3,85792,0.4,171055,0.2,228312,1.50 48,1,2024-09-07 09:07:31:023,589796,589796,0,0,276282870863,2869259252,586239,3254,303,384,391710,0 48,2,2024-09-07 09:07:30:727,422253,422253,0,0,15204662,0,3031 48,3,2024-09-07 09:07:30:756,1,335,1,0,339,2860,335,0 49,0,2024-09-07 09:07:31:717,85305,0.3,83789,0.5,162249,0.3,221746,1.75 49,1,2024-09-07 09:07:31:021,589468,589468,0,0,274982695851,2863742020,585149,3134,1185,382,391809,0 49,2,2024-09-07 09:07:31:799,426944,426944,0,0,16390099,0,4426 49,3,2024-09-07 09:07:31:426,1,335,1,0,408,3496,335,0 50,0,2024-09-07 09:07:31:553,80947,0.3,79808,0.4,160878,0.2,214980,1.75 50,1,2024-09-07 09:07:31:011,591565,591565,0,0,276523540254,2859310311,587746,3445,374,368,391565,0 50,2,2024-09-07 09:07:31:067,425488,425488,0,0,15338618,0,2263 50,3,2024-09-07 09:07:31:299,1,335,1,0,335,3292,335,0 51,0,2024-09-07 09:07:31:685,87203,0.3,85202,0.5,166357,0.3,226304,1.75 51,1,2024-09-07 09:07:31:682,591754,591754,0,0,276966753633,2857975505,588495,2284,975,365,391706,0 51,2,2024-09-07 09:07:31:320,420860,420860,0,0,14761787,0,3337 51,3,2024-09-07 09:07:31:028,1,335,1,0,678,2568,335,0 52,0,2024-09-07 09:07:31:436,86747,0.5,86632,0.7,173116,0.4,230277,2.00 52,1,2024-09-07 09:07:30:607,588696,588696,0,0,274914638140,2880801668,580057,7326,1313,368,391722,0 52,2,2024-09-07 09:07:31:754,418700,418662,38,0,19641877,0,6742 52,3,2024-09-07 09:07:30:679,1,335,1,0,1782,5196,335,0 53,0,2024-09-07 09:07:31:731,83960,0.6,81511,0.8,170585,0.6,223990,2.25 53,1,2024-09-07 09:07:30:834,587191,587191,0,0,275069650152,2889150321,577567,7041,2583,367,391702,0 53,2,2024-09-07 09:07:31:298,427873,427873,0,0,17149571,0,2727 53,3,2024-09-07 09:07:30:734,1,335,3,0,308,3235,335,0 54,0,2024-09-07 09:07:31:638,78986,0.6,79487,0.7,157948,0.4,211577,2.25 54,1,2024-09-07 09:07:30:592,589079,589079,0,0,276482927423,2874059164,583416,5061,602,367,391659,0 54,2,2024-09-07 09:07:30:893,426146,426140,6,0,19389932,0,5382 54,3,2024-09-07 09:07:30:776,1,335,0,0,676,5206,335,0 55,0,2024-09-07 09:07:31:763,82785,0.6,85723,0.7,172752,0.5,225834,2.50 55,1,2024-09-07 09:07:30:788,589103,589103,0,0,275531483739,2868226911,582477,5717,909,365,391731,0 55,2,2024-09-07 09:07:30:739,419082,419082,0,0,18776837,0,3563 55,3,2024-09-07 09:07:30:675,1,335,61,0,304,3812,335,0 56,0,2024-09-07 09:07:31:558,87357,1.2,82383,1.1,169802,1.7,227728,2.75 56,1,2024-09-07 09:07:30:581,586265,586265,0,0,274893697742,2902921742,577532,7103,1630,381,391867,0 56,2,2024-09-07 09:07:31:307,421732,421732,0,0,19610729,0,3567 56,3,2024-09-07 09:07:31:060,1,335,0,0,705,4436,335,0 57,0,2024-09-07 09:07:30:973,84278,1.4,84143,1.2,168660,2.0,225605,3.00 57,1,2024-09-07 09:07:31:014,587740,587740,0,0,274542630911,2878133456,580919,6101,720,366,392032,0 57,2,2024-09-07 09:07:31:320,426135,426135,0,0,21430420,0,3317 57,3,2024-09-07 09:07:31:740,1,335,0,0,359,3946,335,0 58,0,2024-09-07 09:07:30:559,78893,0.9,76754,1.0,160619,1.0,210798,2.50 58,1,2024-09-07 09:07:30:580,588778,588775,0,3,275327099735,2879189844,580656,7037,1082,367,391603,3 58,2,2024-09-07 09:07:31:076,425574,425574,0,0,19606029,0,2549 58,3,2024-09-07 09:07:31:081,1,335,11,0,1043,3617,335,0 59,0,2024-09-07 09:07:31:754,84452,0.8,84121,0.9,167949,0.8,223632,2.75 59,1,2024-09-07 09:07:30:807,588582,588582,0,0,274706261076,2876508869,580720,6342,1520,369,391586,0 59,2,2024-09-07 09:07:30:583,422011,422011,0,0,19195125,0,2867 59,3,2024-09-07 09:07:31:741,1,335,1,0,1015,4298,335,0 60,0,2024-09-07 09:07:31:710,84195,0.6,84313,0.7,169295,0.6,224420,1.75 60,1,2024-09-07 09:07:30:793,590169,590169,0,0,275722906455,2863701368,586059,3465,645,370,392031,0 60,2,2024-09-07 09:07:31:173,422255,422255,0,0,18795542,0,3811 60,3,2024-09-07 09:07:31:258,1,335,5,0,409,3946,335,0 61,0,2024-09-07 09:07:31:512,85612,0.6,86095,0.8,171222,0.6,228037,2.00 61,1,2024-09-07 09:07:30:778,588178,588178,0,0,274623318216,2880796262,581018,5836,1324,382,392127,0 61,2,2024-09-07 09:07:31:133,423489,423422,67,0,19151355,0,6411 61,3,2024-09-07 09:07:31:698,1,335,0,0,479,5074,335,0 62,0,2024-09-07 09:07:31:712,82247,0.5,84061,0.7,160286,0.5,217925,2.00 62,1,2024-09-07 09:07:31:113,592160,592154,0,6,277331147398,2863344823,588576,3319,259,365,391715,6 62,2,2024-09-07 09:07:31:651,425356,425355,1,0,18704045,0,5555 62,3,2024-09-07 09:07:31:143,1,335,1,0,465,2582,335,0 63,0,2024-09-07 09:07:31:455,82329,0.4,82192,0.6,164860,0.3,219706,1.75 63,1,2024-09-07 09:07:30:828,590220,590214,0,6,276931854903,2875788654,586283,3543,388,381,391800,6 63,2,2024-09-07 09:07:30:769,424164,424164,0,0,17005631,0,4369 63,3,2024-09-07 09:07:31:732,1,335,4,0,667,3834,335,0 64,0,2024-09-07 09:07:31:515,84064,0.5,84034,0.7,168072,0.5,222979,2.00 64,1,2024-09-07 09:07:30:757,589588,589588,0,0,275128566798,2868801067,583943,3985,1660,370,391783,0 64,2,2024-09-07 09:07:31:144,424640,424621,19,0,16696029,0,6121 64,3,2024-09-07 09:07:31:143,1,335,0,0,265,3139,335,0 65,0,2024-09-07 09:07:31:694,85004,0.7,85307,0.8,170545,0.7,227009,2.25 65,1,2024-09-07 09:07:30:879,588656,588656,0,0,275048465845,2874741634,584573,3632,451,382,391901,0 65,2,2024-09-07 09:07:31:699,423323,423323,0,0,18419590,0,3367 65,3,2024-09-07 09:07:31:683,1,335,3,0,163,3016,335,0 66,0,2024-09-07 09:07:31:764,84127,0.5,84031,0.7,168288,0.4,223504,2.00 66,1,2024-09-07 09:07:31:293,589444,589444,0,0,275677843733,2866430633,585884,3238,322,380,391653,0 66,2,2024-09-07 09:07:31:133,428812,428812,0,0,16601056,0,4956 66,3,2024-09-07 09:07:31:093,1,335,8,0,291,3213,335,0 67,0,2024-09-07 09:07:31:424,80182,0.5,79570,0.7,159962,0.5,213302,2.00 67,1,2024-09-07 09:07:30:775,589827,589826,0,1,275162380331,2865957099,585661,3414,751,380,391787,1 67,2,2024-09-07 09:07:30:594,426691,426691,0,0,15981125,0,2889 67,3,2024-09-07 09:07:31:750,1,335,4,0,338,2861,335,0 68,0,2024-09-07 09:07:30:633,85973,0.6,85609,0.7,170752,0.6,227987,2.00 68,1,2024-09-07 09:07:30:595,588259,588259,0,0,274773928232,2878719920,583445,3610,1204,381,391953,0 68,2,2024-09-07 09:07:31:049,418305,418240,65,0,21022299,0,6698 68,3,2024-09-07 09:07:30:734,1,335,1,0,417,3692,335,0 69,0,2024-09-07 09:07:31:723,85896,0.9,86356,0.9,171974,1.0,228573,2.25 69,1,2024-09-07 09:07:31:016,586167,586167,0,0,274369139843,2896114552,578193,5864,2110,384,391994,0 69,2,2024-09-07 09:07:31:737,421361,421361,0,0,21307392,0,3722 69,3,2024-09-07 09:07:30:761,1,335,4,0,698,4863,335,0 70,0,2024-09-07 09:07:31:534,84125,0.7,84059,1.0,169266,0.6,224291,2.25 70,1,2024-09-07 09:07:30:811,589786,589786,0,0,276240050864,2868195510,584936,4314,536,366,391725,0 70,2,2024-09-07 09:07:31:327,425977,425977,0,0,19322111,0,4044 70,3,2024-09-07 09:07:30:753,1,335,0,0,854,3795,335,0 71,0,2024-09-07 09:07:31:367,79301,0.8,78978,1.0,158742,0.9,212658,2.50 71,1,2024-09-07 09:07:31:601,588560,588560,0,0,275081948817,2871126886,581949,5955,656,368,391738,0 71,2,2024-09-07 09:07:31:073,425826,425826,0,0,19017852,0,4042 71,3,2024-09-07 09:07:31:750,1,335,1,0,644,4653,335,0 72,0,2024-09-07 09:07:31:027,87962,0.6,86016,0.7,167697,0.6,228122,2.00 72,1,2024-09-07 09:07:31:036,588066,588066,0,0,275096884118,2883125074,579837,6532,1697,369,391819,0 72,2,2024-09-07 09:07:31:756,419152,419152,0,0,21607536,0,3983 72,3,2024-09-07 09:07:31:754,1,335,1,0,564,5333,335,0 73,0,2024-09-07 09:07:31:112,83066,0.5,85047,0.6,174243,0.4,226401,2.00 73,1,2024-09-07 09:07:30:778,589350,589350,0,0,275052321358,2860274046,585031,3989,330,367,391858,0 73,2,2024-09-07 09:07:31:742,422452,422452,0,0,20722892,0,3701 73,3,2024-09-07 09:07:30:972,1,335,1,0,274,4504,335,0 74,0,2024-09-07 09:07:31:327,86294,0.5,88496,0.6,168966,0.4,228845,2.25 74,1,2024-09-07 09:07:30:660,588777,588777,0,0,275159805563,2873594439,582696,4818,1263,381,391681,0 74,2,2024-09-07 09:07:31:012,424086,424086,0,0,19781864,0,4253 74,3,2024-09-07 09:07:31:442,1,335,16,0,522,4713,335,0 75,0,2024-09-07 09:07:31:771,80926,0.4,80570,0.7,161291,0.4,215943,2.25 75,1,2024-09-07 09:07:31:585,588003,588003,0,0,274142478406,2865319996,581652,5526,825,380,391739,0 75,2,2024-09-07 09:07:31:352,425572,425572,0,0,19820553,0,4766 75,3,2024-09-07 09:07:31:072,1,335,1,0,702,4750,335,0 76,0,2024-09-07 09:07:30:617,83147,0.6,82554,0.8,165413,0.6,222162,2.25 76,1,2024-09-07 09:07:30:826,589042,589042,0,0,275238988968,2871410210,585123,3330,589,382,391692,0 76,2,2024-09-07 09:07:31:061,423941,423940,1,0,19055135,0,5144 76,3,2024-09-07 09:07:31:164,1,335,1,0,175,3249,335,0 77,0,2024-09-07 09:07:31:756,84550,0.7,84728,0.8,169502,0.7,225021,2.00 77,1,2024-09-07 09:07:30:830,588933,588933,0,0,275475972029,2879416544,583746,4698,489,381,391869,0 77,2,2024-09-07 09:07:31:284,422094,422094,0,0,18523838,0,3890 77,3,2024-09-07 09:07:31:129,1,335,1,0,401,3799,335,0 78,0,2024-09-07 09:07:31:727,85895,0.5,85442,0.7,171643,0.4,227580,2.00 78,1,2024-09-07 09:07:30:612,589471,589471,0,0,274456040242,2858731357,583607,4719,1145,367,391670,0 78,2,2024-09-07 09:07:31:409,423320,423320,0,0,16118934,0,3855 78,3,2024-09-07 09:07:31:133,1,335,1,0,181,3239,335,0 79,0,2024-09-07 09:07:31:358,80445,0.4,82530,0.6,168678,0.3,219027,2.25 79,1,2024-09-07 09:07:30:585,591073,591073,0,0,275978177492,2858729310,586518,3922,633,369,391682,0 79,2,2024-09-07 09:07:31:076,427535,427535,0,0,17111719,0,4195 79,3,2024-09-07 09:07:30:757,1,335,14,0,418,4302,335,0 80,0,2024-09-07 09:07:31:114,80741,0.5,82994,0.7,158974,0.5,214780,2.00 80,1,2024-09-07 09:07:31:642,589358,589358,0,0,275112423632,2861808604,586007,3172,179,368,391791,0 80,2,2024-09-07 09:07:31:102,426975,426975,0,0,16309027,0,4433 80,3,2024-09-07 09:07:30:591,1,335,1,0,190,4034,335,0 81,0,2024-09-07 09:07:31:539,84955,0.6,87008,0.7,166012,0.6,225062,2.00 81,1,2024-09-07 09:07:31:650,588395,588395,0,0,273828449138,2862685219,583540,4356,499,382,391879,0 81,2,2024-09-07 09:07:31:131,420116,420053,63,0,18137145,0,5932 81,3,2024-09-07 09:07:31:128,1,335,1,0,374,3929,335,0 82,0,2024-09-07 09:07:31:554,85996,0.5,86588,0.7,173393,0.4,229832,2.00 82,1,2024-09-07 09:07:30:592,590631,590627,0,4,275856618775,2871631687,586732,3098,797,381,391768,4 82,2,2024-09-07 09:07:31:698,423628,423628,0,0,15569873,0,3986 82,3,2024-09-07 09:07:31:759,1,335,9,0,363,3436,335,0 83,0,2024-09-07 09:07:31:533,84737,0.5,84500,0.7,168389,0.5,224320,2.00 83,1,2024-09-07 09:07:30:552,588810,588810,0,0,275115066167,2869220189,584499,3930,381,382,391709,0 83,2,2024-09-07 09:07:30:776,427099,427099,0,0,16133301,0,3393 83,3,2024-09-07 09:07:30:752,1,335,1,0,1260,4884,335,0 84,0,2024-09-07 09:07:31:790,79556,0.6,79534,0.8,159099,0.5,212951,2.25 84,1,2024-09-07 09:07:31:048,588348,588348,0,0,274540449296,2869956991,582593,5027,728,367,391967,0 84,2,2024-09-07 09:07:30:603,425797,425797,0,0,20365308,0,4757 84,3,2024-09-07 09:07:31:168,1,335,65,0,908,5143,335,0 85,0,2024-09-07 09:07:31:022,82524,0.7,82462,0.8,174998,0.7,227088,2.25 85,1,2024-09-07 09:07:30:581,586941,586941,0,0,274501398312,2897396859,578422,7147,1372,381,392006,0 85,2,2024-09-07 09:07:30:897,420106,420106,0,0,19983771,0,3656 85,3,2024-09-07 09:07:30:694,1,335,17,0,789,4426,335,0 86,0,2024-09-07 09:07:30:937,85557,0.7,87943,0.8,168351,0.8,227842,2.25 86,1,2024-09-07 09:07:30:832,588564,588564,0,0,274884422576,2878987431,581677,5821,1066,366,391961,0 86,2,2024-09-07 09:07:30:894,421110,421109,1,0,21009501,0,5004 86,3,2024-09-07 09:07:30:611,1,335,1,0,308,4613,335,0 87,0,2024-09-07 09:07:31:315,84995,0.9,84948,0.9,170543,1.1,227417,2.25 87,1,2024-09-07 09:07:30:550,587882,587882,0,0,275520364624,2882344334,581699,5571,612,366,392076,0 87,2,2024-09-07 09:07:31:073,424769,424769,0,0,19190780,0,4045 87,3,2024-09-07 09:07:31:797,1,335,2,0,473,5010,335,0 88,0,2024-09-07 09:07:31:516,80110,0.4,80710,0.6,160812,0.4,213721,1.75 88,1,2024-09-07 09:07:30:607,587000,587000,0,0,275063945657,2884187305,579304,6032,1664,365,392084,0 88,2,2024-09-07 09:07:30:702,426646,426646,0,0,21130480,0,3583 88,3,2024-09-07 09:07:31:274,1,335,0,0,435,3664,335,0 89,0,2024-09-07 09:07:31:819,87220,0.5,84370,0.7,167248,0.4,227181,1.75 89,1,2024-09-07 09:07:30:561,586927,586927,0,0,274615812048,2890392428,579173,6481,1273,382,391866,0 89,2,2024-09-07 09:07:31:135,420444,420444,0,0,20092572,0,2910 89,3,2024-09-07 09:07:31:796,1,335,2,0,385,6078,335,0 90,0,2024-09-07 09:07:31:626,81965,0.5,84024,0.7,171622,0.5,223822,2.00 90,1,2024-09-07 09:07:30:644,588521,588521,0,0,274566686398,2879432429,582318,5701,502,380,391825,0 90,2,2024-09-07 09:07:31:406,420837,420837,0,0,21313579,0,3060 90,3,2024-09-07 09:07:30:930,1,335,2,0,246,3701,335,0 91,0,2024-09-07 09:07:30:925,86149,0.5,83445,0.6,174326,0.5,228566,1.75 91,1,2024-09-07 09:07:30:556,587020,587020,0,0,274584304371,2889626513,579198,6778,1044,381,392047,0 91,2,2024-09-07 09:07:31:334,423586,423586,0,0,19281657,0,2896 91,3,2024-09-07 09:07:30:611,1,335,0,0,216,3170,335,0 92,0,2024-09-07 09:07:31:449,82535,0.4,84664,0.6,161592,0.4,218368,1.75 92,1,2024-09-07 09:07:30:592,588294,588294,0,0,274240609961,2867028635,583484,4124,686,382,392136,0 92,2,2024-09-07 09:07:31:354,427395,427395,0,0,17463539,0,3259 92,3,2024-09-07 09:07:31:011,1,335,1,0,167,2888,335,0 93,0,2024-09-07 09:07:31:014,82611,0.4,84664,0.6,161863,0.3,219678,1.75 93,1,2024-09-07 09:07:30:829,588591,588591,0,0,275167590632,2874132697,582475,5087,1029,366,391776,0 93,2,2024-09-07 09:07:30:955,423432,423432,0,0,20291081,0,4845 93,3,2024-09-07 09:07:31:406,1,335,1,0,190,3244,335,0 94,0,2024-09-07 09:07:31:661,84181,0.4,84962,0.6,169378,0.4,224558,1.75 94,1,2024-09-07 09:07:30:576,588935,588935,0,0,275612371061,2877534109,584897,3848,190,381,391850,0 94,2,2024-09-07 09:07:30:762,421175,421175,0,0,17460650,0,2443 94,3,2024-09-07 09:07:31:689,1,335,1,0,264,4142,335,0 95,0,2024-09-07 09:07:31:375,85716,0.4,85802,0.5,171993,0.3,228878,1.75 95,1,2024-09-07 09:07:30:869,590380,590380,0,0,275188142778,2863581711,585415,4566,399,367,391713,0 95,2,2024-09-07 09:07:31:017,422406,422406,0,0,17228870,0,3308 95,3,2024-09-07 09:07:31:709,1,335,1,0,718,5324,335,0 96,0,2024-09-07 09:07:31:030,84669,0.4,84887,0.5,169290,0.3,224368,1.75 96,1,2024-09-07 09:07:31:601,588243,588243,0,0,275164789712,2872091266,583722,3725,796,384,391955,0 96,2,2024-09-07 09:07:31:273,427410,427410,0,0,17272336,0,4042 96,3,2024-09-07 09:07:31:140,1,335,1,0,411,3708,335,0 97,0,2024-09-07 09:07:31:333,79942,0.3,79686,0.5,159953,0.3,213032,1.75 97,1,2024-09-07 09:07:30:779,590479,590479,0,0,276200348058,2863939407,586604,3203,672,367,392140,0 97,2,2024-09-07 09:07:30:621,426933,426933,0,0,16706567,0,3036 97,3,2024-09-07 09:07:30:602,1,335,2,0,214,3991,335,0 98,0,2024-09-07 09:07:31:703,85807,0.3,85510,0.5,171781,0.3,228039,1.50 98,1,2024-09-07 09:07:30:576,589882,589882,0,0,275637734151,2867520464,587221,2554,107,382,391997,0 98,2,2024-09-07 09:07:30:788,420639,420639,0,0,16542633,0,3080 98,3,2024-09-07 09:07:30:730,1,335,1,0,840,5408,335,0 99,0,2024-09-07 09:07:31:572,86336,0.4,86913,0.5,172899,0.3,230773,1.75 99,1,2024-09-07 09:07:31:725,589450,589450,0,0,275566241747,2869905438,585315,3381,754,381,392069,0 99,2,2024-09-07 09:07:31:473,423312,423312,0,0,18679418,0,3424 99,3,2024-09-07 09:07:30:585,1,335,1,0,199,3148,335,0 100,0,2024-09-07 09:07:31:486,84605,0.7,84568,1.0,169217,0.9,226008,2.25 100,1,2024-09-07 09:07:30:770,586468,586468,0,0,274026070909,2895847279,578001,6971,1496,381,391989,0 100,2,2024-09-07 09:07:31:830,425347,425336,11,0,19690224,0,5417 100,3,2024-09-07 09:07:31:744,1,335,16,0,559,5926,335,0 101,0,2024-09-07 09:07:31:704,81545,0.9,79547,1.0,155811,0.7,214356,2.25 101,1,2024-09-07 09:07:30:571,586223,586223,0,0,274436064433,2890793648,577152,7047,2024,368,391771,0 101,2,2024-09-07 09:07:31:757,423921,423921,0,0,22758662,0,4644 101,3,2024-09-07 09:07:30:951,1,335,1,0,579,4485,335,0 102,0,2024-09-07 09:07:30:948,82934,0.7,85808,0.8,173228,0.7,226895,2.00 102,1,2024-09-07 09:07:31:148,587256,587256,0,0,274602334470,2885006297,579941,6283,1032,369,391891,0 102,2,2024-09-07 09:07:31:759,421178,421124,54,0,18990699,0,6768 102,3,2024-09-07 09:07:31:642,1,335,28,0,410,3644,335,0 103,0,2024-09-07 09:07:31:601,87856,0.7,87815,0.8,165566,0.7,228319,2.00 103,1,2024-09-07 09:07:31:635,586449,586449,0,0,274533678918,2896328829,577631,6822,1996,381,391829,0 103,2,2024-09-07 09:07:30:584,421108,421108,0,0,20039591,0,3173 103,3,2024-09-07 09:07:30:757,1,335,2,0,916,4234,335,0 104,0,2024-09-07 09:07:31:025,84974,0.7,85343,1.0,169517,0.7,228167,2.25 104,1,2024-09-07 09:07:31:601,587968,587968,0,0,274172501689,2884503882,579064,7206,1698,365,392168,0 104,2,2024-09-07 09:07:31:691,422773,422773,0,0,20892157,0,3941 104,3,2024-09-07 09:07:31:417,1,335,87,0,1245,7511,335,0 105,0,2024-09-07 09:07:31:049,79878,0.8,77716,1.1,162681,1.0,214323,2.75 105,1,2024-09-07 09:07:30:564,588269,588269,0,0,274879131630,2885101611,580115,6821,1333,366,391797,0 105,2,2024-09-07 09:07:31:323,425553,425553,0,0,20228001,0,3509 105,3,2024-09-07 09:07:31:309,1,335,0,0,399,5334,335,0 106,0,2024-09-07 09:07:30:946,80555,0.9,82455,1.0,168787,1.0,221514,2.50 106,1,2024-09-07 09:07:31:754,587043,587043,0,0,274739340953,2890095862,577469,8281,1293,369,391914,0 106,2,2024-09-07 09:07:30:760,420428,420428,0,0,19923788,0,2795 106,3,2024-09-07 09:07:30:696,1,335,1,0,470,4324,335,0 107,0,2024-09-07 09:07:31:101,84425,1.2,84332,1.0,168782,1.7,224724,2.25 107,1,2024-09-07 09:07:30:586,586443,586443,0,0,273948576526,2887731031,578477,7052,914,381,392234,0 107,2,2024-09-07 09:07:31:293,420436,420435,1,0,20342124,0,5024 107,3,2024-09-07 09:07:31:755,1,335,1,0,353,4753,335,0 108,0,2024-09-07 09:07:31:816,85403,0.5,85879,0.6,170872,0.4,228162,1.75 108,1,2024-09-07 09:07:31:300,588814,588814,0,0,275640215115,2876663758,583728,4568,518,368,391857,0 108,2,2024-09-07 09:07:31:766,421578,421578,0,0,19487762,0,4246 108,3,2024-09-07 09:07:31:340,1,335,14,0,749,6753,335,0 109,0,2024-09-07 09:07:31:778,83708,0.4,82689,0.5,165777,0.3,221411,1.75 109,1,2024-09-07 09:07:30:621,586749,586749,0,0,275417277804,2889824547,581545,4540,664,383,392132,0 109,2,2024-09-07 09:07:30:921,424794,424794,0,0,19096580,0,3617 109,3,2024-09-07 09:07:31:143,1,335,1,0,379,4055,335,0 110,0,2024-09-07 09:07:31:760,80713,0.4,78455,0.6,164384,0.3,215606,1.75 110,1,2024-09-07 09:07:31:650,589913,589913,0,0,275963796985,2864916645,585915,3024,974,369,392045,0 110,2,2024-09-07 09:07:31:303,424990,424990,0,0,18842535,0,4067 110,3,2024-09-07 09:07:30:695,1,335,1,0,722,5090,335,0 111,0,2024-09-07 09:07:31:426,85417,0.4,84742,0.6,169668,0.4,226525,1.75 111,1,2024-09-07 09:07:31:008,590056,590056,0,0,277044170597,2875875115,586676,3028,352,382,391690,0 111,2,2024-09-07 09:07:31:129,419654,419654,0,0,18145065,0,4823 111,3,2024-09-07 09:07:30:913,1,335,3,0,379,4111,335,0 112,0,2024-09-07 09:07:30:931,87177,0.3,86528,0.4,173513,0.2,230602,1.50 112,1,2024-09-07 09:07:30:830,590105,590105,0,0,275897412219,2862494332,586644,2877,584,380,391624,0 112,2,2024-09-07 09:07:31:143,422353,422352,1,0,16548904,0,5036 112,3,2024-09-07 09:07:30:603,1,335,8,0,282,3459,335,0 113,0,2024-09-07 09:07:30:883,84292,0.3,84382,0.5,169306,0.2,225615,1.50 113,1,2024-09-07 09:07:31:690,592160,592160,0,0,276403392300,2852448633,588879,2697,584,366,391661,0 113,2,2024-09-07 09:07:31:304,428511,428511,0,0,15287037,0,3813 113,3,2024-09-07 09:07:30:693,1,335,1,0,340,4052,335,0 114,0,2024-09-07 09:07:30:905,80482,0.3,81066,0.5,160861,0.2,215059,1.75 114,1,2024-09-07 09:07:30:725,589731,589731,0,0,275495662233,2863967699,584607,3530,1594,381,391556,0 114,2,2024-09-07 09:07:30:899,426912,426911,1,0,16885571,0,5069 114,3,2024-09-07 09:07:31:283,1,335,0,0,395,2961,335,0 115,0,2024-09-07 09:07:30:555,85486,0.3,86111,0.4,172096,0.2,229365,1.50 115,1,2024-09-07 09:07:30:603,589454,589454,0,0,275144420185,2858530617,584590,4024,840,382,391757,0 115,2,2024-09-07 09:07:31:125,421808,421808,0,0,15496304,0,3453 115,3,2024-09-07 09:07:31:010,1,335,1,0,159,1978,335,0 116,0,2024-09-07 09:07:31:703,85299,0.9,85127,0.9,170708,1.0,228822,2.25 116,1,2024-09-07 09:07:30:828,586209,586209,0,0,274246548726,2894501653,578609,5332,2268,380,391782,0 116,2,2024-09-07 09:07:31:821,421210,421210,0,0,21119197,0,3529 116,3,2024-09-07 09:07:30:915,1,335,3,0,415,4222,335,0 117,0,2024-09-07 09:07:30:957,85528,0.7,85047,0.8,170353,0.8,227820,2.00 117,1,2024-09-07 09:07:31:584,587833,587833,0,0,274909885922,2878992773,581528,5637,668,370,392033,0 117,2,2024-09-07 09:07:31:129,428346,428346,0,0,17581731,0,3700 117,3,2024-09-07 09:07:31:064,1,335,5,0,490,4939,335,0 118,0,2024-09-07 09:07:31:775,77837,0.5,79838,0.7,163088,0.5,212908,2.00 118,1,2024-09-07 09:07:30:595,587577,587577,0,0,274688383236,2889018663,578783,6896,1898,366,391907,0 118,2,2024-09-07 09:07:31:590,425395,425395,0,0,19418844,0,2781 118,3,2024-09-07 09:07:31:769,1,335,6,0,235,3715,335,0 119,0,2024-09-07 09:07:31:355,84284,0.6,84742,0.8,169510,0.6,225568,2.00 119,1,2024-09-07 09:07:30:548,588854,588854,0,0,275458066718,2881967381,582287,5730,837,367,391780,0 119,2,2024-09-07 09:07:31:273,421761,421761,0,0,18085930,0,4174 119,3,2024-09-07 09:07:31:326,1,335,1,0,563,5146,335,0 120,0,2024-09-07 09:07:31:603,83978,0.7,83695,0.9,167596,0.7,224394,2.25 120,1,2024-09-07 09:07:30:862,588007,588007,0,0,274542470506,2882654096,581588,5863,556,368,391961,0 120,2,2024-09-07 09:07:30:777,421960,421959,1,0,21142553,0,5281 120,3,2024-09-07 09:07:31:291,1,335,31,0,241,4243,335,0 121,0,2024-09-07 09:07:31:695,85409,1.0,85598,1.0,171775,1.3,228234,2.25 121,1,2024-09-07 09:07:31:658,588818,588818,0,0,275317885829,2881717711,582911,5470,437,367,391840,0 121,2,2024-09-07 09:07:31:137,422409,422409,0,0,20396162,0,4127 121,3,2024-09-07 09:07:30:745,1,335,1,0,269,3882,335,0 122,0,2024-09-07 09:07:31:762,81610,0.7,79574,0.8,166748,0.8,218316,2.00 122,1,2024-09-07 09:07:30:893,587503,587503,0,0,274848878237,2886164642,579044,7198,1261,366,392130,0 122,2,2024-09-07 09:07:31:320,426142,426069,73,0,22886043,0,5989 122,3,2024-09-07 09:07:30:603,1,335,1,0,411,6001,335,0 123,0,2024-09-07 09:07:30:988,81736,0.7,79942,0.8,166688,0.8,219255,2.00 123,1,2024-09-07 09:07:30:584,586984,586984,0,0,275010901301,2901895277,575544,9314,2126,369,392039,0 123,2,2024-09-07 09:07:31:019,421983,421982,1,0,19789851,0,5215 123,3,2024-09-07 09:07:31:140,1,335,1,0,168,3871,335,0 124,0,2024-09-07 09:07:30:923,87135,0.4,87206,0.5,164338,0.3,225443,1.75 124,1,2024-09-07 09:07:31:022,589795,589795,0,0,275200548440,2865186795,585078,3807,910,367,392178,0 124,2,2024-09-07 09:07:31:011,422251,422198,53,0,17707364,0,6487 124,3,2024-09-07 09:07:30:759,1,335,5,0,490,3465,335,0 125,0,2024-09-07 09:07:31:486,86152,0.4,85642,0.6,172402,0.4,229236,1.75 125,1,2024-09-07 09:07:30:901,588396,588396,0,0,275276250678,2875970479,583517,4235,644,383,391702,0 125,2,2024-09-07 09:07:31:122,422488,422488,0,0,18353258,0,4534 125,3,2024-09-07 09:07:31:146,1,335,19,0,709,4606,335,0 126,0,2024-09-07 09:07:31:429,84499,0.4,86800,0.6,166423,0.4,225224,1.75 126,1,2024-09-07 09:07:30:562,590242,590242,0,0,275592165327,2857560435,586507,3452,283,365,391987,0 126,2,2024-09-07 09:07:30:629,427638,427638,0,0,18463487,0,4539 126,3,2024-09-07 09:07:30:909,1,335,9,0,207,4223,335,0 127,0,2024-09-07 09:07:31:615,79731,0.3,80295,0.5,159864,0.3,212959,1.75 127,1,2024-09-07 09:07:30:595,589544,589544,0,0,275313725382,2861632381,584147,4537,860,364,392187,0 127,2,2024-09-07 09:07:30:665,425637,425637,0,0,17017894,0,3897 127,3,2024-09-07 09:07:31:272,1,335,3,0,968,4013,335,0 128,0,2024-09-07 09:07:31:528,85905,0.3,86175,0.5,172391,0.2,228366,1.50 128,1,2024-09-07 09:07:31:624,589329,589329,0,0,275866964888,2865690721,585228,3730,371,367,391798,0 128,2,2024-09-07 09:07:31:386,421361,421361,0,0,15904988,0,2915 128,3,2024-09-07 09:07:30:786,1,335,3,0,1082,5919,335,0 129,0,2024-09-07 09:07:31:015,86929,0.3,86531,0.5,173581,0.3,230834,1.75 129,1,2024-09-07 09:07:30:621,586805,586805,0,0,274962288517,2880433537,581162,4387,1256,379,391835,0 129,2,2024-09-07 09:07:30:984,422918,422918,0,0,16798682,0,4031 129,3,2024-09-07 09:07:30:715,1,335,44,0,469,4472,335,0 130,0,2024-09-07 09:07:31:720,85515,0.5,84970,0.6,171064,0.5,227311,1.75 130,1,2024-09-07 09:07:30:592,589571,589571,0,0,275442327063,2864969974,586156,3136,279,381,391825,0 130,2,2024-09-07 09:07:31:129,428122,428122,0,0,16738174,0,4067 130,3,2024-09-07 09:07:31:293,1,335,5,0,450,4151,335,0 131,0,2024-09-07 09:07:31:924,79694,0.3,80234,0.5,161344,0.3,214105,1.75 131,1,2024-09-07 09:07:31:821,589738,589738,0,0,275501755749,2875943867,585261,3730,747,381,391865,0 131,2,2024-09-07 09:07:30:574,428291,428291,0,0,15466784,0,2415 131,3,2024-09-07 09:07:31:689,1,335,0,0,392,3522,335,0 132,0,2024-09-07 09:07:31:460,85133,0.4,85848,0.6,171204,0.4,227611,1.75 132,1,2024-09-07 09:07:30:597,586499,586499,0,0,273971301919,2887018618,577860,7174,1465,381,392097,0 132,2,2024-09-07 09:07:30:727,420268,420268,0,0,20567414,0,4606 132,3,2024-09-07 09:07:31:699,1,335,7,0,804,6202,335,0 133,0,2024-09-07 09:07:31:525,83149,0.5,84883,0.6,174354,0.4,226952,2.00 133,1,2024-09-07 09:07:30:592,586700,586700,0,0,273932977660,2888959180,578527,7090,1083,383,391914,0 133,2,2024-09-07 09:07:31:088,421386,421386,0,0,21768187,0,4315 133,3,2024-09-07 09:07:31:297,1,335,55,0,479,3629,335,0 134,0,2024-09-07 09:07:30:985,86101,0.5,86004,0.7,172013,0.5,229387,2.00 134,1,2024-09-07 09:07:30:611,587189,587189,0,0,273816516529,2876964527,578935,6398,1856,366,391718,0 134,2,2024-09-07 09:07:31:756,423640,423640,0,0,18261684,0,3847 134,3,2024-09-07 09:07:30:756,1,335,1,0,739,4408,335,0 135,0,2024-09-07 09:07:31:124,78033,0.7,78111,0.8,165551,0.7,214084,2.00 135,1,2024-09-07 09:07:31:595,587648,587648,0,0,275185580878,2898056524,579215,7196,1237,380,391805,0 135,2,2024-09-07 09:07:30:695,426953,426953,0,0,19780296,0,3981 135,3,2024-09-07 09:07:31:011,1,335,1,0,299,2637,335,0 136,0,2024-09-07 09:07:31:653,83815,0.6,83910,0.7,167052,0.5,223427,2.25 136,1,2024-09-07 09:07:31:447,587361,587361,0,0,274417842650,2882919174,580347,6318,696,382,391685,0 136,2,2024-09-07 09:07:31:152,422574,422574,0,0,19417932,0,3506 136,3,2024-09-07 09:07:31:108,1,335,6,0,637,3871,335,0 137,0,2024-09-07 09:07:30:942,87184,0.7,84879,0.8,166585,0.8,226101,2.00 137,1,2024-09-07 09:07:30:605,587181,587181,0,0,274754062899,2886287589,577604,7696,1881,366,391708,0 137,2,2024-09-07 09:07:31:712,421223,421223,0,0,21706739,0,3185 137,3,2024-09-07 09:07:30:787,1,335,2,0,382,4040,335,0 138,0,2024-09-07 09:07:31:765,85027,1.3,85107,1.1,170977,1.8,227134,2.25 138,1,2024-09-07 09:07:31:685,587969,587969,0,0,275473279342,2894166781,578926,7447,1596,368,391954,0 138,2,2024-09-07 09:07:30:593,422243,422243,0,0,19497202,0,4988 138,3,2024-09-07 09:07:30:621,1,335,1,0,1160,4829,335,0 139,0,2024-09-07 09:07:31:362,82073,1.2,82415,1.0,165190,1.7,219603,2.25 139,1,2024-09-07 09:07:30:606,585494,585494,0,0,273523576256,2908083557,574133,8717,2644,381,391892,0 139,2,2024-09-07 09:07:30:693,424008,424008,0,0,21193546,0,3097 139,3,2024-09-07 09:07:31:674,1,335,10,0,257,3732,335,0 140,0,2024-09-07 09:07:31:590,81120,0.3,80556,0.5,161857,0.2,215930,1.75 140,1,2024-09-07 09:07:31:536,591497,591497,0,0,276613562231,2854135630,588293,2766,438,365,391606,0 140,2,2024-09-07 09:07:30:696,425400,425399,1,0,17538618,0,5036 140,3,2024-09-07 09:07:30:790,1,335,0,0,247,2730,335,0 141,0,2024-09-07 09:07:31:698,85191,0.4,87464,0.5,167064,0.3,226451,1.75 141,1,2024-09-07 09:07:30:859,590039,590039,0,0,275407932254,2863279007,585478,3699,862,379,391614,0 141,2,2024-09-07 09:07:31:688,420469,420469,0,0,17028512,0,3360 141,3,2024-09-07 09:07:31:045,1,335,1,0,391,3859,335,0 142,0,2024-09-07 09:07:31:340,87305,0.3,86478,0.5,172914,0.3,231031,1.75 142,1,2024-09-07 09:07:30:610,589850,589850,0,0,275341825519,2872602091,585888,3611,351,382,392102,0 142,2,2024-09-07 09:07:31:303,421560,421528,32,0,18503613,0,6028 142,3,2024-09-07 09:07:31:746,1,335,3,0,484,4153,335,0 143,0,2024-09-07 09:07:31:409,84686,0.4,84442,0.6,169340,0.4,225904,1.75 143,1,2024-09-07 09:07:30:595,589949,589949,0,0,275872092277,2863707364,586177,3622,150,367,391705,0 143,2,2024-09-07 09:07:30:797,427238,427238,0,0,17896327,0,3123 143,3,2024-09-07 09:07:31:142,1,335,6,0,303,3979,335,0 144,0,2024-09-07 09:07:31:541,77680,0.5,79764,0.8,162435,0.4,213218,2.00 144,1,2024-09-07 09:07:30:575,587454,587454,0,0,273912109667,2871953917,582601,3912,941,381,391649,0 144,2,2024-09-07 09:07:31:754,426872,426872,0,0,16175535,0,3473 144,3,2024-09-07 09:07:31:740,1,335,1,0,249,3440,335,0 145,0,2024-09-07 09:07:31:360,82755,0.6,82785,0.8,175690,0.6,226887,2.25 145,1,2024-09-07 09:07:30:554,586663,586663,0,0,274696351526,2889523462,579363,6160,1140,382,391759,0 145,2,2024-09-07 09:07:31:438,418828,418828,0,0,19705355,0,3903 145,3,2024-09-07 09:07:30:907,1,335,2,0,622,5101,335,0 146,0,2024-09-07 09:07:31:662,85591,0.6,84999,0.8,171415,0.6,227440,2.25 146,1,2024-09-07 09:07:31:636,588339,588339,0,0,274843399243,2892106580,578639,7690,2010,368,391770,0 146,2,2024-09-07 09:07:31:710,420986,420986,0,0,19461191,0,2730 146,3,2024-09-07 09:07:31:285,1,335,35,0,1520,7049,335,0 147,0,2024-09-07 09:07:31:696,85558,0.6,85216,0.7,169771,0.6,227391,2.25 147,1,2024-09-07 09:07:31:372,589848,589848,0,0,275850863912,2872297255,584188,4860,800,368,391791,0 147,2,2024-09-07 09:07:31:015,425978,425978,0,0,17300412,0,2789 147,3,2024-09-07 09:07:30:913,1,335,1,0,730,4938,335,0 0,0,2024-09-07 09:07:41:758,82118,0.7,82148,0.8,174277,0.8,225215,2.00 0,1,2024-09-07 09:07:40:874,590368,590368,0,0,275903241360,2885645504,586239,3884,245,370,391896,0 0,2,2024-09-07 09:07:41:092,424109,424109,0,0,17061719,0,4480 0,3,2024-09-07 09:07:40:987,1,336,9,0,431,4634,336,0 1,0,2024-09-07 09:07:41:815,85909,0.8,85362,0.9,171498,1.0,228818,2.00 1,1,2024-09-07 09:07:40:603,589234,589234,0,0,275668072979,2887489482,583638,4370,1226,370,391857,0 1,2,2024-09-07 09:07:40:653,423853,423853,0,0,16550714,0,3267 1,3,2024-09-07 09:07:41:316,1,336,8,0,262,3938,336,0 2,0,2024-09-07 09:07:41:570,81844,0.6,82190,0.7,163165,0.7,218243,2.00 2,1,2024-09-07 09:07:40:875,591567,591567,0,0,277037296308,2876923664,588671,2603,293,380,391745,0 2,2,2024-09-07 09:07:41:269,427998,427998,0,0,16507139,0,3594 2,3,2024-09-07 09:07:40:703,1,336,1,0,357,3390,336,0 3,0,2024-09-07 09:07:41:749,82535,0.4,82459,0.6,164921,0.3,220325,1.75 3,1,2024-09-07 09:07:41:617,590100,590100,0,0,275677413398,2871369795,585002,4475,623,380,391591,0 3,2,2024-09-07 09:07:41:144,424865,424842,23,0,16918164,0,5851 3,3,2024-09-07 09:07:41:757,1,336,3,0,207,2218,336,0 4,0,2024-09-07 09:07:41:791,82129,0.4,84645,0.5,171886,0.4,224828,1.75 4,1,2024-09-07 09:07:40:604,588806,588806,0,0,275193985691,2900041710,581361,6089,1356,371,391992,0 4,2,2024-09-07 09:07:41:029,421109,421109,0,0,19753822,0,4528 4,3,2024-09-07 09:07:41:028,1,336,17,0,448,4776,336,0 5,0,2024-09-07 09:07:41:377,85954,0.5,86291,0.6,172260,0.4,228855,1.75 5,1,2024-09-07 09:07:40:763,589336,589336,0,0,275630034146,2899080710,582170,5810,1356,367,392005,0 5,2,2024-09-07 09:07:41:840,421998,421998,0,0,18906534,0,2432 5,3,2024-09-07 09:07:41:739,1,336,2,0,457,4940,336,0 6,0,2024-09-07 09:07:40:940,85012,0.4,84486,0.6,169007,0.4,225410,2.00 6,1,2024-09-07 09:07:40:747,589277,589277,0,0,275701695285,2882775091,582667,5525,1085,379,391694,0 6,2,2024-09-07 09:07:41:121,427555,427555,0,0,18170345,0,4816 6,3,2024-09-07 09:07:41:273,1,336,1,0,340,3717,336,0 7,0,2024-09-07 09:07:41:550,79943,0.5,80298,0.6,159914,0.4,213404,1.75 7,1,2024-09-07 09:07:40:854,588613,588613,0,0,275401975130,2892707969,580543,6894,1176,382,391747,0 7,2,2024-09-07 09:07:40:776,426907,426907,0,0,18347875,0,4791 7,3,2024-09-07 09:07:40:855,1,336,4,0,398,3852,336,0 8,0,2024-09-07 09:07:41:489,85865,0.4,85780,0.5,171661,0.3,228496,1.75 8,1,2024-09-07 09:07:41:201,587969,587969,0,0,275322728827,2900823175,577820,7872,2277,366,392144,0 8,2,2024-09-07 09:07:40:795,417718,417718,0,0,22274849,0,3220 8,3,2024-09-07 09:07:40:595,1,336,3,0,538,5818,336,0 9,0,2024-09-07 09:07:41:183,86793,0.4,84406,0.5,176494,0.4,231360,1.75 9,1,2024-09-07 09:07:40:586,588723,588723,0,0,275364798335,2904526748,579674,6924,2125,369,392001,0 9,2,2024-09-07 09:07:41:093,422576,422576,0,0,19671527,0,3360 9,3,2024-09-07 09:07:41:753,1,336,4,0,496,5009,336,0 10,0,2024-09-07 09:07:41:613,85332,0.3,84644,0.5,170089,0.2,226535,1.75 10,1,2024-09-07 09:07:40:587,589857,589857,0,0,276182439469,2894132739,581974,6774,1109,381,391741,0 10,2,2024-09-07 09:07:40:780,428102,428102,0,0,21442263,0,4264 10,3,2024-09-07 09:07:40:875,1,336,1,0,296,3001,336,0 11,0,2024-09-07 09:07:41:014,79991,0.4,77638,0.6,162286,0.3,215311,1.75 11,1,2024-09-07 09:07:40:575,589626,589626,0,0,276038484527,2901276737,579612,7566,2448,383,391756,0 11,2,2024-09-07 09:07:41:123,427000,427000,0,0,19391607,0,4130 11,3,2024-09-07 09:07:41:303,1,336,112,0,843,5358,336,0 12,0,2024-09-07 09:07:41:062,86103,0.4,86017,0.5,171912,0.3,228546,1.75 12,1,2024-09-07 09:07:40:964,589895,589895,0,0,275060576049,2870204542,585037,4366,492,370,391870,0 12,2,2024-09-07 09:07:41:560,422103,422103,0,0,18918491,0,3469 12,3,2024-09-07 09:07:41:067,1,336,13,0,386,5491,336,0 13,0,2024-09-07 09:07:41:393,86154,0.4,85765,0.6,171244,0.4,228689,1.75 13,1,2024-09-07 09:07:41:524,589094,589094,0,0,275152641871,2895210857,583187,4497,1410,382,391740,0 13,2,2024-09-07 09:07:40:609,424508,424508,0,0,17327117,0,3287 13,3,2024-09-07 09:07:41:768,1,336,8,0,522,5470,336,0 14,0,2024-09-07 09:07:40:575,86326,0.4,86993,0.6,172114,0.3,229524,1.75 14,1,2024-09-07 09:07:41:560,593430,593430,0,0,276706901350,2867437706,588744,4327,359,364,391571,0 14,2,2024-09-07 09:07:40:764,425581,425551,30,0,19088015,0,6104 14,3,2024-09-07 09:07:41:124,1,336,0,0,1168,4092,336,0 15,0,2024-09-07 09:07:41:555,80930,0.4,80997,0.6,162080,0.4,215948,2.00 15,1,2024-09-07 09:07:41:619,590468,590468,0,0,276528831332,2880310821,586128,3518,822,381,391619,0 15,2,2024-09-07 09:07:41:002,429160,429160,0,0,15674227,0,3622 15,3,2024-09-07 09:07:41:407,1,336,1,0,1126,6225,336,0 16,0,2024-09-07 09:07:40:956,83857,0.6,84187,0.7,167964,0.6,224221,2.00 16,1,2024-09-07 09:07:40:611,590925,590925,0,0,276473530453,2886231162,586638,3927,360,370,391756,0 16,2,2024-09-07 09:07:41:437,422940,422940,0,0,17965584,0,4719 16,3,2024-09-07 09:07:41:171,1,336,3,0,317,4674,336,0 17,0,2024-09-07 09:07:41:779,87196,0.7,85134,0.8,166414,0.7,225864,2.00 17,1,2024-09-07 09:07:40:598,589067,589067,0,0,274443021252,2881306491,582828,4981,1258,368,392075,0 17,2,2024-09-07 09:07:41:693,425878,425878,0,0,17625053,0,2857 17,3,2024-09-07 09:07:40:579,1,336,30,0,298,5580,336,0 18,0,2024-09-07 09:07:40:945,84754,0.6,85119,0.8,169694,0.6,226512,2.25 18,1,2024-09-07 09:07:41:644,591216,591216,0,0,276519511221,2866407782,587880,3025,311,367,391649,0 18,2,2024-09-07 09:07:41:757,425766,425766,0,0,16349349,0,3541 18,3,2024-09-07 09:07:40:903,1,336,2,0,163,2930,336,0 19,0,2024-09-07 09:07:41:541,82655,0.6,83306,0.8,164852,0.5,219174,2.25 19,1,2024-09-07 09:07:40:581,591559,591559,0,0,276797960217,2872823599,586435,4285,839,367,391777,0 19,2,2024-09-07 09:07:41:757,429044,429044,0,0,15124673,0,3988 19,3,2024-09-07 09:07:41:131,1,336,0,0,524,2583,336,0 20,0,2024-09-07 09:07:41:357,80982,0.5,80832,0.7,161692,0.5,216286,2.00 20,1,2024-09-07 09:07:40:589,589431,589431,0,0,276482638921,2888427014,585098,3928,405,369,391886,0 20,2,2024-09-07 09:07:40:971,426126,426126,0,0,18347927,0,3721 20,3,2024-09-07 09:07:40:609,1,336,2,0,414,5376,336,0 21,0,2024-09-07 09:07:41:186,85181,0.5,85174,0.7,170254,0.5,225695,2.00 21,1,2024-09-07 09:07:41:547,588928,588928,0,0,274936514332,2898389797,580933,6115,1880,368,392016,0 21,2,2024-09-07 09:07:41:113,420183,420183,0,0,21331514,0,3747 21,3,2024-09-07 09:07:41:406,1,336,1,0,713,4034,336,0 22,0,2024-09-07 09:07:41:734,86324,0.6,86698,0.7,173499,0.5,229717,2.25 22,1,2024-09-07 09:07:41:022,589827,589827,0,0,275212818072,2893466263,581337,6670,1820,382,391667,0 22,2,2024-09-07 09:07:40:763,422090,422090,0,0,17388528,0,3134 22,3,2024-09-07 09:07:41:073,1,336,1,0,228,2837,336,0 23,0,2024-09-07 09:07:41:372,84339,0.5,84296,0.7,168888,0.5,225223,2.25 23,1,2024-09-07 09:07:41:004,589527,589527,0,0,276165572356,2902253257,579033,7336,3158,365,391690,0 23,2,2024-09-07 09:07:41:103,429034,429034,0,0,17377176,0,3010 23,3,2024-09-07 09:07:41:762,1,336,0,0,720,4736,336,0 24,0,2024-09-07 09:07:40:876,80747,0.4,80717,0.5,161945,0.3,215052,1.75 24,1,2024-09-07 09:07:40:609,588837,588837,0,0,275246934602,2889383800,581943,5366,1528,368,392269,0 24,2,2024-09-07 09:07:41:081,425986,425986,0,0,21113208,0,3607 24,3,2024-09-07 09:07:41:699,1,336,9,0,468,4533,336,0 25,0,2024-09-07 09:07:41:418,88574,0.4,86325,0.6,169125,0.4,231304,2.00 25,1,2024-09-07 09:07:40:574,590015,590015,0,0,276009383525,2897489994,582328,6318,1369,371,391928,0 25,2,2024-09-07 09:07:41:635,420726,420726,0,0,21038254,0,3978 25,3,2024-09-07 09:07:41:016,1,336,10,0,255,3355,336,0 26,0,2024-09-07 09:07:41:729,85673,0.4,83670,0.6,175670,0.4,228991,1.75 26,1,2024-09-07 09:07:41:544,590149,590149,0,0,274956623918,2883133881,581301,7312,1536,380,391748,0 26,2,2024-09-07 09:07:40:873,423598,423598,0,0,20818435,0,2809 26,3,2024-09-07 09:07:41:715,1,336,0,0,796,4402,336,0 27,0,2024-09-07 09:07:41:749,85815,0.4,85831,0.6,170281,0.4,227487,2.00 27,1,2024-09-07 09:07:41:687,592269,592269,0,0,277175633656,2886497094,586999,4504,766,381,391626,0 27,2,2024-09-07 09:07:40:868,424669,424604,65,0,20625062,0,5699 27,3,2024-09-07 09:07:41:018,1,336,1,0,564,3638,336,0 28,0,2024-09-07 09:07:41:388,80516,0.4,80273,0.6,160908,0.3,214694,2.00 28,1,2024-09-07 09:07:40:809,591140,591140,0,0,277200142404,2889043685,586760,3677,703,383,391698,0 28,2,2024-09-07 09:07:41:763,427440,427440,0,0,18235974,0,2915 28,3,2024-09-07 09:07:41:775,1,336,1,0,502,3700,336,0 29,0,2024-09-07 09:07:41:357,87421,0.4,85153,0.6,166998,0.3,228134,1.75 29,1,2024-09-07 09:07:41:561,592654,592654,0,0,276651455653,2866289583,588312,3657,685,369,391753,0 29,2,2024-09-07 09:07:40:867,421051,421051,0,0,17513578,0,4986 29,3,2024-09-07 09:07:40:976,1,336,1,0,459,4216,336,0 30,0,2024-09-07 09:07:41:465,84294,0.6,81832,0.7,171318,0.5,224296,2.00 30,1,2024-09-07 09:07:40:606,591949,591949,0,0,277015213219,2878363501,587130,4109,710,381,391672,0 30,2,2024-09-07 09:07:41:282,423870,423870,0,0,16473698,0,3161 30,3,2024-09-07 09:07:40:586,1,336,1,0,519,3446,336,0 31,0,2024-09-07 09:07:41:773,85441,0.4,85779,0.6,171614,0.4,228474,2.00 31,1,2024-09-07 09:07:40:587,594267,594267,0,0,277568046522,2847715662,591724,1984,559,356,391712,0 31,2,2024-09-07 09:07:41:283,424635,424635,0,0,18284432,0,3525 31,3,2024-09-07 09:07:41:707,1,336,44,0,220,2914,336,0 32,0,2024-09-07 09:07:41:417,81891,0.3,82264,0.5,164404,0.2,218659,1.75 32,1,2024-09-07 09:07:40:805,591687,591687,0,0,277410688257,2880638610,588544,2697,446,381,391646,0 32,2,2024-09-07 09:07:40:940,428639,428639,0,0,15460811,0,3155 32,3,2024-09-07 09:07:41:015,1,336,18,0,227,2449,336,0 33,0,2024-09-07 09:07:41:495,82869,0.3,82381,0.4,165302,0.2,220826,1.50 33,1,2024-09-07 09:07:40:577,593125,593125,0,0,277841686542,2877045811,588713,3581,831,369,391730,0 33,2,2024-09-07 09:07:40:758,425722,425687,35,0,17539554,0,7012 33,3,2024-09-07 09:07:40:895,1,336,2,0,329,3556,336,0 34,0,2024-09-07 09:07:40:932,84870,0.3,87305,0.5,166964,0.2,224193,1.75 34,1,2024-09-07 09:07:41:044,593510,593510,0,0,277747852149,2854861191,592090,1412,8,367,391562,0 34,2,2024-09-07 09:07:40:770,422895,422895,0,0,16722078,0,3577 34,3,2024-09-07 09:07:41:687,1,336,8,0,299,2461,336,0 35,0,2024-09-07 09:07:40:859,85310,0.4,85675,0.5,172359,0.3,229397,1.75 35,1,2024-09-07 09:07:41:081,591575,591575,0,0,275918737365,2859677314,587967,2827,781,382,391589,0 35,2,2024-09-07 09:07:41:593,423909,423909,0,0,16791076,0,2653 35,3,2024-09-07 09:07:40:916,1,336,1,0,418,4245,336,0 36,0,2024-09-07 09:07:41:517,84984,0.5,84993,0.6,169925,0.4,225086,2.00 36,1,2024-09-07 09:07:40:583,591087,591087,0,0,276018588074,2884380785,583770,5659,1658,366,391759,0 36,2,2024-09-07 09:07:41:757,428451,428451,0,0,19310652,0,3875 36,3,2024-09-07 09:07:40:873,1,336,1,0,416,5354,336,0 37,0,2024-09-07 09:07:41:368,79887,0.5,79822,0.7,159779,0.4,213914,2.00 37,1,2024-09-07 09:07:40:575,590054,590047,0,7,276144700749,2885806355,583275,4686,2086,365,391570,0 37,2,2024-09-07 09:07:41:147,425323,425308,15,0,19027862,0,5815 37,3,2024-09-07 09:07:41:766,1,336,1,0,888,5535,336,0 38,0,2024-09-07 09:07:41:442,85252,0.5,82754,0.7,173180,0.4,226314,2.00 38,1,2024-09-07 09:07:41:606,591874,591874,0,0,276905561513,2883557124,585817,5299,758,368,391821,0 38,2,2024-09-07 09:07:40:761,420896,420849,47,0,19341239,0,6710 38,3,2024-09-07 09:07:41:003,1,336,2,0,689,4689,336,0 39,0,2024-09-07 09:07:41:761,88346,0.6,86381,0.7,168373,0.6,230207,2.00 39,1,2024-09-07 09:07:40:729,589966,589966,0,0,275754029041,2886251055,581533,6972,1461,365,391630,0 39,2,2024-09-07 09:07:41:423,423125,423125,0,0,17416266,0,2689 39,3,2024-09-07 09:07:40:718,1,336,2,0,324,4296,336,0 40,0,2024-09-07 09:07:41:493,84414,0.7,85047,0.9,169792,0.8,226220,2.75 40,1,2024-09-07 09:07:40:609,591032,591032,0,0,275232052003,2882666417,582477,7045,1510,368,391668,0 40,2,2024-09-07 09:07:41:312,427092,427091,1,0,20710368,0,5137 40,3,2024-09-07 09:07:41:142,1,336,1,0,1028,5001,336,0 41,0,2024-09-07 09:07:41:034,79856,1.4,81719,1.2,156091,2.1,213157,3.00 41,1,2024-09-07 09:07:40:773,589844,589844,0,0,275522194989,2882649219,582792,6349,703,369,391742,0 41,2,2024-09-07 09:07:40:758,425910,425910,0,0,19826874,0,3356 41,3,2024-09-07 09:07:41:681,1,336,6,0,366,3384,336,0 42,0,2024-09-07 09:07:41:488,84674,0.8,84884,1.0,169833,0.9,224563,2.50 42,1,2024-09-07 09:07:41:439,589302,589302,0,0,275270348058,2893661135,579774,7770,1758,380,391675,0 42,2,2024-09-07 09:07:41:138,421304,421304,0,0,19868928,0,3790 42,3,2024-09-07 09:07:41:015,1,336,1,0,446,3086,336,0 43,0,2024-09-07 09:07:40:933,84373,1.0,82258,1.1,172375,1.1,226257,2.50 43,1,2024-09-07 09:07:40:576,590169,590169,0,0,276475792634,2889515898,581937,6794,1438,366,391696,0 43,2,2024-09-07 09:07:41:740,423571,423571,0,0,19661620,0,3812 43,3,2024-09-07 09:07:41:760,1,336,6,0,467,4044,336,0 44,0,2024-09-07 09:07:40:887,86115,0.4,86485,0.6,172598,0.4,229576,1.75 44,1,2024-09-07 09:07:40:584,591941,591941,0,0,275976430354,2855289589,586880,4014,1047,356,391809,0 44,2,2024-09-07 09:07:41:272,425267,425267,0,0,16534795,0,4344 44,3,2024-09-07 09:07:41:100,1,336,36,0,817,4489,336,0 45,0,2024-09-07 09:07:41:764,80022,0.5,78099,0.7,163697,0.5,215874,2.00 45,1,2024-09-07 09:07:41:014,591185,591185,0,0,276888713000,2878397414,586751,3958,476,382,391917,0 45,2,2024-09-07 09:07:41:268,427994,427994,0,0,17125189,0,3596 45,3,2024-09-07 09:07:40:959,1,336,1,0,271,3229,336,0 46,0,2024-09-07 09:07:40:972,83312,0.6,83252,0.7,166571,0.5,221977,2.00 46,1,2024-09-07 09:07:40:576,592991,592991,0,0,277495019372,2866614571,589279,3286,426,366,391572,0 46,2,2024-09-07 09:07:40:606,423878,423878,0,0,16102719,0,2920 46,3,2024-09-07 09:07:41:132,1,336,1,0,908,4997,336,0 47,0,2024-09-07 09:07:41:105,84938,0.5,85162,0.7,170595,0.5,225372,2.00 47,1,2024-09-07 09:07:40:585,592913,592913,0,0,276743610353,2864124241,588426,3672,815,366,391641,0 47,2,2024-09-07 09:07:40:908,424970,424970,0,0,16622950,0,4477 47,3,2024-09-07 09:07:41:115,1,336,1,0,529,3714,336,0 48,0,2024-09-07 09:07:41:496,86297,0.3,85918,0.4,171291,0.2,228632,1.50 48,1,2024-09-07 09:07:41:023,591524,591524,0,0,277218933549,2878771883,587967,3254,303,384,391710,0 48,2,2024-09-07 09:07:40:709,423893,423893,0,0,15220109,0,3031 48,3,2024-09-07 09:07:40:756,1,336,1,0,339,2861,336,0 49,0,2024-09-07 09:07:41:714,85311,0.3,83799,0.5,162263,0.3,221775,1.75 49,1,2024-09-07 09:07:41:069,591183,591183,0,0,275756961651,2871623937,586864,3134,1185,382,391809,0 49,2,2024-09-07 09:07:41:797,428270,428270,0,0,16399252,0,4426 49,3,2024-09-07 09:07:41:426,1,336,9,0,408,3505,336,0 50,0,2024-09-07 09:07:41:511,81311,0.3,80165,0.4,161566,0.2,215945,1.75 50,1,2024-09-07 09:07:41:010,593353,593353,0,0,277355464024,2867749791,589534,3445,374,368,391565,0 50,2,2024-09-07 09:07:41:073,426468,426468,0,0,15344363,0,2263 50,3,2024-09-07 09:07:41:295,1,336,4,0,335,3296,336,0 51,0,2024-09-07 09:07:41:682,87314,0.3,85306,0.5,166543,0.3,226557,1.75 51,1,2024-09-07 09:07:41:682,593489,593489,0,0,277798113711,2866384560,590230,2284,975,365,391706,0 51,2,2024-09-07 09:07:41:320,422407,422407,0,0,14772119,0,3337 51,3,2024-09-07 09:07:41:027,1,336,2,0,678,2570,336,0 52,0,2024-09-07 09:07:41:434,86942,0.5,86859,0.7,173547,0.4,230854,2.00 52,1,2024-09-07 09:07:40:581,590405,590405,0,0,275718760307,2889052607,581766,7326,1313,368,391722,0 52,2,2024-09-07 09:07:41:756,420074,420036,38,0,19723625,0,6742 52,3,2024-09-07 09:07:40:674,1,336,2,0,1782,5198,336,0 53,0,2024-09-07 09:07:41:753,84318,0.6,81825,0.8,171248,0.6,224870,2.25 53,1,2024-09-07 09:07:40:773,588954,588954,0,0,276050891167,2899216892,579330,7041,2583,367,391702,0 53,2,2024-09-07 09:07:41:298,429065,429065,0,0,17173877,0,2727 53,3,2024-09-07 09:07:40:698,1,336,1,0,308,3236,336,0 54,0,2024-09-07 09:07:41:616,79275,0.6,79735,0.7,158486,0.4,212313,2.25 54,1,2024-09-07 09:07:40:587,590804,590804,0,0,277140657734,2880834233,585141,5061,602,367,391659,0 54,2,2024-09-07 09:07:40:867,427261,427255,6,0,19512693,0,5382 54,3,2024-09-07 09:07:40:763,1,336,1,0,676,5207,336,0 55,0,2024-09-07 09:07:41:761,83328,0.6,86310,0.7,173862,0.5,227346,2.50 55,1,2024-09-07 09:07:40:775,590875,590875,0,0,276312267660,2876198615,584249,5717,909,365,391731,0 55,2,2024-09-07 09:07:40:731,420431,420431,0,0,18813606,0,3563 55,3,2024-09-07 09:07:40:684,1,336,21,0,304,3833,336,0 56,0,2024-09-07 09:07:41:552,87706,1.2,82656,1.1,170474,1.7,228546,2.50 56,1,2024-09-07 09:07:40:590,587943,587943,0,0,275839595992,2912583990,579210,7103,1630,381,391867,0 56,2,2024-09-07 09:07:41:311,423013,423013,0,0,19657521,0,3567 56,3,2024-09-07 09:07:41:116,1,336,1,0,705,4437,336,0 57,0,2024-09-07 09:07:40:955,84430,1.4,84295,1.2,168958,2.0,226032,3.00 57,1,2024-09-07 09:07:40:994,589428,589428,0,0,275417941806,2887123455,582605,6103,720,366,392032,0 57,2,2024-09-07 09:07:41:329,427417,427417,0,0,21457152,0,3317 57,3,2024-09-07 09:07:41:746,1,336,21,0,359,3967,336,0 58,0,2024-09-07 09:07:40:603,79132,0.8,76994,1.0,161105,1.0,211412,2.50 58,1,2024-09-07 09:07:40:585,590484,590481,0,3,275955503175,2885628277,582362,7037,1082,367,391603,3 58,2,2024-09-07 09:07:41:080,426884,426884,0,0,19637968,0,2549 58,3,2024-09-07 09:07:41:073,1,336,0,0,1043,3617,336,0 59,0,2024-09-07 09:07:41:745,84866,0.8,84496,0.9,168739,0.8,224664,2.75 59,1,2024-09-07 09:07:40:810,590258,590258,0,0,275393211315,2883612783,582396,6342,1520,369,391586,0 59,2,2024-09-07 09:07:40:596,422890,422890,0,0,19216558,0,2867 59,3,2024-09-07 09:07:41:739,1,336,49,0,1015,4347,336,0 60,0,2024-09-07 09:07:41:720,84363,0.6,84498,0.7,169636,0.6,224929,1.75 60,1,2024-09-07 09:07:40:776,591909,591909,0,0,276690902502,2873495765,587799,3465,645,370,392031,0 60,2,2024-09-07 09:07:41:152,423681,423681,0,0,18807370,0,3811 60,3,2024-09-07 09:07:41:268,1,336,1,0,409,3947,336,0 61,0,2024-09-07 09:07:41:519,85690,0.6,86196,0.8,171400,0.6,228279,2.00 61,1,2024-09-07 09:07:40:773,589913,589913,0,0,275565890070,2890395047,582753,5836,1324,382,392127,0 61,2,2024-09-07 09:07:41:116,424940,424873,67,0,19165652,0,6411 61,3,2024-09-07 09:07:41:689,1,336,1,0,479,5075,336,0 62,0,2024-09-07 09:07:41:709,82378,0.5,84189,0.7,160522,0.5,218324,2.00 62,1,2024-09-07 09:07:41:117,593900,593894,0,6,278007419747,2870199661,590316,3319,259,365,391715,6 62,2,2024-09-07 09:07:41:646,426792,426791,1,0,18717073,0,5555 62,3,2024-09-07 09:07:41:143,1,336,1,0,465,2583,336,0 63,0,2024-09-07 09:07:41:455,82871,0.4,82690,0.6,165870,0.3,221051,1.75 63,1,2024-09-07 09:07:40:803,591984,591978,0,6,277775862230,2884364106,588047,3543,388,381,391800,6 63,2,2024-09-07 09:07:40:775,424866,424866,0,0,17010568,0,4369 63,3,2024-09-07 09:07:41:735,1,336,9,0,667,3843,336,0 64,0,2024-09-07 09:07:41:518,84175,0.5,84125,0.7,168300,0.5,223274,2.00 64,1,2024-09-07 09:07:40:750,591320,591320,0,0,276071810567,2878351146,585675,3985,1660,370,391783,0 64,2,2024-09-07 09:07:41:150,426172,426153,19,0,16708590,0,6121 64,3,2024-09-07 09:07:41:142,1,336,1,0,265,3140,336,0 65,0,2024-09-07 09:07:41:689,85097,0.7,85406,0.8,170745,0.7,227280,2.25 65,1,2024-09-07 09:07:40:874,590388,590388,0,0,275766254586,2882076032,586305,3632,451,382,391901,0 65,2,2024-09-07 09:07:41:701,424790,424790,0,0,18436622,0,3367 65,3,2024-09-07 09:07:41:688,1,336,1,0,163,3017,336,0 66,0,2024-09-07 09:07:41:764,84307,0.5,84219,0.7,168684,0.4,223971,2.00 66,1,2024-09-07 09:07:41:298,591198,591198,0,0,276730597024,2877105909,587637,3239,322,380,391653,0 66,2,2024-09-07 09:07:41:132,429916,429916,0,0,16609516,0,4956 66,3,2024-09-07 09:07:41:105,1,336,10,0,291,3223,336,0 67,0,2024-09-07 09:07:41:422,80620,0.5,80049,0.7,160812,0.4,214445,2.00 67,1,2024-09-07 09:07:40:771,591625,591624,0,1,276113522071,2875611255,587459,3414,751,380,391787,1 67,2,2024-09-07 09:07:40:583,427772,427772,0,0,15988070,0,2889 67,3,2024-09-07 09:07:41:753,1,336,0,0,338,2861,336,0 68,0,2024-09-07 09:07:40:653,86095,0.6,85739,0.7,170984,0.6,228296,2.00 68,1,2024-09-07 09:07:40:571,589962,589962,0,0,275647466943,2887693489,585148,3610,1204,381,391953,0 68,2,2024-09-07 09:07:41:045,419814,419749,65,0,21070263,0,6698 68,3,2024-09-07 09:07:40:756,1,336,1,0,417,3693,336,0 69,0,2024-09-07 09:07:41:730,86183,0.8,86638,0.9,172546,1.0,229338,2.25 69,1,2024-09-07 09:07:41:022,587958,587958,0,0,275204107335,2904940946,579966,5882,2110,384,391994,0 69,2,2024-09-07 09:07:41:738,422690,422690,0,0,21339140,0,3722 69,3,2024-09-07 09:07:40:761,1,336,7,0,698,4870,336,0 70,0,2024-09-07 09:07:41:535,84342,0.7,84289,1.0,169735,0.6,224872,2.25 70,1,2024-09-07 09:07:40:803,591529,591529,0,0,276962790042,2875567688,586679,4314,536,366,391725,0 70,2,2024-09-07 09:07:41:325,427041,427041,0,0,19340801,0,4044 70,3,2024-09-07 09:07:40:747,1,336,1,0,854,3796,336,0 71,0,2024-09-07 09:07:41:360,79754,0.8,79453,1.0,159711,0.9,213851,2.50 71,1,2024-09-07 09:07:41:609,590402,590402,0,0,276173356662,2882298158,583791,5955,656,368,391738,0 71,2,2024-09-07 09:07:41:068,427133,427133,0,0,19095377,0,4042 71,3,2024-09-07 09:07:41:752,1,336,2,0,644,4655,336,0 72,0,2024-09-07 09:07:41:056,88259,0.6,86300,0.7,168223,0.6,228831,2.00 72,1,2024-09-07 09:07:41:022,589805,589805,0,0,276033616635,2892707113,581576,6532,1697,369,391819,0 72,2,2024-09-07 09:07:41:758,420318,420318,0,0,21633307,0,3983 72,3,2024-09-07 09:07:41:766,1,336,11,0,564,5344,336,0 73,0,2024-09-07 09:07:41:105,83401,0.5,85373,0.6,174906,0.4,227348,2.00 73,1,2024-09-07 09:07:40:775,591080,591080,0,0,276094588566,2870926254,586760,3990,330,367,391858,0 73,2,2024-09-07 09:07:41:739,423759,423759,0,0,20745957,0,3701 73,3,2024-09-07 09:07:40:994,1,336,1,0,274,4505,336,0 74,0,2024-09-07 09:07:41:327,86489,0.5,88681,0.6,169311,0.4,229330,2.25 74,1,2024-09-07 09:07:40:635,590495,590495,0,0,276257219980,2884740659,584414,4818,1263,381,391681,0 74,2,2024-09-07 09:07:41:011,425511,425511,0,0,19810875,0,4253 74,3,2024-09-07 09:07:41:445,1,336,88,0,522,4801,336,0 75,0,2024-09-07 09:07:41:782,81267,0.4,80900,0.7,161958,0.4,216833,2.25 75,1,2024-09-07 09:07:41:595,589780,589780,0,0,274962369315,2873671156,583429,5526,825,380,391739,0 75,2,2024-09-07 09:07:41:359,427053,427053,0,0,19864134,0,4766 75,3,2024-09-07 09:07:41:077,1,336,1,0,702,4751,336,0 76,0,2024-09-07 09:07:40:600,83586,0.6,82972,0.8,166326,0.6,223335,2.25 76,1,2024-09-07 09:07:40:822,590857,590857,0,0,276216616329,2881352359,586938,3330,589,382,391692,0 76,2,2024-09-07 09:07:41:066,424639,424638,1,0,19061911,0,5144 76,3,2024-09-07 09:07:41:155,1,336,15,0,175,3264,336,0 77,0,2024-09-07 09:07:41:716,84687,0.7,84839,0.8,169730,0.7,225348,2.00 77,1,2024-09-07 09:07:41:103,590683,590683,0,0,276213074019,2886949214,585495,4699,489,381,391869,0 77,2,2024-09-07 09:07:41:280,423630,423630,0,0,18541636,0,3890 77,3,2024-09-07 09:07:41:105,1,336,1,0,401,3800,336,0 78,0,2024-09-07 09:07:41:721,86012,0.5,85573,0.7,171901,0.4,227895,2.00 78,1,2024-09-07 09:07:40:621,591187,591187,0,0,275433678209,2868611223,585323,4719,1145,367,391670,0 78,2,2024-09-07 09:07:41:406,424823,424823,0,0,16131170,0,3855 78,3,2024-09-07 09:07:41:142,1,336,1,0,181,3240,336,0 79,0,2024-09-07 09:07:41:349,80454,0.4,82534,0.6,168686,0.3,219054,2.25 79,1,2024-09-07 09:07:40:577,592846,592846,0,0,276887605105,2867925406,588291,3922,633,368,391682,0 79,2,2024-09-07 09:07:41:082,428847,428847,0,0,17124498,0,4195 79,3,2024-09-07 09:07:40:749,1,336,1,0,418,4303,336,0 80,0,2024-09-07 09:07:41:136,81066,0.5,83388,0.7,159705,0.5,215691,2.00 80,1,2024-09-07 09:07:41:641,591103,591103,0,0,276036492890,2871181985,587752,3172,179,368,391791,0 80,2,2024-09-07 09:07:41:115,428019,428019,0,0,16317307,0,4433 80,3,2024-09-07 09:07:40:583,1,336,1,0,190,4035,336,0 81,0,2024-09-07 09:07:41:542,85063,0.6,87088,0.7,166179,0.6,225291,2.00 81,1,2024-09-07 09:07:41:659,590079,590079,0,0,274694448570,2871497788,585224,4356,499,382,391879,0 81,2,2024-09-07 09:07:41:126,421648,421585,63,0,18151695,0,5932 81,3,2024-09-07 09:07:41:117,1,336,1,0,374,3930,336,0 82,0,2024-09-07 09:07:41:533,86203,0.5,86790,0.7,173849,0.4,230423,2.00 82,1,2024-09-07 09:07:40:591,592303,592299,0,4,276435464744,2877559822,588404,3098,797,381,391768,4 82,2,2024-09-07 09:07:41:696,424985,424985,0,0,15579424,0,3986 82,3,2024-09-07 09:07:41:757,1,336,2,0,363,3438,336,0 83,0,2024-09-07 09:07:41:526,85086,0.5,84831,0.7,169057,0.5,225169,2.00 83,1,2024-09-07 09:07:40:564,590574,590574,0,0,275831097652,2876521935,586263,3930,381,382,391709,0 83,2,2024-09-07 09:07:40:766,428350,428350,0,0,16142165,0,3393 83,3,2024-09-07 09:07:40:750,1,336,0,0,1260,4884,336,0 84,0,2024-09-07 09:07:41:779,79823,0.6,79800,0.8,159645,0.5,213643,2.25 84,1,2024-09-07 09:07:41:152,590130,590130,0,0,275424266651,2879050149,584374,5028,728,367,391967,0 84,2,2024-09-07 09:07:40:591,427102,427102,0,0,20420867,0,4757 84,3,2024-09-07 09:07:41:151,1,336,9,0,908,5152,336,0 85,0,2024-09-07 09:07:41:035,83032,0.7,82980,0.8,176169,0.7,228632,2.25 85,1,2024-09-07 09:07:40:571,588701,588701,0,0,275408896775,2907038166,580176,7153,1372,381,392006,0 85,2,2024-09-07 09:07:40:872,421382,421382,0,0,20012610,0,3656 85,3,2024-09-07 09:07:40:728,1,336,3,0,789,4429,336,0 86,0,2024-09-07 09:07:40:904,85888,0.7,88261,0.8,168960,0.8,228684,2.25 86,1,2024-09-07 09:07:40:825,590325,590325,0,0,275690630713,2887502597,583437,5822,1066,366,391961,0 86,2,2024-09-07 09:07:40:856,422330,422329,1,0,21101455,0,5004 86,3,2024-09-07 09:07:40:606,1,336,3,0,308,4616,336,0 87,0,2024-09-07 09:07:41:331,85134,0.9,85122,0.9,170869,1.1,227831,2.25 87,1,2024-09-07 09:07:40:571,589682,589682,0,0,276331861865,2890955269,583472,5598,612,366,392076,0 87,2,2024-09-07 09:07:41:074,426040,426040,0,0,19224392,0,4045 87,3,2024-09-07 09:07:41:801,1,336,2,0,473,5012,336,0 88,0,2024-09-07 09:07:41:458,80353,0.4,80940,0.6,161284,0.4,214341,1.75 88,1,2024-09-07 09:07:40:586,588811,588811,0,0,276043794566,2894164581,581115,6032,1664,365,392084,0 88,2,2024-09-07 09:07:40:735,428021,428021,0,0,21160573,0,3583 88,3,2024-09-07 09:07:41:287,1,336,1,0,435,3665,336,0 89,0,2024-09-07 09:07:41:767,87617,0.5,84737,0.7,167986,0.4,228262,1.75 89,1,2024-09-07 09:07:40:580,588656,588656,0,0,275531865505,2899793144,580902,6481,1273,382,391866,0 89,2,2024-09-07 09:07:41:133,421354,421354,0,0,20118642,0,2910 89,3,2024-09-07 09:07:41:791,1,336,162,0,385,6240,336,0 90,0,2024-09-07 09:07:41:640,82126,0.5,84181,0.7,171957,0.5,224323,2.00 90,1,2024-09-07 09:07:40:607,590292,590292,0,0,275521607954,2889156218,584089,5701,502,380,391825,0 90,2,2024-09-07 09:07:41:408,422222,422222,0,0,21343917,0,3060 90,3,2024-09-07 09:07:40:944,1,336,7,0,246,3708,336,0 91,0,2024-09-07 09:07:40:945,86249,0.5,83521,0.6,174513,0.4,228815,1.75 91,1,2024-09-07 09:07:40:566,588764,588764,0,0,275312160371,2897135397,580941,6779,1044,381,392047,0 91,2,2024-09-07 09:07:41:340,425044,425044,0,0,19312766,0,2896 91,3,2024-09-07 09:07:40:609,1,336,6,0,216,3176,336,0 92,0,2024-09-07 09:07:41:445,82645,0.4,84790,0.6,161870,0.4,218736,1.75 92,1,2024-09-07 09:07:40:587,590125,590125,0,0,275044748002,2875274060,585314,4124,687,382,392136,0 92,2,2024-09-07 09:07:41:356,428778,428778,0,0,17482957,0,3259 92,3,2024-09-07 09:07:41:012,1,336,0,0,167,2888,336,0 93,0,2024-09-07 09:07:41:023,83089,0.4,85159,0.6,162851,0.3,221005,1.75 93,1,2024-09-07 09:07:40:810,590274,590274,0,0,276041955482,2883028055,584158,5087,1029,366,391776,0 93,2,2024-09-07 09:07:40:942,424111,424111,0,0,20298202,0,4845 93,3,2024-09-07 09:07:41:406,1,336,1,0,190,3245,336,0 94,0,2024-09-07 09:07:41:716,84281,0.4,85070,0.6,169590,0.4,224858,1.75 94,1,2024-09-07 09:07:40:603,590712,590712,0,0,276268829061,2884263604,586674,3848,190,381,391850,0 94,2,2024-09-07 09:07:40:762,422711,422711,0,0,17475680,0,2443 94,3,2024-09-07 09:07:41:710,1,336,11,0,264,4153,336,0 95,0,2024-09-07 09:07:41:363,85803,0.4,85911,0.5,172172,0.3,229146,1.75 95,1,2024-09-07 09:07:40:865,592093,592093,0,0,276043027604,2872270802,587128,4566,399,367,391713,0 95,2,2024-09-07 09:07:41:045,423989,423989,0,0,17246531,0,3308 95,3,2024-09-07 09:07:41:729,1,336,7,0,718,5331,336,0 96,0,2024-09-07 09:07:41:031,84879,0.4,85081,0.5,169666,0.3,224880,1.75 96,1,2024-09-07 09:07:41:603,589951,589951,0,0,276311068751,2883725236,585428,3727,796,384,391955,0 96,2,2024-09-07 09:07:41:273,428548,428548,0,0,17283133,0,4042 96,3,2024-09-07 09:07:41:140,1,336,0,0,411,3708,336,0 97,0,2024-09-07 09:07:41:327,80344,0.3,80111,0.5,160838,0.3,214140,1.75 97,1,2024-09-07 09:07:40:768,592192,592192,0,0,276880717852,2870885591,588317,3203,672,367,392140,0 97,2,2024-09-07 09:07:40:609,428022,428022,0,0,16718811,0,3036 97,3,2024-09-07 09:07:40:585,1,336,4,0,214,3995,336,0 98,0,2024-09-07 09:07:41:740,85930,0.3,85640,0.5,172021,0.3,228332,1.50 98,1,2024-09-07 09:07:40:605,591616,591616,0,0,276345726544,2874761738,588954,2555,107,382,391997,0 98,2,2024-09-07 09:07:40:770,422071,422071,0,0,16555283,0,3080 98,3,2024-09-07 09:07:40:714,1,336,1,0,840,5409,336,0 99,0,2024-09-07 09:07:41:553,86634,0.4,87189,0.5,173489,0.3,231555,1.75 99,1,2024-09-07 09:07:41:767,591249,591249,0,0,276443060782,2878850127,587113,3382,754,381,392069,0 99,2,2024-09-07 09:07:41:421,424730,424730,0,0,18695394,0,3424 99,3,2024-09-07 09:07:40:611,1,336,1,0,199,3149,336,0 100,0,2024-09-07 09:07:41:458,84810,0.7,84817,1.0,169623,0.8,226600,2.25 100,1,2024-09-07 09:07:40:572,588175,588175,0,0,275032499694,2906230144,579708,6971,1496,381,391989,0 100,2,2024-09-07 09:07:41:817,426437,426426,11,0,19710291,0,5417 100,3,2024-09-07 09:07:41:739,1,336,5,0,559,5931,336,0 101,0,2024-09-07 09:07:41:714,82022,0.9,80002,1.0,156771,0.7,215603,2.25 101,1,2024-09-07 09:07:40:587,587919,587919,0,0,275518482500,2902194235,578835,7060,2024,368,391771,0 101,2,2024-09-07 09:07:41:756,425216,425216,0,0,22790704,0,4644 101,3,2024-09-07 09:07:40:961,1,336,0,0,579,4485,336,0 102,0,2024-09-07 09:07:40:992,83187,0.7,86066,0.8,173757,0.7,227627,2.00 102,1,2024-09-07 09:07:41:186,588971,588971,0,0,275294335628,2892102313,581656,6283,1032,369,391891,0 102,2,2024-09-07 09:07:41:751,422418,422364,54,0,19010866,0,6768 102,3,2024-09-07 09:07:41:615,1,336,1,0,410,3645,336,0 103,0,2024-09-07 09:07:41:633,88213,0.7,88136,0.8,166236,0.7,229257,2.00 103,1,2024-09-07 09:07:41:645,588221,588221,0,0,275437202302,2905577636,579402,6823,1996,381,391829,0 103,2,2024-09-07 09:07:40:608,422294,422294,0,0,20073152,0,3173 103,3,2024-09-07 09:07:40:756,1,336,0,0,916,4234,336,0 104,0,2024-09-07 09:07:41:014,85163,0.7,85526,1.0,169887,0.7,228662,2.25 104,1,2024-09-07 09:07:41:605,589781,589781,0,0,274945418039,2892413409,580876,7207,1698,365,392168,0 104,2,2024-09-07 09:07:41:674,424244,424244,0,0,20921643,0,3941 104,3,2024-09-07 09:07:41:420,1,336,2,0,1245,7513,336,0 105,0,2024-09-07 09:07:41:044,80207,0.8,78035,1.1,163341,0.9,215244,2.75 105,1,2024-09-07 09:07:40:577,590053,590053,0,0,275545673516,2891980334,581898,6822,1333,366,391797,0 105,2,2024-09-07 09:07:41:328,427028,427028,0,0,20300211,0,3509 105,3,2024-09-07 09:07:41:308,1,336,1,0,399,5335,336,0 106,0,2024-09-07 09:07:40:957,80990,0.9,82878,1.0,169673,1.0,222678,2.50 106,1,2024-09-07 09:07:41:761,588810,588810,0,0,275614384692,2899010378,579236,8281,1293,369,391914,0 106,2,2024-09-07 09:07:40:756,421108,421108,0,0,19939216,0,2795 106,3,2024-09-07 09:07:40:714,1,336,1,0,470,4325,336,0 107,0,2024-09-07 09:07:41:123,84540,1.2,84443,1.0,169019,1.7,225058,2.25 107,1,2024-09-07 09:07:40:597,588235,588235,0,0,274844119564,2896879034,580269,7052,914,381,392234,0 107,2,2024-09-07 09:07:41:295,421959,421958,1,0,20377163,0,5024 107,3,2024-09-07 09:07:41:759,1,336,3,0,353,4756,336,0 108,0,2024-09-07 09:07:41:795,85542,0.5,86008,0.6,171142,0.4,228496,1.75 108,1,2024-09-07 09:07:41:298,590570,590570,0,0,276444934622,2884880786,585484,4568,518,368,391857,0 108,2,2024-09-07 09:07:41:763,423115,423115,0,0,19508691,0,4246 108,3,2024-09-07 09:07:41:337,1,336,15,0,749,6768,336,0 109,0,2024-09-07 09:07:41:798,83713,0.4,82696,0.5,165798,0.3,221427,1.75 109,1,2024-09-07 09:07:40:587,588561,588561,0,0,276114220822,2897014366,583357,4540,664,383,392132,0 109,2,2024-09-07 09:07:40:954,426061,426061,0,0,19109470,0,3617 109,3,2024-09-07 09:07:41:141,1,336,1,0,379,4056,336,0 110,0,2024-09-07 09:07:41:762,81072,0.4,78823,0.6,165099,0.3,216535,1.75 110,1,2024-09-07 09:07:41:646,591724,591724,0,0,276726093560,2872666283,587726,3024,974,369,392045,0 110,2,2024-09-07 09:07:41:312,425902,425902,0,0,18851180,0,4067 110,3,2024-09-07 09:07:40:712,1,336,0,0,722,5090,336,0 111,0,2024-09-07 09:07:41:421,85510,0.4,84841,0.6,169868,0.4,226761,1.75 111,1,2024-09-07 09:07:41:042,591790,591790,0,0,278185096954,2887415548,588410,3028,352,382,391690,0 111,2,2024-09-07 09:07:41:116,421215,421215,0,0,18157628,0,4823 111,3,2024-09-07 09:07:40:921,1,336,0,0,379,4111,336,0 112,0,2024-09-07 09:07:40:911,87373,0.3,86771,0.4,173954,0.2,231185,1.50 112,1,2024-09-07 09:07:40:824,591863,591863,0,0,276643673683,2870087628,588402,2877,584,380,391624,0 112,2,2024-09-07 09:07:41:139,423697,423696,1,0,16559520,0,5036 112,3,2024-09-07 09:07:40:608,1,336,1,0,282,3460,336,0 113,0,2024-09-07 09:07:40:889,84600,0.3,84710,0.5,169962,0.2,226504,1.50 113,1,2024-09-07 09:07:41:686,593908,593908,0,0,277170344335,2860233724,590627,2697,584,366,391661,0 113,2,2024-09-07 09:07:41:312,429616,429616,0,0,15296534,0,3813 113,3,2024-09-07 09:07:40:687,1,336,1,0,340,4053,336,0 114,0,2024-09-07 09:07:40:878,80756,0.3,81348,0.5,161383,0.2,215783,1.75 114,1,2024-09-07 09:07:40:719,591475,591475,0,0,276217928850,2871325247,586351,3530,1594,381,391556,0 114,2,2024-09-07 09:07:40:879,428176,428175,1,0,16894934,0,5069 114,3,2024-09-07 09:07:41:293,1,336,9,0,395,2970,336,0 115,0,2024-09-07 09:07:40:600,86001,0.3,86679,0.4,173235,0.2,230896,1.50 115,1,2024-09-07 09:07:40:581,591208,591208,0,0,275977618739,2866997193,586344,4024,840,382,391757,0 115,2,2024-09-07 09:07:41:129,423183,423183,0,0,15506175,0,3453 115,3,2024-09-07 09:07:41:009,1,336,0,0,159,1978,336,0 116,0,2024-09-07 09:07:41:712,85624,0.9,85441,0.9,171395,1.0,229663,2.25 116,1,2024-09-07 09:07:40:805,587942,587942,0,0,275060609697,2902859032,580342,5332,2268,380,391782,0 116,2,2024-09-07 09:07:41:769,422551,422551,0,0,21150996,0,3529 116,3,2024-09-07 09:07:40:921,1,336,4,0,415,4226,336,0 117,0,2024-09-07 09:07:40:981,85675,0.7,85211,0.8,170666,0.8,228237,2.00 117,1,2024-09-07 09:07:41:597,589604,589604,0,0,275879557164,2888892771,583299,5637,668,370,392033,0 117,2,2024-09-07 09:07:41:117,429639,429639,0,0,17620705,0,3700 117,3,2024-09-07 09:07:41:105,1,336,6,0,490,4945,336,0 118,0,2024-09-07 09:07:41:888,78071,0.5,80091,0.7,163577,0.5,213542,2.00 118,1,2024-09-07 09:07:40:592,589239,589239,0,0,275226364254,2894596129,580445,6896,1898,366,391907,0 118,2,2024-09-07 09:07:41:600,426669,426669,0,0,19455446,0,2781 118,3,2024-09-07 09:07:41:769,1,336,8,0,235,3723,336,0 119,0,2024-09-07 09:07:41:340,84702,0.6,85106,0.8,170266,0.6,226706,2.00 119,1,2024-09-07 09:07:40:572,590586,590586,0,0,276297629814,2890549125,584019,5730,837,367,391780,0 119,2,2024-09-07 09:07:41:271,422721,422721,0,0,18107819,0,4174 119,3,2024-09-07 09:07:41:329,1,336,2,0,563,5148,336,0 120,0,2024-09-07 09:07:41:555,84158,0.7,83872,0.9,167932,0.7,224841,2.25 120,1,2024-09-07 09:07:40:866,589781,589781,0,0,275446900442,2891925181,583362,5863,556,368,391961,0 120,2,2024-09-07 09:07:40:779,423360,423359,1,0,21168806,0,5281 120,3,2024-09-07 09:07:41:311,1,336,13,0,241,4256,336,0 121,0,2024-09-07 09:07:41:715,85498,1.0,85686,1.0,171938,1.3,228498,2.25 121,1,2024-09-07 09:07:41:656,590633,590633,0,0,276146370329,2890259178,584725,5471,437,367,391840,0 121,2,2024-09-07 09:07:41:125,424052,424052,0,0,20437556,0,4127 121,3,2024-09-07 09:07:40:730,1,336,1,0,269,3883,336,0 122,0,2024-09-07 09:07:41:786,81717,0.7,79685,0.8,167015,0.8,218669,2.00 122,1,2024-09-07 09:07:40:884,589242,589242,0,0,275577860253,2893755455,580782,7198,1262,366,392130,0 122,2,2024-09-07 09:07:41:320,427649,427576,73,0,22925249,0,5989 122,3,2024-09-07 09:07:40:622,1,336,4,0,411,6005,336,0 123,0,2024-09-07 09:07:41:000,82245,0.7,80428,0.8,167700,0.8,220588,2.00 123,1,2024-09-07 09:07:40:608,588711,588711,0,0,276100837830,2912989200,577271,9314,2126,369,392039,0 123,2,2024-09-07 09:07:41:023,422636,422635,1,0,19801740,0,5215 123,3,2024-09-07 09:07:41:149,1,336,2,0,168,3873,336,0 124,0,2024-09-07 09:07:40:962,87244,0.4,87323,0.5,164546,0.3,225733,1.75 124,1,2024-09-07 09:07:41:022,591520,591520,0,0,275832794590,2871667152,586803,3807,910,367,392178,0 124,2,2024-09-07 09:07:41:013,423890,423837,53,0,17724938,0,6487 124,3,2024-09-07 09:07:40:789,1,336,3,0,490,3468,336,0 125,0,2024-09-07 09:07:41:418,86244,0.4,85734,0.6,172605,0.4,229490,1.75 125,1,2024-09-07 09:07:40:856,590169,590169,0,0,276163990952,2885015938,585289,4236,644,383,391702,0 125,2,2024-09-07 09:07:41:124,424029,424029,0,0,18370134,0,4534 125,3,2024-09-07 09:07:41:131,1,336,3,0,709,4609,336,0 126,0,2024-09-07 09:07:41:434,84726,0.4,86995,0.6,166794,0.4,225730,1.75 126,1,2024-09-07 09:07:40:586,591983,591983,0,0,276451800826,2866271870,588248,3452,283,365,391987,0 126,2,2024-09-07 09:07:40:674,428801,428801,0,0,18473918,0,4539 126,3,2024-09-07 09:07:40:922,1,336,2,0,207,4225,336,0 127,0,2024-09-07 09:07:41:627,80155,0.3,80728,0.5,160714,0.3,214126,1.75 127,1,2024-09-07 09:07:40:597,591257,591257,0,0,275896161987,2867582453,585860,4537,860,364,392187,0 127,2,2024-09-07 09:07:40:648,426657,426657,0,0,17027420,0,3897 127,3,2024-09-07 09:07:41:286,1,336,7,0,968,4020,336,0 128,0,2024-09-07 09:07:41:539,86013,0.3,86294,0.5,172665,0.2,228650,1.50 128,1,2024-09-07 09:07:41:606,591183,591183,0,0,276704195779,2874188691,587082,3730,371,367,391798,0 128,2,2024-09-07 09:07:41:389,422998,422998,0,0,15918426,0,2915 128,3,2024-09-07 09:07:40:777,1,336,1,0,1082,5920,336,0 129,0,2024-09-07 09:07:40:998,87229,0.3,86834,0.5,174161,0.3,231627,1.75 129,1,2024-09-07 09:07:40:586,588576,588576,0,0,275876882245,2889815809,582933,4387,1256,379,391835,0 129,2,2024-09-07 09:07:40:703,424124,424124,0,0,16811314,0,4031 129,3,2024-09-07 09:07:40:715,1,336,2,0,469,4474,336,0 130,0,2024-09-07 09:07:41:734,85719,0.5,85157,0.6,171511,0.5,227912,1.75 130,1,2024-09-07 09:07:40:622,591312,591312,0,0,276449060033,2875199645,587897,3136,279,381,391825,0 130,2,2024-09-07 09:07:41:155,429221,429221,0,0,16749942,0,4067 130,3,2024-09-07 09:07:41:520,1,336,4,0,450,4155,336,0 131,0,2024-09-07 09:07:41:936,80166,0.3,80683,0.5,162246,0.3,215273,1.75 131,1,2024-09-07 09:07:41:840,591543,591543,0,0,276272106155,2883811537,587066,3730,747,381,391865,0 131,2,2024-09-07 09:07:40:582,429658,429658,0,0,15477324,0,2415 131,3,2024-09-07 09:07:41:687,1,336,1,0,392,3523,336,0 132,0,2024-09-07 09:07:41:442,85418,0.4,86139,0.6,171752,0.4,228389,1.75 132,1,2024-09-07 09:07:40:582,588275,588275,0,0,274971116059,2897297336,579636,7174,1465,381,392097,0 132,2,2024-09-07 09:07:40:720,421421,421421,0,0,20591938,0,4606 132,3,2024-09-07 09:07:41:689,1,336,1,0,804,6203,336,0 133,0,2024-09-07 09:07:41:518,83454,0.5,85209,0.6,175091,0.4,227843,2.00 133,1,2024-09-07 09:07:40:608,588378,588378,0,0,274607348245,2895933075,580205,7090,1083,383,391914,0 133,2,2024-09-07 09:07:41:097,422572,422572,0,0,21880747,0,4315 133,3,2024-09-07 09:07:41:311,1,336,0,0,479,3629,336,0 134,0,2024-09-07 09:07:40:957,86300,0.5,86178,0.7,172368,0.5,229854,2.00 134,1,2024-09-07 09:07:40:611,588878,588878,0,0,274642792927,2885842730,580560,6462,1856,366,391718,0 134,2,2024-09-07 09:07:41:755,425142,425142,0,0,18298684,0,3847 134,3,2024-09-07 09:07:40:750,1,336,2,0,739,4410,336,0 135,0,2024-09-07 09:07:41:131,78379,0.7,78427,0.8,166227,0.7,214978,2.00 135,1,2024-09-07 09:07:41:600,589400,589400,0,0,275976607256,2906289786,580967,7196,1237,380,391805,0 135,2,2024-09-07 09:07:40:708,428422,428422,0,0,19911273,0,3981 135,3,2024-09-07 09:07:41:007,1,336,1,0,299,2638,336,0 136,0,2024-09-07 09:07:41:650,84263,0.6,84345,0.7,167924,0.5,224589,2.25 136,1,2024-09-07 09:07:41:442,589140,589140,0,0,275601056017,2894966772,582125,6319,696,382,391685,0 136,2,2024-09-07 09:07:41:143,423288,423288,0,0,19432323,0,3506 136,3,2024-09-07 09:07:41:106,1,336,1,0,637,3872,336,0 137,0,2024-09-07 09:07:40:952,87299,0.7,84989,0.8,166860,0.8,226409,2.00 137,1,2024-09-07 09:07:40:577,588935,588935,0,0,275671716435,2895649426,579357,7697,1881,366,391708,0 137,2,2024-09-07 09:07:41:712,422643,422643,0,0,21745121,0,3185 137,3,2024-09-07 09:07:40:777,1,336,3,0,382,4043,336,0 138,0,2024-09-07 09:07:41:772,85174,1.3,85225,1.1,171232,1.8,227448,2.25 138,1,2024-09-07 09:07:41:687,589798,589798,0,0,276163519893,2901278990,580755,7447,1596,368,391954,0 138,2,2024-09-07 09:07:40:598,423775,423775,0,0,19531398,0,4988 138,3,2024-09-07 09:07:40:612,1,336,4,0,1160,4833,336,0 139,0,2024-09-07 09:07:41:374,82077,1.2,82417,1.0,165202,1.7,219603,2.25 139,1,2024-09-07 09:07:40:612,587244,587244,0,0,274174413940,2914791744,575883,8717,2644,381,391892,0 139,2,2024-09-07 09:07:40:698,425219,425219,0,0,21241851,0,3097 139,3,2024-09-07 09:07:41:674,1,336,9,0,257,3741,336,0 140,0,2024-09-07 09:07:41:604,81437,0.3,80922,0.5,162605,0.2,216905,1.75 140,1,2024-09-07 09:07:41:537,593309,593309,0,0,277342993741,2861543578,590105,2766,438,365,391606,0 140,2,2024-09-07 09:07:40:715,426335,426334,1,0,17544797,0,5036 140,3,2024-09-07 09:07:40:772,1,336,1,0,247,2731,336,0 141,0,2024-09-07 09:07:41:704,85275,0.4,87557,0.5,167232,0.3,226689,1.75 141,1,2024-09-07 09:07:40:865,591750,591750,0,0,276356178441,2872890184,587189,3699,862,379,391614,0 141,2,2024-09-07 09:07:41:686,421998,421998,0,0,17042400,0,3360 141,3,2024-09-07 09:07:41:046,1,336,6,0,391,3865,336,0 142,0,2024-09-07 09:07:41:318,87525,0.3,86705,0.5,173384,0.3,231632,1.75 142,1,2024-09-07 09:07:40:588,591651,591651,0,0,276167049106,2881038126,587687,3613,351,382,392102,0 142,2,2024-09-07 09:07:41:299,422819,422787,32,0,18516018,0,6028 142,3,2024-09-07 09:07:41:752,1,336,1,0,484,4154,336,0 143,0,2024-09-07 09:07:41:369,85035,0.4,84778,0.6,170003,0.4,226787,1.75 143,1,2024-09-07 09:07:40:592,591641,591641,0,0,276700875274,2872122407,587869,3622,150,367,391705,0 143,2,2024-09-07 09:07:40:810,428434,428434,0,0,17909353,0,3123 143,3,2024-09-07 09:07:41:143,1,336,1,0,303,3980,336,0 144,0,2024-09-07 09:07:41:615,77969,0.5,79992,0.8,162997,0.4,213893,2.00 144,1,2024-09-07 09:07:40:579,589199,589199,0,0,274673734734,2879728521,584346,3912,941,381,391649,0 144,2,2024-09-07 09:07:41:760,428126,428126,0,0,16184782,0,3473 144,3,2024-09-07 09:07:41:739,1,336,2,0,249,3442,336,0 145,0,2024-09-07 09:07:41:386,83272,0.6,83314,0.8,176811,0.6,228377,2.25 145,1,2024-09-07 09:07:40:604,588290,588290,0,0,275627167648,2899409258,580970,6180,1140,382,391759,0 145,2,2024-09-07 09:07:41:437,420127,420127,0,0,19797381,0,3903 145,3,2024-09-07 09:07:40:905,1,336,1,0,622,5102,336,0 146,0,2024-09-07 09:07:41:636,85914,0.6,85310,0.8,172023,0.6,228286,2.25 146,1,2024-09-07 09:07:41:608,590069,590069,0,0,275549047524,2899344436,580369,7690,2010,368,391770,0 146,2,2024-09-07 09:07:41:700,422253,422253,0,0,19484075,0,2730 146,3,2024-09-07 09:07:41:283,1,336,1,0,1520,7050,336,0 147,0,2024-09-07 09:07:41:703,85710,0.6,85360,0.7,170095,0.6,227821,2.25 147,1,2024-09-07 09:07:41:922,591664,591664,0,0,276908737308,2882999990,586004,4860,800,368,391791,0 147,2,2024-09-07 09:07:41:014,427265,427265,0,0,17315608,0,2789 147,3,2024-09-07 09:07:40:922,1,336,3,0,730,4941,336,0 0,0,2024-09-07 09:07:51:713,82367,0.7,82387,0.8,174827,0.8,226130,2.00 0,1,2024-09-07 09:07:50:833,592094,592094,0,0,276675937021,2893586897,587963,3886,245,370,391896,0 0,2,2024-09-07 09:07:51:093,425435,425435,0,0,17076503,0,4480 0,3,2024-09-07 09:07:50:985,1,337,7,0,431,4641,337,0 1,0,2024-09-07 09:07:51:788,86045,0.8,85487,0.9,171756,1.0,229283,2.00 1,1,2024-09-07 09:07:50:575,590940,590940,0,0,276261394779,2893639259,585343,4371,1226,370,391857,0 1,2,2024-09-07 09:07:50:651,425395,425395,0,0,16572844,0,3267 1,3,2024-09-07 09:07:51:310,1,337,1,0,262,3939,337,0 2,0,2024-09-07 09:07:51:568,82054,0.6,82393,0.7,163602,0.7,219128,2.00 2,1,2024-09-07 09:07:50:868,593318,593318,0,0,277667383203,2883370566,590422,2603,293,380,391745,0 2,2,2024-09-07 09:07:51:283,429519,429519,0,0,16519380,0,3594 2,3,2024-09-07 09:07:50:695,1,337,1,0,357,3391,337,0 3,0,2024-09-07 09:07:51:745,83051,0.4,82932,0.6,165875,0.3,221531,1.75 3,1,2024-09-07 09:07:51:618,591842,591842,0,0,276493761619,2879675794,586744,4475,623,380,391591,0 3,2,2024-09-07 09:07:51:150,425530,425507,23,0,16923502,0,5851 3,3,2024-09-07 09:07:51:752,1,337,1,0,207,2219,337,0 4,0,2024-09-07 09:07:51:791,82240,0.4,84752,0.5,172139,0.4,225149,1.75 4,1,2024-09-07 09:07:50:603,590647,590647,0,0,276032392981,2908820593,583201,6090,1356,371,391992,0 4,2,2024-09-07 09:07:51:018,422584,422584,0,0,19864541,0,4528 4,3,2024-09-07 09:07:51:027,1,337,6,0,448,4782,337,0 5,0,2024-09-07 09:07:51:401,86070,0.5,86401,0.6,172494,0.4,229182,1.75 5,1,2024-09-07 09:07:50:766,591030,591030,0,0,276504359415,2908090688,583864,5810,1356,367,392005,0 5,2,2024-09-07 09:07:51:840,423513,423513,0,0,18939385,0,2432 5,3,2024-09-07 09:07:51:732,1,337,8,0,457,4948,337,0 6,0,2024-09-07 09:07:50:936,85111,0.4,84587,0.6,169230,0.4,225416,2.00 6,1,2024-09-07 09:07:50:747,591110,591110,0,0,276484425262,2890793553,584500,5525,1085,379,391694,0 6,2,2024-09-07 09:07:51:125,428618,428618,0,0,18187158,0,4816 6,3,2024-09-07 09:07:51:278,1,337,1,0,340,3718,337,0 7,0,2024-09-07 09:07:51:539,80354,0.5,80710,0.6,160729,0.4,214308,1.75 7,1,2024-09-07 09:07:50:849,590311,590311,0,0,276116549653,2900027185,582241,6894,1176,382,391747,0 7,2,2024-09-07 09:07:50:770,427913,427913,0,0,18364445,0,4791 7,3,2024-09-07 09:07:50:853,1,337,0,0,398,3852,337,0 8,0,2024-09-07 09:07:51:337,85979,0.4,85857,0.5,171887,0.3,228736,1.75 8,1,2024-09-07 09:07:51:018,589698,589698,0,0,276203413179,2909905507,579547,7874,2277,366,392144,0 8,2,2024-09-07 09:07:50:791,419256,419256,0,0,22320514,0,3220 8,3,2024-09-07 09:07:50:586,1,337,1,0,538,5819,337,0 9,0,2024-09-07 09:07:51:122,87049,0.4,84660,0.5,177013,0.4,231926,1.75 9,1,2024-09-07 09:07:50:579,590372,590372,0,0,276153018618,2912677700,581321,6926,2125,369,392001,0 9,2,2024-09-07 09:07:51:083,423907,423907,0,0,19727962,0,3360 9,3,2024-09-07 09:07:51:756,1,337,1,0,496,5010,337,0 10,0,2024-09-07 09:07:51:599,85645,0.3,84935,0.5,170649,0.2,227413,1.75 10,1,2024-09-07 09:07:50:588,591546,591546,0,0,276896796818,2901510781,583663,6774,1109,381,391741,0 10,2,2024-09-07 09:07:50:763,429188,429188,0,0,21469941,0,4264 10,3,2024-09-07 09:07:50:883,1,337,0,0,296,3001,337,0 11,0,2024-09-07 09:07:51:023,80362,0.4,78002,0.6,163041,0.3,216000,1.75 11,1,2024-09-07 09:07:50:575,591360,591360,0,0,276836747912,2909423984,581346,7566,2448,383,391756,0 11,2,2024-09-07 09:07:51:125,428292,428292,0,0,19435964,0,4130 11,3,2024-09-07 09:07:51:302,1,337,1,0,843,5359,337,0 12,0,2024-09-07 09:07:51:006,86457,0.4,86420,0.5,172651,0.3,229993,1.75 12,1,2024-09-07 09:07:50:939,591666,591666,0,0,275767309494,2877507237,586808,4366,492,370,391870,0 12,2,2024-09-07 09:07:51:542,423365,423365,0,0,18937354,0,3469 12,3,2024-09-07 09:07:51:077,1,337,10,0,386,5501,337,0 13,0,2024-09-07 09:07:51:420,86504,0.4,86075,0.6,171895,0.4,229554,1.75 13,1,2024-09-07 09:07:51:529,590840,590840,0,0,275976529317,2903738753,584933,4497,1410,382,391740,0 13,2,2024-09-07 09:07:50:608,425738,425738,0,0,17352981,0,3287 13,3,2024-09-07 09:07:51:765,1,337,1,0,522,5471,337,0 14,0,2024-09-07 09:07:50:569,86503,0.4,87150,0.6,172454,0.3,229919,1.75 14,1,2024-09-07 09:07:51:561,595174,595174,0,0,277613712739,2876615317,590488,4327,359,364,391571,0 14,2,2024-09-07 09:07:50:765,427134,427104,30,0,19103081,0,6104 14,3,2024-09-07 09:07:51:124,1,337,1,0,1168,4093,337,0 15,0,2024-09-07 09:07:51:557,81249,0.4,81273,0.6,162690,0.4,216569,2.00 15,1,2024-09-07 09:07:51:619,592269,592269,0,0,277516750594,2890365955,587929,3518,822,381,391619,0 15,2,2024-09-07 09:07:51:005,430505,430505,0,0,15713847,0,3622 15,3,2024-09-07 09:07:51:406,1,337,0,0,1126,6225,337,0 16,0,2024-09-07 09:07:50:995,84269,0.6,84590,0.7,168813,0.6,225252,2.00 16,1,2024-09-07 09:07:50:603,592652,592652,0,0,277180135594,2893619552,588361,3929,362,370,391756,0 16,2,2024-09-07 09:07:51:446,423763,423763,0,0,17975309,0,4719 16,3,2024-09-07 09:07:51:164,1,337,1,0,317,4675,337,0 17,0,2024-09-07 09:07:51:833,87316,0.7,85270,0.8,166720,0.7,226323,2.00 17,1,2024-09-07 09:07:50:586,590754,590754,0,0,275149428446,2888538168,584515,4981,1258,368,392075,0 17,2,2024-09-07 09:07:51:672,427324,427324,0,0,17642700,0,2857 17,3,2024-09-07 09:07:50:575,1,337,29,0,298,5609,337,0 18,0,2024-09-07 09:07:50:953,84888,0.6,85222,0.8,169920,0.6,226766,2.25 18,1,2024-09-07 09:07:51:638,592922,592922,0,0,277301811262,2874344736,589586,3025,311,367,391649,0 18,2,2024-09-07 09:07:51:758,427236,427236,0,0,16361602,0,3541 18,3,2024-09-07 09:07:50:913,1,337,0,0,163,2930,337,0 19,0,2024-09-07 09:07:51:542,82714,0.6,83385,0.8,164976,0.5,219513,2.25 19,1,2024-09-07 09:07:50:575,593341,593341,0,0,277706348638,2882046501,588217,4285,839,367,391777,0 19,2,2024-09-07 09:07:51:752,430454,430454,0,0,15142713,0,3988 19,3,2024-09-07 09:07:51:139,1,337,0,0,524,2583,337,0 20,0,2024-09-07 09:07:51:422,81371,0.5,81260,0.7,162512,0.5,217602,2.00 20,1,2024-09-07 09:07:50:592,591152,591152,0,0,277388081647,2897759748,586819,3928,405,369,391886,0 20,2,2024-09-07 09:07:50:957,427005,427005,0,0,18360838,0,3721 20,3,2024-09-07 09:07:50:606,1,337,10,0,414,5386,337,0 21,0,2024-09-07 09:07:51:163,85292,0.5,85283,0.7,170439,0.5,226003,2.00 21,1,2024-09-07 09:07:51:609,590578,590578,0,0,275811265593,2907309942,582583,6115,1880,368,392016,0 21,2,2024-09-07 09:07:51:073,421569,421569,0,0,21359641,0,3747 21,3,2024-09-07 09:07:51:413,1,337,14,0,713,4048,337,0 22,0,2024-09-07 09:07:51:750,86485,0.6,86834,0.7,173829,0.5,229960,2.00 22,1,2024-09-07 09:07:51:023,591580,591580,0,0,275971887053,2901273807,583090,6670,1820,382,391667,0 22,2,2024-09-07 09:07:50:765,423520,423520,0,0,17420132,0,3134 22,3,2024-09-07 09:07:51:088,1,337,1,0,228,2838,337,0 23,0,2024-09-07 09:07:51:389,84614,0.5,84576,0.7,169433,0.5,225773,2.25 23,1,2024-09-07 09:07:51:017,591306,591306,0,0,277169776095,2912491473,580812,7336,3158,365,391690,0 23,2,2024-09-07 09:07:51:092,430169,430169,0,0,17389913,0,3010 23,3,2024-09-07 09:07:51:754,1,337,1,0,720,4737,337,0 24,0,2024-09-07 09:07:50:862,81076,0.4,81037,0.5,162610,0.3,216153,1.75 24,1,2024-09-07 09:07:50:596,590635,590635,0,0,276194846107,2899170282,583740,5366,1529,368,392269,0 24,2,2024-09-07 09:07:51:078,427219,427219,0,0,21135883,0,3607 24,3,2024-09-07 09:07:51:691,1,337,1,0,468,4534,337,0 25,0,2024-09-07 09:07:51:375,88987,0.4,86712,0.6,169850,0.4,231698,2.00 25,1,2024-09-07 09:07:50:564,591810,591810,0,0,277039517491,2908299095,584123,6318,1369,371,391928,0 25,2,2024-09-07 09:07:51:623,422013,422013,0,0,21082129,0,3978 25,3,2024-09-07 09:07:51:006,1,337,24,0,255,3379,337,0 26,0,2024-09-07 09:07:51:721,86001,0.4,83957,0.6,176248,0.4,229769,1.75 26,1,2024-09-07 09:07:51:542,591913,591913,0,0,275794930095,2891819158,583065,7312,1536,380,391748,0 26,2,2024-09-07 09:07:50:864,425036,425036,0,0,20867491,0,2809 26,3,2024-09-07 09:07:51:712,1,337,0,0,796,4402,337,0 27,0,2024-09-07 09:07:51:724,85981,0.4,86031,0.6,170626,0.4,228069,2.00 27,1,2024-09-07 09:07:51:676,593990,593990,0,0,277934077406,2894244004,588720,4504,766,381,391626,0 27,2,2024-09-07 09:07:50:869,425793,425728,65,0,20652795,0,5699 27,3,2024-09-07 09:07:51:018,1,337,1,0,564,3639,337,0 28,0,2024-09-07 09:07:51:391,80874,0.4,80627,0.6,161613,0.3,215947,2.00 28,1,2024-09-07 09:07:50:799,592879,592879,0,0,278040330999,2897626085,588499,3677,703,383,391698,0 28,2,2024-09-07 09:07:51:765,428794,428794,0,0,18264178,0,2915 28,3,2024-09-07 09:07:51:778,1,337,1,0,502,3701,337,0 29,0,2024-09-07 09:07:51:380,87749,0.4,85457,0.6,167678,0.3,228824,1.75 29,1,2024-09-07 09:07:51:573,594342,594342,0,0,277453494621,2874422455,590000,3657,685,369,391753,0 29,2,2024-09-07 09:07:50:861,422133,422133,0,0,17528372,0,4986 29,3,2024-09-07 09:07:50:966,1,337,1,0,459,4217,337,0 30,0,2024-09-07 09:07:51:466,84525,0.6,82102,0.7,171802,0.5,225176,2.00 30,1,2024-09-07 09:07:50:577,593752,593752,0,0,277831292928,2886675473,588933,4109,710,381,391672,0 30,2,2024-09-07 09:07:51:274,425186,425186,0,0,16495222,0,3161 30,3,2024-09-07 09:07:50:581,1,337,1,0,519,3447,337,0 31,0,2024-09-07 09:07:51:771,85576,0.4,85916,0.6,171897,0.4,228961,2.00 31,1,2024-09-07 09:07:50:566,595994,595994,0,0,278388534233,2855970785,593451,1984,559,356,391712,0 31,2,2024-09-07 09:07:51:274,426136,426136,0,0,18308691,0,3525 31,3,2024-09-07 09:07:51:707,1,337,2,0,220,2916,337,0 32,0,2024-09-07 09:07:51:425,82105,0.3,82493,0.5,164844,0.2,219578,1.75 32,1,2024-09-07 09:07:50:822,593427,593427,0,0,278147141522,2888151965,590284,2697,446,381,391646,0 32,2,2024-09-07 09:07:50:937,430104,430104,0,0,15477956,0,3155 32,3,2024-09-07 09:07:51:024,1,337,0,0,227,2449,337,0 33,0,2024-09-07 09:07:51:490,83320,0.3,82869,0.4,166285,0.2,222006,1.50 33,1,2024-09-07 09:07:50:575,594942,594942,0,0,278576223983,2884496068,590530,3581,831,369,391730,0 33,2,2024-09-07 09:07:50:764,426401,426366,35,0,17544583,0,7012 33,3,2024-09-07 09:07:50:897,1,337,1,0,329,3557,337,0 34,0,2024-09-07 09:07:50:936,84985,0.3,87438,0.5,167203,0.2,224521,1.75 34,1,2024-09-07 09:07:51:048,595350,595350,0,0,278840933719,2865888492,593930,1412,8,367,391562,0 34,2,2024-09-07 09:07:50:770,424488,424488,0,0,16736369,0,3577 34,3,2024-09-07 09:07:51:691,1,337,1,0,299,2462,337,0 35,0,2024-09-07 09:07:50:865,85412,0.4,85782,0.5,172597,0.3,229742,1.75 35,1,2024-09-07 09:07:51:067,593259,593259,0,0,276902824145,2869643889,589651,2827,781,382,391589,0 35,2,2024-09-07 09:07:51:592,425462,425462,0,0,16806920,0,2653 35,3,2024-09-07 09:07:50:912,1,337,1,0,418,4246,337,0 36,0,2024-09-07 09:07:51:516,85089,0.5,85075,0.6,170138,0.4,225089,2.00 36,1,2024-09-07 09:07:50:589,592871,592871,0,0,277224029081,2896543439,585554,5659,1658,366,391759,0 36,2,2024-09-07 09:07:51:764,429563,429563,0,0,19321525,0,3875 36,3,2024-09-07 09:07:50:867,1,337,1,0,416,5355,337,0 37,0,2024-09-07 09:07:51:368,80288,0.5,80202,0.7,160560,0.4,214848,2.00 37,1,2024-09-07 09:07:50:576,591727,591720,0,7,277022857298,2894743972,584948,4686,2086,365,391570,0 37,2,2024-09-07 09:07:51:149,426326,426311,15,0,19039674,0,5815 37,3,2024-09-07 09:07:51:766,1,337,3,0,888,5538,337,0 38,0,2024-09-07 09:07:51:441,85354,0.5,82844,0.7,173431,0.4,226556,2.00 38,1,2024-09-07 09:07:51:605,593579,593579,0,0,277634746771,2891023869,587522,5299,758,368,391821,0 38,2,2024-09-07 09:07:50:760,422413,422366,47,0,19359330,0,6710 38,3,2024-09-07 09:07:51:003,1,337,2,0,689,4691,337,0 39,0,2024-09-07 09:07:51:760,88594,0.6,86632,0.7,168866,0.6,230810,2.00 39,1,2024-09-07 09:07:50:738,591736,591736,0,0,276621611651,2895057827,583303,6972,1461,365,391630,0 39,2,2024-09-07 09:07:51:422,424422,424422,0,0,17430894,0,2689 39,3,2024-09-07 09:07:50:739,1,337,8,0,324,4304,337,0 40,0,2024-09-07 09:07:51:488,84665,0.7,85338,0.9,170340,0.7,227099,2.75 40,1,2024-09-07 09:07:50:580,592750,592750,0,0,276102160374,2891544725,584194,7046,1510,368,391668,0 40,2,2024-09-07 09:07:51:308,428209,428208,1,0,20748786,0,5137 40,3,2024-09-07 09:07:51:149,1,337,1,0,1028,5002,337,0 41,0,2024-09-07 09:07:51:045,80281,1.4,82094,1.2,156820,2.1,213854,3.00 41,1,2024-09-07 09:07:50:790,591547,591547,0,0,276625098061,2893840174,584495,6349,703,369,391742,0 41,2,2024-09-07 09:07:50:768,427235,427235,0,0,19864944,0,3356 41,3,2024-09-07 09:07:51:680,1,337,3,0,366,3387,337,0 42,0,2024-09-07 09:07:51:484,85073,0.8,85304,1.0,170627,0.8,225925,2.50 42,1,2024-09-07 09:07:51:438,590980,590980,0,0,275926446679,2900596698,581441,7781,1758,380,391675,0 42,2,2024-09-07 09:07:51:138,422505,422505,0,0,19895887,0,3790 42,3,2024-09-07 09:07:51:026,1,337,0,0,446,3086,337,0 43,0,2024-09-07 09:07:50:931,84707,1.0,82596,1.1,173056,1.1,227095,2.50 43,1,2024-09-07 09:07:50:583,591891,591891,0,0,277672040473,2901648676,583658,6795,1438,366,391696,0 43,2,2024-09-07 09:07:51:742,424749,424749,0,0,19747300,0,3812 43,3,2024-09-07 09:07:51:750,1,337,185,0,467,4229,337,0 44,0,2024-09-07 09:07:50:859,86287,0.4,86659,0.6,172911,0.4,230001,1.75 44,1,2024-09-07 09:07:50:575,593724,593724,0,0,276989327462,2865484348,588663,4014,1047,356,391809,0 44,2,2024-09-07 09:07:51:271,426703,426703,0,0,16552264,0,4344 44,3,2024-09-07 09:07:51:094,1,337,2,0,817,4491,337,0 45,0,2024-09-07 09:07:51:767,80331,0.5,78359,0.7,164317,0.5,216481,2.00 45,1,2024-09-07 09:07:51:017,592905,592905,0,0,277755377238,2887246452,588471,3958,476,382,391917,0 45,2,2024-09-07 09:07:51:276,429439,429439,0,0,17144324,0,3596 45,3,2024-09-07 09:07:50:938,1,337,1,0,271,3230,337,0 46,0,2024-09-07 09:07:50:973,83755,0.5,83635,0.7,167415,0.5,223039,2.00 46,1,2024-09-07 09:07:50:575,594769,594769,0,0,278221461274,2874002380,591056,3287,426,366,391572,0 46,2,2024-09-07 09:07:50:606,424685,424685,0,0,16113035,0,2920 46,3,2024-09-07 09:07:51:140,1,337,11,0,908,5008,337,0 47,0,2024-09-07 09:07:51:100,85082,0.5,85337,0.6,170898,0.5,225838,2.00 47,1,2024-09-07 09:07:50:575,594698,594698,0,0,277511563796,2871898216,590211,3672,815,366,391641,0 47,2,2024-09-07 09:07:50:920,426406,426406,0,0,16656476,0,4477 47,3,2024-09-07 09:07:51:122,1,337,0,0,529,3714,337,0 48,0,2024-09-07 09:07:51:509,86411,0.3,86020,0.4,171514,0.2,228876,1.50 48,1,2024-09-07 09:07:51:065,593260,593260,0,0,278157998928,2888323554,589703,3254,303,384,391710,0 48,2,2024-09-07 09:07:50:707,425430,425430,0,0,15233775,0,3031 48,3,2024-09-07 09:07:50:760,1,337,13,0,339,2874,337,0 49,0,2024-09-07 09:07:51:714,85360,0.3,83856,0.5,162365,0.3,222102,1.75 49,1,2024-09-07 09:07:51:026,593011,593011,0,0,276579351529,2880036698,588692,3134,1185,382,391809,0 49,2,2024-09-07 09:07:51:799,429641,429641,0,0,16411176,0,4426 49,3,2024-09-07 09:07:51:421,1,337,1,0,408,3506,337,0 50,0,2024-09-07 09:07:51:506,81751,0.3,80587,0.4,162391,0.2,217281,1.75 50,1,2024-09-07 09:07:51:025,595106,595106,0,0,278204368276,2876353187,591287,3445,374,368,391565,0 50,2,2024-09-07 09:07:51:066,427198,427198,0,0,15349272,0,2263 50,3,2024-09-07 09:07:51:297,1,337,0,0,335,3296,337,0 51,0,2024-09-07 09:07:51:688,87409,0.3,85417,0.5,166725,0.3,226845,1.75 51,1,2024-09-07 09:07:51:680,595258,595258,0,0,278801779218,2876516789,591999,2284,975,365,391706,0 51,2,2024-09-07 09:07:51:329,423947,423947,0,0,14786370,0,3337 51,3,2024-09-07 09:07:51:034,1,337,1,0,678,2571,337,0 52,0,2024-09-07 09:07:51:421,87112,0.5,87017,0.7,173888,0.4,231112,2.00 52,1,2024-09-07 09:07:50:575,592116,592116,0,0,276329753414,2895314415,583477,7326,1313,368,391722,0 52,2,2024-09-07 09:07:51:767,421554,421516,38,0,19741715,0,6742 52,3,2024-09-07 09:07:50:684,1,337,0,0,1782,5198,337,0 53,0,2024-09-07 09:07:51:749,84594,0.6,82084,0.8,171784,0.6,225386,2.25 53,1,2024-09-07 09:07:50:771,590752,590752,0,0,276966165367,2908541135,581128,7041,2583,367,391702,0 53,2,2024-09-07 09:07:51:301,430101,430101,0,0,17193838,0,2727 53,3,2024-09-07 09:07:50:703,1,337,1,0,308,3237,337,0 54,0,2024-09-07 09:07:51:617,79610,0.6,80045,0.7,159211,0.4,213466,2.25 54,1,2024-09-07 09:07:50:586,592565,592565,0,0,278189953067,2891430677,586902,5061,602,367,391659,0 54,2,2024-09-07 09:07:50:865,428418,428412,6,0,19525163,0,5382 54,3,2024-09-07 09:07:50:764,1,337,1,0,676,5208,337,0 55,0,2024-09-07 09:07:51:760,83642,0.6,86666,0.7,174540,0.6,227634,2.50 55,1,2024-09-07 09:07:50:767,592624,592624,0,0,277000458965,2883225050,585998,5717,909,365,391731,0 55,2,2024-09-07 09:07:50:737,421864,421864,0,0,18838373,0,3563 55,3,2024-09-07 09:07:50:688,1,337,3,0,304,3836,337,0 56,0,2024-09-07 09:07:51:596,88013,1.2,82973,1.1,171084,1.7,229335,2.50 56,1,2024-09-07 09:07:50:579,589750,589750,0,0,276764242001,2922067802,581017,7103,1630,381,391867,0 56,2,2024-09-07 09:07:51:320,424355,424355,0,0,19687468,0,3567 56,3,2024-09-07 09:07:51:067,1,337,8,0,705,4445,337,0 57,0,2024-09-07 09:07:50:943,84622,1.4,84484,1.2,169349,2.0,226596,3.00 57,1,2024-09-07 09:07:50:994,591193,591193,0,0,276464391149,2897881407,584368,6105,720,366,392032,0 57,2,2024-09-07 09:07:51:329,428611,428611,0,0,21492224,0,3317 57,3,2024-09-07 09:07:51:737,1,337,1,0,359,3968,337,0 58,0,2024-09-07 09:07:50:573,79494,0.8,77314,1.0,161745,1.0,212558,2.50 58,1,2024-09-07 09:07:50:575,592152,592149,0,3,276821656485,2894587815,584030,7037,1082,367,391603,3 58,2,2024-09-07 09:07:51:089,428208,428208,0,0,19673783,0,2549 58,3,2024-09-07 09:07:51:071,1,337,1,0,1043,3618,337,0 59,0,2024-09-07 09:07:51:754,85195,0.7,84812,0.9,169384,0.8,225383,2.75 59,1,2024-09-07 09:07:50:822,592031,592031,0,0,276273220517,2892583836,584169,6342,1520,369,391586,0 59,2,2024-09-07 09:07:50:591,423985,423985,0,0,19249973,0,2867 59,3,2024-09-07 09:07:51:737,1,337,4,0,1015,4351,337,0 60,0,2024-09-07 09:07:51:735,84653,0.6,84719,0.7,170180,0.6,225854,1.75 60,1,2024-09-07 09:07:50:778,593641,593641,0,0,277689032828,2883632134,589531,3465,645,370,392031,0 60,2,2024-09-07 09:07:51:145,424905,424905,0,0,18827357,0,3811 60,3,2024-09-07 09:07:51:263,1,337,1,0,409,3948,337,0 61,0,2024-09-07 09:07:51:497,85819,0.6,86332,0.8,171644,0.6,228743,2.00 61,1,2024-09-07 09:07:50:776,591692,591692,0,0,276532024451,2900236945,584532,5836,1324,382,392127,0 61,2,2024-09-07 09:07:51:116,426425,426358,67,0,19187788,0,6411 61,3,2024-09-07 09:07:51:691,1,337,1,0,479,5076,337,0 62,0,2024-09-07 09:07:51:706,82624,0.5,84448,0.7,160960,0.5,219247,2.00 62,1,2024-09-07 09:07:51:114,595644,595638,0,6,278798491374,2878231393,592060,3319,259,365,391715,6 62,2,2024-09-07 09:07:51:644,428313,428312,1,0,18737613,0,5555 62,3,2024-09-07 09:07:51:147,1,337,0,0,465,2583,337,0 63,0,2024-09-07 09:07:51:469,83339,0.4,83162,0.6,166783,0.3,222218,1.75 63,1,2024-09-07 09:07:50:820,593818,593812,0,6,278597492155,2892735879,589881,3543,388,381,391800,6 63,2,2024-09-07 09:07:50:763,425536,425536,0,0,17017662,0,4369 63,3,2024-09-07 09:07:51:732,1,337,5,0,667,3848,337,0 64,0,2024-09-07 09:07:51:512,84293,0.5,84235,0.7,168529,0.5,223601,2.00 64,1,2024-09-07 09:07:50:757,593101,593101,0,0,276937780129,2887145360,587456,3985,1660,370,391783,0 64,2,2024-09-07 09:07:51:140,427773,427754,19,0,16726410,0,6121 64,3,2024-09-07 09:07:51:149,1,337,0,0,265,3140,337,0 65,0,2024-09-07 09:07:51:720,85208,0.7,85509,0.8,170973,0.7,227621,2.25 65,1,2024-09-07 09:07:50:873,592147,592147,0,0,276691011667,2891504279,588063,3633,451,382,391901,0 65,2,2024-09-07 09:07:51:695,426242,426242,0,0,18459770,0,3367 65,3,2024-09-07 09:07:51:692,1,337,3,0,163,3020,337,0 66,0,2024-09-07 09:07:51:774,84411,0.5,84322,0.7,168880,0.4,223972,2.00 66,1,2024-09-07 09:07:51:301,593000,593000,0,0,277696049561,2886908525,589439,3239,322,380,391653,0 66,2,2024-09-07 09:07:51:134,431073,431073,0,0,16619578,0,4956 66,3,2024-09-07 09:07:51:079,1,337,0,0,291,3223,337,0 67,0,2024-09-07 09:07:51:435,81041,0.5,80446,0.7,161576,0.4,215387,2.00 67,1,2024-09-07 09:07:50:775,593422,593421,0,1,277054052086,2885173738,589256,3414,751,380,391787,1 67,2,2024-09-07 09:07:50:587,428817,428817,0,0,15997261,0,2889 67,3,2024-09-07 09:07:51:750,1,337,1,0,338,2862,337,0 68,0,2024-09-07 09:07:50:574,86193,0.6,85851,0.7,171199,0.6,228541,2.00 68,1,2024-09-07 09:07:50:587,591720,591720,0,0,276880080631,2900228010,586905,3611,1204,381,391953,0 68,2,2024-09-07 09:07:51:043,421374,421309,65,0,21113387,0,6698 68,3,2024-09-07 09:07:50:739,1,337,2,0,417,3695,337,0 69,0,2024-09-07 09:07:51:725,86442,0.8,86919,0.9,173066,1.0,229946,2.25 69,1,2024-09-07 09:07:51:026,589657,589657,0,0,275910768248,2912473044,581641,5906,2110,384,391994,0 69,2,2024-09-07 09:07:51:732,423929,423929,0,0,21377148,0,3722 69,3,2024-09-07 09:07:50:782,1,337,0,0,698,4870,337,0 70,0,2024-09-07 09:07:51:554,84612,0.7,84570,1.0,170308,0.6,225744,2.25 70,1,2024-09-07 09:07:50:804,593311,593311,0,0,278004889359,2886192080,588461,4314,536,366,391725,0 70,2,2024-09-07 09:07:51:330,428146,428146,0,0,19365880,0,4044 70,3,2024-09-07 09:07:50:755,1,337,7,0,854,3803,337,0 71,0,2024-09-07 09:07:51:369,80089,0.8,79834,1.0,160462,0.9,214568,2.50 71,1,2024-09-07 09:07:51:611,592144,592144,0,0,276977444576,2890477682,585533,5955,656,368,391738,0 71,2,2024-09-07 09:07:51:092,428383,428383,0,0,19118787,0,4042 71,3,2024-09-07 09:07:51:750,1,337,1,0,644,4656,337,0 72,0,2024-09-07 09:07:51:035,88672,0.6,86694,0.7,169032,0.6,230284,2.00 72,1,2024-09-07 09:07:51:026,591599,591599,0,0,276964309780,2902195735,583370,6532,1697,369,391819,0 72,2,2024-09-07 09:07:51:755,421575,421575,0,0,21662725,0,3983 72,3,2024-09-07 09:07:51:754,1,337,33,0,564,5377,337,0 73,0,2024-09-07 09:07:51:119,83700,0.5,85697,0.6,175567,0.4,228201,2.00 73,1,2024-09-07 09:07:50:770,592805,592805,0,0,277003380081,2880152591,588485,3990,330,367,391858,0 73,2,2024-09-07 09:07:51:742,424974,424974,0,0,20779417,0,3701 73,3,2024-09-07 09:07:50:974,1,337,15,0,274,4520,337,0 74,0,2024-09-07 09:07:51:348,86661,0.5,88847,0.6,169669,0.4,229757,2.25 74,1,2024-09-07 09:07:50:641,592250,592250,0,0,277181491142,2894175025,586169,4818,1263,381,391681,0 74,2,2024-09-07 09:07:51:003,426927,426927,0,0,19853726,0,4253 74,3,2024-09-07 09:07:51:449,1,337,2,0,522,4803,337,0 75,0,2024-09-07 09:07:51:765,81547,0.4,81152,0.7,162544,0.4,217429,2.25 75,1,2024-09-07 09:07:51:592,591496,591496,0,0,275753208437,2881953055,585139,5532,825,380,391739,0 75,2,2024-09-07 09:07:51:356,428381,428381,0,0,19918680,0,4766 75,3,2024-09-07 09:07:51:067,1,337,1,0,702,4752,337,0 76,0,2024-09-07 09:07:50:624,84004,0.6,83370,0.8,167120,0.6,224352,2.25 76,1,2024-09-07 09:07:50:898,592660,592660,0,0,276987764937,2889255197,588741,3330,589,382,391692,0 76,2,2024-09-07 09:07:51:078,425543,425542,1,0,19075942,0,5144 76,3,2024-09-07 09:07:51:146,1,337,0,0,175,3264,337,0 77,0,2024-09-07 09:07:51:701,84833,0.7,84986,0.8,169985,0.7,225799,2.00 77,1,2024-09-07 09:07:50:829,592377,592377,0,0,276976897010,2894776456,587188,4700,489,381,391869,0 77,2,2024-09-07 09:07:51:282,424965,424965,0,0,18567595,0,3890 77,3,2024-09-07 09:07:51:094,1,337,27,0,401,3827,337,0 78,0,2024-09-07 09:07:51:718,86118,0.5,85665,0.7,172122,0.4,228135,2.00 78,1,2024-09-07 09:07:50:636,592862,592862,0,0,276107287004,2875480690,586998,4719,1145,367,391670,0 78,2,2024-09-07 09:07:51:413,426370,426370,0,0,16148592,0,3855 78,3,2024-09-07 09:07:51:133,1,337,1,0,181,3241,337,0 79,0,2024-09-07 09:07:51:354,80521,0.4,82606,0.6,168823,0.3,219376,2.25 79,1,2024-09-07 09:07:50:575,594510,594510,0,0,277744288279,2876601985,589955,3922,633,368,391682,0 79,2,2024-09-07 09:07:51:068,430279,430279,0,0,17138864,0,4195 79,3,2024-09-07 09:07:50:749,1,337,12,0,418,4315,337,0 80,0,2024-09-07 09:07:51:111,81477,0.5,83842,0.7,160525,0.5,217064,2.00 80,1,2024-09-07 09:07:51:627,592840,592840,0,0,276942115675,2880387838,589489,3172,179,368,391791,0 80,2,2024-09-07 09:07:51:101,428871,428871,0,0,16324058,0,4433 80,3,2024-09-07 09:07:50:585,1,337,2,0,190,4037,337,0 81,0,2024-09-07 09:07:51:564,85165,0.6,87178,0.7,166405,0.6,225597,2.00 81,1,2024-09-07 09:07:51:667,591883,591883,0,0,275509435466,2879833145,587028,4356,499,382,391879,0 81,2,2024-09-07 09:07:51:135,423252,423189,63,0,18170374,0,5932 81,3,2024-09-07 09:07:51:123,1,337,4,0,374,3934,337,0 82,0,2024-09-07 09:07:51:587,86362,0.5,86949,0.7,174196,0.4,230661,2.00 82,1,2024-09-07 09:07:50:586,594046,594042,0,4,277287908986,2886231501,590147,3098,797,381,391768,4 82,2,2024-09-07 09:07:51:691,426323,426323,0,0,15590423,0,3986 82,3,2024-09-07 09:07:51:753,1,337,1,0,363,3439,337,0 83,0,2024-09-07 09:07:51:530,85333,0.5,85111,0.7,169576,0.5,225695,2.00 83,1,2024-09-07 09:07:50:562,592320,592320,0,0,276979102032,2888179954,588009,3930,381,382,391709,0 83,2,2024-09-07 09:07:50:765,429437,429437,0,0,16152656,0,3393 83,3,2024-09-07 09:07:50:752,1,337,1,0,1260,4885,337,0 84,0,2024-09-07 09:07:51:796,80190,0.6,80160,0.8,160297,0.5,214848,2.25 84,1,2024-09-07 09:07:51:060,591888,591888,0,0,276220439588,2887189789,586132,5028,728,367,391967,0 84,2,2024-09-07 09:07:50:575,428265,428265,0,0,20436766,0,4757 84,3,2024-09-07 09:07:51:146,1,337,3,0,908,5155,337,0 85,0,2024-09-07 09:07:51:049,83364,0.7,83323,0.8,176960,0.7,228982,2.25 85,1,2024-09-07 09:07:50:563,590417,590417,0,0,276309858446,2916318104,581892,7153,1372,381,392006,0 85,2,2024-09-07 09:07:50:866,422692,422692,0,0,20058345,0,3656 85,3,2024-09-07 09:07:50:703,1,337,1,0,789,4430,337,0 86,0,2024-09-07 09:07:50:885,86190,0.7,88531,0.8,169553,0.8,229488,2.25 86,1,2024-09-07 09:07:50:834,592104,592104,0,0,276636746420,2897204928,585216,5822,1066,366,391961,0 86,2,2024-09-07 09:07:50:856,423611,423610,1,0,21119036,0,5004 86,3,2024-09-07 09:07:50:594,1,337,1,0,308,4617,337,0 87,0,2024-09-07 09:07:51:333,85313,0.9,85269,0.9,171223,1.1,228435,2.25 87,1,2024-09-07 09:07:50:564,591461,591461,0,0,277206051982,2899991143,585249,5600,612,366,392076,0 87,2,2024-09-07 09:07:51:088,427287,427287,0,0,19250437,0,4045 87,3,2024-09-07 09:07:51:794,1,337,1,0,473,5013,337,0 88,0,2024-09-07 09:07:51:455,80700,0.4,81266,0.6,161984,0.4,215601,1.75 88,1,2024-09-07 09:07:50:575,590548,590548,0,0,276750996251,2901420182,582852,6032,1664,365,392084,0 88,2,2024-09-07 09:07:50:731,429363,429363,0,0,21203912,0,3583 88,3,2024-09-07 09:07:51:267,1,337,2,0,435,3667,337,0 89,0,2024-09-07 09:07:51:784,87957,0.5,85051,0.7,168586,0.4,228976,1.75 89,1,2024-09-07 09:07:50:565,590398,590398,0,0,276385836939,2908561931,582644,6481,1273,382,391866,0 89,2,2024-09-07 09:07:51:137,422474,422474,0,0,20147955,0,2910 89,3,2024-09-07 09:07:51:792,1,337,0,0,385,6240,337,0 90,0,2024-09-07 09:07:51:644,82370,0.5,84444,0.7,172423,0.5,225159,2.00 90,1,2024-09-07 09:07:50:610,592077,592077,0,0,276461855596,2898990468,585873,5702,502,380,391825,0 90,2,2024-09-07 09:07:51:412,423540,423540,0,0,21387791,0,3060 90,3,2024-09-07 09:07:50:939,1,337,1,0,246,3709,337,0 91,0,2024-09-07 09:07:50:967,86391,0.5,83636,0.6,174773,0.4,229317,1.75 91,1,2024-09-07 09:07:50:582,590511,590511,0,0,276040031640,2904814030,582688,6779,1044,381,392047,0 91,2,2024-09-07 09:07:51:343,426531,426531,0,0,19359025,0,2896 91,3,2024-09-07 09:07:50:606,1,337,1,0,216,3177,337,0 92,0,2024-09-07 09:07:51:455,82827,0.4,85024,0.6,162332,0.4,219638,1.75 92,1,2024-09-07 09:07:50:582,591858,591858,0,0,275992737264,2884967382,587047,4124,687,382,392136,0 92,2,2024-09-07 09:07:51:360,430192,430192,0,0,17501315,0,3259 92,3,2024-09-07 09:07:51:026,1,337,2,0,167,2890,337,0 93,0,2024-09-07 09:07:51:010,83559,0.4,85625,0.6,163719,0.3,222160,1.75 93,1,2024-09-07 09:07:50:823,592080,592080,0,0,276879003579,2891567356,585964,5087,1029,366,391776,0 93,2,2024-09-07 09:07:50:944,424807,424807,0,0,20306980,0,4845 93,3,2024-09-07 09:07:51:425,1,337,1,0,190,3246,337,0 94,0,2024-09-07 09:07:51:642,84397,0.4,85196,0.6,169840,0.4,225187,1.75 94,1,2024-09-07 09:07:50:564,592373,592373,0,0,276965840975,2891496956,588335,3848,190,381,391850,0 94,2,2024-09-07 09:07:50:772,424147,424147,0,0,17500875,0,2443 94,3,2024-09-07 09:07:51:690,1,337,0,0,264,4153,337,0 95,0,2024-09-07 09:07:51:430,85907,0.4,86009,0.5,172418,0.3,229485,1.75 95,1,2024-09-07 09:07:50:860,593777,593777,0,0,277022538206,2882225338,588810,4568,399,367,391713,0 95,2,2024-09-07 09:07:51:030,425533,425533,0,0,17275241,0,3308 95,3,2024-09-07 09:07:51:730,1,337,11,0,718,5342,337,0 96,0,2024-09-07 09:07:51:057,84990,0.4,85188,0.5,169899,0.3,224887,1.75 96,1,2024-09-07 09:07:51:599,591751,591751,0,0,277287864003,2893675059,587228,3727,796,384,391955,0 96,2,2024-09-07 09:07:51:271,429690,429690,0,0,17296673,0,4042 96,3,2024-09-07 09:07:51:146,1,337,1,0,411,3709,337,0 97,0,2024-09-07 09:07:51:319,80748,0.3,80504,0.5,161652,0.3,215046,1.50 97,1,2024-09-07 09:07:50:782,593983,593983,0,0,278059242579,2882800316,590106,3205,672,367,392140,0 97,2,2024-09-07 09:07:50:607,429033,429033,0,0,16729869,0,3036 97,3,2024-09-07 09:07:50:574,1,337,12,0,214,4007,337,0 98,0,2024-09-07 09:07:51:706,86026,0.3,85749,0.5,172249,0.3,228579,1.50 98,1,2024-09-07 09:07:50:575,593299,593299,0,0,277044406142,2882048857,590634,2558,107,382,391997,0 98,2,2024-09-07 09:07:50:775,423595,423595,0,0,16583202,0,3080 98,3,2024-09-07 09:07:50:731,1,337,0,0,840,5409,337,0 99,0,2024-09-07 09:07:51:513,86880,0.4,87435,0.5,174001,0.3,232138,1.75 99,1,2024-09-07 09:07:51:727,592994,592994,0,0,277294942215,2887728388,588855,3385,754,381,392069,0 99,2,2024-09-07 09:07:51:417,425989,425989,0,0,18715687,0,3424 99,3,2024-09-07 09:07:50:586,1,337,0,0,199,3149,337,0 100,0,2024-09-07 09:07:51:617,85107,0.7,85076,0.9,170156,0.8,227501,2.25 100,1,2024-09-07 09:07:50:567,589884,589884,0,0,275778857360,2913916597,581417,6971,1496,381,391989,0 100,2,2024-09-07 09:07:51:820,427622,427611,11,0,19733770,0,5417 100,3,2024-09-07 09:07:51:732,1,337,1,0,559,5932,337,0 101,0,2024-09-07 09:07:51:737,82417,0.9,80384,1.0,157503,0.7,216310,2.25 101,1,2024-09-07 09:07:50:565,589659,589659,0,0,276355465384,2910756502,580575,7060,2024,368,391771,0 101,2,2024-09-07 09:07:51:756,426553,426553,0,0,22818926,0,4644 101,3,2024-09-07 09:07:50:945,1,337,1,0,579,4486,337,0 102,0,2024-09-07 09:07:50:959,83617,0.7,86425,0.8,174508,0.7,229126,2.00 102,1,2024-09-07 09:07:51:147,590691,590691,0,0,276205321316,2901449711,583374,6285,1032,369,391891,0 102,2,2024-09-07 09:07:51:737,423688,423634,54,0,19044865,0,6768 102,3,2024-09-07 09:07:51:613,1,337,2,0,410,3647,337,0 103,0,2024-09-07 09:07:51:607,88545,0.7,88447,0.8,166892,0.7,230070,2.00 103,1,2024-09-07 09:07:51:642,589960,589960,0,0,276206961470,2913498992,581140,6824,1996,381,391829,0 103,2,2024-09-07 09:07:50:600,423544,423544,0,0,20107292,0,3173 103,3,2024-09-07 09:07:50:761,1,337,2,0,916,4236,337,0 104,0,2024-09-07 09:07:51:024,85329,0.7,85700,1.0,170228,0.7,229050,2.25 104,1,2024-09-07 09:07:51:604,591507,591507,0,0,275906517041,2902177405,582602,7207,1698,365,392168,0 104,2,2024-09-07 09:07:51:673,425700,425700,0,0,20972685,0,3941 104,3,2024-09-07 09:07:51:422,1,337,5,0,1245,7518,337,0 105,0,2024-09-07 09:07:51:090,80481,0.8,78295,1.1,163908,0.9,215894,2.75 105,1,2024-09-07 09:07:50:563,591773,591773,0,0,276492478870,2901603780,583617,6822,1334,366,391797,0 105,2,2024-09-07 09:07:51:341,428352,428352,0,0,20339267,0,3509 105,3,2024-09-07 09:07:51:310,1,337,1,0,399,5336,337,0 106,0,2024-09-07 09:07:50:967,81389,0.8,83318,1.0,170500,0.9,223706,2.50 106,1,2024-09-07 09:07:51:750,590570,590570,0,0,276385507875,2907058214,580995,8282,1293,369,391914,0 106,2,2024-09-07 09:07:50:761,421975,421975,0,0,19968178,0,2795 106,3,2024-09-07 09:07:50:683,1,337,5,0,470,4330,337,0 107,0,2024-09-07 09:07:51:131,84695,1.2,84594,1.0,169310,1.7,225509,2.25 107,1,2024-09-07 09:07:50:594,590008,590008,0,0,275625940218,2905011620,582041,7053,914,381,392234,0 107,2,2024-09-07 09:07:51:304,423308,423307,1,0,20420381,0,5024 107,3,2024-09-07 09:07:51:757,1,337,8,0,353,4764,337,0 108,0,2024-09-07 09:07:51:771,85648,0.5,86125,0.6,171369,0.4,228739,1.75 108,1,2024-09-07 09:07:51:300,592299,592299,0,0,277327212266,2893888823,587211,4570,518,368,391857,0 108,2,2024-09-07 09:07:51:755,424535,424535,0,0,19548430,0,4246 108,3,2024-09-07 09:07:51:330,1,337,0,0,749,6768,337,0 109,0,2024-09-07 09:07:51:828,83770,0.4,82762,0.5,165897,0.3,221745,1.75 109,1,2024-09-07 09:07:50:588,590328,590328,0,0,277028230724,2906381926,585124,4540,664,383,392132,0 109,2,2024-09-07 09:07:50:938,427408,427408,0,0,19133558,0,3617 109,3,2024-09-07 09:07:51:200,1,337,10,0,379,4066,337,0 110,0,2024-09-07 09:07:51:763,81468,0.4,79219,0.6,165939,0.3,217864,1.75 110,1,2024-09-07 09:07:51:645,593478,593478,0,0,277689177068,2882432637,589480,3024,974,369,392045,0 110,2,2024-09-07 09:07:51:312,426713,426713,0,0,18860460,0,4067 110,3,2024-09-07 09:07:50:694,1,337,1,0,722,5091,337,0 111,0,2024-09-07 09:07:51:424,85611,0.4,84942,0.6,170091,0.4,227036,1.75 111,1,2024-09-07 09:07:51:005,593560,593560,0,0,279323747096,2898944889,590180,3028,352,382,391690,0 111,2,2024-09-07 09:07:51:116,422853,422853,0,0,18175214,0,4823 111,3,2024-09-07 09:07:50:912,1,337,1,0,379,4112,337,0 112,0,2024-09-07 09:07:50:919,87552,0.3,86924,0.4,174296,0.2,231443,1.50 112,1,2024-09-07 09:07:50:836,593616,593616,0,0,277343275284,2877230708,590155,2877,584,380,391624,0 112,2,2024-09-07 09:07:51:134,425203,425202,1,0,16571743,0,5036 112,3,2024-09-07 09:07:50:603,1,337,12,0,282,3472,337,0 113,0,2024-09-07 09:07:50:876,84879,0.3,84974,0.5,170489,0.2,227017,1.50 113,1,2024-09-07 09:07:51:697,595673,595673,0,0,277868422240,2867319048,592392,2697,584,366,391661,0 113,2,2024-09-07 09:07:51:308,430818,430818,0,0,15307383,0,3813 113,3,2024-09-07 09:07:50:687,1,337,2,0,340,4055,337,0 114,0,2024-09-07 09:07:50:880,81066,0.3,81672,0.5,162065,0.2,216947,1.75 114,1,2024-09-07 09:07:50:722,593213,593213,0,0,277359272082,2882868760,588089,3530,1594,381,391556,0 114,2,2024-09-07 09:07:50:881,429309,429308,1,0,16903172,0,5069 114,3,2024-09-07 09:07:51:278,1,337,1,0,395,2971,337,0 115,0,2024-09-07 09:07:50:574,86342,0.3,87040,0.4,174001,0.2,231230,1.50 115,1,2024-09-07 09:07:50:576,592872,592872,0,0,276819683522,2875548422,588008,4024,840,382,391757,0 115,2,2024-09-07 09:07:51:126,424562,424562,0,0,15516931,0,3453 115,3,2024-09-07 09:07:51:003,1,337,0,0,159,1978,337,0 116,0,2024-09-07 09:07:51:779,85930,0.8,85740,0.9,172014,1.0,230448,2.25 116,1,2024-09-07 09:07:50:803,589712,589712,0,0,275996666758,2912418916,582112,5332,2268,380,391782,0 116,2,2024-09-07 09:07:51:764,423868,423868,0,0,21170630,0,3529 116,3,2024-09-07 09:07:50:929,1,337,18,0,415,4244,337,0 117,0,2024-09-07 09:07:50:970,85882,0.7,85403,0.8,171032,0.8,228807,2.00 117,1,2024-09-07 09:07:51:684,591365,591365,0,0,276550140618,2895811067,585059,5638,668,370,392033,0 117,2,2024-09-07 09:07:51:123,430713,430713,0,0,17636013,0,3700 117,3,2024-09-07 09:07:51:068,1,337,12,0,490,4957,337,0 118,0,2024-09-07 09:07:51:776,78428,0.5,80421,0.7,164299,0.5,214786,2.00 118,1,2024-09-07 09:07:50:586,590970,590970,0,0,275841865000,2900936887,582175,6897,1898,366,391907,0 118,2,2024-09-07 09:07:51:590,428076,428076,0,0,19483754,0,2781 118,3,2024-09-07 09:07:51:767,1,337,4,0,235,3727,337,0 119,0,2024-09-07 09:07:51:385,85050,0.6,85436,0.8,170913,0.6,227431,2.00 119,1,2024-09-07 09:07:50:565,592344,592344,0,0,277150758461,2899339012,585776,5731,837,367,391780,0 119,2,2024-09-07 09:07:51:278,423832,423832,0,0,18129134,0,4174 119,3,2024-09-07 09:07:51:333,1,337,1,0,563,5149,337,0 120,0,2024-09-07 09:07:51:566,84397,0.7,84120,0.9,168430,0.7,225738,2.25 120,1,2024-09-07 09:07:50:877,591520,591520,0,0,276497201627,2902636465,585099,5865,556,368,391961,0 120,2,2024-09-07 09:07:50:773,424682,424681,1,0,21204307,0,5281 120,3,2024-09-07 09:07:51:295,1,337,1,0,241,4257,337,0 121,0,2024-09-07 09:07:51:707,85649,1.0,85825,1.0,172220,1.3,228954,2.25 121,1,2024-09-07 09:07:51:678,592365,592365,0,0,277027399187,2899254214,586456,5472,437,367,391840,0 121,2,2024-09-07 09:07:51:128,425423,425423,0,0,20484889,0,4127 121,3,2024-09-07 09:07:50:747,1,337,1,0,269,3884,337,0 122,0,2024-09-07 09:07:51:774,81938,0.7,79900,0.8,167483,0.8,219596,2.00 122,1,2024-09-07 09:07:50:876,591035,591035,0,0,276381728678,2901992834,582575,7198,1262,366,392130,0 122,2,2024-09-07 09:07:51:329,429199,429126,73,0,22987585,0,5989 122,3,2024-09-07 09:07:50:595,1,337,3,0,411,6008,337,0 123,0,2024-09-07 09:07:50:963,82685,0.7,80896,0.8,168698,0.8,221750,2.00 123,1,2024-09-07 09:07:50:575,590505,590505,0,0,276955439165,2921700820,579065,9314,2126,369,392039,0 123,2,2024-09-07 09:07:51:026,423323,423322,1,0,19820316,0,5215 123,3,2024-09-07 09:07:51:132,1,337,4,0,168,3877,337,0 124,0,2024-09-07 09:07:50:945,87365,0.4,87429,0.5,164767,0.3,226035,1.75 124,1,2024-09-07 09:07:51:026,593273,593273,0,0,276513338844,2878610342,588556,3807,910,367,392178,0 124,2,2024-09-07 09:07:51:026,425403,425350,53,0,17750392,0,6487 124,3,2024-09-07 09:07:50:764,1,337,3,0,490,3471,337,0 125,0,2024-09-07 09:07:51:440,86360,0.4,85855,0.6,172830,0.4,229818,1.75 125,1,2024-09-07 09:07:50:855,591874,591874,0,0,276739362218,2890945862,586994,4236,644,383,391702,0 125,2,2024-09-07 09:07:51:135,425674,425674,0,0,18392193,0,4534 125,3,2024-09-07 09:07:51:138,1,337,4,0,709,4613,337,0 126,0,2024-09-07 09:07:51:427,84814,0.4,87102,0.6,167024,0.4,225730,1.75 126,1,2024-09-07 09:07:50:565,593654,593654,0,0,277280369362,2874668401,589918,3453,283,365,391987,0 126,2,2024-09-07 09:07:50:626,429918,429918,0,0,18486828,0,4539 126,3,2024-09-07 09:07:50:913,1,337,1,0,207,4226,337,0 127,0,2024-09-07 09:07:51:626,80540,0.3,81087,0.5,161524,0.3,215093,1.75 127,1,2024-09-07 09:07:50:576,592986,592986,0,0,276793067640,2876707598,587589,4537,860,364,392187,0 127,2,2024-09-07 09:07:50:641,427661,427661,0,0,17043803,0,3897 127,3,2024-09-07 09:07:51:275,1,337,1,0,968,4021,337,0 128,0,2024-09-07 09:07:51:569,86113,0.3,86398,0.5,172866,0.2,228891,1.50 128,1,2024-09-07 09:07:51:608,593029,593029,0,0,277650709116,2883786691,588928,3730,371,367,391798,0 128,2,2024-09-07 09:07:51:404,424551,424551,0,0,15934198,0,2915 128,3,2024-09-07 09:07:50:771,1,337,1,0,1082,5921,337,0 129,0,2024-09-07 09:07:51:009,87521,0.3,87077,0.5,174646,0.3,232209,1.75 129,1,2024-09-07 09:07:50:582,590251,590251,0,0,276731868262,2898532680,584608,4387,1256,379,391835,0 129,2,2024-09-07 09:07:50:691,425306,425306,0,0,16828114,0,4031 129,3,2024-09-07 09:07:50:705,1,337,2,0,469,4476,337,0 130,0,2024-09-07 09:07:51:791,85987,0.5,85415,0.6,172075,0.5,228816,1.75 130,1,2024-09-07 09:07:50:589,593014,593014,0,0,277194305978,2882816804,589599,3136,279,381,391825,0 130,2,2024-09-07 09:07:51:127,430347,430347,0,0,16762042,0,4067 130,3,2024-09-07 09:07:51:301,1,337,1,0,450,4156,337,0 131,0,2024-09-07 09:07:52:029,80538,0.3,81060,0.5,162952,0.3,215966,1.75 131,1,2024-09-07 09:07:51:822,593301,593301,0,0,277135570537,2892624439,588823,3731,747,381,391865,0 131,2,2024-09-07 09:07:50:575,431100,431100,0,0,15492270,0,2415 131,3,2024-09-07 09:07:51:693,1,337,2,0,392,3525,337,0 132,0,2024-09-07 09:07:51:429,85814,0.4,86568,0.6,172558,0.4,229888,1.75 132,1,2024-09-07 09:07:50:593,589975,589975,0,0,275944475082,2907231623,581336,7174,1465,381,392097,0 132,2,2024-09-07 09:07:50:732,422715,422715,0,0,20616741,0,4606 132,3,2024-09-07 09:07:51:691,1,337,0,0,804,6203,337,0 133,0,2024-09-07 09:07:51:575,83743,0.5,85539,0.6,175792,0.4,228682,2.00 133,1,2024-09-07 09:07:50:603,590180,590180,0,0,275560052168,2905710550,582003,7094,1083,383,391914,0 133,2,2024-09-07 09:07:51:093,423809,423809,0,0,21951242,0,4315 133,3,2024-09-07 09:07:51:302,1,337,2,0,479,3631,337,0 134,0,2024-09-07 09:07:50:999,86466,0.5,86344,0.7,172715,0.5,230260,2.00 134,1,2024-09-07 09:07:50:589,590652,590652,0,0,275634328135,2895934447,582332,6464,1856,366,391718,0 134,2,2024-09-07 09:07:51:761,426607,426607,0,0,18317270,0,3847 134,3,2024-09-07 09:07:50:764,1,337,1,0,739,4411,337,0 135,0,2024-09-07 09:07:51:101,78643,0.6,78695,0.8,166891,0.7,215572,2.00 135,1,2024-09-07 09:07:51:594,591161,591161,0,0,276634147499,2913077084,582728,7196,1237,380,391805,0 135,2,2024-09-07 09:07:50:697,429879,429879,0,0,19928864,0,3981 135,3,2024-09-07 09:07:51:003,1,337,1,0,299,2639,337,0 136,0,2024-09-07 09:07:51:625,84665,0.6,84722,0.7,168784,0.5,225630,2.25 136,1,2024-09-07 09:07:51:447,590878,590878,0,0,276386298471,2903017376,583862,6320,696,382,391685,0 136,2,2024-09-07 09:07:51:141,424082,424082,0,0,19454319,0,3506 136,3,2024-09-07 09:07:51:124,1,337,1,0,637,3873,337,0 137,0,2024-09-07 09:07:50:989,87433,0.7,85118,0.8,167122,0.8,226874,2.00 137,1,2024-09-07 09:07:50:584,590637,590637,0,0,276427631250,2903483682,581059,7697,1881,366,391708,0 137,2,2024-09-07 09:07:51:705,424075,424075,0,0,21783497,0,3185 137,3,2024-09-07 09:07:50:774,1,337,1,0,382,4044,337,0 138,0,2024-09-07 09:07:51:763,85288,1.3,85343,1.1,171447,1.8,227701,2.25 138,1,2024-09-07 09:07:51:685,591490,591490,0,0,276992724182,2909861342,582447,7447,1596,368,391954,0 138,2,2024-09-07 09:07:50:608,425190,425190,0,0,19564218,0,4988 138,3,2024-09-07 09:07:50:616,1,337,1,0,1160,4834,337,0 139,0,2024-09-07 09:07:51:462,82125,1.2,82460,1.0,165304,1.7,219911,2.25 139,1,2024-09-07 09:07:50:582,588902,588902,0,0,274839763138,2921852807,577541,8717,2644,381,391892,0 139,2,2024-09-07 09:07:50:706,426517,426517,0,0,21288606,0,3097 139,3,2024-09-07 09:07:51:670,1,337,4,0,257,3745,337,0 140,0,2024-09-07 09:07:51:641,81875,0.3,81360,0.5,163469,0.2,218251,1.75 140,1,2024-09-07 09:07:51:537,595095,595095,0,0,278295016424,2871174331,591890,2767,438,365,391606,0 140,2,2024-09-07 09:07:50:695,427164,427163,1,0,17555278,0,5036 140,3,2024-09-07 09:07:50:774,1,337,1,0,247,2732,337,0 141,0,2024-09-07 09:07:51:700,85384,0.4,87664,0.5,167431,0.3,226986,1.75 141,1,2024-09-07 09:07:50:874,593501,593501,0,0,277295232196,2882453374,588940,3699,862,379,391614,0 141,2,2024-09-07 09:07:51:692,423597,423597,0,0,17066259,0,3360 141,3,2024-09-07 09:07:51:047,1,337,1,0,391,3866,337,0 142,0,2024-09-07 09:07:51:308,87722,0.3,86866,0.5,173715,0.3,231879,1.75 142,1,2024-09-07 09:07:50:589,593439,593439,0,0,277071339893,2890249728,589475,3613,351,382,392102,0 142,2,2024-09-07 09:07:51:300,424359,424327,32,0,18538393,0,6028 142,3,2024-09-07 09:07:51:746,1,337,1,0,484,4155,337,0 143,0,2024-09-07 09:07:51:418,85313,0.4,85046,0.6,170554,0.4,227314,1.75 143,1,2024-09-07 09:07:50:576,593362,593362,0,0,277426443530,2879563561,589590,3622,150,367,391705,0 143,2,2024-09-07 09:07:50:839,429699,429699,0,0,17926666,0,3123 143,3,2024-09-07 09:07:51:150,1,337,9,0,303,3989,337,0 144,0,2024-09-07 09:07:51:542,78313,0.5,80318,0.8,163708,0.4,215020,2.00 144,1,2024-09-07 09:07:50:567,590933,590933,0,0,275514583260,2888302210,586079,3913,941,381,391649,0 144,2,2024-09-07 09:07:51:759,429203,429203,0,0,16192902,0,3473 144,3,2024-09-07 09:07:51:748,1,337,0,0,249,3442,337,0 145,0,2024-09-07 09:07:51:368,83616,0.6,83639,0.8,177519,0.6,228700,2.25 145,1,2024-09-07 09:07:50:552,590088,590088,0,0,276405411001,2907556241,582733,6215,1140,382,391759,0 145,2,2024-09-07 09:07:51:430,421482,421482,0,0,19817443,0,3903 145,3,2024-09-07 09:07:50:914,1,337,1,0,622,5103,337,0 146,0,2024-09-07 09:07:51:626,86202,0.6,85616,0.8,172619,0.6,229030,2.25 146,1,2024-09-07 09:07:51:594,591745,591745,0,0,276389983677,2908168309,582042,7693,2010,368,391770,0 146,2,2024-09-07 09:07:51:695,423607,423607,0,0,19533725,0,2730 146,3,2024-09-07 09:07:51:283,1,337,1,0,1520,7051,337,0 147,0,2024-09-07 09:07:51:709,85898,0.6,85580,0.7,170468,0.5,228418,2.25 147,1,2024-09-07 09:07:51:384,593334,593334,0,0,277443246746,2888512779,587673,4860,801,368,391791,0 147,2,2024-09-07 09:07:51:055,428552,428552,0,0,17333722,0,2789 147,3,2024-09-07 09:07:50:921,1,337,13,0,730,4954,337,0 0,0,2024-09-07 09:08:01:737,82668,0.7,82705,0.8,175520,0.8,227050,2.00 0,1,2024-09-07 09:08:00:800,593844,593844,0,0,277632760381,2903299383,589713,3886,245,370,391896,0 0,2,2024-09-07 09:08:01:067,426633,426633,0,0,17086698,0,4480 0,3,2024-09-07 09:08:00:974,1,338,1,0,431,4642,338,0 1,0,2024-09-07 09:08:01:818,86203,0.8,85671,0.9,172070,1.0,229751,2.00 1,1,2024-09-07 09:08:00:565,592695,592695,0,0,277218785102,2903367028,587097,4372,1226,370,391857,0 1,2,2024-09-07 09:08:00:646,426897,426897,0,0,16585424,0,3267 1,3,2024-09-07 09:08:01:310,1,338,1,0,262,3940,338,0 2,0,2024-09-07 09:08:01:569,82344,0.6,82696,0.7,164190,0.7,220035,2.00 2,1,2024-09-07 09:08:00:858,595082,595082,0,0,278421823316,2891087569,592185,2604,293,380,391745,0 2,2,2024-09-07 09:08:01:272,431008,431008,0,0,16529765,0,3594 2,3,2024-09-07 09:08:00:691,1,338,1,0,357,3392,338,0 3,0,2024-09-07 09:08:01:746,83516,0.4,83407,0.6,166744,0.3,222709,1.75 3,1,2024-09-07 09:08:01:621,593619,593619,0,0,277332952298,2888217431,588521,4475,623,380,391591,0 3,2,2024-09-07 09:08:01:145,426227,426204,23,0,16928591,0,5851 3,3,2024-09-07 09:08:01:756,1,338,1,0,207,2220,338,0 4,0,2024-09-07 09:08:01:824,82363,0.4,84863,0.5,172400,0.4,225479,1.75 4,1,2024-09-07 09:08:00:592,592402,592402,0,0,276864569768,2917378613,584955,6091,1356,371,391992,0 4,2,2024-09-07 09:08:01:018,424122,424122,0,0,19887934,0,4528 4,3,2024-09-07 09:08:01:027,1,338,1,0,448,4783,338,0 5,0,2024-09-07 09:08:01:433,86189,0.5,86538,0.6,172732,0.4,229516,1.75 5,1,2024-09-07 09:08:00:771,592745,592745,0,0,277195228266,2915210657,585578,5811,1356,367,392005,0 5,2,2024-09-07 09:08:01:851,424942,424942,0,0,18967348,0,2432 5,3,2024-09-07 09:08:01:733,1,338,2,0,457,4950,338,0 6,0,2024-09-07 09:08:00:921,85152,0.4,84629,0.6,169310,0.4,225416,2.00 6,1,2024-09-07 09:08:00:747,592791,592791,0,0,277246994026,2898562700,586180,5526,1085,379,391694,0 6,2,2024-09-07 09:08:01:124,429931,429931,0,0,18205424,0,4816 6,3,2024-09-07 09:08:01:277,1,338,7,0,340,3725,338,0 7,0,2024-09-07 09:08:01:543,80704,0.4,81074,0.6,161487,0.4,215222,1.75 7,1,2024-09-07 09:08:00:850,592065,592065,0,0,277035657018,2909426067,583995,6894,1176,382,391747,0 7,2,2024-09-07 09:08:00:778,428912,428912,0,0,18375466,0,4791 7,3,2024-09-07 09:08:00:851,1,338,1,0,398,3853,338,0 8,0,2024-09-07 09:08:01:344,86064,0.4,85961,0.5,172054,0.3,228978,1.75 8,1,2024-09-07 09:08:01:019,591403,591403,0,0,277058378820,2918693760,581252,7874,2277,366,392144,0 8,2,2024-09-07 09:08:00:790,420801,420801,0,0,22392935,0,3220 8,3,2024-09-07 09:08:00:591,1,338,1,0,538,5820,338,0 9,0,2024-09-07 09:08:01:157,87298,0.4,84874,0.5,177488,0.4,232559,1.75 9,1,2024-09-07 09:08:00:558,592135,592135,0,0,277094295134,2922564949,583084,6926,2125,369,392001,0 9,2,2024-09-07 09:08:01:097,425214,425214,0,0,19759240,0,3360 9,3,2024-09-07 09:08:01:758,1,338,1,0,496,5011,338,0 10,0,2024-09-07 09:08:01:605,85979,0.3,85222,0.5,171288,0.2,228298,1.75 10,1,2024-09-07 09:08:00:593,593309,593309,0,0,277587486842,2908638123,585426,6774,1109,381,391741,0 10,2,2024-09-07 09:08:00:764,430315,430315,0,0,21507770,0,4264 10,3,2024-09-07 09:08:00:876,1,338,1,0,296,3002,338,0 11,0,2024-09-07 09:08:01:007,80651,0.4,78290,0.6,163676,0.3,216701,1.75 11,1,2024-09-07 09:08:00:573,593100,593100,0,0,277980648308,2921110230,583086,7566,2448,383,391756,0 11,2,2024-09-07 09:08:01:124,429544,429544,0,0,19484184,0,4130 11,3,2024-09-07 09:08:01:302,1,338,1,0,843,5360,338,0 12,0,2024-09-07 09:08:00:971,86976,0.4,86954,0.5,173685,0.3,231512,1.75 12,1,2024-09-07 09:08:00:939,593442,593442,0,0,276771840330,2887712651,588582,4368,492,370,391870,0 12,2,2024-09-07 09:08:01:541,424741,424741,0,0,18956710,0,3469 12,3,2024-09-07 09:08:01:063,1,338,1,0,386,5502,338,0 13,0,2024-09-07 09:08:01:334,86824,0.4,86417,0.6,172536,0.4,230388,1.75 13,1,2024-09-07 09:08:01:539,592512,592512,0,0,276643033975,2910616269,586603,4499,1410,382,391740,0 13,2,2024-09-07 09:08:00:601,427012,427012,0,0,17376043,0,3287 13,3,2024-09-07 09:08:01:761,1,338,4,0,522,5475,338,0 14,0,2024-09-07 09:08:00:566,86682,0.4,87306,0.6,172781,0.3,230361,1.75 14,1,2024-09-07 09:08:01:562,596934,596934,0,0,278320144626,2883787868,592248,4327,359,364,391571,0 14,2,2024-09-07 09:08:00:764,428534,428504,30,0,19118334,0,6104 14,3,2024-09-07 09:08:01:121,1,338,1,0,1168,4094,338,0 15,0,2024-09-07 09:08:01:552,81525,0.4,81513,0.6,163187,0.4,217170,2.00 15,1,2024-09-07 09:08:01:607,594074,594074,0,0,278332957109,2898685509,589734,3518,822,381,391619,0 15,2,2024-09-07 09:08:00:999,431820,431820,0,0,15730684,0,3622 15,3,2024-09-07 09:08:01:405,1,338,5,0,1126,6230,338,0 16,0,2024-09-07 09:08:00:929,84673,0.6,85015,0.7,169633,0.6,226278,2.00 16,1,2024-09-07 09:08:00:585,594419,594419,0,0,278034353948,2902390225,590128,3929,362,370,391756,0 16,2,2024-09-07 09:08:01:438,424785,424785,0,0,17988125,0,4719 16,3,2024-09-07 09:08:01:145,1,338,5,0,317,4680,338,0 17,0,2024-09-07 09:08:01:816,87493,0.7,85424,0.8,167013,0.7,226785,2.00 17,1,2024-09-07 09:08:00:573,592464,592464,0,0,276171474841,2898915561,586225,4981,1258,368,392075,0 17,2,2024-09-07 09:08:01:688,428713,428713,0,0,17655412,0,2857 17,3,2024-09-07 09:08:00:583,1,338,1,0,298,5610,338,0 18,0,2024-09-07 09:08:00:946,84981,0.6,85321,0.8,170143,0.6,227000,2.25 18,1,2024-09-07 09:08:01:640,594738,594738,0,0,278430965968,2885769302,591402,3025,311,367,391649,0 18,2,2024-09-07 09:08:01:758,428791,428791,0,0,16371812,0,3541 18,3,2024-09-07 09:08:00:895,1,338,2,0,163,2932,338,0 19,0,2024-09-07 09:08:01:545,82795,0.6,83486,0.8,165200,0.5,219830,2.25 19,1,2024-09-07 09:08:00:580,595119,595119,0,0,278385206821,2888949644,589995,4285,839,367,391777,0 19,2,2024-09-07 09:08:01:752,431872,431872,0,0,15154881,0,3988 19,3,2024-09-07 09:08:01:135,1,338,1,0,524,2584,338,0 20,0,2024-09-07 09:08:01:373,81830,0.5,81745,0.7,163449,0.5,218956,2.00 20,1,2024-09-07 09:08:00:583,593003,593003,0,0,278444463812,2908566991,588668,3928,407,369,391886,0 20,2,2024-09-07 09:08:00:939,427724,427724,0,0,18369687,0,3721 20,3,2024-09-07 09:08:00:589,1,338,5,0,414,5391,338,0 21,0,2024-09-07 09:08:01:166,85409,0.5,85398,0.7,170650,0.5,226308,2.00 21,1,2024-09-07 09:08:01:540,592406,592406,0,0,276842817234,2917922699,584410,6116,1880,368,392016,0 21,2,2024-09-07 09:08:01:070,423069,423069,0,0,21388796,0,3747 21,3,2024-09-07 09:08:01:410,1,338,1,0,713,4049,338,0 22,0,2024-09-07 09:08:01:721,86623,0.6,86974,0.7,174050,0.5,230242,2.00 22,1,2024-09-07 09:08:01:024,593380,593380,0,0,276860356530,2910328578,584890,6670,1820,382,391667,0 22,2,2024-09-07 09:08:00:765,424974,424974,0,0,17435873,0,3134 22,3,2024-09-07 09:08:01:069,1,338,2,0,228,2840,338,0 23,0,2024-09-07 09:08:01:381,84827,0.5,84785,0.7,169899,0.5,226287,2.25 23,1,2024-09-07 09:08:01:003,593148,593148,0,0,277958017625,2920511876,582654,7336,3158,365,391690,0 23,2,2024-09-07 09:08:01:099,431224,431224,0,0,17402166,0,3010 23,3,2024-09-07 09:08:01:755,1,338,1,0,720,4738,338,0 24,0,2024-09-07 09:08:00:825,81457,0.4,81448,0.5,163443,0.3,217328,1.75 24,1,2024-09-07 09:08:00:583,592331,592331,0,0,277056890880,2907977784,585436,5366,1529,368,392269,0 24,2,2024-09-07 09:08:01:081,428275,428275,0,0,21171078,0,3607 24,3,2024-09-07 09:08:01:685,1,338,0,0,468,4534,338,0 25,0,2024-09-07 09:08:01:344,89191,0.4,86931,0.6,170260,0.4,231987,2.00 25,1,2024-09-07 09:08:00:564,593473,593473,0,0,277850726261,2916829590,585786,6318,1369,371,391928,0 25,2,2024-09-07 09:08:01:607,423417,423417,0,0,21130778,0,3978 25,3,2024-09-07 09:08:01:000,1,338,4,0,255,3383,338,0 26,0,2024-09-07 09:08:01:742,86283,0.4,84270,0.6,176833,0.4,230549,1.75 26,1,2024-09-07 09:08:01:541,593590,593590,0,0,276630660707,2900675286,584704,7350,1536,380,391748,0 26,2,2024-09-07 09:08:00:860,426310,426310,0,0,20913993,0,2809 26,3,2024-09-07 09:08:01:716,1,338,0,0,796,4402,338,0 27,0,2024-09-07 09:08:01:737,86195,0.4,86243,0.6,171051,0.4,228646,2.00 27,1,2024-09-07 09:08:01:676,595827,595827,0,0,278562947980,2900742494,590557,4504,766,381,391626,0 27,2,2024-09-07 09:08:00:980,426864,426799,65,0,20678343,0,5699 27,3,2024-09-07 09:08:01:018,1,338,0,0,564,3639,338,0 28,0,2024-09-07 09:08:01:395,81300,0.4,81069,0.6,162467,0.3,217203,2.00 28,1,2024-09-07 09:08:00:801,594743,594743,0,0,278867679429,2906075350,590363,3677,703,383,391698,0 28,2,2024-09-07 09:08:01:768,430138,430138,0,0,18288625,0,2915 28,3,2024-09-07 09:08:01:777,1,338,0,0,502,3701,338,0 29,0,2024-09-07 09:08:01:421,88077,0.4,85764,0.6,168266,0.3,229527,1.75 29,1,2024-09-07 09:08:01:569,596180,596180,0,0,278366644177,2883678574,591838,3657,685,369,391753,0 29,2,2024-09-07 09:08:00:867,423329,423329,0,0,17542389,0,4986 29,3,2024-09-07 09:08:00:969,1,338,3,0,459,4220,338,0 30,0,2024-09-07 09:08:01:460,84802,0.6,82422,0.7,172499,0.5,226063,2.00 30,1,2024-09-07 09:08:00:575,595466,595466,0,0,278710427780,2895612035,590647,4109,710,381,391672,0 30,2,2024-09-07 09:08:01:277,426423,426423,0,0,16510326,0,3161 30,3,2024-09-07 09:08:00:591,1,338,0,0,519,3447,338,0 31,0,2024-09-07 09:08:01:760,85750,0.4,86075,0.6,172218,0.4,229456,2.00 31,1,2024-09-07 09:08:00:565,597768,597768,0,0,279442165320,2866558602,595225,1984,559,356,391712,0 31,2,2024-09-07 09:08:01:276,427578,427578,0,0,18329019,0,3525 31,3,2024-09-07 09:08:01:709,1,338,3,0,220,2919,338,0 32,0,2024-09-07 09:08:01:420,82388,0.3,82765,0.5,165434,0.2,220452,1.75 32,1,2024-09-07 09:08:00:816,595179,595179,0,0,278962790457,2896466431,592036,2697,446,381,391646,0 32,2,2024-09-07 09:08:00:936,431585,431585,0,0,15490168,0,3155 32,3,2024-09-07 09:08:01:017,1,338,0,0,227,2449,338,0 33,0,2024-09-07 09:08:01:533,83758,0.3,83338,0.4,167171,0.2,223149,1.50 33,1,2024-09-07 09:08:00:591,596651,596651,0,0,279365845959,2892496172,592239,3581,831,369,391730,0 33,2,2024-09-07 09:08:00:766,427102,427067,35,0,17549400,0,7012 33,3,2024-09-07 09:08:00:894,1,338,1,0,329,3558,338,0 34,0,2024-09-07 09:08:00:936,85096,0.3,87575,0.5,167460,0.2,224846,1.75 34,1,2024-09-07 09:08:01:048,597079,597079,0,0,279487435533,2872441477,595659,1412,8,367,391562,0 34,2,2024-09-07 09:08:00:766,426008,426008,0,0,16748778,0,3577 34,3,2024-09-07 09:08:01:687,1,338,33,0,299,2495,338,0 35,0,2024-09-07 09:08:00:859,85552,0.4,85917,0.5,172819,0.3,230066,1.75 35,1,2024-09-07 09:08:01:079,595005,595005,0,0,277795572262,2878699568,591397,2827,781,382,391589,0 35,2,2024-09-07 09:08:01:593,426892,426892,0,0,16819243,0,2653 35,3,2024-09-07 09:08:00:907,1,338,1,0,418,4247,338,0 36,0,2024-09-07 09:08:01:571,85134,0.5,85114,0.6,170214,0.4,225089,2.00 36,1,2024-09-07 09:08:00:590,594611,594611,0,0,277970829728,2904136669,587294,5659,1658,366,391759,0 36,2,2024-09-07 09:08:01:758,430789,430789,0,0,19337341,0,3875 36,3,2024-09-07 09:08:00:866,1,338,0,0,416,5355,338,0 37,0,2024-09-07 09:08:01:369,80653,0.5,80577,0.7,161243,0.4,215749,2.00 37,1,2024-09-07 09:08:00:573,593529,593522,0,7,277761020257,2902285383,586747,4689,2086,365,391570,0 37,2,2024-09-07 09:08:01:141,427320,427305,15,0,19053598,0,5815 37,3,2024-09-07 09:08:01:774,1,338,1,0,888,5539,338,0 38,0,2024-09-07 09:08:01:438,85442,0.5,82937,0.7,173612,0.4,226806,2.00 38,1,2024-09-07 09:08:01:605,595371,595371,0,0,278403832353,2898834065,589314,5299,758,368,391821,0 38,2,2024-09-07 09:08:00:762,423957,423910,47,0,19377193,0,6710 38,3,2024-09-07 09:08:00:997,1,338,0,0,689,4691,338,0 39,0,2024-09-07 09:08:01:773,88828,0.6,86864,0.7,169342,0.6,231397,2.00 39,1,2024-09-07 09:08:00:716,593456,593456,0,0,277622738600,2905182740,585023,6972,1461,365,391630,0 39,2,2024-09-07 09:08:01:416,425765,425765,0,0,17456944,0,2689 39,3,2024-09-07 09:08:00:718,1,338,4,0,324,4308,338,0 40,0,2024-09-07 09:08:01:517,84951,0.7,85645,0.9,171001,0.7,228010,2.75 40,1,2024-09-07 09:08:00:596,594485,594485,0,0,276990640635,2900637430,585928,7047,1510,368,391668,0 40,2,2024-09-07 09:08:01:307,429337,429336,1,0,20776487,0,5137 40,3,2024-09-07 09:08:01:141,1,338,0,0,1028,5002,338,0 41,0,2024-09-07 09:08:01:028,80584,1.4,82409,1.2,157412,2.1,214540,3.00 41,1,2024-09-07 09:08:00:792,593250,593250,0,0,277462680974,2902507884,586198,6349,703,369,391742,0 41,2,2024-09-07 09:08:00:764,428574,428574,0,0,19890518,0,3356 41,3,2024-09-07 09:08:01:677,1,338,1,0,366,3388,338,0 42,0,2024-09-07 09:08:01:485,85544,0.8,85792,1.0,171637,0.9,227356,2.50 42,1,2024-09-07 09:08:01:439,592704,592704,0,0,276843986575,2910056866,583165,7781,1758,380,391675,0 42,2,2024-09-07 09:08:01:142,423854,423854,0,0,19961621,0,3790 42,3,2024-09-07 09:08:01:009,1,338,1,0,446,3087,338,0 43,0,2024-09-07 09:08:00:918,85028,1.0,82923,1.1,173698,1.1,227925,2.50 43,1,2024-09-07 09:08:00:591,593659,593659,0,0,278464565677,2909713068,585426,6795,1438,366,391696,0 43,2,2024-09-07 09:08:01:737,426106,426106,0,0,19776402,0,3812 43,3,2024-09-07 09:08:01:749,1,338,1,0,467,4230,338,0 44,0,2024-09-07 09:08:00:865,86451,0.4,86832,0.6,173232,0.4,230397,1.75 44,1,2024-09-07 09:08:00:616,595403,595403,0,0,277819719071,2873865105,590342,4014,1047,356,391809,0 44,2,2024-09-07 09:08:01:267,427940,427940,0,0,16571230,0,4344 44,3,2024-09-07 09:08:01:103,1,338,2,0,817,4493,338,0 45,0,2024-09-07 09:08:01:774,80583,0.5,78601,0.7,164856,0.5,217120,2.00 45,1,2024-09-07 09:08:01:014,594700,594700,0,0,278614112230,2895994794,590266,3958,476,382,391917,0 45,2,2024-09-07 09:08:01:270,430880,430880,0,0,17165533,0,3596 45,3,2024-09-07 09:08:00:937,1,338,1,0,271,3231,338,0 46,0,2024-09-07 09:08:00:956,84154,0.5,84062,0.7,168184,0.5,224048,2.00 46,1,2024-09-07 09:08:00:591,596507,596507,0,0,279193025521,2883813153,592794,3287,426,366,391572,0 46,2,2024-09-07 09:08:00:593,425616,425616,0,0,16122247,0,2920 46,3,2024-09-07 09:08:01:140,1,338,3,0,908,5011,338,0 47,0,2024-09-07 09:08:01:110,85264,0.5,85486,0.6,171194,0.5,226296,2.00 47,1,2024-09-07 09:08:00:567,596526,596526,0,0,278518719806,2882076299,592039,3672,815,366,391641,0 47,2,2024-09-07 09:08:00:908,427824,427824,0,0,16676799,0,4477 47,3,2024-09-07 09:08:01:125,1,338,6,0,529,3720,338,0 48,0,2024-09-07 09:08:01:521,86503,0.3,86121,0.4,171703,0.2,229109,1.50 48,1,2024-09-07 09:08:01:022,594936,594936,0,0,278663855604,2893524069,591379,3254,303,384,391710,0 48,2,2024-09-07 09:08:00:704,427017,427017,0,0,15252598,0,3031 48,3,2024-09-07 09:08:00:765,1,338,2,0,339,2876,338,0 49,0,2024-09-07 09:08:01:718,85455,0.3,83963,0.5,162552,0.3,222425,1.75 49,1,2024-09-07 09:08:01:022,594743,594743,0,0,277486875393,2889252988,590424,3134,1185,382,391809,0 49,2,2024-09-07 09:08:01:798,431117,431117,0,0,16422898,0,4426 49,3,2024-09-07 09:08:01:417,1,338,3,0,408,3509,338,0 50,0,2024-09-07 09:08:01:513,82224,0.3,81041,0.4,163393,0.2,218627,1.75 50,1,2024-09-07 09:08:01:012,596908,596908,0,0,279082318830,2885231663,593089,3445,374,368,391565,0 50,2,2024-09-07 09:08:01:079,427954,427954,0,0,15354761,0,2263 50,3,2024-09-07 09:08:01:302,1,338,1,0,335,3297,338,0 51,0,2024-09-07 09:08:01:705,87533,0.3,85543,0.5,166937,0.3,227135,1.75 51,1,2024-09-07 09:08:01:694,596954,596954,0,0,279641175392,2885031864,593695,2284,975,365,391706,0 51,2,2024-09-07 09:08:01:317,425451,425451,0,0,14802622,0,3337 51,3,2024-09-07 09:08:01:027,1,338,0,0,678,2571,338,0 52,0,2024-09-07 09:08:01:420,87228,0.5,87138,0.7,174151,0.4,231374,2.00 52,1,2024-09-07 09:08:00:583,593827,593827,0,0,277133862641,2903497240,585188,7326,1313,368,391722,0 52,2,2024-09-07 09:08:01:758,423152,423114,38,0,19763863,0,6742 52,3,2024-09-07 09:08:00:675,1,338,4,0,1782,5202,338,0 53,0,2024-09-07 09:08:01:768,84781,0.6,82298,0.8,172199,0.6,225909,2.25 53,1,2024-09-07 09:08:00:785,592523,592523,0,0,277661474007,2915645254,582899,7041,2583,367,391702,0 53,2,2024-09-07 09:08:01:307,431184,431184,0,0,17208282,0,2727 53,3,2024-09-07 09:08:00:703,1,338,1,0,308,3238,338,0 54,0,2024-09-07 09:08:01:621,80016,0.6,80456,0.7,159983,0.4,214624,2.25 54,1,2024-09-07 09:08:00:590,594356,594356,0,0,279043940115,2900100575,588693,5061,602,367,391659,0 54,2,2024-09-07 09:08:00:868,429531,429525,6,0,19537444,0,5382 54,3,2024-09-07 09:08:00:763,1,338,10,0,676,5218,338,0 55,0,2024-09-07 09:08:01:766,83831,0.6,86848,0.7,174957,0.6,227961,2.50 55,1,2024-09-07 09:08:00:763,594445,594445,0,0,278052627312,2893867307,587819,5717,909,365,391731,0 55,2,2024-09-07 09:08:00:734,423319,423319,0,0,18852603,0,3563 55,3,2024-09-07 09:08:00:676,1,338,0,0,304,3836,338,0 56,0,2024-09-07 09:08:01:620,88314,1.2,83252,1.1,171654,1.7,230164,2.50 56,1,2024-09-07 09:08:00:576,591492,591492,0,0,277800585402,2932672501,582759,7103,1630,381,391867,0 56,2,2024-09-07 09:08:01:311,425730,425730,0,0,19754140,0,3567 56,3,2024-09-07 09:08:01:066,1,338,1,0,705,4446,338,0 57,0,2024-09-07 09:08:00:942,84821,1.4,84657,1.2,169771,2.0,227189,3.00 57,1,2024-09-07 09:08:00:989,592871,592871,0,0,277110284165,2904816394,586033,6118,720,366,392032,0 57,2,2024-09-07 09:08:01:317,429752,429752,0,0,21528937,0,3317 57,3,2024-09-07 09:08:01:739,1,338,1,0,359,3969,338,0 58,0,2024-09-07 09:08:00:581,79957,0.8,77744,1.0,162615,1.0,213910,2.50 58,1,2024-09-07 09:08:00:591,593840,593837,0,3,277670487883,2903286414,585718,7037,1082,367,391603,3 58,2,2024-09-07 09:08:01:075,429565,429565,0,0,19725568,0,2549 58,3,2024-09-07 09:08:01:074,1,338,1,0,1043,3619,338,0 59,0,2024-09-07 09:08:01:739,85479,0.7,85088,0.9,169950,0.8,226113,2.75 59,1,2024-09-07 09:08:00:804,593750,593750,0,0,277351756971,2903626744,585888,6342,1520,369,391586,0 59,2,2024-09-07 09:08:00:591,425208,425208,0,0,19305749,0,2867 59,3,2024-09-07 09:08:01:737,1,338,9,0,1015,4360,338,0 60,0,2024-09-07 09:08:01:722,84956,0.5,85050,0.7,170777,0.6,226828,1.75 60,1,2024-09-07 09:08:00:844,595328,595328,0,0,278558807923,2892451449,591217,3465,646,370,392031,0 60,2,2024-09-07 09:08:01:165,426074,426074,0,0,18844501,0,3811 60,3,2024-09-07 09:08:01:266,1,338,29,0,409,3977,338,0 61,0,2024-09-07 09:08:01:502,86004,0.6,86486,0.8,172009,0.6,229228,2.00 61,1,2024-09-07 09:08:00:772,593428,593428,0,0,277426714985,2909366769,586268,5836,1324,382,392127,0 61,2,2024-09-07 09:08:01:117,427916,427849,67,0,19204627,0,6411 61,3,2024-09-07 09:08:01:692,1,338,1,0,479,5077,338,0 62,0,2024-09-07 09:08:01:707,82931,0.5,84744,0.7,161555,0.5,220144,2.00 62,1,2024-09-07 09:08:01:123,597433,597427,0,6,279834799081,2888709555,593849,3319,259,365,391715,6 62,2,2024-09-07 09:08:01:644,429775,429774,1,0,18757079,0,5555 62,3,2024-09-07 09:08:01:152,1,338,0,0,465,2583,338,0 63,0,2024-09-07 09:08:01:467,83750,0.4,83615,0.6,167677,0.3,223396,1.75 63,1,2024-09-07 09:08:00:819,595615,595609,0,6,279409705338,2901029786,591678,3543,388,381,391800,6 63,2,2024-09-07 09:08:00:765,426255,426255,0,0,17024454,0,4369 63,3,2024-09-07 09:08:01:733,1,338,0,0,667,3848,338,0 64,0,2024-09-07 09:08:01:514,84388,0.5,84348,0.7,168750,0.5,223938,2.00 64,1,2024-09-07 09:08:00:759,594839,594839,0,0,277723612753,2895127831,589194,3985,1660,370,391783,0 64,2,2024-09-07 09:08:01:141,429163,429144,19,0,16736180,0,6121 64,3,2024-09-07 09:08:01:140,1,338,2,0,265,3142,338,0 65,0,2024-09-07 09:08:01:707,85316,0.7,85624,0.8,171179,0.7,227941,2.25 65,1,2024-09-07 09:08:00:869,593882,593882,0,0,277476060730,2899550130,589798,3633,451,382,391901,0 65,2,2024-09-07 09:08:01:692,427826,427826,0,0,18479723,0,3367 65,3,2024-09-07 09:08:01:687,1,338,4,0,163,3024,338,0 66,0,2024-09-07 09:08:01:765,84442,0.5,84358,0.7,168947,0.4,223972,2.00 66,1,2024-09-07 09:08:01:293,594760,594760,0,0,278546741244,2895558032,591199,3239,322,380,391653,0 66,2,2024-09-07 09:08:01:133,432240,432240,0,0,16629114,0,4956 66,3,2024-09-07 09:08:01:079,1,338,1,0,291,3224,338,0 67,0,2024-09-07 09:08:01:412,81426,0.5,80840,0.7,162298,0.4,216310,2.00 67,1,2024-09-07 09:08:00:769,595194,595193,0,1,277770875946,2892482945,591028,3414,751,380,391787,1 67,2,2024-09-07 09:08:00:589,429771,429771,0,0,16002954,0,2889 67,3,2024-09-07 09:08:01:750,1,338,44,0,338,2906,338,0 68,0,2024-09-07 09:08:00:573,86301,0.6,85944,0.7,171389,0.6,228777,2.00 68,1,2024-09-07 09:08:00:573,593434,593434,0,0,277701945811,2908611767,588619,3611,1204,381,391953,0 68,2,2024-09-07 09:08:01:051,422969,422904,65,0,21136165,0,6698 68,3,2024-09-07 09:08:00:734,1,338,1,0,417,3696,338,0 69,0,2024-09-07 09:08:01:756,86675,0.8,87160,0.9,173529,1.0,230535,2.25 69,1,2024-09-07 09:08:01:022,591471,591471,0,0,277049990048,2924054447,583455,5906,2110,384,391994,0 69,2,2024-09-07 09:08:01:740,425268,425268,0,0,21402204,0,3722 69,3,2024-09-07 09:08:00:787,1,338,19,0,698,4889,338,0 70,0,2024-09-07 09:08:01:530,84920,0.7,84888,1.0,170955,0.6,226644,2.25 70,1,2024-09-07 09:08:00:802,595101,595101,0,0,279139636760,2897662804,590251,4314,536,366,391725,0 70,2,2024-09-07 09:08:01:329,429355,429355,0,0,19382032,0,4044 70,3,2024-09-07 09:08:00:751,1,338,2,0,854,3805,338,0 71,0,2024-09-07 09:08:01:355,80404,0.8,80144,0.9,161080,0.9,215276,2.50 71,1,2024-09-07 09:08:01:598,593876,593876,0,0,277964535752,2900492840,587265,5955,656,368,391738,0 71,2,2024-09-07 09:08:01:066,429681,429681,0,0,19136902,0,4042 71,3,2024-09-07 09:08:01:750,1,338,1,0,644,4657,338,0 72,0,2024-09-07 09:08:01:036,89184,0.6,87196,0.7,169970,0.6,231788,2.00 72,1,2024-09-07 09:08:01:021,593414,593414,0,0,277874496598,2911654340,585185,6532,1697,369,391819,0 72,2,2024-09-07 09:08:01:769,422882,422882,0,0,21703218,0,3983 72,3,2024-09-07 09:08:01:755,1,338,1,0,564,5378,338,0 73,0,2024-09-07 09:08:01:106,83982,0.5,86021,0.6,176158,0.4,229011,2.00 73,1,2024-09-07 09:08:00:787,594611,594611,0,0,278495106364,2895289307,590291,3990,330,367,391858,0 73,2,2024-09-07 09:08:01:739,426296,426296,0,0,20815159,0,3701 73,3,2024-09-07 09:08:00:979,1,338,9,0,274,4529,338,0 74,0,2024-09-07 09:08:01:320,86813,0.5,88992,0.6,169951,0.4,230148,2.25 74,1,2024-09-07 09:08:00:636,594018,594018,0,0,278174621727,2904358120,587937,4818,1263,381,391681,0 74,2,2024-09-07 09:08:01:004,428247,428247,0,0,19880085,0,4253 74,3,2024-09-07 09:08:01:448,1,338,14,0,522,4817,338,0 75,0,2024-09-07 09:08:01:766,81828,0.4,81396,0.7,163043,0.4,218050,2.25 75,1,2024-09-07 09:08:01:588,593182,593182,0,0,276482679451,2889484212,586825,5532,825,380,391739,0 75,2,2024-09-07 09:08:01:350,429698,429698,0,0,19967309,0,4766 75,3,2024-09-07 09:08:01:074,1,338,2,0,702,4754,338,0 76,0,2024-09-07 09:08:00:582,84416,0.6,83771,0.8,167907,0.6,225385,2.25 76,1,2024-09-07 09:08:00:817,594394,594394,0,0,278115251178,2900717861,590475,3330,589,382,391692,0 76,2,2024-09-07 09:08:01:072,426475,426474,1,0,19086178,0,5144 76,3,2024-09-07 09:08:01:144,1,338,1,0,175,3265,338,0 77,0,2024-09-07 09:08:01:737,84982,0.7,85136,0.8,170319,0.7,226249,2.00 77,1,2024-09-07 09:08:00:853,594171,594171,0,0,277660389954,2901774527,588981,4701,489,381,391869,0 77,2,2024-09-07 09:08:01:281,426313,426313,0,0,18591597,0,3890 77,3,2024-09-07 09:08:01:111,1,338,8,0,401,3835,338,0 78,0,2024-09-07 09:08:01:716,86202,0.5,85772,0.6,172308,0.4,228382,2.00 78,1,2024-09-07 09:08:00:617,594611,594611,0,0,276968788510,2884225281,588747,4719,1145,367,391670,0 78,2,2024-09-07 09:08:01:405,427777,427777,0,0,16164270,0,3855 78,3,2024-09-07 09:08:01:140,1,338,1,0,181,3242,338,0 79,0,2024-09-07 09:08:01:373,80600,0.4,82724,0.6,169033,0.3,219685,2.25 79,1,2024-09-07 09:08:00:573,596270,596270,0,0,278708372490,2886356554,591715,3922,633,368,391682,0 79,2,2024-09-07 09:08:01:074,431630,431630,0,0,17154347,0,4195 79,3,2024-09-07 09:08:00:752,1,338,1,0,418,4316,338,0 80,0,2024-09-07 09:08:01:083,81931,0.5,84393,0.7,161450,0.5,218429,2.00 80,1,2024-09-07 09:08:01:626,594612,594612,0,0,277841274279,2889509978,591261,3172,179,368,391791,0 80,2,2024-09-07 09:08:01:091,429562,429562,0,0,16329343,0,4433 80,3,2024-09-07 09:08:00:582,1,338,1,0,190,4038,338,0 81,0,2024-09-07 09:08:01:540,85290,0.6,87287,0.7,166619,0.6,225913,2.00 81,1,2024-09-07 09:08:01:657,593658,593658,0,0,276473443884,2889634803,588803,4356,499,382,391879,0 81,2,2024-09-07 09:08:01:134,424821,424758,63,0,18183918,0,5932 81,3,2024-09-07 09:08:01:123,1,338,0,0,374,3934,338,0 82,0,2024-09-07 09:08:01:544,86478,0.5,87054,0.7,174403,0.4,230931,2.00 82,1,2024-09-07 09:08:00:590,595758,595754,0,4,278126920958,2894772917,591859,3098,797,381,391768,4 82,2,2024-09-07 09:08:01:690,427877,427877,0,0,15600353,0,3986 82,3,2024-09-07 09:08:01:757,1,338,0,0,363,3439,338,0 83,0,2024-09-07 09:08:01:523,85567,0.5,85338,0.7,170003,0.5,226202,2.00 83,1,2024-09-07 09:08:00:557,594109,594109,0,0,277663813866,2895168812,589798,3930,381,382,391709,0 83,2,2024-09-07 09:08:00:780,430574,430574,0,0,16160401,0,3393 83,3,2024-09-07 09:08:00:751,1,338,1,0,1260,4886,338,0 84,0,2024-09-07 09:08:01:779,80607,0.6,80552,0.8,161102,0.5,216023,2.25 84,1,2024-09-07 09:08:01:054,593598,593598,0,0,277145364750,2896578542,587840,5029,729,367,391967,0 84,2,2024-09-07 09:08:00:579,429390,429390,0,0,20454153,0,4757 84,3,2024-09-07 09:08:01:153,1,338,1,0,908,5156,338,0 85,0,2024-09-07 09:08:01:033,83561,0.7,83543,0.8,177409,0.7,229279,2.25 85,1,2024-09-07 09:08:00:572,592129,592129,0,0,277161685735,2925041309,583603,7154,1372,381,392006,0 85,2,2024-09-07 09:08:00:870,424223,424223,0,0,20083793,0,3656 85,3,2024-09-07 09:08:00:690,1,338,9,0,789,4439,338,0 86,0,2024-09-07 09:08:00:989,86496,0.7,88855,0.8,170129,0.8,230235,2.25 86,1,2024-09-07 09:08:00:834,593899,593899,0,0,277534411659,2906346868,587011,5822,1066,366,391961,0 86,2,2024-09-07 09:08:00:857,424878,424877,1,0,21149604,0,5004 86,3,2024-09-07 09:08:00:590,1,338,23,0,308,4640,338,0 87,0,2024-09-07 09:08:01:310,85548,0.9,85473,0.9,171599,1.1,229015,2.25 87,1,2024-09-07 09:08:00:558,593380,593380,0,0,278018455429,2908295452,587168,5600,612,366,392076,0 87,2,2024-09-07 09:08:01:080,428392,428392,0,0,19265807,0,4045 87,3,2024-09-07 09:08:01:798,1,338,1,0,473,5014,338,0 88,0,2024-09-07 09:08:01:453,81109,0.4,81686,0.6,162779,0.4,216862,1.75 88,1,2024-09-07 09:08:00:570,592335,592335,0,0,277632662245,2910582006,584638,6033,1664,365,392084,0 88,2,2024-09-07 09:08:00:714,430663,430663,0,0,21255256,0,3583 88,3,2024-09-07 09:08:01:270,1,338,2,0,435,3669,338,0 89,0,2024-09-07 09:08:01:772,88265,0.5,85333,0.7,169181,0.4,229658,1.75 89,1,2024-09-07 09:08:00:558,592163,592163,0,0,277077914458,2915710857,584408,6481,1274,382,391866,0 89,2,2024-09-07 09:08:01:145,423728,423728,0,0,20205206,0,2910 89,3,2024-09-07 09:08:01:791,1,338,1,0,385,6241,338,0 90,0,2024-09-07 09:08:01:662,82688,0.5,84764,0.7,173052,0.5,226128,2.00 90,1,2024-09-07 09:08:00:596,593720,593720,0,0,277161099945,2906213853,587516,5702,502,380,391825,0 90,2,2024-09-07 09:08:01:411,424713,424713,0,0,21432509,0,3060 90,3,2024-09-07 09:08:00:930,1,338,1,0,246,3710,338,0 91,0,2024-09-07 09:08:00:933,86553,0.5,83778,0.6,175107,0.4,229803,1.75 91,1,2024-09-07 09:08:00:574,592183,592183,0,0,276851842534,2913241086,584360,6779,1044,381,392047,0 91,2,2024-09-07 09:08:01:334,428014,428014,0,0,19427534,0,2896 91,3,2024-09-07 09:08:00:601,1,338,12,0,216,3189,338,0 92,0,2024-09-07 09:08:01:463,83134,0.4,85335,0.6,162923,0.4,220559,1.75 92,1,2024-09-07 09:08:00:605,593569,593569,0,0,276890910904,2894131984,588758,4124,687,382,392136,0 92,2,2024-09-07 09:08:01:353,431608,431608,0,0,17523827,0,3259 92,3,2024-09-07 09:08:01:010,1,338,1,0,167,2891,338,0 93,0,2024-09-07 09:08:00:964,84066,0.4,86069,0.6,164603,0.3,223366,1.75 93,1,2024-09-07 09:08:00:816,593865,593865,0,0,277715491211,2900078322,587749,5087,1029,366,391776,0 93,2,2024-09-07 09:08:00:930,425535,425535,0,0,20316088,0,4845 93,3,2024-09-07 09:08:01:406,1,338,1,0,190,3247,338,0 94,0,2024-09-07 09:08:01:637,84512,0.4,85321,0.6,170090,0.4,225501,1.75 94,1,2024-09-07 09:08:00:592,594085,594085,0,0,277785984269,2899867798,590047,3848,190,381,391850,0 94,2,2024-09-07 09:08:00:765,425674,425674,0,0,17518019,0,2443 94,3,2024-09-07 09:08:01:690,1,338,1,0,264,4154,338,0 95,0,2024-09-07 09:08:01:406,86033,0.4,86129,0.5,172639,0.3,229837,1.75 95,1,2024-09-07 09:08:00:885,595582,595582,0,0,277748026550,2889616635,590615,4568,399,367,391713,0 95,2,2024-09-07 09:08:01:027,427053,427053,0,0,17294826,0,3308 95,3,2024-09-07 09:08:01:717,1,338,5,0,718,5347,338,0 96,0,2024-09-07 09:08:01:037,85031,0.4,85231,0.5,169989,0.3,224887,1.75 96,1,2024-09-07 09:08:01:584,593501,593501,0,0,277977348133,2900810203,588977,3728,796,384,391955,0 96,2,2024-09-07 09:08:01:272,430961,430961,0,0,17307400,0,4042 96,3,2024-09-07 09:08:01:151,1,338,1,0,411,3710,338,0 97,0,2024-09-07 09:08:01:349,81088,0.3,80873,0.5,162413,0.3,215974,1.50 97,1,2024-09-07 09:08:00:764,595761,595761,0,0,278962194505,2891957278,591882,3207,672,367,392140,0 97,2,2024-09-07 09:08:00:615,429988,429988,0,0,16736742,0,3036 97,3,2024-09-07 09:08:00:574,1,338,1,0,214,4008,338,0 98,0,2024-09-07 09:08:01:755,86120,0.3,85858,0.5,172429,0.3,228806,1.50 98,1,2024-09-07 09:08:00:576,595052,595052,0,0,278051632425,2892272917,592386,2559,107,382,391997,0 98,2,2024-09-07 09:08:00:775,425155,425155,0,0,16596669,0,3080 98,3,2024-09-07 09:08:00:703,1,338,4,0,840,5413,338,0 99,0,2024-09-07 09:08:01:463,87111,0.4,87681,0.5,174484,0.3,232729,1.75 99,1,2024-09-07 09:08:01:724,594742,594742,0,0,278157996627,2896610949,590602,3385,755,381,392069,0 99,2,2024-09-07 09:08:01:427,427383,427383,0,0,18730688,0,3424 99,3,2024-09-07 09:08:00:604,1,338,1,0,199,3150,338,0 100,0,2024-09-07 09:08:01:492,85420,0.7,85412,0.9,170796,0.8,228382,2.25 100,1,2024-09-07 09:08:00:558,591538,591538,0,0,276565559150,2922019676,583070,6972,1496,381,391989,0 100,2,2024-09-07 09:08:01:819,428758,428747,11,0,19756495,0,5417 100,3,2024-09-07 09:08:01:735,1,338,2,0,559,5934,338,0 101,0,2024-09-07 09:08:01:738,82739,0.9,80649,1.0,158081,0.7,216975,2.25 101,1,2024-09-07 09:08:00:573,591410,591410,0,0,277364940296,2921005529,582326,7060,2024,368,391771,0 101,2,2024-09-07 09:08:01:764,427804,427804,0,0,22848033,0,4644 101,3,2024-09-07 09:08:00:948,1,338,3,0,579,4489,338,0 102,0,2024-09-07 09:08:01:040,84092,0.7,86931,0.8,175472,0.7,230580,2.00 102,1,2024-09-07 09:08:01:165,592453,592453,0,0,276983924494,2909418323,585136,6285,1032,369,391891,0 102,2,2024-09-07 09:08:01:742,424971,424917,54,0,19083792,0,6768 102,3,2024-09-07 09:08:01:612,1,338,2,0,410,3649,338,0 103,0,2024-09-07 09:08:01:613,88856,0.7,88775,0.8,167511,0.7,230886,2.00 103,1,2024-09-07 09:08:01:626,591624,591624,0,0,276842996051,2920058888,582804,6824,1996,381,391829,0 103,2,2024-09-07 09:08:00:590,424910,424910,0,0,20128963,0,3173 103,3,2024-09-07 09:08:00:762,1,338,1,0,916,4237,338,0 104,0,2024-09-07 09:08:01:012,85492,0.7,85869,1.0,170579,0.7,229474,2.25 104,1,2024-09-07 09:08:01:599,593254,593254,0,0,276611520155,2909444762,584347,7209,1698,365,392168,0 104,2,2024-09-07 09:08:01:686,427060,427060,0,0,21016912,0,3941 104,3,2024-09-07 09:08:01:434,1,338,3,0,1245,7521,338,0 105,0,2024-09-07 09:08:01:030,80734,0.8,78545,1.1,164441,0.9,216511,2.75 105,1,2024-09-07 09:08:00:569,593454,593454,0,0,277346008664,2910412578,585298,6822,1334,366,391797,0 105,2,2024-09-07 09:08:01:329,429603,429603,0,0,20370098,0,3509 105,3,2024-09-07 09:08:01:316,1,338,9,0,399,5345,338,0 106,0,2024-09-07 09:08:01:061,81751,0.8,83672,0.9,171328,0.9,224686,2.50 106,1,2024-09-07 09:08:01:757,592275,592275,0,0,277234382633,2915840006,582700,8282,1293,369,391914,0 106,2,2024-09-07 09:08:00:773,423016,423016,0,0,19992781,0,2795 106,3,2024-09-07 09:08:00:689,1,338,2,0,470,4332,338,0 107,0,2024-09-07 09:08:01:126,84863,1.2,84755,1.0,169630,1.7,225978,2.25 107,1,2024-09-07 09:08:00:591,591754,591754,0,0,276436343456,2913361702,583787,7053,914,381,392234,0 107,2,2024-09-07 09:08:01:294,424653,424652,1,0,20468615,0,5024 107,3,2024-09-07 09:08:01:757,1,338,14,0,353,4778,338,0 108,0,2024-09-07 09:08:01:811,85744,0.5,86247,0.6,171595,0.4,228992,1.75 108,1,2024-09-07 09:08:01:293,594162,594162,0,0,278456069887,2905342546,589074,4570,518,368,391857,0 108,2,2024-09-07 09:08:01:759,426004,426004,0,0,19569014,0,4246 108,3,2024-09-07 09:08:01:345,1,338,17,0,749,6785,338,0 109,0,2024-09-07 09:08:01:759,83866,0.4,82867,0.5,166100,0.3,222075,1.75 109,1,2024-09-07 09:08:00:591,592095,592095,0,0,277785396987,2914169063,586891,4540,664,383,392132,0 109,2,2024-09-07 09:08:00:921,428805,428805,0,0,19152540,0,3617 109,3,2024-09-07 09:08:01:140,1,338,4,0,379,4070,338,0 110,0,2024-09-07 09:08:01:764,81953,0.4,79673,0.6,166905,0.3,219204,1.75 110,1,2024-09-07 09:08:01:643,595212,595212,0,0,278640546997,2892068574,591214,3024,974,369,392045,0 110,2,2024-09-07 09:08:01:310,427364,427364,0,0,18866781,0,4067 110,3,2024-09-07 09:08:00:689,1,338,37,0,722,5128,338,0 111,0,2024-09-07 09:08:01:438,85726,0.4,85052,0.6,170313,0.4,227327,1.75 111,1,2024-09-07 09:08:01:005,595390,595390,0,0,280212463378,2908009405,592010,3028,352,382,391690,0 111,2,2024-09-07 09:08:01:133,424343,424343,0,0,18195970,0,4823 111,3,2024-09-07 09:08:00:916,1,338,3,0,379,4115,338,0 112,0,2024-09-07 09:08:00:936,87672,0.3,87038,0.4,174552,0.2,231693,1.50 112,1,2024-09-07 09:08:00:826,595349,595349,0,0,278169369677,2885653708,591888,2877,584,380,391624,0 112,2,2024-09-07 09:08:01:149,426765,426764,1,0,16586476,0,5036 112,3,2024-09-07 09:08:00:602,1,338,1,0,282,3473,338,0 113,0,2024-09-07 09:08:00:890,85085,0.3,85196,0.5,170942,0.2,227526,1.50 113,1,2024-09-07 09:08:01:696,597386,597386,0,0,278813432366,2876873949,594105,2697,584,366,391661,0 113,2,2024-09-07 09:08:01:311,431864,431864,0,0,15314995,0,3813 113,3,2024-09-07 09:08:00:684,1,338,1,0,340,4056,338,0 114,0,2024-09-07 09:08:00:874,81475,0.3,82074,0.5,162872,0.2,218103,1.75 114,1,2024-09-07 09:08:00:716,594994,594994,0,0,278185342292,2891264890,589870,3530,1594,381,391556,0 114,2,2024-09-07 09:08:00:873,430363,430362,1,0,16912291,0,5069 114,3,2024-09-07 09:08:01:279,1,338,2,0,395,2973,338,0 115,0,2024-09-07 09:08:00:565,86548,0.3,87255,0.4,174429,0.2,231522,1.50 115,1,2024-09-07 09:08:00:575,594667,594667,0,0,277781865560,2885309932,589803,4024,840,382,391757,0 115,2,2024-09-07 09:08:01:125,426016,426016,0,0,15527216,0,3453 115,3,2024-09-07 09:08:01:003,1,338,1,0,159,1979,338,0 116,0,2024-09-07 09:08:01:715,86204,0.8,86012,0.9,172622,1.0,231214,2.25 116,1,2024-09-07 09:08:00:851,591431,591431,0,0,276745181278,2920079083,583831,5332,2268,380,391782,0 116,2,2024-09-07 09:08:01:775,425157,425157,0,0,21190771,0,3529 116,3,2024-09-07 09:08:00:915,1,338,0,0,415,4244,338,0 117,0,2024-09-07 09:08:00:958,86088,0.7,85618,0.8,171421,0.8,229375,2.00 117,1,2024-09-07 09:08:01:578,593050,593050,0,0,277250189260,2902965817,586744,5638,668,370,392033,0 117,2,2024-09-07 09:08:01:140,431793,431793,0,0,17651906,0,3700 117,3,2024-09-07 09:08:01:063,1,338,1,0,490,4958,338,0 118,0,2024-09-07 09:08:01:780,78820,0.5,80838,0.7,165149,0.4,216054,2.00 118,1,2024-09-07 09:08:00:596,592692,592692,0,0,276662674267,2909342927,583895,6899,1898,366,391907,0 118,2,2024-09-07 09:08:01:586,429449,429449,0,0,19505305,0,2781 118,3,2024-09-07 09:08:01:782,1,338,3,0,235,3730,338,0 119,0,2024-09-07 09:08:01:367,85367,0.6,85742,0.8,171470,0.6,228161,2.00 119,1,2024-09-07 09:08:00:559,594074,594074,0,0,277924124223,2907242048,587506,5731,837,367,391780,0 119,2,2024-09-07 09:08:01:272,425138,425138,0,0,18147937,0,4174 119,3,2024-09-07 09:08:01:328,1,338,3,0,563,5152,338,0 120,0,2024-09-07 09:08:01:560,84699,0.7,84452,0.9,169074,0.7,226625,2.25 120,1,2024-09-07 09:08:00:863,593288,593288,0,0,277226911423,2910270611,586867,5865,556,368,391961,0 120,2,2024-09-07 09:08:00:777,425875,425874,1,0,21237657,0,5281 120,3,2024-09-07 09:08:01:293,1,338,3,0,241,4260,338,0 121,0,2024-09-07 09:08:01:714,85808,1.0,85966,1.0,172547,1.3,229415,2.25 121,1,2024-09-07 09:08:01:666,594088,594088,0,0,277941492740,2908611874,588179,5472,437,367,391840,0 121,2,2024-09-07 09:08:01:135,426910,426910,0,0,20540246,0,4127 121,3,2024-09-07 09:08:00:752,1,338,1,0,269,3885,338,0 122,0,2024-09-07 09:08:01:803,82218,0.7,80171,0.8,168130,0.8,220511,2.00 122,1,2024-09-07 09:08:00:859,592748,592748,0,0,277232860162,2910675490,584288,7198,1262,366,392130,0 122,2,2024-09-07 09:08:01:321,430664,430591,73,0,23031296,0,5989 122,3,2024-09-07 09:08:00:604,1,338,1,0,411,6009,338,0 123,0,2024-09-07 09:08:00:978,83111,0.7,81312,0.8,169619,0.8,222881,2.00 123,1,2024-09-07 09:08:00:565,592288,592288,0,0,277781705991,2930245018,580845,9317,2126,369,392039,0 123,2,2024-09-07 09:08:01:018,424007,424006,1,0,19837236,0,5215 123,3,2024-09-07 09:08:01:133,1,338,1,0,168,3878,338,0 124,0,2024-09-07 09:08:00:941,87488,0.4,87542,0.5,164983,0.3,226363,1.75 124,1,2024-09-07 09:08:01:026,595043,595043,0,0,277420101595,2887865961,590326,3807,910,367,392178,0 124,2,2024-09-07 09:08:01:010,426813,426760,53,0,17767672,0,6487 124,3,2024-09-07 09:08:00:761,1,338,0,0,490,3471,338,0 125,0,2024-09-07 09:08:01:420,86490,0.4,85972,0.6,173042,0.3,230158,1.75 125,1,2024-09-07 09:08:00:859,593660,593660,0,0,277550500486,2899243790,588779,4237,644,383,391702,0 125,2,2024-09-07 09:08:01:127,427112,427112,0,0,18411236,0,4534 125,3,2024-09-07 09:08:01:131,1,338,1,0,709,4614,338,0 126,0,2024-09-07 09:08:01:436,84853,0.4,87141,0.6,167095,0.4,225730,1.75 126,1,2024-09-07 09:08:00:559,595343,595343,0,0,278208517297,2884062313,591607,3453,283,365,391987,0 126,2,2024-09-07 09:08:00:614,431133,431133,0,0,18501142,0,4539 126,3,2024-09-07 09:08:00:906,1,338,0,0,207,4226,338,0 127,0,2024-09-07 09:08:01:652,80918,0.3,81474,0.5,162257,0.3,216017,1.75 127,1,2024-09-07 09:08:00:574,594618,594618,0,0,277732503347,2886210217,589221,4537,860,364,392187,0 127,2,2024-09-07 09:08:00:637,428554,428554,0,0,17056168,0,3897 127,3,2024-09-07 09:08:01:271,1,338,1,0,968,4022,338,0 128,0,2024-09-07 09:08:01:526,86214,0.3,86505,0.5,173087,0.2,229117,1.50 128,1,2024-09-07 09:08:01:607,594786,594786,0,0,278556977613,2892988865,590685,3730,371,367,391798,0 128,2,2024-09-07 09:08:01:395,426116,426116,0,0,15947435,0,2915 128,3,2024-09-07 09:08:00:781,1,338,2,0,1082,5923,338,0 129,0,2024-09-07 09:08:00:993,87751,0.3,87296,0.5,175097,0.3,232804,1.75 129,1,2024-09-07 09:08:00:573,592054,592054,0,0,277579741996,2907241883,586407,4390,1257,379,391835,0 129,2,2024-09-07 09:08:00:691,426641,426641,0,0,16839394,0,4031 129,3,2024-09-07 09:08:00:703,1,338,0,0,469,4476,338,0 130,0,2024-09-07 09:08:01:725,86305,0.5,85745,0.6,172638,0.5,229684,1.75 130,1,2024-09-07 09:08:00:589,594774,594774,0,0,278089385434,2891934513,591358,3137,279,381,391825,0 130,2,2024-09-07 09:08:01:141,431547,431547,0,0,16771997,0,4067 130,3,2024-09-07 09:08:01:294,1,338,1,0,450,4157,338,0 131,0,2024-09-07 09:08:01:962,80811,0.3,81356,0.5,163523,0.3,216624,1.75 131,1,2024-09-07 09:08:01:834,595095,595095,0,0,277981293717,2901247337,590617,3731,747,381,391865,0 131,2,2024-09-07 09:08:00:582,432321,432321,0,0,15501608,0,2415 131,3,2024-09-07 09:08:01:691,1,338,1,0,392,3526,338,0 132,0,2024-09-07 09:08:01:426,86305,0.4,87083,0.6,173576,0.4,231305,1.75 132,1,2024-09-07 09:08:00:598,591715,591715,0,0,276626510063,2914257515,583076,7174,1465,381,392097,0 132,2,2024-09-07 09:08:00:704,424077,424077,0,0,20635294,0,4606 132,3,2024-09-07 09:08:01:689,1,338,1,0,804,6204,338,0 133,0,2024-09-07 09:08:01:524,84022,0.5,85848,0.6,176435,0.4,229514,2.00 133,1,2024-09-07 09:08:00:591,591896,591896,0,0,276468149819,2915018479,583719,7094,1083,383,391914,0 133,2,2024-09-07 09:08:01:087,425113,425113,0,0,21982165,0,4315 133,3,2024-09-07 09:08:01:307,1,338,5,0,479,3636,338,0 134,0,2024-09-07 09:08:00:980,86657,0.5,86507,0.7,173039,0.5,230710,2.00 134,1,2024-09-07 09:08:00:598,592404,592404,0,0,276562604445,2905369758,584084,6464,1856,366,391718,0 134,2,2024-09-07 09:08:01:763,428030,428030,0,0,18346995,0,3847 134,3,2024-09-07 09:08:00:752,1,338,1,0,739,4412,338,0 135,0,2024-09-07 09:08:01:105,78876,0.6,78973,0.8,167458,0.7,216180,2.00 135,1,2024-09-07 09:08:01:602,592965,592965,0,0,277585431627,2922803791,584532,7196,1237,380,391805,0 135,2,2024-09-07 09:08:00:692,431241,431241,0,0,19957518,0,3981 135,3,2024-09-07 09:08:01:003,1,338,1,0,299,2640,338,0 136,0,2024-09-07 09:08:01:620,85064,0.6,85148,0.7,169567,0.5,226683,2.25 136,1,2024-09-07 09:08:01:447,592652,592652,0,0,277132573186,2910769979,585634,6322,696,382,391685,0 136,2,2024-09-07 09:08:01:141,425026,425026,0,0,19482041,0,3506 136,3,2024-09-07 09:08:01:107,1,338,19,0,637,3892,338,0 137,0,2024-09-07 09:08:00:921,87599,0.7,85253,0.8,167439,0.8,227334,2.00 137,1,2024-09-07 09:08:00:597,592398,592398,0,0,277152364247,2911207984,582798,7719,1881,366,391708,0 137,2,2024-09-07 09:08:01:705,425446,425446,0,0,21838868,0,3185 137,3,2024-09-07 09:08:00:769,1,338,1,0,382,4045,338,0 138,0,2024-09-07 09:08:01:753,85396,1.3,85449,1.1,171642,1.8,227939,2.25 138,1,2024-09-07 09:08:01:685,593262,593262,0,0,277845720612,2918565436,584219,7447,1596,368,391954,0 138,2,2024-09-07 09:08:00:586,426586,426586,0,0,19601615,0,4988 138,3,2024-09-07 09:08:00:615,1,338,1,0,1160,4835,338,0 139,0,2024-09-07 09:08:01:368,82239,1.2,82541,1.0,165486,1.7,220238,2.25 139,1,2024-09-07 09:08:00:582,590756,590756,0,0,275807610046,2931939909,579394,8718,2644,381,391892,0 139,2,2024-09-07 09:08:00:693,427943,427943,0,0,21357879,0,3097 139,3,2024-09-07 09:08:01:714,1,338,3,0,257,3748,338,0 140,0,2024-09-07 09:08:01:589,82315,0.3,81833,0.5,164447,0.2,219550,1.75 140,1,2024-09-07 09:08:01:539,596795,596795,0,0,279408243580,2882406219,593590,2767,438,365,391606,0 140,2,2024-09-07 09:08:00:690,427902,427901,1,0,17561606,0,5036 140,3,2024-09-07 09:08:00:780,1,338,1,0,247,2733,338,0 141,0,2024-09-07 09:08:01:700,85494,0.4,87787,0.5,167632,0.3,227308,1.75 141,1,2024-09-07 09:08:00:858,595272,595272,0,0,278206562227,2891699042,590711,3699,862,379,391614,0 141,2,2024-09-07 09:08:01:686,425056,425056,0,0,17083171,0,3360 141,3,2024-09-07 09:08:01:047,1,338,0,0,391,3866,338,0 142,0,2024-09-07 09:08:01:318,87847,0.3,86979,0.5,173937,0.3,232127,1.75 142,1,2024-09-07 09:08:00:593,595175,595175,0,0,277786338596,2897577074,591211,3613,351,382,392102,0 142,2,2024-09-07 09:08:01:300,425885,425853,32,0,18559585,0,6028 142,3,2024-09-07 09:08:01:748,1,338,3,0,484,4158,338,0 143,0,2024-09-07 09:08:01:378,85528,0.4,85272,0.6,171009,0.4,227836,1.75 143,1,2024-09-07 09:08:00:564,595201,595201,0,0,278503003215,2890501153,591427,3624,150,367,391705,0 143,2,2024-09-07 09:08:00:773,430803,430803,0,0,17943134,0,3123 143,3,2024-09-07 09:08:01:140,1,338,3,0,303,3992,338,0 144,0,2024-09-07 09:08:01:505,78698,0.5,80727,0.8,164529,0.4,216193,2.00 144,1,2024-09-07 09:08:00:568,592683,592683,0,0,276417803441,2897517310,587829,3913,941,381,391649,0 144,2,2024-09-07 09:08:01:757,430276,430276,0,0,16201131,0,3473 144,3,2024-09-07 09:08:01:739,1,338,6,0,249,3448,338,0 145,0,2024-09-07 09:08:01:375,83834,0.6,83844,0.8,177933,0.6,228987,2.25 145,1,2024-09-07 09:08:00:560,591945,591945,0,0,277433457841,2918073232,584590,6215,1140,382,391759,0 145,2,2024-09-07 09:08:01:434,423001,423001,0,0,19837463,0,3903 145,3,2024-09-07 09:08:00:903,1,338,1,0,622,5104,338,0 146,0,2024-09-07 09:08:01:599,86490,0.6,85910,0.8,173222,0.6,229828,2.25 146,1,2024-09-07 09:08:01:590,593425,593425,0,0,277196541478,2916648852,583710,7705,2010,368,391770,0 146,2,2024-09-07 09:08:01:698,424937,424937,0,0,19569672,0,2730 146,3,2024-09-07 09:08:01:273,1,338,1,0,1520,7052,338,0 147,0,2024-09-07 09:08:01:698,86108,0.6,85761,0.7,170871,0.5,228992,2.25 147,1,2024-09-07 09:08:01:372,595033,595033,0,0,278488299369,2899100765,589372,4860,801,368,391791,0 147,2,2024-09-07 09:08:01:018,429569,429569,0,0,17346036,0,2789 147,3,2024-09-07 09:08:00:913,1,338,1,0,730,4955,338,0 0,0,2024-09-07 09:08:11:728,83006,0.7,83038,0.8,176205,0.8,227984,2.00 0,1,2024-09-07 09:08:10:809,595571,595571,0,0,278552639837,2912641653,591440,3886,245,369,391896,0 0,2,2024-09-07 09:08:11:069,427910,427910,0,0,17097756,0,4480 0,3,2024-09-07 09:08:10:982,1,339,2,0,431,4644,339,0 1,0,2024-09-07 09:08:11:789,86379,0.8,85832,0.9,172395,1.0,230246,2.00 1,1,2024-09-07 09:08:10:574,594437,594437,0,0,278081096141,2912138832,588838,4373,1226,370,391857,0 1,2,2024-09-07 09:08:10:660,428320,428320,0,0,16599828,0,3267 1,3,2024-09-07 09:08:11:309,1,339,1,0,262,3941,339,0 2,0,2024-09-07 09:08:11:570,82653,0.6,83025,0.7,164862,0.7,220977,2.00 2,1,2024-09-07 09:08:10:859,596816,596816,0,0,279424469433,2901256926,593918,2605,293,380,391745,0 2,2,2024-09-07 09:08:11:265,432443,432443,0,0,16540736,0,3594 2,3,2024-09-07 09:08:10:691,1,339,1,0,357,3393,339,0 3,0,2024-09-07 09:08:11:754,83933,0.4,83821,0.6,167618,0.3,223855,1.75 3,1,2024-09-07 09:08:11:626,595390,595390,0,0,278302431215,2898069701,590292,4475,623,380,391591,0 3,2,2024-09-07 09:08:11:147,427071,427048,23,0,16934084,0,5851 3,3,2024-09-07 09:08:11:756,1,339,3,0,207,2223,339,0 4,0,2024-09-07 09:08:11:823,82470,0.4,84973,0.5,172627,0.4,225810,1.75 4,1,2024-09-07 09:08:10:592,594111,594111,0,0,277424649276,2923146648,586664,6091,1356,371,391992,0 4,2,2024-09-07 09:08:11:020,425517,425517,0,0,19905242,0,4528 4,3,2024-09-07 09:08:11:031,1,339,1,0,448,4784,339,0 5,0,2024-09-07 09:08:11:376,86319,0.5,86657,0.6,172985,0.4,229855,1.75 5,1,2024-09-07 09:08:10:776,594467,594467,0,0,278146160253,2924893438,587300,5811,1356,367,392005,0 5,2,2024-09-07 09:08:11:829,426454,426454,0,0,18994296,0,2432 5,3,2024-09-07 09:08:11:736,1,339,10,0,457,4960,339,0 6,0,2024-09-07 09:08:10:920,85161,0.4,84652,0.6,169338,0.4,225416,2.00 6,1,2024-09-07 09:08:10:749,594603,594603,0,0,278021089492,2906454068,587991,5527,1085,379,391694,0 6,2,2024-09-07 09:08:11:118,431249,431249,0,0,18220723,0,4816 6,3,2024-09-07 09:08:11:274,1,339,1,0,340,3726,339,0 7,0,2024-09-07 09:08:11:532,81078,0.4,81420,0.6,162188,0.4,216163,1.75 7,1,2024-09-07 09:08:10:853,593828,593828,0,0,277866820216,2917893515,585758,6894,1176,382,391747,0 7,2,2024-09-07 09:08:10:772,429747,429747,0,0,18382785,0,4791 7,3,2024-09-07 09:08:10:856,1,339,1,0,398,3854,339,0 8,0,2024-09-07 09:08:11:324,86152,0.4,86053,0.5,172217,0.3,229217,1.75 8,1,2024-09-07 09:08:11:021,593187,593187,0,0,278174847465,2930065973,583036,7874,2277,366,392144,0 8,2,2024-09-07 09:08:10:804,422309,422309,0,0,22477379,0,3220 8,3,2024-09-07 09:08:10:607,1,339,77,0,538,5897,339,0 9,0,2024-09-07 09:08:11:161,87523,0.4,85068,0.5,177928,0.4,233147,1.75 9,1,2024-09-07 09:08:10:573,593895,593895,0,0,277975561833,2931571952,584843,6927,2125,369,392001,0 9,2,2024-09-07 09:08:11:089,426699,426699,0,0,19807627,0,3360 9,3,2024-09-07 09:08:11:769,1,339,3,0,496,5014,339,0 10,0,2024-09-07 09:08:11:599,86303,0.3,85559,0.5,171931,0.2,229207,1.75 10,1,2024-09-07 09:08:10:586,594986,594986,0,0,278320404134,2916311314,587103,6774,1109,381,391741,0 10,2,2024-09-07 09:08:10:772,431502,431502,0,0,21555934,0,4264 10,3,2024-09-07 09:08:10:871,1,339,1,0,296,3003,339,0 11,0,2024-09-07 09:08:11:010,80909,0.4,78571,0.6,164294,0.3,217371,1.75 11,1,2024-09-07 09:08:10:599,594858,594858,0,0,278803230410,2929783847,584841,7569,2448,383,391756,0 11,2,2024-09-07 09:08:11:123,430753,430753,0,0,19501572,0,4130 11,3,2024-09-07 09:08:11:298,1,339,0,0,843,5360,339,0 12,0,2024-09-07 09:08:10:952,87548,0.4,87488,0.5,174809,0.3,232990,1.75 12,1,2024-09-07 09:08:10:950,595165,595165,0,0,277536543570,2895537959,590303,4370,492,370,391870,0 12,2,2024-09-07 09:08:11:541,426243,426243,0,0,18977921,0,3469 12,3,2024-09-07 09:08:11:065,1,339,0,0,386,5502,339,0 13,0,2024-09-07 09:08:11:345,87133,0.4,86762,0.6,173147,0.4,231200,1.75 13,1,2024-09-07 09:08:11:524,594254,594254,0,0,277426858976,2918642188,588345,4499,1410,382,391740,0 13,2,2024-09-07 09:08:10:608,428365,428365,0,0,17393436,0,3287 13,3,2024-09-07 09:08:11:762,1,339,6,0,522,5481,339,0 14,0,2024-09-07 09:08:10:567,86835,0.4,87461,0.6,173068,0.3,230783,1.75 14,1,2024-09-07 09:08:11:565,598646,598646,0,0,279061002374,2891319667,593960,4327,359,364,391571,0 14,2,2024-09-07 09:08:10:768,429683,429653,30,0,19131854,0,6104 14,3,2024-09-07 09:08:11:123,1,339,1,0,1168,4095,339,0 15,0,2024-09-07 09:08:11:567,81747,0.4,81736,0.6,163675,0.4,217801,2.00 15,1,2024-09-07 09:08:11:609,595852,595852,0,0,279082328375,2906346968,591512,3518,822,381,391619,0 15,2,2024-09-07 09:08:10:997,433178,433178,0,0,15745298,0,3622 15,3,2024-09-07 09:08:11:405,1,339,8,0,1126,6238,339,0 16,0,2024-09-07 09:08:10:954,85087,0.6,85388,0.7,170406,0.6,227320,2.00 16,1,2024-09-07 09:08:10:577,596223,596223,0,0,278955285245,2911739048,591932,3929,362,370,391756,0 16,2,2024-09-07 09:08:11:444,425858,425858,0,0,17996887,0,4719 16,3,2024-09-07 09:08:11:150,1,339,4,0,317,4684,339,0 17,0,2024-09-07 09:08:11:832,87657,0.7,85581,0.8,167336,0.7,227244,2.00 17,1,2024-09-07 09:08:10:610,594224,594224,0,0,277092081918,2908275541,587984,4982,1258,368,392075,0 17,2,2024-09-07 09:08:11:682,429942,429942,0,0,17667002,0,2857 17,3,2024-09-07 09:08:10:604,1,339,1,0,298,5611,339,0 18,0,2024-09-07 09:08:10:940,85082,0.6,85420,0.8,170342,0.6,227258,2.25 18,1,2024-09-07 09:08:11:640,596536,596536,0,0,279010437897,2891663410,593200,3025,311,367,391649,0 18,2,2024-09-07 09:08:11:756,430206,430206,0,0,16381049,0,3541 18,3,2024-09-07 09:08:10:895,1,339,4,0,163,2936,339,0 19,0,2024-09-07 09:08:11:539,82900,0.6,83612,0.7,165425,0.5,220163,2.25 19,1,2024-09-07 09:08:10:577,596930,596930,0,0,279179751993,2896998699,591805,4286,839,367,391777,0 19,2,2024-09-07 09:08:11:756,433259,433259,0,0,15164523,0,3988 19,3,2024-09-07 09:08:11:133,1,339,1,0,524,2585,339,0 20,0,2024-09-07 09:08:11:391,82304,0.5,82253,0.7,164490,0.5,220298,2.00 20,1,2024-09-07 09:08:10:609,594762,594762,0,0,279183217070,2916106871,590427,3928,407,369,391886,0 20,2,2024-09-07 09:08:10:928,428455,428455,0,0,18378246,0,3721 20,3,2024-09-07 09:08:10:603,1,339,6,0,414,5397,339,0 21,0,2024-09-07 09:08:11:134,85520,0.5,85491,0.7,170850,0.5,226590,2.00 21,1,2024-09-07 09:08:11:537,594152,594152,0,0,277503769089,2924724712,586156,6116,1880,368,392016,0 21,2,2024-09-07 09:08:11:066,424591,424591,0,0,21413303,0,3747 21,3,2024-09-07 09:08:11:405,1,339,1,0,713,4050,339,0 22,0,2024-09-07 09:08:11:718,86727,0.6,87072,0.7,174266,0.5,230492,2.00 22,1,2024-09-07 09:08:11:023,595195,595195,0,0,277568173968,2917580331,586705,6670,1820,382,391667,0 22,2,2024-09-07 09:08:10:767,426605,426605,0,0,17453491,0,3134 22,3,2024-09-07 09:08:11:065,1,339,1,0,228,2841,339,0 23,0,2024-09-07 09:08:11:373,85047,0.5,84995,0.7,170317,0.5,226800,2.25 23,1,2024-09-07 09:08:11:004,594937,594937,0,0,278676944486,2927822330,584443,7336,3158,365,391690,0 23,2,2024-09-07 09:08:11:096,432268,432268,0,0,17413935,0,3010 23,3,2024-09-07 09:08:11:755,1,339,8,0,720,4746,339,0 24,0,2024-09-07 09:08:10:825,81879,0.4,81891,0.5,164340,0.3,218576,1.75 24,1,2024-09-07 09:08:10:588,594082,594082,0,0,277896579445,2916741145,587187,5366,1529,368,392269,0 24,2,2024-09-07 09:08:11:070,429495,429495,0,0,21196696,0,3607 24,3,2024-09-07 09:08:11:688,1,339,10,0,468,4544,339,0 25,0,2024-09-07 09:08:11:354,89332,0.4,87062,0.6,170568,0.4,232298,2.00 25,1,2024-09-07 09:08:10:564,595071,595071,0,0,278466564267,2923337465,587374,6327,1370,371,391928,0 25,2,2024-09-07 09:08:11:605,424941,424941,0,0,21175135,0,3978 25,3,2024-09-07 09:08:11:005,1,339,4,0,255,3387,339,0 26,0,2024-09-07 09:08:11:733,86582,0.4,84560,0.6,177415,0.4,231346,1.75 26,1,2024-09-07 09:08:11:553,595285,595285,0,0,277326079040,2907798120,586399,7350,1536,380,391748,0 26,2,2024-09-07 09:08:10:868,427566,427566,0,0,21036100,0,2809 26,3,2024-09-07 09:08:11:719,1,339,15,0,796,4417,339,0 27,0,2024-09-07 09:08:11:726,86406,0.4,86485,0.6,171460,0.4,229269,2.00 27,1,2024-09-07 09:08:11:676,597526,597526,0,0,279403594696,2909347949,592256,4504,766,381,391626,0 27,2,2024-09-07 09:08:10:869,428064,427999,65,0,20704271,0,5699 27,3,2024-09-07 09:08:11:018,1,339,0,0,564,3639,339,0 28,0,2024-09-07 09:08:11:406,81754,0.4,81491,0.6,163354,0.3,218443,2.00 28,1,2024-09-07 09:08:10:805,596443,596443,0,0,279620449035,2913763666,592062,3677,704,383,391698,0 28,2,2024-09-07 09:08:11:772,431453,431453,0,0,18314768,0,2915 28,3,2024-09-07 09:08:11:790,1,339,8,0,502,3709,339,0 29,0,2024-09-07 09:08:11:357,88348,0.4,86032,0.6,168823,0.3,230236,1.75 29,1,2024-09-07 09:08:11:574,597980,597980,0,0,279042989562,2890565785,593638,3657,685,369,391753,0 29,2,2024-09-07 09:08:10:870,424642,424642,0,0,17556780,0,4986 29,3,2024-09-07 09:08:10:965,1,339,1,0,459,4221,339,0 30,0,2024-09-07 09:08:11:456,85137,0.6,82722,0.7,173190,0.5,227013,2.00 30,1,2024-09-07 09:08:10:576,597211,597211,0,0,279768696149,2906339365,592392,4109,710,381,391672,0 30,2,2024-09-07 09:08:11:274,427649,427649,0,0,16522911,0,3161 30,3,2024-09-07 09:08:10:582,1,339,1,0,519,3448,339,0 31,0,2024-09-07 09:08:11:767,85896,0.4,86254,0.6,172595,0.4,229951,2.00 31,1,2024-09-07 09:08:10:572,599509,599509,0,0,280529082290,2877482078,596966,1984,559,356,391712,0 31,2,2024-09-07 09:08:11:276,429004,429004,0,0,18347350,0,3525 31,3,2024-09-07 09:08:11:709,1,339,1,0,220,2920,339,0 32,0,2024-09-07 09:08:11:421,82710,0.3,83080,0.5,166097,0.2,221369,1.75 32,1,2024-09-07 09:08:10:813,597052,597052,0,0,280137142328,2908350090,593909,2697,446,381,391646,0 32,2,2024-09-07 09:08:10:939,432994,432994,0,0,15501014,0,3155 32,3,2024-09-07 09:08:11:025,1,339,5,0,227,2454,339,0 33,0,2024-09-07 09:08:11:502,84158,0.3,83761,0.4,168024,0.2,224287,1.50 33,1,2024-09-07 09:08:10:586,598399,598399,0,0,280338312403,2902337460,593986,3582,831,369,391730,0 33,2,2024-09-07 09:08:10:768,427955,427920,35,0,17555692,0,7012 33,3,2024-09-07 09:08:10:894,1,339,3,0,329,3561,339,0 34,0,2024-09-07 09:08:10:936,85210,0.3,87689,0.5,167697,0.2,225185,1.75 34,1,2024-09-07 09:08:11:053,598861,598861,0,0,280514400469,2882800114,597441,1412,8,367,391562,0 34,2,2024-09-07 09:08:10:789,427423,427423,0,0,16758370,0,3577 34,3,2024-09-07 09:08:11:688,1,339,0,0,299,2495,339,0 35,0,2024-09-07 09:08:10:863,85674,0.4,86030,0.5,173078,0.3,230412,1.75 35,1,2024-09-07 09:08:11:067,596769,596769,0,0,278660616434,2887490643,593161,2827,781,382,391589,0 35,2,2024-09-07 09:08:11:584,428450,428450,0,0,16834613,0,2653 35,3,2024-09-07 09:08:10:906,1,339,2,0,418,4249,339,0 36,0,2024-09-07 09:08:11:532,85144,0.5,85121,0.6,170241,0.4,225089,2.00 36,1,2024-09-07 09:08:10:612,596376,596376,0,0,278880531926,2913348931,589059,5659,1658,366,391759,0 36,2,2024-09-07 09:08:11:755,432228,432228,0,0,19354988,0,3875 36,3,2024-09-07 09:08:10:867,1,339,1,0,416,5356,339,0 37,0,2024-09-07 09:08:11:378,81049,0.5,80935,0.7,161941,0.4,216681,2.00 37,1,2024-09-07 09:08:10:600,595271,595264,0,7,278618566147,2910987249,588489,4689,2086,365,391570,0 37,2,2024-09-07 09:08:11:146,428150,428135,15,0,19063387,0,5815 37,3,2024-09-07 09:08:11:774,1,339,1,0,888,5540,339,0 38,0,2024-09-07 09:08:11:439,85542,0.5,83017,0.7,173792,0.4,227048,2.00 38,1,2024-09-07 09:08:11:612,597173,597173,0,0,279338069259,2908302307,591114,5301,758,368,391821,0 38,2,2024-09-07 09:08:10:780,425614,425567,47,0,19403629,0,6710 38,3,2024-09-07 09:08:11:001,1,339,10,0,689,4701,339,0 39,0,2024-09-07 09:08:11:762,89063,0.6,87099,0.7,169778,0.6,231978,2.00 39,1,2024-09-07 09:08:10:726,595206,595206,0,0,278570371374,2914812646,586772,6973,1461,365,391630,0 39,2,2024-09-07 09:08:11:417,427321,427321,0,0,17476319,0,2689 39,3,2024-09-07 09:08:10:725,1,339,1,0,324,4309,339,0 40,0,2024-09-07 09:08:11:508,85257,0.7,85957,0.9,171614,0.7,228853,2.75 40,1,2024-09-07 09:08:10:578,596180,596180,0,0,277898112700,2909900074,587623,7047,1510,368,391668,0 40,2,2024-09-07 09:08:11:307,430473,430472,1,0,20850613,0,5137 40,3,2024-09-07 09:08:11:155,1,339,12,0,1028,5014,339,0 41,0,2024-09-07 09:08:11:033,80865,1.4,82671,1.2,157935,2.1,215178,3.00 41,1,2024-09-07 09:08:10:772,594994,594994,0,0,278296933797,2911065723,587942,6349,703,369,391742,0 41,2,2024-09-07 09:08:10:773,429751,429751,0,0,19918182,0,3356 41,3,2024-09-07 09:08:11:685,1,339,10,0,366,3398,339,0 42,0,2024-09-07 09:08:11:480,86079,0.8,86289,1.0,172732,0.9,228786,2.50 42,1,2024-09-07 09:08:11:444,594455,594455,0,0,277692990539,2918713592,584916,7781,1758,380,391675,0 42,2,2024-09-07 09:08:11:134,425206,425206,0,0,20006809,0,3790 42,3,2024-09-07 09:08:11:009,1,339,1,0,446,3088,339,0 43,0,2024-09-07 09:08:10:924,85336,1.0,83244,1.1,174308,1.1,228690,2.50 43,1,2024-09-07 09:08:10:598,595422,595422,0,0,279310401975,2918364401,587189,6795,1438,366,391696,0 43,2,2024-09-07 09:08:11:736,427541,427541,0,0,19807857,0,3812 43,3,2024-09-07 09:08:11:755,1,339,0,0,467,4230,339,0 44,0,2024-09-07 09:08:10:858,86621,0.4,86982,0.6,173570,0.4,230831,1.75 44,1,2024-09-07 09:08:10:578,597120,597120,0,0,278599983444,2881770034,592059,4014,1047,356,391809,0 44,2,2024-09-07 09:08:11:268,429163,429163,0,0,16599003,0,4344 44,3,2024-09-07 09:08:11:093,1,339,1,0,817,4494,339,0 45,0,2024-09-07 09:08:11:762,80823,0.5,78822,0.7,165398,0.5,217742,2.00 45,1,2024-09-07 09:08:11:006,596505,596505,0,0,279360856021,2903621708,592071,3958,476,382,391917,0 45,2,2024-09-07 09:08:11:268,432221,432221,0,0,17184042,0,3596 45,3,2024-09-07 09:08:10:941,1,339,8,0,271,3239,339,0 46,0,2024-09-07 09:08:10:952,84554,0.5,84444,0.7,169040,0.5,225033,2.00 46,1,2024-09-07 09:08:10:596,598260,598260,0,0,279987745573,2891870957,594547,3287,426,366,391572,0 46,2,2024-09-07 09:08:10:617,426658,426658,0,0,16134595,0,2920 46,3,2024-09-07 09:08:11:133,1,339,0,0,908,5011,339,0 47,0,2024-09-07 09:08:11:107,85413,0.5,85656,0.6,171550,0.5,226751,2.00 47,1,2024-09-07 09:08:10:598,598297,598297,0,0,279294218256,2890087991,593810,3672,815,366,391641,0 47,2,2024-09-07 09:08:10:907,429065,429065,0,0,16725079,0,4477 47,3,2024-09-07 09:08:11:115,1,339,0,0,529,3720,339,0 48,0,2024-09-07 09:08:11:495,86597,0.3,86229,0.4,171882,0.2,229363,1.50 48,1,2024-09-07 09:08:11:022,596696,596696,0,0,279671044041,2903751309,593139,3254,303,384,391710,0 48,2,2024-09-07 09:08:10:722,428427,428427,0,0,15263447,0,3031 48,3,2024-09-07 09:08:10:768,1,339,1,0,339,2877,339,0 49,0,2024-09-07 09:08:11:729,85571,0.3,84098,0.5,162777,0.3,222753,1.75 49,1,2024-09-07 09:08:11:021,596490,596490,0,0,278271396507,2897249454,592171,3134,1185,382,391809,0 49,2,2024-09-07 09:08:11:798,432588,432588,0,0,16439009,0,4426 49,3,2024-09-07 09:08:11:424,1,339,24,0,408,3533,339,0 50,0,2024-09-07 09:08:11:521,82736,0.3,81530,0.4,164369,0.2,220016,1.75 50,1,2024-09-07 09:08:11:012,598623,598623,0,0,279716717827,2891680920,594804,3445,374,368,391565,0 50,2,2024-09-07 09:08:11:069,428653,428653,0,0,15359117,0,2263 50,3,2024-09-07 09:08:11:291,1,339,2,0,335,3299,339,0 51,0,2024-09-07 09:08:11:688,87653,0.3,85659,0.5,167181,0.3,227448,1.75 51,1,2024-09-07 09:08:11:684,598776,598776,0,0,280642332348,2895148618,595516,2285,975,365,391706,0 51,2,2024-09-07 09:08:11:316,426888,426888,0,0,14814278,0,3337 51,3,2024-09-07 09:08:11:030,1,339,1,0,678,2572,339,0 52,0,2024-09-07 09:08:11:421,87332,0.5,87253,0.7,174353,0.4,231623,2.00 52,1,2024-09-07 09:08:10:580,595577,595577,0,0,278005444374,2912334442,586938,7326,1313,368,391722,0 52,2,2024-09-07 09:08:11:759,424649,424611,38,0,19782451,0,6742 52,3,2024-09-07 09:08:10:677,1,339,1,0,1782,5203,339,0 53,0,2024-09-07 09:08:11:732,84983,0.6,82510,0.8,172634,0.6,226417,2.25 53,1,2024-09-07 09:08:10:776,594267,594267,0,0,278498272833,2924144879,584643,7041,2583,367,391702,0 53,2,2024-09-07 09:08:11:307,432271,432271,0,0,17221431,0,2727 53,3,2024-09-07 09:08:10:721,1,339,3,0,308,3241,339,0 54,0,2024-09-07 09:08:11:615,80441,0.5,80887,0.7,160910,0.4,215770,2.25 54,1,2024-09-07 09:08:10:604,596064,596064,0,0,279959892632,2909370225,590401,5061,602,367,391659,0 54,2,2024-09-07 09:08:10:869,430565,430559,6,0,19549169,0,5382 54,3,2024-09-07 09:08:10:768,1,339,0,0,676,5218,339,0 55,0,2024-09-07 09:08:11:778,83964,0.6,87002,0.7,175254,0.6,228244,2.50 55,1,2024-09-07 09:08:10:766,596283,596283,0,0,279073377171,2904198211,589657,5717,909,365,391731,0 55,2,2024-09-07 09:08:10:738,424898,424898,0,0,18871175,0,3563 55,3,2024-09-07 09:08:10:690,1,339,1,0,304,3837,339,0 56,0,2024-09-07 09:08:11:589,88587,1.2,83530,1.1,172256,1.7,230899,2.50 56,1,2024-09-07 09:08:10:578,593323,593323,0,0,278767486981,2942607876,584586,7106,1631,381,391867,0 56,2,2024-09-07 09:08:11:312,426956,426956,0,0,19802171,0,3567 56,3,2024-09-07 09:08:11:060,1,339,1,0,705,4447,339,0 57,0,2024-09-07 09:08:10:945,85024,1.4,84890,1.2,170245,2.0,227760,3.00 57,1,2024-09-07 09:08:10:993,594656,594656,0,0,278067301549,2914841217,587786,6150,720,366,392032,0 57,2,2024-09-07 09:08:11:318,430826,430826,0,0,21560115,0,3317 57,3,2024-09-07 09:08:11:738,1,339,1,0,359,3970,339,0 58,0,2024-09-07 09:08:10:600,80405,0.8,78192,1.0,163528,0.9,215171,2.50 58,1,2024-09-07 09:08:10:576,595697,595694,0,3,278507814161,2911906580,587574,7038,1082,367,391603,3 58,2,2024-09-07 09:08:11:071,430855,430855,0,0,19752829,0,2549 58,3,2024-09-07 09:08:11:069,1,339,0,0,1043,3619,339,0 59,0,2024-09-07 09:08:11:748,85754,0.7,85357,0.9,170479,0.8,226808,2.75 59,1,2024-09-07 09:08:10:808,595546,595546,0,0,278319333509,2913539826,587682,6344,1520,369,391586,0 59,2,2024-09-07 09:08:10:585,426558,426558,0,0,19342272,0,2867 59,3,2024-09-07 09:08:11:738,1,339,1,0,1015,4361,339,0 60,0,2024-09-07 09:08:11:739,85292,0.5,85400,0.7,171402,0.6,227751,1.75 60,1,2024-09-07 09:08:10:778,597123,597123,0,0,279603300425,2903122422,593010,3467,646,370,392031,0 60,2,2024-09-07 09:08:11:158,427351,427351,0,0,18866584,0,3811 60,3,2024-09-07 09:08:11:267,1,339,6,0,409,3983,339,0 61,0,2024-09-07 09:08:11:544,86163,0.6,86670,0.8,172351,0.6,229727,2.00 61,1,2024-09-07 09:08:10:786,595166,595166,0,0,278237331852,2917678146,588005,5837,1324,382,392127,0 61,2,2024-09-07 09:08:11:131,429450,429383,67,0,19223110,0,6411 61,3,2024-09-07 09:08:11:693,1,339,11,0,479,5088,339,0 62,0,2024-09-07 09:08:11:740,83275,0.5,85083,0.7,162192,0.5,221074,2.00 62,1,2024-09-07 09:08:11:114,599197,599191,0,6,280719989845,2897694818,595613,3319,259,365,391715,6 62,2,2024-09-07 09:08:11:644,431194,431193,1,0,18783678,0,5555 62,3,2024-09-07 09:08:11:151,1,339,0,0,465,2583,339,0 63,0,2024-09-07 09:08:11:476,84179,0.4,84054,0.6,168537,0.3,224546,1.75 63,1,2024-09-07 09:08:10:808,597440,597434,0,6,280263928310,2909715470,593502,3544,388,381,391800,6 63,2,2024-09-07 09:08:10:766,427073,427073,0,0,17033186,0,4369 63,3,2024-09-07 09:08:11:736,1,339,1,0,667,3849,339,0 64,0,2024-09-07 09:08:11:542,84507,0.5,84464,0.7,168988,0.5,224261,2.00 64,1,2024-09-07 09:08:10:765,596576,596576,0,0,278389550575,2901900220,590931,3985,1660,370,391783,0 64,2,2024-09-07 09:08:11:141,430501,430482,19,0,16745743,0,6121 64,3,2024-09-07 09:08:11:146,1,339,1,0,265,3143,339,0 65,0,2024-09-07 09:08:11:731,85437,0.7,85755,0.8,171450,0.7,228280,2.25 65,1,2024-09-07 09:08:10:868,595671,595671,0,0,278278470237,2907746678,591587,3633,451,382,391901,0 65,2,2024-09-07 09:08:11:694,429282,429282,0,0,18495369,0,3367 65,3,2024-09-07 09:08:11:684,1,339,0,0,163,3024,339,0 66,0,2024-09-07 09:08:11:766,84458,0.5,84375,0.7,168975,0.4,223972,2.00 66,1,2024-09-07 09:08:11:299,596495,596495,0,0,279296638880,2903182300,592934,3239,322,380,391653,0 66,2,2024-09-07 09:08:11:134,433582,433582,0,0,16637941,0,4956 66,3,2024-09-07 09:08:11:079,1,339,0,0,291,3224,339,0 67,0,2024-09-07 09:08:11:418,81769,0.5,81180,0.7,163051,0.4,217243,2.00 67,1,2024-09-07 09:08:10:768,596946,596945,0,1,278801989872,2902933912,592780,3414,751,380,391787,1 67,2,2024-09-07 09:08:10:608,430569,430569,0,0,16007779,0,2889 67,3,2024-09-07 09:08:11:756,1,339,0,0,338,2906,339,0 68,0,2024-09-07 09:08:10:599,86392,0.6,86026,0.7,171561,0.6,229014,2.00 68,1,2024-09-07 09:08:10:602,595229,595229,0,0,278545182992,2917258264,590414,3611,1204,381,391953,0 68,2,2024-09-07 09:08:11:044,424508,424443,65,0,21154546,0,6698 68,3,2024-09-07 09:08:10:739,1,339,5,0,417,3701,339,0 69,0,2024-09-07 09:08:11:760,86899,0.8,87385,0.9,173968,1.0,231112,2.25 69,1,2024-09-07 09:08:11:018,593274,593274,0,0,277949098238,2933265416,585258,5906,2110,384,391994,0 69,2,2024-09-07 09:08:11:742,426720,426720,0,0,21420774,0,3722 69,3,2024-09-07 09:08:10:769,1,339,4,0,698,4893,339,0 70,0,2024-09-07 09:08:11:531,85208,0.7,85210,1.0,171576,0.6,227500,2.25 70,1,2024-09-07 09:08:10:808,596812,596812,0,0,279816127988,2904538304,591962,4314,536,366,391725,0 70,2,2024-09-07 09:08:11:325,430516,430516,0,0,19392950,0,4044 70,3,2024-09-07 09:08:10:749,1,339,1,0,854,3806,339,0 71,0,2024-09-07 09:08:11:357,80728,0.8,80436,0.9,161660,0.9,215963,2.50 71,1,2024-09-07 09:08:11:596,595632,595632,0,0,278802155205,2909004271,589021,5955,656,368,391738,0 71,2,2024-09-07 09:08:11:069,430847,430847,0,0,19147647,0,4042 71,3,2024-09-07 09:08:11:754,1,339,3,0,644,4660,339,0 72,0,2024-09-07 09:08:11:146,89709,0.6,87723,0.7,171061,0.6,233304,2.00 72,1,2024-09-07 09:08:11:023,595134,595134,0,0,278565484015,2918750766,586902,6535,1697,369,391819,0 72,2,2024-09-07 09:08:11:762,424311,424311,0,0,21744813,0,3983 72,3,2024-09-07 09:08:11:757,1,339,8,0,564,5386,339,0 73,0,2024-09-07 09:08:11:116,84262,0.5,86325,0.6,176774,0.4,229874,2.00 73,1,2024-09-07 09:08:10:769,596445,596445,0,0,279328361694,2903951435,592125,3990,330,367,391858,0 73,2,2024-09-07 09:08:11:745,427647,427647,0,0,20880791,0,3701 73,3,2024-09-07 09:08:10:974,1,339,1,0,274,4530,339,0 74,0,2024-09-07 09:08:11:321,86995,0.5,89159,0.6,170231,0.4,230541,2.25 74,1,2024-09-07 09:08:10:638,595795,595795,0,0,278969326997,2912463403,589714,4818,1263,381,391681,0 74,2,2024-09-07 09:08:11:002,429381,429381,0,0,19923920,0,4253 74,3,2024-09-07 09:08:11:443,1,339,7,0,522,4824,339,0 75,0,2024-09-07 09:08:11:765,82066,0.4,81630,0.7,163542,0.4,218705,2.25 75,1,2024-09-07 09:08:11:585,594912,594912,0,0,277583934504,2900635283,588555,5532,825,380,391739,0 75,2,2024-09-07 09:08:11:350,431078,431078,0,0,20015129,0,4766 75,3,2024-09-07 09:08:11:068,1,339,0,0,702,4754,339,0 76,0,2024-09-07 09:08:10:648,84819,0.6,84144,0.8,168673,0.6,226403,2.25 76,1,2024-09-07 09:08:10:815,596142,596142,0,0,278869645159,2908419142,592222,3330,590,382,391692,0 76,2,2024-09-07 09:08:11:076,427576,427575,1,0,19108796,0,5144 76,3,2024-09-07 09:08:11:157,1,339,12,0,175,3277,339,0 77,0,2024-09-07 09:08:11:697,85143,0.7,85309,0.8,170684,0.7,226699,2.00 77,1,2024-09-07 09:08:10:841,595873,595873,0,0,278380710831,2909148517,590683,4701,489,381,391869,0 77,2,2024-09-07 09:08:11:284,427549,427549,0,0,18618203,0,3890 77,3,2024-09-07 09:08:11:102,1,339,7,0,401,3842,339,0 78,0,2024-09-07 09:08:11:716,86286,0.5,85881,0.6,172517,0.4,228630,2.00 78,1,2024-09-07 09:08:10:620,596445,596445,0,0,277720668261,2891915654,590581,4719,1145,367,391670,0 78,2,2024-09-07 09:08:11:405,429218,429218,0,0,16180519,0,3855 78,3,2024-09-07 09:08:11:133,1,339,0,0,181,3242,339,0 79,0,2024-09-07 09:08:11:347,80708,0.4,82835,0.6,169280,0.3,219995,2.25 79,1,2024-09-07 09:08:10:576,598095,598095,0,0,279523730290,2894623401,593540,3922,633,368,391682,0 79,2,2024-09-07 09:08:11:069,433121,433121,0,0,17182891,0,4195 79,3,2024-09-07 09:08:10:756,1,339,1,0,418,4317,339,0 80,0,2024-09-07 09:08:11:099,82435,0.5,84859,0.7,162412,0.5,219719,2.00 80,1,2024-09-07 09:08:11:624,596302,596302,0,0,278683873413,2898097988,592951,3172,179,368,391791,0 80,2,2024-09-07 09:08:11:107,430235,430235,0,0,16335142,0,4433 80,3,2024-09-07 09:08:10:576,1,339,1,0,190,4039,339,0 81,0,2024-09-07 09:08:11:557,85389,0.6,87392,0.7,166849,0.6,226208,2.00 81,1,2024-09-07 09:08:11:652,595387,595387,0,0,277127628298,2896352703,590532,4356,499,382,391879,0 81,2,2024-09-07 09:08:11:137,426275,426212,63,0,18201867,0,5932 81,3,2024-09-07 09:08:11:117,1,339,1,0,374,3935,339,0 82,0,2024-09-07 09:08:11:530,86600,0.5,87143,0.7,174590,0.4,231190,2.00 82,1,2024-09-07 09:08:10:608,597547,597543,0,4,279190110080,2905569058,593648,3098,797,381,391768,4 82,2,2024-09-07 09:08:11:691,429474,429474,0,0,15612573,0,3986 82,3,2024-09-07 09:08:11:754,1,339,1,0,363,3440,339,0 83,0,2024-09-07 09:08:11:521,85784,0.5,85524,0.7,170407,0.5,226708,2.00 83,1,2024-09-07 09:08:10:551,595890,595890,0,0,278520025422,2903895064,591579,3930,381,382,391709,0 83,2,2024-09-07 09:08:10:768,431661,431661,0,0,16168527,0,3393 83,3,2024-09-07 09:08:10:753,1,339,3,0,1260,4889,339,0 84,0,2024-09-07 09:08:11:776,81048,0.6,80992,0.8,161974,0.5,217187,2.25 84,1,2024-09-07 09:08:11:072,595320,595320,0,0,278086172984,2906153585,589561,5030,729,367,391967,0 84,2,2024-09-07 09:08:10:581,430380,430380,0,0,20467526,0,4757 84,3,2024-09-07 09:08:11:159,1,339,1,0,908,5157,339,0 85,0,2024-09-07 09:08:11:017,83706,0.7,83690,0.8,177716,0.7,229568,2.25 85,1,2024-09-07 09:08:10:561,593840,593840,0,0,277950293481,2933142479,585313,7155,1372,381,392006,0 85,2,2024-09-07 09:08:10:870,425682,425682,0,0,20132509,0,3656 85,3,2024-09-07 09:08:10:686,1,339,1,0,789,4440,339,0 86,0,2024-09-07 09:08:10:898,86756,0.7,89192,0.8,170731,0.8,230976,2.25 86,1,2024-09-07 09:08:10:830,595702,595702,0,0,278424652057,2915425746,588814,5822,1066,366,391961,0 86,2,2024-09-07 09:08:10:857,426165,426164,1,0,21163760,0,5004 86,3,2024-09-07 09:08:10:606,1,339,2,0,308,4642,339,0 87,0,2024-09-07 09:08:11:291,85758,0.9,85688,0.9,172005,1.1,229580,2.25 87,1,2024-09-07 09:08:10:557,595148,595148,0,0,278913021667,2917418701,588936,5600,612,366,392076,0 87,2,2024-09-07 09:08:11:070,429522,429522,0,0,19279310,0,4045 87,3,2024-09-07 09:08:11:808,1,339,1,0,473,5015,339,0 88,0,2024-09-07 09:08:11:503,81550,0.4,82142,0.6,163693,0.4,218138,1.75 88,1,2024-09-07 09:08:10:608,594069,594069,0,0,278467668296,2919133481,586372,6033,1664,365,392084,0 88,2,2024-09-07 09:08:10:690,431985,431985,0,0,21297667,0,3583 88,3,2024-09-07 09:08:11:267,1,339,1,0,435,3670,339,0 89,0,2024-09-07 09:08:11:767,88556,0.5,85618,0.7,169730,0.4,230396,1.75 89,1,2024-09-07 09:08:10:554,593912,593912,0,0,277822927240,2923387202,586157,6481,1274,382,391866,0 89,2,2024-09-07 09:08:11:135,425007,425007,0,0,20241087,0,2910 89,3,2024-09-07 09:08:11:807,1,339,1,0,385,6242,339,0 90,0,2024-09-07 09:08:11:695,83013,0.5,85078,0.7,173717,0.5,227066,2.00 90,1,2024-09-07 09:08:10:605,595429,595429,0,0,278164568113,2916601000,589225,5702,502,380,391825,0 90,2,2024-09-07 09:08:11:406,425945,425945,0,0,21456306,0,3060 90,3,2024-09-07 09:08:10:930,1,339,2,0,246,3712,339,0 91,0,2024-09-07 09:08:10:965,86729,0.5,83939,0.6,175439,0.4,230282,1.75 91,1,2024-09-07 09:08:10:561,593846,593846,0,0,277647385517,2921549955,586022,6780,1044,381,392047,0 91,2,2024-09-07 09:08:11:331,429534,429534,0,0,19453469,0,2896 91,3,2024-09-07 09:08:10:606,1,339,3,0,216,3192,339,0 92,0,2024-09-07 09:08:11:456,83484,0.4,85676,0.6,163522,0.4,221481,1.75 92,1,2024-09-07 09:08:10:608,595299,595299,0,0,277987139790,2905288029,590488,4124,687,382,392136,0 92,2,2024-09-07 09:08:11:354,433018,433018,0,0,17558720,0,3259 92,3,2024-09-07 09:08:11:010,1,339,2,0,167,2893,339,0 93,0,2024-09-07 09:08:10:965,84496,0.4,86523,0.6,165433,0.3,224525,1.75 93,1,2024-09-07 09:08:10:814,595581,595581,0,0,278490325653,2907962735,589465,5087,1029,366,391776,0 93,2,2024-09-07 09:08:10:930,426315,426315,0,0,20329281,0,4845 93,3,2024-09-07 09:08:11:408,1,339,71,0,190,3318,339,0 94,0,2024-09-07 09:08:11:650,84635,0.4,85441,0.6,170335,0.4,225828,1.75 94,1,2024-09-07 09:08:10:572,595824,595824,0,0,278611031874,2908298621,591786,3848,190,381,391850,0 94,2,2024-09-07 09:08:10:768,427076,427076,0,0,17535038,0,2443 94,3,2024-09-07 09:08:11:688,1,339,10,0,264,4164,339,0 95,0,2024-09-07 09:08:11:370,86155,0.4,86257,0.5,172887,0.3,230173,1.75 95,1,2024-09-07 09:08:10:864,597293,597293,0,0,278581002628,2898076442,592325,4569,399,367,391713,0 95,2,2024-09-07 09:08:11:023,428426,428426,0,0,17320023,0,3308 95,3,2024-09-07 09:08:11:711,1,339,2,0,718,5349,339,0 96,0,2024-09-07 09:08:11:045,85040,0.4,85242,0.5,170023,0.3,224887,1.75 96,1,2024-09-07 09:08:11:586,595294,595294,0,0,278853936262,2909735704,590770,3728,796,384,391955,0 96,2,2024-09-07 09:08:11:279,432260,432260,0,0,17317835,0,4042 96,3,2024-09-07 09:08:11:149,1,339,1,0,411,3711,339,0 97,0,2024-09-07 09:08:11:325,81443,0.3,81189,0.5,163095,0.3,216911,1.50 97,1,2024-09-07 09:08:10:795,597552,597552,0,0,279850558785,2900967286,593673,3207,672,367,392140,0 97,2,2024-09-07 09:08:10:612,430743,430743,0,0,16743488,0,3036 97,3,2024-09-07 09:08:10:578,1,339,4,0,214,4012,339,0 98,0,2024-09-07 09:08:11:716,86215,0.3,85946,0.5,172611,0.3,229039,1.50 98,1,2024-09-07 09:08:10:607,596766,596766,0,0,278845509257,2900376023,594100,2559,107,382,391997,0 98,2,2024-09-07 09:08:10:776,426722,426722,0,0,16612249,0,3080 98,3,2024-09-07 09:08:10:712,1,339,0,0,840,5413,339,0 99,0,2024-09-07 09:08:11:457,87332,0.3,87895,0.5,174912,0.3,233338,1.75 99,1,2024-09-07 09:08:11:731,596490,596490,0,0,278872974953,2903926558,592350,3385,755,381,392069,0 99,2,2024-09-07 09:08:11:417,428865,428865,0,0,18751406,0,3424 99,3,2024-09-07 09:08:10:606,1,339,4,0,199,3154,339,0 100,0,2024-09-07 09:08:11:460,85743,0.7,85740,0.9,171438,0.8,229228,2.25 100,1,2024-09-07 09:08:10:550,593228,593228,0,0,277239783669,2928937114,584760,6972,1496,381,391989,0 100,2,2024-09-07 09:08:11:818,429915,429904,11,0,19767797,0,5417 100,3,2024-09-07 09:08:11:737,1,339,2,0,559,5936,339,0 101,0,2024-09-07 09:08:11:720,83047,0.9,80920,1.0,158598,0.7,217661,2.25 101,1,2024-09-07 09:08:10:559,593156,593156,0,0,277996516487,2927503182,584069,7063,2024,368,391771,0 101,2,2024-09-07 09:08:11:758,428966,428966,0,0,22864766,0,4644 101,3,2024-09-07 09:08:10:943,1,339,3,0,579,4492,339,0 102,0,2024-09-07 09:08:10:969,84592,0.7,87494,0.8,176616,0.7,232099,2.00 102,1,2024-09-07 09:08:11:156,594184,594184,0,0,277977863282,2919536611,586865,6287,1032,369,391891,0 102,2,2024-09-07 09:08:11:746,426360,426306,54,0,19100394,0,6768 102,3,2024-09-07 09:08:11:612,1,339,2,0,410,3651,339,0 103,0,2024-09-07 09:08:11:614,89200,0.7,89138,0.8,168108,0.7,231709,2.00 103,1,2024-09-07 09:08:11:629,593348,593348,0,0,277602823067,2927816118,584528,6824,1996,381,391829,0 103,2,2024-09-07 09:08:10:610,426219,426219,0,0,20146587,0,3173 103,3,2024-09-07 09:08:10:765,1,339,1,0,916,4238,339,0 104,0,2024-09-07 09:08:11:011,85644,0.7,86028,0.9,170901,0.7,229885,2.25 104,1,2024-09-07 09:08:11:601,594992,594992,0,0,277511700755,2918607445,586085,7209,1698,365,392168,0 104,2,2024-09-07 09:08:11:672,428186,428186,0,0,21053880,0,3941 104,3,2024-09-07 09:08:11:434,1,339,1,0,1245,7522,339,0 105,0,2024-09-07 09:08:11:063,81004,0.8,78779,1.1,164925,0.9,217135,2.75 105,1,2024-09-07 09:08:10:582,595280,595280,0,0,278106131280,2918273754,587123,6822,1335,366,391797,0 105,2,2024-09-07 09:08:11:321,430964,430964,0,0,20433952,0,3509 105,3,2024-09-07 09:08:11:312,1,339,13,0,399,5358,339,0 106,0,2024-09-07 09:08:10:969,82140,0.8,84094,0.9,172073,0.9,225689,2.50 106,1,2024-09-07 09:08:11:750,593963,593963,0,0,278132387237,2925093662,584386,8284,1293,369,391914,0 106,2,2024-09-07 09:08:10:772,424088,424088,0,0,20030915,0,2795 106,3,2024-09-07 09:08:10:691,1,339,13,0,470,4345,339,0 107,0,2024-09-07 09:08:11:131,85002,1.2,84925,1.0,169992,1.7,226415,2.25 107,1,2024-09-07 09:08:10:611,593440,593440,0,0,277338877160,2922694735,585473,7053,914,381,392234,0 107,2,2024-09-07 09:08:11:403,425932,425931,1,0,20536227,0,5024 107,3,2024-09-07 09:08:11:762,1,339,20,0,353,4798,339,0 108,0,2024-09-07 09:08:11:806,85830,0.5,86342,0.6,171764,0.4,229233,1.75 108,1,2024-09-07 09:08:11:293,595936,595936,0,0,279320026092,2914135913,590848,4570,518,368,391857,0 108,2,2024-09-07 09:08:11:760,427421,427421,0,0,19591808,0,4246 108,3,2024-09-07 09:08:11:329,1,339,1,0,749,6786,339,0 109,0,2024-09-07 09:08:11:780,83975,0.4,82957,0.5,166343,0.3,222397,1.75 109,1,2024-09-07 09:08:10:620,593905,593905,0,0,278481923860,2921320341,588700,4541,664,383,392132,0 109,2,2024-09-07 09:08:10:936,430359,430359,0,0,19178825,0,3617 109,3,2024-09-07 09:08:11:146,1,339,2,0,379,4072,339,0 110,0,2024-09-07 09:08:11:764,82440,0.4,80155,0.6,167937,0.3,220551,1.75 110,1,2024-09-07 09:08:11:643,596986,596986,0,0,279563337390,2901481041,592985,3025,976,369,392045,0 110,2,2024-09-07 09:08:11:309,428146,428146,0,0,18876224,0,4067 110,3,2024-09-07 09:08:10:694,1,339,3,0,722,5131,339,0 111,0,2024-09-07 09:08:11:412,85813,0.4,85165,0.6,170521,0.4,227622,1.75 111,1,2024-09-07 09:08:11:001,597232,597232,0,0,280933104144,2915374547,593852,3028,352,382,391690,0 111,2,2024-09-07 09:08:11:131,425861,425861,0,0,18224563,0,4823 111,3,2024-09-07 09:08:10:913,1,339,1,0,379,4116,339,0 112,0,2024-09-07 09:08:10:910,87778,0.3,87134,0.4,174775,0.2,231947,1.50 112,1,2024-09-07 09:08:10:824,597162,597162,0,0,279302114247,2897147386,593701,2877,584,380,391624,0 112,2,2024-09-07 09:08:11:137,428335,428334,1,0,16598500,0,5036 112,3,2024-09-07 09:08:10:606,1,339,1,0,282,3474,339,0 113,0,2024-09-07 09:08:10:868,85294,0.3,85393,0.5,171367,0.2,228049,1.50 113,1,2024-09-07 09:08:11:685,599159,599159,0,0,279832896759,2887169293,595878,2697,584,366,391661,0 113,2,2024-09-07 09:08:11:309,433010,433010,0,0,15322425,0,3813 113,3,2024-09-07 09:08:10:689,1,339,1,0,340,4057,339,0 114,0,2024-09-07 09:08:10:876,81912,0.3,82500,0.5,163743,0.2,219281,1.75 114,1,2024-09-07 09:08:10:726,596789,596789,0,0,279127908931,2900824713,591665,3530,1594,381,391556,0 114,2,2024-09-07 09:08:10:873,431351,431350,1,0,16919134,0,5069 114,3,2024-09-07 09:08:11:278,1,339,0,0,395,2973,339,0 115,0,2024-09-07 09:08:10:555,86697,0.3,87401,0.4,174707,0.2,231819,1.50 115,1,2024-09-07 09:08:10:583,596378,596378,0,0,278832758188,2895955547,591514,4024,840,382,391757,0 115,2,2024-09-07 09:08:11:137,427612,427612,0,0,15543666,0,3453 115,3,2024-09-07 09:08:11:002,1,339,1,0,159,1980,339,0 116,0,2024-09-07 09:08:11:741,86503,0.8,86327,0.9,173219,0.9,231990,2.25 116,1,2024-09-07 09:08:10:826,593248,593248,0,0,277762774310,2930508055,585646,5334,2268,380,391878,0 116,2,2024-09-07 09:08:11:758,426409,426409,0,0,21207797,0,3529 116,3,2024-09-07 09:08:10:913,1,339,7,0,415,4251,339,0 117,0,2024-09-07 09:08:10:959,86300,0.7,85829,0.8,171839,0.8,229971,2.00 117,1,2024-09-07 09:08:11:624,594766,594766,0,0,278071386816,2911332211,588460,5638,668,370,392033,0 117,2,2024-09-07 09:08:11:118,432850,432850,0,0,17669678,0,3700 117,3,2024-09-07 09:08:11:061,1,339,6,0,490,4964,339,0 118,0,2024-09-07 09:08:11:780,79279,0.5,81285,0.7,166052,0.4,217331,2.00 118,1,2024-09-07 09:08:10:629,594426,594426,0,0,277758717383,2920558193,585629,6899,1898,366,391907,0 118,2,2024-09-07 09:08:11:586,430817,430817,0,0,19529306,0,2781 118,3,2024-09-07 09:08:11:788,1,339,17,0,235,3747,339,0 119,0,2024-09-07 09:08:11:370,85638,0.6,86022,0.7,172006,0.6,228857,2.00 119,1,2024-09-07 09:08:10:572,595827,595827,0,0,278652331043,2914704036,589259,5731,837,367,391780,0 119,2,2024-09-07 09:08:11:268,426413,426413,0,0,18165817,0,4174 119,3,2024-09-07 09:08:11:354,1,339,1,0,563,5153,339,0 120,0,2024-09-07 09:08:11:564,85038,0.7,84799,0.9,169738,0.7,227524,2.25 120,1,2024-09-07 09:08:10:859,595036,595036,0,0,278027016689,2918509331,588615,5865,556,368,391961,0 120,2,2024-09-07 09:08:10:780,426990,426989,1,0,21282166,0,5281 120,3,2024-09-07 09:08:11:290,1,339,1,0,241,4261,339,0 121,0,2024-09-07 09:08:11:690,85972,1.0,86165,1.0,172901,1.3,229853,2.25 121,1,2024-09-07 09:08:11:663,595813,595813,0,0,278611521645,2915630068,589904,5472,437,367,391840,0 121,2,2024-09-07 09:08:11:133,428380,428380,0,0,20622942,0,4127 121,3,2024-09-07 09:08:10:751,1,339,2,0,269,3887,339,0 122,0,2024-09-07 09:08:11:765,82571,0.7,80488,0.8,168820,0.8,221388,2.00 122,1,2024-09-07 09:08:10:859,594493,594493,0,0,278202370135,2920579364,586033,7198,1262,366,392130,0 122,2,2024-09-07 09:08:11:319,431943,431870,73,0,23078032,0,5989 122,3,2024-09-07 09:08:10:604,1,339,1,0,411,6010,339,0 123,0,2024-09-07 09:08:11:009,83546,0.7,81753,0.8,170502,0.7,224020,2.00 123,1,2024-09-07 09:08:10:558,593934,593934,0,0,278708419007,2939996240,582490,9318,2126,369,392039,0 123,2,2024-09-07 09:08:11:033,424864,424863,1,0,19851167,0,5215 123,3,2024-09-07 09:08:11:135,1,339,7,0,168,3885,339,0 124,0,2024-09-07 09:08:10:923,87616,0.4,87670,0.5,165215,0.3,226681,1.75 124,1,2024-09-07 09:08:11:041,596785,596785,0,0,278371142606,2897518439,592068,3807,910,367,392178,0 124,2,2024-09-07 09:08:11:028,428233,428180,53,0,17825136,0,6487 124,3,2024-09-07 09:08:10:767,1,339,2,0,490,3473,339,0 125,0,2024-09-07 09:08:11:424,86614,0.4,86106,0.6,173270,0.3,230477,1.75 125,1,2024-09-07 09:08:10:860,595351,595351,0,0,278120471572,2905097075,590470,4237,644,383,391702,0 125,2,2024-09-07 09:08:11:118,428762,428762,0,0,18499950,0,4534 125,3,2024-09-07 09:08:11:133,1,339,3,0,709,4617,339,0 126,0,2024-09-07 09:08:11:425,84867,0.4,87152,0.6,167125,0.4,225730,1.75 126,1,2024-09-07 09:08:10:562,597147,597147,0,0,278972563195,2891907439,593410,3454,283,365,391987,0 126,2,2024-09-07 09:08:10:614,432546,432546,0,0,18522857,0,4539 126,3,2024-09-07 09:08:10:912,1,339,1,0,207,4227,339,0 127,0,2024-09-07 09:08:11:596,81282,0.3,81860,0.5,162981,0.3,216942,1.75 127,1,2024-09-07 09:08:10:577,596339,596339,0,0,278680241892,2895854787,590941,4538,860,364,392187,0 127,2,2024-09-07 09:08:10:644,429362,429362,0,0,17067821,0,3897 127,3,2024-09-07 09:08:11:268,1,339,1,0,968,4023,339,0 128,0,2024-09-07 09:08:11:521,86315,0.3,86594,0.4,173276,0.2,229359,1.50 128,1,2024-09-07 09:08:11:617,596588,596588,0,0,279305482693,2900603494,592487,3730,371,367,391798,0 128,2,2024-09-07 09:08:11:385,427628,427628,0,0,15958395,0,2915 128,3,2024-09-07 09:08:10:768,1,339,1,0,1082,5924,339,0 129,0,2024-09-07 09:08:10:991,87990,0.3,87484,0.5,175502,0.3,233395,1.75 129,1,2024-09-07 09:08:10:586,593809,593809,0,0,278555389969,2917171666,588161,4391,1257,379,391835,0 129,2,2024-09-07 09:08:10:686,428146,428146,0,0,16854204,0,4031 129,3,2024-09-07 09:08:10:701,1,339,1,0,469,4477,339,0 130,0,2024-09-07 09:08:11:718,86630,0.5,86076,0.6,173308,0.5,230573,1.75 130,1,2024-09-07 09:08:10:586,596541,596541,0,0,279035680402,2901597819,593125,3137,279,381,391825,0 130,2,2024-09-07 09:08:11:137,432644,432644,0,0,16783332,0,4067 130,3,2024-09-07 09:08:11:291,1,339,5,0,450,4162,339,0 131,0,2024-09-07 09:08:11:948,81083,0.3,81640,0.5,164028,0.3,217318,1.75 131,1,2024-09-07 09:08:11:835,596838,596838,0,0,278690785909,2908530454,592360,3731,747,381,391865,0 131,2,2024-09-07 09:08:10:584,433527,433527,0,0,15512676,0,2415 131,3,2024-09-07 09:08:11:688,1,339,1,0,392,3527,339,0 132,0,2024-09-07 09:08:11:431,86893,0.4,87645,0.6,174638,0.4,232896,1.75 132,1,2024-09-07 09:08:10:600,593433,593433,0,0,277373024435,2921906983,584794,7174,1465,381,392097,0 132,2,2024-09-07 09:08:10:724,425501,425501,0,0,20656608,0,4606 132,3,2024-09-07 09:08:11:691,1,339,1,0,804,6205,339,0 133,0,2024-09-07 09:08:11:517,84322,0.5,86169,0.6,177070,0.4,230364,2.00 133,1,2024-09-07 09:08:10:590,593601,593601,0,0,277269078800,2923208769,585424,7094,1083,383,391914,0 133,2,2024-09-07 09:08:11:092,426599,426599,0,0,21999482,0,4315 133,3,2024-09-07 09:08:11:308,1,339,1,0,479,3637,339,0 134,0,2024-09-07 09:08:10:952,86817,0.5,86664,0.7,173357,0.5,231097,2.00 134,1,2024-09-07 09:08:10:587,594100,594100,0,0,277462289962,2914510963,585779,6465,1856,366,391718,0 134,2,2024-09-07 09:08:11:755,429079,429079,0,0,18360530,0,3847 134,3,2024-09-07 09:08:10:756,1,339,0,0,739,4412,339,0 135,0,2024-09-07 09:08:11:115,79130,0.6,79201,0.8,167945,0.7,216805,2.00 135,1,2024-09-07 09:08:11:593,594752,594752,0,0,278554732877,2932728494,586319,7196,1237,380,391805,0 135,2,2024-09-07 09:08:10:692,432505,432505,0,0,19973664,0,3981 135,3,2024-09-07 09:08:11:005,1,339,1,0,299,2641,339,0 136,0,2024-09-07 09:08:11:638,85460,0.6,85532,0.7,170309,0.5,227588,2.25 136,1,2024-09-07 09:08:11:444,594313,594313,0,0,277695269105,2916595917,587294,6323,696,382,391685,0 136,2,2024-09-07 09:08:11:135,426149,426149,0,0,19513463,0,3506 136,3,2024-09-07 09:08:11:117,1,339,8,0,637,3900,339,0 137,0,2024-09-07 09:08:10:943,87757,0.7,85415,0.8,167781,0.8,227773,2.00 137,1,2024-09-07 09:08:10:577,594093,594093,0,0,278030432589,2920221205,584492,7719,1882,366,391708,0 137,2,2024-09-07 09:08:11:705,426737,426737,0,0,21880259,0,3185 137,3,2024-09-07 09:08:10:775,1,339,1,0,382,4046,339,0 138,0,2024-09-07 09:08:11:748,85487,1.3,85555,1.1,171837,1.8,228181,2.25 138,1,2024-09-07 09:08:11:685,595006,595006,0,0,278537739139,2925651591,585963,7447,1596,368,391954,0 138,2,2024-09-07 09:08:10:605,428004,428004,0,0,19633377,0,4988 138,3,2024-09-07 09:08:10:627,1,339,3,0,1160,4838,339,0 139,0,2024-09-07 09:08:11:364,82340,1.2,82654,1.0,165707,1.7,220554,2.25 139,1,2024-09-07 09:08:10:581,592461,592461,0,0,276384265537,2938038111,581097,8720,2644,381,391892,0 139,2,2024-09-07 09:08:10:695,429356,429356,0,0,21414002,0,3097 139,3,2024-09-07 09:08:11:675,1,339,115,0,257,3863,339,0 140,0,2024-09-07 09:08:11:600,82791,0.3,82270,0.5,165400,0.2,220878,1.75 140,1,2024-09-07 09:08:11:536,598613,598613,0,0,280251156305,2890937418,595408,2767,438,365,391606,0 140,2,2024-09-07 09:08:10:686,428563,428562,1,0,17567480,0,5036 140,3,2024-09-07 09:08:10:785,1,339,0,0,247,2733,339,0 141,0,2024-09-07 09:08:11:699,85585,0.4,87901,0.5,167857,0.3,227608,1.75 141,1,2024-09-07 09:08:10:859,596990,596990,0,0,279080331558,2900600054,592428,3700,862,379,391614,0 141,2,2024-09-07 09:08:11:686,426552,426552,0,0,17108208,0,3360 141,3,2024-09-07 09:08:11:066,1,339,0,0,391,3866,339,0 142,0,2024-09-07 09:08:11:359,87957,0.3,87078,0.5,174155,0.3,232399,1.75 142,1,2024-09-07 09:08:10:586,596841,596841,0,0,278506299948,2904943849,592877,3613,351,382,392102,0 142,2,2024-09-07 09:08:11:300,427444,427412,32,0,18584521,0,6028 142,3,2024-09-07 09:08:11:746,1,339,0,0,484,4158,339,0 143,0,2024-09-07 09:08:11:405,85732,0.4,85506,0.6,171406,0.4,228359,1.75 143,1,2024-09-07 09:08:10:572,596994,596994,0,0,279440854010,2900027173,593218,3626,150,367,391705,0 143,2,2024-09-07 09:08:10:782,431958,431958,0,0,17972624,0,3123 143,3,2024-09-07 09:08:11:146,1,339,2,0,303,3994,339,0 144,0,2024-09-07 09:08:11:497,79136,0.5,81178,0.8,165394,0.4,217393,2.00 144,1,2024-09-07 09:08:10:579,594473,594473,0,0,277632150953,2909882001,589619,3913,941,381,391649,0 144,2,2024-09-07 09:08:11:760,431305,431305,0,0,16210328,0,3473 144,3,2024-09-07 09:08:11:749,1,339,1,0,249,3449,339,0 145,0,2024-09-07 09:08:11:414,83975,0.6,83968,0.8,178257,0.6,229279,2.25 145,1,2024-09-07 09:08:10:554,593716,593716,0,0,278061824641,2924572840,586361,6215,1140,382,391759,0 145,2,2024-09-07 09:08:11:432,424677,424677,0,0,19860584,0,3903 145,3,2024-09-07 09:08:10:898,1,339,1,0,622,5105,339,0 146,0,2024-09-07 09:08:11:614,86781,0.6,86188,0.8,173777,0.6,230603,2.25 146,1,2024-09-07 09:08:11:589,595095,595095,0,0,278030991362,2925799693,585269,7815,2011,368,391770,0 146,2,2024-09-07 09:08:11:695,426176,426176,0,0,19649553,0,2730 146,3,2024-09-07 09:08:11:277,1,339,0,0,1520,7052,339,0 147,0,2024-09-07 09:08:11:696,86327,0.6,86003,0.7,171322,0.5,229594,2.25 147,1,2024-09-07 09:08:11:374,596787,596787,0,0,279445782694,2908953685,591126,4860,801,368,391791,0 147,2,2024-09-07 09:08:11:010,430682,430682,0,0,17366460,0,2789 147,3,2024-09-07 09:08:10:913,1,339,4,0,730,4959,339,0 0,0,2024-09-07 09:08:21:742,83339,0.7,83399,0.8,176929,0.8,228900,2.00 0,1,2024-09-07 09:08:20:801,597355,597355,0,0,279626624229,2923537734,593224,3886,245,369,391896,0 0,2,2024-09-07 09:08:21:067,429260,429260,0,0,17112640,0,4480 0,3,2024-09-07 09:08:20:974,1,340,1,0,431,4645,340,0 1,0,2024-09-07 09:08:21:821,86559,0.8,86012,0.9,172716,1.0,230734,2.00 1,1,2024-09-07 09:08:20:556,596206,596206,0,0,279061223826,2922102074,590606,4374,1226,370,391857,0 1,2,2024-09-07 09:08:20:642,429662,429662,0,0,16612046,0,3267 1,3,2024-09-07 09:08:21:319,1,340,7,0,262,3948,340,0 2,0,2024-09-07 09:08:21:571,83002,0.6,83355,0.7,165551,0.7,221850,2.00 2,1,2024-09-07 09:08:20:864,598604,598604,0,0,280408695474,2911243354,595705,2606,293,380,391745,0 2,2,2024-09-07 09:08:21:265,433791,433791,0,0,16550549,0,3594 2,3,2024-09-07 09:08:20:690,1,340,1,0,357,3394,340,0 3,0,2024-09-07 09:08:21:748,84376,0.4,84239,0.6,168437,0.3,224967,1.75 3,1,2024-09-07 09:08:21:628,597094,597094,0,0,278992542834,2905131577,591996,4475,623,380,391591,0 3,2,2024-09-07 09:08:21:148,428050,428027,23,0,16941065,0,5851 3,3,2024-09-07 09:08:21:752,1,340,1,0,207,2224,340,0 4,0,2024-09-07 09:08:21:770,82611,0.4,85072,0.5,172876,0.4,226120,1.75 4,1,2024-09-07 09:08:20:591,595860,595860,0,0,278278465588,2931898171,588411,6093,1356,371,391992,0 4,2,2024-09-07 09:08:21:027,426994,426994,0,0,19927481,0,4528 4,3,2024-09-07 09:08:21:040,1,340,1,0,448,4785,340,0 5,0,2024-09-07 09:08:21:384,86432,0.5,86780,0.6,173245,0.4,230197,1.75 5,1,2024-09-07 09:08:20:755,596271,596271,0,0,278945501844,2933114595,589103,5812,1356,367,392005,0 5,2,2024-09-07 09:08:21:830,427974,427974,0,0,19021550,0,2432 5,3,2024-09-07 09:08:21:731,1,340,4,0,457,4964,340,0 6,0,2024-09-07 09:08:20:921,85168,0.4,84657,0.6,169354,0.4,225416,2.00 6,1,2024-09-07 09:08:20:747,596392,596392,0,0,278977858529,2916164043,589780,5527,1085,379,391694,0 6,2,2024-09-07 09:08:21:128,432801,432801,0,0,18245166,0,4816 6,3,2024-09-07 09:08:21:274,1,340,1,0,340,3727,340,0 7,0,2024-09-07 09:08:21:541,81384,0.4,81765,0.6,162920,0.4,217113,1.75 7,1,2024-09-07 09:08:20:850,595565,595565,0,0,278710074620,2926473711,587495,6894,1176,382,391747,0 7,2,2024-09-07 09:08:20:771,430417,430417,0,0,18392553,0,4791 7,3,2024-09-07 09:08:20:854,1,340,0,0,398,3854,340,0 8,0,2024-09-07 09:08:21:338,86232,0.4,86145,0.5,172405,0.3,229452,1.75 8,1,2024-09-07 09:08:21:019,594935,594935,0,0,279004596921,2938604212,584784,7874,2277,366,392144,0 8,2,2024-09-07 09:08:20:790,423768,423768,0,0,22594659,0,3220 8,3,2024-09-07 09:08:20:589,1,340,2,0,538,5899,340,0 9,0,2024-09-07 09:08:21:191,87753,0.4,85269,0.5,178389,0.4,233715,1.75 9,1,2024-09-07 09:08:20:553,595630,595630,0,0,278796614442,2940279403,586562,6943,2125,369,392001,0 9,2,2024-09-07 09:08:21:096,428207,428207,0,0,19888306,0,3360 9,3,2024-09-07 09:08:21:755,1,340,2,0,496,5016,340,0 10,0,2024-09-07 09:08:21:610,86629,0.3,85895,0.5,172614,0.2,230100,1.75 10,1,2024-09-07 09:08:20:583,596860,596860,0,0,278962350967,2923132917,588977,6774,1109,381,391741,0 10,2,2024-09-07 09:08:20:766,432519,432519,0,0,21603563,0,4264 10,3,2024-09-07 09:08:20:874,1,340,132,0,296,3135,340,0 11,0,2024-09-07 09:08:21:018,81198,0.4,78818,0.6,164841,0.3,218086,1.75 11,1,2024-09-07 09:08:20:573,596590,596590,0,0,279725906919,2939214685,586573,7569,2448,383,391756,0 11,2,2024-09-07 09:08:21:129,431822,431822,0,0,19556489,0,4130 11,3,2024-09-07 09:08:21:299,1,340,3,0,843,5363,340,0 12,0,2024-09-07 09:08:20:958,88084,0.4,88097,0.5,175875,0.3,234441,1.75 12,1,2024-09-07 09:08:20:943,596856,596856,0,0,278296780666,2903285132,591994,4370,492,370,391870,0 12,2,2024-09-07 09:08:21:554,427830,427830,0,0,19003502,0,3469 12,3,2024-09-07 09:08:21:059,1,340,1,0,386,5503,340,0 13,0,2024-09-07 09:08:21:331,87442,0.4,87081,0.6,173813,0.4,232030,1.75 13,1,2024-09-07 09:08:21:533,595915,595915,0,0,278386340762,2928467325,590006,4499,1410,382,391740,0 13,2,2024-09-07 09:08:20:595,429722,429722,0,0,17417456,0,3287 13,3,2024-09-07 09:08:21:762,1,340,6,0,522,5487,340,0 14,0,2024-09-07 09:08:20:559,86996,0.4,87623,0.6,173406,0.3,231181,1.75 14,1,2024-09-07 09:08:21:561,600476,600476,0,0,280077615645,2901595123,595789,4328,359,364,391571,0 14,2,2024-09-07 09:08:20:764,430790,430760,30,0,19144996,0,6104 14,3,2024-09-07 09:08:21:115,1,340,11,0,1168,4106,340,0 15,0,2024-09-07 09:08:21:563,82008,0.4,81984,0.6,164154,0.4,218474,2.00 15,1,2024-09-07 09:08:21:634,597534,597534,0,0,279888339942,2914552916,593194,3518,822,381,391619,0 15,2,2024-09-07 09:08:21:005,434476,434476,0,0,15765137,0,3622 15,3,2024-09-07 09:08:21:413,1,340,2,0,1126,6240,340,0 16,0,2024-09-07 09:08:21:124,85499,0.6,85807,0.7,171196,0.6,228341,2.00 16,1,2024-09-07 09:08:20:588,597983,597983,0,0,279835488552,2920684405,593692,3929,362,370,391756,0 16,2,2024-09-07 09:08:21:455,427180,427180,0,0,18009537,0,4719 16,3,2024-09-07 09:08:21:149,1,340,12,0,317,4696,340,0 17,0,2024-09-07 09:08:21:776,87827,0.7,85745,0.8,167657,0.7,227698,2.00 17,1,2024-09-07 09:08:20:569,595957,595957,0,0,278017434505,2917688882,589717,4982,1258,368,392075,0 17,2,2024-09-07 09:08:21:688,431109,431109,0,0,17678099,0,2857 17,3,2024-09-07 09:08:20:581,1,340,1,0,298,5612,340,0 18,0,2024-09-07 09:08:20:942,85179,0.6,85501,0.8,170528,0.6,227525,2.25 18,1,2024-09-07 09:08:21:638,598389,598389,0,0,279946305504,2901162826,595053,3025,311,367,391649,0 18,2,2024-09-07 09:08:21:763,431758,431758,0,0,16391112,0,3541 18,3,2024-09-07 09:08:20:899,1,340,0,0,163,2936,340,0 19,0,2024-09-07 09:08:21:544,83028,0.6,83739,0.7,165679,0.5,220537,2.25 19,1,2024-09-07 09:08:20:567,598724,598724,0,0,280142424048,2906721521,593599,4286,839,367,391777,0 19,2,2024-09-07 09:08:21:752,434706,434706,0,0,15173268,0,3988 19,3,2024-09-07 09:08:21:131,1,340,1,0,524,2586,340,0 20,0,2024-09-07 09:08:21:395,82796,0.5,82771,0.7,165519,0.5,221621,2.00 20,1,2024-09-07 09:08:20:979,596547,596547,0,0,280258064682,2927049876,592208,3932,407,369,391886,0 20,2,2024-09-07 09:08:20:945,429166,429166,0,0,18387517,0,3721 20,3,2024-09-07 09:08:20:591,1,340,1,0,414,5398,340,0 21,0,2024-09-07 09:08:21:141,85611,0.5,85593,0.7,171065,0.5,226878,2.00 21,1,2024-09-07 09:08:21:542,595930,595930,0,0,278329050429,2933181084,587934,6116,1880,368,392016,0 21,2,2024-09-07 09:08:21:067,426079,426079,0,0,21439676,0,3747 21,3,2024-09-07 09:08:21:403,1,340,5,0,713,4055,340,0 22,0,2024-09-07 09:08:21:737,86819,0.6,87174,0.7,174507,0.5,230748,2.00 22,1,2024-09-07 09:08:21:022,596878,596878,0,0,278291769889,2924966849,588388,6670,1820,382,391667,0 22,2,2024-09-07 09:08:20:761,428040,428040,0,0,17486022,0,3134 22,3,2024-09-07 09:08:21:066,1,340,5,0,228,2846,340,0 23,0,2024-09-07 09:08:21:377,85217,0.5,85201,0.7,170701,0.5,227297,2.25 23,1,2024-09-07 09:08:21:003,596669,596669,0,0,279476893530,2935939691,586175,7336,3158,365,391690,0 23,2,2024-09-07 09:08:21:101,433542,433542,0,0,17426091,0,3010 23,3,2024-09-07 09:08:21:763,1,340,1,0,720,4747,340,0 24,0,2024-09-07 09:08:20:834,82334,0.4,82311,0.5,165165,0.3,219712,1.75 24,1,2024-09-07 09:08:20:581,595860,595860,0,0,278680128690,2924885031,588963,5368,1529,368,392269,0 24,2,2024-09-07 09:08:21:072,430445,430445,0,0,21220181,0,3607 24,3,2024-09-07 09:08:21:690,1,340,8,0,468,4552,340,0 25,0,2024-09-07 09:08:21:347,89444,0.4,87182,0.6,170808,0.4,232609,2.00 25,1,2024-09-07 09:08:20:700,596995,596995,0,0,279393285494,2933994394,589115,6507,1373,371,391928,0 25,2,2024-09-07 09:08:21:636,426525,426525,0,0,21228410,0,3978 25,3,2024-09-07 09:08:21:005,1,340,1,0,255,3388,340,0 26,0,2024-09-07 09:08:21:730,86867,0.4,84838,0.6,178030,0.4,232112,1.75 26,1,2024-09-07 09:08:21:541,597052,597052,0,0,278286976915,2917594839,588166,7350,1536,380,391748,0 26,2,2024-09-07 09:08:20:863,428874,428874,0,0,21094275,0,2809 26,3,2024-09-07 09:08:21:719,1,340,1,0,796,4418,340,0 27,0,2024-09-07 09:08:21:734,86658,0.4,86708,0.6,171897,0.4,229836,2.00 27,1,2024-09-07 09:08:21:677,599215,599215,0,0,280079042573,2916278738,593945,4504,766,381,391626,0 27,2,2024-09-07 09:08:20:869,429235,429170,65,0,20729338,0,5699 27,3,2024-09-07 09:08:21:022,1,340,1,0,564,3640,340,0 28,0,2024-09-07 09:08:21:392,82212,0.4,81932,0.6,164290,0.3,219654,2.00 28,1,2024-09-07 09:08:20:800,598162,598162,0,0,280647701887,2924176061,593781,3677,704,383,391698,0 28,2,2024-09-07 09:08:21:764,432616,432616,0,0,18329765,0,2915 28,3,2024-09-07 09:08:21:781,1,340,22,0,502,3731,340,0 29,0,2024-09-07 09:08:21:359,88615,0.4,86308,0.6,169361,0.3,230974,1.75 29,1,2024-09-07 09:08:21:561,599708,599708,0,0,279892257553,2899179052,595366,3657,685,369,391753,0 29,2,2024-09-07 09:08:20:863,426001,426001,0,0,17571228,0,4986 29,3,2024-09-07 09:08:20:963,1,340,1,0,459,4222,340,0 30,0,2024-09-07 09:08:21:471,85457,0.6,83074,0.7,173868,0.5,227923,2.00 30,1,2024-09-07 09:08:20:571,598951,598951,0,0,280772445832,2916525954,594132,4109,710,381,391672,0 30,2,2024-09-07 09:08:21:274,428992,428992,0,0,16541815,0,3161 30,3,2024-09-07 09:08:20:581,1,340,1,0,519,3449,340,0 31,0,2024-09-07 09:08:21:763,86088,0.4,86438,0.6,172934,0.4,230413,2.00 31,1,2024-09-07 09:08:20:568,601211,601211,0,0,281506315147,2887351202,598667,1985,559,356,391712,0 31,2,2024-09-07 09:08:21:283,430336,430336,0,0,18366037,0,3525 31,3,2024-09-07 09:08:21:707,1,340,1,0,220,2921,340,0 32,0,2024-09-07 09:08:21:425,83057,0.3,83422,0.5,166790,0.2,222241,1.75 32,1,2024-09-07 09:08:20:805,598780,598780,0,0,280875213723,2915865741,595637,2697,446,381,391646,0 32,2,2024-09-07 09:08:20:939,434358,434358,0,0,15512218,0,3155 32,3,2024-09-07 09:08:21:015,1,340,0,0,227,2454,340,0 33,0,2024-09-07 09:08:21:492,84608,0.3,84199,0.4,168926,0.2,225403,1.50 33,1,2024-09-07 09:08:20:577,600128,600128,0,0,281114000889,2910208122,595715,3582,831,369,391730,0 33,2,2024-09-07 09:08:20:768,428862,428827,35,0,17561239,0,7012 33,3,2024-09-07 09:08:20:895,1,340,1,0,329,3562,340,0 34,0,2024-09-07 09:08:20:929,85321,0.3,87808,0.5,167917,0.2,225512,1.75 34,1,2024-09-07 09:08:21:046,600696,600696,0,0,281689484912,2894651030,599276,1412,8,367,391562,0 34,2,2024-09-07 09:08:20:766,428851,428851,0,0,16767300,0,3577 34,3,2024-09-07 09:08:21:694,1,340,1,0,299,2496,340,0 35,0,2024-09-07 09:08:20:856,85791,0.4,86152,0.5,173341,0.3,230762,1.75 35,1,2024-09-07 09:08:21:067,598491,598491,0,0,279585551603,2896888462,594883,2827,781,382,391589,0 35,2,2024-09-07 09:08:21:583,430011,430011,0,0,16848563,0,2653 35,3,2024-09-07 09:08:20:907,1,340,1,0,418,4250,340,0 36,0,2024-09-07 09:08:21:516,85152,0.5,85128,0.6,170266,0.4,225112,2.00 36,1,2024-09-07 09:08:20:586,598107,598107,0,0,279517901375,2919837877,590790,5659,1658,366,391759,0 36,2,2024-09-07 09:08:21:755,433634,433634,0,0,19368872,0,3875 36,3,2024-09-07 09:08:20:862,1,340,0,0,416,5356,340,0 37,0,2024-09-07 09:08:21:369,81400,0.5,81278,0.7,162594,0.4,217647,2.00 37,1,2024-09-07 09:08:20:569,597010,597003,0,7,279295751459,2917905109,590228,4689,2086,365,391570,0 37,2,2024-09-07 09:08:21:142,428783,428768,15,0,19069055,0,5815 37,3,2024-09-07 09:08:21:766,1,340,5,0,888,5545,340,0 38,0,2024-09-07 09:08:21:442,85624,0.5,83113,0.7,173974,0.4,227290,2.00 38,1,2024-09-07 09:08:21:610,599032,599032,0,0,280174760805,2916813810,592973,5301,758,368,391821,0 38,2,2024-09-07 09:08:20:759,427104,427057,47,0,19427283,0,6710 38,3,2024-09-07 09:08:21:002,1,340,1,0,689,4702,340,0 39,0,2024-09-07 09:08:21:760,89302,0.6,87333,0.7,170228,0.6,232556,2.00 39,1,2024-09-07 09:08:20:718,597022,597022,0,0,279402433520,2923253772,588588,6973,1461,365,391630,0 39,2,2024-09-07 09:08:21:420,428867,428867,0,0,17495285,0,2689 39,3,2024-09-07 09:08:20:723,1,340,1,0,324,4310,340,0 40,0,2024-09-07 09:08:21:510,85605,0.7,86282,0.9,172220,0.7,229745,2.75 40,1,2024-09-07 09:08:20:582,597915,597915,0,0,278792025061,2919125752,589358,7047,1510,368,391668,0 40,2,2024-09-07 09:08:21:316,431559,431558,1,0,20909075,0,5137 40,3,2024-09-07 09:08:21:142,1,340,1,0,1028,5015,340,0 41,0,2024-09-07 09:08:21:023,81107,1.3,82957,1.2,158445,2.1,215861,3.00 41,1,2024-09-07 09:08:20:767,596819,596819,0,0,279160897577,2919975097,589767,6349,703,369,391742,0 41,2,2024-09-07 09:08:20:763,430822,430822,0,0,19943712,0,3356 41,3,2024-09-07 09:08:21:676,1,340,15,0,366,3413,340,0 42,0,2024-09-07 09:08:21:476,86599,0.9,86783,1.0,173739,1.0,230067,2.75 42,1,2024-09-07 09:08:21:448,596187,596187,0,0,278391454375,2925883948,586648,7781,1758,380,391675,0 42,2,2024-09-07 09:08:21:134,426680,426680,0,0,20050623,0,3790 42,3,2024-09-07 09:08:21:013,1,340,1,0,446,3089,340,0 43,0,2024-09-07 09:08:20:927,85648,0.9,83518,1.1,174944,1.1,229569,2.50 43,1,2024-09-07 09:08:20:576,597183,597183,0,0,280169700031,2927092528,588950,6795,1438,366,391696,0 43,2,2024-09-07 09:08:21:740,428781,428781,0,0,19852157,0,3812 43,3,2024-09-07 09:08:21:752,1,340,5,0,467,4235,340,0 44,0,2024-09-07 09:08:20:859,86791,0.4,87140,0.6,173872,0.4,231232,1.75 44,1,2024-09-07 09:08:20:567,598887,598887,0,0,279343709844,2889292480,593826,4014,1047,356,391809,0 44,2,2024-09-07 09:08:21:276,430142,430142,0,0,16611243,0,4344 44,3,2024-09-07 09:08:21:095,1,340,1,0,817,4495,340,0 45,0,2024-09-07 09:08:21:767,81045,0.5,79053,0.7,165872,0.4,218549,2.00 45,1,2024-09-07 09:08:21:005,598288,598288,0,0,280194918503,2912106573,593854,3958,476,382,391917,0 45,2,2024-09-07 09:08:21:277,433525,433525,0,0,17198598,0,3596 45,3,2024-09-07 09:08:20:944,1,340,1,0,271,3240,340,0 46,0,2024-09-07 09:08:20:951,84970,0.5,84847,0.7,169825,0.5,226029,2.00 46,1,2024-09-07 09:08:20:575,600064,600064,0,0,280750709795,2899600792,596351,3287,426,366,391572,0 46,2,2024-09-07 09:08:20:608,427836,427836,0,0,16145154,0,2920 46,3,2024-09-07 09:08:21:133,1,340,0,0,908,5011,340,0 47,0,2024-09-07 09:08:21:106,85600,0.5,85833,0.6,171899,0.5,227197,2.00 47,1,2024-09-07 09:08:20:567,600059,600059,0,0,280284371125,2900089815,595572,3672,815,366,391641,0 47,2,2024-09-07 09:08:20:914,430320,430320,0,0,16747908,0,4477 47,3,2024-09-07 09:08:21:115,1,340,1,0,529,3721,340,0 48,0,2024-09-07 09:08:21:489,86690,0.3,86323,0.4,172085,0.2,229627,1.50 48,1,2024-09-07 09:08:21:037,598482,598482,0,0,280467633937,2911862442,594925,3254,303,384,391710,0 48,2,2024-09-07 09:08:20:698,429906,429906,0,0,15273773,0,3031 48,3,2024-09-07 09:08:20:753,1,340,1,0,339,2878,340,0 49,0,2024-09-07 09:08:21:720,85698,0.3,84223,0.5,163037,0.3,223102,1.75 49,1,2024-09-07 09:08:21:037,598202,598202,0,0,279211539685,2906798469,593883,3134,1185,382,391809,0 49,2,2024-09-07 09:08:21:797,434117,434117,0,0,16449596,0,4426 49,3,2024-09-07 09:08:21:416,1,340,21,0,408,3554,340,0 50,0,2024-09-07 09:08:21:507,83225,0.3,82020,0.4,165396,0.2,221317,1.75 50,1,2024-09-07 09:08:21:015,600389,600389,0,0,280519429379,2899808914,596570,3445,374,368,391565,0 50,2,2024-09-07 09:08:21:072,429372,429372,0,0,15364268,0,2263 50,3,2024-09-07 09:08:21:291,1,340,1,0,335,3300,340,0 51,0,2024-09-07 09:08:21:689,87772,0.3,85776,0.5,167397,0.3,227744,1.75 51,1,2024-09-07 09:08:21:680,600456,600456,0,0,281356943194,2902397882,597195,2285,976,365,391706,0 51,2,2024-09-07 09:08:21:320,428386,428386,0,0,14825176,0,3337 51,3,2024-09-07 09:08:21:027,1,340,1,0,678,2573,340,0 52,0,2024-09-07 09:08:21:420,87433,0.5,87372,0.7,174529,0.4,231865,2.00 52,1,2024-09-07 09:08:20:603,597363,597363,0,0,279066103483,2923068476,588724,7326,1313,368,391722,0 52,2,2024-09-07 09:08:21:763,426126,426088,38,0,19804188,0,6742 52,3,2024-09-07 09:08:20:674,1,340,0,0,1782,5203,340,0 53,0,2024-09-07 09:08:21:734,85192,0.6,82710,0.8,173069,0.6,226945,2.25 53,1,2024-09-07 09:08:20:770,596042,596042,0,0,279287220990,2932157267,586418,7041,2583,367,391702,0 53,2,2024-09-07 09:08:21:309,433493,433493,0,0,17237294,0,2727 53,3,2024-09-07 09:08:20:698,1,340,1,0,308,3242,340,0 54,0,2024-09-07 09:08:21:617,80875,0.5,81323,0.7,161770,0.4,216926,2.25 54,1,2024-09-07 09:08:20:582,597854,597854,0,0,280929803957,2919181217,592191,5061,602,367,391659,0 54,2,2024-09-07 09:08:20:864,431517,431511,6,0,19557821,0,5382 54,3,2024-09-07 09:08:20:763,1,340,1,0,676,5219,340,0 55,0,2024-09-07 09:08:21:761,84090,0.6,87109,0.7,175510,0.6,228508,2.50 55,1,2024-09-07 09:08:20:764,598038,598038,0,0,279936704272,2912960283,591412,5717,909,365,391731,0 55,2,2024-09-07 09:08:20:730,426527,426527,0,0,18902112,0,3563 55,3,2024-09-07 09:08:20:674,1,340,1,0,304,3838,340,0 56,0,2024-09-07 09:08:21:766,88894,1.2,83828,1.1,172834,1.7,231709,2.50 56,1,2024-09-07 09:08:20:580,595030,595030,0,0,279644924570,2951722512,586293,7106,1631,381,391867,0 56,2,2024-09-07 09:08:21:316,428240,428240,0,0,19840201,0,3567 56,3,2024-09-07 09:08:21:082,1,340,13,0,705,4460,340,0 57,0,2024-09-07 09:08:21:010,85241,1.4,85109,1.2,170670,2.0,228344,3.00 57,1,2024-09-07 09:08:21:010,596431,596431,0,0,278946262094,2923823808,589561,6150,720,366,392032,0 57,2,2024-09-07 09:08:21:327,432017,432017,0,0,21592717,0,3317 57,3,2024-09-07 09:08:21:754,1,340,8,0,359,3978,340,0 58,0,2024-09-07 09:08:20:563,80817,0.8,78622,1.0,164454,0.9,216505,2.50 58,1,2024-09-07 09:08:20:574,597446,597443,0,3,279288745512,2919933887,589323,7038,1082,367,391603,3 58,2,2024-09-07 09:08:21:071,432225,432225,0,0,19790124,0,2549 58,3,2024-09-07 09:08:21:068,1,340,68,0,1043,3687,340,0 59,0,2024-09-07 09:08:21:739,86003,0.7,85640,0.9,171046,0.8,227583,2.75 59,1,2024-09-07 09:08:20:809,597245,597245,0,0,279134048332,2922111551,589373,6352,1520,369,391586,0 59,2,2024-09-07 09:08:20:583,428008,428008,0,0,19369520,0,2867 59,3,2024-09-07 09:08:21:738,1,340,0,0,1015,4361,340,0 60,0,2024-09-07 09:08:21:741,85657,0.5,85758,0.7,172099,0.5,228639,1.75 60,1,2024-09-07 09:08:20:774,598915,598915,0,0,280426718754,2911504462,594802,3467,646,370,392031,0 60,2,2024-09-07 09:08:21:160,428699,428699,0,0,18886638,0,3811 60,3,2024-09-07 09:08:21:257,1,340,2,0,409,3985,340,0 61,0,2024-09-07 09:08:21:510,86328,0.6,86843,0.8,172707,0.6,230190,2.00 61,1,2024-09-07 09:08:20:811,596812,596812,0,0,279122095621,2926714430,589651,5837,1324,382,392127,0 61,2,2024-09-07 09:08:21:120,430753,430686,67,0,19240630,0,6411 61,3,2024-09-07 09:08:21:711,1,340,1,0,479,5089,340,0 62,0,2024-09-07 09:08:21:711,83631,0.5,85443,0.7,162833,0.5,221979,2.00 62,1,2024-09-07 09:08:21:126,600935,600929,0,6,281590783276,2906522728,597351,3319,259,365,391715,6 62,2,2024-09-07 09:08:21:649,432391,432390,1,0,18798245,0,5555 62,3,2024-09-07 09:08:21:156,1,340,2,0,465,2585,340,0 63,0,2024-09-07 09:08:21:454,84614,0.4,84511,0.6,169422,0.3,225711,1.75 63,1,2024-09-07 09:08:20:804,599176,599170,0,6,280855184851,2915785263,595238,3544,388,381,391800,6 63,2,2024-09-07 09:08:20:761,428033,428033,0,0,17044616,0,4369 63,3,2024-09-07 09:08:21:732,1,340,1,0,667,3850,340,0 64,0,2024-09-07 09:08:21:695,84629,0.5,84592,0.7,169231,0.5,224588,2.00 64,1,2024-09-07 09:08:20:776,598273,598273,0,0,279076151638,2908896982,592628,3985,1660,370,391783,0 64,2,2024-09-07 09:08:21:156,431893,431874,19,0,16756227,0,6121 64,3,2024-09-07 09:08:21:145,1,340,8,0,265,3151,340,0 65,0,2024-09-07 09:08:21:786,85564,0.7,85895,0.8,171633,0.7,228605,2.25 65,1,2024-09-07 09:08:20:883,597435,597435,0,0,278995686140,2915117537,593351,3633,451,382,391901,0 65,2,2024-09-07 09:08:21:701,430741,430741,0,0,18511193,0,3367 65,3,2024-09-07 09:08:21:690,1,340,5,0,163,3029,340,0 66,0,2024-09-07 09:08:21:765,84469,0.5,84379,0.7,168990,0.4,223986,2.00 66,1,2024-09-07 09:08:21:293,598201,598201,0,0,280105944571,2911410319,594639,3240,322,380,391653,0 66,2,2024-09-07 09:08:21:146,435042,435042,0,0,16647821,0,4956 66,3,2024-09-07 09:08:21:079,1,340,2,0,291,3226,340,0 67,0,2024-09-07 09:08:21:415,82103,0.5,81498,0.7,163729,0.4,218118,2.00 67,1,2024-09-07 09:08:20:766,598745,598744,0,1,279625018935,2911304312,594579,3414,751,380,391787,1 67,2,2024-09-07 09:08:20:583,431354,431354,0,0,16014080,0,2889 67,3,2024-09-07 09:08:21:751,1,340,1,0,338,2907,340,0 68,0,2024-09-07 09:08:20:666,86472,0.6,86139,0.7,171736,0.6,229264,2.00 68,1,2024-09-07 09:08:20:610,597023,597023,0,0,279404531931,2926054019,592208,3611,1204,381,391953,0 68,2,2024-09-07 09:08:21:064,426055,425990,65,0,21179541,0,6698 68,3,2024-09-07 09:08:20:732,1,340,0,0,417,3701,340,0 69,0,2024-09-07 09:08:21:775,87116,0.8,87636,0.9,174390,1.0,231689,2.25 69,1,2024-09-07 09:08:21:031,595004,595004,0,0,278748431542,2941465489,586986,5908,2110,384,391994,0 69,2,2024-09-07 09:08:21:757,428171,428171,0,0,21445295,0,3722 69,3,2024-09-07 09:08:20:767,1,340,0,0,698,4893,340,0 70,0,2024-09-07 09:08:21:550,85535,0.7,85553,1.0,172190,0.6,228358,2.25 70,1,2024-09-07 09:08:20:803,598584,598584,0,0,280737970210,2913871144,593734,4314,536,366,391725,0 70,2,2024-09-07 09:08:21:328,431652,431652,0,0,19409178,0,4044 70,3,2024-09-07 09:08:20:749,1,340,14,0,854,3820,340,0 71,0,2024-09-07 09:08:21:357,81018,0.8,80664,0.9,162175,0.9,216691,2.50 71,1,2024-09-07 09:08:21:615,597368,597368,0,0,279472365291,2915832475,590757,5955,656,368,391738,0 71,2,2024-09-07 09:08:21:067,431951,431951,0,0,19159472,0,4042 71,3,2024-09-07 09:08:21:751,1,340,1,0,644,4661,340,0 72,0,2024-09-07 09:08:21:083,90260,0.6,88275,0.7,172166,0.6,234784,2.00 72,1,2024-09-07 09:08:21:048,596917,596917,0,0,279408793743,2927543836,588685,6535,1697,369,391819,0 72,2,2024-09-07 09:08:21:761,425826,425826,0,0,21796841,0,3983 72,3,2024-09-07 09:08:21:773,1,340,0,0,564,5386,340,0 73,0,2024-09-07 09:08:21:146,84569,0.5,86640,0.6,177489,0.4,230672,2.00 73,1,2024-09-07 09:08:20:810,598147,598147,0,0,279916487573,2910015292,593826,3991,330,367,391858,0 73,2,2024-09-07 09:08:21:749,428927,428927,0,0,20942817,0,3701 73,3,2024-09-07 09:08:20:979,1,340,19,0,274,4549,340,0 74,0,2024-09-07 09:08:21:332,87148,0.5,89327,0.6,170552,0.4,230963,2.25 74,1,2024-09-07 09:08:20:675,597612,597612,0,0,280019266298,2923183021,591531,4818,1263,381,391681,0 74,2,2024-09-07 09:08:21:004,430483,430483,0,0,19954781,0,4253 74,3,2024-09-07 09:08:21:450,1,340,1,0,522,4825,340,0 75,0,2024-09-07 09:08:21:773,82291,0.4,81894,0.7,164003,0.4,219357,2.25 75,1,2024-09-07 09:08:21:586,596674,596674,0,0,278420591993,2909245170,590317,5532,825,380,391739,0 75,2,2024-09-07 09:08:21:350,432387,432387,0,0,20117726,0,4766 75,3,2024-09-07 09:08:21:067,1,340,68,0,702,4822,340,0 76,0,2024-09-07 09:08:20:767,85211,0.6,84524,0.8,169439,0.6,227411,2.25 76,1,2024-09-07 09:08:20:819,597939,597939,0,0,279647973705,2916422195,594019,3330,590,382,391692,0 76,2,2024-09-07 09:08:21:080,428761,428760,1,0,19125882,0,5144 76,3,2024-09-07 09:08:21:145,1,340,8,0,175,3285,340,0 77,0,2024-09-07 09:08:21:728,85317,0.7,85476,0.8,171034,0.7,227184,2.00 77,1,2024-09-07 09:08:20:852,597592,597592,0,0,279371499147,2919217250,592402,4701,489,381,391869,0 77,2,2024-09-07 09:08:21:282,428779,428779,0,0,18636415,0,3890 77,3,2024-09-07 09:08:21:094,1,340,0,0,401,3842,340,0 78,0,2024-09-07 09:08:21:726,86402,0.5,85981,0.6,172700,0.4,228920,2.00 78,1,2024-09-07 09:08:20:630,598239,598239,0,0,278547597292,2900308777,592375,4719,1145,367,391670,0 78,2,2024-09-07 09:08:21:404,430719,430719,0,0,16200659,0,3855 78,3,2024-09-07 09:08:21:133,1,340,1,0,181,3243,340,0 79,0,2024-09-07 09:08:21:349,80819,0.4,82962,0.6,169533,0.3,220318,2.25 79,1,2024-09-07 09:08:20:571,599845,599845,0,0,280356068232,2903049687,595289,3923,633,368,391682,0 79,2,2024-09-07 09:08:21:068,434622,434622,0,0,17203622,0,4195 79,3,2024-09-07 09:08:20:749,1,340,1,0,418,4318,340,0 80,0,2024-09-07 09:08:21:138,82922,0.5,85378,0.7,163391,0.5,221024,2.00 80,1,2024-09-07 09:08:21:625,598057,598057,0,0,279529985549,2906715061,594705,3173,179,368,391791,0 80,2,2024-09-07 09:08:21:099,430872,430872,0,0,16340313,0,4433 80,3,2024-09-07 09:08:20:588,1,340,32,0,190,4071,340,0 81,0,2024-09-07 09:08:21:596,85490,0.6,87507,0.7,167080,0.6,226518,2.00 81,1,2024-09-07 09:08:21:671,597088,597088,0,0,278044966222,2905701627,592233,4356,499,382,391879,0 81,2,2024-09-07 09:08:21:136,427848,427785,63,0,18215632,0,5932 81,3,2024-09-07 09:08:21:126,1,340,1,0,374,3936,340,0 82,0,2024-09-07 09:08:21:576,86715,0.5,87238,0.7,174800,0.4,231454,2.00 82,1,2024-09-07 09:08:20:583,599200,599196,0,4,279973055480,2913536971,595301,3098,797,381,391768,4 82,2,2024-09-07 09:08:21:695,430992,430992,0,0,15622569,0,3986 82,3,2024-09-07 09:08:21:753,1,340,1,0,363,3441,340,0 83,0,2024-09-07 09:08:21:531,85962,0.5,85724,0.7,170795,0.5,227157,2.00 83,1,2024-09-07 09:08:20:553,597606,597606,0,0,279397108277,2912815146,593295,3930,381,382,391709,0 83,2,2024-09-07 09:08:20:764,432882,432882,0,0,16177172,0,3393 83,3,2024-09-07 09:08:20:749,1,340,1,0,1260,4890,340,0 84,0,2024-09-07 09:08:21:778,81500,0.6,81419,0.8,162858,0.5,218333,2.25 84,1,2024-09-07 09:08:21:052,597063,597063,0,0,278791016545,2913380542,591303,5031,729,367,391967,0 84,2,2024-09-07 09:08:20:576,431281,431281,0,0,20481486,0,4757 84,3,2024-09-07 09:08:21:143,1,340,1,0,908,5158,340,0 85,0,2024-09-07 09:08:21:022,83824,0.7,83811,0.8,177951,0.7,229879,2.25 85,1,2024-09-07 09:08:20:562,595594,595594,0,0,278658107960,2940428537,587065,7157,1372,381,392006,0 85,2,2024-09-07 09:08:20:865,427237,427237,0,0,20157523,0,3656 85,3,2024-09-07 09:08:20:694,1,340,14,0,789,4454,340,0 86,0,2024-09-07 09:08:20:892,87049,0.7,89533,0.8,171282,0.7,231762,2.25 86,1,2024-09-07 09:08:20:824,597424,597424,0,0,279406248445,2925419985,590536,5822,1066,366,391961,0 86,2,2024-09-07 09:08:20:854,427532,427531,1,0,21185427,0,5004 86,3,2024-09-07 09:08:20:588,1,340,6,0,308,4648,340,0 87,0,2024-09-07 09:08:21:350,85997,0.9,85893,0.9,172438,1.0,230138,2.25 87,1,2024-09-07 09:08:20:558,596949,596949,0,0,279900549293,2927463656,590737,5600,612,366,392076,0 87,2,2024-09-07 09:08:21:099,430715,430715,0,0,19295337,0,4045 87,3,2024-09-07 09:08:21:799,1,340,2,0,473,5017,340,0 88,0,2024-09-07 09:08:21:468,82015,0.4,82627,0.6,164583,0.4,219404,1.75 88,1,2024-09-07 09:08:20:573,595780,595780,0,0,279335823350,2928054663,588082,6034,1664,365,392084,0 88,2,2024-09-07 09:08:20:704,433258,433258,0,0,21375099,0,3583 88,3,2024-09-07 09:08:21:281,1,340,0,0,435,3670,340,0 89,0,2024-09-07 09:08:21:772,88795,0.5,85891,0.6,170251,0.4,231132,1.75 89,1,2024-09-07 09:08:20:550,595568,595568,0,0,278599193454,2931362299,587813,6481,1274,382,391866,0 89,2,2024-09-07 09:08:21:136,426305,426305,0,0,20313678,0,2910 89,3,2024-09-07 09:08:21:794,1,340,5,0,385,6247,340,0 90,0,2024-09-07 09:08:21:721,83310,0.5,85417,0.7,174421,0.5,227916,2.00 90,1,2024-09-07 09:08:20:591,597209,597209,0,0,279003714521,2925393959,591004,5703,502,380,391825,0 90,2,2024-09-07 09:08:21:425,427269,427269,0,0,21526730,0,3060 90,3,2024-09-07 09:08:20:936,1,340,1,0,246,3713,340,0 91,0,2024-09-07 09:08:20:974,86913,0.5,84100,0.6,175783,0.4,230762,1.75 91,1,2024-09-07 09:08:20:557,595643,595643,0,0,278684503447,2932228287,587819,6780,1044,381,392047,0 91,2,2024-09-07 09:08:21:343,430781,430781,0,0,19529810,0,2896 91,3,2024-09-07 09:08:20:606,1,340,1,0,216,3193,340,0 92,0,2024-09-07 09:08:21:454,83866,0.4,85981,0.6,164223,0.4,222389,1.75 92,1,2024-09-07 09:08:20:580,597053,597053,0,0,278910277411,2914714905,592241,4125,687,382,392136,0 92,2,2024-09-07 09:08:21:364,434312,434312,0,0,17575361,0,3259 92,3,2024-09-07 09:08:21:014,1,340,1,0,167,2894,340,0 93,0,2024-09-07 09:08:20:954,84922,0.4,86977,0.6,166264,0.3,225707,1.75 93,1,2024-09-07 09:08:20:807,597323,597323,0,0,279470459786,2917918816,591206,5088,1029,366,391776,0 93,2,2024-09-07 09:08:20:936,427349,427349,0,0,20341825,0,4845 93,3,2024-09-07 09:08:21:413,1,340,3,0,190,3321,340,0 94,0,2024-09-07 09:08:21:615,84735,0.4,85558,0.6,170574,0.4,226132,1.75 94,1,2024-09-07 09:08:20:562,597561,597561,0,0,279286017919,2915227718,593523,3848,190,381,391850,0 94,2,2024-09-07 09:08:20:762,428445,428445,0,0,17553190,0,2443 94,3,2024-09-07 09:08:21:690,1,340,1,0,264,4165,340,0 95,0,2024-09-07 09:08:21:362,86269,0.4,86394,0.5,173150,0.3,230505,1.75 95,1,2024-09-07 09:08:20:851,599061,599061,0,0,279381860911,2906214737,594093,4569,399,367,391713,0 95,2,2024-09-07 09:08:21:015,429807,429807,0,0,17342255,0,3308 95,3,2024-09-07 09:08:21:711,1,340,2,0,718,5351,340,0 96,0,2024-09-07 09:08:21:041,85049,0.4,85249,0.5,170038,0.3,224887,1.75 96,1,2024-09-07 09:08:21:587,597091,597091,0,0,279754317348,2918898327,592567,3728,796,384,391955,0 96,2,2024-09-07 09:08:21:283,433708,433708,0,0,17332212,0,4042 96,3,2024-09-07 09:08:21:145,1,340,3,0,411,3714,340,0 97,0,2024-09-07 09:08:21:317,81831,0.3,81573,0.5,163798,0.3,217814,1.50 97,1,2024-09-07 09:08:20:773,599226,599226,0,0,280574687236,2908341015,595346,3208,672,367,392140,0 97,2,2024-09-07 09:08:20:641,431484,431484,0,0,16749126,0,3036 97,3,2024-09-07 09:08:20:582,1,340,3,0,214,4015,340,0 98,0,2024-09-07 09:08:21:704,86292,0.3,86024,0.5,172771,0.2,229290,1.50 98,1,2024-09-07 09:08:20:571,598479,598479,0,0,279745643201,2909556901,595813,2559,107,382,391997,0 98,2,2024-09-07 09:08:20:769,428240,428240,0,0,16625837,0,3080 98,3,2024-09-07 09:08:20:699,1,340,1,0,840,5414,340,0 99,0,2024-09-07 09:08:21:455,87544,0.3,88119,0.5,175365,0.3,233908,1.75 99,1,2024-09-07 09:08:21:727,598241,598241,0,0,279806857253,2913442741,594101,3385,755,381,392069,0 99,2,2024-09-07 09:08:21:422,430414,430414,0,0,18768205,0,3424 99,3,2024-09-07 09:08:20:581,1,340,1,0,199,3155,340,0 100,0,2024-09-07 09:08:21:481,86092,0.7,86103,0.9,172088,0.8,230141,2.25 100,1,2024-09-07 09:08:20:548,594963,594963,0,0,277903999073,2935779229,586494,6973,1496,381,391989,0 100,2,2024-09-07 09:08:21:832,431025,431014,11,0,19783505,0,5417 100,3,2024-09-07 09:08:21:731,1,340,0,0,559,5936,340,0 101,0,2024-09-07 09:08:21:701,83316,0.9,81169,1.0,159103,0.6,218374,2.25 101,1,2024-09-07 09:08:20:549,594908,594908,0,0,278822867573,2935905399,585820,7064,2024,368,391771,0 101,2,2024-09-07 09:08:21:776,430128,430128,0,0,22878868,0,4644 101,3,2024-09-07 09:08:20:948,1,340,0,0,579,4492,340,0 102,0,2024-09-07 09:08:20:973,85129,0.7,88041,0.8,177814,0.7,233602,2.00 102,1,2024-09-07 09:08:21:158,595962,595962,0,0,278753680163,2927494760,588642,6288,1032,369,391891,0 102,2,2024-09-07 09:08:21:738,427762,427708,54,0,19119949,0,6768 102,3,2024-09-07 09:08:21:629,1,340,1,0,410,3652,340,0 103,0,2024-09-07 09:08:21:595,89524,0.6,89443,0.8,168683,0.7,232531,2.00 103,1,2024-09-07 09:08:21:625,595130,595130,0,0,278507208876,2937077666,586310,6824,1996,381,391829,0 103,2,2024-09-07 09:08:20:581,427548,427548,0,0,20166063,0,3173 103,3,2024-09-07 09:08:20:756,1,340,2,0,916,4240,340,0 104,0,2024-09-07 09:08:21:018,85815,0.7,86184,0.9,171192,0.7,230287,2.25 104,1,2024-09-07 09:08:21:605,596788,596788,0,0,278302529109,2926784298,587876,7214,1698,365,392168,0 104,2,2024-09-07 09:08:21:666,429346,429346,0,0,21096656,0,3941 104,3,2024-09-07 09:08:21:417,1,340,1,0,1245,7523,340,0 105,0,2024-09-07 09:08:21:074,81249,0.8,79010,1.1,165397,0.9,217776,2.75 105,1,2024-09-07 09:08:20:558,597003,597003,0,0,278961050830,2927048180,588846,6822,1335,366,391797,0 105,2,2024-09-07 09:08:21:325,432300,432300,0,0,20485890,0,3509 105,3,2024-09-07 09:08:21:304,1,340,230,0,399,5588,340,0 106,0,2024-09-07 09:08:20:962,82531,0.8,84495,0.9,172886,0.9,226755,2.50 106,1,2024-09-07 09:08:21:765,595699,595699,0,0,278872598295,2932783476,586121,8285,1293,369,391914,0 106,2,2024-09-07 09:08:20:760,425294,425294,0,0,20063031,0,2795 106,3,2024-09-07 09:08:20:682,1,340,1,0,470,4346,340,0 107,0,2024-09-07 09:08:21:128,85194,1.2,85093,1.0,170309,1.7,226872,2.25 107,1,2024-09-07 09:08:20:590,595290,595290,0,0,278168007847,2931389303,587322,7054,914,381,392234,0 107,2,2024-09-07 09:08:21:295,427176,427175,1,0,20568633,0,5024 107,3,2024-09-07 09:08:21:772,1,340,5,0,353,4803,340,0 108,0,2024-09-07 09:08:21:764,85934,0.5,86441,0.6,171922,0.4,229500,1.75 108,1,2024-09-07 09:08:21:295,597697,597697,0,0,279981495204,2920936715,592609,4570,518,368,391857,0 108,2,2024-09-07 09:08:21:764,428901,428901,0,0,19630303,0,4246 108,3,2024-09-07 09:08:21:343,1,340,15,0,749,6801,340,0 109,0,2024-09-07 09:08:21:769,84090,0.4,83097,0.5,166558,0.3,222748,1.75 109,1,2024-09-07 09:08:20:591,595678,595678,0,0,279397627868,2930748323,590472,4541,665,383,392132,0 109,2,2024-09-07 09:08:20:931,431821,431821,0,0,19211284,0,3617 109,3,2024-09-07 09:08:21:146,1,340,6,0,379,4078,340,0 110,0,2024-09-07 09:08:21:784,82927,0.4,80656,0.6,168943,0.3,221857,1.75 110,1,2024-09-07 09:08:21:649,598624,598624,0,0,280313197466,2909148354,594623,3025,976,369,392045,0 110,2,2024-09-07 09:08:21:312,428834,428834,0,0,18885718,0,4067 110,3,2024-09-07 09:08:20:691,1,340,24,0,722,5155,340,0 111,0,2024-09-07 09:08:21:414,85918,0.4,85263,0.6,170774,0.4,227906,1.75 111,1,2024-09-07 09:08:21:005,598988,598988,0,0,281854043293,2924728227,595608,3028,352,382,391690,0 111,2,2024-09-07 09:08:21:125,427385,427385,0,0,18242018,0,4823 111,3,2024-09-07 09:08:20:914,1,340,1,0,379,4117,340,0 112,0,2024-09-07 09:08:20:916,87847,0.3,87231,0.4,174991,0.2,232190,1.50 112,1,2024-09-07 09:08:20:829,598909,598909,0,0,280247438101,2906733671,595448,2877,584,380,391624,0 112,2,2024-09-07 09:08:21:137,429911,429910,1,0,16612246,0,5036 112,3,2024-09-07 09:08:20:591,1,340,1,0,282,3475,340,0 113,0,2024-09-07 09:08:20:893,85465,0.3,85602,0.5,171769,0.2,228542,1.50 113,1,2024-09-07 09:08:21:686,600880,600880,0,0,280627627918,2895211511,597599,2697,584,366,391661,0 113,2,2024-09-07 09:08:21:315,434248,434248,0,0,15331023,0,3813 113,3,2024-09-07 09:08:20:684,1,340,1,0,340,4058,340,0 114,0,2024-09-07 09:08:20:877,82345,0.3,82926,0.5,164598,0.2,220400,1.75 114,1,2024-09-07 09:08:20:718,598556,598556,0,0,280088823038,2910565464,593431,3531,1594,381,391556,0 114,2,2024-09-07 09:08:20:873,432287,432286,1,0,16925276,0,5069 114,3,2024-09-07 09:08:21:281,1,340,1,0,395,2974,340,0 115,0,2024-09-07 09:08:20:554,86804,0.3,87543,0.4,174930,0.2,232118,1.50 115,1,2024-09-07 09:08:20:571,598086,598086,0,0,279718570286,2904944109,593222,4024,840,382,391757,0 115,2,2024-09-07 09:08:21:127,429112,429112,0,0,15553030,0,3453 115,3,2024-09-07 09:08:21:002,1,340,1,0,159,1981,340,0 116,0,2024-09-07 09:08:21:700,86828,0.8,86637,0.9,173843,0.9,232765,2.25 116,1,2024-09-07 09:08:20:812,595034,595034,0,0,278680117847,2939880713,587431,5335,2268,380,391878,0 116,2,2024-09-07 09:08:21:752,427858,427858,0,0,21223922,0,3529 116,3,2024-09-07 09:08:20:915,1,340,1,0,415,4252,340,0 117,0,2024-09-07 09:08:20:972,86496,0.7,86077,0.8,172287,0.8,230537,2.00 117,1,2024-09-07 09:08:21:585,596572,596572,0,0,278852825303,2919309821,590266,5638,668,370,392033,0 117,2,2024-09-07 09:08:21:125,433979,433979,0,0,17689038,0,3700 117,3,2024-09-07 09:08:21:060,1,340,13,0,490,4977,340,0 118,0,2024-09-07 09:08:21:768,79719,0.5,81734,0.7,166972,0.4,218537,2.00 118,1,2024-09-07 09:08:20:591,596159,596159,0,0,278801706817,2931166856,587362,6899,1898,366,391907,0 118,2,2024-09-07 09:08:21:586,432036,432036,0,0,19547005,0,2781 118,3,2024-09-07 09:08:21:767,1,340,6,0,235,3753,340,0 119,0,2024-09-07 09:08:21:343,85922,0.6,86283,0.7,172562,0.6,229510,2.00 119,1,2024-09-07 09:08:20:548,597564,597564,0,0,279574526084,2924070434,590996,5731,837,367,391780,0 119,2,2024-09-07 09:08:21:281,427749,427749,0,0,18189747,0,4174 119,3,2024-09-07 09:08:21:327,1,340,1,0,563,5154,340,0 120,0,2024-09-07 09:08:21:579,85381,0.7,85141,0.9,170381,0.7,228489,2.25 120,1,2024-09-07 09:08:20:864,596771,596771,0,0,278866633026,2927250682,590350,5865,556,368,391961,0 120,2,2024-09-07 09:08:20:774,428302,428301,1,0,21396875,0,5281 120,3,2024-09-07 09:08:21:290,1,340,4,0,241,4265,340,0 121,0,2024-09-07 09:08:21:695,86158,1.0,86356,1.0,173281,1.3,230343,2.25 121,1,2024-09-07 09:08:21:661,597520,597520,0,0,279391243302,2923587638,591610,5473,437,367,391840,0 121,2,2024-09-07 09:08:21:131,429659,429659,0,0,20666483,0,4127 121,3,2024-09-07 09:08:20:730,1,340,4,0,269,3891,340,0 122,0,2024-09-07 09:08:21:766,82909,0.7,80819,0.8,169456,0.8,222329,2.00 122,1,2024-09-07 09:08:20:865,596294,596294,0,0,279116877254,2929972271,587834,7198,1262,366,392130,0 122,2,2024-09-07 09:08:21:324,433197,433124,73,0,23127354,0,5989 122,3,2024-09-07 09:08:20:649,1,340,3,0,411,6013,340,0 123,0,2024-09-07 09:08:20:964,83973,0.7,82197,0.8,171398,0.7,225074,2.00 123,1,2024-09-07 09:08:20:559,595776,595776,0,0,279374724943,2946862814,584331,9319,2126,369,392039,0 123,2,2024-09-07 09:08:21:045,425752,425751,1,0,19869406,0,5215 123,3,2024-09-07 09:08:21:143,1,340,9,0,168,3894,340,0 124,0,2024-09-07 09:08:20:937,87738,0.4,87793,0.5,165459,0.3,227025,1.75 124,1,2024-09-07 09:08:21:039,598531,598531,0,0,279426158597,2908225454,593813,3808,910,367,392178,0 124,2,2024-09-07 09:08:21:017,429537,429484,53,0,17841778,0,6487 124,3,2024-09-07 09:08:20:758,1,340,4,0,490,3477,340,0 125,0,2024-09-07 09:08:21:417,86735,0.4,86232,0.6,173535,0.3,230815,1.75 125,1,2024-09-07 09:08:20:858,597108,597108,0,0,278887234991,2912941370,592227,4237,644,383,391702,0 125,2,2024-09-07 09:08:21:116,430226,430226,0,0,18524677,0,4534 125,3,2024-09-07 09:08:21:126,1,340,0,0,709,4617,340,0 126,0,2024-09-07 09:08:21:458,84873,0.4,87161,0.6,167142,0.4,225742,1.75 126,1,2024-09-07 09:08:20:574,598861,598861,0,0,279787240694,2900164442,595123,3454,284,365,391987,0 126,2,2024-09-07 09:08:20:642,434039,434039,0,0,18539919,0,4539 126,3,2024-09-07 09:08:20:914,1,340,23,0,207,4250,340,0 127,0,2024-09-07 09:08:21:627,81632,0.3,82233,0.5,163680,0.3,217915,1.75 127,1,2024-09-07 09:08:20:569,598038,598038,0,0,279357666545,2902770088,592640,4538,860,364,392187,0 127,2,2024-09-07 09:08:20:662,430064,430064,0,0,17075783,0,3897 127,3,2024-09-07 09:08:21:272,1,340,1,0,968,4024,340,0 128,0,2024-09-07 09:08:21:524,86419,0.3,86666,0.4,173453,0.2,229591,1.50 128,1,2024-09-07 09:08:21:628,598323,598323,0,0,280109149551,2908775698,594222,3730,371,367,391798,0 128,2,2024-09-07 09:08:21:385,429135,429135,0,0,15970689,0,2915 128,3,2024-09-07 09:08:20:777,1,340,1,0,1082,5925,340,0 129,0,2024-09-07 09:08:21:011,88191,0.3,87703,0.5,175949,0.3,233955,1.75 129,1,2024-09-07 09:08:20:567,595559,595559,0,0,279457025802,2926360312,589911,4391,1257,379,391835,0 129,2,2024-09-07 09:08:20:702,429763,429763,0,0,16867880,0,4031 129,3,2024-09-07 09:08:20:690,1,340,1,0,469,4478,340,0 130,0,2024-09-07 09:08:21:745,86994,0.5,86437,0.6,173989,0.5,231424,1.75 130,1,2024-09-07 09:08:20:607,598315,598315,0,0,279930198306,2910690413,594898,3138,279,381,391825,0 130,2,2024-09-07 09:08:21:128,433674,433674,0,0,16792203,0,4067 130,3,2024-09-07 09:08:21:294,1,340,1,0,450,4163,340,0 131,0,2024-09-07 09:08:21:947,81333,0.3,81943,0.5,164562,0.3,218018,1.75 131,1,2024-09-07 09:08:21:823,598455,598455,0,0,279563552388,2917425994,593977,3731,747,381,391865,0 131,2,2024-09-07 09:08:20:622,434542,434542,0,0,15520307,0,2415 131,3,2024-09-07 09:08:21:690,1,340,1,0,392,3528,340,0 132,0,2024-09-07 09:08:21:417,87464,0.4,88240,0.6,175776,0.4,234395,1.75 132,1,2024-09-07 09:08:20:576,595168,595168,0,0,278054701804,2928938716,586527,7175,1466,381,392097,0 132,2,2024-09-07 09:08:20:700,426900,426900,0,0,20687431,0,4606 132,3,2024-09-07 09:08:21:694,1,340,1,0,804,6206,340,0 133,0,2024-09-07 09:08:21:549,84642,0.5,86480,0.6,177746,0.4,231193,2.00 133,1,2024-09-07 09:08:20:733,595217,595217,0,0,278189282507,2932634944,587039,7094,1084,383,391914,0 133,2,2024-09-07 09:08:21:087,427805,427805,0,0,22016819,0,4315 133,3,2024-09-07 09:08:21:309,1,340,12,0,479,3649,340,0 134,0,2024-09-07 09:08:20:956,86966,0.5,86828,0.7,173676,0.5,231494,2.00 134,1,2024-09-07 09:08:20:591,595892,595892,0,0,278456878885,2924598758,587571,6465,1856,366,391718,0 134,2,2024-09-07 09:08:21:764,430335,430335,0,0,18383693,0,3847 134,3,2024-09-07 09:08:20:749,1,340,1,0,739,4413,340,0 135,0,2024-09-07 09:08:21:097,79355,0.6,79422,0.8,168420,0.7,217422,2.00 135,1,2024-09-07 09:08:21:585,596463,596463,0,0,279592846941,2943307602,588030,7196,1237,380,391805,0 135,2,2024-09-07 09:08:20:695,433778,433778,0,0,19989170,0,3981 135,3,2024-09-07 09:08:21:002,1,340,1,0,299,2642,340,0 136,0,2024-09-07 09:08:21:658,85817,0.6,85910,0.7,171030,0.5,228660,2.25 136,1,2024-09-07 09:08:21:454,595995,595995,0,0,278362704794,2923662104,588975,6323,697,382,391685,0 136,2,2024-09-07 09:08:21:137,427423,427423,0,0,19542919,0,3506 136,3,2024-09-07 09:08:21:106,1,340,1,0,637,3901,340,0 137,0,2024-09-07 09:08:20:929,87955,0.7,85609,0.8,168097,0.8,228242,2.00 137,1,2024-09-07 09:08:20:577,595778,595778,0,0,279036768214,2930502957,586176,7720,1882,366,391708,0 137,2,2024-09-07 09:08:21:713,427945,427945,0,0,21943455,0,3185 137,3,2024-09-07 09:08:20:772,1,340,34,0,382,4080,340,0 138,0,2024-09-07 09:08:21:741,85566,1.3,85645,1.1,172059,1.8,228441,2.25 138,1,2024-09-07 09:08:21:685,596657,596657,0,0,279099711218,2931514917,587614,7447,1596,368,391954,0 138,2,2024-09-07 09:08:20:604,429481,429481,0,0,19685874,0,4988 138,3,2024-09-07 09:08:20:617,1,340,8,0,1160,4846,340,0 139,0,2024-09-07 09:08:21:367,82480,1.2,82763,1.0,165934,1.7,220869,2.25 139,1,2024-09-07 09:08:20:670,594149,594149,0,0,277104250960,2945556153,582784,8721,2644,381,391892,0 139,2,2024-09-07 09:08:20:697,430781,430781,0,0,21484453,0,3097 139,3,2024-09-07 09:08:21:667,1,340,1,0,257,3864,340,0 140,0,2024-09-07 09:08:21:605,83280,0.3,82786,0.5,166388,0.2,222140,1.75 140,1,2024-09-07 09:08:21:536,600388,600388,0,0,280972789893,2898278328,597183,2767,438,365,391606,0 140,2,2024-09-07 09:08:20:687,429263,429262,1,0,17576219,0,5036 140,3,2024-09-07 09:08:20:772,1,340,3,0,247,2736,340,0 141,0,2024-09-07 09:08:21:699,85705,0.4,88002,0.5,168052,0.3,227908,1.75 141,1,2024-09-07 09:08:20:866,598770,598770,0,0,280029908216,2910237133,594208,3700,862,379,391614,0 141,2,2024-09-07 09:08:21:686,428073,428073,0,0,17130908,0,3360 141,3,2024-09-07 09:08:21:050,1,340,8,0,391,3874,340,0 142,0,2024-09-07 09:08:21:317,88055,0.3,87177,0.5,174334,0.3,232645,1.75 142,1,2024-09-07 09:08:20:592,598501,598501,0,0,279204905951,2912110450,594537,3613,351,382,392102,0 142,2,2024-09-07 09:08:21:307,429011,428979,32,0,18606428,0,6028 142,3,2024-09-07 09:08:21:751,1,340,5,0,484,4163,340,0 143,0,2024-09-07 09:08:21:384,85951,0.4,85691,0.6,171806,0.4,228887,1.75 143,1,2024-09-07 09:08:20:570,598736,598736,0,0,280228595149,2908037309,594960,3626,150,367,391705,0 143,2,2024-09-07 09:08:20:774,433283,433283,0,0,17992376,0,3123 143,3,2024-09-07 09:08:21:141,1,340,8,0,303,4002,340,0 144,0,2024-09-07 09:08:21:492,79544,0.5,81605,0.7,166257,0.4,218570,2.00 144,1,2024-09-07 09:08:20:567,596228,596228,0,0,278439180841,2918110922,591373,3914,941,381,391649,0 144,2,2024-09-07 09:08:21:764,432270,432270,0,0,16217917,0,3473 144,3,2024-09-07 09:08:21:739,1,340,7,0,249,3456,340,0 145,0,2024-09-07 09:08:21:366,84086,0.6,84112,0.8,178509,0.6,229561,2.25 145,1,2024-09-07 09:08:20:560,595585,595585,0,0,279112995325,2935306046,588229,6216,1140,382,391759,0 145,2,2024-09-07 09:08:21:438,426224,426224,0,0,19881315,0,3903 145,3,2024-09-07 09:08:20:925,1,340,4,0,622,5109,340,0 146,0,2024-09-07 09:08:21:678,87069,0.6,86481,0.8,174362,0.6,231422,2.25 146,1,2024-09-07 09:08:21:692,596762,596762,0,0,278883811462,2934544273,586935,7816,2011,368,391770,0 146,2,2024-09-07 09:08:21:698,427449,427449,0,0,19683937,0,2730 146,3,2024-09-07 09:08:21:281,1,340,157,0,1520,7209,340,0 147,0,2024-09-07 09:08:21:746,86537,0.6,86213,0.7,171737,0.5,230186,2.25 147,1,2024-09-07 09:08:21:372,598488,598488,0,0,280143336249,2916063491,592826,4861,801,368,391791,0 147,2,2024-09-07 09:08:21:014,431895,431895,0,0,17385628,0,2789 147,3,2024-09-07 09:08:20:915,1,340,12,0,730,4971,340,0 0,0,2024-09-07 09:08:31:788,83679,0.7,83722,0.8,177569,0.8,229740,2.00 0,1,2024-09-07 09:08:30:810,599109,599109,0,0,280271185171,2930126233,594978,3886,245,369,391896,0 0,2,2024-09-07 09:08:31:082,430663,430663,0,0,17127436,0,4480 0,3,2024-09-07 09:08:30:977,1,341,6,0,431,4651,341,0 1,0,2024-09-07 09:08:31:750,86731,0.8,86163,0.9,173046,0.9,231151,2.00 1,1,2024-09-07 09:08:30:560,597954,597954,0,0,280126081877,2932927292,592354,4374,1226,370,391857,0 1,2,2024-09-07 09:08:30:645,430836,430836,0,0,16623103,0,3267 1,3,2024-09-07 09:08:31:303,1,341,0,0,262,3948,341,0 2,0,2024-09-07 09:08:31:567,83279,0.6,83613,0.7,166117,0.6,222508,2.00 2,1,2024-09-07 09:08:30:860,600387,600387,0,0,281213020210,2919433474,597488,2606,293,380,391745,0 2,2,2024-09-07 09:08:31:269,435209,435209,0,0,16564365,0,3594 2,3,2024-09-07 09:08:30:691,1,341,3,0,357,3397,341,0 3,0,2024-09-07 09:08:31:747,84781,0.4,84640,0.6,169297,0.3,225978,1.75 3,1,2024-09-07 09:08:31:618,598812,598812,0,0,280094829756,2916291102,593714,4475,623,380,391591,0 3,2,2024-09-07 09:08:31:142,429113,429090,23,0,16948798,0,5851 3,3,2024-09-07 09:08:31:752,1,341,0,0,207,2224,341,0 4,0,2024-09-07 09:08:31:813,82733,0.4,85241,0.5,173172,0.4,226557,1.75 4,1,2024-09-07 09:08:30:591,597539,597539,0,0,279092605718,2940194571,590090,6093,1356,371,391992,0 4,2,2024-09-07 09:08:31:028,428253,428253,0,0,19940277,0,4528 4,3,2024-09-07 09:08:31:032,1,341,0,0,448,4785,341,0 5,0,2024-09-07 09:08:31:374,86539,0.5,86875,0.6,173449,0.4,230464,1.75 5,1,2024-09-07 09:08:30:757,598054,598054,0,0,279870759297,2942515410,590886,5812,1356,367,392005,0 5,2,2024-09-07 09:08:31:829,429399,429399,0,0,19040181,0,2432 5,3,2024-09-07 09:08:31:734,1,341,0,0,457,4964,341,0 6,0,2024-09-07 09:08:30:917,85220,0.4,84723,0.6,169449,0.4,225740,2.00 6,1,2024-09-07 09:08:30:746,598164,598164,0,0,279560060411,2922135730,591552,5527,1085,379,391694,0 6,2,2024-09-07 09:08:31:119,434335,434335,0,0,18258442,0,4816 6,3,2024-09-07 09:08:31:279,1,341,1,0,340,3728,341,0 7,0,2024-09-07 09:08:31:558,81787,0.4,82211,0.6,163779,0.4,218482,1.75 7,1,2024-09-07 09:08:30:856,597336,597336,0,0,279718574060,2936706112,589266,6894,1176,382,391747,0 7,2,2024-09-07 09:08:30:770,431103,431103,0,0,18397711,0,4791 7,3,2024-09-07 09:08:30:857,1,341,0,0,398,3854,341,0 8,0,2024-09-07 09:08:31:344,86324,0.4,86247,0.5,172603,0.3,229736,1.75 8,1,2024-09-07 09:08:31:021,596665,596665,0,0,279872318403,2947524220,586514,7874,2277,366,392144,0 8,2,2024-09-07 09:08:30:794,425249,425249,0,0,22658537,0,3220 8,3,2024-09-07 09:08:30:584,1,341,37,0,538,5936,341,0 9,0,2024-09-07 09:08:31:113,87927,0.4,85425,0.5,178736,0.4,234004,1.75 9,1,2024-09-07 09:08:30:565,597309,597309,0,0,279560366564,2948301784,588237,6947,2125,369,392001,0 9,2,2024-09-07 09:08:31:083,429795,429795,0,0,19932527,0,3360 9,3,2024-09-07 09:08:31:755,1,341,13,0,496,5029,341,0 10,0,2024-09-07 09:08:31:604,86878,0.3,86181,0.5,173163,0.2,230605,1.75 10,1,2024-09-07 09:08:30:583,598558,598558,0,0,279812867121,2931852363,590675,6774,1109,381,391741,0 10,2,2024-09-07 09:08:30:766,433667,433667,0,0,21650213,0,4264 10,3,2024-09-07 09:08:30:875,1,341,2,0,296,3137,341,0 11,0,2024-09-07 09:08:31:012,81547,0.4,79166,0.6,165549,0.3,219247,1.75 11,1,2024-09-07 09:08:30:570,598467,598467,0,0,280692073040,2949142212,588450,7569,2448,383,391756,0 11,2,2024-09-07 09:08:31:128,432868,432868,0,0,19579593,0,4130 11,3,2024-09-07 09:08:31:316,1,341,1,0,843,5364,341,0 12,0,2024-09-07 09:08:30:964,88458,0.4,88465,0.5,176623,0.3,234782,1.75 12,1,2024-09-07 09:08:30:943,598600,598600,0,0,279350553716,2914035523,593737,4371,492,370,391870,0 12,2,2024-09-07 09:08:31:561,429439,429439,0,0,19044874,0,3469 12,3,2024-09-07 09:08:31:069,1,341,50,0,386,5553,341,0 13,0,2024-09-07 09:08:31:330,87759,0.4,87394,0.6,174436,0.4,232795,1.75 13,1,2024-09-07 09:08:31:527,597641,597641,0,0,279128841577,2936129121,591732,4499,1410,382,391740,0 13,2,2024-09-07 09:08:30:595,431032,431032,0,0,17473657,0,3287 13,3,2024-09-07 09:08:31:762,1,341,20,0,522,5507,341,0 14,0,2024-09-07 09:08:30:564,87143,0.4,87817,0.6,173743,0.3,231762,1.75 14,1,2024-09-07 09:08:31:562,602211,602211,0,0,280837422346,2909514178,597524,4328,359,364,391571,0 14,2,2024-09-07 09:08:30:771,431910,431880,30,0,19163820,0,6104 14,3,2024-09-07 09:08:31:126,1,341,1,0,1168,4107,341,0 15,0,2024-09-07 09:08:31:554,82356,0.4,82350,0.6,164891,0.4,219750,1.75 15,1,2024-09-07 09:08:31:608,599342,599342,0,0,280655805185,2922416783,595002,3518,822,381,391619,0 15,2,2024-09-07 09:08:31:002,435771,435771,0,0,15781610,0,3622 15,3,2024-09-07 09:08:31:412,1,341,1,0,1126,6241,341,0 16,0,2024-09-07 09:08:30:975,85879,0.6,86148,0.7,171874,0.6,229110,2.00 16,1,2024-09-07 09:08:30:570,599826,599826,0,0,280964757386,2932146717,595535,3929,362,370,391756,0 16,2,2024-09-07 09:08:31:434,428472,428472,0,0,18021134,0,4719 16,3,2024-09-07 09:08:31:149,1,341,1,0,317,4697,341,0 17,0,2024-09-07 09:08:31:773,88090,0.7,85981,0.8,168135,0.7,228674,2.00 17,1,2024-09-07 09:08:30:568,597647,597647,0,0,279160553149,2929279490,591407,4982,1258,368,392075,0 17,2,2024-09-07 09:08:31:667,432255,432255,0,0,17690845,0,2857 17,3,2024-09-07 09:08:30:574,1,341,2,0,298,5614,341,0 18,0,2024-09-07 09:08:30:951,85340,0.6,85638,0.8,170825,0.6,228002,2.25 18,1,2024-09-07 09:08:31:641,600176,600176,0,0,280977994643,2911598353,596840,3025,311,367,391649,0 18,2,2024-09-07 09:08:31:763,433219,433219,0,0,16404537,0,3541 18,3,2024-09-07 09:08:30:900,1,341,10,0,163,2946,341,0 19,0,2024-09-07 09:08:31:550,83251,0.6,84012,0.7,166104,0.5,221471,2.00 19,1,2024-09-07 09:08:30:566,600434,600434,0,0,280982923755,2915223594,595309,4286,839,367,391777,0 19,2,2024-09-07 09:08:31:752,436034,436034,0,0,15185705,0,3988 19,3,2024-09-07 09:08:31:129,1,341,1,0,524,2587,341,0 20,0,2024-09-07 09:08:31:357,83264,0.5,83230,0.7,166449,0.5,222742,2.00 20,1,2024-09-07 09:08:30:576,598419,598419,0,0,281177116059,2936474987,594079,3933,407,369,391886,0 20,2,2024-09-07 09:08:30:931,429958,429958,0,0,18395250,0,3721 20,3,2024-09-07 09:08:30:589,1,341,1,0,414,5399,341,0 21,0,2024-09-07 09:08:31:157,85743,0.5,85722,0.7,171300,0.5,227188,2.00 21,1,2024-09-07 09:08:31:538,597671,597671,0,0,279096618051,2941026891,589675,6116,1880,368,392016,0 21,2,2024-09-07 09:08:31:073,427508,427508,0,0,21456928,0,3747 21,3,2024-09-07 09:08:31:420,1,341,2,0,713,4057,341,0 22,0,2024-09-07 09:08:31:737,86917,0.6,87300,0.7,174733,0.5,231081,2.00 22,1,2024-09-07 09:08:31:028,598632,598632,0,0,278919259028,2931398405,590140,6672,1820,382,391667,0 22,2,2024-09-07 09:08:30:762,429523,429523,0,0,17500647,0,3134 22,3,2024-09-07 09:08:31:076,1,341,15,0,228,2861,341,0 23,0,2024-09-07 09:08:31:371,85295,0.5,85309,0.7,170894,0.5,227299,2.25 23,1,2024-09-07 09:08:31:012,598414,598414,0,0,280314329705,2944415441,587920,7336,3158,365,391690,0 23,2,2024-09-07 09:08:31:096,434994,434994,0,0,17437449,0,3010 23,3,2024-09-07 09:08:31:761,1,341,1,0,720,4748,341,0 24,0,2024-09-07 09:08:30:875,82712,0.4,82718,0.5,165940,0.3,220649,1.75 24,1,2024-09-07 09:08:30:581,597550,597550,0,0,279812301221,2936514746,590652,5369,1529,368,392269,0 24,2,2024-09-07 09:08:31:077,431210,431210,0,0,21247926,0,3607 24,3,2024-09-07 09:08:31:688,1,341,11,0,468,4563,341,0 25,0,2024-09-07 09:08:31:344,89563,0.4,87273,0.6,171035,0.4,232843,2.00 25,1,2024-09-07 09:08:30:560,598739,598739,0,0,280342470220,2943830554,590858,6508,1373,371,391928,0 25,2,2024-09-07 09:08:31:608,428044,428044,0,0,21306924,0,3978 25,3,2024-09-07 09:08:31:005,1,341,1,0,255,3389,341,0 26,0,2024-09-07 09:08:31:732,87115,0.4,85086,0.6,178509,0.4,232712,1.75 26,1,2024-09-07 09:08:31:541,598810,598810,0,0,279287184508,2927814801,589924,7350,1536,380,391748,0 26,2,2024-09-07 09:08:30:875,430363,430363,0,0,21181189,0,2809 26,3,2024-09-07 09:08:31:723,1,341,1,0,796,4419,341,0 27,0,2024-09-07 09:08:31:729,86947,0.4,86991,0.6,172474,0.4,230704,2.00 27,1,2024-09-07 09:08:31:676,600994,600994,0,0,280920792605,2924895573,595724,4504,766,381,391626,0 27,2,2024-09-07 09:08:30:875,430328,430263,65,0,20751763,0,5699 27,3,2024-09-07 09:08:31:019,1,341,2,0,564,3642,341,0 28,0,2024-09-07 09:08:31:414,82594,0.4,82297,0.6,165021,0.3,220360,2.00 28,1,2024-09-07 09:08:30:802,599969,599969,0,0,281595815786,2933815723,595588,3677,704,383,391698,0 28,2,2024-09-07 09:08:31:766,433814,433814,0,0,18353114,0,2915 28,3,2024-09-07 09:08:31:790,1,341,1,0,502,3732,341,0 29,0,2024-09-07 09:08:31:389,89054,0.4,86727,0.6,170176,0.3,232500,1.75 29,1,2024-09-07 09:08:31:563,601492,601492,0,0,280944341972,2909840530,597150,3657,685,369,391753,0 29,2,2024-09-07 09:08:30:865,427508,427508,0,0,17597793,0,4986 29,3,2024-09-07 09:08:30:967,1,341,8,0,459,4230,341,0 30,0,2024-09-07 09:08:31:460,85806,0.5,83367,0.7,174520,0.5,228762,2.00 30,1,2024-09-07 09:08:30:570,600752,600752,0,0,281434429915,2923322575,595933,4109,710,381,391672,0 30,2,2024-09-07 09:08:31:274,430371,430371,0,0,16568054,0,3161 30,3,2024-09-07 09:08:30:581,1,341,0,0,519,3449,341,0 31,0,2024-09-07 09:08:31:762,86260,0.4,86631,0.6,173244,0.4,230828,2.00 31,1,2024-09-07 09:08:30:564,602998,602998,0,0,282421569750,2896586899,600454,1985,559,356,391712,0 31,2,2024-09-07 09:08:31:287,431412,431412,0,0,18396603,0,3525 31,3,2024-09-07 09:08:31:710,1,341,2,0,220,2923,341,0 32,0,2024-09-07 09:08:31:429,83338,0.3,83702,0.5,167358,0.2,222877,1.75 32,1,2024-09-07 09:08:30:808,600531,600531,0,0,281815116488,2925410150,597388,2697,446,381,391646,0 32,2,2024-09-07 09:08:30:944,435655,435655,0,0,15520990,0,3155 32,3,2024-09-07 09:08:31:019,1,341,3,0,227,2457,341,0 33,0,2024-09-07 09:08:31:504,85031,0.3,84620,0.4,169738,0.2,226441,1.50 33,1,2024-09-07 09:08:30:590,601911,601911,0,0,282089947942,2920085011,597498,3582,831,369,391730,0 33,2,2024-09-07 09:08:30:759,430044,430009,35,0,17573221,0,7012 33,3,2024-09-07 09:08:30:895,1,341,13,0,329,3575,341,0 34,0,2024-09-07 09:08:30:933,85453,0.3,87945,0.5,168174,0.2,225968,1.75 34,1,2024-09-07 09:08:31:059,602442,602442,0,0,282455456088,2902405849,601022,1412,8,367,391562,0 34,2,2024-09-07 09:08:30:766,430118,430118,0,0,16785251,0,3577 34,3,2024-09-07 09:08:31:695,1,341,0,0,299,2496,341,0 35,0,2024-09-07 09:08:30:866,85896,0.4,86252,0.5,173570,0.3,231029,1.75 35,1,2024-09-07 09:08:31:077,600254,600254,0,0,280449528504,2905685456,596646,2827,781,382,391589,0 35,2,2024-09-07 09:08:31:585,431481,431481,0,0,16864167,0,2653 35,3,2024-09-07 09:08:30:918,1,341,1,0,418,4251,341,0 36,0,2024-09-07 09:08:31:518,85203,0.5,85182,0.6,170383,0.4,225441,2.00 36,1,2024-09-07 09:08:30:582,599828,599828,0,0,280276535830,2927536858,592511,5659,1658,366,391759,0 36,2,2024-09-07 09:08:31:756,435066,435066,0,0,19383108,0,3875 36,3,2024-09-07 09:08:30:863,1,341,0,0,416,5356,341,0 37,0,2024-09-07 09:08:31:398,81801,0.5,81673,0.6,163464,0.4,219010,2.00 37,1,2024-09-07 09:08:30:569,598743,598736,0,7,280172181716,2926794550,591961,4689,2086,365,391570,0 37,2,2024-09-07 09:08:31:146,429530,429515,15,0,19075211,0,5815 37,3,2024-09-07 09:08:31:765,1,341,2,0,888,5547,341,0 38,0,2024-09-07 09:08:31:460,85726,0.5,83213,0.7,174200,0.4,227602,2.00 38,1,2024-09-07 09:08:31:607,600816,600816,0,0,281095740447,2926134659,594757,5301,758,368,391821,0 38,2,2024-09-07 09:08:30:760,428592,428545,47,0,19437693,0,6710 38,3,2024-09-07 09:08:30:998,1,341,9,0,689,4711,341,0 39,0,2024-09-07 09:08:31:771,89474,0.6,87490,0.7,170544,0.6,232818,2.00 39,1,2024-09-07 09:08:30:716,598820,598820,0,0,280418772693,2933518202,590386,6973,1461,365,391630,0 39,2,2024-09-07 09:08:31:420,430380,430380,0,0,17508357,0,2689 39,3,2024-09-07 09:08:30:721,1,341,0,0,324,4310,341,0 40,0,2024-09-07 09:08:31:490,85876,0.7,86573,0.9,172763,0.7,230262,2.75 40,1,2024-09-07 09:08:30:576,599778,599778,0,0,279660593140,2928224050,591212,7056,1510,368,391668,0 40,2,2024-09-07 09:08:31:305,432653,432652,1,0,20952447,0,5137 40,3,2024-09-07 09:08:31:144,1,341,9,0,1028,5024,341,0 41,0,2024-09-07 09:08:31:027,81474,1.3,83276,1.2,159139,2.1,217033,3.00 41,1,2024-09-07 09:08:30:770,598515,598515,0,0,280097936725,2929547148,591462,6350,703,369,391742,0 41,2,2024-09-07 09:08:30:767,431832,431832,0,0,19970494,0,3356 41,3,2024-09-07 09:08:31:677,1,341,82,0,366,3495,341,0 42,0,2024-09-07 09:08:31:474,86974,0.9,87126,1.0,174496,1.1,230776,2.75 42,1,2024-09-07 09:08:31:444,597944,597944,0,0,279423922558,2936584645,588399,7787,1758,380,391675,0 42,2,2024-09-07 09:08:31:133,428319,428319,0,0,20109134,0,3790 42,3,2024-09-07 09:08:31:019,1,341,1,0,446,3090,341,0 43,0,2024-09-07 09:08:30:925,85955,0.9,83794,1.1,175558,1.1,230371,2.50 43,1,2024-09-07 09:08:30:576,598974,598974,0,0,281111632075,2936680416,590741,6795,1438,366,391696,0 43,2,2024-09-07 09:08:31:745,430155,430155,0,0,19888076,0,3812 43,3,2024-09-07 09:08:31:749,1,341,5,0,467,4240,341,0 44,0,2024-09-07 09:08:30:873,86970,0.4,87301,0.6,174254,0.4,231837,1.75 44,1,2024-09-07 09:08:30:563,600618,600618,0,0,280419355269,2900129813,595557,4014,1047,356,391809,0 44,2,2024-09-07 09:08:31:267,431235,431235,0,0,16626116,0,4344 44,3,2024-09-07 09:08:31:093,1,341,1,0,817,4496,341,0 45,0,2024-09-07 09:08:31:756,81391,0.5,79395,0.7,166592,0.4,219805,2.00 45,1,2024-09-07 09:08:31:005,600050,600050,0,0,280852676597,2918839534,595616,3958,476,382,391917,0 45,2,2024-09-07 09:08:31:272,434836,434836,0,0,17217578,0,3596 45,3,2024-09-07 09:08:30:942,1,341,1,0,271,3241,341,0 46,0,2024-09-07 09:08:30:953,85304,0.5,85175,0.7,170522,0.5,226752,2.00 46,1,2024-09-07 09:08:30:583,601858,601858,0,0,281650394657,2908717472,598144,3288,426,366,391572,0 46,2,2024-09-07 09:08:30:593,429127,429127,0,0,16165572,0,2920 46,3,2024-09-07 09:08:31:131,1,341,1,0,908,5012,341,0 47,0,2024-09-07 09:08:31:105,85862,0.5,86078,0.6,172444,0.5,228109,2.00 47,1,2024-09-07 09:08:30:567,601832,601832,0,0,281109722293,2908459192,597345,3672,815,366,391641,0 47,2,2024-09-07 09:08:30:926,431568,431568,0,0,16763629,0,4477 47,3,2024-09-07 09:08:31:116,1,341,1,0,529,3722,341,0 48,0,2024-09-07 09:08:31:490,86822,0.3,86462,0.4,172340,0.2,230132,1.50 48,1,2024-09-07 09:08:31:028,600262,600262,0,0,281296148462,2920289497,596705,3254,303,384,391710,0 48,2,2024-09-07 09:08:30:698,431355,431355,0,0,15284793,0,3031 48,3,2024-09-07 09:08:30:755,1,341,2,0,339,2880,341,0 49,0,2024-09-07 09:08:31:709,85925,0.3,84450,0.5,163496,0.3,224048,1.75 49,1,2024-09-07 09:08:31:052,599973,599973,0,0,280109678914,2916006100,595654,3134,1185,382,391809,0 49,2,2024-09-07 09:08:31:799,435493,435493,0,0,16461621,0,4426 49,3,2024-09-07 09:08:31:422,1,341,10,0,408,3564,341,0 50,0,2024-09-07 09:08:31:509,83706,0.3,82514,0.4,166294,0.2,222492,1.75 50,1,2024-09-07 09:08:31:024,602115,602115,0,0,281335144406,2908070643,598296,3445,374,368,391565,0 50,2,2024-09-07 09:08:31:068,430125,430125,0,0,15368888,0,2263 50,3,2024-09-07 09:08:31:291,1,341,1,0,335,3301,341,0 51,0,2024-09-07 09:08:31:687,87900,0.3,85897,0.5,167638,0.3,228063,1.75 51,1,2024-09-07 09:08:31:681,602160,602160,0,0,282281035942,2911725688,598899,2285,976,365,391706,0 51,2,2024-09-07 09:08:31:320,429858,429858,0,0,14836149,0,3337 51,3,2024-09-07 09:08:31:028,1,341,0,0,678,2573,341,0 52,0,2024-09-07 09:08:31:421,87554,0.5,87477,0.7,174761,0.4,232193,2.00 52,1,2024-09-07 09:08:30:606,599108,599108,0,0,280050627663,2933023811,590469,7326,1313,368,391722,0 52,2,2024-09-07 09:08:31:763,427582,427544,38,0,19817037,0,6742 52,3,2024-09-07 09:08:30:674,1,341,8,0,1782,5211,341,0 53,0,2024-09-07 09:08:31:766,85283,0.6,82820,0.8,173260,0.6,226945,2.25 53,1,2024-09-07 09:08:30:771,597811,597811,0,0,280079398877,2940204728,588187,7041,2583,367,391702,0 53,2,2024-09-07 09:08:31:307,434890,434890,0,0,17250538,0,2727 53,3,2024-09-07 09:08:30:697,1,341,1,0,308,3243,341,0 54,0,2024-09-07 09:08:31:624,81273,0.5,81725,0.7,162629,0.4,217881,2.25 54,1,2024-09-07 09:08:30:580,599581,599581,0,0,281826026978,2928249488,593918,5061,602,367,391659,0 54,2,2024-09-07 09:08:30:871,432372,432366,6,0,19563838,0,5382 54,3,2024-09-07 09:08:30:763,1,341,2,0,676,5221,341,0 55,0,2024-09-07 09:08:31:769,84195,0.6,87204,0.7,175745,0.6,228740,2.50 55,1,2024-09-07 09:08:30:768,599752,599752,0,0,280749925136,2921193569,593126,5717,909,365,391731,0 55,2,2024-09-07 09:08:30:730,428000,428000,0,0,18913482,0,3563 55,3,2024-09-07 09:08:30:674,1,341,1,0,304,3839,341,0 56,0,2024-09-07 09:08:31:550,89161,1.2,84070,1.1,173369,1.6,232262,2.50 56,1,2024-09-07 09:08:30:598,596740,596740,0,0,280382335256,2959402998,588003,7106,1631,381,391867,0 56,2,2024-09-07 09:08:31:320,429571,429571,0,0,19900862,0,3567 56,3,2024-09-07 09:08:31:065,1,341,4,0,705,4464,341,0 57,0,2024-09-07 09:08:30:953,85510,1.4,85371,1.2,171196,1.9,229188,3.00 57,1,2024-09-07 09:08:30:989,598145,598145,0,0,279700283267,2931540945,591274,6151,720,366,392032,0 57,2,2024-09-07 09:08:31:319,433225,433225,0,0,21634129,0,3317 57,3,2024-09-07 09:08:31:744,1,341,20,0,359,3998,341,0 58,0,2024-09-07 09:08:30:567,81228,0.8,78966,1.0,165237,0.9,217220,2.50 58,1,2024-09-07 09:08:30:576,599136,599133,0,3,280139878420,2928778630,591010,7041,1082,367,391603,3 58,2,2024-09-07 09:08:31:077,433413,433413,0,0,19811800,0,2549 58,3,2024-09-07 09:08:31:068,1,341,5,0,1043,3692,341,0 59,0,2024-09-07 09:08:31:747,86416,0.7,86064,0.9,171867,0.8,228976,2.75 59,1,2024-09-07 09:08:30:810,599051,599051,0,0,280154241840,2932568821,591179,6352,1520,369,391586,0 59,2,2024-09-07 09:08:30:582,429302,429302,0,0,19407744,0,2867 59,3,2024-09-07 09:08:31:743,1,341,1,0,1015,4362,341,0 60,0,2024-09-07 09:08:31:714,85982,0.5,86091,0.7,172769,0.5,229501,1.75 60,1,2024-09-07 09:08:30:783,600668,600668,0,0,281507747943,2922653903,596555,3467,646,370,392031,0 60,2,2024-09-07 09:08:31:146,430118,430118,0,0,18913037,0,3811 60,3,2024-09-07 09:08:31:258,1,341,1,0,409,3986,341,0 61,0,2024-09-07 09:08:31:511,86493,0.6,86992,0.8,173065,0.6,230611,2.00 61,1,2024-09-07 09:08:30:770,598543,598543,0,0,280085623253,2936606699,591382,5837,1324,382,392127,0 61,2,2024-09-07 09:08:31:115,431884,431817,67,0,19261236,0,6411 61,3,2024-09-07 09:08:31:690,1,341,11,0,479,5100,341,0 62,0,2024-09-07 09:08:31:706,83923,0.5,85738,0.7,163383,0.5,222638,2.00 62,1,2024-09-07 09:08:31:114,602745,602739,0,6,282308539549,2913867610,599161,3319,259,365,391715,6 62,2,2024-09-07 09:08:31:647,433690,433689,1,0,18843167,0,5555 62,3,2024-09-07 09:08:31:143,1,341,12,0,465,2597,341,0 63,0,2024-09-07 09:08:31:497,85021,0.4,84941,0.6,170259,0.3,226694,1.75 63,1,2024-09-07 09:08:30:816,600941,600935,0,6,281790743949,2925298638,597003,3544,388,381,391800,6 63,2,2024-09-07 09:08:30:762,429095,429095,0,0,17061544,0,4369 63,3,2024-09-07 09:08:31:732,1,341,1,0,667,3851,341,0 64,0,2024-09-07 09:08:31:526,84768,0.5,84746,0.7,169533,0.5,225029,2.00 64,1,2024-09-07 09:08:30:758,599989,599989,0,0,279889190043,2917155587,594344,3985,1660,370,391783,0 64,2,2024-09-07 09:08:31:144,433161,433142,19,0,16768163,0,6121 64,3,2024-09-07 09:08:31:150,1,341,11,0,265,3162,341,0 65,0,2024-09-07 09:08:31:677,85672,0.7,86018,0.8,171847,0.7,228860,2.25 65,1,2024-09-07 09:08:30:879,599208,599208,0,0,279862237260,2923991629,595124,3633,451,382,391901,0 65,2,2024-09-07 09:08:31:693,432240,432240,0,0,18528711,0,3367 65,3,2024-09-07 09:08:31:685,1,341,1,0,163,3030,341,0 66,0,2024-09-07 09:08:31:771,84527,0.5,84455,0.7,169111,0.4,224319,2.00 66,1,2024-09-07 09:08:31:294,600041,600041,0,0,280998904661,2920497435,596479,3240,322,380,391653,0 66,2,2024-09-07 09:08:31:138,436531,436531,0,0,16660372,0,4956 66,3,2024-09-07 09:08:31:082,1,341,1,0,291,3227,341,0 67,0,2024-09-07 09:08:31:420,82492,0.5,81900,0.7,164546,0.4,219513,2.00 67,1,2024-09-07 09:08:30:770,600475,600474,0,1,280249170584,2917688098,596309,3414,751,380,391787,1 67,2,2024-09-07 09:08:30:582,432099,432099,0,0,16019183,0,2889 67,3,2024-09-07 09:08:31:750,1,341,1,0,338,2908,341,0 68,0,2024-09-07 09:08:30:583,86578,0.6,86222,0.7,171937,0.6,229562,2.00 68,1,2024-09-07 09:08:30:576,598812,598812,0,0,280460913717,2936815963,593997,3611,1204,381,391953,0 68,2,2024-09-07 09:08:31:056,427711,427646,65,0,21197512,0,6698 68,3,2024-09-07 09:08:30:732,1,341,7,0,417,3708,341,0 69,0,2024-09-07 09:08:31:734,87275,0.8,87810,0.9,174729,1.0,231957,2.25 69,1,2024-09-07 09:08:31:020,596780,596780,0,0,279608458212,2950257359,588762,5908,2110,384,391994,0 69,2,2024-09-07 09:08:31:739,429678,429678,0,0,21460160,0,3722 69,3,2024-09-07 09:08:30:760,1,341,1,0,698,4894,341,0 70,0,2024-09-07 09:08:31:539,85792,0.7,85820,1.0,172707,0.6,228848,2.25 70,1,2024-09-07 09:08:30:810,600318,600318,0,0,281398603147,2920602664,595468,4314,536,366,391725,0 70,2,2024-09-07 09:08:31:334,432706,432706,0,0,19420023,0,4044 70,3,2024-09-07 09:08:30:745,1,341,0,0,854,3820,341,0 71,0,2024-09-07 09:08:31:372,81341,0.8,81004,0.9,162810,0.9,217900,2.50 71,1,2024-09-07 09:08:31:598,599123,599123,0,0,280731957311,2928541947,592511,5956,656,368,391738,0 71,2,2024-09-07 09:08:31:067,432980,432980,0,0,19167096,0,4042 71,3,2024-09-07 09:08:31:750,1,341,17,0,644,4678,341,0 72,0,2024-09-07 09:08:31:058,90645,0.6,88644,0.7,172890,0.6,235126,2.00 72,1,2024-09-07 09:08:31:030,598619,598619,0,0,280019805870,2933886577,590387,6535,1697,369,391819,0 72,2,2024-09-07 09:08:31:763,427436,427436,0,0,21830212,0,3983 72,3,2024-09-07 09:08:31:763,1,341,1,0,564,5387,341,0 73,0,2024-09-07 09:08:31:114,84886,0.5,86932,0.6,178104,0.4,231468,2.00 73,1,2024-09-07 09:08:30:770,599841,599841,0,0,280708016828,2918182779,595519,3992,330,367,391858,0 73,2,2024-09-07 09:08:31:738,430201,430201,0,0,20992117,0,3701 73,3,2024-09-07 09:08:30:976,1,341,12,0,274,4561,341,0 74,0,2024-09-07 09:08:31:344,87345,0.5,89522,0.6,170953,0.4,231593,2.25 74,1,2024-09-07 09:08:30:635,599376,599376,0,0,280657726217,2929794309,593295,4818,1263,381,391681,0 74,2,2024-09-07 09:08:31:003,431600,431600,0,0,19988598,0,4253 74,3,2024-09-07 09:08:31:446,1,341,12,0,522,4837,341,0 75,0,2024-09-07 09:08:31:772,82648,0.4,82286,0.7,164657,0.4,220631,2.25 75,1,2024-09-07 09:08:31:586,598443,598443,0,0,279473458601,2920004397,592085,5533,825,380,391739,0 75,2,2024-09-07 09:08:31:352,433712,433712,0,0,20165934,0,4766 75,3,2024-09-07 09:08:31:067,1,341,45,0,702,4867,341,0 76,0,2024-09-07 09:08:30:624,85554,0.6,84852,0.8,170153,0.6,228092,2.25 76,1,2024-09-07 09:08:30:813,599727,599727,0,0,280590684796,2926054241,595807,3330,590,382,391692,0 76,2,2024-09-07 09:08:31:067,430049,430048,1,0,19149900,0,5144 76,3,2024-09-07 09:08:31:148,1,341,0,0,175,3285,341,0 77,0,2024-09-07 09:08:31:721,85571,0.7,85745,0.8,171539,0.7,228105,2.00 77,1,2024-09-07 09:08:30:832,599342,599342,0,0,280230683498,2927991220,594152,4701,489,381,391869,0 77,2,2024-09-07 09:08:31:281,430011,430011,0,0,18662465,0,3890 77,3,2024-09-07 09:08:31:100,1,341,5,0,401,3847,341,0 78,0,2024-09-07 09:08:31:729,86538,0.5,86112,0.6,172966,0.4,229404,2.00 78,1,2024-09-07 09:08:30:611,600000,600000,0,0,279400867632,2909112877,594136,4719,1145,367,391670,0 78,2,2024-09-07 09:08:31:411,432260,432260,0,0,16227011,0,3855 78,3,2024-09-07 09:08:31:133,1,341,1,0,181,3244,341,0 79,0,2024-09-07 09:08:31:361,81036,0.4,83153,0.6,170006,0.3,221234,2.25 79,1,2024-09-07 09:08:30:571,601615,601615,0,0,281178436473,2911438503,597059,3923,633,368,391682,0 79,2,2024-09-07 09:08:31:068,436056,436056,0,0,17227052,0,4195 79,3,2024-09-07 09:08:30:749,1,341,1,0,418,4319,341,0 80,0,2024-09-07 09:08:31:094,83371,0.5,85865,0.6,164344,0.4,222205,2.00 80,1,2024-09-07 09:08:31:626,599878,599878,0,0,280367146936,2915252086,596526,3173,179,368,391791,0 80,2,2024-09-07 09:08:31:102,431646,431646,0,0,16347663,0,4433 80,3,2024-09-07 09:08:30:577,1,341,1,0,190,4072,341,0 81,0,2024-09-07 09:08:31:544,85619,0.6,87624,0.7,167312,0.6,226856,2.00 81,1,2024-09-07 09:08:31:652,598765,598765,0,0,278893805041,2914371867,593909,4357,499,382,391879,0 81,2,2024-09-07 09:08:31:130,429291,429228,63,0,18229195,0,5932 81,3,2024-09-07 09:08:31:117,1,341,9,0,374,3945,341,0 82,0,2024-09-07 09:08:31:538,86821,0.5,87342,0.7,175025,0.4,231784,2.00 82,1,2024-09-07 09:08:30:585,600901,600897,0,4,280921892720,2923168880,597002,3098,797,381,391768,4 82,2,2024-09-07 09:08:31:698,432472,432472,0,0,15635803,0,3986 82,3,2024-09-07 09:08:31:761,1,341,1,0,363,3442,341,0 83,0,2024-09-07 09:08:31:521,86060,0.5,85815,0.7,171026,0.5,227157,2.00 83,1,2024-09-07 09:08:30:553,599330,599330,0,0,280148352844,2920478118,595019,3930,381,382,391709,0 83,2,2024-09-07 09:08:30:769,434152,434152,0,0,16208181,0,3393 83,3,2024-09-07 09:08:30:749,1,341,1,0,1260,4891,341,0 84,0,2024-09-07 09:08:31:776,81886,0.6,81806,0.8,163639,0.5,219252,2.25 84,1,2024-09-07 09:08:31:062,598800,598800,0,0,279835517976,2923966215,593039,5032,729,367,391967,0 84,2,2024-09-07 09:08:30:572,432082,432082,0,0,20489334,0,4757 84,3,2024-09-07 09:08:31:145,1,341,5,0,908,5163,341,0 85,0,2024-09-07 09:08:31:050,83940,0.7,83919,0.8,178184,0.7,230105,2.25 85,1,2024-09-07 09:08:30:567,597368,597368,0,0,279523755688,2949257687,588839,7157,1372,381,392006,0 85,2,2024-09-07 09:08:30:897,428701,428701,0,0,20177595,0,3656 85,3,2024-09-07 09:08:30:695,1,341,6,0,789,4460,341,0 86,0,2024-09-07 09:08:30:949,87281,0.7,89779,0.8,171802,0.7,232359,2.25 86,1,2024-09-07 09:08:30:849,599184,599184,0,0,280263986410,2934206345,592293,5825,1066,366,391961,0 86,2,2024-09-07 09:08:31:046,428967,428966,1,0,21200649,0,5004 86,3,2024-09-07 09:08:30:592,1,341,1,0,308,4649,341,0 87,0,2024-09-07 09:08:31:311,86282,0.9,86149,0.9,172967,1.0,231043,2.25 87,1,2024-09-07 09:08:30:550,598739,598739,0,0,280801046149,2936636527,592526,5600,613,366,392076,0 87,2,2024-09-07 09:08:31:072,431797,431797,0,0,19308429,0,4045 87,3,2024-09-07 09:08:31:801,1,341,2,0,473,5019,341,0 88,0,2024-09-07 09:08:31:447,82388,0.4,82980,0.6,165365,0.4,220090,1.75 88,1,2024-09-07 09:08:30:579,597477,597477,0,0,279898547663,2933957039,589779,6034,1664,365,392084,0 88,2,2024-09-07 09:08:30:706,434427,434427,0,0,21428836,0,3583 88,3,2024-09-07 09:08:31:271,1,341,2,0,435,3672,341,0 89,0,2024-09-07 09:08:31:784,89200,0.5,86270,0.6,171052,0.4,232635,1.75 89,1,2024-09-07 09:08:30:559,597303,597303,0,0,279299336508,2938762284,589548,6481,1274,382,391866,0 89,2,2024-09-07 09:08:31:136,427630,427630,0,0,20341117,0,2910 89,3,2024-09-07 09:08:31:796,1,341,4,0,385,6251,341,0 90,0,2024-09-07 09:08:31:632,83598,0.5,85748,0.7,175071,0.5,228817,2.00 90,1,2024-09-07 09:08:30:591,598989,598989,0,0,279923259534,2934869389,592784,5703,502,380,391825,0 90,2,2024-09-07 09:08:31:415,428634,428634,0,0,21587163,0,3060 90,3,2024-09-07 09:08:30:942,1,341,3,0,246,3716,341,0 91,0,2024-09-07 09:08:30:988,87093,0.5,84268,0.6,176129,0.4,231164,1.75 91,1,2024-09-07 09:08:30:560,597313,597313,0,0,279908099186,2944719002,589489,6780,1044,381,392047,0 91,2,2024-09-07 09:08:31:344,431871,431871,0,0,19564049,0,2896 91,3,2024-09-07 09:08:30:598,1,341,15,0,216,3208,341,0 92,0,2024-09-07 09:08:31:537,84138,0.4,86243,0.6,164791,0.4,222996,1.75 92,1,2024-09-07 09:08:30:580,598710,598710,0,0,279687921771,2922775943,593898,4125,687,382,392136,0 92,2,2024-09-07 09:08:31:356,435700,435700,0,0,17599246,0,3259 92,3,2024-09-07 09:08:31:014,1,341,2,0,167,2896,341,0 93,0,2024-09-07 09:08:30:966,85334,0.4,87427,0.6,167071,0.3,226741,1.75 93,1,2024-09-07 09:08:30:821,599090,599090,0,0,280355196580,2926927745,592973,5088,1029,366,391776,0 93,2,2024-09-07 09:08:30:930,428478,428478,0,0,20360731,0,4845 93,3,2024-09-07 09:08:31:412,1,341,4,0,190,3325,341,0 94,0,2024-09-07 09:08:31:611,84872,0.4,85697,0.5,170866,0.4,226592,1.75 94,1,2024-09-07 09:08:30:563,599328,599328,0,0,279999477684,2922628349,595289,3849,190,381,391850,0 94,2,2024-09-07 09:08:30:762,429735,429735,0,0,17579323,0,2443 94,3,2024-09-07 09:08:31:697,1,341,2,0,264,4167,341,0 95,0,2024-09-07 09:08:31:357,86381,0.4,86497,0.5,173384,0.3,230742,1.75 95,1,2024-09-07 09:08:30:871,600758,600758,0,0,280386511049,2916500413,595787,4572,399,367,391713,0 95,2,2024-09-07 09:08:31:131,431251,431251,0,0,17365464,0,3308 95,3,2024-09-07 09:08:31:717,1,341,2,0,718,5353,341,0 96,0,2024-09-07 09:08:31:047,85109,0.4,85308,0.5,170153,0.3,225217,1.75 96,1,2024-09-07 09:08:31:591,598847,598847,0,0,280650161252,2928015457,594323,3728,796,384,391955,0 96,2,2024-09-07 09:08:31:268,435202,435202,0,0,17349274,0,4042 96,3,2024-09-07 09:08:31:148,1,341,3,0,411,3717,341,0 97,0,2024-09-07 09:08:31:335,82269,0.3,81963,0.5,164684,0.3,219175,1.50 97,1,2024-09-07 09:08:30:764,600999,600999,0,0,281420289317,2916932388,597118,3209,672,367,392140,0 97,2,2024-09-07 09:08:30:608,432255,432255,0,0,16759740,0,3036 97,3,2024-09-07 09:08:30:571,1,341,4,0,214,4019,341,0 98,0,2024-09-07 09:08:31:725,86409,0.3,86139,0.5,172980,0.2,229574,1.50 98,1,2024-09-07 09:08:30:581,600213,600213,0,0,280438963049,2916653129,597547,2559,107,382,391997,0 98,2,2024-09-07 09:08:30:769,429702,429702,0,0,16637636,0,3080 98,3,2024-09-07 09:08:30:699,1,341,1,0,840,5415,341,0 99,0,2024-09-07 09:08:31:468,87719,0.3,88279,0.5,175696,0.3,234161,1.75 99,1,2024-09-07 09:08:31:726,600008,600008,0,0,280647745890,2922039174,595867,3386,755,381,392069,0 99,2,2024-09-07 09:08:31:423,431986,431986,0,0,18785135,0,3424 99,3,2024-09-07 09:08:30:584,1,341,4,0,199,3159,341,0 100,0,2024-09-07 09:08:31:472,86394,0.7,86357,0.9,172631,0.8,230673,2.25 100,1,2024-09-07 09:08:30:572,596717,596717,0,0,278929599612,2946198461,588246,6975,1496,381,391989,0 100,2,2024-09-07 09:08:31:831,432094,432083,11,0,19794107,0,5417 100,3,2024-09-07 09:08:31:738,1,341,1,0,559,5937,341,0 101,0,2024-09-07 09:08:31:744,83679,0.9,81509,1.0,159780,0.6,219529,2.25 101,1,2024-09-07 09:08:30:567,596670,596670,0,0,279479197859,2942640453,587581,7065,2024,368,391771,0 101,2,2024-09-07 09:08:31:763,431121,431121,0,0,22889423,0,4644 101,3,2024-09-07 09:08:30:946,1,341,1,0,579,4493,341,0 102,0,2024-09-07 09:08:31:006,85485,0.7,88417,0.8,178594,0.7,233920,2.00 102,1,2024-09-07 09:08:31:146,597720,597720,0,0,279809632655,2938241472,590400,6288,1032,369,391891,0 102,2,2024-09-07 09:08:31:747,429347,429293,54,0,19138933,0,6768 102,3,2024-09-07 09:08:31:613,1,341,4,0,410,3656,341,0 103,0,2024-09-07 09:08:31:601,89818,0.6,89753,0.8,169269,0.7,233290,2.00 103,1,2024-09-07 09:08:31:625,596881,596881,0,0,279343800749,2945631969,588061,6824,1996,381,391829,0 103,2,2024-09-07 09:08:30:586,428847,428847,0,0,20182417,0,3173 103,3,2024-09-07 09:08:30:758,1,341,3,0,916,4243,341,0 104,0,2024-09-07 09:08:31:044,85984,0.7,86370,0.9,171550,0.7,230855,2.25 104,1,2024-09-07 09:08:31:599,598447,598447,0,0,279070509866,2934749732,589535,7214,1698,365,392168,0 104,2,2024-09-07 09:08:31:673,430510,430510,0,0,21126836,0,3941 104,3,2024-09-07 09:08:31:419,1,341,1,0,1245,7524,341,0 105,0,2024-09-07 09:08:31:044,81590,0.8,79342,1.1,166110,0.9,218989,2.75 105,1,2024-09-07 09:08:30:567,598746,598746,0,0,279838723418,2936041593,590589,6822,1335,366,391797,0 105,2,2024-09-07 09:08:31:334,433540,433540,0,0,20526085,0,3509 105,3,2024-09-07 09:08:31:304,1,341,1,0,399,5589,341,0 106,0,2024-09-07 09:08:31:180,82872,0.8,84826,0.9,173599,0.9,227490,2.50 106,1,2024-09-07 09:08:31:756,597494,597494,0,0,279845649536,2942765628,587916,8285,1293,369,391914,0 106,2,2024-09-07 09:08:30:758,426607,426607,0,0,20107272,0,2795 106,3,2024-09-07 09:08:30:689,1,341,1,0,470,4347,341,0 107,0,2024-09-07 09:08:31:191,85438,1.2,85355,1.0,170770,1.7,227819,2.25 107,1,2024-09-07 09:08:30:703,597019,597019,0,0,279090728654,2941068482,589044,7061,914,381,392234,0 107,2,2024-09-07 09:08:31:312,428384,428383,1,0,20622522,0,5024 107,3,2024-09-07 09:08:31:761,1,341,3,0,353,4806,341,0 108,0,2024-09-07 09:08:31:784,86081,0.5,86568,0.6,172210,0.4,229985,1.75 108,1,2024-09-07 09:08:31:294,599446,599446,0,0,280755541329,2928856975,594357,4571,518,368,391857,0 108,2,2024-09-07 09:08:31:762,430323,430323,0,0,19660449,0,4246 108,3,2024-09-07 09:08:31:331,1,341,165,0,749,6966,341,0 109,0,2024-09-07 09:08:31:752,84305,0.4,83345,0.5,167022,0.3,223686,1.75 109,1,2024-09-07 09:08:30:591,597473,597473,0,0,280453480223,2941539798,592266,4542,665,383,392132,0 109,2,2024-09-07 09:08:30:930,433206,433206,0,0,19268507,0,3617 109,3,2024-09-07 09:08:31:144,1,341,4,0,379,4082,341,0 110,0,2024-09-07 09:08:31:767,83383,0.4,81152,0.6,169924,0.3,223013,1.75 110,1,2024-09-07 09:08:31:647,600438,600438,0,0,281282927863,2919121953,596436,3025,977,369,392045,0 110,2,2024-09-07 09:08:31:304,429633,429633,0,0,18896316,0,4067 110,3,2024-09-07 09:08:30:692,1,341,8,0,722,5163,341,0 111,0,2024-09-07 09:08:31:430,86031,0.4,85370,0.6,171021,0.4,228236,1.75 111,1,2024-09-07 09:08:31:008,600807,600807,0,0,282642279929,2932784894,597427,3028,352,382,391690,0 111,2,2024-09-07 09:08:31:116,428784,428784,0,0,18282174,0,4823 111,3,2024-09-07 09:08:30:925,1,341,1,0,379,4118,341,0 112,0,2024-09-07 09:08:30:914,87960,0.3,87346,0.4,175206,0.2,232545,1.50 112,1,2024-09-07 09:08:30:828,600572,600572,0,0,281074526475,2915121887,597111,2877,584,380,391624,0 112,2,2024-09-07 09:08:31:133,431347,431346,1,0,16622126,0,5036 112,3,2024-09-07 09:08:30:592,1,341,2,0,282,3477,341,0 113,0,2024-09-07 09:08:30:896,85574,0.3,85718,0.4,172013,0.2,228549,1.50 113,1,2024-09-07 09:08:31:695,602584,602584,0,0,281263730594,2901674150,599303,2697,584,366,391661,0 113,2,2024-09-07 09:08:31:304,435670,435670,0,0,15341890,0,3813 113,3,2024-09-07 09:08:30:693,1,341,1,0,340,4059,341,0 114,0,2024-09-07 09:08:30:874,82724,0.3,83294,0.5,165391,0.2,221331,1.75 114,1,2024-09-07 09:08:30:721,600320,600320,0,0,281225675617,2922093420,595195,3531,1594,381,391556,0 114,2,2024-09-07 09:08:30:877,433053,433052,1,0,16931133,0,5069 114,3,2024-09-07 09:08:31:280,1,341,3,0,395,2977,341,0 115,0,2024-09-07 09:08:30:553,86897,0.3,87644,0.4,175143,0.2,232362,1.50 115,1,2024-09-07 09:08:30:572,599808,599808,0,0,280678065682,2914673771,594944,4024,840,382,391757,0 115,2,2024-09-07 09:08:31:124,430707,430707,0,0,15564498,0,3453 115,3,2024-09-07 09:08:31:002,1,341,1,0,159,1982,341,0 116,0,2024-09-07 09:08:31:709,87088,0.8,86914,0.9,174368,0.9,233339,2.25 116,1,2024-09-07 09:08:30:809,596735,596735,0,0,279384472177,2947085845,589132,5335,2268,380,391878,0 116,2,2024-09-07 09:08:31:751,429390,429390,0,0,21237476,0,3529 116,3,2024-09-07 09:08:30:926,1,341,1,0,415,4253,341,0 117,0,2024-09-07 09:08:31:071,86780,0.7,86323,0.8,172802,0.8,231409,2.00 117,1,2024-09-07 09:08:31:596,598290,598290,0,0,279646352115,2927384090,591983,5639,668,370,392033,0 117,2,2024-09-07 09:08:31:133,435165,435165,0,0,17702138,0,3700 117,3,2024-09-07 09:08:31:062,1,341,5,0,490,4982,341,0 118,0,2024-09-07 09:08:31:805,80087,0.5,82113,0.7,167701,0.4,219210,2.00 118,1,2024-09-07 09:08:30:586,597933,597933,0,0,279417086425,2937492239,589136,6899,1898,366,391907,0 118,2,2024-09-07 09:08:31:602,433203,433203,0,0,19559643,0,2781 118,3,2024-09-07 09:08:31:780,1,341,3,0,235,3756,341,0 119,0,2024-09-07 09:08:31:404,86300,0.6,86687,0.7,173368,0.6,231032,2.00 119,1,2024-09-07 09:08:30:549,599306,599306,0,0,280366080962,2932135459,592738,5731,837,367,391780,0 119,2,2024-09-07 09:08:31:270,429107,429107,0,0,18202000,0,4174 119,3,2024-09-07 09:08:31:359,1,341,11,0,563,5165,341,0 120,0,2024-09-07 09:08:31:580,85743,0.7,85487,0.9,171072,0.7,229397,2.25 120,1,2024-09-07 09:08:30:865,598415,598415,0,0,279785604546,2936674913,591994,5865,556,368,391961,0 120,2,2024-09-07 09:08:30:770,429543,429542,1,0,21439380,0,5281 120,3,2024-09-07 09:08:31:291,1,341,1,0,241,4266,341,0 121,0,2024-09-07 09:08:31:701,86325,1.0,86514,1.0,173646,1.3,230773,2.25 121,1,2024-09-07 09:08:31:658,599298,599298,0,0,280313259276,2932999219,593387,5474,437,367,391840,0 121,2,2024-09-07 09:08:31:143,430767,430767,0,0,20705608,0,4127 121,3,2024-09-07 09:08:30:750,1,341,18,0,269,3909,341,0 122,0,2024-09-07 09:08:31:772,83177,0.7,81122,0.8,170051,0.8,222947,2.00 122,1,2024-09-07 09:08:30:867,598076,598076,0,0,280077649007,2939803120,589615,7199,1262,366,392130,0 122,2,2024-09-07 09:08:31:329,434454,434381,73,0,23176127,0,5989 122,3,2024-09-07 09:08:30:594,1,341,0,0,411,6013,341,0 123,0,2024-09-07 09:08:30:956,84378,0.7,82597,0.8,172213,0.7,226251,2.00 123,1,2024-09-07 09:08:30:560,597608,597608,0,0,280376945817,2957110456,586162,9320,2126,369,392039,0 123,2,2024-09-07 09:08:31:020,426896,426895,1,0,19896568,0,5215 123,3,2024-09-07 09:08:31:136,1,341,2,0,168,3896,341,0 124,0,2024-09-07 09:08:30:942,87881,0.4,87943,0.5,165727,0.3,227472,1.75 124,1,2024-09-07 09:08:31:034,600222,600222,0,0,280244157984,2916534194,595503,3809,910,367,392178,0 124,2,2024-09-07 09:08:31:020,430856,430803,53,0,17865632,0,6487 124,3,2024-09-07 09:08:30:767,1,341,8,0,490,3485,341,0 125,0,2024-09-07 09:08:31:417,86845,0.4,86346,0.6,173742,0.3,231057,1.75 125,1,2024-09-07 09:08:30:870,598857,598857,0,0,279688527429,2921140285,593976,4237,644,383,391702,0 125,2,2024-09-07 09:08:31:120,431655,431655,0,0,18555445,0,4534 125,3,2024-09-07 09:08:31:125,1,341,2,0,709,4619,341,0 126,0,2024-09-07 09:08:31:426,84949,0.4,87213,0.6,167251,0.4,226064,1.75 126,1,2024-09-07 09:08:30:555,600641,600641,0,0,280698612050,2909433904,596903,3454,284,365,391987,0 126,2,2024-09-07 09:08:30:614,435469,435469,0,0,18562878,0,4539 126,3,2024-09-07 09:08:30:918,1,341,19,0,207,4269,341,0 127,0,2024-09-07 09:08:31:660,82094,0.3,82665,0.5,164513,0.3,219232,1.75 127,1,2024-09-07 09:08:30:590,599721,599721,0,0,280287726785,2912212328,594322,4539,860,364,392187,0 127,2,2024-09-07 09:08:30:645,430741,430741,0,0,17087131,0,3897 127,3,2024-09-07 09:08:31:266,1,341,0,0,968,4024,341,0 128,0,2024-09-07 09:08:31:548,86537,0.3,86768,0.4,173657,0.2,229907,1.50 128,1,2024-09-07 09:08:31:607,600144,600144,0,0,281009293401,2917951747,596043,3730,371,367,391798,0 128,2,2024-09-07 09:08:31:431,430559,430559,0,0,15984808,0,2915 128,3,2024-09-07 09:08:30:772,1,341,0,0,1082,5925,341,0 129,0,2024-09-07 09:08:31:002,88367,0.3,87871,0.5,176315,0.3,234211,1.75 129,1,2024-09-07 09:08:30:575,597293,597293,0,0,280310939027,2935074353,591645,4391,1257,379,391835,0 129,2,2024-09-07 09:08:30:713,431204,431204,0,0,16884803,0,4031 129,3,2024-09-07 09:08:30:694,1,341,17,0,469,4495,341,0 130,0,2024-09-07 09:08:31:730,87296,0.5,86719,0.6,174525,0.5,231950,1.75 130,1,2024-09-07 09:08:30:584,600026,600026,0,0,280417080565,2915702019,596608,3139,279,381,391825,0 130,2,2024-09-07 09:08:31:135,434749,434749,0,0,16801301,0,4067 130,3,2024-09-07 09:08:31:296,1,341,1,0,450,4164,341,0 131,0,2024-09-07 09:08:31:986,81688,0.3,82303,0.5,165290,0.3,219223,1.50 131,1,2024-09-07 09:08:31:833,600191,600191,0,0,280257383158,2924523004,595712,3732,747,381,391865,0 131,2,2024-09-07 09:08:30:567,435559,435559,0,0,15527974,0,2415 131,3,2024-09-07 09:08:31:696,1,341,0,0,392,3528,341,0 132,0,2024-09-07 09:08:31:423,87803,0.4,88598,0.6,176472,0.4,234703,1.75 132,1,2024-09-07 09:08:30:578,597009,597009,0,0,279239310533,2940951367,588368,7175,1466,381,392097,0 132,2,2024-09-07 09:08:30:701,428485,428485,0,0,20701340,0,4606 132,3,2024-09-07 09:08:31:696,1,341,1,0,804,6207,341,0 133,0,2024-09-07 09:08:31:527,84930,0.5,86787,0.6,178330,0.4,231989,2.00 133,1,2024-09-07 09:08:30:583,597023,597023,0,0,278991807419,2940942130,588845,7094,1084,383,391914,0 133,2,2024-09-07 09:08:31:088,429095,429095,0,0,22028704,0,4315 133,3,2024-09-07 09:08:31:297,1,341,1,0,479,3650,341,0 134,0,2024-09-07 09:08:30:955,87162,0.5,87007,0.7,174036,0.5,232066,2.00 134,1,2024-09-07 09:08:30:589,597620,597620,0,0,279343403533,2933597585,589299,6465,1856,366,391718,0 134,2,2024-09-07 09:08:31:762,431610,431610,0,0,18394458,0,3847 134,3,2024-09-07 09:08:30:749,1,341,14,0,739,4427,341,0 135,0,2024-09-07 09:08:31:106,79693,0.6,79748,0.8,169098,0.7,218671,2.00 135,1,2024-09-07 09:08:31:590,598304,598304,0,0,280434656564,2951906326,589871,7196,1237,380,391805,0 135,2,2024-09-07 09:08:30:690,435062,435062,0,0,20001424,0,3981 135,3,2024-09-07 09:08:31:006,1,341,12,0,299,2654,341,0 136,0,2024-09-07 09:08:31:616,86115,0.6,86276,0.7,171685,0.5,229369,2.25 136,1,2024-09-07 09:08:31:447,597768,597768,0,0,279218518411,2932496599,590748,6323,697,382,391685,0 136,2,2024-09-07 09:08:31:133,428769,428769,0,0,19589346,0,3506 136,3,2024-09-07 09:08:31:113,1,341,10,0,637,3911,341,0 137,0,2024-09-07 09:08:30:957,88205,0.7,85852,0.8,168579,0.8,229126,2.00 137,1,2024-09-07 09:08:30:623,597453,597453,0,0,280048996355,2941089065,587832,7739,1882,366,391898,0 137,2,2024-09-07 09:08:31:705,429182,429182,0,0,22028799,0,3185 137,3,2024-09-07 09:08:30:769,1,341,2,0,382,4082,341,0 138,0,2024-09-07 09:08:31:769,85680,1.3,85768,1.1,172322,1.8,228906,2.25 138,1,2024-09-07 09:08:31:690,598445,598445,0,0,279939252208,2940214701,589401,7448,1596,368,391954,0 138,2,2024-09-07 09:08:30:587,430933,430933,0,0,19737906,0,4988 138,3,2024-09-07 09:08:30:611,1,341,1,0,1160,4847,341,0 139,0,2024-09-07 09:08:31:373,82710,1.2,82967,1.0,166364,1.7,221787,2.25 139,1,2024-09-07 09:08:30:596,595852,595852,0,0,278059084178,2955405748,584487,8721,2644,381,391892,0 139,2,2024-09-07 09:08:30:700,432128,432128,0,0,21567899,0,3097 139,3,2024-09-07 09:08:31:669,1,341,6,0,257,3870,341,0 140,0,2024-09-07 09:08:31:595,83714,0.3,83230,0.5,167308,0.2,223287,1.75 140,1,2024-09-07 09:08:31:541,602204,602204,0,0,281911628546,2907767686,598999,2767,438,365,391606,0 140,2,2024-09-07 09:08:30:693,430129,430128,1,0,17597260,0,5036 140,3,2024-09-07 09:08:30:767,1,341,1,0,247,2737,341,0 141,0,2024-09-07 09:08:31:714,85820,0.4,88111,0.5,168263,0.3,228232,1.75 141,1,2024-09-07 09:08:30:872,600525,600525,0,0,280954847352,2919633312,595963,3700,862,379,391614,0 141,2,2024-09-07 09:08:31:691,429569,429569,0,0,17161308,0,3360 141,3,2024-09-07 09:08:31:056,1,341,1,0,391,3875,341,0 142,0,2024-09-07 09:08:31:366,88155,0.3,87289,0.5,174556,0.3,232992,1.75 142,1,2024-09-07 09:08:30:584,600258,600258,0,0,280158544482,2921856195,596294,3613,351,382,392102,0 142,2,2024-09-07 09:08:31:343,430525,430493,32,0,18629193,0,6028 142,3,2024-09-07 09:08:31:747,1,341,3,0,484,4166,341,0 143,0,2024-09-07 09:08:31:374,86047,0.4,85811,0.6,172037,0.4,228890,1.75 143,1,2024-09-07 09:08:30:564,600522,600522,0,0,280958000426,2915472729,596746,3626,150,367,391705,0 143,2,2024-09-07 09:08:30:783,434613,434613,0,0,18016583,0,3123 143,3,2024-09-07 09:08:31:146,1,341,1,0,303,4003,341,0 144,0,2024-09-07 09:08:31:526,79939,0.5,81992,0.7,167021,0.4,219482,2.00 144,1,2024-09-07 09:08:30:565,597919,597919,0,0,279190976890,2925818014,593063,3915,941,381,391649,0 144,2,2024-09-07 09:08:31:754,433100,433100,0,0,16224848,0,3473 144,3,2024-09-07 09:08:31:749,1,341,2,0,249,3458,341,0 145,0,2024-09-07 09:08:31:406,84193,0.6,84232,0.8,178703,0.5,229798,2.25 145,1,2024-09-07 09:08:30:552,597328,597328,0,0,279906733841,2943418126,589972,6216,1140,382,391759,0 145,2,2024-09-07 09:08:31:428,427835,427835,0,0,19896809,0,3903 145,3,2024-09-07 09:08:30:897,1,341,26,0,622,5135,341,0 146,0,2024-09-07 09:08:31:638,87325,0.6,86753,0.8,174893,0.6,232011,2.25 146,1,2024-09-07 09:08:31:595,598457,598457,0,0,279817052314,2944268673,588630,7816,2011,368,391770,0 146,2,2024-09-07 09:08:31:704,428920,428920,0,0,19723001,0,2730 146,3,2024-09-07 09:08:31:280,1,341,1,0,1520,7210,341,0 147,0,2024-09-07 09:08:31:720,86804,0.6,86471,0.7,172268,0.5,231065,2.25 147,1,2024-09-07 09:08:31:378,600249,600249,0,0,281003447197,2924846451,594586,4862,801,368,391791,0 147,2,2024-09-07 09:08:31:012,433013,433013,0,0,17402580,0,2789 147,3,2024-09-07 09:08:30:924,1,341,7,0,730,4978,341,0 0,0,2024-09-07 09:08:41:776,84019,0.7,84019,0.8,178266,0.8,230583,2.00 0,1,2024-09-07 09:08:40:813,600814,600814,0,0,281051981284,2938084251,596682,3887,245,369,391896,0 0,2,2024-09-07 09:08:41:087,431885,431885,0,0,17138886,0,4480 0,3,2024-09-07 09:08:40:985,1,342,11,0,431,4662,342,0 1,0,2024-09-07 09:08:41:752,86893,0.8,86309,0.9,173343,0.9,231554,2.00 1,1,2024-09-07 09:08:40:583,599707,599707,0,0,281088770308,2942682458,594107,4374,1226,370,391857,0 1,2,2024-09-07 09:08:40:650,431963,431963,0,0,16633244,0,3267 1,3,2024-09-07 09:08:41:312,1,342,0,0,262,3948,342,0 2,0,2024-09-07 09:08:41:579,83568,0.6,83850,0.7,166594,0.6,223127,2.00 2,1,2024-09-07 09:08:40:863,602112,602112,0,0,282130846139,2928746317,599212,2607,293,380,391745,0 2,2,2024-09-07 09:08:41:269,436662,436662,0,0,16576630,0,3594 2,3,2024-09-07 09:08:40:703,1,342,0,0,357,3397,342,0 3,0,2024-09-07 09:08:41:745,85194,0.4,85010,0.6,170116,0.3,226986,1.75 3,1,2024-09-07 09:08:41:639,600590,600590,0,0,281021519291,2925702809,595492,4475,623,380,391591,0 3,2,2024-09-07 09:08:41:153,430359,430336,23,0,16957277,0,5851 3,3,2024-09-07 09:08:41:758,1,342,4,0,207,2228,342,0 4,0,2024-09-07 09:08:41:760,82887,0.4,85403,0.5,173515,0.4,227031,1.75 4,1,2024-09-07 09:08:40:619,599260,599260,0,0,279877346351,2948198564,591810,6094,1356,371,391992,0 4,2,2024-09-07 09:08:41:026,429502,429502,0,0,19951256,0,4528 4,3,2024-09-07 09:08:41:039,1,342,1,0,448,4786,342,0 5,0,2024-09-07 09:08:41:438,86640,0.5,86965,0.6,173653,0.4,230704,1.75 5,1,2024-09-07 09:08:40:780,599867,599867,0,0,280822306422,2952177880,592698,5813,1356,367,392005,0 5,2,2024-09-07 09:08:41:834,430972,430972,0,0,19053696,0,2432 5,3,2024-09-07 09:08:41:737,1,342,5,0,457,4969,342,0 6,0,2024-09-07 09:08:40:921,85315,0.4,84825,0.6,169650,0.4,226061,2.00 6,1,2024-09-07 09:08:40:750,599853,599853,0,0,280518420008,2931853532,593241,5527,1085,379,391694,0 6,2,2024-09-07 09:08:41:123,435782,435782,0,0,18267827,0,4816 6,3,2024-09-07 09:08:41:285,1,342,0,0,340,3728,342,0 7,0,2024-09-07 09:08:41:541,82241,0.4,82697,0.6,164737,0.4,219810,1.75 7,1,2024-09-07 09:08:40:857,599116,599116,0,0,280559147162,2945270332,591045,6895,1176,382,391747,0 7,2,2024-09-07 09:08:40:776,431828,431828,0,0,18401783,0,4791 7,3,2024-09-07 09:08:40:860,1,342,1,0,398,3855,342,0 8,0,2024-09-07 09:08:41:350,86436,0.4,86344,0.5,172818,0.3,230045,1.75 8,1,2024-09-07 09:08:41:035,598450,598450,0,0,280739295066,2956350507,588298,7875,2277,366,392144,0 8,2,2024-09-07 09:08:40:793,426754,426754,0,0,22707198,0,3220 8,3,2024-09-07 09:08:40:588,1,342,0,0,538,5936,342,0 9,0,2024-09-07 09:08:41:162,88063,0.4,85524,0.5,178985,0.4,234266,1.75 9,1,2024-09-07 09:08:40:588,599113,599113,0,0,280336844658,2956294519,590041,6947,2125,369,392001,0 9,2,2024-09-07 09:08:41:108,431267,431267,0,0,20045449,0,3360 9,3,2024-09-07 09:08:41:756,1,342,1,0,496,5030,342,0 10,0,2024-09-07 09:08:41:607,87092,0.3,86428,0.5,173586,0.2,231115,1.75 10,1,2024-09-07 09:08:40:587,600320,600320,0,0,280551583697,2939503722,592437,6774,1109,381,391741,0 10,2,2024-09-07 09:08:40:762,434914,434914,0,0,21718643,0,4264 10,3,2024-09-07 09:08:40:871,1,342,2,0,296,3139,342,0 11,0,2024-09-07 09:08:41:013,81966,0.4,79580,0.6,166359,0.3,220448,1.75 11,1,2024-09-07 09:08:40:652,600267,600267,0,0,281472475953,2957176546,590250,7569,2448,383,391756,0 11,2,2024-09-07 09:08:41:135,433841,433841,0,0,19618219,0,4130 11,3,2024-09-07 09:08:41:300,1,342,1,0,843,5365,342,0 12,0,2024-09-07 09:08:40:996,88668,0.4,88683,0.5,177051,0.3,235070,1.75 12,1,2024-09-07 09:08:40:947,600325,600325,0,0,280101845943,2921738719,595461,4372,492,370,391870,0 12,2,2024-09-07 09:08:41:550,431017,431017,0,0,19074131,0,3469 12,3,2024-09-07 09:08:41:060,1,342,2,0,386,5555,342,0 13,0,2024-09-07 09:08:41:350,88063,0.4,87663,0.6,175066,0.4,233577,1.75 13,1,2024-09-07 09:08:41:538,599394,599394,0,0,279940039085,2944456029,593484,4500,1410,382,391740,0 13,2,2024-09-07 09:08:40:602,432310,432310,0,0,17500746,0,3287 13,3,2024-09-07 09:08:41:768,1,342,16,0,522,5523,342,0 14,0,2024-09-07 09:08:40:612,87313,0.4,88009,0.6,174156,0.3,232322,1.75 14,1,2024-09-07 09:08:41:572,603943,603943,0,0,281632266300,2917570371,599256,4328,359,364,391571,0 14,2,2024-09-07 09:08:40:777,433076,433046,30,0,19183993,0,6104 14,3,2024-09-07 09:08:41:121,1,342,1,0,1168,4108,342,0 15,0,2024-09-07 09:08:41:555,82748,0.4,82775,0.6,165737,0.4,220993,1.75 15,1,2024-09-07 09:08:41:608,601041,601041,0,0,281506683125,2931196755,596701,3518,822,381,391619,0 15,2,2024-09-07 09:08:41:001,437014,437014,0,0,15799655,0,3622 15,3,2024-09-07 09:08:41:418,1,342,8,0,1126,6249,342,0 16,0,2024-09-07 09:08:40:956,86186,0.6,86417,0.7,172471,0.6,229851,2.00 16,1,2024-09-07 09:08:40:573,601613,601613,0,0,281663661812,2939276416,597321,3930,362,370,391756,0 16,2,2024-09-07 09:08:41:440,429781,429781,0,0,18032294,0,4719 16,3,2024-09-07 09:08:41:150,1,342,9,0,317,4706,342,0 17,0,2024-09-07 09:08:41:765,88419,0.7,86260,0.8,168763,0.7,229603,2.00 17,1,2024-09-07 09:08:40:586,599397,599397,0,0,280019159018,2938021610,593157,4982,1258,368,392075,0 17,2,2024-09-07 09:08:41:666,433561,433561,0,0,17704237,0,2857 17,3,2024-09-07 09:08:40:580,1,342,1,0,298,5615,342,0 18,0,2024-09-07 09:08:40:952,85523,0.6,85811,0.8,171168,0.6,228468,2.25 18,1,2024-09-07 09:08:41:638,602005,602005,0,0,281614019871,2918088308,598669,3025,311,367,391649,0 18,2,2024-09-07 09:08:41:761,434560,434560,0,0,16413511,0,3541 18,3,2024-09-07 09:08:40:898,1,342,2,0,163,2948,342,0 19,0,2024-09-07 09:08:41:544,83556,0.6,84339,0.7,166704,0.5,222384,2.00 19,1,2024-09-07 09:08:40:584,602254,602254,0,0,281741280009,2922933805,597128,4287,839,367,391777,0 19,2,2024-09-07 09:08:41:755,437386,437386,0,0,15196140,0,3988 19,3,2024-09-07 09:08:41:134,1,342,3,0,524,2590,342,0 20,0,2024-09-07 09:08:41:368,83733,0.5,83700,0.7,167419,0.5,223880,2.00 20,1,2024-09-07 09:08:40:579,600177,600177,0,0,281951717775,2944363937,595837,3933,407,369,391886,0 20,2,2024-09-07 09:08:40:934,430918,430918,0,0,18405947,0,3721 20,3,2024-09-07 09:08:40:616,1,342,2,0,414,5401,342,0 21,0,2024-09-07 09:08:41:167,85885,0.5,85863,0.7,171556,0.5,227499,2.00 21,1,2024-09-07 09:08:41:544,599480,599480,0,0,279850777870,2948730713,591484,6116,1880,368,392016,0 21,2,2024-09-07 09:08:41:077,428843,428843,0,0,21472006,0,3747 21,3,2024-09-07 09:08:41:411,1,342,5,0,713,4062,342,0 22,0,2024-09-07 09:08:41:724,87033,0.6,87423,0.7,174992,0.5,231422,2.00 22,1,2024-09-07 09:08:41:031,600304,600304,0,0,279798082163,2940314730,591812,6672,1820,382,391667,0 22,2,2024-09-07 09:08:40:760,430984,430984,0,0,17514028,0,3134 22,3,2024-09-07 09:08:41:070,1,342,0,0,228,2861,342,0 23,0,2024-09-07 09:08:41:378,85338,0.5,85355,0.7,170962,0.5,227299,2.25 23,1,2024-09-07 09:08:41:003,600142,600142,0,0,281195382820,2953340441,589647,7336,3159,365,391690,0 23,2,2024-09-07 09:08:41:108,436399,436399,0,0,17447686,0,3010 23,3,2024-09-07 09:08:41:756,1,342,1,0,720,4749,342,0 24,0,2024-09-07 09:08:40:824,83103,0.4,83111,0.5,166652,0.3,221555,1.75 24,1,2024-09-07 09:08:40:585,599359,599359,0,0,280706476658,2945727320,592461,5369,1529,368,392269,0 24,2,2024-09-07 09:08:41:072,431877,431877,0,0,21283558,0,3607 24,3,2024-09-07 09:08:41:692,1,342,7,0,468,4570,342,0 25,0,2024-09-07 09:08:41:359,89673,0.4,87384,0.6,171216,0.4,233089,2.00 25,1,2024-09-07 09:08:40:582,600454,600454,0,0,281137747771,2952084673,592573,6508,1373,371,391928,0 25,2,2024-09-07 09:08:41:606,429622,429622,0,0,21347988,0,3978 25,3,2024-09-07 09:08:41:001,1,342,8,0,255,3397,342,0 26,0,2024-09-07 09:08:41:727,87376,0.4,85350,0.6,178966,0.4,233291,1.75 26,1,2024-09-07 09:08:41:547,600541,600541,0,0,280211149835,2937249075,591655,7350,1536,380,391748,0 26,2,2024-09-07 09:08:40:860,431787,431787,0,0,21240952,0,2809 26,3,2024-09-07 09:08:41:719,1,342,0,0,796,4419,342,0 27,0,2024-09-07 09:08:41:725,87247,0.4,87302,0.6,173101,0.4,231608,2.00 27,1,2024-09-07 09:08:41:676,602756,602756,0,0,281677797894,2932665981,597486,4504,766,381,391626,0 27,2,2024-09-07 09:08:40:867,431328,431263,65,0,20776219,0,5699 27,3,2024-09-07 09:08:41:022,1,342,0,0,564,3642,342,0 28,0,2024-09-07 09:08:41:440,82898,0.4,82606,0.6,165587,0.3,221057,2.00 28,1,2024-09-07 09:08:40:811,601725,601725,0,0,282428766969,2942324263,597344,3677,704,383,391698,0 28,2,2024-09-07 09:08:41:769,434852,434852,0,0,18367779,0,2915 28,3,2024-09-07 09:08:41:776,1,342,1,0,502,3733,342,0 29,0,2024-09-07 09:08:41:378,89571,0.4,87209,0.6,171178,0.3,234001,1.75 29,1,2024-09-07 09:08:41:569,603283,603283,0,0,281653004890,2917064891,598941,3657,685,369,391753,0 29,2,2024-09-07 09:08:40:865,429008,429008,0,0,17625835,0,4986 29,3,2024-09-07 09:08:40:969,1,342,1,0,459,4231,342,0 30,0,2024-09-07 09:08:41:461,86135,0.5,83652,0.7,175215,0.5,229580,2.00 30,1,2024-09-07 09:08:40:585,602522,602522,0,0,282388956770,2933005557,597703,4109,710,381,391672,0 30,2,2024-09-07 09:08:41:281,431793,431793,0,0,16587642,0,3161 30,3,2024-09-07 09:08:40:581,1,342,1,0,519,3450,342,0 31,0,2024-09-07 09:08:41:760,86423,0.4,86816,0.6,173565,0.4,231226,2.00 31,1,2024-09-07 09:08:40:572,604701,604701,0,0,283401875855,2906433733,602157,1985,559,356,391712,0 31,2,2024-09-07 09:08:41:284,432481,432481,0,0,18428141,0,3525 31,3,2024-09-07 09:08:41:710,1,342,2,0,220,2925,342,0 32,0,2024-09-07 09:08:41:427,83603,0.3,83938,0.5,167887,0.2,223506,1.50 32,1,2024-09-07 09:08:40:806,602311,602311,0,0,282504710081,2932459945,599167,2698,446,381,391646,0 32,2,2024-09-07 09:08:40:951,436941,436941,0,0,15536837,0,3155 32,3,2024-09-07 09:08:41:015,1,342,2,0,227,2459,342,0 33,0,2024-09-07 09:08:41:498,85445,0.3,84966,0.4,170541,0.2,227459,1.50 33,1,2024-09-07 09:08:40:575,603675,603675,0,0,282628139000,2925575048,599262,3582,831,369,391730,0 33,2,2024-09-07 09:08:40:759,431330,431295,35,0,17582569,0,7012 33,3,2024-09-07 09:08:40:895,1,342,6,0,329,3581,342,0 34,0,2024-09-07 09:08:40:933,85609,0.3,88120,0.5,168492,0.2,226434,1.75 34,1,2024-09-07 09:08:41:047,604178,604178,0,0,283450244822,2912457650,602758,1412,8,367,391562,0 34,2,2024-09-07 09:08:40:770,431342,431342,0,0,16795180,0,3577 34,3,2024-09-07 09:08:41:703,1,342,2,0,299,2498,342,0 35,0,2024-09-07 09:08:40:892,85993,0.4,86357,0.5,173779,0.3,231275,1.75 35,1,2024-09-07 09:08:41:071,601978,601978,0,0,281605123810,2917369873,598370,2827,781,382,391589,0 35,2,2024-09-07 09:08:41:583,432955,432955,0,0,16875562,0,2653 35,3,2024-09-07 09:08:40:918,1,342,2,0,418,4253,342,0 36,0,2024-09-07 09:08:41:515,85308,0.5,85269,0.6,170592,0.4,225773,2.00 36,1,2024-09-07 09:08:40:588,601634,601634,0,0,281035019133,2935240143,594317,5659,1658,366,391759,0 36,2,2024-09-07 09:08:41:756,436526,436526,0,0,19392625,0,3875 36,3,2024-09-07 09:08:40:867,1,342,2,0,416,5358,342,0 37,0,2024-09-07 09:08:41:417,82262,0.5,82101,0.6,164457,0.4,220344,2.00 37,1,2024-09-07 09:08:40:586,600495,600488,0,7,280987552691,2935065036,593713,4689,2086,365,391570,0 37,2,2024-09-07 09:08:41:142,430258,430243,15,0,19080811,0,5815 37,3,2024-09-07 09:08:41:769,1,342,2,0,888,5549,342,0 38,0,2024-09-07 09:08:41:451,85823,0.5,83305,0.7,174422,0.4,227874,2.00 38,1,2024-09-07 09:08:41:608,602556,602556,0,0,282032000681,2935609665,596497,5301,758,368,391821,0 38,2,2024-09-07 09:08:40:759,430014,429967,47,0,19448400,0,6710 38,3,2024-09-07 09:08:40:997,1,342,1,0,689,4712,342,0 39,0,2024-09-07 09:08:41:764,89591,0.6,87599,0.7,170781,0.6,233077,2.00 39,1,2024-09-07 09:08:40:723,600572,600572,0,0,281338994758,2942851132,592137,6974,1461,365,391658,0 39,2,2024-09-07 09:08:41:419,431982,431982,0,0,17521749,0,2689 39,3,2024-09-07 09:08:40:722,1,342,1,0,324,4311,342,0 40,0,2024-09-07 09:08:41:499,86091,0.7,86824,0.9,173196,0.7,230792,2.75 40,1,2024-09-07 09:08:40:584,601596,601596,0,0,280517454128,2937241427,593014,7071,1511,368,391668,0 40,2,2024-09-07 09:08:41:314,433869,433868,1,0,21064189,0,5137 40,3,2024-09-07 09:08:41:144,1,342,44,0,1028,5068,342,0 41,0,2024-09-07 09:08:41:023,81846,1.3,83688,1.2,159928,2.0,218168,2.75 41,1,2024-09-07 09:08:40:772,600283,600283,0,0,280792680192,2936678692,593230,6350,703,369,391742,0 41,2,2024-09-07 09:08:40:759,432751,432751,0,0,20035758,0,3356 41,3,2024-09-07 09:08:41:685,1,342,2,0,366,3497,342,0 42,0,2024-09-07 09:08:41:477,87195,1.0,87327,1.0,174886,1.1,231086,2.75 42,1,2024-09-07 09:08:41:439,599676,599676,0,0,280010392749,2942635022,590131,7787,1758,380,391675,0 42,2,2024-09-07 09:08:41:135,429768,429768,0,0,20182340,0,3790 42,3,2024-09-07 09:08:41:009,1,342,1,0,446,3091,342,0 43,0,2024-09-07 09:08:40:922,86236,0.9,84072,1.1,176142,1.0,231133,2.50 43,1,2024-09-07 09:08:40:575,600756,600756,0,0,282068022270,2946521889,592523,6795,1438,366,391696,0 43,2,2024-09-07 09:08:41:742,431536,431536,0,0,19919641,0,3812 43,3,2024-09-07 09:08:41:754,1,342,11,0,467,4251,342,0 44,0,2024-09-07 09:08:40:874,87147,0.4,87498,0.6,174682,0.4,232408,1.75 44,1,2024-09-07 09:08:40:563,602409,602409,0,0,281293382293,2909019502,597348,4014,1047,356,391809,0 44,2,2024-09-07 09:08:41:269,432531,432531,0,0,16644270,0,4344 44,3,2024-09-07 09:08:41:100,1,342,0,0,817,4496,342,0 45,0,2024-09-07 09:08:41:774,81838,0.5,79833,0.7,167417,0.4,221000,2.00 45,1,2024-09-07 09:08:41:022,601761,601761,0,0,281781716984,2928322207,597327,3958,476,382,391917,0 45,2,2024-09-07 09:08:41:268,436168,436168,0,0,17246142,0,3596 45,3,2024-09-07 09:08:40:942,1,342,3,0,271,3244,342,0 46,0,2024-09-07 09:08:40:972,85597,0.5,85506,0.7,171091,0.5,227437,2.00 46,1,2024-09-07 09:08:40:575,603638,603638,0,0,282546987239,2917789720,599924,3288,426,366,391572,0 46,2,2024-09-07 09:08:40:593,430541,430541,0,0,16187843,0,2920 46,3,2024-09-07 09:08:41:134,1,342,1,0,908,5013,342,0 47,0,2024-09-07 09:08:41:106,86177,0.5,86380,0.6,173005,0.5,229010,2.00 47,1,2024-09-07 09:08:40:585,603591,603591,0,0,281855599115,2916039742,599104,3672,815,366,391641,0 47,2,2024-09-07 09:08:40:914,432897,432897,0,0,16813974,0,4477 47,3,2024-09-07 09:08:41:121,1,342,1,0,529,3723,342,0 48,0,2024-09-07 09:08:41:493,86970,0.3,86620,0.4,172675,0.2,230607,1.50 48,1,2024-09-07 09:08:41:025,601949,601949,0,0,282149599021,2928976849,598392,3254,303,384,391710,0 48,2,2024-09-07 09:08:40:699,432691,432691,0,0,15299168,0,3031 48,3,2024-09-07 09:08:40:757,1,342,0,0,339,2880,342,0 49,0,2024-09-07 09:08:41:717,86201,0.3,84736,0.5,164074,0.3,224951,1.75 49,1,2024-09-07 09:08:41:024,601716,601716,0,0,280866582505,2923728332,597397,3134,1185,382,391809,0 49,2,2024-09-07 09:08:41:800,436805,436805,0,0,16474821,0,4426 49,3,2024-09-07 09:08:41:421,1,342,9,0,408,3573,342,0 50,0,2024-09-07 09:08:41:506,84176,0.3,82949,0.4,167190,0.2,223648,1.75 50,1,2024-09-07 09:08:41:010,603862,603862,0,0,282326859779,2918084768,600043,3445,374,368,391565,0 50,2,2024-09-07 09:08:41:070,431074,431074,0,0,15374667,0,2263 50,3,2024-09-07 09:08:41:291,1,342,1,0,335,3302,342,0 51,0,2024-09-07 09:08:41:686,88030,0.3,86014,0.5,167876,0.3,228384,1.75 51,1,2024-09-07 09:08:41:684,603906,603906,0,0,283327600923,2922296337,600645,2285,976,365,391706,0 51,2,2024-09-07 09:08:41:325,431215,431215,0,0,14845354,0,3337 51,3,2024-09-07 09:08:41:028,1,342,9,0,678,2582,342,0 52,0,2024-09-07 09:08:41:415,87672,0.5,87603,0.7,174997,0.4,232515,2.00 52,1,2024-09-07 09:08:40:589,600894,600894,0,0,280800055041,2940621135,592254,7327,1313,368,391722,0 52,2,2024-09-07 09:08:41:761,429025,428987,38,0,19828216,0,6742 52,3,2024-09-07 09:08:40:675,1,342,8,0,1782,5219,342,0 53,0,2024-09-07 09:08:41:735,85331,0.6,82856,0.8,173318,0.6,226945,2.25 53,1,2024-09-07 09:08:40:788,599600,599600,0,0,280869251202,2948210164,589976,7041,2583,367,391702,0 53,2,2024-09-07 09:08:41:301,436361,436361,0,0,17262828,0,2727 53,3,2024-09-07 09:08:40:698,1,342,0,0,308,3243,342,0 54,0,2024-09-07 09:08:41:630,81618,0.5,82082,0.7,163337,0.4,218795,2.25 54,1,2024-09-07 09:08:40:586,601261,601261,0,0,282380612777,2933890197,595598,5061,602,367,391659,0 54,2,2024-09-07 09:08:40:865,433093,433087,6,0,19569241,0,5382 54,3,2024-09-07 09:08:40:776,1,342,0,0,676,5221,342,0 55,0,2024-09-07 09:08:41:774,84283,0.6,87289,0.7,175933,0.6,228982,2.50 55,1,2024-09-07 09:08:40:770,601575,601575,0,0,281532547230,2929143229,594949,5717,909,365,391731,0 55,2,2024-09-07 09:08:40:729,429529,429529,0,0,18933863,0,3563 55,3,2024-09-07 09:08:40:679,1,342,1,0,304,3840,342,0 56,0,2024-09-07 09:08:41:568,89374,1.2,84324,1.1,173879,1.6,232873,2.50 56,1,2024-09-07 09:08:40:593,598524,598524,0,0,281336230863,2969207678,589787,7106,1631,381,391867,0 56,2,2024-09-07 09:08:41:313,431113,431113,0,0,19947839,0,3567 56,3,2024-09-07 09:08:41:062,1,342,2,0,705,4466,342,0 57,0,2024-09-07 09:08:40:937,85826,1.4,85694,1.2,171771,1.9,230060,3.00 57,1,2024-09-07 09:08:40:995,599869,599869,0,0,280602146777,2940713069,592996,6153,720,366,392032,0 57,2,2024-09-07 09:08:41:329,434265,434265,0,0,21665692,0,3317 57,3,2024-09-07 09:08:41:741,1,342,8,0,359,4006,342,0 58,0,2024-09-07 09:08:40:573,81520,0.8,79272,1.0,165850,0.9,217911,2.50 58,1,2024-09-07 09:08:40:575,600956,600953,0,3,281377213014,2941338340,592830,7041,1082,367,391603,3 58,2,2024-09-07 09:08:41:071,434423,434423,0,0,19833293,0,2549 58,3,2024-09-07 09:08:41:070,1,342,1,0,1043,3693,342,0 59,0,2024-09-07 09:08:41:757,86938,0.7,86602,0.9,172889,0.8,230577,2.75 59,1,2024-09-07 09:08:40:812,600780,600780,0,0,281049745541,2941703947,592908,6352,1520,369,391586,0 59,2,2024-09-07 09:08:40:587,430671,430671,0,0,19572711,0,2867 59,3,2024-09-07 09:08:41:743,1,342,2,0,1015,4364,342,0 60,0,2024-09-07 09:08:41:722,86283,0.5,86434,0.7,173394,0.5,230380,1.75 60,1,2024-09-07 09:08:40:776,602424,602424,0,0,282144858326,2929231095,598311,3467,646,370,392031,0 60,2,2024-09-07 09:08:41:145,431377,431377,0,0,18935615,0,3811 60,3,2024-09-07 09:08:41:264,1,342,1,0,409,3987,342,0 61,0,2024-09-07 09:08:41:496,86663,0.6,87147,0.8,173365,0.6,231023,2.00 61,1,2024-09-07 09:08:40:772,600254,600254,0,0,280880013444,2944740773,593093,5837,1324,382,392127,0 61,2,2024-09-07 09:08:41:123,432967,432900,67,0,19279295,0,6411 61,3,2024-09-07 09:08:41:687,1,342,1,0,479,5101,342,0 62,0,2024-09-07 09:08:41:715,84154,0.5,85996,0.7,163930,0.5,223240,2.00 62,1,2024-09-07 09:08:41:123,604549,604543,0,6,283029946375,2921204659,600965,3319,259,365,391715,6 62,2,2024-09-07 09:08:41:649,435019,435018,1,0,18887108,0,5555 62,3,2024-09-07 09:08:41:146,1,342,11,0,465,2608,342,0 63,0,2024-09-07 09:08:41:460,85441,0.4,85352,0.6,171092,0.3,227736,1.75 63,1,2024-09-07 09:08:40:805,602746,602740,0,6,282575607562,2933358540,598808,3544,388,381,391800,6 63,2,2024-09-07 09:08:40:762,430357,430357,0,0,17080552,0,4369 63,3,2024-09-07 09:08:41:742,1,342,1,0,667,3852,342,0 64,0,2024-09-07 09:08:41:524,84917,0.5,84906,0.7,169876,0.5,225492,2.00 64,1,2024-09-07 09:08:40:759,601823,601823,0,0,280996523108,2928374074,596178,3985,1660,370,391783,0 64,2,2024-09-07 09:08:41:145,434420,434401,19,0,16781169,0,6121 64,3,2024-09-07 09:08:41:144,1,342,0,0,265,3162,342,0 65,0,2024-09-07 09:08:41:687,85784,0.7,86117,0.8,172048,0.7,229118,2.25 65,1,2024-09-07 09:08:40:880,600968,600968,0,0,280758317859,2933112692,596884,3633,451,382,391901,0 65,2,2024-09-07 09:08:41:706,433738,433738,0,0,18543191,0,3367 65,3,2024-09-07 09:08:41:687,1,342,1,0,163,3031,342,0 66,0,2024-09-07 09:08:41:767,84625,0.5,84556,0.7,169310,0.4,224650,2.00 66,1,2024-09-07 09:08:41:300,601857,601857,0,0,282164640208,2932293730,598295,3240,322,380,391653,0 66,2,2024-09-07 09:08:41:140,437957,437957,0,0,16670189,0,4956 66,3,2024-09-07 09:08:41:088,1,342,1,0,291,3228,342,0 67,0,2024-09-07 09:08:41:421,82984,0.5,82392,0.7,165467,0.4,220847,2.00 67,1,2024-09-07 09:08:40:775,602326,602325,0,1,281195470935,2927323053,598159,3415,751,380,391787,1 67,2,2024-09-07 09:08:40:587,432800,432800,0,0,16023552,0,2889 67,3,2024-09-07 09:08:41:754,1,342,1,0,338,2909,342,0 68,0,2024-09-07 09:08:40:575,86681,0.6,86322,0.7,172137,0.6,229860,2.00 68,1,2024-09-07 09:08:40:590,600549,600549,0,0,281144707565,2943825724,595734,3611,1204,381,391953,0 68,2,2024-09-07 09:08:41:049,429134,429069,65,0,21209786,0,6698 68,3,2024-09-07 09:08:40:742,1,342,1,0,417,3709,342,0 69,0,2024-09-07 09:08:41:726,87393,0.8,87936,0.9,174968,1.0,232216,2.25 69,1,2024-09-07 09:08:41:016,598573,598573,0,0,280528464345,2959630692,590555,5908,2110,384,391994,0 69,2,2024-09-07 09:08:41:732,431185,431185,0,0,21474427,0,3722 69,3,2024-09-07 09:08:40:761,1,342,0,0,698,4894,342,0 70,0,2024-09-07 09:08:41:538,85990,0.7,86055,1.0,173144,0.6,229356,2.25 70,1,2024-09-07 09:08:40:806,602100,602100,0,0,282362303375,2930349396,597249,4315,536,366,391725,0 70,2,2024-09-07 09:08:41:330,433930,433930,0,0,19429434,0,4044 70,3,2024-09-07 09:08:40:757,1,342,0,0,854,3820,342,0 71,0,2024-09-07 09:08:41:362,81723,0.8,81370,0.9,163653,0.9,219068,2.50 71,1,2024-09-07 09:08:41:607,600940,600940,0,0,281648594283,2937834700,594328,5956,656,368,391738,0 71,2,2024-09-07 09:08:41:071,433941,433941,0,0,19172605,0,4042 71,3,2024-09-07 09:08:41:754,1,342,0,0,644,4678,342,0 72,0,2024-09-07 09:08:41:047,90861,0.6,88830,0.7,173293,0.6,235419,2.00 72,1,2024-09-07 09:08:41:025,600247,600247,0,0,280784118737,2941880864,592011,6539,1697,369,391819,0 72,2,2024-09-07 09:08:41:756,428976,428976,0,0,21897150,0,3983 72,3,2024-09-07 09:08:41:754,1,342,1,0,564,5388,342,0 73,0,2024-09-07 09:08:41:106,85166,0.4,87216,0.6,178728,0.4,232248,2.00 73,1,2024-09-07 09:08:40:777,601630,601630,0,0,281430899551,2925609066,597308,3992,330,367,391858,0 73,2,2024-09-07 09:08:41:743,431495,431495,0,0,21061774,0,3701 73,3,2024-09-07 09:08:40:969,1,342,4,0,274,4565,342,0 74,0,2024-09-07 09:08:41:323,87563,0.5,89709,0.6,171356,0.4,232172,2.25 74,1,2024-09-07 09:08:40:637,601108,601108,0,0,281395685047,2937366381,595027,4818,1263,381,391681,0 74,2,2024-09-07 09:08:41:002,432651,432651,0,0,20029078,0,4253 74,3,2024-09-07 09:08:41:444,1,342,0,0,522,4837,342,0 75,0,2024-09-07 09:08:41:787,83077,0.4,82695,0.7,165468,0.4,221881,2.25 75,1,2024-09-07 09:08:41:585,600187,600187,0,0,280495258695,2930505318,593828,5534,825,380,391739,0 75,2,2024-09-07 09:08:41:361,434943,434943,0,0,20274696,0,4766 75,3,2024-09-07 09:08:41:078,1,342,2,0,702,4869,342,0 76,0,2024-09-07 09:08:40:599,85849,0.6,85151,0.8,170739,0.6,228820,2.25 76,1,2024-09-07 09:08:40:809,601427,601427,0,0,281285085281,2933179448,597507,3330,590,382,391692,0 76,2,2024-09-07 09:08:41:061,431384,431383,1,0,19174988,0,5144 76,3,2024-09-07 09:08:41:157,1,342,0,0,175,3285,342,0 77,0,2024-09-07 09:08:41:694,85876,0.7,86052,0.8,172173,0.7,229030,2.00 77,1,2024-09-07 09:08:40:825,601112,601112,0,0,281250175208,2938504954,595921,4702,489,381,391869,0 77,2,2024-09-07 09:08:41:289,431418,431418,0,0,18698406,0,3890 77,3,2024-09-07 09:08:41:100,1,342,1,0,401,3848,342,0 78,0,2024-09-07 09:08:41:722,86726,0.5,86301,0.6,173296,0.4,229896,2.00 78,1,2024-09-07 09:08:40:619,601739,601739,0,0,280546794677,2920677908,595875,4719,1145,367,391670,0 78,2,2024-09-07 09:08:41:407,433584,433584,0,0,16246061,0,3855 78,3,2024-09-07 09:08:41:134,1,342,1,0,181,3245,342,0 79,0,2024-09-07 09:08:41:357,81362,0.4,83438,0.6,170585,0.3,222128,2.25 79,1,2024-09-07 09:08:40:600,603449,603449,0,0,281978797785,2919588559,598893,3923,633,368,391682,0 79,2,2024-09-07 09:08:41:071,437472,437472,0,0,17251688,0,4195 79,3,2024-09-07 09:08:40:762,1,342,10,0,418,4329,342,0 80,0,2024-09-07 09:08:41:106,83831,0.5,86311,0.6,165300,0.4,223406,2.00 80,1,2024-09-07 09:08:41:621,601612,601612,0,0,281328027339,2925007293,598260,3173,179,368,391791,0 80,2,2024-09-07 09:08:41:099,432662,432662,0,0,16356276,0,4433 80,3,2024-09-07 09:08:40:586,1,342,1,0,190,4073,342,0 81,0,2024-09-07 09:08:41:562,85733,0.6,87743,0.7,167540,0.6,227164,2.00 81,1,2024-09-07 09:08:41:657,600553,600553,0,0,280162987762,2927235871,595697,4357,499,382,391879,0 81,2,2024-09-07 09:08:41:136,430611,430548,63,0,18240854,0,5932 81,3,2024-09-07 09:08:41:135,1,342,7,0,374,3952,342,0 82,0,2024-09-07 09:08:41:552,86930,0.5,87453,0.7,175263,0.4,232104,2.00 82,1,2024-09-07 09:08:40:587,602651,602647,0,4,281902327940,2933116626,598752,3098,797,381,391768,4 82,2,2024-09-07 09:08:41:695,433942,433942,0,0,15649856,0,3986 82,3,2024-09-07 09:08:41:756,1,342,1,0,363,3443,342,0 83,0,2024-09-07 09:08:41:525,86092,0.5,85849,0.7,171096,0.5,227157,2.00 83,1,2024-09-07 09:08:40:563,601036,601036,0,0,281212172939,2931260144,596725,3930,381,382,391709,0 83,2,2024-09-07 09:08:40:774,435574,435574,0,0,16217982,0,3393 83,3,2024-09-07 09:08:40:750,1,342,0,0,1260,4891,342,0 84,0,2024-09-07 09:08:41:796,82226,0.6,82138,0.8,164337,0.5,220162,2.25 84,1,2024-09-07 09:08:41:046,600543,600543,0,0,280766723986,2933403360,594782,5032,729,367,391967,0 84,2,2024-09-07 09:08:40:584,432782,432782,0,0,20495023,0,4757 84,3,2024-09-07 09:08:41:156,1,342,1,0,908,5164,342,0 85,0,2024-09-07 09:08:41:054,84016,0.7,84016,0.8,178387,0.7,230340,2.25 85,1,2024-09-07 09:08:40:571,599104,599104,0,0,280320928877,2957394499,590575,7157,1372,381,392006,0 85,2,2024-09-07 09:08:40:865,430179,430179,0,0,20198040,0,3656 85,3,2024-09-07 09:08:40:694,1,342,3,0,789,4463,342,0 86,0,2024-09-07 09:08:40:913,87495,0.7,90017,0.8,172265,0.7,232930,2.25 86,1,2024-09-07 09:08:40:846,600920,600920,0,0,281384410645,2945552314,594029,5825,1066,366,391961,0 86,2,2024-09-07 09:08:40:870,430509,430508,1,0,21219153,0,5004 86,3,2024-09-07 09:08:40:612,1,342,2,0,308,4651,342,0 87,0,2024-09-07 09:08:41:363,86585,0.8,86445,0.9,173578,1.0,231946,2.25 87,1,2024-09-07 09:08:40:570,600514,600514,0,0,281559969042,2944341029,594301,5600,613,366,392076,0 87,2,2024-09-07 09:08:41:073,432888,432888,0,0,19319678,0,4045 87,3,2024-09-07 09:08:41:804,1,342,1,0,473,5020,342,0 88,0,2024-09-07 09:08:41:474,82709,0.4,83274,0.6,166011,0.4,220778,1.75 88,1,2024-09-07 09:08:40:590,599239,599239,0,0,280693072614,2942197117,591540,6034,1665,365,392084,0 88,2,2024-09-07 09:08:40:688,435506,435506,0,0,21458254,0,3583 88,3,2024-09-07 09:08:41:268,1,342,24,0,435,3696,342,0 89,0,2024-09-07 09:08:41:784,89732,0.5,86773,0.6,172037,0.4,234158,1.75 89,1,2024-09-07 09:08:40:570,599115,599115,0,0,280348050067,2949551767,591359,6482,1274,382,391866,0 89,2,2024-09-07 09:08:41:135,429058,429058,0,0,20381913,0,2910 89,3,2024-09-07 09:08:41:800,1,342,4,0,385,6255,342,0 90,0,2024-09-07 09:08:41:670,83908,0.5,86076,0.7,175718,0.5,229641,2.00 90,1,2024-09-07 09:08:40:640,600752,600752,0,0,280722618058,2943245801,594547,5703,502,380,391825,0 90,2,2024-09-07 09:08:41:449,429902,429902,0,0,21636932,0,3060 90,3,2024-09-07 09:08:40:949,1,342,2,0,246,3718,342,0 91,0,2024-09-07 09:08:40:948,87263,0.5,84421,0.6,176450,0.4,231582,1.75 91,1,2024-09-07 09:08:40:602,599145,599145,0,0,280818618727,2954189069,591320,6781,1044,381,392047,0 91,2,2024-09-07 09:08:41:369,433012,433012,0,0,19588564,0,2896 91,3,2024-09-07 09:08:40:626,1,342,25,0,216,3233,342,0 92,0,2024-09-07 09:08:41:471,84409,0.4,86495,0.6,165321,0.4,223614,1.75 92,1,2024-09-07 09:08:40:588,600503,600503,0,0,280763603848,2933782570,595691,4125,687,382,392136,0 92,2,2024-09-07 09:08:41:358,437041,437041,0,0,17622436,0,3259 92,3,2024-09-07 09:08:41:009,1,342,1,0,167,2897,342,0 93,0,2024-09-07 09:08:40:959,85705,0.4,87828,0.6,167798,0.3,227780,1.75 93,1,2024-09-07 09:08:40:809,600844,600844,0,0,281203737470,2935587796,594725,5089,1030,366,391776,0 93,2,2024-09-07 09:08:40:934,429699,429699,0,0,20382792,0,4845 93,3,2024-09-07 09:08:41:419,1,342,8,0,190,3333,342,0 94,0,2024-09-07 09:08:41:640,85033,0.4,85843,0.5,171222,0.4,227041,1.75 94,1,2024-09-07 09:08:40:711,601151,601151,0,0,280992184821,2932740677,597112,3849,190,381,391850,0 94,2,2024-09-07 09:08:40:793,431031,431031,0,0,17600755,0,2443 94,3,2024-09-07 09:08:41:688,1,342,11,0,264,4178,342,0 95,0,2024-09-07 09:08:41:380,86475,0.4,86617,0.5,173593,0.3,230994,1.75 95,1,2024-09-07 09:08:41:015,602529,602529,0,0,281126955392,2924048773,597558,4572,399,367,391713,0 95,2,2024-09-07 09:08:41:032,432692,432692,0,0,17429711,0,3308 95,3,2024-09-07 09:08:41:712,1,342,1,0,718,5354,342,0 96,0,2024-09-07 09:08:41:061,85224,0.4,85400,0.5,170369,0.3,225530,1.75 96,1,2024-09-07 09:08:41:591,600608,600608,0,0,281547032615,2937166808,596083,3729,796,384,391955,0 96,2,2024-09-07 09:08:41:273,436600,436600,0,0,17360699,0,4042 96,3,2024-09-07 09:08:41:144,1,342,1,0,411,3718,342,0 97,0,2024-09-07 09:08:41:328,82702,0.3,82452,0.5,165601,0.3,220505,1.50 97,1,2024-09-07 09:08:40:772,602690,602690,0,0,282204292505,2924886815,598809,3209,672,367,392140,0 97,2,2024-09-07 09:08:40:613,432983,432983,0,0,16765134,0,3036 97,3,2024-09-07 09:08:40:584,1,342,1,0,214,4020,342,0 98,0,2024-09-07 09:08:41:715,86513,0.3,86258,0.5,173224,0.2,229861,1.50 98,1,2024-09-07 09:08:40:591,601934,601934,0,0,281294255548,2925354980,599268,2559,107,382,391997,0 98,2,2024-09-07 09:08:40:831,431182,431182,0,0,16650566,0,3080 98,3,2024-09-07 09:08:40:702,1,342,5,0,840,5420,342,0 99,0,2024-09-07 09:08:41:472,87827,0.3,88391,0.5,175910,0.3,234418,1.75 99,1,2024-09-07 09:08:41:760,601756,601756,0,0,281459825260,2930312256,597614,3387,755,381,392069,0 99,2,2024-09-07 09:08:41:430,433458,433458,0,0,18807824,0,3424 99,3,2024-09-07 09:08:40:588,1,342,21,0,199,3180,342,0 100,0,2024-09-07 09:08:41:469,86635,0.7,86569,0.9,173077,0.8,231202,2.25 100,1,2024-09-07 09:08:40:568,598526,598526,0,0,279984010550,2956930217,590055,6975,1496,381,391989,0 100,2,2024-09-07 09:08:41:838,433298,433287,11,0,19804145,0,5417 100,3,2024-09-07 09:08:41:738,1,342,6,0,559,5943,342,0 101,0,2024-09-07 09:08:41:698,84053,0.9,81906,1.0,160551,0.6,220719,2.25 101,1,2024-09-07 09:08:40:572,598452,598452,0,0,280510090585,2953096638,589362,7066,2024,368,391771,0 101,2,2024-09-07 09:08:41:756,432097,432097,0,0,22899915,0,4644 101,3,2024-09-07 09:08:40:951,1,342,7,0,579,4500,342,0 102,0,2024-09-07 09:08:40:979,85689,0.7,88617,0.8,178992,0.7,234217,2.00 102,1,2024-09-07 09:08:41:166,599407,599407,0,0,280511262205,2945392938,592087,6288,1032,369,391891,0 102,2,2024-09-07 09:08:41:746,430741,430687,54,0,19158289,0,6768 102,3,2024-09-07 09:08:41:635,1,342,1,0,410,3657,342,0 103,0,2024-09-07 09:08:41:603,90128,0.6,90068,0.8,169889,0.7,234065,2.00 103,1,2024-09-07 09:08:41:640,598637,598637,0,0,280107294550,2953450562,589817,6824,1996,381,391829,0 103,2,2024-09-07 09:08:40:584,430244,430244,0,0,20196001,0,3173 103,3,2024-09-07 09:08:40:762,1,342,1,0,916,4244,342,0 104,0,2024-09-07 09:08:41:015,86185,0.7,86561,0.9,171956,0.7,231412,2.25 104,1,2024-09-07 09:08:41:606,600234,600234,0,0,280018449384,2944614015,591322,7214,1698,365,392168,0 104,2,2024-09-07 09:08:41:671,431618,431618,0,0,21236267,0,3941 104,3,2024-09-07 09:08:41:423,1,342,5,0,1245,7529,342,0 105,0,2024-09-07 09:08:41:048,81994,0.8,79738,1.0,166998,0.9,220274,2.75 105,1,2024-09-07 09:08:40:577,600416,600416,0,0,280603252442,2943838502,592259,6822,1335,366,391797,0 105,2,2024-09-07 09:08:41:335,434758,434758,0,0,20563304,0,3509 105,3,2024-09-07 09:08:41:309,1,342,1,0,399,5590,342,0 106,0,2024-09-07 09:08:40:962,83173,0.8,85099,0.9,174174,0.9,228238,2.50 106,1,2024-09-07 09:08:41:762,599255,599255,0,0,280804519950,2952705911,589677,8285,1293,369,391914,0 106,2,2024-09-07 09:08:40:758,427955,427955,0,0,20147897,0,2795 106,3,2024-09-07 09:08:40:687,1,342,1,0,470,4348,342,0 107,0,2024-09-07 09:08:41:158,85722,1.2,85664,1.0,171395,1.7,228713,2.25 107,1,2024-09-07 09:08:40:604,598823,598823,0,0,280321954717,2953740132,590848,7061,914,381,392234,0 107,2,2024-09-07 09:08:41:327,429744,429743,1,0,20727212,0,5024 107,3,2024-09-07 09:08:41:760,1,342,8,0,353,4814,342,0 108,0,2024-09-07 09:08:41:775,86251,0.5,86735,0.6,172539,0.4,230465,1.75 108,1,2024-09-07 09:08:41:302,601205,601205,0,0,281520608843,2936681659,596116,4571,518,368,391857,0 108,2,2024-09-07 09:08:41:757,431655,431655,0,0,19696266,0,4246 108,3,2024-09-07 09:08:41:330,1,342,5,0,749,6971,342,0 109,0,2024-09-07 09:08:41:767,84579,0.4,83681,0.5,167650,0.3,224611,1.75 109,1,2024-09-07 09:08:40:611,599266,599266,0,0,281171665205,2948930561,594058,4543,665,383,392132,0 109,2,2024-09-07 09:08:40:927,434585,434585,0,0,19296192,0,3617 109,3,2024-09-07 09:08:41:146,1,342,1,0,379,4083,342,0 110,0,2024-09-07 09:08:41:782,83811,0.4,81549,0.6,170848,0.3,224152,1.75 110,1,2024-09-07 09:08:41:659,602151,602151,0,0,281854305425,2924970917,598149,3025,977,369,392045,0 110,2,2024-09-07 09:08:41:312,430615,430615,0,0,18907339,0,4067 110,3,2024-09-07 09:08:40:694,1,342,2,0,722,5165,342,0 111,0,2024-09-07 09:08:41:423,86143,0.4,85470,0.6,171253,0.4,228567,1.75 111,1,2024-09-07 09:08:41:002,602574,602574,0,0,283470219076,2941216850,599194,3028,352,382,391690,0 111,2,2024-09-07 09:08:41:116,430140,430140,0,0,18304661,0,4823 111,3,2024-09-07 09:08:40:921,1,342,1,0,379,4119,342,0 112,0,2024-09-07 09:08:40:911,88076,0.3,87474,0.4,175433,0.2,232864,1.50 112,1,2024-09-07 09:08:40:825,602307,602307,0,0,282111574209,2925616595,598846,2877,584,380,391624,0 112,2,2024-09-07 09:08:41:134,432859,432858,1,0,16631639,0,5036 112,3,2024-09-07 09:08:40:604,1,342,1,0,282,3478,342,0 113,0,2024-09-07 09:08:40:904,85597,0.3,85760,0.4,172103,0.2,228549,1.50 113,1,2024-09-07 09:08:41:687,604331,604331,0,0,282238786021,2911521429,601050,2697,584,366,391661,0 113,2,2024-09-07 09:08:41:311,437259,437259,0,0,15354238,0,3813 113,3,2024-09-07 09:08:40:684,1,342,1,0,340,4060,342,0 114,0,2024-09-07 09:08:40:878,83105,0.3,83663,0.5,166118,0.2,222282,1.75 114,1,2024-09-07 09:08:40:718,602097,602097,0,0,281931279964,2929280122,596972,3531,1594,381,391556,0 114,2,2024-09-07 09:08:40:873,433746,433745,1,0,16936516,0,5069 114,3,2024-09-07 09:08:41:280,1,342,1,0,395,2978,342,0 115,0,2024-09-07 09:08:40:575,86983,0.3,87745,0.4,175335,0.2,232604,1.50 115,1,2024-09-07 09:08:40:585,601572,601572,0,0,281830971052,2926355687,596708,4024,840,382,391757,0 115,2,2024-09-07 09:08:41:134,432193,432193,0,0,15575150,0,3453 115,3,2024-09-07 09:08:41:014,1,342,1,0,159,1983,342,0 116,0,2024-09-07 09:08:41:706,87319,0.8,87163,0.9,174843,0.9,233915,2.00 116,1,2024-09-07 09:08:40:808,598539,598539,0,0,280639399677,2959817223,590936,5335,2268,380,391878,0 116,2,2024-09-07 09:08:41:754,430929,430929,0,0,21255313,0,3529 116,3,2024-09-07 09:08:40:914,1,342,0,0,415,4253,342,0 117,0,2024-09-07 09:08:40:977,87100,0.7,86646,0.8,173394,0.8,232326,2.00 117,1,2024-09-07 09:08:41:586,599987,599987,0,0,280382314395,2934892634,593679,5640,668,370,392033,0 117,2,2024-09-07 09:08:41:126,436220,436220,0,0,17712125,0,3700 117,3,2024-09-07 09:08:41:068,1,342,1,0,490,4983,342,0 118,0,2024-09-07 09:08:41:792,80364,0.5,82427,0.7,168305,0.4,219910,2.00 118,1,2024-09-07 09:08:40:590,599692,599692,0,0,280163912986,2945101512,590895,6899,1898,366,391907,0 118,2,2024-09-07 09:08:41:608,434293,434293,0,0,19570268,0,2781 118,3,2024-09-07 09:08:41:774,1,342,2,0,235,3758,342,0 119,0,2024-09-07 09:08:41:501,86794,0.6,87203,0.7,174363,0.6,232616,2.00 119,1,2024-09-07 09:08:40:567,601135,601135,0,0,281511740645,2943831288,594564,5734,837,367,391780,0 119,2,2024-09-07 09:08:41:305,430640,430640,0,0,18219802,0,4174 119,3,2024-09-07 09:08:41:390,1,342,12,0,563,5177,342,0 120,0,2024-09-07 09:08:41:582,86083,0.7,85790,0.9,171689,0.7,230249,2.25 120,1,2024-09-07 09:08:40:862,600207,600207,0,0,280543901326,2944607614,593786,5865,556,368,391961,0 120,2,2024-09-07 09:08:40:780,430889,430888,1,0,21466255,0,5281 120,3,2024-09-07 09:08:41:290,1,342,1,0,241,4267,342,0 121,0,2024-09-07 09:08:41:702,86496,1.0,86678,1.0,173969,1.3,231167,2.25 121,1,2024-09-07 09:08:41:666,601039,601039,0,0,281155585597,2941635081,595128,5474,437,367,391840,0 121,2,2024-09-07 09:08:41:136,431906,431906,0,0,20733172,0,4127 121,3,2024-09-07 09:08:40:731,1,342,1,0,269,3910,342,0 122,0,2024-09-07 09:08:41:801,83430,0.7,81379,0.8,170583,0.8,223540,2.00 122,1,2024-09-07 09:08:40:867,599864,599864,0,0,280795231733,2947201471,591402,7200,1262,366,392130,0 122,2,2024-09-07 09:08:41:329,435731,435658,73,0,23278218,0,5989 122,3,2024-09-07 09:08:40:616,1,342,512,0,512,6525,342,0 123,0,2024-09-07 09:08:40:984,84767,0.7,82971,0.8,172998,0.7,227187,2.00 123,1,2024-09-07 09:08:40:576,599286,599286,0,0,281140731175,2964981999,587839,9320,2127,369,392039,0 123,2,2024-09-07 09:08:41:025,428091,428090,1,0,19924309,0,5215 123,3,2024-09-07 09:08:41:145,1,342,2,0,168,3898,342,0 124,0,2024-09-07 09:08:40:925,88048,0.4,88110,0.5,166027,0.3,227935,1.75 124,1,2024-09-07 09:08:41:032,601967,601967,0,0,280941512282,2923738595,597248,3809,910,367,392178,0 124,2,2024-09-07 09:08:41:009,432042,431989,53,0,17889079,0,6487 124,3,2024-09-07 09:08:40:758,1,342,2,0,490,3487,342,0 125,0,2024-09-07 09:08:41:418,86939,0.4,86433,0.6,173937,0.3,231300,1.75 125,1,2024-09-07 09:08:40:857,600599,600599,0,0,280491194159,2929382531,595718,4237,644,383,391702,0 125,2,2024-09-07 09:08:41:121,433089,433089,0,0,18592755,0,4534 125,3,2024-09-07 09:08:41:134,1,342,1,0,709,4620,342,0 126,0,2024-09-07 09:08:41:524,85063,0.4,87312,0.6,167436,0.4,226406,1.75 126,1,2024-09-07 09:08:40:562,602350,602350,0,0,281387550857,2916445519,598612,3454,284,365,391987,0 126,2,2024-09-07 09:08:40:612,436804,436804,0,0,18584396,0,4539 126,3,2024-09-07 09:08:40:911,1,342,1,0,207,4270,342,0 127,0,2024-09-07 09:08:41:620,82572,0.3,83120,0.5,165451,0.3,220572,1.75 127,1,2024-09-07 09:08:40:585,601538,601538,0,0,281127342065,2920814797,596137,4541,860,364,392187,0 127,2,2024-09-07 09:08:40:656,431418,431418,0,0,17098810,0,3897 127,3,2024-09-07 09:08:41:272,1,342,2,0,968,4026,342,0 128,0,2024-09-07 09:08:41:600,86642,0.3,86880,0.4,173841,0.2,230206,1.50 128,1,2024-09-07 09:08:41:607,601901,601901,0,0,281865240796,2926645788,597800,3730,371,367,391798,0 128,2,2024-09-07 09:08:41:401,432070,432070,0,0,15998728,0,2915 128,3,2024-09-07 09:08:40:770,1,342,1,0,1082,5926,342,0 129,0,2024-09-07 09:08:41:000,88481,0.3,87985,0.5,176576,0.3,234480,1.75 129,1,2024-09-07 09:08:40:589,599068,599068,0,0,281107501933,2943231034,593420,4391,1257,379,391835,0 129,2,2024-09-07 09:08:40:688,432703,432703,0,0,16899838,0,4031 129,3,2024-09-07 09:08:40:692,1,342,0,0,469,4495,342,0 130,0,2024-09-07 09:08:41:760,87499,0.5,86958,0.6,174964,0.5,232454,1.75 130,1,2024-09-07 09:08:40:589,601769,601769,0,0,281053199454,2922224108,598351,3139,279,381,391825,0 130,2,2024-09-07 09:08:41:134,435956,435956,0,0,16811167,0,4067 130,3,2024-09-07 09:08:41:303,1,342,3,0,450,4167,342,0 131,0,2024-09-07 09:08:41:943,82104,0.3,82686,0.5,166115,0.3,220384,1.50 131,1,2024-09-07 09:08:41:832,601772,601772,0,0,280999676273,2932107488,597293,3732,747,381,391865,0 131,2,2024-09-07 09:08:40:567,436478,436478,0,0,15535163,0,2415 131,3,2024-09-07 09:08:41:688,1,342,3,0,392,3531,342,0 132,0,2024-09-07 09:08:41:414,88008,0.4,88801,0.6,176872,0.4,234989,1.75 132,1,2024-09-07 09:08:40:580,598711,598711,0,0,280252095688,2951249262,590070,7175,1466,381,392097,0 132,2,2024-09-07 09:08:40:699,430002,430002,0,0,20717778,0,4606 132,3,2024-09-07 09:08:41:703,1,342,2,0,804,6209,342,0 133,0,2024-09-07 09:08:41:537,85216,0.5,87075,0.6,178916,0.4,232770,2.00 133,1,2024-09-07 09:08:40:593,598750,598750,0,0,280024718047,2951476789,590570,7096,1084,383,391914,0 133,2,2024-09-07 09:08:41:099,430439,430439,0,0,22042318,0,4315 133,3,2024-09-07 09:08:41:299,1,342,0,0,479,3650,342,0 134,0,2024-09-07 09:08:41:026,87347,0.5,87204,0.7,174428,0.5,232653,2.00 134,1,2024-09-07 09:08:40:622,599408,599408,0,0,280276513506,2943058247,591087,6465,1856,366,391718,0 134,2,2024-09-07 09:08:41:771,432753,432753,0,0,18405067,0,3847 134,3,2024-09-07 09:08:40:781,1,342,10,0,739,4437,342,0 135,0,2024-09-07 09:08:41:114,80094,0.6,80146,0.8,169942,0.7,219905,2.00 135,1,2024-09-07 09:08:41:603,600061,600061,0,0,281145054481,2959182943,591628,7196,1237,380,391805,0 135,2,2024-09-07 09:08:40:687,436379,436379,0,0,20012601,0,3981 135,3,2024-09-07 09:08:41:005,1,342,0,0,299,2654,342,0 136,0,2024-09-07 09:08:41:627,86410,0.6,86588,0.7,172255,0.5,230096,2.25 136,1,2024-09-07 09:08:41:450,599599,599599,0,0,280129014893,2941970728,592579,6323,697,382,391685,0 136,2,2024-09-07 09:08:41:136,430138,430138,0,0,19661187,0,3506 136,3,2024-09-07 09:08:41:112,1,342,4,0,637,3915,342,0 137,0,2024-09-07 09:08:41:011,88510,0.7,86130,0.8,169171,0.8,230025,2.00 137,1,2024-09-07 09:08:40:583,599332,599332,0,0,280863040034,2949529019,589711,7739,1882,366,391898,0 137,2,2024-09-07 09:08:41:715,430450,430450,0,0,22067927,0,3185 137,3,2024-09-07 09:08:40:781,1,342,0,0,382,4082,342,0 138,0,2024-09-07 09:08:41:793,85864,1.3,85948,1.1,172644,1.8,229382,2.25 138,1,2024-09-07 09:08:41:717,600226,600226,0,0,280944888565,2950758367,591179,7451,1596,368,391954,0 138,2,2024-09-07 09:08:40:587,432277,432277,0,0,19766173,0,4988 138,3,2024-09-07 09:08:40:628,1,342,4,0,1160,4851,342,0 139,0,2024-09-07 09:08:41:377,83004,1.2,83267,1.0,166980,1.7,222693,2.25 139,1,2024-09-07 09:08:40:593,597649,597649,0,0,278881632262,2963916827,586284,8721,2644,381,391892,0 139,2,2024-09-07 09:08:40:950,433483,433483,0,0,21619132,0,3097 139,3,2024-09-07 09:08:41:669,1,342,3,0,257,3873,342,0 140,0,2024-09-07 09:08:41:592,84143,0.3,83667,0.5,168187,0.2,224441,1.75 140,1,2024-09-07 09:08:41:544,604033,604033,0,0,282865848507,2917447649,600828,2767,438,365,391606,0 140,2,2024-09-07 09:08:40:694,431101,431100,1,0,17614159,0,5036 140,3,2024-09-07 09:08:40:770,1,342,1,0,247,2738,342,0 141,0,2024-09-07 09:08:41:700,85917,0.4,88233,0.5,168507,0.3,228537,1.75 141,1,2024-09-07 09:08:40:859,602160,602160,0,0,281651060823,2926747378,597597,3701,862,379,391614,0 141,2,2024-09-07 09:08:41:688,430933,430933,0,0,17180974,0,3360 141,3,2024-09-07 09:08:41:046,1,342,34,0,391,3909,342,0 142,0,2024-09-07 09:08:41:313,88254,0.3,87411,0.5,174802,0.3,233335,1.75 142,1,2024-09-07 09:08:40:617,602018,602018,0,0,281021557050,2930714899,598051,3615,352,382,392102,0 142,2,2024-09-07 09:08:41:301,431973,431941,32,0,18655147,0,6028 142,3,2024-09-07 09:08:41:755,1,342,2,0,484,4168,342,0 143,0,2024-09-07 09:08:41:414,86092,0.4,85851,0.6,172108,0.4,228890,1.75 143,1,2024-09-07 09:08:40:563,602229,602229,0,0,281925113537,2925283834,598453,3626,150,367,391705,0 143,2,2024-09-07 09:08:40:775,436009,436009,0,0,18049763,0,3123 143,3,2024-09-07 09:08:41:140,1,342,1,0,303,4004,342,0 144,0,2024-09-07 09:08:41:513,80258,0.5,82343,0.7,167808,0.4,220371,2.00 144,1,2024-09-07 09:08:40:568,599611,599611,0,0,280011723242,2934176982,594754,3916,941,381,391649,0 144,2,2024-09-07 09:08:41:767,433822,433822,0,0,16230132,0,3473 144,3,2024-09-07 09:08:41:751,1,342,1,0,249,3459,342,0 145,0,2024-09-07 09:08:41:384,84280,0.6,84329,0.8,178905,0.5,230043,2.25 145,1,2024-09-07 09:08:40:574,599101,599101,0,0,280887694043,2953449114,591742,6219,1140,382,391759,0 145,2,2024-09-07 09:08:41:437,429432,429432,0,0,19910833,0,3903 145,3,2024-09-07 09:08:40:902,1,342,2,0,622,5137,342,0 146,0,2024-09-07 09:08:41:627,87573,0.6,86987,0.8,175341,0.6,232613,2.25 146,1,2024-09-07 09:08:41:591,600160,600160,0,0,280620561629,2952854739,590310,7839,2011,368,391770,0 146,2,2024-09-07 09:08:41:700,430448,430448,0,0,19756940,0,2730 146,3,2024-09-07 09:08:41:277,1,342,0,0,1520,7210,342,0 147,0,2024-09-07 09:08:41:701,87118,0.6,86768,0.7,172850,0.5,231958,2.00 147,1,2024-09-07 09:08:41:384,601972,601972,0,0,281592632932,2930885462,596309,4862,801,368,391791,0 147,2,2024-09-07 09:08:41:024,434047,434047,0,0,17420733,0,2789 147,3,2024-09-07 09:08:40:919,1,342,1,0,730,4979,342,0 0,0,2024-09-07 09:08:51:719,84321,0.7,84314,0.8,178929,0.8,231422,2.00 0,1,2024-09-07 09:08:50:815,602575,602575,0,0,282007678966,2947838282,598443,3887,245,369,391896,0 0,2,2024-09-07 09:08:51:067,433291,433291,0,0,17152802,0,4480 0,3,2024-09-07 09:08:50:979,1,343,13,0,431,4675,343,0 1,0,2024-09-07 09:08:51:760,87063,0.8,86462,0.9,173680,0.9,231941,2.00 1,1,2024-09-07 09:08:50:583,601429,601429,0,0,281736597865,2949307379,595829,4374,1226,370,391857,0 1,2,2024-09-07 09:08:50:657,433134,433134,0,0,16645139,0,3267 1,3,2024-09-07 09:08:51:317,1,343,6,0,262,3954,343,0 2,0,2024-09-07 09:08:51:569,83820,0.6,84093,0.7,167067,0.6,223743,2.00 2,1,2024-09-07 09:08:50:859,603867,603867,0,0,282981450309,2937410072,600967,2607,293,380,391745,0 2,2,2024-09-07 09:08:51:274,438038,438038,0,0,16589303,0,3594 2,3,2024-09-07 09:08:50:697,1,343,0,0,357,3397,343,0 3,0,2024-09-07 09:08:51:755,85558,0.4,85390,0.6,170852,0.3,228011,1.75 3,1,2024-09-07 09:08:51:619,602385,602385,0,0,281920069543,2934837781,597286,4476,623,380,391591,0 3,2,2024-09-07 09:08:51:142,431661,431638,23,0,16967185,0,5851 3,3,2024-09-07 09:08:51:752,1,343,0,0,207,2228,343,0 4,0,2024-09-07 09:08:51:776,83049,0.4,85564,0.5,173858,0.4,227484,1.75 4,1,2024-09-07 09:08:50:598,600948,600948,0,0,280778342876,2957434318,593491,6100,1357,371,391992,0 4,2,2024-09-07 09:08:51:021,430727,430727,0,0,19970193,0,4528 4,3,2024-09-07 09:08:51:027,1,343,43,0,448,4829,343,0 5,0,2024-09-07 09:08:51:388,86742,0.5,87050,0.6,173822,0.4,230941,1.75 5,1,2024-09-07 09:08:50:772,601656,601656,0,0,281749325503,2961606647,594487,5813,1356,367,392005,0 5,2,2024-09-07 09:08:51:837,432341,432341,0,0,19069758,0,2432 5,3,2024-09-07 09:08:51:735,1,343,1,0,457,4970,343,0 6,0,2024-09-07 09:08:50:922,85435,0.4,84946,0.6,169875,0.4,226392,2.00 6,1,2024-09-07 09:08:50:748,601717,601717,0,0,281473943723,2941556272,595105,5527,1085,379,391694,0 6,2,2024-09-07 09:08:51:126,437100,437100,0,0,18278071,0,4816 6,3,2024-09-07 09:08:51:285,1,343,1,0,340,3729,343,0 7,0,2024-09-07 09:08:51:533,82712,0.4,83180,0.6,165711,0.4,221122,1.75 7,1,2024-09-07 09:08:50:850,601009,601009,0,0,281656427637,2956410450,592938,6895,1176,382,391747,0 7,2,2024-09-07 09:08:50:770,432646,432646,0,0,18406650,0,4791 7,3,2024-09-07 09:08:50:855,1,343,1,0,398,3856,343,0 8,0,2024-09-07 09:08:51:334,86566,0.4,86453,0.5,173028,0.3,230323,1.75 8,1,2024-09-07 09:08:51:020,600244,600244,0,0,281995911535,2969172763,590092,7875,2277,366,392144,0 8,2,2024-09-07 09:08:50:790,428267,428267,0,0,22790648,0,3220 8,3,2024-09-07 09:08:50:593,1,343,9,0,538,5945,343,0 9,0,2024-09-07 09:08:51:109,88165,0.4,85620,0.5,179207,0.4,234527,1.75 9,1,2024-09-07 09:08:50:578,600811,600811,0,0,281092295652,2964112120,591739,6947,2125,369,392001,0 9,2,2024-09-07 09:08:51:094,432781,432781,0,0,20106786,0,3360 9,3,2024-09-07 09:08:51:764,1,343,89,0,496,5119,343,0 10,0,2024-09-07 09:08:51:639,87275,0.3,86637,0.5,173971,0.2,231645,1.75 10,1,2024-09-07 09:08:50:584,601990,601990,0,0,281134178026,2945525759,594107,6774,1109,381,391741,0 10,2,2024-09-07 09:08:50:761,436182,436182,0,0,21771780,0,4264 10,3,2024-09-07 09:08:50:875,1,343,5,0,296,3144,343,0 11,0,2024-09-07 09:08:51:007,82382,0.4,79989,0.6,167252,0.3,221622,1.75 11,1,2024-09-07 09:08:50:589,601946,601946,0,0,282446229167,2967339021,591928,7570,2448,383,391756,0 11,2,2024-09-07 09:08:51:127,434579,434579,0,0,19641109,0,4130 11,3,2024-09-07 09:08:51:299,1,343,0,0,843,5365,343,0 12,0,2024-09-07 09:08:50:950,88812,0.4,88844,0.5,177356,0.3,235362,1.75 12,1,2024-09-07 09:08:50:947,602087,602087,0,0,281256969700,2933455925,597223,4372,492,370,391870,0 12,2,2024-09-07 09:08:51:543,432570,432570,0,0,19104248,0,3469 12,3,2024-09-07 09:08:51:068,1,343,1,0,386,5556,343,0 13,0,2024-09-07 09:08:51:473,88371,0.4,87966,0.6,175660,0.4,234371,1.75 13,1,2024-09-07 09:08:51:523,601093,601093,0,0,280643628282,2951702091,595182,4501,1410,382,391740,0 13,2,2024-09-07 09:08:50:601,433808,433808,0,0,17532608,0,3287 13,3,2024-09-07 09:08:51:765,1,343,2,0,522,5525,343,0 14,0,2024-09-07 09:08:50:590,87534,0.4,88236,0.6,174590,0.3,232917,1.75 14,1,2024-09-07 09:08:51:761,605653,605653,0,0,282334217202,2924712690,600966,4328,359,364,391571,0 14,2,2024-09-07 09:08:50:764,434206,434176,30,0,19198726,0,6104 14,3,2024-09-07 09:08:51:115,1,343,1,0,1168,4109,343,0 15,0,2024-09-07 09:08:51:555,83171,0.4,83234,0.6,166612,0.4,222234,1.75 15,1,2024-09-07 09:08:51:621,602813,602813,0,0,282115220242,2937450698,598473,3518,822,381,391619,0 15,2,2024-09-07 09:08:51:003,438190,438190,0,0,15814777,0,3622 15,3,2024-09-07 09:08:51:409,1,343,1,0,1126,6250,343,0 16,0,2024-09-07 09:08:50:943,86459,0.6,86724,0.7,173019,0.6,230564,2.00 16,1,2024-09-07 09:08:50:590,603470,603470,0,0,282620069700,2948995983,599178,3930,362,370,391756,0 16,2,2024-09-07 09:08:51:434,431214,431214,0,0,18045533,0,4719 16,3,2024-09-07 09:08:51:144,1,343,24,0,317,4730,343,0 17,0,2024-09-07 09:08:51:800,88778,0.7,86587,0.8,169399,0.7,230548,2.00 17,1,2024-09-07 09:08:50:601,601146,601146,0,0,280803362619,2946075106,594906,4982,1258,368,392075,0 17,2,2024-09-07 09:08:51:671,434946,434946,0,0,17717202,0,2857 17,3,2024-09-07 09:08:50:594,1,343,14,0,298,5629,343,0 18,0,2024-09-07 09:08:50:955,85694,0.6,85990,0.8,171514,0.6,228955,2.25 18,1,2024-09-07 09:08:51:648,603709,603709,0,0,282159057410,2923656002,600373,3025,311,367,391649,0 18,2,2024-09-07 09:08:51:756,435794,435794,0,0,16422547,0,3541 18,3,2024-09-07 09:08:50:899,1,343,1,0,163,2949,343,0 19,0,2024-09-07 09:08:51:541,83888,0.6,84643,0.7,167325,0.5,223276,2.00 19,1,2024-09-07 09:08:50:569,604026,604026,0,0,282665190029,2932279380,598900,4287,839,367,391777,0 19,2,2024-09-07 09:08:51:756,438724,438724,0,0,15207078,0,3988 19,3,2024-09-07 09:08:51:135,1,343,1,0,524,2591,343,0 20,0,2024-09-07 09:08:51:358,84191,0.5,84128,0.7,168258,0.5,225057,2.00 20,1,2024-09-07 09:08:50:599,601949,601949,0,0,282666071575,2951686484,597608,3934,407,369,391886,0 20,2,2024-09-07 09:08:50:963,431944,431944,0,0,18417673,0,3721 20,3,2024-09-07 09:08:50:606,1,343,12,0,414,5413,343,0 21,0,2024-09-07 09:08:51:183,86000,0.5,85994,0.7,171786,0.5,227814,2.00 21,1,2024-09-07 09:08:51:571,601233,601233,0,0,280570272901,2956093024,593236,6117,1880,368,392016,0 21,2,2024-09-07 09:08:51:070,430131,430131,0,0,21489576,0,3747 21,3,2024-09-07 09:08:51:407,1,343,2,0,713,4064,343,0 22,0,2024-09-07 09:08:51:722,87172,0.5,87536,0.7,175229,0.5,231761,2.00 22,1,2024-09-07 09:08:51:024,602025,602025,0,0,280879284250,2951274061,593533,6672,1820,382,391667,0 22,2,2024-09-07 09:08:50:766,432473,432473,0,0,17524192,0,3134 22,3,2024-09-07 09:08:51:082,1,343,1,0,228,2862,343,0 23,0,2024-09-07 09:08:51:385,85355,0.5,85373,0.7,170986,0.5,227299,2.25 23,1,2024-09-07 09:08:51:006,601917,601917,0,0,282039707425,2961893659,591422,7336,3159,365,391690,0 23,2,2024-09-07 09:08:51:095,437997,437997,0,0,17458039,0,3010 23,3,2024-09-07 09:08:51:756,1,343,0,0,720,4749,343,0 24,0,2024-09-07 09:08:50:848,83473,0.4,83487,0.5,167322,0.3,222513,1.75 24,1,2024-09-07 09:08:50:592,601115,601115,0,0,281564427254,2954516498,594217,5369,1529,368,392269,0 24,2,2024-09-07 09:08:51:095,432546,432546,0,0,21317740,0,3607 24,3,2024-09-07 09:08:51:686,1,343,0,0,468,4570,343,0 25,0,2024-09-07 09:08:51:351,89754,0.4,87474,0.6,171432,0.4,233349,2.00 25,1,2024-09-07 09:08:50:570,602204,602204,0,0,281953959083,2960495991,594322,6509,1373,371,391928,0 25,2,2024-09-07 09:08:51:624,431087,431087,0,0,21433904,0,3978 25,3,2024-09-07 09:08:51:020,1,343,1,0,255,3398,343,0 26,0,2024-09-07 09:08:51:729,87596,0.4,85574,0.6,179422,0.4,233885,1.75 26,1,2024-09-07 09:08:51:547,602272,602272,0,0,281322252259,2948529231,593386,7350,1536,380,391748,0 26,2,2024-09-07 09:08:50:863,433349,433349,0,0,21303820,0,2809 26,3,2024-09-07 09:08:51:713,1,343,1,0,796,4420,343,0 27,0,2024-09-07 09:08:51:729,87545,0.4,87644,0.6,173756,0.4,232469,2.00 27,1,2024-09-07 09:08:51:677,604487,604487,0,0,282379964508,2940248476,599181,4540,766,381,391626,0 27,2,2024-09-07 09:08:50:867,432479,432414,65,0,20805751,0,5699 27,3,2024-09-07 09:08:51:016,1,343,10,0,564,3652,343,0 28,0,2024-09-07 09:08:51:392,83162,0.4,82855,0.6,166130,0.3,221763,2.00 28,1,2024-09-07 09:08:50:799,603510,603510,0,0,283411265583,2952316426,599129,3677,704,383,391698,0 28,2,2024-09-07 09:08:51:766,435952,435952,0,0,18390351,0,2915 28,3,2024-09-07 09:08:51:777,1,343,2,0,502,3735,343,0 29,0,2024-09-07 09:08:51:357,90149,0.4,87770,0.6,172214,0.3,235483,1.75 29,1,2024-09-07 09:08:51:584,605002,605002,0,0,282482800488,2925536808,600660,3657,685,369,391753,0 29,2,2024-09-07 09:08:50:866,430536,430536,0,0,17649686,0,4986 29,3,2024-09-07 09:08:50:971,1,343,2,0,459,4233,343,0 30,0,2024-09-07 09:08:51:459,86455,0.5,83984,0.7,175814,0.5,230445,2.00 30,1,2024-09-07 09:08:50:573,604311,604311,0,0,283330785098,2942627353,599492,4109,710,381,391672,0 30,2,2024-09-07 09:08:51:277,433162,433162,0,0,16610687,0,3161 30,3,2024-09-07 09:08:50:584,1,343,2,0,519,3452,343,0 31,0,2024-09-07 09:08:51:768,86568,0.4,86964,0.6,173865,0.4,231635,2.00 31,1,2024-09-07 09:08:50:570,606474,606474,0,0,284097581087,2913475784,603930,1985,559,356,391712,0 31,2,2024-09-07 09:08:51:287,433637,433637,0,0,18444591,0,3525 31,3,2024-09-07 09:08:51:706,1,343,1,0,220,2926,343,0 32,0,2024-09-07 09:08:51:432,83863,0.3,84183,0.5,168364,0.2,224112,1.50 32,1,2024-09-07 09:08:50:807,604060,604060,0,0,283258229460,2940137178,600916,2698,446,381,391646,0 32,2,2024-09-07 09:08:50:947,438268,438268,0,0,15547929,0,3155 32,3,2024-09-07 09:08:51:014,1,343,1,0,227,2460,343,0 33,0,2024-09-07 09:08:51:499,85830,0.3,85337,0.4,171353,0.2,228496,1.50 33,1,2024-09-07 09:08:50:581,605432,605432,0,0,283499377715,2934392313,601019,3582,831,369,391730,0 33,2,2024-09-07 09:08:50:765,432714,432679,35,0,17593335,0,7012 33,3,2024-09-07 09:08:50:898,1,343,1,0,329,3582,343,0 34,0,2024-09-07 09:08:50:930,85792,0.3,88281,0.5,168819,0.2,226882,1.75 34,1,2024-09-07 09:08:51:048,605977,605977,0,0,284476351469,2922816745,604557,1412,8,367,391562,0 34,2,2024-09-07 09:08:50:766,432573,432573,0,0,16807698,0,3577 34,3,2024-09-07 09:08:51:688,1,343,0,0,299,2498,343,0 35,0,2024-09-07 09:08:50:863,86089,0.4,86455,0.5,173972,0.3,231514,1.75 35,1,2024-09-07 09:08:51:080,603815,603815,0,0,282518462547,2926647456,600207,2827,781,382,391589,0 35,2,2024-09-07 09:08:51:585,434414,434414,0,0,16891598,0,2653 35,3,2024-09-07 09:08:50:911,1,343,1,0,418,4254,343,0 36,0,2024-09-07 09:08:51:584,85439,0.5,85378,0.6,170815,0.4,226116,2.00 36,1,2024-09-07 09:08:50:595,603367,603367,0,0,281879655378,2943810433,596050,5659,1658,366,391759,0 36,2,2024-09-07 09:08:51:754,437880,437880,0,0,19403129,0,3875 36,3,2024-09-07 09:08:50:889,1,343,1,0,416,5359,343,0 37,0,2024-09-07 09:08:51:392,82708,0.5,82573,0.6,165430,0.4,221641,2.00 37,1,2024-09-07 09:08:50:571,602262,602255,0,7,281754574141,2942892419,595480,4689,2086,365,391570,0 37,2,2024-09-07 09:08:51:144,431074,431059,15,0,19088504,0,5815 37,3,2024-09-07 09:08:51:765,1,343,1,0,888,5550,343,0 38,0,2024-09-07 09:08:51:438,85939,0.5,83435,0.7,174626,0.4,228169,2.00 38,1,2024-09-07 09:08:51:605,604395,604395,0,0,282930445216,2944720094,598336,5301,758,368,391821,0 38,2,2024-09-07 09:08:50:765,431530,431483,47,0,19459456,0,6710 38,3,2024-09-07 09:08:51:002,1,343,1,0,689,4713,343,0 39,0,2024-09-07 09:08:51:764,89704,0.6,87712,0.7,170941,0.6,233333,2.00 39,1,2024-09-07 09:08:50:724,602371,602371,0,0,282414353586,2953708823,593936,6974,1461,365,391658,0 39,2,2024-09-07 09:08:51:419,433572,433572,0,0,17535075,0,2689 39,3,2024-09-07 09:08:50:719,1,343,1,0,324,4312,343,0 40,0,2024-09-07 09:08:51:491,86315,0.7,87018,0.9,173601,0.7,231301,2.75 40,1,2024-09-07 09:08:50:585,603310,603310,0,0,281287495160,2945205845,594727,7072,1511,368,391668,0 40,2,2024-09-07 09:08:51:309,435278,435277,1,0,21099119,0,5137 40,3,2024-09-07 09:08:51:158,1,343,1,0,1028,5069,343,0 41,0,2024-09-07 09:08:51:022,82283,1.3,84136,1.2,160794,2.0,219339,2.75 41,1,2024-09-07 09:08:50:769,602006,602006,0,0,281627682899,2945198126,594953,6350,703,369,391742,0 41,2,2024-09-07 09:08:50:765,433520,433520,0,0,20055632,0,3356 41,3,2024-09-07 09:08:51:676,1,343,1,0,366,3498,343,0 42,0,2024-09-07 09:08:51:475,87321,1.0,87465,1.0,175159,1.1,231370,2.75 42,1,2024-09-07 09:08:51:439,601331,601331,0,0,280639206257,2949228786,591786,7787,1758,380,391675,0 42,2,2024-09-07 09:08:51:133,431183,431183,0,0,20250889,0,3790 42,3,2024-09-07 09:08:51:015,1,343,1,0,446,3092,343,0 43,0,2024-09-07 09:08:50:926,86533,0.9,84307,1.0,176744,1.0,231868,2.50 43,1,2024-09-07 09:08:50:585,602481,602481,0,0,282861585498,2954613397,594247,6796,1438,366,391696,0 43,2,2024-09-07 09:08:51:741,433009,433009,0,0,19964918,0,3812 43,3,2024-09-07 09:08:51:754,1,343,6,0,467,4257,343,0 44,0,2024-09-07 09:08:50:871,87364,0.4,87696,0.6,175113,0.4,232973,1.75 44,1,2024-09-07 09:08:50:571,604099,604099,0,0,282069452774,2916875546,599038,4014,1047,356,391809,0 44,2,2024-09-07 09:08:51:271,433536,433536,0,0,16671697,0,4344 44,3,2024-09-07 09:08:51:092,1,343,6,0,817,4502,343,0 45,0,2024-09-07 09:08:51:777,82321,0.5,80289,0.7,168348,0.4,222296,2.00 45,1,2024-09-07 09:08:51:034,603464,603464,0,0,282578248319,2936467509,599030,3958,476,382,391917,0 45,2,2024-09-07 09:08:51:277,437290,437290,0,0,17265558,0,3596 45,3,2024-09-07 09:08:50:945,1,343,0,0,271,3244,343,0 46,0,2024-09-07 09:08:50:957,85838,0.5,85828,0.7,171673,0.5,228144,2.00 46,1,2024-09-07 09:08:50:585,605378,605378,0,0,283526512307,2927707205,601664,3288,426,366,391572,0 46,2,2024-09-07 09:08:50:595,431919,431919,0,0,16204948,0,2920 46,3,2024-09-07 09:08:51:132,1,343,0,0,908,5013,343,0 47,0,2024-09-07 09:08:51:105,86518,0.5,86729,0.6,173660,0.5,229941,2.00 47,1,2024-09-07 09:08:50:571,605372,605372,0,0,282745973965,2925078856,600885,3672,815,366,391641,0 47,2,2024-09-07 09:08:50:918,434225,434225,0,0,16836494,0,4477 47,3,2024-09-07 09:08:51:115,1,343,1,0,529,3724,343,0 48,0,2024-09-07 09:08:51:489,87129,0.3,86782,0.4,173036,0.2,231075,1.50 48,1,2024-09-07 09:08:51:022,603708,603708,0,0,282964353363,2937266172,600150,3255,303,384,391710,0 48,2,2024-09-07 09:08:50:702,433862,433862,0,0,15309176,0,3031 48,3,2024-09-07 09:08:50:755,1,343,0,0,339,2880,343,0 49,0,2024-09-07 09:08:51:710,86565,0.3,85074,0.5,164705,0.2,225854,1.75 49,1,2024-09-07 09:08:51:021,603451,603451,0,0,281773824215,2932951640,599132,3134,1185,382,391809,0 49,2,2024-09-07 09:08:51:798,438123,438123,0,0,16484500,0,4426 49,3,2024-09-07 09:08:51:418,1,343,1,0,408,3574,343,0 50,0,2024-09-07 09:08:51:510,84657,0.3,83373,0.4,168061,0.2,224849,1.75 50,1,2024-09-07 09:08:51:021,605624,605624,0,0,283109315991,2926000058,601805,3445,374,368,391565,0 50,2,2024-09-07 09:08:51:083,432114,432114,0,0,15382058,0,2263 50,3,2024-09-07 09:08:51:299,1,343,1,0,335,3303,343,0 51,0,2024-09-07 09:08:51:686,88161,0.3,86135,0.5,168127,0.2,228703,1.75 51,1,2024-09-07 09:08:51:683,605681,605681,0,0,284147246129,2930589269,602420,2285,976,365,391706,0 51,2,2024-09-07 09:08:51:318,432495,432495,0,0,14855299,0,3337 51,3,2024-09-07 09:08:51:036,1,343,0,0,678,2582,343,0 52,0,2024-09-07 09:08:51:461,87807,0.5,87731,0.7,175236,0.4,232853,2.00 52,1,2024-09-07 09:08:50:581,602753,602753,0,0,281731830101,2950063352,594113,7327,1313,368,391722,0 52,2,2024-09-07 09:08:51:755,430431,430393,38,0,19841184,0,6742 52,3,2024-09-07 09:08:50:685,1,343,23,0,1782,5242,343,0 53,0,2024-09-07 09:08:51:732,85349,0.6,82867,0.8,173346,0.6,226945,2.25 53,1,2024-09-07 09:08:50:773,601379,601379,0,0,281764464982,2957280768,591754,7042,2583,367,391702,0 53,2,2024-09-07 09:08:51:301,438012,438012,0,0,17276438,0,2727 53,3,2024-09-07 09:08:50:700,1,343,1,0,308,3244,343,0 54,0,2024-09-07 09:08:51:619,81957,0.5,82459,0.7,164044,0.4,219730,2.25 54,1,2024-09-07 09:08:50:595,603047,603047,0,0,283145140382,2941645148,597384,5061,602,367,391659,0 54,2,2024-09-07 09:08:50:865,433765,433759,6,0,19573237,0,5382 54,3,2024-09-07 09:08:50:764,1,343,2,0,676,5223,343,0 55,0,2024-09-07 09:08:51:782,84363,0.6,87372,0.7,176142,0.6,229217,2.50 55,1,2024-09-07 09:08:50:767,603340,603340,0,0,282431245778,2938248850,596714,5717,909,365,391731,0 55,2,2024-09-07 09:08:50:738,431006,431006,0,0,18948864,0,3563 55,3,2024-09-07 09:08:50:675,1,343,0,0,304,3840,343,0 56,0,2024-09-07 09:08:51:552,89610,1.2,84548,1.1,174333,1.6,233466,2.50 56,1,2024-09-07 09:08:50:576,600325,600325,0,0,282225710227,2978411625,591588,7106,1631,381,391867,0 56,2,2024-09-07 09:08:51:310,432655,432655,0,0,20034609,0,3567 56,3,2024-09-07 09:08:51:070,1,343,1,0,705,4467,343,0 57,0,2024-09-07 09:08:50:961,86146,1.4,86012,1.2,172396,1.9,230943,3.00 57,1,2024-09-07 09:08:50:993,601683,601683,0,0,281518892197,2950242316,594810,6153,720,366,392032,0 57,2,2024-09-07 09:08:51:328,435452,435452,0,0,21688411,0,3317 57,3,2024-09-07 09:08:51:739,1,343,0,0,359,4006,343,0 58,0,2024-09-07 09:08:50:577,81783,0.8,79573,1.0,166439,0.9,218620,2.50 58,1,2024-09-07 09:08:50:590,602715,602712,0,3,282350537847,2951228640,594589,7041,1082,367,391603,3 58,2,2024-09-07 09:08:51:071,435541,435541,0,0,19860429,0,2549 58,3,2024-09-07 09:08:51:082,1,343,0,0,1043,3693,343,0 59,0,2024-09-07 09:08:51:743,87534,0.8,87113,0.9,173973,0.8,232091,2.75 59,1,2024-09-07 09:08:50:817,602428,602428,0,0,281766963679,2949277591,594550,6357,1521,369,391586,0 59,2,2024-09-07 09:08:50:584,432107,432107,0,0,19616042,0,2867 59,3,2024-09-07 09:08:51:737,1,343,3,0,1015,4367,343,0 60,0,2024-09-07 09:08:51:723,86608,0.5,86771,0.7,174050,0.5,231174,1.75 60,1,2024-09-07 09:08:50:931,604212,604212,0,0,283100243652,2938978978,600098,3468,646,370,392031,0 60,2,2024-09-07 09:08:51:147,432629,432629,0,0,18960571,0,3811 60,3,2024-09-07 09:08:51:276,1,343,2,0,409,3989,343,0 61,0,2024-09-07 09:08:51:502,86818,0.6,87329,0.8,173697,0.6,231436,2.00 61,1,2024-09-07 09:08:50:781,602020,602020,0,0,281756653873,2953725019,594858,5837,1325,382,392127,0 61,2,2024-09-07 09:08:51:122,434079,434012,67,0,19296227,0,6411 61,3,2024-09-07 09:08:51:687,1,343,1,0,479,5102,343,0 62,0,2024-09-07 09:08:51:722,84395,0.5,86249,0.7,164382,0.5,223861,2.00 62,1,2024-09-07 09:08:51:124,606339,606333,0,6,284001234568,2931061298,602755,3319,259,365,391715,6 62,2,2024-09-07 09:08:51:644,436360,436359,1,0,18912017,0,5555 62,3,2024-09-07 09:08:51:143,1,343,1,0,465,2609,343,0 63,0,2024-09-07 09:08:51:453,85821,0.4,85742,0.6,171905,0.3,228774,1.75 63,1,2024-09-07 09:08:50:805,604520,604514,0,6,283290326644,2940684303,600582,3544,388,381,391800,6 63,2,2024-09-07 09:08:50:766,431676,431676,0,0,17099523,0,4369 63,3,2024-09-07 09:08:51:735,1,343,0,0,667,3852,343,0 64,0,2024-09-07 09:08:51:542,85078,0.5,85067,0.7,170214,0.5,225969,2.00 64,1,2024-09-07 09:08:50:763,603567,603567,0,0,281954242970,2938082965,597922,3985,1660,370,391783,0 64,2,2024-09-07 09:08:51:147,435561,435542,19,0,16790964,0,6121 64,3,2024-09-07 09:08:51:146,1,343,16,0,265,3178,343,0 65,0,2024-09-07 09:08:51:688,85878,0.7,86215,0.8,172227,0.7,229366,2.25 65,1,2024-09-07 09:08:50:878,602698,602698,0,0,281490537214,2940619130,598614,3633,451,382,391901,0 65,2,2024-09-07 09:08:51:694,435211,435211,0,0,18558763,0,3367 65,3,2024-09-07 09:08:51:687,1,343,1,0,163,3032,343,0 66,0,2024-09-07 09:08:51:762,84716,0.5,84676,0.7,169524,0.4,224972,2.00 66,1,2024-09-07 09:08:51:295,603663,603663,0,0,282923230350,2940027708,600101,3240,322,380,391653,0 66,2,2024-09-07 09:08:51:132,439431,439431,0,0,16680439,0,4956 66,3,2024-09-07 09:08:51:093,1,343,1,0,291,3229,343,0 67,0,2024-09-07 09:08:51:420,83481,0.5,82893,0.7,166490,0.4,222195,2.00 67,1,2024-09-07 09:08:50:766,604104,604103,0,1,282032171637,2935839896,599937,3415,751,380,391787,1 67,2,2024-09-07 09:08:50:584,433618,433618,0,0,16031776,0,2889 67,3,2024-09-07 09:08:51:755,1,343,2,0,338,2911,343,0 68,0,2024-09-07 09:08:50:589,86789,0.6,86421,0.7,172360,0.6,230163,2.00 68,1,2024-09-07 09:08:50:587,602286,602286,0,0,281860847857,2951166305,597471,3611,1204,381,391953,0 68,2,2024-09-07 09:08:51:065,430542,430477,65,0,21233444,0,6698 68,3,2024-09-07 09:08:50:751,1,343,1,0,417,3710,343,0 69,0,2024-09-07 09:08:51:726,87485,0.8,88028,0.9,175184,1.0,232458,2.25 69,1,2024-09-07 09:08:51:017,600396,600396,0,0,281566386173,2970252273,592378,5908,2110,384,391994,0 69,2,2024-09-07 09:08:51:737,432686,432686,0,0,21488330,0,3722 69,3,2024-09-07 09:08:50:762,1,343,1,0,698,4895,343,0 70,0,2024-09-07 09:08:51:539,86201,0.7,86240,1.0,173571,0.6,229866,2.25 70,1,2024-09-07 09:08:50:805,603837,603837,0,0,283230714312,2939134430,598985,4316,536,366,391725,0 70,2,2024-09-07 09:08:51:325,435301,435301,0,0,19443071,0,4044 70,3,2024-09-07 09:08:50:750,1,343,1,0,854,3821,343,0 71,0,2024-09-07 09:08:51:359,82139,0.8,81798,0.9,164506,0.9,220265,2.50 71,1,2024-09-07 09:08:51:603,602735,602735,0,0,282414224255,2945605280,596123,5956,656,368,391738,0 71,2,2024-09-07 09:08:51:082,434667,434667,0,0,19176944,0,4042 71,3,2024-09-07 09:08:51:755,1,343,1,0,644,4679,343,0 72,0,2024-09-07 09:08:51:044,91003,0.6,88989,0.7,173560,0.6,235725,2.00 72,1,2024-09-07 09:08:51:029,602091,602091,0,0,281619715541,2950554087,593855,6539,1697,369,391819,0 72,2,2024-09-07 09:08:51:755,430523,430523,0,0,21931364,0,3983 72,3,2024-09-07 09:08:51:755,1,343,3,0,564,5391,343,0 73,0,2024-09-07 09:08:51:129,85457,0.4,87530,0.6,179351,0.4,233001,2.00 73,1,2024-09-07 09:08:50:766,603320,603320,0,0,282490808544,2936366803,598998,3992,330,367,391858,0 73,2,2024-09-07 09:08:51:754,432854,432854,0,0,21130553,0,3701 73,3,2024-09-07 09:08:50:969,1,343,0,0,274,4565,343,0 74,0,2024-09-07 09:08:51:322,87811,0.5,89936,0.6,171741,0.4,232739,2.25 74,1,2024-09-07 09:08:50:644,602879,602879,0,0,282228951778,2945887441,596798,4818,1263,381,391681,0 74,2,2024-09-07 09:08:51:003,433931,433931,0,0,20095609,0,4253 74,3,2024-09-07 09:08:51:449,1,343,1,0,522,4838,343,0 75,0,2024-09-07 09:08:51:772,83513,0.4,83150,0.7,166375,0.4,223124,2.25 75,1,2024-09-07 09:08:51:585,601906,601906,0,0,281276296405,2938543587,595547,5534,825,380,391739,0 75,2,2024-09-07 09:08:51:351,436165,436165,0,0,20305136,0,4766 75,3,2024-09-07 09:08:51:067,1,343,9,0,702,4878,343,0 76,0,2024-09-07 09:08:50:596,86161,0.6,85444,0.8,171264,0.6,229562,2.25 76,1,2024-09-07 09:08:50:806,603171,603171,0,0,281986742803,2940382219,599251,3330,590,382,391692,0 76,2,2024-09-07 09:08:51:078,432847,432846,1,0,19206171,0,5144 76,3,2024-09-07 09:08:51:143,1,343,1,0,175,3286,343,0 77,0,2024-09-07 09:08:51:704,86214,0.7,86347,0.8,172832,0.7,229943,2.00 77,1,2024-09-07 09:08:50:823,602851,602851,0,0,282159522801,2947795923,597660,4702,489,381,391869,0 77,2,2024-09-07 09:08:51:296,432859,432859,0,0,18735934,0,3890 77,3,2024-09-07 09:08:51:094,1,343,6,0,401,3854,343,0 78,0,2024-09-07 09:08:51:717,86898,0.5,86473,0.6,173640,0.4,230394,2.00 78,1,2024-09-07 09:08:50:609,603515,603515,0,0,281466896863,2930016478,597651,4719,1145,367,391670,0 78,2,2024-09-07 09:08:51:408,434744,434744,0,0,16263768,0,3855 78,3,2024-09-07 09:08:51:133,1,343,0,0,181,3245,343,0 79,0,2024-09-07 09:08:51:354,81691,0.4,83764,0.6,171221,0.3,223009,2.25 79,1,2024-09-07 09:08:50:571,605194,605194,0,0,282894760532,2928866597,600638,3923,633,368,391682,0 79,2,2024-09-07 09:08:51:082,438819,438819,0,0,17276931,0,4195 79,3,2024-09-07 09:08:50:750,1,343,1,0,418,4330,343,0 80,0,2024-09-07 09:08:51:123,84279,0.5,86757,0.6,166153,0.4,224561,2.00 80,1,2024-09-07 09:08:51:627,603452,603452,0,0,282267235512,2934552230,600100,3173,179,368,391791,0 80,2,2024-09-07 09:08:51:101,433784,433784,0,0,16366017,0,4433 80,3,2024-09-07 09:08:50:581,1,343,1,0,190,4074,343,0 81,0,2024-09-07 09:08:51:534,85857,0.6,87856,0.7,167761,0.6,227489,2.00 81,1,2024-09-07 09:08:51:652,602352,602352,0,0,280991867148,2935723922,597495,4358,499,382,391879,0 81,2,2024-09-07 09:08:51:145,431839,431776,63,0,18256174,0,5932 81,3,2024-09-07 09:08:51:122,1,343,1,0,374,3953,343,0 82,0,2024-09-07 09:08:51:536,87058,0.5,87553,0.7,175518,0.4,232444,2.00 82,1,2024-09-07 09:08:50:585,604464,604460,0,4,282803776508,2942280319,600565,3098,797,381,391768,4 82,2,2024-09-07 09:08:51:693,435438,435438,0,0,15666003,0,3986 82,3,2024-09-07 09:08:51:756,1,343,1,0,363,3444,343,0 83,0,2024-09-07 09:08:51:522,86103,0.5,85865,0.7,171123,0.5,227157,2.00 83,1,2024-09-07 09:08:50:554,602857,602857,0,0,282159835518,2940881299,598546,3930,381,382,391709,0 83,2,2024-09-07 09:08:50:770,437067,437067,0,0,16229843,0,3393 83,3,2024-09-07 09:08:50:749,1,343,2,0,1260,4893,343,0 84,0,2024-09-07 09:08:51:822,82585,0.6,82479,0.8,165062,0.5,221110,2.25 84,1,2024-09-07 09:08:51:076,602266,602266,0,0,281585049200,2941706351,596505,5032,729,367,391967,0 84,2,2024-09-07 09:08:50:583,433494,433494,0,0,20500479,0,4757 84,3,2024-09-07 09:08:51:143,1,343,3,0,908,5167,343,0 85,0,2024-09-07 09:08:51:028,84089,0.7,84102,0.8,178582,0.7,230578,2.25 85,1,2024-09-07 09:08:50:575,600740,600740,0,0,281070812270,2965067187,592211,7157,1372,381,392006,0 85,2,2024-09-07 09:08:50:865,431638,431638,0,0,20212963,0,3656 85,3,2024-09-07 09:08:50:741,1,343,14,0,789,4477,343,0 86,0,2024-09-07 09:08:50:889,87706,0.7,90293,0.8,172692,0.7,233504,2.25 86,1,2024-09-07 09:08:50:840,602648,602648,0,0,281993301004,2951779936,595756,5826,1066,366,391961,0 86,2,2024-09-07 09:08:50:861,432029,432028,1,0,21237233,0,5004 86,3,2024-09-07 09:08:50:594,1,343,1,0,308,4652,343,0 87,0,2024-09-07 09:08:51:354,86922,0.8,86793,0.9,174204,1.0,232828,2.25 87,1,2024-09-07 09:08:50:570,602261,602261,0,0,282277055245,2951646756,596048,5600,613,366,392076,0 87,2,2024-09-07 09:08:51:073,433979,433979,0,0,19329343,0,4045 87,3,2024-09-07 09:08:51:794,1,343,0,0,473,5020,343,0 88,0,2024-09-07 09:08:51:460,82977,0.4,83568,0.6,166588,0.4,221463,1.75 88,1,2024-09-07 09:08:50:572,600966,600966,0,0,281653394516,2951993409,593266,6035,1665,365,392084,0 88,2,2024-09-07 09:08:50:699,436519,436519,0,0,21494595,0,3583 88,3,2024-09-07 09:08:51:273,1,343,1,0,435,3697,343,0 89,0,2024-09-07 09:08:51:808,90306,0.5,87310,0.6,173144,0.4,235599,1.75 89,1,2024-09-07 09:08:50:623,600899,600899,0,0,281355166130,2959935490,593141,6484,1274,382,391866,0 89,2,2024-09-07 09:08:51:140,430609,430609,0,0,20470899,0,2910 89,3,2024-09-07 09:08:51:793,1,343,2,0,385,6257,343,0 90,0,2024-09-07 09:08:51:645,84185,0.5,86402,0.7,176393,0.4,230432,2.00 90,1,2024-09-07 09:08:50:595,602449,602449,0,0,281705160207,2953303220,596244,5703,502,380,391825,0 90,2,2024-09-07 09:08:51:406,431118,431118,0,0,21671394,0,3060 90,3,2024-09-07 09:08:50:930,1,343,1,0,246,3719,343,0 91,0,2024-09-07 09:08:50:956,87428,0.5,84574,0.6,176741,0.4,231991,1.75 91,1,2024-09-07 09:08:50:562,600890,600890,0,0,281673442878,2963005171,593064,6782,1044,381,392047,0 91,2,2024-09-07 09:08:51:331,434150,434150,0,0,19621341,0,2896 91,3,2024-09-07 09:08:50:599,1,343,0,0,216,3233,343,0 92,0,2024-09-07 09:08:51:548,84626,0.4,86745,0.6,165790,0.4,224235,1.75 92,1,2024-09-07 09:08:50:587,602319,602319,0,0,281637084962,2942713501,597507,4125,687,382,392136,0 92,2,2024-09-07 09:08:51:365,438369,438369,0,0,17643364,0,3259 92,3,2024-09-07 09:08:51:020,1,343,1,0,167,2898,343,0 93,0,2024-09-07 09:08:51:077,86084,0.4,88219,0.6,168588,0.3,228779,1.75 93,1,2024-09-07 09:08:50:819,602604,602604,0,0,282133846043,2945056858,596485,5089,1030,366,391776,0 93,2,2024-09-07 09:08:50:958,430934,430934,0,0,20413264,0,4845 93,3,2024-09-07 09:08:51:424,1,343,2,0,190,3335,343,0 94,0,2024-09-07 09:08:51:613,85226,0.4,86017,0.5,171566,0.4,227485,1.75 94,1,2024-09-07 09:08:50:569,602873,602873,0,0,282053554960,2943568964,598834,3849,190,381,391850,0 94,2,2024-09-07 09:08:50:766,432169,432169,0,0,17619414,0,2443 94,3,2024-09-07 09:08:51:694,1,343,2,0,264,4180,343,0 95,0,2024-09-07 09:08:51:354,86560,0.4,86709,0.5,173778,0.3,231250,1.75 95,1,2024-09-07 09:08:50:862,604300,604300,0,0,282366873588,2936674350,599328,4573,399,367,391713,0 95,2,2024-09-07 09:08:51:016,434137,434137,0,0,17457331,0,3308 95,3,2024-09-07 09:08:51:709,1,343,1,0,718,5355,343,0 96,0,2024-09-07 09:08:51:047,85341,0.4,85505,0.5,170596,0.3,225860,1.75 96,1,2024-09-07 09:08:51:601,602446,602446,0,0,282457434074,2946463806,597919,3731,796,384,391955,0 96,2,2024-09-07 09:08:51:274,438044,438044,0,0,17372595,0,4042 96,3,2024-09-07 09:08:51:147,1,343,12,0,411,3730,343,0 97,0,2024-09-07 09:08:51:317,83171,0.3,82941,0.5,166554,0.3,221823,1.50 97,1,2024-09-07 09:08:50:771,604444,604444,0,0,283290086283,2935884097,600563,3209,672,367,392140,0 97,2,2024-09-07 09:08:50:616,433729,433729,0,0,16771763,0,3036 97,3,2024-09-07 09:08:50:574,1,343,7,0,214,4027,343,0 98,0,2024-09-07 09:08:51:711,86620,0.3,86361,0.5,173438,0.2,230174,1.50 98,1,2024-09-07 09:08:50:575,603706,603706,0,0,282143274682,2934024625,601039,2560,107,382,391997,0 98,2,2024-09-07 09:08:50:773,432713,432713,0,0,16668868,0,3080 98,3,2024-09-07 09:08:50:698,1,343,1,0,840,5421,343,0 99,0,2024-09-07 09:08:51:511,87940,0.3,88502,0.5,176112,0.3,234672,1.75 99,1,2024-09-07 09:08:51:728,603587,603587,0,0,282234683541,2938229076,599445,3387,755,381,392069,0 99,2,2024-09-07 09:08:51:426,435007,435007,0,0,18827819,0,3424 99,3,2024-09-07 09:08:50:594,1,343,1,0,199,3181,343,0 100,0,2024-09-07 09:08:51:483,86840,0.7,86763,0.9,173486,0.8,231709,2.25 100,1,2024-09-07 09:08:50:581,600235,600235,0,0,280510664382,2962349714,591764,6975,1496,381,391989,0 100,2,2024-09-07 09:08:51:847,434696,434685,11,0,19815947,0,5417 100,3,2024-09-07 09:08:51:748,1,343,11,0,559,5954,343,0 101,0,2024-09-07 09:08:51:704,84486,0.8,82359,0.9,161428,0.6,221875,2.25 101,1,2024-09-07 09:08:50:555,600281,600281,0,0,281450415155,2962654559,591191,7066,2024,368,391771,0 101,2,2024-09-07 09:08:51:762,432881,432881,0,0,22909286,0,4644 101,3,2024-09-07 09:08:50:948,1,343,2,0,579,4502,343,0 102,0,2024-09-07 09:08:50:952,85816,0.7,88778,0.8,179268,0.7,234517,2.00 102,1,2024-09-07 09:08:51:143,601109,601109,0,0,281151785845,2951931989,593789,6288,1032,369,391891,0 102,2,2024-09-07 09:08:51:737,432230,432176,54,0,19171798,0,6768 102,3,2024-09-07 09:08:51:618,1,343,1,0,410,3658,343,0 103,0,2024-09-07 09:08:51:597,90466,0.6,90400,0.8,170483,0.7,234846,2.00 103,1,2024-09-07 09:08:51:629,600446,600446,0,0,280868586832,2961264716,591626,6824,1996,381,391829,0 103,2,2024-09-07 09:08:50:592,431669,431669,0,0,20225259,0,3173 103,3,2024-09-07 09:08:50:772,1,343,1,0,916,4245,343,0 104,0,2024-09-07 09:08:51:005,86398,0.7,86764,0.9,172385,0.7,231973,2.25 104,1,2024-09-07 09:08:51:606,602013,602013,0,0,281089559952,2955529664,593099,7216,1698,365,392168,0 104,2,2024-09-07 09:08:51:688,432805,432805,0,0,21262845,0,3941 104,3,2024-09-07 09:08:51:419,1,343,1,0,1245,7530,343,0 105,0,2024-09-07 09:08:51:042,82446,0.8,80169,1.0,167884,0.9,221499,2.75 105,1,2024-09-07 09:08:50:564,602233,602233,0,0,281561782533,2953630876,594076,6822,1335,366,391797,0 105,2,2024-09-07 09:08:51:332,435984,435984,0,0,20588478,0,3509 105,3,2024-09-07 09:08:51:316,1,343,0,0,399,5590,343,0 106,0,2024-09-07 09:08:50:964,83420,0.8,85366,0.9,174741,0.9,228957,2.50 106,1,2024-09-07 09:08:51:755,600988,600988,0,0,281611831316,2961225959,591398,8297,1293,369,391914,0 106,2,2024-09-07 09:08:50:757,429382,429382,0,0,20206758,0,2795 106,3,2024-09-07 09:08:50:679,1,343,1,0,470,4349,343,0 107,0,2024-09-07 09:08:51:111,86048,1.2,85998,1.0,172073,1.7,229640,2.25 107,1,2024-09-07 09:08:50:584,600591,600591,0,0,281116596425,2962137495,592610,7067,914,381,392234,0 107,2,2024-09-07 09:08:51:299,431043,431042,1,0,20768966,0,5024 107,3,2024-09-07 09:08:51:756,1,343,0,0,353,4814,343,0 108,0,2024-09-07 09:08:51:775,86429,0.5,86927,0.6,172879,0.4,230936,1.75 108,1,2024-09-07 09:08:51:315,602994,602994,0,0,282653486086,2948179269,597905,4571,518,368,391857,0 108,2,2024-09-07 09:08:51:756,432860,432860,0,0,19726642,0,4246 108,3,2024-09-07 09:08:51:334,1,343,1,0,749,6972,343,0 109,0,2024-09-07 09:08:51:748,84881,0.4,84021,0.5,168291,0.3,225531,1.75 109,1,2024-09-07 09:08:50:584,600949,600949,0,0,281798781788,2955425731,595741,4543,665,383,392132,0 109,2,2024-09-07 09:08:50:936,435834,435834,0,0,19327354,0,3617 109,3,2024-09-07 09:08:51:149,1,343,13,0,379,4096,343,0 110,0,2024-09-07 09:08:51:805,84254,0.4,81949,0.6,171785,0.3,225295,1.75 110,1,2024-09-07 09:08:51:647,603851,603851,0,0,282471338407,2931277482,599849,3025,977,369,392045,0 110,2,2024-09-07 09:08:51:329,431726,431726,0,0,18924347,0,4067 110,3,2024-09-07 09:08:50:695,1,343,9,0,722,5174,343,0 111,0,2024-09-07 09:08:51:430,86277,0.4,85588,0.6,171511,0.4,228879,1.75 111,1,2024-09-07 09:08:51:005,604367,604367,0,0,284226795805,2948948902,600987,3028,352,382,391690,0 111,2,2024-09-07 09:08:51:115,431426,431426,0,0,18329853,0,4823 111,3,2024-09-07 09:08:50:913,1,343,3,0,379,4122,343,0 112,0,2024-09-07 09:08:50:912,88202,0.3,87594,0.4,175691,0.2,233193,1.50 112,1,2024-09-07 09:08:50:840,604141,604141,0,0,282877095383,2933406929,600680,2877,584,380,391624,0 112,2,2024-09-07 09:08:51:135,434342,434341,1,0,16644000,0,5036 112,3,2024-09-07 09:08:50:593,1,343,1,0,282,3479,343,0 113,0,2024-09-07 09:08:50:901,85611,0.3,85770,0.4,172133,0.2,228549,1.50 113,1,2024-09-07 09:08:51:685,606043,606043,0,0,283177979383,2921023100,602762,2697,584,366,391661,0 113,2,2024-09-07 09:08:51:308,438705,438705,0,0,15365947,0,3813 113,3,2024-09-07 09:08:50:685,1,343,1,0,340,4061,343,0 114,0,2024-09-07 09:08:50:899,83443,0.3,84015,0.5,166799,0.2,223182,1.75 114,1,2024-09-07 09:08:50:724,603872,603872,0,0,282869089937,2938832812,598745,3533,1594,381,391556,0 114,2,2024-09-07 09:08:50:881,434436,434435,1,0,16941828,0,5069 114,3,2024-09-07 09:08:51:277,1,343,1,0,395,2979,343,0 115,0,2024-09-07 09:08:50:576,87083,0.3,87853,0.4,175499,0.2,232850,1.50 115,1,2024-09-07 09:08:50:572,603355,603355,0,0,282798342494,2936179189,598491,4024,840,382,391757,0 115,2,2024-09-07 09:08:51:127,433742,433742,0,0,15587437,0,3453 115,3,2024-09-07 09:08:51:003,1,343,2,0,159,1985,343,0 116,0,2024-09-07 09:08:51:739,87552,0.8,87367,0.9,175331,0.9,234524,2.00 116,1,2024-09-07 09:08:50:844,600349,600349,0,0,281471943191,2968344696,592746,5335,2268,380,391878,0 116,2,2024-09-07 09:08:51:765,432470,432470,0,0,21272524,0,3529 116,3,2024-09-07 09:08:50:922,1,343,2,0,415,4255,343,0 117,0,2024-09-07 09:08:50:964,87446,0.7,86957,0.8,174040,0.8,233212,2.00 117,1,2024-09-07 09:08:51:592,601697,601697,0,0,281316746148,2944438339,595387,5641,669,370,392033,0 117,2,2024-09-07 09:08:51:119,437334,437334,0,0,17720580,0,3700 117,3,2024-09-07 09:08:51:069,1,343,2,0,490,4985,343,0 118,0,2024-09-07 09:08:51:762,80611,0.5,82698,0.7,168867,0.4,220602,2.00 118,1,2024-09-07 09:08:50:591,601441,601441,0,0,281183761257,2955541015,592642,6901,1898,366,391907,0 118,2,2024-09-07 09:08:51:586,435285,435285,0,0,19581216,0,2781 118,3,2024-09-07 09:08:51:764,1,343,1,0,235,3759,343,0 119,0,2024-09-07 09:08:51:347,87340,0.6,87762,0.7,175477,0.6,234103,2.00 119,1,2024-09-07 09:08:50:551,602926,602926,0,0,282151325180,2950414834,596354,5735,837,367,391780,0 119,2,2024-09-07 09:08:51:277,432153,432153,0,0,18239567,0,4174 119,3,2024-09-07 09:08:51:344,1,343,8,0,563,5185,343,0 120,0,2024-09-07 09:08:51:629,86418,0.7,86097,0.9,172342,0.7,231043,2.25 120,1,2024-09-07 09:08:50:868,602019,602019,0,0,281580023411,2955401054,595598,5865,556,368,391961,0 120,2,2024-09-07 09:08:50:771,432144,432143,1,0,21522339,0,5281 120,3,2024-09-07 09:08:51:312,1,343,1,0,241,4268,343,0 121,0,2024-09-07 09:08:51:699,86631,1.0,86830,1.0,174263,1.3,231578,2.25 121,1,2024-09-07 09:08:51:663,602776,602776,0,0,281849624368,2948816884,596864,5475,437,367,391840,0 121,2,2024-09-07 09:08:51:129,432955,432955,0,0,20779212,0,4127 121,3,2024-09-07 09:08:50:735,1,343,3,0,269,3913,343,0 122,0,2024-09-07 09:08:51:779,83663,0.7,81605,0.8,171042,0.8,224136,2.00 122,1,2024-09-07 09:08:50:859,601613,601613,0,0,281443125357,2953904357,593151,7200,1262,366,392130,0 122,2,2024-09-07 09:08:51:325,436986,436913,73,0,23314108,0,5989 122,3,2024-09-07 09:08:50:593,1,343,1,0,512,6526,343,0 123,0,2024-09-07 09:08:50:960,85186,0.7,83368,0.8,173818,0.7,228313,2.00 123,1,2024-09-07 09:08:50:563,601143,601143,0,0,281991281580,2973793550,589696,9320,2127,369,392039,0 123,2,2024-09-07 09:08:51:020,429370,429369,1,0,19992518,0,5215 123,3,2024-09-07 09:08:51:137,1,343,8,0,168,3906,343,0 124,0,2024-09-07 09:08:50:936,88206,0.4,88277,0.5,166387,0.3,228385,1.75 124,1,2024-09-07 09:08:51:035,603644,603644,0,0,281745150399,2931913741,598925,3809,910,367,392178,0 124,2,2024-09-07 09:08:51:016,433339,433286,53,0,17927340,0,6487 124,3,2024-09-07 09:08:50:759,1,343,0,0,490,3487,343,0 125,0,2024-09-07 09:08:51:421,87046,0.4,86523,0.6,174130,0.3,231548,1.75 125,1,2024-09-07 09:08:50:861,602310,602310,0,0,281461150011,2939294547,597429,4237,644,383,391702,0 125,2,2024-09-07 09:08:51:128,434558,434558,0,0,18618551,0,4534 125,3,2024-09-07 09:08:51:127,1,343,3,0,709,4623,343,0 126,0,2024-09-07 09:08:51:415,85166,0.4,87420,0.6,167652,0.4,226734,1.75 126,1,2024-09-07 09:08:50:561,604176,604176,0,0,282356351057,2926377435,600438,3454,284,365,391987,0 126,2,2024-09-07 09:08:50:610,438126,438126,0,0,18608450,0,4539 126,3,2024-09-07 09:08:50:914,1,343,2,0,207,4272,343,0 127,0,2024-09-07 09:08:51:614,83062,0.3,83620,0.5,166463,0.3,221897,1.75 127,1,2024-09-07 09:08:50:569,603314,603314,0,0,281937648845,2929064308,597913,4541,860,364,392187,0 127,2,2024-09-07 09:08:50:638,432244,432244,0,0,17112296,0,3897 127,3,2024-09-07 09:08:51:270,1,343,2,0,968,4028,343,0 128,0,2024-09-07 09:08:51:530,86753,0.3,86983,0.4,174074,0.2,230492,1.50 128,1,2024-09-07 09:08:51:605,603659,603659,0,0,282667426251,2934795817,599558,3730,371,367,391798,0 128,2,2024-09-07 09:08:51:389,433561,433561,0,0,16013281,0,2915 128,3,2024-09-07 09:08:50:767,1,343,0,0,1082,5926,343,0 129,0,2024-09-07 09:08:51:026,88592,0.3,88097,0.5,176803,0.3,234728,1.75 129,1,2024-09-07 09:08:50:591,600933,600933,0,0,282049158938,2952804598,595285,4391,1257,379,391835,0 129,2,2024-09-07 09:08:50:689,434189,434189,0,0,16914737,0,4031 129,3,2024-09-07 09:08:50:696,1,343,1,0,469,4496,343,0 130,0,2024-09-07 09:08:51:714,87704,0.5,87159,0.6,175350,0.5,232968,1.75 130,1,2024-09-07 09:08:50:584,603474,603474,0,0,281939191057,2931247824,600056,3139,279,381,391825,0 130,2,2024-09-07 09:08:51:132,437308,437308,0,0,16823907,0,4067 130,3,2024-09-07 09:08:51:294,1,343,1,0,450,4168,343,0 131,0,2024-09-07 09:08:51:935,82546,0.3,83106,0.5,166909,0.3,221564,1.50 131,1,2024-09-07 09:08:51:834,603660,603660,0,0,282072473415,2943064509,599181,3732,747,381,391865,0 131,2,2024-09-07 09:08:50:590,437275,437275,0,0,15542742,0,2415 131,3,2024-09-07 09:08:51:688,1,343,3,0,392,3534,343,0 132,0,2024-09-07 09:08:51:414,88156,0.4,88939,0.6,177144,0.4,235266,1.75 132,1,2024-09-07 09:08:50:588,600436,600436,0,0,281187215573,2960793026,591794,7175,1467,381,392097,0 132,2,2024-09-07 09:08:50:731,431570,431570,0,0,20732257,0,4606 132,3,2024-09-07 09:08:51:691,1,343,0,0,804,6209,343,0 133,0,2024-09-07 09:08:51:523,85499,0.5,87362,0.6,179476,0.4,233507,2.00 133,1,2024-09-07 09:08:50:593,600493,600493,0,0,280872072820,2960108791,592313,7096,1084,383,391914,0 133,2,2024-09-07 09:08:51:089,431950,431950,0,0,22055212,0,4315 133,3,2024-09-07 09:08:51:299,1,343,4,0,479,3654,343,0 134,0,2024-09-07 09:08:50:965,87565,0.5,87408,0.7,174837,0.5,233259,2.00 134,1,2024-09-07 09:08:50:583,601124,601124,0,0,281054176217,2951034400,592803,6465,1856,366,391718,0 134,2,2024-09-07 09:08:51:760,433844,433844,0,0,18416414,0,3847 134,3,2024-09-07 09:08:50:757,1,343,2,0,739,4439,343,0 135,0,2024-09-07 09:08:51:097,80573,0.6,80542,0.8,170899,0.7,221162,2.00 135,1,2024-09-07 09:08:51:588,601846,601846,0,0,282028913570,2968189582,593413,7196,1237,380,391805,0 135,2,2024-09-07 09:08:50:701,437585,437585,0,0,20024703,0,3981 135,3,2024-09-07 09:08:51:001,1,343,0,0,299,2654,343,0 136,0,2024-09-07 09:08:51:613,86678,0.6,86885,0.7,172796,0.5,230835,2.00 136,1,2024-09-07 09:08:51:446,601391,601391,0,0,281159995918,2952625581,594370,6324,697,382,391685,0 136,2,2024-09-07 09:08:51:137,431539,431539,0,0,19700494,0,3506 136,3,2024-09-07 09:08:51:114,1,343,4,0,637,3919,343,0 137,0,2024-09-07 09:08:50:936,88858,0.7,86449,0.8,169801,0.8,231006,2.00 137,1,2024-09-07 09:08:50:581,601125,601125,0,0,281579360470,2956932220,591504,7739,1882,366,391898,0 137,2,2024-09-07 09:08:51:707,431717,431717,0,0,22127183,0,3185 137,3,2024-09-07 09:08:50:778,1,343,1,0,382,4083,343,0 138,0,2024-09-07 09:08:51:762,86043,1.3,86131,1.1,172955,1.8,229884,2.25 138,1,2024-09-07 09:08:51:685,601997,601997,0,0,281918194522,2960793563,592950,7451,1596,368,391954,0 138,2,2024-09-07 09:08:50:591,433490,433490,0,0,19807624,0,4988 138,3,2024-09-07 09:08:50:613,1,343,19,0,1160,4870,343,0 139,0,2024-09-07 09:08:51:413,83315,1.2,83632,1.0,167634,1.7,223625,2.25 139,1,2024-09-07 09:08:50:601,599372,599372,0,0,279725553186,2972609384,588006,8722,2644,381,391892,0 139,2,2024-09-07 09:08:50:756,434731,434731,0,0,21666230,0,3097 139,3,2024-09-07 09:08:51:683,1,343,2,0,257,3875,343,0 140,0,2024-09-07 09:08:51:594,84604,0.3,84108,0.5,169083,0.2,225596,1.75 140,1,2024-09-07 09:08:51:553,605782,605782,0,0,283562970751,2924542370,602577,2767,438,365,391606,0 140,2,2024-09-07 09:08:50:689,432168,432167,1,0,17629830,0,5036 140,3,2024-09-07 09:08:50:778,1,343,11,0,247,2749,343,0 141,0,2024-09-07 09:08:51:707,86034,0.4,88372,0.5,168740,0.3,228856,1.75 141,1,2024-09-07 09:08:50:865,603934,603934,0,0,282681772858,2937324644,599371,3701,862,379,391614,0 141,2,2024-09-07 09:08:51:688,432310,432310,0,0,17220376,0,3360 141,3,2024-09-07 09:08:51:047,1,343,4,0,391,3913,343,0 142,0,2024-09-07 09:08:51:318,88390,0.3,87529,0.5,175046,0.3,233687,1.75 142,1,2024-09-07 09:08:50:586,603784,603784,0,0,281816038851,2938839610,599817,3615,352,382,392102,0 142,2,2024-09-07 09:08:51:306,433370,433338,32,0,18674717,0,6028 142,3,2024-09-07 09:08:51:746,1,343,4,0,484,4172,343,0 143,0,2024-09-07 09:08:51:368,86105,0.4,85858,0.6,172136,0.4,228890,1.75 143,1,2024-09-07 09:08:50:565,604001,604001,0,0,282683998233,2932995814,600225,3626,150,367,391705,0 143,2,2024-09-07 09:08:50:773,437491,437491,0,0,18078352,0,3123 143,3,2024-09-07 09:08:51:145,1,343,1,0,303,4005,343,0 144,0,2024-09-07 09:08:51:494,80588,0.5,82692,0.7,168489,0.4,221296,2.00 144,1,2024-09-07 09:08:50:584,601399,601399,0,0,280902919771,2943272312,596542,3916,941,381,391649,0 144,2,2024-09-07 09:08:51:757,434570,434570,0,0,16235685,0,3473 144,3,2024-09-07 09:08:51:744,1,343,1,0,249,3460,343,0 145,0,2024-09-07 09:08:51:361,84359,0.6,84421,0.8,179100,0.5,230290,2.25 145,1,2024-09-07 09:08:50:560,600812,600812,0,0,281737617081,2962130179,593453,6219,1140,382,391759,0 145,2,2024-09-07 09:08:51:437,430860,430860,0,0,19946693,0,3903 145,3,2024-09-07 09:08:50:896,1,343,3,0,622,5140,343,0 146,0,2024-09-07 09:08:51:591,87810,0.6,87201,0.8,175787,0.6,233207,2.25 146,1,2024-09-07 09:08:51:589,601842,601842,0,0,281353859079,2960434802,591992,7839,2011,368,391770,0 146,2,2024-09-07 09:08:51:697,431992,431992,0,0,19798765,0,2730 146,3,2024-09-07 09:08:51:289,1,343,1,0,1520,7211,343,0 147,0,2024-09-07 09:08:51:694,87422,0.6,87090,0.7,173520,0.5,232852,2.00 147,1,2024-09-07 09:08:51:373,603797,603797,0,0,282373202867,2938926372,598134,4862,801,368,391791,0 147,2,2024-09-07 09:08:51:015,435063,435063,0,0,17441610,0,2789 147,3,2024-09-07 09:08:50:922,1,343,44,0,730,5023,343,0 0,0,2024-09-07 09:09:01:726,84607,0.7,84581,0.8,179555,0.8,232250,2.00 0,1,2024-09-07 09:09:00:806,604341,604341,0,0,282891390793,2956852432,600209,3887,245,369,391896,0 0,2,2024-09-07 09:09:01:067,434712,434712,0,0,17168041,0,4480 0,3,2024-09-07 09:09:00:974,1,344,1,0,431,4676,344,0 1,0,2024-09-07 09:09:01:763,87238,0.8,86629,0.9,173983,0.9,232349,2.00 1,1,2024-09-07 09:09:00:576,603215,603215,0,0,282535946901,2957462359,597615,4374,1226,370,391857,0 1,2,2024-09-07 09:09:00:649,434312,434312,0,0,16657800,0,3267 1,3,2024-09-07 09:09:01:303,1,344,41,0,262,3995,344,0 2,0,2024-09-07 09:09:01:568,84078,0.6,84336,0.7,167522,0.6,224419,2.00 2,1,2024-09-07 09:09:00:861,605651,605651,0,0,283922893483,2946977076,602751,2607,293,380,391745,0 2,2,2024-09-07 09:09:01:275,439281,439281,0,0,16599460,0,3594 2,3,2024-09-07 09:09:00:690,1,344,257,0,357,3654,344,0 3,0,2024-09-07 09:09:01:744,85977,0.4,85759,0.6,171651,0.3,228979,1.75 3,1,2024-09-07 09:09:01:621,604038,604038,0,0,282641560415,2942184063,598938,4477,623,380,391591,0 3,2,2024-09-07 09:09:01:150,432955,432932,23,0,16976641,0,5851 3,3,2024-09-07 09:09:01:758,1,344,1,0,207,2229,344,0 4,0,2024-09-07 09:09:01:800,83231,0.4,85731,0.5,174235,0.4,227946,1.75 4,1,2024-09-07 09:09:00:596,602711,602711,0,0,281743199890,2967247931,595254,6100,1357,371,391992,0 4,2,2024-09-07 09:09:01:018,431980,431980,0,0,19986690,0,4528 4,3,2024-09-07 09:09:01:030,1,344,2,0,448,4831,344,0 5,0,2024-09-07 09:09:01:398,86837,0.5,87139,0.6,174009,0.4,231188,1.75 5,1,2024-09-07 09:09:00:758,603485,603485,0,0,282464776984,2968907574,596316,5813,1356,367,392005,0 5,2,2024-09-07 09:09:01:835,433714,433714,0,0,19081288,0,2432 5,3,2024-09-07 09:09:01:735,1,344,1,0,457,4971,344,0 6,0,2024-09-07 09:09:00:919,85562,0.4,85063,0.6,170086,0.4,226719,2.00 6,1,2024-09-07 09:09:00:755,603456,603456,0,0,282477667652,2951726516,596844,5527,1085,379,391694,0 6,2,2024-09-07 09:09:01:123,438480,438480,0,0,18288419,0,4816 6,3,2024-09-07 09:09:01:275,1,344,1,0,340,3730,344,0 7,0,2024-09-07 09:09:01:540,83256,0.4,83692,0.6,166664,0.4,222418,1.75 7,1,2024-09-07 09:09:00:852,602769,602769,0,0,282335149482,2963350385,594698,6895,1176,382,391747,0 7,2,2024-09-07 09:09:00:773,433720,433720,0,0,18414431,0,4791 7,3,2024-09-07 09:09:00:853,1,344,1,0,398,3857,344,0 8,0,2024-09-07 09:09:01:404,86676,0.4,86563,0.5,173245,0.3,230603,1.75 8,1,2024-09-07 09:09:01:022,601962,601962,0,0,282810523963,2977558986,591810,7875,2277,366,392144,0 8,2,2024-09-07 09:09:00:795,429657,429657,0,0,22826857,0,3220 8,3,2024-09-07 09:09:00:586,1,344,6,0,538,5951,344,0 9,0,2024-09-07 09:09:01:112,88247,0.4,85723,0.5,179403,0.4,234774,1.75 9,1,2024-09-07 09:09:00:557,602516,602516,0,0,282045061639,2973890450,593444,6947,2125,369,392001,0 9,2,2024-09-07 09:09:01:083,434300,434300,0,0,20141648,0,3360 9,3,2024-09-07 09:09:01:758,1,344,0,0,496,5119,344,0 10,0,2024-09-07 09:09:01:604,87466,0.3,86811,0.5,174359,0.2,232124,1.75 10,1,2024-09-07 09:09:00:586,603676,603676,0,0,282055998070,2954907429,595793,6774,1109,381,391741,0 10,2,2024-09-07 09:09:00:761,437605,437605,0,0,21844416,0,4264 10,3,2024-09-07 09:09:00:875,1,344,1,0,296,3145,344,0 11,0,2024-09-07 09:09:01:012,82834,0.4,80395,0.6,168079,0.3,222757,1.75 11,1,2024-09-07 09:09:00:579,603785,603785,0,0,283330697588,2976453739,593763,7574,2448,383,391756,0 11,2,2024-09-07 09:09:01:126,435321,435321,0,0,19651804,0,4130 11,3,2024-09-07 09:09:01:300,1,344,0,0,843,5365,344,0 12,0,2024-09-07 09:09:00:952,88935,0.4,88967,0.5,177607,0.3,235649,1.75 12,1,2024-09-07 09:09:00:934,603804,603804,0,0,281910445500,2940149608,598940,4372,492,370,391870,0 12,2,2024-09-07 09:09:01:593,434001,434001,0,0,19135071,0,3469 12,3,2024-09-07 09:09:01:067,1,344,3,0,386,5559,344,0 13,0,2024-09-07 09:09:01:345,88669,0.4,88275,0.6,176262,0.4,235150,1.75 13,1,2024-09-07 09:09:01:528,602830,602830,0,0,281444002306,2959949906,596918,4502,1410,382,391740,0 13,2,2024-09-07 09:09:00:596,435344,435344,0,0,17563595,0,3287 13,3,2024-09-07 09:09:01:768,1,344,11,0,522,5536,344,0 14,0,2024-09-07 09:09:00:565,87747,0.4,88443,0.6,175009,0.3,233518,1.75 14,1,2024-09-07 09:09:01:562,607346,607346,0,0,283448853516,2936028525,602658,4329,359,364,391571,0 14,2,2024-09-07 09:09:00:764,435283,435253,30,0,19227516,0,6104 14,3,2024-09-07 09:09:01:115,1,344,1,0,1168,4110,344,0 15,0,2024-09-07 09:09:01:556,83630,0.4,83686,0.6,167540,0.4,223413,1.75 15,1,2024-09-07 09:09:01:608,604536,604536,0,0,283132007131,2947872860,600196,3518,822,381,391619,0 15,2,2024-09-07 09:09:00:998,439241,439241,0,0,15829425,0,3622 15,3,2024-09-07 09:09:01:405,1,344,1,0,1126,6251,344,0 16,0,2024-09-07 09:09:00:940,86719,0.6,86999,0.7,173613,0.6,231257,2.00 16,1,2024-09-07 09:09:00:564,605164,605164,0,0,283409382234,2957060022,600872,3930,362,370,391756,0 16,2,2024-09-07 09:09:01:434,432646,432646,0,0,18061976,0,4719 16,3,2024-09-07 09:09:01:149,1,344,1,0,317,4731,344,0 17,0,2024-09-07 09:09:01:801,89138,0.7,86956,0.8,170096,0.7,231462,2.00 17,1,2024-09-07 09:09:00:571,602861,602861,0,0,281877888148,2956974628,596621,4982,1258,368,392075,0 17,2,2024-09-07 09:09:01:681,436281,436281,0,0,17741352,0,2857 17,3,2024-09-07 09:09:00:577,1,344,10,0,298,5639,344,0 18,0,2024-09-07 09:09:00:943,85874,0.6,86146,0.8,171849,0.6,229423,2.25 18,1,2024-09-07 09:09:01:638,605480,605480,0,0,283049954333,2932674563,602144,3025,311,367,391649,0 18,2,2024-09-07 09:09:01:755,436809,436809,0,0,16433259,0,3541 18,3,2024-09-07 09:09:00:896,1,344,1,0,163,2950,344,0 19,0,2024-09-07 09:09:01:542,84281,0.6,84978,0.7,168011,0.5,224150,2.00 19,1,2024-09-07 09:09:00:566,605845,605845,0,0,283773968336,2943476479,600719,4287,839,367,391777,0 19,2,2024-09-07 09:09:01:755,439989,439989,0,0,15217719,0,3988 19,3,2024-09-07 09:09:01:139,1,344,1,0,524,2592,344,0 20,0,2024-09-07 09:09:01:366,84633,0.5,84584,0.7,169215,0.5,226223,2.00 20,1,2024-09-07 09:09:00:567,603735,603735,0,0,283398307238,2959189320,599394,3934,407,369,391886,0 20,2,2024-09-07 09:09:00:933,433271,433271,0,0,18432360,0,3721 20,3,2024-09-07 09:09:00:594,1,344,0,0,414,5413,344,0 21,0,2024-09-07 09:09:01:139,86098,0.5,86108,0.7,172038,0.5,228122,2.00 21,1,2024-09-07 09:09:01:558,602923,602923,0,0,281365050570,2964226152,594926,6117,1880,368,392016,0 21,2,2024-09-07 09:09:01:080,431357,431357,0,0,21504468,0,3747 21,3,2024-09-07 09:09:01:404,1,344,1,0,713,4065,344,0 22,0,2024-09-07 09:09:01:723,87306,0.5,87673,0.7,175487,0.5,232097,2.00 22,1,2024-09-07 09:09:01:027,603789,603789,0,0,281705430920,2959672822,595297,6672,1820,382,391667,0 22,2,2024-09-07 09:09:00:761,433940,433940,0,0,17536031,0,3134 22,3,2024-09-07 09:09:01:065,1,344,0,0,228,2862,344,0 23,0,2024-09-07 09:09:01:371,85367,0.5,85376,0.7,170996,0.5,227322,2.25 23,1,2024-09-07 09:09:01:012,603680,603680,0,0,283004289171,2971644782,593185,7336,3159,365,391690,0 23,2,2024-09-07 09:09:01:091,439484,439484,0,0,17470897,0,3010 23,3,2024-09-07 09:09:01:762,1,344,1,0,720,4750,344,0 24,0,2024-09-07 09:09:00:876,83842,0.4,83822,0.5,168020,0.3,223452,1.75 24,1,2024-09-07 09:09:00:600,602868,602868,0,0,282341561275,2962519825,595969,5370,1529,368,392269,0 24,2,2024-09-07 09:09:01:080,433350,433350,0,0,21334867,0,3607 24,3,2024-09-07 09:09:01:703,1,344,3,0,468,4573,344,0 25,0,2024-09-07 09:09:01:343,89843,0.4,87568,0.6,171617,0.4,233584,2.00 25,1,2024-09-07 09:09:00:559,603974,603974,0,0,283018051723,2971416380,596092,6509,1373,371,391928,0 25,2,2024-09-07 09:09:01:605,432530,432530,0,0,21495588,0,3978 25,3,2024-09-07 09:09:01:008,1,344,9,0,255,3407,344,0 26,0,2024-09-07 09:09:01:721,87818,0.4,85818,0.6,179868,0.4,234457,1.75 26,1,2024-09-07 09:09:01:554,604060,604060,0,0,282181164060,2957300219,595173,7351,1536,380,391748,0 26,2,2024-09-07 09:09:00:878,434858,434858,0,0,21355981,0,2809 26,3,2024-09-07 09:09:01:720,1,344,1,0,796,4421,344,0 27,0,2024-09-07 09:09:01:730,87856,0.4,87956,0.6,174433,0.4,233358,2.00 27,1,2024-09-07 09:09:01:682,606176,606176,0,0,283179138160,2948437326,600870,4540,766,381,391626,0 27,2,2024-09-07 09:09:00:867,433702,433637,65,0,20834209,0,5699 27,3,2024-09-07 09:09:01:015,1,344,0,0,564,3652,344,0 28,0,2024-09-07 09:09:01:414,83463,0.4,83119,0.6,166637,0.3,222469,2.00 28,1,2024-09-07 09:09:00:801,605296,605296,0,0,284260669166,2960979641,600915,3677,704,383,391698,0 28,2,2024-09-07 09:09:01:777,436898,436898,0,0,18404817,0,2915 28,3,2024-09-07 09:09:01:776,1,344,18,0,502,3753,344,0 29,0,2024-09-07 09:09:01:382,90707,0.4,88357,0.6,173316,0.3,236934,1.75 29,1,2024-09-07 09:09:01:561,606776,606776,0,0,283378200496,2934658626,602434,3657,685,369,391753,0 29,2,2024-09-07 09:09:00:874,431987,431987,0,0,17677195,0,4986 29,3,2024-09-07 09:09:00:964,1,344,65,0,459,4298,344,0 30,0,2024-09-07 09:09:01:459,86764,0.5,84308,0.7,176472,0.5,231294,2.00 30,1,2024-09-07 09:09:00:571,606070,606070,0,0,284325315194,2952747974,601251,4109,710,381,391672,0 30,2,2024-09-07 09:09:01:290,434418,434418,0,0,16631503,0,3161 30,3,2024-09-07 09:09:00:585,1,344,0,0,519,3452,344,0 31,0,2024-09-07 09:09:01:762,86714,0.4,87119,0.6,174194,0.4,232060,2.00 31,1,2024-09-07 09:09:00:564,608245,608245,0,0,284806082146,2920645704,605701,1985,559,356,391712,0 31,2,2024-09-07 09:09:01:276,434797,434797,0,0,18461522,0,3525 31,3,2024-09-07 09:09:01:706,1,344,27,0,220,2953,344,0 32,0,2024-09-07 09:09:01:419,84089,0.3,84434,0.5,168848,0.2,224730,1.50 32,1,2024-09-07 09:09:00:804,605803,605803,0,0,283819599963,2945896074,602659,2698,446,381,391646,0 32,2,2024-09-07 09:09:00:938,439534,439534,0,0,15558100,0,3155 32,3,2024-09-07 09:09:01:018,1,344,2,0,227,2462,344,0 33,0,2024-09-07 09:09:01:497,86203,0.3,85710,0.4,172094,0.2,229475,1.50 33,1,2024-09-07 09:09:00:576,607182,607182,0,0,284398976778,2943494073,602768,3583,831,369,391730,0 33,2,2024-09-07 09:09:00:760,434122,434087,35,0,17603699,0,7012 33,3,2024-09-07 09:09:00:895,1,344,1,0,329,3583,344,0 34,0,2024-09-07 09:09:00:931,85967,0.3,88462,0.5,169155,0.2,227335,1.75 34,1,2024-09-07 09:09:01:050,607730,607730,0,0,285296137955,2931124293,606310,1412,8,367,391562,0 34,2,2024-09-07 09:09:00:766,433900,433900,0,0,16818932,0,3577 34,3,2024-09-07 09:09:01:702,1,344,7,0,299,2505,344,0 35,0,2024-09-07 09:09:00:862,86186,0.4,86581,0.5,174138,0.3,231784,1.75 35,1,2024-09-07 09:09:01:066,605644,605644,0,0,283576246725,2937372896,602036,2827,781,382,391589,0 35,2,2024-09-07 09:09:01:586,435683,435683,0,0,16905678,0,2653 35,3,2024-09-07 09:09:00:907,1,344,1,0,418,4255,344,0 36,0,2024-09-07 09:09:01:517,85555,0.5,85500,0.6,171082,0.4,226480,2.00 36,1,2024-09-07 09:09:00:588,605132,605132,0,0,282829307735,2953418903,597815,5659,1658,366,391759,0 36,2,2024-09-07 09:09:01:756,439202,439202,0,0,19413006,0,3875 36,3,2024-09-07 09:09:00:873,1,344,0,0,416,5359,344,0 37,0,2024-09-07 09:09:01:394,83216,0.5,83066,0.6,166476,0.4,222945,2.00 37,1,2024-09-07 09:09:00:573,604036,604029,0,7,282799441458,2953461409,597254,4689,2086,365,391570,0 37,2,2024-09-07 09:09:01:150,432066,432051,15,0,19097918,0,5815 37,3,2024-09-07 09:09:01:777,1,344,0,0,888,5550,344,0 38,0,2024-09-07 09:09:01:437,86054,0.5,83530,0.7,174837,0.4,228474,2.00 38,1,2024-09-07 09:09:01:607,606205,606205,0,0,283804617853,2953572795,600146,5301,758,368,391821,0 38,2,2024-09-07 09:09:00:760,432885,432838,47,0,19469811,0,6710 38,3,2024-09-07 09:09:00:996,1,344,0,0,689,4713,344,0 39,0,2024-09-07 09:09:01:769,89805,0.6,87821,0.7,171153,0.6,233585,2.00 39,1,2024-09-07 09:09:00:719,604198,604198,0,0,283310966502,2962790258,595763,6974,1461,365,391658,0 39,2,2024-09-07 09:09:01:417,435093,435093,0,0,17546618,0,2689 39,3,2024-09-07 09:09:00:716,1,344,1,0,324,4313,344,0 40,0,2024-09-07 09:09:01:498,86540,0.7,87204,0.9,173966,0.7,231813,2.75 40,1,2024-09-07 09:09:00:577,605082,605082,0,0,282276064516,2955306343,596499,7072,1511,368,391668,0 40,2,2024-09-07 09:09:01:303,436706,436705,1,0,21151766,0,5137 40,3,2024-09-07 09:09:01:151,1,344,1,0,1028,5070,344,0 41,0,2024-09-07 09:09:01:061,82709,1.3,84609,1.2,161603,2.0,220510,2.75 41,1,2024-09-07 09:09:00:773,603823,603823,0,0,282531282728,2954398628,596770,6350,703,369,391742,0 41,2,2024-09-07 09:09:00:760,434273,434273,0,0,20073318,0,3356 41,3,2024-09-07 09:09:01:688,1,344,3,0,366,3501,344,0 42,0,2024-09-07 09:09:01:485,87446,1.0,87574,1.0,175425,1.1,231644,2.75 42,1,2024-09-07 09:09:01:448,603044,603044,0,0,281359770855,2956743542,593499,7787,1758,380,391675,0 42,2,2024-09-07 09:09:01:147,432577,432577,0,0,20315332,0,3790 42,3,2024-09-07 09:09:01:009,1,344,1,0,446,3093,344,0 43,0,2024-09-07 09:09:00:924,86797,0.9,84592,1.0,177318,1.0,232675,2.50 43,1,2024-09-07 09:09:00:580,604324,604324,0,0,283709323333,2963243661,596090,6796,1438,366,391696,0 43,2,2024-09-07 09:09:01:736,434428,434428,0,0,20011207,0,3812 43,3,2024-09-07 09:09:01:753,1,344,65,0,467,4322,344,0 44,0,2024-09-07 09:09:00:861,87590,0.4,87910,0.6,175577,0.4,233553,1.75 44,1,2024-09-07 09:09:00:564,605837,605837,0,0,282938345615,2925702647,600775,4015,1047,356,391809,0 44,2,2024-09-07 09:09:01:268,434591,434591,0,0,16684298,0,4344 44,3,2024-09-07 09:09:01:093,1,344,1,0,817,4503,344,0 45,0,2024-09-07 09:09:01:763,82741,0.5,80742,0.7,169262,0.4,223342,2.00 45,1,2024-09-07 09:09:01:005,605255,605255,0,0,283427873027,2945118214,600821,3958,476,382,391917,0 45,2,2024-09-07 09:09:01:274,438432,438432,0,0,17281649,0,3596 45,3,2024-09-07 09:09:00:935,1,344,2,0,271,3246,344,0 46,0,2024-09-07 09:09:00:954,86090,0.5,86103,0.7,172273,0.5,228896,2.00 46,1,2024-09-07 09:09:00:575,607042,607042,0,0,284142061181,2933980522,603328,3288,426,366,391572,0 46,2,2024-09-07 09:09:00:596,433390,433390,0,0,16223683,0,2920 46,3,2024-09-07 09:09:01:146,1,344,0,0,908,5013,344,0 47,0,2024-09-07 09:09:01:128,86870,0.5,87072,0.6,174370,0.5,230871,2.00 47,1,2024-09-07 09:09:00:567,607097,607097,0,0,283423154917,2931956028,602610,3672,815,366,391641,0 47,2,2024-09-07 09:09:00:910,435506,435506,0,0,16858609,0,4477 47,3,2024-09-07 09:09:01:122,1,344,1,0,529,3725,344,0 48,0,2024-09-07 09:09:01:493,87303,0.3,86929,0.4,173385,0.2,231554,1.50 48,1,2024-09-07 09:09:01:022,605496,605496,0,0,283606750720,2943833218,601938,3255,303,384,391710,0 48,2,2024-09-07 09:09:00:702,434928,434928,0,0,15318095,0,3031 48,3,2024-09-07 09:09:00:754,1,344,2,0,339,2882,344,0 49,0,2024-09-07 09:09:01:721,86909,0.3,85411,0.5,165331,0.2,226777,1.75 49,1,2024-09-07 09:09:01:021,605196,605196,0,0,282702507759,2942388469,600877,3134,1185,382,391809,0 49,2,2024-09-07 09:09:01:801,439630,439630,0,0,16502202,0,4426 49,3,2024-09-07 09:09:01:416,1,344,1,0,408,3575,344,0 50,0,2024-09-07 09:09:01:514,85101,0.3,83805,0.4,168976,0.2,225991,1.75 50,1,2024-09-07 09:09:01:010,607417,607417,0,0,284220646985,2937211321,603598,3445,374,368,391565,0 50,2,2024-09-07 09:09:01:066,433313,433313,0,0,15390698,0,2263 50,3,2024-09-07 09:09:01:300,1,344,1,0,335,3304,344,0 51,0,2024-09-07 09:09:01:693,88292,0.3,86262,0.5,168374,0.2,229040,1.75 51,1,2024-09-07 09:09:01:682,607412,607412,0,0,284984553079,2939064988,604151,2285,976,365,391706,0 51,2,2024-09-07 09:09:01:324,433684,433684,0,0,14866435,0,3337 51,3,2024-09-07 09:09:01:027,1,344,1,0,678,2583,344,0 52,0,2024-09-07 09:09:01:416,87924,0.5,87849,0.7,175475,0.4,233166,2.00 52,1,2024-09-07 09:09:00:576,604414,604414,0,0,282463673266,2957499756,595774,7327,1313,368,391722,0 52,2,2024-09-07 09:09:01:757,431988,431950,38,0,19854250,0,6742 52,3,2024-09-07 09:09:00:677,1,344,1,0,1782,5243,344,0 53,0,2024-09-07 09:09:01:739,85353,0.6,82871,0.8,173362,0.6,226954,2.25 53,1,2024-09-07 09:09:00:788,603134,603134,0,0,282715269767,2966901850,593509,7042,2583,367,391702,0 53,2,2024-09-07 09:09:01:303,439533,439533,0,0,17294020,0,2727 53,3,2024-09-07 09:09:00:697,1,344,1,0,308,3245,344,0 54,0,2024-09-07 09:09:01:618,82328,0.5,82817,0.7,164797,0.4,220658,2.25 54,1,2024-09-07 09:09:00:589,604817,604817,0,0,283914942282,2949453067,599154,5061,602,367,391659,0 54,2,2024-09-07 09:09:00:876,434419,434413,6,0,19577857,0,5382 54,3,2024-09-07 09:09:00:763,1,344,1,0,676,5224,344,0 55,0,2024-09-07 09:09:01:765,84452,0.6,87470,0.7,176326,0.6,229494,2.50 55,1,2024-09-07 09:09:00:764,605132,605132,0,0,283140118013,2945450941,598506,5717,909,365,391731,0 55,2,2024-09-07 09:09:00:734,432496,432496,0,0,18962862,0,3563 55,3,2024-09-07 09:09:00:676,1,344,1,0,304,3841,344,0 56,0,2024-09-07 09:09:01:590,89850,1.2,84784,1.1,174767,1.6,234091,2.50 56,1,2024-09-07 09:09:00:575,602140,602140,0,0,283075462453,2987161668,593402,7107,1631,381,391867,0 56,2,2024-09-07 09:09:01:318,434096,434096,0,0,20083641,0,3567 56,3,2024-09-07 09:09:01:062,1,344,22,0,705,4489,344,0 57,0,2024-09-07 09:09:00:937,86475,1.4,86321,1.2,173031,1.9,231851,3.00 57,1,2024-09-07 09:09:01:000,603439,603439,0,0,282246170148,2957727270,596566,6153,720,366,392032,0 57,2,2024-09-07 09:09:01:328,436673,436673,0,0,21728230,0,3317 57,3,2024-09-07 09:09:01:758,1,344,1,0,359,4007,344,0 58,0,2024-09-07 09:09:00:576,82051,0.8,79805,0.9,166995,0.9,219295,2.50 58,1,2024-09-07 09:09:00:580,604495,604492,0,3,283439628968,2962396729,596369,7041,1082,367,391603,3 58,2,2024-09-07 09:09:01:076,436428,436428,0,0,19902333,0,2549 58,3,2024-09-07 09:09:01:076,1,344,8,0,1043,3701,344,0 59,0,2024-09-07 09:09:01:754,88093,0.8,87652,0.9,175076,0.8,233567,2.50 59,1,2024-09-07 09:09:00:804,604167,604167,0,0,282523791477,2957204735,596289,6357,1521,369,391586,0 59,2,2024-09-07 09:09:00:588,433606,433606,0,0,19665538,0,2867 59,3,2024-09-07 09:09:01:743,1,344,2,0,1015,4369,344,0 60,0,2024-09-07 09:09:01:737,86933,0.5,87106,0.7,174676,0.5,232041,1.75 60,1,2024-09-07 09:09:00:778,605935,605935,0,0,284130916566,2949472249,601821,3468,646,370,392031,0 60,2,2024-09-07 09:09:01:142,433923,433923,0,0,18989785,0,3811 60,3,2024-09-07 09:09:01:260,1,344,1,0,409,3990,344,0 61,0,2024-09-07 09:09:01:544,86977,0.6,87480,0.8,174032,0.6,231859,2.00 61,1,2024-09-07 09:09:00:783,603799,603799,0,0,282641435702,2962780030,596636,5838,1325,382,392127,0 61,2,2024-09-07 09:09:01:117,435207,435140,67,0,19314576,0,6411 61,3,2024-09-07 09:09:01:701,1,344,8,0,479,5110,344,0 62,0,2024-09-07 09:09:01:736,84619,0.5,86513,0.7,164857,0.5,224570,2.00 62,1,2024-09-07 09:09:01:123,608139,608133,0,6,284983074283,2941012298,604555,3319,259,365,391715,6 62,2,2024-09-07 09:09:01:650,437655,437654,1,0,18932959,0,5555 62,3,2024-09-07 09:09:01:149,1,344,1,0,465,2610,344,0 63,0,2024-09-07 09:09:01:461,86222,0.4,86100,0.6,172631,0.3,229788,1.75 63,1,2024-09-07 09:09:00:810,606266,606260,0,6,284029102968,2948252067,602327,3545,388,381,391800,6 63,2,2024-09-07 09:09:00:762,433044,433044,0,0,17133228,0,4369 63,3,2024-09-07 09:09:01:736,1,344,5,0,667,3857,344,0 64,0,2024-09-07 09:09:01:560,85258,0.5,85221,0.7,170533,0.5,226443,2.00 64,1,2024-09-07 09:09:00:761,605342,605342,0,0,282658893361,2945274946,599696,3986,1660,370,391783,0 64,2,2024-09-07 09:09:01:161,436938,436919,19,0,16807223,0,6121 64,3,2024-09-07 09:09:01:149,1,344,5,0,265,3183,344,0 65,0,2024-09-07 09:09:01:799,85959,0.7,86307,0.8,172412,0.7,229621,2.25 65,1,2024-09-07 09:09:00:880,604381,604381,0,0,282311164825,2949013310,600297,3633,451,382,391901,0 65,2,2024-09-07 09:09:01:706,436509,436509,0,0,18575202,0,3367 65,3,2024-09-07 09:09:01:700,1,344,4,0,163,3036,344,0 66,0,2024-09-07 09:09:01:778,84833,0.5,84808,0.7,169778,0.4,225367,2.00 66,1,2024-09-07 09:09:01:293,605387,605387,0,0,283755298078,2948480960,601825,3240,322,380,391653,0 66,2,2024-09-07 09:09:01:147,440758,440758,0,0,16692678,0,4956 66,3,2024-09-07 09:09:01:079,1,344,0,0,291,3229,344,0 67,0,2024-09-07 09:09:01:415,83947,0.5,83397,0.7,167466,0.4,223524,2.00 67,1,2024-09-07 09:09:00:784,605876,605875,0,1,283023381796,2945893119,601709,3415,751,380,391787,1 67,2,2024-09-07 09:09:00:588,434563,434563,0,0,16038788,0,2889 67,3,2024-09-07 09:09:01:753,1,344,6,0,338,2917,344,0 68,0,2024-09-07 09:09:00:598,86891,0.6,86536,0.7,172598,0.6,230473,2.00 68,1,2024-09-07 09:09:00:583,604035,604035,0,0,282784796342,2960596200,599220,3611,1204,381,391953,0 68,2,2024-09-07 09:09:01:174,431924,431859,65,0,21247681,0,6698 68,3,2024-09-07 09:09:00:733,1,344,4,0,417,3714,344,0 69,0,2024-09-07 09:09:01:843,87589,0.8,88141,0.9,175383,1.0,232743,2.25 69,1,2024-09-07 09:09:01:018,602158,602158,0,0,282217102747,2976965672,594140,5908,2110,384,391994,0 69,2,2024-09-07 09:09:01:742,434234,434234,0,0,21503083,0,3722 69,3,2024-09-07 09:09:00:761,1,344,1,0,698,4896,344,0 70,0,2024-09-07 09:09:01:543,86392,0.7,86464,1.0,173962,0.6,230375,2.25 70,1,2024-09-07 09:09:00:802,605611,605611,0,0,284196474242,2948899661,600759,4316,536,366,391725,0 70,2,2024-09-07 09:09:01:326,436660,436660,0,0,19453624,0,4044 70,3,2024-09-07 09:09:00:747,1,344,0,0,854,3821,344,0 71,0,2024-09-07 09:09:01:387,82581,0.8,82206,0.9,165390,0.8,221422,2.50 71,1,2024-09-07 09:09:01:596,604464,604464,0,0,283320849186,2954788942,597852,5956,656,368,391738,0 71,2,2024-09-07 09:09:01:079,435437,435437,0,0,19182725,0,4042 71,3,2024-09-07 09:09:01:753,1,344,1,0,644,4680,344,0 72,0,2024-09-07 09:09:01:184,91112,0.6,89076,0.7,173795,0.6,236026,2.00 72,1,2024-09-07 09:09:01:179,603876,603876,0,0,282400655525,2958771895,595638,6541,1697,369,391819,0 72,2,2024-09-07 09:09:01:760,431979,431979,0,0,21963649,0,3983 72,3,2024-09-07 09:09:01:759,1,344,2,0,564,5393,344,0 73,0,2024-09-07 09:09:01:214,85736,0.4,87844,0.6,179948,0.4,233818,2.00 73,1,2024-09-07 09:09:00:774,605079,605079,0,0,283500671650,2946636853,600757,3992,330,367,391858,0 73,2,2024-09-07 09:09:01:768,434436,434436,0,0,21179263,0,3701 73,3,2024-09-07 09:09:00:970,1,344,1,0,274,4566,344,0 74,0,2024-09-07 09:09:01:323,88016,0.5,90152,0.6,172182,0.4,233386,2.25 74,1,2024-09-07 09:09:00:635,604644,604644,0,0,282892720819,2952742002,598563,4818,1263,381,391681,0 74,2,2024-09-07 09:09:01:001,434954,434954,0,0,20152568,0,4253 74,3,2024-09-07 09:09:01:442,1,344,1,0,522,4839,344,0 75,0,2024-09-07 09:09:01:792,83952,0.4,83581,0.7,167302,0.4,224318,2.25 75,1,2024-09-07 09:09:01:586,603703,603703,0,0,282430145992,2950239279,597344,5534,825,380,391739,0 75,2,2024-09-07 09:09:01:354,437154,437154,0,0,20329847,0,4766 75,3,2024-09-07 09:09:01:074,1,344,0,0,702,4878,344,0 76,0,2024-09-07 09:09:00:731,86402,0.6,85691,0.8,171843,0.5,230257,2.25 76,1,2024-09-07 09:09:00:821,604892,604892,0,0,282883040607,2949527525,600972,3330,590,382,391692,0 76,2,2024-09-07 09:09:01:065,434422,434421,1,0,19240132,0,5144 76,3,2024-09-07 09:09:01:142,1,344,0,0,175,3286,344,0 77,0,2024-09-07 09:09:01:776,86557,0.7,86641,0.8,173586,0.7,230844,2.00 77,1,2024-09-07 09:09:00:829,604587,604587,0,0,282961461515,2955987188,599396,4702,489,381,391869,0 77,2,2024-09-07 09:09:01:291,434286,434286,0,0,18770764,0,3890 77,3,2024-09-07 09:09:01:105,1,344,9,0,401,3863,344,0 78,0,2024-09-07 09:09:01:721,87081,0.4,86684,0.6,173997,0.4,230863,2.00 78,1,2024-09-07 09:09:00:624,605257,605257,0,0,282166830904,2937147340,599393,4719,1145,367,391670,0 78,2,2024-09-07 09:09:01:405,435800,435800,0,0,16281370,0,3855 78,3,2024-09-07 09:09:01:146,1,344,9,0,181,3254,344,0 79,0,2024-09-07 09:09:01:356,82022,0.4,84104,0.6,171881,0.3,223921,2.25 79,1,2024-09-07 09:09:00:571,606932,606932,0,0,283599972755,2936084083,602376,3923,633,368,391682,0 79,2,2024-09-07 09:09:01:067,440185,440185,0,0,17299800,0,4195 79,3,2024-09-07 09:09:00:752,1,344,0,0,418,4330,344,0 80,0,2024-09-07 09:09:01:110,84689,0.5,87205,0.6,167006,0.4,225652,2.00 80,1,2024-09-07 09:09:01:625,605190,605190,0,0,283220475742,2944241776,601838,3173,179,368,391791,0 80,2,2024-09-07 09:09:01:103,435011,435011,0,0,16377845,0,4433 80,3,2024-09-07 09:09:00:580,1,344,13,0,190,4087,344,0 81,0,2024-09-07 09:09:01:538,85965,0.6,87985,0.7,167983,0.6,227808,2.00 81,1,2024-09-07 09:09:01:657,604073,604073,0,0,281779491927,2943781364,599216,4358,499,382,391879,0 81,2,2024-09-07 09:09:01:127,433079,433016,63,0,18268699,0,5932 81,3,2024-09-07 09:09:01:122,1,344,1,0,374,3954,344,0 82,0,2024-09-07 09:09:01:539,87167,0.5,87680,0.7,175759,0.4,232761,2.00 82,1,2024-09-07 09:09:00:588,606248,606244,0,4,283591099069,2950302830,602349,3098,797,381,391768,4 82,2,2024-09-07 09:09:01:698,436879,436879,0,0,15681514,0,3986 82,3,2024-09-07 09:09:01:759,1,344,1,0,363,3445,344,0 83,0,2024-09-07 09:09:01:544,86108,0.5,85876,0.7,171139,0.5,227190,2.00 83,1,2024-09-07 09:09:00:550,604592,604592,0,0,283192405517,2951357527,600281,3930,381,382,391709,0 83,2,2024-09-07 09:09:00:772,438462,438462,0,0,16243183,0,3393 83,3,2024-09-07 09:09:00:749,1,344,0,0,1260,4893,344,0 84,0,2024-09-07 09:09:01:780,82901,0.6,82826,0.8,165773,0.5,222010,2.25 84,1,2024-09-07 09:09:01:046,603983,603983,0,0,282006082583,2946110526,598221,5033,729,367,391967,0 84,2,2024-09-07 09:09:00:571,434145,434145,0,0,20506689,0,4757 84,3,2024-09-07 09:09:01:147,1,344,7,0,908,5174,344,0 85,0,2024-09-07 09:09:01:120,84177,0.7,84192,0.8,178762,0.7,230817,2.25 85,1,2024-09-07 09:09:00:575,602405,602405,0,0,281863455204,2973160589,593874,7159,1372,381,392006,0 85,2,2024-09-07 09:09:00:882,433065,433065,0,0,20226879,0,3656 85,3,2024-09-07 09:09:00:691,1,344,13,0,789,4490,344,0 86,0,2024-09-07 09:09:00:999,87937,0.7,90514,0.8,173131,0.7,234097,2.25 86,1,2024-09-07 09:09:00:832,604370,604370,0,0,282876281255,2960748148,597476,5828,1066,366,391961,0 86,2,2024-09-07 09:09:00:855,433547,433546,1,0,21249871,0,5004 86,3,2024-09-07 09:09:00:586,1,344,1,0,308,4653,344,0 87,0,2024-09-07 09:09:01:334,87244,0.8,87094,0.9,174843,1.0,233688,2.25 87,1,2024-09-07 09:09:00:568,604057,604057,0,0,283034312964,2959352165,597843,5601,613,366,392076,0 87,2,2024-09-07 09:09:01:079,435169,435169,0,0,19339854,0,4045 87,3,2024-09-07 09:09:01:801,1,344,5,0,473,5025,344,0 88,0,2024-09-07 09:09:01:466,83237,0.4,83855,0.6,167126,0.4,222159,1.75 88,1,2024-09-07 09:09:00:582,602673,602673,0,0,282383006301,2959546362,594972,6036,1665,365,392084,0 88,2,2024-09-07 09:09:00:693,437494,437494,0,0,21524708,0,3583 88,3,2024-09-07 09:09:01:280,1,344,21,0,435,3718,344,0 89,0,2024-09-07 09:09:01:804,90875,0.5,87862,0.6,174256,0.4,237162,1.75 89,1,2024-09-07 09:09:00:582,602702,602702,0,0,282421958275,2970946412,594941,6486,1275,382,391866,0 89,2,2024-09-07 09:09:01:148,432172,432172,0,0,20506984,0,2910 89,3,2024-09-07 09:09:01:800,1,344,13,0,385,6270,344,0 90,0,2024-09-07 09:09:01:635,84460,0.5,86753,0.7,177052,0.4,231297,2.00 90,1,2024-09-07 09:09:00:595,604160,604160,0,0,282291808881,2959435227,597954,5703,503,380,391825,0 90,2,2024-09-07 09:09:01:406,432406,432406,0,0,21709597,0,3060 90,3,2024-09-07 09:09:00:931,1,344,8,0,246,3727,344,0 91,0,2024-09-07 09:09:00:980,87576,0.5,84727,0.6,177085,0.4,232412,1.75 91,1,2024-09-07 09:09:00:624,602620,602620,0,0,282523275025,2971747206,594794,6782,1044,381,392047,0 91,2,2024-09-07 09:09:01:333,435310,435310,0,0,19667460,0,2896 91,3,2024-09-07 09:09:00:599,1,344,4,0,216,3237,344,0 92,0,2024-09-07 09:09:01:457,84847,0.4,87002,0.6,166236,0.4,224875,1.75 92,1,2024-09-07 09:09:00:589,604085,604085,0,0,282312707821,2949669363,599273,4125,687,382,392136,0 92,2,2024-09-07 09:09:01:358,439692,439692,0,0,17668604,0,3259 92,3,2024-09-07 09:09:01:009,1,344,1,0,167,2899,344,0 93,0,2024-09-07 09:09:00:967,86480,0.4,88623,0.5,169349,0.3,229847,1.75 93,1,2024-09-07 09:09:00:806,604352,604352,0,0,283030952589,2954194683,598233,5089,1030,366,391776,0 93,2,2024-09-07 09:09:00:933,432259,432259,0,0,20436364,0,4845 93,3,2024-09-07 09:09:01:406,1,344,1,0,190,3336,344,0 94,0,2024-09-07 09:09:01:698,85380,0.4,86186,0.5,171934,0.3,227970,1.75 94,1,2024-09-07 09:09:00:567,604631,604631,0,0,282917329685,2952439095,600592,3849,190,381,391850,0 94,2,2024-09-07 09:09:00:786,433448,433448,0,0,17650312,0,2443 94,3,2024-09-07 09:09:01:706,1,344,23,0,264,4203,344,0 95,0,2024-09-07 09:09:01:392,86649,0.4,86797,0.5,173954,0.3,231484,1.75 95,1,2024-09-07 09:09:00:851,606064,606064,0,0,283384822567,2947002954,601092,4573,399,367,391713,0 95,2,2024-09-07 09:09:01:016,435420,435420,0,0,17481949,0,3308 95,3,2024-09-07 09:09:01:721,1,344,16,0,718,5371,344,0 96,0,2024-09-07 09:09:01:194,85457,0.4,85619,0.5,170834,0.3,226197,1.75 96,1,2024-09-07 09:09:01:604,604198,604198,0,0,283423281491,2956289745,599671,3731,796,384,391955,0 96,2,2024-09-07 09:09:01:280,439382,439382,0,0,17386668,0,4042 96,3,2024-09-07 09:09:01:232,1,344,4,0,411,3734,344,0 97,0,2024-09-07 09:09:01:327,83655,0.3,83444,0.5,167523,0.3,223145,1.50 97,1,2024-09-07 09:09:00:773,606172,606172,0,0,284126177114,2944388546,602290,3210,672,367,392140,0 97,2,2024-09-07 09:09:00:607,434719,434719,0,0,16780505,0,3036 97,3,2024-09-07 09:09:00:574,1,344,1,0,214,4028,344,0 98,0,2024-09-07 09:09:01:851,86741,0.3,86482,0.5,173668,0.2,230456,1.50 98,1,2024-09-07 09:09:00:571,605461,605461,0,0,282931029225,2942084644,602794,2560,107,382,391997,0 98,2,2024-09-07 09:09:00:772,434132,434132,0,0,16684147,0,3080 98,3,2024-09-07 09:09:00:702,1,344,0,0,840,5421,344,0 99,0,2024-09-07 09:09:01:517,88051,0.3,88610,0.5,176306,0.3,234946,1.75 99,1,2024-09-07 09:09:01:731,605309,605309,0,0,283065676600,2946704207,601166,3388,755,381,392069,0 99,2,2024-09-07 09:09:01:417,436407,436407,0,0,18843602,0,3424 99,3,2024-09-07 09:09:00:587,1,344,98,0,199,3279,344,0 100,0,2024-09-07 09:09:01:476,87033,0.7,86949,0.9,173853,0.8,232191,2.25 100,1,2024-09-07 09:09:00:570,601913,601913,0,0,281116149906,2968597998,593442,6975,1496,381,391989,0 100,2,2024-09-07 09:09:01:824,436137,436126,11,0,19829657,0,5417 100,3,2024-09-07 09:09:01:736,1,344,2,0,559,5956,344,0 101,0,2024-09-07 09:09:01:754,84904,0.8,82739,0.9,162277,0.6,223032,2.25 101,1,2024-09-07 09:09:00:566,601970,601970,0,0,282137503053,2969670316,592879,7067,2024,368,391771,0 101,2,2024-09-07 09:09:01:762,433470,433470,0,0,22916103,0,4644 101,3,2024-09-07 09:09:00:953,1,344,12,0,579,4514,344,0 102,0,2024-09-07 09:09:00:987,85919,0.7,88890,0.8,179522,0.7,234811,2.00 102,1,2024-09-07 09:09:01:151,602848,602848,0,0,282088104262,2961425374,595528,6288,1032,369,391891,0 102,2,2024-09-07 09:09:01:748,433740,433686,54,0,19184114,0,6768 102,3,2024-09-07 09:09:01:616,1,344,1,0,410,3659,344,0 103,0,2024-09-07 09:09:01:626,90752,0.6,90712,0.8,171070,0.7,235620,2.00 103,1,2024-09-07 09:09:01:626,602177,602177,0,0,281603272750,2968788390,593357,6824,1996,381,391829,0 103,2,2024-09-07 09:09:00:590,433116,433116,0,0,20240533,0,3173 103,3,2024-09-07 09:09:00:762,1,344,3,0,916,4248,344,0 104,0,2024-09-07 09:09:01:054,86626,0.7,86975,0.9,172822,0.7,232540,2.25 104,1,2024-09-07 09:09:01:612,603815,603815,0,0,281895703574,2963788110,594901,7216,1698,365,392168,0 104,2,2024-09-07 09:09:01:675,433965,433965,0,0,21298031,0,3941 104,3,2024-09-07 09:09:01:441,1,344,0,0,1245,7530,344,0 105,0,2024-09-07 09:09:01:040,82893,0.8,80618,1.0,168822,0.9,222772,2.75 105,1,2024-09-07 09:09:00:555,603896,603896,0,0,282489071593,2963208151,595739,6822,1335,366,391797,0 105,2,2024-09-07 09:09:01:327,437063,437063,0,0,20614614,0,3509 105,3,2024-09-07 09:09:01:311,1,344,1,0,399,5591,344,0 106,0,2024-09-07 09:09:00:975,83690,0.8,85637,0.9,175317,0.9,229657,2.50 106,1,2024-09-07 09:09:01:751,602722,602722,0,0,282309825338,2968389910,593132,8297,1293,369,391914,0 106,2,2024-09-07 09:09:00:756,430884,430884,0,0,20289742,0,2795 106,3,2024-09-07 09:09:00:676,1,344,3,0,470,4352,344,0 107,0,2024-09-07 09:09:01:296,86388,1.2,86352,1.0,172791,1.7,230561,2.25 107,1,2024-09-07 09:09:00:588,602389,602389,0,0,282047718050,2971694563,594408,7067,914,381,392234,0 107,2,2024-09-07 09:09:01:298,432351,432350,1,0,20823036,0,5024 107,3,2024-09-07 09:09:01:759,1,344,2,0,353,4816,344,0 108,0,2024-09-07 09:09:01:781,86593,0.5,87114,0.6,173251,0.4,231401,1.75 108,1,2024-09-07 09:09:01:300,604734,604734,0,0,283559212439,2957432365,599645,4571,518,368,391857,0 108,2,2024-09-07 09:09:01:765,433952,433952,0,0,19747877,0,4246 108,3,2024-09-07 09:09:01:353,1,344,16,0,749,6988,344,0 109,0,2024-09-07 09:09:01:771,85216,0.4,84349,0.5,168937,0.3,226426,1.75 109,1,2024-09-07 09:09:00:588,602740,602740,0,0,282680787794,2964452343,597531,4544,665,383,392132,0 109,2,2024-09-07 09:09:00:930,437081,437081,0,0,19351532,0,3617 109,3,2024-09-07 09:09:01:140,1,344,1,0,379,4097,344,0 110,0,2024-09-07 09:09:01:772,84635,0.4,82418,0.6,172652,0.3,226411,1.75 110,1,2024-09-07 09:09:01:644,605603,605603,0,0,283420731289,2940910268,601601,3025,977,369,392045,0 110,2,2024-09-07 09:09:01:308,432968,432968,0,0,18941465,0,4067 110,3,2024-09-07 09:09:00:695,1,344,5,0,722,5179,344,0 111,0,2024-09-07 09:09:01:418,86402,0.4,85701,0.6,171747,0.4,229195,1.75 111,1,2024-09-07 09:09:01:007,606148,606148,0,0,285194836146,2958778308,602768,3028,352,382,391690,0 111,2,2024-09-07 09:09:01:116,432682,432682,0,0,18352345,0,4823 111,3,2024-09-07 09:09:00:925,1,344,2,0,379,4124,344,0 112,0,2024-09-07 09:09:00:945,88336,0.3,87714,0.4,175942,0.2,233527,1.50 112,1,2024-09-07 09:09:00:830,605900,605900,0,0,283531116633,2940115938,602437,2879,584,380,391624,0 112,2,2024-09-07 09:09:01:147,435756,435755,1,0,16658606,0,5036 112,3,2024-09-07 09:09:00:604,1,344,4,0,282,3483,344,0 113,0,2024-09-07 09:09:00:887,85618,0.3,85777,0.4,172143,0.2,228555,1.50 113,1,2024-09-07 09:09:01:687,607750,607750,0,0,284098932024,2930341137,604469,2697,584,366,391661,0 113,2,2024-09-07 09:09:01:316,440163,440163,0,0,15377722,0,3813 113,3,2024-09-07 09:09:00:684,1,344,15,0,340,4076,344,0 114,0,2024-09-07 09:09:00:889,83796,0.3,84369,0.5,167479,0.2,224112,1.75 114,1,2024-09-07 09:09:00:717,605611,605611,0,0,283739372054,2947666447,600483,3534,1594,381,391556,0 114,2,2024-09-07 09:09:00:874,435143,435142,1,0,16946688,0,5069 114,3,2024-09-07 09:09:01:286,1,344,5,0,395,2984,344,0 115,0,2024-09-07 09:09:00:560,87176,0.3,87955,0.4,175676,0.2,233111,1.50 115,1,2024-09-07 09:09:00:571,605112,605112,0,0,283679416580,2945135752,600248,4024,840,382,391757,0 115,2,2024-09-07 09:09:01:132,435270,435270,0,0,15613001,0,3453 115,3,2024-09-07 09:09:01:004,1,344,0,0,159,1985,344,0 116,0,2024-09-07 09:09:01:721,87773,0.8,87600,0.9,175804,0.9,235134,2.00 116,1,2024-09-07 09:09:00:842,602089,602089,0,0,282461189749,2978440933,594484,5336,2269,380,391878,0 116,2,2024-09-07 09:09:01:773,434010,434010,0,0,21287994,0,3529 116,3,2024-09-07 09:09:00:918,1,344,2,0,415,4257,344,0 117,0,2024-09-07 09:09:01:023,87780,0.7,87308,0.8,174729,0.7,234104,2.00 117,1,2024-09-07 09:09:01:593,603420,603420,0,0,282164967874,2953070578,597109,5642,669,370,392033,0 117,2,2024-09-07 09:09:01:127,438663,438663,0,0,17736259,0,3700 117,3,2024-09-07 09:09:01:065,1,344,5,0,490,4990,344,0 118,0,2024-09-07 09:09:01:832,80864,0.5,82951,0.7,169452,0.4,221316,2.00 118,1,2024-09-07 09:09:00:618,603226,603226,0,0,282197549948,2965826502,594427,6901,1898,366,391907,0 118,2,2024-09-07 09:09:01:586,436152,436152,0,0,19590462,0,2781 118,3,2024-09-07 09:09:01:768,1,344,1,0,235,3760,344,0 119,0,2024-09-07 09:09:01:341,87903,0.6,88289,0.7,176610,0.6,235550,2.00 119,1,2024-09-07 09:09:00:621,604676,604676,0,0,283031213415,2959356126,598104,5735,837,367,391780,0 119,2,2024-09-07 09:09:01:280,433595,433595,0,0,18252345,0,4174 119,3,2024-09-07 09:09:01:328,1,344,1,0,563,5186,344,0 120,0,2024-09-07 09:09:01:571,86727,0.7,86430,0.9,172934,0.7,231898,2.25 120,1,2024-09-07 09:09:00:867,603749,603749,0,0,282493745902,2964822529,597328,5865,556,368,391961,0 120,2,2024-09-07 09:09:00:775,433576,433575,1,0,21576852,0,5281 120,3,2024-09-07 09:09:01:297,1,344,1,0,241,4269,344,0 121,0,2024-09-07 09:09:01:706,86772,1.0,86996,1.0,174560,1.3,232002,2.25 121,1,2024-09-07 09:09:01:657,604550,604550,0,0,282556343002,2956060125,598635,5477,438,367,391840,0 121,2,2024-09-07 09:09:01:126,434095,434095,0,0,20807800,0,4127 121,3,2024-09-07 09:09:00:737,1,344,3,0,269,3916,344,0 122,0,2024-09-07 09:09:01:767,83886,0.7,81849,0.8,171529,0.8,224770,2.00 122,1,2024-09-07 09:09:00:885,603372,603372,0,0,282416074673,2963806979,594910,7200,1262,366,392130,0 122,2,2024-09-07 09:09:01:319,438138,438065,73,0,23355762,0,5989 122,3,2024-09-07 09:09:00:595,1,344,20,0,512,6546,344,0 123,0,2024-09-07 09:09:00:965,85547,0.7,83752,0.8,174609,0.7,229305,2.00 123,1,2024-09-07 09:09:00:559,602940,602940,0,0,282843457676,2982536993,591493,9320,2127,369,392039,0 123,2,2024-09-07 09:09:01:020,430771,430770,1,0,20043851,0,5215 123,3,2024-09-07 09:09:01:145,1,344,2,0,168,3908,344,0 124,0,2024-09-07 09:09:01:049,88378,0.4,88432,0.5,166715,0.3,228852,1.75 124,1,2024-09-07 09:09:01:033,605421,605421,0,0,282709942476,2941775875,600701,3810,910,367,392178,0 124,2,2024-09-07 09:09:01:010,434629,434576,53,0,17962372,0,6487 124,3,2024-09-07 09:09:00:771,1,344,22,0,490,3509,344,0 125,0,2024-09-07 09:09:01:457,87126,0.4,86626,0.6,174333,0.3,231795,1.75 125,1,2024-09-07 09:09:01:182,604041,604041,0,0,282416703964,2949075933,599158,4238,645,383,391702,0 125,2,2024-09-07 09:09:01:116,436034,436034,0,0,18655713,0,4534 125,3,2024-09-07 09:09:01:131,1,344,2,0,709,4625,344,0 126,0,2024-09-07 09:09:01:439,85287,0.4,87539,0.6,167893,0.4,227083,1.75 126,1,2024-09-07 09:09:00:552,605913,605913,0,0,283286819088,2935854798,602173,3455,285,365,391987,0 126,2,2024-09-07 09:09:00:618,439403,439403,0,0,18635557,0,4539 126,3,2024-09-07 09:09:00:918,1,344,3,0,207,4275,344,0 127,0,2024-09-07 09:09:01:627,83550,0.3,84101,0.5,167480,0.3,223244,1.75 127,1,2024-09-07 09:09:00:569,604974,604974,0,0,282661211980,2936471064,599573,4541,860,364,392187,0 127,2,2024-09-07 09:09:00:638,433218,433218,0,0,17131507,0,3897 127,3,2024-09-07 09:09:01:286,1,344,1,0,968,4029,344,0 128,0,2024-09-07 09:09:01:531,86865,0.3,87104,0.4,174310,0.2,230806,1.50 128,1,2024-09-07 09:09:01:609,605408,605408,0,0,283368559675,2941928004,601307,3730,371,367,391798,0 128,2,2024-09-07 09:09:01:391,434858,434858,0,0,16030358,0,2915 128,3,2024-09-07 09:09:00:771,1,344,4,0,1082,5930,344,0 129,0,2024-09-07 09:09:01:037,88684,0.3,88204,0.5,177005,0.3,234969,1.75 129,1,2024-09-07 09:09:00:573,602635,602635,0,0,282741852799,2959886298,596986,4392,1257,379,391835,0 129,2,2024-09-07 09:09:00:686,435635,435635,0,0,16927443,0,4031 129,3,2024-09-07 09:09:00:689,1,344,0,0,469,4496,344,0 130,0,2024-09-07 09:09:01:722,87889,0.5,87343,0.6,175745,0.5,233434,1.75 130,1,2024-09-07 09:09:00:587,605286,605286,0,0,282956001227,2941576639,601868,3139,279,381,391825,0 130,2,2024-09-07 09:09:01:143,438833,438833,0,0,16838869,0,4067 130,3,2024-09-07 09:09:01:297,1,344,0,0,450,4168,344,0 131,0,2024-09-07 09:09:01:926,82990,0.3,83549,0.5,167757,0.3,222730,1.50 131,1,2024-09-07 09:09:01:829,605404,605404,0,0,283194482076,2954444734,600925,3732,747,381,391865,0 131,2,2024-09-07 09:09:00:567,437963,437963,0,0,15547636,0,2415 131,3,2024-09-07 09:09:01:705,1,344,1,0,392,3535,344,0 132,0,2024-09-07 09:09:01:476,88282,0.4,89076,0.6,177380,0.4,235578,1.75 132,1,2024-09-07 09:09:00:590,602242,602242,0,0,282165449692,2970746275,593600,7175,1467,381,392097,0 132,2,2024-09-07 09:09:00:702,433021,433021,0,0,20746193,0,4606 132,3,2024-09-07 09:09:01:688,1,344,1,0,804,6210,344,0 133,0,2024-09-07 09:09:01:530,85799,0.5,87630,0.6,180062,0.4,234311,2.00 133,1,2024-09-07 09:09:00:586,602217,602217,0,0,281853138693,2970085459,594036,7097,1084,383,391914,0 133,2,2024-09-07 09:09:01:089,433542,433542,0,0,22070316,0,4315 133,3,2024-09-07 09:09:01:306,1,344,0,0,479,3654,344,0 134,0,2024-09-07 09:09:00:962,87796,0.5,87645,0.7,175251,0.5,233813,2.00 134,1,2024-09-07 09:09:00:596,602923,602923,0,0,282036790718,2960987278,594602,6465,1856,366,391718,0 134,2,2024-09-07 09:09:01:763,434899,434899,0,0,18425865,0,3847 134,3,2024-09-07 09:09:00:752,1,344,4,0,739,4443,344,0 135,0,2024-09-07 09:09:01:124,81045,0.6,81012,0.8,171842,0.7,222416,2.00 135,1,2024-09-07 09:09:01:597,603558,603558,0,0,282729459243,2975387981,595124,7197,1237,380,391805,0 135,2,2024-09-07 09:09:00:689,438764,438764,0,0,20035307,0,3981 135,3,2024-09-07 09:09:01:007,1,344,1,0,299,2655,344,0 136,0,2024-09-07 09:09:01:634,86953,0.6,87177,0.7,173339,0.5,231570,2.00 136,1,2024-09-07 09:09:01:448,603128,603128,0,0,282129539165,2962587758,596107,6324,697,382,391685,0 136,2,2024-09-07 09:09:01:152,432987,432987,0,0,19745648,0,3506 136,3,2024-09-07 09:09:01:109,1,344,2,0,637,3921,344,0 137,0,2024-09-07 09:09:00:951,89239,0.7,86800,0.8,170498,0.7,231958,2.00 137,1,2024-09-07 09:09:00:580,602899,602899,0,0,282467160249,2966022478,593278,7739,1882,366,391898,0 137,2,2024-09-07 09:09:01:714,433085,433085,0,0,22185437,0,3185 137,3,2024-09-07 09:09:00:776,1,344,0,0,382,4083,344,0 138,0,2024-09-07 09:09:01:750,86242,1.3,86322,1.1,173300,1.8,230339,2.25 138,1,2024-09-07 09:09:01:685,603751,603751,0,0,282779812560,2969679687,594703,7452,1596,368,391954,0 138,2,2024-09-07 09:09:00:586,434486,434486,0,0,19831624,0,4988 138,3,2024-09-07 09:09:00:615,1,344,1,0,1160,4871,344,0 139,0,2024-09-07 09:09:01:457,83652,1.2,84004,1.0,168313,1.6,224545,2.25 139,1,2024-09-07 09:09:00:609,601056,601056,0,0,280627774154,2981920302,589689,8723,2644,381,391892,0 139,2,2024-09-07 09:09:00:699,436013,436013,0,0,21715030,0,3097 139,3,2024-09-07 09:09:01:672,1,344,1,0,257,3876,344,0 140,0,2024-09-07 09:09:01:629,85066,0.3,84519,0.5,169962,0.2,226761,1.75 140,1,2024-09-07 09:09:01:536,607516,607516,0,0,284532329298,2934354459,604310,2767,439,365,391606,0 140,2,2024-09-07 09:09:00:694,433254,433253,1,0,17643444,0,5036 140,3,2024-09-07 09:09:00:772,1,344,0,0,247,2749,344,0 141,0,2024-09-07 09:09:01:704,86159,0.4,88497,0.5,168999,0.3,229194,1.75 141,1,2024-09-07 09:09:00:864,605693,605693,0,0,283705082023,2947734351,601130,3701,862,379,391614,0 141,2,2024-09-07 09:09:01:686,433515,433515,0,0,17239119,0,3360 141,3,2024-09-07 09:09:01:043,1,344,25,0,391,3938,344,0 142,0,2024-09-07 09:09:01:332,88511,0.3,87635,0.5,175300,0.3,234015,1.75 142,1,2024-09-07 09:09:00:586,605492,605492,0,0,282427336972,2945160712,601525,3615,352,382,392102,0 142,2,2024-09-07 09:09:01:303,434895,434863,32,0,18700846,0,6028 142,3,2024-09-07 09:09:01:758,1,344,7,0,484,4179,344,0 143,0,2024-09-07 09:09:01:413,86110,0.4,85865,0.6,172154,0.4,228898,1.75 143,1,2024-09-07 09:09:00:564,605828,605828,0,0,283674949219,2943059133,602051,3626,151,367,391705,0 143,2,2024-09-07 09:09:00:768,438907,438907,0,0,18105020,0,3123 143,3,2024-09-07 09:09:01:147,1,344,134,0,303,4139,344,0 144,0,2024-09-07 09:09:01:512,80907,0.5,83033,0.7,169166,0.4,222220,2.00 144,1,2024-09-07 09:09:00:575,603095,603095,0,0,281762787659,2952039328,598238,3916,941,381,391649,0 144,2,2024-09-07 09:09:01:763,435360,435360,0,0,16244566,0,3473 144,3,2024-09-07 09:09:01:744,1,344,1,0,249,3461,344,0 145,0,2024-09-07 09:09:01:386,84458,0.6,84491,0.8,179287,0.5,230533,2.25 145,1,2024-09-07 09:09:00:555,602543,602543,0,0,282442207940,2969333721,595184,6219,1140,382,391759,0 145,2,2024-09-07 09:09:01:429,432395,432395,0,0,19960652,0,3903 145,3,2024-09-07 09:09:00:910,1,344,2,0,622,5142,344,0 146,0,2024-09-07 09:09:01:677,88014,0.6,87432,0.8,176249,0.6,233779,2.25 146,1,2024-09-07 09:09:01:590,603616,603616,0,0,282120839636,2968617543,593741,7864,2011,368,391770,0 146,2,2024-09-07 09:09:01:705,433507,433507,0,0,19850755,0,2730 146,3,2024-09-07 09:09:01:275,1,344,10,0,1520,7221,344,0 147,0,2024-09-07 09:09:01:708,87729,0.6,87454,0.7,174204,0.5,233689,2.00 147,1,2024-09-07 09:09:01:377,605511,605511,0,0,283235615355,2947699136,599848,4862,801,368,391791,0 147,2,2024-09-07 09:09:01:013,436294,436294,0,0,17458479,0,2789 147,3,2024-09-07 09:09:00:931,1,344,2,0,730,5025,344,0 0,0,2024-09-07 09:09:11:750,84882,0.7,84863,0.8,180171,0.8,233019,2.00 0,1,2024-09-07 09:09:10:807,606079,606079,0,0,283520877817,2963366792,601946,3888,245,369,391896,0 0,2,2024-09-07 09:09:11:072,436252,436252,0,0,17192614,0,4480 0,3,2024-09-07 09:09:10:980,1,345,16,0,431,4692,345,0 1,0,2024-09-07 09:09:11:786,87410,0.8,86828,0.9,174396,0.9,232903,2.00 1,1,2024-09-07 09:09:10:559,604949,604949,0,0,283287743849,2965143630,599348,4374,1227,370,391857,0 1,2,2024-09-07 09:09:10:639,435418,435418,0,0,16683336,0,3267 1,3,2024-09-07 09:09:11:321,1,345,19,0,262,4014,345,0 2,0,2024-09-07 09:09:11:571,84418,0.6,84650,0.7,168213,0.6,225641,2.00 2,1,2024-09-07 09:09:10:859,607346,607346,0,0,284648189067,2954440688,604446,2607,293,380,391745,0 2,2,2024-09-07 09:09:11:280,440424,440424,0,0,16612115,0,3594 2,3,2024-09-07 09:09:10:690,1,345,0,0,357,3654,345,0 3,0,2024-09-07 09:09:11:747,86270,0.4,86127,0.6,172302,0.3,229695,1.75 3,1,2024-09-07 09:09:11:621,605712,605712,0,0,283416108119,2950094989,600612,4477,623,380,391591,0 3,2,2024-09-07 09:09:11:149,434290,434267,23,0,17000447,0,5851 3,3,2024-09-07 09:09:11:753,1,345,1,0,207,2230,345,0 4,0,2024-09-07 09:09:11:779,83508,0.4,85992,0.5,174741,0.4,228850,1.75 4,1,2024-09-07 09:09:10:596,604477,604477,0,0,282647663524,2976479503,597020,6100,1357,371,391992,0 4,2,2024-09-07 09:09:11:026,433299,433299,0,0,19999299,0,4528 4,3,2024-09-07 09:09:11:034,1,345,2,0,448,4833,345,0 5,0,2024-09-07 09:09:11:436,86957,0.5,87292,0.6,174269,0.4,231684,1.75 5,1,2024-09-07 09:09:10:780,605205,605205,0,0,283307458030,2977473319,598036,5813,1356,367,392005,0 5,2,2024-09-07 09:09:11:830,434837,434837,0,0,19090106,0,2432 5,3,2024-09-07 09:09:11:738,1,345,1,0,457,4972,345,0 6,0,2024-09-07 09:09:10:932,85776,0.4,85261,0.6,170495,0.4,227644,2.00 6,1,2024-09-07 09:09:10:754,605201,605201,0,0,283538493886,2962468019,598589,5527,1085,379,391694,0 6,2,2024-09-07 09:09:11:125,439799,439799,0,0,18296404,0,4816 6,3,2024-09-07 09:09:11:274,1,345,2,0,340,3732,345,0 7,0,2024-09-07 09:09:11:539,83723,0.4,84173,0.6,167675,0.4,223591,1.75 7,1,2024-09-07 09:09:10:853,604534,604534,0,0,283313339017,2973291890,596463,6895,1176,382,391747,0 7,2,2024-09-07 09:09:10:776,434798,434798,0,0,18424630,0,4791 7,3,2024-09-07 09:09:10:854,1,345,1,0,398,3858,345,0 8,0,2024-09-07 09:09:11:349,86786,0.4,86686,0.5,173474,0.3,230937,1.75 8,1,2024-09-07 09:09:11:024,603792,603792,0,0,283695045698,2986567432,593640,7875,2277,366,392144,0 8,2,2024-09-07 09:09:10:791,430924,430924,0,0,22849403,0,3220 8,3,2024-09-07 09:09:10:596,1,345,9,0,538,5960,345,0 9,0,2024-09-07 09:09:11:135,88356,0.4,85832,0.5,179623,0.4,235099,1.75 9,1,2024-09-07 09:09:10:550,604289,604289,0,0,282906043873,2982679738,595217,6947,2125,369,392001,0 9,2,2024-09-07 09:09:11:083,435695,435695,0,0,20161676,0,3360 9,3,2024-09-07 09:09:11:759,1,345,1,0,496,5120,345,0 10,0,2024-09-07 09:09:11:621,87564,0.3,86908,0.5,174545,0.2,232127,1.75 10,1,2024-09-07 09:09:10:614,605425,605425,0,0,282802559711,2962518728,597542,6774,1109,381,391741,0 10,2,2024-09-07 09:09:10:774,439090,439090,0,0,21875666,0,4264 10,3,2024-09-07 09:09:10:879,1,345,42,0,296,3187,345,0 11,0,2024-09-07 09:09:11:009,83254,0.4,80808,0.6,168911,0.3,223725,1.75 11,1,2024-09-07 09:09:10:576,605548,605548,0,0,284293177142,2986267833,595526,7574,2448,383,391756,0 11,2,2024-09-07 09:09:11:124,436037,436037,0,0,19661699,0,4130 11,3,2024-09-07 09:09:11:298,1,345,1,0,843,5366,345,0 12,0,2024-09-07 09:09:10:950,89038,0.4,89091,0.5,177808,0.3,235886,1.75 12,1,2024-09-07 09:09:10:952,605562,605562,0,0,282944926204,2950741147,600698,4372,492,370,391870,0 12,2,2024-09-07 09:09:11:546,435487,435487,0,0,19180816,0,3469 12,3,2024-09-07 09:09:11:070,1,345,0,0,386,5559,345,0 13,0,2024-09-07 09:09:11:369,88948,0.4,88531,0.6,176781,0.4,235755,1.75 13,1,2024-09-07 09:09:11:525,604552,604552,0,0,282283406477,2968592616,598640,4502,1410,382,391740,0 13,2,2024-09-07 09:09:10:615,436913,436913,0,0,17616700,0,3287 13,3,2024-09-07 09:09:11:765,1,345,0,0,522,5536,345,0 14,0,2024-09-07 09:09:10:570,88004,0.4,88696,0.6,175525,0.3,234362,1.75 14,1,2024-09-07 09:09:11:574,609125,609125,0,0,284118155732,2942907983,604437,4329,359,364,391571,0 14,2,2024-09-07 09:09:10:768,436417,436387,30,0,19252806,0,6104 14,3,2024-09-07 09:09:11:119,1,345,1,0,1168,4111,345,0 15,0,2024-09-07 09:09:11:555,83999,0.4,84048,0.6,168297,0.4,224130,1.75 15,1,2024-09-07 09:09:11:620,606260,606260,0,0,283832628565,2955088857,601920,3518,822,381,391619,0 15,2,2024-09-07 09:09:11:000,440348,440348,0,0,15847418,0,3622 15,3,2024-09-07 09:09:11:405,1,345,1,0,1126,6252,345,0 16,0,2024-09-07 09:09:10:956,87075,0.6,87355,0.7,174410,0.6,232667,2.00 16,1,2024-09-07 09:09:10:563,606968,606968,0,0,284417177274,2967351060,602676,3930,362,370,391756,0 16,2,2024-09-07 09:09:11:441,434178,434178,0,0,18087033,0,4719 16,3,2024-09-07 09:09:11:142,1,345,1,0,317,4732,345,0 17,0,2024-09-07 09:09:11:775,89508,0.7,87290,0.8,170789,0.7,232280,2.00 17,1,2024-09-07 09:09:10:578,604652,604652,0,0,282954542205,2967933056,598411,4983,1258,368,392075,0 17,2,2024-09-07 09:09:11:671,437630,437630,0,0,17767141,0,2857 17,3,2024-09-07 09:09:10:585,1,345,3,0,298,5642,345,0 18,0,2024-09-07 09:09:10:942,86048,0.6,86314,0.8,172193,0.6,229854,2.25 18,1,2024-09-07 09:09:11:651,607264,607264,0,0,283807959690,2940454696,603928,3025,311,367,391649,0 18,2,2024-09-07 09:09:11:760,437950,437950,0,0,16444493,0,3541 18,3,2024-09-07 09:09:10:896,1,345,1,0,163,2951,345,0 19,0,2024-09-07 09:09:11:540,84557,0.6,85300,0.7,168566,0.5,224778,2.00 19,1,2024-09-07 09:09:10:588,607630,607630,0,0,284675882972,2952647673,602504,4287,839,367,391777,0 19,2,2024-09-07 09:09:11:760,441368,441368,0,0,15240901,0,3988 19,3,2024-09-07 09:09:11:134,1,345,1,0,524,2593,345,0 20,0,2024-09-07 09:09:11:376,85045,0.5,84996,0.7,170001,0.5,227282,2.00 20,1,2024-09-07 09:09:10:568,605514,605514,0,0,284140527109,2966759330,601173,3934,407,369,391886,0 20,2,2024-09-07 09:09:10:931,434628,434628,0,0,18443820,0,3721 20,3,2024-09-07 09:09:10:592,1,345,5,0,414,5418,345,0 21,0,2024-09-07 09:09:11:143,86251,0.5,86236,0.7,172332,0.5,228607,2.00 21,1,2024-09-07 09:09:11:551,604691,604691,0,0,282599021969,2976722044,596693,6117,1881,368,392016,0 21,2,2024-09-07 09:09:11:327,432534,432534,0,0,21519978,0,3747 21,3,2024-09-07 09:09:11:407,1,345,1,0,713,4066,345,0 22,0,2024-09-07 09:09:11:718,87437,0.5,87775,0.7,175690,0.5,232342,2.00 22,1,2024-09-07 09:09:11:026,605595,605595,0,0,282897351597,2971745436,597103,6672,1820,382,391667,0 22,2,2024-09-07 09:09:10:761,435457,435457,0,0,17547882,0,3134 22,3,2024-09-07 09:09:11:069,1,345,2,0,228,2864,345,0 23,0,2024-09-07 09:09:11:376,85415,0.5,85426,0.7,171107,0.5,227644,2.25 23,1,2024-09-07 09:09:11:015,605441,605441,0,0,283948509130,2981191237,594946,7336,3159,365,391690,0 23,2,2024-09-07 09:09:11:091,440892,440892,0,0,17480364,0,3010 23,3,2024-09-07 09:09:11:755,1,345,3,0,720,4753,345,0 24,0,2024-09-07 09:09:10:846,84257,0.4,84198,0.5,168883,0.3,224755,1.75 24,1,2024-09-07 09:09:10:606,604670,604670,0,0,283126192080,2970535216,597771,5370,1529,368,392269,0 24,2,2024-09-07 09:09:11:093,434187,434187,0,0,21350647,0,3607 24,3,2024-09-07 09:09:11:693,1,345,14,0,468,4587,345,0 25,0,2024-09-07 09:09:11:456,89951,0.4,87662,0.6,171814,0.4,233876,2.00 25,1,2024-09-07 09:09:10:558,605760,605760,0,0,283730714586,2978706770,597878,6509,1373,371,391928,0 25,2,2024-09-07 09:09:11:616,433905,433905,0,0,21520322,0,3978 25,3,2024-09-07 09:09:11:001,1,345,28,0,255,3435,345,0 26,0,2024-09-07 09:09:11:722,87983,0.4,85969,0.6,180239,0.4,234710,1.75 26,1,2024-09-07 09:09:11:549,605841,605841,0,0,283120200814,2966854006,596954,7351,1536,380,391748,0 26,2,2024-09-07 09:09:10:864,436338,436338,0,0,21379497,0,2809 26,3,2024-09-07 09:09:11:714,1,345,5,0,796,4426,345,0 27,0,2024-09-07 09:09:11:730,88110,0.4,88245,0.6,174935,0.4,233874,2.00 27,1,2024-09-07 09:09:11:680,607951,607951,0,0,284041429700,2957204648,602645,4540,766,381,391626,0 27,2,2024-09-07 09:09:10:876,435121,435056,65,0,20851875,0,5699 27,3,2024-09-07 09:09:11:019,1,345,1,0,564,3653,345,0 28,0,2024-09-07 09:09:11:398,83790,0.4,83467,0.6,167290,0.3,223629,2.00 28,1,2024-09-07 09:09:10:798,607008,607008,0,0,285020825453,2968841156,602627,3677,704,383,391698,0 28,2,2024-09-07 09:09:11:764,437702,437702,0,0,18427460,0,2915 28,3,2024-09-07 09:09:11:780,1,345,1,0,502,3754,345,0 29,0,2024-09-07 09:09:11:362,91047,0.4,88695,0.6,174025,0.3,237227,1.75 29,1,2024-09-07 09:09:11:560,608525,608525,0,0,284306411597,2944100072,604183,3657,685,369,391753,0 29,2,2024-09-07 09:09:10:864,433552,433552,0,0,17711311,0,4986 29,3,2024-09-07 09:09:10:968,1,345,1,0,459,4299,345,0 30,0,2024-09-07 09:09:11:461,87057,0.5,84609,0.7,177124,0.5,232087,2.00 30,1,2024-09-07 09:09:10:575,607871,607871,0,0,285071921137,2960399087,603052,4109,710,381,391672,0 30,2,2024-09-07 09:09:11:277,435872,435872,0,0,16661880,0,3161 30,3,2024-09-07 09:09:10:580,1,345,0,0,519,3452,345,0 31,0,2024-09-07 09:09:11:775,86910,0.4,87326,0.6,174573,0.4,232622,2.00 31,1,2024-09-07 09:09:10:564,609977,609977,0,0,285692749944,2929606894,607433,1985,559,356,391712,0 31,2,2024-09-07 09:09:11:274,435933,435933,0,0,18489704,0,3525 31,3,2024-09-07 09:09:11:706,1,345,1,0,220,2954,345,0 32,0,2024-09-07 09:09:11:436,84423,0.3,84821,0.5,169535,0.2,225986,1.50 32,1,2024-09-07 09:09:10:812,607585,607585,0,0,284583929097,2953703229,604441,2698,446,381,391646,0 32,2,2024-09-07 09:09:10:935,440679,440679,0,0,15578017,0,3155 32,3,2024-09-07 09:09:11:029,1,345,0,0,227,2462,345,0 33,0,2024-09-07 09:09:11:494,86531,0.3,86026,0.4,172756,0.2,230179,1.50 33,1,2024-09-07 09:09:10:578,608914,608914,0,0,285043727656,2950149060,604500,3583,831,369,391730,0 33,2,2024-09-07 09:09:10:772,435554,435519,35,0,17626346,0,7012 33,3,2024-09-07 09:09:10:895,1,345,1,0,329,3584,345,0 34,0,2024-09-07 09:09:10:954,86189,0.3,88723,0.5,169640,0.2,228263,1.75 34,1,2024-09-07 09:09:11:054,609550,609550,0,0,286229184644,2940598818,608129,1412,9,367,391562,0 34,2,2024-09-07 09:09:10:766,435234,435234,0,0,16835345,0,3577 34,3,2024-09-07 09:09:11:691,1,345,1,0,299,2506,345,0 35,0,2024-09-07 09:09:10:864,86323,0.4,86721,0.5,174416,0.3,232267,1.75 35,1,2024-09-07 09:09:11:298,607515,607515,0,0,284540998825,2947196603,603907,2827,781,382,391589,0 35,2,2024-09-07 09:09:11:589,436817,436817,0,0,16927890,0,2653 35,3,2024-09-07 09:09:10:906,1,345,1,0,418,4256,345,0 36,0,2024-09-07 09:09:11:531,85781,0.5,85711,0.6,171558,0.4,227384,2.00 36,1,2024-09-07 09:09:10:582,606966,606966,0,0,283787352224,2963129356,599649,5659,1658,366,391759,0 36,2,2024-09-07 09:09:11:755,440511,440511,0,0,19421531,0,3875 36,3,2024-09-07 09:09:10:864,1,345,0,0,416,5359,345,0 37,0,2024-09-07 09:09:11:370,83684,0.5,83565,0.6,167448,0.4,224090,2.00 37,1,2024-09-07 09:09:10:569,605750,605743,0,7,283475358986,2960327410,598968,4689,2086,365,391570,0 37,2,2024-09-07 09:09:11:143,433149,433134,15,0,19108462,0,5815 37,3,2024-09-07 09:09:11:769,1,345,0,0,888,5550,345,0 38,0,2024-09-07 09:09:11:447,86169,0.5,83643,0.7,175093,0.4,228806,2.00 38,1,2024-09-07 09:09:11:612,607986,607986,0,0,284296533320,2958589872,601927,5301,758,368,391821,0 38,2,2024-09-07 09:09:10:762,434073,434026,47,0,19476703,0,6710 38,3,2024-09-07 09:09:11:000,1,345,1,0,689,4714,345,0 39,0,2024-09-07 09:09:11:772,89913,0.6,87952,0.7,171365,0.6,233931,2.00 39,1,2024-09-07 09:09:10:741,606015,606015,0,0,284067861358,2970460484,597580,6974,1461,365,391658,0 39,2,2024-09-07 09:09:11:419,436436,436436,0,0,17555329,0,2689 39,3,2024-09-07 09:09:10:714,1,345,1,0,324,4314,345,0 40,0,2024-09-07 09:09:11:490,86634,0.7,87307,0.9,174182,0.7,231817,2.75 40,1,2024-09-07 09:09:10:578,606822,606822,0,0,282986706047,2962582778,598239,7072,1511,368,391668,0 40,2,2024-09-07 09:09:11:308,438181,438180,1,0,21169199,0,5137 40,3,2024-09-07 09:09:11:155,1,345,4,0,1028,5074,345,0 41,0,2024-09-07 09:09:11:031,83073,1.3,84993,1.2,162377,2.0,221444,2.75 41,1,2024-09-07 09:09:10:768,605639,605639,0,0,283638061192,2965599323,598586,6350,703,369,391742,0 41,2,2024-09-07 09:09:10:767,434891,434891,0,0,20081111,0,3356 41,3,2024-09-07 09:09:11:679,1,345,2,0,366,3503,345,0 42,0,2024-09-07 09:09:11:474,87543,1.0,87679,1.0,175627,1.1,231879,2.75 42,1,2024-09-07 09:09:11:441,604848,604848,0,0,282165951663,2964995006,595302,7788,1758,380,391675,0 42,2,2024-09-07 09:09:11:134,434060,434060,0,0,20340634,0,3790 42,3,2024-09-07 09:09:11:015,1,345,1,0,446,3094,345,0 43,0,2024-09-07 09:09:10:917,87059,0.9,84834,1.0,177824,1.0,233258,2.50 43,1,2024-09-07 09:09:10:577,606107,606107,0,0,284492597322,2971219052,597873,6796,1438,366,391696,0 43,2,2024-09-07 09:09:11:744,435975,435975,0,0,20030966,0,3812 43,3,2024-09-07 09:09:11:759,1,345,1,0,467,4323,345,0 44,0,2024-09-07 09:09:10:864,87868,0.4,88187,0.6,176077,0.4,234445,1.75 44,1,2024-09-07 09:09:10:563,607586,607586,0,0,283664246623,2933171745,602523,4016,1047,356,391809,0 44,2,2024-09-07 09:09:11:268,435708,435708,0,0,16714415,0,4344 44,3,2024-09-07 09:09:11:107,1,345,2,0,817,4505,345,0 45,0,2024-09-07 09:09:11:786,83098,0.5,81101,0.7,169989,0.4,224039,2.00 45,1,2024-09-07 09:09:11:005,607024,607024,0,0,284068225917,2951713154,602590,3958,476,382,391917,0 45,2,2024-09-07 09:09:11:280,439481,439481,0,0,17309948,0,3596 45,3,2024-09-07 09:09:10:943,1,345,1,0,271,3247,345,0 46,0,2024-09-07 09:09:10:969,86456,0.5,86505,0.7,173040,0.5,230381,2.00 46,1,2024-09-07 09:09:10:576,608840,608840,0,0,285146803017,2944187940,605126,3288,426,366,391572,0 46,2,2024-09-07 09:09:10:593,434868,434868,0,0,16260971,0,2920 46,3,2024-09-07 09:09:11:133,1,345,24,0,908,5037,345,0 47,0,2024-09-07 09:09:11:106,87207,0.5,87386,0.6,174982,0.5,231701,2.00 47,1,2024-09-07 09:09:10:569,608925,608925,0,0,284220709406,2940090541,604438,3672,815,366,391641,0 47,2,2024-09-07 09:09:10:907,436729,436729,0,0,16890040,0,4477 47,3,2024-09-07 09:09:11:121,1,345,2,0,529,3727,345,0 48,0,2024-09-07 09:09:11:490,87447,0.3,87094,0.4,173753,0.2,231954,1.50 48,1,2024-09-07 09:09:11:033,607256,607256,0,0,284286019779,2950820720,603695,3258,303,384,391710,0 48,2,2024-09-07 09:09:10:699,435999,435999,0,0,15332494,0,3031 48,3,2024-09-07 09:09:10:753,1,345,1,0,339,2883,345,0 49,0,2024-09-07 09:09:11:715,87232,0.3,85669,0.5,165876,0.2,227398,1.75 49,1,2024-09-07 09:09:11:042,606921,606921,0,0,283639972460,2952044000,602602,3134,1185,382,391809,0 49,2,2024-09-07 09:09:11:803,440983,440983,0,0,16518923,0,4426 49,3,2024-09-07 09:09:11:416,1,345,1,0,408,3576,345,0 50,0,2024-09-07 09:09:11:509,85544,0.3,84191,0.4,169852,0.2,227024,1.75 50,1,2024-09-07 09:09:11:019,609159,609159,0,0,284976264028,2944926111,605340,3445,374,368,391565,0 50,2,2024-09-07 09:09:11:174,434575,434575,0,0,15421052,0,2263 50,3,2024-09-07 09:09:11:292,1,345,1,0,335,3305,345,0 51,0,2024-09-07 09:09:11:699,88460,0.3,86415,0.5,168666,0.2,229500,1.75 51,1,2024-09-07 09:09:11:682,609101,609101,0,0,285701291208,2946342981,605840,2285,976,365,391706,0 51,2,2024-09-07 09:09:11:320,434912,434912,0,0,14882896,0,3337 51,3,2024-09-07 09:09:11:027,1,345,1,0,678,2584,345,0 52,0,2024-09-07 09:09:11:416,88036,0.5,87956,0.7,175685,0.4,233406,2.00 52,1,2024-09-07 09:09:10:577,606162,606162,0,0,283204019421,2965014400,597522,7327,1313,368,391722,0 52,2,2024-09-07 09:09:11:755,433418,433380,38,0,19868159,0,6742 52,3,2024-09-07 09:09:10:674,1,345,1,0,1782,5244,345,0 53,0,2024-09-07 09:09:11:756,85418,0.6,82919,0.8,173487,0.6,227292,2.25 53,1,2024-09-07 09:09:10:780,604799,604799,0,0,283317930755,2973064139,595174,7042,2583,367,391702,0 53,2,2024-09-07 09:09:11:304,440908,440908,0,0,17303739,0,2727 53,3,2024-09-07 09:09:10:697,1,345,1,0,308,3246,345,0 54,0,2024-09-07 09:09:11:649,82764,0.5,83215,0.7,165662,0.4,222040,2.25 54,1,2024-09-07 09:09:10:580,606602,606602,0,0,284923859415,2959664340,600939,5061,602,367,391659,0 54,2,2024-09-07 09:09:10:866,435242,435236,6,0,19583703,0,5382 54,3,2024-09-07 09:09:10:767,1,345,1,0,676,5225,345,0 55,0,2024-09-07 09:09:11:769,84556,0.6,87562,0.7,176528,0.6,229796,2.50 55,1,2024-09-07 09:09:10:765,606810,606810,0,0,283889699271,2953042681,600184,5717,909,365,391731,0 55,2,2024-09-07 09:09:10:733,433959,433959,0,0,18971348,0,3563 55,3,2024-09-07 09:09:10:677,1,345,2,0,304,3843,345,0 56,0,2024-09-07 09:09:11:564,90017,1.2,84941,1.1,175092,1.6,234368,2.50 56,1,2024-09-07 09:09:10:578,603888,603888,0,0,283794447188,2994581922,595150,7107,1631,381,391867,0 56,2,2024-09-07 09:09:11:311,435544,435544,0,0,20107851,0,3567 56,3,2024-09-07 09:09:11:061,1,345,0,0,705,4489,345,0 57,0,2024-09-07 09:09:11:000,86746,1.3,86585,1.2,173598,1.9,232384,3.00 57,1,2024-09-07 09:09:10:988,605269,605269,0,0,283327146621,2968686107,598395,6154,720,366,392032,0 57,2,2024-09-07 09:09:11:331,438086,438086,0,0,21755016,0,3317 57,3,2024-09-07 09:09:11:743,1,345,22,0,359,4029,345,0 58,0,2024-09-07 09:09:10:558,82371,0.8,80104,0.9,167679,0.9,220466,2.50 58,1,2024-09-07 09:09:10:579,606310,606307,0,3,284445169615,2972598513,598184,7041,1082,367,391603,3 58,2,2024-09-07 09:09:11:071,437276,437276,0,0,19913399,0,2549 58,3,2024-09-07 09:09:11:070,1,345,5,0,1043,3706,345,0 59,0,2024-09-07 09:09:11:743,88450,0.8,87980,0.9,175753,0.9,233860,2.50 59,1,2024-09-07 09:09:10:819,605846,605846,0,0,283311345404,2965207899,597968,6357,1521,369,391586,0 59,2,2024-09-07 09:09:10:583,435137,435137,0,0,19701395,0,2867 59,3,2024-09-07 09:09:11:739,1,345,5,0,1015,4374,345,0 60,0,2024-09-07 09:09:11:712,87252,0.5,87398,0.7,175265,0.5,232818,1.75 60,1,2024-09-07 09:09:10:776,607723,607723,0,0,285030351027,2958692167,603607,3470,646,370,392031,0 60,2,2024-09-07 09:09:11:144,435398,435398,0,0,19026660,0,3811 60,3,2024-09-07 09:09:11:258,1,345,14,0,409,4004,345,0 61,0,2024-09-07 09:09:11:523,87151,0.6,87649,0.8,174424,0.6,232430,2.00 61,1,2024-09-07 09:09:10:775,605590,605590,0,0,283545383622,2972064864,598427,5838,1325,382,392127,0 61,2,2024-09-07 09:09:11:119,436274,436207,67,0,19344829,0,6411 61,3,2024-09-07 09:09:11:691,1,345,0,0,479,5110,345,0 62,0,2024-09-07 09:09:11:715,84968,0.5,86878,0.7,165576,0.5,225807,2.00 62,1,2024-09-07 09:09:11:116,609820,609814,0,6,285602284768,2947352272,606234,3321,259,365,391715,6 62,2,2024-09-07 09:09:11:646,438780,438779,1,0,18965426,0,5555 62,3,2024-09-07 09:09:11:143,1,345,17,0,465,2627,345,0 63,0,2024-09-07 09:09:11:452,86559,0.4,86393,0.6,173251,0.3,230461,1.75 63,1,2024-09-07 09:09:10:806,607979,607973,0,6,284743371196,2955623479,604039,3546,388,381,391800,6 63,2,2024-09-07 09:09:10:762,434489,434489,0,0,17165209,0,4369 63,3,2024-09-07 09:09:11:736,1,345,1,0,667,3858,345,0 64,0,2024-09-07 09:09:11:527,85498,0.5,85489,0.7,171035,0.5,227336,2.00 64,1,2024-09-07 09:09:10:750,607099,607099,0,0,283429385342,2953127863,601453,3986,1660,370,391783,0 64,2,2024-09-07 09:09:11:152,438255,438236,19,0,16832621,0,6121 64,3,2024-09-07 09:09:11:142,1,345,4,0,265,3187,345,0 65,0,2024-09-07 09:09:11:677,86093,0.7,86446,0.8,172677,0.7,230092,2.25 65,1,2024-09-07 09:09:10:866,606182,606182,0,0,283042859110,2956577203,602096,3635,451,382,391901,0 65,2,2024-09-07 09:09:11:704,437599,437599,0,0,18594976,0,3367 65,3,2024-09-07 09:09:11:689,1,345,0,0,163,3036,345,0 66,0,2024-09-07 09:09:11:763,85048,0.5,85050,0.7,170252,0.4,226246,2.00 66,1,2024-09-07 09:09:11:299,607092,607092,0,0,284437304009,2955463017,603528,3242,322,380,391653,0 66,2,2024-09-07 09:09:11:139,442065,442065,0,0,16713048,0,4956 66,3,2024-09-07 09:09:11:081,1,345,1,0,291,3230,345,0 67,0,2024-09-07 09:09:11:415,84415,0.5,83814,0.7,168419,0.4,224652,2.00 67,1,2024-09-07 09:09:10:768,607596,607595,0,1,283883425282,2954650334,603429,3415,751,380,391787,1 67,2,2024-09-07 09:09:10:588,435660,435660,0,0,16057586,0,2889 67,3,2024-09-07 09:09:11:758,1,345,1,0,338,2918,345,0 68,0,2024-09-07 09:09:10:624,87002,0.6,86642,0.7,172831,0.6,230797,2.00 68,1,2024-09-07 09:09:10:597,605877,605877,0,0,283654935442,2969508224,601060,3613,1204,381,391953,0 68,2,2024-09-07 09:09:11:052,433175,433110,65,0,21259451,0,6698 68,3,2024-09-07 09:09:10:730,1,345,1,0,417,3715,345,0 69,0,2024-09-07 09:09:11:727,87712,0.8,88262,0.9,175616,1.0,233080,2.25 69,1,2024-09-07 09:09:11:020,603926,603926,0,0,283300398353,2987976066,595908,5908,2110,384,391994,0 69,2,2024-09-07 09:09:11:740,435707,435707,0,0,21514472,0,3722 69,3,2024-09-07 09:09:10:790,1,345,13,0,698,4909,345,0 70,0,2024-09-07 09:09:11:535,86487,0.7,86579,1.0,174141,0.6,230375,2.25 70,1,2024-09-07 09:09:10:801,607425,607425,0,0,284967048224,2956713298,602573,4316,536,366,391725,0 70,2,2024-09-07 09:09:11:328,438253,438253,0,0,19465815,0,4044 70,3,2024-09-07 09:09:10:753,1,345,1,0,854,3822,345,0 71,0,2024-09-07 09:09:11:362,82991,0.7,82575,0.9,166163,0.8,222349,2.50 71,1,2024-09-07 09:09:11:598,606207,606207,0,0,283997541988,2961661331,599595,5956,656,368,391738,0 71,2,2024-09-07 09:09:11:069,436093,436093,0,0,19186580,0,4042 71,3,2024-09-07 09:09:11:758,1,345,1,0,644,4681,345,0 72,0,2024-09-07 09:09:11:023,91206,0.6,89205,0.7,173995,0.6,236259,2.00 72,1,2024-09-07 09:09:11:033,605614,605614,0,0,283226811154,2967243084,597375,6542,1697,369,391819,0 72,2,2024-09-07 09:09:11:760,433571,433571,0,0,21990495,0,3983 72,3,2024-09-07 09:09:11:758,1,345,0,0,564,5393,345,0 73,0,2024-09-07 09:09:11:117,85991,0.4,88124,0.6,180468,0.4,234413,2.00 73,1,2024-09-07 09:09:10:766,606911,606911,0,0,284214702805,2953954296,602589,3992,330,367,391858,0 73,2,2024-09-07 09:09:11:754,435991,435991,0,0,21207516,0,3701 73,3,2024-09-07 09:09:10:975,1,345,2,0,274,4568,345,0 74,0,2024-09-07 09:09:11:334,88307,0.5,90426,0.6,172744,0.4,234284,2.25 74,1,2024-09-07 09:09:10:639,606315,606315,0,0,283460234982,2958578962,600234,4818,1263,381,391681,0 74,2,2024-09-07 09:09:11:002,436046,436046,0,0,20167119,0,4253 74,3,2024-09-07 09:09:11:442,1,345,0,0,522,4839,345,0 75,0,2024-09-07 09:09:11:770,84307,0.4,83964,0.7,168041,0.4,224983,2.25 75,1,2024-09-07 09:09:11:587,605487,605487,0,0,283281614984,2958911557,599128,5534,825,380,391739,0 75,2,2024-09-07 09:09:11:350,438204,438204,0,0,20352648,0,4766 75,3,2024-09-07 09:09:11:069,1,345,1,0,702,4879,345,0 76,0,2024-09-07 09:09:10:613,86817,0.6,86077,0.8,172662,0.5,231747,2.25 76,1,2024-09-07 09:09:10:817,606570,606570,0,0,283818401947,2959182670,602646,3333,591,382,391692,0 76,2,2024-09-07 09:09:11:071,436017,436016,1,0,19292090,0,5144 76,3,2024-09-07 09:09:11:142,1,345,11,0,175,3297,345,0 77,0,2024-09-07 09:09:11:747,86886,0.7,86986,0.8,174250,0.7,231653,2.00 77,1,2024-09-07 09:09:10:832,606379,606379,0,0,283784829344,2964470718,601187,4703,489,381,391869,0 77,2,2024-09-07 09:09:11:288,435551,435551,0,0,18805530,0,3890 77,3,2024-09-07 09:09:11:109,1,345,0,0,401,3863,345,0 78,0,2024-09-07 09:09:11:718,87245,0.4,86840,0.6,174332,0.4,231285,2.00 78,1,2024-09-07 09:09:10:613,607001,607001,0,0,283124899050,2946887003,601135,4721,1145,367,391670,0 78,2,2024-09-07 09:09:11:409,437003,437003,0,0,16301430,0,3855 78,3,2024-09-07 09:09:11:134,1,345,1,0,181,3255,345,0 79,0,2024-09-07 09:09:11:381,82303,0.4,84404,0.6,172500,0.3,224552,2.25 79,1,2024-09-07 09:09:10:580,608677,608677,0,0,284386238406,2944140347,604120,3924,633,368,391682,0 79,2,2024-09-07 09:09:11:072,441459,441459,0,0,17333182,0,4195 79,3,2024-09-07 09:09:10:753,1,345,7,0,418,4337,345,0 80,0,2024-09-07 09:09:11:087,85087,0.5,87620,0.6,167828,0.4,226713,2.00 80,1,2024-09-07 09:09:11:644,607077,607077,0,0,284195046985,2954230853,603725,3173,179,368,391791,0 80,2,2024-09-07 09:09:11:109,436308,436308,0,0,16395348,0,4433 80,3,2024-09-07 09:09:10:575,1,345,1,0,190,4088,345,0 81,0,2024-09-07 09:09:11:579,86091,0.6,88129,0.7,168265,0.6,228268,2.00 81,1,2024-09-07 09:09:11:658,605781,605781,0,0,282741959629,2953592740,600924,4358,499,382,391879,0 81,2,2024-09-07 09:09:11:143,434243,434180,63,0,18287268,0,5932 81,3,2024-09-07 09:09:11:129,1,345,3,0,374,3957,345,0 82,0,2024-09-07 09:09:11:546,87286,0.5,87787,0.7,175990,0.4,233040,2.00 82,1,2024-09-07 09:09:10:584,608016,608012,0,4,284477259386,2959359483,604117,3098,797,381,391768,4 82,2,2024-09-07 09:09:11:694,438352,438352,0,0,15699148,0,3986 82,3,2024-09-07 09:09:11:755,1,345,1,0,363,3446,345,0 83,0,2024-09-07 09:09:11:521,86168,0.5,85943,0.7,171280,0.5,227531,2.00 83,1,2024-09-07 09:09:10:556,606374,606374,0,0,284093087982,2960600367,602063,3930,381,382,391709,0 83,2,2024-09-07 09:09:10:764,439943,439943,0,0,16260391,0,3393 83,3,2024-09-07 09:09:10:751,1,345,1,0,1260,4894,345,0 84,0,2024-09-07 09:09:11:765,83318,0.6,83261,0.8,166615,0.5,223327,2.25 84,1,2024-09-07 09:09:11:040,605757,605757,0,0,282684596821,2953049973,599995,5033,729,367,391967,0 84,2,2024-09-07 09:09:10:577,435010,435010,0,0,20514097,0,4757 84,3,2024-09-07 09:09:11:144,1,345,4,0,908,5178,345,0 85,0,2024-09-07 09:09:11:039,84269,0.7,84279,0.8,178939,0.7,231112,2.25 85,1,2024-09-07 09:09:10:560,604217,604217,0,0,282852522798,2983266400,595686,7159,1372,381,392006,0 85,2,2024-09-07 09:09:10:871,434555,434555,0,0,20244132,0,3656 85,3,2024-09-07 09:09:10:692,1,345,7,0,789,4497,345,0 86,0,2024-09-07 09:09:10:964,88104,0.7,90674,0.8,173464,0.7,234346,2.25 86,1,2024-09-07 09:09:10:828,606067,606067,0,0,283583429142,2967966953,599173,5828,1066,366,391961,0 86,2,2024-09-07 09:09:10:855,435087,435086,1,0,21264393,0,5004 86,3,2024-09-07 09:09:10:602,1,345,0,0,308,4653,345,0 87,0,2024-09-07 09:09:11:342,87524,0.8,87368,0.9,175373,1.0,234193,2.25 87,1,2024-09-07 09:09:10:551,605783,605783,0,0,283728582894,2966439340,599569,5601,613,366,392076,0 87,2,2024-09-07 09:09:11:070,436604,436604,0,0,19352287,0,4045 87,3,2024-09-07 09:09:11:847,1,345,12,0,473,5037,345,0 88,0,2024-09-07 09:09:11:484,83602,0.4,84184,0.6,167798,0.4,223299,1.75 88,1,2024-09-07 09:09:10:727,604389,604389,0,0,283232457620,2968191712,596688,6036,1665,365,392084,0 88,2,2024-09-07 09:09:10:690,438283,438283,0,0,21535113,0,3583 88,3,2024-09-07 09:09:11:269,1,345,4,0,435,3722,345,0 89,0,2024-09-07 09:09:11:780,91198,0.5,88227,0.7,174933,0.4,237470,1.75 89,1,2024-09-07 09:09:10:550,604425,604425,0,0,283378754436,2980745021,596664,6486,1275,382,391866,0 89,2,2024-09-07 09:09:11:134,433813,433813,0,0,20539110,0,2910 89,3,2024-09-07 09:09:11:791,1,345,1,0,385,6271,345,0 90,0,2024-09-07 09:09:11:685,84758,0.5,87090,0.7,177620,0.4,232069,2.00 90,1,2024-09-07 09:09:10:599,605886,605886,0,0,283243443908,2969222725,599674,5708,504,380,391825,0 90,2,2024-09-07 09:09:11:415,433971,433971,0,0,21744273,0,3060 90,3,2024-09-07 09:09:10:933,1,345,1,0,246,3728,345,0 91,0,2024-09-07 09:09:11:048,87744,0.5,84944,0.6,177445,0.4,232966,1.75 91,1,2024-09-07 09:09:10:559,604305,604305,0,0,283295094136,2979769381,596474,6785,1046,381,392047,0 91,2,2024-09-07 09:09:11:332,436522,436522,0,0,19685338,0,2896 91,3,2024-09-07 09:09:10:609,1,345,1,0,216,3238,345,0 92,0,2024-09-07 09:09:11:548,85170,0.4,87373,0.6,166879,0.4,226157,1.75 92,1,2024-09-07 09:09:10:583,605846,605846,0,0,283146465144,2958294723,601034,4125,687,382,392136,0 92,2,2024-09-07 09:09:11:351,440871,440871,0,0,17709328,0,3259 92,3,2024-09-07 09:09:11:014,1,345,2,0,167,2901,345,0 93,0,2024-09-07 09:09:11:059,86826,0.4,88962,0.5,170050,0.3,230549,1.75 93,1,2024-09-07 09:09:10:821,606136,606136,0,0,283958280382,2963777741,600017,5089,1030,366,391776,0 93,2,2024-09-07 09:09:10:932,433664,433664,0,0,20480824,0,4845 93,3,2024-09-07 09:09:11:410,1,345,0,0,190,3336,345,0 94,0,2024-09-07 09:09:11:688,85646,0.4,86444,0.5,172417,0.3,228874,1.75 94,1,2024-09-07 09:09:10:575,606361,606361,0,0,283745336471,2961035140,602322,3849,190,381,391850,0 94,2,2024-09-07 09:09:10:773,434747,434747,0,0,17690050,0,2443 94,3,2024-09-07 09:09:11:689,1,345,90,0,264,4293,345,0 95,0,2024-09-07 09:09:11:393,86776,0.4,86939,0.5,174211,0.3,231961,1.75 95,1,2024-09-07 09:09:10:863,607787,607787,0,0,284192814005,2955306998,602814,4574,399,367,391713,0 95,2,2024-09-07 09:09:11:024,436577,436577,0,0,17507768,0,3308 95,3,2024-09-07 09:09:11:721,1,345,1,0,718,5372,345,0 96,0,2024-09-07 09:09:11:066,85667,0.4,85844,0.5,171252,0.3,227101,1.75 96,1,2024-09-07 09:09:11:589,605921,605921,0,0,284183353966,2964086702,601394,3731,796,384,391955,0 96,2,2024-09-07 09:09:11:267,440787,440787,0,0,17407905,0,4042 96,3,2024-09-07 09:09:11:143,1,345,1,0,411,3735,345,0 97,0,2024-09-07 09:09:11:344,84147,0.3,83925,0.5,168534,0.3,224298,1.50 97,1,2024-09-07 09:09:10:762,607964,607964,0,0,285176217661,2955096327,604079,3211,674,367,392140,0 97,2,2024-09-07 09:09:10:614,435739,435739,0,0,16794048,0,3036 97,3,2024-09-07 09:09:10:578,1,345,7,0,214,4035,345,0 98,0,2024-09-07 09:09:11:811,86871,0.3,86595,0.5,173905,0.2,230789,1.50 98,1,2024-09-07 09:09:10:585,607251,607251,0,0,283724104721,2950277220,604583,2561,107,382,391997,0 98,2,2024-09-07 09:09:10:806,435319,435319,0,0,16704618,0,3080 98,3,2024-09-07 09:09:10:703,1,345,1,0,840,5422,345,0 99,0,2024-09-07 09:09:11:515,88151,0.3,88721,0.5,176541,0.3,235281,1.75 99,1,2024-09-07 09:09:11:724,607105,607105,0,0,284074439732,2957041276,602961,3389,755,381,392069,0 99,2,2024-09-07 09:09:11:424,437829,437829,0,0,18876479,0,3424 99,3,2024-09-07 09:09:10:597,1,345,20,0,199,3299,345,0 100,0,2024-09-07 09:09:11:518,87140,0.7,87069,0.9,174079,0.8,232195,2.25 100,1,2024-09-07 09:09:10:549,603645,603645,0,0,281768325511,2975302264,595174,6975,1496,381,391989,0 100,2,2024-09-07 09:09:11:821,437543,437532,11,0,19841507,0,5417 100,3,2024-09-07 09:09:11:741,1,345,31,0,559,5987,345,0 101,0,2024-09-07 09:09:11:711,85308,0.8,83134,0.9,163075,0.6,223990,2.25 101,1,2024-09-07 09:09:10:551,603689,603689,0,0,282867344678,2977128256,594597,7068,2024,368,391771,0 101,2,2024-09-07 09:09:11:756,434202,434202,0,0,22923158,0,4644 101,3,2024-09-07 09:09:10:962,1,345,20,0,579,4534,345,0 102,0,2024-09-07 09:09:11:001,86036,0.7,88992,0.8,179740,0.7,235061,2.00 102,1,2024-09-07 09:09:11:182,604662,604662,0,0,283088064563,2971635262,597342,6288,1032,369,391891,0 102,2,2024-09-07 09:09:11:752,435205,435151,54,0,19199223,0,6768 102,3,2024-09-07 09:09:11:642,1,345,3,0,410,3662,345,0 103,0,2024-09-07 09:09:11:654,91009,0.6,90970,0.8,171546,0.7,236195,2.00 103,1,2024-09-07 09:09:11:657,603873,603873,0,0,282388910676,2976870611,595052,6825,1996,381,391829,0 103,2,2024-09-07 09:09:10:583,434659,434659,0,0,20259495,0,3173 103,3,2024-09-07 09:09:10:765,1,345,1,0,916,4249,345,0 104,0,2024-09-07 09:09:11:013,86914,0.7,87253,0.9,173355,0.7,233437,2.25 104,1,2024-09-07 09:09:11:611,605632,605632,0,0,282690442829,2971872959,596718,7216,1698,365,392168,0 104,2,2024-09-07 09:09:11:670,435111,435111,0,0,21314924,0,3941 104,3,2024-09-07 09:09:11:418,1,345,1,0,1245,7531,345,0 105,0,2024-09-07 09:09:11:045,83265,0.8,80959,1.0,169592,0.9,223533,2.75 105,1,2024-09-07 09:09:10:554,605807,605807,0,0,283490419102,2973456468,597650,6822,1335,366,391797,0 105,2,2024-09-07 09:09:11:322,438116,438116,0,0,20627819,0,3509 105,3,2024-09-07 09:09:11:305,1,345,31,0,399,5622,345,0 106,0,2024-09-07 09:09:11:047,84080,0.8,86030,0.9,176148,0.9,231072,2.50 106,1,2024-09-07 09:09:11:813,604424,604424,0,0,283126147933,2976781920,594832,8298,1294,369,391914,0 106,2,2024-09-07 09:09:10:793,432399,432399,0,0,20326237,0,2795 106,3,2024-09-07 09:09:10:684,1,345,17,0,470,4369,345,0 107,0,2024-09-07 09:09:11:291,86684,1.2,86713,1.0,173461,1.6,231383,2.25 107,1,2024-09-07 09:09:10:668,604083,604083,0,0,282941560258,2980881226,596100,7067,916,381,392234,0 107,2,2024-09-07 09:09:11:297,433658,433657,1,0,20846032,0,5024 107,3,2024-09-07 09:09:11:780,1,345,5,0,353,4821,345,0 108,0,2024-09-07 09:09:11:800,86744,0.5,87299,0.6,173572,0.4,231804,1.75 108,1,2024-09-07 09:09:11:298,606501,606501,0,0,284321885976,2965372513,601412,4571,518,368,391857,0 108,2,2024-09-07 09:09:11:759,435050,435050,0,0,19773514,0,4246 108,3,2024-09-07 09:09:11:336,1,345,0,0,749,6988,345,0 109,0,2024-09-07 09:09:11:766,85521,0.4,84619,0.5,169522,0.3,227055,1.75 109,1,2024-09-07 09:09:10:595,604440,604440,0,0,283527578281,2973159434,599230,4545,665,383,392132,0 109,2,2024-09-07 09:09:10:921,438373,438373,0,0,19385322,0,3617 109,3,2024-09-07 09:09:11:142,1,345,1,0,379,4098,345,0 110,0,2024-09-07 09:09:11:774,85055,0.4,82814,0.6,173504,0.3,227409,1.75 110,1,2024-09-07 09:09:11:649,607418,607418,0,0,284225910439,2949127303,603415,3026,977,369,392045,0 110,2,2024-09-07 09:09:11:308,434181,434181,0,0,18988364,0,4067 110,3,2024-09-07 09:09:10:696,1,345,2,0,722,5181,345,0 111,0,2024-09-07 09:09:11:415,86554,0.4,85834,0.6,172039,0.4,229644,1.75 111,1,2024-09-07 09:09:11:000,607918,607918,0,0,285928285810,2966279359,604538,3028,352,382,391690,0 111,2,2024-09-07 09:09:11:119,433902,433902,0,0,18383194,0,4823 111,3,2024-09-07 09:09:10:913,1,345,1,0,379,4125,345,0 112,0,2024-09-07 09:09:10:915,88442,0.3,87825,0.4,176159,0.2,233783,1.50 112,1,2024-09-07 09:09:10:827,607598,607598,0,0,284232290339,2947283496,604133,2881,584,380,391624,0 112,2,2024-09-07 09:09:11:135,437220,437219,1,0,16675823,0,5036 112,3,2024-09-07 09:09:10:604,1,345,5,0,282,3488,345,0 113,0,2024-09-07 09:09:10:950,85662,0.3,85823,0.4,172263,0.2,228882,1.50 113,1,2024-09-07 09:09:11:694,609514,609514,0,0,285032779970,2939801197,606233,2697,584,366,391661,0 113,2,2024-09-07 09:09:11:321,441527,441527,0,0,15399986,0,3813 113,3,2024-09-07 09:09:10:685,1,345,1,0,340,4077,345,0 114,0,2024-09-07 09:09:10:875,84187,0.3,84766,0.5,168309,0.2,225384,1.75 114,1,2024-09-07 09:09:10:724,607386,607386,0,0,284776021768,2958221671,602258,3534,1594,381,391556,0 114,2,2024-09-07 09:09:10:875,435990,435989,1,0,16962159,0,5069 114,3,2024-09-07 09:09:11:278,1,345,7,0,395,2991,345,0 115,0,2024-09-07 09:09:10:553,87285,0.3,88061,0.4,175891,0.2,233404,1.50 115,1,2024-09-07 09:09:10:576,606918,606918,0,0,284741891706,2955923873,602053,4025,840,382,391757,0 115,2,2024-09-07 09:09:11:125,436800,436800,0,0,15629718,0,3453 115,3,2024-09-07 09:09:11:002,1,345,1,0,159,1986,345,0 116,0,2024-09-07 09:09:11:717,87935,0.8,87813,0.9,176123,0.9,235389,2.00 116,1,2024-09-07 09:09:10:819,603861,603861,0,0,283144118628,2985457763,596256,5336,2269,380,391878,0 116,2,2024-09-07 09:09:11:764,435458,435458,0,0,21303457,0,3529 116,3,2024-09-07 09:09:10:911,1,345,1,0,415,4258,345,0 117,0,2024-09-07 09:09:10:995,88058,0.7,87532,0.8,175313,0.7,234628,2.00 117,1,2024-09-07 09:09:11:598,605163,605163,0,0,282854047892,2960114620,598852,5642,669,370,392033,0 117,2,2024-09-07 09:09:11:147,440039,440039,0,0,17749042,0,3700 117,3,2024-09-07 09:09:11:066,1,345,14,0,490,5004,345,0 118,0,2024-09-07 09:09:11:788,81174,0.5,83279,0.7,170131,0.4,222489,2.00 118,1,2024-09-07 09:09:10:618,604989,604989,0,0,282971223427,2973762816,596190,6901,1898,366,391907,0 118,2,2024-09-07 09:09:11:587,436977,436977,0,0,19600122,0,2781 118,3,2024-09-07 09:09:11:765,1,345,29,0,235,3789,345,0 119,0,2024-09-07 09:09:11:679,88274,0.6,88630,0.7,177359,0.6,235864,2.00 119,1,2024-09-07 09:09:10:688,606383,606383,0,0,283670703947,2965903264,599810,5736,837,367,391780,0 119,2,2024-09-07 09:09:11:355,435076,435076,0,0,18268887,0,4174 119,3,2024-09-07 09:09:11:347,1,345,21,0,563,5207,345,0 120,0,2024-09-07 09:09:11:609,87045,0.7,86732,0.9,173541,0.7,232689,2.25 120,1,2024-09-07 09:09:10:865,605483,605483,0,0,283137664079,2971442681,599062,5865,556,368,391961,0 120,2,2024-09-07 09:09:10:788,435094,435093,1,0,21601385,0,5281 120,3,2024-09-07 09:09:11:292,1,345,5,0,241,4274,345,0 121,0,2024-09-07 09:09:11:705,86967,1.0,87193,1.0,174944,1.3,232581,2.25 121,1,2024-09-07 09:09:11:674,606297,606297,0,0,283243457836,2963072645,600380,5479,438,367,391840,0 121,2,2024-09-07 09:09:11:124,435319,435319,0,0,20833172,0,4127 121,3,2024-09-07 09:09:10:740,1,345,15,0,269,3931,345,0 122,0,2024-09-07 09:09:11:780,84187,0.7,82152,0.8,172279,0.8,226034,2.00 122,1,2024-09-07 09:09:10:895,605176,605176,0,0,283212167939,2971951888,596713,7201,1262,366,392130,0 122,2,2024-09-07 09:09:11:321,439257,439184,73,0,23373071,0,5989 122,3,2024-09-07 09:09:10:598,1,345,61,0,512,6607,345,0 123,0,2024-09-07 09:09:10:983,85866,0.7,84065,0.8,175334,0.7,230047,2.00 123,1,2024-09-07 09:09:10:560,604713,604713,0,0,283562506727,2989879196,593265,9321,2127,369,392039,0 123,2,2024-09-07 09:09:11:025,432248,432247,1,0,20070354,0,5215 123,3,2024-09-07 09:09:11:144,1,345,3,0,168,3911,345,0 124,0,2024-09-07 09:09:10:931,88647,0.4,88672,0.5,167110,0.3,229715,1.75 124,1,2024-09-07 09:09:11:031,607195,607195,0,0,283539899494,2950248626,602475,3810,910,367,392178,0 124,2,2024-09-07 09:09:11:015,436140,436087,53,0,17996620,0,6487 124,3,2024-09-07 09:09:10:765,1,345,2,0,490,3511,345,0 125,0,2024-09-07 09:09:11:418,87261,0.4,86739,0.6,174603,0.3,232292,1.75 125,1,2024-09-07 09:09:10:856,605815,605815,0,0,283344787736,2958641281,600932,4238,645,383,391702,0 125,2,2024-09-07 09:09:11:125,437138,437138,0,0,18693981,0,4534 125,3,2024-09-07 09:09:11:144,1,345,0,0,709,4625,345,0 126,0,2024-09-07 09:09:11:472,85509,0.4,87751,0.6,168337,0.4,227983,1.75 126,1,2024-09-07 09:09:10:554,607658,607658,0,0,284166006450,2944819047,603918,3455,285,365,391987,0 126,2,2024-09-07 09:09:10:617,440715,440715,0,0,18673821,0,4539 126,3,2024-09-07 09:09:10:906,1,345,12,0,207,4287,345,0 127,0,2024-09-07 09:09:11:608,84016,0.3,84545,0.5,168419,0.3,224399,1.75 127,1,2024-09-07 09:09:10:570,606736,606736,0,0,283581847404,2945840095,601335,4541,860,364,392187,0 127,2,2024-09-07 09:09:10:636,434249,434249,0,0,17154514,0,3897 127,3,2024-09-07 09:09:11:279,1,345,3,0,968,4032,345,0 128,0,2024-09-07 09:09:11:533,86994,0.3,87204,0.4,174546,0.2,231135,1.50 128,1,2024-09-07 09:09:11:613,607187,607187,0,0,284049950886,2948882782,603086,3730,371,367,391798,0 128,2,2024-09-07 09:09:11:383,436156,436156,0,0,16058385,0,2915 128,3,2024-09-07 09:09:10:768,1,345,3,0,1082,5933,345,0 129,0,2024-09-07 09:09:11:032,88778,0.3,88309,0.5,177229,0.3,235299,1.75 129,1,2024-09-07 09:09:10:581,604417,604417,0,0,283576185309,2968425338,598768,4392,1257,379,391835,0 129,2,2024-09-07 09:09:10:699,437044,437044,0,0,16950854,0,4031 129,3,2024-09-07 09:09:10:711,1,345,7,0,469,4503,345,0 130,0,2024-09-07 09:09:11:724,87968,0.5,87447,0.6,175979,0.5,233436,1.75 130,1,2024-09-07 09:09:10:584,606990,606990,0,0,283660333682,2948776901,603572,3139,279,381,391825,0 130,2,2024-09-07 09:09:11:126,440241,440241,0,0,16860674,0,4067 130,3,2024-09-07 09:09:11:303,1,345,2,0,450,4170,345,0 131,0,2024-09-07 09:09:11:936,83387,0.3,83940,0.5,168561,0.3,223653,1.50 131,1,2024-09-07 09:09:11:848,607161,607161,0,0,284092403703,2963639391,602682,3732,747,381,391865,0 131,2,2024-09-07 09:09:10:567,438546,438546,0,0,15558347,0,2415 131,3,2024-09-07 09:09:11:689,1,345,1,0,392,3536,345,0 132,0,2024-09-07 09:09:11:422,88401,0.4,89169,0.6,177560,0.4,235809,1.75 132,1,2024-09-07 09:09:10:576,603995,603995,0,0,282868299044,2977940045,595352,7176,1467,381,392097,0 132,2,2024-09-07 09:09:10:698,434467,434467,0,0,20760239,0,4606 132,3,2024-09-07 09:09:11:691,1,345,2,0,804,6212,345,0 133,0,2024-09-07 09:09:11:518,86045,0.5,87905,0.6,180598,0.4,234924,2.00 133,1,2024-09-07 09:09:10:584,604064,604064,0,0,282849230689,2980235027,595882,7097,1085,383,391914,0 133,2,2024-09-07 09:09:11:091,435138,435138,0,0,22083215,0,4315 133,3,2024-09-07 09:09:11:303,1,345,1,0,479,3655,345,0 134,0,2024-09-07 09:09:10:956,88055,0.5,87906,0.7,175813,0.5,234693,2.00 134,1,2024-09-07 09:09:10:585,604733,604733,0,0,282763068400,2968383993,596411,6466,1856,366,391718,0 134,2,2024-09-07 09:09:11:782,435949,435949,0,0,18435314,0,3847 134,3,2024-09-07 09:09:10:776,1,345,3,0,739,4446,345,0 135,0,2024-09-07 09:09:11:232,81349,0.6,81387,0.8,172613,0.7,223104,2.00 135,1,2024-09-07 09:09:11:609,605306,605306,0,0,283496770494,2983250625,596872,7197,1237,380,391805,0 135,2,2024-09-07 09:09:10:689,439746,439746,0,0,20044737,0,3981 135,3,2024-09-07 09:09:11:003,1,345,1,0,299,2656,345,0 136,0,2024-09-07 09:09:11:620,87363,0.6,87594,0.7,174183,0.5,233041,2.00 136,1,2024-09-07 09:09:11:450,604870,604870,0,0,282936449342,2970869290,597845,6327,698,382,391685,0 136,2,2024-09-07 09:09:11:134,434597,434597,0,0,19769599,0,3506 136,3,2024-09-07 09:09:11:109,1,345,3,0,637,3924,345,0 137,0,2024-09-07 09:09:10:942,89563,0.7,87122,0.8,171146,0.7,232780,2.00 137,1,2024-09-07 09:09:10:579,604675,604675,0,0,283335279737,2974846380,595053,7740,1882,366,391898,0 137,2,2024-09-07 09:09:11:707,434377,434377,0,0,22212728,0,3185 137,3,2024-09-07 09:09:10:778,1,345,1,0,382,4084,345,0 138,0,2024-09-07 09:09:11:781,86415,1.3,86480,1.1,173635,1.8,230743,2.25 138,1,2024-09-07 09:09:11:690,605450,605450,0,0,283536719031,2977400329,596402,7452,1596,368,391954,0 138,2,2024-09-07 09:09:10:622,435603,435603,0,0,19847195,0,4988 138,3,2024-09-07 09:09:10:610,1,345,2,0,1160,4873,345,0 139,0,2024-09-07 09:09:11:422,83945,1.1,84282,1.0,168866,1.6,225192,2.25 139,1,2024-09-07 09:09:10:578,602906,602906,0,0,281662680743,2992549042,591539,8723,2644,381,391892,0 139,2,2024-09-07 09:09:10:701,437372,437372,0,0,21737912,0,3097 139,3,2024-09-07 09:09:11:666,1,345,1,0,257,3877,345,0 140,0,2024-09-07 09:09:11:596,85478,0.3,84898,0.5,170791,0.2,227805,1.75 140,1,2024-09-07 09:09:11:546,609190,609190,0,0,285169713808,2940858214,605984,2767,439,365,391606,0 140,2,2024-09-07 09:09:10:687,434597,434596,1,0,17671784,0,5036 140,3,2024-09-07 09:09:10:774,1,345,1,0,247,2750,345,0 141,0,2024-09-07 09:09:11:702,86328,0.4,88621,0.5,169309,0.3,229640,1.75 141,1,2024-09-07 09:09:10:878,607435,607435,0,0,284596700082,2956822047,602872,3701,862,379,391614,0 141,2,2024-09-07 09:09:11:692,434784,434784,0,0,17289330,0,3360 141,3,2024-09-07 09:09:11:046,1,345,1,0,391,3939,345,0 142,0,2024-09-07 09:09:11:350,88622,0.3,87747,0.5,175517,0.3,234263,1.75 142,1,2024-09-07 09:09:10:590,607266,607266,0,0,283059592158,2951710480,603299,3615,352,382,392102,0 142,2,2024-09-07 09:09:11:308,436477,436445,32,0,18735041,0,6028 142,3,2024-09-07 09:09:11:758,1,345,4,0,484,4183,345,0 143,0,2024-09-07 09:09:11:397,86159,0.4,85922,0.6,172249,0.4,229221,1.75 143,1,2024-09-07 09:09:10:559,607607,607607,0,0,284706935427,2953526653,603829,3627,151,367,391705,0 143,2,2024-09-07 09:09:10:777,440228,440228,0,0,18133170,0,3123 143,3,2024-09-07 09:09:11:139,1,345,1,0,303,4140,345,0 144,0,2024-09-07 09:09:11:516,81283,0.5,83428,0.7,170025,0.4,223550,2.00 144,1,2024-09-07 09:09:10:582,604905,604905,0,0,282697539513,2961569844,600048,3916,941,381,391649,0 144,2,2024-09-07 09:09:11:757,436185,436185,0,0,16255649,0,3473 144,3,2024-09-07 09:09:11:742,1,345,1,0,249,3462,345,0 145,0,2024-09-07 09:09:11:475,84535,0.6,84586,0.8,179505,0.5,230826,2.25 145,1,2024-09-07 09:09:10:566,604266,604266,0,0,283134554584,2976411210,596907,6219,1140,382,391759,0 145,2,2024-09-07 09:09:11:443,433804,433804,0,0,19973166,0,3903 145,3,2024-09-07 09:09:10:894,1,345,6,0,622,5148,345,0 146,0,2024-09-07 09:09:11:596,88174,0.6,87609,0.8,176602,0.6,234074,2.25 146,1,2024-09-07 09:09:11:590,605360,605360,0,0,282900188245,2976554990,595485,7864,2011,368,391770,0 146,2,2024-09-07 09:09:11:694,435056,435056,0,0,19875017,0,2730 146,3,2024-09-07 09:09:11:273,1,345,1,0,1520,7222,345,0 147,0,2024-09-07 09:09:11:704,88009,0.6,87778,0.7,174764,0.5,234214,2.00 147,1,2024-09-07 09:09:11:391,607252,607252,0,0,284158440895,2957094611,601589,4862,801,368,391791,0 147,2,2024-09-07 09:09:11:014,437591,437591,0,0,17484788,0,2789 147,3,2024-09-07 09:09:10:928,1,345,5,0,730,5030,345,0 0,0,2024-09-07 09:09:21:715,85184,0.7,85182,0.8,180775,0.8,233803,2.00 0,1,2024-09-07 09:09:20:802,607838,607838,0,0,284567291711,2974074493,603704,3889,245,369,391896,0 0,2,2024-09-07 09:09:21:067,437776,437776,0,0,17216558,0,4480 0,3,2024-09-07 09:09:20:974,1,346,6,0,431,4698,346,0 1,0,2024-09-07 09:09:21:785,87598,0.8,87034,0.9,174792,0.9,233468,2.00 1,1,2024-09-07 09:09:20:565,606743,606743,0,0,283993890330,2972478319,601141,4375,1227,370,391857,0 1,2,2024-09-07 09:09:20:658,436574,436574,0,0,16705758,0,3267 1,3,2024-09-07 09:09:21:305,1,346,32,0,262,4046,346,0 2,0,2024-09-07 09:09:21:566,84808,0.6,85079,0.7,169059,0.6,226857,2.00 2,1,2024-09-07 09:09:20:870,609120,609120,0,0,285557190635,2963725880,606220,2607,293,380,391745,0 2,2,2024-09-07 09:09:21:279,441435,441435,0,0,16625068,0,3594 2,3,2024-09-07 09:09:20:694,1,346,2,0,357,3656,346,0 3,0,2024-09-07 09:09:21:746,86548,0.4,86440,0.6,172912,0.3,230403,1.75 3,1,2024-09-07 09:09:21:618,607446,607446,0,0,284074823559,2956841534,602346,4477,623,380,391591,0 3,2,2024-09-07 09:09:21:148,435811,435788,23,0,17020636,0,5851 3,3,2024-09-07 09:09:21:760,1,346,1,0,207,2231,346,0 4,0,2024-09-07 09:09:21:768,83802,0.4,86289,0.5,175349,0.4,229772,1.75 4,1,2024-09-07 09:09:20:604,606233,606233,0,0,283458084345,2984768615,598775,6101,1357,371,391992,0 4,2,2024-09-07 09:09:21:042,434597,434597,0,0,20012561,0,4528 4,3,2024-09-07 09:09:21:027,1,346,1,0,448,4834,346,0 5,0,2024-09-07 09:09:21:403,87124,0.5,87438,0.6,174629,0.4,232175,1.75 5,1,2024-09-07 09:09:20:763,607024,607024,0,0,284310534586,2987697683,599855,5813,1356,367,392005,0 5,2,2024-09-07 09:09:21:828,435935,435935,0,0,19100602,0,2432 5,3,2024-09-07 09:09:21:732,1,346,1,0,457,4973,346,0 6,0,2024-09-07 09:09:20:932,86079,0.4,85564,0.6,171092,0.4,228580,2.00 6,1,2024-09-07 09:09:20:754,606976,606976,0,0,284383543934,2971071387,600364,5527,1085,379,391694,0 6,2,2024-09-07 09:09:21:116,441165,441165,0,0,18305794,0,4816 6,3,2024-09-07 09:09:21:273,1,346,1,0,340,3733,346,0 7,0,2024-09-07 09:09:21:533,84153,0.4,84646,0.6,168551,0.4,224662,1.75 7,1,2024-09-07 09:09:20:853,606298,606298,0,0,284196734498,2982290209,598227,6895,1176,382,391747,0 7,2,2024-09-07 09:09:20:773,435978,435978,0,0,18433241,0,4791 7,3,2024-09-07 09:09:20:852,1,346,2,0,398,3860,346,0 8,0,2024-09-07 09:09:21:323,86926,0.4,86790,0.5,173735,0.3,231252,1.75 8,1,2024-09-07 09:09:21:028,605503,605503,0,0,284577659880,2995569358,595350,7876,2277,366,392144,0 8,2,2024-09-07 09:09:20:801,432251,432251,0,0,22869360,0,3220 8,3,2024-09-07 09:09:20:601,1,346,1,0,538,5961,346,0 9,0,2024-09-07 09:09:21:150,88493,0.4,85954,0.5,179885,0.4,235442,1.75 9,1,2024-09-07 09:09:20:568,606059,606059,0,0,283517871840,2988969260,596987,6947,2125,369,392001,0 9,2,2024-09-07 09:09:21:111,437137,437137,0,0,20191347,0,3360 9,3,2024-09-07 09:09:21:760,1,346,1,0,496,5121,346,0 10,0,2024-09-07 09:09:21:616,87606,0.3,86949,0.5,174611,0.2,232127,1.75 10,1,2024-09-07 09:09:20:585,607133,607133,0,0,283571251298,2970405600,599250,6774,1109,381,391741,0 10,2,2024-09-07 09:09:20:763,440523,440523,0,0,21901392,0,4264 10,3,2024-09-07 09:09:20:877,1,346,1,0,296,3188,346,0 11,0,2024-09-07 09:09:21:006,83610,0.4,81174,0.6,169723,0.3,224662,1.75 11,1,2024-09-07 09:09:20:571,607310,607310,0,0,285030978930,2993821292,597288,7574,2448,383,391756,0 11,2,2024-09-07 09:09:21:134,436785,436785,0,0,19670339,0,4130 11,3,2024-09-07 09:09:21:298,1,346,11,0,843,5377,346,0 12,0,2024-09-07 09:09:20:956,89141,0.4,89186,0.5,178005,0.3,236127,1.75 12,1,2024-09-07 09:09:20:936,607352,607352,0,0,283876799933,2960339600,602488,4372,492,370,391870,0 12,2,2024-09-07 09:09:21:544,436937,436937,0,0,19230927,0,3469 12,3,2024-09-07 09:09:21:070,1,346,0,0,386,5559,346,0 13,0,2024-09-07 09:09:21:341,89171,0.4,88771,0.6,177262,0.4,236358,1.75 13,1,2024-09-07 09:09:21:529,606296,606296,0,0,283079925632,2976827342,600384,4502,1410,382,391740,0 13,2,2024-09-07 09:09:20:602,438413,438413,0,0,17670082,0,3287 13,3,2024-09-07 09:09:21:769,1,346,41,0,522,5577,346,0 14,0,2024-09-07 09:09:20:576,88277,0.4,89017,0.6,176101,0.3,235227,1.75 14,1,2024-09-07 09:09:21:561,610857,610857,0,0,284997641002,2951886716,606169,4329,359,364,391571,0 14,2,2024-09-07 09:09:20:764,437719,437689,30,0,19283805,0,6104 14,3,2024-09-07 09:09:21:115,1,346,4,0,1168,4115,346,0 15,0,2024-09-07 09:09:21:554,84274,0.4,84344,0.6,168868,0.4,224841,1.75 15,1,2024-09-07 09:09:21:614,607955,607955,0,0,284970821099,2966683231,603615,3518,822,381,391619,0 15,2,2024-09-07 09:09:20:998,441234,441234,0,0,15877910,0,3622 15,3,2024-09-07 09:09:21:411,1,346,6,0,1126,6258,346,0 16,0,2024-09-07 09:09:20:956,87560,0.6,87875,0.7,175435,0.6,234153,2.00 16,1,2024-09-07 09:09:20:579,608771,608771,0,0,285392948704,2977290918,604478,3931,362,370,391756,0 16,2,2024-09-07 09:09:21:434,435732,435732,0,0,18111939,0,4719 16,3,2024-09-07 09:09:21:147,1,346,0,0,317,4732,346,0 17,0,2024-09-07 09:09:21:779,89806,0.7,87619,0.8,171434,0.7,233102,2.00 17,1,2024-09-07 09:09:20:593,606423,606423,0,0,283908421428,2977679900,600181,4983,1259,368,392075,0 17,2,2024-09-07 09:09:21:667,438951,438951,0,0,17786310,0,2857 17,3,2024-09-07 09:09:20:585,1,346,1,0,298,5643,346,0 18,0,2024-09-07 09:09:20:940,86213,0.6,86486,0.8,172485,0.6,230258,2.25 18,1,2024-09-07 09:09:21:639,609035,609035,0,0,284722951010,2949758205,605699,3025,311,367,391649,0 18,2,2024-09-07 09:09:21:755,439120,439120,0,0,16461741,0,3541 18,3,2024-09-07 09:09:20:900,1,346,0,0,163,2951,346,0 19,0,2024-09-07 09:09:21:545,84833,0.6,85577,0.7,169094,0.5,225367,2.00 19,1,2024-09-07 09:09:20:566,609385,609385,0,0,285575745751,2961772635,604259,4287,839,367,391777,0 19,2,2024-09-07 09:09:21:752,442686,442686,0,0,15254922,0,3988 19,3,2024-09-07 09:09:21:131,1,346,2,0,524,2595,346,0 20,0,2024-09-07 09:09:21:371,85441,0.5,85368,0.7,170819,0.5,228339,2.00 20,1,2024-09-07 09:09:20:596,607260,607260,0,0,284763373224,2973177147,602919,3934,407,369,391886,0 20,2,2024-09-07 09:09:20:932,435952,435952,0,0,18456548,0,3721 20,3,2024-09-07 09:09:20:601,1,346,1,0,414,5419,346,0 21,0,2024-09-07 09:09:21:160,86411,0.5,86384,0.7,172670,0.5,229071,2.00 21,1,2024-09-07 09:09:21:549,606398,606398,0,0,283289174312,2983802894,598400,6117,1881,368,392016,0 21,2,2024-09-07 09:09:21:078,433809,433809,0,0,21533749,0,3747 21,3,2024-09-07 09:09:21:403,1,346,10,0,713,4076,346,0 22,0,2024-09-07 09:09:21:745,87524,0.5,87866,0.7,175877,0.5,232603,2.00 22,1,2024-09-07 09:09:21:023,607428,607428,0,0,283812064655,2981044227,598936,6672,1820,382,391667,0 22,2,2024-09-07 09:09:20:760,436815,436815,0,0,17558153,0,3134 22,3,2024-09-07 09:09:21:066,1,346,5,0,228,2869,346,0 23,0,2024-09-07 09:09:21:368,85517,0.5,85538,0.7,171279,0.5,227970,2.25 23,1,2024-09-07 09:09:21:006,607294,607294,0,0,285019832219,2992012967,596799,7336,3159,365,391690,0 23,2,2024-09-07 09:09:21:097,442238,442238,0,0,17488567,0,3010 23,3,2024-09-07 09:09:21:754,1,346,1,0,720,4754,346,0 24,0,2024-09-07 09:09:20:894,84727,0.4,84680,0.5,169794,0.3,226093,1.75 24,1,2024-09-07 09:09:20:601,606354,606354,0,0,284003967750,2979524309,599454,5370,1530,368,392269,0 24,2,2024-09-07 09:09:21:071,435158,435158,0,0,21368849,0,3607 24,3,2024-09-07 09:09:21:703,1,346,3,0,468,4590,346,0 25,0,2024-09-07 09:09:21:347,90058,0.4,87764,0.6,172025,0.4,234154,2.00 25,1,2024-09-07 09:09:20:572,607517,607517,0,0,284589569012,2987539631,599634,6510,1373,371,391928,0 25,2,2024-09-07 09:09:21:606,435291,435291,0,0,21545189,0,3978 25,3,2024-09-07 09:09:21:000,1,346,8,0,255,3443,346,0 26,0,2024-09-07 09:09:21:726,88101,0.4,86071,0.6,180475,0.4,234962,1.75 26,1,2024-09-07 09:09:21:544,607613,607613,0,0,283991243015,2975708462,598726,7351,1536,380,391748,0 26,2,2024-09-07 09:09:20:880,437845,437845,0,0,21408698,0,2809 26,3,2024-09-07 09:09:21:712,1,346,1,0,796,4427,346,0 27,0,2024-09-07 09:09:21:726,88344,0.4,88451,0.6,175384,0.4,234381,2.00 27,1,2024-09-07 09:09:21:683,609703,609703,0,0,285061609206,2967543284,604397,4540,766,381,391626,0 27,2,2024-09-07 09:09:20:867,436550,436485,65,0,20869420,0,5699 27,3,2024-09-07 09:09:21:015,1,346,1,0,564,3654,346,0 28,0,2024-09-07 09:09:21:400,84211,0.4,83834,0.6,168112,0.3,224798,2.00 28,1,2024-09-07 09:09:20:806,608806,608806,0,0,285945117818,2978357731,604424,3677,705,383,391698,0 28,2,2024-09-07 09:09:21:766,438383,438383,0,0,18452441,0,2915 28,3,2024-09-07 09:09:21:776,1,346,1,0,502,3755,346,0 29,0,2024-09-07 09:09:21:360,91251,0.4,88881,0.6,174413,0.3,237521,1.75 29,1,2024-09-07 09:09:21:561,610272,610272,0,0,285070039044,2951909321,605930,3657,685,369,391753,0 29,2,2024-09-07 09:09:20:869,435045,435045,0,0,17763108,0,4986 29,3,2024-09-07 09:09:20:963,1,346,59,0,459,4358,346,0 30,0,2024-09-07 09:09:21:476,87373,0.5,84887,0.7,177733,0.5,232862,2.00 30,1,2024-09-07 09:09:20:575,609566,609566,0,0,285973253689,2969626862,604747,4109,710,381,391672,0 30,2,2024-09-07 09:09:21:279,437401,437401,0,0,16712682,0,3161 30,3,2024-09-07 09:09:20:582,1,346,24,0,519,3476,346,0 31,0,2024-09-07 09:09:21:760,87152,0.4,87543,0.6,174987,0.4,233208,2.00 31,1,2024-09-07 09:09:20:565,611694,611694,0,0,286526837082,2938076034,609150,1985,559,356,391712,0 31,2,2024-09-07 09:09:21:282,437095,437095,0,0,18525656,0,3525 31,3,2024-09-07 09:09:21:706,1,346,1,0,220,2955,346,0 32,0,2024-09-07 09:09:21:417,84863,0.3,85277,0.5,170396,0.2,227223,1.50 32,1,2024-09-07 09:09:20:808,609341,609341,0,0,285606721048,2964109181,606197,2698,446,381,391646,0 32,2,2024-09-07 09:09:20:940,441702,441702,0,0,15594697,0,3155 32,3,2024-09-07 09:09:21:015,1,346,2,0,227,2464,346,0 33,0,2024-09-07 09:09:21:499,86845,0.3,86311,0.4,173370,0.2,230865,1.50 33,1,2024-09-07 09:09:20:583,610603,610603,0,0,285898184782,2958816282,606189,3583,831,369,391730,0 33,2,2024-09-07 09:09:20:759,437074,437039,35,0,17643238,0,7012 33,3,2024-09-07 09:09:20:903,1,346,4,0,329,3588,346,0 34,0,2024-09-07 09:09:20:936,86484,0.3,89036,0.5,170255,0.2,229158,1.75 34,1,2024-09-07 09:09:21:044,611334,611334,0,0,286795691114,2946494852,609913,1412,9,367,391562,0 34,2,2024-09-07 09:09:20:766,436691,436691,0,0,16858396,0,3577 34,3,2024-09-07 09:09:21:688,1,346,0,0,299,2506,346,0 35,0,2024-09-07 09:09:20:862,86488,0.4,86872,0.5,174720,0.3,232747,1.75 35,1,2024-09-07 09:09:21:071,609247,609247,0,0,285455656750,2956488300,605639,2827,781,382,391589,0 35,2,2024-09-07 09:09:21:583,437954,437954,0,0,16958974,0,2653 35,3,2024-09-07 09:09:20:914,1,346,16,0,418,4272,346,0 36,0,2024-09-07 09:09:21:526,86093,0.4,86012,0.6,172128,0.4,228275,2.00 36,1,2024-09-07 09:09:20:587,608784,608784,0,0,284592267466,2971298255,601467,5659,1658,366,391759,0 36,2,2024-09-07 09:09:21:755,441791,441791,0,0,19431620,0,3875 36,3,2024-09-07 09:09:20:865,1,346,1,0,416,5360,346,0 37,0,2024-09-07 09:09:21:407,84103,0.5,84021,0.6,168351,0.4,225296,2.00 37,1,2024-09-07 09:09:20:569,607531,607524,0,7,284384069114,2969529150,600749,4689,2086,365,391570,0 37,2,2024-09-07 09:09:21:147,434421,434406,15,0,19116824,0,5815 37,3,2024-09-07 09:09:21:767,1,346,5,0,888,5555,346,0 38,0,2024-09-07 09:09:21:437,86303,0.5,83761,0.7,175357,0.4,229125,2.00 38,1,2024-09-07 09:09:21:605,609744,609744,0,0,284940731369,2965149838,603685,5301,758,368,391821,0 38,2,2024-09-07 09:09:20:759,435344,435297,47,0,19486171,0,6710 38,3,2024-09-07 09:09:20:997,1,346,3,0,689,4717,346,0 39,0,2024-09-07 09:09:21:763,90042,0.6,88091,0.7,171610,0.6,234267,2.00 39,1,2024-09-07 09:09:20:717,607736,607736,0,0,284726961335,2977152134,599301,6974,1461,365,391658,0 39,2,2024-09-07 09:09:21:418,437988,437988,0,0,17566053,0,2689 39,3,2024-09-07 09:09:20:723,1,346,7,0,324,4321,346,0 40,0,2024-09-07 09:09:21:497,86668,0.7,87338,0.9,174257,0.7,231817,2.75 40,1,2024-09-07 09:09:20:586,608616,608616,0,0,283986232035,2972735622,600033,7072,1511,368,391668,0 40,2,2024-09-07 09:09:21:305,439601,439600,1,0,21185326,0,5137 40,3,2024-09-07 09:09:21:147,1,346,2,0,1028,5076,346,0 41,0,2024-09-07 09:09:21:023,83425,1.3,85354,1.2,163083,2.0,222362,2.75 41,1,2024-09-07 09:09:20:777,607418,607418,0,0,284340383165,2972748517,600365,6350,703,369,391742,0 41,2,2024-09-07 09:09:20:759,435602,435602,0,0,20089378,0,3356 41,3,2024-09-07 09:09:21:677,1,346,1,0,366,3504,346,0 42,0,2024-09-07 09:09:21:478,87631,1.0,87781,1.0,175824,1.1,232109,2.75 42,1,2024-09-07 09:09:21:440,606592,606592,0,0,283005350981,2973549164,597046,7788,1758,380,391675,0 42,2,2024-09-07 09:09:21:134,435700,435700,0,0,20364485,0,3790 42,3,2024-09-07 09:09:21:011,1,346,4,0,446,3098,346,0 43,0,2024-09-07 09:09:20:923,87302,0.9,85054,1.0,178287,1.0,233835,2.50 43,1,2024-09-07 09:09:20:592,607877,607877,0,0,285075497754,2977179017,599642,6797,1438,366,391696,0 43,2,2024-09-07 09:09:21:736,437459,437459,0,0,20052438,0,3812 43,3,2024-09-07 09:09:21:751,1,346,1,0,467,4324,346,0 44,0,2024-09-07 09:09:20:887,88216,0.4,88511,0.6,176697,0.4,235359,1.75 44,1,2024-09-07 09:09:20:565,609337,609337,0,0,284423573883,2940981276,604274,4016,1047,356,391809,0 44,2,2024-09-07 09:09:21:271,436946,436946,0,0,16741946,0,4344 44,3,2024-09-07 09:09:21:093,1,346,21,0,817,4526,346,0 45,0,2024-09-07 09:09:21:765,83426,0.5,81374,0.7,170625,0.4,224749,2.00 45,1,2024-09-07 09:09:21:012,608891,608891,0,0,285023127724,2961499580,604457,3958,476,382,391917,0 45,2,2024-09-07 09:09:21:267,440371,440371,0,0,17346032,0,3596 45,3,2024-09-07 09:09:20:936,1,346,0,0,271,3247,346,0 46,0,2024-09-07 09:09:20:979,86986,0.5,87035,0.7,174063,0.5,231889,2.00 46,1,2024-09-07 09:09:20:586,610452,610452,0,0,286054329486,2953461006,606738,3288,426,366,391572,0 46,2,2024-09-07 09:09:20:598,436385,436385,0,0,16313221,0,2920 46,3,2024-09-07 09:09:21:133,1,346,1,0,908,5038,346,0 47,0,2024-09-07 09:09:21:114,87514,0.5,87694,0.6,175658,0.5,232526,2.00 47,1,2024-09-07 09:09:20:573,610659,610659,0,0,285461333935,2952672479,606172,3672,815,366,391641,0 47,2,2024-09-07 09:09:20:907,438083,438083,0,0,16944950,0,4477 47,3,2024-09-07 09:09:21:115,1,346,1,0,529,3728,346,0 48,0,2024-09-07 09:09:21:494,87613,0.3,87254,0.4,174083,0.2,232347,1.50 48,1,2024-09-07 09:09:21:035,609009,609009,0,0,284924500752,2957381706,605448,3258,303,384,391710,0 48,2,2024-09-07 09:09:20:703,437157,437157,0,0,15344448,0,3031 48,3,2024-09-07 09:09:20:759,1,346,1,0,339,2884,346,0 49,0,2024-09-07 09:09:21:712,87483,0.3,85959,0.5,166361,0.2,227982,1.75 49,1,2024-09-07 09:09:21:023,608604,608604,0,0,284562583410,2961444089,604285,3134,1185,382,391809,0 49,2,2024-09-07 09:09:21:797,442191,442191,0,0,16536369,0,4426 49,3,2024-09-07 09:09:21:415,1,346,0,0,408,3576,346,0 50,0,2024-09-07 09:09:21:513,85962,0.3,84583,0.4,170617,0.2,228004,1.75 50,1,2024-09-07 09:09:21:010,610986,610986,0,0,285936878564,2954661482,607167,3445,374,368,391565,0 50,2,2024-09-07 09:09:21:068,435971,435971,0,0,15440325,0,2263 50,3,2024-09-07 09:09:21:291,1,346,1,0,335,3306,346,0 51,0,2024-09-07 09:09:21:698,88627,0.3,86581,0.5,168949,0.2,229947,1.75 51,1,2024-09-07 09:09:21:682,610848,610848,0,0,286615752542,2955589153,607587,2285,976,365,391706,0 51,2,2024-09-07 09:09:21:322,436085,436085,0,0,14903376,0,3337 51,3,2024-09-07 09:09:21:027,1,346,1,0,678,2585,346,0 52,0,2024-09-07 09:09:21:414,88133,0.5,88061,0.7,175879,0.4,233654,2.00 52,1,2024-09-07 09:09:20:581,607982,607982,0,0,284077565694,2973880380,599342,7327,1313,368,391722,0 52,2,2024-09-07 09:09:21:755,434679,434641,38,0,19878512,0,6742 52,3,2024-09-07 09:09:20:679,1,346,0,0,1782,5244,346,0 53,0,2024-09-07 09:09:21:735,85522,0.6,83018,0.8,173687,0.6,227609,2.25 53,1,2024-09-07 09:09:20:771,606475,606475,0,0,284130597036,2981295601,596850,7042,2583,367,391702,0 53,2,2024-09-07 09:09:21:299,442148,442148,0,0,17310885,0,2727 53,3,2024-09-07 09:09:20:702,1,346,1,0,308,3247,346,0 54,0,2024-09-07 09:09:21:620,83233,0.5,83680,0.7,166590,0.4,223386,2.25 54,1,2024-09-07 09:09:20:586,608449,608449,0,0,285841413672,2968953382,602784,5063,602,367,391659,0 54,2,2024-09-07 09:09:20:874,436192,436186,6,0,19589682,0,5382 54,3,2024-09-07 09:09:20:763,1,346,17,0,676,5242,346,0 55,0,2024-09-07 09:09:21:769,84672,0.6,87663,0.7,176761,0.6,230098,2.50 55,1,2024-09-07 09:09:20:764,608607,608607,0,0,284643590064,2960689612,601981,5717,909,365,391731,0 55,2,2024-09-07 09:09:20:740,435407,435407,0,0,18981546,0,3563 55,3,2024-09-07 09:09:20:679,1,346,1,0,304,3844,346,0 56,0,2024-09-07 09:09:21:560,90152,1.2,85065,1.1,175340,1.6,234612,2.50 56,1,2024-09-07 09:09:20:581,605635,605635,0,0,284617696867,3002996096,596897,7107,1631,381,391867,0 56,2,2024-09-07 09:09:21:305,437103,437103,0,0,20131425,0,3567 56,3,2024-09-07 09:09:21:060,1,346,1,0,705,4490,346,0 57,0,2024-09-07 09:09:20:949,86953,1.3,86791,1.2,174041,1.9,232897,3.00 57,1,2024-09-07 09:09:21:023,607062,607062,0,0,284291896062,2978480185,600188,6154,720,366,392032,0 57,2,2024-09-07 09:09:21:316,439459,439459,0,0,21777391,0,3317 57,3,2024-09-07 09:09:21:738,1,346,1,0,359,4030,346,0 58,0,2024-09-07 09:09:20:563,82800,0.8,80504,0.9,168502,0.9,221602,2.50 58,1,2024-09-07 09:09:20:578,608005,608002,0,3,285031751458,2978602314,599879,7041,1082,367,391603,3 58,2,2024-09-07 09:09:21:071,437956,437956,0,0,19919118,0,2549 58,3,2024-09-07 09:09:21:071,1,346,2,0,1043,3708,346,0 59,0,2024-09-07 09:09:21:741,88621,0.8,88155,0.9,176120,0.9,234153,2.50 59,1,2024-09-07 09:09:20:804,607613,607613,0,0,284114214905,2973374509,599735,6357,1521,369,391586,0 59,2,2024-09-07 09:09:20:583,436664,436664,0,0,19718790,0,2867 59,3,2024-09-07 09:09:21:737,1,346,7,0,1015,4381,346,0 60,0,2024-09-07 09:09:21:782,87523,0.5,87643,0.7,175878,0.5,233574,1.75 60,1,2024-09-07 09:09:20:782,609503,609503,0,0,285613821596,2964761152,605387,3470,646,370,392031,0 60,2,2024-09-07 09:09:21:147,436993,436993,0,0,19068029,0,3811 60,3,2024-09-07 09:09:21:262,1,346,0,0,409,4004,346,0 61,0,2024-09-07 09:09:21:499,87325,0.6,87853,0.8,174848,0.6,233024,2.00 61,1,2024-09-07 09:09:20:780,607361,607361,0,0,284333891411,2980237400,600198,5838,1325,382,392127,0 61,2,2024-09-07 09:09:21:126,437326,437259,67,0,19372688,0,6411 61,3,2024-09-07 09:09:21:687,1,346,15,0,479,5125,346,0 62,0,2024-09-07 09:09:21:717,85387,0.5,87288,0.7,166433,0.5,227082,2.00 62,1,2024-09-07 09:09:21:111,611613,611607,0,6,286552464347,2957122748,608027,3321,259,365,391715,6 62,2,2024-09-07 09:09:21:646,439890,439889,1,0,18986458,0,5555 62,3,2024-09-07 09:09:21:149,1,346,1,0,465,2628,346,0 63,0,2024-09-07 09:09:21:459,86875,0.4,86677,0.6,173834,0.3,231196,1.75 63,1,2024-09-07 09:09:20:804,609764,609758,0,6,285685747312,2965297749,605824,3546,388,381,391800,6 63,2,2024-09-07 09:09:20:762,436012,436012,0,0,17201862,0,4369 63,3,2024-09-07 09:09:21:735,1,346,2,0,667,3860,346,0 64,0,2024-09-07 09:09:21:524,85799,0.5,85786,0.7,171635,0.5,228262,2.00 64,1,2024-09-07 09:09:20:753,608887,608887,0,0,284495518444,2963947047,603241,3986,1660,370,391783,0 64,2,2024-09-07 09:09:21:147,439554,439535,19,0,16851565,0,6121 64,3,2024-09-07 09:09:21:147,1,346,0,0,265,3187,346,0 65,0,2024-09-07 09:09:21:686,86252,0.7,86608,0.8,172997,0.7,230591,2.25 65,1,2024-09-07 09:09:20:885,607943,607943,0,0,283904649543,2965399719,603857,3635,451,382,391901,0 65,2,2024-09-07 09:09:21:709,438652,438652,0,0,18615614,0,3367 65,3,2024-09-07 09:09:21:683,1,346,5,0,163,3041,346,0 66,0,2024-09-07 09:09:21:770,85353,0.5,85351,0.7,170852,0.4,227185,2.00 66,1,2024-09-07 09:09:21:297,608847,608847,0,0,285256427419,2963836230,605283,3242,322,380,391653,0 66,2,2024-09-07 09:09:21:135,443299,443299,0,0,16726509,0,4956 66,3,2024-09-07 09:09:21:083,1,346,6,0,291,3236,346,0 67,0,2024-09-07 09:09:21:412,84867,0.5,84299,0.7,169246,0.4,225850,2.00 67,1,2024-09-07 09:09:20:776,609399,609398,0,1,284642991419,2962401035,605232,3415,751,380,391787,1 67,2,2024-09-07 09:09:20:586,436918,436918,0,0,16074465,0,2889 67,3,2024-09-07 09:09:21:752,1,346,1,0,338,2919,346,0 68,0,2024-09-07 09:09:20:580,87138,0.6,86749,0.7,173085,0.6,231120,2.00 68,1,2024-09-07 09:09:20:578,607672,607672,0,0,284350289115,2976633028,602855,3613,1204,381,391953,0 68,2,2024-09-07 09:09:21:054,434375,434310,65,0,21271389,0,6698 68,3,2024-09-07 09:09:20:736,1,346,2,0,417,3717,346,0 69,0,2024-09-07 09:09:21:729,87827,0.8,88392,0.9,175865,1.0,233422,2.25 69,1,2024-09-07 09:09:21:034,605624,605624,0,0,284058752404,2995738453,597605,5909,2110,384,391994,0 69,2,2024-09-07 09:09:21:738,437315,437315,0,0,21529410,0,3722 69,3,2024-09-07 09:09:20:765,1,346,0,0,698,4909,346,0 70,0,2024-09-07 09:09:21:564,86531,0.7,86616,1.0,174220,0.6,230375,2.25 70,1,2024-09-07 09:09:20:843,609191,609191,0,0,285671328696,2963860455,604339,4316,536,366,391725,0 70,2,2024-09-07 09:09:21:329,439668,439668,0,0,19476836,0,4044 70,3,2024-09-07 09:09:20:748,1,346,1,0,854,3823,346,0 71,0,2024-09-07 09:09:21:364,83390,0.7,82983,0.9,166883,0.8,223294,2.50 71,1,2024-09-07 09:09:21:596,607962,607962,0,0,284699028619,2968783741,601350,5956,656,368,391738,0 71,2,2024-09-07 09:09:21:075,436877,436877,0,0,19192310,0,4042 71,3,2024-09-07 09:09:21:751,1,346,3,0,644,4684,346,0 72,0,2024-09-07 09:09:21:042,91308,0.6,89303,0.7,174158,0.6,236496,2.00 72,1,2024-09-07 09:09:21:027,607333,607333,0,0,284090377718,2976037424,599094,6542,1697,369,391819,0 72,2,2024-09-07 09:09:21:755,434994,434994,0,0,22006730,0,3983 72,3,2024-09-07 09:09:21:755,1,346,0,0,564,5393,346,0 73,0,2024-09-07 09:09:21:124,86214,0.4,88356,0.6,180920,0.4,235017,2.00 73,1,2024-09-07 09:09:20:773,608612,608612,0,0,284938921058,2961313661,604290,3992,330,367,391858,0 73,2,2024-09-07 09:09:21:755,437456,437456,0,0,21230428,0,3701 73,3,2024-09-07 09:09:20:976,1,346,1,0,274,4569,346,0 74,0,2024-09-07 09:09:21:326,88610,0.5,90782,0.6,173368,0.4,235144,2.25 74,1,2024-09-07 09:09:20:639,608170,608170,0,0,284323376016,2967395209,602089,4818,1263,381,391681,0 74,2,2024-09-07 09:09:21:036,437248,437248,0,0,20181533,0,4253 74,3,2024-09-07 09:09:21:442,1,346,1,0,522,4840,346,0 75,0,2024-09-07 09:09:21:769,84592,0.4,84253,0.7,168644,0.4,225704,2.25 75,1,2024-09-07 09:09:21:586,607332,607332,0,0,284201197616,2968265038,600973,5534,825,380,391739,0 75,2,2024-09-07 09:09:21:350,439133,439133,0,0,20365066,0,4766 75,3,2024-09-07 09:09:21:069,1,346,1,0,702,4880,346,0 76,0,2024-09-07 09:09:20:596,87301,0.6,86600,0.8,173717,0.5,233187,2.25 76,1,2024-09-07 09:09:20:824,608368,608368,0,0,284696421901,2968171575,604444,3333,591,382,391692,0 76,2,2024-09-07 09:09:21:068,437519,437518,1,0,19328990,0,5144 76,3,2024-09-07 09:09:21:149,1,346,8,0,175,3305,346,0 77,0,2024-09-07 09:09:21:698,87232,0.6,87298,0.8,174861,0.7,232514,2.00 77,1,2024-09-07 09:09:20:845,608119,608119,0,0,284485773653,2971810631,602924,4705,490,381,391869,0 77,2,2024-09-07 09:09:21:292,437009,437009,0,0,18852655,0,3890 77,3,2024-09-07 09:09:21:101,1,346,28,0,401,3891,346,0 78,0,2024-09-07 09:09:21:726,87435,0.4,87003,0.6,174666,0.4,231718,2.00 78,1,2024-09-07 09:09:20:610,608716,608716,0,0,283919846506,2954990187,602850,4721,1145,367,391670,0 78,2,2024-09-07 09:09:21:404,438185,438185,0,0,16334362,0,3855 78,3,2024-09-07 09:09:21:133,1,346,1,0,181,3256,346,0 79,0,2024-09-07 09:09:21:381,82565,0.4,84628,0.6,173058,0.3,225168,2.25 79,1,2024-09-07 09:09:20:582,610465,610465,0,0,285355557925,2954027575,605908,3924,633,368,391682,0 79,2,2024-09-07 09:09:21:068,442696,442696,0,0,17354985,0,4195 79,3,2024-09-07 09:09:20:754,1,346,1,0,418,4338,346,0 80,0,2024-09-07 09:09:21:095,85481,0.5,88018,0.6,168632,0.4,227752,2.00 80,1,2024-09-07 09:09:21:624,608884,608884,0,0,285202779346,2964532083,605532,3173,179,368,391791,0 80,2,2024-09-07 09:09:21:092,437685,437685,0,0,16421331,0,4433 80,3,2024-09-07 09:09:20:575,1,346,0,0,190,4088,346,0 81,0,2024-09-07 09:09:21:562,86251,0.6,88279,0.7,168569,0.6,228702,2.00 81,1,2024-09-07 09:09:21:667,607662,607662,0,0,283707455628,2963506355,602805,4358,499,382,391879,0 81,2,2024-09-07 09:09:21:146,435430,435367,63,0,18306184,0,5932 81,3,2024-09-07 09:09:21:133,1,346,20,0,374,3977,346,0 82,0,2024-09-07 09:09:21:539,87397,0.5,87883,0.7,176189,0.4,233275,2.00 82,1,2024-09-07 09:09:20:586,609742,609738,0,4,285246943973,2967212954,605843,3098,797,381,391768,4 82,2,2024-09-07 09:09:21:694,439674,439674,0,0,15716105,0,3986 82,3,2024-09-07 09:09:21:753,1,346,3,0,363,3449,346,0 83,0,2024-09-07 09:09:21:533,86256,0.5,86042,0.7,171475,0.5,227837,2.00 83,1,2024-09-07 09:09:20:561,608124,608124,0,0,284912772332,2968982377,603812,3931,381,382,391709,0 83,2,2024-09-07 09:09:20:764,441237,441237,0,0,16281431,0,3393 83,3,2024-09-07 09:09:20:749,1,346,2,0,1260,4896,346,0 84,0,2024-09-07 09:09:21:769,83795,0.6,83734,0.8,167566,0.5,224648,2.25 84,1,2024-09-07 09:09:21:043,607491,607491,0,0,283537080264,2961711789,601729,5033,729,367,391967,0 84,2,2024-09-07 09:09:20:571,435956,435956,0,0,20522779,0,4757 84,3,2024-09-07 09:09:21:146,1,346,7,0,908,5185,346,0 85,0,2024-09-07 09:09:21:004,84382,0.7,84368,0.8,179165,0.7,231420,2.25 85,1,2024-09-07 09:09:20:572,605992,605992,0,0,283836813525,2993320867,597460,7160,1372,381,392006,0 85,2,2024-09-07 09:09:21:023,435944,435944,0,0,20259387,0,3656 85,3,2024-09-07 09:09:20:693,1,346,1,0,789,4498,346,0 86,0,2024-09-07 09:09:20:881,88224,0.7,90789,0.8,173706,0.7,234596,2.25 86,1,2024-09-07 09:09:20:826,607852,607852,0,0,284327633511,2975580113,600958,5828,1066,366,391961,0 86,2,2024-09-07 09:09:20:856,436504,436503,1,0,21281730,0,5004 86,3,2024-09-07 09:09:20:594,1,346,4,0,308,4657,346,0 87,0,2024-09-07 09:09:21:307,87725,0.8,87559,0.9,175794,1.0,234700,2.25 87,1,2024-09-07 09:09:20:565,607639,607639,0,0,284693464817,2976279405,601424,5602,613,366,392076,0 87,2,2024-09-07 09:09:21:068,438072,438072,0,0,19366857,0,4045 87,3,2024-09-07 09:09:21:801,1,346,0,0,473,5037,346,0 88,0,2024-09-07 09:09:21:460,83981,0.4,84620,0.6,168634,0.4,224482,1.75 88,1,2024-09-07 09:09:20:576,606153,606153,0,0,284339748797,2979433753,598452,6036,1665,365,392084,0 88,2,2024-09-07 09:09:20:691,439024,439024,0,0,21546451,0,3583 88,3,2024-09-07 09:09:21:272,1,346,0,0,435,3722,346,0 89,0,2024-09-07 09:09:21:777,91407,0.5,88453,0.7,175301,0.4,237762,1.75 89,1,2024-09-07 09:09:20:551,606126,606126,0,0,284065077264,2987842190,598365,6486,1275,382,391866,0 89,2,2024-09-07 09:09:21:134,435334,435334,0,0,20565649,0,2910 89,3,2024-09-07 09:09:21:799,1,346,1,0,385,6272,346,0 90,0,2024-09-07 09:09:21:638,85033,0.5,87427,0.7,178188,0.4,232839,2.00 90,1,2024-09-07 09:09:20:595,607672,607672,0,0,284133305201,2978343358,601458,5710,504,380,391825,0 90,2,2024-09-07 09:09:21:406,435470,435470,0,0,21765177,0,3060 90,3,2024-09-07 09:09:20:930,1,346,1,0,246,3729,346,0 91,0,2024-09-07 09:09:20:924,87972,0.5,85148,0.6,177871,0.4,233537,1.75 91,1,2024-09-07 09:09:20:562,606052,606052,0,0,284157042232,2988621102,598220,6785,1047,381,392047,0 91,2,2024-09-07 09:09:21:336,437609,437609,0,0,19700956,0,2896 91,3,2024-09-07 09:09:20:605,1,346,15,0,216,3253,346,0 92,0,2024-09-07 09:09:21:443,85614,0.4,87846,0.6,167679,0.4,227394,1.75 92,1,2024-09-07 09:09:20:586,607673,607673,0,0,284170599437,2968813781,602861,4125,687,382,392136,0 92,2,2024-09-07 09:09:21:350,441855,441855,0,0,17745031,0,3259 92,3,2024-09-07 09:09:21:009,1,346,61,0,167,2962,346,0 93,0,2024-09-07 09:09:20:955,87140,0.4,89252,0.5,170620,0.3,231264,1.75 93,1,2024-09-07 09:09:20:805,607917,607917,0,0,284882928078,2973395733,601794,5092,1031,366,391776,0 93,2,2024-09-07 09:09:20:937,435142,435142,0,0,20535361,0,4845 93,3,2024-09-07 09:09:21:405,1,346,1,0,190,3337,346,0 94,0,2024-09-07 09:09:21:614,85976,0.4,86734,0.5,173061,0.3,229804,1.75 94,1,2024-09-07 09:09:20:564,608097,608097,0,0,284757709456,2971411249,604057,3850,190,381,391850,0 94,2,2024-09-07 09:09:20:762,436068,436068,0,0,17719494,0,2443 94,3,2024-09-07 09:09:21:688,1,346,2,0,264,4295,346,0 95,0,2024-09-07 09:09:21:356,86927,0.4,87103,0.5,174530,0.3,232424,1.75 95,1,2024-09-07 09:09:20:858,609509,609509,0,0,285001876403,2963605593,604533,4576,400,367,391713,0 95,2,2024-09-07 09:09:21:016,437616,437616,0,0,17536343,0,3308 95,3,2024-09-07 09:09:21:709,1,346,9,0,718,5381,346,0 96,0,2024-09-07 09:09:21:026,85962,0.3,86109,0.5,171837,0.3,228018,1.75 96,1,2024-09-07 09:09:21:615,607760,607760,0,0,285092755356,2973399414,603232,3732,796,384,391955,0 96,2,2024-09-07 09:09:21:280,442114,442114,0,0,17428687,0,4042 96,3,2024-09-07 09:09:21:147,1,346,1,0,411,3736,346,0 97,0,2024-09-07 09:09:21:337,84578,0.3,84395,0.5,169417,0.3,225452,1.50 97,1,2024-09-07 09:09:20:764,609856,609856,0,0,285880649209,2962347525,605970,3212,674,367,392140,0 97,2,2024-09-07 09:09:20:611,436960,436960,0,0,16810580,0,3036 97,3,2024-09-07 09:09:20:573,1,346,2,0,214,4037,346,0 98,0,2024-09-07 09:09:21:725,86990,0.3,86733,0.5,174148,0.2,231099,1.50 98,1,2024-09-07 09:09:20:588,608976,608976,0,0,284478752007,2958023038,606307,2562,107,382,391997,0 98,2,2024-09-07 09:09:20:769,436531,436531,0,0,16723541,0,3080 98,3,2024-09-07 09:09:20:706,1,346,3,0,840,5425,346,0 99,0,2024-09-07 09:09:21:461,88291,0.3,88830,0.5,176780,0.3,235618,1.75 99,1,2024-09-07 09:09:21:729,608911,608911,0,0,285076136316,2967243055,604766,3390,755,381,392069,0 99,2,2024-09-07 09:09:21:418,439241,439241,0,0,18917442,0,3424 99,3,2024-09-07 09:09:20:586,1,346,1,0,199,3300,346,0 100,0,2024-09-07 09:09:21:484,87175,0.7,87109,0.9,174148,0.8,232195,2.25 100,1,2024-09-07 09:09:20:553,605453,605453,0,0,282745802579,2985271772,596982,6975,1496,381,391989,0 100,2,2024-09-07 09:09:21:818,438940,438929,11,0,19855763,0,5417 100,3,2024-09-07 09:09:21:731,1,346,1,0,559,5988,346,0 101,0,2024-09-07 09:09:21:719,85692,0.8,83491,0.9,163738,0.6,224949,2.25 101,1,2024-09-07 09:09:20:564,605459,605459,0,0,283533812388,2983962582,596365,7070,2024,368,391771,0 101,2,2024-09-07 09:09:21:758,434980,434980,0,0,22929907,0,4644 101,3,2024-09-07 09:09:20:950,1,346,22,0,579,4556,346,0 102,0,2024-09-07 09:09:20:943,86123,0.7,89073,0.8,179928,0.7,235288,2.00 102,1,2024-09-07 09:09:21:153,606425,606425,0,0,283944209399,2980357207,599104,6288,1033,369,391891,0 102,2,2024-09-07 09:09:21:737,436686,436632,54,0,19214440,0,6768 102,3,2024-09-07 09:09:21:613,1,346,2,0,410,3664,346,0 103,0,2024-09-07 09:09:21:639,91257,0.6,91208,0.7,172018,0.7,236781,2.00 103,1,2024-09-07 09:09:21:652,605659,605659,0,0,283516029705,2988329315,596838,6825,1996,381,391829,0 103,2,2024-09-07 09:09:20:581,436268,436268,0,0,20279580,0,3173 103,3,2024-09-07 09:09:20:754,1,346,0,0,916,4249,346,0 104,0,2024-09-07 09:09:21:039,87209,0.7,87549,0.9,173974,0.7,234340,2.25 104,1,2024-09-07 09:09:21:605,607363,607363,0,0,283468817345,2979818597,598446,7219,1698,365,392168,0 104,2,2024-09-07 09:09:21:667,436355,436355,0,0,21331724,0,3941 104,3,2024-09-07 09:09:21:429,1,346,6,0,1245,7537,346,0 105,0,2024-09-07 09:09:21:030,83564,0.8,81251,1.0,170204,0.8,224237,2.75 105,1,2024-09-07 09:09:20:568,607605,607605,0,0,284439834632,2983097036,599447,6822,1336,366,391797,0 105,2,2024-09-07 09:09:21:322,439013,439013,0,0,20640259,0,3509 105,3,2024-09-07 09:09:21:305,1,346,20,0,399,5642,346,0 106,0,2024-09-07 09:09:20:970,84537,0.8,86551,0.9,177200,0.9,232652,2.50 106,1,2024-09-07 09:09:21:761,606181,606181,0,0,284053892685,2986219031,596588,8299,1294,369,391914,0 106,2,2024-09-07 09:09:20:770,433970,433970,0,0,20348438,0,2795 106,3,2024-09-07 09:09:20:689,1,346,2,0,470,4371,346,0 107,0,2024-09-07 09:09:21:109,86966,1.2,87051,1.0,174111,1.6,232239,2.25 107,1,2024-09-07 09:09:20:597,605851,605851,0,0,283821044010,2989982731,597868,7067,916,381,392234,0 107,2,2024-09-07 09:09:21:291,435001,435000,1,0,20870537,0,5024 107,3,2024-09-07 09:09:21:755,1,346,3,0,353,4824,346,0 108,0,2024-09-07 09:09:21:817,86900,0.5,87469,0.6,173899,0.4,232221,1.75 108,1,2024-09-07 09:09:21:297,608265,608265,0,0,285068132306,2973258138,603175,4572,518,368,391857,0 108,2,2024-09-07 09:09:21:755,436203,436203,0,0,19804324,0,4246 108,3,2024-09-07 09:09:21:335,1,346,1,0,749,6989,346,0 109,0,2024-09-07 09:09:21:755,85773,0.4,84879,0.5,170037,0.3,227679,1.75 109,1,2024-09-07 09:09:20:602,606201,606201,0,0,284260748721,2980764917,600989,4547,665,383,392132,0 109,2,2024-09-07 09:09:20:921,439578,439578,0,0,19426095,0,3617 109,3,2024-09-07 09:09:21:140,1,346,20,0,379,4118,346,0 110,0,2024-09-07 09:09:21:774,85477,0.4,83222,0.6,174245,0.3,228387,1.75 110,1,2024-09-07 09:09:21:643,609225,609225,0,0,284925951995,2956288743,605221,3027,977,369,392045,0 110,2,2024-09-07 09:09:21:309,435520,435520,0,0,19016360,0,4067 110,3,2024-09-07 09:09:20:696,1,346,1,0,722,5182,346,0 111,0,2024-09-07 09:09:21:419,86704,0.4,86011,0.6,172382,0.4,230101,1.75 111,1,2024-09-07 09:09:21:000,609624,609624,0,0,286779888672,2975013110,606244,3028,352,382,391690,0 111,2,2024-09-07 09:09:21:119,435180,435180,0,0,18417292,0,4823 111,3,2024-09-07 09:09:20:913,1,346,1,0,379,4126,346,0 112,0,2024-09-07 09:09:20:921,88540,0.3,87906,0.4,176361,0.2,234026,1.50 112,1,2024-09-07 09:09:20:833,609318,609318,0,0,284861965969,2953729055,605853,2881,584,380,391624,0 112,2,2024-09-07 09:09:21:135,438552,438551,1,0,16696631,0,5036 112,3,2024-09-07 09:09:20:613,1,346,8,0,282,3496,346,0 113,0,2024-09-07 09:09:20:872,85755,0.3,85921,0.4,172455,0.2,229198,1.50 113,1,2024-09-07 09:09:21:688,611251,611251,0,0,285988820797,2949481135,607970,2697,584,366,391661,0 113,2,2024-09-07 09:09:21:303,442784,442784,0,0,15413945,0,3813 113,3,2024-09-07 09:09:20:696,1,346,2,0,340,4079,346,0 114,0,2024-09-07 09:09:20:891,84660,0.3,85258,0.5,169235,0.2,226699,1.75 114,1,2024-09-07 09:09:20:724,609166,609166,0,0,285635810010,2966996348,604038,3534,1594,381,391556,0 114,2,2024-09-07 09:09:20:880,436987,436986,1,0,16976788,0,5069 114,3,2024-09-07 09:09:21:278,1,346,1,0,395,2992,346,0 115,0,2024-09-07 09:09:20:558,87392,0.3,88174,0.4,176106,0.2,233699,1.50 115,1,2024-09-07 09:09:20:571,608669,608669,0,0,285461306489,2963287986,603804,4025,840,382,391757,0 115,2,2024-09-07 09:09:21:129,438135,438135,0,0,15646761,0,3453 115,3,2024-09-07 09:09:21:002,1,346,1,0,159,1987,346,0 116,0,2024-09-07 09:09:21:710,88036,0.8,87914,0.9,176363,0.9,235639,2.00 116,1,2024-09-07 09:09:20:813,605641,605641,0,0,283980645907,2994075034,598033,5339,2269,380,392089,0 116,2,2024-09-07 09:09:21:761,436932,436932,0,0,21318251,0,3529 116,3,2024-09-07 09:09:20:918,1,346,8,0,415,4266,346,0 117,0,2024-09-07 09:09:20:969,88268,0.7,87744,0.8,175770,0.7,235145,2.00 117,1,2024-09-07 09:09:21:579,606954,606954,0,0,283778327744,2969531061,600641,5644,669,370,392033,0 117,2,2024-09-07 09:09:21:138,441492,441492,0,0,17765326,0,3700 117,3,2024-09-07 09:09:21:068,1,346,11,0,490,5015,346,0 118,0,2024-09-07 09:09:21:788,81558,0.5,83713,0.7,170972,0.4,223664,2.00 118,1,2024-09-07 09:09:20:587,606664,606664,0,0,283739694265,2981668109,597864,6901,1899,366,391907,0 118,2,2024-09-07 09:09:21:592,437673,437673,0,0,19610370,0,2781 118,3,2024-09-07 09:09:21:767,1,346,2,0,235,3791,346,0 119,0,2024-09-07 09:09:21:365,88478,0.6,88819,0.7,177756,0.6,236168,2.00 119,1,2024-09-07 09:09:20:566,608196,608196,0,0,284805511466,2977523729,601621,5738,837,367,391780,0 119,2,2024-09-07 09:09:21:280,436611,436611,0,0,18286844,0,4174 119,3,2024-09-07 09:09:21:326,1,346,1,0,563,5208,346,0 120,0,2024-09-07 09:09:21:550,87300,0.7,87017,0.9,174116,0.7,233451,2.25 120,1,2024-09-07 09:09:20:877,607279,607279,0,0,284122960915,2981474526,600858,5865,556,368,391961,0 120,2,2024-09-07 09:09:20:773,436583,436582,1,0,21624467,0,5281 120,3,2024-09-07 09:09:21:291,1,346,2,0,241,4276,346,0 121,0,2024-09-07 09:09:21:714,87182,1.0,87398,1.0,175370,1.3,233181,2.25 121,1,2024-09-07 09:09:21:658,607974,607974,0,0,284072497656,2971523647,602056,5480,438,367,391840,0 121,2,2024-09-07 09:09:21:130,436388,436388,0,0,20846624,0,4127 121,3,2024-09-07 09:09:20:727,1,346,1,0,269,3932,346,0 122,0,2024-09-07 09:09:21:771,84604,0.7,82540,0.8,173085,0.8,227278,2.00 122,1,2024-09-07 09:09:20:894,606944,606944,0,0,284080128303,2980806508,598481,7201,1262,366,392130,0 122,2,2024-09-07 09:09:21:320,440361,440288,73,0,23392526,0,5989 122,3,2024-09-07 09:09:20:603,1,346,5,0,512,6612,346,0 123,0,2024-09-07 09:09:20:962,86146,0.7,84335,0.8,175951,0.7,230762,2.00 123,1,2024-09-07 09:09:20:569,606553,606553,0,0,284298356766,2997401658,595105,9321,2127,369,392039,0 123,2,2024-09-07 09:09:21:022,433654,433653,1,0,20090681,0,5215 123,3,2024-09-07 09:09:21:140,1,346,9,0,168,3920,346,0 124,0,2024-09-07 09:09:20:968,88971,0.4,88983,0.5,167700,0.3,230639,1.75 124,1,2024-09-07 09:09:21:028,608898,608898,0,0,284383487409,2958917014,604178,3810,910,367,392178,0 124,2,2024-09-07 09:09:21:017,437404,437351,53,0,18032482,0,6487 124,3,2024-09-07 09:09:20:758,1,346,25,0,490,3536,346,0 125,0,2024-09-07 09:09:21:435,87397,0.4,86894,0.6,174934,0.3,232759,1.75 125,1,2024-09-07 09:09:20:856,607632,607632,0,0,284438622953,2969842997,602749,4238,645,383,391702,0 125,2,2024-09-07 09:09:21:123,438228,438228,0,0,18719654,0,4534 125,3,2024-09-07 09:09:21:127,1,346,0,0,709,4625,346,0 126,0,2024-09-07 09:09:21:418,85818,0.4,88050,0.6,168964,0.4,228860,1.75 126,1,2024-09-07 09:09:20:558,609477,609477,0,0,285036787448,2953771102,605734,3457,286,365,391987,0 126,2,2024-09-07 09:09:20:614,441967,441967,0,0,18702707,0,4539 126,3,2024-09-07 09:09:20:907,1,346,94,0,207,4381,346,0 127,0,2024-09-07 09:09:21:636,84465,0.3,84985,0.5,169306,0.3,225574,1.75 127,1,2024-09-07 09:09:20:617,608502,608502,0,0,284639815353,2956621665,603098,4543,861,364,392187,0 127,2,2024-09-07 09:09:20:648,435421,435421,0,0,17211287,0,3897 127,3,2024-09-07 09:09:21:287,1,346,30,0,968,4062,346,0 128,0,2024-09-07 09:09:21:574,87125,0.3,87331,0.4,174772,0.2,231454,1.50 128,1,2024-09-07 09:09:21:607,608879,608879,0,0,284708228263,2955620387,604776,3731,372,367,391798,0 128,2,2024-09-07 09:09:21:383,437429,437429,0,0,16081722,0,2915 128,3,2024-09-07 09:09:20:767,1,346,3,0,1082,5936,346,0 129,0,2024-09-07 09:09:21:010,88900,0.3,88427,0.5,177454,0.3,235640,1.75 129,1,2024-09-07 09:09:20:572,606169,606169,0,0,284409040498,2976950679,600520,4392,1257,379,391835,0 129,2,2024-09-07 09:09:20:700,438575,438575,0,0,16975025,0,4031 129,3,2024-09-07 09:09:20:689,1,346,0,0,469,4503,346,0 130,0,2024-09-07 09:09:21:723,88015,0.5,87489,0.6,176055,0.5,233436,1.75 130,1,2024-09-07 09:09:20:589,608772,608772,0,0,284578594517,2958121984,605354,3139,279,381,391825,0 130,2,2024-09-07 09:09:21:129,441650,441650,0,0,16886204,0,4067 130,3,2024-09-07 09:09:21:293,1,346,3,0,450,4173,346,0 131,0,2024-09-07 09:09:21:957,83719,0.3,84289,0.5,169318,0.3,224547,1.50 131,1,2024-09-07 09:09:21:821,608910,608910,0,0,284827701224,2971250965,604430,3733,747,381,391865,0 131,2,2024-09-07 09:09:20:568,439277,439277,0,0,15569511,0,2415 131,3,2024-09-07 09:09:21:697,1,346,24,0,392,3560,346,0 132,0,2024-09-07 09:09:21:428,88481,0.4,89267,0.6,177737,0.4,236041,1.75 132,1,2024-09-07 09:09:20:594,605745,605745,0,0,283583694117,2985295700,597099,7179,1467,381,392097,0 132,2,2024-09-07 09:09:20:704,436023,436023,0,0,20774569,0,4606 132,3,2024-09-07 09:09:21:690,1,346,0,0,804,6212,346,0 133,0,2024-09-07 09:09:21:531,86288,0.5,88147,0.6,181103,0.4,235538,2.00 133,1,2024-09-07 09:09:20:597,605782,605782,0,0,283610191219,2988006112,597600,7097,1085,383,391914,0 133,2,2024-09-07 09:09:21:087,436660,436660,0,0,22104130,0,4315 133,3,2024-09-07 09:09:21:297,1,346,2,0,479,3657,346,0 134,0,2024-09-07 09:09:20:943,88388,0.5,88201,0.7,176434,0.5,235586,2.00 134,1,2024-09-07 09:09:20:590,606481,606481,0,0,283481243457,2975702104,598159,6466,1856,366,391718,0 134,2,2024-09-07 09:09:21:756,437267,437267,0,0,18447553,0,3847 134,3,2024-09-07 09:09:20:750,1,346,4,0,739,4450,346,0 135,0,2024-09-07 09:09:21:134,81638,0.6,81667,0.8,173249,0.6,223773,2.00 135,1,2024-09-07 09:09:21:592,607146,607146,0,0,284413145899,2992619591,598712,7197,1237,380,391805,0 135,2,2024-09-07 09:09:20:690,440733,440733,0,0,20053982,0,3981 135,3,2024-09-07 09:09:21:007,1,346,2,0,299,2658,346,0 136,0,2024-09-07 09:09:21:618,87873,0.6,88066,0.7,175233,0.5,234555,2.00 136,1,2024-09-07 09:09:21:468,606648,606648,0,0,283678419670,2978476750,599623,6327,698,382,391685,0 136,2,2024-09-07 09:09:21:134,436191,436191,0,0,19794041,0,3506 136,3,2024-09-07 09:09:21:112,1,346,3,0,637,3927,346,0 137,0,2024-09-07 09:09:20:958,89865,0.7,87455,0.8,171782,0.7,233626,2.00 137,1,2024-09-07 09:09:20:580,606435,606435,0,0,284032889917,2981965887,596813,7740,1882,366,391898,0 137,2,2024-09-07 09:09:21:705,435621,435621,0,0,22230786,0,3185 137,3,2024-09-07 09:09:20:777,1,346,10,0,382,4094,346,0 138,0,2024-09-07 09:09:21:763,86558,1.3,86626,1.1,173963,1.8,231143,2.25 138,1,2024-09-07 09:09:21:707,607309,607309,0,0,284280204334,2985031701,598260,7453,1596,368,391954,0 138,2,2024-09-07 09:09:20:592,436731,436731,0,0,19866156,0,4988 138,3,2024-09-07 09:09:20:609,1,346,1,0,1160,4874,346,0 139,0,2024-09-07 09:09:21:380,84177,1.1,84554,1.0,169340,1.6,225789,2.25 139,1,2024-09-07 09:09:20:571,604638,604638,0,0,282466416831,3000969231,593261,8729,2648,381,392058,0 139,2,2024-09-07 09:09:20:697,438631,438631,0,0,21768579,0,3097 139,3,2024-09-07 09:09:21:665,1,346,9,0,257,3886,346,0 140,0,2024-09-07 09:09:21:611,85884,0.3,85303,0.5,171532,0.2,228825,1.75 140,1,2024-09-07 09:09:21:543,610986,610986,0,0,285932226135,2948722532,607779,2768,439,365,391606,0 140,2,2024-09-07 09:09:20:697,435961,435960,1,0,17706600,0,5036 140,3,2024-09-07 09:09:20:767,1,346,64,0,247,2814,346,0 141,0,2024-09-07 09:09:21:705,86497,0.4,88777,0.5,169630,0.3,230111,1.75 141,1,2024-09-07 09:09:20:860,609187,609187,0,0,285598870330,2967016564,604624,3701,862,379,391614,0 141,2,2024-09-07 09:09:21:697,435960,435960,0,0,17321780,0,3360 141,3,2024-09-07 09:09:21:047,1,346,53,0,391,3992,346,0 142,0,2024-09-07 09:09:21:341,88727,0.3,87844,0.5,175729,0.3,234503,1.75 142,1,2024-09-07 09:09:20:584,608968,608968,0,0,283949858161,2960845752,605001,3615,352,382,392102,0 142,2,2024-09-07 09:09:21:304,437785,437753,32,0,18792924,0,6028 142,3,2024-09-07 09:09:21:752,1,346,1,0,484,4184,346,0 143,0,2024-09-07 09:09:21:434,86264,0.4,86005,0.6,172448,0.4,229535,1.75 143,1,2024-09-07 09:09:20:559,609395,609395,0,0,285726889673,2963864992,605617,3627,151,367,391705,0 143,2,2024-09-07 09:09:20:769,441494,441494,0,0,18171698,0,3123 143,3,2024-09-07 09:09:21:139,1,346,63,0,303,4203,346,0 144,0,2024-09-07 09:09:21:571,81711,0.5,83884,0.7,170997,0.4,224857,2.00 144,1,2024-09-07 09:09:20:567,606637,606637,0,0,283465904249,2969469534,601780,3916,941,381,391649,0 144,2,2024-09-07 09:09:21:755,437102,437102,0,0,16267982,0,3473 144,3,2024-09-07 09:09:21:739,1,346,2,0,249,3464,346,0 145,0,2024-09-07 09:09:21:408,84649,0.6,84694,0.8,179714,0.5,231113,2.25 145,1,2024-09-07 09:09:20:560,606036,606036,0,0,283876537167,2984031749,598677,6219,1140,382,391759,0 145,2,2024-09-07 09:09:21:432,435188,435188,0,0,19985415,0,3903 145,3,2024-09-07 09:09:20:901,1,346,1,0,622,5149,346,0 146,0,2024-09-07 09:09:21:603,88306,0.6,87731,0.8,176841,0.6,234337,2.25 146,1,2024-09-07 09:09:21:589,607139,607139,0,0,283662059126,2984348259,597262,7866,2011,368,391770,0 146,2,2024-09-07 09:09:21:696,436582,436582,0,0,19906242,0,2730 146,3,2024-09-07 09:09:21:281,1,346,1,0,1520,7223,346,0 147,0,2024-09-07 09:09:21:718,88245,0.6,87974,0.7,175185,0.5,234737,2.00 147,1,2024-09-07 09:09:21:375,609020,609020,0,0,285003833632,2965754468,603356,4863,801,368,391791,0 147,2,2024-09-07 09:09:21:010,439076,439076,0,0,17537026,0,2789 147,3,2024-09-07 09:09:20:918,1,346,49,0,730,5079,346,0 0,0,2024-09-07 09:09:31:844,85451,0.7,85470,0.8,181382,0.8,234569,2.00 0,1,2024-09-07 09:09:30:809,609550,609550,0,0,285399991205,2982650347,605416,3889,245,369,391896,0 0,2,2024-09-07 09:09:31:066,439419,439419,0,0,17248867,0,4480 0,3,2024-09-07 09:09:30:993,1,347,2,0,431,4700,347,0 1,0,2024-09-07 09:09:31:911,87814,0.8,87255,0.9,175194,0.9,234033,2.00 1,1,2024-09-07 09:09:30:576,608523,608523,0,0,284978643875,2982495890,602921,4375,1227,370,391857,0 1,2,2024-09-07 09:09:30:647,437658,437658,0,0,16720140,0,3267 1,3,2024-09-07 09:09:31:301,1,347,1,0,262,4047,347,0 2,0,2024-09-07 09:09:31:577,85242,0.6,85540,0.7,169971,0.6,228128,2.00 2,1,2024-09-07 09:09:30:859,610842,610842,0,0,286402237416,2972330184,607942,2607,293,380,391745,0 2,2,2024-09-07 09:09:31:266,442491,442491,0,0,16638270,0,3594 2,3,2024-09-07 09:09:30:691,1,347,0,0,357,3656,347,0 3,0,2024-09-07 09:09:31:761,86828,0.4,86727,0.6,173449,0.3,231103,1.75 3,1,2024-09-07 09:09:31:627,609165,609165,0,0,285027794771,2966699405,604065,4477,623,380,391591,0 3,2,2024-09-07 09:09:31:144,437213,437190,23,0,17040574,0,5851 3,3,2024-09-07 09:09:31:755,1,347,1,0,207,2232,347,0 4,0,2024-09-07 09:09:31:918,84109,0.4,86608,0.5,176059,0.4,230692,1.75 4,1,2024-09-07 09:09:30:607,607910,607910,0,0,284306513422,2993408777,600452,6101,1357,371,391992,0 4,2,2024-09-07 09:09:31:028,435962,435962,0,0,20023296,0,4528 4,3,2024-09-07 09:09:31:041,1,347,5,0,448,4839,347,0 5,0,2024-09-07 09:09:31:373,87305,0.5,87604,0.6,175010,0.4,232677,1.75 5,1,2024-09-07 09:09:30:762,608770,608770,0,0,285266464715,2997393148,601601,5813,1356,367,392005,0 5,2,2024-09-07 09:09:31:850,437004,437004,0,0,19109054,0,2432 5,3,2024-09-07 09:09:31:759,1,347,1,0,457,4974,347,0 6,0,2024-09-07 09:09:30:917,86403,0.4,85909,0.6,171744,0.4,229503,2.00 6,1,2024-09-07 09:09:30:750,608788,608788,0,0,285269866963,2980072689,602176,5527,1085,379,391694,0 6,2,2024-09-07 09:09:31:120,442403,442403,0,0,18313979,0,4816 6,3,2024-09-07 09:09:31:278,1,347,1,0,340,3734,347,0 7,0,2024-09-07 09:09:31:532,84559,0.4,85110,0.6,169457,0.4,225844,1.75 7,1,2024-09-07 09:09:30:856,608103,608103,0,0,285149431020,2991996545,600032,6895,1176,382,391747,0 7,2,2024-09-07 09:09:30:780,437323,437323,0,0,18444195,0,4791 7,3,2024-09-07 09:09:30:854,1,347,1,0,398,3861,347,0 8,0,2024-09-07 09:09:31:351,87055,0.4,86935,0.5,173965,0.3,231572,1.75 8,1,2024-09-07 09:09:31:035,607269,607269,0,0,285181799622,3001771262,597115,7877,2277,366,392144,0 8,2,2024-09-07 09:09:30:791,433538,433538,0,0,22888562,0,3220 8,3,2024-09-07 09:09:30:590,1,347,17,0,538,5978,347,0 9,0,2024-09-07 09:09:31:167,88612,0.4,86082,0.5,180158,0.4,235778,1.75 9,1,2024-09-07 09:09:30:555,607755,607755,0,0,284401737945,2997942177,598683,6947,2125,369,392001,0 9,2,2024-09-07 09:09:31:126,438652,438652,0,0,20220172,0,3360 9,3,2024-09-07 09:09:31:763,1,347,23,0,496,5144,347,0 10,0,2024-09-07 09:09:31:613,87625,0.3,86961,0.5,174640,0.2,232127,1.75 10,1,2024-09-07 09:09:30:588,608875,608875,0,0,284316233011,2978223520,600991,6775,1109,381,391741,0 10,2,2024-09-07 09:09:30:762,441907,441907,0,0,21929873,0,4264 10,3,2024-09-07 09:09:30:874,1,347,2,0,296,3190,347,0 11,0,2024-09-07 09:09:31:008,83973,0.4,81533,0.6,170449,0.3,225556,1.75 11,1,2024-09-07 09:09:30:571,609052,609052,0,0,285900349411,3002661243,599030,7574,2448,383,391756,0 11,2,2024-09-07 09:09:31:122,437625,437625,0,0,19678683,0,4130 11,3,2024-09-07 09:09:31:298,1,347,0,0,843,5377,347,0 12,0,2024-09-07 09:09:31:012,89227,0.4,89270,0.5,178190,0.3,236360,1.75 12,1,2024-09-07 09:09:30:970,609094,609094,0,0,284807388268,2969911082,604230,4372,492,370,391870,0 12,2,2024-09-07 09:09:31:618,438288,438288,0,0,19263191,0,3469 12,3,2024-09-07 09:09:31:065,1,347,16,0,386,5575,347,0 13,0,2024-09-07 09:09:31:366,89388,0.4,88994,0.6,177701,0.4,236943,1.75 13,1,2024-09-07 09:09:31:558,608016,608016,0,0,283954096262,2985831636,602104,4502,1410,382,391740,0 13,2,2024-09-07 09:09:30:603,439917,439917,0,0,17705986,0,3287 13,3,2024-09-07 09:09:31:777,1,347,30,0,522,5607,347,0 14,0,2024-09-07 09:09:30:562,88585,0.4,89321,0.6,176773,0.3,236079,1.75 14,1,2024-09-07 09:09:31:561,612591,612591,0,0,286019692804,2962243141,607903,4329,359,364,391571,0 14,2,2024-09-07 09:09:30:764,439000,438970,30,0,19310746,0,6104 14,3,2024-09-07 09:09:31:115,1,347,1,0,1168,4116,347,0 15,0,2024-09-07 09:09:31:554,84548,0.4,84609,0.6,169472,0.4,225519,1.75 15,1,2024-09-07 09:09:31:608,609725,609725,0,0,285754210127,2974931003,605384,3519,822,381,391619,0 15,2,2024-09-07 09:09:30:999,442059,442059,0,0,15898245,0,3622 15,3,2024-09-07 09:09:31:410,1,347,4,0,1126,6262,347,0 16,0,2024-09-07 09:09:31:126,88087,0.6,88406,0.7,176538,0.6,235624,2.00 16,1,2024-09-07 09:09:30:567,610461,610461,0,0,286244335290,2986002696,606167,3932,362,370,391756,0 16,2,2024-09-07 09:09:31:444,437269,437269,0,0,18149084,0,4719 16,3,2024-09-07 09:09:31:252,1,347,2,0,317,4734,347,0 17,0,2024-09-07 09:09:31:839,90154,0.7,87934,0.8,172036,0.7,233954,2.00 17,1,2024-09-07 09:09:30:600,608224,608224,0,0,284601981762,2984773118,601982,4983,1259,368,392075,0 17,2,2024-09-07 09:09:31:686,440377,440377,0,0,17813866,0,2857 17,3,2024-09-07 09:09:30:573,1,347,1,0,298,5644,347,0 18,0,2024-09-07 09:09:30:963,86357,0.6,86638,0.8,172802,0.6,230681,2.25 18,1,2024-09-07 09:09:31:642,610788,610788,0,0,285535490611,2958105011,607452,3025,311,367,391649,0 18,2,2024-09-07 09:09:31:755,440323,440323,0,0,16475256,0,3541 18,3,2024-09-07 09:09:30:896,1,347,1,0,163,2952,347,0 19,0,2024-09-07 09:09:31:553,85084,0.6,85817,0.7,169595,0.5,226014,2.00 19,1,2024-09-07 09:09:30:566,611133,611133,0,0,286594065195,2972098356,606007,4287,839,367,391777,0 19,2,2024-09-07 09:09:31:753,443815,443815,0,0,15269364,0,3988 19,3,2024-09-07 09:09:31:144,1,347,1,0,524,2596,347,0 20,0,2024-09-07 09:09:31:383,85803,0.5,85766,0.7,171563,0.5,229373,2.00 20,1,2024-09-07 09:09:30:570,609046,609046,0,0,285581819616,2981503997,604704,3935,407,369,391886,0 20,2,2024-09-07 09:09:30:970,437365,437365,0,0,18472817,0,3721 20,3,2024-09-07 09:09:30:590,1,347,6,0,414,5425,347,0 21,0,2024-09-07 09:09:31:191,86569,0.5,86549,0.7,173006,0.5,229519,2.00 21,1,2024-09-07 09:09:31:615,608172,608172,0,0,284250201683,2993558300,600174,6117,1881,368,392016,0 21,2,2024-09-07 09:09:31:092,435175,435175,0,0,21549397,0,3747 21,3,2024-09-07 09:09:31:431,1,347,28,0,713,4104,347,0 22,0,2024-09-07 09:09:31:732,87606,0.5,87974,0.7,176071,0.5,232851,2.00 22,1,2024-09-07 09:09:31:024,609155,609155,0,0,284584563761,2988936526,600663,6672,1820,382,391667,0 22,2,2024-09-07 09:09:30:771,438016,438016,0,0,17568274,0,3134 22,3,2024-09-07 09:09:31:091,1,347,1,0,228,2870,347,0 23,0,2024-09-07 09:09:31:380,85634,0.5,85648,0.7,171499,0.5,228279,2.25 23,1,2024-09-07 09:09:31:005,609085,609085,0,0,285904898377,3000978397,598590,7336,3159,365,391690,0 23,2,2024-09-07 09:09:31:109,443637,443637,0,0,17497925,0,3010 23,3,2024-09-07 09:09:31:758,1,347,0,0,720,4754,347,0 24,0,2024-09-07 09:09:30:846,85209,0.4,85134,0.5,170735,0.3,227433,1.75 24,1,2024-09-07 09:09:30:580,608096,608096,0,0,284820959252,2987859162,601195,5371,1530,368,392269,0 24,2,2024-09-07 09:09:31:071,436180,436180,0,0,21383184,0,3607 24,3,2024-09-07 09:09:31:690,1,347,4,0,468,4594,347,0 25,0,2024-09-07 09:09:31:378,90174,0.4,87888,0.6,172215,0.4,234442,2.00 25,1,2024-09-07 09:09:30:563,609264,609264,0,0,285252795539,2994480221,601379,6512,1373,371,391928,0 25,2,2024-09-07 09:09:31:642,436489,436489,0,0,21573948,0,3978 25,3,2024-09-07 09:09:31:010,1,347,12,0,255,3455,347,0 26,0,2024-09-07 09:09:31:745,88203,0.4,86165,0.6,180670,0.4,235205,1.75 26,1,2024-09-07 09:09:31:553,609378,609378,0,0,284882647805,2984777829,600491,7351,1536,380,391748,0 26,2,2024-09-07 09:09:30:860,439251,439251,0,0,21438922,0,2809 26,3,2024-09-07 09:09:31:720,1,347,0,0,796,4427,347,0 27,0,2024-09-07 09:09:31:731,88565,0.4,88669,0.6,175770,0.4,234844,2.00 27,1,2024-09-07 09:09:31:684,611478,611478,0,0,285916704629,2976248686,606172,4540,766,381,391626,0 27,2,2024-09-07 09:09:30:867,438075,438010,65,0,20888421,0,5699 27,3,2024-09-07 09:09:31:016,1,347,1,0,564,3655,347,0 28,0,2024-09-07 09:09:31:406,84642,0.4,84237,0.6,168944,0.3,225967,2.00 28,1,2024-09-07 09:09:30:797,610578,610578,0,0,286761364940,2986801752,606196,3677,705,383,391698,0 28,2,2024-09-07 09:09:31:764,439027,439027,0,0,18465659,0,2915 28,3,2024-09-07 09:09:31:775,1,347,5,0,502,3760,347,0 29,0,2024-09-07 09:09:31:355,91398,0.4,89034,0.6,174692,0.3,237834,1.75 29,1,2024-09-07 09:09:31:564,612012,612012,0,0,285964965565,2961067965,607670,3657,685,369,391753,0 29,2,2024-09-07 09:09:30:861,436561,436561,0,0,17805772,0,4986 29,3,2024-09-07 09:09:30:971,1,347,0,0,459,4358,347,0 30,0,2024-09-07 09:09:31:463,87683,0.5,85164,0.7,178323,0.5,233623,2.00 30,1,2024-09-07 09:09:30:571,611361,611361,0,0,286836135823,2978421214,606542,4109,710,381,391672,0 30,2,2024-09-07 09:09:31:279,438979,438979,0,0,16782954,0,3161 30,3,2024-09-07 09:09:30:581,1,347,9,0,519,3485,347,0 31,0,2024-09-07 09:09:31:775,87371,0.4,87776,0.6,175430,0.4,233805,2.00 31,1,2024-09-07 09:09:30:564,613415,613415,0,0,287251156663,2945462941,610871,1985,559,356,391712,0 31,2,2024-09-07 09:09:31:283,438212,438212,0,0,18576782,0,3525 31,3,2024-09-07 09:09:31:733,1,347,39,0,220,2994,347,0 32,0,2024-09-07 09:09:31:447,85310,0.3,85702,0.5,171294,0.2,228466,1.50 32,1,2024-09-07 09:09:30:820,611108,611108,0,0,286527235447,2973476469,607964,2698,446,381,391646,0 32,2,2024-09-07 09:09:30:944,442775,442775,0,0,15611651,0,3155 32,3,2024-09-07 09:09:31:015,1,347,1,0,227,2465,347,0 33,0,2024-09-07 09:09:31:499,87129,0.3,86601,0.4,173915,0.2,231584,1.50 33,1,2024-09-07 09:09:30:575,612351,612351,0,0,286722169414,2967270072,607937,3583,831,369,391730,0 33,2,2024-09-07 09:09:30:759,438609,438574,35,0,17661191,0,7012 33,3,2024-09-07 09:09:30:898,1,347,1,0,329,3589,347,0 34,0,2024-09-07 09:09:30:937,86839,0.3,89364,0.5,170952,0.2,230092,1.75 34,1,2024-09-07 09:09:31:049,613176,613176,0,0,287573232304,2954382367,611754,1413,9,367,391562,0 34,2,2024-09-07 09:09:30:765,437965,437965,0,0,16874963,0,3577 34,3,2024-09-07 09:09:31:688,1,347,1,0,299,2507,347,0 35,0,2024-09-07 09:09:30:862,86663,0.4,87045,0.5,175047,0.3,233219,1.75 35,1,2024-09-07 09:09:31:067,610964,610964,0,0,286211705627,2964211974,607355,2828,781,382,391589,0 35,2,2024-09-07 09:09:31:597,439097,439097,0,0,16976855,0,2653 35,3,2024-09-07 09:09:30:909,1,347,1,0,418,4273,347,0 36,0,2024-09-07 09:09:31:531,86419,0.4,86346,0.6,172823,0.4,229195,2.00 36,1,2024-09-07 09:09:30:593,610572,610572,0,0,285573040369,2981211010,603255,5659,1658,366,391759,0 36,2,2024-09-07 09:09:31:757,443128,443128,0,0,19440740,0,3875 36,3,2024-09-07 09:09:30:868,1,347,1,0,416,5361,347,0 37,0,2024-09-07 09:09:31:390,84547,0.5,84468,0.6,169232,0.4,226449,2.00 37,1,2024-09-07 09:09:30:571,609303,609296,0,7,285501258957,2980801496,602521,4689,2086,365,391570,0 37,2,2024-09-07 09:09:31:154,435789,435774,15,0,19125829,0,5815 37,3,2024-09-07 09:09:31:828,1,347,13,0,888,5568,347,0 38,0,2024-09-07 09:09:31:445,86417,0.5,83875,0.7,175610,0.4,229429,2.00 38,1,2024-09-07 09:09:31:627,611513,611513,0,0,285821136240,2974056353,605454,5301,758,368,391821,0 38,2,2024-09-07 09:09:30:761,436558,436511,47,0,19493023,0,6710 38,3,2024-09-07 09:09:30:997,1,347,1,0,689,4718,347,0 39,0,2024-09-07 09:09:31:760,90160,0.6,88217,0.7,171831,0.6,234581,2.00 39,1,2024-09-07 09:09:30:721,609583,609583,0,0,285968517256,2989674430,601147,6975,1461,365,391658,0 39,2,2024-09-07 09:09:31:433,439469,439469,0,0,17575305,0,2689 39,3,2024-09-07 09:09:30:720,1,347,1,0,324,4322,347,0 40,0,2024-09-07 09:09:31:590,86680,0.7,87349,0.9,174273,0.7,231817,2.75 40,1,2024-09-07 09:09:30:576,610313,610313,0,0,284627367663,2979285242,601730,7072,1511,368,391668,0 40,2,2024-09-07 09:09:31:308,440994,440993,1,0,21207704,0,5137 40,3,2024-09-07 09:09:31:153,1,347,2,0,1028,5078,347,0 41,0,2024-09-07 09:09:31:023,83794,1.3,85706,1.2,163823,1.9,223302,2.75 41,1,2024-09-07 09:09:30:813,609199,609199,0,0,285210781707,2981601299,602145,6351,703,369,391742,0 41,2,2024-09-07 09:09:30:759,436373,436373,0,0,20099081,0,3356 41,3,2024-09-07 09:09:31:683,1,347,1,0,366,3505,347,0 42,0,2024-09-07 09:09:31:476,87719,1.0,87856,1.0,176006,1.1,232344,2.75 42,1,2024-09-07 09:09:31:439,608343,608343,0,0,283805322293,2981717962,598797,7788,1758,380,391675,0 42,2,2024-09-07 09:09:31:134,437136,437136,0,0,20384858,0,3790 42,3,2024-09-07 09:09:31:009,1,347,2,0,446,3100,347,0 43,0,2024-09-07 09:09:30:922,87557,0.9,85279,1.0,178758,1.0,234433,2.50 43,1,2024-09-07 09:09:30:575,609669,609669,0,0,286018475366,2986738252,601434,6797,1438,366,391696,0 43,2,2024-09-07 09:09:31:738,438948,438948,0,0,20072346,0,3812 43,3,2024-09-07 09:09:31:753,1,347,2,0,467,4326,347,0 44,0,2024-09-07 09:09:30:863,88558,0.4,88857,0.6,177334,0.4,236249,1.75 44,1,2024-09-07 09:09:30:563,611065,611065,0,0,285264571979,2949480614,606002,4016,1047,356,391809,0 44,2,2024-09-07 09:09:31:267,438328,438328,0,0,16781629,0,4344 44,3,2024-09-07 09:09:31:114,1,347,0,0,817,4526,347,0 45,0,2024-09-07 09:09:31:761,83674,0.5,81620,0.7,171166,0.4,225316,2.00 45,1,2024-09-07 09:09:31:005,610610,610610,0,0,285871433586,2970207340,606176,3958,476,382,391917,0 45,2,2024-09-07 09:09:31:268,441145,441145,0,0,17359243,0,3596 45,3,2024-09-07 09:09:30:940,1,347,2,0,271,3249,347,0 46,0,2024-09-07 09:09:30:952,87519,0.5,87568,0.7,175171,0.5,233313,2.00 46,1,2024-09-07 09:09:30:575,612260,612260,0,0,286705808812,2960290692,608546,3288,426,366,391572,0 46,2,2024-09-07 09:09:30:592,437806,437806,0,0,16376685,0,2920 46,3,2024-09-07 09:09:31:133,1,347,1,0,908,5039,347,0 47,0,2024-09-07 09:09:31:121,87827,0.5,88020,0.6,176295,0.5,233361,2.00 47,1,2024-09-07 09:09:30:567,612480,612480,0,0,286263436778,2960832187,607993,3672,815,366,391641,0 47,2,2024-09-07 09:09:30:916,439540,439540,0,0,16985526,0,4477 47,3,2024-09-07 09:09:31:115,1,347,0,0,529,3728,347,0 48,0,2024-09-07 09:09:31:522,87765,0.3,87408,0.4,174389,0.2,232788,1.50 48,1,2024-09-07 09:09:31:025,610773,610773,0,0,285785256147,2966184655,607212,3258,303,384,391710,0 48,2,2024-09-07 09:09:30:701,438244,438244,0,0,15356108,0,3031 48,3,2024-09-07 09:09:30:753,1,347,2,0,339,2886,347,0 49,0,2024-09-07 09:09:31:745,87726,0.3,86169,0.5,166840,0.2,228633,1.75 49,1,2024-09-07 09:09:31:025,610423,610423,0,0,285465626728,2970803811,606104,3134,1185,382,391809,0 49,2,2024-09-07 09:09:31:798,443304,443304,0,0,16552324,0,4426 49,3,2024-09-07 09:09:31:432,1,347,1,0,408,3577,347,0 50,0,2024-09-07 09:09:31:513,86371,0.3,84954,0.4,171390,0.2,229063,1.75 50,1,2024-09-07 09:09:31:010,612716,612716,0,0,286966760736,2965105391,608897,3445,374,368,391565,0 50,2,2024-09-07 09:09:31:089,437346,437346,0,0,15453122,0,2263 50,3,2024-09-07 09:09:31:291,1,347,6,0,335,3312,347,0 51,0,2024-09-07 09:09:31:686,88787,0.3,86765,0.5,169282,0.2,230413,1.75 51,1,2024-09-07 09:09:31:689,612702,612702,0,0,287324550750,2962796906,609441,2285,976,365,391706,0 51,2,2024-09-07 09:09:31:322,437565,437565,0,0,14923308,0,3337 51,3,2024-09-07 09:09:31:027,1,347,9,0,678,2594,347,0 52,0,2024-09-07 09:09:31:428,88209,0.5,88148,0.7,176067,0.4,233888,2.00 52,1,2024-09-07 09:09:30:581,609785,609785,0,0,285242605773,2985663305,601144,7328,1313,368,391722,0 52,2,2024-09-07 09:09:31:760,435861,435823,38,0,19887451,0,6742 52,3,2024-09-07 09:09:30:676,1,347,1,0,1782,5245,347,0 53,0,2024-09-07 09:09:31:762,85630,0.6,83144,0.8,173906,0.6,227929,2.25 53,1,2024-09-07 09:09:30:774,608235,608235,0,0,284911964536,2989214336,598610,7042,2583,367,391702,0 53,2,2024-09-07 09:09:31:297,443451,443451,0,0,17319865,0,2727 53,3,2024-09-07 09:09:30:706,1,347,1,0,308,3248,347,0 54,0,2024-09-07 09:09:31:636,83713,0.5,84189,0.7,167557,0.4,224719,2.25 54,1,2024-09-07 09:09:30:582,610220,610220,0,0,286766179606,2978309826,604555,5063,602,367,391659,0 54,2,2024-09-07 09:09:30:866,437310,437304,6,0,19595800,0,5382 54,3,2024-09-07 09:09:30:763,1,347,0,0,676,5242,347,0 55,0,2024-09-07 09:09:31:765,84768,0.6,87792,0.7,176955,0.6,230374,2.50 55,1,2024-09-07 09:09:30:771,610358,610358,0,0,285747956273,2971835478,603732,5717,909,365,391731,0 55,2,2024-09-07 09:09:30:732,436572,436572,0,0,18989656,0,3563 55,3,2024-09-07 09:09:30:676,1,347,0,0,304,3844,347,0 56,0,2024-09-07 09:09:31:577,90278,1.2,85162,1.1,175551,1.6,234867,2.50 56,1,2024-09-07 09:09:30:570,607406,607406,0,0,285652905385,3013570248,598668,7107,1631,381,391867,0 56,2,2024-09-07 09:09:31:301,438529,438529,0,0,20158949,0,3567 56,3,2024-09-07 09:09:31:063,1,347,2,0,705,4492,347,0 57,0,2024-09-07 09:09:30:935,87166,1.3,86987,1.2,174459,1.9,233376,3.00 57,1,2024-09-07 09:09:30:993,608838,608838,0,0,285063762010,2986338907,601964,6154,720,366,392032,0 57,2,2024-09-07 09:09:31:321,440990,440990,0,0,21804984,0,3317 57,3,2024-09-07 09:09:31:738,1,347,1,0,359,4031,347,0 58,0,2024-09-07 09:09:30:586,83245,0.8,80900,0.9,169383,0.9,222774,2.50 58,1,2024-09-07 09:09:30:578,609706,609703,0,3,285824262336,2986663892,601578,7043,1082,367,391603,3 58,2,2024-09-07 09:09:31:070,438674,438674,0,0,19933701,0,2549 58,3,2024-09-07 09:09:31:108,1,347,6,0,1043,3714,347,0 59,0,2024-09-07 09:09:31:747,88750,0.8,88298,0.9,176419,0.9,234433,2.50 59,1,2024-09-07 09:09:30:806,609382,609382,0,0,285254791191,2984910554,601504,6357,1521,369,391586,0 59,2,2024-09-07 09:09:30:591,438228,438228,0,0,19741954,0,2867 59,3,2024-09-07 09:09:31:737,1,347,1,0,1015,4382,347,0 60,0,2024-09-07 09:09:31:720,87842,0.5,87930,0.7,176502,0.5,234319,1.75 60,1,2024-09-07 09:09:30:785,611210,611210,0,0,286323781268,2972068115,607094,3470,646,370,392031,0 60,2,2024-09-07 09:09:31:149,438563,438563,0,0,19117945,0,3811 60,3,2024-09-07 09:09:31:259,1,347,2,0,409,4006,347,0 61,0,2024-09-07 09:09:31:490,87518,0.6,88080,0.8,175251,0.6,233585,2.00 61,1,2024-09-07 09:09:30:772,609148,609148,0,0,285139756471,2988654968,601984,5839,1325,382,392127,0 61,2,2024-09-07 09:09:31:121,438402,438335,67,0,19407262,0,6411 61,3,2024-09-07 09:09:31:688,1,347,1,0,479,5126,347,0 62,0,2024-09-07 09:09:31:711,85824,0.5,87774,0.7,167329,0.5,228291,2.00 62,1,2024-09-07 09:09:31:115,613426,613420,0,6,287474816738,2966519448,609840,3321,259,365,391715,6 62,2,2024-09-07 09:09:31:649,440917,440916,1,0,19009692,0,5555 62,3,2024-09-07 09:09:31:152,1,347,0,0,465,2628,347,0 63,0,2024-09-07 09:09:31:454,87160,0.4,86969,0.6,174381,0.3,231894,1.75 63,1,2024-09-07 09:09:30:809,611526,611520,0,6,286694417285,2975636127,607586,3546,388,381,391800,6 63,2,2024-09-07 09:09:30:772,437535,437535,0,0,17251828,0,4369 63,3,2024-09-07 09:09:31:734,1,347,0,0,667,3860,347,0 64,0,2024-09-07 09:09:31:545,86135,0.5,86148,0.7,172322,0.5,229163,2.00 64,1,2024-09-07 09:09:30:753,610582,610582,0,0,285262436284,2971768817,604936,3986,1660,370,391783,0 64,2,2024-09-07 09:09:31:149,440950,440931,19,0,16875184,0,6121 64,3,2024-09-07 09:09:31:155,1,347,3,0,265,3190,347,0 65,0,2024-09-07 09:09:31:677,86425,0.7,86788,0.8,173340,0.7,231061,2.25 65,1,2024-09-07 09:09:30:859,609633,609633,0,0,284815254780,2974721617,605546,3636,451,382,391901,0 65,2,2024-09-07 09:09:31:693,439805,439805,0,0,18640044,0,3367 65,3,2024-09-07 09:09:31:688,1,347,0,0,163,3041,347,0 66,0,2024-09-07 09:09:31:773,85684,0.5,85696,0.7,171505,0.4,228064,2.00 66,1,2024-09-07 09:09:31:295,610596,610596,0,0,285944394169,2970883461,607032,3242,322,380,391653,0 66,2,2024-09-07 09:09:31:154,444544,444544,0,0,16748166,0,4956 66,3,2024-09-07 09:09:31:108,1,347,0,0,291,3236,347,0 67,0,2024-09-07 09:09:31:437,85303,0.5,84727,0.7,170130,0.4,226968,2.00 67,1,2024-09-07 09:09:30:773,611243,611242,0,1,285507302851,2971221005,607076,3415,751,380,391787,1 67,2,2024-09-07 09:09:30:587,438285,438285,0,0,16099406,0,2889 67,3,2024-09-07 09:09:31:753,1,347,49,0,338,2968,347,0 68,0,2024-09-07 09:09:30:612,87265,0.6,86854,0.7,173340,0.6,231441,2.00 68,1,2024-09-07 09:09:30:570,609373,609373,0,0,285052261232,2983811425,604556,3613,1204,381,391953,0 68,2,2024-09-07 09:09:31:043,435763,435698,65,0,21282499,0,6698 68,3,2024-09-07 09:09:30:734,1,347,0,0,417,3717,347,0 69,0,2024-09-07 09:09:31:750,87942,0.8,88527,0.9,176088,1.0,233753,2.25 69,1,2024-09-07 09:09:31:018,607318,607318,0,0,284815785102,3003483058,599299,5909,2110,384,391994,0 69,2,2024-09-07 09:09:31:738,438827,438827,0,0,21540529,0,3722 69,3,2024-09-07 09:09:30:759,1,347,1,0,698,4910,347,0 70,0,2024-09-07 09:09:31:536,86548,0.7,86623,1.0,174242,0.6,230375,2.25 70,1,2024-09-07 09:09:30:806,610910,610910,0,0,286390956190,2971160437,606058,4316,536,366,391725,0 70,2,2024-09-07 09:09:31:334,441100,441100,0,0,19487342,0,4044 70,3,2024-09-07 09:09:30:752,1,347,1,0,854,3824,347,0 71,0,2024-09-07 09:09:31:374,83761,0.7,83338,0.9,167580,0.8,224212,2.50 71,1,2024-09-07 09:09:31:618,609662,609662,0,0,285584160920,2977746478,603050,5956,656,368,391738,0 71,2,2024-09-07 09:09:31:089,437709,437709,0,0,19197785,0,4042 71,3,2024-09-07 09:09:31:761,1,347,1,0,644,4685,347,0 72,0,2024-09-07 09:09:31:025,91421,0.6,89386,0.7,174347,0.6,236730,2.00 72,1,2024-09-07 09:09:31:024,609104,609104,0,0,285050477170,2985812167,600865,6542,1697,369,391819,0 72,2,2024-09-07 09:09:31:771,436495,436495,0,0,22031402,0,3983 72,3,2024-09-07 09:09:31:760,1,347,7,0,564,5400,347,0 73,0,2024-09-07 09:09:31:114,86457,0.4,88581,0.6,181366,0.4,235622,2.00 73,1,2024-09-07 09:09:30:789,610380,610380,0,0,285836366913,2970439973,606058,3992,330,367,391858,0 73,2,2024-09-07 09:09:31:744,438868,438868,0,0,21250638,0,3701 73,3,2024-09-07 09:09:30:970,1,347,3,0,274,4572,347,0 74,0,2024-09-07 09:09:31:329,88906,0.5,91085,0.6,174021,0.4,236002,2.25 74,1,2024-09-07 09:09:30:647,609930,609930,0,0,285165064158,2975999947,603849,4818,1263,381,391681,0 74,2,2024-09-07 09:09:31:002,438594,438594,0,0,20221664,0,4253 74,3,2024-09-07 09:09:31:447,1,347,11,0,522,4851,347,0 75,0,2024-09-07 09:09:31:809,84857,0.4,84529,0.7,169174,0.4,226395,2.25 75,1,2024-09-07 09:09:31:597,609055,609055,0,0,284952571810,2975926447,602696,5534,825,380,391739,0 75,2,2024-09-07 09:09:31:350,439923,439923,0,0,20381096,0,4766 75,3,2024-09-07 09:09:31:076,1,347,14,0,702,4894,347,0 76,0,2024-09-07 09:09:30:578,87830,0.6,87136,0.8,174808,0.6,234664,2.25 76,1,2024-09-07 09:09:30:810,610092,610092,0,0,285527343164,2976667251,606168,3333,591,382,391692,0 76,2,2024-09-07 09:09:31:109,439101,439100,1,0,19383725,0,5144 76,3,2024-09-07 09:09:31:153,1,347,16,0,175,3321,347,0 77,0,2024-09-07 09:09:31:720,87542,0.6,87647,0.8,175462,0.7,233300,2.00 77,1,2024-09-07 09:09:30:831,609878,609878,0,0,285347684517,2980747821,604683,4705,490,381,391869,0 77,2,2024-09-07 09:09:31:287,438550,438550,0,0,18907125,0,3890 77,3,2024-09-07 09:09:31:114,1,347,1,0,401,3892,347,0 78,0,2024-09-07 09:09:31:737,87572,0.4,87164,0.6,174985,0.4,232120,2.00 78,1,2024-09-07 09:09:30:616,610453,610453,0,0,285062641248,2966632773,604587,4721,1145,367,391670,0 78,2,2024-09-07 09:09:31:409,439378,439378,0,0,16366829,0,3855 78,3,2024-09-07 09:09:31:133,1,347,0,0,181,3256,347,0 79,0,2024-09-07 09:09:31:351,82800,0.4,84849,0.6,173571,0.3,225802,2.25 79,1,2024-09-07 09:09:30:573,612319,612319,0,0,286270128315,2963338346,607762,3924,633,368,391682,0 79,2,2024-09-07 09:09:31:089,443821,443821,0,0,17387210,0,4195 79,3,2024-09-07 09:09:30:750,1,347,65,0,418,4403,347,0 80,0,2024-09-07 09:09:31:088,85899,0.5,88452,0.6,169438,0.4,228795,2.00 80,1,2024-09-07 09:09:31:626,610626,610626,0,0,286202780704,2974870746,607274,3173,179,368,391791,0 80,2,2024-09-07 09:09:31:091,439099,439099,0,0,16441535,0,4433 80,3,2024-09-07 09:09:30:575,1,347,2,0,190,4090,347,0 81,0,2024-09-07 09:09:31:544,86407,0.6,88449,0.7,168923,0.6,229153,2.00 81,1,2024-09-07 09:09:31:650,609386,609386,0,0,284877285073,2975539638,604529,4358,499,382,391879,0 81,2,2024-09-07 09:09:31:145,436825,436762,63,0,18329833,0,5932 81,3,2024-09-07 09:09:31:119,1,347,2,0,374,3979,347,0 82,0,2024-09-07 09:09:31:535,87485,0.5,87962,0.7,176360,0.4,233510,2.00 82,1,2024-09-07 09:09:30:598,611489,611485,0,4,286083906777,2975752697,607590,3098,797,381,391768,4 82,2,2024-09-07 09:09:31:697,440800,440800,0,0,15737453,0,3986 82,3,2024-09-07 09:09:31:755,1,347,9,0,363,3458,347,0 83,0,2024-09-07 09:09:31:522,86372,0.5,86157,0.7,171689,0.5,228176,2.00 83,1,2024-09-07 09:09:30:567,609844,609844,0,0,285887484752,2978937822,605530,3933,381,382,391709,0 83,2,2024-09-07 09:09:30:770,442681,442681,0,0,16303244,0,3393 83,3,2024-09-07 09:09:30:759,1,347,1,0,1260,4897,347,0 84,0,2024-09-07 09:09:31:794,84271,0.6,84234,0.8,168576,0.5,225991,2.25 84,1,2024-09-07 09:09:31:047,609182,609182,0,0,284462733011,2971096731,603419,5034,729,367,391967,0 84,2,2024-09-07 09:09:30:574,437151,437151,0,0,20532222,0,4757 84,3,2024-09-07 09:09:31:152,1,347,3,0,908,5188,347,0 85,0,2024-09-07 09:09:31:021,84495,0.7,84485,0.8,179381,0.7,231725,2.25 85,1,2024-09-07 09:09:30:561,607754,607754,0,0,284759544520,3002722235,599222,7160,1372,381,392006,0 85,2,2024-09-07 09:09:30:867,437250,437250,0,0,20269812,0,3656 85,3,2024-09-07 09:09:30:693,1,347,1,0,789,4499,347,0 86,0,2024-09-07 09:09:30:946,88319,0.7,90912,0.8,173927,0.7,234850,2.25 86,1,2024-09-07 09:09:30:834,609551,609551,0,0,285104767149,2983466855,602657,5828,1066,366,391961,0 86,2,2024-09-07 09:09:30:857,437931,437930,1,0,21293097,0,5004 86,3,2024-09-07 09:09:30:585,1,347,5,0,308,4662,347,0 87,0,2024-09-07 09:09:31:300,87953,0.8,87759,0.9,176223,1.0,235226,2.25 87,1,2024-09-07 09:09:30:566,609401,609401,0,0,285306125587,2982584087,603182,5605,614,366,392076,0 87,2,2024-09-07 09:09:31:102,439506,439506,0,0,19380753,0,4045 87,3,2024-09-07 09:09:31:827,1,347,0,0,473,5037,347,0 88,0,2024-09-07 09:09:31:441,84398,0.4,85052,0.6,169496,0.4,225649,1.75 88,1,2024-09-07 09:09:30:571,607889,607889,0,0,285088998157,2987086926,600186,6038,1665,365,392084,0 88,2,2024-09-07 09:09:30:695,439763,439763,0,0,21555304,0,3583 88,3,2024-09-07 09:09:31:269,1,347,3,0,435,3725,347,0 89,0,2024-09-07 09:09:31:767,91549,0.5,88607,0.7,175573,0.4,238065,1.75 89,1,2024-09-07 09:09:30:570,607931,607931,0,0,284969040485,2997088499,600170,6486,1275,382,391866,0 89,2,2024-09-07 09:09:31:134,436719,436719,0,0,20593412,0,2910 89,3,2024-09-07 09:09:31:827,1,347,15,0,385,6287,347,0 90,0,2024-09-07 09:09:31:618,85326,0.5,87727,0.7,178769,0.4,233618,2.00 90,1,2024-09-07 09:09:30:594,609457,609457,0,0,285130695777,2988492212,603242,5711,504,380,391825,0 90,2,2024-09-07 09:09:31:410,437144,437144,0,0,21791511,0,3060 90,3,2024-09-07 09:09:30:931,1,347,1,0,246,3730,347,0 91,0,2024-09-07 09:09:30:979,88161,0.5,85345,0.6,178265,0.4,234139,1.75 91,1,2024-09-07 09:09:30:557,607811,607811,0,0,285176024017,2998990423,599979,6785,1047,381,392047,0 91,2,2024-09-07 09:09:31:339,438730,438730,0,0,19716301,0,2896 91,3,2024-09-07 09:09:30:611,1,347,0,0,216,3253,347,0 92,0,2024-09-07 09:09:31:449,86051,0.4,88261,0.6,168609,0.4,228622,1.75 92,1,2024-09-07 09:09:30:610,609345,609345,0,0,284980164715,2977230198,604532,4126,687,382,392136,0 92,2,2024-09-07 09:09:31:359,442822,442822,0,0,17769811,0,3259 92,3,2024-09-07 09:09:31:011,1,347,1,0,167,2963,347,0 93,0,2024-09-07 09:09:31:011,87415,0.4,89540,0.5,171149,0.3,231977,1.75 93,1,2024-09-07 09:09:30:813,609670,609670,0,0,285739782777,2982227385,603547,5092,1031,366,391776,0 93,2,2024-09-07 09:09:30:928,436722,436722,0,0,20612165,0,4845 93,3,2024-09-07 09:09:31:412,1,347,1,0,190,3338,347,0 94,0,2024-09-07 09:09:31:600,86308,0.4,87063,0.5,173771,0.3,230794,1.75 94,1,2024-09-07 09:09:30:598,609859,609859,0,0,285483639181,2978902922,605818,3851,190,381,391850,0 94,2,2024-09-07 09:09:30:790,437362,437362,0,0,17762385,0,2443 94,3,2024-09-07 09:09:31:692,1,347,5,0,264,4300,347,0 95,0,2024-09-07 09:09:31:376,87109,0.4,87278,0.5,174838,0.3,232909,1.75 95,1,2024-09-07 09:09:30:871,611238,611238,0,0,285670816119,2970491819,606261,4577,400,367,391713,0 95,2,2024-09-07 09:09:31:017,438649,438649,0,0,17555338,0,3308 95,3,2024-09-07 09:09:31:729,1,347,1,0,718,5382,347,0 96,0,2024-09-07 09:09:31:039,86290,0.3,86437,0.5,172522,0.3,228950,1.75 96,1,2024-09-07 09:09:31:590,609524,609524,0,0,285847931393,2981219559,604995,3733,796,384,391955,0 96,2,2024-09-07 09:09:31:267,443460,443460,0,0,17453420,0,4042 96,3,2024-09-07 09:09:31:159,1,347,1,0,411,3737,347,0 97,0,2024-09-07 09:09:31:329,85004,0.3,84879,0.5,170292,0.3,226619,1.50 97,1,2024-09-07 09:09:30:763,611561,611561,0,0,286644240123,2970170596,607671,3216,674,367,392140,0 97,2,2024-09-07 09:09:30:608,438195,438195,0,0,16832320,0,3036 97,3,2024-09-07 09:09:30:581,1,347,4,0,214,4041,347,0 98,0,2024-09-07 09:09:31:704,87118,0.3,86864,0.5,174401,0.2,231437,1.50 98,1,2024-09-07 09:09:30:582,610751,610751,0,0,285161007793,2965034179,608078,2566,107,382,391997,0 98,2,2024-09-07 09:09:30:773,437658,437658,0,0,16745157,0,3080 98,3,2024-09-07 09:09:30:701,1,347,3,0,840,5428,347,0 99,0,2024-09-07 09:09:31:449,88404,0.3,88950,0.5,177022,0.3,235955,1.75 99,1,2024-09-07 09:09:31:736,610646,610646,0,0,285812751147,2974818395,606499,3392,755,381,392069,0 99,2,2024-09-07 09:09:31:421,440638,440638,0,0,18976502,0,3424 99,3,2024-09-07 09:09:30:583,1,347,8,0,199,3308,347,0 100,0,2024-09-07 09:09:31:471,87188,0.7,87125,0.9,174174,0.8,232195,2.25 100,1,2024-09-07 09:09:30:548,607208,607208,0,0,283559502733,2993557403,598737,6975,1496,381,391989,0 100,2,2024-09-07 09:09:31:817,440305,440294,11,0,19865434,0,5417 100,3,2024-09-07 09:09:31:734,1,347,1,0,559,5989,347,0 101,0,2024-09-07 09:09:31:713,86076,0.8,83844,0.9,164469,0.6,225902,2.25 101,1,2024-09-07 09:09:30:554,607238,607238,0,0,284393630744,2992701981,598143,7071,2024,368,391771,0 101,2,2024-09-07 09:09:31:781,435788,435788,0,0,22936702,0,4644 101,3,2024-09-07 09:09:30:953,1,347,3,0,579,4559,347,0 102,0,2024-09-07 09:09:31:003,86207,0.7,89161,0.8,180099,0.7,235512,2.00 102,1,2024-09-07 09:09:31:151,608140,608140,0,0,284884919824,2989893503,600819,6288,1033,369,391891,0 102,2,2024-09-07 09:09:31:739,438108,438054,54,0,19227518,0,6768 102,3,2024-09-07 09:09:31:627,1,347,1,0,410,3665,347,0 103,0,2024-09-07 09:09:31:604,91511,0.6,91416,0.7,172449,0.7,237384,2.00 103,1,2024-09-07 09:09:31:632,607466,607466,0,0,284586322084,2999229469,598644,6826,1996,381,391829,0 103,2,2024-09-07 09:09:30:586,437740,437740,0,0,20291551,0,3173 103,3,2024-09-07 09:09:30:755,1,347,2,0,916,4251,347,0 104,0,2024-09-07 09:09:31:036,87515,0.7,87911,0.9,174586,0.7,235212,2.25 104,1,2024-09-07 09:09:31:633,609130,609130,0,0,284188827233,2987321923,600213,7219,1698,365,392168,0 104,2,2024-09-07 09:09:31:671,437677,437677,0,0,21357485,0,3941 104,3,2024-09-07 09:09:31:430,1,347,1,0,1245,7538,347,0 105,0,2024-09-07 09:09:31:028,83824,0.8,81561,1.0,170728,0.8,224919,2.75 105,1,2024-09-07 09:09:30:555,609389,609389,0,0,285453575918,2993392176,601230,6823,1336,366,391797,0 105,2,2024-09-07 09:09:31:327,439803,439803,0,0,20651966,0,3509 105,3,2024-09-07 09:09:31:306,1,347,0,0,399,5642,347,0 106,0,2024-09-07 09:09:30:969,85042,0.8,87106,0.9,178321,0.9,234039,2.50 106,1,2024-09-07 09:09:31:753,607953,607953,0,0,285170642759,2997544113,598359,8300,1294,369,391914,0 106,2,2024-09-07 09:09:30:755,435525,435525,0,0,20373846,0,2795 106,3,2024-09-07 09:09:30:688,1,347,1,0,470,4372,347,0 107,0,2024-09-07 09:09:31:143,87306,1.2,87366,1.0,174727,1.6,233097,2.25 107,1,2024-09-07 09:09:30:592,607642,607642,0,0,284745663641,2999487767,599658,7068,916,381,392234,0 107,2,2024-09-07 09:09:31:290,436489,436488,1,0,20902381,0,5024 107,3,2024-09-07 09:09:31:760,1,347,2,0,353,4826,347,0 108,0,2024-09-07 09:09:31:813,87057,0.5,87614,0.6,174199,0.4,232612,1.75 108,1,2024-09-07 09:09:31:294,610053,610053,0,0,285771729271,2980515302,604963,4572,518,368,391857,0 108,2,2024-09-07 09:09:31:776,437338,437338,0,0,19865841,0,4246 108,3,2024-09-07 09:09:31:330,1,347,3,0,749,6992,347,0 109,0,2024-09-07 09:09:31:922,86016,0.4,85115,0.5,170516,0.3,228308,1.75 109,1,2024-09-07 09:09:30:593,607933,607933,0,0,285148397939,2989918709,602721,4547,665,383,392132,0 109,2,2024-09-07 09:09:30:922,440689,440689,0,0,19452374,0,3617 109,3,2024-09-07 09:09:31:231,1,347,12,0,379,4130,347,0 110,0,2024-09-07 09:09:31:761,85859,0.4,83622,0.6,174994,0.3,229491,1.75 110,1,2024-09-07 09:09:31:650,611002,611002,0,0,285969536148,2966911089,606998,3027,977,369,392045,0 110,2,2024-09-07 09:09:31:303,436899,436899,0,0,19065988,0,4067 110,3,2024-09-07 09:09:30:693,1,347,9,0,722,5191,347,0 111,0,2024-09-07 09:09:31:422,86876,0.4,86195,0.6,172727,0.4,230560,1.75 111,1,2024-09-07 09:09:31:000,611394,611394,0,0,287470194784,2982102348,608013,3029,352,382,391690,0 111,2,2024-09-07 09:09:31:116,436549,436549,0,0,18464230,0,4823 111,3,2024-09-07 09:09:30:926,1,347,1,0,379,4127,347,0 112,0,2024-09-07 09:09:30:918,88668,0.3,87988,0.4,176564,0.2,234276,1.50 112,1,2024-09-07 09:09:30:827,611028,611028,0,0,285475799621,2960037374,607563,2881,584,380,391624,0 112,2,2024-09-07 09:09:31:154,439743,439742,1,0,16710476,0,5036 112,3,2024-09-07 09:09:30:607,1,347,0,0,282,3496,347,0 113,0,2024-09-07 09:09:30:866,85882,0.3,86044,0.4,172678,0.2,229504,1.50 113,1,2024-09-07 09:09:31:687,612963,612963,0,0,286797747797,2957674828,609682,2697,584,366,391661,0 113,2,2024-09-07 09:09:31:303,444141,444141,0,0,15469352,0,3813 113,3,2024-09-07 09:09:30:690,1,347,2,0,340,4081,347,0 114,0,2024-09-07 09:09:30:884,85180,0.3,85814,0.5,170199,0.2,228031,1.75 114,1,2024-09-07 09:09:30:726,610942,610942,0,0,286433827785,2975122854,605814,3534,1594,381,391556,0 114,2,2024-09-07 09:09:30:889,438016,438015,1,0,16990017,0,5069 114,3,2024-09-07 09:09:31:278,1,347,2,0,395,2994,347,0 115,0,2024-09-07 09:09:30:564,87523,0.3,88270,0.4,176306,0.2,233993,1.50 115,1,2024-09-07 09:09:30:571,610407,610407,0,0,286593547810,2974756408,605542,4025,840,382,391757,0 115,2,2024-09-07 09:09:31:126,439415,439415,0,0,15672152,0,3453 115,3,2024-09-07 09:09:31:002,1,347,0,0,159,1987,347,0 116,0,2024-09-07 09:09:31:699,88135,0.8,88017,0.9,176564,0.9,235886,2.00 116,1,2024-09-07 09:09:30:812,607430,607430,0,0,284678528114,3001210726,599821,5340,2269,380,392089,0 116,2,2024-09-07 09:09:31:755,438411,438411,0,0,21329652,0,3529 116,3,2024-09-07 09:09:30:917,1,347,5,0,415,4271,347,0 117,0,2024-09-07 09:09:31:034,88467,0.7,87952,0.8,176154,0.7,235673,2.00 117,1,2024-09-07 09:09:31:582,608779,608779,0,0,284655556601,2978444564,602466,5644,669,370,392033,0 117,2,2024-09-07 09:09:31:122,442941,442941,0,0,17777628,0,3700 117,3,2024-09-07 09:09:31:081,1,347,7,0,490,5022,347,0 118,0,2024-09-07 09:09:31:795,81990,0.5,84152,0.7,171864,0.4,224828,2.00 118,1,2024-09-07 09:09:30:589,608507,608507,0,0,284596695851,2990378327,599706,6901,1900,366,391907,0 118,2,2024-09-07 09:09:31:598,438341,438341,0,0,19615687,0,2781 118,3,2024-09-07 09:09:31:784,1,347,4,0,235,3795,347,0 119,0,2024-09-07 09:09:31:357,88648,0.6,88963,0.7,178041,0.6,236463,2.00 119,1,2024-09-07 09:09:30:561,610011,610011,0,0,285506488647,2984666736,603435,5739,837,367,391780,0 119,2,2024-09-07 09:09:31:270,438100,438100,0,0,18298654,0,4174 119,3,2024-09-07 09:09:31:331,1,347,3,0,563,5211,347,0 120,0,2024-09-07 09:09:31:545,87580,0.7,87309,0.9,174722,0.7,234221,2.25 120,1,2024-09-07 09:09:30:860,609067,609067,0,0,285020478776,2990601085,602646,5865,556,368,391961,0 120,2,2024-09-07 09:09:30:777,438119,438118,1,0,21650136,0,5281 120,3,2024-09-07 09:09:31:291,1,347,1,0,241,4277,347,0 121,0,2024-09-07 09:09:31:697,87404,1.0,87615,1.0,175830,1.3,233782,2.25 121,1,2024-09-07 09:09:31:656,609692,609692,0,0,284939609675,2980336153,603772,5482,438,367,391840,0 121,2,2024-09-07 09:09:31:128,437548,437548,0,0,20864744,0,4127 121,3,2024-09-07 09:09:30:734,1,347,1,0,269,3933,347,0 122,0,2024-09-07 09:09:31:764,85058,0.7,83001,0.8,173962,0.8,228515,2.00 122,1,2024-09-07 09:09:30:860,608732,608732,0,0,284693634274,2987103602,600269,7201,1262,366,392130,0 122,2,2024-09-07 09:09:31:320,441370,441297,73,0,23405107,0,5989 122,3,2024-09-07 09:09:30:599,1,347,2,0,512,6614,347,0 123,0,2024-09-07 09:09:30:962,86435,0.7,84601,0.8,176519,0.7,231471,2.00 123,1,2024-09-07 09:09:30:562,608327,608327,0,0,284999110076,3004636995,596879,9321,2127,369,392039,0 123,2,2024-09-07 09:09:31:019,435251,435250,1,0,20128255,0,5215 123,3,2024-09-07 09:09:31:143,1,347,1,0,168,3921,347,0 124,0,2024-09-07 09:09:30:958,89285,0.4,89310,0.5,168348,0.3,231555,1.75 124,1,2024-09-07 09:09:31:029,610693,610693,0,0,285210651174,2967355083,605973,3810,910,367,392178,0 124,2,2024-09-07 09:09:31:009,438757,438704,53,0,18078535,0,6487 124,3,2024-09-07 09:09:30:758,1,347,7,0,490,3543,347,0 125,0,2024-09-07 09:09:31:422,87568,0.4,87064,0.6,175233,0.3,233252,1.75 125,1,2024-09-07 09:09:30:856,609358,609358,0,0,285168185342,2977390247,604475,4238,645,383,391702,0 125,2,2024-09-07 09:09:31:121,439368,439368,0,0,18743765,0,4534 125,3,2024-09-07 09:09:31:126,1,347,2,0,709,4627,347,0 126,0,2024-09-07 09:09:31:419,86135,0.4,88410,0.6,169657,0.4,229854,1.75 126,1,2024-09-07 09:09:30:553,611224,611224,0,0,285907002776,2962624198,607480,3458,286,365,391987,0 126,2,2024-09-07 09:09:30:616,443323,443323,0,0,18734623,0,4539 126,3,2024-09-07 09:09:30:909,1,347,1,0,207,4382,347,0 127,0,2024-09-07 09:09:31:604,84913,0.3,85390,0.5,170187,0.3,226774,1.75 127,1,2024-09-07 09:09:30:572,610194,610194,0,0,285411378637,2964635450,604790,4543,861,364,392187,0 127,2,2024-09-07 09:09:30:644,436779,436779,0,0,17239667,0,3897 127,3,2024-09-07 09:09:31:269,1,347,1,0,968,4063,347,0 128,0,2024-09-07 09:09:31:541,87235,0.3,87461,0.4,175019,0.2,231770,1.50 128,1,2024-09-07 09:09:31:632,610678,610678,0,0,285234332235,2961055242,606575,3731,372,367,391798,0 128,2,2024-09-07 09:09:31:388,438612,438612,0,0,16108321,0,2915 128,3,2024-09-07 09:09:30:766,1,347,1,0,1082,5937,347,0 129,0,2024-09-07 09:09:31:006,89028,0.3,88574,0.5,177731,0.3,235983,1.50 129,1,2024-09-07 09:09:30:567,607910,607910,0,0,284941122963,2982534383,602261,4392,1257,379,391835,0 129,2,2024-09-07 09:09:30:691,440087,440087,0,0,17027604,0,4031 129,3,2024-09-07 09:09:30:689,1,347,1,0,469,4504,347,0 130,0,2024-09-07 09:09:31:738,88024,0.5,87501,0.6,176071,0.5,233436,1.75 130,1,2024-09-07 09:09:30:589,610556,610556,0,0,285422431388,2966736412,607138,3139,279,381,391825,0 130,2,2024-09-07 09:09:31:126,443027,443027,0,0,16910635,0,4067 130,3,2024-09-07 09:09:31:296,1,347,1,0,450,4174,347,0 131,0,2024-09-07 09:09:31:934,84072,0.3,84597,0.5,170022,0.3,225520,1.50 131,1,2024-09-07 09:09:31:819,610657,610657,0,0,285629509406,2979538710,606177,3733,747,381,391865,0 131,2,2024-09-07 09:09:30:569,440024,440024,0,0,15577800,0,2415 131,3,2024-09-07 09:09:31:692,1,347,10,0,392,3570,347,0 132,0,2024-09-07 09:09:31:423,88583,0.4,89355,0.6,177929,0.4,236277,1.75 132,1,2024-09-07 09:09:30:582,607539,607539,0,0,284432807005,2993977667,598893,7179,1467,381,392097,0 132,2,2024-09-07 09:09:30:698,437457,437457,0,0,20785366,0,4606 132,3,2024-09-07 09:09:31:692,1,347,0,0,804,6212,347,0 133,0,2024-09-07 09:09:31:520,86488,0.5,88359,0.6,181543,0.4,236125,2.00 133,1,2024-09-07 09:09:30:584,607533,607533,0,0,284562795159,2997705820,599351,7097,1085,383,391914,0 133,2,2024-09-07 09:09:31:098,438131,438131,0,0,22118646,0,4315 133,3,2024-09-07 09:09:31:298,1,347,2,0,479,3659,347,0 134,0,2024-09-07 09:09:30:956,88730,0.5,88534,0.7,177075,0.4,236486,2.00 134,1,2024-09-07 09:09:30:586,608239,608239,0,0,284250712859,2983511481,599916,6467,1856,366,391718,0 134,2,2024-09-07 09:09:31:771,438664,438664,0,0,18456665,0,3847 134,3,2024-09-07 09:09:30:752,1,347,9,0,739,4459,347,0 135,0,2024-09-07 09:09:31:100,81913,0.6,81939,0.8,173809,0.6,224466,2.00 135,1,2024-09-07 09:09:31:597,608999,608999,0,0,285279585323,3001448630,600565,7197,1237,380,391805,0 135,2,2024-09-07 09:09:30:691,441494,441494,0,0,20059365,0,3981 135,3,2024-09-07 09:09:31:002,1,347,1,0,299,2659,347,0 136,0,2024-09-07 09:09:31:617,88397,0.5,88639,0.7,176328,0.5,236064,2.00 136,1,2024-09-07 09:09:31:448,608353,608353,0,0,284288496579,2984804377,601328,6327,698,382,391685,0 136,2,2024-09-07 09:09:31:144,437669,437669,0,0,19837856,0,3506 136,3,2024-09-07 09:09:31:113,1,347,1,0,637,3928,347,0 137,0,2024-09-07 09:09:30:953,90213,0.7,87755,0.8,172371,0.7,234452,2.00 137,1,2024-09-07 09:09:30:576,608254,608254,0,0,285071115175,2992471469,598632,7740,1882,366,391898,0 137,2,2024-09-07 09:09:31:706,437176,437176,0,0,22257725,0,3185 137,3,2024-09-07 09:09:30:773,1,347,1,0,382,4095,347,0 138,0,2024-09-07 09:09:31:744,86716,1.3,86782,1.1,174289,1.8,231560,2.25 138,1,2024-09-07 09:09:31:686,609067,609067,0,0,285185169872,2994247181,600017,7454,1596,368,391954,0 138,2,2024-09-07 09:09:30:591,437884,437884,0,0,19883471,0,4988 138,3,2024-09-07 09:09:30:611,1,347,3,0,1160,4877,347,0 139,0,2024-09-07 09:09:31:360,84426,1.1,84799,1.0,169832,1.6,226420,2.25 139,1,2024-09-07 09:09:30:612,606447,606447,0,0,283662087194,3013117533,595070,8729,2648,381,392058,0 139,2,2024-09-07 09:09:30:698,439788,439788,0,0,21787916,0,3097 139,3,2024-09-07 09:09:31:662,1,347,2,0,257,3888,347,0 140,0,2024-09-07 09:09:31:596,86240,0.3,85695,0.5,172326,0.2,229843,1.75 140,1,2024-09-07 09:09:31:544,612729,612729,0,0,286730663736,2956890507,609522,2768,439,365,391606,0 140,2,2024-09-07 09:09:30:690,437299,437298,1,0,17730600,0,5036 140,3,2024-09-07 09:09:30:767,1,347,10,0,247,2824,347,0 141,0,2024-09-07 09:09:31:711,86657,0.4,88938,0.5,169978,0.3,230553,1.75 141,1,2024-09-07 09:09:30:860,610892,610892,0,0,286398887721,2975233848,606329,3701,862,379,391614,0 141,2,2024-09-07 09:09:31:689,437365,437365,0,0,17361079,0,3360 141,3,2024-09-07 09:09:31:044,1,347,1,0,391,3993,347,0 142,0,2024-09-07 09:09:31:309,88823,0.3,87930,0.5,175911,0.3,234753,1.75 142,1,2024-09-07 09:09:30:585,610674,610674,0,0,284865767298,2970300594,606706,3616,352,382,392102,0 142,2,2024-09-07 09:09:31:298,438982,438950,32,0,18819510,0,6028 142,3,2024-09-07 09:09:31:755,1,347,8,0,484,4192,347,0 143,0,2024-09-07 09:09:31:375,86369,0.4,86124,0.6,172675,0.4,229850,1.75 143,1,2024-09-07 09:09:30:571,611206,611206,0,0,286566336764,2972481420,607428,3627,151,367,391705,0 143,2,2024-09-07 09:09:30:768,442850,442850,0,0,18202252,0,3123 143,3,2024-09-07 09:09:31:154,1,347,1,0,303,4204,347,0 144,0,2024-09-07 09:09:31:514,82221,0.5,84354,0.7,172000,0.4,226208,2.00 144,1,2024-09-07 09:09:30:569,608330,608330,0,0,284325894705,2978363594,603473,3916,941,381,391649,0 144,2,2024-09-07 09:09:31:759,438193,438193,0,0,16282008,0,3473 144,3,2024-09-07 09:09:31:743,1,347,1,0,249,3465,347,0 145,0,2024-09-07 09:09:31:357,84777,0.6,84810,0.8,179928,0.5,231403,2.25 145,1,2024-09-07 09:09:30:554,607833,607833,0,0,284845887944,2993888486,600474,6219,1140,382,391759,0 145,2,2024-09-07 09:09:31:431,436434,436434,0,0,19993756,0,3903 145,3,2024-09-07 09:09:30:896,1,347,3,0,622,5152,347,0 146,0,2024-09-07 09:09:31:603,88419,0.6,87831,0.8,177047,0.6,234585,2.25 146,1,2024-09-07 09:09:31:591,608850,608850,0,0,284668284035,2994546222,598973,7866,2011,368,391770,0 146,2,2024-09-07 09:09:31:702,437969,437969,0,0,19931508,0,2730 146,3,2024-09-07 09:09:31:284,1,347,12,0,1520,7235,347,0 147,0,2024-09-07 09:09:31:700,88460,0.6,88172,0.7,175579,0.5,235271,2.00 147,1,2024-09-07 09:09:31:376,610746,610746,0,0,285699917971,2972956196,605082,4863,801,368,391791,0 147,2,2024-09-07 09:09:31:012,440575,440575,0,0,17573937,0,2789 147,3,2024-09-07 09:09:30:926,1,347,2,0,730,5081,347,0 0,0,2024-09-07 09:09:41:738,85750,0.7,85761,0.8,181987,0.7,235347,2.00 0,1,2024-09-07 09:09:40:801,611314,611314,0,0,286214708787,2990989297,607179,3890,245,369,391896,0 0,2,2024-09-07 09:09:41:067,440932,440932,0,0,17350034,0,4480 0,3,2024-09-07 09:09:40:980,1,348,20,0,431,4720,348,0 1,0,2024-09-07 09:09:41:772,88033,0.8,87493,0.9,175655,0.9,234617,2.00 1,1,2024-09-07 09:09:40:562,610284,610284,0,0,285554999512,2988474376,604682,4375,1227,370,391857,0 1,2,2024-09-07 09:09:40:654,438918,438918,0,0,16782157,0,3267 1,3,2024-09-07 09:09:41:317,1,348,2,0,262,4049,348,0 2,0,2024-09-07 09:09:41:582,85715,0.6,85978,0.7,170953,0.6,229372,2.00 2,1,2024-09-07 09:09:40:863,612584,612584,0,0,287284913697,2981337508,609684,2607,293,380,391745,0 2,2,2024-09-07 09:09:41:283,443504,443504,0,0,16647367,0,3594 2,3,2024-09-07 09:09:40:691,1,348,1,0,357,3657,348,0 3,0,2024-09-07 09:09:41:744,87131,0.4,87035,0.6,174059,0.3,231913,1.75 3,1,2024-09-07 09:09:41:628,610874,610874,0,0,285924070115,2975848078,605774,4477,623,380,391591,0 3,2,2024-09-07 09:09:41:146,438771,438748,23,0,17065716,0,5851 3,3,2024-09-07 09:09:41:754,1,348,1,0,207,2233,348,0 4,0,2024-09-07 09:09:41:769,84423,0.4,86951,0.5,176761,0.4,231622,1.75 4,1,2024-09-07 09:09:40:608,609713,609713,0,0,285079248330,3001309769,602253,6102,1358,371,391992,0 4,2,2024-09-07 09:09:41:026,437384,437384,0,0,20036101,0,4528 4,3,2024-09-07 09:09:41:050,1,348,1,0,448,4840,348,0 5,0,2024-09-07 09:09:41:403,87466,0.5,87775,0.6,175384,0.4,233148,1.75 5,1,2024-09-07 09:09:40:762,610594,610594,0,0,285949326724,3004392582,603424,5814,1356,367,392005,0 5,2,2024-09-07 09:09:41:858,438135,438135,0,0,19117830,0,2432 5,3,2024-09-07 09:09:41:740,1,348,4,0,457,4978,348,0 6,0,2024-09-07 09:09:40:926,86744,0.4,86258,0.6,172427,0.4,230423,2.00 6,1,2024-09-07 09:09:40:754,610523,610523,0,0,286065121400,2988160903,603911,5527,1085,379,391694,0 6,2,2024-09-07 09:09:41:120,443642,443642,0,0,18321828,0,4816 6,3,2024-09-07 09:09:41:282,1,348,0,0,340,3734,348,0 7,0,2024-09-07 09:09:41:530,84990,0.4,85548,0.6,170364,0.4,227056,1.75 7,1,2024-09-07 09:09:40:853,609853,609853,0,0,286040493058,3001055766,601782,6895,1176,382,391747,0 7,2,2024-09-07 09:09:40:774,438612,438612,0,0,18455829,0,4791 7,3,2024-09-07 09:09:40:851,1,348,1,0,398,3862,348,0 8,0,2024-09-07 09:09:41:459,87160,0.4,87045,0.5,174213,0.3,231890,1.75 8,1,2024-09-07 09:09:41:037,609064,609064,0,0,285913993959,3009256386,598910,7877,2277,366,392144,0 8,2,2024-09-07 09:09:40:790,434843,434843,0,0,22908708,0,3220 8,3,2024-09-07 09:09:40:587,1,348,6,0,538,5984,348,0 9,0,2024-09-07 09:09:41:114,88739,0.4,86198,0.5,180411,0.4,236154,1.75 9,1,2024-09-07 09:09:40:556,609551,609551,0,0,285387444011,3007974896,600478,6948,2125,369,392001,0 9,2,2024-09-07 09:09:41:091,439934,439934,0,0,20244680,0,3360 9,3,2024-09-07 09:09:41:752,1,348,3,0,496,5147,348,0 10,0,2024-09-07 09:09:41:604,87634,0.3,86968,0.5,174660,0.2,232140,1.75 10,1,2024-09-07 09:09:40:584,610556,610556,0,0,285097982947,2986196711,602672,6775,1109,381,391741,0 10,2,2024-09-07 09:09:40:762,443236,443236,0,0,21952045,0,4264 10,3,2024-09-07 09:09:40:878,1,348,1,0,296,3191,348,0 11,0,2024-09-07 09:09:41:014,84318,0.4,81876,0.6,171212,0.3,226480,1.75 11,1,2024-09-07 09:09:40:572,610819,610819,0,0,286810241850,3011920371,600797,7574,2448,383,391756,0 11,2,2024-09-07 09:09:41:123,438538,438538,0,0,19686977,0,4130 11,3,2024-09-07 09:09:41:297,1,348,1,0,843,5378,348,0 12,0,2024-09-07 09:09:40:980,89329,0.4,89351,0.5,178363,0.3,236594,1.75 12,1,2024-09-07 09:09:40:942,610845,610845,0,0,285722239596,2979530470,605977,4376,492,370,391870,0 12,2,2024-09-07 09:09:41:562,439686,439686,0,0,19311660,0,3469 12,3,2024-09-07 09:09:41:069,1,348,13,0,386,5588,348,0 13,0,2024-09-07 09:09:41:425,89611,0.4,89231,0.6,178159,0.4,237545,1.75 13,1,2024-09-07 09:09:41:538,609755,609755,0,0,284974074384,2996247503,603843,4502,1410,382,391740,0 13,2,2024-09-07 09:09:40:595,441269,441269,0,0,17748421,0,3287 13,3,2024-09-07 09:09:41:762,1,348,11,0,522,5618,348,0 14,0,2024-09-07 09:09:40:563,88920,0.4,89641,0.6,177424,0.3,236908,1.75 14,1,2024-09-07 09:09:41:572,614357,614357,0,0,287061434111,2972792710,609669,4329,359,364,391571,0 14,2,2024-09-07 09:09:40:765,440537,440507,30,0,19340652,0,6104 14,3,2024-09-07 09:09:41:120,1,348,101,0,1168,4217,348,0 15,0,2024-09-07 09:09:41:566,84813,0.4,84886,0.6,170012,0.4,226201,1.75 15,1,2024-09-07 09:09:41:610,611402,611402,0,0,286401297423,2981723434,607059,3520,823,381,391619,0 15,2,2024-09-07 09:09:40:999,442751,442751,0,0,15912115,0,3622 15,3,2024-09-07 09:09:41:416,1,348,18,0,1126,6280,348,0 16,0,2024-09-07 09:09:40:952,88649,0.6,88949,0.7,177647,0.6,237145,2.00 16,1,2024-09-07 09:09:40:596,612219,612219,0,0,287056932773,2994562752,607910,3947,362,370,391756,0 16,2,2024-09-07 09:09:41:459,438733,438733,0,0,18246924,0,4719 16,3,2024-09-07 09:09:41:171,1,348,13,0,317,4747,348,0 17,0,2024-09-07 09:09:41:769,90473,0.7,88243,0.8,172636,0.7,234756,2.00 17,1,2024-09-07 09:09:40:569,609986,609986,0,0,285397060378,2993019479,603743,4984,1259,368,392075,0 17,2,2024-09-07 09:09:41:684,441961,441961,0,0,17963395,0,2857 17,3,2024-09-07 09:09:40:577,1,348,5,0,298,5649,348,0 18,0,2024-09-07 09:09:40:942,86507,0.6,86773,0.8,173107,0.6,231077,2.25 18,1,2024-09-07 09:09:41:640,612580,612580,0,0,286352117446,2966404915,609244,3025,311,367,391649,0 18,2,2024-09-07 09:09:41:755,441352,441352,0,0,16587564,0,3541 18,3,2024-09-07 09:09:40:898,1,348,1,0,163,2953,348,0 19,0,2024-09-07 09:09:41:539,85326,0.6,86059,0.7,170112,0.5,226607,2.00 19,1,2024-09-07 09:09:40:566,612958,612958,0,0,287280937653,2979117654,607832,4287,839,367,391777,0 19,2,2024-09-07 09:09:41:753,445023,445023,0,0,15286343,0,3988 19,3,2024-09-07 09:09:41:129,1,348,1,0,524,2597,348,0 20,0,2024-09-07 09:09:41:361,86198,0.5,86194,0.7,172322,0.5,230397,2.00 20,1,2024-09-07 09:09:40:576,610772,610772,0,0,286385841126,2989689436,606430,3935,407,369,391886,0 20,2,2024-09-07 09:09:40:935,438830,438830,0,0,18485692,0,3721 20,3,2024-09-07 09:09:40:588,1,348,1,0,414,5426,348,0 21,0,2024-09-07 09:09:41:139,86743,0.5,86712,0.7,173322,0.5,229984,2.00 21,1,2024-09-07 09:09:41:561,609964,609964,0,0,285219868756,3003405116,601965,6117,1882,368,392016,0 21,2,2024-09-07 09:09:41:070,436499,436499,0,0,21562881,0,3747 21,3,2024-09-07 09:09:41:405,1,348,2,0,713,4106,348,0 22,0,2024-09-07 09:09:41:720,87700,0.5,88082,0.7,176248,0.5,233126,2.00 22,1,2024-09-07 09:09:41:028,610871,610871,0,0,285308841598,2996331091,602379,6672,1820,382,391667,0 22,2,2024-09-07 09:09:40:765,439114,439114,0,0,17575049,0,3134 22,3,2024-09-07 09:09:41:068,1,348,1,0,228,2871,348,0 23,0,2024-09-07 09:09:41:382,85767,0.5,85778,0.7,171748,0.5,228619,2.25 23,1,2024-09-07 09:09:41:003,610908,610908,0,0,286574352174,3007778647,600413,7336,3159,365,391690,0 23,2,2024-09-07 09:09:41:096,444975,444975,0,0,17506453,0,3010 23,3,2024-09-07 09:09:41:754,1,348,2,0,720,4756,348,0 24,0,2024-09-07 09:09:40:872,85654,0.4,85677,0.5,171717,0.3,228774,1.75 24,1,2024-09-07 09:09:40:597,609861,609861,0,0,285516144603,2995034856,602955,5374,1532,368,392269,0 24,2,2024-09-07 09:09:41:074,437465,437465,0,0,21401457,0,3607 24,3,2024-09-07 09:09:41:687,1,348,0,0,468,4594,348,0 25,0,2024-09-07 09:09:41:348,90290,0.4,87997,0.6,172453,0.4,234743,2.00 25,1,2024-09-07 09:09:40:557,611041,611041,0,0,286015410435,3002302716,603156,6512,1373,371,391928,0 25,2,2024-09-07 09:09:41:608,437719,437719,0,0,21591886,0,3978 25,3,2024-09-07 09:09:41:002,1,348,3,0,255,3458,348,0 26,0,2024-09-07 09:09:41:724,88297,0.4,86252,0.6,180868,0.4,235462,1.75 26,1,2024-09-07 09:09:41:544,611175,611175,0,0,285918779504,2995340636,602288,7351,1536,380,391748,0 26,2,2024-09-07 09:09:40:863,440687,440687,0,0,21467129,0,2809 26,3,2024-09-07 09:09:41:712,1,348,0,0,796,4427,348,0 27,0,2024-09-07 09:09:41:725,88771,0.4,88865,0.6,176130,0.4,235315,2.00 27,1,2024-09-07 09:09:41:677,613275,613275,0,0,286886242463,2986107580,607969,4540,766,381,391626,0 27,2,2024-09-07 09:09:40:868,439584,439519,65,0,20914086,0,5699 27,3,2024-09-07 09:09:41:019,1,348,1,0,564,3656,348,0 28,0,2024-09-07 09:09:41:398,85071,0.4,84650,0.6,169810,0.3,227157,2.00 28,1,2024-09-07 09:09:40:807,612307,612307,0,0,287655558006,2996093535,607925,3677,705,383,391698,0 28,2,2024-09-07 09:09:41:768,439772,439772,0,0,18482957,0,2915 28,3,2024-09-07 09:09:41:776,1,348,1,0,502,3761,348,0 29,0,2024-09-07 09:09:41:356,91517,0.4,89161,0.6,174922,0.3,238115,1.75 29,1,2024-09-07 09:09:41:561,613777,613777,0,0,286984244874,2971418468,609435,3657,685,369,391753,0 29,2,2024-09-07 09:09:40:866,437956,437956,0,0,17841400,0,4986 29,3,2024-09-07 09:09:40:963,1,348,1,0,459,4359,348,0 30,0,2024-09-07 09:09:41:491,87986,0.5,85449,0.7,178916,0.5,234405,2.00 30,1,2024-09-07 09:09:40:576,613159,613159,0,0,287919756776,2989511986,608340,4109,710,381,391672,0 30,2,2024-09-07 09:09:41:273,440546,440546,0,0,16816258,0,3161 30,3,2024-09-07 09:09:40:582,1,348,1,0,519,3486,348,0 31,0,2024-09-07 09:09:41:790,87579,0.4,87991,0.6,175886,0.3,234426,2.00 31,1,2024-09-07 09:09:40:564,615152,615152,0,0,288119897450,2954271462,612608,1985,559,356,391712,0 31,2,2024-09-07 09:09:41:275,439459,439459,0,0,18622241,0,3525 31,3,2024-09-07 09:09:41:705,1,348,10,0,220,3004,348,0 32,0,2024-09-07 09:09:41:421,85752,0.3,86140,0.5,172239,0.2,229721,1.50 32,1,2024-09-07 09:09:40:807,612886,612886,0,0,287265160471,2981159047,609742,2698,446,381,391646,0 32,2,2024-09-07 09:09:40:935,443737,443737,0,0,15625725,0,3155 32,3,2024-09-07 09:09:41:019,1,348,1,0,227,2466,348,0 33,0,2024-09-07 09:09:41:537,87371,0.3,86845,0.4,174441,0.2,232343,1.50 33,1,2024-09-07 09:09:40:576,614042,614042,0,0,287688107565,2977067705,609628,3583,831,369,391730,0 33,2,2024-09-07 09:09:40:761,440064,440029,35,0,17676845,0,7012 33,3,2024-09-07 09:09:40:895,1,348,1,0,329,3590,348,0 34,0,2024-09-07 09:09:40:932,87172,0.3,89723,0.5,171594,0.2,231009,1.75 34,1,2024-09-07 09:09:41:047,614931,614931,0,0,288694322345,2965801148,613509,1413,9,367,391562,0 34,2,2024-09-07 09:09:40:766,439300,439300,0,0,16920545,0,3577 34,3,2024-09-07 09:09:41:687,1,348,1,0,299,2508,348,0 35,0,2024-09-07 09:09:40:864,86851,0.4,87226,0.5,175409,0.3,233709,1.75 35,1,2024-09-07 09:09:41:067,612694,612694,0,0,286988544508,2972129090,609085,2828,781,382,391589,0 35,2,2024-09-07 09:09:41:587,440227,440227,0,0,16994898,0,2653 35,3,2024-09-07 09:09:40:922,1,348,7,0,418,4280,348,0 36,0,2024-09-07 09:09:41:515,86758,0.4,86697,0.6,173481,0.4,230077,2.00 36,1,2024-09-07 09:09:40:583,612303,612303,0,0,286178104537,2987372083,604986,5659,1658,366,391759,0 36,2,2024-09-07 09:09:41:752,444363,444363,0,0,19448557,0,3875 36,3,2024-09-07 09:09:40:882,1,348,1,0,416,5362,348,0 37,0,2024-09-07 09:09:41:389,84997,0.5,84934,0.6,170069,0.4,227570,2.00 37,1,2024-09-07 09:09:40:571,611113,611106,0,7,286259982127,2988505829,604331,4689,2086,365,391570,0 37,2,2024-09-07 09:09:41:142,437080,437065,15,0,19134596,0,5815 37,3,2024-09-07 09:09:41:771,1,348,1,0,888,5569,348,0 38,0,2024-09-07 09:09:41:437,86540,0.5,84012,0.7,175858,0.4,229740,2.00 38,1,2024-09-07 09:09:41:613,613265,613265,0,0,286863570078,2984578621,607206,5301,758,368,391821,0 38,2,2024-09-07 09:09:40:761,437832,437785,47,0,19501571,0,6710 38,3,2024-09-07 09:09:40:998,1,348,1,0,689,4719,348,0 39,0,2024-09-07 09:09:41:760,90327,0.6,88360,0.7,172072,0.6,234930,2.00 39,1,2024-09-07 09:09:40:721,611405,611405,0,0,286611253041,2996215111,602969,6975,1461,365,391658,0 39,2,2024-09-07 09:09:41:417,440849,440849,0,0,17583754,0,2689 39,3,2024-09-07 09:09:40:715,1,348,0,0,324,4322,348,0 40,0,2024-09-07 09:09:41:488,86692,0.7,87355,0.9,174287,0.7,231825,2.75 40,1,2024-09-07 09:09:40:579,612120,612120,0,0,285539277774,2988545466,603536,7073,1511,368,391668,0 40,2,2024-09-07 09:09:41:307,442362,442361,1,0,21227066,0,5137 40,3,2024-09-07 09:09:41:146,1,348,3,0,1028,5081,348,0 41,0,2024-09-07 09:09:41:028,84148,1.2,86086,1.2,164455,1.9,224231,2.75 41,1,2024-09-07 09:09:40:770,610895,610895,0,0,285980405169,2989456004,603841,6351,703,369,391742,0 41,2,2024-09-07 09:09:40:761,437388,437388,0,0,20112518,0,3356 41,3,2024-09-07 09:09:41:677,1,348,9,0,366,3514,348,0 42,0,2024-09-07 09:09:41:481,87819,1.0,87950,1.0,176187,1.1,232601,2.75 42,1,2024-09-07 09:09:41:439,610084,610084,0,0,284952432827,2993335500,600538,7788,1758,380,391675,0 42,2,2024-09-07 09:09:41:134,438412,438412,0,0,20400728,0,3790 42,3,2024-09-07 09:09:41:019,1,348,1,0,446,3101,348,0 43,0,2024-09-07 09:09:40:918,87757,0.9,85494,1.0,179204,1.0,235030,2.50 43,1,2024-09-07 09:09:40:578,611381,611381,0,0,287019159698,2996880808,603146,6797,1438,366,391696,0 43,2,2024-09-07 09:09:41:740,440381,440381,0,0,20094120,0,3812 43,3,2024-09-07 09:09:41:749,1,348,2,0,467,4328,348,0 44,0,2024-09-07 09:09:40:865,88877,0.4,89217,0.6,177984,0.4,237151,1.75 44,1,2024-09-07 09:09:40:583,612752,612752,0,0,286234825147,2959389587,607689,4016,1047,356,391809,0 44,2,2024-09-07 09:09:41:283,439779,439779,0,0,16865372,0,4344 44,3,2024-09-07 09:09:41:093,1,348,1,0,817,4527,348,0 45,0,2024-09-07 09:09:41:755,83948,0.5,81900,0.7,171702,0.4,226149,2.00 45,1,2024-09-07 09:09:41:014,612388,612388,0,0,286773247219,2979416645,607954,3958,476,382,391917,0 45,2,2024-09-07 09:09:41:270,441841,441841,0,0,17373332,0,3596 45,3,2024-09-07 09:09:40:934,1,348,1,0,271,3250,348,0 46,0,2024-09-07 09:09:40:971,88109,0.5,88123,0.7,176233,0.5,234839,2.00 46,1,2024-09-07 09:09:40:576,613954,613954,0,0,287755890347,2971131693,610240,3288,426,366,391572,0 46,2,2024-09-07 09:09:40:593,439320,439320,0,0,16423963,0,2920 46,3,2024-09-07 09:09:41:139,1,348,2,0,908,5041,348,0 47,0,2024-09-07 09:09:41:117,88111,0.5,88362,0.6,176960,0.5,234196,2.00 47,1,2024-09-07 09:09:40:568,614383,614383,0,0,287136775875,2969723532,609896,3672,815,366,391641,0 47,2,2024-09-07 09:09:40:908,441074,441074,0,0,17021143,0,4477 47,3,2024-09-07 09:09:41:119,1,348,7,0,529,3735,348,0 48,0,2024-09-07 09:09:41:511,87910,0.3,87561,0.4,174723,0.2,233219,1.50 48,1,2024-09-07 09:09:41:030,612559,612559,0,0,287084716284,2979682463,608980,3275,304,384,391710,0 48,2,2024-09-07 09:09:40:704,439316,439316,0,0,15368491,0,3031 48,3,2024-09-07 09:09:40:760,1,348,1,0,339,2887,348,0 49,0,2024-09-07 09:09:41:733,87963,0.3,86435,0.5,167294,0.2,229297,1.75 49,1,2024-09-07 09:09:41:030,612236,612236,0,0,286467559724,2980997314,607916,3135,1185,382,391809,0 49,2,2024-09-07 09:09:41:797,444338,444338,0,0,16574616,0,4426 49,3,2024-09-07 09:09:41:416,1,348,1,0,408,3578,348,0 50,0,2024-09-07 09:09:41:512,86785,0.3,85343,0.4,172147,0.2,230054,1.75 50,1,2024-09-07 09:09:41:014,614535,614535,0,0,287729048152,2972871261,610716,3445,374,368,391565,0 50,2,2024-09-07 09:09:41:069,438800,438800,0,0,15556468,0,2263 50,3,2024-09-07 09:09:41:292,1,348,0,0,335,3312,348,0 51,0,2024-09-07 09:09:41:687,88959,0.3,86912,0.5,169600,0.2,230933,1.75 51,1,2024-09-07 09:09:41:680,614478,614478,0,0,288176639094,2971436735,611217,2285,976,365,391706,0 51,2,2024-09-07 09:09:41:316,438842,438842,0,0,14937136,0,3337 51,3,2024-09-07 09:09:41:027,1,348,1,0,678,2595,348,0 52,0,2024-09-07 09:09:41:416,88292,0.5,88248,0.7,176228,0.4,234122,2.00 52,1,2024-09-07 09:09:40:578,611624,611624,0,0,286357793305,2996939506,602983,7328,1313,368,391722,0 52,2,2024-09-07 09:09:41:765,436886,436848,38,0,19894973,0,6742 52,3,2024-09-07 09:09:40:677,1,348,1,0,1782,5246,348,0 53,0,2024-09-07 09:09:41:735,85750,0.6,83284,0.8,174163,0.6,228292,2.25 53,1,2024-09-07 09:09:40:777,610067,610067,0,0,285875484056,2998978162,600442,7042,2583,367,391702,0 53,2,2024-09-07 09:09:41:298,444775,444775,0,0,17328223,0,2727 53,3,2024-09-07 09:09:40:711,1,348,1,0,308,3249,348,0 54,0,2024-09-07 09:09:41:618,84247,0.5,84690,0.7,168557,0.4,226061,2.25 54,1,2024-09-07 09:09:40:580,612018,612018,0,0,287615261978,2986913468,606352,5064,602,367,391659,0 54,2,2024-09-07 09:09:40:882,438499,438493,6,0,19603302,0,5382 54,3,2024-09-07 09:09:40:763,1,348,1,0,676,5243,348,0 55,0,2024-09-07 09:09:41:759,84863,0.6,87907,0.7,177172,0.6,230676,2.50 55,1,2024-09-07 09:09:40:765,612192,612192,0,0,286466057615,2979123157,605566,5717,909,365,391731,0 55,2,2024-09-07 09:09:40:729,437798,437798,0,0,18998216,0,3563 55,3,2024-09-07 09:09:40:677,1,348,1,0,304,3845,348,0 56,0,2024-09-07 09:09:41:575,90367,1.2,85250,1.1,175761,1.6,235117,2.50 56,1,2024-09-07 09:09:40:576,609188,609188,0,0,286418596822,3021423050,600450,7107,1631,381,391867,0 56,2,2024-09-07 09:09:41:306,440012,440012,0,0,20201277,0,3567 56,3,2024-09-07 09:09:41:060,1,348,4,0,705,4496,348,0 57,0,2024-09-07 09:09:40:954,87345,1.3,87196,1.2,174826,1.9,233889,3.00 57,1,2024-09-07 09:09:40:994,610597,610597,0,0,285754453453,2993389794,603723,6154,720,366,392032,0 57,2,2024-09-07 09:09:41:328,442419,442419,0,0,21823691,0,3317 57,3,2024-09-07 09:09:41:743,1,348,7,0,359,4038,348,0 58,0,2024-09-07 09:09:40:565,83679,0.8,81330,0.9,170307,0.9,223919,2.50 58,1,2024-09-07 09:09:40:582,611514,611511,0,3,286824587220,2996806624,603386,7043,1082,367,391603,3 58,2,2024-09-07 09:09:41:075,439420,439420,0,0,19942921,0,2549 58,3,2024-09-07 09:09:41:084,1,348,9,0,1043,3723,348,0 59,0,2024-09-07 09:09:41:746,88854,0.8,88429,0.9,176667,0.9,234726,2.50 59,1,2024-09-07 09:09:40:817,611145,611145,0,0,286123251674,2993758954,603267,6357,1521,369,391586,0 59,2,2024-09-07 09:09:40:583,439632,439632,0,0,19765383,0,2867 59,3,2024-09-07 09:09:41:737,1,348,3,0,1015,4385,348,0 60,0,2024-09-07 09:09:41:755,88154,0.5,88213,0.7,177072,0.5,235145,1.75 60,1,2024-09-07 09:09:40:782,612965,612965,0,0,287278501163,2981887722,608847,3472,646,370,392031,0 60,2,2024-09-07 09:09:41:146,440032,440032,0,0,19157400,0,3811 60,3,2024-09-07 09:09:41:269,1,348,15,0,409,4021,348,0 61,0,2024-09-07 09:09:41:559,87735,0.6,88296,0.8,175666,0.6,234184,2.00 61,1,2024-09-07 09:09:40:770,610835,610835,0,0,285906438782,2996559575,603671,5839,1325,382,392127,0 61,2,2024-09-07 09:09:41:132,439688,439621,67,0,19431060,0,6411 61,3,2024-09-07 09:09:41:695,1,348,12,0,479,5138,348,0 62,0,2024-09-07 09:09:41:716,86309,0.5,88253,0.7,168251,0.5,229532,2.00 62,1,2024-09-07 09:09:41:112,615224,615218,0,6,288372743205,2975652499,611638,3321,259,365,391715,6 62,2,2024-09-07 09:09:41:645,441855,441854,1,0,19046294,0,5555 62,3,2024-09-07 09:09:41:146,1,348,3,0,465,2631,348,0 63,0,2024-09-07 09:09:41:455,87418,0.4,87229,0.6,174929,0.3,232646,1.75 63,1,2024-09-07 09:09:40:811,613353,613347,0,6,287663273697,2985502764,609413,3546,388,381,391800,6 63,2,2024-09-07 09:09:40:765,439002,439002,0,0,17315595,0,4369 63,3,2024-09-07 09:09:41:739,1,348,4,0,667,3864,348,0 64,0,2024-09-07 09:09:41:512,86485,0.5,86497,0.7,173033,0.5,230083,2.00 64,1,2024-09-07 09:09:40:752,612323,612323,0,0,286255359869,2981841550,606677,3986,1660,370,391783,0 64,2,2024-09-07 09:09:41:146,442278,442259,19,0,16892296,0,6121 64,3,2024-09-07 09:09:41:146,1,348,1,0,265,3191,348,0 65,0,2024-09-07 09:09:41:730,86598,0.7,86985,0.8,173699,0.7,231547,2.25 65,1,2024-09-07 09:09:40:862,611268,611268,0,0,285499825852,2981846172,607181,3636,451,382,391901,0 65,2,2024-09-07 09:09:41:702,440975,440975,0,0,18673605,0,3367 65,3,2024-09-07 09:09:41:682,1,348,3,0,163,3044,348,0 66,0,2024-09-07 09:09:41:768,85997,0.5,86070,0.7,172125,0.4,228999,2.00 66,1,2024-09-07 09:09:41:294,612298,612298,0,0,286737503861,2978990189,608734,3242,322,380,391653,0 66,2,2024-09-07 09:09:41:143,445751,445751,0,0,16765777,0,4956 66,3,2024-09-07 09:09:41:084,1,348,1,0,291,3237,348,0 67,0,2024-09-07 09:09:41:420,85710,0.5,85159,0.7,170977,0.4,228112,2.00 67,1,2024-09-07 09:09:40:775,613048,613047,0,1,286573042933,2982094284,608881,3415,751,380,391787,1 67,2,2024-09-07 09:09:40:583,439680,439680,0,0,16128823,0,2889 67,3,2024-09-07 09:09:41:750,1,348,222,0,338,3190,348,0 68,0,2024-09-07 09:09:40:618,87367,0.6,86974,0.7,173600,0.6,231757,2.00 68,1,2024-09-07 09:09:40:585,611175,611175,0,0,285851736517,2991973254,606358,3613,1204,381,391953,0 68,2,2024-09-07 09:09:41:052,437073,437008,65,0,21292988,0,6698 68,3,2024-09-07 09:09:40:734,1,348,14,0,417,3731,348,0 69,0,2024-09-07 09:09:41:726,88067,0.8,88665,0.9,176343,1.0,234063,2.25 69,1,2024-09-07 09:09:41:020,609068,609068,0,0,285656444935,3012069251,601049,5909,2110,384,391994,0 69,2,2024-09-07 09:09:41:744,440154,440154,0,0,21550829,0,3722 69,3,2024-09-07 09:09:40:763,1,348,1,0,698,4911,348,0 70,0,2024-09-07 09:09:41:533,86554,0.7,86632,1.0,174258,0.6,230384,2.25 70,1,2024-09-07 09:09:40:811,612701,612701,0,0,287326268064,2980615295,607849,4316,536,366,391725,0 70,2,2024-09-07 09:09:41:332,442473,442473,0,0,19495615,0,4044 70,3,2024-09-07 09:09:40:746,1,348,0,0,854,3824,348,0 71,0,2024-09-07 09:09:41:358,84093,0.7,83701,0.9,168248,0.8,225159,2.50 71,1,2024-09-07 09:09:41:603,611509,611509,0,0,286353442180,2985547371,604897,5956,656,368,391738,0 71,2,2024-09-07 09:09:41:069,438671,438671,0,0,19203429,0,4042 71,3,2024-09-07 09:09:41:752,1,348,1,0,644,4686,348,0 72,0,2024-09-07 09:09:41:043,91497,0.6,89492,0.7,174541,0.6,236971,2.00 72,1,2024-09-07 09:09:41:021,610841,610841,0,0,285981347750,2995256236,602602,6542,1697,369,391819,0 72,2,2024-09-07 09:09:41:760,437877,437877,0,0,22049286,0,3983 72,3,2024-09-07 09:09:41:763,1,348,10,0,564,5410,348,0 73,0,2024-09-07 09:09:41:114,86673,0.4,88796,0.6,181804,0.4,236188,2.00 73,1,2024-09-07 09:09:40:772,612146,612146,0,0,286755027091,2979754941,607824,3992,330,367,391858,0 73,2,2024-09-07 09:09:41:748,440393,440393,0,0,21273192,0,3701 73,3,2024-09-07 09:09:40:980,1,348,5,0,274,4577,348,0 74,0,2024-09-07 09:09:41:353,89217,0.5,91420,0.6,174666,0.4,236841,2.25 74,1,2024-09-07 09:09:40:650,611698,611698,0,0,285736912139,2981873708,605617,4818,1263,381,391681,0 74,2,2024-09-07 09:09:41:017,440053,440053,0,0,20243499,0,4253 74,3,2024-09-07 09:09:41:442,1,348,1,0,522,4852,348,0 75,0,2024-09-07 09:09:41:773,85135,0.4,84800,0.7,169718,0.4,227144,2.25 75,1,2024-09-07 09:09:41:597,610784,610784,0,0,285748097949,2984047529,604425,5534,825,380,391739,0 75,2,2024-09-07 09:09:41:350,440628,440628,0,0,20392424,0,4766 75,3,2024-09-07 09:09:41:088,1,348,9,0,702,4903,348,0 76,0,2024-09-07 09:09:40:607,88421,0.6,87710,0.8,175959,0.6,236223,2.25 76,1,2024-09-07 09:09:40:825,611919,611919,0,0,286431587085,2986041923,607994,3334,591,382,391692,0 76,2,2024-09-07 09:09:41:061,440609,440608,1,0,19438280,0,5144 76,3,2024-09-07 09:09:41:147,1,348,1,0,175,3322,348,0 77,0,2024-09-07 09:09:41:708,87874,0.6,87943,0.8,176099,0.7,234147,2.00 77,1,2024-09-07 09:09:40:830,611575,611575,0,0,286092538079,2988392271,606380,4705,490,381,391869,0 77,2,2024-09-07 09:09:41:282,440116,440116,0,0,18953814,0,3890 77,3,2024-09-07 09:09:41:096,1,348,14,0,401,3906,348,0 78,0,2024-09-07 09:09:41:731,87757,0.4,87304,0.6,175282,0.4,232561,2.00 78,1,2024-09-07 09:09:40:610,612241,612241,0,0,285836693358,2974899889,606310,4786,1145,367,391670,0 78,2,2024-09-07 09:09:41:407,440454,440454,0,0,16406364,0,3855 78,3,2024-09-07 09:09:41:133,1,348,1,0,181,3257,348,0 79,0,2024-09-07 09:09:41:354,83040,0.4,85090,0.6,174057,0.3,226452,2.25 79,1,2024-09-07 09:09:40:583,614113,614113,0,0,287083839636,2971852048,609556,3924,633,368,391682,0 79,2,2024-09-07 09:09:41:069,444957,444957,0,0,17418654,0,4195 79,3,2024-09-07 09:09:40:757,1,348,1,0,418,4404,348,0 80,0,2024-09-07 09:09:41:080,86290,0.5,88895,0.6,170196,0.4,229809,2.00 80,1,2024-09-07 09:09:41:637,612403,612403,0,0,287030517757,2983313750,609050,3174,179,368,391791,0 80,2,2024-09-07 09:09:41:101,440573,440573,0,0,16466115,0,4433 80,3,2024-09-07 09:09:40:575,1,348,2,0,190,4092,348,0 81,0,2024-09-07 09:09:41:573,86562,0.6,88614,0.7,169284,0.6,229590,2.00 81,1,2024-09-07 09:09:41:665,611035,611035,0,0,285681348606,2983986443,606166,4370,499,382,391879,0 81,2,2024-09-07 09:09:41:168,438102,438039,63,0,18391829,0,5932 81,3,2024-09-07 09:09:41:122,1,348,4,0,374,3983,348,0 82,0,2024-09-07 09:09:41:536,87577,0.5,88050,0.7,176543,0.4,233753,2.00 82,1,2024-09-07 09:09:40:592,613238,613234,0,4,287091603850,2986012971,609339,3098,797,381,391768,4 82,2,2024-09-07 09:09:41:692,441886,441886,0,0,15751841,0,3986 82,3,2024-09-07 09:09:41:760,1,348,1,0,363,3459,348,0 83,0,2024-09-07 09:09:41:523,86493,0.5,86269,0.7,171947,0.5,228527,2.00 83,1,2024-09-07 09:09:40:553,611561,611561,0,0,286840273749,2988645872,607247,3933,381,382,391709,0 83,2,2024-09-07 09:09:40:764,443974,443974,0,0,16327289,0,3393 83,3,2024-09-07 09:09:40:749,1,348,2,0,1260,4899,348,0 84,0,2024-09-07 09:09:41:769,84792,0.6,84752,0.8,169544,0.5,227326,2.25 84,1,2024-09-07 09:09:41:048,610883,610883,0,0,285393416080,2980574622,605120,5034,729,367,391967,0 84,2,2024-09-07 09:09:40:579,438346,438346,0,0,20541101,0,4757 84,3,2024-09-07 09:09:41:147,1,348,3,0,908,5191,348,0 85,0,2024-09-07 09:09:41:008,84610,0.7,84605,0.8,179614,0.7,232016,2.25 85,1,2024-09-07 09:09:40:565,609521,609521,0,0,285702985753,3012354968,600987,7162,1372,381,392006,0 85,2,2024-09-07 09:09:40:868,438428,438428,0,0,20279353,0,3656 85,3,2024-09-07 09:09:40:689,1,348,11,0,789,4510,348,0 86,0,2024-09-07 09:09:40:891,88431,0.7,91006,0.8,174123,0.7,235092,2.25 86,1,2024-09-07 09:09:40:827,611285,611285,0,0,286086610378,2993404795,604391,5828,1066,366,391961,0 86,2,2024-09-07 09:09:40:862,439434,439433,1,0,21304992,0,5004 86,3,2024-09-07 09:09:40:594,1,348,1,0,308,4663,348,0 87,0,2024-09-07 09:09:41:281,88157,0.8,87958,0.9,176611,1.0,235730,2.25 87,1,2024-09-07 09:09:40:549,611159,611159,0,0,286136313306,2991014715,604940,5605,614,366,392076,0 87,2,2024-09-07 09:09:41:067,440912,440912,0,0,19391686,0,4045 87,3,2024-09-07 09:09:41:795,1,348,1,0,473,5038,348,0 88,0,2024-09-07 09:09:41:448,84848,0.4,85495,0.6,170367,0.4,226841,1.75 88,1,2024-09-07 09:09:40:577,609669,609669,0,0,286063102130,2996984053,601966,6038,1665,365,392084,0 88,2,2024-09-07 09:09:40:697,440433,440433,0,0,21563791,0,3583 88,3,2024-09-07 09:09:41:272,1,348,0,0,435,3725,348,0 89,0,2024-09-07 09:09:41:798,91694,0.5,88755,0.6,175811,0.4,238370,1.75 89,1,2024-09-07 09:09:40:550,609729,609729,0,0,285620573695,3003782333,601968,6486,1275,382,391866,0 89,2,2024-09-07 09:09:41:134,438240,438240,0,0,20617183,0,2910 89,3,2024-09-07 09:09:41:792,1,348,4,0,385,6291,348,0 90,0,2024-09-07 09:09:41:676,85625,0.5,87995,0.7,179342,0.4,234375,2.00 90,1,2024-09-07 09:09:40:601,611250,611250,0,0,286175947160,2999114422,605035,5711,504,380,391825,0 90,2,2024-09-07 09:09:41:406,438603,438603,0,0,21829830,0,3060 90,3,2024-09-07 09:09:40:934,1,348,113,0,246,3843,348,0 91,0,2024-09-07 09:09:40:977,88363,0.5,85551,0.6,178714,0.4,234737,1.75 91,1,2024-09-07 09:09:40:563,609591,609591,0,0,286116900899,3008606267,601757,6787,1047,381,392047,0 91,2,2024-09-07 09:09:41:344,440069,440069,0,0,19730510,0,2896 91,3,2024-09-07 09:09:40:608,1,348,9,0,216,3262,348,0 92,0,2024-09-07 09:09:41:451,86507,0.4,88705,0.6,169578,0.4,229855,1.75 92,1,2024-09-07 09:09:40:583,611134,611134,0,0,285943115148,2987198511,606321,4126,687,382,392136,0 92,2,2024-09-07 09:09:41:350,443784,443784,0,0,17805760,0,3259 92,3,2024-09-07 09:09:41:020,1,348,6,0,167,2969,348,0 93,0,2024-09-07 09:09:40:962,87702,0.4,89817,0.5,171662,0.3,232696,1.75 93,1,2024-09-07 09:09:40:822,611368,611368,0,0,286492701770,2990035867,605243,5094,1031,366,391776,0 93,2,2024-09-07 09:09:40:934,438269,438269,0,0,20671702,0,4845 93,3,2024-09-07 09:09:41:425,1,348,25,0,190,3363,348,0 94,0,2024-09-07 09:09:41:674,86676,0.4,87402,0.5,174447,0.3,231680,1.75 94,1,2024-09-07 09:09:40:570,611551,611551,0,0,286534835667,2989865688,607498,3863,190,381,391850,0 94,2,2024-09-07 09:09:40:761,438710,438710,0,0,17799014,0,2443 94,3,2024-09-07 09:09:41:696,1,348,13,0,264,4313,348,0 95,0,2024-09-07 09:09:41:356,87294,0.4,87453,0.5,175179,0.3,233387,1.75 95,1,2024-09-07 09:09:40:853,613004,613004,0,0,286559118302,2979560348,608027,4577,400,367,391713,0 95,2,2024-09-07 09:09:41:020,439858,439858,0,0,17579769,0,3308 95,3,2024-09-07 09:09:41:728,1,348,0,0,718,5382,348,0 96,0,2024-09-07 09:09:41:025,86657,0.3,86800,0.5,173183,0.3,229841,1.75 96,1,2024-09-07 09:09:41:609,611306,611306,0,0,286684461479,2989831839,606776,3734,796,384,391955,0 96,2,2024-09-07 09:09:41:272,444729,444729,0,0,17473435,0,4042 96,3,2024-09-07 09:09:41:142,1,348,7,0,411,3744,348,0 97,0,2024-09-07 09:09:41:329,85432,0.3,85277,0.5,171190,0.3,227698,1.50 97,1,2024-09-07 09:09:40:766,613347,613347,0,0,287517273626,2979068881,609457,3216,674,367,392140,0 97,2,2024-09-07 09:09:40:619,439498,439498,0,0,16861740,0,3036 97,3,2024-09-07 09:09:40:587,1,348,1,0,214,4042,348,0 98,0,2024-09-07 09:09:41:758,87237,0.3,86996,0.5,174652,0.2,231747,1.50 98,1,2024-09-07 09:09:40:575,612501,612501,0,0,285964746106,2973257485,609828,2566,107,382,391997,0 98,2,2024-09-07 09:09:40:772,438856,438856,0,0,16820575,0,3080 98,3,2024-09-07 09:09:40:710,1,348,16,0,840,5444,348,0 99,0,2024-09-07 09:09:41:448,88525,0.3,89081,0.5,177267,0.3,236270,1.75 99,1,2024-09-07 09:09:41:732,612353,612353,0,0,286860357721,2985444239,608206,3392,755,381,392069,0 99,2,2024-09-07 09:09:41:417,441909,441909,0,0,19118458,0,3424 99,3,2024-09-07 09:09:40:581,1,348,1,0,199,3309,348,0 100,0,2024-09-07 09:09:41:478,87193,0.7,87132,0.9,174193,0.8,232212,2.25 100,1,2024-09-07 09:09:40:556,608985,608985,0,0,284489649559,3003046838,600514,6975,1496,381,391989,0 100,2,2024-09-07 09:09:41:860,441639,441628,11,0,19876158,0,5417 100,3,2024-09-07 09:09:41:739,1,348,5,0,559,5994,348,0 101,0,2024-09-07 09:09:41:706,86456,0.8,84191,0.9,165145,0.6,226816,2.25 101,1,2024-09-07 09:09:40:554,609032,609032,0,0,285257894977,3001473219,599937,7071,2024,368,391771,0 101,2,2024-09-07 09:09:41:759,436702,436702,0,0,22944501,0,4644 101,3,2024-09-07 09:09:40:951,1,348,1,0,579,4560,348,0 102,0,2024-09-07 09:09:40:964,86304,0.7,89248,0.8,180276,0.7,235748,2.00 102,1,2024-09-07 09:09:41:146,609916,609916,0,0,285748169471,2998679251,602594,6289,1033,369,391891,0 102,2,2024-09-07 09:09:41:751,439513,439459,54,0,19239138,0,6768 102,3,2024-09-07 09:09:41:639,1,348,0,0,410,3665,348,0 103,0,2024-09-07 09:09:41:645,91726,0.6,91662,0.7,172907,0.7,237955,2.00 103,1,2024-09-07 09:09:41:642,609217,609217,0,0,285233412522,3005898079,600393,6827,1997,381,391829,0 103,2,2024-09-07 09:09:40:586,439238,439238,0,0,20304706,0,3173 103,3,2024-09-07 09:09:40:757,1,348,2,0,916,4253,348,0 104,0,2024-09-07 09:09:41:020,87807,0.7,88218,0.9,175257,0.7,236087,2.25 104,1,2024-09-07 09:09:41:613,611001,611001,0,0,284927246571,2994867255,602083,7220,1698,365,392168,0 104,2,2024-09-07 09:09:41:676,439194,439194,0,0,21377497,0,3941 104,3,2024-09-07 09:09:41:417,1,348,1,0,1245,7539,348,0 105,0,2024-09-07 09:09:41:037,84106,0.8,81791,1.0,171265,0.8,225609,2.75 105,1,2024-09-07 09:09:40:579,611215,611215,0,0,286334247956,3002364068,603055,6824,1336,366,391797,0 105,2,2024-09-07 09:09:41:322,440566,440566,0,0,20662350,0,3509 105,3,2024-09-07 09:09:41:304,1,348,1,0,399,5643,348,0 106,0,2024-09-07 09:09:40:943,85563,0.8,87678,0.9,179439,0.9,235544,2.50 106,1,2024-09-07 09:09:41:755,609715,609715,0,0,286080250717,3006765777,600120,8301,1294,369,391914,0 106,2,2024-09-07 09:09:40:758,437128,437128,0,0,20398073,0,2795 106,3,2024-09-07 09:09:40:677,1,348,2,0,470,4374,348,0 107,0,2024-09-07 09:09:41:100,87613,1.2,87733,1.0,175345,1.6,233908,2.25 107,1,2024-09-07 09:09:40:608,609454,609454,0,0,285652665318,3008742655,601470,7068,916,381,392234,0 107,2,2024-09-07 09:09:41:296,438057,438056,1,0,20922411,0,5024 107,3,2024-09-07 09:09:41:762,1,348,1,0,353,4827,348,0 108,0,2024-09-07 09:09:41:766,87192,0.5,87776,0.6,174519,0.4,233054,1.75 108,1,2024-09-07 09:09:41:293,611857,611857,0,0,286624026193,2989220877,606767,4572,518,368,391857,0 108,2,2024-09-07 09:09:41:754,438361,438361,0,0,19891042,0,4246 108,3,2024-09-07 09:09:41:331,1,348,2,0,749,6994,348,0 109,0,2024-09-07 09:09:41:805,86273,0.4,85340,0.5,170996,0.3,228954,1.75 109,1,2024-09-07 09:09:40:616,609678,609678,0,0,286254182495,3001214742,604466,4547,665,383,392132,0 109,2,2024-09-07 09:09:40:932,441822,441822,0,0,19482743,0,3617 109,3,2024-09-07 09:09:41:148,1,348,16,0,379,4146,348,0 110,0,2024-09-07 09:09:41:770,86235,0.4,83999,0.6,175761,0.3,230481,1.75 110,1,2024-09-07 09:09:41:646,612718,612718,0,0,286960658682,2976982829,608713,3028,977,369,392045,0 110,2,2024-09-07 09:09:41:307,438437,438437,0,0,19158419,0,4067 110,3,2024-09-07 09:09:40:690,1,348,1,0,722,5192,348,0 111,0,2024-09-07 09:09:41:479,87035,0.4,86351,0.6,173105,0.4,231016,1.75 111,1,2024-09-07 09:09:41:026,613281,613281,0,0,288374207344,2991417470,609900,3029,352,382,391690,0 111,2,2024-09-07 09:09:41:125,437921,437921,0,0,18511817,0,4823 111,3,2024-09-07 09:09:40:915,1,348,33,0,379,4160,348,0 112,0,2024-09-07 09:09:40:916,88770,0.3,88083,0.4,176754,0.2,234535,1.50 112,1,2024-09-07 09:09:40:829,612764,612764,0,0,286611924505,2971549507,609299,2881,584,380,391624,0 112,2,2024-09-07 09:09:41:138,440822,440821,1,0,16724805,0,5036 112,3,2024-09-07 09:09:40:598,1,348,1,0,282,3497,348,0 113,0,2024-09-07 09:09:40:867,86000,0.3,86173,0.4,172938,0.2,229834,1.50 113,1,2024-09-07 09:09:41:684,614713,614713,0,0,287708118911,2966926623,611432,2697,584,366,391661,0 113,2,2024-09-07 09:09:41:303,445467,445467,0,0,15490438,0,3813 113,3,2024-09-07 09:09:40:686,1,348,1,0,340,4082,348,0 114,0,2024-09-07 09:09:40:887,85668,0.3,86352,0.4,171171,0.2,229388,1.75 114,1,2024-09-07 09:09:40:730,612700,612700,0,0,287158997439,2982518562,607572,3534,1594,381,391556,0 114,2,2024-09-07 09:09:40:873,439249,439248,1,0,17014740,0,5069 114,3,2024-09-07 09:09:41:282,1,348,1,0,395,2995,348,0 115,0,2024-09-07 09:09:40:560,87632,0.3,88386,0.4,176522,0.2,234288,1.50 115,1,2024-09-07 09:09:40:577,612191,612191,0,0,287663580639,2985605698,607325,4026,840,382,391757,0 115,2,2024-09-07 09:09:41:129,440651,440651,0,0,15692057,0,3453 115,3,2024-09-07 09:09:41:002,1,348,2,0,159,1989,348,0 116,0,2024-09-07 09:09:41:706,88239,0.8,88098,0.9,176755,0.9,236151,2.00 116,1,2024-09-07 09:09:40:808,609151,609151,0,0,285817971248,3012773039,601541,5341,2269,380,392089,0 116,2,2024-09-07 09:09:41:756,439955,439955,0,0,21341998,0,3529 116,3,2024-09-07 09:09:40:914,1,348,1,0,415,4272,348,0 117,0,2024-09-07 09:09:40:978,88668,0.7,88196,0.8,176556,0.7,236206,2.00 117,1,2024-09-07 09:09:41:585,610488,610488,0,0,285274583715,2984757702,604175,5644,669,369,392033,0 117,2,2024-09-07 09:09:41:120,444385,444385,0,0,17788499,0,3700 117,3,2024-09-07 09:09:41:066,1,348,1,0,490,5023,348,0 118,0,2024-09-07 09:09:41:785,82421,0.5,84556,0.7,172726,0.4,225969,2.00 118,1,2024-09-07 09:09:40:599,610244,610244,0,0,285483236548,2999371853,601442,6902,1900,366,391907,0 118,2,2024-09-07 09:09:41:590,439035,439035,0,0,19621202,0,2781 118,3,2024-09-07 09:09:41:773,1,348,1,0,235,3796,348,0 119,0,2024-09-07 09:09:41:360,88771,0.6,89075,0.7,178296,0.6,236752,2.00 119,1,2024-09-07 09:09:40:553,611750,611750,0,0,286461732172,2994346278,605174,5739,837,367,391780,0 119,2,2024-09-07 09:09:41:264,439578,439578,0,0,18309019,0,4174 119,3,2024-09-07 09:09:41:337,1,348,1,0,563,5212,348,0 120,0,2024-09-07 09:09:41:556,87868,0.7,87623,0.9,175304,0.7,234980,2.25 120,1,2024-09-07 09:09:40:864,610830,610830,0,0,285866844381,2999253340,604409,5865,556,368,391961,0 120,2,2024-09-07 09:09:40:774,439590,439589,1,0,21677942,0,5281 120,3,2024-09-07 09:09:41:290,1,348,0,0,241,4277,348,0 121,0,2024-09-07 09:09:41:710,87632,1.0,87836,1.0,176218,1.3,234380,2.25 121,1,2024-09-07 09:09:41:664,611437,611437,0,0,285713081759,2988213132,605517,5482,438,367,391840,0 121,2,2024-09-07 09:09:41:129,438816,438816,0,0,20884048,0,4127 121,3,2024-09-07 09:09:40:727,1,348,0,0,269,3933,348,0 122,0,2024-09-07 09:09:41:760,85483,0.7,83458,0.8,174933,0.8,229710,2.00 122,1,2024-09-07 09:09:40:878,610523,610523,0,0,285370407455,2994060742,602060,7201,1262,366,392130,0 122,2,2024-09-07 09:09:41:319,442348,442275,73,0,23420183,0,5989 122,3,2024-09-07 09:09:40:594,1,348,1,0,512,6615,348,0 123,0,2024-09-07 09:09:40:958,86727,0.7,84858,0.8,177109,0.7,232174,2.00 123,1,2024-09-07 09:09:40:563,610051,610051,0,0,285868187342,3013599143,598601,9322,2128,369,392039,0 123,2,2024-09-07 09:09:41:020,436772,436771,1,0,20152853,0,5215 123,3,2024-09-07 09:09:41:142,1,348,0,0,168,3921,348,0 124,0,2024-09-07 09:09:40:919,89663,0.4,89675,0.5,169030,0.3,232531,1.75 124,1,2024-09-07 09:09:41:026,612462,612462,0,0,285835066415,2973941642,607741,3811,910,367,392178,0 124,2,2024-09-07 09:09:41:019,440166,440113,53,0,18142797,0,6487 124,3,2024-09-07 09:09:40:761,1,348,2,0,490,3545,348,0 125,0,2024-09-07 09:09:41:440,87740,0.4,87266,0.6,175594,0.3,233741,1.75 125,1,2024-09-07 09:09:40:862,611032,611032,0,0,285820823979,2984096911,606149,4238,645,383,391702,0 125,2,2024-09-07 09:09:41:128,440508,440508,0,0,18797782,0,4534 125,3,2024-09-07 09:09:41:139,1,348,11,0,709,4638,348,0 126,0,2024-09-07 09:09:41:415,86467,0.4,88719,0.6,170263,0.4,230759,1.75 126,1,2024-09-07 09:09:40:552,613015,613015,0,0,286588117339,2969616448,609271,3458,286,365,391987,0 126,2,2024-09-07 09:09:40:609,444563,444563,0,0,18856887,0,4539 126,3,2024-09-07 09:09:40:906,1,348,0,0,207,4382,348,0 127,0,2024-09-07 09:09:41:656,85371,0.3,85839,0.5,171082,0.3,227932,1.75 127,1,2024-09-07 09:09:40:569,611931,611931,0,0,286267507481,2973856656,606507,4563,861,364,392187,0 127,2,2024-09-07 09:09:40:637,438086,438086,0,0,17303463,0,3897 127,3,2024-09-07 09:09:41:272,1,348,1,0,968,4064,348,0 128,0,2024-09-07 09:09:41:519,87345,0.3,87593,0.4,175256,0.2,232078,1.50 128,1,2024-09-07 09:09:41:612,612389,612389,0,0,286077159454,2969687161,608286,3731,372,367,391798,0 128,2,2024-09-07 09:09:41:390,439950,439950,0,0,16216556,0,2915 128,3,2024-09-07 09:09:40:769,1,348,0,0,1082,5937,348,0 129,0,2024-09-07 09:09:40:994,89164,0.3,88699,0.5,178004,0.3,236323,1.50 129,1,2024-09-07 09:09:40:570,609657,609657,0,0,285798560907,2991310046,604007,4393,1257,379,391835,0 129,2,2024-09-07 09:09:40:687,441423,441423,0,0,17082163,0,4031 129,3,2024-09-07 09:09:40:701,1,348,2,0,469,4506,348,0 130,0,2024-09-07 09:09:41:720,88029,0.5,87509,0.6,176082,0.5,233455,1.75 130,1,2024-09-07 09:09:40:600,612291,612291,0,0,286117244860,2973872146,608873,3139,279,381,391825,0 130,2,2024-09-07 09:09:41:129,444317,444317,0,0,16996018,0,4067 130,3,2024-09-07 09:09:41:294,1,348,1,0,450,4175,348,0 131,0,2024-09-07 09:09:41:930,84413,0.3,84965,0.5,170719,0.3,226483,1.50 131,1,2024-09-07 09:09:41:828,612444,612444,0,0,286714692669,2990699252,607964,3733,747,381,391865,0 131,2,2024-09-07 09:09:40:567,440956,440956,0,0,15597020,0,2415 131,3,2024-09-07 09:09:41:697,1,348,11,0,392,3581,348,0 132,0,2024-09-07 09:09:41:418,88661,0.4,89456,0.6,178121,0.4,236521,1.75 132,1,2024-09-07 09:09:40:616,609318,609318,0,0,285427633552,3004090761,600672,7179,1467,381,392097,0 132,2,2024-09-07 09:09:40:704,438868,438868,0,0,20796414,0,4606 132,3,2024-09-07 09:09:41:688,1,348,17,0,804,6229,348,0 133,0,2024-09-07 09:09:41:516,86704,0.5,88574,0.6,181958,0.4,236679,2.00 133,1,2024-09-07 09:09:40:596,609278,609278,0,0,285315960555,3005396652,601095,7098,1085,383,391914,0 133,2,2024-09-07 09:09:41:087,439607,439607,0,0,22130357,0,4315 133,3,2024-09-07 09:09:41:297,1,348,1,0,479,3660,348,0 134,0,2024-09-07 09:09:40:950,89054,0.5,88830,0.7,177677,0.4,237372,2.00 134,1,2024-09-07 09:09:40:587,610049,610049,0,0,285028042115,2991433234,601726,6467,1856,366,391718,0 134,2,2024-09-07 09:09:41:758,440060,440060,0,0,18468346,0,3847 134,3,2024-09-07 09:09:40:761,1,348,14,0,739,4473,348,0 135,0,2024-09-07 09:09:41:144,82180,0.6,82185,0.8,174382,0.6,225163,2.00 135,1,2024-09-07 09:09:41:611,610693,610693,0,0,285795488504,3006776053,602259,7197,1237,380,391805,0 135,2,2024-09-07 09:09:40:691,442206,442206,0,0,20064671,0,3981 135,3,2024-09-07 09:09:41:004,1,348,11,0,299,2670,348,0 136,0,2024-09-07 09:09:41:684,88921,0.5,89191,0.7,177421,0.5,237535,2.00 136,1,2024-09-07 09:09:41:585,610103,610103,0,0,285014752108,2992254651,603078,6327,698,382,391685,0 136,2,2024-09-07 09:09:41:139,439241,439241,0,0,19873465,0,3506 136,3,2024-09-07 09:09:41:112,1,348,2,0,637,3930,348,0 137,0,2024-09-07 09:09:40:930,90526,0.7,88054,0.8,172978,0.7,235275,2.00 137,1,2024-09-07 09:09:40:579,610001,610001,0,0,285970784743,3001641045,600378,7741,1882,366,391898,0 137,2,2024-09-07 09:09:41:705,438740,438740,0,0,22289116,0,3185 137,3,2024-09-07 09:09:40:770,1,348,1,0,382,4096,348,0 138,0,2024-09-07 09:09:41:743,86869,1.3,86916,1.1,174616,1.8,231997,2.25 138,1,2024-09-07 09:09:41:685,610804,610804,0,0,286107896974,3003664366,601753,7455,1596,368,391954,0 138,2,2024-09-07 09:09:40:587,439058,439058,0,0,19910215,0,4988 138,3,2024-09-07 09:09:40:609,1,348,1,0,1160,4878,348,0 139,0,2024-09-07 09:09:41:362,84667,1.1,85053,1.0,170338,1.6,227042,2.25 139,1,2024-09-07 09:09:40:828,608160,608160,0,0,284536237841,3022080037,596782,8730,2648,381,392058,0 139,2,2024-09-07 09:09:40:696,440859,440859,0,0,21809771,0,3097 139,3,2024-09-07 09:09:41:676,1,348,33,0,257,3921,348,0 140,0,2024-09-07 09:09:41:588,86578,0.3,86096,0.5,173099,0.2,230803,1.75 140,1,2024-09-07 09:09:41:544,614479,614479,0,0,287579575965,2965687147,611272,2768,439,365,391606,0 140,2,2024-09-07 09:09:40:693,438715,438714,1,0,17764916,0,5036 140,3,2024-09-07 09:09:40:770,1,348,3,0,247,2827,348,0 141,0,2024-09-07 09:09:41:704,86835,0.4,89113,0.5,170338,0.3,231041,1.75 141,1,2024-09-07 09:09:40:866,612615,612615,0,0,287123261480,2982645674,608052,3701,862,379,391614,0 141,2,2024-09-07 09:09:41:686,438780,438780,0,0,17397159,0,3360 141,3,2024-09-07 09:09:41:046,1,348,2,0,391,3995,348,0 142,0,2024-09-07 09:09:41:312,88919,0.3,88036,0.5,176095,0.3,235033,1.75 142,1,2024-09-07 09:09:40:587,612389,612389,0,0,285755018394,2979577750,608421,3616,352,382,392102,0 142,2,2024-09-07 09:09:41:299,440097,440065,32,0,18852182,0,6028 142,3,2024-09-07 09:09:41:745,1,348,1,0,484,4193,348,0 143,0,2024-09-07 09:09:41:374,86490,0.4,86239,0.6,172888,0.4,230179,1.75 143,1,2024-09-07 09:09:40:559,613052,613052,0,0,287574628276,2982753037,609273,3628,151,367,391705,0 143,2,2024-09-07 09:09:40:770,444238,444238,0,0,18238038,0,3123 143,3,2024-09-07 09:09:41:140,1,348,24,0,303,4228,348,0 144,0,2024-09-07 09:09:41:525,82743,0.5,84856,0.7,173036,0.4,227531,2.00 144,1,2024-09-07 09:09:40:565,609908,609908,0,0,285319812671,2988515543,605050,3917,941,381,391649,0 144,2,2024-09-07 09:09:41:755,439369,439369,0,0,16332128,0,3473 144,3,2024-09-07 09:09:41:741,1,348,1,0,249,3466,348,0 145,0,2024-09-07 09:09:41:364,84886,0.6,84921,0.8,180181,0.5,231688,2.25 145,1,2024-09-07 09:09:40:555,609650,609650,0,0,285486907805,3000486428,602291,6219,1140,382,391759,0 145,2,2024-09-07 09:09:41:429,437654,437654,0,0,20007276,0,3903 145,3,2024-09-07 09:09:40:894,1,348,6,0,622,5158,348,0 146,0,2024-09-07 09:09:41:623,88517,0.6,87928,0.8,177266,0.6,234833,2.25 146,1,2024-09-07 09:09:41:588,610629,610629,0,0,285425807925,3002250320,600752,7866,2011,368,391770,0 146,2,2024-09-07 09:09:41:696,439477,439477,0,0,19954543,0,2730 146,3,2024-09-07 09:09:41:275,1,348,4,0,1520,7239,348,0 147,0,2024-09-07 09:09:41:723,88646,0.6,88362,0.7,175995,0.5,235721,2.00 147,1,2024-09-07 09:09:41:380,612465,612465,0,0,286490947674,2981132494,606799,4865,801,368,391791,0 147,2,2024-09-07 09:09:41:037,442024,442024,0,0,17629021,0,2789 147,3,2024-09-07 09:09:40:916,1,348,2,0,730,5083,348,0 0,0,2024-09-07 09:09:51:941,85977,0.7,86005,0.8,182584,0.7,235929,2.00 0,1,2024-09-07 09:09:50:819,613078,613078,0,0,287398279834,3003076562,608942,3891,245,369,391896,0 0,2,2024-09-07 09:09:51:069,442349,442349,0,0,17377255,0,4480 0,3,2024-09-07 09:09:50:973,1,349,6,0,431,4726,349,0 1,0,2024-09-07 09:09:51:764,88281,0.8,87760,0.9,176193,0.9,235468,2.00 1,1,2024-09-07 09:09:50:574,611991,611991,0,0,286319856553,2996416839,606389,4375,1227,370,391857,0 1,2,2024-09-07 09:09:50:653,440296,440296,0,0,16832601,0,3267 1,3,2024-09-07 09:09:51:306,1,349,1,0,262,4050,349,0 2,0,2024-09-07 09:09:51:572,86087,0.6,86331,0.7,171698,0.6,230061,2.00 2,1,2024-09-07 09:09:50:866,614330,614330,0,0,288259319343,2991357391,611430,2607,293,380,391745,0 2,2,2024-09-07 09:09:51:266,444346,444346,0,0,16662141,0,3594 2,3,2024-09-07 09:09:50:691,1,349,1,0,357,3658,349,0 3,0,2024-09-07 09:09:51:760,87546,0.4,87454,0.6,174850,0.3,233439,1.75 3,1,2024-09-07 09:09:51:631,612585,612585,0,0,287029976471,2987173048,607485,4477,623,380,391591,0 3,2,2024-09-07 09:09:51:159,440269,440246,23,0,17108680,0,5851 3,3,2024-09-07 09:09:51:754,1,349,0,0,207,2233,349,0 4,0,2024-09-07 09:09:51:773,84754,0.4,87287,0.5,177430,0.4,232478,1.75 4,1,2024-09-07 09:09:50:591,611473,611473,0,0,286100384840,3011687530,604012,6103,1358,371,391992,0 4,2,2024-09-07 09:09:51:025,438869,438869,0,0,20051248,0,4528 4,3,2024-09-07 09:09:51:033,1,349,1,0,448,4841,349,0 5,0,2024-09-07 09:09:51:476,87622,0.5,87943,0.6,175721,0.4,233581,1.75 5,1,2024-09-07 09:09:50:987,612346,612346,0,0,286762150687,3012745619,605175,5815,1356,367,392005,0 5,2,2024-09-07 09:09:51:890,439310,439310,0,0,19129572,0,2432 5,3,2024-09-07 09:09:51:748,1,349,23,0,457,5001,349,0 6,0,2024-09-07 09:09:50:931,87029,0.4,86522,0.6,173006,0.4,231034,2.00 6,1,2024-09-07 09:09:50:752,612278,612278,0,0,286943745066,2997099065,605665,5528,1085,379,391694,0 6,2,2024-09-07 09:09:51:124,444752,444752,0,0,18328480,0,4816 6,3,2024-09-07 09:09:51:284,1,349,0,0,340,3734,349,0 7,0,2024-09-07 09:09:51:551,85407,0.4,85946,0.6,171184,0.4,228084,1.75 7,1,2024-09-07 09:09:50:853,611632,611632,0,0,286739787168,3008189102,603561,6895,1176,382,391747,0 7,2,2024-09-07 09:09:50:775,440041,440041,0,0,18464575,0,4791 7,3,2024-09-07 09:09:50:855,1,349,1,0,398,3863,349,0 8,0,2024-09-07 09:09:51:555,87292,0.4,87184,0.5,174475,0.3,232331,1.75 8,1,2024-09-07 09:09:51:030,610816,610816,0,0,286686133787,3017144419,600662,7877,2277,366,392144,0 8,2,2024-09-07 09:09:50:807,436140,436140,0,0,22924253,0,3220 8,3,2024-09-07 09:09:50:585,1,349,1,0,538,5985,349,0 9,0,2024-09-07 09:09:51:121,88855,0.4,86308,0.5,180632,0.3,236403,1.75 9,1,2024-09-07 09:09:50:577,611315,611315,0,0,286165202551,3015908220,602242,6948,2125,369,392001,0 9,2,2024-09-07 09:09:51:093,441123,441123,0,0,20271906,0,3360 9,3,2024-09-07 09:09:51:761,1,349,2,0,496,5149,349,0 10,0,2024-09-07 09:09:51:605,87691,0.3,87038,0.5,174806,0.2,232464,1.75 10,1,2024-09-07 09:09:50:584,612359,612359,0,0,285990098705,2995269180,604475,6775,1109,381,391741,0 10,2,2024-09-07 09:09:50:762,444549,444549,0,0,21965801,0,4264 10,3,2024-09-07 09:09:50:880,1,349,1,0,296,3192,349,0 11,0,2024-09-07 09:09:51:011,84699,0.4,82273,0.6,172038,0.3,227784,1.75 11,1,2024-09-07 09:09:50:571,612654,612654,0,0,287594500330,3019938815,602630,7576,2448,383,391756,0 11,2,2024-09-07 09:09:51:125,439664,439664,0,0,19701082,0,4130 11,3,2024-09-07 09:09:51:310,1,349,14,0,843,5392,349,0 12,0,2024-09-07 09:09:50:959,89444,0.4,89456,0.5,178571,0.3,236898,1.75 12,1,2024-09-07 09:09:50:944,612561,612561,0,0,286483953828,2987388084,607693,4376,492,370,391870,0 12,2,2024-09-07 09:09:51:553,440945,440945,0,0,19377757,0,3469 12,3,2024-09-07 09:09:51:065,1,349,23,0,386,5611,349,0 13,0,2024-09-07 09:09:51:348,89782,0.4,89408,0.6,178503,0.4,237826,1.75 13,1,2024-09-07 09:09:51:556,611522,611522,0,0,285832844595,3005076005,605610,4502,1410,382,391740,0 13,2,2024-09-07 09:09:50:598,442661,442661,0,0,17788205,0,3287 13,3,2024-09-07 09:09:51:763,1,349,2,0,522,5620,349,0 14,0,2024-09-07 09:09:50:568,89167,0.4,89928,0.6,177924,0.3,237481,1.75 14,1,2024-09-07 09:09:51:564,616134,616134,0,0,288006513485,2982365595,611446,4329,359,364,391571,0 14,2,2024-09-07 09:09:50:770,441974,441944,30,0,19366752,0,6104 14,3,2024-09-07 09:09:51:123,1,349,0,0,1168,4217,349,0 15,0,2024-09-07 09:09:51:569,85180,0.4,85211,0.6,170663,0.3,227388,1.75 15,1,2024-09-07 09:09:51:607,613221,613221,0,0,287268487696,2990592188,608877,3521,823,381,391619,0 15,2,2024-09-07 09:09:51:004,443391,443391,0,0,15924114,0,3622 15,3,2024-09-07 09:09:51:414,1,349,3,0,1126,6283,349,0 16,0,2024-09-07 09:09:50:956,89039,0.6,89327,0.7,178450,0.6,237578,2.00 16,1,2024-09-07 09:09:50:563,614095,614095,0,0,287684078762,3001148999,609784,3949,362,370,391756,0 16,2,2024-09-07 09:09:51:465,440155,440155,0,0,18292074,0,4719 16,3,2024-09-07 09:09:51:158,1,349,1,0,317,4748,349,0 17,0,2024-09-07 09:09:51:791,90748,0.7,88544,0.8,173246,0.7,235550,2.00 17,1,2024-09-07 09:09:50:577,611715,611715,0,0,286415106756,3003432478,605471,4985,1259,368,392075,0 17,2,2024-09-07 09:09:51:670,443544,443544,0,0,18002254,0,2857 17,3,2024-09-07 09:09:50:574,1,349,2,0,298,5651,349,0 18,0,2024-09-07 09:09:50:953,86685,0.6,86949,0.8,173460,0.6,231647,2.25 18,1,2024-09-07 09:09:51:639,614344,614344,0,0,287147793715,2974549954,611008,3025,311,367,391649,0 18,2,2024-09-07 09:09:51:754,442500,442500,0,0,16616108,0,3541 18,3,2024-09-07 09:09:50:898,1,349,8,0,163,2961,349,0 19,0,2024-09-07 09:09:51:552,85674,0.6,86392,0.7,170834,0.5,227880,2.00 19,1,2024-09-07 09:09:50:566,614645,614645,0,0,287973462697,2986256108,609519,4287,839,367,391777,0 19,2,2024-09-07 09:09:51:755,446066,446066,0,0,15301186,0,3988 19,3,2024-09-07 09:09:51:128,1,349,1,0,524,2598,349,0 20,0,2024-09-07 09:09:51:390,86514,0.5,86556,0.7,173014,0.5,231091,2.00 20,1,2024-09-07 09:09:50:567,612518,612518,0,0,287339314423,2999372060,608175,3936,407,369,391886,0 20,2,2024-09-07 09:09:50:947,440425,440425,0,0,18501474,0,3721 20,3,2024-09-07 09:09:50:598,1,349,2,0,414,5428,349,0 21,0,2024-09-07 09:09:51:175,86992,0.5,86955,0.7,173821,0.5,230908,2.00 21,1,2024-09-07 09:09:51:553,611671,611671,0,0,285996158818,3011323705,603672,6117,1882,368,392016,0 21,2,2024-09-07 09:09:51:092,437763,437763,0,0,21575969,0,3747 21,3,2024-09-07 09:09:51:419,1,349,1,0,713,4107,349,0 22,0,2024-09-07 09:09:51:738,87864,0.5,88215,0.7,176564,0.5,233621,2.00 22,1,2024-09-07 09:09:51:034,612676,612676,0,0,286006658690,3003484156,604184,6672,1820,382,391667,0 22,2,2024-09-07 09:09:50:766,440275,440275,0,0,17582499,0,3134 22,3,2024-09-07 09:09:51:073,1,349,2,0,228,2873,349,0 23,0,2024-09-07 09:09:51:370,85995,0.5,85998,0.7,172206,0.5,229509,2.25 23,1,2024-09-07 09:09:51:009,612731,612731,0,0,287603005492,3018191941,602236,7336,3159,365,391690,0 23,2,2024-09-07 09:09:51:092,446361,446361,0,0,17515876,0,3010 23,3,2024-09-07 09:09:51:768,1,349,0,0,720,4756,349,0 24,0,2024-09-07 09:09:50:865,86099,0.4,86172,0.5,172713,0.3,229936,1.75 24,1,2024-09-07 09:09:50:585,611616,611616,0,0,286483624252,3004859564,604710,5374,1532,368,392269,0 24,2,2024-09-07 09:09:51:080,438727,438727,0,0,21413903,0,3607 24,3,2024-09-07 09:09:51:693,1,349,9,0,468,4603,349,0 25,0,2024-09-07 09:09:51:436,90397,0.4,88124,0.6,172692,0.4,235049,2.00 25,1,2024-09-07 09:09:50:566,612825,612825,0,0,286739969389,3009708242,604940,6512,1373,371,391928,0 25,2,2024-09-07 09:09:51:611,438794,438794,0,0,21602699,0,3978 25,3,2024-09-07 09:09:51:002,1,349,1,0,255,3459,349,0 26,0,2024-09-07 09:09:51:729,88418,0.4,86358,0.6,181106,0.4,235790,1.75 26,1,2024-09-07 09:09:51:566,612891,612891,0,0,286559498638,3001879624,604004,7351,1536,380,391748,0 26,2,2024-09-07 09:09:50:861,442172,442172,0,0,21484837,0,2809 26,3,2024-09-07 09:09:51:712,1,349,1,0,796,4428,349,0 27,0,2024-09-07 09:09:51:740,88866,0.4,88966,0.6,176328,0.4,235315,2.00 27,1,2024-09-07 09:09:51:684,615061,615061,0,0,287601683889,2993395998,609755,4540,766,381,391626,0 27,2,2024-09-07 09:09:50:866,440976,440911,65,0,20926262,0,5699 27,3,2024-09-07 09:09:51:025,1,349,0,0,564,3656,349,0 28,0,2024-09-07 09:09:51:411,85459,0.4,85061,0.6,170610,0.3,228106,2.00 28,1,2024-09-07 09:09:50:798,614097,614097,0,0,288482335664,3004651426,609715,3677,705,383,391698,0 28,2,2024-09-07 09:09:51:770,440522,440522,0,0,18531265,0,2915 28,3,2024-09-07 09:09:51:779,1,349,1,0,502,3762,349,0 29,0,2024-09-07 09:09:51:356,91628,0.4,89272,0.6,175130,0.3,238365,1.75 29,1,2024-09-07 09:09:51:563,615511,615511,0,0,288003359508,2981846671,611169,3657,685,369,391753,0 29,2,2024-09-07 09:09:50:861,439410,439410,0,0,17886790,0,4986 29,3,2024-09-07 09:09:50:965,1,349,0,0,459,4359,349,0 30,0,2024-09-07 09:09:51:470,88247,0.5,85695,0.7,179440,0.5,234993,2.00 30,1,2024-09-07 09:09:50:571,614847,614847,0,0,288547677366,2995954989,610028,4109,710,381,391672,0 30,2,2024-09-07 09:09:51:283,441912,441912,0,0,16848387,0,3161 30,3,2024-09-07 09:09:50:580,1,349,26,0,519,3512,349,0 31,0,2024-09-07 09:09:51:772,87853,0.4,88268,0.6,176458,0.3,235333,2.00 31,1,2024-09-07 09:09:50:566,616888,616888,0,0,288930296712,2962493118,614343,1986,559,356,391712,0 31,2,2024-09-07 09:09:51:275,440877,440877,0,0,18658380,0,3525 31,3,2024-09-07 09:09:51:713,1,349,0,0,220,3004,349,0 32,0,2024-09-07 09:09:51:418,86149,0.3,86538,0.5,173013,0.2,230425,1.50 32,1,2024-09-07 09:09:50:807,614578,614578,0,0,288043370284,2989231819,611434,2698,446,381,391646,0 32,2,2024-09-07 09:09:50:940,444490,444490,0,0,15642952,0,3155 32,3,2024-09-07 09:09:51:020,1,349,3,0,227,2469,349,0 33,0,2024-09-07 09:09:51:496,87777,0.3,87275,0.4,175232,0.2,233853,1.50 33,1,2024-09-07 09:09:50:580,615890,615890,0,0,288454768805,2985256695,611446,3613,831,369,391730,0 33,2,2024-09-07 09:09:50:759,441490,441455,35,0,17744329,0,7012 33,3,2024-09-07 09:09:50:898,1,349,0,0,329,3590,349,0 34,0,2024-09-07 09:09:50:943,87511,0.3,90073,0.5,172249,0.2,231828,1.75 34,1,2024-09-07 09:09:51:054,616708,616708,0,0,289463680647,2973652846,615286,1413,9,367,391562,0 34,2,2024-09-07 09:09:50:766,440768,440768,0,0,16959201,0,3577 34,3,2024-09-07 09:09:51:692,1,349,1,0,299,2509,349,0 35,0,2024-09-07 09:09:50:863,87002,0.4,87392,0.5,175737,0.3,234100,1.75 35,1,2024-09-07 09:09:51:084,614432,614432,0,0,287890945384,2981356313,610823,2828,781,382,391589,0 35,2,2024-09-07 09:09:51:585,441406,441406,0,0,17027296,0,2653 35,3,2024-09-07 09:09:50:911,1,349,1,0,418,4281,349,0 36,0,2024-09-07 09:09:51:525,87049,0.4,86994,0.6,174039,0.4,230717,2.00 36,1,2024-09-07 09:09:50:583,614073,614073,0,0,287105252115,2996754351,606756,5659,1658,366,391759,0 36,2,2024-09-07 09:09:51:755,445574,445574,0,0,19458607,0,3875 36,3,2024-09-07 09:09:50:865,1,349,15,0,416,5377,349,0 37,0,2024-09-07 09:09:51:383,85415,0.5,85347,0.6,170899,0.4,228539,2.00 37,1,2024-09-07 09:09:50:570,612892,612885,0,7,287051870099,2996529411,606110,4689,2086,365,391570,0 37,2,2024-09-07 09:09:51:150,438503,438488,15,0,19144732,0,5815 37,3,2024-09-07 09:09:51:766,1,349,0,0,888,5569,349,0 38,0,2024-09-07 09:09:51:463,86684,0.5,84153,0.7,176150,0.4,230210,2.00 38,1,2024-09-07 09:09:51:610,614938,614938,0,0,287674155345,2992787650,608879,5301,758,368,391821,0 38,2,2024-09-07 09:09:50:765,439185,439138,47,0,19509658,0,6710 38,3,2024-09-07 09:09:51:001,1,349,0,0,689,4719,349,0 39,0,2024-09-07 09:09:51:772,90442,0.6,88481,0.7,172314,0.6,235191,2.00 39,1,2024-09-07 09:09:50:720,613160,613160,0,0,287600641648,3006210070,604724,6975,1461,365,391658,0 39,2,2024-09-07 09:09:51:420,442012,442012,0,0,17591266,0,2689 39,3,2024-09-07 09:09:50:719,1,349,2,0,324,4324,349,0 40,0,2024-09-07 09:09:51:496,86741,0.7,87415,0.9,174407,0.7,232149,2.75 40,1,2024-09-07 09:09:50:579,613836,613836,0,0,286397605360,2997229286,605252,7073,1511,368,391668,0 40,2,2024-09-07 09:09:51:306,443729,443728,1,0,21239698,0,5137 40,3,2024-09-07 09:09:51:158,1,349,1,0,1028,5082,349,0 41,0,2024-09-07 09:09:51:048,84552,1.2,86518,1.2,165273,1.9,225582,2.75 41,1,2024-09-07 09:09:50:789,612676,612676,0,0,286903810275,2998808464,605622,6351,703,369,391742,0 41,2,2024-09-07 09:09:50:764,438515,438515,0,0,20122222,0,3356 41,3,2024-09-07 09:09:51:683,1,349,0,0,366,3514,349,0 42,0,2024-09-07 09:09:51:475,87926,1.0,88051,1.0,176389,1.1,232898,2.75 42,1,2024-09-07 09:09:51:454,611795,611795,0,0,285843097653,3002376812,602249,7788,1758,380,391675,0 42,2,2024-09-07 09:09:51:142,439590,439590,0,0,20412510,0,3790 42,3,2024-09-07 09:09:51:012,1,349,0,0,446,3101,349,0 43,0,2024-09-07 09:09:50:920,87922,0.9,85639,1.0,179547,1.0,235298,2.50 43,1,2024-09-07 09:09:50:576,613109,613109,0,0,287833599778,3005165668,604874,6797,1438,366,391696,0 43,2,2024-09-07 09:09:51:750,441787,441787,0,0,20108427,0,3812 43,3,2024-09-07 09:09:51:749,1,349,1,0,467,4329,349,0 44,0,2024-09-07 09:09:50:868,89137,0.4,89496,0.6,178487,0.4,237650,1.75 44,1,2024-09-07 09:09:50:563,614549,614549,0,0,287291452429,2970139409,609486,4016,1047,356,391809,0 44,2,2024-09-07 09:09:51:267,441325,441325,0,0,16896027,0,4344 44,3,2024-09-07 09:09:51:098,1,349,1,0,817,4528,349,0 45,0,2024-09-07 09:09:51:756,84266,0.5,82214,0.7,172447,0.4,227362,2.00 45,1,2024-09-07 09:09:51:018,614160,614160,0,0,287527555783,2987224553,609726,3958,476,382,391917,0 45,2,2024-09-07 09:09:51:273,442554,442554,0,0,17389165,0,3596 45,3,2024-09-07 09:09:50:939,1,349,1,0,271,3251,349,0 46,0,2024-09-07 09:09:50:956,88492,0.5,88462,0.7,176909,0.5,235155,2.00 46,1,2024-09-07 09:09:50:575,615776,615776,0,0,288633046078,2980063913,612062,3288,426,366,391572,0 46,2,2024-09-07 09:09:50:593,440772,440772,0,0,16458130,0,2920 46,3,2024-09-07 09:09:51:136,1,349,3,0,908,5044,349,0 47,0,2024-09-07 09:09:51:114,88392,0.5,88675,0.6,177553,0.5,234975,2.00 47,1,2024-09-07 09:09:50:567,616179,616179,0,0,287836717103,2976881473,611692,3672,815,366,391641,0 47,2,2024-09-07 09:09:50:911,442629,442629,0,0,17062457,0,4477 47,3,2024-09-07 09:09:51:119,1,349,1,0,529,3736,349,0 48,0,2024-09-07 09:09:51:496,88093,0.3,87760,0.4,175068,0.2,233829,1.50 48,1,2024-09-07 09:09:51:056,614379,614379,0,0,288080858090,2989863062,610800,3275,304,384,391710,0 48,2,2024-09-07 09:09:50:699,440440,440440,0,0,15382618,0,3031 48,3,2024-09-07 09:09:50:758,1,349,1,0,339,2888,349,0 49,0,2024-09-07 09:09:51:719,88308,0.3,86797,0.5,167999,0.2,230622,1.75 49,1,2024-09-07 09:09:51:021,613982,613982,0,0,287422024969,2990754575,609662,3135,1185,382,391809,0 49,2,2024-09-07 09:09:51:809,445392,445392,0,0,16593917,0,4426 49,3,2024-09-07 09:09:51:421,1,349,6,0,408,3584,349,0 50,0,2024-09-07 09:09:51:510,87119,0.3,85661,0.4,172816,0.2,230783,1.75 50,1,2024-09-07 09:09:51:024,616202,616202,0,0,288558556652,2981311312,612383,3445,374,368,391565,0 50,2,2024-09-07 09:09:51:079,440471,440471,0,0,15601235,0,2263 50,3,2024-09-07 09:09:51:297,1,349,0,0,335,3312,349,0 51,0,2024-09-07 09:09:51:691,89219,0.3,87199,0.5,170110,0.2,231877,1.75 51,1,2024-09-07 09:09:51:684,616208,616208,0,0,288814771750,2977938247,612946,2286,976,365,391706,0 51,2,2024-09-07 09:09:51:320,440161,440161,0,0,14996235,0,3337 51,3,2024-09-07 09:09:51:038,1,349,0,0,678,2595,349,0 52,0,2024-09-07 09:09:51:416,88431,0.5,88372,0.7,176509,0.4,234602,2.00 52,1,2024-09-07 09:09:50:576,613337,613337,0,0,287278423338,3006259511,604696,7328,1313,368,391722,0 52,2,2024-09-07 09:09:51:755,438077,438039,38,0,19902919,0,6742 52,3,2024-09-07 09:09:50:682,1,349,1,0,1782,5247,349,0 53,0,2024-09-07 09:09:51:729,85974,0.6,83484,0.7,174639,0.6,229197,2.25 53,1,2024-09-07 09:09:50:779,611811,611811,0,0,287109106932,3011425044,602186,7042,2583,367,391702,0 53,2,2024-09-07 09:09:51:297,446148,446148,0,0,17338169,0,2727 53,3,2024-09-07 09:09:50:710,1,349,1,0,308,3250,349,0 54,0,2024-09-07 09:09:51:626,84743,0.5,85159,0.7,169515,0.4,227253,2.25 54,1,2024-09-07 09:09:50:580,613741,613741,0,0,288365008344,2994512574,608075,5064,602,367,391659,0 54,2,2024-09-07 09:09:50:865,439862,439856,6,0,19612093,0,5382 54,3,2024-09-07 09:09:50:764,1,349,1,0,676,5244,349,0 55,0,2024-09-07 09:09:51:772,84971,0.6,88038,0.7,177407,0.6,231007,2.50 55,1,2024-09-07 09:09:50:770,613903,613903,0,0,287236279091,2986934547,607277,5717,909,365,391731,0 55,2,2024-09-07 09:09:50:733,439048,439048,0,0,19005891,0,3563 55,3,2024-09-07 09:09:50:683,1,349,1,0,304,3846,349,0 56,0,2024-09-07 09:09:51:572,90491,1.2,85353,1.1,175997,1.6,235457,2.50 56,1,2024-09-07 09:09:50:570,610998,610998,0,0,287431533283,3031755310,602260,7107,1631,381,391867,0 56,2,2024-09-07 09:09:51:309,441479,441479,0,0,20220106,0,3567 56,3,2024-09-07 09:09:51:061,1,349,1,0,705,4497,349,0 57,0,2024-09-07 09:09:50:941,87456,1.3,87305,1.2,175057,1.9,233890,3.00 57,1,2024-09-07 09:09:50:989,612458,612458,0,0,286640198462,3002405335,605584,6154,720,366,392032,0 57,2,2024-09-07 09:09:51:321,443914,443914,0,0,21840875,0,3317 57,3,2024-09-07 09:09:51:747,1,349,1,0,359,4039,349,0 58,0,2024-09-07 09:09:50:555,84064,0.8,81723,0.9,171128,0.9,224822,2.50 58,1,2024-09-07 09:09:50:575,613271,613268,0,3,287474021423,3003424127,605142,7044,1082,367,391603,3 58,2,2024-09-07 09:09:51:081,440258,440258,0,0,19949011,0,2549 58,3,2024-09-07 09:09:51:068,1,349,1,0,1043,3724,349,0 59,0,2024-09-07 09:09:51:755,88959,0.8,88532,0.9,176886,0.9,234966,2.50 59,1,2024-09-07 09:09:50:806,612897,612897,0,0,286976383549,3002419048,605019,6357,1521,369,391586,0 59,2,2024-09-07 09:09:50:583,441052,441052,0,0,19780780,0,2867 59,3,2024-09-07 09:09:51:738,1,349,0,0,1015,4385,349,0 60,0,2024-09-07 09:09:51:733,88429,0.5,88466,0.7,177594,0.5,235734,1.75 60,1,2024-09-07 09:09:50:778,614762,614762,0,0,287947450367,2988952053,610643,3473,646,370,392031,0 60,2,2024-09-07 09:09:51:162,441565,441565,0,0,19189541,0,3811 60,3,2024-09-07 09:09:51:280,1,349,129,0,409,4150,349,0 61,0,2024-09-07 09:09:51:524,87999,0.6,88574,0.8,176195,0.6,235053,2.00 61,1,2024-09-07 09:09:50:783,612647,612647,0,0,286666862155,3004514374,605476,5846,1325,382,392127,0 61,2,2024-09-07 09:09:51:118,440955,440888,67,0,19523974,0,6411 61,3,2024-09-07 09:09:51:693,1,349,14,0,479,5152,349,0 62,0,2024-09-07 09:09:51:716,86684,0.5,88649,0.7,169050,0.5,230217,2.00 62,1,2024-09-07 09:09:51:115,617023,617017,0,6,289042563270,2982501003,613437,3321,259,365,391715,6 62,2,2024-09-07 09:09:51:650,442590,442589,1,0,19069749,0,5555 62,3,2024-09-07 09:09:51:148,1,349,0,0,465,2631,349,0 63,0,2024-09-07 09:09:51:465,87831,0.4,87618,0.6,175774,0.3,234159,1.75 63,1,2024-09-07 09:09:50:804,615137,615131,0,6,288506396670,2994204441,611197,3546,388,381,391800,6 63,2,2024-09-07 09:09:50:766,440443,440443,0,0,17383109,0,4369 63,3,2024-09-07 09:09:51:734,1,349,1,0,667,3865,349,0 64,0,2024-09-07 09:09:51:525,86838,0.5,86837,0.7,173680,0.5,230925,2.00 64,1,2024-09-07 09:09:50:772,614072,614072,0,0,287097840704,2990548871,608426,3986,1660,370,391783,0 64,2,2024-09-07 09:09:51:173,443680,443661,19,0,16935506,0,6121 64,3,2024-09-07 09:09:51:147,1,349,9,0,265,3200,349,0 65,0,2024-09-07 09:09:51:674,86764,0.7,87125,0.8,174048,0.7,231973,2.25 65,1,2024-09-07 09:09:50:861,613050,613050,0,0,286376678651,2990934687,608963,3636,451,382,391901,0 65,2,2024-09-07 09:09:51:705,442082,442082,0,0,18697107,0,3367 65,3,2024-09-07 09:09:51:686,1,349,1,0,163,3045,349,0 66,0,2024-09-07 09:09:51:768,86283,0.5,86356,0.7,172687,0.4,229607,2.00 66,1,2024-09-07 09:09:51:296,614122,614122,0,0,287567545552,2987560164,610558,3242,322,380,391653,0 66,2,2024-09-07 09:09:51:136,446955,446955,0,0,16799084,0,4956 66,3,2024-09-07 09:09:51:081,1,349,1,0,291,3238,349,0 67,0,2024-09-07 09:09:51:416,86123,0.5,85584,0.7,171821,0.4,229076,2.00 67,1,2024-09-07 09:09:50:766,614867,614866,0,1,287656459283,2993105942,610699,3416,751,380,391787,1 67,2,2024-09-07 09:09:50:600,441175,441175,0,0,16151442,0,2889 67,3,2024-09-07 09:09:51:765,1,349,7,0,338,3197,349,0 68,0,2024-09-07 09:09:50:588,87499,0.6,87103,0.7,173914,0.6,232195,2.00 68,1,2024-09-07 09:09:50:570,612959,612959,0,0,286630935475,2999937789,608142,3613,1204,381,391953,0 68,2,2024-09-07 09:09:51:061,438503,438438,65,0,21304787,0,6698 68,3,2024-09-07 09:09:50:734,1,349,0,0,417,3731,349,0 69,0,2024-09-07 09:09:51:742,88181,0.8,88781,0.9,176569,1.0,234315,2.25 69,1,2024-09-07 09:09:51:032,610839,610839,0,0,286611955103,3021811181,602820,5909,2110,384,391994,0 69,2,2024-09-07 09:09:51:741,441376,441376,0,0,21561541,0,3722 69,3,2024-09-07 09:09:50:770,1,349,1,0,698,4912,349,0 70,0,2024-09-07 09:09:51:568,86619,0.7,86690,1.0,174395,0.6,230712,2.25 70,1,2024-09-07 09:09:50:800,614471,614471,0,0,288294586730,2990417665,609618,4317,536,366,391725,0 70,2,2024-09-07 09:09:51:333,443785,443785,0,0,19504162,0,4044 70,3,2024-09-07 09:09:50:755,1,349,1,0,854,3825,349,0 71,0,2024-09-07 09:09:51:359,84476,0.7,84137,0.9,169092,0.8,226515,2.50 71,1,2024-09-07 09:09:51:596,613267,613267,0,0,287115971185,2993282393,606655,5956,656,368,391738,0 71,2,2024-09-07 09:09:51:074,439788,439788,0,0,19210332,0,4042 71,3,2024-09-07 09:09:51:765,1,349,1,0,644,4687,349,0 72,0,2024-09-07 09:09:51:034,91605,0.6,89592,0.7,174733,0.6,237286,2.00 72,1,2024-09-07 09:09:51:034,612596,612596,0,0,286884548709,3004429630,604357,6542,1697,369,391819,0 72,2,2024-09-07 09:09:51:754,439112,439112,0,0,22059961,0,3983 72,3,2024-09-07 09:09:51:754,1,349,1,0,564,5411,349,0 73,0,2024-09-07 09:09:51:120,86845,0.4,88979,0.6,182105,0.4,236439,2.00 73,1,2024-09-07 09:09:50:777,613955,613955,0,0,287693244609,2989251235,609633,3992,330,367,391858,0 73,2,2024-09-07 09:09:51:748,441837,441837,0,0,21289181,0,3701 73,3,2024-09-07 09:09:50:973,1,349,4,0,274,4581,349,0 74,0,2024-09-07 09:09:51:351,89494,0.5,91702,0.6,175157,0.4,237355,2.25 74,1,2024-09-07 09:09:50:643,613448,613448,0,0,286548498090,2990134187,607367,4818,1263,381,391681,0 74,2,2024-09-07 09:09:51:017,441547,441547,0,0,20256541,0,4253 74,3,2024-09-07 09:09:51:448,1,349,3,0,522,4855,349,0 75,0,2024-09-07 09:09:51:781,85482,0.4,85152,0.7,170449,0.4,228289,2.25 75,1,2024-09-07 09:09:51:595,612578,612578,0,0,286840166288,2995213407,606219,5534,825,380,391739,0 75,2,2024-09-07 09:09:51:353,441399,441399,0,0,20400538,0,4766 75,3,2024-09-07 09:09:51:073,1,349,1,0,702,4904,349,0 76,0,2024-09-07 09:09:50:593,88770,0.6,88071,0.8,176717,0.6,236626,2.25 76,1,2024-09-07 09:09:50:816,613737,613737,0,0,287240238220,2994353140,609812,3334,591,382,391692,0 76,2,2024-09-07 09:09:51:065,442167,442166,1,0,19466383,0,5144 76,3,2024-09-07 09:09:51:155,1,349,1,0,175,3323,349,0 77,0,2024-09-07 09:09:51:762,88190,0.6,88257,0.8,176715,0.7,234938,2.00 77,1,2024-09-07 09:09:50:833,613106,613106,0,0,287126302412,2999114229,607887,4728,491,381,391869,0 77,2,2024-09-07 09:09:51:288,441707,441707,0,0,18992129,0,3890 77,3,2024-09-07 09:09:51:103,1,349,13,0,401,3919,349,0 78,0,2024-09-07 09:09:51:734,87934,0.4,87493,0.6,175655,0.4,233150,2.00 78,1,2024-09-07 09:09:50:618,614025,614025,0,0,286772182842,2984415405,608094,4786,1145,367,391670,0 78,2,2024-09-07 09:09:51:410,441524,441524,0,0,16422016,0,3855 78,3,2024-09-07 09:09:51:133,1,349,0,0,181,3257,349,0 79,0,2024-09-07 09:09:51:350,83408,0.4,85436,0.6,174762,0.3,227687,2.25 79,1,2024-09-07 09:09:50:579,615875,615875,0,0,287951984865,2980678112,611318,3924,633,368,391682,0 79,2,2024-09-07 09:09:51:079,445905,445905,0,0,17438174,0,4195 79,3,2024-09-07 09:09:50:983,1,349,0,0,418,4404,349,0 80,0,2024-09-07 09:09:51:085,86601,0.5,89209,0.6,170872,0.4,230546,2.00 80,1,2024-09-07 09:09:51:634,614135,614135,0,0,288088978449,2994082414,610782,3174,179,368,391791,0 80,2,2024-09-07 09:09:51:099,442096,442096,0,0,16494923,0,4433 80,3,2024-09-07 09:09:50:576,1,349,0,0,190,4092,349,0 81,0,2024-09-07 09:09:51:624,86815,0.6,88865,0.7,169766,0.6,230526,2.00 81,1,2024-09-07 09:09:51:664,612840,612840,0,0,286432023683,2991791643,607971,4370,499,382,391879,0 81,2,2024-09-07 09:09:51:139,439374,439311,63,0,18430943,0,5932 81,3,2024-09-07 09:09:51:125,1,349,10,0,374,3993,349,0 82,0,2024-09-07 09:09:51:551,87713,0.5,88199,0.7,176797,0.4,234233,2.00 82,1,2024-09-07 09:09:50:583,615026,615022,0,4,288086019652,2996159103,611127,3098,797,381,391768,4 82,2,2024-09-07 09:09:51:692,442922,442922,0,0,15777276,0,3986 82,3,2024-09-07 09:09:51:769,1,349,1,0,363,3460,349,0 83,0,2024-09-07 09:09:51:524,86730,0.5,86473,0.7,172384,0.5,229449,2.00 83,1,2024-09-07 09:09:50:558,613378,613378,0,0,287604471254,2996539597,609064,3933,381,382,391709,0 83,2,2024-09-07 09:09:50:765,445316,445316,0,0,16368126,0,3393 83,3,2024-09-07 09:09:50:751,1,349,1,0,1260,4900,349,0 84,0,2024-09-07 09:09:51:781,85283,0.6,85213,0.8,170453,0.5,228490,2.25 84,1,2024-09-07 09:09:51:069,612597,612597,0,0,286133094635,2988119677,606834,5034,729,367,391967,0 84,2,2024-09-07 09:09:50:572,439596,439596,0,0,20550887,0,4757 84,3,2024-09-07 09:09:51:148,1,349,0,0,908,5191,349,0 85,0,2024-09-07 09:09:51:014,84704,0.7,84715,0.8,179853,0.7,232327,2.25 85,1,2024-09-07 09:09:50:562,611246,611246,0,0,286527398848,3020795052,602711,7163,1372,381,392006,0 85,2,2024-09-07 09:09:50:865,439659,439659,0,0,20291634,0,3656 85,3,2024-09-07 09:09:50:694,1,349,1,0,789,4511,349,0 86,0,2024-09-07 09:09:50:897,88548,0.7,91125,0.8,174344,0.7,235419,2.25 86,1,2024-09-07 09:09:50:839,613026,613026,0,0,287172122992,3004367360,606132,5828,1066,366,391961,0 86,2,2024-09-07 09:09:50:856,440933,440932,1,0,21317922,0,5004 86,3,2024-09-07 09:09:50:589,1,349,3,0,308,4666,349,0 87,0,2024-09-07 09:09:51:304,88260,0.8,88055,0.9,176817,1.0,235734,2.25 87,1,2024-09-07 09:09:50:550,612976,612976,0,0,287073877885,3000515015,606757,5605,614,366,392076,0 87,2,2024-09-07 09:09:51:088,442317,442317,0,0,19402922,0,4045 87,3,2024-09-07 09:09:51:805,1,349,1,0,473,5039,349,0 88,0,2024-09-07 09:09:51:458,85226,0.4,85881,0.6,171140,0.4,227748,1.75 88,1,2024-09-07 09:09:50:581,611363,611363,0,0,286895259883,3005448875,603658,6040,1665,365,392084,0 88,2,2024-09-07 09:09:50:700,441248,441248,0,0,21571943,0,3583 88,3,2024-09-07 09:09:51:278,1,349,5,0,435,3730,349,0 89,0,2024-09-07 09:09:51:786,91806,0.5,88847,0.6,176006,0.4,238604,1.75 89,1,2024-09-07 09:09:50:550,611524,611524,0,0,286605411734,3013810320,603763,6486,1275,382,391866,0 89,2,2024-09-07 09:09:51:134,439656,439656,0,0,20632417,0,2910 89,3,2024-09-07 09:09:51:809,1,349,1,0,385,6292,349,0 90,0,2024-09-07 09:09:51:701,85874,0.5,88231,0.7,179844,0.4,234936,2.00 90,1,2024-09-07 09:09:50:591,613006,613006,0,0,287006362853,3007590544,606791,5711,504,380,391825,0 90,2,2024-09-07 09:09:51:411,440103,440103,0,0,21846293,0,3060 90,3,2024-09-07 09:09:50:936,1,349,1,0,246,3844,349,0 91,0,2024-09-07 09:09:51:029,88658,0.5,85828,0.6,179225,0.4,235630,1.75 91,1,2024-09-07 09:09:50:564,611354,611354,0,0,286866632037,3016268661,603520,6787,1047,381,392047,0 91,2,2024-09-07 09:09:51:334,441441,441441,0,0,19744842,0,2896 91,3,2024-09-07 09:09:50:598,1,349,10,0,216,3272,349,0 92,0,2024-09-07 09:09:51:484,86881,0.4,89114,0.6,170292,0.4,230559,1.75 92,1,2024-09-07 09:09:50:593,612748,612748,0,0,286632344038,2994532146,607930,4130,688,382,392136,0 92,2,2024-09-07 09:09:51:360,444647,444647,0,0,17827701,0,3259 92,3,2024-09-07 09:09:51:015,1,349,1,0,167,2970,349,0 93,0,2024-09-07 09:09:50:959,88085,0.4,90204,0.5,172459,0.3,234133,1.75 93,1,2024-09-07 09:09:50:815,613167,613167,0,0,287405895091,2999453959,607041,5095,1031,366,391776,0 93,2,2024-09-07 09:09:50:940,439703,439703,0,0,20728499,0,4845 93,3,2024-09-07 09:09:51:419,1,349,20,0,190,3383,349,0 94,0,2024-09-07 09:09:51:702,86996,0.4,87708,0.5,175097,0.3,232564,1.75 94,1,2024-09-07 09:09:50:563,613355,613355,0,0,287378031952,2998538326,609302,3863,190,381,391850,0 94,2,2024-09-07 09:09:50:764,440304,440304,0,0,17834783,0,2443 94,3,2024-09-07 09:09:51:696,1,349,2,0,264,4315,349,0 95,0,2024-09-07 09:09:51:354,87464,0.4,87626,0.5,175516,0.3,233789,1.75 95,1,2024-09-07 09:09:50:856,614814,614814,0,0,287386980150,2988054483,609836,4578,400,367,391713,0 95,2,2024-09-07 09:09:51:025,441045,441045,0,0,17631555,0,3308 95,3,2024-09-07 09:09:51:739,1,349,5,0,718,5387,349,0 96,0,2024-09-07 09:09:51:042,86957,0.3,87084,0.5,173761,0.3,230480,1.75 96,1,2024-09-07 09:09:51:590,613045,613045,0,0,287543081409,2998722617,608515,3734,796,384,391955,0 96,2,2024-09-07 09:09:51:268,445897,445897,0,0,17511843,0,4042 96,3,2024-09-07 09:09:51:173,1,349,3,0,411,3747,349,0 97,0,2024-09-07 09:09:51:338,85873,0.3,85689,0.5,171986,0.3,228853,1.50 97,1,2024-09-07 09:09:50:764,615122,615122,0,0,288213142268,2986263786,611231,3217,674,367,392140,0 97,2,2024-09-07 09:09:50:608,440915,440915,0,0,16900648,0,3036 97,3,2024-09-07 09:09:50:578,1,349,2,0,214,4044,349,0 98,0,2024-09-07 09:09:51:697,87387,0.3,87157,0.5,174929,0.2,232195,1.50 98,1,2024-09-07 09:09:50:591,614290,614290,0,0,286875832213,2982637463,611616,2567,107,382,391997,0 98,2,2024-09-07 09:09:50:775,440218,440218,0,0,16854001,0,3080 98,3,2024-09-07 09:09:50:704,1,349,1,0,840,5445,349,0 99,0,2024-09-07 09:09:51:461,88638,0.3,89191,0.5,177486,0.3,236539,1.75 99,1,2024-09-07 09:09:51:734,614009,614009,0,0,287640962526,2993435077,609862,3392,755,381,392069,0 99,2,2024-09-07 09:09:51:416,443017,443017,0,0,19147970,0,3424 99,3,2024-09-07 09:09:50:588,1,349,1,0,199,3310,349,0 100,0,2024-09-07 09:09:51:498,87259,0.7,87181,0.9,174297,0.8,232547,2.25 100,1,2024-09-07 09:09:50:550,610726,610726,0,0,285661546819,3014958473,602254,6976,1496,381,391989,0 100,2,2024-09-07 09:09:51:827,442995,442984,11,0,19888619,0,5417 100,3,2024-09-07 09:09:51:737,1,349,0,0,559,5994,349,0 101,0,2024-09-07 09:09:51:704,86900,0.8,84621,0.9,165968,0.6,228154,2.25 101,1,2024-09-07 09:09:50:577,610772,610772,0,0,285933064597,3008437928,601676,7072,2024,368,391771,0 101,2,2024-09-07 09:09:51:768,437778,437778,0,0,22956067,0,4644 101,3,2024-09-07 09:09:50:947,1,349,0,0,579,4560,349,0 102,0,2024-09-07 09:09:50:951,86389,0.7,89349,0.8,180479,0.7,236059,2.00 102,1,2024-09-07 09:09:51:160,611708,611708,0,0,286498279685,3006311428,604386,6289,1033,369,391891,0 102,2,2024-09-07 09:09:51:744,440857,440803,54,0,19248852,0,6768 102,3,2024-09-07 09:09:51:635,1,349,1,0,410,3666,349,0 103,0,2024-09-07 09:09:51:636,91904,0.6,91812,0.7,173256,0.7,238211,2.00 103,1,2024-09-07 09:09:51:630,611019,611019,0,0,286024150096,3014010513,602195,6827,1997,381,391829,0 103,2,2024-09-07 09:09:50:583,440608,440608,0,0,20317196,0,3173 103,3,2024-09-07 09:09:50:766,1,349,2,0,916,4255,349,0 104,0,2024-09-07 09:09:51:009,88090,0.7,88502,0.9,175817,0.7,236616,2.25 104,1,2024-09-07 09:09:51:601,612759,612759,0,0,285712786296,3002986130,603839,7222,1698,365,392168,0 104,2,2024-09-07 09:09:51:667,440755,440755,0,0,21399310,0,3941 104,3,2024-09-07 09:09:51:415,1,349,1,0,1245,7540,349,0 105,0,2024-09-07 09:09:51:034,84469,0.8,82128,1.0,171969,0.8,226737,2.50 105,1,2024-09-07 09:09:50:578,612898,612898,0,0,287185900215,3011099551,604736,6825,1337,366,392009,0 105,2,2024-09-07 09:09:51:326,441314,441314,0,0,20671464,0,3509 105,3,2024-09-07 09:09:51:318,1,349,3,0,399,5646,349,0 106,0,2024-09-07 09:09:50:962,85924,0.8,88059,0.9,180204,0.9,235999,2.50 106,1,2024-09-07 09:09:51:750,611519,611519,0,0,286963963419,3015730623,601923,8302,1294,369,391914,0 106,2,2024-09-07 09:09:50:985,438583,438583,0,0,20414077,0,2795 106,3,2024-09-07 09:09:50:688,1,349,1,0,470,4375,349,0 107,0,2024-09-07 09:09:51:251,87921,1.1,88017,1.0,175955,1.6,234688,2.25 107,1,2024-09-07 09:09:50:585,611245,611245,0,0,286346224106,3015844079,603261,7068,916,381,392234,0 107,2,2024-09-07 09:09:51:297,439587,439586,1,0,20940711,0,5024 107,3,2024-09-07 09:09:51:755,1,349,5,0,353,4832,349,0 108,0,2024-09-07 09:09:51:857,87382,0.4,87980,0.6,174890,0.4,233633,1.75 108,1,2024-09-07 09:09:51:299,613629,613629,0,0,287334490886,2996517005,608538,4573,518,368,391857,0 108,2,2024-09-07 09:09:51:760,439499,439499,0,0,19910669,0,4246 108,3,2024-09-07 09:09:51:330,1,349,7,0,749,7001,349,0 109,0,2024-09-07 09:09:51:811,86631,0.4,85685,0.5,171695,0.3,230150,1.75 109,1,2024-09-07 09:09:50:590,611468,611468,0,0,287076478000,3009699988,606255,4548,665,383,392132,0 109,2,2024-09-07 09:09:50:927,442833,442833,0,0,19500939,0,3617 109,3,2024-09-07 09:09:51:147,1,349,9,0,379,4155,349,0 110,0,2024-09-07 09:09:51:759,86591,0.4,84286,0.6,176445,0.3,231218,1.75 110,1,2024-09-07 09:09:51:649,614450,614450,0,0,287928325972,2986837296,610445,3028,977,369,392045,0 110,2,2024-09-07 09:09:51:312,440117,440117,0,0,19199245,0,4067 110,3,2024-09-07 09:09:50:697,1,349,1,0,722,5193,349,0 111,0,2024-09-07 09:09:51:422,87277,0.4,86583,0.6,173651,0.4,231907,1.75 111,1,2024-09-07 09:09:51:010,615087,615087,0,0,289158143034,2999484918,611706,3029,352,382,391690,0 111,2,2024-09-07 09:09:51:123,439206,439206,0,0,18537065,0,4823 111,3,2024-09-07 09:09:50:916,1,349,1,0,379,4161,349,0 112,0,2024-09-07 09:09:50:917,88914,0.3,88223,0.4,177016,0.2,235015,1.50 112,1,2024-09-07 09:09:50:837,614604,614604,0,0,287793067890,2983569700,611139,2881,584,380,391624,0 112,2,2024-09-07 09:09:51:134,441930,441929,1,0,16757936,0,5036 112,3,2024-09-07 09:09:50:593,1,349,4,0,282,3501,349,0 113,0,2024-09-07 09:09:50:866,86212,0.3,86369,0.4,173374,0.2,230716,1.50 113,1,2024-09-07 09:09:51:689,616424,616424,0,0,288442772057,2974387985,613143,2697,584,366,391661,0 113,2,2024-09-07 09:09:51:307,446746,446746,0,0,15537255,0,3813 113,3,2024-09-07 09:09:50:693,1,349,0,0,340,4082,349,0 114,0,2024-09-07 09:09:50:883,86114,0.3,86826,0.4,172108,0.2,230601,1.75 114,1,2024-09-07 09:09:50:725,614487,614487,0,0,288005453064,2991197639,609359,3534,1594,381,391556,0 114,2,2024-09-07 09:09:50:873,440516,440515,1,0,17053321,0,5069 114,3,2024-09-07 09:09:51:292,1,349,8,0,395,3003,349,0 115,0,2024-09-07 09:09:50:557,87738,0.3,88514,0.4,176727,0.2,234603,1.50 115,1,2024-09-07 09:09:50:571,613939,613939,0,0,288578304887,2994958970,609073,4026,840,382,391757,0 115,2,2024-09-07 09:09:51:129,441867,441867,0,0,15736013,0,3453 115,3,2024-09-07 09:09:51:007,1,349,1,0,159,1990,349,0 116,0,2024-09-07 09:09:51:710,88346,0.8,88209,0.9,176971,0.9,236499,2.00 116,1,2024-09-07 09:09:50:818,610947,610947,0,0,286501533077,3019802525,603335,5343,2269,380,392089,0 116,2,2024-09-07 09:09:51:757,441447,441447,0,0,21356271,0,3529 116,3,2024-09-07 09:09:50:920,1,349,12,0,415,4284,349,0 117,0,2024-09-07 09:09:50:972,88797,0.7,88291,0.8,176800,0.7,236234,2.00 117,1,2024-09-07 09:09:51:663,612254,612254,0,0,286204565002,2994219701,605941,5644,669,369,392033,0 117,2,2024-09-07 09:09:51:121,445764,445764,0,0,17800524,0,3700 117,3,2024-09-07 09:09:51:068,1,349,2,0,490,5025,349,0 118,0,2024-09-07 09:09:51:769,82784,0.5,84977,0.7,173549,0.4,226874,2.00 118,1,2024-09-07 09:09:50:585,612001,612001,0,0,286326590423,3007926788,603199,6902,1900,366,391907,0 118,2,2024-09-07 09:09:51:597,439843,439843,0,0,19627060,0,2781 118,3,2024-09-07 09:09:51:769,1,349,1,0,235,3797,349,0 119,0,2024-09-07 09:09:51:392,88881,0.6,89172,0.7,178463,0.6,236999,2.00 119,1,2024-09-07 09:09:50:549,613545,613545,0,0,287417599933,3004048063,606968,5740,837,367,391780,0 119,2,2024-09-07 09:09:51:292,441020,441020,0,0,18322374,0,4174 119,3,2024-09-07 09:09:51:340,1,349,10,0,563,5222,349,0 120,0,2024-09-07 09:09:51:559,88138,0.7,87876,0.9,175813,0.7,235606,2.25 120,1,2024-09-07 09:09:50:862,612557,612557,0,0,286667875682,3007426922,606135,5865,557,368,391961,0 120,2,2024-09-07 09:09:50:775,441140,441139,1,0,21696660,0,5281 120,3,2024-09-07 09:09:51:297,1,349,1,0,241,4278,349,0 121,0,2024-09-07 09:09:51:708,87904,1.0,88106,1.0,176771,1.2,235294,2.25 121,1,2024-09-07 09:09:51:659,613221,613221,0,0,286286734066,2994088104,607301,5482,438,367,391840,0 121,2,2024-09-07 09:09:51:133,440294,440294,0,0,20899123,0,4127 121,3,2024-09-07 09:09:50:735,1,349,15,0,269,3948,349,0 122,0,2024-09-07 09:09:51:813,85803,0.7,83819,0.8,175701,0.8,230416,2.00 122,1,2024-09-07 09:09:50:871,612228,612228,0,0,286146549664,3001962232,603762,7203,1263,366,392130,0 122,2,2024-09-07 09:09:51:331,443186,443113,73,0,23428055,0,5989 122,3,2024-09-07 09:09:50:601,1,349,1,0,512,6616,349,0 123,0,2024-09-07 09:09:50:966,87155,0.7,85262,0.8,177951,0.7,233681,2.00 123,1,2024-09-07 09:09:50:559,611819,611819,0,0,286417022048,3019226196,600369,9322,2128,369,392039,0 123,2,2024-09-07 09:09:51:034,438417,438416,1,0,20169342,0,5215 123,3,2024-09-07 09:09:51:136,1,349,1,0,168,3922,349,0 124,0,2024-09-07 09:09:50:974,90039,0.4,89983,0.5,169667,0.3,233420,1.75 124,1,2024-09-07 09:09:51:037,614184,614184,0,0,286627433406,2982093999,609461,3813,910,367,392178,0 124,2,2024-09-07 09:09:51:014,441602,441549,53,0,18173547,0,6487 124,3,2024-09-07 09:09:50:758,1,349,1,0,490,3546,349,0 125,0,2024-09-07 09:09:51:418,87910,0.4,87460,0.6,175923,0.3,234149,1.75 125,1,2024-09-07 09:09:50:861,612789,612789,0,0,286554307017,2991659329,607906,4238,645,383,391702,0 125,2,2024-09-07 09:09:51:123,441601,441601,0,0,18817257,0,4534 125,3,2024-09-07 09:09:51:126,1,349,6,0,709,4644,349,0 126,0,2024-09-07 09:09:51:425,86779,0.4,89047,0.6,170817,0.4,231398,1.75 126,1,2024-09-07 09:09:50:564,614770,614770,0,0,287517463022,2979056001,611026,3458,286,365,391987,0 126,2,2024-09-07 09:09:50:618,445590,445590,0,0,18910510,0,4539 126,3,2024-09-07 09:09:50:908,1,349,1,0,207,4383,349,0 127,0,2024-09-07 09:09:51:598,85786,0.3,86252,0.5,171931,0.3,228953,1.75 127,1,2024-09-07 09:09:50:570,613634,613634,0,0,287303757338,2984429874,608209,4564,861,364,392187,0 127,2,2024-09-07 09:09:50:642,439489,439489,0,0,17362752,0,3897 127,3,2024-09-07 09:09:51:278,1,349,11,0,968,4075,349,0 128,0,2024-09-07 09:09:51:526,87490,0.3,87733,0.4,175517,0.2,232535,1.50 128,1,2024-09-07 09:09:51:607,614174,614174,0,0,286926394582,2978369994,610071,3731,372,367,391798,0 128,2,2024-09-07 09:09:51:385,441258,441258,0,0,16251431,0,2915 128,3,2024-09-07 09:09:50:774,1,349,1,0,1082,5938,349,0 129,0,2024-09-07 09:09:51:024,89277,0.3,88790,0.5,178215,0.3,236579,1.50 129,1,2024-09-07 09:09:50:568,611394,611394,0,0,286785647584,3001377018,605743,4394,1257,379,391835,0 129,2,2024-09-07 09:09:50:694,442533,442533,0,0,17105807,0,4031 129,3,2024-09-07 09:09:50:712,1,349,2,0,469,4508,349,0 130,0,2024-09-07 09:09:51:719,88087,0.5,87572,0.6,176210,0.5,233762,1.75 130,1,2024-09-07 09:09:50:583,613923,613923,0,0,286996325118,2982885147,610505,3139,279,381,391825,0 130,2,2024-09-07 09:09:51:124,445566,445566,0,0,17022985,0,4067 130,3,2024-09-07 09:09:51:302,1,349,7,0,450,4182,349,0 131,0,2024-09-07 09:09:51:942,84839,0.3,85389,0.5,171550,0.3,227821,1.50 131,1,2024-09-07 09:09:51:862,614162,614162,0,0,287586792719,2999699899,609681,3734,747,381,391865,0 131,2,2024-09-07 09:09:50:567,442094,442094,0,0,15624424,0,2415 131,3,2024-09-07 09:09:51:690,1,349,1,0,392,3582,349,0 132,0,2024-09-07 09:09:51:420,88754,0.4,89572,0.6,178335,0.4,236813,1.75 132,1,2024-09-07 09:09:50:578,611068,611068,0,0,286249171087,3012495052,602422,7179,1467,381,392097,0 132,2,2024-09-07 09:09:50:711,440138,440138,0,0,20810040,0,4606 132,3,2024-09-07 09:09:51:693,1,349,1,0,804,6230,349,0 133,0,2024-09-07 09:09:51:545,86869,0.5,88766,0.6,182296,0.4,236932,1.75 133,1,2024-09-07 09:09:50:593,611071,611071,0,0,286165053438,3014073843,602886,7100,1085,383,391914,0 133,2,2024-09-07 09:09:51:090,441103,441103,0,0,22147119,0,4315 133,3,2024-09-07 09:09:51:306,1,349,5,0,479,3665,349,0 134,0,2024-09-07 09:09:50:964,89325,0.5,89121,0.7,178228,0.4,237870,2.00 134,1,2024-09-07 09:09:50:584,611815,611815,0,0,286088387624,3002162766,603492,6467,1856,366,391718,0 134,2,2024-09-07 09:09:51:768,441408,441408,0,0,18480532,0,3847 134,3,2024-09-07 09:09:50:981,1,349,4,0,739,4477,349,0 135,0,2024-09-07 09:09:51:139,82493,0.6,82499,0.8,175146,0.6,226332,2.00 135,1,2024-09-07 09:09:51:598,612385,612385,0,0,286737702115,3016351236,603950,7198,1237,380,391805,0 135,2,2024-09-07 09:09:50:693,442925,442925,0,0,20071368,0,3981 135,3,2024-09-07 09:09:51:012,1,349,0,0,299,2670,349,0 136,0,2024-09-07 09:09:51:620,89256,0.5,89518,0.7,178150,0.5,237829,2.00 136,1,2024-09-07 09:09:51:450,611874,611874,0,0,285708522969,2999440383,604849,6327,698,382,391685,0 136,2,2024-09-07 09:09:51:135,440710,440710,0,0,19890918,0,3506 136,3,2024-09-07 09:09:51:120,1,349,1,0,637,3931,349,0 137,0,2024-09-07 09:09:50:940,90822,0.7,88350,0.8,173576,0.7,236057,2.00 137,1,2024-09-07 09:09:50:579,611758,611758,0,0,286747306906,3009527803,602135,7741,1882,366,391898,0 137,2,2024-09-07 09:09:51:704,440331,440331,0,0,22314879,0,3185 137,3,2024-09-07 09:09:50:774,1,349,1,0,382,4097,349,0 138,0,2024-09-07 09:09:51:766,87039,1.3,87119,1.1,174981,1.8,232577,2.25 138,1,2024-09-07 09:09:51:703,612569,612569,0,0,287195970144,3014672006,603518,7455,1596,368,391954,0 138,2,2024-09-07 09:09:50:586,440114,440114,0,0,19919901,0,4988 138,3,2024-09-07 09:09:50:621,1,349,0,0,1160,4878,349,0 139,0,2024-09-07 09:09:51:377,85043,1.1,85380,1.0,171035,1.6,228291,2.25 139,1,2024-09-07 09:09:50:578,609946,609946,0,0,285321374350,3030144411,598568,8730,2648,381,392058,0 139,2,2024-09-07 09:09:50:705,441974,441974,0,0,21824912,0,3097 139,3,2024-09-07 09:09:51:667,1,349,6,0,257,3927,349,0 140,0,2024-09-07 09:09:51:614,86930,0.3,86446,0.5,173756,0.2,231504,1.75 140,1,2024-09-07 09:09:51:538,616218,616218,0,0,288343092582,2973466615,613011,2768,439,365,391606,0 140,2,2024-09-07 09:09:50:691,440125,440124,1,0,17794586,0,5036 140,3,2024-09-07 09:09:50:779,1,349,1,0,247,2828,349,0 141,0,2024-09-07 09:09:51:714,87104,0.4,89370,0.5,170837,0.3,231980,1.75 141,1,2024-09-07 09:09:50:861,614356,614356,0,0,287896916966,2990582072,609793,3701,862,379,391614,0 141,2,2024-09-07 09:09:51:689,440133,440133,0,0,17419519,0,3360 141,3,2024-09-07 09:09:51:051,1,349,2,0,391,3997,349,0 142,0,2024-09-07 09:09:51:319,89058,0.3,88183,0.5,176370,0.3,235531,1.75 142,1,2024-09-07 09:09:50:584,614106,614106,0,0,286645241738,2988732556,610138,3616,352,382,392102,0 142,2,2024-09-07 09:09:51:300,441282,441250,32,0,18890318,0,6028 142,3,2024-09-07 09:09:51:768,1,349,1,0,484,4194,349,0 143,0,2024-09-07 09:09:51:373,86715,0.4,86477,0.6,173314,0.4,231101,1.75 143,1,2024-09-07 09:09:50:559,614770,614770,0,0,288285509510,2990028090,610991,3628,151,367,391705,0 143,2,2024-09-07 09:09:50:776,445574,445574,0,0,18284116,0,3123 143,3,2024-09-07 09:09:51:149,1,349,3,0,303,4231,349,0 144,0,2024-09-07 09:09:51:527,83188,0.5,85304,0.7,173992,0.4,228734,2.00 144,1,2024-09-07 09:09:50:565,611737,611737,0,0,286277544913,2998660109,606864,3932,941,381,391649,0 144,2,2024-09-07 09:09:51:758,440699,440699,0,0,16384033,0,3473 144,3,2024-09-07 09:09:51:753,1,349,2,0,249,3468,349,0 145,0,2024-09-07 09:09:51:369,84994,0.6,85045,0.8,180413,0.5,231991,2.25 145,1,2024-09-07 09:09:50:555,611458,611458,0,0,286492385082,3010722743,604098,6220,1140,382,391759,0 145,2,2024-09-07 09:09:51:438,438898,438898,0,0,20017691,0,3903 145,3,2024-09-07 09:09:50:898,1,349,2,0,622,5160,349,0 146,0,2024-09-07 09:09:51:612,88623,0.6,88036,0.7,177463,0.6,235160,2.25 146,1,2024-09-07 09:09:51:600,612385,612385,0,0,286251296040,3010637649,602507,7867,2011,368,391770,0 146,2,2024-09-07 09:09:51:697,440991,440991,0,0,19969098,0,2730 146,3,2024-09-07 09:09:51:277,1,349,1,0,1520,7240,349,0 147,0,2024-09-07 09:09:51:713,88734,0.6,88454,0.7,176220,0.5,235721,2.00 147,1,2024-09-07 09:09:51:379,614143,614143,0,0,287428225368,2990686189,608477,4865,801,368,391791,0 147,2,2024-09-07 09:09:51:015,443406,443406,0,0,17702188,0,2789 147,3,2024-09-07 09:09:50:917,1,349,1,0,730,5084,349,0 0,0,2024-09-07 09:10:01:721,86231,0.7,86220,0.8,183029,0.7,236537,2.00 0,1,2024-09-07 09:10:00:806,614859,614859,0,0,288336916241,3012888857,610723,3891,245,369,391896,0 0,2,2024-09-07 09:10:01:066,443743,443743,0,0,17420022,0,4480 0,3,2024-09-07 09:10:00:974,1,350,12,0,431,4738,350,0 1,0,2024-09-07 09:10:01:749,88585,0.8,88064,0.9,176792,0.9,236377,2.00 1,1,2024-09-07 09:10:00:572,613743,613743,0,0,287576694690,3009346642,608138,4377,1228,370,391857,0 1,2,2024-09-07 09:10:00:649,441723,441723,0,0,16862164,0,3267 1,3,2024-09-07 09:10:01:301,1,350,13,0,262,4063,350,0 2,0,2024-09-07 09:10:01:588,86422,0.6,86614,0.7,172293,0.6,230755,2.00 2,1,2024-09-07 09:10:00:859,616107,616107,0,0,289061727038,2999587110,613207,2607,293,380,391745,0 2,2,2024-09-07 09:10:01:265,444974,444974,0,0,16684420,0,3594 2,3,2024-09-07 09:10:00:690,1,350,7,0,357,3665,350,0 3,0,2024-09-07 09:10:01:746,88081,0.4,87950,0.6,175866,0.3,234939,1.75 3,1,2024-09-07 09:10:01:619,614341,614341,0,0,287840180936,2995538707,609241,4477,623,380,391591,0 3,2,2024-09-07 09:10:01:144,441766,441743,23,0,17154085,0,5851 3,3,2024-09-07 09:10:01:759,1,350,9,0,207,2242,350,0 4,0,2024-09-07 09:10:01:802,85085,0.4,87590,0.5,178074,0.4,233326,1.75 4,1,2024-09-07 09:10:00:611,613264,613264,0,0,286871371197,3019591010,605802,6104,1358,371,391992,0 4,2,2024-09-07 09:10:01:020,440445,440445,0,0,20065935,0,4528 4,3,2024-09-07 09:10:01:026,1,350,1,0,448,4842,350,0 5,0,2024-09-07 09:10:01:417,87777,0.5,88109,0.6,176028,0.4,233979,1.75 5,1,2024-09-07 09:10:00:768,614085,614085,0,0,287618308844,3021474568,606914,5815,1356,367,392005,0 5,2,2024-09-07 09:10:01:839,440322,440322,0,0,19138854,0,2432 5,3,2024-09-07 09:10:01:732,1,350,10,0,457,5011,350,0 6,0,2024-09-07 09:10:00:920,87274,0.4,86791,0.6,173487,0.4,231674,2.00 6,1,2024-09-07 09:10:00:748,614097,614097,0,0,287788044637,3005684581,607484,5528,1085,379,391694,0 6,2,2024-09-07 09:10:01:115,445812,445812,0,0,18334531,0,4816 6,3,2024-09-07 09:10:01:279,1,350,2,0,340,3736,350,0 7,0,2024-09-07 09:10:01:563,85834,0.4,86352,0.6,171977,0.4,229124,1.75 7,1,2024-09-07 09:10:00:862,613449,613449,0,0,287570852236,3016655961,605377,6896,1176,382,391747,0 7,2,2024-09-07 09:10:00:770,441484,441484,0,0,18473704,0,4791 7,3,2024-09-07 09:10:00:857,1,350,0,0,398,3863,350,0 8,0,2024-09-07 09:10:01:337,87439,0.4,87358,0.5,174787,0.3,232797,1.75 8,1,2024-09-07 09:10:01:023,612539,612539,0,0,287575863444,3026204427,602384,7877,2278,366,392144,0 8,2,2024-09-07 09:10:00:805,437455,437455,0,0,22942565,0,3220 8,3,2024-09-07 09:10:00:594,1,350,1,0,538,5986,350,0 9,0,2024-09-07 09:10:01:148,88955,0.4,86418,0.5,180847,0.3,236661,1.75 9,1,2024-09-07 09:10:00:558,613099,613099,0,0,286984008329,3024276428,604026,6948,2125,369,392001,0 9,2,2024-09-07 09:10:01:083,442168,442168,0,0,20286052,0,3360 9,3,2024-09-07 09:10:01:757,1,350,0,0,496,5149,350,0 10,0,2024-09-07 09:10:01:616,87776,0.3,87126,0.5,175016,0.2,232793,1.75 10,1,2024-09-07 09:10:00:593,614169,614169,0,0,287132381831,3006841472,606285,6775,1109,381,391741,0 10,2,2024-09-07 09:10:00:769,445874,445874,0,0,21980526,0,4264 10,3,2024-09-07 09:10:00:871,1,350,1,0,296,3193,350,0 11,0,2024-09-07 09:10:01:018,85182,0.4,82759,0.6,172969,0.3,229135,1.75 11,1,2024-09-07 09:10:00:573,614455,614455,0,0,288699434502,3031146026,604431,7576,2448,383,391756,0 11,2,2024-09-07 09:10:01:122,440876,440876,0,0,19711140,0,4130 11,3,2024-09-07 09:10:01:298,1,350,1,0,843,5393,350,0 12,0,2024-09-07 09:10:00:956,89545,0.4,89559,0.5,178802,0.3,237198,1.75 12,1,2024-09-07 09:10:00:943,614287,614287,0,0,287409537466,2996866923,609419,4376,492,370,391870,0 12,2,2024-09-07 09:10:01:540,442137,442137,0,0,19407661,0,3469 12,3,2024-09-07 09:10:01:062,1,350,1,0,386,5612,350,0 13,0,2024-09-07 09:10:01:358,89891,0.4,89532,0.6,178755,0.4,238088,1.75 13,1,2024-09-07 09:10:01:524,613247,613247,0,0,286759636575,3014589364,607335,4502,1410,382,391740,0 13,2,2024-09-07 09:10:00:604,444258,444258,0,0,17834959,0,3287 13,3,2024-09-07 09:10:01:762,1,350,0,0,522,5620,350,0 14,0,2024-09-07 09:10:00:561,89398,0.4,90166,0.6,178387,0.3,237963,1.75 14,1,2024-09-07 09:10:01:561,617888,617888,0,0,288878454753,2991192334,613200,4329,359,364,391571,0 14,2,2024-09-07 09:10:00:766,443431,443401,30,0,19389384,0,6104 14,3,2024-09-07 09:10:01:114,1,350,1,0,1168,4218,350,0 15,0,2024-09-07 09:10:01:561,85570,0.4,85605,0.6,171488,0.3,228531,1.75 15,1,2024-09-07 09:10:01:607,614965,614965,0,0,288027407756,2998366448,610620,3522,823,381,391619,0 15,2,2024-09-07 09:10:01:011,444168,444168,0,0,15942994,0,3622 15,3,2024-09-07 09:10:01:409,1,350,1,0,1126,6284,350,0 16,0,2024-09-07 09:10:00:940,89246,0.6,89542,0.7,178887,0.6,237871,2.00 16,1,2024-09-07 09:10:00:570,615796,615796,0,0,288448596024,3009085666,611484,3950,362,370,391756,0 16,2,2024-09-07 09:10:01:433,441658,441658,0,0,18323063,0,4719 16,3,2024-09-07 09:10:01:144,1,350,1,0,317,4749,350,0 17,0,2024-09-07 09:10:01:794,91054,0.7,88827,0.8,173875,0.7,236294,2.00 17,1,2024-09-07 09:10:00:577,613430,613430,0,0,287486908545,3014521888,607186,4985,1259,368,392075,0 17,2,2024-09-07 09:10:01:682,445042,445042,0,0,18055501,0,2857 17,3,2024-09-07 09:10:00:593,1,350,1,0,298,5652,350,0 18,0,2024-09-07 09:10:00:950,86882,0.6,87179,0.8,173902,0.6,232245,2.25 18,1,2024-09-07 09:10:01:646,616192,616192,0,0,288135483574,2984619931,612856,3025,311,367,391649,0 18,2,2024-09-07 09:10:01:757,443702,443702,0,0,16647652,0,3541 18,3,2024-09-07 09:10:00:903,1,350,3,0,163,2964,350,0 19,0,2024-09-07 09:10:01:541,86122,0.5,86803,0.7,171761,0.5,229150,2.00 19,1,2024-09-07 09:10:00:571,616478,616478,0,0,288587712060,2992582357,611352,4287,839,367,391777,0 19,2,2024-09-07 09:10:01:751,446944,446944,0,0,15314687,0,3988 19,3,2024-09-07 09:10:01:132,1,350,40,0,524,2638,350,0 20,0,2024-09-07 09:10:01:347,86816,0.5,86848,0.7,173588,0.5,231811,2.00 20,1,2024-09-07 09:10:00:583,614286,614286,0,0,288276276992,3008911993,609941,3937,408,369,391886,0 20,2,2024-09-07 09:10:00:938,441902,441902,0,0,18514716,0,3721 20,3,2024-09-07 09:10:00:596,1,350,1,0,414,5429,350,0 21,0,2024-09-07 09:10:01:182,87331,0.5,87257,0.7,174476,0.5,231808,2.00 21,1,2024-09-07 09:10:01:563,613474,613474,0,0,286861450901,3020169584,605475,6117,1882,368,392016,0 21,2,2024-09-07 09:10:01:068,439141,439141,0,0,21592780,0,3747 21,3,2024-09-07 09:10:01:404,1,350,1,0,713,4108,350,0 22,0,2024-09-07 09:10:01:718,88038,0.5,88372,0.7,176906,0.5,234121,2.00 22,1,2024-09-07 09:10:01:037,614441,614441,0,0,287172117351,3015287851,605949,6672,1820,382,391667,0 22,2,2024-09-07 09:10:00:766,441411,441411,0,0,17589442,0,3134 22,3,2024-09-07 09:10:01:066,1,350,11,0,228,2884,350,0 23,0,2024-09-07 09:10:01:375,86265,0.5,86332,0.7,172843,0.5,230437,2.25 23,1,2024-09-07 09:10:01:003,614502,614502,0,0,288569319709,3027965857,604007,7336,3159,365,391690,0 23,2,2024-09-07 09:10:01:092,447610,447610,0,0,17523300,0,3010 23,3,2024-09-07 09:10:01:755,1,350,1,0,720,4757,350,0 24,0,2024-09-07 09:10:00:814,86589,0.4,86622,0.5,173670,0.3,231106,1.75 24,1,2024-09-07 09:10:00:596,613405,613405,0,0,287274877478,3012937540,606499,5374,1532,368,392269,0 24,2,2024-09-07 09:10:01:072,440066,440066,0,0,21442140,0,3607 24,3,2024-09-07 09:10:01:699,1,350,7,0,468,4610,350,0 25,0,2024-09-07 09:10:01:345,90497,0.4,88233,0.6,172919,0.4,235362,2.00 25,1,2024-09-07 09:10:00:567,614571,614571,0,0,287652312733,3019016390,606685,6513,1373,371,391928,0 25,2,2024-09-07 09:10:01:612,440082,440082,0,0,21616965,0,3978 25,3,2024-09-07 09:10:01:015,1,350,1,0,255,3460,350,0 26,0,2024-09-07 09:10:01:726,88550,0.4,86469,0.6,181344,0.4,236157,1.75 26,1,2024-09-07 09:10:01:541,614613,614613,0,0,287490036062,3011322820,605726,7351,1536,380,391748,0 26,2,2024-09-07 09:10:00:860,443573,443573,0,0,21500167,0,2809 26,3,2024-09-07 09:10:01:719,1,350,10,0,796,4438,350,0 27,0,2024-09-07 09:10:01:728,88908,0.4,89010,0.6,176400,0.4,235315,2.00 27,1,2024-09-07 09:10:01:676,616826,616826,0,0,288472360683,3002239674,611520,4540,766,381,391626,0 27,2,2024-09-07 09:10:00:867,442202,442137,65,0,20938118,0,5699 27,3,2024-09-07 09:10:01:014,1,350,1,0,564,3657,350,0 28,0,2024-09-07 09:10:01:397,85841,0.4,85448,0.6,171275,0.3,229000,2.00 28,1,2024-09-07 09:10:00:797,615809,615809,0,0,289216759298,3012791606,611309,3795,705,383,391698,0 28,2,2024-09-07 09:10:01:766,441498,441498,0,0,18581077,0,2915 28,3,2024-09-07 09:10:01:777,1,350,5,0,502,3767,350,0 29,0,2024-09-07 09:10:01:425,91724,0.4,89366,0.6,175340,0.3,238600,1.75 29,1,2024-09-07 09:10:01:561,617260,617260,0,0,288828749956,2990298927,612918,3657,685,369,391753,0 29,2,2024-09-07 09:10:00:860,440734,440734,0,0,17927778,0,4986 29,3,2024-09-07 09:10:00:972,1,350,8,0,459,4367,350,0 30,0,2024-09-07 09:10:01:457,88453,0.5,85920,0.7,179900,0.5,235586,2.00 30,1,2024-09-07 09:10:00:573,616640,616640,0,0,289318462325,3003910344,611821,4109,710,381,391672,0 30,2,2024-09-07 09:10:01:280,443375,443375,0,0,16891444,0,3161 30,3,2024-09-07 09:10:00:594,1,350,69,0,519,3581,350,0 31,0,2024-09-07 09:10:01:764,88159,0.4,88587,0.6,177054,0.3,236217,2.00 31,1,2024-09-07 09:10:00:567,618608,618608,0,0,289663402132,2970110862,616063,1986,559,356,391712,0 31,2,2024-09-07 09:10:01:278,442344,442344,0,0,18699334,0,3525 31,3,2024-09-07 09:10:01:708,1,350,1,0,220,3005,350,0 32,0,2024-09-07 09:10:01:424,86443,0.3,86837,0.5,173590,0.2,231093,1.50 32,1,2024-09-07 09:10:00:808,616321,616321,0,0,288795061040,2996966078,613177,2698,446,381,391646,0 32,2,2024-09-07 09:10:00:942,445237,445237,0,0,15656173,0,3155 32,3,2024-09-07 09:10:01:015,1,350,1,0,227,2470,350,0 33,0,2024-09-07 09:10:01:493,88271,0.3,87763,0.4,176314,0.2,235355,1.50 33,1,2024-09-07 09:10:00:584,617579,617579,0,0,289164661463,2992554378,613135,3613,831,369,391730,0 33,2,2024-09-07 09:10:00:762,442956,442921,35,0,17787678,0,7012 33,3,2024-09-07 09:10:00:903,1,350,1,0,329,3591,350,0 34,0,2024-09-07 09:10:00:935,87812,0.3,90422,0.5,172920,0.2,232682,1.75 34,1,2024-09-07 09:10:01:046,618361,618361,0,0,290293552874,2982187630,616939,1413,9,367,391562,0 34,2,2024-09-07 09:10:00:766,442136,442136,0,0,16990642,0,3577 34,3,2024-09-07 09:10:01:691,1,350,0,0,299,2509,350,0 35,0,2024-09-07 09:10:00:859,87160,0.4,87543,0.5,176063,0.3,234500,1.75 35,1,2024-09-07 09:10:01:066,616221,616221,0,0,288835617573,2991004453,612612,2828,781,382,391589,0 35,2,2024-09-07 09:10:01:583,442492,442492,0,0,17063802,0,2653 35,3,2024-09-07 09:10:00:909,1,350,0,0,418,4281,350,0 36,0,2024-09-07 09:10:01:541,87314,0.4,87240,0.6,174512,0.4,231366,2.00 36,1,2024-09-07 09:10:00:595,615836,615836,0,0,288086105812,3006681614,608519,5659,1658,366,391759,0 36,2,2024-09-07 09:10:01:751,446651,446651,0,0,19465759,0,3875 36,3,2024-09-07 09:10:00:867,1,350,8,0,416,5385,350,0 37,0,2024-09-07 09:10:01:376,85824,0.5,85743,0.6,171660,0.4,229592,2.00 37,1,2024-09-07 09:10:00:571,614658,614651,0,7,287892097969,3005040540,607876,4689,2086,365,391570,0 37,2,2024-09-07 09:10:01:144,440018,440003,15,0,19155874,0,5815 37,3,2024-09-07 09:10:01:768,1,350,1,0,888,5570,350,0 38,0,2024-09-07 09:10:01:439,86838,0.5,84306,0.7,176461,0.4,230652,2.00 38,1,2024-09-07 09:10:01:612,616732,616732,0,0,288554792870,3001697425,610672,5302,758,368,391821,0 38,2,2024-09-07 09:10:00:768,440614,440567,47,0,19517179,0,6710 38,3,2024-09-07 09:10:00:996,1,350,1,0,689,4720,350,0 39,0,2024-09-07 09:10:01:759,90551,0.6,88579,0.7,172515,0.6,235441,2.00 39,1,2024-09-07 09:10:00:716,614908,614908,0,0,288267233437,3012979485,606472,6975,1461,365,391658,0 39,2,2024-09-07 09:10:01:421,443084,443084,0,0,17597090,0,2689 39,3,2024-09-07 09:10:00:712,1,350,0,0,324,4324,350,0 40,0,2024-09-07 09:10:01:488,86835,0.7,87519,0.9,174597,0.7,232478,2.75 40,1,2024-09-07 09:10:00:599,615602,615602,0,0,287203299118,3005389454,607018,7073,1511,368,391668,0 40,2,2024-09-07 09:10:01:302,445049,445048,1,0,21249170,0,5137 40,3,2024-09-07 09:10:01:143,1,350,0,0,1028,5082,350,0 41,0,2024-09-07 09:10:01:025,85026,1.2,87031,1.2,166205,1.9,226928,2.75 41,1,2024-09-07 09:10:00:768,614433,614433,0,0,287771282738,3007609378,607378,6351,704,369,391742,0 41,2,2024-09-07 09:10:00:764,439748,439748,0,0,20134000,0,3356 41,3,2024-09-07 09:10:01:680,1,350,13,0,366,3527,350,0 42,0,2024-09-07 09:10:01:474,88048,0.9,88144,1.0,176605,1.1,233205,2.75 42,1,2024-09-07 09:10:01:441,613483,613483,0,0,286689424290,3010965551,603936,7789,1758,380,391675,0 42,2,2024-09-07 09:10:01:133,440793,440793,0,0,20424832,0,3790 42,3,2024-09-07 09:10:01:011,1,350,0,0,446,3101,350,0 43,0,2024-09-07 09:10:00:918,88043,0.9,85759,1.0,179806,1.0,235566,2.50 43,1,2024-09-07 09:10:00:584,614926,614926,0,0,288811974863,3015065153,606691,6797,1438,366,391696,0 43,2,2024-09-07 09:10:01:736,443305,443305,0,0,20122778,0,3812 43,3,2024-09-07 09:10:01:749,1,350,3,0,467,4332,350,0 44,0,2024-09-07 09:10:00:864,89359,0.4,89728,0.6,178953,0.4,238165,1.75 44,1,2024-09-07 09:10:00:583,616301,616301,0,0,287942918463,2976736044,611238,4016,1047,356,391809,0 44,2,2024-09-07 09:10:01:281,442794,442794,0,0,16928942,0,4344 44,3,2024-09-07 09:10:01:097,1,350,0,0,817,4528,350,0 45,0,2024-09-07 09:10:01:758,84666,0.5,82616,0.7,173327,0.4,228580,2.00 45,1,2024-09-07 09:10:01:012,615947,615947,0,0,288500043373,2997132292,611513,3958,476,382,391917,0 45,2,2024-09-07 09:10:01:269,443266,443266,0,0,17399797,0,3596 45,3,2024-09-07 09:10:00:935,1,350,23,0,271,3274,350,0 46,0,2024-09-07 09:10:00:952,88674,0.5,88673,0.7,177337,0.5,235488,2.00 46,1,2024-09-07 09:10:00:584,617506,617506,0,0,289352480847,2987602852,613790,3290,426,366,391572,0 46,2,2024-09-07 09:10:00:595,442373,442373,0,0,16492699,0,2920 46,3,2024-09-07 09:10:01:132,1,350,0,0,908,5044,350,0 47,0,2024-09-07 09:10:01:106,88668,0.5,88963,0.6,178131,0.5,235734,2.00 47,1,2024-09-07 09:10:00:572,617975,617975,0,0,288685160518,2985524429,613488,3672,815,366,391641,0 47,2,2024-09-07 09:10:00:910,444272,444272,0,0,17173677,0,4477 47,3,2024-09-07 09:10:01:115,1,350,1,0,529,3737,350,0 48,0,2024-09-07 09:10:01:499,88307,0.3,87972,0.4,175500,0.2,234386,1.50 48,1,2024-09-07 09:10:01:022,616155,616155,0,0,289071692030,2999968824,612576,3275,304,384,391710,0 48,2,2024-09-07 09:10:00:716,441742,441742,0,0,15422261,0,3031 48,3,2024-09-07 09:10:00:755,1,350,15,0,339,2903,350,0 49,0,2024-09-07 09:10:01:717,88777,0.3,87225,0.5,168849,0.2,231931,1.75 49,1,2024-09-07 09:10:01:024,615789,615789,0,0,288247825005,2999217465,611469,3135,1185,382,391809,0 49,2,2024-09-07 09:10:01:805,446329,446329,0,0,16616887,0,4426 49,3,2024-09-07 09:10:01:416,1,350,2,0,408,3586,350,0 50,0,2024-09-07 09:10:01:507,87419,0.3,85968,0.4,173452,0.2,231525,1.75 50,1,2024-09-07 09:10:01:013,618012,618012,0,0,289318171968,2989061968,614192,3446,374,368,391565,0 50,2,2024-09-07 09:10:01:066,442008,442008,0,0,15639700,0,2263 50,3,2024-09-07 09:10:01:292,1,350,2,0,335,3314,350,0 51,0,2024-09-07 09:10:01:688,89570,0.3,87535,0.5,170718,0.2,232784,1.75 51,1,2024-09-07 09:10:01:680,617908,617908,0,0,289696305356,2986861263,614646,2286,976,365,391706,0 51,2,2024-09-07 09:10:01:322,441523,441523,0,0,15047553,0,3337 51,3,2024-09-07 09:10:01:027,1,350,2,0,678,2597,350,0 52,0,2024-09-07 09:10:01:427,88566,0.5,88530,0.7,176833,0.4,235085,2.00 52,1,2024-09-07 09:10:00:584,615121,615121,0,0,288111499783,3014693453,606480,7328,1313,368,391722,0 52,2,2024-09-07 09:10:01:760,439209,439171,38,0,19910822,0,6742 52,3,2024-09-07 09:10:00:681,1,350,1,0,1782,5248,350,0 53,0,2024-09-07 09:10:01:733,86266,0.6,83749,0.7,175259,0.6,230100,2.25 53,1,2024-09-07 09:10:00:777,613571,613571,0,0,287886933836,3019306057,603946,7042,2583,367,391702,0 53,2,2024-09-07 09:10:01:298,447367,447367,0,0,17345532,0,2727 53,3,2024-09-07 09:10:00:708,1,350,1,0,308,3251,350,0 54,0,2024-09-07 09:10:01:622,85216,0.5,85620,0.7,170372,0.4,228394,2.25 54,1,2024-09-07 09:10:00:598,615551,615551,0,0,289159536081,3002570425,609885,5064,602,367,391659,0 54,2,2024-09-07 09:10:00:866,441159,441153,6,0,19620785,0,5382 54,3,2024-09-07 09:10:00:763,1,350,1,0,676,5245,350,0 55,0,2024-09-07 09:10:01:759,85093,0.6,88156,0.7,177625,0.6,231339,2.50 55,1,2024-09-07 09:10:00:773,615660,615660,0,0,288090592956,2995577255,609034,5717,909,365,391731,0 55,2,2024-09-07 09:10:00:740,440342,440342,0,0,19013667,0,3563 55,3,2024-09-07 09:10:00:674,1,350,1,0,304,3847,350,0 56,0,2024-09-07 09:10:01:567,90605,1.2,85467,1.1,176231,1.6,235788,2.50 56,1,2024-09-07 09:10:00:575,612745,612745,0,0,287978943993,3037410690,604007,7107,1631,381,391867,0 56,2,2024-09-07 09:10:01:303,442876,442876,0,0,20236205,0,3567 56,3,2024-09-07 09:10:01:061,1,350,2,0,705,4499,350,0 57,0,2024-09-07 09:10:00:975,87513,1.3,87355,1.2,175127,1.9,233890,3.00 57,1,2024-09-07 09:10:00:986,614236,614236,0,0,287368037750,3009808585,607361,6155,720,366,392032,0 57,2,2024-09-07 09:10:01:328,445238,445238,0,0,21853070,0,3317 57,3,2024-09-07 09:10:01:737,1,350,1,0,359,4040,350,0 58,0,2024-09-07 09:10:00:575,84448,0.8,82057,0.9,171825,0.8,225769,2.50 58,1,2024-09-07 09:10:00:590,614989,614986,0,3,288260617644,3011419624,606860,7044,1082,367,391603,3 58,2,2024-09-07 09:10:01:075,441195,441195,0,0,19956585,0,2549 58,3,2024-09-07 09:10:01:073,1,350,0,0,1043,3724,350,0 59,0,2024-09-07 09:10:01:741,89050,0.8,88646,0.9,177076,0.9,235208,2.50 59,1,2024-09-07 09:10:00:805,614595,614595,0,0,287942534093,3012187054,606717,6357,1521,369,391586,0 59,2,2024-09-07 09:10:00:584,442317,442317,0,0,19797025,0,2867 59,3,2024-09-07 09:10:01:736,1,350,1,0,1015,4386,350,0 60,0,2024-09-07 09:10:01:712,88650,0.5,88684,0.7,178069,0.5,236315,1.75 60,1,2024-09-07 09:10:00:776,616582,616582,0,0,288767245936,2997433583,612460,3475,647,370,392031,0 60,2,2024-09-07 09:10:01:153,442959,442959,0,0,19228374,0,3811 60,3,2024-09-07 09:10:01:258,1,350,24,0,409,4174,350,0 61,0,2024-09-07 09:10:01:516,88297,0.6,88894,0.8,176849,0.6,235949,2.00 61,1,2024-09-07 09:10:00:769,614331,614331,0,0,287527612541,3013964122,607115,5891,1325,382,392127,0 61,2,2024-09-07 09:10:01:127,442295,442228,67,0,19555596,0,6411 61,3,2024-09-07 09:10:01:693,1,350,0,0,479,5152,350,0 62,0,2024-09-07 09:10:01:727,86968,0.5,88958,0.7,169662,0.5,230911,2.00 62,1,2024-09-07 09:10:01:129,618822,618816,0,6,289887850823,2991084608,615236,3321,259,365,391715,6 62,2,2024-09-07 09:10:01:646,443260,443259,1,0,19083572,0,5555 62,3,2024-09-07 09:10:01:148,1,350,29,0,465,2660,350,0 63,0,2024-09-07 09:10:01:474,88385,0.4,88146,0.6,176803,0.3,235601,1.75 63,1,2024-09-07 09:10:00:807,616881,616875,0,6,289332454448,3002684505,612941,3546,388,381,391800,6 63,2,2024-09-07 09:10:00:766,441902,441902,0,0,17501580,0,4369 63,3,2024-09-07 09:10:01:732,1,350,1,0,667,3866,350,0 64,0,2024-09-07 09:10:01:532,87138,0.5,87130,0.7,174290,0.5,231804,2.00 64,1,2024-09-07 09:10:00:750,615823,615823,0,0,287921538530,2998956674,610176,3987,1660,370,391783,0 64,2,2024-09-07 09:10:01:145,445202,445183,19,0,16973534,0,6121 64,3,2024-09-07 09:10:01:144,1,350,20,0,265,3220,350,0 65,0,2024-09-07 09:10:01:693,86939,0.7,87305,0.8,174368,0.7,232382,2.25 65,1,2024-09-07 09:10:00:874,614811,614811,0,0,287350972072,3000879391,610724,3636,451,382,391901,0 65,2,2024-09-07 09:10:01:692,443126,443126,0,0,18734037,0,3367 65,3,2024-09-07 09:10:01:687,1,350,23,0,163,3068,350,0 66,0,2024-09-07 09:10:01:800,86551,0.5,86624,0.7,173226,0.4,230278,2.00 66,1,2024-09-07 09:10:01:293,615898,615898,0,0,288498523472,2997219651,612334,3242,322,380,391653,0 66,2,2024-09-07 09:10:01:133,447953,447953,0,0,16823551,0,4956 66,3,2024-09-07 09:10:01:084,1,350,3,0,291,3241,350,0 67,0,2024-09-07 09:10:01:414,86508,0.5,85962,0.7,172595,0.4,230117,2.00 67,1,2024-09-07 09:10:00:771,616682,616681,0,1,288618494023,3002942317,612514,3416,751,380,391787,1 67,2,2024-09-07 09:10:00:596,442567,442567,0,0,16173275,0,2889 67,3,2024-09-07 09:10:01:749,1,350,1,0,338,3198,350,0 68,0,2024-09-07 09:10:00:593,87657,0.6,87250,0.7,174244,0.6,232650,2.00 68,1,2024-09-07 09:10:00:590,614723,614723,0,0,287610705891,3009898116,609905,3614,1204,381,391953,0 68,2,2024-09-07 09:10:01:045,439827,439762,65,0,21315406,0,6698 68,3,2024-09-07 09:10:00:729,1,350,2,0,417,3733,350,0 69,0,2024-09-07 09:10:01:736,88286,0.8,88893,0.9,176755,1.0,234574,2.25 69,1,2024-09-07 09:10:01:016,612670,612670,0,0,287473137933,3030595138,604651,5909,2110,384,391994,0 69,2,2024-09-07 09:10:01:734,442408,442408,0,0,21568927,0,3722 69,3,2024-09-07 09:10:00:768,1,350,5,0,698,4917,350,0 70,0,2024-09-07 09:10:01:545,86706,0.7,86796,1.0,174601,0.6,231020,2.25 70,1,2024-09-07 09:10:00:804,616241,616241,0,0,289253340645,3000110893,611388,4317,536,366,391725,0 70,2,2024-09-07 09:10:01:325,445175,445175,0,0,19512666,0,4044 70,3,2024-09-07 09:10:00:752,1,350,1,0,854,3826,350,0 71,0,2024-09-07 09:10:01:357,84950,0.7,84586,0.9,170018,0.8,227856,2.50 71,1,2024-09-07 09:10:01:598,615072,615072,0,0,288131290858,3003539992,608460,5956,656,368,391738,0 71,2,2024-09-07 09:10:01:068,441070,441070,0,0,19217528,0,4042 71,3,2024-09-07 09:10:01:749,1,350,1,0,644,4688,350,0 72,0,2024-09-07 09:10:01:066,91726,0.6,89666,0.7,174924,0.6,237584,2.00 72,1,2024-09-07 09:10:01:026,614430,614430,0,0,287802127687,3013742299,606191,6542,1697,369,391819,0 72,2,2024-09-07 09:10:01:760,440325,440325,0,0,22072021,0,3983 72,3,2024-09-07 09:10:01:758,1,350,0,0,564,5411,350,0 73,0,2024-09-07 09:10:01:150,86945,0.4,89105,0.6,182359,0.4,236682,2.00 73,1,2024-09-07 09:10:00:777,615703,615703,0,0,288433295721,2996778219,611381,3992,330,367,391858,0 73,2,2024-09-07 09:10:01:740,443359,443359,0,0,21307297,0,3701 73,3,2024-09-07 09:10:00:969,1,350,1,0,274,4582,350,0 74,0,2024-09-07 09:10:01:354,89738,0.4,91947,0.6,175609,0.4,237871,2.25 74,1,2024-09-07 09:10:00:640,615163,615163,0,0,287492761452,2999713169,609082,4818,1263,381,391681,0 74,2,2024-09-07 09:10:01:011,442953,442953,0,0,20275827,0,4253 74,3,2024-09-07 09:10:01:442,1,350,6,0,522,4861,350,0 75,0,2024-09-07 09:10:01:769,85851,0.4,85550,0.7,171265,0.4,229452,2.25 75,1,2024-09-07 09:10:01:584,614309,614309,0,0,287569386674,3002642490,607950,5534,825,380,391739,0 75,2,2024-09-07 09:10:01:349,442174,442174,0,0,20407847,0,4766 75,3,2024-09-07 09:10:01:074,1,350,0,0,702,4904,350,0 76,0,2024-09-07 09:10:00:580,88972,0.6,88260,0.8,177158,0.6,236894,2.25 76,1,2024-09-07 09:10:00:812,615397,615397,0,0,287926603719,3001375594,611472,3334,591,382,391692,0 76,2,2024-09-07 09:10:01:062,443634,443633,1,0,19499071,0,5144 76,3,2024-09-07 09:10:01:151,1,350,1,0,175,3324,350,0 77,0,2024-09-07 09:10:01:706,88464,0.6,88581,0.8,177289,0.7,235700,2.00 77,1,2024-09-07 09:10:00:834,614998,614998,0,0,288077796375,3009209984,609721,4786,491,381,391869,0 77,2,2024-09-07 09:10:01:281,443257,443257,0,0,19081007,0,3890 77,3,2024-09-07 09:10:01:098,1,350,2,0,401,3921,350,0 78,0,2024-09-07 09:10:01:717,88143,0.4,87686,0.6,176046,0.4,233699,2.00 78,1,2024-09-07 09:10:00:613,615780,615780,0,0,287665818620,2993537307,609849,4786,1145,367,391670,0 78,2,2024-09-07 09:10:01:405,442728,442728,0,0,16442814,0,3855 78,3,2024-09-07 09:10:01:132,1,350,1,0,181,3258,350,0 79,0,2024-09-07 09:10:01:357,83793,0.4,85870,0.6,175643,0.3,228901,2.25 79,1,2024-09-07 09:10:00:578,617690,617690,0,0,288873731031,2990040515,613133,3924,633,368,391682,0 79,2,2024-09-07 09:10:01:075,446828,446828,0,0,17457007,0,4195 79,3,2024-09-07 09:10:00:754,1,350,12,0,418,4416,350,0 80,0,2024-09-07 09:10:01:114,86886,0.5,89493,0.6,171445,0.4,231259,2.00 80,1,2024-09-07 09:10:01:636,615952,615952,0,0,288936808979,3002768753,612599,3174,179,368,391791,0 80,2,2024-09-07 09:10:01:091,443591,443591,0,0,16522640,0,4433 80,3,2024-09-07 09:10:00:583,1,350,1,0,190,4093,350,0 81,0,2024-09-07 09:10:01:558,87112,0.6,89190,0.7,170382,0.6,231465,2.00 81,1,2024-09-07 09:10:01:654,614573,614573,0,0,287350057971,3001194598,609704,4370,499,382,391879,0 81,2,2024-09-07 09:10:01:136,440714,440651,63,0,18473420,0,5932 81,3,2024-09-07 09:10:01:125,1,350,2,0,374,3995,350,0 82,0,2024-09-07 09:10:01:545,87871,0.5,88382,0.7,177146,0.4,234707,2.00 82,1,2024-09-07 09:10:00:600,616744,616740,0,4,288959030941,3005101841,612845,3098,797,381,391768,4 82,2,2024-09-07 09:10:01:698,444048,444048,0,0,15798961,0,3986 82,3,2024-09-07 09:10:01:751,1,350,1,0,363,3461,350,0 83,0,2024-09-07 09:10:01:529,87049,0.5,86745,0.7,172996,0.5,230365,2.00 83,1,2024-09-07 09:10:00:553,615144,615144,0,0,288242772289,3003176050,610829,3934,381,382,391709,0 83,2,2024-09-07 09:10:00:768,446555,446555,0,0,16393327,0,3393 83,3,2024-09-07 09:10:00:763,1,350,3,0,1260,4903,350,0 84,0,2024-09-07 09:10:01:780,85699,0.6,85659,0.8,171316,0.5,229649,2.25 84,1,2024-09-07 09:10:01:039,614325,614325,0,0,287029827957,2997202283,608562,5034,729,367,391967,0 84,2,2024-09-07 09:10:00:573,440944,440944,0,0,20559387,0,4757 84,3,2024-09-07 09:10:01:151,1,350,1,0,908,5192,350,0 85,0,2024-09-07 09:10:01:023,84815,0.7,84825,0.8,180080,0.7,232659,2.25 85,1,2024-09-07 09:10:00:565,612958,612958,0,0,287297335611,3028643037,604423,7163,1372,381,392006,0 85,2,2024-09-07 09:10:00:868,440997,440997,0,0,20301676,0,3656 85,3,2024-09-07 09:10:00:705,1,350,0,0,789,4511,350,0 86,0,2024-09-07 09:10:00:893,88667,0.7,91277,0.8,174586,0.7,235764,2.25 86,1,2024-09-07 09:10:00:824,614753,614753,0,0,288030240213,3013074476,607859,5828,1066,366,391961,0 86,2,2024-09-07 09:10:00:858,442246,442245,1,0,21327439,0,5004 86,3,2024-09-07 09:10:00:592,1,350,1,0,308,4667,350,0 87,0,2024-09-07 09:10:01:294,88308,0.8,88095,0.9,176904,1.0,235734,2.25 87,1,2024-09-07 09:10:00:557,614742,614742,0,0,287783272092,3007744250,608523,5605,614,366,392076,0 87,2,2024-09-07 09:10:01:075,443607,443607,0,0,19413501,0,4045 87,3,2024-09-07 09:10:01:804,1,350,2,0,473,5041,350,0 88,0,2024-09-07 09:10:01:471,85597,0.4,86238,0.6,171902,0.4,228694,1.75 88,1,2024-09-07 09:10:00:577,613147,613147,0,0,287862879376,3015275877,605442,6040,1665,365,392084,0 88,2,2024-09-07 09:10:00:706,442286,442286,0,0,21584394,0,3583 88,3,2024-09-07 09:10:01:268,1,350,2,0,435,3732,350,0 89,0,2024-09-07 09:10:01:776,91922,0.5,88943,0.6,176214,0.4,238840,1.75 89,1,2024-09-07 09:10:00:558,613215,613215,0,0,287202488379,3019931355,605454,6486,1275,382,391866,0 89,2,2024-09-07 09:10:01:133,441025,441025,0,0,20644509,0,2910 89,3,2024-09-07 09:10:01:791,1,350,15,0,385,6307,350,0 90,0,2024-09-07 09:10:01:632,86092,0.5,88452,0.6,180329,0.4,235516,2.00 90,1,2024-09-07 09:10:00:594,614795,614795,0,0,287747320410,3015152626,608577,5714,504,380,391825,0 90,2,2024-09-07 09:10:01:410,441663,441663,0,0,21861523,0,3060 90,3,2024-09-07 09:10:00:936,1,350,2,0,246,3846,350,0 91,0,2024-09-07 09:10:00:935,88972,0.5,86140,0.6,179837,0.4,236505,1.75 91,1,2024-09-07 09:10:00:567,613157,613157,0,0,287839691823,3026156391,605323,6787,1047,381,392047,0 91,2,2024-09-07 09:10:01:335,442853,442853,0,0,19764520,0,2896 91,3,2024-09-07 09:10:00:607,1,350,2,0,216,3274,350,0 92,0,2024-09-07 09:10:01:459,87174,0.4,89440,0.6,170881,0.4,231237,1.75 92,1,2024-09-07 09:10:00:590,614589,614589,0,0,287522108364,3003701384,609769,4132,688,382,392136,0 92,2,2024-09-07 09:10:01:354,445296,445296,0,0,17840183,0,3259 92,3,2024-09-07 09:10:01:013,1,350,1,0,167,2971,350,0 93,0,2024-09-07 09:10:00:984,88579,0.4,90699,0.5,173474,0.3,235671,1.75 93,1,2024-09-07 09:10:00:811,614991,614991,0,0,288220525918,3007836570,608865,5095,1031,366,391776,0 93,2,2024-09-07 09:10:00:930,441250,441250,0,0,20811064,0,4845 93,3,2024-09-07 09:10:01:408,1,350,1,0,190,3384,350,0 94,0,2024-09-07 09:10:01:611,87325,0.4,88028,0.5,175772,0.3,233370,1.75 94,1,2024-09-07 09:10:00:567,615133,615133,0,0,288219677519,3007208173,611078,3865,190,381,391850,0 94,2,2024-09-07 09:10:00:767,441762,441762,0,0,17947029,0,2443 94,3,2024-09-07 09:10:01:700,1,350,1,0,264,4316,350,0 95,0,2024-09-07 09:10:01:353,87632,0.4,87781,0.5,175842,0.3,234208,1.75 95,1,2024-09-07 09:10:00:855,616550,616550,0,0,288015124988,2994899283,611529,4621,400,367,391713,0 95,2,2024-09-07 09:10:01:016,442138,442138,0,0,17662764,0,3308 95,3,2024-09-07 09:10:01:710,1,350,1,0,718,5388,350,0 96,0,2024-09-07 09:10:01:037,87209,0.3,87338,0.5,174283,0.3,231095,1.75 96,1,2024-09-07 09:10:01:590,614741,614741,0,0,288303587874,3006627989,610211,3734,796,384,391955,0 96,2,2024-09-07 09:10:01:281,446908,446908,0,0,17546838,0,4042 96,3,2024-09-07 09:10:01:151,1,350,6,0,411,3753,350,0 97,0,2024-09-07 09:10:01:320,86271,0.3,86070,0.5,172795,0.3,229883,1.50 97,1,2024-09-07 09:10:00:768,616848,616848,0,0,288815673796,2992452901,612957,3217,674,367,392140,0 97,2,2024-09-07 09:10:00:609,442379,442379,0,0,16962172,0,3036 97,3,2024-09-07 09:10:00:572,1,350,1,0,214,4045,350,0 98,0,2024-09-07 09:10:01:695,87542,0.3,87323,0.4,175246,0.2,232652,1.50 98,1,2024-09-07 09:10:00:573,616094,616094,0,0,287873842529,2992820021,613420,2567,107,382,391997,0 98,2,2024-09-07 09:10:00:768,441582,441582,0,0,16877965,0,3080 98,3,2024-09-07 09:10:00:698,1,350,1,0,840,5446,350,0 99,0,2024-09-07 09:10:01:487,88748,0.3,89283,0.5,177687,0.3,236784,1.75 99,1,2024-09-07 09:10:01:728,615821,615821,0,0,288638926022,3003652590,611674,3392,755,381,392069,0 99,2,2024-09-07 09:10:01:437,444172,444172,0,0,19175597,0,3424 99,3,2024-09-07 09:10:00:594,1,350,4,0,199,3314,350,0 100,0,2024-09-07 09:10:01:483,87345,0.7,87302,0.9,174495,0.8,232882,2.25 100,1,2024-09-07 09:10:00:564,612487,612487,0,0,286490993600,3023441892,604012,6979,1496,381,391989,0 100,2,2024-09-07 09:10:01:820,444368,444357,11,0,19899131,0,5417 100,3,2024-09-07 09:10:01:731,1,350,2,0,559,5996,350,0 101,0,2024-09-07 09:10:01:724,87384,0.8,85095,0.9,166904,0.6,229456,2.25 101,1,2024-09-07 09:10:00:556,612616,612616,0,0,286891713907,3018146572,603520,7072,2024,368,391771,0 101,2,2024-09-07 09:10:01:760,438953,438953,0,0,22966786,0,4644 101,3,2024-09-07 09:10:00:943,1,350,0,0,579,4560,350,0 102,0,2024-09-07 09:10:00:967,86487,0.7,89445,0.8,180707,0.6,236338,2.00 102,1,2024-09-07 09:10:01:144,613474,613474,0,0,287480843430,3016267048,606151,6289,1034,369,391891,0 102,2,2024-09-07 09:10:01:737,442080,442026,54,0,19257075,0,6768 102,3,2024-09-07 09:10:01:613,1,350,1,0,410,3667,350,0 103,0,2024-09-07 09:10:01:595,92030,0.6,91936,0.7,173497,0.7,238471,2.00 103,1,2024-09-07 09:10:01:625,612776,612776,0,0,286823018770,3022167271,603951,6828,1997,381,391829,0 103,2,2024-09-07 09:10:00:584,442094,442094,0,0,20330254,0,3173 103,3,2024-09-07 09:10:00:767,1,350,1,0,916,4256,350,0 104,0,2024-09-07 09:10:01:016,88303,0.7,88727,0.9,176248,0.7,237130,2.25 104,1,2024-09-07 09:10:01:599,614532,614532,0,0,286783152034,3013795124,605612,7222,1698,365,392168,0 104,2,2024-09-07 09:10:01:676,442186,442186,0,0,21416368,0,3941 104,3,2024-09-07 09:10:01:419,1,350,1,0,1245,7541,350,0 105,0,2024-09-07 09:10:01:035,84876,0.8,82500,1.0,172755,0.8,227885,2.50 105,1,2024-09-07 09:10:00:561,614618,614618,0,0,288074214797,3020095690,606456,6825,1337,366,392009,0 105,2,2024-09-07 09:10:01:322,442084,442084,0,0,20680458,0,3509 105,3,2024-09-07 09:10:01:304,1,350,6,0,399,5652,350,0 106,0,2024-09-07 09:10:00:973,86153,0.8,88250,0.9,180619,0.9,236289,2.50 106,1,2024-09-07 09:10:01:757,613255,613255,0,0,288020814435,3026424979,603658,8303,1294,369,391914,0 106,2,2024-09-07 09:10:00:761,440076,440076,0,0,20429519,0,2795 106,3,2024-09-07 09:10:00:691,1,350,29,0,470,4404,350,0 107,0,2024-09-07 09:10:01:115,88199,1.1,88319,1.0,176533,1.6,235443,2.25 107,1,2024-09-07 09:10:00:596,612945,612945,0,0,287110263392,3023643954,604961,7068,916,381,392234,0 107,2,2024-09-07 09:10:01:292,441077,441076,1,0,20958635,0,5024 107,3,2024-09-07 09:10:01:757,1,350,6,0,353,4838,350,0 108,0,2024-09-07 09:10:01:781,87560,0.4,88184,0.6,175261,0.4,234225,1.75 108,1,2024-09-07 09:10:01:299,615370,615370,0,0,288125811155,3004639687,610278,4574,518,368,391857,0 108,2,2024-09-07 09:10:01:765,440823,440823,0,0,20006843,0,4246 108,3,2024-09-07 09:10:01:334,1,350,67,0,749,7068,350,0 109,0,2024-09-07 09:10:01:765,87064,0.4,86152,0.5,172516,0.3,231404,1.75 109,1,2024-09-07 09:10:00:608,613259,613259,0,0,288128324823,3020475565,608046,4548,665,383,392132,0 109,2,2024-09-07 09:10:00:929,443817,443817,0,0,19525300,0,3617 109,3,2024-09-07 09:10:01:143,1,350,2,0,379,4157,350,0 110,0,2024-09-07 09:10:01:759,86884,0.4,84568,0.6,177011,0.3,231969,1.75 110,1,2024-09-07 09:10:01:646,616218,616218,0,0,288813828681,2995887087,612212,3029,977,369,392045,0 110,2,2024-09-07 09:10:01:305,441639,441639,0,0,19232310,0,4067 110,3,2024-09-07 09:10:00:696,1,350,5,0,722,5198,350,0 111,0,2024-09-07 09:10:01:414,87578,0.4,86900,0.6,174300,0.4,232828,1.75 111,1,2024-09-07 09:10:01:000,616880,616880,0,0,289942241056,3007506196,613499,3029,352,382,391690,0 111,2,2024-09-07 09:10:01:116,440628,440628,0,0,18560992,0,4823 111,3,2024-09-07 09:10:00:918,1,350,0,0,379,4161,350,0 112,0,2024-09-07 09:10:00:915,89070,0.3,88370,0.4,177335,0.2,235513,1.50 112,1,2024-09-07 09:10:00:851,616387,616387,0,0,288650961378,2992381880,612922,2881,584,380,391624,0 112,2,2024-09-07 09:10:01:133,443073,443072,1,0,16773853,0,5036 112,3,2024-09-07 09:10:00:599,1,350,13,0,282,3514,350,0 113,0,2024-09-07 09:10:00:875,86503,0.3,86676,0.4,174012,0.2,231622,1.50 113,1,2024-09-07 09:10:01:685,618160,618160,0,0,289565102937,2985772114,614879,2697,584,366,391661,0 113,2,2024-09-07 09:10:01:303,447979,447979,0,0,15571191,0,3813 113,3,2024-09-07 09:10:00:697,1,350,12,0,340,4094,350,0 114,0,2024-09-07 09:10:00:874,86567,0.3,87282,0.4,172992,0.2,231769,1.75 114,1,2024-09-07 09:10:00:716,616225,616225,0,0,288628555266,2997610582,611097,3534,1594,381,391556,0 114,2,2024-09-07 09:10:00:873,441881,441880,1,0,17081257,0,5069 114,3,2024-09-07 09:10:01:279,1,350,3,0,395,3006,350,0 115,0,2024-09-07 09:10:00:563,87872,0.3,88633,0.4,176992,0.2,234911,1.50 115,1,2024-09-07 09:10:00:573,615736,615736,0,0,289662239684,3006019319,610870,4026,840,382,391757,0 115,2,2024-09-07 09:10:01:124,443137,443137,0,0,15773338,0,3453 115,3,2024-09-07 09:10:01:014,1,350,89,0,159,2079,350,0 116,0,2024-09-07 09:10:01:751,88461,0.8,88329,0.9,177218,0.9,236822,2.00 116,1,2024-09-07 09:10:00:837,612740,612740,0,0,287332856790,3028277137,605128,5343,2269,380,392089,0 116,2,2024-09-07 09:10:01:751,442839,442839,0,0,21368565,0,3529 116,3,2024-09-07 09:10:00:918,1,350,1,0,415,4285,350,0 117,0,2024-09-07 09:10:00:951,88847,0.7,88324,0.8,176883,0.7,236234,2.00 117,1,2024-09-07 09:10:01:583,613966,613966,0,0,287030941582,3002588663,607652,5645,669,369,392033,0 117,2,2024-09-07 09:10:01:133,447106,447106,0,0,17808744,0,3700 117,3,2024-09-07 09:10:01:061,1,350,0,0,490,5025,350,0 118,0,2024-09-07 09:10:01:770,83099,0.5,85312,0.6,174287,0.4,227797,2.00 118,1,2024-09-07 09:10:00:593,613751,613751,0,0,287104884207,3015849874,604948,6903,1900,366,391907,0 118,2,2024-09-07 09:10:01:593,440850,440850,0,0,19634567,0,2781 118,3,2024-09-07 09:10:01:769,1,350,8,0,235,3805,350,0 119,0,2024-09-07 09:10:01:341,88966,0.6,89287,0.7,178627,0.6,237228,2.00 119,1,2024-09-07 09:10:00:552,615334,615334,0,0,288118215260,3011181967,608757,5740,837,367,391780,0 119,2,2024-09-07 09:10:01:261,442375,442375,0,0,18331724,0,4174 119,3,2024-09-07 09:10:01:325,1,350,1,0,563,5223,350,0 120,0,2024-09-07 09:10:01:546,88395,0.7,88097,0.9,176291,0.7,236204,2.25 120,1,2024-09-07 09:10:00:874,614293,614293,0,0,287298054376,3013848663,607870,5865,558,368,391961,0 120,2,2024-09-07 09:10:00:774,442469,442468,1,0,21720120,0,5281 120,3,2024-09-07 09:10:01:291,1,350,1,0,241,4279,350,0 121,0,2024-09-07 09:10:01:704,88212,1.0,88405,1.0,177358,1.2,236156,2.25 121,1,2024-09-07 09:10:01:665,614975,614975,0,0,287090877357,3002276409,609055,5482,438,367,391840,0 121,2,2024-09-07 09:10:01:129,441699,441699,0,0,20916423,0,4127 121,3,2024-09-07 09:10:00:737,1,350,1,0,269,3949,350,0 122,0,2024-09-07 09:10:01:827,86114,0.7,84084,0.8,176332,0.8,231116,2.00 122,1,2024-09-07 09:10:00:874,613927,613927,0,0,286948544233,3010127298,605461,7203,1263,366,392130,0 122,2,2024-09-07 09:10:01:325,443869,443796,73,0,23435300,0,5989 122,3,2024-09-07 09:10:00:595,1,350,7,0,512,6623,350,0 123,0,2024-09-07 09:10:00:976,87669,0.7,85761,0.8,178970,0.7,235185,2.00 123,1,2024-09-07 09:10:00:564,613534,613534,0,0,287382070877,3029002640,602084,9322,2128,369,392039,0 123,2,2024-09-07 09:10:01:023,439983,439982,1,0,20185498,0,5215 123,3,2024-09-07 09:10:01:132,1,350,2,0,168,3924,350,0 124,0,2024-09-07 09:10:00:922,90351,0.4,90319,0.5,170303,0.3,234213,1.75 124,1,2024-09-07 09:10:01:023,615880,615880,0,0,287442810498,2990450505,611157,3813,910,367,392178,0 124,2,2024-09-07 09:10:01:011,443157,443104,53,0,18208140,0,6487 124,3,2024-09-07 09:10:00:765,1,350,3,0,490,3549,350,0 125,0,2024-09-07 09:10:01:418,88080,0.4,87631,0.6,176275,0.3,234575,1.75 125,1,2024-09-07 09:10:00:858,614604,614604,0,0,287543269260,3001747905,609720,4239,645,383,391702,0 125,2,2024-09-07 09:10:01:117,442716,442716,0,0,18846528,0,4534 125,3,2024-09-07 09:10:01:139,1,350,14,0,709,4658,350,0 126,0,2024-09-07 09:10:01:422,87012,0.4,89309,0.6,171344,0.4,231996,1.75 126,1,2024-09-07 09:10:00:552,616475,616475,0,0,288365104384,2987700279,612731,3458,286,365,391987,0 126,2,2024-09-07 09:10:00:621,446750,446750,0,0,18940528,0,4539 126,3,2024-09-07 09:10:00:908,1,350,2,0,207,4385,350,0 127,0,2024-09-07 09:10:01:611,86186,0.3,86608,0.5,172700,0.3,229939,1.75 127,1,2024-09-07 09:10:00:573,615475,615475,0,0,288223727648,2994016928,610050,4564,861,364,392187,0 127,2,2024-09-07 09:10:00:639,441020,441020,0,0,17392606,0,3897 127,3,2024-09-07 09:10:01:269,1,350,1,0,968,4076,350,0 128,0,2024-09-07 09:10:01:537,87660,0.3,87908,0.4,175822,0.2,232992,1.50 128,1,2024-09-07 09:10:01:611,615956,615956,0,0,287825896117,2987583961,611852,3732,372,367,391798,0 128,2,2024-09-07 09:10:01:385,442500,442500,0,0,16291765,0,2915 128,3,2024-09-07 09:10:00:767,1,350,1,0,1082,5939,350,0 129,0,2024-09-07 09:10:01:004,89380,0.3,88909,0.5,178416,0.3,236833,1.50 129,1,2024-09-07 09:10:00:587,613210,613210,0,0,287688042574,3010715550,607558,4395,1257,379,391835,0 129,2,2024-09-07 09:10:00:700,443543,443543,0,0,17123539,0,4031 129,3,2024-09-07 09:10:00:710,1,350,1,0,469,4509,350,0 130,0,2024-09-07 09:10:01:766,88187,0.5,87675,0.6,176379,0.5,234080,1.75 130,1,2024-09-07 09:10:00:593,615808,615808,0,0,288065868083,2993817373,612390,3139,279,381,391825,0 130,2,2024-09-07 09:10:01:124,446935,446935,0,0,17052913,0,4067 130,3,2024-09-07 09:10:01:293,1,350,28,0,450,4210,350,0 131,0,2024-09-07 09:10:01:946,85323,0.3,85891,0.5,172492,0.3,229180,1.50 131,1,2024-09-07 09:10:01:825,615935,615935,0,0,288294819905,3007023980,611454,3734,747,381,391865,0 131,2,2024-09-07 09:10:00:567,443322,443322,0,0,15653888,0,2415 131,3,2024-09-07 09:10:01:695,1,350,1,0,392,3583,350,0 132,0,2024-09-07 09:10:01:447,88867,0.4,89685,0.6,178547,0.4,237097,1.75 132,1,2024-09-07 09:10:00:588,612764,612764,0,0,286907370785,3019220786,604117,7180,1467,381,392097,0 132,2,2024-09-07 09:10:00:709,441349,441349,0,0,20819153,0,4606 132,3,2024-09-07 09:10:01:692,1,350,1,0,804,6231,350,0 133,0,2024-09-07 09:10:01:524,86970,0.5,88897,0.6,182529,0.4,237194,1.75 133,1,2024-09-07 09:10:00:593,612906,612906,0,0,287119933302,3023790447,604720,7101,1085,383,391914,0 133,2,2024-09-07 09:10:01:087,442584,442584,0,0,22158659,0,4315 133,3,2024-09-07 09:10:01:303,1,350,8,0,479,3673,350,0 134,0,2024-09-07 09:10:00:959,89503,0.5,89348,0.7,178706,0.4,238397,2.00 134,1,2024-09-07 09:10:00:589,613583,613583,0,0,287121772694,3012649306,605258,6469,1856,366,391718,0 134,2,2024-09-07 09:10:01:762,442802,442802,0,0,18490482,0,3847 134,3,2024-09-07 09:10:00:750,1,350,2,0,739,4479,350,0 135,0,2024-09-07 09:10:01:102,82872,0.6,82854,0.8,175983,0.6,227477,2.00 135,1,2024-09-07 09:10:01:599,614176,614176,0,0,287710693048,3026243848,605740,7199,1237,380,391805,0 135,2,2024-09-07 09:10:00:703,443654,443654,0,0,20076367,0,3981 135,3,2024-09-07 09:10:01:003,1,350,0,0,299,2670,350,0 136,0,2024-09-07 09:10:01:613,89458,0.5,89715,0.7,178541,0.5,238109,2.00 136,1,2024-09-07 09:10:01:442,613584,613584,0,0,286676947754,3009301735,606558,6328,698,382,391685,0 136,2,2024-09-07 09:10:01:132,442160,442160,0,0,19909460,0,3506 136,3,2024-09-07 09:10:01:115,1,350,2,0,637,3933,350,0 137,0,2024-09-07 09:10:00:919,91120,0.7,88649,0.8,174173,0.7,236824,2.00 137,1,2024-09-07 09:10:00:604,613529,613529,0,0,287567879380,3017849280,603906,7741,1882,366,391898,0 137,2,2024-09-07 09:10:01:713,441933,441933,0,0,22331942,0,3185 137,3,2024-09-07 09:10:00:768,1,350,1,0,382,4098,350,0 138,0,2024-09-07 09:10:01:760,87256,1.3,87322,1.1,175400,1.7,233131,2.25 138,1,2024-09-07 09:10:01:694,614400,614400,0,0,287982627179,3022691526,605349,7455,1596,368,391954,0 138,2,2024-09-07 09:10:00:593,441409,441409,0,0,19935925,0,4988 138,3,2024-09-07 09:10:00:621,1,350,0,0,1160,4878,350,0 139,0,2024-09-07 09:10:01:391,85491,1.1,85815,1.0,171873,1.5,229525,2.25 139,1,2024-09-07 09:10:00:587,611757,611757,0,0,286144962590,3038565524,600379,8730,2648,381,392058,0 139,2,2024-09-07 09:10:00:700,442953,442953,0,0,21838253,0,3097 139,3,2024-09-07 09:10:01:671,1,350,1,0,257,3928,350,0 140,0,2024-09-07 09:10:01:619,87207,0.3,86738,0.5,174312,0.2,232205,1.75 140,1,2024-09-07 09:10:01:541,617992,617992,0,0,289209319875,2982371003,614785,2768,439,365,391606,0 140,2,2024-09-07 09:10:00:693,441678,441677,1,0,17828546,0,5036 140,3,2024-09-07 09:10:00:774,1,350,0,0,247,2828,350,0 141,0,2024-09-07 09:10:01:709,87403,0.4,89723,0.5,171490,0.3,232889,1.75 141,1,2024-09-07 09:10:00:858,616179,616179,0,0,288749624364,2999345678,611615,3702,862,379,391614,0 141,2,2024-09-07 09:10:01:686,441555,441555,0,0,17451723,0,3360 141,3,2024-09-07 09:10:01:044,1,350,10,0,391,4007,350,0 142,0,2024-09-07 09:10:01:323,89209,0.3,88350,0.5,176670,0.3,236002,1.75 142,1,2024-09-07 09:10:00:606,615996,615996,0,0,287639393565,2998921731,612027,3617,352,382,392102,0 142,2,2024-09-07 09:10:01:300,442442,442410,32,0,18911315,0,6028 142,3,2024-09-07 09:10:01:749,1,350,0,0,484,4194,350,0 143,0,2024-09-07 09:10:01:372,87025,0.4,86772,0.6,173922,0.4,231987,1.75 143,1,2024-09-07 09:10:00:564,616510,616510,0,0,289157255831,2998927872,612731,3628,151,367,391705,0 143,2,2024-09-07 09:10:00:768,446874,446874,0,0,18313618,0,3123 143,3,2024-09-07 09:10:01:148,1,350,1,0,303,4232,350,0 144,0,2024-09-07 09:10:01:509,83636,0.5,85766,0.7,174885,0.4,229869,2.00 144,1,2024-09-07 09:10:00:570,613512,613512,0,0,286996323658,3006136003,608639,3932,941,381,391649,0 144,2,2024-09-07 09:10:01:760,442034,442034,0,0,16426491,0,3473 144,3,2024-09-07 09:10:01:743,1,350,6,0,249,3474,350,0 145,0,2024-09-07 09:10:01:399,85099,0.6,85150,0.8,180673,0.5,232308,2.25 145,1,2024-09-07 09:10:00:562,613170,613170,0,0,287435767718,3020305882,605809,6220,1141,382,391759,0 145,2,2024-09-07 09:10:01:433,440156,440156,0,0,20026445,0,3903 145,3,2024-09-07 09:10:00:896,1,350,2,0,622,5162,350,0 146,0,2024-09-07 09:10:01:593,88738,0.6,88143,0.7,177708,0.6,235510,2.25 146,1,2024-09-07 09:10:01:592,614179,614179,0,0,287277666741,3021031630,604301,7867,2011,368,391770,0 146,2,2024-09-07 09:10:01:699,442318,442318,0,0,19982196,0,2730 146,3,2024-09-07 09:10:01:284,1,350,0,0,1520,7240,350,0 147,0,2024-09-07 09:10:01:805,88772,0.6,88499,0.7,176305,0.5,235721,2.00 147,1,2024-09-07 09:10:01:373,615888,615888,0,0,288292700986,2999443202,610222,4865,801,368,391791,0 147,2,2024-09-07 09:10:01:012,444637,444637,0,0,17781796,0,2789 147,3,2024-09-07 09:10:00:918,1,350,1,0,730,5085,350,0 0,0,2024-09-07 09:10:11:738,86425,0.7,86424,0.8,183522,0.7,237135,2.00 0,1,2024-09-07 09:10:10:801,616557,616557,0,0,289280560626,3022533687,612421,3891,245,369,391896,0 0,2,2024-09-07 09:10:11:094,445215,445215,0,0,17452443,0,4480 0,3,2024-09-07 09:10:10:978,1,351,2,0,431,4740,351,0 1,0,2024-09-07 09:10:11:780,88911,0.8,88415,0.9,177445,0.9,237249,2.00 1,1,2024-09-07 09:10:10:565,615477,615477,0,0,288496898001,3018771465,609872,4377,1228,370,391857,0 1,2,2024-09-07 09:10:10:642,443157,443157,0,0,16900120,0,3267 1,3,2024-09-07 09:10:11:314,1,351,9,0,262,4072,351,0 2,0,2024-09-07 09:10:11:568,86678,0.6,86876,0.7,172832,0.6,231461,2.00 2,1,2024-09-07 09:10:10:868,617928,617928,0,0,289888054012,3008102999,615028,2607,293,380,391745,0 2,2,2024-09-07 09:10:11:280,445672,445672,0,0,16702316,0,3594 2,3,2024-09-07 09:10:10:695,1,351,1,0,357,3666,351,0 3,0,2024-09-07 09:10:11:758,88619,0.4,88526,0.6,176947,0.3,236361,1.75 3,1,2024-09-07 09:10:11:623,616113,616113,0,0,288700517570,3004445929,611013,4477,623,380,391591,0 3,2,2024-09-07 09:10:11:142,443253,443230,23,0,17192494,0,5851 3,3,2024-09-07 09:10:11:752,1,351,1,0,207,2243,351,0 4,0,2024-09-07 09:10:11:779,85388,0.4,87879,0.5,178762,0.4,234182,1.75 4,1,2024-09-07 09:10:10:593,615037,615037,0,0,287773354889,3028770453,607574,6105,1358,371,391992,0 4,2,2024-09-07 09:10:11:022,442013,442013,0,0,20080304,0,4528 4,3,2024-09-07 09:10:11:036,1,351,12,0,448,4854,351,0 5,0,2024-09-07 09:10:11:369,87923,0.5,88267,0.6,176325,0.4,234373,1.75 5,1,2024-09-07 09:10:10:784,615875,615875,0,0,288306718088,3028548267,608704,5815,1356,367,392005,0 5,2,2024-09-07 09:10:11:831,441449,441449,0,0,19149438,0,2432 5,3,2024-09-07 09:10:11:744,1,351,1,0,457,5012,351,0 6,0,2024-09-07 09:10:10:917,87533,0.4,87029,0.6,173991,0.4,232292,2.00 6,1,2024-09-07 09:10:10:746,615908,615908,0,0,288782782136,3015770152,609295,5528,1085,379,391694,0 6,2,2024-09-07 09:10:11:116,446935,446935,0,0,18350860,0,4816 6,3,2024-09-07 09:10:11:281,1,351,1,0,340,3737,351,0 7,0,2024-09-07 09:10:11:532,86214,0.4,86736,0.6,172744,0.4,230127,1.75 7,1,2024-09-07 09:10:10:851,615213,615213,0,0,288472665048,3025830040,607141,6896,1176,382,391747,0 7,2,2024-09-07 09:10:10:770,443071,443071,0,0,18483631,0,4791 7,3,2024-09-07 09:10:10:852,1,351,1,0,398,3864,351,0 8,0,2024-09-07 09:10:11:358,87603,0.4,87509,0.5,175119,0.3,233264,1.75 8,1,2024-09-07 09:10:11:023,614299,614299,0,0,288661880857,3037256167,604144,7877,2278,366,392144,0 8,2,2024-09-07 09:10:10:792,438732,438732,0,0,22956622,0,3220 8,3,2024-09-07 09:10:10:589,1,351,0,0,538,5986,351,0 9,0,2024-09-07 09:10:11:124,89057,0.4,86514,0.5,181040,0.3,236918,1.75 9,1,2024-09-07 09:10:10:550,614811,614811,0,0,287852016623,3033132911,605737,6949,2125,369,392001,0 9,2,2024-09-07 09:10:11:089,443370,443370,0,0,20299539,0,3360 9,3,2024-09-07 09:10:11:752,1,351,15,0,496,5164,351,0 10,0,2024-09-07 09:10:11:607,87881,0.3,87225,0.5,175230,0.2,233120,1.75 10,1,2024-09-07 09:10:10:583,615905,615905,0,0,287876784487,3014421576,608021,6775,1109,381,391741,0 10,2,2024-09-07 09:10:10:764,447236,447236,0,0,21993235,0,4264 10,3,2024-09-07 09:10:10:871,1,351,1,0,296,3194,351,0 11,0,2024-09-07 09:10:11:011,85669,0.4,83232,0.6,173962,0.3,230479,1.75 11,1,2024-09-07 09:10:10:574,616256,616256,0,0,289552921825,3039828327,606232,7576,2448,383,391756,0 11,2,2024-09-07 09:10:11:123,442117,442117,0,0,19723014,0,4130 11,3,2024-09-07 09:10:11:302,1,351,1,0,843,5394,351,0 12,0,2024-09-07 09:10:10:962,89649,0.4,89678,0.5,179011,0.3,237489,1.75 12,1,2024-09-07 09:10:10:952,616036,616036,0,0,288548604409,3008480131,611168,4376,492,370,391870,0 12,2,2024-09-07 09:10:11:557,443330,443330,0,0,19438380,0,3469 12,3,2024-09-07 09:10:11:059,1,351,13,0,386,5625,351,0 13,0,2024-09-07 09:10:11:340,89994,0.4,89629,0.6,178977,0.4,238326,1.75 13,1,2024-09-07 09:10:11:530,614928,614928,0,0,287480599524,3022042395,609016,4502,1410,382,391740,0 13,2,2024-09-07 09:10:10:611,445741,445741,0,0,17885718,0,3287 13,3,2024-09-07 09:10:11:767,1,351,1,0,522,5621,351,0 14,0,2024-09-07 09:10:10:566,89598,0.4,90341,0.6,178813,0.3,238495,1.75 14,1,2024-09-07 09:10:11:572,619605,619605,0,0,289454375520,2997078007,614916,4330,359,364,391571,0 14,2,2024-09-07 09:10:10:765,444864,444834,30,0,19441107,0,6104 14,3,2024-09-07 09:10:11:118,1,351,1,0,1168,4219,351,0 15,0,2024-09-07 09:10:11:559,86017,0.4,86075,0.6,172350,0.4,229723,1.75 15,1,2024-09-07 09:10:11:617,616737,616737,0,0,288803952154,3006305458,612392,3522,823,381,391619,0 15,2,2024-09-07 09:10:10:998,445012,445012,0,0,15960931,0,3622 15,3,2024-09-07 09:10:11:411,1,351,2,0,1126,6286,351,0 16,0,2024-09-07 09:10:10:928,89391,0.6,89687,0.7,179196,0.5,238158,2.00 16,1,2024-09-07 09:10:10:565,617531,617531,0,0,289250363867,3017381198,613218,3951,362,370,391756,0 16,2,2024-09-07 09:10:11:440,443157,443157,0,0,18360116,0,4719 16,3,2024-09-07 09:10:11:148,1,351,1,0,317,4750,351,0 17,0,2024-09-07 09:10:11:766,91366,0.7,89142,0.8,174436,0.7,237080,2.00 17,1,2024-09-07 09:10:10:568,615179,615179,0,0,288479032262,3024826940,608935,4985,1259,368,392075,0 17,2,2024-09-07 09:10:11:666,446486,446486,0,0,18103443,0,2857 17,3,2024-09-07 09:10:10:574,1,351,16,0,298,5668,351,0 18,0,2024-09-07 09:10:10:945,87093,0.6,87398,0.8,174345,0.6,232817,2.25 18,1,2024-09-07 09:10:11:647,617983,617983,0,0,289105103203,2994489371,614647,3025,311,367,391649,0 18,2,2024-09-07 09:10:11:758,445058,445058,0,0,16676003,0,3541 18,3,2024-09-07 09:10:10:896,1,351,3,0,163,2967,351,0 19,0,2024-09-07 09:10:11:543,86545,0.5,87225,0.7,172634,0.5,230381,2.00 19,1,2024-09-07 09:10:10:571,618215,618215,0,0,289459692414,3001480840,613089,4287,839,367,391777,0 19,2,2024-09-07 09:10:11:752,447821,447821,0,0,15333781,0,3988 19,3,2024-09-07 09:10:11:129,1,351,1,0,524,2639,351,0 20,0,2024-09-07 09:10:11:357,87126,0.5,87136,0.7,174155,0.4,232560,2.00 20,1,2024-09-07 09:10:10:574,616134,616134,0,0,289159255159,3017914437,611787,3939,408,369,391886,0 20,2,2024-09-07 09:10:10:933,443427,443427,0,0,18528589,0,3721 20,3,2024-09-07 09:10:10:596,1,351,7,0,414,5436,351,0 21,0,2024-09-07 09:10:11:229,87603,0.5,87602,0.7,175113,0.5,232733,2.00 21,1,2024-09-07 09:10:11:558,615193,615193,0,0,287785037258,3029579692,607193,6118,1882,368,392016,0 21,2,2024-09-07 09:10:11:082,440679,440679,0,0,21613704,0,3747 21,3,2024-09-07 09:10:11:405,1,351,1,0,713,4109,351,0 22,0,2024-09-07 09:10:11:733,88220,0.5,88567,0.7,177276,0.5,234599,2.00 22,1,2024-09-07 09:10:11:031,616191,616191,0,0,287959486785,3023311169,607699,6672,1820,382,391667,0 22,2,2024-09-07 09:10:10:764,442564,442564,0,0,17596833,0,3134 22,3,2024-09-07 09:10:11:066,1,351,1,0,228,2885,351,0 23,0,2024-09-07 09:10:11:376,86642,0.5,86632,0.7,173540,0.5,231373,2.25 23,1,2024-09-07 09:10:11:010,616302,616302,0,0,289548827972,3037875765,605807,7336,3159,365,391690,0 23,2,2024-09-07 09:10:11:096,448721,448721,0,0,17534016,0,3010 23,3,2024-09-07 09:10:11:758,1,351,1,0,720,4758,351,0 24,0,2024-09-07 09:10:10:818,87025,0.4,87052,0.5,174490,0.3,232218,1.75 24,1,2024-09-07 09:10:10:631,615138,615138,0,0,288005627984,3020402709,608232,5374,1532,368,392269,0 24,2,2024-09-07 09:10:11:074,441420,441420,0,0,21458045,0,3607 24,3,2024-09-07 09:10:11:692,1,351,0,0,468,4610,351,0 25,0,2024-09-07 09:10:11:357,90612,0.4,88350,0.6,173140,0.4,235678,2.00 25,1,2024-09-07 09:10:10:569,616307,616307,0,0,288341604195,3026052115,608419,6514,1374,371,391928,0 25,2,2024-09-07 09:10:11:608,441386,441386,0,0,21631126,0,3978 25,3,2024-09-07 09:10:11:003,1,351,0,0,255,3460,351,0 26,0,2024-09-07 09:10:11:729,88693,0.4,86589,0.6,181612,0.4,236481,1.75 26,1,2024-09-07 09:10:11:544,616374,616374,0,0,288446239715,3021012029,607487,7351,1536,380,391748,0 26,2,2024-09-07 09:10:10:870,444692,444692,0,0,21525952,0,2809 26,3,2024-09-07 09:10:11:720,1,351,2,0,796,4440,351,0 27,0,2024-09-07 09:10:11:743,88919,0.4,89020,0.6,176420,0.4,235315,2.00 27,1,2024-09-07 09:10:11:683,618604,618604,0,0,289408710339,3011746414,613297,4541,766,381,391626,0 27,2,2024-09-07 09:10:10:868,443474,443409,65,0,20948045,0,5699 27,3,2024-09-07 09:10:11:020,1,351,2,0,564,3659,351,0 28,0,2024-09-07 09:10:11:401,86174,0.4,85838,0.6,171961,0.3,229896,2.00 28,1,2024-09-07 09:10:10:797,617475,617475,0,0,289848670960,3019268774,612975,3795,705,383,391698,0 28,2,2024-09-07 09:10:11:769,442604,442604,0,0,18614452,0,2915 28,3,2024-09-07 09:10:11:776,1,351,1,0,502,3768,351,0 29,0,2024-09-07 09:10:11:388,91812,0.4,89450,0.6,175540,0.3,238845,1.75 29,1,2024-09-07 09:10:11:590,619039,619039,0,0,289755510590,2999821725,614697,3657,685,369,391753,0 29,2,2024-09-07 09:10:10:862,442019,442019,0,0,17968693,0,4986 29,3,2024-09-07 09:10:10:964,1,351,1,0,459,4368,351,0 30,0,2024-09-07 09:10:11:458,88647,0.5,86147,0.7,180304,0.5,236160,2.00 30,1,2024-09-07 09:10:10:574,618418,618418,0,0,290085440450,3011723795,613599,4109,710,381,391672,0 30,2,2024-09-07 09:10:11:282,444769,444769,0,0,16928641,0,3161 30,3,2024-09-07 09:10:10:593,1,351,3,0,519,3584,351,0 31,0,2024-09-07 09:10:11:764,88477,0.4,88933,0.6,177672,0.3,237080,2.00 31,1,2024-09-07 09:10:10:564,620417,620417,0,0,290607700091,2979646452,617871,1987,559,356,391712,0 31,2,2024-09-07 09:10:11:275,443792,443792,0,0,18726602,0,3525 31,3,2024-09-07 09:10:11:706,1,351,1,0,220,3006,351,0 32,0,2024-09-07 09:10:11:464,86721,0.3,87126,0.5,174126,0.2,231770,1.50 32,1,2024-09-07 09:10:10:817,618070,618070,0,0,289433060807,3003561891,614926,2698,446,381,391646,0 32,2,2024-09-07 09:10:10:945,445903,445903,0,0,15670658,0,3155 32,3,2024-09-07 09:10:11:018,1,351,1,0,227,2471,351,0 33,0,2024-09-07 09:10:11:527,88819,0.3,88284,0.4,177330,0.2,236822,1.50 33,1,2024-09-07 09:10:10:583,619255,619255,0,0,289732751197,2998423744,614811,3613,831,369,391730,0 33,2,2024-09-07 09:10:10:763,444550,444515,35,0,17823616,0,7012 33,3,2024-09-07 09:10:10:898,1,351,6,0,329,3597,351,0 34,0,2024-09-07 09:10:10:931,88146,0.3,90763,0.5,173558,0.2,233510,1.75 34,1,2024-09-07 09:10:11:044,620122,620122,0,0,291066001978,2990064162,618700,1413,9,367,391562,0 34,2,2024-09-07 09:10:10:766,443733,443733,0,0,17024567,0,3577 34,3,2024-09-07 09:10:11:688,1,351,1,0,299,2510,351,0 35,0,2024-09-07 09:10:10:857,87304,0.4,87669,0.5,176362,0.3,234903,1.75 35,1,2024-09-07 09:10:11:067,617962,617962,0,0,289532373486,2998253365,614353,2828,781,382,391589,0 35,2,2024-09-07 09:10:11:598,443597,443597,0,0,17102561,0,2653 35,3,2024-09-07 09:10:10:907,1,351,1,0,418,4282,351,0 36,0,2024-09-07 09:10:11:549,87560,0.4,87447,0.6,174983,0.4,232024,2.00 36,1,2024-09-07 09:10:10:584,617643,617643,0,0,288906867070,3015006410,610326,5659,1658,366,391759,0 36,2,2024-09-07 09:10:11:751,447668,447668,0,0,19473098,0,3875 36,3,2024-09-07 09:10:10:870,1,351,1,0,416,5386,351,0 37,0,2024-09-07 09:10:11:379,86214,0.5,86123,0.6,172441,0.4,230640,2.00 37,1,2024-09-07 09:10:10:586,616499,616492,0,7,288718284213,3013425331,609717,4689,2086,365,391570,0 37,2,2024-09-07 09:10:11:142,441539,441524,15,0,19168064,0,5815 37,3,2024-09-07 09:10:11:769,1,351,1,0,888,5571,351,0 38,0,2024-09-07 09:10:11:445,87004,0.5,84458,0.7,176811,0.4,231124,2.00 38,1,2024-09-07 09:10:11:608,618480,618480,0,0,289192381576,3008175431,612420,5302,758,368,391821,0 38,2,2024-09-07 09:10:10:763,441928,441881,47,0,19526116,0,6710 38,3,2024-09-07 09:10:11:013,1,351,1,0,689,4721,351,0 39,0,2024-09-07 09:10:11:763,90640,0.6,88675,0.7,172686,0.6,235693,2.00 39,1,2024-09-07 09:10:10:717,616700,616700,0,0,289169267529,3022099991,608264,6975,1461,365,391658,0 39,2,2024-09-07 09:10:11:423,444197,444197,0,0,17603836,0,2689 39,3,2024-09-07 09:10:10:715,1,351,1,0,324,4325,351,0 40,0,2024-09-07 09:10:11:493,86944,0.7,87643,0.9,174792,0.7,232791,2.75 40,1,2024-09-07 09:10:10:575,617408,617408,0,0,287998909313,3013467063,608824,7073,1511,368,391668,0 40,2,2024-09-07 09:10:11:302,446306,446305,1,0,21265043,0,5137 40,3,2024-09-07 09:10:11:149,1,351,1,0,1028,5083,351,0 41,0,2024-09-07 09:10:11:025,85519,1.2,87551,1.2,167190,1.8,228234,2.75 41,1,2024-09-07 09:10:10:767,616150,616150,0,0,288518467775,3015226837,609094,6351,705,369,391742,0 41,2,2024-09-07 09:10:10:758,441086,441086,0,0,20145892,0,3356 41,3,2024-09-07 09:10:11:682,1,351,1,0,366,3528,351,0 42,0,2024-09-07 09:10:11:475,88156,0.9,88250,1.0,176800,1.1,233521,2.75 42,1,2024-09-07 09:10:11:440,615257,615257,0,0,287585023763,3020080535,605710,7789,1758,380,391675,0 42,2,2024-09-07 09:10:11:132,441976,441976,0,0,20438006,0,3790 42,3,2024-09-07 09:10:11:009,1,351,1,0,446,3102,351,0 43,0,2024-09-07 09:10:10:925,88135,0.9,85854,1.0,179989,1.0,235804,2.50 43,1,2024-09-07 09:10:10:576,616676,616676,0,0,289818237706,3025278170,608441,6797,1438,366,391696,0 43,2,2024-09-07 09:10:11:743,444688,444688,0,0,20135520,0,3812 43,3,2024-09-07 09:10:11:751,1,351,3,0,467,4335,351,0 44,0,2024-09-07 09:10:10:859,89559,0.4,89932,0.6,179365,0.4,238676,1.75 44,1,2024-09-07 09:10:10:566,618040,618040,0,0,288621579605,2983654129,612977,4016,1047,356,391809,0 44,2,2024-09-07 09:10:11:267,444214,444214,0,0,16977581,0,4344 44,3,2024-09-07 09:10:11:100,1,351,3,0,817,4531,351,0 45,0,2024-09-07 09:10:11:768,85101,0.5,83050,0.7,174202,0.4,229794,2.00 45,1,2024-09-07 09:10:11:010,617672,617672,0,0,289307482380,3005460239,613238,3958,476,382,391917,0 45,2,2024-09-07 09:10:11:272,444024,444024,0,0,17423573,0,3596 45,3,2024-09-07 09:10:10:934,1,351,1,0,271,3275,351,0 46,0,2024-09-07 09:10:10:956,88842,0.5,88822,0.7,177620,0.5,235774,2.00 46,1,2024-09-07 09:10:10:575,619246,619246,0,0,290093203866,2995176934,615529,3291,426,366,391572,0 46,2,2024-09-07 09:10:10:595,443785,443785,0,0,16529695,0,2920 46,3,2024-09-07 09:10:11:138,1,351,9,0,908,5053,351,0 47,0,2024-09-07 09:10:11:125,88998,0.5,89247,0.6,178754,0.5,236526,2.00 47,1,2024-09-07 09:10:10:567,619830,619830,0,0,289516392752,2994082884,615343,3672,815,366,391641,0 47,2,2024-09-07 09:10:10:908,445749,445749,0,0,17201594,0,4477 47,3,2024-09-07 09:10:11:115,1,351,1,0,529,3738,351,0 48,0,2024-09-07 09:10:11:494,88524,0.3,88172,0.4,175910,0.2,234969,1.50 48,1,2024-09-07 09:10:11:021,617963,617963,0,0,289943709191,3008875321,614384,3275,304,384,391710,0 48,2,2024-09-07 09:10:10:699,443095,443095,0,0,15446611,0,3031 48,3,2024-09-07 09:10:10:753,1,351,1,0,339,2904,351,0 49,0,2024-09-07 09:10:11:723,89286,0.3,87724,0.5,169748,0.2,233176,1.75 49,1,2024-09-07 09:10:11:022,617539,617539,0,0,289050881561,3007512514,613219,3135,1185,382,391809,0 49,2,2024-09-07 09:10:11:797,447100,447100,0,0,16638088,0,4426 49,3,2024-09-07 09:10:11:416,1,351,0,0,408,3586,351,0 50,0,2024-09-07 09:10:11:529,87705,0.3,86251,0.4,174006,0.2,232247,1.75 50,1,2024-09-07 09:10:11:010,619749,619749,0,0,289937545210,2995461689,615929,3446,374,368,391565,0 50,2,2024-09-07 09:10:11:066,443451,443451,0,0,15677210,0,2263 50,3,2024-09-07 09:10:11:295,1,351,3,0,335,3317,351,0 51,0,2024-09-07 09:10:11:684,89878,0.3,87884,0.5,171394,0.2,233711,1.75 51,1,2024-09-07 09:10:11:684,619708,619708,0,0,290625493652,2996401632,616446,2286,976,365,391706,0 51,2,2024-09-07 09:10:11:326,442925,442925,0,0,15083748,0,3337 51,3,2024-09-07 09:10:11:030,1,351,1,0,678,2598,351,0 52,0,2024-09-07 09:10:11:417,88744,0.5,88677,0.7,177189,0.4,235555,2.00 52,1,2024-09-07 09:10:10:584,616909,616909,0,0,289143281979,3025124596,608268,7328,1313,368,391722,0 52,2,2024-09-07 09:10:11:759,440305,440267,38,0,19919377,0,6742 52,3,2024-09-07 09:10:10:681,1,351,1,0,1782,5249,351,0 53,0,2024-09-07 09:10:11:739,86567,0.6,84055,0.7,175923,0.6,230995,2.25 53,1,2024-09-07 09:10:10:771,615342,615342,0,0,288706870635,3027623998,605716,7043,2583,367,391702,0 53,2,2024-09-07 09:10:11:309,448503,448503,0,0,17357745,0,2727 53,3,2024-09-07 09:10:10:705,1,351,0,0,308,3251,351,0 54,0,2024-09-07 09:10:11:617,85650,0.5,86074,0.7,171298,0.4,229588,2.25 54,1,2024-09-07 09:10:10:586,617337,617337,0,0,290061786820,3011710306,611671,5064,602,367,391659,0 54,2,2024-09-07 09:10:10:870,442522,442516,6,0,19631904,0,5382 54,3,2024-09-07 09:10:10:765,1,351,1,0,676,5246,351,0 55,0,2024-09-07 09:10:11:770,85216,0.6,88264,0.7,177868,0.6,231643,2.50 55,1,2024-09-07 09:10:10:767,617385,617385,0,0,288840429020,3003182867,610759,5717,909,365,391731,0 55,2,2024-09-07 09:10:10:729,441723,441723,0,0,19023243,0,3563 55,3,2024-09-07 09:10:10:681,1,351,1,0,304,3848,351,0 56,0,2024-09-07 09:10:11:593,90744,1.1,85584,1.1,176493,1.6,236114,2.50 56,1,2024-09-07 09:10:10:588,614491,614491,0,0,288719623331,3044977337,605753,7107,1631,381,391867,0 56,2,2024-09-07 09:10:11:310,444121,444121,0,0,20247339,0,3567 56,3,2024-09-07 09:10:11:059,1,351,1,0,705,4500,351,0 57,0,2024-09-07 09:10:10:951,87525,1.3,87371,1.2,175154,1.9,233890,3.00 57,1,2024-09-07 09:10:10:990,616061,616061,0,0,288249374005,3018746117,609186,6155,720,366,392032,0 57,2,2024-09-07 09:10:11:318,446555,446555,0,0,21868937,0,3317 57,3,2024-09-07 09:10:11:740,1,351,1,0,359,4041,351,0 58,0,2024-09-07 09:10:10:569,84798,0.8,82418,0.9,172572,0.8,226662,2.50 58,1,2024-09-07 09:10:10:575,616755,616752,0,3,289114481177,3020084942,608626,7044,1082,367,391603,3 58,2,2024-09-07 09:10:11:077,442287,442287,0,0,19972741,0,2549 58,3,2024-09-07 09:10:11:073,1,351,7,0,1043,3731,351,0 59,0,2024-09-07 09:10:11:739,89142,0.8,88744,0.9,177260,0.9,235439,2.50 59,1,2024-09-07 09:10:10:806,616224,616224,0,0,288857612387,3021446729,608346,6357,1521,369,391586,0 59,2,2024-09-07 09:10:10:583,443652,443652,0,0,19808856,0,2867 59,3,2024-09-07 09:10:11:740,1,351,1,0,1015,4387,351,0 60,0,2024-09-07 09:10:11:718,88867,0.5,88934,0.7,178514,0.5,236904,1.75 60,1,2024-09-07 09:10:10:782,618426,618426,0,0,289886108221,3008818834,614304,3475,647,370,392031,0 60,2,2024-09-07 09:10:11:141,444428,444428,0,0,19265315,0,3811 60,3,2024-09-07 09:10:11:260,1,351,3,0,409,4177,351,0 61,0,2024-09-07 09:10:11:494,88577,0.6,89210,0.8,177463,0.6,236855,2.00 61,1,2024-09-07 09:10:10:790,616177,616177,0,0,288477145678,3023680791,608961,5891,1325,382,392127,0 61,2,2024-09-07 09:10:11:131,443817,443750,67,0,19592463,0,6411 61,3,2024-09-07 09:10:11:687,1,351,1,0,479,5153,351,0 62,0,2024-09-07 09:10:11:711,87246,0.5,89232,0.7,170225,0.5,231601,2.00 62,1,2024-09-07 09:10:11:111,620660,620654,0,6,290562686661,2997977755,617074,3321,259,365,391715,6 62,2,2024-09-07 09:10:11:654,443980,443979,1,0,19097348,0,5555 62,3,2024-09-07 09:10:11:151,1,351,22,0,465,2682,351,0 63,0,2024-09-07 09:10:11:453,88983,0.4,88676,0.6,177934,0.3,237104,1.75 63,1,2024-09-07 09:10:10:806,618726,618720,0,6,290217327695,3011794703,614786,3546,388,381,391800,6 63,2,2024-09-07 09:10:10:762,443301,443301,0,0,17545027,0,4369 63,3,2024-09-07 09:10:11:734,1,351,1,0,667,3867,351,0 64,0,2024-09-07 09:10:11:519,87454,0.5,87404,0.7,174903,0.5,232625,2.00 64,1,2024-09-07 09:10:10:753,617586,617586,0,0,288667865062,3006592148,611939,3987,1660,370,391783,0 64,2,2024-09-07 09:10:11:140,446742,446723,19,0,17019260,0,6121 64,3,2024-09-07 09:10:11:141,1,351,12,0,265,3232,351,0 65,0,2024-09-07 09:10:11:676,87104,0.7,87457,0.8,174652,0.7,232790,2.25 65,1,2024-09-07 09:10:10:899,616466,616466,0,0,287986446913,3007543533,612379,3636,451,382,391901,0 65,2,2024-09-07 09:10:11:699,444193,444193,0,0,18766646,0,3367 65,3,2024-09-07 09:10:11:683,1,351,34,0,163,3102,351,0 66,0,2024-09-07 09:10:11:766,86809,0.5,86880,0.7,173705,0.4,230909,2.00 66,1,2024-09-07 09:10:11:297,617626,617626,0,0,289594299900,3008479891,614062,3242,322,380,391653,0 66,2,2024-09-07 09:10:11:132,449003,449003,0,0,16843956,0,4956 66,3,2024-09-07 09:10:11:083,1,351,1,0,291,3242,351,0 67,0,2024-09-07 09:10:11:426,86898,0.5,86331,0.7,173352,0.4,231131,2.00 67,1,2024-09-07 09:10:10:765,618440,618439,0,1,289522468022,3012196945,614272,3416,751,380,391787,1 67,2,2024-09-07 09:10:10:582,444035,444035,0,0,16205297,0,2889 67,3,2024-09-07 09:10:11:755,1,351,1,0,338,3199,351,0 68,0,2024-09-07 09:10:10:575,87829,0.6,87388,0.7,174592,0.6,233118,2.00 68,1,2024-09-07 09:10:10:586,616515,616515,0,0,288522064473,3019193815,611697,3614,1204,381,391953,0 68,2,2024-09-07 09:10:11:049,441129,441064,65,0,21327746,0,6698 68,3,2024-09-07 09:10:10:728,1,351,1,0,417,3734,351,0 69,0,2024-09-07 09:10:11:730,88383,0.8,88994,0.9,176959,1.0,234817,2.25 69,1,2024-09-07 09:10:11:024,614313,614313,0,0,288413148563,3040163663,606294,5909,2110,384,391994,0 69,2,2024-09-07 09:10:11:735,443574,443574,0,0,21577273,0,3722 69,3,2024-09-07 09:10:10:772,1,351,1,0,698,4918,351,0 70,0,2024-09-07 09:10:11:530,86823,0.7,86915,1.0,174806,0.5,231342,2.25 70,1,2024-09-07 09:10:10:801,617988,617988,0,0,290108288015,3008779707,613135,4317,536,366,391725,0 70,2,2024-09-07 09:10:11:328,446505,446505,0,0,19521493,0,4044 70,3,2024-09-07 09:10:10:745,1,351,1,0,854,3827,351,0 71,0,2024-09-07 09:10:11:380,85428,0.7,85088,0.9,170986,0.8,229144,2.50 71,1,2024-09-07 09:10:11:597,616882,616882,0,0,289061066207,3012982513,610270,5956,656,368,391738,0 71,2,2024-09-07 09:10:11:067,442417,442417,0,0,19227225,0,4042 71,3,2024-09-07 09:10:11:757,1,351,1,0,644,4689,351,0 72,0,2024-09-07 09:10:11:026,91830,0.6,89783,0.7,175139,0.6,237885,2.00 72,1,2024-09-07 09:10:11:022,616203,616203,0,0,288664784131,3022517264,607964,6542,1697,369,391819,0 72,2,2024-09-07 09:10:11:758,441584,441584,0,0,22085163,0,3983 72,3,2024-09-07 09:10:11:757,1,351,3,0,564,5414,351,0 73,0,2024-09-07 09:10:11:138,87050,0.4,89229,0.6,182581,0.4,236944,2.00 73,1,2024-09-07 09:10:10:766,617394,617394,0,0,289302430920,3005583644,613072,3992,330,367,391858,0 73,2,2024-09-07 09:10:11:743,444845,444845,0,0,21322914,0,3701 73,3,2024-09-07 09:10:10:969,1,351,1,0,274,4583,351,0 74,0,2024-09-07 09:10:11:324,89950,0.4,92188,0.6,175988,0.4,238397,2.25 74,1,2024-09-07 09:10:10:638,616981,616981,0,0,288596079319,3010921870,610900,4818,1263,381,391681,0 74,2,2024-09-07 09:10:11:003,444282,444282,0,0,20286660,0,4253 74,3,2024-09-07 09:10:11:446,1,351,1,0,522,4862,351,0 75,0,2024-09-07 09:10:11:767,86299,0.4,85956,0.6,172195,0.4,230583,2.25 75,1,2024-09-07 09:10:11:600,616023,616023,0,0,288374043239,3010847731,609663,5535,825,380,391739,0 75,2,2024-09-07 09:10:11:360,442965,442965,0,0,20418434,0,4766 75,3,2024-09-07 09:10:11:087,1,351,2,0,702,4906,351,0 76,0,2024-09-07 09:10:10:598,89125,0.6,88406,0.8,177475,0.6,237191,2.25 76,1,2024-09-07 09:10:10:814,617195,617195,0,0,288763647714,3009973917,613270,3334,591,382,391692,0 76,2,2024-09-07 09:10:11:070,445129,445128,1,0,19559508,0,5144 76,3,2024-09-07 09:10:11:145,1,351,13,0,175,3337,351,0 77,0,2024-09-07 09:10:11:714,88734,0.6,88854,0.8,177881,0.7,236488,2.00 77,1,2024-09-07 09:10:10:824,616760,616760,0,0,288933329742,3017941022,611483,4786,491,381,391869,0 77,2,2024-09-07 09:10:11:285,444669,444669,0,0,19110823,0,3890 77,3,2024-09-07 09:10:11:100,1,351,6,0,401,3927,351,0 78,0,2024-09-07 09:10:11:725,88359,0.4,87899,0.6,176450,0.4,234261,2.00 78,1,2024-09-07 09:10:10:614,617524,617524,0,0,288714363938,3004318658,611593,4786,1145,367,391670,0 78,2,2024-09-07 09:10:11:407,444107,444107,0,0,16480800,0,3855 78,3,2024-09-07 09:10:11:138,1,351,1,0,181,3259,351,0 79,0,2024-09-07 09:10:11:351,84230,0.4,86335,0.6,176550,0.3,230154,2.25 79,1,2024-09-07 09:10:10:570,619424,619424,0,0,289536674694,2996830108,614867,3924,633,368,391682,0 79,2,2024-09-07 09:10:11:093,447573,447573,0,0,17479853,0,4195 79,3,2024-09-07 09:10:10:749,1,351,1,0,418,4417,351,0 80,0,2024-09-07 09:10:11:096,87132,0.5,89790,0.6,171948,0.4,231951,2.00 80,1,2024-09-07 09:10:11:626,617713,617713,0,0,289754783935,3011164872,614360,3174,179,368,391791,0 80,2,2024-09-07 09:10:11:096,445085,445085,0,0,16558692,0,4433 80,3,2024-09-07 09:10:10:575,1,351,4,0,190,4097,351,0 81,0,2024-09-07 09:10:11:572,87451,0.6,89568,0.7,171027,0.6,232383,2.00 81,1,2024-09-07 09:10:11:655,616282,616282,0,0,288195865437,3009925559,611413,4370,499,382,391879,0 81,2,2024-09-07 09:10:11:125,442232,442169,63,0,18500516,0,5932 81,3,2024-09-07 09:10:11:121,1,351,1,0,374,3996,351,0 82,0,2024-09-07 09:10:11:559,88044,0.5,88547,0.7,177500,0.4,235186,2.00 82,1,2024-09-07 09:10:10:583,618637,618633,0,4,289890187033,3014684490,614738,3098,797,381,391768,4 82,2,2024-09-07 09:10:11:690,445218,445218,0,0,15833079,0,3986 82,3,2024-09-07 09:10:11:758,1,351,9,0,363,3470,351,0 83,0,2024-09-07 09:10:11:530,87375,0.5,87065,0.7,173635,0.5,231311,2.00 83,1,2024-09-07 09:10:10:557,616787,616787,0,0,288967702267,3010646707,612472,3934,381,382,391709,0 83,2,2024-09-07 09:10:10:763,447702,447702,0,0,16419998,0,3393 83,3,2024-09-07 09:10:10:751,1,351,0,0,1260,4903,351,0 84,0,2024-09-07 09:10:11:804,86149,0.6,86111,0.8,172273,0.5,230844,2.25 84,1,2024-09-07 09:10:11:042,616087,616087,0,0,287767393995,3004714265,610323,5035,729,367,391967,0 84,2,2024-09-07 09:10:10:576,442368,442368,0,0,20572735,0,4757 84,3,2024-09-07 09:10:11:140,1,351,1,0,908,5193,351,0 85,0,2024-09-07 09:10:11:011,84954,0.7,84958,0.8,180337,0.7,232978,2.25 85,1,2024-09-07 09:10:10:561,614679,614679,0,0,288106147058,3036929103,606144,7163,1372,381,392006,0 85,2,2024-09-07 09:10:10:874,442448,442448,0,0,20314062,0,3656 85,3,2024-09-07 09:10:10:686,1,351,0,0,789,4511,351,0 86,0,2024-09-07 09:10:10:893,88780,0.7,91387,0.8,174810,0.7,236085,2.25 86,1,2024-09-07 09:10:10:827,616557,616557,0,0,288784417929,3020742556,609662,5829,1066,366,391961,0 86,2,2024-09-07 09:10:10:854,443421,443420,1,0,21339564,0,5004 86,3,2024-09-07 09:10:10:592,1,351,1,0,308,4668,351,0 87,0,2024-09-07 09:10:11:297,88319,0.8,88111,0.9,176932,1.0,235734,2.25 87,1,2024-09-07 09:10:10:559,616469,616469,0,0,288504855902,3015105351,610250,5605,614,366,392076,0 87,2,2024-09-07 09:10:11:067,444975,444975,0,0,19424748,0,4045 87,3,2024-09-07 09:10:11:798,1,351,1,0,473,5042,351,0 88,0,2024-09-07 09:10:11:456,85936,0.4,86600,0.6,172580,0.4,229620,1.75 88,1,2024-09-07 09:10:10:574,614963,614963,0,0,288539766211,3022258692,607257,6041,1665,365,392084,0 88,2,2024-09-07 09:10:10:697,443344,443344,0,0,21595533,0,3583 88,3,2024-09-07 09:10:11:272,1,351,3,0,435,3735,351,0 89,0,2024-09-07 09:10:11:805,92001,0.5,89032,0.6,176387,0.4,239076,1.75 89,1,2024-09-07 09:10:10:553,615002,615002,0,0,287938698554,3027496318,607240,6487,1275,382,391866,0 89,2,2024-09-07 09:10:11:132,442266,442266,0,0,20657839,0,2910 89,3,2024-09-07 09:10:11:813,1,351,1,0,385,6308,351,0 90,0,2024-09-07 09:10:11:644,86300,0.5,88700,0.6,180808,0.4,236069,2.00 90,1,2024-09-07 09:10:10:594,616547,616547,0,0,288708135485,3024942619,610329,5714,504,380,391825,0 90,2,2024-09-07 09:10:11:411,443065,443065,0,0,21876424,0,3060 90,3,2024-09-07 09:10:10:932,1,351,18,0,246,3864,351,0 91,0,2024-09-07 09:10:10:927,89293,0.5,86458,0.6,180476,0.4,237373,1.75 91,1,2024-09-07 09:10:10:558,614913,614913,0,0,288733819294,3035271155,607079,6787,1047,381,392047,0 91,2,2024-09-07 09:10:11:337,444325,444325,0,0,19803256,0,2896 91,3,2024-09-07 09:10:10:605,1,351,1,0,216,3275,351,0 92,0,2024-09-07 09:10:11:454,87442,0.4,89717,0.6,171398,0.4,231951,1.75 92,1,2024-09-07 09:10:10:580,616325,616325,0,0,288821129410,3016995323,611505,4132,688,382,392136,0 92,2,2024-09-07 09:10:11:358,446006,446006,0,0,17858870,0,3259 92,3,2024-09-07 09:10:11:010,1,351,3,0,167,2974,351,0 93,0,2024-09-07 09:10:10:974,89085,0.4,91302,0.5,174573,0.3,237174,1.75 93,1,2024-09-07 09:10:10:807,616724,616724,0,0,289112138123,3017082420,610596,5097,1031,366,391776,0 93,2,2024-09-07 09:10:10:936,442783,442783,0,0,20868968,0,4845 93,3,2024-09-07 09:10:11:413,1,351,4,0,190,3388,351,0 94,0,2024-09-07 09:10:11:630,87654,0.4,88340,0.5,176425,0.3,234230,1.75 94,1,2024-09-07 09:10:10:564,616912,616912,0,0,288970776563,3014940389,612856,3865,191,381,391850,0 94,2,2024-09-07 09:10:10:763,443285,443285,0,0,18000279,0,2443 94,3,2024-09-07 09:10:11:688,1,351,3,0,264,4319,351,0 95,0,2024-09-07 09:10:11:348,87775,0.4,87930,0.5,176145,0.3,234613,1.75 95,1,2024-09-07 09:10:10:856,618241,618241,0,0,288808810398,3003044308,613219,4622,400,367,391713,0 95,2,2024-09-07 09:10:11:021,443345,443345,0,0,17704022,0,3308 95,3,2024-09-07 09:10:11:721,1,351,1,0,718,5389,351,0 96,0,2024-09-07 09:10:11:046,87434,0.3,87586,0.5,174785,0.3,231717,1.75 96,1,2024-09-07 09:10:11:612,616563,616563,0,0,289190601623,3015849340,612033,3734,796,384,391955,0 96,2,2024-09-07 09:10:11:267,447873,447873,0,0,17566282,0,4042 96,3,2024-09-07 09:10:11:141,1,351,2,0,411,3755,351,0 97,0,2024-09-07 09:10:11:317,86660,0.3,86487,0.5,173554,0.3,230919,1.50 97,1,2024-09-07 09:10:10:763,618686,618686,0,0,289574865268,3000316804,614795,3217,674,367,392140,0 97,2,2024-09-07 09:10:10:607,443888,443888,0,0,17010421,0,3036 97,3,2024-09-07 09:10:10:572,1,351,2,0,214,4047,351,0 98,0,2024-09-07 09:10:11:735,87687,0.3,87496,0.4,175581,0.2,233092,1.50 98,1,2024-09-07 09:10:10:582,617850,617850,0,0,288638059339,3000738979,615176,2567,107,382,391997,0 98,2,2024-09-07 09:10:10:770,442900,442900,0,0,16912311,0,3080 98,3,2024-09-07 09:10:10:699,1,351,16,0,840,5462,351,0 99,0,2024-09-07 09:10:11:446,88863,0.3,89384,0.5,177891,0.3,237036,1.75 99,1,2024-09-07 09:10:11:733,617667,617667,0,0,289440379844,3011914612,613520,3392,755,381,392069,0 99,2,2024-09-07 09:10:11:423,445284,445284,0,0,19213501,0,3424 99,3,2024-09-07 09:10:10:588,1,351,1,0,199,3315,351,0 100,0,2024-09-07 09:10:11:490,87463,0.7,87413,0.9,174725,0.8,233226,2.25 100,1,2024-09-07 09:10:10:553,614255,614255,0,0,287298492364,3031699114,605778,6981,1496,381,391989,0 100,2,2024-09-07 09:10:11:825,445704,445693,11,0,19910107,0,5417 100,3,2024-09-07 09:10:11:733,1,351,0,0,559,5996,351,0 101,0,2024-09-07 09:10:11:708,87899,0.8,85569,0.9,167903,0.6,230846,2.25 101,1,2024-09-07 09:10:10:556,614386,614386,0,0,287643488777,3025838406,605288,7074,2024,368,391771,0 101,2,2024-09-07 09:10:11:759,440165,440165,0,0,22979523,0,4644 101,3,2024-09-07 09:10:10:952,1,351,1,0,579,4561,351,0 102,0,2024-09-07 09:10:10:949,86598,0.7,89549,0.8,180903,0.6,236628,2.00 102,1,2024-09-07 09:10:11:142,615241,615241,0,0,288380284861,3025405615,607918,6289,1034,369,391891,0 102,2,2024-09-07 09:10:11:747,443228,443174,54,0,19266624,0,6768 102,3,2024-09-07 09:10:11:620,1,351,2,0,410,3669,351,0 103,0,2024-09-07 09:10:11:631,92137,0.6,92051,0.7,173704,0.7,238728,2.00 103,1,2024-09-07 09:10:11:627,614583,614583,0,0,287728519490,3031426359,605757,6829,1997,381,391829,0 103,2,2024-09-07 09:10:10:590,443578,443578,0,0,20343938,0,3173 103,3,2024-09-07 09:10:10:762,1,351,1,0,916,4257,351,0 104,0,2024-09-07 09:10:11:061,88511,0.7,88942,0.9,176690,0.7,237640,2.25 104,1,2024-09-07 09:10:11:720,616331,616331,0,0,287504695040,3021182297,607409,7224,1698,365,392168,0 104,2,2024-09-07 09:10:11:667,443610,443610,0,0,21434139,0,3941 104,3,2024-09-07 09:10:11:431,1,351,1,0,1245,7542,351,0 105,0,2024-09-07 09:10:11:080,85260,0.8,82938,1.0,173618,0.8,229042,2.50 105,1,2024-09-07 09:10:10:558,616381,616381,0,0,288911856875,3028638747,608219,6825,1337,366,392009,0 105,2,2024-09-07 09:10:11:329,442878,442878,0,0,20695810,0,3509 105,3,2024-09-07 09:10:11:304,1,351,12,0,399,5664,351,0 106,0,2024-09-07 09:10:10:974,86298,0.8,88373,0.9,180918,0.9,236581,2.50 106,1,2024-09-07 09:10:11:761,615040,615040,0,0,288731866823,3033695578,605443,8303,1294,369,391914,0 106,2,2024-09-07 09:10:10:756,441550,441550,0,0,20443919,0,2795 106,3,2024-09-07 09:10:10:682,1,351,6,0,470,4410,351,0 107,0,2024-09-07 09:10:11:108,88479,1.1,88639,1.0,177174,1.6,236233,2.25 107,1,2024-09-07 09:10:10:592,614784,614784,0,0,287916729212,3031895424,606800,7068,916,381,392234,0 107,2,2024-09-07 09:10:11:290,442537,442536,1,0,20978745,0,5024 107,3,2024-09-07 09:10:11:758,1,351,2,0,353,4840,351,0 108,0,2024-09-07 09:10:11:783,87785,0.4,88383,0.6,175657,0.4,234798,1.75 108,1,2024-09-07 09:10:11:302,617178,617178,0,0,289162382109,3015218456,612086,4574,518,368,391857,0 108,2,2024-09-07 09:10:11:764,442259,442259,0,0,20042823,0,4246 108,3,2024-09-07 09:10:11:333,1,351,1,0,749,7069,351,0 109,0,2024-09-07 09:10:11:786,87494,0.4,86609,0.5,173414,0.3,232604,1.75 109,1,2024-09-07 09:10:10:622,614951,614951,0,0,288874052352,3028169466,609738,4548,665,383,392132,0 109,2,2024-09-07 09:10:10:926,444553,444553,0,0,19542237,0,3617 109,3,2024-09-07 09:10:11:154,1,351,8,0,379,4165,351,0 110,0,2024-09-07 09:10:11:749,87172,0.4,84823,0.6,177564,0.3,232684,1.75 110,1,2024-09-07 09:10:11:650,617997,617997,0,0,290025535192,3008177077,613991,3029,977,369,392045,0 110,2,2024-09-07 09:10:11:310,443160,443160,0,0,19260992,0,4067 110,3,2024-09-07 09:10:10:693,1,351,2,0,722,5200,351,0 111,0,2024-09-07 09:10:11:415,87874,0.4,87220,0.6,174990,0.4,233756,1.75 111,1,2024-09-07 09:10:11:010,618690,618690,0,0,290723683295,3015514271,615308,3030,352,382,391690,0 111,2,2024-09-07 09:10:11:116,441999,441999,0,0,18594764,0,4823 111,3,2024-09-07 09:10:10:916,1,351,48,0,379,4209,351,0 112,0,2024-09-07 09:10:10:909,89253,0.3,88557,0.4,177661,0.2,235997,1.50 112,1,2024-09-07 09:10:10:824,618223,618223,0,0,289346908112,2999535438,614758,2881,584,380,391624,0 112,2,2024-09-07 09:10:11:132,444225,444224,1,0,16800904,0,5036 112,3,2024-09-07 09:10:10:594,1,351,1,0,282,3515,351,0 113,0,2024-09-07 09:10:10:870,86827,0.3,87030,0.4,174677,0.2,232519,1.50 113,1,2024-09-07 09:10:11:686,619926,619926,0,0,290602946864,2996324229,616645,2697,584,366,391661,0 113,2,2024-09-07 09:10:11:303,449084,449084,0,0,15621373,0,3813 113,3,2024-09-07 09:10:10:686,1,351,1,0,340,4095,351,0 114,0,2024-09-07 09:10:10:877,87006,0.3,87734,0.4,173882,0.2,232980,1.75 114,1,2024-09-07 09:10:10:717,618022,618022,0,0,289854491480,3010068758,612894,3534,1594,381,391556,0 114,2,2024-09-07 09:10:10:873,443196,443195,1,0,17108351,0,5069 114,3,2024-09-07 09:10:11:284,1,351,0,0,395,3006,351,0 115,0,2024-09-07 09:10:10:555,88017,0.3,88761,0.4,177228,0.2,235236,1.50 115,1,2024-09-07 09:10:10:573,617473,617473,0,0,290490756883,3014519273,612607,4026,840,382,391757,0 115,2,2024-09-07 09:10:11:133,444495,444495,0,0,15798909,0,3453 115,3,2024-09-07 09:10:11:002,1,351,0,0,159,2079,351,0 116,0,2024-09-07 09:10:11:701,88575,0.8,88445,0.9,177489,0.9,237163,2.00 116,1,2024-09-07 09:10:10:804,614484,614484,0,0,287928370753,3034416302,606871,5344,2269,380,392089,0 116,2,2024-09-07 09:10:11:751,444074,444074,0,0,21378081,0,3529 116,3,2024-09-07 09:10:10:911,1,351,0,0,415,4285,351,0 117,0,2024-09-07 09:10:10:997,88856,0.7,88337,0.8,176914,0.7,236234,2.00 117,1,2024-09-07 09:10:11:584,615708,615708,0,0,287750223442,3009928814,609393,5646,669,369,392033,0 117,2,2024-09-07 09:10:11:126,448428,448428,0,0,17820507,0,3700 117,3,2024-09-07 09:10:11:059,1,351,1,0,490,5026,351,0 118,0,2024-09-07 09:10:11:810,83470,0.5,85637,0.6,174995,0.4,228740,2.00 118,1,2024-09-07 09:10:10:591,615528,615528,0,0,287755196703,3022499512,606725,6903,1900,366,391907,0 118,2,2024-09-07 09:10:11:599,441994,441994,0,0,19645032,0,2781 118,3,2024-09-07 09:10:11:764,1,351,0,0,235,3805,351,0 119,0,2024-09-07 09:10:11:350,89068,0.6,89383,0.7,178821,0.6,237456,2.00 119,1,2024-09-07 09:10:10:550,617093,617093,0,0,289037440410,3020517647,610516,5740,837,367,391780,0 119,2,2024-09-07 09:10:11:263,443648,443648,0,0,18341810,0,4174 119,3,2024-09-07 09:10:11:325,1,351,3,0,563,5226,351,0 120,0,2024-09-07 09:10:11:574,88628,0.7,88303,0.9,176765,0.7,236793,2.25 120,1,2024-09-07 09:10:10:870,616022,616022,0,0,287919825269,3020220326,609599,5865,558,368,391961,0 120,2,2024-09-07 09:10:10:769,443892,443891,1,0,21740229,0,5281 120,3,2024-09-07 09:10:11:311,1,351,1,0,241,4280,351,0 121,0,2024-09-07 09:10:11:695,88556,1.0,88739,1.0,178005,1.2,237019,2.25 121,1,2024-09-07 09:10:11:670,616695,616695,0,0,288125583643,3012779512,610772,5485,438,367,391840,0 121,2,2024-09-07 09:10:11:125,443233,443233,0,0,20933734,0,4127 121,3,2024-09-07 09:10:10:727,1,351,1,0,269,3950,351,0 122,0,2024-09-07 09:10:11:764,86363,0.7,84356,0.8,176876,0.8,231813,2.00 122,1,2024-09-07 09:10:10:864,615626,615626,0,0,287810521989,3018893846,607160,7203,1263,366,392130,0 122,2,2024-09-07 09:10:11:319,444525,444452,73,0,23441181,0,5989 122,3,2024-09-07 09:10:10:607,1,351,1,0,512,6624,351,0 123,0,2024-09-07 09:10:10:956,88264,0.7,86307,0.8,180105,0.7,236680,2.00 123,1,2024-09-07 09:10:10:563,615275,615275,0,0,288089691831,3036202548,603825,9322,2128,369,392039,0 123,2,2024-09-07 09:10:11:019,441595,441594,1,0,20230645,0,5215 123,3,2024-09-07 09:10:11:134,1,351,1,0,168,3925,351,0 124,0,2024-09-07 09:10:10:935,90652,0.4,90630,0.5,170878,0.3,235073,1.75 124,1,2024-09-07 09:10:11:024,617556,617556,0,0,288247207078,2998641636,612833,3813,910,367,392178,0 124,2,2024-09-07 09:10:11:014,444633,444580,53,0,18246930,0,6487 124,3,2024-09-07 09:10:10:757,1,351,0,0,490,3549,351,0 125,0,2024-09-07 09:10:11:434,88242,0.4,87785,0.6,176587,0.3,234980,1.75 125,1,2024-09-07 09:10:10:858,616331,616331,0,0,288227491012,3008862348,611447,4239,645,383,391702,0 125,2,2024-09-07 09:10:11:119,443768,443768,0,0,18866767,0,4534 125,3,2024-09-07 09:10:11:128,1,351,0,0,709,4658,351,0 126,0,2024-09-07 09:10:11:435,87263,0.4,89552,0.6,171831,0.4,232641,1.75 126,1,2024-09-07 09:10:10:558,618258,618258,0,0,289421802679,2998430950,614514,3458,286,365,391987,0 126,2,2024-09-07 09:10:10:625,447899,447899,0,0,18959391,0,4539 126,3,2024-09-07 09:10:10:907,1,351,53,0,207,4438,351,0 127,0,2024-09-07 09:10:11:624,86577,0.3,87014,0.5,173458,0.3,230962,1.75 127,1,2024-09-07 09:10:10:575,617230,617230,0,0,289228390585,3004247958,611805,4564,861,364,392187,0 127,2,2024-09-07 09:10:10:637,442598,442598,0,0,17430333,0,3897 127,3,2024-09-07 09:10:11:269,1,351,3,0,968,4079,351,0 128,0,2024-09-07 09:10:11:535,87819,0.3,88062,0.4,176119,0.2,233420,1.50 128,1,2024-09-07 09:10:11:613,617750,617750,0,0,288683894413,2996417000,613645,3733,372,367,391838,0 128,2,2024-09-07 09:10:11:394,443706,443706,0,0,16328082,0,2915 128,3,2024-09-07 09:10:10:778,1,351,5,0,1082,5944,351,0 129,0,2024-09-07 09:10:10:997,89472,0.3,89005,0.5,178609,0.3,237110,1.50 129,1,2024-09-07 09:10:10:567,614971,614971,0,0,288482039472,3018871951,609318,4396,1257,379,391835,0 129,2,2024-09-07 09:10:10:686,444719,444719,0,0,17153253,0,4031 129,3,2024-09-07 09:10:10:694,1,351,2,0,469,4511,351,0 130,0,2024-09-07 09:10:11:726,88294,0.5,87786,0.6,176603,0.5,234415,1.75 130,1,2024-09-07 09:10:10:623,617561,617561,0,0,288959171419,3002932802,614140,3141,280,381,391825,0 130,2,2024-09-07 09:10:11:125,448293,448293,0,0,17080927,0,4067 130,3,2024-09-07 09:10:11:292,1,351,4,0,450,4214,351,0 131,0,2024-09-07 09:10:11:929,85808,0.3,86404,0.5,173513,0.3,230525,1.50 131,1,2024-09-07 09:10:11:833,617681,617681,0,0,289070905155,3014982553,613200,3734,747,381,391865,0 131,2,2024-09-07 09:10:10:573,444625,444625,0,0,15683187,0,2415 131,3,2024-09-07 09:10:11:690,1,351,1,0,392,3584,351,0 132,0,2024-09-07 09:10:11:452,88975,0.4,89810,0.6,178753,0.4,237388,1.75 132,1,2024-09-07 09:10:10:588,614522,614522,0,0,287868763632,3029003388,605875,7180,1467,381,392097,0 132,2,2024-09-07 09:10:10:711,442595,442595,0,0,20830682,0,4606 132,3,2024-09-07 09:10:11:688,1,351,0,0,804,6231,351,0 133,0,2024-09-07 09:10:11:525,87082,0.5,88988,0.6,182750,0.4,237438,1.75 133,1,2024-09-07 09:10:10:590,614678,614678,0,0,288222483690,3034988775,606492,7101,1085,383,391914,0 133,2,2024-09-07 09:10:11:090,444044,444044,0,0,22170373,0,4315 133,3,2024-09-07 09:10:11:303,1,351,3,0,479,3676,351,0 134,0,2024-09-07 09:10:10:940,89716,0.5,89564,0.7,179125,0.4,238905,2.00 134,1,2024-09-07 09:10:10:597,615425,615425,0,0,288168007056,3023240419,607100,6469,1856,366,391718,0 134,2,2024-09-07 09:10:11:759,444266,444266,0,0,18501332,0,3847 134,3,2024-09-07 09:10:10:748,1,351,0,0,739,4479,351,0 135,0,2024-09-07 09:10:11:098,83292,0.6,83329,0.8,176859,0.6,228658,2.00 135,1,2024-09-07 09:10:11:591,615966,615966,0,0,288505158039,3034356265,607529,7200,1237,380,391805,0 135,2,2024-09-07 09:10:10:688,444484,444484,0,0,20082339,0,3981 135,3,2024-09-07 09:10:11:010,1,351,2,0,299,2672,351,0 136,0,2024-09-07 09:10:11:636,89601,0.5,89856,0.7,178809,0.5,238419,2.00 136,1,2024-09-07 09:10:11:456,615398,615398,0,0,287761137247,3020321606,608371,6329,698,382,391685,0 136,2,2024-09-07 09:10:11:134,443642,443642,0,0,19924772,0,3506 136,3,2024-09-07 09:10:11:113,1,351,0,0,637,3933,351,0 137,0,2024-09-07 09:10:10:948,91421,0.7,88934,0.8,174761,0.7,237591,2.00 137,1,2024-09-07 09:10:10:575,615287,615287,0,0,288511030380,3027420240,605664,7741,1882,366,391898,0 137,2,2024-09-07 09:10:11:708,443398,443398,0,0,22351269,0,3185 137,3,2024-09-07 09:10:10:771,1,351,3,0,382,4101,351,0 138,0,2024-09-07 09:10:11:739,87470,1.3,87549,1.1,175851,1.7,233705,2.25 138,1,2024-09-07 09:10:11:693,616113,616113,0,0,288853191138,3031526048,607061,7456,1596,368,391954,0 138,2,2024-09-07 09:10:10:590,442794,442794,0,0,19952567,0,4988 138,3,2024-09-07 09:10:10:613,1,351,1,0,1160,4879,351,0 139,0,2024-09-07 09:10:11:381,85941,1.1,86250,1.0,172752,1.5,230784,2.25 139,1,2024-09-07 09:10:10:575,613560,613560,0,0,286838075405,3045705054,602181,8731,2648,381,392058,0 139,2,2024-09-07 09:10:10:695,443696,443696,0,0,21848986,0,3097 139,3,2024-09-07 09:10:11:670,1,351,153,0,257,4081,351,0 140,0,2024-09-07 09:10:11:598,87490,0.3,86992,0.5,174870,0.2,232942,1.75 140,1,2024-09-07 09:10:11:544,619703,619703,0,0,289988839898,2990329675,616496,2768,439,365,391606,0 140,2,2024-09-07 09:10:10:687,443257,443256,1,0,17866823,0,5036 140,3,2024-09-07 09:10:10:767,1,351,10,0,247,2838,351,0 141,0,2024-09-07 09:10:11:715,87743,0.3,90072,0.5,172140,0.3,233856,1.75 141,1,2024-09-07 09:10:10:862,617976,617976,0,0,289848025785,3010620029,613412,3702,862,379,391614,0 141,2,2024-09-07 09:10:11:693,442906,442906,0,0,17492368,0,3360 141,3,2024-09-07 09:10:11:043,1,351,1,0,391,4008,351,0 142,0,2024-09-07 09:10:11:338,89389,0.3,88535,0.5,177030,0.3,236497,1.75 142,1,2024-09-07 09:10:10:598,617726,617726,0,0,288413578199,3006874507,613757,3617,352,382,392102,0 142,2,2024-09-07 09:10:11:307,443571,443539,32,0,18940027,0,6028 142,3,2024-09-07 09:10:11:748,1,351,1,0,484,4195,351,0 143,0,2024-09-07 09:10:11:373,87334,0.4,87089,0.5,174573,0.4,232888,1.75 143,1,2024-09-07 09:10:10:564,618246,618246,0,0,289826869667,3005851419,614467,3628,151,367,391705,0 143,2,2024-09-07 09:10:10:782,447954,447954,0,0,18355428,0,3123 143,3,2024-09-07 09:10:11:140,1,351,12,0,303,4244,351,0 144,0,2024-09-07 09:10:11:537,84090,0.5,86180,0.7,175778,0.4,231029,2.00 144,1,2024-09-07 09:10:10:565,615219,615219,0,0,288055711964,3016968609,610345,3933,941,381,391649,0 144,2,2024-09-07 09:10:11:755,443484,443484,0,0,16462440,0,3473 144,3,2024-09-07 09:10:11:742,1,351,21,0,249,3495,351,0 145,0,2024-09-07 09:10:11:395,85229,0.6,85274,0.8,180924,0.5,232632,2.25 145,1,2024-09-07 09:10:10:553,614899,614899,0,0,288289457481,3029008072,607538,6220,1141,382,391759,0 145,2,2024-09-07 09:10:11:432,441526,441526,0,0,20037148,0,3903 145,3,2024-09-07 09:10:10:898,1,351,2,0,622,5164,351,0 146,0,2024-09-07 09:10:11:596,88850,0.6,88268,0.7,177960,0.6,235842,2.25 146,1,2024-09-07 09:10:11:590,615908,615908,0,0,287970256140,3028102164,606028,7869,2011,368,391770,0 146,2,2024-09-07 09:10:11:697,443507,443507,0,0,19997319,0,2730 146,3,2024-09-07 09:10:11:283,1,351,9,0,1520,7249,351,0 147,0,2024-09-07 09:10:11:700,88790,0.6,88507,0.7,176331,0.5,235721,2.00 147,1,2024-09-07 09:10:11:391,617634,617634,0,0,289253171025,3009213321,611967,4866,801,368,391791,0 147,2,2024-09-07 09:10:11:010,445914,445914,0,0,17809080,0,2789 147,3,2024-09-07 09:10:10:913,1,351,1,0,730,5086,351,0 0,0,2024-09-07 09:10:21:739,86637,0.7,86649,0.8,183984,0.7,237714,2.00 0,1,2024-09-07 09:10:20:815,618371,618371,0,0,290139489093,3031438986,614235,3891,245,369,391896,0 0,2,2024-09-07 09:10:21:075,446779,446779,0,0,17485751,0,4480 0,3,2024-09-07 09:10:20:986,1,352,6,0,431,4746,352,0 1,0,2024-09-07 09:10:21:766,89273,0.8,88757,0.9,178090,0.9,238137,2.00 1,1,2024-09-07 09:10:20:565,617236,617236,0,0,289459823617,3028633626,611631,4377,1228,370,391857,0 1,2,2024-09-07 09:10:20:655,444671,444671,0,0,16931225,0,3267 1,3,2024-09-07 09:10:21:306,1,352,1,0,262,4073,352,0 2,0,2024-09-07 09:10:21:593,86967,0.6,87141,0.7,173356,0.6,232181,2.00 2,1,2024-09-07 09:10:20:860,619743,619743,0,0,290582281369,3015221161,616843,2607,293,380,391745,0 2,2,2024-09-07 09:10:21:269,446490,446490,0,0,16714210,0,3594 2,3,2024-09-07 09:10:20:690,1,352,1,0,357,3667,352,0 3,0,2024-09-07 09:10:21:746,89200,0.4,89057,0.6,178055,0.4,237908,2.00 3,1,2024-09-07 09:10:21:622,617837,617837,0,0,289408209845,3011703224,612737,4477,623,380,391591,0 3,2,2024-09-07 09:10:21:149,444690,444667,23,0,17230134,0,5851 3,3,2024-09-07 09:10:21:758,1,352,0,0,207,2243,352,0 4,0,2024-09-07 09:10:21:788,85701,0.4,88170,0.5,179407,0.4,235008,1.75 4,1,2024-09-07 09:10:20:591,616812,616812,0,0,288630708622,3037495798,609349,6105,1358,371,391992,0 4,2,2024-09-07 09:10:21:022,443626,443626,0,0,20096612,0,4528 4,3,2024-09-07 09:10:21:030,1,352,3,0,448,4857,352,0 5,0,2024-09-07 09:10:21:387,88070,0.4,88415,0.6,176642,0.4,234798,1.75 5,1,2024-09-07 09:10:20:759,617738,617738,0,0,288937991304,3035050525,610567,5815,1356,367,392005,0 5,2,2024-09-07 09:10:21:845,442711,442711,0,0,19162802,0,2432 5,3,2024-09-07 09:10:21:737,1,352,1,0,457,5013,352,0 6,0,2024-09-07 09:10:20:918,87765,0.4,87282,0.6,174477,0.4,232919,2.00 6,1,2024-09-07 09:10:20:761,617630,617630,0,0,289567821464,3023758744,611017,5528,1085,379,391694,0 6,2,2024-09-07 09:10:21:116,447902,447902,0,0,18359821,0,4816 6,3,2024-09-07 09:10:21:273,1,352,1,0,340,3738,352,0 7,0,2024-09-07 09:10:21:533,86607,0.4,87137,0.6,173493,0.4,231117,1.75 7,1,2024-09-07 09:10:20:856,616942,616942,0,0,289314250385,3034391429,608870,6896,1176,382,391747,0 7,2,2024-09-07 09:10:20:770,444622,444622,0,0,18494021,0,4791 7,3,2024-09-07 09:10:20:851,1,352,3,0,398,3867,352,0 8,0,2024-09-07 09:10:21:330,87765,0.4,87683,0.5,175453,0.3,233702,1.75 8,1,2024-09-07 09:10:21:021,616068,616068,0,0,289411173316,3044916997,605913,7877,2278,366,392144,0 8,2,2024-09-07 09:10:20:795,440171,440171,0,0,22975135,0,3220 8,3,2024-09-07 09:10:20:592,1,352,16,0,538,6002,352,0 9,0,2024-09-07 09:10:21:124,89177,0.4,86611,0.5,181204,0.3,237160,1.75 9,1,2024-09-07 09:10:20:550,616657,616657,0,0,288707286091,3041865992,607583,6949,2125,369,392001,0 9,2,2024-09-07 09:10:21:099,444646,444646,0,0,20319373,0,3360 9,3,2024-09-07 09:10:21:755,1,352,3,0,496,5167,352,0 10,0,2024-09-07 09:10:21:601,88005,0.3,87339,0.5,175469,0.2,233474,1.75 10,1,2024-09-07 09:10:20:588,617689,617689,0,0,288553744130,3021389636,609804,6775,1110,381,391741,0 10,2,2024-09-07 09:10:20:762,448493,448493,0,0,22014831,0,4264 10,3,2024-09-07 09:10:20:871,1,352,2,0,296,3196,352,0 11,0,2024-09-07 09:10:21:007,86157,0.4,83746,0.6,174995,0.3,231821,1.75 11,1,2024-09-07 09:10:20:580,618083,618083,0,0,290564181260,3050083749,608059,7576,2448,383,391756,0 11,2,2024-09-07 09:10:21:176,443479,443479,0,0,19733747,0,4130 11,3,2024-09-07 09:10:21:302,1,352,1,0,843,5395,352,0 12,0,2024-09-07 09:10:20:975,89754,0.4,89775,0.5,179251,0.3,237774,1.75 12,1,2024-09-07 09:10:20:934,617735,617735,0,0,289216400970,3015411326,612867,4376,492,370,391870,0 12,2,2024-09-07 09:10:21:541,444682,444682,0,0,19493111,0,3469 12,3,2024-09-07 09:10:21:063,1,352,5,0,386,5630,352,0 13,0,2024-09-07 09:10:21:349,90098,0.4,89729,0.6,179166,0.4,238583,1.75 13,1,2024-09-07 09:10:21:524,616702,616702,0,0,288275544653,3030237583,610790,4502,1410,382,391740,0 13,2,2024-09-07 09:10:20:594,447068,447068,0,0,17921583,0,3287 13,3,2024-09-07 09:10:21:775,1,352,1,0,522,5622,352,0 14,0,2024-09-07 09:10:20:569,89796,0.4,90563,0.6,179229,0.3,239001,1.75 14,1,2024-09-07 09:10:21:564,621351,621351,0,0,290416940959,3006821720,616662,4330,359,364,391571,0 14,2,2024-09-07 09:10:20:764,446171,446141,30,0,19464495,0,6104 14,3,2024-09-07 09:10:21:116,1,352,1,0,1168,4220,352,0 15,0,2024-09-07 09:10:21:552,86440,0.4,86500,0.6,173163,0.4,230840,1.75 15,1,2024-09-07 09:10:21:619,618491,618491,0,0,289544184483,3013852513,614146,3522,823,381,391619,0 15,2,2024-09-07 09:10:21:001,445963,445963,0,0,15988503,0,3622 15,3,2024-09-07 09:10:21:412,1,352,0,0,1126,6286,352,0 16,0,2024-09-07 09:10:20:943,89508,0.6,89796,0.7,179442,0.5,238473,2.00 16,1,2024-09-07 09:10:20:572,619217,619217,0,0,290089686766,3026181217,614902,3953,362,370,391756,0 16,2,2024-09-07 09:10:21:446,444603,444603,0,0,18395679,0,4719 16,3,2024-09-07 09:10:21:148,1,352,4,0,317,4754,352,0 17,0,2024-09-07 09:10:21:898,91678,0.7,89419,0.8,175015,0.7,237875,2.00 17,1,2024-09-07 09:10:20:582,616865,616865,0,0,289540222070,3035762649,610620,4986,1259,368,392075,0 17,2,2024-09-07 09:10:21:689,447930,447930,0,0,18144492,0,2857 17,3,2024-09-07 09:10:20:589,1,352,11,0,298,5679,352,0 18,0,2024-09-07 09:10:21:013,87298,0.6,87613,0.8,174818,0.6,233435,2.25 18,1,2024-09-07 09:10:21:654,619598,619598,0,0,290128730686,3005110559,616262,3025,311,367,391649,0 18,2,2024-09-07 09:10:21:755,446534,446534,0,0,16699091,0,3541 18,3,2024-09-07 09:10:20:903,1,352,1,0,163,2968,352,0 19,0,2024-09-07 09:10:21:545,87010,0.5,87705,0.7,173502,0.5,231683,2.00 19,1,2024-09-07 09:10:20:567,619956,619956,0,0,290161291921,3008687855,614830,4287,839,367,391777,0 19,2,2024-09-07 09:10:21:759,448506,448506,0,0,15345843,0,3988 19,3,2024-09-07 09:10:21:129,1,352,4,0,524,2643,352,0 20,0,2024-09-07 09:10:21:349,87404,0.5,87412,0.7,174669,0.4,233288,2.00 20,1,2024-09-07 09:10:20:570,617888,617888,0,0,289854583748,3025017978,613541,3939,408,369,391886,0 20,2,2024-09-07 09:10:20:931,444887,444887,0,0,18541605,0,3721 20,3,2024-09-07 09:10:20:592,1,352,1,0,414,5437,352,0 21,0,2024-09-07 09:10:21:138,87949,0.5,87956,0.7,175776,0.5,233662,2.00 21,1,2024-09-07 09:10:21:536,616933,616933,0,0,288481039921,3036693231,608933,6118,1882,368,392016,0 21,2,2024-09-07 09:10:21:075,442208,442208,0,0,21632214,0,3747 21,3,2024-09-07 09:10:21:407,1,352,5,0,713,4114,352,0 22,0,2024-09-07 09:10:21:717,88389,0.5,88750,0.7,177610,0.5,235071,2.00 22,1,2024-09-07 09:10:21:025,617965,617965,0,0,288781985404,3031690425,609473,6672,1820,382,391667,0 22,2,2024-09-07 09:10:20:760,443529,443529,0,0,17603959,0,3134 22,3,2024-09-07 09:10:21:074,1,352,1,0,228,2886,352,0 23,0,2024-09-07 09:10:21:373,86946,0.5,86962,0.7,174225,0.5,232285,2.25 23,1,2024-09-07 09:10:21:005,618134,618134,0,0,290602987840,3048554536,607639,7336,3159,365,391690,0 23,2,2024-09-07 09:10:21:092,449744,449744,0,0,17541139,0,3010 23,3,2024-09-07 09:10:21:754,1,352,8,0,720,4766,352,0 24,0,2024-09-07 09:10:20:859,87502,0.4,87503,0.5,175365,0.3,233397,1.75 24,1,2024-09-07 09:10:20:605,616927,616927,0,0,288806255177,3028573777,610021,5374,1532,368,392269,0 24,2,2024-09-07 09:10:21:074,442816,442816,0,0,21477300,0,3607 24,3,2024-09-07 09:10:21:688,1,352,3,0,468,4613,352,0 25,0,2024-09-07 09:10:21:350,90732,0.4,88477,0.6,173388,0.4,235979,2.00 25,1,2024-09-07 09:10:20:569,618013,618013,0,0,288908833665,3031871110,610124,6515,1374,371,391928,0 25,2,2024-09-07 09:10:21:651,442696,442696,0,0,21649188,0,3978 25,3,2024-09-07 09:10:21:004,1,352,1,0,255,3461,352,0 26,0,2024-09-07 09:10:21:720,88831,0.4,86720,0.6,181892,0.4,236804,1.75 26,1,2024-09-07 09:10:21:541,618172,618172,0,0,289478490677,3031477117,609285,7351,1536,380,391748,0 26,2,2024-09-07 09:10:20:862,445824,445824,0,0,21542383,0,2809 26,3,2024-09-07 09:10:21:712,1,352,1,0,796,4441,352,0 27,0,2024-09-07 09:10:21:721,88928,0.4,89031,0.6,176436,0.4,235372,2.00 27,1,2024-09-07 09:10:21:676,620398,620398,0,0,290388813419,3021724858,615091,4541,766,381,391626,0 27,2,2024-09-07 09:10:20:867,444714,444649,65,0,20963547,0,5699 27,3,2024-09-07 09:10:21:020,1,352,1,0,564,3660,352,0 28,0,2024-09-07 09:10:21:398,86549,0.4,86185,0.6,172680,0.3,230828,2.00 28,1,2024-09-07 09:10:20:797,619269,619269,0,0,290690395894,3027974654,614769,3795,705,383,391698,0 28,2,2024-09-07 09:10:21:776,443853,443853,0,0,18640949,0,2915 28,3,2024-09-07 09:10:21:781,1,352,1,0,502,3769,352,0 29,0,2024-09-07 09:10:21:362,91924,0.4,89526,0.6,175734,0.3,239103,1.75 29,1,2024-09-07 09:10:21:564,620840,620840,0,0,290585751382,3008271521,616497,3658,685,369,391753,0 29,2,2024-09-07 09:10:20:867,443217,443217,0,0,17991860,0,4986 29,3,2024-09-07 09:10:20:982,1,352,8,0,459,4376,352,0 30,0,2024-09-07 09:10:21:467,88877,0.5,86344,0.7,180753,0.5,236719,2.00 30,1,2024-09-07 09:10:20:847,620246,620246,0,0,291027730304,3021306070,615427,4109,710,381,391672,0 30,2,2024-09-07 09:10:21:273,446278,446278,0,0,16971481,0,3161 30,3,2024-09-07 09:10:20:585,1,352,1,0,519,3585,352,0 31,0,2024-09-07 09:10:21:757,88803,0.4,89253,0.6,178369,0.3,237923,2.00 31,1,2024-09-07 09:10:20:567,622174,622174,0,0,291245985731,2986270846,619626,1989,559,356,391712,0 31,2,2024-09-07 09:10:21:279,445329,445329,0,0,18756413,0,3525 31,3,2024-09-07 09:10:21:710,1,352,1,0,220,3007,352,0 32,0,2024-09-07 09:10:21:448,86976,0.3,87395,0.5,174709,0.2,232446,1.50 32,1,2024-09-07 09:10:20:808,619865,619865,0,0,290342131973,3012872421,616721,2698,446,381,391646,0 32,2,2024-09-07 09:10:20:934,446567,446567,0,0,15681896,0,3155 32,3,2024-09-07 09:10:21:014,1,352,1,0,227,2472,352,0 33,0,2024-09-07 09:10:21:494,89371,0.3,88849,0.4,178393,0.2,238230,1.50 33,1,2024-09-07 09:10:20:579,620994,620994,0,0,290723650823,3008651632,616550,3613,831,369,391730,0 33,2,2024-09-07 09:10:20:762,446057,446022,35,0,17855744,0,7012 33,3,2024-09-07 09:10:20:897,1,352,1,0,329,3598,352,0 34,0,2024-09-07 09:10:20:930,88465,0.3,91089,0.5,174184,0.2,234359,1.75 34,1,2024-09-07 09:10:21:053,621855,621855,0,0,291856470235,2998141171,620433,1413,9,367,391562,0 34,2,2024-09-07 09:10:20:770,445250,445250,0,0,17049906,0,3577 34,3,2024-09-07 09:10:21:687,1,352,1,0,299,2511,352,0 35,0,2024-09-07 09:10:20:859,87468,0.4,87826,0.5,176658,0.3,235325,1.75 35,1,2024-09-07 09:10:21:071,619752,619752,0,0,290460916992,3007688772,616143,2828,781,382,391589,0 35,2,2024-09-07 09:10:21:585,444860,444860,0,0,17136858,0,2653 35,3,2024-09-07 09:10:20:907,1,352,1,0,418,4283,352,0 36,0,2024-09-07 09:10:21:524,87819,0.4,87685,0.6,175454,0.4,232680,2.00 36,1,2024-09-07 09:10:20:595,619412,619412,0,0,289694586802,3023002149,612095,5659,1658,366,391759,0 36,2,2024-09-07 09:10:21:751,448682,448682,0,0,19481062,0,3875 36,3,2024-09-07 09:10:20:865,1,352,1,0,416,5387,352,0 37,0,2024-09-07 09:10:21:386,86604,0.5,86506,0.6,173208,0.4,231627,2.00 37,1,2024-09-07 09:10:20:579,618352,618345,0,7,289536957970,3021730170,611570,4689,2086,365,391570,0 37,2,2024-09-07 09:10:21:184,443027,443012,15,0,19181787,0,5815 37,3,2024-09-07 09:10:21:768,1,352,1,0,888,5572,352,0 38,0,2024-09-07 09:10:21:473,87192,0.5,84615,0.7,177147,0.4,231597,2.00 38,1,2024-09-07 09:10:21:610,620199,620199,0,0,290041968051,3016780636,614139,5302,758,368,391821,0 38,2,2024-09-07 09:10:20:760,443375,443328,47,0,19538474,0,6710 38,3,2024-09-07 09:10:21:000,1,352,10,0,689,4731,352,0 39,0,2024-09-07 09:10:21:762,90754,0.6,88777,0.7,172881,0.6,235967,2.00 39,1,2024-09-07 09:10:20:716,618475,618475,0,0,289930405124,3029813990,610039,6975,1461,365,391658,0 39,2,2024-09-07 09:10:21:422,445369,445369,0,0,17611033,0,2689 39,3,2024-09-07 09:10:20:714,1,352,1,0,324,4326,352,0 40,0,2024-09-07 09:10:21:493,87068,0.7,87762,0.9,175046,0.7,233144,2.75 40,1,2024-09-07 09:10:20:583,619182,619182,0,0,288919128868,3022783038,610598,7073,1511,368,391668,0 40,2,2024-09-07 09:10:21:305,447518,447517,1,0,21283785,0,5137 40,3,2024-09-07 09:10:21:157,1,352,1,0,1028,5084,352,0 41,0,2024-09-07 09:10:21:027,85984,1.2,88082,1.2,168153,1.8,229542,2.75 41,1,2024-09-07 09:10:20:775,618033,618033,0,0,289472602086,3024917233,610977,6351,705,369,391742,0 41,2,2024-09-07 09:10:20:763,442406,442406,0,0,20159107,0,3356 41,3,2024-09-07 09:10:21:676,1,352,1,0,366,3529,352,0 42,0,2024-09-07 09:10:21:478,88249,0.9,88381,1.0,177045,1.1,233801,2.75 42,1,2024-09-07 09:10:21:497,616993,616993,0,0,288430282580,3028663216,607446,7789,1758,380,391675,0 42,2,2024-09-07 09:10:21:140,443215,443215,0,0,20454448,0,3790 42,3,2024-09-07 09:10:21:010,1,352,0,0,446,3102,352,0 43,0,2024-09-07 09:10:20:918,88243,0.9,85953,1.0,180192,1.0,236067,2.50 43,1,2024-09-07 09:10:20:809,618397,618397,0,0,290648131724,3033689417,610162,6797,1438,366,391696,0 43,2,2024-09-07 09:10:21:739,446051,446051,0,0,20156632,0,3812 43,3,2024-09-07 09:10:21:750,1,352,1,0,467,4336,352,0 44,0,2024-09-07 09:10:20:858,89747,0.4,90141,0.6,179750,0.4,239186,1.75 44,1,2024-09-07 09:10:20:570,619744,619744,0,0,289456915925,2992109976,614681,4016,1047,356,391809,0 44,2,2024-09-07 09:10:21:271,445502,445502,0,0,17001710,0,4344 44,3,2024-09-07 09:10:21:097,1,352,0,0,817,4531,352,0 45,0,2024-09-07 09:10:21:764,85544,0.5,83452,0.7,175049,0.4,230816,2.00 45,1,2024-09-07 09:10:21:005,619426,619426,0,0,290386200287,3016425154,614992,3958,476,382,391917,0 45,2,2024-09-07 09:10:21:268,444990,444990,0,0,17453325,0,3596 45,3,2024-09-07 09:10:20:934,1,352,1,0,271,3276,352,0 46,0,2024-09-07 09:10:20:958,88958,0.5,88952,0.7,177889,0.5,236059,2.00 46,1,2024-09-07 09:10:20:816,621043,621043,0,0,291158421611,3005967372,617326,3291,426,366,391572,0 46,2,2024-09-07 09:10:20:595,445242,445242,0,0,16561624,0,2920 46,3,2024-09-07 09:10:21:138,1,352,1,0,908,5054,352,0 47,0,2024-09-07 09:10:21:124,89276,0.5,89547,0.6,179323,0.5,237288,2.00 47,1,2024-09-07 09:10:20:573,621548,621548,0,0,290356042966,3002613223,617061,3672,815,366,391641,0 47,2,2024-09-07 09:10:20:909,447220,447220,0,0,17239650,0,4477 47,3,2024-09-07 09:10:21:115,1,352,1,0,529,3739,352,0 48,0,2024-09-07 09:10:21:489,88741,0.3,88400,0.4,176327,0.2,235558,1.50 48,1,2024-09-07 09:10:21:022,619595,619595,0,0,290728112875,3016915266,616016,3275,304,384,391710,0 48,2,2024-09-07 09:10:20:701,444540,444540,0,0,15478941,0,3031 48,3,2024-09-07 09:10:20:762,1,352,13,0,339,2917,352,0 49,0,2024-09-07 09:10:21:710,89743,0.3,88196,0.5,170609,0.2,234390,1.75 49,1,2024-09-07 09:10:21:022,619230,619230,0,0,289931108946,3016558127,614909,3136,1185,382,391809,0 49,2,2024-09-07 09:10:21:797,447849,447849,0,0,16648512,0,4426 49,3,2024-09-07 09:10:21:421,1,352,1,0,408,3587,352,0 50,0,2024-09-07 09:10:21:510,87992,0.3,86537,0.4,174530,0.2,233019,1.75 50,1,2024-09-07 09:10:21:019,621504,621504,0,0,290792476994,3004178052,617684,3446,374,368,391565,0 50,2,2024-09-07 09:10:21:074,444986,444986,0,0,15710219,0,2263 50,3,2024-09-07 09:10:21:290,1,352,1,0,335,3318,352,0 51,0,2024-09-07 09:10:21:686,90227,0.3,88243,0.5,172042,0.2,234624,1.75 51,1,2024-09-07 09:10:21:679,621405,621405,0,0,291294763165,3003254501,618143,2286,976,365,391706,0 51,2,2024-09-07 09:10:21:315,444464,444464,0,0,15115042,0,3337 51,3,2024-09-07 09:10:21:030,1,352,2,0,678,2600,352,0 52,0,2024-09-07 09:10:21:420,88908,0.5,88861,0.7,177576,0.4,236037,2.00 52,1,2024-09-07 09:10:20:591,618683,618683,0,0,289838238334,3032185519,610042,7328,1313,368,391722,0 52,2,2024-09-07 09:10:21:755,441416,441378,38,0,19928403,0,6742 52,3,2024-09-07 09:10:20:680,1,352,1,0,1782,5250,352,0 53,0,2024-09-07 09:10:21:732,86901,0.6,84398,0.7,176645,0.6,231870,2.25 53,1,2024-09-07 09:10:20:772,617162,617162,0,0,289733913438,3038013426,607536,7043,2583,367,391702,0 53,2,2024-09-07 09:10:21:303,449560,449560,0,0,17364197,0,2727 53,3,2024-09-07 09:10:20:699,1,352,2,0,308,3253,352,0 54,0,2024-09-07 09:10:21:626,86129,0.5,86521,0.7,172145,0.4,230782,2.25 54,1,2024-09-07 09:10:20:588,619133,619133,0,0,290788841052,3019091939,613467,5064,602,367,391659,0 54,2,2024-09-07 09:10:20:865,443972,443966,6,0,19642671,0,5382 54,3,2024-09-07 09:10:20:773,1,352,0,0,676,5246,352,0 55,0,2024-09-07 09:10:21:761,85335,0.6,88403,0.7,178097,0.6,231991,2.50 55,1,2024-09-07 09:10:20:764,619141,619141,0,0,289737020920,3012252678,612515,5717,909,365,391731,0 55,2,2024-09-07 09:10:20:729,442997,442997,0,0,19031969,0,3563 55,3,2024-09-07 09:10:20:680,1,352,2,0,304,3850,352,0 56,0,2024-09-07 09:10:21:551,90884,1.1,85718,1.1,176754,1.6,236468,2.50 56,1,2024-09-07 09:10:20:637,616264,616264,0,0,289553751782,3053490790,607524,7109,1631,381,391867,0 56,2,2024-09-07 09:10:21:303,445223,445223,0,0,20257977,0,3567 56,3,2024-09-07 09:10:21:080,1,352,11,0,705,4511,352,0 57,0,2024-09-07 09:10:20:949,87529,1.3,87374,1.2,175167,1.9,233890,3.00 57,1,2024-09-07 09:10:20:996,617821,617821,0,0,289339649026,3029835015,610946,6155,720,366,392032,0 57,2,2024-09-07 09:10:21:335,447899,447899,0,0,21881424,0,3317 57,3,2024-09-07 09:10:21:742,1,352,13,0,359,4054,352,0 58,0,2024-09-07 09:10:20:555,85140,0.8,82743,0.9,173286,0.8,227572,2.50 58,1,2024-09-07 09:10:20:808,618591,618588,0,3,290044670137,3029526728,610462,7044,1082,367,391603,3 58,2,2024-09-07 09:10:21:074,443480,443480,0,0,19983879,0,2549 58,3,2024-09-07 09:10:21:073,1,352,1,0,1043,3732,352,0 59,0,2024-09-07 09:10:21:750,89231,0.8,88829,0.9,177449,0.9,235682,2.50 59,1,2024-09-07 09:10:20:807,617990,617990,0,0,289680387456,3029847345,610112,6357,1521,369,391586,0 59,2,2024-09-07 09:10:20:816,444894,444894,0,0,19823696,0,2867 59,3,2024-09-07 09:10:21:745,1,352,1,0,1015,4388,352,0 60,0,2024-09-07 09:10:21:712,89098,0.5,89143,0.7,178947,0.5,237508,1.75 60,1,2024-09-07 09:10:20:781,620230,620230,0,0,290956544182,3019760838,616108,3475,647,370,392031,0 60,2,2024-09-07 09:10:21:150,445876,445876,0,0,19317391,0,3811 60,3,2024-09-07 09:10:21:258,1,352,1,0,409,4178,352,0 61,0,2024-09-07 09:10:21:493,88907,0.6,89559,0.8,178087,0.6,237699,2.00 61,1,2024-09-07 09:10:20:796,618010,618010,0,0,289412792639,3033259259,610793,5892,1325,382,392127,0 61,2,2024-09-07 09:10:21:116,445399,445332,67,0,19644607,0,6411 61,3,2024-09-07 09:10:21:691,1,352,6,0,479,5159,352,0 62,0,2024-09-07 09:10:21:731,87514,0.5,89520,0.7,170770,0.5,232344,2.00 62,1,2024-09-07 09:10:21:132,622467,622461,0,6,291572635300,3008221806,618881,3321,259,365,391715,6 62,2,2024-09-07 09:10:21:648,444643,444642,1,0,19108406,0,5555 62,3,2024-09-07 09:10:21:157,1,352,4,0,465,2686,352,0 63,0,2024-09-07 09:10:21:457,89548,0.4,89225,0.6,179036,0.3,238604,1.75 63,1,2024-09-07 09:10:20:815,620481,620475,0,6,291075122640,3020606528,616540,3547,388,381,391800,6 63,2,2024-09-07 09:10:20:762,444804,444804,0,0,17576354,0,4369 63,3,2024-09-07 09:10:21:739,1,352,2,0,667,3869,352,0 64,0,2024-09-07 09:10:21:531,87785,0.5,87692,0.7,175549,0.5,233456,2.00 64,1,2024-09-07 09:10:20:763,619330,619330,0,0,289369845094,3013765694,613683,3987,1660,370,391783,0 64,2,2024-09-07 09:10:21:159,448291,448272,19,0,17046286,0,6121 64,3,2024-09-07 09:10:21:179,1,352,0,0,265,3232,352,0 65,0,2024-09-07 09:10:21:689,87250,0.7,87622,0.8,174961,0.7,233196,2.25 65,1,2024-09-07 09:10:20:872,618122,618122,0,0,288906467690,3016960655,614034,3637,451,382,391901,0 65,2,2024-09-07 09:10:21:693,445474,445474,0,0,18816682,0,3367 65,3,2024-09-07 09:10:21:683,1,352,1,0,163,3103,352,0 66,0,2024-09-07 09:10:21:774,87068,0.5,87134,0.7,174166,0.4,231563,2.00 66,1,2024-09-07 09:10:21:310,619420,619420,0,0,290282642478,3015561245,615856,3242,322,380,391653,0 66,2,2024-09-07 09:10:21:145,449951,449951,0,0,16855487,0,4956 66,3,2024-09-07 09:10:21:079,1,352,1,0,291,3243,352,0 67,0,2024-09-07 09:10:21:423,87274,0.5,86726,0.7,174134,0.4,232111,2.00 67,1,2024-09-07 09:10:20:769,620188,620187,0,1,290148934445,3018645236,616020,3416,751,380,391787,1 67,2,2024-09-07 09:10:20:585,445552,445552,0,0,16233381,0,2889 67,3,2024-09-07 09:10:21:750,1,352,1,0,338,3200,352,0 68,0,2024-09-07 09:10:20:582,88008,0.6,87576,0.7,174922,0.6,233571,2.00 68,1,2024-09-07 09:10:20:585,618278,618278,0,0,289375013884,3027897213,613460,3614,1204,381,391953,0 68,2,2024-09-07 09:10:21:066,442417,442352,65,0,21339720,0,6698 68,3,2024-09-07 09:10:20:728,1,352,1,0,417,3735,352,0 69,0,2024-09-07 09:10:21:799,88476,0.8,89080,0.9,177158,1.0,235091,2.25 69,1,2024-09-07 09:10:21:016,616158,616158,0,0,289488757733,3051107452,608138,5910,2110,384,391994,0 69,2,2024-09-07 09:10:21:744,444783,444783,0,0,21588755,0,3722 69,3,2024-09-07 09:10:20:762,1,352,0,0,698,4918,352,0 70,0,2024-09-07 09:10:21:534,86926,0.7,87050,1.0,175048,0.5,231715,2.25 70,1,2024-09-07 09:10:20:808,619715,619715,0,0,290747898283,3015289813,614862,4317,536,366,391725,0 70,2,2024-09-07 09:10:21:338,447866,447866,0,0,19533146,0,4044 70,3,2024-09-07 09:10:20:760,1,352,1,0,854,3828,352,0 71,0,2024-09-07 09:10:21:377,85909,0.7,85575,0.9,172035,0.8,230524,2.50 71,1,2024-09-07 09:10:21:614,618616,618616,0,0,290012013337,3022597552,612004,5956,656,368,391738,0 71,2,2024-09-07 09:10:21:074,443811,443811,0,0,19236702,0,4042 71,3,2024-09-07 09:10:21:754,1,352,1,0,644,4690,352,0 72,0,2024-09-07 09:10:21:050,91972,0.6,89895,0.7,175336,0.6,238182,2.00 72,1,2024-09-07 09:10:21:033,618033,618033,0,0,289542915391,3031437388,609794,6542,1697,369,391819,0 72,2,2024-09-07 09:10:21:755,442997,442997,0,0,22098990,0,3983 72,3,2024-09-07 09:10:21:755,1,352,1,0,564,5415,352,0 73,0,2024-09-07 09:10:21:123,87142,0.4,89329,0.6,182786,0.4,237213,2.00 73,1,2024-09-07 09:10:20:777,619136,619136,0,0,290152000831,3014202160,614814,3992,330,367,391858,0 73,2,2024-09-07 09:10:21:742,446127,446127,0,0,21337972,0,3701 73,3,2024-09-07 09:10:20:975,1,352,4,0,274,4587,352,0 74,0,2024-09-07 09:10:21:330,90155,0.4,92354,0.6,176352,0.4,238884,2.25 74,1,2024-09-07 09:10:20:635,618794,618794,0,0,289417841276,3019295135,612713,4818,1263,381,391681,0 74,2,2024-09-07 09:10:21:005,445667,445667,0,0,20299196,0,4253 74,3,2024-09-07 09:10:21:456,1,352,3,0,522,4865,352,0 75,0,2024-09-07 09:10:21:781,86719,0.4,86405,0.6,173041,0.4,231718,2.25 75,1,2024-09-07 09:10:21:591,617830,617830,0,0,289381560926,3021060782,611470,5535,825,380,391739,0 75,2,2024-09-07 09:10:21:352,443930,443930,0,0,20432517,0,4766 75,3,2024-09-07 09:10:21:070,1,352,1,0,702,4907,352,0 76,0,2024-09-07 09:10:20:597,89249,0.6,88529,0.8,177723,0.6,237477,2.25 76,1,2024-09-07 09:10:20:816,618936,618936,0,0,289539876991,3017968070,615011,3334,591,382,391692,0 76,2,2024-09-07 09:10:21:070,446494,446493,1,0,19587704,0,5144 76,3,2024-09-07 09:10:21:157,1,352,1,0,175,3338,352,0 77,0,2024-09-07 09:10:21:694,89024,0.6,89161,0.8,178422,0.7,237225,2.00 77,1,2024-09-07 09:10:20:870,618466,618466,0,0,289718268107,3026030386,613189,4786,491,381,391869,0 77,2,2024-09-07 09:10:21:283,446122,446122,0,0,19157965,0,3890 77,3,2024-09-07 09:10:21:113,1,352,1,0,401,3928,352,0 78,0,2024-09-07 09:10:21:728,88574,0.4,88151,0.6,176926,0.4,234858,2.00 78,1,2024-09-07 09:10:20:614,619298,619298,0,0,289522007921,3012587132,613367,4786,1145,367,391670,0 78,2,2024-09-07 09:10:21:406,445626,445626,0,0,16503568,0,3855 78,3,2024-09-07 09:10:21:139,1,352,0,0,181,3259,352,0 79,0,2024-09-07 09:10:21:350,84695,0.4,86782,0.6,177471,0.3,231365,2.25 79,1,2024-09-07 09:10:20:571,621103,621103,0,0,290458167072,3006185840,616546,3924,633,368,391682,0 79,2,2024-09-07 09:10:21:074,448196,448196,0,0,17493307,0,4195 79,3,2024-09-07 09:10:20:749,1,352,1,0,418,4418,352,0 80,0,2024-09-07 09:10:21:139,87417,0.5,90095,0.6,172519,0.4,232638,2.00 80,1,2024-09-07 09:10:21:644,619352,619352,0,0,290481414530,3018673593,615999,3174,179,368,391791,0 80,2,2024-09-07 09:10:21:139,446608,446608,0,0,16606895,0,4433 80,3,2024-09-07 09:10:20:808,1,352,1,0,190,4098,352,0 81,0,2024-09-07 09:10:21:618,87835,0.6,89937,0.7,171718,0.6,233261,2.00 81,1,2024-09-07 09:10:21:651,618062,618062,0,0,289285062338,3021048400,613193,4370,499,382,391879,0 81,2,2024-09-07 09:10:21:177,443872,443809,63,0,18539958,0,5932 81,3,2024-09-07 09:10:21:123,1,352,2,0,374,3998,352,0 82,0,2024-09-07 09:10:21:552,88221,0.5,88729,0.7,177867,0.4,235668,2.00 82,1,2024-09-07 09:10:20:584,620312,620308,0,4,290866361597,3024645234,616413,3098,797,381,391768,4 82,2,2024-09-07 09:10:21:719,446252,446252,0,0,15850995,0,3986 82,3,2024-09-07 09:10:21:762,1,352,2,0,363,3472,352,0 83,0,2024-09-07 09:10:21:527,87708,0.5,87390,0.7,174306,0.5,232170,2.00 83,1,2024-09-07 09:10:20:551,618544,618544,0,0,289954338839,3020729466,614229,3934,381,382,391709,0 83,2,2024-09-07 09:10:20:763,448722,448722,0,0,16438497,0,3393 83,3,2024-09-07 09:10:20:751,1,352,1,0,1260,4904,352,0 84,0,2024-09-07 09:10:21:762,86597,0.6,86515,0.8,173137,0.5,232046,2.00 84,1,2024-09-07 09:10:21:085,617844,617844,0,0,288853553123,3015716929,612080,5035,729,367,391967,0 84,2,2024-09-07 09:10:20:582,443952,443952,0,0,20586505,0,4757 84,3,2024-09-07 09:10:21:147,1,352,2,0,908,5195,352,0 85,0,2024-09-07 09:10:21:042,85063,0.7,85090,0.8,180586,0.7,233293,2.25 85,1,2024-09-07 09:10:20:560,616460,616460,0,0,289238918397,3048442446,607925,7163,1372,381,392006,0 85,2,2024-09-07 09:10:20:867,443719,443719,0,0,20330475,0,3656 85,3,2024-09-07 09:10:20:696,1,352,7,0,789,4518,352,0 86,0,2024-09-07 09:10:20:880,88903,0.7,91502,0.8,175068,0.7,236428,2.25 86,1,2024-09-07 09:10:20:830,618323,618323,0,0,289566509041,3028688230,611428,5829,1066,366,391961,0 86,2,2024-09-07 09:10:20:857,444462,444461,1,0,21348715,0,5004 86,3,2024-09-07 09:10:20:586,1,352,1,0,308,4669,352,0 87,0,2024-09-07 09:10:21:298,88330,0.8,88117,0.9,176945,1.0,235740,2.25 87,1,2024-09-07 09:10:20:565,618208,618208,0,0,289001044650,3020238962,611989,5605,614,366,392076,0 87,2,2024-09-07 09:10:21:071,446308,446308,0,0,19438584,0,4045 87,3,2024-09-07 09:10:21:795,1,352,2,0,473,5044,352,0 88,0,2024-09-07 09:10:21:458,86288,0.4,86957,0.6,173297,0.4,230556,1.75 88,1,2024-09-07 09:10:20:569,616708,616708,0,0,289133818999,3028316459,609002,6041,1665,365,392084,0 88,2,2024-09-07 09:10:20:689,444604,444604,0,0,21608286,0,3583 88,3,2024-09-07 09:10:21:267,1,352,1,0,435,3736,352,0 89,0,2024-09-07 09:10:21:788,92102,0.5,89131,0.6,176548,0.4,239330,1.75 89,1,2024-09-07 09:10:20:561,616800,616800,0,0,289045387876,3038743864,609037,6488,1275,382,391866,0 89,2,2024-09-07 09:10:21:151,443527,443527,0,0,20672355,0,2910 89,3,2024-09-07 09:10:21:791,1,352,0,0,385,6308,352,0 90,0,2024-09-07 09:10:21:649,86506,0.5,88914,0.6,181232,0.4,236657,2.00 90,1,2024-09-07 09:10:20:593,618300,618300,0,0,289538461036,3033414130,612081,5715,504,380,391825,0 90,2,2024-09-07 09:10:21:415,444507,444507,0,0,21896695,0,3060 90,3,2024-09-07 09:10:20:932,1,352,8,0,246,3872,352,0 91,0,2024-09-07 09:10:20:932,89662,0.5,86799,0.6,181164,0.4,238249,1.75 91,1,2024-09-07 09:10:20:558,616711,616711,0,0,289543256745,3043562136,608877,6787,1047,381,392047,0 91,2,2024-09-07 09:10:21:335,445833,445833,0,0,19823334,0,2896 91,3,2024-09-07 09:10:20:606,1,352,17,0,216,3292,352,0 92,0,2024-09-07 09:10:21:457,87689,0.4,89992,0.6,171911,0.4,232683,1.75 92,1,2024-09-07 09:10:20:581,618059,618059,0,0,289346233178,3022505435,613239,4132,688,382,392136,0 92,2,2024-09-07 09:10:21:373,446746,446746,0,0,17870662,0,3259 92,3,2024-09-07 09:10:21:014,1,352,40,0,167,3014,352,0 93,0,2024-09-07 09:10:20:954,89666,0.4,91886,0.5,175671,0.3,238707,1.75 93,1,2024-09-07 09:10:20:812,618540,618540,0,0,289977173621,3025911241,612412,5097,1031,366,391776,0 93,2,2024-09-07 09:10:20:928,444255,444255,0,0,20908614,0,4845 93,3,2024-09-07 09:10:21:412,1,352,1,0,190,3389,352,0 94,0,2024-09-07 09:10:21:615,87973,0.4,88676,0.5,177076,0.3,235070,1.75 94,1,2024-09-07 09:10:20:567,618712,618712,0,0,289796681372,3023388663,614656,3865,191,381,391850,0 94,2,2024-09-07 09:10:20:772,444743,444743,0,0,18030711,0,2443 94,3,2024-09-07 09:10:21:688,1,352,2,0,264,4321,352,0 95,0,2024-09-07 09:10:21:352,87947,0.4,88093,0.5,176461,0.3,235033,1.75 95,1,2024-09-07 09:10:20:851,619941,619941,0,0,289806575021,3013223036,614919,4622,400,367,391713,0 95,2,2024-09-07 09:10:21:017,444523,444523,0,0,17727409,0,3308 95,3,2024-09-07 09:10:21:715,1,352,1,0,718,5390,352,0 96,0,2024-09-07 09:10:21:123,87682,0.3,87830,0.5,175257,0.3,232333,1.75 96,1,2024-09-07 09:10:21:588,618312,618312,0,0,289921418698,3023418336,613782,3734,796,384,391955,0 96,2,2024-09-07 09:10:21:275,448843,448843,0,0,17584949,0,4042 96,3,2024-09-07 09:10:21:146,1,352,14,0,411,3769,352,0 97,0,2024-09-07 09:10:21:322,87054,0.3,86889,0.5,174331,0.3,231947,1.50 97,1,2024-09-07 09:10:20:764,620480,620480,0,0,290531810773,3010088877,616589,3217,674,367,392140,0 97,2,2024-09-07 09:10:20:607,445471,445471,0,0,17042376,0,3036 97,3,2024-09-07 09:10:20:578,1,352,1,0,214,4048,352,0 98,0,2024-09-07 09:10:21:691,87877,0.3,87657,0.4,175942,0.2,233564,1.50 98,1,2024-09-07 09:10:20:574,619561,619561,0,0,289514231333,3009691719,616885,2569,107,382,391997,0 98,2,2024-09-07 09:10:20:780,444228,444228,0,0,16941552,0,3080 98,3,2024-09-07 09:10:20:698,1,352,0,0,840,5462,352,0 99,0,2024-09-07 09:10:21:459,88955,0.3,89482,0.5,178048,0.3,237287,1.75 99,1,2024-09-07 09:10:21:735,619359,619359,0,0,290479055397,3022517873,615210,3393,756,381,392069,0 99,2,2024-09-07 09:10:21:424,446361,446361,0,0,19248529,0,3424 99,3,2024-09-07 09:10:20:581,1,352,1,0,199,3316,352,0 100,0,2024-09-07 09:10:21:471,87602,0.7,87528,0.9,174964,0.8,233590,2.25 100,1,2024-09-07 09:10:20:548,616037,616037,0,0,288141614542,3040358962,607560,6981,1496,381,391989,0 100,2,2024-09-07 09:10:21:816,446905,446894,11,0,19922016,0,5417 100,3,2024-09-07 09:10:21:735,1,352,1,0,559,5997,352,0 101,0,2024-09-07 09:10:21:696,88393,0.7,86023,0.9,168881,0.6,232171,2.25 101,1,2024-09-07 09:10:20:559,616145,616145,0,0,288777853886,3037352076,607047,7074,2024,368,391771,0 101,2,2024-09-07 09:10:21:756,441518,441518,0,0,22993569,0,4644 101,3,2024-09-07 09:10:20:942,1,352,3,0,579,4564,352,0 102,0,2024-09-07 09:10:20:953,86744,0.7,89672,0.8,181140,0.6,236941,2.00 102,1,2024-09-07 09:10:21:151,617001,617001,0,0,289477892288,3036580131,609677,6290,1034,369,391891,0 102,2,2024-09-07 09:10:21:745,444512,444458,54,0,19277892,0,6768 102,3,2024-09-07 09:10:21:621,1,352,1,0,410,3670,352,0 103,0,2024-09-07 09:10:21:594,92235,0.6,92163,0.7,173891,0.7,238982,2.00 103,1,2024-09-07 09:10:21:632,616319,616319,0,0,288545800111,3039790848,607493,6829,1997,381,391829,0 103,2,2024-09-07 09:10:20:809,445028,445028,0,0,20356974,0,3173 103,3,2024-09-07 09:10:20:769,1,352,1,0,916,4258,352,0 104,0,2024-09-07 09:10:21:025,88705,0.7,89138,0.9,177120,0.6,238168,2.25 104,1,2024-09-07 09:10:21:603,618088,618088,0,0,288448775251,3030755635,609166,7224,1698,365,392168,0 104,2,2024-09-07 09:10:21:666,444903,444903,0,0,21449413,0,3941 104,3,2024-09-07 09:10:21:421,1,352,1,0,1245,7543,352,0 105,0,2024-09-07 09:10:21:091,85688,0.7,83342,1.0,174495,0.8,230190,2.50 105,1,2024-09-07 09:10:20:561,618115,618115,0,0,289838871313,3038040560,609953,6825,1337,366,392009,0 105,2,2024-09-07 09:10:21:326,443810,443810,0,0,20706992,0,3509 105,3,2024-09-07 09:10:21:305,1,352,2,0,399,5666,352,0 106,0,2024-09-07 09:10:20:944,86430,0.8,88470,0.9,181187,0.9,236879,2.50 106,1,2024-09-07 09:10:21:755,616790,616790,0,0,289366017277,3040158365,607193,8303,1294,369,391914,0 106,2,2024-09-07 09:10:20:758,442982,442982,0,0,20458014,0,2795 106,3,2024-09-07 09:10:20:678,1,352,2,0,470,4412,352,0 107,0,2024-09-07 09:10:21:122,88777,1.1,88952,1.0,177738,1.6,237000,2.25 107,1,2024-09-07 09:10:20:588,616538,616538,0,0,288805635515,3040991482,608552,7070,916,381,392234,0 107,2,2024-09-07 09:10:21:307,444075,444074,1,0,20995841,0,5024 107,3,2024-09-07 09:10:21:755,1,352,2,0,353,4842,352,0 108,0,2024-09-07 09:10:21:816,87996,0.4,88600,0.6,176108,0.4,235397,1.75 108,1,2024-09-07 09:10:21:293,618929,618929,0,0,289979108747,3023569391,613837,4574,518,368,391857,0 108,2,2024-09-07 09:10:21:759,443691,443691,0,0,20094626,0,4246 108,3,2024-09-07 09:10:21:338,1,352,4,0,749,7073,352,0 109,0,2024-09-07 09:10:21:774,87927,0.4,87042,0.5,174343,0.3,233920,1.75 109,1,2024-09-07 09:10:20:586,616691,616691,0,0,289707244870,3036721968,611478,4548,665,383,392132,0 109,2,2024-09-07 09:10:20:921,445293,445293,0,0,19554665,0,3617 109,3,2024-09-07 09:10:21:175,1,352,1,0,379,4166,352,0 110,0,2024-09-07 09:10:21:772,87431,0.4,85083,0.6,178176,0.3,233515,1.75 110,1,2024-09-07 09:10:21:653,619796,619796,0,0,290897889894,3017080337,615790,3029,977,369,392045,0 110,2,2024-09-07 09:10:21:321,444628,444628,0,0,19301053,0,4067 110,3,2024-09-07 09:10:20:694,1,352,7,0,722,5207,352,0 111,0,2024-09-07 09:10:21:429,88241,0.4,87571,0.6,175699,0.4,234689,1.75 111,1,2024-09-07 09:10:21:009,620517,620517,0,0,291613314378,3024572156,617135,3030,352,382,391690,0 111,2,2024-09-07 09:10:21:184,443604,443604,0,0,18634250,0,4823 111,3,2024-09-07 09:10:20:917,1,352,1,0,379,4210,352,0 112,0,2024-09-07 09:10:20:942,89437,0.3,88720,0.4,177999,0.2,236466,1.50 112,1,2024-09-07 09:10:20:826,619988,619988,0,0,290201739660,3008250302,616523,2881,584,380,391624,0 112,2,2024-09-07 09:10:21:159,445259,445258,1,0,16823397,0,5036 112,3,2024-09-07 09:10:20:594,1,352,0,0,282,3515,352,0 113,0,2024-09-07 09:10:20:896,87164,0.3,87378,0.4,175386,0.2,233462,1.50 113,1,2024-09-07 09:10:21:688,621565,621565,0,0,291346259848,3003907142,618284,2697,584,366,391661,0 113,2,2024-09-07 09:10:21:302,450103,450103,0,0,15642337,0,3813 113,3,2024-09-07 09:10:20:689,1,352,6,0,340,4101,352,0 114,0,2024-09-07 09:10:20:877,87469,0.3,88192,0.4,174776,0.2,234170,1.75 114,1,2024-09-07 09:10:20:716,619800,619800,0,0,290567229148,3017420846,614672,3534,1594,381,391556,0 114,2,2024-09-07 09:10:20:873,444733,444732,1,0,17129561,0,5069 114,3,2024-09-07 09:10:21:277,1,352,1,0,395,3007,352,0 115,0,2024-09-07 09:10:20:553,88162,0.3,88896,0.4,177455,0.2,235572,1.50 115,1,2024-09-07 09:10:20:578,619271,619271,0,0,291305824436,3022845075,614405,4026,840,382,391757,0 115,2,2024-09-07 09:10:21:125,445761,445761,0,0,15822352,0,3453 115,3,2024-09-07 09:10:21:005,1,352,7,0,159,2086,352,0 116,0,2024-09-07 09:10:21:707,88723,0.8,88574,0.9,177726,0.9,237507,2.00 116,1,2024-09-07 09:10:20:810,616202,616202,0,0,288556528816,3040878341,608589,5344,2269,380,392089,0 116,2,2024-09-07 09:10:21:766,445209,445209,0,0,21389088,0,3529 116,3,2024-09-07 09:10:20:926,1,352,16,0,415,4301,352,0 117,0,2024-09-07 09:10:21:004,88862,0.7,88339,0.8,176920,0.7,236234,2.00 117,1,2024-09-07 09:10:21:585,617508,617508,0,0,288950693974,3022091798,611191,5648,669,369,392033,0 117,2,2024-09-07 09:10:21:178,449706,449706,0,0,17831784,0,3700 117,3,2024-09-07 09:10:21:067,1,352,5,0,490,5031,352,0 118,0,2024-09-07 09:10:21:772,83795,0.5,85981,0.6,175709,0.4,229680,2.00 118,1,2024-09-07 09:10:20:592,617260,617260,0,0,288700552271,3032094726,608457,6903,1900,366,391907,0 118,2,2024-09-07 09:10:21:603,443219,443219,0,0,19657264,0,2781 118,3,2024-09-07 09:10:21:765,1,352,6,0,235,3811,352,0 119,0,2024-09-07 09:10:21:364,89150,0.6,89468,0.7,179000,0.6,237702,2.00 119,1,2024-09-07 09:10:20:657,618907,618907,0,0,289908442243,3029363789,612330,5740,837,367,391780,0 119,2,2024-09-07 09:10:21:267,444823,444823,0,0,18352436,0,4174 119,3,2024-09-07 09:10:21:336,1,352,1,0,563,5227,352,0 120,0,2024-09-07 09:10:21:547,88866,0.7,88547,0.9,177189,0.6,237354,2.25 120,1,2024-09-07 09:10:20:858,617785,617785,0,0,288827267009,3029481215,611360,5867,558,368,391961,0 120,2,2024-09-07 09:10:20:770,445356,445355,1,0,21757040,0,5281 120,3,2024-09-07 09:10:21:290,1,352,3,0,241,4283,352,0 121,0,2024-09-07 09:10:21:711,88916,0.9,89084,1.0,178617,1.2,237855,2.25 121,1,2024-09-07 09:10:21:666,618393,618393,0,0,288758228330,3019229894,612470,5485,438,367,391840,0 121,2,2024-09-07 09:10:21:128,444699,444699,0,0,20949491,0,4127 121,3,2024-09-07 09:10:20:727,1,352,1,0,269,3951,352,0 122,0,2024-09-07 09:10:21:766,86619,0.7,84601,0.8,177450,0.8,232510,2.00 122,1,2024-09-07 09:10:20:862,617349,617349,0,0,288804325987,3029049420,608883,7203,1263,366,392130,0 122,2,2024-09-07 09:10:21:323,445242,445169,73,0,23449716,0,5989 122,3,2024-09-07 09:10:20:606,1,352,7,0,512,6631,352,0 123,0,2024-09-07 09:10:20:987,88808,0.6,86881,0.8,181270,0.7,238217,2.00 123,1,2024-09-07 09:10:20:560,617061,617061,0,0,289179425977,3047235308,605611,9322,2128,369,392039,0 123,2,2024-09-07 09:10:21:021,443051,443050,1,0,20246845,0,5215 123,3,2024-09-07 09:10:21:174,1,352,8,0,168,3933,352,0 124,0,2024-09-07 09:10:20:920,90991,0.4,90934,0.5,171529,0.3,235933,1.75 124,1,2024-09-07 09:10:21:036,619304,619304,0,0,289038247581,3006727146,614581,3813,910,367,392178,0 124,2,2024-09-07 09:10:21:010,446238,446185,53,0,18280863,0,6487 124,3,2024-09-07 09:10:20:758,1,352,2,0,490,3551,352,0 125,0,2024-09-07 09:10:21:449,88373,0.4,87935,0.6,176900,0.3,235389,1.75 125,1,2024-09-07 09:10:20:860,618109,618109,0,0,289303033247,3019804728,613225,4239,645,383,391702,0 125,2,2024-09-07 09:10:21:121,445045,445045,0,0,18888437,0,4534 125,3,2024-09-07 09:10:21:182,1,352,1,0,709,4659,352,0 126,0,2024-09-07 09:10:21:442,87509,0.4,89795,0.6,172293,0.4,233306,1.75 126,1,2024-09-07 09:10:20:565,619905,619905,0,0,290065090830,3005020253,616160,3459,286,365,391987,0 126,2,2024-09-07 09:10:20:622,448760,448760,0,0,18975982,0,4539 126,3,2024-09-07 09:10:20:914,1,352,4,0,207,4442,352,0 127,0,2024-09-07 09:10:21:619,86962,0.3,87430,0.5,174227,0.3,231972,1.75 127,1,2024-09-07 09:10:20:570,619003,619003,0,0,290164482025,3013779747,613578,4564,861,364,392187,0 127,2,2024-09-07 09:10:20:659,444096,444096,0,0,17463409,0,3897 127,3,2024-09-07 09:10:21:267,1,352,9,0,968,4088,352,0 128,0,2024-09-07 09:10:21:534,88003,0.3,88244,0.4,176501,0.2,233882,1.50 128,1,2024-09-07 09:10:21:612,619466,619466,0,0,289468743342,3004427151,615361,3733,372,367,391838,0 128,2,2024-09-07 09:10:21:389,444944,444944,0,0,16359717,0,2915 128,3,2024-09-07 09:10:20:768,1,352,3,0,1082,5947,352,0 129,0,2024-09-07 09:10:21:001,89572,0.3,89092,0.5,178804,0.3,237361,1.50 129,1,2024-09-07 09:10:20:580,616793,616793,0,0,289458513195,3028896341,611138,4398,1257,379,391835,0 129,2,2024-09-07 09:10:20:696,445932,445932,0,0,17183672,0,4031 129,3,2024-09-07 09:10:20:689,1,352,7,0,469,4518,352,0 130,0,2024-09-07 09:10:21:721,88414,0.5,87901,0.6,176842,0.5,234771,1.75 130,1,2024-09-07 09:10:20:589,619280,619280,0,0,289789434008,3011424756,615858,3142,280,381,391825,0 130,2,2024-09-07 09:10:21:125,449520,449520,0,0,17111230,0,4067 130,3,2024-09-07 09:10:21:290,1,352,535,0,535,4749,352,0 131,0,2024-09-07 09:10:21:954,86309,0.3,86861,0.5,174604,0.3,231854,1.50 131,1,2024-09-07 09:10:21:822,619368,619368,0,0,289931294583,3023801347,614887,3734,747,381,391865,0 131,2,2024-09-07 09:10:20:570,445973,445973,0,0,15708197,0,2415 131,3,2024-09-07 09:10:21:694,1,352,0,0,392,3584,352,0 132,0,2024-09-07 09:10:21:435,89068,0.4,89917,0.6,178960,0.4,237677,1.75 132,1,2024-09-07 09:10:20:585,616283,616283,0,0,288676179125,3037239799,607636,7180,1467,381,392097,0 132,2,2024-09-07 09:10:20:704,443885,443885,0,0,20841969,0,4606 132,3,2024-09-07 09:10:21:691,1,352,1,0,804,6232,352,0 133,0,2024-09-07 09:10:21:559,87185,0.5,89091,0.6,182943,0.4,237688,1.75 133,1,2024-09-07 09:10:20:592,616428,616428,0,0,288925864505,3042182671,608242,7101,1085,383,391914,0 133,2,2024-09-07 09:10:21:093,445349,445349,0,0,22180827,0,4315 133,3,2024-09-07 09:10:21:304,1,352,0,0,479,3676,352,0 134,0,2024-09-07 09:10:20:946,89906,0.5,89747,0.7,179514,0.4,239460,2.00 134,1,2024-09-07 09:10:20:586,617217,617217,0,0,288947125249,3031150532,608892,6469,1856,366,391718,0 134,2,2024-09-07 09:10:21:756,445528,445528,0,0,18522944,0,3847 134,3,2024-09-07 09:10:20:750,1,352,3,0,739,4482,352,0 135,0,2024-09-07 09:10:21:111,83730,0.6,83781,0.8,177723,0.6,229841,2.00 135,1,2024-09-07 09:10:21:587,617698,617698,0,0,289437649310,3043844038,609259,7202,1237,380,391805,0 135,2,2024-09-07 09:10:20:705,445528,445528,0,0,20090351,0,3981 135,3,2024-09-07 09:10:21:013,1,352,1,0,299,2673,352,0 136,0,2024-09-07 09:10:21:645,89727,0.5,89985,0.7,179062,0.5,238713,2.00 136,1,2024-09-07 09:10:21:463,617176,617176,0,0,288460937592,3027490769,610149,6329,698,382,391685,0 136,2,2024-09-07 09:10:21:158,445000,445000,0,0,19938310,0,3506 136,3,2024-09-07 09:10:21:114,1,352,1,0,637,3934,352,0 137,0,2024-09-07 09:10:20:928,91730,0.7,89224,0.8,175272,0.7,238383,2.00 137,1,2024-09-07 09:10:20:579,617069,617069,0,0,289279924529,3035239592,607445,7742,1882,366,391898,0 137,2,2024-09-07 09:10:21:711,444814,444814,0,0,22369334,0,3185 137,3,2024-09-07 09:10:20:769,1,352,1,0,382,4102,352,0 138,0,2024-09-07 09:10:21:760,87666,1.2,87765,1.1,176316,1.7,234299,2.25 138,1,2024-09-07 09:10:21:687,617956,617956,0,0,289521781670,3038359799,608904,7456,1596,368,391954,0 138,2,2024-09-07 09:10:20:586,444313,444313,0,0,19968096,0,4988 138,3,2024-09-07 09:10:20:628,1,352,8,0,1160,4887,352,0 139,0,2024-09-07 09:10:21:382,86403,1.1,86692,1.0,173692,1.5,232047,2.25 139,1,2024-09-07 09:10:20:599,615296,615296,0,0,287546638826,3052968609,603917,8731,2648,381,392058,0 139,2,2024-09-07 09:10:20:699,444408,444408,0,0,21856859,0,3097 139,3,2024-09-07 09:10:21:664,1,352,6,0,257,4087,352,0 140,0,2024-09-07 09:10:21:594,87770,0.3,87299,0.5,175395,0.2,233743,1.75 140,1,2024-09-07 09:10:21:536,621444,621444,0,0,290661642961,2997196661,618237,2768,439,365,391606,0 140,2,2024-09-07 09:10:20:697,444828,444827,1,0,17928043,0,5036 140,3,2024-09-07 09:10:20:768,1,352,2,0,247,2840,352,0 141,0,2024-09-07 09:10:21:699,88083,0.3,90423,0.5,172821,0.3,234741,1.75 141,1,2024-09-07 09:10:20:859,619714,619714,0,0,290557605124,3017892571,615150,3702,862,379,391614,0 141,2,2024-09-07 09:10:21:687,444428,444428,0,0,17519115,0,3360 141,3,2024-09-07 09:10:21:052,1,352,1,0,391,4009,352,0 142,0,2024-09-07 09:10:21:323,89563,0.3,88714,0.5,177378,0.3,236973,1.75 142,1,2024-09-07 09:10:20:584,619412,619412,0,0,289183029144,3014834004,615443,3617,352,382,392102,0 142,2,2024-09-07 09:10:21:308,444571,444539,32,0,18959027,0,6028 142,3,2024-09-07 09:10:21:754,1,352,4,0,484,4199,352,0 143,0,2024-09-07 09:10:21:412,87689,0.4,87423,0.5,175241,0.4,233802,1.75 143,1,2024-09-07 09:10:20:558,619965,619965,0,0,290776718460,3015508827,616186,3628,151,367,391705,0 143,2,2024-09-07 09:10:20:772,449051,449051,0,0,18384832,0,3123 143,3,2024-09-07 09:10:21:157,1,352,2,0,303,4246,352,0 144,0,2024-09-07 09:10:21:571,84493,0.5,86628,0.7,176694,0.4,232151,2.00 144,1,2024-09-07 09:10:20:571,617021,617021,0,0,288989230010,3026493522,612146,3934,941,381,391649,0 144,2,2024-09-07 09:10:21:755,445042,445042,0,0,16507636,0,3473 144,3,2024-09-07 09:10:21:750,1,352,1,0,249,3496,352,0 145,0,2024-09-07 09:10:21:410,85360,0.6,85397,0.8,181214,0.5,232962,2.25 145,1,2024-09-07 09:10:20:594,616629,616629,0,0,289190190306,3038168416,609268,6220,1141,382,391759,0 145,2,2024-09-07 09:10:21:436,442886,442886,0,0,20051196,0,3903 145,3,2024-09-07 09:10:20:895,1,352,2,0,622,5166,352,0 146,0,2024-09-07 09:10:21:682,88977,0.6,88423,0.7,178206,0.6,236162,2.25 146,1,2024-09-07 09:10:21:603,617698,617698,0,0,288671799642,3035238671,607818,7869,2011,368,391770,0 146,2,2024-09-07 09:10:21:702,444502,444502,0,0,20008643,0,2730 146,3,2024-09-07 09:10:21:274,1,352,2,0,1520,7251,352,0 147,0,2024-09-07 09:10:21:697,88801,0.6,88515,0.7,176345,0.5,235757,2.00 147,1,2024-09-07 09:10:21:386,619420,619420,0,0,290008610546,3016910916,613753,4866,801,368,391791,0 147,2,2024-09-07 09:10:21:027,447222,447222,0,0,17841494,0,2789 147,3,2024-09-07 09:10:20:915,1,352,8,0,730,5094,352,0 0,0,2024-09-07 09:10:31:791,86812,0.6,86828,0.8,184305,0.7,237969,2.00 0,1,2024-09-07 09:10:30:841,620082,620082,0,0,290807419611,3038381074,615945,3891,246,369,391896,0 0,2,2024-09-07 09:10:31:067,448196,448196,0,0,17535990,0,4480 0,3,2024-09-07 09:10:30:990,1,353,3,0,431,4749,353,0 1,0,2024-09-07 09:10:31:837,89539,0.8,88994,0.9,178587,0.9,238660,2.00 1,1,2024-09-07 09:10:30:601,618972,618972,0,0,290264900997,3037046847,613365,4379,1228,370,391857,0 1,2,2024-09-07 09:10:30:724,446041,446041,0,0,17015535,0,3267 1,3,2024-09-07 09:10:31:319,1,353,10,0,262,4083,353,0 2,0,2024-09-07 09:10:31:568,87295,0.6,87510,0.7,174057,0.6,233365,2.00 2,1,2024-09-07 09:10:30:875,621516,621516,0,0,291416918435,3023949425,618616,2607,293,380,391745,0 2,2,2024-09-07 09:10:31:276,447273,447273,0,0,16732098,0,3594 2,3,2024-09-07 09:10:30:702,1,353,1,0,357,3668,353,0 3,0,2024-09-07 09:10:31:768,89562,0.4,89383,0.6,178722,0.4,238231,2.00 3,1,2024-09-07 09:10:31:629,619629,619629,0,0,290146521471,3019309128,614529,4477,623,380,391591,0 3,2,2024-09-07 09:10:31:141,446053,446030,23,0,17263248,0,5851 3,3,2024-09-07 09:10:31:760,1,353,1,0,207,2244,353,0 4,0,2024-09-07 09:10:31:780,85981,0.4,88483,0.5,180019,0.4,235779,1.75 4,1,2024-09-07 09:10:30:657,618562,618562,0,0,289528766975,3046688054,611099,6105,1358,371,391992,0 4,2,2024-09-07 09:10:31:019,445112,445112,0,0,20124186,0,4528 4,3,2024-09-07 09:10:31:034,1,353,1,0,448,4858,353,0 5,0,2024-09-07 09:10:31:391,88255,0.4,88632,0.6,177005,0.4,235370,1.75 5,1,2024-09-07 09:10:30:777,619463,619463,0,0,289795819187,3043847180,612290,5817,1356,367,392005,0 5,2,2024-09-07 09:10:31:840,444038,444038,0,0,19191001,0,2432 5,3,2024-09-07 09:10:31:758,1,353,2,0,457,5015,353,0 6,0,2024-09-07 09:10:30:935,88112,0.4,87638,0.6,175192,0.4,234174,2.00 6,1,2024-09-07 09:10:30:748,619385,619385,0,0,290430235034,3032567891,612772,5528,1085,379,391694,0 6,2,2024-09-07 09:10:31:123,448637,448637,0,0,18368456,0,4816 6,3,2024-09-07 09:10:31:277,1,353,1,0,340,3739,353,0 7,0,2024-09-07 09:10:31:560,86953,0.4,87459,0.6,174189,0.4,231848,1.75 7,1,2024-09-07 09:10:30:850,618713,618713,0,0,289946669005,3040892317,610641,6896,1176,382,391747,0 7,2,2024-09-07 09:10:30:769,446156,446156,0,0,18514399,0,4791 7,3,2024-09-07 09:10:30:874,1,353,1,0,398,3868,353,0 8,0,2024-09-07 09:10:31:357,88055,0.4,87925,0.5,175955,0.3,234610,1.75 8,1,2024-09-07 09:10:31:018,617808,617808,0,0,290362739961,3054585972,607653,7877,2278,366,392144,0 8,2,2024-09-07 09:10:30:816,441653,441653,0,0,22993124,0,3220 8,3,2024-09-07 09:10:30:601,1,353,35,0,538,6037,353,0 9,0,2024-09-07 09:10:31:126,89336,0.4,86754,0.5,181493,0.3,237622,1.75 9,1,2024-09-07 09:10:30:564,618336,618336,0,0,289345685619,3048404051,609262,6949,2125,369,392001,0 9,2,2024-09-07 09:10:31:097,445784,445784,0,0,20334572,0,3360 9,3,2024-09-07 09:10:31:783,1,353,9,0,496,5176,353,0 10,0,2024-09-07 09:10:31:624,88240,0.3,87555,0.5,175906,0.2,234384,1.75 10,1,2024-09-07 09:10:30:601,619401,619401,0,0,289257102943,3028559941,611516,6775,1110,381,391741,0 10,2,2024-09-07 09:10:30:767,449637,449637,0,0,22027355,0,4264 10,3,2024-09-07 09:10:30:884,1,353,5,0,296,3201,353,0 11,0,2024-09-07 09:10:31:012,86634,0.4,84184,0.6,175976,0.3,232971,1.75 11,1,2024-09-07 09:10:30:575,619878,619878,0,0,291130652007,3055888269,609854,7576,2448,383,391756,0 11,2,2024-09-07 09:10:31:124,444886,444886,0,0,19749100,0,4130 11,3,2024-09-07 09:10:31:304,1,353,1,0,843,5396,353,0 12,0,2024-09-07 09:10:31:029,89859,0.4,89884,0.5,179489,0.3,238079,1.75 12,1,2024-09-07 09:10:30:954,619458,619458,0,0,290259259137,3026016895,614589,4377,492,370,391870,0 12,2,2024-09-07 09:10:31:594,445996,445996,0,0,19516413,0,3469 12,3,2024-09-07 09:10:31:069,1,353,10,0,386,5640,353,0 13,0,2024-09-07 09:10:31:356,90203,0.4,89841,0.6,179400,0.4,238902,1.75 13,1,2024-09-07 09:10:31:561,618480,618480,0,0,289339229676,3041101333,612568,4502,1410,382,391740,0 13,2,2024-09-07 09:10:30:657,448180,448180,0,0,17947080,0,3287 13,3,2024-09-07 09:10:31:763,1,353,0,0,522,5622,353,0 14,0,2024-09-07 09:10:30:561,89922,0.4,90669,0.6,179445,0.3,239006,1.75 14,1,2024-09-07 09:10:31:566,623073,623073,0,0,291011821029,3012888724,618383,4331,359,364,391571,0 14,2,2024-09-07 09:10:30:768,447420,447390,30,0,19484034,0,6104 14,3,2024-09-07 09:10:31:122,1,353,2,0,1168,4222,353,0 15,0,2024-09-07 09:10:31:589,86812,0.4,86901,0.6,173966,0.4,231753,1.75 15,1,2024-09-07 09:10:31:621,620275,620275,0,0,290429094697,3022864482,615930,3522,823,381,391619,0 15,2,2024-09-07 09:10:31:005,447126,447126,0,0,16004858,0,3622 15,3,2024-09-07 09:10:31:412,1,353,3,0,1126,6289,353,0 16,0,2024-09-07 09:10:31:038,89609,0.6,89910,0.7,179663,0.5,238727,2.00 16,1,2024-09-07 09:10:30:580,620898,620898,0,0,290621350929,3031828846,616583,3953,362,370,391756,0 16,2,2024-09-07 09:10:31:439,445923,445923,0,0,18433795,0,4719 16,3,2024-09-07 09:10:31:142,1,353,1,0,317,4755,353,0 17,0,2024-09-07 09:10:32:056,91942,0.6,89669,0.8,175553,0.7,238464,2.00 17,1,2024-09-07 09:10:30:585,618596,618596,0,0,290365823667,3044342270,612351,4986,1259,368,392075,0 17,2,2024-09-07 09:10:31:749,449316,449316,0,0,18181803,0,2857 17,3,2024-09-07 09:10:30:581,1,353,33,0,298,5712,353,0 18,0,2024-09-07 09:10:30:940,87551,0.6,87873,0.8,175375,0.6,234295,2.25 18,1,2024-09-07 09:10:31:643,621459,621459,0,0,291083235210,3015009158,618110,3038,311,367,391649,0 18,2,2024-09-07 09:10:31:759,448068,448068,0,0,16746441,0,3541 18,3,2024-09-07 09:10:30:900,1,353,20,0,163,2988,353,0 19,0,2024-09-07 09:10:31:560,87400,0.5,88095,0.7,174259,0.5,232329,2.00 19,1,2024-09-07 09:10:30:573,621689,621689,0,0,290888209352,3016207244,616563,4287,839,367,391777,0 19,2,2024-09-07 09:10:31:760,449148,449148,0,0,15365541,0,3988 19,3,2024-09-07 09:10:31:128,1,353,2,0,524,2645,353,0 20,0,2024-09-07 09:10:31:364,87792,0.5,87828,0.7,175505,0.4,234799,2.00 20,1,2024-09-07 09:10:30:607,619632,619632,0,0,290775029694,3034404774,615285,3939,408,369,391886,0 20,2,2024-09-07 09:10:30:964,446361,446361,0,0,18583572,0,3721 20,3,2024-09-07 09:10:30:600,1,353,28,0,414,5465,353,0 21,0,2024-09-07 09:10:31:150,88289,0.5,88287,0.7,176414,0.5,234501,2.00 21,1,2024-09-07 09:10:31:582,618644,618644,0,0,289073606402,3042818227,610644,6118,1882,368,392016,0 21,2,2024-09-07 09:10:31:077,443688,443688,0,0,21661061,0,3747 21,3,2024-09-07 09:10:31:412,1,353,12,0,713,4126,353,0 22,0,2024-09-07 09:10:31:721,88554,0.5,88900,0.7,177978,0.5,235471,2.00 22,1,2024-09-07 09:10:31:027,619661,619661,0,0,289810700471,3042128503,611169,6672,1820,382,391667,0 22,2,2024-09-07 09:10:30:768,444660,444660,0,0,17619689,0,3134 22,3,2024-09-07 09:10:31:066,1,353,1,0,228,2887,353,0 23,0,2024-09-07 09:10:31:373,87250,0.5,87250,0.7,174795,0.5,232912,2.25 23,1,2024-09-07 09:10:31:003,619949,619949,0,0,291250447170,3055165698,609454,7336,3159,365,391690,0 23,2,2024-09-07 09:10:31:102,450875,450875,0,0,17552849,0,3010 23,3,2024-09-07 09:10:31:760,1,353,3,0,720,4769,353,0 24,0,2024-09-07 09:10:30:874,87922,0.4,87911,0.5,176217,0.3,234433,1.75 24,1,2024-09-07 09:10:30:586,618672,618672,0,0,289751358678,3038186345,611766,5374,1532,367,392269,0 24,2,2024-09-07 09:10:31:087,444467,444467,0,0,21499117,0,3607 24,3,2024-09-07 09:10:31:703,1,353,10,0,468,4623,353,0 25,0,2024-09-07 09:10:31:384,90888,0.4,88647,0.6,173678,0.4,236431,2.00 25,1,2024-09-07 09:10:30:602,619692,619692,0,0,289678272705,3039736228,611798,6519,1375,371,391928,0 25,2,2024-09-07 09:10:31:635,443930,443930,0,0,21664267,0,3978 25,3,2024-09-07 09:10:31:004,1,353,1,0,255,3462,353,0 26,0,2024-09-07 09:10:31:747,88956,0.4,86817,0.6,182139,0.4,237051,1.75 26,1,2024-09-07 09:10:31:567,619975,619975,0,0,290340214250,3040230242,611087,7352,1536,380,391748,0 26,2,2024-09-07 09:10:30:886,446998,446998,0,0,21555793,0,2809 26,3,2024-09-07 09:10:31:715,1,353,9,0,796,4450,353,0 27,0,2024-09-07 09:10:31:724,88994,0.4,89090,0.6,176557,0.4,235697,2.00 27,1,2024-09-07 09:10:31:681,622192,622192,0,0,291143250172,3029419883,616885,4541,766,381,391626,0 27,2,2024-09-07 09:10:30:881,446079,446014,65,0,20974796,0,5699 27,3,2024-09-07 09:10:31:022,1,353,0,0,564,3660,353,0 28,0,2024-09-07 09:10:31:404,86976,0.4,86592,0.6,173542,0.3,232161,2.00 28,1,2024-09-07 09:10:30:811,621039,621039,0,0,291724980770,3038479989,616539,3795,705,383,391698,0 28,2,2024-09-07 09:10:31:763,445121,445121,0,0,18663551,0,2915 28,3,2024-09-07 09:10:31:778,1,353,2,0,502,3771,353,0 29,0,2024-09-07 09:10:31:365,92043,0.4,89612,0.6,175943,0.3,239414,1.75 29,1,2024-09-07 09:10:31:571,622618,622618,0,0,291544659129,3017994019,618275,3658,685,369,391753,0 29,2,2024-09-07 09:10:30:893,444481,444481,0,0,18010224,0,4986 29,3,2024-09-07 09:10:30:975,1,353,3,0,459,4379,353,0 30,0,2024-09-07 09:10:31:457,89043,0.5,86504,0.7,181060,0.5,236963,2.00 30,1,2024-09-07 09:10:30:574,621953,621953,0,0,291810257017,3029267834,617134,4109,710,381,391672,0 30,2,2024-09-07 09:10:31:279,447792,447792,0,0,16989849,0,3161 30,3,2024-09-07 09:10:30:622,1,353,1,0,519,3586,353,0 31,0,2024-09-07 09:10:31:771,89087,0.4,89502,0.6,178880,0.3,238437,2.00 31,1,2024-09-07 09:10:30:574,623971,623971,0,0,291983843504,2993728750,621423,1989,559,356,391712,0 31,2,2024-09-07 09:10:31:281,446744,446744,0,0,18772151,0,3525 31,3,2024-09-07 09:10:31:705,1,353,2,0,220,3009,353,0 32,0,2024-09-07 09:10:31:482,87370,0.3,87770,0.5,175466,0.2,233622,1.50 32,1,2024-09-07 09:10:30:827,621624,621624,0,0,291105625309,3020741091,618479,2699,446,381,391646,0 32,2,2024-09-07 09:10:30:937,447409,447409,0,0,15698257,0,3155 32,3,2024-09-07 09:10:31:015,1,353,0,0,227,2472,353,0 33,0,2024-09-07 09:10:31:523,89705,0.3,89226,0.4,179091,0.2,238529,1.50 33,1,2024-09-07 09:10:30:599,622764,622764,0,0,291550565228,3017250061,618320,3613,831,369,391730,0 33,2,2024-09-07 09:10:30:768,447464,447429,35,0,17890882,0,7012 33,3,2024-09-07 09:10:30:902,1,353,0,0,329,3598,353,0 34,0,2024-09-07 09:10:30:959,88794,0.3,91384,0.4,174765,0.2,235152,1.75 34,1,2024-09-07 09:10:31:062,623673,623673,0,0,292618045891,3006083586,622251,1413,9,367,391562,0 34,2,2024-09-07 09:10:30:769,446779,446779,0,0,17083398,0,3577 34,3,2024-09-07 09:10:31:694,1,353,8,0,299,2519,353,0 35,0,2024-09-07 09:10:30:867,87656,0.4,88011,0.5,177020,0.3,235894,1.75 35,1,2024-09-07 09:10:31:067,621421,621421,0,0,291285784836,3016153320,617811,2829,781,382,391589,0 35,2,2024-09-07 09:10:31:588,446191,446191,0,0,17183877,0,2653 35,3,2024-09-07 09:10:30:913,1,353,1,0,418,4284,353,0 36,0,2024-09-07 09:10:31:543,88167,0.4,88052,0.6,176125,0.4,233913,2.00 36,1,2024-09-07 09:10:30:592,621175,621175,0,0,290498605348,3031202965,613858,5659,1658,366,391759,0 36,2,2024-09-07 09:10:31:750,449468,449468,0,0,19492133,0,3875 36,3,2024-09-07 09:10:30:874,1,353,1,0,416,5388,353,0 37,0,2024-09-07 09:10:31:368,86960,0.4,86847,0.6,173893,0.4,232312,2.00 37,1,2024-09-07 09:10:30:582,620172,620165,0,7,290367537918,3030224163,613390,4689,2086,365,391570,0 37,2,2024-09-07 09:10:31:147,444532,444517,15,0,19205049,0,5815 37,3,2024-09-07 09:10:31:775,1,353,65,0,888,5637,353,0 38,0,2024-09-07 09:10:31:459,87423,0.5,84889,0.7,177652,0.4,232497,2.00 38,1,2024-09-07 09:10:31:614,621983,621983,0,0,290681382707,3023397326,615921,5303,759,368,391821,0 38,2,2024-09-07 09:10:30:765,444912,444865,47,0,19563268,0,6710 38,3,2024-09-07 09:10:31:001,1,353,9,0,689,4740,353,0 39,0,2024-09-07 09:10:31:772,90886,0.6,88934,0.7,173157,0.6,236429,2.00 39,1,2024-09-07 09:10:30:738,620271,620271,0,0,290924288347,3039908593,611835,6975,1461,365,391658,0 39,2,2024-09-07 09:10:31:419,446553,446553,0,0,17627266,0,2689 39,3,2024-09-07 09:10:30:737,1,353,4,0,324,4330,353,0 40,0,2024-09-07 09:10:31:508,87278,0.7,87953,0.9,175493,0.7,234048,2.75 40,1,2024-09-07 09:10:30:602,620995,620995,0,0,289687588549,3030611010,612410,7074,1511,368,391668,0 40,2,2024-09-07 09:10:31:313,448559,448558,1,0,21294265,0,5137 40,3,2024-09-07 09:10:31:148,1,353,0,0,1028,5084,353,0 41,0,2024-09-07 09:10:31:024,86460,1.2,88594,1.1,169082,1.8,230669,2.75 41,1,2024-09-07 09:10:30:798,619811,619811,0,0,290231678612,3032639597,612754,6352,705,369,391742,0 41,2,2024-09-07 09:10:30:769,443745,443745,0,0,20173918,0,3356 41,3,2024-09-07 09:10:31:680,1,353,1,0,366,3530,353,0 42,0,2024-09-07 09:10:31:480,88371,0.9,88497,1.0,177274,1.1,234103,2.75 42,1,2024-09-07 09:10:31:448,618742,618742,0,0,289246190959,3036958087,609195,7789,1758,380,391675,0 42,2,2024-09-07 09:10:31:135,444498,444498,0,0,20467212,0,3790 42,3,2024-09-07 09:10:31:010,1,353,1,0,446,3103,353,0 43,0,2024-09-07 09:10:30:917,88365,0.9,86068,1.0,180427,1.0,236410,2.50 43,1,2024-09-07 09:10:30:583,620153,620153,0,0,291546551790,3042783177,611917,6798,1438,366,391696,0 43,2,2024-09-07 09:10:31:760,447281,447281,0,0,20165466,0,3812 43,3,2024-09-07 09:10:31:756,1,353,0,0,467,4336,353,0 44,0,2024-09-07 09:10:30:906,89864,0.4,90233,0.6,179974,0.4,239186,1.75 44,1,2024-09-07 09:10:30:584,621569,621569,0,0,290529023595,3002935594,616506,4016,1047,356,391809,0 44,2,2024-09-07 09:10:31:274,446759,446759,0,0,17019957,0,4344 44,3,2024-09-07 09:10:31:101,1,353,1,0,817,4532,353,0 45,0,2024-09-07 09:10:31:803,85971,0.5,83850,0.7,175865,0.4,231834,2.00 45,1,2024-09-07 09:10:31:005,621248,621248,0,0,291159070275,3024318704,616813,3959,476,382,391917,0 45,2,2024-09-07 09:10:31:280,446041,446041,0,0,17466559,0,3596 45,3,2024-09-07 09:10:30:947,1,353,24,0,271,3300,353,0 46,0,2024-09-07 09:10:30:954,89054,0.5,89061,0.7,178087,0.5,236301,2.00 46,1,2024-09-07 09:10:30:575,622738,622738,0,0,291916879571,3013692287,619021,3291,426,366,391572,0 46,2,2024-09-07 09:10:30:608,446544,446544,0,0,16580154,0,2920 46,3,2024-09-07 09:10:31:137,1,353,1,0,908,5055,353,0 47,0,2024-09-07 09:10:31:106,89532,0.5,89781,0.6,179858,0.5,237867,2.00 47,1,2024-09-07 09:10:30:573,623372,623372,0,0,291180695712,3011022252,618885,3672,815,366,391641,0 47,2,2024-09-07 09:10:30:911,448686,448686,0,0,17261809,0,4477 47,3,2024-09-07 09:10:31:122,1,353,0,0,529,3739,353,0 48,0,2024-09-07 09:10:31:509,89013,0.3,88661,0.4,176920,0.2,236444,1.50 48,1,2024-09-07 09:10:31:022,621426,621426,0,0,291717299075,3027433011,617826,3296,304,384,391710,0 48,2,2024-09-07 09:10:30:703,446018,446018,0,0,15503255,0,3031 48,3,2024-09-07 09:10:30:754,1,353,1,0,339,2918,353,0 49,0,2024-09-07 09:10:31:713,90117,0.3,88589,0.5,171321,0.2,235085,1.75 49,1,2024-09-07 09:10:31:021,620990,620990,0,0,291052861668,3028220826,616661,3144,1185,382,391809,0 49,2,2024-09-07 09:10:31:799,448519,448519,0,0,16665093,0,4426 49,3,2024-09-07 09:10:31:421,1,353,1,0,408,3588,353,0 50,0,2024-09-07 09:10:31:530,88425,0.3,86915,0.4,175365,0.2,234422,1.75 50,1,2024-09-07 09:10:31:012,623199,623199,0,0,291777146153,3014245979,619379,3446,374,368,391565,0 50,2,2024-09-07 09:10:31:068,446447,446447,0,0,15735585,0,2263 50,3,2024-09-07 09:10:31:304,1,353,1,0,335,3319,353,0 51,0,2024-09-07 09:10:31:692,90574,0.3,88553,0.5,172704,0.2,235451,1.75 51,1,2024-09-07 09:10:31:682,623140,623140,0,0,292321104580,3013737041,619878,2286,976,365,391706,0 51,2,2024-09-07 09:10:31:327,445987,445987,0,0,15181043,0,3337 51,3,2024-09-07 09:10:31:033,1,353,1,0,678,2601,353,0 52,0,2024-09-07 09:10:31:462,89072,0.5,89044,0.7,177886,0.4,236446,2.00 52,1,2024-09-07 09:10:30:618,620426,620426,0,0,290688547832,3040860659,611784,7328,1314,368,391722,0 52,2,2024-09-07 09:10:31:764,442474,442436,38,0,19947505,0,6742 52,3,2024-09-07 09:10:30:679,1,353,15,0,1782,5265,353,0 53,0,2024-09-07 09:10:31:750,87198,0.6,84638,0.7,177203,0.6,232477,2.25 53,1,2024-09-07 09:10:30:776,618902,618902,0,0,290381243404,3044625688,609276,7043,2583,367,391702,0 53,2,2024-09-07 09:10:31:316,450590,450590,0,0,17376564,0,2727 53,3,2024-09-07 09:10:30:702,1,353,2,0,308,3255,353,0 54,0,2024-09-07 09:10:31:663,86499,0.5,86940,0.7,172949,0.4,231788,2.25 54,1,2024-09-07 09:10:30:582,620885,620885,0,0,291492957305,3026255144,615219,5064,602,366,391659,0 54,2,2024-09-07 09:10:30:875,445543,445537,6,0,19665689,0,5382 54,3,2024-09-07 09:10:30:768,1,353,6,0,676,5252,353,0 55,0,2024-09-07 09:10:31:767,85475,0.6,88532,0.7,178405,0.5,232441,2.50 55,1,2024-09-07 09:10:30:764,620820,620820,0,0,290452523001,3019522883,614194,5717,909,365,391731,0 55,2,2024-09-07 09:10:30:735,444239,444239,0,0,19050865,0,3563 55,3,2024-09-07 09:10:30:679,1,353,1,0,304,3851,353,0 56,0,2024-09-07 09:10:31:574,91007,1.1,85802,1.1,176966,1.6,236727,2.50 56,1,2024-09-07 09:10:30:608,618003,618003,0,0,290296726249,3061092440,609262,7110,1631,381,391867,0 56,2,2024-09-07 09:10:31:303,446413,446413,0,0,20268567,0,3567 56,3,2024-09-07 09:10:31:064,1,353,9,0,705,4520,353,0 57,0,2024-09-07 09:10:30:957,87583,1.3,87427,1.2,175285,1.9,234208,3.00 57,1,2024-09-07 09:10:30:993,619621,619621,0,0,290190547500,3038484001,612746,6155,720,366,392032,0 57,2,2024-09-07 09:10:31:325,449276,449276,0,0,21893421,0,3317 57,3,2024-09-07 09:10:31:750,1,353,8,0,359,4062,353,0 58,0,2024-09-07 09:10:30:556,85555,0.8,83142,0.9,174080,0.8,228864,2.50 58,1,2024-09-07 09:10:30:581,620288,620285,0,3,290897582748,3038176466,612159,7044,1082,367,391603,3 58,2,2024-09-07 09:10:31:079,444698,444698,0,0,19997196,0,2549 58,3,2024-09-07 09:10:31:068,1,353,2,0,1043,3734,353,0 59,0,2024-09-07 09:10:31:750,89327,0.8,88919,0.9,177629,0.9,235970,2.50 59,1,2024-09-07 09:10:30:818,619790,619790,0,0,290607152582,3039240357,611911,6357,1522,369,391586,0 59,2,2024-09-07 09:10:30:631,446008,446008,0,0,19833298,0,2867 59,3,2024-09-07 09:10:31:747,1,353,1,0,1015,4389,353,0 60,0,2024-09-07 09:10:31:760,89259,0.5,89318,0.7,179269,0.5,237759,1.75 60,1,2024-09-07 09:10:30:780,622042,622042,0,0,291613012506,3026466127,617920,3475,647,370,392031,0 60,2,2024-09-07 09:10:31:146,447297,447297,0,0,19347514,0,3811 60,3,2024-09-07 09:10:31:266,1,353,12,0,409,4190,353,0 61,0,2024-09-07 09:10:31:540,89175,0.6,89826,0.8,178613,0.6,238255,2.00 61,1,2024-09-07 09:10:30:797,619705,619705,0,0,290105521220,3040351010,612488,5892,1325,382,392127,0 61,2,2024-09-07 09:10:31:128,446749,446682,67,0,19664200,0,6411 61,3,2024-09-07 09:10:31:697,1,353,0,0,479,5159,353,0 62,0,2024-09-07 09:10:31:730,87878,0.5,89848,0.7,171448,0.5,233511,2.00 62,1,2024-09-07 09:10:31:117,624198,624192,0,6,292279587547,3015402162,620611,3322,259,365,391715,6 62,2,2024-09-07 09:10:31:644,445423,445422,1,0,19116068,0,5555 62,3,2024-09-07 09:10:31:155,1,353,1,0,465,2687,353,0 63,0,2024-09-07 09:10:31:468,89876,0.4,89602,0.6,179735,0.3,238895,1.75 63,1,2024-09-07 09:10:30:808,622298,622292,0,6,291917578812,3029188415,618357,3547,388,381,391800,6 63,2,2024-09-07 09:10:30:764,446268,446268,0,0,17591320,0,4369 63,3,2024-09-07 09:10:31:739,1,353,0,0,667,3869,353,0 64,0,2024-09-07 09:10:31:574,88076,0.5,88021,0.7,176145,0.5,234232,2.00 64,1,2024-09-07 09:10:30:750,621012,621012,0,0,290168729294,3022004040,615364,3988,1660,370,391783,0 64,2,2024-09-07 09:10:31:146,449727,449708,19,0,17096280,0,6121 64,3,2024-09-07 09:10:31:142,1,353,0,0,265,3232,353,0 65,0,2024-09-07 09:10:31:686,87429,0.7,87809,0.8,175336,0.7,233769,2.00 65,1,2024-09-07 09:10:30:860,619803,619803,0,0,289712261075,3025303428,615715,3637,451,382,391901,0 65,2,2024-09-07 09:10:31:705,446831,446831,0,0,18848106,0,3367 65,3,2024-09-07 09:10:31:689,1,353,1,0,163,3104,353,0 66,0,2024-09-07 09:10:31:779,87403,0.5,87509,0.7,174882,0.4,232800,2.00 66,1,2024-09-07 09:10:31:303,621288,621288,0,0,291471736080,3027872354,617724,3242,322,380,391653,0 66,2,2024-09-07 09:10:31:144,450774,450774,0,0,16871907,0,4956 66,3,2024-09-07 09:10:31:089,1,353,0,0,291,3243,353,0 67,0,2024-09-07 09:10:31:422,87597,0.5,87070,0.7,174760,0.4,232842,2.00 67,1,2024-09-07 09:10:30:769,621913,621912,0,1,290828661725,3025774243,617745,3416,751,380,391787,1 67,2,2024-09-07 09:10:30:596,447021,447021,0,0,16290192,0,2889 67,3,2024-09-07 09:10:31:760,1,353,2,0,338,3202,353,0 68,0,2024-09-07 09:10:30:617,88269,0.6,87813,0.7,175393,0.6,234473,2.00 68,1,2024-09-07 09:10:30:589,620065,620065,0,0,290351730346,3037877735,615247,3614,1204,381,391953,0 68,2,2024-09-07 09:10:31:062,443898,443833,65,0,21360669,0,6698 68,3,2024-09-07 09:10:30:764,1,353,5,0,417,3740,353,0 69,0,2024-09-07 09:10:31:724,88588,0.8,89192,0.9,177434,1.0,235565,2.25 69,1,2024-09-07 09:10:31:017,617917,617917,0,0,290303800106,3059486246,609896,5911,2110,384,391994,0 69,2,2024-09-07 09:10:31:748,445940,445940,0,0,21601345,0,3722 69,3,2024-09-07 09:10:30:768,1,353,4,0,698,4922,353,0 70,0,2024-09-07 09:10:31:558,87138,0.7,87275,1.0,175505,0.5,232610,2.25 70,1,2024-09-07 09:10:30:826,621456,621456,0,0,291543991705,3023364433,616603,4317,536,366,391725,0 70,2,2024-09-07 09:10:31:330,449034,449034,0,0,19547705,0,4044 70,3,2024-09-07 09:10:30:749,1,353,1,0,854,3829,353,0 71,0,2024-09-07 09:10:31:364,86403,0.7,86021,0.9,172977,0.7,231696,2.50 71,1,2024-09-07 09:10:31:614,620368,620368,0,0,290773947034,3030352607,613756,5956,656,368,391738,0 71,2,2024-09-07 09:10:31:069,445133,445133,0,0,19251074,0,4042 71,3,2024-09-07 09:10:31:760,1,353,1,0,644,4691,353,0 72,0,2024-09-07 09:10:31:028,92119,0.6,89999,0.7,175581,0.6,238499,2.00 72,1,2024-09-07 09:10:31:022,619811,619811,0,0,290468192128,3040812459,611572,6542,1697,369,391819,0 72,2,2024-09-07 09:10:31:760,444404,444404,0,0,22114400,0,3983 72,3,2024-09-07 09:10:31:760,1,353,6,0,564,5421,353,0 73,0,2024-09-07 09:10:31:113,87243,0.4,89446,0.6,183020,0.4,237548,2.00 73,1,2024-09-07 09:10:30:769,620934,620934,0,0,291251882037,3025333285,616612,3992,330,367,391858,0 73,2,2024-09-07 09:10:31:765,447255,447255,0,0,21348791,0,3701 73,3,2024-09-07 09:10:30:979,1,353,1,0,274,4588,353,0 74,0,2024-09-07 09:10:31:323,90245,0.4,92452,0.6,176576,0.4,238898,2.25 74,1,2024-09-07 09:10:30:647,620476,620476,0,0,290266447052,3027928836,614395,4818,1263,381,391681,0 74,2,2024-09-07 09:10:31:003,447021,447021,0,0,20310789,0,4253 74,3,2024-09-07 09:10:31:446,1,353,0,0,522,4865,353,0 75,0,2024-09-07 09:10:31:770,87086,0.4,86798,0.6,173856,0.4,232641,2.25 75,1,2024-09-07 09:10:31:623,619624,619624,0,0,290184713835,3029242760,613264,5535,825,380,391739,0 75,2,2024-09-07 09:10:31:356,445060,445060,0,0,20446057,0,4766 75,3,2024-09-07 09:10:31:067,1,353,0,0,702,4907,353,0 76,0,2024-09-07 09:10:30:587,89341,0.6,88640,0.8,177941,0.6,237711,2.25 76,1,2024-09-07 09:10:30:816,620725,620725,0,0,290475885908,3027505137,616800,3334,591,382,391692,0 76,2,2024-09-07 09:10:31:066,447812,447811,1,0,19608601,0,5144 76,3,2024-09-07 09:10:31:142,1,353,1,0,175,3339,353,0 77,0,2024-09-07 09:10:31:706,89316,0.6,89425,0.8,178944,0.7,237809,2.00 77,1,2024-09-07 09:10:30:830,620217,620217,0,0,290591184303,3034924902,614939,4787,491,381,391869,0 77,2,2024-09-07 09:10:31:291,447488,447488,0,0,19177029,0,3890 77,3,2024-09-07 09:10:31:108,1,353,0,0,401,3928,353,0 78,0,2024-09-07 09:10:31:728,88892,0.4,88399,0.6,177481,0.4,235762,2.00 78,1,2024-09-07 09:10:30:643,621105,621105,0,0,290470633863,3022205930,615174,4786,1145,367,391670,0 78,2,2024-09-07 09:10:31:412,447113,447113,0,0,16522562,0,3855 78,3,2024-09-07 09:10:31:134,1,353,3,0,181,3262,353,0 79,0,2024-09-07 09:10:31:364,85077,0.4,87135,0.6,178222,0.3,232080,2.25 79,1,2024-09-07 09:10:30:601,622899,622899,0,0,291143401067,3013151606,618342,3924,633,368,391682,0 79,2,2024-09-07 09:10:31:068,448913,448913,0,0,17501495,0,4195 79,3,2024-09-07 09:10:30:750,1,353,0,0,418,4418,353,0 80,0,2024-09-07 09:10:31:101,87801,0.5,90491,0.6,173239,0.4,234127,2.00 80,1,2024-09-07 09:10:31:637,621192,621192,0,0,291334519773,3027573281,617838,3175,179,368,391791,0 80,2,2024-09-07 09:10:31:113,448165,448165,0,0,16664680,0,4433 80,3,2024-09-07 09:10:30:584,1,353,1,0,190,4099,353,0 81,0,2024-09-07 09:10:31:576,88171,0.6,90305,0.7,172380,0.6,234105,2.00 81,1,2024-09-07 09:10:31:651,619913,619913,0,0,290228714512,3030823711,615044,4370,499,382,391879,0 81,2,2024-09-07 09:10:31:128,445425,445362,63,0,18577968,0,5932 81,3,2024-09-07 09:10:31:128,1,353,1,0,374,3999,353,0 82,0,2024-09-07 09:10:31:565,88406,0.5,88911,0.7,178223,0.4,236092,2.00 82,1,2024-09-07 09:10:30:592,622230,622226,0,4,291775705824,3034126624,618324,3105,797,381,391768,4 82,2,2024-09-07 09:10:31:697,447252,447252,0,0,15873860,0,3986 82,3,2024-09-07 09:10:31:760,1,353,30,0,363,3502,353,0 83,0,2024-09-07 09:10:31:534,88034,0.5,87679,0.7,174917,0.5,232796,2.00 83,1,2024-09-07 09:10:30:552,620321,620321,0,0,290853520049,3029976177,616006,3934,381,382,391709,0 83,2,2024-09-07 09:10:30:765,449705,449705,0,0,16469906,0,3393 83,3,2024-09-07 09:10:30:764,1,353,1,0,1260,4905,353,0 84,0,2024-09-07 09:10:31:880,87041,0.6,86935,0.8,173892,0.5,233050,2.00 84,1,2024-09-07 09:10:31:040,619624,619624,0,0,289837359741,3025711974,613860,5035,729,367,391967,0 84,2,2024-09-07 09:10:30:584,445444,445444,0,0,20620204,0,4757 84,3,2024-09-07 09:10:31:149,1,353,20,0,908,5215,353,0 85,0,2024-09-07 09:10:31:010,85216,0.7,85235,0.8,180896,0.7,233748,2.25 85,1,2024-09-07 09:10:30:560,618210,618210,0,0,290002161777,3056272278,609675,7163,1372,381,392006,0 85,2,2024-09-07 09:10:30:887,444992,444992,0,0,20346199,0,3656 85,3,2024-09-07 09:10:30:686,1,353,5,0,789,4523,353,0 86,0,2024-09-07 09:10:30:974,89016,0.7,91596,0.8,175315,0.7,236680,2.25 86,1,2024-09-07 09:10:30:846,620053,620053,0,0,290439504067,3037586496,613156,5831,1066,366,391961,0 86,2,2024-09-07 09:10:30:876,445547,445546,1,0,21364245,0,5004 86,3,2024-09-07 09:10:30:599,1,353,60,0,308,4729,353,0 87,0,2024-09-07 09:10:31:304,88380,0.8,88188,0.9,177069,1.0,236057,2.25 87,1,2024-09-07 09:10:30:555,619981,619981,0,0,289836296809,3028754069,613761,5606,614,366,392076,0 87,2,2024-09-07 09:10:31:078,447599,447599,0,0,19456511,0,4045 87,3,2024-09-07 09:10:31:799,1,353,3,0,473,5047,353,0 88,0,2024-09-07 09:10:31:513,86709,0.4,87385,0.6,174147,0.4,231863,1.75 88,1,2024-09-07 09:10:30:614,618510,618510,0,0,290006834934,3037190201,610803,6042,1665,365,392084,0 88,2,2024-09-07 09:10:30:699,445979,445979,0,0,21625102,0,3583 88,3,2024-09-07 09:10:31:276,1,353,2,0,435,3738,353,0 89,0,2024-09-07 09:10:31:803,92191,0.5,89218,0.6,176724,0.4,239611,1.75 89,1,2024-09-07 09:10:30:552,618593,618593,0,0,289909887395,3047604845,610830,6488,1275,382,391866,0 89,2,2024-09-07 09:10:31:145,444762,444762,0,0,20687622,0,2910 89,3,2024-09-07 09:10:31:812,1,353,11,0,385,6319,353,0 90,0,2024-09-07 09:10:31:650,86671,0.5,89093,0.6,181539,0.4,236909,2.00 90,1,2024-09-07 09:10:30:610,620009,620009,0,0,290322018510,3041421977,613790,5715,504,380,391825,0 90,2,2024-09-07 09:10:31:411,445914,445914,0,0,21910978,0,3060 90,3,2024-09-07 09:10:30:940,1,353,1,0,246,3873,353,0 91,0,2024-09-07 09:10:31:011,89928,0.5,87063,0.6,181696,0.4,238761,1.75 91,1,2024-09-07 09:10:30:566,618534,618534,0,0,290470090811,3053030891,610699,6788,1047,381,392047,0 91,2,2024-09-07 09:10:31:346,447257,447257,0,0,19839813,0,2896 91,3,2024-09-07 09:10:30:625,1,353,3,0,216,3295,353,0 92,0,2024-09-07 09:10:31:497,88034,0.4,90348,0.6,172575,0.4,233824,1.75 92,1,2024-09-07 09:10:30:590,619846,619846,0,0,290191691613,3031136718,615026,4132,688,382,392136,0 92,2,2024-09-07 09:10:31:359,447527,447527,0,0,17885323,0,3259 92,3,2024-09-07 09:10:31:013,1,353,1,0,167,3015,353,0 93,0,2024-09-07 09:10:31:049,90093,0.4,92267,0.5,176410,0.3,239081,1.75 93,1,2024-09-07 09:10:31:051,620319,620319,0,0,290775298974,3034065973,614190,5098,1031,366,391776,0 93,2,2024-09-07 09:10:30:953,445627,445627,0,0,20944924,0,4845 93,3,2024-09-07 09:10:31:411,1,353,2,0,190,3391,353,0 94,0,2024-09-07 09:10:31:623,88258,0.4,89004,0.5,177699,0.3,235845,1.75 94,1,2024-09-07 09:10:30:574,620456,620456,0,0,290596919153,3031585354,616399,3866,191,381,391850,0 94,2,2024-09-07 09:10:30:769,446208,446208,0,0,18054870,0,2443 94,3,2024-09-07 09:10:31:698,1,353,2,0,264,4323,353,0 95,0,2024-09-07 09:10:31:376,88142,0.4,88275,0.5,176814,0.3,235604,1.75 95,1,2024-09-07 09:10:30:854,621679,621679,0,0,290659328869,3021907393,616657,4622,400,367,391713,0 95,2,2024-09-07 09:10:31:018,445917,445917,0,0,17746683,0,3308 95,3,2024-09-07 09:10:31:712,1,353,3,0,718,5393,353,0 96,0,2024-09-07 09:10:31:055,88002,0.3,88160,0.5,175955,0.3,233569,1.75 96,1,2024-09-07 09:10:31:677,620081,620081,0,0,290658806518,3031407793,615550,3734,797,384,391955,0 96,2,2024-09-07 09:10:31:274,449564,449564,0,0,17621275,0,4042 96,3,2024-09-07 09:10:31:143,1,353,7,0,411,3776,353,0 97,0,2024-09-07 09:10:31:425,87408,0.3,87206,0.5,175011,0.3,232664,1.50 97,1,2024-09-07 09:10:30:765,622185,622185,0,0,291343658716,3018437310,618294,3217,674,367,392140,0 97,2,2024-09-07 09:10:30:648,446930,446930,0,0,17095493,0,3036 97,3,2024-09-07 09:10:30:573,1,353,11,0,214,4059,353,0 98,0,2024-09-07 09:10:31:748,88135,0.3,87906,0.4,176448,0.2,234501,1.50 98,1,2024-09-07 09:10:30:593,621350,621350,0,0,290394286292,3018766770,618674,2569,107,382,391997,0 98,2,2024-09-07 09:10:30:827,445743,445743,0,0,17011372,0,3080 98,3,2024-09-07 09:10:30:703,1,353,3,0,840,5465,353,0 99,0,2024-09-07 09:10:31:557,89096,0.3,89623,0.5,178298,0.3,237774,1.75 99,1,2024-09-07 09:10:31:726,620983,620983,0,0,291058336749,3028616777,616834,3393,756,381,392069,0 99,2,2024-09-07 09:10:31:473,447561,447561,0,0,19309190,0,3424 99,3,2024-09-07 09:10:30:587,1,353,6,0,199,3322,353,0 100,0,2024-09-07 09:10:31:542,87809,0.7,87771,0.9,175425,0.8,234487,2.25 100,1,2024-09-07 09:10:30:561,617781,617781,0,0,289121682154,3050433059,609302,6983,1496,381,391989,0 100,2,2024-09-07 09:10:31:833,448098,448087,11,0,19938930,0,5417 100,3,2024-09-07 09:10:31:749,1,353,5,0,559,6002,353,0 101,0,2024-09-07 09:10:31:725,88872,0.7,86466,0.9,169761,0.6,233369,2.25 101,1,2024-09-07 09:10:30:556,617858,617858,0,0,289590591031,3045666925,608760,7074,2024,368,391771,0 101,2,2024-09-07 09:10:31:764,442962,442962,0,0,23042391,0,4644 101,3,2024-09-07 09:10:30:955,1,353,13,0,579,4577,353,0 102,0,2024-09-07 09:10:31:092,86843,0.7,89774,0.8,181354,0.6,237262,2.00 102,1,2024-09-07 09:10:31:152,618798,618798,0,0,290178967995,3043906907,611471,6292,1035,369,391891,0 102,2,2024-09-07 09:10:31:748,445869,445815,54,0,19297219,0,6768 102,3,2024-09-07 09:10:31:628,1,353,11,0,410,3681,353,0 103,0,2024-09-07 09:10:31:634,92342,0.6,92266,0.7,174090,0.7,239314,2.00 103,1,2024-09-07 09:10:31:640,618126,618126,0,0,289337689483,3047936108,609299,6830,1997,381,391829,0 103,2,2024-09-07 09:10:30:595,446116,446116,0,0,20378309,0,3173 103,3,2024-09-07 09:10:30:763,1,353,6,0,916,4264,353,0 104,0,2024-09-07 09:10:31:024,88815,0.7,89259,0.9,177342,0.6,238179,2.25 104,1,2024-09-07 09:10:31:606,619815,619815,0,0,289190005545,3038346594,610893,7224,1698,365,392168,0 104,2,2024-09-07 09:10:31:682,446217,446217,0,0,21465551,0,3941 104,3,2024-09-07 09:10:31:437,1,353,6,0,1245,7549,353,0 105,0,2024-09-07 09:10:31:046,86076,0.7,83732,1.0,175297,0.8,231119,2.50 105,1,2024-09-07 09:10:30:574,619870,619870,0,0,290726515542,3047087169,611707,6826,1337,366,392009,0 105,2,2024-09-07 09:10:31:328,444896,444896,0,0,20721599,0,3509 105,3,2024-09-07 09:10:31:318,1,353,4,0,399,5670,353,0 106,0,2024-09-07 09:10:30:972,86524,0.8,88592,0.9,181416,0.9,237124,2.50 106,1,2024-09-07 09:10:31:751,618643,618643,0,0,290291438928,3049570897,609046,8303,1294,369,391914,0 106,2,2024-09-07 09:10:30:762,444312,444312,0,0,20475790,0,2795 106,3,2024-09-07 09:10:30:679,1,353,7,0,470,4419,353,0 107,0,2024-09-07 09:10:31:191,89067,1.1,89226,1.0,178253,1.6,237609,2.25 107,1,2024-09-07 09:10:30:600,618263,618263,0,0,289613253169,3049241679,610275,7072,916,381,392234,0 107,2,2024-09-07 09:10:31:321,445520,445519,1,0,21012531,0,5024 107,3,2024-09-07 09:10:31:760,1,353,1,0,353,4843,353,0 108,0,2024-09-07 09:10:31:923,88240,0.4,88851,0.6,176655,0.4,236273,1.75 108,1,2024-09-07 09:10:31:333,620663,620663,0,0,290795399752,3031920938,615571,4574,518,368,391857,0 108,2,2024-09-07 09:10:31:808,445122,445122,0,0,20123130,0,4246 108,3,2024-09-07 09:10:31:346,1,353,4,0,749,7077,353,0 109,0,2024-09-07 09:10:31:797,88342,0.4,87408,0.5,175076,0.3,234620,1.75 109,1,2024-09-07 09:10:30:600,618508,618508,0,0,290724306513,3047147733,613294,4549,665,383,392132,0 109,2,2024-09-07 09:10:30:921,445945,445945,0,0,19563283,0,3617 109,3,2024-09-07 09:10:31:143,1,353,0,0,379,4166,353,0 110,0,2024-09-07 09:10:31:769,87842,0.4,85451,0.6,179015,0.3,234907,1.75 110,1,2024-09-07 09:10:31:643,621611,621611,0,0,291717629877,3025419581,617604,3029,978,369,392045,0 110,2,2024-09-07 09:10:31:303,446085,446085,0,0,19323567,0,4067 110,3,2024-09-07 09:10:30:703,1,353,1,0,722,5208,353,0 111,0,2024-09-07 09:10:31:423,88604,0.4,87921,0.6,176374,0.4,235509,1.75 111,1,2024-09-07 09:10:31:003,622242,622242,0,0,292286198711,3031456885,618860,3030,352,382,391690,0 111,2,2024-09-07 09:10:31:132,445187,445187,0,0,18654123,0,4823 111,3,2024-09-07 09:10:30:914,1,353,2,0,379,4212,353,0 112,0,2024-09-07 09:10:30:921,89629,0.3,88885,0.4,178329,0.2,236862,1.50 112,1,2024-09-07 09:10:30:845,621753,621753,0,0,291040406152,3016842281,618288,2881,584,380,391624,0 112,2,2024-09-07 09:10:31:136,446273,446272,1,0,16847298,0,5036 112,3,2024-09-07 09:10:30:607,1,353,3,0,282,3518,353,0 113,0,2024-09-07 09:10:30:884,87473,0.3,87694,0.4,175970,0.2,234093,1.50 113,1,2024-09-07 09:10:31:688,623304,623304,0,0,292155699762,3012274073,620023,2697,584,366,391661,0 113,2,2024-09-07 09:10:31:316,451126,451126,0,0,15667045,0,3813 113,3,2024-09-07 09:10:30:699,1,353,1,0,340,4102,353,0 114,0,2024-09-07 09:10:30:885,87851,0.3,88607,0.4,175571,0.2,235188,1.75 114,1,2024-09-07 09:10:30:726,621552,621552,0,0,291471243330,3026849110,616421,3537,1594,381,391556,0 114,2,2024-09-07 09:10:30:886,446204,446203,1,0,17210821,0,5069 114,3,2024-09-07 09:10:31:290,1,353,1,0,395,3008,353,0 115,0,2024-09-07 09:10:30:554,88300,0.3,89044,0.4,177741,0.2,236021,1.50 115,1,2024-09-07 09:10:30:574,621022,621022,0,0,292155668667,3031596512,616156,4026,840,382,391757,0 115,2,2024-09-07 09:10:31:125,447032,447032,0,0,15859659,0,3453 115,3,2024-09-07 09:10:31:003,1,353,1,0,159,2087,353,0 116,0,2024-09-07 09:10:31:729,88839,0.8,88676,0.9,177944,0.9,237753,2.00 116,1,2024-09-07 09:10:30:817,617930,617930,0,0,289287520672,3048385843,610317,5344,2269,380,392089,0 116,2,2024-09-07 09:10:31:801,446410,446410,0,0,21405297,0,3529 116,3,2024-09-07 09:10:30:914,1,353,4,0,415,4305,353,0 117,0,2024-09-07 09:10:30:994,88904,0.7,88388,0.8,177031,0.7,236543,2.00 117,1,2024-09-07 09:10:31:630,619377,619377,0,0,289928593030,3032075613,613059,5649,669,369,392033,0 117,2,2024-09-07 09:10:31:131,451012,451012,0,0,17851472,0,3700 117,3,2024-09-07 09:10:31:065,1,353,1,0,490,5032,353,0 118,0,2024-09-07 09:10:31:785,84226,0.5,86415,0.6,176522,0.4,230996,2.00 118,1,2024-09-07 09:10:30:595,618949,618949,0,0,289672215059,3041982858,610146,6903,1900,366,391907,0 118,2,2024-09-07 09:10:31:608,444505,444505,0,0,19678255,0,2781 118,3,2024-09-07 09:10:31:774,1,353,7,0,235,3818,353,0 119,0,2024-09-07 09:10:31:400,89250,0.6,89575,0.7,179201,0.6,237986,2.00 119,1,2024-09-07 09:10:30:552,620653,620653,0,0,290533789647,3035851948,614075,5741,837,367,391780,0 119,2,2024-09-07 09:10:31:275,446008,446008,0,0,18367912,0,4174 119,3,2024-09-07 09:10:31:329,1,353,0,0,563,5227,353,0 120,0,2024-09-07 09:10:31:620,89042,0.6,88713,0.8,177528,0.6,237615,2.25 120,1,2024-09-07 09:10:30:923,619577,619577,0,0,289587270719,3037304952,613146,5871,560,368,391961,0 120,2,2024-09-07 09:10:30:797,446914,446913,1,0,21773645,0,5281 120,3,2024-09-07 09:10:31:343,1,353,12,0,241,4295,353,0 121,0,2024-09-07 09:10:31:735,89181,0.9,89357,1.0,179180,1.2,238399,2.25 121,1,2024-09-07 09:10:31:667,620120,620120,0,0,289570086770,3027511203,614197,5485,438,367,391840,0 121,2,2024-09-07 09:10:31:157,446076,446076,0,0,20970506,0,4127 121,3,2024-09-07 09:10:30:735,1,353,5,0,269,3956,353,0 122,0,2024-09-07 09:10:31:831,86977,0.7,84926,0.8,178173,0.8,233653,2.00 122,1,2024-09-07 09:10:30:870,619149,619149,0,0,289703597252,3038176110,610682,7204,1263,366,392130,0 122,2,2024-09-07 09:10:31:343,446108,446035,73,0,23459213,0,5989 122,3,2024-09-07 09:10:30:609,1,353,1,0,512,6632,353,0 123,0,2024-09-07 09:10:30:998,89191,0.6,87215,0.8,182005,0.7,238532,2.00 123,1,2024-09-07 09:10:30:573,618844,618844,0,0,289997517404,3055569202,607394,9322,2128,369,392039,0 123,2,2024-09-07 09:10:31:022,444464,444463,1,0,20261768,0,5215 123,3,2024-09-07 09:10:31:138,1,353,2,0,168,3935,353,0 124,0,2024-09-07 09:10:30:948,91298,0.4,91265,0.5,172091,0.3,236723,1.75 124,1,2024-09-07 09:10:31:022,620978,620978,0,0,289959439251,3016089068,616255,3813,910,367,392178,0 124,2,2024-09-07 09:10:31:009,447748,447695,53,0,18300380,0,6487 124,3,2024-09-07 09:10:30:769,1,353,1,0,490,3552,353,0 125,0,2024-09-07 09:10:31:492,88562,0.4,88124,0.6,177264,0.3,235982,1.75 125,1,2024-09-07 09:10:30:881,619839,619839,0,0,289988080042,3026836846,614955,4239,645,383,391702,0 125,2,2024-09-07 09:10:31:123,446405,446405,0,0,18914380,0,4534 125,3,2024-09-07 09:10:31:144,1,353,1,0,709,4660,353,0 126,0,2024-09-07 09:10:31:420,87824,0.4,90165,0.6,172949,0.4,234486,1.75 126,1,2024-09-07 09:10:30:551,621694,621694,0,0,290964000514,3014223022,617949,3459,286,365,391987,0 126,2,2024-09-07 09:10:30:637,449508,449508,0,0,18988291,0,4539 126,3,2024-09-07 09:10:30:910,1,353,0,0,207,4442,353,0 127,0,2024-09-07 09:10:31:621,87288,0.3,87743,0.5,174939,0.3,232672,1.75 127,1,2024-09-07 09:10:30:574,620782,620782,0,0,291071095320,3023053207,615354,4567,861,364,392187,0 127,2,2024-09-07 09:10:30:638,445567,445567,0,0,17484414,0,3897 127,3,2024-09-07 09:10:31:282,1,353,2,0,968,4090,353,0 128,0,2024-09-07 09:10:31:548,88248,0.3,88463,0.4,176983,0.2,234788,1.50 128,1,2024-09-07 09:10:31:618,621243,621243,0,0,290294505634,3012847880,617138,3733,372,367,391838,0 128,2,2024-09-07 09:10:31:388,446429,446429,0,0,16397626,0,2915 128,3,2024-09-07 09:10:30:797,1,353,1,0,1082,5948,353,0 129,0,2024-09-07 09:10:30:992,89714,0.3,89216,0.5,179052,0.3,237840,1.50 129,1,2024-09-07 09:10:30:568,618545,618545,0,0,290397923454,3038590518,612890,4398,1257,379,391835,0 129,2,2024-09-07 09:10:30:698,447111,447111,0,0,17224741,0,4031 129,3,2024-09-07 09:10:30:697,1,353,5,0,469,4523,353,0 130,0,2024-09-07 09:10:31:798,88646,0.5,88110,0.6,177299,0.5,235680,1.75 130,1,2024-09-07 09:10:30:623,621141,621141,0,0,290922158949,3023010756,617719,3142,280,381,391825,0 130,2,2024-09-07 09:10:31:127,450697,450697,0,0,17169315,0,4067 130,3,2024-09-07 09:10:31:304,1,353,1,0,535,4750,353,0 131,0,2024-09-07 09:10:31:941,86826,0.3,87320,0.5,175532,0.3,232955,1.50 131,1,2024-09-07 09:10:31:854,621121,621121,0,0,290840607242,3033197623,616638,3736,747,381,391865,0 131,2,2024-09-07 09:10:30:581,447373,447373,0,0,15757039,0,2415 131,3,2024-09-07 09:10:31:697,1,353,1,0,392,3585,353,0 132,0,2024-09-07 09:10:31:436,89173,0.4,90042,0.6,179188,0.4,237986,1.75 132,1,2024-09-07 09:10:30:587,618054,618054,0,0,289486008671,3045534636,609407,7180,1467,381,392097,0 132,2,2024-09-07 09:10:30:713,445228,445228,0,0,20873963,0,4606 132,3,2024-09-07 09:10:31:713,1,353,1,0,804,6233,353,0 133,0,2024-09-07 09:10:31:551,87294,0.5,89231,0.6,183171,0.4,238033,1.75 133,1,2024-09-07 09:10:30:596,618237,618237,0,0,289757603649,3050765167,610051,7101,1085,383,391914,0 133,2,2024-09-07 09:10:31:088,446606,446606,0,0,22198857,0,4315 133,3,2024-09-07 09:10:31:302,1,353,7,0,479,3683,353,0 134,0,2024-09-07 09:10:30:964,90027,0.5,89870,0.7,179731,0.4,239470,2.00 134,1,2024-09-07 09:10:30:609,619021,619021,0,0,289881251670,3040748455,610695,6470,1856,366,391718,0 134,2,2024-09-07 09:10:31:766,447021,447021,0,0,18545649,0,3847 134,3,2024-09-07 09:10:30:757,1,353,2,0,739,4484,353,0 135,0,2024-09-07 09:10:31:114,84124,0.6,84173,0.8,178473,0.6,230784,2.00 135,1,2024-09-07 09:10:31:598,619464,619464,0,0,290178185004,3051451514,611025,7202,1237,380,391805,0 135,2,2024-09-07 09:10:30:720,446660,446660,0,0,20106722,0,3981 135,3,2024-09-07 09:10:31:003,1,353,1,0,299,2674,353,0 136,0,2024-09-07 09:10:31:639,89838,0.5,90069,0.7,179248,0.5,238950,2.00 136,1,2024-09-07 09:10:31:441,618903,618903,0,0,289307224750,3036143297,611876,6329,698,382,391685,0 136,2,2024-09-07 09:10:31:137,446239,446239,0,0,19953524,0,3506 136,3,2024-09-07 09:10:31:114,1,353,4,0,637,3938,353,0 137,0,2024-09-07 09:10:30:927,91952,0.7,89484,0.8,175774,0.7,238984,2.00 137,1,2024-09-07 09:10:30:598,618874,618874,0,0,290135077095,3043950078,609249,7742,1883,366,391898,0 137,2,2024-09-07 09:10:31:706,446185,446185,0,0,22384838,0,3185 137,3,2024-09-07 09:10:30:774,1,353,2,0,382,4104,353,0 138,0,2024-09-07 09:10:31:758,87970,1.2,88067,1.0,176856,1.7,235207,2.25 138,1,2024-09-07 09:10:31:690,619792,619792,0,0,290333507185,3046676871,610738,7458,1596,368,391954,0 138,2,2024-09-07 09:10:30:592,445767,445767,0,0,19985147,0,4988 138,3,2024-09-07 09:10:30:646,1,353,6,0,1160,4893,353,0 139,0,2024-09-07 09:10:31:387,86772,1.0,87077,1.0,174437,1.5,232711,2.25 139,1,2024-09-07 09:10:30:574,617067,617067,0,0,288368524703,3061403419,605687,8732,2648,381,392058,0 139,2,2024-09-07 09:10:30:702,445091,445091,0,0,21865327,0,3097 139,3,2024-09-07 09:10:31:669,1,353,12,0,257,4099,353,0 140,0,2024-09-07 09:10:31:602,88131,0.3,87693,0.5,176249,0.2,235237,1.75 140,1,2024-09-07 09:10:31:566,623162,623162,0,0,291572305791,3006400835,619955,2768,439,365,391606,0 140,2,2024-09-07 09:10:30:698,446328,446327,1,0,17947544,0,5036 140,3,2024-09-07 09:10:30:768,1,353,1,0,247,2841,353,0 141,0,2024-09-07 09:10:31:713,88419,0.3,90768,0.5,173475,0.3,235560,1.75 141,1,2024-09-07 09:10:30:871,621522,621522,0,0,291528385814,3027777149,616956,3704,862,379,391614,0 141,2,2024-09-07 09:10:31:686,446014,446014,0,0,17551777,0,3360 141,3,2024-09-07 09:10:31:044,1,353,1,0,391,4010,353,0 142,0,2024-09-07 09:10:31:331,89733,0.3,88862,0.5,177742,0.3,237363,1.75 142,1,2024-09-07 09:10:30:613,621182,621182,0,0,290099235586,3024233325,617212,3618,352,382,392102,0 142,2,2024-09-07 09:10:31:303,445785,445753,32,0,18980513,0,6028 142,3,2024-09-07 09:10:31:774,1,353,3,0,484,4202,353,0 143,0,2024-09-07 09:10:31:377,87978,0.4,87709,0.5,175849,0.4,234425,1.75 143,1,2024-09-07 09:10:30:570,621750,621750,0,0,291403205088,3021915414,617970,3629,151,367,391705,0 143,2,2024-09-07 09:10:30:769,450084,450084,0,0,18404875,0,3123 143,3,2024-09-07 09:10:31:148,1,353,1,0,303,4247,353,0 144,0,2024-09-07 09:10:31:525,84877,0.5,87027,0.7,177562,0.4,233165,2.00 144,1,2024-09-07 09:10:30:566,618753,618753,0,0,289808882345,3035058879,613877,3935,941,381,391649,0 144,2,2024-09-07 09:10:31:760,446502,446502,0,0,16539659,0,3473 144,3,2024-09-07 09:10:31:760,1,353,1,0,249,3497,353,0 145,0,2024-09-07 09:10:31:372,85493,0.6,85539,0.8,181502,0.5,233416,2.25 145,1,2024-09-07 09:10:30:553,618433,618433,0,0,290036921113,3046856866,611072,6220,1141,382,391759,0 145,2,2024-09-07 09:10:31:437,444155,444155,0,0,20069867,0,3903 145,3,2024-09-07 09:10:30:902,1,353,5,0,622,5171,353,0 146,0,2024-09-07 09:10:31:628,89098,0.6,88528,0.7,178449,0.5,236416,2.25 146,1,2024-09-07 09:10:31:598,619509,619509,0,0,289368473056,3042362118,609629,7869,2011,368,391770,0 146,2,2024-09-07 09:10:31:699,445593,445593,0,0,20019533,0,2730 146,3,2024-09-07 09:10:31:281,1,353,1,0,1520,7252,353,0 147,0,2024-09-07 09:10:31:740,88855,0.6,88570,0.7,176476,0.5,236080,2.00 147,1,2024-09-07 09:10:31:387,621255,621255,0,0,290753750575,3024503379,615588,4866,801,368,391791,0 147,2,2024-09-07 09:10:31:010,448542,448542,0,0,17866193,0,2789 147,3,2024-09-07 09:10:30:914,1,353,2,0,730,5096,353,0 0,0,2024-09-07 09:10:41:709,86934,0.6,86944,0.8,184542,0.7,238217,2.00 0,1,2024-09-07 09:10:40:803,621857,621857,0,0,291746585033,3048205202,617719,3892,246,369,391896,0 0,2,2024-09-07 09:10:41:072,449623,449623,0,0,17585400,0,4480 0,3,2024-09-07 09:10:40:974,1,354,7,0,431,4756,354,0 1,0,2024-09-07 09:10:41:770,89763,0.8,89226,0.9,179047,0.9,239172,2.00 1,1,2024-09-07 09:10:40:557,620814,620814,0,0,291284855536,3047772235,615199,4387,1228,370,391857,0 1,2,2024-09-07 09:10:40:645,447328,447328,0,0,17086256,0,3267 1,3,2024-09-07 09:10:41:302,1,354,1,0,262,4084,354,0 2,0,2024-09-07 09:10:41:573,87707,0.6,87925,0.7,174864,0.6,234558,2.00 2,1,2024-09-07 09:10:40:859,623238,623238,0,0,292265199909,3032702416,620338,2607,293,380,391745,0 2,2,2024-09-07 09:10:41:266,448263,448263,0,0,16749635,0,3594 2,3,2024-09-07 09:10:40:690,1,354,1,0,357,3669,354,0 3,0,2024-09-07 09:10:41:745,89773,0.4,89560,0.6,179073,0.4,238528,2.00 3,1,2024-09-07 09:10:41:623,621371,621371,0,0,290827960812,3026288295,616271,4477,623,380,391591,0 3,2,2024-09-07 09:10:41:145,447336,447313,23,0,17295910,0,5851 3,3,2024-09-07 09:10:41:756,1,354,1,0,207,2245,354,0 4,0,2024-09-07 09:10:41:771,86283,0.4,88782,0.5,180652,0.4,236546,1.75 4,1,2024-09-07 09:10:40:592,620268,620268,0,0,290481923796,3056416066,612805,6105,1358,371,391992,0 4,2,2024-09-07 09:10:41:022,446533,446533,0,0,20148117,0,4528 4,3,2024-09-07 09:10:41:040,1,354,21,0,448,4879,354,0 5,0,2024-09-07 09:10:41:372,88475,0.4,88854,0.6,177444,0.4,235941,1.75 5,1,2024-09-07 09:10:40:769,621235,621235,0,0,290627048336,3052342147,614061,5818,1356,367,392005,0 5,2,2024-09-07 09:10:41:839,445528,445528,0,0,19213238,0,2432 5,3,2024-09-07 09:10:41:732,1,354,17,0,457,5032,354,0 6,0,2024-09-07 09:10:40:917,88569,0.4,88070,0.6,175984,0.4,235432,1.75 6,1,2024-09-07 09:10:40:747,621192,621192,0,0,291218487446,3040612279,614579,5528,1085,379,391694,0 6,2,2024-09-07 09:10:41:117,449332,449332,0,0,18374885,0,4816 6,3,2024-09-07 09:10:41:274,1,354,21,0,340,3760,354,0 7,0,2024-09-07 09:10:41:537,87248,0.4,87730,0.6,174753,0.4,232557,1.75 7,1,2024-09-07 09:10:40:852,620472,620472,0,0,291020184386,3051787979,612399,6897,1176,382,391747,0 7,2,2024-09-07 09:10:40:775,447722,447722,0,0,18539080,0,4791 7,3,2024-09-07 09:10:40:851,1,354,0,0,398,3868,354,0 8,0,2024-09-07 09:10:41:356,88340,0.4,88213,0.5,176557,0.3,235545,1.75 8,1,2024-09-07 09:10:41:024,619533,619533,0,0,291260538118,3063698449,609378,7877,2278,366,392144,0 8,2,2024-09-07 09:10:40:798,443152,443152,0,0,23009335,0,3220 8,3,2024-09-07 09:10:40:596,1,354,2,0,538,6039,354,0 9,0,2024-09-07 09:10:41:176,89500,0.4,86920,0.5,181860,0.3,238090,1.75 9,1,2024-09-07 09:10:40:555,620150,620150,0,0,290147471531,3056653115,611076,6949,2125,369,392001,0 9,2,2024-09-07 09:10:41:139,446864,446864,0,0,20350054,0,3360 9,3,2024-09-07 09:10:41:763,1,354,13,0,496,5189,354,0 10,0,2024-09-07 09:10:41:623,88525,0.3,87846,0.5,176514,0.2,235289,1.75 10,1,2024-09-07 09:10:40:583,621112,621112,0,0,290015799317,3036288725,613227,6775,1110,381,391741,0 10,2,2024-09-07 09:10:40:763,450728,450728,0,0,22040840,0,4264 10,3,2024-09-07 09:10:40:871,1,354,0,0,296,3201,354,0 11,0,2024-09-07 09:10:41:011,87107,0.4,84646,0.6,176903,0.3,234113,1.75 11,1,2024-09-07 09:10:40:573,621675,621675,0,0,291798758191,3062706996,611651,7576,2448,383,391756,0 11,2,2024-09-07 09:10:41:133,446286,446286,0,0,19758823,0,4130 11,3,2024-09-07 09:10:41:300,1,354,2,0,843,5398,354,0 12,0,2024-09-07 09:10:40:959,89968,0.4,89999,0.5,179740,0.3,238391,1.75 12,1,2024-09-07 09:10:40:936,621221,621221,0,0,290986937091,3033472521,616351,4378,492,370,391870,0 12,2,2024-09-07 09:10:41:542,447342,447342,0,0,19539444,0,3469 12,3,2024-09-07 09:10:41:079,1,354,6,0,386,5646,354,0 13,0,2024-09-07 09:10:41:356,90310,0.4,89971,0.6,179653,0.4,239218,1.75 13,1,2024-09-07 09:10:41:547,620205,620205,0,0,290110021291,3049036451,614292,4503,1410,382,391740,0 13,2,2024-09-07 09:10:40:597,449244,449244,0,0,17961088,0,3287 13,3,2024-09-07 09:10:41:764,1,354,2,0,522,5624,354,0 14,0,2024-09-07 09:10:40:567,89967,0.4,90708,0.6,179522,0.3,239006,1.75 14,1,2024-09-07 09:10:41:563,624858,624858,0,0,291842880569,3021292394,620168,4331,359,364,391571,0 14,2,2024-09-07 09:10:40:773,448757,448727,30,0,19505395,0,6104 14,3,2024-09-07 09:10:41:120,1,354,0,0,1168,4222,354,0 15,0,2024-09-07 09:10:41:553,87206,0.4,87237,0.6,174746,0.3,232682,1.75 15,1,2024-09-07 09:10:41:608,622034,622034,0,0,291326244420,3031978495,617688,3523,823,381,391619,0 15,2,2024-09-07 09:10:41:005,448280,448280,0,0,16020903,0,3622 15,3,2024-09-07 09:10:41:406,1,354,2,0,1126,6291,354,0 16,0,2024-09-07 09:10:40:976,89705,0.6,90017,0.7,179853,0.5,238961,2.00 16,1,2024-09-07 09:10:40:578,622685,622685,0,0,291481030383,3040670759,618370,3953,362,370,391756,0 16,2,2024-09-07 09:10:41:449,447117,447117,0,0,18479878,0,4719 16,3,2024-09-07 09:10:41:145,1,354,4,0,317,4759,354,0 17,0,2024-09-07 09:10:41:808,92185,0.6,89911,0.8,176048,0.7,239050,2.00 17,1,2024-09-07 09:10:40:579,620336,620336,0,0,291154787076,3052645057,614091,4986,1259,368,392075,0 17,2,2024-09-07 09:10:41:666,450714,450714,0,0,18210488,0,2857 17,3,2024-09-07 09:10:40:579,1,354,15,0,298,5727,354,0 18,0,2024-09-07 09:10:40:939,87857,0.6,88173,0.8,176010,0.6,235203,2.25 18,1,2024-09-07 09:10:41:638,623239,623239,0,0,292134480154,3025684932,619890,3038,311,367,391649,0 18,2,2024-09-07 09:10:41:758,449535,449535,0,0,16781009,0,3541 18,3,2024-09-07 09:10:40:896,1,354,3,0,163,2991,354,0 19,0,2024-09-07 09:10:41:547,87702,0.5,88377,0.7,174846,0.5,233043,2.00 19,1,2024-09-07 09:10:40:568,623368,623368,0,0,291747332074,3025012177,618242,4287,839,367,391777,0 19,2,2024-09-07 09:10:41:751,449830,449830,0,0,15388574,0,3988 19,3,2024-09-07 09:10:41:131,1,354,84,0,524,2729,354,0 20,0,2024-09-07 09:10:41:452,88288,0.5,88333,0.7,176498,0.4,236281,2.00 20,1,2024-09-07 09:10:40:571,621442,621442,0,0,291745847535,3044306716,617095,3939,408,369,391886,0 20,2,2024-09-07 09:10:40:928,447865,447865,0,0,18610677,0,3721 20,3,2024-09-07 09:10:40:589,1,354,9,0,414,5474,354,0 21,0,2024-09-07 09:10:41:162,88625,0.5,88632,0.6,177069,0.5,235324,2.00 21,1,2024-09-07 09:10:41:537,620419,620419,0,0,290076015704,3053024534,612418,6119,1882,368,392016,0 21,2,2024-09-07 09:10:41:087,445220,445220,0,0,21691990,0,3747 21,3,2024-09-07 09:10:41:404,1,354,5,0,713,4131,354,0 22,0,2024-09-07 09:10:41:731,88705,0.5,89063,0.7,178302,0.5,235881,2.00 22,1,2024-09-07 09:10:41:023,621411,621411,0,0,290760325178,3051807085,612919,6672,1820,382,391667,0 22,2,2024-09-07 09:10:40:775,446017,446017,0,0,17635857,0,3134 22,3,2024-09-07 09:10:41:075,1,354,30,0,228,2917,354,0 23,0,2024-09-07 09:10:41:378,87490,0.5,87497,0.7,175322,0.5,233516,2.25 23,1,2024-09-07 09:10:41:005,621720,621720,0,0,292147895691,3064309110,611225,7336,3159,365,391690,0 23,2,2024-09-07 09:10:41:101,451759,451759,0,0,17562079,0,3010 23,3,2024-09-07 09:10:41:756,1,354,12,0,720,4781,354,0 24,0,2024-09-07 09:10:40:843,88332,0.4,88304,0.5,177004,0.3,235493,1.75 24,1,2024-09-07 09:10:40:582,620440,620440,0,0,290464742505,3045492146,613534,5374,1532,367,392269,0 24,2,2024-09-07 09:10:41:082,446015,446015,0,0,21514595,0,3607 24,3,2024-09-07 09:10:41:689,1,354,3,0,468,4626,354,0 25,0,2024-09-07 09:10:41:342,91032,0.4,88799,0.6,173975,0.4,236896,2.00 25,1,2024-09-07 09:10:40:562,621574,621574,0,0,290568986505,3048924577,613677,6519,1378,371,391928,0 25,2,2024-09-07 09:10:41:614,445362,445362,0,0,21683867,0,3978 25,3,2024-09-07 09:10:41:005,1,354,3,0,255,3465,354,0 26,0,2024-09-07 09:10:41:722,89054,0.4,86914,0.6,182334,0.4,237296,1.75 26,1,2024-09-07 09:10:41:541,621751,621751,0,0,291152651132,3048497750,612863,7352,1536,380,391748,0 26,2,2024-09-07 09:10:40:862,448122,448122,0,0,21568427,0,2809 26,3,2024-09-07 09:10:41:711,1,354,1,0,796,4451,354,0 27,0,2024-09-07 09:10:41:732,89078,0.4,89190,0.6,176769,0.4,236018,2.00 27,1,2024-09-07 09:10:41:681,623947,623947,0,0,292149452718,3039619469,618640,4541,766,381,391626,0 27,2,2024-09-07 09:10:40:867,447377,447312,65,0,20991860,0,5699 27,3,2024-09-07 09:10:41:021,1,354,2,0,564,3662,354,0 28,0,2024-09-07 09:10:41:388,87451,0.4,87078,0.6,174456,0.3,233502,2.00 28,1,2024-09-07 09:10:40:798,622832,622832,0,0,292616300576,3047560576,618332,3795,705,383,391698,0 28,2,2024-09-07 09:10:41:767,446582,446582,0,0,18685138,0,2915 28,3,2024-09-07 09:10:41:776,1,354,0,0,502,3771,354,0 29,0,2024-09-07 09:10:41:358,92153,0.4,89747,0.6,176161,0.3,239708,1.75 29,1,2024-09-07 09:10:41:561,624398,624398,0,0,292255022584,3025224005,620055,3658,685,369,391753,0 29,2,2024-09-07 09:10:40:861,445887,445887,0,0,18028173,0,4986 29,3,2024-09-07 09:10:40:963,1,354,3,0,459,4382,354,0 30,0,2024-09-07 09:10:41:457,89168,0.5,86631,0.7,181324,0.5,237204,2.00 30,1,2024-09-07 09:10:40:570,623698,623698,0,0,292690438597,3038204026,618879,4109,710,381,391672,0 30,2,2024-09-07 09:10:41:274,449272,449272,0,0,17007694,0,3161 30,3,2024-09-07 09:10:40:581,1,354,6,0,519,3592,354,0 31,0,2024-09-07 09:10:41:764,89311,0.4,89730,0.6,179291,0.3,238943,2.00 31,1,2024-09-07 09:10:40:568,625687,625687,0,0,292721360931,3001150035,623139,1989,559,356,391712,0 31,2,2024-09-07 09:10:41:275,448061,448061,0,0,18790232,0,3525 31,3,2024-09-07 09:10:41:707,1,354,2,0,220,3011,354,0 32,0,2024-09-07 09:10:41:500,87795,0.3,88177,0.5,176268,0.2,234821,1.50 32,1,2024-09-07 09:10:40:804,623346,623346,0,0,292087459862,3031122900,620181,2719,446,381,391646,0 32,2,2024-09-07 09:10:40:940,448349,448349,0,0,15735358,0,3155 32,3,2024-09-07 09:10:41:020,1,354,1,0,227,2473,354,0 33,0,2024-09-07 09:10:41:509,89919,0.3,89444,0.4,179485,0.2,238824,1.50 33,1,2024-09-07 09:10:40:580,624544,624544,0,0,292293673505,3024955540,620100,3613,831,369,391730,0 33,2,2024-09-07 09:10:40:763,448708,448673,35,0,17929102,0,7012 33,3,2024-09-07 09:10:40:895,1,354,1,0,329,3599,354,0 34,0,2024-09-07 09:10:40:943,89129,0.3,91663,0.4,175351,0.2,235934,1.75 34,1,2024-09-07 09:10:41:045,625309,625309,0,0,293465523029,3014860557,623886,1414,9,367,391562,0 34,2,2024-09-07 09:10:40:770,448172,448172,0,0,17117972,0,3577 34,3,2024-09-07 09:10:41:688,1,354,0,0,299,2519,354,0 35,0,2024-09-07 09:10:40:916,87859,0.4,88190,0.5,177397,0.3,236483,1.75 35,1,2024-09-07 09:10:41:079,623166,623166,0,0,292305972557,3026530707,619556,2829,781,382,391589,0 35,2,2024-09-07 09:10:41:583,447618,447618,0,0,17226736,0,2653 35,3,2024-09-07 09:10:40:907,1,354,4,0,418,4288,354,0 36,0,2024-09-07 09:10:41:533,88569,0.4,88500,0.6,176982,0.4,235176,2.00 36,1,2024-09-07 09:10:40:594,622950,622950,0,0,291397448211,3040340837,615633,5659,1658,366,391759,0 36,2,2024-09-07 09:10:41:752,450181,450181,0,0,19501310,0,3875 36,3,2024-09-07 09:10:40:871,1,354,5,0,416,5393,354,0 37,0,2024-09-07 09:10:41:380,87251,0.4,87118,0.6,174464,0.4,233033,2.00 37,1,2024-09-07 09:10:40:570,621920,621913,0,7,290944926598,3036152168,615138,4689,2086,365,391570,0 37,2,2024-09-07 09:10:41:145,446013,445998,15,0,19222875,0,5815 37,3,2024-09-07 09:10:41:767,1,354,0,0,888,5637,354,0 38,0,2024-09-07 09:10:41:437,87717,0.5,85182,0.7,178292,0.4,233404,2.00 38,1,2024-09-07 09:10:41:609,623711,623711,0,0,291669745397,3033390015,617649,5303,759,368,391821,0 38,2,2024-09-07 09:10:40:762,446481,446434,47,0,19589686,0,6710 38,3,2024-09-07 09:10:41:004,1,354,0,0,689,4740,354,0 39,0,2024-09-07 09:10:41:773,91041,0.6,89127,0.7,173493,0.6,236908,2.00 39,1,2024-09-07 09:10:40:723,622084,622084,0,0,291857585103,3049377407,613648,6975,1461,365,391658,0 39,2,2024-09-07 09:10:41:417,447533,447533,0,0,17641207,0,2689 39,3,2024-09-07 09:10:40:718,1,354,2,0,324,4332,354,0 40,0,2024-09-07 09:10:41:504,87591,0.7,88210,0.9,176106,0.7,234953,2.75 40,1,2024-09-07 09:10:40:581,622758,622758,0,0,290682668112,3040694885,614173,7074,1511,368,391668,0 40,2,2024-09-07 09:10:41:303,449643,449642,1,0,21302861,0,5137 40,3,2024-09-07 09:10:41:143,1,354,1,0,1028,5085,354,0 41,0,2024-09-07 09:10:41:042,86904,1.2,89047,1.1,169942,1.7,231803,2.75 41,1,2024-09-07 09:10:40:767,621538,621538,0,0,291076635762,3041216012,614481,6352,705,369,391742,0 41,2,2024-09-07 09:10:40:765,445266,445266,0,0,20187026,0,3356 41,3,2024-09-07 09:10:41:676,1,354,0,0,366,3530,354,0 42,0,2024-09-07 09:10:41:486,88479,0.9,88627,1.0,177538,1.1,234405,2.75 42,1,2024-09-07 09:10:41:439,620473,620473,0,0,290245993369,3047197828,610926,7789,1758,380,391675,0 42,2,2024-09-07 09:10:41:136,445944,445944,0,0,20477631,0,3790 42,3,2024-09-07 09:10:41:009,1,354,1,0,446,3104,354,0 43,0,2024-09-07 09:10:40:923,88486,0.9,86180,1.0,180679,1.0,236741,2.50 43,1,2024-09-07 09:10:40:578,621956,621956,0,0,292318953522,3050612731,613720,6798,1438,366,391696,0 43,2,2024-09-07 09:10:41:735,448368,448368,0,0,20173193,0,3812 43,3,2024-09-07 09:10:41:750,1,354,2,0,467,4338,354,0 44,0,2024-09-07 09:10:40:857,89893,0.4,90268,0.6,180060,0.4,239186,1.75 44,1,2024-09-07 09:10:40:566,623292,623292,0,0,291723149128,3014957750,618229,4016,1047,356,391809,0 44,2,2024-09-07 09:10:41:267,448123,448123,0,0,17040478,0,4344 44,3,2024-09-07 09:10:41:094,1,354,1,0,817,4533,354,0 45,0,2024-09-07 09:10:41:797,86311,0.5,84173,0.7,176589,0.4,232775,2.00 45,1,2024-09-07 09:10:41:017,623010,623010,0,0,291975990181,3032667824,618575,3959,476,382,391917,0 45,2,2024-09-07 09:10:41:268,447249,447249,0,0,17489534,0,3596 45,3,2024-09-07 09:10:40:934,1,354,11,0,271,3311,354,0 46,0,2024-09-07 09:10:40:959,89154,0.5,89139,0.7,178273,0.5,236531,2.00 46,1,2024-09-07 09:10:40:579,624516,624516,0,0,292649087712,3021116008,620799,3291,426,366,391572,0 46,2,2024-09-07 09:10:40:593,447748,447748,0,0,16597311,0,2920 46,3,2024-09-07 09:10:41:131,1,354,1,0,908,5056,354,0 47,0,2024-09-07 09:10:41:103,89771,0.5,90015,0.6,180341,0.5,238455,2.00 47,1,2024-09-07 09:10:40:566,625230,625230,0,0,292197011792,3021309391,620742,3673,815,366,391641,0 47,2,2024-09-07 09:10:40:912,450175,450175,0,0,17280511,0,4477 47,3,2024-09-07 09:10:41:116,1,354,10,0,529,3749,354,0 48,0,2024-09-07 09:10:41:503,89319,0.3,88982,0.4,177531,0.2,237347,1.50 48,1,2024-09-07 09:10:41:022,623212,623212,0,0,292556305883,3036310600,619611,3297,304,384,391710,0 48,2,2024-09-07 09:10:40:707,447482,447482,0,0,15570324,0,3031 48,3,2024-09-07 09:10:40:755,1,354,6,0,339,2924,354,0 49,0,2024-09-07 09:10:41:726,90445,0.3,88887,0.5,171914,0.2,235813,1.75 49,1,2024-09-07 09:10:41:021,622772,622772,0,0,291853025915,3036538610,618443,3144,1185,382,391809,0 49,2,2024-09-07 09:10:41:797,449298,449298,0,0,16698235,0,4426 49,3,2024-09-07 09:10:41:416,1,354,2,0,408,3590,354,0 50,0,2024-09-07 09:10:41:535,88945,0.3,87431,0.4,176429,0.2,235933,1.75 50,1,2024-09-07 09:10:41:010,625000,625000,0,0,292582496537,3022547016,621178,3448,374,368,391565,0 50,2,2024-09-07 09:10:41:072,447854,447854,0,0,15769510,0,2263 50,3,2024-09-07 09:10:41:290,1,354,1,0,335,3320,354,0 51,0,2024-09-07 09:10:41:684,90883,0.3,88862,0.5,173315,0.2,236334,1.75 51,1,2024-09-07 09:10:41:680,624873,624873,0,0,293347858590,3024182320,621611,2286,976,365,391706,0 51,2,2024-09-07 09:10:41:318,447551,447551,0,0,15233647,0,3337 51,3,2024-09-07 09:10:41:030,1,354,0,0,678,2601,354,0 52,0,2024-09-07 09:10:41:440,89237,0.5,89216,0.7,178194,0.4,236853,2.00 52,1,2024-09-07 09:10:40:584,622170,622170,0,0,291289438231,3047020378,613528,7328,1314,368,391722,0 52,2,2024-09-07 09:10:41:763,443697,443659,38,0,19961090,0,6742 52,3,2024-09-07 09:10:40:683,1,354,0,0,1782,5265,354,0 53,0,2024-09-07 09:10:41:740,87426,0.6,84882,0.7,177718,0.6,233073,2.25 53,1,2024-09-07 09:10:40:772,620605,620605,0,0,291191635433,3052873030,610979,7043,2583,367,391702,0 53,2,2024-09-07 09:10:41:299,451538,451538,0,0,17388832,0,2727 53,3,2024-09-07 09:10:40:698,1,354,1,0,308,3256,354,0 54,0,2024-09-07 09:10:41:614,86898,0.5,87312,0.7,173718,0.4,232785,2.25 54,1,2024-09-07 09:10:40:581,622640,622640,0,0,292511337686,3036569115,616974,5064,602,366,391659,0 54,2,2024-09-07 09:10:40:877,447044,447038,6,0,19689902,0,5382 54,3,2024-09-07 09:10:40:762,1,354,1,0,676,5253,354,0 55,0,2024-09-07 09:10:41:762,85605,0.6,88672,0.7,178772,0.5,232896,2.50 55,1,2024-09-07 09:10:40:764,622643,622643,0,0,291462737127,3029750375,616017,5717,909,365,391731,0 55,2,2024-09-07 09:10:40:729,445661,445661,0,0,19067797,0,3563 55,3,2024-09-07 09:10:40:674,1,354,1,0,304,3852,354,0 56,0,2024-09-07 09:10:41:551,91108,1.1,85896,1.1,177156,1.6,236962,2.50 56,1,2024-09-07 09:10:40:572,619757,619757,0,0,291208227565,3070399096,611016,7110,1631,381,391867,0 56,2,2024-09-07 09:10:41:303,447599,447599,0,0,20281730,0,3567 56,3,2024-09-07 09:10:41:059,1,354,1,0,705,4521,354,0 57,0,2024-09-07 09:10:40:990,87651,1.3,87528,1.2,175489,1.9,234532,2.75 57,1,2024-09-07 09:10:41:000,621392,621392,0,0,291278722943,3049491123,614517,6155,720,366,392032,0 57,2,2024-09-07 09:10:41:332,450542,450542,0,0,21906240,0,3317 57,3,2024-09-07 09:10:41:738,1,354,2,0,359,4064,354,0 58,0,2024-09-07 09:10:40:560,85995,0.8,83602,0.9,175009,0.8,230242,2.50 58,1,2024-09-07 09:10:40:582,622044,622041,0,3,291609187492,3045434284,613915,7044,1082,367,391603,3 58,2,2024-09-07 09:10:41:082,445936,445936,0,0,20007081,0,2549 58,3,2024-09-07 09:10:41:070,1,354,12,0,1043,3746,354,0 59,0,2024-09-07 09:10:41:745,89427,0.8,89037,0.9,177825,0.9,236252,2.50 59,1,2024-09-07 09:10:40:804,621463,621463,0,0,291362876207,3046914711,613582,6359,1522,369,391586,0 59,2,2024-09-07 09:10:40:585,447241,447241,0,0,19842157,0,2867 59,3,2024-09-07 09:10:41:737,1,354,1,0,1015,4390,354,0 60,0,2024-09-07 09:10:41:719,89383,0.5,89437,0.7,179485,0.5,238012,1.75 60,1,2024-09-07 09:10:40:772,623776,623776,0,0,292711914265,3037615730,619651,3476,649,370,392031,0 60,2,2024-09-07 09:10:41:144,448623,448623,0,0,19383187,0,3811 60,3,2024-09-07 09:10:41:271,1,354,1,0,409,4191,354,0 61,0,2024-09-07 09:10:41:507,89385,0.6,90037,0.8,179071,0.6,238760,2.00 61,1,2024-09-07 09:10:40:769,621536,621536,0,0,291065924205,3050133078,614319,5892,1325,382,392127,0 61,2,2024-09-07 09:10:41:124,448104,448037,67,0,19677653,0,6411 61,3,2024-09-07 09:10:41:688,1,354,1,0,479,5160,354,0 62,0,2024-09-07 09:10:41:709,88296,0.5,90275,0.7,172223,0.5,234700,1.75 62,1,2024-09-07 09:10:41:111,625941,625935,0,6,293145961551,3024166341,622354,3322,259,365,391715,6 62,2,2024-09-07 09:10:41:644,446436,446435,1,0,19125881,0,5555 62,3,2024-09-07 09:10:41:148,1,354,1,0,465,2688,354,0 63,0,2024-09-07 09:10:41:458,90065,0.4,89807,0.6,180120,0.3,239194,1.75 63,1,2024-09-07 09:10:40:807,624046,624040,0,6,292597190003,3036141143,620105,3547,388,381,391800,6 63,2,2024-09-07 09:10:40:764,447541,447541,0,0,17608243,0,4369 63,3,2024-09-07 09:10:41:732,1,354,1,0,667,3870,354,0 64,0,2024-09-07 09:10:41:546,88395,0.5,88337,0.7,176765,0.5,234970,2.00 64,1,2024-09-07 09:10:40:752,622772,622772,0,0,291214248127,3032672386,617124,3988,1660,370,391783,0 64,2,2024-09-07 09:10:41:146,451167,451148,19,0,17134979,0,6121 64,3,2024-09-07 09:10:41:143,1,354,2,0,265,3234,354,0 65,0,2024-09-07 09:10:41:675,87624,0.6,87996,0.8,175752,0.7,234260,2.25 65,1,2024-09-07 09:10:40:863,621565,621565,0,0,290550856819,3033977262,617477,3637,451,382,391901,0 65,2,2024-09-07 09:10:41:692,448166,448166,0,0,18895309,0,3367 65,3,2024-09-07 09:10:41:683,1,354,1,0,163,3105,354,0 66,0,2024-09-07 09:10:41:771,87866,0.5,87922,0.7,175724,0.4,234013,2.00 66,1,2024-09-07 09:10:41:293,622995,622995,0,0,292303891495,3036778748,619394,3278,323,380,391653,0 66,2,2024-09-07 09:10:41:132,451451,451451,0,0,16882154,0,4956 66,3,2024-09-07 09:10:41:083,1,354,2,0,291,3245,354,0 67,0,2024-09-07 09:10:41:412,87878,0.5,87378,0.7,175368,0.4,233523,2.00 67,1,2024-09-07 09:10:40:766,623763,623762,0,1,291613801505,3033940977,619595,3416,751,380,391787,1 67,2,2024-09-07 09:10:40:583,448460,448460,0,0,16361542,0,2889 67,3,2024-09-07 09:10:41:757,1,354,1,0,338,3203,354,0 68,0,2024-09-07 09:10:40:574,88589,0.6,88160,0.7,175960,0.6,235439,2.00 68,1,2024-09-07 09:10:40:573,621831,621831,0,0,290985842065,3044401328,617012,3614,1205,381,391953,0 68,2,2024-09-07 09:10:41:047,445361,445296,65,0,21377954,0,6698 68,3,2024-09-07 09:10:40:735,1,354,1,0,417,3741,354,0 69,0,2024-09-07 09:10:41:727,88744,0.8,89348,0.9,177738,1.0,236082,2.25 69,1,2024-09-07 09:10:41:021,619723,619723,0,0,291124198188,3067895654,611702,5911,2110,384,391994,0 69,2,2024-09-07 09:10:41:732,447047,447047,0,0,21625993,0,3722 69,3,2024-09-07 09:10:40:761,1,354,18,0,698,4940,354,0 70,0,2024-09-07 09:10:41:543,87461,0.7,87548,0.9,176072,0.5,233490,2.25 70,1,2024-09-07 09:10:40:804,623216,623216,0,0,292186738673,3029942243,618363,4317,536,366,391725,0 70,2,2024-09-07 09:10:41:327,450139,450139,0,0,19565326,0,4044 70,3,2024-09-07 09:10:40:744,1,354,0,0,854,3829,354,0 71,0,2024-09-07 09:10:41:357,86835,0.7,86494,0.9,173853,0.7,232860,2.50 71,1,2024-09-07 09:10:41:598,622142,622142,0,0,291812909675,3040868028,615530,5956,656,368,391738,0 71,2,2024-09-07 09:10:41:071,446713,446713,0,0,19270950,0,4042 71,3,2024-09-07 09:10:41:750,1,354,1,0,644,4692,354,0 72,0,2024-09-07 09:10:41:034,92226,0.6,90117,0.7,175827,0.6,238814,2.00 72,1,2024-09-07 09:10:41:021,621558,621558,0,0,291134353147,3047610956,613319,6542,1697,369,391819,0 72,2,2024-09-07 09:10:41:756,445659,445659,0,0,22126986,0,3983 72,3,2024-09-07 09:10:41:754,1,354,1,0,564,5422,354,0 73,0,2024-09-07 09:10:41:103,87369,0.4,89583,0.6,183261,0.4,237882,2.00 73,1,2024-09-07 09:10:40:766,622726,622726,0,0,291963673564,3032576422,618404,3992,330,367,391858,0 73,2,2024-09-07 09:10:41:740,448323,448323,0,0,21358474,0,3701 73,3,2024-09-07 09:10:40:969,1,354,1,0,274,4589,354,0 74,0,2024-09-07 09:10:41:325,90284,0.4,92497,0.6,176652,0.4,238898,2.25 74,1,2024-09-07 09:10:40:635,622265,622265,0,0,291099126954,3036398915,616184,4818,1263,381,391681,0 74,2,2024-09-07 09:10:41:007,448337,448337,0,0,20320575,0,4253 74,3,2024-09-07 09:10:41:442,1,354,1,0,522,4866,354,0 75,0,2024-09-07 09:10:41:799,87449,0.4,87153,0.6,174589,0.4,233580,2.00 75,1,2024-09-07 09:10:41:587,621296,621296,0,0,291097322325,3038497293,614936,5535,825,380,391739,0 75,2,2024-09-07 09:10:41:355,446255,446255,0,0,20463448,0,4766 75,3,2024-09-07 09:10:41:071,1,354,4,0,702,4911,354,0 76,0,2024-09-07 09:10:40:627,89435,0.6,88730,0.8,178114,0.6,237942,2.25 76,1,2024-09-07 09:10:40:805,622447,622447,0,0,291300733729,3035927323,618521,3335,591,382,391692,0 76,2,2024-09-07 09:10:41:061,449010,449009,1,0,19622760,0,5144 76,3,2024-09-07 09:10:41:143,1,354,1,0,175,3340,354,0 77,0,2024-09-07 09:10:41:695,89584,0.6,89675,0.8,179414,0.7,238407,2.00 77,1,2024-09-07 09:10:40:826,622037,622037,0,0,291399268899,3043185983,616759,4787,491,381,391869,0 77,2,2024-09-07 09:10:41:283,448924,448924,0,0,19206219,0,3890 77,3,2024-09-07 09:10:41:095,1,354,1,0,401,3929,354,0 78,0,2024-09-07 09:10:41:731,89209,0.4,88690,0.6,178094,0.4,236664,2.00 78,1,2024-09-07 09:10:40:610,622858,622858,0,0,291102046521,3028625917,616927,4786,1145,367,391670,0 78,2,2024-09-07 09:10:41:406,448473,448473,0,0,16536444,0,3855 78,3,2024-09-07 09:10:41:133,1,354,1,0,181,3263,354,0 79,0,2024-09-07 09:10:41:359,85334,0.4,87456,0.6,178845,0.3,232778,2.25 79,1,2024-09-07 09:10:40:572,624653,624653,0,0,292131298014,3023142920,620095,3925,633,368,391682,0 79,2,2024-09-07 09:10:41:071,449595,449595,0,0,17511511,0,4195 79,3,2024-09-07 09:10:40:751,1,354,0,0,418,4418,354,0 80,0,2024-09-07 09:10:41:081,88300,0.5,91002,0.6,174280,0.4,235561,2.00 80,1,2024-09-07 09:10:41:628,623000,623000,0,0,292109326148,3035493878,619646,3175,179,368,391791,0 80,2,2024-09-07 09:10:41:093,449571,449571,0,0,16734342,0,4433 80,3,2024-09-07 09:10:40:584,1,354,139,0,190,4238,354,0 81,0,2024-09-07 09:10:41:563,88497,0.6,90637,0.7,173040,0.6,234929,2.00 81,1,2024-09-07 09:10:41:650,621712,621712,0,0,291146198755,3040301252,616842,4371,499,382,391879,0 81,2,2024-09-07 09:10:41:130,447053,446990,63,0,18625275,0,5932 81,3,2024-09-07 09:10:41:122,1,354,32,0,374,4031,354,0 82,0,2024-09-07 09:10:41:553,88568,0.5,89073,0.7,178543,0.4,236492,2.00 82,1,2024-09-07 09:10:40:583,623979,623975,0,4,292377060965,3040408583,620073,3105,797,381,391768,4 82,2,2024-09-07 09:10:41:693,448547,448547,0,0,15902188,0,3986 82,3,2024-09-07 09:10:41:755,1,354,0,0,363,3502,354,0 83,0,2024-09-07 09:10:41:521,88274,0.5,87936,0.7,175424,0.5,233432,2.00 83,1,2024-09-07 09:10:40:560,622109,622109,0,0,291714807820,3038783510,617794,3934,381,382,391709,0 83,2,2024-09-07 09:10:40:764,450654,450654,0,0,16488657,0,3393 83,3,2024-09-07 09:10:40:751,1,354,3,0,1260,4908,354,0 84,0,2024-09-07 09:10:41:850,87423,0.6,87318,0.8,174697,0.5,234045,2.00 84,1,2024-09-07 09:10:41:159,621350,621350,0,0,290987817144,3037397699,615585,5036,729,367,391967,0 84,2,2024-09-07 09:10:40:617,446999,446999,0,0,20653133,0,4757 84,3,2024-09-07 09:10:41:143,1,354,1,0,908,5216,354,0 85,0,2024-09-07 09:10:41:080,85361,0.7,85437,0.8,181191,0.7,234205,2.25 85,1,2024-09-07 09:10:40:596,619905,619905,0,0,291092063147,3067355791,611370,7163,1372,381,392006,0 85,2,2024-09-07 09:10:40:867,446323,446323,0,0,20368294,0,3656 85,3,2024-09-07 09:10:40:727,1,354,16,0,789,4539,354,0 86,0,2024-09-07 09:10:40:888,89128,0.7,91702,0.8,175491,0.7,236922,2.25 86,1,2024-09-07 09:10:40:828,621811,621811,0,0,291437492030,3047758870,614913,5832,1066,366,391961,0 86,2,2024-09-07 09:10:40:856,446718,446717,1,0,21381447,0,5004 86,3,2024-09-07 09:10:40:609,1,354,54,0,308,4783,354,0 87,0,2024-09-07 09:10:41:312,88466,0.8,88286,0.9,177255,1.0,236406,2.25 87,1,2024-09-07 09:10:40:572,621653,621653,0,0,290492543692,3035502534,615433,5606,614,366,392076,0 87,2,2024-09-07 09:10:41:081,448898,448898,0,0,19473622,0,4045 87,3,2024-09-07 09:10:41:798,1,354,20,0,473,5067,354,0 88,0,2024-09-07 09:10:41:561,87209,0.4,87859,0.6,175063,0.4,233204,1.75 88,1,2024-09-07 09:10:40:574,620232,620232,0,0,290676651744,3044029517,612524,6043,1665,365,392084,0 88,2,2024-09-07 09:10:40:694,447309,447309,0,0,21637276,0,3583 88,3,2024-09-07 09:10:41:273,1,354,5,0,435,3743,354,0 89,0,2024-09-07 09:10:41:785,92301,0.5,89305,0.6,176930,0.4,239909,1.75 89,1,2024-09-07 09:10:40:565,620333,620333,0,0,290753521826,3056218470,612570,6488,1275,382,391866,0 89,2,2024-09-07 09:10:41:134,446007,446007,0,0,20698543,0,2910 89,3,2024-09-07 09:10:41:791,1,354,19,0,385,6338,354,0 90,0,2024-09-07 09:10:41:750,86797,0.5,89224,0.6,181808,0.4,237151,2.00 90,1,2024-09-07 09:10:40:769,621763,621763,0,0,291150136103,3050000314,615540,5718,505,380,391825,0 90,2,2024-09-07 09:10:41:453,447304,447304,0,0,21930367,0,3060 90,3,2024-09-07 09:10:40:935,1,354,9,0,246,3882,354,0 91,0,2024-09-07 09:10:40:946,90135,0.5,87277,0.6,182184,0.4,239280,1.75 91,1,2024-09-07 09:10:40:558,620279,620279,0,0,291253147229,3061066563,612443,6789,1047,381,392047,0 91,2,2024-09-07 09:10:41:336,448608,448608,0,0,19854031,0,2896 91,3,2024-09-07 09:10:40:602,1,354,2,0,216,3297,354,0 92,0,2024-09-07 09:10:41:530,88412,0.4,90765,0.6,173350,0.4,234969,1.75 92,1,2024-09-07 09:10:40:580,621622,621622,0,0,290884050111,3038339754,616800,4133,689,382,392136,0 92,2,2024-09-07 09:10:41:350,448408,448408,0,0,17898133,0,3259 92,3,2024-09-07 09:10:41:028,1,354,3,0,167,3018,354,0 93,0,2024-09-07 09:10:41:027,90316,0.4,92486,0.5,176802,0.3,239395,1.75 93,1,2024-09-07 09:10:40:809,622086,622086,0,0,291539947690,3041892090,615956,5099,1031,366,391776,0 93,2,2024-09-07 09:10:40:928,447017,447017,0,0,20975264,0,4845 93,3,2024-09-07 09:10:41:410,1,354,5,0,190,3396,354,0 94,0,2024-09-07 09:10:41:679,88584,0.4,89340,0.5,178289,0.3,236627,1.75 94,1,2024-09-07 09:10:40:592,622208,622208,0,0,291516222792,3040966962,618151,3866,191,381,391850,0 94,2,2024-09-07 09:10:40:763,447680,447680,0,0,18078456,0,2443 94,3,2024-09-07 09:10:41:688,1,354,2,0,264,4325,354,0 95,0,2024-09-07 09:10:41:363,88345,0.4,88479,0.5,177226,0.3,236205,1.75 95,1,2024-09-07 09:10:40:854,623459,623459,0,0,291406438862,3029550070,618436,4623,400,367,391713,0 95,2,2024-09-07 09:10:41:028,447365,447365,0,0,17764903,0,3308 95,3,2024-09-07 09:10:41:712,1,354,10,0,718,5403,354,0 96,0,2024-09-07 09:10:41:260,88413,0.3,88567,0.5,176831,0.3,234846,1.75 96,1,2024-09-07 09:10:41:584,621803,621803,0,0,291410968964,3039166233,617272,3734,797,384,391955,0 96,2,2024-09-07 09:10:41:268,450217,450217,0,0,17639693,0,4042 96,3,2024-09-07 09:10:41:150,1,354,13,0,411,3789,354,0 97,0,2024-09-07 09:10:41:317,87726,0.3,87501,0.5,175618,0.3,233371,1.50 97,1,2024-09-07 09:10:40:765,623879,623879,0,0,292113392923,3026438618,619988,3217,674,367,392140,0 97,2,2024-09-07 09:10:40:611,448420,448420,0,0,17137546,0,3036 97,3,2024-09-07 09:10:40:586,1,354,1,0,214,4060,354,0 98,0,2024-09-07 09:10:41:748,88411,0.3,88221,0.4,177084,0.2,235394,1.50 98,1,2024-09-07 09:10:40:580,623004,623004,0,0,291190886861,3027020739,620327,2570,107,382,391997,0 98,2,2024-09-07 09:10:40:771,447245,447245,0,0,17049755,0,3080 98,3,2024-09-07 09:10:40:704,1,354,2,0,840,5467,354,0 99,0,2024-09-07 09:10:41:482,89242,0.3,89807,0.5,178626,0.3,238244,1.75 99,1,2024-09-07 09:10:41:726,622865,622865,0,0,291905248327,3037450459,618715,3394,756,381,392069,0 99,2,2024-09-07 09:10:41:453,448584,448584,0,0,19346171,0,3424 99,3,2024-09-07 09:10:40:582,1,354,18,0,199,3340,354,0 100,0,2024-09-07 09:10:41:497,88099,0.7,88087,0.9,176039,0.8,235405,2.25 100,1,2024-09-07 09:10:40:578,619508,619508,0,0,290038072219,3059804146,611029,6983,1496,381,391989,0 100,2,2024-09-07 09:10:41:825,449086,449075,11,0,19952277,0,5417 100,3,2024-09-07 09:10:41:749,1,354,1,0,559,6003,354,0 101,0,2024-09-07 09:10:41:731,89307,0.7,86949,0.9,170671,0.6,234503,2.00 101,1,2024-09-07 09:10:40:566,619588,619588,0,0,290501677832,3054992474,610488,7076,2024,368,391847,0 101,2,2024-09-07 09:10:41:757,444455,444455,0,0,23084365,0,4644 101,3,2024-09-07 09:10:40:951,1,354,28,0,579,4605,354,0 102,0,2024-09-07 09:10:40:961,86976,0.7,89900,0.8,181600,0.6,237605,2.00 102,1,2024-09-07 09:10:41:144,620621,620621,0,0,290761169907,3049957619,613294,6292,1035,369,391891,0 102,2,2024-09-07 09:10:41:745,447154,447100,54,0,19322192,0,6768 102,3,2024-09-07 09:10:41:626,1,354,1,0,410,3682,354,0 103,0,2024-09-07 09:10:41:639,92459,0.6,92378,0.7,174327,0.7,239626,2.00 103,1,2024-09-07 09:10:41:628,619761,619761,0,0,290102483694,3055787403,610933,6831,1997,381,391829,0 103,2,2024-09-07 09:10:40:582,447160,447160,0,0,20403886,0,3173 103,3,2024-09-07 09:10:40:755,1,354,1,0,916,4265,354,0 104,0,2024-09-07 09:10:41:208,88857,0.7,89299,0.9,177426,0.6,238179,2.25 104,1,2024-09-07 09:10:41:622,621597,621597,0,0,290300312047,3049594319,612674,7224,1699,365,392168,0 104,2,2024-09-07 09:10:41:674,447556,447556,0,0,21481096,0,3941 104,3,2024-09-07 09:10:41:433,1,354,0,0,1245,7549,354,0 105,0,2024-09-07 09:10:41:078,86422,0.7,84091,1.0,176056,0.8,232026,2.50 105,1,2024-09-07 09:10:40:625,621583,621583,0,0,291592953994,3055890832,613420,6826,1337,366,392009,0 105,2,2024-09-07 09:10:41:335,446091,446091,0,0,20734837,0,3509 105,3,2024-09-07 09:10:41:308,1,354,2,0,399,5672,354,0 106,0,2024-09-07 09:10:40:948,86603,0.8,88675,0.9,181613,0.9,237372,2.50 106,1,2024-09-07 09:10:41:757,620418,620418,0,0,291227549502,3059072293,610819,8305,1294,369,391914,0 106,2,2024-09-07 09:10:40:757,445464,445464,0,0,20488411,0,2795 106,3,2024-09-07 09:10:40:678,1,354,13,0,470,4432,354,0 107,0,2024-09-07 09:10:41:139,89309,1.1,89451,1.0,178736,1.5,238212,2.25 107,1,2024-09-07 09:10:40:599,619983,619983,0,0,290475716258,3058081157,611995,7072,916,381,392234,0 107,2,2024-09-07 09:10:41:329,446941,446940,1,0,21032752,0,5024 107,3,2024-09-07 09:10:41:768,1,354,7,0,353,4850,354,0 108,0,2024-09-07 09:10:41:825,88585,0.4,89177,0.6,177239,0.4,237173,1.75 108,1,2024-09-07 09:10:41:300,622390,622390,0,0,291375968355,3037900872,617296,4576,518,368,391857,0 108,2,2024-09-07 09:10:41:778,446558,446558,0,0,20143466,0,4246 108,3,2024-09-07 09:10:41:339,1,354,8,0,749,7085,354,0 109,0,2024-09-07 09:10:41:778,88626,0.4,87710,0.5,175698,0.3,235303,1.75 109,1,2024-09-07 09:10:40:589,620302,620302,0,0,291334834730,3053435410,615087,4550,665,383,392132,0 109,2,2024-09-07 09:10:40:925,446657,446657,0,0,19571389,0,3617 109,3,2024-09-07 09:10:41:143,1,354,0,0,379,4166,354,0 110,0,2024-09-07 09:10:41:750,88370,0.4,85931,0.6,180006,0.3,236459,1.75 110,1,2024-09-07 09:10:41:643,623364,623364,0,0,292538093609,3033794887,619357,3029,978,369,392045,0 110,2,2024-09-07 09:10:41:322,447577,447577,0,0,19352424,0,4067 110,3,2024-09-07 09:10:40:690,1,354,5,0,722,5213,354,0 111,0,2024-09-07 09:10:41:413,88940,0.4,88249,0.6,176986,0.4,236329,1.75 111,1,2024-09-07 09:10:41:006,623984,623984,0,0,293060798777,3039357628,620601,3030,353,382,391690,0 111,2,2024-09-07 09:10:41:121,446680,446680,0,0,18674495,0,4823 111,3,2024-09-07 09:10:40:916,1,354,0,0,379,4212,354,0 112,0,2024-09-07 09:10:40:930,89792,0.3,89052,0.4,178622,0.2,237272,1.50 112,1,2024-09-07 09:10:40:844,623407,623407,0,0,292083110484,3027673271,619931,2892,584,380,391624,0 112,2,2024-09-07 09:10:41:133,447570,447569,1,0,16874712,0,5036 112,3,2024-09-07 09:10:40:602,1,354,2,0,282,3520,354,0 113,0,2024-09-07 09:10:40:897,87764,0.3,87966,0.4,176495,0.2,234711,1.50 113,1,2024-09-07 09:10:42:072,625098,625098,0,0,293000946162,3020913299,621816,2698,584,366,391661,0 113,2,2024-09-07 09:10:41:317,452083,452083,0,0,15685641,0,3813 113,3,2024-09-07 09:10:40:686,1,354,4,0,340,4106,354,0 114,0,2024-09-07 09:10:40:879,88250,0.3,88971,0.4,176367,0.2,236199,1.75 114,1,2024-09-07 09:10:40:716,623337,623337,0,0,292239237083,3034729511,618206,3537,1594,381,391556,0 114,2,2024-09-07 09:10:40:874,447762,447761,1,0,17247530,0,5069 114,3,2024-09-07 09:10:41:278,1,354,1,0,395,3009,354,0 115,0,2024-09-07 09:10:40:562,88458,0.3,89222,0.4,178072,0.2,236521,1.50 115,1,2024-09-07 09:10:40:573,622672,622672,0,0,292778771159,3038025042,617806,4026,840,382,391757,0 115,2,2024-09-07 09:10:41:131,448303,448303,0,0,15885169,0,3453 115,3,2024-09-07 09:10:41:004,1,354,0,0,159,2087,354,0 116,0,2024-09-07 09:10:41:722,88956,0.8,88781,0.9,178115,0.9,237999,2.00 116,1,2024-09-07 09:10:40:864,619682,619682,0,0,290239173786,3058067838,612069,5344,2269,380,392089,0 116,2,2024-09-07 09:10:41:759,447509,447509,0,0,21421729,0,3529 116,3,2024-09-07 09:10:40:924,1,354,4,0,415,4309,354,0 117,0,2024-09-07 09:10:41:191,88990,0.7,88480,0.8,177217,0.7,236857,2.00 117,1,2024-09-07 09:10:41:605,621160,621160,0,0,290578965433,3038814274,614840,5651,669,369,392033,0 117,2,2024-09-07 09:10:41:280,452216,452216,0,0,17870528,0,3700 117,3,2024-09-07 09:10:41:061,1,354,12,0,490,5044,354,0 118,0,2024-09-07 09:10:41:834,84655,0.5,86888,0.6,177428,0.4,232324,2.00 118,1,2024-09-07 09:10:40:654,620677,620677,0,0,290451079968,3049979700,611874,6903,1900,366,391907,0 118,2,2024-09-07 09:10:41:608,445909,445909,0,0,19706571,0,2781 118,3,2024-09-07 09:10:41:769,1,354,44,0,235,3862,354,0 119,0,2024-09-07 09:10:41:498,89350,0.6,89695,0.7,179433,0.6,238269,2.00 119,1,2024-09-07 09:10:40:555,622326,622326,0,0,291296104736,3043641430,615748,5741,837,367,391780,0 119,2,2024-09-07 09:10:41:400,447263,447263,0,0,18398851,0,4174 119,3,2024-09-07 09:10:41:337,1,354,102,0,563,5329,354,0 120,0,2024-09-07 09:10:41:554,89139,0.6,88824,0.8,177750,0.6,237846,2.25 120,1,2024-09-07 09:10:40:920,621308,621308,0,0,290528384360,3046974606,614877,5871,560,368,391961,0 120,2,2024-09-07 09:10:40:773,448260,448259,1,0,21788215,0,5281 120,3,2024-09-07 09:10:41:290,1,354,9,0,241,4304,354,0 121,0,2024-09-07 09:10:41:707,89404,0.9,89560,1.0,179657,1.2,238895,2.25 121,1,2024-09-07 09:10:41:656,621868,621868,0,0,290235020413,3034319860,615945,5485,438,367,391840,0 121,2,2024-09-07 09:10:41:151,447385,447385,0,0,20985521,0,4127 121,3,2024-09-07 09:10:40:728,1,354,6,0,269,3962,354,0 122,0,2024-09-07 09:10:41:768,87355,0.7,85313,0.8,178999,0.8,234781,2.00 122,1,2024-09-07 09:10:40:859,620970,620970,0,0,290607285557,3047364378,612503,7204,1263,366,392130,0 122,2,2024-09-07 09:10:41:326,447045,446972,73,0,23469723,0,5989 122,3,2024-09-07 09:10:40:602,1,354,18,0,512,6650,354,0 123,0,2024-09-07 09:10:40:959,89395,0.6,87449,0.8,182397,0.7,238831,2.00 123,1,2024-09-07 09:10:40:563,620592,620592,0,0,290954471772,3065257488,609140,9324,2128,369,392039,0 123,2,2024-09-07 09:10:41:020,445929,445928,1,0,20274597,0,5215 123,3,2024-09-07 09:10:41:132,1,354,2,0,168,3937,354,0 124,0,2024-09-07 09:10:41:010,91645,0.4,91546,0.5,172682,0.3,237510,1.75 124,1,2024-09-07 09:10:41:023,622668,622668,0,0,290749238019,3024101717,617945,3813,910,367,392178,0 124,2,2024-09-07 09:10:41:026,449201,449148,53,0,18321704,0,6487 124,3,2024-09-07 09:10:40:761,1,354,1,0,490,3553,354,0 125,0,2024-09-07 09:10:41:434,88782,0.4,88307,0.6,177641,0.3,236550,1.75 125,1,2024-09-07 09:10:40:856,621637,621637,0,0,290957039064,3036693193,616753,4239,645,383,391702,0 125,2,2024-09-07 09:10:41:131,447883,447883,0,0,18937970,0,4534 125,3,2024-09-07 09:10:41:130,1,354,4,0,709,4664,354,0 126,0,2024-09-07 09:10:41:428,88257,0.4,90634,0.6,173815,0.4,235800,1.75 126,1,2024-09-07 09:10:40:574,623479,623479,0,0,291854266770,3023273225,619732,3461,286,365,391987,0 126,2,2024-09-07 09:10:40:612,450182,450182,0,0,18997492,0,4539 126,3,2024-09-07 09:10:40:915,1,354,1,0,207,4443,354,0 127,0,2024-09-07 09:10:41:590,87550,0.3,88052,0.5,175505,0.3,233419,1.75 127,1,2024-09-07 09:10:40:573,622561,622561,0,0,291887820707,3031350863,617133,4567,861,364,392187,0 127,2,2024-09-07 09:10:40:638,447152,447152,0,0,17500434,0,3897 127,3,2024-09-07 09:10:41:267,1,354,1,0,968,4091,354,0 128,0,2024-09-07 09:10:41:524,88562,0.3,88800,0.4,177631,0.2,235708,1.50 128,1,2024-09-07 09:10:41:605,622971,622971,0,0,291043033934,3020530528,618866,3733,372,367,391838,0 128,2,2024-09-07 09:10:41:386,447915,447915,0,0,16446263,0,2915 128,3,2024-09-07 09:10:40:768,1,354,8,0,1082,5956,354,0 129,0,2024-09-07 09:10:41:014,89883,0.3,89379,0.5,179390,0.3,238325,1.50 129,1,2024-09-07 09:10:40:578,620292,620292,0,0,291016707636,3045117986,614637,4398,1257,379,391835,0 129,2,2024-09-07 09:10:40:694,448227,448227,0,0,17248856,0,4031 129,3,2024-09-07 09:10:40:689,1,354,8,0,469,4531,354,0 130,0,2024-09-07 09:10:41:768,88948,0.5,88389,0.6,177929,0.5,236586,1.75 130,1,2024-09-07 09:10:40:583,622876,622876,0,0,291871268055,3032751744,619454,3142,280,381,391825,0 130,2,2024-09-07 09:10:41:131,451851,451851,0,0,17193682,0,4067 130,3,2024-09-07 09:10:41:290,1,354,2,0,535,4752,354,0 131,0,2024-09-07 09:10:41:925,87293,0.3,87768,0.5,176404,0.3,234120,1.50 131,1,2024-09-07 09:10:41:821,622836,622836,0,0,291529899801,3040447142,618352,3737,747,381,391865,0 131,2,2024-09-07 09:10:40:576,448776,448776,0,0,15811710,0,2415 131,3,2024-09-07 09:10:41:693,1,354,43,0,392,3628,354,0 132,0,2024-09-07 09:10:41:464,89274,0.4,90162,0.6,179432,0.4,238295,1.75 132,1,2024-09-07 09:10:40:594,619875,619875,0,0,290410570067,3054947674,611228,7180,1467,381,392097,0 132,2,2024-09-07 09:10:40:710,446565,446565,0,0,20891943,0,4606 132,3,2024-09-07 09:10:41:690,1,354,1,0,804,6234,354,0 133,0,2024-09-07 09:10:41:519,87413,0.5,89344,0.6,183396,0.4,238363,1.75 133,1,2024-09-07 09:10:40:590,619960,619960,0,0,290354638051,3056920464,611774,7101,1085,383,391914,0 133,2,2024-09-07 09:10:41:091,447720,447720,0,0,22218108,0,4315 133,3,2024-09-07 09:10:41:299,1,354,4,0,479,3687,354,0 134,0,2024-09-07 09:10:40:944,90069,0.5,89907,0.7,179820,0.4,239470,2.00 134,1,2024-09-07 09:10:40:583,620805,620805,0,0,290509294917,3047168000,612479,6470,1856,366,391718,0 134,2,2024-09-07 09:10:41:757,448275,448275,0,0,18559802,0,3847 134,3,2024-09-07 09:10:40:751,1,354,3,0,739,4487,354,0 135,0,2024-09-07 09:10:41:101,84510,0.6,84562,0.8,179250,0.6,231688,2.00 135,1,2024-09-07 09:10:41:596,621220,621220,0,0,291275426510,3062653208,612780,7203,1237,380,391805,0 135,2,2024-09-07 09:10:40:688,447875,447875,0,0,20120690,0,3981 135,3,2024-09-07 09:10:41:005,1,354,0,0,299,2674,354,0 136,0,2024-09-07 09:10:41:638,89942,0.5,90176,0.7,179447,0.5,239201,2.00 136,1,2024-09-07 09:10:41:449,620645,620645,0,0,290390157476,3047138862,613617,6330,698,382,391685,0 136,2,2024-09-07 09:10:41:133,447412,447412,0,0,19965886,0,3506 136,3,2024-09-07 09:10:41:112,1,354,8,0,637,3946,354,0 137,0,2024-09-07 09:10:40:993,92181,0.6,89708,0.8,176247,0.7,239571,2.00 137,1,2024-09-07 09:10:40:584,620644,620644,0,0,291115908625,3053882488,611019,7742,1883,366,391898,0 137,2,2024-09-07 09:10:41:709,447660,447660,0,0,22403047,0,3185 137,3,2024-09-07 09:10:40:801,1,354,1,0,382,4105,354,0 138,0,2024-09-07 09:10:41:770,88275,1.2,88377,1.0,177481,1.7,236109,2.25 138,1,2024-09-07 09:10:41:685,621564,621564,0,0,291279560855,3056270308,612509,7459,1596,368,391954,0 138,2,2024-09-07 09:10:40:601,447193,447193,0,0,20003409,0,4988 138,3,2024-09-07 09:10:40:611,1,354,5,0,1160,4898,354,0 139,0,2024-09-07 09:10:41:379,87075,1.0,87402,1.0,175046,1.4,233387,2.25 139,1,2024-09-07 09:10:40:599,618788,618788,0,0,289229342212,3070211649,607407,8733,2648,381,392058,0 139,2,2024-09-07 09:10:40:692,445817,445817,0,0,21875341,0,3097 139,3,2024-09-07 09:10:41:662,1,354,2,0,257,4101,354,0 140,0,2024-09-07 09:10:41:589,88647,0.3,88221,0.5,177209,0.2,236729,1.75 140,1,2024-09-07 09:10:41:536,624896,624896,0,0,292357300436,3014363558,621689,2768,439,365,391606,0 140,2,2024-09-07 09:10:40:687,447784,447783,1,0,17970895,0,5036 140,3,2024-09-07 09:10:40:766,1,354,0,0,247,2841,354,0 141,0,2024-09-07 09:10:41:700,88760,0.3,91108,0.5,174068,0.3,236408,1.75 141,1,2024-09-07 09:10:40:859,623189,623189,0,0,292149087549,3034118036,618623,3704,862,379,391614,0 141,2,2024-09-07 09:10:41:692,447557,447557,0,0,17580249,0,3360 141,3,2024-09-07 09:10:41:044,1,354,24,0,391,4034,354,0 142,0,2024-09-07 09:10:41:312,89899,0.3,89038,0.5,178052,0.3,237775,1.75 142,1,2024-09-07 09:10:40:608,622932,622932,0,0,291065287596,3034080691,618962,3618,352,382,392102,0 142,2,2024-09-07 09:10:41:304,447000,446968,32,0,18995850,0,6028 142,3,2024-09-07 09:10:41:751,1,354,3,0,484,4205,354,0 143,0,2024-09-07 09:10:41:384,88207,0.4,87987,0.5,176346,0.4,235049,1.75 143,1,2024-09-07 09:10:40:560,623574,623574,0,0,292231703539,3030354458,619794,3629,151,367,391705,0 143,2,2024-09-07 09:10:40:777,451044,451044,0,0,18423408,0,3123 143,3,2024-09-07 09:10:41:144,1,354,1,0,303,4248,354,0 144,0,2024-09-07 09:10:41:496,85208,0.5,87421,0.7,178361,0.4,234193,2.00 144,1,2024-09-07 09:10:40:592,620527,620527,0,0,290700497878,3044398239,615650,3936,941,381,391649,0 144,2,2024-09-07 09:10:41:755,448058,448058,0,0,16570666,0,3473 144,3,2024-09-07 09:10:41:739,1,354,1,0,249,3498,354,0 145,0,2024-09-07 09:10:41:434,85656,0.6,85698,0.8,181830,0.5,233859,2.25 145,1,2024-09-07 09:10:40:571,620205,620205,0,0,290938996732,3056068791,612843,6221,1141,382,391759,0 145,2,2024-09-07 09:10:41:440,445435,445435,0,0,20094120,0,3903 145,3,2024-09-07 09:10:40:894,1,354,3,0,622,5174,354,0 146,0,2024-09-07 09:10:41:594,89207,0.6,88619,0.7,178649,0.5,236666,2.25 146,1,2024-09-07 09:10:41:585,621306,621306,0,0,290125501622,3050054609,611425,7870,2011,368,391770,0 146,2,2024-09-07 09:10:41:695,446680,446680,0,0,20028867,0,2730 146,3,2024-09-07 09:10:41:274,1,354,0,0,1520,7252,354,0 147,0,2024-09-07 09:10:41:704,88954,0.6,88674,0.7,176700,0.5,236414,2.00 147,1,2024-09-07 09:10:41:379,622945,622945,0,0,291512277300,3032206922,617277,4867,801,368,391791,0 147,2,2024-09-07 09:10:41:010,449814,449814,0,0,17892476,0,2789 147,3,2024-09-07 09:10:40:916,1,354,4,0,730,5100,354,0 0,0,2024-09-07 09:10:51:716,87042,0.6,87040,0.8,184779,0.7,238491,2.00 0,1,2024-09-07 09:10:50:803,623568,623568,0,0,292551157343,3056485125,619429,3893,246,369,391896,0 0,2,2024-09-07 09:10:51:067,450793,450793,0,0,17617139,0,4480 0,3,2024-09-07 09:10:50:983,1,355,1,0,431,4757,355,0 1,0,2024-09-07 09:10:51:782,89972,0.8,89440,0.9,179459,0.9,239693,2.00 1,1,2024-09-07 09:10:50:561,622535,622535,0,0,292113188071,3056524199,616907,4399,1229,370,391857,0 1,2,2024-09-07 09:10:50:648,448580,448580,0,0,17123969,0,3267 1,3,2024-09-07 09:10:51:303,1,355,1,0,262,4085,355,0 2,0,2024-09-07 09:10:51:571,88151,0.6,88314,0.7,175709,0.6,235720,2.00 2,1,2024-09-07 09:10:50:860,624979,624979,0,0,292826103354,3038604232,622077,2609,293,380,391745,0 2,2,2024-09-07 09:10:51:266,449341,449341,0,0,16772679,0,3594 2,3,2024-09-07 09:10:50:690,1,355,1,0,357,3670,355,0 3,0,2024-09-07 09:10:51:749,89901,0.4,89718,0.6,179349,0.4,238814,2.00 3,1,2024-09-07 09:10:51:634,623127,623127,0,0,291698632507,3035255690,618027,4477,623,380,391591,0 3,2,2024-09-07 09:10:51:142,448653,448630,23,0,17335577,0,5851 3,3,2024-09-07 09:10:51:753,1,355,1,0,207,2246,355,0 4,0,2024-09-07 09:10:51:764,86552,0.4,89077,0.5,181255,0.4,237305,1.75 4,1,2024-09-07 09:10:50:591,622099,622099,0,0,291627438910,3068144687,614636,6105,1358,371,391992,0 4,2,2024-09-07 09:10:51:018,448033,448033,0,0,20181247,0,4528 4,3,2024-09-07 09:10:51:027,1,355,1,0,448,4880,355,0 5,0,2024-09-07 09:10:51:379,88679,0.4,89118,0.6,177823,0.4,236510,1.75 5,1,2024-09-07 09:10:50:756,622995,622995,0,0,291680841571,3063064435,615821,5818,1356,367,392005,0 5,2,2024-09-07 09:10:51:829,447037,447037,0,0,19236521,0,2432 5,3,2024-09-07 09:10:51:739,1,355,14,0,457,5046,355,0 6,0,2024-09-07 09:10:50:917,89053,0.4,88520,0.6,176849,0.4,236676,1.75 6,1,2024-09-07 09:10:50:746,622991,622991,0,0,292219290100,3050787094,616378,5528,1085,379,391694,0 6,2,2024-09-07 09:10:51:116,450084,450084,0,0,18384029,0,4816 6,3,2024-09-07 09:10:51:274,1,355,1,0,340,3761,355,0 7,0,2024-09-07 09:10:51:531,87552,0.4,87999,0.6,175324,0.4,233288,1.75 7,1,2024-09-07 09:10:50:850,622186,622186,0,0,291902952419,3060799338,614113,6897,1176,382,391747,0 7,2,2024-09-07 09:10:50:771,449172,449172,0,0,18560803,0,4791 7,3,2024-09-07 09:10:50:851,1,355,1,0,398,3869,355,0 8,0,2024-09-07 09:10:51:407,88689,0.4,88530,0.5,177296,0.3,236484,1.75 8,1,2024-09-07 09:10:51:019,621292,621292,0,0,292072476836,3071964647,611136,7878,2278,366,392144,0 8,2,2024-09-07 09:10:50:796,444779,444779,0,0,23024952,0,3220 8,3,2024-09-07 09:10:50:595,1,355,16,0,538,6055,355,0 9,0,2024-09-07 09:10:51:108,89664,0.4,87067,0.5,182215,0.3,238563,1.75 9,1,2024-09-07 09:10:50:556,621931,621931,0,0,290984571188,3065216393,612856,6950,2125,369,392001,0 9,2,2024-09-07 09:10:51:093,447984,447984,0,0,20361559,0,3360 9,3,2024-09-07 09:10:51:756,1,355,0,0,496,5189,355,0 10,0,2024-09-07 09:10:51:605,88859,0.3,88210,0.5,177192,0.2,236191,1.75 10,1,2024-09-07 09:10:50:586,622836,622836,0,0,290912152492,3045408527,614951,6775,1110,381,391741,0 10,2,2024-09-07 09:10:50:762,451738,451738,0,0,22047788,0,4264 10,3,2024-09-07 09:10:50:870,1,355,1,0,296,3202,355,0 11,0,2024-09-07 09:10:51:006,87568,0.4,85051,0.6,177827,0.3,235256,1.75 11,1,2024-09-07 09:10:50:572,623444,623444,0,0,292614601857,3071022900,613420,7576,2448,383,391756,0 11,2,2024-09-07 09:10:51:129,447820,447820,0,0,19770045,0,4130 11,3,2024-09-07 09:10:51:298,1,355,1,0,843,5399,355,0 12,0,2024-09-07 09:10:50:953,90105,0.4,90113,0.5,179962,0.3,238715,1.75 12,1,2024-09-07 09:10:50:932,622973,622973,0,0,291680812685,3040593874,618102,4378,493,370,391870,0 12,2,2024-09-07 09:10:51:548,448611,448611,0,0,19557491,0,3469 12,3,2024-09-07 09:10:51:075,1,355,1,0,386,5647,355,0 13,0,2024-09-07 09:10:51:329,90440,0.4,90094,0.6,179886,0.4,239550,1.75 13,1,2024-09-07 09:10:51:524,621987,621987,0,0,291014870820,3058329884,616071,4506,1410,382,391740,0 13,2,2024-09-07 09:10:50:596,450435,450435,0,0,17979981,0,3287 13,3,2024-09-07 09:10:51:762,1,355,1,0,522,5625,355,0 14,0,2024-09-07 09:10:50:562,89981,0.4,90724,0.6,179550,0.3,239006,1.75 14,1,2024-09-07 09:10:51:561,626620,626620,0,0,292595142866,3028939789,621930,4331,359,364,391571,0 14,2,2024-09-07 09:10:50:765,450127,450097,30,0,19526892,0,6104 14,3,2024-09-07 09:10:51:116,1,355,1,0,1168,4223,355,0 15,0,2024-09-07 09:10:51:555,87555,0.4,87583,0.6,175438,0.3,233607,1.75 15,1,2024-09-07 09:10:51:624,623751,623751,0,0,292226194575,3041124599,619405,3523,823,381,391619,0 15,2,2024-09-07 09:10:50:998,449637,449637,0,0,16038074,0,3622 15,3,2024-09-07 09:10:51:406,1,355,0,0,1126,6291,355,0 16,0,2024-09-07 09:10:50:995,89795,0.6,90110,0.7,180023,0.5,239199,2.00 16,1,2024-09-07 09:10:50:578,624424,624424,0,0,292184617609,3048197797,620100,3961,363,370,391756,0 16,2,2024-09-07 09:10:51:434,448277,448277,0,0,18513639,0,4719 16,3,2024-09-07 09:10:51:149,1,355,0,0,317,4759,355,0 17,0,2024-09-07 09:10:51:769,92405,0.6,90136,0.8,176509,0.7,239631,2.00 17,1,2024-09-07 09:10:50:587,622010,622010,0,0,292281942024,3064250092,615764,4987,1259,368,392075,0 17,2,2024-09-07 09:10:51:670,452141,452141,0,0,18265368,0,2857 17,3,2024-09-07 09:10:50:579,1,355,40,0,298,5767,355,0 18,0,2024-09-07 09:10:50:941,88185,0.6,88529,0.8,176649,0.6,236095,2.25 18,1,2024-09-07 09:10:51:638,625032,625032,0,0,292917107145,3033836442,621682,3039,311,367,391649,0 18,2,2024-09-07 09:10:51:757,450891,450891,0,0,16857433,0,3541 18,3,2024-09-07 09:10:50:904,1,355,0,0,163,2991,355,0 19,0,2024-09-07 09:10:51:539,87973,0.5,88641,0.7,175417,0.5,233717,2.00 19,1,2024-09-07 09:10:50:576,625193,625193,0,0,293044319789,3038151747,620067,4287,839,367,391777,0 19,2,2024-09-07 09:10:51:757,450697,450697,0,0,15405696,0,3988 19,3,2024-09-07 09:10:51:129,1,355,4,0,524,2733,355,0 20,0,2024-09-07 09:10:51:352,88792,0.5,88861,0.7,177564,0.4,237800,2.00 20,1,2024-09-07 09:10:50:580,623234,623234,0,0,292724663298,3054330163,618887,3939,408,369,391886,0 20,2,2024-09-07 09:10:50:928,449342,449342,0,0,18637125,0,3721 20,3,2024-09-07 09:10:50:595,1,355,1,0,414,5475,355,0 21,0,2024-09-07 09:10:51:141,88947,0.5,88972,0.6,177688,0.5,236142,2.00 21,1,2024-09-07 09:10:51:545,622112,622112,0,0,290676153499,3059226582,614110,6120,1882,368,392016,0 21,2,2024-09-07 09:10:51:078,446768,446768,0,0,21725009,0,3747 21,3,2024-09-07 09:10:51:416,1,355,0,0,713,4131,355,0 22,0,2024-09-07 09:10:51:717,88856,0.5,89196,0.7,178600,0.5,236281,2.00 22,1,2024-09-07 09:10:51:031,623163,623163,0,0,291353746989,3057910003,614671,6672,1820,382,391667,0 22,2,2024-09-07 09:10:50:762,447288,447288,0,0,17674101,0,3134 22,3,2024-09-07 09:10:51:077,1,355,1,0,228,2918,355,0 23,0,2024-09-07 09:10:51:372,87721,0.5,87722,0.7,175800,0.5,234147,2.25 23,1,2024-09-07 09:10:51:018,623559,623559,0,0,292959521199,3072658303,613064,7336,3159,365,391690,0 23,2,2024-09-07 09:10:51:094,452512,452512,0,0,17574614,0,3010 23,3,2024-09-07 09:10:51:757,1,355,1,0,720,4782,355,0 24,0,2024-09-07 09:10:50:830,88736,0.4,88703,0.5,177796,0.3,236541,1.75 24,1,2024-09-07 09:10:50:602,622238,622238,0,0,291303340314,3054034326,615332,5374,1532,367,392269,0 24,2,2024-09-07 09:10:51:071,447507,447507,0,0,21527931,0,3607 24,3,2024-09-07 09:10:51:696,1,355,0,0,468,4626,355,0 25,0,2024-09-07 09:10:51:345,91197,0.4,88964,0.6,174285,0.4,237359,2.00 25,1,2024-09-07 09:10:50:576,623333,623333,0,0,291347778016,3056845532,615436,6519,1378,371,391928,0 25,2,2024-09-07 09:10:51:611,446808,446808,0,0,21696783,0,3978 25,3,2024-09-07 09:10:51:001,1,355,10,0,255,3475,355,0 26,0,2024-09-07 09:10:51:721,89155,0.4,87000,0.6,182524,0.4,237536,1.75 26,1,2024-09-07 09:10:51:548,623608,623608,0,0,292030237486,3057410171,614720,7352,1536,380,391748,0 26,2,2024-09-07 09:10:50:873,449196,449196,0,0,21578985,0,2809 26,3,2024-09-07 09:10:51:712,1,355,2,0,796,4453,355,0 27,0,2024-09-07 09:10:51:734,89204,0.4,89316,0.6,176978,0.4,236345,2.00 27,1,2024-09-07 09:10:51:679,625693,625693,0,0,293035253970,3048603376,620385,4542,766,381,391626,0 27,2,2024-09-07 09:10:50:879,448517,448452,65,0,21002013,0,5699 27,3,2024-09-07 09:10:51:017,1,355,1,0,564,3663,355,0 28,0,2024-09-07 09:10:51:387,87944,0.4,87596,0.6,175426,0.3,234866,2.00 28,1,2024-09-07 09:10:50:797,624589,624589,0,0,293392047819,3055491624,620089,3795,705,383,391698,0 28,2,2024-09-07 09:10:51:766,447972,447972,0,0,18719753,0,2915 28,3,2024-09-07 09:10:51:778,1,355,0,0,502,3771,355,0 29,0,2024-09-07 09:10:51:396,92251,0.4,89858,0.6,176362,0.3,240001,1.75 29,1,2024-09-07 09:10:51:561,626164,626164,0,0,293153741095,3034371916,621821,3658,685,369,391753,0 29,2,2024-09-07 09:10:50:877,447248,447248,0,0,18045982,0,4986 29,3,2024-09-07 09:10:50:971,1,355,10,0,459,4392,355,0 30,0,2024-09-07 09:10:51:467,89281,0.5,86729,0.7,181521,0.5,237445,2.00 30,1,2024-09-07 09:10:50:575,625472,625472,0,0,293493937707,3046395533,620653,4109,710,381,391672,0 30,2,2024-09-07 09:10:51:274,450421,450421,0,0,17021022,0,3161 30,3,2024-09-07 09:10:50:587,1,355,4,0,519,3596,355,0 31,0,2024-09-07 09:10:51:762,89480,0.4,89943,0.6,179681,0.3,239456,2.00 31,1,2024-09-07 09:10:50:565,627503,627503,0,0,293593829970,3009932909,624955,1989,559,356,391712,0 31,2,2024-09-07 09:10:51:276,449407,449407,0,0,18818836,0,3525 31,3,2024-09-07 09:10:51:706,1,355,13,0,220,3024,355,0 32,0,2024-09-07 09:10:51:415,88253,0.3,88595,0.5,177117,0.2,235955,1.50 32,1,2024-09-07 09:10:50:805,625091,625091,0,0,292867837230,3039295323,621924,2721,446,381,391646,0 32,2,2024-09-07 09:10:50:934,449423,449423,0,0,15765811,0,3155 32,3,2024-09-07 09:10:51:016,1,355,2,0,227,2475,355,0 33,0,2024-09-07 09:10:51:493,90045,0.3,89600,0.4,179769,0.2,239107,1.50 33,1,2024-09-07 09:10:50:575,626341,626341,0,0,293002173325,3032293381,621897,3613,831,369,391730,0 33,2,2024-09-07 09:10:50:759,449923,449888,35,0,17972654,0,7012 33,3,2024-09-07 09:10:50:902,1,355,2,0,329,3601,355,0 34,0,2024-09-07 09:10:50:933,89396,0.3,91958,0.4,175939,0.2,236698,1.75 34,1,2024-09-07 09:10:51:043,627082,627082,0,0,294284643429,3023189317,625659,1414,9,367,391562,0 34,2,2024-09-07 09:10:50:768,449579,449579,0,0,17147307,0,3577 34,3,2024-09-07 09:10:51:688,1,355,2,0,299,2521,355,0 35,0,2024-09-07 09:10:50:860,88083,0.3,88413,0.5,177854,0.3,237024,1.75 35,1,2024-09-07 09:10:51:138,624970,624970,0,0,293239354257,3036106758,621359,2830,781,382,391589,0 35,2,2024-09-07 09:10:51:589,449061,449061,0,0,17309081,0,2653 35,3,2024-09-07 09:10:50:909,1,355,1,0,418,4289,355,0 36,0,2024-09-07 09:10:51:515,89024,0.4,88942,0.6,177958,0.4,236442,2.00 36,1,2024-09-07 09:10:50:587,624642,624642,0,0,292343094913,3049928094,617325,5659,1658,366,391759,0 36,2,2024-09-07 09:10:51:751,450860,450860,0,0,19513032,0,3875 36,3,2024-09-07 09:10:50:863,1,355,10,0,416,5403,355,0 37,0,2024-09-07 09:10:51:396,87541,0.4,87412,0.6,175052,0.4,233674,2.00 37,1,2024-09-07 09:10:50:580,623761,623754,0,7,291972336864,3046587664,616979,4689,2086,365,391570,0 37,2,2024-09-07 09:10:51:142,447614,447599,15,0,19271258,0,5815 37,3,2024-09-07 09:10:51:766,1,355,1,0,888,5638,355,0 38,0,2024-09-07 09:10:51:439,88029,0.5,85495,0.7,178956,0.4,234323,2.00 38,1,2024-09-07 09:10:51:606,625500,625500,0,0,292741162807,3044238693,619438,5303,759,368,391821,0 38,2,2024-09-07 09:10:50:760,448026,447979,47,0,19615990,0,6710 38,3,2024-09-07 09:10:50:997,1,355,1,0,689,4741,355,0 39,0,2024-09-07 09:10:51:763,91201,0.6,89306,0.7,173881,0.5,237395,2.00 39,1,2024-09-07 09:10:50:718,623880,623880,0,0,292526651963,3056307894,615444,6975,1461,365,391658,0 39,2,2024-09-07 09:10:51:417,448552,448552,0,0,17652640,0,2689 39,3,2024-09-07 09:10:50:729,1,355,6,0,324,4338,355,0 40,0,2024-09-07 09:10:51:495,87950,0.7,88573,0.9,176753,0.7,235907,2.75 40,1,2024-09-07 09:10:50:580,624549,624549,0,0,291491565370,3048902797,615964,7074,1511,368,391668,0 40,2,2024-09-07 09:10:51:303,450687,450686,1,0,21310700,0,5137 40,3,2024-09-07 09:10:51:146,1,355,1,0,1028,5086,355,0 41,0,2024-09-07 09:10:51:048,87332,1.2,89494,1.1,170784,1.7,232955,2.75 41,1,2024-09-07 09:10:50:771,623177,623177,0,0,291880156391,3049364838,616119,6353,705,369,391742,0 41,2,2024-09-07 09:10:50:764,446859,446859,0,0,20200953,0,3356 41,3,2024-09-07 09:10:51:682,1,355,1,0,366,3531,355,0 42,0,2024-09-07 09:10:51:477,88612,0.9,88728,1.0,177784,1.1,234721,2.75 42,1,2024-09-07 09:10:51:439,622338,622338,0,0,291063510779,3055504364,612791,7789,1758,380,391675,0 42,2,2024-09-07 09:10:51:139,447208,447208,0,0,20487179,0,3790 42,3,2024-09-07 09:10:51:011,1,355,1,0,446,3105,355,0 43,0,2024-09-07 09:10:50:918,88613,0.9,86300,1.0,180921,1.0,237069,2.50 43,1,2024-09-07 09:10:50:577,623755,623755,0,0,293181397103,3059336226,615519,6798,1438,366,391696,0 43,2,2024-09-07 09:10:51:743,449426,449426,0,0,20180108,0,3812 43,3,2024-09-07 09:10:51:756,1,355,1,0,467,4339,355,0 44,0,2024-09-07 09:10:50:857,89906,0.4,90283,0.6,180093,0.4,239186,1.75 44,1,2024-09-07 09:10:50:563,625046,625046,0,0,292687035090,3024691973,619983,4016,1047,356,391809,0 44,2,2024-09-07 09:10:51:267,449461,449461,0,0,17064075,0,4344 44,3,2024-09-07 09:10:51:094,1,355,0,0,817,4533,355,0 45,0,2024-09-07 09:10:51:765,86671,0.5,84496,0.7,177321,0.4,233679,2.00 45,1,2024-09-07 09:10:51:005,624751,624751,0,0,292845372858,3041521763,620316,3959,476,382,391917,0 45,2,2024-09-07 09:10:51:267,448619,448619,0,0,17503877,0,3596 45,3,2024-09-07 09:10:50:934,1,355,1,0,271,3312,355,0 46,0,2024-09-07 09:10:50:959,89253,0.5,89241,0.7,178454,0.5,236771,2.00 46,1,2024-09-07 09:10:50:575,626267,626267,0,0,293505589692,3029796681,622550,3291,426,366,391572,0 46,2,2024-09-07 09:10:50:593,448923,448923,0,0,16612245,0,2920 46,3,2024-09-07 09:10:51:139,1,355,1,0,908,5057,355,0 47,0,2024-09-07 09:10:51:101,89980,0.5,90251,0.6,180816,0.4,239052,2.00 47,1,2024-09-07 09:10:50:572,626958,626958,0,0,292906571663,3028506205,622470,3673,815,366,391641,0 47,2,2024-09-07 09:10:50:907,451752,451752,0,0,17307289,0,4477 47,3,2024-09-07 09:10:51:116,1,355,1,0,529,3750,355,0 48,0,2024-09-07 09:10:51:489,89666,0.3,89311,0.4,178207,0.2,238242,1.50 48,1,2024-09-07 09:10:51:021,625001,625001,0,0,293224197276,3043196834,621400,3297,304,384,391710,0 48,2,2024-09-07 09:10:50:702,448815,448815,0,0,15593896,0,3031 48,3,2024-09-07 09:10:50:755,1,355,1,0,339,2925,355,0 49,0,2024-09-07 09:10:51:730,90718,0.3,89156,0.5,172464,0.2,236494,1.75 49,1,2024-09-07 09:10:51:021,624558,624558,0,0,292622629750,3044498353,620229,3144,1185,382,391809,0 49,2,2024-09-07 09:10:51:798,450144,450144,0,0,16720928,0,4426 49,3,2024-09-07 09:10:51:417,1,355,22,0,408,3612,355,0 50,0,2024-09-07 09:10:51:507,89446,0.3,87987,0.4,177563,0.2,237500,1.75 50,1,2024-09-07 09:10:51:011,626805,626805,0,0,293554635624,3032517595,622983,3448,374,368,391565,0 50,2,2024-09-07 09:10:51:067,449303,449303,0,0,15799749,0,2263 50,3,2024-09-07 09:10:51:291,1,355,33,0,335,3353,355,0 51,0,2024-09-07 09:10:51:684,91229,0.3,89149,0.5,173899,0.2,237177,1.75 51,1,2024-09-07 09:10:51:680,626621,626621,0,0,294141418908,3032441917,623359,2286,976,365,391706,0 51,2,2024-09-07 09:10:51:319,449076,449076,0,0,15269311,0,3337 51,3,2024-09-07 09:10:51:027,1,355,8,0,678,2609,355,0 52,0,2024-09-07 09:10:51:417,89400,0.5,89371,0.7,178520,0.4,237267,2.00 52,1,2024-09-07 09:10:50:580,623937,623937,0,0,292382780681,3058090469,615295,7328,1314,368,391722,0 52,2,2024-09-07 09:10:51:758,445073,445035,38,0,19984117,0,6742 52,3,2024-09-07 09:10:50:680,1,355,1,0,1782,5266,355,0 53,0,2024-09-07 09:10:51:735,87649,0.6,85156,0.7,178170,0.6,233701,2.25 53,1,2024-09-07 09:10:50:771,622441,622441,0,0,292162748470,3062768270,612815,7043,2583,367,391702,0 53,2,2024-09-07 09:10:51:300,452275,452275,0,0,17402558,0,2727 53,3,2024-09-07 09:10:50:706,1,355,1,0,308,3257,355,0 54,0,2024-09-07 09:10:51:613,87303,0.5,87716,0.7,174526,0.4,233825,2.25 54,1,2024-09-07 09:10:50:580,624399,624399,0,0,293403144828,3045603815,618733,5064,602,366,391659,0 54,2,2024-09-07 09:10:50:870,448623,448617,6,0,19720947,0,5382 54,3,2024-09-07 09:10:50:770,1,355,1,0,676,5254,355,0 55,0,2024-09-07 09:10:51:773,85811,0.6,88799,0.7,179111,0.5,233359,2.50 55,1,2024-09-07 09:10:50:764,624346,624346,0,0,292526725111,3040532754,617719,5718,909,365,391731,0 55,2,2024-09-07 09:10:50:729,447178,447178,0,0,19092443,0,3563 55,3,2024-09-07 09:10:50:674,1,355,0,0,304,3852,355,0 56,0,2024-09-07 09:10:51:603,91231,1.1,85988,1.1,177375,1.6,237211,2.50 56,1,2024-09-07 09:10:50:584,621544,621544,0,0,292111066531,3079590293,612802,7111,1631,381,391867,0 56,2,2024-09-07 09:10:51:303,448720,448720,0,0,20291616,0,3567 56,3,2024-09-07 09:10:51:058,1,355,1,0,705,4522,355,0 57,0,2024-09-07 09:10:50:952,87744,1.3,87659,1.2,175709,1.8,234860,2.75 57,1,2024-09-07 09:10:50:986,623195,623195,0,0,292224574151,3059079889,616320,6155,720,366,392032,0 57,2,2024-09-07 09:10:51:318,451611,451611,0,0,21914591,0,3317 57,3,2024-09-07 09:10:51:741,1,355,0,0,359,4064,355,0 58,0,2024-09-07 09:10:50:556,86498,0.8,84138,0.9,175954,0.8,231564,2.50 58,1,2024-09-07 09:10:50:580,623914,623911,0,3,292459808587,3054101835,615784,7045,1082,367,391603,3 58,2,2024-09-07 09:10:51:077,447367,447367,0,0,20018479,0,2549 58,3,2024-09-07 09:10:51:070,1,355,10,0,1043,3756,355,0 59,0,2024-09-07 09:10:51:762,89552,0.8,89151,0.9,178027,0.9,236548,2.50 59,1,2024-09-07 09:10:50:819,623275,623275,0,0,292529003675,3058684670,615394,6359,1522,369,391586,0 59,2,2024-09-07 09:10:50:590,448548,448548,0,0,19854913,0,2867 59,3,2024-09-07 09:10:51:742,1,355,1,0,1015,4391,355,0 60,0,2024-09-07 09:10:51:747,89465,0.5,89542,0.7,179728,0.5,238254,1.75 60,1,2024-09-07 09:10:50:791,625569,625569,0,0,293453805832,3045185601,621444,3476,649,370,392031,0 60,2,2024-09-07 09:10:51:156,449760,449760,0,0,19394525,0,3811 60,3,2024-09-07 09:10:51:270,1,355,1,0,409,4192,355,0 61,0,2024-09-07 09:10:51:500,89589,0.6,90232,0.8,179468,0.6,239277,2.00 61,1,2024-09-07 09:10:50:772,623297,623297,0,0,291912705818,3058757910,616080,5892,1325,382,392127,0 61,2,2024-09-07 09:10:51:119,449491,449424,67,0,19693039,0,6411 61,3,2024-09-07 09:10:51:692,1,355,1,0,479,5161,355,0 62,0,2024-09-07 09:10:51:719,88776,0.5,90730,0.7,173128,0.5,235898,1.75 62,1,2024-09-07 09:10:51:117,627748,627742,0,6,294056681214,3033413587,624161,3322,259,365,391715,6 62,2,2024-09-07 09:10:51:656,447495,447494,1,0,19141327,0,5555 62,3,2024-09-07 09:10:51:146,1,355,1,0,465,2689,355,0 63,0,2024-09-07 09:10:51:459,90196,0.4,89944,0.6,180410,0.3,239483,1.75 63,1,2024-09-07 09:10:50:811,625823,625817,0,6,293179449898,3042119166,621882,3547,388,381,391800,6 63,2,2024-09-07 09:10:50:762,448893,448893,0,0,17626916,0,4369 63,3,2024-09-07 09:10:51:742,1,355,0,0,667,3870,355,0 64,0,2024-09-07 09:10:51:535,88704,0.5,88668,0.7,177364,0.5,235749,2.00 64,1,2024-09-07 09:10:50:754,624527,624527,0,0,292081645731,3041568261,618879,3988,1660,370,391783,0 64,2,2024-09-07 09:10:51:153,452589,452570,19,0,17179581,0,6121 64,3,2024-09-07 09:10:51:141,1,355,0,0,265,3234,355,0 65,0,2024-09-07 09:10:51:679,87842,0.6,88203,0.8,176142,0.7,234885,2.00 65,1,2024-09-07 09:10:50:863,623370,623370,0,0,291365038675,3042428894,619282,3637,451,382,391901,0 65,2,2024-09-07 09:10:51:703,449585,449585,0,0,18939682,0,3367 65,3,2024-09-07 09:10:51:682,1,355,1,0,163,3106,355,0 66,0,2024-09-07 09:10:51:789,88305,0.5,88363,0.7,176615,0.4,235273,2.00 66,1,2024-09-07 09:10:51:292,624779,624779,0,0,293260654731,3046558973,621178,3278,323,380,391653,0 66,2,2024-09-07 09:10:51:140,452114,452114,0,0,16921323,0,4956 66,3,2024-09-07 09:10:51:095,1,355,1,0,291,3246,355,0 67,0,2024-09-07 09:10:51:445,88140,0.5,87645,0.7,175913,0.4,234234,2.00 67,1,2024-09-07 09:10:50:779,625527,625526,0,1,292582571441,3044093922,621359,3416,751,380,391787,1 67,2,2024-09-07 09:10:50:593,449904,449904,0,0,16393844,0,2889 67,3,2024-09-07 09:10:51:752,1,355,15,0,338,3218,355,0 68,0,2024-09-07 09:10:50:561,88927,0.6,88535,0.7,176672,0.6,236374,2.00 68,1,2024-09-07 09:10:50:574,623515,623515,0,0,291931366180,3054047193,618695,3615,1205,381,391953,0 68,2,2024-09-07 09:10:51:048,446855,446790,65,0,21404840,0,6698 68,3,2024-09-07 09:10:50:728,1,355,1,0,417,3742,355,0 69,0,2024-09-07 09:10:51:742,88929,0.8,89529,0.9,178074,1.0,236575,2.25 69,1,2024-09-07 09:10:51:022,621506,621506,0,0,291846776029,3075306071,613483,5913,2110,384,391994,0 69,2,2024-09-07 09:10:51:733,448267,448267,0,0,21650367,0,3722 69,3,2024-09-07 09:10:50:760,1,355,2,0,698,4942,355,0 70,0,2024-09-07 09:10:51:546,87801,0.7,87862,0.9,176720,0.5,234422,2.25 70,1,2024-09-07 09:10:50:802,624931,624931,0,0,292882491855,3037038429,620078,4317,536,366,391725,0 70,2,2024-09-07 09:10:51:327,451149,451149,0,0,19582004,0,4044 70,3,2024-09-07 09:10:50:750,1,355,1,0,854,3830,355,0 71,0,2024-09-07 09:10:51:361,87289,0.7,86935,0.9,174735,0.7,234027,2.50 71,1,2024-09-07 09:10:51:596,623969,623969,0,0,292600147071,3048860172,617357,5956,656,368,391738,0 71,2,2024-09-07 09:10:51:068,448235,448235,0,0,19293035,0,4042 71,3,2024-09-07 09:10:51:756,1,355,1,0,644,4693,355,0 72,0,2024-09-07 09:10:51:038,92349,0.6,90235,0.7,176084,0.6,239152,2.00 72,1,2024-09-07 09:10:51:031,623374,623374,0,0,291974250968,3056145272,615134,6543,1697,369,391819,0 72,2,2024-09-07 09:10:51:757,447012,447012,0,0,22137101,0,3983 72,3,2024-09-07 09:10:51:756,1,355,1,0,564,5423,355,0 73,0,2024-09-07 09:10:51:118,87478,0.4,89708,0.6,183510,0.4,238223,2.00 73,1,2024-09-07 09:10:50:776,624445,624445,0,0,292734864042,3040391851,620123,3992,330,367,391858,0 73,2,2024-09-07 09:10:51:741,449410,449410,0,0,21367997,0,3701 73,3,2024-09-07 09:10:50:976,1,355,4,0,274,4593,355,0 74,0,2024-09-07 09:10:51:359,90304,0.4,92513,0.6,176686,0.4,238898,2.25 74,1,2024-09-07 09:10:50:638,624011,624011,0,0,292071632780,3046266676,617929,4819,1263,381,391681,0 74,2,2024-09-07 09:10:51:002,449609,449609,0,0,20331136,0,4253 74,3,2024-09-07 09:10:51:452,1,355,8,0,522,4874,355,0 75,0,2024-09-07 09:10:51:765,87796,0.4,87514,0.6,175303,0.3,234480,2.00 75,1,2024-09-07 09:10:51:598,623116,623116,0,0,291864437409,3046317338,616756,5535,825,380,391739,0 75,2,2024-09-07 09:10:51:354,447583,447583,0,0,20475670,0,4766 75,3,2024-09-07 09:10:51:067,1,355,1,0,702,4912,355,0 76,0,2024-09-07 09:10:50:599,89524,0.6,88817,0.7,178298,0.6,238177,2.25 76,1,2024-09-07 09:10:50:814,624258,624258,0,0,292534933457,3048428752,620332,3335,591,382,391692,0 76,2,2024-09-07 09:10:51:061,450160,450159,1,0,19643419,0,5144 76,3,2024-09-07 09:10:51:146,1,355,2,0,175,3342,355,0 77,0,2024-09-07 09:10:51:748,89808,0.6,89909,0.8,179876,0.6,239000,2.00 77,1,2024-09-07 09:10:50:830,623863,623863,0,0,292383841611,3053226595,618585,4787,491,381,391869,0 77,2,2024-09-07 09:10:51:280,450375,450375,0,0,19235271,0,3890 77,3,2024-09-07 09:10:51:097,1,355,3,0,401,3932,355,0 78,0,2024-09-07 09:10:51:720,89569,0.4,89016,0.6,178739,0.4,237541,2.00 78,1,2024-09-07 09:10:50:622,624579,624579,0,0,291857284165,3036332779,618647,4787,1145,367,391670,0 78,2,2024-09-07 09:10:51:405,449824,449824,0,0,16556357,0,3855 78,3,2024-09-07 09:10:51:134,1,355,2,0,181,3265,355,0 79,0,2024-09-07 09:10:51:350,85600,0.4,87718,0.6,179403,0.3,233452,2.25 79,1,2024-09-07 09:10:50:586,626443,626443,0,0,292938419899,3031327080,621885,3925,633,368,391682,0 79,2,2024-09-07 09:10:51:068,450437,450437,0,0,17530270,0,4195 79,3,2024-09-07 09:10:50:749,1,355,1,0,418,4419,355,0 80,0,2024-09-07 09:10:51:089,88839,0.5,91537,0.6,175404,0.4,237045,2.00 80,1,2024-09-07 09:10:51:618,624789,624789,0,0,293032962457,3045086782,621435,3175,179,368,391791,0 80,2,2024-09-07 09:10:51:103,450948,450948,0,0,16762216,0,4433 80,3,2024-09-07 09:10:50:576,1,355,34,0,190,4272,355,0 81,0,2024-09-07 09:10:51:559,88796,0.6,90971,0.7,173658,0.6,235789,2.00 81,1,2024-09-07 09:10:51:655,623472,623472,0,0,291938974243,3048570731,618602,4371,499,382,391879,0 81,2,2024-09-07 09:10:51:131,448479,448416,63,0,18673818,0,5932 81,3,2024-09-07 09:10:51:126,1,355,0,0,374,4031,355,0 82,0,2024-09-07 09:10:51:540,88697,0.5,89224,0.7,178839,0.4,236903,2.00 82,1,2024-09-07 09:10:50:587,625691,625687,0,4,293249338703,3049400251,621785,3105,797,381,391768,4 82,2,2024-09-07 09:10:51:697,449773,449773,0,0,15938688,0,3986 82,3,2024-09-07 09:10:51:757,1,355,1,0,363,3503,355,0 83,0,2024-09-07 09:10:51:527,88518,0.5,88183,0.7,175931,0.5,234063,2.00 83,1,2024-09-07 09:10:50:551,623851,623851,0,0,292579296079,3047655308,619534,3936,381,382,391709,0 83,2,2024-09-07 09:10:50:765,451517,451517,0,0,16504778,0,3393 83,3,2024-09-07 09:10:50:749,1,355,1,0,1260,4909,355,0 84,0,2024-09-07 09:10:51:789,87838,0.6,87711,0.8,175440,0.5,235068,2.00 84,1,2024-09-07 09:10:51:039,623167,623167,0,0,291896034247,3046705467,617399,5039,729,367,391967,0 84,2,2024-09-07 09:10:50:573,448455,448455,0,0,20682045,0,4757 84,3,2024-09-07 09:10:51:141,1,355,1,0,908,5217,355,0 85,0,2024-09-07 09:10:51:006,85518,0.6,85590,0.8,181529,0.7,234657,2.25 85,1,2024-09-07 09:10:50:559,621707,621707,0,0,291780409091,3074479346,613172,7163,1372,381,392006,0 85,2,2024-09-07 09:10:50:866,447709,447709,0,0,20389874,0,3656 85,3,2024-09-07 09:10:50:687,1,355,1,0,789,4540,355,0 86,0,2024-09-07 09:10:50:898,89225,0.7,91800,0.8,175674,0.7,237167,2.25 86,1,2024-09-07 09:10:50:840,623529,623529,0,0,292106996261,3054583317,616631,5832,1066,366,391961,0 86,2,2024-09-07 09:10:50:861,447829,447828,1,0,21404867,0,5004 86,3,2024-09-07 09:10:50:590,1,355,15,0,308,4798,355,0 87,0,2024-09-07 09:10:51:319,88580,0.8,88407,0.9,177485,1.0,236727,2.25 87,1,2024-09-07 09:10:50:551,623337,623337,0,0,291381460818,3044587119,617117,5606,614,366,392076,0 87,2,2024-09-07 09:10:51:075,450059,450059,0,0,19492927,0,4045 87,3,2024-09-07 09:10:51:795,1,355,2,0,473,5069,355,0 88,0,2024-09-07 09:10:51:440,87710,0.4,88348,0.6,176000,0.4,234585,1.75 88,1,2024-09-07 09:10:50:573,621970,621970,0,0,291543988251,3052845714,614261,6044,1665,365,392084,0 88,2,2024-09-07 09:10:50:689,448696,448696,0,0,21653362,0,3583 88,3,2024-09-07 09:10:51:267,1,355,2,0,435,3745,355,0 89,0,2024-09-07 09:10:51:827,92422,0.5,89418,0.6,177123,0.4,240220,1.75 89,1,2024-09-07 09:10:50:551,622138,622138,0,0,291663512746,3065516960,614375,6488,1275,382,391866,0 89,2,2024-09-07 09:10:51:136,447400,447400,0,0,20713081,0,2910 89,3,2024-09-07 09:10:51:823,1,355,3,0,385,6341,355,0 90,0,2024-09-07 09:10:51:625,86902,0.5,89321,0.6,181997,0.4,237415,2.00 90,1,2024-09-07 09:10:50:598,623527,623527,0,0,291944627106,3058164078,617304,5718,505,380,391825,0 90,2,2024-09-07 09:10:51:407,448440,448440,0,0,21941395,0,3060 90,3,2024-09-07 09:10:50:944,1,355,3,0,246,3885,355,0 91,0,2024-09-07 09:10:50:963,90338,0.5,87459,0.6,182593,0.4,239787,1.75 91,1,2024-09-07 09:10:50:558,622040,622040,0,0,292032127382,3069051209,614204,6789,1047,381,392047,0 91,2,2024-09-07 09:10:51:342,449920,449920,0,0,19868016,0,2896 91,3,2024-09-07 09:10:50:604,1,355,1,0,216,3298,355,0 92,0,2024-09-07 09:10:51:465,88872,0.4,91217,0.6,174148,0.4,236106,1.75 92,1,2024-09-07 09:10:50:587,623337,623337,0,0,291584388087,3045531738,618514,4134,689,382,392136,0 92,2,2024-09-07 09:10:51:362,449516,449516,0,0,17913787,0,3259 92,3,2024-09-07 09:10:51:011,1,355,1,0,167,3019,355,0 93,0,2024-09-07 09:10:50:952,90465,0.4,92659,0.5,177086,0.3,239709,1.75 93,1,2024-09-07 09:10:50:806,623853,623853,0,0,292407493834,3050705001,617723,5099,1031,366,391776,0 93,2,2024-09-07 09:10:50:929,448326,448326,0,0,20998658,0,4845 93,3,2024-09-07 09:10:51:407,1,355,3,0,190,3399,355,0 94,0,2024-09-07 09:10:51:621,88888,0.4,89638,0.5,178873,0.3,237409,1.75 94,1,2024-09-07 09:10:50:564,623856,623856,0,0,292176191480,3047843193,619798,3867,191,381,391850,0 94,2,2024-09-07 09:10:50:775,449078,449078,0,0,18103048,0,2443 94,3,2024-09-07 09:10:51:695,1,355,1,0,264,4326,355,0 95,0,2024-09-07 09:10:51:355,88576,0.4,88675,0.5,177632,0.3,236774,1.75 95,1,2024-09-07 09:10:50:855,625325,625325,0,0,292549350318,3041160706,620301,4623,401,367,391713,0 95,2,2024-09-07 09:10:51:024,448815,448815,0,0,17786117,0,3308 95,3,2024-09-07 09:10:51:710,1,355,0,0,718,5403,355,0 96,0,2024-09-07 09:10:51:027,88899,0.3,89046,0.5,177738,0.3,236094,1.75 96,1,2024-09-07 09:10:51:632,623543,623543,0,0,292298184708,3048273424,619012,3734,797,384,391955,0 96,2,2024-09-07 09:10:51:268,450896,450896,0,0,17656690,0,4042 96,3,2024-09-07 09:10:51:141,1,355,3,0,411,3792,355,0 97,0,2024-09-07 09:10:51:317,87999,0.3,87806,0.5,176171,0.3,234084,1.50 97,1,2024-09-07 09:10:50:766,625583,625583,0,0,292875536773,3034658420,621677,3232,674,367,392140,0 97,2,2024-09-07 09:10:50:609,449925,449925,0,0,17276287,0,3036 97,3,2024-09-07 09:10:50:573,1,355,1,0,214,4061,355,0 98,0,2024-09-07 09:10:51:702,88713,0.3,88534,0.4,177762,0.2,236331,1.50 98,1,2024-09-07 09:10:50:578,624758,624758,0,0,291856091419,3033950119,622080,2571,107,382,391997,0 98,2,2024-09-07 09:10:50:773,448759,448759,0,0,17103972,0,3080 98,3,2024-09-07 09:10:50:702,1,355,7,0,840,5474,355,0 99,0,2024-09-07 09:10:51:466,89459,0.3,90001,0.5,178979,0.3,238719,1.75 99,1,2024-09-07 09:10:51:723,624659,624659,0,0,292930918984,3047932423,620508,3395,756,381,392069,0 99,2,2024-09-07 09:10:51:420,449643,449643,0,0,19405312,0,3424 99,3,2024-09-07 09:10:50:582,1,355,4,0,199,3344,355,0 100,0,2024-09-07 09:10:51:521,88438,0.7,88427,0.9,176694,0.8,236310,2.25 100,1,2024-09-07 09:10:50:551,621260,621260,0,0,291215711751,3071841900,612778,6984,1498,381,391989,0 100,2,2024-09-07 09:10:51:820,450196,450185,11,0,19972462,0,5417 100,3,2024-09-07 09:10:51:735,1,355,2,0,559,6005,355,0 101,0,2024-09-07 09:10:51:747,89760,0.7,87425,0.9,171485,0.5,235683,2.00 101,1,2024-09-07 09:10:50:551,621334,621334,0,0,291213146411,3062292327,612234,7076,2024,368,391847,0 101,2,2024-09-07 09:10:51:768,446088,446088,0,0,23120528,0,4644 101,3,2024-09-07 09:10:50:942,1,355,1,0,579,4606,355,0 102,0,2024-09-07 09:10:51:096,87087,0.7,90034,0.8,181846,0.6,237919,2.00 102,1,2024-09-07 09:10:51:159,622338,622338,0,0,291512165991,3057693097,615011,6292,1035,369,391891,0 102,2,2024-09-07 09:10:51:749,448480,448426,54,0,19354285,0,6768 102,3,2024-09-07 09:10:51:621,1,355,20,0,410,3702,355,0 103,0,2024-09-07 09:10:51:592,92602,0.6,92517,0.7,174570,0.7,239970,2.00 103,1,2024-09-07 09:10:51:634,621468,621468,0,0,290957989037,3064595220,612640,6831,1997,381,391829,0 103,2,2024-09-07 09:10:50:595,448270,448270,0,0,20418006,0,3173 103,3,2024-09-07 09:10:50:761,1,355,3,0,916,4268,355,0 104,0,2024-09-07 09:10:51:006,88871,0.7,89314,0.9,177454,0.6,238179,2.25 104,1,2024-09-07 09:10:51:599,623378,623378,0,0,291336633734,3060107922,614454,7225,1699,365,392168,0 104,2,2024-09-07 09:10:51:667,448880,448880,0,0,21493811,0,3941 104,3,2024-09-07 09:10:51:417,1,355,16,0,1245,7565,355,0 105,0,2024-09-07 09:10:51:028,86792,0.7,84412,1.0,176759,0.8,232961,2.50 105,1,2024-09-07 09:10:50:562,623361,623361,0,0,292699381897,3067115691,615198,6826,1337,366,392009,0 105,2,2024-09-07 09:10:51:331,447335,447335,0,0,20748689,0,3509 105,3,2024-09-07 09:10:51:307,1,355,1,0,399,5673,355,0 106,0,2024-09-07 09:10:50:968,86681,0.8,88765,0.9,181828,0.9,237607,2.50 106,1,2024-09-07 09:10:51:767,622262,622262,0,0,292077672147,3067715531,612661,8307,1294,369,391914,0 106,2,2024-09-07 09:10:50:758,446708,446708,0,0,20498983,0,2795 106,3,2024-09-07 09:10:50:677,1,355,4,0,470,4436,355,0 107,0,2024-09-07 09:10:51:132,89509,1.1,89697,1.0,179183,1.5,238789,2.25 107,1,2024-09-07 09:10:50:594,621743,621743,0,0,291213523078,3065646436,613754,7073,916,381,392234,0 107,2,2024-09-07 09:10:51:322,448465,448464,1,0,21049801,0,5024 107,3,2024-09-07 09:10:51:757,1,355,2,0,353,4852,355,0 108,0,2024-09-07 09:10:51:769,88884,0.4,89524,0.6,177891,0.4,238039,1.75 108,1,2024-09-07 09:10:51:298,624137,624137,0,0,292168799805,3045994049,619041,4578,518,368,391857,0 108,2,2024-09-07 09:10:51:761,447913,447913,0,0,20161937,0,4246 108,3,2024-09-07 09:10:51:334,1,355,3,0,749,7088,355,0 109,0,2024-09-07 09:10:51:820,88908,0.4,87993,0.5,176259,0.3,236004,1.75 109,1,2024-09-07 09:10:50:589,622008,622008,0,0,292128326200,3061590536,616792,4551,665,383,392132,0 109,2,2024-09-07 09:10:50:954,447414,447414,0,0,19585161,0,3617 109,3,2024-09-07 09:10:51:140,1,355,1,0,379,4167,355,0 110,0,2024-09-07 09:10:51:751,88899,0.4,86491,0.6,181061,0.3,238011,1.75 110,1,2024-09-07 09:10:51:652,625232,625232,0,0,293419162015,3042740680,621224,3029,979,369,392045,0 110,2,2024-09-07 09:10:51:304,448999,448999,0,0,19371991,0,4067 110,3,2024-09-07 09:10:50:702,1,355,1,0,722,5214,355,0 111,0,2024-09-07 09:10:51:423,89252,0.4,88589,0.6,177638,0.4,237187,1.75 111,1,2024-09-07 09:10:51:002,625719,625719,0,0,293873382217,3047671498,622336,3030,353,382,391690,0 111,2,2024-09-07 09:10:51:117,448125,448125,0,0,18696923,0,4823 111,3,2024-09-07 09:10:50:912,1,355,1,0,379,4213,355,0 112,0,2024-09-07 09:10:50:912,89946,0.3,89225,0.4,178902,0.2,237677,1.50 112,1,2024-09-07 09:10:50:831,625160,625160,0,0,292962567227,3036728834,621684,2892,584,380,391624,0 112,2,2024-09-07 09:10:51:135,448939,448938,1,0,16906105,0,5036 112,3,2024-09-07 09:10:50:594,1,355,9,0,282,3529,355,0 113,0,2024-09-07 09:10:50:866,88017,0.3,88210,0.4,176962,0.2,235347,1.50 113,1,2024-09-07 09:10:51:689,626772,626772,0,0,293732229494,3028536315,623490,2698,584,366,391661,0 113,2,2024-09-07 09:10:51:311,452858,452858,0,0,15701899,0,3813 113,3,2024-09-07 09:10:50:700,1,355,1,0,340,4107,355,0 114,0,2024-09-07 09:10:50:888,88660,0.3,89363,0.4,177164,0.2,237250,1.75 114,1,2024-09-07 09:10:50:716,624996,624996,0,0,293068316524,3043456702,619850,3552,1594,381,391556,0 114,2,2024-09-07 09:10:50:878,449251,449250,1,0,17320735,0,5069 114,3,2024-09-07 09:10:51:285,1,355,2,0,395,3011,355,0 115,0,2024-09-07 09:10:50:556,88671,0.3,89370,0.4,178359,0.2,236973,1.50 115,1,2024-09-07 09:10:50:573,624524,624524,0,0,293553045475,3045972003,619658,4026,840,382,391757,0 115,2,2024-09-07 09:10:51:125,449810,449810,0,0,15933479,0,3453 115,3,2024-09-07 09:10:51:002,1,355,1,0,159,2088,355,0 116,0,2024-09-07 09:10:51:779,89037,0.8,88871,0.9,178322,0.9,238247,2.00 116,1,2024-09-07 09:10:50:819,621413,621413,0,0,291259218922,3068481327,613799,5345,2269,380,392089,0 116,2,2024-09-07 09:10:51:772,448688,448688,0,0,21437764,0,3529 116,3,2024-09-07 09:10:50:912,1,355,18,0,415,4327,355,0 117,0,2024-09-07 09:10:50:950,89098,0.7,88587,0.8,177427,0.7,237190,2.00 117,1,2024-09-07 09:10:51:582,622912,622912,0,0,291525933522,3048490514,616592,5651,669,369,392033,0 117,2,2024-09-07 09:10:51:127,453424,453424,0,0,17889152,0,3700 117,3,2024-09-07 09:10:51:059,1,355,2,0,490,5046,355,0 118,0,2024-09-07 09:10:51:764,85153,0.5,87377,0.6,178477,0.4,233673,2.00 118,1,2024-09-07 09:10:50:591,622463,622463,0,0,291285098970,3058590920,613659,6904,1900,366,391907,0 118,2,2024-09-07 09:10:51:590,447369,447369,0,0,19749320,0,2781 118,3,2024-09-07 09:10:51:764,1,355,1,0,235,3863,355,0 119,0,2024-09-07 09:10:51:342,89465,0.6,89819,0.7,179643,0.6,238568,2.00 119,1,2024-09-07 09:10:50:550,624112,624112,0,0,292303929722,3053924060,617531,5744,837,367,391780,0 119,2,2024-09-07 09:10:51:262,448605,448605,0,0,18425666,0,4174 119,3,2024-09-07 09:10:51:334,1,355,1,0,563,5330,355,0 120,0,2024-09-07 09:10:51:571,89245,0.6,88918,0.8,177969,0.6,238108,2.25 120,1,2024-09-07 09:10:50:860,623034,623034,0,0,291614094908,3057983343,616601,5873,560,368,391961,0 120,2,2024-09-07 09:10:50:771,449495,449494,1,0,21802321,0,5281 120,3,2024-09-07 09:10:51:291,1,355,1,0,241,4305,355,0 121,0,2024-09-07 09:10:51:698,89599,0.9,89764,1.0,180015,1.2,239424,2.25 121,1,2024-09-07 09:10:51:665,623637,623637,0,0,291431400406,3046413644,617714,5485,438,367,391840,0 121,2,2024-09-07 09:10:51:128,448637,448637,0,0,20996971,0,4127 121,3,2024-09-07 09:10:50:730,1,355,6,0,269,3968,355,0 122,0,2024-09-07 09:10:51:771,87790,0.7,85713,0.8,179856,0.8,235940,2.00 122,1,2024-09-07 09:10:50:862,622662,622662,0,0,291536831807,3056774107,614195,7204,1263,366,392130,0 122,2,2024-09-07 09:10:51:324,448147,448074,73,0,23479467,0,5989 122,3,2024-09-07 09:10:50:594,1,355,6,0,512,6656,355,0 123,0,2024-09-07 09:10:50:957,89544,0.6,87576,0.7,182671,0.7,239119,2.00 123,1,2024-09-07 09:10:50:560,622379,622379,0,0,291709392427,3072926843,610927,9324,2128,369,392039,0 123,2,2024-09-07 09:10:51:019,447217,447216,1,0,20285512,0,5215 123,3,2024-09-07 09:10:51:144,1,355,1,0,168,3938,355,0 124,0,2024-09-07 09:10:50:926,91936,0.4,91840,0.5,173251,0.3,238271,1.75 124,1,2024-09-07 09:10:51:022,624437,624437,0,0,291560851162,3032361397,619712,3814,911,367,392178,0 124,2,2024-09-07 09:10:51:017,450644,450591,53,0,18339624,0,6487 124,3,2024-09-07 09:10:50:758,1,355,2,0,490,3555,355,0 125,0,2024-09-07 09:10:51:420,88996,0.4,88520,0.6,178098,0.3,237128,1.75 125,1,2024-09-07 09:10:50:863,623426,623426,0,0,291759861407,3044890189,618541,4240,645,383,391702,0 125,2,2024-09-07 09:10:51:115,449321,449321,0,0,18958380,0,4534 125,3,2024-09-07 09:10:51:127,1,355,1,0,709,4665,355,0 126,0,2024-09-07 09:10:51:467,88728,0.4,91098,0.6,174710,0.4,237062,1.75 126,1,2024-09-07 09:10:50:555,625167,625167,0,0,292730323341,3032171460,621419,3461,287,365,391987,0 126,2,2024-09-07 09:10:50:617,450872,450872,0,0,19004200,0,4539 126,3,2024-09-07 09:10:50:908,1,355,1,0,207,4444,355,0 127,0,2024-09-07 09:10:51:602,87829,0.3,88307,0.5,176076,0.3,234143,1.75 127,1,2024-09-07 09:10:50:573,624270,624270,0,0,292756735508,3040164583,618842,4567,861,364,392187,0 127,2,2024-09-07 09:10:50:644,448613,448613,0,0,17520615,0,3897 127,3,2024-09-07 09:10:51:271,1,355,2,0,968,4093,355,0 128,0,2024-09-07 09:10:51:584,88890,0.3,89141,0.4,178282,0.2,236624,1.50 128,1,2024-09-07 09:10:51:626,624708,624708,0,0,291943853703,3029783529,620602,3734,372,367,391838,0 128,2,2024-09-07 09:10:51:389,449354,449354,0,0,16527575,0,2915 128,3,2024-09-07 09:10:50:770,1,355,1,0,1082,5957,355,0 129,0,2024-09-07 09:10:50:992,90057,0.3,89575,0.5,179762,0.3,238818,1.50 129,1,2024-09-07 09:10:50:573,622019,622019,0,0,291803845507,3053376921,616363,4399,1257,379,391835,0 129,2,2024-09-07 09:10:50:688,449293,449293,0,0,17285955,0,4031 129,3,2024-09-07 09:10:50:688,1,355,5,0,469,4536,355,0 130,0,2024-09-07 09:10:51:716,89259,0.5,88758,0.6,178592,0.5,237533,1.75 130,1,2024-09-07 09:10:50:588,624700,624700,0,0,292574624281,3040002706,621278,3142,280,381,391825,0 130,2,2024-09-07 09:10:51:128,452861,452861,0,0,17225688,0,4067 130,3,2024-09-07 09:10:51:291,1,355,2,0,535,4754,355,0 131,0,2024-09-07 09:10:51:927,87682,0.3,88184,0.5,177307,0.3,235279,1.50 131,1,2024-09-07 09:10:51:820,624576,624576,0,0,292185379135,3047286385,620090,3738,748,381,391865,0 131,2,2024-09-07 09:10:50:588,450364,450364,0,0,15852097,0,2415 131,3,2024-09-07 09:10:51:690,1,355,29,0,392,3657,355,0 132,0,2024-09-07 09:10:51:431,89393,0.4,90280,0.6,179669,0.4,238598,1.75 132,1,2024-09-07 09:10:50:579,621655,621655,0,0,291359809828,3064626742,613007,7181,1467,381,392097,0 132,2,2024-09-07 09:10:50:703,447942,447942,0,0,20910850,0,4606 132,3,2024-09-07 09:10:51:713,1,355,10,0,804,6244,355,0 133,0,2024-09-07 09:10:51:533,87520,0.5,89473,0.6,183653,0.4,238721,1.75 133,1,2024-09-07 09:10:50:606,621732,621732,0,0,291252869624,3066177076,613545,7102,1085,383,391914,0 133,2,2024-09-07 09:10:51:087,448787,448787,0,0,22242552,0,4315 133,3,2024-09-07 09:10:51:297,1,355,0,0,479,3687,355,0 134,0,2024-09-07 09:10:50:938,90081,0.5,89920,0.7,179849,0.4,239470,2.00 134,1,2024-09-07 09:10:50:596,622588,622588,0,0,291186916534,3054141310,614262,6470,1856,366,391718,0 134,2,2024-09-07 09:10:51:764,449569,449569,0,0,18580144,0,3847 134,3,2024-09-07 09:10:50:749,1,355,2,0,739,4489,355,0 135,0,2024-09-07 09:10:51:128,84877,0.6,84910,0.8,180054,0.6,232630,2.00 135,1,2024-09-07 09:10:51:629,622988,622988,0,0,292076143923,3070848647,614547,7204,1237,380,391805,0 135,2,2024-09-07 09:10:50:702,449180,449180,0,0,20144252,0,3981 135,3,2024-09-07 09:10:51:003,1,355,0,0,299,2674,355,0 136,0,2024-09-07 09:10:51:621,90035,0.5,90278,0.7,179617,0.5,239443,2.00 136,1,2024-09-07 09:10:51:441,622388,622388,0,0,291359799829,3056995075,615359,6331,698,382,391685,0 136,2,2024-09-07 09:10:51:136,448596,448596,0,0,19975821,0,3506 136,3,2024-09-07 09:10:51:106,1,355,1,0,637,3947,355,0 137,0,2024-09-07 09:10:50:941,92413,0.6,89930,0.8,176699,0.7,240152,2.00 137,1,2024-09-07 09:10:50:575,622313,622313,0,0,291996696052,3062806491,612688,7742,1883,366,391898,0 137,2,2024-09-07 09:10:51:710,449046,449046,0,0,22418528,0,3185 137,3,2024-09-07 09:10:50:773,1,355,8,0,382,4113,355,0 138,0,2024-09-07 09:10:51:753,88590,1.2,88711,1.0,178126,1.7,237004,2.25 138,1,2024-09-07 09:10:51:688,623358,623358,0,0,292115657205,3064745939,614303,7459,1596,368,391954,0 138,2,2024-09-07 09:10:50:594,448566,448566,0,0,20015430,0,4988 138,3,2024-09-07 09:10:50:614,1,355,1,0,1160,4899,355,0 139,0,2024-09-07 09:10:51:468,87334,1.0,87679,1.0,175596,1.4,234079,2.25 139,1,2024-09-07 09:10:50:573,620474,620474,0,0,290014830614,3078263312,609092,8734,2648,381,392058,0 139,2,2024-09-07 09:10:50:692,446599,446599,0,0,21884205,0,3097 139,3,2024-09-07 09:10:51:664,1,355,1,0,257,4102,355,0 140,0,2024-09-07 09:10:51:596,89264,0.3,88757,0.5,178277,0.2,238228,1.75 140,1,2024-09-07 09:10:51:545,626607,626607,0,0,293033015727,3021247050,623400,2768,439,365,391606,0 140,2,2024-09-07 09:10:50:688,449319,449318,1,0,17993156,0,5036 140,3,2024-09-07 09:10:50:770,1,355,0,0,247,2841,355,0 141,0,2024-09-07 09:10:51:697,89084,0.3,91435,0.5,174703,0.3,237203,1.75 141,1,2024-09-07 09:10:50:859,625007,625007,0,0,292999940307,3042776230,620441,3704,862,379,391614,0 141,2,2024-09-07 09:10:51:688,449070,449070,0,0,17601626,0,3360 141,3,2024-09-07 09:10:51:043,1,355,1,0,391,4035,355,0 142,0,2024-09-07 09:10:51:307,90050,0.3,89186,0.5,178357,0.3,238187,1.75 142,1,2024-09-07 09:10:50:593,624731,624731,0,0,292058800000,3044196247,620761,3618,352,382,392102,0 142,2,2024-09-07 09:10:51:303,448463,448431,32,0,19014983,0,6028 142,3,2024-09-07 09:10:51:751,1,355,6,0,484,4211,355,0 143,0,2024-09-07 09:10:51:369,88435,0.4,88186,0.5,176805,0.4,235665,1.75 143,1,2024-09-07 09:10:50:559,625305,625305,0,0,292942932907,3037596192,621524,3630,151,367,391705,0 143,2,2024-09-07 09:10:50:772,451794,451794,0,0,18429987,0,3123 143,3,2024-09-07 09:10:51:140,1,355,1,0,303,4249,355,0 144,0,2024-09-07 09:10:51:492,85594,0.5,87797,0.7,179154,0.4,235195,2.00 144,1,2024-09-07 09:10:50:565,622272,622272,0,0,291354766569,3051199583,617395,3936,941,381,391649,0 144,2,2024-09-07 09:10:51:760,449546,449546,0,0,16608240,0,3473 144,3,2024-09-07 09:10:51:741,1,355,0,0,249,3498,355,0 145,0,2024-09-07 09:10:51:371,85820,0.6,85875,0.8,182148,0.5,234293,2.25 145,1,2024-09-07 09:10:50:562,621932,621932,0,0,292018869981,3067062420,614568,6223,1141,382,391759,0 145,2,2024-09-07 09:10:51:439,446913,446913,0,0,20122431,0,3903 145,3,2024-09-07 09:10:50:904,1,355,2,0,622,5176,355,0 146,0,2024-09-07 09:10:51:608,89300,0.6,88709,0.7,178830,0.5,236912,2.25 146,1,2024-09-07 09:10:51:599,623085,623085,0,0,290925069699,3058165072,613204,7870,2011,368,391770,0 146,2,2024-09-07 09:10:51:698,447907,447907,0,0,20038454,0,2730 146,3,2024-09-07 09:10:51:274,1,355,1,0,1520,7253,355,0 147,0,2024-09-07 09:10:51:712,89072,0.6,88783,0.7,176898,0.5,236730,2.00 147,1,2024-09-07 09:10:51:372,624695,624695,0,0,292465176786,3041882518,619026,4868,801,368,391791,0 147,2,2024-09-07 09:10:51:010,451018,451018,0,0,17909337,0,2789 147,3,2024-09-07 09:10:50:913,1,355,2,0,730,5102,355,0 0,0,2024-09-07 09:11:01:710,87130,0.6,87141,0.8,184973,0.7,238737,2.00 0,1,2024-09-07 09:11:00:805,625257,625257,0,0,293231134125,3063654845,621117,3894,246,369,391896,0 0,2,2024-09-07 09:11:01:067,451815,451815,0,0,17759755,0,4480 0,3,2024-09-07 09:11:00:974,1,356,1,0,431,4758,356,0 1,0,2024-09-07 09:11:01:751,90154,0.8,89649,0.9,179885,0.9,240177,2.00 1,1,2024-09-07 09:11:00:557,624297,624297,0,0,293077350293,3066613109,618663,4405,1229,370,391857,0 1,2,2024-09-07 09:11:00:645,449968,449968,0,0,17164935,0,3267 1,3,2024-09-07 09:11:01:307,1,356,0,0,262,4085,356,0 2,0,2024-09-07 09:11:01:568,88582,0.6,88749,0.7,176578,0.6,236868,2.00 2,1,2024-09-07 09:11:00:860,626629,626629,0,0,293802564123,3048646320,623727,2609,293,380,391745,0 2,2,2024-09-07 09:11:01:269,450601,450601,0,0,16816525,0,3594 2,3,2024-09-07 09:11:00:691,1,356,60,0,357,3730,356,0 3,0,2024-09-07 09:11:01:752,90017,0.4,89841,0.6,179609,0.4,239094,2.00 3,1,2024-09-07 09:11:01:621,624877,624877,0,0,292544774505,3043897462,619777,4477,623,380,391591,0 3,2,2024-09-07 09:11:01:142,449907,449884,23,0,17371848,0,5851 3,3,2024-09-07 09:11:01:751,1,356,0,0,207,2246,356,0 4,0,2024-09-07 09:11:01:799,86885,0.4,89355,0.5,181823,0.4,238040,1.75 4,1,2024-09-07 09:11:00:609,623888,623888,0,0,292543783680,3077490925,616425,6105,1358,370,391992,0 4,2,2024-09-07 09:11:01:018,449557,449557,0,0,20210384,0,4528 4,3,2024-09-07 09:11:01:028,1,356,11,0,448,4891,356,0 5,0,2024-09-07 09:11:01:371,88896,0.4,89310,0.6,178238,0.4,237064,1.75 5,1,2024-09-07 09:11:00:759,624755,624755,0,0,292696772836,3073390479,617581,5818,1356,367,392005,0 5,2,2024-09-07 09:11:01:843,448483,448483,0,0,19264882,0,2432 5,3,2024-09-07 09:11:01:732,1,356,5,0,457,5051,356,0 6,0,2024-09-07 09:11:00:920,89509,0.4,89009,0.6,177747,0.4,237934,1.75 6,1,2024-09-07 09:11:00:753,624835,624835,0,0,292987604860,3058640214,618222,5528,1085,379,391694,0 6,2,2024-09-07 09:11:01:116,450838,450838,0,0,18393146,0,4816 6,3,2024-09-07 09:11:01:275,1,356,0,0,340,3761,356,0 7,0,2024-09-07 09:11:01:531,87779,0.4,88293,0.6,175905,0.3,233982,1.75 7,1,2024-09-07 09:11:00:863,623952,623952,0,0,292710123463,3069035659,615879,6897,1176,382,391747,0 7,2,2024-09-07 09:11:00:770,450659,450659,0,0,18579712,0,4791 7,3,2024-09-07 09:11:00:857,1,356,7,0,398,3876,356,0 8,0,2024-09-07 09:11:01:350,89058,0.4,88855,0.5,177938,0.3,237403,1.75 8,1,2024-09-07 09:11:01:017,622959,622959,0,0,292725202560,3078596547,612803,7878,2278,366,392144,0 8,2,2024-09-07 09:11:00:791,446289,446289,0,0,23042122,0,3220 8,3,2024-09-07 09:11:00:591,1,356,1,0,538,6056,356,0 9,0,2024-09-07 09:11:01:136,89848,0.4,87246,0.5,182560,0.3,239045,1.75 9,1,2024-09-07 09:11:00:555,623737,623737,0,0,291977190964,3075304151,614661,6950,2126,369,392001,0 9,2,2024-09-07 09:11:01:087,449284,449284,0,0,20373980,0,3360 9,3,2024-09-07 09:11:01:769,1,356,10,0,496,5199,356,0 10,0,2024-09-07 09:11:01:600,89195,0.3,88532,0.5,177851,0.2,237064,1.75 10,1,2024-09-07 09:11:00:584,624613,624613,0,0,292085560031,3057285271,616727,6776,1110,381,391741,0 10,2,2024-09-07 09:11:00:763,452723,452723,0,0,22055306,0,4264 10,3,2024-09-07 09:11:00:871,1,356,38,0,296,3240,356,0 11,0,2024-09-07 09:11:01:007,87989,0.4,85489,0.6,178722,0.3,236374,1.75 11,1,2024-09-07 09:11:00:577,625149,625149,0,0,293433156175,3079345373,615125,7576,2448,383,391756,0 11,2,2024-09-07 09:11:01:123,449359,449359,0,0,19783037,0,4130 11,3,2024-09-07 09:11:01:298,1,356,1,0,843,5400,356,0 12,0,2024-09-07 09:11:00:937,90220,0.4,90244,0.5,180181,0.3,239031,1.75 12,1,2024-09-07 09:11:00:933,624706,624706,0,0,292375020168,3047675517,619835,4378,493,370,391870,0 12,2,2024-09-07 09:11:01:541,449981,449981,0,0,19578844,0,3469 12,3,2024-09-07 09:11:01:064,1,356,11,0,386,5658,356,0 13,0,2024-09-07 09:11:01:341,90568,0.4,90214,0.6,180142,0.4,239898,1.75 13,1,2024-09-07 09:11:01:524,623728,623728,0,0,292122144785,3069580132,617812,4506,1410,382,391740,0 13,2,2024-09-07 09:11:00:605,451484,451484,0,0,17992767,0,3287 13,3,2024-09-07 09:11:01:762,1,356,6,0,522,5631,356,0 14,0,2024-09-07 09:11:00:576,89986,0.4,90726,0.6,179563,0.3,239007,1.75 14,1,2024-09-07 09:11:01:561,628306,628306,0,0,293501831060,3038098728,623616,4331,359,364,391571,0 14,2,2024-09-07 09:11:00:764,451410,451380,30,0,19541209,0,6104 14,3,2024-09-07 09:11:01:115,1,356,1,0,1168,4224,356,0 15,0,2024-09-07 09:11:01:554,87890,0.4,87940,0.6,176144,0.3,234582,1.75 15,1,2024-09-07 09:11:01:608,625499,625499,0,0,292990779263,3048921481,621153,3523,823,381,391619,0 15,2,2024-09-07 09:11:00:997,450936,450936,0,0,16049583,0,3622 15,3,2024-09-07 09:11:01:405,1,356,11,0,1126,6302,356,0 16,0,2024-09-07 09:11:01:026,89888,0.6,90215,0.7,180218,0.5,239422,2.00 16,1,2024-09-07 09:11:00:586,626178,626178,0,0,292898007400,3055546772,621854,3961,363,370,391756,0 16,2,2024-09-07 09:11:01:434,449677,449677,0,0,18557616,0,4719 16,3,2024-09-07 09:11:01:145,1,356,1,0,317,4760,356,0 17,0,2024-09-07 09:11:01:780,92638,0.6,90342,0.8,176968,0.7,240222,2.00 17,1,2024-09-07 09:11:00:569,623917,623917,0,0,293181955799,3073743964,617671,4987,1259,368,392075,0 17,2,2024-09-07 09:11:01:690,453611,453611,0,0,18298334,0,2857 17,3,2024-09-07 09:11:00:586,1,356,1,0,298,5768,356,0 18,0,2024-09-07 09:11:00:940,88543,0.6,88862,0.8,177323,0.6,236943,2.25 18,1,2024-09-07 09:11:01:638,626857,626857,0,0,293553065436,3040454628,623506,3040,311,367,391649,0 18,2,2024-09-07 09:11:01:754,452252,452252,0,0,16886684,0,3541 18,3,2024-09-07 09:11:00:898,1,356,13,0,163,3004,356,0 19,0,2024-09-07 09:11:01:542,88217,0.5,88906,0.7,175953,0.5,234499,2.00 19,1,2024-09-07 09:11:00:566,626957,626957,0,0,293917341270,3047148007,621830,4288,839,367,391777,0 19,2,2024-09-07 09:11:01:751,451703,451703,0,0,15425695,0,3988 19,3,2024-09-07 09:11:01:129,1,356,1,0,524,2734,356,0 20,0,2024-09-07 09:11:01:349,89358,0.5,89419,0.6,178636,0.4,239279,2.00 20,1,2024-09-07 09:11:00:578,625038,625038,0,0,293354614540,3060795488,620691,3939,408,369,391886,0 20,2,2024-09-07 09:11:00:928,450613,450613,0,0,18660864,0,3721 20,3,2024-09-07 09:11:00:591,1,356,0,0,414,5475,356,0 21,0,2024-09-07 09:11:01:153,89224,0.5,89306,0.6,178317,0.5,236963,2.00 21,1,2024-09-07 09:11:01:536,623845,623845,0,0,291363305363,3066303259,615843,6120,1882,368,392016,0 21,2,2024-09-07 09:11:01:067,448224,448224,0,0,21754552,0,3747 21,3,2024-09-07 09:11:01:406,1,356,41,0,713,4172,356,0 22,0,2024-09-07 09:11:01:720,88998,0.5,89363,0.7,178899,0.5,236714,2.00 22,1,2024-09-07 09:11:01:023,624899,624899,0,0,292232198382,3066853464,616407,6672,1820,382,391667,0 22,2,2024-09-07 09:11:00:761,448752,448752,0,0,17690214,0,3134 22,3,2024-09-07 09:11:01:066,1,356,2,0,228,2920,356,0 23,0,2024-09-07 09:11:01:367,87978,0.5,87952,0.7,176273,0.5,234803,2.25 23,1,2024-09-07 09:11:01:003,625301,625301,0,0,293847289217,3081692593,614806,7336,3159,365,391690,0 23,2,2024-09-07 09:11:01:092,453243,453243,0,0,17583185,0,3010 23,3,2024-09-07 09:11:01:753,1,356,1,0,720,4783,356,0 24,0,2024-09-07 09:11:00:832,89155,0.4,89061,0.5,178566,0.3,237508,1.75 24,1,2024-09-07 09:11:00:590,623933,623933,0,0,292084343710,3061977172,617027,5374,1532,367,392269,0 24,2,2024-09-07 09:11:01:075,449067,449067,0,0,21541389,0,3607 24,3,2024-09-07 09:11:01:686,1,356,0,0,468,4626,356,0 25,0,2024-09-07 09:11:01:359,91385,0.4,89115,0.6,174611,0.4,237826,2.00 25,1,2024-09-07 09:11:00:567,625041,625041,0,0,291956971420,3063071941,617144,6519,1378,371,391928,0 25,2,2024-09-07 09:11:01:605,448407,448407,0,0,21714281,0,3978 25,3,2024-09-07 09:11:01:000,1,356,5,0,255,3480,356,0 26,0,2024-09-07 09:11:01:721,89254,0.4,87097,0.6,182749,0.4,237784,1.75 26,1,2024-09-07 09:11:01:541,625383,625383,0,0,292929879281,3066551786,616495,7352,1536,380,391748,0 26,2,2024-09-07 09:11:00:863,450235,450235,0,0,21589652,0,2809 26,3,2024-09-07 09:11:01:712,1,356,1,0,796,4454,356,0 27,0,2024-09-07 09:11:01:724,89323,0.4,89419,0.6,177257,0.4,236716,2.00 27,1,2024-09-07 09:11:01:676,627386,627386,0,0,294022701303,3058602390,622078,4542,766,381,391626,0 27,2,2024-09-07 09:11:00:869,449638,449573,65,0,21010402,0,5699 27,3,2024-09-07 09:11:01:015,1,356,8,0,564,3671,356,0 28,0,2024-09-07 09:11:01:387,88378,0.4,88121,0.6,176457,0.3,236221,2.00 28,1,2024-09-07 09:11:00:797,626332,626332,0,0,294075833713,3062488051,621832,3795,705,383,391698,0 28,2,2024-09-07 09:11:01:774,449514,449514,0,0,18742112,0,2915 28,3,2024-09-07 09:11:01:778,1,356,1,0,502,3772,356,0 29,0,2024-09-07 09:11:01:356,92350,0.4,89977,0.6,176559,0.3,240284,1.75 29,1,2024-09-07 09:11:01:564,627882,627882,0,0,293933593295,3042286377,623539,3658,685,369,391753,0 29,2,2024-09-07 09:11:00:863,448619,448619,0,0,18063165,0,4986 29,3,2024-09-07 09:11:00:964,1,356,17,0,459,4409,356,0 30,0,2024-09-07 09:11:01:454,89378,0.5,86832,0.7,181733,0.5,237702,2.00 30,1,2024-09-07 09:11:00:571,627302,627302,0,0,294411383575,3055746546,622482,4110,710,381,391672,0 30,2,2024-09-07 09:11:01:274,451488,451488,0,0,17032818,0,3161 30,3,2024-09-07 09:11:00:584,1,356,0,0,519,3596,356,0 31,0,2024-09-07 09:11:01:761,89670,0.4,90157,0.6,180052,0.3,239882,2.00 31,1,2024-09-07 09:11:00:565,629291,629291,0,0,294467076246,3018744577,626743,1989,559,356,391712,0 31,2,2024-09-07 09:11:01:275,450652,450652,0,0,18837372,0,3525 31,3,2024-09-07 09:11:01:708,1,356,0,0,220,3024,356,0 32,0,2024-09-07 09:11:01:426,88642,0.3,89058,0.5,177981,0.2,237125,1.50 32,1,2024-09-07 09:11:00:807,626793,626793,0,0,293768884141,3048511717,623626,2721,446,381,391646,0 32,2,2024-09-07 09:11:00:938,450520,450520,0,0,15791601,0,3155 32,3,2024-09-07 09:11:01:023,1,356,1,0,227,2476,356,0 33,0,2024-09-07 09:11:01:501,90168,0.3,89732,0.4,180020,0.2,239399,1.50 33,1,2024-09-07 09:11:00:578,628108,628108,0,0,294042878433,3042978544,623664,3613,831,369,391730,0 33,2,2024-09-07 09:11:00:759,451146,451111,35,0,18000030,0,7012 33,3,2024-09-07 09:11:00:896,1,356,1,0,329,3602,356,0 34,0,2024-09-07 09:11:00:934,89684,0.3,92261,0.4,176491,0.2,237481,1.75 34,1,2024-09-07 09:11:01:043,628799,628799,0,0,295057976340,3031068685,627376,1414,9,367,391562,0 34,2,2024-09-07 09:11:00:766,451058,451058,0,0,17187566,0,3577 34,3,2024-09-07 09:11:01:688,1,356,1,0,299,2522,356,0 35,0,2024-09-07 09:11:00:911,88286,0.3,88611,0.5,178284,0.3,237662,1.75 35,1,2024-09-07 09:11:01:075,626793,626793,0,0,294302881843,3047306558,623176,2836,781,382,391589,0 35,2,2024-09-07 09:11:01:582,450442,450442,0,0,17425264,0,2653 35,3,2024-09-07 09:11:00:911,1,356,1,0,418,4290,356,0 36,0,2024-09-07 09:11:01:527,89498,0.4,89403,0.6,178944,0.4,237645,2.00 36,1,2024-09-07 09:11:00:600,626378,626378,0,0,293279286729,3059413928,619061,5659,1658,366,391759,0 36,2,2024-09-07 09:11:01:777,451647,451647,0,0,19524395,0,3875 36,3,2024-09-07 09:11:00:863,1,356,1,0,416,5404,356,0 37,0,2024-09-07 09:11:01:377,87798,0.4,87673,0.6,175615,0.4,234350,2.00 37,1,2024-09-07 09:11:00:578,625583,625576,0,7,292748235648,3054511611,618801,4689,2086,365,391570,0 37,2,2024-09-07 09:11:01:146,449107,449092,15,0,19308638,0,5815 37,3,2024-09-07 09:11:01:771,1,356,30,0,888,5668,356,0 38,0,2024-09-07 09:11:01:441,88347,0.5,85836,0.7,179660,0.4,235228,2.00 38,1,2024-09-07 09:11:01:605,627258,627258,0,0,293494300306,3051891308,621196,5303,759,368,391821,0 38,2,2024-09-07 09:11:00:759,449629,449582,47,0,19642211,0,6710 38,3,2024-09-07 09:11:00:996,1,356,4,0,689,4745,356,0 39,0,2024-09-07 09:11:01:760,91379,0.6,89487,0.7,174221,0.5,237904,2.00 39,1,2024-09-07 09:11:00:717,625709,625709,0,0,293250736813,3063712020,617273,6975,1461,365,391658,0 39,2,2024-09-07 09:11:01:417,449852,449852,0,0,17666644,0,2689 39,3,2024-09-07 09:11:00:722,1,356,3,0,324,4341,356,0 40,0,2024-09-07 09:11:01:513,88279,0.7,88924,0.9,177432,0.7,236842,2.75 40,1,2024-09-07 09:11:00:586,626340,626340,0,0,292348819284,3057598313,617754,7075,1511,368,391668,0 40,2,2024-09-07 09:11:01:303,451661,451660,1,0,21318324,0,5137 40,3,2024-09-07 09:11:01:143,1,356,20,0,1028,5106,356,0 41,0,2024-09-07 09:11:01:044,87784,1.1,89952,1.1,171619,1.7,234128,2.75 41,1,2024-09-07 09:11:00:768,624876,624876,0,0,292743442415,3058096029,617818,6353,705,369,391742,0 41,2,2024-09-07 09:11:00:759,448383,448383,0,0,20211933,0,3356 41,3,2024-09-07 09:11:01:683,1,356,4,0,366,3535,356,0 42,0,2024-09-07 09:11:01:474,88735,0.9,88856,1.0,178022,1.1,235058,2.75 42,1,2024-09-07 09:11:01:439,624073,624073,0,0,291831581738,3063312384,614526,7789,1758,380,391675,0 42,2,2024-09-07 09:11:01:133,448518,448518,0,0,20497618,0,3790 42,3,2024-09-07 09:11:01:008,1,356,2,0,446,3107,356,0 43,0,2024-09-07 09:11:00:941,88755,0.9,86406,1.0,181183,1.0,237383,2.50 43,1,2024-09-07 09:11:00:588,625521,625521,0,0,293867836493,3066298544,617285,6798,1438,366,391696,0 43,2,2024-09-07 09:11:01:737,450506,450506,0,0,20187941,0,3812 43,3,2024-09-07 09:11:01:750,1,356,2,0,467,4341,356,0 44,0,2024-09-07 09:11:00:866,89912,0.4,90291,0.6,180111,0.4,239193,1.75 44,1,2024-09-07 09:11:00:573,626820,626820,0,0,293555755392,3033439656,621757,4016,1047,356,391809,0 44,2,2024-09-07 09:11:01:267,450816,450816,0,0,17077999,0,4344 44,3,2024-09-07 09:11:01:099,1,356,1,0,817,4534,356,0 45,0,2024-09-07 09:11:01:764,87006,0.5,84874,0.7,177998,0.4,234683,2.00 45,1,2024-09-07 09:11:01:008,626616,626616,0,0,293837140457,3051601278,622181,3959,476,382,391917,0 45,2,2024-09-07 09:11:01:270,449830,449830,0,0,17517084,0,3596 45,3,2024-09-07 09:11:00:942,1,356,18,0,271,3330,356,0 46,0,2024-09-07 09:11:00:956,89329,0.5,89326,0.7,178637,0.5,237024,2.00 46,1,2024-09-07 09:11:00:575,627986,627986,0,0,294109913361,3035951624,624269,3291,426,366,391572,0 46,2,2024-09-07 09:11:00:592,450245,450245,0,0,16626832,0,2920 46,3,2024-09-07 09:11:01:131,1,356,1,0,908,5058,356,0 47,0,2024-09-07 09:11:01:105,90198,0.5,90474,0.6,181279,0.4,239623,2.00 47,1,2024-09-07 09:11:00:569,628718,628718,0,0,293710289241,3036651660,624230,3673,815,366,391641,0 47,2,2024-09-07 09:11:00:911,453189,453189,0,0,17330788,0,4477 47,3,2024-09-07 09:11:01:115,1,356,18,0,529,3768,356,0 48,0,2024-09-07 09:11:01:495,90009,0.3,89650,0.4,178865,0.2,239099,1.50 48,1,2024-09-07 09:11:01:032,626808,626808,0,0,294060727538,3051907277,623207,3297,304,384,391710,0 48,2,2024-09-07 09:11:00:703,450190,450190,0,0,15625960,0,3031 48,3,2024-09-07 09:11:00:753,1,356,24,0,339,2949,356,0 49,0,2024-09-07 09:11:01:835,91002,0.3,89434,0.5,172981,0.2,237259,1.75 49,1,2024-09-07 09:11:01:031,626241,626241,0,0,293562402382,3054099955,621911,3145,1185,382,391809,0 49,2,2024-09-07 09:11:01:802,451088,451088,0,0,16745247,0,4426 49,3,2024-09-07 09:11:01:420,1,356,11,0,408,3623,356,0 50,0,2024-09-07 09:11:01:508,90006,0.3,88496,0.4,178674,0.2,238953,1.75 50,1,2024-09-07 09:11:01:009,628513,628513,0,0,294397566732,3041153700,624691,3448,374,368,391565,0 50,2,2024-09-07 09:11:01:067,450626,450626,0,0,15840404,0,2263 50,3,2024-09-07 09:11:01:291,1,356,1,0,335,3354,356,0 51,0,2024-09-07 09:11:01:700,91537,0.3,89468,0.5,174545,0.2,238009,1.75 51,1,2024-09-07 09:11:01:685,628414,628414,0,0,294918236023,3040342173,625151,2287,976,365,391706,0 51,2,2024-09-07 09:11:01:316,450521,450521,0,0,15455393,0,3337 51,3,2024-09-07 09:11:01:027,1,356,1,0,678,2610,356,0 52,0,2024-09-07 09:11:01:424,89555,0.5,89516,0.7,178844,0.4,237663,2.00 52,1,2024-09-07 09:11:00:585,625687,625687,0,0,292971015926,3064103698,617045,7328,1314,368,391722,0 52,2,2024-09-07 09:11:01:760,446643,446605,38,0,20009307,0,6742 52,3,2024-09-07 09:11:00:676,1,356,1,0,1782,5267,356,0 53,0,2024-09-07 09:11:01:732,87872,0.6,85400,0.7,178655,0.6,234337,2.25 53,1,2024-09-07 09:11:00:771,624247,624247,0,0,293080131021,3072140305,614619,7044,2584,367,391702,0 53,2,2024-09-07 09:11:01:298,452993,452993,0,0,17412557,0,2727 53,3,2024-09-07 09:11:00:701,1,356,12,0,308,3269,356,0 54,0,2024-09-07 09:11:01:623,87695,0.5,88114,0.7,175316,0.4,234799,2.25 54,1,2024-09-07 09:11:00:589,626126,626126,0,0,294128007776,3052978700,620460,5064,602,366,391659,0 54,2,2024-09-07 09:11:00:865,450150,450144,6,0,19752607,0,5382 54,3,2024-09-07 09:11:00:763,1,356,2,0,676,5256,356,0 55,0,2024-09-07 09:11:01:761,85971,0.6,88960,0.7,179451,0.5,233734,2.50 55,1,2024-09-07 09:11:00:765,626148,626148,0,0,293305842442,3048433620,619521,5718,909,365,391731,0 55,2,2024-09-07 09:11:00:729,448653,448653,0,0,19110752,0,3563 55,3,2024-09-07 09:11:00:673,1,356,2,0,304,3854,356,0 56,0,2024-09-07 09:11:01:553,91320,1.1,86085,1.1,177546,1.6,237484,2.50 56,1,2024-09-07 09:11:00:570,623236,623236,0,0,293017437419,3088840830,614491,7114,1631,381,391867,0 56,2,2024-09-07 09:11:01:303,449751,449751,0,0,20301082,0,3567 56,3,2024-09-07 09:11:01:059,1,356,7,0,705,4529,356,0 57,0,2024-09-07 09:11:00:942,87874,1.3,87780,1.2,175922,1.8,235176,2.75 57,1,2024-09-07 09:11:00:987,625048,625048,0,0,293158083199,3068562112,618173,6155,720,366,392032,0 57,2,2024-09-07 09:11:01:318,452665,452665,0,0,21931162,0,3317 57,3,2024-09-07 09:11:01:743,1,356,1,0,359,4065,356,0 58,0,2024-09-07 09:11:00:554,86987,0.8,84591,0.9,176982,0.8,232886,2.50 58,1,2024-09-07 09:11:00:578,625683,625680,0,3,293516393249,3064777049,617553,7045,1082,367,391603,3 58,2,2024-09-07 09:11:01:074,448857,448857,0,0,20031539,0,2549 58,3,2024-09-07 09:11:01:073,1,356,5,0,1043,3761,356,0 59,0,2024-09-07 09:11:01:750,89660,0.8,89258,0.9,178245,0.9,236835,2.50 59,1,2024-09-07 09:11:00:825,624961,624961,0,0,293303296786,3066568575,617079,6359,1523,369,391586,0 59,2,2024-09-07 09:11:00:592,449915,449915,0,0,19866068,0,2867 59,3,2024-09-07 09:11:01:738,1,356,13,0,1015,4404,356,0 60,0,2024-09-07 09:11:01:730,89558,0.5,89630,0.7,179934,0.5,238526,1.75 60,1,2024-09-07 09:11:00:773,627242,627242,0,0,294236772472,3053156807,623117,3476,649,370,392031,0 60,2,2024-09-07 09:11:01:145,450830,450830,0,0,19405823,0,3811 60,3,2024-09-07 09:11:01:263,1,356,14,0,409,4206,356,0 61,0,2024-09-07 09:11:01:513,89760,0.6,90422,0.8,179865,0.6,239798,2.00 61,1,2024-09-07 09:11:00:789,625077,625077,0,0,292715883418,3066959183,617860,5892,1325,382,392127,0 61,2,2024-09-07 09:11:01:117,450804,450737,67,0,19710379,0,6411 61,3,2024-09-07 09:11:01:686,1,356,0,0,479,5161,356,0 62,0,2024-09-07 09:11:01:714,89216,0.5,91156,0.7,173923,0.4,237048,1.75 62,1,2024-09-07 09:11:01:113,629559,629553,0,6,294679216755,3039741220,625971,3323,259,365,391715,6 62,2,2024-09-07 09:11:01:656,448720,448719,1,0,19153882,0,5555 62,3,2024-09-07 09:11:01:143,1,356,2,0,465,2691,356,0 63,0,2024-09-07 09:11:01:465,90316,0.4,90053,0.6,180661,0.3,239787,1.75 63,1,2024-09-07 09:11:00:807,627497,627491,0,6,293984765325,3050306919,623556,3547,388,381,391800,6 63,2,2024-09-07 09:11:00:765,450242,450242,0,0,17641960,0,4369 63,3,2024-09-07 09:11:01:738,1,356,1,0,667,3871,356,0 64,0,2024-09-07 09:11:01:511,89002,0.5,88957,0.7,177973,0.5,236571,2.00 64,1,2024-09-07 09:11:00:752,626403,626403,0,0,293009677815,3051042863,620755,3988,1660,370,391783,0 64,2,2024-09-07 09:11:01:144,454029,454010,19,0,17221563,0,6121 64,3,2024-09-07 09:11:01:143,1,356,7,0,265,3241,356,0 65,0,2024-09-07 09:11:01:694,88070,0.6,88420,0.8,176583,0.7,235551,2.00 65,1,2024-09-07 09:11:00:863,625104,625104,0,0,292447121142,3053551009,621016,3637,451,382,391901,0 65,2,2024-09-07 09:11:01:707,451001,451001,0,0,18988764,0,3367 65,3,2024-09-07 09:11:01:682,1,356,1,0,163,3107,356,0 66,0,2024-09-07 09:11:01:773,88764,0.5,88784,0.7,177502,0.4,236529,2.00 66,1,2024-09-07 09:11:01:298,626647,626647,0,0,294037470960,3054564769,623045,3279,323,380,391653,0 66,2,2024-09-07 09:11:01:134,452808,452808,0,0,16935362,0,4956 66,3,2024-09-07 09:11:01:084,1,356,181,0,291,3427,356,0 67,0,2024-09-07 09:11:01:418,88412,0.5,87922,0.7,176447,0.4,235007,2.00 67,1,2024-09-07 09:11:00:770,627307,627306,0,1,293246083558,3051019245,623135,3419,752,380,391787,1 67,2,2024-09-07 09:11:00:596,451360,451360,0,0,16447390,0,2889 67,3,2024-09-07 09:11:01:750,1,356,1,0,338,3219,356,0 68,0,2024-09-07 09:11:00:591,89285,0.6,88890,0.7,177409,0.6,237311,2.00 68,1,2024-09-07 09:11:00:578,625324,625324,0,0,292817906174,3063118933,620504,3615,1205,381,391953,0 68,2,2024-09-07 09:11:01:047,448296,448231,65,0,21430825,0,6698 68,3,2024-09-07 09:11:00:739,1,356,11,0,417,3753,356,0 69,0,2024-09-07 09:11:01:730,89098,0.8,89702,0.9,178423,1.0,237025,2.25 69,1,2024-09-07 09:11:01:017,623224,623224,0,0,292720438714,3084328243,615200,5914,2110,384,391994,0 69,2,2024-09-07 09:11:01:736,449529,449529,0,0,21671478,0,3722 69,3,2024-09-07 09:11:00:760,1,356,1,0,698,4943,356,0 70,0,2024-09-07 09:11:01:546,88129,0.7,88179,0.9,177348,0.5,235327,2.25 70,1,2024-09-07 09:11:00:801,626749,626749,0,0,293928549058,3047690804,621896,4317,536,366,391725,0 70,2,2024-09-07 09:11:01:325,452097,452097,0,0,19595551,0,4044 70,3,2024-09-07 09:11:00:755,1,356,1,0,854,3831,356,0 71,0,2024-09-07 09:11:01:363,87729,0.7,87373,0.9,175639,0.7,235194,2.50 71,1,2024-09-07 09:11:01:596,625761,625761,0,0,293476937733,3057766252,619149,5956,656,368,391738,0 71,2,2024-09-07 09:11:01:073,449757,449757,0,0,19341199,0,4042 71,3,2024-09-07 09:11:01:750,1,356,1,0,644,4694,356,0 72,0,2024-09-07 09:11:01:031,92474,0.6,90363,0.7,176311,0.6,239471,2.00 72,1,2024-09-07 09:11:01:021,625088,625088,0,0,292738436169,3063929205,616845,6544,1699,369,391819,0 72,2,2024-09-07 09:11:01:754,448326,448326,0,0,22148822,0,3983 72,3,2024-09-07 09:11:01:759,1,356,6,0,564,5429,356,0 73,0,2024-09-07 09:11:01:118,87603,0.4,89841,0.6,183744,0.4,238567,2.00 73,1,2024-09-07 09:11:00:768,626170,626170,0,0,293402238029,3047175491,621848,3992,330,367,391858,0 73,2,2024-09-07 09:11:01:748,450599,450599,0,0,21378567,0,3701 73,3,2024-09-07 09:11:00:975,1,356,6,0,274,4599,356,0 74,0,2024-09-07 09:11:01:328,90316,0.4,92520,0.6,176698,0.4,238909,2.25 74,1,2024-09-07 09:11:00:638,625803,625803,0,0,293208938844,3057783795,619721,4819,1263,381,391681,0 74,2,2024-09-07 09:11:01:001,450856,450856,0,0,20340137,0,4253 74,3,2024-09-07 09:11:01:444,1,356,3,0,522,4877,356,0 75,0,2024-09-07 09:11:01:767,88146,0.4,87870,0.6,176072,0.3,235429,2.00 75,1,2024-09-07 09:11:01:585,624886,624886,0,0,292612977876,3053932143,618526,5535,825,380,391739,0 75,2,2024-09-07 09:11:01:349,448868,448868,0,0,20487435,0,4766 75,3,2024-09-07 09:11:01:072,1,356,1,0,702,4913,356,0 76,0,2024-09-07 09:11:00:617,89610,0.6,88906,0.7,178458,0.6,238415,2.25 76,1,2024-09-07 09:11:00:807,625993,625993,0,0,293211930257,3055363564,622067,3335,591,382,391692,0 76,2,2024-09-07 09:11:01:061,451450,451449,1,0,19660530,0,5144 76,3,2024-09-07 09:11:01:143,1,356,2,0,175,3344,356,0 77,0,2024-09-07 09:11:01:708,90005,0.6,90087,0.8,180277,0.6,239586,2.00 77,1,2024-09-07 09:11:00:829,625637,625637,0,0,293183214344,3061413792,620359,4787,491,381,391869,0 77,2,2024-09-07 09:11:01:296,451735,451735,0,0,19252406,0,3890 77,3,2024-09-07 09:11:01:095,1,356,2,0,401,3934,356,0 78,0,2024-09-07 09:11:01:754,89906,0.4,89349,0.6,179407,0.4,238396,2.00 78,1,2024-09-07 09:11:00:610,626309,626309,0,0,292677842073,3044652300,620377,4787,1145,367,391670,0 78,2,2024-09-07 09:11:01:406,451134,451134,0,0,16569869,0,3855 78,3,2024-09-07 09:11:01:133,1,356,1,0,181,3266,356,0 79,0,2024-09-07 09:11:01:367,85849,0.4,87979,0.6,179954,0.3,234154,2.25 79,1,2024-09-07 09:11:00:572,628230,628230,0,0,293855343711,3040606425,623671,3925,634,368,391682,0 79,2,2024-09-07 09:11:01:077,451436,451436,0,0,17538632,0,4195 79,3,2024-09-07 09:11:00:750,1,356,1,0,418,4420,356,0 80,0,2024-09-07 09:11:01:081,89364,0.5,92103,0.6,176457,0.5,238654,2.00 80,1,2024-09-07 09:11:01:621,626535,626535,0,0,293615472502,3051302499,623174,3180,181,368,391791,0 80,2,2024-09-07 09:11:01:091,452230,452230,0,0,16930898,0,4433 80,3,2024-09-07 09:11:00:577,1,356,1,0,190,4273,356,0 81,0,2024-09-07 09:11:01:581,89100,0.6,91312,0.7,174271,0.6,236590,2.00 81,1,2024-09-07 09:11:01:660,625217,625217,0,0,292699615391,3056397147,620346,4372,499,382,391879,0 81,2,2024-09-07 09:11:01:144,449897,449834,63,0,18799848,0,5932 81,3,2024-09-07 09:11:01:118,1,356,0,0,374,4031,356,0 82,0,2024-09-07 09:11:01:550,88846,0.5,89391,0.7,179164,0.4,237332,2.00 82,1,2024-09-07 09:11:00:588,627428,627424,0,4,294149416583,3058567722,623522,3105,797,381,391768,4 82,2,2024-09-07 09:11:01:704,451216,451216,0,0,15973505,0,3986 82,3,2024-09-07 09:11:01:752,1,356,9,0,363,3512,356,0 83,0,2024-09-07 09:11:01:555,88741,0.5,88401,0.7,176428,0.5,234747,2.00 83,1,2024-09-07 09:11:00:554,625608,625608,0,0,293316297076,3055260976,621291,3936,381,382,391709,0 83,2,2024-09-07 09:11:00:764,452292,452292,0,0,16526373,0,3393 83,3,2024-09-07 09:11:00:752,1,356,1,0,1260,4910,356,0 84,0,2024-09-07 09:11:01:789,88218,0.6,88095,0.8,176198,0.5,236055,2.00 84,1,2024-09-07 09:11:01:041,624969,624969,0,0,292731571170,3055250067,619200,5040,729,367,391967,0 84,2,2024-09-07 09:11:00:609,450002,450002,0,0,20710118,0,4757 84,3,2024-09-07 09:11:01:141,1,356,10,0,908,5227,356,0 85,0,2024-09-07 09:11:01:006,85687,0.6,85747,0.8,181880,0.7,235135,2.25 85,1,2024-09-07 09:11:00:566,623473,623473,0,0,292869912298,3085556435,614938,7163,1372,381,392006,0 85,2,2024-09-07 09:11:00:868,449180,449180,0,0,20420658,0,3656 85,3,2024-09-07 09:11:00:684,1,356,4,0,789,4544,356,0 86,0,2024-09-07 09:11:00:927,89318,0.7,91897,0.8,175866,0.7,237406,2.25 86,1,2024-09-07 09:11:00:826,625289,625289,0,0,293060925107,3064300275,618391,5832,1066,366,391961,0 86,2,2024-09-07 09:11:00:855,448879,448878,1,0,21421441,0,5004 86,3,2024-09-07 09:11:00:591,1,356,1,0,308,4799,356,0 87,0,2024-09-07 09:11:01:308,88712,0.8,88505,0.9,177712,1.0,237052,2.25 87,1,2024-09-07 09:11:00:560,625091,625091,0,0,292099288080,3051922844,618869,5608,614,366,392076,0 87,2,2024-09-07 09:11:01:067,451030,451030,0,0,19505166,0,4045 87,3,2024-09-07 09:11:01:794,1,356,0,0,473,5069,356,0 88,0,2024-09-07 09:11:01:480,88147,0.4,88819,0.6,176977,0.4,235902,1.75 88,1,2024-09-07 09:11:00:585,623724,623724,0,0,292409825249,3061633780,616015,6044,1665,365,392084,0 88,2,2024-09-07 09:11:00:688,450200,450200,0,0,21666675,0,3583 88,3,2024-09-07 09:11:01:274,1,356,2,0,435,3747,356,0 89,0,2024-09-07 09:11:01:801,92535,0.5,89517,0.6,177336,0.4,240519,1.75 89,1,2024-09-07 09:11:00:569,623907,623907,0,0,292356886831,3072604235,616144,6488,1275,382,391866,0 89,2,2024-09-07 09:11:01:138,448665,448665,0,0,20722649,0,2910 89,3,2024-09-07 09:11:01:793,1,356,5,0,385,6346,356,0 90,0,2024-09-07 09:11:01:628,87001,0.5,89428,0.6,182189,0.4,237677,2.00 90,1,2024-09-07 09:11:00:620,625295,625295,0,0,292894944439,3067823790,619071,5719,505,380,391825,0 90,2,2024-09-07 09:11:01:410,449530,449530,0,0,21950647,0,3060 90,3,2024-09-07 09:11:00:934,1,356,0,0,246,3885,356,0 91,0,2024-09-07 09:11:00:968,90528,0.5,87630,0.6,183000,0.4,240292,1.75 91,1,2024-09-07 09:11:00:747,623787,623787,0,0,292747060891,3076394828,615949,6791,1047,381,392047,0 91,2,2024-09-07 09:11:01:338,451390,451390,0,0,19882347,0,2896 91,3,2024-09-07 09:11:00:604,1,356,2,0,216,3300,356,0 92,0,2024-09-07 09:11:01:507,89311,0.4,91632,0.6,174961,0.4,237241,1.75 92,1,2024-09-07 09:11:00:585,625129,625129,0,0,292530576991,3055160419,620306,4134,689,382,392136,0 92,2,2024-09-07 09:11:01:355,450690,450690,0,0,17930796,0,3259 92,3,2024-09-07 09:11:01:011,1,356,7,0,167,3026,356,0 93,0,2024-09-07 09:11:00:973,90593,0.4,92798,0.5,177353,0.3,240001,1.75 93,1,2024-09-07 09:11:00:823,625655,625655,0,0,293110999996,3057864906,619525,5099,1031,366,391776,0 93,2,2024-09-07 09:11:00:933,449505,449505,0,0,21012879,0,4845 93,3,2024-09-07 09:11:01:410,1,356,0,0,190,3399,356,0 94,0,2024-09-07 09:11:01:598,89154,0.4,89957,0.5,179478,0.3,238160,1.75 94,1,2024-09-07 09:11:00:573,625612,625612,0,0,293116402448,3057417242,621552,3869,191,381,391850,0 94,2,2024-09-07 09:11:00:767,450661,450661,0,0,18127482,0,2443 94,3,2024-09-07 09:11:01:688,1,356,1,0,264,4327,356,0 95,0,2024-09-07 09:11:01:416,88798,0.4,88899,0.5,178077,0.3,237356,1.75 95,1,2024-09-07 09:11:00:869,627122,627122,0,0,293400344264,3049849480,622096,4625,401,367,391713,0 95,2,2024-09-07 09:11:01:016,450402,450402,0,0,17806184,0,3308 95,3,2024-09-07 09:11:01:709,1,356,1,0,718,5404,356,0 96,0,2024-09-07 09:11:01:043,89340,0.3,89520,0.5,178695,0.3,237353,1.75 96,1,2024-09-07 09:11:01:603,625264,625264,0,0,293190615663,3057450924,620731,3735,798,384,391955,0 96,2,2024-09-07 09:11:01:268,451624,451624,0,0,17670297,0,4042 96,3,2024-09-07 09:11:01:143,1,356,10,0,411,3802,356,0 97,0,2024-09-07 09:11:01:351,88277,0.3,88063,0.5,176737,0.2,234755,1.50 97,1,2024-09-07 09:11:00:764,627320,627320,0,0,293800085203,3044115124,623412,3234,674,367,392140,0 97,2,2024-09-07 09:11:00:608,451428,451428,0,0,17317597,0,3036 97,3,2024-09-07 09:11:00:571,1,356,6,0,214,4067,356,0 98,0,2024-09-07 09:11:01:696,89051,0.3,88863,0.4,178455,0.2,237206,1.50 98,1,2024-09-07 09:11:00:571,626458,626458,0,0,292815397389,3043838020,623780,2571,107,382,391997,0 98,2,2024-09-07 09:11:00:770,450264,450264,0,0,17144330,0,3080 98,3,2024-09-07 09:11:00:705,1,356,6,0,840,5480,356,0 99,0,2024-09-07 09:11:01:448,89660,0.3,90182,0.5,179335,0.3,239186,1.75 99,1,2024-09-07 09:11:01:723,626409,626409,0,0,293841449742,3057232694,622258,3395,756,381,392069,0 99,2,2024-09-07 09:11:01:438,450892,450892,0,0,19530750,0,3424 99,3,2024-09-07 09:11:00:588,1,356,3,0,199,3347,356,0 100,0,2024-09-07 09:11:01:675,88754,0.7,88785,0.9,177352,0.8,237220,2.25 100,1,2024-09-07 09:11:00:556,623078,623078,0,0,292097852909,3080880602,614596,6984,1498,381,391989,0 100,2,2024-09-07 09:11:01:822,451226,451215,11,0,19986100,0,5417 100,3,2024-09-07 09:11:01:740,1,356,5,0,559,6010,356,0 101,0,2024-09-07 09:11:01:699,90206,0.7,87872,0.9,172377,0.5,236863,2.00 101,1,2024-09-07 09:11:00:573,623075,623075,0,0,292140037223,3071837190,613973,7078,2024,368,391847,0 101,2,2024-09-07 09:11:01:763,447526,447526,0,0,23159429,0,4644 101,3,2024-09-07 09:11:00:947,1,356,1,0,579,4607,356,0 102,0,2024-09-07 09:11:01:094,87211,0.7,90164,0.8,182100,0.6,238247,2.00 102,1,2024-09-07 09:11:01:188,624123,624123,0,0,292236843861,3065181857,616795,6293,1035,369,391891,0 102,2,2024-09-07 09:11:01:736,449818,449764,54,0,19373114,0,6768 102,3,2024-09-07 09:11:01:613,1,356,1,0,410,3703,356,0 103,0,2024-09-07 09:11:01:590,92733,0.6,92631,0.7,174805,0.7,240328,2.00 103,1,2024-09-07 09:11:01:626,623141,623141,0,0,291937772531,3074645732,614313,6831,1997,381,391829,0 103,2,2024-09-07 09:11:00:596,449385,449385,0,0,20443936,0,3173 103,3,2024-09-07 09:11:00:775,1,356,8,0,916,4276,356,0 104,0,2024-09-07 09:11:01:095,88877,0.7,89319,0.9,177473,0.6,238179,2.25 104,1,2024-09-07 09:11:01:603,625129,625129,0,0,292173487245,3068604965,616205,7225,1699,365,392168,0 104,2,2024-09-07 09:11:01:667,450180,450180,0,0,21507621,0,3941 104,3,2024-09-07 09:11:01:429,1,356,4,0,1245,7569,356,0 105,0,2024-09-07 09:11:01:099,87160,0.7,84743,1.0,177489,0.8,233874,2.50 105,1,2024-09-07 09:11:00:570,625111,625111,0,0,293349140141,3073731083,616948,6826,1337,366,392009,0 105,2,2024-09-07 09:11:01:346,448697,448697,0,0,20764227,0,3509 105,3,2024-09-07 09:11:01:315,1,356,4,0,399,5677,356,0 106,0,2024-09-07 09:11:00:942,86762,0.8,88828,0.9,182021,0.9,237843,2.50 106,1,2024-09-07 09:11:01:753,624046,624046,0,0,293084355807,3077927568,614445,8307,1294,369,391914,0 106,2,2024-09-07 09:11:00:759,448084,448084,0,0,20511890,0,2795 106,3,2024-09-07 09:11:00:680,1,356,1,0,470,4437,356,0 107,0,2024-09-07 09:11:01:134,89740,1.1,89897,1.0,179617,1.5,239369,2.25 107,1,2024-09-07 09:11:00:590,623519,623519,0,0,292347504087,3077159714,615529,7074,916,381,392234,0 107,2,2024-09-07 09:11:01:292,449837,449836,1,0,21062683,0,5024 107,3,2024-09-07 09:11:01:759,1,356,1,0,353,4853,356,0 108,0,2024-09-07 09:11:01:802,89217,0.4,89850,0.6,178562,0.4,238895,1.75 108,1,2024-09-07 09:11:01:293,625879,625879,0,0,293099806026,3055435235,620783,4578,518,368,391857,0 108,2,2024-09-07 09:11:01:778,449222,449222,0,0,20180755,0,4246 108,3,2024-09-07 09:11:01:330,1,356,5,0,749,7093,356,0 109,0,2024-09-07 09:11:01:763,89164,0.4,88252,0.5,176777,0.3,236738,1.75 109,1,2024-09-07 09:11:00:599,623778,623778,0,0,293006394758,3070594726,618561,4552,665,383,392132,0 109,2,2024-09-07 09:11:00:926,448362,448362,0,0,19595938,0,3617 109,3,2024-09-07 09:11:01:140,1,356,1,0,379,4168,356,0 110,0,2024-09-07 09:11:01:773,89488,0.4,87000,0.6,182243,0.3,239401,1.75 110,1,2024-09-07 09:11:01:654,626917,626917,0,0,294238958983,3051056422,622909,3029,979,369,392045,0 110,2,2024-09-07 09:11:01:303,450342,450342,0,0,19387375,0,4067 110,3,2024-09-07 09:11:00:695,1,356,1,0,722,5215,356,0 111,0,2024-09-07 09:11:01:454,89552,0.4,88878,0.6,178274,0.4,238008,1.75 111,1,2024-09-07 09:11:01:003,627458,627458,0,0,294537479748,3054469106,624075,3030,353,382,391690,0 111,2,2024-09-07 09:11:01:116,449438,449438,0,0,18714279,0,4823 111,3,2024-09-07 09:11:00:913,1,356,2,0,379,4215,356,0 112,0,2024-09-07 09:11:00:909,90083,0.3,89394,0.4,179220,0.2,238084,1.50 112,1,2024-09-07 09:11:00:825,626869,626869,0,0,293674593832,3044230615,623391,2894,584,380,391624,0 112,2,2024-09-07 09:11:01:133,450294,450293,1,0,16951375,0,5036 112,3,2024-09-07 09:11:00:603,1,356,10,0,282,3539,356,0 113,0,2024-09-07 09:11:00:876,88256,0.3,88452,0.4,177448,0.2,235970,1.50 113,1,2024-09-07 09:11:01:687,628567,628567,0,0,294587708339,3037264305,625284,2699,584,366,391661,0 113,2,2024-09-07 09:11:01:304,453523,453523,0,0,15715605,0,3813 113,3,2024-09-07 09:11:00:684,1,356,0,0,340,4107,356,0 114,0,2024-09-07 09:11:00:875,89024,0.3,89772,0.4,177943,0.2,238246,1.75 114,1,2024-09-07 09:11:00:718,626796,626796,0,0,293903885972,3052000066,621650,3552,1594,381,391556,0 114,2,2024-09-07 09:11:00:873,450791,450790,1,0,17359893,0,5069 114,3,2024-09-07 09:11:01:282,1,356,1,0,395,3012,356,0 115,0,2024-09-07 09:11:00:558,88826,0.3,89527,0.4,178691,0.2,237459,1.50 115,1,2024-09-07 09:11:00:577,626338,626338,0,0,294283821750,3053505153,621472,4026,840,382,391757,0 115,2,2024-09-07 09:11:01:125,451309,451309,0,0,15969224,0,3453 115,3,2024-09-07 09:11:01:002,1,356,7,0,159,2095,356,0 116,0,2024-09-07 09:11:01:812,89141,0.8,88970,0.9,178518,0.9,238495,2.00 116,1,2024-09-07 09:11:00:812,623177,623177,0,0,292063062359,3076728188,615563,5345,2269,380,392089,0 116,2,2024-09-07 09:11:01:762,449761,449761,0,0,21456558,0,3529 116,3,2024-09-07 09:11:00:915,1,356,1,0,415,4328,356,0 117,0,2024-09-07 09:11:00:985,89221,0.7,88703,0.8,177661,0.7,237548,2.00 117,1,2024-09-07 09:11:01:616,624683,624683,0,0,292589059714,3059327546,618363,5651,669,369,392033,0 117,2,2024-09-07 09:11:01:118,454436,454436,0,0,17900820,0,3700 117,3,2024-09-07 09:11:01:063,1,356,31,0,490,5077,356,0 118,0,2024-09-07 09:11:01:771,85673,0.5,87876,0.6,179508,0.4,234984,2.00 118,1,2024-09-07 09:11:00:598,624149,624149,0,0,292113310265,3067095926,615345,6904,1900,366,391907,0 118,2,2024-09-07 09:11:01:586,448874,448874,0,0,19784532,0,2781 118,3,2024-09-07 09:11:01:769,1,356,1,0,235,3864,356,0 119,0,2024-09-07 09:11:01:361,89554,0.6,89920,0.7,179835,0.6,238863,2.00 119,1,2024-09-07 09:11:00:559,625796,625796,0,0,293024228274,3061311856,619215,5744,837,367,391780,0 119,2,2024-09-07 09:11:01:265,449926,449926,0,0,18452130,0,4174 119,3,2024-09-07 09:11:01:325,1,356,1,0,563,5331,356,0 120,0,2024-09-07 09:11:01:599,89359,0.6,89015,0.8,178162,0.6,238377,2.25 120,1,2024-09-07 09:11:00:858,624830,624830,0,0,292602433486,3068007798,618397,5873,560,368,391961,0 120,2,2024-09-07 09:11:00:769,450521,450520,1,0,21812119,0,5281 120,3,2024-09-07 09:11:01:291,1,356,19,0,241,4324,356,0 121,0,2024-09-07 09:11:01:711,89791,0.9,89943,1.0,180388,1.2,239904,2.25 121,1,2024-09-07 09:11:01:664,625378,625378,0,0,292127629506,3053505072,619455,5485,438,367,391840,0 121,2,2024-09-07 09:11:01:134,449976,449976,0,0,21009746,0,4127 121,3,2024-09-07 09:11:00:729,1,356,1,0,269,3969,356,0 122,0,2024-09-07 09:11:01:787,88205,0.7,86123,0.8,180756,0.8,237112,2.00 122,1,2024-09-07 09:11:00:858,624426,624426,0,0,292384815191,3065393194,615959,7204,1263,366,392130,0 122,2,2024-09-07 09:11:01:335,449418,449345,73,0,23490986,0,5989 122,3,2024-09-07 09:11:00:598,1,356,1,0,512,6657,356,0 123,0,2024-09-07 09:11:01:024,89677,0.6,87703,0.7,182926,0.7,239431,2.00 123,1,2024-09-07 09:11:00:558,624091,624091,0,0,292510625564,3081129565,612639,9324,2128,369,392039,0 123,2,2024-09-07 09:11:01:020,448471,448470,1,0,20297441,0,5215 123,3,2024-09-07 09:11:01:144,1,356,5,0,168,3943,356,0 124,0,2024-09-07 09:11:00:951,92232,0.4,92135,0.5,173830,0.3,239016,1.75 124,1,2024-09-07 09:11:01:022,626252,626252,0,0,292603261590,3042910810,621527,3814,911,367,392178,0 124,2,2024-09-07 09:11:01:018,452163,452110,53,0,18358344,0,6487 124,3,2024-09-07 09:11:00:777,1,356,0,0,490,3555,356,0 125,0,2024-09-07 09:11:01:438,89226,0.4,88749,0.6,178542,0.3,237735,1.75 125,1,2024-09-07 09:11:00:860,625210,625210,0,0,292603690544,3053494841,620325,4240,645,383,391702,0 125,2,2024-09-07 09:11:01:117,450810,450810,0,0,18975787,0,4534 125,3,2024-09-07 09:11:01:127,1,356,2,0,709,4667,356,0 126,0,2024-09-07 09:11:01:442,89169,0.4,91572,0.6,175552,0.4,238277,1.75 126,1,2024-09-07 09:11:00:560,626943,626943,0,0,293664162423,3041636224,623195,3461,287,365,391987,0 126,2,2024-09-07 09:11:00:610,451602,451602,0,0,19013428,0,4539 126,3,2024-09-07 09:11:00:911,1,356,12,0,207,4456,356,0 127,0,2024-09-07 09:11:01:624,88123,0.3,88565,0.5,176627,0.3,234857,1.75 127,1,2024-09-07 09:11:00:587,625922,625922,0,0,293538979428,3048113579,620494,4567,861,364,392187,0 127,2,2024-09-07 09:11:00:638,450019,450019,0,0,17538858,0,3897 127,3,2024-09-07 09:11:01:267,1,356,7,0,968,4100,356,0 128,0,2024-09-07 09:11:01:559,89279,0.3,89487,0.4,178958,0.2,237572,1.50 128,1,2024-09-07 09:11:01:611,626485,626485,0,0,293058574645,3041144721,622379,3734,372,367,391838,0 128,2,2024-09-07 09:11:01:383,450832,450832,0,0,16705969,0,2915 128,3,2024-09-07 09:11:00:772,1,356,2,0,1082,5959,356,0 129,0,2024-09-07 09:11:01:007,90233,0.3,89778,0.5,180110,0.3,239312,1.50 129,1,2024-09-07 09:11:00:702,623820,623820,0,0,292558185302,3061153392,618164,4399,1257,379,391835,0 129,2,2024-09-07 09:11:00:691,450634,450634,0,0,17316081,0,4031 129,3,2024-09-07 09:11:00:688,1,356,1,0,469,4537,356,0 130,0,2024-09-07 09:11:01:720,89583,0.5,89105,0.6,179306,0.5,238418,1.75 130,1,2024-09-07 09:11:00:599,626419,626419,0,0,293280392125,3047285923,622997,3142,280,381,391825,0 130,2,2024-09-07 09:11:01:125,453816,453816,0,0,17241333,0,4067 130,3,2024-09-07 09:11:01:304,1,356,1,0,535,4755,356,0 131,0,2024-09-07 09:11:01:927,88088,0.3,88616,0.5,178201,0.3,236422,1.50 131,1,2024-09-07 09:11:01:834,626321,626321,0,0,293058125193,3056330240,621834,3739,748,381,391865,0 131,2,2024-09-07 09:11:00:581,451805,451805,0,0,15903606,0,2415 131,3,2024-09-07 09:11:01:689,1,356,2,0,392,3659,356,0 132,0,2024-09-07 09:11:01:451,89524,0.4,90393,0.6,179908,0.4,238924,1.75 132,1,2024-09-07 09:11:00:580,623474,623474,0,0,291991766881,3071117971,614825,7182,1467,381,392097,0 132,2,2024-09-07 09:11:00:701,449319,449319,0,0,20930099,0,4606 132,3,2024-09-07 09:11:01:688,1,356,2,0,804,6246,356,0 133,0,2024-09-07 09:11:01:541,87645,0.5,89596,0.6,183899,0.4,239044,1.75 133,1,2024-09-07 09:11:00:585,623444,623444,0,0,292194973274,3075757664,615257,7102,1085,383,391914,0 133,2,2024-09-07 09:11:01:087,449939,449939,0,0,22268248,0,4315 133,3,2024-09-07 09:11:01:297,1,356,17,0,479,3704,356,0 134,0,2024-09-07 09:11:00:936,90087,0.5,89925,0.7,179861,0.4,239470,2.00 134,1,2024-09-07 09:11:00:585,624356,624356,0,0,292132762767,3063765253,616027,6473,1856,366,391718,0 134,2,2024-09-07 09:11:01:765,450836,450836,0,0,18597669,0,3847 134,3,2024-09-07 09:11:00:752,1,356,2,0,739,4491,356,0 135,0,2024-09-07 09:11:01:116,85246,0.6,85264,0.8,180791,0.6,233600,2.00 135,1,2024-09-07 09:11:01:586,624668,624668,0,0,292866232145,3078953751,616227,7204,1237,380,391805,0 135,2,2024-09-07 09:11:00:687,450487,450487,0,0,20167689,0,3981 135,3,2024-09-07 09:11:01:012,1,356,1,0,299,2675,356,0 136,0,2024-09-07 09:11:01:626,90136,0.5,90373,0.7,179805,0.5,239687,2.00 136,1,2024-09-07 09:11:01:443,624251,624251,0,0,292260516628,3066164648,617222,6331,698,382,391685,0 136,2,2024-09-07 09:11:01:135,449975,449975,0,0,19988548,0,3506 136,3,2024-09-07 09:11:01:106,1,356,1,0,637,3948,356,0 137,0,2024-09-07 09:11:00:929,92622,0.6,90143,0.8,177159,0.7,240729,2.00 137,1,2024-09-07 09:11:00:575,624078,624078,0,0,292821090913,3071160091,614453,7742,1883,366,391898,0 137,2,2024-09-07 09:11:01:705,450383,450383,0,0,22430824,0,3185 137,3,2024-09-07 09:11:00:769,1,356,2,0,382,4115,356,0 138,0,2024-09-07 09:11:01:786,88949,1.2,89037,1.0,178783,1.7,237861,2.25 138,1,2024-09-07 09:11:01:689,625147,625147,0,0,293004591241,3073767337,616092,7459,1596,368,391954,0 138,2,2024-09-07 09:11:00:591,449913,449913,0,0,20029425,0,4988 138,3,2024-09-07 09:11:00:616,1,356,1,0,1160,4900,356,0 139,0,2024-09-07 09:11:01:376,87606,1.0,87929,1.0,176123,1.4,234790,2.25 139,1,2024-09-07 09:11:00:577,622257,622257,0,0,291018906453,3088490702,610875,8734,2648,381,392058,0 139,2,2024-09-07 09:11:00:692,447571,447571,0,0,21895810,0,3097 139,3,2024-09-07 09:11:01:664,1,356,1,0,257,4103,356,0 140,0,2024-09-07 09:11:01:588,89800,0.3,89358,0.5,179336,0.2,239562,1.75 140,1,2024-09-07 09:11:01:536,628364,628364,0,0,293858912793,3029613922,625157,2768,439,365,391606,0 140,2,2024-09-07 09:11:00:686,450706,450705,1,0,18007871,0,5036 140,3,2024-09-07 09:11:00:767,1,356,1,0,247,2842,356,0 141,0,2024-09-07 09:11:01:701,89387,0.3,91745,0.5,175318,0.3,238022,1.75 141,1,2024-09-07 09:11:00:859,626784,626784,0,0,293853331982,3051454871,622218,3704,862,379,391614,0 141,2,2024-09-07 09:11:01:686,450621,450621,0,0,17619311,0,3360 141,3,2024-09-07 09:11:01:047,1,356,2,0,391,4037,356,0 142,0,2024-09-07 09:11:01:351,90189,0.3,89362,0.5,178671,0.3,238569,1.75 142,1,2024-09-07 09:11:00:585,626481,626481,0,0,292948081394,3053263090,622510,3619,352,382,392102,0 142,2,2024-09-07 09:11:01:299,449860,449828,32,0,19032692,0,6028 142,3,2024-09-07 09:11:01:748,1,356,13,0,484,4224,356,0 143,0,2024-09-07 09:11:01:412,88675,0.4,88453,0.5,177325,0.4,236276,1.75 143,1,2024-09-07 09:11:00:558,627019,627019,0,0,293696786753,3045243914,623237,3630,152,367,391705,0 143,2,2024-09-07 09:11:00:771,452521,452521,0,0,18440128,0,3123 143,3,2024-09-07 09:11:01:140,1,356,2,0,303,4251,356,0 144,0,2024-09-07 09:11:01:620,85975,0.5,88184,0.7,179951,0.4,236233,2.00 144,1,2024-09-07 09:11:00:570,624018,624018,0,0,292310249639,3061060627,619141,3936,941,381,391649,0 144,2,2024-09-07 09:11:01:769,451168,451168,0,0,16639307,0,3473 144,3,2024-09-07 09:11:01:747,1,356,1,0,249,3499,356,0 145,0,2024-09-07 09:11:01:362,85990,0.6,86055,0.8,182500,0.5,234768,2.25 145,1,2024-09-07 09:11:00:554,623670,623670,0,0,292848752644,3075566090,616306,6223,1141,382,391759,0 145,2,2024-09-07 09:11:01:443,448474,448474,0,0,20146763,0,3903 145,3,2024-09-07 09:11:00:896,1,356,161,0,622,5337,356,0 146,0,2024-09-07 09:11:01:593,89392,0.6,88808,0.7,179014,0.5,237147,2.25 146,1,2024-09-07 09:11:01:587,624833,624833,0,0,291672695716,3065747683,614952,7870,2011,368,391770,0 146,2,2024-09-07 09:11:01:696,448952,448952,0,0,20046236,0,2730 146,3,2024-09-07 09:11:01:274,1,356,3,0,1520,7256,356,0 147,0,2024-09-07 09:11:01:719,89210,0.6,88896,0.7,177135,0.5,237078,2.00 147,1,2024-09-07 09:11:01:384,626516,626516,0,0,293163469414,3049020861,620846,4869,801,368,391791,0 147,2,2024-09-07 09:11:01:016,452121,452121,0,0,17919724,0,2789 147,3,2024-09-07 09:11:00:913,1,356,2,0,730,5104,356,0 0,0,2024-09-07 09:11:11:745,87248,0.6,87254,0.8,185180,0.7,239061,2.00 0,1,2024-09-07 09:11:10:801,627001,627001,0,0,293940412220,3071161736,622860,3895,246,369,391896,0 0,2,2024-09-07 09:11:11:067,453028,453028,0,0,17779217,0,4480 0,3,2024-09-07 09:11:10:974,1,357,6,0,431,4764,357,0 1,0,2024-09-07 09:11:11:761,90255,0.8,89761,0.9,180111,0.9,240180,2.00 1,1,2024-09-07 09:11:10:559,626050,626050,0,0,294067692499,3076683833,620415,4406,1229,370,391857,0 1,2,2024-09-07 09:11:10:641,451411,451411,0,0,17198038,0,3267 1,3,2024-09-07 09:11:11:303,1,357,0,0,262,4085,357,0 2,0,2024-09-07 09:11:11:566,88958,0.6,89150,0.7,177348,0.6,237748,2.00 2,1,2024-09-07 09:11:10:858,628423,628423,0,0,294698965537,3057783925,625521,2609,293,380,391745,0 2,2,2024-09-07 09:11:11:280,451892,451892,0,0,16837834,0,3594 2,3,2024-09-07 09:11:10:690,1,357,1,0,357,3731,357,0 3,0,2024-09-07 09:11:11:756,90111,0.4,89951,0.6,179822,0.4,239335,2.00 3,1,2024-09-07 09:11:11:619,626739,626739,0,0,293456675253,3053286349,621639,4477,623,380,391591,0 3,2,2024-09-07 09:11:11:142,451132,451109,23,0,17399835,0,5851 3,3,2024-09-07 09:11:11:752,1,357,1,0,207,2247,357,0 4,0,2024-09-07 09:11:11:761,87124,0.4,89585,0.5,182377,0.4,238662,1.75 4,1,2024-09-07 09:11:10:601,625675,625675,0,0,293423825053,3086550302,618212,6105,1358,370,391992,0 4,2,2024-09-07 09:11:11:020,451029,451029,0,0,20256801,0,4528 4,3,2024-09-07 09:11:11:028,1,357,8,0,448,4899,357,0 5,0,2024-09-07 09:11:11:374,89125,0.4,89561,0.6,178766,0.4,237926,1.75 5,1,2024-09-07 09:11:10:756,626523,626523,0,0,293309862990,3079846248,619347,5819,1357,367,392005,0 5,2,2024-09-07 09:11:11:836,449905,449905,0,0,19315227,0,2432 5,3,2024-09-07 09:11:11:732,1,357,1,0,457,5052,357,0 6,0,2024-09-07 09:11:10:917,89916,0.4,89350,0.6,178498,0.4,238642,1.75 6,1,2024-09-07 09:11:10:747,626581,626581,0,0,293759902491,3066761837,619961,5535,1085,379,391694,0 6,2,2024-09-07 09:11:11:120,451621,451621,0,0,18407780,0,4816 6,3,2024-09-07 09:11:11:280,1,357,1,0,340,3762,357,0 7,0,2024-09-07 09:11:11:533,88208,0.4,88741,0.6,176759,0.4,235462,1.75 7,1,2024-09-07 09:11:10:850,625691,625691,0,0,293603269398,3078177768,617618,6897,1176,382,391747,0 7,2,2024-09-07 09:11:10:770,452140,452140,0,0,18605613,0,4791 7,3,2024-09-07 09:11:10:851,1,357,1,0,398,3877,357,0 8,0,2024-09-07 09:11:11:329,89394,0.4,89218,0.5,178591,0.3,238250,1.75 8,1,2024-09-07 09:11:11:023,624711,624711,0,0,293481197764,3086283007,614555,7878,2278,366,392144,0 8,2,2024-09-07 09:11:10:791,447881,447881,0,0,23055819,0,3220 8,3,2024-09-07 09:11:10:591,1,357,15,0,538,6071,357,0 9,0,2024-09-07 09:11:11:107,89997,0.4,87407,0.5,182916,0.3,239449,1.75 9,1,2024-09-07 09:11:10:550,625514,625514,0,0,292676488342,3082436094,616437,6951,2126,369,392001,0 9,2,2024-09-07 09:11:11:084,450541,450541,0,0,20386578,0,3360 9,3,2024-09-07 09:11:11:751,1,357,2,0,496,5201,357,0 10,0,2024-09-07 09:11:11:601,89469,0.3,88827,0.5,178452,0.2,237699,1.75 10,1,2024-09-07 09:11:10:590,626304,626304,0,0,292880945464,3065366660,618418,6776,1110,381,391741,0 10,2,2024-09-07 09:11:10:763,453486,453486,0,0,22062225,0,4264 10,3,2024-09-07 09:11:10:873,1,357,1,0,296,3241,357,0 11,0,2024-09-07 09:11:11:007,88400,0.4,85882,0.6,179490,0.3,237384,1.75 11,1,2024-09-07 09:11:10:575,627017,627017,0,0,294190035176,3087070030,616993,7576,2448,383,391756,0 11,2,2024-09-07 09:11:11:123,450900,450900,0,0,19797752,0,4130 11,3,2024-09-07 09:11:11:303,1,357,1,0,843,5401,357,0 12,0,2024-09-07 09:11:10:944,90362,0.4,90379,0.5,180448,0.3,239466,1.75 12,1,2024-09-07 09:11:10:936,626535,626535,0,0,293425820722,3058317713,621664,4378,493,370,391870,0 12,2,2024-09-07 09:11:11:543,451445,451445,0,0,19594750,0,3469 12,3,2024-09-07 09:11:11:059,1,357,7,0,386,5665,357,0 13,0,2024-09-07 09:11:11:326,90689,0.4,90323,0.6,180373,0.4,240170,1.75 13,1,2024-09-07 09:11:11:524,625479,625479,0,0,292827973147,3076823744,619562,4506,1411,382,391740,0 13,2,2024-09-07 09:11:10:610,452622,452622,0,0,18005469,0,3287 13,3,2024-09-07 09:11:11:762,1,357,5,0,522,5636,357,0 14,0,2024-09-07 09:11:10:568,90036,0.4,90784,0.6,179688,0.3,239333,1.75 14,1,2024-09-07 09:11:11:561,630006,630006,0,0,294466622874,3047855250,625316,4331,359,364,391571,0 14,2,2024-09-07 09:11:10:764,452531,452501,30,0,19549835,0,6104 14,3,2024-09-07 09:11:11:116,1,357,10,0,1168,4234,357,0 15,0,2024-09-07 09:11:11:561,88347,0.4,88342,0.6,176988,0.3,235906,1.75 15,1,2024-09-07 09:11:11:608,627334,627334,0,0,293836681390,3057527899,622988,3523,823,381,391619,0 15,2,2024-09-07 09:11:10:998,452324,452324,0,0,16062853,0,3622 15,3,2024-09-07 09:11:11:408,1,357,1,0,1126,6303,357,0 16,0,2024-09-07 09:11:10:980,89997,0.6,90315,0.7,180413,0.5,239726,2.00 16,1,2024-09-07 09:11:10:571,627973,627973,0,0,293806658105,3064807782,623649,3961,363,370,391756,0 16,2,2024-09-07 09:11:11:442,451011,451011,0,0,18589405,0,4719 16,3,2024-09-07 09:11:11:142,1,357,1,0,317,4761,357,0 17,0,2024-09-07 09:11:11:776,92808,0.6,90524,0.8,177302,0.7,240486,2.00 17,1,2024-09-07 09:11:10:575,625699,625699,0,0,293947121189,3081563781,619453,4987,1259,368,392075,0 17,2,2024-09-07 09:11:11:673,454740,454740,0,0,18314466,0,2857 17,3,2024-09-07 09:11:10:574,1,357,9,0,298,5777,357,0 18,0,2024-09-07 09:11:10:964,88786,0.6,89142,0.8,177854,0.6,237460,2.25 18,1,2024-09-07 09:11:11:639,628638,628638,0,0,294605088715,3051149487,625287,3040,311,367,391649,0 18,2,2024-09-07 09:11:11:756,453590,453590,0,0,16903927,0,3541 18,3,2024-09-07 09:11:10:896,1,357,1,0,163,3005,357,0 19,0,2024-09-07 09:11:11:550,88556,0.5,89249,0.7,176610,0.5,235572,2.00 19,1,2024-09-07 09:11:10:571,628786,628786,0,0,294825512763,3056398247,623658,4289,839,367,391777,0 19,2,2024-09-07 09:11:11:752,452717,452717,0,0,15437465,0,3988 19,3,2024-09-07 09:11:11:129,1,357,3,0,524,2737,357,0 20,0,2024-09-07 09:11:11:353,89746,0.5,89787,0.6,179420,0.4,239585,2.00 20,1,2024-09-07 09:11:10:575,626791,626791,0,0,294236231271,3069766786,622444,3939,408,369,391886,0 20,2,2024-09-07 09:11:10:928,451890,451890,0,0,18689183,0,3721 20,3,2024-09-07 09:11:10:601,1,357,1,0,414,5476,357,0 21,0,2024-09-07 09:11:11:184,89509,0.5,89612,0.6,178925,0.5,237705,2.00 21,1,2024-09-07 09:11:11:540,625548,625548,0,0,292143676220,3074491856,617543,6122,1883,368,392016,0 21,2,2024-09-07 09:11:11:071,449593,449593,0,0,21809501,0,3747 21,3,2024-09-07 09:11:11:415,1,357,1,0,713,4173,357,0 22,0,2024-09-07 09:11:11:718,89177,0.5,89564,0.7,179267,0.5,237297,2.00 22,1,2024-09-07 09:11:11:023,626690,626690,0,0,293118840845,3075974455,618198,6672,1820,382,391667,0 22,2,2024-09-07 09:11:10:760,450168,450168,0,0,17730567,0,3134 22,3,2024-09-07 09:11:11:066,1,357,4,0,228,2924,357,0 23,0,2024-09-07 09:11:11:370,88343,0.5,88298,0.7,176942,0.5,236073,2.25 23,1,2024-09-07 09:11:11:009,627143,627143,0,0,294798682600,3091499388,616647,7336,3160,365,391690,0 23,2,2024-09-07 09:11:11:113,453917,453917,0,0,17602878,0,3010 23,3,2024-09-07 09:11:11:754,1,357,51,0,720,4834,357,0 24,0,2024-09-07 09:11:10:826,89464,0.4,89397,0.5,179228,0.3,238246,1.75 24,1,2024-09-07 09:11:10:591,625761,625761,0,0,292856381925,3069833367,618855,5374,1532,367,392269,0 24,2,2024-09-07 09:11:11:071,450560,450560,0,0,21555896,0,3607 24,3,2024-09-07 09:11:11:690,1,357,1,0,468,4627,357,0 25,0,2024-09-07 09:11:11:385,91631,0.4,89358,0.6,175055,0.4,238743,2.00 25,1,2024-09-07 09:11:10:571,626837,626837,0,0,292780554547,3071449592,618940,6519,1378,371,391928,0 25,2,2024-09-07 09:11:11:625,449895,449895,0,0,21730250,0,3978 25,3,2024-09-07 09:11:11:000,1,357,1,0,255,3481,357,0 26,0,2024-09-07 09:11:11:722,89373,0.4,87237,0.6,183027,0.4,238271,1.75 26,1,2024-09-07 09:11:11:551,627108,627108,0,0,293785790910,3075243530,618220,7352,1536,380,391748,0 26,2,2024-09-07 09:11:10:861,451386,451386,0,0,21600477,0,2809 26,3,2024-09-07 09:11:11:712,1,357,1,0,796,4455,357,0 27,0,2024-09-07 09:11:11:729,89555,0.4,89672,0.6,177737,0.4,237664,2.00 27,1,2024-09-07 09:11:11:683,629141,629141,0,0,294915048946,3067662273,623833,4542,766,381,391626,0 27,2,2024-09-07 09:11:10:867,450652,450587,65,0,21017797,0,5699 27,3,2024-09-07 09:11:11:017,1,357,1,0,564,3672,357,0 28,0,2024-09-07 09:11:11:395,88855,0.4,88585,0.6,177377,0.3,237369,2.00 28,1,2024-09-07 09:11:10:797,628065,628065,0,0,295107113374,3072976338,623563,3797,705,383,391698,0 28,2,2024-09-07 09:11:11:770,451152,451152,0,0,18765705,0,2915 28,3,2024-09-07 09:11:11:784,1,357,1,0,502,3773,357,0 29,0,2024-09-07 09:11:11:362,92476,0.4,90078,0.6,176778,0.3,240602,1.75 29,1,2024-09-07 09:11:11:561,629607,629607,0,0,294817772942,3051246276,625264,3658,685,369,391753,0 29,2,2024-09-07 09:11:10:863,449923,449923,0,0,18076280,0,4986 29,3,2024-09-07 09:11:10:965,1,357,1,0,459,4410,357,0 30,0,2024-09-07 09:11:11:461,89488,0.5,86937,0.7,181946,0.5,238042,2.00 30,1,2024-09-07 09:11:10:571,629075,629075,0,0,294944394513,3061209317,624255,4110,710,381,391672,0 30,2,2024-09-07 09:11:11:281,452576,452576,0,0,17044162,0,3161 30,3,2024-09-07 09:11:10:589,1,357,0,0,519,3596,357,0 31,0,2024-09-07 09:11:11:778,89759,0.4,90253,0.6,180252,0.3,239884,2.00 31,1,2024-09-07 09:11:10:571,631142,631142,0,0,295473222797,3028858267,628594,1989,559,356,391712,0 31,2,2024-09-07 09:11:11:280,451995,451995,0,0,18852373,0,3525 31,3,2024-09-07 09:11:11:708,1,357,1,0,220,3025,357,0 32,0,2024-09-07 09:11:11:437,88995,0.3,89456,0.5,178773,0.2,238072,1.50 32,1,2024-09-07 09:11:10:815,628537,628537,0,0,294543807248,3056525709,625370,2721,446,381,391646,0 32,2,2024-09-07 09:11:10:944,451781,451781,0,0,15827053,0,3155 32,3,2024-09-07 09:11:11:017,1,357,1,0,227,2477,357,0 33,0,2024-09-07 09:11:11:492,90272,0.3,89828,0.4,180231,0.2,239643,1.50 33,1,2024-09-07 09:11:10:575,629865,629865,0,0,294872756872,3051405179,625421,3613,831,369,391730,0 33,2,2024-09-07 09:11:10:758,452428,452393,35,0,18024735,0,7012 33,3,2024-09-07 09:11:10:895,1,357,27,0,329,3629,357,0 34,0,2024-09-07 09:11:10:931,89906,0.3,92515,0.4,176982,0.2,238076,1.75 34,1,2024-09-07 09:11:11:051,630547,630547,0,0,295946179470,3040096651,629121,1417,9,367,391562,0 34,2,2024-09-07 09:11:10:765,452571,452571,0,0,17208861,0,3577 34,3,2024-09-07 09:11:11:690,1,357,0,0,299,2522,357,0 35,0,2024-09-07 09:11:10:856,88568,0.3,88869,0.5,178882,0.3,238572,1.75 35,1,2024-09-07 09:11:11:067,628509,628509,0,0,295028192557,3054718282,624892,2836,781,382,391589,0 35,2,2024-09-07 09:11:11:594,451745,451745,0,0,17476105,0,2653 35,3,2024-09-07 09:11:10:907,1,357,13,0,418,4303,357,0 36,0,2024-09-07 09:11:11:524,89851,0.4,89799,0.6,179713,0.4,238377,2.00 36,1,2024-09-07 09:11:10:583,628231,628231,0,0,294184102745,3068677897,620913,5660,1658,366,391759,0 36,2,2024-09-07 09:11:11:758,452468,452468,0,0,19556229,0,3875 36,3,2024-09-07 09:11:10:863,1,357,1,0,416,5405,357,0 37,0,2024-09-07 09:11:11:368,88209,0.4,88065,0.6,176440,0.4,235863,2.00 37,1,2024-09-07 09:11:10:569,627347,627340,0,7,293618317625,3063542377,620565,4689,2086,365,391570,0 37,2,2024-09-07 09:11:11:142,450481,450466,15,0,19338505,0,5815 37,3,2024-09-07 09:11:11:769,1,357,2,0,888,5670,357,0 38,0,2024-09-07 09:11:11:437,88704,0.5,86136,0.7,180314,0.4,236098,2.00 38,1,2024-09-07 09:11:11:605,629124,629124,0,0,294556231736,3062702243,623062,5303,759,368,391821,0 38,2,2024-09-07 09:11:10:760,451106,451059,47,0,19670761,0,6710 38,3,2024-09-07 09:11:10:997,1,357,1,0,689,4746,357,0 39,0,2024-09-07 09:11:11:780,91554,0.6,89628,0.7,174538,0.5,238339,2.00 39,1,2024-09-07 09:11:10:715,627506,627506,0,0,294051352050,3071956551,619070,6975,1461,365,391658,0 39,2,2024-09-07 09:11:11:418,451237,451237,0,0,17704885,0,2689 39,3,2024-09-07 09:11:10:714,1,357,2,0,324,4343,357,0 40,0,2024-09-07 09:11:11:488,88590,0.7,89200,0.9,178007,0.7,237472,2.75 40,1,2024-09-07 09:11:10:592,628112,628112,0,0,293272962177,3066971898,619525,7076,1511,368,391668,0 40,2,2024-09-07 09:11:11:304,452429,452428,1,0,21325017,0,5137 40,3,2024-09-07 09:11:11:151,1,357,7,0,1028,5113,357,0 41,0,2024-09-07 09:11:11:026,88228,1.1,90358,1.1,172361,1.6,235158,2.75 41,1,2024-09-07 09:11:10:771,626677,626677,0,0,293435952273,3065171756,619619,6353,705,369,391742,0 41,2,2024-09-07 09:11:10:760,450027,450027,0,0,20228209,0,3356 41,3,2024-09-07 09:11:11:676,1,357,1,0,366,3536,357,0 42,0,2024-09-07 09:11:11:475,88889,0.9,88993,1.0,178310,1.1,235516,2.75 42,1,2024-09-07 09:11:11:443,625851,625851,0,0,292643845402,3071571296,616304,7789,1758,380,391675,0 42,2,2024-09-07 09:11:11:136,450012,450012,0,0,20514977,0,3790 42,3,2024-09-07 09:11:11:016,1,357,1,0,446,3108,357,0 43,0,2024-09-07 09:11:10:944,88852,0.9,86490,1.0,181396,1.0,237619,2.50 43,1,2024-09-07 09:11:10:578,627263,627263,0,0,294860107855,3076326724,619027,6798,1438,366,391696,0 43,2,2024-09-07 09:11:11:736,451597,451597,0,0,20199285,0,3812 43,3,2024-09-07 09:11:11:750,1,357,2,0,467,4343,357,0 44,0,2024-09-07 09:11:10:859,89964,0.4,90342,0.6,180234,0.4,239519,1.75 44,1,2024-09-07 09:11:10:573,628649,628649,0,0,294581978490,3043774211,623586,4016,1047,356,391809,0 44,2,2024-09-07 09:11:11:271,452043,452043,0,0,17089750,0,4344 44,3,2024-09-07 09:11:11:113,1,357,0,0,817,4534,357,0 45,0,2024-09-07 09:11:11:765,87404,0.4,85293,0.7,178846,0.4,236040,2.00 45,1,2024-09-07 09:11:11:010,628386,628386,0,0,294941914784,3062837294,623948,3962,476,382,391917,0 45,2,2024-09-07 09:11:11:268,451264,451264,0,0,17531108,0,3596 45,3,2024-09-07 09:11:10:939,1,357,1,0,271,3331,357,0 46,0,2024-09-07 09:11:10:955,89430,0.5,89416,0.7,178829,0.5,237320,2.00 46,1,2024-09-07 09:11:10:575,629711,629711,0,0,295060265738,3045552216,625994,3291,426,366,391572,0 46,2,2024-09-07 09:11:10:598,451686,451686,0,0,16642081,0,2920 46,3,2024-09-07 09:11:11:137,1,357,1,0,908,5059,357,0 47,0,2024-09-07 09:11:11:101,90344,0.5,90618,0.6,181611,0.4,239877,2.00 47,1,2024-09-07 09:11:10:571,630515,630515,0,0,294476054089,3044414604,626027,3673,815,366,391641,0 47,2,2024-09-07 09:11:10:916,454370,454370,0,0,17341551,0,4477 47,3,2024-09-07 09:11:11:118,1,357,0,0,529,3768,357,0 48,0,2024-09-07 09:11:11:491,90269,0.3,89916,0.4,179397,0.2,239614,1.50 48,1,2024-09-07 09:11:11:029,628621,628621,0,0,294830105000,3059793902,625020,3297,304,384,391710,0 48,2,2024-09-07 09:11:10:699,451509,451509,0,0,15658807,0,3031 48,3,2024-09-07 09:11:10:753,1,357,1,0,339,2950,357,0 49,0,2024-09-07 09:11:11:747,91331,0.3,89755,0.5,173636,0.2,238387,1.75 49,1,2024-09-07 09:11:11:032,628084,628084,0,0,294281836060,3061526939,623754,3145,1185,382,391809,0 49,2,2024-09-07 09:11:11:801,452190,452190,0,0,16764009,0,4426 49,3,2024-09-07 09:11:11:417,1,357,1,0,408,3624,357,0 50,0,2024-09-07 09:11:11:506,90345,0.3,88857,0.4,179345,0.2,239256,1.75 50,1,2024-09-07 09:11:11:022,630252,630252,0,0,295307690791,3050366657,626430,3448,374,368,391565,0 50,2,2024-09-07 09:11:11:068,451885,451885,0,0,15862747,0,2263 50,3,2024-09-07 09:11:11:293,1,357,1,0,335,3355,357,0 51,0,2024-09-07 09:11:11:684,91828,0.3,89761,0.5,175138,0.2,238775,1.75 51,1,2024-09-07 09:11:11:683,630105,630105,0,0,295779921503,3049202151,626842,2287,976,365,391706,0 51,2,2024-09-07 09:11:11:324,451924,451924,0,0,15482820,0,3337 51,3,2024-09-07 09:11:11:028,1,357,1,0,678,2611,357,0 52,0,2024-09-07 09:11:11:420,89716,0.5,89711,0.7,179218,0.4,238224,2.00 52,1,2024-09-07 09:11:10:574,627485,627485,0,0,293760754780,3072242993,618842,7329,1314,368,391722,0 52,2,2024-09-07 09:11:11:772,448133,448095,38,0,20040855,0,6742 52,3,2024-09-07 09:11:10:676,1,357,1,0,1782,5268,357,0 53,0,2024-09-07 09:11:11:736,88207,0.6,85726,0.7,179358,0.6,235551,2.25 53,1,2024-09-07 09:11:10:771,626006,626006,0,0,294100109483,3082650041,616377,7045,2584,367,391702,0 53,2,2024-09-07 09:11:11:303,453693,453693,0,0,17433625,0,2727 53,3,2024-09-07 09:11:10:696,1,357,1,0,308,3270,357,0 54,0,2024-09-07 09:11:11:622,88007,0.5,88428,0.7,176016,0.4,235507,2.25 54,1,2024-09-07 09:11:10:585,627901,627901,0,0,294926652163,3061219989,622235,5064,602,366,391659,0 54,2,2024-09-07 09:11:10:868,451759,451753,6,0,19796800,0,5382 54,3,2024-09-07 09:11:10:764,1,357,1,0,676,5257,357,0 55,0,2024-09-07 09:11:11:770,86233,0.6,89214,0.7,180006,0.5,234632,2.50 55,1,2024-09-07 09:11:10:765,627999,627999,0,0,294177356249,3057354294,621372,5718,909,365,391731,0 55,2,2024-09-07 09:11:10:729,450221,450221,0,0,19162469,0,3563 55,3,2024-09-07 09:11:10:679,1,357,8,0,304,3862,357,0 56,0,2024-09-07 09:11:11:551,91464,1.1,86192,1.1,177804,1.6,237974,2.50 56,1,2024-09-07 09:11:10:572,625002,625002,0,0,293833959859,3097162592,616257,7114,1631,381,391867,0 56,2,2024-09-07 09:11:11:310,450846,450846,0,0,20310307,0,3567 56,3,2024-09-07 09:11:11:065,1,357,2,0,705,4531,357,0 57,0,2024-09-07 09:11:10:942,88086,1.3,87998,1.2,176342,1.8,236097,2.75 57,1,2024-09-07 09:11:10:986,626842,626842,0,0,293946773487,3076586707,619967,6155,720,366,392032,0 57,2,2024-09-07 09:11:11:324,453691,453691,0,0,21939524,0,3317 57,3,2024-09-07 09:11:11:738,1,357,1,0,359,4066,357,0 58,0,2024-09-07 09:11:10:559,87437,0.8,85045,0.9,177978,0.8,234046,2.50 58,1,2024-09-07 09:11:10:584,627494,627491,0,3,294612605957,3075859787,619364,7045,1082,367,391603,3 58,2,2024-09-07 09:11:11:073,450487,450487,0,0,20048050,0,2549 58,3,2024-09-07 09:11:11:073,1,357,2,0,1043,3763,357,0 59,0,2024-09-07 09:11:11:743,89788,0.8,89371,0.9,178480,0.8,237142,2.50 59,1,2024-09-07 09:11:10:804,626679,626679,0,0,293998847780,3073649263,618797,6359,1523,369,391586,0 59,2,2024-09-07 09:11:10:583,451160,451160,0,0,19874610,0,2867 59,3,2024-09-07 09:11:11:737,1,357,1,0,1015,4405,357,0 60,0,2024-09-07 09:11:11:717,89687,0.5,89757,0.7,180147,0.5,238869,1.75 60,1,2024-09-07 09:11:10:773,629034,629034,0,0,295069652563,3061624881,624909,3476,649,370,392031,0 60,2,2024-09-07 09:11:11:140,451941,451941,0,0,19415991,0,3811 60,3,2024-09-07 09:11:11:258,1,357,2,0,409,4208,357,0 61,0,2024-09-07 09:11:11:489,89859,0.6,90540,0.8,180076,0.6,239799,2.00 61,1,2024-09-07 09:11:10:784,626840,626840,0,0,293741019453,3077376885,619623,5892,1325,382,392127,0 61,2,2024-09-07 09:11:11:125,452008,451941,67,0,19722026,0,6411 61,3,2024-09-07 09:11:11:691,1,357,1,0,479,5162,357,0 62,0,2024-09-07 09:11:11:735,89604,0.5,91586,0.7,174740,0.4,237973,1.75 62,1,2024-09-07 09:11:11:124,631282,631276,0,6,295487241117,3047927146,627694,3323,259,365,391715,6 62,2,2024-09-07 09:11:11:646,450020,450019,1,0,19164835,0,5555 62,3,2024-09-07 09:11:11:156,1,357,8,0,465,2699,357,0 63,0,2024-09-07 09:11:11:457,90423,0.4,90166,0.6,180879,0.3,240025,1.75 63,1,2024-09-07 09:11:10:805,629247,629241,0,6,294940533434,3060002343,625306,3547,388,381,391800,6 63,2,2024-09-07 09:11:10:764,451465,451465,0,0,17656358,0,4369 63,3,2024-09-07 09:11:11:738,1,357,0,0,667,3871,357,0 64,0,2024-09-07 09:11:11:509,89245,0.5,89220,0.7,178528,0.5,237125,2.00 64,1,2024-09-07 09:11:10:750,628196,628196,0,0,294057891103,3061679986,622546,3990,1660,370,391783,0 64,2,2024-09-07 09:11:11:143,455371,455352,19,0,17263840,0,6121 64,3,2024-09-07 09:11:11:143,1,357,18,0,265,3259,357,0 65,0,2024-09-07 09:11:11:680,88337,0.6,88693,0.8,177099,0.7,236439,2.00 65,1,2024-09-07 09:11:10:858,626880,626880,0,0,293148129387,3060744890,622792,3637,451,382,391901,0 65,2,2024-09-07 09:11:11:694,452395,452395,0,0,19015124,0,3367 65,3,2024-09-07 09:11:11:683,1,357,0,0,163,3107,357,0 66,0,2024-09-07 09:11:11:766,89121,0.5,89162,0.7,178293,0.4,237220,2.00 66,1,2024-09-07 09:11:11:305,628372,628372,0,0,294720061117,3061570761,624770,3279,323,380,391653,0 66,2,2024-09-07 09:11:11:143,453612,453612,0,0,16945261,0,4956 66,3,2024-09-07 09:11:11:080,1,357,1,0,291,3428,357,0 67,0,2024-09-07 09:11:11:420,88825,0.5,88340,0.6,177309,0.4,236486,2.00 67,1,2024-09-07 09:11:10:781,629080,629079,0,1,294075134467,3059528179,624908,3419,752,380,391787,1 67,2,2024-09-07 09:11:10:591,452840,452840,0,0,16471530,0,2889 67,3,2024-09-07 09:11:11:750,1,357,0,0,338,3219,357,0 68,0,2024-09-07 09:11:10:566,89624,0.6,89227,0.7,178083,0.6,238173,2.00 68,1,2024-09-07 09:11:10:575,627050,627050,0,0,293481333731,3069973744,622230,3615,1205,381,391953,0 68,2,2024-09-07 09:11:11:049,449878,449813,65,0,21458114,0,6698 68,3,2024-09-07 09:11:10:729,1,357,1,0,417,3754,357,0 69,0,2024-09-07 09:11:11:736,89253,0.8,89875,0.9,178766,0.9,237456,2.25 69,1,2024-09-07 09:11:11:018,624963,624963,0,0,293595219731,3093353434,616939,5914,2110,384,391994,0 69,2,2024-09-07 09:11:11:733,450950,450950,0,0,21722323,0,3722 69,3,2024-09-07 09:11:10:763,1,357,1,0,698,4944,357,0 70,0,2024-09-07 09:11:11:532,88399,0.7,88521,0.9,177911,0.5,235925,2.25 70,1,2024-09-07 09:11:10:801,628530,628530,0,0,294751429620,3056072181,623677,4317,536,366,391725,0 70,2,2024-09-07 09:11:11:327,452918,452918,0,0,19611409,0,4044 70,3,2024-09-07 09:11:10:748,1,357,1,0,854,3832,357,0 71,0,2024-09-07 09:11:11:357,88135,0.7,87754,0.9,176435,0.7,236201,2.50 71,1,2024-09-07 09:11:11:604,627498,627498,0,0,294119183416,3064343873,620886,5956,656,368,391738,0 71,2,2024-09-07 09:11:11:072,451242,451242,0,0,19388269,0,4042 71,3,2024-09-07 09:11:11:751,1,357,1,0,644,4695,357,0 72,0,2024-09-07 09:11:11:046,92617,0.6,90518,0.7,176570,0.6,239915,2.00 72,1,2024-09-07 09:11:11:021,626853,626853,0,0,293699051000,3073664278,618610,6544,1699,369,391819,0 72,2,2024-09-07 09:11:11:768,449848,449848,0,0,22161185,0,3983 72,3,2024-09-07 09:11:11:754,1,357,1,0,564,5430,357,0 73,0,2024-09-07 09:11:11:109,87706,0.4,89947,0.6,183975,0.4,238822,2.00 73,1,2024-09-07 09:11:10:766,627882,627882,0,0,294135223764,3054618659,623560,3992,330,367,391858,0 73,2,2024-09-07 09:11:11:740,451704,451704,0,0,21389785,0,3701 73,3,2024-09-07 09:11:10:969,1,357,1,0,274,4600,357,0 74,0,2024-09-07 09:11:11:372,90386,0.4,92580,0.6,176803,0.4,239224,2.25 74,1,2024-09-07 09:11:10:634,627521,627521,0,0,293823012732,3064064410,621439,4819,1263,381,391681,0 74,2,2024-09-07 09:11:11:002,451978,451978,0,0,20348258,0,4253 74,3,2024-09-07 09:11:11:442,1,357,1,0,522,4878,357,0 75,0,2024-09-07 09:11:11:768,88593,0.4,88278,0.6,176924,0.3,236792,2.00 75,1,2024-09-07 09:11:11:594,626673,626673,0,0,293465251530,3062610040,620313,5535,825,380,391739,0 75,2,2024-09-07 09:11:11:350,450199,450199,0,0,20501322,0,4766 75,3,2024-09-07 09:11:11:070,1,357,3,0,702,4916,357,0 76,0,2024-09-07 09:11:10:585,89701,0.6,89024,0.7,178645,0.6,238710,2.25 76,1,2024-09-07 09:11:10:807,627707,627707,0,0,294119962293,3064600748,623781,3335,591,382,391692,0 76,2,2024-09-07 09:11:11:073,452851,452850,1,0,19677633,0,5144 76,3,2024-09-07 09:11:11:148,1,357,2,0,175,3346,357,0 77,0,2024-09-07 09:11:11:693,90151,0.6,90249,0.8,180594,0.6,239856,2.00 77,1,2024-09-07 09:11:10:826,627371,627371,0,0,293976238980,3069497572,622093,4787,491,381,391869,0 77,2,2024-09-07 09:11:11:289,452831,452831,0,0,19263130,0,3890 77,3,2024-09-07 09:11:11:094,1,357,2,0,401,3936,357,0 78,0,2024-09-07 09:11:11:722,90147,0.4,89620,0.6,179939,0.4,238898,2.00 78,1,2024-09-07 09:11:10:609,628130,628130,0,0,293599297654,3054000092,622196,4789,1145,367,391670,0 78,2,2024-09-07 09:11:11:409,452556,452556,0,0,16581648,0,3855 78,3,2024-09-07 09:11:11:133,1,357,5,0,181,3271,357,0 79,0,2024-09-07 09:11:11:364,86162,0.4,88335,0.6,180664,0.3,235344,2.25 79,1,2024-09-07 09:11:10:579,630002,630002,0,0,294818754082,3050349437,625443,3925,634,368,391682,0 79,2,2024-09-07 09:11:11:072,452556,452556,0,0,17550612,0,4195 79,3,2024-09-07 09:11:10:753,1,357,0,0,418,4420,357,0 80,0,2024-09-07 09:11:11:087,89762,0.5,92453,0.6,177187,0.5,238990,2.00 80,1,2024-09-07 09:11:11:622,628390,628390,0,0,294377236777,3059123553,625029,3180,181,368,391791,0 80,2,2024-09-07 09:11:11:094,453476,453476,0,0,16963994,0,4433 80,3,2024-09-07 09:11:10:582,1,357,1,0,190,4274,357,0 81,0,2024-09-07 09:11:11:552,89431,0.6,91657,0.7,174852,0.5,237411,2.00 81,1,2024-09-07 09:11:11:669,627054,627054,0,0,293451920295,3064100279,622183,4372,499,382,391879,0 81,2,2024-09-07 09:11:11:129,451329,451266,63,0,18819017,0,5932 81,3,2024-09-07 09:11:11:119,1,357,2,0,374,4033,357,0 82,0,2024-09-07 09:11:11:597,89034,0.5,89575,0.7,179527,0.4,237899,2.00 82,1,2024-09-07 09:11:10:591,629239,629235,0,4,294778005836,3065059068,625333,3105,797,381,391768,4 82,2,2024-09-07 09:11:11:694,452649,452649,0,0,15992636,0,3986 82,3,2024-09-07 09:11:11:752,1,357,3,0,363,3515,357,0 83,0,2024-09-07 09:11:11:524,89084,0.5,88738,0.7,177131,0.5,236056,2.00 83,1,2024-09-07 09:11:10:552,627398,627398,0,0,294101858135,3063341295,623081,3936,381,382,391709,0 83,2,2024-09-07 09:11:10:764,452957,452957,0,0,16536915,0,3393 83,3,2024-09-07 09:11:10:749,1,357,0,0,1260,4910,357,0 84,0,2024-09-07 09:11:11:777,88575,0.6,88448,0.8,176828,0.5,236762,2.00 84,1,2024-09-07 09:11:11:076,626760,626760,0,0,293548725548,3063651536,620990,5041,729,367,391967,0 84,2,2024-09-07 09:11:10:576,451481,451481,0,0,20779703,0,4757 84,3,2024-09-07 09:11:11:143,1,357,6,0,908,5233,357,0 85,0,2024-09-07 09:11:11:118,85904,0.6,85986,0.8,182371,0.7,236017,2.25 85,1,2024-09-07 09:11:10:575,625252,625252,0,0,293634959518,3093437563,616717,7163,1372,381,392006,0 85,2,2024-09-07 09:11:10:892,450756,450756,0,0,20472235,0,3656 85,3,2024-09-07 09:11:10:686,1,357,38,0,789,4582,357,0 86,0,2024-09-07 09:11:10:901,89455,0.7,92024,0.8,176118,0.7,237864,2.25 86,1,2024-09-07 09:11:10:825,627058,627058,0,0,293827200151,3072121063,620158,5834,1066,366,391961,0 86,2,2024-09-07 09:11:10:856,449920,449919,1,0,21445089,0,5004 86,3,2024-09-07 09:11:10:592,1,357,2,0,308,4801,357,0 87,0,2024-09-07 09:11:11:282,88937,0.8,88735,0.9,178171,1.0,237971,2.25 87,1,2024-09-07 09:11:10:550,626848,626848,0,0,293045754284,3061629908,620626,5608,614,366,392076,0 87,2,2024-09-07 09:11:11:067,452114,452114,0,0,19524976,0,4045 87,3,2024-09-07 09:11:11:796,1,357,4,0,473,5073,357,0 88,0,2024-09-07 09:11:11:465,88616,0.4,89326,0.6,177909,0.4,237041,1.75 88,1,2024-09-07 09:11:10:574,625463,625463,0,0,293104919521,3068709888,617754,6044,1665,365,392084,0 88,2,2024-09-07 09:11:10:690,451796,451796,0,0,21684770,0,3583 88,3,2024-09-07 09:11:11:281,1,357,1,0,435,3748,357,0 89,0,2024-09-07 09:11:11:794,92665,0.5,89634,0.6,177555,0.4,240832,1.75 89,1,2024-09-07 09:11:10:553,625653,625653,0,0,293400341298,3083217987,617887,6491,1275,382,391866,0 89,2,2024-09-07 09:11:11:137,450004,450004,0,0,20736016,0,2910 89,3,2024-09-07 09:11:11:800,1,357,2,0,385,6348,357,0 90,0,2024-09-07 09:11:11:644,87109,0.5,89549,0.6,182407,0.4,238003,2.00 90,1,2024-09-07 09:11:10:609,626946,626946,0,0,293650500866,3075519611,620722,5719,505,380,391825,0 90,2,2024-09-07 09:11:11:409,450619,450619,0,0,21959535,0,3060 90,3,2024-09-07 09:11:10:942,1,357,11,0,246,3896,357,0 91,0,2024-09-07 09:11:10:934,90657,0.5,87723,0.6,183235,0.4,240311,1.75 91,1,2024-09-07 09:11:10:559,625528,625528,0,0,293423722706,3083349467,617690,6791,1047,381,392047,0 91,2,2024-09-07 09:11:11:331,452732,452732,0,0,19894797,0,2896 91,3,2024-09-07 09:11:10:599,1,357,1,0,216,3301,357,0 92,0,2024-09-07 09:11:11:460,89699,0.4,92007,0.6,175732,0.4,238211,1.75 92,1,2024-09-07 09:11:10:587,626833,626833,0,0,293170371518,3061748501,622010,4134,689,382,392136,0 92,2,2024-09-07 09:11:11:350,451999,451999,0,0,17956846,0,3259 92,3,2024-09-07 09:11:11:009,1,357,1,0,167,3027,357,0 93,0,2024-09-07 09:11:10:981,90711,0.4,92917,0.5,177533,0.3,240245,1.75 93,1,2024-09-07 09:11:10:809,627415,627415,0,0,293700979391,3063909013,621285,5099,1031,366,391776,0 93,2,2024-09-07 09:11:10:928,450830,450830,0,0,21043083,0,4845 93,3,2024-09-07 09:11:11:407,1,357,1,0,190,3400,357,0 94,0,2024-09-07 09:11:11:618,89407,0.4,90227,0.5,179991,0.3,238780,1.75 94,1,2024-09-07 09:11:10:571,627361,627361,0,0,293853111643,3064933836,623301,3869,191,381,391850,0 94,2,2024-09-07 09:11:10:765,452194,452194,0,0,18149534,0,2443 94,3,2024-09-07 09:11:11:691,1,357,2,0,264,4329,357,0 95,0,2024-09-07 09:11:11:371,89050,0.4,89150,0.5,178603,0.3,238244,1.75 95,1,2024-09-07 09:11:10:861,628911,628911,0,0,294361726570,3059574822,623885,4625,401,367,391713,0 95,2,2024-09-07 09:11:11:018,451761,451761,0,0,17819658,0,3308 95,3,2024-09-07 09:11:11:709,1,357,1,0,718,5405,357,0 96,0,2024-09-07 09:11:11:047,89723,0.3,89888,0.5,179488,0.3,238077,1.75 96,1,2024-09-07 09:11:11:593,627004,627004,0,0,294081599434,3066529068,622470,3736,798,384,391955,0 96,2,2024-09-07 09:11:11:276,452428,452428,0,0,17686645,0,4042 96,3,2024-09-07 09:11:11:141,1,357,4,0,411,3806,357,0 97,0,2024-09-07 09:11:11:339,88663,0.3,88496,0.5,177538,0.3,236289,1.50 97,1,2024-09-07 09:11:10:779,629001,629001,0,0,294753026991,3053817304,625093,3234,674,367,392140,0 97,2,2024-09-07 09:11:10:618,452865,452865,0,0,17344537,0,3036 97,3,2024-09-07 09:11:10:572,1,357,1,0,214,4068,357,0 98,0,2024-09-07 09:11:11:699,89368,0.3,89186,0.4,179161,0.2,238080,1.50 98,1,2024-09-07 09:11:10:577,628165,628165,0,0,293541233281,3051306965,625487,2571,107,382,391997,0 98,2,2024-09-07 09:11:10:777,451781,451781,0,0,17172263,0,3080 98,3,2024-09-07 09:11:10:698,1,357,1,0,840,5481,357,0 99,0,2024-09-07 09:11:11:461,89822,0.3,90370,0.5,179661,0.3,239614,1.75 99,1,2024-09-07 09:11:11:725,628217,628217,0,0,294575461797,3064745868,624066,3395,756,381,392069,0 99,2,2024-09-07 09:11:11:420,452228,452228,0,0,19555378,0,3424 99,3,2024-09-07 09:11:10:584,1,357,2,0,199,3349,357,0 100,0,2024-09-07 09:11:11:479,89026,0.7,89072,0.9,177907,0.8,237844,2.25 100,1,2024-09-07 09:11:10:552,624801,624801,0,0,292800128480,3088311956,616317,6986,1498,381,391989,0 100,2,2024-09-07 09:11:11:819,451986,451975,11,0,20001981,0,5417 100,3,2024-09-07 09:11:11:732,1,357,1,0,559,6011,357,0 101,0,2024-09-07 09:11:11:710,90625,0.7,88254,0.9,173198,0.5,237892,2.00 101,1,2024-09-07 09:11:10:550,624823,624823,0,0,292980803853,3080638846,615718,7081,2024,368,391847,0 101,2,2024-09-07 09:11:11:757,449003,449003,0,0,23253103,0,4644 101,3,2024-09-07 09:11:10:942,1,357,2,0,579,4609,357,0 102,0,2024-09-07 09:11:10:957,87337,0.7,90295,0.8,182384,0.6,238698,2.00 102,1,2024-09-07 09:11:11:148,625890,625890,0,0,293170897442,3074776586,618562,6293,1035,369,391891,0 102,2,2024-09-07 09:11:11:745,451129,451075,54,0,19411326,0,6768 102,3,2024-09-07 09:11:11:616,1,357,9,0,410,3712,357,0 103,0,2024-09-07 09:11:11:593,92859,0.6,92737,0.7,175015,0.7,240572,2.00 103,1,2024-09-07 09:11:11:626,624961,624961,0,0,292469944570,3080237468,616133,6831,1997,381,391829,0 103,2,2024-09-07 09:11:10:590,450661,450661,0,0,20518022,0,3173 103,3,2024-09-07 09:11:10:755,1,357,16,0,916,4292,357,0 104,0,2024-09-07 09:11:11:014,88926,0.7,89375,0.9,177590,0.6,238495,2.25 104,1,2024-09-07 09:11:11:606,626934,626934,0,0,293181168307,3078810791,618010,7225,1699,365,392168,0 104,2,2024-09-07 09:11:11:670,451318,451318,0,0,21520108,0,3941 104,3,2024-09-07 09:11:11:416,1,357,2,0,1245,7571,357,0 105,0,2024-09-07 09:11:11:041,87599,0.7,85161,1.0,178320,0.8,235196,2.50 105,1,2024-09-07 09:11:10:556,626891,626891,0,0,294054319671,3080924646,618728,6826,1337,366,392009,0 105,2,2024-09-07 09:11:11:327,450076,450076,0,0,20779119,0,3509 105,3,2024-09-07 09:11:11:312,1,357,1,0,399,5678,357,0 106,0,2024-09-07 09:11:10:975,86857,0.8,88930,0.9,182240,0.9,238122,2.50 106,1,2024-09-07 09:11:11:751,625868,625868,0,0,293744470415,3084667272,616266,8307,1295,369,391914,0 106,2,2024-09-07 09:11:10:755,449499,449499,0,0,20527732,0,2795 106,3,2024-09-07 09:11:10:677,1,357,3,0,470,4440,357,0 107,0,2024-09-07 09:11:11:147,89896,1.1,90074,1.0,179969,1.5,239642,2.25 107,1,2024-09-07 09:11:10:589,625222,625222,0,0,293219488623,3086030711,617232,7074,916,381,392234,0 107,2,2024-09-07 09:11:11:297,451078,451077,1,0,21075161,0,5024 107,3,2024-09-07 09:11:11:761,1,357,1,0,353,4854,357,0 108,0,2024-09-07 09:11:11:800,89466,0.4,90097,0.6,179130,0.4,239395,1.75 108,1,2024-09-07 09:11:11:304,627646,627646,0,0,294309808867,3067687063,622550,4578,518,368,391857,0 108,2,2024-09-07 09:11:11:761,450493,450493,0,0,20196295,0,4246 108,3,2024-09-07 09:11:11:335,1,357,7,0,749,7100,357,0 109,0,2024-09-07 09:11:11:758,89504,0.4,88590,0.5,177445,0.3,237877,1.75 109,1,2024-09-07 09:11:10:598,625550,625550,0,0,293936094871,3080069761,620332,4553,665,383,392132,0 109,2,2024-09-07 09:11:10:930,449484,449484,0,0,19607129,0,3617 109,3,2024-09-07 09:11:11:140,1,357,1,0,379,4169,357,0 110,0,2024-09-07 09:11:11:748,89814,0.4,87321,0.6,182951,0.3,239710,1.75 110,1,2024-09-07 09:11:11:646,628680,628680,0,0,294953335284,3058326333,624672,3029,979,369,392045,0 110,2,2024-09-07 09:11:11:307,451597,451597,0,0,19399189,0,4067 110,3,2024-09-07 09:11:10:699,1,357,0,0,722,5215,357,0 111,0,2024-09-07 09:11:11:427,89853,0.4,89193,0.6,178835,0.4,238817,1.75 111,1,2024-09-07 09:11:11:013,629188,629188,0,0,295063352600,3059876515,625805,3030,353,382,391690,0 111,2,2024-09-07 09:11:11:121,450831,450831,0,0,18727535,0,4823 111,3,2024-09-07 09:11:10:915,1,357,14,0,379,4229,357,0 112,0,2024-09-07 09:11:10:934,90270,0.3,89558,0.4,179562,0.2,238655,1.50 112,1,2024-09-07 09:11:10:828,628655,628655,0,0,294393310006,3051592362,625177,2894,584,380,391624,0 112,2,2024-09-07 09:11:11:136,451766,451765,1,0,16971672,0,5036 112,3,2024-09-07 09:11:10:604,1,357,1,0,282,3540,357,0 113,0,2024-09-07 09:11:10:877,88592,0.3,88816,0.4,178136,0.2,237169,1.50 113,1,2024-09-07 09:11:11:696,630329,630329,0,0,295493947616,3046455997,627046,2699,584,366,391661,0 113,2,2024-09-07 09:11:11:304,454245,454245,0,0,15726623,0,3813 113,3,2024-09-07 09:11:10:684,1,357,2,0,340,4109,357,0 114,0,2024-09-07 09:11:10:874,89323,0.3,90084,0.4,178591,0.2,238938,1.75 114,1,2024-09-07 09:11:10:716,628598,628598,0,0,294682470748,3059937163,623452,3552,1594,381,391556,0 114,2,2024-09-07 09:11:10:874,452267,452266,1,0,17384135,0,5069 114,3,2024-09-07 09:11:11:284,1,357,0,0,395,3012,357,0 115,0,2024-09-07 09:11:10:563,89095,0.3,89781,0.4,179181,0.2,238381,1.50 115,1,2024-09-07 09:11:10:576,628113,628113,0,0,295144493311,3062273948,623247,4026,840,382,391757,0 115,2,2024-09-07 09:11:11:125,452942,452942,0,0,16034068,0,3453 115,3,2024-09-07 09:11:11:008,1,357,1,0,159,2096,357,0 116,0,2024-09-07 09:11:11:703,89265,0.8,89105,0.9,178762,0.9,238942,2.00 116,1,2024-09-07 09:11:10:815,624899,624899,0,0,293058983139,3087045659,617284,5346,2269,380,392089,0 116,2,2024-09-07 09:11:11:752,450794,450794,0,0,21479247,0,3529 116,3,2024-09-07 09:11:10:939,1,357,3,0,415,4331,357,0 117,0,2024-09-07 09:11:10:997,89439,0.7,88932,0.8,178113,0.7,238442,2.00 117,1,2024-09-07 09:11:11:579,626403,626403,0,0,293522171291,3068945569,620083,5651,669,369,392033,0 117,2,2024-09-07 09:11:11:136,455454,455454,0,0,17935973,0,3700 117,3,2024-09-07 09:11:11:060,1,357,1,0,490,5078,357,0 118,0,2024-09-07 09:11:11:814,86118,0.5,88377,0.6,180471,0.4,236137,2.00 118,1,2024-09-07 09:11:10:592,625970,625970,0,0,293171287283,3077928504,617166,6904,1900,366,391907,0 118,2,2024-09-07 09:11:11:588,450404,450404,0,0,19830857,0,2781 118,3,2024-09-07 09:11:11:768,1,357,1,0,235,3865,357,0 119,0,2024-09-07 09:11:11:341,89672,0.6,90035,0.7,180113,0.6,239182,2.00 119,1,2024-09-07 09:11:10:550,627594,627594,0,0,293864161424,3070015898,621013,5744,837,367,391780,0 119,2,2024-09-07 09:11:11:265,451176,451176,0,0,18479461,0,4174 119,3,2024-09-07 09:11:11:326,1,357,0,0,563,5331,357,0 120,0,2024-09-07 09:11:11:677,89475,0.6,89126,0.8,178373,0.6,238692,2.25 120,1,2024-09-07 09:11:10:860,626549,626549,0,0,293623174870,3078335385,620116,5873,560,368,391961,0 120,2,2024-09-07 09:11:10:770,451658,451657,1,0,21825114,0,5281 120,3,2024-09-07 09:11:11:294,1,357,2,0,241,4326,357,0 121,0,2024-09-07 09:11:11:708,89896,0.9,90052,1.0,180609,1.2,239904,2.25 121,1,2024-09-07 09:11:11:662,627204,627204,0,0,293240319161,3064756405,621281,5485,438,367,391840,0 121,2,2024-09-07 09:11:11:126,451284,451284,0,0,21022155,0,4127 121,3,2024-09-07 09:11:10:728,1,357,1,0,269,3970,357,0 122,0,2024-09-07 09:11:11:781,88609,0.7,86530,0.8,181543,0.8,238047,2.00 122,1,2024-09-07 09:11:10:858,626181,626181,0,0,293144371928,3073116778,617714,7204,1263,366,392130,0 122,2,2024-09-07 09:11:11:328,450690,450617,73,0,23502501,0,5989 122,3,2024-09-07 09:11:10:598,1,357,8,0,512,6665,357,0 123,0,2024-09-07 09:11:10:949,89785,0.6,87817,0.7,183134,0.7,239685,2.00 123,1,2024-09-07 09:11:10:588,625899,625899,0,0,293407109726,3090233557,614447,9324,2128,369,392039,0 123,2,2024-09-07 09:11:11:019,449702,449701,1,0,20308345,0,5215 123,3,2024-09-07 09:11:11:137,1,357,1,0,168,3944,357,0 124,0,2024-09-07 09:11:10:967,92495,0.4,92418,0.5,174371,0.3,239638,1.75 124,1,2024-09-07 09:11:11:022,628099,628099,0,0,293540503110,3052407826,623374,3814,911,367,392178,0 124,2,2024-09-07 09:11:11:011,453513,453460,53,0,18372535,0,6487 124,3,2024-09-07 09:11:10:758,1,357,5,0,490,3560,357,0 125,0,2024-09-07 09:11:11:438,89502,0.4,89029,0.6,179092,0.3,238617,1.75 125,1,2024-09-07 09:11:10:859,626931,626931,0,0,293439036591,3062039797,622045,4241,645,383,391702,0 125,2,2024-09-07 09:11:11:118,452182,452182,0,0,18993899,0,4534 125,3,2024-09-07 09:11:11:126,1,357,5,0,709,4672,357,0 126,0,2024-09-07 09:11:11:434,89541,0.4,91984,0.6,176290,0.4,238949,1.75 126,1,2024-09-07 09:11:10:556,628732,628732,0,0,294849707983,3053634235,624984,3461,287,365,391987,0 126,2,2024-09-07 09:11:10:629,452439,452439,0,0,19021856,0,4539 126,3,2024-09-07 09:11:10:906,1,357,1,0,207,4457,357,0 127,0,2024-09-07 09:11:11:607,88539,0.3,89021,0.5,177475,0.3,236361,1.50 127,1,2024-09-07 09:11:10:576,627656,627656,0,0,294333853151,3056183697,622227,4568,861,364,392187,0 127,2,2024-09-07 09:11:10:636,451406,451406,0,0,17553254,0,3897 127,3,2024-09-07 09:11:11:267,1,357,1,0,968,4101,357,0 128,0,2024-09-07 09:11:11:531,89631,0.3,89851,0.4,179579,0.2,238415,1.50 128,1,2024-09-07 09:11:11:625,628279,628279,0,0,293596310573,3046666888,624171,3736,372,367,391838,0 128,2,2024-09-07 09:11:11:389,452287,452287,0,0,16738183,0,2915 128,3,2024-09-07 09:11:10:767,1,357,5,0,1082,5964,357,0 129,0,2024-09-07 09:11:10:998,90407,0.3,89926,0.5,180448,0.3,239725,1.50 129,1,2024-09-07 09:11:10:572,625460,625460,0,0,293263010344,3068458430,619803,4400,1257,379,391835,0 129,2,2024-09-07 09:11:10:687,451953,451953,0,0,17335172,0,4031 129,3,2024-09-07 09:11:10:689,1,357,5,0,469,4542,357,0 130,0,2024-09-07 09:11:11:720,89861,0.5,89381,0.6,179884,0.5,239047,1.75 130,1,2024-09-07 09:11:10:593,628200,628200,0,0,294381627443,3058562638,624778,3142,280,381,391825,0 130,2,2024-09-07 09:11:11:135,454603,454603,0,0,17256167,0,4067 130,3,2024-09-07 09:11:11:290,1,357,3,0,535,4758,357,0 131,0,2024-09-07 09:11:11:934,88462,0.3,89047,0.5,179026,0.3,237451,1.50 131,1,2024-09-07 09:11:11:820,628203,628203,0,0,293905830404,3065037266,623715,3740,748,381,391865,0 131,2,2024-09-07 09:11:10:571,453335,453335,0,0,15938877,0,2415 131,3,2024-09-07 09:11:11:691,1,357,1,0,392,3660,357,0 132,0,2024-09-07 09:11:11:434,89671,0.4,90542,0.6,180193,0.4,239399,1.75 132,1,2024-09-07 09:11:10:609,625162,625162,0,0,292653559358,3078036637,616513,7182,1467,381,392097,0 132,2,2024-09-07 09:11:10:701,450792,450792,0,0,20984588,0,4606 132,3,2024-09-07 09:11:11:695,1,357,0,0,804,6246,357,0 133,0,2024-09-07 09:11:11:523,87761,0.5,89696,0.6,184112,0.4,239295,1.75 133,1,2024-09-07 09:11:10:589,625177,625177,0,0,292984428913,3083995282,616990,7102,1085,383,391914,0 133,2,2024-09-07 09:11:11:087,451030,451030,0,0,22300665,0,4315 133,3,2024-09-07 09:11:11:296,1,357,1,0,479,3705,357,0 134,0,2024-09-07 09:11:10:938,90132,0.5,89982,0.7,179965,0.4,239806,2.00 134,1,2024-09-07 09:11:10:584,626109,626109,0,0,292872286852,3071347414,617780,6473,1856,366,391718,0 134,2,2024-09-07 09:11:11:756,451958,451958,0,0,18645695,0,3847 134,3,2024-09-07 09:11:10:749,1,357,1,0,739,4492,357,0 135,0,2024-09-07 09:11:11:103,85659,0.6,85655,0.7,181678,0.6,234950,2.00 135,1,2024-09-07 09:11:11:601,626433,626433,0,0,293619387176,3086780019,617992,7204,1237,380,391805,0 135,2,2024-09-07 09:11:10:686,451825,451825,0,0,20209455,0,3981 135,3,2024-09-07 09:11:11:002,1,357,3,0,299,2678,357,0 136,0,2024-09-07 09:11:11:615,90229,0.5,90472,0.7,180012,0.5,239992,2.00 136,1,2024-09-07 09:11:11:442,625946,625946,0,0,293417170688,3077883447,618917,6331,698,382,391685,0 136,2,2024-09-07 09:11:11:139,451413,451413,0,0,20003093,0,3506 136,3,2024-09-07 09:11:11:120,1,357,12,0,637,3960,357,0 137,0,2024-09-07 09:11:10:951,92826,0.6,90328,0.8,177530,0.7,240985,2.00 137,1,2024-09-07 09:11:10:574,625823,625823,0,0,293737769394,3080463686,616196,7744,1883,366,391898,0 137,2,2024-09-07 09:11:11:709,451551,451551,0,0,22441579,0,3185 137,3,2024-09-07 09:11:10:769,1,357,1,0,382,4116,357,0 138,0,2024-09-07 09:11:11:783,89182,1.2,89275,1.0,179341,1.7,238367,2.25 138,1,2024-09-07 09:11:11:685,626960,626960,0,0,293798188162,3081827787,617904,7460,1596,368,391954,0 138,2,2024-09-07 09:11:10:590,451233,451233,0,0,20040805,0,4988 138,3,2024-09-07 09:11:10:611,1,357,2,0,1160,4902,357,0 139,0,2024-09-07 09:11:11:372,87956,1.0,88240,1.0,176853,1.4,235968,2.25 139,1,2024-09-07 09:11:10:588,624078,624078,0,0,291697720218,3095475918,612696,8734,2648,381,392058,0 139,2,2024-09-07 09:11:10:694,448636,448636,0,0,21909635,0,3097 139,3,2024-09-07 09:11:11:668,1,357,3,0,257,4106,357,0 140,0,2024-09-07 09:11:11:590,90114,0.3,89699,0.5,180006,0.2,239848,1.75 140,1,2024-09-07 09:11:11:539,630144,630144,0,0,294719931928,3038325228,626937,2768,439,365,391606,0 140,2,2024-09-07 09:11:10:687,451905,451904,1,0,18020484,0,5036 140,3,2024-09-07 09:11:10:767,1,357,1,0,247,2843,357,0 141,0,2024-09-07 09:11:11:704,89698,0.3,92055,0.5,175908,0.3,238809,1.75 141,1,2024-09-07 09:11:10:859,628575,628575,0,0,294695477616,3060026397,624009,3704,862,379,391614,0 141,2,2024-09-07 09:11:11:686,452006,452006,0,0,17630238,0,3360 141,3,2024-09-07 09:11:11:049,1,357,0,0,391,4037,357,0 142,0,2024-09-07 09:11:11:319,90356,0.3,89554,0.5,179048,0.3,239136,1.75 142,1,2024-09-07 09:11:10:585,628145,628145,0,0,293734244244,3061283813,624174,3619,352,382,392102,0 142,2,2024-09-07 09:11:11:303,451277,451245,32,0,19045378,0,6028 142,3,2024-09-07 09:11:11:760,1,357,24,0,484,4248,357,0 143,0,2024-09-07 09:11:11:371,89024,0.4,88818,0.5,177989,0.4,237524,1.75 143,1,2024-09-07 09:11:10:571,628723,628723,0,0,294622432414,3054648955,624941,3630,152,367,391705,0 143,2,2024-09-07 09:11:10:777,453264,453264,0,0,18448145,0,3123 143,3,2024-09-07 09:11:11:141,1,357,1,0,303,4252,357,0 144,0,2024-09-07 09:11:11:513,86294,0.5,88513,0.7,180688,0.4,236955,2.00 144,1,2024-09-07 09:11:10:596,625734,625734,0,0,293079950703,3068963488,620857,3936,941,381,391649,0 144,2,2024-09-07 09:11:11:755,452596,452596,0,0,16662748,0,3473 144,3,2024-09-07 09:11:11:741,1,357,1,0,249,3500,357,0 145,0,2024-09-07 09:11:11:356,86270,0.6,86302,0.8,182997,0.5,235693,2.25 145,1,2024-09-07 09:11:10:558,625404,625404,0,0,293558411749,3082906631,618039,6223,1142,382,391759,0 145,2,2024-09-07 09:11:11:428,449960,449960,0,0,20185402,0,3903 145,3,2024-09-07 09:11:10:895,1,357,2,0,622,5339,357,0 146,0,2024-09-07 09:11:11:597,89527,0.6,88961,0.7,179301,0.5,237634,2.25 146,1,2024-09-07 09:11:11:589,626666,626666,0,0,292727516060,3076431699,616785,7870,2011,368,391770,0 146,2,2024-09-07 09:11:11:695,450092,450092,0,0,20057339,0,2730 146,3,2024-09-07 09:11:11:279,1,357,7,0,1520,7263,357,0 147,0,2024-09-07 09:11:11:704,89414,0.6,89109,0.7,177583,0.5,237998,2.00 147,1,2024-09-07 09:11:11:390,628294,628294,0,0,294138231105,3058883071,622623,4870,801,368,391791,0 147,2,2024-09-07 09:11:11:011,453083,453083,0,0,17929322,0,2789 147,3,2024-09-07 09:11:10:926,1,357,0,0,730,5104,357,0 0,0,2024-09-07 09:11:21:734,87371,0.6,87364,0.8,185451,0.7,239404,2.00 0,1,2024-09-07 09:11:20:803,628785,628785,0,0,294625091435,3078347628,624643,3896,246,369,391896,0 0,2,2024-09-07 09:11:21:067,454168,454168,0,0,17796257,0,4480 0,3,2024-09-07 09:11:20:975,1,358,349,0,431,5113,358,0 1,0,2024-09-07 09:11:21:836,90303,0.8,89798,0.9,180175,0.9,240180,2.00 1,1,2024-09-07 09:11:20:563,627821,627821,0,0,294589888740,3082128702,622186,4406,1229,370,391857,0 1,2,2024-09-07 09:11:20:649,452682,452682,0,0,17219345,0,3267 1,3,2024-09-07 09:11:21:317,1,358,36,0,262,4121,358,0 2,0,2024-09-07 09:11:21:568,89318,0.5,89556,0.7,178061,0.6,238655,2.00 2,1,2024-09-07 09:11:20:860,630131,630131,0,0,295345210512,3064412515,627229,2609,293,380,391745,0 2,2,2024-09-07 09:11:21:276,453075,453075,0,0,16855606,0,3594 2,3,2024-09-07 09:11:20:690,1,358,1,0,357,3732,358,0 3,0,2024-09-07 09:11:21:752,90205,0.4,90045,0.6,180008,0.4,239578,2.00 3,1,2024-09-07 09:11:21:620,628546,628546,0,0,294327765378,3062377709,623444,4479,623,380,391591,0 3,2,2024-09-07 09:11:21:160,452423,452400,23,0,17416642,0,5851 3,3,2024-09-07 09:11:21:753,1,358,1,0,207,2248,358,0 4,0,2024-09-07 09:11:21:768,87372,0.4,89797,0.5,182896,0.4,239242,1.75 4,1,2024-09-07 09:11:20:595,627401,627401,0,0,294019006818,3092977855,619935,6108,1358,370,391992,0 4,2,2024-09-07 09:11:21:018,452330,452330,0,0,20299398,0,4528 4,3,2024-09-07 09:11:21:027,1,358,1,0,448,4900,358,0 5,0,2024-09-07 09:11:21:409,89476,0.4,89878,0.6,179380,0.4,238776,1.75 5,1,2024-09-07 09:11:20:762,628148,628148,0,0,294153045338,3088650653,620962,5829,1357,367,392005,0 5,2,2024-09-07 09:11:21:837,451128,451128,0,0,19379567,0,2432 5,3,2024-09-07 09:11:21:736,1,358,9,0,457,5061,358,0 6,0,2024-09-07 09:11:20:946,90222,0.4,89664,0.6,179093,0.4,239305,1.75 6,1,2024-09-07 09:11:20:866,628358,628358,0,0,294733465953,3076652841,621738,5535,1085,379,391694,0 6,2,2024-09-07 09:11:21:122,452518,452518,0,0,18470324,0,4816 6,3,2024-09-07 09:11:21:278,1,358,22,0,340,3784,358,0 7,0,2024-09-07 09:11:21:535,88705,0.4,89286,0.6,177729,0.4,236604,1.75 7,1,2024-09-07 09:11:20:851,627438,627438,0,0,294357822306,3086202611,619340,6922,1176,382,391747,0 7,2,2024-09-07 09:11:20:771,453568,453568,0,0,18639107,0,4791 7,3,2024-09-07 09:11:20:850,1,358,1,0,398,3878,358,0 8,0,2024-09-07 09:11:21:371,89697,0.4,89558,0.5,179265,0.3,239079,1.75 8,1,2024-09-07 09:11:21:016,626502,626502,0,0,294212435927,3093758235,616346,7878,2278,366,392144,0 8,2,2024-09-07 09:11:20:791,449369,449369,0,0,23075119,0,3220 8,3,2024-09-07 09:11:20:585,1,358,1,0,538,6072,358,0 9,0,2024-09-07 09:11:21:129,90178,0.4,87560,0.5,183214,0.3,239835,1.75 9,1,2024-09-07 09:11:20:552,627278,627278,0,0,293592150915,3091761942,618199,6953,2126,369,392001,0 9,2,2024-09-07 09:11:21:086,452011,452011,0,0,20403337,0,3360 9,3,2024-09-07 09:11:21:753,1,358,1,0,496,5202,358,0 10,0,2024-09-07 09:11:21:611,89717,0.3,89097,0.5,178965,0.2,238330,1.75 10,1,2024-09-07 09:11:20:583,628018,628018,0,0,293692065917,3073612764,620131,6777,1110,381,391741,0 10,2,2024-09-07 09:11:20:763,454130,454130,0,0,22067595,0,4264 10,3,2024-09-07 09:11:20:872,1,358,9,0,296,3250,358,0 11,0,2024-09-07 09:11:21:016,88793,0.4,86276,0.6,180281,0.3,238451,1.75 11,1,2024-09-07 09:11:20:570,628805,628805,0,0,294703155675,3092367253,618781,7576,2448,383,391756,0 11,2,2024-09-07 09:11:21:130,452262,452262,0,0,19815360,0,4130 11,3,2024-09-07 09:11:21:304,1,358,1,0,843,5402,358,0 12,0,2024-09-07 09:11:20:950,90543,0.4,90547,0.5,180792,0.3,239907,1.75 12,1,2024-09-07 09:11:20:962,628348,628348,0,0,294330750670,3067590969,623473,4381,494,370,391870,0 12,2,2024-09-07 09:11:21:545,453038,453038,0,0,19612898,0,3469 12,3,2024-09-07 09:11:21:084,1,358,18,0,386,5683,358,0 13,0,2024-09-07 09:11:21:361,90804,0.4,90421,0.6,180578,0.4,240415,1.75 13,1,2024-09-07 09:11:21:538,627237,627237,0,0,293770010271,3086436724,621320,4506,1411,382,391740,0 13,2,2024-09-07 09:11:20:595,453741,453741,0,0,18018379,0,3287 13,3,2024-09-07 09:11:21:762,1,358,0,0,522,5636,358,0 14,0,2024-09-07 09:11:20:583,90127,0.4,90880,0.6,179886,0.3,239664,1.75 14,1,2024-09-07 09:11:21:562,631761,631761,0,0,295587334306,3059146559,627071,4331,359,364,391571,0 14,2,2024-09-07 09:11:20:765,453566,453536,30,0,19559074,0,6104 14,3,2024-09-07 09:11:21:121,1,358,0,0,1168,4234,358,0 15,0,2024-09-07 09:11:21:561,88792,0.4,88842,0.6,177923,0.3,237211,1.75 15,1,2024-09-07 09:11:21:608,629087,629087,0,0,294767182195,3066970920,624741,3523,823,381,391619,0 15,2,2024-09-07 09:11:21:000,453820,453820,0,0,16089792,0,3622 15,3,2024-09-07 09:11:21:407,1,358,1,0,1126,6304,358,0 16,0,2024-09-07 09:11:21:043,90110,0.6,90418,0.7,180630,0.5,240013,2.00 16,1,2024-09-07 09:11:20:564,629800,629800,0,0,294938751786,3076417656,625476,3961,363,370,391756,0 16,2,2024-09-07 09:11:21:446,452306,452306,0,0,18619077,0,4719 16,3,2024-09-07 09:11:21:155,1,358,3,0,317,4764,358,0 17,0,2024-09-07 09:11:21:881,92934,0.6,90646,0.8,177535,0.7,240750,2.00 17,1,2024-09-07 09:11:20:575,627432,627432,0,0,294746298679,3089772200,621184,4989,1259,368,392075,0 17,2,2024-09-07 09:11:21:671,455866,455866,0,0,18333906,0,2857 17,3,2024-09-07 09:11:20:582,1,358,8,0,298,5785,358,0 18,0,2024-09-07 09:11:20:965,88996,0.6,89368,0.8,178287,0.6,237974,2.25 18,1,2024-09-07 09:11:21:638,630445,630445,0,0,295282093008,3058152038,627094,3040,311,367,391649,0 18,2,2024-09-07 09:11:21:760,454970,454970,0,0,16921598,0,3541 18,3,2024-09-07 09:11:20:905,1,358,2,0,163,3007,358,0 19,0,2024-09-07 09:11:21:544,88964,0.5,89670,0.7,177451,0.5,236778,2.00 19,1,2024-09-07 09:11:20:573,630496,630496,0,0,295649322199,3064824944,625368,4289,839,367,391777,0 19,2,2024-09-07 09:11:21:752,453878,453878,0,0,15456477,0,3988 19,3,2024-09-07 09:11:21:129,1,358,1,0,524,2738,358,0 20,0,2024-09-07 09:11:21:411,89954,0.5,89984,0.6,179833,0.4,239899,2.00 20,1,2024-09-07 09:11:20:573,628582,628582,0,0,295185751116,3079515862,624235,3939,408,369,391886,0 20,2,2024-09-07 09:11:20:931,453085,453085,0,0,18748393,0,3721 20,3,2024-09-07 09:11:20:589,1,358,8,0,414,5484,358,0 21,0,2024-09-07 09:11:21:160,89782,0.5,89911,0.6,179523,0.5,238467,2.00 21,1,2024-09-07 09:11:21:538,627238,627238,0,0,293124030037,3084612196,619233,6122,1883,368,392016,0 21,2,2024-09-07 09:11:21:078,451103,451103,0,0,21883817,0,3747 21,3,2024-09-07 09:11:21:415,1,358,7,0,713,4180,358,0 22,0,2024-09-07 09:11:21:724,89406,0.5,89763,0.7,179698,0.5,237885,2.00 22,1,2024-09-07 09:11:21:024,628349,628349,0,0,293799803486,3082990602,619856,6673,1820,382,391667,0 22,2,2024-09-07 09:11:20:761,451585,451585,0,0,17761337,0,3134 22,3,2024-09-07 09:11:21:067,1,358,81,0,228,3005,358,0 23,0,2024-09-07 09:11:21:366,88767,0.5,88724,0.7,177793,0.5,237315,2.25 23,1,2024-09-07 09:11:21:004,628955,628955,0,0,295393856104,3097670511,618459,7336,3160,365,391690,0 23,2,2024-09-07 09:11:21:098,454631,454631,0,0,17624179,0,3010 23,3,2024-09-07 09:11:21:760,1,358,4,0,720,4838,358,0 24,0,2024-09-07 09:11:20:862,89782,0.4,89725,0.5,179816,0.3,238950,1.75 24,1,2024-09-07 09:11:20:586,627603,627603,0,0,293803289487,3079444582,620697,5374,1532,367,392269,0 24,2,2024-09-07 09:11:21:086,452064,452064,0,0,21572886,0,3607 24,3,2024-09-07 09:11:21:686,1,358,35,0,468,4662,358,0 25,0,2024-09-07 09:11:21:494,91948,0.4,89652,0.6,175631,0.4,239648,2.00 25,1,2024-09-07 09:11:20:778,628652,628652,0,0,293708002155,3080889919,620755,6519,1378,371,391928,0 25,2,2024-09-07 09:11:21:616,451579,451579,0,0,21754491,0,3978 25,3,2024-09-07 09:11:21:011,1,358,8,0,255,3489,358,0 26,0,2024-09-07 09:11:21:726,89541,0.4,87400,0.6,183387,0.4,238748,1.75 26,1,2024-09-07 09:11:21:560,628947,628947,0,0,294692868170,3084457769,620059,7352,1536,380,391748,0 26,2,2024-09-07 09:11:20:861,452734,452734,0,0,21612182,0,2809 26,3,2024-09-07 09:11:21:714,1,358,4,0,796,4459,358,0 27,0,2024-09-07 09:11:21:729,89856,0.4,89972,0.6,178365,0.4,238563,2.00 27,1,2024-09-07 09:11:21:689,630836,630836,0,0,295765307505,3076303030,625528,4542,766,381,391626,0 27,2,2024-09-07 09:11:20:871,451602,451537,65,0,21024803,0,5699 27,3,2024-09-07 09:11:21:015,1,358,1,0,564,3673,358,0 28,0,2024-09-07 09:11:21:404,89331,0.4,89046,0.6,178192,0.3,238557,2.00 28,1,2024-09-07 09:11:20:801,629832,629832,0,0,295710031821,3079200271,625330,3797,705,383,391698,0 28,2,2024-09-07 09:11:21:764,452566,452566,0,0,18788515,0,2915 28,3,2024-09-07 09:11:21:776,1,358,1,0,502,3774,358,0 29,0,2024-09-07 09:11:21:367,92592,0.4,90205,0.6,177020,0.3,240915,1.75 29,1,2024-09-07 09:11:21:602,631428,631428,0,0,295762590505,3060814819,627085,3658,685,369,391753,0 29,2,2024-09-07 09:11:20:869,451246,451246,0,0,18089084,0,4986 29,3,2024-09-07 09:11:20:966,1,358,3,0,459,4413,358,0 30,0,2024-09-07 09:11:21:458,89601,0.5,87077,0.7,182207,0.5,238362,2.00 30,1,2024-09-07 09:11:20:571,630811,630811,0,0,295739803708,3069309599,625991,4110,710,381,391672,0 30,2,2024-09-07 09:11:21:280,453772,453772,0,0,17057100,0,3161 30,3,2024-09-07 09:11:20:581,1,358,12,0,519,3608,358,0 31,0,2024-09-07 09:11:21:759,89797,0.4,90280,0.6,180323,0.3,239884,2.00 31,1,2024-09-07 09:11:20:569,632940,632940,0,0,296525735072,3039427978,630392,1989,559,356,391712,0 31,2,2024-09-07 09:11:21:275,453237,453237,0,0,18865952,0,3525 31,3,2024-09-07 09:11:21:707,1,358,1,0,220,3026,358,0 32,0,2024-09-07 09:11:21:417,89374,0.3,89799,0.5,179545,0.2,238988,1.50 32,1,2024-09-07 09:11:20:825,630313,630313,0,0,295383388193,3065146792,627146,2721,446,381,391646,0 32,2,2024-09-07 09:11:20:938,453038,453038,0,0,15862653,0,3155 32,3,2024-09-07 09:11:21:015,1,358,3,0,227,2480,358,0 33,0,2024-09-07 09:11:21:495,90379,0.3,89929,0.4,180409,0.2,239885,1.50 33,1,2024-09-07 09:11:20:583,631576,631576,0,0,295800709780,3060908960,627132,3613,831,369,391730,0 33,2,2024-09-07 09:11:20:761,453662,453627,35,0,18062208,0,7012 33,3,2024-09-07 09:11:20:904,1,358,4,0,329,3633,358,0 34,0,2024-09-07 09:11:20:937,90125,0.3,92755,0.4,177443,0.2,238661,1.75 34,1,2024-09-07 09:11:21:045,632413,632413,0,0,296952430036,3050337739,630986,1418,9,367,391562,0 34,2,2024-09-07 09:11:20:766,453875,453875,0,0,17233526,0,3577 34,3,2024-09-07 09:11:21:695,1,358,29,0,299,2551,358,0 35,0,2024-09-07 09:11:20:900,88852,0.3,89143,0.5,179506,0.3,239463,1.75 35,1,2024-09-07 09:11:21:079,630226,630226,0,0,295917493290,3063810025,626609,2836,781,382,391589,0 35,2,2024-09-07 09:11:21:583,453066,453066,0,0,17510140,0,2653 35,3,2024-09-07 09:11:20:928,1,358,1,0,418,4304,358,0 36,0,2024-09-07 09:11:21:539,90142,0.4,90109,0.6,180325,0.4,239085,2.00 36,1,2024-09-07 09:11:20:588,629991,629991,0,0,294854535170,3075611406,622673,5660,1658,366,391759,0 36,2,2024-09-07 09:11:21:752,453503,453503,0,0,19622500,0,3875 36,3,2024-09-07 09:11:20:866,1,358,2,0,416,5407,358,0 37,0,2024-09-07 09:11:21:401,88721,0.5,88566,0.6,177433,0.4,237399,2.00 37,1,2024-09-07 09:11:20:581,629085,629078,0,7,294608286667,3073776275,622303,4689,2086,365,391570,0 37,2,2024-09-07 09:11:21:152,451901,451886,15,0,19462886,0,5815 37,3,2024-09-07 09:11:21:772,1,358,1,0,888,5671,358,0 38,0,2024-09-07 09:11:21:437,89050,0.5,86451,0.7,180972,0.4,236930,2.00 38,1,2024-09-07 09:11:21:607,630889,630889,0,0,295569343405,3073083850,624827,5303,759,368,391821,0 38,2,2024-09-07 09:11:20:761,452550,452503,47,0,19705178,0,6710 38,3,2024-09-07 09:11:20:998,1,358,6,0,689,4752,358,0 39,0,2024-09-07 09:11:21:762,91738,0.6,89788,0.7,174838,0.5,238743,2.00 39,1,2024-09-07 09:11:20:724,629274,629274,0,0,294850554265,3080166363,620838,6975,1461,365,391658,0 39,2,2024-09-07 09:11:21:418,452668,452668,0,0,17734507,0,2689 39,3,2024-09-07 09:11:20:713,1,358,1,0,324,4344,358,0 40,0,2024-09-07 09:11:21:510,88827,0.7,89451,0.9,178514,0.7,238088,2.75 40,1,2024-09-07 09:11:20:582,629921,629921,0,0,294377131858,3078169980,621334,7076,1511,368,391668,0 40,2,2024-09-07 09:11:21:310,453179,453178,1,0,21331802,0,5137 40,3,2024-09-07 09:11:21:149,1,358,0,0,1028,5113,358,0 41,0,2024-09-07 09:11:21:040,88635,1.1,90755,1.1,173164,1.6,236207,2.75 41,1,2024-09-07 09:11:20:779,628448,628448,0,0,294335153880,3074298632,621390,6353,705,369,391742,0 41,2,2024-09-07 09:11:20:760,451498,451498,0,0,20244297,0,3356 41,3,2024-09-07 09:11:21:687,1,358,3,0,366,3539,358,0 42,0,2024-09-07 09:11:21:488,89043,0.9,89132,1.0,178667,1.1,235967,2.75 42,1,2024-09-07 09:11:21:445,627596,627596,0,0,293515490735,3080420653,618049,7789,1758,380,391675,0 42,2,2024-09-07 09:11:21:148,451511,451511,0,0,20527168,0,3790 42,3,2024-09-07 09:11:21:014,1,358,0,0,446,3108,358,0 43,0,2024-09-07 09:11:20:923,88952,0.9,86604,1.0,181609,1.0,237865,2.50 43,1,2024-09-07 09:11:20:576,629093,629093,0,0,295805815937,3085895256,620857,6798,1438,366,391696,0 43,2,2024-09-07 09:11:21:739,452624,452624,0,0,20208373,0,3812 43,3,2024-09-07 09:11:21:749,1,358,3,0,467,4346,358,0 44,0,2024-09-07 09:11:20:878,90067,0.4,90440,0.6,180455,0.4,239843,1.75 44,1,2024-09-07 09:11:20:564,630355,630355,0,0,295238248723,3050415557,625292,4016,1047,356,391809,0 44,2,2024-09-07 09:11:21:271,453170,453170,0,0,17098862,0,4344 44,3,2024-09-07 09:11:21:098,1,358,1,0,817,4535,358,0 45,0,2024-09-07 09:11:21:772,87862,0.4,85730,0.7,179791,0.4,237398,2.00 45,1,2024-09-07 09:11:21:004,630176,630176,0,0,295718558599,3070759990,625738,3962,476,382,391917,0 45,2,2024-09-07 09:11:21:271,452739,452739,0,0,17545586,0,3596 45,3,2024-09-07 09:11:20:934,1,358,1,0,271,3332,358,0 46,0,2024-09-07 09:11:20:958,89549,0.5,89510,0.7,179061,0.5,237627,2.00 46,1,2024-09-07 09:11:20:575,631456,631456,0,0,295972585840,3054788458,627738,3292,426,366,391572,0 46,2,2024-09-07 09:11:20:593,452985,452985,0,0,16652036,0,2920 46,3,2024-09-07 09:11:21:132,1,358,2,0,908,5061,358,0 47,0,2024-09-07 09:11:21:105,90469,0.5,90729,0.6,181865,0.4,240133,2.00 47,1,2024-09-07 09:11:20:572,632253,632253,0,0,295071707941,3050481900,627765,3673,815,366,391641,0 47,2,2024-09-07 09:11:20:916,455461,455461,0,0,17352700,0,4477 47,3,2024-09-07 09:11:21:132,1,358,1,0,529,3769,358,0 48,0,2024-09-07 09:11:21:522,90481,0.3,90138,0.4,179809,0.2,240140,1.50 48,1,2024-09-07 09:11:21:023,630461,630461,0,0,295826763351,3069956837,626860,3297,304,384,391710,0 48,2,2024-09-07 09:11:20:699,452817,452817,0,0,15721388,0,3031 48,3,2024-09-07 09:11:20:760,1,358,1,0,339,2951,358,0 49,0,2024-09-07 09:11:21:733,91702,0.3,90195,0.5,174445,0.2,239484,1.75 49,1,2024-09-07 09:11:21:029,629881,629881,0,0,295295502781,3071852187,625551,3145,1185,382,391809,0 49,2,2024-09-07 09:11:21:798,453314,453314,0,0,16788756,0,4426 49,3,2024-09-07 09:11:21:417,1,358,1,0,408,3625,358,0 50,0,2024-09-07 09:11:21:515,90547,0.3,89043,0.4,179771,0.2,239540,1.75 50,1,2024-09-07 09:11:21:015,631959,631959,0,0,296265693160,3060081444,628137,3448,374,368,391565,0 50,2,2024-09-07 09:11:21:067,453101,453101,0,0,15884837,0,2263 50,3,2024-09-07 09:11:21:304,1,358,1,0,335,3356,358,0 51,0,2024-09-07 09:11:21:700,92122,0.3,90061,0.5,175732,0.2,239552,1.75 51,1,2024-09-07 09:11:21:688,631891,631891,0,0,296569798234,3057224469,628628,2287,976,365,391706,0 51,2,2024-09-07 09:11:21:325,453425,453425,0,0,15519316,0,3337 51,3,2024-09-07 09:11:21:027,1,358,17,0,678,2628,358,0 52,0,2024-09-07 09:11:21:414,89923,0.5,89922,0.7,179634,0.4,238813,2.00 52,1,2024-09-07 09:11:20:581,629274,629274,0,0,294651318801,3081413386,620630,7330,1314,368,391722,0 52,2,2024-09-07 09:11:21:755,449574,449536,38,0,20087455,0,6742 52,3,2024-09-07 09:11:20:676,1,358,1,0,1782,5269,358,0 53,0,2024-09-07 09:11:21:747,88626,0.6,86167,0.7,180227,0.6,236866,2.25 53,1,2024-09-07 09:11:20:771,627840,627840,0,0,294876571785,3090600778,618211,7045,2584,367,391702,0 53,2,2024-09-07 09:11:21:307,454405,454405,0,0,17457021,0,2727 53,3,2024-09-07 09:11:20:705,1,358,5,0,308,3275,358,0 54,0,2024-09-07 09:11:21:641,88276,0.5,88704,0.7,176550,0.4,236249,2.25 54,1,2024-09-07 09:11:20:580,629679,629679,0,0,295703201330,3069139488,624012,5064,603,366,391659,0 54,2,2024-09-07 09:11:20:871,453253,453247,6,0,19835062,0,5382 54,3,2024-09-07 09:11:20:764,1,358,2,0,676,5259,358,0 55,0,2024-09-07 09:11:21:764,86553,0.6,89512,0.7,180651,0.5,235657,2.25 55,1,2024-09-07 09:11:20:764,629788,629788,0,0,295175370659,3067513037,623161,5718,909,365,391731,0 55,2,2024-09-07 09:11:20:738,451781,451781,0,0,19217108,0,3563 55,3,2024-09-07 09:11:20:678,1,358,1,0,304,3863,358,0 56,0,2024-09-07 09:11:21:584,91626,1.1,86354,1.1,178121,1.6,238460,2.50 56,1,2024-09-07 09:11:20:584,626733,626733,0,0,294590145761,3104898642,617986,7115,1632,381,391867,0 56,2,2024-09-07 09:11:21:308,452079,452079,0,0,20324034,0,3567 56,3,2024-09-07 09:11:21:059,1,358,5,0,705,4536,358,0 57,0,2024-09-07 09:11:20:948,88397,1.3,88328,1.2,176891,1.8,237001,2.75 57,1,2024-09-07 09:11:20:991,628576,628576,0,0,294702702528,3084300814,621701,6155,720,366,392032,0 57,2,2024-09-07 09:11:21:327,454561,454561,0,0,21953337,0,3317 57,3,2024-09-07 09:11:21:738,1,358,8,0,359,4074,358,0 58,0,2024-09-07 09:11:20:557,87868,0.7,85496,0.9,178874,0.8,235195,2.50 58,1,2024-09-07 09:11:20:576,629316,629313,0,3,295427561469,3084134636,621186,7045,1082,367,391603,3 58,2,2024-09-07 09:11:21:088,452008,452008,0,0,20061823,0,2549 58,3,2024-09-07 09:11:21:068,1,358,1,0,1043,3764,358,0 59,0,2024-09-07 09:11:21:762,89905,0.8,89475,0.9,178713,0.8,237457,2.50 59,1,2024-09-07 09:11:20:805,628485,628485,0,0,294720880670,3081001077,620603,6359,1523,369,391586,0 59,2,2024-09-07 09:11:20:584,452568,452568,0,0,19886831,0,2867 59,3,2024-09-07 09:11:21:738,1,358,1,0,1015,4406,358,0 60,0,2024-09-07 09:11:21:711,89815,0.5,89869,0.7,180366,0.5,239242,1.75 60,1,2024-09-07 09:11:20:801,630799,630799,0,0,296097893019,3072047357,626674,3476,649,370,392031,0 60,2,2024-09-07 09:11:21:141,453023,453023,0,0,19428302,0,3811 60,3,2024-09-07 09:11:21:268,1,358,1,0,409,4209,358,0 61,0,2024-09-07 09:11:21:509,89899,0.6,90581,0.8,180154,0.6,239799,2.00 61,1,2024-09-07 09:11:20:771,628654,628654,0,0,294884673018,3089028840,621436,5893,1325,382,392127,0 61,2,2024-09-07 09:11:21:119,453281,453214,67,0,19735675,0,6411 61,3,2024-09-07 09:11:21:694,1,358,9,0,479,5171,358,0 62,0,2024-09-07 09:11:21:715,89975,0.5,91956,0.7,175480,0.4,238905,1.75 62,1,2024-09-07 09:11:21:124,633030,633024,0,6,296099874476,3054159784,629442,3323,259,365,391715,6 62,2,2024-09-07 09:11:21:649,451373,451372,1,0,19176046,0,5555 62,3,2024-09-07 09:11:21:155,1,358,0,0,465,2699,358,0 63,0,2024-09-07 09:11:21:456,90520,0.4,90276,0.6,181062,0.3,240259,1.75 63,1,2024-09-07 09:11:20:804,631090,631084,0,6,295878460602,3069526474,627149,3547,388,381,391800,6 63,2,2024-09-07 09:11:20:762,452752,452752,0,0,17668435,0,4369 63,3,2024-09-07 09:11:21:735,1,358,31,0,667,3902,358,0 64,0,2024-09-07 09:11:21:527,89454,0.5,89454,0.7,179006,0.5,237723,2.00 64,1,2024-09-07 09:11:20:750,629964,629964,0,0,294903917528,3070326100,624314,3990,1660,370,391783,0 64,2,2024-09-07 09:11:21:151,456731,456712,19,0,17305728,0,6121 64,3,2024-09-07 09:11:21:151,1,358,0,0,265,3259,358,0 65,0,2024-09-07 09:11:21:794,88642,0.6,89003,0.8,177679,0.7,237318,2.00 65,1,2024-09-07 09:11:20:864,628560,628560,0,0,293786206803,3067331677,624472,3637,451,382,391901,0 65,2,2024-09-07 09:11:21:695,453755,453755,0,0,19055436,0,3367 65,3,2024-09-07 09:11:21:695,1,358,1,0,163,3108,358,0 66,0,2024-09-07 09:11:21:797,89433,0.5,89424,0.7,178869,0.4,237919,2.00 66,1,2024-09-07 09:11:21:293,630147,630147,0,0,295470907265,3069254845,626545,3279,323,380,391653,0 66,2,2024-09-07 09:11:21:144,454607,454607,0,0,16974994,0,4956 66,3,2024-09-07 09:11:21:081,1,358,1,0,291,3429,358,0 67,0,2024-09-07 09:11:21:446,89305,0.5,88863,0.6,178337,0.4,237991,2.00 67,1,2024-09-07 09:11:20:770,630753,630752,0,1,294651132216,3065469610,626581,3419,752,380,391787,1 67,2,2024-09-07 09:11:20:583,454268,454268,0,0,16506154,0,2889 67,3,2024-09-07 09:11:21:750,1,358,1,0,338,3220,358,0 68,0,2024-09-07 09:11:20:590,89931,0.6,89531,0.7,178733,0.6,238959,2.00 68,1,2024-09-07 09:11:20:574,628854,628854,0,0,294162642153,3077166431,624034,3615,1205,381,391953,0 68,2,2024-09-07 09:11:21:058,451290,451225,65,0,21503679,0,6698 68,3,2024-09-07 09:11:20:728,1,358,3,0,417,3757,358,0 69,0,2024-09-07 09:11:21:732,89426,0.8,90045,0.9,179093,0.9,237849,2.25 69,1,2024-09-07 09:11:21:016,626779,626779,0,0,294308677250,3100793491,618754,5914,2111,384,391994,0 69,2,2024-09-07 09:11:21:743,452296,452296,0,0,21756403,0,3722 69,3,2024-09-07 09:11:20:762,1,358,9,0,698,4953,358,0 70,0,2024-09-07 09:11:21:537,88638,0.7,88761,0.9,178403,0.5,236558,2.25 70,1,2024-09-07 09:11:20:806,630365,630365,0,0,295626041925,3064998029,625511,4318,536,366,391725,0 70,2,2024-09-07 09:11:21:325,453629,453629,0,0,19630126,0,4044 70,3,2024-09-07 09:11:20:749,1,358,1,0,854,3833,358,0 71,0,2024-09-07 09:11:21:389,88534,0.7,88138,0.9,177237,0.7,237237,2.50 71,1,2024-09-07 09:11:21:617,629219,629219,0,0,295048312328,3073952442,622607,5956,656,368,391738,0 71,2,2024-09-07 09:11:21:067,452693,452693,0,0,19447172,0,4042 71,3,2024-09-07 09:11:21:750,1,358,17,0,644,4712,358,0 72,0,2024-09-07 09:11:21:027,92774,0.6,90690,0.7,176897,0.6,240360,2.00 72,1,2024-09-07 09:11:21:023,628541,628541,0,0,294352782472,3080322053,620298,6544,1699,369,391819,0 72,2,2024-09-07 09:11:21:755,451426,451426,0,0,22179949,0,3983 72,3,2024-09-07 09:11:21:754,1,358,1,0,564,5431,358,0 73,0,2024-09-07 09:11:21:109,87816,0.4,90063,0.6,184163,0.4,239057,2.00 73,1,2024-09-07 09:11:20:766,629619,629619,0,0,294858027984,3061975738,625296,3993,330,367,391858,0 73,2,2024-09-07 09:11:21:745,452816,452816,0,0,21399885,0,3701 73,3,2024-09-07 09:11:20:977,1,358,11,0,274,4611,358,0 74,0,2024-09-07 09:11:21:330,90474,0.4,92691,0.6,177001,0.4,239569,2.25 74,1,2024-09-07 09:11:20:654,629252,629252,0,0,294412979704,3070105261,623170,4819,1263,381,391681,0 74,2,2024-09-07 09:11:21:007,453041,453041,0,0,20356914,0,4253 74,3,2024-09-07 09:11:21:442,1,358,1,0,522,4879,358,0 75,0,2024-09-07 09:11:21:772,89076,0.4,88759,0.6,177864,0.3,238133,2.00 75,1,2024-09-07 09:11:21:585,628456,628456,0,0,294359629084,3071703309,622095,5535,826,380,391739,0 75,2,2024-09-07 09:11:21:350,451707,451707,0,0,20517949,0,4766 75,3,2024-09-07 09:11:21:078,1,358,1,0,702,4917,358,0 76,0,2024-09-07 09:11:20:607,89796,0.6,89125,0.7,178870,0.6,239004,2.25 76,1,2024-09-07 09:11:20:806,629421,629421,0,0,294745436627,3071014214,625495,3335,591,382,391692,0 76,2,2024-09-07 09:11:21:074,454251,454250,1,0,19692398,0,5144 76,3,2024-09-07 09:11:21:149,1,358,1,0,175,3347,358,0 77,0,2024-09-07 09:11:21:698,90283,0.6,90359,0.8,180832,0.6,240103,2.00 77,1,2024-09-07 09:11:20:823,629159,629159,0,0,295172235135,3081651011,623881,4787,491,381,391869,0 77,2,2024-09-07 09:11:21:283,453909,453909,0,0,19276756,0,3890 77,3,2024-09-07 09:11:21:100,1,358,2,0,401,3938,358,0 78,0,2024-09-07 09:11:21:734,90363,0.4,89833,0.6,180386,0.4,239408,2.00 78,1,2024-09-07 09:11:20:623,629918,629918,0,0,294663713997,3064754611,623984,4789,1145,367,391670,0 78,2,2024-09-07 09:11:21:406,453880,453880,0,0,16592202,0,3855 78,3,2024-09-07 09:11:21:134,1,358,1,0,181,3272,358,0 79,0,2024-09-07 09:11:21:354,86545,0.4,88746,0.6,181472,0.3,236552,2.25 79,1,2024-09-07 09:11:20:592,631758,631758,0,0,295758530332,3059882952,627196,3927,635,368,391682,0 79,2,2024-09-07 09:11:21:068,453746,453746,0,0,17560021,0,4195 79,3,2024-09-07 09:11:20:754,1,358,1,0,418,4421,358,0 80,0,2024-09-07 09:11:21:089,89950,0.5,92685,0.6,177603,0.5,239275,2.00 80,1,2024-09-07 09:11:21:620,630092,630092,0,0,295217966154,3067760874,626730,3181,181,368,391791,0 80,2,2024-09-07 09:11:21:108,454657,454657,0,0,16998634,0,4433 80,3,2024-09-07 09:11:20:581,1,358,1,0,190,4275,358,0 81,0,2024-09-07 09:11:21:570,89757,0.6,91956,0.7,175437,0.5,238202,2.00 81,1,2024-09-07 09:11:21:654,628745,628745,0,0,294014281755,3070106191,623874,4372,499,382,391879,0 81,2,2024-09-07 09:11:21:160,452763,452700,63,0,18843655,0,5932 81,3,2024-09-07 09:11:21:121,1,358,0,0,374,4033,358,0 82,0,2024-09-07 09:11:21:536,89228,0.5,89753,0.7,179918,0.4,238498,2.00 82,1,2024-09-07 09:11:20:583,630992,630988,0,4,295579642235,3073292105,627086,3105,797,381,391768,4 82,2,2024-09-07 09:11:21:695,454214,454214,0,0,16013913,0,3986 82,3,2024-09-07 09:11:21:752,1,358,0,0,363,3515,358,0 83,0,2024-09-07 09:11:21:523,89488,0.5,89154,0.7,177963,0.4,237276,2.00 83,1,2024-09-07 09:11:20:556,629155,629155,0,0,294958779718,3072149935,624838,3936,381,382,391709,0 83,2,2024-09-07 09:11:20:765,453751,453751,0,0,16548222,0,3393 83,3,2024-09-07 09:11:20:748,1,358,2,0,1260,4912,358,0 84,0,2024-09-07 09:11:21:776,88870,0.6,88720,0.7,177388,0.5,237520,2.00 84,1,2024-09-07 09:11:21:039,628481,628481,0,0,294349238089,3071850249,622709,5043,729,367,391967,0 84,2,2024-09-07 09:11:20:573,452885,452885,0,0,20870384,0,4757 84,3,2024-09-07 09:11:21:143,1,358,1,0,908,5234,358,0 85,0,2024-09-07 09:11:21:012,86236,0.6,86269,0.8,182988,0.7,236927,2.25 85,1,2024-09-07 09:11:20:570,627081,627081,0,0,294590206949,3103256156,618544,7165,1372,381,392006,0 85,2,2024-09-07 09:11:20:872,452209,452209,0,0,20522494,0,3656 85,3,2024-09-07 09:11:20:684,1,358,0,0,789,4582,358,0 86,0,2024-09-07 09:11:20:903,89628,0.7,92182,0.8,176442,0.7,238346,2.25 86,1,2024-09-07 09:11:20:829,628864,628864,0,0,294691887569,3080994831,621964,5834,1066,366,391961,0 86,2,2024-09-07 09:11:20:859,451160,451159,1,0,21493229,0,5004 86,3,2024-09-07 09:11:20:586,1,358,5,0,308,4806,358,0 87,0,2024-09-07 09:11:21:310,89235,0.8,89070,0.9,178785,1.0,238897,2.25 87,1,2024-09-07 09:11:20:563,628649,628649,0,0,294148257355,3072809514,622427,5608,614,366,392076,0 87,2,2024-09-07 09:11:21:071,453066,453066,0,0,19545223,0,4045 87,3,2024-09-07 09:11:21:798,1,358,6,0,473,5079,358,0 88,0,2024-09-07 09:11:21:437,89048,0.4,89776,0.6,178766,0.4,238199,1.75 88,1,2024-09-07 09:11:20:570,627181,627181,0,0,294209256521,3079877435,619472,6044,1665,365,392084,0 88,2,2024-09-07 09:11:20:688,453330,453330,0,0,21701073,0,3583 88,3,2024-09-07 09:11:21:271,1,358,2,0,435,3750,358,0 89,0,2024-09-07 09:11:21:768,92771,0.5,89760,0.6,177791,0.4,241153,1.75 89,1,2024-09-07 09:11:20:564,627378,627378,0,0,294250699003,3091887990,619612,6491,1275,382,391866,0 89,2,2024-09-07 09:11:21:147,451314,451314,0,0,20748499,0,2910 89,3,2024-09-07 09:11:21:794,1,358,7,0,385,6355,358,0 90,0,2024-09-07 09:11:21:649,87236,0.5,89689,0.6,182651,0.4,238348,2.00 90,1,2024-09-07 09:11:20:591,628731,628731,0,0,294470774831,3083894006,622507,5719,505,380,391825,0 90,2,2024-09-07 09:11:21:410,451865,451865,0,0,21972283,0,3060 90,3,2024-09-07 09:11:20:947,1,358,4,0,246,3900,358,0 91,0,2024-09-07 09:11:21:000,90687,0.5,87767,0.6,183316,0.4,240311,1.75 91,1,2024-09-07 09:11:20:562,627327,627327,0,0,294541484218,3094698437,619489,6791,1047,381,392047,0 91,2,2024-09-07 09:11:21:364,454047,454047,0,0,19906741,0,2896 91,3,2024-09-07 09:11:20:598,1,358,1,0,216,3302,358,0 92,0,2024-09-07 09:11:21:448,90107,0.4,92398,0.6,176448,0.4,239155,1.75 92,1,2024-09-07 09:11:20:580,628606,628606,0,0,294225340610,3072508210,623783,4134,689,382,392136,0 92,2,2024-09-07 09:11:21:351,453313,453313,0,0,17972976,0,3259 92,3,2024-09-07 09:11:21:020,1,358,5,0,167,3032,358,0 93,0,2024-09-07 09:11:20:968,90803,0.4,93006,0.5,177708,0.3,240490,1.75 93,1,2024-09-07 09:11:20:811,629180,629180,0,0,294745893589,3074487871,623049,5100,1031,366,391776,0 93,2,2024-09-07 09:11:20:944,452128,452128,0,0,21059564,0,4845 93,3,2024-09-07 09:11:21:406,1,358,1,0,190,3401,358,0 94,0,2024-09-07 09:11:21:613,89651,0.4,90457,0.5,180498,0.3,239376,1.75 94,1,2024-09-07 09:11:20:575,629121,629121,0,0,294845089765,3075034876,625061,3869,191,381,391850,0 94,2,2024-09-07 09:11:20:764,453504,453504,0,0,18163520,0,2443 94,3,2024-09-07 09:11:21:689,1,358,7,0,264,4336,358,0 95,0,2024-09-07 09:11:21:351,89392,0.4,89443,0.5,179194,0.3,239153,1.75 95,1,2024-09-07 09:11:20:938,630687,630687,0,0,295267797518,3068789597,625660,4626,401,367,391713,0 95,2,2024-09-07 09:11:21:070,453035,453035,0,0,17835156,0,3308 95,3,2024-09-07 09:11:21:716,1,358,0,0,718,5405,358,0 96,0,2024-09-07 09:11:21:035,89997,0.3,90212,0.5,180073,0.3,238753,1.75 96,1,2024-09-07 09:11:21:583,628721,628721,0,0,294980076727,3075804720,624187,3736,798,384,391955,0 96,2,2024-09-07 09:11:21:288,453275,453275,0,0,17704221,0,4042 96,3,2024-09-07 09:11:21:143,1,358,1,0,411,3807,358,0 97,0,2024-09-07 09:11:21:364,89168,0.3,88974,0.5,178595,0.3,237801,1.50 97,1,2024-09-07 09:11:20:763,630814,630814,0,0,295485890206,3061324905,626905,3235,674,367,392140,0 97,2,2024-09-07 09:11:20:607,454228,454228,0,0,17373150,0,3036 97,3,2024-09-07 09:11:20:570,1,358,4,0,214,4072,358,0 98,0,2024-09-07 09:11:21:699,89702,0.3,89484,0.4,179797,0.2,238936,1.50 98,1,2024-09-07 09:11:20:573,629918,629918,0,0,294691896764,3063011489,627240,2571,107,382,391997,0 98,2,2024-09-07 09:11:20:800,453178,453178,0,0,17200660,0,3080 98,3,2024-09-07 09:11:20:702,1,358,80,0,840,5561,358,0 99,0,2024-09-07 09:11:21:472,89958,0.3,90523,0.5,179949,0.3,240014,1.75 99,1,2024-09-07 09:11:21:731,629953,629953,0,0,295321730812,3072423967,625801,3396,756,381,392069,0 99,2,2024-09-07 09:11:21:438,453669,453669,0,0,19597583,0,3424 99,3,2024-09-07 09:11:20:582,1,358,3,0,199,3352,358,0 100,0,2024-09-07 09:11:21:484,89274,0.6,89327,0.9,178388,0.7,238477,2.25 100,1,2024-09-07 09:11:20:611,626604,626604,0,0,293755869339,3098157499,618119,6987,1498,381,391989,0 100,2,2024-09-07 09:11:21:822,452671,452660,11,0,20015983,0,5417 100,3,2024-09-07 09:11:21:735,1,358,2,0,559,6013,358,0 101,0,2024-09-07 09:11:21:706,91072,0.7,88660,0.9,173951,0.5,238968,2.00 101,1,2024-09-07 09:11:20:550,626661,626661,0,0,293777657185,3088939019,617555,7082,2024,368,391847,0 101,2,2024-09-07 09:11:21:758,450490,450490,0,0,23325260,0,4644 101,3,2024-09-07 09:11:20:943,1,358,3,0,579,4612,358,0 102,0,2024-09-07 09:11:20:996,87495,0.7,90440,0.8,182713,0.6,239162,2.00 102,1,2024-09-07 09:11:21:155,627620,627620,0,0,293821361539,3081511593,620292,6293,1035,369,391891,0 102,2,2024-09-07 09:11:21:742,452588,452534,54,0,19458082,0,6768 102,3,2024-09-07 09:11:21:615,1,358,3,0,410,3715,358,0 103,0,2024-09-07 09:11:21:591,92947,0.6,92845,0.7,175221,0.7,240819,2.00 103,1,2024-09-07 09:11:21:624,626697,626697,0,0,293236273245,3088189646,617866,6834,1997,381,391829,0 103,2,2024-09-07 09:11:20:583,451765,451765,0,0,20558628,0,3173 103,3,2024-09-07 09:11:20:761,1,358,3,0,916,4295,358,0 104,0,2024-09-07 09:11:21:032,89030,0.7,89474,0.9,177758,0.6,238839,2.25 104,1,2024-09-07 09:11:21:609,628633,628633,0,0,293894531699,3086114921,619706,7227,1700,365,392168,0 104,2,2024-09-07 09:11:21:670,452379,452379,0,0,21530069,0,3941 104,3,2024-09-07 09:11:21:418,1,358,1,0,1245,7572,358,0 105,0,2024-09-07 09:11:21:041,88017,0.7,85612,1.0,179288,0.8,236551,2.50 105,1,2024-09-07 09:11:20:557,628681,628681,0,0,295000516189,3090523123,620518,6826,1337,366,392009,0 105,2,2024-09-07 09:11:21:327,451542,451542,0,0,20793324,0,3509 105,3,2024-09-07 09:11:21:305,1,358,1,0,399,5679,358,0 106,0,2024-09-07 09:11:21:053,86959,0.8,89038,0.9,182476,0.9,238432,2.50 106,1,2024-09-07 09:11:21:753,627597,627597,0,0,294640569500,3093768881,617995,8307,1295,369,391914,0 106,2,2024-09-07 09:11:20:771,450861,450861,0,0,20542173,0,2795 106,3,2024-09-07 09:11:20:687,1,358,23,0,470,4463,358,0 107,0,2024-09-07 09:11:21:111,90017,1.1,90169,1.0,180218,1.5,239907,2.25 107,1,2024-09-07 09:11:20:596,627025,627025,0,0,294019389670,3094212973,619033,7075,917,381,392234,0 107,2,2024-09-07 09:11:21:300,452135,452134,1,0,21085023,0,5024 107,3,2024-09-07 09:11:21:756,1,358,66,0,353,4920,358,0 108,0,2024-09-07 09:11:21:860,89685,0.4,90327,0.6,179572,0.4,239911,1.75 108,1,2024-09-07 09:11:21:312,629401,629401,0,0,295021411761,3074942555,624305,4578,518,368,391857,0 108,2,2024-09-07 09:11:21:778,451937,451937,0,0,20211936,0,4246 108,3,2024-09-07 09:11:21:348,1,358,11,0,749,7111,358,0 109,0,2024-09-07 09:11:21:766,89892,0.4,88982,0.5,178262,0.3,239072,1.75 109,1,2024-09-07 09:11:20:583,627274,627274,0,0,294710119474,3087998605,622056,4553,665,383,392132,0 109,2,2024-09-07 09:11:20:930,450584,450584,0,0,19619312,0,3617 109,3,2024-09-07 09:11:21:153,1,358,1,0,379,4170,358,0 110,0,2024-09-07 09:11:21:753,90015,0.4,87529,0.6,183360,0.3,240001,1.75 110,1,2024-09-07 09:11:21:643,630475,630475,0,0,295985677206,3068785061,626467,3029,979,369,392045,0 110,2,2024-09-07 09:11:21:307,452896,452896,0,0,19412212,0,4067 110,3,2024-09-07 09:11:20:689,1,358,1,0,722,5216,358,0 111,0,2024-09-07 09:11:21:413,90153,0.4,89456,0.6,179399,0.4,239571,1.75 111,1,2024-09-07 09:11:21:000,631029,631029,0,0,295960994285,3069041919,627646,3030,353,382,391690,0 111,2,2024-09-07 09:11:21:133,452355,452355,0,0,18744455,0,4823 111,3,2024-09-07 09:11:20:918,1,358,11,0,379,4240,358,0 112,0,2024-09-07 09:11:20:917,90458,0.3,89778,0.4,179991,0.2,239245,1.50 112,1,2024-09-07 09:11:20:826,630411,630411,0,0,295426822737,3062143684,626933,2894,584,380,391624,0 112,2,2024-09-07 09:11:21:166,453158,453157,1,0,16999238,0,5036 112,3,2024-09-07 09:11:20:598,1,358,1,0,282,3541,358,0 113,0,2024-09-07 09:11:20:869,89025,0.3,89216,0.4,178940,0.2,238434,1.50 113,1,2024-09-07 09:11:21:688,632013,632013,0,0,296343010839,3055107561,628729,2700,584,366,391661,0 113,2,2024-09-07 09:11:21:305,454901,454901,0,0,15737634,0,3813 113,3,2024-09-07 09:11:20:684,1,358,0,0,340,4109,358,0 114,0,2024-09-07 09:11:20:878,89623,0.3,90379,0.4,179192,0.2,239647,1.75 114,1,2024-09-07 09:11:20:722,630351,630351,0,0,295288613623,3066153168,625205,3552,1594,381,391556,0 114,2,2024-09-07 09:11:20:874,453736,453735,1,0,17452552,0,5069 114,3,2024-09-07 09:11:21:279,1,358,0,0,395,3012,358,0 115,0,2024-09-07 09:11:20:556,89425,0.3,90113,0.4,179840,0.2,239314,1.50 115,1,2024-09-07 09:11:20:573,629852,629852,0,0,296007768488,3071083643,624986,4026,840,382,391757,0 115,2,2024-09-07 09:11:21:130,454388,454388,0,0,16057190,0,3453 115,3,2024-09-07 09:11:21:001,1,358,2,0,159,2098,358,0 116,0,2024-09-07 09:11:21:767,89438,0.8,89284,0.9,179094,0.8,239422,2.00 116,1,2024-09-07 09:11:20:805,626642,626642,0,0,293896563250,3095678174,619027,5346,2269,380,392089,0 116,2,2024-09-07 09:11:21:760,452082,452082,0,0,21510748,0,3529 116,3,2024-09-07 09:11:20:937,1,358,2,0,415,4333,358,0 117,0,2024-09-07 09:11:20:967,89727,0.7,89232,0.8,178703,0.7,239317,2.00 117,1,2024-09-07 09:11:21:630,628183,628183,0,0,294513123620,3079063072,621863,5651,669,369,392033,0 117,2,2024-09-07 09:11:21:144,456484,456484,0,0,17962950,0,3700 117,3,2024-09-07 09:11:21:069,1,358,12,0,490,5090,358,0 118,0,2024-09-07 09:11:21:839,86560,0.5,88827,0.6,181369,0.4,237279,2.00 118,1,2024-09-07 09:11:20:586,627736,627736,0,0,294065074253,3087235165,618932,6904,1900,366,391907,0 118,2,2024-09-07 09:11:21:586,451823,451823,0,0,19881642,0,2781 118,3,2024-09-07 09:11:21:772,1,358,3,0,235,3868,358,0 119,0,2024-09-07 09:11:21:350,89770,0.6,90171,0.7,180342,0.6,239474,2.00 119,1,2024-09-07 09:11:20:575,629390,629390,0,0,294620906208,3077909765,622809,5744,837,367,391780,0 119,2,2024-09-07 09:11:21:274,452452,452452,0,0,18524627,0,4174 119,3,2024-09-07 09:11:21:328,1,358,1,0,563,5332,358,0 120,0,2024-09-07 09:11:21:560,89600,0.6,89244,0.8,178615,0.6,239013,2.25 120,1,2024-09-07 09:11:20:862,628267,628267,0,0,294371314793,3085941503,621834,5873,560,368,391961,0 120,2,2024-09-07 09:11:20:784,452835,452834,1,0,21836534,0,5281 120,3,2024-09-07 09:11:21:303,1,358,1,0,241,4327,358,0 121,0,2024-09-07 09:11:21:700,89942,0.9,90086,1.0,180692,1.2,239904,2.25 121,1,2024-09-07 09:11:21:672,628917,628917,0,0,294392604951,3076433687,622993,5486,438,367,391840,0 121,2,2024-09-07 09:11:21:141,452575,452575,0,0,21035038,0,4127 121,3,2024-09-07 09:11:20:729,1,358,1,0,269,3971,358,0 122,0,2024-09-07 09:11:21:761,88956,0.7,86897,0.8,182317,0.8,238968,2.00 122,1,2024-09-07 09:11:20:858,627931,627931,0,0,294191231575,3083734838,619463,7205,1263,366,392130,0 122,2,2024-09-07 09:11:21:325,452007,451934,73,0,23516561,0,5989 122,3,2024-09-07 09:11:20:594,1,358,1,0,512,6666,358,0 123,0,2024-09-07 09:11:20:966,89850,0.6,87899,0.7,183327,0.7,239928,2.00 123,1,2024-09-07 09:11:20:570,627693,627693,0,0,294246108747,3098746259,616241,9324,2128,369,392039,0 123,2,2024-09-07 09:11:21:019,451000,450999,1,0,20320794,0,5215 123,3,2024-09-07 09:11:21:149,1,358,2,0,168,3946,358,0 124,0,2024-09-07 09:11:20:925,92744,0.4,92648,0.5,174802,0.3,240224,1.75 124,1,2024-09-07 09:11:21:032,629892,629892,0,0,294490640367,3062040555,625167,3814,911,367,392178,0 124,2,2024-09-07 09:11:21:032,454890,454837,53,0,18385789,0,6487 124,3,2024-09-07 09:11:20:761,1,358,0,0,490,3560,358,0 125,0,2024-09-07 09:11:21:427,89810,0.4,89368,0.5,179748,0.3,239497,1.75 125,1,2024-09-07 09:11:20:871,628665,628665,0,0,294474239394,3072570695,623778,4242,645,383,391702,0 125,2,2024-09-07 09:11:21:122,453582,453582,0,0,19008512,0,4534 125,3,2024-09-07 09:11:21:151,1,358,1,0,709,4673,358,0 126,0,2024-09-07 09:11:21:466,89847,0.4,92317,0.6,176903,0.4,239627,1.75 126,1,2024-09-07 09:11:20:553,630487,630487,0,0,295667977842,3061963172,626739,3461,287,365,391987,0 126,2,2024-09-07 09:11:20:611,453406,453406,0,0,19031662,0,4539 126,3,2024-09-07 09:11:20:951,1,358,1,0,207,4458,358,0 127,0,2024-09-07 09:11:21:624,89057,0.3,89531,0.5,178455,0.3,237884,1.50 127,1,2024-09-07 09:11:20:572,629392,629392,0,0,295355451411,3066517649,623963,4568,861,364,392187,0 127,2,2024-09-07 09:11:20:651,452802,452802,0,0,17572743,0,3897 127,3,2024-09-07 09:11:21:269,1,358,1,0,968,4102,358,0 128,0,2024-09-07 09:11:21:532,89903,0.3,90192,0.4,180207,0.2,239224,1.50 128,1,2024-09-07 09:11:21:608,630040,630040,0,0,294732921091,3058281897,625932,3736,372,367,391838,0 128,2,2024-09-07 09:11:21:390,453658,453658,0,0,16770441,0,2915 128,3,2024-09-07 09:11:20:770,1,358,2,0,1082,5966,358,0 129,0,2024-09-07 09:11:21:021,90547,0.3,90088,0.5,180786,0.3,240116,1.50 129,1,2024-09-07 09:11:20:574,627219,627219,0,0,294223316275,3078238712,621562,4400,1257,379,391835,0 129,2,2024-09-07 09:11:20:686,453478,453478,0,0,17361046,0,4031 129,3,2024-09-07 09:11:20:688,1,358,1,0,469,4543,358,0 130,0,2024-09-07 09:11:21:721,90084,0.5,89626,0.6,180391,0.5,239647,1.75 130,1,2024-09-07 09:11:20:584,629960,629960,0,0,295235342853,3067289473,626538,3142,280,381,391825,0 130,2,2024-09-07 09:11:21:129,455313,455313,0,0,17266462,0,4067 130,3,2024-09-07 09:11:21:304,1,358,1,0,535,4759,358,0 131,0,2024-09-07 09:11:21:936,88859,0.3,89431,0.5,179798,0.3,238501,1.50 131,1,2024-09-07 09:11:21:821,629943,629943,0,0,294811328540,3074256391,625455,3740,748,381,391865,0 131,2,2024-09-07 09:11:20:574,454869,454869,0,0,15969851,0,2415 131,3,2024-09-07 09:11:21:695,1,358,2,0,392,3662,358,0 132,0,2024-09-07 09:11:21:414,89828,0.4,90695,0.6,180524,0.4,239829,1.75 132,1,2024-09-07 09:11:20:583,626850,626850,0,0,293438842113,3086138995,618201,7182,1467,381,392097,0 132,2,2024-09-07 09:11:20:705,452352,452352,0,0,21020349,0,4606 132,3,2024-09-07 09:11:21:695,1,358,2,0,804,6248,358,0 133,0,2024-09-07 09:11:21:535,87845,0.5,89795,0.6,184316,0.4,239537,1.75 133,1,2024-09-07 09:11:20:583,626913,626913,0,0,293837920910,3092826389,618726,7102,1085,383,391914,0 133,2,2024-09-07 09:11:21:122,452215,452215,0,0,22324995,0,4315 133,3,2024-09-07 09:11:21:303,1,358,2,0,479,3707,358,0 134,0,2024-09-07 09:11:20:944,90243,0.5,90076,0.7,180158,0.4,240131,2.00 134,1,2024-09-07 09:11:20:592,627840,627840,0,0,293748863966,3080307473,619510,6474,1856,366,391718,0 134,2,2024-09-07 09:11:21:756,453023,453023,0,0,18678505,0,3847 134,3,2024-09-07 09:11:20:749,1,358,3,0,739,4495,358,0 135,0,2024-09-07 09:11:21:133,86101,0.6,86111,0.7,182597,0.6,236262,2.00 135,1,2024-09-07 09:11:21:588,628209,628209,0,0,294420835669,3095244652,619768,7204,1237,380,391805,0 135,2,2024-09-07 09:11:20:708,453351,453351,0,0,20271184,0,3981 135,3,2024-09-07 09:11:21:002,1,358,1,0,299,2679,358,0 136,0,2024-09-07 09:11:21:631,90341,0.5,90595,0.7,180217,0.5,240287,2.00 136,1,2024-09-07 09:11:21:442,627771,627771,0,0,294070262004,3084603308,620742,6331,698,382,391685,0 136,2,2024-09-07 09:11:21:133,452798,452798,0,0,20019667,0,3506 136,3,2024-09-07 09:11:21:109,1,358,1,0,637,3961,358,0 137,0,2024-09-07 09:11:20:948,92935,0.6,90439,0.7,177754,0.7,241231,2.00 137,1,2024-09-07 09:11:20:587,627643,627643,0,0,294765628970,3090872708,618015,7745,1883,366,391898,0 137,2,2024-09-07 09:11:21:709,452632,452632,0,0,22453992,0,3185 137,3,2024-09-07 09:11:20:770,1,358,1,0,382,4117,358,0 138,0,2024-09-07 09:11:21:745,89368,1.2,89500,1.0,179784,1.7,238865,2.25 138,1,2024-09-07 09:11:21:688,628756,628756,0,0,294578395326,3089786482,619700,7460,1596,368,391954,0 138,2,2024-09-07 09:11:20:585,452623,452623,0,0,20057995,0,4988 138,3,2024-09-07 09:11:20:610,1,358,1,0,1160,4903,358,0 139,0,2024-09-07 09:11:21:367,88360,1.0,88602,1.0,177652,1.4,237136,2.25 139,1,2024-09-07 09:11:20:571,625816,625816,0,0,292635010675,3105048406,614432,8736,2648,381,392058,0 139,2,2024-09-07 09:11:20:697,449882,449882,0,0,21925889,0,3097 139,3,2024-09-07 09:11:21:663,1,358,1,0,257,4107,358,0 140,0,2024-09-07 09:11:21:593,90298,0.3,89920,0.5,180397,0.2,240140,1.75 140,1,2024-09-07 09:11:21:535,631860,631860,0,0,295483035075,3046067644,628653,2768,439,365,391606,0 140,2,2024-09-07 09:11:20:687,453113,453112,1,0,18034062,0,5036 140,3,2024-09-07 09:11:20:770,1,358,1,0,247,2844,358,0 141,0,2024-09-07 09:11:21:700,89983,0.3,92397,0.5,176437,0.3,239564,1.75 141,1,2024-09-07 09:11:20:860,630418,630418,0,0,295525070212,3068468283,625852,3704,862,379,391614,0 141,2,2024-09-07 09:11:21:688,453414,453414,0,0,17642891,0,3360 141,3,2024-09-07 09:11:21:054,1,358,1,0,391,4038,358,0 142,0,2024-09-07 09:11:21:355,90565,0.3,89777,0.5,179470,0.3,239711,1.75 142,1,2024-09-07 09:11:20:599,629870,629870,0,0,294539864855,3069519862,625899,3619,352,382,392102,0 142,2,2024-09-07 09:11:21:304,452745,452713,32,0,19062807,0,6028 142,3,2024-09-07 09:11:21:750,1,358,13,0,484,4261,358,0 143,0,2024-09-07 09:11:21:376,89444,0.4,89243,0.5,178842,0.4,238740,1.75 143,1,2024-09-07 09:11:20:569,630537,630537,0,0,295604677629,3064647186,626751,3633,153,367,391705,0 143,2,2024-09-07 09:11:20:771,453997,453997,0,0,18454968,0,3123 143,3,2024-09-07 09:11:21:147,1,358,1,0,303,4253,358,0 144,0,2024-09-07 09:11:21:595,86589,0.5,88833,0.7,181295,0.4,237663,2.00 144,1,2024-09-07 09:11:20:570,627550,627550,0,0,293885537365,3077499743,622651,3958,941,381,391649,0 144,2,2024-09-07 09:11:21:756,454233,454233,0,0,16688901,0,3473 144,3,2024-09-07 09:11:21:741,1,358,0,0,249,3500,358,0 145,0,2024-09-07 09:11:21:398,86587,0.6,86608,0.8,183666,0.5,236635,2.25 145,1,2024-09-07 09:11:20:553,627230,627230,0,0,294393100919,3091566708,619865,6223,1142,382,391759,0 145,2,2024-09-07 09:11:21:432,451590,451590,0,0,20220897,0,3903 145,3,2024-09-07 09:11:20:904,1,358,5,0,622,5344,358,0 146,0,2024-09-07 09:11:21:596,89724,0.6,89116,0.7,179634,0.5,238131,2.25 146,1,2024-09-07 09:11:21:587,628431,628431,0,0,293842098647,3087753700,618549,7871,2011,368,391770,0 146,2,2024-09-07 09:11:21:697,451322,451322,0,0,20073803,0,2730 146,3,2024-09-07 09:11:21:274,1,358,1,0,1520,7264,358,0 147,0,2024-09-07 09:11:21:702,89703,0.6,89404,0.7,178145,0.5,238910,2.00 147,1,2024-09-07 09:11:21:394,630099,630099,0,0,295252970324,3070166519,624427,4871,801,368,391791,0 147,2,2024-09-07 09:11:21:017,454079,454079,0,0,17940210,0,2789 147,3,2024-09-07 09:11:20:920,1,358,3,0,730,5107,358,0 0,0,2024-09-07 09:11:31:804,87494,0.6,87477,0.8,185679,0.7,239726,2.00 0,1,2024-09-07 09:11:30:821,630524,630524,0,0,295513994056,3087469767,626382,3896,246,369,391896,0 0,2,2024-09-07 09:11:31:070,455321,455321,0,0,17836153,0,4480 0,3,2024-09-07 09:11:30:985,1,359,9,0,431,5122,359,0 1,0,2024-09-07 09:11:31:799,90314,0.8,89814,0.9,180199,0.9,240180,2.00 1,1,2024-09-07 09:11:30:557,629579,629579,0,0,295456516035,3091014652,623943,4407,1229,370,391857,0 1,2,2024-09-07 09:11:30:639,453800,453800,0,0,17251364,0,3267 1,3,2024-09-07 09:11:31:308,1,359,1,0,262,4122,359,0 2,0,2024-09-07 09:11:31:585,89679,0.5,89908,0.7,178789,0.6,239577,2.00 2,1,2024-09-07 09:11:30:860,631834,631834,0,0,296053103696,3071643455,628932,2609,293,380,391745,0 2,2,2024-09-07 09:11:31:266,454504,454504,0,0,16927930,0,3594 2,3,2024-09-07 09:11:30:690,1,359,0,0,357,3732,359,0 3,0,2024-09-07 09:11:31:761,90307,0.4,90139,0.6,180203,0.4,239836,2.00 3,1,2024-09-07 09:11:31:618,630287,630287,0,0,294979678341,3069072777,625185,4479,623,380,391591,0 3,2,2024-09-07 09:11:31:143,453886,453863,23,0,17441356,0,5851 3,3,2024-09-07 09:11:31:755,1,359,4,0,207,2252,359,0 4,0,2024-09-07 09:11:31:805,87603,0.4,90022,0.5,183363,0.4,239831,1.75 4,1,2024-09-07 09:11:30:590,629155,629155,0,0,294937199250,3102482554,621689,6108,1358,370,391992,0 4,2,2024-09-07 09:11:31:018,453417,453417,0,0,20321753,0,4528 4,3,2024-09-07 09:11:31:028,1,359,11,0,448,4911,359,0 5,0,2024-09-07 09:11:31:459,89834,0.4,90210,0.6,180066,0.4,239700,1.75 5,1,2024-09-07 09:11:30:766,629997,629997,0,0,295178211641,3099498743,622794,5846,1357,367,392005,0 5,2,2024-09-07 09:11:31:828,452415,452415,0,0,19420863,0,2432 5,3,2024-09-07 09:11:31:738,1,359,1,0,457,5062,359,0 6,0,2024-09-07 09:11:30:917,90501,0.4,89971,0.6,179670,0.4,239987,1.75 6,1,2024-09-07 09:11:30:745,630227,630227,0,0,295694160026,3086507005,623607,5535,1085,379,391694,0 6,2,2024-09-07 09:11:31:116,453613,453613,0,0,18490398,0,4816 6,3,2024-09-07 09:11:31:285,1,359,1,0,340,3785,359,0 7,0,2024-09-07 09:11:31:544,89215,0.5,89790,0.6,178813,0.4,238223,1.75 7,1,2024-09-07 09:11:30:866,629198,629198,0,0,295398492092,3096845493,621100,6922,1176,382,391747,0 7,2,2024-09-07 09:11:30:770,454906,454906,0,0,18683155,0,4791 7,3,2024-09-07 09:11:30:862,1,359,4,0,398,3882,359,0 8,0,2024-09-07 09:11:31:345,90012,0.4,89850,0.5,179927,0.3,239921,1.75 8,1,2024-09-07 09:11:31:016,628270,628270,0,0,295250996335,3104284359,618114,7878,2278,366,392144,0 8,2,2024-09-07 09:11:30:794,450820,450820,0,0,23094272,0,3220 8,3,2024-09-07 09:11:30:585,1,359,1,0,538,6073,359,0 9,0,2024-09-07 09:11:31:144,90354,0.4,87705,0.5,183523,0.3,240219,1.75 9,1,2024-09-07 09:11:30:554,629005,629005,0,0,294439796076,3100386581,619925,6954,2126,369,392001,0 9,2,2024-09-07 09:11:31:083,453504,453504,0,0,20422290,0,3360 9,3,2024-09-07 09:11:31:772,1,359,2,0,496,5204,359,0 10,0,2024-09-07 09:11:31:610,89975,0.3,89308,0.5,179416,0.2,238951,1.75 10,1,2024-09-07 09:11:30:584,629770,629770,0,0,294644231548,3083274767,621883,6777,1110,381,391741,0 10,2,2024-09-07 09:11:30:761,454780,454780,0,0,22074368,0,4264 10,3,2024-09-07 09:11:30:873,1,359,1,0,296,3251,359,0 11,0,2024-09-07 09:11:31:009,89188,0.4,86621,0.6,181125,0.3,239492,1.75 11,1,2024-09-07 09:11:30:572,630532,630532,0,0,295545906465,3100946884,620508,7576,2448,383,391756,0 11,2,2024-09-07 09:11:31:123,453829,453829,0,0,19833129,0,4130 11,3,2024-09-07 09:11:31:298,1,359,10,0,843,5412,359,0 12,0,2024-09-07 09:11:30:967,90706,0.4,90722,0.5,181126,0.3,240384,1.75 12,1,2024-09-07 09:11:30:936,630077,630077,0,0,295194586135,3076397120,625201,4382,494,370,391870,0 12,2,2024-09-07 09:11:31:541,454551,454551,0,0,19634301,0,3469 12,3,2024-09-07 09:11:31:066,1,359,1,0,386,5684,359,0 13,0,2024-09-07 09:11:31:331,90900,0.4,90522,0.6,180739,0.4,240646,1.75 13,1,2024-09-07 09:11:31:523,629051,629051,0,0,294714665810,3096109648,623134,4506,1411,382,391740,0 13,2,2024-09-07 09:11:30:598,454807,454807,0,0,18030692,0,3287 13,3,2024-09-07 09:11:31:769,1,359,0,0,522,5636,359,0 14,0,2024-09-07 09:11:30:564,90237,0.4,90984,0.6,180117,0.3,239992,1.75 14,1,2024-09-07 09:11:31:564,633510,633510,0,0,296434871157,3067726844,628820,4331,359,364,391571,0 14,2,2024-09-07 09:11:30:763,454554,454524,30,0,19569022,0,6104 14,3,2024-09-07 09:11:31:115,1,359,1,0,1168,4235,359,0 15,0,2024-09-07 09:11:31:562,89292,0.4,89324,0.6,178873,0.3,238561,1.75 15,1,2024-09-07 09:11:31:607,630795,630795,0,0,295398180794,3073410522,626449,3523,823,381,391619,0 15,2,2024-09-07 09:11:31:000,455298,455298,0,0,16104465,0,3622 15,3,2024-09-07 09:11:31:406,1,359,1,0,1126,6305,359,0 16,0,2024-09-07 09:11:30:973,90220,0.6,90531,0.7,180848,0.5,240299,2.00 16,1,2024-09-07 09:11:30:576,631624,631624,0,0,295989304032,3087121465,627300,3961,363,370,391756,0 16,2,2024-09-07 09:11:31:437,453615,453615,0,0,18665318,0,4719 16,3,2024-09-07 09:11:31:146,1,359,1,0,317,4765,359,0 17,0,2024-09-07 09:11:31:811,93030,0.6,90742,0.8,177752,0.7,240992,2.00 17,1,2024-09-07 09:11:30:568,629163,629163,0,0,295456659709,3097080741,622913,4991,1259,368,392075,0 17,2,2024-09-07 09:11:31:671,456998,456998,0,0,18367529,0,2857 17,3,2024-09-07 09:11:30:574,1,359,1,0,298,5786,359,0 18,0,2024-09-07 09:11:30:950,89183,0.6,89591,0.8,178705,0.6,238471,2.25 18,1,2024-09-07 09:11:31:638,632184,632184,0,0,295995151299,3065476359,628833,3040,311,367,391649,0 18,2,2024-09-07 09:11:31:755,456312,456312,0,0,16942258,0,3541 18,3,2024-09-07 09:11:30:899,1,359,0,0,163,3007,359,0 19,0,2024-09-07 09:11:31:544,89363,0.5,90111,0.7,178304,0.5,237887,2.00 19,1,2024-09-07 09:11:30:566,632173,632173,0,0,296243224141,3070890544,627045,4289,839,367,391777,0 19,2,2024-09-07 09:11:31:752,455231,455231,0,0,15479104,0,3988 19,3,2024-09-07 09:11:31:129,1,359,1,0,524,2739,359,0 20,0,2024-09-07 09:11:31:387,90109,0.5,90125,0.6,180115,0.4,240186,2.00 20,1,2024-09-07 09:11:30:574,630440,630440,0,0,296186163496,3089822806,626093,3939,408,369,391886,0 20,2,2024-09-07 09:11:30:931,454282,454282,0,0,18781523,0,3721 20,3,2024-09-07 09:11:30:588,1,359,35,0,414,5519,359,0 21,0,2024-09-07 09:11:31:139,90083,0.5,90227,0.6,180114,0.5,239239,2.00 21,1,2024-09-07 09:11:31:541,628946,628946,0,0,294009542193,3093795787,620941,6122,1883,368,392016,0 21,2,2024-09-07 09:11:31:070,452507,452507,0,0,21945777,0,3747 21,3,2024-09-07 09:11:31:410,1,359,1,0,713,4181,359,0 22,0,2024-09-07 09:11:31:721,89627,0.5,89984,0.7,180170,0.5,238467,2.00 22,1,2024-09-07 09:11:31:023,630070,630070,0,0,294567586754,3090984706,621577,6673,1820,382,391667,0 22,2,2024-09-07 09:11:30:759,452929,452929,0,0,17803318,0,3134 22,3,2024-09-07 09:11:31:066,1,359,2,0,228,3007,359,0 23,0,2024-09-07 09:11:31:372,89202,0.5,89181,0.7,178701,0.5,238557,2.25 23,1,2024-09-07 09:11:31:003,630794,630794,0,0,296209363214,3106240475,620298,7336,3160,365,391690,0 23,2,2024-09-07 09:11:31:094,455426,455426,0,0,17664955,0,3010 23,3,2024-09-07 09:11:31:754,1,359,1,0,720,4839,359,0 24,0,2024-09-07 09:11:30:816,90072,0.4,90025,0.5,180377,0.3,239674,1.75 24,1,2024-09-07 09:11:30:584,629342,629342,0,0,294804403778,3089608115,622436,5374,1532,367,392269,0 24,2,2024-09-07 09:11:31:079,453571,453571,0,0,21592930,0,3607 24,3,2024-09-07 09:11:31:686,1,359,3,0,468,4665,359,0 25,0,2024-09-07 09:11:31:408,92333,0.4,89960,0.6,176336,0.4,240570,2.00 25,1,2024-09-07 09:11:30:563,630405,630405,0,0,294653337463,3090529511,622507,6520,1378,371,391928,0 25,2,2024-09-07 09:11:31:606,453137,453137,0,0,21774752,0,3978 25,3,2024-09-07 09:11:31:001,1,359,1,0,255,3490,359,0 26,0,2024-09-07 09:11:31:739,89744,0.4,87594,0.6,183751,0.4,239238,1.75 26,1,2024-09-07 09:11:31:541,630714,630714,0,0,295487775711,3092545255,621826,7352,1536,380,391748,0 26,2,2024-09-07 09:11:30:861,454195,454195,0,0,21627365,0,2809 26,3,2024-09-07 09:11:31:714,1,359,6,0,796,4465,359,0 27,0,2024-09-07 09:11:31:745,90176,0.4,90321,0.6,179016,0.4,239481,2.00 27,1,2024-09-07 09:11:31:676,632573,632573,0,0,296841923640,3087200253,627265,4542,766,381,391626,0 27,2,2024-09-07 09:11:30:874,452358,452293,65,0,21030225,0,5699 27,3,2024-09-07 09:11:31:015,1,359,0,0,564,3673,359,0 28,0,2024-09-07 09:11:31:389,89769,0.4,89494,0.6,179108,0.3,239704,2.00 28,1,2024-09-07 09:11:30:801,631575,631575,0,0,296542329069,3087695121,627073,3797,705,383,391698,0 28,2,2024-09-07 09:11:31:777,454084,454084,0,0,18819076,0,2915 28,3,2024-09-07 09:11:31:786,1,359,204,0,502,3978,359,0 29,0,2024-09-07 09:11:31:387,92720,0.4,90326,0.6,177239,0.3,241230,1.75 29,1,2024-09-07 09:11:31:571,633115,633115,0,0,296412495778,3067426569,628772,3658,685,369,391753,0 29,2,2024-09-07 09:11:30:861,452721,452721,0,0,18107837,0,4986 29,3,2024-09-07 09:11:30:967,1,359,0,0,459,4413,359,0 30,0,2024-09-07 09:11:31:459,89730,0.5,87179,0.7,182470,0.5,238674,2.00 30,1,2024-09-07 09:11:30:571,632592,632592,0,0,296609587073,3078159566,627772,4110,710,381,391672,0 30,2,2024-09-07 09:11:31:286,454876,454876,0,0,17066696,0,3161 30,3,2024-09-07 09:11:30:583,1,359,1,0,519,3609,359,0 31,0,2024-09-07 09:11:31:780,89808,0.4,90298,0.6,180352,0.3,239884,2.00 31,1,2024-09-07 09:11:30:571,634723,634723,0,0,297272062088,3046936349,632175,1989,559,356,391712,0 31,2,2024-09-07 09:11:31:286,454464,454464,0,0,18875944,0,3525 31,3,2024-09-07 09:11:31:712,1,359,1,0,220,3027,359,0 32,0,2024-09-07 09:11:31:427,89731,0.3,90144,0.5,180234,0.2,239896,1.50 32,1,2024-09-07 09:11:30:805,632079,632079,0,0,296249540851,3073997671,628909,2724,446,381,391646,0 32,2,2024-09-07 09:11:30:940,454479,454479,0,0,15895848,0,3155 32,3,2024-09-07 09:11:31:015,1,359,0,0,227,2480,359,0 33,0,2024-09-07 09:11:31:495,90462,0.3,90015,0.4,180613,0.2,240122,1.50 33,1,2024-09-07 09:11:30:580,633376,633376,0,0,296714291509,3070225195,628931,3614,831,369,391730,0 33,2,2024-09-07 09:11:30:758,455015,454980,35,0,18108984,0,7012 33,3,2024-09-07 09:11:30:899,1,359,1,0,329,3634,359,0 34,0,2024-09-07 09:11:30:932,90361,0.3,93018,0.4,177867,0.2,239218,1.75 34,1,2024-09-07 09:11:31:044,634184,634184,0,0,297829850531,3059279246,632757,1418,9,367,391562,0 34,2,2024-09-07 09:11:30:778,455134,455134,0,0,17267745,0,3577 34,3,2024-09-07 09:11:31:689,1,359,5,0,299,2556,359,0 35,0,2024-09-07 09:11:30:865,89155,0.3,89486,0.5,180161,0.3,240332,1.75 35,1,2024-09-07 09:11:31:079,631971,631971,0,0,296742886790,3072231827,628354,2836,781,382,391589,0 35,2,2024-09-07 09:11:31:587,454378,454378,0,0,17551630,0,2653 35,3,2024-09-07 09:11:30:910,1,359,1,0,418,4305,359,0 36,0,2024-09-07 09:11:31:518,90413,0.4,90369,0.6,180855,0.4,239769,2.00 36,1,2024-09-07 09:11:30:584,631712,631712,0,0,295644103449,3083690811,624394,5660,1658,366,391759,0 36,2,2024-09-07 09:11:31:752,454655,454655,0,0,19649772,0,3875 36,3,2024-09-07 09:11:30:864,1,359,3,0,416,5410,359,0 37,0,2024-09-07 09:11:31:371,89251,0.5,89110,0.6,178561,0.4,239063,2.00 37,1,2024-09-07 09:11:30:586,630935,630928,0,7,295590142322,3083913103,624153,4689,2086,365,391570,0 37,2,2024-09-07 09:11:31:143,453180,453165,15,0,19497914,0,5815 37,3,2024-09-07 09:11:31:776,1,359,2,0,888,5673,359,0 38,0,2024-09-07 09:11:31:436,89357,0.5,86765,0.7,181601,0.4,237840,2.00 38,1,2024-09-07 09:11:31:605,632583,632583,0,0,296339702256,3080964691,626521,5303,759,368,391821,0 38,2,2024-09-07 09:11:30:759,453983,453936,47,0,19779394,0,6710 38,3,2024-09-07 09:11:31:000,1,359,16,0,689,4768,359,0 39,0,2024-09-07 09:11:31:768,91884,0.6,89961,0.7,175145,0.5,239149,2.00 39,1,2024-09-07 09:11:30:740,630988,630988,0,0,296002307197,3091913737,622552,6975,1461,365,391658,0 39,2,2024-09-07 09:11:31:420,454212,454212,0,0,17777370,0,2689 39,3,2024-09-07 09:11:30:714,1,359,2,0,324,4346,359,0 40,0,2024-09-07 09:11:31:503,89078,0.7,89699,0.9,178973,0.7,238708,2.75 40,1,2024-09-07 09:11:30:578,631700,631700,0,0,295175765046,3086290043,623113,7076,1511,368,391668,0 40,2,2024-09-07 09:11:31:306,453860,453859,1,0,21337357,0,5137 40,3,2024-09-07 09:11:31:145,1,359,1,0,1028,5114,359,0 41,0,2024-09-07 09:11:31:050,89035,1.1,91160,1.1,173869,1.6,237211,2.75 41,1,2024-09-07 09:11:30:781,630184,630184,0,0,295270258150,3083775407,623126,6353,705,369,391742,0 41,2,2024-09-07 09:11:30:769,452951,452951,0,0,20260150,0,3356 41,3,2024-09-07 09:11:31:677,1,359,9,0,366,3548,359,0 42,0,2024-09-07 09:11:31:473,89206,0.9,89284,1.0,178991,1.1,236427,2.75 42,1,2024-09-07 09:11:31:439,629296,629296,0,0,294414441280,3089537412,619749,7789,1758,380,391675,0 42,2,2024-09-07 09:11:31:140,453000,453000,0,0,20539799,0,3790 42,3,2024-09-07 09:11:31:018,1,359,1,0,446,3109,359,0 43,0,2024-09-07 09:11:30:920,89040,0.9,86716,1.0,181778,1.0,238108,2.50 43,1,2024-09-07 09:11:30:576,630819,630819,0,0,296674175389,3094677344,622582,6799,1438,366,391696,0 43,2,2024-09-07 09:11:31:750,453741,453741,0,0,20218264,0,3812 43,3,2024-09-07 09:11:31:749,1,359,2,0,467,4348,359,0 44,0,2024-09-07 09:11:30:870,90180,0.4,90568,0.6,180667,0.4,240169,1.75 44,1,2024-09-07 09:11:30:563,632175,632175,0,0,296228736895,3060403357,627112,4016,1047,356,391809,0 44,2,2024-09-07 09:11:31:271,454212,454212,0,0,17109534,0,4344 44,3,2024-09-07 09:11:31:099,1,359,1,0,817,4536,359,0 45,0,2024-09-07 09:11:31:762,88352,0.4,86223,0.7,180824,0.4,238659,2.00 45,1,2024-09-07 09:11:31:006,631990,631990,0,0,296335516946,3077096808,627552,3962,476,382,391917,0 45,2,2024-09-07 09:11:31:272,454338,454338,0,0,17561375,0,3596 45,3,2024-09-07 09:11:30:933,1,359,1,0,271,3333,359,0 46,0,2024-09-07 09:11:30:950,89648,0.5,89603,0.7,179270,0.5,237924,2.00 46,1,2024-09-07 09:11:30:575,633196,633196,0,0,296869988049,3063862209,629478,3292,426,366,391572,0 46,2,2024-09-07 09:11:30:597,454245,454245,0,0,16665949,0,2920 46,3,2024-09-07 09:11:31:133,1,359,1,0,908,5062,359,0 47,0,2024-09-07 09:11:31:106,90575,0.5,90836,0.6,182063,0.4,240375,2.00 47,1,2024-09-07 09:11:30:566,634135,634135,0,0,296204084164,3061910231,629647,3673,815,366,391641,0 47,2,2024-09-07 09:11:30:913,456501,456501,0,0,17362022,0,4477 47,3,2024-09-07 09:11:31:114,1,359,1,0,529,3770,359,0 48,0,2024-09-07 09:11:31:537,90666,0.3,90328,0.4,180207,0.2,240661,1.50 48,1,2024-09-07 09:11:31:054,632255,632255,0,0,296630520261,3078183502,628654,3297,304,384,391710,0 48,2,2024-09-07 09:11:30:700,454109,454109,0,0,15770125,0,3031 48,3,2024-09-07 09:11:30:757,1,359,0,0,339,2951,359,0 49,0,2024-09-07 09:11:31:731,92170,0.3,90637,0.5,175286,0.2,240764,1.75 49,1,2024-09-07 09:11:31:023,631647,631647,0,0,296247476152,3081545800,627317,3145,1185,382,391809,0 49,2,2024-09-07 09:11:31:801,454693,454693,0,0,16811443,0,4426 49,3,2024-09-07 09:11:31:417,1,359,31,0,408,3656,359,0 50,0,2024-09-07 09:11:31:508,90681,0.3,89179,0.4,180053,0.2,239860,1.75 50,1,2024-09-07 09:11:31:013,633715,633715,0,0,297038260428,3067976995,629893,3448,374,368,391565,0 50,2,2024-09-07 09:11:31:068,454271,454271,0,0,15913204,0,2263 50,3,2024-09-07 09:11:31:291,1,359,1,0,335,3357,359,0 51,0,2024-09-07 09:11:31:685,92427,0.3,90373,0.5,176251,0.2,240322,1.75 51,1,2024-09-07 09:11:31:682,633692,633692,0,0,297521291627,3066847091,630429,2287,976,365,391706,0 51,2,2024-09-07 09:11:31:317,454865,454865,0,0,15550610,0,3337 51,3,2024-09-07 09:11:31:027,1,359,26,0,678,2654,359,0 52,0,2024-09-07 09:11:31:421,90124,0.5,90140,0.7,180036,0.4,239411,2.00 52,1,2024-09-07 09:11:30:578,631003,631003,0,0,295370434964,3088773402,622359,7330,1314,368,391722,0 52,2,2024-09-07 09:11:31:769,450921,450883,38,0,20130021,0,6742 52,3,2024-09-07 09:11:30:677,1,359,1,0,1782,5270,359,0 53,0,2024-09-07 09:11:31:772,89098,0.6,86604,0.7,181179,0.6,238111,2.25 53,1,2024-09-07 09:11:30:797,629612,629612,0,0,295697698020,3099007010,619983,7045,2584,367,391702,0 53,2,2024-09-07 09:11:31:298,455206,455206,0,0,17471763,0,2727 53,3,2024-09-07 09:11:30:700,1,359,0,0,308,3275,359,0 54,0,2024-09-07 09:11:31:616,88567,0.5,88965,0.7,177115,0.4,236933,2.25 54,1,2024-09-07 09:11:30:581,631371,631371,0,0,296591330344,3078152737,625703,5065,603,366,391659,0 54,2,2024-09-07 09:11:30:867,454640,454634,6,0,19888068,0,5382 54,3,2024-09-07 09:11:30:762,1,359,0,0,676,5259,359,0 55,0,2024-09-07 09:11:31:777,86876,0.6,89833,0.7,181371,0.5,236547,2.25 55,1,2024-09-07 09:11:30:777,631393,631393,0,0,295905942880,3075018965,624766,5718,909,365,391731,0 55,2,2024-09-07 09:11:30:728,453348,453348,0,0,19269691,0,3563 55,3,2024-09-07 09:11:30:674,1,359,1,0,304,3864,359,0 56,0,2024-09-07 09:11:31:560,91786,1.1,86540,1.1,178467,1.6,238950,2.50 56,1,2024-09-07 09:11:30:570,628498,628498,0,0,295480540185,3113981571,619751,7115,1632,381,391867,0 56,2,2024-09-07 09:11:31:303,453533,453533,0,0,20336744,0,3567 56,3,2024-09-07 09:11:31:059,1,359,1,0,705,4537,359,0 57,0,2024-09-07 09:11:30:947,88732,1.3,88651,1.1,177582,1.8,237908,2.75 57,1,2024-09-07 09:11:31:075,630351,630351,0,0,295599823722,3093442793,623476,6155,720,366,392032,0 57,2,2024-09-07 09:11:31:316,455291,455291,0,0,21960574,0,3317 57,3,2024-09-07 09:11:31:738,1,359,2,0,359,4076,359,0 58,0,2024-09-07 09:11:30:588,88365,0.7,85900,0.9,179819,0.8,236389,2.50 58,1,2024-09-07 09:11:30:579,631112,631109,0,3,296169425870,3091679526,622982,7045,1082,367,391603,3 58,2,2024-09-07 09:11:31:071,453447,453447,0,0,20073965,0,2549 58,3,2024-09-07 09:11:31:069,1,359,2,0,1043,3766,359,0 59,0,2024-09-07 09:11:31:764,90024,0.8,89584,0.9,178963,0.8,237767,2.50 59,1,2024-09-07 09:11:30:813,630296,630296,0,0,295849202095,3092413050,622414,6359,1523,369,391586,0 59,2,2024-09-07 09:11:30:585,453961,453961,0,0,19898408,0,2867 59,3,2024-09-07 09:11:31:737,1,359,3,0,1015,4409,359,0 60,0,2024-09-07 09:11:31:716,89951,0.5,89989,0.7,180638,0.5,239555,1.75 60,1,2024-09-07 09:11:30:771,632570,632570,0,0,296885046951,3080044860,628444,3477,649,370,392031,0 60,2,2024-09-07 09:11:31:153,454141,454141,0,0,19438382,0,3811 60,3,2024-09-07 09:11:31:258,1,359,3,0,409,4212,359,0 61,0,2024-09-07 09:11:31:493,89910,0.6,90593,0.8,180186,0.6,239799,2.00 61,1,2024-09-07 09:11:30:770,630517,630517,0,0,295750108841,3097850809,623299,5893,1325,382,392127,0 61,2,2024-09-07 09:11:31:135,454476,454409,67,0,19746550,0,6411 61,3,2024-09-07 09:11:31:691,1,359,0,0,479,5171,359,0 62,0,2024-09-07 09:11:31:731,90341,0.5,92340,0.7,176191,0.4,239810,1.75 62,1,2024-09-07 09:11:31:113,634848,634842,0,6,296915539239,3062422741,631260,3323,259,365,391715,6 62,2,2024-09-07 09:11:31:644,452660,452659,1,0,19197828,0,5555 62,3,2024-09-07 09:11:31:146,1,359,1,0,465,2700,359,0 63,0,2024-09-07 09:11:31:470,90613,0.4,90374,0.6,181239,0.3,240514,1.75 63,1,2024-09-07 09:11:30:821,632807,632801,0,6,296552942961,3076402568,628866,3547,388,381,391800,6 63,2,2024-09-07 09:11:30:761,454114,454114,0,0,17680453,0,4369 63,3,2024-09-07 09:11:31:738,1,359,1,0,667,3903,359,0 64,0,2024-09-07 09:11:31:511,89654,0.5,89686,0.7,179443,0.5,238303,2.00 64,1,2024-09-07 09:11:30:750,631697,631697,0,0,295847889713,3079942307,626045,3992,1660,370,391783,0 64,2,2024-09-07 09:11:31:144,457837,457818,19,0,17320784,0,6121 64,3,2024-09-07 09:11:31:146,1,359,0,0,265,3259,359,0 65,0,2024-09-07 09:11:31:693,88971,0.6,89297,0.8,178355,0.7,238194,2.00 65,1,2024-09-07 09:11:30:860,630372,630372,0,0,294598542075,3075820839,626281,3640,451,382,391901,0 65,2,2024-09-07 09:11:31:697,455143,455143,0,0,19098579,0,3367 65,3,2024-09-07 09:11:31:695,1,359,24,0,163,3132,359,0 66,0,2024-09-07 09:11:31:779,89708,0.5,89713,0.7,179405,0.4,238605,2.00 66,1,2024-09-07 09:11:31:294,631955,631955,0,0,296260152359,3077341470,628353,3279,323,380,391653,0 66,2,2024-09-07 09:11:31:149,455743,455743,0,0,16990109,0,4956 66,3,2024-09-07 09:11:31:081,1,359,1,0,291,3430,359,0 67,0,2024-09-07 09:11:31:434,89827,0.5,89429,0.6,179451,0.4,239599,2.00 67,1,2024-09-07 09:11:30:766,632486,632485,0,1,295474379241,3073887466,628314,3419,752,380,391787,1 67,2,2024-09-07 09:11:30:586,455542,455542,0,0,16524408,0,2889 67,3,2024-09-07 09:11:31:757,1,359,11,0,338,3231,359,0 68,0,2024-09-07 09:11:30:558,90241,0.6,89883,0.7,179372,0.6,239836,2.00 68,1,2024-09-07 09:11:30:569,630592,630592,0,0,294874096555,3084470708,625772,3615,1205,381,391953,0 68,2,2024-09-07 09:11:31:048,452703,452638,65,0,21572730,0,6698 68,3,2024-09-07 09:11:30:733,1,359,1,0,417,3758,359,0 69,0,2024-09-07 09:11:31:742,89580,0.8,90217,0.9,179403,0.9,238259,2.25 69,1,2024-09-07 09:11:31:016,628521,628521,0,0,295269631256,3110643432,620495,5915,2111,384,391994,0 69,2,2024-09-07 09:11:31:747,453771,453771,0,0,21825872,0,3722 69,3,2024-09-07 09:11:30:760,1,359,1,0,698,4954,359,0 70,0,2024-09-07 09:11:31:541,88884,0.7,88978,0.9,178903,0.5,237178,2.25 70,1,2024-09-07 09:11:30:806,632065,632065,0,0,296361848567,3072567051,627211,4318,536,366,391725,0 70,2,2024-09-07 09:11:31:326,454313,454313,0,0,19643619,0,4044 70,3,2024-09-07 09:11:30:749,1,359,4,0,854,3837,359,0 71,0,2024-09-07 09:11:31:362,88915,0.7,88541,0.9,178015,0.7,238271,2.50 71,1,2024-09-07 09:11:31:596,630955,630955,0,0,295944379189,3083231970,624343,5956,656,368,391738,0 71,2,2024-09-07 09:11:31:068,454091,454091,0,0,19487714,0,4042 71,3,2024-09-07 09:11:31:750,1,359,1,0,644,4713,359,0 72,0,2024-09-07 09:11:31:136,92954,0.6,90844,0.7,177214,0.6,240809,2.00 72,1,2024-09-07 09:11:31:041,630422,630422,0,0,295442810471,3091364769,622179,6544,1699,369,391819,0 72,2,2024-09-07 09:11:31:762,452962,452962,0,0,22193740,0,3983 72,3,2024-09-07 09:11:31:755,1,359,1,0,564,5432,359,0 73,0,2024-09-07 09:11:31:125,87908,0.4,90155,0.6,184352,0.4,239299,2.00 73,1,2024-09-07 09:11:30:770,631457,631457,0,0,295679705565,3070301741,627134,3993,330,367,391858,0 73,2,2024-09-07 09:11:31:752,453855,453855,0,0,21416197,0,3701 73,3,2024-09-07 09:11:30:969,1,359,1,0,274,4612,359,0 74,0,2024-09-07 09:11:31:326,90585,0.4,92805,0.6,177235,0.4,239908,2.25 74,1,2024-09-07 09:11:30:635,631046,631046,0,0,295143144606,3077585421,624963,4820,1263,381,391681,0 74,2,2024-09-07 09:11:31:002,454061,454061,0,0,20364821,0,4253 74,3,2024-09-07 09:11:31:444,1,359,1,0,522,4880,359,0 75,0,2024-09-07 09:11:31:810,89582,0.4,89251,0.6,178871,0.3,239468,2.00 75,1,2024-09-07 09:11:31:586,630199,630199,0,0,295299446171,3081255737,623837,5536,826,380,391739,0 75,2,2024-09-07 09:11:31:356,453226,453226,0,0,20545402,0,4766 75,3,2024-09-07 09:11:31:077,1,359,0,0,702,4917,359,0 76,0,2024-09-07 09:11:30:592,89895,0.6,89233,0.7,179093,0.6,239290,2.25 76,1,2024-09-07 09:11:30:811,631192,631192,0,0,295411399036,3077834091,627265,3336,591,382,391692,0 76,2,2024-09-07 09:11:31:065,455558,455557,1,0,19705548,0,5144 76,3,2024-09-07 09:11:31:144,1,359,1,0,175,3348,359,0 77,0,2024-09-07 09:11:31:793,90393,0.6,90471,0.8,181049,0.6,240365,2.00 77,1,2024-09-07 09:11:30:828,630868,630868,0,0,295932144701,3089422597,625590,4787,491,381,391869,0 77,2,2024-09-07 09:11:31:281,455039,455039,0,0,19290846,0,3890 77,3,2024-09-07 09:11:31:104,1,359,1,0,401,3939,359,0 78,0,2024-09-07 09:11:31:729,90549,0.4,90034,0.6,180821,0.4,239913,2.00 78,1,2024-09-07 09:11:30:610,631617,631617,0,0,295562903335,3073866030,625683,4789,1145,367,391670,0 78,2,2024-09-07 09:11:31:410,455109,455109,0,0,16604619,0,3855 78,3,2024-09-07 09:11:31:136,1,359,1,0,181,3273,359,0 79,0,2024-09-07 09:11:31:357,86976,0.4,89161,0.6,182330,0.3,237702,2.25 79,1,2024-09-07 09:11:30:570,633500,633500,0,0,296517787792,3067573510,628938,3927,635,368,391682,0 79,2,2024-09-07 09:11:31:074,455045,455045,0,0,17572588,0,4195 79,3,2024-09-07 09:11:30:749,1,359,3,0,418,4424,359,0 80,0,2024-09-07 09:11:31:123,90077,0.5,92853,0.6,177880,0.5,239558,2.00 80,1,2024-09-07 09:11:31:619,631845,631845,0,0,295880030046,3074553563,628482,3182,181,368,391791,0 80,2,2024-09-07 09:11:31:108,455878,455878,0,0,17026527,0,4433 80,3,2024-09-07 09:11:30:575,1,359,1,0,190,4276,359,0 81,0,2024-09-07 09:11:31:544,90079,0.6,92233,0.7,176033,0.5,238987,2.00 81,1,2024-09-07 09:11:31:657,630607,630607,0,0,294880719849,3079090892,625735,4373,499,382,391879,0 81,2,2024-09-07 09:11:31:127,454312,454249,63,0,18876316,0,5932 81,3,2024-09-07 09:11:31:123,1,359,1,0,374,4034,359,0 82,0,2024-09-07 09:11:31:534,89412,0.5,89963,0.7,180331,0.4,239100,2.00 82,1,2024-09-07 09:11:30:593,632678,632674,0,4,296204305364,3079751669,628772,3105,797,381,391768,4 82,2,2024-09-07 09:11:31:691,455536,455536,0,0,16035439,0,3986 82,3,2024-09-07 09:11:31:754,1,359,3,0,363,3518,359,0 83,0,2024-09-07 09:11:31:524,89909,0.5,89615,0.7,178909,0.5,238514,2.00 83,1,2024-09-07 09:11:30:554,630907,630907,0,0,295689492164,3079779866,626590,3936,381,382,391709,0 83,2,2024-09-07 09:11:30:765,454504,454504,0,0,16562626,0,3393 83,3,2024-09-07 09:11:30:749,1,359,3,0,1260,4915,359,0 84,0,2024-09-07 09:11:31:780,89152,0.6,89024,0.7,177964,0.5,238216,2.00 84,1,2024-09-07 09:11:31:039,630295,630295,0,0,295288170594,3081463539,624523,5043,729,367,391967,0 84,2,2024-09-07 09:11:30:582,454304,454304,0,0,20920210,0,4757 84,3,2024-09-07 09:11:31:143,1,359,12,0,908,5246,359,0 85,0,2024-09-07 09:11:31:064,86565,0.6,86580,0.8,183707,0.7,237841,2.25 85,1,2024-09-07 09:11:30:577,628704,628704,0,0,295553612027,3113320759,620163,7168,1373,381,392006,0 85,2,2024-09-07 09:11:30:908,453754,453754,0,0,20575886,0,3656 85,3,2024-09-07 09:11:30:720,1,359,39,0,789,4621,359,0 86,0,2024-09-07 09:11:30:957,89809,0.7,92360,0.8,176769,0.7,238822,2.25 86,1,2024-09-07 09:11:30:823,630613,630613,0,0,295580311346,3090390726,623704,5841,1068,366,391961,0 86,2,2024-09-07 09:11:30:854,452650,452649,1,0,21539697,0,5004 86,3,2024-09-07 09:11:30:586,1,359,15,0,308,4821,359,0 87,0,2024-09-07 09:11:31:302,89571,0.8,89395,0.9,179426,0.9,239802,2.25 87,1,2024-09-07 09:11:30:554,630492,630492,0,0,295193792778,3083544377,624269,5608,615,366,392076,0 87,2,2024-09-07 09:11:31:072,453957,453957,0,0,19572395,0,4045 87,3,2024-09-07 09:11:31:796,1,359,1,0,473,5080,359,0 88,0,2024-09-07 09:11:31:438,89468,0.4,90263,0.6,179608,0.4,239325,1.75 88,1,2024-09-07 09:11:30:604,628884,628884,0,0,295210081529,3090010458,621173,6046,1665,365,392084,0 88,2,2024-09-07 09:11:30:694,454809,454809,0,0,21713929,0,3583 88,3,2024-09-07 09:11:31:269,1,359,1,0,435,3751,359,0 89,0,2024-09-07 09:11:31:803,92892,0.5,89878,0.6,178004,0.4,241473,1.75 89,1,2024-09-07 09:11:30:555,629184,629184,0,0,295254924193,3102109952,621418,6491,1275,382,391866,0 89,2,2024-09-07 09:11:31:140,452744,452744,0,0,20763609,0,2910 89,3,2024-09-07 09:11:31:796,1,359,9,0,385,6364,359,0 90,0,2024-09-07 09:11:31:629,87358,0.5,89815,0.6,182935,0.4,238696,2.00 90,1,2024-09-07 09:11:30:592,630507,630507,0,0,295340387866,3092798689,624283,5719,505,380,391825,0 90,2,2024-09-07 09:11:31:432,452999,452999,0,0,21984811,0,3060 90,3,2024-09-07 09:11:30:934,1,359,1,0,246,3901,359,0 91,0,2024-09-07 09:11:30:974,90702,0.5,87780,0.6,183360,0.4,240311,1.75 91,1,2024-09-07 09:11:30:556,629099,629099,0,0,295492415782,3104394770,621261,6791,1047,381,392047,0 91,2,2024-09-07 09:11:31:335,455216,455216,0,0,19917290,0,2896 91,3,2024-09-07 09:11:30:598,1,359,2,0,216,3304,359,0 92,0,2024-09-07 09:11:31:451,90449,0.4,92758,0.6,177134,0.4,240087,1.75 92,1,2024-09-07 09:11:30:584,630356,630356,0,0,295089384029,3081300392,625533,4134,689,382,392136,0 92,2,2024-09-07 09:11:31:354,454716,454716,0,0,17986916,0,3259 92,3,2024-09-07 09:11:31:013,1,359,1,0,167,3033,359,0 93,0,2024-09-07 09:11:31:102,90908,0.4,93120,0.5,177901,0.3,240746,1.75 93,1,2024-09-07 09:11:30:862,630960,630960,0,0,295685966663,3084022317,624829,5100,1031,366,391776,0 93,2,2024-09-07 09:11:30:975,453503,453503,0,0,21088451,0,4845 93,3,2024-09-07 09:11:31:419,1,359,3,0,190,3404,359,0 94,0,2024-09-07 09:11:31:640,89849,0.4,90666,0.5,181007,0.3,239938,1.75 94,1,2024-09-07 09:11:30:564,630883,630883,0,0,295620189909,3083004922,626821,3871,191,381,391850,0 94,2,2024-09-07 09:11:30:760,454707,454707,0,0,18182603,0,2443 94,3,2024-09-07 09:11:31:691,1,359,0,0,264,4336,359,0 95,0,2024-09-07 09:11:31:353,89716,0.4,89748,0.5,179841,0.3,240028,1.75 95,1,2024-09-07 09:11:30:852,632365,632365,0,0,295863333998,3074944405,627337,4627,401,367,391713,0 95,2,2024-09-07 09:11:31:022,454275,454275,0,0,17850783,0,3308 95,3,2024-09-07 09:11:31:715,1,359,1,0,718,5406,359,0 96,0,2024-09-07 09:11:31:025,90258,0.3,90499,0.5,180611,0.3,239441,1.75 96,1,2024-09-07 09:11:31:588,630624,630624,0,0,295992637380,3086173274,626089,3737,798,384,391955,0 96,2,2024-09-07 09:11:31:269,454392,454392,0,0,17731100,0,4042 96,3,2024-09-07 09:11:31:146,1,359,8,0,411,3815,359,0 97,0,2024-09-07 09:11:31:327,89705,0.3,89475,0.5,179718,0.3,239231,1.50 97,1,2024-09-07 09:11:30:766,632516,632516,0,0,296407167504,3070732469,628606,3236,674,367,392140,0 97,2,2024-09-07 09:11:30:614,455619,455619,0,0,17404564,0,3036 97,3,2024-09-07 09:11:30:584,1,359,0,0,214,4072,359,0 98,0,2024-09-07 09:11:31:752,89989,0.3,89785,0.4,180391,0.2,239743,1.50 98,1,2024-09-07 09:11:30:569,631686,631686,0,0,295517893906,3071540581,629007,2572,107,382,391997,0 98,2,2024-09-07 09:11:30:771,454637,454637,0,0,17226774,0,3080 98,3,2024-09-07 09:11:30:702,1,359,0,0,840,5561,359,0 99,0,2024-09-07 09:11:31:484,90107,0.3,90677,0.5,180241,0.3,240411,1.75 99,1,2024-09-07 09:11:31:748,631648,631648,0,0,296027600906,3079705752,627494,3398,756,381,392069,0 99,2,2024-09-07 09:11:31:467,455159,455159,0,0,19635510,0,3424 99,3,2024-09-07 09:11:30:586,1,359,0,0,199,3352,359,0 100,0,2024-09-07 09:11:31:458,89515,0.6,89561,0.9,178867,0.7,239105,2.25 100,1,2024-09-07 09:11:30:607,628350,628350,0,0,294579682929,3106764349,619865,6987,1498,381,391989,0 100,2,2024-09-07 09:11:31:821,453332,453321,11,0,20036406,0,5417 100,3,2024-09-07 09:11:31:740,1,359,0,0,559,6013,359,0 101,0,2024-09-07 09:11:31:737,91464,0.7,88990,0.9,174771,0.5,239957,2.00 101,1,2024-09-07 09:11:30:565,628447,628447,0,0,294565263066,3097011140,619341,7082,2024,368,391847,0 101,2,2024-09-07 09:11:31:756,452000,452000,0,0,23417539,0,4644 101,3,2024-09-07 09:11:30:962,1,359,3,0,579,4615,359,0 102,0,2024-09-07 09:11:30:952,87631,0.7,90612,0.8,183066,0.6,239603,2.00 102,1,2024-09-07 09:11:31:144,629362,629362,0,0,294862368745,3092142043,622033,6294,1035,369,391891,0 102,2,2024-09-07 09:11:31:740,454179,454125,54,0,19539544,0,6768 102,3,2024-09-07 09:11:31:614,1,359,7,0,410,3722,359,0 103,0,2024-09-07 09:11:31:610,93047,0.6,92955,0.7,175432,0.6,241085,2.00 103,1,2024-09-07 09:11:31:800,628552,628552,0,0,294107571753,3097161318,619721,6834,1997,381,391829,0 103,2,2024-09-07 09:11:30:585,452836,452836,0,0,20597060,0,3173 103,3,2024-09-07 09:11:30:758,1,359,1,0,916,4296,359,0 104,0,2024-09-07 09:11:31:011,89161,0.7,89579,0.9,177979,0.6,239157,2.25 104,1,2024-09-07 09:11:31:598,630375,630375,0,0,294850329014,3095791086,621448,7227,1700,365,392168,0 104,2,2024-09-07 09:11:31:671,453468,453468,0,0,21539980,0,3941 104,3,2024-09-07 09:11:31:416,1,359,27,0,1245,7599,359,0 105,0,2024-09-07 09:11:31:050,88492,0.7,86125,1.0,180294,0.7,237906,2.50 105,1,2024-09-07 09:11:30:564,630543,630543,0,0,295905096064,3099701043,622380,6826,1337,366,392009,0 105,2,2024-09-07 09:11:31:325,453052,453052,0,0,20810872,0,3509 105,3,2024-09-07 09:11:31:304,1,359,3,0,399,5682,359,0 106,0,2024-09-07 09:11:30:943,87052,0.8,89152,0.9,182692,0.9,238710,2.50 106,1,2024-09-07 09:11:31:756,629426,629426,0,0,295636355501,3103914213,619824,8307,1295,369,391914,0 106,2,2024-09-07 09:11:30:765,452198,452198,0,0,20556972,0,2795 106,3,2024-09-07 09:11:30:677,1,359,1,0,470,4464,359,0 107,0,2024-09-07 09:11:31:169,90127,1.1,90281,1.0,180432,1.5,240157,2.25 107,1,2024-09-07 09:11:30:584,628795,628795,0,0,294950756558,3103724055,620800,7078,917,381,392234,0 107,2,2024-09-07 09:11:31:308,453216,453215,1,0,21099540,0,5024 107,3,2024-09-07 09:11:31:783,1,359,16,0,353,4936,359,0 108,0,2024-09-07 09:11:31:824,89877,0.4,90556,0.6,179972,0.4,240413,1.75 108,1,2024-09-07 09:11:31:301,631207,631207,0,0,295860740042,3083516715,626110,4579,518,368,391857,0 108,2,2024-09-07 09:11:31:776,453236,453236,0,0,20226372,0,4246 108,3,2024-09-07 09:11:31:329,1,359,1,0,749,7112,359,0 109,0,2024-09-07 09:11:31:788,90320,0.4,89402,0.5,179080,0.3,240258,1.75 109,1,2024-09-07 09:11:30:586,629034,629034,0,0,295680702909,3097905973,623815,4554,665,383,392132,0 109,2,2024-09-07 09:11:30:938,451915,451915,0,0,19637083,0,3617 109,3,2024-09-07 09:11:31:139,1,359,4,0,379,4174,359,0 110,0,2024-09-07 09:11:31:747,90147,0.4,87676,0.6,183644,0.3,240282,1.75 110,1,2024-09-07 09:11:31:647,632218,632218,0,0,296853159185,3077587956,628210,3029,979,369,392045,0 110,2,2024-09-07 09:11:31:314,454111,454111,0,0,19425201,0,4067 110,3,2024-09-07 09:11:30:695,1,359,1,0,722,5217,359,0 111,0,2024-09-07 09:11:31:416,90451,0.4,89766,0.6,179961,0.4,240342,1.75 111,1,2024-09-07 09:11:31:000,632780,632780,0,0,296860213563,3078182971,629397,3030,353,382,391690,0 111,2,2024-09-07 09:11:31:115,453854,453854,0,0,18759410,0,4823 111,3,2024-09-07 09:11:30:923,1,359,4,0,379,4244,359,0 112,0,2024-09-07 09:11:30:922,90679,0.3,89986,0.4,180415,0.2,239823,1.50 112,1,2024-09-07 09:11:30:828,632199,632199,0,0,296217338961,3070304582,628721,2894,584,380,391624,0 112,2,2024-09-07 09:11:31:146,454462,454461,1,0,17019267,0,5036 112,3,2024-09-07 09:11:30:596,1,359,3,0,282,3544,359,0 113,0,2024-09-07 09:11:30:870,89507,0.3,89667,0.4,179840,0.2,239645,1.50 113,1,2024-09-07 09:11:31:687,633798,633798,0,0,297340741446,3065345487,630514,2700,584,366,391661,0 113,2,2024-09-07 09:11:31:315,455771,455771,0,0,15753209,0,3813 113,3,2024-09-07 09:11:30:683,1,359,3,0,340,4112,359,0 114,0,2024-09-07 09:11:30:876,89874,0.3,90659,0.4,179783,0.2,240376,1.75 114,1,2024-09-07 09:11:30:737,632125,632125,0,0,296105644795,3074463658,626979,3552,1594,381,391556,0 114,2,2024-09-07 09:11:30:876,455204,455203,1,0,17474353,0,5069 114,3,2024-09-07 09:11:31:278,1,359,17,0,395,3029,359,0 115,0,2024-09-07 09:11:30:557,89768,0.3,90437,0.4,180457,0.2,240264,1.50 115,1,2024-09-07 09:11:30:570,631698,631698,0,0,296636434610,3077540835,626832,4026,840,382,391757,0 115,2,2024-09-07 09:11:31:127,456031,456031,0,0,16090303,0,3453 115,3,2024-09-07 09:11:31:001,1,359,0,0,159,2098,359,0 116,0,2024-09-07 09:11:31:724,89601,0.8,89456,0.9,179427,0.8,239938,2.00 116,1,2024-09-07 09:11:30:821,628427,628427,0,0,294656278288,3103618943,620812,5346,2269,380,392089,0 116,2,2024-09-07 09:11:31:758,453387,453387,0,0,21553029,0,3529 116,3,2024-09-07 09:11:30:912,1,359,2,0,415,4335,359,0 117,0,2024-09-07 09:11:30:959,90063,0.7,89558,0.8,179357,0.7,240310,2.00 117,1,2024-09-07 09:11:31:583,629863,629863,0,0,295314762301,3087298410,623543,5651,669,369,392033,0 117,2,2024-09-07 09:11:31:121,457250,457250,0,0,17975996,0,3700 117,3,2024-09-07 09:11:31:059,1,359,1,0,490,5091,359,0 118,0,2024-09-07 09:11:31:813,86981,0.5,89239,0.6,182272,0.4,238454,2.00 118,1,2024-09-07 09:11:30:587,629465,629465,0,0,294859767817,3095386344,620661,6904,1900,366,391907,0 118,2,2024-09-07 09:11:31:588,453419,453419,0,0,19934306,0,2781 118,3,2024-09-07 09:11:31:771,1,359,12,0,235,3880,359,0 119,0,2024-09-07 09:11:31:346,89890,0.6,90291,0.7,180589,0.6,239795,2.00 119,1,2024-09-07 09:11:30:548,631130,631130,0,0,295245358546,3084534332,624547,5746,837,367,391780,0 119,2,2024-09-07 09:11:31:270,453835,453835,0,0,18576114,0,4174 119,3,2024-09-07 09:11:31:325,1,359,6,0,563,5338,359,0 120,0,2024-09-07 09:11:31:549,89710,0.6,89372,0.8,178860,0.6,239349,2.25 120,1,2024-09-07 09:11:30:884,630107,630107,0,0,295355073176,3095917590,623674,5873,560,368,391961,0 120,2,2024-09-07 09:11:30:778,453979,453978,1,0,21851058,0,5281 120,3,2024-09-07 09:11:31:303,1,359,5,0,241,4332,359,0 121,0,2024-09-07 09:11:31:706,89962,0.9,90096,1.0,180717,1.2,239904,2.25 121,1,2024-09-07 09:11:31:660,630598,630598,0,0,294925610334,3081897134,624673,5487,438,367,391840,0 121,2,2024-09-07 09:11:31:125,453820,453820,0,0,21048379,0,4127 121,3,2024-09-07 09:11:30:743,1,359,2,0,269,3973,359,0 122,0,2024-09-07 09:11:31:778,89322,0.7,87223,0.8,183033,0.7,239920,2.00 122,1,2024-09-07 09:11:30:876,629745,629745,0,0,294999242216,3091962818,621277,7205,1263,366,392130,0 122,2,2024-09-07 09:11:31:318,453454,453381,73,0,23534752,0,5989 122,3,2024-09-07 09:11:30:597,1,359,0,0,512,6666,359,0 123,0,2024-09-07 09:11:30:964,89930,0.6,87985,0.7,183520,0.7,240167,2.00 123,1,2024-09-07 09:11:30:576,629506,629506,0,0,295064541637,3107058874,618054,9324,2128,369,392039,0 123,2,2024-09-07 09:11:31:019,452356,452355,1,0,20333598,0,5215 123,3,2024-09-07 09:11:31:133,1,359,1,0,168,3947,359,0 124,0,2024-09-07 09:11:30:967,92983,0.4,92890,0.5,175243,0.3,240826,1.75 124,1,2024-09-07 09:11:31:025,631712,631712,0,0,295364184614,3070940752,626987,3814,911,367,392178,0 124,2,2024-09-07 09:11:31:017,455982,455929,53,0,18398722,0,6487 124,3,2024-09-07 09:11:30:758,1,359,2,0,490,3562,359,0 125,0,2024-09-07 09:11:31:471,90119,0.4,89697,0.5,180352,0.3,240375,1.75 125,1,2024-09-07 09:11:30:863,630381,630381,0,0,295514250462,3083166814,625494,4242,645,382,391702,0 125,2,2024-09-07 09:11:31:124,454877,454877,0,0,19023046,0,4534 125,3,2024-09-07 09:11:31:128,1,359,2,0,709,4675,359,0 126,0,2024-09-07 09:11:31:457,90093,0.4,92560,0.6,177434,0.4,240310,1.75 126,1,2024-09-07 09:11:30:569,632252,632252,0,0,296538763322,3070811098,628504,3461,287,365,391987,0 126,2,2024-09-07 09:11:30:630,454509,454509,0,0,19045392,0,4539 126,3,2024-09-07 09:11:30:913,1,359,1,0,207,4459,359,0 127,0,2024-09-07 09:11:31:635,89606,0.3,90085,0.5,179583,0.3,239385,1.50 127,1,2024-09-07 09:11:30:578,631229,631229,0,0,296484304441,3077946522,625800,4568,861,364,392187,0 127,2,2024-09-07 09:11:30:645,454038,454038,0,0,17588049,0,3897 127,3,2024-09-07 09:11:31:268,1,359,0,0,968,4102,359,0 128,0,2024-09-07 09:11:31:548,90215,0.3,90513,0.4,180901,0.2,240055,1.50 128,1,2024-09-07 09:11:31:607,631850,631850,0,0,295553459603,3066769446,627740,3738,372,367,391838,0 128,2,2024-09-07 09:11:31:429,455134,455134,0,0,16799625,0,2915 128,3,2024-09-07 09:11:30:769,1,359,1,0,1082,5967,359,0 129,0,2024-09-07 09:11:31:003,90689,0.3,90258,0.5,181059,0.3,240538,1.50 129,1,2024-09-07 09:11:30:566,628923,628923,0,0,295112151940,3087312440,623266,4400,1257,379,391835,0 129,2,2024-09-07 09:11:30:700,454958,454958,0,0,17411764,0,4031 129,3,2024-09-07 09:11:30:701,1,359,0,0,469,4543,359,0 130,0,2024-09-07 09:11:31:735,90320,0.5,89865,0.6,180878,0.5,240285,1.75 130,1,2024-09-07 09:11:30:589,631763,631763,0,0,296270498652,3078058526,628339,3144,280,381,391825,0 130,2,2024-09-07 09:11:31:136,455993,455993,0,0,17278769,0,4067 130,3,2024-09-07 09:11:31:293,1,359,1,0,535,4760,359,0 131,0,2024-09-07 09:11:31:947,89250,0.3,89816,0.5,180609,0.3,239538,1.50 131,1,2024-09-07 09:11:31:820,631579,631579,0,0,295678093655,3083293361,627089,3742,748,381,391865,0 131,2,2024-09-07 09:11:30:573,456321,456321,0,0,15993063,0,2415 131,3,2024-09-07 09:11:31:689,1,359,2,0,392,3664,359,0 132,0,2024-09-07 09:11:31:427,89984,0.4,90868,0.6,180862,0.4,240274,1.75 132,1,2024-09-07 09:11:30:576,628577,628577,0,0,294710905888,3099074169,619928,7182,1467,381,392097,0 132,2,2024-09-07 09:11:30:705,453956,453956,0,0,21078444,0,4606 132,3,2024-09-07 09:11:31:688,1,359,0,0,804,6248,359,0 133,0,2024-09-07 09:11:31:547,87924,0.5,89910,0.6,184511,0.4,239780,1.75 133,1,2024-09-07 09:11:30:586,628712,628712,0,0,294772866319,3102464081,620525,7102,1085,383,391914,0 133,2,2024-09-07 09:11:31:097,453424,453424,0,0,22358265,0,4315 133,3,2024-09-07 09:11:31:302,1,359,1,0,479,3708,359,0 134,0,2024-09-07 09:11:30:943,90360,0.5,90176,0.7,180389,0.4,240454,2.00 134,1,2024-09-07 09:11:30:584,629639,629639,0,0,294686536930,3089958991,621309,6474,1856,366,391718,0 134,2,2024-09-07 09:11:31:758,454087,454087,0,0,18709953,0,3847 134,3,2024-09-07 09:11:30:749,1,359,41,0,739,4536,359,0 135,0,2024-09-07 09:11:31:127,86583,0.6,86589,0.7,183622,0.6,237605,2.00 135,1,2024-09-07 09:11:31:587,630002,630002,0,0,295280412542,3104174925,621561,7204,1237,380,391805,0 135,2,2024-09-07 09:11:30:687,454908,454908,0,0,20352214,0,3981 135,3,2024-09-07 09:11:31:002,1,359,1,0,299,2680,359,0 136,0,2024-09-07 09:11:31:622,90454,0.5,90703,0.7,180411,0.5,240583,2.00 136,1,2024-09-07 09:11:31:442,629495,629495,0,0,294925314947,3093336238,622463,6334,698,382,391685,0 136,2,2024-09-07 09:11:31:142,454131,454131,0,0,20034659,0,3506 136,3,2024-09-07 09:11:31:105,1,359,0,0,637,3961,359,0 137,0,2024-09-07 09:11:30:943,93033,0.6,90548,0.7,177961,0.7,241471,2.00 137,1,2024-09-07 09:11:30:577,629379,629379,0,0,295480490074,3098202226,619750,7746,1883,366,391898,0 137,2,2024-09-07 09:11:31:714,453571,453571,0,0,22464335,0,3185 137,3,2024-09-07 09:11:30:769,1,359,2,0,382,4119,359,0 138,0,2024-09-07 09:11:31:771,89554,1.2,89712,1.0,180229,1.7,239370,2.25 138,1,2024-09-07 09:11:31:709,630537,630537,0,0,295368835762,3097834680,621481,7460,1596,368,391954,0 138,2,2024-09-07 09:11:30:587,453982,453982,0,0,20071840,0,4988 138,3,2024-09-07 09:11:30:610,1,359,4,0,1160,4907,359,0 139,0,2024-09-07 09:11:31:371,88777,1.0,89004,1.0,178440,1.3,238264,2.25 139,1,2024-09-07 09:11:30:586,627526,627526,0,0,293312584046,3112020937,616142,8736,2648,381,392058,0 139,2,2024-09-07 09:11:30:702,451203,451203,0,0,21946192,0,3097 139,3,2024-09-07 09:11:31:667,1,359,1,0,257,4108,359,0 140,0,2024-09-07 09:11:31:599,90450,0.3,90066,0.5,180698,0.2,240439,1.75 140,1,2024-09-07 09:11:31:541,633634,633634,0,0,296437058741,3055711600,630427,2768,439,365,391606,0 140,2,2024-09-07 09:11:30:686,454295,454294,1,0,18045413,0,5036 140,3,2024-09-07 09:11:30:769,1,359,0,0,247,2844,359,0 141,0,2024-09-07 09:11:31:699,90284,0.3,92690,0.5,176993,0.3,240368,1.75 141,1,2024-09-07 09:11:30:862,632184,632184,0,0,296374129715,3077092002,627618,3704,862,379,391614,0 141,2,2024-09-07 09:11:31:686,454810,454810,0,0,17657262,0,3360 141,3,2024-09-07 09:11:31:043,1,359,0,0,391,4038,359,0 142,0,2024-09-07 09:11:31:343,90777,0.3,89999,0.5,179887,0.3,240311,1.75 142,1,2024-09-07 09:11:30:589,631649,631649,0,0,295488940976,3079175809,627677,3620,352,382,392102,0 142,2,2024-09-07 09:11:31:299,454067,454035,32,0,19077940,0,6028 142,3,2024-09-07 09:11:31:748,1,359,1,0,484,4262,359,0 143,0,2024-09-07 09:11:31:384,89875,0.4,89702,0.5,179719,0.4,239945,1.75 143,1,2024-09-07 09:11:30:567,632326,632326,0,0,296390505976,3072639941,628540,3633,153,367,391705,0 143,2,2024-09-07 09:11:30:776,454737,454737,0,0,18463268,0,3123 143,3,2024-09-07 09:11:31:179,1,359,5,0,303,4258,359,0 144,0,2024-09-07 09:11:31:505,86859,0.5,89107,0.7,181803,0.4,238390,2.00 144,1,2024-09-07 09:11:30:566,629287,629287,0,0,294768478678,3086556455,624387,3959,941,381,391649,0 144,2,2024-09-07 09:11:31:758,455681,455681,0,0,16718392,0,3473 144,3,2024-09-07 09:11:31:743,1,359,1,0,249,3501,359,0 145,0,2024-09-07 09:11:31:466,86897,0.6,86917,0.8,184373,0.5,237553,2.25 145,1,2024-09-07 09:11:30:552,628909,628909,0,0,294932717347,3097252579,621544,6223,1142,382,391759,0 145,2,2024-09-07 09:11:31:445,453037,453037,0,0,20263631,0,3903 145,3,2024-09-07 09:11:30:900,1,359,2,0,622,5346,359,0 146,0,2024-09-07 09:11:31:598,89899,0.6,89280,0.7,179985,0.5,238635,2.25 146,1,2024-09-07 09:11:31:585,630178,630178,0,0,294939251824,3098842973,620296,7871,2011,368,391770,0 146,2,2024-09-07 09:11:31:698,452673,452673,0,0,20092333,0,2730 146,3,2024-09-07 09:11:31:285,1,359,2,0,1520,7266,359,0 147,0,2024-09-07 09:11:31:720,89987,0.5,89742,0.7,178799,0.5,239802,2.00 147,1,2024-09-07 09:11:31:387,631873,631873,0,0,296372921998,3081517188,626201,4871,801,368,391791,0 147,2,2024-09-07 09:11:31:012,454846,454846,0,0,17948165,0,2789 147,3,2024-09-07 09:11:30:927,1,359,2,0,730,5109,359,0 0,0,2024-09-07 09:11:41:703,87605,0.6,87608,0.8,185925,0.7,240049,2.00 0,1,2024-09-07 09:11:40:801,632247,632247,0,0,296263442731,3095164733,628105,3896,246,369,391896,0 0,2,2024-09-07 09:11:41:067,456378,456378,0,0,17855518,0,4480 0,3,2024-09-07 09:11:40:974,1,360,1,0,431,5123,360,0 1,0,2024-09-07 09:11:41:819,90319,0.8,89824,0.9,180215,0.9,240188,2.00 1,1,2024-09-07 09:11:40:558,631359,631359,0,0,296239274567,3099049230,625723,4407,1229,370,391857,0 1,2,2024-09-07 09:11:40:662,454914,454914,0,0,17274152,0,3267 1,3,2024-09-07 09:11:41:302,1,360,1,0,262,4123,360,0 2,0,2024-09-07 09:11:41:569,90040,0.5,90242,0.7,179512,0.6,240510,2.00 2,1,2024-09-07 09:11:40:859,633565,633565,0,0,296957316112,3080876194,630663,2609,293,380,391745,0 2,2,2024-09-07 09:11:41:271,455914,455914,0,0,16954445,0,3594 2,3,2024-09-07 09:11:40:690,1,360,0,0,357,3732,360,0 3,0,2024-09-07 09:11:41:759,90406,0.4,90233,0.6,180406,0.4,240093,2.00 3,1,2024-09-07 09:11:41:621,632109,632109,0,0,295816905894,3077620425,627007,4479,623,380,391591,0 3,2,2024-09-07 09:11:41:143,455260,455237,23,0,17459650,0,5851 3,3,2024-09-07 09:11:41:752,1,360,1,0,207,2253,360,0 4,0,2024-09-07 09:11:41:815,87837,0.4,90245,0.5,183788,0.4,240440,1.75 4,1,2024-09-07 09:11:40:599,630879,630879,0,0,295953402718,3113321603,623410,6111,1358,370,391992,0 4,2,2024-09-07 09:11:41:023,454538,454538,0,0,20356913,0,4528 4,3,2024-09-07 09:11:41:027,1,360,9,0,448,4920,360,0 5,0,2024-09-07 09:11:41:378,90169,0.4,90556,0.6,180775,0.4,240604,1.75 5,1,2024-09-07 09:11:40:754,631760,631760,0,0,296080161755,3108893995,624555,5848,1357,367,392005,0 5,2,2024-09-07 09:11:41:830,453740,453740,0,0,19499284,0,2432 5,3,2024-09-07 09:11:41:743,1,360,1,0,457,5063,360,0 6,0,2024-09-07 09:11:40:920,90734,0.4,90256,0.6,180220,0.4,240679,1.75 6,1,2024-09-07 09:11:40:747,632048,632048,0,0,296572548480,3095507717,625428,5535,1085,379,391694,0 6,2,2024-09-07 09:11:41:121,454780,454780,0,0,18555596,0,4816 6,3,2024-09-07 09:11:41:274,1,360,1,0,340,3786,360,0 7,0,2024-09-07 09:11:41:536,89730,0.5,90310,0.6,179898,0.4,239847,2.00 7,1,2024-09-07 09:11:40:867,631040,631040,0,0,296291128287,3106084925,622942,6922,1176,382,391747,0 7,2,2024-09-07 09:11:40:779,456222,456222,0,0,18747665,0,4791 7,3,2024-09-07 09:11:40:859,1,360,6,0,398,3888,360,0 8,0,2024-09-07 09:11:41:353,90355,0.4,90180,0.5,180569,0.3,240773,1.75 8,1,2024-09-07 09:11:41:016,630001,630001,0,0,296216554586,3114056290,619844,7879,2278,366,392144,0 8,2,2024-09-07 09:11:40:791,452310,452310,0,0,23108681,0,3220 8,3,2024-09-07 09:11:40:595,1,360,12,0,538,6085,360,0 9,0,2024-09-07 09:11:41:121,90523,0.4,87850,0.5,183846,0.3,240634,1.75 9,1,2024-09-07 09:11:40:551,630730,630730,0,0,295186306869,3107999000,621650,6954,2126,369,392001,0 9,2,2024-09-07 09:11:41:083,454865,454865,0,0,20437070,0,3360 9,3,2024-09-07 09:11:41:755,1,360,20,0,496,5224,360,0 10,0,2024-09-07 09:11:41:609,90208,0.3,89541,0.5,179867,0.2,239607,1.75 10,1,2024-09-07 09:11:40:585,631532,631532,0,0,295338076430,3090350514,623645,6777,1110,381,391741,0 10,2,2024-09-07 09:11:40:768,455493,455493,0,0,22083260,0,4264 10,3,2024-09-07 09:11:40:871,1,360,1,0,296,3252,360,0 11,0,2024-09-07 09:11:41:007,89566,0.4,86963,0.6,181900,0.3,240466,1.75 11,1,2024-09-07 09:11:40:589,632308,632308,0,0,296191842510,3107566900,622284,7576,2448,383,391756,0 11,2,2024-09-07 09:11:41:134,455301,455301,0,0,19848196,0,4130 11,3,2024-09-07 09:11:41:299,1,360,1,0,843,5413,360,0 12,0,2024-09-07 09:11:40:936,90893,0.4,90878,0.5,181475,0.3,240843,1.75 12,1,2024-09-07 09:11:40:934,631850,631850,0,0,296055661677,3085216773,626973,4383,494,370,391870,0 12,2,2024-09-07 09:11:41:542,456008,456008,0,0,19655707,0,3469 12,3,2024-09-07 09:11:41:062,1,360,8,0,386,5692,360,0 13,0,2024-09-07 09:11:41:363,90993,0.4,90617,0.6,180932,0.4,240883,1.75 13,1,2024-09-07 09:11:41:524,630852,630852,0,0,295725619149,3106436016,624935,4506,1411,382,391740,0 13,2,2024-09-07 09:11:40:610,456116,456116,0,0,18044936,0,3287 13,3,2024-09-07 09:11:41:767,1,360,3,0,522,5639,360,0 14,0,2024-09-07 09:11:40:571,90341,0.4,91106,0.6,180385,0.3,240328,1.75 14,1,2024-09-07 09:11:41:578,635282,635282,0,0,297612895446,3079612965,630592,4331,359,364,391571,0 14,2,2024-09-07 09:11:40:765,455552,455522,30,0,19576935,0,6104 14,3,2024-09-07 09:11:41:120,1,360,7,0,1168,4242,360,0 15,0,2024-09-07 09:11:41:568,89769,0.4,89809,0.6,179826,0.3,239867,1.75 15,1,2024-09-07 09:11:41:609,632572,632572,0,0,296582419155,3085411372,628226,3523,823,381,391619,0 15,2,2024-09-07 09:11:40:998,456849,456849,0,0,16120799,0,3622 15,3,2024-09-07 09:11:41:409,1,360,1,0,1126,6306,360,0 16,0,2024-09-07 09:11:40:934,90328,0.6,90637,0.7,181087,0.5,240582,2.00 16,1,2024-09-07 09:11:40:564,633367,633367,0,0,296682882533,3094303670,629042,3962,363,370,391756,0 16,2,2024-09-07 09:11:41:433,454983,454983,0,0,18708007,0,4719 16,3,2024-09-07 09:11:41:177,1,360,5,0,317,4770,360,0 17,0,2024-09-07 09:11:41:777,93126,0.6,90837,0.8,177944,0.7,241255,2.00 17,1,2024-09-07 09:11:40:578,630962,630962,0,0,296112770730,3103852173,624712,4991,1259,368,392075,0 17,2,2024-09-07 09:11:41:671,458197,458197,0,0,18393260,0,2857 17,3,2024-09-07 09:11:40:583,1,360,1,0,298,5787,360,0 18,0,2024-09-07 09:11:40:945,89387,0.6,89794,0.8,179098,0.6,238975,2.25 18,1,2024-09-07 09:11:41:638,633921,633921,0,0,296686848306,3072552028,630569,3041,311,367,391649,0 18,2,2024-09-07 09:11:41:755,457671,457671,0,0,16958958,0,3541 18,3,2024-09-07 09:11:40:900,1,360,2,0,163,3009,360,0 19,0,2024-09-07 09:11:41:541,89820,0.5,90522,0.7,179171,0.5,239048,2.00 19,1,2024-09-07 09:11:40:575,633933,633933,0,0,297159909622,3080205612,628805,4289,839,367,391777,0 19,2,2024-09-07 09:11:41:752,456547,456547,0,0,15499700,0,3988 19,3,2024-09-07 09:11:41:131,1,360,1,0,524,2740,360,0 20,0,2024-09-07 09:11:41:410,90227,0.5,90246,0.6,180378,0.4,240477,2.00 20,1,2024-09-07 09:11:40:582,632216,632216,0,0,296772218176,3096086694,627868,3939,409,369,391886,0 20,2,2024-09-07 09:11:40:929,455539,455539,0,0,18817192,0,3721 20,3,2024-09-07 09:11:40:598,1,360,7,0,414,5526,360,0 21,0,2024-09-07 09:11:41:146,90384,0.5,90492,0.6,180682,0.5,239997,2.00 21,1,2024-09-07 09:11:41:541,630771,630771,0,0,294610992329,3100151658,622766,6122,1883,368,392016,0 21,2,2024-09-07 09:11:41:067,453873,453873,0,0,22018671,0,3747 21,3,2024-09-07 09:11:41:404,1,360,30,0,713,4211,360,0 22,0,2024-09-07 09:11:41:728,89857,0.5,90187,0.7,180621,0.5,239103,2.00 22,1,2024-09-07 09:11:41:023,631876,631876,0,0,295378202062,3099549543,623383,6673,1820,382,391667,0 22,2,2024-09-07 09:11:40:761,454253,454253,0,0,17831730,0,3134 22,3,2024-09-07 09:11:41:068,1,360,0,0,228,3007,360,0 23,0,2024-09-07 09:11:41:388,89669,0.5,89618,0.7,179663,0.4,239826,2.25 23,1,2024-09-07 09:11:41:006,632600,632600,0,0,296912553107,3113500562,622104,7336,3160,365,391690,0 23,2,2024-09-07 09:11:41:095,456327,456327,0,0,17703631,0,3010 23,3,2024-09-07 09:11:41:754,1,360,7,0,720,4846,360,0 24,0,2024-09-07 09:11:40:833,90357,0.4,90301,0.5,180918,0.3,240396,1.75 24,1,2024-09-07 09:11:40:584,631084,631084,0,0,295651832256,3098225714,624177,5375,1532,367,392269,0 24,2,2024-09-07 09:11:41:078,454938,454938,0,0,21609164,0,3607 24,3,2024-09-07 09:11:41:686,1,360,12,0,468,4677,360,0 25,0,2024-09-07 09:11:41:376,92696,0.4,90285,0.6,176988,0.4,241479,2.00 25,1,2024-09-07 09:11:40:587,632179,632179,0,0,295491335229,3099058190,624281,6520,1378,371,391928,0 25,2,2024-09-07 09:11:41:607,454664,454664,0,0,21796067,0,3978 25,3,2024-09-07 09:11:41:006,1,360,6,0,255,3496,360,0 26,0,2024-09-07 09:11:41:726,89907,0.4,87771,0.6,184110,0.4,239705,1.75 26,1,2024-09-07 09:11:41:542,632516,632516,0,0,296436658417,3102204772,623627,7353,1536,380,391748,0 26,2,2024-09-07 09:11:40:864,455573,455573,0,0,21646383,0,2809 26,3,2024-09-07 09:11:41:713,1,360,54,0,796,4519,360,0 27,0,2024-09-07 09:11:41:743,90496,0.4,90661,0.6,179718,0.4,240289,2.00 27,1,2024-09-07 09:11:41:676,634288,634288,0,0,297488342398,3093810148,628977,4545,766,381,391626,0 27,2,2024-09-07 09:11:40:883,453075,453010,65,0,21035718,0,5699 27,3,2024-09-07 09:11:41:015,1,360,1,0,564,3674,360,0 28,0,2024-09-07 09:11:41:406,90199,0.4,89931,0.6,180001,0.3,240844,1.75 28,1,2024-09-07 09:11:40:797,633403,633403,0,0,297311005509,3095542248,628900,3798,705,383,391698,0 28,2,2024-09-07 09:11:41:772,455697,455697,0,0,18846175,0,2915 28,3,2024-09-07 09:11:41:778,1,360,1,0,502,3979,360,0 29,0,2024-09-07 09:11:41:402,92853,0.4,90441,0.6,177468,0.3,241567,1.75 29,1,2024-09-07 09:11:41:561,634840,634840,0,0,297273347177,3076199340,630497,3658,685,369,391753,0 29,2,2024-09-07 09:11:40:867,454243,454243,0,0,18124508,0,4986 29,3,2024-09-07 09:11:40:963,1,360,0,0,459,4413,360,0 30,0,2024-09-07 09:11:41:455,89854,0.5,87307,0.7,182743,0.5,238991,2.00 30,1,2024-09-07 09:11:40:583,634364,634364,0,0,297636428764,3088579465,629544,4110,710,381,391672,0 30,2,2024-09-07 09:11:41:274,455889,455889,0,0,17074713,0,3161 30,3,2024-09-07 09:11:40:584,1,360,8,0,519,3617,360,0 31,0,2024-09-07 09:11:41:757,89819,0.4,90308,0.6,180373,0.3,239930,2.00 31,1,2024-09-07 09:11:40:569,636563,636563,0,0,298184696113,3056119529,634015,1989,559,356,391712,0 31,2,2024-09-07 09:11:41:280,455518,455518,0,0,18888167,0,3525 31,3,2024-09-07 09:11:41:706,1,360,1,0,220,3028,360,0 32,0,2024-09-07 09:11:41:428,90056,0.3,90480,0.5,180902,0.2,240840,1.50 32,1,2024-09-07 09:11:40:804,633786,633786,0,0,297092866772,3082633168,630616,2724,446,381,391646,0 32,2,2024-09-07 09:11:40:935,455965,455965,0,0,15919330,0,3155 32,3,2024-09-07 09:11:41:015,1,360,8,0,227,2488,360,0 33,0,2024-09-07 09:11:41:498,90554,0.3,90114,0.4,180787,0.2,240380,1.50 33,1,2024-09-07 09:11:40:580,635076,635076,0,0,297639648696,3079610912,630631,3614,831,369,391730,0 33,2,2024-09-07 09:11:40:759,456273,456238,35,0,18133581,0,7012 33,3,2024-09-07 09:11:40:895,1,360,1,0,329,3635,360,0 34,0,2024-09-07 09:11:40:931,90590,0.3,93266,0.4,178294,0.2,239780,1.75 34,1,2024-09-07 09:11:41:045,636041,636041,0,0,298693278742,3068050386,634614,1418,9,367,391562,0 34,2,2024-09-07 09:11:40:766,456303,456303,0,0,17286199,0,3577 34,3,2024-09-07 09:11:41:688,1,360,1,0,299,2557,360,0 35,0,2024-09-07 09:11:40:866,89475,0.3,89820,0.5,180815,0.3,241180,1.75 35,1,2024-09-07 09:11:41:066,633823,633823,0,0,297748939734,3082467870,630204,2838,781,382,391589,0 35,2,2024-09-07 09:11:41:583,455717,455717,0,0,17575832,0,2653 35,3,2024-09-07 09:11:40:909,1,360,1,0,418,4306,360,0 36,0,2024-09-07 09:11:41:526,90675,0.4,90600,0.6,181391,0.4,240512,2.00 36,1,2024-09-07 09:11:40:702,633410,633410,0,0,296398136951,3091379611,626092,5660,1658,366,391759,0 36,2,2024-09-07 09:11:41:753,455884,455884,0,0,19676768,0,3875 36,3,2024-09-07 09:11:40:864,1,360,2,0,416,5412,360,0 37,0,2024-09-07 09:11:41:396,89827,0.5,89680,0.6,179688,0.4,240313,2.00 37,1,2024-09-07 09:11:40:595,632724,632717,0,7,296367382004,3091910763,625942,4689,2086,365,391570,0 37,2,2024-09-07 09:11:41:156,454379,454364,15,0,19545706,0,5815 37,3,2024-09-07 09:11:41:772,1,360,0,0,888,5673,360,0 38,0,2024-09-07 09:11:41:435,89673,0.5,87060,0.7,182220,0.4,238652,2.00 38,1,2024-09-07 09:11:41:605,634363,634363,0,0,296954362662,3087425457,628301,5303,759,368,391821,0 38,2,2024-09-07 09:11:40:759,455360,455313,47,0,19864440,0,6710 38,3,2024-09-07 09:11:40:997,1,360,3,0,689,4771,360,0 39,0,2024-09-07 09:11:41:761,92055,0.6,90114,0.7,175464,0.5,239561,2.00 39,1,2024-09-07 09:11:40:721,632812,632812,0,0,296916487047,3101337262,624376,6975,1461,365,391658,0 39,2,2024-09-07 09:11:41:417,455721,455721,0,0,17841156,0,2689 39,3,2024-09-07 09:11:40:717,1,360,45,0,324,4391,360,0 40,0,2024-09-07 09:11:41:509,89323,0.7,89919,0.9,179462,0.7,239390,2.75 40,1,2024-09-07 09:11:40:578,633436,633436,0,0,295852462600,3093158998,624849,7076,1511,368,391668,0 40,2,2024-09-07 09:11:41:304,454578,454577,1,0,21343591,0,5137 40,3,2024-09-07 09:11:41:173,1,360,7,0,1028,5121,360,0 41,0,2024-09-07 09:11:41:024,89417,1.1,91576,1.1,174577,1.5,238196,2.75 41,1,2024-09-07 09:11:40:785,631997,631997,0,0,296492005759,3096113867,624939,6353,705,369,391742,0 41,2,2024-09-07 09:11:40:765,454461,454461,0,0,20276617,0,3356 41,3,2024-09-07 09:11:41:675,1,360,13,0,366,3561,360,0 42,0,2024-09-07 09:11:41:473,89369,0.9,89466,1.0,179358,1.1,236925,2.75 42,1,2024-09-07 09:11:41:438,631045,631045,0,0,295118814630,3096738470,621498,7789,1758,380,391675,0 42,2,2024-09-07 09:11:41:133,454544,454544,0,0,20556031,0,3790 42,3,2024-09-07 09:11:41:012,1,360,1,0,446,3110,360,0 43,0,2024-09-07 09:11:40:927,89109,0.9,86813,1.0,181965,1.0,238351,2.50 43,1,2024-09-07 09:11:40:584,632518,632518,0,0,297413756175,3102189452,624281,6799,1438,366,391696,0 43,2,2024-09-07 09:11:41:740,454903,454903,0,0,20229164,0,3812 43,3,2024-09-07 09:11:41:753,1,360,1,0,467,4349,360,0 44,0,2024-09-07 09:11:40:862,90320,0.4,90665,0.6,180922,0.4,240505,1.75 44,1,2024-09-07 09:11:40:563,634001,634001,0,0,296992884931,3068144874,628938,4016,1047,356,391809,0 44,2,2024-09-07 09:11:41:271,455132,455132,0,0,17118239,0,4344 44,3,2024-09-07 09:11:41:093,1,360,7,0,817,4543,360,0 45,0,2024-09-07 09:11:41:759,88817,0.4,86717,0.7,181824,0.4,239947,2.00 45,1,2024-09-07 09:11:41:011,633727,633727,0,0,297084707607,3084751727,629289,3962,476,382,391917,0 45,2,2024-09-07 09:11:41:267,455811,455811,0,0,17579720,0,3596 45,3,2024-09-07 09:11:40:934,1,360,1,0,271,3334,360,0 46,0,2024-09-07 09:11:40:948,89757,0.5,89720,0.7,179488,0.5,238211,2.00 46,1,2024-09-07 09:11:40:594,635004,635004,0,0,297816003449,3073443867,631286,3292,426,366,391572,0 46,2,2024-09-07 09:11:40:602,455545,455545,0,0,16678055,0,2920 46,3,2024-09-07 09:11:41:133,1,360,1,0,908,5063,360,0 47,0,2024-09-07 09:11:41:123,90680,0.5,90941,0.6,182265,0.4,240637,2.00 47,1,2024-09-07 09:11:40:574,635919,635919,0,0,297039693641,3070403751,631431,3673,815,366,391641,0 47,2,2024-09-07 09:11:40:907,457628,457628,0,0,17374103,0,4477 47,3,2024-09-07 09:11:41:123,1,360,71,0,529,3841,360,0 48,0,2024-09-07 09:11:41:501,90881,0.3,90494,0.4,180600,0.2,241103,1.50 48,1,2024-09-07 09:11:41:024,634028,634028,0,0,297585079368,3087921559,630427,3297,304,384,391710,0 48,2,2024-09-07 09:11:40:698,455353,455353,0,0,15793348,0,3031 48,3,2024-09-07 09:11:40:753,1,360,5,0,339,2956,360,0 49,0,2024-09-07 09:11:41:711,92603,0.3,91089,0.5,176126,0.2,241890,1.75 49,1,2024-09-07 09:11:41:023,633416,633416,0,0,297119963149,3090480375,629086,3145,1185,382,391809,0 49,2,2024-09-07 09:11:41:796,456075,456075,0,0,16830999,0,4426 49,3,2024-09-07 09:11:41:416,1,360,1,0,408,3657,360,0 50,0,2024-09-07 09:11:41:507,90805,0.3,89298,0.4,180279,0.2,240137,1.75 50,1,2024-09-07 09:11:41:042,635486,635486,0,0,297859337856,3076361971,631664,3448,374,368,391565,0 50,2,2024-09-07 09:11:41:067,455558,455558,0,0,15928555,0,2263 50,3,2024-09-07 09:11:41:291,1,360,8,0,335,3365,360,0 51,0,2024-09-07 09:11:41:684,92739,0.3,90663,0.5,176806,0.2,241097,1.75 51,1,2024-09-07 09:11:41:687,635419,635419,0,0,298342867539,3075182820,632156,2287,976,365,391706,0 51,2,2024-09-07 09:11:41:315,456205,456205,0,0,15570692,0,3337 51,3,2024-09-07 09:11:41:027,1,360,1,0,678,2655,360,0 52,0,2024-09-07 09:11:41:433,90339,0.5,90357,0.7,180458,0.4,239999,2.00 52,1,2024-09-07 09:11:40:579,632833,632833,0,0,296413984327,3099673604,624188,7331,1314,368,391722,0 52,2,2024-09-07 09:11:41:756,452310,452272,38,0,20173544,0,6742 52,3,2024-09-07 09:11:40:679,1,360,5,0,1782,5275,360,0 53,0,2024-09-07 09:11:41:760,89548,0.6,87019,0.7,182113,0.6,239347,2.25 53,1,2024-09-07 09:11:40:773,631413,631413,0,0,296625807322,3108516050,621784,7045,2584,367,391702,0 53,2,2024-09-07 09:11:41:300,456177,456177,0,0,17519507,0,2727 53,3,2024-09-07 09:11:40:697,1,360,0,0,308,3275,360,0 54,0,2024-09-07 09:11:41:614,88850,0.5,89255,0.7,177688,0.3,237661,2.25 54,1,2024-09-07 09:11:40:583,633172,633172,0,0,297424183764,3086631952,627504,5065,603,366,391659,0 54,2,2024-09-07 09:11:40:865,456004,455998,6,0,19929273,0,5382 54,3,2024-09-07 09:11:40:763,1,360,6,0,676,5265,360,0 55,0,2024-09-07 09:11:41:761,87192,0.6,90164,0.7,182049,0.5,237400,2.25 55,1,2024-09-07 09:11:40:764,633343,633343,0,0,296807355915,3084286104,626716,5718,909,365,391731,0 55,2,2024-09-07 09:11:40:729,454730,454730,0,0,19319392,0,3563 55,3,2024-09-07 09:11:40:678,1,360,1,0,304,3865,360,0 56,0,2024-09-07 09:11:41:574,91954,1.1,86703,1.1,178796,1.6,239408,2.50 56,1,2024-09-07 09:11:40:570,630278,630278,0,0,296137078654,3120710857,621531,7115,1632,381,391867,0 56,2,2024-09-07 09:11:41:307,455005,455005,0,0,20352578,0,3567 56,3,2024-09-07 09:11:41:059,1,360,1,0,705,4538,360,0 57,0,2024-09-07 09:11:40:947,89097,1.3,89028,1.1,178303,1.8,238862,2.75 57,1,2024-09-07 09:11:41:000,632148,632148,0,0,296581907228,3103403037,625273,6155,720,366,392032,0 57,2,2024-09-07 09:11:41:316,456043,456043,0,0,21967919,0,3317 57,3,2024-09-07 09:11:41:742,1,360,16,0,359,4092,360,0 58,0,2024-09-07 09:11:40:575,88795,0.7,86323,0.9,180708,0.8,237555,2.50 58,1,2024-09-07 09:11:40:579,632874,632871,0,3,296996551114,3100075079,624744,7045,1082,367,391603,3 58,2,2024-09-07 09:11:41:088,454964,454964,0,0,20089935,0,2549 58,3,2024-09-07 09:11:41:077,1,360,6,0,1043,3772,360,0 59,0,2024-09-07 09:11:41:761,90135,0.8,89705,0.9,179209,0.8,238085,2.50 59,1,2024-09-07 09:11:40:804,632019,632019,0,0,296790429083,3101955188,624137,6359,1523,369,391586,0 59,2,2024-09-07 09:11:40:583,455442,455442,0,0,19912638,0,2867 59,3,2024-09-07 09:11:41:739,1,360,1,0,1015,4410,360,0 60,0,2024-09-07 09:11:41:712,90064,0.5,90103,0.7,180892,0.5,239885,1.75 60,1,2024-09-07 09:11:40:774,634244,634244,0,0,297676233406,3088089200,630118,3477,649,370,392031,0 60,2,2024-09-07 09:11:41:149,455184,455184,0,0,19452039,0,3811 60,3,2024-09-07 09:11:41:261,1,360,1,0,409,4213,360,0 61,0,2024-09-07 09:11:41:517,89917,0.6,90600,0.8,180197,0.6,239799,2.00 61,1,2024-09-07 09:11:40:774,632283,632283,0,0,296608004063,3106600076,625064,5893,1326,382,392127,0 61,2,2024-09-07 09:11:41:119,455552,455485,67,0,19766307,0,6411 61,3,2024-09-07 09:11:41:687,1,360,1,0,479,5172,360,0 62,0,2024-09-07 09:11:41:724,90660,0.5,92711,0.7,176883,0.4,240781,1.75 62,1,2024-09-07 09:11:41:111,636627,636621,0,6,297800799951,3071380438,633039,3323,259,365,391715,6 62,2,2024-09-07 09:11:41:649,454190,454189,1,0,19217420,0,5555 62,3,2024-09-07 09:11:41:155,1,360,3,0,465,2703,360,0 63,0,2024-09-07 09:11:41:459,90709,0.4,90487,0.6,181421,0.3,240748,1.75 63,1,2024-09-07 09:11:40:805,634582,634576,0,6,297147614578,3082498340,630641,3547,388,381,391800,6 63,2,2024-09-07 09:11:40:762,455375,455375,0,0,17694642,0,4369 63,3,2024-09-07 09:11:41:732,1,360,1,0,667,3904,360,0 64,0,2024-09-07 09:11:41:512,89874,0.5,89890,0.7,179869,0.5,238888,2.00 64,1,2024-09-07 09:11:40:756,633570,633570,0,0,296730377482,3088961728,627918,3992,1660,370,391783,0 64,2,2024-09-07 09:11:41:149,458875,458856,19,0,17346050,0,6121 64,3,2024-09-07 09:11:41:146,1,360,1,0,265,3260,360,0 65,0,2024-09-07 09:11:41:693,89280,0.6,89588,0.8,178956,0.7,239062,2.00 65,1,2024-09-07 09:11:40:870,632092,632092,0,0,295280733811,3082839285,628000,3641,451,382,391901,0 65,2,2024-09-07 09:11:41:709,456494,456494,0,0,19130398,0,3367 65,3,2024-09-07 09:11:41:686,1,360,281,0,281,3413,360,0 66,0,2024-09-07 09:11:41:778,89997,0.5,89987,0.7,179953,0.4,239326,2.00 66,1,2024-09-07 09:11:41:293,633738,633738,0,0,296899587439,3083924793,630136,3279,323,380,391653,0 66,2,2024-09-07 09:11:41:131,456910,456910,0,0,17008519,0,4956 66,3,2024-09-07 09:11:41:084,1,360,8,0,291,3438,360,0 67,0,2024-09-07 09:11:41:445,90369,0.5,90007,0.6,180575,0.4,241001,2.00 67,1,2024-09-07 09:11:40:777,634225,634224,0,1,296385088145,3083176042,630052,3420,752,380,391787,1 67,2,2024-09-07 09:11:40:583,456782,456782,0,0,16550830,0,2889 67,3,2024-09-07 09:11:41:751,1,360,17,0,338,3248,360,0 68,0,2024-09-07 09:11:40:576,90567,0.6,90164,0.7,180018,0.6,240392,2.00 68,1,2024-09-07 09:11:40:578,632349,632349,0,0,295822790785,3094196144,627529,3615,1205,381,391953,0 68,2,2024-09-07 09:11:41:051,454289,454224,65,0,21619948,0,6698 68,3,2024-09-07 09:11:40:728,1,360,9,0,417,3767,360,0 69,0,2024-09-07 09:11:41:770,89743,0.8,90392,0.9,179702,0.9,238622,2.25 69,1,2024-09-07 09:11:41:022,630256,630256,0,0,296084326908,3119017513,622230,5915,2111,384,391994,0 69,2,2024-09-07 09:11:41:737,455235,455235,0,0,21884306,0,3722 69,3,2024-09-07 09:11:40:760,1,360,1,0,698,4955,360,0 70,0,2024-09-07 09:11:41:535,89117,0.7,89207,0.9,179376,0.5,237794,2.25 70,1,2024-09-07 09:11:40:801,633793,633793,0,0,297217788284,3081330321,628939,4318,536,366,391725,0 70,2,2024-09-07 09:11:41:325,455081,455081,0,0,19664496,0,4044 70,3,2024-09-07 09:11:40:745,1,360,1,0,854,3838,360,0 71,0,2024-09-07 09:11:41:377,89321,0.7,88939,0.9,178791,0.7,239014,2.50 71,1,2024-09-07 09:11:41:596,632702,632702,0,0,296860978805,3092626751,626090,5956,656,368,391738,0 71,2,2024-09-07 09:11:41:068,455586,455586,0,0,19590942,0,4042 71,3,2024-09-07 09:11:41:756,1,360,9,0,644,4722,360,0 72,0,2024-09-07 09:11:41:065,93114,0.6,90995,0.7,177561,0.6,241248,2.00 72,1,2024-09-07 09:11:41:023,632257,632257,0,0,296421803073,3101300248,624012,6545,1700,369,391819,0 72,2,2024-09-07 09:11:41:772,454549,454549,0,0,22213592,0,3983 72,3,2024-09-07 09:11:41:760,1,360,25,0,564,5457,360,0 73,0,2024-09-07 09:11:41:110,87987,0.4,90244,0.6,184557,0.4,239542,2.00 73,1,2024-09-07 09:11:40:770,633220,633220,0,0,296629550644,3079912435,628897,3993,330,367,391858,0 73,2,2024-09-07 09:11:41:740,455079,455079,0,0,21435052,0,3701 73,3,2024-09-07 09:11:40:982,1,360,0,0,274,4612,360,0 74,0,2024-09-07 09:11:41:328,90698,0.4,92926,0.6,177439,0.4,240273,2.25 74,1,2024-09-07 09:11:40:639,632766,632766,0,0,295951486985,3085830541,626683,4820,1263,381,391681,0 74,2,2024-09-07 09:11:41:013,455047,455047,0,0,20374427,0,4253 74,3,2024-09-07 09:11:41:443,1,360,0,0,522,4880,360,0 75,0,2024-09-07 09:11:41:782,90122,0.4,89728,0.6,179888,0.3,240762,2.00 75,1,2024-09-07 09:11:41:585,631966,631966,0,0,296230423682,3090725528,625602,5538,826,380,391739,0 75,2,2024-09-07 09:11:41:350,454744,454744,0,0,20568034,0,4766 75,3,2024-09-07 09:11:41:066,1,360,9,0,702,4926,360,0 76,0,2024-09-07 09:11:40:660,90000,0.6,89350,0.7,179308,0.6,239584,2.25 76,1,2024-09-07 09:11:40:809,632976,632976,0,0,296393992248,3087834442,629048,3337,591,382,391692,0 76,2,2024-09-07 09:11:41:066,457014,457013,1,0,19728918,0,5144 76,3,2024-09-07 09:11:41:172,1,360,1,0,175,3349,360,0 77,0,2024-09-07 09:11:41:690,90489,0.6,90564,0.8,181247,0.6,240616,2.00 77,1,2024-09-07 09:11:40:824,632645,632645,0,0,296568113933,3095970738,627367,4787,491,381,391869,0 77,2,2024-09-07 09:11:41:289,456253,456253,0,0,19309035,0,3890 77,3,2024-09-07 09:11:41:097,1,360,7,0,401,3946,360,0 78,0,2024-09-07 09:11:41:718,90752,0.4,90219,0.6,181216,0.4,240378,2.00 78,1,2024-09-07 09:11:40:617,633401,633401,0,0,296484764110,3083304904,627466,4790,1145,367,391670,0 78,2,2024-09-07 09:11:41:405,456348,456348,0,0,16619026,0,3855 78,3,2024-09-07 09:11:41:133,1,360,1,0,181,3274,360,0 79,0,2024-09-07 09:11:41:353,87390,0.4,89577,0.6,183187,0.3,238883,2.25 79,1,2024-09-07 09:11:40:571,635299,635299,0,0,297287871729,3075401907,630737,3927,635,368,391682,0 79,2,2024-09-07 09:11:41:068,456384,456384,0,0,17584493,0,4195 79,3,2024-09-07 09:11:40:749,1,360,4,0,418,4428,360,0 80,0,2024-09-07 09:11:41:098,90186,0.5,92971,0.6,178096,0.5,239876,2.00 80,1,2024-09-07 09:11:41:626,633603,633603,0,0,296486338464,3080820755,630240,3182,181,368,391791,0 80,2,2024-09-07 09:11:41:097,457236,457236,0,0,17051965,0,4433 80,3,2024-09-07 09:11:40:584,1,360,1,0,190,4277,360,0 81,0,2024-09-07 09:11:41:549,90364,0.6,92537,0.7,176592,0.5,239760,2.00 81,1,2024-09-07 09:11:41:653,632454,632454,0,0,296002469661,3090512492,627581,4374,499,382,391879,0 81,2,2024-09-07 09:11:41:134,455635,455572,63,0,18895625,0,5932 81,3,2024-09-07 09:11:41:120,1,360,0,0,374,4034,360,0 82,0,2024-09-07 09:11:41:553,89648,0.5,90196,0.7,180766,0.4,239680,2.00 82,1,2024-09-07 09:11:40:598,634492,634488,0,4,297128068048,3089186128,630586,3105,797,381,391768,4 82,2,2024-09-07 09:11:41:694,456846,456846,0,0,16056812,0,3986 82,3,2024-09-07 09:11:41:753,1,360,0,0,363,3518,360,0 83,0,2024-09-07 09:11:41:534,90384,0.5,90082,0.7,179853,0.5,239722,2.00 83,1,2024-09-07 09:11:40:558,632653,632653,0,0,296684229581,3089928352,628336,3936,381,382,391709,0 83,2,2024-09-07 09:11:40:764,455499,455499,0,0,16581205,0,3393 83,3,2024-09-07 09:11:40:749,1,360,1,0,1260,4916,360,0 84,0,2024-09-07 09:11:41:765,89413,0.6,89333,0.7,178475,0.5,238953,2.00 84,1,2024-09-07 09:11:41:049,632097,632097,0,0,296156134557,3090323363,626324,5044,729,367,391967,0 84,2,2024-09-07 09:11:40:571,455688,455688,0,0,20945918,0,4757 84,3,2024-09-07 09:11:41:141,1,360,161,0,908,5407,360,0 85,0,2024-09-07 09:11:41:009,86890,0.6,86890,0.8,184421,0.6,238726,2.25 85,1,2024-09-07 09:11:40:585,630436,630436,0,0,296278844257,3120883855,621894,7169,1373,381,392006,0 85,2,2024-09-07 09:11:40:874,455127,455127,0,0,20623238,0,3656 85,3,2024-09-07 09:11:40:690,1,360,84,0,789,4705,360,0 86,0,2024-09-07 09:11:40:915,89957,0.7,92526,0.8,177092,0.7,239279,2.25 86,1,2024-09-07 09:11:40:824,632257,632257,0,0,296483674558,3099677659,625347,5842,1068,366,391961,0 86,2,2024-09-07 09:11:40:859,454055,454054,1,0,21626897,0,5004 86,3,2024-09-07 09:11:40:606,1,360,306,0,308,5127,360,0 87,0,2024-09-07 09:11:41:290,89906,0.8,89726,0.9,180093,0.9,240695,2.25 87,1,2024-09-07 09:11:40:557,632263,632263,0,0,296003766828,3091996890,626040,5608,615,366,392076,0 87,2,2024-09-07 09:11:41:068,454671,454671,0,0,19587057,0,4045 87,3,2024-09-07 09:11:41:794,1,360,2,0,473,5082,360,0 88,0,2024-09-07 09:11:41:460,89924,0.4,90691,0.6,180458,0.4,240482,1.75 88,1,2024-09-07 09:11:40:569,630627,630627,0,0,295923898669,3097294951,622916,6046,1665,365,392084,0 88,2,2024-09-07 09:11:40:690,456339,456339,0,0,21730113,0,3583 88,3,2024-09-07 09:11:41:270,1,360,13,0,435,3764,360,0 89,0,2024-09-07 09:11:41:784,93013,0.5,89992,0.6,178245,0.4,241803,1.75 89,1,2024-09-07 09:11:40:558,631013,631013,0,0,296064117799,3110376362,623246,6492,1275,382,391866,0 89,2,2024-09-07 09:11:41:132,454261,454261,0,0,20781268,0,2910 89,3,2024-09-07 09:11:41:793,1,360,1,0,385,6365,360,0 90,0,2024-09-07 09:11:41:617,87480,0.5,89936,0.6,183211,0.4,239020,2.00 90,1,2024-09-07 09:11:40:607,632254,632254,0,0,296096446611,3100538893,626030,5719,505,380,391825,0 90,2,2024-09-07 09:11:41:412,454010,454010,0,0,21994186,0,3060 90,3,2024-09-07 09:11:40:930,1,360,1,0,246,3902,360,0 91,0,2024-09-07 09:11:40:935,90708,0.5,87787,0.6,183369,0.4,240311,1.75 91,1,2024-09-07 09:11:40:558,630932,630932,0,0,296056161652,3110216283,623094,6791,1047,381,392047,0 91,2,2024-09-07 09:11:41:332,456245,456245,0,0,19927333,0,2896 91,3,2024-09-07 09:11:40:632,1,360,1,0,216,3305,360,0 92,0,2024-09-07 09:11:41:459,90814,0.4,93092,0.6,177841,0.4,241043,1.75 92,1,2024-09-07 09:11:40:585,632173,632173,0,0,296182813266,3092411553,627350,4134,689,382,392136,0 92,2,2024-09-07 09:11:41:355,456171,456171,0,0,18001979,0,3259 92,3,2024-09-07 09:11:41:011,1,360,4,0,167,3037,360,0 93,0,2024-09-07 09:11:40:950,91007,0.4,93223,0.5,178065,0.3,240984,1.75 93,1,2024-09-07 09:11:40:805,632725,632725,0,0,296374741012,3091070088,626594,5100,1031,366,391776,0 93,2,2024-09-07 09:11:40:928,454852,454852,0,0,21110893,0,4845 93,3,2024-09-07 09:11:41:412,1,360,12,0,190,3416,360,0 94,0,2024-09-07 09:11:41:601,90054,0.4,90886,0.5,181475,0.3,240546,1.75 94,1,2024-09-07 09:11:40:574,632596,632596,0,0,296406712390,3091052105,628534,3871,191,381,391850,0 94,2,2024-09-07 09:11:40:774,455809,455809,0,0,18193669,0,2443 94,3,2024-09-07 09:11:41:695,1,360,1,0,264,4337,360,0 95,0,2024-09-07 09:11:41:412,90033,0.4,90050,0.5,180505,0.3,240902,1.75 95,1,2024-09-07 09:11:40:851,634153,634153,0,0,296741526547,3083869841,629125,4627,401,367,391713,0 95,2,2024-09-07 09:11:41:022,455659,455659,0,0,17870715,0,3308 95,3,2024-09-07 09:11:41:708,1,360,2,0,718,5408,360,0 96,0,2024-09-07 09:11:41:024,90526,0.3,90766,0.5,181131,0.3,240131,1.75 96,1,2024-09-07 09:11:41:586,632358,632358,0,0,296808553301,3094512858,627823,3737,798,384,391955,0 96,2,2024-09-07 09:11:41:270,455638,455638,0,0,17750611,0,4042 96,3,2024-09-07 09:11:41:155,1,360,2,0,411,3817,360,0 97,0,2024-09-07 09:11:41:329,90256,0.3,90030,0.5,180830,0.3,240705,1.50 97,1,2024-09-07 09:11:40:811,634250,634250,0,0,297204912970,3078899410,630340,3236,674,367,392140,0 97,2,2024-09-07 09:11:40:613,456877,456877,0,0,17433777,0,3036 97,3,2024-09-07 09:11:40:573,1,360,6,0,214,4078,360,0 98,0,2024-09-07 09:11:41:703,90312,0.3,90113,0.4,181044,0.2,240608,1.50 98,1,2024-09-07 09:11:40:576,633512,633512,0,0,296363192502,3080209252,630833,2572,107,382,391997,0 98,2,2024-09-07 09:11:40:769,456082,456082,0,0,17261610,0,3080 98,3,2024-09-07 09:11:40:698,1,360,6,0,840,5567,360,0 99,0,2024-09-07 09:11:41:450,90270,0.3,90827,0.5,180516,0.3,240816,1.75 99,1,2024-09-07 09:11:41:724,633365,633365,0,0,296806735550,3087677180,629210,3399,756,381,392069,0 99,2,2024-09-07 09:11:41:418,456538,456538,0,0,19673087,0,3424 99,3,2024-09-07 09:11:40:583,1,360,1,0,199,3353,360,0 100,0,2024-09-07 09:11:41:512,89720,0.6,89812,0.9,179345,0.7,239672,2.25 100,1,2024-09-07 09:11:40:548,630135,630135,0,0,295491689097,3116187966,621650,6987,1498,381,391989,0 100,2,2024-09-07 09:11:41:820,454096,454085,11,0,20052681,0,5417 100,3,2024-09-07 09:11:41:754,1,360,20,0,559,6033,360,0 101,0,2024-09-07 09:11:41:698,91854,0.7,89381,0.9,175571,0.5,240948,2.00 101,1,2024-09-07 09:11:40:550,630248,630248,0,0,295491498939,3106486611,621142,7082,2024,368,391847,0 101,2,2024-09-07 09:11:41:757,453472,453472,0,0,23512433,0,4644 101,3,2024-09-07 09:11:40:944,1,360,1,0,579,4616,360,0 102,0,2024-09-07 09:11:40:947,87793,0.7,90785,0.8,183423,0.6,240056,2.00 102,1,2024-09-07 09:11:41:156,631118,631118,0,0,295947003231,3103248141,623789,6294,1035,369,391891,0 102,2,2024-09-07 09:11:41:752,455795,455741,54,0,19639963,0,6768 102,3,2024-09-07 09:11:41:622,1,360,4,0,410,3726,360,0 103,0,2024-09-07 09:11:41:594,93137,0.6,93052,0.7,175619,0.6,241313,2.00 103,1,2024-09-07 09:11:41:625,630245,630245,0,0,294902143333,3105371550,621414,6834,1997,381,391829,0 103,2,2024-09-07 09:11:40:597,454055,454055,0,0,20626753,0,3173 103,3,2024-09-07 09:11:40:757,1,360,3,0,916,4299,360,0 104,0,2024-09-07 09:11:41:031,89268,0.7,89715,0.9,178224,0.6,239487,2.25 104,1,2024-09-07 09:11:41:601,632196,632196,0,0,295694072018,3104402816,623267,7229,1700,365,392168,0 104,2,2024-09-07 09:11:41:670,454402,454402,0,0,21550624,0,3941 104,3,2024-09-07 09:11:41:422,1,360,13,0,1245,7612,360,0 105,0,2024-09-07 09:11:41:032,88977,0.7,86591,1.0,181329,0.7,239240,2.50 105,1,2024-09-07 09:11:40:561,632386,632386,0,0,296921249220,3110010262,624222,6827,1337,366,392009,0 105,2,2024-09-07 09:11:41:325,454569,454569,0,0,20828188,0,3509 105,3,2024-09-07 09:11:41:305,1,360,0,0,399,5682,360,0 106,0,2024-09-07 09:11:40:951,87152,0.8,89250,0.9,182924,0.8,239004,2.50 106,1,2024-09-07 09:11:41:751,631210,631210,0,0,296473285646,3112425628,621608,8307,1295,369,391914,0 106,2,2024-09-07 09:11:40:755,453647,453647,0,0,20575445,0,2795 106,3,2024-09-07 09:11:40:679,1,360,1,0,470,4465,360,0 107,0,2024-09-07 09:11:41:119,90234,1.1,90380,1.0,180648,1.5,240398,2.25 107,1,2024-09-07 09:11:40:585,630510,630510,0,0,295681148328,3111212561,622515,7078,917,381,392234,0 107,2,2024-09-07 09:11:41:292,454365,454364,1,0,21114928,0,5024 107,3,2024-09-07 09:11:41:755,1,360,20,0,353,4956,360,0 108,0,2024-09-07 09:11:41:779,90051,0.4,90765,0.6,180349,0.4,240899,1.75 108,1,2024-09-07 09:11:41:293,632976,632976,0,0,296759081453,3092658793,627879,4579,518,368,391857,0 108,2,2024-09-07 09:11:41:777,454553,454553,0,0,20257964,0,4246 108,3,2024-09-07 09:11:41:329,1,360,45,0,749,7157,360,0 109,0,2024-09-07 09:11:41:791,90770,0.4,89886,0.5,179917,0.3,241400,1.75 109,1,2024-09-07 09:11:40:630,630779,630779,0,0,296345184648,3104747729,625560,4554,665,383,392132,0 109,2,2024-09-07 09:11:40:922,453264,453264,0,0,19658653,0,3617 109,3,2024-09-07 09:11:41:148,1,360,7,0,379,4181,360,0 110,0,2024-09-07 09:11:41:762,90268,0.4,87794,0.6,183900,0.3,240566,1.75 110,1,2024-09-07 09:11:41:653,634108,634108,0,0,297722264704,3086427132,630099,3030,979,369,392045,0 110,2,2024-09-07 09:11:41:305,455370,455370,0,0,19441461,0,4067 110,3,2024-09-07 09:11:40:694,1,360,1,0,722,5218,360,0 111,0,2024-09-07 09:11:41:427,90747,0.4,90069,0.6,180570,0.4,241103,1.75 111,1,2024-09-07 09:11:41:006,634591,634591,0,0,297669724836,3086420791,631208,3030,353,382,391690,0 111,2,2024-09-07 09:11:41:121,455281,455281,0,0,18771063,0,4823 111,3,2024-09-07 09:11:40:918,1,360,1,0,379,4245,360,0 112,0,2024-09-07 09:11:40:944,90874,0.3,90213,0.4,180819,0.2,240419,1.50 112,1,2024-09-07 09:11:40:831,633981,633981,0,0,297194197163,3080268703,630503,2894,584,380,391624,0 112,2,2024-09-07 09:11:41:139,455869,455868,1,0,17040743,0,5036 112,3,2024-09-07 09:11:40:597,1,360,4,0,282,3548,360,0 113,0,2024-09-07 09:11:40:868,89998,0.3,90142,0.4,180777,0.2,240988,1.50 113,1,2024-09-07 09:11:41:687,635551,635551,0,0,298065036248,3072713107,632267,2700,584,366,391661,0 113,2,2024-09-07 09:11:41:304,456685,456685,0,0,15769567,0,3813 113,3,2024-09-07 09:11:40:690,1,360,2,0,340,4114,360,0 114,0,2024-09-07 09:11:40:874,90135,0.3,90896,0.4,180368,0.2,241136,1.75 114,1,2024-09-07 09:11:40:719,633870,633870,0,0,297191625843,3085497361,628724,3552,1594,381,391556,0 114,2,2024-09-07 09:11:40:873,456620,456619,1,0,17501094,0,5069 114,3,2024-09-07 09:11:41:280,1,360,0,0,395,3029,360,0 115,0,2024-09-07 09:11:40:553,90143,0.3,90779,0.4,181145,0.2,241174,1.50 115,1,2024-09-07 09:11:40:584,633477,633477,0,0,297359930961,3084964330,628611,4026,840,382,391757,0 115,2,2024-09-07 09:11:41:125,457497,457497,0,0,16116810,0,3453 115,3,2024-09-07 09:11:41:008,1,360,77,0,159,2175,360,0 116,0,2024-09-07 09:11:41:704,89796,0.8,89623,0.9,179805,0.8,240419,2.00 116,1,2024-09-07 09:11:40:806,630265,630265,0,0,295655009006,3113873726,622649,5347,2269,380,392089,0 116,2,2024-09-07 09:11:41:753,454802,454802,0,0,21620260,0,3529 116,3,2024-09-07 09:11:40:912,1,360,4,0,415,4339,360,0 117,0,2024-09-07 09:11:40:953,90417,0.7,89936,0.8,180020,0.7,241226,2.00 117,1,2024-09-07 09:11:41:578,631575,631575,0,0,296097631502,3095395105,625255,5651,669,369,392033,0 117,2,2024-09-07 09:11:41:124,457969,457969,0,0,17988809,0,3700 117,3,2024-09-07 09:11:41:058,1,360,1,0,490,5092,360,0 118,0,2024-09-07 09:11:41:782,87405,0.5,89666,0.6,183092,0.4,239578,1.75 118,1,2024-09-07 09:11:40:585,631217,631217,0,0,295438492396,3101369149,622413,6904,1900,366,391907,0 118,2,2024-09-07 09:11:41:586,454844,454844,0,0,19989170,0,2781 118,3,2024-09-07 09:11:41:772,1,360,0,0,235,3880,360,0 119,0,2024-09-07 09:11:41:341,90008,0.6,90420,0.7,180820,0.6,240143,2.00 119,1,2024-09-07 09:11:40:561,632775,632775,0,0,295942862389,3091999453,626152,5785,838,367,391780,0 119,2,2024-09-07 09:11:41:262,455295,455295,0,0,18629646,0,4174 119,3,2024-09-07 09:11:41:324,1,360,4,0,563,5342,360,0 120,0,2024-09-07 09:11:41:561,89823,0.6,89480,0.8,179095,0.6,239635,2.25 120,1,2024-09-07 09:11:40:859,631931,631931,0,0,296209230885,3104582681,625498,5873,560,368,391961,0 120,2,2024-09-07 09:11:40:785,454898,454897,1,0,21860157,0,5281 120,3,2024-09-07 09:11:41:290,1,360,9,0,241,4341,360,0 121,0,2024-09-07 09:11:41:697,89970,0.9,90101,1.0,180733,1.2,239924,2.25 121,1,2024-09-07 09:11:41:658,632348,632348,0,0,295719377116,3089976070,626423,5487,438,367,391840,0 121,2,2024-09-07 09:11:41:134,454824,454824,0,0,21059406,0,4127 121,3,2024-09-07 09:11:40:728,1,360,13,0,269,3986,360,0 122,0,2024-09-07 09:11:41:780,89642,0.7,87577,0.8,183730,0.7,240843,2.00 122,1,2024-09-07 09:11:40:859,631469,631469,0,0,295825653033,3100359115,623000,7206,1263,366,392130,0 122,2,2024-09-07 09:11:41:318,454907,454834,73,0,23553427,0,5989 122,3,2024-09-07 09:11:40:596,1,360,2,0,512,6668,360,0 123,0,2024-09-07 09:11:40:992,90022,0.6,88079,0.7,183696,0.7,240422,2.00 123,1,2024-09-07 09:11:40:584,631206,631206,0,0,296126341473,3117819004,619753,9325,2128,369,392039,0 123,2,2024-09-07 09:11:41:021,453735,453734,1,0,20350797,0,5215 123,3,2024-09-07 09:11:41:137,1,360,7,0,168,3954,360,0 124,0,2024-09-07 09:11:40:964,93213,0.4,93123,0.5,175692,0.3,241388,1.75 124,1,2024-09-07 09:11:41:021,633503,633503,0,0,296148328246,3078911490,628777,3815,911,367,392178,0 124,2,2024-09-07 09:11:41:012,457077,457024,53,0,18414636,0,6487 124,3,2024-09-07 09:11:40:758,1,360,7,0,490,3569,360,0 125,0,2024-09-07 09:11:41:471,90425,0.4,90043,0.5,180982,0.3,241244,1.75 125,1,2024-09-07 09:11:40:858,632234,632234,0,0,296642397308,3094635598,627346,4243,645,382,391702,0 125,2,2024-09-07 09:11:41:122,456155,456155,0,0,19040147,0,4534 125,3,2024-09-07 09:11:41:132,1,360,1,0,709,4676,360,0 126,0,2024-09-07 09:11:41:461,90363,0.4,92863,0.6,177987,0.4,241007,1.75 126,1,2024-09-07 09:11:40:554,634069,634069,0,0,297460591710,3080213001,630321,3461,287,365,391987,0 126,2,2024-09-07 09:11:40:612,455705,455705,0,0,19059528,0,4539 126,3,2024-09-07 09:11:40:907,1,360,6,0,207,4465,360,0 127,0,2024-09-07 09:11:41:594,90167,0.3,90626,0.5,180668,0.3,240905,1.50 127,1,2024-09-07 09:11:40:603,633072,633072,0,0,297228169445,3085526096,627643,4568,861,364,392187,0 127,2,2024-09-07 09:11:40:643,455217,455217,0,0,17602865,0,3897 127,3,2024-09-07 09:11:41:267,1,360,1,0,968,4103,360,0 128,0,2024-09-07 09:11:41:553,90511,0.3,90831,0.4,181528,0.2,240896,1.50 128,1,2024-09-07 09:11:41:614,633545,633545,0,0,296376687123,3075179736,629434,3739,372,367,391838,0 128,2,2024-09-07 09:11:41:384,456686,456686,0,0,16835527,0,2915 128,3,2024-09-07 09:11:40:767,1,360,0,0,1082,5967,360,0 129,0,2024-09-07 09:11:40:997,90870,0.3,90414,0.5,181376,0.3,240940,1.50 129,1,2024-09-07 09:11:40:577,630759,630759,0,0,295935988897,3095769760,625102,4400,1257,379,391835,0 129,2,2024-09-07 09:11:40:691,456468,456468,0,0,17440799,0,4031 129,3,2024-09-07 09:11:40:690,1,360,2,0,469,4545,360,0 130,0,2024-09-07 09:11:41:716,90565,0.5,90115,0.6,181374,0.5,240871,1.75 130,1,2024-09-07 09:11:40:602,633444,633444,0,0,296911251549,3084653781,630020,3144,280,381,391825,0 130,2,2024-09-07 09:11:41:130,456761,456761,0,0,17292544,0,4067 130,3,2024-09-07 09:11:41:292,1,360,2,0,535,4762,360,0 131,0,2024-09-07 09:11:41:932,89646,0.3,90191,0.5,181388,0.3,240531,1.50 131,1,2024-09-07 09:11:41:820,633445,633445,0,0,296731126418,3094074194,628953,3744,748,381,391865,0 131,2,2024-09-07 09:11:40:582,457779,457779,0,0,16018580,0,2415 131,3,2024-09-07 09:11:41:688,1,360,1,0,392,3665,360,0 132,0,2024-09-07 09:11:41:434,90158,0.4,91033,0.6,181224,0.4,240727,1.75 132,1,2024-09-07 09:11:40:594,630322,630322,0,0,295581264281,3108212217,621672,7183,1467,381,392097,0 132,2,2024-09-07 09:11:40:698,455525,455525,0,0,21134738,0,4606 132,3,2024-09-07 09:11:41:688,1,360,8,0,804,6256,360,0 133,0,2024-09-07 09:11:41:526,88013,0.5,90007,0.6,184711,0.4,240043,1.75 133,1,2024-09-07 09:11:40:591,630480,630480,0,0,295612448563,3111143032,622293,7102,1085,383,391914,0 133,2,2024-09-07 09:11:41:087,454610,454610,0,0,22397477,0,4315 133,3,2024-09-07 09:11:41:305,1,360,2,0,479,3710,360,0 134,0,2024-09-07 09:11:40:939,90481,0.5,90291,0.7,180626,0.4,240798,2.00 134,1,2024-09-07 09:11:40:585,631405,631405,0,0,295615363437,3099455882,623075,6474,1856,366,391718,0 134,2,2024-09-07 09:11:41:756,455032,455032,0,0,18726461,0,3847 134,3,2024-09-07 09:11:40:750,1,360,3,0,739,4539,360,0 135,0,2024-09-07 09:11:41:103,87081,0.6,87049,0.7,184634,0.6,238921,2.00 135,1,2024-09-07 09:11:41:585,631797,631797,0,0,296329946976,3114895326,623356,7204,1237,380,391805,0 135,2,2024-09-07 09:11:40:690,456413,456413,0,0,20436183,0,3981 135,3,2024-09-07 09:11:41:005,1,360,0,0,299,2680,360,0 136,0,2024-09-07 09:11:41:621,90577,0.5,90807,0.7,180642,0.5,240880,2.00 136,1,2024-09-07 09:11:41:441,631307,631307,0,0,295835496158,3102644765,624275,6334,698,382,391685,0 136,2,2024-09-07 09:11:41:137,455495,455495,0,0,20049808,0,3506 136,3,2024-09-07 09:11:41:108,1,360,13,0,637,3974,360,0 137,0,2024-09-07 09:11:40:960,93126,0.6,90642,0.7,178151,0.7,241723,2.00 137,1,2024-09-07 09:11:40:578,631197,631197,0,0,296265669426,3106199017,621568,7746,1883,366,391898,0 137,2,2024-09-07 09:11:41:705,454745,454745,0,0,22477986,0,3185 137,3,2024-09-07 09:11:40:773,1,360,4,0,382,4123,360,0 138,0,2024-09-07 09:11:41:742,89768,1.2,89904,1.0,180607,1.7,239821,2.25 138,1,2024-09-07 09:11:41:711,632314,632314,0,0,296199317737,3106294718,623256,7461,1597,368,391954,0 138,2,2024-09-07 09:11:40:592,455268,455268,0,0,20084966,0,4988 138,3,2024-09-07 09:11:40:612,1,360,8,0,1160,4915,360,0 139,0,2024-09-07 09:11:41:363,89225,1.0,89451,1.0,179264,1.3,239441,2.25 139,1,2024-09-07 09:11:40:573,629206,629206,0,0,294233028359,3121420386,617822,8736,2648,381,392058,0 139,2,2024-09-07 09:11:40:692,452518,452518,0,0,21964428,0,3097 139,3,2024-09-07 09:11:41:662,1,360,1,0,257,4109,360,0 140,0,2024-09-07 09:11:41:595,90587,0.3,90173,0.5,180949,0.2,240733,1.75 140,1,2024-09-07 09:11:41:541,635350,635350,0,0,297259116183,3064023732,632142,2769,439,365,391606,0 140,2,2024-09-07 09:11:40:690,455648,455647,1,0,18060052,0,5036 140,3,2024-09-07 09:11:40:769,1,360,5,0,247,2849,360,0 141,0,2024-09-07 09:11:41:708,90631,0.3,92992,0.5,177562,0.3,241128,1.75 141,1,2024-09-07 09:11:40:871,633924,633924,0,0,297180624627,3085299529,629358,3704,862,379,391614,0 141,2,2024-09-07 09:11:41:688,456158,456158,0,0,17673794,0,3360 141,3,2024-09-07 09:11:41:044,1,360,1,0,391,4039,360,0 142,0,2024-09-07 09:11:41:324,90986,0.3,90235,0.5,180330,0.3,240907,1.75 142,1,2024-09-07 09:11:40:622,633390,633390,0,0,296327652384,3087725225,629418,3620,352,382,392102,0 142,2,2024-09-07 09:11:41:314,455384,455352,32,0,19093769,0,6028 142,3,2024-09-07 09:11:41:750,1,360,20,0,484,4282,360,0 143,0,2024-09-07 09:11:41:424,90321,0.4,90154,0.5,180644,0.4,241224,1.75 143,1,2024-09-07 09:11:40:557,634164,634164,0,0,297191863458,3080817080,630375,3636,153,367,391705,0 143,2,2024-09-07 09:11:40:769,455642,455642,0,0,18474095,0,3123 143,3,2024-09-07 09:11:41:142,1,360,1,0,303,4259,360,0 144,0,2024-09-07 09:11:41:524,87115,0.5,89372,0.7,182353,0.4,239017,2.00 144,1,2024-09-07 09:11:40:569,631052,631052,0,0,295730260738,3096394732,626152,3959,941,381,391649,0 144,2,2024-09-07 09:11:41:756,456987,456987,0,0,16745835,0,3473 144,3,2024-09-07 09:11:41:751,1,360,2,0,249,3503,360,0 145,0,2024-09-07 09:11:41:365,87224,0.6,87233,0.8,185067,0.5,238423,2.25 145,1,2024-09-07 09:11:40:553,630660,630660,0,0,295875022128,3107191005,623294,6223,1143,382,391759,0 145,2,2024-09-07 09:11:41:429,454486,454486,0,0,20324680,0,3903 145,3,2024-09-07 09:11:40:896,1,360,1,0,622,5347,360,0 146,0,2024-09-07 09:11:41:610,90102,0.6,89454,0.7,180345,0.5,239119,2.25 146,1,2024-09-07 09:11:41:590,631851,631851,0,0,295846586083,3108064086,621968,7872,2011,368,391770,0 146,2,2024-09-07 09:11:41:698,454186,454186,0,0,20115835,0,2730 146,3,2024-09-07 09:11:41:285,1,360,5,0,1520,7271,360,0 147,0,2024-09-07 09:11:41:698,90347,0.5,90085,0.7,179450,0.5,240680,2.00 147,1,2024-09-07 09:11:41:375,633668,633668,0,0,297402056430,3091932078,627996,4871,801,368,391791,0 147,2,2024-09-07 09:11:41:012,455564,455564,0,0,17955776,0,2789 147,3,2024-09-07 09:11:40:919,1,360,5,0,730,5114,360,0 0,0,2024-09-07 09:11:51:740,87723,0.6,87702,0.8,186171,0.7,240302,2.00 0,1,2024-09-07 09:11:50:803,633976,633976,0,0,297029355847,3103463286,629821,3909,246,369,391896,0 0,2,2024-09-07 09:11:51:068,457417,457417,0,0,17926488,0,4480 0,3,2024-09-07 09:11:50:980,1,361,8,0,431,5131,361,0 1,0,2024-09-07 09:11:51:796,90385,0.8,89870,0.9,180321,0.9,240513,2.00 1,1,2024-09-07 09:11:50:561,633129,633129,0,0,297006356324,3107046789,627490,4410,1229,370,391857,0 1,2,2024-09-07 09:11:50:640,455917,455917,0,0,17315936,0,3267 1,3,2024-09-07 09:11:51:304,1,361,1,0,262,4124,361,0 2,0,2024-09-07 09:11:51:567,90472,0.5,90682,0.7,180319,0.6,241868,2.00 2,1,2024-09-07 09:11:50:864,635322,635322,0,0,297714115203,3088750393,632420,2609,293,380,391745,0 2,2,2024-09-07 09:11:51:265,457355,457355,0,0,17043241,0,3594 2,3,2024-09-07 09:11:50:701,1,361,1,0,357,3733,361,0 3,0,2024-09-07 09:11:51:750,90516,0.4,90359,0.6,180606,0.4,240382,2.00 3,1,2024-09-07 09:11:51:624,633880,633880,0,0,296736121744,3087237419,628776,4480,624,380,391591,0 3,2,2024-09-07 09:11:51:151,456616,456593,23,0,17529370,0,5851 3,3,2024-09-07 09:11:51:752,1,361,2,0,207,2255,361,0 4,0,2024-09-07 09:11:51:845,87990,0.4,90415,0.5,184132,0.3,240704,1.75 4,1,2024-09-07 09:11:50:602,632410,632410,0,0,296735739528,3122439290,624708,6308,1394,370,391992,0 4,2,2024-09-07 09:11:51:026,455537,455537,0,0,20534800,0,4528 4,3,2024-09-07 09:11:51:027,1,361,12,0,448,4932,361,0 5,0,2024-09-07 09:11:51:438,90415,0.4,90856,0.6,181330,0.4,241153,1.75 5,1,2024-09-07 09:11:50:818,633316,633316,0,0,297189432428,3120273614,626111,5848,1357,367,392005,0 5,2,2024-09-07 09:11:51:837,454993,454993,0,0,19571647,0,2432 5,3,2024-09-07 09:11:51:752,1,361,1,0,457,5064,361,0 6,0,2024-09-07 09:11:50:918,91135,0.4,90595,0.6,180911,0.4,241872,1.75 6,1,2024-09-07 09:11:50:747,633842,633842,0,0,297495141515,3105066540,627222,5535,1085,379,391694,0 6,2,2024-09-07 09:11:51:125,455980,455980,0,0,18762400,0,4816 6,3,2024-09-07 09:11:51:281,1,361,3,0,340,3789,361,0 7,0,2024-09-07 09:11:51:538,90057,0.5,90637,0.6,180570,0.4,240238,2.00 7,1,2024-09-07 09:11:50:855,632664,632664,0,0,296984275516,3114110842,624462,7025,1177,382,391747,0 7,2,2024-09-07 09:11:50:774,457406,457406,0,0,18893318,0,4791 7,3,2024-09-07 09:11:50:857,1,361,1,0,398,3889,361,0 8,0,2024-09-07 09:11:51:340,90698,0.4,90475,0.5,181184,0.3,241576,1.75 8,1,2024-09-07 09:11:51:028,631785,631785,0,0,297092435762,3123108798,621628,7879,2278,366,392144,0 8,2,2024-09-07 09:11:50:790,453753,453753,0,0,23168782,0,3220 8,3,2024-09-07 09:11:50:586,1,361,9,0,538,6094,361,0 9,0,2024-09-07 09:11:51:100,90705,0.4,88025,0.5,184249,0.3,241197,1.75 9,1,2024-09-07 09:11:50:563,632436,632436,0,0,296337466289,3119974984,623355,6955,2126,369,392001,0 9,2,2024-09-07 09:11:51:088,456355,456355,0,0,20531388,0,3360 9,3,2024-09-07 09:11:51:772,1,361,12,0,496,5236,361,0 10,0,2024-09-07 09:11:51:600,90525,0.3,89923,0.5,180594,0.2,240823,1.75 10,1,2024-09-07 09:11:50:583,633251,633251,0,0,296402901134,3101330107,625364,6777,1110,381,391741,0 10,2,2024-09-07 09:11:50:766,456241,456241,0,0,22118773,0,4264 10,3,2024-09-07 09:11:50:872,1,361,2,0,296,3254,361,0 11,0,2024-09-07 09:11:51:011,89885,0.4,87264,0.6,182565,0.3,241152,1.75 11,1,2024-09-07 09:11:50:576,634006,634006,0,0,297065937295,3116615020,623981,7577,2448,383,391756,0 11,2,2024-09-07 09:11:51:133,456737,456737,0,0,19923529,0,4130 11,3,2024-09-07 09:11:51:298,1,361,8,0,843,5421,361,0 12,0,2024-09-07 09:11:50:947,91113,0.4,91106,0.5,181962,0.3,241712,1.75 12,1,2024-09-07 09:11:50:934,633618,633618,0,0,297207466030,3097213566,628735,4389,494,370,391870,0 12,2,2024-09-07 09:11:51:543,457479,457479,0,0,19698607,0,3469 12,3,2024-09-07 09:11:51:094,1,361,13,0,386,5705,361,0 13,0,2024-09-07 09:11:51:332,91108,0.4,90726,0.6,181203,0.4,241355,1.75 13,1,2024-09-07 09:11:51:532,632608,632608,0,0,296690924132,3116345699,626691,4506,1411,382,391740,0 13,2,2024-09-07 09:11:50:595,457394,457394,0,0,18094853,0,3287 13,3,2024-09-07 09:11:51:775,1,361,35,0,522,5674,361,0 14,0,2024-09-07 09:11:50:561,90546,0.4,91352,0.6,180843,0.3,241223,1.75 14,1,2024-09-07 09:11:51:561,637018,637018,0,0,298479324477,3088434195,632328,4331,359,364,391571,0 14,2,2024-09-07 09:11:50:765,456368,456338,30,0,19595719,0,6104 14,3,2024-09-07 09:11:51:124,1,361,2,0,1168,4244,361,0 15,0,2024-09-07 09:11:51:576,90248,0.4,90303,0.6,180782,0.3,241008,1.75 15,1,2024-09-07 09:11:51:622,634312,634312,0,0,297503247043,3094864150,629966,3523,823,381,391619,0 15,2,2024-09-07 09:11:50:997,458361,458361,0,0,16190510,0,3622 15,3,2024-09-07 09:11:51:405,1,361,111,0,1126,6417,361,0 16,0,2024-09-07 09:11:50:927,90436,0.6,90763,0.7,181292,0.5,240894,2.00 16,1,2024-09-07 09:11:50:571,635074,635074,0,0,297532852474,3103060117,630749,3962,363,370,391756,0 16,2,2024-09-07 09:11:51:434,456347,456347,0,0,18760604,0,4719 16,3,2024-09-07 09:11:51:154,1,361,18,0,317,4788,361,0 17,0,2024-09-07 09:11:51:761,93226,0.6,90949,0.8,178136,0.7,241555,2.00 17,1,2024-09-07 09:11:50:576,632641,632641,0,0,296995938892,3113180476,626369,5012,1260,368,392075,0 17,2,2024-09-07 09:11:51:668,459357,459357,0,0,18433906,0,2857 17,3,2024-09-07 09:11:50:574,1,361,12,0,298,5799,361,0 18,0,2024-09-07 09:11:50:943,89507,0.6,89904,0.8,179301,0.6,238980,2.25 18,1,2024-09-07 09:11:51:638,635623,635623,0,0,297575883701,3081647850,632271,3041,311,367,391649,0 18,2,2024-09-07 09:11:51:775,458806,458806,0,0,16996204,0,3541 18,3,2024-09-07 09:11:50:909,1,361,11,0,163,3020,361,0 19,0,2024-09-07 09:11:51:557,90224,0.5,90899,0.7,179939,0.5,239963,2.00 19,1,2024-09-07 09:11:50:566,635678,635678,0,0,298067924401,3089536498,630550,4289,839,367,391777,0 19,2,2024-09-07 09:11:51:775,457965,457965,0,0,15552869,0,3988 19,3,2024-09-07 09:11:51:141,1,361,7,0,524,2747,361,0 20,0,2024-09-07 09:11:51:356,90324,0.5,90375,0.6,180587,0.4,240715,2.00 20,1,2024-09-07 09:11:50:576,634014,634014,0,0,297723743820,3106060216,629665,3939,410,369,391886,0 20,2,2024-09-07 09:11:50:928,456899,456899,0,0,18883390,0,3721 20,3,2024-09-07 09:11:50:594,1,361,8,0,414,5534,361,0 21,0,2024-09-07 09:11:51:141,90678,0.5,90761,0.6,181182,0.5,240604,2.00 21,1,2024-09-07 09:11:51:543,632479,632479,0,0,295346617464,3108155171,624420,6176,1883,368,392016,0 21,2,2024-09-07 09:11:51:073,455031,455031,0,0,22182631,0,3747 21,3,2024-09-07 09:11:51:416,1,361,95,0,713,4306,361,0 22,0,2024-09-07 09:11:51:719,90137,0.5,90453,0.7,181174,0.5,239949,2.00 22,1,2024-09-07 09:11:51:026,633533,633533,0,0,296446656495,3110639216,625040,6673,1820,382,391667,0 22,2,2024-09-07 09:11:50:763,455562,455562,0,0,17882112,0,3134 22,3,2024-09-07 09:11:51:066,1,361,3,0,228,3010,361,0 23,0,2024-09-07 09:11:51:370,90030,0.5,89974,0.7,180429,0.4,240518,2.25 23,1,2024-09-07 09:11:51:007,634183,634183,0,0,297661103138,3121371407,623677,7346,3160,365,391690,0 23,2,2024-09-07 09:11:51:092,457443,457443,0,0,17770456,0,3010 23,3,2024-09-07 09:11:51:777,1,361,1,0,720,4847,361,0 24,0,2024-09-07 09:11:50:828,90734,0.4,90682,0.5,181676,0.3,241521,1.75 24,1,2024-09-07 09:11:50:596,632779,632779,0,0,296461894918,3107687828,625633,5596,1550,367,392269,0 24,2,2024-09-07 09:11:51:082,456227,456227,0,0,21705980,0,3607 24,3,2024-09-07 09:11:51:699,1,361,23,0,468,4700,361,0 25,0,2024-09-07 09:11:51:377,93000,0.4,90606,0.6,177595,0.4,242320,1.75 25,1,2024-09-07 09:11:50:570,633881,633881,0,0,296465688228,3110169272,625734,6725,1422,371,391928,0 25,2,2024-09-07 09:11:51:622,456173,456173,0,0,21867931,0,3978 25,3,2024-09-07 09:11:51:009,1,361,6,0,255,3502,361,0 26,0,2024-09-07 09:11:51:724,90058,0.4,87932,0.6,184456,0.4,240111,1.75 26,1,2024-09-07 09:11:51:542,634126,634126,0,0,297195443212,3110108804,625237,7353,1536,380,391748,0 26,2,2024-09-07 09:11:50:869,456933,456933,0,0,21726835,0,2809 26,3,2024-09-07 09:11:51:718,1,361,10,0,796,4529,361,0 27,0,2024-09-07 09:11:51:735,90787,0.4,90960,0.6,180293,0.4,240909,2.00 27,1,2024-09-07 09:11:51:678,636068,636068,0,0,298166029226,3100758932,630757,4545,766,381,391626,0 27,2,2024-09-07 09:11:50:869,453728,453663,65,0,21072973,0,5699 27,3,2024-09-07 09:11:51:015,1,361,15,0,564,3689,361,0 28,0,2024-09-07 09:11:51:401,90631,0.4,90366,0.6,180842,0.3,241837,1.75 28,1,2024-09-07 09:11:50:805,635161,635161,0,0,297874102051,3101589698,630656,3800,705,383,391698,0 28,2,2024-09-07 09:11:51:774,457199,457199,0,0,18895620,0,2915 28,3,2024-09-07 09:11:51:784,1,361,8,0,502,3987,361,0 29,0,2024-09-07 09:11:51:359,93003,0.4,90592,0.6,177766,0.3,242001,1.75 29,1,2024-09-07 09:11:51:560,636580,636580,0,0,297954587121,3083267064,632237,3658,685,369,391753,0 29,2,2024-09-07 09:11:50:874,455683,455683,0,0,18181914,0,4986 29,3,2024-09-07 09:11:50:966,1,361,1,0,459,4414,361,0 30,0,2024-09-07 09:11:51:458,89952,0.5,87421,0.7,182940,0.5,239236,2.00 30,1,2024-09-07 09:11:50:575,636131,636131,0,0,298368989063,3096200778,631311,4110,710,381,391672,0 30,2,2024-09-07 09:11:51:288,457120,457120,0,0,17107712,0,3161 30,3,2024-09-07 09:11:50:594,1,361,0,0,519,3617,361,0 31,0,2024-09-07 09:11:51:758,89885,0.4,90372,0.6,180516,0.3,240275,2.00 31,1,2024-09-07 09:11:50:563,638294,638294,0,0,299170741996,3066110373,635746,1989,559,356,391712,0 31,2,2024-09-07 09:11:51:288,456512,456512,0,0,18903984,0,3525 31,3,2024-09-07 09:11:51:706,1,361,52,0,220,3080,361,0 32,0,2024-09-07 09:11:51:416,90494,0.3,90898,0.5,181717,0.2,242119,1.50 32,1,2024-09-07 09:11:50:820,635504,635504,0,0,298110950238,3093159240,632333,2725,446,381,391646,0 32,2,2024-09-07 09:11:50:937,457584,457584,0,0,15970246,0,3155 32,3,2024-09-07 09:11:51:015,1,361,8,0,227,2496,361,0 33,0,2024-09-07 09:11:51:541,90672,0.3,90199,0.4,180984,0.2,240673,1.50 33,1,2024-09-07 09:11:50:578,636800,636800,0,0,298331136677,3086799954,632355,3614,831,369,391730,0 33,2,2024-09-07 09:11:50:764,457596,457561,35,0,18170881,0,7012 33,3,2024-09-07 09:11:50:909,1,361,1,0,329,3636,361,0 34,0,2024-09-07 09:11:50:930,90753,0.3,93427,0.4,178619,0.2,240025,1.75 34,1,2024-09-07 09:11:51:050,637695,637695,0,0,299317386373,3074690421,636268,1418,9,367,391562,0 34,2,2024-09-07 09:11:50:766,457350,457350,0,0,17388249,0,3577 34,3,2024-09-07 09:11:51:694,1,361,1,0,299,2558,361,0 35,0,2024-09-07 09:11:50:883,89709,0.3,90109,0.5,181385,0.3,241679,1.75 35,1,2024-09-07 09:11:51:073,635588,635588,0,0,298578076319,3091056078,631965,2842,781,382,391769,0 35,2,2024-09-07 09:11:51:583,456938,456938,0,0,17642682,0,2653 35,3,2024-09-07 09:11:50:907,1,361,1,0,418,4307,361,0 36,0,2024-09-07 09:11:51:518,91021,0.4,90938,0.6,182031,0.4,241642,2.00 36,1,2024-09-07 09:11:50:587,635173,635173,0,0,297411860482,3101983926,627850,5665,1658,366,391759,0 36,2,2024-09-07 09:11:51:751,457181,457181,0,0,19828990,0,3875 36,3,2024-09-07 09:11:50:863,1,361,14,0,416,5426,361,0 37,0,2024-09-07 09:11:51:371,90174,0.5,90034,0.6,180389,0.4,240619,2.00 37,1,2024-09-07 09:11:50:578,634535,634528,0,7,297254052062,3101367688,627728,4714,2086,365,391570,0 37,2,2024-09-07 09:11:51:145,455632,455617,15,0,19616489,0,5815 37,3,2024-09-07 09:11:51:772,1,361,5,0,888,5678,361,0 38,0,2024-09-07 09:11:51:437,89944,0.5,87345,0.7,182866,0.4,239418,2.00 38,1,2024-09-07 09:11:51:621,636129,636129,0,0,298055395739,3098740602,630067,5303,759,368,391821,0 38,2,2024-09-07 09:11:50:761,456749,456702,47,0,19987605,0,6710 38,3,2024-09-07 09:11:50:996,1,361,2,0,689,4773,361,0 39,0,2024-09-07 09:11:51:772,92243,0.6,90275,0.7,175836,0.5,240152,2.00 39,1,2024-09-07 09:11:50:726,634404,634404,0,0,297665503166,3109697775,625935,7007,1462,365,391658,0 39,2,2024-09-07 09:11:51:421,456967,456967,0,0,17995520,0,2689 39,3,2024-09-07 09:11:50:718,1,361,3,0,324,4394,361,0 40,0,2024-09-07 09:11:51:504,89657,0.7,90261,0.9,180163,0.7,240511,2.75 40,1,2024-09-07 09:11:50:576,635085,635085,0,0,296590044751,3100982709,626479,7095,1511,368,391668,0 40,2,2024-09-07 09:11:51:304,455417,455416,1,0,21379264,0,5137 40,3,2024-09-07 09:11:51:144,1,361,13,0,1028,5134,361,0 41,0,2024-09-07 09:11:51:029,89736,1.1,91898,1.1,175251,1.5,238817,2.75 41,1,2024-09-07 09:11:50:779,633662,633662,0,0,297169943296,3103751233,626513,6444,705,369,391742,0 41,2,2024-09-07 09:11:50:764,455851,455851,0,0,20341716,0,3356 41,3,2024-09-07 09:11:51:677,1,361,8,0,366,3569,361,0 42,0,2024-09-07 09:11:51:473,89619,0.9,89708,1.0,179849,1.0,237785,2.75 42,1,2024-09-07 09:11:51:440,632696,632696,0,0,296198448351,3108029097,623144,7794,1758,380,391675,0 42,2,2024-09-07 09:11:51:134,455977,455977,0,0,20625723,0,3790 42,3,2024-09-07 09:11:51:009,1,361,1,0,446,3111,361,0 43,0,2024-09-07 09:11:50:940,89225,0.9,86954,1.0,182237,1.0,238816,2.50 43,1,2024-09-07 09:11:50:576,634359,634359,0,0,298535033945,3113690784,626122,6799,1438,366,391696,0 43,2,2024-09-07 09:11:51:737,456221,456221,0,0,20386280,0,3812 43,3,2024-09-07 09:11:51:773,1,361,0,0,467,4349,361,0 44,0,2024-09-07 09:11:50:907,90556,0.4,90874,0.6,181392,0.4,241405,1.75 44,1,2024-09-07 09:11:50:566,635769,635769,0,0,297739532120,3076060267,630675,4047,1047,356,391809,0 44,2,2024-09-07 09:11:51:266,455941,455941,0,0,17141272,0,4344 44,3,2024-09-07 09:11:51:103,1,361,18,0,817,4561,361,0 45,0,2024-09-07 09:11:51:776,89289,0.4,87202,0.7,182829,0.4,241091,2.00 45,1,2024-09-07 09:11:51:012,635418,635418,0,0,297855317464,3092704595,630980,3962,476,382,391917,0 45,2,2024-09-07 09:11:51:281,457318,457318,0,0,17627343,0,3596 45,3,2024-09-07 09:11:50:946,1,361,4,0,271,3338,361,0 46,0,2024-09-07 09:11:50:952,89868,0.5,89837,0.7,179706,0.5,238529,2.00 46,1,2024-09-07 09:11:50:575,636690,636690,0,0,298422661847,3079800332,632972,3292,426,366,391572,0 46,2,2024-09-07 09:11:50:596,456915,456915,0,0,16818704,0,2920 46,3,2024-09-07 09:11:51:132,1,361,42,0,908,5105,361,0 47,0,2024-09-07 09:11:51:106,90783,0.5,91065,0.6,182503,0.4,240988,2.00 47,1,2024-09-07 09:11:50:566,637689,637689,0,0,297935668741,3079618990,633201,3673,815,366,391641,0 47,2,2024-09-07 09:11:50:908,458719,458719,0,0,17417708,0,4477 47,3,2024-09-07 09:11:51:124,1,361,1,0,529,3842,361,0 48,0,2024-09-07 09:11:51:503,90980,0.3,90595,0.4,180790,0.2,241103,1.50 48,1,2024-09-07 09:11:51:025,635774,635774,0,0,298546282942,3097796039,632173,3297,304,384,391710,0 48,2,2024-09-07 09:11:50:703,456576,456576,0,0,15823070,0,3031 48,3,2024-09-07 09:11:50:756,1,361,9,0,339,2965,361,0 49,0,2024-09-07 09:11:51:724,93016,0.3,91450,0.5,176889,0.2,242825,1.75 49,1,2024-09-07 09:11:51:024,635119,635119,0,0,297985054744,3099629672,630748,3186,1185,382,391809,0 49,2,2024-09-07 09:11:51:807,457313,457313,0,0,16909649,0,4426 49,3,2024-09-07 09:11:51:416,1,361,7,0,408,3664,361,0 50,0,2024-09-07 09:11:51:506,90888,0.3,89407,0.4,180505,0.2,240368,1.75 50,1,2024-09-07 09:11:51:027,637201,637201,0,0,298641185891,3084419001,633379,3448,374,368,391565,0 50,2,2024-09-07 09:11:51:067,457006,457006,0,0,15988290,0,2263 50,3,2024-09-07 09:11:51:295,1,361,1,0,335,3366,361,0 51,0,2024-09-07 09:11:51:686,93013,0.3,90911,0.5,177302,0.2,241674,1.75 51,1,2024-09-07 09:11:51:680,637203,637203,0,0,299354508476,3085545582,633940,2287,976,365,391706,0 51,2,2024-09-07 09:11:51:328,457427,457427,0,0,15616134,0,3337 51,3,2024-09-07 09:11:51:028,1,361,1,0,678,2656,361,0 52,0,2024-09-07 09:11:51:422,90606,0.5,90650,0.7,181029,0.4,240654,2.00 52,1,2024-09-07 09:11:50:575,634555,634555,0,0,297146982803,3107435833,625900,7341,1314,368,391722,0 52,2,2024-09-07 09:11:51:755,453583,453545,38,0,20381909,0,6742 52,3,2024-09-07 09:11:50:686,1,361,15,0,1782,5290,361,0 53,0,2024-09-07 09:11:51:760,89913,0.6,87374,0.7,182821,0.6,240033,2.25 53,1,2024-09-07 09:11:50:775,633085,633085,0,0,297326841335,3115857589,623456,7045,2584,367,391702,0 53,2,2024-09-07 09:11:51:298,457276,457276,0,0,17566140,0,2727 53,3,2024-09-07 09:11:50:711,1,361,0,0,308,3275,361,0 54,0,2024-09-07 09:11:51:622,89127,0.5,89608,0.7,178185,0.4,238181,2.25 54,1,2024-09-07 09:11:50:581,634941,634941,0,0,298211108335,3094891014,629273,5065,603,366,391659,0 54,2,2024-09-07 09:11:50:868,457301,457295,6,0,20122103,0,5382 54,3,2024-09-07 09:11:50:764,1,361,32,0,676,5297,361,0 55,0,2024-09-07 09:11:51:776,87498,0.6,90493,0.7,182697,0.5,238197,2.50 55,1,2024-09-07 09:11:50:764,635071,635071,0,0,297583903940,3092297996,628444,5718,909,365,391731,0 55,2,2024-09-07 09:11:50:730,456327,456327,0,0,19429991,0,3563 55,3,2024-09-07 09:11:50:677,1,361,8,0,304,3873,361,0 56,0,2024-09-07 09:11:51:611,92118,1.1,86890,1.1,179143,1.6,239825,2.50 56,1,2024-09-07 09:11:50:573,632055,632055,0,0,296882335322,3128411855,623308,7115,1632,381,391867,0 56,2,2024-09-07 09:11:51:303,456493,456493,0,0,20420125,0,3567 56,3,2024-09-07 09:11:51:064,1,361,11,0,705,4549,361,0 57,0,2024-09-07 09:11:50:943,89409,1.3,89332,1.1,178819,1.8,239508,2.75 57,1,2024-09-07 09:11:50:986,633812,633812,0,0,297406922019,3111898574,626937,6155,720,366,392032,0 57,2,2024-09-07 09:11:51:320,456739,456739,0,0,22002901,0,3317 57,3,2024-09-07 09:11:51:742,1,361,2,0,359,4094,361,0 58,0,2024-09-07 09:11:50:553,89203,0.7,86695,0.9,181554,0.8,238553,2.50 58,1,2024-09-07 09:11:50:575,634528,634525,0,3,297586251189,3106561325,626354,7089,1082,367,391603,3 58,2,2024-09-07 09:11:51:073,456505,456505,0,0,20172290,0,2549 58,3,2024-09-07 09:11:51:071,1,361,14,0,1043,3786,361,0 59,0,2024-09-07 09:11:51:743,90302,0.8,89837,0.9,179507,0.8,238537,2.50 59,1,2024-09-07 09:11:50:804,633740,633740,0,0,297708075292,3111657442,625845,6372,1523,369,391586,0 59,2,2024-09-07 09:11:50:582,457049,457049,0,0,19995532,0,2867 59,3,2024-09-07 09:11:51:737,1,361,1,0,1015,4411,361,0 60,0,2024-09-07 09:11:51:751,90193,0.5,90215,0.7,181130,0.5,240142,1.75 60,1,2024-09-07 09:11:50:781,636087,636087,0,0,298611531149,3097992232,631949,3489,649,370,392031,0 60,2,2024-09-07 09:11:51:146,456356,456356,0,0,19474115,0,3811 60,3,2024-09-07 09:11:51:262,1,361,11,0,409,4224,361,0 61,0,2024-09-07 09:11:51:519,89975,0.6,90647,0.8,180301,0.6,240116,2.00 61,1,2024-09-07 09:11:50:777,634087,634087,0,0,297375165063,3114540275,626868,5893,1326,382,392127,0 61,2,2024-09-07 09:11:51:119,456616,456549,67,0,19787573,0,6411 61,3,2024-09-07 09:11:51:687,1,361,2,0,479,5174,361,0 62,0,2024-09-07 09:11:51:713,91107,0.5,93174,0.6,177720,0.4,242121,1.75 62,1,2024-09-07 09:11:51:110,638448,638442,0,6,298629053329,3079890743,634860,3323,259,365,391715,6 62,2,2024-09-07 09:11:51:643,455732,455731,1,0,19271744,0,5555 62,3,2024-09-07 09:11:51:144,1,361,1,0,465,2704,361,0 63,0,2024-09-07 09:11:51:474,90810,0.4,90593,0.6,181624,0.3,241039,1.75 63,1,2024-09-07 09:11:50:804,636326,636320,0,6,298298153087,3094330043,632385,3547,388,381,391800,6 63,2,2024-09-07 09:11:50:763,456702,456702,0,0,17731357,0,4369 63,3,2024-09-07 09:11:51:731,1,361,2,0,667,3906,361,0 64,0,2024-09-07 09:11:51:519,90040,0.5,90051,0.7,180202,0.5,239125,2.00 64,1,2024-09-07 09:11:50:751,635443,635443,0,0,297855597932,3100580509,629791,3992,1660,370,391783,0 64,2,2024-09-07 09:11:51:146,459989,459970,19,0,17399423,0,6121 64,3,2024-09-07 09:11:51:146,1,361,8,0,265,3268,361,0 65,0,2024-09-07 09:11:51:682,89562,0.6,89864,0.8,179491,0.7,239590,2.00 65,1,2024-09-07 09:11:50:862,633876,633876,0,0,296165415655,3092096801,629783,3642,451,382,391901,0 65,2,2024-09-07 09:11:51:707,457711,457711,0,0,19225429,0,3367 65,3,2024-09-07 09:11:51:683,1,361,14,0,281,3427,361,0 66,0,2024-09-07 09:11:51:778,90360,0.5,90327,0.7,180657,0.4,240517,2.00 66,1,2024-09-07 09:11:51:297,635461,635461,0,0,297716982703,3092470951,631858,3280,323,380,391653,0 66,2,2024-09-07 09:11:51:134,458203,458203,0,0,17070844,0,4956 66,3,2024-09-07 09:11:51:082,1,361,1,0,291,3439,361,0 67,0,2024-09-07 09:11:51:415,90736,0.5,90352,0.6,181275,0.4,241322,2.00 67,1,2024-09-07 09:11:50:765,636035,636034,0,1,297451426291,3094304835,631862,3420,752,380,391787,1 67,2,2024-09-07 09:11:50:587,457995,457995,0,0,16597859,0,2889 67,3,2024-09-07 09:11:51:750,1,361,13,0,338,3261,361,0 68,0,2024-09-07 09:11:50:616,90881,0.6,90472,0.7,180611,0.6,241360,2.00 68,1,2024-09-07 09:11:50:593,633983,633983,0,0,296553127264,3103318877,628799,3911,1273,381,391953,0 68,2,2024-09-07 09:11:51:049,455800,455735,65,0,21749920,0,6698 68,3,2024-09-07 09:11:50:728,1,361,15,0,417,3782,361,0 69,0,2024-09-07 09:11:51:751,89930,0.8,90574,0.9,180050,0.9,239228,2.25 69,1,2024-09-07 09:11:51:041,632029,632029,0,0,296816047494,3126782800,624003,5915,2111,384,391994,0 69,2,2024-09-07 09:11:51:750,456541,456541,0,0,22124006,0,3722 69,3,2024-09-07 09:11:50:764,1,361,203,0,698,5158,361,0 70,0,2024-09-07 09:11:51:534,89443,0.7,89562,0.9,180051,0.5,239022,2.25 70,1,2024-09-07 09:11:50:802,635636,635636,0,0,298048674711,3090175363,630778,4322,536,366,391725,0 70,2,2024-09-07 09:11:51:332,455855,455855,0,0,19757197,0,4044 70,3,2024-09-07 09:11:50:747,1,361,115,0,854,3953,361,0 71,0,2024-09-07 09:11:51:359,89663,0.7,89271,0.9,179476,0.7,240004,2.50 71,1,2024-09-07 09:11:51:621,634399,634399,0,0,297560793218,3100292734,627781,5962,656,368,391738,0 71,2,2024-09-07 09:11:51:067,457064,457064,0,0,19742550,0,4042 71,3,2024-09-07 09:11:51:750,1,361,3,0,644,4725,361,0 72,0,2024-09-07 09:11:51:031,93355,0.6,91256,0.7,178014,0.6,242129,2.00 72,1,2024-09-07 09:11:51:021,634020,634020,0,0,297350951877,3111202588,625758,6562,1700,369,391819,0 72,2,2024-09-07 09:11:51:763,456054,456054,0,0,22284650,0,3983 72,3,2024-09-07 09:11:51:754,1,361,15,0,564,5472,361,0 73,0,2024-09-07 09:11:51:107,88118,0.4,90385,0.6,184842,0.4,239992,2.00 73,1,2024-09-07 09:11:50:775,634914,634914,0,0,297425504644,3088162592,630591,3993,330,367,391858,0 73,2,2024-09-07 09:11:51:743,456484,456484,0,0,21557676,0,3701 73,3,2024-09-07 09:11:50:970,1,361,6,0,274,4618,361,0 74,0,2024-09-07 09:11:51:344,90924,0.4,93171,0.6,177903,0.4,241174,2.25 74,1,2024-09-07 09:11:50:638,634511,634511,0,0,296739978599,3094165064,628425,4823,1263,381,391681,0 74,2,2024-09-07 09:11:51:006,455831,455831,0,0,20403612,0,4253 74,3,2024-09-07 09:11:51:442,1,361,57,0,522,4937,361,0 75,0,2024-09-07 09:11:51:786,90578,0.4,90194,0.6,180857,0.3,241939,2.00 75,1,2024-09-07 09:11:51:590,633626,633626,0,0,297055901364,3099262732,627262,5538,826,380,391739,0 75,2,2024-09-07 09:11:51:361,456060,456060,0,0,20884123,0,4766 75,3,2024-09-07 09:11:51:071,1,361,4,0,702,4930,361,0 76,0,2024-09-07 09:11:50:590,90112,0.6,89470,0.7,179514,0.6,239912,2.25 76,1,2024-09-07 09:11:50:808,634747,634747,0,0,297208224492,3096339949,630817,3339,591,382,391692,0 76,2,2024-09-07 09:11:51:071,458424,458423,1,0,19794353,0,5144 76,3,2024-09-07 09:11:51:155,1,361,12,0,175,3361,361,0 77,0,2024-09-07 09:11:51:704,90590,0.6,90665,0.8,181451,0.6,240925,2.00 77,1,2024-09-07 09:11:50:832,634384,634384,0,0,297373707486,3104267921,629106,4787,491,381,391869,0 77,2,2024-09-07 09:11:51:282,457463,457463,0,0,19342511,0,3890 77,3,2024-09-07 09:11:51:093,1,361,2,0,401,3948,361,0 78,0,2024-09-07 09:11:51:720,90840,0.4,90324,0.6,181426,0.4,240380,2.00 78,1,2024-09-07 09:11:50:629,635200,635200,0,0,297338200803,3091995643,629265,4790,1145,367,391670,0 78,2,2024-09-07 09:11:51:405,457493,457493,0,0,16675195,0,3855 78,3,2024-09-07 09:11:51:133,1,361,1,0,181,3275,361,0 79,0,2024-09-07 09:11:51:369,87810,0.4,89958,0.6,183998,0.3,239810,2.25 79,1,2024-09-07 09:11:50:573,637008,637008,0,0,298076576379,3083430539,632446,3927,635,368,391682,0 79,2,2024-09-07 09:11:51:068,457709,457709,0,0,17637394,0,4195 79,3,2024-09-07 09:11:50:752,1,361,0,0,418,4428,361,0 80,0,2024-09-07 09:11:51:074,90307,0.5,93074,0.6,178312,0.5,240122,2.00 80,1,2024-09-07 09:11:51:631,635330,635330,0,0,297360040839,3089826785,631967,3182,181,368,391791,0 80,2,2024-09-07 09:11:51:091,458640,458640,0,0,17112149,0,4433 80,3,2024-09-07 09:11:50:575,1,361,20,0,190,4297,361,0 81,0,2024-09-07 09:11:51:603,90625,0.6,92803,0.7,177133,0.5,240338,2.00 81,1,2024-09-07 09:11:51:652,634236,634236,0,0,296705936717,3097902712,629361,4376,499,382,391879,0 81,2,2024-09-07 09:11:51:136,456815,456752,63,0,18950034,0,5932 81,3,2024-09-07 09:11:51:126,1,361,1,0,374,4035,361,0 82,0,2024-09-07 09:11:51:537,89902,0.5,90444,0.7,181285,0.4,240545,2.00 82,1,2024-09-07 09:11:50:587,636189,636185,0,4,297808492400,3096508229,632251,3137,797,381,391768,4 82,2,2024-09-07 09:11:51:692,458122,458122,0,0,16109503,0,3986 82,3,2024-09-07 09:11:51:757,1,361,2,0,363,3520,361,0 83,0,2024-09-07 09:11:51:525,90768,0.5,90457,0.7,180606,0.4,240426,2.00 83,1,2024-09-07 09:11:50:554,634403,634403,0,0,297456383028,3098044337,630086,3936,381,382,391709,0 83,2,2024-09-07 09:11:50:765,456603,456603,0,0,16638099,0,3393 83,3,2024-09-07 09:11:50:750,1,361,1,0,1260,4917,361,0 84,0,2024-09-07 09:11:51:794,89820,0.6,89710,0.7,179234,0.5,240054,2.00 84,1,2024-09-07 09:11:51:049,633778,633778,0,0,297287922885,3103255088,627719,5321,738,367,391967,0 84,2,2024-09-07 09:11:50:575,456950,456950,0,0,21174212,0,4757 84,3,2024-09-07 09:11:51:231,1,361,13,0,908,5420,361,0 85,0,2024-09-07 09:11:51:034,87214,0.6,87191,0.8,185093,0.6,239493,2.25 85,1,2024-09-07 09:11:50:563,632136,632136,0,0,297149249978,3130798456,623440,7314,1382,381,392006,0 85,2,2024-09-07 09:11:50:869,456531,456531,0,0,20824636,0,3656 85,3,2024-09-07 09:11:50:689,1,361,1,0,789,4706,361,0 86,0,2024-09-07 09:11:50:933,90135,0.7,92708,0.8,177391,0.7,239686,2.25 86,1,2024-09-07 09:11:50:841,634014,634014,0,0,297388656989,3109398031,627083,5863,1068,366,391961,0 86,2,2024-09-07 09:11:50:868,455453,455452,1,0,21769109,0,5004 86,3,2024-09-07 09:11:50:594,1,361,13,0,308,5140,361,0 87,0,2024-09-07 09:11:51:290,90195,0.8,90017,0.9,180665,0.9,241277,2.25 87,1,2024-09-07 09:11:50:554,634020,634020,0,0,296673286702,3099131247,627794,5611,615,366,392076,0 87,2,2024-09-07 09:11:51:071,455291,455291,0,0,19629580,0,4045 87,3,2024-09-07 09:11:51:798,1,361,2,0,473,5084,361,0 88,0,2024-09-07 09:11:51:464,90328,0.4,91104,0.6,181312,0.4,241480,1.75 88,1,2024-09-07 09:11:50:576,632333,632333,0,0,296703628440,3105360334,624622,6046,1665,365,392084,0 88,2,2024-09-07 09:11:50:690,457917,457917,0,0,21850226,0,3583 88,3,2024-09-07 09:11:51:290,1,361,8,0,435,3772,361,0 89,0,2024-09-07 09:11:51:827,93172,0.5,90134,0.6,178548,0.4,242257,1.75 89,1,2024-09-07 09:11:50:549,632714,632714,0,0,296854667311,3118562010,624945,6494,1275,382,391866,0 89,2,2024-09-07 09:11:51:151,455794,455794,0,0,20890866,0,2910 89,3,2024-09-07 09:11:51:796,1,361,1,0,385,6366,361,0 90,0,2024-09-07 09:11:51:628,87588,0.5,90034,0.6,183418,0.4,239262,2.00 90,1,2024-09-07 09:11:50:590,634033,634033,0,0,296919279015,3109096711,627809,5719,505,380,391825,0 90,2,2024-09-07 09:11:51:417,455153,455153,0,0,22057784,0,3060 90,3,2024-09-07 09:11:50:930,1,361,1,0,246,3903,361,0 91,0,2024-09-07 09:11:50:939,90755,0.5,87837,0.6,183474,0.4,240620,1.75 91,1,2024-09-07 09:11:50:606,632659,632659,0,0,296879284241,3118713165,624820,6792,1047,381,392047,0 91,2,2024-09-07 09:11:51:337,457252,457252,0,0,19969273,0,2896 91,3,2024-09-07 09:11:50:603,1,361,5,0,216,3310,361,0 92,0,2024-09-07 09:11:51:466,91230,0.4,93531,0.6,178631,0.4,242331,1.75 92,1,2024-09-07 09:11:50:581,633961,633961,0,0,297068665941,3101492942,629138,4134,689,382,392136,0 92,2,2024-09-07 09:11:51:356,457664,457664,0,0,18057469,0,3259 92,3,2024-09-07 09:11:51:013,1,361,14,0,167,3051,361,0 93,0,2024-09-07 09:11:50:956,91117,0.4,93341,0.5,178250,0.3,241275,1.75 93,1,2024-09-07 09:11:50:806,634454,634454,0,0,297220234025,3099736059,628323,5100,1031,366,391776,0 93,2,2024-09-07 09:11:50:928,456210,456210,0,0,21148033,0,4845 93,3,2024-09-07 09:11:51:407,1,361,14,0,190,3430,361,0 94,0,2024-09-07 09:11:51:619,90223,0.4,91070,0.5,181806,0.3,240806,1.75 94,1,2024-09-07 09:11:50:588,634333,634333,0,0,297354657846,3100743286,630271,3871,191,381,391850,0 94,2,2024-09-07 09:11:50:923,456830,456830,0,0,18227079,0,2443 94,3,2024-09-07 09:11:51:692,1,361,2,0,264,4339,361,0 95,0,2024-09-07 09:11:51:346,90266,0.4,90330,0.5,181023,0.3,241441,1.75 95,1,2024-09-07 09:11:50:857,635850,635850,0,0,297432710890,3091044161,630822,4627,401,367,391713,0 95,2,2024-09-07 09:11:51:073,456962,456962,0,0,17899337,0,3308 95,3,2024-09-07 09:11:51:711,1,361,1,0,718,5409,361,0 96,0,2024-09-07 09:11:51:072,90857,0.3,91094,0.5,181835,0.3,241281,1.75 96,1,2024-09-07 09:11:51:584,634083,634083,0,0,297521693613,3102062635,629546,3739,798,384,391955,0 96,2,2024-09-07 09:11:51:268,456908,456908,0,0,17789041,0,4042 96,3,2024-09-07 09:11:51:144,1,361,47,0,411,3864,361,0 97,0,2024-09-07 09:11:51:361,90633,0.3,90403,0.5,181541,0.3,241036,1.50 97,1,2024-09-07 09:11:50:763,635931,635931,0,0,298098758279,3088150204,632021,3236,674,367,392140,0 97,2,2024-09-07 09:11:50:624,458150,458150,0,0,17498504,0,3036 97,3,2024-09-07 09:11:50:572,1,361,47,0,214,4125,361,0 98,0,2024-09-07 09:11:51:764,90624,0.3,90419,0.4,181605,0.2,241372,1.50 98,1,2024-09-07 09:11:50:596,635174,635174,0,0,297183901811,3088713211,632495,2572,107,382,391997,0 98,2,2024-09-07 09:11:50:777,457522,457522,0,0,17361003,0,3080 98,3,2024-09-07 09:11:50:722,1,361,45,0,840,5612,361,0 99,0,2024-09-07 09:11:51:448,90472,0.3,91028,0.5,180878,0.3,241398,1.75 99,1,2024-09-07 09:11:51:724,635109,635109,0,0,297581346828,3095692248,630954,3399,756,381,392069,0 99,2,2024-09-07 09:11:51:419,457911,457911,0,0,19754212,0,3424 99,3,2024-09-07 09:11:50:581,1,361,55,0,199,3408,361,0 100,0,2024-09-07 09:11:51:527,90093,0.6,90157,0.9,180029,0.7,240764,2.25 100,1,2024-09-07 09:11:50:549,631911,631911,0,0,296352556733,3125271217,623426,6987,1498,381,391989,0 100,2,2024-09-07 09:11:51:820,454901,454890,11,0,20157202,0,5417 100,3,2024-09-07 09:11:51:732,1,361,12,0,559,6045,361,0 101,0,2024-09-07 09:11:51:704,92209,0.7,89722,0.9,176208,0.5,241703,2.00 101,1,2024-09-07 09:11:50:559,631814,631814,0,0,296273197308,3115085639,622615,7175,2024,368,391847,0 101,2,2024-09-07 09:11:51:756,454774,454774,0,0,23653196,0,4644 101,3,2024-09-07 09:11:50:955,1,361,26,0,579,4642,361,0 102,0,2024-09-07 09:11:51:024,88020,0.7,91023,0.8,183917,0.6,240955,2.00 102,1,2024-09-07 09:11:51:146,632890,632890,0,0,296735316650,3112163895,625485,6370,1035,369,391891,0 102,2,2024-09-07 09:11:51:748,457278,457224,54,0,19768288,0,6768 102,3,2024-09-07 09:11:51:612,1,361,0,0,410,3726,361,0 103,0,2024-09-07 09:11:51:606,93287,0.6,93210,0.7,175909,0.6,241784,2.00 103,1,2024-09-07 09:11:51:625,631986,631986,0,0,295918908969,3116020118,623155,6834,1997,381,391829,0 103,2,2024-09-07 09:11:50:586,455263,455263,0,0,20845712,0,3173 103,3,2024-09-07 09:11:50:764,1,361,1,0,916,4300,361,0 104,0,2024-09-07 09:11:51:023,89491,0.7,89937,0.9,178631,0.6,240382,2.25 104,1,2024-09-07 09:11:51:617,633866,633866,0,0,296306948664,3110957794,624937,7229,1700,365,392168,0 104,2,2024-09-07 09:11:51:670,455167,455167,0,0,21613999,0,3941 104,3,2024-09-07 09:11:51:416,1,361,53,0,1245,7665,361,0 105,0,2024-09-07 09:11:51:069,89442,0.7,87070,1.0,182284,0.7,240419,2.50 105,1,2024-09-07 09:11:50:554,634147,634147,0,0,297676837445,3117903344,625981,6829,1337,366,392009,0 105,2,2024-09-07 09:11:51:335,456058,456058,0,0,20904911,0,3509 105,3,2024-09-07 09:11:51:324,1,361,9,0,399,5691,361,0 106,0,2024-09-07 09:11:51:048,87255,0.8,89359,0.9,183152,0.8,239324,2.50 106,1,2024-09-07 09:11:51:752,633008,633008,0,0,297299231073,3120969095,623406,8307,1295,369,391914,0 106,2,2024-09-07 09:11:50:756,455123,455123,0,0,20633457,0,2795 106,3,2024-09-07 09:11:50:689,1,361,29,0,470,4494,361,0 107,0,2024-09-07 09:11:51:100,90336,1.1,90479,1.0,180861,1.5,240728,2.25 107,1,2024-09-07 09:11:50:628,632196,632196,0,0,296331215756,3118033888,624201,7078,917,381,392234,0 107,2,2024-09-07 09:11:51:293,455463,455462,1,0,21184776,0,5024 107,3,2024-09-07 09:11:51:755,1,361,1,0,353,4957,361,0 108,0,2024-09-07 09:11:51:764,90160,0.4,90885,0.6,180572,0.4,240905,1.75 108,1,2024-09-07 09:11:51:318,634714,634714,0,0,297548592071,3100756895,629617,4579,518,368,391857,0 108,2,2024-09-07 09:11:51:786,455602,455602,0,0,20283007,0,4246 108,3,2024-09-07 09:11:51:339,1,361,8,0,749,7165,361,0 109,0,2024-09-07 09:11:51:868,91153,0.4,90280,0.5,180734,0.3,242335,1.75 109,1,2024-09-07 09:11:50:582,632522,632522,0,0,297222406164,3113834364,627303,4554,665,383,392132,0 109,2,2024-09-07 09:11:50:921,454603,454603,0,0,19693625,0,3617 109,3,2024-09-07 09:11:51:153,1,361,3,0,379,4184,361,0 110,0,2024-09-07 09:11:51:786,90367,0.4,87892,0.6,184115,0.3,240808,1.75 110,1,2024-09-07 09:11:51:643,635906,635906,0,0,298573742693,3095312877,631897,3030,979,369,392045,0 110,2,2024-09-07 09:11:51:309,456708,456708,0,0,19475888,0,4067 110,3,2024-09-07 09:11:50:693,1,361,3,0,722,5221,361,0 111,0,2024-09-07 09:11:51:415,91005,0.4,90301,0.6,181110,0.4,241708,1.75 111,1,2024-09-07 09:11:50:999,636336,636336,0,0,298310375623,3093042958,632953,3030,353,382,391690,0 111,2,2024-09-07 09:11:51:126,456486,456486,0,0,18804117,0,4823 111,3,2024-09-07 09:11:50:913,1,361,1,0,379,4246,361,0 112,0,2024-09-07 09:11:50:918,91123,0.3,90493,0.4,181377,0.2,241290,1.50 112,1,2024-09-07 09:11:50:848,635758,635758,0,0,298259575311,3091185838,632280,2894,584,380,391624,0 112,2,2024-09-07 09:11:51:134,457199,457198,1,0,17147259,0,5036 112,3,2024-09-07 09:11:50:595,1,361,8,0,282,3556,361,0 113,0,2024-09-07 09:11:50:878,90385,0.3,90533,0.4,181572,0.2,241716,1.50 113,1,2024-09-07 09:11:51:685,637334,637334,0,0,298977290510,3082082607,634050,2700,584,366,391661,0 113,2,2024-09-07 09:11:51:303,457755,457755,0,0,15806833,0,3813 113,3,2024-09-07 09:11:50:686,1,361,0,0,340,4114,361,0 114,0,2024-09-07 09:11:50:901,90575,0.3,91269,0.4,181158,0.2,242693,1.75 114,1,2024-09-07 09:11:50:717,635579,635579,0,0,298137732887,3095198724,630433,3552,1594,381,391556,0 114,2,2024-09-07 09:11:50:877,457903,457902,1,0,17573987,0,5069 114,3,2024-09-07 09:11:51:278,1,361,0,0,395,3029,361,0 115,0,2024-09-07 09:11:50:553,90481,0.3,91147,0.4,181827,0.2,242020,1.50 115,1,2024-09-07 09:11:50:576,635215,635215,0,0,298270161076,3094265248,630349,4026,840,382,391757,0 115,2,2024-09-07 09:11:51:132,458907,458907,0,0,16169535,0,3453 115,3,2024-09-07 09:11:51:005,1,361,1,0,159,2176,361,0 116,0,2024-09-07 09:11:51:744,89953,0.8,89790,0.9,180160,0.8,240828,2.00 116,1,2024-09-07 09:11:50:804,631815,631815,0,0,296545556249,3123418333,624183,5363,2269,380,392089,0 116,2,2024-09-07 09:11:51:758,456145,456145,0,0,21698407,0,3529 116,3,2024-09-07 09:11:50:915,1,361,11,0,415,4350,361,0 117,0,2024-09-07 09:11:50:976,90697,0.7,90254,0.8,180654,0.7,241835,2.00 117,1,2024-09-07 09:11:51:583,633195,633195,0,0,296989244953,3104902209,626835,5690,670,369,392033,0 117,2,2024-09-07 09:11:51:132,458655,458655,0,0,18049714,0,3700 117,3,2024-09-07 09:11:51:063,1,361,29,0,490,5121,361,0 118,0,2024-09-07 09:11:51:785,87808,0.5,90034,0.6,183933,0.4,240548,1.75 118,1,2024-09-07 09:11:50:615,632979,632979,0,0,296351521323,3110990942,624160,6918,1901,366,391907,0 118,2,2024-09-07 09:11:51:596,456332,456332,0,0,20140152,0,2781 118,3,2024-09-07 09:11:51:777,1,361,19,0,235,3899,361,0 119,0,2024-09-07 09:11:51:343,90153,0.6,90575,0.7,181083,0.6,240558,2.00 119,1,2024-09-07 09:11:50:568,634374,634374,0,0,296511018933,3098392207,627700,5836,838,367,391780,0 119,2,2024-09-07 09:11:51:260,456766,456766,0,0,18741383,0,4174 119,3,2024-09-07 09:11:51:328,1,361,9,0,563,5351,361,0 120,0,2024-09-07 09:11:51:590,89917,0.6,89598,0.8,179327,0.6,239904,2.25 120,1,2024-09-07 09:11:50:863,633539,633539,0,0,296833420999,3111289747,627106,5873,560,368,391961,0 120,2,2024-09-07 09:11:50:776,455980,455979,1,0,21962467,0,5281 120,3,2024-09-07 09:11:51:292,1,361,9,0,241,4350,361,0 121,0,2024-09-07 09:11:51:733,90024,0.9,90150,1.0,180844,1.2,240248,2.25 121,1,2024-09-07 09:11:51:661,634136,634136,0,0,296615404835,3099148537,628211,5487,438,367,391840,0 121,2,2024-09-07 09:11:51:133,455798,455798,0,0,21094537,0,4127 121,3,2024-09-07 09:11:50:729,1,361,23,0,269,4009,361,0 122,0,2024-09-07 09:11:51:787,90050,0.7,87963,0.8,184580,0.7,242170,2.00 122,1,2024-09-07 09:11:50:862,633226,633226,0,0,296510093731,3107472961,624757,7206,1263,366,392130,0 122,2,2024-09-07 09:11:51:320,456386,456313,73,0,23669759,0,5989 122,3,2024-09-07 09:11:50:594,1,361,2,0,512,6670,361,0 123,0,2024-09-07 09:11:50:957,90128,0.6,88168,0.7,183895,0.7,240724,2.00 123,1,2024-09-07 09:11:50:559,632907,632907,0,0,296900726001,3126035421,621448,9331,2128,369,392039,0 123,2,2024-09-07 09:11:51:026,455024,455023,1,0,20407816,0,5215 123,3,2024-09-07 09:11:51:132,1,361,6,0,168,3960,361,0 124,0,2024-09-07 09:11:50:938,93376,0.4,93311,0.5,176033,0.3,241654,1.75 124,1,2024-09-07 09:11:51:035,635269,635269,0,0,296900340427,3086645909,630543,3815,911,367,392178,0 124,2,2024-09-07 09:11:51:010,458201,458148,53,0,18438459,0,6487 124,3,2024-09-07 09:11:50:763,1,361,12,0,490,3581,361,0 125,0,2024-09-07 09:11:51:531,90720,0.4,90320,0.5,181528,0.3,241758,1.75 125,1,2024-09-07 09:11:50:860,633966,633966,0,0,297436897520,3102877590,629078,4243,645,382,391702,0 125,2,2024-09-07 09:11:51:125,457493,457493,0,0,19066997,0,4534 125,3,2024-09-07 09:11:51:137,1,361,2,0,709,4678,361,0 126,0,2024-09-07 09:11:51:437,90703,0.4,93227,0.6,178685,0.4,242151,1.75 126,1,2024-09-07 09:11:50:556,635800,635800,0,0,298254982203,3088384900,632052,3461,287,365,391987,0 126,2,2024-09-07 09:11:50:615,457086,457086,0,0,19179890,0,4539 126,3,2024-09-07 09:11:50:907,1,361,1,0,207,4466,361,0 127,0,2024-09-07 09:11:51:619,90539,0.3,90982,0.5,181417,0.3,241225,1.50 127,1,2024-09-07 09:11:50:577,634841,634841,0,0,298152574394,3094922282,629412,4568,861,364,392187,0 127,2,2024-09-07 09:11:50:637,456364,456364,0,0,17627167,0,3897 127,3,2024-09-07 09:11:51:271,1,361,3,0,968,4106,361,0 128,0,2024-09-07 09:11:51:535,90845,0.3,91132,0.4,182114,0.2,241670,1.50 128,1,2024-09-07 09:11:51:605,635279,635279,0,0,297358749198,3085195305,631167,3740,372,367,391838,0 128,2,2024-09-07 09:11:51:390,458119,458119,0,0,16931611,0,2915 128,3,2024-09-07 09:11:50:773,1,361,8,0,1082,5975,361,0 129,0,2024-09-07 09:11:50:996,91074,0.3,90570,0.5,181737,0.3,241537,1.50 129,1,2024-09-07 09:11:50:575,632542,632542,0,0,296860114345,3105475793,626881,4404,1257,379,391835,0 129,2,2024-09-07 09:11:50:694,457836,457836,0,0,17476739,0,4031 129,3,2024-09-07 09:11:50:692,1,361,0,0,469,4545,361,0 130,0,2024-09-07 09:11:51:729,90942,0.5,90466,0.6,182065,0.5,242160,1.75 130,1,2024-09-07 09:11:50:603,635213,635213,0,0,297791643429,3093870669,631785,3148,280,381,391825,0 130,2,2024-09-07 09:11:51:132,457584,457584,0,0,17317269,0,4067 130,3,2024-09-07 09:11:51:295,1,361,2,0,535,4764,361,0 131,0,2024-09-07 09:11:51:927,89986,0.3,90528,0.5,182029,0.3,241270,1.50 131,1,2024-09-07 09:11:51:822,635104,635104,0,0,297403110366,3101237761,630612,3744,748,381,391865,0 131,2,2024-09-07 09:11:50:572,459194,459194,0,0,16108161,0,2415 131,3,2024-09-07 09:11:51:694,1,361,0,0,392,3665,361,0 132,0,2024-09-07 09:11:51:473,90401,0.4,91314,0.6,181684,0.4,241433,1.75 132,1,2024-09-07 09:11:50:576,632010,632010,0,0,296522119827,3118499127,623351,7192,1467,381,392097,0 132,2,2024-09-07 09:11:50:699,456934,456934,0,0,21346679,0,4606 132,3,2024-09-07 09:11:51:694,1,361,15,0,804,6271,361,0 133,0,2024-09-07 09:11:51:519,88112,0.5,90132,0.6,184988,0.4,240505,1.75 133,1,2024-09-07 09:11:50:600,632166,632166,0,0,296510926345,3120511088,623979,7102,1085,383,391914,0 133,2,2024-09-07 09:11:51:088,455964,455964,0,0,22466038,0,4315 133,3,2024-09-07 09:11:51:304,1,361,1,0,479,3711,361,0 134,0,2024-09-07 09:11:50:940,90672,0.5,90516,0.7,181052,0.4,241588,2.00 134,1,2024-09-07 09:11:50:607,633076,633076,0,0,296358018251,3107428847,624738,6482,1856,366,391718,0 134,2,2024-09-07 09:11:51:757,455945,455945,0,0,18827813,0,3847 134,3,2024-09-07 09:11:50:750,1,361,1,0,739,4540,361,0 135,0,2024-09-07 09:11:51:097,87503,0.6,87519,0.7,185546,0.6,239630,2.00 135,1,2024-09-07 09:11:51:599,633503,633503,0,0,297145847477,3123967695,624996,7270,1237,380,391805,0 135,2,2024-09-07 09:11:50:687,457901,457901,0,0,20539316,0,3981 135,3,2024-09-07 09:11:51:006,1,361,76,0,299,2756,361,0 136,0,2024-09-07 09:11:51:703,90714,0.5,90934,0.7,180878,0.5,241195,2.00 136,1,2024-09-07 09:11:51:441,633046,633046,0,0,296853275819,3113152295,626014,6334,698,382,391685,0 136,2,2024-09-07 09:11:51:138,456883,456883,0,0,20162230,0,3506 136,3,2024-09-07 09:11:51:111,1,361,9,0,637,3983,361,0 137,0,2024-09-07 09:11:50:930,93228,0.6,90740,0.7,178346,0.7,242044,2.00 137,1,2024-09-07 09:11:50:575,632914,632914,0,0,296973694488,3113898676,623270,7761,1883,366,391898,0 137,2,2024-09-07 09:11:51:705,455899,455899,0,0,22528640,0,3185 137,3,2024-09-07 09:11:50:774,1,361,5,0,382,4128,361,0 138,0,2024-09-07 09:11:51:749,89882,1.2,89997,1.0,180819,1.7,239821,2.25 138,1,2024-09-07 09:11:51:689,634097,634097,0,0,297101878014,3115787418,625036,7464,1597,368,391954,0 138,2,2024-09-07 09:11:50:594,456383,456383,0,0,20184408,0,4988 138,3,2024-09-07 09:11:50:620,1,361,1,0,1160,4916,361,0 139,0,2024-09-07 09:11:51:369,89623,1.0,89882,1.0,180039,1.3,240377,2.25 139,1,2024-09-07 09:11:50:588,630730,630730,0,0,295238617860,3131797146,619344,8738,2648,381,392058,0 139,2,2024-09-07 09:11:50:731,453816,453816,0,0,22029054,0,3097 139,3,2024-09-07 09:11:51:666,1,361,7,0,257,4116,361,0 140,0,2024-09-07 09:11:51:590,90682,0.3,90273,0.5,181183,0.2,240965,1.75 140,1,2024-09-07 09:11:51:535,637125,637125,0,0,297903147445,3070634755,633917,2769,439,365,391606,0 140,2,2024-09-07 09:11:50:694,456956,456955,1,0,18097247,0,5036 140,3,2024-09-07 09:11:50:773,1,361,8,0,247,2857,361,0 141,0,2024-09-07 09:11:51:700,90883,0.3,93253,0.5,178115,0.3,241729,1.75 141,1,2024-09-07 09:11:50:859,635740,635740,0,0,297969545446,3093422402,631174,3704,862,379,391614,0 141,2,2024-09-07 09:11:51:708,457337,457337,0,0,17819637,0,3360 141,3,2024-09-07 09:11:51:049,1,361,1,0,391,4040,361,0 142,0,2024-09-07 09:11:51:310,91229,0.3,90527,0.5,180891,0.3,241800,1.75 142,1,2024-09-07 09:11:50:595,635195,635195,0,0,297238171334,3097082264,631223,3620,352,382,392102,0 142,2,2024-09-07 09:11:51:299,456609,456577,32,0,19146545,0,6028 142,3,2024-09-07 09:11:51:747,1,361,1,0,484,4283,361,0 143,0,2024-09-07 09:11:51:381,90688,0.4,90532,0.5,181417,0.4,241897,1.75 143,1,2024-09-07 09:11:50:575,635896,635896,0,0,297949659637,3088616455,632107,3636,153,367,391705,0 143,2,2024-09-07 09:11:50:774,456759,456759,0,0,18524296,0,3123 143,3,2024-09-07 09:11:51:146,1,361,20,0,303,4279,361,0 144,0,2024-09-07 09:11:51:511,87509,0.5,89787,0.7,183198,0.4,240407,2.00 144,1,2024-09-07 09:11:50:566,632776,632776,0,0,296886084710,3108441240,627873,3962,941,381,391649,0 144,2,2024-09-07 09:11:51:755,458336,458336,0,0,16817362,0,3473 144,3,2024-09-07 09:11:51:747,1,361,10,0,249,3513,361,0 145,0,2024-09-07 09:11:51:410,87555,0.6,87558,0.8,185710,0.5,239299,2.25 145,1,2024-09-07 09:11:50:558,632461,632461,0,0,296730336098,3116190185,625095,6223,1143,382,391759,0 145,2,2024-09-07 09:11:51:431,455892,455892,0,0,20489853,0,3903 145,3,2024-09-07 09:11:50:897,1,361,47,0,622,5394,361,0 146,0,2024-09-07 09:11:51:589,90271,0.6,89604,0.7,180685,0.5,239519,2.25 146,1,2024-09-07 09:11:51:590,633708,633708,0,0,296752177777,3117456006,623825,7872,2011,368,391770,0 146,2,2024-09-07 09:11:51:695,455597,455597,0,0,20203216,0,2730 146,3,2024-09-07 09:11:51:287,1,361,5,0,1520,7276,361,0 147,0,2024-09-07 09:11:51:739,90637,0.5,90361,0.7,180025,0.5,241300,2.00 147,1,2024-09-07 09:11:51:374,635336,635336,0,0,298108876876,3099235181,629663,4872,801,368,391791,0 147,2,2024-09-07 09:11:51:009,456314,456314,0,0,18007688,0,2789 147,3,2024-09-07 09:11:50:914,1,361,7,0,730,5121,361,0 0,0,2024-09-07 09:12:01:717,87823,0.6,87790,0.8,186368,0.7,240551,2.00 0,1,2024-09-07 09:12:00:807,635759,635759,0,0,297975939058,3113219196,631604,3909,246,369,391896,0 0,2,2024-09-07 09:12:01:067,458616,458616,0,0,18000369,0,4480 0,3,2024-09-07 09:12:00:991,1,362,11,0,431,5142,362,0 1,0,2024-09-07 09:12:01:768,90482,0.8,89979,0.9,180502,0.9,240842,2.00 1,1,2024-09-07 09:12:00:557,634893,634893,0,0,297933871659,3117060378,629230,4434,1229,370,391857,0 1,2,2024-09-07 09:12:00:639,456874,456874,0,0,17417622,0,3267 1,3,2024-09-07 09:12:01:302,1,362,1,0,262,4125,362,0 2,0,2024-09-07 09:12:01:567,90960,0.5,91130,0.7,181286,0.6,243222,2.00 2,1,2024-09-07 09:12:00:864,637096,637096,0,0,298573807923,3097640556,634194,2609,293,380,391745,0 2,2,2024-09-07 09:12:01:273,458947,458947,0,0,17104372,0,3594 2,3,2024-09-07 09:12:00:691,1,362,9,0,357,3742,362,0 3,0,2024-09-07 09:12:01:743,90621,0.4,90459,0.6,180799,0.4,240666,2.00 3,1,2024-09-07 09:12:01:623,635596,635596,0,0,297472277980,3094997207,630491,4481,624,380,391591,0 3,2,2024-09-07 09:12:01:141,457977,457954,23,0,17624678,0,5851 3,3,2024-09-07 09:12:01:752,1,362,3,0,207,2258,362,0 4,0,2024-09-07 09:12:01:762,88111,0.4,90537,0.5,184375,0.3,240940,1.75 4,1,2024-09-07 09:12:00:593,634092,634092,0,0,297393921193,3130819248,626083,6512,1497,370,391992,0 4,2,2024-09-07 09:12:01:018,456637,456637,0,0,20651075,0,4528 4,3,2024-09-07 09:12:01:034,1,362,9,0,448,4941,362,0 5,0,2024-09-07 09:12:01:389,90616,0.4,91080,0.6,181753,0.4,241665,1.75 5,1,2024-09-07 09:12:00:759,634956,634956,0,0,297883098641,3128272934,627643,5952,1361,367,392005,0 5,2,2024-09-07 09:12:01:836,456272,456272,0,0,19674947,0,2432 5,3,2024-09-07 09:12:01:735,1,362,2,0,457,5066,362,0 6,0,2024-09-07 09:12:00:960,91585,0.4,91003,0.6,181756,0.4,243068,1.75 6,1,2024-09-07 09:12:00:746,635542,635542,0,0,298105170940,3111782253,628908,5549,1085,379,391694,0 6,2,2024-09-07 09:12:01:116,457308,457308,0,0,18971720,0,4816 6,3,2024-09-07 09:12:01:274,1,362,5,0,340,3794,362,0 7,0,2024-09-07 09:12:01:532,90245,0.5,90822,0.6,180942,0.5,240533,2.00 7,1,2024-09-07 09:12:00:849,634396,634396,0,0,297987154311,3125436773,626037,7177,1182,382,391747,0 7,2,2024-09-07 09:12:00:770,458720,458720,0,0,18990952,0,4791 7,3,2024-09-07 09:12:00:853,1,362,1,0,398,3890,362,0 8,0,2024-09-07 09:12:01:328,91027,0.4,90761,0.5,181817,0.3,242338,1.75 8,1,2024-09-07 09:12:01:032,633467,633467,0,0,297834966326,3130957728,623305,7884,2278,366,392144,0 8,2,2024-09-07 09:12:00:790,455055,455055,0,0,23255092,0,3220 8,3,2024-09-07 09:12:00:616,1,362,13,0,538,6107,362,0 9,0,2024-09-07 09:12:01:143,90910,0.4,88233,0.5,184669,0.3,241772,1.75 9,1,2024-09-07 09:12:00:555,634211,634211,0,0,297182377473,3129274168,625106,6979,2126,369,392001,0 9,2,2024-09-07 09:12:01:084,457709,457709,0,0,20688126,0,3360 9,3,2024-09-07 09:12:01:752,1,362,1,0,496,5237,362,0 10,0,2024-09-07 09:12:01:601,90944,0.3,90339,0.5,181386,0.2,242056,1.75 10,1,2024-09-07 09:12:00:584,634987,634987,0,0,297168125220,3109316093,627100,6777,1110,381,391741,0 10,2,2024-09-07 09:12:00:762,457219,457219,0,0,22170834,0,4264 10,3,2024-09-07 09:12:00:871,1,362,1,0,296,3255,362,0 11,0,2024-09-07 09:12:01:020,90191,0.4,87581,0.6,183122,0.3,241886,1.75 11,1,2024-09-07 09:12:00:571,635823,635823,0,0,297818229594,3124863082,625777,7598,2448,383,391756,0 11,2,2024-09-07 09:12:01:123,458110,458110,0,0,20025143,0,4130 11,3,2024-09-07 09:12:01:298,1,362,1,0,843,5422,362,0 12,0,2024-09-07 09:12:00:953,91435,0.4,91446,0.5,182606,0.3,242657,1.75 12,1,2024-09-07 09:12:00:952,635386,635386,0,0,298134695798,3106731437,630503,4389,494,370,391870,0 12,2,2024-09-07 09:12:01:545,458994,458994,0,0,19752071,0,3469 12,3,2024-09-07 09:12:01:067,1,362,17,0,386,5722,362,0 13,0,2024-09-07 09:12:01:353,91277,0.4,90884,0.6,181517,0.4,241839,1.75 13,1,2024-09-07 09:12:01:526,634402,634402,0,0,297373612209,3123428567,628485,4506,1411,382,391740,0 13,2,2024-09-07 09:12:00:597,458883,458883,0,0,18160060,0,3287 13,3,2024-09-07 09:12:01:762,1,362,23,0,522,5697,362,0 14,0,2024-09-07 09:12:00:563,90829,0.4,91654,0.6,181455,0.3,242079,1.75 14,1,2024-09-07 09:12:01:561,638743,638743,0,0,299442455242,3098229498,634053,4331,359,364,391571,0 14,2,2024-09-07 09:12:00:766,457073,457043,30,0,19608678,0,6104 14,3,2024-09-07 09:12:01:115,1,362,1,0,1168,4245,362,0 15,0,2024-09-07 09:12:01:587,90692,0.4,90775,0.6,181697,0.3,242161,1.75 15,1,2024-09-07 09:12:01:617,636060,636060,0,0,298337704570,3103482087,631713,3524,823,381,391619,0 15,2,2024-09-07 09:12:01:000,459841,459841,0,0,16236196,0,3622 15,3,2024-09-07 09:12:01:405,1,362,1,0,1126,6418,362,0 16,0,2024-09-07 09:12:00:935,90546,0.6,90896,0.7,181517,0.5,241218,2.00 16,1,2024-09-07 09:12:00:566,636842,636842,0,0,298582898762,3114038272,632515,3964,363,370,391756,0 16,2,2024-09-07 09:12:01:448,457887,457887,0,0,18856018,0,4719 16,3,2024-09-07 09:12:01:141,1,362,13,0,317,4801,362,0 17,0,2024-09-07 09:12:01:820,93344,0.6,91071,0.8,178347,0.7,241909,2.00 17,1,2024-09-07 09:12:00:568,634316,634316,0,0,297860415495,3122194214,628044,5012,1260,368,392075,0 17,2,2024-09-07 09:12:01:682,460348,460348,0,0,18528666,0,2857 17,3,2024-09-07 09:12:00:577,1,362,7,0,298,5806,362,0 18,0,2024-09-07 09:12:00:956,89538,0.6,89941,0.8,179381,0.6,238980,2.25 18,1,2024-09-07 09:12:01:640,637314,637314,0,0,298588740011,3092126756,633961,3041,312,367,391649,0 18,2,2024-09-07 09:12:01:755,459861,459861,0,0,17058640,0,3541 18,3,2024-09-07 09:12:00:901,1,362,1,0,163,3021,362,0 19,0,2024-09-07 09:12:01:538,90565,0.5,91245,0.7,180654,0.5,240959,2.00 19,1,2024-09-07 09:12:00:566,637394,637394,0,0,298956006869,3098892706,632246,4309,839,367,391777,0 19,2,2024-09-07 09:12:01:752,459425,459425,0,0,15605453,0,3988 19,3,2024-09-07 09:12:01:129,1,362,1,0,524,2748,362,0 20,0,2024-09-07 09:12:01:351,90434,0.5,90458,0.6,180763,0.4,240951,2.00 20,1,2024-09-07 09:12:00:567,635791,635791,0,0,298508739021,3114634500,631423,3958,410,369,391886,0 20,2,2024-09-07 09:12:00:932,458142,458142,0,0,19011641,0,3721 20,3,2024-09-07 09:12:00:589,1,362,17,0,414,5551,362,0 21,0,2024-09-07 09:12:01:160,90919,0.5,91006,0.6,181633,0.5,241157,2.00 21,1,2024-09-07 09:12:01:536,634214,634214,0,0,296126740416,3117453933,625905,6361,1948,368,392016,0 21,2,2024-09-07 09:12:01:068,456093,456093,0,0,22276104,0,3747 21,3,2024-09-07 09:12:01:405,1,362,3,0,713,4309,362,0 22,0,2024-09-07 09:12:01:769,90424,0.5,90754,0.7,181833,0.5,240806,2.00 22,1,2024-09-07 09:12:01:025,635260,635260,0,0,297411642670,3120807459,626767,6673,1820,382,391667,0 22,2,2024-09-07 09:12:00:770,456885,456885,0,0,18001582,0,3134 22,3,2024-09-07 09:12:01:067,1,362,2,0,228,3012,362,0 23,0,2024-09-07 09:12:01:367,90353,0.5,90271,0.7,181051,0.4,241107,2.25 23,1,2024-09-07 09:12:01:002,635594,635594,0,0,298495229852,3131656569,624781,7483,3330,365,391690,0 23,2,2024-09-07 09:12:01:091,458634,458634,0,0,17866992,0,3010 23,3,2024-09-07 09:12:01:758,1,362,1,0,720,4848,362,0 24,0,2024-09-07 09:12:01:068,91260,0.4,91162,0.5,182677,0.3,243189,1.75 24,1,2024-09-07 09:12:00:587,634611,634611,0,0,297311987021,3116639155,627465,5596,1550,367,392269,0 24,2,2024-09-07 09:12:01:069,457285,457285,0,0,21776615,0,3607 24,3,2024-09-07 09:12:01:701,1,362,7,0,468,4707,362,0 25,0,2024-09-07 09:12:01:384,93316,0.4,90940,0.6,178205,0.4,243076,2.00 25,1,2024-09-07 09:12:00:565,635394,635394,0,0,297215651133,3118248445,627239,6733,1422,371,391928,0 25,2,2024-09-07 09:12:01:606,457514,457514,0,0,21939046,0,3978 25,3,2024-09-07 09:12:01:000,1,362,2,0,255,3504,362,0 26,0,2024-09-07 09:12:01:726,90215,0.4,88072,0.6,184806,0.4,240521,1.75 26,1,2024-09-07 09:12:01:541,635933,635933,0,0,298022259223,3119294458,626964,7433,1536,380,391748,0 26,2,2024-09-07 09:12:00:861,458383,458383,0,0,21906956,0,2809 26,3,2024-09-07 09:12:01:717,1,362,7,0,796,4536,362,0 27,0,2024-09-07 09:12:01:726,91045,0.4,91212,0.6,180792,0.4,241547,2.00 27,1,2024-09-07 09:12:01:678,637847,637847,0,0,298921001693,3108582099,632536,4545,766,381,391626,0 27,2,2024-09-07 09:12:00:866,454498,454433,65,0,21142640,0,5699 27,3,2024-09-07 09:12:01:015,1,362,9,0,564,3698,362,0 28,0,2024-09-07 09:12:01:389,90998,0.4,90768,0.6,181633,0.3,242874,1.75 28,1,2024-09-07 09:12:00:803,636946,636946,0,0,298697510812,3110004996,632441,3800,705,383,391698,0 28,2,2024-09-07 09:12:01:766,458679,458679,0,0,18945293,0,2915 28,3,2024-09-07 09:12:01:775,1,362,8,0,502,3995,362,0 29,0,2024-09-07 09:12:01:355,93174,0.4,90735,0.6,178105,0.3,242445,1.75 29,1,2024-09-07 09:12:01:561,638275,638275,0,0,298732978196,3091220322,633932,3658,685,369,391753,0 29,2,2024-09-07 09:12:00:861,457306,457306,0,0,18214575,0,4986 29,3,2024-09-07 09:12:00:962,1,362,7,0,459,4421,362,0 30,0,2024-09-07 09:12:01:459,90051,0.5,87512,0.7,183156,0.5,239489,2.00 30,1,2024-09-07 09:12:00:576,637921,637921,0,0,299387276767,3106543353,633101,4110,710,381,391672,0 30,2,2024-09-07 09:12:01:277,458352,458352,0,0,17141482,0,3161 30,3,2024-09-07 09:12:00:585,1,362,5,0,519,3622,362,0 31,0,2024-09-07 09:12:01:785,89972,0.4,90476,0.6,180745,0.3,240598,2.00 31,1,2024-09-07 09:12:00:574,640035,640035,0,0,299842473312,3072970736,637487,1989,559,356,391712,0 31,2,2024-09-07 09:12:01:278,457453,457453,0,0,18929281,0,3525 31,3,2024-09-07 09:12:01:711,1,362,13,0,220,3093,362,0 32,0,2024-09-07 09:12:01:420,90981,0.3,91397,0.5,182626,0.2,243451,1.50 32,1,2024-09-07 09:12:00:809,637225,637225,0,0,298657788958,3099226663,633992,2787,446,381,391646,0 32,2,2024-09-07 09:12:00:938,459258,459258,0,0,16057724,0,3155 32,3,2024-09-07 09:12:01:015,1,362,8,0,227,2504,362,0 33,0,2024-09-07 09:12:01:502,90769,0.3,90292,0.4,181173,0.2,240981,1.50 33,1,2024-09-07 09:12:00:575,638486,638486,0,0,299122147589,3095017977,634039,3616,831,369,391730,0 33,2,2024-09-07 09:12:00:761,458977,458942,35,0,18248926,0,7012 33,3,2024-09-07 09:12:00:900,1,362,0,0,329,3636,362,0 34,0,2024-09-07 09:12:00:956,90871,0.3,93533,0.4,178872,0.2,240276,1.75 34,1,2024-09-07 09:12:01:045,639488,639488,0,0,300082381647,3082744216,638060,1419,9,367,391562,0 34,2,2024-09-07 09:12:00:782,458603,458603,0,0,17494513,0,3577 34,3,2024-09-07 09:12:01:691,1,362,1,0,299,2559,362,0 35,0,2024-09-07 09:12:00:866,89920,0.3,90322,0.5,181811,0.3,242170,1.75 35,1,2024-09-07 09:12:01:067,637338,637338,0,0,299591951098,3101850302,633675,2882,781,382,391769,0 35,2,2024-09-07 09:12:01:596,458262,458262,0,0,17734718,0,2653 35,3,2024-09-07 09:12:00:908,1,362,1,0,418,4308,362,0 36,0,2024-09-07 09:12:01:522,91406,0.4,91355,0.6,182816,0.4,242805,2.00 36,1,2024-09-07 09:12:00:584,636761,636761,0,0,298277188280,3112391540,629085,5991,1685,366,391759,0 36,2,2024-09-07 09:12:01:751,458464,458464,0,0,19896128,0,3875 36,3,2024-09-07 09:12:00:863,1,362,8,0,416,5434,362,0 37,0,2024-09-07 09:12:01:381,90351,0.5,90240,0.6,180785,0.4,240900,2.00 37,1,2024-09-07 09:12:00:570,636284,636277,0,7,297960059784,3109624013,629283,4905,2089,365,391570,0 37,2,2024-09-07 09:12:01:144,456837,456822,15,0,19683422,0,5815 37,3,2024-09-07 09:12:01:769,1,362,1,0,888,5679,362,0 38,0,2024-09-07 09:12:01:452,90238,0.5,87641,0.7,183469,0.4,240199,2.00 38,1,2024-09-07 09:12:01:605,637806,637806,0,0,299033459070,3109461408,631629,5417,760,368,391821,0 38,2,2024-09-07 09:12:00:766,458056,458009,47,0,20079778,0,6710 38,3,2024-09-07 09:12:00:997,1,362,210,0,689,4983,362,0 39,0,2024-09-07 09:12:01:763,92447,0.6,90478,0.7,176194,0.5,240738,2.00 39,1,2024-09-07 09:12:00:715,636183,636183,0,0,298541495442,3121076648,627279,7214,1690,365,391658,0 39,2,2024-09-07 09:12:01:419,458284,458284,0,0,18161079,0,2689 39,3,2024-09-07 09:12:00:715,1,362,18,0,324,4412,362,0 40,0,2024-09-07 09:12:01:491,90053,0.7,90689,0.9,180983,0.7,241461,2.75 40,1,2024-09-07 09:12:00:577,636837,636837,0,0,297330350805,3109047517,628212,7114,1511,368,391668,0 40,2,2024-09-07 09:12:01:311,456389,456388,1,0,21421702,0,5137 40,3,2024-09-07 09:12:01:143,1,362,8,0,1028,5142,362,0 41,0,2024-09-07 09:12:01:055,90036,1.1,92187,1.1,175828,1.5,239552,2.75 41,1,2024-09-07 09:12:00:768,635410,635410,0,0,298168313530,3114293958,628245,6460,705,369,391742,0 41,2,2024-09-07 09:12:00:759,457266,457266,0,0,20388491,0,3356 41,3,2024-09-07 09:12:01:690,1,362,0,0,366,3569,362,0 42,0,2024-09-07 09:12:01:490,89923,0.9,90025,1.0,180430,1.0,238741,2.75 42,1,2024-09-07 09:12:01:447,634485,634485,0,0,297042385569,3117144289,624913,7814,1758,380,391675,0 42,2,2024-09-07 09:12:01:135,457559,457559,0,0,20724494,0,3790 42,3,2024-09-07 09:12:01:017,1,362,14,0,446,3125,362,0 43,0,2024-09-07 09:12:00:922,89379,0.9,87099,1.0,182578,1.0,239311,2.50 43,1,2024-09-07 09:12:00:580,636133,636133,0,0,299381392867,3122435355,627896,6799,1438,366,391696,0 43,2,2024-09-07 09:12:01:736,457558,457558,0,0,20526073,0,3812 43,3,2024-09-07 09:12:01:749,1,362,5,0,467,4354,362,0 44,0,2024-09-07 09:12:00:860,90857,0.4,91175,0.6,182007,0.4,242290,1.75 44,1,2024-09-07 09:12:00:565,637469,637469,0,0,298525918548,3084111198,632374,4048,1047,356,391809,0 44,2,2024-09-07 09:12:01:274,456599,456599,0,0,17157096,0,4344 44,3,2024-09-07 09:12:01:093,1,362,8,0,817,4569,362,0 45,0,2024-09-07 09:12:01:768,89718,0.4,87611,0.7,183768,0.4,242272,2.00 45,1,2024-09-07 09:12:01:005,637152,637152,0,0,298613150928,3100444879,632714,3962,476,382,391917,0 45,2,2024-09-07 09:12:01:270,458843,458843,0,0,17670888,0,3596 45,3,2024-09-07 09:12:00:937,1,362,68,0,271,3406,362,0 46,0,2024-09-07 09:12:00:953,89991,0.5,89954,0.7,179943,0.5,238852,2.00 46,1,2024-09-07 09:12:00:576,638409,638409,0,0,299535350545,3091062882,634691,3292,426,366,391572,0 46,2,2024-09-07 09:12:00:596,458393,458393,0,0,16877475,0,2920 46,3,2024-09-07 09:12:01:130,1,362,1,0,908,5106,362,0 47,0,2024-09-07 09:12:01:100,90904,0.5,91181,0.6,182756,0.4,241345,2.00 47,1,2024-09-07 09:12:00:567,639395,639395,0,0,298613594064,3086575418,634907,3673,815,366,391641,0 47,2,2024-09-07 09:12:00:913,459756,459756,0,0,17443255,0,4477 47,3,2024-09-07 09:12:01:119,1,362,99,0,529,3941,362,0 48,0,2024-09-07 09:12:01:490,91015,0.3,90640,0.4,180870,0.2,241103,1.50 48,1,2024-09-07 09:12:01:025,637457,637457,0,0,299242230449,3105420767,633840,3313,304,384,391710,0 48,2,2024-09-07 09:12:00:706,457641,457641,0,0,15855281,0,3031 48,3,2024-09-07 09:12:00:757,1,362,8,0,339,2973,362,0 49,0,2024-09-07 09:12:01:711,93391,0.3,91864,0.5,177642,0.2,243709,1.75 49,1,2024-09-07 09:12:01:025,636895,636895,0,0,298760718789,3107585470,632524,3186,1185,382,391809,0 49,2,2024-09-07 09:12:01:797,458845,458845,0,0,17141778,0,4426 49,3,2024-09-07 09:12:01:416,1,362,1,0,408,3665,362,0 50,0,2024-09-07 09:12:01:507,90972,0.3,89528,0.4,180686,0.2,240605,1.75 50,1,2024-09-07 09:12:01:018,638953,638953,0,0,299555003054,3093918898,635131,3448,374,368,391565,0 50,2,2024-09-07 09:12:01:068,458337,458337,0,0,16057234,0,2263 50,3,2024-09-07 09:12:01:297,1,362,3,0,335,3369,362,0 51,0,2024-09-07 09:12:01:712,93246,0.3,91125,0.5,177765,0.2,242247,1.75 51,1,2024-09-07 09:12:01:688,638927,638927,0,0,300107632431,3093362487,635664,2287,976,365,391706,0 51,2,2024-09-07 09:12:01:321,458471,458471,0,0,15642301,0,3337 51,3,2024-09-07 09:12:01:027,1,362,1,0,678,2657,362,0 52,0,2024-09-07 09:12:01:413,90906,0.5,90943,0.7,181689,0.4,241777,2.00 52,1,2024-09-07 09:12:00:580,636272,636272,0,0,298067677324,3117328427,627588,7370,1314,368,391722,0 52,2,2024-09-07 09:12:01:755,454760,454722,38,0,20544173,0,6742 52,3,2024-09-07 09:12:00:678,1,362,12,0,1782,5302,362,0 53,0,2024-09-07 09:12:01:738,90219,0.6,87687,0.7,183417,0.6,240743,2.25 53,1,2024-09-07 09:12:00:771,634894,634894,0,0,298020514766,3123255542,625264,7046,2584,367,391702,0 53,2,2024-09-07 09:12:01:299,458369,458369,0,0,17677691,0,2727 53,3,2024-09-07 09:12:00:704,1,362,0,0,308,3275,362,0 54,0,2024-09-07 09:12:01:619,89528,0.5,90037,0.7,179175,0.4,239424,2.25 54,1,2024-09-07 09:12:00:591,636671,636671,0,0,299098563871,3104011875,631003,5065,603,366,391659,0 54,2,2024-09-07 09:12:00:864,458472,458466,6,0,20204920,0,5382 54,3,2024-09-07 09:12:00:765,1,362,1,0,676,5298,362,0 55,0,2024-09-07 09:12:01:763,87833,0.6,90822,0.7,183342,0.5,239118,2.50 55,1,2024-09-07 09:12:00:766,636770,636770,0,0,298341226289,3100207075,630143,5718,909,365,391731,0 55,2,2024-09-07 09:12:00:738,457714,457714,0,0,19545333,0,3563 55,3,2024-09-07 09:12:00:908,1,362,1,0,304,3874,362,0 56,0,2024-09-07 09:12:01:551,92295,1.1,87049,1.1,179450,1.5,240238,2.50 56,1,2024-09-07 09:12:00:580,633801,633801,0,0,297546039129,3135784260,625000,7169,1632,381,391867,0 56,2,2024-09-07 09:12:01:305,457955,457955,0,0,20464734,0,3567 56,3,2024-09-07 09:12:01:068,1,362,14,0,705,4563,362,0 57,0,2024-09-07 09:12:00:937,89694,1.3,89607,1.1,179355,1.8,240114,2.75 57,1,2024-09-07 09:12:00:989,635573,635573,0,0,298244688972,3120757767,628698,6155,720,366,392032,0 57,2,2024-09-07 09:12:01:321,457437,457437,0,0,22045882,0,3317 57,3,2024-09-07 09:12:01:740,1,362,1,0,359,4095,362,0 58,0,2024-09-07 09:12:00:559,89561,0.7,87069,0.9,182348,0.8,239585,2.50 58,1,2024-09-07 09:12:00:581,636248,636245,0,3,298414547804,3115491715,628057,7106,1082,367,391603,3 58,2,2024-09-07 09:12:01:075,457987,457987,0,0,20267323,0,2549 58,3,2024-09-07 09:12:01:068,1,362,1,0,1043,3787,362,0 59,0,2024-09-07 09:12:01:747,90436,0.8,90005,0.9,179824,0.8,238997,2.50 59,1,2024-09-07 09:12:00:817,635495,635495,0,0,298435458683,3119432534,627594,6378,1523,369,391586,0 59,2,2024-09-07 09:12:00:585,458572,458572,0,0,20051065,0,2867 59,3,2024-09-07 09:12:01:737,1,362,15,0,1015,4426,362,0 60,0,2024-09-07 09:12:01:722,90311,0.5,90303,0.7,181327,0.5,240391,1.75 60,1,2024-09-07 09:12:00:778,637849,637849,0,0,299448344097,3106593407,633711,3489,649,370,392031,0 60,2,2024-09-07 09:12:01:145,457621,457621,0,0,19522502,0,3811 60,3,2024-09-07 09:12:01:261,1,362,8,0,409,4232,362,0 61,0,2024-09-07 09:12:01:499,90067,0.6,90751,0.8,180510,0.6,240433,2.00 61,1,2024-09-07 09:12:00:782,635850,635850,0,0,298286222976,3123944962,628631,5893,1326,382,392127,0 61,2,2024-09-07 09:12:01:132,457577,457510,67,0,19807756,0,6411 61,3,2024-09-07 09:12:01:693,1,362,1,0,479,5175,362,0 62,0,2024-09-07 09:12:01:713,91596,0.5,93698,0.6,178631,0.4,243476,1.75 62,1,2024-09-07 09:12:01:111,640225,640219,0,6,299447281433,3088261057,636637,3323,259,365,391715,6 62,2,2024-09-07 09:12:01:647,457249,457248,1,0,19314337,0,5555 62,3,2024-09-07 09:12:01:143,1,362,2,0,465,2706,362,0 63,0,2024-09-07 09:12:01:454,90928,0.4,90712,0.6,181845,0.3,241339,1.75 63,1,2024-09-07 09:12:00:809,638101,638095,0,6,299279310913,3104367932,634159,3548,388,381,391800,6 63,2,2024-09-07 09:12:00:766,458083,458083,0,0,17760365,0,4369 63,3,2024-09-07 09:12:01:735,1,362,0,0,667,3906,362,0 64,0,2024-09-07 09:12:01:544,90147,0.5,90182,0.7,180439,0.5,239372,2.00 64,1,2024-09-07 09:12:00:749,637284,637284,0,0,298702623601,3109333089,631632,3992,1660,370,391783,0 64,2,2024-09-07 09:12:01:146,461167,461148,19,0,17495461,0,6121 64,3,2024-09-07 09:12:01:141,1,362,11,0,265,3279,362,0 65,0,2024-09-07 09:12:01:713,89764,0.6,90093,0.8,179929,0.6,240104,2.00 65,1,2024-09-07 09:12:00:862,635614,635614,0,0,297291493451,3104148586,631447,3716,451,382,391901,0 65,2,2024-09-07 09:12:01:705,458996,458996,0,0,19268997,0,3367 65,3,2024-09-07 09:12:01:688,1,362,0,0,281,3427,362,0 66,0,2024-09-07 09:12:01:770,90766,0.5,90715,0.7,181524,0.4,241693,2.00 66,1,2024-09-07 09:12:01:298,637297,637297,0,0,298586477188,3102032750,633644,3330,323,380,391653,0 66,2,2024-09-07 09:12:01:132,459566,459566,0,0,17157097,0,4956 66,3,2024-09-07 09:12:01:079,1,362,0,0,291,3439,362,0 67,0,2024-09-07 09:12:01:415,90915,0.5,90555,0.6,181661,0.4,241609,2.00 67,1,2024-09-07 09:12:00:767,637531,637530,0,1,298314744852,3103480362,633343,3434,753,380,391787,1 67,2,2024-09-07 09:12:00:584,459220,459220,0,0,16665854,0,2889 67,3,2024-09-07 09:12:01:750,1,362,1,0,338,3262,362,0 68,0,2024-09-07 09:12:00:563,91169,0.6,90763,0.7,181227,0.6,242080,2.00 68,1,2024-09-07 09:12:00:569,635304,635304,0,0,297509971491,3114341739,629835,4155,1314,381,391953,0 68,2,2024-09-07 09:12:01:045,457112,457047,65,0,21880288,0,6698 68,3,2024-09-07 09:12:00:731,1,362,9,0,417,3791,362,0 69,0,2024-09-07 09:12:01:746,90149,0.8,90807,0.9,180486,0.9,239809,2.25 69,1,2024-09-07 09:12:01:022,633861,633861,0,0,297583418408,3134972846,625834,5915,2112,384,391994,0 69,2,2024-09-07 09:12:01:739,457876,457876,0,0,22220650,0,3722 69,3,2024-09-07 09:12:00:759,1,362,1,0,698,5159,362,0 70,0,2024-09-07 09:12:01:532,89879,0.8,89964,1.0,180850,0.6,240253,2.50 70,1,2024-09-07 09:12:00:809,637387,637387,0,0,298963107119,3099861831,632528,4323,536,366,391725,0 70,2,2024-09-07 09:12:01:325,456712,456712,0,0,19805590,0,4044 70,3,2024-09-07 09:12:00:745,1,362,0,0,854,3953,362,0 71,0,2024-09-07 09:12:01:357,89944,0.7,89587,0.9,180082,0.7,240701,2.50 71,1,2024-09-07 09:12:01:596,636081,636081,0,0,298589666336,3111704457,629366,6059,656,368,391738,0 71,2,2024-09-07 09:12:01:068,458393,458393,0,0,19894101,0,4042 71,3,2024-09-07 09:12:01:753,1,362,3,0,644,4728,362,0 72,0,2024-09-07 09:12:01:045,93679,0.6,91559,0.7,178630,0.6,243065,2.00 72,1,2024-09-07 09:12:01:025,635770,635770,0,0,298235911218,3120659793,627471,6599,1700,369,391819,0 72,2,2024-09-07 09:12:01:758,457513,457513,0,0,22385778,0,3983 72,3,2024-09-07 09:12:01:757,1,362,12,0,564,5484,362,0 73,0,2024-09-07 09:12:01:151,88274,0.4,90537,0.6,185169,0.4,240486,2.00 73,1,2024-09-07 09:12:00:766,636609,636609,0,0,298037248679,3095001417,632192,4087,330,367,391858,0 73,2,2024-09-07 09:12:01:745,457923,457923,0,0,21643133,0,3701 73,3,2024-09-07 09:12:00:974,1,362,5,0,274,4623,362,0 74,0,2024-09-07 09:12:01:321,91228,0.4,93444,0.6,178480,0.4,242079,2.25 74,1,2024-09-07 09:12:00:645,636255,636255,0,0,297428852307,3101393113,630169,4823,1263,381,391681,0 74,2,2024-09-07 09:12:01:002,456488,456488,0,0,20467578,0,4253 74,3,2024-09-07 09:12:01:447,1,362,19,0,522,4956,362,0 75,0,2024-09-07 09:12:01:766,91056,0.4,90581,0.6,181773,0.3,243098,2.00 75,1,2024-09-07 09:12:01:587,635495,635495,0,0,297825825745,3107729414,629072,5597,826,380,391739,0 75,2,2024-09-07 09:12:01:350,457543,457543,0,0,21078251,0,4766 75,3,2024-09-07 09:12:01:067,1,362,1,0,702,4931,362,0 76,0,2024-09-07 09:12:00:578,90222,0.6,89586,0.7,179752,0.6,240230,2.25 76,1,2024-09-07 09:12:00:836,636575,636575,0,0,297979687048,3104281562,632645,3339,591,382,391692,0 76,2,2024-09-07 09:12:01:070,459876,459875,1,0,19844868,0,5144 76,3,2024-09-07 09:12:01:144,1,362,11,0,175,3372,362,0 77,0,2024-09-07 09:12:01:724,90718,0.6,90774,0.8,181715,0.6,241250,2.00 77,1,2024-09-07 09:12:00:824,636083,636083,0,0,298142680001,3112164998,630805,4787,491,381,391869,0 77,2,2024-09-07 09:12:01:283,458548,458548,0,0,19378309,0,3890 77,3,2024-09-07 09:12:01:093,1,362,36,0,401,3984,362,0 78,0,2024-09-07 09:12:01:724,90874,0.4,90366,0.6,181488,0.4,240380,2.00 78,1,2024-09-07 09:12:00:610,636951,636951,0,0,298232419743,3101076152,631016,4790,1145,367,391670,0 78,2,2024-09-07 09:12:01:408,458612,458612,0,0,16697941,0,3855 78,3,2024-09-07 09:12:01:133,1,362,2,0,181,3277,362,0 79,0,2024-09-07 09:12:01:346,88156,0.4,90315,0.6,184765,0.3,240710,2.25 79,1,2024-09-07 09:12:00:580,638742,638742,0,0,298727416123,3090108166,634180,3927,635,368,391682,0 79,2,2024-09-07 09:12:01:068,459208,459208,0,0,17684185,0,4195 79,3,2024-09-07 09:12:00:748,1,362,1,0,418,4429,362,0 80,0,2024-09-07 09:12:01:082,90402,0.5,93160,0.6,178486,0.5,240363,2.00 80,1,2024-09-07 09:12:01:619,637017,637017,0,0,298334583502,3100982263,633374,3446,197,368,391791,0 80,2,2024-09-07 09:12:01:092,459930,459930,0,0,17157114,0,4433 80,3,2024-09-07 09:12:00:575,1,362,8,0,190,4305,362,0 81,0,2024-09-07 09:12:01:543,90868,0.5,93027,0.7,177584,0.5,240927,2.00 81,1,2024-09-07 09:12:01:660,636054,636054,0,0,297831236840,3109807079,631158,4397,499,382,391879,0 81,2,2024-09-07 09:12:01:129,457806,457743,63,0,19099805,0,5932 81,3,2024-09-07 09:12:01:117,1,362,1,0,374,4036,362,0 82,0,2024-09-07 09:12:01:534,90226,0.5,90750,0.7,181956,0.4,241421,2.00 82,1,2024-09-07 09:12:00:596,637899,637895,0,4,298832160900,3107599371,633869,3228,798,381,391768,4 82,2,2024-09-07 09:12:01:691,459413,459413,0,0,16151572,0,3986 82,3,2024-09-07 09:12:01:761,1,362,0,0,363,3520,362,0 83,0,2024-09-07 09:12:01:524,91068,0.5,90757,0.7,181219,0.4,241094,2.00 83,1,2024-09-07 09:12:00:557,636087,636087,0,0,298219387644,3106054692,631770,3936,381,382,391709,0 83,2,2024-09-07 09:12:00:766,457769,457769,0,0,16705206,0,3393 83,3,2024-09-07 09:12:00:749,1,362,1,0,1260,4918,362,0 84,0,2024-09-07 09:12:01:763,90255,0.6,90201,0.8,180132,0.5,241429,2.25 84,1,2024-09-07 09:12:01:064,635479,635479,0,0,297933581717,3111292815,629112,5570,797,367,391967,0 84,2,2024-09-07 09:12:00:575,458086,458086,0,0,21358024,0,4757 84,3,2024-09-07 09:12:01:141,1,362,14,0,908,5434,362,0 85,0,2024-09-07 09:12:01:006,87507,0.6,87515,0.8,185736,0.6,240384,2.25 85,1,2024-09-07 09:12:00:586,633779,633779,0,0,297855115891,3138591397,625049,7348,1382,381,392006,0 85,2,2024-09-07 09:12:00:864,457971,457971,0,0,21013044,0,3656 85,3,2024-09-07 09:12:00:691,1,362,1,0,789,4707,362,0 86,0,2024-09-07 09:12:00:902,90298,0.7,92867,0.8,177690,0.7,240105,2.25 86,1,2024-09-07 09:12:00:824,635601,635601,0,0,298272022548,3119126005,628610,5923,1068,366,391961,0 86,2,2024-09-07 09:12:00:856,456930,456929,1,0,21896215,0,5004 86,3,2024-09-07 09:12:00:586,1,362,193,0,308,5333,362,0 87,0,2024-09-07 09:12:01:296,90438,0.8,90289,0.9,181195,0.9,241922,2.25 87,1,2024-09-07 09:12:00:556,635396,635396,0,0,297336584920,3106526332,629110,5649,637,366,392076,0 87,2,2024-09-07 09:12:01:067,456012,456012,0,0,19673251,0,4045 87,3,2024-09-07 09:12:01:815,1,362,57,0,473,5141,362,0 88,0,2024-09-07 09:12:01:440,90739,0.4,91494,0.6,182137,0.4,242506,1.75 88,1,2024-09-07 09:12:00:576,633738,633738,0,0,297567790335,3114369048,626017,6056,1665,365,392084,0 88,2,2024-09-07 09:12:00:691,459359,459359,0,0,22013279,0,3583 88,3,2024-09-07 09:12:01:267,1,362,10,0,435,3782,362,0 89,0,2024-09-07 09:12:01:769,93345,0.5,90306,0.6,178850,0.4,242705,1.75 89,1,2024-09-07 09:12:00:549,634480,634480,0,0,297697739788,3127566217,626710,6495,1275,382,391866,0 89,2,2024-09-07 09:12:01:133,457345,457345,0,0,20988030,0,2910 89,3,2024-09-07 09:12:01:791,1,362,1,0,385,6367,362,0 90,0,2024-09-07 09:12:01:635,87698,0.5,90126,0.6,183607,0.4,239513,2.00 90,1,2024-09-07 09:12:00:591,635780,635780,0,0,297701752888,3117613378,629549,5726,505,380,391825,0 90,2,2024-09-07 09:12:01:409,456388,456388,0,0,22182266,0,3060 90,3,2024-09-07 09:12:00:932,1,362,34,0,246,3937,362,0 91,0,2024-09-07 09:12:00:953,90853,0.5,87926,0.6,183658,0.4,240929,1.75 91,1,2024-09-07 09:12:00:556,634444,634444,0,0,297760959931,3128367720,626548,6848,1048,381,392047,0 91,2,2024-09-07 09:12:01:331,458245,458245,0,0,20042749,0,2896 91,3,2024-09-07 09:12:00:598,1,362,7,0,216,3317,362,0 92,0,2024-09-07 09:12:01:478,91765,0.4,94016,0.6,179492,0.4,243689,1.75 92,1,2024-09-07 09:12:00:584,635669,635669,0,0,297739667489,3108500726,630846,4134,689,382,392136,0 92,2,2024-09-07 09:12:01:350,459193,459193,0,0,18106971,0,3259 92,3,2024-09-07 09:12:01:013,1,362,12,0,167,3063,362,0 93,0,2024-09-07 09:12:00:953,91213,0.4,93458,0.5,178457,0.3,241576,1.75 93,1,2024-09-07 09:12:00:828,636179,636179,0,0,298180592129,3109604734,630048,5100,1031,366,391776,0 93,2,2024-09-07 09:12:00:931,457472,457472,0,0,21218878,0,4845 93,3,2024-09-07 09:12:01:418,1,362,8,0,190,3438,362,0 94,0,2024-09-07 09:12:01:615,90345,0.4,91179,0.5,182037,0.3,241066,1.75 94,1,2024-09-07 09:12:00:568,636123,636123,0,0,298136242272,3108782526,632060,3872,191,381,391850,0 94,2,2024-09-07 09:12:00:766,457994,457994,0,0,18256473,0,2443 94,3,2024-09-07 09:12:01:692,1,362,25,0,264,4364,362,0 95,0,2024-09-07 09:12:01:344,90487,0.4,90552,0.5,181471,0.3,241947,1.75 95,1,2024-09-07 09:12:00:858,637600,637600,0,0,298227982683,3099236814,632571,4628,401,367,391713,0 95,2,2024-09-07 09:12:01:023,458240,458240,0,0,17930489,0,3308 95,3,2024-09-07 09:12:01:717,1,362,8,0,718,5417,362,0 96,0,2024-09-07 09:12:01:036,91271,0.3,91441,0.5,182620,0.3,242433,1.75 96,1,2024-09-07 09:12:01:594,635919,635919,0,0,298558304327,3113112309,631349,3772,798,384,391955,0 96,2,2024-09-07 09:12:01:277,458180,458180,0,0,17878874,0,4042 96,3,2024-09-07 09:12:01:143,1,362,8,0,411,3872,362,0 97,0,2024-09-07 09:12:01:324,90835,0.3,90608,0.5,181964,0.3,241321,1.50 97,1,2024-09-07 09:12:00:767,637697,637697,0,0,298950751356,3097453743,633700,3323,674,367,392140,0 97,2,2024-09-07 09:12:00:607,459413,459413,0,0,17536628,0,3036 97,3,2024-09-07 09:12:00:569,1,362,0,0,214,4125,362,0 98,0,2024-09-07 09:12:01:763,90871,0.3,90747,0.4,182182,0.2,242112,1.50 98,1,2024-09-07 09:12:00:570,636862,636862,0,0,298082549481,3098026802,634183,2572,107,382,391997,0 98,2,2024-09-07 09:12:00:802,458745,458745,0,0,17482053,0,3080 98,3,2024-09-07 09:12:00:706,1,362,43,0,840,5655,362,0 99,0,2024-09-07 09:12:01:498,90699,0.3,91241,0.5,181292,0.3,241989,1.75 99,1,2024-09-07 09:12:01:728,636585,636585,0,0,298494772153,3105072085,632429,3400,756,381,392069,0 99,2,2024-09-07 09:12:01:432,459246,459246,0,0,19840824,0,3424 99,3,2024-09-07 09:12:00:586,1,362,5,0,199,3413,362,0 100,0,2024-09-07 09:12:01:494,90528,0.7,90598,0.9,180837,0.8,241943,2.25 100,1,2024-09-07 09:12:00:547,633522,633522,0,0,297147722955,3134027853,625004,7020,1498,381,391989,0 100,2,2024-09-07 09:12:01:826,455801,455790,11,0,20241861,0,5417 100,3,2024-09-07 09:12:01:751,1,362,8,0,559,6053,362,0 101,0,2024-09-07 09:12:01:712,92538,0.7,90043,0.9,176798,0.5,242407,2.00 101,1,2024-09-07 09:12:00:636,633502,633502,0,0,297114290317,3124332910,624246,7232,2024,368,391847,0 101,2,2024-09-07 09:12:01:756,456109,456109,0,0,23813061,0,4644 101,3,2024-09-07 09:12:00:941,1,362,3,0,579,4645,362,0 102,0,2024-09-07 09:12:00:949,88341,0.6,91313,0.8,184558,0.6,241700,2.00 102,1,2024-09-07 09:12:01:148,634479,634479,0,0,297634040295,3122269826,626936,6485,1058,369,391891,0 102,2,2024-09-07 09:12:01:737,458688,458634,54,0,19913632,0,6768 102,3,2024-09-07 09:12:01:618,1,362,3,0,410,3729,362,0 103,0,2024-09-07 09:12:01:590,93464,0.6,93389,0.7,176216,0.6,242289,2.00 103,1,2024-09-07 09:12:01:629,633412,633412,0,0,296779930785,3125522818,624497,6916,1999,381,391829,0 103,2,2024-09-07 09:12:00:597,456591,456591,0,0,20923312,0,3173 103,3,2024-09-07 09:12:00:758,1,362,1,0,916,4301,362,0 104,0,2024-09-07 09:12:01:012,89794,0.7,90235,0.9,179190,0.6,241327,2.25 104,1,2024-09-07 09:12:01:614,635654,635654,0,0,297230027751,3120640824,626724,7230,1700,365,392168,0 104,2,2024-09-07 09:12:01:678,455895,455895,0,0,21658214,0,3941 104,3,2024-09-07 09:12:01:416,1,362,8,0,1245,7673,362,0 105,0,2024-09-07 09:12:01:027,89853,0.7,87494,1.0,183189,0.7,241564,2.50 105,1,2024-09-07 09:12:00:565,635952,635952,0,0,298532144733,3126858606,627786,6829,1337,366,392009,0 105,2,2024-09-07 09:12:01:324,457551,457551,0,0,21035008,0,3509 105,3,2024-09-07 09:12:01:304,1,362,1,0,399,5692,362,0 106,0,2024-09-07 09:12:00:941,87371,0.8,89494,0.9,183384,0.8,239662,2.50 106,1,2024-09-07 09:12:01:750,634752,634752,0,0,298263962060,3131126144,625150,8307,1295,369,391914,0 106,2,2024-09-07 09:12:00:758,456696,456696,0,0,20744511,0,2795 106,3,2024-09-07 09:12:00:715,1,362,206,0,470,4700,362,0 107,0,2024-09-07 09:12:01:123,90461,1.1,90587,1.0,181084,1.5,241074,2.25 107,1,2024-09-07 09:12:00:586,633993,633993,0,0,296978616678,3124783798,625998,7078,917,381,392234,0 107,2,2024-09-07 09:12:01:310,456515,456514,1,0,21219238,0,5024 107,3,2024-09-07 09:12:01:755,1,362,7,0,353,4964,362,0 108,0,2024-09-07 09:12:01:764,90200,0.4,90926,0.6,180645,0.4,240905,1.75 108,1,2024-09-07 09:12:01:300,636407,636407,0,0,298440806487,3109895177,631310,4579,518,368,391857,0 108,2,2024-09-07 09:12:01:758,456680,456680,0,0,20304380,0,4246 108,3,2024-09-07 09:12:01:330,1,362,8,0,749,7173,362,0 109,0,2024-09-07 09:12:01:768,91488,0.4,90624,0.5,181473,0.3,243236,1.75 109,1,2024-09-07 09:12:00:586,634291,634291,0,0,298022221254,3122153582,629071,4555,665,383,392132,0 109,2,2024-09-07 09:12:00:937,456111,456111,0,0,19727579,0,3617 109,3,2024-09-07 09:12:01:165,1,362,30,0,379,4214,362,0 110,0,2024-09-07 09:12:01:756,90453,0.4,87985,0.6,184319,0.3,241047,1.75 110,1,2024-09-07 09:12:01:643,637759,637759,0,0,299356098921,3103325691,633750,3030,979,369,392045,0 110,2,2024-09-07 09:12:01:305,457956,457956,0,0,19522979,0,4067 110,3,2024-09-07 09:12:00:689,1,362,16,0,722,5237,362,0 111,0,2024-09-07 09:12:01:414,91245,0.4,90514,0.6,181562,0.4,242281,1.75 111,1,2024-09-07 09:12:01:004,638073,638073,0,0,299346361292,3103581974,634690,3030,353,382,391690,0 111,2,2024-09-07 09:12:01:120,457552,457552,0,0,18827287,0,4823 111,3,2024-09-07 09:12:00:913,1,362,1,0,379,4247,362,0 112,0,2024-09-07 09:12:00:911,91452,0.3,90812,0.4,181972,0.2,242143,1.50 112,1,2024-09-07 09:12:00:829,637538,637538,0,0,299182539895,3100982707,634053,2901,584,380,391624,0 112,2,2024-09-07 09:12:01:132,458550,458549,1,0,17236111,0,5036 112,3,2024-09-07 09:12:00:595,1,362,37,0,282,3593,362,0 113,0,2024-09-07 09:12:00:884,90709,0.3,90849,0.4,182165,0.2,242390,1.50 113,1,2024-09-07 09:12:01:692,639148,639148,0,0,299860471593,3091119241,635864,2700,584,366,391661,0 113,2,2024-09-07 09:12:01:303,458956,458956,0,0,15904565,0,3813 113,3,2024-09-07 09:12:00:690,1,362,0,0,340,4114,362,0 114,0,2024-09-07 09:12:00:874,91070,0.3,91745,0.4,182160,0.2,244102,1.75 114,1,2024-09-07 09:12:00:721,637318,637318,0,0,298719027389,3101268654,632172,3552,1594,381,391556,0 114,2,2024-09-07 09:12:00:873,459105,459104,1,0,17633888,0,5069 114,3,2024-09-07 09:12:01:278,1,362,1,0,395,3030,362,0 115,0,2024-09-07 09:12:00:552,90810,0.3,91487,0.4,182478,0.2,242826,1.50 115,1,2024-09-07 09:12:00:571,637065,637065,0,0,299097738703,3104046353,632039,4186,840,382,391757,0 115,2,2024-09-07 09:12:01:129,460320,460320,0,0,16234178,0,3453 115,3,2024-09-07 09:12:01:002,1,362,8,0,159,2184,362,0 116,0,2024-09-07 09:12:01:708,90106,0.8,89935,0.9,180476,0.8,241267,2.00 116,1,2024-09-07 09:12:00:819,633550,633550,0,0,297757363294,3137392336,625543,5691,2316,380,392089,0 116,2,2024-09-07 09:12:01:751,457508,457508,0,0,21809610,0,3529 116,3,2024-09-07 09:12:00:913,1,362,9,0,415,4359,362,0 117,0,2024-09-07 09:12:00:954,90942,0.7,90493,0.8,181163,0.7,242476,2.00 117,1,2024-09-07 09:12:01:583,634860,634860,0,0,297730136604,3112927862,628488,5702,670,369,392033,0 117,2,2024-09-07 09:12:01:119,459298,459298,0,0,18123091,0,3700 117,3,2024-09-07 09:12:01:058,1,362,1,0,490,5122,362,0 118,0,2024-09-07 09:12:01:791,88190,0.5,90410,0.6,184725,0.4,241653,1.75 118,1,2024-09-07 09:12:00:598,634682,634682,0,0,297357541462,3121665829,625851,6930,1901,366,391907,0 118,2,2024-09-07 09:12:01:587,457741,457741,0,0,20236111,0,2781 118,3,2024-09-07 09:12:01:769,1,362,248,0,248,4147,362,0 119,0,2024-09-07 09:12:01:350,90329,0.6,90749,0.7,181386,0.6,241049,2.00 119,1,2024-09-07 09:12:00:574,635988,635988,0,0,297345061265,3107632297,629226,5924,838,367,391780,0 119,2,2024-09-07 09:12:01:276,458143,458143,0,0,18926775,0,4174 119,3,2024-09-07 09:12:01:342,1,362,1,0,563,5352,362,0 120,0,2024-09-07 09:12:01:548,89998,0.6,89709,0.8,179501,0.6,240141,2.25 120,1,2024-09-07 09:12:00:864,635445,635445,0,0,297830276197,3122140493,628965,5920,560,368,391961,0 120,2,2024-09-07 09:12:00:774,457163,457162,1,0,22023570,0,5281 120,3,2024-09-07 09:12:01:290,1,362,12,0,241,4362,362,0 121,0,2024-09-07 09:12:01:696,90135,0.9,90237,1.0,181040,1.2,240583,2.25 121,1,2024-09-07 09:12:01:655,635820,635820,0,0,297619482328,3109788691,629892,5490,438,367,391840,0 121,2,2024-09-07 09:12:01:131,456650,456650,0,0,21141478,0,4127 121,3,2024-09-07 09:12:00:731,1,362,1,0,269,4010,362,0 122,0,2024-09-07 09:12:01:773,90482,0.7,88413,0.8,185613,0.8,243474,2.00 122,1,2024-09-07 09:12:00:867,634942,634942,0,0,297321830571,3115997987,626472,7207,1263,366,392130,0 122,2,2024-09-07 09:12:01:328,457905,457832,73,0,23733027,0,5989 122,3,2024-09-07 09:12:00:597,1,362,68,0,512,6738,362,0 123,0,2024-09-07 09:12:00:955,90250,0.6,88256,0.7,184112,0.7,241021,2.00 123,1,2024-09-07 09:12:00:559,634565,634565,0,0,297657660675,3133957743,623106,9331,2128,369,392039,0 123,2,2024-09-07 09:12:01:019,456402,456401,1,0,20531140,0,5215 123,3,2024-09-07 09:12:01:138,1,362,20,0,168,3980,362,0 124,0,2024-09-07 09:12:00:944,93495,0.4,93430,0.5,176259,0.3,241900,1.75 124,1,2024-09-07 09:12:01:028,636998,636998,0,0,297657567277,3094457685,632271,3816,911,367,392178,0 124,2,2024-09-07 09:12:01:020,459327,459274,53,0,18466046,0,6487 124,3,2024-09-07 09:12:00:759,1,362,8,0,490,3589,362,0 125,0,2024-09-07 09:12:01:420,90942,0.4,90545,0.5,181968,0.3,242255,1.75 125,1,2024-09-07 09:12:00:862,635731,635731,0,0,298412225813,3112937142,630843,4243,645,382,391702,0 125,2,2024-09-07 09:12:01:115,458820,458820,0,0,19095729,0,4534 125,3,2024-09-07 09:12:01:132,1,362,3,0,709,4681,362,0 126,0,2024-09-07 09:12:01:442,91129,0.4,93668,0.6,179461,0.4,243266,1.75 126,1,2024-09-07 09:12:00:555,637567,637567,0,0,299038912043,3096398516,633819,3461,287,365,391987,0 126,2,2024-09-07 09:12:00:612,458452,458452,0,0,19224973,0,4539 126,3,2024-09-07 09:12:00:907,1,362,5,0,207,4471,362,0 127,0,2024-09-07 09:12:01:598,90732,0.3,91179,0.5,181816,0.3,241514,1.50 127,1,2024-09-07 09:12:00:598,636537,636537,0,0,298888416220,3102478850,631107,4569,861,364,392187,0 127,2,2024-09-07 09:12:00:661,457594,457594,0,0,17655931,0,3897 127,3,2024-09-07 09:12:01:270,1,362,1,0,968,4107,362,0 128,0,2024-09-07 09:12:01:533,91143,0.3,91443,0.4,182736,0.2,242443,1.50 128,1,2024-09-07 09:12:01:606,636956,636956,0,0,297905678491,3091421142,632789,3795,372,367,391838,0 128,2,2024-09-07 09:12:01:387,459391,459391,0,0,17026374,0,2915 128,3,2024-09-07 09:12:00:769,1,362,8,0,1082,5983,362,0 129,0,2024-09-07 09:12:01:012,91306,0.3,90780,0.5,182153,0.3,242123,1.50 129,1,2024-09-07 09:12:00:578,634228,634228,0,0,297732561634,3114747404,628539,4432,1257,379,391835,0 129,2,2024-09-07 09:12:00:691,459027,459027,0,0,17738153,0,4031 129,3,2024-09-07 09:12:00:691,1,362,0,0,469,4545,362,0 130,0,2024-09-07 09:12:01:730,91325,0.5,90874,0.6,182965,0.5,243399,1.75 130,1,2024-09-07 09:12:00:592,637002,637002,0,0,298530705853,3101596991,633574,3148,280,381,391825,0 130,2,2024-09-07 09:12:01:132,458599,458599,0,0,17340425,0,4067 130,3,2024-09-07 09:12:01:297,1,362,2,0,535,4766,362,0 131,0,2024-09-07 09:12:01:981,90258,0.3,90808,0.5,182582,0.3,241970,1.50 131,1,2024-09-07 09:12:01:840,636812,636812,0,0,298306429161,3111026433,632285,3779,748,381,391865,0 131,2,2024-09-07 09:12:00:569,460559,460559,0,0,16161902,0,2415 131,3,2024-09-07 09:12:01:691,1,362,1,0,392,3666,362,0 132,0,2024-09-07 09:12:01:411,90725,0.4,91651,0.6,182324,0.4,242497,1.75 132,1,2024-09-07 09:12:00:576,633693,633693,0,0,297131710619,3125706686,624939,7285,1469,381,392097,0 132,2,2024-09-07 09:12:00:700,458309,458309,0,0,21503089,0,4606 132,3,2024-09-07 09:12:01:693,1,362,8,0,804,6279,362,0 133,0,2024-09-07 09:12:01:517,88273,0.5,90298,0.6,185359,0.4,240994,1.75 133,1,2024-09-07 09:12:00:586,633873,633873,0,0,297361625131,3130197566,625549,7239,1085,383,391914,0 133,2,2024-09-07 09:12:01:089,457337,457337,0,0,22601062,0,4315 133,3,2024-09-07 09:12:01:297,1,362,63,0,479,3774,362,0 134,0,2024-09-07 09:12:00:941,90954,0.5,90815,0.7,181651,0.4,242604,2.00 134,1,2024-09-07 09:12:00:587,634808,634808,0,0,297212651275,3116686472,626449,6503,1856,366,391718,0 134,2,2024-09-07 09:12:01:756,456669,456669,0,0,18883630,0,3847 134,3,2024-09-07 09:12:00:749,1,362,16,0,739,4556,362,0 135,0,2024-09-07 09:12:01:104,87945,0.6,87950,0.8,186437,0.6,240752,2.00 135,1,2024-09-07 09:12:01:589,635206,635206,0,0,297962704305,3132809828,626697,7272,1237,380,391805,0 135,2,2024-09-07 09:12:00:690,459356,459356,0,0,20726252,0,3981 135,3,2024-09-07 09:12:01:002,1,362,6,0,299,2762,362,0 136,0,2024-09-07 09:12:01:620,90841,0.5,91086,0.7,181118,0.5,241523,2.00 136,1,2024-09-07 09:12:01:441,634755,634755,0,0,297729970425,3122127729,627722,6335,698,382,391685,0 136,2,2024-09-07 09:12:01:133,458491,458491,0,0,20260439,0,3506 136,3,2024-09-07 09:12:01:119,1,362,9,0,637,3992,362,0 137,0,2024-09-07 09:12:00:953,93348,0.6,90863,0.7,178559,0.7,242375,2.00 137,1,2024-09-07 09:12:00:576,634643,634643,0,0,297894934765,3123499772,624999,7761,1883,366,391898,0 137,2,2024-09-07 09:12:01:705,457000,457000,0,0,22605377,0,3185 137,3,2024-09-07 09:12:00:769,1,362,1,0,382,4129,362,0 138,0,2024-09-07 09:12:01:746,89925,1.2,90035,1.0,180888,1.7,239821,2.25 138,1,2024-09-07 09:12:01:694,635745,635745,0,0,297938976872,3125433973,626548,7598,1599,368,391954,0 138,2,2024-09-07 09:12:00:586,457342,457342,0,0,20246263,0,4988 138,3,2024-09-07 09:12:00:611,1,362,2,0,1160,4918,362,0 139,0,2024-09-07 09:12:01:373,89977,0.9,90276,1.0,180700,1.3,241270,2.25 139,1,2024-09-07 09:12:00:584,632670,632670,0,0,296008155880,3140198567,621268,8754,2648,381,392058,0 139,2,2024-09-07 09:12:00:705,455214,455214,0,0,22106109,0,3097 139,3,2024-09-07 09:12:01:668,1,362,1,0,257,4117,362,0 140,0,2024-09-07 09:12:01:588,90776,0.3,90353,0.5,181371,0.2,241198,1.75 140,1,2024-09-07 09:12:01:539,638906,638906,0,0,298789413263,3079890579,635685,2782,439,365,391606,0 140,2,2024-09-07 09:12:00:691,458232,458231,1,0,18138336,0,5036 140,3,2024-09-07 09:12:00:767,1,362,8,0,247,2865,362,0 141,0,2024-09-07 09:12:01:700,91110,0.3,93486,0.5,178604,0.3,242320,1.75 141,1,2024-09-07 09:12:00:862,637447,637447,0,0,298857792870,3102478667,632881,3704,862,379,391614,0 141,2,2024-09-07 09:12:01:686,458360,458360,0,0,17846107,0,3360 141,3,2024-09-07 09:12:01:044,1,362,0,0,391,4040,362,0 142,0,2024-09-07 09:12:01:321,91551,0.3,90876,0.5,181531,0.3,242666,1.75 142,1,2024-09-07 09:12:00:591,636907,636907,0,0,297826086972,3103285644,632934,3621,352,382,392102,0 142,2,2024-09-07 09:12:01:300,457878,457846,32,0,19175158,0,6028 142,3,2024-09-07 09:12:01:751,1,362,5,0,484,4288,362,0 143,0,2024-09-07 09:12:01:374,90981,0.4,90819,0.5,182017,0.4,242556,1.75 143,1,2024-09-07 09:12:00:556,637673,637673,0,0,299045459449,3099762158,633883,3637,153,367,391705,0 143,2,2024-09-07 09:12:00:770,457889,457889,0,0,18566278,0,3123 143,3,2024-09-07 09:12:01:144,1,362,22,0,303,4301,362,0 144,0,2024-09-07 09:12:01:545,87957,0.5,90274,0.7,184178,0.4,241783,2.00 144,1,2024-09-07 09:12:00:569,634534,634534,0,0,297618616068,3116106887,629631,3962,941,381,391649,0 144,2,2024-09-07 09:12:01:755,459491,459491,0,0,16951729,0,3473 144,3,2024-09-07 09:12:01:742,1,362,9,0,249,3522,362,0 145,0,2024-09-07 09:12:01:361,87893,0.6,87900,0.8,186385,0.5,240126,2.25 145,1,2024-09-07 09:12:00:559,634267,634267,0,0,297854872807,3128345563,626850,6274,1143,382,391759,0 145,2,2024-09-07 09:12:01:432,457325,457325,0,0,20572987,0,3903 145,3,2024-09-07 09:12:00:895,1,362,1,0,622,5395,362,0 146,0,2024-09-07 09:12:01:596,90431,0.6,89801,0.7,181000,0.5,239939,2.25 146,1,2024-09-07 09:12:01:587,635413,635413,0,0,297672969057,3127128007,625521,7881,2011,368,391770,0 146,2,2024-09-07 09:12:01:701,456976,456976,0,0,20248877,0,2730 146,3,2024-09-07 09:12:01:278,1,362,33,0,1520,7309,362,0 147,0,2024-09-07 09:12:01:703,90907,0.5,90616,0.7,180530,0.5,241890,2.00 147,1,2024-09-07 09:12:01:372,637147,637147,0,0,299047822643,3108764479,631474,4872,801,368,391791,0 147,2,2024-09-07 09:12:01:017,457048,457048,0,0,18024139,0,2789 147,3,2024-09-07 09:12:00:914,1,362,5,0,730,5126,362,0 0,0,2024-09-07 09:12:11:713,87920,0.6,87879,0.7,186562,0.7,240801,2.00 0,1,2024-09-07 09:12:10:800,637526,637526,0,0,298810028827,3122172239,633343,3937,246,369,391896,0 0,2,2024-09-07 09:12:11:070,460054,460054,0,0,18079431,0,4480 0,3,2024-09-07 09:12:10:974,1,363,8,0,431,5150,363,0 1,0,2024-09-07 09:12:11:754,90586,0.7,90089,0.9,180731,0.9,241163,2.00 1,1,2024-09-07 09:12:10:560,636704,636704,0,0,298933381495,3127370666,631041,4434,1229,370,391857,0 1,2,2024-09-07 09:12:10:639,457595,457595,0,0,17451661,0,3267 1,3,2024-09-07 09:12:11:302,1,363,3,0,262,4128,363,0 2,0,2024-09-07 09:12:11:570,91454,0.5,91610,0.7,182238,0.6,244575,2.00 2,1,2024-09-07 09:12:10:867,638836,638836,0,0,299700235226,3109201263,635934,2609,293,380,391745,0 2,2,2024-09-07 09:12:11:270,460405,460405,0,0,17169798,0,3594 2,3,2024-09-07 09:12:10:693,1,363,0,0,357,3742,363,0 3,0,2024-09-07 09:12:11:749,90719,0.4,90562,0.6,181001,0.4,240949,2.00 3,1,2024-09-07 09:12:11:621,637332,637332,0,0,298378346168,3104944723,632141,4567,624,380,391591,0 3,2,2024-09-07 09:12:11:143,459444,459421,23,0,17684405,0,5851 3,3,2024-09-07 09:12:11:752,1,363,2,0,207,2260,363,0 4,0,2024-09-07 09:12:11:771,88210,0.4,90619,0.5,184601,0.3,241206,1.75 4,1,2024-09-07 09:12:10:592,635762,635762,0,0,298261894802,3141080995,627500,6696,1566,370,391992,0 4,2,2024-09-07 09:12:11:030,457781,457781,0,0,20825662,0,4528 4,3,2024-09-07 09:12:11:030,1,363,18,0,448,4959,363,0 5,0,2024-09-07 09:12:11:380,90829,0.4,91324,0.6,182151,0.4,242194,1.75 5,1,2024-09-07 09:12:10:755,636704,636704,0,0,298670553249,3137455509,629170,6069,1465,367,392005,0 5,2,2024-09-07 09:12:11:831,457412,457412,0,0,19729843,0,2432 5,3,2024-09-07 09:12:11:732,1,363,4,0,457,5070,363,0 6,0,2024-09-07 09:12:10:942,91969,0.4,91411,0.6,182639,0.4,244256,1.75 6,1,2024-09-07 09:12:10:746,637249,637249,0,0,298929931889,3120459983,630615,5549,1085,379,391694,0 6,2,2024-09-07 09:12:11:121,458596,458596,0,0,19109355,0,4816 6,3,2024-09-07 09:12:11:274,1,363,0,0,340,3794,363,0 7,0,2024-09-07 09:12:11:555,90388,0.5,90971,0.6,181176,0.5,240837,2.00 7,1,2024-09-07 09:12:10:858,636192,636192,0,0,299013376519,3137056225,627693,7311,1188,382,391747,0 7,2,2024-09-07 09:12:10:777,460004,460004,0,0,19102074,0,4791 7,3,2024-09-07 09:12:10:858,1,363,1,0,398,3891,363,0 8,0,2024-09-07 09:12:11:369,91307,0.4,91073,0.5,182383,0.3,243105,1.75 8,1,2024-09-07 09:12:11:017,635203,635203,0,0,298745959488,3140336777,625041,7884,2278,366,392144,0 8,2,2024-09-07 09:12:10:790,456266,456266,0,0,23315273,0,3220 8,3,2024-09-07 09:12:10:599,1,363,28,0,538,6135,363,0 9,0,2024-09-07 09:12:11:133,91119,0.4,88443,0.5,185113,0.3,242350,1.75 9,1,2024-09-07 09:12:10:559,635948,635948,0,0,297928094515,3137031267,626843,6979,2126,369,392001,0 9,2,2024-09-07 09:12:11:083,459047,459047,0,0,20818438,0,3360 9,3,2024-09-07 09:12:11:752,1,363,1,0,496,5238,363,0 10,0,2024-09-07 09:12:11:599,91409,0.3,90780,0.5,182298,0.2,243002,1.75 10,1,2024-09-07 09:12:10:591,636745,636745,0,0,298056091405,3118670381,628858,6777,1110,381,391741,0 10,2,2024-09-07 09:12:10:762,458223,458223,0,0,22244687,0,4264 10,3,2024-09-07 09:12:10:871,1,363,0,0,296,3255,363,0 11,0,2024-09-07 09:12:11:007,90465,0.4,87862,0.6,183732,0.3,242593,1.75 11,1,2024-09-07 09:12:10:571,637661,637661,0,0,298701658774,3134008610,627614,7599,2448,383,391756,0 11,2,2024-09-07 09:12:11:123,459262,459262,0,0,20070070,0,4130 11,3,2024-09-07 09:12:11:297,1,363,2,0,843,5424,363,0 12,0,2024-09-07 09:12:10:945,91752,0.4,91808,0.5,183304,0.3,243588,1.75 12,1,2024-09-07 09:12:10:933,637155,637155,0,0,299057617298,3116194536,632272,4389,494,370,391870,0 12,2,2024-09-07 09:12:11:545,460368,460368,0,0,19787237,0,3469 12,3,2024-09-07 09:12:11:060,1,363,9,0,386,5731,363,0 13,0,2024-09-07 09:12:11:388,91437,0.4,91049,0.6,181895,0.4,242311,1.75 13,1,2024-09-07 09:12:11:525,636112,636112,0,0,298207251453,3132229111,630195,4506,1411,382,391740,0 13,2,2024-09-07 09:12:10:612,460307,460307,0,0,18235534,0,3287 13,3,2024-09-07 09:12:11:775,1,363,9,0,522,5706,363,0 14,0,2024-09-07 09:12:10:560,91149,0.4,91986,0.6,182102,0.3,242993,1.75 14,1,2024-09-07 09:12:11:560,640548,640548,0,0,300519245939,3109164778,635858,4331,359,364,391571,0 14,2,2024-09-07 09:12:10:769,457799,457769,30,0,19635601,0,6104 14,3,2024-09-07 09:12:11:116,1,363,0,0,1168,4245,363,0 15,0,2024-09-07 09:12:11:562,91143,0.4,91191,0.6,182577,0.3,243309,1.75 15,1,2024-09-07 09:12:11:614,637923,637923,0,0,299167932215,3112105854,633576,3524,823,381,391619,0 15,2,2024-09-07 09:12:11:003,461390,461390,0,0,16280442,0,3622 15,3,2024-09-07 09:12:11:405,1,363,1,0,1126,6419,363,0 16,0,2024-09-07 09:12:10:930,90664,0.6,91023,0.7,181755,0.5,241544,2.00 16,1,2024-09-07 09:12:10:570,638618,638618,0,0,299450060517,3123085808,634289,3966,363,370,391756,0 16,2,2024-09-07 09:12:11:437,459352,459352,0,0,18944593,0,4719 16,3,2024-09-07 09:12:11:149,1,363,8,0,317,4809,363,0 17,0,2024-09-07 09:12:11:763,93454,0.6,91203,0.8,178579,0.7,242237,2.00 17,1,2024-09-07 09:12:10:567,636221,636221,0,0,298814657328,3132384153,629933,5028,1260,368,392075,0 17,2,2024-09-07 09:12:11:670,461499,461499,0,0,18607464,0,2857 17,3,2024-09-07 09:12:10:573,1,363,2,0,298,5808,363,0 18,0,2024-09-07 09:12:10:941,89548,0.6,89958,0.8,179417,0.6,238980,2.25 18,1,2024-09-07 09:12:11:643,639151,639151,0,0,299567084681,3102291644,635796,3043,312,367,391649,0 18,2,2024-09-07 09:12:11:756,460900,460900,0,0,17097859,0,3541 18,3,2024-09-07 09:12:10:895,1,363,1,0,163,3022,363,0 19,0,2024-09-07 09:12:11:580,90937,0.5,91594,0.7,181392,0.5,241906,2.00 19,1,2024-09-07 09:12:10:565,639077,639077,0,0,299798762629,3107842006,633885,4353,839,367,391777,0 19,2,2024-09-07 09:12:11:751,460982,460982,0,0,15719941,0,3988 19,3,2024-09-07 09:12:11:129,1,363,1,0,524,2749,363,0 20,0,2024-09-07 09:12:11:377,90521,0.5,90543,0.6,180967,0.4,241187,2.00 20,1,2024-09-07 09:12:10:567,637478,637478,0,0,299336391319,3123938968,632989,4079,410,369,391886,0 20,2,2024-09-07 09:12:10:928,459403,459403,0,0,19140078,0,3721 20,3,2024-09-07 09:12:10:593,1,363,16,0,414,5567,363,0 21,0,2024-09-07 09:12:11:135,91150,0.5,91232,0.6,182061,0.5,241767,2.00 21,1,2024-09-07 09:12:11:536,635830,635830,0,0,296884219622,3126210389,627349,6533,1948,368,392016,0 21,2,2024-09-07 09:12:11:070,457226,457226,0,0,22423054,0,3747 21,3,2024-09-07 09:12:11:412,1,363,432,0,713,4741,363,0 22,0,2024-09-07 09:12:11:721,90771,0.5,91054,0.7,182478,0.5,241711,2.00 22,1,2024-09-07 09:12:11:032,637124,637124,0,0,298154096513,3128755926,628589,6715,1820,382,391667,0 22,2,2024-09-07 09:12:10:760,458136,458136,0,0,18057914,0,3134 22,3,2024-09-07 09:12:11:073,1,363,1,0,228,3013,363,0 23,0,2024-09-07 09:12:11:373,90617,0.5,90525,0.7,181603,0.4,241900,2.25 23,1,2024-09-07 09:12:11:002,637582,637582,0,0,299571440172,3144561135,626423,7666,3493,365,391690,0 23,2,2024-09-07 09:12:11:094,459932,459932,0,0,17970187,0,3010 23,3,2024-09-07 09:12:11:765,1,363,16,0,720,4864,363,0 24,0,2024-09-07 09:12:10:912,91798,0.4,91693,0.5,183758,0.3,244713,1.75 24,1,2024-09-07 09:12:10:594,636339,636339,0,0,298114756729,3125234244,629173,5616,1550,367,392269,0 24,2,2024-09-07 09:12:11:079,458530,458530,0,0,21870789,0,3607 24,3,2024-09-07 09:12:11:697,1,363,14,0,468,4721,363,0 25,0,2024-09-07 09:12:11:358,93636,0.4,91265,0.6,178770,0.4,243993,2.00 25,1,2024-09-07 09:12:10:560,637228,637228,0,0,298286591130,3129781666,629002,6804,1422,371,391928,0 25,2,2024-09-07 09:12:11:612,458862,458862,0,0,22107805,0,3978 25,3,2024-09-07 09:12:11:010,1,363,6,0,255,3510,363,0 26,0,2024-09-07 09:12:11:733,90366,0.4,88204,0.6,185121,0.4,240922,1.75 26,1,2024-09-07 09:12:11:547,637648,637648,0,0,298961678213,3128995495,628679,7433,1536,380,391748,0 26,2,2024-09-07 09:12:10:867,459740,459740,0,0,21992949,0,2809 26,3,2024-09-07 09:12:11:724,1,363,1,0,796,4537,363,0 27,0,2024-09-07 09:12:11:726,91263,0.4,91461,0.6,181280,0.4,242181,2.00 27,1,2024-09-07 09:12:11:676,639620,639620,0,0,299843215590,3118070904,634309,4545,766,381,391626,0 27,2,2024-09-07 09:12:10:868,455344,455279,65,0,21192056,0,5699 27,3,2024-09-07 09:12:11:016,1,363,2,0,564,3700,363,0 28,0,2024-09-07 09:12:11:386,91395,0.4,91158,0.6,182357,0.3,243869,1.75 28,1,2024-09-07 09:12:10:798,638654,638654,0,0,299477647595,3118023644,634149,3800,705,383,391698,0 28,2,2024-09-07 09:12:11:774,460095,460095,0,0,18999835,0,2915 28,3,2024-09-07 09:12:11:785,1,363,8,0,502,4003,363,0 29,0,2024-09-07 09:12:11:356,93363,0.4,90901,0.6,178420,0.3,242907,1.75 29,1,2024-09-07 09:12:11:563,640013,640013,0,0,299562550746,3099665522,635670,3658,685,369,391753,0 29,2,2024-09-07 09:12:10:866,458762,458762,0,0,18262240,0,4986 29,3,2024-09-07 09:12:10:970,1,363,1,0,459,4422,363,0 30,0,2024-09-07 09:12:11:457,90138,0.5,87608,0.7,183346,0.5,239744,2.00 30,1,2024-09-07 09:12:10:571,639701,639701,0,0,300270483665,3115563349,634881,4110,710,381,391672,0 30,2,2024-09-07 09:12:11:274,459781,459781,0,0,17176069,0,3161 30,3,2024-09-07 09:12:10:584,1,363,3,0,519,3625,363,0 31,0,2024-09-07 09:12:11:770,90094,0.4,90592,0.6,180981,0.3,240923,1.75 31,1,2024-09-07 09:12:10:564,641757,641757,0,0,300652515466,3081155166,639209,1989,559,356,391712,0 31,2,2024-09-07 09:12:11:275,458313,458313,0,0,18944121,0,3525 31,3,2024-09-07 09:12:11:716,1,363,2,0,220,3095,363,0 32,0,2024-09-07 09:12:11:443,91473,0.3,91871,0.5,183617,0.2,244853,1.50 32,1,2024-09-07 09:12:10:804,638944,638944,0,0,299330191668,3107042164,635551,2925,468,381,391646,0 32,2,2024-09-07 09:12:10:935,460703,460703,0,0,16142420,0,3155 32,3,2024-09-07 09:12:11:016,1,363,12,0,227,2516,363,0 33,0,2024-09-07 09:12:11:500,90883,0.3,90392,0.4,181387,0.2,241272,1.50 33,1,2024-09-07 09:12:10:575,640204,640204,0,0,299823375306,3102314215,635757,3616,831,369,391730,0 33,2,2024-09-07 09:12:10:757,460478,460443,35,0,18339157,0,7012 33,3,2024-09-07 09:12:10:894,1,363,1,0,329,3637,363,0 34,0,2024-09-07 09:12:10:939,90977,0.3,93645,0.4,179084,0.2,240541,1.75 34,1,2024-09-07 09:12:11:044,641270,641270,0,0,300996507916,3092141188,639842,1419,9,367,391562,0 34,2,2024-09-07 09:12:10:767,459786,459786,0,0,17525232,0,3577 34,3,2024-09-07 09:12:11:688,1,363,1,0,299,2560,363,0 35,0,2024-09-07 09:12:10:877,90105,0.3,90524,0.5,182234,0.3,242713,1.75 35,1,2024-09-07 09:12:11:079,639033,639033,0,0,300235179529,3108546151,635370,2882,781,382,391769,0 35,2,2024-09-07 09:12:11:585,459408,459408,0,0,17853985,0,2653 35,3,2024-09-07 09:12:10:907,1,363,1,0,418,4309,363,0 36,0,2024-09-07 09:12:11:532,91815,0.4,91773,0.6,183668,0.4,243990,2.00 36,1,2024-09-07 09:12:10:591,638446,638446,0,0,298834812334,3118300766,630770,5991,1685,366,391759,0 36,2,2024-09-07 09:12:11:772,459918,459918,0,0,20005860,0,3875 36,3,2024-09-07 09:12:10:866,1,363,8,0,416,5442,363,0 37,0,2024-09-07 09:12:11:375,90491,0.5,90365,0.6,181038,0.4,241174,2.00 37,1,2024-09-07 09:12:10:574,637995,637988,0,7,298724583467,3117764328,630994,4905,2089,365,391570,0 37,2,2024-09-07 09:12:11:157,458162,458147,15,0,19816881,0,5815 37,3,2024-09-07 09:12:11:776,1,363,1,0,888,5680,363,0 38,0,2024-09-07 09:12:11:480,90536,0.5,87917,0.7,184076,0.4,240962,2.00 38,1,2024-09-07 09:12:11:608,639413,639413,0,0,299932696611,3119073553,633232,5420,761,368,391821,0 38,2,2024-09-07 09:12:10:762,459235,459188,47,0,20156027,0,6710 38,3,2024-09-07 09:12:11:001,1,363,11,0,689,4994,363,0 39,0,2024-09-07 09:12:11:857,92668,0.6,90710,0.7,176632,0.5,241326,2.00 39,1,2024-09-07 09:12:10:723,637701,637701,0,0,299543441961,3131746126,628779,7232,1690,365,391658,0 39,2,2024-09-07 09:12:11:426,459478,459478,0,0,18320968,0,2689 39,3,2024-09-07 09:12:10:717,1,363,5,0,324,4417,363,0 40,0,2024-09-07 09:12:11:516,90490,0.7,91154,0.9,181946,0.7,243100,2.75 40,1,2024-09-07 09:12:10:576,638645,638645,0,0,298274807764,3119109425,629983,7151,1511,368,391668,0 40,2,2024-09-07 09:12:11:313,457423,457422,1,0,21482309,0,5137 40,3,2024-09-07 09:12:11:155,1,363,9,0,1028,5151,363,0 41,0,2024-09-07 09:12:11:028,90284,1.1,92468,1.1,176355,1.5,240228,2.75 41,1,2024-09-07 09:12:10:769,637136,637136,0,0,298798502883,3120989347,629970,6461,705,369,391742,0 41,2,2024-09-07 09:12:10:759,458554,458554,0,0,20491491,0,3356 41,3,2024-09-07 09:12:11:676,1,363,30,0,366,3599,363,0 42,0,2024-09-07 09:12:11:481,90256,0.9,90371,1.0,181089,1.0,239676,2.75 42,1,2024-09-07 09:12:11:438,636172,636172,0,0,297827782539,3125413435,626600,7814,1758,380,391675,0 42,2,2024-09-07 09:12:11:135,458972,458972,0,0,20829318,0,3790 42,3,2024-09-07 09:12:11:015,1,363,6,0,446,3131,363,0 43,0,2024-09-07 09:12:10:919,89553,0.9,87276,1.0,182890,1.0,239791,2.50 43,1,2024-09-07 09:12:10:579,638018,638018,0,0,300351345111,3132408712,629781,6799,1438,366,391696,0 43,2,2024-09-07 09:12:11:735,459048,459048,0,0,20629541,0,3812 43,3,2024-09-07 09:12:11:749,1,363,1,0,467,4355,363,0 44,0,2024-09-07 09:12:10:873,91167,0.4,91494,0.6,182649,0.4,243245,1.75 44,1,2024-09-07 09:12:10:570,639263,639263,0,0,299410803551,3093137864,634168,4048,1047,356,391809,0 44,2,2024-09-07 09:12:11:276,457289,457289,0,0,17172404,0,4344 44,3,2024-09-07 09:12:11:094,1,363,8,0,817,4577,363,0 45,0,2024-09-07 09:12:11:757,90168,0.4,88017,0.7,184699,0.4,243430,2.00 45,1,2024-09-07 09:12:11:017,638903,638903,0,0,299787523398,3112425633,634464,3963,476,382,391917,0 45,2,2024-09-07 09:12:11:268,460375,460375,0,0,17724385,0,3596 45,3,2024-09-07 09:12:10:933,1,363,5,0,271,3411,363,0 46,0,2024-09-07 09:12:10:964,90099,0.5,90081,0.7,180191,0.5,239181,2.00 46,1,2024-09-07 09:12:10:576,640208,640208,0,0,300514617121,3100991774,636490,3292,426,366,391572,0 46,2,2024-09-07 09:12:10:593,459913,459913,0,0,16916737,0,2920 46,3,2024-09-07 09:12:11:130,1,363,11,0,908,5117,363,0 47,0,2024-09-07 09:12:11:108,91039,0.5,91312,0.6,182999,0.4,241669,2.00 47,1,2024-09-07 09:12:10:566,641125,641125,0,0,299413936505,3094731014,636637,3673,815,366,391641,0 47,2,2024-09-07 09:12:10:908,460744,460744,0,0,17480478,0,4477 47,3,2024-09-07 09:12:11:115,1,363,4,0,529,3945,363,0 48,0,2024-09-07 09:12:11:497,91029,0.3,90656,0.4,180900,0.2,241103,1.50 48,1,2024-09-07 09:12:11:023,639198,639198,0,0,300004447337,3113387214,635581,3313,304,384,391710,0 48,2,2024-09-07 09:12:10:699,458632,458632,0,0,15895422,0,3031 48,3,2024-09-07 09:12:10:753,1,363,14,0,339,2987,363,0 49,0,2024-09-07 09:12:11:713,93737,0.3,92239,0.5,178361,0.2,244658,1.75 49,1,2024-09-07 09:12:11:021,638601,638601,0,0,299426381344,3114903612,634180,3236,1185,382,391809,0 49,2,2024-09-07 09:12:11:802,460488,460488,0,0,17217305,0,4426 49,3,2024-09-07 09:12:11:419,1,363,2,0,408,3667,363,0 50,0,2024-09-07 09:12:11:508,91059,0.3,89611,0.4,180875,0.2,240832,1.75 50,1,2024-09-07 09:12:11:010,640678,640678,0,0,300322918439,3101976381,636856,3448,374,368,391565,0 50,2,2024-09-07 09:12:11:073,459536,459536,0,0,16134716,0,2263 50,3,2024-09-07 09:12:11:291,1,363,1,0,335,3370,363,0 51,0,2024-09-07 09:12:11:693,93466,0.3,91367,0.5,178221,0.2,242853,1.75 51,1,2024-09-07 09:12:11:687,640664,640664,0,0,300853430571,3101071745,637401,2287,976,365,391706,0 51,2,2024-09-07 09:12:11:319,459563,459563,0,0,15688748,0,3337 51,3,2024-09-07 09:12:11:027,1,363,3,0,678,2660,363,0 52,0,2024-09-07 09:12:11:444,91234,0.5,91261,0.7,182292,0.4,242582,2.00 52,1,2024-09-07 09:12:10:575,638098,638098,0,0,299021927409,3127963701,629284,7500,1314,368,391722,0 52,2,2024-09-07 09:12:11:755,456093,456055,38,0,20620353,0,6742 52,3,2024-09-07 09:12:10:678,1,363,9,0,1782,5311,363,0 53,0,2024-09-07 09:12:11:736,90478,0.6,87938,0.7,183973,0.6,241404,2.25 53,1,2024-09-07 09:12:10:777,636646,636646,0,0,298800351716,3131499160,627016,7046,2584,367,391702,0 53,2,2024-09-07 09:12:11:298,459585,459585,0,0,17734228,0,2727 53,3,2024-09-07 09:12:10:697,1,363,10,0,308,3285,363,0 54,0,2024-09-07 09:12:11:617,89992,0.6,90479,0.7,179923,0.4,240787,2.25 54,1,2024-09-07 09:12:10:580,638299,638299,0,0,299949550809,3113690313,632418,5262,619,366,391659,0 54,2,2024-09-07 09:12:10:866,459801,459795,6,0,20286156,0,5382 54,3,2024-09-07 09:12:10:763,1,363,5,0,676,5303,363,0 55,0,2024-09-07 09:12:11:767,88144,0.6,91139,0.7,183980,0.5,239943,2.50 55,1,2024-09-07 09:12:10:777,638523,638523,0,0,298784497331,3105297983,631871,5743,909,365,391731,0 55,2,2024-09-07 09:12:10:729,459137,459137,0,0,19688587,0,3563 55,3,2024-09-07 09:12:10:673,1,363,1,0,304,3875,363,0 56,0,2024-09-07 09:12:11:586,92463,1.1,87214,1.1,179799,1.5,240645,2.50 56,1,2024-09-07 09:12:10:586,635541,635541,0,0,298364649163,3144645550,626740,7169,1632,381,391867,0 56,2,2024-09-07 09:12:11:303,459270,459270,0,0,20555946,0,3567 56,3,2024-09-07 09:12:11:059,1,363,8,0,705,4571,363,0 57,0,2024-09-07 09:12:10:944,89916,1.3,89831,1.1,179808,1.8,240720,2.75 57,1,2024-09-07 09:12:10:989,637407,637407,0,0,299226765983,3130923274,630532,6155,720,366,392032,0 57,2,2024-09-07 09:12:11:316,458251,458251,0,0,22124814,0,3317 57,3,2024-09-07 09:12:11:740,1,363,10,0,359,4105,363,0 58,0,2024-09-07 09:12:10:556,89988,0.7,87462,0.9,183086,0.8,240579,2.50 58,1,2024-09-07 09:12:10:576,637974,637971,0,3,299395418858,3126086943,629729,7160,1082,367,391603,3 58,2,2024-09-07 09:12:11:071,459418,459418,0,0,20371976,0,2549 58,3,2024-09-07 09:12:11:073,1,363,309,0,1043,4096,363,0 59,0,2024-09-07 09:12:11:740,90592,0.8,90175,0.9,180206,0.8,239457,2.50 59,1,2024-09-07 09:12:10:813,637304,637304,0,0,299198696841,3127467126,629403,6378,1523,369,391586,0 59,2,2024-09-07 09:12:10:591,460101,460101,0,0,20155258,0,2867 59,3,2024-09-07 09:12:11:738,1,363,1,0,1015,4427,363,0 60,0,2024-09-07 09:12:11:750,90408,0.5,90399,0.7,181536,0.5,240635,1.75 60,1,2024-09-07 09:12:10:779,639608,639608,0,0,300159378478,3113931579,635470,3489,649,370,392031,0 60,2,2024-09-07 09:12:11:153,459114,459114,0,0,19559704,0,3811 60,3,2024-09-07 09:12:11:263,1,363,9,0,409,4241,363,0 61,0,2024-09-07 09:12:11:507,90189,0.6,90874,0.7,180742,0.6,240763,2.00 61,1,2024-09-07 09:12:10:781,637650,637650,0,0,298965085400,3130972399,630431,5893,1326,382,392127,0 61,2,2024-09-07 09:12:11:116,458381,458314,67,0,19824222,0,6411 61,3,2024-09-07 09:12:11:689,1,363,1,0,479,5176,363,0 62,0,2024-09-07 09:12:11:709,92074,0.5,94228,0.6,179640,0.4,244820,1.75 62,1,2024-09-07 09:12:11:116,642022,642016,0,6,300305203653,3097103864,638434,3323,259,365,391715,6 62,2,2024-09-07 09:12:11:644,458712,458711,1,0,19351065,0,5555 62,3,2024-09-07 09:12:11:163,1,363,7,0,465,2713,363,0 63,0,2024-09-07 09:12:11:457,91043,0.4,90820,0.6,182062,0.3,241644,1.75 63,1,2024-09-07 09:12:10:803,639858,639852,0,6,300070740532,3112460345,635916,3548,388,381,391800,6 63,2,2024-09-07 09:12:10:769,459539,459539,0,0,17816875,0,4369 63,3,2024-09-07 09:12:11:732,1,363,2,0,667,3908,363,0 64,0,2024-09-07 09:12:11:510,90252,0.5,90287,0.7,180662,0.5,239637,2.00 64,1,2024-09-07 09:12:10:752,639079,639079,0,0,299529773941,3118077253,633427,3992,1660,370,391783,0 64,2,2024-09-07 09:12:11:144,462199,462180,19,0,17546333,0,6121 64,3,2024-09-07 09:12:11:143,1,363,8,0,265,3287,363,0 65,0,2024-09-07 09:12:11:682,89993,0.6,90285,0.8,180364,0.6,240552,2.00 65,1,2024-09-07 09:12:10:861,637356,637356,0,0,298143549629,3113246737,633176,3729,451,382,391901,0 65,2,2024-09-07 09:12:11:693,459997,459997,0,0,19324699,0,3367 65,3,2024-09-07 09:12:11:685,1,363,3,0,281,3430,363,0 66,0,2024-09-07 09:12:11:768,91198,0.5,91163,0.7,182346,0.4,242853,2.00 66,1,2024-09-07 09:12:11:292,639036,639036,0,0,299512980492,3111647237,635382,3331,323,380,391653,0 66,2,2024-09-07 09:12:11:133,461001,461001,0,0,17245048,0,4956 66,3,2024-09-07 09:12:11:079,1,363,0,0,291,3439,363,0 67,0,2024-09-07 09:12:11:435,91061,0.5,90693,0.6,181946,0.4,241909,2.00 67,1,2024-09-07 09:12:10:766,639380,639379,0,1,299412235453,3115595345,635088,3538,753,380,391787,1 67,2,2024-09-07 09:12:10:591,460574,460574,0,0,16756629,0,2889 67,3,2024-09-07 09:12:11:750,1,363,6,0,338,3268,363,0 68,0,2024-09-07 09:12:10:567,91437,0.6,91081,0.7,181788,0.6,242922,2.00 68,1,2024-09-07 09:12:10:574,637223,637223,0,0,298436748630,3126446338,631228,4447,1548,381,391953,0 68,2,2024-09-07 09:12:11:045,458324,458259,65,0,21953165,0,6698 68,3,2024-09-07 09:12:10:728,1,363,7,0,417,3798,363,0 69,0,2024-09-07 09:12:11:726,90360,0.8,91011,0.9,180958,0.9,240366,2.25 69,1,2024-09-07 09:12:11:044,635679,635679,0,0,298585025730,3145448939,627652,5915,2112,384,391994,0 69,2,2024-09-07 09:12:11:733,459132,459132,0,0,22406515,0,3722 69,3,2024-09-07 09:12:10:762,1,363,9,0,698,5168,363,0 70,0,2024-09-07 09:12:11:547,90337,0.8,90403,1.0,181679,0.7,241473,2.50 70,1,2024-09-07 09:12:10:802,639013,639013,0,0,299809303541,3110275418,633801,4554,658,366,391725,0 70,2,2024-09-07 09:12:11:327,457822,457822,0,0,19911049,0,4044 70,3,2024-09-07 09:12:10:749,1,363,0,0,854,3953,363,0 71,0,2024-09-07 09:12:11:356,90220,0.7,89874,0.9,180579,0.7,241469,2.50 71,1,2024-09-07 09:12:11:596,637836,637836,0,0,299466480813,3121785320,630903,6275,658,368,391738,0 71,2,2024-09-07 09:12:11:073,459612,459612,0,0,19978547,0,4042 71,3,2024-09-07 09:12:11:749,1,363,1,0,644,4729,363,0 72,0,2024-09-07 09:12:11:091,94018,0.6,91882,0.7,179259,0.6,244006,2.00 72,1,2024-09-07 09:12:11:023,637406,637406,0,0,298986528821,3129340539,628939,6762,1705,369,391819,0 72,2,2024-09-07 09:12:11:761,458972,458972,0,0,22535740,0,3983 72,3,2024-09-07 09:12:11:764,1,363,16,0,564,5500,363,0 73,0,2024-09-07 09:12:11:118,88449,0.4,90692,0.6,185502,0.4,240953,2.00 73,1,2024-09-07 09:12:10:765,638222,638222,0,0,298637184057,3101624479,633795,4097,330,367,391858,0 73,2,2024-09-07 09:12:11:739,459313,459313,0,0,21713330,0,3701 73,3,2024-09-07 09:12:10:970,1,363,1,0,274,4624,363,0 74,0,2024-09-07 09:12:11:322,91551,0.4,93828,0.6,179111,0.4,243000,2.00 74,1,2024-09-07 09:12:10:637,637945,637945,0,0,298121834621,3109274789,631772,4910,1263,381,391681,0 74,2,2024-09-07 09:12:11:000,457167,457167,0,0,20487925,0,4253 74,3,2024-09-07 09:12:11:443,1,363,2,0,522,4958,363,0 75,0,2024-09-07 09:12:11:802,91487,0.4,90986,0.6,182638,0.3,244241,2.00 75,1,2024-09-07 09:12:11:585,637228,637228,0,0,298648629836,3116361564,630804,5598,826,380,391739,0 75,2,2024-09-07 09:12:11:349,459016,459016,0,0,21157611,0,4766 75,3,2024-09-07 09:12:11:069,1,363,107,0,702,5038,363,0 76,0,2024-09-07 09:12:10:618,90355,0.6,89702,0.7,179976,0.6,240558,2.25 76,1,2024-09-07 09:12:10:806,638326,638326,0,0,298792056470,3112729322,634396,3339,591,382,391692,0 76,2,2024-09-07 09:12:11:075,461424,461423,1,0,19900762,0,5144 76,3,2024-09-07 09:12:11:147,1,363,11,0,175,3383,363,0 77,0,2024-09-07 09:12:11:707,90833,0.6,90915,0.8,181973,0.6,241587,2.00 77,1,2024-09-07 09:12:10:824,637807,637807,0,0,298976094181,3120802948,632529,4787,491,381,391869,0 77,2,2024-09-07 09:12:11:281,459682,459682,0,0,19413585,0,3890 77,3,2024-09-07 09:12:11:099,1,363,27,0,401,4011,363,0 78,0,2024-09-07 09:12:11:725,90889,0.4,90378,0.6,181522,0.4,240380,2.00 78,1,2024-09-07 09:12:10:610,638763,638763,0,0,299184670230,3110841264,632828,4790,1145,367,391670,0 78,2,2024-09-07 09:12:11:405,459605,459605,0,0,16719404,0,3855 78,3,2024-09-07 09:12:11:133,1,363,5,0,181,3282,363,0 79,0,2024-09-07 09:12:11:362,88492,0.4,90662,0.6,185462,0.3,241590,2.25 79,1,2024-09-07 09:12:10:578,640491,640491,0,0,299502019274,3098128184,635929,3927,635,368,391682,0 79,2,2024-09-07 09:12:11:074,460732,460732,0,0,17736868,0,4195 79,3,2024-09-07 09:12:10:753,1,363,3,0,418,4432,363,0 80,0,2024-09-07 09:12:11:081,90495,0.5,93257,0.6,178646,0.5,240615,2.00 80,1,2024-09-07 09:12:11:636,638794,638794,0,0,299099607741,3109094794,635136,3461,197,368,391791,0 80,2,2024-09-07 09:12:11:099,461295,461295,0,0,17210608,0,4433 80,3,2024-09-07 09:12:10:576,1,363,12,0,190,4317,363,0 81,0,2024-09-07 09:12:11:554,91105,0.5,93255,0.7,178028,0.5,241541,2.00 81,1,2024-09-07 09:12:11:651,637782,637782,0,0,298707493600,3119766685,632707,4575,500,382,391879,0 81,2,2024-09-07 09:12:11:133,458823,458760,63,0,19136393,0,5932 81,3,2024-09-07 09:12:11:122,1,363,2,0,374,4038,363,0 82,0,2024-09-07 09:12:11:532,90529,0.5,91053,0.7,182613,0.4,242301,2.00 82,1,2024-09-07 09:12:10:588,639612,639608,0,4,299382444682,3113435537,635582,3228,798,381,391768,4 82,2,2024-09-07 09:12:11:690,460694,460694,0,0,16202399,0,3986 82,3,2024-09-07 09:12:11:752,1,363,3,0,363,3523,363,0 83,0,2024-09-07 09:12:11:527,91332,0.5,91042,0.7,181729,0.4,241741,2.00 83,1,2024-09-07 09:12:10:551,637889,637889,0,0,299110313667,3115322523,633571,3937,381,382,391709,0 83,2,2024-09-07 09:12:10:770,459108,459108,0,0,16766727,0,3393 83,3,2024-09-07 09:12:10:751,1,363,0,0,1260,4918,363,0 84,0,2024-09-07 09:12:11:866,90692,0.6,90698,0.8,181043,0.5,242598,2.25 84,1,2024-09-07 09:12:11:040,637174,637174,0,0,298878413900,3121169249,630807,5570,797,367,391967,0 84,2,2024-09-07 09:12:10:571,459289,459289,0,0,21487677,0,4757 84,3,2024-09-07 09:12:11:171,1,363,8,0,908,5442,363,0 85,0,2024-09-07 09:12:11:018,87810,0.6,87796,0.8,186372,0.6,241127,2.25 85,1,2024-09-07 09:12:10:561,635521,635521,0,0,298651044634,3146949411,626791,7348,1382,381,392006,0 85,2,2024-09-07 09:12:10:869,459316,459316,0,0,21126696,0,3656 85,3,2024-09-07 09:12:10:686,1,363,3,0,789,4710,363,0 86,0,2024-09-07 09:12:10:899,90464,0.7,93000,0.8,178005,0.7,240479,2.25 86,1,2024-09-07 09:12:10:824,637547,637547,0,0,299044955880,3127633805,630534,5945,1068,366,391961,0 86,2,2024-09-07 09:12:10:869,458200,458199,1,0,22012202,0,5004 86,3,2024-09-07 09:12:10:591,1,363,23,0,308,5356,363,0 87,0,2024-09-07 09:12:11:303,90685,0.8,90542,0.9,181667,0.9,242528,2.25 87,1,2024-09-07 09:12:10:552,637392,637392,0,0,298220395852,3116767004,630878,5755,759,366,392076,0 87,2,2024-09-07 09:12:11:071,456864,456864,0,0,19754304,0,4045 87,3,2024-09-07 09:12:11:797,1,363,14,0,473,5155,363,0 88,0,2024-09-07 09:12:11:452,91133,0.4,91913,0.6,182910,0.4,243547,1.75 88,1,2024-09-07 09:12:10:569,635648,635648,0,0,298502555633,3125558289,627611,6248,1789,365,392084,0 88,2,2024-09-07 09:12:10:688,460697,460697,0,0,22101341,0,3583 88,3,2024-09-07 09:12:11:278,1,363,12,0,435,3794,363,0 89,0,2024-09-07 09:12:11:816,93535,0.5,90472,0.6,179144,0.4,243136,1.75 89,1,2024-09-07 09:12:10:550,636172,636172,0,0,298432352332,3135215474,628402,6495,1275,382,391866,0 89,2,2024-09-07 09:12:11:137,458767,458767,0,0,21073858,0,2910 89,3,2024-09-07 09:12:11:792,1,363,3,0,385,6370,363,0 90,0,2024-09-07 09:12:11:644,87771,0.5,90210,0.6,183796,0.4,239746,2.00 90,1,2024-09-07 09:12:10:604,637434,637434,0,0,298283498931,3123656378,631202,5727,505,380,391825,0 90,2,2024-09-07 09:12:11:411,457734,457734,0,0,22294465,0,3060 90,3,2024-09-07 09:12:10:933,1,363,14,0,246,3951,363,0 91,0,2024-09-07 09:12:10:934,90959,0.4,88055,0.6,183893,0.4,241257,1.75 91,1,2024-09-07 09:12:10:559,636149,636149,0,0,298598547515,3137239086,628250,6851,1048,381,392047,0 91,2,2024-09-07 09:12:11:334,458961,458961,0,0,20063146,0,2896 91,3,2024-09-07 09:12:10:601,1,363,15,0,216,3332,363,0 92,0,2024-09-07 09:12:11:530,92248,0.4,94528,0.6,180479,0.4,245039,1.75 92,1,2024-09-07 09:12:10:591,637414,637414,0,0,298541492094,3116777123,632591,4134,689,382,392136,0 92,2,2024-09-07 09:12:11:370,460710,460710,0,0,18152709,0,3259 92,3,2024-09-07 09:12:11:015,1,363,8,0,167,3071,363,0 93,0,2024-09-07 09:12:10:969,91330,0.4,93573,0.5,178662,0.3,241860,1.75 93,1,2024-09-07 09:12:10:805,637946,637946,0,0,298995118836,3118033694,631814,5100,1032,366,391776,0 93,2,2024-09-07 09:12:10:949,458959,458959,0,0,21270044,0,4845 93,3,2024-09-07 09:12:11:413,1,363,1,0,190,3439,363,0 94,0,2024-09-07 09:12:11:671,90440,0.4,91273,0.5,182268,0.3,241310,1.75 94,1,2024-09-07 09:12:10:588,637803,637803,0,0,299039495952,3118020365,633740,3872,191,381,391850,0 94,2,2024-09-07 09:12:10:763,459077,459077,0,0,18300388,0,2443 94,3,2024-09-07 09:12:11:689,1,363,9,0,264,4373,363,0 95,0,2024-09-07 09:12:11:384,90703,0.4,90764,0.5,181877,0.3,242430,1.75 95,1,2024-09-07 09:12:10:878,639355,639355,0,0,299213283495,3109359399,634326,4628,401,367,391713,0 95,2,2024-09-07 09:12:11:016,459394,459394,0,0,17968725,0,3308 95,3,2024-09-07 09:12:11:722,1,363,65,0,718,5482,363,0 96,0,2024-09-07 09:12:11:045,91677,0.3,91855,0.5,183494,0.3,243634,1.75 96,1,2024-09-07 09:12:11:592,637650,637650,0,0,299306409767,3120870924,633079,3773,798,384,391955,0 96,2,2024-09-07 09:12:11:273,459572,459572,0,0,17955573,0,4042 96,3,2024-09-07 09:12:11:153,1,363,20,0,411,3892,363,0 97,0,2024-09-07 09:12:11:341,90986,0.3,90718,0.5,182236,0.3,241641,1.50 97,1,2024-09-07 09:12:10:766,639425,639425,0,0,299920176400,3107883446,635362,3388,675,367,392140,0 97,2,2024-09-07 09:12:10:613,460656,460656,0,0,17585920,0,3036 97,3,2024-09-07 09:12:10:569,1,363,1,0,214,4126,363,0 98,0,2024-09-07 09:12:11:723,91134,0.3,91016,0.4,182716,0.2,242934,1.50 98,1,2024-09-07 09:12:10:615,638621,638621,0,0,299063701229,3108143799,635942,2572,107,382,391997,0 98,2,2024-09-07 09:12:10:768,459867,459867,0,0,17565255,0,3080 98,3,2024-09-07 09:12:10:703,1,363,1,0,840,5656,363,0 99,0,2024-09-07 09:12:11:456,90915,0.3,91472,0.5,181752,0.3,242597,1.75 99,1,2024-09-07 09:12:11:725,638511,638511,0,0,299270390862,3114051473,634125,3615,771,381,392069,0 99,2,2024-09-07 09:12:11:416,460630,460630,0,0,19925798,0,3424 99,3,2024-09-07 09:12:10:610,1,363,6,0,199,3419,363,0 100,0,2024-09-07 09:12:11:482,90934,0.7,91041,0.9,181633,0.8,242907,2.25 100,1,2024-09-07 09:12:10:551,635342,635342,0,0,297821995408,3141711739,626738,7102,1502,381,391989,0 100,2,2024-09-07 09:12:11:817,456967,456956,11,0,20331848,0,5417 100,3,2024-09-07 09:12:11:731,1,363,11,0,559,6064,363,0 101,0,2024-09-07 09:12:11:703,92826,0.7,90331,0.9,177356,0.5,243109,2.00 101,1,2024-09-07 09:12:10:550,635243,635243,0,0,298020696197,3134144721,625948,7271,2024,368,391847,0 101,2,2024-09-07 09:12:11:755,457318,457318,0,0,23917555,0,4644 101,3,2024-09-07 09:12:10:945,1,363,2,0,579,4647,363,0 102,0,2024-09-07 09:12:11:023,88652,0.7,91643,0.8,185203,0.6,242614,2.00 102,1,2024-09-07 09:12:11:157,635829,635829,0,0,298347211016,3130921524,628021,6715,1093,369,391891,0 102,2,2024-09-07 09:12:11:747,460045,459991,54,0,20076692,0,6768 102,3,2024-09-07 09:12:11:614,1,363,9,0,410,3738,363,0 103,0,2024-09-07 09:12:11:616,93641,0.6,93582,0.7,176553,0.6,242747,2.00 103,1,2024-09-07 09:12:11:632,635318,635318,0,0,297777626863,3137008051,626215,7042,2061,381,391829,0 103,2,2024-09-07 09:12:10:596,458180,458180,0,0,21050426,0,3173 103,3,2024-09-07 09:12:10:760,1,363,3,0,916,4304,363,0 104,0,2024-09-07 09:12:11:020,90138,0.7,90588,0.9,179821,0.6,242212,2.25 104,1,2024-09-07 09:12:11:635,637375,637375,0,0,298088361816,3129483195,628445,7230,1700,365,392168,0 104,2,2024-09-07 09:12:11:670,456549,456549,0,0,21684180,0,3941 104,3,2024-09-07 09:12:11:417,1,363,8,0,1245,7681,363,0 105,0,2024-09-07 09:12:11:176,90298,0.7,87897,1.0,184149,0.8,242670,2.50 105,1,2024-09-07 09:12:10:554,637736,637736,0,0,299463761221,3136416387,629570,6829,1337,366,392009,0 105,2,2024-09-07 09:12:11:335,459071,459071,0,0,21089803,0,3509 105,3,2024-09-07 09:12:11:308,1,363,30,0,399,5722,363,0 106,0,2024-09-07 09:12:10:948,87480,0.8,89614,0.9,183608,0.8,239964,2.50 106,1,2024-09-07 09:12:11:753,636466,636466,0,0,299167309981,3140477150,626864,8307,1295,369,391914,0 106,2,2024-09-07 09:12:10:776,458237,458237,0,0,20808371,0,2795 106,3,2024-09-07 09:12:10:678,1,363,1,0,470,4701,363,0 107,0,2024-09-07 09:12:11:124,90603,1.1,90710,1.0,181343,1.5,241386,2.25 107,1,2024-09-07 09:12:10:598,635688,635688,0,0,297569387432,3131089459,627693,7078,917,381,392234,0 107,2,2024-09-07 09:12:11:302,457618,457617,1,0,21280452,0,5024 107,3,2024-09-07 09:12:11:756,1,363,1,0,353,4965,363,0 108,0,2024-09-07 09:12:11:815,90216,0.4,90943,0.6,180671,0.4,240905,1.75 108,1,2024-09-07 09:12:11:296,638257,638257,0,0,299700589258,3122762516,633160,4579,518,368,391857,0 108,2,2024-09-07 09:12:11:755,457767,457767,0,0,20328187,0,4246 108,3,2024-09-07 09:12:11:330,1,363,16,0,749,7189,363,0 109,0,2024-09-07 09:12:11:839,91838,0.4,90976,0.5,182191,0.3,244131,1.75 109,1,2024-09-07 09:12:10:594,636002,636002,0,0,298651535871,3128926864,630778,4559,665,383,392132,0 109,2,2024-09-07 09:12:10:930,457662,457662,0,0,19764112,0,3617 109,3,2024-09-07 09:12:11:159,1,363,4,0,379,4218,363,0 110,0,2024-09-07 09:12:11:761,90529,0.4,88071,0.6,184538,0.3,241282,1.75 110,1,2024-09-07 09:12:11:649,639522,639522,0,0,300083694671,3110772233,635513,3030,979,369,392045,0 110,2,2024-09-07 09:12:11:303,459217,459217,0,0,19572512,0,4067 110,3,2024-09-07 09:12:10:693,1,363,1,0,722,5238,363,0 111,0,2024-09-07 09:12:11:412,91481,0.4,90724,0.6,182001,0.4,242888,1.75 111,1,2024-09-07 09:12:11:000,639885,639885,0,0,300214960286,3112479635,636502,3030,353,382,391690,0 111,2,2024-09-07 09:12:11:117,458660,458660,0,0,18859634,0,4823 111,3,2024-09-07 09:12:10:913,1,363,66,0,379,4313,363,0 112,0,2024-09-07 09:12:10:911,91763,0.3,91129,0.4,182646,0.2,243011,1.50 112,1,2024-09-07 09:12:10:830,639265,639265,0,0,300169029759,3111222403,635780,2901,584,380,391624,0 112,2,2024-09-07 09:12:11:136,459884,459883,1,0,17317161,0,5036 112,3,2024-09-07 09:12:10:600,1,363,11,0,282,3604,363,0 113,0,2024-09-07 09:12:10:872,90990,0.3,91130,0.4,182697,0.2,243095,1.50 113,1,2024-09-07 09:12:11:685,640897,640897,0,0,300840025177,3101696168,637533,2780,584,366,391661,0 113,2,2024-09-07 09:12:11:303,460252,460252,0,0,15983515,0,3813 113,3,2024-09-07 09:12:10:683,1,363,1,0,340,4115,363,0 114,0,2024-09-07 09:12:10:874,91572,0.3,92283,0.4,183343,0.2,245634,1.75 114,1,2024-09-07 09:12:10:718,639081,639081,0,0,299415667580,3108559409,633935,3552,1594,381,391556,0 114,2,2024-09-07 09:12:10:872,460203,460202,1,0,17715508,0,5069 114,3,2024-09-07 09:12:11:278,1,363,10,0,395,3040,363,0 115,0,2024-09-07 09:12:10:554,91131,0.3,91779,0.4,183104,0.2,243678,1.50 115,1,2024-09-07 09:12:10:585,638732,638732,0,0,299978922917,3113284685,633705,4187,840,382,391757,0 115,2,2024-09-07 09:12:11:125,461791,461791,0,0,16326085,0,3453 115,3,2024-09-07 09:12:11:010,1,363,0,0,159,2184,363,0 116,0,2024-09-07 09:12:11:709,90252,0.8,90071,0.9,180795,0.8,241673,2.00 116,1,2024-09-07 09:12:10:829,635313,635313,0,0,298569865370,3146358476,627260,5737,2316,380,392089,0 116,2,2024-09-07 09:12:11:762,459001,459001,0,0,21886783,0,3529 116,3,2024-09-07 09:12:10:912,1,363,16,0,415,4375,363,0 117,0,2024-09-07 09:12:10:954,91164,0.7,90732,0.8,181649,0.7,243095,2.00 117,1,2024-09-07 09:12:11:601,636542,636542,0,0,298649125846,3122839592,630104,5768,670,369,392033,0 117,2,2024-09-07 09:12:11:119,460123,460123,0,0,18209631,0,3700 117,3,2024-09-07 09:12:11:059,1,363,1,0,490,5123,363,0 118,0,2024-09-07 09:12:11:776,88583,0.5,90842,0.6,185487,0.4,242674,2.00 118,1,2024-09-07 09:12:10:590,636317,636317,0,0,298083076925,3130962342,627161,7123,2033,366,391907,0 118,2,2024-09-07 09:12:11:590,459281,459281,0,0,20341966,0,2781 118,3,2024-09-07 09:12:11:764,1,363,1,0,248,4148,363,0 119,0,2024-09-07 09:12:11:390,90502,0.6,90907,0.7,181697,0.6,241521,2.00 119,1,2024-09-07 09:12:10:565,637836,637836,0,0,298479110569,3120067484,630933,6064,839,367,391780,0 119,2,2024-09-07 09:12:11:262,459785,459785,0,0,19038775,0,4174 119,3,2024-09-07 09:12:11:336,1,363,1058,0,1058,6410,363,0 120,0,2024-09-07 09:12:11:597,90094,0.6,89807,0.8,179701,0.6,240391,2.25 120,1,2024-09-07 09:12:10:859,637145,637145,0,0,298633547814,3130782844,630659,5926,560,368,391961,0 120,2,2024-09-07 09:12:10:774,458538,458537,1,0,22136528,0,5281 120,3,2024-09-07 09:12:11:290,1,363,11,0,241,4373,363,0 121,0,2024-09-07 09:12:11:693,90249,0.9,90323,1.0,181271,1.2,240889,2.25 121,1,2024-09-07 09:12:11:674,637608,637608,0,0,298474283839,3118580063,631680,5490,438,367,391840,0 121,2,2024-09-07 09:12:11:138,457497,457497,0,0,21178502,0,4127 121,3,2024-09-07 09:12:10:727,1,363,5,0,269,4015,363,0 122,0,2024-09-07 09:12:11:846,90966,0.7,88876,0.8,186678,0.8,244793,2.00 122,1,2024-09-07 09:12:10:859,636723,636723,0,0,298242636341,3125659783,628252,7208,1263,366,392130,0 122,2,2024-09-07 09:12:11:322,459432,459359,73,0,23845796,0,5989 122,3,2024-09-07 09:12:10:594,1,363,11,0,512,6749,363,0 123,0,2024-09-07 09:12:10:951,90358,0.6,88357,0.7,184322,0.7,241302,2.00 123,1,2024-09-07 09:12:10:563,636405,636405,0,0,298628555489,3144118850,624944,9333,2128,369,392039,0 123,2,2024-09-07 09:12:11:030,457839,457838,1,0,20607812,0,5215 123,3,2024-09-07 09:12:11:132,1,363,5,0,168,3985,363,0 124,0,2024-09-07 09:12:10:956,93608,0.4,93559,0.5,176457,0.3,242183,1.75 124,1,2024-09-07 09:12:11:022,638676,638676,0,0,298421067197,3102373978,633949,3816,911,367,392178,0 124,2,2024-09-07 09:12:11:016,460448,460395,53,0,18510701,0,6487 124,3,2024-09-07 09:12:10:757,1,363,23,0,490,3612,363,0 125,0,2024-09-07 09:12:11:422,91121,0.4,90736,0.5,182398,0.3,242799,1.75 125,1,2024-09-07 09:12:10:870,637461,637461,0,0,299266351227,3121715272,632572,4243,646,382,391702,0 125,2,2024-09-07 09:12:11:142,459963,459963,0,0,19124038,0,4534 125,3,2024-09-07 09:12:11:148,1,363,9,0,709,4690,363,0 126,0,2024-09-07 09:12:11:441,91547,0.4,94100,0.6,180290,0.4,244412,1.75 126,1,2024-09-07 09:12:10:553,639340,639340,0,0,299681022938,3103037223,635591,3461,288,365,391987,0 126,2,2024-09-07 09:12:10:622,459861,459861,0,0,19274319,0,4539 126,3,2024-09-07 09:12:10:909,1,363,15,0,207,4486,363,0 127,0,2024-09-07 09:12:11:723,90870,0.3,91332,0.5,182130,0.3,241802,1.50 127,1,2024-09-07 09:12:10:569,638429,638429,0,0,299769084633,3111535600,632999,4569,861,364,392187,0 127,2,2024-09-07 09:12:10:639,458948,458948,0,0,17692625,0,3897 127,3,2024-09-07 09:12:11:269,1,363,8,0,968,4115,363,0 128,0,2024-09-07 09:12:11:523,91423,0.3,91773,0.4,183322,0.2,243220,1.50 128,1,2024-09-07 09:12:11:614,638715,638715,0,0,298745911883,3100493654,634502,3841,372,367,391838,0 128,2,2024-09-07 09:12:11:382,460579,460579,0,0,17087944,0,2915 128,3,2024-09-07 09:12:10:767,1,363,9,0,1082,5992,363,0 129,0,2024-09-07 09:12:11:004,91547,0.3,91003,0.5,182581,0.3,242745,1.50 129,1,2024-09-07 09:12:10:580,636031,636031,0,0,298747181476,3125509623,630342,4432,1257,379,391835,0 129,2,2024-09-07 09:12:10:686,460354,460354,0,0,17816739,0,4031 129,3,2024-09-07 09:12:10:692,1,363,2,0,469,4547,363,0 130,0,2024-09-07 09:12:11:718,91795,0.5,91292,0.6,183854,0.5,244642,1.75 130,1,2024-09-07 09:12:10:586,638663,638663,0,0,299344764816,3110100426,635235,3148,280,381,391825,0 130,2,2024-09-07 09:12:11:133,459602,459602,0,0,17393497,0,4067 130,3,2024-09-07 09:12:11:300,1,363,1,0,535,4767,363,0 131,0,2024-09-07 09:12:12:039,90519,0.3,91089,0.5,183153,0.3,242670,1.50 131,1,2024-09-07 09:12:11:846,638573,638573,0,0,299314989298,3121705145,634042,3783,748,381,391865,0 131,2,2024-09-07 09:12:10:570,461873,461873,0,0,16216757,0,2415 131,3,2024-09-07 09:12:11:688,1,363,15,0,392,3681,363,0 132,0,2024-09-07 09:12:11:410,91055,0.4,92004,0.6,183019,0.4,243405,1.75 132,1,2024-09-07 09:12:10:581,635513,635513,0,0,298235132565,3137288616,626758,7286,1469,381,392097,0 132,2,2024-09-07 09:12:10:698,459626,459626,0,0,21735101,0,4606 132,3,2024-09-07 09:12:11:688,1,363,77,0,804,6356,363,0 133,0,2024-09-07 09:12:11:557,88441,0.5,90514,0.6,185735,0.4,241489,1.75 133,1,2024-09-07 09:12:10:586,635577,635577,0,0,298366923826,3141865268,626992,7453,1132,383,391914,0 133,2,2024-09-07 09:12:11:090,458731,458731,0,0,22733218,0,4315 133,3,2024-09-07 09:12:11:297,1,363,4,0,479,3778,363,0 134,0,2024-09-07 09:12:10:939,91302,0.5,91150,0.7,182291,0.4,243480,2.00 134,1,2024-09-07 09:12:10:594,636539,636539,0,0,297997293549,3125105554,628178,6505,1856,366,391718,0 134,2,2024-09-07 09:12:11:767,457400,457400,0,0,18940636,0,3847 134,3,2024-09-07 09:12:10:752,1,363,11,0,739,4567,363,0 135,0,2024-09-07 09:12:11:128,88323,0.6,88345,0.8,187308,0.7,241545,2.00 135,1,2024-09-07 09:12:11:598,636952,636952,0,0,298582199621,3139400649,628442,7272,1238,380,391805,0 135,2,2024-09-07 09:12:10:687,460857,460857,0,0,20833252,0,3981 135,3,2024-09-07 09:12:11:010,1,363,7,0,299,2769,363,0 136,0,2024-09-07 09:12:11:613,90958,0.5,91212,0.7,181335,0.5,241845,2.00 136,1,2024-09-07 09:12:11:444,636559,636559,0,0,298830693503,3133442922,629526,6335,698,382,391685,0 136,2,2024-09-07 09:12:11:136,459869,459869,0,0,20348320,0,3506 136,3,2024-09-07 09:12:11:105,1,363,9,0,637,4001,363,0 137,0,2024-09-07 09:12:10:931,93478,0.6,90977,0.7,178824,0.7,242735,2.00 137,1,2024-09-07 09:12:10:576,636359,636359,0,0,298736423457,3132865145,626616,7860,1883,366,391898,0 137,2,2024-09-07 09:12:11:705,458103,458103,0,0,22682599,0,3185 137,3,2024-09-07 09:12:10:777,1,363,5,0,382,4134,363,0 138,0,2024-09-07 09:12:11:746,89936,1.2,90043,1.0,180923,1.7,239821,2.25 138,1,2024-09-07 09:12:11:694,637433,637433,0,0,298669295643,3133059494,628236,7598,1599,368,391954,0 138,2,2024-09-07 09:12:10:589,458327,458327,0,0,20273721,0,4988 138,3,2024-09-07 09:12:10:611,1,363,3,0,1160,4921,363,0 139,0,2024-09-07 09:12:11:400,90350,0.9,90615,1.0,181392,1.3,242187,2.25 139,1,2024-09-07 09:12:10:576,634478,634478,0,0,296832531135,3148950024,623076,8754,2648,381,392058,0 139,2,2024-09-07 09:12:10:701,456742,456742,0,0,22226345,0,3097 139,3,2024-09-07 09:12:11:667,1,363,1,0,257,4118,363,0 140,0,2024-09-07 09:12:11:592,90869,0.3,90433,0.5,181544,0.2,241430,1.75 140,1,2024-09-07 09:12:11:536,640613,640613,0,0,299533343563,3087612054,637392,2782,439,365,391606,0 140,2,2024-09-07 09:12:10:687,459575,459574,1,0,18175751,0,5036 140,3,2024-09-07 09:12:10:767,1,363,13,0,247,2878,363,0 141,0,2024-09-07 09:12:11:722,91328,0.3,93738,0.5,179034,0.3,242892,1.75 141,1,2024-09-07 09:12:10:859,639233,639233,0,0,299685371611,3111031625,634667,3704,862,379,391614,0 141,2,2024-09-07 09:12:11:694,459539,459539,0,0,17879981,0,3360 141,3,2024-09-07 09:12:11:043,1,363,4,0,391,4044,363,0 142,0,2024-09-07 09:12:11:311,91851,0.3,91187,0.5,182226,0.3,243563,1.75 142,1,2024-09-07 09:12:10:608,638673,638673,0,0,298607528397,3111332533,634700,3621,352,382,392102,0 142,2,2024-09-07 09:12:11:299,459179,459147,32,0,19210981,0,6028 142,3,2024-09-07 09:12:11:747,1,363,1,0,484,4289,363,0 143,0,2024-09-07 09:12:11:384,91242,0.4,91104,0.5,182589,0.4,243293,1.75 143,1,2024-09-07 09:12:10:561,639474,639474,0,0,299724114126,3106840342,635684,3637,153,367,391705,0 143,2,2024-09-07 09:12:10:769,459190,459190,0,0,18598704,0,3123 143,3,2024-09-07 09:12:11:141,1,363,19,0,303,4320,363,0 144,0,2024-09-07 09:12:11:815,88422,0.5,90785,0.7,185183,0.5,243198,2.00 144,1,2024-09-07 09:12:10:571,636234,636234,0,0,298340685937,3123722683,631331,3962,941,381,391649,0 144,2,2024-09-07 09:12:11:826,460758,460758,0,0,17044585,0,3473 144,3,2024-09-07 09:12:11:796,1,363,53,0,249,3575,363,0 145,0,2024-09-07 09:12:11:412,88231,0.6,88222,0.8,186993,0.5,240996,2.25 145,1,2024-09-07 09:12:10:564,636006,636006,0,0,298562759375,3135846610,628587,6276,1143,382,391759,0 145,2,2024-09-07 09:12:11:439,458746,458746,0,0,20692386,0,3903 145,3,2024-09-07 09:12:10:896,1,363,1,0,622,5396,363,0 146,0,2024-09-07 09:12:11:596,90583,0.6,89967,0.7,181334,0.5,240353,2.25 146,1,2024-09-07 09:12:11:587,637168,637168,0,0,298607958832,3136866492,627276,7881,2011,368,391770,0 146,2,2024-09-07 09:12:11:699,458362,458362,0,0,20393808,0,2730 146,3,2024-09-07 09:12:11:274,1,363,3,0,1520,7312,363,0 147,0,2024-09-07 09:12:11:715,91146,0.5,90845,0.7,181008,0.5,242519,2.00 147,1,2024-09-07 09:12:11:381,638916,638916,0,0,299805289622,3116501692,633242,4873,801,368,391791,0 147,2,2024-09-07 09:12:11:010,457864,457864,0,0,18051478,0,2789 147,3,2024-09-07 09:12:10:913,1,363,52,0,730,5178,363,0 0,0,2024-09-07 09:12:21:726,88013,0.6,87960,0.7,186761,0.7,241049,2.00 0,1,2024-09-07 09:12:20:801,639300,639300,0,0,299529055222,3129925538,635104,3950,246,369,391896,0 0,2,2024-09-07 09:12:21:067,461470,461470,0,0,18224617,0,4480 0,3,2024-09-07 09:12:20:977,1,364,13,0,431,5163,364,0 1,0,2024-09-07 09:12:21:767,90692,0.7,90216,0.9,180984,0.9,241490,2.00 1,1,2024-09-07 09:12:20:598,638448,638448,0,0,299866546847,3137100337,632785,4434,1229,370,391857,0 1,2,2024-09-07 09:12:20:641,458257,458257,0,0,17524237,0,3267 1,3,2024-09-07 09:12:21:305,1,364,1,0,262,4129,364,0 2,0,2024-09-07 09:12:21:568,91940,0.5,92122,0.7,183262,0.6,245905,2.00 2,1,2024-09-07 09:12:20:861,640496,640496,0,0,300430723026,3116988912,637588,2615,293,380,391745,0 2,2,2024-09-07 09:12:21:273,461766,461766,0,0,17304023,0,3594 2,3,2024-09-07 09:12:20:693,1,364,1,0,357,3743,364,0 3,0,2024-09-07 09:12:21:778,90845,0.4,90689,0.6,181225,0.4,241252,2.00 3,1,2024-09-07 09:12:21:618,639063,639063,0,0,299287815395,3114332088,633872,4567,624,380,391591,0 3,2,2024-09-07 09:12:21:143,460959,460936,23,0,17743656,0,5851 3,3,2024-09-07 09:12:21:756,1,364,16,0,207,2276,364,0 4,0,2024-09-07 09:12:21:797,88298,0.4,90701,0.5,184797,0.3,241465,1.75 4,1,2024-09-07 09:12:20:617,637312,637312,0,0,298959328107,3149385618,628883,6857,1572,370,391992,0 4,2,2024-09-07 09:12:21:029,458703,458703,0,0,21007774,0,4528 4,3,2024-09-07 09:12:21:029,1,364,12,0,448,4971,364,0 5,0,2024-09-07 09:12:21:386,91038,0.4,91507,0.6,182525,0.4,242709,1.75 5,1,2024-09-07 09:12:20:758,638359,638359,0,0,299542446315,3147468731,630581,6310,1468,367,392005,0 5,2,2024-09-07 09:12:21:834,458507,458507,0,0,19860217,0,2432 5,3,2024-09-07 09:12:21:743,1,364,4,0,457,5074,364,0 6,0,2024-09-07 09:12:20:931,92375,0.4,91821,0.6,183458,0.4,245416,1.75 6,1,2024-09-07 09:12:20:749,638936,638936,0,0,299712153610,3128797208,632270,5581,1085,379,391694,0 6,2,2024-09-07 09:12:21:116,460113,460113,0,0,19335410,0,4816 6,3,2024-09-07 09:12:21:274,1,364,1,0,340,3795,364,0 7,0,2024-09-07 09:12:21:533,90512,0.5,91093,0.6,181422,0.5,241118,2.00 7,1,2024-09-07 09:12:20:850,637981,637981,0,0,299790372328,3145352280,629472,7321,1188,382,391747,0 7,2,2024-09-07 09:12:20:770,461313,461313,0,0,19399523,0,4791 7,3,2024-09-07 09:12:20:851,1,364,4,0,398,3895,364,0 8,0,2024-09-07 09:12:21:333,91601,0.4,91361,0.5,182954,0.3,243880,1.75 8,1,2024-09-07 09:12:21:016,636537,636537,0,0,299801543922,3152263870,626101,8105,2331,366,392144,0 8,2,2024-09-07 09:12:20:792,457243,457243,0,0,23353831,0,3220 8,3,2024-09-07 09:12:20:591,1,364,13,0,538,6148,364,0 9,0,2024-09-07 09:12:21:105,91343,0.4,88660,0.5,185541,0.3,242930,1.75 9,1,2024-09-07 09:12:20:555,637727,637727,0,0,298806694091,3146117310,628622,6979,2126,369,392001,0 9,2,2024-09-07 09:12:21:084,460222,460222,0,0,20874389,0,3360 9,3,2024-09-07 09:12:21:763,1,364,7,0,496,5245,364,0 10,0,2024-09-07 09:12:21:612,91878,0.3,91236,0.5,183217,0.2,244579,1.75 10,1,2024-09-07 09:12:20:585,638485,638485,0,0,299125584087,3129592782,630598,6777,1110,381,391741,0 10,2,2024-09-07 09:12:20:762,459381,459381,0,0,22329772,0,4264 10,3,2024-09-07 09:12:20:871,1,364,1,0,296,3256,364,0 11,0,2024-09-07 09:12:21:021,90752,0.4,88116,0.6,184281,0.3,243329,1.75 11,1,2024-09-07 09:12:20:579,639386,639386,0,0,299468212064,3142210235,629331,7607,2448,383,391756,0 11,2,2024-09-07 09:12:21:123,460456,460456,0,0,20108887,0,4130 11,3,2024-09-07 09:12:21:305,1,364,3,0,843,5427,364,0 12,0,2024-09-07 09:12:20:977,92116,0.4,92143,0.5,183988,0.3,244516,1.75 12,1,2024-09-07 09:12:20:952,638941,638941,0,0,299797646814,3123784910,634058,4389,494,370,391870,0 12,2,2024-09-07 09:12:21:544,461885,461885,0,0,19840802,0,3469 12,3,2024-09-07 09:12:21:059,1,364,10,0,386,5741,364,0 13,0,2024-09-07 09:12:21:332,91592,0.4,91247,0.6,182247,0.4,242788,1.75 13,1,2024-09-07 09:12:21:541,637880,637880,0,0,299303572301,3143471287,631962,4507,1411,382,391740,0 13,2,2024-09-07 09:12:20:596,461710,461710,0,0,18295926,0,3287 13,3,2024-09-07 09:12:21:764,1,364,9,0,522,5715,364,0 14,0,2024-09-07 09:12:20:563,91447,0.4,92300,0.6,182769,0.3,243888,1.75 14,1,2024-09-07 09:12:21:569,642371,642371,0,0,301364718700,3117791658,637681,4331,359,364,391571,0 14,2,2024-09-07 09:12:20:764,458490,458460,30,0,19652426,0,6104 14,3,2024-09-07 09:12:21:115,1,364,1,0,1168,4246,364,0 15,0,2024-09-07 09:12:21:560,91563,0.4,91595,0.6,183497,0.3,244433,1.75 15,1,2024-09-07 09:12:21:617,639637,639637,0,0,299862385367,3119346062,635290,3524,823,381,391619,0 15,2,2024-09-07 09:12:20:998,462866,462866,0,0,16416424,0,3622 15,3,2024-09-07 09:12:21:405,1,364,11,0,1126,6430,364,0 16,0,2024-09-07 09:12:20:937,90782,0.6,91128,0.7,181985,0.5,241879,2.00 16,1,2024-09-07 09:12:20:616,640315,640315,0,0,300191509676,3131105714,635983,3969,363,370,391756,0 16,2,2024-09-07 09:12:21:447,460814,460814,0,0,18988337,0,4719 16,3,2024-09-07 09:12:21:142,1,364,10,0,317,4819,364,0 17,0,2024-09-07 09:12:21:782,93590,0.6,91314,0.8,178840,0.7,242571,2.00 17,1,2024-09-07 09:12:20:569,637922,637922,0,0,299682920611,3141735246,631613,5049,1260,368,392075,0 17,2,2024-09-07 09:12:21:669,462679,462679,0,0,18645810,0,2857 17,3,2024-09-07 09:12:20:574,1,364,44,0,298,5852,364,0 18,0,2024-09-07 09:12:20:941,89550,0.6,89960,0.8,179431,0.6,238983,2.25 18,1,2024-09-07 09:12:21:642,640844,640844,0,0,300289575430,3110576932,637298,3229,317,367,391649,0 18,2,2024-09-07 09:12:21:757,461844,461844,0,0,17150008,0,3541 18,3,2024-09-07 09:12:20:896,1,364,29,0,163,3051,364,0 19,0,2024-09-07 09:12:21:539,91312,0.5,91949,0.7,182100,0.5,242820,2.00 19,1,2024-09-07 09:12:20:575,640927,640927,0,0,300735286006,3117553095,635733,4355,839,367,391777,0 19,2,2024-09-07 09:12:21:752,462571,462571,0,0,15770847,0,3988 19,3,2024-09-07 09:12:21:129,1,364,1,0,524,2750,364,0 20,0,2024-09-07 09:12:21:411,90617,0.5,90632,0.6,181163,0.4,241441,2.00 20,1,2024-09-07 09:12:20:577,638666,638666,0,0,300050116426,3131748445,634052,4196,418,369,391886,0 20,2,2024-09-07 09:12:20:929,460748,460748,0,0,19198811,0,3721 20,3,2024-09-07 09:12:20:594,1,364,28,0,414,5595,364,0 21,0,2024-09-07 09:12:21:145,91356,0.5,91434,0.6,182505,0.5,242359,2.00 21,1,2024-09-07 09:12:21:549,637511,637511,0,0,297764659250,3136080692,628919,6643,1949,368,392016,0 21,2,2024-09-07 09:12:21:070,458395,458395,0,0,22604558,0,3747 21,3,2024-09-07 09:12:21:406,1,364,11,0,713,4752,364,0 22,0,2024-09-07 09:12:21:716,91105,0.5,91375,0.7,183080,0.5,242590,2.00 22,1,2024-09-07 09:12:21:025,638740,638740,0,0,298853764552,3137044696,629951,6936,1853,382,391667,0 22,2,2024-09-07 09:12:20:760,459329,459329,0,0,18169308,0,3134 22,3,2024-09-07 09:12:21:066,1,364,126,0,228,3139,364,0 23,0,2024-09-07 09:12:21:368,90885,0.5,90778,0.7,182152,0.4,242596,2.25 23,1,2024-09-07 09:12:21:003,639068,639068,0,0,300386261798,3153385607,627859,7716,3493,365,391690,0 23,2,2024-09-07 09:12:21:092,461297,461297,0,0,18024678,0,3010 23,3,2024-09-07 09:12:21:757,1,364,4,0,720,4868,364,0 24,0,2024-09-07 09:12:20:857,92358,0.4,92243,0.5,184932,0.4,246049,1.75 24,1,2024-09-07 09:12:20:619,638128,638128,0,0,298914104489,3133577715,630962,5616,1550,367,392269,0 24,2,2024-09-07 09:12:21:070,459782,459782,0,0,21933896,0,3607 24,3,2024-09-07 09:12:21:686,1,364,53,0,468,4774,364,0 25,0,2024-09-07 09:12:21:343,93950,0.4,91561,0.6,179424,0.4,244793,2.00 25,1,2024-09-07 09:12:20:594,638923,638923,0,0,299087391799,3139460954,630384,7056,1483,371,391928,0 25,2,2024-09-07 09:12:21:609,460194,460194,0,0,22151207,0,3978 25,3,2024-09-07 09:12:21:000,1,364,7,0,255,3517,364,0 26,0,2024-09-07 09:12:21:721,90524,0.4,88350,0.6,185448,0.3,241329,1.75 26,1,2024-09-07 09:12:21:542,639363,639363,0,0,299739726970,3137058865,630394,7433,1536,380,391748,0 26,2,2024-09-07 09:12:20:861,460979,460979,0,0,22061600,0,2809 26,3,2024-09-07 09:12:21:712,1,364,3,0,796,4540,364,0 27,0,2024-09-07 09:12:21:725,91498,0.4,91677,0.6,181787,0.3,242784,2.00 27,1,2024-09-07 09:12:21:680,641412,641412,0,0,300921762199,3129098780,636101,4545,766,381,391626,0 27,2,2024-09-07 09:12:20:867,456317,456252,65,0,21249976,0,5699 27,3,2024-09-07 09:12:21:015,1,364,2,0,564,3702,364,0 28,0,2024-09-07 09:12:21:388,91791,0.4,91551,0.6,183106,0.3,244903,1.75 28,1,2024-09-07 09:12:20:799,640443,640443,0,0,300228633939,3125715565,635938,3800,705,383,391698,0 28,2,2024-09-07 09:12:21:766,461440,461440,0,0,19039929,0,2915 28,3,2024-09-07 09:12:21:776,1,364,9,0,502,4012,364,0 29,0,2024-09-07 09:12:21:378,93548,0.4,91097,0.6,178744,0.3,243395,1.75 29,1,2024-09-07 09:12:21:563,641638,641638,0,0,300724215205,3111555410,637295,3658,685,369,391753,0 29,2,2024-09-07 09:12:20:874,460241,460241,0,0,18315501,0,4986 29,3,2024-09-07 09:12:20:971,1,364,1,0,459,4423,364,0 30,0,2024-09-07 09:12:21:460,90226,0.5,87710,0.7,183539,0.5,240008,2.00 30,1,2024-09-07 09:12:20:606,641466,641466,0,0,301115000607,3124206002,636646,4110,710,381,391672,0 30,2,2024-09-07 09:12:21:274,461140,461140,0,0,17279337,0,3161 30,3,2024-09-07 09:12:20:589,1,364,8,0,519,3633,364,0 31,0,2024-09-07 09:12:21:756,90219,0.4,90734,0.6,181248,0.3,241364,1.75 31,1,2024-09-07 09:12:20:597,643551,643551,0,0,301275587753,3087578623,641003,1989,559,356,391712,0 31,2,2024-09-07 09:12:21:274,459022,459022,0,0,18958884,0,3525 31,3,2024-09-07 09:12:21:706,1,364,8,0,220,3103,364,0 32,0,2024-09-07 09:12:21:420,91952,0.3,92403,0.5,184601,0.2,246202,1.50 32,1,2024-09-07 09:12:20:804,640644,640644,0,0,300208630266,3116729468,637182,2994,468,381,391646,0 32,2,2024-09-07 09:12:20:935,462112,462112,0,0,16226134,0,3155 32,3,2024-09-07 09:12:21:014,1,364,9,0,227,2525,364,0 33,0,2024-09-07 09:12:21:496,90997,0.3,90505,0.4,181621,0.2,241577,1.50 33,1,2024-09-07 09:12:20:586,641985,641985,0,0,300789545742,3112491515,637519,3635,831,369,391730,0 33,2,2024-09-07 09:12:20:759,461823,461788,35,0,18437319,0,7012 33,3,2024-09-07 09:12:20:895,1,364,1,0,329,3638,364,0 34,0,2024-09-07 09:12:20:932,91100,0.3,93733,0.4,179300,0.2,240791,1.75 34,1,2024-09-07 09:12:21:044,643056,643056,0,0,301751839224,3100071949,641628,1419,9,367,391562,0 34,2,2024-09-07 09:12:20:766,460807,460807,0,0,17578892,0,3577 34,3,2024-09-07 09:12:21:689,1,364,1,0,299,2561,364,0 35,0,2024-09-07 09:12:20:862,90293,0.3,90697,0.5,182570,0.3,243175,1.75 35,1,2024-09-07 09:12:21:070,640851,640851,0,0,300982528870,3116426641,637188,2882,781,382,391769,0 35,2,2024-09-07 09:12:21:584,460387,460387,0,0,17902376,0,2653 35,3,2024-09-07 09:12:20:907,1,364,4,0,418,4313,364,0 36,0,2024-09-07 09:12:21:519,92240,0.4,92205,0.6,184505,0.4,245202,2.00 36,1,2024-09-07 09:12:20:592,640198,640198,0,0,299695560747,3127297312,632509,6004,1685,366,391759,0 36,2,2024-09-07 09:12:21:751,461226,461226,0,0,20153054,0,3875 36,3,2024-09-07 09:12:20:863,1,364,9,0,416,5451,364,0 37,0,2024-09-07 09:12:21:373,90616,0.5,90502,0.6,181280,0.4,241481,2.00 37,1,2024-09-07 09:12:20:571,639291,639284,0,7,299340189852,3124473004,632221,4964,2099,365,391570,0 37,2,2024-09-07 09:12:21:141,459381,459366,15,0,20173222,0,5815 37,3,2024-09-07 09:12:21:774,1,364,1,0,888,5681,364,0 38,0,2024-09-07 09:12:21:443,90813,0.5,88205,0.7,184670,0.4,241766,2.00 38,1,2024-09-07 09:12:21:611,640880,640880,0,0,300746968591,3128221206,634548,5561,771,368,391821,0 38,2,2024-09-07 09:12:20:760,460251,460204,47,0,20233943,0,6710 38,3,2024-09-07 09:12:20:997,1,364,1,0,689,4995,364,0 39,0,2024-09-07 09:12:21:760,92884,0.6,90943,0.7,177055,0.5,241950,2.00 39,1,2024-09-07 09:12:20:725,639441,639441,0,0,300460867651,3142056594,630388,7363,1690,365,391658,0 39,2,2024-09-07 09:12:21:425,460718,460718,0,0,18404335,0,2689 39,3,2024-09-07 09:12:20:721,1,364,0,0,324,4417,364,0 40,0,2024-09-07 09:12:21:489,90789,0.7,91551,0.9,182579,0.8,243373,2.75 40,1,2024-09-07 09:12:20:608,640369,640369,0,0,299022067854,3126838073,631707,7151,1511,368,391668,0 40,2,2024-09-07 09:12:21:306,458636,458635,1,0,21649401,0,5137 40,3,2024-09-07 09:12:21:142,1,364,9,0,1028,5160,364,0 41,0,2024-09-07 09:12:21:023,90521,1.1,92724,1.1,176830,1.5,240464,2.75 41,1,2024-09-07 09:12:20:778,638828,638828,0,0,299570397271,3129452684,631597,6526,705,369,391742,0 41,2,2024-09-07 09:12:20:758,459749,459749,0,0,20536855,0,3356 41,3,2024-09-07 09:12:21:675,1,364,22,0,366,3621,364,0 42,0,2024-09-07 09:12:21:476,90559,0.9,90671,1.0,181625,1.0,240001,2.75 42,1,2024-09-07 09:12:21:442,637977,637977,0,0,298845552534,3136464668,628338,7881,1758,380,391675,0 42,2,2024-09-07 09:12:21:135,460407,460407,0,0,21205918,0,3790 42,3,2024-09-07 09:12:21:009,1,364,1,0,446,3132,364,0 43,0,2024-09-07 09:12:20:931,89736,0.9,87457,1.0,183237,1.0,239991,2.50 43,1,2024-09-07 09:12:20:575,639629,639629,0,0,301180454052,3140995995,631391,6800,1438,366,391696,0 43,2,2024-09-07 09:12:21:739,460525,460525,0,0,20688370,0,3812 43,3,2024-09-07 09:12:21:757,1,364,2,0,467,4357,364,0 44,0,2024-09-07 09:12:20:880,91487,0.4,91847,0.6,183358,0.4,244147,1.75 44,1,2024-09-07 09:12:20:564,641058,641058,0,0,300200442153,3101170403,635963,4048,1047,356,391809,0 44,2,2024-09-07 09:12:21:269,458046,458046,0,0,17184707,0,4344 44,3,2024-09-07 09:12:21:093,1,364,8,0,817,4585,364,0 45,0,2024-09-07 09:12:21:796,90624,0.4,88421,0.7,185574,0.4,244524,2.00 45,1,2024-09-07 09:12:21:010,640607,640607,0,0,300451416340,3119312973,636168,3963,476,382,391917,0 45,2,2024-09-07 09:12:21:269,461897,461897,0,0,17780918,0,3596 45,3,2024-09-07 09:12:20:933,1,364,8,0,271,3419,364,0 46,0,2024-09-07 09:12:20:949,90217,0.5,90203,0.7,180430,0.5,239497,2.00 46,1,2024-09-07 09:12:20:575,641963,641963,0,0,301722505370,3113301032,638245,3292,426,366,391572,0 46,2,2024-09-07 09:12:20:597,461350,461350,0,0,16968923,0,2920 46,3,2024-09-07 09:12:21:134,1,364,2,0,908,5119,364,0 47,0,2024-09-07 09:12:21:101,91145,0.5,91438,0.6,183251,0.4,242005,2.00 47,1,2024-09-07 09:12:20:567,642870,642870,0,0,300297895524,3103832503,638382,3673,815,366,391641,0 47,2,2024-09-07 09:12:20:908,462015,462015,0,0,17517250,0,4477 47,3,2024-09-07 09:12:21:115,1,364,1,0,529,3946,364,0 48,0,2024-09-07 09:12:21:495,91035,0.3,90666,0.4,180914,0.2,241142,1.50 48,1,2024-09-07 09:12:21:025,640794,640794,0,0,300839765247,3123750551,636738,3603,453,384,391710,0 48,2,2024-09-07 09:12:20:704,459654,459654,0,0,15930272,0,3031 48,3,2024-09-07 09:12:20:753,1,364,14,0,339,3001,364,0 49,0,2024-09-07 09:12:21:719,94113,0.3,92594,0.5,179039,0.2,245623,1.75 49,1,2024-09-07 09:12:21:025,640366,640366,0,0,300204864154,3123151597,635937,3244,1185,382,391809,0 49,2,2024-09-07 09:12:21:802,461985,461985,0,0,17457534,0,4426 49,3,2024-09-07 09:12:21:424,1,364,0,0,408,3667,364,0 50,0,2024-09-07 09:12:21:508,91170,0.3,89724,0.4,181077,0.2,241078,1.75 50,1,2024-09-07 09:12:21:015,642446,642446,0,0,301181322161,3111414622,638561,3511,374,368,391565,0 50,2,2024-09-07 09:12:21:067,460812,460812,0,0,16259346,0,2263 50,3,2024-09-07 09:12:21:293,1,364,1,0,335,3371,364,0 51,0,2024-09-07 09:12:21:684,93701,0.3,91591,0.5,178649,0.2,243415,1.75 51,1,2024-09-07 09:12:21:687,642464,642464,0,0,301744306267,3110197371,639200,2288,976,365,391706,0 51,2,2024-09-07 09:12:21:324,460760,460760,0,0,15750205,0,3337 51,3,2024-09-07 09:12:21:028,1,364,0,0,678,2660,364,0 52,0,2024-09-07 09:12:21:442,91566,0.5,91565,0.7,182954,0.4,243284,2.00 52,1,2024-09-07 09:12:20:575,639677,639677,0,0,299926804177,3137611274,630840,7523,1314,368,391722,0 52,2,2024-09-07 09:12:21:757,457204,457166,38,0,21028167,0,6742 52,3,2024-09-07 09:12:20:675,1,364,9,0,1782,5320,364,0 53,0,2024-09-07 09:12:21:760,90767,0.6,88193,0.8,184597,0.6,242071,2.25 53,1,2024-09-07 09:12:20:771,638405,638405,0,0,299541005349,3139225628,628775,7046,2584,367,391702,0 53,2,2024-09-07 09:12:21:311,460935,460935,0,0,17830900,0,2727 53,3,2024-09-07 09:12:20:697,1,364,6,0,308,3291,364,0 54,0,2024-09-07 09:12:21:619,90340,0.6,90879,0.8,180405,0.4,241624,2.25 54,1,2024-09-07 09:12:20:586,640029,640029,0,0,300587405672,3120538039,634148,5262,619,366,391659,0 54,2,2024-09-07 09:12:20:864,461152,461146,6,0,20440059,0,5382 54,3,2024-09-07 09:12:20:763,1,364,1,0,676,5304,364,0 55,0,2024-09-07 09:12:21:778,88430,0.6,91445,0.7,184595,0.5,240810,2.50 55,1,2024-09-07 09:12:20:764,640201,640201,0,0,299861735666,3116355546,633549,5743,909,365,391731,0 55,2,2024-09-07 09:12:20:730,460365,460365,0,0,19754623,0,3563 55,3,2024-09-07 09:12:20:674,1,364,51,0,304,3926,364,0 56,0,2024-09-07 09:12:21:572,92642,1.1,87351,1.1,180132,1.5,241052,2.50 56,1,2024-09-07 09:12:20:587,637321,637321,0,0,299057242199,3151933644,628518,7171,1632,381,391867,0 56,2,2024-09-07 09:12:21:307,460572,460572,0,0,20625800,0,3567 56,3,2024-09-07 09:12:21:059,1,364,13,0,705,4584,364,0 57,0,2024-09-07 09:12:20:951,90127,1.2,90066,1.1,180295,1.7,241339,2.75 57,1,2024-09-07 09:12:20:986,639171,639171,0,0,300113383494,3140028885,632296,6155,720,366,392032,0 57,2,2024-09-07 09:12:21:329,459209,459209,0,0,22166402,0,3317 57,3,2024-09-07 09:12:21:745,1,364,29,0,359,4134,364,0 58,0,2024-09-07 09:12:20:555,90376,0.7,87833,0.9,183835,0.7,241611,2.50 58,1,2024-09-07 09:12:20:598,639733,639730,0,3,300118338483,3133567435,631487,7161,1082,367,391603,3 58,2,2024-09-07 09:12:21:071,460657,460657,0,0,20410514,0,2549 58,3,2024-09-07 09:12:21:068,1,364,1,0,1043,4097,364,0 59,0,2024-09-07 09:12:21:780,90760,0.8,90353,0.9,180531,0.8,239928,2.50 59,1,2024-09-07 09:12:20:814,639016,639016,0,0,300143229488,3137332142,631115,6378,1523,369,391586,0 59,2,2024-09-07 09:12:20:597,461557,461557,0,0,20223653,0,2867 59,3,2024-09-07 09:12:21:743,1,364,0,0,1015,4427,364,0 60,0,2024-09-07 09:12:21:716,90490,0.5,90499,0.7,181739,0.5,240911,1.75 60,1,2024-09-07 09:12:20:772,641331,641331,0,0,300865792342,3121157263,637193,3489,649,370,392031,0 60,2,2024-09-07 09:12:21:145,460661,460661,0,0,19602205,0,3811 60,3,2024-09-07 09:12:21:260,1,364,9,0,409,4250,364,0 61,0,2024-09-07 09:12:21:573,90302,0.6,91002,0.7,180996,0.6,241115,2.00 61,1,2024-09-07 09:12:20:790,639389,639389,0,0,300157647219,3143130411,632170,5893,1326,382,392127,0 61,2,2024-09-07 09:12:21:140,459049,458982,67,0,19840381,0,6411 61,3,2024-09-07 09:12:21:688,1,364,20,0,479,5196,364,0 62,0,2024-09-07 09:12:21:781,92566,0.5,94728,0.6,180667,0.4,246117,1.75 62,1,2024-09-07 09:12:21:111,643843,643837,0,6,301183527398,3106047668,640255,3323,259,365,391715,6 62,2,2024-09-07 09:12:21:647,460237,460236,1,0,19393694,0,5555 62,3,2024-09-07 09:12:21:143,1,364,1,0,465,2714,364,0 63,0,2024-09-07 09:12:21:456,91157,0.4,90927,0.6,182277,0.3,241945,1.75 63,1,2024-09-07 09:12:20:803,641649,641643,0,6,300957486370,3121513286,637706,3549,388,381,391800,6 63,2,2024-09-07 09:12:20:762,461019,461019,0,0,17862369,0,4369 63,3,2024-09-07 09:12:21:738,1,364,7,0,667,3915,364,0 64,0,2024-09-07 09:12:21:549,90355,0.5,90403,0.7,180864,0.5,239903,2.00 64,1,2024-09-07 09:12:20:752,640810,640810,0,0,300217097048,3125495947,635157,3993,1660,370,391783,0 64,2,2024-09-07 09:12:21:153,463222,463203,19,0,17592554,0,6121 64,3,2024-09-07 09:12:21:146,1,364,12,0,265,3299,364,0 65,0,2024-09-07 09:12:21:676,90183,0.6,90457,0.8,180762,0.6,241067,2.00 65,1,2024-09-07 09:12:20:862,639056,639056,0,0,298984709078,3121993306,634875,3730,451,382,391901,0 65,2,2024-09-07 09:12:21:698,461023,461023,0,0,19427195,0,3367 65,3,2024-09-07 09:12:21:689,1,364,347,0,347,3777,364,0 66,0,2024-09-07 09:12:21:773,91635,0.5,91592,0.7,183221,0.4,244089,2.00 66,1,2024-09-07 09:12:21:294,640868,640868,0,0,300278356860,3119732414,637213,3332,323,380,391653,0 66,2,2024-09-07 09:12:21:132,462449,462449,0,0,17376620,0,4956 66,3,2024-09-07 09:12:21:079,1,364,1,0,291,3440,364,0 67,0,2024-09-07 09:12:21:414,91183,0.5,90814,0.6,182195,0.4,242237,2.00 67,1,2024-09-07 09:12:20:778,641032,641031,0,1,300306532196,3125780822,636578,3669,784,380,391787,1 67,2,2024-09-07 09:12:20:585,461798,461798,0,0,16847380,0,2889 67,3,2024-09-07 09:12:21:758,1,364,7,0,338,3275,364,0 68,0,2024-09-07 09:12:20:641,91738,0.6,91376,0.7,182340,0.6,243612,2.00 68,1,2024-09-07 09:12:20:580,638984,638984,0,0,299159432406,3134158876,632988,4448,1548,381,391953,0 68,2,2024-09-07 09:12:21:044,459255,459190,65,0,22292061,0,6698 68,3,2024-09-07 09:12:20:729,1,364,8,0,417,3806,364,0 69,0,2024-09-07 09:12:21:774,90552,0.8,91220,0.9,181377,0.9,240988,2.25 69,1,2024-09-07 09:12:21:018,637360,637360,0,0,299357466239,3154848979,629015,6187,2158,384,391994,0 69,2,2024-09-07 09:12:21:753,460476,460476,0,0,22559165,0,3722 69,3,2024-09-07 09:12:20:760,1,364,1,0,698,5169,364,0 70,0,2024-09-07 09:12:21:538,90755,0.9,90851,1.0,182546,0.8,242640,2.50 70,1,2024-09-07 09:12:20:810,640491,640491,0,0,300412596246,3116747155,635275,4558,658,366,391725,0 70,2,2024-09-07 09:12:21:324,458916,458916,0,0,20028849,0,4044 70,3,2024-09-07 09:12:20:747,1,364,287,0,854,4240,364,0 71,0,2024-09-07 09:12:21:357,90487,0.7,90152,0.9,181081,0.7,242019,2.50 71,1,2024-09-07 09:12:21:609,639491,639491,0,0,300469422342,3132467339,632517,6316,658,368,391738,0 71,2,2024-09-07 09:12:21:067,460778,460778,0,0,20069624,0,4042 71,3,2024-09-07 09:12:21:750,1,364,13,0,644,4742,364,0 72,0,2024-09-07 09:12:21:028,94381,0.6,92230,0.7,179941,0.6,244904,2.00 72,1,2024-09-07 09:12:21:033,639148,639148,0,0,299691043451,3136615389,630681,6762,1705,369,391819,0 72,2,2024-09-07 09:12:21:758,460491,460491,0,0,22602800,0,3983 72,3,2024-09-07 09:12:21:757,1,364,79,0,564,5579,364,0 73,0,2024-09-07 09:12:21:117,88607,0.4,90869,0.6,185863,0.4,241433,2.00 73,1,2024-09-07 09:12:20:769,640095,640095,0,0,299578375574,3111326072,635668,4097,330,367,391858,0 73,2,2024-09-07 09:12:21:755,460774,460774,0,0,21764988,0,3701 73,3,2024-09-07 09:12:20:973,1,364,486,0,486,5110,364,0 74,0,2024-09-07 09:12:21:327,91902,0.4,94191,0.6,179794,0.4,243904,2.00 74,1,2024-09-07 09:12:20:634,639631,639631,0,0,299153629859,3119899010,633458,4910,1263,381,391681,0 74,2,2024-09-07 09:12:21:002,457965,457965,0,0,20518817,0,4253 74,3,2024-09-07 09:12:21:447,1,364,30,0,522,4988,364,0 75,0,2024-09-07 09:12:21:774,91920,0.4,91443,0.6,183488,0.3,245387,2.00 75,1,2024-09-07 09:12:21:588,639000,639000,0,0,299750285676,3127945448,632549,5625,826,380,391739,0 75,2,2024-09-07 09:12:21:353,460489,460489,0,0,21232308,0,4766 75,3,2024-09-07 09:12:21:067,1,364,16,0,702,5054,364,0 76,0,2024-09-07 09:12:20:605,90466,0.6,89831,0.7,180198,0.6,240861,2.25 76,1,2024-09-07 09:12:20:804,640111,640111,0,0,299787122890,3122893507,636181,3339,591,382,391692,0 76,2,2024-09-07 09:12:21:067,462940,462939,1,0,19984120,0,5144 76,3,2024-09-07 09:12:21:158,1,364,15,0,175,3398,364,0 77,0,2024-09-07 09:12:21:731,90963,0.6,91035,0.7,182221,0.6,241928,2.00 77,1,2024-09-07 09:12:20:834,639671,639671,0,0,299716039162,3128580792,634393,4787,491,381,391869,0 77,2,2024-09-07 09:12:21:304,460840,460840,0,0,19462431,0,3890 77,3,2024-09-07 09:12:21:098,1,364,10,0,401,4021,364,0 78,0,2024-09-07 09:12:21:720,90894,0.4,90385,0.6,181534,0.4,240406,2.00 78,1,2024-09-07 09:12:20:610,640559,640559,0,0,299962298874,3118776768,634624,4790,1145,367,391670,0 78,2,2024-09-07 09:12:21:407,460498,460498,0,0,16755907,0,3855 78,3,2024-09-07 09:12:21:134,1,364,2,0,181,3284,364,0 79,0,2024-09-07 09:12:21:382,88847,0.4,91008,0.6,186212,0.3,242534,2.25 79,1,2024-09-07 09:12:20:570,642299,642299,0,0,300614205553,3109708112,637712,3952,635,368,391682,0 79,2,2024-09-07 09:12:21:068,462322,462322,0,0,17792736,0,4195 79,3,2024-09-07 09:12:20:749,1,364,0,0,418,4432,364,0 80,0,2024-09-07 09:12:21:179,90585,0.5,93339,0.6,178831,0.5,240858,2.00 80,1,2024-09-07 09:12:21:619,640556,640556,0,0,300176540697,3120573554,636872,3487,197,368,391791,0 80,2,2024-09-07 09:12:21:101,462534,462534,0,0,17379406,0,4433 80,3,2024-09-07 09:12:20:598,1,364,8,0,190,4325,364,0 81,0,2024-09-07 09:12:21:589,91350,0.5,93456,0.7,178499,0.5,242135,2.00 81,1,2024-09-07 09:12:21:675,639505,639505,0,0,299463680122,3127686505,634427,4577,501,382,391879,0 81,2,2024-09-07 09:12:21:155,459988,459925,63,0,19217938,0,5932 81,3,2024-09-07 09:12:21:129,1,364,0,0,374,4038,364,0 82,0,2024-09-07 09:12:21:535,90877,0.5,91362,0.7,183301,0.4,243207,2.00 82,1,2024-09-07 09:12:20:596,641381,641377,0,4,300165962836,3121914408,637297,3282,798,381,391768,4 82,2,2024-09-07 09:12:21:691,461912,461912,0,0,16244290,0,3986 82,3,2024-09-07 09:12:21:757,1,364,4,0,363,3527,364,0 83,0,2024-09-07 09:12:21:537,91605,0.5,91317,0.7,182265,0.4,242483,2.00 83,1,2024-09-07 09:12:20:558,639500,639500,0,0,299902598494,3123810367,635125,3994,381,382,391709,0 83,2,2024-09-07 09:12:20:763,460379,460379,0,0,16820591,0,3393 83,3,2024-09-07 09:12:20:750,1,364,2,0,1260,4920,364,0 84,0,2024-09-07 09:12:21:844,91184,0.7,91181,0.8,181976,0.6,244096,2.25 84,1,2024-09-07 09:12:21:063,638383,638383,0,0,299732764375,3130504821,631886,5683,814,367,391967,0 84,2,2024-09-07 09:12:20:611,460587,460587,0,0,21569156,0,4757 84,3,2024-09-07 09:12:21:150,1,364,13,0,908,5455,364,0 85,0,2024-09-07 09:12:21:016,88118,0.6,88114,0.8,187040,0.6,242037,2.25 85,1,2024-09-07 09:12:20:564,637271,637271,0,0,299797078110,3159403079,628450,7435,1386,381,392006,0 85,2,2024-09-07 09:12:20:870,460438,460438,0,0,21217799,0,3656 85,3,2024-09-07 09:12:20:684,1,364,3,0,789,4713,364,0 86,0,2024-09-07 09:12:20:902,90636,0.7,93135,0.8,178309,0.7,240917,2.25 86,1,2024-09-07 09:12:20:834,639311,639311,0,0,299904256256,3136586063,632295,5947,1069,366,391961,0 86,2,2024-09-07 09:12:20:860,459517,459516,1,0,22129058,0,5004 86,3,2024-09-07 09:12:20:596,1,364,1,0,308,5357,364,0 87,0,2024-09-07 09:12:21:315,90929,0.8,90809,0.9,182160,0.9,243165,2.25 87,1,2024-09-07 09:12:20:573,638955,638955,0,0,299285485312,3128313469,632345,5850,760,366,392076,0 87,2,2024-09-07 09:12:21:067,457817,457817,0,0,19812595,0,4045 87,3,2024-09-07 09:12:21:795,1,364,1,0,473,5156,364,0 88,0,2024-09-07 09:12:21:476,91512,0.4,92294,0.6,183633,0.4,244551,1.75 88,1,2024-09-07 09:12:20:581,637481,637481,0,0,299311748129,3133980205,629444,6248,1789,365,392084,0 88,2,2024-09-07 09:12:20:695,462050,462050,0,0,22218054,0,3583 88,3,2024-09-07 09:12:21:268,1,364,7,0,435,3801,364,0 89,0,2024-09-07 09:12:21:778,93684,0.5,90640,0.6,179498,0.4,243599,1.75 89,1,2024-09-07 09:12:20:550,637975,637975,0,0,299288693368,3144075935,630205,6495,1275,382,391866,0 89,2,2024-09-07 09:12:21:139,460221,460221,0,0,21181972,0,2910 89,3,2024-09-07 09:12:21:794,1,364,1,0,385,6371,364,0 90,0,2024-09-07 09:12:21:668,87853,0.5,90301,0.6,184013,0.4,239990,2.00 90,1,2024-09-07 09:12:20:608,639271,639271,0,0,299209945758,3133272283,633037,5728,506,380,391825,0 90,2,2024-09-07 09:12:21:406,459235,459235,0,0,22375302,0,3060 90,3,2024-09-07 09:12:20:932,1,364,83,0,246,4034,364,0 91,0,2024-09-07 09:12:20:952,91074,0.4,88169,0.6,184147,0.4,241594,1.75 91,1,2024-09-07 09:12:20:568,638029,638029,0,0,299479004155,3146393964,630130,6851,1048,381,392047,0 91,2,2024-09-07 09:12:21:346,459610,459610,0,0,20095255,0,2896 91,3,2024-09-07 09:12:20:600,1,364,9,0,216,3341,364,0 92,0,2024-09-07 09:12:21:495,92725,0.4,95035,0.6,181464,0.4,246390,1.75 92,1,2024-09-07 09:12:20:621,639201,639201,0,0,299360921383,3125258613,634378,4134,689,382,392136,0 92,2,2024-09-07 09:12:21:368,462240,462240,0,0,18269252,0,3259 92,3,2024-09-07 09:12:21:025,1,364,8,0,167,3079,364,0 93,0,2024-09-07 09:12:20:955,91436,0.4,93684,0.5,178862,0.3,242152,1.75 93,1,2024-09-07 09:12:20:805,639625,639625,0,0,299776108078,3126160420,633490,5103,1032,366,391776,0 93,2,2024-09-07 09:12:20:930,460342,460342,0,0,21320105,0,4845 93,3,2024-09-07 09:12:21:406,1,364,1,0,190,3440,364,0 94,0,2024-09-07 09:12:21:628,90533,0.4,91373,0.5,182476,0.3,241554,1.75 94,1,2024-09-07 09:12:20:603,639645,639645,0,0,299890109420,3126887538,635582,3872,191,381,391850,0 94,2,2024-09-07 09:12:20:764,460238,460238,0,0,18325760,0,2443 94,3,2024-09-07 09:12:21:692,1,364,1,0,264,4374,364,0 95,0,2024-09-07 09:12:21:359,90903,0.4,90935,0.5,182270,0.3,242937,1.75 95,1,2024-09-07 09:12:20:852,641121,641121,0,0,300117821679,3118614978,636092,4628,401,367,391713,0 95,2,2024-09-07 09:12:21:016,460442,460442,0,0,17996848,0,3308 95,3,2024-09-07 09:12:21:709,1,364,16,0,718,5498,364,0 96,0,2024-09-07 09:12:21:038,92102,0.3,92276,0.5,184392,0.3,244786,1.75 96,1,2024-09-07 09:12:21:595,639412,639412,0,0,300112320920,3129464489,634822,3792,798,384,391955,0 96,2,2024-09-07 09:12:21:270,461118,461118,0,0,18032218,0,4042 96,3,2024-09-07 09:12:21:140,1,364,14,0,411,3906,364,0 97,0,2024-09-07 09:12:21:336,91102,0.3,90839,0.5,182476,0.3,241942,1.50 97,1,2024-09-07 09:12:20:764,641170,641170,0,0,300727356872,3116421215,637107,3388,675,367,392140,0 97,2,2024-09-07 09:12:20:619,461886,461886,0,0,17748841,0,3036 97,3,2024-09-07 09:12:20:582,1,364,0,0,214,4126,364,0 98,0,2024-09-07 09:12:21:710,91422,0.3,91304,0.4,183334,0.2,243740,1.50 98,1,2024-09-07 09:12:20:616,640397,640397,0,0,300068996641,3118681645,637717,2573,107,382,391997,0 98,2,2024-09-07 09:12:20:769,460918,460918,0,0,17680694,0,3080 98,3,2024-09-07 09:12:20:698,1,364,1,0,840,5657,364,0 99,0,2024-09-07 09:12:21:468,91146,0.3,91717,0.5,182211,0.3,243182,1.75 99,1,2024-09-07 09:12:21:725,640222,640222,0,0,300031339715,3122189823,635834,3617,771,381,392069,0 99,2,2024-09-07 09:12:21:428,461974,461974,0,0,20011056,0,3424 99,3,2024-09-07 09:12:20:586,1,364,1,0,199,3420,364,0 100,0,2024-09-07 09:12:21:567,91357,0.7,91478,0.9,182441,0.9,243971,2.50 100,1,2024-09-07 09:12:20:566,636612,636612,0,0,298452119082,3148525836,627942,7165,1505,381,391989,0 100,2,2024-09-07 09:12:21:830,458102,458091,11,0,20425929,0,5417 100,3,2024-09-07 09:12:21:732,1,364,48,0,559,6112,364,0 101,0,2024-09-07 09:12:21:754,93094,0.7,90602,0.9,177922,0.5,243808,2.00 101,1,2024-09-07 09:12:20:557,636724,636724,0,0,298762648937,3142885927,627205,7465,2054,368,391847,0 101,2,2024-09-07 09:12:21:764,458475,458475,0,0,23999025,0,4644 101,3,2024-09-07 09:12:20:957,1,364,1,0,579,4648,364,0 102,0,2024-09-07 09:12:20:964,88969,0.7,91984,0.8,185904,0.7,243691,2.00 102,1,2024-09-07 09:12:21:179,637648,637648,0,0,299094409277,3140182046,629534,6858,1256,369,391891,0 102,2,2024-09-07 09:12:21:749,461441,461387,54,0,20182139,0,6768 102,3,2024-09-07 09:12:21:630,1,364,17,0,410,3755,364,0 103,0,2024-09-07 09:12:21:600,93844,0.6,93774,0.7,176874,0.6,243273,2.00 103,1,2024-09-07 09:12:21:630,636980,636980,0,0,298602512698,3145850408,627870,7049,2061,381,391829,0 103,2,2024-09-07 09:12:20:600,459651,459651,0,0,21152398,0,3173 103,3,2024-09-07 09:12:20:766,1,364,1,0,916,4305,364,0 104,0,2024-09-07 09:12:21:045,90508,0.7,90922,0.9,180511,0.6,243159,2.25 104,1,2024-09-07 09:12:21:660,639095,639095,0,0,299097252543,3139754308,630165,7230,1700,365,392168,0 104,2,2024-09-07 09:12:21:703,457233,457233,0,0,21711039,0,3941 104,3,2024-09-07 09:12:21:418,1,364,11,0,1245,7692,364,0 105,0,2024-09-07 09:12:21:039,90725,0.7,88310,1.0,185021,0.8,243921,2.50 105,1,2024-09-07 09:12:20:560,639441,639441,0,0,300336151197,3145305760,631275,6829,1337,366,392009,0 105,2,2024-09-07 09:12:21:335,460600,460600,0,0,21164214,0,3509 105,3,2024-09-07 09:12:21:309,1,364,2,0,399,5724,364,0 106,0,2024-09-07 09:12:20:939,87598,0.8,89713,0.9,183847,0.8,240287,2.50 106,1,2024-09-07 09:12:21:790,638170,638170,0,0,299927624628,3148555314,628559,8316,1295,369,391914,0 106,2,2024-09-07 09:12:20:759,459799,459799,0,0,20868899,0,2795 106,3,2024-09-07 09:12:20:682,1,364,6,0,470,4707,364,0 107,0,2024-09-07 09:12:21:116,90719,1.1,90832,1.0,181613,1.5,241737,2.25 107,1,2024-09-07 09:12:20:631,637423,637423,0,0,298524431854,3140945728,629426,7080,917,381,392234,0 107,2,2024-09-07 09:12:21:310,458817,458816,1,0,21321879,0,5024 107,3,2024-09-07 09:12:21:763,1,364,1,0,353,4966,364,0 108,0,2024-09-07 09:12:21:794,90218,0.4,90949,0.6,180678,0.4,240914,1.75 108,1,2024-09-07 09:12:21:331,640014,640014,0,0,300770235169,3133725047,634917,4579,518,368,391857,0 108,2,2024-09-07 09:12:21:758,458677,458677,0,0,20355344,0,4246 108,3,2024-09-07 09:12:21:329,1,364,15,0,749,7204,364,0 109,0,2024-09-07 09:12:22:004,92210,0.4,91353,0.5,182916,0.3,245083,1.75 109,1,2024-09-07 09:12:20:587,637822,637822,0,0,299427335655,3137130890,632597,4560,665,383,392132,0 109,2,2024-09-07 09:12:20:920,459234,459234,0,0,19818149,0,3617 109,3,2024-09-07 09:12:21:140,1,364,116,0,379,4334,364,0 110,0,2024-09-07 09:12:21:762,90622,0.4,88153,0.6,184747,0.3,241510,1.75 110,1,2024-09-07 09:12:21:646,641335,641335,0,0,300815879095,3118374914,637326,3030,979,369,392045,0 110,2,2024-09-07 09:12:21:332,460617,460617,0,0,19623795,0,4067 110,3,2024-09-07 09:12:20:697,1,364,10,0,722,5248,364,0 111,0,2024-09-07 09:12:21:414,91687,0.4,90959,0.6,182441,0.4,243456,1.75 111,1,2024-09-07 09:12:21:000,641582,641582,0,0,300952208181,3120234555,638196,3033,353,382,391690,0 111,2,2024-09-07 09:12:21:116,459790,459790,0,0,18999737,0,4823 111,3,2024-09-07 09:12:20:921,1,364,1,0,379,4314,364,0 112,0,2024-09-07 09:12:20:911,92090,0.3,91446,0.4,183326,0.2,243834,1.50 112,1,2024-09-07 09:12:20:824,640933,640933,0,0,301097960665,3121349463,637354,2995,584,380,391624,0 112,2,2024-09-07 09:12:21:132,460990,460989,1,0,17442522,0,5036 112,3,2024-09-07 09:12:20:595,1,364,9,0,282,3613,364,0 113,0,2024-09-07 09:12:20:878,91271,0.3,91378,0.4,183228,0.2,243778,1.50 113,1,2024-09-07 09:12:21:687,642678,642678,0,0,301787403522,3111425002,639314,2780,584,366,391661,0 113,2,2024-09-07 09:12:21:306,461522,461522,0,0,16206833,0,3813 113,3,2024-09-07 09:12:20:684,1,364,1,0,340,4116,364,0 114,0,2024-09-07 09:12:20:873,92107,0.3,92818,0.5,184454,0.2,246940,1.75 114,1,2024-09-07 09:12:20:720,640818,640818,0,0,300283182981,3117501567,635672,3552,1594,381,391556,0 114,2,2024-09-07 09:12:20:873,461559,461558,1,0,17782853,0,5069 114,3,2024-09-07 09:12:21:282,1,364,10,0,395,3050,364,0 115,0,2024-09-07 09:12:20:567,91452,0.3,92103,0.4,183705,0.2,244500,1.50 115,1,2024-09-07 09:12:20:571,640527,640527,0,0,300755011636,3121866379,635439,4247,841,382,391757,0 115,2,2024-09-07 09:12:21:125,463119,463119,0,0,16367811,0,3453 115,3,2024-09-07 09:12:21:003,1,364,1,0,159,2185,364,0 116,0,2024-09-07 09:12:21:798,90385,0.8,90232,0.9,181086,0.8,242084,2.00 116,1,2024-09-07 09:12:20:805,637044,637044,0,0,299383362739,3154988655,628990,5738,2316,380,392089,0 116,2,2024-09-07 09:12:21:786,460267,460267,0,0,21945970,0,3529 116,3,2024-09-07 09:12:20:912,1,364,14,0,415,4389,364,0 117,0,2024-09-07 09:12:21:020,91406,0.7,90956,0.8,182080,0.7,243739,2.00 117,1,2024-09-07 09:12:21:661,637976,637976,0,0,299561327909,3132797805,631473,5833,670,369,392033,0 117,2,2024-09-07 09:12:21:120,461148,461148,0,0,18259767,0,3700 117,3,2024-09-07 09:12:21:066,1,364,6,0,490,5129,364,0 118,0,2024-09-07 09:12:21:801,88977,0.5,91201,0.6,186255,0.4,243712,2.00 118,1,2024-09-07 09:12:20:596,637922,637922,0,0,299058221291,3141545926,628669,7219,2034,366,391907,0 118,2,2024-09-07 09:12:21:593,460613,460613,0,0,20458653,0,2781 118,3,2024-09-07 09:12:21:765,1,364,22,0,248,4170,364,0 119,0,2024-09-07 09:12:21:357,90672,0.6,91064,0.7,182037,0.6,241963,2.00 119,1,2024-09-07 09:12:20:549,639556,639556,0,0,299410379287,3129997446,632642,6075,839,367,391780,0 119,2,2024-09-07 09:12:21:263,461061,461061,0,0,19106978,0,4174 119,3,2024-09-07 09:12:21:325,1,364,100,0,1058,6510,364,0 120,0,2024-09-07 09:12:21:586,90187,0.6,89900,0.8,179890,0.6,240640,2.25 120,1,2024-09-07 09:12:20:862,638988,638988,0,0,299450579323,3139263402,632502,5926,560,368,391961,0 120,2,2024-09-07 09:12:20:770,459978,459977,1,0,22189027,0,5281 120,3,2024-09-07 09:12:21:292,1,364,14,0,241,4387,364,0 121,0,2024-09-07 09:12:21:747,90375,0.9,90435,1.0,181523,1.2,241229,2.25 121,1,2024-09-07 09:12:21:658,639217,639217,0,0,299214756842,3126617745,633264,5515,438,367,391840,0 121,2,2024-09-07 09:12:21:124,458176,458176,0,0,21220060,0,4127 121,3,2024-09-07 09:12:20:742,1,364,33,0,269,4048,364,0 122,0,2024-09-07 09:12:21:770,91475,0.7,89387,0.8,187676,0.8,246135,2.00 122,1,2024-09-07 09:12:20:875,638531,638531,0,0,299110036063,3134742375,630058,7210,1263,366,392130,0 122,2,2024-09-07 09:12:21:318,460923,460850,73,0,23889112,0,5989 122,3,2024-09-07 09:12:20:599,1,364,4,0,512,6753,364,0 123,0,2024-09-07 09:12:20:951,90458,0.6,88446,0.7,184546,0.7,241595,2.00 123,1,2024-09-07 09:12:20:560,638249,638249,0,0,299434928915,3152593560,626788,9333,2128,369,392039,0 123,2,2024-09-07 09:12:21:018,459391,459390,1,0,20659506,0,5215 123,3,2024-09-07 09:12:21:131,1,364,57,0,168,4042,364,0 124,0,2024-09-07 09:12:20:962,93693,0.4,93677,0.5,176667,0.3,242433,1.75 124,1,2024-09-07 09:12:21:026,640534,640534,0,0,299437017302,3112799214,635807,3816,911,367,392178,0 124,2,2024-09-07 09:12:21:012,461496,461443,53,0,18538351,0,6487 124,3,2024-09-07 09:12:20:758,1,364,8,0,490,3620,364,0 125,0,2024-09-07 09:12:21:427,91311,0.4,90933,0.5,182790,0.3,243299,1.75 125,1,2024-09-07 09:12:20:948,639205,639205,0,0,300224956400,3131664474,634316,4243,646,382,391702,0 125,2,2024-09-07 09:12:21:137,460985,460985,0,0,19154674,0,4534 125,3,2024-09-07 09:12:21:136,1,364,6,0,709,4696,364,0 126,0,2024-09-07 09:12:21:437,91951,0.4,94567,0.6,181156,0.4,245557,1.75 126,1,2024-09-07 09:12:20:558,641147,641147,0,0,300690245463,3113457625,637398,3461,288,365,391987,0 126,2,2024-09-07 09:12:20:613,461363,461363,0,0,19403585,0,4539 126,3,2024-09-07 09:12:20:908,1,364,1,0,207,4487,364,0 127,0,2024-09-07 09:12:21:658,91001,0.3,91429,0.5,182366,0.3,242098,1.50 127,1,2024-09-07 09:12:20:575,640208,640208,0,0,300825645116,3122350851,634777,4570,861,364,392187,0 127,2,2024-09-07 09:12:20:642,460289,460289,0,0,17735471,0,3897 127,3,2024-09-07 09:12:21:268,1,364,6,0,968,4121,364,0 128,0,2024-09-07 09:12:21:530,91730,0.3,92099,0.4,183921,0.2,243995,1.50 128,1,2024-09-07 09:12:21:611,640479,640479,0,0,299456553322,3107858642,636266,3841,372,367,391838,0 128,2,2024-09-07 09:12:21:385,461628,461628,0,0,17118870,0,2915 128,3,2024-09-07 09:12:20:769,1,364,8,0,1082,6000,364,0 129,0,2024-09-07 09:12:21:002,91752,0.3,91211,0.5,182993,0.3,243322,1.50 129,1,2024-09-07 09:12:20:569,637754,637754,0,0,299455144175,3133646508,631924,4573,1257,379,391835,0 129,2,2024-09-07 09:12:20:688,461581,461581,0,0,17907103,0,4031 129,3,2024-09-07 09:12:20:687,1,364,5,0,469,4552,364,0 130,0,2024-09-07 09:12:21:715,92216,0.5,91742,0.6,184788,0.5,245922,1.75 130,1,2024-09-07 09:12:20:584,640568,640568,0,0,300370544209,3120882160,637137,3151,280,381,391825,0 130,2,2024-09-07 09:12:21:124,460801,460801,0,0,17601607,0,4067 130,3,2024-09-07 09:12:21:293,1,364,1,0,535,4768,364,0 131,0,2024-09-07 09:12:21:936,90812,0.3,91353,0.5,183731,0.3,243397,1.50 131,1,2024-09-07 09:12:21:832,640333,640333,0,0,300130974957,3130219228,635802,3783,748,381,391865,0 131,2,2024-09-07 09:12:20:599,462999,462999,0,0,16291945,0,2415 131,3,2024-09-07 09:12:21:688,1,364,1,0,392,3682,364,0 132,0,2024-09-07 09:12:21:414,91407,0.4,92353,0.6,183697,0.4,244420,2.00 132,1,2024-09-07 09:12:20:576,637024,637024,0,0,299354952038,3148882276,628262,7293,1469,381,392097,0 132,2,2024-09-07 09:12:20:699,460952,460952,0,0,22141923,0,4606 132,3,2024-09-07 09:12:21:689,1,364,15,0,804,6371,364,0 133,0,2024-09-07 09:12:21:523,88630,0.5,90684,0.6,186075,0.4,241954,1.75 133,1,2024-09-07 09:12:20:610,637239,637239,0,0,299097570335,3149821156,628651,7456,1132,383,391914,0 133,2,2024-09-07 09:12:21:092,460180,460180,0,0,22801793,0,4315 133,3,2024-09-07 09:12:21:305,1,364,4,0,479,3782,364,0 134,0,2024-09-07 09:12:20:936,91629,0.5,91486,0.7,182912,0.5,244422,2.00 134,1,2024-09-07 09:12:20:586,638106,638106,0,0,298930304869,3135106064,629691,6557,1858,366,391718,0 134,2,2024-09-07 09:12:21:769,458044,458044,0,0,18969319,0,3847 134,3,2024-09-07 09:12:20:749,1,364,3,0,739,4570,364,0 135,0,2024-09-07 09:12:21:099,88703,0.6,88739,0.8,188181,0.7,242663,2.00 135,1,2024-09-07 09:12:21:594,638618,638618,0,0,299344037705,3147529146,630101,7279,1238,380,391805,0 135,2,2024-09-07 09:12:20:688,462303,462303,0,0,21026380,0,3981 135,3,2024-09-07 09:12:21:008,1,364,1,0,299,2770,364,0 136,0,2024-09-07 09:12:21:621,91063,0.5,91351,0.7,181555,0.5,242137,2.00 136,1,2024-09-07 09:12:21:442,638274,638274,0,0,299661985353,3142001228,631239,6337,698,382,391685,0 136,2,2024-09-07 09:12:21:135,461318,461318,0,0,20444532,0,3506 136,3,2024-09-07 09:12:21:131,1,364,14,0,637,4015,364,0 137,0,2024-09-07 09:12:20:925,93613,0.6,91106,0.7,179064,0.7,243044,2.00 137,1,2024-09-07 09:12:20:575,638093,638093,0,0,299397347136,3140051968,628300,7910,1883,366,391898,0 137,2,2024-09-07 09:12:21:704,459262,459262,0,0,22743824,0,3185 137,3,2024-09-07 09:12:20:769,1,364,2,0,382,4136,364,0 138,0,2024-09-07 09:12:21:786,89946,1.2,90053,1.0,180939,1.7,239828,2.25 138,1,2024-09-07 09:12:21:709,639091,639091,0,0,299581380720,3142544408,629894,7598,1599,368,391954,0 138,2,2024-09-07 09:12:20:586,459283,459283,0,0,20306354,0,4988 138,3,2024-09-07 09:12:20:617,1,364,18,0,1160,4939,364,0 139,0,2024-09-07 09:12:21:438,90712,0.9,90958,1.0,182118,1.2,243100,2.25 139,1,2024-09-07 09:12:20:616,636117,636117,0,0,297949902621,3160751658,624707,8762,2648,381,392058,0 139,2,2024-09-07 09:12:20:695,458119,458119,0,0,22307598,0,3097 139,3,2024-09-07 09:12:21:669,1,364,49,0,257,4167,364,0 140,0,2024-09-07 09:12:21:590,90952,0.3,90516,0.5,181726,0.2,241672,1.75 140,1,2024-09-07 09:12:21:544,642227,642227,0,0,300336123583,3095930186,639005,2783,439,365,391606,0 140,2,2024-09-07 09:12:20:687,460902,460901,1,0,18204956,0,5036 140,3,2024-09-07 09:12:20:766,1,364,8,0,247,2886,364,0 141,0,2024-09-07 09:12:21:697,91550,0.3,93968,0.5,179466,0.3,243440,1.75 141,1,2024-09-07 09:12:20:859,640907,640907,0,0,300418969382,3118698919,636341,3704,862,379,391614,0 141,2,2024-09-07 09:12:21:685,460882,460882,0,0,17924189,0,3360 141,3,2024-09-07 09:12:21:045,1,364,49,0,391,4093,364,0 142,0,2024-09-07 09:12:21:345,92155,0.3,91481,0.5,182859,0.3,244440,1.75 142,1,2024-09-07 09:12:20:586,640404,640404,0,0,299149717748,3117127350,636431,3621,352,382,392102,0 142,2,2024-09-07 09:12:21:300,460431,460399,32,0,19241073,0,6028 142,3,2024-09-07 09:12:21:753,1,364,0,0,484,4289,364,0 143,0,2024-09-07 09:12:21:391,91508,0.4,91365,0.5,183102,0.4,243983,1.75 143,1,2024-09-07 09:12:20:558,641291,641291,0,0,300555934312,3115433544,637501,3637,153,367,391705,0 143,2,2024-09-07 09:12:20:772,460533,460533,0,0,18633567,0,3123 143,3,2024-09-07 09:12:21:147,1,364,4,0,303,4324,364,0 144,0,2024-09-07 09:12:21:509,88924,0.6,91289,0.8,186238,0.5,244830,2.00 144,1,2024-09-07 09:12:20:575,637753,637753,0,0,299047862196,3131154928,632849,3963,941,381,391649,0 144,2,2024-09-07 09:12:21:759,462127,462127,0,0,17105229,0,3473 144,3,2024-09-07 09:12:21:747,1,364,9,0,249,3584,364,0 145,0,2024-09-07 09:12:21:403,88534,0.6,88533,0.8,187677,0.5,241826,2.25 145,1,2024-09-07 09:12:20:558,637624,637624,0,0,299632959098,3147138093,630198,6283,1143,382,391759,0 145,2,2024-09-07 09:12:21:445,459943,459943,0,0,20750803,0,3903 145,3,2024-09-07 09:12:20:901,1,364,2,0,622,5398,364,0 146,0,2024-09-07 09:12:21:615,90736,0.6,90133,0.7,181617,0.5,240758,2.25 146,1,2024-09-07 09:12:21:593,638926,638926,0,0,299493944260,3146218800,629009,7906,2011,368,391770,0 146,2,2024-09-07 09:12:21:698,459638,459638,0,0,20485781,0,2730 146,3,2024-09-07 09:12:21:286,1,364,6,0,1520,7318,364,0 147,0,2024-09-07 09:12:21:696,91399,0.5,91093,0.7,181507,0.5,243104,2.00 147,1,2024-09-07 09:12:21:374,640651,640651,0,0,300625549044,3124945881,634977,4873,801,368,391791,0 147,2,2024-09-07 09:12:21:010,458822,458822,0,0,18084813,0,2789 147,3,2024-09-07 09:12:20:917,1,364,0,0,730,5178,364,0 0,0,2024-09-07 09:12:31:731,88127,0.6,88085,0.7,187046,0.7,241543,2.00 0,1,2024-09-07 09:12:30:805,640968,640968,0,0,300191468966,3137228287,636730,3992,246,369,391896,0 0,2,2024-09-07 09:12:31:066,462905,462905,0,0,18278603,0,4480 0,3,2024-09-07 09:12:30:980,1,365,35,0,431,5198,365,0 1,0,2024-09-07 09:12:31:758,90913,0.7,90436,0.9,181427,0.9,242418,2.00 1,1,2024-09-07 09:12:30:568,640165,640165,0,0,300645322781,3145404415,634502,4434,1229,370,391857,0 1,2,2024-09-07 09:12:30:638,458941,458941,0,0,17553084,0,3267 1,3,2024-09-07 09:12:31:302,1,365,1,0,262,4130,365,0 2,0,2024-09-07 09:12:31:582,92407,0.5,92578,0.7,184176,0.6,247026,2.00 2,1,2024-09-07 09:12:30:859,642302,642302,0,0,301372473899,3127227218,639357,2652,293,380,391745,0 2,2,2024-09-07 09:12:31:266,463366,463366,0,0,17398900,0,3594 2,3,2024-09-07 09:12:30:691,1,365,1,0,357,3744,365,0 3,0,2024-09-07 09:12:31:744,90968,0.4,90816,0.6,181459,0.4,241568,2.00 3,1,2024-09-07 09:12:31:622,640860,640860,0,0,300175801885,3123739743,635664,4572,624,380,391591,0 3,2,2024-09-07 09:12:31:142,462406,462383,23,0,17865911,0,5851 3,3,2024-09-07 09:12:31:752,1,365,3,0,207,2279,365,0 4,0,2024-09-07 09:12:31:773,88403,0.4,90814,0.5,185039,0.3,241795,1.75 4,1,2024-09-07 09:12:30:593,638961,638961,0,0,299841546214,3160519808,630142,7089,1730,370,391992,0 4,2,2024-09-07 09:12:31:020,459754,459754,0,0,21073075,0,4528 4,3,2024-09-07 09:12:31:027,1,365,8,0,448,4979,365,0 5,0,2024-09-07 09:12:31:419,91139,0.4,91626,0.6,182753,0.4,242714,1.75 5,1,2024-09-07 09:12:30:765,640243,640243,0,0,300280931465,3155821500,632385,6390,1468,367,392005,0 5,2,2024-09-07 09:12:31:832,459476,459476,0,0,19898455,0,2432 5,3,2024-09-07 09:12:31:745,1,365,11,0,457,5085,365,0 6,0,2024-09-07 09:12:30:925,92746,0.4,92223,0.6,184275,0.4,246330,1.75 6,1,2024-09-07 09:12:30:746,640681,640681,0,0,300406184956,3136289545,634015,5581,1085,379,391694,0 6,2,2024-09-07 09:12:31:133,461759,461759,0,0,19469037,0,4816 6,3,2024-09-07 09:12:31:274,1,365,1,0,340,3796,365,0 7,0,2024-09-07 09:12:31:543,90621,0.5,91188,0.6,181620,0.5,241352,2.00 7,1,2024-09-07 09:12:30:866,639560,639560,0,0,300661566532,3156314889,630632,7534,1394,382,391747,0 7,2,2024-09-07 09:12:30:772,462585,462585,0,0,19457829,0,4791 7,3,2024-09-07 09:12:30:853,1,365,12,0,398,3907,365,0 8,0,2024-09-07 09:12:31:323,91847,0.4,91626,0.5,183504,0.3,244509,1.75 8,1,2024-09-07 09:12:31:019,638507,638507,0,0,300679807224,3162975565,627842,8173,2492,366,392144,0 8,2,2024-09-07 09:12:30:790,458300,458300,0,0,23470115,0,3220 8,3,2024-09-07 09:12:30:592,1,365,7,0,538,6155,365,0 9,0,2024-09-07 09:12:31:102,91625,0.4,88939,0.5,186104,0.3,243798,1.75 9,1,2024-09-07 09:12:30:558,639408,639408,0,0,299672844401,3155399838,630281,7001,2126,369,392001,0 9,2,2024-09-07 09:12:31:083,461506,461506,0,0,20989337,0,3360 9,3,2024-09-07 09:12:31:752,1,365,1,0,496,5246,365,0 10,0,2024-09-07 09:12:31:599,92228,0.3,91609,0.5,183947,0.2,245253,1.75 10,1,2024-09-07 09:12:30:585,640120,640120,0,0,299894106954,3138157263,632103,6906,1111,381,391741,0 10,2,2024-09-07 09:12:30:766,460672,460672,0,0,22479426,0,4264 10,3,2024-09-07 09:12:30:872,1,365,25,0,296,3281,365,0 11,0,2024-09-07 09:12:31:022,91179,0.4,88553,0.6,185070,0.3,244795,1.75 11,1,2024-09-07 09:12:30:579,640998,640998,0,0,300310403684,3151246397,630941,7609,2448,383,391756,0 11,2,2024-09-07 09:12:31:123,461602,461602,0,0,20258311,0,4130 11,3,2024-09-07 09:12:31:298,1,365,1,0,843,5428,365,0 12,0,2024-09-07 09:12:30:946,92443,0.4,92505,0.5,184641,0.3,245383,1.75 12,1,2024-09-07 09:12:30:941,640661,640661,0,0,300464334949,3130715240,635778,4389,494,370,391870,0 12,2,2024-09-07 09:12:31:540,463341,463341,0,0,19917258,0,3469 12,3,2024-09-07 09:12:31:059,1,365,13,0,386,5754,365,0 13,0,2024-09-07 09:12:31:331,91748,0.4,91425,0.6,182601,0.4,243209,1.75 13,1,2024-09-07 09:12:31:532,639551,639551,0,0,299864025643,3149418875,633632,4508,1411,382,391740,0 13,2,2024-09-07 09:12:30:605,463101,463101,0,0,18386992,0,3287 13,3,2024-09-07 09:12:31:769,1,365,3,0,522,5718,365,0 14,0,2024-09-07 09:12:30:564,91732,0.4,92607,0.6,183368,0.3,244586,1.75 14,1,2024-09-07 09:12:31:564,644097,644097,0,0,302241723864,3126872295,639407,4331,359,364,391571,0 14,2,2024-09-07 09:12:30:764,459348,459318,30,0,19672473,0,6104 14,3,2024-09-07 09:12:31:115,1,365,2,0,1168,4248,365,0 15,0,2024-09-07 09:12:31:561,91976,0.4,92013,0.6,184298,0.3,245465,1.75 15,1,2024-09-07 09:12:31:609,641410,641410,0,0,300682704709,3127903554,637062,3524,824,381,391619,0 15,2,2024-09-07 09:12:30:999,464251,464251,0,0,16505903,0,3622 15,3,2024-09-07 09:12:31:408,1,365,6,0,1126,6436,365,0 16,0,2024-09-07 09:12:30:937,90911,0.5,91291,0.7,182224,0.5,242331,2.00 16,1,2024-09-07 09:12:30:568,642083,642083,0,0,300922298755,3138748215,637751,3969,363,370,391756,0 16,2,2024-09-07 09:12:31:434,462326,462326,0,0,19050345,0,4719 16,3,2024-09-07 09:12:31:147,1,365,11,0,317,4830,365,0 17,0,2024-09-07 09:12:31:799,93702,0.6,91431,0.8,179075,0.7,242807,2.00 17,1,2024-09-07 09:12:30:583,639742,639742,0,0,300596976007,3151414179,633427,5055,1260,368,392075,0 17,2,2024-09-07 09:12:31:678,463895,463895,0,0,18712663,0,2857 17,3,2024-09-07 09:12:30:576,1,365,1,0,298,5853,365,0 18,0,2024-09-07 09:12:30:940,89614,0.6,90022,0.8,179546,0.6,239311,2.25 18,1,2024-09-07 09:12:31:638,642638,642638,0,0,301088667545,3118884037,639092,3229,317,367,391649,0 18,2,2024-09-07 09:12:31:757,462628,462628,0,0,17165121,0,3541 18,3,2024-09-07 09:12:30:896,1,365,0,0,163,3051,365,0 19,0,2024-09-07 09:12:31:542,91713,0.5,92360,0.7,182964,0.5,244191,2.00 19,1,2024-09-07 09:12:30:566,642772,642772,0,0,301664257779,3127218406,637578,4355,839,367,391777,0 19,2,2024-09-07 09:12:31:757,464012,464012,0,0,15844242,0,3988 19,3,2024-09-07 09:12:31:132,1,365,2,0,524,2752,365,0 20,0,2024-09-07 09:12:31:447,90724,0.5,90727,0.6,181377,0.4,241730,2.00 20,1,2024-09-07 09:12:30:576,640702,640702,0,0,300808438885,3142304252,635616,4370,716,369,391886,0 20,2,2024-09-07 09:12:30:945,462049,462049,0,0,19444394,0,3721 20,3,2024-09-07 09:12:30:602,1,365,13,0,414,5608,365,0 21,0,2024-09-07 09:12:31:125,91519,0.5,91613,0.6,182850,0.5,242612,2.00 21,1,2024-09-07 09:12:31:536,639281,639281,0,0,298528303224,3144122565,630689,6643,1949,368,392016,0 21,2,2024-09-07 09:12:31:070,459580,459580,0,0,22690976,0,3747 21,3,2024-09-07 09:12:31:406,1,365,1,0,713,4753,365,0 22,0,2024-09-07 09:12:31:740,91358,0.5,91655,0.7,183577,0.5,243119,2.00 22,1,2024-09-07 09:12:31:023,640525,640525,0,0,299499999316,3144379331,631664,7008,1853,382,391667,0 22,2,2024-09-07 09:12:30:765,460512,460512,0,0,18266619,0,3134 22,3,2024-09-07 09:12:31:068,1,365,27,0,228,3166,365,0 23,0,2024-09-07 09:12:31:378,91261,0.5,91106,0.7,182834,0.4,243675,2.25 23,1,2024-09-07 09:12:31:003,640907,640907,0,0,301271016111,3163899486,629419,7939,3549,365,391690,0 23,2,2024-09-07 09:12:31:093,462644,462644,0,0,18130578,0,3010 23,3,2024-09-07 09:12:31:754,1,365,1,0,720,4869,365,0 24,0,2024-09-07 09:12:30:855,92750,0.4,92621,0.6,185733,0.4,246669,1.75 24,1,2024-09-07 09:12:30:587,639730,639730,0,0,299788577883,3142951334,632554,5626,1550,367,392269,0 24,2,2024-09-07 09:12:31:070,461083,461083,0,0,22013124,0,3607 24,3,2024-09-07 09:12:31:686,1,365,9,0,468,4783,365,0 25,0,2024-09-07 09:12:31:345,94279,0.4,91854,0.6,180050,0.4,245561,2.00 25,1,2024-09-07 09:12:30:568,640588,640588,0,0,299856314705,3147831896,632022,7083,1483,371,391928,0 25,2,2024-09-07 09:12:31:606,461358,461358,0,0,22214622,0,3978 25,3,2024-09-07 09:12:31:016,1,365,2,0,255,3519,365,0 26,0,2024-09-07 09:12:31:729,90715,0.4,88552,0.6,185811,0.3,241919,1.75 26,1,2024-09-07 09:12:31:540,641090,641090,0,0,300445278733,3144641029,632111,7443,1536,380,391748,0 26,2,2024-09-07 09:12:30:860,462309,462309,0,0,22224299,0,2809 26,3,2024-09-07 09:12:31:720,1,365,0,0,796,4540,365,0 27,0,2024-09-07 09:12:31:726,91865,0.4,92044,0.6,182465,0.4,244008,2.00 27,1,2024-09-07 09:12:31:690,643217,643217,0,0,301889474969,3139159138,637906,4545,766,381,391626,0 27,2,2024-09-07 09:12:30:866,457441,457376,65,0,21324368,0,5699 27,3,2024-09-07 09:12:31:015,1,365,0,0,564,3702,365,0 28,0,2024-09-07 09:12:31:398,92152,0.4,91889,0.6,183787,0.3,245634,1.75 28,1,2024-09-07 09:12:30:798,642187,642187,0,0,301140724719,3135250863,637681,3801,705,383,391698,0 28,2,2024-09-07 09:12:31:770,462756,462756,0,0,19124575,0,2915 28,3,2024-09-07 09:12:31:776,1,365,8,0,502,4020,365,0 29,0,2024-09-07 09:12:31:361,93799,0.4,91357,0.6,179231,0.3,244357,1.75 29,1,2024-09-07 09:12:31:565,643442,643442,0,0,301494732314,3119608047,639098,3658,686,369,391753,0 29,2,2024-09-07 09:12:30:861,461584,461584,0,0,18384534,0,4986 29,3,2024-09-07 09:12:30:962,1,365,1,0,459,4424,365,0 30,0,2024-09-07 09:12:31:461,90375,0.5,87835,0.7,183834,0.5,240490,2.00 30,1,2024-09-07 09:12:30:578,643231,643231,0,0,301725880881,3130489639,638411,4110,710,381,391672,0 30,2,2024-09-07 09:12:31:274,462531,462531,0,0,17377057,0,3161 30,3,2024-09-07 09:12:30:584,1,365,0,0,519,3633,365,0 31,0,2024-09-07 09:12:31:765,90449,0.4,90948,0.6,181729,0.3,242265,1.75 31,1,2024-09-07 09:12:30:589,645271,645271,0,0,302178233806,3096808699,642723,1989,559,356,391712,0 31,2,2024-09-07 09:12:31:275,459770,459770,0,0,18984121,0,3525 31,3,2024-09-07 09:12:31:710,1,365,2,0,220,3105,365,0 32,0,2024-09-07 09:12:31:434,92411,0.3,92874,0.5,185541,0.2,247365,1.50 32,1,2024-09-07 09:12:30:804,642385,642385,0,0,300749529772,3122427837,638922,2995,468,381,391646,0 32,2,2024-09-07 09:12:30:944,463660,463660,0,0,16291829,0,3155 32,3,2024-09-07 09:12:31:023,1,365,9,0,227,2534,365,0 33,0,2024-09-07 09:12:31:493,91108,0.3,90638,0.4,181859,0.2,241890,1.50 33,1,2024-09-07 09:12:30:577,643711,643711,0,0,301666641938,3121672341,639245,3635,831,369,391730,0 33,2,2024-09-07 09:12:30:778,463340,463305,35,0,18543294,0,7012 33,3,2024-09-07 09:12:30:895,1,365,1,0,329,3639,365,0 34,0,2024-09-07 09:12:30:929,91205,0.3,93847,0.4,179524,0.2,241130,1.75 34,1,2024-09-07 09:12:31:045,644740,644740,0,0,302613144990,3109012371,643312,1419,9,367,391562,0 34,2,2024-09-07 09:12:30:779,461824,461824,0,0,17663276,0,3577 34,3,2024-09-07 09:12:31:688,1,365,1,0,299,2562,365,0 35,0,2024-09-07 09:12:30:859,90397,0.3,90808,0.5,182773,0.3,243185,1.75 35,1,2024-09-07 09:12:31:069,642579,642579,0,0,301563622699,3122560902,638916,2882,781,382,391769,0 35,2,2024-09-07 09:12:31:584,461421,461421,0,0,17953105,0,2653 35,3,2024-09-07 09:12:30:906,1,365,1,0,418,4314,365,0 36,0,2024-09-07 09:12:31:522,92630,0.4,92584,0.6,185268,0.4,246039,2.00 36,1,2024-09-07 09:12:30:596,641789,641789,0,0,300683583548,3138352940,633964,6140,1685,366,391759,0 36,2,2024-09-07 09:12:31:757,462691,462691,0,0,20375782,0,3875 36,3,2024-09-07 09:12:30:863,1,365,250,0,416,5701,365,0 37,0,2024-09-07 09:12:31:375,90722,0.5,90605,0.6,181484,0.4,241673,2.00 37,1,2024-09-07 09:12:30:570,641363,641356,0,7,300196671153,3134984147,633955,5241,2160,365,391570,0 37,2,2024-09-07 09:12:31:151,460644,460629,15,0,20269563,0,5815 37,3,2024-09-07 09:12:31:770,1,365,3,0,888,5684,365,0 38,0,2024-09-07 09:12:31:436,91096,0.5,88462,0.7,185219,0.4,242342,2.00 38,1,2024-09-07 09:12:31:605,642384,642384,0,0,301539645052,3138762312,635620,5770,994,368,391821,0 38,2,2024-09-07 09:12:30:766,461370,461323,47,0,20313518,0,6710 38,3,2024-09-07 09:12:30:998,1,365,1,0,689,4996,365,0 39,0,2024-09-07 09:12:31:761,93179,0.6,91237,0.7,177589,0.5,242780,2.00 39,1,2024-09-07 09:12:30:717,641246,641246,0,0,301310545369,3151875309,632011,7545,1690,365,391658,0 39,2,2024-09-07 09:12:31:417,461998,461998,0,0,18660717,0,2689 39,3,2024-09-07 09:12:30:716,1,365,1,0,324,4418,365,0 40,0,2024-09-07 09:12:31:490,91147,0.8,91890,0.9,183198,0.8,244479,2.75 40,1,2024-09-07 09:12:30:577,642096,642096,0,0,299614649645,3133138246,633433,7152,1511,368,391668,0 40,2,2024-09-07 09:12:31:316,459960,459959,1,0,21714220,0,5137 40,3,2024-09-07 09:12:31:146,1,365,9,0,1028,5169,365,0 41,0,2024-09-07 09:12:31:044,90854,1.1,93053,1.1,177496,1.6,241461,2.75 41,1,2024-09-07 09:12:30:780,640403,640403,0,0,300390958793,3137956487,633170,6528,705,369,391742,0 41,2,2024-09-07 09:12:30:766,460916,460916,0,0,20642211,0,3356 41,3,2024-09-07 09:12:31:686,1,365,0,0,366,3621,365,0 42,0,2024-09-07 09:12:31:475,90920,0.9,90977,1.0,182345,1.1,241053,2.75 42,1,2024-09-07 09:12:31:439,639783,639783,0,0,299741571458,3146174849,630109,7916,1758,380,391675,0 42,2,2024-09-07 09:12:31:134,461795,461795,0,0,21409874,0,3790 42,3,2024-09-07 09:12:31:009,1,365,6,0,446,3138,365,0 43,0,2024-09-07 09:12:30:920,89900,0.9,87628,1.0,183576,1.0,240597,2.50 43,1,2024-09-07 09:12:30:576,641475,641475,0,0,302081699538,3151202427,633091,6946,1438,366,391696,0 43,2,2024-09-07 09:12:31:738,462016,462016,0,0,20936894,0,3812 43,3,2024-09-07 09:12:31:749,1,365,1,0,467,4358,365,0 44,0,2024-09-07 09:12:30:877,91776,0.4,92126,0.6,183988,0.4,244771,1.75 44,1,2024-09-07 09:12:30:564,642793,642793,0,0,300908610206,3108426151,637698,4048,1047,356,391809,0 44,2,2024-09-07 09:12:31:267,458856,458856,0,0,17219187,0,4344 44,3,2024-09-07 09:12:31:093,1,365,8,0,817,4593,365,0 45,0,2024-09-07 09:12:31:771,91015,0.4,88825,0.7,186434,0.4,245549,2.00 45,1,2024-09-07 09:12:31:008,642336,642336,0,0,301335061414,3128377154,637897,3963,476,382,391917,0 45,2,2024-09-07 09:12:31:268,463309,463309,0,0,17824797,0,3596 45,3,2024-09-07 09:12:30:935,1,365,1,0,271,3420,365,0 46,0,2024-09-07 09:12:30:949,90347,0.5,90346,0.7,180737,0.5,239979,2.00 46,1,2024-09-07 09:12:30:579,643716,643716,0,0,302499436956,3121300295,639998,3292,426,366,391572,0 46,2,2024-09-07 09:12:30:593,462903,462903,0,0,17095784,0,2920 46,3,2024-09-07 09:12:31:132,1,365,1,0,908,5120,365,0 47,0,2024-09-07 09:12:31:103,91261,0.5,91564,0.6,183463,0.4,242265,2.00 47,1,2024-09-07 09:12:30:567,644604,644604,0,0,301184397610,3113093774,640114,3675,815,366,391641,0 47,2,2024-09-07 09:12:30:907,463358,463358,0,0,17552106,0,4477 47,3,2024-09-07 09:12:31:115,1,365,1,0,529,3947,365,0 48,0,2024-09-07 09:12:31:504,91089,0.3,90723,0.4,181038,0.2,241475,1.50 48,1,2024-09-07 09:12:31:022,642511,642511,0,0,301630238297,3132066175,638455,3603,453,384,391710,0 48,2,2024-09-07 09:12:30:706,460376,460376,0,0,15968102,0,3031 48,3,2024-09-07 09:12:30:752,1,365,22,0,339,3023,365,0 49,0,2024-09-07 09:12:31:746,94574,0.3,93012,0.5,179836,0.2,246988,1.75 49,1,2024-09-07 09:12:31:022,642137,642137,0,0,301073770047,3132166153,637708,3244,1185,382,391809,0 49,2,2024-09-07 09:12:31:797,463422,463422,0,0,17517756,0,4426 49,3,2024-09-07 09:12:31:416,1,365,1,0,408,3668,365,0 50,0,2024-09-07 09:12:31:506,91276,0.3,89813,0.4,181276,0.2,241378,1.75 50,1,2024-09-07 09:12:31:018,644111,644111,0,0,301928748755,3119415822,640204,3533,374,368,391565,0 50,2,2024-09-07 09:12:31:069,462306,462306,0,0,16343583,0,2263 50,3,2024-09-07 09:12:31:294,1,365,10,0,335,3381,365,0 51,0,2024-09-07 09:12:31:684,93885,0.3,91747,0.5,178951,0.2,243675,1.75 51,1,2024-09-07 09:12:31:679,644208,644208,0,0,302830938753,3121381235,640940,2292,976,365,391706,0 51,2,2024-09-07 09:12:31:316,461854,461854,0,0,15808710,0,3337 51,3,2024-09-07 09:12:31:027,1,365,22,0,678,2682,365,0 52,0,2024-09-07 09:12:31:414,91833,0.5,91837,0.7,183523,0.4,244051,2.00 52,1,2024-09-07 09:12:30:576,641473,641473,0,0,300768231812,3146673860,632616,7543,1314,368,391722,0 52,2,2024-09-07 09:12:31:760,458287,458249,38,0,21099018,0,6742 52,3,2024-09-07 09:12:30:686,1,365,8,0,1782,5328,365,0 53,0,2024-09-07 09:12:31:744,91104,0.6,88533,0.8,185337,0.6,243299,2.25 53,1,2024-09-07 09:12:30:780,640165,640165,0,0,300634037735,3150541262,630535,7046,2584,367,391702,0 53,2,2024-09-07 09:12:31:298,462300,462300,0,0,17931793,0,2727 53,3,2024-09-07 09:12:30:701,1,365,2,0,308,3293,365,0 54,0,2024-09-07 09:12:31:623,90729,0.6,91130,0.8,181533,0.4,242526,2.25 54,1,2024-09-07 09:12:30:580,641850,641850,0,0,301504727588,3130403212,635931,5300,619,366,391659,0 54,2,2024-09-07 09:12:30:865,462514,462508,6,0,20536298,0,5382 54,3,2024-09-07 09:12:30:763,1,365,166,0,676,5470,365,0 55,0,2024-09-07 09:12:31:759,88708,0.6,91726,0.7,185189,0.5,241664,2.50 55,1,2024-09-07 09:12:30:766,641798,641798,0,0,300692151080,3125029621,635146,5743,909,365,391731,0 55,2,2024-09-07 09:12:30:740,461545,461545,0,0,19884434,0,3563 55,3,2024-09-07 09:12:30:674,1,365,30,0,304,3956,365,0 56,0,2024-09-07 09:12:31:578,92829,1.1,87540,1.1,180491,1.5,241634,2.50 56,1,2024-09-07 09:12:30:576,638999,638999,0,0,300022405345,3161968334,630196,7171,1632,381,391867,0 56,2,2024-09-07 09:12:31:307,461809,461809,0,0,20677865,0,3567 56,3,2024-09-07 09:12:31:060,1,365,13,0,705,4597,365,0 57,0,2024-09-07 09:12:30:968,90451,1.3,90366,1.1,180911,1.8,242223,2.75 57,1,2024-09-07 09:12:30:989,640849,640849,0,0,301053947476,3151947295,633569,6362,918,366,392032,0 57,2,2024-09-07 09:12:31:316,460256,460256,0,0,22259764,0,3317 57,3,2024-09-07 09:12:31:738,1,365,1,0,359,4135,365,0 58,0,2024-09-07 09:12:30:556,90688,0.7,88167,0.9,184492,0.7,242326,2.50 58,1,2024-09-07 09:12:30:577,641516,641513,0,3,300838795410,3141331331,633261,7170,1082,367,391603,3 58,2,2024-09-07 09:12:31:071,461972,461972,0,0,20513426,0,2549 58,3,2024-09-07 09:12:31:069,1,365,1,0,1043,4098,365,0 59,0,2024-09-07 09:12:31:775,91029,0.8,90604,0.9,181078,0.8,240826,2.50 59,1,2024-09-07 09:12:30:805,640705,640705,0,0,301053334239,3147099353,632803,6379,1523,369,391586,0 59,2,2024-09-07 09:12:30:585,463018,463018,0,0,20321448,0,2867 59,3,2024-09-07 09:12:31:738,1,365,50,0,1015,4477,365,0 60,0,2024-09-07 09:12:31:770,90640,0.5,90635,0.7,182000,0.5,241415,1.75 60,1,2024-09-07 09:12:30:803,643142,643142,0,0,301610250209,3128816552,639003,3490,649,370,392031,0 60,2,2024-09-07 09:12:31:146,462176,462176,0,0,19658499,0,3811 60,3,2024-09-07 09:12:31:262,1,365,12,0,409,4262,365,0 61,0,2024-09-07 09:12:31:499,90513,0.6,91242,0.7,181436,0.6,242028,2.00 61,1,2024-09-07 09:12:30:786,641250,641250,0,0,301150096157,3153512146,634030,5894,1326,382,392127,0 61,2,2024-09-07 09:12:31:121,459727,459660,67,0,19859641,0,6411 61,3,2024-09-07 09:12:31:687,1,365,5,0,479,5201,365,0 62,0,2024-09-07 09:12:31:719,93077,0.5,95190,0.6,181582,0.4,247192,1.75 62,1,2024-09-07 09:12:31:114,645599,645593,0,6,301742613420,3111832424,642010,3324,259,365,391715,6 62,2,2024-09-07 09:12:31:644,461711,461710,1,0,19444803,0,5555 62,3,2024-09-07 09:12:31:145,1,365,2,0,465,2716,365,0 63,0,2024-09-07 09:12:31:452,91271,0.4,91036,0.6,182545,0.3,242260,1.75 63,1,2024-09-07 09:12:30:804,643382,643376,0,6,301851975088,3130653605,639439,3549,388,381,391800,6 63,2,2024-09-07 09:12:30:763,462559,462559,0,0,17926377,0,4369 63,3,2024-09-07 09:12:31:732,1,365,2,0,667,3917,365,0 64,0,2024-09-07 09:12:31:529,90463,0.5,90516,0.7,181092,0.5,240235,2.00 64,1,2024-09-07 09:12:30:770,642525,642525,0,0,301330149150,3137783554,636703,4146,1676,370,391783,0 64,2,2024-09-07 09:12:31:147,464296,464277,19,0,17625702,0,6121 64,3,2024-09-07 09:12:31:150,1,365,11,0,265,3310,365,0 65,0,2024-09-07 09:12:31:671,90290,0.6,90568,0.8,180972,0.6,241068,2.00 65,1,2024-09-07 09:12:30:864,640686,640686,0,0,299884267271,3132836577,636202,3959,525,382,391901,0 65,2,2024-09-07 09:12:31:706,461999,461999,0,0,19474574,0,3367 65,3,2024-09-07 09:12:31:688,1,365,1,0,347,3778,365,0 66,0,2024-09-07 09:12:31:762,92060,0.5,92014,0.7,183975,0.4,244989,2.00 66,1,2024-09-07 09:12:31:295,642590,642590,0,0,301251358689,3129938775,638915,3352,323,380,391653,0 66,2,2024-09-07 09:12:31:133,464025,464025,0,0,17422725,0,4956 66,3,2024-09-07 09:12:31:079,1,365,1,0,291,3441,365,0 67,0,2024-09-07 09:12:31:433,91309,0.5,90942,0.6,182390,0.4,242482,2.00 67,1,2024-09-07 09:12:30:766,642846,642845,0,1,301204964569,3135110357,638392,3669,784,380,391787,1 67,2,2024-09-07 09:12:30:592,463088,463088,0,0,16912281,0,2889 67,3,2024-09-07 09:12:31:750,1,365,1,0,338,3276,365,0 68,0,2024-09-07 09:12:30:575,92004,0.6,91653,0.7,182874,0.6,244300,2.00 68,1,2024-09-07 09:12:30:578,640706,640706,0,0,299888180476,3141875396,634705,4451,1550,381,391953,0 68,2,2024-09-07 09:12:31:044,460286,460221,65,0,22383936,0,6698 68,3,2024-09-07 09:12:30:731,1,365,8,0,417,3814,365,0 69,0,2024-09-07 09:12:31:724,90831,0.8,91466,0.9,181932,0.9,241860,2.25 69,1,2024-09-07 09:12:31:018,639028,639028,0,0,300081684834,3163018719,630655,6215,2158,384,391994,0 69,2,2024-09-07 09:12:31:733,461786,461786,0,0,22653228,0,3722 69,3,2024-09-07 09:12:30:770,1,365,4,0,698,5173,365,0 70,0,2024-09-07 09:12:31:530,91149,1.0,91230,1.0,183367,0.9,243408,2.50 70,1,2024-09-07 09:12:30:804,642338,642338,0,0,301407709448,3127364254,637101,4579,658,366,391725,0 70,2,2024-09-07 09:12:31:325,460193,460193,0,0,20162030,0,4044 70,3,2024-09-07 09:12:30:748,1,365,19,0,854,4259,365,0 71,0,2024-09-07 09:12:31:359,90834,0.7,90516,0.9,181754,0.7,243034,2.50 71,1,2024-09-07 09:12:31:596,641379,641379,0,0,301388811601,3143139639,634209,6436,734,368,391738,0 71,2,2024-09-07 09:12:31:069,461916,461916,0,0,20150123,0,4042 71,3,2024-09-07 09:12:31:750,1,365,1,0,644,4743,365,0 72,0,2024-09-07 09:12:31:028,94712,0.6,92573,0.7,180549,0.6,245707,2.00 72,1,2024-09-07 09:12:31:032,640941,640941,0,0,300735046939,3147539216,632474,6762,1705,369,391819,0 72,2,2024-09-07 09:12:31:754,461881,461881,0,0,22705575,0,3983 72,3,2024-09-07 09:12:31:764,1,365,16,0,564,5595,365,0 73,0,2024-09-07 09:12:31:116,88776,0.4,91030,0.6,186207,0.4,241855,2.00 73,1,2024-09-07 09:12:30:787,641850,641850,0,0,300666003305,3122643025,637420,4100,330,367,391858,0 73,2,2024-09-07 09:12:31:738,462139,462139,0,0,21901314,0,3701 73,3,2024-09-07 09:12:30:969,1,365,90,0,486,5200,365,0 74,0,2024-09-07 09:12:31:320,92167,0.4,94465,0.6,180341,0.4,244511,2.00 74,1,2024-09-07 09:12:30:635,641480,641480,0,0,300188729462,3131072271,635298,4919,1263,381,391681,0 74,2,2024-09-07 09:12:31:002,458793,458793,0,0,20592549,0,4253 74,3,2024-09-07 09:12:31:442,1,365,1,0,522,4989,365,0 75,0,2024-09-07 09:12:31:782,92381,0.4,91879,0.6,184316,0.4,246307,2.00 75,1,2024-09-07 09:12:31:592,640683,640683,0,0,300535104256,3136552459,634170,5687,826,380,391739,0 75,2,2024-09-07 09:12:31:350,461872,461872,0,0,21357597,0,4766 75,3,2024-09-07 09:12:31:068,1,365,1,0,702,5055,365,0 76,0,2024-09-07 09:12:30:611,90591,0.6,89987,0.7,180479,0.6,241314,2.25 76,1,2024-09-07 09:12:30:805,641826,641826,0,0,300462107590,3129976806,637896,3339,591,382,391692,0 76,2,2024-09-07 09:12:31:061,464394,464393,1,0,20048458,0,5144 76,3,2024-09-07 09:12:31:146,1,365,9,0,175,3407,365,0 77,0,2024-09-07 09:12:31:710,91074,0.6,91140,0.7,182447,0.6,242164,2.00 77,1,2024-09-07 09:12:30:825,641455,641455,0,0,300619681583,3138207123,636133,4831,491,381,391869,0 77,2,2024-09-07 09:12:31:294,462235,462235,0,0,19530368,0,3890 77,3,2024-09-07 09:12:31:094,1,365,19,0,401,4040,365,0 78,0,2024-09-07 09:12:31:746,90964,0.4,90435,0.6,181662,0.4,240751,2.00 78,1,2024-09-07 09:12:30:611,642274,642274,0,0,300765340334,3127023923,636339,4790,1145,367,391670,0 78,2,2024-09-07 09:12:31:406,461237,461237,0,0,16786250,0,3855 78,3,2024-09-07 09:12:31:132,1,365,140,0,181,3424,365,0 79,0,2024-09-07 09:12:31:357,89224,0.4,91396,0.6,187076,0.3,243820,2.00 79,1,2024-09-07 09:12:30:577,644051,644051,0,0,301288453921,3116641462,639464,3952,635,368,391682,0 79,2,2024-09-07 09:12:31:069,463862,463862,0,0,17852888,0,4195 79,3,2024-09-07 09:12:30:749,1,365,180,0,418,4612,365,0 80,0,2024-09-07 09:12:31:087,90682,0.5,93441,0.6,179028,0.5,241145,2.00 80,1,2024-09-07 09:12:31:619,642294,642294,0,0,301021231535,3129281233,638610,3487,197,368,391791,0 80,2,2024-09-07 09:12:31:092,463931,463931,0,0,17460565,0,4433 80,3,2024-09-07 09:12:30:575,1,365,11,0,190,4336,365,0 81,0,2024-09-07 09:12:31:533,91525,0.5,93645,0.7,178795,0.5,242402,2.00 81,1,2024-09-07 09:12:31:650,641342,641342,0,0,300494435102,3138400234,636264,4577,501,382,391879,0 81,2,2024-09-07 09:12:31:125,461053,460990,63,0,19323532,0,5932 81,3,2024-09-07 09:12:31:117,1,365,8,0,374,4046,365,0 82,0,2024-09-07 09:12:31:534,91128,0.5,91628,0.7,183798,0.4,243741,2.00 82,1,2024-09-07 09:12:30:596,643149,643145,0,4,301105525419,3131591567,639064,3283,798,381,391768,4 82,2,2024-09-07 09:12:31:693,463069,463069,0,0,16323667,0,3986 82,3,2024-09-07 09:12:31:756,1,365,0,0,363,3527,365,0 83,0,2024-09-07 09:12:31:525,91958,0.5,91666,0.7,182961,0.4,243657,2.00 83,1,2024-09-07 09:12:30:555,641202,641202,0,0,300700700803,3132165350,636826,3995,381,382,391709,0 83,2,2024-09-07 09:12:30:769,461658,461658,0,0,16886301,0,3393 83,3,2024-09-07 09:12:30:749,1,365,0,0,1260,4920,365,0 84,0,2024-09-07 09:12:31:794,91647,0.7,91483,0.8,182734,0.6,244967,2.25 84,1,2024-09-07 09:12:31:043,640516,640516,0,0,300663990672,3142447114,633576,5908,1032,367,391967,0 84,2,2024-09-07 09:12:30:578,461946,461946,0,0,21699800,0,4757 84,3,2024-09-07 09:12:31:141,1,365,8,0,908,5463,365,0 85,0,2024-09-07 09:12:31:004,88414,0.6,88397,0.8,187703,0.6,242888,2.25 85,1,2024-09-07 09:12:30:559,639109,639109,0,0,300409617944,3165985869,630287,7436,1386,381,392006,0 85,2,2024-09-07 09:12:30:864,461622,461622,0,0,21470391,0,3656 85,3,2024-09-07 09:12:30:685,1,365,93,0,789,4806,365,0 86,0,2024-09-07 09:12:30:984,90816,0.7,93325,0.8,178674,0.7,241490,2.25 86,1,2024-09-07 09:12:30:834,640956,640956,0,0,300703319974,3145062313,633939,5948,1069,366,391961,0 86,2,2024-09-07 09:12:30:919,460733,460732,1,0,22239357,0,5004 86,3,2024-09-07 09:12:30:592,1,365,34,0,308,5391,365,0 87,0,2024-09-07 09:12:31:285,91270,0.8,91140,0.9,182849,0.9,244405,2.25 87,1,2024-09-07 09:12:30:551,640730,640730,0,0,300056619604,3136939270,634041,5929,760,366,392076,0 87,2,2024-09-07 09:12:31:068,458824,458824,0,0,19888596,0,4045 87,3,2024-09-07 09:12:31:813,1,365,2,0,473,5158,365,0 88,0,2024-09-07 09:12:31:449,91838,0.4,92632,0.6,184261,0.4,245275,1.75 88,1,2024-09-07 09:12:30:635,639291,639291,0,0,300212030291,3143269139,631254,6248,1789,365,392084,0 88,2,2024-09-07 09:12:30:691,463319,463319,0,0,22298622,0,3583 88,3,2024-09-07 09:12:31:268,1,365,10,0,435,3811,365,0 89,0,2024-09-07 09:12:31:768,93929,0.5,90880,0.6,180018,0.4,244524,1.75 89,1,2024-09-07 09:12:30:552,639614,639614,0,0,300319257802,3156150474,631547,6710,1357,382,391866,0 89,2,2024-09-07 09:12:31:157,461634,461634,0,0,21371060,0,2910 89,3,2024-09-07 09:12:31:791,1,365,1,0,385,6372,365,0 90,0,2024-09-07 09:12:31:641,87980,0.5,90424,0.6,184260,0.4,240468,2.00 90,1,2024-09-07 09:12:30:595,640863,640863,0,0,300214007867,3144220550,634564,5793,506,380,391825,0 90,2,2024-09-07 09:12:31:407,460572,460572,0,0,22582814,0,3060 90,3,2024-09-07 09:12:30:932,1,365,70,0,246,4104,365,0 91,0,2024-09-07 09:12:30:994,91304,0.4,88376,0.6,184591,0.4,242515,1.75 91,1,2024-09-07 09:12:30:556,639742,639742,0,0,300017054625,3152813978,631745,6946,1051,381,392047,0 91,2,2024-09-07 09:12:31:346,460366,460366,0,0,20121126,0,2896 91,3,2024-09-07 09:12:30:599,1,365,1,0,216,3342,365,0 92,0,2024-09-07 09:12:31:440,93167,0.4,95550,0.6,182433,0.4,247562,1.75 92,1,2024-09-07 09:12:30:585,640939,640939,0,0,300105731330,3132980301,636115,4135,689,382,392136,0 92,2,2024-09-07 09:12:31:351,463692,463692,0,0,18319868,0,3259 92,3,2024-09-07 09:12:31:009,1,365,28,0,167,3107,365,0 93,0,2024-09-07 09:12:30:956,91539,0.4,93794,0.5,179079,0.3,242464,1.75 93,1,2024-09-07 09:12:30:808,641442,641442,0,0,300595233033,3134941606,635300,5110,1032,366,391776,0 93,2,2024-09-07 09:12:30:934,462035,462035,0,0,21408562,0,4845 93,3,2024-09-07 09:12:31:406,1,365,1,0,190,3441,365,0 94,0,2024-09-07 09:12:31:665,90636,0.4,91464,0.5,182688,0.3,241912,1.75 94,1,2024-09-07 09:12:30:584,641412,641412,0,0,300803980889,3136284970,637348,3873,191,381,391850,0 94,2,2024-09-07 09:12:30:786,461355,461355,0,0,18369137,0,2443 94,3,2024-09-07 09:12:31:688,1,365,0,0,264,4374,365,0 95,0,2024-09-07 09:12:31:369,90998,0.4,91053,0.5,182511,0.3,242944,1.75 95,1,2024-09-07 09:12:30:856,642944,642944,0,0,301044546693,3128047907,637915,4628,401,367,391713,0 95,2,2024-09-07 09:12:31:024,461625,461625,0,0,18049982,0,3308 95,3,2024-09-07 09:12:31:721,1,365,14,0,718,5512,365,0 96,0,2024-09-07 09:12:31:037,92511,0.3,92668,0.5,185201,0.3,245703,1.75 96,1,2024-09-07 09:12:31:584,641170,641170,0,0,301114244790,3139850743,636580,3792,798,384,391955,0 96,2,2024-09-07 09:12:31:268,462705,462705,0,0,18176387,0,4042 96,3,2024-09-07 09:12:31:140,1,365,14,0,411,3920,365,0 97,0,2024-09-07 09:12:31:311,91219,0.3,90961,0.5,182678,0.3,242190,1.50 97,1,2024-09-07 09:12:30:766,642906,642906,0,0,301606294021,3125516086,638843,3388,675,367,392140,0 97,2,2024-09-07 09:12:30:608,463111,463111,0,0,17793168,0,3036 97,3,2024-09-07 09:12:30:569,1,365,12,0,214,4138,365,0 98,0,2024-09-07 09:12:31:732,91687,0.3,91557,0.4,183875,0.2,244313,1.50 98,1,2024-09-07 09:12:30:570,642123,642123,0,0,300858592539,3127012774,639443,2573,107,382,391997,0 98,2,2024-09-07 09:12:30:786,462051,462051,0,0,17781944,0,3080 98,3,2024-09-07 09:12:30:707,1,365,1,0,840,5658,365,0 99,0,2024-09-07 09:12:31:510,91418,0.3,91981,0.5,182734,0.3,244055,1.75 99,1,2024-09-07 09:12:31:730,642002,642002,0,0,300757732946,3129857129,637613,3618,771,381,392069,0 99,2,2024-09-07 09:12:31:449,463226,463226,0,0,20142970,0,3424 99,3,2024-09-07 09:12:30:592,1,365,606,0,606,4026,365,0 100,0,2024-09-07 09:12:31:461,91820,0.8,91823,1.0,183200,0.9,245112,2.50 100,1,2024-09-07 09:12:30:557,638539,638539,0,0,299198851887,3159646082,629271,7391,1877,381,391989,0 100,2,2024-09-07 09:12:31:821,459271,459260,11,0,20492561,0,5417 100,3,2024-09-07 09:12:31:733,1,365,7,0,559,6119,365,0 101,0,2024-09-07 09:12:31:732,93435,0.7,90995,0.9,178520,0.5,244454,2.00 101,1,2024-09-07 09:12:30:552,638581,638581,0,0,299793409089,3155285173,628710,7756,2115,368,391847,0 101,2,2024-09-07 09:12:31:757,459685,459685,0,0,24107064,0,4644 101,3,2024-09-07 09:12:30:943,1,365,1,0,579,4649,365,0 102,0,2024-09-07 09:12:30:980,89310,0.7,92301,0.8,186574,0.7,244495,2.25 102,1,2024-09-07 09:12:31:148,639632,639632,0,0,300052859286,3150931358,631386,6989,1257,369,391891,0 102,2,2024-09-07 09:12:31:743,462953,462899,54,0,20306921,0,6768 102,3,2024-09-07 09:12:31:618,1,365,5,0,410,3760,365,0 103,0,2024-09-07 09:12:31:626,94018,0.6,93918,0.7,177201,0.6,243642,2.00 103,1,2024-09-07 09:12:31:632,638835,638835,0,0,299340513117,3154009985,629706,7068,2061,381,391829,0 103,2,2024-09-07 09:12:30:597,460945,460945,0,0,21265259,0,3173 103,3,2024-09-07 09:12:30:757,1,365,8,0,916,4313,365,0 104,0,2024-09-07 09:12:31:021,90813,0.7,91196,0.9,181116,0.6,243841,2.25 104,1,2024-09-07 09:12:31:599,640898,640898,0,0,300145199560,3150673261,631968,7230,1700,365,392168,0 104,2,2024-09-07 09:12:31:666,458025,458025,0,0,21742282,0,3941 104,3,2024-09-07 09:12:31:416,1,365,12,0,1245,7704,365,0 105,0,2024-09-07 09:12:31:038,91141,0.7,88711,1.0,185836,0.8,244976,2.50 105,1,2024-09-07 09:12:30:557,641179,641179,0,0,301125292513,3153658210,633013,6829,1337,366,392009,0 105,2,2024-09-07 09:12:31:322,462016,462016,0,0,21291489,0,3509 105,3,2024-09-07 09:12:31:304,1,365,1,0,399,5725,365,0 106,0,2024-09-07 09:12:30:976,87734,0.8,89860,0.9,184141,0.8,240741,2.50 106,1,2024-09-07 09:12:31:771,640094,640094,0,0,300486972113,3154945145,630471,8328,1295,369,391914,0 106,2,2024-09-07 09:12:30:756,461323,461323,0,0,20965740,0,2795 106,3,2024-09-07 09:12:30:677,1,365,6,0,470,4713,365,0 107,0,2024-09-07 09:12:31:106,90835,1.1,90935,1.0,181813,1.5,242018,2.25 107,1,2024-09-07 09:12:30:586,639071,639071,0,0,299362796547,3150218436,630993,7161,917,381,392234,0 107,2,2024-09-07 09:12:31:293,460193,460192,1,0,21398563,0,5024 107,3,2024-09-07 09:12:31:757,1,365,1,0,353,4967,365,0 108,0,2024-09-07 09:12:31:809,90280,0.4,91019,0.6,180799,0.4,241237,1.75 108,1,2024-09-07 09:12:31:297,641828,641828,0,0,301374247080,3140102715,636730,4580,518,368,391857,0 108,2,2024-09-07 09:12:31:755,459495,459495,0,0,20376638,0,4246 108,3,2024-09-07 09:12:31:330,1,365,8,0,749,7212,365,0 109,0,2024-09-07 09:12:31:749,92588,0.4,91755,0.5,183742,0.3,246336,1.75 109,1,2024-09-07 09:12:30:661,639566,639566,0,0,300200964297,3145237366,634341,4560,665,383,392132,0 109,2,2024-09-07 09:12:30:921,460696,460696,0,0,19888466,0,3617 109,3,2024-09-07 09:12:31:148,1,365,1,0,379,4335,365,0 110,0,2024-09-07 09:12:31:786,90724,0.4,88261,0.6,184935,0.3,241833,1.75 110,1,2024-09-07 09:12:31:652,643024,643024,0,0,301541880554,3125895513,639014,3031,979,369,392045,0 110,2,2024-09-07 09:12:31:304,462169,462169,0,0,19741516,0,4067 110,3,2024-09-07 09:12:30:691,1,365,6,0,722,5254,365,0 111,0,2024-09-07 09:12:31:430,91857,0.4,91158,0.6,182779,0.4,243710,1.75 111,1,2024-09-07 09:12:31:000,643340,643340,0,0,301567384717,3126627782,639954,3033,353,382,391690,0 111,2,2024-09-07 09:12:31:116,460931,460931,0,0,19057788,0,4823 111,3,2024-09-07 09:12:30:913,1,365,0,0,379,4314,365,0 112,0,2024-09-07 09:12:30:913,92370,0.3,91716,0.4,183916,0.2,244412,1.50 112,1,2024-09-07 09:12:30:824,642769,642769,0,0,302017151335,3131228413,639161,3024,584,380,391624,0 112,2,2024-09-07 09:12:31:137,462166,462165,1,0,17654279,0,5036 112,3,2024-09-07 09:12:30:591,1,365,8,0,282,3621,365,0 113,0,2024-09-07 09:12:30:878,91604,0.3,91718,0.4,183881,0.2,244977,1.50 113,1,2024-09-07 09:12:31:685,644469,644469,0,0,302684242938,3121440029,640961,2924,584,366,391661,0 113,2,2024-09-07 09:12:31:302,462882,462882,0,0,16271253,0,3813 113,3,2024-09-07 09:12:30:684,1,365,1,0,340,4117,365,0 114,0,2024-09-07 09:12:30:875,92475,0.3,93188,0.5,185155,0.2,247494,1.75 114,1,2024-09-07 09:12:30:723,642642,642642,0,0,301007272292,3125448272,637435,3613,1594,381,391556,0 114,2,2024-09-07 09:12:30:874,462915,462914,1,0,17888339,0,5069 114,3,2024-09-07 09:12:31:278,1,365,3,0,395,3053,365,0 115,0,2024-09-07 09:12:30:558,91766,0.3,92430,0.4,184318,0.2,245360,1.50 115,1,2024-09-07 09:12:30:576,642187,642187,0,0,301529266538,3130589872,636977,4368,842,382,391757,0 115,2,2024-09-07 09:12:31:125,464272,464272,0,0,16406981,0,3453 115,3,2024-09-07 09:12:31:002,1,365,10,0,159,2195,365,0 116,0,2024-09-07 09:12:31:697,90542,0.8,90421,0.9,181447,0.8,242667,2.00 116,1,2024-09-07 09:12:30:828,638806,638806,0,0,300407820674,3165910979,630737,5753,2316,380,392089,0 116,2,2024-09-07 09:12:31:750,461499,461499,0,0,22042350,0,3529 116,3,2024-09-07 09:12:30:914,1,365,8,0,415,4397,365,0 117,0,2024-09-07 09:12:30:950,91702,0.7,91288,0.8,182660,0.7,244443,2.00 117,1,2024-09-07 09:12:31:583,639835,639835,0,0,300303675982,3142587402,632901,6089,845,369,392033,0 117,2,2024-09-07 09:12:31:122,462270,462270,0,0,18314486,0,3700 117,3,2024-09-07 09:12:31:068,1,365,3,0,490,5132,365,0 118,0,2024-09-07 09:12:31:801,89302,0.5,91517,0.6,186973,0.4,244438,2.00 118,1,2024-09-07 09:12:30:591,639718,639718,0,0,300034787064,3151836230,630464,7220,2034,366,391907,0 118,2,2024-09-07 09:12:31:587,461853,461853,0,0,20567076,0,2781 118,3,2024-09-07 09:12:31:777,1,365,1,0,248,4171,365,0 119,0,2024-09-07 09:12:31:401,90904,0.6,91294,0.7,182540,0.6,242840,2.00 119,1,2024-09-07 09:12:30:548,641064,641064,0,0,300253809827,3139251807,634047,6177,840,367,391780,0 119,2,2024-09-07 09:12:31:262,462628,462628,0,0,19345511,0,4174 119,3,2024-09-07 09:12:31:325,1,365,1,0,1058,6511,365,0 120,0,2024-09-07 09:12:31:547,90311,0.6,90026,0.8,180127,0.6,241108,2.25 120,1,2024-09-07 09:12:30:874,640697,640697,0,0,300137818022,3146583867,634211,5926,560,368,391961,0 120,2,2024-09-07 09:12:30:787,461356,461355,1,0,22276274,0,5281 120,3,2024-09-07 09:12:31:290,1,365,11,0,241,4398,365,0 121,0,2024-09-07 09:12:31:693,90608,0.9,90661,0.9,181942,1.2,242116,2.25 121,1,2024-09-07 09:12:31:655,641016,641016,0,0,300174081631,3136770238,635058,5520,438,367,391840,0 121,2,2024-09-07 09:12:31:125,458874,458874,0,0,21270763,0,4127 121,3,2024-09-07 09:12:30:727,1,365,10,0,269,4058,365,0 122,0,2024-09-07 09:12:31:798,91906,0.7,89870,0.8,188608,0.8,247304,2.00 122,1,2024-09-07 09:12:30:877,640192,640192,0,0,299845992684,3142484609,631719,7210,1263,366,392130,0 122,2,2024-09-07 09:12:31:328,462345,462272,73,0,24150481,0,5989 122,3,2024-09-07 09:12:30:602,1,365,88,0,512,6841,365,0 123,0,2024-09-07 09:12:30:956,90580,0.6,88559,0.7,184772,0.7,241931,2.00 123,1,2024-09-07 09:12:30:608,639878,639878,0,0,300148668673,3160514153,628342,9405,2131,369,392039,0 123,2,2024-09-07 09:12:31:019,460862,460861,1,0,20751991,0,5215 123,3,2024-09-07 09:12:31:134,1,365,1,0,168,4043,365,0 124,0,2024-09-07 09:12:30:974,93808,0.4,93789,0.5,176893,0.3,242760,1.75 124,1,2024-09-07 09:12:31:024,642212,642212,0,0,300215328791,3120948279,637484,3817,911,367,392178,0 124,2,2024-09-07 09:12:31:011,462631,462578,53,0,18587527,0,6487 124,3,2024-09-07 09:12:30:765,1,365,8,0,490,3628,365,0 125,0,2024-09-07 09:12:31:500,91414,0.4,91034,0.5,183022,0.3,243315,1.75 125,1,2024-09-07 09:12:30:856,641066,641066,0,0,301156478939,3141325246,636174,4245,647,382,391702,0 125,2,2024-09-07 09:12:31:122,461994,461994,0,0,19181065,0,4534 125,3,2024-09-07 09:12:31:127,1,365,3,0,709,4699,365,0 126,0,2024-09-07 09:12:31:443,92336,0.4,94985,0.6,181918,0.4,246475,1.75 126,1,2024-09-07 09:12:30:568,642878,642878,0,0,301481782821,3121698724,639129,3461,288,365,391987,0 126,2,2024-09-07 09:12:30:610,462754,462754,0,0,19599163,0,4539 126,3,2024-09-07 09:12:30:907,1,365,4,0,207,4491,365,0 127,0,2024-09-07 09:12:31:654,91102,0.3,91538,0.5,182576,0.3,242349,1.50 127,1,2024-09-07 09:12:30:634,642016,642016,0,0,301712248307,3131725552,636583,4572,861,364,392187,0 127,2,2024-09-07 09:12:30:689,461611,461611,0,0,17801556,0,3897 127,3,2024-09-07 09:12:31:272,1,365,1,0,968,4122,365,0 128,0,2024-09-07 09:12:31:529,91986,0.3,92362,0.4,184432,0.2,244624,1.50 128,1,2024-09-07 09:12:31:606,642196,642196,0,0,300282343021,3116593256,637983,3841,372,367,391838,0 128,2,2024-09-07 09:12:31:382,462748,462748,0,0,17188657,0,2915 128,3,2024-09-07 09:12:30:781,1,365,8,0,1082,6008,365,0 129,0,2024-09-07 09:12:30:998,92008,0.3,91478,0.5,183526,0.3,244212,1.50 129,1,2024-09-07 09:12:30:567,639519,639519,0,0,300343271877,3143053097,633682,4580,1257,379,391835,0 129,2,2024-09-07 09:12:30:686,462888,462888,0,0,18056948,0,4031 129,3,2024-09-07 09:12:30:691,1,365,506,0,506,5058,365,0 130,0,2024-09-07 09:12:31:740,92584,0.5,92132,0.6,185501,0.5,246620,1.75 130,1,2024-09-07 09:12:30:588,642294,642294,0,0,301224097255,3129889184,638863,3151,280,381,391825,0 130,2,2024-09-07 09:12:31:124,462128,462128,0,0,17677811,0,4067 130,3,2024-09-07 09:12:31:294,1,365,12,0,535,4780,365,0 131,0,2024-09-07 09:12:31:945,91220,0.3,91753,0.5,184616,0.3,244893,1.50 131,1,2024-09-07 09:12:31:836,642142,642142,0,0,300958542955,3138776581,637611,3783,748,381,391865,0 131,2,2024-09-07 09:12:30:589,464269,464269,0,0,16358516,0,2415 131,3,2024-09-07 09:12:31:688,1,365,3,0,392,3685,365,0 132,0,2024-09-07 09:12:31:417,91768,0.4,92673,0.6,184359,0.4,245291,2.00 132,1,2024-09-07 09:12:30:577,638991,638991,0,0,300169697574,3158948468,629878,7584,1529,381,392097,0 132,2,2024-09-07 09:12:30:702,462342,462342,0,0,22291297,0,4606 132,3,2024-09-07 09:12:31:688,1,365,9,0,804,6380,365,0 133,0,2024-09-07 09:12:31:529,88786,0.5,90834,0.6,186420,0.4,242360,1.75 133,1,2024-09-07 09:12:30:587,639005,639005,0,0,299842879555,3157740792,630393,7480,1132,383,391914,0 133,2,2024-09-07 09:12:31:086,461481,461481,0,0,22936617,0,4315 133,3,2024-09-07 09:12:31:297,1,365,2,0,479,3784,365,0 134,0,2024-09-07 09:12:31:042,91961,0.5,91769,0.7,183503,0.5,245082,2.00 134,1,2024-09-07 09:12:30:720,640096,640096,0,0,299932116936,3146366277,631522,6692,1882,366,391718,0 134,2,2024-09-07 09:12:31:772,458852,458852,0,0,19031670,0,3847 134,3,2024-09-07 09:12:30:752,1,365,4,0,739,4574,365,0 135,0,2024-09-07 09:12:31:104,89163,0.7,89085,0.8,189084,0.8,244142,2.00 135,1,2024-09-07 09:12:31:589,640244,640244,0,0,300212668745,3157186157,631647,7359,1238,380,391805,0 135,2,2024-09-07 09:12:30:699,463713,463713,0,0,21114647,0,3981 135,3,2024-09-07 09:12:31:007,1,365,50,0,299,2820,365,0 136,0,2024-09-07 09:12:31:622,91201,0.5,91479,0.7,181819,0.5,242568,2.00 136,1,2024-09-07 09:12:31:443,640003,640003,0,0,300367088769,3149448172,632968,6337,698,382,391685,0 136,2,2024-09-07 09:12:31:137,462907,462907,0,0,20519702,0,3506 136,3,2024-09-07 09:12:31:106,1,365,11,0,637,4026,365,0 137,0,2024-09-07 09:12:30:920,93732,0.6,91227,0.7,179314,0.7,243302,2.00 137,1,2024-09-07 09:12:30:576,639819,639819,0,0,300320409041,3150129850,629937,7999,1883,366,391898,0 137,2,2024-09-07 09:12:31:706,460580,460580,0,0,22831263,0,3185 137,3,2024-09-07 09:12:30:785,1,365,5,0,382,4141,365,0 138,0,2024-09-07 09:12:31:743,90011,1.2,90117,1.0,181067,1.7,240163,2.25 138,1,2024-09-07 09:12:31:687,640909,640909,0,0,300414030779,3151579275,631711,7599,1599,368,391954,0 138,2,2024-09-07 09:12:30:591,460153,460153,0,0,20349959,0,4988 138,3,2024-09-07 09:12:30:610,1,365,1,0,1160,4940,365,0 139,0,2024-09-07 09:12:31:417,91126,0.9,91406,1.0,182912,1.2,244347,2.25 139,1,2024-09-07 09:12:30:596,637840,637840,0,0,298970546844,3171722669,626385,8807,2648,381,392058,0 139,2,2024-09-07 09:12:30:694,459531,459531,0,0,22568777,0,3097 139,3,2024-09-07 09:12:31:662,1,365,1,0,257,4168,365,0 140,0,2024-09-07 09:12:31:587,91057,0.3,90618,0.5,181919,0.2,241951,1.75 140,1,2024-09-07 09:12:31:535,643958,643958,0,0,301332279459,3106224019,640733,2786,439,365,391606,0 140,2,2024-09-07 09:12:30:687,462274,462273,1,0,18285500,0,5036 140,3,2024-09-07 09:12:30:768,1,365,7,0,247,2893,365,0 141,0,2024-09-07 09:12:31:704,91727,0.3,94123,0.5,179783,0.3,243699,1.75 141,1,2024-09-07 09:12:30:872,642630,642630,0,0,301370641938,3128453011,638064,3704,862,379,391614,0 141,2,2024-09-07 09:12:31:686,462013,462013,0,0,17951516,0,3360 141,3,2024-09-07 09:12:31:044,1,365,1,0,391,4094,365,0 142,0,2024-09-07 09:12:31:305,92416,0.3,91740,0.5,183341,0.3,244963,1.75 142,1,2024-09-07 09:12:30:586,642104,642104,0,0,299854925955,3124547926,638131,3621,352,382,392102,0 142,2,2024-09-07 09:12:31:299,461520,461488,32,0,19307901,0,6028 142,3,2024-09-07 09:12:31:746,1,365,1,0,484,4290,365,0 143,0,2024-09-07 09:12:31:370,91862,0.4,91694,0.5,183798,0.4,245120,1.75 143,1,2024-09-07 09:12:30:556,642997,642997,0,0,301563615608,3125715788,639207,3637,153,367,391705,0 143,2,2024-09-07 09:12:30:782,462013,462013,0,0,18694480,0,3123 143,3,2024-09-07 09:12:31:140,1,365,2,0,303,4326,365,0 144,0,2024-09-07 09:12:31:555,89292,0.6,91647,0.8,187046,0.5,245379,2.00 144,1,2024-09-07 09:12:30:565,639657,639657,0,0,299838019837,3139886366,634695,4021,941,381,391649,0 144,2,2024-09-07 09:12:31:756,463533,463533,0,0,17222447,0,3473 144,3,2024-09-07 09:12:31:739,1,365,9,0,249,3593,365,0 145,0,2024-09-07 09:12:31:391,88822,0.6,88814,0.8,188268,0.5,242600,2.25 145,1,2024-09-07 09:12:30:557,639442,639442,0,0,300266564994,3154497250,631926,6373,1143,382,391759,0 145,2,2024-09-07 09:12:31:429,461221,461221,0,0,20921661,0,3903 145,3,2024-09-07 09:12:30:897,1,365,2,0,622,5400,365,0 146,0,2024-09-07 09:12:31:599,90927,0.6,90293,0.7,181975,0.5,241355,2.25 146,1,2024-09-07 09:12:31:598,640706,640706,0,0,300298485463,3154854427,630770,7925,2011,368,391770,0 146,2,2024-09-07 09:12:31:719,460913,460913,0,0,20609347,0,2730 146,3,2024-09-07 09:12:31:274,1,365,67,0,1520,7385,365,0 147,0,2024-09-07 09:12:31:717,91722,0.5,91437,0.7,182200,0.5,244413,2.00 147,1,2024-09-07 09:12:31:402,642388,642388,0,0,301510851825,3134551992,636667,4920,801,368,391791,0 147,2,2024-09-07 09:12:31:204,459974,459974,0,0,18118903,0,2789 147,3,2024-09-07 09:12:30:913,1,365,5,0,730,5183,365,0 0,0,2024-09-07 09:12:41:699,88289,0.6,88270,0.7,187382,0.7,242011,2.00 0,1,2024-09-07 09:12:40:801,642747,642747,0,0,301255224126,3148064325,638507,3994,246,369,391896,0 0,2,2024-09-07 09:12:41:067,464417,464417,0,0,18334439,0,4480 0,3,2024-09-07 09:12:40:974,1,366,8,0,431,5206,366,0 1,0,2024-09-07 09:12:41:791,91254,0.7,90712,0.9,182028,0.9,243325,2.00 1,1,2024-09-07 09:12:40:658,641969,641969,0,0,301806587784,3157328986,636305,4435,1229,370,391857,0 1,2,2024-09-07 09:12:40:643,459615,459615,0,0,17788384,0,3380 1,3,2024-09-07 09:12:41:302,1,366,1,0,262,4131,366,0 2,0,2024-09-07 09:12:41:569,92848,0.6,93029,0.7,185101,0.6,248237,2.00 2,1,2024-09-07 09:12:40:860,644064,644064,0,0,302143962566,3135160017,641119,2652,293,380,391745,0 2,2,2024-09-07 09:12:41:267,464760,464760,0,0,17511139,0,3594 2,3,2024-09-07 09:12:40:691,1,366,1,0,357,3745,366,0 3,0,2024-09-07 09:12:41:744,91092,0.4,90936,0.6,181711,0.4,241892,2.00 3,1,2024-09-07 09:12:41:620,642597,642597,0,0,301062612020,3133440552,637363,4610,624,380,391591,0 3,2,2024-09-07 09:12:41:141,463847,463824,23,0,17939389,0,5851 3,3,2024-09-07 09:12:41:752,1,366,5,0,207,2284,366,0 4,0,2024-09-07 09:12:41:765,88495,0.4,90923,0.5,185283,0.3,242126,1.75 4,1,2024-09-07 09:12:40:601,640650,640650,0,0,300468653109,3168238288,631626,7276,1748,370,391992,0 4,2,2024-09-07 09:12:41:023,461065,461065,0,0,21167817,0,4528 4,3,2024-09-07 09:12:41:027,1,366,12,0,448,4991,366,0 5,0,2024-09-07 09:12:41:373,91182,0.4,91676,0.6,182818,0.4,242714,1.75 5,1,2024-09-07 09:12:40:758,641942,641942,0,0,301123410263,3165153873,634047,6427,1468,367,392005,0 5,2,2024-09-07 09:12:41:829,460361,460361,0,0,19997989,0,2432 5,3,2024-09-07 09:12:41:736,1,366,4,0,457,5089,366,0 6,0,2024-09-07 09:12:40:916,93095,0.4,92623,0.6,184974,0.4,247253,1.75 6,1,2024-09-07 09:12:40:749,642516,642516,0,0,301442723317,3147593404,635786,5645,1085,379,391694,0 6,2,2024-09-07 09:12:41:127,463213,463213,0,0,19580567,0,4816 6,3,2024-09-07 09:12:41:274,1,366,0,0,340,3796,366,0 7,0,2024-09-07 09:12:41:531,90703,0.5,91289,0.6,181796,0.5,241592,2.00 7,1,2024-09-07 09:12:40:851,641370,641370,0,0,301435195027,3164386032,632441,7535,1394,382,391747,0 7,2,2024-09-07 09:12:40:770,463827,463827,0,0,19586478,0,4791 7,3,2024-09-07 09:12:40:851,1,366,82,0,398,3989,366,0 8,0,2024-09-07 09:12:41:324,92067,0.4,91868,0.5,183972,0.3,245100,1.75 8,1,2024-09-07 09:12:41:015,640160,640160,0,0,301528817666,3171898423,629494,8174,2492,366,392144,0 8,2,2024-09-07 09:12:40:790,459498,459498,0,0,23576084,0,3220 8,3,2024-09-07 09:12:40:588,1,366,13,0,538,6168,366,0 9,0,2024-09-07 09:12:41:124,91938,0.4,89228,0.5,186705,0.3,244691,1.75 9,1,2024-09-07 09:12:40:562,641097,641097,0,0,300298032633,3162304738,631961,7010,2126,369,392001,0 9,2,2024-09-07 09:12:41:083,462634,462634,0,0,21108088,0,3360 9,3,2024-09-07 09:12:41:752,1,366,9,0,496,5255,366,0 10,0,2024-09-07 09:12:41:602,92485,0.3,91920,0.5,184572,0.2,245938,1.75 10,1,2024-09-07 09:12:40:585,641838,641838,0,0,300783792444,3147528795,633820,6907,1111,381,391741,0 10,2,2024-09-07 09:12:40:764,461975,461975,0,0,22589129,0,4264 10,3,2024-09-07 09:12:40:872,1,366,1,0,296,3282,366,0 11,0,2024-09-07 09:12:41:005,91697,0.4,89028,0.6,186092,0.3,246300,1.75 11,1,2024-09-07 09:12:40:576,642583,642583,0,0,301062133023,3159378960,632497,7638,2448,383,391756,0 11,2,2024-09-07 09:12:41:124,462830,462830,0,0,20520253,0,4130 11,3,2024-09-07 09:12:41:298,1,366,2,0,843,5430,366,0 12,0,2024-09-07 09:12:40:995,92747,0.4,92854,0.5,185361,0.3,246253,1.75 12,1,2024-09-07 09:12:40:959,642370,642370,0,0,301443136988,3140792067,637484,4392,494,370,391870,0 12,2,2024-09-07 09:12:41:541,464683,464683,0,0,19983336,0,3469 12,3,2024-09-07 09:12:41:065,1,366,22,0,386,5776,366,0 13,0,2024-09-07 09:12:41:342,91888,0.4,91579,0.6,182907,0.4,243612,1.75 13,1,2024-09-07 09:12:41:551,641285,641285,0,0,300868259275,3159819304,635366,4508,1411,382,391740,0 13,2,2024-09-07 09:12:40:596,464499,464499,0,0,18435514,0,3287 13,3,2024-09-07 09:12:41:761,1,366,12,0,522,5730,366,0 14,0,2024-09-07 09:12:40:564,92017,0.4,92900,0.6,183883,0.3,245213,1.75 14,1,2024-09-07 09:12:41:561,645887,645887,0,0,302919965075,3133844764,641197,4331,359,364,391571,0 14,2,2024-09-07 09:12:40:767,460337,460307,30,0,19715195,0,6104 14,3,2024-09-07 09:12:41:115,1,366,1,0,1168,4249,366,0 15,0,2024-09-07 09:12:41:556,92386,0.4,92413,0.6,185087,0.3,246521,1.75 15,1,2024-09-07 09:12:41:608,643178,643178,0,0,301655099856,3137846748,638829,3525,824,381,391619,0 15,2,2024-09-07 09:12:41:003,465640,465640,0,0,16541499,0,3622 15,3,2024-09-07 09:12:41:410,1,366,1,0,1126,6437,366,0 16,0,2024-09-07 09:12:40:949,91071,0.5,91434,0.7,182561,0.5,242761,2.00 16,1,2024-09-07 09:12:40:584,643766,643766,0,0,301531713601,3145966925,639225,4169,372,370,391756,0 16,2,2024-09-07 09:12:41:434,463758,463758,0,0,19101942,0,4719 16,3,2024-09-07 09:12:41:155,1,366,8,0,317,4838,366,0 17,0,2024-09-07 09:12:41:782,93810,0.6,91524,0.8,179279,0.7,243050,2.00 17,1,2024-09-07 09:12:40:584,641490,641490,0,0,301623242771,3162662925,635018,5211,1261,368,392075,0 17,2,2024-09-07 09:12:41:669,465257,465257,0,0,18886741,0,2857 17,3,2024-09-07 09:12:40:588,1,366,48,0,298,5901,366,0 18,0,2024-09-07 09:12:40:944,89702,0.6,90108,0.8,179726,0.6,239636,2.25 18,1,2024-09-07 09:12:41:643,644428,644428,0,0,302020912885,3128739798,640848,3263,317,367,391649,0 18,2,2024-09-07 09:12:41:755,463307,463307,0,0,17191110,0,3541 18,3,2024-09-07 09:12:40:896,1,366,1,0,163,3052,366,0 19,0,2024-09-07 09:12:41:539,92171,0.5,92863,0.7,183908,0.5,245463,2.00 19,1,2024-09-07 09:12:40:574,644464,644464,0,0,302485152835,3136209677,639199,4426,839,367,391777,0 19,2,2024-09-07 09:12:41:752,465473,465473,0,0,15885319,0,3988 19,3,2024-09-07 09:12:41:128,1,366,3,0,524,2755,366,0 20,0,2024-09-07 09:12:41:358,90824,0.5,90815,0.6,181598,0.4,242017,2.00 20,1,2024-09-07 09:12:40:583,642417,642417,0,0,301680747138,3151322544,637330,4371,716,369,391886,0 20,2,2024-09-07 09:12:40:936,463557,463557,0,0,19571196,0,3721 20,3,2024-09-07 09:12:40:595,1,366,15,0,414,5623,366,0 21,0,2024-09-07 09:12:41:164,91639,0.5,91741,0.6,183076,0.5,242854,2.00 21,1,2024-09-07 09:12:41:536,640964,640964,0,0,299327318693,3153203267,632264,6747,1953,368,392016,0 21,2,2024-09-07 09:12:41:067,460698,460698,0,0,22827918,0,3747 21,3,2024-09-07 09:12:41:404,1,366,7,0,713,4760,366,0 22,0,2024-09-07 09:12:41:723,91579,0.5,91873,0.7,184011,0.5,243626,2.00 22,1,2024-09-07 09:12:41:022,642262,642262,0,0,300288186087,3152663406,633401,7008,1853,382,391667,0 22,2,2024-09-07 09:12:40:766,461668,461668,0,0,18312694,0,3134 22,3,2024-09-07 09:12:41:066,1,366,1,0,228,3167,366,0 23,0,2024-09-07 09:12:41:367,91653,0.5,91514,0.7,183615,0.4,244907,2.25 23,1,2024-09-07 09:12:41:003,642755,642755,0,0,302063949668,3172195408,631267,7939,3549,365,391690,0 23,2,2024-09-07 09:12:41:092,464093,464093,0,0,18378446,0,3010 23,3,2024-09-07 09:12:41:754,1,366,304,0,720,5173,366,0 24,0,2024-09-07 09:12:40:949,92936,0.4,92798,0.6,186140,0.4,246949,1.75 24,1,2024-09-07 09:12:40:609,641192,641192,0,0,300705500792,3153233276,633874,5761,1557,367,392269,0 24,2,2024-09-07 09:12:41:082,462343,462343,0,0,22124440,0,3607 24,3,2024-09-07 09:12:41:686,1,366,20,0,468,4803,366,0 25,0,2024-09-07 09:12:41:391,94602,0.4,92138,0.6,180662,0.4,246414,2.00 25,1,2024-09-07 09:12:40:585,642292,642292,0,0,300819329706,3157909833,633724,7084,1484,371,391928,0 25,2,2024-09-07 09:12:41:606,462367,462367,0,0,22305667,0,3978 25,3,2024-09-07 09:12:40:999,1,366,2,0,255,3521,366,0 26,0,2024-09-07 09:12:41:722,90932,0.4,88737,0.6,186203,0.3,242475,1.75 26,1,2024-09-07 09:12:41:546,642835,642835,0,0,301104663232,3151562208,633856,7443,1536,380,391748,0 26,2,2024-09-07 09:12:40:876,463647,463647,0,0,22431985,0,2809 26,3,2024-09-07 09:12:41:712,1,366,7,0,796,4547,366,0 27,0,2024-09-07 09:12:41:730,92311,0.4,92458,0.6,183308,0.4,245317,2.00 27,1,2024-09-07 09:12:41:680,644938,644938,0,0,302530729429,3145854437,639627,4545,766,381,391626,0 27,2,2024-09-07 09:12:40:874,458612,458547,65,0,21374077,0,5699 27,3,2024-09-07 09:12:41:014,1,366,38,0,564,3740,366,0 28,0,2024-09-07 09:12:41:405,92439,0.4,92186,0.6,184339,0.3,246335,1.75 28,1,2024-09-07 09:12:40:797,643947,643947,0,0,302013757882,3144217547,639441,3801,705,383,391698,0 28,2,2024-09-07 09:12:41:764,463882,463882,0,0,19162105,0,2915 28,3,2024-09-07 09:12:41:782,1,366,8,0,502,4028,366,0 29,0,2024-09-07 09:12:41:360,94113,0.4,91686,0.6,179877,0.3,245299,1.75 29,1,2024-09-07 09:12:41:561,645171,645171,0,0,302344142763,3128312186,640826,3658,687,369,391753,0 29,2,2024-09-07 09:12:40:864,462991,462991,0,0,18422719,0,4986 29,3,2024-09-07 09:12:40:962,1,366,19,0,459,4443,366,0 30,0,2024-09-07 09:12:41:454,90525,0.5,87975,0.7,184223,0.5,240950,2.00 30,1,2024-09-07 09:12:40:577,645057,645057,0,0,302618605614,3139738480,640237,4110,710,381,391672,0 30,2,2024-09-07 09:12:41:274,464044,464044,0,0,17422489,0,3161 30,3,2024-09-07 09:12:40:583,1,366,3,0,519,3636,366,0 31,0,2024-09-07 09:12:41:762,90768,0.4,91236,0.6,182372,0.3,243219,1.75 31,1,2024-09-07 09:12:40:577,646989,646989,0,0,302976042382,3105023588,644441,1989,559,356,391712,0 31,2,2024-09-07 09:12:41:275,460488,460488,0,0,19020098,0,3525 31,3,2024-09-07 09:12:41:710,1,366,0,0,220,3105,366,0 32,0,2024-09-07 09:12:41:429,92875,0.3,93330,0.5,186419,0.2,248503,1.50 32,1,2024-09-07 09:12:40:804,644124,644124,0,0,301715512606,3132288957,640660,2996,468,381,391646,0 32,2,2024-09-07 09:12:40:936,465181,465181,0,0,16345146,0,3155 32,3,2024-09-07 09:12:41:015,1,366,8,0,227,2542,366,0 33,0,2024-09-07 09:12:41:493,91226,0.3,90759,0.4,182132,0.2,242209,1.50 33,1,2024-09-07 09:12:40:581,645315,645315,0,0,302499557531,3131722839,640495,3900,920,369,391730,0 33,2,2024-09-07 09:12:40:766,464817,464782,35,0,18897062,0,7012 33,3,2024-09-07 09:12:40:900,1,366,18,0,329,3657,366,0 34,0,2024-09-07 09:12:40:936,91337,0.3,93969,0.4,179752,0.2,241475,1.75 34,1,2024-09-07 09:12:41:044,646487,646487,0,0,303480763581,3117888728,645059,1419,9,367,391562,0 34,2,2024-09-07 09:12:40:767,462999,462999,0,0,17707145,0,3577 34,3,2024-09-07 09:12:41:688,1,366,1,0,299,2563,366,0 35,0,2024-09-07 09:12:40:857,90437,0.3,90852,0.5,182860,0.3,243185,1.75 35,1,2024-09-07 09:12:41:067,644456,644456,0,0,302422227041,3131389893,640793,2882,781,382,391769,0 35,2,2024-09-07 09:12:41:583,462400,462400,0,0,17982619,0,2653 35,3,2024-09-07 09:12:40:909,1,366,1,0,418,4315,366,0 36,0,2024-09-07 09:12:41:518,92982,0.4,92928,0.6,185954,0.4,246849,2.00 36,1,2024-09-07 09:12:40:584,643476,643476,0,0,301283832917,3144708172,635650,6141,1685,366,391759,0 36,2,2024-09-07 09:12:41:752,464200,464200,0,0,20489039,0,3875 36,3,2024-09-07 09:12:40:863,1,366,7,0,416,5708,366,0 37,0,2024-09-07 09:12:41:369,90810,0.5,90711,0.6,181667,0.4,241907,2.00 37,1,2024-09-07 09:12:40:575,643196,643189,0,7,300774782237,3141092722,635788,5241,2160,365,391570,0 37,2,2024-09-07 09:12:41:141,461894,461879,15,0,20435894,0,5815 37,3,2024-09-07 09:12:41:765,1,366,1,0,888,5685,366,0 38,0,2024-09-07 09:12:41:434,91306,0.5,88704,0.7,185645,0.4,242890,2.00 38,1,2024-09-07 09:12:41:606,644390,644390,0,0,302298872811,3148680962,637256,5959,1175,368,391821,0 38,2,2024-09-07 09:12:40:764,462594,462547,47,0,20411170,0,6710 38,3,2024-09-07 09:12:40:997,1,366,4,0,689,5000,366,0 39,0,2024-09-07 09:12:41:760,93512,0.6,91535,0.7,178171,0.5,243396,2.00 39,1,2024-09-07 09:12:40:716,642768,642768,0,0,302076541948,3160257717,633426,7651,1691,365,391658,0 39,2,2024-09-07 09:12:41:421,463254,463254,0,0,18722044,0,2689 39,3,2024-09-07 09:12:40:713,1,366,1,0,324,4419,366,0 40,0,2024-09-07 09:12:41:488,91465,0.8,92157,0.9,183998,0.8,245370,2.75 40,1,2024-09-07 09:12:40:584,643626,643626,0,0,300345942747,3141237449,634886,7229,1511,368,391668,0 40,2,2024-09-07 09:12:41:302,461213,461212,1,0,21793753,0,5137 40,3,2024-09-07 09:12:41:155,1,366,8,0,1028,5177,366,0 41,0,2024-09-07 09:12:41:022,91282,1.2,93477,1.2,178404,1.9,242972,2.75 41,1,2024-09-07 09:12:40:768,642216,642216,0,0,301251556399,3147443580,634886,6624,706,369,391742,0 41,2,2024-09-07 09:12:40:763,462100,462100,0,0,20711057,0,3356 41,3,2024-09-07 09:12:41:677,1,366,51,0,366,3672,366,0 42,0,2024-09-07 09:12:41:472,91253,1.0,91313,1.0,182947,1.1,242109,2.75 42,1,2024-09-07 09:12:41:441,641440,641440,0,0,300664636513,3156272726,631723,7959,1758,380,391675,0 42,2,2024-09-07 09:12:41:131,463011,463011,0,0,21652465,0,3790 42,3,2024-09-07 09:12:41:009,1,366,1,0,446,3139,366,0 43,0,2024-09-07 09:12:40:916,90081,0.9,87768,1.0,183918,1.0,241017,2.50 43,1,2024-09-07 09:12:40:582,642948,642948,0,0,302828994032,3159101721,634527,6983,1438,366,391696,0 43,2,2024-09-07 09:12:41:736,463259,463259,0,0,21107592,0,3812 43,3,2024-09-07 09:12:41:751,1,366,1,0,467,4359,366,0 44,0,2024-09-07 09:12:40:857,92022,0.4,92401,0.6,184510,0.4,245395,1.75 44,1,2024-09-07 09:12:40:573,644434,644434,0,0,301906407131,3118677202,639339,4048,1047,356,391809,0 44,2,2024-09-07 09:12:41:272,459852,459852,0,0,17256551,0,4344 44,3,2024-09-07 09:12:41:093,1,366,8,0,817,4601,366,0 45,0,2024-09-07 09:12:41:770,91416,0.4,89213,0.7,187241,0.4,246448,2.00 45,1,2024-09-07 09:12:41:041,644104,644104,0,0,302148709701,3136747902,639665,3963,476,382,391917,0 45,2,2024-09-07 09:12:41:271,464816,464816,0,0,17865902,0,3596 45,3,2024-09-07 09:12:40:946,1,366,13,0,271,3433,366,0 46,0,2024-09-07 09:12:40:951,90506,0.5,90513,0.7,181056,0.5,240428,2.00 46,1,2024-09-07 09:12:40:582,645428,645428,0,0,303300219704,3129484377,641710,3292,426,366,391572,0 46,2,2024-09-07 09:12:40:593,464390,464390,0,0,17141862,0,2920 46,3,2024-09-07 09:12:41:130,1,366,1,0,908,5121,366,0 47,0,2024-09-07 09:12:41:100,91366,0.5,91663,0.6,183669,0.4,242515,2.00 47,1,2024-09-07 09:12:40:576,646367,646367,0,0,302113287608,3122578373,641877,3675,815,366,391641,0 47,2,2024-09-07 09:12:40:917,464764,464764,0,0,17590797,0,4477 47,3,2024-09-07 09:12:41:115,1,366,2,0,529,3949,366,0 48,0,2024-09-07 09:12:41:507,91190,0.3,90821,0.4,181210,0.2,241801,1.50 48,1,2024-09-07 09:12:41:021,644311,644311,0,0,302418736743,3140205539,640255,3603,453,384,391710,0 48,2,2024-09-07 09:12:40:698,461074,461074,0,0,15978350,0,3031 48,3,2024-09-07 09:12:40:753,1,366,14,0,339,3037,366,0 49,0,2024-09-07 09:12:41:713,95081,0.3,93528,0.5,180775,0.2,248339,1.75 49,1,2024-09-07 09:12:41:022,643737,643737,0,0,301720875613,3139678436,639135,3397,1205,382,391809,0 49,2,2024-09-07 09:12:41:797,464746,464746,0,0,17572653,0,4426 49,3,2024-09-07 09:12:41:420,1,366,1,0,408,3669,366,0 50,0,2024-09-07 09:12:41:508,91375,0.3,89924,0.4,181504,0.2,241667,1.75 50,1,2024-09-07 09:12:41:010,645832,645832,0,0,302706228427,3128269252,641712,3733,387,368,391565,0 50,2,2024-09-07 09:12:41:066,463859,463859,0,0,16400020,0,2263 50,3,2024-09-07 09:12:41:291,1,366,1,0,335,3382,366,0 51,0,2024-09-07 09:12:41:703,94003,0.3,91886,0.5,179177,0.2,243921,1.75 51,1,2024-09-07 09:12:41:687,645885,645885,0,0,303558905742,3129121757,642600,2309,976,365,391706,0 51,2,2024-09-07 09:12:41:316,462890,462890,0,0,16072720,0,3337 51,3,2024-09-07 09:12:41:027,1,366,1,0,678,2683,366,0 52,0,2024-09-07 09:12:41:417,92049,0.5,92050,0.7,183935,0.4,244556,2.00 52,1,2024-09-07 09:12:40:582,643186,643186,0,0,301585553713,3155561872,634273,7599,1314,368,391722,0 52,2,2024-09-07 09:12:41:755,459354,459316,38,0,21182602,0,6742 52,3,2024-09-07 09:12:40:684,1,366,9,0,1782,5337,366,0 53,0,2024-09-07 09:12:41:736,91496,0.6,88939,0.8,186158,0.6,244435,2.25 53,1,2024-09-07 09:12:40:776,641944,641944,0,0,301543373838,3160074985,632314,7046,2584,367,391702,0 53,2,2024-09-07 09:12:41:298,463739,463739,0,0,18011779,0,2727 53,3,2024-09-07 09:12:40:705,1,366,0,0,308,3293,366,0 54,0,2024-09-07 09:12:41:621,90945,0.6,91312,0.8,181787,0.4,242846,2.25 54,1,2024-09-07 09:12:40:583,643593,643593,0,0,302304635153,3138679158,637674,5300,619,366,391659,0 54,2,2024-09-07 09:12:40:865,463785,463779,6,0,20700016,0,5382 54,3,2024-09-07 09:12:40:766,1,366,1,0,676,5471,366,0 55,0,2024-09-07 09:12:41:776,88969,0.6,92008,0.7,185805,0.5,242386,2.50 55,1,2024-09-07 09:12:40:766,643651,643651,0,0,301367729443,3132670636,636921,5821,909,365,391731,0 55,2,2024-09-07 09:12:40:729,462595,462595,0,0,20035158,0,3563 55,3,2024-09-07 09:12:40:681,1,366,17,0,304,3973,366,0 56,0,2024-09-07 09:12:41:558,93048,1.1,87730,1.1,180907,1.5,242238,2.50 56,1,2024-09-07 09:12:40:582,640715,640715,0,0,300670813559,3169347989,631835,7248,1632,381,391867,0 56,2,2024-09-07 09:12:41:303,463078,463078,0,0,20740113,0,3567 56,3,2024-09-07 09:12:41:064,1,366,7,0,705,4604,366,0 57,0,2024-09-07 09:12:40:934,90819,1.3,90790,1.2,181654,1.8,243349,3.00 57,1,2024-09-07 09:12:40:985,642585,642585,0,0,301800854955,3159792060,635305,6362,918,366,392032,0 57,2,2024-09-07 09:12:41:315,461503,461503,0,0,22366491,0,3317 57,3,2024-09-07 09:12:41:738,1,366,1,0,359,4136,366,0 58,0,2024-09-07 09:12:40:572,90969,0.7,88453,0.9,185063,0.7,243022,2.50 58,1,2024-09-07 09:12:40:577,643295,643292,0,3,301757072342,3151108531,635017,7193,1082,367,391603,3 58,2,2024-09-07 09:12:41:071,463069,463069,0,0,20585611,0,2549 58,3,2024-09-07 09:12:41:068,1,366,1,0,1043,4099,366,0 59,0,2024-09-07 09:12:41:744,91361,0.8,90924,0.9,181712,0.8,241745,2.50 59,1,2024-09-07 09:12:40:820,642295,642295,0,0,301850065775,3155877625,634336,6436,1523,369,391586,0 59,2,2024-09-07 09:12:40:584,464426,464426,0,0,20411563,0,2867 59,3,2024-09-07 09:12:41:736,1,366,1,0,1015,4478,366,0 60,0,2024-09-07 09:12:41:709,90791,0.5,90782,0.7,182316,0.5,241896,1.75 60,1,2024-09-07 09:12:40:790,644868,644868,0,0,302395502478,3136958359,640729,3490,649,370,392031,0 60,2,2024-09-07 09:12:41:140,463641,463641,0,0,19709157,0,3811 60,3,2024-09-07 09:12:41:258,1,366,12,0,409,4274,366,0 61,0,2024-09-07 09:12:41:494,90817,0.6,91537,0.7,182072,0.5,242971,2.00 61,1,2024-09-07 09:12:40:778,643068,643068,0,0,302097308497,3163329739,635847,5895,1326,382,392127,0 61,2,2024-09-07 09:12:41:117,460480,460413,67,0,19879500,0,6411 61,3,2024-09-07 09:12:41:688,1,366,0,0,479,5201,366,0 62,0,2024-09-07 09:12:41:722,93543,0.5,95685,0.6,182516,0.4,248414,1.75 62,1,2024-09-07 09:12:41:111,647276,647270,0,6,302647885232,3121284952,643678,3333,259,365,391715,6 62,2,2024-09-07 09:12:41:643,463120,463119,1,0,19483981,0,5555 62,3,2024-09-07 09:12:41:142,1,366,4,0,465,2720,366,0 63,0,2024-09-07 09:12:41:463,91404,0.4,91152,0.6,182786,0.3,242582,1.75 63,1,2024-09-07 09:12:40:806,645106,645100,0,6,302556723878,3138159419,641162,3550,388,381,391800,6 63,2,2024-09-07 09:12:40:766,464040,464040,0,0,17986837,0,4369 63,3,2024-09-07 09:12:41:732,1,366,1,0,667,3918,366,0 64,0,2024-09-07 09:12:41:516,90567,0.5,90633,0.7,181311,0.5,240551,2.00 64,1,2024-09-07 09:12:40:750,644295,644295,0,0,302088466549,3145650762,638471,4147,1677,370,391783,0 64,2,2024-09-07 09:12:41:148,465663,465644,19,0,17668114,0,6121 64,3,2024-09-07 09:12:41:153,1,366,8,0,265,3318,366,0 65,0,2024-09-07 09:12:41:675,90323,0.6,90603,0.7,181031,0.6,241068,2.00 65,1,2024-09-07 09:12:40:864,642425,642425,0,0,300850911672,3143832075,637707,4179,539,382,391901,0 65,2,2024-09-07 09:12:41:692,462862,462862,0,0,19499117,0,3367 65,3,2024-09-07 09:12:41:683,1,366,1,0,347,3779,366,0 66,0,2024-09-07 09:12:41:762,92433,0.5,92366,0.7,184690,0.4,245973,2.00 66,1,2024-09-07 09:12:41:293,644404,644404,0,0,302356511378,3141511601,640729,3352,323,380,391653,0 66,2,2024-09-07 09:12:41:132,465474,465474,0,0,17732598,0,4956 66,3,2024-09-07 09:12:41:084,1,366,3,0,291,3444,366,0 67,0,2024-09-07 09:12:41:418,91384,0.5,91051,0.6,182576,0.4,242710,2.00 67,1,2024-09-07 09:12:40:766,644581,644580,0,1,302089694775,3144160941,640127,3669,784,380,391787,1 67,2,2024-09-07 09:12:40:584,464442,464442,0,0,16990077,0,2889 67,3,2024-09-07 09:12:41:751,1,366,3,0,338,3279,366,0 68,0,2024-09-07 09:12:40:599,92252,0.6,91904,0.7,183334,0.6,244841,2.00 68,1,2024-09-07 09:12:40:599,642435,642435,0,0,300690464943,3150753542,636353,4532,1550,381,391953,0 68,2,2024-09-07 09:12:41:044,461469,461404,65,0,22438233,0,6698 68,3,2024-09-07 09:12:40:747,1,366,9,0,417,3823,366,0 69,0,2024-09-07 09:12:41:734,91161,0.8,91779,0.9,182559,0.9,242691,2.25 69,1,2024-09-07 09:12:41:015,640764,640764,0,0,300879340220,3171375241,632391,6215,2158,384,391994,0 69,2,2024-09-07 09:12:41:733,462955,462955,0,0,22699696,0,3722 69,3,2024-09-07 09:12:40:763,1,366,1,0,698,5174,366,0 70,0,2024-09-07 09:12:41:531,91454,1.0,91529,1.1,183987,0.9,244079,2.50 70,1,2024-09-07 09:12:40:801,644164,644164,0,0,302340780525,3137023550,638927,4579,658,366,391725,0 70,2,2024-09-07 09:12:41:326,461388,461388,0,0,20258250,0,4044 70,3,2024-09-07 09:12:40:749,1,366,1,0,854,4260,366,0 71,0,2024-09-07 09:12:41:371,91149,0.7,90929,0.9,182086,0.7,243982,2.50 71,1,2024-09-07 09:12:41:595,643076,643076,0,0,302257147942,3152167254,635904,6438,734,368,391738,0 71,2,2024-09-07 09:12:41:067,463231,463231,0,0,20239501,0,4042 71,3,2024-09-07 09:12:41:751,1,366,34,0,644,4777,366,0 72,0,2024-09-07 09:12:41:026,95035,0.6,92913,0.7,181161,0.6,246574,2.00 72,1,2024-09-07 09:12:41:022,642663,642663,0,0,301319833913,3153999468,634155,6803,1705,369,391819,0 72,2,2024-09-07 09:12:41:756,463324,463324,0,0,22787502,0,3983 72,3,2024-09-07 09:12:41:754,1,366,8,0,564,5603,366,0 73,0,2024-09-07 09:12:41:102,88932,0.4,91197,0.6,186517,0.4,242272,2.00 73,1,2024-09-07 09:12:40:766,643484,643484,0,0,301434645507,3130966249,639045,4109,330,367,391858,0 73,2,2024-09-07 09:12:41:740,463423,463423,0,0,21976621,0,3701 73,3,2024-09-07 09:12:40:971,1,366,1,0,486,5201,366,0 74,0,2024-09-07 09:12:41:344,92417,0.4,94707,0.6,180813,0.4,245156,2.00 74,1,2024-09-07 09:12:40:642,643229,643229,0,0,301034917961,3140253960,637046,4920,1263,381,391681,0 74,2,2024-09-07 09:12:41:002,459642,459642,0,0,20665118,0,4253 74,3,2024-09-07 09:12:41:445,1,366,3,0,522,4992,366,0 75,0,2024-09-07 09:12:41:763,92770,0.4,92256,0.6,185091,0.4,247491,2.25 75,1,2024-09-07 09:12:41:584,642586,642586,0,0,301367286430,3145166845,636073,5687,826,380,391739,0 75,2,2024-09-07 09:12:41:351,463206,463206,0,0,21504960,0,4766 75,3,2024-09-07 09:12:41:067,1,366,1,0,702,5056,366,0 76,0,2024-09-07 09:12:40:595,90757,0.6,90142,0.7,180827,0.5,241731,2.25 76,1,2024-09-07 09:12:40:805,643616,643616,0,0,301524843517,3140961514,639686,3339,591,382,391692,0 76,2,2024-09-07 09:12:41:064,465854,465853,1,0,20087477,0,5144 76,3,2024-09-07 09:12:41:162,1,366,8,0,175,3415,366,0 77,0,2024-09-07 09:12:41:733,91171,0.6,91239,0.7,182656,0.6,242406,2.00 77,1,2024-09-07 09:12:40:824,643244,643244,0,0,301332922970,3145793023,637922,4831,491,381,391869,0 77,2,2024-09-07 09:12:41:284,463635,463635,0,0,19586963,0,3890 77,3,2024-09-07 09:12:41:094,1,366,1,0,401,4041,366,0 78,0,2024-09-07 09:12:41:725,91068,0.4,90529,0.6,181878,0.4,241066,2.00 78,1,2024-09-07 09:12:40:614,644025,644025,0,0,301524778568,3134916631,638090,4790,1145,367,391670,0 78,2,2024-09-07 09:12:41:405,461964,461964,0,0,16808037,0,3855 78,3,2024-09-07 09:12:41:133,1,366,1,0,181,3425,366,0 79,0,2024-09-07 09:12:41:346,89654,0.4,91840,0.6,188023,0.3,245127,2.00 79,1,2024-09-07 09:12:40:586,645826,645826,0,0,302079258904,3124730064,641239,3952,635,368,391682,0 79,2,2024-09-07 09:12:41:069,465423,465423,0,0,17903100,0,4195 79,3,2024-09-07 09:12:40:749,1,366,0,0,418,4612,366,0 80,0,2024-09-07 09:12:41:079,90789,0.5,93546,0.6,179251,0.5,241432,2.00 80,1,2024-09-07 09:12:41:629,644008,644008,0,0,301870532998,3138106142,640322,3489,197,368,391791,0 80,2,2024-09-07 09:12:41:093,465341,465341,0,0,17635841,0,4433 80,3,2024-09-07 09:12:40:581,1,366,13,0,190,4349,366,0 81,0,2024-09-07 09:12:41:533,91651,0.5,93767,0.7,179036,0.5,242657,2.00 81,1,2024-09-07 09:12:41:649,643013,643013,0,0,301233555682,3146031977,637935,4577,501,382,391879,0 81,2,2024-09-07 09:12:41:126,462095,462032,63,0,19355210,0,5932 81,3,2024-09-07 09:12:41:117,1,366,0,0,374,4046,366,0 82,0,2024-09-07 09:12:41:541,91358,0.5,91831,0.7,184244,0.4,244273,2.00 82,1,2024-09-07 09:12:40:584,644865,644861,0,4,301975437803,3140509433,640780,3283,798,381,391768,4 82,2,2024-09-07 09:12:41:697,464089,464089,0,0,16340872,0,3986 82,3,2024-09-07 09:12:41:753,1,366,5,0,363,3532,366,0 83,0,2024-09-07 09:12:41:565,92343,0.5,92076,0.6,183802,0.4,244820,2.00 83,1,2024-09-07 09:12:40:552,642835,642835,0,0,301427388282,3139627633,638459,3995,381,382,391709,0 83,2,2024-09-07 09:12:40:766,463159,463159,0,0,16920231,0,3393 83,3,2024-09-07 09:12:40:749,1,366,13,0,1260,4933,366,0 84,0,2024-09-07 09:12:41:777,91829,0.7,91692,0.9,183076,0.6,245238,2.25 84,1,2024-09-07 09:12:41:081,642244,642244,0,0,301545404879,3151585533,635304,5908,1032,367,391967,0 84,2,2024-09-07 09:12:40:585,463081,463081,0,0,21800972,0,4757 84,3,2024-09-07 09:12:41:143,1,366,8,0,908,5471,366,0 85,0,2024-09-07 09:12:41:108,88714,0.6,88711,0.8,188300,0.6,243573,2.25 85,1,2024-09-07 09:12:40:562,640842,640842,0,0,301490973752,3177583406,631954,7502,1386,381,392006,0 85,2,2024-09-07 09:12:40:867,462632,462632,0,0,21538724,0,3656 85,3,2024-09-07 09:12:40:685,1,366,2,0,789,4808,366,0 86,0,2024-09-07 09:12:40:905,91041,0.7,93555,0.8,179057,0.7,242062,2.25 86,1,2024-09-07 09:12:40:824,642673,642673,0,0,301553093338,3154431029,635599,6005,1069,366,391961,0 86,2,2024-09-07 09:12:40:865,462072,462071,1,0,22533954,0,5004 86,3,2024-09-07 09:12:40:587,1,366,26,0,308,5417,366,0 87,0,2024-09-07 09:12:41:416,91702,0.8,91588,0.9,183732,1.0,245333,2.25 87,1,2024-09-07 09:12:40:552,642433,642433,0,0,301037698154,3146986901,635744,5929,760,366,392076,0 87,2,2024-09-07 09:12:41:067,460025,460025,0,0,19968742,0,4045 87,3,2024-09-07 09:12:41:794,1,366,5,0,473,5163,366,0 88,0,2024-09-07 09:12:41:456,92164,0.4,92907,0.6,184827,0.4,245984,1.75 88,1,2024-09-07 09:12:40:573,640900,640900,0,0,301089161846,3153333093,632620,6407,1873,365,392084,0 88,2,2024-09-07 09:12:40:689,464515,464515,0,0,22370374,0,3583 88,3,2024-09-07 09:12:41:269,1,366,14,0,435,3825,366,0 89,0,2024-09-07 09:12:41:855,94270,0.5,91197,0.6,180633,0.4,245401,1.75 89,1,2024-09-07 09:12:40:552,641301,641301,0,0,301002663480,3163708430,633213,6731,1357,382,391866,0 89,2,2024-09-07 09:12:41:135,463159,463159,0,0,21489211,0,2910 89,3,2024-09-07 09:12:41:792,1,366,67,0,385,6439,366,0 90,0,2024-09-07 09:12:41:613,88140,0.5,90562,0.6,184586,0.4,240901,2.00 90,1,2024-09-07 09:12:40:604,642766,642766,0,0,301156757877,3154214908,636444,5816,506,380,391825,0 90,2,2024-09-07 09:12:41:409,461933,461933,0,0,22788401,0,3060 90,3,2024-09-07 09:12:40:934,1,366,1,0,246,4105,366,0 91,0,2024-09-07 09:12:40:945,91622,0.4,88664,0.6,185156,0.4,243398,1.75 91,1,2024-09-07 09:12:40:559,641304,641304,0,0,300851363930,3162991260,632998,7118,1188,381,392047,0 91,2,2024-09-07 09:12:41:336,461069,461069,0,0,20229706,0,2896 91,3,2024-09-07 09:12:40:624,1,366,0,0,216,3342,366,0 92,0,2024-09-07 09:12:41:442,93613,0.4,96033,0.6,183333,0.4,248692,1.75 92,1,2024-09-07 09:12:40:585,642687,642687,0,0,300671213073,3138892498,637862,4136,689,382,392136,0 92,2,2024-09-07 09:12:41:351,465222,465222,0,0,18366326,0,3259 92,3,2024-09-07 09:12:41:009,1,366,8,0,167,3115,366,0 93,0,2024-09-07 09:12:41:236,91661,0.4,93911,0.5,179302,0.3,242768,1.75 93,1,2024-09-07 09:12:40:868,643080,643080,0,0,301335194147,3142839201,636938,5110,1032,366,391776,0 93,2,2024-09-07 09:12:40:941,463500,463500,0,0,21500528,0,4845 93,3,2024-09-07 09:12:41:413,1,366,2,0,190,3443,366,0 94,0,2024-09-07 09:12:41:610,90764,0.4,91590,0.5,182950,0.3,242259,1.75 94,1,2024-09-07 09:12:40:581,643234,643234,0,0,301694370554,3145523667,639170,3873,191,381,391850,0 94,2,2024-09-07 09:12:40:783,462566,462566,0,0,18457201,0,2443 94,3,2024-09-07 09:12:41:693,1,366,2,0,264,4376,366,0 95,0,2024-09-07 09:12:41:403,91035,0.4,91096,0.5,182582,0.3,242944,1.75 95,1,2024-09-07 09:12:40:853,644681,644681,0,0,301923389061,3137043278,639652,4628,401,367,391713,0 95,2,2024-09-07 09:12:41:016,462631,462631,0,0,18080748,0,3308 95,3,2024-09-07 09:12:41:711,1,366,13,0,718,5525,366,0 96,0,2024-09-07 09:12:41:048,92859,0.3,93025,0.5,185922,0.3,246627,1.75 96,1,2024-09-07 09:12:41:591,642962,642962,0,0,301937614941,3148400889,638372,3792,798,384,391955,0 96,2,2024-09-07 09:12:41:269,464297,464297,0,0,18227264,0,4042 96,3,2024-09-07 09:12:41:166,1,366,12,0,411,3932,366,0 97,0,2024-09-07 09:12:41:410,91312,0.3,91065,0.5,182852,0.3,242434,1.50 97,1,2024-09-07 09:12:40:767,644488,644488,0,0,302316058170,3133670740,640241,3553,694,367,392140,0 97,2,2024-09-07 09:12:40:610,464382,464382,0,0,17839795,0,3036 97,3,2024-09-07 09:12:40:593,1,366,1,0,214,4139,366,0 98,0,2024-09-07 09:12:41:700,91900,0.3,91788,0.4,184366,0.2,244901,1.50 98,1,2024-09-07 09:12:40:575,643760,643760,0,0,301537666149,3135215360,640793,2848,119,382,391997,0 98,2,2024-09-07 09:12:40:779,463234,463234,0,0,17816010,0,3080 98,3,2024-09-07 09:12:40:710,1,366,1,0,840,5659,366,0 99,0,2024-09-07 09:12:41:483,91695,0.3,92300,0.5,183347,0.3,244929,1.75 99,1,2024-09-07 09:12:41:722,643713,643713,0,0,301472877164,3137309184,639324,3618,771,381,392069,0 99,2,2024-09-07 09:12:41:416,464446,464446,0,0,20614587,0,3424 99,3,2024-09-07 09:12:40:583,1,366,0,0,606,4026,366,0 100,0,2024-09-07 09:12:41:608,92136,0.8,92131,1.0,183805,0.9,245802,2.50 100,1,2024-09-07 09:12:40:548,639962,639962,0,0,299999072126,3168299555,630687,7398,1877,381,391989,0 100,2,2024-09-07 09:12:41:822,460685,460674,11,0,20743251,0,5417 100,3,2024-09-07 09:12:41:752,1,366,12,0,559,6131,366,0 101,0,2024-09-07 09:12:41:707,93777,0.7,91416,0.9,179021,0.6,245659,2.25 101,1,2024-09-07 09:12:40:574,640222,640222,0,0,300577148159,3163673969,630350,7757,2115,368,391847,0 101,2,2024-09-07 09:12:41:757,461024,461024,0,0,24200546,0,4644 101,3,2024-09-07 09:12:40:945,1,366,98,0,579,4747,366,0 102,0,2024-09-07 09:12:40:954,89612,0.7,92604,0.8,187270,0.7,245388,2.25 102,1,2024-09-07 09:12:41:145,641357,641357,0,0,300679795878,3157726235,633098,7002,1257,369,391891,0 102,2,2024-09-07 09:12:41:737,464337,464283,54,0,20380024,0,6768 102,3,2024-09-07 09:12:41:617,1,366,1,0,410,3761,366,0 103,0,2024-09-07 09:12:41:589,94180,0.6,94097,0.7,177496,0.6,244084,2.00 103,1,2024-09-07 09:12:41:624,640196,640196,0,0,300402986869,3165625984,630936,7197,2063,381,391829,0 103,2,2024-09-07 09:12:40:582,462227,462227,0,0,21357695,0,3173 103,3,2024-09-07 09:12:40:757,1,366,2,0,916,4315,366,0 104,0,2024-09-07 09:12:41:015,91086,0.7,91457,0.9,181607,0.6,244475,2.25 104,1,2024-09-07 09:12:41:604,642456,642456,0,0,300795859336,3157741578,633522,7234,1700,365,392168,0 104,2,2024-09-07 09:12:41:669,458961,458961,0,0,21769476,0,3941 104,3,2024-09-07 09:12:41:424,1,366,7,0,1245,7711,366,0 105,0,2024-09-07 09:12:41:039,91537,0.7,89055,1.0,186628,0.7,245984,2.50 105,1,2024-09-07 09:12:40:558,643103,643103,0,0,302256916471,3165609442,634936,6830,1337,366,392009,0 105,2,2024-09-07 09:12:41:320,463429,463429,0,0,21405618,0,3509 105,3,2024-09-07 09:12:41:305,1,366,0,0,399,5725,366,0 106,0,2024-09-07 09:12:40:974,87882,0.8,90029,0.9,184468,0.8,241169,2.50 106,1,2024-09-07 09:12:41:753,641865,641865,0,0,301534053162,3165854434,632242,8328,1295,369,391914,0 106,2,2024-09-07 09:12:40:757,462840,462840,0,0,21020500,0,2795 106,3,2024-09-07 09:12:40:677,1,366,6,0,470,4719,366,0 107,0,2024-09-07 09:12:41:166,90935,1.1,91050,1.0,182030,1.5,242283,2.25 107,1,2024-09-07 09:12:40:589,640812,640812,0,0,300229932387,3159403679,632725,7170,917,381,392234,0 107,2,2024-09-07 09:12:41:293,461531,461530,1,0,21594813,0,5024 107,3,2024-09-07 09:12:41:758,1,366,25,0,353,4992,366,0 108,0,2024-09-07 09:12:41:812,90387,0.4,91118,0.6,180980,0.4,241586,1.75 108,1,2024-09-07 09:12:41:320,643605,643605,0,0,302015120530,3146965910,638500,4587,518,368,391857,0 108,2,2024-09-07 09:12:41:755,460110,460110,0,0,20398156,0,4246 108,3,2024-09-07 09:12:41:330,1,366,11,0,749,7223,366,0 109,0,2024-09-07 09:12:41:764,93054,0.4,92258,0.5,184701,0.3,247643,1.75 109,1,2024-09-07 09:12:40:594,641400,641400,0,0,300983368672,3153356927,636173,4561,666,383,392132,0 109,2,2024-09-07 09:12:40:935,462245,462245,0,0,20006268,0,3617 109,3,2024-09-07 09:12:41:140,1,366,6,0,379,4341,366,0 110,0,2024-09-07 09:12:41:749,90837,0.4,88364,0.6,185141,0.3,242130,1.75 110,1,2024-09-07 09:12:41:644,644824,644824,0,0,302347321817,3134213460,640814,3031,979,369,392045,0 110,2,2024-09-07 09:12:41:303,463690,463690,0,0,19790471,0,4067 110,3,2024-09-07 09:12:40:696,1,366,0,0,722,5254,366,0 111,0,2024-09-07 09:12:41:420,91988,0.4,91268,0.6,183032,0.4,243969,1.75 111,1,2024-09-07 09:12:41:005,645033,645033,0,0,302422278255,3135442477,641647,3033,353,382,391690,0 111,2,2024-09-07 09:12:41:121,461962,461962,0,0,19097923,0,4823 111,3,2024-09-07 09:12:40:915,1,366,0,0,379,4314,366,0 112,0,2024-09-07 09:12:40:910,92616,0.3,91924,0.4,184367,0.2,244958,1.50 112,1,2024-09-07 09:12:40:824,644549,644549,0,0,302465662871,3135999333,640941,3024,584,380,391624,0 112,2,2024-09-07 09:12:41:139,463145,463144,1,0,17680793,0,5036 112,3,2024-09-07 09:12:40:595,1,366,8,0,282,3629,366,0 113,0,2024-09-07 09:12:40:903,91987,0.3,92123,0.4,184640,0.2,246106,1.50 113,1,2024-09-07 09:12:41:687,646314,646314,0,0,303817478210,3132957983,642805,2925,584,366,391661,0 113,2,2024-09-07 09:12:41:303,464431,464431,0,0,16338713,0,3813 113,3,2024-09-07 09:12:40:685,1,366,95,0,340,4212,366,0 114,0,2024-09-07 09:12:40:878,92685,0.3,93391,0.5,185551,0.2,247795,1.75 114,1,2024-09-07 09:12:40:716,644404,644404,0,0,301701378222,3133147935,639125,3684,1595,381,391556,0 114,2,2024-09-07 09:12:40:873,464257,464256,1,0,17939067,0,5069 114,3,2024-09-07 09:12:41:281,1,366,0,0,395,3053,366,0 115,0,2024-09-07 09:12:40:555,92067,0.3,92718,0.4,184918,0.2,246107,1.50 115,1,2024-09-07 09:12:40:576,643906,643906,0,0,302338816116,3139386927,638668,4395,843,382,391757,0 115,2,2024-09-07 09:12:41:126,465218,465218,0,0,16829411,0,3848 115,3,2024-09-07 09:12:41:002,1,366,0,0,159,2195,366,0 116,0,2024-09-07 09:12:41:764,90751,0.8,90628,0.9,181884,0.8,243232,2.00 116,1,2024-09-07 09:12:40:823,640633,640633,0,0,301484967751,3177290122,632552,5765,2316,380,392089,0 116,2,2024-09-07 09:12:41:752,462771,462771,0,0,22148772,0,3529 116,3,2024-09-07 09:12:40:918,1,366,9,0,415,4406,366,0 117,0,2024-09-07 09:12:40:988,92115,0.7,91703,0.8,183555,0.8,245993,2.00 117,1,2024-09-07 09:12:41:580,641657,641657,0,0,301131659985,3151165861,634723,6089,845,369,392033,0 117,2,2024-09-07 09:12:41:124,463403,463403,0,0,18369818,0,3700 117,3,2024-09-07 09:12:41:064,1,366,5,0,490,5137,366,0 118,0,2024-09-07 09:12:41:777,89584,0.5,91809,0.6,187560,0.4,245147,2.00 118,1,2024-09-07 09:12:40:587,641485,641485,0,0,300907149324,3161010515,632231,7220,2034,366,391907,0 118,2,2024-09-07 09:12:41:588,463044,463044,0,0,20673541,0,2781 118,3,2024-09-07 09:12:41:764,1,366,1,0,248,4172,366,0 119,0,2024-09-07 09:12:41:362,91199,0.6,91604,0.7,183182,0.6,243821,2.00 119,1,2024-09-07 09:12:40:553,642884,642884,0,0,300992927117,3147350072,635859,6185,840,367,391780,0 119,2,2024-09-07 09:12:41:297,464031,464031,0,0,19507665,0,4174 119,3,2024-09-07 09:12:41:325,1,366,19,0,1058,6530,366,0 120,0,2024-09-07 09:12:41:544,90465,0.6,90196,0.8,180444,0.6,241589,2.25 120,1,2024-09-07 09:12:40:868,642335,642335,0,0,300846287875,3154248829,635841,5934,560,368,391961,0 120,2,2024-09-07 09:12:40:787,462794,462793,1,0,22336517,0,5281 120,3,2024-09-07 09:12:41:290,1,366,11,0,241,4409,366,0 121,0,2024-09-07 09:12:41:708,90920,0.9,90952,0.9,182502,1.2,243001,2.25 121,1,2024-09-07 09:12:41:655,642705,642705,0,0,301135808396,3147089331,636736,5531,438,367,391840,0 121,2,2024-09-07 09:12:41:192,459559,459559,0,0,21346193,0,4127 121,3,2024-09-07 09:12:40:729,1,366,4,0,269,4062,366,0 122,0,2024-09-07 09:12:41:771,92334,0.7,90303,0.8,189523,0.8,248448,2.00 122,1,2024-09-07 09:12:40:873,641656,641656,0,0,300701344388,3151484266,633170,7223,1263,366,392130,0 122,2,2024-09-07 09:12:41:324,463828,463755,73,0,24220023,0,5989 122,3,2024-09-07 09:12:40:597,1,366,46,0,512,6887,366,0 123,0,2024-09-07 09:12:40:952,90709,0.6,88675,0.7,185019,0.7,242242,2.00 123,1,2024-09-07 09:12:40:578,641609,641609,0,0,301244016027,3172961559,629831,9612,2166,369,392039,0 123,2,2024-09-07 09:12:41:021,462407,462406,1,0,20865916,0,5215 123,3,2024-09-07 09:12:41:132,1,366,12,0,168,4055,366,0 124,0,2024-09-07 09:12:40:922,93912,0.4,93905,0.5,177123,0.3,243080,1.75 124,1,2024-09-07 09:12:41:032,643969,643969,0,0,301102907807,3130016480,639241,3817,911,367,392178,0 124,2,2024-09-07 09:12:41:010,463716,463663,53,0,18631947,0,6487 124,3,2024-09-07 09:12:40:763,1,366,11,0,490,3639,366,0 125,0,2024-09-07 09:12:41:418,91453,0.4,91079,0.5,183094,0.3,243315,1.75 125,1,2024-09-07 09:12:40:856,642774,642774,0,0,301808524552,3148285683,637882,4245,647,382,391702,0 125,2,2024-09-07 09:12:41:123,462997,462997,0,0,19207417,0,4534 125,3,2024-09-07 09:12:41:128,1,366,5,0,709,4704,366,0 126,0,2024-09-07 09:12:41:458,92690,0.4,95407,0.6,182608,0.4,247428,1.75 126,1,2024-09-07 09:12:40:570,644617,644617,0,0,302540430588,3132516144,640868,3461,288,365,391987,0 126,2,2024-09-07 09:12:40:613,464228,464228,0,0,19677767,0,4539 126,3,2024-09-07 09:12:40:909,1,366,4,0,207,4495,366,0 127,0,2024-09-07 09:12:41:589,91202,0.3,91632,0.5,182737,0.3,242588,1.50 127,1,2024-09-07 09:12:40:577,643860,643860,0,0,302587054057,3140807297,638426,4573,861,364,392187,0 127,2,2024-09-07 09:12:40:645,462964,462964,0,0,17856182,0,3897 127,3,2024-09-07 09:12:41:267,1,366,1,0,968,4123,366,0 128,0,2024-09-07 09:12:41:529,92201,0.3,92581,0.4,184866,0.2,245181,1.50 128,1,2024-09-07 09:12:41:612,643992,643992,0,0,301289811796,3126957023,639778,3842,372,367,391838,0 128,2,2024-09-07 09:12:41:383,463873,463873,0,0,17281520,0,2915 128,3,2024-09-07 09:12:40:767,1,366,8,0,1082,6016,366,0 129,0,2024-09-07 09:12:40:991,92286,0.3,91783,0.5,184138,0.3,245083,1.50 129,1,2024-09-07 09:12:40:582,641295,641295,0,0,301085138219,3150809916,635458,4580,1257,379,391835,0 129,2,2024-09-07 09:12:40:686,464071,464071,0,0,18311953,0,4031 129,3,2024-09-07 09:12:40:688,1,366,29,0,506,5087,366,0 130,0,2024-09-07 09:12:41:716,92870,0.5,92442,0.6,186083,0.5,247273,1.75 130,1,2024-09-07 09:12:40:584,644129,644129,0,0,302135746949,3139382470,640698,3151,280,381,391825,0 130,2,2024-09-07 09:12:41:126,463441,463441,0,0,17719228,0,4067 130,3,2024-09-07 09:12:41:292,1,366,1,0,535,4781,366,0 131,0,2024-09-07 09:12:41:923,91706,0.3,92228,0.5,185636,0.3,246381,1.50 131,1,2024-09-07 09:12:41:820,643811,643811,0,0,301644456474,3146667611,639082,3981,748,381,391865,0 131,2,2024-09-07 09:12:40:576,465477,465477,0,0,16407897,0,2415 131,3,2024-09-07 09:12:41:688,1,366,1,0,392,3686,366,0 132,0,2024-09-07 09:12:41:425,92095,0.4,93012,0.6,184982,0.4,246150,2.00 132,1,2024-09-07 09:12:40:585,640754,640754,0,0,300989211875,3167872430,631618,7607,1529,381,392097,0 132,2,2024-09-07 09:12:40:697,463613,463613,0,0,22448843,0,4606 132,3,2024-09-07 09:12:41:694,1,366,7,0,804,6387,366,0 133,0,2024-09-07 09:12:41:542,88956,0.5,90979,0.6,186749,0.4,242759,1.75 133,1,2024-09-07 09:12:40:585,640814,640814,0,0,300774659937,3167410507,632201,7480,1133,383,391914,0 133,2,2024-09-07 09:12:41:089,462766,462766,0,0,23044813,0,4315 133,3,2024-09-07 09:12:41:297,1,366,6,0,479,3790,366,0 134,0,2024-09-07 09:12:40:947,92228,0.5,92021,0.7,184021,0.5,245700,2.00 134,1,2024-09-07 09:12:40:594,641836,641836,0,0,300987836062,3157455928,633255,6699,1882,366,391718,0 134,2,2024-09-07 09:12:41:755,459842,459842,0,0,19074206,0,3847 134,3,2024-09-07 09:12:40:750,1,366,1,0,739,4575,366,0 135,0,2024-09-07 09:12:41:134,89576,0.7,89481,0.8,189910,0.8,245144,2.00 135,1,2024-09-07 09:12:41:586,641947,641947,0,0,301115929102,3167114451,633299,7410,1238,380,391805,0 135,2,2024-09-07 09:12:40:686,465071,465071,0,0,21231386,0,3981 135,3,2024-09-07 09:12:41:043,1,366,3,0,299,2823,366,0 136,0,2024-09-07 09:12:41:617,91349,0.5,91653,0.7,182156,0.5,243016,2.00 136,1,2024-09-07 09:12:41:442,641696,641696,0,0,301242310595,3158444090,634661,6337,698,382,391685,0 136,2,2024-09-07 09:12:41:134,464312,464312,0,0,20679675,0,3506 136,3,2024-09-07 09:12:41:107,1,366,34,0,637,4060,366,0 137,0,2024-09-07 09:12:40:919,93857,0.6,91321,0.7,179524,0.7,243571,2.00 137,1,2024-09-07 09:12:40:582,641506,641506,0,0,301006795236,3157717339,631602,8021,1883,366,391898,0 137,2,2024-09-07 09:12:41:708,461996,461996,0,0,22919462,0,3185 137,3,2024-09-07 09:12:40:769,1,366,1,0,382,4142,366,0 138,0,2024-09-07 09:12:41:740,90116,1.2,90209,1.0,181247,1.6,240509,2.25 138,1,2024-09-07 09:12:41:686,642639,642639,0,0,301061076749,3158808557,633360,7680,1599,368,391954,0 138,2,2024-09-07 09:12:40:585,460812,460812,0,0,20382063,0,4988 138,3,2024-09-07 09:12:40:610,1,366,1,0,1160,4941,366,0 139,0,2024-09-07 09:12:41:377,91574,1.0,91881,1.0,183797,1.3,245625,2.25 139,1,2024-09-07 09:12:40:577,639616,639616,0,0,299668310119,3179779170,628075,8893,2648,381,392058,0 139,2,2024-09-07 09:12:40:691,460871,460871,0,0,22771001,0,3097 139,3,2024-09-07 09:12:41:662,1,366,1,0,257,4169,366,0 140,0,2024-09-07 09:12:41:589,91168,0.3,90734,0.5,182118,0.2,242234,1.75 140,1,2024-09-07 09:12:41:536,645754,645754,0,0,302177030926,3114968862,642529,2786,439,365,391606,0 140,2,2024-09-07 09:12:40:687,463895,463894,1,0,18347560,0,5036 140,3,2024-09-07 09:12:40:767,1,366,7,0,247,2900,366,0 141,0,2024-09-07 09:12:41:702,91846,0.3,94257,0.5,180035,0.3,243945,1.75 141,1,2024-09-07 09:12:40:864,644390,644390,0,0,302210452669,3137022079,639824,3704,862,379,391614,0 141,2,2024-09-07 09:12:41:686,463100,463100,0,0,17987101,0,3360 141,3,2024-09-07 09:12:41:045,1,366,6,0,391,4100,366,0 142,0,2024-09-07 09:12:41:313,92649,0.3,91949,0.5,183789,0.3,245470,1.75 142,1,2024-09-07 09:12:40:593,643808,643808,0,0,300607978945,3132303031,639835,3621,352,382,392102,0 142,2,2024-09-07 09:12:41:299,462480,462448,32,0,19339396,0,6028 142,3,2024-09-07 09:12:41:788,1,366,1,0,484,4291,366,0 143,0,2024-09-07 09:12:41:369,92271,0.4,92150,0.5,184633,0.4,246271,1.75 143,1,2024-09-07 09:12:40:578,644716,644716,0,0,302463976030,3135036839,640926,3637,153,367,391705,0 143,2,2024-09-07 09:12:40:777,463465,463465,0,0,18764692,0,3123 143,3,2024-09-07 09:12:41:139,1,366,94,0,303,4420,366,0 144,0,2024-09-07 09:12:41:501,89474,0.6,91825,0.8,187457,0.5,245697,2.00 144,1,2024-09-07 09:12:40:576,641258,641258,0,0,300556546888,3149855505,635687,4363,1208,381,391649,0 144,2,2024-09-07 09:12:41:755,464683,464683,0,0,17249440,0,3473 144,3,2024-09-07 09:12:41:739,1,366,38,0,249,3631,366,0 145,0,2024-09-07 09:12:41:364,89125,0.6,89087,0.8,188855,0.5,243345,2.25 145,1,2024-09-07 09:12:40:558,641141,641141,0,0,301029159527,3162978303,633568,6430,1143,382,391759,0 145,2,2024-09-07 09:12:41:442,462266,462266,0,0,21000984,0,3903 145,3,2024-09-07 09:12:40:896,1,366,60,0,622,5460,366,0 146,0,2024-09-07 09:12:41:602,91139,0.6,90474,0.7,182341,0.5,241922,2.00 146,1,2024-09-07 09:12:41:589,642350,642350,0,0,301050487377,3162795365,632413,7926,2011,368,391770,0 146,2,2024-09-07 09:12:41:703,462191,462191,0,0,20774534,0,2730 146,3,2024-09-07 09:12:41:286,1,366,16,0,1520,7401,366,0 147,0,2024-09-07 09:12:41:699,92150,0.6,91849,0.7,183020,0.5,245665,2.00 147,1,2024-09-07 09:12:41:372,644232,644232,0,0,302473546662,3144485580,638510,4921,801,368,391791,0 147,2,2024-09-07 09:12:41:012,461148,461148,0,0,18145886,0,2789 147,3,2024-09-07 09:12:40:918,1,366,7,0,730,5190,366,0 0,0,2024-09-07 09:12:51:730,88464,0.6,88453,0.7,187747,0.7,242480,2.00 0,1,2024-09-07 09:12:50:813,644561,644561,0,0,302071072066,3156932092,640300,4015,246,369,391896,0 0,2,2024-09-07 09:12:51:067,465796,465796,0,0,18436266,0,4480 0,3,2024-09-07 09:12:50:975,1,367,8,0,431,5214,367,0 1,0,2024-09-07 09:12:51:757,91611,0.7,91014,0.9,182668,0.8,244222,2.00 1,1,2024-09-07 09:12:50:567,643696,643696,0,0,302872610803,3168290528,638030,4437,1229,370,391857,0 1,2,2024-09-07 09:12:50:644,460500,460500,0,0,17850450,0,3380 1,3,2024-09-07 09:12:51:302,1,367,156,0,262,4287,367,0 2,0,2024-09-07 09:12:51:566,93260,0.6,93478,0.7,185949,0.6,249346,2.00 2,1,2024-09-07 09:12:50:860,645822,645822,0,0,303089877566,3144949307,642877,2652,293,380,391745,0 2,2,2024-09-07 09:12:51:266,466175,466175,0,0,17570237,0,3594 2,3,2024-09-07 09:12:50:692,1,367,1,0,357,3746,367,0 3,0,2024-09-07 09:12:51:760,91196,0.4,91060,0.6,181963,0.4,242213,2.00 3,1,2024-09-07 09:12:51:621,644363,644363,0,0,301815203576,3141206850,639128,4611,624,380,391591,0 3,2,2024-09-07 09:12:51:141,465417,465394,23,0,18017145,0,5851 3,3,2024-09-07 09:12:51:753,1,367,21,0,207,2305,367,0 4,0,2024-09-07 09:12:51:788,88614,0.4,91049,0.5,185504,0.3,242468,1.75 4,1,2024-09-07 09:12:50:596,642306,642306,0,0,301367740188,3177696821,633282,7276,1748,370,391992,0 4,2,2024-09-07 09:12:51:017,462392,462392,0,0,21337218,0,4528 4,3,2024-09-07 09:12:51:027,1,367,18,0,448,5009,367,0 5,0,2024-09-07 09:12:51:407,91192,0.4,91694,0.6,182852,0.4,242714,1.75 5,1,2024-09-07 09:12:50:766,643727,643727,0,0,301972242673,3174144369,635816,6443,1468,367,392005,0 5,2,2024-09-07 09:12:51:834,461150,461150,0,0,20062433,0,2432 5,3,2024-09-07 09:12:51:740,1,367,52,0,457,5141,367,0 6,0,2024-09-07 09:12:50:921,93414,0.4,92969,0.6,185696,0.4,248215,1.75 6,1,2024-09-07 09:12:50:847,644077,644077,0,0,302193238552,3155692745,637283,5708,1086,379,391694,0 6,2,2024-09-07 09:12:51:118,464608,464608,0,0,19743064,0,4816 6,3,2024-09-07 09:12:51:280,1,367,148,0,340,3944,367,0 7,0,2024-09-07 09:12:51:538,90794,0.5,91372,0.6,181970,0.5,241834,2.00 7,1,2024-09-07 09:12:50:850,642975,642975,0,0,302339701823,3174085750,634034,7547,1394,382,391747,0 7,2,2024-09-07 09:12:50:786,465183,465183,0,0,19832740,0,4791 7,3,2024-09-07 09:12:50:851,1,367,3,0,398,3992,367,0 8,0,2024-09-07 09:12:51:325,92311,0.4,92102,0.5,184389,0.3,245697,1.75 8,1,2024-09-07 09:12:51:015,641944,641944,0,0,302135465706,3179588074,631047,8307,2590,366,392144,0 8,2,2024-09-07 09:12:50:791,460543,460543,0,0,23723672,0,3220 8,3,2024-09-07 09:12:50:594,1,367,12,0,538,6180,367,0 9,0,2024-09-07 09:12:51:109,92284,0.4,89550,0.5,187350,0.3,245609,1.75 9,1,2024-09-07 09:12:50:554,642815,642815,0,0,301041731148,3170662687,633555,7126,2134,369,392001,0 9,2,2024-09-07 09:12:51:092,463767,463767,0,0,21200352,0,3360 9,3,2024-09-07 09:12:51:752,1,367,9,0,496,5264,367,0 10,0,2024-09-07 09:12:51:611,92771,0.3,92217,0.5,185111,0.2,246633,1.75 10,1,2024-09-07 09:12:50:586,643452,643452,0,0,301736571353,3158431421,635237,7037,1178,381,391741,0 10,2,2024-09-07 09:12:50:765,463338,463338,0,0,22720105,0,4264 10,3,2024-09-07 09:12:50:871,1,367,10,0,296,3292,367,0 11,0,2024-09-07 09:12:51:017,92212,0.4,89545,0.6,187134,0.4,247508,1.75 11,1,2024-09-07 09:12:50:577,644369,644369,0,0,301876251797,3169487922,633881,8008,2480,383,391756,0 11,2,2024-09-07 09:12:51:123,464221,464221,0,0,20646844,0,4130 11,3,2024-09-07 09:12:51:304,1,367,21,0,843,5451,367,0 12,0,2024-09-07 09:12:50:936,93061,0.4,93154,0.5,185964,0.3,247113,1.75 12,1,2024-09-07 09:12:50:933,644189,644189,0,0,302253960795,3149124127,639303,4392,494,370,391870,0 12,2,2024-09-07 09:12:51:541,465782,465782,0,0,20042862,0,3469 12,3,2024-09-07 09:12:51:059,1,367,14,0,386,5790,367,0 13,0,2024-09-07 09:12:51:324,92029,0.4,91723,0.6,183200,0.4,244026,1.75 13,1,2024-09-07 09:12:51:530,643012,643012,0,0,301469441230,3166293925,637085,4516,1411,382,391740,0 13,2,2024-09-07 09:12:50:604,465992,465992,0,0,18502183,0,3287 13,3,2024-09-07 09:12:51:762,1,367,0,0,522,5730,367,0 14,0,2024-09-07 09:12:50:563,92264,0.4,93142,0.6,184352,0.3,245839,1.75 14,1,2024-09-07 09:12:51:561,647596,647596,0,0,303564690193,3140608031,642906,4331,359,364,391571,0 14,2,2024-09-07 09:12:50:772,461458,461428,30,0,19757020,0,6104 14,3,2024-09-07 09:12:51:116,1,367,1,0,1168,4250,367,0 15,0,2024-09-07 09:12:51:566,92789,0.4,92793,0.6,185880,0.3,247537,1.75 15,1,2024-09-07 09:12:51:609,644863,644863,0,0,302528633019,3146825551,640514,3525,824,381,391619,0 15,2,2024-09-07 09:12:50:998,466874,466874,0,0,16589434,0,3622 15,3,2024-09-07 09:12:51:420,1,367,238,0,1126,6675,367,0 16,0,2024-09-07 09:12:50:954,91244,0.5,91591,0.7,182906,0.5,243240,2.00 16,1,2024-09-07 09:12:50:567,645489,645489,0,0,302205402281,3153377014,640915,4202,372,370,391756,0 16,2,2024-09-07 09:12:51:436,465208,465208,0,0,19200388,0,4719 16,3,2024-09-07 09:12:51:142,1,367,1,0,317,4839,367,0 17,0,2024-09-07 09:12:51:785,93912,0.6,91616,0.8,179478,0.7,243294,2.00 17,1,2024-09-07 09:12:50:569,643298,643298,0,0,302389410463,3170586534,636826,5211,1261,368,392075,0 17,2,2024-09-07 09:12:51:666,466812,466812,0,0,18934050,0,2857 17,3,2024-09-07 09:12:50:587,1,367,9,0,298,5910,367,0 18,0,2024-09-07 09:12:50:939,89826,0.6,90225,0.8,179949,0.6,239978,2.25 18,1,2024-09-07 09:12:51:646,646179,646179,0,0,302867432069,3137421297,642599,3263,317,367,391649,0 18,2,2024-09-07 09:12:51:758,463977,463977,0,0,17209286,0,3541 18,3,2024-09-07 09:12:50:898,1,367,1,0,163,3053,367,0 19,0,2024-09-07 09:12:51:539,92661,0.5,93355,0.7,184904,0.5,246809,2.00 19,1,2024-09-07 09:12:50:568,646156,646156,0,0,303148435242,3143264349,640889,4428,839,367,391777,0 19,2,2024-09-07 09:12:51:757,466863,466863,0,0,15950510,0,3988 19,3,2024-09-07 09:12:51:129,1,367,4,0,524,2759,367,0 20,0,2024-09-07 09:12:51:382,90929,0.5,90920,0.6,181826,0.4,242311,2.00 20,1,2024-09-07 09:12:50:569,644104,644104,0,0,302480987567,3159872986,639008,4379,717,369,391886,0 20,2,2024-09-07 09:12:50:928,465040,465040,0,0,19688268,0,3721 20,3,2024-09-07 09:12:50:589,1,367,13,0,414,5636,367,0 21,0,2024-09-07 09:12:51:142,91731,0.5,91824,0.6,183292,0.5,243108,2.00 21,1,2024-09-07 09:12:51:551,642520,642520,0,0,300201138500,3163119112,633644,6890,1986,368,392016,0 21,2,2024-09-07 09:12:51:070,461809,461809,0,0,22935409,0,3747 21,3,2024-09-07 09:12:51:404,1,367,76,0,713,4836,367,0 22,0,2024-09-07 09:12:51:778,91768,0.5,92071,0.7,184442,0.5,244134,2.00 22,1,2024-09-07 09:12:51:024,643767,643767,0,0,300975637741,3161369106,634550,7221,1996,382,391667,0 22,2,2024-09-07 09:12:50:778,462648,462648,0,0,18374248,0,3134 22,3,2024-09-07 09:12:51:072,1,367,22,0,228,3189,367,0 23,0,2024-09-07 09:12:51:379,92092,0.5,91898,0.7,184418,0.5,246080,2.25 23,1,2024-09-07 09:12:51:016,644417,644417,0,0,302939512415,3181350378,632929,7939,3549,365,391690,0 23,2,2024-09-07 09:12:51:092,465596,465596,0,0,18492877,0,3010 23,3,2024-09-07 09:12:51:756,1,367,2,0,720,5175,367,0 24,0,2024-09-07 09:12:50:816,93081,0.4,92955,0.6,186443,0.4,247239,1.75 24,1,2024-09-07 09:12:50:588,643252,643252,0,0,301485481202,3162044464,635780,5891,1581,367,392269,0 24,2,2024-09-07 09:12:51:069,463684,463684,0,0,22220582,0,3607 24,3,2024-09-07 09:12:51:699,1,367,52,0,468,4855,367,0 25,0,2024-09-07 09:12:51:342,94860,0.4,92435,0.6,181227,0.4,247192,1.75 25,1,2024-09-07 09:12:50:560,643987,643987,0,0,301458375162,3165793267,635192,7272,1523,371,391928,0 25,2,2024-09-07 09:12:51:634,463524,463524,0,0,22412382,0,3978 25,3,2024-09-07 09:12:51:004,1,367,6,0,255,3527,367,0 26,0,2024-09-07 09:12:51:730,91169,0.4,88962,0.6,186665,0.3,243053,1.75 26,1,2024-09-07 09:12:51:540,644602,644602,0,0,301930044299,3160249052,635623,7443,1536,380,391748,0 26,2,2024-09-07 09:12:50:861,464938,464938,0,0,22575470,0,2809 26,3,2024-09-07 09:12:51:720,1,367,29,0,796,4576,367,0 27,0,2024-09-07 09:12:51:760,92755,0.4,92869,0.6,184207,0.4,246610,2.00 27,1,2024-09-07 09:12:51:683,646680,646680,0,0,303394691065,3154849630,641369,4545,766,381,391626,0 27,2,2024-09-07 09:12:50:868,459885,459820,65,0,21481371,0,5699 27,3,2024-09-07 09:12:51:016,1,367,1,0,564,3741,367,0 28,0,2024-09-07 09:12:51:396,92717,0.4,92448,0.6,184890,0.3,247063,1.75 28,1,2024-09-07 09:12:50:800,645635,645635,0,0,302972315382,3154066002,641129,3801,705,383,391698,0 28,2,2024-09-07 09:12:51:765,465107,465107,0,0,19223188,0,2915 28,3,2024-09-07 09:12:51:786,1,367,10,0,502,4038,367,0 29,0,2024-09-07 09:12:51:369,94471,0.4,92000,0.6,180516,0.3,246218,1.75 29,1,2024-09-07 09:12:51:562,646957,646957,0,0,303195242019,3137013045,642612,3658,687,369,391753,0 29,2,2024-09-07 09:12:50:868,464410,464410,0,0,18458742,0,4986 29,3,2024-09-07 09:12:50:969,1,367,0,0,459,4443,367,0 30,0,2024-09-07 09:12:51:460,90694,0.5,88154,0.7,184545,0.5,241437,2.00 30,1,2024-09-07 09:12:50:570,646825,646825,0,0,303340837090,3147193883,642005,4110,710,381,391672,0 30,2,2024-09-07 09:12:51:280,465473,465473,0,0,17455337,0,3161 30,3,2024-09-07 09:12:50:586,1,367,0,0,519,3636,367,0 31,0,2024-09-07 09:12:51:757,91096,0.4,91557,0.6,183043,0.3,244130,1.75 31,1,2024-09-07 09:12:50:568,648656,648656,0,0,303836317408,3113858777,646107,1990,559,356,391712,0 31,2,2024-09-07 09:12:51:278,461257,461257,0,0,19059509,0,3525 31,3,2024-09-07 09:12:51:708,1,367,6,0,220,3111,367,0 32,0,2024-09-07 09:12:51:424,93347,0.3,93727,0.5,187261,0.2,249592,1.50 32,1,2024-09-07 09:12:50:812,645837,645837,0,0,302714691642,3143365058,642173,3183,481,381,391646,0 32,2,2024-09-07 09:12:50:937,466569,466569,0,0,16372269,0,3155 32,3,2024-09-07 09:12:51:015,1,367,10,0,227,2552,367,0 33,0,2024-09-07 09:12:51:515,91342,0.3,90881,0.4,182394,0.2,242524,1.50 33,1,2024-09-07 09:12:50:576,646995,646995,0,0,303457213634,3142072273,642118,3957,920,369,391730,0 33,2,2024-09-07 09:12:50:772,466263,466228,35,0,19239157,0,7012 33,3,2024-09-07 09:12:50:896,1,367,1,0,329,3658,367,0 34,0,2024-09-07 09:12:50:930,91457,0.3,94102,0.4,179981,0.2,241816,1.75 34,1,2024-09-07 09:12:51:044,648265,648265,0,0,304168925201,3125264967,646837,1419,9,367,391562,0 34,2,2024-09-07 09:12:50:769,464232,464232,0,0,18018717,0,3577 34,3,2024-09-07 09:12:51:687,1,367,7,0,299,2570,367,0 35,0,2024-09-07 09:12:50:860,90459,0.3,90873,0.5,182894,0.3,243185,1.75 35,1,2024-09-07 09:12:51:067,646224,646224,0,0,303346778725,3141175186,642558,2885,781,382,391769,0 35,2,2024-09-07 09:12:51:584,463132,463132,0,0,18026374,0,2653 35,3,2024-09-07 09:12:50:910,1,367,8,0,418,4323,367,0 36,0,2024-09-07 09:12:51:516,93321,0.4,93286,0.6,186634,0.4,247899,2.00 36,1,2024-09-07 09:12:50:584,645189,645189,0,0,302308312934,3155304654,637363,6141,1685,366,391759,0 36,2,2024-09-07 09:12:51:751,465726,465726,0,0,20600920,0,3875 36,3,2024-09-07 09:12:50:863,1,367,7,0,416,5715,367,0 37,0,2024-09-07 09:12:51:386,90912,0.5,90797,0.6,181838,0.4,242220,2.00 37,1,2024-09-07 09:12:50:569,644882,644875,0,7,301597133071,3149886604,637471,5244,2160,365,391570,0 37,2,2024-09-07 09:12:51:149,463261,463246,15,0,20495922,0,5815 37,3,2024-09-07 09:12:51:767,1,367,2,0,888,5687,367,0 38,0,2024-09-07 09:12:51:439,91543,0.5,88923,0.7,186103,0.4,243493,2.00 38,1,2024-09-07 09:12:51:607,645887,645887,0,0,303111411625,3158742162,638377,6274,1236,368,391821,0 38,2,2024-09-07 09:12:50:765,463709,463662,47,0,20496377,0,6710 38,3,2024-09-07 09:12:50:997,1,367,30,0,689,5030,367,0 39,0,2024-09-07 09:12:51:768,93870,0.6,91850,0.7,178835,0.5,244553,2.00 39,1,2024-09-07 09:12:50:722,644653,644653,0,0,302841712845,3168685151,635233,7720,1700,365,391658,0 39,2,2024-09-07 09:12:51:421,464336,464336,0,0,18827625,0,2689 39,3,2024-09-07 09:12:50:718,1,367,1,0,324,4420,367,0 40,0,2024-09-07 09:12:51:493,91722,0.8,92455,1.0,184519,0.8,246023,2.75 40,1,2024-09-07 09:12:50:606,645385,645385,0,0,301033232736,3149898201,636393,7378,1614,368,391668,0 40,2,2024-09-07 09:12:51:310,462701,462700,1,0,22020174,0,5137 40,3,2024-09-07 09:12:51:151,1,367,9,0,1028,5186,367,0 41,0,2024-09-07 09:12:51:021,91726,1.3,93964,1.2,179210,2.1,244091,3.00 41,1,2024-09-07 09:12:50:777,643989,643989,0,0,301986209120,3155386084,636635,6648,706,369,391742,0 41,2,2024-09-07 09:12:50:765,463480,463480,0,0,20840055,0,3356 41,3,2024-09-07 09:12:51:681,1,367,5,0,366,3677,367,0 42,0,2024-09-07 09:12:51:475,91582,1.0,91636,1.0,183599,1.1,242889,2.75 42,1,2024-09-07 09:12:51:439,643130,643130,0,0,301565617470,3166432249,633241,8126,1763,380,391675,0 42,2,2024-09-07 09:12:51:135,464127,464127,0,0,21765825,0,3790 42,3,2024-09-07 09:12:51:012,1,367,0,0,446,3139,367,0 43,0,2024-09-07 09:12:50:924,90214,0.9,87905,1.0,184239,1.0,241427,2.50 43,1,2024-09-07 09:12:50:662,644886,644886,0,0,303607753486,3170023633,635911,7265,1710,366,391696,0 43,2,2024-09-07 09:12:51:745,464553,464553,0,0,21245040,0,3812 43,3,2024-09-07 09:12:51:749,1,367,26,0,467,4385,367,0 44,0,2024-09-07 09:12:50:862,92253,0.4,92618,0.6,185021,0.4,246007,1.75 44,1,2024-09-07 09:12:50:563,646282,646282,0,0,302781234492,3127759533,641185,4050,1047,356,391809,0 44,2,2024-09-07 09:12:51:280,460976,460976,0,0,17293410,0,4344 44,3,2024-09-07 09:12:51:093,1,367,7,0,817,4608,367,0 45,0,2024-09-07 09:12:51:759,91789,0.4,89633,0.7,188030,0.4,247645,2.00 45,1,2024-09-07 09:12:51:005,645892,645892,0,0,302904537784,3144567644,641452,3964,476,382,391917,0 45,2,2024-09-07 09:12:51:280,466012,466012,0,0,17889935,0,3596 45,3,2024-09-07 09:12:50:934,1,367,1,0,271,3434,367,0 46,0,2024-09-07 09:12:50:977,90663,0.5,90679,0.7,181421,0.5,240887,2.00 46,1,2024-09-07 09:12:50:578,647118,647118,0,0,304178951974,3138498126,643400,3292,426,366,391572,0 46,2,2024-09-07 09:12:50:594,465886,465886,0,0,17196752,0,2920 46,3,2024-09-07 09:12:51:134,1,367,1,0,908,5122,367,0 47,0,2024-09-07 09:12:51:105,91452,0.5,91757,0.6,183843,0.4,242768,2.00 47,1,2024-09-07 09:12:50:568,648091,648091,0,0,302958958441,3131159715,643601,3675,815,366,391641,0 47,2,2024-09-07 09:12:50:908,466177,466177,0,0,17653339,0,4477 47,3,2024-09-07 09:12:51:116,1,367,1,0,529,3950,367,0 48,0,2024-09-07 09:12:51:489,91315,0.3,90943,0.4,181443,0.2,242128,1.50 48,1,2024-09-07 09:12:51:024,646096,646096,0,0,303414213459,3150547620,642039,3604,453,384,391710,0 48,2,2024-09-07 09:12:50:699,461714,461714,0,0,15990772,0,3031 48,3,2024-09-07 09:12:50:752,1,367,13,0,339,3050,367,0 49,0,2024-09-07 09:12:51:716,95580,0.3,94000,0.5,181685,0.2,249667,1.75 49,1,2024-09-07 09:12:51:024,645581,645581,0,0,302711933357,3149814390,640979,3397,1205,382,391809,0 49,2,2024-09-07 09:12:51:803,466372,466372,0,0,17872155,0,4426 49,3,2024-09-07 09:12:51:422,1,367,0,0,408,3669,367,0 50,0,2024-09-07 09:12:51:514,91495,0.3,90012,0.4,181713,0.2,241970,1.75 50,1,2024-09-07 09:12:51:013,647610,647610,0,0,303523667514,3136683032,643490,3733,387,368,391565,0 50,2,2024-09-07 09:12:51:067,465286,465286,0,0,16460196,0,2263 50,3,2024-09-07 09:12:51:301,1,367,52,0,335,3434,367,0 51,0,2024-09-07 09:12:51:688,94096,0.3,92005,0.5,179374,0.2,244173,1.75 51,1,2024-09-07 09:12:51:679,647738,647738,0,0,304383639989,3137750553,644453,2309,976,365,391706,0 51,2,2024-09-07 09:12:51:316,464044,464044,0,0,16108314,0,3337 51,3,2024-09-07 09:12:51:032,1,367,249,0,678,2932,367,0 52,0,2024-09-07 09:12:51:444,92293,0.5,92261,0.7,184362,0.4,245067,2.00 52,1,2024-09-07 09:12:50:585,644758,644758,0,0,302379091835,3163849702,635844,7600,1314,368,391722,0 52,2,2024-09-07 09:12:51:756,460330,460292,38,0,21275809,0,6742 52,3,2024-09-07 09:12:50:675,1,367,5,0,1782,5342,367,0 53,0,2024-09-07 09:12:51:737,91897,0.6,89333,0.8,187073,0.6,245608,2.25 53,1,2024-09-07 09:12:50:773,643651,643651,0,0,302220749205,3167417213,633998,7069,2584,367,391702,0 53,2,2024-09-07 09:12:51:298,465159,465159,0,0,18351592,0,2727 53,3,2024-09-07 09:12:50:699,1,367,1,0,308,3294,367,0 54,0,2024-09-07 09:12:51:615,91084,0.6,91431,0.8,182044,0.4,243146,2.25 54,1,2024-09-07 09:12:50:584,645309,645309,0,0,303090737180,3147004443,639388,5302,619,366,391659,0 54,2,2024-09-07 09:12:50:865,464982,464976,6,0,20791580,0,5382 54,3,2024-09-07 09:12:50:774,1,367,1,0,676,5472,367,0 55,0,2024-09-07 09:12:51:762,89234,0.6,92289,0.7,186425,0.5,243194,2.50 55,1,2024-09-07 09:12:50:765,645409,645409,0,0,302058391375,3139893168,638679,5821,909,365,391731,0 55,2,2024-09-07 09:12:50:735,463703,463703,0,0,20119690,0,3563 55,3,2024-09-07 09:12:50:676,1,367,2,0,304,3975,367,0 56,0,2024-09-07 09:12:51:564,93274,1.1,87933,1.1,181320,1.5,242813,2.50 56,1,2024-09-07 09:12:50:573,642507,642507,0,0,301692090194,3180309338,633610,7265,1632,381,391867,0 56,2,2024-09-07 09:12:51:310,464370,464370,0,0,20825463,0,3567 56,3,2024-09-07 09:12:51:059,1,367,8,0,705,4612,367,0 57,0,2024-09-07 09:12:50:960,91222,1.4,91206,1.2,182429,2.0,244325,3.00 57,1,2024-09-07 09:12:50:986,644214,644214,0,0,302653949080,3169642845,636750,6465,999,366,392032,0 57,2,2024-09-07 09:12:51:315,462756,462756,0,0,22554730,0,3317 57,3,2024-09-07 09:12:51:740,1,367,0,0,359,4136,367,0 58,0,2024-09-07 09:12:50:560,91248,0.7,88694,0.9,185635,0.7,243782,2.50 58,1,2024-09-07 09:12:50:574,644902,644899,0,3,302769482734,3161709738,636623,7194,1082,367,391603,3 58,2,2024-09-07 09:12:51:071,464330,464330,0,0,20726221,0,2549 58,3,2024-09-07 09:12:51:068,1,367,0,0,1043,4099,367,0 59,0,2024-09-07 09:12:51:746,91701,0.8,91247,0.9,182386,0.8,242550,2.50 59,1,2024-09-07 09:12:50:809,643992,643992,0,0,302794512522,3166479042,635906,6563,1523,369,391586,0 59,2,2024-09-07 09:12:50:586,465847,465847,0,0,20550211,0,2867 59,3,2024-09-07 09:12:51:739,1,367,4,0,1015,4482,367,0 60,0,2024-09-07 09:12:51:708,90975,0.5,90934,0.7,182664,0.5,242356,1.75 60,1,2024-09-07 09:12:50:781,646673,646673,0,0,303325849223,3146595905,642532,3492,649,370,392031,0 60,2,2024-09-07 09:12:51:151,464955,464955,0,0,19762133,0,3811 60,3,2024-09-07 09:12:51:264,1,367,22,0,409,4296,367,0 61,0,2024-09-07 09:12:51:507,91123,0.6,91854,0.7,182716,0.5,243863,2.00 61,1,2024-09-07 09:12:50:783,644865,644865,0,0,302872804907,3171379825,637644,5895,1326,382,392127,0 61,2,2024-09-07 09:12:51:120,461280,461213,67,0,19919661,0,6411 61,3,2024-09-07 09:12:51:692,1,367,8,0,479,5209,367,0 62,0,2024-09-07 09:12:51:708,94035,0.5,96150,0.6,183393,0.4,249624,1.75 62,1,2024-09-07 09:12:51:111,649110,649104,0,6,303682704402,3131907803,645512,3333,259,365,391715,6 62,2,2024-09-07 09:12:51:646,464594,464593,1,0,19567433,0,5555 62,3,2024-09-07 09:12:51:142,1,367,2,0,465,2722,367,0 63,0,2024-09-07 09:12:51:456,91512,0.4,91275,0.6,183040,0.3,242903,1.75 63,1,2024-09-07 09:12:50:807,646869,646863,0,6,303158955445,3144415620,642925,3550,388,381,391800,6 63,2,2024-09-07 09:12:50:773,465577,465577,0,0,18021089,0,4369 63,3,2024-09-07 09:12:51:741,1,367,1,0,667,3919,367,0 64,0,2024-09-07 09:12:51:535,90698,0.5,90752,0.7,181565,0.5,240894,2.00 64,1,2024-09-07 09:12:50:750,646035,646035,0,0,302646830993,3151547377,640211,4147,1677,370,391783,0 64,2,2024-09-07 09:12:51:150,467079,467060,19,0,17726147,0,6121 64,3,2024-09-07 09:12:51:149,1,367,9,0,265,3327,367,0 65,0,2024-09-07 09:12:51:675,90337,0.6,90618,0.8,181059,0.6,241068,2.00 65,1,2024-09-07 09:12:50:870,644217,644217,0,0,301640335867,3151966110,639499,4179,539,382,391901,0 65,2,2024-09-07 09:12:51:694,463660,463660,0,0,19696023,0,3367 65,3,2024-09-07 09:12:51:684,1,367,1,0,347,3780,367,0 66,0,2024-09-07 09:12:51:768,92771,0.5,92704,0.7,185376,0.4,246906,2.00 66,1,2024-09-07 09:12:51:302,646177,646177,0,0,303501658034,3153792675,642436,3418,323,380,391653,0 66,2,2024-09-07 09:12:51:135,466885,466885,0,0,17938301,0,4956 66,3,2024-09-07 09:12:51:084,1,367,3,0,291,3447,367,0 67,0,2024-09-07 09:12:51:429,91459,0.5,91134,0.6,182776,0.4,242934,2.00 67,1,2024-09-07 09:12:50:765,646359,646358,0,1,302802650982,3151581567,641905,3669,784,380,391787,1 67,2,2024-09-07 09:12:50:595,465857,465857,0,0,17061188,0,2889 67,3,2024-09-07 09:12:51:750,1,367,2,0,338,3281,367,0 68,0,2024-09-07 09:12:50:566,92489,0.6,92096,0.7,183760,0.6,245469,2.00 68,1,2024-09-07 09:12:50:570,644110,644110,0,0,301467554085,3159223081,638004,4556,1550,381,391953,0 68,2,2024-09-07 09:12:51:051,462623,462558,65,0,22509562,0,6698 68,3,2024-09-07 09:12:50:731,1,367,12,0,417,3835,367,0 69,0,2024-09-07 09:12:51:730,91491,0.8,92115,0.9,183175,0.9,243631,2.25 69,1,2024-09-07 09:12:51:016,642400,642400,0,0,301988424394,3183129300,633963,6279,2158,384,391994,0 69,2,2024-09-07 09:12:51:741,464020,464020,0,0,22757575,0,3722 69,3,2024-09-07 09:12:50:767,1,367,3,0,698,5177,367,0 70,0,2024-09-07 09:12:51:557,91725,1.0,91806,1.1,184537,0.9,244770,2.50 70,1,2024-09-07 09:12:50:804,645880,645880,0,0,303155679198,3145476417,640642,4580,658,366,391725,0 70,2,2024-09-07 09:12:51:327,462756,462756,0,0,20368407,0,4044 70,3,2024-09-07 09:12:50:745,1,367,21,0,854,4281,367,0 71,0,2024-09-07 09:12:51:355,91522,0.8,91325,0.9,183272,0.9,245363,2.50 71,1,2024-09-07 09:12:51:600,644822,644822,0,0,303065639081,3160819933,637650,6438,734,368,391738,0 71,2,2024-09-07 09:12:51:067,464592,464592,0,0,20353476,0,4042 71,3,2024-09-07 09:12:51:750,1,367,1,0,644,4778,367,0 72,0,2024-09-07 09:12:51:031,95372,0.6,93200,0.7,181783,0.5,247340,2.00 72,1,2024-09-07 09:12:51:026,644362,644362,0,0,302158063774,3163057598,635822,6835,1705,369,391819,0 72,2,2024-09-07 09:12:51:755,464414,464414,0,0,22901637,0,3983 72,3,2024-09-07 09:12:51:755,1,367,10,0,564,5613,367,0 73,0,2024-09-07 09:12:51:105,89072,0.4,91351,0.6,186850,0.4,242667,2.00 73,1,2024-09-07 09:12:50:773,645148,645148,0,0,302238984544,3140342843,640479,4306,363,367,391858,0 73,2,2024-09-07 09:12:51:745,464685,464685,0,0,22115203,0,3701 73,3,2024-09-07 09:12:50:971,1,367,16,0,486,5217,367,0 74,0,2024-09-07 09:12:51:330,92661,0.4,94972,0.6,181262,0.4,245786,2.00 74,1,2024-09-07 09:12:50:643,644908,644908,0,0,301912097891,3149394666,638725,4920,1263,381,391681,0 74,2,2024-09-07 09:12:51:004,460814,460814,0,0,20744603,0,4253 74,3,2024-09-07 09:12:51:445,1,367,5,0,522,4997,367,0 75,0,2024-09-07 09:12:51:777,93181,0.4,92660,0.6,185881,0.4,248514,2.25 75,1,2024-09-07 09:12:51:585,644294,644294,0,0,302082369629,3152688664,637781,5687,826,380,391739,0 75,2,2024-09-07 09:12:51:349,464479,464479,0,0,21623536,0,4766 75,3,2024-09-07 09:12:51:067,1,367,2,0,702,5058,367,0 76,0,2024-09-07 09:12:50:583,90935,0.6,90306,0.7,181121,0.5,242180,2.25 76,1,2024-09-07 09:12:50:814,645429,645429,0,0,302450491629,3150502114,641499,3339,591,382,391692,0 76,2,2024-09-07 09:12:51:061,467242,467241,1,0,20146274,0,5144 76,3,2024-09-07 09:12:51:148,1,367,12,0,175,3427,367,0 77,0,2024-09-07 09:12:51:694,91265,0.6,91335,0.7,182850,0.6,242657,2.00 77,1,2024-09-07 09:12:50:841,644920,644920,0,0,302272279809,3155737445,639588,4841,491,381,391869,0 77,2,2024-09-07 09:12:51:281,465040,465040,0,0,19637773,0,3890 77,3,2024-09-07 09:12:51:097,1,367,1,0,401,4042,367,0 78,0,2024-09-07 09:12:51:767,91170,0.4,90646,0.6,182129,0.4,241394,2.00 78,1,2024-09-07 09:12:50:615,645845,645845,0,0,302220761811,3142089709,639910,4790,1145,367,391670,0 78,2,2024-09-07 09:12:51:411,462687,462687,0,0,16824605,0,3855 78,3,2024-09-07 09:12:51:139,1,367,1,0,181,3426,367,0 79,0,2024-09-07 09:12:51:346,90090,0.4,92356,0.6,189083,0.3,246447,2.00 79,1,2024-09-07 09:12:50:578,647573,647573,0,0,303057204837,3134740019,642985,3953,635,368,391682,0 79,2,2024-09-07 09:12:51:068,466925,466925,0,0,17961358,0,4195 79,3,2024-09-07 09:12:50:749,1,367,1,0,418,4613,367,0 80,0,2024-09-07 09:12:51:073,90914,0.5,93664,0.6,179454,0.5,241721,2.00 80,1,2024-09-07 09:12:51:621,645729,645729,0,0,302505080648,3144637788,642043,3489,197,368,391791,0 80,2,2024-09-07 09:12:51:092,466846,466846,0,0,17776833,0,4433 80,3,2024-09-07 09:12:50:575,1,367,9,0,190,4358,367,0 81,0,2024-09-07 09:12:51:616,91753,0.5,93883,0.7,179241,0.5,242913,2.00 81,1,2024-09-07 09:12:51:678,644713,644713,0,0,302016602258,3155000483,639495,4716,502,382,391879,0 81,2,2024-09-07 09:12:51:150,463163,463100,63,0,19424222,0,5932 81,3,2024-09-07 09:12:51:121,1,367,12,0,374,4058,367,0 82,0,2024-09-07 09:12:51:538,91571,0.5,92027,0.7,184615,0.4,244796,2.00 82,1,2024-09-07 09:12:50:584,646615,646611,0,4,302799408280,3149010602,642529,3284,798,381,391768,4 82,2,2024-09-07 09:12:51:691,465132,465132,0,0,16359796,0,3986 82,3,2024-09-07 09:12:51:757,1,367,11,0,363,3543,367,0 83,0,2024-09-07 09:12:51:524,92752,0.5,92500,0.6,184659,0.4,246000,2.00 83,1,2024-09-07 09:12:50:551,644596,644596,0,0,302517151246,3151145078,640216,3999,381,382,391709,0 83,2,2024-09-07 09:12:50:780,464683,464683,0,0,17046344,0,3393 83,3,2024-09-07 09:12:50:755,1,367,2,0,1260,4935,367,0 84,0,2024-09-07 09:12:51:982,91953,0.7,91824,0.9,183349,0.6,245571,2.25 84,1,2024-09-07 09:12:51:039,643886,643886,0,0,302216039493,3158823542,636926,5928,1032,367,391967,0 84,2,2024-09-07 09:12:50:570,464403,464403,0,0,22025143,0,4757 84,3,2024-09-07 09:12:51:149,1,367,8,0,908,5479,367,0 85,0,2024-09-07 09:12:51:006,88995,0.6,89005,0.8,188888,0.6,244393,2.25 85,1,2024-09-07 09:12:50:568,642603,642603,0,0,302435937740,3188202923,633608,7609,1386,381,392006,0 85,2,2024-09-07 09:12:50:868,463782,463782,0,0,21635568,0,3656 85,3,2024-09-07 09:12:50:686,1,367,1,0,789,4809,367,0 86,0,2024-09-07 09:12:50:900,91210,0.7,93780,0.8,179428,0.7,242628,2.25 86,1,2024-09-07 09:12:50:831,644429,644429,0,0,302247085176,3161688293,637355,6005,1069,366,391961,0 86,2,2024-09-07 09:12:50:892,463387,463386,1,0,22611253,0,5004 86,3,2024-09-07 09:12:50:586,1,367,92,0,308,5509,367,0 87,0,2024-09-07 09:12:51:294,92143,0.9,92045,0.9,184581,1.1,246572,2.25 87,1,2024-09-07 09:12:50:553,644221,644221,0,0,301870081285,3155970819,637526,5935,760,366,392076,0 87,2,2024-09-07 09:12:51:067,461113,461113,0,0,20129369,0,4045 87,3,2024-09-07 09:12:51:795,1,367,1,0,473,5164,367,0 88,0,2024-09-07 09:12:51:448,92436,0.4,93166,0.6,185354,0.4,246711,1.75 88,1,2024-09-07 09:12:50:574,642621,642621,0,0,301644454708,3160111727,634182,6559,1880,365,392084,0 88,2,2024-09-07 09:12:50:693,465671,465671,0,0,22500171,0,3583 88,3,2024-09-07 09:12:51:269,1,367,8,0,435,3833,367,0 89,0,2024-09-07 09:12:51:788,94594,0.5,91551,0.6,181297,0.4,246331,1.75 89,1,2024-09-07 09:12:50:554,643031,643031,0,0,301604711826,3170195208,634943,6731,1357,382,391866,0 89,2,2024-09-07 09:12:51:139,464642,464642,0,0,21593348,0,2910 89,3,2024-09-07 09:12:51:795,1,367,13,0,385,6452,367,0 90,0,2024-09-07 09:12:51:633,88315,0.5,90755,0.6,184953,0.4,241379,2.00 90,1,2024-09-07 09:12:50:590,644566,644566,0,0,302136592462,3164474084,638244,5816,506,380,391825,0 90,2,2024-09-07 09:12:51:409,463329,463329,0,0,22900978,0,3060 90,3,2024-09-07 09:12:50:930,1,367,1,0,246,4106,367,0 91,0,2024-09-07 09:12:51:028,91952,0.4,88977,0.6,185810,0.4,244305,1.75 91,1,2024-09-07 09:12:50:562,642844,642844,0,0,301861469821,3173499039,634533,7123,1188,381,392047,0 91,2,2024-09-07 09:12:51:331,461820,461820,0,0,20281710,0,2896 91,3,2024-09-07 09:12:50:598,1,367,8,0,216,3350,367,0 92,0,2024-09-07 09:12:51:445,94060,0.4,96505,0.6,184153,0.4,249850,1.75 92,1,2024-09-07 09:12:50:584,644407,644407,0,0,301529736455,3148012871,639577,4141,689,382,392136,0 92,2,2024-09-07 09:12:51:350,466647,466647,0,0,18451371,0,3259 92,3,2024-09-07 09:12:51:012,1,367,8,0,167,3123,367,0 93,0,2024-09-07 09:12:50:988,91785,0.4,94030,0.5,179529,0.3,243093,1.75 93,1,2024-09-07 09:12:50:807,644865,644865,0,0,302242584819,3152381273,638696,5137,1032,366,391776,0 93,2,2024-09-07 09:12:50:928,464986,464986,0,0,21578161,0,4845 93,3,2024-09-07 09:12:51:420,1,367,0,0,190,3443,367,0 94,0,2024-09-07 09:12:51:599,90865,0.4,91716,0.5,183210,0.3,242615,1.75 94,1,2024-09-07 09:12:50:563,644911,644911,0,0,302422118119,3153143299,640847,3873,191,381,391850,0 94,2,2024-09-07 09:12:50:765,463883,463883,0,0,18497580,0,2443 94,3,2024-09-07 09:12:51:693,1,367,2,0,264,4378,367,0 95,0,2024-09-07 09:12:51:412,91045,0.4,91110,0.5,182614,0.3,242944,1.75 95,1,2024-09-07 09:12:50:855,646431,646431,0,0,302870065707,3146780420,641401,4628,402,367,391713,0 95,2,2024-09-07 09:12:51:016,463369,463369,0,0,18102102,0,3308 95,3,2024-09-07 09:12:51:742,1,367,9,0,718,5534,367,0 96,0,2024-09-07 09:12:51:051,93230,0.3,93395,0.5,186636,0.3,247544,1.75 96,1,2024-09-07 09:12:51:586,644748,644748,0,0,302760662432,3156991550,640158,3792,798,384,391955,0 96,2,2024-09-07 09:12:51:268,465658,465658,0,0,18381702,0,4042 96,3,2024-09-07 09:12:51:152,1,367,8,0,411,3940,367,0 97,0,2024-09-07 09:12:51:351,91402,0.3,91151,0.5,183015,0.3,242669,1.50 97,1,2024-09-07 09:12:50:776,646231,646231,0,0,303276450858,3143537114,641984,3553,694,367,392140,0 97,2,2024-09-07 09:12:50:607,465722,465722,0,0,18242240,0,3679 97,3,2024-09-07 09:12:50:569,1,367,7,0,214,4146,367,0 98,0,2024-09-07 09:12:51:714,92097,0.3,92031,0.4,184815,0.2,245459,1.50 98,1,2024-09-07 09:12:50:580,645430,645430,0,0,302431954353,3144593475,642462,2849,119,382,391997,0 98,2,2024-09-07 09:12:50:768,464323,464323,0,0,17856066,0,3080 98,3,2024-09-07 09:12:50:698,1,367,2,0,840,5661,367,0 99,0,2024-09-07 09:12:51:464,91989,0.3,92631,0.5,183979,0.3,245777,1.75 99,1,2024-09-07 09:12:51:725,645380,645380,0,0,302326397619,3146259566,640990,3619,771,381,392069,0 99,2,2024-09-07 09:12:51:422,465572,465572,0,0,20688866,0,3424 99,3,2024-09-07 09:12:50:583,1,367,14,0,606,4040,367,0 100,0,2024-09-07 09:12:51:467,92414,0.8,92433,1.0,184315,0.9,246491,2.50 100,1,2024-09-07 09:12:50:552,641963,641963,0,0,300862914828,3178141242,632507,7563,1893,381,391989,0 100,2,2024-09-07 09:12:51:861,462057,462046,11,0,20870702,0,5417 100,3,2024-09-07 09:12:51:732,1,367,12,0,559,6143,367,0 101,0,2024-09-07 09:12:51:731,94263,0.8,91878,1.0,180312,0.6,247493,2.25 101,1,2024-09-07 09:12:50:555,642025,642025,0,0,301537646426,3174103153,632146,7764,2115,368,391847,0 101,2,2024-09-07 09:12:51:758,462273,462273,0,0,24280111,0,4644 101,3,2024-09-07 09:12:50:944,1,367,93,0,579,4840,367,0 102,0,2024-09-07 09:12:50:941,89921,0.7,92935,0.8,187897,0.7,246221,2.25 102,1,2024-09-07 09:12:51:158,643108,643108,0,0,301548851674,3166882503,634846,7005,1257,369,391891,0 102,2,2024-09-07 09:12:51:740,465414,465360,54,0,20514731,0,6768 102,3,2024-09-07 09:12:51:620,1,367,5,0,410,3766,367,0 103,0,2024-09-07 09:12:51:591,94347,0.6,94254,0.7,177799,0.6,244498,2.00 103,1,2024-09-07 09:12:51:628,641858,641858,0,0,301462392880,3180879879,631773,7500,2585,381,391829,0 103,2,2024-09-07 09:12:50:584,463574,463574,0,0,21442879,0,3173 103,3,2024-09-07 09:12:50:756,1,367,8,0,916,4323,367,0 104,0,2024-09-07 09:12:51:014,91320,0.7,91718,0.9,182098,0.6,245087,2.25 104,1,2024-09-07 09:12:51:644,644058,644058,0,0,301539872034,3166504849,634925,7367,1766,365,392168,0 104,2,2024-09-07 09:12:51:685,460073,460073,0,0,21843360,0,3941 104,3,2024-09-07 09:12:51:420,1,367,8,0,1245,7719,367,0 105,0,2024-09-07 09:12:51:037,91899,0.7,89443,1.0,187394,0.7,246961,2.50 105,1,2024-09-07 09:12:50:561,644713,644713,0,0,302864061912,3173011263,636335,7041,1337,366,392009,0 105,2,2024-09-07 09:12:51:322,464679,464679,0,0,21515043,0,3509 105,3,2024-09-07 09:12:51:309,1,367,1,0,399,5726,367,0 106,0,2024-09-07 09:12:50:940,88060,0.8,90202,0.9,184834,0.8,241605,2.50 106,1,2024-09-07 09:12:51:753,643631,643631,0,0,302268259566,3173583949,634008,8328,1295,369,391914,0 106,2,2024-09-07 09:12:50:755,464195,464195,0,0,21139269,0,2795 106,3,2024-09-07 09:12:50:677,1,367,4,0,470,4723,367,0 107,0,2024-09-07 09:12:51:099,91015,1.1,91162,1.0,182222,1.5,242514,2.25 107,1,2024-09-07 09:12:50:587,642606,642606,0,0,300862091543,3166248608,634516,7173,917,381,392234,0 107,2,2024-09-07 09:12:51:292,462943,462942,1,0,21680124,0,5024 107,3,2024-09-07 09:12:51:761,1,367,5,0,353,4997,367,0 108,0,2024-09-07 09:12:51:768,90508,0.4,91220,0.6,181228,0.4,241914,1.75 108,1,2024-09-07 09:12:51:297,645358,645358,0,0,302893974757,3156005185,640252,4588,518,368,391857,0 108,2,2024-09-07 09:12:51:756,460759,460759,0,0,20419633,0,4246 108,3,2024-09-07 09:12:51:330,1,367,8,0,749,7231,367,0 109,0,2024-09-07 09:12:51:842,93535,0.4,92744,0.5,185717,0.3,249010,1.75 109,1,2024-09-07 09:12:50:587,642970,642970,0,0,301768591737,3161660023,637741,4563,666,383,392132,0 109,2,2024-09-07 09:12:50:931,463822,463822,0,0,20046554,0,3617 109,3,2024-09-07 09:12:51:140,1,367,0,0,379,4341,367,0 110,0,2024-09-07 09:12:51:749,90937,0.4,88476,0.6,185354,0.3,242427,1.75 110,1,2024-09-07 09:12:51:648,646568,646568,0,0,303121428935,3142203321,642558,3031,979,369,392045,0 110,2,2024-09-07 09:12:51:303,465245,465245,0,0,19850423,0,4067 110,3,2024-09-07 09:12:50:694,1,367,124,0,722,5378,367,0 111,0,2024-09-07 09:12:51:429,92089,0.4,91370,0.6,183266,0.4,244220,1.75 111,1,2024-09-07 09:12:51:000,646759,646759,0,0,303127662098,3142787597,643371,3035,353,382,391690,0 111,2,2024-09-07 09:12:51:117,463106,463106,0,0,19153701,0,4823 111,3,2024-09-07 09:12:50:913,1,367,0,0,379,4314,367,0 112,0,2024-09-07 09:12:50:910,92809,0.3,92160,0.4,184810,0.2,245456,1.50 112,1,2024-09-07 09:12:50:826,646277,646277,0,0,303296269675,3144516189,642669,3024,584,380,391624,0 112,2,2024-09-07 09:12:51:135,464257,464256,1,0,17717352,0,5036 112,3,2024-09-07 09:12:50:594,1,367,7,0,282,3636,367,0 113,0,2024-09-07 09:12:50:870,92377,0.3,92559,0.4,185547,0.2,247304,1.50 113,1,2024-09-07 09:12:51:684,648130,648130,0,0,304404030507,3139032409,644621,2925,584,366,391661,0 113,2,2024-09-07 09:12:51:312,465928,465928,0,0,16382273,0,3813 113,3,2024-09-07 09:12:50:684,1,367,1,0,340,4213,367,0 114,0,2024-09-07 09:12:50:875,92830,0.3,93525,0.5,185821,0.2,248088,1.75 114,1,2024-09-07 09:12:50:716,645940,645940,0,0,302389542708,3140290786,640657,3688,1595,381,391556,0 114,2,2024-09-07 09:12:50:873,465453,465452,1,0,18184810,0,5069 114,3,2024-09-07 09:12:51:282,1,367,1,0,395,3054,367,0 115,0,2024-09-07 09:12:50:559,92391,0.3,93019,0.4,185517,0.2,246933,1.50 115,1,2024-09-07 09:12:50:589,645619,645619,0,0,303254321151,3148987970,640381,4395,843,382,391757,0 115,2,2024-09-07 09:12:51:133,466359,466359,0,0,16875462,0,3848 115,3,2024-09-07 09:12:51:002,1,367,1,0,159,2196,367,0 116,0,2024-09-07 09:12:51:741,90974,0.8,90865,0.9,182313,0.8,243800,2.00 116,1,2024-09-07 09:12:50:809,642478,642478,0,0,302639574121,3189624410,634352,5810,2316,380,392089,0 116,2,2024-09-07 09:12:51:771,463992,463992,0,0,22292761,0,3529 116,3,2024-09-07 09:12:50:915,1,367,13,0,415,4419,367,0 117,0,2024-09-07 09:12:50:958,92570,0.7,92152,0.8,184458,0.8,246933,2.00 117,1,2024-09-07 09:12:51:605,643251,643251,0,0,301887105311,3159383409,636271,6135,845,369,392033,0 117,2,2024-09-07 09:12:51:118,464619,464619,0,0,18445477,0,3700 117,3,2024-09-07 09:12:51:059,1,367,0,0,490,5137,367,0 118,0,2024-09-07 09:12:51:798,89876,0.5,92087,0.6,188114,0.4,245834,2.00 118,1,2024-09-07 09:12:50:587,643321,643321,0,0,302026954088,3172820478,634041,7246,2034,366,391907,0 118,2,2024-09-07 09:12:51:589,464169,464169,0,0,20798891,0,2781 118,3,2024-09-07 09:12:51:787,1,367,8,0,248,4180,367,0 119,0,2024-09-07 09:12:51:341,91539,0.6,91945,0.7,183905,0.6,244606,2.00 119,1,2024-09-07 09:12:50:551,644557,644557,0,0,301679379312,3154766525,637530,6186,841,367,391780,0 119,2,2024-09-07 09:12:51:282,465414,465414,0,0,19628947,0,4174 119,3,2024-09-07 09:12:51:326,1,367,2,0,1058,6532,367,0 120,0,2024-09-07 09:12:51:612,90633,0.6,90381,0.8,180782,0.6,242067,2.25 120,1,2024-09-07 09:12:50:868,644152,644152,0,0,301799462490,3164418876,637639,5953,560,368,391961,0 120,2,2024-09-07 09:12:50:774,464154,464153,1,0,22470106,0,5281 120,3,2024-09-07 09:12:51:300,1,367,15,0,241,4424,367,0 121,0,2024-09-07 09:12:51:787,91243,0.9,91294,0.9,183173,1.1,243955,2.25 121,1,2024-09-07 09:12:51:666,644377,644377,0,0,301984169165,3156317973,638395,5544,438,367,391840,0 121,2,2024-09-07 09:12:51:138,460353,460353,0,0,21386954,0,4127 121,3,2024-09-07 09:12:50:736,1,367,18,0,269,4080,367,0 122,0,2024-09-07 09:12:51:781,92776,0.7,90691,0.8,190346,0.8,249538,2.00 122,1,2024-09-07 09:12:50:868,643652,643652,0,0,301403840807,3159819185,635073,7316,1263,366,392130,0 122,2,2024-09-07 09:12:51:319,465300,465227,73,0,24380261,0,5989 122,3,2024-09-07 09:12:50:599,1,367,115,0,512,7002,367,0 123,0,2024-09-07 09:12:50:977,90821,0.6,88811,0.7,185271,0.7,242570,2.00 123,1,2024-09-07 09:12:50:568,643399,643399,0,0,302017976361,3181587643,631555,9678,2166,369,392039,0 123,2,2024-09-07 09:12:51:022,463736,463735,1,0,20951437,0,5215 123,3,2024-09-07 09:12:51:137,1,367,1,0,168,4056,367,0 124,0,2024-09-07 09:12:50:932,94035,0.4,94033,0.5,177361,0.3,243408,1.75 124,1,2024-09-07 09:12:51:024,645662,645662,0,0,301927527955,3138565080,640932,3819,911,367,392178,0 124,2,2024-09-07 09:12:51:013,464982,464929,53,0,18707283,0,6487 124,3,2024-09-07 09:12:50:758,1,367,9,0,490,3648,367,0 125,0,2024-09-07 09:12:51:599,91467,0.4,91091,0.5,183118,0.3,243315,1.75 125,1,2024-09-07 09:12:50:862,644409,644409,0,0,302402502507,3154441284,639516,4246,647,382,391702,0 125,2,2024-09-07 09:12:51:123,463741,463741,0,0,19248377,0,4534 125,3,2024-09-07 09:12:51:135,1,367,36,0,709,4740,367,0 126,0,2024-09-07 09:12:51:451,93046,0.4,95739,0.6,183287,0.4,248378,1.75 126,1,2024-09-07 09:12:50:554,646382,646382,0,0,303475908404,3142201052,642633,3461,288,365,391987,0 126,2,2024-09-07 09:12:50:609,465758,465758,0,0,19758794,0,4539 126,3,2024-09-07 09:12:50:910,1,367,58,0,207,4553,367,0 127,0,2024-09-07 09:12:51:653,91306,0.3,91729,0.5,182909,0.3,242816,1.50 127,1,2024-09-07 09:12:50:579,645490,645490,0,0,303431662830,3149590517,640055,4574,861,364,392187,0 127,2,2024-09-07 09:12:50:648,464457,464457,0,0,17904613,0,3897 127,3,2024-09-07 09:12:51:281,1,367,0,0,968,4123,367,0 128,0,2024-09-07 09:12:51:579,92437,0.3,92808,0.4,185329,0.2,245765,1.50 128,1,2024-09-07 09:12:51:613,645744,645744,0,0,302249072348,3136744163,641530,3842,372,367,391838,0 128,2,2024-09-07 09:12:51:381,464981,464981,0,0,17388307,0,2915 128,3,2024-09-07 09:12:50:772,1,367,8,0,1082,6024,367,0 129,0,2024-09-07 09:12:50:992,92608,0.3,92105,0.5,184809,0.3,245946,1.50 129,1,2024-09-07 09:12:50:580,643039,643039,0,0,301905688887,3159313352,637202,4580,1257,379,391835,0 129,2,2024-09-07 09:12:50:686,465163,465163,0,0,18488600,0,4031 129,3,2024-09-07 09:12:50:694,1,367,1,0,506,5088,367,0 130,0,2024-09-07 09:12:51:727,93130,0.5,92701,0.6,186626,0.5,247957,1.75 130,1,2024-09-07 09:12:50:587,645918,645918,0,0,302955662637,3147802574,642487,3151,280,381,391825,0 130,2,2024-09-07 09:12:51:131,464693,464693,0,0,18134896,0,4067 130,3,2024-09-07 09:12:51:303,1,367,1,0,535,4782,367,0 131,0,2024-09-07 09:12:51:942,92279,0.3,92772,0.5,186708,0.3,247853,1.75 131,1,2024-09-07 09:12:51:852,645498,645498,0,0,302525144362,3156280473,640754,3996,748,381,391865,0 131,2,2024-09-07 09:12:50:568,466702,466702,0,0,16498152,0,2415 131,3,2024-09-07 09:12:51:693,1,367,3,0,392,3689,367,0 132,0,2024-09-07 09:12:51:448,92387,0.4,93334,0.6,185623,0.4,246969,2.00 132,1,2024-09-07 09:12:50:576,642462,642462,0,0,301651875311,3174862667,633326,7607,1529,381,392097,0 132,2,2024-09-07 09:12:50:701,464745,464745,0,0,22510291,0,4606 132,3,2024-09-07 09:12:51:694,1,367,8,0,804,6395,367,0 133,0,2024-09-07 09:12:51:526,89115,0.5,91133,0.6,187030,0.4,243170,1.75 133,1,2024-09-07 09:12:50:589,642501,642501,0,0,301712398710,3177415870,633856,7512,1133,383,391914,0 133,2,2024-09-07 09:12:51:088,464102,464102,0,0,23110006,0,4315 133,3,2024-09-07 09:12:51:303,1,367,1,0,479,3791,367,0 134,0,2024-09-07 09:12:51:011,92476,0.5,92269,0.7,184491,0.5,246328,2.00 134,1,2024-09-07 09:12:50:587,643648,643648,0,0,301730517577,3165382163,635066,6700,1882,366,391718,0 134,2,2024-09-07 09:12:51:756,460893,460893,0,0,19132132,0,3847 134,3,2024-09-07 09:12:50:752,1,367,3,0,739,4578,367,0 135,0,2024-09-07 09:12:51:098,89932,0.7,89877,0.8,190758,0.8,246178,2.00 135,1,2024-09-07 09:12:51:597,643783,643783,0,0,301768884357,3174287990,635131,7413,1239,380,391805,0 135,2,2024-09-07 09:12:50:687,466350,466350,0,0,21323232,0,3981 135,3,2024-09-07 09:12:51:004,1,367,138,0,299,2961,367,0 136,0,2024-09-07 09:12:51:620,91531,0.5,91827,0.7,182486,0.5,243458,2.00 136,1,2024-09-07 09:12:51:442,643432,643432,0,0,302022173387,3166828102,636388,6346,698,382,391685,0 136,2,2024-09-07 09:12:51:136,465844,465844,0,0,20770350,0,3506 136,3,2024-09-07 09:12:51:114,1,367,8,0,637,4068,367,0 137,0,2024-09-07 09:12:50:927,93955,0.6,91427,0.7,179709,0.7,243825,2.00 137,1,2024-09-07 09:12:50:578,643311,643311,0,0,301745682828,3166099745,633280,8148,1883,366,391898,0 137,2,2024-09-07 09:12:51:713,463445,463445,0,0,23001647,0,3185 137,3,2024-09-07 09:12:50:773,1,367,1,0,382,4143,367,0 138,0,2024-09-07 09:12:51:739,90222,1.2,90319,1.0,181472,1.6,240840,2.25 138,1,2024-09-07 09:12:51:684,644355,644355,0,0,301727843903,3166183962,635048,7707,1600,368,391954,0 138,2,2024-09-07 09:12:50:587,461420,461420,0,0,20439249,0,4988 138,3,2024-09-07 09:12:50:613,1,367,1,0,1160,4942,367,0 139,0,2024-09-07 09:12:51:368,92046,1.1,92325,1.0,184768,1.5,246977,2.25 139,1,2024-09-07 09:12:50:688,641434,641434,0,0,300604101906,3189911848,629875,8911,2648,381,392058,0 139,2,2024-09-07 09:12:50:710,462389,462389,0,0,23132342,0,3097 139,3,2024-09-07 09:12:51:661,1,367,1,0,257,4170,367,0 140,0,2024-09-07 09:12:51:592,91291,0.3,90842,0.5,182325,0.2,242531,1.75 140,1,2024-09-07 09:12:51:543,647492,647492,0,0,303083945897,3124654044,644216,2837,439,365,391606,0 140,2,2024-09-07 09:12:50:688,465457,465456,1,0,18461383,0,5036 140,3,2024-09-07 09:12:50:767,1,367,11,0,247,2911,367,0 141,0,2024-09-07 09:12:51:720,91933,0.3,94361,0.5,180237,0.3,244222,1.75 141,1,2024-09-07 09:12:50:859,646102,646102,0,0,302890809403,3144013914,641536,3704,862,379,391614,0 141,2,2024-09-07 09:12:51:686,464146,464146,0,0,18066061,0,3360 141,3,2024-09-07 09:12:51:044,1,367,3,0,391,4103,367,0 142,0,2024-09-07 09:12:51:446,92834,0.3,92171,0.5,184217,0.3,245989,1.75 142,1,2024-09-07 09:12:50:584,645493,645493,0,0,301541935659,3141842520,641520,3621,352,382,392102,0 142,2,2024-09-07 09:12:51:313,463577,463545,32,0,19374891,0,6028 142,3,2024-09-07 09:12:51:747,1,367,3,0,484,4294,367,0 143,0,2024-09-07 09:12:51:382,92679,0.4,92564,0.5,185446,0.4,247396,1.75 143,1,2024-09-07 09:12:50:556,646512,646512,0,0,303349605217,3144383035,642722,3637,153,367,391705,0 143,2,2024-09-07 09:12:50:768,464924,464924,0,0,18871946,0,3123 143,3,2024-09-07 09:12:51:142,1,367,1,0,303,4421,367,0 144,0,2024-09-07 09:12:51:510,89609,0.6,91972,0.8,187729,0.5,245992,2.00 144,1,2024-09-07 09:12:50:574,642892,642892,0,0,301330762053,3158033778,637317,4367,1208,381,391649,0 144,2,2024-09-07 09:12:51:756,465997,465997,0,0,17764866,0,3673 144,3,2024-09-07 09:12:51:739,1,367,10,0,249,3641,367,0 145,0,2024-09-07 09:12:51:368,89381,0.6,89371,0.8,189453,0.5,244082,2.25 145,1,2024-09-07 09:12:50:552,642917,642917,0,0,301969851867,3172836981,635342,6432,1143,382,391759,0 145,2,2024-09-07 09:12:51:430,463277,463277,0,0,21094270,0,3903 145,3,2024-09-07 09:12:50:922,1,367,1,0,622,5461,367,0 146,0,2024-09-07 09:12:51:603,91351,0.5,90704,0.7,182802,0.5,242521,2.00 146,1,2024-09-07 09:12:51:589,644118,644118,0,0,301873950164,3172015566,634097,8010,2011,368,391770,0 146,2,2024-09-07 09:12:51:699,463479,463479,0,0,20858016,0,2730 146,3,2024-09-07 09:12:51:283,1,367,83,0,1520,7484,367,0 147,0,2024-09-07 09:12:51:788,92583,0.6,92285,0.7,183960,0.5,246955,2.00 147,1,2024-09-07 09:12:51:371,645858,645858,0,0,303422693447,3154301898,640136,4921,801,368,391791,0 147,2,2024-09-07 09:12:51:013,462456,462456,0,0,18198226,0,2789 147,3,2024-09-07 09:12:50:919,1,367,8,0,730,5198,367,0 0,0,2024-09-07 09:13:01:726,88616,0.6,88620,0.7,188152,0.7,242940,2.00 0,1,2024-09-07 09:13:00:812,646319,646319,0,0,303206394285,3168641322,642058,4015,246,369,391896,0 0,2,2024-09-07 09:13:01:065,467065,467065,0,0,18516627,0,4480 0,3,2024-09-07 09:13:00:976,1,368,7,0,431,5221,368,0 1,0,2024-09-07 09:13:01:795,91928,0.7,91325,0.9,183364,0.8,245144,2.00 1,1,2024-09-07 09:13:00:561,645429,645429,0,0,303548617080,3175457966,639757,4443,1229,370,391857,0 1,2,2024-09-07 09:13:00:652,461504,461504,0,0,17898058,0,3380 1,3,2024-09-07 09:13:01:302,1,368,1,0,262,4288,368,0 2,0,2024-09-07 09:13:01:569,93683,0.6,93899,0.7,186849,0.6,250537,2.00 2,1,2024-09-07 09:13:00:870,647568,647568,0,0,303873630814,3153226640,644623,2652,293,380,391745,0 2,2,2024-09-07 09:13:01:280,467493,467493,0,0,17607885,0,3594 2,3,2024-09-07 09:13:00:693,1,368,1,0,357,3747,368,0 3,0,2024-09-07 09:13:01:746,91309,0.4,91193,0.6,182229,0.4,242539,2.00 3,1,2024-09-07 09:13:01:623,646094,646094,0,0,302979079875,3153101779,640859,4611,624,380,391591,0 3,2,2024-09-07 09:13:01:148,466732,466709,23,0,18161134,0,5851 3,3,2024-09-07 09:13:01:756,1,368,1,0,207,2306,368,0 4,0,2024-09-07 09:13:01:807,88739,0.4,91169,0.5,185753,0.3,242802,1.75 4,1,2024-09-07 09:13:00:631,644144,644144,0,0,302188241101,3186711908,635096,7300,1748,370,391992,0 4,2,2024-09-07 09:13:01:022,463842,463842,0,0,21417283,0,4528 4,3,2024-09-07 09:13:01:036,1,368,2,0,448,5011,368,0 5,0,2024-09-07 09:13:01:395,91207,0.4,91702,0.6,182865,0.4,242716,1.75 5,1,2024-09-07 09:13:00:758,645503,645503,0,0,302810490608,3182941821,637592,6443,1468,367,392005,0 5,2,2024-09-07 09:13:01:829,461859,461859,0,0,20102310,0,2432 5,3,2024-09-07 09:13:01:731,1,368,2,0,457,5143,368,0 6,0,2024-09-07 09:13:00:932,93735,0.4,93300,0.6,186388,0.4,249136,1.75 6,1,2024-09-07 09:13:00:750,645856,645856,0,0,302960516545,3163898784,639005,5765,1086,379,391694,0 6,2,2024-09-07 09:13:01:129,466176,466176,0,0,19846713,0,4816 6,3,2024-09-07 09:13:01:274,1,368,11,0,340,3955,368,0 7,0,2024-09-07 09:13:01:536,90897,0.5,91465,0.6,182163,0.5,242079,2.00 7,1,2024-09-07 09:13:00:864,644856,644856,0,0,303097889550,3182255929,635853,7609,1394,382,391747,0 7,2,2024-09-07 09:13:00:775,466638,466638,0,0,19886427,0,4791 7,3,2024-09-07 09:13:00:854,1,368,1,0,398,3993,368,0 8,0,2024-09-07 09:13:01:339,92525,0.4,92290,0.5,184848,0.3,246307,1.75 8,1,2024-09-07 09:13:01:015,643716,643716,0,0,303028494753,3188935102,632819,8307,2590,366,392144,0 8,2,2024-09-07 09:13:00:799,461527,461527,0,0,23766380,0,3220 8,3,2024-09-07 09:13:00:617,1,368,4,0,538,6184,368,0 9,0,2024-09-07 09:13:01:109,92643,0.4,89858,0.5,188035,0.3,246474,1.75 9,1,2024-09-07 09:13:00:572,644518,644518,0,0,302050382057,3181663595,635173,7211,2134,369,392001,0 9,2,2024-09-07 09:13:01:093,464779,464779,0,0,21338738,0,3360 9,3,2024-09-07 09:13:01:757,1,368,8,0,496,5272,368,0 10,0,2024-09-07 09:13:01:622,93078,0.3,92503,0.5,185610,0.2,247327,1.75 10,1,2024-09-07 09:13:00:621,644909,644909,0,0,302622845511,3167948976,636612,7118,1179,381,391741,0 10,2,2024-09-07 09:13:00:769,464895,464895,0,0,22851704,0,4264 10,3,2024-09-07 09:13:00:871,1,368,0,0,296,3292,368,0 11,0,2024-09-07 09:13:01:008,92680,0.4,90049,0.6,188154,0.4,248697,1.75 11,1,2024-09-07 09:13:00:576,646043,646043,0,0,302922281928,3180334770,635553,8010,2480,383,391756,0 11,2,2024-09-07 09:13:01:123,465519,465519,0,0,20721929,0,4130 11,3,2024-09-07 09:13:01:302,1,368,1,0,843,5452,368,0 12,0,2024-09-07 09:13:00:944,93382,0.4,93451,0.5,186581,0.3,247964,1.75 12,1,2024-09-07 09:13:00:944,645954,645954,0,0,303085228951,3157609726,641068,4392,494,370,391870,0 12,2,2024-09-07 09:13:01:546,466839,466839,0,0,20057893,0,3469 12,3,2024-09-07 09:13:01:060,1,368,0,0,386,5790,368,0 13,0,2024-09-07 09:13:01:351,92210,0.4,91873,0.6,183494,0.4,244431,1.75 13,1,2024-09-07 09:13:01:534,644825,644825,0,0,302579433649,3177641474,638893,4521,1411,382,391740,0 13,2,2024-09-07 09:13:00:614,467339,467339,0,0,18540212,0,3287 13,3,2024-09-07 09:13:01:777,1,368,2,0,522,5732,368,0 14,0,2024-09-07 09:13:00:570,92515,0.4,93364,0.6,184837,0.3,246411,1.75 14,1,2024-09-07 09:13:01:567,649348,649348,0,0,304368503134,3148759963,644658,4331,359,364,391571,0 14,2,2024-09-07 09:13:00:769,462665,462635,30,0,19787595,0,6104 14,3,2024-09-07 09:13:01:115,1,368,0,0,1168,4250,368,0 15,0,2024-09-07 09:13:01:554,93160,0.4,93209,0.6,186622,0.3,248529,1.75 15,1,2024-09-07 09:13:01:613,646624,646624,0,0,303359412107,3155314845,642275,3525,824,381,391619,0 15,2,2024-09-07 09:13:00:998,467969,467969,0,0,16618109,0,3622 15,3,2024-09-07 09:13:01:411,1,368,31,0,1126,6706,368,0 16,0,2024-09-07 09:13:00:958,91413,0.5,91770,0.7,183231,0.5,243684,2.00 16,1,2024-09-07 09:13:00:568,647313,647313,0,0,303088548767,3162690595,642736,4205,372,370,391756,0 16,2,2024-09-07 09:13:01:436,466662,466662,0,0,19282261,0,4719 16,3,2024-09-07 09:13:01:144,1,368,1,0,317,4840,368,0 17,0,2024-09-07 09:13:01:805,94001,0.6,91720,0.8,179661,0.7,243539,2.00 17,1,2024-09-07 09:13:00:636,645125,645125,0,0,303048005460,3177401489,638653,5211,1261,368,392075,0 17,2,2024-09-07 09:13:01:666,468274,468274,0,0,19009831,0,2857 17,3,2024-09-07 09:13:00:573,1,368,2,0,298,5912,368,0 18,0,2024-09-07 09:13:00:952,89951,0.6,90361,0.8,180180,0.6,240293,2.25 18,1,2024-09-07 09:13:01:642,648014,648014,0,0,304074701475,3149783597,644434,3263,317,367,391649,0 18,2,2024-09-07 09:13:01:761,464748,464748,0,0,17227278,0,3541 18,3,2024-09-07 09:13:00:896,1,368,1,0,163,3054,368,0 19,0,2024-09-07 09:13:01:547,93205,0.5,93842,0.7,185923,0.5,248192,2.00 19,1,2024-09-07 09:13:00:642,648000,648000,0,0,304291806644,3155239573,642733,4428,839,367,391777,0 19,2,2024-09-07 09:13:01:754,468493,468493,0,0,16009540,0,3988 19,3,2024-09-07 09:13:01:133,1,368,0,0,524,2759,368,0 20,0,2024-09-07 09:13:01:450,91036,0.5,91034,0.6,182056,0.4,242618,2.00 20,1,2024-09-07 09:13:00:654,645829,645829,0,0,303311594388,3168686146,640733,4379,717,369,391886,0 20,2,2024-09-07 09:13:00:943,466553,466553,0,0,19750462,0,3721 20,3,2024-09-07 09:13:00:625,1,368,12,0,414,5648,368,0 21,0,2024-09-07 09:13:01:139,91826,0.5,91895,0.6,183482,0.5,243360,2.00 21,1,2024-09-07 09:13:01:552,644315,644315,0,0,301144423919,3172974625,635439,6890,1986,368,392016,0 21,2,2024-09-07 09:13:01:070,462967,462967,0,0,23030658,0,3747 21,3,2024-09-07 09:13:01:407,1,368,90,0,713,4926,368,0 22,0,2024-09-07 09:13:01:730,91955,0.5,92285,0.7,184805,0.5,244578,2.00 22,1,2024-09-07 09:13:01:023,645593,645593,0,0,302030826566,3172540102,636337,7258,1998,382,391667,0 22,2,2024-09-07 09:13:00:772,463658,463658,0,0,18426580,0,3134 22,3,2024-09-07 09:13:01:070,1,368,1,0,228,3190,368,0 23,0,2024-09-07 09:13:01:383,92564,0.5,92347,0.7,185256,0.5,247225,2.25 23,1,2024-09-07 09:13:01:009,646284,646284,0,0,303747756498,3189713588,634795,7939,3550,365,391690,0 23,2,2024-09-07 09:13:01:096,467139,467139,0,0,18557894,0,3010 23,3,2024-09-07 09:13:01:756,1,368,9,0,720,5184,368,0 24,0,2024-09-07 09:13:00:845,93195,0.4,93091,0.6,186701,0.4,247540,1.75 24,1,2024-09-07 09:13:00:651,644993,644993,0,0,302444944485,3172219019,637516,5896,1581,367,392269,0 24,2,2024-09-07 09:13:01:069,464953,464953,0,0,22538552,0,3607 24,3,2024-09-07 09:13:01:689,1,368,6,0,468,4861,368,0 25,0,2024-09-07 09:13:01:366,95163,0.4,92743,0.6,181883,0.4,247997,1.75 25,1,2024-09-07 09:13:00:558,645094,645094,0,0,301955854506,3171206361,636243,7323,1528,371,391928,0 25,2,2024-09-07 09:13:01:609,464678,464678,0,0,22479109,0,3978 25,3,2024-09-07 09:13:01:005,1,368,1,0,255,3528,368,0 26,0,2024-09-07 09:13:01:722,91369,0.4,89163,0.6,187134,0.3,243636,1.75 26,1,2024-09-07 09:13:01:546,646324,646324,0,0,302464840388,3166526907,637217,7570,1537,380,391748,0 26,2,2024-09-07 09:13:00:863,466155,466155,0,0,22692745,0,2809 26,3,2024-09-07 09:13:01:713,1,368,5,0,796,4581,368,0 27,0,2024-09-07 09:13:01:735,93219,0.4,93341,0.6,185137,0.4,247872,2.00 27,1,2024-09-07 09:13:01:681,648395,648395,0,0,304205536161,3164796125,642703,4872,820,381,391626,0 27,2,2024-09-07 09:13:00:871,461184,461119,65,0,21546821,0,5699 27,3,2024-09-07 09:13:01:015,1,368,0,0,564,3741,368,0 28,0,2024-09-07 09:13:01:404,92984,0.4,92724,0.6,185476,0.3,247828,1.75 28,1,2024-09-07 09:13:00:804,647426,647426,0,0,303847951861,3163091171,642917,3804,705,383,391698,0 28,2,2024-09-07 09:13:01:763,466395,466395,0,0,19272735,0,2915 28,3,2024-09-07 09:13:01:775,1,368,5,0,502,4043,368,0 29,0,2024-09-07 09:13:01:363,94827,0.4,92340,0.6,181205,0.3,247161,1.75 29,1,2024-09-07 09:13:01:562,648725,648725,0,0,303971414029,3144989097,644380,3658,687,369,391753,0 29,2,2024-09-07 09:13:00:862,465816,465816,0,0,18494979,0,4986 29,3,2024-09-07 09:13:00:967,1,368,36,0,459,4479,368,0 30,0,2024-09-07 09:13:01:471,90870,0.5,88335,0.7,184933,0.5,241912,2.00 30,1,2024-09-07 09:13:00:575,648597,648597,0,0,304174490945,3155749956,643777,4110,710,381,391672,0 30,2,2024-09-07 09:13:01:274,466773,466773,0,0,17478651,0,3161 30,3,2024-09-07 09:13:00:635,1,368,6,0,519,3642,368,0 31,0,2024-09-07 09:13:01:767,91408,0.4,91902,0.6,183654,0.3,245010,1.75 31,1,2024-09-07 09:13:00:563,650504,650504,0,0,304950794531,3125175586,647955,1990,559,356,391712,0 31,2,2024-09-07 09:13:01:275,462272,462272,0,0,19074404,0,3525 31,3,2024-09-07 09:13:01:705,1,368,17,0,220,3128,368,0 32,0,2024-09-07 09:13:01:474,93784,0.3,94158,0.5,188106,0.2,250773,1.50 32,1,2024-09-07 09:13:00:805,647593,647593,0,0,303456189496,3150953384,643929,3183,481,381,391646,0 32,2,2024-09-07 09:13:00:941,468014,468014,0,0,16499931,0,3155 32,3,2024-09-07 09:13:01:015,1,368,1,0,227,2553,368,0 33,0,2024-09-07 09:13:01:510,91466,0.3,90998,0.4,182643,0.2,242832,1.50 33,1,2024-09-07 09:13:00:650,648725,648725,0,0,304126509219,3149401453,643845,3960,920,369,391730,0 33,2,2024-09-07 09:13:00:764,467728,467693,35,0,19279639,0,7012 33,3,2024-09-07 09:13:00:895,1,368,68,0,329,3726,368,0 34,0,2024-09-07 09:13:00:940,91595,0.3,94245,0.4,180247,0.2,242149,1.75 34,1,2024-09-07 09:13:01:052,650074,650074,0,0,305386614077,3137642440,648646,1419,9,367,391562,0 34,2,2024-09-07 09:13:00:772,465718,465718,0,0,18055332,0,3577 34,3,2024-09-07 09:13:01:688,1,368,1,0,299,2571,368,0 35,0,2024-09-07 09:13:00:864,90465,0.3,90883,0.5,182905,0.3,243185,1.75 35,1,2024-09-07 09:13:01:071,647982,647982,0,0,303963533375,3147560772,644316,2885,781,382,391769,0 35,2,2024-09-07 09:13:01:592,463832,463832,0,0,18062129,0,2653 35,3,2024-09-07 09:13:00:914,1,368,4,0,418,4327,368,0 36,0,2024-09-07 09:13:01:541,93686,0.4,93630,0.6,187309,0.4,248868,2.00 36,1,2024-09-07 09:13:00:615,647060,647060,0,0,303241683494,3164951269,639234,6141,1685,366,391759,0 36,2,2024-09-07 09:13:01:757,467220,467220,0,0,20706029,0,3875 36,3,2024-09-07 09:13:00:880,1,368,11,0,416,5726,368,0 37,0,2024-09-07 09:13:01:378,91006,0.5,90894,0.6,182005,0.4,242472,2.00 37,1,2024-09-07 09:13:00:569,646753,646746,0,7,302600678914,3160527647,639335,5251,2160,365,391570,0 37,2,2024-09-07 09:13:01:142,464795,464780,15,0,20571137,0,5815 37,3,2024-09-07 09:13:01:773,1,368,6,0,888,5693,368,0 38,0,2024-09-07 09:13:01:437,91771,0.5,89141,0.7,186555,0.4,244078,2.00 38,1,2024-09-07 09:13:01:610,647803,647803,0,0,303988049411,3168463548,640134,6415,1254,368,391821,0 38,2,2024-09-07 09:13:00:770,464823,464776,47,0,20537317,0,6710 38,3,2024-09-07 09:13:00:997,1,368,1,0,689,5031,368,0 39,0,2024-09-07 09:13:01:763,94178,0.6,92196,0.7,179473,0.5,245373,2.00 39,1,2024-09-07 09:13:00:716,646395,646395,0,0,303579953744,3176388750,636975,7720,1700,365,391658,0 39,2,2024-09-07 09:13:01:425,465412,465412,0,0,18874092,0,2689 39,3,2024-09-07 09:13:00:733,1,368,137,0,324,4557,368,0 40,0,2024-09-07 09:13:01:509,91979,0.8,92710,1.0,185074,0.8,246729,2.75 40,1,2024-09-07 09:13:00:639,647063,647063,0,0,301928844317,3159355262,638040,7409,1614,368,391668,0 40,2,2024-09-07 09:13:01:304,464060,464059,1,0,22193946,0,5137 40,3,2024-09-07 09:13:01:142,1,368,2,0,1028,5188,368,0 41,0,2024-09-07 09:13:01:026,92148,1.4,94481,1.3,180218,2.3,245331,3.00 41,1,2024-09-07 09:13:00:772,645492,645492,0,0,302834621730,3164662843,638081,6704,707,369,391742,0 41,2,2024-09-07 09:13:00:761,464658,464658,0,0,21355412,0,4277 41,3,2024-09-07 09:13:01:678,1,368,5,0,366,3682,368,0 42,0,2024-09-07 09:13:01:473,91910,1.0,91959,1.0,184201,1.1,243812,2.75 42,1,2024-09-07 09:13:01:440,644976,644976,0,0,302265936645,3173735740,635087,8126,1763,380,391675,0 42,2,2024-09-07 09:13:01:140,465143,465143,0,0,21815655,0,3790 42,3,2024-09-07 09:13:01:009,1,368,4,0,446,3143,368,0 43,0,2024-09-07 09:13:00:924,90373,0.9,88059,1.0,184550,1.0,241838,2.50 43,1,2024-09-07 09:13:00:627,646588,646588,0,0,304233069096,3177094338,637541,7336,1711,366,391696,0 43,2,2024-09-07 09:13:01:742,465895,465895,0,0,21347780,0,3812 43,3,2024-09-07 09:13:01:754,1,368,1,0,467,4386,368,0 44,0,2024-09-07 09:13:00:868,92471,0.4,92842,0.6,185508,0.4,246645,1.75 44,1,2024-09-07 09:13:00:563,648204,648204,0,0,303766362182,3137942809,643107,4050,1047,356,391809,0 44,2,2024-09-07 09:13:01:268,462196,462196,0,0,17315943,0,4344 44,3,2024-09-07 09:13:01:095,1,368,0,0,817,4608,368,0 45,0,2024-09-07 09:13:01:762,92206,0.4,90015,0.7,188776,0.4,248655,2.00 45,1,2024-09-07 09:13:01:007,647654,647654,0,0,303707848079,3152874331,643214,3964,476,382,391917,0 45,2,2024-09-07 09:13:01:270,467218,467218,0,0,17915300,0,3596 45,3,2024-09-07 09:13:00:941,1,368,0,0,271,3434,368,0 46,0,2024-09-07 09:13:00:954,90822,0.5,90850,0.7,181779,0.5,241348,2.00 46,1,2024-09-07 09:13:00:613,648960,648960,0,0,304889888425,3145873232,645242,3292,426,366,391572,0 46,2,2024-09-07 09:13:00:615,467367,467367,0,0,17224525,0,2920 46,3,2024-09-07 09:13:01:131,1,368,2,0,908,5124,368,0 47,0,2024-09-07 09:13:01:112,91560,0.5,91849,0.6,184041,0.4,243020,2.00 47,1,2024-09-07 09:13:00:636,649917,649917,0,0,303822954569,3139951272,645427,3675,815,366,391641,0 47,2,2024-09-07 09:13:00:908,467670,467670,0,0,17683374,0,4477 47,3,2024-09-07 09:13:01:115,1,368,1,0,529,3951,368,0 48,0,2024-09-07 09:13:01:498,91435,0.3,91047,0.4,181682,0.2,242522,1.50 48,1,2024-09-07 09:13:01:022,647821,647821,0,0,304178555618,3158475341,643764,3604,453,384,391710,0 48,2,2024-09-07 09:13:00:700,462418,462418,0,0,16046705,0,3031 48,3,2024-09-07 09:13:00:756,1,368,2,0,339,3052,368,0 49,0,2024-09-07 09:13:01:721,96109,0.3,94480,0.5,182633,0.2,250991,1.75 49,1,2024-09-07 09:13:01:022,647368,647368,0,0,303270669647,3155637278,642766,3397,1205,382,391809,0 49,2,2024-09-07 09:13:01:797,467797,467797,0,0,17950512,0,4426 49,3,2024-09-07 09:13:01:420,1,368,3,0,408,3672,368,0 50,0,2024-09-07 09:13:01:541,91600,0.3,90131,0.4,181936,0.2,242269,1.75 50,1,2024-09-07 09:13:01:010,649402,649402,0,0,304483577207,3146526837,645281,3734,387,368,391565,0 50,2,2024-09-07 09:13:01:065,466795,466795,0,0,16487289,0,2263 50,3,2024-09-07 09:13:01:291,1,368,1,0,335,3435,368,0 51,0,2024-09-07 09:13:01:703,94197,0.3,92099,0.5,179581,0.2,244445,1.75 51,1,2024-09-07 09:13:01:685,649524,649524,0,0,305219688184,3146287093,646239,2309,976,365,391706,0 51,2,2024-09-07 09:13:01:316,465171,465171,0,0,16279615,0,3337 51,3,2024-09-07 09:13:01:035,1,368,1,0,678,2933,368,0 52,0,2024-09-07 09:13:01:422,92490,0.5,92430,0.7,184735,0.4,245578,2.00 52,1,2024-09-07 09:13:00:642,646726,646726,0,0,303156690159,3172161515,637797,7615,1314,368,391722,0 52,2,2024-09-07 09:13:01:757,461324,461286,38,0,21329885,0,6742 52,3,2024-09-07 09:13:00:679,1,368,157,0,1782,5499,368,0 53,0,2024-09-07 09:13:01:747,92317,0.6,89769,0.8,187972,0.6,246784,2.25 53,1,2024-09-07 09:13:00:779,645429,645429,0,0,303272051558,3178349974,635776,7069,2584,367,391702,0 53,2,2024-09-07 09:13:01:315,466663,466663,0,0,18435113,0,2727 53,3,2024-09-07 09:13:00:700,1,368,1,0,308,3295,368,0 54,0,2024-09-07 09:13:01:627,91191,0.6,91566,0.8,182242,0.4,243424,2.25 54,1,2024-09-07 09:13:00:636,647145,647145,0,0,304181269805,3158285270,641222,5304,619,366,391659,0 54,2,2024-09-07 09:13:00:865,466269,466263,6,0,20872720,0,5382 54,3,2024-09-07 09:13:00:763,1,368,5,0,676,5477,368,0 55,0,2024-09-07 09:13:01:763,89543,0.6,92600,0.7,187009,0.5,243931,2.50 55,1,2024-09-07 09:13:00:772,647165,647165,0,0,302829701410,3147921469,640435,5821,909,365,391731,0 55,2,2024-09-07 09:13:00:734,464792,464792,0,0,20168561,0,3563 55,3,2024-09-07 09:13:00:674,1,368,2,0,304,3977,368,0 56,0,2024-09-07 09:13:01:687,93506,1.1,88141,1.1,181754,1.5,243411,2.50 56,1,2024-09-07 09:13:00:575,644204,644204,0,0,302343617663,3188503932,634989,7499,1716,381,391867,0 56,2,2024-09-07 09:13:01:308,465689,465689,0,0,20959753,0,3567 56,3,2024-09-07 09:13:01:068,1,368,0,0,705,4612,368,0 57,0,2024-09-07 09:13:00:990,91663,1.5,91652,1.2,183325,2.1,245892,3.00 57,1,2024-09-07 09:13:00:986,646072,646072,0,0,303685659506,3180348019,638608,6465,999,366,392032,0 57,2,2024-09-07 09:13:01:315,464053,464053,0,0,22639257,0,3317 57,3,2024-09-07 09:13:01:745,1,368,4,0,359,4140,368,0 58,0,2024-09-07 09:13:00:562,91547,0.7,88984,0.9,186219,0.7,244467,2.50 58,1,2024-09-07 09:13:00:575,646774,646771,0,3,303769265077,3172536566,638435,7254,1082,367,391603,3 58,2,2024-09-07 09:13:01:084,465618,465618,0,0,20819902,0,2549 58,3,2024-09-07 09:13:01:074,1,368,24,0,1043,4123,368,0 59,0,2024-09-07 09:13:01:753,92034,0.8,91587,0.9,183043,0.9,243541,2.50 59,1,2024-09-07 09:13:00:809,645629,645629,0,0,303615675837,3176093032,637329,6770,1530,369,391586,0 59,2,2024-09-07 09:13:00:626,467198,467198,0,0,20611740,0,2867 59,3,2024-09-07 09:13:01:742,1,368,23,0,1015,4505,368,0 60,0,2024-09-07 09:13:01:713,91167,0.5,91123,0.7,183035,0.5,242841,1.75 60,1,2024-09-07 09:13:00:794,648455,648455,0,0,304184781211,3155402421,644314,3492,649,370,392031,0 60,2,2024-09-07 09:13:01:148,466329,466329,0,0,19786618,0,3811 60,3,2024-09-07 09:13:01:269,1,368,8,0,409,4304,368,0 61,0,2024-09-07 09:13:01:496,91460,0.6,92207,0.7,183371,0.5,244792,2.00 61,1,2024-09-07 09:13:00:785,646624,646624,0,0,303457933940,3177572583,639403,5895,1326,382,392127,0 61,2,2024-09-07 09:13:01:116,462145,462078,67,0,19938705,0,6411 61,3,2024-09-07 09:13:01:691,1,368,1,0,479,5210,368,0 62,0,2024-09-07 09:13:01:716,94492,0.5,96642,0.6,184246,0.4,250766,1.75 62,1,2024-09-07 09:13:01:111,650882,650876,0,6,304632952436,3141610057,647277,3339,260,365,391715,6 62,2,2024-09-07 09:13:01:645,465979,465978,1,0,19592627,0,5555 62,3,2024-09-07 09:13:01:144,1,368,1,0,465,2723,368,0 63,0,2024-09-07 09:13:01:452,91643,0.4,91400,0.6,183268,0.3,243227,1.75 63,1,2024-09-07 09:13:00:810,648638,648632,0,6,303750199033,3150555839,644694,3550,388,381,391800,6 63,2,2024-09-07 09:13:00:768,467081,467081,0,0,18054736,0,4369 63,3,2024-09-07 09:13:01:733,1,368,0,0,667,3919,368,0 64,0,2024-09-07 09:13:01:535,90827,0.5,90894,0.7,181824,0.5,241228,2.00 64,1,2024-09-07 09:13:00:758,647796,647796,0,0,303526840618,3160590583,641971,4148,1677,370,391783,0 64,2,2024-09-07 09:13:01:142,468524,468505,19,0,17776701,0,6121 64,3,2024-09-07 09:13:01:140,1,368,1,0,265,3328,368,0 65,0,2024-09-07 09:13:01:676,90343,0.6,90624,0.7,181076,0.6,241102,2.00 65,1,2024-09-07 09:13:00:863,645993,645993,0,0,302531119001,3161120543,641273,4181,539,382,391901,0 65,2,2024-09-07 09:13:01:694,464338,464338,0,0,19711502,0,3367 65,3,2024-09-07 09:13:01:688,1,368,14,0,347,3794,368,0 66,0,2024-09-07 09:13:01:770,93136,0.5,93042,0.7,186056,0.4,247851,2.00 66,1,2024-09-07 09:13:01:307,648050,648050,0,0,304319188340,3162281094,644309,3418,323,380,391653,0 66,2,2024-09-07 09:13:01:134,468425,468425,0,0,17977516,0,4956 66,3,2024-09-07 09:13:01:080,1,368,1,0,291,3448,368,0 67,0,2024-09-07 09:13:01:417,91542,0.5,91224,0.6,182938,0.4,243183,2.00 67,1,2024-09-07 09:13:00:774,648100,648099,0,1,303805481389,3161997980,643641,3674,784,380,391787,1 67,2,2024-09-07 09:13:00:625,467482,467482,0,0,17190621,0,2889 67,3,2024-09-07 09:13:01:762,1,368,1,0,338,3282,368,0 68,0,2024-09-07 09:13:00:562,92709,0.6,92323,0.7,184169,0.6,246056,2.00 68,1,2024-09-07 09:13:00:574,645763,645763,0,0,302209038231,3167234888,639631,4582,1550,381,391953,0 68,2,2024-09-07 09:13:01:045,463628,463563,65,0,22547276,0,6698 68,3,2024-09-07 09:13:00:732,1,368,3,0,417,3838,368,0 69,0,2024-09-07 09:13:01:786,91813,0.8,92416,0.9,183899,0.9,244483,2.25 69,1,2024-09-07 09:13:01:032,644289,644289,0,0,302680108005,3191296119,635698,6411,2180,384,391994,0 69,2,2024-09-07 09:13:01:732,465217,465217,0,0,22807653,0,3722 69,3,2024-09-07 09:13:00:770,1,368,27,0,698,5204,368,0 70,0,2024-09-07 09:13:01:547,92005,1.0,92061,1.1,185081,0.9,245422,2.50 70,1,2024-09-07 09:13:00:816,647685,647685,0,0,304206212469,3156244638,642447,4580,658,366,391725,0 70,2,2024-09-07 09:13:01:326,464254,464254,0,0,20434933,0,4044 70,3,2024-09-07 09:13:00:749,1,368,1,0,854,4282,368,0 71,0,2024-09-07 09:13:01:357,91969,0.8,91791,1.0,184357,1.0,246889,2.75 71,1,2024-09-07 09:13:01:607,646574,646574,0,0,303923397266,3169996964,639371,6469,734,368,391738,0 71,2,2024-09-07 09:13:01:066,465959,465959,0,0,20448431,0,4042 71,3,2024-09-07 09:13:01:757,1,368,8,0,644,4786,368,0 72,0,2024-09-07 09:13:01:052,95665,0.6,93504,0.7,182406,0.5,248230,2.00 72,1,2024-09-07 09:13:01:069,646097,646097,0,0,302913809040,3171361355,637500,6892,1705,369,391819,0 72,2,2024-09-07 09:13:01:763,465494,465494,0,0,23130735,0,3983 72,3,2024-09-07 09:13:01:761,1,368,2,0,564,5615,368,0 73,0,2024-09-07 09:13:01:110,89214,0.4,91515,0.6,187183,0.4,243055,2.00 73,1,2024-09-07 09:13:00:778,646979,646979,0,0,303192725067,3150422141,642307,4309,363,367,391858,0 73,2,2024-09-07 09:13:01:742,465934,465934,0,0,22419052,0,3701 73,3,2024-09-07 09:13:00:973,1,368,1,0,486,5218,368,0 74,0,2024-09-07 09:13:01:357,92921,0.4,95229,0.6,181691,0.4,246471,2.00 74,1,2024-09-07 09:13:00:635,646532,646532,0,0,302534992313,3156820253,640180,5089,1263,381,391681,0 74,2,2024-09-07 09:13:01:009,461909,461909,0,0,20821820,0,4253 74,3,2024-09-07 09:13:01:446,1,368,2,0,522,4999,368,0 75,0,2024-09-07 09:13:01:764,93571,0.4,93025,0.6,186642,0.4,249499,2.25 75,1,2024-09-07 09:13:01:607,646109,646109,0,0,302990945878,3162065847,639596,5687,826,380,391739,0 75,2,2024-09-07 09:13:01:350,465750,465750,0,0,21748361,0,4766 75,3,2024-09-07 09:13:01:074,1,368,24,0,702,5082,368,0 76,0,2024-09-07 09:13:00:582,91096,0.6,90472,0.7,181466,0.5,242641,2.25 76,1,2024-09-07 09:13:00:806,647255,647255,0,0,303326988623,3159542094,643325,3339,591,382,391692,0 76,2,2024-09-07 09:13:01:062,468709,468708,1,0,20177650,0,5144 76,3,2024-09-07 09:13:01:145,1,368,1,0,175,3428,368,0 77,0,2024-09-07 09:13:01:703,91357,0.6,91442,0.7,183023,0.6,242898,2.00 77,1,2024-09-07 09:13:00:824,646674,646674,0,0,303140762648,3164636897,641341,4841,492,381,391869,0 77,2,2024-09-07 09:13:01:281,466482,466482,0,0,19676957,0,3890 77,3,2024-09-07 09:13:01:100,1,368,1,0,401,4043,368,0 78,0,2024-09-07 09:13:01:768,91294,0.4,90778,0.6,182375,0.4,241815,2.00 78,1,2024-09-07 09:13:00:613,647659,647659,0,0,303021451886,3150336951,641724,4790,1145,367,391670,0 78,2,2024-09-07 09:13:01:420,463419,463419,0,0,16837642,0,3855 78,3,2024-09-07 09:13:01:141,1,368,5,0,181,3431,368,0 79,0,2024-09-07 09:13:01:353,90599,0.4,92872,0.6,190091,0.3,247840,2.00 79,1,2024-09-07 09:13:00:636,649316,649316,0,0,303897053098,3143468365,644728,3953,635,368,391682,0 79,2,2024-09-07 09:13:01:074,468394,468394,0,0,17990770,0,4195 79,3,2024-09-07 09:13:00:757,1,368,4,0,418,4617,368,0 80,0,2024-09-07 09:13:01:082,91007,0.5,93786,0.6,179646,0.5,242009,2.00 80,1,2024-09-07 09:13:01:630,647540,647540,0,0,303767744388,3157472256,643852,3490,198,368,391791,0 80,2,2024-09-07 09:13:01:093,468397,468397,0,0,17832669,0,4433 80,3,2024-09-07 09:13:00:635,1,368,40,0,190,4398,368,0 81,0,2024-09-07 09:13:01:539,91842,0.5,93994,0.7,179429,0.5,243148,2.00 81,1,2024-09-07 09:13:01:653,646587,646587,0,0,302772154244,3163035008,641354,4731,502,382,391879,0 81,2,2024-09-07 09:13:01:137,464390,464327,63,0,19455529,0,5932 81,3,2024-09-07 09:13:01:134,1,368,3,0,374,4061,368,0 82,0,2024-09-07 09:13:01:558,91764,0.5,92238,0.7,184963,0.4,245313,2.00 82,1,2024-09-07 09:13:00:630,648396,648392,0,4,303749136667,3158992119,644307,3287,798,381,391768,4 82,2,2024-09-07 09:13:01:692,466195,466195,0,0,16377853,0,3986 82,3,2024-09-07 09:13:01:762,1,368,4,0,363,3547,368,0 83,0,2024-09-07 09:13:01:556,93168,0.5,92917,0.6,185554,0.4,247138,2.00 83,1,2024-09-07 09:13:00:557,646406,646406,0,0,303168897081,3158168612,642023,4002,381,382,391709,0 83,2,2024-09-07 09:13:00:764,466113,466113,0,0,17129656,0,3393 83,3,2024-09-07 09:13:00:752,1,368,25,0,1260,4960,368,0 84,0,2024-09-07 09:13:01:829,92096,0.7,91943,0.9,183591,0.6,245847,2.25 84,1,2024-09-07 09:13:01:045,645686,645686,0,0,302848622194,3165876601,638679,5975,1032,367,391967,0 84,2,2024-09-07 09:13:00:617,465708,465708,0,0,22113178,0,4757 84,3,2024-09-07 09:13:01:147,1,368,0,0,908,5479,368,0 85,0,2024-09-07 09:13:01:019,89269,0.6,89258,0.8,189481,0.6,245211,2.25 85,1,2024-09-07 09:13:00:563,644326,644326,0,0,303163119777,3195864390,635330,7610,1386,381,392006,0 85,2,2024-09-07 09:13:00:876,464962,464962,0,0,21693939,0,3656 85,3,2024-09-07 09:13:00:685,1,368,2,0,789,4811,368,0 86,0,2024-09-07 09:13:00:884,91425,0.7,94001,0.8,179885,0.7,243219,2.25 86,1,2024-09-07 09:13:00:832,646145,646145,0,0,303130185950,3170898836,639071,6005,1069,366,391961,0 86,2,2024-09-07 09:13:00:863,464679,464678,1,0,22709349,0,5004 86,3,2024-09-07 09:13:00:614,1,368,1,0,308,5510,368,0 87,0,2024-09-07 09:13:01:322,92605,0.9,92547,0.9,185479,1.2,248237,2.25 87,1,2024-09-07 09:13:00:555,645936,645936,0,0,302727868429,3165091004,639207,5969,760,366,392076,0 87,2,2024-09-07 09:13:01:066,462528,462528,0,0,20236154,0,4045 87,3,2024-09-07 09:13:01:803,1,368,72,0,473,5236,368,0 88,0,2024-09-07 09:13:01:569,92702,0.4,93449,0.6,185910,0.4,247425,1.75 88,1,2024-09-07 09:13:00:581,644401,644401,0,0,302626612388,3170461328,635958,6563,1880,365,392084,0 88,2,2024-09-07 09:13:00:705,466940,466940,0,0,22634764,0,3583 88,3,2024-09-07 09:13:01:276,1,368,3,0,435,3836,368,0 89,0,2024-09-07 09:13:01:791,94938,0.5,91900,0.6,181918,0.4,247274,1.75 89,1,2024-09-07 09:13:00:558,644865,644865,0,0,302552526599,3180294296,636775,6733,1357,382,391866,0 89,2,2024-09-07 09:13:01:133,465768,465768,0,0,21976359,0,3173 89,3,2024-09-07 09:13:01:796,1,368,7,0,385,6459,368,0 90,0,2024-09-07 09:13:01:683,88467,0.5,90920,0.6,185335,0.4,241881,2.00 90,1,2024-09-07 09:13:00:614,646334,646334,0,0,302923032984,3172614763,640012,5816,506,380,391825,0 90,2,2024-09-07 09:13:01:408,464569,464569,0,0,22984896,0,3060 90,3,2024-09-07 09:13:00:940,1,368,15,0,246,4121,368,0 91,0,2024-09-07 09:13:00:947,92303,0.5,89288,0.6,186537,0.4,245276,1.75 91,1,2024-09-07 09:13:00:556,644714,644714,0,0,302613437592,3181710370,636320,7206,1188,381,392047,0 91,2,2024-09-07 09:13:01:345,462761,462761,0,0,20323991,0,2896 91,3,2024-09-07 09:13:00:614,1,368,1,0,216,3351,368,0 92,0,2024-09-07 09:13:01:674,94495,0.4,96959,0.6,185056,0.4,251055,1.75 92,1,2024-09-07 09:13:00:642,646189,646189,0,0,302581723168,3158778481,641359,4141,689,382,392136,0 92,2,2024-09-07 09:13:01:370,468003,468003,0,0,18475895,0,3259 92,3,2024-09-07 09:13:01:015,1,368,9,0,167,3132,368,0 93,0,2024-09-07 09:13:00:954,91919,0.4,94179,0.5,179761,0.3,243421,1.75 93,1,2024-09-07 09:13:00:805,646604,646604,0,0,303182252620,3161928086,640435,5137,1032,366,391776,0 93,2,2024-09-07 09:13:00:942,466502,466502,0,0,21638482,0,4845 93,3,2024-09-07 09:13:01:415,1,368,13,0,190,3456,368,0 94,0,2024-09-07 09:13:01:656,90983,0.4,91811,0.5,183463,0.3,242956,1.75 94,1,2024-09-07 09:13:00:563,646659,646659,0,0,303021648257,3159474780,642594,3874,191,381,391850,0 94,2,2024-09-07 09:13:00:772,465260,465260,0,0,18528969,0,2443 94,3,2024-09-07 09:13:01:690,1,368,6,0,264,4384,368,0 95,0,2024-09-07 09:13:01:376,91049,0.4,91115,0.5,182630,0.3,242945,1.75 95,1,2024-09-07 09:13:00:858,648267,648267,0,0,303695070259,3155263660,643237,4628,402,367,391713,0 95,2,2024-09-07 09:13:01:026,464077,464077,0,0,18114957,0,3308 95,3,2024-09-07 09:13:01:718,1,368,11,0,718,5545,368,0 96,0,2024-09-07 09:13:01:036,93583,0.3,93728,0.5,187350,0.3,248478,1.75 96,1,2024-09-07 09:13:01:632,646444,646444,0,0,303458709153,3164375135,641854,3792,798,384,391955,0 96,2,2024-09-07 09:13:01:269,467214,467214,0,0,18413022,0,4042 96,3,2024-09-07 09:13:01:144,1,368,14,0,411,3954,368,0 97,0,2024-09-07 09:13:01:344,91492,0.3,91256,0.5,183191,0.3,242918,1.50 97,1,2024-09-07 09:13:00:764,647955,647955,0,0,304112328399,3152154035,643707,3554,694,367,392140,0 97,2,2024-09-07 09:13:00:614,467254,467254,0,0,18286177,0,3679 97,3,2024-09-07 09:13:00:636,1,368,2,0,214,4148,368,0 98,0,2024-09-07 09:13:01:719,92298,0.3,92286,0.4,185279,0.2,246021,1.50 98,1,2024-09-07 09:13:00:597,647187,647187,0,0,303387121844,3154482289,644217,2851,119,382,391997,0 98,2,2024-09-07 09:13:00:771,465323,465323,0,0,17899230,0,3080 98,3,2024-09-07 09:13:00:702,1,368,11,0,840,5672,368,0 99,0,2024-09-07 09:13:01:549,92335,0.3,92979,0.5,184645,0.3,246667,1.75 99,1,2024-09-07 09:13:01:755,647193,647193,0,0,303015922113,3153593516,642792,3630,771,381,392069,0 99,2,2024-09-07 09:13:01:415,466531,466531,0,0,20778913,0,3424 99,3,2024-09-07 09:13:00:646,1,368,10,0,606,4050,368,0 100,0,2024-09-07 09:13:01:526,92666,0.8,92696,1.0,184867,0.9,247185,2.50 100,1,2024-09-07 09:13:00:550,643640,643640,0,0,301574544409,3185800220,634144,7603,1893,381,391989,0 100,2,2024-09-07 09:13:01:823,463498,463487,11,0,20933509,0,5417 100,3,2024-09-07 09:13:01:739,1,368,10,0,559,6153,368,0 101,0,2024-09-07 09:13:01:819,94755,1.0,92347,1.0,181352,0.8,249192,2.25 101,1,2024-09-07 09:13:00:557,643806,643806,0,0,302604122660,3185215928,633925,7766,2115,368,391847,0 101,2,2024-09-07 09:13:01:772,463585,463585,0,0,24386792,0,4644 101,3,2024-09-07 09:13:00:943,1,368,73,0,579,4913,368,0 102,0,2024-09-07 09:13:00:964,90246,0.7,93234,0.8,188569,0.7,247041,2.25 102,1,2024-09-07 09:13:01:169,644862,644862,0,0,302358129298,3175246398,636600,7005,1257,369,391891,0 102,2,2024-09-07 09:13:01:746,466418,466364,54,0,20590415,0,6768 102,3,2024-09-07 09:13:01:617,1,368,18,0,410,3784,368,0 103,0,2024-09-07 09:13:01:640,94488,0.6,94428,0.7,178110,0.6,244903,2.00 103,1,2024-09-07 09:13:01:629,643624,643624,0,0,302167347298,3188510505,633514,7525,2585,381,391829,0 103,2,2024-09-07 09:13:00:650,464933,464933,0,0,21505574,0,3173 103,3,2024-09-07 09:13:00:763,1,368,1,0,916,4324,368,0 104,0,2024-09-07 09:13:01:033,91604,0.7,91942,0.9,182565,0.6,245712,2.25 104,1,2024-09-07 09:13:01:615,645862,645862,0,0,302311989197,3175942855,636420,7670,1772,365,392168,0 104,2,2024-09-07 09:13:01:668,461353,461353,0,0,21902152,0,3941 104,3,2024-09-07 09:13:01:432,1,368,6,0,1245,7725,368,0 105,0,2024-09-07 09:13:01:033,92261,0.7,89804,1.0,188218,0.7,248071,2.50 105,1,2024-09-07 09:13:00:555,646604,646604,0,0,303755582931,3182429029,638221,7046,1337,366,392009,0 105,2,2024-09-07 09:13:01:329,465903,465903,0,0,21634551,0,3509 105,3,2024-09-07 09:13:01:308,1,368,6,0,399,5732,368,0 106,0,2024-09-07 09:13:00:957,88237,0.8,90380,0.9,185225,0.8,242078,2.50 106,1,2024-09-07 09:13:01:783,645307,645307,0,0,303030644020,3182210331,635592,8419,1296,369,391914,0 106,2,2024-09-07 09:13:00:767,465723,465723,0,0,21251107,0,2795 106,3,2024-09-07 09:13:00:680,1,368,1,0,470,4724,368,0 107,0,2024-09-07 09:13:01:112,91113,1.1,91260,1.0,182380,1.5,242756,2.25 107,1,2024-09-07 09:13:00:615,644162,644162,0,0,301692973959,3175504798,636026,7219,917,381,392234,0 107,2,2024-09-07 09:13:01:297,464287,464286,1,0,22115405,0,5024 107,3,2024-09-07 09:13:01:762,1,368,40,0,353,5037,368,0 108,0,2024-09-07 09:13:01:783,90636,0.4,91324,0.6,181495,0.4,242268,1.75 108,1,2024-09-07 09:13:01:293,647085,647085,0,0,303484467682,3162170848,641977,4589,519,368,391857,0 108,2,2024-09-07 09:13:01:762,461434,461434,0,0,20440356,0,4246 108,3,2024-09-07 09:13:01:330,1,368,45,0,749,7276,368,0 109,0,2024-09-07 09:13:01:800,94037,0.4,93250,0.5,186689,0.3,250353,1.75 109,1,2024-09-07 09:13:00:619,644862,644862,0,0,302665754903,3171057187,639629,4567,666,383,392132,0 109,2,2024-09-07 09:13:00:924,465357,465357,0,0,20082209,0,3617 109,3,2024-09-07 09:13:01:144,1,368,1,0,379,4342,368,0 110,0,2024-09-07 09:13:01:763,91053,0.4,88583,0.6,185593,0.3,242722,1.75 110,1,2024-09-07 09:13:01:645,648330,648330,0,0,303905042044,3150276123,644319,3031,980,369,392045,0 110,2,2024-09-07 09:13:01:308,466807,466807,0,0,19913185,0,4067 110,3,2024-09-07 09:13:00:690,1,368,18,0,722,5396,368,0 111,0,2024-09-07 09:13:01:413,92201,0.4,91455,0.6,183464,0.4,244485,1.75 111,1,2024-09-07 09:13:01:013,648550,648550,0,0,303940706317,3151102169,645162,3035,353,382,391690,0 111,2,2024-09-07 09:13:01:116,464421,464421,0,0,19181401,0,4823 111,3,2024-09-07 09:13:00:913,1,368,1,0,379,4315,368,0 112,0,2024-09-07 09:13:00:914,93006,0.3,92373,0.4,185212,0.2,245963,1.50 112,1,2024-09-07 09:13:00:832,648037,648037,0,0,304103993714,3152993812,644429,3024,584,380,391624,0 112,2,2024-09-07 09:13:01:136,465204,465203,1,0,17740243,0,5036 112,3,2024-09-07 09:13:00:619,1,368,1,0,282,3637,368,0 113,0,2024-09-07 09:13:00:894,92804,0.3,92977,0.4,186382,0.2,248444,1.50 113,1,2024-09-07 09:13:01:685,649917,649917,0,0,305119734154,3146358089,646407,2926,584,366,391661,0 113,2,2024-09-07 09:13:01:305,467556,467556,0,0,16435988,0,3813 113,3,2024-09-07 09:13:00:684,1,368,1,0,340,4214,368,0 114,0,2024-09-07 09:13:00:873,92969,0.3,93635,0.5,186059,0.2,248394,1.75 114,1,2024-09-07 09:13:00:716,647895,647895,0,0,303390105409,3151414493,642452,3846,1597,381,391556,0 114,2,2024-09-07 09:13:00:873,466802,466801,1,0,18225841,0,5069 114,3,2024-09-07 09:13:01:278,1,368,1,0,395,3055,368,0 115,0,2024-09-07 09:13:00:601,92658,0.3,93303,0.4,186128,0.2,247746,1.50 115,1,2024-09-07 09:13:00:580,647501,647501,0,0,304054714515,3157379190,642262,4396,843,382,391757,0 115,2,2024-09-07 09:13:01:125,467471,467471,0,0,16897345,0,3848 115,3,2024-09-07 09:13:01:014,1,368,9,0,159,2205,368,0 116,0,2024-09-07 09:13:01:739,91196,0.8,91113,0.9,182733,0.8,244400,2.00 116,1,2024-09-07 09:13:00:829,644257,644257,0,0,303478369791,3198387788,636130,5811,2316,380,392089,0 116,2,2024-09-07 09:13:01:762,465360,465360,0,0,22387360,0,3529 116,3,2024-09-07 09:13:00:912,1,368,9,0,415,4428,368,0 117,0,2024-09-07 09:13:00:974,93047,0.8,92623,0.8,185387,0.9,248546,2.00 117,1,2024-09-07 09:13:01:582,645110,645110,0,0,302569157943,3166596661,638126,6139,845,369,392033,0 117,2,2024-09-07 09:13:01:134,465950,465950,0,0,18594131,0,3700 117,3,2024-09-07 09:13:01:061,1,368,43,0,490,5180,368,0 118,0,2024-09-07 09:13:01:857,90162,0.5,92377,0.6,188684,0.4,246571,2.00 118,1,2024-09-07 09:13:00:615,644988,644988,0,0,302780117810,3180569203,635708,7246,2034,366,391907,0 118,2,2024-09-07 09:13:01:596,465535,465535,0,0,20878454,0,2781 118,3,2024-09-07 09:13:01:811,1,368,5,0,248,4185,368,0 119,0,2024-09-07 09:13:01:404,91898,0.6,92315,0.7,184582,0.6,245638,2.00 119,1,2024-09-07 09:13:00:548,646219,646219,0,0,302613561588,3164721611,639149,6228,842,367,391780,0 119,2,2024-09-07 09:13:01:272,466840,466840,0,0,19747418,0,4174 119,3,2024-09-07 09:13:01:338,1,368,6,0,1058,6538,368,0 120,0,2024-09-07 09:13:01:590,90798,0.6,90548,0.8,181160,0.6,242547,2.25 120,1,2024-09-07 09:13:00:865,645798,645798,0,0,302732957815,3174341462,639282,5956,560,368,391961,0 120,2,2024-09-07 09:13:00:771,465395,465394,1,0,22893763,0,5281 120,3,2024-09-07 09:13:01:290,1,368,2,0,241,4426,368,0 121,0,2024-09-07 09:13:01:727,91615,0.9,91621,0.9,183850,1.1,244883,2.25 121,1,2024-09-07 09:13:01:666,646131,646131,0,0,302689146209,3164457962,640074,5619,438,367,391840,0 121,2,2024-09-07 09:13:01:126,461250,461250,0,0,21443280,0,4127 121,3,2024-09-07 09:13:00:732,1,368,3,0,269,4083,368,0 122,0,2024-09-07 09:13:01:777,93230,0.7,91101,0.8,191240,0.8,250832,2.00 122,1,2024-09-07 09:13:00:863,645359,645359,0,0,302241786881,3168840624,636740,7356,1263,366,392130,0 122,2,2024-09-07 09:13:01:326,466688,466615,73,0,24475404,0,5989 122,3,2024-09-07 09:13:00:613,1,368,1,0,512,7003,368,0 123,0,2024-09-07 09:13:01:006,90940,0.6,88924,0.7,185504,0.7,242886,2.00 123,1,2024-09-07 09:13:00:558,645026,645026,0,0,302775107640,3189749815,633138,9722,2166,369,392039,0 123,2,2024-09-07 09:13:01:022,465132,465131,1,0,21010805,0,5215 123,3,2024-09-07 09:13:01:138,1,368,13,0,168,4069,368,0 124,0,2024-09-07 09:13:00:922,94174,0.4,94160,0.5,177646,0.3,243732,1.75 124,1,2024-09-07 09:13:01:042,647458,647458,0,0,302741121325,3146889692,642728,3819,911,367,392178,0 124,2,2024-09-07 09:13:01:014,466359,466306,53,0,18736766,0,6487 124,3,2024-09-07 09:13:00:776,1,368,5,0,490,3653,368,0 125,0,2024-09-07 09:13:01:500,91475,0.4,91097,0.5,183133,0.3,243324,1.75 125,1,2024-09-07 09:13:00:867,646366,646366,0,0,303066380664,3161551338,641470,4249,647,382,391702,0 125,2,2024-09-07 09:13:01:124,464435,464435,0,0,19258938,0,4534 125,3,2024-09-07 09:13:01:129,1,368,0,0,709,4740,368,0 126,0,2024-09-07 09:13:01:542,93420,0.4,96094,0.5,184026,0.4,249273,1.75 126,1,2024-09-07 09:13:00:561,648148,648148,0,0,304116279651,3148765363,644399,3461,288,365,391987,0 126,2,2024-09-07 09:13:00:613,467227,467227,0,0,19795538,0,4539 126,3,2024-09-07 09:13:00:907,1,368,4,0,207,4557,368,0 127,0,2024-09-07 09:13:01:620,91387,0.3,91818,0.5,183077,0.3,243051,1.50 127,1,2024-09-07 09:13:00:576,647332,647332,0,0,304092098562,3156957390,641856,4615,861,364,392187,0 127,2,2024-09-07 09:13:00:644,466013,466013,0,0,17957126,0,3897 127,3,2024-09-07 09:13:01:267,1,368,63,0,968,4186,368,0 128,0,2024-09-07 09:13:01:553,92671,0.3,93032,0.4,185758,0.2,246370,1.50 128,1,2024-09-07 09:13:01:606,647474,647474,0,0,303247893982,3146941081,643259,3843,372,367,391838,0 128,2,2024-09-07 09:13:01:392,466029,466029,0,0,17511990,0,2915 128,3,2024-09-07 09:13:00:773,1,368,2,0,1082,6026,368,0 129,0,2024-09-07 09:13:00:998,92939,0.3,92421,0.5,185460,0.3,246852,1.50 129,1,2024-09-07 09:13:00:654,644782,644782,0,0,302593830718,3166433507,638945,4580,1257,379,391835,0 129,2,2024-09-07 09:13:00:699,466199,466199,0,0,18549851,0,4031 129,3,2024-09-07 09:13:00:691,1,368,25,0,506,5113,368,0 130,0,2024-09-07 09:13:01:727,93378,0.5,92973,0.6,187179,0.5,248670,1.75 130,1,2024-09-07 09:13:00:615,647688,647688,0,0,303769127217,3156143519,644257,3151,280,381,391825,0 130,2,2024-09-07 09:13:01:131,466218,466218,0,0,18178495,0,4067 130,3,2024-09-07 09:13:01:295,1,368,1,0,535,4783,368,0 131,0,2024-09-07 09:13:01:955,92804,0.3,93320,0.5,187792,0.3,249395,1.75 131,1,2024-09-07 09:13:01:838,647232,647232,0,0,303089762510,3162228240,642488,3996,748,381,391865,0 131,2,2024-09-07 09:13:00:566,468073,468073,0,0,16550572,0,2415 131,3,2024-09-07 09:13:01:691,1,368,4,0,392,3693,368,0 132,0,2024-09-07 09:13:01:445,92700,0.4,93658,0.6,186267,0.4,247822,1.75 132,1,2024-09-07 09:13:00:633,644268,644268,0,0,302454539593,3183146745,635132,7607,1529,381,392097,0 132,2,2024-09-07 09:13:00:699,465746,465746,0,0,22546684,0,4606 132,3,2024-09-07 09:13:01:688,1,368,1,0,804,6396,368,0 133,0,2024-09-07 09:13:01:552,89253,0.5,91293,0.6,187353,0.4,243600,1.75 133,1,2024-09-07 09:13:00:619,644359,644359,0,0,302364178544,3184456829,635711,7515,1133,383,391914,0 133,2,2024-09-07 09:13:01:091,465480,465480,0,0,23204052,0,4315 133,3,2024-09-07 09:13:01:302,1,368,6,0,479,3797,368,0 134,0,2024-09-07 09:13:00:948,92725,0.5,92497,0.7,184961,0.5,246932,2.00 134,1,2024-09-07 09:13:00:615,645411,645411,0,0,302623322676,3174554887,636829,6700,1882,366,391718,0 134,2,2024-09-07 09:13:01:758,462141,462141,0,0,19199297,0,3847 134,3,2024-09-07 09:13:00:753,1,368,2,0,739,4580,368,0 135,0,2024-09-07 09:13:01:103,90336,0.7,90250,0.8,191512,0.8,247223,2.00 135,1,2024-09-07 09:13:01:596,645496,645496,0,0,302468156567,3181664721,636843,7414,1239,380,391805,0 135,2,2024-09-07 09:13:00:686,467603,467603,0,0,21375953,0,3981 135,3,2024-09-07 09:13:01:005,1,368,39,0,299,3000,368,0 136,0,2024-09-07 09:13:01:640,91707,0.5,91978,0.7,182812,0.5,243879,2.00 136,1,2024-09-07 09:13:01:452,644874,644874,0,0,302710722035,3174662989,637730,6446,698,382,391685,0 136,2,2024-09-07 09:13:01:146,467451,467451,0,0,20848032,0,3506 136,3,2024-09-07 09:13:01:126,1,368,6,0,637,4074,368,0 137,0,2024-09-07 09:13:00:937,94049,0.6,91528,0.7,179912,0.7,244076,2.00 137,1,2024-09-07 09:13:00:640,644742,644742,0,0,302449374492,3173870976,634588,8269,1885,366,391898,0 137,2,2024-09-07 09:13:01:746,464911,464911,0,0,23103424,0,3185 137,3,2024-09-07 09:13:00:780,1,368,12,0,382,4155,368,0 138,0,2024-09-07 09:13:01:816,90352,1.2,90433,1.0,181710,1.6,241159,2.25 138,1,2024-09-07 09:13:01:738,645943,645943,0,0,302376571343,3173083172,636636,7707,1600,368,391954,0 138,2,2024-09-07 09:13:00:614,462155,462155,0,0,20517985,0,4988 138,3,2024-09-07 09:13:00:613,1,368,32,0,1160,4974,368,0 139,0,2024-09-07 09:13:01:397,92530,1.2,92812,1.0,185761,1.7,248268,2.25 139,1,2024-09-07 09:13:00:570,642895,642895,0,0,301127794899,3195595371,631330,8917,2648,381,392058,0 139,2,2024-09-07 09:13:00:702,463871,463871,0,0,23424317,0,3097 139,3,2024-09-07 09:13:01:669,1,368,2,0,257,4172,368,0 140,0,2024-09-07 09:13:01:594,91407,0.3,90934,0.5,182563,0.2,242814,1.75 140,1,2024-09-07 09:13:01:544,649321,649321,0,0,304097986478,3134960881,646045,2837,439,365,391606,0 140,2,2024-09-07 09:13:00:690,466929,466928,1,0,18491367,0,5036 140,3,2024-09-07 09:13:00:772,1,368,0,0,247,2911,368,0 141,0,2024-09-07 09:13:01:709,92042,0.3,94472,0.5,180443,0.3,244480,1.75 141,1,2024-09-07 09:13:00:863,647942,647942,0,0,304129422321,3156581756,643376,3704,862,379,391614,0 141,2,2024-09-07 09:13:01:690,465408,465408,0,0,18093466,0,3360 141,3,2024-09-07 09:13:01:051,1,368,1,0,391,4104,368,0 142,0,2024-09-07 09:13:01:428,93013,0.3,92387,0.5,184600,0.3,246462,1.75 142,1,2024-09-07 09:13:00:619,647329,647329,0,0,302358911027,3150438317,643353,3623,353,382,392102,0 142,2,2024-09-07 09:13:01:335,464507,464475,32,0,19387158,0,6028 142,3,2024-09-07 09:13:01:759,1,368,8,0,484,4302,368,0 143,0,2024-09-07 09:13:01:390,93110,0.4,92991,0.5,186304,0.4,248583,1.75 143,1,2024-09-07 09:13:00:567,648265,648265,0,0,304110743374,3152163224,644475,3637,153,367,391705,0 143,2,2024-09-07 09:13:00:782,466467,466467,0,0,18916107,0,3123 143,3,2024-09-07 09:13:01:154,1,368,9,0,303,4430,368,0 144,0,2024-09-07 09:13:01:562,89735,0.6,92084,0.8,187994,0.5,246289,2.00 144,1,2024-09-07 09:13:00:654,644667,644667,0,0,302000783865,3165389596,639080,4379,1208,381,391649,0 144,2,2024-09-07 09:13:01:759,467330,467330,0,0,17873060,0,3673 144,3,2024-09-07 09:13:01:757,1,368,1,0,249,3642,368,0 145,0,2024-09-07 09:13:01:382,89664,0.6,89627,0.8,190079,0.5,244857,2.25 145,1,2024-09-07 09:13:00:556,644680,644680,0,0,302710490658,3180645621,637105,6432,1143,382,391759,0 145,2,2024-09-07 09:13:01:431,464494,464494,0,0,21147611,0,3903 145,3,2024-09-07 09:13:00:902,1,368,2,0,622,5463,368,0 146,0,2024-09-07 09:13:01:613,91589,0.5,90891,0.7,183265,0.5,243114,2.00 146,1,2024-09-07 09:13:01:587,645900,645900,0,0,302999729191,3184077462,635834,8055,2011,368,391770,0 146,2,2024-09-07 09:13:01:699,464735,464735,0,0,20949113,0,2730 146,3,2024-09-07 09:13:01:279,1,368,6,0,1520,7490,368,0 147,0,2024-09-07 09:13:01:708,93106,0.6,92736,0.7,184867,0.5,248263,2.00 147,1,2024-09-07 09:13:01:377,647685,647685,0,0,304319938669,3163571992,641963,4921,801,368,391791,0 147,2,2024-09-07 09:13:01:011,463630,463630,0,0,18216434,0,2789 147,3,2024-09-07 09:13:00:918,1,368,37,0,730,5235,368,0 0,0,2024-09-07 09:13:11:726,88786,0.6,88799,0.7,188454,0.7,243358,2.00 0,1,2024-09-07 09:13:10:803,648004,648004,0,0,304109709418,3177818745,643743,4015,246,369,391896,0 0,2,2024-09-07 09:13:11:070,468463,468463,0,0,18528997,0,4480 0,3,2024-09-07 09:13:10:975,1,369,17,0,431,5238,369,0 1,0,2024-09-07 09:13:11:758,92221,0.7,91606,0.9,183971,0.8,245757,2.00 1,1,2024-09-07 09:13:10:557,647238,647238,0,0,304272089496,3182831722,641566,4443,1229,370,391857,0 1,2,2024-09-07 09:13:10:639,462553,462553,0,0,17907099,0,3380 1,3,2024-09-07 09:13:11:305,1,369,33,0,262,4321,369,0 2,0,2024-09-07 09:13:11:573,94092,0.6,94307,0.7,187700,0.6,251545,2.00 2,1,2024-09-07 09:13:10:860,649315,649315,0,0,304540320260,3160037823,646370,2652,293,380,391745,0 2,2,2024-09-07 09:13:11:270,468720,468720,0,0,17617068,0,3594 2,3,2024-09-07 09:13:10:691,1,369,0,0,357,3747,369,0 3,0,2024-09-07 09:13:11:744,91471,0.4,91334,0.6,182508,0.4,243016,1.75 3,1,2024-09-07 09:13:11:621,647883,647883,0,0,303704401322,3160501915,642648,4611,624,380,391591,0 3,2,2024-09-07 09:13:11:142,468165,468142,23,0,18173030,0,5851 3,3,2024-09-07 09:13:11:754,1,369,0,0,207,2306,369,0 4,0,2024-09-07 09:13:11:771,88859,0.4,91264,0.5,186007,0.3,243065,1.75 4,1,2024-09-07 09:13:10:595,645938,645938,0,0,303104863627,3196195897,636888,7302,1748,370,391992,0 4,2,2024-09-07 09:13:11:019,465324,465324,0,0,21454112,0,4528 4,3,2024-09-07 09:13:11:034,1,369,19,0,448,5030,369,0 5,0,2024-09-07 09:13:11:391,91266,0.4,91751,0.6,182965,0.4,243034,1.75 5,1,2024-09-07 09:13:10:760,647236,647236,0,0,303618907012,3191307190,639322,6446,1468,367,392005,0 5,2,2024-09-07 09:13:11:833,462509,462509,0,0,20111907,0,2432 5,3,2024-09-07 09:13:11:732,1,369,1,0,457,5144,369,0 6,0,2024-09-07 09:13:10:917,94142,0.4,93741,0.6,187215,0.3,250440,1.75 6,1,2024-09-07 09:13:10:752,647723,647723,0,0,303603776926,3170641136,640870,5767,1086,379,391694,0 6,2,2024-09-07 09:13:11:115,467692,467692,0,0,19894275,0,4816 6,3,2024-09-07 09:13:11:278,1,369,27,0,340,3982,369,0 7,0,2024-09-07 09:13:11:539,90980,0.5,91545,0.6,182352,0.5,242379,2.00 7,1,2024-09-07 09:13:10:850,646494,646494,0,0,303684569880,3188292426,637491,7609,1394,382,391747,0 7,2,2024-09-07 09:13:10:771,468235,468235,0,0,19918724,0,4791 7,3,2024-09-07 09:13:10:857,1,369,3,0,398,3996,369,0 8,0,2024-09-07 09:13:11:346,92684,0.4,92476,0.5,185176,0.3,246599,1.75 8,1,2024-09-07 09:13:11:018,645547,645547,0,0,303675587106,3195759331,634648,8308,2591,366,392144,0 8,2,2024-09-07 09:13:10:790,462699,462699,0,0,23827629,0,3220 8,3,2024-09-07 09:13:10:586,1,369,1,0,538,6185,369,0 9,0,2024-09-07 09:13:11:116,92913,0.4,90138,0.5,188618,0.3,247055,1.75 9,1,2024-09-07 09:13:10:550,646236,646236,0,0,302857659213,3190202252,636888,7214,2134,369,392001,0 9,2,2024-09-07 09:13:11:086,465740,465740,0,0,21369715,0,3360 9,3,2024-09-07 09:13:11:758,1,369,3,0,496,5275,369,0 10,0,2024-09-07 09:13:11:615,93406,0.3,92843,0.5,186316,0.2,248482,1.75 10,1,2024-09-07 09:13:10:583,646869,646869,0,0,303546261755,3178716222,638292,7300,1277,381,391741,0 10,2,2024-09-07 09:13:10:761,466447,466447,0,0,23031783,0,4264 10,3,2024-09-07 09:13:10:871,1,369,1,0,296,3293,369,0 11,0,2024-09-07 09:13:11:007,93085,0.5,90389,0.6,188919,0.4,249568,1.75 11,1,2024-09-07 09:13:10:580,647829,647829,0,0,303694939301,3188664207,637337,8012,2480,383,391756,0 11,2,2024-09-07 09:13:11:124,466751,466751,0,0,20844110,0,4130 11,3,2024-09-07 09:13:11:299,1,369,78,0,843,5530,369,0 12,0,2024-09-07 09:13:10:954,93687,0.4,93767,0.5,187234,0.3,248750,1.75 12,1,2024-09-07 09:13:10:946,647774,647774,0,0,303862053984,3165567723,642888,4392,494,370,391870,0 12,2,2024-09-07 09:13:11:548,468000,468000,0,0,20078085,0,3469 12,3,2024-09-07 09:13:11:060,1,369,22,0,386,5812,369,0 13,0,2024-09-07 09:13:11:327,92372,0.4,92055,0.6,183848,0.4,245004,1.75 13,1,2024-09-07 09:13:11:529,646579,646579,0,0,303616755052,3188221981,640647,4521,1411,382,391740,0 13,2,2024-09-07 09:13:10:596,468704,468704,0,0,18577502,0,3287 13,3,2024-09-07 09:13:11:762,1,369,17,0,522,5749,369,0 14,0,2024-09-07 09:13:10:560,92852,0.4,93679,0.6,185552,0.3,247690,1.75 14,1,2024-09-07 09:13:11:565,651086,651086,0,0,305265654893,3158107691,646391,4336,359,364,391673,0 14,2,2024-09-07 09:13:10:763,464042,464012,30,0,19810457,0,6104 14,3,2024-09-07 09:13:11:115,1,369,4,0,1168,4254,369,0 15,0,2024-09-07 09:13:11:568,93497,0.4,93558,0.6,187216,0.3,249289,1.75 15,1,2024-09-07 09:13:11:612,648306,648306,0,0,304335334034,3165228366,643956,3526,824,381,391619,0 15,2,2024-09-07 09:13:10:998,469175,469175,0,0,16636926,0,3622 15,3,2024-09-07 09:13:11:413,1,369,3,0,1126,6709,369,0 16,0,2024-09-07 09:13:10:929,91646,0.5,92008,0.7,183716,0.5,244586,2.00 16,1,2024-09-07 09:13:10:571,649070,649070,0,0,303688680887,3168840317,644493,4205,372,370,391756,0 16,2,2024-09-07 09:13:11:449,468128,468128,0,0,19296293,0,4719 16,3,2024-09-07 09:13:11:143,1,369,3,0,317,4843,369,0 17,0,2024-09-07 09:13:11:762,94151,0.6,91845,0.8,179907,0.7,244021,2.00 17,1,2024-09-07 09:13:10:579,646897,646897,0,0,303900072834,3186066753,640424,5212,1261,368,392075,0 17,2,2024-09-07 09:13:11:669,469692,469692,0,0,19023514,0,2857 17,3,2024-09-07 09:13:10:574,1,369,1,0,298,5913,369,0 18,0,2024-09-07 09:13:10:944,90152,0.6,90600,0.8,180606,0.6,241208,2.25 18,1,2024-09-07 09:13:11:646,649812,649812,0,0,305052601786,3159693146,646232,3263,317,367,391649,0 18,2,2024-09-07 09:13:11:756,465564,465564,0,0,17232909,0,3541 18,3,2024-09-07 09:13:10:902,1,369,1,0,163,3055,369,0 19,0,2024-09-07 09:13:11:540,93685,0.6,94306,0.7,186874,0.5,249352,2.00 19,1,2024-09-07 09:13:10:569,649805,649805,0,0,305158745918,3164027248,644538,4428,839,367,391777,0 19,2,2024-09-07 09:13:11:759,470009,470009,0,0,16019128,0,3988 19,3,2024-09-07 09:13:11:128,1,369,1,0,524,2760,369,0 20,0,2024-09-07 09:13:11:364,91146,0.5,91162,0.6,182305,0.4,242953,2.00 20,1,2024-09-07 09:13:10:576,647632,647632,0,0,304185649557,3177654763,642536,4379,717,369,391886,0 20,2,2024-09-07 09:13:10:938,467988,467988,0,0,19784070,0,3721 20,3,2024-09-07 09:13:10:589,1,369,8,0,414,5656,369,0 21,0,2024-09-07 09:13:11:123,91937,0.5,92000,0.6,183715,0.5,243682,2.00 21,1,2024-09-07 09:13:11:536,646028,646028,0,0,301852449185,3180219285,637152,6890,1986,368,392016,0 21,2,2024-09-07 09:13:11:074,464322,464322,0,0,23067397,0,3747 21,3,2024-09-07 09:13:11:413,1,369,9,0,713,4935,369,0 22,0,2024-09-07 09:13:11:722,92047,0.5,92366,0.7,185013,0.5,244578,2.00 22,1,2024-09-07 09:13:11:026,647334,647334,0,0,302741672327,3179879475,638078,7258,1998,382,391667,0 22,2,2024-09-07 09:13:10:760,464414,464414,0,0,18439295,0,3134 22,3,2024-09-07 09:13:11:300,1,369,0,0,228,3190,369,0 23,0,2024-09-07 09:13:11:395,92973,0.5,92718,0.7,186029,0.4,248135,2.25 23,1,2024-09-07 09:13:11:010,647951,647951,0,0,304739503843,3199864009,636461,7940,3550,365,391690,0 23,2,2024-09-07 09:13:11:102,468625,468625,0,0,18584917,0,3010 23,3,2024-09-07 09:13:11:756,1,369,1,0,720,5185,369,0 24,0,2024-09-07 09:13:10:894,93308,0.4,93198,0.6,186902,0.4,247793,1.75 24,1,2024-09-07 09:13:10:593,646745,646745,0,0,303155762601,3179594890,639268,5896,1581,367,392269,0 24,2,2024-09-07 09:13:11:077,466373,466373,0,0,22629672,0,3607 24,3,2024-09-07 09:13:11:691,1,369,232,0,468,5093,369,0 25,0,2024-09-07 09:13:11:345,95462,0.4,92994,0.6,182385,0.4,248635,1.75 25,1,2024-09-07 09:13:10:559,647214,647214,0,0,302698947960,3180996642,637868,7620,1726,371,391928,0 25,2,2024-09-07 09:13:11:613,465784,465784,0,0,22629520,0,3978 25,3,2024-09-07 09:13:11:012,1,369,7,0,255,3535,369,0 26,0,2024-09-07 09:13:11:726,91642,0.4,89461,0.6,187717,0.3,244542,1.75 26,1,2024-09-07 09:13:11:545,647954,647954,0,0,303312539889,3175218676,638845,7572,1537,380,391748,0 26,2,2024-09-07 09:13:10:861,467292,467292,0,0,22766680,0,2809 26,3,2024-09-07 09:13:11:712,1,369,1,0,796,4582,369,0 27,0,2024-09-07 09:13:11:732,93609,0.4,93710,0.6,185885,0.4,248586,2.00 27,1,2024-09-07 09:13:11:679,650088,650088,0,0,304982427300,3173301302,644337,4931,820,381,391626,0 27,2,2024-09-07 09:13:10:870,462576,462511,65,0,21637911,0,5699 27,3,2024-09-07 09:13:11:016,1,369,7,0,564,3748,369,0 28,0,2024-09-07 09:13:11:407,93399,0.4,93102,0.6,186280,0.3,249321,1.75 28,1,2024-09-07 09:13:10:797,649230,649230,0,0,304715745356,3171972383,644721,3804,705,383,391698,0 28,2,2024-09-07 09:13:11:770,467701,467701,0,0,19297020,0,2915 28,3,2024-09-07 09:13:11:788,1,369,14,0,502,4057,369,0 29,0,2024-09-07 09:13:11:363,95195,0.3,92692,0.6,181892,0.3,247991,1.75 29,1,2024-09-07 09:13:11:561,650452,650452,0,0,304838081572,3153817474,646107,3658,687,369,391753,0 29,2,2024-09-07 09:13:10:861,466945,466945,0,0,18511678,0,4986 29,3,2024-09-07 09:13:10:970,1,369,0,0,459,4479,369,0 30,0,2024-09-07 09:13:11:461,91021,0.5,88503,0.7,185274,0.5,242320,2.00 30,1,2024-09-07 09:13:10:571,650401,650401,0,0,304878579790,3162955274,645581,4110,710,381,391672,0 30,2,2024-09-07 09:13:11:279,468047,468047,0,0,17508221,0,3161 30,3,2024-09-07 09:13:10:587,1,369,2,0,519,3644,369,0 31,0,2024-09-07 09:13:11:761,91707,0.4,92191,0.6,184245,0.3,245632,1.75 31,1,2024-09-07 09:13:10:569,652327,652327,0,0,305882254861,3134588613,649778,1990,559,356,391712,0 31,2,2024-09-07 09:13:11:281,463345,463345,0,0,19089670,0,3525 31,3,2024-09-07 09:13:11:713,1,369,1,0,220,3129,369,0 32,0,2024-09-07 09:13:11:420,94186,0.3,94572,0.5,188923,0.2,251860,1.50 32,1,2024-09-07 09:13:10:804,649312,649312,0,0,304082932155,3157368100,645648,3183,481,381,391646,0 32,2,2024-09-07 09:13:10:936,469179,469179,0,0,16510506,0,3155 32,3,2024-09-07 09:13:11:015,1,369,0,0,227,2553,369,0 33,0,2024-09-07 09:13:11:534,91598,0.3,91147,0.4,182961,0.2,243275,1.50 33,1,2024-09-07 09:13:10:577,650485,650485,0,0,304879117152,3157041474,645605,3960,920,369,391730,0 33,2,2024-09-07 09:13:10:761,469194,469159,35,0,19290977,0,7012 33,3,2024-09-07 09:13:10:895,1,369,1,0,329,3727,369,0 34,0,2024-09-07 09:13:10:929,91720,0.3,94350,0.4,180488,0.2,242401,1.75 34,1,2024-09-07 09:13:11:044,651784,651784,0,0,306216756853,3146032012,650356,1419,9,367,391562,0 34,2,2024-09-07 09:13:10:770,467177,467177,0,0,18065233,0,3577 34,3,2024-09-07 09:13:11:694,1,369,5,0,299,2576,369,0 35,0,2024-09-07 09:13:10:863,90530,0.3,90935,0.5,183015,0.3,243528,1.75 35,1,2024-09-07 09:13:11:074,649778,649778,0,0,304903016803,3157113558,646112,2885,781,382,391769,0 35,2,2024-09-07 09:13:11:582,464562,464562,0,0,18067035,0,2653 35,3,2024-09-07 09:13:10:912,1,369,1,0,418,4328,369,0 36,0,2024-09-07 09:13:11:560,94113,0.4,94044,0.6,188111,0.4,250284,2.00 36,1,2024-09-07 09:13:10:585,648788,648788,0,0,304036412790,3173056520,640961,6142,1685,366,391759,0 36,2,2024-09-07 09:13:11:754,468698,468698,0,0,20734137,0,3875 36,3,2024-09-07 09:13:10:863,1,369,8,0,416,5734,369,0 37,0,2024-09-07 09:13:11:379,91094,0.5,91004,0.6,182222,0.4,242770,2.00 37,1,2024-09-07 09:13:10:569,648518,648511,0,7,303442291486,3169125469,641100,5251,2160,365,391570,0 37,2,2024-09-07 09:13:11:143,466422,466407,15,0,20642932,0,5815 37,3,2024-09-07 09:13:11:769,1,369,90,0,888,5783,369,0 38,0,2024-09-07 09:13:11:441,91937,0.5,89285,0.7,186946,0.4,244342,2.00 38,1,2024-09-07 09:13:11:607,649618,649618,0,0,304770094763,3176493299,641949,6415,1254,368,391821,0 38,2,2024-09-07 09:13:10:760,465871,465824,47,0,20555967,0,6710 38,3,2024-09-07 09:13:10:998,1,369,0,0,689,5031,369,0 39,0,2024-09-07 09:13:11:771,94454,0.6,92458,0.7,180011,0.5,245895,2.00 39,1,2024-09-07 09:13:10:716,648224,648224,0,0,304268240071,3183493357,638804,7720,1700,365,391658,0 39,2,2024-09-07 09:13:11:440,466475,466475,0,0,18898367,0,2689 39,3,2024-09-07 09:13:10:714,1,369,1,0,324,4558,369,0 40,0,2024-09-07 09:13:11:495,92316,0.8,93058,0.9,185766,0.8,247869,2.75 40,1,2024-09-07 09:13:10:588,648926,648926,0,0,302912546449,3169894766,639819,7493,1614,368,391668,0 40,2,2024-09-07 09:13:11:307,465591,465590,1,0,22262991,0,5137 40,3,2024-09-07 09:13:11:142,1,369,1,0,1028,5189,369,0 41,0,2024-09-07 09:13:11:058,92608,1.6,94797,1.3,181032,2.6,246302,3.25 41,1,2024-09-07 09:13:10:780,647440,647440,0,0,303736242301,3175001833,639822,6860,758,369,391742,0 41,2,2024-09-07 09:13:10:760,465858,465858,0,0,21388227,0,4277 41,3,2024-09-07 09:13:11:679,1,369,0,0,366,3682,369,0 42,0,2024-09-07 09:13:11:485,92210,0.9,92253,1.0,184782,1.1,244591,2.75 42,1,2024-09-07 09:13:11:445,646712,646712,0,0,302998327904,3181835849,636800,8148,1764,380,391675,0 42,2,2024-09-07 09:13:11:132,466250,466250,0,0,21883088,0,3790 42,3,2024-09-07 09:13:11:014,1,369,20,0,446,3163,369,0 43,0,2024-09-07 09:13:10:915,90553,0.9,88229,1.0,184934,1.0,242433,2.50 43,1,2024-09-07 09:13:10:584,648265,648265,0,0,304732696171,3182473923,639218,7336,1711,366,391696,0 43,2,2024-09-07 09:13:11:735,467323,467323,0,0,21395089,0,3812 43,3,2024-09-07 09:13:11:755,1,369,0,0,467,4386,369,0 44,0,2024-09-07 09:13:10:863,92792,0.4,93205,0.6,186213,0.4,247889,1.75 44,1,2024-09-07 09:13:10:575,649942,649942,0,0,304615901924,3146543965,644845,4050,1047,356,391809,0 44,2,2024-09-07 09:13:11:272,463530,463530,0,0,17337951,0,4344 44,3,2024-09-07 09:13:11:096,1,369,1,0,817,4609,369,0 45,0,2024-09-07 09:13:11:775,92554,0.4,90354,0.7,189428,0.4,249390,2.00 45,1,2024-09-07 09:13:11:013,649406,649406,0,0,304322571118,3159210876,644966,3964,476,382,391917,0 45,2,2024-09-07 09:13:11:279,468440,468440,0,0,17949677,0,3596 45,3,2024-09-07 09:13:10:937,1,369,1,0,271,3435,369,0 46,0,2024-09-07 09:13:10:949,91060,0.5,91101,0.7,182268,0.5,242235,2.00 46,1,2024-09-07 09:13:10:580,650727,650727,0,0,305640108333,3153562033,647009,3292,426,366,391572,0 46,2,2024-09-07 09:13:10:593,468872,468872,0,0,17257491,0,2920 46,3,2024-09-07 09:13:11:140,1,369,1,0,908,5125,369,0 47,0,2024-09-07 09:13:11:102,91686,0.5,91987,0.6,184320,0.4,243517,2.00 47,1,2024-09-07 09:13:10:569,651647,651647,0,0,304607655674,3148001420,647156,3676,815,366,391641,0 47,2,2024-09-07 09:13:10:908,469119,469119,0,0,17708157,0,4477 47,3,2024-09-07 09:13:11:115,1,369,2,0,529,3953,369,0 48,0,2024-09-07 09:13:11:495,91690,0.3,91275,0.4,182107,0.2,243437,1.50 48,1,2024-09-07 09:13:11:038,649605,649605,0,0,305056085600,3167410782,645547,3605,453,384,391710,0 48,2,2024-09-07 09:13:10:706,463173,463173,0,0,16052763,0,3031 48,3,2024-09-07 09:13:10:761,1,369,7,0,339,3059,369,0 49,0,2024-09-07 09:13:11:740,96543,0.3,94933,0.5,183543,0.2,252137,1.75 49,1,2024-09-07 09:13:11:031,649125,649125,0,0,304101436206,3164094175,644523,3397,1205,382,391809,0 49,2,2024-09-07 09:13:11:824,469255,469255,0,0,17961554,0,4426 49,3,2024-09-07 09:13:11:430,1,369,1,0,408,3673,369,0 50,0,2024-09-07 09:13:11:509,91715,0.3,90262,0.4,182165,0.2,242611,1.75 50,1,2024-09-07 09:13:11:014,651147,651147,0,0,305378895283,3155571844,647026,3734,387,368,391565,0 50,2,2024-09-07 09:13:11:298,468344,468344,0,0,16500383,0,2263 50,3,2024-09-07 09:13:11:291,1,369,0,0,335,3435,369,0 51,0,2024-09-07 09:13:11:689,94312,0.3,92191,0.5,179789,0.2,244771,1.75 51,1,2024-09-07 09:13:11:690,651205,651205,0,0,306153797227,3155711954,647920,2309,976,365,391706,0 51,2,2024-09-07 09:13:11:318,466534,466534,0,0,16290622,0,3337 51,3,2024-09-07 09:13:11:027,1,369,1,0,678,2934,369,0 52,0,2024-09-07 09:13:11:414,92588,0.5,92532,0.7,184947,0.4,245582,2.00 52,1,2024-09-07 09:13:10:578,648525,648525,0,0,303910570581,3179870867,639595,7616,1314,368,391722,0 52,2,2024-09-07 09:13:11:767,462083,462045,38,0,21346150,0,6742 52,3,2024-09-07 09:13:10:685,1,369,1,0,1782,5500,369,0 53,0,2024-09-07 09:13:11:746,92714,0.6,90116,0.8,188796,0.6,247718,2.25 53,1,2024-09-07 09:13:10:775,647181,647181,0,0,303974289618,3185545973,637527,7070,2584,367,391702,0 53,2,2024-09-07 09:13:11:298,468177,468177,0,0,18496837,0,2727 53,3,2024-09-07 09:13:10:697,1,369,1,0,308,3296,369,0 54,0,2024-09-07 09:13:11:623,91294,0.6,91646,0.8,182440,0.4,243674,2.25 54,1,2024-09-07 09:13:10:584,648862,648862,0,0,304810851614,3164784240,642939,5304,619,366,391659,0 54,2,2024-09-07 09:13:10:865,467890,467884,6,0,20915987,0,5382 54,3,2024-09-07 09:13:10:763,1,369,1,0,676,5478,369,0 55,0,2024-09-07 09:13:11:762,89794,0.6,92896,0.7,187582,0.5,244571,2.50 55,1,2024-09-07 09:13:10:764,648907,648907,0,0,303552744351,3155287539,642177,5821,909,365,391731,0 55,2,2024-09-07 09:13:10:733,465882,465882,0,0,20190893,0,3563 55,3,2024-09-07 09:13:10:676,1,369,2,0,304,3979,369,0 56,0,2024-09-07 09:13:11:556,93775,1.1,88414,1.1,182275,1.5,244294,2.50 56,1,2024-09-07 09:13:10:580,645945,645945,0,0,303344787882,3198997468,636729,7500,1716,381,391867,0 56,2,2024-09-07 09:13:11:312,466781,466781,0,0,21027804,0,3567 56,3,2024-09-07 09:13:11:059,1,369,8,0,705,4620,369,0 57,0,2024-09-07 09:13:10:935,92090,1.6,92021,1.2,184107,2.2,246650,3.00 57,1,2024-09-07 09:13:10:986,647834,647834,0,0,304478217165,3188714026,640361,6474,999,366,392032,0 57,2,2024-09-07 09:13:11:319,465397,465397,0,0,22726551,0,3317 57,3,2024-09-07 09:13:11:738,1,369,10,0,359,4150,369,0 58,0,2024-09-07 09:13:10:561,91940,0.7,89357,0.9,186961,0.7,245450,2.50 58,1,2024-09-07 09:13:10:575,648516,648513,0,3,304613089682,3181153736,640177,7254,1082,367,391603,3 58,2,2024-09-07 09:13:11:071,467052,467052,0,0,20871055,0,2549 58,3,2024-09-07 09:13:11:292,1,369,1,0,1043,4124,369,0 59,0,2024-09-07 09:13:11:744,92361,0.8,91926,0.9,183681,0.8,244377,2.50 59,1,2024-09-07 09:13:10:806,647602,647602,0,0,304496551308,3185824398,639191,6876,1535,369,391586,0 59,2,2024-09-07 09:13:10:581,468320,468320,0,0,20794482,0,2867 59,3,2024-09-07 09:13:11:737,1,369,1,0,1015,4506,369,0 60,0,2024-09-07 09:13:11:704,91352,0.5,91281,0.7,183322,0.5,243264,1.75 60,1,2024-09-07 09:13:10:772,650210,650210,0,0,305046234053,3164204550,646069,3492,649,370,392031,0 60,2,2024-09-07 09:13:11:144,467813,467813,0,0,19813632,0,3811 60,3,2024-09-07 09:13:11:266,1,369,0,0,409,4304,369,0 61,0,2024-09-07 09:13:11:511,91759,0.6,92501,0.7,183964,0.5,245419,2.00 61,1,2024-09-07 09:13:10:771,648363,648363,0,0,304066474972,3183869656,641142,5895,1326,382,392127,0 61,2,2024-09-07 09:13:11:127,463240,463173,67,0,19970665,0,6411 61,3,2024-09-07 09:13:11:688,1,369,1,0,479,5211,369,0 62,0,2024-09-07 09:13:11:708,94878,0.5,97064,0.6,185072,0.4,251794,1.75 62,1,2024-09-07 09:13:11:111,652725,652719,0,6,305624337213,3151660216,649120,3339,260,365,391715,6 62,2,2024-09-07 09:13:11:644,467242,467241,1,0,19634752,0,5555 62,3,2024-09-07 09:13:11:142,1,369,2,0,465,2725,369,0 63,0,2024-09-07 09:13:11:460,91779,0.4,91546,0.6,183555,0.3,243671,1.75 63,1,2024-09-07 09:13:10:807,650373,650367,0,6,304512293282,3158360642,646429,3550,388,381,391800,6 63,2,2024-09-07 09:13:10:761,468510,468510,0,0,18115812,0,4369 63,3,2024-09-07 09:13:11:732,1,369,4,0,667,3923,369,0 64,0,2024-09-07 09:13:11:612,90926,0.5,90978,0.7,182036,0.5,241482,2.00 64,1,2024-09-07 09:13:10:760,649603,649603,0,0,304460353268,3170067246,643778,4148,1677,370,391783,0 64,2,2024-09-07 09:13:11:144,470036,470017,19,0,17789665,0,6121 64,3,2024-09-07 09:13:11:139,1,369,3,0,265,3331,369,0 65,0,2024-09-07 09:13:11:701,90397,0.6,90673,0.7,181186,0.6,241413,2.00 65,1,2024-09-07 09:13:10:860,647797,647797,0,0,303278944932,3168768931,643076,4182,539,382,391901,0 65,2,2024-09-07 09:13:11:701,465004,465004,0,0,19719132,0,3367 65,3,2024-09-07 09:13:11:688,1,369,9,0,347,3803,369,0 66,0,2024-09-07 09:13:11:766,93560,0.5,93471,0.7,186905,0.4,249195,2.00 66,1,2024-09-07 09:13:11:300,649886,649886,0,0,305175948263,3171000424,646145,3418,323,380,391653,0 66,2,2024-09-07 09:13:11:132,469913,469913,0,0,17988872,0,4956 66,3,2024-09-07 09:13:11:082,1,369,3,0,291,3451,369,0 67,0,2024-09-07 09:13:11:421,91662,0.5,91324,0.6,183139,0.4,243474,2.00 67,1,2024-09-07 09:13:10:775,649821,649820,0,1,304614605497,3170251708,645361,3675,784,380,391787,1 67,2,2024-09-07 09:13:10:583,468976,468976,0,0,17204214,0,2889 67,3,2024-09-07 09:13:11:758,1,369,2,0,338,3284,369,0 68,0,2024-09-07 09:13:10:571,92877,0.6,92504,0.7,184521,0.6,246415,2.00 68,1,2024-09-07 09:13:10:572,647526,647526,0,0,302933586231,3174706139,641394,4582,1550,381,391953,0 68,2,2024-09-07 09:13:11:060,464677,464612,65,0,22564222,0,6698 68,3,2024-09-07 09:13:10:735,1,369,3,0,417,3841,369,0 69,0,2024-09-07 09:13:11:740,92094,0.8,92678,0.9,184442,0.9,245039,2.25 69,1,2024-09-07 09:13:11:015,646078,646078,0,0,303443998005,3199133538,637487,6411,2180,384,391994,0 69,2,2024-09-07 09:13:11:738,466347,466347,0,0,22822922,0,3722 69,3,2024-09-07 09:13:10:760,1,369,1,0,698,5205,369,0 70,0,2024-09-07 09:13:11:540,92322,1.0,92442,1.1,185776,0.9,246603,2.50 70,1,2024-09-07 09:13:10:801,649416,649416,0,0,305275697180,3167096601,644178,4580,658,366,391725,0 70,2,2024-09-07 09:13:11:336,465917,465917,0,0,20465414,0,4044 70,3,2024-09-07 09:13:10:745,1,369,1,0,854,4283,369,0 71,0,2024-09-07 09:13:11:357,92410,0.9,92101,1.0,184995,1.1,247695,2.75 71,1,2024-09-07 09:13:11:596,648344,648344,0,0,304679406794,3177712105,641141,6469,734,368,391738,0 71,2,2024-09-07 09:13:11:070,467197,467197,0,0,20483615,0,4042 71,3,2024-09-07 09:13:11:754,1,369,58,0,644,4844,369,0 72,0,2024-09-07 09:13:11:046,95968,0.6,93804,0.7,182989,0.5,249000,2.00 72,1,2024-09-07 09:13:11:030,647856,647856,0,0,303559979498,3178886022,639157,6994,1705,369,391819,0 72,2,2024-09-07 09:13:11:760,466560,466560,0,0,23235513,0,3983 72,3,2024-09-07 09:13:11:758,1,369,14,0,564,5629,369,0 73,0,2024-09-07 09:13:11:129,89402,0.4,91701,0.6,187539,0.4,243671,2.00 73,1,2024-09-07 09:13:10:776,648746,648746,0,0,303980904181,3158525657,644074,4309,363,367,391858,0 73,2,2024-09-07 09:13:11:747,467146,467146,0,0,22629726,0,3701 73,3,2024-09-07 09:13:10:973,1,369,33,0,486,5251,369,0 74,0,2024-09-07 09:13:11:324,93292,0.4,95596,0.6,182395,0.4,247713,2.00 74,1,2024-09-07 09:13:10:637,648299,648299,0,0,303721381021,3169199294,641943,5093,1263,381,391681,0 74,2,2024-09-07 09:13:11:014,463237,463237,0,0,20886055,0,4253 74,3,2024-09-07 09:13:11:445,1,369,1,0,522,5000,369,0 75,0,2024-09-07 09:13:11:772,93926,0.4,93345,0.6,187303,0.4,250243,2.25 75,1,2024-09-07 09:13:11:585,647811,647811,0,0,303793276768,3170387296,641298,5687,826,380,391739,0 75,2,2024-09-07 09:13:11:384,466887,466887,0,0,21888129,0,4766 75,3,2024-09-07 09:13:11:067,1,369,1,0,702,5083,369,0 76,0,2024-09-07 09:13:10:582,91323,0.6,90700,0.7,181934,0.5,243496,2.25 76,1,2024-09-07 09:13:10:806,649083,649083,0,0,304366746716,3170165263,645153,3339,591,382,391692,0 76,2,2024-09-07 09:13:11:061,470233,470232,1,0,20205902,0,5144 76,3,2024-09-07 09:13:11:142,1,369,2,0,175,3430,369,0 77,0,2024-09-07 09:13:11:704,91489,0.6,91571,0.7,183272,0.6,243363,2.00 77,1,2024-09-07 09:13:10:824,648377,648377,0,0,304045275382,3173928515,643044,4841,492,381,391869,0 77,2,2024-09-07 09:13:11:281,467842,467842,0,0,19704987,0,3890 77,3,2024-09-07 09:13:11:094,1,369,4,0,401,4047,369,0 78,0,2024-09-07 09:13:11:723,91503,0.4,91015,0.6,182822,0.4,242715,2.00 78,1,2024-09-07 09:13:10:614,649465,649465,0,0,303976296553,3160033070,643530,4790,1145,367,391670,0 78,2,2024-09-07 09:13:11:412,464249,464249,0,0,16854580,0,3855 78,3,2024-09-07 09:13:11:136,1,369,39,0,181,3470,369,0 79,0,2024-09-07 09:13:11:354,91060,0.4,93309,0.6,191072,0.3,248951,2.00 79,1,2024-09-07 09:13:10:574,651033,651033,0,0,304774326802,3152362155,646445,3953,635,368,391682,0 79,2,2024-09-07 09:13:11:070,469826,469826,0,0,18042280,0,4195 79,3,2024-09-07 09:13:10:749,1,369,1,0,418,4618,369,0 80,0,2024-09-07 09:13:11:093,91136,0.5,93897,0.6,179873,0.5,242364,2.00 80,1,2024-09-07 09:13:11:619,649262,649262,0,0,304866395305,3168601270,645573,3491,198,368,391791,0 80,2,2024-09-07 09:13:11:095,469897,469897,0,0,17845619,0,4433 80,3,2024-09-07 09:13:10:578,1,369,7,0,190,4405,369,0 81,0,2024-09-07 09:13:11:592,91953,0.5,94115,0.7,179656,0.5,243481,2.00 81,1,2024-09-07 09:13:11:650,648400,648400,0,0,303517651011,3170667088,643167,4731,502,382,391879,0 81,2,2024-09-07 09:13:11:125,465746,465683,63,0,19469368,0,5932 81,3,2024-09-07 09:13:11:129,1,369,25,0,374,4086,369,0 82,0,2024-09-07 09:13:11:538,91875,0.5,92358,0.7,185153,0.4,245316,2.00 82,1,2024-09-07 09:13:10:582,650188,650184,0,4,304404997113,3165703184,646099,3287,798,381,391768,4 82,2,2024-09-07 09:13:11:692,466966,466966,0,0,16384215,0,3986 82,3,2024-09-07 09:13:11:758,1,369,11,0,363,3558,369,0 83,0,2024-09-07 09:13:11:521,93576,0.5,93318,0.6,186336,0.4,248050,2.00 83,1,2024-09-07 09:13:10:557,648131,648131,0,0,304016044464,3166791492,643748,4002,381,382,391709,0 83,2,2024-09-07 09:13:10:764,467666,467666,0,0,17143031,0,3393 83,3,2024-09-07 09:13:10:749,1,369,0,0,1260,4960,369,0 84,0,2024-09-07 09:13:11:768,92187,0.7,92044,0.9,183807,0.6,246088,2.25 84,1,2024-09-07 09:13:11:057,647539,647539,0,0,303639281101,3174063967,640530,5977,1032,367,391967,0 84,2,2024-09-07 09:13:10:571,467138,467138,0,0,22153593,0,4757 84,3,2024-09-07 09:13:11:141,1,369,20,0,908,5499,369,0 85,0,2024-09-07 09:13:11:016,89490,0.6,89510,0.8,190013,0.6,245800,2.25 85,1,2024-09-07 09:13:10:568,646108,646108,0,0,304053323867,3204998605,637112,7610,1386,381,392006,0 85,2,2024-09-07 09:13:10:866,466086,466086,0,0,21720084,0,3656 85,3,2024-09-07 09:13:10:693,1,369,131,0,789,4942,369,0 86,0,2024-09-07 09:13:10:882,91677,0.7,94291,0.8,180424,0.7,244110,2.25 86,1,2024-09-07 09:13:10:825,647911,647911,0,0,303986939695,3179650648,640837,6005,1069,366,391961,0 86,2,2024-09-07 09:13:10:860,465810,465809,1,0,22749647,0,5004 86,3,2024-09-07 09:13:10:592,1,369,1,0,308,5511,369,0 87,0,2024-09-07 09:13:11:384,93000,0.9,92909,0.9,186167,1.2,248917,2.25 87,1,2024-09-07 09:13:10:554,647687,647687,0,0,303425642803,3172384918,640956,5971,760,366,392076,0 87,2,2024-09-07 09:13:11:083,463836,463836,0,0,20267821,0,4045 87,3,2024-09-07 09:13:11:815,1,369,1,0,473,5237,369,0 88,0,2024-09-07 09:13:11:612,93099,0.4,93810,0.6,186712,0.4,248954,1.75 88,1,2024-09-07 09:13:10:581,646088,646088,0,0,303233584210,3176828354,637644,6564,1880,365,392084,0 88,2,2024-09-07 09:13:10:691,468298,468298,0,0,22705334,0,3583 88,3,2024-09-07 09:13:11:281,1,369,28,0,435,3864,369,0 89,0,2024-09-07 09:13:11:848,95291,0.5,92240,0.6,182576,0.4,248128,1.75 89,1,2024-09-07 09:13:10:644,646605,646605,0,0,303272940378,3187792419,638515,6733,1357,382,391866,0 89,2,2024-09-07 09:13:11:142,466823,466823,0,0,22010821,0,3173 89,3,2024-09-07 09:13:11:791,1,369,1,0,385,6460,369,0 90,0,2024-09-07 09:13:11:670,88610,0.5,91100,0.6,185718,0.4,242311,2.00 90,1,2024-09-07 09:13:10:602,647993,647993,0,0,303760832609,3181376213,641667,5820,506,380,391825,0 90,2,2024-09-07 09:13:11:415,465890,465890,0,0,23027110,0,3060 90,3,2024-09-07 09:13:10:930,1,369,16,0,246,4137,369,0 91,0,2024-09-07 09:13:10:971,92594,0.5,89574,0.6,187183,0.4,245896,1.75 91,1,2024-09-07 09:13:10:560,646442,646442,0,0,303730332133,3193483302,638048,7206,1188,381,392047,0 91,2,2024-09-07 09:13:11:333,463808,463808,0,0,20374198,0,2896 91,3,2024-09-07 09:13:10:605,1,369,5,0,216,3356,369,0 92,0,2024-09-07 09:13:11:520,94963,0.4,97347,0.6,185855,0.4,252097,1.75 92,1,2024-09-07 09:13:10:602,647957,647957,0,0,303302319506,3166186592,643126,4141,690,382,392136,0 92,2,2024-09-07 09:13:11:351,469273,469273,0,0,18526450,0,3259 92,3,2024-09-07 09:13:11:023,1,369,7,0,167,3139,369,0 93,0,2024-09-07 09:13:10:984,92055,0.4,94314,0.5,180063,0.3,243874,1.75 93,1,2024-09-07 09:13:10:809,648391,648391,0,0,304099992139,3171435463,642219,5140,1032,366,391776,0 93,2,2024-09-07 09:13:10:931,467965,467965,0,0,21691318,0,4845 93,3,2024-09-07 09:13:11:414,1,369,10,0,190,3466,369,0 94,0,2024-09-07 09:13:11:649,91105,0.4,91921,0.5,183669,0.3,243205,1.75 94,1,2024-09-07 09:13:10:564,648408,648408,0,0,303850861605,3167975986,644343,3874,191,381,391850,0 94,2,2024-09-07 09:13:10:761,466733,466733,0,0,18568414,0,2443 94,3,2024-09-07 09:13:11:697,1,369,1,0,264,4385,369,0 95,0,2024-09-07 09:13:11:367,91104,0.4,91165,0.5,182732,0.3,243266,1.75 95,1,2024-09-07 09:13:10:859,650061,650061,0,0,304707117435,3165548919,645030,4629,402,367,391713,0 95,2,2024-09-07 09:13:11:015,464750,464750,0,0,18126144,0,3308 95,3,2024-09-07 09:13:11:720,1,369,14,0,718,5559,369,0 96,0,2024-09-07 09:13:11:024,94032,0.3,94146,0.5,188196,0.3,249769,1.75 96,1,2024-09-07 09:13:11:584,648166,648166,0,0,304202229133,3171985142,643576,3792,798,384,391955,0 96,2,2024-09-07 09:13:11:286,468729,468729,0,0,18427562,0,4042 96,3,2024-09-07 09:13:11:140,1,369,1,0,411,3955,369,0 97,0,2024-09-07 09:13:11:376,91603,0.3,91338,0.5,183391,0.3,243208,1.50 97,1,2024-09-07 09:13:10:771,649677,649677,0,0,304958546375,3160726199,645429,3554,694,367,392140,0 97,2,2024-09-07 09:13:10:606,468704,468704,0,0,18298789,0,3679 97,3,2024-09-07 09:13:10:569,1,369,3,0,214,4151,369,0 98,0,2024-09-07 09:13:11:724,92441,0.3,92450,0.4,185599,0.2,246278,1.50 98,1,2024-09-07 09:13:10:570,648953,648953,0,0,304471808323,3165512117,645982,2852,119,382,391997,0 98,2,2024-09-07 09:13:10:771,466464,466464,0,0,17911141,0,3080 98,3,2024-09-07 09:13:10:698,1,369,4,0,840,5676,369,0 99,0,2024-09-07 09:13:11:492,92588,0.3,93229,0.5,185202,0.3,247182,1.75 99,1,2024-09-07 09:13:11:734,648949,648949,0,0,303863477211,3162238316,644547,3631,771,381,392069,0 99,2,2024-09-07 09:13:11:421,467778,467778,0,0,20790573,0,3424 99,3,2024-09-07 09:13:10:590,1,369,1,0,606,4051,369,0 100,0,2024-09-07 09:13:11:510,92986,0.8,93071,1.0,185599,0.9,248356,2.50 100,1,2024-09-07 09:13:10:561,645491,645491,0,0,302839124223,3198766003,635994,7604,1893,381,391989,0 100,2,2024-09-07 09:13:11:821,464949,464938,11,0,20960560,0,5417 100,3,2024-09-07 09:13:11:736,1,369,27,0,559,6180,369,0 101,0,2024-09-07 09:13:11:701,95234,1.1,92705,1.0,182053,0.9,249596,2.25 101,1,2024-09-07 09:13:10:556,645556,645556,0,0,303245442148,3192018693,635672,7768,2116,368,391847,0 101,2,2024-09-07 09:13:11:759,464829,464829,0,0,24411690,0,4644 101,3,2024-09-07 09:13:10:974,1,369,24,0,579,4937,369,0 102,0,2024-09-07 09:13:10:954,90534,0.7,93526,0.8,189187,0.7,247797,2.25 102,1,2024-09-07 09:13:11:150,646712,646712,0,0,303213458257,3184032851,638450,7005,1257,369,391891,0 102,2,2024-09-07 09:13:11:755,467439,467385,54,0,20606733,0,6768 102,3,2024-09-07 09:13:11:628,1,369,1,0,410,3785,369,0 103,0,2024-09-07 09:13:11:625,94699,0.6,94616,0.7,178484,0.6,245491,2.00 103,1,2024-09-07 09:13:11:627,645331,645331,0,0,303049051086,3197585442,635221,7525,2585,381,391829,0 103,2,2024-09-07 09:13:10:585,466253,466253,0,0,21541225,0,3173 103,3,2024-09-07 09:13:10:761,1,369,0,0,916,4324,369,0 104,0,2024-09-07 09:13:11:010,91961,0.7,92299,0.9,183259,0.6,246976,2.25 104,1,2024-09-07 09:13:11:620,647763,647763,0,0,303204275078,3185231813,638320,7671,1772,365,392168,0 104,2,2024-09-07 09:13:11:669,462671,462671,0,0,21947382,0,3941 104,3,2024-09-07 09:13:11:416,1,369,14,0,1245,7739,369,0 105,0,2024-09-07 09:13:11:040,92594,0.7,90152,1.0,188926,0.7,248810,2.50 105,1,2024-09-07 09:13:10:564,648316,648316,0,0,304432870031,3189557951,639932,7047,1337,366,392009,0 105,2,2024-09-07 09:13:11:325,467068,467068,0,0,21709747,0,3509 105,3,2024-09-07 09:13:11:309,1,369,2,0,399,5734,369,0 106,0,2024-09-07 09:13:10:945,88490,0.8,90617,0.9,185718,0.8,242936,2.50 106,1,2024-09-07 09:13:12:266,647092,647092,0,0,303727065046,3189660228,637359,8437,1296,369,391914,0 106,2,2024-09-07 09:13:10:760,467197,467197,0,0,21359093,0,2795 106,3,2024-09-07 09:13:10:678,1,369,3,0,470,4727,369,0 107,0,2024-09-07 09:13:11:106,91248,1.1,91394,1.0,182632,1.5,243217,2.25 107,1,2024-09-07 09:13:10:594,646059,646059,0,0,302495680933,3184743557,637750,7342,967,381,392234,0 107,2,2024-09-07 09:13:11:291,465699,465698,1,0,22187313,0,5024 107,3,2024-09-07 09:13:11:759,1,369,8,0,353,5045,369,0 108,0,2024-09-07 09:13:11:779,90883,0.4,91554,0.6,181934,0.4,243155,1.75 108,1,2024-09-07 09:13:11:299,648830,648830,0,0,304548488246,3172961586,643721,4590,519,368,391857,0 108,2,2024-09-07 09:13:11:756,462274,462274,0,0,20470514,0,4246 108,3,2024-09-07 09:13:11:336,1,369,8,0,749,7284,369,0 109,0,2024-09-07 09:13:11:759,94550,0.4,93749,0.5,187566,0.3,251400,1.75 109,1,2024-09-07 09:13:10:583,646565,646565,0,0,303342620912,3178022075,641332,4567,666,383,392132,0 109,2,2024-09-07 09:13:10:936,466734,466734,0,0,20110975,0,3617 109,3,2024-09-07 09:13:11:139,1,369,1,0,379,4343,369,0 110,0,2024-09-07 09:13:11:760,91158,0.4,88684,0.6,185838,0.3,243038,1.75 110,1,2024-09-07 09:13:11:650,650173,650173,0,0,304842051998,3159851032,646162,3031,980,369,392045,0 110,2,2024-09-07 09:13:11:303,468304,468304,0,0,19944981,0,4067 110,3,2024-09-07 09:13:10:695,1,369,9,0,722,5405,369,0 111,0,2024-09-07 09:13:11:415,92310,0.4,91561,0.6,183690,0.4,244816,1.75 111,1,2024-09-07 09:13:11:001,650299,650299,0,0,304876425336,3160722555,646911,3035,353,382,391690,0 111,2,2024-09-07 09:13:11:124,465751,465751,0,0,19208761,0,4823 111,3,2024-09-07 09:13:10:913,1,369,5,0,379,4320,369,0 112,0,2024-09-07 09:13:10:915,93106,0.3,92466,0.4,185451,0.2,245971,1.50 112,1,2024-09-07 09:13:10:829,649795,649795,0,0,304883658441,3160926733,646187,3024,584,380,391624,0 112,2,2024-09-07 09:13:11:135,465978,465977,1,0,17745179,0,5036 112,3,2024-09-07 09:13:10:602,1,369,1,0,282,3638,369,0 113,0,2024-09-07 09:13:10:881,93234,0.3,93407,0.4,187159,0.2,249350,1.50 113,1,2024-09-07 09:13:11:690,651659,651659,0,0,305971620310,3154980437,648149,2926,584,366,391661,0 113,2,2024-09-07 09:13:11:307,469073,469073,0,0,16447944,0,3813 113,3,2024-09-07 09:13:10:685,1,369,1,0,340,4215,369,0 114,0,2024-09-07 09:13:10:879,93084,0.3,93744,0.5,186271,0.2,248634,1.75 114,1,2024-09-07 09:13:10:716,649606,649606,0,0,304218259487,3159830987,644163,3846,1597,381,391556,0 114,2,2024-09-07 09:13:10:876,468214,468213,1,0,18236080,0,5069 114,3,2024-09-07 09:13:11:278,1,369,0,0,395,3055,369,0 115,0,2024-09-07 09:13:10:554,92919,0.3,93571,0.4,186675,0.2,248336,1.50 115,1,2024-09-07 09:13:10:571,649243,649243,0,0,305183601053,3168800647,644004,4396,843,382,391757,0 115,2,2024-09-07 09:13:11:124,468652,468652,0,0,16907070,0,3848 115,3,2024-09-07 09:13:11:001,1,369,7,0,159,2212,369,0 116,0,2024-09-07 09:13:11:725,91472,0.8,91395,0.9,183249,0.8,245342,2.00 116,1,2024-09-07 09:13:10:806,646146,646146,0,0,304039034347,3204270516,638019,5811,2316,380,392089,0 116,2,2024-09-07 09:13:11:764,466549,466549,0,0,22409557,0,3529 116,3,2024-09-07 09:13:10:924,1,369,12,0,415,4440,369,0 117,0,2024-09-07 09:13:10:990,93426,0.8,92999,0.8,186112,0.9,249395,2.00 117,1,2024-09-07 09:13:11:604,646898,646898,0,0,303334576307,3174485120,639913,6140,845,369,392033,0 117,2,2024-09-07 09:13:11:117,467333,467333,0,0,18624267,0,3700 117,3,2024-09-07 09:13:11:059,1,369,0,0,490,5180,369,0 118,0,2024-09-07 09:13:11:787,90559,0.5,92765,0.6,189509,0.4,248057,2.00 118,1,2024-09-07 09:13:10:589,646818,646818,0,0,303379543573,3186851541,637538,7246,2034,366,391907,0 118,2,2024-09-07 09:13:11:593,466880,466880,0,0,20917337,0,2781 118,3,2024-09-07 09:13:11:768,1,369,1,0,248,4186,369,0 119,0,2024-09-07 09:13:11:379,92236,0.6,92679,0.7,185239,0.6,246480,2.00 119,1,2024-09-07 09:13:10:558,647992,647992,0,0,303289133785,3171852724,640922,6228,842,367,391780,0 119,2,2024-09-07 09:13:11:314,467974,467974,0,0,19767300,0,4174 119,3,2024-09-07 09:13:11:325,1,369,9,0,1058,6547,369,0 120,0,2024-09-07 09:13:11:547,90963,0.6,90688,0.8,181504,0.6,242963,2.25 120,1,2024-09-07 09:13:10:867,647508,647508,0,0,303626579822,3183646055,640992,5956,560,368,391961,0 120,2,2024-09-07 09:13:10:770,466711,466710,1,0,23026452,0,5281 120,3,2024-09-07 09:13:11:290,1,369,2,0,241,4428,369,0 121,0,2024-09-07 09:13:11:694,91907,0.9,91920,0.9,184454,1.1,245545,2.25 121,1,2024-09-07 09:13:11:656,647914,647914,0,0,303633286502,3174287800,641857,5619,438,367,391840,0 121,2,2024-09-07 09:13:11:126,462271,462271,0,0,21579135,0,4127 121,3,2024-09-07 09:13:10:727,1,369,4,0,269,4087,369,0 122,0,2024-09-07 09:13:11:775,93624,0.7,91499,0.8,192059,0.8,251865,2.00 122,1,2024-09-07 09:13:10:862,647062,647062,0,0,303064766277,3177442169,638443,7356,1263,366,392130,0 122,2,2024-09-07 09:13:11:450,467872,467799,73,0,24530453,0,5989 122,3,2024-09-07 09:13:10:594,1,369,1,0,512,7004,369,0 123,0,2024-09-07 09:13:10:950,91079,0.6,89057,0.7,185808,0.7,243338,2.00 123,1,2024-09-07 09:13:10:559,646919,646919,0,0,303399240065,3196569914,634992,9761,2166,369,392039,0 123,2,2024-09-07 09:13:11:030,466577,466576,1,0,21086305,0,5215 123,3,2024-09-07 09:13:11:136,1,369,0,0,168,4069,369,0 124,0,2024-09-07 09:13:10:932,94286,0.4,94261,0.5,177847,0.3,243995,1.75 124,1,2024-09-07 09:13:11:023,649275,649275,0,0,303703958200,3156675927,644545,3819,911,367,392178,0 124,2,2024-09-07 09:13:11:014,467807,467754,53,0,18757276,0,6487 124,3,2024-09-07 09:13:10:759,1,369,2,0,490,3655,369,0 125,0,2024-09-07 09:13:11:457,91542,0.4,91154,0.5,183251,0.3,243649,1.75 125,1,2024-09-07 09:13:10:855,648150,648150,0,0,303858358622,3169687223,643254,4249,647,382,391702,0 125,2,2024-09-07 09:13:11:116,465103,465103,0,0,19268636,0,4534 125,3,2024-09-07 09:13:11:129,1,369,5,0,709,4745,369,0 126,0,2024-09-07 09:13:11:444,93854,0.4,96503,0.5,184865,0.4,250603,1.75 126,1,2024-09-07 09:13:10:551,649882,649882,0,0,304980095088,3157556238,646133,3461,288,365,391987,0 126,2,2024-09-07 09:13:10:614,468644,468644,0,0,19828143,0,4539 126,3,2024-09-07 09:13:10:908,1,369,119,0,207,4676,369,0 127,0,2024-09-07 09:13:11:606,91493,0.3,91916,0.5,183279,0.3,243342,1.50 127,1,2024-09-07 09:13:10:582,649151,649151,0,0,304759923147,3163792491,643674,4616,861,364,392187,0 127,2,2024-09-07 09:13:10:638,467427,467427,0,0,18023250,0,3897 127,3,2024-09-07 09:13:11:268,1,369,5,0,968,4191,369,0 128,0,2024-09-07 09:13:11:593,92836,0.3,93211,0.4,186040,0.2,246615,1.50 128,1,2024-09-07 09:13:11:615,649167,649167,0,0,304076593336,3155381322,644952,3843,372,367,391838,0 128,2,2024-09-07 09:13:11:393,467126,467126,0,0,17523398,0,2915 128,3,2024-09-07 09:13:10:773,1,369,2,0,1082,6028,369,0 129,0,2024-09-07 09:13:11:005,93238,0.3,92702,0.5,186020,0.3,247402,1.50 129,1,2024-09-07 09:13:10:571,646602,646602,0,0,303738271284,3178054733,640764,4581,1257,379,391835,0 129,2,2024-09-07 09:13:10:688,467317,467317,0,0,18559573,0,4031 129,3,2024-09-07 09:13:10:694,1,369,12,0,506,5125,369,0 130,0,2024-09-07 09:13:11:731,93717,0.5,93356,0.6,187883,0.5,249842,1.75 130,1,2024-09-07 09:13:10:592,649505,649505,0,0,304939721227,3168009162,646074,3151,280,381,391825,0 130,2,2024-09-07 09:13:11:130,467703,467703,0,0,18191292,0,4067 130,3,2024-09-07 09:13:11:299,1,369,3,0,535,4786,369,0 131,0,2024-09-07 09:13:12:003,93159,0.4,93690,0.5,188472,0.3,249706,1.75 131,1,2024-09-07 09:13:11:827,648989,648989,0,0,303828598642,3169805058,644245,3996,748,381,391865,0 131,2,2024-09-07 09:13:10:571,469393,469393,0,0,16564104,0,2415 131,3,2024-09-07 09:13:11:689,1,369,1,0,392,3694,369,0 132,0,2024-09-07 09:13:11:462,93010,0.4,93952,0.6,186867,0.4,248598,1.75 132,1,2024-09-07 09:13:10:596,646012,646012,0,0,303053221391,3189437204,636875,7608,1529,381,392097,0 132,2,2024-09-07 09:13:10:710,466807,466807,0,0,22569437,0,4606 132,3,2024-09-07 09:13:11:690,1,369,1,0,804,6397,369,0 133,0,2024-09-07 09:13:11:571,89450,0.4,91477,0.6,187737,0.4,244179,1.75 133,1,2024-09-07 09:13:10:586,646187,646187,0,0,303345866976,3194474568,637539,7515,1133,383,391914,0 133,2,2024-09-07 09:13:11:092,466813,466813,0,0,23228328,0,4315 133,3,2024-09-07 09:13:11:307,1,369,8,0,479,3805,369,0 134,0,2024-09-07 09:13:10:964,93035,0.5,92824,0.7,185645,0.5,248176,2.00 134,1,2024-09-07 09:13:10:596,647194,647194,0,0,303373945757,3182213281,638612,6700,1882,366,391718,0 134,2,2024-09-07 09:13:11:759,463541,463541,0,0,19222860,0,3847 134,3,2024-09-07 09:13:10:749,1,369,1,0,739,4581,369,0 135,0,2024-09-07 09:13:11:097,90647,0.7,90558,0.8,192200,0.8,247914,2.00 135,1,2024-09-07 09:13:11:587,647046,647046,0,0,303380419184,3190982435,638393,7414,1239,380,391805,0 135,2,2024-09-07 09:13:10:687,468806,468806,0,0,21423652,0,3981 135,3,2024-09-07 09:13:11:011,1,369,4,0,299,3004,369,0 136,0,2024-09-07 09:13:11:668,91940,0.5,92239,0.7,183309,0.5,244754,2.00 136,1,2024-09-07 09:13:11:446,646912,646912,0,0,303566840667,3183844212,639677,6537,698,382,391685,0 136,2,2024-09-07 09:13:11:160,469005,469005,0,0,20929230,0,3506 136,3,2024-09-07 09:13:11:107,1,369,3,0,637,4077,369,0 137,0,2024-09-07 09:13:10:971,94179,0.6,91650,0.7,180173,0.7,244528,2.00 137,1,2024-09-07 09:13:10:576,646697,646697,0,0,303353010232,3183959001,636355,8403,1939,366,391898,0 137,2,2024-09-07 09:13:11:714,466185,466185,0,0,23152223,0,3185 137,3,2024-09-07 09:13:10:773,1,369,2,0,382,4157,369,0 138,0,2024-09-07 09:13:11:807,90584,1.2,90662,1.0,182155,1.6,242022,2.25 138,1,2024-09-07 09:13:11:687,647784,647784,0,0,303275097177,3182444669,638476,7708,1600,368,391954,0 138,2,2024-09-07 09:13:10:598,463000,463000,0,0,20645085,0,4988 138,3,2024-09-07 09:13:10:610,1,369,8,0,1160,4982,369,0 139,0,2024-09-07 09:13:11:365,93033,1.2,93272,1.0,186659,1.8,249448,2.25 139,1,2024-09-07 09:13:10:578,644845,644845,0,0,301834650667,3204190794,632990,9135,2720,381,392058,0 139,2,2024-09-07 09:13:10:702,465242,465242,0,0,23489032,0,3097 139,3,2024-09-07 09:13:11:665,1,369,1,0,257,4173,369,0 140,0,2024-09-07 09:13:11:591,91513,0.3,91049,0.5,182805,0.2,243121,1.75 140,1,2024-09-07 09:13:11:545,651035,651035,0,0,304998186631,3144065393,647759,2837,439,365,391606,0 140,2,2024-09-07 09:13:10:696,468408,468407,1,0,18524182,0,5036 140,3,2024-09-07 09:13:10:771,1,369,297,0,297,3208,369,0 141,0,2024-09-07 09:13:11:704,92150,0.3,94602,0.5,180693,0.3,244810,1.75 141,1,2024-09-07 09:13:10:861,649696,649696,0,0,304857891868,3164089189,645129,3704,863,379,391614,0 141,2,2024-09-07 09:13:11:689,466872,466872,0,0,18122855,0,3360 141,3,2024-09-07 09:13:11:043,1,369,2,0,391,4106,369,0 142,0,2024-09-07 09:13:11:361,93107,0.3,92498,0.5,184816,0.3,246464,1.75 142,1,2024-09-07 09:13:10:588,649070,649070,0,0,303126914623,3158318185,645094,3623,353,382,392102,0 142,2,2024-09-07 09:13:11:319,465344,465312,32,0,19403149,0,6028 142,3,2024-09-07 09:13:11:747,1,369,1,0,484,4303,369,0 143,0,2024-09-07 09:13:11:391,93514,0.4,93399,0.5,187085,0.4,249486,1.75 143,1,2024-09-07 09:13:10:561,650143,650143,0,0,305061711175,3161907542,646353,3637,153,367,391705,0 143,2,2024-09-07 09:13:10:772,467886,467886,0,0,18973503,0,3123 143,3,2024-09-07 09:13:11:155,1,369,1,0,303,4431,369,0 144,0,2024-09-07 09:13:11:544,89844,0.6,92209,0.8,188191,0.5,246539,2.00 144,1,2024-09-07 09:13:10:570,646459,646459,0,0,302998077597,3175533227,640872,4379,1208,381,391649,0 144,2,2024-09-07 09:13:11:757,468704,468704,0,0,17891295,0,3673 144,3,2024-09-07 09:13:11:741,1,369,3,0,249,3645,369,0 145,0,2024-09-07 09:13:11:371,89886,0.6,89924,0.8,190626,0.5,245440,2.25 145,1,2024-09-07 09:13:10:552,646425,646425,0,0,303533364385,3189301426,638848,6434,1143,382,391759,0 145,2,2024-09-07 09:13:11:433,465609,465609,0,0,21165870,0,3903 145,3,2024-09-07 09:13:10:895,1,369,1,0,622,5464,369,0 146,0,2024-09-07 09:13:11:612,91854,0.5,91176,0.7,183816,0.5,244001,2.00 146,1,2024-09-07 09:13:11:596,647457,647457,0,0,303904469067,3193444671,637391,8055,2011,367,391770,0 146,2,2024-09-07 09:13:11:707,465929,465929,0,0,21044779,0,2730 146,3,2024-09-07 09:13:11:279,1,369,20,0,1520,7510,369,0 147,0,2024-09-07 09:13:11:716,93479,0.6,93139,0.7,185623,0.5,248955,2.00 147,1,2024-09-07 09:13:11:372,649439,649439,0,0,304983067409,3170332943,643717,4921,801,368,391791,0 147,2,2024-09-07 09:13:11:012,465070,465070,0,0,18261250,0,2789 147,3,2024-09-07 09:13:10:914,1,369,19,0,730,5254,369,0 0,0,2024-09-07 09:13:21:714,88922,0.6,88963,0.7,188781,0.7,243753,2.00 0,1,2024-09-07 09:13:20:806,649780,649780,0,0,304798521548,3184987274,645519,4015,246,369,391896,0 0,2,2024-09-07 09:13:21:074,469749,469749,0,0,18552077,0,4480 0,3,2024-09-07 09:13:20:974,1,370,1,0,431,5239,370,0 1,0,2024-09-07 09:13:21:774,92479,0.7,91862,0.9,184494,0.8,246358,2.00 1,1,2024-09-07 09:13:20:569,648904,648904,0,0,304845046229,3188828590,643228,4446,1230,370,391857,0 1,2,2024-09-07 09:13:20:656,463791,463791,0,0,17927985,0,3380 1,3,2024-09-07 09:13:21:302,1,370,1,0,262,4322,370,0 2,0,2024-09-07 09:13:21:571,94467,0.5,94721,0.7,188503,0.6,252500,2.00 2,1,2024-09-07 09:13:20:859,651091,651091,0,0,305424304177,3169089117,648145,2653,293,380,391745,0 2,2,2024-09-07 09:13:21:267,469892,469892,0,0,17659532,0,3594 2,3,2024-09-07 09:13:20:700,1,370,3,0,357,3750,370,0 3,0,2024-09-07 09:13:21:746,91620,0.4,91475,0.6,182851,0.4,243457,1.75 3,1,2024-09-07 09:13:21:618,649708,649708,0,0,304599231175,3169636456,644473,4611,624,380,391591,0 3,2,2024-09-07 09:13:21:142,469712,469689,23,0,18195087,0,5851 3,3,2024-09-07 09:13:21:752,1,370,1,0,207,2307,370,0 4,0,2024-09-07 09:13:21:807,88963,0.4,91348,0.5,186239,0.3,243318,1.75 4,1,2024-09-07 09:13:20:594,647680,647680,0,0,303855220112,3203917808,638630,7302,1748,370,391992,0 4,2,2024-09-07 09:13:21:018,466825,466825,0,0,21483995,0,4528 4,3,2024-09-07 09:13:21:033,1,370,1,0,448,5031,370,0 5,0,2024-09-07 09:13:21:404,91348,0.4,91846,0.6,183160,0.4,243366,1.75 5,1,2024-09-07 09:13:20:763,649087,649087,0,0,304448271534,3199812401,641172,6447,1468,367,392005,0 5,2,2024-09-07 09:13:21:845,463196,463196,0,0,20121262,0,2432 5,3,2024-09-07 09:13:21:744,1,370,11,0,457,5155,370,0 6,0,2024-09-07 09:13:20:919,94592,0.4,94174,0.6,188121,0.3,251778,1.75 6,1,2024-09-07 09:13:20:758,649321,649321,0,0,304373202415,3178757291,642454,5781,1086,379,391694,0 6,2,2024-09-07 09:13:21:122,468831,468831,0,0,19923874,0,4816 6,3,2024-09-07 09:13:21:275,1,370,6,0,340,3988,370,0 7,0,2024-09-07 09:13:21:549,91067,0.5,91652,0.6,182557,0.5,242664,2.00 7,1,2024-09-07 09:13:20:849,648077,648077,0,0,304257431062,3194492824,639069,7614,1394,382,391747,0 7,2,2024-09-07 09:13:20:778,469737,469737,0,0,19973352,0,4791 7,3,2024-09-07 09:13:20:851,1,370,0,0,398,3996,370,0 8,0,2024-09-07 09:13:21:323,92805,0.4,92590,0.5,185427,0.3,246856,1.75 8,1,2024-09-07 09:13:21:019,647312,647312,0,0,304465702876,3203990623,636412,8309,2591,366,392144,0 8,2,2024-09-07 09:13:20:792,463830,463830,0,0,23873390,0,3220 8,3,2024-09-07 09:13:20:588,1,370,1,0,538,6186,370,0 9,0,2024-09-07 09:13:21:124,93119,0.4,90354,0.5,189068,0.3,247575,1.75 9,1,2024-09-07 09:13:20:572,647973,647973,0,0,303792153439,3200597281,638444,7394,2135,369,392001,0 9,2,2024-09-07 09:13:21:085,466649,466649,0,0,21407287,0,3360 9,3,2024-09-07 09:13:21:751,1,370,1,0,496,5276,370,0 10,0,2024-09-07 09:13:21:601,93788,0.3,93250,0.5,187136,0.2,249624,1.75 10,1,2024-09-07 09:13:20:587,648570,648570,0,0,304343368379,3186961004,639993,7300,1277,381,391741,0 10,2,2024-09-07 09:13:20:768,468080,468080,0,0,23093866,0,4264 10,3,2024-09-07 09:13:20:871,1,370,1,0,296,3294,370,0 11,0,2024-09-07 09:13:21:006,93269,0.5,90567,0.6,189317,0.4,249865,1.75 11,1,2024-09-07 09:13:20:578,649547,649547,0,0,304644154972,3198404841,639055,8012,2480,383,391756,0 11,2,2024-09-07 09:13:21:122,468105,468105,0,0,20894378,0,4130 11,3,2024-09-07 09:13:21:298,1,370,8,0,843,5538,370,0 12,0,2024-09-07 09:13:20:956,93976,0.4,94073,0.5,187778,0.3,249480,1.75 12,1,2024-09-07 09:13:20:937,649490,649490,0,0,304773528808,3174989924,644604,4392,494,370,391870,0 12,2,2024-09-07 09:13:21:541,469165,469165,0,0,20124694,0,3469 12,3,2024-09-07 09:13:21:059,1,370,12,0,386,5824,370,0 13,0,2024-09-07 09:13:21:327,92570,0.4,92246,0.6,184256,0.4,245581,1.75 13,1,2024-09-07 09:13:21:524,648403,648403,0,0,304294325539,3195341894,642470,4522,1411,382,391740,0 13,2,2024-09-07 09:13:20:598,469943,469943,0,0,18701264,0,3287 13,3,2024-09-07 09:13:21:764,1,370,21,0,522,5770,370,0 14,0,2024-09-07 09:13:20:571,93285,0.4,94092,0.6,186396,0.3,248791,1.75 14,1,2024-09-07 09:13:21:597,652608,652608,0,0,305969418985,3165390715,647912,4337,359,364,391673,0 14,2,2024-09-07 09:13:20:768,465372,465342,30,0,19856184,0,6104 14,3,2024-09-07 09:13:21:124,1,370,15,0,1168,4269,370,0 15,0,2024-09-07 09:13:21:553,93803,0.4,93820,0.6,187824,0.3,250005,1.75 15,1,2024-09-07 09:13:21:609,650047,650047,0,0,305222439156,3174596993,645685,3538,824,381,391619,0 15,2,2024-09-07 09:13:20:999,470377,470377,0,0,16669823,0,3622 15,3,2024-09-07 09:13:21:405,1,370,3,0,1126,6712,370,0 16,0,2024-09-07 09:13:20:939,91945,0.5,92314,0.7,184321,0.5,245475,2.00 16,1,2024-09-07 09:13:20:578,650745,650745,0,0,304368863185,3176898842,645908,4449,388,370,391756,0 16,2,2024-09-07 09:13:21:434,469424,469424,0,0,19344595,0,4719 16,3,2024-09-07 09:13:21:142,1,370,8,0,317,4851,370,0 17,0,2024-09-07 09:13:21:784,94331,0.6,92017,0.8,180216,0.7,244510,2.00 17,1,2024-09-07 09:13:20:584,648685,648685,0,0,304675517405,3194085227,642212,5212,1261,368,392075,0 17,2,2024-09-07 09:13:21:666,471047,471047,0,0,19058885,0,2857 17,3,2024-09-07 09:13:20:576,1,370,0,0,298,5913,370,0 18,0,2024-09-07 09:13:20:943,90487,0.6,90919,0.8,181206,0.6,242112,2.25 18,1,2024-09-07 09:13:21:651,651636,651636,0,0,305773522257,3167057850,648056,3263,317,367,391649,0 18,2,2024-09-07 09:13:21:755,466534,466534,0,0,17242054,0,3541 18,3,2024-09-07 09:13:20:897,1,370,0,0,163,3055,370,0 19,0,2024-09-07 09:13:21:542,94134,0.5,94762,0.7,187762,0.5,250455,2.00 19,1,2024-09-07 09:13:20:575,651505,651505,0,0,306025240921,3172848528,646238,4428,839,367,391777,0 19,2,2024-09-07 09:13:21:752,471428,471428,0,0,16041804,0,3988 19,3,2024-09-07 09:13:21:128,1,370,1,0,524,2761,370,0 20,0,2024-09-07 09:13:21:346,91252,0.5,91282,0.6,182524,0.4,243264,2.00 20,1,2024-09-07 09:13:20:671,649380,649380,0,0,305022084281,3186208794,644284,4379,717,369,391886,0 20,2,2024-09-07 09:13:20:929,469504,469504,0,0,19815371,0,3721 20,3,2024-09-07 09:13:20:590,1,370,12,0,414,5668,370,0 21,0,2024-09-07 09:13:21:232,92065,0.5,92104,0.6,183960,0.5,244018,2.00 21,1,2024-09-07 09:13:21:537,647426,647426,0,0,302655162686,3188517855,638535,6905,1986,368,392016,0 21,2,2024-09-07 09:13:21:065,465699,465699,0,0,23094553,0,3747 21,3,2024-09-07 09:13:21:404,1,370,1,0,713,4936,370,0 22,0,2024-09-07 09:13:21:724,92091,0.5,92400,0.7,185082,0.5,244578,2.00 22,1,2024-09-07 09:13:21:024,648713,648713,0,0,303529552426,3187901125,639457,7258,1998,382,391667,0 22,2,2024-09-07 09:13:20:761,464830,464830,0,0,18447807,0,3134 22,3,2024-09-07 09:13:21:066,1,370,1,0,228,3191,370,0 23,0,2024-09-07 09:13:21:370,93356,0.5,93093,0.7,186726,0.4,249041,2.25 23,1,2024-09-07 09:13:21:004,649882,649882,0,0,305481216097,3207668756,638375,7957,3550,365,391690,0 23,2,2024-09-07 09:13:21:093,470190,470190,0,0,18640054,0,3010 23,3,2024-09-07 09:13:21:754,1,370,7,0,720,5192,370,0 24,0,2024-09-07 09:13:20:826,93428,0.4,93284,0.6,187109,0.4,248020,1.75 24,1,2024-09-07 09:13:20:599,648523,648523,0,0,304001298226,3188299798,641046,5896,1581,367,392269,0 24,2,2024-09-07 09:13:21:074,467817,467817,0,0,22676103,0,3607 24,3,2024-09-07 09:13:21:693,1,370,11,0,468,5104,370,0 25,0,2024-09-07 09:13:21:346,95680,0.4,93199,0.6,182828,0.4,249204,1.75 25,1,2024-09-07 09:13:20:562,648856,648856,0,0,303564091165,3189886237,639508,7621,1727,371,391928,0 25,2,2024-09-07 09:13:21:606,466807,466807,0,0,22756924,0,3978 25,3,2024-09-07 09:13:21:008,1,370,144,0,255,3679,370,0 26,0,2024-09-07 09:13:21:724,91950,0.4,89773,0.6,188347,0.3,245406,1.75 26,1,2024-09-07 09:13:21:541,649857,649857,0,0,304271757175,3185720530,640617,7703,1537,380,391748,0 26,2,2024-09-07 09:13:20:866,468394,468394,0,0,22802646,0,2809 26,3,2024-09-07 09:13:21:718,1,370,58,0,796,4640,370,0 27,0,2024-09-07 09:13:21:726,93909,0.4,94020,0.6,186504,0.4,249234,2.00 27,1,2024-09-07 09:13:21:677,651583,651583,0,0,305630444858,3180046935,645826,4937,820,381,391626,0 27,2,2024-09-07 09:13:20:867,464002,463937,65,0,21689088,0,5699 27,3,2024-09-07 09:13:21:018,1,370,2,0,564,3750,370,0 28,0,2024-09-07 09:13:21:414,93877,0.4,93608,0.6,187324,0.3,250741,1.75 28,1,2024-09-07 09:13:20:811,651016,651016,0,0,305549779307,3180571213,646507,3804,705,383,391698,0 28,2,2024-09-07 09:13:21:763,469004,469004,0,0,19336847,0,2915 28,3,2024-09-07 09:13:21:782,1,370,5,0,502,4062,370,0 29,0,2024-09-07 09:13:21:368,95523,0.3,92973,0.6,182511,0.3,248811,1.75 29,1,2024-09-07 09:13:21:561,652291,652291,0,0,306019396076,3165921258,647945,3659,687,369,391753,0 29,2,2024-09-07 09:13:20:861,467966,467966,0,0,18551619,0,4986 29,3,2024-09-07 09:13:20:967,1,370,4,0,459,4483,370,0 30,0,2024-09-07 09:13:21:462,91166,0.5,88658,0.7,185567,0.5,242723,2.00 30,1,2024-09-07 09:13:20:573,652154,652154,0,0,305797755464,3172331055,647333,4111,710,381,391672,0 30,2,2024-09-07 09:13:21:276,469305,469305,0,0,17558269,0,3161 30,3,2024-09-07 09:13:20:581,1,370,4,0,519,3648,370,0 31,0,2024-09-07 09:13:21:758,91966,0.4,92429,0.6,184732,0.3,246259,1.75 31,1,2024-09-07 09:13:20:576,654083,654083,0,0,306864885366,3144565315,651534,1990,559,356,391712,0 31,2,2024-09-07 09:13:21:276,464555,464555,0,0,19140085,0,3525 31,3,2024-09-07 09:13:21:707,1,370,4,0,220,3133,370,0 32,0,2024-09-07 09:13:21:421,94589,0.3,94981,0.5,189694,0.2,252883,1.50 32,1,2024-09-07 09:13:20:807,651020,651020,0,0,305052196537,3167224789,647356,3183,481,381,391646,0 32,2,2024-09-07 09:13:20:937,470490,470490,0,0,16527305,0,3155 32,3,2024-09-07 09:13:21:016,1,370,8,0,227,2561,370,0 33,0,2024-09-07 09:13:21:499,91766,0.3,91324,0.4,183265,0.2,243722,1.50 33,1,2024-09-07 09:13:20:582,652218,652218,0,0,305743250656,3165796765,647338,3960,920,369,391730,0 33,2,2024-09-07 09:13:20:768,470612,470577,35,0,19325938,0,7012 33,3,2024-09-07 09:13:20:896,1,370,2,0,329,3729,370,0 34,0,2024-09-07 09:13:20:934,91818,0.3,94458,0.4,180689,0.2,242645,1.75 34,1,2024-09-07 09:13:21:044,653529,653529,0,0,306896584575,3152966826,652101,1419,9,367,391562,0 34,2,2024-09-07 09:13:20:768,468673,468673,0,0,18091955,0,3577 34,3,2024-09-07 09:13:21:690,1,370,1,0,299,2577,370,0 35,0,2024-09-07 09:13:20:875,90640,0.3,91044,0.5,183254,0.3,243856,1.75 35,1,2024-09-07 09:13:21:077,651525,651525,0,0,305661143913,3164874619,647858,2885,782,382,391769,0 35,2,2024-09-07 09:13:21:583,465271,465271,0,0,18078573,0,2653 35,3,2024-09-07 09:13:20:906,1,370,58,0,418,4386,370,0 36,0,2024-09-07 09:13:21:515,94600,0.4,94498,0.6,189095,0.4,251691,2.00 36,1,2024-09-07 09:13:20:589,650536,650536,0,0,304722560616,3180125429,642709,6142,1685,366,391759,0 36,2,2024-09-07 09:13:21:751,470079,470079,0,0,20763731,0,3875 36,3,2024-09-07 09:13:20:863,1,370,2,0,416,5736,370,0 37,0,2024-09-07 09:13:21:369,91218,0.5,91111,0.6,182435,0.4,243065,2.00 37,1,2024-09-07 09:13:20:574,650246,650239,0,7,304289418377,3177784247,642828,5251,2160,365,391570,0 37,2,2024-09-07 09:13:21:142,467760,467745,15,0,20682242,0,5815 37,3,2024-09-07 09:13:21:769,1,370,5,0,888,5788,370,0 38,0,2024-09-07 09:13:21:439,92052,0.5,89417,0.7,187189,0.4,244584,2.00 38,1,2024-09-07 09:13:21:606,650961,650961,0,0,305580331873,3185071610,643238,6452,1271,368,391821,0 38,2,2024-09-07 09:13:20:768,467129,467082,47,0,20604563,0,6710 38,3,2024-09-07 09:13:20:998,1,370,6,0,689,5037,370,0 39,0,2024-09-07 09:13:21:788,94673,0.6,92670,0.7,180473,0.5,246445,2.00 39,1,2024-09-07 09:13:20:718,650099,650099,0,0,305032872301,3191271710,640679,7720,1700,365,391658,0 39,2,2024-09-07 09:13:21:417,467419,467419,0,0,18932716,0,2689 39,3,2024-09-07 09:13:20:720,1,370,0,0,324,4558,370,0 40,0,2024-09-07 09:13:21:497,92719,0.8,93438,0.9,186590,0.8,248765,2.75 40,1,2024-09-07 09:13:20:579,650643,650643,0,0,303953010771,3180485183,641536,7493,1614,368,391668,0 40,2,2024-09-07 09:13:21:303,467095,467094,1,0,22334601,0,5137 40,3,2024-09-07 09:13:21:142,1,370,9,0,1028,5198,370,0 41,0,2024-09-07 09:13:21:048,92818,1.6,94989,1.3,181405,2.6,246577,3.25 41,1,2024-09-07 09:13:20:769,649032,649032,0,0,304756262270,3185395452,641414,6860,758,369,391742,0 41,2,2024-09-07 09:13:20:761,467283,467283,0,0,21432838,0,4277 41,3,2024-09-07 09:13:21:677,1,370,7,0,366,3689,370,0 42,0,2024-09-07 09:13:21:475,92518,0.9,92520,1.0,185393,1.1,245350,2.75 42,1,2024-09-07 09:13:21:439,648388,648388,0,0,303654674966,3189060270,638464,8160,1764,380,391675,0 42,2,2024-09-07 09:13:21:133,467462,467462,0,0,21922529,0,3790 42,3,2024-09-07 09:13:21:008,1,370,1,0,446,3164,370,0 43,0,2024-09-07 09:13:20:949,90780,0.9,88431,1.0,185314,0.9,243014,2.50 43,1,2024-09-07 09:13:20:582,649848,649848,0,0,305669041812,3192970270,640589,7530,1729,366,391696,0 43,2,2024-09-07 09:13:21:738,468585,468585,0,0,21423448,0,3812 43,3,2024-09-07 09:13:21:749,1,370,1,0,467,4387,370,0 44,0,2024-09-07 09:13:20:871,93221,0.4,93652,0.6,187005,0.4,249020,1.75 44,1,2024-09-07 09:13:20:575,651707,651707,0,0,305589034931,3156397203,646610,4050,1047,356,391809,0 44,2,2024-09-07 09:13:21:276,464810,464810,0,0,17500120,0,4344 44,3,2024-09-07 09:13:21:094,1,370,11,0,817,4620,370,0 45,0,2024-09-07 09:13:21:762,92861,0.4,90654,0.7,189986,0.4,250061,2.00 45,1,2024-09-07 09:13:21:005,651113,651113,0,0,305290984001,3169202885,646673,3964,476,382,391917,0 45,2,2024-09-07 09:13:21:268,469721,469721,0,0,18008898,0,3596 45,3,2024-09-07 09:13:20:936,1,370,1,0,271,3436,370,0 46,0,2024-09-07 09:13:20:951,91348,0.5,91413,0.7,182932,0.5,243148,2.00 46,1,2024-09-07 09:13:20:578,652509,652509,0,0,306407690220,3161519074,648790,3292,427,366,391572,0 46,2,2024-09-07 09:13:20:602,470100,470100,0,0,17298423,0,2920 46,3,2024-09-07 09:13:21:135,1,370,0,0,908,5125,370,0 47,0,2024-09-07 09:13:21:116,91884,0.5,92165,0.6,184623,0.4,243977,2.00 47,1,2024-09-07 09:13:20:574,653422,653422,0,0,305360111775,3155781287,648930,3677,815,366,391641,0 47,2,2024-09-07 09:13:20:910,470401,470401,0,0,17896914,0,4477 47,3,2024-09-07 09:13:21:121,1,370,2,0,529,3955,370,0 48,0,2024-09-07 09:13:21:499,91962,0.3,91567,0.4,182685,0.2,244330,1.50 48,1,2024-09-07 09:13:21:021,651435,651435,0,0,305860656050,3175634385,647377,3605,453,384,391710,0 48,2,2024-09-07 09:13:20:706,464135,464135,0,0,16065515,0,3031 48,3,2024-09-07 09:13:20:756,1,370,24,0,339,3083,370,0 49,0,2024-09-07 09:13:21:717,97003,0.3,95349,0.5,184413,0.2,253319,1.75 49,1,2024-09-07 09:13:21:020,650885,650885,0,0,304972762729,3173005333,646283,3397,1205,382,391809,0 49,2,2024-09-07 09:13:21:797,470591,470591,0,0,17998778,0,4426 49,3,2024-09-07 09:13:21:416,1,370,0,0,408,3673,370,0 50,0,2024-09-07 09:13:21:514,91828,0.3,90361,0.4,182416,0.2,242933,1.75 50,1,2024-09-07 09:13:21:010,652915,652915,0,0,306015227979,3162048146,648794,3734,387,368,391565,0 50,2,2024-09-07 09:13:21:075,469799,469799,0,0,16526723,0,2263 50,3,2024-09-07 09:13:21:291,1,370,1,0,335,3436,370,0 51,0,2024-09-07 09:13:21:684,94431,0.3,92312,0.5,180011,0.2,245088,1.75 51,1,2024-09-07 09:13:21:691,652963,652963,0,0,307066236633,3165158968,649678,2309,976,365,391706,0 51,2,2024-09-07 09:13:21:325,468082,468082,0,0,16316177,0,3337 51,3,2024-09-07 09:13:21:030,1,370,5,0,678,2939,370,0 52,0,2024-09-07 09:13:21:427,92633,0.5,92574,0.7,185020,0.4,245582,2.00 52,1,2024-09-07 09:13:20:575,650269,650269,0,0,304678722757,3187706134,641339,7616,1314,368,391722,0 52,2,2024-09-07 09:13:21:755,462740,462702,38,0,21354608,0,6742 52,3,2024-09-07 09:13:20:678,1,370,9,0,1782,5509,370,0 53,0,2024-09-07 09:13:21:730,93060,0.6,90462,0.8,189501,0.6,248645,2.25 53,1,2024-09-07 09:13:20:778,648789,648789,0,0,304834232579,3194321551,639135,7070,2584,367,391702,0 53,2,2024-09-07 09:13:21:297,469703,469703,0,0,18522836,0,2727 53,3,2024-09-07 09:13:20:697,1,370,3,0,308,3299,370,0 54,0,2024-09-07 09:13:21:615,91396,0.6,91739,0.8,182626,0.4,243923,2.25 54,1,2024-09-07 09:13:20:585,650580,650580,0,0,305614283821,3173080416,644657,5304,619,366,391659,0 54,2,2024-09-07 09:13:20:865,469357,469351,6,0,20935895,0,5382 54,3,2024-09-07 09:13:20:762,1,370,1,0,676,5479,370,0 55,0,2024-09-07 09:13:21:765,89997,0.6,93138,0.7,188035,0.5,245144,2.50 55,1,2024-09-07 09:13:20:768,650668,650668,0,0,304413332856,3164048715,643938,5821,909,365,391731,0 55,2,2024-09-07 09:13:20:730,466736,466736,0,0,20225429,0,3563 55,3,2024-09-07 09:13:20:674,1,370,1,0,304,3980,370,0 56,0,2024-09-07 09:13:21:572,94124,1.1,88721,1.1,182922,1.5,245162,2.50 56,1,2024-09-07 09:13:20:574,647364,647364,0,0,304096169875,3210216903,637461,7753,2150,381,391867,0 56,2,2024-09-07 09:13:21:301,467880,467880,0,0,21056098,0,3567 56,3,2024-09-07 09:13:21:065,1,370,11,0,705,4631,370,0 57,0,2024-09-07 09:13:20:962,92394,1.6,92314,1.3,184714,2.2,247295,3.00 57,1,2024-09-07 09:13:20:990,649461,649461,0,0,305178606466,3196927870,641787,6665,1009,366,392032,0 57,2,2024-09-07 09:13:21:316,466862,466862,0,0,22800884,0,3317 57,3,2024-09-07 09:13:21:745,1,370,2,0,359,4152,370,0 58,0,2024-09-07 09:13:20:557,92436,0.8,89800,0.9,187909,0.8,246817,2.50 58,1,2024-09-07 09:13:20:575,650066,650063,0,3,305382695324,3191131879,641308,7528,1227,367,391603,3 58,2,2024-09-07 09:13:21:072,468231,468231,0,0,21253290,0,2857 58,3,2024-09-07 09:13:21:069,1,370,17,0,1043,4141,370,0 59,0,2024-09-07 09:13:21:764,92678,0.8,92215,0.9,184291,0.8,245171,2.50 59,1,2024-09-07 09:13:20:806,649311,649311,0,0,305166470312,3193460366,640764,7011,1536,369,391586,0 59,2,2024-09-07 09:13:20:586,469408,469408,0,0,20828233,0,2867 59,3,2024-09-07 09:13:21:745,1,370,9,0,1015,4515,370,0 60,0,2024-09-07 09:13:21:703,91511,0.5,91446,0.7,183651,0.5,243653,1.75 60,1,2024-09-07 09:13:20:789,651838,651838,0,0,305999336329,3174190693,647662,3527,649,370,392031,0 60,2,2024-09-07 09:13:21:149,469048,469048,0,0,19860485,0,3811 60,3,2024-09-07 09:13:21:258,1,370,8,0,409,4312,370,0 61,0,2024-09-07 09:13:21:495,92015,0.6,92731,0.7,184490,0.5,246064,2.00 61,1,2024-09-07 09:13:20:778,650005,650005,0,0,304695429773,3190502274,642784,5895,1326,382,392127,0 61,2,2024-09-07 09:13:21:121,464354,464287,67,0,20013577,0,6411 61,3,2024-09-07 09:13:21:689,1,370,6,0,479,5217,370,0 62,0,2024-09-07 09:13:21:743,95263,0.5,97464,0.6,185851,0.4,252820,1.75 62,1,2024-09-07 09:13:21:112,654381,654375,0,6,306330327474,3159417935,650736,3379,260,365,391715,6 62,2,2024-09-07 09:13:21:644,468440,468439,1,0,19711962,0,5555 62,3,2024-09-07 09:13:21:143,1,370,28,0,465,2753,370,0 63,0,2024-09-07 09:13:21:516,91944,0.4,91709,0.6,183895,0.3,244143,1.75 63,1,2024-09-07 09:13:20:809,652105,652099,0,6,305371335426,3167218814,648160,3551,388,381,391800,6 63,2,2024-09-07 09:13:20:768,470008,470008,0,0,18175496,0,4369 63,3,2024-09-07 09:13:21:736,1,370,1,0,667,3924,370,0 64,0,2024-09-07 09:13:21:514,91031,0.5,91071,0.7,182237,0.5,241742,2.00 64,1,2024-09-07 09:13:20:753,651357,651357,0,0,305436415308,3180044666,645531,4149,1677,370,391783,0 64,2,2024-09-07 09:13:21:142,471530,471511,19,0,17816322,0,6121 64,3,2024-09-07 09:13:21:141,1,370,7,0,265,3338,370,0 65,0,2024-09-07 09:13:21:679,90487,0.6,90755,0.7,181403,0.6,241735,2.00 65,1,2024-09-07 09:13:20:862,649590,649590,0,0,304181626176,3178436718,644806,4245,539,382,391901,0 65,2,2024-09-07 09:13:21:696,465756,465756,0,0,19738318,0,3367 65,3,2024-09-07 09:13:21:694,1,370,3,0,347,3806,370,0 66,0,2024-09-07 09:13:21:778,94027,0.5,93980,0.7,187812,0.4,250481,2.00 66,1,2024-09-07 09:13:21:293,651620,651620,0,0,305900673999,3178432064,647879,3418,323,380,391653,0 66,2,2024-09-07 09:13:21:133,471381,471381,0,0,18015452,0,4956 66,3,2024-09-07 09:13:21:079,1,370,1,0,291,3452,370,0 67,0,2024-09-07 09:13:21:414,91769,0.5,91428,0.6,183355,0.4,243774,2.00 67,1,2024-09-07 09:13:20:768,651605,651604,0,1,305392026708,3178299287,647145,3675,784,380,391787,1 67,2,2024-09-07 09:13:20:583,470525,470525,0,0,17240959,0,2889 67,3,2024-09-07 09:13:21:757,1,370,13,0,338,3297,370,0 68,0,2024-09-07 09:13:20:563,92985,0.6,92634,0.7,184759,0.6,246667,2.00 68,1,2024-09-07 09:13:20:581,648835,648835,0,0,303881854254,3184355359,642703,4582,1550,381,391953,0 68,2,2024-09-07 09:13:21:047,465488,465423,65,0,22628482,0,6698 68,3,2024-09-07 09:13:20:738,1,370,13,0,417,3854,370,0 69,0,2024-09-07 09:13:21:724,92312,0.8,92891,0.9,184875,0.9,245553,2.25 69,1,2024-09-07 09:13:21:018,647565,647565,0,0,304339480940,3208288975,638974,6411,2180,384,391994,0 69,2,2024-09-07 09:13:21:740,467043,467043,0,0,22953143,0,3722 69,3,2024-09-07 09:13:20:768,1,370,4,0,698,5209,370,0 70,0,2024-09-07 09:13:21:564,92760,1.0,92858,1.1,186621,0.9,247751,2.50 70,1,2024-09-07 09:13:20:801,651263,651263,0,0,306022108736,3174789731,646025,4580,658,366,391725,0 70,2,2024-09-07 09:13:21:325,467518,467518,0,0,20493609,0,4044 70,3,2024-09-07 09:13:20:748,1,370,1,0,854,4284,370,0 71,0,2024-09-07 09:13:21:359,92572,0.9,92280,1.0,185356,1.1,247987,2.75 71,1,2024-09-07 09:13:21:602,649755,649755,0,0,305512145578,3186425161,642501,6520,734,368,391738,0 71,2,2024-09-07 09:13:21:070,468198,468198,0,0,20610547,0,4042 71,3,2024-09-07 09:13:21:753,1,370,0,0,644,4844,370,0 72,0,2024-09-07 09:13:21:029,96271,0.6,94129,0.7,183573,0.5,249796,2.00 72,1,2024-09-07 09:13:21:021,649575,649575,0,0,304233719200,3186115363,640846,7024,1705,369,391819,0 72,2,2024-09-07 09:13:21:754,467664,467664,0,0,23289888,0,3983 72,3,2024-09-07 09:13:21:758,1,370,8,0,564,5637,370,0 73,0,2024-09-07 09:13:21:102,89603,0.4,91886,0.6,187983,0.4,244253,2.00 73,1,2024-09-07 09:13:20:770,650496,650496,0,0,304759104406,3166566559,645824,4309,363,367,391858,0 73,2,2024-09-07 09:13:21:744,468302,468302,0,0,22670299,0,3701 73,3,2024-09-07 09:13:20:969,1,370,1,0,486,5252,370,0 74,0,2024-09-07 09:13:21:322,93731,0.4,96014,0.6,183177,0.4,248971,2.00 74,1,2024-09-07 09:13:20:635,650035,650035,0,0,304529654219,3177506811,643678,5094,1263,381,391681,0 74,2,2024-09-07 09:13:21:002,464556,464556,0,0,20931954,0,4253 74,3,2024-09-07 09:13:21:442,1,370,160,0,522,5160,370,0 75,0,2024-09-07 09:13:21:780,94218,0.4,93611,0.6,187909,0.4,250935,2.25 75,1,2024-09-07 09:13:21:627,649549,649549,0,0,304717315855,3180023040,643034,5689,826,380,391739,0 75,2,2024-09-07 09:13:21:348,468169,468169,0,0,22206123,0,4766 75,3,2024-09-07 09:13:21:066,1,370,13,0,702,5096,370,0 76,0,2024-09-07 09:13:20:589,91625,0.6,91002,0.7,182605,0.5,244460,2.25 76,1,2024-09-07 09:13:20:806,650810,650810,0,0,305136425048,3178455872,646876,3343,591,382,391692,0 76,2,2024-09-07 09:13:21:064,471513,471512,1,0,20267418,0,5144 76,3,2024-09-07 09:13:21:142,1,370,9,0,175,3439,370,0 77,0,2024-09-07 09:13:21:698,91641,0.6,91739,0.7,183614,0.6,243847,2.00 77,1,2024-09-07 09:13:20:827,650217,650217,0,0,304914944034,3183074234,644883,4842,492,381,391869,0 77,2,2024-09-07 09:13:21:285,469090,469090,0,0,19793646,0,3890 77,3,2024-09-07 09:13:21:095,1,370,18,0,401,4065,370,0 78,0,2024-09-07 09:13:21:756,91824,0.4,91317,0.6,183401,0.4,243620,2.00 78,1,2024-09-07 09:13:20:613,651200,651200,0,0,304732965053,3167839395,645265,4790,1145,367,391670,0 78,2,2024-09-07 09:13:21:405,465214,465214,0,0,16905583,0,3855 78,3,2024-09-07 09:13:21:136,1,370,0,0,181,3470,370,0 79,0,2024-09-07 09:13:21:357,91486,0.4,93758,0.6,192013,0.3,250082,2.00 79,1,2024-09-07 09:13:20:574,652716,652716,0,0,305595683558,3161000225,648128,3953,635,368,391682,0 79,2,2024-09-07 09:13:21:072,471209,471209,0,0,18111753,0,4195 79,3,2024-09-07 09:13:20:757,1,370,1,0,418,4619,370,0 80,0,2024-09-07 09:13:21:072,91251,0.5,94010,0.6,180095,0.5,242704,2.00 80,1,2024-09-07 09:13:21:618,650948,650948,0,0,305618485649,3176273869,647259,3491,198,368,391791,0 80,2,2024-09-07 09:13:21:094,471282,471282,0,0,17876110,0,4433 80,3,2024-09-07 09:13:20:579,1,370,8,0,190,4413,370,0 81,0,2024-09-07 09:13:21:562,92059,0.5,94265,0.7,179899,0.5,243807,2.00 81,1,2024-09-07 09:13:21:659,650155,650155,0,0,304269711984,3178417802,644922,4731,502,382,391879,0 81,2,2024-09-07 09:13:21:128,467231,467168,63,0,19509161,0,5932 81,3,2024-09-07 09:13:21:130,1,370,1,0,374,4087,370,0 82,0,2024-09-07 09:13:21:536,91908,0.5,92388,0.7,185227,0.4,245316,2.00 82,1,2024-09-07 09:13:20:587,651878,651874,0,4,305367162062,3175513064,647789,3287,798,381,391768,4 82,2,2024-09-07 09:13:21:696,467678,467678,0,0,16393956,0,3986 82,3,2024-09-07 09:13:21:752,1,370,2,0,363,3560,370,0 83,0,2024-09-07 09:13:21:526,93962,0.5,93699,0.6,187075,0.4,248965,2.00 83,1,2024-09-07 09:13:20:555,649829,649829,0,0,304813409450,3174984261,645446,4002,381,382,391709,0 83,2,2024-09-07 09:13:20:768,469154,469154,0,0,17188147,0,3393 83,3,2024-09-07 09:13:20:755,1,370,12,0,1260,4972,370,0 84,0,2024-09-07 09:13:21:783,92279,0.7,92136,0.9,184006,0.6,246332,2.25 84,1,2024-09-07 09:13:21:041,649371,649371,0,0,304515200141,3183001005,642362,5977,1032,367,391967,0 84,2,2024-09-07 09:13:20:577,468717,468717,0,0,22176199,0,4757 84,3,2024-09-07 09:13:21:143,1,370,8,0,908,5507,370,0 85,0,2024-09-07 09:13:21:057,89717,0.6,89766,0.8,190487,0.6,246384,2.25 85,1,2024-09-07 09:13:20:576,647913,647913,0,0,304744385948,3212214407,638915,7612,1386,381,392006,0 85,2,2024-09-07 09:13:20:868,467221,467221,0,0,21753692,0,3656 85,3,2024-09-07 09:13:20:686,1,370,1,0,789,4943,370,0 86,0,2024-09-07 09:13:20:905,91995,0.6,94604,0.8,180994,0.7,244998,2.25 86,1,2024-09-07 09:13:20:823,649668,649668,0,0,304846635995,3188423130,642594,6005,1069,366,391961,0 86,2,2024-09-07 09:13:20:860,466901,466900,1,0,22769449,0,5004 86,3,2024-09-07 09:13:20:592,1,370,1,0,308,5512,370,0 87,0,2024-09-07 09:13:21:292,93317,1.0,93220,0.9,186764,1.3,249594,2.25 87,1,2024-09-07 09:13:20:564,649435,649435,0,0,304081051162,3179191725,642703,5972,760,366,392076,0 87,2,2024-09-07 09:13:21:081,465379,465379,0,0,20305435,0,4045 87,3,2024-09-07 09:13:21:804,1,370,1,0,473,5238,370,0 88,0,2024-09-07 09:13:21:488,93582,0.4,94296,0.6,187649,0.4,250194,1.75 88,1,2024-09-07 09:13:20:584,647816,647816,0,0,304134266702,3186206430,639369,6567,1880,365,392084,0 88,2,2024-09-07 09:13:20:697,469602,469602,0,0,22750457,0,3583 88,3,2024-09-07 09:13:21:269,1,370,26,0,435,3890,370,0 89,0,2024-09-07 09:13:21:888,95641,0.5,92572,0.6,183226,0.4,248932,1.75 89,1,2024-09-07 09:13:20:566,648353,648353,0,0,304095314709,3196507107,640261,6735,1357,382,391866,0 89,2,2024-09-07 09:13:21:145,467908,467908,0,0,22086418,0,3173 89,3,2024-09-07 09:13:21:843,1,370,22,0,385,6482,370,0 90,0,2024-09-07 09:13:21:620,88745,0.5,91259,0.6,186045,0.4,242695,2.00 90,1,2024-09-07 09:13:20:596,649722,649722,0,0,304704729940,3191045390,643393,5823,506,380,391825,0 90,2,2024-09-07 09:13:21:405,467205,467205,0,0,23089947,0,3060 90,3,2024-09-07 09:13:20:930,1,370,2,0,246,4139,370,0 91,0,2024-09-07 09:13:20:996,92864,0.5,89852,0.6,187701,0.4,246514,1.75 91,1,2024-09-07 09:13:20:558,648255,648255,0,0,304404153855,3200820313,639855,7212,1188,381,392047,0 91,2,2024-09-07 09:13:21:333,465058,465058,0,0,20444791,0,2896 91,3,2024-09-07 09:13:20:606,1,370,2,0,216,3358,370,0 92,0,2024-09-07 09:13:21:458,95366,0.4,97744,0.6,186662,0.4,253075,1.75 92,1,2024-09-07 09:13:20:588,649688,649688,0,0,304305932611,3176650152,644856,4141,691,382,392136,0 92,2,2024-09-07 09:13:21:359,470465,470465,0,0,18644452,0,3259 92,3,2024-09-07 09:13:21:013,1,370,9,0,167,3148,370,0 93,0,2024-09-07 09:13:20:988,92219,0.4,94470,0.5,180375,0.3,244339,1.75 93,1,2024-09-07 09:13:20:807,650030,650030,0,0,304891946147,3179717350,643858,5140,1032,366,391776,0 93,2,2024-09-07 09:13:20:935,469347,469347,0,0,21896990,0,4845 93,3,2024-09-07 09:13:21:405,1,370,2,0,190,3468,370,0 94,0,2024-09-07 09:13:21:630,91206,0.4,92010,0.5,183864,0.3,243433,1.75 94,1,2024-09-07 09:13:20:576,650122,650122,0,0,304616413181,3175902668,646056,3875,191,381,391850,0 94,2,2024-09-07 09:13:20:778,468110,468110,0,0,18665321,0,2443 94,3,2024-09-07 09:13:21:689,1,370,3,0,264,4388,370,0 95,0,2024-09-07 09:13:21:346,91216,0.4,91267,0.5,182947,0.3,243590,1.75 95,1,2024-09-07 09:13:20:852,651783,651783,0,0,305601278609,3174716797,646751,4630,402,367,391713,0 95,2,2024-09-07 09:13:21:017,465453,465453,0,0,18146889,0,3308 95,3,2024-09-07 09:13:21:715,1,370,1,0,718,5560,370,0 96,0,2024-09-07 09:13:21:058,94494,0.3,94626,0.5,189119,0.3,251108,1.75 96,1,2024-09-07 09:13:21:583,649828,649828,0,0,305117943759,3181369467,645238,3792,798,384,391955,0 96,2,2024-09-07 09:13:21:281,470241,470241,0,0,18453097,0,4042 96,3,2024-09-07 09:13:21:146,1,370,3,0,411,3958,370,0 97,0,2024-09-07 09:13:21:321,91707,0.3,91447,0.5,183598,0.3,243536,1.50 97,1,2024-09-07 09:13:20:768,651467,651467,0,0,305890409794,3170235277,647218,3555,694,367,392140,0 97,2,2024-09-07 09:13:20:619,470145,470145,0,0,18333954,0,3679 97,3,2024-09-07 09:13:20:574,1,370,1,0,214,4152,370,0 98,0,2024-09-07 09:13:21:778,92563,0.3,92565,0.4,185829,0.2,246528,1.50 98,1,2024-09-07 09:13:20:578,650722,650722,0,0,305343502488,3174408087,647751,2852,119,382,391997,0 98,2,2024-09-07 09:13:20:776,467780,467780,0,0,17937156,0,3080 98,3,2024-09-07 09:13:20:698,1,370,2,0,840,5678,370,0 99,0,2024-09-07 09:13:21:459,92780,0.3,93436,0.5,185655,0.3,247684,1.75 99,1,2024-09-07 09:13:21:727,650691,650691,0,0,304754763594,3171367249,646288,3632,771,381,392069,0 99,2,2024-09-07 09:13:21:417,468643,468643,0,0,20808491,0,3424 99,3,2024-09-07 09:13:20:581,1,370,1,0,606,4052,370,0 100,0,2024-09-07 09:13:21:494,93386,0.8,93441,1.0,186347,0.9,249473,2.50 100,1,2024-09-07 09:13:20:740,647293,647293,0,0,303651183898,3207226243,637795,7604,1894,381,391989,0 100,2,2024-09-07 09:13:21:822,466446,466435,11,0,20992453,0,5417 100,3,2024-09-07 09:13:21:731,1,370,18,0,559,6198,370,0 101,0,2024-09-07 09:13:21:712,95423,1.1,92912,1.0,182461,1.0,249887,2.25 101,1,2024-09-07 09:13:20:564,647313,647313,0,0,303820861707,3198009767,637428,7769,2116,368,391847,0 101,2,2024-09-07 09:13:21:756,466151,466151,0,0,24443809,0,4644 101,3,2024-09-07 09:13:20:970,1,370,25,0,579,4962,370,0 102,0,2024-09-07 09:13:20:960,90804,0.7,93811,0.8,189804,0.7,248580,2.00 102,1,2024-09-07 09:13:21:144,648475,648475,0,0,304225380101,3194314571,640213,7005,1257,369,391891,0 102,2,2024-09-07 09:13:21:739,468474,468420,54,0,20726353,0,6768 102,3,2024-09-07 09:13:21:614,1,370,1,0,410,3786,370,0 103,0,2024-09-07 09:13:21:601,94904,0.6,94831,0.7,178898,0.6,246067,2.00 103,1,2024-09-07 09:13:21:626,647108,647108,0,0,303992961961,3207291354,636998,7525,2585,381,391829,0 103,2,2024-09-07 09:13:20:586,467524,467524,0,0,21577734,0,3173 103,3,2024-09-07 09:13:20:762,1,370,1,0,916,4325,370,0 104,0,2024-09-07 09:13:21:033,92393,0.7,92741,0.9,184035,0.6,248139,2.25 104,1,2024-09-07 09:13:21:601,649436,649436,0,0,303881984340,3192363799,639992,7672,1772,365,392168,0 104,2,2024-09-07 09:13:21:672,463932,463932,0,0,22013571,0,3941 104,3,2024-09-07 09:13:21:417,1,370,8,0,1245,7747,370,0 105,0,2024-09-07 09:13:21:050,92863,0.7,90424,1.0,189516,0.7,249527,2.50 105,1,2024-09-07 09:13:20:556,650006,650006,0,0,305216644455,3197828573,641617,7052,1337,366,392009,0 105,2,2024-09-07 09:13:21:331,468360,468360,0,0,21764661,0,3509 105,3,2024-09-07 09:13:21:305,1,370,7,0,399,5741,370,0 106,0,2024-09-07 09:13:20:942,88804,0.8,90916,0.9,186364,0.8,243858,2.50 106,1,2024-09-07 09:13:21:757,648854,648854,0,0,304509853648,3197837618,639120,8438,1296,369,391914,0 106,2,2024-09-07 09:13:20:757,468481,468481,0,0,21408143,0,2795 106,3,2024-09-07 09:13:20:683,1,370,1,0,470,4728,370,0 107,0,2024-09-07 09:13:21:108,91408,1.1,91570,1.0,182961,1.5,243696,2.25 107,1,2024-09-07 09:13:20:589,647777,647777,0,0,303327718677,3193815677,639399,7411,967,381,392234,0 107,2,2024-09-07 09:13:21:296,466941,466940,1,0,22234556,0,5024 107,3,2024-09-07 09:13:21:755,1,370,1,0,353,5046,370,0 108,0,2024-09-07 09:13:21:764,91170,0.4,91855,0.6,182495,0.4,244051,1.75 108,1,2024-09-07 09:13:21:298,650595,650595,0,0,305646067923,3184181629,645486,4590,519,368,391857,0 108,2,2024-09-07 09:13:21:756,463406,463406,0,0,20508964,0,4246 108,3,2024-09-07 09:13:21:340,1,370,9,0,749,7293,370,0 109,0,2024-09-07 09:13:21:776,94985,0.4,94199,0.5,188489,0.3,252634,1.75 109,1,2024-09-07 09:13:20:596,648315,648315,0,0,304014751485,3185224320,643069,4580,666,383,392132,0 109,2,2024-09-07 09:13:20:938,468041,468041,0,0,20198179,0,3617 109,3,2024-09-07 09:13:21:147,1,370,16,0,379,4359,370,0 110,0,2024-09-07 09:13:21:759,91281,0.4,88791,0.6,186076,0.3,243345,1.75 110,1,2024-09-07 09:13:21:643,651924,651924,0,0,305655754483,3168216775,647913,3031,980,369,392045,0 110,2,2024-09-07 09:13:21:325,469797,469797,0,0,20019457,0,4067 110,3,2024-09-07 09:13:20:702,1,370,12,0,722,5417,370,0 111,0,2024-09-07 09:13:21:443,92436,0.4,91698,0.6,183926,0.4,245145,1.75 111,1,2024-09-07 09:13:21:000,652026,652026,0,0,305812044646,3170582086,648634,3039,353,382,391690,0 111,2,2024-09-07 09:13:21:122,467188,467188,0,0,19264759,0,4823 111,3,2024-09-07 09:13:20:919,1,370,1,0,379,4321,370,0 112,0,2024-09-07 09:13:20:945,93146,0.3,92503,0.4,185543,0.2,245971,1.50 112,1,2024-09-07 09:13:20:824,651535,651535,0,0,305640359236,3168693424,647926,3025,584,380,391624,0 112,2,2024-09-07 09:13:21:132,466664,466663,1,0,17761396,0,5036 112,3,2024-09-07 09:13:20:603,1,370,118,0,282,3756,370,0 113,0,2024-09-07 09:13:20:876,93627,0.3,93803,0.4,187848,0.2,250275,1.50 113,1,2024-09-07 09:13:21:686,653471,653471,0,0,307042357666,3165844439,649961,2926,584,366,391661,0 113,2,2024-09-07 09:13:21:303,470613,470613,0,0,16472953,0,3813 113,3,2024-09-07 09:13:20:686,1,370,1,0,340,4216,370,0 114,0,2024-09-07 09:13:20:873,93167,0.3,93821,0.5,186461,0.2,248882,1.75 114,1,2024-09-07 09:13:20:729,651390,651390,0,0,305049078174,3168300917,645947,3846,1597,381,391556,0 114,2,2024-09-07 09:13:20:872,469706,469705,1,0,18263916,0,5069 114,3,2024-09-07 09:13:21:280,1,370,1,0,395,3056,370,0 115,0,2024-09-07 09:13:20:572,93167,0.3,93807,0.4,187136,0.2,248885,1.50 115,1,2024-09-07 09:13:20:581,650969,650969,0,0,305965965800,3176826841,645730,4396,843,382,391757,0 115,2,2024-09-07 09:13:21:127,469691,469691,0,0,16937516,0,3848 115,3,2024-09-07 09:13:21:002,1,370,9,0,159,2221,370,0 116,0,2024-09-07 09:13:21:762,91757,0.8,91682,0.9,183850,0.8,246236,2.00 116,1,2024-09-07 09:13:20:808,647957,647957,0,0,304974036988,3213922626,639830,5811,2316,380,392089,0 116,2,2024-09-07 09:13:21:758,467559,467559,0,0,22425456,0,3529 116,3,2024-09-07 09:13:20:922,1,370,13,0,415,4453,370,0 117,0,2024-09-07 09:13:21:041,93730,0.8,93318,0.8,186724,0.9,250108,2.00 117,1,2024-09-07 09:13:21:589,648635,648635,0,0,304095400592,3182242779,641650,6140,845,369,392033,0 117,2,2024-09-07 09:13:21:121,468763,468763,0,0,18651636,0,3700 117,3,2024-09-07 09:13:21:072,1,370,1,0,490,5181,370,0 118,0,2024-09-07 09:13:21:773,91065,0.5,93247,0.6,190576,0.4,249621,2.00 118,1,2024-09-07 09:13:20:590,648550,648550,0,0,304157950888,3194884935,639270,7246,2034,366,391907,0 118,2,2024-09-07 09:13:21:595,468134,468134,0,0,20940224,0,2781 118,3,2024-09-07 09:13:21:767,1,370,2,0,248,4188,370,0 119,0,2024-09-07 09:13:21:411,92570,0.6,93010,0.7,185887,0.6,247317,2.00 119,1,2024-09-07 09:13:20:552,649725,649725,0,0,304131577658,3180507689,642655,6228,842,367,391780,0 119,2,2024-09-07 09:13:21:261,469105,469105,0,0,19801710,0,4174 119,3,2024-09-07 09:13:21:325,1,370,4,0,1058,6551,370,0 120,0,2024-09-07 09:13:21:547,91126,0.6,90849,0.8,181809,0.6,243337,2.25 120,1,2024-09-07 09:13:20:862,649249,649249,0,0,304458562408,3192413725,642733,5956,560,368,391961,0 120,2,2024-09-07 09:13:20:783,468077,468076,1,0,23062355,0,5281 120,3,2024-09-07 09:13:21:294,1,370,34,0,241,4462,370,0 121,0,2024-09-07 09:13:21:691,92163,0.9,92157,0.9,184981,1.1,246121,2.25 121,1,2024-09-07 09:13:21:670,649693,649693,0,0,304511216116,3183372951,643636,5619,438,367,391840,0 121,2,2024-09-07 09:13:21:156,463448,463448,0,0,21890162,0,4127 121,3,2024-09-07 09:13:20:735,1,370,256,0,269,4343,370,0 122,0,2024-09-07 09:13:21:761,94042,0.7,91844,0.8,192806,0.8,252911,2.00 122,1,2024-09-07 09:13:20:865,648650,648650,0,0,303816958781,3185340228,640031,7356,1263,366,392130,0 122,2,2024-09-07 09:13:21:318,469077,469004,73,0,24593656,0,5989 122,3,2024-09-07 09:13:20:601,1,370,6,0,512,7010,370,0 123,0,2024-09-07 09:13:20:972,91241,0.6,89221,0.7,186106,0.7,243803,2.00 123,1,2024-09-07 09:13:20:560,648634,648634,0,0,304099791934,3203994459,636700,9768,2166,369,392039,0 123,2,2024-09-07 09:13:21:018,467953,467952,1,0,21141608,0,5215 123,3,2024-09-07 09:13:21:139,1,370,1,0,168,4070,370,0 124,0,2024-09-07 09:13:20:938,94392,0.4,94384,0.5,178040,0.3,244238,1.75 124,1,2024-09-07 09:13:21:028,651082,651082,0,0,304624284401,3166157668,646352,3819,911,367,392178,0 124,2,2024-09-07 09:13:21:012,469199,469146,53,0,18801189,0,6487 124,3,2024-09-07 09:13:20:789,1,370,26,0,490,3681,370,0 125,0,2024-09-07 09:13:21:419,91643,0.4,91244,0.5,183454,0.3,243972,1.75 125,1,2024-09-07 09:13:20:862,649925,649925,0,0,304824390168,3179736332,645028,4250,647,382,391702,0 125,2,2024-09-07 09:13:21:122,465801,465801,0,0,19289458,0,4534 125,3,2024-09-07 09:13:21:126,1,370,10,0,709,4755,370,0 126,0,2024-09-07 09:13:21:415,94313,0.4,96996,0.5,185748,0.4,251913,1.75 126,1,2024-09-07 09:13:20:564,651672,651672,0,0,305891917397,3167161735,647913,3471,288,365,391987,0 126,2,2024-09-07 09:13:20:620,470281,470281,0,0,19890690,0,4539 126,3,2024-09-07 09:13:20:910,1,370,7,0,207,4683,370,0 127,0,2024-09-07 09:13:21:590,91603,0.3,92027,0.5,183513,0.3,243630,1.50 127,1,2024-09-07 09:13:20:575,650960,650960,0,0,305504789985,3171569028,645482,4616,862,364,392187,0 127,2,2024-09-07 09:13:20:650,468986,468986,0,0,18110485,0,3897 127,3,2024-09-07 09:13:21:267,1,370,6,0,968,4197,370,0 128,0,2024-09-07 09:13:21:535,92946,0.3,93335,0.4,186304,0.2,246842,1.50 128,1,2024-09-07 09:13:21:610,650911,650911,0,0,304948630652,3164315743,646694,3845,372,367,391838,0 128,2,2024-09-07 09:13:21:383,468366,468366,0,0,17540102,0,2915 128,3,2024-09-07 09:13:20:786,1,370,5,0,1082,6033,370,0 129,0,2024-09-07 09:13:21:026,93443,0.3,92900,0.5,186456,0.3,247900,1.50 129,1,2024-09-07 09:13:20:571,648379,648379,0,0,304825204774,3189139680,642541,4581,1257,379,391835,0 129,2,2024-09-07 09:13:20:686,468271,468271,0,0,18589058,0,4031 129,3,2024-09-07 09:13:20:696,1,370,25,0,506,5150,370,0 130,0,2024-09-07 09:13:21:717,94108,0.5,93726,0.6,188732,0.5,250941,1.75 130,1,2024-09-07 09:13:20:595,651266,651266,0,0,305949176136,3178274531,647835,3151,280,381,391825,0 130,2,2024-09-07 09:13:21:129,469284,469284,0,0,18232554,0,4067 130,3,2024-09-07 09:13:21:291,1,370,1,0,535,4787,370,0 131,0,2024-09-07 09:13:21:925,93370,0.4,93862,0.5,188885,0.3,250000,1.75 131,1,2024-09-07 09:13:21:824,650737,650737,0,0,304665586139,3178496581,645993,3996,748,381,391865,0 131,2,2024-09-07 09:13:20:579,470847,470847,0,0,16587619,0,2415 131,3,2024-09-07 09:13:21:689,1,370,11,0,392,3705,370,0 132,0,2024-09-07 09:13:21:414,93305,0.4,94257,0.6,187430,0.4,249385,1.75 132,1,2024-09-07 09:13:20:583,647745,647745,0,0,303686402404,3195995768,638607,7609,1529,381,392097,0 132,2,2024-09-07 09:13:20:700,467771,467771,0,0,22613232,0,4606 132,3,2024-09-07 09:13:21:689,1,370,261,0,804,6658,370,0 133,0,2024-09-07 09:13:21:526,89639,0.4,91700,0.6,188209,0.4,244775,1.75 133,1,2024-09-07 09:13:20:613,647615,647615,0,0,304157854867,3203101920,638905,7576,1134,383,391914,0 133,2,2024-09-07 09:13:21:094,467813,467813,0,0,23252731,0,4315 133,3,2024-09-07 09:13:21:307,1,370,23,0,479,3828,370,0 134,0,2024-09-07 09:13:21:003,93491,0.5,93220,0.7,186488,0.5,249471,2.00 134,1,2024-09-07 09:13:20:589,648976,648976,0,0,304126131338,3189976179,640393,6701,1882,366,391718,0 134,2,2024-09-07 09:13:21:756,464416,464416,0,0,19260862,0,3847 134,3,2024-09-07 09:13:20:758,1,370,3,0,739,4584,370,0 135,0,2024-09-07 09:13:21:101,90932,0.7,90867,0.8,192793,0.8,248631,2.00 135,1,2024-09-07 09:13:21:593,648634,648634,0,0,304211374059,3200180429,639903,7492,1239,380,391805,0 135,2,2024-09-07 09:13:20:693,470105,470105,0,0,21447470,0,3981 135,3,2024-09-07 09:13:21:003,1,370,1,0,299,3005,370,0 136,0,2024-09-07 09:13:21:658,92247,0.5,92568,0.7,183965,0.5,245654,2.00 136,1,2024-09-07 09:13:21:450,648678,648678,0,0,304476723292,3193261813,641443,6537,698,382,391685,0 136,2,2024-09-07 09:13:21:140,470314,470314,0,0,21019756,0,3506 136,3,2024-09-07 09:13:21:121,1,370,8,0,637,4085,370,0 137,0,2024-09-07 09:13:20:932,94345,0.6,91790,0.7,180487,0.7,245012,2.00 137,1,2024-09-07 09:13:20:587,648317,648317,0,0,304256761142,3193652241,637914,8464,1939,366,391898,0 137,2,2024-09-07 09:13:21:708,467497,467497,0,0,23201575,0,3185 137,3,2024-09-07 09:13:20:788,1,370,3,0,382,4160,370,0 138,0,2024-09-07 09:13:21:759,90884,1.2,90969,1.0,182775,1.6,242965,2.25 138,1,2024-09-07 09:13:21:690,649483,649483,0,0,304129528084,3191332738,640175,7708,1600,368,391954,0 138,2,2024-09-07 09:13:20:589,463966,463966,0,0,20674880,0,4988 138,3,2024-09-07 09:13:20:618,1,370,3,0,1160,4985,370,0 139,0,2024-09-07 09:13:21:374,93444,1.3,93723,1.0,187496,1.9,250108,2.25 139,1,2024-09-07 09:13:20:584,646463,646463,0,0,302792825619,3215940913,634135,9451,2877,381,392058,0 139,2,2024-09-07 09:13:20:718,466610,466610,0,0,23594294,0,3097 139,3,2024-09-07 09:13:21:663,1,370,0,0,257,4173,370,0 140,0,2024-09-07 09:13:21:620,91632,0.3,91178,0.5,183046,0.2,243442,1.75 140,1,2024-09-07 09:13:21:554,652861,652861,0,0,306278626501,3157057655,649585,2837,439,365,391606,0 140,2,2024-09-07 09:13:20:687,469870,469869,1,0,18569643,0,5036 140,3,2024-09-07 09:13:20:768,1,370,11,0,297,3219,370,0 141,0,2024-09-07 09:13:21:704,92265,0.3,94730,0.5,180927,0.3,245164,1.75 141,1,2024-09-07 09:13:20:860,651411,651411,0,0,305594695326,3171685716,646844,3704,863,379,391614,0 141,2,2024-09-07 09:13:21:686,468424,468424,0,0,18172143,0,3360 141,3,2024-09-07 09:13:21:045,1,370,1,0,391,4107,370,0 142,0,2024-09-07 09:13:21:316,93146,0.3,92540,0.5,184900,0.3,246464,1.75 142,1,2024-09-07 09:13:20:591,650619,650619,0,0,303789748881,3165319148,646642,3624,353,382,392102,0 142,2,2024-09-07 09:13:21:301,466067,466035,32,0,19437695,0,6028 142,3,2024-09-07 09:13:21:751,1,370,5,0,484,4308,370,0 143,0,2024-09-07 09:13:21:398,93903,0.4,93819,0.5,187886,0.4,250369,1.75 143,1,2024-09-07 09:13:20:557,651884,651884,0,0,305615188702,3167796223,648094,3637,153,367,391705,0 143,2,2024-09-07 09:13:20:975,469371,469371,0,0,19050211,0,3123 143,3,2024-09-07 09:13:21:170,1,370,42,0,303,4473,370,0 144,0,2024-09-07 09:13:21:508,89950,0.6,92307,0.8,188367,0.5,246769,2.00 144,1,2024-09-07 09:13:20:575,648289,648289,0,0,303748759410,3183239247,642702,4379,1208,381,391649,0 144,2,2024-09-07 09:13:21:755,470209,470209,0,0,17923840,0,3673 144,3,2024-09-07 09:13:21:740,1,370,22,0,249,3667,370,0 145,0,2024-09-07 09:13:21:370,90118,0.6,90144,0.8,191145,0.5,246042,2.25 145,1,2024-09-07 09:13:20:557,648152,648152,0,0,304355723487,3197766192,640574,6435,1143,382,391759,0 145,2,2024-09-07 09:13:21:430,466658,466658,0,0,21198335,0,3903 145,3,2024-09-07 09:13:20:905,1,370,1,0,622,5465,370,0 146,0,2024-09-07 09:13:21:595,92151,0.5,91512,0.7,184440,0.5,244877,2.00 146,1,2024-09-07 09:13:21:903,649280,649280,0,0,304682005445,3201779824,639179,8090,2011,367,391770,0 146,2,2024-09-07 09:13:21:696,467041,467041,0,0,21080949,0,2730 146,3,2024-09-07 09:13:21:275,1,370,45,0,1520,7555,370,0 147,0,2024-09-07 09:13:21:716,93781,0.6,93424,0.7,186194,0.5,249659,2.00 147,1,2024-09-07 09:13:21:389,651102,651102,0,0,305811046552,3178832673,645380,4921,801,368,391791,0 147,2,2024-09-07 09:13:21:018,466479,466479,0,0,18431641,0,2789 147,3,2024-09-07 09:13:20:913,1,370,1,0,730,5255,370,0 0,0,2024-09-07 09:13:31:724,89069,0.6,89128,0.7,189108,0.7,244176,2.00 0,1,2024-09-07 09:13:30:802,651539,651539,0,0,305926713218,3196593040,647278,4015,246,369,391896,0 0,2,2024-09-07 09:13:31:066,471099,471099,0,0,18590104,0,4480 0,3,2024-09-07 09:13:30:982,1,371,12,0,431,5251,371,0 1,0,2024-09-07 09:13:31:870,92732,0.7,92110,0.9,184972,0.8,246967,2.00 1,1,2024-09-07 09:13:30:568,650666,650666,0,0,305569100080,3196356042,644990,4446,1230,370,391857,0 1,2,2024-09-07 09:13:30:668,465074,465074,0,0,17962533,0,3380 1,3,2024-09-07 09:13:31:310,1,371,5,0,262,4327,371,0 2,0,2024-09-07 09:13:31:594,94851,0.5,95116,0.7,189357,0.6,253544,2.00 2,1,2024-09-07 09:13:30:860,652848,652848,0,0,306318273483,3178265141,649902,2653,293,380,391745,0 2,2,2024-09-07 09:13:31:266,471092,471092,0,0,17695305,0,3594 2,3,2024-09-07 09:13:30:689,1,371,2,0,357,3752,371,0 3,0,2024-09-07 09:13:31:756,91784,0.4,91606,0.6,183202,0.4,243895,1.75 3,1,2024-09-07 09:13:31:618,651449,651449,0,0,305352319438,3177375338,646214,4611,624,380,391591,0 3,2,2024-09-07 09:13:31:142,471158,471135,23,0,18240556,0,5851 3,3,2024-09-07 09:13:31:758,1,371,25,0,207,2332,371,0 4,0,2024-09-07 09:13:31:925,89059,0.4,91452,0.5,186430,0.3,243578,1.75 4,1,2024-09-07 09:13:30:853,649551,649551,0,0,304859966254,3214343678,640500,7303,1748,370,391992,0 4,2,2024-09-07 09:13:31:027,468213,468213,0,0,21562365,0,4528 4,3,2024-09-07 09:13:31:027,1,371,19,0,448,5050,371,0 5,0,2024-09-07 09:13:31:445,91469,0.4,91954,0.6,183391,0.4,243693,1.75 5,1,2024-09-07 09:13:30:786,650817,650817,0,0,305329460324,3208844974,642901,6448,1468,367,392005,0 5,2,2024-09-07 09:13:31:829,464079,464079,0,0,20180621,0,2432 5,3,2024-09-07 09:13:31:738,1,371,2,0,457,5157,371,0 6,0,2024-09-07 09:13:30:922,95059,0.4,94625,0.6,189056,0.3,253107,1.75 6,1,2024-09-07 09:13:30:750,651081,651081,0,0,305164810213,3186889051,644214,5781,1086,379,391694,0 6,2,2024-09-07 09:13:31:124,470413,470395,18,0,20829493,0,5535 6,3,2024-09-07 09:13:31:273,1,371,4,0,340,3992,371,0 7,0,2024-09-07 09:13:31:541,91163,0.5,91759,0.6,182812,0.5,242962,2.00 7,1,2024-09-07 09:13:30:860,650092,650092,0,0,305020593379,3203145599,640930,7767,1395,382,391747,0 7,2,2024-09-07 09:13:30:773,471300,471300,0,0,20017005,0,4791 7,3,2024-09-07 09:13:30:853,1,371,0,0,398,3996,371,0 8,0,2024-09-07 09:13:31:416,92935,0.4,92688,0.5,185650,0.3,247126,1.75 8,1,2024-09-07 09:13:31:021,649073,649073,0,0,305415003754,3213881135,638173,8309,2591,366,392144,0 8,2,2024-09-07 09:13:30:794,465059,465059,0,0,23938008,0,3220 8,3,2024-09-07 09:13:30:592,1,371,8,0,538,6194,371,0 9,0,2024-09-07 09:13:31:107,93322,0.4,90543,0.5,189498,0.3,248083,1.75 9,1,2024-09-07 09:13:30:582,649682,649682,0,0,304637701305,3209333936,640153,7394,2135,369,392001,0 9,2,2024-09-07 09:13:31:082,467456,467456,0,0,21471823,0,3360 9,3,2024-09-07 09:13:31:774,1,371,6,0,496,5282,371,0 10,0,2024-09-07 09:13:31:607,94183,0.3,93640,0.5,187966,0.2,250803,1.75 10,1,2024-09-07 09:13:30:583,650334,650334,0,0,305156500348,3195836780,641713,7344,1277,381,391741,0 10,2,2024-09-07 09:13:30:770,469572,469572,0,0,23198661,0,4264 10,3,2024-09-07 09:13:30:879,1,371,1,0,296,3295,371,0 11,0,2024-09-07 09:13:31:007,93403,0.5,90694,0.6,189589,0.4,250137,1.75 11,1,2024-09-07 09:13:30:571,651174,651174,0,0,305293205690,3205367737,640682,8012,2480,383,391756,0 11,2,2024-09-07 09:13:31:123,469566,469566,0,0,21100249,0,4130 11,3,2024-09-07 09:13:31:309,1,371,1,0,843,5539,371,0 12,0,2024-09-07 09:13:30:942,94268,0.4,94381,0.5,188345,0.3,250175,1.75 12,1,2024-09-07 09:13:30:937,651286,651286,0,0,305673696787,3184248266,646400,4392,494,370,391870,0 12,2,2024-09-07 09:13:31:555,470304,470304,0,0,20171943,0,3469 12,3,2024-09-07 09:13:31:064,1,371,13,0,386,5837,371,0 13,0,2024-09-07 09:13:31:345,92783,0.4,92468,0.6,184706,0.4,246145,1.75 13,1,2024-09-07 09:13:31:547,650218,650218,0,0,305309640055,3205868759,644285,4522,1411,382,391740,0 13,2,2024-09-07 09:13:30:611,471185,471185,0,0,18753479,0,3287 13,3,2024-09-07 09:13:31:762,1,371,4,0,522,5774,371,0 14,0,2024-09-07 09:13:30:569,93753,0.4,94527,0.6,187283,0.3,249726,1.75 14,1,2024-09-07 09:13:31:567,654592,654592,0,0,306865009292,3175167471,649834,4399,359,364,391673,0 14,2,2024-09-07 09:13:30:774,466732,466702,30,0,19914193,0,6104 14,3,2024-09-07 09:13:31:122,1,371,13,0,1168,4282,371,0 15,0,2024-09-07 09:13:31:560,94063,0.4,94106,0.6,188365,0.3,250601,1.75 15,1,2024-09-07 09:13:31:611,651757,651757,0,0,306113461521,3183784955,647395,3538,824,381,391619,0 15,2,2024-09-07 09:13:31:002,471811,471811,0,0,16746708,0,3622 15,3,2024-09-07 09:13:31:408,1,371,3,0,1126,6715,371,0 16,0,2024-09-07 09:13:31:000,92273,0.5,92643,0.7,185012,0.5,246328,2.00 16,1,2024-09-07 09:13:30:609,652501,652501,0,0,305256101311,3186044851,647662,4451,388,370,391756,0 16,2,2024-09-07 09:13:31:491,470593,470593,0,0,19383434,0,4719 16,3,2024-09-07 09:13:31:154,1,371,11,0,317,4862,371,0 17,0,2024-09-07 09:13:31:780,94506,0.6,92195,0.8,180520,0.7,244972,2.00 17,1,2024-09-07 09:13:30:582,650429,650429,0,0,305320882891,3200847571,643955,5213,1261,368,392075,0 17,2,2024-09-07 09:13:31:666,472273,472273,0,0,19133488,0,2857 17,3,2024-09-07 09:13:30:582,1,371,2,0,298,5915,371,0 18,0,2024-09-07 09:13:30:945,90818,0.6,91267,0.8,181906,0.6,242987,2.25 18,1,2024-09-07 09:13:31:639,653338,653338,0,0,306509302839,3174596509,649757,3264,317,367,391649,0 18,2,2024-09-07 09:13:31:767,467686,467686,0,0,17276303,0,3541 18,3,2024-09-07 09:13:30:896,1,371,1,0,163,3056,371,0 19,0,2024-09-07 09:13:31:543,94587,0.5,95228,0.7,188639,0.5,251566,2.00 19,1,2024-09-07 09:13:30:567,653283,653283,0,0,306798821452,3180798561,648014,4430,839,367,391777,0 19,2,2024-09-07 09:13:31:775,472789,472789,0,0,16075524,0,3988 19,3,2024-09-07 09:13:31:130,1,371,1,0,524,2762,371,0 20,0,2024-09-07 09:13:31:409,91388,0.5,91399,0.6,182746,0.4,243581,2.00 20,1,2024-09-07 09:13:30:602,651150,651150,0,0,305969287128,3196192920,646034,4399,717,369,391886,0 20,2,2024-09-07 09:13:30:934,470842,470842,0,0,19931034,0,3721 20,3,2024-09-07 09:13:30:601,1,371,9,0,414,5677,371,0 21,0,2024-09-07 09:13:31:171,92166,0.5,92219,0.6,184226,0.5,244354,2.00 21,1,2024-09-07 09:13:31:566,649413,649413,0,0,303491139896,3197981692,640338,7088,1987,368,392016,0 21,2,2024-09-07 09:13:31:111,467120,467120,0,0,23196435,0,3747 21,3,2024-09-07 09:13:31:435,1,371,24,0,713,4960,371,0 22,0,2024-09-07 09:13:31:716,92101,0.5,92413,0.7,185113,0.5,244578,2.00 22,1,2024-09-07 09:13:31:023,650729,650729,0,0,304433549091,3198234378,641218,7497,2014,382,391667,0 22,2,2024-09-07 09:13:30:761,465598,465572,26,0,19149791,0,6328 22,3,2024-09-07 09:13:31:077,1,371,0,0,228,3191,371,0 23,0,2024-09-07 09:13:31:382,93740,0.5,93472,0.7,187421,0.4,249992,2.25 23,1,2024-09-07 09:13:31:003,651658,651658,0,0,306218886067,3215414737,640141,7967,3550,365,391690,0 23,2,2024-09-07 09:13:31:092,471667,471667,0,0,18719239,0,3010 23,3,2024-09-07 09:13:31:760,1,371,1,0,720,5193,371,0 24,0,2024-09-07 09:13:30:867,93525,0.4,93368,0.6,187290,0.4,248259,1.75 24,1,2024-09-07 09:13:30:602,650377,650377,0,0,304874149992,3197481517,642898,5898,1581,367,392269,0 24,2,2024-09-07 09:13:31:068,469302,469302,0,0,22854199,0,3607 24,3,2024-09-07 09:13:31:696,1,371,19,0,468,5123,371,0 25,0,2024-09-07 09:13:31:382,95898,0.4,93427,0.6,183270,0.4,249757,1.75 25,1,2024-09-07 09:13:30:568,650336,650336,0,0,304701313152,3203006233,640727,7789,1820,371,391928,0 25,2,2024-09-07 09:13:31:614,467874,467874,0,0,22839168,0,3978 25,3,2024-09-07 09:13:31:001,1,371,6,0,255,3685,371,0 26,0,2024-09-07 09:13:31:734,92296,0.4,90068,0.6,189036,0.3,246312,1.75 26,1,2024-09-07 09:13:31:557,651454,651454,0,0,304947137788,3193717278,641994,7914,1546,380,391748,0 26,2,2024-09-07 09:13:30:873,469425,469425,0,0,22861679,0,2809 26,3,2024-09-07 09:13:31:720,1,371,5,0,796,4645,371,0 27,0,2024-09-07 09:13:31:722,94165,0.4,94263,0.6,187024,0.4,249898,2.00 27,1,2024-09-07 09:13:31:676,653429,653429,0,0,306364110384,3188246379,647605,5004,820,381,391626,0 27,2,2024-09-07 09:13:30:874,465474,465409,65,0,21846858,0,5699 27,3,2024-09-07 09:13:31:015,1,371,0,0,564,3750,371,0 28,0,2024-09-07 09:13:31:401,94445,0.4,94116,0.6,188442,0.3,252287,2.00 28,1,2024-09-07 09:13:30:805,652707,652707,0,0,306922186912,3195530585,648040,3906,761,383,391698,0 28,2,2024-09-07 09:13:31:766,470288,470288,0,0,19422742,0,2915 28,3,2024-09-07 09:13:31:790,1,371,12,0,502,4074,371,0 29,0,2024-09-07 09:13:31:380,95864,0.3,93263,0.6,183098,0.3,249635,1.75 29,1,2024-09-07 09:13:31:574,653992,653992,0,0,306923340415,3175195042,649646,3659,687,369,391753,0 29,2,2024-09-07 09:13:30:873,469190,469190,0,0,18609168,0,4986 29,3,2024-09-07 09:13:30:963,1,371,1,0,459,4484,371,0 30,0,2024-09-07 09:13:31:481,91322,0.5,88798,0.7,185912,0.5,243105,2.00 30,1,2024-09-07 09:13:30:572,653889,653889,0,0,306561547664,3180509422,649062,4117,710,381,391672,0 30,2,2024-09-07 09:13:31:279,470553,470553,0,0,17629698,0,3161 30,3,2024-09-07 09:13:30:582,1,371,3,0,519,3651,371,0 31,0,2024-09-07 09:13:31:760,92200,0.4,92688,0.6,185247,0.3,246876,1.75 31,1,2024-09-07 09:13:30:563,655804,655804,0,0,307494642128,3151052007,653255,1990,559,356,391712,0 31,2,2024-09-07 09:13:31:281,465796,465796,0,0,19178791,0,3525 31,3,2024-09-07 09:13:31:706,1,371,1,0,220,3134,371,0 32,0,2024-09-07 09:13:31:450,94962,0.3,95411,0.5,190414,0.2,253925,1.50 32,1,2024-09-07 09:13:30:803,652549,652549,0,0,305887528162,3175822112,648885,3183,481,381,391646,0 32,2,2024-09-07 09:13:30:936,471677,471677,0,0,16559585,0,3155 32,3,2024-09-07 09:13:31:016,1,371,12,0,227,2573,371,0 33,0,2024-09-07 09:13:31:504,91925,0.3,91494,0.4,183637,0.2,244196,1.50 33,1,2024-09-07 09:13:30:583,654008,654008,0,0,306458691820,3173145483,649128,3960,920,369,391730,0 33,2,2024-09-07 09:13:30:767,472017,471982,35,0,19385674,0,7012 33,3,2024-09-07 09:13:30:901,1,371,1,0,329,3730,371,0 34,0,2024-09-07 09:13:30:943,91913,0.3,94543,0.4,180876,0.2,242887,1.75 34,1,2024-09-07 09:13:31:044,655329,655329,0,0,307849983739,3162657229,653901,1419,9,367,391562,0 34,2,2024-09-07 09:13:30:766,470145,470145,0,0,18159643,0,3577 34,3,2024-09-07 09:13:31:689,1,371,0,0,299,2577,371,0 35,0,2024-09-07 09:13:30:861,90740,0.3,91167,0.5,183474,0.3,244195,1.75 35,1,2024-09-07 09:13:31:066,653295,653295,0,0,306435030177,3172922991,649628,2885,782,382,391769,0 35,2,2024-09-07 09:13:31:588,466147,466147,0,0,18108730,0,2653 35,3,2024-09-07 09:13:30:907,1,371,3,0,418,4389,371,0 36,0,2024-09-07 09:13:31:554,95119,0.4,94980,0.6,190069,0.4,252952,2.00 36,1,2024-09-07 09:13:30:583,652285,652285,0,0,305774209343,3190845102,644458,6142,1685,366,391759,0 36,2,2024-09-07 09:13:31:753,471506,471506,0,0,20803734,0,3875 36,3,2024-09-07 09:13:30:865,1,371,12,0,416,5748,371,0 37,0,2024-09-07 09:13:31:372,91336,0.5,91228,0.6,182673,0.4,243353,2.00 37,1,2024-09-07 09:13:30:574,652058,652051,0,7,305317194906,3188253068,644640,5251,2160,365,391570,0 37,2,2024-09-07 09:13:31:142,469477,469462,15,0,20900148,0,5815 37,3,2024-09-07 09:13:31:772,1,371,1,0,888,5789,371,0 38,0,2024-09-07 09:13:31:439,92158,0.5,89527,0.7,187400,0.4,244838,2.00 38,1,2024-09-07 09:13:31:607,653139,653139,0,0,306490562376,3195437866,645178,6680,1281,368,391821,0 38,2,2024-09-07 09:13:30:769,468552,468505,47,0,20635257,0,6710 38,3,2024-09-07 09:13:31:001,1,371,0,0,689,5037,371,0 39,0,2024-09-07 09:13:31:765,94868,0.6,92868,0.7,180834,0.5,246944,2.00 39,1,2024-09-07 09:13:30:728,651877,651877,0,0,305902062822,3200495569,642431,7746,1700,365,391658,0 39,2,2024-09-07 09:13:31:443,468215,468215,0,0,18967057,0,2689 39,3,2024-09-07 09:13:30:715,1,371,1,0,324,4559,371,0 40,0,2024-09-07 09:13:31:722,93158,0.8,93866,1.0,187384,0.8,249973,2.75 40,1,2024-09-07 09:13:30:583,652318,652318,0,0,304571156438,3186903146,643211,7493,1614,368,391668,0 40,2,2024-09-07 09:13:31:307,468544,468543,1,0,22564406,0,5137 40,3,2024-09-07 09:13:31:142,1,371,8,0,1028,5206,371,0 41,0,2024-09-07 09:13:31:030,92961,1.6,95135,1.3,181686,2.6,246868,3.25 41,1,2024-09-07 09:13:30:770,650821,650821,0,0,305515933536,3194393050,642981,7073,767,369,391742,0 41,2,2024-09-07 09:13:30:766,468679,468679,0,0,21533424,0,4277 41,3,2024-09-07 09:13:31:677,1,371,4,0,366,3693,371,0 42,0,2024-09-07 09:13:31:478,92803,0.9,92846,1.0,185974,1.1,246115,2.75 42,1,2024-09-07 09:13:31:474,650054,650054,0,0,304725325253,3200994742,639942,8347,1765,380,391675,0 42,2,2024-09-07 09:13:31:133,468569,468569,0,0,22009984,0,3790 42,3,2024-09-07 09:13:31:011,1,371,0,0,446,3164,371,0 43,0,2024-09-07 09:13:30:926,91004,0.9,88631,1.0,185751,0.9,243589,2.50 43,1,2024-09-07 09:13:30:578,651674,651674,0,0,306434223346,3201738218,642335,7610,1729,366,391696,0 43,2,2024-09-07 09:13:31:738,469712,469712,0,0,21660898,0,3812 43,3,2024-09-07 09:13:31:775,1,371,1,0,467,4388,371,0 44,0,2024-09-07 09:13:30:871,93691,0.4,94100,0.6,187921,0.4,250313,1.75 44,1,2024-09-07 09:13:30:563,653456,653456,0,0,306296026656,3163719304,648359,4050,1047,356,391809,0 44,2,2024-09-07 09:13:31:268,466165,466165,0,0,17544120,0,4344 44,3,2024-09-07 09:13:31:094,1,371,8,0,817,4628,371,0 45,0,2024-09-07 09:13:31:774,93118,0.4,90908,0.7,190575,0.4,250780,2.00 45,1,2024-09-07 09:13:31:013,652853,652853,0,0,306020365666,3176785359,648412,3965,476,382,391917,0 45,2,2024-09-07 09:13:31:274,471134,471134,0,0,18100424,0,3596 45,3,2024-09-07 09:13:30:935,1,371,33,0,271,3469,371,0 46,0,2024-09-07 09:13:30:955,91698,0.5,91756,0.7,183624,0.5,244079,2.00 46,1,2024-09-07 09:13:30:583,654122,654122,0,0,307054430442,3168370637,650391,3304,427,366,391572,0 46,2,2024-09-07 09:13:30:605,471279,471279,0,0,17390565,0,2920 46,3,2024-09-07 09:13:31:131,1,371,1,0,908,5126,371,0 47,0,2024-09-07 09:13:31:114,92068,0.5,92321,0.6,184982,0.4,244448,2.00 47,1,2024-09-07 09:13:30:567,655214,655214,0,0,306267852760,3165083825,650722,3677,815,366,391641,0 47,2,2024-09-07 09:13:30:917,471682,471682,0,0,17961044,0,4477 47,3,2024-09-07 09:13:31:121,1,371,0,0,529,3955,371,0 48,0,2024-09-07 09:13:31:500,92303,0.3,91902,0.4,183357,0.2,245206,1.50 48,1,2024-09-07 09:13:31:023,653170,653170,0,0,306704874628,3184353620,649111,3606,453,384,391710,0 48,2,2024-09-07 09:13:30:725,465180,465180,0,0,16093685,0,3031 48,3,2024-09-07 09:13:30:760,1,371,8,0,339,3091,371,0 49,0,2024-09-07 09:13:31:736,97439,0.3,95758,0.5,185288,0.2,254366,1.75 49,1,2024-09-07 09:13:31:023,652665,652665,0,0,305807875873,3181686540,648063,3397,1205,382,391809,0 49,2,2024-09-07 09:13:31:804,471867,471867,0,0,18044683,0,4426 49,3,2024-09-07 09:13:31:442,1,371,1,0,408,3674,371,0 50,0,2024-09-07 09:13:31:525,91935,0.3,90488,0.4,182681,0.2,243255,1.75 50,1,2024-09-07 09:13:31:012,654667,654667,0,0,306812218561,3170176651,650546,3734,387,368,391565,0 50,2,2024-09-07 09:13:31:066,471241,471241,0,0,16583887,0,2263 50,3,2024-09-07 09:13:31:302,1,371,1,0,335,3437,371,0 51,0,2024-09-07 09:13:31:688,94553,0.3,92439,0.5,180264,0.2,245404,1.75 51,1,2024-09-07 09:13:31:680,654776,654776,0,0,307855308969,3173360172,651491,2309,976,365,391706,0 51,2,2024-09-07 09:13:31:316,469536,469536,0,0,16366039,0,3337 51,3,2024-09-07 09:13:31:028,1,371,0,0,678,2939,371,0 52,0,2024-09-07 09:13:31:432,92643,0.5,92587,0.7,185057,0.4,245582,2.00 52,1,2024-09-07 09:13:30:577,652005,652005,0,0,305610450145,3197178624,643075,7616,1314,368,391722,0 52,2,2024-09-07 09:13:31:768,463453,463415,38,0,21405823,0,6742 52,3,2024-09-07 09:13:30:682,1,371,2,0,1782,5511,371,0 53,0,2024-09-07 09:13:31:770,93410,0.6,90786,0.8,190163,0.6,249557,2.25 53,1,2024-09-07 09:13:30:834,650772,650772,0,0,305700863148,3204972757,640679,7416,2677,367,391702,0 53,2,2024-09-07 09:13:31:322,471231,471231,0,0,18569613,0,2727 53,3,2024-09-07 09:13:30:697,1,371,1,0,308,3300,371,0 54,0,2024-09-07 09:13:31:614,91493,0.6,91837,0.8,182807,0.4,244161,2.25 54,1,2024-09-07 09:13:30:583,652295,652295,0,0,306456805988,3181937860,646372,5304,619,366,391659,0 54,2,2024-09-07 09:13:30:873,470958,470952,6,0,20977420,0,5382 54,3,2024-09-07 09:13:30:766,1,371,6,0,676,5485,371,0 55,0,2024-09-07 09:13:31:761,90247,0.6,93375,0.7,188514,0.5,245744,2.50 55,1,2024-09-07 09:13:30:770,652434,652434,0,0,305173448388,3171804674,645704,5821,909,365,391731,0 55,2,2024-09-07 09:13:30:735,467746,467690,56,0,21262459,0,7239 55,3,2024-09-07 09:13:30:674,1,371,1,0,304,3981,371,0 56,0,2024-09-07 09:13:31:599,94456,1.1,89032,1.1,183595,1.5,246049,2.50 56,1,2024-09-07 09:13:30:592,649082,649082,0,0,304829746979,3218566795,639056,7855,2171,381,391867,0 56,2,2024-09-07 09:13:31:305,468958,468958,0,0,21124136,0,3567 56,3,2024-09-07 09:13:31:062,1,371,9,0,705,4640,371,0 57,0,2024-09-07 09:13:30:940,92647,1.6,92580,1.3,185241,2.3,247962,3.00 57,1,2024-09-07 09:13:30:995,650994,650994,0,0,305997181972,3206284216,643174,6807,1013,366,392032,0 57,2,2024-09-07 09:13:31:321,468382,468382,0,0,22893574,0,3317 57,3,2024-09-07 09:13:31:738,1,371,13,0,359,4165,371,0 58,0,2024-09-07 09:13:30:563,92821,0.8,90187,0.9,188413,0.8,247589,2.50 58,1,2024-09-07 09:13:30:577,651845,651842,0,3,306209105932,3199902046,643079,7536,1227,367,391603,3 58,2,2024-09-07 09:13:31:077,469494,469494,0,0,21315558,0,2857 58,3,2024-09-07 09:13:31:067,1,371,39,0,1043,4180,371,0 59,0,2024-09-07 09:13:31:753,93002,0.8,92509,0.9,184943,0.8,245864,2.75 59,1,2024-09-07 09:13:30:815,650997,650997,0,0,305860136568,3201047458,642444,7016,1537,369,391586,0 59,2,2024-09-07 09:13:30:584,470496,470496,0,0,20898612,0,2867 59,3,2024-09-07 09:13:31:738,1,371,238,0,1015,4753,371,0 60,0,2024-09-07 09:13:31:715,91666,0.5,91590,0.7,183965,0.5,244059,1.75 60,1,2024-09-07 09:13:30:777,653655,653655,0,0,306542845331,3180106379,649474,3532,649,370,392031,0 60,2,2024-09-07 09:13:31:144,470453,470453,0,0,19938551,0,3811 60,3,2024-09-07 09:13:31:258,1,371,10,0,409,4322,371,0 61,0,2024-09-07 09:13:31:617,92275,0.6,93003,0.7,184964,0.5,246701,2.00 61,1,2024-09-07 09:13:30:779,651805,651805,0,0,305523682273,3199353500,644584,5895,1326,382,392127,0 61,2,2024-09-07 09:13:31:127,465757,465690,67,0,20096228,0,6411 61,3,2024-09-07 09:13:31:701,1,371,57,0,479,5274,371,0 62,0,2024-09-07 09:13:31:710,95647,0.5,97873,0.6,186593,0.4,253874,1.75 62,1,2024-09-07 09:13:31:116,656156,656150,0,6,307142793544,3167776469,652511,3379,260,365,391715,6 62,2,2024-09-07 09:13:31:649,469679,469678,1,0,19761737,0,5555 62,3,2024-09-07 09:13:31:144,1,371,3,0,465,2756,371,0 63,0,2024-09-07 09:13:31:496,92108,0.4,91864,0.6,184261,0.3,244589,1.75 63,1,2024-09-07 09:13:30:811,653843,653837,0,6,306037762567,3174240804,649898,3551,388,381,391800,6 63,2,2024-09-07 09:13:30:770,471459,471459,0,0,18244199,0,4369 63,3,2024-09-07 09:13:31:752,1,371,21,0,667,3945,371,0 64,0,2024-09-07 09:13:31:555,91123,0.5,91173,0.7,182422,0.5,241980,2.00 64,1,2024-09-07 09:13:30:758,653136,653136,0,0,306337858222,3189240605,647309,4150,1677,370,391783,0 64,2,2024-09-07 09:13:31:142,472860,472841,19,0,17873066,0,6121 64,3,2024-09-07 09:13:31:142,1,371,7,0,265,3345,371,0 65,0,2024-09-07 09:13:31:681,90611,0.6,90867,0.7,181626,0.6,242071,2.00 65,1,2024-09-07 09:13:30:875,651368,651368,0,0,305097695288,3187930016,646584,4245,539,382,391901,0 65,2,2024-09-07 09:13:31:694,466585,466585,0,0,19769009,0,3367 65,3,2024-09-07 09:13:31:688,1,371,5,0,347,3811,371,0 66,0,2024-09-07 09:13:31:806,94530,0.5,94456,0.7,188836,0.4,251806,2.00 66,1,2024-09-07 09:13:31:302,653451,653451,0,0,306675195224,3186423125,649710,3418,323,380,391653,0 66,2,2024-09-07 09:13:31:132,472774,472774,0,0,18048015,0,4956 66,3,2024-09-07 09:13:31:078,1,371,4,0,291,3456,371,0 67,0,2024-09-07 09:13:31:426,91881,0.5,91542,0.6,183581,0.4,244060,2.00 67,1,2024-09-07 09:13:30:771,653386,653385,0,1,306062750324,3185175524,648926,3675,784,380,391787,1 67,2,2024-09-07 09:13:30:583,472150,472150,0,0,17273623,0,2889 67,3,2024-09-07 09:13:31:774,1,371,7,0,338,3304,371,0 68,0,2024-09-07 09:13:30:595,93094,0.6,92740,0.7,184990,0.6,246925,2.00 68,1,2024-09-07 09:13:30:572,650616,650616,0,0,304539477371,3195100721,643734,4809,2073,381,391953,0 68,2,2024-09-07 09:13:31:049,466773,466673,100,0,23757353,0,8578 68,3,2024-09-07 09:13:30:729,1,371,8,0,417,3862,371,0 69,0,2024-09-07 09:13:31:770,92517,0.8,93092,0.9,185268,0.9,246063,2.25 69,1,2024-09-07 09:13:31:016,649534,649534,0,0,305073969385,3217072343,640651,6695,2188,384,391994,0 69,2,2024-09-07 09:13:31:744,467894,467865,29,0,23627774,0,6912 69,3,2024-09-07 09:13:30:765,1,371,8,0,698,5217,371,0 70,0,2024-09-07 09:13:31:564,93162,1.0,93281,1.0,187465,0.9,248915,2.50 70,1,2024-09-07 09:13:30:802,652952,652952,0,0,306823637100,3183075758,647714,4580,658,366,391725,0 70,2,2024-09-07 09:13:31:328,469014,469014,0,0,20553814,0,4044 70,3,2024-09-07 09:13:30:747,1,371,1,0,854,4285,371,0 71,0,2024-09-07 09:13:31:414,92714,0.9,92415,1.0,185612,1.1,248286,2.75 71,1,2024-09-07 09:13:31:603,651792,651792,0,0,306430961532,3196630235,644354,6690,748,368,391738,0 71,2,2024-09-07 09:13:31:067,469817,469817,0,0,21285528,0,4352 71,3,2024-09-07 09:13:31:759,1,371,0,0,644,4844,371,0 72,0,2024-09-07 09:13:31:074,96580,0.6,94450,0.7,184129,0.5,250575,2.00 72,1,2024-09-07 09:13:31:025,651007,651007,0,0,304918680520,3193941830,642147,7145,1715,369,391819,0 72,2,2024-09-07 09:13:31:765,468835,468835,0,0,23355748,0,3983 72,3,2024-09-07 09:13:31:765,1,371,12,0,564,5649,371,0 73,0,2024-09-07 09:13:31:142,89811,0.4,92120,0.6,188391,0.4,244828,2.00 73,1,2024-09-07 09:13:30:772,652255,652255,0,0,305530726112,3174582417,647583,4309,363,367,391858,0 73,2,2024-09-07 09:13:31:740,469422,469422,0,0,22746159,0,3701 73,3,2024-09-07 09:13:30:969,1,371,1,0,486,5253,371,0 74,0,2024-09-07 09:13:31:325,94211,0.4,96497,0.6,184067,0.4,250146,2.00 74,1,2024-09-07 09:13:30:668,651859,651859,0,0,305652613637,3189145273,645502,5094,1263,381,391681,0 74,2,2024-09-07 09:13:31:004,466010,466010,0,0,21037853,0,4253 74,3,2024-09-07 09:13:31:447,1,371,8,0,522,5168,371,0 75,0,2024-09-07 09:13:31:767,94505,0.4,93894,0.6,188489,0.4,251624,2.25 75,1,2024-09-07 09:13:31:588,651413,651413,0,0,305464430451,3188105982,644865,5722,826,380,391739,0 75,2,2024-09-07 09:13:31:354,469580,469580,0,0,22300322,0,4766 75,3,2024-09-07 09:13:31:076,1,371,8,0,702,5104,371,0 76,0,2024-09-07 09:13:30:603,91957,0.6,91338,0.7,183280,0.5,245363,2.25 76,1,2024-09-07 09:13:30:813,652567,652567,0,0,305830631269,3185925834,648633,3343,591,382,391692,0 76,2,2024-09-07 09:13:31:064,472716,472715,1,0,20351855,0,5144 76,3,2024-09-07 09:13:31:143,1,371,6,0,175,3445,371,0 77,0,2024-09-07 09:13:31:726,91783,0.6,91919,0.7,183999,0.6,244319,2.00 77,1,2024-09-07 09:13:30:826,651983,651983,0,0,305743987509,3191726461,646649,4842,492,381,391869,0 77,2,2024-09-07 09:13:31:281,470430,470430,0,0,19880311,0,3890 77,3,2024-09-07 09:13:31:109,1,371,7,0,401,4072,371,0 78,0,2024-09-07 09:13:31:734,92141,0.4,91669,0.6,184099,0.4,244507,2.00 78,1,2024-09-07 09:13:30:613,653045,653045,0,0,305495048479,3175781935,647110,4790,1145,367,391670,0 78,2,2024-09-07 09:13:31:434,466340,466340,0,0,16957247,0,3855 78,3,2024-09-07 09:13:31:134,1,371,2,0,181,3472,371,0 79,0,2024-09-07 09:13:31:413,91926,0.4,94173,0.6,192891,0.3,251235,2.00 79,1,2024-09-07 09:13:30:571,654538,654538,0,0,306312499059,3168538161,649950,3953,635,368,391682,0 79,2,2024-09-07 09:13:31:068,472408,472408,0,0,18155081,0,4195 79,3,2024-09-07 09:13:30:751,1,371,3,0,418,4622,371,0 80,0,2024-09-07 09:13:31:115,91368,0.5,94137,0.6,180301,0.5,243040,2.00 80,1,2024-09-07 09:13:31:629,652766,652766,0,0,306444559634,3184893577,649077,3491,198,368,391791,0 80,2,2024-09-07 09:13:31:097,472666,472666,0,0,17915079,0,4433 80,3,2024-09-07 09:13:30:587,1,371,11,0,190,4424,371,0 81,0,2024-09-07 09:13:31:570,92174,0.5,94385,0.7,180146,0.5,244139,2.00 81,1,2024-09-07 09:13:31:653,651925,651925,0,0,304990024922,3185976932,646692,4731,502,382,391879,0 81,2,2024-09-07 09:13:31:135,468647,468584,63,0,19548523,0,5932 81,3,2024-09-07 09:13:31:124,1,371,2,0,374,4089,371,0 82,0,2024-09-07 09:13:31:548,91917,0.5,92398,0.7,185247,0.4,245316,2.00 82,1,2024-09-07 09:13:30:584,653639,653635,0,4,306063786852,3182782344,649550,3287,798,381,391768,4 82,2,2024-09-07 09:13:31:692,468339,468339,0,0,16412063,0,3986 82,3,2024-09-07 09:13:31:774,1,371,3,0,363,3563,371,0 83,0,2024-09-07 09:13:31:556,94308,0.5,94037,0.6,187805,0.4,249892,2.00 83,1,2024-09-07 09:13:30:555,651574,651574,0,0,305754077617,3184660528,647191,4002,381,382,391709,0 83,2,2024-09-07 09:13:30:767,470666,470666,0,0,17232634,0,3393 83,3,2024-09-07 09:13:30:760,1,371,1,0,1260,4973,371,0 84,0,2024-09-07 09:13:31:785,92372,0.7,92238,0.9,184194,0.6,246574,2.25 84,1,2024-09-07 09:13:31:047,651134,651134,0,0,305363797234,3191664333,644125,5977,1032,367,391967,0 84,2,2024-09-07 09:13:30:572,470126,470124,2,0,22882351,0,5137 84,3,2024-09-07 09:13:31:144,1,371,11,0,908,5518,371,0 85,0,2024-09-07 09:13:31:002,89940,0.6,89984,0.8,190953,0.6,246966,2.25 85,1,2024-09-07 09:13:30:559,649705,649705,0,0,305915153634,3224421999,640698,7621,1386,381,392006,0 85,2,2024-09-07 09:13:30:879,468332,468332,0,0,21793473,0,3656 85,3,2024-09-07 09:13:30:689,1,371,4,0,789,4947,371,0 86,0,2024-09-07 09:13:30:899,92296,0.6,94952,0.8,181645,0.7,245882,2.25 86,1,2024-09-07 09:13:30:824,651380,651380,0,0,305985174214,3200271285,644297,6014,1069,366,391961,0 86,2,2024-09-07 09:13:30:857,467929,467928,1,0,22800817,0,5004 86,3,2024-09-07 09:13:30:605,1,371,1,0,308,5513,371,0 87,0,2024-09-07 09:13:31:288,93590,1.0,93529,0.9,187341,1.3,250272,2.25 87,1,2024-09-07 09:13:30:567,651135,651135,0,0,304700145969,3185603486,644402,5973,760,366,392076,0 87,2,2024-09-07 09:13:31:066,466840,466840,0,0,20456623,0,4045 87,3,2024-09-07 09:13:31:795,1,371,295,0,473,5533,371,0 88,0,2024-09-07 09:13:31:455,94090,0.4,94810,0.6,188739,0.4,251855,1.75 88,1,2024-09-07 09:13:30:572,649577,649577,0,0,304856098898,3193930356,641129,6567,1881,365,392084,0 88,2,2024-09-07 09:13:30:696,470818,470818,0,0,22839460,0,3583 88,3,2024-09-07 09:13:31:267,1,371,14,0,435,3904,371,0 89,0,2024-09-07 09:13:31:790,95954,0.5,92921,0.6,183800,0.4,249784,1.75 89,1,2024-09-07 09:13:30:558,649986,649986,0,0,304853257111,3205004152,641801,6826,1359,382,391866,0 89,2,2024-09-07 09:13:31:132,469007,469007,0,0,22139672,0,3173 89,3,2024-09-07 09:13:31:799,1,371,9,0,385,6491,371,0 90,0,2024-09-07 09:13:31:614,88912,0.5,91406,0.6,186356,0.4,243113,2.00 90,1,2024-09-07 09:13:30:616,651445,651445,0,0,305307352757,3197451418,645114,5825,506,380,391825,0 90,2,2024-09-07 09:13:31:430,468442,468442,0,0,23371481,0,3060 90,3,2024-09-07 09:13:30:932,1,371,178,0,246,4317,371,0 91,0,2024-09-07 09:13:30:933,93072,0.4,90077,0.6,188216,0.4,247170,1.75 91,1,2024-09-07 09:13:30:557,650012,650012,0,0,305165847029,3208783495,641612,7212,1188,381,392047,0 91,2,2024-09-07 09:13:31:331,466338,466338,0,0,20519736,0,2896 91,3,2024-09-07 09:13:30:606,1,371,1,0,216,3359,371,0 92,0,2024-09-07 09:13:31:458,95755,0.4,98144,0.6,187419,0.4,254114,1.75 92,1,2024-09-07 09:13:30:581,651411,651411,0,0,305190960773,3185825940,646579,4141,691,382,392136,0 92,2,2024-09-07 09:13:31:371,471676,471676,0,0,18722359,0,3259 92,3,2024-09-07 09:13:31:010,1,371,8,0,167,3156,371,0 93,0,2024-09-07 09:13:30:962,92390,0.4,94659,0.5,180683,0.3,244784,1.75 93,1,2024-09-07 09:13:30:804,651845,651845,0,0,305761867374,3188837138,645673,5140,1032,366,391776,0 93,2,2024-09-07 09:13:30:934,470863,470863,0,0,22005553,0,4845 93,3,2024-09-07 09:13:31:447,1,371,1,0,190,3469,371,0 94,0,2024-09-07 09:13:31:615,91301,0.4,92104,0.5,184046,0.3,243669,1.75 94,1,2024-09-07 09:13:30:565,652021,652021,0,0,305667016754,3186778318,647955,3875,191,381,391850,0 94,2,2024-09-07 09:13:30:765,469564,469564,0,0,18711624,0,2443 94,3,2024-09-07 09:13:31:688,1,371,2,0,264,4390,371,0 95,0,2024-09-07 09:13:31:347,91350,0.4,91388,0.5,183187,0.3,243911,1.75 95,1,2024-09-07 09:13:30:855,653510,653510,0,0,306379895139,3182811500,648478,4630,402,367,391713,0 95,2,2024-09-07 09:13:31:016,466276,466276,0,0,18180491,0,3308 95,3,2024-09-07 09:13:31:709,1,371,1,0,718,5561,371,0 96,0,2024-09-07 09:13:31:027,94975,0.3,95129,0.5,190132,0.3,252462,1.75 96,1,2024-09-07 09:13:31:589,651556,651556,0,0,306175584994,3192256506,646966,3792,798,384,391955,0 96,2,2024-09-07 09:13:31:268,471664,471664,0,0,18508841,0,4042 96,3,2024-09-07 09:13:31:143,1,371,21,0,411,3979,371,0 97,0,2024-09-07 09:13:31:319,91839,0.3,91545,0.5,183821,0.3,243839,1.50 97,1,2024-09-07 09:13:30:766,653202,653202,0,0,306747219191,3179030538,648952,3556,694,367,392140,0 97,2,2024-09-07 09:13:30:612,471567,471567,0,0,18390277,0,3679 97,3,2024-09-07 09:13:30:571,1,371,11,0,214,4163,371,0 98,0,2024-09-07 09:13:31:847,92651,0.3,92683,0.4,186024,0.2,246793,1.50 98,1,2024-09-07 09:13:30:571,652449,652449,0,0,306143036234,3182684260,649478,2852,119,382,391997,0 98,2,2024-09-07 09:13:30:770,469185,469185,0,0,18003880,0,3080 98,3,2024-09-07 09:13:30:718,1,371,1,0,840,5679,371,0 99,0,2024-09-07 09:13:31:472,92989,0.3,93640,0.5,186093,0.3,248221,1.75 99,1,2024-09-07 09:13:31:727,652473,652473,0,0,305794821609,3182062546,648069,3633,771,381,392069,0 99,2,2024-09-07 09:13:31:432,469431,469431,0,0,20828418,0,3424 99,3,2024-09-07 09:13:30:582,1,371,1,0,606,4053,371,0 100,0,2024-09-07 09:13:31:478,93823,0.8,93886,1.0,187202,0.9,250639,2.50 100,1,2024-09-07 09:13:30:550,649107,649107,0,0,304483671738,3215931705,639606,7606,1895,381,391989,0 100,2,2024-09-07 09:13:31:819,467969,467958,11,0,21085872,0,5417 100,3,2024-09-07 09:13:31:740,1,371,9,0,559,6207,371,0 101,0,2024-09-07 09:13:31:712,95547,1.1,93036,1.0,182730,1.0,250182,2.25 101,1,2024-09-07 09:13:30:566,649104,649104,0,0,304774630221,3207877735,639219,7769,2116,368,391847,0 101,2,2024-09-07 09:13:31:775,467728,467728,0,0,24651920,0,4644 101,3,2024-09-07 09:13:30:950,1,371,1,0,579,4963,371,0 102,0,2024-09-07 09:13:30:950,91070,0.7,94092,0.8,190383,0.7,249343,2.00 102,1,2024-09-07 09:13:31:144,650242,650242,0,0,304833748538,3200915582,641977,7008,1257,369,391891,0 102,2,2024-09-07 09:13:31:743,469618,469564,54,0,20756890,0,6768 102,3,2024-09-07 09:13:31:613,1,371,5,0,410,3791,371,0 103,0,2024-09-07 09:13:31:595,95137,0.6,95070,0.7,179321,0.6,246620,2.00 103,1,2024-09-07 09:13:31:634,648803,648803,0,0,304656326576,3214175646,638692,7526,2585,381,391829,0 103,2,2024-09-07 09:13:30:584,468654,468654,0,0,21770633,0,3173 103,3,2024-09-07 09:13:30:769,1,371,2,0,916,4327,371,0 104,0,2024-09-07 09:13:31:011,92845,0.7,93157,0.9,184990,0.6,249428,2.25 104,1,2024-09-07 09:13:31:607,651223,651223,0,0,304874462309,3203264509,641715,7735,1773,365,392168,0 104,2,2024-09-07 09:13:31:665,465281,465281,0,0,22236462,0,3941 104,3,2024-09-07 09:13:31:424,1,371,18,0,1245,7765,371,0 105,0,2024-09-07 09:13:31:029,93139,0.7,90676,1.0,190077,0.7,250225,2.50 105,1,2024-09-07 09:13:30:567,651821,651821,0,0,306056307845,3206806762,643430,7054,1337,366,392009,0 105,2,2024-09-07 09:13:31:333,469756,469756,0,0,21825515,0,3509 105,3,2024-09-07 09:13:31:315,1,371,16,0,399,5757,371,0 106,0,2024-09-07 09:13:30:937,89127,0.8,91235,0.9,187052,0.8,244724,2.50 106,1,2024-09-07 09:13:31:758,650507,650507,0,0,305145905662,3204652212,640769,8442,1296,369,391914,0 106,2,2024-09-07 09:13:30:768,469471,469471,0,0,21569923,0,2795 106,3,2024-09-07 09:13:30:695,1,371,1,0,470,4729,371,0 107,0,2024-09-07 09:13:31:147,91596,1.1,91736,1.0,183337,1.5,244187,2.25 107,1,2024-09-07 09:13:30:585,649553,649553,0,0,303906466132,3200049189,641174,7412,967,381,392234,0 107,2,2024-09-07 09:13:31:303,468252,468251,1,0,22314705,0,5024 107,3,2024-09-07 09:13:31:782,1,371,1,0,353,5047,371,0 108,0,2024-09-07 09:13:31:901,91481,0.4,92173,0.6,183193,0.4,244983,1.75 108,1,2024-09-07 09:13:31:305,652305,652305,0,0,306584359808,3193983818,647195,4591,519,368,391857,0 108,2,2024-09-07 09:13:31:778,464492,464492,0,0,20545557,0,4246 108,3,2024-09-07 09:13:31:330,1,371,19,0,749,7312,371,0 109,0,2024-09-07 09:13:31:847,95432,0.4,94677,0.5,189393,0.3,253757,1.75 109,1,2024-09-07 09:13:30:603,650017,650017,0,0,304924502425,3194596008,644771,4580,666,383,392132,0 109,2,2024-09-07 09:13:30:923,469221,469221,0,0,20258643,0,3617 109,3,2024-09-07 09:13:31:146,1,371,1,0,379,4360,371,0 110,0,2024-09-07 09:13:31:774,91394,0.4,88921,0.6,186289,0.3,243665,1.75 110,1,2024-09-07 09:13:31:651,653611,653611,0,0,306549454887,3177443229,649600,3031,980,369,392045,0 110,2,2024-09-07 09:13:31:318,471247,471247,0,0,20120881,0,4067 110,3,2024-09-07 09:13:30:695,1,371,0,0,722,5417,371,0 111,0,2024-09-07 09:13:31:422,92542,0.4,91806,0.6,184172,0.4,245502,1.75 111,1,2024-09-07 09:13:31:007,653859,653859,0,0,306909288567,3181891665,650467,3039,353,382,391690,0 111,2,2024-09-07 09:13:31:122,468687,468687,0,0,19344078,0,4823 111,3,2024-09-07 09:13:30:917,1,371,7,0,379,4328,371,0 112,0,2024-09-07 09:13:30:914,93162,0.3,92512,0.4,185571,0.2,245971,1.50 112,1,2024-09-07 09:13:30:824,653312,653312,0,0,306289513109,3175461443,649703,3025,584,380,391624,0 112,2,2024-09-07 09:13:31:132,467385,467384,1,0,17782708,0,5036 112,3,2024-09-07 09:13:30:596,1,371,7,0,282,3763,371,0 113,0,2024-09-07 09:13:30:885,93961,0.3,94179,0.4,188531,0.2,251206,1.50 113,1,2024-09-07 09:13:31:700,655250,655250,0,0,307783789037,3173583832,651740,2926,584,366,391661,0 113,2,2024-09-07 09:13:31:305,472169,472169,0,0,16505241,0,3813 113,3,2024-09-07 09:13:30:691,1,371,0,0,340,4216,371,0 114,0,2024-09-07 09:13:30:881,93249,0.3,93911,0.5,186643,0.2,249134,1.75 114,1,2024-09-07 09:13:30:725,653173,653173,0,0,305896863092,3177005815,647729,3847,1597,381,391565,0 114,2,2024-09-07 09:13:30:887,471310,471309,1,0,18319355,0,5069 114,3,2024-09-07 09:13:31:282,1,371,0,0,395,3056,371,0 115,0,2024-09-07 09:13:30:557,93385,0.3,94026,0.4,187601,0.2,249503,1.50 115,1,2024-09-07 09:13:30:572,652787,652787,0,0,306973033334,3187151362,647548,4396,843,382,391757,0 115,2,2024-09-07 09:13:31:126,470819,470819,0,0,16962190,0,3848 115,3,2024-09-07 09:13:31:007,1,371,1,0,159,2222,371,0 116,0,2024-09-07 09:13:31:932,92076,0.8,92021,0.9,184520,0.8,247084,2.00 116,1,2024-09-07 09:13:30:802,649676,649676,0,0,305823489435,3222665569,641548,5811,2317,380,392089,0 116,2,2024-09-07 09:13:31:769,468612,468612,0,0,22472905,0,3529 116,3,2024-09-07 09:13:30:918,1,371,8,0,415,4461,371,0 117,0,2024-09-07 09:13:30:953,94007,0.8,93601,0.8,187258,0.9,250705,2.00 117,1,2024-09-07 09:13:31:586,650340,650340,0,0,304701956133,3188680744,643354,6141,845,369,392033,0 117,2,2024-09-07 09:13:31:121,470228,470228,0,0,18698594,0,3700 117,3,2024-09-07 09:13:31:058,1,371,0,0,490,5181,371,0 118,0,2024-09-07 09:13:31:852,91575,0.5,93798,0.6,191677,0.4,250864,2.00 118,1,2024-09-07 09:13:30:594,650329,650329,0,0,304908798894,3202613756,641049,7246,2034,366,391907,0 118,2,2024-09-07 09:13:31:588,469414,469414,0,0,21013184,0,2781 118,3,2024-09-07 09:13:31:775,1,371,0,0,248,4188,371,0 119,0,2024-09-07 09:13:31:344,92891,0.6,93295,0.7,186552,0.6,248119,2.00 119,1,2024-09-07 09:13:30:550,651458,651458,0,0,304841852827,3187868260,644388,6228,842,367,391780,0 119,2,2024-09-07 09:13:31:263,470111,470111,0,0,19839761,0,4174 119,3,2024-09-07 09:13:31:327,1,371,4,0,1058,6555,371,0 120,0,2024-09-07 09:13:31:589,91273,0.6,91002,0.8,182136,0.6,243735,2.25 120,1,2024-09-07 09:13:30:875,650569,650569,0,0,305322049863,3201842725,643957,6037,575,368,391961,0 120,2,2024-09-07 09:13:30:773,469313,469312,1,0,23120999,0,5281 120,3,2024-09-07 09:13:31:302,1,371,1,0,241,4463,371,0 121,0,2024-09-07 09:13:31:702,92445,0.9,92395,0.9,185490,1.1,246732,2.25 121,1,2024-09-07 09:13:31:656,651233,651233,0,0,305520261594,3193728402,645176,5619,438,367,391840,0 121,2,2024-09-07 09:13:31:125,464704,464704,0,0,22060715,0,4127 121,3,2024-09-07 09:13:30:739,1,371,2,0,269,4345,371,0 122,0,2024-09-07 09:13:31:782,94400,0.7,92208,0.8,193551,0.8,253936,2.00 122,1,2024-09-07 09:13:30:874,650453,650453,0,0,304701257190,3195278176,641678,7506,1269,366,392130,0 122,2,2024-09-07 09:13:31:319,470133,470060,73,0,24653857,0,5989 122,3,2024-09-07 09:13:30:604,1,371,6,0,512,7016,371,0 123,0,2024-09-07 09:13:30:966,91413,0.6,89383,0.7,186457,0.7,244257,2.00 123,1,2024-09-07 09:13:30:559,650315,650315,0,0,304986390901,3213430376,638381,9768,2166,369,392039,0 123,2,2024-09-07 09:13:31:023,469225,469224,1,0,21271590,0,5215 123,3,2024-09-07 09:13:31:132,1,371,1,0,168,4071,371,0 124,0,2024-09-07 09:13:30:921,94498,0.3,94483,0.5,178230,0.3,244471,1.75 124,1,2024-09-07 09:13:31:023,652826,652826,0,0,305819207473,3178461747,648095,3820,911,367,392178,0 124,2,2024-09-07 09:13:31:012,470572,470519,53,0,18870233,0,6487 124,3,2024-09-07 09:13:30:764,1,371,12,0,490,3693,371,0 125,0,2024-09-07 09:13:31:491,91748,0.4,91360,0.5,183680,0.3,244286,1.75 125,1,2024-09-07 09:13:30:870,651708,651708,0,0,305604700294,3187983775,646811,4250,647,382,391702,0 125,2,2024-09-07 09:13:31:121,466620,466620,0,0,19322433,0,4534 125,3,2024-09-07 09:13:31:128,1,371,1,0,709,4756,371,0 126,0,2024-09-07 09:13:31:489,94802,0.4,97485,0.5,186710,0.4,253264,1.75 126,1,2024-09-07 09:13:30:564,653439,653439,0,0,306872694999,3177237590,649678,3473,288,365,391987,0 126,2,2024-09-07 09:13:30:616,471697,471697,0,0,20020310,0,4539 126,3,2024-09-07 09:13:30:912,1,371,5,0,207,4688,371,0 127,0,2024-09-07 09:13:31:626,91697,0.3,92148,0.5,183742,0.3,243922,1.50 127,1,2024-09-07 09:13:30:571,652580,652580,0,0,306521434972,3182106212,647102,4616,862,364,392187,0 127,2,2024-09-07 09:13:30:650,470446,470446,0,0,18206190,0,3897 127,3,2024-09-07 09:13:31:269,1,371,2,0,968,4199,371,0 128,0,2024-09-07 09:13:31:541,93067,0.3,93434,0.4,186511,0.2,247108,1.50 128,1,2024-09-07 09:13:31:613,652614,652614,0,0,305817222506,3173301312,648397,3845,372,367,391838,0 128,2,2024-09-07 09:13:31:386,469744,469744,0,0,17587648,0,2915 128,3,2024-09-07 09:13:30:767,1,371,9,0,1082,6042,371,0 129,0,2024-09-07 09:13:31:002,93647,0.3,93097,0.5,186822,0.3,248427,1.50 129,1,2024-09-07 09:13:30:567,650183,650183,0,0,305528962072,3196465160,644344,4582,1257,379,391835,0 129,2,2024-09-07 09:13:30:688,469025,469025,0,0,18607369,0,4031 129,3,2024-09-07 09:13:30:689,1,371,16,0,506,5166,371,0 130,0,2024-09-07 09:13:31:777,94525,0.5,94165,0.6,189580,0.5,252155,1.75 130,1,2024-09-07 09:13:30:583,653076,653076,0,0,306907921717,3188128667,649645,3151,280,381,391825,0 130,2,2024-09-07 09:13:31:129,470861,470861,0,0,18320174,0,4067 130,3,2024-09-07 09:13:31:290,1,371,2,0,535,4789,371,0 131,0,2024-09-07 09:13:31:936,93531,0.4,94008,0.5,189185,0.3,250295,1.75 131,1,2024-09-07 09:13:31:824,652344,652344,0,0,305488777423,3187004566,647599,3997,748,381,391865,0 131,2,2024-09-07 09:13:30:571,472337,472337,0,0,16622944,0,2415 131,3,2024-09-07 09:13:31:692,1,371,13,0,392,3718,371,0 132,0,2024-09-07 09:13:31:463,93615,0.4,94546,0.6,188019,0.4,250159,1.75 132,1,2024-09-07 09:13:30:578,649498,649498,0,0,304936570294,3208745334,640360,7609,1529,381,392097,0 132,2,2024-09-07 09:13:30:707,468913,468896,17,0,23508718,0,6451 132,3,2024-09-07 09:13:31:693,1,371,13,0,804,6671,371,0 133,0,2024-09-07 09:13:31:537,89843,0.4,91912,0.6,188681,0.4,245339,1.75 133,1,2024-09-07 09:13:30:585,649600,649600,0,0,304951676140,3211948977,640730,7726,1144,383,391914,0 133,2,2024-09-07 09:13:31:090,468973,468923,50,0,24229531,0,6861 133,3,2024-09-07 09:13:31:305,1,371,19,0,479,3847,371,0 134,0,2024-09-07 09:13:30:946,93946,0.5,93678,0.7,187417,0.5,250685,2.00 134,1,2024-09-07 09:13:30:589,650727,650727,0,0,304781585861,3196733697,642144,6701,1882,366,391718,0 134,2,2024-09-07 09:13:31:756,465986,465974,12,0,20070428,0,6207 134,3,2024-09-07 09:13:30:760,1,371,11,0,739,4595,371,0 135,0,2024-09-07 09:13:31:107,91193,0.7,91119,0.8,193380,0.8,249331,2.00 135,1,2024-09-07 09:13:31:616,650560,650560,0,0,305268570211,3212020901,641608,7675,1277,380,391805,0 135,2,2024-09-07 09:13:30:688,471440,471440,0,0,21506829,0,3981 135,3,2024-09-07 09:13:31:009,1,371,1,0,299,3006,371,0 136,0,2024-09-07 09:13:31:649,92584,0.5,92923,0.7,184695,0.5,246661,2.00 136,1,2024-09-07 09:13:31:449,650443,650443,0,0,305179257836,3200821299,643188,6557,698,382,391685,0 136,2,2024-09-07 09:13:31:134,471493,471493,0,0,21084310,0,3506 136,3,2024-09-07 09:13:31:107,1,371,8,0,637,4093,371,0 137,0,2024-09-07 09:13:30:949,94531,0.6,91952,0.7,180804,0.7,245497,2.00 137,1,2024-09-07 09:13:30:581,650190,650190,0,0,305053954864,3202038870,639787,8464,1939,366,391898,0 137,2,2024-09-07 09:13:31:706,468903,468903,0,0,23275738,0,3185 137,3,2024-09-07 09:13:30:772,1,371,158,0,382,4318,371,0 138,0,2024-09-07 09:13:31:776,91213,1.2,91320,1.0,183388,1.6,243876,2.25 138,1,2024-09-07 09:13:31:702,650942,650942,0,0,304944344002,3199914570,641623,7719,1600,368,391954,0 138,2,2024-09-07 09:13:30:593,465076,465076,0,0,20858130,0,4988 138,3,2024-09-07 09:13:30:614,1,371,2,0,1160,4987,371,0 139,0,2024-09-07 09:13:31:428,93866,1.4,94132,1.1,188374,2.0,251237,2.25 139,1,2024-09-07 09:13:30:579,647899,647899,0,0,303321129525,3221816362,635554,9468,2877,381,392058,0 139,2,2024-09-07 09:13:30:697,467866,467866,0,0,23663803,0,3097 139,3,2024-09-07 09:13:31:664,1,371,1,0,257,4174,371,0 140,0,2024-09-07 09:13:31:618,91745,0.3,91299,0.5,183278,0.2,243765,1.75 140,1,2024-09-07 09:13:31:547,654573,654573,0,0,307132508948,3166006328,651297,2837,439,365,391606,0 140,2,2024-09-07 09:13:30:689,471266,471265,1,0,18625482,0,5036 140,3,2024-09-07 09:13:30:769,1,371,13,0,297,3232,371,0 141,0,2024-09-07 09:13:31:700,92394,0.3,94845,0.5,181162,0.3,245509,1.75 141,1,2024-09-07 09:13:30:887,653022,653022,0,0,306469673592,3180718714,648454,3705,863,379,391614,0 141,2,2024-09-07 09:13:31:690,469799,469799,0,0,18221060,0,3360 141,3,2024-09-07 09:13:31:043,1,371,5,0,391,4112,371,0 142,0,2024-09-07 09:13:31:312,93164,0.3,92561,0.5,184927,0.3,246464,1.75 142,1,2024-09-07 09:13:30:585,652378,652378,0,0,304695637773,3174999366,648372,3653,353,382,392102,0 142,2,2024-09-07 09:13:31:305,466788,466756,32,0,19458425,0,6028 142,3,2024-09-07 09:13:31:747,1,371,53,0,484,4361,371,0 143,0,2024-09-07 09:13:31:384,94272,0.4,94168,0.5,188633,0.4,251280,1.75 143,1,2024-09-07 09:13:30:562,653670,653670,0,0,306455419693,3176477041,649879,3637,154,367,391705,0 143,2,2024-09-07 09:13:30:773,471023,471023,0,0,19158539,0,3123 143,3,2024-09-07 09:13:31:140,1,371,0,0,303,4473,371,0 144,0,2024-09-07 09:13:31:508,90039,0.6,92405,0.8,188555,0.5,247025,2.00 144,1,2024-09-07 09:13:30:565,649960,649960,0,0,304741310073,3193433376,644373,4379,1208,381,391649,0 144,2,2024-09-07 09:13:31:754,471594,471594,0,0,17966650,0,3673 144,3,2024-09-07 09:13:31:740,1,371,8,0,249,3675,371,0 145,0,2024-09-07 09:13:31:387,90350,0.6,90350,0.8,191637,0.5,246614,2.25 145,1,2024-09-07 09:13:30:555,649887,649887,0,0,305090495320,3205365419,642309,6435,1143,382,391759,0 145,2,2024-09-07 09:13:31:434,467719,467719,0,0,21238470,0,3903 145,3,2024-09-07 09:13:30:901,1,371,125,0,622,5590,371,0 146,0,2024-09-07 09:13:31:659,92456,0.5,91853,0.7,185131,0.5,245762,2.00 146,1,2024-09-07 09:13:31:595,651030,651030,0,0,305305549972,3208737480,640865,8154,2011,367,391770,0 146,2,2024-09-07 09:13:31:696,468043,468043,0,0,21148713,0,2730 146,3,2024-09-07 09:13:31:280,1,371,131,0,1520,7686,371,0 147,0,2024-09-07 09:13:31:735,94041,0.6,93724,0.7,186740,0.5,250309,2.00 147,1,2024-09-07 09:13:31:415,653009,653009,0,0,306475417150,3185978910,647286,4921,802,368,391791,0 147,2,2024-09-07 09:13:31:016,467911,467911,0,0,18514945,0,2789 147,3,2024-09-07 09:13:30:918,1,371,1,0,730,5256,371,0 0,0,2024-09-07 09:13:41:742,89224,0.6,89271,0.7,189399,0.7,244574,2.00 0,1,2024-09-07 09:13:40:813,653313,653313,0,0,306891275060,3206686659,649048,4018,247,369,391896,0 0,2,2024-09-07 09:13:41:074,472324,472324,0,0,18620706,0,4480 0,3,2024-09-07 09:13:40:982,1,372,13,0,431,5264,372,0 1,0,2024-09-07 09:13:41:765,92962,0.7,92335,0.9,185470,0.8,247592,2.00 1,1,2024-09-07 09:13:40:560,652435,652435,0,0,306401815624,3204961930,646759,4446,1230,370,391857,0 1,2,2024-09-07 09:13:40:642,466488,466488,0,0,18008219,0,3380 1,3,2024-09-07 09:13:41:308,1,372,1,0,262,4328,372,0 2,0,2024-09-07 09:13:41:576,95190,0.5,95499,0.7,190085,0.6,254548,2.00 2,1,2024-09-07 09:13:40:866,654639,654639,0,0,307379816327,3189105752,651693,2653,293,380,391745,0 2,2,2024-09-07 09:13:41:276,472358,472358,0,0,17757039,0,3594 2,3,2024-09-07 09:13:40:690,1,372,1,0,357,3753,372,0 3,0,2024-09-07 09:13:41:745,91975,0.4,91771,0.6,183563,0.4,244391,1.75 3,1,2024-09-07 09:13:41:618,653234,653234,0,0,306303377839,3187236995,647999,4611,624,380,391591,0 3,2,2024-09-07 09:13:41:142,472535,472512,23,0,18400202,0,5851 3,3,2024-09-07 09:13:41:756,1,372,1,0,207,2333,372,0 4,0,2024-09-07 09:13:41:816,89149,0.4,91541,0.5,186632,0.3,243828,1.75 4,1,2024-09-07 09:13:40:595,650473,650473,0,0,305594412543,3222201897,641359,7359,1755,370,391992,0 4,2,2024-09-07 09:13:41:022,469534,469534,0,0,21593099,0,4528 4,3,2024-09-07 09:13:41:042,1,372,8,0,448,5058,372,0 5,0,2024-09-07 09:13:41:414,91594,0.4,92084,0.6,183625,0.4,244019,1.75 5,1,2024-09-07 09:13:40:769,652512,652512,0,0,306098693574,3218180560,644236,6765,1511,367,392005,0 5,2,2024-09-07 09:13:41:838,465026,465026,0,0,20602353,0,3582 5,3,2024-09-07 09:13:41:732,1,372,5,0,457,5162,372,0 6,0,2024-09-07 09:13:40:934,95590,0.4,95157,0.6,190065,0.3,254475,1.75 6,1,2024-09-07 09:13:40:746,652331,652331,0,0,305782356018,3193667077,645369,5868,1094,379,391694,0 6,2,2024-09-07 09:13:41:115,471808,471790,18,0,20913701,0,5535 6,3,2024-09-07 09:13:41:289,1,372,0,0,340,3992,372,0 7,0,2024-09-07 09:13:41:560,91280,0.5,91867,0.6,183035,0.5,243263,2.00 7,1,2024-09-07 09:13:40:854,651783,651783,0,0,305987791388,3213036328,642621,7767,1395,382,391747,0 7,2,2024-09-07 09:13:40:770,472728,472728,0,0,20125166,0,4791 7,3,2024-09-07 09:13:40:865,1,372,1,0,398,3997,372,0 8,0,2024-09-07 09:13:41:328,93030,0.4,92774,0.5,185843,0.3,247355,1.75 8,1,2024-09-07 09:13:41:033,650871,650871,0,0,306265818717,3222696360,639970,8310,2591,366,392144,0 8,2,2024-09-07 09:13:40:792,466502,466502,0,0,24065683,0,3220 8,3,2024-09-07 09:13:40:585,1,372,13,0,538,6207,372,0 9,0,2024-09-07 09:13:41:107,93486,0.4,90720,0.5,189883,0.3,248587,1.75 9,1,2024-09-07 09:13:40:551,651330,651330,0,0,305371050706,3217340058,641788,7407,2135,369,392001,0 9,2,2024-09-07 09:13:41:083,468138,468138,0,0,21511331,0,3360 9,3,2024-09-07 09:13:41:756,1,372,2,0,496,5284,372,0 10,0,2024-09-07 09:13:41:616,94612,0.3,94086,0.5,188865,0.2,251963,1.75 10,1,2024-09-07 09:13:40:601,651943,651943,0,0,306155313205,3206235544,643322,7344,1277,381,391741,0 10,2,2024-09-07 09:13:40:762,471063,471063,0,0,23368654,0,4264 10,3,2024-09-07 09:13:40:886,1,372,1,0,296,3296,372,0 11,0,2024-09-07 09:13:41:009,93527,0.5,90803,0.6,189870,0.4,250427,1.75 11,1,2024-09-07 09:13:40:574,653065,653065,0,0,306371862866,3216625818,642567,8018,2480,383,391756,0 11,2,2024-09-07 09:13:41:135,471039,471039,0,0,21257627,0,4130 11,3,2024-09-07 09:13:41:299,1,372,0,0,843,5539,372,0 12,0,2024-09-07 09:13:40:948,94567,0.4,94668,0.5,188933,0.3,251028,1.75 12,1,2024-09-07 09:13:40:933,653086,653086,0,0,306428007300,3192452415,648143,4448,495,370,391870,0 12,2,2024-09-07 09:13:41:559,471254,471254,0,0,20290086,0,3469 12,3,2024-09-07 09:13:41:064,1,372,8,0,386,5845,372,0 13,0,2024-09-07 09:13:41:355,92998,0.4,92692,0.6,185125,0.4,246719,1.75 13,1,2024-09-07 09:13:41:526,651981,651981,0,0,306300615161,3216207650,646048,4522,1411,382,391740,0 13,2,2024-09-07 09:13:40:595,472323,472323,0,0,18806714,0,3287 13,3,2024-09-07 09:13:41:774,1,372,3,0,522,5777,372,0 14,0,2024-09-07 09:13:40:576,94206,0.4,94950,0.6,188235,0.3,251246,1.75 14,1,2024-09-07 09:13:41:574,656368,656368,0,0,308083875395,3187624309,651610,4399,359,364,391673,0 14,2,2024-09-07 09:13:40:765,468112,468082,30,0,19986368,0,6104 14,3,2024-09-07 09:13:41:115,1,372,0,0,1168,4282,372,0 15,0,2024-09-07 09:13:41:566,94334,0.4,94376,0.6,188954,0.3,251455,1.75 15,1,2024-09-07 09:13:41:608,653441,653441,0,0,306837634706,3191387265,649079,3538,824,381,391619,0 15,2,2024-09-07 09:13:40:997,473137,473137,0,0,16822542,0,3622 15,3,2024-09-07 09:13:41:413,1,372,1,0,1126,6716,372,0 16,0,2024-09-07 09:13:40:935,92567,0.5,93001,0.7,185716,0.5,247250,2.00 16,1,2024-09-07 09:13:40:581,654239,654239,0,0,306233857682,3196207876,649399,4452,388,370,391756,0 16,2,2024-09-07 09:13:41:441,471691,471691,0,0,19434351,0,4719 16,3,2024-09-07 09:13:41:142,1,372,8,0,317,4870,372,0 17,0,2024-09-07 09:13:41:830,94721,0.6,92369,0.8,180858,0.7,245459,2.00 17,1,2024-09-07 09:13:40:581,652090,652090,0,0,306107502723,3209041549,645611,5217,1262,368,392075,0 17,2,2024-09-07 09:13:41:666,473588,473588,0,0,19177791,0,2857 17,3,2024-09-07 09:13:40:574,1,372,12,0,298,5927,372,0 18,0,2024-09-07 09:13:40:939,91139,0.6,91601,0.8,182559,0.6,243962,2.25 18,1,2024-09-07 09:13:41:639,655036,655036,0,0,307337047238,3183370475,651433,3286,317,367,391649,0 18,2,2024-09-07 09:13:41:757,468906,468906,0,0,17302260,0,3541 18,3,2024-09-07 09:13:40:896,1,372,5,0,163,3061,372,0 19,0,2024-09-07 09:13:41:545,94991,0.6,95648,0.7,189489,0.5,252759,2.00 19,1,2024-09-07 09:13:40:566,655048,655048,0,0,307661525973,3189618956,649778,4431,839,367,391777,0 19,2,2024-09-07 09:13:41:756,473992,473992,0,0,16239198,0,3988 19,3,2024-09-07 09:13:41:129,1,372,4,0,524,2766,372,0 20,0,2024-09-07 09:13:41:371,91511,0.5,91526,0.6,182986,0.4,243898,2.00 20,1,2024-09-07 09:13:40:580,652903,652903,0,0,306652946630,3203298438,647786,4400,717,369,391886,0 20,2,2024-09-07 09:13:40:933,472364,472364,0,0,20055101,0,3721 20,3,2024-09-07 09:13:40:593,1,372,22,0,414,5699,372,0 21,0,2024-09-07 09:13:41:141,92285,0.5,92335,0.6,184477,0.5,244670,2.00 21,1,2024-09-07 09:13:41:536,651073,651073,0,0,304247398557,3205927355,641998,7088,1987,368,392016,0 21,2,2024-09-07 09:13:41:067,468199,468179,20,0,24071576,0,5617 21,3,2024-09-07 09:13:41:406,1,372,16,0,713,4976,372,0 22,0,2024-09-07 09:13:41:722,92112,0.5,92429,0.7,185136,0.5,244604,2.00 22,1,2024-09-07 09:13:41:022,652459,652459,0,0,305227469349,3206391473,642948,7497,2014,382,391667,0 22,2,2024-09-07 09:13:40:760,466263,466237,26,0,19167123,0,6328 22,3,2024-09-07 09:13:41:066,1,372,1,0,228,3192,372,0 23,0,2024-09-07 09:13:41:369,94089,0.5,93822,0.7,188110,0.4,250914,2.25 23,1,2024-09-07 09:13:41:005,653422,653422,0,0,306910178431,3222694994,641905,7967,3550,365,391690,0 23,2,2024-09-07 09:13:41:092,473191,473191,0,0,18758766,0,3010 23,3,2024-09-07 09:13:41:757,1,372,39,0,720,5232,372,0 24,0,2024-09-07 09:13:40:907,93623,0.4,93461,0.6,187485,0.4,248514,1.75 24,1,2024-09-07 09:13:40:584,652053,652053,0,0,305735005013,3206639311,644571,5901,1581,367,392269,0 24,2,2024-09-07 09:13:41:070,470657,470657,0,0,22944064,0,3607 24,3,2024-09-07 09:13:41:693,1,372,7,0,468,5130,372,0 25,0,2024-09-07 09:13:41:338,96093,0.4,93663,0.6,183695,0.4,250350,1.75 25,1,2024-09-07 09:13:40:563,652136,652136,0,0,305454363753,3211898568,642331,7921,1884,371,391928,0 25,2,2024-09-07 09:13:41:606,469030,469030,0,0,22951986,0,3978 25,3,2024-09-07 09:13:41:004,1,372,20,0,255,3705,372,0 26,0,2024-09-07 09:13:41:723,92615,0.4,90401,0.6,189699,0.3,247175,1.75 26,1,2024-09-07 09:13:41:546,653201,653201,0,0,305698464829,3202135393,643653,8002,1546,380,391748,0 26,2,2024-09-07 09:13:40:866,470404,470404,0,0,22909263,0,2809 26,3,2024-09-07 09:13:41:715,1,372,46,0,796,4691,372,0 27,0,2024-09-07 09:13:41:729,94440,0.4,94534,0.6,187557,0.4,250619,2.00 27,1,2024-09-07 09:13:41:676,655210,655210,0,0,307268454657,3197603646,649386,5004,820,381,391626,0 27,2,2024-09-07 09:13:40:873,467033,466968,65,0,21944311,0,5699 27,3,2024-09-07 09:13:41:019,1,372,1,0,564,3751,372,0 28,0,2024-09-07 09:13:41:398,95017,0.4,94625,0.6,189491,0.3,253748,2.00 28,1,2024-09-07 09:13:40:801,654447,654447,0,0,307643257692,3203119925,649780,3906,761,383,391698,0 28,2,2024-09-07 09:13:41:774,471633,471633,0,0,19489205,0,2915 28,3,2024-09-07 09:13:41:777,1,372,12,0,502,4086,372,0 29,0,2024-09-07 09:13:41:426,96149,0.3,93601,0.6,183741,0.3,250474,1.75 29,1,2024-09-07 09:13:41:577,655702,655702,0,0,307721633751,3183671813,651343,3672,687,369,391753,0 29,2,2024-09-07 09:13:40:866,470366,470366,0,0,18653396,0,4986 29,3,2024-09-07 09:13:40:963,1,372,1,0,459,4485,372,0 30,0,2024-09-07 09:13:41:480,91468,0.5,88957,0.7,186225,0.4,243531,2.00 30,1,2024-09-07 09:13:40:576,655727,655727,0,0,307533103454,3190479754,650900,4117,710,381,391672,0 30,2,2024-09-07 09:13:41:272,471877,471877,0,0,17716478,0,3161 30,3,2024-09-07 09:13:40:581,1,372,46,0,519,3697,372,0 31,0,2024-09-07 09:13:41:763,92445,0.4,92946,0.6,185719,0.3,247582,1.75 31,1,2024-09-07 09:13:40:567,657599,657599,0,0,308283962531,3159345429,655050,1990,559,356,391712,0 31,2,2024-09-07 09:13:41:279,467061,467061,0,0,19282860,0,3525 31,3,2024-09-07 09:13:41:712,1,372,2,0,220,3136,372,0 32,0,2024-09-07 09:13:41:426,95343,0.3,95811,0.5,191161,0.2,254904,1.50 32,1,2024-09-07 09:13:40:841,654328,654328,0,0,306804087512,3185625009,650625,3222,481,381,391646,0 32,2,2024-09-07 09:13:40:945,472948,472948,0,0,16610505,0,3155 32,3,2024-09-07 09:13:41:028,1,372,8,0,227,2581,372,0 33,0,2024-09-07 09:13:41:500,92090,0.3,91658,0.4,183973,0.2,244685,1.50 33,1,2024-09-07 09:13:40:575,655820,655820,0,0,307087842607,3179636966,650940,3960,920,369,391730,0 33,2,2024-09-07 09:13:40:760,473292,473257,35,0,19465972,0,7012 33,3,2024-09-07 09:13:40:896,1,372,1,0,329,3731,372,0 34,0,2024-09-07 09:13:40:935,92016,0.3,94639,0.4,181062,0.2,243140,1.75 34,1,2024-09-07 09:13:41:055,657107,657107,0,0,308553693370,3169886414,655679,1419,9,367,391562,0 34,2,2024-09-07 09:13:40:766,471461,471461,0,0,18188641,0,3577 34,3,2024-09-07 09:13:41:691,1,372,4,0,299,2581,372,0 35,0,2024-09-07 09:13:40:861,90880,0.3,91290,0.5,183710,0.3,244547,1.75 35,1,2024-09-07 09:13:41:067,655038,655038,0,0,307287560205,3181655956,651371,2885,782,382,391769,0 35,2,2024-09-07 09:13:41:590,467051,467051,0,0,18130602,0,2653 35,3,2024-09-07 09:13:40:916,1,372,1,0,418,4390,372,0 36,0,2024-09-07 09:13:41:528,95638,0.4,95445,0.6,191040,0.4,254292,2.00 36,1,2024-09-07 09:13:40:583,654038,654038,0,0,306740138631,3200680970,646211,6142,1685,366,391759,0 36,2,2024-09-07 09:13:41:751,472837,472837,0,0,20853253,0,3875 36,3,2024-09-07 09:13:40:866,1,372,8,0,416,5756,372,0 37,0,2024-09-07 09:13:41:438,91450,0.5,91347,0.6,182876,0.4,243650,2.00 37,1,2024-09-07 09:13:40:583,653723,653716,0,7,306253568000,3197782476,646305,5251,2160,365,391570,0 37,2,2024-09-07 09:13:41:142,470895,470880,15,0,20940361,0,5815 37,3,2024-09-07 09:13:41:766,1,372,3,0,888,5792,372,0 38,0,2024-09-07 09:13:41:443,92255,0.5,89611,0.7,187589,0.4,245099,2.00 38,1,2024-09-07 09:13:41:605,654821,654821,0,0,307304845006,3204860308,646645,6891,1285,368,391821,0 38,2,2024-09-07 09:13:40:760,470029,469982,47,0,20686815,0,6710 38,3,2024-09-07 09:13:41:004,1,372,2,0,689,5039,372,0 39,0,2024-09-07 09:13:41:768,95056,0.6,93067,0.7,181252,0.5,247397,2.00 39,1,2024-09-07 09:13:40:718,653039,653039,0,0,306622411398,3208442495,643443,7879,1717,365,391658,0 39,2,2024-09-07 09:13:41:438,468889,468889,0,0,18993258,0,2689 39,3,2024-09-07 09:13:40:713,1,372,1,0,324,4560,372,0 40,0,2024-09-07 09:13:41:496,93604,0.8,94282,1.0,188176,0.8,251073,2.75 40,1,2024-09-07 09:13:40:575,653958,653958,0,0,305198288394,3193803420,644839,7505,1614,368,391668,0 40,2,2024-09-07 09:13:41:307,470068,470067,1,0,22691362,0,5137 40,3,2024-09-07 09:13:41:143,1,372,8,0,1028,5214,372,0 41,0,2024-09-07 09:13:41:050,93066,1.6,95255,1.3,181929,2.6,247155,3.25 41,1,2024-09-07 09:13:40:768,652457,652457,0,0,306368297090,3203300400,644616,7074,767,369,391742,0 41,2,2024-09-07 09:13:40:766,470164,470164,0,0,21645610,0,4277 41,3,2024-09-07 09:13:41:682,1,372,226,0,366,3919,372,0 42,0,2024-09-07 09:13:41:475,93061,0.9,93130,1.0,186569,1.1,246875,2.75 42,1,2024-09-07 09:13:41:456,651733,651733,0,0,305538231232,3209699436,641606,8362,1765,380,391675,0 42,2,2024-09-07 09:13:41:132,469617,469617,0,0,22071317,0,3790 42,3,2024-09-07 09:13:41:012,1,372,4,0,446,3168,372,0 43,0,2024-09-07 09:13:40:924,91218,0.9,88853,1.0,186256,0.9,244171,2.25 43,1,2024-09-07 09:13:40:576,653304,653304,0,0,307170388833,3210416151,643778,7796,1730,366,391696,0 43,2,2024-09-07 09:13:41:739,470784,470784,0,0,21816291,0,3812 43,3,2024-09-07 09:13:41:764,1,372,22,0,467,4410,372,0 44,0,2024-09-07 09:13:40:862,94187,0.4,94558,0.6,188822,0.4,251338,1.75 44,1,2024-09-07 09:13:40:563,655180,655180,0,0,307061941631,3171752017,650083,4050,1047,356,391809,0 44,2,2024-09-07 09:13:41:271,467613,467613,0,0,17618778,0,4344 44,3,2024-09-07 09:13:41:093,1,372,16,0,817,4644,372,0 45,0,2024-09-07 09:13:41:764,93390,0.4,91189,0.7,191133,0.4,251339,2.00 45,1,2024-09-07 09:13:41:005,654634,654634,0,0,306784750427,3184694440,650191,3967,476,382,391917,0 45,2,2024-09-07 09:13:41:274,472442,472442,0,0,18200417,0,3596 45,3,2024-09-07 09:13:40:934,1,372,2,0,271,3471,372,0 46,0,2024-09-07 09:13:40:950,92013,0.5,92089,0.7,184319,0.5,244962,2.00 46,1,2024-09-07 09:13:40:575,655873,655873,0,0,307924209399,3177752817,652089,3357,427,366,391572,0 46,2,2024-09-07 09:13:40:592,472370,472370,0,0,17439895,0,2920 46,3,2024-09-07 09:13:41:131,1,372,1,0,908,5127,372,0 47,0,2024-09-07 09:13:41:107,92255,0.5,92501,0.6,185353,0.4,244924,2.00 47,1,2024-09-07 09:13:40:569,656895,656895,0,0,307005599929,3172773739,652403,3677,815,366,391641,0 47,2,2024-09-07 09:13:40:907,473031,473031,0,0,18006761,0,4477 47,3,2024-09-07 09:13:41:116,1,372,17,0,529,3972,372,0 48,0,2024-09-07 09:13:41:497,92627,0.3,92261,0.4,184039,0.2,246165,1.50 48,1,2024-09-07 09:13:41:022,654963,654963,0,0,307388527693,3191391108,650904,3606,453,384,391710,0 48,2,2024-09-07 09:13:40:702,466386,466386,0,0,16115579,0,3031 48,3,2024-09-07 09:13:40:756,1,372,19,0,339,3110,372,0 49,0,2024-09-07 09:13:41:739,97906,0.3,96182,0.5,186108,0.2,255550,1.75 49,1,2024-09-07 09:13:41:023,654373,654373,0,0,306623880070,3190037239,649771,3397,1205,382,391809,0 49,2,2024-09-07 09:13:41:803,473146,473146,0,0,18090111,0,4426 49,3,2024-09-07 09:13:41:427,1,372,1,0,408,3675,372,0 50,0,2024-09-07 09:13:41:513,92074,0.3,90619,0.4,182924,0.2,243619,1.75 50,1,2024-09-07 09:13:41:011,656434,656434,0,0,307673734129,3178938863,652313,3734,387,368,391565,0 50,2,2024-09-07 09:13:41:067,472691,472691,0,0,16736165,0,2263 50,3,2024-09-07 09:13:41:292,1,372,17,0,335,3454,372,0 51,0,2024-09-07 09:13:41:684,94681,0.3,92565,0.5,180493,0.2,245731,1.75 51,1,2024-09-07 09:13:41:688,656553,656553,0,0,308786257173,3182869503,653268,2309,976,365,391706,0 51,2,2024-09-07 09:13:41:316,471021,471021,0,0,16397488,0,3337 51,3,2024-09-07 09:13:41:033,1,372,1,0,678,2940,372,0 52,0,2024-09-07 09:13:41:418,92647,0.5,92595,0.7,185072,0.4,245607,2.00 52,1,2024-09-07 09:13:40:581,653704,653704,0,0,306330678020,3205017494,644764,7626,1314,368,391722,0 52,2,2024-09-07 09:13:41:768,464197,464159,38,0,21421981,0,6742 52,3,2024-09-07 09:13:40:675,1,372,13,0,1782,5524,372,0 53,0,2024-09-07 09:13:41:737,93736,0.6,91116,0.8,190875,0.6,250448,2.25 53,1,2024-09-07 09:13:40:771,652535,652535,0,0,306708229151,3215262553,642442,7416,2677,367,391702,0 53,2,2024-09-07 09:13:41:299,472534,472533,1,0,19170363,0,5455 53,3,2024-09-07 09:13:40:697,1,372,0,0,308,3300,372,0 54,0,2024-09-07 09:13:41:618,91591,0.6,91935,0.8,183004,0.4,244396,2.25 54,1,2024-09-07 09:13:40:583,654062,654062,0,0,307148289238,3189113276,648138,5305,619,366,391659,0 54,2,2024-09-07 09:13:40:866,472200,472168,32,0,21995467,0,6397 54,3,2024-09-07 09:13:40:763,1,372,0,0,676,5485,372,0 55,0,2024-09-07 09:13:41:772,90449,0.6,93581,0.7,188962,0.5,246273,2.50 55,1,2024-09-07 09:13:40:765,654205,654205,0,0,306268420663,3183083616,647475,5821,909,365,391731,0 55,2,2024-09-07 09:13:40:729,469024,468968,56,0,21312722,0,7239 55,3,2024-09-07 09:13:40:674,1,372,54,0,304,4035,372,0 56,0,2024-09-07 09:13:41:582,94784,1.1,89318,1.1,184214,1.5,247005,2.50 56,1,2024-09-07 09:13:40:576,650804,650804,0,0,305721746496,3227889305,640778,7855,2171,381,391867,0 56,2,2024-09-07 09:13:41:319,469854,469854,0,0,21186106,0,3567 56,3,2024-09-07 09:13:41:062,1,372,8,0,705,4648,372,0 57,0,2024-09-07 09:13:40:951,92892,1.6,92842,1.3,185817,2.2,248652,3.00 57,1,2024-09-07 09:13:40:999,652879,652879,0,0,306841705286,3215758665,645013,6853,1013,366,392032,0 57,2,2024-09-07 09:13:41:316,469885,469885,0,0,23010270,0,3317 57,3,2024-09-07 09:13:41:740,1,372,12,0,359,4177,372,0 58,0,2024-09-07 09:13:40:557,93248,0.8,90576,0.9,189627,1.0,248680,2.50 58,1,2024-09-07 09:13:40:576,653496,653493,0,3,307189799091,3211097393,644514,7726,1253,367,391603,3 58,2,2024-09-07 09:13:41:071,470730,470730,0,0,21421763,0,2857 58,3,2024-09-07 09:13:41:067,1,372,1,0,1043,4181,372,0 59,0,2024-09-07 09:13:41:757,93318,0.8,92843,0.9,185561,0.8,246935,2.75 59,1,2024-09-07 09:13:40:821,652611,652611,0,0,306529196447,3208872726,643908,7139,1564,369,391586,0 59,2,2024-09-07 09:13:40:586,471600,471600,0,0,21029218,0,2867 59,3,2024-09-07 09:13:41:738,1,372,3,0,1015,4756,372,0 60,0,2024-09-07 09:13:41:711,91821,0.5,91736,0.7,184291,0.5,244485,1.75 60,1,2024-09-07 09:13:40:774,655327,655327,0,0,307225716435,3187430245,651145,3533,649,370,392031,0 60,2,2024-09-07 09:13:41:141,471607,471607,0,0,19990667,0,3811 60,3,2024-09-07 09:13:41:259,1,372,8,0,409,4330,372,0 61,0,2024-09-07 09:13:41:508,92503,0.6,93237,0.7,185437,0.5,247323,2.00 61,1,2024-09-07 09:13:40:769,653490,653490,0,0,306397889210,3208671414,646240,5924,1326,382,392127,0 61,2,2024-09-07 09:13:41:115,467093,467026,67,0,20150515,0,6411 61,3,2024-09-07 09:13:41:687,1,372,8,0,479,5282,372,0 62,0,2024-09-07 09:13:41:754,96048,0.5,98268,0.6,187323,0.4,254836,1.75 62,1,2024-09-07 09:13:41:111,657924,657918,0,6,308117302608,3177743583,654279,3379,260,365,391715,6 62,2,2024-09-07 09:13:41:647,470920,470919,1,0,19862392,0,5555 62,3,2024-09-07 09:13:41:144,1,372,1,0,465,2757,372,0 63,0,2024-09-07 09:13:41:458,92306,0.4,92016,0.6,184594,0.3,245055,1.75 63,1,2024-09-07 09:13:40:833,655575,655569,0,6,306716926868,3181545945,651627,3554,388,381,391800,6 63,2,2024-09-07 09:13:40:762,472846,472846,0,0,18305273,0,4369 63,3,2024-09-07 09:13:41:732,1,372,3,0,667,3948,372,0 64,0,2024-09-07 09:13:41:560,91245,0.5,91256,0.7,182610,0.5,242235,2.00 64,1,2024-09-07 09:13:40:777,654905,654905,0,0,307135464235,3197441159,649078,4150,1677,370,391783,0 64,2,2024-09-07 09:13:41:151,474129,474110,19,0,17909663,0,6121 64,3,2024-09-07 09:13:41:140,1,372,9,0,265,3354,372,0 65,0,2024-09-07 09:13:41:676,90729,0.6,90988,0.7,181857,0.6,242448,2.00 65,1,2024-09-07 09:13:40:866,653129,653129,0,0,306158779891,3198798863,648345,4245,539,382,391901,0 65,2,2024-09-07 09:13:41:699,467577,467577,0,0,19794980,0,3367 65,3,2024-09-07 09:13:41:684,1,372,2,0,347,3813,372,0 66,0,2024-09-07 09:13:41:767,95022,0.5,94946,0.7,189825,0.4,253079,2.00 66,1,2024-09-07 09:13:41:297,655196,655196,0,0,307350776369,3193518866,651455,3418,323,380,391653,0 66,2,2024-09-07 09:13:41:132,474126,474126,0,0,18113934,0,4956 66,3,2024-09-07 09:13:41:084,1,372,2,0,291,3458,372,0 67,0,2024-09-07 09:13:41:423,91996,0.5,91660,0.6,183800,0.4,244366,2.00 67,1,2024-09-07 09:13:40:768,655028,655027,0,1,306937379747,3194238567,650567,3676,784,380,391787,1 67,2,2024-09-07 09:13:40:586,473661,473661,0,0,17367602,0,2889 67,3,2024-09-07 09:13:41:755,1,372,1,0,338,3305,372,0 68,0,2024-09-07 09:13:40:686,93186,0.6,92854,0.7,185190,0.6,247180,2.00 68,1,2024-09-07 09:13:40:578,652386,652386,0,0,305449339411,3204454175,645504,4809,2073,381,391953,0 68,2,2024-09-07 09:13:41:045,468231,468131,100,0,23789811,0,8578 68,3,2024-09-07 09:13:40:728,1,372,5,0,417,3867,372,0 69,0,2024-09-07 09:13:41:812,92717,0.8,93277,0.9,185648,0.9,246562,2.25 69,1,2024-09-07 09:13:41:016,651307,651307,0,0,306042528231,3227462760,642375,6744,2188,384,391994,0 69,2,2024-09-07 09:13:41:733,468559,468530,29,0,23664939,0,6912 69,3,2024-09-07 09:13:40:773,1,372,4,0,698,5221,372,0 70,0,2024-09-07 09:13:41:552,93605,1.0,93702,1.0,188347,0.8,250064,2.50 70,1,2024-09-07 09:13:40:801,654806,654806,0,0,307705905785,3192134687,649568,4580,658,366,391725,0 70,2,2024-09-07 09:13:41:325,470569,470569,0,0,20586272,0,4044 70,3,2024-09-07 09:13:40:745,1,372,1,0,854,4286,372,0 71,0,2024-09-07 09:13:41:360,92845,0.9,92541,1.0,185865,1.1,248581,2.75 71,1,2024-09-07 09:13:41:599,653576,653576,0,0,307303013468,3205549238,646138,6690,748,368,391738,0 71,2,2024-09-07 09:13:41:074,471348,471348,0,0,21321725,0,4352 71,3,2024-09-07 09:13:41:751,1,372,1,0,644,4845,372,0 72,0,2024-09-07 09:13:41:029,96896,0.6,94761,0.7,184708,0.5,251281,2.00 72,1,2024-09-07 09:13:41:028,652510,652510,0,0,305449189320,3201279150,643306,7385,1819,369,391819,0 72,2,2024-09-07 09:13:41:758,469908,469908,0,0,23418200,0,3983 72,3,2024-09-07 09:13:41:764,1,372,18,0,564,5667,372,0 73,0,2024-09-07 09:13:41:130,90038,0.4,92351,0.6,188829,0.4,245420,2.00 73,1,2024-09-07 09:13:40:769,653993,653993,0,0,306293003686,3182722568,649319,4310,364,367,391858,0 73,2,2024-09-07 09:13:41:739,470448,470448,0,0,22858779,0,3701 73,3,2024-09-07 09:13:40:974,1,372,29,0,486,5282,372,0 74,0,2024-09-07 09:13:41:321,94688,0.4,96956,0.6,184974,0.4,251426,2.25 74,1,2024-09-07 09:13:40:635,653516,653516,0,0,306524212448,3198139305,647159,5094,1263,381,391681,0 74,2,2024-09-07 09:13:41:005,467449,467449,0,0,21143916,0,4253 74,3,2024-09-07 09:13:41:445,1,372,63,0,522,5231,372,0 75,0,2024-09-07 09:13:41:776,94767,0.4,94162,0.6,189039,0.4,252354,2.25 75,1,2024-09-07 09:13:41:590,653224,653224,0,0,306461536403,3198683938,646653,5745,826,380,391739,0 75,2,2024-09-07 09:13:41:353,470870,470870,0,0,22413688,0,4766 75,3,2024-09-07 09:13:41:067,1,372,1,0,702,5105,372,0 76,0,2024-09-07 09:13:40:625,92276,0.6,91665,0.7,183963,0.5,246277,2.25 76,1,2024-09-07 09:13:40:822,654277,654277,0,0,306421429537,3192220218,650343,3343,591,382,391692,0 76,2,2024-09-07 09:13:41:070,473789,473788,1,0,20414403,0,5144 76,3,2024-09-07 09:13:41:146,1,372,12,0,175,3457,372,0 77,0,2024-09-07 09:13:41:704,91952,0.6,92115,0.7,184354,0.6,244780,2.00 77,1,2024-09-07 09:13:40:831,653807,653807,0,0,306869461647,3203210832,648473,4842,492,381,391869,0 77,2,2024-09-07 09:13:41:298,471724,471724,0,0,19963883,0,3890 77,3,2024-09-07 09:13:41:094,1,372,5,0,401,4077,372,0 78,0,2024-09-07 09:13:41:740,92444,0.4,91992,0.6,184743,0.4,245267,2.00 78,1,2024-09-07 09:13:40:610,654816,654816,0,0,306364279120,3185034010,648873,4798,1145,367,391670,0 78,2,2024-09-07 09:13:41:413,467522,467522,0,0,17018561,0,3855 78,3,2024-09-07 09:13:41:140,1,372,19,0,181,3491,372,0 79,0,2024-09-07 09:13:41:353,92387,0.4,94612,0.6,193775,0.3,252367,2.00 79,1,2024-09-07 09:13:40:574,656327,656327,0,0,307083966492,3176585562,651739,3953,635,368,391682,0 79,2,2024-09-07 09:13:41:074,473596,473596,0,0,18202397,0,4195 79,3,2024-09-07 09:13:40:749,1,372,2,0,418,4624,372,0 80,0,2024-09-07 09:13:41:093,91495,0.5,94258,0.6,180528,0.5,243348,2.00 80,1,2024-09-07 09:13:41:619,654328,654328,0,0,307292821481,3193758466,650639,3491,198,368,391791,0 80,2,2024-09-07 09:13:41:100,474147,474147,0,0,17958276,0,4433 80,3,2024-09-07 09:13:40:584,1,372,7,0,190,4431,372,0 81,0,2024-09-07 09:13:41:545,92298,0.5,94513,0.7,180386,0.5,244482,2.00 81,1,2024-09-07 09:13:41:667,653721,653721,0,0,305888370766,3195209859,648488,4731,502,382,391879,0 81,2,2024-09-07 09:13:41:125,470103,470040,63,0,19618589,0,5932 81,3,2024-09-07 09:13:41:116,1,372,1,0,374,4090,372,0 82,0,2024-09-07 09:13:41:532,91925,0.5,92406,0.7,185262,0.4,245324,2.00 82,1,2024-09-07 09:13:40:583,655462,655458,0,4,307207403252,3194505771,651373,3287,798,381,391768,4 82,2,2024-09-07 09:13:41:691,469089,469089,0,0,16429603,0,3986 82,3,2024-09-07 09:13:41:756,1,372,0,0,363,3563,372,0 83,0,2024-09-07 09:13:41:552,94671,0.5,94391,0.6,188530,0.4,250856,2.00 83,1,2024-09-07 09:13:40:551,653271,653271,0,0,306484509882,3192310708,648887,4003,381,382,391709,0 83,2,2024-09-07 09:13:40:766,472129,472129,0,0,17302913,0,3393 83,3,2024-09-07 09:13:40:749,1,372,25,0,1260,4998,372,0 84,0,2024-09-07 09:13:41:768,92452,0.7,92343,0.9,184368,0.6,246824,2.25 84,1,2024-09-07 09:13:41:047,652888,652888,0,0,306227072455,3200579653,645879,5977,1032,367,391967,0 84,2,2024-09-07 09:13:40:573,471353,471323,30,0,24006552,0,5971 84,3,2024-09-07 09:13:41:142,1,372,8,0,908,5526,372,0 85,0,2024-09-07 09:13:41:057,90175,0.6,90207,0.8,191431,0.6,247555,2.25 85,1,2024-09-07 09:13:40:560,650720,650720,0,0,306730114099,3233116019,641625,7688,1407,381,392006,0 85,2,2024-09-07 09:13:40:867,469474,469474,0,0,21891486,0,3656 85,3,2024-09-07 09:13:40:685,1,372,1,0,789,4948,372,0 86,0,2024-09-07 09:13:40:902,92621,0.6,95282,0.8,182307,0.7,246754,2.25 86,1,2024-09-07 09:13:40:853,653201,653201,0,0,306786096056,3209096811,646052,6080,1069,366,391961,0 86,2,2024-09-07 09:13:40:855,468780,468779,1,0,23154800,0,5004 86,3,2024-09-07 09:13:40:589,1,372,2,0,308,5515,372,0 87,0,2024-09-07 09:13:41:302,93832,1.0,93778,0.9,187859,1.3,250971,2.25 87,1,2024-09-07 09:13:40:561,652386,652386,0,0,305662298402,3196771277,645310,6173,903,366,392076,0 87,2,2024-09-07 09:13:41:074,467968,467967,1,0,21118826,0,6323 87,3,2024-09-07 09:13:41:796,1,372,2,0,473,5535,372,0 88,0,2024-09-07 09:13:41:455,94660,0.4,95360,0.6,189737,0.4,253157,1.75 88,1,2024-09-07 09:13:40:568,651234,651234,0,0,305719875705,3203127721,642770,6583,1881,365,392084,0 88,2,2024-09-07 09:13:40:695,472153,472153,0,0,22958707,0,3583 88,3,2024-09-07 09:13:41:283,1,372,12,0,435,3916,372,0 89,0,2024-09-07 09:13:41:777,96285,0.5,93241,0.6,184398,0.4,250547,1.75 89,1,2024-09-07 09:13:40:552,651798,651798,0,0,305792361616,3214802413,643613,6826,1359,382,391866,0 89,2,2024-09-07 09:13:41:135,470020,470020,0,0,22217104,0,3173 89,3,2024-09-07 09:13:41:815,1,372,6,0,385,6497,372,0 90,0,2024-09-07 09:13:41:713,89078,0.5,91534,0.6,186690,0.4,243505,2.00 90,1,2024-09-07 09:13:40:592,653226,653226,0,0,306410214328,3209450620,646840,5880,506,380,391825,0 90,2,2024-09-07 09:13:41:406,469597,469597,0,0,23460117,0,3060 90,3,2024-09-07 09:13:40:930,1,372,7,0,246,4324,372,0 91,0,2024-09-07 09:13:40:937,93344,0.4,90316,0.6,188705,0.4,247757,1.75 91,1,2024-09-07 09:13:40:559,651694,651694,0,0,306022313550,3217638331,643294,7212,1188,381,392047,0 91,2,2024-09-07 09:13:41:331,467582,467582,0,0,20653705,0,2896 91,3,2024-09-07 09:13:40:598,1,372,70,0,216,3429,372,0 92,0,2024-09-07 09:13:41:463,96137,0.4,98545,0.6,188139,0.4,255135,1.75 92,1,2024-09-07 09:13:40:582,653167,653167,0,0,306057591405,3194945229,648332,4143,692,382,392136,0 92,2,2024-09-07 09:13:41:360,472955,472955,0,0,18777940,0,3259 92,3,2024-09-07 09:13:41:014,1,372,8,0,167,3164,372,0 93,0,2024-09-07 09:13:40:954,92559,0.4,94841,0.5,181005,0.3,245226,1.75 93,1,2024-09-07 09:13:40:815,653541,653541,0,0,306632486437,3197965535,647360,5149,1032,366,391776,0 93,2,2024-09-07 09:13:40:928,472163,472163,0,0,22128138,0,4845 93,3,2024-09-07 09:13:41:413,1,372,2,0,190,3471,372,0 94,0,2024-09-07 09:13:41:615,91384,0.4,92208,0.5,184238,0.3,243928,1.75 94,1,2024-09-07 09:13:40:602,653791,653791,0,0,306526405869,3195699195,649724,3876,191,381,391850,0 94,2,2024-09-07 09:13:40:764,470867,470867,0,0,18764015,0,2443 94,3,2024-09-07 09:13:41:712,1,372,7,0,264,4397,372,0 95,0,2024-09-07 09:13:41:358,91470,0.4,91506,0.5,183446,0.3,244241,1.75 95,1,2024-09-07 09:13:40:855,655288,655288,0,0,307048670145,3189762133,650256,4630,402,367,391713,0 95,2,2024-09-07 09:13:41:023,467252,467252,0,0,18222981,0,3308 95,3,2024-09-07 09:13:41:715,1,372,4,0,718,5565,372,0 96,0,2024-09-07 09:13:41:035,95476,0.3,95626,0.5,191077,0.3,253781,1.75 96,1,2024-09-07 09:13:41:585,653321,653321,0,0,306863137557,3199389348,648730,3793,798,384,391955,0 96,2,2024-09-07 09:13:41:292,473093,473093,0,0,18553102,0,4042 96,3,2024-09-07 09:13:41:140,1,372,9,0,411,3988,372,0 97,0,2024-09-07 09:13:41:356,91946,0.3,91648,0.5,184041,0.3,244134,1.50 97,1,2024-09-07 09:13:40:766,654950,654950,0,0,307494749230,3186712884,650700,3556,694,367,392140,0 97,2,2024-09-07 09:13:40:607,473119,473119,0,0,18426860,0,3679 97,3,2024-09-07 09:13:40:573,1,372,1,0,214,4164,372,0 98,0,2024-09-07 09:13:41:760,92759,0.3,92783,0.4,186212,0.2,247059,1.50 98,1,2024-09-07 09:13:40:573,654268,654268,0,0,307106014028,3192589608,651297,2852,119,382,391997,0 98,2,2024-09-07 09:13:40:772,470668,470668,0,0,18045190,0,3080 98,3,2024-09-07 09:13:40:699,1,372,1,0,840,5680,372,0 99,0,2024-09-07 09:13:41:468,93178,0.3,93831,0.5,186470,0.3,248710,1.75 99,1,2024-09-07 09:13:41:729,654221,654221,0,0,306634859992,3190815231,649815,3634,772,381,392069,0 99,2,2024-09-07 09:13:41:418,470085,470085,0,0,20850991,0,3424 99,3,2024-09-07 09:13:40:592,1,372,2,0,606,4055,372,0 100,0,2024-09-07 09:13:41:462,94273,0.8,94332,1.0,188056,0.9,251833,2.50 100,1,2024-09-07 09:13:40:550,650716,650716,0,0,305457876969,3225906486,641215,7606,1895,381,391989,0 100,2,2024-09-07 09:13:41:817,469470,469459,11,0,21165770,0,5417 100,3,2024-09-07 09:13:41:732,1,372,8,0,559,6215,372,0 101,0,2024-09-07 09:13:41:726,95684,1.1,93154,1.0,182955,1.0,250484,2.25 101,1,2024-09-07 09:13:40:555,650818,650818,0,0,305471243753,3215548564,640883,7819,2116,368,391847,0 101,2,2024-09-07 09:13:41:760,468903,468903,0,0,25426756,0,4871 101,3,2024-09-07 09:13:40:942,1,372,2,0,579,4965,372,0 102,0,2024-09-07 09:13:40:942,91354,0.7,94372,0.8,190962,0.6,250108,2.00 102,1,2024-09-07 09:13:41:155,652016,652016,0,0,305645395240,3209341753,643750,7009,1257,369,391891,0 102,2,2024-09-07 09:13:41:747,470685,470631,54,0,20784919,0,6768 102,3,2024-09-07 09:13:41:614,1,372,6,0,410,3797,372,0 103,0,2024-09-07 09:13:41:596,95370,0.6,95293,0.7,179749,0.6,247233,2.00 103,1,2024-09-07 09:13:41:627,650604,650604,0,0,305621678427,3224070036,640492,7526,2586,381,391829,0 103,2,2024-09-07 09:13:40:583,469553,469553,0,0,22112362,0,3766 103,3,2024-09-07 09:13:40:757,1,372,1,0,916,4328,372,0 104,0,2024-09-07 09:13:41:017,93264,0.7,93630,0.9,185849,0.7,250253,2.25 104,1,2024-09-07 09:13:41:601,652948,652948,0,0,305478616742,3209648850,643439,7736,1773,365,392168,0 104,2,2024-09-07 09:13:41:666,466621,466621,0,0,22386480,0,3941 104,3,2024-09-07 09:13:41:423,1,372,7,0,1245,7772,372,0 105,0,2024-09-07 09:13:41:036,93387,0.7,90964,1.0,190695,0.7,250923,2.50 105,1,2024-09-07 09:13:40:554,653553,653553,0,0,306622332842,3213178683,645142,7074,1337,366,392009,0 105,2,2024-09-07 09:13:41:325,471103,471103,0,0,21896174,0,3509 105,3,2024-09-07 09:13:41:308,1,372,1,0,399,5758,372,0 106,0,2024-09-07 09:13:40:939,89448,0.8,91595,0.9,187747,0.8,245698,2.50 106,1,2024-09-07 09:13:41:751,652231,652231,0,0,305862538470,3212301340,642490,8445,1296,369,391914,0 106,2,2024-09-07 09:13:40:756,470594,470594,0,0,21743847,0,2795 106,3,2024-09-07 09:13:40:678,1,372,2,0,470,4731,372,0 107,0,2024-09-07 09:13:41:109,91765,1.1,91918,1.0,183691,1.5,244691,2.25 107,1,2024-09-07 09:13:40:588,651207,651207,0,0,304824540849,3209584570,642823,7416,968,381,392234,0 107,2,2024-09-07 09:13:41:302,469476,469475,1,0,22472062,0,5024 107,3,2024-09-07 09:13:41:757,1,372,215,0,353,5262,372,0 108,0,2024-09-07 09:13:41:806,91811,0.4,92527,0.6,183849,0.4,245912,1.75 108,1,2024-09-07 09:13:41:306,653987,653987,0,0,307467476794,3203370221,648876,4592,519,368,391857,0 108,2,2024-09-07 09:13:41:762,465715,465715,0,0,20606266,0,4246 108,3,2024-09-07 09:13:41:346,1,372,16,0,749,7328,372,0 109,0,2024-09-07 09:13:41:786,95912,0.4,95130,0.5,190271,0.3,254960,1.75 109,1,2024-09-07 09:13:40:584,651803,651803,0,0,305629635441,3202245750,646557,4580,666,383,392132,0 109,2,2024-09-07 09:13:40:927,470438,470438,0,0,20332222,0,3617 109,3,2024-09-07 09:13:41:140,1,372,1,0,379,4361,372,0 110,0,2024-09-07 09:13:41:779,91529,0.4,89032,0.6,186522,0.3,244005,1.75 110,1,2024-09-07 09:13:41:681,655343,655343,0,0,307316179191,3185620240,651329,3033,981,369,392045,0 110,2,2024-09-07 09:13:41:310,472835,472835,0,0,20199167,0,4067 110,3,2024-09-07 09:13:40:693,1,372,6,0,722,5423,372,0 111,0,2024-09-07 09:13:41:418,92663,0.4,91921,0.6,184425,0.4,245833,1.75 111,1,2024-09-07 09:13:41:005,655579,655579,0,0,307783083998,3190921596,652187,3039,353,382,391690,0 111,2,2024-09-07 09:13:41:124,470109,470109,0,0,19440542,0,4823 111,3,2024-09-07 09:13:40:915,1,372,1,0,379,4329,372,0 112,0,2024-09-07 09:13:40:920,93169,0.3,92517,0.4,185581,0.2,245973,1.50 112,1,2024-09-07 09:13:40:831,655020,655020,0,0,307324456239,3186048937,651411,3025,584,380,391624,0 112,2,2024-09-07 09:13:41:133,468054,468053,1,0,17799593,0,5036 112,3,2024-09-07 09:13:40:594,1,372,20,0,282,3783,372,0 113,0,2024-09-07 09:13:40:875,94306,0.3,94528,0.4,189213,0.2,252133,1.50 113,1,2024-09-07 09:13:41:693,656988,656988,0,0,308576386135,3181653285,653478,2926,584,366,391661,0 113,2,2024-09-07 09:13:41:308,473654,473654,0,0,16592031,0,3813 113,3,2024-09-07 09:13:40:684,1,372,207,0,340,4423,372,0 114,0,2024-09-07 09:13:40:903,93333,0.3,93998,0.5,186817,0.2,249372,1.75 114,1,2024-09-07 09:13:40:738,654932,654932,0,0,306620056291,3184619877,649480,3855,1597,381,391565,0 114,2,2024-09-07 09:13:40:873,472777,472776,1,0,18374592,0,5069 114,3,2024-09-07 09:13:41:283,1,372,1,0,395,3057,372,0 115,0,2024-09-07 09:13:40:557,93603,0.3,94251,0.4,188033,0.2,250096,1.50 115,1,2024-09-07 09:13:40:573,654593,654593,0,0,307766226066,3195329722,649354,4396,843,382,391757,0 115,2,2024-09-07 09:13:41:135,472055,472055,0,0,16990870,0,3848 115,3,2024-09-07 09:13:41:004,1,372,0,0,159,2222,372,0 116,0,2024-09-07 09:13:41:749,92401,0.7,92355,0.9,185172,0.8,248017,2.00 116,1,2024-09-07 09:13:40:802,651429,651429,0,0,306368246228,3228759351,643297,5815,2317,380,392089,0 116,2,2024-09-07 09:13:41:766,469543,469543,0,0,22509235,0,3529 116,3,2024-09-07 09:13:40:912,1,372,13,0,415,4474,372,0 117,0,2024-09-07 09:13:40:956,94252,0.8,93828,0.8,187785,0.9,251406,2.00 117,1,2024-09-07 09:13:41:598,652093,652093,0,0,305677224553,3199026130,645079,6169,845,369,392033,0 117,2,2024-09-07 09:13:41:139,471743,471743,0,0,18754092,0,3700 117,3,2024-09-07 09:13:41:061,1,372,1,0,490,5182,372,0 118,0,2024-09-07 09:13:41:797,92086,0.5,94357,0.7,192865,0.5,252569,2.00 118,1,2024-09-07 09:13:40:679,651229,651229,0,0,305524846489,3209272127,641837,7350,2042,366,391907,0 118,2,2024-09-07 09:13:41:597,470613,470613,0,0,21426328,0,2842 118,3,2024-09-07 09:13:41:776,1,372,1,0,248,4189,372,0 119,0,2024-09-07 09:13:41:355,93172,0.6,93620,0.7,187194,0.6,248967,2.00 119,1,2024-09-07 09:13:40:560,653175,653175,0,0,305766967541,3197417779,646105,6228,842,367,391780,0 119,2,2024-09-07 09:13:41:491,471243,471243,0,0,19881635,0,4174 119,3,2024-09-07 09:13:41:326,1,372,5,0,1058,6560,372,0 120,0,2024-09-07 09:13:41:563,91422,0.6,91159,0.8,182437,0.6,244122,2.25 120,1,2024-09-07 09:13:40:858,652390,652390,0,0,306110197575,3211674090,645481,6268,641,368,391961,0 120,2,2024-09-07 09:13:40:770,470396,470395,1,0,23199311,0,5281 120,3,2024-09-07 09:13:41:293,1,372,13,0,241,4476,372,0 121,0,2024-09-07 09:13:41:715,92692,0.9,92648,0.9,185983,1.1,247284,2.25 121,1,2024-09-07 09:13:41:659,653187,653187,0,0,306447262446,3204068778,647020,5726,441,367,391840,0 121,2,2024-09-07 09:13:41:142,465970,465970,0,0,22137718,0,4127 121,3,2024-09-07 09:13:40:727,1,372,1,0,269,4346,372,0 122,0,2024-09-07 09:13:41:857,94791,0.7,92582,0.8,194353,0.8,254841,2.00 122,1,2024-09-07 09:13:40:858,652041,652041,0,0,305337088006,3202245359,643247,7525,1269,366,392130,0 122,2,2024-09-07 09:13:41:318,471369,471296,73,0,24864691,0,5989 122,3,2024-09-07 09:13:40:595,1,372,23,0,512,7039,372,0 123,0,2024-09-07 09:13:40:965,91598,0.6,89564,0.7,186809,0.7,244683,2.00 123,1,2024-09-07 09:13:40:582,652101,652101,0,0,305839579872,3222469118,640166,9769,2166,369,392039,0 123,2,2024-09-07 09:13:41:027,470595,470594,1,0,21421101,0,5215 123,3,2024-09-07 09:13:41:134,1,372,2,0,168,4073,372,0 124,0,2024-09-07 09:13:40:938,94595,0.3,94576,0.5,178420,0.3,244727,1.75 124,1,2024-09-07 09:13:41:032,654548,654548,0,0,306624810896,3186793150,649815,3821,912,367,392178,0 124,2,2024-09-07 09:13:41:015,471855,471802,53,0,18921925,0,6487 124,3,2024-09-07 09:13:40:761,1,372,8,0,490,3701,372,0 125,0,2024-09-07 09:13:41:466,91852,0.4,91471,0.5,183910,0.3,244625,1.75 125,1,2024-09-07 09:13:40:855,653350,653350,0,0,306515101789,3197544972,648446,4257,647,382,391702,0 125,2,2024-09-07 09:13:41:126,467579,467579,0,0,19368469,0,4534 125,3,2024-09-07 09:13:41:126,1,372,1,0,709,4757,372,0 126,0,2024-09-07 09:13:41:441,95296,0.4,97975,0.5,187688,0.4,254504,1.75 126,1,2024-09-07 09:13:40:555,655083,655083,0,0,307673225279,3185621046,651322,3473,288,365,391987,0 126,2,2024-09-07 09:13:40:616,473088,473088,0,0,20086789,0,4539 126,3,2024-09-07 09:13:40:920,1,372,2,0,207,4690,372,0 127,0,2024-09-07 09:13:41:603,91812,0.3,92271,0.5,183983,0.3,244212,1.50 127,1,2024-09-07 09:13:40:583,654423,654423,0,0,307362905067,3191902494,648730,4815,878,364,392187,0 127,2,2024-09-07 09:13:40:639,471971,471971,0,0,18307662,0,3897 127,3,2024-09-07 09:13:41:278,1,372,10,0,968,4209,372,0 128,0,2024-09-07 09:13:41:525,93183,0.3,93539,0.4,186722,0.2,247359,1.50 128,1,2024-09-07 09:13:41:608,654377,654377,0,0,306693170020,3182352448,650160,3845,372,367,391838,0 128,2,2024-09-07 09:13:41:385,471165,471165,0,0,17635544,0,2915 128,3,2024-09-07 09:13:40:767,1,372,13,0,1082,6055,372,0 129,0,2024-09-07 09:13:40:993,93850,0.3,93301,0.5,187183,0.3,248951,1.50 129,1,2024-09-07 09:13:40:567,652016,652016,0,0,306186591900,3203294197,646177,4582,1257,379,391835,0 129,2,2024-09-07 09:13:40:685,469686,469686,0,0,18627094,0,4031 129,3,2024-09-07 09:13:40:688,1,372,2,0,506,5168,372,0 130,0,2024-09-07 09:13:41:752,94955,0.5,94580,0.6,190448,0.5,253310,1.75 130,1,2024-09-07 09:13:40:583,654905,654905,0,0,307710873517,3196492185,651474,3151,280,381,391825,0 130,2,2024-09-07 09:13:41:124,472416,472416,0,0,18374670,0,4067 130,3,2024-09-07 09:13:41:292,1,372,1,0,535,4790,372,0 131,0,2024-09-07 09:13:41:987,93644,0.4,94132,0.5,189416,0.3,250597,1.75 131,1,2024-09-07 09:13:41:842,654145,654145,0,0,306508385373,3197424662,649400,3997,748,381,391865,0 131,2,2024-09-07 09:13:40:575,473912,473912,0,0,16728883,0,2415 131,3,2024-09-07 09:13:41:693,1,372,1,0,392,3719,372,0 132,0,2024-09-07 09:13:41:445,93919,0.4,94818,0.6,188584,0.4,250913,1.75 132,1,2024-09-07 09:13:40:579,651315,651315,0,0,305834545167,3217995997,642177,7609,1529,381,392097,0 132,2,2024-09-07 09:13:40:699,470007,469990,17,0,23602955,0,6451 132,3,2024-09-07 09:13:41:700,1,372,12,0,804,6683,372,0 133,0,2024-09-07 09:13:41:540,90041,0.4,92132,0.6,189088,0.4,245901,1.75 133,1,2024-09-07 09:13:40:586,651284,651284,0,0,305579154233,3218485141,642414,7726,1144,383,391914,0 133,2,2024-09-07 09:13:41:088,469992,469942,50,0,24263232,0,6861 133,3,2024-09-07 09:13:41:325,1,372,48,0,479,3895,372,0 134,0,2024-09-07 09:13:40:938,94407,0.5,94142,0.7,188317,0.5,251966,2.00 134,1,2024-09-07 09:13:40:588,652435,652435,0,0,305548601351,3204609058,643852,6701,1882,366,391718,0 134,2,2024-09-07 09:13:41:783,467141,467117,24,0,21297540,0,6207 134,3,2024-09-07 09:13:40:749,1,372,78,0,739,4673,372,0 135,0,2024-09-07 09:13:41:097,91466,0.7,91397,0.8,193934,0.8,250012,2.00 135,1,2024-09-07 09:13:41:587,652333,652333,0,0,306071937479,3220529609,643381,7675,1277,380,391805,0 135,2,2024-09-07 09:13:40:729,472585,472585,0,0,21596803,0,3981 135,3,2024-09-07 09:13:41:015,1,372,1,0,299,3007,372,0 136,0,2024-09-07 09:13:41:678,92936,0.5,93268,0.7,185352,0.5,247599,2.25 136,1,2024-09-07 09:13:41:446,652152,652152,0,0,305766958592,3207011761,644897,6557,698,382,391685,0 136,2,2024-09-07 09:13:41:133,472635,472635,0,0,21153629,0,3506 136,3,2024-09-07 09:13:41:106,1,372,8,0,637,4101,372,0 137,0,2024-09-07 09:13:40:920,94710,0.6,92117,0.7,181170,0.7,245982,2.00 137,1,2024-09-07 09:13:40:578,651901,651901,0,0,305814028955,3210146545,641497,8465,1939,366,391898,0 137,2,2024-09-07 09:13:41:712,470231,470231,0,0,23395656,0,3185 137,3,2024-09-07 09:13:40:769,1,372,484,0,484,4802,372,0 138,0,2024-09-07 09:13:41:782,91576,1.2,91620,1.0,184036,1.6,244825,2.25 138,1,2024-09-07 09:13:41:689,652397,652397,0,0,305778893544,3209216532,643006,7790,1601,368,391954,0 138,2,2024-09-07 09:13:40:586,466303,466303,0,0,20914853,0,4988 138,3,2024-09-07 09:13:40:611,1,372,5,0,1160,4992,372,0 139,0,2024-09-07 09:13:41:364,94294,1.4,94571,1.1,189206,2.1,252345,2.50 139,1,2024-09-07 09:13:40:590,649404,649404,0,0,304054033669,3231701562,636607,9782,3015,381,392058,0 139,2,2024-09-07 09:13:40:699,469159,469159,0,0,23769885,0,3097 139,3,2024-09-07 09:13:41:669,1,372,4,0,257,4178,372,0 140,0,2024-09-07 09:13:41:601,91868,0.3,91420,0.5,183518,0.2,244081,1.75 140,1,2024-09-07 09:13:41:536,656114,656114,0,0,307883778266,3173690655,652838,2837,439,365,391606,0 140,2,2024-09-07 09:13:40:687,472782,472781,1,0,18736579,0,5036 140,3,2024-09-07 09:13:40:766,1,372,21,0,297,3253,372,0 141,0,2024-09-07 09:13:41:695,92505,0.3,94953,0.5,181409,0.3,245835,1.75 141,1,2024-09-07 09:13:40:859,654894,654894,0,0,307366091035,3190067467,650325,3706,863,379,391614,0 141,2,2024-09-07 09:13:41:691,471308,471308,0,0,18283692,0,3360 141,3,2024-09-07 09:13:41:043,1,372,194,0,391,4306,372,0 142,0,2024-09-07 09:13:41:357,93168,0.3,92564,0.5,184944,0.3,246475,1.75 142,1,2024-09-07 09:13:40:584,654097,654097,0,0,305490985228,3183146246,650091,3653,353,382,392102,0 142,2,2024-09-07 09:13:41:314,467480,467448,32,0,19493876,0,6028 142,3,2024-09-07 09:13:41:746,1,372,1,0,484,4362,372,0 143,0,2024-09-07 09:13:41:400,94646,0.4,94514,0.5,189333,0.4,252201,1.75 143,1,2024-09-07 09:13:40:559,655408,655408,0,0,307129554953,3183739141,651617,3637,154,367,391705,0 143,2,2024-09-07 09:13:40:769,472550,472550,0,0,19314903,0,3123 143,3,2024-09-07 09:13:41:141,1,372,1,0,303,4474,372,0 144,0,2024-09-07 09:13:41:505,90131,0.6,92509,0.8,188753,0.5,247264,2.00 144,1,2024-09-07 09:13:40:568,651674,651674,0,0,305669462339,3203151345,646085,4381,1208,381,391649,0 144,2,2024-09-07 09:13:41:768,473055,473055,0,0,18027413,0,3673 144,3,2024-09-07 09:13:41:742,1,372,16,0,249,3691,372,0 145,0,2024-09-07 09:13:41:379,90550,0.6,90550,0.8,192102,0.5,247206,2.25 145,1,2024-09-07 09:13:40:553,651652,651652,0,0,306035708997,3215005970,644074,6435,1143,382,391759,0 145,2,2024-09-07 09:13:41:439,468398,468377,21,0,21746910,0,6356 145,3,2024-09-07 09:13:40:896,1,372,1,0,622,5591,372,0 146,0,2024-09-07 09:13:41:612,92781,0.5,92166,0.7,185784,0.5,246632,2.00 146,1,2024-09-07 09:13:41:598,652797,652797,0,0,306133091306,3217393576,642632,8154,2011,367,391770,0 146,2,2024-09-07 09:13:41:715,469022,469022,0,0,21233901,0,2730 146,3,2024-09-07 09:13:41:279,1,372,3,0,1520,7689,372,0 147,0,2024-09-07 09:13:41:738,94293,0.6,93986,0.7,187284,0.5,250992,2.00 147,1,2024-09-07 09:13:41:385,654725,654725,0,0,307400250673,3195744049,648989,4934,802,368,391791,0 147,2,2024-09-07 09:13:41:016,469466,469466,0,0,18660527,0,2789 147,3,2024-09-07 09:13:40:915,1,372,1,0,730,5257,372,0 0,0,2024-09-07 09:13:51:709,89408,0.6,89457,0.7,189785,0.7,245166,2.00 0,1,2024-09-07 09:13:50:801,654979,654979,0,0,307697342257,3215385445,650680,4052,247,369,391896,0 0,2,2024-09-07 09:13:51:067,473463,473463,0,0,18680455,0,4480 0,3,2024-09-07 09:13:50:986,1,373,9,0,431,5273,373,0 1,0,2024-09-07 09:13:51:776,93301,0.7,92687,0.9,186172,0.8,248554,2.00 1,1,2024-09-07 09:13:50:567,654137,654137,0,0,307077985930,3211944988,648460,4447,1230,370,391857,0 1,2,2024-09-07 09:13:50:900,467876,467876,0,0,18055034,0,3380 1,3,2024-09-07 09:13:51:316,1,373,4,0,262,4332,373,0 2,0,2024-09-07 09:13:51:568,95498,0.5,95864,0.7,190752,0.6,255237,2.00 2,1,2024-09-07 09:13:50:863,656388,656388,0,0,308245797269,3198081063,653442,2653,293,380,391745,0 2,2,2024-09-07 09:13:51:266,473657,473657,0,0,17818268,0,3594 2,3,2024-09-07 09:13:50:691,1,373,0,0,357,3753,373,0 3,0,2024-09-07 09:13:51:744,92233,0.4,92030,0.6,184086,0.4,245193,2.00 3,1,2024-09-07 09:13:51:618,655020,655020,0,0,306971729469,3194397277,649780,4616,624,380,391591,0 3,2,2024-09-07 09:13:51:149,473679,473656,23,0,18433436,0,5851 3,3,2024-09-07 09:13:51:752,1,373,6,0,207,2339,373,0 4,0,2024-09-07 09:13:51:817,89275,0.4,91693,0.5,186929,0.3,244290,1.75 4,1,2024-09-07 09:13:50:609,652748,652748,0,0,306341182068,3234483078,642757,7792,2199,370,391992,0 4,2,2024-09-07 09:13:51:019,470913,470913,0,0,21639517,0,4528 4,3,2024-09-07 09:13:51:031,1,373,17,0,448,5075,373,0 5,0,2024-09-07 09:13:51:416,91813,0.4,92319,0.6,184061,0.4,244885,1.75 5,1,2024-09-07 09:13:50:774,654248,654248,0,0,306900852801,3226678688,645968,6769,1511,367,392005,0 5,2,2024-09-07 09:13:51:851,466102,466102,0,0,20683754,0,3582 5,3,2024-09-07 09:13:51:736,1,373,26,0,457,5188,373,0 6,0,2024-09-07 09:13:50:943,96108,0.4,95641,0.6,191013,0.3,255664,1.75 6,1,2024-09-07 09:13:50:746,654216,654216,0,0,306645056027,3205238901,646752,6106,1358,379,391694,0 6,2,2024-09-07 09:13:51:118,473077,473059,18,0,20966079,0,5535 6,3,2024-09-07 09:13:51:274,1,373,0,0,340,3992,373,0 7,0,2024-09-07 09:13:51:534,91401,0.5,91975,0.6,183242,0.5,243581,2.00 7,1,2024-09-07 09:13:50:853,653617,653617,0,0,306769116659,3221190319,644455,7767,1395,382,391747,0 7,2,2024-09-07 09:13:50:775,474024,474024,0,0,20170385,0,4791 7,3,2024-09-07 09:13:50:856,1,373,7,0,398,4004,373,0 8,0,2024-09-07 09:13:51:398,93132,0.4,92871,0.5,186063,0.3,247687,1.75 8,1,2024-09-07 09:13:51:018,652657,652657,0,0,306829644029,3229087056,641725,8340,2592,366,392144,0 8,2,2024-09-07 09:13:50:790,467967,467967,0,0,24189605,0,3220 8,3,2024-09-07 09:13:50:596,1,373,12,0,538,6219,373,0 9,0,2024-09-07 09:13:51:108,93601,0.4,90837,0.5,190113,0.3,248642,1.75 9,1,2024-09-07 09:13:50:556,653157,653157,0,0,306066951217,3225248089,643552,7469,2136,369,392001,0 9,2,2024-09-07 09:13:51:091,468867,468867,0,0,21558964,0,3360 9,3,2024-09-07 09:13:51:752,1,373,12,0,496,5296,373,0 10,0,2024-09-07 09:13:51:650,95007,0.3,94470,0.5,189677,0.2,252871,1.75 10,1,2024-09-07 09:13:50:589,653735,653735,0,0,307042488034,3216279810,644927,7524,1284,381,391741,0 10,2,2024-09-07 09:13:50:762,472441,472441,0,0,23495315,0,4264 10,3,2024-09-07 09:13:50:877,1,373,5,0,296,3301,373,0 11,0,2024-09-07 09:13:51:018,93610,0.5,90907,0.6,190068,0.4,250660,1.75 11,1,2024-09-07 09:13:50:581,654799,654799,0,0,307131776966,3224546603,644300,8018,2481,383,391756,0 11,2,2024-09-07 09:13:51:128,472516,472516,0,0,21345971,0,4130 11,3,2024-09-07 09:13:51:310,1,373,1,0,843,5540,373,0 12,0,2024-09-07 09:13:50:945,94857,0.4,94924,0.5,189469,0.3,251621,1.75 12,1,2024-09-07 09:13:50:936,654833,654833,0,0,307487593829,3203607980,649881,4457,495,370,391870,0 12,2,2024-09-07 09:13:51:545,472358,472358,0,0,20341420,0,3469 12,3,2024-09-07 09:13:51:059,1,373,9,0,386,5854,373,0 13,0,2024-09-07 09:13:51:350,93254,0.4,92963,0.6,185677,0.4,247536,1.75 13,1,2024-09-07 09:13:51:525,653584,653584,0,0,307299626672,3227363127,647474,4699,1411,382,391740,0 13,2,2024-09-07 09:13:50:599,473255,473255,0,0,18886001,0,3287 13,3,2024-09-07 09:13:51:762,1,373,3,0,522,5780,373,0 14,0,2024-09-07 09:13:50:568,94585,0.4,95349,0.6,188967,0.3,252143,1.75 14,1,2024-09-07 09:13:51:571,658120,658120,0,0,308707894786,3194253724,653362,4399,359,364,391673,0 14,2,2024-09-07 09:13:50:767,469656,469626,30,0,20103469,0,6104 14,3,2024-09-07 09:13:51:120,1,373,2,0,1168,4284,373,0 15,0,2024-09-07 09:13:51:566,94692,0.4,94758,0.6,189722,0.3,252256,1.75 15,1,2024-09-07 09:13:51:609,655236,655236,0,0,307705510012,3200505733,650874,3538,824,381,391619,0 15,2,2024-09-07 09:13:51:003,474359,474359,0,0,16928570,0,3622 15,3,2024-09-07 09:13:51:405,1,373,2,0,1126,6718,373,0 16,0,2024-09-07 09:13:50:938,92918,0.5,93364,0.7,186385,0.5,248104,2.00 16,1,2024-09-07 09:13:50:567,655875,655875,0,0,307103127041,3205390745,651009,4478,388,370,391756,0 16,2,2024-09-07 09:13:51:443,472778,472778,0,0,19536152,0,4719 16,3,2024-09-07 09:13:51:148,1,373,13,0,317,4883,373,0 17,0,2024-09-07 09:13:51:774,94891,0.6,92548,0.8,181157,0.7,245892,2.00 17,1,2024-09-07 09:13:50:580,653805,653805,0,0,306748729920,3215956105,647324,5219,1262,368,392075,0 17,2,2024-09-07 09:13:51:665,475068,475068,0,0,19218925,0,2857 17,3,2024-09-07 09:13:50:575,1,373,2,0,298,5929,373,0 18,0,2024-09-07 09:13:50:943,91449,0.6,91917,0.8,183134,0.6,244587,2.25 18,1,2024-09-07 09:13:51:638,656778,656778,0,0,307869802864,3189181520,653168,3293,317,367,391649,0 18,2,2024-09-07 09:13:51:755,470132,470132,0,0,17372570,0,3541 18,3,2024-09-07 09:13:50:896,1,373,1,0,163,3062,373,0 19,0,2024-09-07 09:13:51:541,95384,0.6,96074,0.7,190273,0.5,253794,2.00 19,1,2024-09-07 09:13:50:566,656819,656819,0,0,308339710301,3196911961,651549,4431,839,367,391777,0 19,2,2024-09-07 09:13:51:752,475197,475197,0,0,16276915,0,3988 19,3,2024-09-07 09:13:51:131,1,373,1,0,524,2767,373,0 20,0,2024-09-07 09:13:51:377,91653,0.5,91675,0.6,183268,0.4,244362,2.00 20,1,2024-09-07 09:13:50:580,654655,654655,0,0,307550625140,3212700039,649528,4410,717,369,391886,0 20,2,2024-09-07 09:13:50:937,473760,473760,0,0,20179218,0,3721 20,3,2024-09-07 09:13:50:641,1,373,8,0,414,5707,373,0 21,0,2024-09-07 09:13:51:172,92395,0.5,92441,0.6,184690,0.5,244906,2.00 21,1,2024-09-07 09:13:51:567,652936,652936,0,0,305417793801,3218118053,643860,7089,1987,368,392016,0 21,2,2024-09-07 09:13:51:069,469544,469524,20,0,24229468,0,5617 21,3,2024-09-07 09:13:51:413,1,373,2,0,713,4978,373,0 22,0,2024-09-07 09:13:51:719,92182,0.5,92493,0.7,185267,0.5,244918,2.00 22,1,2024-09-07 09:13:51:027,654216,654216,0,0,306268347556,3217112851,644705,7497,2014,382,391667,0 22,2,2024-09-07 09:13:50:760,467046,467020,26,0,19186926,0,6328 22,3,2024-09-07 09:13:51:066,1,373,0,0,228,3192,373,0 23,0,2024-09-07 09:13:51:369,94533,0.5,94240,0.7,188924,0.4,252254,2.25 23,1,2024-09-07 09:13:51:012,655172,655172,0,0,307809641854,3231976809,643654,7967,3551,365,391690,0 23,2,2024-09-07 09:13:51:092,474643,474643,0,0,18795870,0,3010 23,3,2024-09-07 09:13:51:757,1,373,69,0,720,5301,373,0 24,0,2024-09-07 09:13:50:828,93708,0.4,93544,0.6,187673,0.4,248801,1.75 24,1,2024-09-07 09:13:50:607,653865,653865,0,0,306693190952,3216703233,646379,5905,1581,367,392269,0 24,2,2024-09-07 09:13:51:069,472251,472251,0,0,23199394,0,3607 24,3,2024-09-07 09:13:51:695,1,373,16,0,468,5146,373,0 25,0,2024-09-07 09:13:51:369,96286,0.4,93831,0.6,184019,0.4,250638,1.75 25,1,2024-09-07 09:13:50:559,653676,653676,0,0,306148742123,3220572952,643572,8199,1905,371,391928,0 25,2,2024-09-07 09:13:51:608,470349,470349,0,0,23026624,0,3978 25,3,2024-09-07 09:13:51:000,1,373,1,0,255,3706,373,0 26,0,2024-09-07 09:13:51:729,92897,0.4,90649,0.6,190232,0.3,247713,1.75 26,1,2024-09-07 09:13:51:545,655028,655028,0,0,306440760945,3209821240,645480,8002,1546,380,391748,0 26,2,2024-09-07 09:13:50:871,471312,471312,0,0,23031397,0,2809 26,3,2024-09-07 09:13:51:713,1,373,39,0,796,4730,373,0 27,0,2024-09-07 09:13:51:727,94754,0.4,94872,0.6,188310,0.4,251772,2.00 27,1,2024-09-07 09:13:51:676,656815,656815,0,0,307760649107,3203690759,650808,5186,821,381,391626,0 27,2,2024-09-07 09:13:50:871,468483,468418,65,0,22161674,0,5699 27,3,2024-09-07 09:13:51:017,1,373,11,0,564,3762,373,0 28,0,2024-09-07 09:13:51:388,95376,0.4,94960,0.6,190162,0.3,254077,2.00 28,1,2024-09-07 09:13:50:799,655868,655868,0,0,308429549852,3211798618,651109,3998,761,383,391698,0 28,2,2024-09-07 09:13:51:764,472939,472939,0,0,19643668,0,2915 28,3,2024-09-07 09:13:51:776,1,373,8,0,502,4094,373,0 29,0,2024-09-07 09:13:51:370,96444,0.3,93913,0.6,184359,0.3,251226,1.75 29,1,2024-09-07 09:13:51:565,657433,657433,0,0,308524144080,3192008187,653074,3672,687,369,391753,0 29,2,2024-09-07 09:13:50:862,471493,471493,0,0,18747190,0,4986 29,3,2024-09-07 09:13:50:968,1,373,0,0,459,4485,373,0 30,0,2024-09-07 09:13:51:456,91663,0.5,89150,0.7,186612,0.4,244092,2.00 30,1,2024-09-07 09:13:50:577,657345,657345,0,0,308347447799,3200063509,652214,4405,726,381,391672,0 30,2,2024-09-07 09:13:51:274,472997,472997,0,0,17784948,0,3161 30,3,2024-09-07 09:13:50:593,1,373,7,0,519,3704,373,0 31,0,2024-09-07 09:13:51:760,92815,0.4,93291,0.6,186373,0.3,248794,1.75 31,1,2024-09-07 09:13:50:564,659280,659280,0,0,309166777909,3168508602,656731,1990,559,356,391712,0 31,2,2024-09-07 09:13:51:275,468386,468386,0,0,19364358,0,3525 31,3,2024-09-07 09:13:51:706,1,373,0,0,220,3136,373,0 32,0,2024-09-07 09:13:51:417,95663,0.3,96162,0.5,191838,0.2,255625,1.50 32,1,2024-09-07 09:13:50:804,656157,656157,0,0,307807615343,3195953105,652454,3222,481,381,391646,0 32,2,2024-09-07 09:13:50:945,474247,474247,0,0,16798385,0,3155 32,3,2024-09-07 09:13:51:015,1,373,15,0,227,2596,373,0 33,0,2024-09-07 09:13:51:491,92336,0.3,91920,0.4,184500,0.2,245562,1.50 33,1,2024-09-07 09:13:50:580,657516,657516,0,0,307972298013,3188710554,652635,3961,920,369,391730,0 33,2,2024-09-07 09:13:50:760,474406,474371,35,0,19524345,0,7012 33,3,2024-09-07 09:13:50:919,1,373,6,0,329,3737,373,0 34,0,2024-09-07 09:13:50:941,92150,0.3,94770,0.4,181327,0.2,243607,1.75 34,1,2024-09-07 09:13:51:051,658813,658813,0,0,309303197243,3177560469,657385,1419,9,367,391562,0 34,2,2024-09-07 09:13:50:768,472760,472760,0,0,18251531,0,3577 34,3,2024-09-07 09:13:51:692,1,373,0,0,299,2581,373,0 35,0,2024-09-07 09:13:50:866,91126,0.3,91502,0.5,184178,0.3,245440,1.75 35,1,2024-09-07 09:13:51:067,656897,656897,0,0,308241677891,3191429837,653230,2885,782,382,391769,0 35,2,2024-09-07 09:13:51:584,468083,468083,0,0,18228797,0,2653 35,3,2024-09-07 09:13:50:907,1,373,1,0,418,4391,373,0 36,0,2024-09-07 09:13:51:518,96107,0.4,95924,0.6,191977,0.4,255464,2.00 36,1,2024-09-07 09:13:50:596,655806,655806,0,0,307369355996,3207201912,647978,6143,1685,366,391759,0 36,2,2024-09-07 09:13:51:750,474049,474049,0,0,20955160,0,3875 36,3,2024-09-07 09:13:50:864,1,373,11,0,416,5767,373,0 37,0,2024-09-07 09:13:51:388,91560,0.5,91466,0.6,183100,0.4,243972,2.00 37,1,2024-09-07 09:13:50:569,655510,655503,0,7,306791118214,3203577424,648078,5265,2160,365,391570,0 37,2,2024-09-07 09:13:51:143,472397,472382,15,0,21008862,0,5815 37,3,2024-09-07 09:13:51:767,1,373,0,0,888,5792,373,0 38,0,2024-09-07 09:13:51:447,92368,0.5,89739,0.7,187815,0.4,245452,2.00 38,1,2024-09-07 09:13:51:608,656598,656598,0,0,308170523319,3213779695,648422,6891,1285,368,391821,0 38,2,2024-09-07 09:13:50:760,471423,471376,47,0,20741275,0,6710 38,3,2024-09-07 09:13:50:997,1,373,1,0,689,5040,373,0 39,0,2024-09-07 09:13:51:760,95147,0.6,93170,0.7,181445,0.5,247399,2.00 39,1,2024-09-07 09:13:50:722,655236,655236,0,0,307480526503,3219341348,645245,8017,1974,365,391658,0 39,2,2024-09-07 09:13:51:417,469595,469595,0,0,19028115,0,2689 39,3,2024-09-07 09:13:50:721,1,373,1,0,324,4561,373,0 40,0,2024-09-07 09:13:51:494,93941,0.8,94705,1.0,188960,0.9,252315,2.75 40,1,2024-09-07 09:13:50:586,655663,655663,0,0,306063374299,3203049522,646532,7517,1614,368,391668,0 40,2,2024-09-07 09:13:51:308,471497,471496,1,0,22792064,0,5137 40,3,2024-09-07 09:13:51:142,1,373,12,0,1028,5226,373,0 41,0,2024-09-07 09:13:51:032,93170,1.6,95358,1.3,182128,2.6,247387,3.25 41,1,2024-09-07 09:13:50:771,654368,654368,0,0,307152245601,3212413811,646372,7228,768,369,391742,0 41,2,2024-09-07 09:13:50:760,471682,471682,0,0,21724573,0,4277 41,3,2024-09-07 09:13:51:676,1,373,1,0,366,3920,373,0 42,0,2024-09-07 09:13:51:477,93342,0.9,93402,1.0,187074,1.1,247447,2.75 42,1,2024-09-07 09:13:51:439,653515,653515,0,0,306462342619,3219849103,643348,8402,1765,380,391675,0 42,2,2024-09-07 09:13:51:137,470782,470782,0,0,22191872,0,3790 42,3,2024-09-07 09:13:51:017,1,373,6,0,446,3174,373,0 43,0,2024-09-07 09:13:50:917,91477,0.9,89080,1.0,186792,0.9,245040,2.25 43,1,2024-09-07 09:13:50:576,654984,654984,0,0,308108734162,3220662335,645400,7854,1730,366,391696,0 43,2,2024-09-07 09:13:51:736,471728,471728,0,0,21929543,0,3812 43,3,2024-09-07 09:13:51:749,1,373,70,0,467,4480,373,0 44,0,2024-09-07 09:13:50:875,94531,0.4,94952,0.6,189597,0.4,252257,1.75 44,1,2024-09-07 09:13:50:576,656812,656812,0,0,308001725628,3182076306,651644,4121,1047,356,391809,0 44,2,2024-09-07 09:13:51:267,469051,469051,0,0,17726770,0,4344 44,3,2024-09-07 09:13:51:093,1,373,23,0,817,4667,373,0 45,0,2024-09-07 09:13:51:756,93786,0.4,91570,0.7,191853,0.4,252619,2.00 45,1,2024-09-07 09:13:51:005,656353,656353,0,0,307725300981,3195540038,651686,4185,482,382,391917,0 45,2,2024-09-07 09:13:51:268,473808,473808,0,0,18287049,0,3596 45,3,2024-09-07 09:13:50:945,1,373,0,0,271,3471,373,0 46,0,2024-09-07 09:13:50:964,92360,0.5,92425,0.7,184984,0.5,245811,2.00 46,1,2024-09-07 09:13:50:580,657487,657487,0,0,308722628854,3187214571,653437,3554,496,366,391572,0 46,2,2024-09-07 09:13:50:598,473416,473416,0,0,17541286,0,2920 46,3,2024-09-07 09:13:51:134,1,373,0,0,908,5127,373,0 47,0,2024-09-07 09:13:51:105,92436,0.5,92663,0.6,185685,0.4,245359,2.00 47,1,2024-09-07 09:13:50:570,658635,658635,0,0,307617677337,3179553892,654143,3677,815,366,391641,0 47,2,2024-09-07 09:13:50:923,474411,474411,0,0,18084744,0,4477 47,3,2024-09-07 09:13:51:115,1,373,1,0,529,3973,373,0 48,0,2024-09-07 09:13:51:506,92940,0.3,92561,0.4,184592,0.2,246763,1.50 48,1,2024-09-07 09:13:51:031,656675,656675,0,0,308044500661,3198349185,652615,3607,453,384,391710,0 48,2,2024-09-07 09:13:50:708,467663,467663,0,0,16187678,0,3031 48,3,2024-09-07 09:13:50:761,1,373,12,0,339,3122,373,0 49,0,2024-09-07 09:13:51:714,98322,0.3,96614,0.5,186905,0.2,256545,1.75 49,1,2024-09-07 09:13:51:021,656158,656158,0,0,307463919541,3198914747,651542,3411,1205,382,391809,0 49,2,2024-09-07 09:13:51:799,474342,474342,0,0,18136181,0,4426 49,3,2024-09-07 09:13:51:416,1,373,1,0,408,3676,373,0 50,0,2024-09-07 09:13:51:510,92229,0.3,90775,0.4,183218,0.2,244054,1.75 50,1,2024-09-07 09:13:51:033,658221,658221,0,0,308532005278,3187745188,654100,3734,387,368,391565,0 50,2,2024-09-07 09:13:51:067,474179,474179,0,0,16793714,0,2263 50,3,2024-09-07 09:13:51:291,1,373,3,0,335,3457,373,0 51,0,2024-09-07 09:13:51:683,94781,0.3,92684,0.5,180677,0.2,245967,1.75 51,1,2024-09-07 09:13:51:682,658314,658314,0,0,309429345802,3189445692,655029,2309,976,365,391706,0 51,2,2024-09-07 09:13:51:316,472428,472428,0,0,16500950,0,3337 51,3,2024-09-07 09:13:51:028,1,373,1,0,678,2941,373,0 52,0,2024-09-07 09:13:51:490,92705,0.5,92640,0.7,185207,0.4,245936,2.00 52,1,2024-09-07 09:13:50:576,655447,655447,0,0,307080588078,3212745757,646507,7626,1314,368,391722,0 52,2,2024-09-07 09:13:51:760,465121,465083,38,0,21452124,0,6742 52,3,2024-09-07 09:13:50:680,1,373,1,0,1782,5525,373,0 53,0,2024-09-07 09:13:51:743,94133,0.6,91520,0.8,191714,0.6,251766,2.25 53,1,2024-09-07 09:13:50:772,654187,654187,0,0,307719660199,3226408218,643955,7525,2707,367,391702,0 53,2,2024-09-07 09:13:51:302,473812,473811,1,0,19206284,0,5455 53,3,2024-09-07 09:13:50:707,1,373,1,0,308,3301,373,0 54,0,2024-09-07 09:13:51:616,91685,0.6,92027,0.8,183208,0.4,244679,2.25 54,1,2024-09-07 09:13:50:588,655727,655727,0,0,307867597716,3196509555,649802,5306,619,366,391659,0 54,2,2024-09-07 09:13:50:869,473656,473624,32,0,22059577,0,6397 54,3,2024-09-07 09:13:50:767,1,373,10,0,676,5495,373,0 55,0,2024-09-07 09:13:51:777,90603,0.6,93734,0.7,189276,0.5,246552,2.50 55,1,2024-09-07 09:13:50:767,655899,655899,0,0,307149875991,3192347794,649161,5829,909,365,391731,0 55,2,2024-09-07 09:13:50:731,470441,470385,56,0,21392064,0,7239 55,3,2024-09-07 09:13:50:685,1,373,27,0,304,4062,373,0 56,0,2024-09-07 09:13:51:565,95041,1.1,89553,1.1,184759,1.5,247525,2.50 56,1,2024-09-07 09:13:50:585,652523,652523,0,0,306679819072,3238565429,642383,7947,2193,381,391867,0 56,2,2024-09-07 09:13:51:315,470649,470649,0,0,21246969,0,3567 56,3,2024-09-07 09:13:51:059,1,373,9,0,705,4657,373,0 57,0,2024-09-07 09:13:50:981,93216,1.6,93149,1.3,186510,2.3,249726,3.25 57,1,2024-09-07 09:13:50:998,654573,654573,0,0,307418590020,3222119716,646692,6868,1013,366,392032,0 57,2,2024-09-07 09:13:51:328,471428,471428,0,0,23188317,0,3317 57,3,2024-09-07 09:13:51:753,1,373,7,0,359,4184,373,0 58,0,2024-09-07 09:13:50:560,93699,0.9,90951,1.0,190406,1.1,249642,2.50 58,1,2024-09-07 09:13:50:580,655238,655235,0,3,308007952535,3220797796,646024,7954,1257,367,391603,3 58,2,2024-09-07 09:13:51:070,472095,472095,0,0,21637624,0,2857 58,3,2024-09-07 09:13:51:068,1,373,1,0,1043,4182,373,0 59,0,2024-09-07 09:13:51:759,93587,0.8,93152,0.9,186161,0.8,247717,2.75 59,1,2024-09-07 09:13:50:804,654336,654336,0,0,307385100821,3218455482,645554,7218,1564,369,391586,0 59,2,2024-09-07 09:13:50:595,472680,472680,0,0,21203433,0,2867 59,3,2024-09-07 09:13:51:740,1,373,0,0,1015,4756,373,0 60,0,2024-09-07 09:13:51:740,92015,0.5,91923,0.7,184655,0.5,245108,1.75 60,1,2024-09-07 09:13:50:775,657039,657039,0,0,307928467626,3195073159,652825,3565,649,370,392031,0 60,2,2024-09-07 09:13:51:142,472850,472850,0,0,20081683,0,3811 60,3,2024-09-07 09:13:51:267,1,373,12,0,409,4342,373,0 61,0,2024-09-07 09:13:51:554,92865,0.6,93572,0.7,186136,0.5,248474,2.00 61,1,2024-09-07 09:13:50:774,655194,655194,0,0,307258507508,3217637426,647944,5924,1326,382,392127,0 61,2,2024-09-07 09:13:51:126,468531,468464,67,0,20266485,0,6411 61,3,2024-09-07 09:13:51:691,1,373,5,0,479,5287,373,0 62,0,2024-09-07 09:13:51:764,96385,0.5,98553,0.6,187966,0.4,255588,1.75 62,1,2024-09-07 09:13:51:110,659689,659683,0,6,309017837802,3187046293,656044,3379,260,365,391715,6 62,2,2024-09-07 09:13:51:643,472183,472182,1,0,19955787,0,5555 62,3,2024-09-07 09:13:51:143,1,373,5,0,465,2762,373,0 63,0,2024-09-07 09:13:51:451,92541,0.4,92251,0.6,185106,0.3,245964,1.75 63,1,2024-09-07 09:13:50:807,657265,657259,0,6,307620371365,3190999691,653317,3554,388,381,391800,6 63,2,2024-09-07 09:13:50:761,473938,473938,0,0,18374226,0,4369 63,3,2024-09-07 09:13:51:737,1,373,1,0,667,3949,373,0 64,0,2024-09-07 09:13:51:608,91390,0.5,91373,0.7,182889,0.5,242700,2.00 64,1,2024-09-07 09:13:50:765,656638,656638,0,0,307801889486,3204372143,650811,4150,1677,370,391783,0 64,2,2024-09-07 09:13:51:142,475416,475397,19,0,18044913,0,6121 64,3,2024-09-07 09:13:51:140,1,373,8,0,265,3362,373,0 65,0,2024-09-07 09:13:51:736,90947,0.6,91240,0.7,182328,0.6,243330,2.00 65,1,2024-09-07 09:13:50:867,654873,654873,0,0,306873741542,3206207326,650088,4246,539,382,391901,0 65,2,2024-09-07 09:13:51:709,468607,468607,0,0,19830295,0,3367 65,3,2024-09-07 09:13:51:691,1,373,17,0,347,3830,373,0 66,0,2024-09-07 09:13:51:767,95488,0.5,95418,0.7,190755,0.4,254252,2.00 66,1,2024-09-07 09:13:51:299,656882,656882,0,0,308283508386,3203379487,653134,3425,323,380,391653,0 66,2,2024-09-07 09:13:51:135,475409,475409,0,0,18170978,0,4956 66,3,2024-09-07 09:13:51:083,1,373,1,0,291,3459,373,0 67,0,2024-09-07 09:13:51:424,92103,0.5,91778,0.6,184007,0.4,244680,2.00 67,1,2024-09-07 09:13:50:767,656823,656822,0,1,307676513975,3201908276,652362,3676,784,380,391787,1 67,2,2024-09-07 09:13:50:598,475197,475197,0,0,17438597,0,2889 67,3,2024-09-07 09:13:51:750,1,373,2,0,338,3307,373,0 68,0,2024-09-07 09:13:50:627,93301,0.6,92962,0.7,185404,0.6,247517,2.00 68,1,2024-09-07 09:13:50:585,654182,654182,0,0,306291760923,3213223148,647300,4809,2073,381,391953,0 68,2,2024-09-07 09:13:51:051,469697,469597,100,0,23827427,0,8578 68,3,2024-09-07 09:13:50:735,1,373,12,0,417,3879,373,0 69,0,2024-09-07 09:13:51:734,92815,0.8,93383,0.9,185844,0.9,246565,2.25 69,1,2024-09-07 09:13:51:026,653178,653178,0,0,306740460484,3234780600,644246,6744,2188,384,391994,0 69,2,2024-09-07 09:13:51:753,469288,469259,29,0,23687025,0,6912 69,3,2024-09-07 09:13:50:760,1,373,10,0,698,5231,373,0 70,0,2024-09-07 09:13:51:541,94013,1.0,94096,1.0,189172,0.8,251000,2.50 70,1,2024-09-07 09:13:50:801,656599,656599,0,0,308665812728,3201907131,651361,4580,658,366,391725,0 70,2,2024-09-07 09:13:51:335,472038,472038,0,0,20651988,0,4044 70,3,2024-09-07 09:13:50:745,1,373,1,0,854,4287,373,0 71,0,2024-09-07 09:13:51:371,92926,0.9,92657,1.0,186061,1.1,248830,2.75 71,1,2024-09-07 09:13:51:604,655396,655396,0,0,307909002144,3212014671,647956,6692,748,368,391738,0 71,2,2024-09-07 09:13:51:066,472828,472828,0,0,21459401,0,4352 71,3,2024-09-07 09:13:51:750,1,373,3,0,644,4848,373,0 72,0,2024-09-07 09:13:51:027,97186,0.6,95017,0.7,185232,0.5,251925,2.00 72,1,2024-09-07 09:13:51:026,654442,654442,0,0,306466608026,3214169600,644684,7798,1960,369,391819,0 72,2,2024-09-07 09:13:51:772,471048,471048,0,0,23495135,0,3983 72,3,2024-09-07 09:13:51:764,1,373,8,0,564,5675,373,0 73,0,2024-09-07 09:13:51:115,90310,0.4,92628,0.6,189346,0.4,246287,2.00 73,1,2024-09-07 09:13:50:767,655733,655733,0,0,307351774341,3193670755,651059,4310,364,367,391858,0 73,2,2024-09-07 09:13:51:741,471428,471428,0,0,22937662,0,3701 73,3,2024-09-07 09:13:50:980,1,373,19,0,486,5301,373,0 74,0,2024-09-07 09:13:51:325,95068,0.5,97362,0.6,185717,0.4,252121,2.25 74,1,2024-09-07 09:13:50:658,655464,655464,0,0,307616306181,3210463450,648866,5326,1272,381,391681,0 74,2,2024-09-07 09:13:51:002,468879,468879,0,0,21275674,0,4253 74,3,2024-09-07 09:13:51:442,1,373,82,0,522,5313,373,0 75,0,2024-09-07 09:13:51:780,95140,0.4,94550,0.7,189900,0.4,253703,2.25 75,1,2024-09-07 09:13:51:585,654739,654739,0,0,307304518822,3207820257,648108,5805,826,380,391739,0 75,2,2024-09-07 09:13:51:362,472190,472190,0,0,22517311,0,4766 75,3,2024-09-07 09:13:51:067,1,373,2,0,702,5107,373,0 76,0,2024-09-07 09:13:50:605,92616,0.6,92009,0.7,184582,0.5,247105,2.25 76,1,2024-09-07 09:13:50:814,655946,655946,0,0,307137308480,3200187297,651945,3410,591,382,391692,0 76,2,2024-09-07 09:13:51:068,474909,474908,1,0,20495370,0,5144 76,3,2024-09-07 09:13:51:142,1,373,8,0,175,3465,373,0 77,0,2024-09-07 09:13:51:797,92131,0.6,92277,0.7,184718,0.6,245180,2.00 77,1,2024-09-07 09:13:50:829,655479,655479,0,0,307659768348,3211859130,650113,4874,492,381,391869,0 77,2,2024-09-07 09:13:51:298,472999,472999,0,0,20073122,0,3890 77,3,2024-09-07 09:13:51:094,1,373,1,0,401,4078,373,0 78,0,2024-09-07 09:13:51:764,92730,0.4,92303,0.6,185323,0.4,245994,2.00 78,1,2024-09-07 09:13:50:644,656608,656608,0,0,307099968995,3192774933,650664,4798,1146,367,391670,0 78,2,2024-09-07 09:13:51:407,468753,468753,0,0,17100962,0,3855 78,3,2024-09-07 09:13:51:132,1,373,1,0,181,3492,373,0 79,0,2024-09-07 09:13:51:345,92786,0.4,95020,0.6,194674,0.3,253431,2.00 79,1,2024-09-07 09:13:50:576,657985,657985,0,0,307790775249,3183966451,653396,3953,636,368,391682,0 79,2,2024-09-07 09:13:51:068,474705,474705,0,0,18313644,0,4195 79,3,2024-09-07 09:13:50:756,1,373,1,0,418,4625,373,0 80,0,2024-09-07 09:13:51:073,91670,0.5,94402,0.6,180786,0.5,243809,2.00 80,1,2024-09-07 09:13:51:619,656213,656213,0,0,307967388438,3201260872,652489,3526,198,368,391791,0 80,2,2024-09-07 09:13:51:091,475559,475559,0,0,18085606,0,4433 80,3,2024-09-07 09:13:50:579,1,373,30,0,190,4461,373,0 81,0,2024-09-07 09:13:51:627,92408,0.5,94617,0.7,180620,0.5,244710,2.00 81,1,2024-09-07 09:13:51:652,655436,655436,0,0,306627137327,3202875786,650203,4731,502,382,391879,0 81,2,2024-09-07 09:13:51:129,471365,471302,63,0,19820378,0,5932 81,3,2024-09-07 09:13:51:117,1,373,23,0,374,4113,373,0 82,0,2024-09-07 09:13:51:530,91986,0.5,92476,0.7,185402,0.4,245644,2.00 82,1,2024-09-07 09:13:50:596,657247,657243,0,4,308001897424,3202728106,653158,3287,798,381,391768,4 82,2,2024-09-07 09:13:51:697,469842,469842,0,0,16452635,0,3986 82,3,2024-09-07 09:13:51:753,1,373,2,0,363,3565,373,0 83,0,2024-09-07 09:13:51:521,95120,0.5,94775,0.6,189368,0.4,252209,2.00 83,1,2024-09-07 09:13:50:559,655091,655091,0,0,307235626078,3200203051,650707,4003,381,382,391709,0 83,2,2024-09-07 09:13:50:768,473580,473580,0,0,17361064,0,3393 83,3,2024-09-07 09:13:50:759,1,373,0,0,1260,4998,373,0 84,0,2024-09-07 09:13:51:802,92533,0.7,92463,0.9,184562,0.6,247105,2.25 84,1,2024-09-07 09:13:51:049,654670,654670,0,0,307132106646,3209850073,647661,5977,1032,367,391967,0 84,2,2024-09-07 09:13:50:572,472772,472742,30,0,24062464,0,5971 84,3,2024-09-07 09:13:51:164,1,373,11,0,908,5537,373,0 85,0,2024-09-07 09:13:51:022,90353,0.6,90380,0.8,191779,0.6,247821,2.25 85,1,2024-09-07 09:13:50:560,653041,653041,0,0,307258268740,3241926150,643335,7915,1791,381,392006,0 85,2,2024-09-07 09:13:50:875,470886,470886,0,0,22024105,0,3656 85,3,2024-09-07 09:13:50:687,1,373,1,0,789,4949,373,0 86,0,2024-09-07 09:13:50:890,92889,0.6,95566,0.8,182855,0.7,247272,2.25 86,1,2024-09-07 09:13:50:827,654904,654904,0,0,307573710443,3217188646,647755,6080,1069,366,391961,0 86,2,2024-09-07 09:13:50:856,469533,469532,1,0,23187947,0,5004 86,3,2024-09-07 09:13:50:595,1,373,0,0,308,5515,373,0 87,0,2024-09-07 09:13:51:298,94195,0.9,94103,0.9,188556,1.2,252105,2.25 87,1,2024-09-07 09:13:50:554,654330,654330,0,0,306529026043,3207654138,646866,6343,1121,366,392076,0 87,2,2024-09-07 09:13:51:068,469551,469545,6,0,21383684,0,6323 87,3,2024-09-07 09:13:51:794,1,373,1,0,473,5536,373,0 88,0,2024-09-07 09:13:51:486,95045,0.4,95715,0.6,190517,0.4,253781,2.00 88,1,2024-09-07 09:13:50:569,653057,653057,0,0,306371224302,3210020953,644591,6585,1881,365,392084,0 88,2,2024-09-07 09:13:50:689,473461,473461,0,0,23136471,0,3583 88,3,2024-09-07 09:13:51:289,1,373,8,0,435,3924,373,0 89,0,2024-09-07 09:13:51:943,96603,0.5,93553,0.6,185006,0.4,251365,1.75 89,1,2024-09-07 09:13:50:554,653448,653448,0,0,306512183776,3222533030,645262,6827,1359,382,391866,0 89,2,2024-09-07 09:13:51:135,471149,471149,0,0,22445760,0,3173 89,3,2024-09-07 09:13:51:791,1,373,146,0,385,6643,373,0 90,0,2024-09-07 09:13:51:633,89245,0.5,91713,0.6,187084,0.4,244142,2.00 90,1,2024-09-07 09:13:50:602,654985,654985,0,0,307221110650,3218230406,648575,5904,506,380,391825,0 90,2,2024-09-07 09:13:51:419,470725,470725,0,0,23588001,0,3060 90,3,2024-09-07 09:13:50:941,1,373,123,0,246,4447,373,0 91,0,2024-09-07 09:13:50:934,93673,0.5,90629,0.6,189422,0.4,248981,1.75 91,1,2024-09-07 09:13:50:557,653452,653452,0,0,307084798578,3228975970,645036,7228,1188,381,392047,0 91,2,2024-09-07 09:13:51:331,468840,468840,0,0,20792884,0,2896 91,3,2024-09-07 09:13:50:607,1,373,0,0,216,3429,373,0 92,0,2024-09-07 09:13:51:466,96438,0.4,98895,0.6,188768,0.4,255835,1.75 92,1,2024-09-07 09:13:50:692,654846,654846,0,0,306768314041,3202352092,650010,4144,692,382,392136,0 92,2,2024-09-07 09:13:51:365,474316,474316,0,0,18881887,0,3259 92,3,2024-09-07 09:13:51:009,1,373,18,0,167,3182,373,0 93,0,2024-09-07 09:13:51:007,92807,0.4,95096,0.5,181466,0.3,246096,1.75 93,1,2024-09-07 09:13:50:807,655084,655084,0,0,307357616340,3205595147,648902,5150,1032,366,391776,0 93,2,2024-09-07 09:13:50:948,473243,473243,0,0,22195641,0,4845 93,3,2024-09-07 09:13:51:418,1,373,14,0,190,3485,373,0 94,0,2024-09-07 09:13:51:616,91523,0.4,92349,0.5,184527,0.3,244401,1.75 94,1,2024-09-07 09:13:50:572,655479,655479,0,0,307248628711,3203583880,651412,3876,191,381,391850,0 94,2,2024-09-07 09:13:50:767,472194,472194,0,0,18869530,0,2443 94,3,2024-09-07 09:13:51:689,1,373,1,0,264,4398,373,0 95,0,2024-09-07 09:13:51:345,91689,0.4,91749,0.5,183888,0.3,245136,1.75 95,1,2024-09-07 09:13:50:860,656991,656991,0,0,308002820912,3199734745,651959,4630,402,367,391713,0 95,2,2024-09-07 09:13:51:020,468311,468311,0,0,18266684,0,3308 95,3,2024-09-07 09:13:51:710,1,373,1,0,718,5566,373,0 96,0,2024-09-07 09:13:51:026,95926,0.3,96104,0.5,191993,0.3,254903,1.75 96,1,2024-09-07 09:13:51:604,655078,655078,0,0,307502913805,3206088627,650487,3793,798,384,391955,0 96,2,2024-09-07 09:13:51:269,474551,474551,0,0,18610440,0,4042 96,3,2024-09-07 09:13:51:143,1,373,14,0,411,4002,373,0 97,0,2024-09-07 09:13:51:438,92061,0.3,91743,0.5,184285,0.3,244449,1.50 97,1,2024-09-07 09:13:50:773,656579,656579,0,0,308252754816,3194787415,652301,3584,694,367,392140,0 97,2,2024-09-07 09:13:50:613,474738,474738,0,0,18489131,0,3679 97,3,2024-09-07 09:13:50:573,1,373,6,0,214,4170,373,0 98,0,2024-09-07 09:13:51:712,92869,0.3,92898,0.4,186461,0.2,247384,1.50 98,1,2024-09-07 09:13:50:574,656012,656012,0,0,307986292886,3201748805,653038,2855,119,382,391997,0 98,2,2024-09-07 09:13:50:782,472134,472134,0,0,18109091,0,3080 98,3,2024-09-07 09:13:50:716,1,373,0,0,840,5680,373,0 99,0,2024-09-07 09:13:51:458,93298,0.3,93948,0.5,186673,0.3,248718,1.75 99,1,2024-09-07 09:13:51:727,656010,656010,0,0,307518628621,3199971397,651603,3635,772,381,392069,0 99,2,2024-09-07 09:13:51:417,470733,470733,0,0,20896786,0,3424 99,3,2024-09-07 09:13:50:589,1,373,1,0,606,4056,373,0 100,0,2024-09-07 09:13:51:610,94642,0.8,94737,1.0,188799,0.9,252751,2.50 100,1,2024-09-07 09:13:50:571,652502,652502,0,0,306385835231,3236070496,642897,7710,1895,381,391989,0 100,2,2024-09-07 09:13:51:821,470972,470961,11,0,21204624,0,5417 100,3,2024-09-07 09:13:51:732,1,373,11,0,559,6226,373,0 101,0,2024-09-07 09:13:51:760,95818,1.1,93261,1.0,183161,1.0,250711,2.25 101,1,2024-09-07 09:13:50:554,652658,652658,0,0,306431288446,3225513098,642723,7819,2116,368,391847,0 101,2,2024-09-07 09:13:51:767,470348,470348,0,0,25584624,0,4871 101,3,2024-09-07 09:13:50:947,1,373,1,0,579,4966,373,0 102,0,2024-09-07 09:13:50:987,91621,0.7,94630,0.8,191524,0.6,250697,2.00 102,1,2024-09-07 09:13:51:144,653696,653696,0,0,306555853503,3218840372,645429,7010,1257,369,391891,0 102,2,2024-09-07 09:13:51:740,471807,471753,54,0,20819927,0,6768 102,3,2024-09-07 09:13:51:637,1,373,3,0,410,3800,373,0 103,0,2024-09-07 09:13:51:592,95654,0.6,95591,0.7,180245,0.6,248123,2.00 103,1,2024-09-07 09:13:51:625,652330,652330,0,0,306414077135,3232247524,642217,7527,2586,381,391829,0 103,2,2024-09-07 09:13:50:596,470515,470515,0,0,22143481,0,3766 103,3,2024-09-07 09:13:50:760,1,373,1,0,916,4329,373,0 104,0,2024-09-07 09:13:51:042,93660,0.7,93996,0.9,186662,0.7,251362,2.25 104,1,2024-09-07 09:13:51:613,654637,654637,0,0,306355042385,3219274923,645099,7764,1774,365,392168,0 104,2,2024-09-07 09:13:51:668,468286,468286,0,0,22544440,0,3941 104,3,2024-09-07 09:13:51:422,1,373,9,0,1245,7781,373,0 105,0,2024-09-07 09:13:51:046,93762,0.7,91341,1.0,191409,0.7,251983,2.50 105,1,2024-09-07 09:13:50:554,655277,655277,0,0,307542231356,3223598650,646648,7281,1348,366,392009,0 105,2,2024-09-07 09:13:51:329,472336,472336,0,0,22030911,0,3509 105,3,2024-09-07 09:13:51:308,1,373,3,0,399,5761,373,0 106,0,2024-09-07 09:13:50:991,89757,0.8,91878,0.9,188377,0.8,246322,2.50 106,1,2024-09-07 09:13:51:751,653856,653856,0,0,306620757300,3221105462,643948,8612,1296,369,391914,0 106,2,2024-09-07 09:13:50:760,471752,471752,0,0,21889891,0,2795 106,3,2024-09-07 09:13:50:679,1,373,3,0,470,4734,373,0 107,0,2024-09-07 09:13:51:101,91930,1.1,92070,1.0,184056,1.4,245082,2.25 107,1,2024-09-07 09:13:50:590,653034,653034,0,0,305784882070,3219805068,644647,7419,968,381,392234,0 107,2,2024-09-07 09:13:51:293,470881,470880,1,0,22606169,0,5024 107,3,2024-09-07 09:13:51:757,1,373,77,0,353,5339,373,0 108,0,2024-09-07 09:13:51:775,92118,0.4,92796,0.6,184439,0.4,246545,1.75 108,1,2024-09-07 09:13:51:310,655671,655671,0,0,308226161675,3211416517,650560,4592,519,368,391857,0 108,2,2024-09-07 09:13:51:766,466963,466963,0,0,20724014,0,4246 108,3,2024-09-07 09:13:51:347,1,373,11,0,749,7339,373,0 109,0,2024-09-07 09:13:51:744,96330,0.4,95557,0.5,191071,0.3,255967,1.75 109,1,2024-09-07 09:13:50:597,653450,653450,0,0,306278762940,3209149646,648204,4580,666,383,392132,0 109,2,2024-09-07 09:13:50:933,471759,471759,0,0,20435284,0,3617 109,3,2024-09-07 09:13:51:140,1,373,36,0,379,4397,373,0 110,0,2024-09-07 09:13:51:761,91648,0.4,89162,0.6,186839,0.3,244491,1.75 110,1,2024-09-07 09:13:51:643,656981,656981,0,0,308093974996,3193960992,652934,3066,981,369,392045,0 110,2,2024-09-07 09:13:51:312,474186,474186,0,0,20270050,0,4067 110,3,2024-09-07 09:13:50:694,1,373,0,0,722,5423,373,0 111,0,2024-09-07 09:13:51:413,92766,0.4,92026,0.6,184630,0.4,246080,1.75 111,1,2024-09-07 09:13:51:000,657283,657283,0,0,308514037736,3198511545,653891,3039,353,382,391690,0 111,2,2024-09-07 09:13:51:116,471467,471467,0,0,19526628,0,4823 111,3,2024-09-07 09:13:50:922,1,373,1,0,379,4330,373,0 112,0,2024-09-07 09:13:50:926,93240,0.3,92567,0.4,185691,0.2,246305,1.50 112,1,2024-09-07 09:13:50:829,656788,656788,0,0,308294116569,3197005979,652963,3224,601,380,391624,0 112,2,2024-09-07 09:13:51:135,468965,468964,1,0,17834799,0,5036 112,3,2024-09-07 09:13:50:602,1,373,12,0,282,3795,373,0 113,0,2024-09-07 09:13:50:878,94750,0.3,94951,0.4,190063,0.2,253489,1.50 113,1,2024-09-07 09:13:51:688,658716,658716,0,0,309647997835,3192805004,655201,2931,584,366,391661,0 113,2,2024-09-07 09:13:51:306,475184,475184,0,0,16651984,0,3813 113,3,2024-09-07 09:13:50:696,1,373,2,0,340,4425,373,0 114,0,2024-09-07 09:13:50:885,93430,0.3,94084,0.5,187015,0.2,249666,1.75 114,1,2024-09-07 09:13:50:723,656665,656665,0,0,307641609167,3195184974,651213,3855,1597,381,391565,0 114,2,2024-09-07 09:13:50:874,474182,474181,1,0,18419474,0,5069 114,3,2024-09-07 09:13:51:279,1,373,21,0,395,3078,373,0 115,0,2024-09-07 09:13:50:575,93768,0.3,94428,0.4,188373,0.2,250350,1.50 115,1,2024-09-07 09:13:50:573,656343,656343,0,0,308804087730,3206005644,651104,4396,843,382,391757,0 115,2,2024-09-07 09:13:51:128,473356,473356,0,0,17063208,0,3848 115,3,2024-09-07 09:13:51:002,1,373,4,0,159,2226,373,0 116,0,2024-09-07 09:13:51:731,92696,0.7,92622,0.9,185742,0.8,248534,2.00 116,1,2024-09-07 09:13:50:804,653143,653143,0,0,307011179538,3235630864,645010,5816,2317,380,392089,0 116,2,2024-09-07 09:13:51:755,470258,470258,0,0,22532659,0,3529 116,3,2024-09-07 09:13:50:912,1,373,10,0,415,4484,373,0 117,0,2024-09-07 09:13:51:012,94558,0.8,94159,0.8,188480,0.9,252459,2.00 117,1,2024-09-07 09:13:51:584,653815,653815,0,0,306647038367,3209110984,646789,6181,845,369,392033,0 117,2,2024-09-07 09:13:51:120,473268,473268,0,0,18824480,0,3700 117,3,2024-09-07 09:13:51:066,1,373,10,0,490,5192,373,0 118,0,2024-09-07 09:13:51:778,92429,0.5,94694,0.7,193574,0.5,252901,2.00 118,1,2024-09-07 09:13:50:591,653541,653541,0,0,306342547500,3221300525,643480,7534,2527,366,391907,0 118,2,2024-09-07 09:13:51:591,472073,472073,0,0,21478965,0,2842 118,3,2024-09-07 09:13:51:763,1,373,2,0,248,4191,373,0 119,0,2024-09-07 09:13:51:402,93498,0.6,93951,0.7,187811,0.6,249744,2.00 119,1,2024-09-07 09:13:50:576,654778,654778,0,0,306575619516,3205846809,647707,6229,842,367,391780,0 119,2,2024-09-07 09:13:51:261,472332,472332,0,0,19976531,0,4174 119,3,2024-09-07 09:13:51:325,1,373,13,0,1058,6573,373,0 120,0,2024-09-07 09:13:51:572,91591,0.6,91355,0.8,182806,0.6,244705,2.25 120,1,2024-09-07 09:13:50:864,654297,654297,0,0,307014961561,3221976361,647153,6500,644,368,391961,0 120,2,2024-09-07 09:13:50:773,471624,471623,1,0,23404392,0,5281 120,3,2024-09-07 09:13:51:292,1,373,8,0,241,4484,373,0 121,0,2024-09-07 09:13:51:801,93035,0.9,92987,0.9,186669,1.2,248526,2.25 121,1,2024-09-07 09:13:51:726,654868,654868,0,0,307241465590,3212451934,648700,5726,442,367,391840,0 121,2,2024-09-07 09:13:51:153,467407,467407,0,0,22196530,0,4127 121,3,2024-09-07 09:13:50:740,1,373,11,0,269,4357,373,0 122,0,2024-09-07 09:13:51:768,95145,0.7,92911,0.8,195021,0.8,255649,2.00 122,1,2024-09-07 09:13:50:863,653774,653774,0,0,306157996910,3211391762,644910,7595,1269,366,392130,0 122,2,2024-09-07 09:13:51:319,472764,472691,73,0,24971021,0,5989 122,3,2024-09-07 09:13:50:601,1,373,0,0,512,7039,373,0 123,0,2024-09-07 09:13:50:977,91832,0.6,89800,0.7,187298,0.7,245631,2.00 123,1,2024-09-07 09:13:50:564,653880,653880,0,0,306704864946,3231633150,641944,9770,2166,369,392039,0 123,2,2024-09-07 09:13:51:018,471748,471747,1,0,21514710,0,5215 123,3,2024-09-07 09:13:51:132,1,373,15,0,168,4088,373,0 124,0,2024-09-07 09:13:50:958,94729,0.3,94703,0.5,178685,0.3,245199,1.75 124,1,2024-09-07 09:13:51:026,656292,656292,0,0,307617491297,3197502289,651507,3873,912,367,392178,0 124,2,2024-09-07 09:13:51:013,473166,473113,53,0,19062015,0,6487 124,3,2024-09-07 09:13:50:760,1,373,8,0,490,3709,373,0 125,0,2024-09-07 09:13:51:432,92061,0.4,91690,0.5,184309,0.3,245525,1.75 125,1,2024-09-07 09:13:50:879,654886,654886,0,0,307462474472,3207402898,649979,4260,647,382,391702,0 125,2,2024-09-07 09:13:51:124,468614,468614,0,0,19439909,0,4534 125,3,2024-09-07 09:13:51:128,1,373,0,0,709,4757,373,0 126,0,2024-09-07 09:13:51:456,95771,0.4,98467,0.5,188576,0.4,255710,1.75 126,1,2024-09-07 09:13:50:564,656761,656761,0,0,308595951734,3195213305,653000,3473,288,365,391987,0 126,2,2024-09-07 09:13:50:618,474487,474487,0,0,20223340,0,4539 126,3,2024-09-07 09:13:50:914,1,373,1,0,207,4691,373,0 127,0,2024-09-07 09:13:51:593,91919,0.3,92383,0.5,184223,0.3,244553,1.50 127,1,2024-09-07 09:13:50:581,656037,656037,0,0,308097670783,3199732628,650342,4817,878,364,392187,0 127,2,2024-09-07 09:13:50:648,473349,473349,0,0,18381678,0,3897 127,3,2024-09-07 09:13:51:270,1,373,1,0,968,4210,373,0 128,0,2024-09-07 09:13:51:525,93292,0.3,93635,0.4,186920,0.2,247680,1.50 128,1,2024-09-07 09:13:51:606,656018,656018,0,0,307794058774,3193722982,651801,3845,372,367,391838,0 128,2,2024-09-07 09:13:51:382,472694,472694,0,0,17740962,0,2915 128,3,2024-09-07 09:13:50:781,1,373,12,0,1082,6067,373,0 129,0,2024-09-07 09:13:51:009,93966,0.3,93410,0.5,187414,0.3,248954,1.50 129,1,2024-09-07 09:13:50:571,653809,653809,0,0,306900518817,3210798908,647970,4582,1257,379,391835,0 129,2,2024-09-07 09:13:50:702,470420,470420,0,0,18660303,0,4031 129,3,2024-09-07 09:13:50:691,1,373,1,0,506,5169,373,0 130,0,2024-09-07 09:13:51:724,95326,0.5,94977,0.6,191279,0.5,254176,1.75 130,1,2024-09-07 09:13:50:603,656696,656696,0,0,308581934615,3205699339,653249,3166,281,381,391825,0 130,2,2024-09-07 09:13:51:133,473844,473844,0,0,18476800,0,4067 130,3,2024-09-07 09:13:51:291,1,373,37,0,535,4827,373,0 131,0,2024-09-07 09:13:51:995,93737,0.4,94246,0.5,189628,0.3,250835,1.75 131,1,2024-09-07 09:13:51:829,655734,655734,0,0,307388799241,3206649847,650985,4001,748,381,391865,0 131,2,2024-09-07 09:13:50:568,475370,475370,0,0,16984181,0,2415 131,3,2024-09-07 09:13:51:696,1,373,38,0,392,3757,373,0 132,0,2024-09-07 09:13:51:410,94195,0.4,95070,0.6,189102,0.4,251507,1.75 132,1,2024-09-07 09:13:50:576,653032,653032,0,0,306463734856,3224586538,643894,7609,1529,381,392097,0 132,2,2024-09-07 09:13:50:707,471228,471211,17,0,23634357,0,6451 132,3,2024-09-07 09:13:51:694,1,373,12,0,804,6695,373,0 133,0,2024-09-07 09:13:51:524,90331,0.4,92420,0.6,189672,0.4,246790,1.75 133,1,2024-09-07 09:13:50:595,653059,653059,0,0,306435991645,3227406933,644189,7726,1144,383,391914,0 133,2,2024-09-07 09:13:51:092,471115,471065,50,0,24301000,0,6861 133,3,2024-09-07 09:13:51:303,1,373,3,0,479,3898,373,0 134,0,2024-09-07 09:13:50:944,94803,0.5,94492,0.7,189131,0.5,252689,2.00 134,1,2024-09-07 09:13:50:597,654217,654217,0,0,306202318537,3211606734,645630,6704,1883,366,391718,0 134,2,2024-09-07 09:13:51:758,468542,468518,24,0,21451016,0,6207 134,3,2024-09-07 09:13:50:760,1,373,86,0,739,4759,373,0 135,0,2024-09-07 09:13:51:117,91874,0.7,91771,0.8,194716,0.8,251104,2.00 135,1,2024-09-07 09:13:51:589,654160,654160,0,0,306878287114,3228930138,645205,7678,1277,380,391805,0 135,2,2024-09-07 09:13:50:696,473832,473832,0,0,21640325,0,3981 135,3,2024-09-07 09:13:51:008,1,373,31,0,299,3038,373,0 136,0,2024-09-07 09:13:51:637,93291,0.5,93599,0.7,186018,0.5,248448,2.25 136,1,2024-09-07 09:13:51:450,653889,653889,0,0,306648002668,3218121066,646217,6832,840,382,391685,0 136,2,2024-09-07 09:13:51:137,473761,473761,0,0,21252565,0,3506 136,3,2024-09-07 09:13:51:109,1,373,9,0,637,4110,373,0 137,0,2024-09-07 09:13:50:944,94886,0.6,92289,0.7,181493,0.7,246395,2.00 137,1,2024-09-07 09:13:50:580,653431,653431,0,0,306797688392,3223533297,642386,8700,2345,366,391898,0 137,2,2024-09-07 09:13:51:711,471492,471492,0,0,23670045,0,3185 137,3,2024-09-07 09:13:50:779,1,373,6,0,484,4808,373,0 138,0,2024-09-07 09:13:51:749,91876,1.2,91900,1.0,184660,1.6,245436,2.25 138,1,2024-09-07 09:13:51:685,654589,654589,0,0,306591737836,3219175325,644881,7989,1719,368,391954,0 138,2,2024-09-07 09:13:50:596,467611,467611,0,0,21030214,0,4988 138,3,2024-09-07 09:13:50:617,1,373,21,0,1160,5013,373,0 139,0,2024-09-07 09:13:51:375,94719,1.5,95001,1.1,190026,2.2,253632,2.50 139,1,2024-09-07 09:13:50:587,651225,651225,0,0,304942950818,3243566158,637894,10105,3226,381,392058,0 139,2,2024-09-07 09:13:50:692,470318,470318,0,0,23960003,0,3097 139,3,2024-09-07 09:13:51:664,1,373,1,0,257,4179,373,0 140,0,2024-09-07 09:13:51:600,92011,0.3,91578,0.5,183854,0.2,244554,1.75 140,1,2024-09-07 09:13:51:542,658030,658030,0,0,308864829664,3184486371,654672,2919,439,365,391606,0 140,2,2024-09-07 09:13:50:696,474299,474298,1,0,18824156,0,5036 140,3,2024-09-07 09:13:50:767,1,373,9,0,297,3262,373,0 141,0,2024-09-07 09:13:51:713,92617,0.3,95069,0.5,181597,0.3,246089,1.75 141,1,2024-09-07 09:13:50:895,656366,656366,0,0,308078833504,3197967978,651719,3783,864,379,391614,0 141,2,2024-09-07 09:13:51:699,472667,472667,0,0,18367860,0,3360 141,3,2024-09-07 09:13:51:052,1,373,1,0,391,4307,373,0 142,0,2024-09-07 09:13:51:337,93221,0.3,92618,0.5,185069,0.3,246798,1.75 142,1,2024-09-07 09:13:50:594,655891,655891,0,0,306512989558,3193679159,651885,3653,353,382,392102,0 142,2,2024-09-07 09:13:51:312,468281,468249,32,0,19528527,0,6028 142,3,2024-09-07 09:13:51:746,1,373,3,0,484,4365,373,0 143,0,2024-09-07 09:13:51:381,95085,0.4,94912,0.5,190192,0.4,253484,1.75 143,1,2024-09-07 09:13:50:557,657172,657172,0,0,307999128213,3192839310,653380,3638,154,367,391705,0 143,2,2024-09-07 09:13:50:773,473895,473895,0,0,19424911,0,3123 143,3,2024-09-07 09:13:51:141,1,373,462,0,462,4936,373,0 144,0,2024-09-07 09:13:51:514,90222,0.6,92594,0.8,188956,0.5,247572,2.00 144,1,2024-09-07 09:13:50:571,653459,653459,0,0,306591997775,3212942859,647869,4382,1208,381,391649,0 144,2,2024-09-07 09:13:51:755,474543,474543,0,0,18134693,0,3673 144,3,2024-09-07 09:13:51:741,1,373,8,0,249,3699,373,0 145,0,2024-09-07 09:13:51:391,90722,0.6,90718,0.8,192442,0.5,247458,2.25 145,1,2024-09-07 09:13:50:561,653433,653433,0,0,306843628452,3223298819,645855,6435,1143,382,391759,0 145,2,2024-09-07 09:13:51:430,469948,469867,81,0,22474592,0,7814 145,3,2024-09-07 09:13:50:914,1,373,2,0,622,5593,373,0 146,0,2024-09-07 09:13:51:648,93062,0.5,92432,0.7,186354,0.5,247162,2.00 146,1,2024-09-07 09:13:51:584,654579,654579,0,0,307020252249,3226596923,644414,8154,2011,367,391770,0 146,2,2024-09-07 09:13:51:695,469730,469730,0,0,21259321,0,2730 146,3,2024-09-07 09:13:51:319,1,373,3,0,1520,7692,373,0 147,0,2024-09-07 09:13:51:700,94665,0.6,94322,0.7,187956,0.5,252168,2.00 147,1,2024-09-07 09:13:51:372,656430,656430,0,0,308360478360,3205644277,650694,4934,802,368,391791,0 147,2,2024-09-07 09:13:51:022,471058,471058,0,0,18734356,0,2789 147,3,2024-09-07 09:13:50:924,1,373,3,0,730,5260,373,0 0,0,2024-09-07 09:14:01:712,89603,0.6,89666,0.7,190197,0.7,245748,2.00 0,1,2024-09-07 09:14:00:821,656705,656705,0,0,308699500238,3226148966,652361,4097,247,369,391896,0 0,2,2024-09-07 09:14:01:071,474635,474635,0,0,18755475,0,4480 0,3,2024-09-07 09:14:00:974,1,374,10,0,431,5283,374,0 1,0,2024-09-07 09:14:01:785,93745,0.7,93089,0.9,187044,0.9,250097,2.00 1,1,2024-09-07 09:14:00:579,655957,655957,0,0,308063866414,3222122495,650280,4447,1230,370,391857,0 1,2,2024-09-07 09:14:00:645,469286,469286,0,0,18117358,0,3380 1,3,2024-09-07 09:14:01:318,1,374,6,0,262,4338,374,0 2,0,2024-09-07 09:14:01:591,95813,0.5,96151,0.7,191373,0.6,256000,2.00 2,1,2024-09-07 09:14:00:869,658234,658234,0,0,308922308792,3205370209,655285,2656,293,380,391745,0 2,2,2024-09-07 09:14:01:265,474983,474983,0,0,17879018,0,3594 2,3,2024-09-07 09:14:00:698,1,374,1,0,357,3754,374,0 3,0,2024-09-07 09:14:01:756,92553,0.4,92340,0.6,184718,0.4,246241,2.00 3,1,2024-09-07 09:14:01:630,656817,656817,0,0,307919529956,3204173356,651577,4616,624,380,391591,0 3,2,2024-09-07 09:14:01:151,474750,474727,23,0,18576618,0,5851 3,3,2024-09-07 09:14:01:757,1,374,2,0,207,2341,374,0 4,0,2024-09-07 09:14:01:847,89431,0.4,91857,0.5,187238,0.3,244758,1.75 4,1,2024-09-07 09:14:00:602,654034,654034,0,0,307166537068,3243312127,643945,7884,2205,370,391992,0 4,2,2024-09-07 09:14:01:018,472297,472297,0,0,21690888,0,4528 4,3,2024-09-07 09:14:01:028,1,374,9,0,448,5084,374,0 5,0,2024-09-07 09:14:01:417,92099,0.4,92618,0.6,184649,0.4,245776,1.75 5,1,2024-09-07 09:14:00:758,656033,656033,0,0,307760614695,3235573680,647753,6769,1511,367,392005,0 5,2,2024-09-07 09:14:01:834,467308,467308,0,0,20726269,0,3582 5,3,2024-09-07 09:14:01:735,1,374,0,0,457,5188,374,0 6,0,2024-09-07 09:14:00:945,96587,0.4,96093,0.6,191887,0.3,256817,1.75 6,1,2024-09-07 09:14:00:751,656027,656027,0,0,307571779940,3214873734,648563,6106,1358,379,391694,0 6,2,2024-09-07 09:14:01:119,474301,474283,18,0,21017304,0,5535 6,3,2024-09-07 09:14:01:281,1,374,0,0,340,3992,374,0 7,0,2024-09-07 09:14:01:532,91493,0.5,92076,0.6,183467,0.5,243886,2.00 7,1,2024-09-07 09:14:00:985,655382,655382,0,0,307808559529,3231867058,646220,7767,1395,382,391747,0 7,2,2024-09-07 09:14:00:773,475577,475577,0,0,20206610,0,4791 7,3,2024-09-07 09:14:00:851,1,374,18,0,398,4022,374,0 8,0,2024-09-07 09:14:01:415,93255,0.4,93000,0.5,186313,0.3,248030,1.75 8,1,2024-09-07 09:14:01:017,654271,654271,0,0,307462376153,3235720530,643335,8343,2593,366,392144,0 8,2,2024-09-07 09:14:00:795,469299,469299,0,0,24355421,0,3220 8,3,2024-09-07 09:14:00:591,1,374,80,0,538,6299,374,0 9,0,2024-09-07 09:14:01:195,93649,0.4,90879,0.5,190191,0.3,248642,1.75 9,1,2024-09-07 09:14:00:551,655001,655001,0,0,307006734839,3235179505,645391,7474,2136,369,392001,0 9,2,2024-09-07 09:14:01:083,469572,469572,0,0,21595203,0,3360 9,3,2024-09-07 09:14:01:758,1,374,9,0,496,5305,374,0 10,0,2024-09-07 09:14:01:607,95369,0.3,94807,0.5,190397,0.2,253775,1.75 10,1,2024-09-07 09:14:00:583,655429,655429,0,0,308089107247,3227291969,646595,7550,1284,381,391741,0 10,2,2024-09-07 09:14:00:766,473809,473809,0,0,23648639,0,4264 10,3,2024-09-07 09:14:00:876,1,374,21,0,296,3322,374,0 11,0,2024-09-07 09:14:01:007,93697,0.5,91004,0.6,190266,0.4,250903,1.75 11,1,2024-09-07 09:14:00:571,656479,656479,0,0,307905102835,3232957077,645927,8071,2481,383,391756,0 11,2,2024-09-07 09:14:01:123,473994,473994,0,0,21464771,0,4130 11,3,2024-09-07 09:14:01:309,1,374,63,0,843,5603,374,0 12,0,2024-09-07 09:14:00:956,95092,0.4,95151,0.5,189979,0.3,252189,1.75 12,1,2024-09-07 09:14:00:942,656675,656675,0,0,308251416748,3212086159,651644,4536,495,370,391870,0 12,2,2024-09-07 09:14:01:564,473579,473579,0,0,20462507,0,3469 12,3,2024-09-07 09:14:01:069,1,374,8,0,386,5862,374,0 13,0,2024-09-07 09:14:01:380,93590,0.4,93281,0.6,186309,0.4,248433,1.75 13,1,2024-09-07 09:14:01:531,655393,655393,0,0,308042451364,3235663935,649244,4738,1411,382,391740,0 13,2,2024-09-07 09:14:00:595,474232,474232,0,0,18944042,0,3287 13,3,2024-09-07 09:14:01:791,1,374,3,0,522,5783,374,0 14,0,2024-09-07 09:14:00:589,94895,0.4,95672,0.6,189574,0.3,252847,1.75 14,1,2024-09-07 09:14:01:572,659848,659848,0,0,309460158953,3202090783,655090,4399,359,364,391673,0 14,2,2024-09-07 09:14:00:770,471225,471195,30,0,20275689,0,6104 14,3,2024-09-07 09:14:01:120,1,374,38,0,1168,4322,374,0 15,0,2024-09-07 09:14:01:553,95161,0.4,95217,0.6,190599,0.3,253647,1.75 15,1,2024-09-07 09:14:01:608,656991,656991,0,0,308521205716,3209106136,652629,3538,824,381,391619,0 15,2,2024-09-07 09:14:01:004,475663,475663,0,0,17009412,0,3622 15,3,2024-09-07 09:14:01:416,1,374,4,0,1126,6722,374,0 16,0,2024-09-07 09:14:00:949,93235,0.5,93676,0.7,187030,0.5,248933,2.00 16,1,2024-09-07 09:14:00:566,657677,657677,0,0,308025197362,3214947846,652788,4501,388,370,391756,0 16,2,2024-09-07 09:14:01:451,473831,473831,0,0,19581218,0,4719 16,3,2024-09-07 09:14:01:148,1,374,9,0,317,4892,374,0 17,0,2024-09-07 09:14:01:789,95056,0.6,92689,0.8,181448,0.6,246313,2.00 17,1,2024-09-07 09:14:00:578,655583,655583,0,0,307709466746,3226202618,649088,5233,1262,368,392075,0 17,2,2024-09-07 09:14:01:666,476331,476331,0,0,19292529,0,2857 17,3,2024-09-07 09:14:00:578,1,374,0,0,298,5929,374,0 18,0,2024-09-07 09:14:00:954,91675,0.6,92150,0.8,183598,0.6,245199,2.25 18,1,2024-09-07 09:14:01:643,658567,658567,0,0,308624103501,3197239666,654954,3296,317,367,391649,0 18,2,2024-09-07 09:14:01:765,471546,471546,0,0,17429017,0,3541 18,3,2024-09-07 09:14:00:907,1,374,0,0,163,3062,374,0 19,0,2024-09-07 09:14:01:540,95817,0.6,96473,0.7,191094,0.5,254741,2.00 19,1,2024-09-07 09:14:00:565,658563,658563,0,0,309222858076,3206425414,653282,4442,839,367,391777,0 19,2,2024-09-07 09:14:01:758,476358,476358,0,0,16366173,0,3988 19,3,2024-09-07 09:14:01:137,1,374,4,0,524,2771,374,0 20,0,2024-09-07 09:14:01:465,91835,0.5,91820,0.6,183578,0.4,244811,2.00 20,1,2024-09-07 09:14:00:593,656464,656464,0,0,308487776079,3222304769,651337,4410,717,369,391886,0 20,2,2024-09-07 09:14:00:942,475025,475025,0,0,20236932,0,3721 20,3,2024-09-07 09:14:00:594,1,374,9,0,414,5716,374,0 21,0,2024-09-07 09:14:01:154,92510,0.5,92551,0.6,184867,0.5,245154,2.00 21,1,2024-09-07 09:14:01:545,654626,654626,0,0,306224986430,3226496027,645550,7089,1987,368,392016,0 21,2,2024-09-07 09:14:01:078,470838,470818,20,0,24274887,0,5617 21,3,2024-09-07 09:14:01:421,1,374,4,0,713,4982,374,0 22,0,2024-09-07 09:14:01:723,92292,0.5,92605,0.7,185470,0.5,245257,2.00 22,1,2024-09-07 09:14:01:023,656023,656023,0,0,307243002722,3227116831,646512,7497,2014,382,391667,0 22,2,2024-09-07 09:14:00:762,467980,467954,26,0,19224839,0,6328 22,3,2024-09-07 09:14:01:066,1,374,2,0,228,3194,374,0 23,0,2024-09-07 09:14:01:369,95042,0.5,94697,0.7,189857,0.4,253577,2.25 23,1,2024-09-07 09:14:01:003,656924,656924,0,0,308427511137,3238406183,645406,7967,3551,365,391690,0 23,2,2024-09-07 09:14:01:094,476002,476002,0,0,18831975,0,3010 23,3,2024-09-07 09:14:01:754,1,374,1,0,720,5302,374,0 24,0,2024-09-07 09:14:00:851,93839,0.4,93657,0.6,187849,0.4,249115,1.75 24,1,2024-09-07 09:14:00:582,655696,655696,0,0,307547964835,3225772753,648207,5908,1581,367,392269,0 24,2,2024-09-07 09:14:01:071,473641,473641,0,0,23280974,0,3607 24,3,2024-09-07 09:14:01:686,1,374,9,0,468,5155,374,0 25,0,2024-09-07 09:14:01:484,96408,0.4,93962,0.6,184254,0.4,250889,1.75 25,1,2024-09-07 09:14:00:798,655345,655345,0,0,306840626369,3228121011,645222,8218,1905,371,391928,0 25,2,2024-09-07 09:14:01:612,471789,471789,0,0,23113922,0,3978 25,3,2024-09-07 09:14:01:003,1,374,1,0,255,3707,374,0 26,0,2024-09-07 09:14:01:725,93142,0.4,90870,0.6,190669,0.3,248211,1.75 26,1,2024-09-07 09:14:01:552,656810,656810,0,0,307279319998,3218787543,647258,8006,1546,380,391748,0 26,2,2024-09-07 09:14:00:869,472011,472011,0,0,23100290,0,2809 26,3,2024-09-07 09:14:01:712,1,374,1,0,796,4731,374,0 27,0,2024-09-07 09:14:01:727,95159,0.4,95285,0.6,189058,0.4,252944,2.00 27,1,2024-09-07 09:14:01:684,658538,658538,0,0,308924002951,3216433976,652347,5368,823,381,391626,0 27,2,2024-09-07 09:14:00:868,469883,469818,65,0,22266011,0,5699 27,3,2024-09-07 09:14:01:017,1,374,1,0,564,3763,374,0 28,0,2024-09-07 09:14:01:397,95570,0.4,95175,0.6,190578,0.3,254364,2.00 28,1,2024-09-07 09:14:00:797,657197,657197,0,0,309152509900,3222692414,651814,4231,1152,383,391698,0 28,2,2024-09-07 09:14:01:771,474504,474504,0,0,19794202,0,2915 28,3,2024-09-07 09:14:01:794,1,374,8,0,502,4102,374,0 29,0,2024-09-07 09:14:01:357,96743,0.3,94230,0.6,184986,0.3,252009,1.75 29,1,2024-09-07 09:14:01:571,659053,659053,0,0,309151547669,3198996637,654619,3747,687,369,391753,0 29,2,2024-09-07 09:14:00:869,472570,472570,0,0,18830574,0,4986 29,3,2024-09-07 09:14:00:962,1,374,25,0,459,4510,374,0 30,0,2024-09-07 09:14:01:473,91873,0.5,89372,0.7,187033,0.4,244672,2.00 30,1,2024-09-07 09:14:00:585,659020,659020,0,0,308998207846,3207335805,653851,4443,726,381,391672,0 30,2,2024-09-07 09:14:01:273,473972,473972,0,0,17832812,0,3161 30,3,2024-09-07 09:14:00:585,1,374,0,0,519,3704,374,0 31,0,2024-09-07 09:14:01:764,93253,0.4,93707,0.6,187193,0.3,249914,2.00 31,1,2024-09-07 09:14:00:570,661077,661077,0,0,310155894556,3178710687,658528,1990,559,356,391712,0 31,2,2024-09-07 09:14:01:280,469894,469894,0,0,19425781,0,3525 31,3,2024-09-07 09:14:01:706,1,374,62,0,220,3198,374,0 32,0,2024-09-07 09:14:01:421,95957,0.3,96468,0.5,192419,0.2,256330,1.50 32,1,2024-09-07 09:14:00:812,657903,657903,0,0,308957127117,3207728271,654200,3222,481,381,391646,0 32,2,2024-09-07 09:14:00:942,475574,475574,0,0,16928331,0,3155 32,3,2024-09-07 09:14:01:015,1,374,17,0,227,2613,374,0 33,0,2024-09-07 09:14:01:505,92647,0.3,92221,0.4,185131,0.2,246500,1.50 33,1,2024-09-07 09:14:00:585,659278,659278,0,0,309091716870,3200306750,654397,3961,920,369,391730,0 33,2,2024-09-07 09:14:00:762,475427,475392,35,0,19564965,0,7012 33,3,2024-09-07 09:14:00:903,1,374,1,0,329,3738,374,0 34,0,2024-09-07 09:14:00:937,92312,0.3,94919,0.4,181641,0.2,244105,1.75 34,1,2024-09-07 09:14:01:044,660631,660631,0,0,310073907271,3185584150,659202,1420,9,367,391562,0 34,2,2024-09-07 09:14:00:773,474121,474121,0,0,18525545,0,3577 34,3,2024-09-07 09:14:01:687,1,374,1,0,299,2582,374,0 35,0,2024-09-07 09:14:00:860,91439,0.3,91819,0.5,184797,0.3,246374,1.75 35,1,2024-09-07 09:14:01:067,658704,658704,0,0,309012049712,3199864779,654958,2964,782,382,391769,0 35,2,2024-09-07 09:14:01:585,469355,469355,0,0,18296359,0,2653 35,3,2024-09-07 09:14:00:908,1,374,1,0,418,4392,374,0 36,0,2024-09-07 09:14:01:526,96559,0.4,96387,0.6,192874,0.4,256636,2.00 36,1,2024-09-07 09:14:00:586,657593,657593,0,0,308141022575,3215062719,649765,6143,1685,366,391759,0 36,2,2024-09-07 09:14:01:758,475316,475316,0,0,21024578,0,3875 36,3,2024-09-07 09:14:00:866,1,374,1,0,416,5768,374,0 37,0,2024-09-07 09:14:01:423,91668,0.5,91586,0.6,183361,0.4,244276,2.00 37,1,2024-09-07 09:14:00:571,657201,657194,0,7,307592347538,3211895291,649768,5266,2160,365,391570,0 37,2,2024-09-07 09:14:01:159,473901,473886,15,0,21063230,0,5815 37,3,2024-09-07 09:14:01:770,1,374,11,0,888,5803,374,0 38,0,2024-09-07 09:14:01:449,92490,0.5,89873,0.7,188055,0.4,245782,2.00 38,1,2024-09-07 09:14:01:607,658388,658388,0,0,308846024532,3220731316,650211,6892,1285,368,391821,0 38,2,2024-09-07 09:14:00:762,473008,472961,47,0,20789904,0,6710 38,3,2024-09-07 09:14:01:000,1,374,1,0,689,5041,374,0 39,0,2024-09-07 09:14:01:766,95180,0.6,93211,0.7,181503,0.5,247399,2.00 39,1,2024-09-07 09:14:00:726,656976,656976,0,0,308078439634,3225796895,646980,8022,1974,365,391658,0 39,2,2024-09-07 09:14:01:433,470361,470361,0,0,19046149,0,2689 39,3,2024-09-07 09:14:00:722,1,374,0,0,324,4561,374,0 40,0,2024-09-07 09:14:01:500,94313,0.8,95091,1.0,189693,0.9,253014,2.75 40,1,2024-09-07 09:14:00:586,657417,657417,0,0,307036821718,3213697356,648233,7570,1614,368,391668,0 40,2,2024-09-07 09:14:01:305,472978,472977,1,0,22887659,0,5137 40,3,2024-09-07 09:14:01:149,1,374,9,0,1028,5235,374,0 41,0,2024-09-07 09:14:01:032,93264,1.6,95463,1.3,182309,2.6,247617,3.25 41,1,2024-09-07 09:14:00:770,656130,656130,0,0,307995782531,3221210146,648134,7228,768,369,391742,0 41,2,2024-09-07 09:14:00:766,473139,473139,0,0,21832477,0,4277 41,3,2024-09-07 09:14:01:687,1,374,1,0,366,3921,374,0 42,0,2024-09-07 09:14:01:474,93611,0.9,93637,1.0,187540,1.0,248049,2.75 42,1,2024-09-07 09:14:01:444,655024,655024,0,0,307261109812,3229743047,644521,8638,1865,380,391675,0 42,2,2024-09-07 09:14:01:133,471941,471941,0,0,22302916,0,3790 42,3,2024-09-07 09:14:01:009,1,374,1,0,446,3175,374,0 43,0,2024-09-07 09:14:00:925,91784,0.9,89368,1.0,187421,0.9,245814,2.50 43,1,2024-09-07 09:14:00:585,656595,656595,0,0,309054966195,3230777457,647000,7865,1730,366,391696,0 43,2,2024-09-07 09:14:01:736,472683,472683,0,0,22002636,0,3812 43,3,2024-09-07 09:14:01:757,1,374,1,0,467,4481,374,0 44,0,2024-09-07 09:14:00:859,94813,0.4,95222,0.6,190178,0.4,252966,1.75 44,1,2024-09-07 09:14:00:563,658595,658595,0,0,308847819727,3191001092,653425,4123,1047,356,391809,0 44,2,2024-09-07 09:14:01:267,470429,470429,0,0,17920510,0,4344 44,3,2024-09-07 09:14:01:093,1,374,8,0,817,4675,374,0 45,0,2024-09-07 09:14:01:767,94160,0.5,91997,0.7,192618,0.4,253828,2.00 45,1,2024-09-07 09:14:01:006,658051,658051,0,0,308773484993,3206334072,653384,4185,482,382,391917,0 45,2,2024-09-07 09:14:01:268,475066,475066,0,0,18407967,0,3596 45,3,2024-09-07 09:14:00:952,1,374,1,0,271,3472,374,0 46,0,2024-09-07 09:14:00:961,92676,0.5,92752,0.7,185615,0.5,246654,2.00 46,1,2024-09-07 09:14:00:582,659239,659239,0,0,309621716230,3196724388,655188,3555,496,366,391572,0 46,2,2024-09-07 09:14:00:599,474586,474586,0,0,17710272,0,2920 46,3,2024-09-07 09:14:01:132,1,374,3,0,908,5130,374,0 47,0,2024-09-07 09:14:01:115,92570,0.5,92813,0.6,186014,0.4,245764,2.00 47,1,2024-09-07 09:14:00:583,660267,660267,0,0,308449589222,3188100613,655775,3677,815,366,391641,0 47,2,2024-09-07 09:14:00:913,475627,475627,0,0,18147233,0,4477 47,3,2024-09-07 09:14:01:119,1,374,1,0,529,3974,374,0 48,0,2024-09-07 09:14:01:495,93197,0.3,92836,0.4,185091,0.2,247363,1.50 48,1,2024-09-07 09:14:01:023,658412,658412,0,0,309115036493,3209282812,654352,3607,453,384,391710,0 48,2,2024-09-07 09:14:00:703,469030,469030,0,0,16241350,0,3031 48,3,2024-09-07 09:14:00:759,1,374,19,0,339,3141,374,0 49,0,2024-09-07 09:14:01:717,98703,0.3,97028,0.5,187678,0.2,257544,1.75 49,1,2024-09-07 09:14:01:021,658002,658002,0,0,308620604456,3210771156,653386,3411,1205,382,391809,0 49,2,2024-09-07 09:14:01:802,475674,475674,0,0,18200431,0,4426 49,3,2024-09-07 09:14:01:415,1,374,992,0,992,4668,374,0 50,0,2024-09-07 09:14:01:513,92388,0.3,90915,0.4,183521,0.2,244521,1.75 50,1,2024-09-07 09:14:01:010,660005,660005,0,0,309395703422,3196815128,655883,3735,387,368,391565,0 50,2,2024-09-07 09:14:01:072,475479,475479,0,0,16862697,0,2263 50,3,2024-09-07 09:14:01:316,1,374,3,0,335,3460,374,0 51,0,2024-09-07 09:14:01:718,94871,0.3,92776,0.5,180872,0.2,246217,1.75 51,1,2024-09-07 09:14:01:683,660101,660101,0,0,310381338718,3199404221,656813,2312,976,365,391706,0 51,2,2024-09-07 09:14:01:317,473834,473834,0,0,16538134,0,3337 51,3,2024-09-07 09:14:01:028,1,374,1,0,678,2942,374,0 52,0,2024-09-07 09:14:01:491,92798,0.5,92730,0.7,185424,0.4,246275,2.00 52,1,2024-09-07 09:14:00:587,657230,657230,0,0,308036535905,3223222323,648132,7784,1314,368,391722,0 52,2,2024-09-07 09:14:01:758,466084,466046,38,0,21492863,0,6742 52,3,2024-09-07 09:14:00:673,1,374,9,0,1782,5534,374,0 53,0,2024-09-07 09:14:01:740,94584,0.6,91972,0.8,192639,0.6,253129,2.25 53,1,2024-09-07 09:14:00:779,655997,655997,0,0,308570550809,3235361614,645748,7542,2707,367,391702,0 53,2,2024-09-07 09:14:01:311,475204,475203,1,0,19282402,0,5455 53,3,2024-09-07 09:14:00:701,1,374,2,0,308,3303,374,0 54,0,2024-09-07 09:14:01:620,91787,0.6,92119,0.8,183455,0.4,244985,2.25 54,1,2024-09-07 09:14:00:581,657490,657490,0,0,308777325099,3206095194,651554,5317,619,366,391659,0 54,2,2024-09-07 09:14:00:869,475142,475110,32,0,22137935,0,6397 54,3,2024-09-07 09:14:00:771,1,374,0,0,676,5495,374,0 55,0,2024-09-07 09:14:01:764,90731,0.6,93871,0.7,189522,0.5,246801,2.50 55,1,2024-09-07 09:14:00:770,657692,657692,0,0,307984037342,3200863562,650954,5829,909,365,391731,0 55,2,2024-09-07 09:14:00:745,471849,471793,56,0,21425987,0,7239 55,3,2024-09-07 09:14:00:674,1,374,1,0,304,4063,374,0 56,0,2024-09-07 09:14:01:556,95279,1.1,89796,1.1,185202,1.5,248069,2.50 56,1,2024-09-07 09:14:00:570,654214,654214,0,0,307434050026,3246639949,644070,7951,2193,381,391867,0 56,2,2024-09-07 09:14:01:317,471465,471465,0,0,21280733,0,3567 56,3,2024-09-07 09:14:01:068,1,374,11,0,705,4668,374,0 57,0,2024-09-07 09:14:00:961,93655,1.6,93562,1.3,187342,2.3,250971,3.25 57,1,2024-09-07 09:14:00:998,656187,656187,0,0,308168635214,3230180335,648288,6886,1013,366,392032,0 57,2,2024-09-07 09:14:01:320,472920,472920,0,0,23298307,0,3317 57,3,2024-09-07 09:14:01:741,1,374,2,0,359,4186,374,0 58,0,2024-09-07 09:14:00:558,93913,0.9,91118,1.0,190769,1.1,249933,2.50 58,1,2024-09-07 09:14:00:583,656860,656857,0,3,308502625267,3226307489,647640,7960,1257,367,391603,3 58,2,2024-09-07 09:14:01:073,473583,473583,0,0,21703058,0,2857 58,3,2024-09-07 09:14:01:070,1,374,1,0,1043,4183,374,0 59,0,2024-09-07 09:14:01:753,93887,0.8,93461,0.9,186703,0.8,248478,2.75 59,1,2024-09-07 09:14:00:803,656103,656103,0,0,307983470924,3225785962,647093,7421,1589,369,391586,0 59,2,2024-09-07 09:14:00:586,473733,473733,0,0,21278877,0,2867 59,3,2024-09-07 09:14:01:744,1,374,33,0,1015,4789,374,0 60,0,2024-09-07 09:14:01:729,92218,0.5,92129,0.7,185048,0.5,245661,1.75 60,1,2024-09-07 09:14:00:782,658674,658674,0,0,308588482044,3202377280,654449,3576,649,370,392031,0 60,2,2024-09-07 09:14:01:152,473845,473845,0,0,20150221,0,3811 60,3,2024-09-07 09:14:01:260,1,374,13,0,409,4355,374,0 61,0,2024-09-07 09:14:01:506,93273,0.6,93966,0.7,186935,0.6,249677,2.00 61,1,2024-09-07 09:14:00:798,657018,657018,0,0,307921922943,3224946103,649758,5934,1326,382,392127,0 61,2,2024-09-07 09:14:01:120,469908,469841,67,0,20361458,0,6411 61,3,2024-09-07 09:14:01:687,1,374,52,0,479,5339,374,0 62,0,2024-09-07 09:14:01:714,96663,0.5,98876,0.6,188558,0.4,256252,1.75 62,1,2024-09-07 09:14:01:111,661414,661408,0,6,310177877782,3199012712,657769,3379,260,365,391715,6 62,2,2024-09-07 09:14:01:648,473452,473451,1,0,20052933,0,5555 62,3,2024-09-07 09:14:01:149,1,374,22,0,465,2784,374,0 63,0,2024-09-07 09:14:01:451,92862,0.4,92595,0.6,185730,0.3,246870,1.75 63,1,2024-09-07 09:14:00:806,659037,659031,0,6,308388751569,3199092605,655089,3554,388,381,391800,6 63,2,2024-09-07 09:14:00:765,475041,475041,0,0,18458642,0,4369 63,3,2024-09-07 09:14:01:734,1,374,1,0,667,3950,374,0 64,0,2024-09-07 09:14:01:583,91536,0.5,91537,0.7,183207,0.5,243183,2.00 64,1,2024-09-07 09:14:00:926,658330,658330,0,0,308484412005,3211671836,652499,4154,1677,370,391783,0 64,2,2024-09-07 09:14:01:154,476715,476696,19,0,18157746,0,6121 64,3,2024-09-07 09:14:01:140,1,374,10,0,265,3372,374,0 65,0,2024-09-07 09:14:01:698,91262,0.6,91556,0.7,182896,0.6,244295,2.00 65,1,2024-09-07 09:14:00:872,656677,656677,0,0,307820872220,3216063510,651892,4246,539,382,391901,0 65,2,2024-09-07 09:14:01:711,469805,469805,0,0,19983419,0,3367 65,3,2024-09-07 09:14:01:693,1,374,64,0,347,3894,374,0 66,0,2024-09-07 09:14:01:770,95915,0.5,95858,0.7,191663,0.4,255429,2.00 66,1,2024-09-07 09:14:01:313,658753,658753,0,0,309081631428,3212047912,654969,3461,323,380,391653,0 66,2,2024-09-07 09:14:01:135,476647,476647,0,0,18238500,0,4956 66,3,2024-09-07 09:14:01:079,1,374,10,0,291,3469,374,0 67,0,2024-09-07 09:14:01:450,92236,0.5,91892,0.6,184245,0.4,245001,2.00 67,1,2024-09-07 09:14:00:773,658652,658651,0,1,308700027764,3212391177,654191,3676,784,380,391787,1 67,2,2024-09-07 09:14:00:595,476570,476570,0,0,17536115,0,2889 67,3,2024-09-07 09:14:01:757,1,374,2,0,338,3309,374,0 68,0,2024-09-07 09:14:00:602,93412,0.6,93080,0.7,185663,0.6,247857,2.00 68,1,2024-09-07 09:14:00:577,655872,655872,0,0,307094580353,3221551635,648989,4810,2073,381,391953,0 68,2,2024-09-07 09:14:01:045,471191,471091,100,0,23888840,0,8578 68,3,2024-09-07 09:14:00:751,1,374,12,0,417,3891,374,0 69,0,2024-09-07 09:14:01:765,92856,0.8,93431,0.9,185926,0.9,246565,2.25 69,1,2024-09-07 09:14:01:017,654900,654900,0,0,307520013554,3243069817,645968,6744,2188,384,391994,0 69,2,2024-09-07 09:14:01:752,469887,469858,29,0,23707265,0,6912 69,3,2024-09-07 09:14:00:765,1,374,1,0,698,5232,374,0 70,0,2024-09-07 09:14:01:535,94351,1.0,94446,1.0,189863,0.8,251905,2.50 70,1,2024-09-07 09:14:00:800,658291,658291,0,0,309370076826,3209609356,653016,4617,658,366,391725,0 70,2,2024-09-07 09:14:01:328,473572,473572,0,0,20706461,0,4044 70,3,2024-09-07 09:14:00:749,1,374,1,0,854,4288,374,0 71,0,2024-09-07 09:14:01:359,93026,0.9,92764,1.0,186240,1.1,249058,2.75 71,1,2024-09-07 09:14:01:598,657190,657190,0,0,308807272474,3221283360,649750,6692,748,368,391738,0 71,2,2024-09-07 09:14:01:071,474303,474303,0,0,21542631,0,4352 71,3,2024-09-07 09:14:01:760,1,374,65,0,644,4913,374,0 72,0,2024-09-07 09:14:01:030,97418,0.6,95253,0.7,185705,0.5,252502,2.00 72,1,2024-09-07 09:14:01:048,656206,656206,0,0,307341131085,3223598731,646439,7807,1960,369,391819,0 72,2,2024-09-07 09:14:01:781,472380,472380,0,0,23604625,0,3983 72,3,2024-09-07 09:14:01:761,1,374,66,0,564,5741,374,0 73,0,2024-09-07 09:14:01:129,90605,0.4,92948,0.6,189985,0.4,247159,2.00 73,1,2024-09-07 09:14:00:768,657506,657506,0,0,308269550083,3203234302,652831,4311,364,367,391858,0 73,2,2024-09-07 09:14:01:749,472427,472427,0,0,22985274,0,3701 73,3,2024-09-07 09:14:00:970,1,374,1,0,486,5302,374,0 74,0,2024-09-07 09:14:01:340,95398,0.5,97675,0.6,186255,0.4,252803,2.25 74,1,2024-09-07 09:14:00:635,657207,657207,0,0,308341352810,3218416851,650563,5372,1272,381,391681,0 74,2,2024-09-07 09:14:01:003,470539,470539,0,0,21397487,0,4253 74,3,2024-09-07 09:14:01:442,1,374,0,0,522,5313,374,0 75,0,2024-09-07 09:14:01:800,95581,0.5,95071,0.7,190886,0.4,254868,2.25 75,1,2024-09-07 09:14:01:611,656622,656622,0,0,308098798085,3216418283,649965,5831,826,380,391739,0 75,2,2024-09-07 09:14:01:360,473472,473472,0,0,22711133,0,4766 75,3,2024-09-07 09:14:01:067,1,374,11,0,702,5118,374,0 76,0,2024-09-07 09:14:00:646,92931,0.6,92319,0.7,185282,0.5,247918,2.25 76,1,2024-09-07 09:14:00:806,657664,657664,0,0,307724632807,3206702640,653648,3425,591,382,391692,0 76,2,2024-09-07 09:14:01:071,476001,476000,1,0,20691378,0,5144 76,3,2024-09-07 09:14:01:153,1,374,12,0,175,3477,374,0 77,0,2024-09-07 09:14:01:693,92264,0.6,92421,0.7,185031,0.6,245576,2.00 77,1,2024-09-07 09:14:00:833,657259,657259,0,0,308250512452,3219043413,651725,5038,496,381,391869,0 77,2,2024-09-07 09:14:01:285,474170,474170,0,0,20227458,0,3890 77,3,2024-09-07 09:14:01:098,1,374,3,0,401,4081,374,0 78,0,2024-09-07 09:14:01:726,92981,0.4,92555,0.6,185807,0.4,246507,2.00 78,1,2024-09-07 09:14:00:613,657978,657978,0,0,308019133976,3203468898,651816,4964,1198,367,391670,0 78,2,2024-09-07 09:14:01:412,470034,470034,0,0,17227246,0,3855 78,3,2024-09-07 09:14:01:138,1,374,0,0,181,3492,374,0 79,0,2024-09-07 09:14:01:352,93126,0.4,95408,0.6,195462,0.3,254453,2.00 79,1,2024-09-07 09:14:00:585,659454,659454,0,0,308689095989,3193879661,654772,4015,667,368,391682,0 79,2,2024-09-07 09:14:01:071,475994,475994,0,0,18423909,0,4195 79,3,2024-09-07 09:14:00:757,1,374,132,0,418,4757,374,0 80,0,2024-09-07 09:14:01:096,91838,0.5,94568,0.6,181090,0.5,244260,2.00 80,1,2024-09-07 09:14:01:640,657846,657846,0,0,308626537715,3209025380,653892,3751,203,368,391791,0 80,2,2024-09-07 09:14:01:090,477018,477018,0,0,18126831,0,4433 80,3,2024-09-07 09:14:00:579,1,374,21,0,190,4482,374,0 81,0,2024-09-07 09:14:01:699,92504,0.5,94729,0.7,180818,0.5,244960,2.00 81,1,2024-09-07 09:14:01:674,657247,657247,0,0,307654269510,3213546161,652014,4731,502,382,391879,0 81,2,2024-09-07 09:14:01:126,472625,472562,63,0,19865490,0,5932 81,3,2024-09-07 09:14:01:119,1,374,1,0,374,4114,374,0 82,0,2024-09-07 09:14:01:541,92089,0.5,92597,0.7,185630,0.4,245959,2.00 82,1,2024-09-07 09:14:00:584,658997,658993,0,4,308665348306,3209881241,654906,3289,798,381,391768,4 82,2,2024-09-07 09:14:01:693,470815,470815,0,0,16548361,0,3986 82,3,2024-09-07 09:14:01:757,1,374,2,0,363,3567,374,0 83,0,2024-09-07 09:14:01:555,95572,0.5,95230,0.6,190305,0.4,253443,2.00 83,1,2024-09-07 09:14:00:556,656817,656817,0,0,307997939232,3208818966,652367,4069,381,382,391709,0 83,2,2024-09-07 09:14:00:770,474942,474942,0,0,17404176,0,3393 83,3,2024-09-07 09:14:00:753,1,374,1,0,1260,4999,374,0 84,0,2024-09-07 09:14:01:807,92646,0.7,92571,0.9,184773,0.6,247404,2.25 84,1,2024-09-07 09:14:01:042,656356,656356,0,0,307941752718,3218591679,649294,6030,1032,367,391967,0 84,2,2024-09-07 09:14:00:604,474223,474193,30,0,24102220,0,5971 84,3,2024-09-07 09:14:01:156,1,374,8,0,908,5545,374,0 85,0,2024-09-07 09:14:01:030,90455,0.6,90494,0.8,192036,0.6,248063,2.25 85,1,2024-09-07 09:14:00:560,654799,654799,0,0,307997041342,3250041455,645048,7960,1791,381,392006,0 85,2,2024-09-07 09:14:00:888,472273,472273,0,0,22077192,0,3656 85,3,2024-09-07 09:14:00:687,1,374,1,0,789,4950,374,0 86,0,2024-09-07 09:14:00:883,93102,0.6,95807,0.8,183270,0.7,247781,2.25 86,1,2024-09-07 09:14:00:828,656689,656689,0,0,308547486614,3227517951,649538,6082,1069,366,391961,0 86,2,2024-09-07 09:14:00:871,470226,470225,1,0,23215673,0,5004 86,3,2024-09-07 09:14:00:586,1,374,67,0,308,5582,374,0 87,0,2024-09-07 09:14:01:312,94609,0.9,94487,0.9,189334,1.2,253310,2.25 87,1,2024-09-07 09:14:00:553,656011,656011,0,0,307530552731,3217931444,648547,6343,1121,366,392076,0 87,2,2024-09-07 09:14:01:072,471036,471030,6,0,21426681,0,6323 87,3,2024-09-07 09:14:01:803,1,374,0,0,473,5536,374,0 88,0,2024-09-07 09:14:01:444,95261,0.4,95918,0.6,190918,0.4,254085,2.00 88,1,2024-09-07 09:14:00:575,654796,654796,0,0,307348747438,3220146131,646330,6585,1881,365,392084,0 88,2,2024-09-07 09:14:00:687,474942,474942,0,0,23338447,0,3583 88,3,2024-09-07 09:14:01:268,1,374,11,0,435,3935,374,0 89,0,2024-09-07 09:14:01:789,96881,0.5,93859,0.6,185628,0.4,252159,1.75 89,1,2024-09-07 09:14:00:554,655321,655321,0,0,307183417301,3230020488,647123,6839,1359,382,391866,0 89,2,2024-09-07 09:14:01:137,472183,472183,0,0,22521385,0,3173 89,3,2024-09-07 09:14:01:793,1,374,1,0,385,6644,374,0 90,0,2024-09-07 09:14:01:799,89463,0.5,91893,0.6,187495,0.4,244744,2.00 90,1,2024-09-07 09:14:00:600,656655,656655,0,0,308124092674,3227583507,650245,5904,506,380,391825,0 90,2,2024-09-07 09:14:01:415,471763,471763,0,0,23727394,0,3060 90,3,2024-09-07 09:14:00:934,1,374,10,0,246,4457,374,0 91,0,2024-09-07 09:14:00:955,94098,0.5,91057,0.6,190272,0.4,250255,1.75 91,1,2024-09-07 09:14:00:557,655204,655204,0,0,307802653519,3236601973,646788,7228,1188,381,392047,0 91,2,2024-09-07 09:14:01:344,470363,470363,0,0,20937328,0,2896 91,3,2024-09-07 09:14:00:601,1,374,3,0,216,3432,374,0 92,0,2024-09-07 09:14:01:482,96740,0.4,99224,0.6,189385,0.4,256563,1.75 92,1,2024-09-07 09:14:00:602,656564,656564,0,0,307725457053,3212929468,651647,4225,692,382,392136,0 92,2,2024-09-07 09:14:01:353,475623,475623,0,0,18938479,0,3259 92,3,2024-09-07 09:14:01:011,1,374,15,0,167,3197,374,0 93,0,2024-09-07 09:14:00:997,93115,0.4,95440,0.5,182095,0.3,247007,1.75 93,1,2024-09-07 09:14:00:806,656983,656983,0,0,308137470624,3215262573,650424,5488,1071,366,391776,0 93,2,2024-09-07 09:14:00:949,474352,474352,0,0,22402504,0,4845 93,3,2024-09-07 09:14:01:412,1,374,1,0,190,3486,374,0 94,0,2024-09-07 09:14:01:618,91682,0.4,92517,0.5,184863,0.3,244889,1.75 94,1,2024-09-07 09:14:00:566,657269,657269,0,0,308123975224,3212719704,653202,3876,191,381,391850,0 94,2,2024-09-07 09:14:00:768,473540,473540,0,0,18972360,0,2443 94,3,2024-09-07 09:14:01:694,1,374,44,0,264,4442,374,0 95,0,2024-09-07 09:14:01:367,92005,0.4,92043,0.5,184474,0.3,246072,1.75 95,1,2024-09-07 09:14:00:853,658626,658626,0,0,308757193528,3207651720,653593,4631,402,367,391713,0 95,2,2024-09-07 09:14:01:025,469423,469423,0,0,18332344,0,3308 95,3,2024-09-07 09:14:01:709,1,374,1,0,718,5567,374,0 96,0,2024-09-07 09:14:01:026,96346,0.3,96549,0.5,192943,0.3,256067,1.75 96,1,2024-09-07 09:14:01:587,656895,656895,0,0,308259488788,3214043710,652304,3793,798,384,391955,0 96,2,2024-09-07 09:14:01:269,475737,475737,0,0,18705639,0,4042 96,3,2024-09-07 09:14:01:152,1,374,16,0,411,4018,374,0 97,0,2024-09-07 09:14:01:371,92168,0.3,91877,0.5,184517,0.3,244755,1.50 97,1,2024-09-07 09:14:00:777,658386,658386,0,0,309144927085,3204675394,654023,3669,694,367,392140,0 97,2,2024-09-07 09:14:00:610,476167,476167,0,0,18568355,0,3679 97,3,2024-09-07 09:14:00:585,1,374,84,0,214,4254,374,0 98,0,2024-09-07 09:14:01:695,92986,0.3,93015,0.4,186705,0.2,247719,1.50 98,1,2024-09-07 09:14:00:579,657646,657646,0,0,308839667403,3210613847,654671,2855,120,382,391997,0 98,2,2024-09-07 09:14:00:792,473556,473556,0,0,18221365,0,3080 98,3,2024-09-07 09:14:00:705,1,374,0,0,840,5680,374,0 99,0,2024-09-07 09:14:01:505,93352,0.3,93984,0.5,186746,0.3,248718,1.75 99,1,2024-09-07 09:14:01:725,657719,657719,0,0,308190382289,3206988573,653311,3636,772,381,392069,0 99,2,2024-09-07 09:14:01:417,471482,471482,0,0,20934301,0,3424 99,3,2024-09-07 09:14:00:585,1,374,7,0,606,4063,374,0 100,0,2024-09-07 09:14:01:473,94996,0.8,95094,1.0,189549,0.9,253664,2.50 100,1,2024-09-07 09:14:00:552,654418,654418,0,0,307298367222,3245525356,644813,7710,1895,381,391989,0 100,2,2024-09-07 09:14:01:820,472263,472252,11,0,21728819,0,5417 100,3,2024-09-07 09:14:01:735,1,374,9,0,559,6235,374,0 101,0,2024-09-07 09:14:01:713,95910,1.1,93341,1.0,183355,1.0,250954,2.25 101,1,2024-09-07 09:14:00:551,654387,654387,0,0,307322626729,3234772007,644451,7820,2116,368,391847,0 101,2,2024-09-07 09:14:01:758,471830,471830,0,0,25707902,0,4871 101,3,2024-09-07 09:14:00:959,1,374,35,0,579,5001,374,0 102,0,2024-09-07 09:14:01:003,91857,0.7,94867,0.8,192036,0.6,251281,2.00 102,1,2024-09-07 09:14:01:150,655492,655492,0,0,307383627154,3227598938,647224,7011,1257,369,391891,0 102,2,2024-09-07 09:14:01:776,473128,473074,54,0,20859259,0,6768 102,3,2024-09-07 09:14:01:622,1,374,1,0,410,3801,374,0 103,0,2024-09-07 09:14:01:613,95964,0.6,95880,0.7,180800,0.6,249062,2.00 103,1,2024-09-07 09:14:01:633,654085,654085,0,0,307434078410,3242718052,643971,7528,2586,381,391829,0 103,2,2024-09-07 09:14:00:595,471500,471500,0,0,22169273,0,3766 103,3,2024-09-07 09:14:00:768,1,374,2,0,916,4331,374,0 104,0,2024-09-07 09:14:01:019,93959,0.7,94303,0.9,187266,0.7,252140,2.25 104,1,2024-09-07 09:14:01:599,656301,656301,0,0,307036499297,3226484157,646763,7764,1774,365,392168,0 104,2,2024-09-07 09:14:01:666,469746,469746,0,0,22690425,0,3941 104,3,2024-09-07 09:14:01:415,1,374,8,0,1245,7789,374,0 105,0,2024-09-07 09:14:01:046,94176,0.7,91774,1.0,192220,0.8,253177,2.50 105,1,2024-09-07 09:14:00:555,657080,657080,0,0,308481039491,3233352493,648451,7281,1348,366,392009,0 105,2,2024-09-07 09:14:01:325,473640,473640,0,0,22135942,0,3509 105,3,2024-09-07 09:14:01:317,1,374,2,0,399,5763,374,0 106,0,2024-09-07 09:14:00:966,90089,0.8,92203,0.9,189036,0.8,247370,2.50 106,1,2024-09-07 09:14:01:759,655461,655461,0,0,307519440914,3231777915,645207,8881,1373,369,391914,0 106,2,2024-09-07 09:14:00:762,472952,472952,0,0,21929655,0,2795 106,3,2024-09-07 09:14:00:682,1,374,4,0,470,4738,374,0 107,0,2024-09-07 09:14:01:207,92096,1.1,92249,1.0,184355,1.4,245521,2.25 107,1,2024-09-07 09:14:00:585,654502,654502,0,0,306640067908,3228752533,646113,7421,968,381,392234,0 107,2,2024-09-07 09:14:01:333,472062,472061,1,0,22726286,0,5024 107,3,2024-09-07 09:14:01:771,1,374,1,0,353,5340,374,0 108,0,2024-09-07 09:14:01:781,92375,0.4,93055,0.6,184935,0.4,247166,1.75 108,1,2024-09-07 09:14:01:334,657373,657373,0,0,309169981920,3223468070,651822,4817,734,368,391857,0 108,2,2024-09-07 09:14:01:772,468131,468131,0,0,20880042,0,4246 108,3,2024-09-07 09:14:01:337,1,374,9,0,749,7348,374,0 109,0,2024-09-07 09:14:01:775,96716,0.4,95917,0.5,191870,0.3,256974,1.75 109,1,2024-09-07 09:14:00:586,655118,655118,0,0,307205961854,3218925737,649870,4582,666,383,392132,0 109,2,2024-09-07 09:14:00:931,473030,473030,0,0,20522682,0,3617 109,3,2024-09-07 09:14:01:140,1,374,8,0,379,4405,374,0 110,0,2024-09-07 09:14:01:908,91805,0.4,89311,0.6,187179,0.3,244944,1.75 110,1,2024-09-07 09:14:01:643,658731,658731,0,0,309170011376,3206078181,654446,3303,982,369,392045,0 110,2,2024-09-07 09:14:01:317,475509,475509,0,0,20332878,0,4067 110,3,2024-09-07 09:14:00:693,1,374,1,0,722,5424,374,0 111,0,2024-09-07 09:14:01:431,92867,0.4,92106,0.6,184824,0.4,246314,1.75 111,1,2024-09-07 09:14:01:003,658992,658992,0,0,309327982924,3207067390,655600,3039,353,382,391690,0 111,2,2024-09-07 09:14:01:120,472820,472820,0,0,19592565,0,4823 111,3,2024-09-07 09:14:00:918,1,374,4,0,379,4334,374,0 112,0,2024-09-07 09:14:00:961,93335,0.3,92679,0.4,185884,0.2,246628,1.50 112,1,2024-09-07 09:14:00:825,658441,658441,0,0,309362970043,3208103265,654603,3237,601,380,391624,0 112,2,2024-09-07 09:14:01:134,469953,469952,1,0,17861757,0,5036 112,3,2024-09-07 09:14:00:601,1,374,8,0,282,3803,374,0 113,0,2024-09-07 09:14:00:876,95238,0.3,95389,0.4,191033,0.2,254791,1.50 113,1,2024-09-07 09:14:01:698,660409,660409,0,0,310477927946,3201547968,656890,2935,584,366,391661,0 113,2,2024-09-07 09:14:01:320,476529,476529,0,0,16738127,0,3813 113,3,2024-09-07 09:14:00:704,1,374,0,0,340,4425,374,0 114,0,2024-09-07 09:14:00:875,93533,0.3,94217,0.5,187216,0.2,249960,1.75 114,1,2024-09-07 09:14:00:717,658390,658390,0,0,308557614438,3204674583,652938,3855,1597,381,391565,0 114,2,2024-09-07 09:14:00:877,475632,475631,1,0,18499949,0,5069 114,3,2024-09-07 09:14:01:282,1,374,0,0,395,3078,374,0 115,0,2024-09-07 09:14:00:557,93897,0.3,94556,0.4,188609,0.2,250597,1.50 115,1,2024-09-07 09:14:00:579,658144,658144,0,0,309567792822,3214089263,652901,4399,844,382,391757,0 115,2,2024-09-07 09:14:01:132,474864,474864,0,0,17100267,0,3848 115,3,2024-09-07 09:14:01:002,1,374,2,0,159,2228,374,0 116,0,2024-09-07 09:14:01:720,92916,0.7,92853,0.9,186190,0.8,249034,2.00 116,1,2024-09-07 09:14:00:815,654918,654918,0,0,307890997320,3244706281,646785,5816,2317,380,392089,0 116,2,2024-09-07 09:14:01:762,470926,470926,0,0,22548765,0,3529 116,3,2024-09-07 09:14:00:920,1,374,12,0,415,4496,374,0 117,0,2024-09-07 09:14:01:014,94982,0.8,94534,0.8,189279,0.9,253729,2.00 117,1,2024-09-07 09:14:01:596,655541,655541,0,0,307346229028,3216378005,648515,6181,845,369,392033,0 117,2,2024-09-07 09:14:01:122,474746,474746,0,0,18901993,0,3700 117,3,2024-09-07 09:14:01:060,1,374,1,0,490,5193,374,0 118,0,2024-09-07 09:14:01:782,92618,0.5,94907,0.7,193982,0.5,253186,2.00 118,1,2024-09-07 09:14:00:591,655399,655399,0,0,307264808605,3230845217,645338,7534,2527,366,391907,0 118,2,2024-09-07 09:14:01:595,473551,473551,0,0,21555306,0,2842 118,3,2024-09-07 09:14:01:788,1,374,1,0,248,4192,374,0 119,0,2024-09-07 09:14:01:351,93783,0.6,94267,0.7,188427,0.6,250503,2.00 119,1,2024-09-07 09:14:00:551,656550,656550,0,0,307570849116,3216213646,649479,6229,842,367,391780,0 119,2,2024-09-07 09:14:01:275,473449,473449,0,0,20011257,0,4174 119,3,2024-09-07 09:14:01:340,1,374,0,0,1058,6573,374,0 120,0,2024-09-07 09:14:01:549,91782,0.6,91530,0.8,183228,0.6,245289,2.25 120,1,2024-09-07 09:14:00:867,656062,656062,0,0,307778624162,3230149344,648915,6503,644,368,391961,0 120,2,2024-09-07 09:14:00:776,472642,472641,1,0,23469894,0,5281 120,3,2024-09-07 09:14:01:309,1,374,7,0,241,4491,374,0 121,0,2024-09-07 09:14:01:715,93431,0.9,93415,1.0,187429,1.2,249477,2.25 121,1,2024-09-07 09:14:01:655,656662,656662,0,0,308204704632,3222631175,650488,5732,442,367,391840,0 121,2,2024-09-07 09:14:01:125,468936,468936,0,0,22279273,0,4127 121,3,2024-09-07 09:14:00:744,1,374,2,0,269,4359,374,0 122,0,2024-09-07 09:14:01:774,95441,0.7,93165,0.8,195593,0.8,256339,2.00 122,1,2024-09-07 09:14:00:860,655285,655285,0,0,306946464045,3220089328,646323,7682,1280,366,392130,0 122,2,2024-09-07 09:14:01:319,474012,473939,73,0,25027254,0,5989 122,3,2024-09-07 09:14:00:610,1,374,16,0,512,7055,374,0 123,0,2024-09-07 09:14:00:982,92115,0.6,90098,0.7,187951,0.7,246546,2.00 123,1,2024-09-07 09:14:00:570,655582,655582,0,0,307378865494,3239477769,643459,9921,2202,369,392039,0 123,2,2024-09-07 09:14:01:019,472769,472768,1,0,21660771,0,5215 123,3,2024-09-07 09:14:01:140,1,374,1,0,168,4089,374,0 124,0,2024-09-07 09:14:00:932,94900,0.3,94866,0.5,179000,0.3,245668,1.75 124,1,2024-09-07 09:14:01:027,657825,657825,0,0,308225542604,3203921370,653038,3875,912,367,392178,0 124,2,2024-09-07 09:14:01:014,474495,474442,53,0,19165379,0,6487 124,3,2024-09-07 09:14:00:764,1,374,9,0,490,3718,374,0 125,0,2024-09-07 09:14:01:427,92352,0.4,91996,0.5,184889,0.3,246438,1.75 125,1,2024-09-07 09:14:00:870,656877,656877,0,0,308372795545,3217186381,651936,4294,647,382,391702,0 125,2,2024-09-07 09:14:01:124,469802,469802,0,0,19554292,0,4534 125,3,2024-09-07 09:14:01:145,1,374,41,0,709,4798,374,0 126,0,2024-09-07 09:14:01:448,96205,0.4,98914,0.6,189486,0.4,256877,1.75 126,1,2024-09-07 09:14:00:570,658585,658585,0,0,309437329007,3204747731,654756,3541,288,365,391987,0 126,2,2024-09-07 09:14:00:636,475624,475624,0,0,20304979,0,4539 126,3,2024-09-07 09:14:00:908,1,374,2,0,207,4693,374,0 127,0,2024-09-07 09:14:01:618,92036,0.3,92500,0.5,184480,0.3,244872,1.50 127,1,2024-09-07 09:14:00:592,657899,657899,0,0,308976414750,3210604547,651845,5075,979,364,392187,0 127,2,2024-09-07 09:14:00:638,474677,474677,0,0,18684645,0,3897 127,3,2024-09-07 09:14:01:270,1,374,7,0,968,4217,374,0 128,0,2024-09-07 09:14:01:528,93412,0.3,93759,0.4,187165,0.2,248024,1.50 128,1,2024-09-07 09:14:01:611,657800,657800,0,0,308772556784,3203922080,653567,3861,372,367,391838,0 128,2,2024-09-07 09:14:01:393,474170,474170,0,0,17801250,0,2915 128,3,2024-09-07 09:14:00:770,1,374,12,0,1082,6079,374,0 129,0,2024-09-07 09:14:01:009,94006,0.3,93443,0.5,187502,0.3,248954,1.50 129,1,2024-09-07 09:14:00:568,655498,655498,0,0,307679132516,3218889212,649659,4582,1257,379,391835,0 129,2,2024-09-07 09:14:00:693,471116,471116,0,0,18721640,0,4031 129,3,2024-09-07 09:14:00:701,1,374,1,0,506,5170,374,0 130,0,2024-09-07 09:14:01:715,95710,0.5,95339,0.6,191999,0.5,255143,1.75 130,1,2024-09-07 09:14:00:596,658237,658237,0,0,309350270028,3213985724,654768,3188,281,381,391825,0 130,2,2024-09-07 09:14:01:132,475247,475247,0,0,18605914,0,4067 130,3,2024-09-07 09:14:01:316,1,374,3,0,535,4830,374,0 131,0,2024-09-07 09:14:01:932,93848,0.4,94348,0.5,189830,0.3,251058,1.75 131,1,2024-09-07 09:14:01:841,657664,657664,0,0,308240941941,3215727623,652872,4044,748,381,391865,0 131,2,2024-09-07 09:14:00:575,476860,476860,0,0,17097415,0,2415 131,3,2024-09-07 09:14:01:693,1,374,1,0,392,3758,374,0 132,0,2024-09-07 09:14:01:446,94410,0.4,95326,0.6,189525,0.4,252065,1.75 132,1,2024-09-07 09:14:00:585,654843,654843,0,0,307426500818,3234569320,645705,7609,1529,381,392097,0 132,2,2024-09-07 09:14:00:709,472427,472410,17,0,23675979,0,6451 132,3,2024-09-07 09:14:01:688,1,374,8,0,804,6703,374,0 133,0,2024-09-07 09:14:01:516,90645,0.4,92730,0.6,190354,0.4,247669,1.75 133,1,2024-09-07 09:14:00:586,654766,654766,0,0,307226918647,3235586327,645895,7727,1144,383,391914,0 133,2,2024-09-07 09:14:01:090,471953,471903,50,0,24585669,0,6861 133,3,2024-09-07 09:14:01:309,1,374,21,0,479,3919,374,0 134,0,2024-09-07 09:14:00:974,95113,0.5,94764,0.7,189743,0.4,253384,2.00 134,1,2024-09-07 09:14:00:618,655932,655932,0,0,307145047609,3221378324,647344,6705,1883,366,391718,0 134,2,2024-09-07 09:14:01:788,470192,470168,24,0,21519050,0,6207 134,3,2024-09-07 09:14:00:758,1,374,1,0,739,4760,374,0 135,0,2024-09-07 09:14:01:123,92389,0.7,92255,0.8,195758,0.8,252574,2.00 135,1,2024-09-07 09:14:01:629,655895,655895,0,0,307712953158,3237671162,646939,7679,1277,380,391805,0 135,2,2024-09-07 09:14:00:705,475120,475120,0,0,21687346,0,3981 135,3,2024-09-07 09:14:01:002,1,374,49,0,299,3087,374,0 136,0,2024-09-07 09:14:01:621,93616,0.5,93913,0.7,186631,0.5,249281,2.25 136,1,2024-09-07 09:14:01:443,655644,655644,0,0,307627901695,3228194334,647972,6832,840,382,391685,0 136,2,2024-09-07 09:14:01:154,474888,474888,0,0,21348395,0,3506 136,3,2024-09-07 09:14:01:106,1,374,14,0,637,4124,374,0 137,0,2024-09-07 09:14:00:930,95074,0.6,92447,0.7,181812,0.7,246811,2.00 137,1,2024-09-07 09:14:00:580,655137,655137,0,0,307689379756,3232925770,644086,8706,2345,366,391898,0 137,2,2024-09-07 09:14:01:706,472714,472714,0,0,23739871,0,3185 137,3,2024-09-07 09:14:00:775,1,374,7,0,484,4815,374,0 138,0,2024-09-07 09:14:01:750,92140,1.2,92174,1.0,185160,1.6,246077,2.25 138,1,2024-09-07 09:14:01:725,656316,656316,0,0,307242701718,3226266130,646584,8013,1719,368,391954,0 138,2,2024-09-07 09:14:00:592,468890,468890,0,0,21116983,0,4988 138,3,2024-09-07 09:14:00:611,1,374,66,0,1160,5079,374,0 139,0,2024-09-07 09:14:01:374,95102,1.5,95375,1.1,190773,2.2,254789,2.50 139,1,2024-09-07 09:14:00:656,652916,652916,0,0,305906058439,3254799993,639256,10392,3268,381,392058,0 139,2,2024-09-07 09:14:00:715,471569,471569,0,0,24076590,0,3097 139,3,2024-09-07 09:14:01:670,1,374,9,0,257,4188,374,0 140,0,2024-09-07 09:14:01:603,92173,0.3,91757,0.5,184199,0.2,245024,1.75 140,1,2024-09-07 09:14:01:537,659723,659723,0,0,309604563427,3192503962,656348,2936,439,365,391606,0 140,2,2024-09-07 09:14:00:702,475632,475631,1,0,18931898,0,5036 140,3,2024-09-07 09:14:00:769,1,374,8,0,297,3270,374,0 141,0,2024-09-07 09:14:01:711,92725,0.3,95168,0.5,181771,0.3,246326,1.75 141,1,2024-09-07 09:14:00:868,658327,658327,0,0,308938021487,3207985580,653462,3920,945,379,391614,0 141,2,2024-09-07 09:14:01:686,473999,473999,0,0,18441615,0,3360 141,3,2024-09-07 09:14:01:043,1,374,1,0,391,4308,374,0 142,0,2024-09-07 09:14:01:372,93318,0.3,92728,0.5,185274,0.3,247142,1.75 142,1,2024-09-07 09:14:00:585,657541,657541,0,0,307472235205,3203783249,653527,3661,353,382,392102,0 142,2,2024-09-07 09:14:01:312,469326,469294,32,0,19595936,0,6028 142,3,2024-09-07 09:14:01:758,1,374,2,0,484,4367,374,0 143,0,2024-09-07 09:14:01:388,95547,0.4,95364,0.5,191120,0.4,254799,1.75 143,1,2024-09-07 09:14:00:580,658938,658938,0,0,308851750884,3201848376,655133,3651,154,367,391705,0 143,2,2024-09-07 09:14:00:773,475261,475261,0,0,19554745,0,3123 143,3,2024-09-07 09:14:01:147,1,374,3,0,462,4939,374,0 144,0,2024-09-07 09:14:01:553,90327,0.6,92695,0.8,189189,0.5,247877,2.00 144,1,2024-09-07 09:14:00:581,655254,655254,0,0,307295994992,3220240201,649664,4382,1208,381,391649,0 144,2,2024-09-07 09:14:01:773,475896,475896,0,0,18176946,0,3673 144,3,2024-09-07 09:14:01:747,1,374,15,0,249,3714,374,0 145,0,2024-09-07 09:14:01:435,90846,0.6,90832,0.8,192664,0.5,247714,2.25 145,1,2024-09-07 09:14:00:556,655082,655082,0,0,307639098113,3231488162,647503,6436,1143,382,391759,0 145,2,2024-09-07 09:14:01:451,471398,471317,81,0,22522320,0,7814 145,3,2024-09-07 09:14:00:903,1,374,5,0,622,5598,374,0 146,0,2024-09-07 09:14:01:599,93308,0.5,92635,0.7,186831,0.5,247674,2.00 146,1,2024-09-07 09:14:01:585,656303,656303,0,0,307829839496,3235103350,646119,8173,2011,367,391770,0 146,2,2024-09-07 09:14:01:706,470508,470508,0,0,21305754,0,2730 146,3,2024-09-07 09:14:01:280,1,374,8,0,1520,7700,374,0 147,0,2024-09-07 09:14:01:694,95046,0.6,94708,0.7,188808,0.5,253317,2.00 147,1,2024-09-07 09:14:01:376,658234,658234,0,0,309241004105,3215190208,652483,4947,804,368,391791,0 147,2,2024-09-07 09:14:01:010,472525,472525,0,0,18802648,0,2789 147,3,2024-09-07 09:14:00:923,1,374,22,0,730,5282,374,0 0,0,2024-09-07 09:14:11:721,89807,0.6,89882,0.7,190652,0.7,246321,2.00 0,1,2024-09-07 09:14:10:814,658561,658561,0,0,309607739021,3235817362,654185,4129,247,369,391896,0 0,2,2024-09-07 09:14:11:066,475585,475585,0,0,18807739,0,4480 0,3,2024-09-07 09:14:10:975,1,375,13,0,431,5296,375,0 1,0,2024-09-07 09:14:11:752,94165,0.8,93526,0.9,187948,0.9,251285,2.00 1,1,2024-09-07 09:14:10:617,657653,657653,0,0,308890444940,3231166424,651932,4491,1230,370,391857,0 1,2,2024-09-07 09:14:10:642,470758,470758,0,0,18167012,0,3380 1,3,2024-09-07 09:14:11:301,1,375,0,0,262,4338,375,0 2,0,2024-09-07 09:14:11:572,96084,0.5,96423,0.7,191955,0.6,256714,2.00 2,1,2024-09-07 09:14:10:864,659959,659959,0,0,309765106344,3214230363,657010,2656,293,380,391745,0 2,2,2024-09-07 09:14:11:266,476274,476274,0,0,17942522,0,3594 2,3,2024-09-07 09:14:10:690,1,375,1,0,357,3755,375,0 3,0,2024-09-07 09:14:11:744,92867,0.4,92681,0.6,185374,0.4,247138,2.00 3,1,2024-09-07 09:14:11:624,658452,658452,0,0,308775623796,3213329778,653185,4643,624,380,391591,0 3,2,2024-09-07 09:14:11:142,475867,475844,23,0,18619442,0,5851 3,3,2024-09-07 09:14:11:753,1,375,1,0,207,2342,375,0 4,0,2024-09-07 09:14:11:781,89599,0.4,92038,0.5,187578,0.3,245246,1.75 4,1,2024-09-07 09:14:10:598,656121,656121,0,0,308013654282,3253896480,645639,8148,2334,370,391992,0 4,2,2024-09-07 09:14:11:045,473603,473603,0,0,21778384,0,4528 4,3,2024-09-07 09:14:11:027,1,375,15,0,448,5099,375,0 5,0,2024-09-07 09:14:11:419,92393,0.4,92940,0.6,185281,0.4,246691,1.75 5,1,2024-09-07 09:14:10:766,657769,657769,0,0,308233443347,3241056590,649413,6845,1511,367,392005,0 5,2,2024-09-07 09:14:11:835,468595,468595,0,0,20806809,0,3582 5,3,2024-09-07 09:14:11:735,1,375,8,0,457,5196,375,0 6,0,2024-09-07 09:14:10:927,97058,0.4,96541,0.6,192788,0.3,257952,1.75 6,1,2024-09-07 09:14:10:747,657670,657670,0,0,308386360188,3223339669,650206,6106,1358,379,391694,0 6,2,2024-09-07 09:14:11:116,475538,475520,18,0,21067946,0,5535 6,3,2024-09-07 09:14:11:274,1,375,1,0,340,3993,375,0 7,0,2024-09-07 09:14:11:538,91612,0.5,92192,0.6,183699,0.4,244208,2.00 7,1,2024-09-07 09:14:10:850,657158,657158,0,0,308529916098,3239781237,647932,7831,1395,382,391747,0 7,2,2024-09-07 09:14:10:776,477025,477025,0,0,20306443,0,4791 7,3,2024-09-07 09:14:10:854,1,375,0,0,398,4022,375,0 8,0,2024-09-07 09:14:11:327,93402,0.4,93128,0.5,186562,0.3,248389,1.75 8,1,2024-09-07 09:14:11:044,656081,656081,0,0,308294815530,3244582102,645145,8343,2593,366,392144,0 8,2,2024-09-07 09:14:10:793,470620,470620,0,0,24495263,0,3220 8,3,2024-09-07 09:14:10:585,1,375,8,0,538,6307,375,0 9,0,2024-09-07 09:14:11:099,93667,0.4,90892,0.5,190229,0.3,248642,1.75 9,1,2024-09-07 09:14:10:581,656764,656764,0,0,307871365645,3244156427,647154,7474,2136,369,392001,0 9,2,2024-09-07 09:14:11:095,470389,470389,0,0,21676329,0,3360 9,3,2024-09-07 09:14:11:774,1,375,1,0,496,5306,375,0 10,0,2024-09-07 09:14:11:627,95729,0.3,95156,0.5,191136,0.2,254724,1.75 10,1,2024-09-07 09:14:10:583,657149,657149,0,0,308823147352,3235056321,648315,7550,1284,381,391741,0 10,2,2024-09-07 09:14:10:761,475337,475337,0,0,23763939,0,4264 10,3,2024-09-07 09:14:10:871,1,375,362,0,362,3684,375,0 11,0,2024-09-07 09:14:11:011,93797,0.5,91087,0.6,190448,0.4,251141,1.75 11,1,2024-09-07 09:14:10:571,658151,658151,0,0,308652002728,3240785757,647599,8071,2481,383,391756,0 11,2,2024-09-07 09:14:11:124,475481,475481,0,0,21637089,0,4130 11,3,2024-09-07 09:14:11:313,1,375,7,0,843,5610,375,0 12,0,2024-09-07 09:14:10:957,95298,0.4,95400,0.5,190445,0.3,252766,1.75 12,1,2024-09-07 09:14:10:942,658179,658179,0,0,308979069939,3220092914,653121,4563,495,370,391870,0 12,2,2024-09-07 09:14:11:550,474884,474884,0,0,20570696,0,3469 12,3,2024-09-07 09:14:11:060,1,375,8,0,386,5870,375,0 13,0,2024-09-07 09:14:11:350,93919,0.4,93611,0.6,187010,0.4,249285,1.75 13,1,2024-09-07 09:14:11:533,657021,657021,0,0,308872663289,3244436292,650872,4738,1411,382,391740,0 13,2,2024-09-07 09:14:10:603,475018,475018,0,0,18981801,0,3287 13,3,2024-09-07 09:14:11:774,1,375,65,0,522,5848,375,0 14,0,2024-09-07 09:14:10:598,95169,0.4,95939,0.6,190098,0.3,253475,1.75 14,1,2024-09-07 09:14:11:561,661686,661686,0,0,310601922809,3214590632,656817,4510,359,364,391673,0 14,2,2024-09-07 09:14:10:767,472600,472570,30,0,20417886,0,6104 14,3,2024-09-07 09:14:11:115,1,375,10,0,1168,4332,375,0 15,0,2024-09-07 09:14:11:558,95552,0.4,95684,0.6,191305,0.4,254662,2.00 15,1,2024-09-07 09:14:11:614,658790,658790,0,0,309345307666,3218200567,654360,3606,824,381,391619,0 15,2,2024-09-07 09:14:11:002,477034,477034,0,0,17125502,0,3622 15,3,2024-09-07 09:14:11:412,1,375,2,0,1126,6724,375,0 16,0,2024-09-07 09:14:10:944,93563,0.5,93997,0.7,187631,0.5,249811,2.00 16,1,2024-09-07 09:14:10:579,659232,659232,0,0,308626170024,3221284358,654342,4502,388,370,391756,0 16,2,2024-09-07 09:14:11:435,474979,474979,0,0,19706152,0,4719 16,3,2024-09-07 09:14:11:149,1,375,7,0,317,4899,375,0 17,0,2024-09-07 09:14:11:779,95227,0.6,92836,0.8,181762,0.6,246735,2.00 17,1,2024-09-07 09:14:10:574,657392,657392,0,0,308441572639,3234048958,650894,5236,1262,368,392075,0 17,2,2024-09-07 09:14:11:665,477466,477466,0,0,19330839,0,2857 17,3,2024-09-07 09:14:10:576,1,375,1,0,298,5930,375,0 18,0,2024-09-07 09:14:10:942,91928,0.6,92405,0.8,184079,0.5,245812,2.25 18,1,2024-09-07 09:14:11:638,660263,660263,0,0,309516959224,3206440303,656650,3296,317,367,391649,0 18,2,2024-09-07 09:14:11:771,472885,472885,0,0,17479519,0,3541 18,3,2024-09-07 09:14:10:897,1,375,1,0,163,3063,375,0 19,0,2024-09-07 09:14:11:542,96227,0.6,96869,0.7,191898,0.6,255853,2.00 19,1,2024-09-07 09:14:10:566,660344,660344,0,0,310120800505,3215603377,655063,4442,839,367,391777,0 19,2,2024-09-07 09:14:11:757,477746,477746,0,0,16497079,0,3988 19,3,2024-09-07 09:14:11:140,1,375,1,0,524,2772,375,0 20,0,2024-09-07 09:14:11:398,92011,0.5,91979,0.6,183928,0.4,245263,2.00 20,1,2024-09-07 09:14:10:584,658179,658179,0,0,309249507295,3230769455,652939,4523,717,369,391886,0 20,2,2024-09-07 09:14:10:934,476190,476190,0,0,20285057,0,3721 20,3,2024-09-07 09:14:10:593,1,375,9,0,414,5725,375,0 21,0,2024-09-07 09:14:11:172,92609,0.5,92657,0.6,185066,0.5,245392,2.00 21,1,2024-09-07 09:14:11:636,656419,656419,0,0,307210543974,3236660756,647342,7089,1988,368,392016,0 21,2,2024-09-07 09:14:11:067,472216,472196,20,0,24335111,0,5617 21,3,2024-09-07 09:14:11:414,1,375,21,0,713,5003,375,0 22,0,2024-09-07 09:14:11:719,92404,0.5,92710,0.7,185730,0.5,245588,2.00 22,1,2024-09-07 09:14:11:027,657742,657742,0,0,308116740711,3236057531,648231,7497,2014,382,391667,0 22,2,2024-09-07 09:14:10:766,469050,469024,26,0,19260449,0,6328 22,3,2024-09-07 09:14:11:066,1,375,1,0,228,3195,375,0 23,0,2024-09-07 09:14:11:374,95542,0.5,95204,0.7,190856,0.4,254932,2.25 23,1,2024-09-07 09:14:11:004,658675,658675,0,0,309091893835,3245289284,647157,7967,3551,365,391690,0 23,2,2024-09-07 09:14:11:092,477244,477244,0,0,18872366,0,3010 23,3,2024-09-07 09:14:11:763,1,375,9,0,720,5311,375,0 24,0,2024-09-07 09:14:10:835,93947,0.4,93764,0.6,188041,0.4,249391,1.75 24,1,2024-09-07 09:14:10:583,657504,657504,0,0,308390608277,3234585741,650014,5909,1581,367,392269,0 24,2,2024-09-07 09:14:11:069,475065,475065,0,0,23370082,0,3607 24,3,2024-09-07 09:14:11:686,1,375,104,0,468,5259,375,0 25,0,2024-09-07 09:14:11:351,96517,0.4,94061,0.6,184458,0.4,251134,1.75 25,1,2024-09-07 09:14:10:572,657070,657070,0,0,307564515848,3236213787,646863,8302,1905,371,391928,0 25,2,2024-09-07 09:14:11:614,473237,473237,0,0,23267037,0,3978 25,3,2024-09-07 09:14:11:003,1,375,1,0,255,3708,375,0 26,0,2024-09-07 09:14:11:720,93346,0.4,91077,0.6,191093,0.3,248715,1.75 26,1,2024-09-07 09:14:11:551,658546,658546,0,0,308094077384,3227324733,648993,8007,1546,380,391748,0 26,2,2024-09-07 09:14:10:866,472641,472641,0,0,23142135,0,2809 26,3,2024-09-07 09:14:11:715,1,375,2,0,796,4733,375,0 27,0,2024-09-07 09:14:11:723,95611,0.4,95715,0.6,189916,0.4,254114,2.00 27,1,2024-09-07 09:14:11:676,660252,660252,0,0,309539287146,3223428752,653997,5432,823,381,391626,0 27,2,2024-09-07 09:14:10:879,471346,471281,65,0,22373995,0,5699 27,3,2024-09-07 09:14:11:024,1,375,0,0,564,3763,375,0 28,0,2024-09-07 09:14:11:421,95720,0.4,95316,0.6,190833,0.3,254648,2.00 28,1,2024-09-07 09:14:10:802,659056,659056,0,0,309899625733,3232452818,653313,4451,1292,383,391698,0 28,2,2024-09-07 09:14:11:772,476050,476050,0,0,19986653,0,2915 28,3,2024-09-07 09:14:11:793,1,375,10,0,502,4112,375,0 29,0,2024-09-07 09:14:11:363,97048,0.3,94507,0.6,185611,0.3,252743,1.75 29,1,2024-09-07 09:14:11:564,660831,660831,0,0,310018432674,3208352623,656389,3755,687,369,391753,0 29,2,2024-09-07 09:14:10:866,473633,473633,0,0,18968943,0,4986 29,3,2024-09-07 09:14:10:963,1,375,1,0,459,4511,375,0 30,0,2024-09-07 09:14:11:457,92071,0.5,89603,0.7,187475,0.4,245207,2.00 30,1,2024-09-07 09:14:10:573,660770,660770,0,0,310035010929,3218461208,655580,4464,726,381,391672,0 30,2,2024-09-07 09:14:11:274,474901,474901,0,0,17909767,0,3161 30,3,2024-09-07 09:14:10:589,1,375,14,0,519,3718,375,0 31,0,2024-09-07 09:14:11:757,93697,0.4,94128,0.6,188041,0.4,251156,2.00 31,1,2024-09-07 09:14:10:564,662787,662787,0,0,311248891827,3190272465,660196,2032,559,356,391712,0 31,2,2024-09-07 09:14:11:275,471400,471400,0,0,19557839,0,3525 31,3,2024-09-07 09:14:11:706,1,375,1,0,220,3199,375,0 32,0,2024-09-07 09:14:11:427,96240,0.3,96744,0.5,192979,0.2,257115,1.50 32,1,2024-09-07 09:14:10:812,659831,659831,0,0,309758706339,3216186994,656128,3222,481,381,391646,0 32,2,2024-09-07 09:14:10:941,476783,476783,0,0,16999067,0,3155 32,3,2024-09-07 09:14:11:024,1,375,9,0,227,2622,375,0 33,0,2024-09-07 09:14:11:498,92990,0.3,92597,0.4,185781,0.2,247486,1.50 33,1,2024-09-07 09:14:10:579,660993,660993,0,0,310169899892,3211327339,656112,3961,920,369,391730,0 33,2,2024-09-07 09:14:10:762,476584,476549,35,0,19602666,0,7012 33,3,2024-09-07 09:14:10:897,1,375,19,0,329,3757,375,0 34,0,2024-09-07 09:14:10:942,92509,0.3,95093,0.4,181981,0.2,244591,1.75 34,1,2024-09-07 09:14:11:048,662347,662347,0,0,310806389283,3193349416,660918,1420,9,367,391562,0 34,2,2024-09-07 09:14:10:766,475592,475592,0,0,18579581,0,3577 34,3,2024-09-07 09:14:11:690,1,375,2,0,299,2584,375,0 35,0,2024-09-07 09:14:10:880,91756,0.3,92171,0.5,185427,0.3,247302,1.75 35,1,2024-09-07 09:14:11:067,660412,660412,0,0,309873658563,3208723672,656666,2964,782,382,391769,0 35,2,2024-09-07 09:14:11:584,470509,470509,0,0,18446881,0,2653 35,3,2024-09-07 09:14:10:913,1,375,1,0,418,4393,375,0 36,0,2024-09-07 09:14:11:536,97007,0.4,96829,0.6,193693,0.4,257870,2.00 36,1,2024-09-07 09:14:10:596,659324,659324,0,0,308833632143,3222145842,651496,6143,1685,366,391759,0 36,2,2024-09-07 09:14:11:755,476499,476499,0,0,21074377,0,3875 36,3,2024-09-07 09:14:10:866,1,375,9,0,416,5777,375,0 37,0,2024-09-07 09:14:11:381,91783,0.5,91693,0.6,183591,0.4,244605,2.00 37,1,2024-09-07 09:14:10:569,659011,659004,0,7,308542614668,3221761307,651575,5269,2160,365,391570,0 37,2,2024-09-07 09:14:11:142,475310,475295,15,0,21113199,0,5815 37,3,2024-09-07 09:14:11:771,1,375,4,0,888,5807,375,0 38,0,2024-09-07 09:14:11:436,92627,0.5,90006,0.7,188300,0.4,246138,2.00 38,1,2024-09-07 09:14:11:614,660137,660137,0,0,309533312590,3227840815,651960,6892,1285,368,391821,0 38,2,2024-09-07 09:14:10:763,474360,474313,47,0,20831366,0,6710 38,3,2024-09-07 09:14:10:997,1,375,6,0,689,5047,375,0 39,0,2024-09-07 09:14:11:760,95192,0.6,93230,0.7,181523,0.5,247399,2.00 39,1,2024-09-07 09:14:10:716,658604,658604,0,0,308821185243,3233504128,648608,8022,1974,365,391658,0 39,2,2024-09-07 09:14:11:418,471176,471176,0,0,19066804,0,2689 39,3,2024-09-07 09:14:10:713,1,375,1,0,324,4562,375,0 40,0,2024-09-07 09:14:11:521,94643,0.8,95440,1.0,190378,0.9,254113,2.75 40,1,2024-09-07 09:14:10:578,659099,659099,0,0,307754147744,3221436449,649904,7581,1614,368,391668,0 40,2,2024-09-07 09:14:11:303,474294,474293,1,0,22968475,0,5137 40,3,2024-09-07 09:14:11:142,1,375,14,0,1028,5249,375,0 41,0,2024-09-07 09:14:11:024,93360,1.6,95557,1.3,182482,2.6,247849,3.25 41,1,2024-09-07 09:14:10:772,657845,657845,0,0,308721087781,3229000408,649849,7228,768,369,391742,0 41,2,2024-09-07 09:14:10:763,474560,474560,0,0,22010843,0,4277 41,3,2024-09-07 09:14:11:686,1,375,1,0,366,3922,375,0 42,0,2024-09-07 09:14:11:481,93852,0.9,93863,1.0,187984,1.0,248645,2.75 42,1,2024-09-07 09:14:11:446,656871,656871,0,0,307996922486,3237957585,646268,8696,1907,380,391675,0 42,2,2024-09-07 09:14:11:141,473298,473298,0,0,22435365,0,3790 42,3,2024-09-07 09:14:11:009,1,375,1,0,446,3176,375,0 43,0,2024-09-07 09:14:10:924,92074,0.9,89706,1.0,188043,0.9,246816,2.50 43,1,2024-09-07 09:14:10:584,658447,658447,0,0,309782046394,3238825515,648849,7868,1730,366,391696,0 43,2,2024-09-07 09:14:11:738,473503,473503,0,0,22056235,0,3812 43,3,2024-09-07 09:14:11:750,1,375,1,0,467,4482,375,0 44,0,2024-09-07 09:14:10:877,95129,0.4,95506,0.6,190760,0.4,253613,1.75 44,1,2024-09-07 09:14:10:564,659887,659887,0,0,309688440475,3200032259,654633,4196,1058,356,391809,0 44,2,2024-09-07 09:14:11:267,471935,471935,0,0,18084677,0,4344 44,3,2024-09-07 09:14:11:094,1,375,11,0,817,4686,375,0 45,0,2024-09-07 09:14:11:757,94587,0.5,92440,0.7,193453,0.4,255192,2.00 45,1,2024-09-07 09:14:11:011,659691,659691,0,0,309531918855,3214944242,654911,4298,482,382,391917,0 45,2,2024-09-07 09:14:11:268,476502,476502,0,0,18498491,0,3596 45,3,2024-09-07 09:14:10:941,1,375,1,0,271,3473,375,0 46,0,2024-09-07 09:14:10:953,92992,0.5,93055,0.7,186229,0.5,247475,2.00 46,1,2024-09-07 09:14:10:575,661035,661035,0,0,310401047881,3205070486,656973,3566,496,366,391572,0 46,2,2024-09-07 09:14:10:592,475776,475776,0,0,17761999,0,2920 46,3,2024-09-07 09:14:11:140,1,375,34,0,908,5164,375,0 47,0,2024-09-07 09:14:11:121,92737,0.5,92987,0.6,186317,0.4,246143,2.00 47,1,2024-09-07 09:14:10:571,662083,662083,0,0,309240312859,3196618894,657569,3699,815,366,391641,0 47,2,2024-09-07 09:14:10:909,476656,476656,0,0,18220422,0,4477 47,3,2024-09-07 09:14:11:124,1,375,6,0,529,3980,375,0 48,0,2024-09-07 09:14:11:546,93449,0.3,93092,0.4,185594,0.2,248040,1.50 48,1,2024-09-07 09:14:11:026,660116,660116,0,0,309944996893,3217798986,656056,3607,453,384,391710,0 48,2,2024-09-07 09:14:10:700,470361,470361,0,0,16321574,0,3031 48,3,2024-09-07 09:14:10:761,1,375,8,0,339,3149,375,0 49,0,2024-09-07 09:14:11:723,99054,0.3,97414,0.5,188420,0.2,258591,1.75 49,1,2024-09-07 09:14:11:021,659739,659739,0,0,309597864695,3221029859,655112,3422,1205,382,391809,0 49,2,2024-09-07 09:14:11:796,477121,477121,0,0,18286196,0,4426 49,3,2024-09-07 09:14:11:421,1,375,1,0,992,4669,375,0 50,0,2024-09-07 09:14:11:519,92534,0.3,91083,0.4,183871,0.2,244986,1.75 50,1,2024-09-07 09:14:11:015,661782,661782,0,0,310477695028,3207857735,657660,3735,387,368,391565,0 50,2,2024-09-07 09:14:11:067,476637,476637,0,0,16924811,0,2263 50,3,2024-09-07 09:14:11:296,1,375,0,0,335,3460,375,0 51,0,2024-09-07 09:14:11:684,94969,0.3,92865,0.5,181043,0.2,246454,1.75 51,1,2024-09-07 09:14:11:683,661801,661801,0,0,311184437322,3207672191,658511,2314,976,365,391706,0 51,2,2024-09-07 09:14:11:316,475132,475132,0,0,16586873,0,3337 51,3,2024-09-07 09:14:11:028,1,375,1,0,678,2943,375,0 52,0,2024-09-07 09:14:11:420,92918,0.5,92842,0.7,185669,0.4,246611,2.00 52,1,2024-09-07 09:14:10:580,658906,658906,0,0,308994216370,3233021771,649808,7784,1314,368,391722,0 52,2,2024-09-07 09:14:11:775,467169,467131,38,0,21540579,0,6742 52,3,2024-09-07 09:14:10:674,1,375,12,0,1782,5546,375,0 53,0,2024-09-07 09:14:11:740,95051,0.6,92423,0.7,193639,0.6,254481,2.25 53,1,2024-09-07 09:14:10:772,657784,657784,0,0,309272855000,3242680084,647534,7543,2707,367,391702,0 53,2,2024-09-07 09:14:11:301,476461,476460,1,0,19448908,0,5455 53,3,2024-09-07 09:14:10:702,1,375,3,0,308,3306,375,0 54,0,2024-09-07 09:14:11:628,91897,0.6,92220,0.8,183652,0.4,245272,2.25 54,1,2024-09-07 09:14:10:580,659256,659256,0,0,309626586546,3214836214,653320,5317,619,366,391659,0 54,2,2024-09-07 09:14:10:866,476640,476608,32,0,22268620,0,6397 54,3,2024-09-07 09:14:10:765,1,375,2,0,676,5497,375,0 55,0,2024-09-07 09:14:11:786,90831,0.6,93976,0.7,189764,0.5,247060,2.50 55,1,2024-09-07 09:14:10:766,659552,659552,0,0,309355569629,3214919561,652814,5829,909,365,391731,0 55,2,2024-09-07 09:14:10:729,473314,473258,56,0,21481453,0,7239 55,3,2024-09-07 09:14:10:674,1,375,7,0,304,4070,375,0 56,0,2024-09-07 09:14:11:560,95481,1.1,90033,1.1,185598,1.4,248566,2.50 56,1,2024-09-07 09:14:10:579,655889,655889,0,0,308258664500,3255666904,645712,7984,2193,381,391867,0 56,2,2024-09-07 09:14:11:310,472115,472115,0,0,21320229,0,3567 56,3,2024-09-07 09:14:11:060,1,375,8,0,705,4676,375,0 57,0,2024-09-07 09:14:10:939,94079,1.6,94005,1.3,188163,2.3,252107,3.25 57,1,2024-09-07 09:14:10:988,658004,658004,0,0,308992539886,3238961123,650098,6893,1013,366,392032,0 57,2,2024-09-07 09:14:11:316,474504,474504,0,0,23440508,0,3317 57,3,2024-09-07 09:14:11:738,1,375,2,0,359,4188,375,0 58,0,2024-09-07 09:14:10:566,94025,0.9,91247,1.0,191077,1.1,250234,2.50 58,1,2024-09-07 09:14:10:577,658738,658735,0,3,309532417425,3237478852,649476,8002,1257,367,391603,3 58,2,2024-09-07 09:14:11:071,475084,475084,0,0,21821238,0,2857 58,3,2024-09-07 09:14:11:068,1,375,4,0,1043,4187,375,0 59,0,2024-09-07 09:14:11:739,94177,0.8,93769,0.9,187322,0.8,249232,2.75 59,1,2024-09-07 09:14:10:812,657804,657804,0,0,308764641405,3234000072,648794,7421,1589,369,391586,0 59,2,2024-09-07 09:14:10:590,474818,474818,0,0,21365426,0,2867 59,3,2024-09-07 09:14:11:737,1,375,13,0,1015,4802,375,0 60,0,2024-09-07 09:14:11:723,92429,0.5,92338,0.7,185497,0.5,246268,1.75 60,1,2024-09-07 09:14:10:781,660426,660426,0,0,309447345133,3211321081,656200,3577,649,370,392031,0 60,2,2024-09-07 09:14:11:145,474851,474851,0,0,20244350,0,3811 60,3,2024-09-07 09:14:11:262,1,375,14,0,409,4369,375,0 61,0,2024-09-07 09:14:11:536,93728,0.6,94427,0.8,187859,0.6,250994,2.00 61,1,2024-09-07 09:14:10:783,658792,658792,0,0,308820152956,3234702131,651514,5952,1326,382,392127,0 61,2,2024-09-07 09:14:11:117,471479,471412,67,0,20500317,0,6411 61,3,2024-09-07 09:14:11:695,1,375,11,0,479,5350,375,0 62,0,2024-09-07 09:14:11:720,96944,0.5,99140,0.6,189150,0.4,257017,1.75 62,1,2024-09-07 09:14:11:111,663067,663061,0,6,311055181912,3208699148,659289,3512,260,365,391715,6 62,2,2024-09-07 09:14:11:647,474844,474843,1,0,20236419,0,5555 62,3,2024-09-07 09:14:11:150,1,375,1,0,465,2785,375,0 63,0,2024-09-07 09:14:11:472,93144,0.4,92916,0.6,186360,0.3,247771,1.75 63,1,2024-09-07 09:14:10:814,660732,660726,0,6,309124086398,3206816432,656783,3555,388,381,391800,6 63,2,2024-09-07 09:14:10:766,476110,476110,0,0,18571903,0,4369 63,3,2024-09-07 09:14:11:743,1,375,5,0,667,3955,375,0 64,0,2024-09-07 09:14:11:531,91700,0.5,91729,0.7,183571,0.5,243649,2.00 64,1,2024-09-07 09:14:10:751,660103,660103,0,0,309083874161,3218239893,654266,4160,1677,370,391783,0 64,2,2024-09-07 09:14:11:143,477918,477899,19,0,18220957,0,6121 64,3,2024-09-07 09:14:11:141,1,375,8,0,265,3380,375,0 65,0,2024-09-07 09:14:11:683,91580,0.6,91896,0.7,183559,0.6,245207,2.00 65,1,2024-09-07 09:14:10:867,658478,658478,0,0,308618693770,3224248519,653693,4246,539,382,391901,0 65,2,2024-09-07 09:14:11:693,471060,471060,0,0,20090121,0,3367 65,3,2024-09-07 09:14:11:683,1,375,0,0,347,3894,375,0 66,0,2024-09-07 09:14:11:771,96339,0.5,96313,0.7,192557,0.4,256580,2.00 66,1,2024-09-07 09:14:11:293,660492,660492,0,0,309789199484,3219664875,656682,3487,323,380,391653,0 66,2,2024-09-07 09:14:11:141,477855,477855,0,0,18281739,0,4956 66,3,2024-09-07 09:14:11:079,1,375,1,0,291,3470,375,0 67,0,2024-09-07 09:14:11:413,92345,0.5,92025,0.6,184505,0.4,245290,2.00 67,1,2024-09-07 09:14:10:766,660480,660479,0,1,309430372956,3219973411,656019,3676,784,380,391787,1 67,2,2024-09-07 09:14:10:586,478064,478064,0,0,17594005,0,2889 67,3,2024-09-07 09:14:11:756,1,375,2,0,338,3311,375,0 68,0,2024-09-07 09:14:10:627,93543,0.6,93198,0.7,185917,0.6,248200,2.00 68,1,2024-09-07 09:14:10:572,657620,657620,0,0,308052874903,3231489280,650737,4810,2073,381,391953,0 68,2,2024-09-07 09:14:11:109,472472,472372,100,0,23963803,0,8578 68,3,2024-09-07 09:14:10:729,1,375,7,0,417,3898,375,0 69,0,2024-09-07 09:14:11:749,92869,0.8,93450,0.9,185957,0.9,246565,2.25 69,1,2024-09-07 09:14:11:030,656672,656672,0,0,308201851128,3250161715,647740,6744,2188,384,391994,0 69,2,2024-09-07 09:14:11:737,470770,470741,29,0,23742302,0,6912 69,3,2024-09-07 09:14:10:761,1,375,4,0,698,5236,375,0 70,0,2024-09-07 09:14:11:537,94695,1.0,94817,1.0,190604,0.8,252820,2.50 70,1,2024-09-07 09:14:10:802,660081,660081,0,0,310080984241,3217063263,654803,4620,658,366,391725,0 70,2,2024-09-07 09:14:11:325,474949,474949,0,0,20803383,0,4044 70,3,2024-09-07 09:14:10:744,1,375,1,0,854,4289,375,0 71,0,2024-09-07 09:14:11:366,93105,0.9,92860,1.0,186421,1.1,249295,2.75 71,1,2024-09-07 09:14:11:596,658960,658960,0,0,309594620082,3229400230,651520,6692,748,368,391738,0 71,2,2024-09-07 09:14:11:068,475820,475820,0,0,21627483,0,4352 71,3,2024-09-07 09:14:11:750,1,375,1,0,644,4914,375,0 72,0,2024-09-07 09:14:11:046,97658,0.6,95482,0.7,186159,0.5,253057,2.00 72,1,2024-09-07 09:14:11:022,657908,657908,0,0,308229374583,3232774386,648140,7808,1960,369,391819,0 72,2,2024-09-07 09:14:11:761,473715,473715,0,0,23705477,0,3983 72,3,2024-09-07 09:14:11:763,1,375,8,0,564,5749,375,0 73,0,2024-09-07 09:14:11:145,90919,0.4,93251,0.6,190652,0.4,248035,2.00 73,1,2024-09-07 09:14:10:769,659261,659261,0,0,309034824560,3211258257,654586,4311,364,367,391858,0 73,2,2024-09-07 09:14:11:742,473119,473119,0,0,23012968,0,3701 73,3,2024-09-07 09:14:10:982,1,375,2,0,486,5304,375,0 74,0,2024-09-07 09:14:11:332,95685,0.5,97926,0.6,186804,0.4,253446,2.25 74,1,2024-09-07 09:14:10:636,658625,658625,0,0,309199535036,3228057464,651814,5528,1283,381,391681,0 74,2,2024-09-07 09:14:11:003,471842,471842,0,0,21504174,0,4253 74,3,2024-09-07 09:14:11:442,1,375,10,0,522,5323,375,0 75,0,2024-09-07 09:14:11:773,96044,0.5,95552,0.7,191879,0.4,256387,2.25 75,1,2024-09-07 09:14:11:585,658462,658462,0,0,308953354186,3225688282,651778,5858,826,380,391739,0 75,2,2024-09-07 09:14:11:351,474909,474909,0,0,22840797,0,4766 75,3,2024-09-07 09:14:11:069,1,375,1,0,702,5119,375,0 76,0,2024-09-07 09:14:10:584,93254,0.6,92631,0.7,185896,0.5,248756,2.25 76,1,2024-09-07 09:14:10:833,659408,659408,0,0,308605094427,3216197873,655328,3489,591,382,391692,0 76,2,2024-09-07 09:14:11:062,477141,477140,1,0,20736434,0,5144 76,3,2024-09-07 09:14:11:148,1,375,8,0,175,3485,375,0 77,0,2024-09-07 09:14:11:703,92408,0.6,92589,0.7,185366,0.6,245995,2.00 77,1,2024-09-07 09:14:10:858,659009,659009,0,0,309185519868,3228978375,653475,5038,496,381,391869,0 77,2,2024-09-07 09:14:11:297,475319,475319,0,0,20350649,0,3890 77,3,2024-09-07 09:14:11:095,1,375,3,0,401,4084,375,0 78,0,2024-09-07 09:14:11:714,93236,0.4,92791,0.6,186312,0.4,247224,2.00 78,1,2024-09-07 09:14:10:612,659891,659891,0,0,309101114839,3217097030,653327,5140,1424,367,391670,0 78,2,2024-09-07 09:14:11:415,471405,471405,0,0,17333289,0,3855 78,3,2024-09-07 09:14:11:134,1,375,35,0,181,3527,375,0 79,0,2024-09-07 09:14:11:346,93522,0.4,95784,0.6,196258,0.3,255496,2.00 79,1,2024-09-07 09:14:10:584,661338,661338,0,0,309481395482,3203034681,656502,4137,699,368,391682,0 79,2,2024-09-07 09:14:11:069,477349,477349,0,0,18514746,0,4195 79,3,2024-09-07 09:14:10:749,1,375,1,0,418,4758,375,0 80,0,2024-09-07 09:14:11:075,92027,0.5,94729,0.6,181420,0.5,244709,2.00 80,1,2024-09-07 09:14:11:631,659610,659610,0,0,309435395670,3217448607,655656,3751,203,368,391791,0 80,2,2024-09-07 09:14:11:129,478160,478160,0,0,18186921,0,4433 80,3,2024-09-07 09:14:10:576,1,375,24,0,190,4506,375,0 81,0,2024-09-07 09:14:11:571,92589,0.5,94839,0.7,181007,0.5,245229,2.00 81,1,2024-09-07 09:14:11:677,659012,659012,0,0,308472488517,3222496762,653723,4786,503,382,391879,0 81,2,2024-09-07 09:14:11:130,473933,473870,63,0,19946828,0,5932 81,3,2024-09-07 09:14:11:129,1,375,15,0,374,4129,375,0 82,0,2024-09-07 09:14:11:531,92206,0.5,92729,0.7,185863,0.4,246296,2.00 82,1,2024-09-07 09:14:10:596,660784,660780,0,4,309504246947,3218731824,656693,3289,798,381,391768,4 82,2,2024-09-07 09:14:11:691,471879,471879,0,0,16630840,0,3986 82,3,2024-09-07 09:14:11:756,1,375,21,0,363,3588,375,0 83,0,2024-09-07 09:14:11:545,96072,0.5,95717,0.6,191318,0.4,254867,2.00 83,1,2024-09-07 09:14:10:572,658574,658574,0,0,308765814271,3216747310,654124,4069,381,382,391709,0 83,2,2024-09-07 09:14:10:763,476183,476183,0,0,17469258,0,3393 83,3,2024-09-07 09:14:10:750,1,375,2,0,1260,5001,375,0 84,0,2024-09-07 09:14:11:817,92739,0.7,92680,0.9,184987,0.6,247708,2.25 84,1,2024-09-07 09:14:11:078,658084,658084,0,0,308612662904,3225604233,651020,6032,1032,367,391967,0 84,2,2024-09-07 09:14:10:617,475712,475682,30,0,24185253,0,5971 84,3,2024-09-07 09:14:11:152,1,375,27,0,908,5572,375,0 85,0,2024-09-07 09:14:11:127,90555,0.6,90625,0.8,192261,0.6,248311,2.25 85,1,2024-09-07 09:14:10:568,656520,656520,0,0,308870626439,3259138957,646769,7960,1791,381,392006,0 85,2,2024-09-07 09:14:10:873,473633,473633,0,0,22152383,0,3656 85,3,2024-09-07 09:14:10:800,1,375,1,0,789,4951,375,0 86,0,2024-09-07 09:14:10:888,93330,0.6,96023,0.8,183668,0.7,248289,2.25 86,1,2024-09-07 09:14:10:827,658548,658548,0,0,309369443589,3235987060,651395,6084,1069,366,391961,0 86,2,2024-09-07 09:14:10:859,470912,470911,1,0,23234618,0,5004 86,3,2024-09-07 09:14:10:587,1,375,0,0,308,5582,375,0 87,0,2024-09-07 09:14:11:318,95041,0.9,94902,0.9,190147,1.2,254472,2.25 87,1,2024-09-07 09:14:10:569,657705,657705,0,0,308457164217,3228211930,650084,6500,1121,366,392076,0 87,2,2024-09-07 09:14:11:070,472567,472561,6,0,21502830,0,6323 87,3,2024-09-07 09:14:11:796,1,375,136,0,473,5672,375,0 88,0,2024-09-07 09:14:11:506,95380,0.4,96075,0.6,191203,0.4,254375,2.00 88,1,2024-09-07 09:14:10:584,656453,656453,0,0,308375919289,3230859784,647987,6585,1881,365,392084,0 88,2,2024-09-07 09:14:10:688,476481,476481,0,0,23406562,0,3583 88,3,2024-09-07 09:14:11:269,1,375,8,0,435,3943,375,0 89,0,2024-09-07 09:14:11:863,97195,0.5,94163,0.6,186179,0.4,252942,1.75 89,1,2024-09-07 09:14:10:560,657119,657119,0,0,307954826554,3238250251,648914,6845,1360,382,391866,0 89,2,2024-09-07 09:14:11:136,473253,473253,0,0,22609293,0,3173 89,3,2024-09-07 09:14:11:794,1,375,4,0,385,6648,375,0 90,0,2024-09-07 09:14:11:658,89674,0.5,92123,0.6,187903,0.4,245317,2.00 90,1,2024-09-07 09:14:10:592,658501,658501,0,0,309167342787,3238782196,652084,5911,506,380,391825,0 90,2,2024-09-07 09:14:11:415,472811,472811,0,0,23836796,0,3060 90,3,2024-09-07 09:14:10:937,1,375,322,0,322,4779,375,0 91,0,2024-09-07 09:14:10:972,94520,0.5,91487,0.6,191199,0.4,251490,1.75 91,1,2024-09-07 09:14:10:562,656959,656959,0,0,308620925986,3245265778,648540,7231,1188,381,392047,0 91,2,2024-09-07 09:14:11:335,471866,471866,0,0,21094481,0,2896 91,3,2024-09-07 09:14:10:607,1,375,2,0,216,3434,375,0 92,0,2024-09-07 09:14:11:495,97013,0.4,99511,0.6,189925,0.4,257284,1.75 92,1,2024-09-07 09:14:10:590,658374,658374,0,0,308446956025,3220605231,653456,4226,692,382,392136,0 92,2,2024-09-07 09:14:11:350,476899,476899,0,0,19000247,0,3259 92,3,2024-09-07 09:14:11:025,1,375,8,0,167,3205,375,0 93,0,2024-09-07 09:14:10:971,93451,0.4,95758,0.5,182767,0.3,247936,1.75 93,1,2024-09-07 09:14:10:814,658662,658662,0,0,308928217050,3223783844,652094,5497,1071,366,391776,0 93,2,2024-09-07 09:14:10:934,475370,475370,0,0,22517048,0,4845 93,3,2024-09-07 09:14:11:412,1,375,3,0,190,3489,375,0 94,0,2024-09-07 09:14:11:674,91833,0.4,92707,0.5,185254,0.3,245385,1.75 94,1,2024-09-07 09:14:10:591,659055,659055,0,0,309119195924,3223356800,654986,3878,191,381,391850,0 94,2,2024-09-07 09:14:10:762,474796,474796,0,0,19259293,0,2443 94,3,2024-09-07 09:14:11:783,1,375,23,0,264,4465,375,0 95,0,2024-09-07 09:14:11:402,92344,0.4,92360,0.5,185102,0.3,247018,1.75 95,1,2024-09-07 09:14:10:855,660457,660457,0,0,309820661216,3219679678,655264,4791,402,367,391713,0 95,2,2024-09-07 09:14:11:016,470649,470649,0,0,18454773,0,3308 95,3,2024-09-07 09:14:11:709,1,375,8,0,718,5575,375,0 96,0,2024-09-07 09:14:11:032,96775,0.3,96979,0.5,193840,0.3,257242,1.75 96,1,2024-09-07 09:14:11:595,658579,658579,0,0,308907097260,3220874660,653985,3796,798,384,391955,0 96,2,2024-09-07 09:14:11:274,477030,477030,0,0,18765409,0,4042 96,3,2024-09-07 09:14:11:143,1,375,7,0,411,4025,375,0 97,0,2024-09-07 09:14:11:359,92295,0.3,91982,0.5,184774,0.3,245065,1.50 97,1,2024-09-07 09:14:10:785,660109,660109,0,0,309690716974,3210415748,655746,3669,694,367,392140,0 97,2,2024-09-07 09:14:10:615,477702,477702,0,0,18795258,0,3679 97,3,2024-09-07 09:14:10:683,1,375,13,0,214,4267,375,0 98,0,2024-09-07 09:14:11:707,93095,0.3,93142,0.4,186945,0.2,248044,1.50 98,1,2024-09-07 09:14:10:574,659589,659589,0,0,309871311008,3221676457,656567,2902,120,382,391997,0 98,2,2024-09-07 09:14:10:772,475002,475002,0,0,18274961,0,3080 98,3,2024-09-07 09:14:10:702,1,375,8,0,840,5688,375,0 99,0,2024-09-07 09:14:11:455,93365,0.3,93996,0.5,186772,0.3,248718,1.75 99,1,2024-09-07 09:14:11:723,659416,659416,0,0,308889442268,3214247409,655008,3636,772,381,392069,0 99,2,2024-09-07 09:14:11:421,472232,472232,0,0,20974779,0,3424 99,3,2024-09-07 09:14:10:590,1,375,32,0,606,4095,375,0 100,0,2024-09-07 09:14:11:495,95353,0.7,95480,1.0,190224,0.9,254608,2.50 100,1,2024-09-07 09:14:10:577,656173,656173,0,0,308016205189,3253319733,646563,7715,1895,381,391989,0 100,2,2024-09-07 09:14:11:823,473688,473677,11,0,21806542,0,5417 100,3,2024-09-07 09:14:11:739,1,375,7,0,559,6242,375,0 101,0,2024-09-07 09:14:11:740,95993,1.1,93416,1.0,183557,1.0,251184,2.25 101,1,2024-09-07 09:14:10:557,656182,656182,0,0,308308005770,3244905107,646246,7820,2116,368,391847,0 101,2,2024-09-07 09:14:11:766,473377,473377,0,0,25821293,0,4871 101,3,2024-09-07 09:14:10:942,1,375,7,0,579,5008,375,0 102,0,2024-09-07 09:14:10:945,92070,0.7,95108,0.8,192485,0.6,251870,2.00 102,1,2024-09-07 09:14:11:149,657274,657274,0,0,308391960949,3238052484,649003,7012,1259,369,391891,0 102,2,2024-09-07 09:14:11:747,474464,474410,54,0,20899350,0,6768 102,3,2024-09-07 09:14:11:618,1,375,21,0,410,3822,375,0 103,0,2024-09-07 09:14:11:635,96298,0.6,96240,0.7,181449,0.6,249947,2.00 103,1,2024-09-07 09:14:11:632,655780,655780,0,0,308317131361,3251937360,645663,7530,2587,381,391829,0 103,2,2024-09-07 09:14:10:616,472304,472304,0,0,22204952,0,3766 103,3,2024-09-07 09:14:10:755,1,375,1,0,916,4332,375,0 104,0,2024-09-07 09:14:11:051,94228,0.7,94569,0.9,187821,0.7,252807,2.25 104,1,2024-09-07 09:14:11:615,658102,658102,0,0,307879573870,3235597231,648545,7783,1774,365,392168,0 104,2,2024-09-07 09:14:11:670,471355,471355,0,0,22763108,0,3941 104,3,2024-09-07 09:14:11:421,1,375,18,0,1245,7807,375,0 105,0,2024-09-07 09:14:11:053,94610,0.8,92198,1.0,192851,0.8,254439,2.75 105,1,2024-09-07 09:14:10:564,658803,658803,0,0,309185692141,3240871206,650173,7282,1348,366,392009,0 105,2,2024-09-07 09:14:11:357,475158,475158,0,0,22245134,0,3509 105,3,2024-09-07 09:14:11:314,1,375,2,0,399,5765,375,0 106,0,2024-09-07 09:14:10:935,90398,0.8,92521,0.9,189666,0.8,248104,2.50 106,1,2024-09-07 09:14:11:756,657245,657245,0,0,308278115922,3241341139,646616,9187,1442,369,391914,0 106,2,2024-09-07 09:14:10:756,474143,474143,0,0,21992011,0,2795 106,3,2024-09-07 09:14:10:679,1,375,2,0,470,4740,375,0 107,0,2024-09-07 09:14:11:109,92258,1.1,92387,1.0,184650,1.4,245897,2.25 107,1,2024-09-07 09:14:10:598,656500,656500,0,0,307574485303,3239435999,647950,7582,968,381,392234,0 107,2,2024-09-07 09:14:11:299,473274,473273,1,0,22804593,0,5024 107,3,2024-09-07 09:14:11:760,1,375,15,0,353,5355,375,0 108,0,2024-09-07 09:14:11:783,92607,0.4,93288,0.6,185395,0.4,247769,1.75 108,1,2024-09-07 09:14:11:293,659087,659087,0,0,309988966058,3232411593,653529,4823,735,368,391857,0 108,2,2024-09-07 09:14:11:776,469629,469629,0,0,21047851,0,4246 108,3,2024-09-07 09:14:11:337,1,375,11,0,749,7359,375,0 109,0,2024-09-07 09:14:11:795,97140,0.4,96299,0.5,192646,0.3,257906,1.75 109,1,2024-09-07 09:14:10:604,656902,656902,0,0,308426027437,3231626801,651653,4583,666,383,392132,0 109,2,2024-09-07 09:14:10:924,474401,474401,0,0,20616535,0,3617 109,3,2024-09-07 09:14:11:141,1,375,1,0,379,4406,375,0 110,0,2024-09-07 09:14:11:767,91988,0.4,89474,0.6,187536,0.3,245388,1.75 110,1,2024-09-07 09:14:11:649,660467,660467,0,0,309888351003,3214190688,656116,3369,982,369,392045,0 110,2,2024-09-07 09:14:11:304,476629,476629,0,0,20466503,0,4067 110,3,2024-09-07 09:14:10:694,1,375,147,0,722,5571,375,0 111,0,2024-09-07 09:14:11:414,92945,0.4,92225,0.6,185025,0.4,246574,1.75 111,1,2024-09-07 09:14:11:003,660638,660638,0,0,309972880978,3214212485,657221,3064,353,382,391690,0 111,2,2024-09-07 09:14:11:122,474086,474086,0,0,19671700,0,4823 111,3,2024-09-07 09:14:10:916,1,375,2,0,379,4336,375,0 112,0,2024-09-07 09:14:10:951,93444,0.3,92810,0.4,186140,0.2,246939,1.50 112,1,2024-09-07 09:14:10:830,660279,660279,0,0,310287145332,3217836096,656412,3266,601,380,391624,0 112,2,2024-09-07 09:14:11:140,471014,471013,1,0,17903606,0,5036 112,3,2024-09-07 09:14:10:819,1,375,8,0,282,3811,375,0 113,0,2024-09-07 09:14:10:889,95731,0.3,95885,0.4,192019,0.2,256101,1.50 113,1,2024-09-07 09:14:11:691,662364,662364,0,0,311475428652,3211988243,658814,2966,584,366,391661,0 113,2,2024-09-07 09:14:11:313,477751,477751,0,0,16783143,0,3813 113,3,2024-09-07 09:14:10:684,1,375,1,0,340,4426,375,0 114,0,2024-09-07 09:14:10:890,93655,0.3,94331,0.5,187446,0.2,250253,1.75 114,1,2024-09-07 09:14:10:720,660151,660151,0,0,309447010208,3214023530,654694,3860,1597,381,391565,0 114,2,2024-09-07 09:14:10:875,477119,477118,1,0,18569287,0,5069 114,3,2024-09-07 09:14:11:278,1,375,2,0,395,3080,375,0 115,0,2024-09-07 09:14:10:559,94030,0.3,94662,0.4,188824,0.2,250850,1.50 115,1,2024-09-07 09:14:10:574,659864,659864,0,0,310236519666,3221269621,654588,4432,844,382,391757,0 115,2,2024-09-07 09:14:11:124,476352,476352,0,0,17199574,0,3848 115,3,2024-09-07 09:14:11:002,1,375,7,0,159,2235,375,0 116,0,2024-09-07 09:14:11:733,93113,0.7,93079,0.9,186600,0.8,249552,2.00 116,1,2024-09-07 09:14:10:832,656729,656729,0,0,308638504970,3252485948,648595,5817,2317,380,392089,0 116,2,2024-09-07 09:14:11:760,471623,471623,0,0,22574922,0,3529 116,3,2024-09-07 09:14:10:925,1,375,7,0,415,4503,375,0 117,0,2024-09-07 09:14:11:087,95445,0.8,94936,0.8,190137,0.9,254760,2.00 117,1,2024-09-07 09:14:11:587,657288,657288,0,0,308109834043,3224496812,650259,6184,845,369,392033,0 117,2,2024-09-07 09:14:11:161,476258,476258,0,0,18997954,0,3700 117,3,2024-09-07 09:14:11:060,1,375,41,0,490,5234,375,0 118,0,2024-09-07 09:14:11:953,92749,0.5,95047,0.7,194259,0.5,253483,2.00 118,1,2024-09-07 09:14:10:595,657147,657147,0,0,308344508663,3242150697,647083,7537,2527,366,391907,0 118,2,2024-09-07 09:14:11:587,475148,475148,0,0,21658630,0,2842 118,3,2024-09-07 09:14:11:781,1,375,1,0,248,4193,375,0 119,0,2024-09-07 09:14:11:341,94071,0.6,94573,0.7,188998,0.6,251255,2.00 119,1,2024-09-07 09:14:10:548,658240,658240,0,0,308271569277,3223600040,651168,6230,842,367,391780,0 119,2,2024-09-07 09:14:11:274,474530,474530,0,0,20057104,0,4174 119,3,2024-09-07 09:14:11:325,1,375,28,0,1058,6601,375,0 120,0,2024-09-07 09:14:11:557,91999,0.6,91740,0.8,183687,0.6,245858,2.25 120,1,2024-09-07 09:14:10:916,657775,657775,0,0,308714473440,3239880219,650628,6503,644,368,391961,0 120,2,2024-09-07 09:14:11:078,473680,473679,1,0,23508168,0,5281 120,3,2024-09-07 09:14:11:311,1,375,32,0,241,4523,375,0 121,0,2024-09-07 09:14:12:008,93862,1.0,93864,1.0,188275,1.3,250633,2.25 121,1,2024-09-07 09:14:11:701,658311,658311,0,0,309035181678,3231791793,652112,5757,442,367,391840,0 121,2,2024-09-07 09:14:11:126,470305,470305,0,0,22392027,0,4127 121,3,2024-09-07 09:14:10:740,1,375,8,0,269,4367,375,0 122,0,2024-09-07 09:14:11:793,95710,0.7,93442,0.8,196148,0.8,257062,2.00 122,1,2024-09-07 09:14:10:861,657125,657125,0,0,307582267795,3227050799,648110,7730,1285,366,392130,0 122,2,2024-09-07 09:14:11:330,475376,475303,73,0,25087233,0,5989 122,3,2024-09-07 09:14:10:594,1,375,1,0,512,7056,375,0 123,0,2024-09-07 09:14:10:965,92478,0.6,90414,0.7,188638,0.7,247487,2.00 123,1,2024-09-07 09:14:10:559,657235,657235,0,0,307860502167,3244874701,645111,9922,2202,369,392039,0 123,2,2024-09-07 09:14:11:025,473852,473851,1,0,21701305,0,5215 123,3,2024-09-07 09:14:11:134,1,375,1,0,168,4090,375,0 124,0,2024-09-07 09:14:10:949,95075,0.3,95046,0.5,179325,0.3,246115,1.75 124,1,2024-09-07 09:14:11:026,659666,659666,0,0,309029180806,3212758239,654838,3916,912,367,392178,0 124,2,2024-09-07 09:14:11:037,475828,475775,53,0,19245153,0,6487 124,3,2024-09-07 09:14:10:762,1,375,8,0,490,3726,375,0 125,0,2024-09-07 09:14:11:426,92679,0.4,92296,0.5,185545,0.3,247304,1.75 125,1,2024-09-07 09:14:11:059,658533,658533,0,0,309059942432,3225089456,653444,4442,647,382,391702,0 125,2,2024-09-07 09:14:11:128,471082,471082,0,0,19803126,0,4534 125,3,2024-09-07 09:14:11:128,1,375,8,0,709,4806,375,0 126,0,2024-09-07 09:14:11:474,96602,0.4,99396,0.6,190353,0.4,258023,1.75 126,1,2024-09-07 09:14:10:556,660275,660275,0,0,310247161431,3213553956,656442,3545,288,365,391987,0 126,2,2024-09-07 09:14:10:615,476792,476792,0,0,20376768,0,4539 126,3,2024-09-07 09:14:10:916,1,375,50,0,207,4743,375,0 127,0,2024-09-07 09:14:11:610,92129,0.3,92610,0.5,184744,0.3,245191,1.50 127,1,2024-09-07 09:14:10:580,659604,659604,0,0,309805421936,3220491335,653332,5193,1079,364,392187,0 127,2,2024-09-07 09:14:10:642,476062,476062,0,0,18788396,0,3897 127,3,2024-09-07 09:14:11:266,1,375,14,0,968,4231,375,0 128,0,2024-09-07 09:14:11:540,93529,0.3,93881,0.4,187410,0.2,248358,1.50 128,1,2024-09-07 09:14:11:615,659580,659580,0,0,309494467680,3211661536,655347,3861,372,367,391838,0 128,2,2024-09-07 09:14:11:390,475571,475571,0,0,17840606,0,2915 128,3,2024-09-07 09:14:10:773,1,375,12,0,1082,6091,375,0 129,0,2024-09-07 09:14:11:003,94017,0.3,93457,0.5,187533,0.3,248954,1.50 129,1,2024-09-07 09:14:10:571,657231,657231,0,0,308668582308,3229610380,651353,4621,1257,379,391835,0 129,2,2024-09-07 09:14:10:686,471952,471952,0,0,18754689,0,4031 129,3,2024-09-07 09:14:10:688,1,375,35,0,506,5205,375,0 130,0,2024-09-07 09:14:11:716,96097,0.5,95682,0.6,192704,0.4,256091,1.75 130,1,2024-09-07 09:14:10:594,660029,660029,0,0,310064258309,3222554646,656323,3369,337,381,391825,0 130,2,2024-09-07 09:14:11:126,476675,476675,0,0,18798921,0,4067 130,3,2024-09-07 09:14:11:292,1,375,2,0,535,4832,375,0 131,0,2024-09-07 09:14:11:936,93938,0.4,94437,0.5,190019,0.3,251304,1.75 131,1,2024-09-07 09:14:11:827,659463,659463,0,0,309220370843,3225976974,654670,4045,748,381,391865,0 131,2,2024-09-07 09:14:10:571,478385,478385,0,0,17193811,0,2415 131,3,2024-09-07 09:14:11:690,1,375,3,0,392,3761,375,0 132,0,2024-09-07 09:14:11:430,94617,0.4,95560,0.6,189970,0.4,252660,1.75 132,1,2024-09-07 09:14:10:579,656624,656624,0,0,308185094661,3242587476,647485,7610,1529,381,392097,0 132,2,2024-09-07 09:14:10:697,473728,473711,17,0,23764630,0,6451 132,3,2024-09-07 09:14:11:691,1,375,8,0,804,6711,375,0 133,0,2024-09-07 09:14:11:557,90969,0.4,93074,0.6,190989,0.4,248582,1.75 133,1,2024-09-07 09:14:10:590,656554,656554,0,0,308027183219,3243915129,647683,7727,1144,383,391914,0 133,2,2024-09-07 09:14:11:089,472727,472677,50,0,24611797,0,6861 133,3,2024-09-07 09:14:11:302,1,375,2,0,479,3921,375,0 134,0,2024-09-07 09:14:10:980,95400,0.5,95066,0.7,190269,0.4,254067,2.00 134,1,2024-09-07 09:14:10:586,657750,657750,0,0,307906939217,3229601417,649160,6707,1883,366,391718,0 134,2,2024-09-07 09:14:11:774,471537,471513,24,0,21630847,0,6207 134,3,2024-09-07 09:14:10:749,1,375,1,0,739,4761,375,0 135,0,2024-09-07 09:14:11:104,92890,0.8,92742,0.8,196782,0.9,254176,2.25 135,1,2024-09-07 09:14:11:628,657745,657745,0,0,308498242107,3245825403,648788,7680,1277,380,391805,0 135,2,2024-09-07 09:14:10:686,476545,476545,0,0,21870385,0,3981 135,3,2024-09-07 09:14:11:004,1,375,2,0,299,3089,375,0 136,0,2024-09-07 09:14:11:641,93924,0.5,94208,0.7,187226,0.5,250140,2.25 136,1,2024-09-07 09:14:11:452,657428,657428,0,0,308630889254,3238837952,649746,6842,840,382,391685,0 136,2,2024-09-07 09:14:11:141,476015,476015,0,0,21455500,0,3506 136,3,2024-09-07 09:14:11:109,1,375,8,0,637,4132,375,0 137,0,2024-09-07 09:14:10:929,95222,0.6,92619,0.7,182117,0.7,247227,2.00 137,1,2024-09-07 09:14:10:575,656872,656872,0,0,308639992382,3242785274,645818,8709,2345,366,391898,0 137,2,2024-09-07 09:14:11:712,473839,473839,0,0,23852037,0,3185 137,3,2024-09-07 09:14:10:783,1,375,3,0,484,4818,375,0 138,0,2024-09-07 09:14:11:762,92386,1.2,92447,1.0,185627,1.6,246693,2.25 138,1,2024-09-07 09:14:11:685,657996,657996,0,0,307841257090,3233056782,648199,8078,1719,368,391954,0 138,2,2024-09-07 09:14:10:589,470192,470192,0,0,21251496,0,4988 138,3,2024-09-07 09:14:10:610,1,375,5,0,1160,5084,375,0 139,0,2024-09-07 09:14:11:392,95498,1.5,95746,1.1,191532,2.2,255825,2.50 139,1,2024-09-07 09:14:10:574,654653,654653,0,0,306857422220,3264783272,640993,10392,3268,381,392058,0 139,2,2024-09-07 09:14:10:692,472923,472923,0,0,24237794,0,3097 139,3,2024-09-07 09:14:11:671,1,375,432,0,432,4620,375,0 140,0,2024-09-07 09:14:11:593,92342,0.3,91924,0.5,184554,0.2,245431,1.75 140,1,2024-09-07 09:14:11:538,661364,661364,0,0,310504914025,3202128432,657959,2966,439,365,391606,0 140,2,2024-09-07 09:14:10:687,476689,476688,1,0,19018570,0,5036 140,3,2024-09-07 09:14:10:771,1,375,15,0,297,3285,375,0 141,0,2024-09-07 09:14:11:698,92826,0.3,95265,0.5,181954,0.3,246557,1.75 141,1,2024-09-07 09:14:10:862,660035,660035,0,0,309720024581,3216412089,655159,3931,945,379,391614,0 141,2,2024-09-07 09:14:11:686,475338,475338,0,0,18637297,0,3360 141,3,2024-09-07 09:14:11:044,1,375,1,0,391,4309,375,0 142,0,2024-09-07 09:14:11:306,93438,0.3,92846,0.5,185492,0.3,247452,1.75 142,1,2024-09-07 09:14:10:586,659181,659181,0,0,308554783212,3215108321,655163,3665,353,382,392102,0 142,2,2024-09-07 09:14:11:303,470392,470360,32,0,19658807,0,6028 142,3,2024-09-07 09:14:11:751,1,375,1,0,484,4368,375,0 143,0,2024-09-07 09:14:11:380,96025,0.4,95829,0.5,192187,0.4,256119,1.75 143,1,2024-09-07 09:14:10:560,660620,660620,0,0,309715779222,3211589821,656741,3725,154,367,391705,0 143,2,2024-09-07 09:14:10:776,476577,476577,0,0,19692933,0,3123 143,3,2024-09-07 09:14:11:140,1,375,1,0,462,4940,375,0 144,0,2024-09-07 09:14:11:496,90425,0.6,92801,0.8,189413,0.5,248164,2.00 144,1,2024-09-07 09:14:10:568,657041,657041,0,0,308114385356,3228674933,651451,4382,1208,381,391649,0 144,2,2024-09-07 09:14:11:783,477402,477402,0,0,18391343,0,3673 144,3,2024-09-07 09:14:11:761,1,375,8,0,249,3722,375,0 145,0,2024-09-07 09:14:11:383,90958,0.6,90943,0.8,192882,0.5,247962,2.25 145,1,2024-09-07 09:14:10:572,657008,657008,0,0,308454833488,3240347607,649413,6452,1143,382,391759,0 145,2,2024-09-07 09:14:11:442,472968,472887,81,0,22614611,0,7814 145,3,2024-09-07 09:14:10:895,1,375,1,0,622,5599,375,0 146,0,2024-09-07 09:14:11:607,93507,0.5,92849,0.7,187226,0.5,248174,2.00 146,1,2024-09-07 09:14:11:584,657921,657921,0,0,308738591145,3244460540,647737,8173,2011,367,391770,0 146,2,2024-09-07 09:14:11:695,471108,471108,0,0,21366778,0,2730 146,3,2024-09-07 09:14:11:283,1,375,1,0,1520,7701,375,0 147,0,2024-09-07 09:14:11:707,95497,0.6,95155,0.7,189667,0.5,254481,2.00 147,1,2024-09-07 09:14:11:384,659913,659913,0,0,309786032900,3221024343,654162,4947,804,368,391791,0 147,2,2024-09-07 09:14:11:009,473961,473961,0,0,18965708,0,2789 147,3,2024-09-07 09:14:10:917,1,375,31,0,730,5313,375,0 0,0,2024-09-07 09:14:21:708,90039,0.6,90078,0.7,191072,0.7,246918,2.00 0,1,2024-09-07 09:14:20:813,660331,660331,0,0,310299822469,3243049084,655955,4129,247,369,391896,0 0,2,2024-09-07 09:14:21:067,476533,476533,0,0,18838110,0,4480 0,3,2024-09-07 09:14:20:990,1,376,16,0,431,5312,376,0 1,0,2024-09-07 09:14:21:748,94614,0.8,93949,0.9,188884,0.9,252377,2.00 1,1,2024-09-07 09:14:20:567,659430,659430,0,0,309774023672,3240346070,653699,4501,1230,370,391857,0 1,2,2024-09-07 09:14:20:640,472286,472286,0,0,18221286,0,3380 1,3,2024-09-07 09:14:21:343,1,376,3,0,262,4341,376,0 2,0,2024-09-07 09:14:21:568,96331,0.5,96708,0.7,192526,0.6,257372,2.00 2,1,2024-09-07 09:14:20:868,661641,661641,0,0,310476257022,3222210161,658578,2770,293,380,391745,0 2,2,2024-09-07 09:14:21:266,477669,477669,0,0,18028718,0,3594 2,3,2024-09-07 09:14:20:691,1,376,16,0,357,3771,376,0 3,0,2024-09-07 09:14:21:743,93217,0.4,93005,0.6,186107,0.4,248065,2.00 3,1,2024-09-07 09:14:21:618,660244,660244,0,0,309741028082,3223536017,654965,4655,624,380,391591,0 3,2,2024-09-07 09:14:21:144,476946,476923,23,0,18700395,0,5851 3,3,2024-09-07 09:14:21:752,1,376,3,0,207,2345,376,0 4,0,2024-09-07 09:14:21:833,89785,0.4,92210,0.5,187962,0.3,245721,1.75 4,1,2024-09-07 09:14:20:606,657928,657928,0,0,308896360626,3263147042,647445,8149,2334,370,391992,0 4,2,2024-09-07 09:14:21:025,474758,474758,0,0,21834492,0,4528 4,3,2024-09-07 09:14:21:026,1,376,8,0,448,5107,376,0 5,0,2024-09-07 09:14:21:442,92703,0.4,93247,0.6,185954,0.4,247602,1.75 5,1,2024-09-07 09:14:20:757,659443,659443,0,0,308933694517,3248307938,651087,6845,1511,367,392005,0 5,2,2024-09-07 09:14:21:830,469871,469871,0,0,20853363,0,3582 5,3,2024-09-07 09:14:21:734,1,376,2,0,457,5198,376,0 6,0,2024-09-07 09:14:20:921,97498,0.4,96926,0.6,193665,0.3,259103,1.75 6,1,2024-09-07 09:14:20:755,659556,659556,0,0,309174581405,3231635058,652091,6107,1358,379,391694,0 6,2,2024-09-07 09:14:21:116,476772,476754,18,0,21117192,0,5535 6,3,2024-09-07 09:14:21:274,1,376,1,0,340,3994,376,0 7,0,2024-09-07 09:14:21:537,91762,0.5,92309,0.6,183934,0.4,244549,2.00 7,1,2024-09-07 09:14:20:867,658897,658897,0,0,309133325301,3246104819,649671,7831,1395,382,391747,0 7,2,2024-09-07 09:14:20:772,478402,478402,0,0,20345578,0,4791 7,3,2024-09-07 09:14:20:857,1,376,58,0,398,4080,376,0 8,0,2024-09-07 09:14:21:359,93534,0.4,93251,0.5,186825,0.3,248725,1.75 8,1,2024-09-07 09:14:21:018,657802,657802,0,0,309217190913,3254041849,646864,8345,2593,366,392144,0 8,2,2024-09-07 09:14:20:795,471881,471881,0,0,24601896,0,3220 8,3,2024-09-07 09:14:20:592,1,376,9,0,538,6316,376,0 9,0,2024-09-07 09:14:21:106,93679,0.4,90901,0.5,190242,0.3,248642,1.75 9,1,2024-09-07 09:14:20:555,658424,658424,0,0,308647208826,3252372192,648795,7493,2136,369,392001,0 9,2,2024-09-07 09:14:21:083,471321,471321,0,0,21743067,0,3360 9,3,2024-09-07 09:14:21:760,1,376,1,0,496,5307,376,0 10,0,2024-09-07 09:14:21:603,96097,0.3,95494,0.5,191831,0.2,255649,1.75 10,1,2024-09-07 09:14:20:585,658841,658841,0,0,309703914413,3244765354,649921,7636,1284,381,391741,0 10,2,2024-09-07 09:14:20:762,476731,476731,0,0,23900528,0,4264 10,3,2024-09-07 09:14:20:879,1,376,3,0,362,3687,376,0 11,0,2024-09-07 09:14:21:011,93902,0.5,91203,0.6,190644,0.4,251382,1.75 11,1,2024-09-07 09:14:20:575,659942,659942,0,0,309392624246,3248626804,649390,8071,2481,383,391756,0 11,2,2024-09-07 09:14:21:126,476848,476848,0,0,21726193,0,4130 11,3,2024-09-07 09:14:21:298,1,376,57,0,843,5667,376,0 12,0,2024-09-07 09:14:20:951,95516,0.4,95611,0.5,190897,0.3,253361,1.75 12,1,2024-09-07 09:14:20:944,660111,660111,0,0,310118937230,3233008220,654888,4728,495,370,391870,0 12,2,2024-09-07 09:14:21:543,476241,476241,0,0,20646484,0,3469 12,3,2024-09-07 09:14:21:061,1,376,9,0,386,5879,376,0 13,0,2024-09-07 09:14:21:364,94244,0.4,93965,0.6,187699,0.4,250201,1.75 13,1,2024-09-07 09:14:21:532,658788,658788,0,0,309893097193,3256409306,652366,4961,1461,382,391740,0 13,2,2024-09-07 09:14:20:601,475694,475694,0,0,19024035,0,3287 13,3,2024-09-07 09:14:21:776,1,376,110,0,522,5958,376,0 14,0,2024-09-07 09:14:20:561,95425,0.4,96187,0.6,190625,0.3,254176,1.75 14,1,2024-09-07 09:14:21:572,663425,663425,0,0,311334646705,3222517428,658524,4541,360,364,391673,0 14,2,2024-09-07 09:14:20:764,474100,474070,30,0,20604410,0,6104 14,3,2024-09-07 09:14:21:120,1,376,20,0,1168,4352,376,0 15,0,2024-09-07 09:14:21:552,95923,0.4,96053,0.6,192083,0.4,255888,2.00 15,1,2024-09-07 09:14:21:613,660400,660400,0,0,310252139363,3227859839,655951,3625,824,381,391619,0 15,2,2024-09-07 09:14:21:008,478545,478545,0,0,17238552,0,3622 15,3,2024-09-07 09:14:21:406,1,376,25,0,1126,6749,376,0 16,0,2024-09-07 09:14:20:941,93902,0.5,94291,0.7,188259,0.5,250639,2.00 16,1,2024-09-07 09:14:20:566,661060,661060,0,0,309742641015,3233091860,656143,4529,388,370,391756,0 16,2,2024-09-07 09:14:21:442,475986,475986,0,0,19756172,0,4719 16,3,2024-09-07 09:14:21:159,1,376,23,0,317,4922,376,0 17,0,2024-09-07 09:14:21:768,95387,0.6,92998,0.8,182064,0.6,247126,2.00 17,1,2024-09-07 09:14:20:575,659222,659222,0,0,309410522755,3244101515,652724,5236,1262,368,392075,0 17,2,2024-09-07 09:14:21:676,478537,478537,0,0,19369186,0,2857 17,3,2024-09-07 09:14:20:583,1,376,0,0,298,5930,376,0 18,0,2024-09-07 09:14:20:941,92165,0.6,92634,0.8,184550,0.5,246408,2.25 18,1,2024-09-07 09:14:21:638,661830,661830,0,0,310122416483,3212763027,658217,3296,317,367,391649,0 18,2,2024-09-07 09:14:21:763,474475,474475,0,0,17557064,0,3541 18,3,2024-09-07 09:14:20:908,1,376,2,0,163,3065,376,0 19,0,2024-09-07 09:14:21:565,96623,0.6,97264,0.7,192705,0.6,256876,2.00 19,1,2024-09-07 09:14:20:609,662064,662064,0,0,310765748064,3222584510,656762,4463,839,367,391777,0 19,2,2024-09-07 09:14:21:753,479078,479078,0,0,16545849,0,3988 19,3,2024-09-07 09:14:21:129,1,376,6,0,524,2778,376,0 20,0,2024-09-07 09:14:21:372,92180,0.5,92145,0.6,184277,0.4,245704,2.00 20,1,2024-09-07 09:14:20:590,659875,659875,0,0,310162467767,3240301744,654635,4523,717,369,391886,0 20,2,2024-09-07 09:14:20:935,477224,477224,0,0,20353990,0,3721 20,3,2024-09-07 09:14:20:591,1,376,10,0,414,5735,376,0 21,0,2024-09-07 09:14:21:136,92708,0.5,92746,0.6,185280,0.5,245648,2.00 21,1,2024-09-07 09:14:21:536,658127,658127,0,0,308086556593,3245647157,649050,7089,1988,368,392016,0 21,2,2024-09-07 09:14:21:067,473532,473512,20,0,24412965,0,5617 21,3,2024-09-07 09:14:21:410,1,376,1,0,713,5004,376,0 22,0,2024-09-07 09:14:21:723,92548,0.5,92847,0.7,185973,0.5,245916,2.00 22,1,2024-09-07 09:14:21:022,659490,659490,0,0,308892127915,3244075931,649978,7497,2015,382,391667,0 22,2,2024-09-07 09:14:20:763,470156,470130,26,0,19331732,0,6328 22,3,2024-09-07 09:14:21:075,1,376,1,0,228,3196,376,0 23,0,2024-09-07 09:14:21:369,96045,0.5,95724,0.7,191886,0.4,256156,2.25 23,1,2024-09-07 09:14:21:004,660427,660427,0,0,309958554852,3254217507,648909,7967,3551,365,391690,0 23,2,2024-09-07 09:14:21:093,478380,478380,0,0,18949784,0,3010 23,3,2024-09-07 09:14:21:763,1,376,0,0,720,5311,376,0 24,0,2024-09-07 09:14:20:829,94058,0.4,93878,0.6,188279,0.4,249672,1.75 24,1,2024-09-07 09:14:20:586,659155,659155,0,0,309100357112,3242120477,651665,5909,1581,367,392269,0 24,2,2024-09-07 09:14:21:076,476658,476658,0,0,23476690,0,3607 24,3,2024-09-07 09:14:21:690,1,376,7,0,468,5266,376,0 25,0,2024-09-07 09:14:21:358,96617,0.4,94167,0.6,184629,0.4,251395,1.75 25,1,2024-09-07 09:14:20:574,658678,658678,0,0,308761629706,3248621608,648463,8310,1905,371,391928,0 25,2,2024-09-07 09:14:21:609,474619,474619,0,0,23364439,0,3978 25,3,2024-09-07 09:14:21:003,1,376,8,0,255,3716,376,0 26,0,2024-09-07 09:14:21:723,93538,0.4,91259,0.6,191505,0.3,249210,1.75 26,1,2024-09-07 09:14:21:542,660277,660277,0,0,309156059059,3238782700,650677,8054,1546,380,391748,0 26,2,2024-09-07 09:14:20:867,473428,473428,0,0,23194047,0,2809 26,3,2024-09-07 09:14:21:711,1,376,2,0,796,4735,376,0 27,0,2024-09-07 09:14:21:722,96064,0.4,96161,0.6,190760,0.4,255227,2.00 27,1,2024-09-07 09:14:21:676,662063,662063,0,0,310230346043,3230637287,655808,5432,823,381,391626,0 27,2,2024-09-07 09:14:20:891,472822,472757,65,0,22550605,0,5699 27,3,2024-09-07 09:14:21:021,1,376,1,0,564,3764,376,0 28,0,2024-09-07 09:14:21:392,95843,0.4,95445,0.6,191105,0.3,254965,2.00 28,1,2024-09-07 09:14:20:800,660852,660852,0,0,310880320788,3243098520,655025,4522,1305,383,391698,0 28,2,2024-09-07 09:14:21:765,477577,477577,0,0,20078572,0,2915 28,3,2024-09-07 09:14:21:782,1,376,14,0,502,4126,376,0 29,0,2024-09-07 09:14:21:356,97299,0.3,94799,0.6,186165,0.3,253546,1.75 29,1,2024-09-07 09:14:21:561,662639,662639,0,0,310910056927,3217717462,658197,3755,687,369,391753,0 29,2,2024-09-07 09:14:20:867,474822,474822,0,0,19067806,0,4986 29,3,2024-09-07 09:14:20:970,1,376,45,0,459,4556,376,0 30,0,2024-09-07 09:14:21:457,92277,0.5,89828,0.7,187905,0.4,245833,2.00 30,1,2024-09-07 09:14:20:575,662515,662515,0,0,310899114771,3227455965,657325,4464,726,381,391672,0 30,2,2024-09-07 09:14:21:274,476012,476012,0,0,17982011,0,3161 30,3,2024-09-07 09:14:20:583,1,376,69,0,519,3787,376,0 31,0,2024-09-07 09:14:21:764,94181,0.4,94608,0.6,188963,0.4,252462,2.00 31,1,2024-09-07 09:14:20:564,664526,664526,0,0,312204232673,3200069680,661935,2032,559,356,391712,0 31,2,2024-09-07 09:14:21:276,472841,472841,0,0,19691590,0,3525 31,3,2024-09-07 09:14:21:710,1,376,23,0,220,3222,376,0 32,0,2024-09-07 09:14:21:419,96518,0.3,97026,0.5,193491,0.2,257828,1.50 32,1,2024-09-07 09:14:20:813,661470,661470,0,0,310349880238,3222409283,657767,3222,481,381,391646,0 32,2,2024-09-07 09:14:20:935,478130,478130,0,0,17039882,0,3155 32,3,2024-09-07 09:14:21:016,1,376,7,0,227,2629,376,0 33,0,2024-09-07 09:14:21:504,93361,0.3,92918,0.4,186444,0.2,248344,1.50 33,1,2024-09-07 09:14:20:579,662718,662718,0,0,310999883032,3220542556,657703,4095,920,369,391730,0 33,2,2024-09-07 09:14:20:758,477731,477696,35,0,19636672,0,7012 33,3,2024-09-07 09:14:20:902,1,376,1,0,329,3758,376,0 34,0,2024-09-07 09:14:20:935,92702,0.3,95287,0.4,182346,0.2,245074,1.75 34,1,2024-09-07 09:14:21:044,664079,664079,0,0,311689943306,3202940856,662619,1451,9,367,391562,0 34,2,2024-09-07 09:14:20:766,476820,476820,0,0,18625831,0,3577 34,3,2024-09-07 09:14:21:695,1,376,0,0,299,2584,376,0 35,0,2024-09-07 09:14:20:874,92124,0.3,92500,0.5,186067,0.3,248144,1.75 35,1,2024-09-07 09:14:21:074,662131,662131,0,0,310689929580,3217205275,658385,2964,782,382,391769,0 35,2,2024-09-07 09:14:21:584,471843,471843,0,0,18547368,0,2653 35,3,2024-09-07 09:14:20:912,1,376,1,0,418,4394,376,0 36,0,2024-09-07 09:14:21:520,97447,0.4,97278,0.6,194556,0.4,258974,2.00 36,1,2024-09-07 09:14:20:585,660992,660992,0,0,309497607110,3229227555,653164,6143,1685,366,391759,0 36,2,2024-09-07 09:14:21:756,477736,477736,0,0,21115246,0,3875 36,3,2024-09-07 09:14:20:867,1,376,7,0,416,5784,376,0 37,0,2024-09-07 09:14:21:409,91894,0.5,91825,0.6,183840,0.4,244939,2.00 37,1,2024-09-07 09:14:20:573,660773,660766,0,7,309326990357,3229911131,653337,5269,2160,365,391570,0 37,2,2024-09-07 09:14:21:144,476614,476599,15,0,21182689,0,5815 37,3,2024-09-07 09:14:21:775,1,376,8,0,888,5815,376,0 38,0,2024-09-07 09:14:21:484,92763,0.5,90126,0.7,188569,0.4,246495,2.00 38,1,2024-09-07 09:14:21:604,661892,661892,0,0,310358549158,3236312316,653713,6894,1285,368,391821,0 38,2,2024-09-07 09:14:20:760,475527,475480,47,0,20926043,0,6710 38,3,2024-09-07 09:14:21:003,1,376,1,0,689,5048,376,0 39,0,2024-09-07 09:14:21:770,95200,0.6,93236,0.7,181534,0.5,247435,2.00 39,1,2024-09-07 09:14:20:718,660419,660419,0,0,309535555959,3241249710,650393,8052,1974,365,391658,0 39,2,2024-09-07 09:14:21:420,472225,472225,0,0,19095961,0,2689 39,3,2024-09-07 09:14:20:720,1,376,2,0,324,4564,376,0 40,0,2024-09-07 09:14:21:488,94990,0.8,95797,1.0,191025,0.9,255077,2.75 40,1,2024-09-07 09:14:20:583,660892,660892,0,0,308649612769,3230866252,651689,7589,1614,368,391668,0 40,2,2024-09-07 09:14:21:333,475727,475726,1,0,23049718,0,5137 40,3,2024-09-07 09:14:21:144,1,376,1,0,1028,5250,376,0 41,0,2024-09-07 09:14:21:021,93453,1.6,95653,1.3,182681,2.6,248090,3.25 41,1,2024-09-07 09:14:20:768,659590,659590,0,0,309422856620,3236498269,651557,7265,768,369,391742,0 41,2,2024-09-07 09:14:20:765,475953,475953,0,0,22106714,0,4277 41,3,2024-09-07 09:14:21:676,1,376,1,0,366,3923,376,0 42,0,2024-09-07 09:14:21:506,94109,0.9,94094,1.0,188415,1.0,249247,2.75 42,1,2024-09-07 09:14:21:443,658545,658545,0,0,308775458409,3246273725,647939,8699,1907,380,391675,0 42,2,2024-09-07 09:14:21:133,474693,474693,0,0,22550823,0,3790 42,3,2024-09-07 09:14:21:009,1,376,11,0,446,3187,376,0 43,0,2024-09-07 09:14:20:922,92410,0.8,90016,1.0,188735,0.9,247673,2.50 43,1,2024-09-07 09:14:20:575,660255,660255,0,0,310705832250,3248519727,650656,7869,1730,366,391696,0 43,2,2024-09-07 09:14:21:736,474214,474214,0,0,22087398,0,3812 43,3,2024-09-07 09:14:21:755,1,376,1,0,467,4483,376,0 44,0,2024-09-07 09:14:20:867,95369,0.4,95752,0.6,191332,0.4,254259,1.75 44,1,2024-09-07 09:14:20:563,661933,661933,0,0,310537145751,3210202754,656369,4370,1194,356,391809,0 44,2,2024-09-07 09:14:21:267,473462,473462,0,0,18192570,0,4344 44,3,2024-09-07 09:14:21:104,1,376,8,0,817,4694,376,0 45,0,2024-09-07 09:14:21:757,95044,0.5,92890,0.7,194501,0.5,256652,2.00 45,1,2024-09-07 09:14:21:005,661341,661341,0,0,310538049289,3226361340,656407,4417,517,382,391917,0 45,2,2024-09-07 09:14:21:268,477894,477894,0,0,18603691,0,3596 45,3,2024-09-07 09:14:20:940,1,376,10,0,271,3483,376,0 46,0,2024-09-07 09:14:20:964,93320,0.5,93373,0.7,186892,0.5,248335,2.00 46,1,2024-09-07 09:14:20:580,662589,662589,0,0,311280039904,3214681225,658494,3596,499,366,391572,0 46,2,2024-09-07 09:14:20:593,476826,476826,0,0,17857102,0,2920 46,3,2024-09-07 09:14:21:134,1,376,1,0,908,5165,376,0 47,0,2024-09-07 09:14:21:104,92870,0.5,93149,0.6,186636,0.4,246549,2.00 47,1,2024-09-07 09:14:20:567,663793,663793,0,0,310066869596,3205220316,659279,3699,815,366,391641,0 47,2,2024-09-07 09:14:20:913,477641,477641,0,0,18328895,0,4477 47,3,2024-09-07 09:14:21:118,1,376,1,0,529,3981,376,0 48,0,2024-09-07 09:14:21:520,93722,0.3,93332,0.4,186077,0.2,248694,1.50 48,1,2024-09-07 09:14:21:021,661962,661962,0,0,310794806355,3226993922,657869,3640,453,384,391710,0 48,2,2024-09-07 09:14:20:705,471834,471834,0,0,16394799,0,3031 48,3,2024-09-07 09:14:20:753,1,376,8,0,339,3157,376,0 49,0,2024-09-07 09:14:21:713,99415,0.3,97768,0.5,189230,0.2,259594,1.75 49,1,2024-09-07 09:14:21:021,661495,661495,0,0,310512501694,3230467221,656868,3422,1205,382,391809,0 49,2,2024-09-07 09:14:21:800,478318,478318,0,0,18369120,0,4426 49,3,2024-09-07 09:14:21:419,1,376,1,0,992,4670,376,0 50,0,2024-09-07 09:14:21:512,92700,0.3,91229,0.4,184170,0.2,245468,1.75 50,1,2024-09-07 09:14:21:016,663489,663489,0,0,311200815724,3215409256,659367,3735,387,368,391565,0 50,2,2024-09-07 09:14:21:069,477723,477723,0,0,16971470,0,2263 50,3,2024-09-07 09:14:21:291,1,376,15,0,335,3475,376,0 51,0,2024-09-07 09:14:21:683,95078,0.3,92959,0.5,181252,0.2,246723,1.75 51,1,2024-09-07 09:14:21:684,663572,663572,0,0,312081703329,3216843916,660282,2314,976,365,391706,0 51,2,2024-09-07 09:14:21:333,476498,476498,0,0,16655364,0,3337 51,3,2024-09-07 09:14:21:029,1,376,1,0,678,2944,376,0 52,0,2024-09-07 09:14:21:423,93047,0.5,92963,0.7,185901,0.4,246979,2.00 52,1,2024-09-07 09:14:20:580,660750,660750,0,0,309788468436,3241387562,651652,7784,1314,368,391722,0 52,2,2024-09-07 09:14:21:764,468402,468364,38,0,21640796,0,6742 52,3,2024-09-07 09:14:20:676,1,376,6,0,1782,5552,376,0 53,0,2024-09-07 09:14:21:729,95550,0.6,92926,0.8,194623,0.6,255837,2.25 53,1,2024-09-07 09:14:20:775,659603,659603,0,0,310154478779,3251738341,649353,7543,2707,367,391702,0 53,2,2024-09-07 09:14:21:300,477670,477669,1,0,19525319,0,5455 53,3,2024-09-07 09:14:20:696,1,376,1,0,308,3307,376,0 54,0,2024-09-07 09:14:21:615,92018,0.6,92318,0.8,183866,0.4,245577,2.25 54,1,2024-09-07 09:14:20:580,661041,661041,0,0,310460867620,3223688324,655104,5318,619,366,391659,0 54,2,2024-09-07 09:14:20:868,478045,478013,32,0,22345169,0,6397 54,3,2024-09-07 09:14:20:764,1,376,0,0,676,5497,376,0 55,0,2024-09-07 09:14:21:759,90933,0.6,94055,0.7,189970,0.5,247326,2.50 55,1,2024-09-07 09:14:20:771,661310,661310,0,0,309915048736,3220778414,654572,5829,909,365,391731,0 55,2,2024-09-07 09:14:20:733,474727,474671,56,0,21610380,0,7239 55,3,2024-09-07 09:14:20:678,1,376,1,0,304,4071,376,0 56,0,2024-09-07 09:14:21:579,95681,1.1,90241,1.1,185975,1.4,249072,2.50 56,1,2024-09-07 09:14:20:583,657701,657701,0,0,308877699127,3262385862,647521,7987,2193,381,391867,0 56,2,2024-09-07 09:14:21:307,472850,472850,0,0,21364010,0,3567 56,3,2024-09-07 09:14:21:060,1,376,16,0,705,4692,376,0 57,0,2024-09-07 09:14:20:946,94508,1.6,94413,1.3,189051,2.2,253270,3.00 57,1,2024-09-07 09:14:20:991,659714,659714,0,0,309759647024,3247249358,651800,6901,1013,366,392032,0 57,2,2024-09-07 09:14:21:320,475896,475896,0,0,23489821,0,3317 57,3,2024-09-07 09:14:21:740,1,376,7,0,359,4195,376,0 58,0,2024-09-07 09:14:20:581,94136,0.9,91368,1.0,191302,1.1,250536,2.50 58,1,2024-09-07 09:14:20:583,660455,660452,0,3,310472990167,3247452135,651173,8022,1257,367,391603,3 58,2,2024-09-07 09:14:21:071,476560,476560,0,0,21950396,0,2857 58,3,2024-09-07 09:14:21:073,1,376,0,0,1043,4187,376,0 59,0,2024-09-07 09:14:21:745,94449,0.8,94085,0.9,187894,0.8,249996,2.75 59,1,2024-09-07 09:14:20:813,659593,659593,0,0,309602153864,3243025232,650566,7438,1589,369,391586,0 59,2,2024-09-07 09:14:20:594,476066,476066,0,0,21517807,0,2867 59,3,2024-09-07 09:14:21:747,1,376,30,0,1015,4832,376,0 60,0,2024-09-07 09:14:21:710,92632,0.5,92579,0.7,185958,0.5,246823,1.75 60,1,2024-09-07 09:14:20:791,662048,662048,0,0,310345920610,3221010724,657763,3636,649,370,392031,0 60,2,2024-09-07 09:14:21:165,475878,475878,0,0,20330390,0,3811 60,3,2024-09-07 09:14:21:263,1,376,8,0,409,4377,376,0 61,0,2024-09-07 09:14:21:494,94167,0.6,94872,0.8,188781,0.7,252111,2.00 61,1,2024-09-07 09:14:20:773,660353,660353,0,0,309791593384,3245176771,653046,5981,1326,382,392127,0 61,2,2024-09-07 09:14:21:124,472932,472865,67,0,20643732,0,6411 61,3,2024-09-07 09:14:21:702,1,376,4,0,479,5354,376,0 62,0,2024-09-07 09:14:21:710,97211,0.5,99417,0.6,189686,0.4,257793,1.75 62,1,2024-09-07 09:14:21:114,664775,664769,0,6,312059664634,3219148785,660997,3512,260,365,391715,6 62,2,2024-09-07 09:14:21:644,476077,476076,1,0,20375098,0,5555 62,3,2024-09-07 09:14:21:157,1,376,3,0,465,2788,376,0 63,0,2024-09-07 09:14:21:456,93474,0.4,93251,0.6,187059,0.3,248684,1.75 63,1,2024-09-07 09:14:20:804,662459,662453,0,6,309817167588,3214506286,658488,3577,388,381,391800,6 63,2,2024-09-07 09:14:20:771,477219,477219,0,0,18612085,0,4369 63,3,2024-09-07 09:14:21:732,1,376,102,0,667,4057,376,0 64,0,2024-09-07 09:14:21:594,91870,0.5,91913,0.7,183914,0.5,244135,2.00 64,1,2024-09-07 09:14:20:754,661819,661819,0,0,309866346964,3226653771,655978,4164,1677,370,391783,0 64,2,2024-09-07 09:14:21:156,479242,479223,19,0,18278437,0,6121 64,3,2024-09-07 09:14:21:152,1,376,16,0,265,3396,376,0 65,0,2024-09-07 09:14:21:708,91907,0.6,92230,0.7,184219,0.6,246108,2.00 65,1,2024-09-07 09:14:20:872,660131,660131,0,0,309344892368,3232040364,655346,4246,539,382,391901,0 65,2,2024-09-07 09:14:21:697,472329,472329,0,0,20252387,0,3367 65,3,2024-09-07 09:14:21:684,1,376,5,0,347,3899,376,0 66,0,2024-09-07 09:14:21:775,96825,0.5,96775,0.7,193481,0.4,257700,2.00 66,1,2024-09-07 09:14:21:306,662258,662258,0,0,310511656158,3227397655,658447,3488,323,380,391653,0 66,2,2024-09-07 09:14:21:135,479204,479204,0,0,18386848,0,4956 66,3,2024-09-07 09:14:21:090,1,376,2,0,291,3472,376,0 67,0,2024-09-07 09:14:21:414,92484,0.5,92146,0.6,184751,0.4,245639,2.00 67,1,2024-09-07 09:14:20:766,662159,662158,0,1,310170917112,3227731854,657697,3677,784,380,391787,1 67,2,2024-09-07 09:14:20:584,479436,479436,0,0,17721457,0,2889 67,3,2024-09-07 09:14:21:750,1,376,5,0,338,3316,376,0 68,0,2024-09-07 09:14:20:571,93684,0.6,93326,0.7,186155,0.6,248538,2.00 68,1,2024-09-07 09:14:20:578,659491,659491,0,0,308858436666,3240062747,652608,4810,2073,381,391953,0 68,2,2024-09-07 09:14:21:059,473853,473753,100,0,24014151,0,8578 68,3,2024-09-07 09:14:20:741,1,376,8,0,417,3906,376,0 69,0,2024-09-07 09:14:21:763,92874,0.8,93454,0.9,185971,0.9,246578,2.25 69,1,2024-09-07 09:14:21:022,658439,658439,0,0,308971786174,3258425889,649482,6769,2188,384,391994,0 69,2,2024-09-07 09:14:21:732,471787,471758,29,0,23883115,0,6912 69,3,2024-09-07 09:14:20:773,1,376,4,0,698,5240,376,0 70,0,2024-09-07 09:14:21:544,95035,1.0,95129,1.0,191310,0.8,253759,2.50 70,1,2024-09-07 09:14:20:808,661899,661899,0,0,311005719189,3226503016,656621,4620,658,366,391725,0 70,2,2024-09-07 09:14:21:327,476285,476285,0,0,20920664,0,4044 70,3,2024-09-07 09:14:20:745,1,376,9,0,854,4298,376,0 71,0,2024-09-07 09:14:21:357,93202,0.9,92953,1.0,186608,1.1,249551,2.75 71,1,2024-09-07 09:14:21:596,660748,660748,0,0,310430795960,3238413492,653243,6757,748,368,391738,0 71,2,2024-09-07 09:14:21:088,477290,477290,0,0,21670979,0,4352 71,3,2024-09-07 09:14:21:759,1,376,2,0,644,4916,376,0 72,0,2024-09-07 09:14:21:048,97910,0.6,95687,0.7,186614,0.5,253688,2.00 72,1,2024-09-07 09:14:21:021,659644,659644,0,0,309268976840,3243827820,649838,7846,1960,369,391819,0 72,2,2024-09-07 09:14:21:757,475126,475126,0,0,23789529,0,3983 72,3,2024-09-07 09:14:21:762,1,376,11,0,564,5760,376,0 73,0,2024-09-07 09:14:21:122,91221,0.4,93580,0.6,191335,0.4,248955,2.00 73,1,2024-09-07 09:14:20:774,661089,661089,0,0,310089281665,3222126272,656414,4311,364,367,391858,0 73,2,2024-09-07 09:14:21:745,473813,473813,0,0,23047996,0,3701 73,3,2024-09-07 09:14:20:969,1,376,1,0,486,5305,376,0 74,0,2024-09-07 09:14:21:343,95942,0.5,98193,0.6,187287,0.4,254197,2.25 74,1,2024-09-07 09:14:20:639,660626,660626,0,0,309818357118,3235405978,653643,5614,1369,381,391681,0 74,2,2024-09-07 09:14:21:004,473404,473404,0,0,21592874,0,4253 74,3,2024-09-07 09:14:21:446,1,376,1,0,522,5324,376,0 75,0,2024-09-07 09:14:21:780,96521,0.5,96068,0.7,192912,0.5,257691,2.25 75,1,2024-09-07 09:14:21:594,660177,660177,0,0,309734166729,3233954514,653493,5858,826,380,391739,0 75,2,2024-09-07 09:14:21:408,476335,476335,0,0,22961015,0,4766 75,3,2024-09-07 09:14:21:068,1,376,1,0,702,5120,376,0 76,0,2024-09-07 09:14:20:592,93583,0.6,92939,0.7,186544,0.5,249578,2.25 76,1,2024-09-07 09:14:20:810,660995,660995,0,0,309410421319,3224855421,656904,3500,591,382,391692,0 76,2,2024-09-07 09:14:21:062,478157,478156,1,0,20802410,0,5144 76,3,2024-09-07 09:14:21:157,1,376,11,0,175,3496,376,0 77,0,2024-09-07 09:14:21:696,92556,0.6,92751,0.7,185647,0.6,246380,2.00 77,1,2024-09-07 09:14:20:839,660796,660796,0,0,309787413906,3235764610,655218,5082,496,381,391869,0 77,2,2024-09-07 09:14:21:291,476351,476351,0,0,20418802,0,3890 77,3,2024-09-07 09:14:21:096,1,376,5,0,401,4089,376,0 78,0,2024-09-07 09:14:21:717,93495,0.4,93034,0.6,186812,0.4,247763,2.00 78,1,2024-09-07 09:14:20:616,661472,661472,0,0,309993073597,3227097199,654790,5258,1424,367,391670,0 78,2,2024-09-07 09:14:21:411,472885,472885,0,0,17439306,0,3855 78,3,2024-09-07 09:14:21:134,1,376,21,0,181,3548,376,0 79,0,2024-09-07 09:14:21:359,93893,0.4,96161,0.6,197054,0.3,256451,2.25 79,1,2024-09-07 09:14:20:578,663202,663202,0,0,310340272066,3212286157,658360,4143,699,368,391682,0 79,2,2024-09-07 09:14:21:069,478662,478662,0,0,18605223,0,4195 79,3,2024-09-07 09:14:20:749,1,376,5,0,418,4763,376,0 80,0,2024-09-07 09:14:21:088,92190,0.5,94906,0.6,181742,0.5,245172,2.00 80,1,2024-09-07 09:14:21:620,661373,661373,0,0,310411353325,3227838893,657380,3790,203,368,391791,0 80,2,2024-09-07 09:14:21:096,479280,479280,0,0,18240583,0,4433 80,3,2024-09-07 09:14:20:579,1,376,10,0,190,4516,376,0 81,0,2024-09-07 09:14:21:605,92690,0.5,94923,0.7,181189,0.5,245507,2.00 81,1,2024-09-07 09:14:21:669,660717,660717,0,0,309272113536,3231149782,655375,4839,503,382,391879,0 81,2,2024-09-07 09:14:21:132,475308,475245,63,0,20027141,0,5932 81,3,2024-09-07 09:14:21:133,1,376,1,0,374,4130,376,0 82,0,2024-09-07 09:14:21:552,92328,0.4,92846,0.7,186114,0.4,246630,2.00 82,1,2024-09-07 09:14:20:583,662543,662539,0,4,310315795244,3227135724,658452,3289,798,381,391768,4 82,2,2024-09-07 09:14:21:692,473155,473155,0,0,16678227,0,3986 82,3,2024-09-07 09:14:21:752,1,376,1,0,363,3589,376,0 83,0,2024-09-07 09:14:21:559,96580,0.5,96234,0.6,192329,0.4,256194,2.00 83,1,2024-09-07 09:14:20:561,660210,660210,0,0,309807382220,3227685509,655735,4094,381,382,391709,0 83,2,2024-09-07 09:14:20:776,477386,477386,0,0,17549421,0,3393 83,3,2024-09-07 09:14:20:749,1,376,1,0,1260,5002,376,0 84,0,2024-09-07 09:14:21:771,92838,0.7,92785,0.9,185194,0.6,248008,2.25 84,1,2024-09-07 09:14:21:049,659826,659826,0,0,309777698798,3237444701,652762,6032,1032,367,391967,0 84,2,2024-09-07 09:14:20:575,477050,477020,30,0,24221763,0,5971 84,3,2024-09-07 09:14:21:157,1,376,8,0,908,5580,376,0 85,0,2024-09-07 09:14:21:020,90657,0.6,90700,0.8,192472,0.6,248570,2.25 85,1,2024-09-07 09:14:20:587,658030,658030,0,0,309626926096,3267176089,648272,7966,1792,381,392006,0 85,2,2024-09-07 09:14:20:869,475101,475101,0,0,22291165,0,3656 85,3,2024-09-07 09:14:20:688,1,376,1,0,789,4952,376,0 86,0,2024-09-07 09:14:20:902,93503,0.6,96236,0.8,184075,0.7,248790,2.25 86,1,2024-09-07 09:14:20:828,660195,660195,0,0,309938798319,3241912060,653042,6084,1069,366,391961,0 86,2,2024-09-07 09:14:20:884,471557,471556,1,0,23260739,0,5004 86,3,2024-09-07 09:14:20:594,1,376,59,0,308,5641,376,0 87,0,2024-09-07 09:14:21:304,95487,0.9,95356,0.9,191043,1.2,255665,2.25 87,1,2024-09-07 09:14:20:556,659414,659414,0,0,309529064028,3239170054,651793,6500,1121,366,392076,0 87,2,2024-09-07 09:14:21:080,474081,474075,6,0,21602155,0,6323 87,3,2024-09-07 09:14:21:801,1,376,1,0,473,5673,376,0 88,0,2024-09-07 09:14:21:438,95497,0.4,96185,0.6,191444,0.4,254671,2.00 88,1,2024-09-07 09:14:20:584,658194,658194,0,0,309035426649,3237916699,649721,6592,1881,365,392084,0 88,2,2024-09-07 09:14:20:688,477891,477891,0,0,23511591,0,3583 88,3,2024-09-07 09:14:21:281,1,376,13,0,435,3956,376,0 89,0,2024-09-07 09:14:21:781,97497,0.5,94465,0.6,186778,0.4,253722,1.75 89,1,2024-09-07 09:14:20:657,658827,658827,0,0,308769779613,3246760095,650622,6845,1360,382,391866,0 89,2,2024-09-07 09:14:21:132,474523,474523,0,0,22650145,0,3173 89,3,2024-09-07 09:14:21:803,1,376,32,0,385,6680,376,0 90,0,2024-09-07 09:14:21:655,89880,0.5,92349,0.6,188340,0.4,245890,2.00 90,1,2024-09-07 09:14:20:590,660220,660220,0,0,309873772410,3246127515,653802,5912,506,380,391825,0 90,2,2024-09-07 09:14:21:407,473836,473836,0,0,23896035,0,3060 90,3,2024-09-07 09:14:20:935,1,376,104,0,322,4883,376,0 91,0,2024-09-07 09:14:20:971,94933,0.5,91912,0.6,192169,0.4,252675,1.75 91,1,2024-09-07 09:14:20:556,658749,658749,0,0,309574311588,3255355427,650330,7231,1188,381,392047,0 91,2,2024-09-07 09:14:21:342,473372,473372,0,0,21203474,0,2896 91,3,2024-09-07 09:14:20:615,1,376,1,0,216,3435,376,0 92,0,2024-09-07 09:14:21:445,97272,0.4,99766,0.6,190472,0.4,257979,1.75 92,1,2024-09-07 09:14:20:584,660069,660069,0,0,309420838386,3231373114,655027,4350,692,382,392136,0 92,2,2024-09-07 09:14:21:350,478252,478252,0,0,19076971,0,3259 92,3,2024-09-07 09:14:21:016,1,376,11,0,167,3216,376,0 93,0,2024-09-07 09:14:20:952,93807,0.4,96099,0.5,183446,0.3,248851,1.75 93,1,2024-09-07 09:14:20:806,660163,660163,0,0,309665646892,3232432910,653392,5700,1071,366,391776,0 93,2,2024-09-07 09:14:20:936,476533,476533,0,0,22582325,0,4845 93,3,2024-09-07 09:14:21:406,1,376,1,0,190,3490,376,0 94,0,2024-09-07 09:14:21:630,92006,0.4,92892,0.5,185626,0.3,245843,1.75 94,1,2024-09-07 09:14:20:566,660706,660706,0,0,309742699494,3230232279,656613,3902,191,381,391850,0 94,2,2024-09-07 09:14:20:777,475985,475985,0,0,19344647,0,2443 94,3,2024-09-07 09:14:21:715,1,376,14,0,264,4479,376,0 95,0,2024-09-07 09:14:21:368,92679,0.4,92663,0.5,185770,0.3,247911,1.75 95,1,2024-09-07 09:14:20:858,662085,662085,0,0,310447712129,3226401832,656884,4799,402,367,391713,0 95,2,2024-09-07 09:14:21:025,471933,471933,0,0,18565675,0,3308 95,3,2024-09-07 09:14:21:708,1,376,3,0,718,5578,376,0 96,0,2024-09-07 09:14:21:029,97205,0.3,97412,0.5,194687,0.3,258339,1.75 96,1,2024-09-07 09:14:21:585,660378,660378,0,0,309830936977,3230460980,655784,3796,798,384,391955,0 96,2,2024-09-07 09:14:21:268,478321,478321,0,0,18838449,0,4042 96,3,2024-09-07 09:14:21:157,1,376,9,0,411,4034,376,0 97,0,2024-09-07 09:14:21:351,92431,0.3,92099,0.5,185009,0.3,245391,1.50 97,1,2024-09-07 09:14:20:767,661812,661812,0,0,310406221937,3217767733,657449,3669,694,367,392140,0 97,2,2024-09-07 09:14:20:631,479098,479098,0,0,18867232,0,3679 97,3,2024-09-07 09:14:20:581,1,376,3,0,214,4270,376,0 98,0,2024-09-07 09:14:21:715,93231,0.3,93246,0.4,187206,0.2,248361,1.50 98,1,2024-09-07 09:14:20:575,661350,661350,0,0,310616193211,3229326655,658328,2902,120,382,391997,0 98,2,2024-09-07 09:14:20:776,476281,476281,0,0,18321156,0,3080 98,3,2024-09-07 09:14:20:702,1,376,2,0,840,5690,376,0 99,0,2024-09-07 09:14:21:452,93370,0.3,93997,0.5,186786,0.3,248727,1.75 99,1,2024-09-07 09:14:21:729,661234,661234,0,0,309968317769,3225267293,656826,3636,772,381,392069,0 99,2,2024-09-07 09:14:21:430,473129,473129,0,0,21014771,0,3424 99,3,2024-09-07 09:14:20:581,1,376,8,0,606,4103,376,0 100,0,2024-09-07 09:14:21:457,95691,0.7,95860,0.9,190939,0.9,255553,2.50 100,1,2024-09-07 09:14:20:573,657980,657980,0,0,308683957732,3260404806,648370,7715,1895,381,391989,0 100,2,2024-09-07 09:14:21:832,475101,475090,11,0,21892071,0,5417 100,3,2024-09-07 09:14:21:731,1,376,8,0,559,6250,376,0 101,0,2024-09-07 09:14:21:697,96075,1.1,93495,1.0,183747,1.0,251421,2.25 101,1,2024-09-07 09:14:20:559,657929,657929,0,0,308933879597,3251406552,647993,7820,2116,368,391847,0 101,2,2024-09-07 09:14:21:760,474779,474779,0,0,25879458,0,4871 101,3,2024-09-07 09:14:20:944,1,376,7,0,579,5015,376,0 102,0,2024-09-07 09:14:20:984,92302,0.7,95332,0.8,192961,0.6,252474,2.00 102,1,2024-09-07 09:14:21:166,658992,658992,0,0,309319607424,3247868520,650695,7038,1259,369,391891,0 102,2,2024-09-07 09:14:21:738,475944,475890,54,0,21088369,0,6768 102,3,2024-09-07 09:14:21:625,1,376,4,0,410,3826,376,0 103,0,2024-09-07 09:14:21:607,96607,0.6,96570,0.7,182074,0.6,250814,2.00 103,1,2024-09-07 09:14:21:625,657575,657575,0,0,309198185969,3261057150,647458,7530,2587,381,391829,0 103,2,2024-09-07 09:14:20:582,473029,473029,0,0,22237594,0,3766 103,3,2024-09-07 09:14:20:755,1,376,1,0,916,4333,376,0 104,0,2024-09-07 09:14:21:011,94487,0.7,94833,0.9,188349,0.7,253520,2.25 104,1,2024-09-07 09:14:21:603,659762,659762,0,0,308402136361,3241249223,650202,7786,1774,365,392168,0 104,2,2024-09-07 09:14:21:666,472872,472872,0,0,22835121,0,3941 104,3,2024-09-07 09:14:21:428,1,376,12,0,1245,7819,376,0 105,0,2024-09-07 09:14:21:030,95099,0.8,92621,1.1,193883,0.9,255879,2.75 105,1,2024-09-07 09:14:20:786,660571,660571,0,0,309886419706,3248526502,651920,7303,1348,366,392009,0 105,2,2024-09-07 09:14:21:330,476611,476611,0,0,22415003,0,3509 105,3,2024-09-07 09:14:21:307,1,376,1,0,399,5766,376,0 106,0,2024-09-07 09:14:20:947,90707,0.8,92813,0.9,190297,0.8,249005,2.50 106,1,2024-09-07 09:14:21:770,658991,658991,0,0,309386721559,3253271766,648324,9225,1442,369,391914,0 106,2,2024-09-07 09:14:20:766,475166,475166,0,0,22027609,0,2795 106,3,2024-09-07 09:14:20:687,1,376,1,0,470,4741,376,0 107,0,2024-09-07 09:14:21:106,92407,1.1,92535,1.0,184941,1.4,246300,2.25 107,1,2024-09-07 09:14:20:588,658281,658281,0,0,308529584622,3249391874,649730,7583,968,381,392234,0 107,2,2024-09-07 09:14:21:306,474370,474369,1,0,22843794,0,5024 107,3,2024-09-07 09:14:21:764,1,376,8,0,353,5363,376,0 108,0,2024-09-07 09:14:21:795,92835,0.4,93514,0.6,185874,0.4,248367,1.75 108,1,2024-09-07 09:14:21:309,660832,660832,0,0,310764821849,3240637044,655272,4825,735,368,391857,0 108,2,2024-09-07 09:14:21:755,471091,471091,0,0,21145212,0,4246 108,3,2024-09-07 09:14:21:344,1,376,8,0,749,7367,376,0 109,0,2024-09-07 09:14:21:745,97543,0.4,96645,0.5,193408,0.3,258968,1.75 109,1,2024-09-07 09:14:20:585,658444,658444,0,0,309325659452,3241426124,653170,4607,667,383,392132,0 109,2,2024-09-07 09:14:20:921,475663,475663,0,0,20734150,0,3617 109,3,2024-09-07 09:14:21:144,1,376,1,0,379,4407,376,0 110,0,2024-09-07 09:14:21:782,92143,0.4,89650,0.6,187902,0.3,245835,1.75 110,1,2024-09-07 09:14:21:647,662034,662034,0,0,310825385804,3224170045,657654,3398,982,369,392045,0 110,2,2024-09-07 09:14:21:303,477601,477601,0,0,20528990,0,4067 110,3,2024-09-07 09:14:20:690,1,376,5,0,722,5576,376,0 111,0,2024-09-07 09:14:21:428,93046,0.4,92319,0.6,185223,0.4,246827,1.75 111,1,2024-09-07 09:14:21:004,662287,662287,0,0,310684376848,3222111087,658804,3130,353,382,391690,0 111,2,2024-09-07 09:14:21:117,475250,475250,0,0,19807142,0,4823 111,3,2024-09-07 09:14:20:914,1,376,17,0,379,4353,376,0 112,0,2024-09-07 09:14:20:919,93547,0.3,92943,0.4,186392,0.2,247267,1.50 112,1,2024-09-07 09:14:20:829,661894,661894,0,0,310886075117,3224134290,658027,3266,601,380,391624,0 112,2,2024-09-07 09:14:21:139,472187,472186,1,0,17947782,0,5036 112,3,2024-09-07 09:14:20:592,1,376,8,0,282,3819,376,0 113,0,2024-09-07 09:14:20:895,96214,0.3,96370,0.4,193022,0.2,257468,1.50 113,1,2024-09-07 09:14:21:692,664180,664180,0,0,312449852745,3222014991,660630,2966,584,366,391661,0 113,2,2024-09-07 09:14:21:313,478898,478898,0,0,16822937,0,3813 113,3,2024-09-07 09:14:20:686,1,376,1,0,340,4427,376,0 114,0,2024-09-07 09:14:20:889,93760,0.3,94449,0.5,187664,0.2,250554,1.75 114,1,2024-09-07 09:14:20:728,661893,661893,0,0,310208886702,3222137338,656427,3869,1597,381,391565,0 114,2,2024-09-07 09:14:20:876,478668,478667,1,0,18649977,0,5069 114,3,2024-09-07 09:14:21:285,1,376,1,0,395,3081,376,0 115,0,2024-09-07 09:14:20:561,94142,0.3,94746,0.4,189032,0.2,251112,1.50 115,1,2024-09-07 09:14:20:575,661595,661595,0,0,311041174479,3229772584,656317,4434,844,382,391757,0 115,2,2024-09-07 09:14:21:125,477679,477679,0,0,17298478,0,3848 115,3,2024-09-07 09:14:21:003,1,376,6,0,159,2241,376,0 116,0,2024-09-07 09:14:21:699,93341,0.7,93267,0.9,186996,0.8,250071,2.00 116,1,2024-09-07 09:14:20:810,658480,658480,0,0,309426773370,3260653248,650344,5819,2317,380,392089,0 116,2,2024-09-07 09:14:21:751,472364,472364,0,0,22593689,0,3529 116,3,2024-09-07 09:14:20:921,1,376,14,0,415,4517,376,0 117,0,2024-09-07 09:14:20:973,95857,0.8,95363,0.8,191006,0.9,256061,2.00 117,1,2024-09-07 09:14:21:577,659051,659051,0,0,309061127150,3234365133,652022,6184,845,369,392033,0 117,2,2024-09-07 09:14:21:122,477746,477746,0,0,19054891,0,3700 117,3,2024-09-07 09:14:21:060,1,376,1,0,490,5235,376,0 118,0,2024-09-07 09:14:21:791,92860,0.5,95170,0.7,194507,0.5,253772,2.00 118,1,2024-09-07 09:14:20:703,658927,658927,0,0,309097808189,3249974248,648861,7539,2527,366,391907,0 118,2,2024-09-07 09:14:21:585,476663,476663,0,0,21741914,0,2842 118,3,2024-09-07 09:14:21:784,1,376,4,0,248,4197,376,0 119,0,2024-09-07 09:14:21:416,94388,0.6,94859,0.7,189612,0.6,252096,2.00 119,1,2024-09-07 09:14:20:573,659983,659983,0,0,309067854231,3232374260,652896,6245,842,367,391780,0 119,2,2024-09-07 09:14:21:276,475731,475731,0,0,20137316,0,4174 119,3,2024-09-07 09:14:21:326,1,376,1,0,1058,6602,376,0 120,0,2024-09-07 09:14:21:556,92214,0.6,91962,0.8,184132,0.6,246441,2.25 120,1,2024-09-07 09:14:20:860,659603,659603,0,0,309456360960,3247808194,652456,6503,644,368,391961,0 120,2,2024-09-07 09:14:20:786,474572,474571,1,0,23536591,0,5281 120,3,2024-09-07 09:14:21:316,1,376,8,0,241,4531,376,0 121,0,2024-09-07 09:14:21:769,94318,1.1,94299,1.0,189099,1.4,251749,2.25 121,1,2024-09-07 09:14:21:655,660049,660049,0,0,309878463483,3241135996,653797,5807,445,367,391840,0 121,2,2024-09-07 09:14:21:125,471905,471905,0,0,22486976,0,4127 121,3,2024-09-07 09:14:20:732,1,376,8,0,269,4375,376,0 122,0,2024-09-07 09:14:21:800,95977,0.7,93701,0.8,196712,0.8,257771,2.00 122,1,2024-09-07 09:14:20:868,658775,658775,0,0,308471661917,3236681470,649706,7784,1285,366,392130,0 122,2,2024-09-07 09:14:21:318,476741,476668,73,0,25179702,0,5989 122,3,2024-09-07 09:14:20:595,1,376,26,0,512,7082,376,0 123,0,2024-09-07 09:14:21:008,92777,0.6,90773,0.7,189343,0.7,248375,2.00 123,1,2024-09-07 09:14:20:559,658969,658969,0,0,308615272607,3252780543,646845,9922,2202,369,392039,0 123,2,2024-09-07 09:14:21:022,475104,475103,1,0,21782404,0,5215 123,3,2024-09-07 09:14:21:132,1,376,2,0,168,4092,376,0 124,0,2024-09-07 09:14:20:949,95281,0.3,95213,0.5,179652,0.3,246628,1.75 124,1,2024-09-07 09:14:21:021,661489,661489,0,0,309769436184,3220667994,656657,3920,912,367,392178,0 124,2,2024-09-07 09:14:21:009,477149,477096,53,0,19395180,0,6487 124,3,2024-09-07 09:14:20:788,1,376,12,0,490,3738,376,0 125,0,2024-09-07 09:14:21:437,93017,0.4,92607,0.5,186222,0.3,248251,1.75 125,1,2024-09-07 09:14:20:874,660282,660282,0,0,309675145251,3231967601,655182,4453,647,382,391702,0 125,2,2024-09-07 09:14:21:116,472356,472356,0,0,19902148,0,4534 125,3,2024-09-07 09:14:21:130,1,376,104,0,709,4910,376,0 126,0,2024-09-07 09:14:21:448,97006,0.4,99848,0.6,191162,0.4,259170,1.75 126,1,2024-09-07 09:14:20:552,662068,662068,0,0,310929830981,3221051332,658210,3570,288,365,391987,0 126,2,2024-09-07 09:14:20:612,478002,478002,0,0,20468354,0,4539 126,3,2024-09-07 09:14:20:911,1,376,3,0,207,4746,376,0 127,0,2024-09-07 09:14:21:593,92240,0.3,92715,0.5,184969,0.3,245501,1.50 127,1,2024-09-07 09:14:20:570,661257,661257,0,0,310643553296,3230135967,654796,5382,1079,364,392187,0 127,2,2024-09-07 09:14:20:637,477322,477322,0,0,18848639,0,3897 127,3,2024-09-07 09:14:21:269,1,376,154,0,968,4385,376,0 128,0,2024-09-07 09:14:21:528,93648,0.3,93999,0.4,187640,0.2,248681,1.50 128,1,2024-09-07 09:14:21:608,661254,661254,0,0,310313911518,3220075292,657021,3861,372,367,391838,0 128,2,2024-09-07 09:14:21:382,476875,476875,0,0,17882178,0,2915 128,3,2024-09-07 09:14:20:767,1,376,9,0,1082,6100,376,0 129,0,2024-09-07 09:14:21:004,94021,0.3,93464,0.5,187539,0.3,248954,1.50 129,1,2024-09-07 09:14:20:575,658969,658969,0,0,309423701614,3237648461,653079,4633,1257,379,391835,0 129,2,2024-09-07 09:14:20:691,472874,472874,0,0,18793958,0,4031 129,3,2024-09-07 09:14:20:692,1,376,1,0,506,5206,376,0 130,0,2024-09-07 09:14:21:729,96433,0.5,95989,0.6,193397,0.4,257015,1.75 130,1,2024-09-07 09:14:20:584,661792,661792,0,0,310811229547,3230314618,658083,3372,337,381,391825,0 130,2,2024-09-07 09:14:21:128,478034,478034,0,0,19008869,0,4067 130,3,2024-09-07 09:14:21:292,1,376,27,0,535,4859,376,0 131,0,2024-09-07 09:14:21:934,94022,0.4,94538,0.5,190181,0.3,251536,1.75 131,1,2024-09-07 09:14:21:820,661141,661141,0,0,310185678705,3236022307,656344,4049,748,381,391865,0 131,2,2024-09-07 09:14:20:568,479797,479797,0,0,17265978,0,2415 131,3,2024-09-07 09:14:21:691,1,376,1,0,392,3762,376,0 132,0,2024-09-07 09:14:21:421,94822,0.4,95770,0.6,190395,0.4,253223,1.75 132,1,2024-09-07 09:14:20:583,658395,658395,0,0,309020194061,3251293864,649256,7610,1529,381,392097,0 132,2,2024-09-07 09:14:20:700,475207,475190,17,0,23841196,0,6451 132,3,2024-09-07 09:14:21:694,1,376,8,0,804,6719,376,0 133,0,2024-09-07 09:14:21:522,91245,0.4,93377,0.6,191655,0.4,249318,2.00 133,1,2024-09-07 09:14:20:585,657936,657936,0,0,308995040520,3253923413,649063,7729,1144,383,391914,0 133,2,2024-09-07 09:14:21:092,473442,473392,50,0,24639386,0,6861 133,3,2024-09-07 09:14:21:329,1,376,1,0,479,3922,376,0 134,0,2024-09-07 09:14:21:072,95641,0.5,95336,0.7,190778,0.4,254622,2.00 134,1,2024-09-07 09:14:20:584,659461,659461,0,0,308951475769,3240481791,650870,6708,1883,366,391718,0 134,2,2024-09-07 09:14:21:767,473113,473089,24,0,21721395,0,6207 134,3,2024-09-07 09:14:20:749,1,376,1,0,739,4762,376,0 135,0,2024-09-07 09:14:21:163,93374,0.8,93251,0.9,197848,0.9,255248,2.25 135,1,2024-09-07 09:14:21:595,659188,659188,0,0,309164058835,3252774821,650228,7683,1277,380,391805,0 135,2,2024-09-07 09:14:20:687,477911,477911,0,0,21942161,0,3981 135,3,2024-09-07 09:14:21:029,1,376,5,0,299,3094,376,0 136,0,2024-09-07 09:14:21:690,94257,0.5,94505,0.7,187867,0.5,250982,2.25 136,1,2024-09-07 09:14:21:457,659124,659124,0,0,309433918596,3247260744,651442,6842,840,382,391685,0 136,2,2024-09-07 09:14:21:132,477075,477075,0,0,21511919,0,3506 136,3,2024-09-07 09:14:21:120,1,376,9,0,637,4141,376,0 137,0,2024-09-07 09:14:21:004,95378,0.6,92769,0.7,182436,0.7,247624,2.00 137,1,2024-09-07 09:14:20:654,658636,658636,0,0,309418304297,3250795884,647582,8709,2345,366,391898,0 137,2,2024-09-07 09:14:21:711,474880,474880,0,0,23892364,0,3185 137,3,2024-09-07 09:14:20:772,1,376,1,0,484,4819,376,0 138,0,2024-09-07 09:14:21:749,92637,1.2,92686,1.0,186120,1.6,247282,2.25 138,1,2024-09-07 09:14:21:686,659685,659685,0,0,308798060545,3242944490,649887,8079,1719,368,391954,0 138,2,2024-09-07 09:14:20:585,471594,471594,0,0,21428423,0,4988 138,3,2024-09-07 09:14:20:611,1,376,1,0,1160,5085,376,0 139,0,2024-09-07 09:14:21:368,95901,1.5,96124,1.1,192269,2.2,256787,2.50 139,1,2024-09-07 09:14:20:580,656418,656418,0,0,307579611624,3272523209,642754,10396,3268,381,392058,0 139,2,2024-09-07 09:14:20:694,474158,474158,0,0,24365681,0,3097 139,3,2024-09-07 09:14:21:665,1,376,21,0,432,4641,376,0 140,0,2024-09-07 09:14:21:594,92502,0.3,92080,0.5,184886,0.2,245957,1.75 140,1,2024-09-07 09:14:21:557,663172,663172,0,0,311279166703,3210417538,659766,2967,439,365,391606,0 140,2,2024-09-07 09:14:20:687,477764,477763,1,0,19127717,0,5036 140,3,2024-09-07 09:14:20:771,1,376,10,0,297,3295,376,0 141,0,2024-09-07 09:14:21:698,92923,0.3,95372,0.5,182129,0.3,246821,1.75 141,1,2024-09-07 09:14:20:878,661793,661793,0,0,310618718206,3226348595,656813,4035,945,379,391614,0 141,2,2024-09-07 09:14:21:686,476577,476577,0,0,18704112,0,3360 141,3,2024-09-07 09:14:21:043,1,376,2,0,391,4311,376,0 142,0,2024-09-07 09:14:21:313,93570,0.3,92985,0.5,185742,0.3,247774,1.75 142,1,2024-09-07 09:14:20:677,660914,660914,0,0,309400623249,3224412420,656832,3729,353,382,392102,0 142,2,2024-09-07 09:14:21:339,471623,471591,32,0,19721204,0,6028 142,3,2024-09-07 09:14:21:746,1,376,31,0,484,4399,376,0 143,0,2024-09-07 09:14:21:389,96517,0.4,96357,0.5,193229,0.4,257513,1.75 143,1,2024-09-07 09:14:20:557,662310,662310,0,0,310522919556,3220250362,658413,3743,154,367,391705,0 143,2,2024-09-07 09:14:20:782,477729,477729,0,0,19760766,0,3123 143,3,2024-09-07 09:14:21:145,1,376,13,0,462,4953,376,0 144,0,2024-09-07 09:14:21:509,90541,0.6,92932,0.8,189673,0.5,248458,2.00 144,1,2024-09-07 09:14:20:565,658771,658771,0,0,308960215019,3238036887,653092,4471,1208,381,391649,0 144,2,2024-09-07 09:14:21:764,478827,478827,0,0,18445982,0,3673 144,3,2024-09-07 09:14:21:738,1,376,8,0,249,3730,376,0 145,0,2024-09-07 09:14:21:360,91044,0.6,91023,0.8,193061,0.5,248261,2.25 145,1,2024-09-07 09:14:20:555,658670,658670,0,0,309281119554,3248981940,651073,6454,1143,382,391759,0 145,2,2024-09-07 09:14:21:430,474370,474289,81,0,22721110,0,7814 145,3,2024-09-07 09:14:20:902,1,376,1,0,622,5600,376,0 146,0,2024-09-07 09:14:21:600,93713,0.5,93084,0.7,187605,0.5,248683,2.00 146,1,2024-09-07 09:14:21:585,659796,659796,0,0,309726008234,3254808856,649611,8174,2011,367,391770,0 146,2,2024-09-07 09:14:21:695,471827,471827,0,0,21394530,0,2730 146,3,2024-09-07 09:14:21:292,1,376,14,0,1520,7715,376,0 147,0,2024-09-07 09:14:21:705,95880,0.6,95581,0.7,190548,0.5,255521,2.00 147,1,2024-09-07 09:14:21:371,661474,661474,0,0,310791522616,3232038851,655637,5033,804,368,391791,0 147,2,2024-09-07 09:14:21:024,475462,475462,0,0,19043438,0,2789 147,3,2024-09-07 09:14:20:937,1,376,8,0,730,5321,376,0 0,0,2024-09-07 09:14:31:715,90297,0.6,90337,0.7,191609,0.7,247676,2.00 0,1,2024-09-07 09:14:30:803,662102,662102,0,0,311069029411,3251405596,657716,4139,247,369,391896,0 0,2,2024-09-07 09:14:31:069,477339,477339,0,0,18880070,0,4480 0,3,2024-09-07 09:14:30:979,1,377,2,0,431,5314,377,0 1,0,2024-09-07 09:14:31:774,94987,0.8,94343,0.9,189663,0.9,253216,2.00 1,1,2024-09-07 09:14:30:563,660941,660941,0,0,310290626332,3245855262,655210,4501,1230,370,391857,0 1,2,2024-09-07 09:14:30:650,473708,473708,0,0,18298834,0,3380 1,3,2024-09-07 09:14:31:306,1,377,1,0,262,4342,377,0 2,0,2024-09-07 09:14:31:581,96691,0.5,97091,0.7,193238,0.6,258089,2.00 2,1,2024-09-07 09:14:30:863,663289,663289,0,0,311172327795,3229712734,660207,2789,293,380,391745,0 2,2,2024-09-07 09:14:31:271,479128,479128,0,0,18119723,0,3594 2,3,2024-09-07 09:14:30:691,1,377,18,0,357,3789,377,0 3,0,2024-09-07 09:14:31:761,93544,0.4,93339,0.6,186728,0.4,248682,2.00 3,1,2024-09-07 09:14:31:619,661761,661761,0,0,310750449457,3234105585,656474,4663,624,380,391591,0 3,2,2024-09-07 09:14:31:146,478169,478146,23,0,18756253,0,5851 3,3,2024-09-07 09:14:31:753,1,377,0,0,207,2345,377,0 4,0,2024-09-07 09:14:31:786,89961,0.4,92374,0.5,188301,0.3,246117,1.75 4,1,2024-09-07 09:14:30:595,659725,659725,0,0,309600316011,3270401987,649240,8151,2334,370,391992,0 4,2,2024-09-07 09:14:31:032,475898,475898,0,0,21866430,0,4528 4,3,2024-09-07 09:14:31:033,1,377,22,0,448,5129,377,0 5,0,2024-09-07 09:14:31:424,93034,0.4,93527,0.6,186537,0.4,248227,1.75 5,1,2024-09-07 09:14:30:767,661175,661175,0,0,309725814634,3256793240,652805,6859,1511,367,392005,0 5,2,2024-09-07 09:14:31:833,471292,471292,0,0,20961924,0,3582 5,3,2024-09-07 09:14:31:742,1,377,91,0,457,5289,377,0 6,0,2024-09-07 09:14:30:918,97902,0.4,97377,0.6,194505,0.3,260145,1.75 6,1,2024-09-07 09:14:30:760,661333,661333,0,0,310144989265,3241541263,653868,6107,1358,379,391694,0 6,2,2024-09-07 09:14:31:116,478125,478107,18,0,21352509,0,5535 6,3,2024-09-07 09:14:31:279,1,377,0,0,340,3994,377,0 7,0,2024-09-07 09:14:31:535,91898,0.5,92454,0.6,184195,0.4,245001,2.00 7,1,2024-09-07 09:14:30:851,660596,660596,0,0,310092419406,3255999693,651370,7831,1395,382,391747,0 7,2,2024-09-07 09:14:30:777,479558,479558,0,0,20384438,0,4791 7,3,2024-09-07 09:14:30:851,1,377,1,0,398,4081,377,0 8,0,2024-09-07 09:14:31:334,93641,0.4,93348,0.5,187024,0.3,248992,1.75 8,1,2024-09-07 09:14:31:025,659555,659555,0,0,310145002982,3263607310,648616,8346,2593,366,392144,0 8,2,2024-09-07 09:14:30:802,473127,473127,0,0,24704512,0,3220 8,3,2024-09-07 09:14:30:592,1,377,18,0,538,6334,377,0 9,0,2024-09-07 09:14:31:146,93741,0.4,90941,0.5,190339,0.3,248938,1.75 9,1,2024-09-07 09:14:30:575,660227,660227,0,0,309467750259,3260861542,650598,7493,2136,369,392001,0 9,2,2024-09-07 09:14:31:091,472398,472398,0,0,21774834,0,3360 9,3,2024-09-07 09:14:31:752,1,377,2,0,496,5309,377,0 10,0,2024-09-07 09:14:31:609,96508,0.3,95912,0.5,192686,0.2,257040,1.75 10,1,2024-09-07 09:14:30:584,660609,660609,0,0,310545646286,3253596843,651686,7639,1284,381,391741,0 10,2,2024-09-07 09:14:30:766,477956,477956,0,0,23948690,0,4264 10,3,2024-09-07 09:14:30:880,1,377,9,0,362,3696,377,0 11,0,2024-09-07 09:14:31:010,94019,0.5,91295,0.6,190860,0.4,251680,1.75 11,1,2024-09-07 09:14:30:786,661696,661696,0,0,310172017150,3256902805,651140,8075,2481,383,391756,0 11,2,2024-09-07 09:14:31:133,478373,478373,0,0,21769306,0,4130 11,3,2024-09-07 09:14:31:301,1,377,1,0,843,5668,377,0 12,0,2024-09-07 09:14:30:960,95682,0.4,95768,0.5,191243,0.3,253673,1.75 12,1,2024-09-07 09:14:30:936,661779,661779,0,0,310694015522,3239136204,656556,4728,495,370,391870,0 12,2,2024-09-07 09:14:31:541,477647,477647,0,0,20748807,0,3469 12,3,2024-09-07 09:14:31:076,1,377,8,0,386,5887,377,0 13,0,2024-09-07 09:14:31:324,94500,0.4,94244,0.6,188273,0.4,250773,1.75 13,1,2024-09-07 09:14:31:669,660698,660698,0,0,310692589715,3264732084,654274,4962,1462,382,391740,0 13,2,2024-09-07 09:14:30:596,476340,476340,0,0,19086418,0,3287 13,3,2024-09-07 09:14:31:761,1,377,12,0,522,5970,377,0 14,0,2024-09-07 09:14:30:579,95778,0.4,96540,0.6,191298,0.3,255402,1.75 14,1,2024-09-07 09:14:31:579,665188,665188,0,0,312381683175,3234191755,660157,4671,360,364,391673,0 14,2,2024-09-07 09:14:30:766,475495,475465,30,0,20743121,0,6104 14,3,2024-09-07 09:14:31:116,1,377,3,0,1168,4355,377,0 15,0,2024-09-07 09:14:31:557,96346,0.4,96352,0.7,193056,0.4,256892,2.00 15,1,2024-09-07 09:14:31:609,662120,662120,0,0,310955171146,3235600834,657630,3665,825,381,391619,0 15,2,2024-09-07 09:14:30:999,479985,479985,0,0,17379519,0,3622 15,3,2024-09-07 09:14:31:408,1,377,0,0,1126,6749,377,0 16,0,2024-09-07 09:14:30:992,94180,0.5,94590,0.7,188867,0.5,251416,2.00 16,1,2024-09-07 09:14:30:566,662707,662707,0,0,310597968812,3242397600,657740,4579,388,370,391756,0 16,2,2024-09-07 09:14:31:433,477192,477192,0,0,19819229,0,4719 16,3,2024-09-07 09:14:31:145,1,377,11,0,317,4933,377,0 17,0,2024-09-07 09:14:31:839,95568,0.6,93190,0.8,182396,0.6,247705,2.00 17,1,2024-09-07 09:14:30:574,660973,660973,0,0,310252588544,3253035020,654474,5237,1262,368,392075,0 17,2,2024-09-07 09:14:31:670,479605,479605,0,0,19430588,0,2857 17,3,2024-09-07 09:14:30:582,1,377,8,0,298,5938,377,0 18,0,2024-09-07 09:14:30:947,92479,0.6,92963,0.8,185188,0.6,247528,2.25 18,1,2024-09-07 09:14:31:643,663661,663661,0,0,311117388703,3223405962,660039,3305,317,367,391649,0 18,2,2024-09-07 09:14:31:755,475894,475894,0,0,17603663,0,3541 18,3,2024-09-07 09:14:30:898,1,377,19,0,163,3084,377,0 19,0,2024-09-07 09:14:31:542,96940,0.6,97587,0.7,193350,0.6,257611,2.00 19,1,2024-09-07 09:14:30:567,663857,663857,0,0,311606630589,3231279885,658555,4463,839,367,391777,0 19,2,2024-09-07 09:14:31:752,480496,480496,0,0,16707808,0,3988 19,3,2024-09-07 09:14:31:136,1,377,0,0,524,2778,377,0 20,0,2024-09-07 09:14:31:375,92428,0.5,92374,0.6,184739,0.4,246639,2.00 20,1,2024-09-07 09:14:30:574,661559,661559,0,0,310970012512,3248994303,656281,4560,718,369,391886,0 20,2,2024-09-07 09:14:30:937,478304,478304,0,0,20395996,0,3721 20,3,2024-09-07 09:14:30:590,1,377,22,0,414,5757,377,0 21,0,2024-09-07 09:14:31:137,92832,0.5,92888,0.6,185540,0.5,246149,2.00 21,1,2024-09-07 09:14:31:542,659884,659884,0,0,309033836953,3255523730,650793,7103,1988,368,392016,0 21,2,2024-09-07 09:14:31:084,474875,474855,20,0,24537691,0,5617 21,3,2024-09-07 09:14:31:412,1,377,2,0,713,5006,377,0 22,0,2024-09-07 09:14:31:730,92778,0.5,93065,0.7,186434,0.5,246907,2.00 22,1,2024-09-07 09:14:31:025,661121,661121,0,0,309536227617,3250995452,651591,7515,2015,382,391667,0 22,2,2024-09-07 09:14:30:778,471503,471477,26,0,19380222,0,6328 22,3,2024-09-07 09:14:31:082,1,377,12,0,228,3208,377,0 23,0,2024-09-07 09:14:31:383,96531,0.5,96204,0.7,192806,0.5,257361,2.25 23,1,2024-09-07 09:14:31:003,662200,662200,0,0,310936992092,3264402446,650677,7972,3551,365,391690,0 23,2,2024-09-07 09:14:31:093,479600,479600,0,0,19013104,0,3010 23,3,2024-09-07 09:14:31:754,1,377,1,0,720,5312,377,0 24,0,2024-09-07 09:14:30:816,94160,0.4,93989,0.6,188507,0.4,250007,1.75 24,1,2024-09-07 09:14:30:583,661022,661022,0,0,310030992164,3251792373,653531,5910,1581,367,392269,0 24,2,2024-09-07 09:14:31:084,478093,478093,0,0,23543301,0,3607 24,3,2024-09-07 09:14:31:697,1,377,17,0,468,5283,377,0 25,0,2024-09-07 09:14:31:357,96719,0.4,94278,0.6,184855,0.4,251718,1.75 25,1,2024-09-07 09:14:30:565,660526,660526,0,0,309939099506,3260924872,650310,8311,1905,371,391928,0 25,2,2024-09-07 09:14:31:614,475940,475940,0,0,23414659,0,3978 25,3,2024-09-07 09:14:30:999,1,377,0,0,255,3716,377,0 26,0,2024-09-07 09:14:31:729,93633,0.4,91356,0.6,191702,0.3,249212,1.75 26,1,2024-09-07 09:14:31:550,662122,662122,0,0,309867365818,3246397365,652509,8067,1546,380,391748,0 26,2,2024-09-07 09:14:30:860,474212,474212,0,0,23280795,0,2809 26,3,2024-09-07 09:14:31:717,1,377,2,0,796,4737,377,0 27,0,2024-09-07 09:14:31:771,96403,0.4,96560,0.6,191522,0.4,256207,2.00 27,1,2024-09-07 09:14:31:678,663796,663796,0,0,311099542721,3239657219,657541,5432,823,381,391626,0 27,2,2024-09-07 09:14:30:867,474299,474234,65,0,22591452,0,5699 27,3,2024-09-07 09:14:31:022,1,377,0,0,564,3764,377,0 28,0,2024-09-07 09:14:31:388,95954,0.4,95533,0.6,191312,0.3,255207,2.00 28,1,2024-09-07 09:14:30:803,662060,662060,0,0,311698782276,3252216593,656104,4632,1324,383,391698,0 28,2,2024-09-07 09:14:31:771,479050,479050,0,0,20150951,0,2915 28,3,2024-09-07 09:14:31:776,1,377,77,0,502,4203,377,0 29,0,2024-09-07 09:14:31:380,97545,0.3,95066,0.6,186664,0.3,254149,1.75 29,1,2024-09-07 09:14:31:561,664374,664374,0,0,311868765904,3227845273,659919,3768,687,369,391753,0 29,2,2024-09-07 09:14:30:861,476173,476173,0,0,19235929,0,4986 29,3,2024-09-07 09:14:30:964,1,377,15,0,459,4571,377,0 30,0,2024-09-07 09:14:31:457,92566,0.5,90081,0.7,188495,0.4,246709,2.00 30,1,2024-09-07 09:14:30:576,664277,664277,0,0,311811999050,3237263238,659060,4491,726,381,391672,0 30,2,2024-09-07 09:14:31:277,476772,476772,0,0,18040045,0,3161 30,3,2024-09-07 09:14:30:581,1,377,9,0,519,3796,377,0 31,0,2024-09-07 09:14:31:784,94542,0.4,94960,0.6,189731,0.4,253276,2.00 31,1,2024-09-07 09:14:30:572,666323,666323,0,0,313071356822,3209812740,663562,2175,586,356,391712,0 31,2,2024-09-07 09:14:31:277,474372,474372,0,0,19838194,0,3525 31,3,2024-09-07 09:14:31:707,1,377,2,0,220,3224,377,0 32,0,2024-09-07 09:14:31:427,96939,0.3,97437,0.5,194257,0.2,259235,1.50 32,1,2024-09-07 09:14:30:804,663304,663304,0,0,311328138461,3232766858,659599,3224,481,381,391646,0 32,2,2024-09-07 09:14:30:934,479597,479597,0,0,17146130,0,3155 32,3,2024-09-07 09:14:31:025,1,377,7,0,227,2636,377,0 33,0,2024-09-07 09:14:31:501,93679,0.3,93246,0.4,187098,0.2,249207,1.50 33,1,2024-09-07 09:14:30:588,664485,664485,0,0,311769376491,3228634102,659470,4095,920,369,391730,0 33,2,2024-09-07 09:14:30:794,478842,478807,35,0,19760533,0,7012 33,3,2024-09-07 09:14:30:916,1,377,11,0,329,3769,377,0 34,0,2024-09-07 09:14:30:933,92869,0.3,95454,0.4,182678,0.2,245477,1.75 34,1,2024-09-07 09:14:31:055,665849,665849,0,0,312508435532,3211564498,664378,1462,9,367,391562,0 34,2,2024-09-07 09:14:30:766,477926,477926,0,0,18737852,0,3577 34,3,2024-09-07 09:14:31:688,1,377,12,0,299,2596,377,0 35,0,2024-09-07 09:14:30:881,92436,0.3,92799,0.5,186624,0.3,248764,1.75 35,1,2024-09-07 09:14:31:085,663821,663821,0,0,311399103825,3224752104,660075,2964,782,382,391769,0 35,2,2024-09-07 09:14:31:594,473231,473231,0,0,18653095,0,2653 35,3,2024-09-07 09:14:30:912,1,377,0,0,418,4394,377,0 36,0,2024-09-07 09:14:31:518,97870,0.4,97710,0.6,195354,0.4,260048,2.00 36,1,2024-09-07 09:14:30:597,662753,662753,0,0,310186327710,3236363169,654925,6143,1685,366,391759,0 36,2,2024-09-07 09:14:31:758,479100,479100,0,0,21157837,0,3875 36,3,2024-09-07 09:14:30:864,1,377,8,0,416,5792,377,0 37,0,2024-09-07 09:14:31:378,92053,0.5,91975,0.6,184137,0.4,245412,2.00 37,1,2024-09-07 09:14:30:574,662584,662577,0,7,310198228070,3238873609,655148,5269,2160,365,391570,0 37,2,2024-09-07 09:14:31:147,477689,477674,15,0,21246643,0,5815 37,3,2024-09-07 09:14:31:769,1,377,4,0,888,5819,377,0 38,0,2024-09-07 09:14:31:436,92880,0.5,90230,0.7,188771,0.4,246755,2.00 38,1,2024-09-07 09:14:31:605,663631,663631,0,0,311297767989,3245972180,655451,6895,1285,368,391821,0 38,2,2024-09-07 09:14:30:766,476858,476811,47,0,20958653,0,6710 38,3,2024-09-07 09:14:30:997,1,377,1,0,689,5049,377,0 39,0,2024-09-07 09:14:31:760,95269,0.6,93313,0.7,181687,0.5,247776,2.00 39,1,2024-09-07 09:14:30:719,662236,662236,0,0,310292869852,3249168994,652210,8052,1974,365,391658,0 39,2,2024-09-07 09:14:31:417,473262,473262,0,0,19119605,0,2689 39,3,2024-09-07 09:14:30:727,1,377,1,0,324,4565,377,0 40,0,2024-09-07 09:14:31:495,95419,0.8,96231,1.0,191904,0.9,256240,2.75 40,1,2024-09-07 09:14:30:576,662626,662626,0,0,309599380292,3240704577,653423,7589,1614,368,391668,0 40,2,2024-09-07 09:14:31:307,476966,476965,1,0,23109787,0,5137 40,3,2024-09-07 09:14:31:146,1,377,2,0,1028,5252,377,0 41,0,2024-09-07 09:14:31:031,93557,1.6,95768,1.3,182865,2.6,248385,3.25 41,1,2024-09-07 09:14:30:774,661332,661332,0,0,310120063090,3244013083,653288,7276,768,369,391742,0 41,2,2024-09-07 09:14:30:767,477388,477388,0,0,22177677,0,4277 41,3,2024-09-07 09:14:31:677,1,377,5,0,366,3928,377,0 42,0,2024-09-07 09:14:31:475,94270,0.9,94254,1.0,188718,1.0,249519,2.75 42,1,2024-09-07 09:14:31:439,660404,660404,0,0,309513650297,3254086297,649791,8706,1907,380,391675,0 42,2,2024-09-07 09:14:31:137,476077,476077,0,0,22615140,0,3790 42,3,2024-09-07 09:14:31:012,1,377,0,0,446,3187,377,0 43,0,2024-09-07 09:14:30:917,92653,0.8,90263,1.0,189246,0.9,248159,2.50 43,1,2024-09-07 09:14:30:582,662048,662048,0,0,311584663111,3257659208,652449,7869,1730,366,391696,0 43,2,2024-09-07 09:14:31:739,474840,474840,0,0,22105067,0,3812 43,3,2024-09-07 09:14:31:750,1,377,9,0,467,4492,377,0 44,0,2024-09-07 09:14:30:864,95727,0.4,96105,0.6,191996,0.4,255425,2.00 44,1,2024-09-07 09:14:30:563,663677,663677,0,0,311362366674,3219875049,657879,4579,1219,356,391809,0 44,2,2024-09-07 09:14:31:271,474910,474910,0,0,18434023,0,4344 44,3,2024-09-07 09:14:31:094,1,377,13,0,817,4707,377,0 45,0,2024-09-07 09:14:31:760,95420,0.5,93173,0.8,195287,0.5,257411,2.00 45,1,2024-09-07 09:14:31:010,663148,663148,0,0,311127015093,3233252490,658151,4480,517,382,391917,0 45,2,2024-09-07 09:14:31:271,479575,479575,0,0,18740601,0,3596 45,3,2024-09-07 09:14:30:934,1,377,6,0,271,3489,377,0 46,0,2024-09-07 09:14:30:949,93626,0.5,93699,0.7,187514,0.5,249066,2.00 46,1,2024-09-07 09:14:30:575,664526,664526,0,0,311967257917,3222648375,660299,3687,540,366,391572,0 46,2,2024-09-07 09:14:30:596,477953,477953,0,0,18000524,0,2920 46,3,2024-09-07 09:14:31:131,1,377,1,0,908,5166,377,0 47,0,2024-09-07 09:14:31:104,93039,0.5,93350,0.6,187000,0.4,247127,2.00 47,1,2024-09-07 09:14:30:567,665453,665453,0,0,310919917194,3214277759,660939,3699,815,366,391641,0 47,2,2024-09-07 09:14:30:910,478779,478779,0,0,18412517,0,4477 47,3,2024-09-07 09:14:31:119,1,377,181,0,529,4162,377,0 48,0,2024-09-07 09:14:31:494,94047,0.3,93696,0.4,186771,0.2,249749,1.50 48,1,2024-09-07 09:14:31:022,663704,663704,0,0,311707976840,3236506958,659610,3641,453,384,391710,0 48,2,2024-09-07 09:14:30:699,473336,473336,0,0,16505374,0,3031 48,3,2024-09-07 09:14:30:758,1,377,5,0,339,3162,377,0 49,0,2024-09-07 09:14:31:748,99755,0.3,98089,0.5,189877,0.2,260313,1.75 49,1,2024-09-07 09:14:31:022,663067,663067,0,0,311233360947,3238330620,658396,3466,1205,382,391809,0 49,2,2024-09-07 09:14:31:796,479560,479560,0,0,18546469,0,4426 49,3,2024-09-07 09:14:31:417,1,377,6,0,992,4676,377,0 50,0,2024-09-07 09:14:31:512,92967,0.3,91485,0.4,184687,0.2,246367,1.75 50,1,2024-09-07 09:14:31:011,665258,665258,0,0,312127423752,3225208917,661110,3761,387,368,391565,0 50,2,2024-09-07 09:14:31:071,478868,478868,0,0,17029534,0,2263 50,3,2024-09-07 09:14:31:297,1,377,567,0,567,4042,377,0 51,0,2024-09-07 09:14:31:686,95228,0.3,93098,0.5,181520,0.2,247211,1.75 51,1,2024-09-07 09:14:31:684,665233,665233,0,0,312820392585,3224695891,661926,2330,977,365,391706,0 51,2,2024-09-07 09:14:31:316,477826,477826,0,0,16707780,0,3337 51,3,2024-09-07 09:14:31:034,1,377,6,0,678,2950,377,0 52,0,2024-09-07 09:14:31:434,93256,0.5,93173,0.7,186377,0.4,247884,2.00 52,1,2024-09-07 09:14:30:579,662588,662588,0,0,310451725587,3248200088,653490,7784,1314,368,391722,0 52,2,2024-09-07 09:14:31:757,469713,469675,38,0,21686164,0,6742 52,3,2024-09-07 09:14:30:674,1,377,1,0,1782,5553,377,0 53,0,2024-09-07 09:14:31:735,96050,0.6,93407,0.8,195560,0.6,257014,2.25 53,1,2024-09-07 09:14:30:771,661296,661296,0,0,310758669392,3258146585,651041,7548,2707,367,391702,0 53,2,2024-09-07 09:14:31:298,478869,478868,1,0,19587909,0,5455 53,3,2024-09-07 09:14:30:698,1,377,5,0,308,3312,377,0 54,0,2024-09-07 09:14:31:614,92129,0.6,92452,0.8,184102,0.4,245895,2.25 54,1,2024-09-07 09:14:30:583,662820,662820,0,0,311240752933,3231673625,656883,5318,619,366,391659,0 54,2,2024-09-07 09:14:30:865,479535,479503,32,0,22379571,0,6397 54,3,2024-09-07 09:14:30:765,1,377,1,0,676,5498,377,0 55,0,2024-09-07 09:14:31:762,91041,0.6,94156,0.7,190191,0.5,247646,2.50 55,1,2024-09-07 09:14:30:767,663112,663112,0,0,310735969407,3229220510,656374,5829,909,365,391731,0 55,2,2024-09-07 09:14:30:738,476079,476023,56,0,21663595,0,7239 55,3,2024-09-07 09:14:30:675,1,377,0,0,304,4071,377,0 56,0,2024-09-07 09:14:31:553,95789,1.1,90361,1.1,186192,1.4,249074,2.50 56,1,2024-09-07 09:14:30:590,659387,659387,0,0,309570483214,3269582776,649207,7987,2193,381,391867,0 56,2,2024-09-07 09:14:31:308,473661,473661,0,0,21386237,0,3567 56,3,2024-09-07 09:14:31:064,1,377,48,0,705,4740,377,0 57,0,2024-09-07 09:14:30:955,94898,1.6,94774,1.3,189862,2.2,254235,3.00 57,1,2024-09-07 09:14:30:991,661472,661472,0,0,310502530379,3255072495,653558,6901,1013,366,392032,0 57,2,2024-09-07 09:14:31:318,477247,477247,0,0,23691263,0,3317 57,3,2024-09-07 09:14:31:738,1,377,0,0,359,4195,377,0 58,0,2024-09-07 09:14:30:557,94247,0.9,91492,1.0,191511,1.1,250775,2.50 58,1,2024-09-07 09:14:30:574,662148,662145,0,3,311077594270,3253872522,652866,8022,1257,367,391603,3 58,2,2024-09-07 09:14:31:070,477981,477981,0,0,21999683,0,2857 58,3,2024-09-07 09:14:31:070,1,377,1,0,1043,4188,377,0 59,0,2024-09-07 09:14:31:742,94706,0.8,94321,0.9,188406,0.8,250579,2.75 59,1,2024-09-07 09:14:30:804,661266,661266,0,0,310568504092,3253059264,652237,7439,1590,369,391586,0 59,2,2024-09-07 09:14:30:585,477391,477391,0,0,21574841,0,2867 59,3,2024-09-07 09:14:31:738,1,377,10,0,1015,4842,377,0 60,0,2024-09-07 09:14:31:738,92893,0.5,92864,0.7,186497,0.5,247732,1.75 60,1,2024-09-07 09:14:30:772,663805,663805,0,0,311106233869,3228919254,659520,3636,649,370,392031,0 60,2,2024-09-07 09:14:31:147,476591,476591,0,0,20406359,0,3811 60,3,2024-09-07 09:14:31:258,1,377,8,0,409,4385,377,0 61,0,2024-09-07 09:14:31:513,94530,0.7,95268,0.8,189508,0.7,252986,2.00 61,1,2024-09-07 09:14:30:770,662106,662106,0,0,310439236723,3252918732,654586,6170,1350,382,392127,0 61,2,2024-09-07 09:14:31:116,474266,474199,67,0,20738786,0,6411 61,3,2024-09-07 09:14:31:695,1,377,26,0,479,5380,377,0 62,0,2024-09-07 09:14:31:711,97547,0.5,99808,0.6,190389,0.4,258712,1.75 62,1,2024-09-07 09:14:31:113,666581,666575,0,6,312759442078,3226602768,662803,3512,260,365,391715,6 62,2,2024-09-07 09:14:31:655,477531,477530,1,0,20499491,0,5555 62,3,2024-09-07 09:14:31:157,1,377,1,0,465,2789,377,0 63,0,2024-09-07 09:14:31:452,93786,0.4,93581,0.6,187703,0.3,249519,1.75 63,1,2024-09-07 09:14:30:804,664223,664217,0,6,310654036948,3223779697,660219,3610,388,381,391800,6 63,2,2024-09-07 09:14:30:766,478326,478326,0,0,18715545,0,4369 63,3,2024-09-07 09:14:31:732,1,377,0,0,667,4057,377,0 64,0,2024-09-07 09:14:31:520,92054,0.5,92084,0.7,184246,0.5,244523,2.00 64,1,2024-09-07 09:14:30:770,663508,663508,0,0,310779808959,3236312202,657663,4168,1677,370,391783,0 64,2,2024-09-07 09:14:31:151,480437,480418,19,0,18411478,0,6121 64,3,2024-09-07 09:14:31:157,1,377,6,0,265,3402,377,0 65,0,2024-09-07 09:14:31:699,92191,0.6,92522,0.7,184842,0.6,246709,2.00 65,1,2024-09-07 09:14:30:867,661744,661744,0,0,310213769894,3241840951,656903,4302,539,382,391901,0 65,2,2024-09-07 09:14:31:696,473696,473696,0,0,20484182,0,3367 65,3,2024-09-07 09:14:31:689,1,377,5,0,347,3904,377,0 66,0,2024-09-07 09:14:31:778,97211,0.5,97198,0.7,194292,0.4,258757,2.00 66,1,2024-09-07 09:14:31:293,663949,663949,0,0,311239288355,3236032721,659984,3598,367,380,391653,0 66,2,2024-09-07 09:14:31:132,480566,480566,0,0,18493661,0,4956 66,3,2024-09-07 09:14:31:106,1,377,1,0,291,3473,377,0 67,0,2024-09-07 09:14:31:417,92637,0.5,92299,0.6,185063,0.4,246103,2.00 67,1,2024-09-07 09:14:30:766,663952,663951,0,1,311004596227,3236540215,659486,3681,784,380,391787,1 67,2,2024-09-07 09:14:30:584,480583,480583,0,0,17884190,0,2889 67,3,2024-09-07 09:14:31:750,1,377,1,0,338,3317,377,0 68,0,2024-09-07 09:14:30:591,93802,0.6,93444,0.7,186359,0.5,248789,2.00 68,1,2024-09-07 09:14:30:573,661173,661173,0,0,309810645682,3249932209,654290,4810,2073,381,391953,0 68,2,2024-09-07 09:14:31:052,475204,475104,100,0,24069566,0,8578 68,3,2024-09-07 09:14:30:739,1,377,12,0,417,3918,377,0 69,0,2024-09-07 09:14:31:747,92939,0.8,93515,0.8,186104,0.9,246915,2.25 69,1,2024-09-07 09:14:31:022,660246,660246,0,0,309668421641,3265624347,651289,6769,2188,384,391994,0 69,2,2024-09-07 09:14:31:742,472835,472806,29,0,23932984,0,6912 69,3,2024-09-07 09:14:30:767,1,377,1,0,698,5241,377,0 70,0,2024-09-07 09:14:31:543,95494,0.9,95522,1.0,192181,0.8,255100,2.50 70,1,2024-09-07 09:14:30:802,663595,663595,0,0,311889579628,3235542227,658317,4620,658,366,391725,0 70,2,2024-09-07 09:14:31:335,477607,477607,0,0,20964780,0,4044 70,3,2024-09-07 09:14:30:753,1,377,2,0,854,4300,377,0 71,0,2024-09-07 09:14:31:366,93322,0.9,93055,1.0,186804,1.1,249855,2.75 71,1,2024-09-07 09:14:31:596,662564,662564,0,0,311246194429,3246847327,655059,6757,748,368,391738,0 71,2,2024-09-07 09:14:31:085,478741,478741,0,0,21814155,0,4352 71,3,2024-09-07 09:14:31:753,1,377,4,0,644,4920,377,0 72,0,2024-09-07 09:14:31:034,98067,0.6,95869,0.7,186944,0.5,253956,2.00 72,1,2024-09-07 09:14:31:022,661464,661464,0,0,310475712280,3256172357,651657,7847,1960,369,391819,0 72,2,2024-09-07 09:14:31:754,476513,476513,0,0,23832564,0,3983 72,3,2024-09-07 09:14:31:762,1,377,13,0,564,5773,377,0 73,0,2024-09-07 09:14:31:115,91492,0.4,93835,0.6,191868,0.4,249506,2.00 73,1,2024-09-07 09:14:30:768,662845,662845,0,0,311013671745,3231651941,658170,4311,364,367,391858,0 73,2,2024-09-07 09:14:31:739,474567,474567,0,0,23077386,0,3701 73,3,2024-09-07 09:14:30:972,1,377,0,0,486,5305,377,0 74,0,2024-09-07 09:14:31:351,96282,0.5,98530,0.6,187943,0.4,255394,2.25 74,1,2024-09-07 09:14:30:634,662362,662362,0,0,310483576478,3242401238,655379,5614,1369,381,391681,0 74,2,2024-09-07 09:14:31:002,474829,474829,0,0,21633991,0,4253 74,3,2024-09-07 09:14:31:444,1,377,0,0,522,5324,377,0 75,0,2024-09-07 09:14:31:781,96938,0.5,96378,0.8,193594,0.5,258490,2.25 75,1,2024-09-07 09:14:31:592,662012,662012,0,0,310605500708,3243031987,655328,5858,826,380,391739,0 75,2,2024-09-07 09:14:31:354,477870,477870,0,0,23043421,0,4766 75,3,2024-09-07 09:14:31:070,1,377,0,0,702,5120,377,0 76,0,2024-09-07 09:14:30:591,93887,0.6,93289,0.7,187160,0.5,250430,2.25 76,1,2024-09-07 09:14:30:806,662736,662736,0,0,310454270276,3236877506,658423,3704,609,382,391692,0 76,2,2024-09-07 09:14:31:065,479250,479249,1,0,20920428,0,5144 76,3,2024-09-07 09:14:31:146,1,377,10,0,175,3506,377,0 77,0,2024-09-07 09:14:31:729,92747,0.6,92941,0.7,186016,0.6,246973,2.00 77,1,2024-09-07 09:14:30:824,662524,662524,0,0,310537709277,3243738830,656945,5083,496,381,391869,0 77,2,2024-09-07 09:14:31:289,477385,477385,0,0,20498684,0,3890 77,3,2024-09-07 09:14:31:094,1,377,1,0,401,4090,377,0 78,0,2024-09-07 09:14:31:719,93844,0.4,93366,0.6,187542,0.4,249039,2.00 78,1,2024-09-07 09:14:30:616,663312,663312,0,0,310824033455,3237522432,656278,5468,1566,367,391670,0 78,2,2024-09-07 09:14:31:412,474253,474253,0,0,17645135,0,3855 78,3,2024-09-07 09:14:31:135,1,377,0,0,181,3548,377,0 79,0,2024-09-07 09:14:31:372,94221,0.4,96482,0.6,197717,0.3,257196,2.25 79,1,2024-09-07 09:14:30:573,664907,664907,0,0,311042188100,3219884013,660065,4143,699,368,391682,0 79,2,2024-09-07 09:14:31:089,479868,479868,0,0,18675313,0,4195 79,3,2024-09-07 09:14:30:750,1,377,1,0,418,4764,377,0 80,0,2024-09-07 09:14:31:082,92454,0.5,95144,0.6,182184,0.5,246012,2.00 80,1,2024-09-07 09:14:31:619,663028,663028,0,0,311057967136,3234672480,659035,3790,203,368,391791,0 80,2,2024-09-07 09:14:31:097,480351,480351,0,0,18328672,0,4433 80,3,2024-09-07 09:14:30:575,1,377,8,0,190,4524,377,0 81,0,2024-09-07 09:14:31:552,92832,0.5,95061,0.7,181453,0.5,245991,2.00 81,1,2024-09-07 09:14:31:672,662508,662508,0,0,309979597860,3238763911,657162,4843,503,382,391879,0 81,2,2024-09-07 09:14:31:132,476506,476443,63,0,20095946,0,5932 81,3,2024-09-07 09:14:31:125,1,377,719,0,719,4849,377,0 82,0,2024-09-07 09:14:31:542,92563,0.5,93077,0.7,186521,0.4,247516,2.00 82,1,2024-09-07 09:14:30:583,664266,664262,0,4,311225035612,3236573119,660175,3289,798,381,391768,4 82,2,2024-09-07 09:14:31:691,474474,474474,0,0,16779558,0,3986 82,3,2024-09-07 09:14:31:754,1,377,119,0,363,3708,377,0 83,0,2024-09-07 09:14:31:564,97005,0.5,96707,0.7,193220,0.5,256992,2.00 83,1,2024-09-07 09:14:30:552,662025,662025,0,0,310697734959,3237478062,657463,4180,382,382,391709,0 83,2,2024-09-07 09:14:30:765,478577,478577,0,0,17663656,0,3393 83,3,2024-09-07 09:14:30:759,1,377,1,0,1260,5003,377,0 84,0,2024-09-07 09:14:31:826,92971,0.7,92873,0.9,185431,0.6,248319,2.25 84,1,2024-09-07 09:14:31:065,661656,661656,0,0,310610693898,3246058613,654592,6032,1032,367,391967,0 84,2,2024-09-07 09:14:30:576,478501,478471,30,0,24293798,0,5971 84,3,2024-09-07 09:14:31:146,1,377,1,0,908,5581,377,0 85,0,2024-09-07 09:14:31:012,90784,0.6,90815,0.8,192695,0.6,248910,2.25 85,1,2024-09-07 09:14:30:567,660005,660005,0,0,310374222684,3275898144,650011,8177,1817,381,392006,0 85,2,2024-09-07 09:14:30:873,476368,476368,0,0,22402818,0,3656 85,3,2024-09-07 09:14:30:689,1,377,17,0,789,4969,377,0 86,0,2024-09-07 09:14:30:889,93614,0.6,96345,0.8,184305,0.7,248801,2.25 86,1,2024-09-07 09:14:30:828,662022,662022,0,0,310899870355,3251840500,654869,6084,1069,366,391961,0 86,2,2024-09-07 09:14:30:862,472427,472426,1,0,23324925,0,5004 86,3,2024-09-07 09:14:30:587,1,377,3,0,308,5644,377,0 87,0,2024-09-07 09:14:31:301,95878,0.9,95771,0.9,191835,1.2,256632,2.25 87,1,2024-09-07 09:14:30:551,661187,661187,0,0,310289240018,3247041106,653566,6500,1121,366,392076,0 87,2,2024-09-07 09:14:31:069,475512,475506,6,0,21660792,0,6323 87,3,2024-09-07 09:14:31:794,1,377,1,0,473,5674,377,0 88,0,2024-09-07 09:14:31:440,95604,0.4,96294,0.6,191658,0.4,254923,1.75 88,1,2024-09-07 09:14:30:590,659933,659933,0,0,309949761523,3247353772,651460,6592,1881,365,392084,0 88,2,2024-09-07 09:14:30:691,479409,479409,0,0,23596614,0,3583 88,3,2024-09-07 09:14:31:271,1,377,3,0,435,3959,377,0 89,0,2024-09-07 09:14:31:767,97742,0.5,94739,0.6,187305,0.4,254314,1.75 89,1,2024-09-07 09:14:30:554,660550,660550,0,0,309770174267,3257001398,652344,6846,1360,382,391866,0 89,2,2024-09-07 09:14:31:133,475834,475834,0,0,22694953,0,3173 89,3,2024-09-07 09:14:31:791,1,377,93,0,385,6773,377,0 90,0,2024-09-07 09:14:31:680,90142,0.5,92622,0.6,188881,0.4,246775,2.00 90,1,2024-09-07 09:14:30:592,661951,661951,0,0,310689556536,3254576267,655533,5912,506,380,391825,0 90,2,2024-09-07 09:14:31:409,474591,474591,0,0,23939265,0,3060 90,3,2024-09-07 09:14:30:931,1,377,5,0,322,4888,377,0 91,0,2024-09-07 09:14:30:931,95318,0.5,92253,0.6,192912,0.4,253440,1.75 91,1,2024-09-07 09:14:30:558,660424,660424,0,0,310499268417,3265213342,651985,7251,1188,381,392047,0 91,2,2024-09-07 09:14:31:349,474845,474845,0,0,21285656,0,2896 91,3,2024-09-07 09:14:30:605,1,377,1,0,216,3436,377,0 92,0,2024-09-07 09:14:31:442,97681,0.4,100160,0.6,191245,0.4,259216,1.75 92,1,2024-09-07 09:14:30:590,661780,661780,0,0,310453713398,3243043964,656504,4557,719,382,392136,0 92,2,2024-09-07 09:14:31:359,479827,479827,0,0,19157680,0,3259 92,3,2024-09-07 09:14:31:021,1,377,95,0,167,3311,377,0 93,0,2024-09-07 09:14:30:969,94142,0.4,96486,0.5,184081,0.3,249690,1.75 93,1,2024-09-07 09:14:30:822,661877,661877,0,0,310352057206,3241216829,654797,5855,1225,366,391776,0 93,2,2024-09-07 09:14:30:935,477651,477651,0,0,22690726,0,4845 93,3,2024-09-07 09:14:31:407,1,377,1,0,190,3491,377,0 94,0,2024-09-07 09:14:31:866,92183,0.4,93075,0.5,185965,0.3,246207,1.75 94,1,2024-09-07 09:14:30:566,662381,662381,0,0,310619414716,3239468234,658287,3903,191,381,391850,0 94,2,2024-09-07 09:14:30:768,477113,477113,0,0,19429449,0,2443 94,3,2024-09-07 09:14:31:774,1,377,108,0,264,4587,377,0 95,0,2024-09-07 09:14:31:345,92972,0.4,92960,0.5,186386,0.3,248501,1.75 95,1,2024-09-07 09:14:30:858,663885,663885,0,0,311145383453,3234533620,658481,4996,408,367,391713,0 95,2,2024-09-07 09:14:31:022,473212,473212,0,0,18655740,0,3308 95,3,2024-09-07 09:14:31:715,1,377,23,0,718,5601,377,0 96,0,2024-09-07 09:14:31:105,97626,0.3,97849,0.5,195521,0.3,259381,1.75 96,1,2024-09-07 09:14:31:587,661972,661972,0,0,310501747983,3238308988,657187,3983,802,384,391955,0 96,2,2024-09-07 09:14:31:278,479568,479568,0,0,19127913,0,4042 96,3,2024-09-07 09:14:31:146,1,377,17,0,411,4051,377,0 97,0,2024-09-07 09:14:31:340,92565,0.3,92257,0.5,185302,0.3,245842,1.50 97,1,2024-09-07 09:14:30:770,663523,663523,0,0,311064479906,3224858982,659159,3670,694,367,392140,0 97,2,2024-09-07 09:14:30:678,480241,480241,0,0,18980646,0,3679 97,3,2024-09-07 09:14:30:577,1,377,8,0,214,4278,377,0 98,0,2024-09-07 09:14:31:713,93330,0.3,93339,0.4,187427,0.2,248605,1.50 98,1,2024-09-07 09:14:30:584,663002,663002,0,0,311188933253,3235456627,659980,2902,120,382,391997,0 98,2,2024-09-07 09:14:30:771,477535,477535,0,0,18426126,0,3080 98,3,2024-09-07 09:14:30:701,1,377,2,0,840,5692,377,0 99,0,2024-09-07 09:14:31:491,93432,0.3,94053,0.5,186877,0.3,249063,1.75 99,1,2024-09-07 09:14:31:723,662989,662989,0,0,310839521770,3234326478,658581,3636,772,381,392069,0 99,2,2024-09-07 09:14:31:418,474187,474187,0,0,21176020,0,3424 99,3,2024-09-07 09:14:30:589,1,377,8,0,606,4111,377,0 100,0,2024-09-07 09:14:31:480,96120,0.7,96273,0.9,191765,0.9,256873,2.50 100,1,2024-09-07 09:14:30:575,659774,659774,0,0,309775977026,3271637240,650164,7715,1895,381,391989,0 100,2,2024-09-07 09:14:31:818,476308,476297,11,0,21939494,0,5417 100,3,2024-09-07 09:14:31:732,1,377,15,0,559,6265,377,0 101,0,2024-09-07 09:14:31:735,96206,1.1,93587,1.0,183925,0.9,251723,2.25 101,1,2024-09-07 09:14:30:552,659706,659706,0,0,309739021104,3259672518,649770,7820,2116,368,391847,0 101,2,2024-09-07 09:14:31:756,476266,476266,0,0,25994118,0,4871 101,3,2024-09-07 09:14:30:974,1,377,1,0,579,5016,377,0 102,0,2024-09-07 09:14:31:003,92485,0.7,95494,0.8,193293,0.6,252741,2.00 102,1,2024-09-07 09:14:31:175,660763,660763,0,0,310072666299,3255610731,652466,7038,1259,369,391891,0 102,2,2024-09-07 09:14:31:739,477331,477277,54,0,21142102,0,6768 102,3,2024-09-07 09:14:31:618,1,377,2,0,410,3828,377,0 103,0,2024-09-07 09:14:31:603,96877,0.6,96839,0.7,182602,0.6,251335,2.00 103,1,2024-09-07 09:14:31:640,659422,659422,0,0,310209859342,3271395044,649305,7530,2587,381,391829,0 103,2,2024-09-07 09:14:30:585,473728,473728,0,0,22300129,0,3766 103,3,2024-09-07 09:14:30:759,1,377,10,0,916,4343,377,0 104,0,2024-09-07 09:14:31:031,94847,0.7,95188,0.9,189018,0.7,254659,2.25 104,1,2024-09-07 09:14:31:603,661527,661527,0,0,309383510587,3251307703,651966,7787,1774,365,392168,0 104,2,2024-09-07 09:14:31:672,474248,474248,0,0,22895412,0,3941 104,3,2024-09-07 09:14:31:419,1,377,8,0,1245,7827,377,0 105,0,2024-09-07 09:14:31:044,95469,0.9,92897,1.1,195010,1.1,256758,2.75 105,1,2024-09-07 09:14:30:572,662271,662271,0,0,310816414895,3258262337,653619,7304,1348,366,392009,0 105,2,2024-09-07 09:14:31:323,478103,478103,0,0,22520186,0,3509 105,3,2024-09-07 09:14:31:312,1,377,0,0,399,5766,377,0 106,0,2024-09-07 09:14:30:949,90992,0.8,93132,0.9,190890,0.8,249842,2.50 106,1,2024-09-07 09:14:31:758,660788,660788,0,0,310082798740,3260540301,650120,9226,1442,369,391914,0 106,2,2024-09-07 09:14:30:760,476246,476246,0,0,22087389,0,2795 106,3,2024-09-07 09:14:30:682,1,377,2,0,470,4743,377,0 107,0,2024-09-07 09:14:31:128,92593,1.1,92706,1.0,185288,1.4,246880,2.25 107,1,2024-09-07 09:14:30:601,660030,660030,0,0,309220293135,3256850267,651479,7583,968,381,392234,0 107,2,2024-09-07 09:14:31:298,475366,475365,1,0,22905930,0,5024 107,3,2024-09-07 09:14:31:755,1,377,12,0,353,5375,377,0 108,0,2024-09-07 09:14:31:766,93183,0.4,93842,0.6,186559,0.4,249420,1.75 108,1,2024-09-07 09:14:31:299,662562,662562,0,0,311500352560,3248334338,657000,4826,736,368,391857,0 108,2,2024-09-07 09:14:31:755,472549,472549,0,0,21260427,0,4246 108,3,2024-09-07 09:14:31:331,1,377,9,0,749,7376,377,0 109,0,2024-09-07 09:14:31:750,97860,0.4,96994,0.5,194101,0.3,259682,1.75 109,1,2024-09-07 09:14:30:591,660028,660028,0,0,310115717040,3251466571,654309,4940,779,383,392132,0 109,2,2024-09-07 09:14:30:944,476948,476948,0,0,20837521,0,3617 109,3,2024-09-07 09:14:31:158,1,377,9,0,379,4416,377,0 110,0,2024-09-07 09:14:31:756,92397,0.4,89886,0.6,188428,0.3,246757,1.75 110,1,2024-09-07 09:14:31:643,663951,663951,0,0,311491227816,3231543631,659537,3432,982,369,392045,0 110,2,2024-09-07 09:14:31:308,478719,478719,0,0,20575936,0,4067 110,3,2024-09-07 09:14:30:691,1,377,24,0,722,5600,377,0 111,0,2024-09-07 09:14:31:416,93180,0.4,92440,0.6,185495,0.4,247335,1.75 111,1,2024-09-07 09:14:31:000,664130,664130,0,0,311555914615,3231424903,660616,3161,353,380,391690,0 111,2,2024-09-07 09:14:31:118,476537,476537,0,0,20009166,0,4823 111,3,2024-09-07 09:14:30:916,1,377,4,0,379,4357,377,0 112,0,2024-09-07 09:14:30:919,93772,0.3,93152,0.4,186850,0.2,248174,1.50 112,1,2024-09-07 09:14:30:831,663630,663630,0,0,311871474994,3235364936,659524,3495,611,380,391624,0 112,2,2024-09-07 09:14:31:133,473451,473450,1,0,17995823,0,5036 112,3,2024-09-07 09:14:30:600,1,377,4,0,282,3823,377,0 113,0,2024-09-07 09:14:30:897,96714,0.3,96818,0.4,193966,0.2,258642,1.50 113,1,2024-09-07 09:14:31:685,665992,665992,0,0,313230743501,3230251869,662442,2966,584,366,391661,0 113,2,2024-09-07 09:14:31:304,480083,480083,0,0,16949424,0,3813 113,3,2024-09-07 09:14:30:684,1,377,1,0,340,4428,377,0 114,0,2024-09-07 09:14:30:876,93891,0.3,94563,0.5,187898,0.2,250886,1.75 114,1,2024-09-07 09:14:30:716,663567,663567,0,0,311279961001,3233165533,658101,3869,1597,381,391565,0 114,2,2024-09-07 09:14:30:873,480076,480075,1,0,18746871,0,5069 114,3,2024-09-07 09:14:31:277,1,377,8,0,395,3089,377,0 115,0,2024-09-07 09:14:30:557,94257,0.3,94844,0.4,189258,0.2,251426,1.50 115,1,2024-09-07 09:14:30:576,663366,663366,0,0,311856255683,3238628734,658033,4489,844,382,391757,0 115,2,2024-09-07 09:14:31:125,479057,479057,0,0,17402918,0,3848 115,3,2024-09-07 09:14:31:001,1,377,6,0,159,2247,377,0 116,0,2024-09-07 09:14:31:702,93442,0.7,93370,0.9,187223,0.8,250079,2.00 116,1,2024-09-07 09:14:30:962,660295,660295,0,0,310171298910,3268369000,652158,5820,2317,380,392089,0 116,2,2024-09-07 09:14:31:751,473175,473175,0,0,22612009,0,3529 116,3,2024-09-07 09:14:30:912,1,377,1,0,415,4518,377,0 117,0,2024-09-07 09:14:31:073,96296,0.8,95748,0.8,191843,0.9,257034,2.00 117,1,2024-09-07 09:14:31:580,660830,660830,0,0,309811177023,3242083684,653800,6185,845,369,392033,0 117,2,2024-09-07 09:14:31:127,479154,479154,0,0,19103476,0,3700 117,3,2024-09-07 09:14:31:064,1,377,11,0,490,5246,377,0 118,0,2024-09-07 09:14:31:797,92963,0.5,95286,0.7,194732,0.5,254022,2.00 118,1,2024-09-07 09:14:30:600,660652,660652,0,0,310080974142,3259988643,650586,7539,2527,366,391907,0 118,2,2024-09-07 09:14:31:587,478175,478175,0,0,21818330,0,2842 118,3,2024-09-07 09:14:31:769,1,377,5,0,248,4202,377,0 119,0,2024-09-07 09:14:31:382,94654,0.6,95108,0.7,190123,0.6,252678,2.00 119,1,2024-09-07 09:14:30:551,661788,661788,0,0,309949941229,3241528812,654701,6245,842,367,391780,0 119,2,2024-09-07 09:14:31:262,477119,477119,0,0,20205036,0,4174 119,3,2024-09-07 09:14:31:332,1,377,8,0,1058,6610,377,0 120,0,2024-09-07 09:14:31:572,92421,0.6,92236,0.8,184679,0.6,247294,2.25 120,1,2024-09-07 09:14:30:859,661345,661345,0,0,310023025483,3253817723,654197,6503,645,368,391961,0 120,2,2024-09-07 09:14:30:770,475391,475390,1,0,23574791,0,5281 120,3,2024-09-07 09:14:31:291,1,377,12,0,241,4543,377,0 121,0,2024-09-07 09:14:31:685,94698,1.1,94648,1.0,189897,1.5,252931,2.25 121,1,2024-09-07 09:14:31:664,661896,661896,0,0,310871595165,3251365688,655642,5809,445,367,391840,0 121,2,2024-09-07 09:14:31:158,473381,473381,0,0,22573953,0,4127 121,3,2024-09-07 09:14:30:733,1,377,1,0,269,4376,377,0 122,0,2024-09-07 09:14:31:810,96362,0.7,94075,0.8,197452,0.8,258707,2.00 122,1,2024-09-07 09:14:30:865,660560,660560,0,0,309300919453,3245369416,651491,7784,1285,366,392130,0 122,2,2024-09-07 09:14:31:323,478119,478046,73,0,25284769,0,5989 122,3,2024-09-07 09:14:30:596,1,377,50,0,512,7132,377,0 123,0,2024-09-07 09:14:30:998,93074,0.6,91064,0.8,190011,0.6,249180,2.00 123,1,2024-09-07 09:14:30:573,660631,660631,0,0,309318862834,3260187192,648507,9922,2202,369,392039,0 123,2,2024-09-07 09:14:31:024,476285,476284,1,0,21841376,0,5215 123,3,2024-09-07 09:14:31:147,1,377,2,0,168,4094,377,0 124,0,2024-09-07 09:14:30:922,95473,0.3,95409,0.5,179967,0.3,247031,1.75 124,1,2024-09-07 09:14:31:022,663190,663190,0,0,310740704143,3230901841,658355,3923,912,367,392178,0 124,2,2024-09-07 09:14:31:011,478260,478207,53,0,19488052,0,6487 124,3,2024-09-07 09:14:30:758,1,377,14,0,490,3752,377,0 125,0,2024-09-07 09:14:31:419,93307,0.4,92917,0.5,186791,0.3,248898,1.75 125,1,2024-09-07 09:14:30:856,662085,662085,0,0,310402448263,3240149713,656911,4525,649,382,391702,0 125,2,2024-09-07 09:14:31:116,473781,473781,0,0,20051110,0,4534 125,3,2024-09-07 09:14:31:132,1,377,3,0,709,4913,377,0 126,0,2024-09-07 09:14:31:450,97384,0.4,100285,0.6,191946,0.4,260149,1.75 126,1,2024-09-07 09:14:30:551,663687,663687,0,0,311846573995,3230459313,659828,3571,288,365,391987,0 126,2,2024-09-07 09:14:30:623,479406,479406,0,0,20562820,0,4539 126,3,2024-09-07 09:14:30:968,1,377,14,0,207,4760,377,0 127,0,2024-09-07 09:14:31:610,92376,0.3,92868,0.5,185255,0.3,245959,1.50 127,1,2024-09-07 09:14:30:586,662975,662975,0,0,311122245119,3235797706,656440,5454,1081,364,392187,0 127,2,2024-09-07 09:14:30:642,478578,478578,0,0,18950575,0,3897 127,3,2024-09-07 09:14:31:274,1,377,5,0,968,4390,377,0 128,0,2024-09-07 09:14:31:525,93749,0.3,94113,0.4,187853,0.2,248934,1.50 128,1,2024-09-07 09:14:31:607,662931,662931,0,0,310898266251,3226947268,658591,3967,373,367,391838,0 128,2,2024-09-07 09:14:31:382,478190,478190,0,0,17960741,0,2915 128,3,2024-09-07 09:14:30:767,1,377,71,0,1082,6171,377,0 129,0,2024-09-07 09:14:30:996,94066,0.3,93513,0.5,187653,0.3,249287,1.50 129,1,2024-09-07 09:14:30:566,660673,660673,0,0,310197905355,3246036417,654766,4650,1257,379,391835,0 129,2,2024-09-07 09:14:30:686,473915,473915,0,0,18841606,0,4031 129,3,2024-09-07 09:14:30:689,1,377,1,0,506,5207,377,0 130,0,2024-09-07 09:14:31:722,96841,0.5,96395,0.6,194254,0.4,258305,1.75 130,1,2024-09-07 09:14:30:596,663608,663608,0,0,311714193246,3239707360,659898,3373,337,381,391825,0 130,2,2024-09-07 09:14:31:137,479324,479324,0,0,19093191,0,4067 130,3,2024-09-07 09:14:31:299,1,377,17,0,535,4876,377,0 131,0,2024-09-07 09:14:31:952,94113,0.4,94639,0.5,190389,0.3,251819,1.75 131,1,2024-09-07 09:14:31:820,663053,663053,0,0,310902620943,3243836302,658235,4070,748,381,391865,0 131,2,2024-09-07 09:14:30:577,481271,481271,0,0,17392287,0,2415 131,3,2024-09-07 09:14:31:689,1,377,2,0,392,3764,377,0 132,0,2024-09-07 09:14:31:416,94981,0.4,95952,0.6,190720,0.4,253502,1.75 132,1,2024-09-07 09:14:30:599,660119,660119,0,0,309807291569,3259367316,650979,7611,1529,381,392097,0 132,2,2024-09-07 09:14:30:700,476781,476764,17,0,23889498,0,6451 132,3,2024-09-07 09:14:31:688,1,377,53,0,804,6772,377,0 133,0,2024-09-07 09:14:31:540,91500,0.4,93645,0.6,192192,0.4,249966,2.00 133,1,2024-09-07 09:14:30:586,659915,659915,0,0,309854596485,3263973150,650773,7985,1157,383,391914,0 133,2,2024-09-07 09:14:31:088,474132,474082,50,0,24658435,0,6861 133,3,2024-09-07 09:14:31:302,1,377,1,0,479,3923,377,0 134,0,2024-09-07 09:14:30:964,95949,0.5,95665,0.7,191393,0.5,255933,2.00 134,1,2024-09-07 09:14:30:590,661331,661331,0,0,309780915894,3249194472,652739,6709,1883,366,391718,0 134,2,2024-09-07 09:14:31:771,474562,474538,24,0,21756377,0,6207 134,3,2024-09-07 09:14:30:760,1,377,7,0,739,4769,377,0 135,0,2024-09-07 09:14:31:111,93746,0.8,93618,0.9,198668,1.0,256204,2.25 135,1,2024-09-07 09:14:31:597,661200,661200,0,0,310043760991,3262284616,652182,7741,1277,380,391805,0 135,2,2024-09-07 09:14:30:691,479620,479620,0,0,22048522,0,3981 135,3,2024-09-07 09:14:31:001,1,377,86,0,299,3180,377,0 136,0,2024-09-07 09:14:31:621,94579,0.5,94817,0.7,188487,0.5,251788,2.25 136,1,2024-09-07 09:14:31:442,660824,660824,0,0,310190647750,3255230460,653142,6842,840,382,391685,0 136,2,2024-09-07 09:14:31:138,478330,478330,0,0,21571227,0,3506 136,3,2024-09-07 09:14:31:106,1,377,9,0,637,4150,377,0 137,0,2024-09-07 09:14:30:935,95572,0.6,92949,0.7,182809,0.7,248205,2.00 137,1,2024-09-07 09:14:30:598,660410,660410,0,0,310235114121,3259417253,649343,8722,2345,366,391898,0 137,2,2024-09-07 09:14:31:707,475883,475883,0,0,23935918,0,3185 137,3,2024-09-07 09:14:30:775,1,377,5,0,484,4824,377,0 138,0,2024-09-07 09:14:31:771,92971,1.2,93020,1.0,186797,1.6,248546,2.25 138,1,2024-09-07 09:14:31:689,661448,661448,0,0,309474206695,3250037838,651649,8080,1719,368,391954,0 138,2,2024-09-07 09:14:30:592,473269,473269,0,0,21511355,0,4988 138,3,2024-09-07 09:14:30:626,1,377,21,0,1160,5106,377,0 139,0,2024-09-07 09:14:31:439,96261,1.5,96436,1.1,192909,2.2,257597,2.50 139,1,2024-09-07 09:14:30:598,658127,658127,0,0,308498101738,3282167553,644463,10396,3268,381,392058,0 139,2,2024-09-07 09:14:30:701,475379,475379,0,0,24441094,0,3097 139,3,2024-09-07 09:14:31:663,1,377,1,0,432,4642,377,0 140,0,2024-09-07 09:14:31:600,92776,0.3,92319,0.5,185414,0.2,246877,1.75 140,1,2024-09-07 09:14:31:536,664928,664928,0,0,312042722485,3218436460,661522,2967,439,365,391606,0 140,2,2024-09-07 09:14:30:690,478907,478906,1,0,19268400,0,5036 140,3,2024-09-07 09:14:30:767,1,377,1,0,297,3296,377,0 141,0,2024-09-07 09:14:31:717,93060,0.3,95521,0.5,182410,0.3,247284,1.75 141,1,2024-09-07 09:14:30:861,663523,663523,0,0,311431860447,3234806524,658542,4036,945,379,391614,0 141,2,2024-09-07 09:14:31:686,477845,477845,0,0,18884070,0,3360 141,3,2024-09-07 09:14:31:045,1,377,0,0,391,4311,377,0 142,0,2024-09-07 09:14:31:328,93767,0.3,93196,0.5,186187,0.3,248713,1.75 142,1,2024-09-07 09:14:30:585,662705,662705,0,0,310566052769,3236409136,658623,3729,353,382,392102,0 142,2,2024-09-07 09:14:31:300,472911,472879,32,0,19782297,0,6028 142,3,2024-09-07 09:14:31:749,1,377,5,0,484,4404,377,0 143,0,2024-09-07 09:14:31:370,97031,0.4,96844,0.5,194215,0.4,258686,1.75 143,1,2024-09-07 09:14:30:556,663902,663902,0,0,311387861552,3229370875,660001,3747,154,367,391705,0 143,2,2024-09-07 09:14:30:782,478975,478975,0,0,19843367,0,3123 143,3,2024-09-07 09:14:31:156,1,377,45,0,462,4998,377,0 144,0,2024-09-07 09:14:31:510,90654,0.6,93050,0.8,189896,0.5,248768,2.00 144,1,2024-09-07 09:14:30:571,660545,660545,0,0,309668615379,3245596571,654866,4471,1208,381,391649,0 144,2,2024-09-07 09:14:31:759,480325,480325,0,0,18513293,0,3673 144,3,2024-09-07 09:14:31:739,1,377,2,0,249,3732,377,0 145,0,2024-09-07 09:14:31:363,91147,0.6,91127,0.8,193284,0.5,248599,2.25 145,1,2024-09-07 09:14:30:557,660584,660584,0,0,310086979361,3258149749,652814,6627,1143,382,391759,0 145,2,2024-09-07 09:14:31:429,475757,475676,81,0,22761160,0,7814 145,3,2024-09-07 09:14:30:898,1,377,1,0,622,5601,377,0 146,0,2024-09-07 09:14:31:633,93818,0.5,93190,0.7,187834,0.5,248689,2.00 146,1,2024-09-07 09:14:31:587,661575,661575,0,0,310512599311,3262920288,651390,8174,2011,367,391770,0 146,2,2024-09-07 09:14:31:702,472689,472689,0,0,21419134,0,2730 146,3,2024-09-07 09:14:31:275,1,377,4,0,1520,7719,377,0 147,0,2024-09-07 09:14:31:708,96240,0.6,95948,0.7,191301,0.5,256515,2.00 147,1,2024-09-07 09:14:31:379,663330,663330,0,0,311608903431,3241914994,657240,5284,806,368,391791,0 147,2,2024-09-07 09:14:31:062,476843,476843,0,0,19141643,0,2789 147,3,2024-09-07 09:14:30:916,1,377,0,0,730,5321,377,0 0,0,2024-09-07 09:14:41:727,90593,0.6,90613,0.7,192250,0.7,248509,2.00 0,1,2024-09-07 09:14:40:813,663775,663775,0,0,311766833582,3260211773,659078,4329,368,369,391896,0 0,2,2024-09-07 09:14:41:067,477989,477989,0,0,18912810,0,4480 0,3,2024-09-07 09:14:40:987,1,378,7,0,431,5321,378,0 1,0,2024-09-07 09:14:41:768,95257,0.8,94644,0.9,190256,0.9,254021,2.00 1,1,2024-09-07 09:14:40:570,662980,662980,0,0,311346866430,3257404499,657148,4596,1236,370,391857,0 1,2,2024-09-07 09:14:40:654,475176,475176,0,0,18396886,0,3380 1,3,2024-09-07 09:14:41:309,1,378,1,0,262,4343,378,0 2,0,2024-09-07 09:14:41:580,97082,0.6,97502,0.7,193801,0.6,259117,2.00 2,1,2024-09-07 09:14:40:870,665186,665186,0,0,311939799143,3237869520,662078,2815,293,380,391745,0 2,2,2024-09-07 09:14:41:290,480496,480496,0,0,18171656,0,3594 2,3,2024-09-07 09:14:40:694,1,378,0,0,357,3789,378,0 3,0,2024-09-07 09:14:41:747,93882,0.4,93633,0.6,187333,0.4,249745,2.00 3,1,2024-09-07 09:14:41:632,663668,663668,0,0,311375972533,3241033555,658324,4720,624,380,391591,0 3,2,2024-09-07 09:14:41:142,479280,479257,23,0,18799809,0,5851 3,3,2024-09-07 09:14:41:752,1,378,38,0,207,2383,378,0 4,0,2024-09-07 09:14:41:792,90102,0.4,92555,0.5,188629,0.3,246525,1.75 4,1,2024-09-07 09:14:40:595,661572,661572,0,0,310311331340,3277703189,651085,8153,2334,370,391992,0 4,2,2024-09-07 09:14:41:025,476955,476955,0,0,21880352,0,4528 4,3,2024-09-07 09:14:41:043,1,378,8,0,448,5137,378,0 5,0,2024-09-07 09:14:41:393,93299,0.4,93766,0.6,187035,0.4,248857,1.75 5,1,2024-09-07 09:14:40:762,662899,662899,0,0,310646522334,3266236865,654527,6861,1511,367,392005,0 5,2,2024-09-07 09:14:41:838,472930,472930,0,0,20987285,0,3582 5,3,2024-09-07 09:14:41:738,1,378,1,0,457,5290,378,0 6,0,2024-09-07 09:14:40:928,98264,0.4,97755,0.6,195264,0.3,261161,1.75 6,1,2024-09-07 09:14:40:751,663037,663037,0,0,310731765641,3247552068,655571,6108,1358,379,391694,0 6,2,2024-09-07 09:14:41:118,479441,479423,18,0,21375267,0,5535 6,3,2024-09-07 09:14:41:278,1,378,1,0,340,3995,378,0 7,0,2024-09-07 09:14:41:543,92058,0.5,92600,0.6,184525,0.4,245458,2.00 7,1,2024-09-07 09:14:40:878,662377,662377,0,0,310991270630,3265140681,653151,7831,1395,382,391747,0 7,2,2024-09-07 09:14:40:772,480696,480696,0,0,20396001,0,4791 7,3,2024-09-07 09:14:40:854,1,378,2,0,398,4083,378,0 8,0,2024-09-07 09:14:41:364,93741,0.4,93457,0.5,187226,0.3,249242,1.75 8,1,2024-09-07 09:14:41:016,661335,661335,0,0,311018130834,3272522340,650396,8346,2593,366,392144,0 8,2,2024-09-07 09:14:40:797,474412,474412,0,0,24733462,0,3220 8,3,2024-09-07 09:14:40:590,1,378,34,0,538,6368,378,0 9,0,2024-09-07 09:14:41:148,93835,0.4,91041,0.5,190534,0.3,249253,1.75 9,1,2024-09-07 09:14:40:588,661967,661967,0,0,310533417360,3271729450,652337,7494,2136,369,392001,0 9,2,2024-09-07 09:14:41:084,473620,473620,0,0,21806202,0,3360 9,3,2024-09-07 09:14:41:764,1,378,2,0,496,5311,378,0 10,0,2024-09-07 09:14:41:603,96994,0.3,96376,0.5,193653,0.2,258370,1.75 10,1,2024-09-07 09:14:40:588,662473,662473,0,0,311216310996,3260572515,653547,7641,1285,381,391741,0 10,2,2024-09-07 09:14:40:767,479175,479175,0,0,23994789,0,4264 10,3,2024-09-07 09:14:40:896,1,378,1,0,362,3697,378,0 11,0,2024-09-07 09:14:41:011,94147,0.5,91401,0.6,191064,0.4,251977,1.75 11,1,2024-09-07 09:14:40:574,663441,663441,0,0,311072837246,3266138005,652883,8076,2482,383,391756,0 11,2,2024-09-07 09:14:41:124,479846,479846,0,0,21801011,0,4130 11,3,2024-09-07 09:14:41:308,1,378,0,0,843,5668,378,0 12,0,2024-09-07 09:14:40:969,95794,0.4,95891,0.5,191518,0.3,253934,1.75 12,1,2024-09-07 09:14:40:933,663618,663618,0,0,311510177530,3247667607,658395,4728,495,370,391870,0 12,2,2024-09-07 09:14:41:544,479088,479088,0,0,20811201,0,3469 12,3,2024-09-07 09:14:41:059,1,378,1,0,386,5888,378,0 13,0,2024-09-07 09:14:41:357,94706,0.4,94484,0.6,188722,0.4,251284,1.75 13,1,2024-09-07 09:14:41:542,662436,662436,0,0,311530805733,3273443128,656012,4962,1462,382,391740,0 13,2,2024-09-07 09:14:40:601,476985,476985,0,0,19112739,0,3287 13,3,2024-09-07 09:14:41:763,1,378,6,0,522,5976,378,0 14,0,2024-09-07 09:14:40:583,96221,0.4,96940,0.6,192070,0.3,256643,1.75 14,1,2024-09-07 09:14:41:562,666970,666970,0,0,313331659199,3244263244,661897,4713,360,364,391673,0 14,2,2024-09-07 09:14:40:767,477017,476987,30,0,20999675,0,6104 14,3,2024-09-07 09:14:41:115,1,378,1,0,1168,4356,378,0 15,0,2024-09-07 09:14:41:559,96507,0.5,96528,0.7,193380,0.4,257172,2.00 15,1,2024-09-07 09:14:41:611,664026,664026,0,0,311888967994,3245557517,659499,3702,825,381,391619,0 15,2,2024-09-07 09:14:41:006,481444,481444,0,0,17469634,0,3622 15,3,2024-09-07 09:14:41:408,1,378,7,0,1126,6756,378,0 16,0,2024-09-07 09:14:40:957,94465,0.5,94883,0.7,189450,0.5,252189,2.00 16,1,2024-09-07 09:14:40:580,664456,664456,0,0,311202121557,3248743623,659489,4579,388,370,391756,0 16,2,2024-09-07 09:14:41:434,478415,478415,0,0,19858402,0,4719 16,3,2024-09-07 09:14:41:141,1,378,8,0,317,4941,378,0 17,0,2024-09-07 09:14:41:794,95797,0.6,93383,0.8,182832,0.6,248309,2.00 17,1,2024-09-07 09:14:40:589,662835,662835,0,0,310985168840,3260829630,656328,5245,1262,368,392075,0 17,2,2024-09-07 09:14:41:666,480529,480529,0,0,19458391,0,2857 17,3,2024-09-07 09:14:40:578,1,378,9,0,298,5947,378,0 18,0,2024-09-07 09:14:40:941,92929,0.6,93381,0.8,186039,0.6,248777,2.25 18,1,2024-09-07 09:14:41:640,665415,665415,0,0,311829952251,3230857582,661792,3306,317,367,391649,0 18,2,2024-09-07 09:14:41:755,477599,477599,0,0,17720535,0,3541 18,3,2024-09-07 09:14:40:896,1,378,1,0,163,3085,378,0 19,0,2024-09-07 09:14:41:574,97240,0.6,97873,0.7,193952,0.6,258295,2.00 19,1,2024-09-07 09:14:40:589,665592,665592,0,0,312436175475,3239764345,660290,4463,839,367,391777,0 19,2,2024-09-07 09:14:41:752,481833,481833,0,0,16760539,0,3988 19,3,2024-09-07 09:14:41:136,1,378,1,0,524,2779,378,0 20,0,2024-09-07 09:14:41:389,92749,0.5,92681,0.6,185342,0.4,247579,2.00 20,1,2024-09-07 09:14:40:579,663280,663280,0,0,311679618539,3256303529,658001,4561,718,369,391886,0 20,2,2024-09-07 09:14:40:953,479559,479559,0,0,20414809,0,3721 20,3,2024-09-07 09:14:40:592,1,378,1,0,414,5758,378,0 21,0,2024-09-07 09:14:41:139,93013,0.5,93044,0.6,185860,0.5,246601,2.00 21,1,2024-09-07 09:14:41:546,661567,661567,0,0,309880526592,3264238281,652473,7104,1990,368,392016,0 21,2,2024-09-07 09:14:41:067,476231,476211,20,0,24560581,0,5617 21,3,2024-09-07 09:14:41:404,1,378,1,0,713,5007,378,0 22,0,2024-09-07 09:14:41:725,93083,0.5,93367,0.7,187013,0.5,247816,2.00 22,1,2024-09-07 09:14:41:029,662920,662920,0,0,310452388184,3260402947,653390,7515,2015,382,391667,0 22,2,2024-09-07 09:14:40:767,472969,472943,26,0,19410345,0,6328 22,3,2024-09-07 09:14:41:067,1,378,0,0,228,3208,378,0 23,0,2024-09-07 09:14:41:392,96971,0.5,96649,0.7,193616,0.5,258548,2.25 23,1,2024-09-07 09:14:41:006,664067,664067,0,0,311751877406,3272840587,652544,7972,3551,365,391690,0 23,2,2024-09-07 09:14:41:094,480803,480803,0,0,19029683,0,3010 23,3,2024-09-07 09:14:41:754,1,378,1,0,720,5313,378,0 24,0,2024-09-07 09:14:40:875,94279,0.4,94098,0.6,188765,0.4,250321,1.75 24,1,2024-09-07 09:14:40:602,662763,662763,0,0,310826714078,3259958708,655271,5911,1581,367,392269,0 24,2,2024-09-07 09:14:41:070,479497,479497,0,0,23593700,0,3607 24,3,2024-09-07 09:14:41:686,1,378,8,0,468,5291,378,0 25,0,2024-09-07 09:14:41:365,96849,0.4,94397,0.6,185105,0.4,252062,1.75 25,1,2024-09-07 09:14:40:567,662373,662373,0,0,310813456196,3269985039,652157,8311,1905,371,391928,0 25,2,2024-09-07 09:14:41:611,477312,477312,0,0,23441617,0,3978 25,3,2024-09-07 09:14:41:005,1,378,6,0,255,3722,378,0 26,0,2024-09-07 09:14:41:724,93673,0.4,91386,0.6,191780,0.3,249212,1.75 26,1,2024-09-07 09:14:41:541,663879,663879,0,0,310753843952,3255413496,654266,8067,1546,380,391748,0 26,2,2024-09-07 09:14:40:871,475212,475212,0,0,23351973,0,2809 26,3,2024-09-07 09:14:41:714,1,378,11,0,796,4748,378,0 27,0,2024-09-07 09:14:41:732,96798,0.4,96882,0.6,192261,0.4,257129,2.00 27,1,2024-09-07 09:14:41:678,665496,665496,0,0,311794395368,3246774072,659240,5433,823,381,391626,0 27,2,2024-09-07 09:14:40:876,475651,475586,65,0,22647330,0,5699 27,3,2024-09-07 09:14:41:020,1,378,4,0,564,3768,378,0 28,0,2024-09-07 09:14:41:404,96063,0.4,95622,0.6,191477,0.3,255454,2.00 28,1,2024-09-07 09:14:40:803,664179,664179,0,0,312524758454,3262792308,657810,4780,1589,383,391698,0 28,2,2024-09-07 09:14:41:764,480491,480491,0,0,20262865,0,2915 28,3,2024-09-07 09:14:41:776,1,378,7,0,502,4210,378,0 29,0,2024-09-07 09:14:41:358,97796,0.3,95290,0.6,187142,0.3,254727,1.75 29,1,2024-09-07 09:14:41:565,666113,666113,0,0,312701926446,3236386307,661658,3768,687,369,391753,0 29,2,2024-09-07 09:14:40:866,477649,477649,0,0,19292773,0,4986 29,3,2024-09-07 09:14:40:967,1,378,2,0,459,4573,378,0 30,0,2024-09-07 09:14:41:460,92861,0.5,90387,0.7,189131,0.4,247608,2.00 30,1,2024-09-07 09:14:40:577,666021,666021,0,0,312596594104,3245745734,660765,4530,726,381,391672,0 30,2,2024-09-07 09:14:41:274,477490,477490,0,0,18074083,0,3161 30,3,2024-09-07 09:14:40:588,1,378,1,0,519,3797,378,0 31,0,2024-09-07 09:14:41:759,94855,0.5,95274,0.6,190317,0.4,253978,2.00 31,1,2024-09-07 09:14:40:570,668045,668045,0,0,313590588144,3215856657,665145,2314,586,356,391712,0 31,2,2024-09-07 09:14:41:275,475895,475895,0,0,19937607,0,3525 31,3,2024-09-07 09:14:41:706,1,378,9,0,220,3233,378,0 32,0,2024-09-07 09:14:41:428,97429,0.3,97973,0.5,195331,0.2,260845,1.75 32,1,2024-09-07 09:14:40:820,665106,665106,0,0,312159527422,3241528299,661401,3224,481,381,391646,0 32,2,2024-09-07 09:14:40:938,481144,481144,0,0,17190258,0,3155 32,3,2024-09-07 09:14:41:024,1,378,15,0,227,2651,378,0 33,0,2024-09-07 09:14:41:493,94006,0.3,93573,0.4,187740,0.2,250040,1.50 33,1,2024-09-07 09:14:40:582,666209,666209,0,0,312761514977,3238879183,661194,4095,920,369,391730,0 33,2,2024-09-07 09:14:40:760,479976,479941,35,0,19797692,0,7012 33,3,2024-09-07 09:14:40:907,1,378,1,0,329,3770,378,0 34,0,2024-09-07 09:14:40:937,93036,0.3,95612,0.4,183010,0.2,245868,1.75 34,1,2024-09-07 09:14:41:044,667547,667547,0,0,313497481603,3221758897,666076,1462,9,367,391562,0 34,2,2024-09-07 09:14:40:770,479024,479024,0,0,18762664,0,3577 34,3,2024-09-07 09:14:41:687,1,378,1,0,299,2597,378,0 35,0,2024-09-07 09:14:40:862,92711,0.3,93055,0.5,187164,0.3,249393,1.75 35,1,2024-09-07 09:14:41:066,665718,665718,0,0,312144911539,3232587482,661972,2964,782,382,391769,0 35,2,2024-09-07 09:14:41:583,474716,474716,0,0,18749215,0,2653 35,3,2024-09-07 09:14:40:912,1,378,1,0,418,4395,378,0 36,0,2024-09-07 09:14:41:544,98305,0.4,98090,0.6,196169,0.4,261125,2.00 36,1,2024-09-07 09:14:40:582,664546,664546,0,0,311278057036,3247414879,656718,6143,1685,366,391759,0 36,2,2024-09-07 09:14:41:766,480386,480386,0,0,21181104,0,3875 36,3,2024-09-07 09:14:40:867,1,378,10,0,416,5802,378,0 37,0,2024-09-07 09:14:41:376,92203,0.5,92127,0.6,184450,0.4,245868,2.00 37,1,2024-09-07 09:14:40:590,664352,664345,0,7,311050130236,3247557672,656916,5269,2160,365,391570,0 37,2,2024-09-07 09:14:41:149,478716,478701,15,0,21256929,0,5815 37,3,2024-09-07 09:14:41:767,1,378,2,0,888,5821,378,0 38,0,2024-09-07 09:14:41:466,92974,0.5,90313,0.7,188955,0.4,247001,2.00 38,1,2024-09-07 09:14:41:605,665434,665434,0,0,312266252977,3255860077,657254,6895,1285,368,391821,0 38,2,2024-09-07 09:14:40:770,478219,478172,47,0,20974455,0,6710 38,3,2024-09-07 09:14:41:005,1,378,2,0,689,5051,378,0 39,0,2024-09-07 09:14:41:767,95397,0.6,93425,0.7,181915,0.5,248098,2.00 39,1,2024-09-07 09:14:40:723,664015,664015,0,0,311122039112,3257637355,653989,8052,1974,365,391658,0 39,2,2024-09-07 09:14:41:429,474506,474506,0,0,19130905,0,2689 39,3,2024-09-07 09:14:40:720,1,378,1,0,324,4566,378,0 40,0,2024-09-07 09:14:41:530,95862,0.8,96740,1.0,192846,0.9,257738,2.75 40,1,2024-09-07 09:14:40:586,664404,664404,0,0,310652740917,3251479292,655201,7589,1614,368,391668,0 40,2,2024-09-07 09:14:41:310,478141,478140,1,0,23151375,0,5137 40,3,2024-09-07 09:14:41:148,1,378,1,0,1028,5253,378,0 41,0,2024-09-07 09:14:41:023,93701,1.6,95874,1.3,183069,2.6,248694,3.25 41,1,2024-09-07 09:14:40:772,663034,663034,0,0,310823549705,3251196967,654990,7276,768,369,391742,0 41,2,2024-09-07 09:14:40:769,478842,478842,0,0,22211707,0,4277 41,3,2024-09-07 09:14:41:676,1,378,0,0,366,3928,378,0 42,0,2024-09-07 09:14:41:475,94387,0.9,94370,1.0,188947,1.0,249784,2.75 42,1,2024-09-07 09:14:41:440,662200,662200,0,0,310553110992,3264687172,651587,8706,1907,380,391675,0 42,2,2024-09-07 09:14:41:136,477531,477531,0,0,22661691,0,3790 42,3,2024-09-07 09:14:41:016,1,378,3,0,446,3190,378,0 43,0,2024-09-07 09:14:40:929,92887,0.8,90497,1.0,189672,0.9,248696,2.50 43,1,2024-09-07 09:14:40:580,663869,663869,0,0,312374651134,3265766014,654270,7869,1730,366,391696,0 43,2,2024-09-07 09:14:41:736,475622,475622,0,0,22117250,0,3812 43,3,2024-09-07 09:14:41:748,1,378,1,0,467,4493,378,0 44,0,2024-09-07 09:14:40:865,96128,0.4,96523,0.6,192797,0.4,256536,2.00 44,1,2024-09-07 09:14:40:584,665433,665433,0,0,311901386156,3225987359,659579,4635,1219,356,391809,0 44,2,2024-09-07 09:14:41:278,476258,476258,0,0,18520476,0,4344 44,3,2024-09-07 09:14:41:093,1,378,5,0,817,4712,378,0 45,0,2024-09-07 09:14:41:828,95605,0.5,93347,0.8,195636,0.5,257715,2.00 45,1,2024-09-07 09:14:41:030,664861,664861,0,0,311912372585,3241402417,659864,4480,517,382,391917,0 45,2,2024-09-07 09:14:41:269,481097,481097,0,0,18823593,0,3596 45,3,2024-09-07 09:14:40:943,1,378,1,0,271,3490,378,0 46,0,2024-09-07 09:14:40:961,93927,0.5,93972,0.7,188107,0.5,249820,2.00 46,1,2024-09-07 09:14:40:574,666286,666286,0,0,312822094266,3231596539,662059,3687,540,366,391572,0 46,2,2024-09-07 09:14:40:592,479215,479215,0,0,18032355,0,2920 46,3,2024-09-07 09:14:41:134,1,378,1,0,908,5167,378,0 47,0,2024-09-07 09:14:41:111,93216,0.5,93563,0.6,187392,0.4,247695,2.00 47,1,2024-09-07 09:14:40:587,667282,667282,0,0,311804635093,3223531558,662767,3700,815,366,391641,0 47,2,2024-09-07 09:14:40:913,479774,479774,0,0,18528441,0,4477 47,3,2024-09-07 09:14:41:117,1,378,600,0,600,4762,378,0 48,0,2024-09-07 09:14:41:512,94423,0.3,94109,0.4,187647,0.2,250994,1.50 48,1,2024-09-07 09:14:41:040,665513,665513,0,0,312813954660,3247837985,661418,3641,454,384,391710,0 48,2,2024-09-07 09:14:40:709,474764,474764,0,0,16671301,0,3031 48,3,2024-09-07 09:14:40:761,1,378,1,0,339,3163,378,0 49,0,2024-09-07 09:14:41:721,100070,0.3,98392,0.5,190428,0.2,260978,1.75 49,1,2024-09-07 09:14:41:030,665048,665048,0,0,312088664470,3247822523,660258,3585,1205,382,391809,0 49,2,2024-09-07 09:14:41:800,480920,480920,0,0,18616118,0,4426 49,3,2024-09-07 09:14:41:416,1,378,1,0,992,4677,378,0 50,0,2024-09-07 09:14:41:516,93292,0.3,91818,0.4,185305,0.2,247202,1.75 50,1,2024-09-07 09:14:41:011,667032,667032,0,0,313082096922,3234970876,662884,3761,387,368,391565,0 50,2,2024-09-07 09:14:41:067,480053,480053,0,0,17091963,0,2263 50,3,2024-09-07 09:14:41:306,1,378,12,0,567,4054,378,0 51,0,2024-09-07 09:14:41:685,95409,0.3,93259,0.5,181850,0.2,247622,1.75 51,1,2024-09-07 09:14:41:680,667000,667000,0,0,313899117451,3235746771,663692,2331,977,365,391706,0 51,2,2024-09-07 09:14:41:324,479140,479140,0,0,16761218,0,3337 51,3,2024-09-07 09:14:41:046,1,378,1,0,678,2951,378,0 52,0,2024-09-07 09:14:41:433,93557,0.5,93496,0.7,187018,0.4,248833,2.00 52,1,2024-09-07 09:14:40:589,664323,664323,0,0,311159098670,3255512658,655225,7784,1314,368,391722,0 52,2,2024-09-07 09:14:41:758,471032,470994,38,0,21699749,0,6742 52,3,2024-09-07 09:14:40:675,1,378,0,0,1782,5553,378,0 53,0,2024-09-07 09:14:41:736,96506,0.6,93864,0.8,196458,0.6,258234,2.25 53,1,2024-09-07 09:14:40:777,662998,662998,0,0,311713290247,3267858813,652743,7548,2707,367,391702,0 53,2,2024-09-07 09:14:41:308,480092,480091,1,0,19613581,0,5455 53,3,2024-09-07 09:14:40:704,1,378,1,0,308,3313,378,0 54,0,2024-09-07 09:14:41:618,92254,0.6,92575,0.8,184333,0.4,246201,2.25 54,1,2024-09-07 09:14:40:583,664585,664585,0,0,312209956552,3241482014,658648,5318,619,366,391659,0 54,2,2024-09-07 09:14:40:871,480916,480884,32,0,22414926,0,6397 54,3,2024-09-07 09:14:40:766,1,378,1,0,676,5499,378,0 55,0,2024-09-07 09:14:41:761,91154,0.6,94265,0.7,190429,0.5,247976,2.50 55,1,2024-09-07 09:14:40:767,664863,664863,0,0,311555519392,3237546413,658125,5829,909,365,391731,0 55,2,2024-09-07 09:14:40:738,477358,477302,56,0,21679045,0,7239 55,3,2024-09-07 09:14:40:676,1,378,2,0,304,4073,378,0 56,0,2024-09-07 09:14:41:602,95823,1.1,90398,1.1,186275,1.4,249074,2.50 56,1,2024-09-07 09:14:40:587,661160,661160,0,0,310316544231,3277312000,650980,7987,2193,381,391867,0 56,2,2024-09-07 09:14:41:304,474656,474656,0,0,21408915,0,3567 56,3,2024-09-07 09:14:41:059,1,378,7,0,705,4747,378,0 57,0,2024-09-07 09:14:40:968,95282,1.6,95127,1.3,190654,2.2,255172,3.00 57,1,2024-09-07 09:14:40:997,663234,663234,0,0,311182718057,3262230505,655319,6901,1014,366,392032,0 57,2,2024-09-07 09:14:41:323,478660,478660,0,0,23734935,0,3317 57,3,2024-09-07 09:14:41:738,1,378,7,0,359,4202,378,0 58,0,2024-09-07 09:14:40:570,94338,0.9,91575,1.0,191707,1.1,251016,2.50 58,1,2024-09-07 09:14:40:574,663909,663906,0,3,311858315329,3261884813,654627,8022,1257,367,391603,3 58,2,2024-09-07 09:14:41:072,479500,479500,0,0,22033736,0,2857 58,3,2024-09-07 09:14:41:067,1,378,1,0,1043,4189,378,0 59,0,2024-09-07 09:14:41:740,94942,0.8,94590,0.9,188856,0.8,251173,2.75 59,1,2024-09-07 09:14:40:813,663190,663190,0,0,311585768320,3263566270,654161,7439,1590,369,391586,0 59,2,2024-09-07 09:14:40:587,478768,478768,0,0,21652122,0,2867 59,3,2024-09-07 09:14:41:737,1,378,1,0,1015,4843,378,0 60,0,2024-09-07 09:14:41:756,93201,0.5,93163,0.7,187161,0.5,248625,1.75 60,1,2024-09-07 09:14:40:777,665509,665509,0,0,311849256137,3236725793,661224,3636,649,370,392031,0 60,2,2024-09-07 09:14:41:149,477278,477278,0,0,20429969,0,3811 60,3,2024-09-07 09:14:41:262,1,378,41,0,409,4426,378,0 61,0,2024-09-07 09:14:41:545,94808,0.7,95576,0.8,190111,0.7,253689,2.00 61,1,2024-09-07 09:14:40:776,663849,663849,0,0,311088136166,3259945490,656329,6170,1350,382,392127,0 61,2,2024-09-07 09:14:41:125,475841,475774,67,0,20901370,0,6411 61,3,2024-09-07 09:14:41:687,1,378,2,0,479,5382,378,0 62,0,2024-09-07 09:14:41:706,98020,0.5,100306,0.7,191334,0.5,260446,2.00 62,1,2024-09-07 09:14:41:111,668340,668334,0,6,313651348249,3235700934,664562,3512,260,365,391715,6 62,2,2024-09-07 09:14:41:649,478978,478977,1,0,20755402,0,5555 62,3,2024-09-07 09:14:41:145,1,378,1,0,465,2790,378,0 63,0,2024-09-07 09:14:41:458,94129,0.4,93925,0.6,188403,0.3,250354,1.75 63,1,2024-09-07 09:14:40:813,665951,665945,0,6,311414597109,3231864894,661926,3631,388,381,391800,6 63,2,2024-09-07 09:14:40:762,479372,479372,0,0,18782347,0,4369 63,3,2024-09-07 09:14:41:735,1,378,4,0,667,4061,378,0 64,0,2024-09-07 09:14:41:516,92201,0.5,92260,0.7,184563,0.5,244930,2.00 64,1,2024-09-07 09:14:40:750,665238,665238,0,0,311469121413,3244284542,659275,4286,1677,370,391783,0 64,2,2024-09-07 09:14:41:143,481516,481497,19,0,18458589,0,6121 64,3,2024-09-07 09:14:41:144,1,378,7,0,265,3409,378,0 65,0,2024-09-07 09:14:41:711,92463,0.6,92787,0.7,185337,0.6,247314,2.00 65,1,2024-09-07 09:14:40:898,663480,663480,0,0,310991893182,3250316337,658610,4331,539,382,391901,0 65,2,2024-09-07 09:14:41:694,475223,475223,0,0,20539478,0,3367 65,3,2024-09-07 09:14:41:686,1,378,8,0,347,3912,378,0 66,0,2024-09-07 09:14:41:768,97630,0.5,97593,0.7,195062,0.4,259765,2.00 66,1,2024-09-07 09:14:41:310,665645,665645,0,0,311897932423,3242872200,661680,3598,367,380,391653,0 66,2,2024-09-07 09:14:41:136,481862,481862,0,0,18590865,0,4956 66,3,2024-09-07 09:14:41:079,1,378,40,0,291,3513,378,0 67,0,2024-09-07 09:14:41:419,92788,0.5,92471,0.6,185397,0.4,246553,2.00 67,1,2024-09-07 09:14:40:771,665708,665707,0,1,311702330039,3243773565,661242,3681,784,380,391787,1 67,2,2024-09-07 09:14:40:588,481631,481631,0,0,17919236,0,2889 67,3,2024-09-07 09:14:41:750,1,378,1,0,338,3318,378,0 68,0,2024-09-07 09:14:40:605,93905,0.6,93544,0.7,186564,0.5,249030,2.00 68,1,2024-09-07 09:14:40:572,662930,662930,0,0,310708480898,3259116457,656047,4810,2073,381,391953,0 68,2,2024-09-07 09:14:41:048,476462,476362,100,0,24083451,0,8578 68,3,2024-09-07 09:14:40:739,1,378,2,0,417,3920,378,0 69,0,2024-09-07 09:14:41:733,93054,0.7,93582,0.8,186291,0.9,247241,2.25 69,1,2024-09-07 09:14:41:033,662007,662007,0,0,310799258893,3277137885,653050,6769,2188,384,391994,0 69,2,2024-09-07 09:14:41:737,474045,474016,29,0,23954685,0,6912 69,3,2024-09-07 09:14:40:776,1,378,0,0,698,5241,378,0 70,0,2024-09-07 09:14:41:581,95963,0.9,96049,1.0,193150,0.8,256442,2.50 70,1,2024-09-07 09:14:40:816,665386,665386,0,0,312618476289,3242966851,660108,4620,658,366,391725,0 70,2,2024-09-07 09:14:41:326,478837,478837,0,0,20982609,0,4044 70,3,2024-09-07 09:14:40:745,1,378,1,0,854,4301,378,0 71,0,2024-09-07 09:14:41:370,93424,0.9,93152,1.0,187005,1.1,250138,2.75 71,1,2024-09-07 09:14:41:602,664447,664447,0,0,312125800797,3255787386,656941,6758,748,368,391738,0 71,2,2024-09-07 09:14:41:067,480279,480279,0,0,21833767,0,4352 71,3,2024-09-07 09:14:41:749,1,378,1,0,644,4921,378,0 72,0,2024-09-07 09:14:41:060,98179,0.6,96007,0.7,187190,0.5,254220,2.00 72,1,2024-09-07 09:14:41:031,663207,663207,0,0,311200368034,3263635019,653400,7847,1960,369,391819,0 72,2,2024-09-07 09:14:41:756,477956,477956,0,0,23906892,0,3983 72,3,2024-09-07 09:14:41:754,1,378,22,0,564,5795,378,0 73,0,2024-09-07 09:14:41:124,91707,0.4,94033,0.6,192356,0.4,250008,2.00 73,1,2024-09-07 09:14:40:794,664612,664612,0,0,311808853610,3239819081,659937,4311,364,367,391858,0 73,2,2024-09-07 09:14:41:742,475287,475287,0,0,23109331,0,3701 73,3,2024-09-07 09:14:40:973,1,378,1,0,486,5306,378,0 74,0,2024-09-07 09:14:41:330,96728,0.5,98943,0.6,188714,0.4,256588,2.25 74,1,2024-09-07 09:14:40:646,664051,664051,0,0,311313452600,3250951284,657068,5614,1369,381,391681,0 74,2,2024-09-07 09:14:41:005,476215,476215,0,0,21668162,0,4253 74,3,2024-09-07 09:14:41:445,1,378,3,0,522,5327,378,0 75,0,2024-09-07 09:14:41:768,97117,0.5,96547,0.8,193978,0.5,258784,2.25 75,1,2024-09-07 09:14:41:590,663734,663734,0,0,311431843618,3251507691,657050,5858,826,380,391739,0 75,2,2024-09-07 09:14:41:349,479438,479438,0,0,23103402,0,4766 75,3,2024-09-07 09:14:41:066,1,378,1,0,702,5121,378,0 76,0,2024-09-07 09:14:40:606,94170,0.6,93557,0.7,187768,0.5,251177,2.25 76,1,2024-09-07 09:14:40:809,664564,664564,0,0,311138696194,3244120206,660238,3717,609,382,391692,0 76,2,2024-09-07 09:14:41:062,480377,480376,1,0,20977386,0,5144 76,3,2024-09-07 09:14:41:149,1,378,1,0,175,3507,378,0 77,0,2024-09-07 09:14:41:704,92950,0.6,93165,0.7,186435,0.6,247552,2.00 77,1,2024-09-07 09:14:40:833,664353,664353,0,0,311606045143,3254887954,658774,5083,496,381,391869,0 77,2,2024-09-07 09:14:41:287,478311,478311,0,0,20523472,0,3890 77,3,2024-09-07 09:14:41:094,1,378,17,0,401,4107,378,0 78,0,2024-09-07 09:14:41:717,94262,0.4,93807,0.6,188434,0.4,250372,2.00 78,1,2024-09-07 09:14:40:614,665079,665079,0,0,311551203645,3245188698,658026,5487,1566,367,391670,0 78,2,2024-09-07 09:14:41:408,475760,475760,0,0,17731375,0,3855 78,3,2024-09-07 09:14:41:135,1,378,5,0,181,3553,378,0 79,0,2024-09-07 09:14:41:349,94491,0.4,96805,0.6,198355,0.3,257921,2.25 79,1,2024-09-07 09:14:40:576,666595,666595,0,0,311833739843,3228191336,661753,4143,699,368,391682,0 79,2,2024-09-07 09:14:41:068,481277,481277,0,0,18728452,0,4195 79,3,2024-09-07 09:14:40:758,1,378,3,0,418,4767,378,0 80,0,2024-09-07 09:14:41:074,92710,0.5,95477,0.6,182785,0.5,246913,2.00 80,1,2024-09-07 09:14:41:642,664741,664741,0,0,311915119876,3243626893,660747,3791,203,368,391791,0 80,2,2024-09-07 09:14:41:093,481612,481612,0,0,18410323,0,4433 80,3,2024-09-07 09:14:40:586,1,378,12,0,190,4536,378,0 81,0,2024-09-07 09:14:41:604,93010,0.5,95215,0.7,181756,0.5,246472,2.00 81,1,2024-09-07 09:14:41:659,664251,664251,0,0,310815578145,3247425436,658905,4843,503,382,391879,0 81,2,2024-09-07 09:14:41:129,477763,477700,63,0,20134605,0,5932 81,3,2024-09-07 09:14:41:124,1,378,2,0,719,4851,378,0 82,0,2024-09-07 09:14:41:558,92870,0.5,93361,0.7,187137,0.4,248439,2.00 82,1,2024-09-07 09:14:40:588,666052,666048,0,4,312021439869,3244850829,661961,3289,798,381,391768,4 82,2,2024-09-07 09:14:41:691,475780,475780,0,0,16811589,0,3986 82,3,2024-09-07 09:14:41:752,1,378,1,0,363,3709,378,0 83,0,2024-09-07 09:14:41:528,97426,0.5,97173,0.7,194111,0.5,258510,2.00 83,1,2024-09-07 09:14:40:560,663783,663783,0,0,311449054896,3245324863,659220,4181,382,382,391709,0 83,2,2024-09-07 09:14:40:766,479847,479847,0,0,17710090,0,3393 83,3,2024-09-07 09:14:40:749,1,378,5,0,1260,5008,378,0 84,0,2024-09-07 09:14:41:791,93071,0.7,93015,0.9,185670,0.6,248635,2.25 84,1,2024-09-07 09:14:41:046,663370,663370,0,0,311339930505,3253501386,656306,6032,1032,367,391967,0 84,2,2024-09-07 09:14:40:579,479841,479811,30,0,24323761,0,5971 84,3,2024-09-07 09:14:41:142,1,378,1,0,908,5582,378,0 85,0,2024-09-07 09:14:41:008,90908,0.6,90915,0.8,192931,0.6,249252,2.25 85,1,2024-09-07 09:14:40:566,661833,661833,0,0,311336559431,3285739573,651838,8177,1818,381,392006,0 85,2,2024-09-07 09:14:40:876,477620,477620,0,0,22422722,0,3656 85,3,2024-09-07 09:14:40:692,1,378,3,0,789,4972,378,0 86,0,2024-09-07 09:14:40:912,93665,0.6,96391,0.8,184380,0.7,248801,2.25 86,1,2024-09-07 09:14:40:832,663830,663830,0,0,312070915775,3263723963,656677,6084,1069,366,391961,0 86,2,2024-09-07 09:14:40:861,473372,473371,1,0,23336083,0,5004 86,3,2024-09-07 09:14:40:597,1,378,32,0,308,5676,378,0 87,0,2024-09-07 09:14:41:396,96250,0.9,96134,0.9,192706,1.2,257579,2.25 87,1,2024-09-07 09:14:40:562,662999,662999,0,0,311296115927,3257306723,655378,6500,1121,366,392076,0 87,2,2024-09-07 09:14:41:068,476881,476875,6,0,21686469,0,6323 87,3,2024-09-07 09:14:41:794,1,378,0,0,473,5674,378,0 88,0,2024-09-07 09:14:41:439,95694,0.4,96391,0.6,191858,0.4,255179,1.75 88,1,2024-09-07 09:14:40:587,661642,661642,0,0,310652641107,3254593733,653165,6596,1881,365,392084,0 88,2,2024-09-07 09:14:40:697,480870,480870,0,0,23632108,0,3583 88,3,2024-09-07 09:14:41:270,1,378,1,0,435,3960,378,0 89,0,2024-09-07 09:14:41:771,97975,0.5,94968,0.6,187759,0.4,254926,1.75 89,1,2024-09-07 09:14:40:563,662284,662284,0,0,310528703436,3264835956,654077,6847,1360,382,391866,0 89,2,2024-09-07 09:14:41:137,477298,477298,0,0,22740052,0,3173 89,3,2024-09-07 09:14:41:799,1,378,3,0,385,6776,378,0 90,0,2024-09-07 09:14:41:661,90423,0.5,92955,0.6,189531,0.4,247656,2.00 90,1,2024-09-07 09:14:40:598,663671,663671,0,0,311217782314,3260089146,657253,5912,506,380,391825,0 90,2,2024-09-07 09:14:41:416,475269,475269,0,0,23955582,0,3060 90,3,2024-09-07 09:14:40:932,1,378,1,0,322,4889,378,0 91,0,2024-09-07 09:14:40:989,95617,0.5,92580,0.6,193532,0.4,254149,1.75 91,1,2024-09-07 09:14:40:589,662301,662301,0,0,311406508958,3274694738,653861,7252,1188,381,392047,0 91,2,2024-09-07 09:14:41:375,476336,476336,0,0,21313931,0,2896 91,3,2024-09-07 09:14:40:617,1,378,75,0,216,3511,378,0 92,0,2024-09-07 09:14:41:507,98172,0.4,100690,0.6,192232,0.4,260869,1.75 92,1,2024-09-07 09:14:40:599,663552,663552,0,0,311453571191,3253498176,658276,4557,719,382,392136,0 92,2,2024-09-07 09:14:41:372,481235,481235,0,0,19306149,0,3259 92,3,2024-09-07 09:14:41:042,1,378,12,0,167,3323,378,0 93,0,2024-09-07 09:14:40:961,94478,0.4,96840,0.5,184697,0.3,250543,1.75 93,1,2024-09-07 09:14:40:817,663582,663582,0,0,311196658843,3250586981,656424,5933,1225,366,391776,0 93,2,2024-09-07 09:14:40:927,478688,478688,0,0,22825895,0,4845 93,3,2024-09-07 09:14:41:408,1,378,5,0,190,3496,378,0 94,0,2024-09-07 09:14:41:627,92336,0.4,93231,0.5,186290,0.3,246644,1.75 94,1,2024-09-07 09:14:40:594,664144,664144,0,0,311462550826,3248505208,660049,3904,191,381,391850,0 94,2,2024-09-07 09:14:40:787,478188,478188,0,0,19480748,0,2443 94,3,2024-09-07 09:14:41:702,1,378,5,0,264,4592,378,0 95,0,2024-09-07 09:14:41:409,93236,0.4,93235,0.5,186905,0.3,249173,1.75 95,1,2024-09-07 09:14:40:924,665589,665589,0,0,311855430501,3242070011,660184,4997,408,367,391713,0 95,2,2024-09-07 09:14:41:028,474738,474738,0,0,18772529,0,3308 95,3,2024-09-07 09:14:41:709,1,378,2,0,718,5603,378,0 96,0,2024-09-07 09:14:41:027,98038,0.3,98260,0.5,196299,0.3,260430,1.75 96,1,2024-09-07 09:14:41:585,663855,663855,0,0,311162379045,3245511667,658983,4057,815,384,391955,0 96,2,2024-09-07 09:14:41:280,480938,480938,0,0,19172771,0,4042 96,3,2024-09-07 09:14:41:170,1,378,18,0,411,4069,378,0 97,0,2024-09-07 09:14:41:331,92705,0.3,92422,0.5,185623,0.3,246278,1.50 97,1,2024-09-07 09:14:40:774,665254,665254,0,0,312128064325,3235697416,660888,3672,694,367,392140,0 97,2,2024-09-07 09:14:40:629,481233,481233,0,0,19002685,0,3679 97,3,2024-09-07 09:14:40:579,1,378,0,0,214,4278,378,0 98,0,2024-09-07 09:14:41:702,93443,0.3,93418,0.4,187605,0.2,248854,1.50 98,1,2024-09-07 09:14:40:576,664807,664807,0,0,312105942166,3244993937,661784,2903,120,382,391997,0 98,2,2024-09-07 09:14:40:776,478872,478872,0,0,18480045,0,3080 98,3,2024-09-07 09:14:40:699,1,378,5,0,840,5697,378,0 99,0,2024-09-07 09:14:41:466,93528,0.3,94163,0.5,187068,0.3,249398,1.75 99,1,2024-09-07 09:14:41:733,664781,664781,0,0,311758805251,3243883106,660372,3637,772,381,392069,0 99,2,2024-09-07 09:14:41:419,475389,475389,0,0,21234114,0,3424 99,3,2024-09-07 09:14:40:618,1,378,1,0,606,4112,378,0 100,0,2024-09-07 09:14:41:466,96529,0.7,96720,0.9,192714,0.9,258175,2.50 100,1,2024-09-07 09:14:40:564,661483,661483,0,0,310483582294,3278932280,651873,7715,1895,381,391989,0 100,2,2024-09-07 09:14:41:817,477467,477456,11,0,21954692,0,5417 100,3,2024-09-07 09:14:41:730,1,378,214,0,559,6479,378,0 101,0,2024-09-07 09:14:41:725,96318,1.1,93720,1.0,184140,0.9,251996,2.25 101,1,2024-09-07 09:14:40:562,661558,661558,0,0,310594939012,3268452201,651622,7820,2116,368,391847,0 101,2,2024-09-07 09:14:41:765,477761,477761,0,0,26022969,0,4871 101,3,2024-09-07 09:14:40:948,1,378,6,0,579,5022,378,0 102,0,2024-09-07 09:14:40:952,92619,0.7,95604,0.8,193509,0.6,252993,2.00 102,1,2024-09-07 09:14:41:158,662511,662511,0,0,310888182462,3263999604,654214,7038,1259,369,391891,0 102,2,2024-09-07 09:14:41:737,478834,478780,54,0,21168560,0,6768 102,3,2024-09-07 09:14:41:622,1,378,3,0,410,3831,378,0 103,0,2024-09-07 09:14:41:633,97113,0.6,97065,0.7,183024,0.6,251826,2.00 103,1,2024-09-07 09:14:41:631,661219,661219,0,0,310797507402,3277495785,651099,7532,2588,381,391829,0 103,2,2024-09-07 09:14:40:597,474421,474421,0,0,22313278,0,3766 103,3,2024-09-07 09:14:40:779,1,378,5,0,916,4348,378,0 104,0,2024-09-07 09:14:41:007,95217,0.7,95603,0.9,189844,0.7,255851,2.25 104,1,2024-09-07 09:14:41:609,663332,663332,0,0,310149241346,3259188786,653767,7791,1774,365,392168,0 104,2,2024-09-07 09:14:41:673,475708,475708,0,0,22944520,0,3941 104,3,2024-09-07 09:14:41:416,1,378,1,0,1245,7828,378,0 105,0,2024-09-07 09:14:41:044,95689,0.9,93101,1.1,195325,1.1,257042,2.75 105,1,2024-09-07 09:14:40:570,664007,664007,0,0,311481663489,3265126503,655355,7304,1348,366,392009,0 105,2,2024-09-07 09:14:41:323,479600,479600,0,0,22567113,0,3509 105,3,2024-09-07 09:14:41:305,1,378,1,0,399,5767,378,0 106,0,2024-09-07 09:14:40:969,91233,0.8,93432,0.9,191465,0.8,250614,2.50 106,1,2024-09-07 09:14:41:749,662564,662564,0,0,311073515350,3270775033,651896,9226,1442,369,391914,0 106,2,2024-09-07 09:14:40:770,477545,477545,0,0,22118608,0,2795 106,3,2024-09-07 09:14:40:692,1,378,6,0,470,4749,378,0 107,0,2024-09-07 09:14:41:125,92777,1.1,92944,1.0,185694,1.4,247490,2.25 107,1,2024-09-07 09:14:40:592,661834,661834,0,0,310134647032,3266445080,653281,7585,968,381,392234,0 107,2,2024-09-07 09:14:41:294,476297,476296,1,0,22925072,0,5024 107,3,2024-09-07 09:14:41:759,1,378,3,0,353,5378,378,0 108,0,2024-09-07 09:14:41:791,93580,0.4,94266,0.6,187372,0.4,250864,1.75 108,1,2024-09-07 09:14:41:328,664225,664225,0,0,312148498935,3255131222,658662,4827,736,368,391857,0 108,2,2024-09-07 09:14:41:761,474137,474137,0,0,21343714,0,4246 108,3,2024-09-07 09:14:41:346,1,378,8,0,749,7384,378,0 109,0,2024-09-07 09:14:41:745,98144,0.4,97278,0.5,194666,0.3,260435,1.75 109,1,2024-09-07 09:14:40:583,661933,661933,0,0,310860085812,3259548207,656169,4985,779,383,392132,0 109,2,2024-09-07 09:14:40:923,478164,478164,0,0,21046678,0,3617 109,3,2024-09-07 09:14:41:140,1,378,1,0,379,4417,378,0 110,0,2024-09-07 09:14:41:783,92701,0.4,90224,0.6,189017,0.3,247737,1.75 110,1,2024-09-07 09:14:41:662,665567,665567,0,0,312245401560,3239505629,661148,3437,982,369,392045,0 110,2,2024-09-07 09:14:41:307,479910,479910,0,0,20638861,0,4067 110,3,2024-09-07 09:14:40:692,1,378,4,0,722,5604,378,0 111,0,2024-09-07 09:14:41:413,93359,0.4,92609,0.6,185822,0.4,247830,1.75 111,1,2024-09-07 09:14:41:005,665859,665859,0,0,312399813683,3240099399,662345,3161,353,380,391690,0 111,2,2024-09-07 09:14:41:115,477746,477746,0,0,20068863,0,4823 111,3,2024-09-07 09:14:40:917,1,378,0,0,379,4357,378,0 112,0,2024-09-07 09:14:40:926,94105,0.3,93459,0.4,187429,0.2,249119,1.50 112,1,2024-09-07 09:14:40:835,665387,665387,0,0,312636478374,3243242032,661281,3495,611,380,391624,0 112,2,2024-09-07 09:14:41:136,474780,474779,1,0,18049182,0,5036 112,3,2024-09-07 09:14:40:601,1,378,12,0,282,3835,378,0 113,0,2024-09-07 09:14:40:889,97136,0.3,97290,0.4,194831,0.2,259798,1.50 113,1,2024-09-07 09:14:41:713,667746,667746,0,0,314145675400,3240090124,664122,3040,584,366,391661,0 113,2,2024-09-07 09:14:41:309,481374,481374,0,0,17065515,0,3813 113,3,2024-09-07 09:14:40:692,1,378,1,0,340,4429,378,0 114,0,2024-09-07 09:14:40:885,93995,0.3,94680,0.5,188132,0.2,251215,1.75 114,1,2024-09-07 09:14:40:716,665360,665360,0,0,311932704214,3239986459,659894,3869,1597,381,391565,0 114,2,2024-09-07 09:14:40:888,481334,481333,1,0,18777966,0,5069 114,3,2024-09-07 09:14:41:278,1,378,1,0,395,3090,378,0 115,0,2024-09-07 09:14:40:554,94383,0.3,94967,0.4,189495,0.2,251753,1.50 115,1,2024-09-07 09:14:40:577,665099,665099,0,0,312817107801,3248451484,659766,4489,844,382,391757,0 115,2,2024-09-07 09:14:41:133,480328,480328,0,0,17450421,0,3848 115,3,2024-09-07 09:14:41:007,1,378,5,0,159,2252,378,0 116,0,2024-09-07 09:14:41:697,93482,0.7,93412,0.9,187294,0.7,250079,2.00 116,1,2024-09-07 09:14:40:813,662055,662055,0,0,310913873599,3275970932,653918,5820,2317,380,392089,0 116,2,2024-09-07 09:14:41:751,474175,474175,0,0,22621948,0,3529 116,3,2024-09-07 09:14:40:917,1,378,3,0,415,4521,378,0 117,0,2024-09-07 09:14:40:965,96661,0.8,96134,0.8,192550,0.9,257965,2.00 117,1,2024-09-07 09:14:41:578,662652,662652,0,0,310717702374,3251367145,655622,6185,845,369,392033,0 117,2,2024-09-07 09:14:41:124,480568,480568,0,0,19119378,0,3700 117,3,2024-09-07 09:14:41:060,1,378,1,0,490,5247,378,0 118,0,2024-09-07 09:14:41:792,93051,0.5,95391,0.7,194928,0.5,254266,2.00 118,1,2024-09-07 09:14:40:597,662440,662440,0,0,310952610071,3268918030,652373,7540,2527,366,391907,0 118,2,2024-09-07 09:14:41:590,479614,479614,0,0,21840927,0,2842 118,3,2024-09-07 09:14:41:766,1,378,126,0,248,4328,378,0 119,0,2024-09-07 09:14:41:446,94911,0.6,95358,0.7,190583,0.6,253295,2.00 119,1,2024-09-07 09:14:40:588,663515,663515,0,0,310930923850,3251498711,656428,6245,842,367,391780,0 119,2,2024-09-07 09:14:41:272,478565,478565,0,0,20236088,0,4174 119,3,2024-09-07 09:14:41:343,1,378,12,0,1058,6622,378,0 120,0,2024-09-07 09:14:41:555,92707,0.6,92554,0.8,185307,0.6,248218,2.25 120,1,2024-09-07 09:14:40:897,663093,663093,0,0,310823977452,3262085689,655945,6503,645,368,391961,0 120,2,2024-09-07 09:14:40:774,476073,476072,1,0,23592573,0,5281 120,3,2024-09-07 09:14:41:290,1,378,20,0,241,4563,378,0 121,0,2024-09-07 09:14:41:695,94987,1.2,94941,1.0,190483,1.6,253635,2.25 121,1,2024-09-07 09:14:41:664,663791,663791,0,0,311789633134,3260895978,657535,5810,446,367,391840,0 121,2,2024-09-07 09:14:41:124,474818,474818,0,0,22617552,0,4127 121,3,2024-09-07 09:14:40:731,1,378,0,0,269,4376,378,0 122,0,2024-09-07 09:14:41:779,96870,0.8,94560,0.9,198495,0.8,260699,2.00 122,1,2024-09-07 09:14:40:871,662320,662320,0,0,310325304432,3255851310,653251,7784,1285,366,392130,0 122,2,2024-09-07 09:14:41:318,479762,479689,73,0,25335135,0,5989 122,3,2024-09-07 09:14:40:602,1,378,5,0,512,7137,378,0 123,0,2024-09-07 09:14:40:969,93372,0.6,91371,0.8,190673,0.6,250122,2.00 123,1,2024-09-07 09:14:40:565,662431,662431,0,0,310181651006,3269040455,650306,9923,2202,369,392039,0 123,2,2024-09-07 09:14:41:026,477382,477381,1,0,21882152,0,5215 123,3,2024-09-07 09:14:41:135,1,378,2,0,168,4096,378,0 124,0,2024-09-07 09:14:41:085,95619,0.3,95577,0.5,180247,0.3,247461,1.75 124,1,2024-09-07 09:14:41:040,664920,664920,0,0,311641541273,3240175049,660084,3923,913,367,392178,0 124,2,2024-09-07 09:14:41:027,479283,479230,53,0,19539552,0,6487 124,3,2024-09-07 09:14:40:774,1,378,1,0,490,3753,378,0 125,0,2024-09-07 09:14:41:467,93557,0.4,93182,0.5,187340,0.3,249528,1.75 125,1,2024-09-07 09:14:40:891,663823,663823,0,0,311174038681,3248132429,658649,4525,649,382,391702,0 125,2,2024-09-07 09:14:41:160,475315,475315,0,0,20168747,0,4534 125,3,2024-09-07 09:14:41:141,1,378,1,0,709,4914,378,0 126,0,2024-09-07 09:14:41:418,97784,0.4,100672,0.6,192785,0.4,261215,1.75 126,1,2024-09-07 09:14:40:556,665575,665575,0,0,312841784711,3240802347,661709,3578,288,365,391987,0 126,2,2024-09-07 09:14:40:624,480732,480732,0,0,20616975,0,4539 126,3,2024-09-07 09:14:40:913,1,378,91,0,207,4851,378,0 127,0,2024-09-07 09:14:41:678,92560,0.3,93036,0.5,185610,0.3,246442,1.50 127,1,2024-09-07 09:14:40:585,664655,664655,0,0,311890245502,3244639117,657924,5625,1106,364,392187,0 127,2,2024-09-07 09:14:40:646,479604,479604,0,0,19091493,0,3897 127,3,2024-09-07 09:14:41:268,1,378,1,0,968,4391,378,0 128,0,2024-09-07 09:14:41:633,93858,0.3,94210,0.4,188019,0.2,249176,1.50 128,1,2024-09-07 09:14:41:722,664744,664744,0,0,311606570574,3234327941,660402,3969,373,367,391838,0 128,2,2024-09-07 09:14:41:388,479438,479438,0,0,18055916,0,2915 128,3,2024-09-07 09:14:40:788,1,378,25,0,1082,6196,378,0 129,0,2024-09-07 09:14:41:050,94158,0.3,93613,0.5,187857,0.3,249623,1.50 129,1,2024-09-07 09:14:40:576,662407,662407,0,0,311154670738,3256068328,656496,4654,1257,379,391835,0 129,2,2024-09-07 09:14:40:718,475092,475092,0,0,18882375,0,4031 129,3,2024-09-07 09:14:40:702,1,378,0,0,506,5207,378,0 130,0,2024-09-07 09:14:41:717,97299,0.4,96891,0.6,195218,0.4,259582,1.75 130,1,2024-09-07 09:14:40:589,665303,665303,0,0,312398953669,3246859915,661593,3373,337,381,391825,0 130,2,2024-09-07 09:14:41:124,480509,480509,0,0,19134663,0,4067 130,3,2024-09-07 09:14:41:293,1,378,2,0,535,4878,378,0 131,0,2024-09-07 09:14:41:941,94221,0.4,94733,0.5,190580,0.3,252123,1.75 131,1,2024-09-07 09:14:41:829,664817,664817,0,0,311638429127,3251640308,659998,4070,749,381,391865,0 131,2,2024-09-07 09:14:40:584,482783,482783,0,0,17455409,0,2415 131,3,2024-09-07 09:14:41:694,1,378,54,0,392,3818,378,0 132,0,2024-09-07 09:14:41:426,95092,0.4,96054,0.6,190957,0.4,253735,1.75 132,1,2024-09-07 09:14:40:633,661875,661875,0,0,310564114311,3267140425,652735,7611,1529,381,392097,0 132,2,2024-09-07 09:14:40:732,478135,478118,17,0,23931906,0,6451 132,3,2024-09-07 09:14:41:689,1,378,3,0,804,6775,378,0 133,0,2024-09-07 09:14:41:537,91727,0.4,93868,0.6,192587,0.4,250510,1.75 133,1,2024-09-07 09:14:40:584,661685,661685,0,0,310808458115,3273776871,652542,7986,1157,383,391914,0 133,2,2024-09-07 09:14:41:095,474857,474807,50,0,24667899,0,6861 133,3,2024-09-07 09:14:41:297,1,378,1,0,479,3924,378,0 134,0,2024-09-07 09:14:40:953,96370,0.5,96051,0.7,192183,0.5,257075,2.00 134,1,2024-09-07 09:14:40:596,663142,663142,0,0,310749340406,3259064351,654550,6709,1883,366,391718,0 134,2,2024-09-07 09:14:41:759,476142,476118,24,0,21780982,0,6207 134,3,2024-09-07 09:14:40:749,1,378,0,0,739,4769,378,0 135,0,2024-09-07 09:14:41:109,93915,0.9,93855,0.9,199056,1.0,256505,2.25 135,1,2024-09-07 09:14:41:651,662979,662979,0,0,310900860913,3271080671,653961,7741,1277,380,391805,0 135,2,2024-09-07 09:14:40:692,481086,481086,0,0,22078723,0,3981 135,3,2024-09-07 09:14:41:005,1,378,1,0,299,3181,378,0 136,0,2024-09-07 09:14:41:693,94906,0.5,95092,0.7,189068,0.5,252547,2.25 136,1,2024-09-07 09:14:41:457,662635,662635,0,0,311202456631,3265543724,654953,6842,840,382,391685,0 136,2,2024-09-07 09:14:41:139,479531,479531,0,0,21609959,0,3506 136,3,2024-09-07 09:14:41:119,1,378,11,0,637,4161,378,0 137,0,2024-09-07 09:14:40:979,95788,0.6,93158,0.7,183213,0.7,248786,2.00 137,1,2024-09-07 09:14:40:587,662199,662199,0,0,311026735382,3267572577,651129,8723,2347,366,391898,0 137,2,2024-09-07 09:14:41:717,476817,476817,0,0,23963690,0,3185 137,3,2024-09-07 09:14:40:807,1,378,1,0,484,4825,378,0 138,0,2024-09-07 09:14:41:818,93369,1.2,93447,1.0,187671,1.6,249854,2.25 138,1,2024-09-07 09:14:41:743,663156,663156,0,0,310334954666,3258879188,653357,8080,1719,368,391954,0 138,2,2024-09-07 09:14:40:590,474713,474713,0,0,21578954,0,4988 138,3,2024-09-07 09:14:40:620,1,378,2,0,1160,5108,378,0 139,0,2024-09-07 09:14:41:384,96565,1.5,96730,1.1,193522,2.2,258353,2.50 139,1,2024-09-07 09:14:40:589,659969,659969,0,0,309595559109,3293517459,646305,10396,3268,381,392058,0 139,2,2024-09-07 09:14:40:715,476693,476693,0,0,24488094,0,3097 139,3,2024-09-07 09:14:41:666,1,378,1,0,432,4643,378,0 140,0,2024-09-07 09:14:41:590,93095,0.3,92634,0.5,186062,0.2,247872,1.75 140,1,2024-09-07 09:14:41:535,666689,666689,0,0,312731260243,3226046161,663204,3046,439,365,391606,0 140,2,2024-09-07 09:14:40:692,480135,480134,1,0,19316268,0,5036 140,3,2024-09-07 09:14:40:769,1,378,1,0,297,3297,378,0 141,0,2024-09-07 09:14:41:700,93227,0.3,95677,0.5,182736,0.3,247751,1.75 141,1,2024-09-07 09:14:40:875,665241,665241,0,0,312397047872,3244791552,660260,4036,945,379,391614,0 141,2,2024-09-07 09:14:41:686,479040,479040,0,0,18951461,0,3360 141,3,2024-09-07 09:14:41:048,1,378,0,0,391,4311,378,0 142,0,2024-09-07 09:14:41:352,94059,0.3,93495,0.5,186826,0.3,249567,1.75 142,1,2024-09-07 09:14:40:623,664450,664450,0,0,311466741210,3245822540,660367,3730,353,382,392102,0 142,2,2024-09-07 09:14:41:317,474123,474091,32,0,19849870,0,6028 142,3,2024-09-07 09:14:41:748,1,378,3,0,484,4407,378,0 143,0,2024-09-07 09:14:41:463,97505,0.4,97292,0.6,195097,0.4,259866,1.75 143,1,2024-09-07 09:14:40:567,665763,665763,0,0,312363955638,3239846763,661824,3785,154,367,391705,0 143,2,2024-09-07 09:14:40:784,480331,480331,0,0,19955899,0,3123 143,3,2024-09-07 09:14:41:147,1,378,2,0,462,5000,378,0 144,0,2024-09-07 09:14:41:515,90752,0.6,93169,0.8,190128,0.5,249080,2.00 144,1,2024-09-07 09:14:40:573,662294,662294,0,0,310541487307,3254841552,656613,4473,1208,381,391649,0 144,2,2024-09-07 09:14:41:755,481760,481760,0,0,18560536,0,3673 144,3,2024-09-07 09:14:41:747,1,378,2,0,249,3734,378,0 145,0,2024-09-07 09:14:41:385,91256,0.6,91257,0.8,193547,0.5,248932,2.25 145,1,2024-09-07 09:14:40:561,662316,662316,0,0,310782156319,3265347187,654546,6627,1143,382,391759,0 145,2,2024-09-07 09:14:41:434,477016,476935,81,0,22775711,0,7814 145,3,2024-09-07 09:14:40:896,1,378,10,0,622,5611,378,0 146,0,2024-09-07 09:14:41:634,93859,0.5,93220,0.7,187904,0.5,248689,2.00 146,1,2024-09-07 09:14:41:591,663447,663447,0,0,311245445263,3270454818,653261,8175,2011,367,391770,0 146,2,2024-09-07 09:14:41:694,473630,473630,0,0,21439806,0,2730 146,3,2024-09-07 09:14:41:287,1,378,3,0,1520,7722,378,0 147,0,2024-09-07 09:14:41:704,96590,0.6,96327,0.7,192028,0.5,257420,2.00 147,1,2024-09-07 09:14:41:386,665026,665026,0,0,312353540128,3249819677,658935,5285,806,368,391791,0 147,2,2024-09-07 09:14:41:015,478131,478131,0,0,19228260,0,2789 147,3,2024-09-07 09:14:40:918,1,378,1,0,730,5322,378,0 0,0,2024-09-07 09:14:51:708,90903,0.6,90933,0.7,192895,0.7,249546,2.00 0,1,2024-09-07 09:14:50:804,665632,665632,0,0,312612547156,3268963661,660935,4329,368,369,391896,0 0,2,2024-09-07 09:14:51:067,478626,478626,0,0,18949374,0,4480 0,3,2024-09-07 09:14:50:974,1,379,15,0,431,5336,379,0 1,0,2024-09-07 09:14:51:768,95535,0.8,94915,0.9,190787,0.9,254734,2.00 1,1,2024-09-07 09:14:50:576,664711,664711,0,0,312231156010,3266685565,658873,4602,1236,370,391857,0 1,2,2024-09-07 09:14:50:642,476705,476705,0,0,18457668,0,3380 1,3,2024-09-07 09:14:51:302,1,379,1,0,262,4344,379,0 2,0,2024-09-07 09:14:51:586,97532,0.6,97931,0.7,195020,0.6,260473,2.00 2,1,2024-09-07 09:14:50:861,666968,666968,0,0,312745369167,3246137325,663860,2815,293,380,391745,0 2,2,2024-09-07 09:14:51:265,482039,482039,0,0,18241926,0,3594 2,3,2024-09-07 09:14:50:690,1,379,0,0,357,3789,379,0 3,0,2024-09-07 09:14:51:749,94188,0.4,93950,0.6,187943,0.4,250586,2.00 3,1,2024-09-07 09:14:51:638,665518,665518,0,0,312189504769,3249522462,660174,4720,624,380,391591,0 3,2,2024-09-07 09:14:51:141,480405,480382,23,0,18832290,0,5851 3,3,2024-09-07 09:14:51:755,1,379,1,0,207,2384,379,0 4,0,2024-09-07 09:14:51:807,90239,0.4,92702,0.5,188959,0.3,246927,1.75 4,1,2024-09-07 09:14:50:600,663388,663388,0,0,311252871915,3287430042,652899,8154,2335,370,391992,0 4,2,2024-09-07 09:14:51:018,478038,478038,0,0,21901441,0,4528 4,3,2024-09-07 09:14:51:028,1,379,14,0,448,5151,379,0 5,0,2024-09-07 09:14:51:418,93524,0.4,94009,0.6,187555,0.4,249457,1.75 5,1,2024-09-07 09:14:50:755,664620,664620,0,0,311602045415,3276004204,656248,6861,1511,367,392005,0 5,2,2024-09-07 09:14:51:829,474471,474471,0,0,21020851,0,3582 5,3,2024-09-07 09:14:51:732,1,379,1,0,457,5291,379,0 6,0,2024-09-07 09:14:50:916,98648,0.4,98122,0.6,196030,0.3,262207,1.75 6,1,2024-09-07 09:14:50:746,664857,664857,0,0,311566510326,3256081911,657389,6110,1358,379,391694,0 6,2,2024-09-07 09:14:51:116,480721,480703,18,0,21396936,0,5535 6,3,2024-09-07 09:14:51:278,1,379,1,0,340,3996,379,0 7,0,2024-09-07 09:14:51:535,92210,0.5,92790,0.6,184862,0.4,245928,2.00 7,1,2024-09-07 09:14:50:849,664123,664123,0,0,311652702487,3271921840,654897,7831,1395,382,391747,0 7,2,2024-09-07 09:14:50:772,481798,481798,0,0,20410430,0,4791 7,3,2024-09-07 09:14:50:851,1,379,0,0,398,4083,379,0 8,0,2024-09-07 09:14:51:334,93825,0.4,93551,0.5,187424,0.3,249483,1.75 8,1,2024-09-07 09:14:51:019,663175,663175,0,0,311943704622,3282037269,652236,8346,2593,366,392144,0 8,2,2024-09-07 09:14:50:791,475862,475862,0,0,24763511,0,3220 8,3,2024-09-07 09:14:50:585,1,379,1,0,538,6369,379,0 9,0,2024-09-07 09:14:51:164,93944,0.4,91151,0.5,190775,0.3,249581,1.75 9,1,2024-09-07 09:14:50:561,663727,663727,0,0,311500604379,3281695511,654096,7495,2136,369,392001,0 9,2,2024-09-07 09:14:51:084,474874,474874,0,0,21831660,0,3360 9,3,2024-09-07 09:14:51:767,1,379,25,0,496,5336,379,0 10,0,2024-09-07 09:14:51:605,97490,0.3,96871,0.5,194646,0.2,259687,1.75 10,1,2024-09-07 09:14:50:585,664272,664272,0,0,312030906911,3268887257,655346,7641,1285,381,391741,0 10,2,2024-09-07 09:14:50:764,480396,480396,0,0,24048617,0,4264 10,3,2024-09-07 09:14:50:873,1,379,1,0,362,3698,379,0 11,0,2024-09-07 09:14:51:010,94242,0.5,91501,0.6,191268,0.4,252274,1.75 11,1,2024-09-07 09:14:50:573,665151,665151,0,0,311957166234,3275138909,654593,8076,2482,383,391756,0 11,2,2024-09-07 09:14:51:131,481290,481290,0,0,21829633,0,4130 11,3,2024-09-07 09:14:51:307,1,379,1,0,843,5669,379,0 12,0,2024-09-07 09:14:50:940,95920,0.4,95992,0.5,191750,0.3,254177,1.75 12,1,2024-09-07 09:14:50:935,665341,665341,0,0,312172155484,3254577585,660116,4730,495,370,391870,0 12,2,2024-09-07 09:14:51:548,480435,480435,0,0,20924630,0,3469 12,3,2024-09-07 09:14:51:066,1,379,8,0,386,5896,379,0 13,0,2024-09-07 09:14:51:403,94905,0.4,94674,0.6,189142,0.4,251788,1.75 13,1,2024-09-07 09:14:51:546,664166,664166,0,0,312237676532,3280896819,657742,4962,1462,382,391740,0 13,2,2024-09-07 09:14:50:607,477779,477779,0,0,19160105,0,3287 13,3,2024-09-07 09:14:51:768,1,379,10,0,522,5986,379,0 14,0,2024-09-07 09:14:50:561,96622,0.4,97356,0.6,192927,0.3,257770,1.75 14,1,2024-09-07 09:14:51:562,668699,668699,0,0,313997899091,3251208198,663626,4713,360,364,391673,0 14,2,2024-09-07 09:14:50:764,478541,478511,30,0,21061333,0,6104 14,3,2024-09-07 09:14:51:116,1,379,1,0,1168,4357,379,0 15,0,2024-09-07 09:14:51:562,96654,0.5,96660,0.7,193630,0.4,257457,2.00 15,1,2024-09-07 09:14:51:617,665780,665780,0,0,312897832864,3256256846,661244,3711,825,381,391619,0 15,2,2024-09-07 09:14:51:004,482977,482977,0,0,17537008,0,3622 15,3,2024-09-07 09:14:51:418,1,379,1,0,1126,6757,379,0 16,0,2024-09-07 09:14:50:938,94791,0.5,95208,0.7,190046,0.5,252925,2.00 16,1,2024-09-07 09:14:50:563,666150,666150,0,0,311875148326,3255710781,661182,4580,388,370,391756,0 16,2,2024-09-07 09:14:51:448,479689,479689,0,0,19963474,0,4719 16,3,2024-09-07 09:14:51:142,1,379,9,0,317,4950,379,0 17,0,2024-09-07 09:14:51:789,96023,0.6,93584,0.8,183281,0.6,248909,2.00 17,1,2024-09-07 09:14:50:567,664548,664548,0,0,311857186754,3269812368,658041,5245,1262,368,392075,0 17,2,2024-09-07 09:14:51:670,481314,481314,0,0,19522016,0,2857 17,3,2024-09-07 09:14:50:574,1,379,1,0,298,5948,379,0 18,0,2024-09-07 09:14:50:943,93401,0.6,93813,0.8,186989,0.6,250125,2.25 18,1,2024-09-07 09:14:51:638,667164,667164,0,0,312881994224,3241763784,663540,3307,317,367,391649,0 18,2,2024-09-07 09:14:51:758,479024,479024,0,0,17764567,0,3541 18,3,2024-09-07 09:14:50:900,1,379,4,0,163,3089,379,0 19,0,2024-09-07 09:14:51:560,97532,0.6,98159,0.7,194488,0.6,259043,2.00 19,1,2024-09-07 09:14:50:566,667265,667265,0,0,313186822297,3247473448,661963,4463,839,367,391777,0 19,2,2024-09-07 09:14:51:765,483244,483244,0,0,16829270,0,3988 19,3,2024-09-07 09:14:51:131,1,379,0,0,524,2779,379,0 20,0,2024-09-07 09:14:51:356,93070,0.5,93017,0.6,185987,0.4,248499,2.00 20,1,2024-09-07 09:14:50:577,665065,665065,0,0,312724575681,3266954835,659785,4562,718,369,391886,0 20,2,2024-09-07 09:14:50:928,480733,480733,0,0,20433725,0,3721 20,3,2024-09-07 09:14:50:597,1,379,11,0,414,5769,379,0 21,0,2024-09-07 09:14:51:136,93198,0.5,93204,0.6,186233,0.5,247102,2.00 21,1,2024-09-07 09:14:51:537,663448,663448,0,0,310961654923,3275292631,654354,7104,1990,368,392016,0 21,2,2024-09-07 09:14:51:068,477351,477331,20,0,24588831,0,5617 21,3,2024-09-07 09:14:51:405,1,379,1,0,713,5008,379,0 22,0,2024-09-07 09:14:51:733,93394,0.5,93697,0.7,187688,0.5,248720,2.00 22,1,2024-09-07 09:14:51:022,664630,664630,0,0,311348968835,3269621416,655100,7515,2015,382,391667,0 22,2,2024-09-07 09:14:50:759,474315,474289,26,0,19428353,0,6328 22,3,2024-09-07 09:14:51:067,1,379,1,0,228,3209,379,0 23,0,2024-09-07 09:14:51:374,97391,0.5,97107,0.7,194471,0.4,259694,2.25 23,1,2024-09-07 09:14:51:008,665928,665928,0,0,312588607331,3281355646,654405,7972,3551,365,391690,0 23,2,2024-09-07 09:14:51:095,482215,482215,0,0,19074718,0,3010 23,3,2024-09-07 09:14:51:757,1,379,0,0,720,5313,379,0 24,0,2024-09-07 09:14:50:823,94416,0.4,94216,0.5,188972,0.4,250647,1.75 24,1,2024-09-07 09:14:50:584,664552,664552,0,0,311566512083,3267582953,657059,5912,1581,367,392269,0 24,2,2024-09-07 09:14:51:072,480679,480679,0,0,23615931,0,3607 24,3,2024-09-07 09:14:51:686,1,379,12,0,468,5303,379,0 25,0,2024-09-07 09:14:51:407,96972,0.4,94515,0.6,185343,0.4,252411,1.75 25,1,2024-09-07 09:14:50:573,664191,664191,0,0,311853901507,3280703531,653974,8312,1905,371,391928,0 25,2,2024-09-07 09:14:51:620,478640,478640,0,0,23496809,0,3978 25,3,2024-09-07 09:14:51:003,1,379,7,0,255,3729,379,0 26,0,2024-09-07 09:14:51:751,93689,0.4,91395,0.6,191808,0.3,249212,1.75 26,1,2024-09-07 09:14:51:543,665584,665584,0,0,311433877033,3262387529,655971,8067,1546,380,391748,0 26,2,2024-09-07 09:14:50:865,476319,476319,0,0,23377899,0,2809 26,3,2024-09-07 09:14:51:711,1,379,11,0,796,4759,379,0 27,0,2024-09-07 09:14:51:727,97160,0.4,97240,0.6,192890,0.4,258030,2.00 27,1,2024-09-07 09:14:51:680,667284,667284,0,0,312537264594,3254426774,661027,5434,823,381,391626,0 27,2,2024-09-07 09:14:50:867,476962,476897,65,0,22682071,0,5699 27,3,2024-09-07 09:14:51:016,1,379,1,0,564,3769,379,0 28,0,2024-09-07 09:14:51:423,96146,0.4,95715,0.6,191646,0.3,255679,2.00 28,1,2024-09-07 09:14:50:810,665942,665942,0,0,313252365279,3270622092,659572,4780,1590,383,391698,0 28,2,2024-09-07 09:14:51:774,481899,481899,0,0,20391808,0,2915 28,3,2024-09-07 09:14:51:796,1,379,8,0,502,4218,379,0 29,0,2024-09-07 09:14:51:403,98021,0.3,95526,0.6,187599,0.3,255321,1.75 29,1,2024-09-07 09:14:51:573,667782,667782,0,0,313471270124,3244684427,663277,3818,687,369,391753,0 29,2,2024-09-07 09:14:50:861,479044,479044,0,0,19347902,0,4986 29,3,2024-09-07 09:14:50:964,1,379,92,0,459,4665,379,0 30,0,2024-09-07 09:14:51:467,93163,0.5,90696,0.7,189794,0.4,248513,2.00 30,1,2024-09-07 09:14:50:574,667819,667819,0,0,313342109359,3253489609,662563,4530,726,381,391672,0 30,2,2024-09-07 09:14:51:276,478144,478144,0,0,18122077,0,3161 30,3,2024-09-07 09:14:50:582,1,379,4,0,519,3801,379,0 31,0,2024-09-07 09:14:51:775,95121,0.5,95543,0.6,190853,0.4,254673,2.00 31,1,2024-09-07 09:14:50:575,669804,669804,0,0,314712638338,3227266728,666903,2315,586,356,391712,0 31,2,2024-09-07 09:14:51:276,477425,477425,0,0,20005912,0,3525 31,3,2024-09-07 09:14:51:707,1,379,111,0,220,3344,379,0 32,0,2024-09-07 09:14:51:426,97971,0.3,98513,0.5,196433,0.3,262374,1.75 32,1,2024-09-07 09:14:50:808,666893,666893,0,0,312923082383,3249491237,663188,3224,481,381,391646,0 32,2,2024-09-07 09:14:50:935,482713,482713,0,0,17275015,0,3155 32,3,2024-09-07 09:14:51:016,1,379,15,0,227,2666,379,0 33,0,2024-09-07 09:14:51:505,94318,0.3,93900,0.4,188337,0.2,250872,1.50 33,1,2024-09-07 09:14:50:575,668119,668119,0,0,313872455072,3250507379,663099,4100,920,369,391730,0 33,2,2024-09-07 09:14:50:770,481102,481067,35,0,19880638,0,7012 33,3,2024-09-07 09:14:50:901,1,379,2,0,329,3772,379,0 34,0,2024-09-07 09:14:50:938,93195,0.3,95759,0.4,183333,0.2,246262,1.75 34,1,2024-09-07 09:14:51:043,669377,669377,0,0,314603656079,3233177592,667906,1462,9,367,391562,0 34,2,2024-09-07 09:14:50:766,480046,480046,0,0,18806877,0,3577 34,3,2024-09-07 09:14:51:709,1,379,46,0,299,2643,379,0 35,0,2024-09-07 09:14:50:863,92959,0.3,93304,0.5,187654,0.3,250038,1.75 35,1,2024-09-07 09:14:51:067,667422,667422,0,0,313014507597,3241562795,663676,2964,782,382,391769,0 35,2,2024-09-07 09:14:51:588,476228,476228,0,0,18810609,0,2653 35,3,2024-09-07 09:14:50:908,1,379,0,0,418,4395,379,0 36,0,2024-09-07 09:14:51:529,98685,0.4,98487,0.6,196920,0.4,262137,2.00 36,1,2024-09-07 09:14:50:589,666242,666242,0,0,312111415187,3255875726,658414,6143,1685,366,391759,0 36,2,2024-09-07 09:14:51:751,481629,481629,0,0,21214103,0,3875 36,3,2024-09-07 09:14:50:866,1,379,1,0,416,5803,379,0 37,0,2024-09-07 09:14:51:367,92385,0.5,92286,0.6,184770,0.4,246325,2.00 37,1,2024-09-07 09:14:50:574,666048,666041,0,7,311759227895,3254774519,658612,5269,2160,365,391570,0 37,2,2024-09-07 09:14:51:144,479932,479917,15,0,21276274,0,5815 37,3,2024-09-07 09:14:51:770,1,379,11,0,888,5832,379,0 38,0,2024-09-07 09:14:51:438,93080,0.5,90417,0.6,189163,0.4,247251,2.00 38,1,2024-09-07 09:14:51:604,667303,667303,0,0,313315349735,3266523264,659123,6895,1285,368,391821,0 38,2,2024-09-07 09:14:50:760,479557,479510,47,0,20991713,0,6710 38,3,2024-09-07 09:14:51:000,1,379,4,0,689,5055,379,0 39,0,2024-09-07 09:14:51:763,95516,0.6,93538,0.7,182137,0.5,248435,2.00 39,1,2024-09-07 09:14:50:718,665762,665762,0,0,311893354986,3265539240,655736,8052,1974,365,391658,0 39,2,2024-09-07 09:14:51:418,475877,475877,0,0,19145589,0,2689 39,3,2024-09-07 09:14:50:717,1,379,8,0,324,4574,379,0 40,0,2024-09-07 09:14:51:497,96403,0.8,97210,1.0,193804,0.9,259094,2.75 40,1,2024-09-07 09:14:50:576,666153,666153,0,0,311635569696,3261456559,656950,7589,1614,368,391668,0 40,2,2024-09-07 09:14:51:303,479442,479441,1,0,23193425,0,5137 40,3,2024-09-07 09:14:51:143,1,379,0,0,1028,5253,379,0 41,0,2024-09-07 09:14:51:025,93792,1.6,95975,1.3,183290,2.6,248990,3.25 41,1,2024-09-07 09:14:50:772,664810,664810,0,0,311976108247,3262889922,656765,7277,768,369,391742,0 41,2,2024-09-07 09:14:50:758,480346,480346,0,0,22243038,0,4277 41,3,2024-09-07 09:14:51:678,1,379,20,0,366,3948,379,0 42,0,2024-09-07 09:14:51:485,94510,0.9,94474,1.0,189152,1.0,250037,2.75 42,1,2024-09-07 09:14:51:440,663941,663941,0,0,311319656096,3272549260,653328,8706,1907,380,391675,0 42,2,2024-09-07 09:14:51:133,478890,478890,0,0,22685124,0,3790 42,3,2024-09-07 09:14:51:012,1,379,0,0,446,3190,379,0 43,0,2024-09-07 09:14:50:917,93111,0.8,90675,1.0,190064,0.9,249233,2.50 43,1,2024-09-07 09:14:50:584,665693,665693,0,0,313232710075,3274469820,656094,7869,1730,366,391696,0 43,2,2024-09-07 09:14:51:736,476396,476396,0,0,22131451,0,3812 43,3,2024-09-07 09:14:51:748,1,379,1,0,467,4494,379,0 44,0,2024-09-07 09:14:50:869,96533,0.4,96936,0.6,193636,0.4,257730,2.00 44,1,2024-09-07 09:14:50:580,667234,667234,0,0,312757821583,3234847285,661380,4635,1219,356,391809,0 44,2,2024-09-07 09:14:51:267,477692,477692,0,0,18593202,0,4344 44,3,2024-09-07 09:14:51:102,1,379,22,0,817,4734,379,0 45,0,2024-09-07 09:14:51:756,95727,0.5,93495,0.8,195917,0.5,257984,2.00 45,1,2024-09-07 09:14:51:014,666577,666577,0,0,312868956410,3251336458,661578,4482,517,382,391917,0 45,2,2024-09-07 09:14:51:268,482504,482504,0,0,18884613,0,3596 45,3,2024-09-07 09:14:50:940,1,379,14,0,271,3504,379,0 46,0,2024-09-07 09:14:50:950,94211,0.5,94254,0.7,188698,0.5,250606,2.00 46,1,2024-09-07 09:14:50:575,667930,667930,0,0,313545567291,3239118412,663703,3687,540,366,391572,0 46,2,2024-09-07 09:14:50:594,480523,480523,0,0,18131655,0,2920 46,3,2024-09-07 09:14:51:131,1,379,0,0,908,5167,379,0 47,0,2024-09-07 09:14:51:111,93442,0.5,93746,0.6,187831,0.4,248279,2.00 47,1,2024-09-07 09:14:50:568,668939,668939,0,0,312542452880,3231317921,664417,3707,815,366,391641,0 47,2,2024-09-07 09:14:50:908,480547,480547,0,0,18570300,0,4477 47,3,2024-09-07 09:14:51:115,1,379,1,0,600,4763,379,0 48,0,2024-09-07 09:14:51:503,94870,0.3,94577,0.4,188573,0.2,252357,1.50 48,1,2024-09-07 09:14:51:022,667279,667279,0,0,313622584341,3256219278,663184,3641,454,384,391710,0 48,2,2024-09-07 09:14:50:702,476311,476311,0,0,16743078,0,3031 48,3,2024-09-07 09:14:50:753,1,379,8,0,339,3171,379,0 49,0,2024-09-07 09:14:51:726,100341,0.3,98669,0.5,190984,0.2,261774,1.75 49,1,2024-09-07 09:14:51:021,666791,666791,0,0,312847388779,3255651509,662000,3586,1205,382,391809,0 49,2,2024-09-07 09:14:51:811,482353,482353,0,0,18686815,0,4426 49,3,2024-09-07 09:14:51:416,1,379,2,0,992,4679,379,0 50,0,2024-09-07 09:14:51:505,93644,0.3,92153,0.4,185974,0.2,248218,1.75 50,1,2024-09-07 09:14:51:011,668821,668821,0,0,313696120323,3241685485,664633,3801,387,368,391565,0 50,2,2024-09-07 09:14:51:069,481094,481094,0,0,17125962,0,2263 50,3,2024-09-07 09:14:51:292,1,379,2,0,567,4056,379,0 51,0,2024-09-07 09:14:51:689,95566,0.3,93431,0.5,182204,0.2,248177,1.75 51,1,2024-09-07 09:14:51:684,668872,668872,0,0,314897678272,3245926045,665564,2331,977,365,391706,0 51,2,2024-09-07 09:14:51:321,480275,480275,0,0,16800400,0,3337 51,3,2024-09-07 09:14:51:027,1,379,7,0,678,2958,379,0 52,0,2024-09-07 09:14:51:418,93903,0.5,93834,0.7,187694,0.4,249748,2.00 52,1,2024-09-07 09:14:50:578,666104,666104,0,0,312077508477,3264887200,657006,7784,1314,368,391722,0 52,2,2024-09-07 09:14:51:755,472422,472384,38,0,21716602,0,6742 52,3,2024-09-07 09:14:50:674,1,379,1,0,1782,5554,379,0 53,0,2024-09-07 09:14:51:731,96948,0.6,94258,0.7,197420,0.6,259406,2.25 53,1,2024-09-07 09:14:50:777,664750,664750,0,0,312575124147,3276664839,654494,7549,2707,367,391702,0 53,2,2024-09-07 09:14:51:298,481523,481522,1,0,19643537,0,5455 53,3,2024-09-07 09:14:50:701,1,379,2,0,308,3315,379,0 54,0,2024-09-07 09:14:51:621,92379,0.6,92683,0.8,184580,0.4,246518,2.25 54,1,2024-09-07 09:14:50:585,666389,666389,0,0,313045918783,3249981151,660452,5318,619,366,391659,0 54,2,2024-09-07 09:14:50:866,482079,482047,32,0,22432970,0,6397 54,3,2024-09-07 09:14:50:763,1,379,1,0,676,5500,379,0 55,0,2024-09-07 09:14:51:768,91291,0.6,94411,0.7,190690,0.5,248329,2.50 55,1,2024-09-07 09:14:50:764,666635,666635,0,0,312601124002,3248189323,659897,5829,909,365,391731,0 55,2,2024-09-07 09:14:50:729,478719,478663,56,0,21692768,0,7239 55,3,2024-09-07 09:14:50:674,1,379,1,0,304,4074,379,0 56,0,2024-09-07 09:14:51:563,95838,1.1,90408,1.1,186300,1.4,249074,2.50 56,1,2024-09-07 09:14:50:569,662851,662851,0,0,311091990781,3285365048,652671,7987,2193,381,391867,0 56,2,2024-09-07 09:14:51:303,475760,475760,0,0,21438818,0,3567 56,3,2024-09-07 09:14:51:064,1,379,8,0,705,4755,379,0 57,0,2024-09-07 09:14:50:939,95624,1.6,95470,1.3,191374,2.2,256087,3.00 57,1,2024-09-07 09:14:50:990,665061,665061,0,0,311818031833,3268718750,657146,6901,1014,366,392032,0 57,2,2024-09-07 09:14:51:318,480002,480002,0,0,23763534,0,3317 57,3,2024-09-07 09:14:51:738,1,379,0,0,359,4202,379,0 58,0,2024-09-07 09:14:50:556,94427,0.9,91674,1.0,191888,1.1,251266,2.50 58,1,2024-09-07 09:14:50:575,665664,665661,0,3,312658431239,3270080216,656382,8022,1257,367,391603,3 58,2,2024-09-07 09:14:51:075,481021,481021,0,0,22054543,0,2857 58,3,2024-09-07 09:14:51:068,1,379,1,0,1043,4190,379,0 59,0,2024-09-07 09:14:51:743,95156,0.8,94811,0.9,189307,0.8,251772,2.75 59,1,2024-09-07 09:14:50:810,664990,664990,0,0,312429148924,3272188580,655961,7439,1590,369,391586,0 59,2,2024-09-07 09:14:50:585,480147,480147,0,0,21674774,0,2867 59,3,2024-09-07 09:14:51:737,1,379,1,0,1015,4844,379,0 60,0,2024-09-07 09:14:51:705,93525,0.5,93499,0.7,187781,0.5,249502,1.75 60,1,2024-09-07 09:14:50:772,667158,667158,0,0,312522986350,3244408147,662722,3787,649,370,392031,0 60,2,2024-09-07 09:14:51:139,477999,477999,0,0,20475726,0,3811 60,3,2024-09-07 09:14:51:259,1,379,8,0,409,4434,379,0 61,0,2024-09-07 09:14:51:500,95074,0.7,95859,0.8,190655,0.7,254363,2.00 61,1,2024-09-07 09:14:50:772,665710,665710,0,0,312039320990,3270105637,658169,6191,1350,382,392127,0 61,2,2024-09-07 09:14:51:123,477285,477218,67,0,20991232,0,6411 61,3,2024-09-07 09:14:51:710,1,379,1,0,479,5383,379,0 62,0,2024-09-07 09:14:51:726,98546,0.5,100921,0.7,192421,0.5,262091,2.00 62,1,2024-09-07 09:14:51:118,670075,670069,0,6,314350576841,3243215734,666285,3524,260,365,391715,6 62,2,2024-09-07 09:14:51:648,480458,480457,1,0,20860578,0,5555 62,3,2024-09-07 09:14:51:148,1,379,6,0,465,2796,379,0 63,0,2024-09-07 09:14:51:458,94427,0.4,94261,0.6,189032,0.3,251216,1.75 63,1,2024-09-07 09:14:50:809,667692,667686,0,6,312233752804,3240462960,663667,3631,388,381,391800,6 63,2,2024-09-07 09:14:50:764,480403,480403,0,0,18835615,0,4369 63,3,2024-09-07 09:14:51:732,1,379,45,0,667,4106,379,0 64,0,2024-09-07 09:14:51:536,92378,0.5,92432,0.7,184885,0.5,245373,2.00 64,1,2024-09-07 09:14:50:750,666943,666943,0,0,312519007703,3255039730,660980,4286,1677,370,391783,0 64,2,2024-09-07 09:14:51:140,482579,482560,19,0,18488357,0,6121 64,3,2024-09-07 09:14:51:140,1,379,8,0,265,3417,379,0 65,0,2024-09-07 09:14:51:681,92717,0.6,93057,0.7,185824,0.6,247913,2.00 65,1,2024-09-07 09:14:50:862,665245,665245,0,0,311929771462,3259915444,660374,4331,540,382,391901,0 65,2,2024-09-07 09:14:51:697,476718,476718,0,0,20671078,0,3367 65,3,2024-09-07 09:14:51:695,1,379,0,0,347,3912,379,0 66,0,2024-09-07 09:14:51:777,98035,0.5,97949,0.7,195811,0.4,260764,2.00 66,1,2024-09-07 09:14:51:292,667410,667410,0,0,312427453526,3248566480,663445,3598,367,380,391653,0 66,2,2024-09-07 09:14:51:135,483139,483139,0,0,18649139,0,4956 66,3,2024-09-07 09:14:51:083,1,379,5,0,291,3518,379,0 67,0,2024-09-07 09:14:51:425,92951,0.5,92627,0.6,185747,0.4,246998,2.00 67,1,2024-09-07 09:14:50:774,667464,667463,0,1,312448887459,3251538218,662997,3681,785,380,391787,1 67,2,2024-09-07 09:14:50:584,482748,482748,0,0,17982715,0,2889 67,3,2024-09-07 09:14:51:756,1,379,3,0,338,3321,379,0 68,0,2024-09-07 09:14:50:571,94000,0.6,93627,0.7,186746,0.5,249295,2.00 68,1,2024-09-07 09:14:50:573,664762,664762,0,0,311688160194,3269122762,657879,4810,2073,381,391953,0 68,2,2024-09-07 09:14:51:051,477772,477672,100,0,24113390,0,8578 68,3,2024-09-07 09:14:50:728,1,379,4,0,417,3924,379,0 69,0,2024-09-07 09:14:51:791,93156,0.7,93699,0.8,186526,0.9,247570,2.25 69,1,2024-09-07 09:14:51:020,663748,663748,0,0,311717861662,3286543077,654791,6769,2188,384,391994,0 69,2,2024-09-07 09:14:51:736,475311,475282,29,0,23977518,0,6912 69,3,2024-09-07 09:14:50:760,1,379,4,0,698,5245,379,0 70,0,2024-09-07 09:14:51:534,96469,0.9,96567,1.0,194109,0.8,257781,2.50 70,1,2024-09-07 09:14:50:801,667241,667241,0,0,313438351866,3251422760,661963,4620,658,366,391725,0 70,2,2024-09-07 09:14:51:329,480005,480005,0,0,20998382,0,4044 70,3,2024-09-07 09:14:50:748,1,379,4,0,854,4305,379,0 71,0,2024-09-07 09:14:51:356,93528,0.9,93252,1.0,187246,1.1,250429,2.75 71,1,2024-09-07 09:14:51:607,666233,666233,0,0,313175630865,3266405853,658727,6758,748,368,391738,0 71,2,2024-09-07 09:14:51:068,481720,481720,0,0,21855827,0,4352 71,3,2024-09-07 09:14:51:750,1,379,1,0,644,4922,379,0 72,0,2024-09-07 09:14:51:029,98291,0.6,96105,0.7,187374,0.5,254468,2.00 72,1,2024-09-07 09:14:51:023,665040,665040,0,0,312147798526,3273305525,655232,7848,1960,369,391819,0 72,2,2024-09-07 09:14:51:757,479404,479404,0,0,23937967,0,3983 72,3,2024-09-07 09:14:51:765,1,379,1,0,564,5796,379,0 73,0,2024-09-07 09:14:51:114,91925,0.4,94219,0.6,192766,0.4,250527,2.00 73,1,2024-09-07 09:14:50:766,666355,666355,0,0,312697107142,3248841020,661680,4311,364,367,391858,0 73,2,2024-09-07 09:14:51:738,476113,476113,0,0,23124782,0,3701 73,3,2024-09-07 09:14:50:969,1,379,1,0,486,5307,379,0 74,0,2024-09-07 09:14:51:325,97137,0.5,99347,0.6,189525,0.4,257693,2.25 74,1,2024-09-07 09:14:50:635,665823,665823,0,0,312178934727,3259749551,658840,5614,1369,381,391681,0 74,2,2024-09-07 09:14:51:004,477677,477677,0,0,21696758,0,4253 74,3,2024-09-07 09:14:51:441,1,379,1,0,522,5328,379,0 75,0,2024-09-07 09:14:51:779,97239,0.5,96682,0.8,194241,0.5,259082,2.25 75,1,2024-09-07 09:14:51:590,665532,665532,0,0,312303588369,3260540667,658847,5859,826,380,391739,0 75,2,2024-09-07 09:14:51:349,480920,480920,0,0,23129121,0,4766 75,3,2024-09-07 09:14:51:073,1,379,6,0,702,5127,379,0 76,0,2024-09-07 09:14:50:600,94453,0.6,93834,0.7,188400,0.5,251990,2.25 76,1,2024-09-07 09:14:50:830,666304,666304,0,0,312243698466,3255659566,661972,3721,611,382,391692,0 76,2,2024-09-07 09:14:51:070,481692,481691,1,0,21032497,0,5144 76,3,2024-09-07 09:14:51:142,1,379,8,0,175,3515,379,0 77,0,2024-09-07 09:14:51:726,93153,0.6,93379,0.7,186826,0.6,248125,2.00 77,1,2024-09-07 09:14:50:827,666140,666140,0,0,312348474937,3262602935,660561,5083,496,381,391869,0 77,2,2024-09-07 09:14:51:285,479048,479048,0,0,20585100,0,3890 77,3,2024-09-07 09:14:51:099,1,379,19,0,401,4126,379,0 78,0,2024-09-07 09:14:51:724,94782,0.5,94248,0.6,189398,0.4,251497,2.00 78,1,2024-09-07 09:14:50:616,666717,666717,0,0,312248108336,3252623232,659652,5499,1566,367,391670,0 78,2,2024-09-07 09:14:51:419,477233,477233,0,0,17857338,0,3855 78,3,2024-09-07 09:14:51:133,1,379,1,0,181,3554,379,0 79,0,2024-09-07 09:14:51:347,94771,0.4,97051,0.6,198969,0.3,258615,2.25 79,1,2024-09-07 09:14:50:572,668347,668347,0,0,312570529634,3236063772,663504,4143,700,368,391682,0 79,2,2024-09-07 09:14:51:068,482780,482780,0,0,18791804,0,4195 79,3,2024-09-07 09:14:50:749,1,379,1,0,418,4768,379,0 80,0,2024-09-07 09:14:51:092,93032,0.5,95813,0.6,183438,0.5,247853,2.00 80,1,2024-09-07 09:14:51:667,666474,666474,0,0,312573269249,3250492968,662480,3791,203,368,391791,0 80,2,2024-09-07 09:14:51:097,482663,482663,0,0,18481813,0,4433 80,3,2024-09-07 09:14:50:574,1,379,6,0,190,4542,379,0 81,0,2024-09-07 09:14:51:572,93184,0.5,95400,0.7,182070,0.5,246937,2.00 81,1,2024-09-07 09:14:51:656,665912,665912,0,0,311515591564,3254706426,660566,4843,503,382,391879,0 81,2,2024-09-07 09:14:51:125,478815,478752,63,0,20207955,0,5932 81,3,2024-09-07 09:14:51:122,1,379,0,0,719,4851,379,0 82,0,2024-09-07 09:14:51:533,93183,0.5,93728,0.7,187788,0.4,249349,2.00 82,1,2024-09-07 09:14:50:584,667779,667775,0,4,312784569500,3252809553,663688,3289,798,381,391768,4 82,2,2024-09-07 09:14:51:705,477250,477250,0,0,16889830,0,3986 82,3,2024-09-07 09:14:51:764,1,379,9,0,363,3718,379,0 83,0,2024-09-07 09:14:51:543,97884,0.5,97619,0.7,194980,0.5,259690,2.00 83,1,2024-09-07 09:14:50:551,665520,665520,0,0,312468766901,3255838223,660957,4181,382,382,391709,0 83,2,2024-09-07 09:14:50:763,481101,481101,0,0,17785354,0,3393 83,3,2024-09-07 09:14:50:749,1,379,58,0,1260,5066,379,0 84,0,2024-09-07 09:14:51:800,93190,0.7,93150,0.9,185883,0.6,248951,2.25 84,1,2024-09-07 09:14:51:131,665164,665164,0,0,312298820656,3263237883,658100,6032,1032,367,391967,0 84,2,2024-09-07 09:14:50:584,481085,481055,30,0,24344122,0,5971 84,3,2024-09-07 09:14:51:144,1,379,13,0,908,5595,379,0 85,0,2024-09-07 09:14:51:015,91023,0.6,91027,0.8,193204,0.6,249577,2.25 85,1,2024-09-07 09:14:50:562,663710,663710,0,0,312199439617,3294611554,653715,8177,1818,381,392006,0 85,2,2024-09-07 09:14:50:895,479022,479022,0,0,22445856,0,3656 85,3,2024-09-07 09:14:50:685,1,379,1,0,789,4973,379,0 86,0,2024-09-07 09:14:50:891,93680,0.6,96400,0.8,184421,0.7,248801,2.25 86,1,2024-09-07 09:14:50:824,665635,665635,0,0,312940819128,3272632761,658481,6085,1069,366,391961,0 86,2,2024-09-07 09:14:50:859,474444,474443,1,0,23348303,0,5004 86,3,2024-09-07 09:14:50:587,1,379,1,0,308,5677,379,0 87,0,2024-09-07 09:14:51:308,96595,0.9,96480,0.9,193395,1.2,258503,2.25 87,1,2024-09-07 09:14:50:552,664827,664827,0,0,312137163238,3265892209,657206,6500,1121,366,392076,0 87,2,2024-09-07 09:14:51:067,478159,478153,6,0,21704681,0,6323 87,3,2024-09-07 09:14:51:796,1,379,262,0,473,5936,379,0 88,0,2024-09-07 09:14:51:439,95786,0.4,96480,0.6,192053,0.4,255424,1.75 88,1,2024-09-07 09:14:50:568,663415,663415,0,0,311408801683,3262305391,654938,6596,1881,365,392084,0 88,2,2024-09-07 09:14:50:688,482362,482362,0,0,23666147,0,3583 88,3,2024-09-07 09:14:51:275,1,379,11,0,435,3971,379,0 89,0,2024-09-07 09:14:51:771,98204,0.5,95166,0.6,188161,0.4,255481,1.75 89,1,2024-09-07 09:14:50:564,663991,663991,0,0,311274924512,3272504032,655784,6847,1360,382,391866,0 89,2,2024-09-07 09:14:51:140,478736,478736,0,0,22764576,0,3173 89,3,2024-09-07 09:14:51:794,1,379,10,0,385,6786,379,0 90,0,2024-09-07 09:14:51:672,90756,0.5,93280,0.6,190177,0.4,248535,2.00 90,1,2024-09-07 09:14:50:596,665490,665490,0,0,311964810309,3267847579,659071,5912,507,380,391825,0 90,2,2024-09-07 09:14:51:410,475990,475990,0,0,23967145,0,3060 90,3,2024-09-07 09:14:50:932,1,379,2,0,322,4891,379,0 91,0,2024-09-07 09:14:50:954,95883,0.5,92865,0.6,194052,0.4,254859,1.75 91,1,2024-09-07 09:14:50:555,664134,664134,0,0,312059979519,3281507497,655694,7252,1188,381,392047,0 91,2,2024-09-07 09:14:51:339,477851,477851,0,0,21343218,0,2896 91,3,2024-09-07 09:14:50:599,1,379,32,0,216,3543,379,0 92,0,2024-09-07 09:14:51:488,98693,0.4,101283,0.6,193290,0.4,262332,1.75 92,1,2024-09-07 09:14:50:594,665285,665285,0,0,312399741499,3263451498,660009,4557,719,382,392136,0 92,2,2024-09-07 09:14:51:374,482845,482845,0,0,19417853,0,3259 92,3,2024-09-07 09:14:51:013,1,379,87,0,167,3410,379,0 93,0,2024-09-07 09:14:51:016,94832,0.4,97142,0.5,185362,0.3,251376,1.75 93,1,2024-09-07 09:14:50:816,665243,665243,0,0,311843124921,3257358455,658084,5934,1225,366,391776,0 93,2,2024-09-07 09:14:50:928,479769,479769,0,0,22882057,0,4845 93,3,2024-09-07 09:14:51:418,1,379,0,0,190,3496,379,0 94,0,2024-09-07 09:14:51:630,92494,0.4,93392,0.5,186610,0.3,247045,1.75 94,1,2024-09-07 09:14:50:563,665820,665820,0,0,312390787306,3258103940,661724,3905,191,381,391850,0 94,2,2024-09-07 09:14:50:761,479226,479226,0,0,19550720,0,2443 94,3,2024-09-07 09:14:51:709,1,379,50,0,264,4642,379,0 95,0,2024-09-07 09:14:51:347,93484,0.4,93448,0.5,187412,0.3,249803,1.75 95,1,2024-09-07 09:14:50:854,667257,667257,0,0,312589307119,3249877974,661852,4997,408,367,391713,0 95,2,2024-09-07 09:14:51:023,476207,476207,0,0,18827554,0,3308 95,3,2024-09-07 09:14:51:726,1,379,2,0,718,5605,379,0 96,0,2024-09-07 09:14:51:029,98406,0.3,98655,0.5,197044,0.3,261477,1.75 96,1,2024-09-07 09:14:51:587,665574,665574,0,0,312071689713,3254922795,660702,4057,815,384,391955,0 96,2,2024-09-07 09:14:51:268,482211,482211,0,0,19253983,0,4042 96,3,2024-09-07 09:14:51:142,1,379,2,0,411,4071,379,0 97,0,2024-09-07 09:14:51:327,92890,0.3,92592,0.5,185939,0.3,246727,1.50 97,1,2024-09-07 09:14:50:767,667018,667018,0,0,313226902979,3246992271,662652,3672,694,367,392140,0 97,2,2024-09-07 09:14:50:609,482252,482252,0,0,19045382,0,3679 97,3,2024-09-07 09:14:50:569,1,379,6,0,214,4284,379,0 98,0,2024-09-07 09:14:51:728,93537,0.3,93510,0.4,187800,0.2,249111,1.50 98,1,2024-09-07 09:14:50:573,666592,666592,0,0,313098056609,3255133335,663569,2903,120,382,391997,0 98,2,2024-09-07 09:14:50:777,480227,480227,0,0,18516464,0,3080 98,3,2024-09-07 09:14:50:703,1,379,1,0,840,5698,379,0 99,0,2024-09-07 09:14:51:466,93625,0.3,94268,0.5,187292,0.3,249709,1.75 99,1,2024-09-07 09:14:51:723,666512,666512,0,0,312557825873,3252442139,662078,3662,772,381,392069,0 99,2,2024-09-07 09:14:51:419,476645,476645,0,0,21301864,0,3424 99,3,2024-09-07 09:14:50:585,1,379,25,0,606,4137,379,0 100,0,2024-09-07 09:14:51:511,96996,0.7,97215,0.9,193757,0.9,259494,2.50 100,1,2024-09-07 09:14:50:548,663295,663295,0,0,311323275475,3287635751,653680,7719,1896,381,391989,0 100,2,2024-09-07 09:14:51:818,478664,478653,11,0,21977387,0,5417 100,3,2024-09-07 09:14:51:731,1,379,8,0,559,6487,379,0 101,0,2024-09-07 09:14:51:711,96416,1.1,93816,1.0,184348,0.9,252288,2.25 101,1,2024-09-07 09:14:50:550,663380,663380,0,0,311422372522,3276918621,653442,7822,2116,368,391847,0 101,2,2024-09-07 09:14:51:765,479224,479224,0,0,26055974,0,4871 101,3,2024-09-07 09:14:50:943,1,379,4,0,579,5026,379,0 102,0,2024-09-07 09:14:50:965,92704,0.7,95712,0.8,193699,0.6,253233,2.00 102,1,2024-09-07 09:14:51:142,664288,664288,0,0,311751098254,3272775329,655990,7039,1259,369,391891,0 102,2,2024-09-07 09:14:51:737,480200,480146,54,0,21189012,0,6768 102,3,2024-09-07 09:14:51:614,1,379,1,0,410,3832,379,0 103,0,2024-09-07 09:14:51:609,97347,0.6,97278,0.7,183440,0.6,252337,2.00 103,1,2024-09-07 09:14:51:639,663035,663035,0,0,311744814627,3287184847,652913,7534,2588,381,391829,0 103,2,2024-09-07 09:14:50:587,475252,475252,0,0,22336352,0,3766 103,3,2024-09-07 09:14:50:759,1,379,6,0,916,4354,379,0 104,0,2024-09-07 09:14:51:072,95670,0.7,96070,0.9,190680,0.7,257049,2.25 104,1,2024-09-07 09:14:51:604,665146,665146,0,0,311112509903,3269039013,655579,7793,1774,365,392168,0 104,2,2024-09-07 09:14:51:672,477224,477224,0,0,23010275,0,3941 104,3,2024-09-07 09:14:51:416,1,379,0,0,1245,7828,379,0 105,0,2024-09-07 09:14:51:072,95812,0.9,93263,1.1,195555,1.1,257320,2.75 105,1,2024-09-07 09:14:50:554,665781,665781,0,0,312272635359,3273201708,657128,7305,1348,366,392009,0 105,2,2024-09-07 09:14:51:329,481110,481110,0,0,22600334,0,3509 105,3,2024-09-07 09:14:51:309,1,379,3,0,399,5770,379,0 106,0,2024-09-07 09:14:50:956,91521,0.8,93687,0.9,192067,0.8,251391,2.50 106,1,2024-09-07 09:14:51:769,664344,664344,0,0,311810428847,3278367279,653674,9228,1442,369,391914,0 106,2,2024-09-07 09:14:50:756,478940,478940,0,0,22157969,0,2795 106,3,2024-09-07 09:14:50:679,1,379,6,0,470,4755,379,0 107,0,2024-09-07 09:14:51:101,92991,1.1,93143,1.0,186110,1.4,248065,2.25 107,1,2024-09-07 09:14:50:586,663633,663633,0,0,310800536176,3273339156,655080,7585,968,381,392234,0 107,2,2024-09-07 09:14:51:291,477089,477088,1,0,22936289,0,5024 107,3,2024-09-07 09:14:51:769,1,379,1,0,353,5379,379,0 108,0,2024-09-07 09:14:51:814,94069,0.5,94748,0.6,188288,0.4,252054,1.75 108,1,2024-09-07 09:14:51:294,666029,666029,0,0,312903736696,3263037377,660466,4827,736,368,391857,0 108,2,2024-09-07 09:14:51:770,475573,475573,0,0,21429444,0,4246 108,3,2024-09-07 09:14:51:343,1,379,17,0,749,7401,379,0 109,0,2024-09-07 09:14:51:757,98455,0.4,97576,0.5,195243,0.3,261131,1.75 109,1,2024-09-07 09:14:50:585,663717,663717,0,0,311794205925,3269858836,657890,5048,779,383,392132,0 109,2,2024-09-07 09:14:50:921,479738,479738,0,0,21173672,0,3617 109,3,2024-09-07 09:14:51:140,1,379,1,0,379,4418,379,0 110,0,2024-09-07 09:14:51:823,93027,0.4,90583,0.6,189693,0.3,248621,1.75 110,1,2024-09-07 09:14:51:657,667406,667406,0,0,313150963308,3249317119,662915,3509,982,369,392045,0 110,2,2024-09-07 09:14:51:302,481001,481001,0,0,20682790,0,4067 110,3,2024-09-07 09:14:50:691,1,379,2,0,722,5606,379,0 111,0,2024-09-07 09:14:51:422,93524,0.4,92790,0.6,186169,0.3,248310,1.75 111,1,2024-09-07 09:14:51:004,667574,667574,0,0,313035015437,3246702419,664060,3161,353,380,391690,0 111,2,2024-09-07 09:14:51:116,478935,478935,0,0,20114496,0,4823 111,3,2024-09-07 09:14:50:913,1,379,1,0,379,4358,379,0 112,0,2024-09-07 09:14:50:942,94450,0.3,93800,0.4,188028,0.2,249933,1.50 112,1,2024-09-07 09:14:50:830,667095,667095,0,0,313367069805,3250786747,662989,3495,611,380,391624,0 112,2,2024-09-07 09:14:51:142,476158,476157,1,0,18106693,0,5036 112,3,2024-09-07 09:14:50:594,1,379,10,0,282,3845,379,0 113,0,2024-09-07 09:14:50:927,97549,0.3,97741,0.4,195669,0.2,260887,1.50 113,1,2024-09-07 09:14:51:712,669597,669597,0,0,315061000185,3249468235,665973,3040,584,366,391661,0 113,2,2024-09-07 09:14:51:318,482642,482642,0,0,17153603,0,3813 113,3,2024-09-07 09:14:50:684,1,379,6,0,340,4435,379,0 114,0,2024-09-07 09:14:50:877,94104,0.3,94797,0.5,188368,0.2,251522,1.75 114,1,2024-09-07 09:14:50:719,667047,667047,0,0,312834255426,3249163601,661581,3869,1597,381,391565,0 114,2,2024-09-07 09:14:50:872,482494,482493,1,0,18812850,0,5069 114,3,2024-09-07 09:14:51:277,1,379,1,0,395,3091,379,0 115,0,2024-09-07 09:14:50:565,94501,0.3,95083,0.4,189722,0.2,252076,1.50 115,1,2024-09-07 09:14:50:636,666943,666943,0,0,313764812391,3258286332,661610,4489,844,382,391757,0 115,2,2024-09-07 09:14:51:125,481660,481660,0,0,17496456,0,3848 115,3,2024-09-07 09:14:51:003,1,379,6,0,159,2258,379,0 116,0,2024-09-07 09:14:51:737,93498,0.7,93422,0.9,187328,0.7,250079,2.00 116,1,2024-09-07 09:14:50:810,663893,663893,0,0,311835997638,3285400516,655756,5820,2317,380,392089,0 116,2,2024-09-07 09:14:51:751,475252,475252,0,0,22635204,0,3529 116,3,2024-09-07 09:14:50:912,1,379,8,0,415,4529,379,0 117,0,2024-09-07 09:14:50:994,97021,0.8,96483,0.8,193242,0.9,258871,2.00 117,1,2024-09-07 09:14:51:591,664379,664379,0,0,311407112634,3258409112,657349,6185,845,369,392033,0 117,2,2024-09-07 09:14:51:126,481864,481864,0,0,19142677,0,3700 117,3,2024-09-07 09:14:51:060,1,379,1,0,490,5248,379,0 118,0,2024-09-07 09:14:51:796,93129,0.5,95476,0.7,195108,0.5,254501,2.00 118,1,2024-09-07 09:14:50:585,664253,664253,0,0,311589181283,3275431436,654185,7541,2527,366,391907,0 118,2,2024-09-07 09:14:51:587,481028,481028,0,0,21898908,0,2842 118,3,2024-09-07 09:14:51:769,1,379,4,0,248,4332,379,0 119,0,2024-09-07 09:14:51:367,95125,0.6,95595,0.7,191006,0.5,253863,2.00 119,1,2024-09-07 09:14:50:567,665169,665169,0,0,311702569304,3259454777,658081,6246,842,367,391780,0 119,2,2024-09-07 09:14:51:278,480118,480118,0,0,20259125,0,4174 119,3,2024-09-07 09:14:51:327,1,379,0,0,1058,6622,379,0 120,0,2024-09-07 09:14:51:551,93009,0.6,92889,0.8,185972,0.6,249068,2.25 120,1,2024-09-07 09:14:50:867,664788,664788,0,0,311708217884,3271081093,657640,6503,645,368,391961,0 120,2,2024-09-07 09:14:50:777,476726,476725,1,0,23605864,0,5281 120,3,2024-09-07 09:14:51:293,1,379,8,0,241,4571,379,0 121,0,2024-09-07 09:14:51:695,95278,1.2,95229,1.0,191061,1.6,254312,2.25 121,1,2024-09-07 09:14:51:655,665548,665548,0,0,312543819223,3268674975,659292,5810,446,367,391840,0 121,2,2024-09-07 09:14:51:128,476320,476320,0,0,22645116,0,4127 121,3,2024-09-07 09:14:50:732,1,379,2,0,269,4378,379,0 122,0,2024-09-07 09:14:51:817,97412,0.8,95088,0.9,199601,0.9,262204,2.00 122,1,2024-09-07 09:14:50:860,664051,664051,0,0,311132221134,3264094513,654981,7785,1285,366,392130,0 122,2,2024-09-07 09:14:51:328,481314,481241,73,0,25374363,0,5989 122,3,2024-09-07 09:14:50:603,1,379,68,0,512,7205,379,0 123,0,2024-09-07 09:14:50:996,93684,0.6,91675,0.8,191353,0.6,250926,2.00 123,1,2024-09-07 09:14:50:561,664224,664224,0,0,310956247811,3276950390,652098,9924,2202,369,392039,0 123,2,2024-09-07 09:14:51:019,478512,478511,1,0,21906567,0,5215 123,3,2024-09-07 09:14:51:132,1,379,0,0,168,4096,379,0 124,0,2024-09-07 09:14:50:926,95752,0.3,95738,0.5,180547,0.3,247841,1.75 124,1,2024-09-07 09:14:51:036,666716,666716,0,0,312639131753,3250423436,661880,3923,913,367,392178,0 124,2,2024-09-07 09:14:51:011,480344,480291,53,0,19577907,0,6487 124,3,2024-09-07 09:14:50:770,1,379,17,0,490,3770,379,0 125,0,2024-09-07 09:14:51:436,93805,0.4,93417,0.5,187809,0.3,250080,1.75 125,1,2024-09-07 09:14:50:860,665578,665578,0,0,311921849230,3255967898,660404,4525,649,382,391702,0 125,2,2024-09-07 09:14:51:137,476903,476903,0,0,20238088,0,4534 125,3,2024-09-07 09:14:51:134,1,379,2,0,709,4916,379,0 126,0,2024-09-07 09:14:51:418,98144,0.4,101084,0.6,193600,0.4,262217,1.75 126,1,2024-09-07 09:14:50:562,667338,667338,0,0,313531795032,3248205220,663469,3581,288,365,391987,0 126,2,2024-09-07 09:14:50:622,482018,482018,0,0,20691762,0,4539 126,3,2024-09-07 09:14:50:907,1,379,1,0,207,4852,379,0 127,0,2024-09-07 09:14:51:613,92743,0.3,93214,0.5,185939,0.3,246883,1.50 127,1,2024-09-07 09:14:50:569,666380,666380,0,0,312878012629,3255136595,659635,5639,1106,364,392187,0 127,2,2024-09-07 09:14:50:644,480734,480734,0,0,19158928,0,3897 127,3,2024-09-07 09:14:51:275,1,379,2,0,968,4393,379,0 128,0,2024-09-07 09:14:51:614,93953,0.3,94307,0.4,188212,0.2,249417,1.50 128,1,2024-09-07 09:14:51:621,666510,666510,0,0,312329741992,3241796455,662167,3970,373,367,391838,0 128,2,2024-09-07 09:14:51:382,480743,480743,0,0,18114232,0,2915 128,3,2024-09-07 09:14:50:770,1,379,34,0,1082,6230,379,0 129,0,2024-09-07 09:14:50:998,94284,0.3,93732,0.5,188083,0.3,249956,1.50 129,1,2024-09-07 09:14:50:593,664168,664168,0,0,311810628322,3263059313,658257,4654,1257,379,391835,0 129,2,2024-09-07 09:14:50:690,476334,476334,0,0,18935836,0,4031 129,3,2024-09-07 09:14:50:688,1,379,1,0,506,5208,379,0 130,0,2024-09-07 09:14:51:774,97784,0.4,97376,0.6,196189,0.4,260891,1.75 130,1,2024-09-07 09:14:50:612,667093,667093,0,0,313234247653,3255448544,663383,3373,337,381,391825,0 130,2,2024-09-07 09:14:51:127,481678,481678,0,0,19200538,0,4067 130,3,2024-09-07 09:14:51:291,1,379,10,0,535,4888,379,0 131,0,2024-09-07 09:14:51:949,94342,0.4,94853,0.5,190784,0.3,252422,1.75 131,1,2024-09-07 09:14:51:831,666619,666619,0,0,312546214513,3261194825,661800,4070,749,381,391865,0 131,2,2024-09-07 09:14:50:567,484255,484255,0,0,17534891,0,2415 131,3,2024-09-07 09:14:51:696,1,379,6,0,392,3824,379,0 132,0,2024-09-07 09:14:51:428,95191,0.4,96157,0.6,191176,0.4,253993,1.75 132,1,2024-09-07 09:14:50:578,663695,663695,0,0,311415488202,3275884700,654552,7612,1531,381,392097,0 132,2,2024-09-07 09:14:50:698,479585,479568,17,0,23955149,0,6451 132,3,2024-09-07 09:14:51:699,1,379,9,0,804,6784,379,0 133,0,2024-09-07 09:14:51:549,91941,0.4,94057,0.6,193033,0.4,251023,1.75 133,1,2024-09-07 09:14:50:585,663493,663493,0,0,311681865973,3282779434,654350,7986,1157,383,391914,0 133,2,2024-09-07 09:14:51:090,475668,475618,50,0,24676235,0,6861 133,3,2024-09-07 09:14:51:309,1,379,20,0,479,3944,379,0 134,0,2024-09-07 09:14:50:947,96799,0.5,96506,0.7,192999,0.4,258230,2.00 134,1,2024-09-07 09:14:50:588,664925,664925,0,0,311396624236,3265732025,656332,6709,1884,366,391718,0 134,2,2024-09-07 09:14:51:759,477556,477532,24,0,21823480,0,6207 134,3,2024-09-07 09:14:50:756,1,379,1,0,739,4770,379,0 135,0,2024-09-07 09:14:51:106,94040,0.9,93992,0.9,199328,1.0,256803,2.25 135,1,2024-09-07 09:14:51:591,664740,664740,0,0,311636063462,3278608243,655721,7741,1278,380,391805,0 135,2,2024-09-07 09:14:50:687,482542,482542,0,0,22115456,0,3981 135,3,2024-09-07 09:14:51:002,1,379,7,0,299,3188,379,0 136,0,2024-09-07 09:14:51:626,95183,0.5,95365,0.7,189660,0.5,253330,2.25 136,1,2024-09-07 09:14:51:456,664383,664383,0,0,311973777048,3273470838,656701,6842,840,382,391685,0 136,2,2024-09-07 09:14:51:153,480940,480940,0,0,21670771,0,3506 136,3,2024-09-07 09:14:51:114,1,379,1,0,637,4162,379,0 137,0,2024-09-07 09:14:50:932,95998,0.6,93395,0.7,183642,0.7,249371,2.00 137,1,2024-09-07 09:14:50:578,663935,663935,0,0,311829096906,3275982310,652853,8735,2347,366,391898,0 137,2,2024-09-07 09:14:51:708,477574,477574,0,0,23976405,0,3185 137,3,2024-09-07 09:14:50:790,1,379,0,0,484,4825,379,0 138,0,2024-09-07 09:14:51:843,93851,1.2,93906,1.0,188601,1.6,251106,2.25 138,1,2024-09-07 09:14:51:705,664947,664947,0,0,311263747865,3268386711,655144,8084,1719,368,391954,0 138,2,2024-09-07 09:14:50:586,476172,476172,0,0,21623682,0,4988 138,3,2024-09-07 09:14:50:618,1,379,4,0,1160,5112,379,0 139,0,2024-09-07 09:14:51:365,96858,1.5,96966,1.1,194093,2.2,259118,2.50 139,1,2024-09-07 09:14:50:577,661744,661744,0,0,310282894517,3300636624,648078,10398,3268,381,392058,0 139,2,2024-09-07 09:14:50:695,478131,478131,0,0,24552874,0,3097 139,3,2024-09-07 09:14:51:670,1,379,3,0,432,4646,379,0 140,0,2024-09-07 09:14:51:589,93447,0.3,92981,0.5,186723,0.2,248807,1.75 140,1,2024-09-07 09:14:51:537,668376,668376,0,0,313607898387,3235026165,664891,3046,439,365,391606,0 140,2,2024-09-07 09:14:50:687,481390,481389,1,0,19364595,0,5036 140,3,2024-09-07 09:14:50:770,1,379,7,0,297,3304,379,0 141,0,2024-09-07 09:14:51:719,93384,0.3,95879,0.5,183057,0.3,248232,1.75 141,1,2024-09-07 09:14:50:864,666919,666919,0,0,313284226450,3253923478,661938,4036,945,379,391614,0 141,2,2024-09-07 09:14:51:705,480183,480183,0,0,18982958,0,3360 141,3,2024-09-07 09:14:51:050,1,379,60,0,391,4371,379,0 142,0,2024-09-07 09:14:51:329,94382,0.3,93813,0.5,187488,0.3,250488,1.75 142,1,2024-09-07 09:14:50:585,666158,666158,0,0,312345240410,3254961428,662074,3731,353,382,392102,0 142,2,2024-09-07 09:14:51:308,475452,475420,32,0,19913324,0,6028 142,3,2024-09-07 09:14:51:747,1,379,24,0,484,4431,379,0 143,0,2024-09-07 09:14:51:456,97928,0.4,97732,0.6,195952,0.4,260976,1.75 143,1,2024-09-07 09:14:50:564,667491,667491,0,0,313235930223,3249281535,663522,3815,154,367,391705,0 143,2,2024-09-07 09:14:50:810,481625,481625,0,0,20032201,0,3123 143,3,2024-09-07 09:14:51:146,1,379,43,0,462,5043,379,0 144,0,2024-09-07 09:14:51:546,90886,0.6,93268,0.8,190394,0.5,249400,2.00 144,1,2024-09-07 09:14:50:566,664083,664083,0,0,311389064736,3263637745,658401,4473,1209,381,391649,0 144,2,2024-09-07 09:14:51:756,482895,482895,0,0,18624235,0,3673 144,3,2024-09-07 09:14:51:739,1,379,81,0,249,3815,379,0 145,0,2024-09-07 09:14:51:360,91373,0.5,91385,0.8,193819,0.5,249236,2.25 145,1,2024-09-07 09:14:50:563,664100,664100,0,0,311563689166,3273321201,656330,6627,1143,382,391759,0 145,2,2024-09-07 09:14:51:429,478330,478249,81,0,22807567,0,7814 145,3,2024-09-07 09:14:50:900,1,379,1,0,622,5612,379,0 146,0,2024-09-07 09:14:51:626,93871,0.5,93232,0.7,187926,0.5,248689,2.00 146,1,2024-09-07 09:14:51:596,665221,665221,0,0,312139450937,3279547450,655034,8176,2011,367,391770,0 146,2,2024-09-07 09:14:51:698,474722,474722,0,0,21456525,0,2730 146,3,2024-09-07 09:14:51:276,1,379,2,0,1520,7724,379,0 147,0,2024-09-07 09:14:51:851,96932,0.6,96696,0.7,192715,0.5,258322,2.00 147,1,2024-09-07 09:14:51:392,666596,666596,0,0,313211479286,3258961447,660493,5297,806,368,391791,0 147,2,2024-09-07 09:14:51:011,479321,479321,0,0,19266563,0,2789 147,3,2024-09-07 09:14:50:915,1,379,1,0,730,5323,379,0 0,0,2024-09-07 09:15:01:729,91211,0.6,91240,0.7,193579,0.7,250369,2.00 0,1,2024-09-07 09:15:00:801,667126,667126,0,0,313192456647,3276174574,662140,4601,385,369,391896,0 0,2,2024-09-07 09:15:01:066,479376,479376,0,0,18982394,0,4480 0,3,2024-09-07 09:15:00:973,1,380,15,0,431,5351,380,0 1,0,2024-09-07 09:15:01:827,95800,0.8,95181,0.9,191331,0.9,255407,2.00 1,1,2024-09-07 09:15:00:560,666464,666464,0,0,313004558460,3274691093,660624,4604,1236,370,391857,0 1,2,2024-09-07 09:15:00:733,478084,478084,0,0,18557313,0,3380 1,3,2024-09-07 09:15:01:302,1,380,1,0,262,4345,380,0 2,0,2024-09-07 09:15:01:570,98042,0.6,98437,0.8,195805,0.7,261650,2.00 2,1,2024-09-07 09:15:00:858,668727,668727,0,0,313554613088,3254786987,665610,2824,293,380,391745,0 2,2,2024-09-07 09:15:01:266,483577,483577,0,0,18303539,0,3594 2,3,2024-09-07 09:15:00:691,1,380,1,0,357,3790,380,0 3,0,2024-09-07 09:15:01:760,94484,0.4,94280,0.6,188586,0.4,251272,2.00 3,1,2024-09-07 09:15:01:633,667071,667071,0,0,313247981381,3260527851,661727,4720,624,380,391591,0 3,2,2024-09-07 09:15:01:146,481590,481567,23,0,18951061,0,5851 3,3,2024-09-07 09:15:01:771,1,380,9,0,207,2393,380,0 4,0,2024-09-07 09:15:01:805,90392,0.4,92862,0.5,189261,0.3,247335,1.75 4,1,2024-09-07 09:15:00:592,665120,665120,0,0,312108976094,3296364247,654630,8155,2335,370,391992,0 4,2,2024-09-07 09:15:01:022,478967,478967,0,0,21924591,0,4528 4,3,2024-09-07 09:15:01:034,1,380,9,0,448,5160,380,0 5,0,2024-09-07 09:15:01:375,93744,0.4,94229,0.6,188034,0.4,250076,1.75 5,1,2024-09-07 09:15:00:781,666397,666397,0,0,312341338543,3283785651,658025,6861,1511,367,392005,0 5,2,2024-09-07 09:15:01:829,475933,475933,0,0,21056685,0,3582 5,3,2024-09-07 09:15:01:732,1,380,8,0,457,5299,380,0 6,0,2024-09-07 09:15:00:920,99036,0.4,98507,0.6,196789,0.3,263212,1.75 6,1,2024-09-07 09:15:00:749,666635,666635,0,0,312182272309,3262419463,659167,6110,1358,379,391694,0 6,2,2024-09-07 09:15:01:117,482092,482074,18,0,21417438,0,5535 6,3,2024-09-07 09:15:01:275,1,380,36,0,340,4032,380,0 7,0,2024-09-07 09:15:01:535,92396,0.5,92970,0.6,185225,0.4,246424,2.00 7,1,2024-09-07 09:15:00:850,665904,665904,0,0,312494699564,3280734825,656668,7841,1395,382,391747,0 7,2,2024-09-07 09:15:00:769,482970,482970,0,0,20434492,0,4791 7,3,2024-09-07 09:15:00:855,1,380,1,0,398,4084,380,0 8,0,2024-09-07 09:15:01:356,93911,0.4,93643,0.5,187625,0.3,249724,1.75 8,1,2024-09-07 09:15:01:028,664937,664937,0,0,312800240586,3290942878,653998,8346,2593,366,392144,0 8,2,2024-09-07 09:15:00:799,476901,476901,0,0,24807900,0,3220 8,3,2024-09-07 09:15:00:591,1,380,18,0,538,6387,380,0 9,0,2024-09-07 09:15:01:126,94067,0.4,91263,0.5,191014,0.3,249905,1.75 9,1,2024-09-07 09:15:00:550,665485,665485,0,0,312381006593,3290699231,655854,7495,2136,369,392001,0 9,2,2024-09-07 09:15:01:089,476190,476190,0,0,21924567,0,3360 9,3,2024-09-07 09:15:01:764,1,380,5,0,496,5341,380,0 10,0,2024-09-07 09:15:01:600,98015,0.3,97350,0.5,195652,0.2,261020,1.75 10,1,2024-09-07 09:15:00:583,666011,666011,0,0,312705304275,3276002125,657085,7641,1285,381,391741,0 10,2,2024-09-07 09:15:00:768,481687,481687,0,0,24084574,0,4264 10,3,2024-09-07 09:15:00:871,1,380,0,0,362,3698,380,0 11,0,2024-09-07 09:15:01:008,94352,0.5,91605,0.6,191484,0.4,252561,1.75 11,1,2024-09-07 09:15:00:571,666905,666905,0,0,312771979074,3283592965,656347,8076,2482,383,391756,0 11,2,2024-09-07 09:15:01:127,482613,482613,0,0,21887695,0,4130 11,3,2024-09-07 09:15:01:298,1,380,2,0,843,5671,380,0 12,0,2024-09-07 09:15:00:959,96021,0.4,96094,0.5,191926,0.3,254430,1.75 12,1,2024-09-07 09:15:00:933,667103,667103,0,0,313094429661,3264310053,661873,4735,495,370,391870,0 12,2,2024-09-07 09:15:01:575,481755,481755,0,0,21006500,0,3469 12,3,2024-09-07 09:15:01:059,1,380,71,0,386,5967,380,0 13,0,2024-09-07 09:15:01:362,95113,0.4,94869,0.6,189521,0.4,252321,1.75 13,1,2024-09-07 09:15:01:541,665952,665952,0,0,312909508704,3288093758,659527,4963,1462,382,391740,0 13,2,2024-09-07 09:15:00:600,478812,478812,0,0,19247489,0,3287 13,3,2024-09-07 09:15:01:771,1,380,2,0,522,5988,380,0 14,0,2024-09-07 09:15:00:567,97054,0.4,97790,0.6,193806,0.3,258960,1.75 14,1,2024-09-07 09:15:01:578,669992,669992,0,0,314653331325,3258003444,664910,4722,360,364,391673,0 14,2,2024-09-07 09:15:00:768,479908,479878,30,0,21165662,0,6104 14,3,2024-09-07 09:15:01:120,1,380,3,0,1168,4360,380,0 15,0,2024-09-07 09:15:01:603,96762,0.5,96767,0.7,193838,0.4,257753,2.00 15,1,2024-09-07 09:15:01:609,667183,667183,0,0,313722501428,3266188399,662277,4010,896,381,391619,0 15,2,2024-09-07 09:15:01:000,484415,484415,0,0,17737704,0,3622 15,3,2024-09-07 09:15:01:411,1,380,1,0,1126,6758,380,0 16,0,2024-09-07 09:15:00:935,95085,0.5,95487,0.7,190644,0.5,253728,2.00 16,1,2024-09-07 09:15:00:563,667863,667863,0,0,312740271573,3264597541,662895,4580,388,370,391756,0 16,2,2024-09-07 09:15:01:455,480952,480952,0,0,20055387,0,4719 16,3,2024-09-07 09:15:01:161,1,380,20,0,317,4970,380,0 17,0,2024-09-07 09:15:01:791,96216,0.6,93835,0.8,183710,0.6,249465,2.00 17,1,2024-09-07 09:15:00:570,666229,666229,0,0,312559511160,3277328946,659719,5248,1262,368,392075,0 17,2,2024-09-07 09:15:01:668,481976,481976,0,0,19557698,0,2857 17,3,2024-09-07 09:15:00:577,1,380,2,0,298,5950,380,0 18,0,2024-09-07 09:15:00:940,93865,0.6,94256,0.8,187899,0.6,251302,2.25 18,1,2024-09-07 09:15:01:638,668976,668976,0,0,313605152150,3249268349,665351,3308,317,367,391649,0 18,2,2024-09-07 09:15:01:771,480470,480470,0,0,17825557,0,3541 18,3,2024-09-07 09:15:00:896,1,380,2,0,163,3091,380,0 19,0,2024-09-07 09:15:01:585,97815,0.6,98433,0.7,195035,0.6,259734,2.00 19,1,2024-09-07 09:15:00:569,669045,669045,0,0,314031286655,3256192208,663743,4463,839,367,391777,0 19,2,2024-09-07 09:15:01:771,484692,484692,0,0,16915539,0,3988 19,3,2024-09-07 09:15:01:129,1,380,3,0,524,2782,380,0 20,0,2024-09-07 09:15:01:427,93435,0.5,93368,0.6,186677,0.4,249446,2.00 20,1,2024-09-07 09:15:00:576,666823,666823,0,0,313555694534,3275450091,661543,4562,718,369,391886,0 20,2,2024-09-07 09:15:00:930,481761,481761,0,0,20467303,0,3721 20,3,2024-09-07 09:15:00:592,1,380,13,0,414,5782,380,0 21,0,2024-09-07 09:15:01:140,93375,0.5,93384,0.6,186591,0.5,247562,2.00 21,1,2024-09-07 09:15:01:595,665160,665160,0,0,311981532239,3285653582,656066,7104,1990,368,392016,0 21,2,2024-09-07 09:15:01:066,478390,478370,20,0,24621603,0,5617 21,3,2024-09-07 09:15:01:415,1,380,10,0,713,5018,380,0 22,0,2024-09-07 09:15:01:732,93688,0.5,94018,0.7,188339,0.5,249637,2.00 22,1,2024-09-07 09:15:01:023,666395,666395,0,0,312238291924,3278764047,656865,7515,2015,382,391667,0 22,2,2024-09-07 09:15:00:760,475819,475793,26,0,19617672,0,6328 22,3,2024-09-07 09:15:01:065,1,380,8,0,228,3217,380,0 23,0,2024-09-07 09:15:01:370,97847,0.5,97538,0.7,195358,0.4,260709,2.25 23,1,2024-09-07 09:15:01:002,667639,667639,0,0,313147545747,3287102931,656115,7973,3551,365,391690,0 23,2,2024-09-07 09:15:01:092,483571,483571,0,0,19100446,0,3010 23,3,2024-09-07 09:15:01:762,1,380,1,0,720,5314,380,0 24,0,2024-09-07 09:15:00:857,94525,0.4,94334,0.5,189198,0.4,250978,1.75 24,1,2024-09-07 09:15:00:588,666268,666268,0,0,312169219930,3273809699,658775,5912,1581,367,392269,0 24,2,2024-09-07 09:15:01:069,481553,481553,0,0,23686661,0,3607 24,3,2024-09-07 09:15:01:703,1,380,13,0,468,5316,380,0 25,0,2024-09-07 09:15:01:372,97091,0.4,94653,0.6,185584,0.4,252749,1.75 25,1,2024-09-07 09:15:00:570,665949,665949,0,0,312540387771,3287880588,655731,8313,1905,371,391928,0 25,2,2024-09-07 09:15:01:611,479802,479802,0,0,23568097,0,3978 25,3,2024-09-07 09:15:01:000,1,380,1,0,255,3730,380,0 26,0,2024-09-07 09:15:01:727,93702,0.4,91404,0.6,191819,0.3,249218,1.75 26,1,2024-09-07 09:15:01:563,667316,667316,0,0,312353531050,3271764748,657703,8067,1546,380,391748,0 26,2,2024-09-07 09:15:00:860,477548,477548,0,0,23444484,0,2809 26,3,2024-09-07 09:15:01:713,1,380,1,0,796,4760,380,0 27,0,2024-09-07 09:15:01:728,97511,0.4,97611,0.6,193614,0.4,258949,2.00 27,1,2024-09-07 09:15:01:676,668983,668983,0,0,313380265661,3263066325,662726,5434,823,381,391626,0 27,2,2024-09-07 09:15:00:868,478243,478178,65,0,22727145,0,5699 27,3,2024-09-07 09:15:01:015,1,380,0,0,564,3769,380,0 28,0,2024-09-07 09:15:01:403,96246,0.4,95805,0.6,191812,0.3,255918,2.00 28,1,2024-09-07 09:15:00:799,667612,667612,0,0,314148054125,3280337244,661211,4811,1590,383,391698,0 28,2,2024-09-07 09:15:01:770,483356,483356,0,0,20519532,0,2915 28,3,2024-09-07 09:15:01:779,1,380,8,0,502,4226,380,0 29,0,2024-09-07 09:15:01:368,98266,0.3,95758,0.6,188070,0.3,255905,1.75 29,1,2024-09-07 09:15:01:560,669210,669210,0,0,314348802564,3254289711,664570,3948,692,369,391753,0 29,2,2024-09-07 09:15:00:866,480525,480525,0,0,19527955,0,4986 29,3,2024-09-07 09:15:00:967,1,380,45,0,459,4710,380,0 30,0,2024-09-07 09:15:01:458,93534,0.5,91008,0.7,190449,0.4,249336,2.00 30,1,2024-09-07 09:15:00:573,669634,669634,0,0,313983636769,3261025833,664236,4663,735,381,391672,0 30,2,2024-09-07 09:15:01:274,478929,478929,0,0,18154679,0,3161 30,3,2024-09-07 09:15:00:581,1,380,0,0,519,3801,380,0 31,0,2024-09-07 09:15:01:777,95385,0.5,95808,0.6,191418,0.4,255427,2.00 31,1,2024-09-07 09:15:00:571,671568,671568,0,0,315596649019,3236546596,668667,2315,586,356,391712,0 31,2,2024-09-07 09:15:01:275,478776,478776,0,0,20219406,0,3525 31,3,2024-09-07 09:15:01:712,1,380,1,0,220,3345,380,0 32,0,2024-09-07 09:15:01:420,98534,0.3,99063,0.5,197506,0.3,263737,1.75 32,1,2024-09-07 09:15:00:804,668527,668527,0,0,314034811656,3261465623,664785,3261,481,381,391646,0 32,2,2024-09-07 09:15:00:935,484274,484274,0,0,17362531,0,3155 32,3,2024-09-07 09:15:01:015,1,380,14,0,227,2680,380,0 33,0,2024-09-07 09:15:01:491,94618,0.3,94213,0.4,189027,0.2,251699,1.50 33,1,2024-09-07 09:15:00:578,669530,669530,0,0,314653477819,3258893656,664461,4149,920,369,391730,0 33,2,2024-09-07 09:15:00:764,482273,482238,35,0,19930408,0,7012 33,3,2024-09-07 09:15:00:895,1,380,1,0,329,3773,380,0 34,0,2024-09-07 09:15:00:935,93350,0.3,95900,0.4,183640,0.2,246662,1.75 34,1,2024-09-07 09:15:01:044,671211,671211,0,0,315273684859,3240207447,669740,1462,9,367,391562,0 34,2,2024-09-07 09:15:00:771,481013,481013,0,0,18857625,0,3577 34,3,2024-09-07 09:15:01:689,1,380,3,0,299,2646,380,0 35,0,2024-09-07 09:15:00:857,93190,0.3,93552,0.5,188132,0.3,250610,1.75 35,1,2024-09-07 09:15:01:069,669052,669052,0,0,313728844922,3249075808,665306,2964,782,382,391769,0 35,2,2024-09-07 09:15:01:593,477653,477653,0,0,18906327,0,2653 35,3,2024-09-07 09:15:00:907,1,380,1,0,418,4396,380,0 36,0,2024-09-07 09:15:01:535,99081,0.4,98883,0.6,197752,0.4,263083,2.00 36,1,2024-09-07 09:15:00:583,668025,668025,0,0,313112786625,3266031282,660197,6143,1685,366,391759,0 36,2,2024-09-07 09:15:01:762,482976,482976,0,0,21258679,0,3875 36,3,2024-09-07 09:15:00:865,1,380,8,0,416,5811,380,0 37,0,2024-09-07 09:15:01:376,92563,0.5,92457,0.6,185112,0.4,246870,2.00 37,1,2024-09-07 09:15:00:570,667854,667847,0,7,312852566905,3265880802,660418,5269,2160,365,391570,0 37,2,2024-09-07 09:15:01:150,480985,480970,15,0,21445306,0,5815 37,3,2024-09-07 09:15:01:780,1,380,0,0,888,5832,380,0 38,0,2024-09-07 09:15:01:437,93179,0.5,90509,0.6,189348,0.4,247503,2.00 38,1,2024-09-07 09:15:01:608,669043,669043,0,0,314226960201,3275905137,660863,6895,1285,368,391821,0 38,2,2024-09-07 09:15:00:760,480780,480733,47,0,21019210,0,6710 38,3,2024-09-07 09:15:01:001,1,380,1,0,689,5056,380,0 39,0,2024-09-07 09:15:01:773,95629,0.6,93669,0.7,182417,0.5,248851,2.00 39,1,2024-09-07 09:15:00:717,667480,667480,0,0,312672252512,3273453779,657454,8052,1974,365,391658,0 39,2,2024-09-07 09:15:01:429,477174,477174,0,0,19176095,0,2689 39,3,2024-09-07 09:15:00:717,1,380,1,0,324,4575,380,0 40,0,2024-09-07 09:15:01:493,96931,0.8,97724,0.9,194803,0.8,260419,2.75 40,1,2024-09-07 09:15:00:581,667989,667989,0,0,312482270943,3270084748,658786,7589,1614,368,391668,0 40,2,2024-09-07 09:15:01:303,480733,480732,1,0,23218502,0,5137 40,3,2024-09-07 09:15:01:145,1,380,8,0,1028,5261,380,0 41,0,2024-09-07 09:15:01:022,93899,1.6,96080,1.3,183507,2.6,249269,3.25 41,1,2024-09-07 09:15:00:783,666633,666633,0,0,312738912866,3270798159,658588,7277,768,369,391742,0 41,2,2024-09-07 09:15:00:764,481658,481658,0,0,22298280,0,4277 41,3,2024-09-07 09:15:01:683,1,380,64,0,366,4012,380,0 42,0,2024-09-07 09:15:01:508,94619,0.9,94575,1.0,189332,1.0,250294,2.75 42,1,2024-09-07 09:15:01:446,665679,665679,0,0,312320781942,3282785522,655066,8706,1907,380,391675,0 42,2,2024-09-07 09:15:01:136,480221,480221,0,0,22725602,0,3790 42,3,2024-09-07 09:15:01:021,1,380,1,0,446,3191,380,0 43,0,2024-09-07 09:15:00:916,93334,0.8,90842,1.0,190455,0.9,249742,2.50 43,1,2024-09-07 09:15:00:581,667441,667441,0,0,313959639768,3281939908,657842,7869,1730,366,391696,0 43,2,2024-09-07 09:15:01:735,477322,477322,0,0,22154472,0,3812 43,3,2024-09-07 09:15:01:762,1,380,23,0,467,4517,380,0 44,0,2024-09-07 09:15:00:901,96958,0.4,97303,0.6,194524,0.4,258880,2.00 44,1,2024-09-07 09:15:00:563,668954,668954,0,0,313717913828,3244956723,663084,4651,1219,356,391809,0 44,2,2024-09-07 09:15:01:271,479116,479116,0,0,18672790,0,4344 44,3,2024-09-07 09:15:01:097,1,380,8,0,817,4742,380,0 45,0,2024-09-07 09:15:01:805,95841,0.5,93612,0.8,196187,0.5,258272,2.00 45,1,2024-09-07 09:15:01:005,668373,668373,0,0,313856257873,3261522954,663374,4482,517,382,391917,0 45,2,2024-09-07 09:15:01:269,484007,484007,0,0,19103758,0,3596 45,3,2024-09-07 09:15:00:935,1,380,44,0,271,3548,380,0 46,0,2024-09-07 09:15:00:963,94512,0.5,94572,0.7,189263,0.5,251395,2.00 46,1,2024-09-07 09:15:00:581,669605,669605,0,0,314452930697,3249808484,665111,3905,589,366,391709,0 46,2,2024-09-07 09:15:00:596,481925,481925,0,0,18248585,0,2920 46,3,2024-09-07 09:15:01:131,1,380,1,0,908,5168,380,0 47,0,2024-09-07 09:15:01:107,93648,0.5,93968,0.6,188315,0.4,248899,2.00 47,1,2024-09-07 09:15:00:570,670704,670704,0,0,313094589635,3237321686,666167,3722,815,366,391641,0 47,2,2024-09-07 09:15:00:908,481188,481188,0,0,18597879,0,4477 47,3,2024-09-07 09:15:01:116,1,380,4,0,600,4767,380,0 48,0,2024-09-07 09:15:01:492,95294,0.3,95063,0.4,189524,0.2,253583,1.50 48,1,2024-09-07 09:15:01:022,668871,668871,0,0,314248096544,3262986724,664776,3641,454,384,391710,0 48,2,2024-09-07 09:15:00:698,477751,477751,0,0,16789962,0,3031 48,3,2024-09-07 09:15:00:755,1,380,8,0,339,3179,380,0 49,0,2024-09-07 09:15:01:719,100614,0.3,98946,0.5,191554,0.2,262515,1.75 49,1,2024-09-07 09:15:01:030,668546,668546,0,0,313638206754,3263964634,663755,3586,1205,382,391809,0 49,2,2024-09-07 09:15:01:797,483882,483882,0,0,18876415,0,4426 49,3,2024-09-07 09:15:01:416,1,380,3,0,992,4682,380,0 50,0,2024-09-07 09:15:01:515,93996,0.3,92473,0.4,186660,0.2,249151,1.75 50,1,2024-09-07 09:15:01:012,670607,670607,0,0,314559004168,3250688982,666416,3804,387,368,391565,0 50,2,2024-09-07 09:15:01:066,482106,482106,0,0,17165060,0,2263 50,3,2024-09-07 09:15:01:291,1,380,4,0,567,4060,380,0 51,0,2024-09-07 09:15:01:684,95742,0.3,93604,0.5,182568,0.2,248645,1.75 51,1,2024-09-07 09:15:01:687,670601,670601,0,0,315627675919,3254094281,667202,2422,977,365,391706,0 51,2,2024-09-07 09:15:01:316,481311,481311,0,0,16837135,0,3337 51,3,2024-09-07 09:15:01:027,1,380,1,0,678,2959,380,0 52,0,2024-09-07 09:15:01:420,94233,0.5,94179,0.7,188370,0.4,250656,2.00 52,1,2024-09-07 09:15:00:577,667791,667791,0,0,312872010616,3273131599,658693,7784,1314,368,391722,0 52,2,2024-09-07 09:15:01:763,473877,473839,38,0,21763657,0,6742 52,3,2024-09-07 09:15:00:677,1,380,11,0,1782,5565,380,0 53,0,2024-09-07 09:15:01:738,97379,0.6,94659,0.7,198291,0.6,260550,2.25 53,1,2024-09-07 09:15:00:773,666473,666473,0,0,313482046099,3285886685,656217,7549,2707,367,391702,0 53,2,2024-09-07 09:15:01:298,482688,482687,1,0,19760532,0,5455 53,3,2024-09-07 09:15:00:697,1,380,14,0,308,3329,380,0 54,0,2024-09-07 09:15:01:619,92496,0.6,92812,0.8,184826,0.4,246853,2.25 54,1,2024-09-07 09:15:00:585,668105,668105,0,0,314011141838,3259847941,662168,5318,619,366,391659,0 54,2,2024-09-07 09:15:00:865,483109,483077,32,0,22447741,0,6397 54,3,2024-09-07 09:15:00:768,1,380,9,0,676,5509,380,0 55,0,2024-09-07 09:15:01:780,91406,0.6,94539,0.7,190924,0.5,248669,2.50 55,1,2024-09-07 09:15:00:766,668445,668445,0,0,313467617343,3257066526,661707,5829,909,365,391731,0 55,2,2024-09-07 09:15:00:734,480045,479989,56,0,21719579,0,7239 55,3,2024-09-07 09:15:00:678,1,380,2,0,304,4076,380,0 56,0,2024-09-07 09:15:01:578,95846,1.1,90420,1.1,186313,1.4,249083,2.50 56,1,2024-09-07 09:15:00:571,664590,664590,0,0,311824776686,3293043392,654410,7987,2193,381,391867,0 56,2,2024-09-07 09:15:01:302,477002,477002,0,0,21466837,0,3567 56,3,2024-09-07 09:15:01:058,1,380,13,0,705,4768,380,0 57,0,2024-09-07 09:15:00:951,95963,1.6,95831,1.3,192066,2.2,256998,3.00 57,1,2024-09-07 09:15:00:993,666763,666763,0,0,312529325803,3276104382,658848,6901,1014,366,392032,0 57,2,2024-09-07 09:15:01:315,481184,481184,0,0,23833971,0,3317 57,3,2024-09-07 09:15:01:746,1,380,8,0,359,4210,380,0 58,0,2024-09-07 09:15:00:557,94523,0.9,91790,1.0,192066,1.1,251536,2.50 58,1,2024-09-07 09:15:00:580,667413,667410,0,3,313376914514,3277528242,658131,8022,1257,367,391603,3 58,2,2024-09-07 09:15:01:070,482558,482558,0,0,22089732,0,2857 58,3,2024-09-07 09:15:01:067,1,380,1,0,1043,4191,380,0 59,0,2024-09-07 09:15:01:740,95385,0.8,95030,0.9,189719,0.8,252360,2.75 59,1,2024-09-07 09:15:00:804,666799,666799,0,0,313242745808,3280555020,657770,7439,1590,369,391586,0 59,2,2024-09-07 09:15:00:583,481540,481540,0,0,21721809,0,2867 59,3,2024-09-07 09:15:01:741,1,380,1,0,1015,4845,380,0 60,0,2024-09-07 09:15:01:725,93834,0.5,93798,0.7,188413,0.5,250374,1.75 60,1,2024-09-07 09:15:00:774,668932,668932,0,0,313259217107,3252023073,664496,3787,649,370,392031,0 60,2,2024-09-07 09:15:01:140,478694,478694,0,0,20573518,0,3811 60,3,2024-09-07 09:15:01:258,1,380,8,0,409,4442,380,0 61,0,2024-09-07 09:15:01:490,95326,0.7,96109,0.8,191211,0.7,255046,2.00 61,1,2024-09-07 09:15:00:774,667122,667122,0,0,312727474951,3277771703,659534,6238,1350,382,392127,0 61,2,2024-09-07 09:15:01:116,478716,478649,67,0,21107120,0,6411 61,3,2024-09-07 09:15:01:694,1,380,5,0,479,5388,380,0 62,0,2024-09-07 09:15:01:706,99060,0.5,101452,0.7,193530,0.5,263444,2.00 62,1,2024-09-07 09:15:01:114,671811,671805,0,6,315088290074,3251028603,668021,3524,260,365,391715,6 62,2,2024-09-07 09:15:01:647,481807,481806,1,0,21130714,0,5555 62,3,2024-09-07 09:15:01:146,1,380,1,0,465,2797,380,0 63,0,2024-09-07 09:15:01:463,94785,0.4,94594,0.6,189652,0.3,252097,1.75 63,1,2024-09-07 09:15:00:804,669236,669230,0,6,313241379189,3251959733,664977,3842,411,381,391800,6 63,2,2024-09-07 09:15:00:766,481507,481507,0,0,18933067,0,4369 63,3,2024-09-07 09:15:01:742,1,380,0,0,667,4106,380,0 64,0,2024-09-07 09:15:01:509,92526,0.5,92587,0.7,185215,0.5,245780,2.00 64,1,2024-09-07 09:15:00:759,668548,668548,0,0,313235850921,3263136129,662518,4353,1677,370,391783,0 64,2,2024-09-07 09:15:01:140,483464,483445,19,0,18554217,0,6121 64,3,2024-09-07 09:15:01:146,1,380,7,0,265,3424,380,0 65,0,2024-09-07 09:15:01:681,92950,0.6,93287,0.7,186281,0.6,248440,2.00 65,1,2024-09-07 09:15:00:864,667008,667008,0,0,313205366239,3273319727,662128,4340,540,382,391901,0 65,2,2024-09-07 09:15:01:701,478012,478012,0,0,20817857,0,3367 65,3,2024-09-07 09:15:01:683,1,380,1,0,347,3913,380,0 66,0,2024-09-07 09:15:01:767,98398,0.5,98365,0.7,196549,0.4,261791,2.00 66,1,2024-09-07 09:15:01:293,669110,669110,0,0,313134589340,3256914324,664999,3740,371,380,391653,0 66,2,2024-09-07 09:15:01:132,484466,484466,0,0,18765376,0,4956 66,3,2024-09-07 09:15:01:085,1,380,1,0,291,3519,380,0 67,0,2024-09-07 09:15:01:442,93110,0.5,92797,0.6,186095,0.4,247460,2.00 67,1,2024-09-07 09:15:00:769,669194,669193,0,1,313252359270,3259946423,664727,3681,785,380,391787,1 67,2,2024-09-07 09:15:00:583,483905,483905,0,0,18038328,0,2889 67,3,2024-09-07 09:15:01:770,1,380,1,0,338,3322,380,0 68,0,2024-09-07 09:15:00:579,94089,0.6,93719,0.7,186935,0.5,249541,2.00 68,1,2024-09-07 09:15:00:571,666554,666554,0,0,312833862851,3280802966,659671,4810,2073,381,391953,0 68,2,2024-09-07 09:15:01:044,478986,478886,100,0,24344811,0,8578 68,3,2024-09-07 09:15:00:731,1,380,72,0,417,3996,380,0 69,0,2024-09-07 09:15:01:733,93280,0.7,93818,0.8,186774,0.8,247912,2.25 69,1,2024-09-07 09:15:01:016,665579,665579,0,0,312499482458,3294578442,656622,6769,2188,384,391994,0 69,2,2024-09-07 09:15:01:738,476610,476581,29,0,24016060,0,6912 69,3,2024-09-07 09:15:00:772,1,380,1,0,698,5246,380,0 70,0,2024-09-07 09:15:01:536,96975,0.9,97085,1.0,195100,0.7,259090,2.50 70,1,2024-09-07 09:15:00:801,669003,669003,0,0,313991722735,3257110449,663725,4620,658,366,391725,0 70,2,2024-09-07 09:15:01:325,481348,481348,0,0,21046642,0,4044 70,3,2024-09-07 09:15:00:747,1,380,17,0,854,4322,380,0 71,0,2024-09-07 09:15:01:372,93637,0.9,93366,1.0,187446,1.1,250747,2.75 71,1,2024-09-07 09:15:01:601,668094,668094,0,0,314023818541,3275141999,660588,6758,748,368,391738,0 71,2,2024-09-07 09:15:01:066,483070,483070,0,0,21914046,0,4352 71,3,2024-09-07 09:15:01:750,1,380,173,0,644,5095,380,0 72,0,2024-09-07 09:15:01:032,98389,0.6,96197,0.7,187560,0.5,254726,2.00 72,1,2024-09-07 09:15:01:027,666786,666786,0,0,312901922045,3281186674,656978,7848,1960,369,391819,0 72,2,2024-09-07 09:15:01:770,480663,480663,0,0,23968241,0,3983 72,3,2024-09-07 09:15:01:771,1,380,12,0,564,5808,380,0 73,0,2024-09-07 09:15:01:109,92126,0.4,94404,0.6,193156,0.4,251042,2.00 73,1,2024-09-07 09:15:00:767,668163,668163,0,0,313524301356,3257336546,663488,4311,364,367,391858,0 73,2,2024-09-07 09:15:01:739,477100,477100,0,0,23179506,0,3701 73,3,2024-09-07 09:15:00:971,1,380,75,0,486,5382,380,0 74,0,2024-09-07 09:15:01:326,97539,0.5,99816,0.6,190402,0.4,258825,2.00 74,1,2024-09-07 09:15:00:865,667606,667606,0,0,313029952246,3268452604,660623,5614,1369,381,391681,0 74,2,2024-09-07 09:15:01:001,479068,479068,0,0,21766806,0,4253 74,3,2024-09-07 09:15:01:449,1,380,0,0,522,5328,380,0 75,0,2024-09-07 09:15:01:780,97360,0.5,96805,0.8,194495,0.5,259371,2.25 75,1,2024-09-07 09:15:01:585,667293,667293,0,0,313111006346,3268762581,660608,5859,826,380,391739,0 75,2,2024-09-07 09:15:01:352,482414,482414,0,0,23209044,0,4766 75,3,2024-09-07 09:15:01:066,1,380,1,0,702,5128,380,0 76,0,2024-09-07 09:15:00:647,94741,0.6,94124,0.7,189018,0.5,252706,2.25 76,1,2024-09-07 09:15:00:808,667751,667751,0,0,313178836805,3266005672,663330,3809,612,382,391692,0 76,2,2024-09-07 09:15:01:061,483119,483118,1,0,21133812,0,5144 76,3,2024-09-07 09:15:01:145,1,380,7,0,175,3522,380,0 77,0,2024-09-07 09:15:01:689,93378,0.6,93603,0.7,187249,0.6,248701,2.00 77,1,2024-09-07 09:15:00:830,667859,667859,0,0,313049063272,3269902083,662280,5083,496,381,391869,0 77,2,2024-09-07 09:15:01:281,479692,479692,0,0,20616925,0,3890 77,3,2024-09-07 09:15:01:102,1,380,0,0,401,4126,380,0 78,0,2024-09-07 09:15:01:717,95210,0.5,94722,0.6,190308,0.4,252866,2.00 78,1,2024-09-07 09:15:00:611,668516,668516,0,0,313173154090,3262568730,661431,5519,1566,367,391670,0 78,2,2024-09-07 09:15:01:411,478771,478771,0,0,18011988,0,3855 78,3,2024-09-07 09:15:01:135,1,380,6,0,181,3560,380,0 79,0,2024-09-07 09:15:01:347,95065,0.4,97347,0.6,199529,0.3,259300,2.25 79,1,2024-09-07 09:15:00:571,669456,669456,0,0,313540376168,3247044129,664376,4321,759,368,391682,0 79,2,2024-09-07 09:15:01:067,484136,484136,0,0,18923182,0,4195 79,3,2024-09-07 09:15:00:753,1,380,1,0,418,4769,380,0 80,0,2024-09-07 09:15:01:075,93384,0.5,96183,0.6,184112,0.5,248664,2.00 80,1,2024-09-07 09:15:01:633,668146,668146,0,0,313479696658,3259951368,664152,3791,203,368,391791,0 80,2,2024-09-07 09:15:01:092,483825,483825,0,0,18543925,0,4433 80,3,2024-09-07 09:15:00:577,1,380,6,0,190,4548,380,0 81,0,2024-09-07 09:15:01:537,93368,0.5,95591,0.7,182453,0.5,247432,2.00 81,1,2024-09-07 09:15:01:658,667423,667423,0,0,312103565437,3260995141,662076,4844,503,382,391879,0 81,2,2024-09-07 09:15:01:125,479811,479748,63,0,20374792,0,5932 81,3,2024-09-07 09:15:01:119,1,380,3,0,719,4854,380,0 82,0,2024-09-07 09:15:01:537,93528,0.5,94082,0.7,188439,0.4,250248,2.00 82,1,2024-09-07 09:15:00:584,669491,669487,0,4,313511335394,3260383637,665400,3289,798,381,391768,4 82,2,2024-09-07 09:15:01:704,478700,478700,0,0,16947228,0,3986 82,3,2024-09-07 09:15:01:770,1,380,4,0,363,3722,380,0 83,0,2024-09-07 09:15:01:524,98295,0.5,98013,0.7,195803,0.5,260317,2.00 83,1,2024-09-07 09:15:00:553,667296,667296,0,0,313289556377,3264294802,662733,4181,382,382,391709,0 83,2,2024-09-07 09:15:00:766,482526,482526,0,0,17864252,0,3393 83,3,2024-09-07 09:15:00:749,1,380,11,0,1260,5077,380,0 84,0,2024-09-07 09:15:01:793,93309,0.7,93245,0.8,186106,0.6,249288,2.25 84,1,2024-09-07 09:15:01:065,666932,666932,0,0,312989461687,3270324256,659867,6033,1032,367,391967,0 84,2,2024-09-07 09:15:00:587,482086,482056,30,0,24521777,0,5971 84,3,2024-09-07 09:15:01:150,1,380,15,0,908,5610,380,0 85,0,2024-09-07 09:15:01:032,91148,0.6,91142,0.8,193457,0.6,249923,2.25 85,1,2024-09-07 09:15:00:561,665468,665468,0,0,313219002643,3305025666,655473,8177,1818,381,392006,0 85,2,2024-09-07 09:15:00:866,480386,480386,0,0,22474468,0,3656 85,3,2024-09-07 09:15:00:698,1,380,4,0,789,4977,380,0 86,0,2024-09-07 09:15:00:886,93685,0.6,96406,0.8,184430,0.7,248801,2.25 86,1,2024-09-07 09:15:00:827,667405,667405,0,0,313969585873,3283188776,660251,6085,1069,366,391961,0 86,2,2024-09-07 09:15:00:858,475609,475608,1,0,23517247,0,5004 86,3,2024-09-07 09:15:00:590,1,380,1,0,308,5678,380,0 87,0,2024-09-07 09:15:01:283,96935,0.9,96818,0.9,194099,1.1,259423,2.25 87,1,2024-09-07 09:15:00:554,666565,666565,0,0,313076855846,3275446994,658944,6500,1121,366,392076,0 87,2,2024-09-07 09:15:01:066,479447,479441,6,0,21911505,0,6323 87,3,2024-09-07 09:15:01:795,1,380,1,0,473,5937,380,0 88,0,2024-09-07 09:15:01:467,95876,0.4,96573,0.6,192252,0.4,255675,1.75 88,1,2024-09-07 09:15:00:571,665125,665125,0,0,312309015341,3271558717,656648,6596,1881,365,392084,0 88,2,2024-09-07 09:15:00:692,483709,483709,0,0,23749557,0,3583 88,3,2024-09-07 09:15:01:267,1,380,8,0,435,3979,380,0 89,0,2024-09-07 09:15:01:813,98454,0.4,95380,0.6,188611,0.4,256069,1.75 89,1,2024-09-07 09:15:00:551,665700,665700,0,0,312129115851,3281374170,657493,6847,1360,382,391866,0 89,2,2024-09-07 09:15:01:132,480076,480076,0,0,22872418,0,3173 89,3,2024-09-07 09:15:01:805,1,380,5,0,385,6791,380,0 90,0,2024-09-07 09:15:01:620,91079,0.5,93585,0.6,190857,0.4,249398,2.00 90,1,2024-09-07 09:15:00:591,667175,667175,0,0,312502480207,3273519179,660756,5912,507,380,391825,0 90,2,2024-09-07 09:15:01:417,476715,476715,0,0,23980974,0,3060 90,3,2024-09-07 09:15:00:931,1,380,1,0,322,4892,380,0 91,0,2024-09-07 09:15:00:959,96161,0.5,93117,0.6,194584,0.4,255542,1.75 91,1,2024-09-07 09:15:00:561,665895,665895,0,0,312684739796,3287962876,657455,7252,1188,381,392047,0 91,2,2024-09-07 09:15:01:331,479421,479421,0,0,21382678,0,2896 91,3,2024-09-07 09:15:00:606,1,380,2,0,216,3545,380,0 92,0,2024-09-07 09:15:01:452,99217,0.5,101774,0.6,194333,0.5,263779,1.75 92,1,2024-09-07 09:15:00:586,667090,667090,0,0,313162076694,3272200368,661727,4643,720,382,392136,0 92,2,2024-09-07 09:15:01:355,484355,484355,0,0,19490226,0,3259 92,3,2024-09-07 09:15:01:011,1,380,19,0,167,3429,380,0 93,0,2024-09-07 09:15:00:956,95154,0.4,97455,0.5,185986,0.3,252199,1.75 93,1,2024-09-07 09:15:00:808,666842,666842,0,0,312665257465,3267638222,659257,6240,1345,366,391776,0 93,2,2024-09-07 09:15:00:928,480947,480947,0,0,22988145,0,4845 93,3,2024-09-07 09:15:01:413,1,380,2,0,190,3498,380,0 94,0,2024-09-07 09:15:01:611,92641,0.4,93546,0.5,186876,0.3,247460,1.75 94,1,2024-09-07 09:15:00:564,667585,667585,0,0,313309462139,3268379088,663405,3989,191,381,391850,0 94,2,2024-09-07 09:15:00:773,480136,480136,0,0,19690711,0,2443 94,3,2024-09-07 09:15:01:690,1,380,5,0,264,4647,380,0 95,0,2024-09-07 09:15:01:349,93692,0.4,93665,0.5,187895,0.3,250427,1.75 95,1,2024-09-07 09:15:00:851,669089,669089,0,0,313486911041,3259315388,663684,4997,408,367,391713,0 95,2,2024-09-07 09:15:01:016,477766,477766,0,0,18917043,0,3308 95,3,2024-09-07 09:15:01:712,1,380,1,0,718,5606,380,0 96,0,2024-09-07 09:15:01:024,98817,0.3,99022,0.5,197788,0.3,262517,1.75 96,1,2024-09-07 09:15:01:597,667369,667369,0,0,312869403769,3263250241,662497,4057,815,384,391955,0 96,2,2024-09-07 09:15:01:268,483547,483547,0,0,19337838,0,4042 96,3,2024-09-07 09:15:01:140,1,380,8,0,411,4079,380,0 97,0,2024-09-07 09:15:01:309,93055,0.3,92752,0.5,186239,0.3,247191,1.50 97,1,2024-09-07 09:15:00:765,668712,668712,0,0,313895426679,3254124866,664342,3676,694,367,392140,0 97,2,2024-09-07 09:15:00:619,483417,483417,0,0,19105328,0,3679 97,3,2024-09-07 09:15:00:578,1,380,0,0,214,4284,380,0 98,0,2024-09-07 09:15:01:713,93630,0.3,93606,0.4,187997,0.2,249373,1.50 98,1,2024-09-07 09:15:00:583,668393,668393,0,0,313983465823,3264336084,665370,2903,120,382,391997,0 98,2,2024-09-07 09:15:00:769,481433,481433,0,0,18589508,0,3080 98,3,2024-09-07 09:15:00:699,1,380,0,0,840,5698,380,0 99,0,2024-09-07 09:15:01:457,93736,0.3,94412,0.5,187532,0.3,250038,1.75 99,1,2024-09-07 09:15:01:732,668333,668333,0,0,313325823902,3260491201,663899,3662,772,381,392069,0 99,2,2024-09-07 09:15:01:417,477920,477920,0,0,21441207,0,3424 99,3,2024-09-07 09:15:00:583,1,380,3,0,606,4140,380,0 100,0,2024-09-07 09:15:01:483,97453,0.7,97739,0.9,194786,0.8,260828,2.50 100,1,2024-09-07 09:15:00:552,665088,665088,0,0,312297552918,3297648965,655471,7721,1896,381,391989,0 100,2,2024-09-07 09:15:01:828,479965,479954,11,0,22018394,0,5417 100,3,2024-09-07 09:15:01:739,1,380,8,0,559,6495,380,0 101,0,2024-09-07 09:15:01:720,96517,1.1,93937,1.0,184572,0.9,252574,2.25 101,1,2024-09-07 09:15:00:554,665225,665225,0,0,312346927466,3286330492,655287,7822,2116,368,391847,0 101,2,2024-09-07 09:15:01:772,480460,480460,0,0,26157786,0,4871 101,3,2024-09-07 09:15:00:944,1,380,1,0,579,5027,380,0 102,0,2024-09-07 09:15:00:942,92787,0.6,95824,0.8,193910,0.6,253474,2.00 102,1,2024-09-07 09:15:01:147,666034,666034,0,0,312598846666,3281540529,657733,7042,1259,369,391891,0 102,2,2024-09-07 09:15:01:737,481507,481453,54,0,21215706,0,6768 102,3,2024-09-07 09:15:01:621,1,380,6,0,410,3838,380,0 103,0,2024-09-07 09:15:01:607,97542,0.6,97490,0.7,183829,0.6,252829,2.00 103,1,2024-09-07 09:15:01:631,664698,664698,0,0,312375179534,3293772451,654576,7534,2588,381,391829,0 103,2,2024-09-07 09:15:00:582,476209,476209,0,0,22366406,0,3766 103,3,2024-09-07 09:15:00:766,1,380,22,0,916,4376,380,0 104,0,2024-09-07 09:15:01:009,96091,0.7,96489,0.9,191544,0.7,258197,2.25 104,1,2024-09-07 09:15:01:603,666762,666762,0,0,311752432424,3275751920,657194,7794,1774,365,392168,0 104,2,2024-09-07 09:15:01:667,478505,478505,0,0,23092126,0,3941 104,3,2024-09-07 09:15:01:416,1,380,8,0,1245,7836,380,0 105,0,2024-09-07 09:15:01:037,95911,0.9,93384,1.1,195776,1.1,257614,2.75 105,1,2024-09-07 09:15:00:560,667640,667640,0,0,313219607561,3282969455,658987,7305,1348,366,392009,0 105,2,2024-09-07 09:15:01:329,482585,482585,0,0,22634935,0,3509 105,3,2024-09-07 09:15:01:304,1,380,1,0,399,5771,380,0 106,0,2024-09-07 09:15:00:942,91784,0.7,93968,0.9,192665,0.8,252145,2.50 106,1,2024-09-07 09:15:01:776,666110,666110,0,0,312604489429,3286562541,655440,9228,1442,369,391914,0 106,2,2024-09-07 09:15:00:768,480377,480377,0,0,22193126,0,2795 106,3,2024-09-07 09:15:00:685,1,380,13,0,470,4768,380,0 107,0,2024-09-07 09:15:01:099,93232,1.1,93360,1.0,186516,1.4,248661,2.25 107,1,2024-09-07 09:15:00:597,665321,665321,0,0,311707643674,3282679904,656768,7585,968,381,392234,0 107,2,2024-09-07 09:15:01:291,477778,477777,1,0,22997034,0,5024 107,3,2024-09-07 09:15:01:773,1,380,12,0,353,5391,380,0 108,0,2024-09-07 09:15:01:793,94521,0.5,95196,0.6,189198,0.4,253218,1.75 108,1,2024-09-07 09:15:01:294,667604,667604,0,0,313808917676,3273033070,661938,4929,737,368,391857,0 108,2,2024-09-07 09:15:01:772,477151,477151,0,0,21527538,0,4246 108,3,2024-09-07 09:15:01:332,1,380,10,0,749,7411,380,0 109,0,2024-09-07 09:15:01:780,98722,0.4,97847,0.5,195794,0.3,261829,1.75 109,1,2024-09-07 09:15:00:598,665507,665507,0,0,312585107478,3278102452,659679,5049,779,383,392132,0 109,2,2024-09-07 09:15:00:931,481106,481106,0,0,21318266,0,3617 109,3,2024-09-07 09:15:01:140,1,380,20,0,379,4438,380,0 110,0,2024-09-07 09:15:01:785,93370,0.4,90924,0.6,190361,0.3,249497,1.75 110,1,2024-09-07 09:15:01:643,668565,668565,0,0,313864088418,3257038296,663974,3600,991,369,392045,0 110,2,2024-09-07 09:15:01:303,482142,482142,0,0,20728046,0,4067 110,3,2024-09-07 09:15:00:695,1,380,10,0,722,5616,380,0 111,0,2024-09-07 09:15:01:423,93722,0.4,92962,0.6,186541,0.3,248775,1.75 111,1,2024-09-07 09:15:01:000,669303,669303,0,0,314023535219,3257111794,665779,3171,353,380,391690,0 111,2,2024-09-07 09:15:01:117,479981,479981,0,0,20166183,0,4823 111,3,2024-09-07 09:15:00:913,1,380,1,0,379,4359,380,0 112,0,2024-09-07 09:15:00:929,94756,0.3,94106,0.4,188692,0.2,250922,1.50 112,1,2024-09-07 09:15:00:835,668877,668877,0,0,314333598270,3260821265,664770,3496,611,380,391624,0 112,2,2024-09-07 09:15:01:145,477537,477536,1,0,18186649,0,5036 112,3,2024-09-07 09:15:00:596,1,380,9,0,282,3854,380,0 113,0,2024-09-07 09:15:00:867,97959,0.3,98180,0.4,196540,0.2,262066,1.50 113,1,2024-09-07 09:15:01:688,671356,671356,0,0,315821878319,3257426765,667732,3040,584,366,391661,0 113,2,2024-09-07 09:15:01:303,483991,483991,0,0,17202831,0,3813 113,3,2024-09-07 09:15:00:684,1,380,1,0,340,4436,380,0 114,0,2024-09-07 09:15:00:909,94229,0.3,94925,0.5,188597,0.2,251829,1.75 114,1,2024-09-07 09:15:00:717,668750,668750,0,0,313680494740,3258077994,663276,3877,1597,381,391565,0 114,2,2024-09-07 09:15:00:873,483509,483508,1,0,18884262,0,5069 114,3,2024-09-07 09:15:01:279,1,380,1,0,395,3092,380,0 115,0,2024-09-07 09:15:00:555,94609,0.3,95202,0.4,189941,0.2,252403,1.50 115,1,2024-09-07 09:15:00:582,668664,668664,0,0,314759391109,3268597128,663331,4489,844,382,391757,0 115,2,2024-09-07 09:15:01:126,482922,482922,0,0,17575772,0,3848 115,3,2024-09-07 09:15:01:002,1,380,3,0,159,2261,380,0 116,0,2024-09-07 09:15:01:734,93505,0.7,93428,0.9,187336,0.7,250086,2.00 116,1,2024-09-07 09:15:00:804,665592,665592,0,0,312485830134,3292180320,657452,5822,2318,380,392089,0 116,2,2024-09-07 09:15:01:772,476477,476477,0,0,22659206,0,3529 116,3,2024-09-07 09:15:00:913,1,380,8,0,415,4537,380,0 117,0,2024-09-07 09:15:00:967,97392,0.8,96847,0.8,193951,0.9,259785,2.00 117,1,2024-09-07 09:15:01:587,666263,666263,0,0,312308359155,3267619430,659233,6185,845,369,392033,0 117,2,2024-09-07 09:15:01:128,483131,483131,0,0,19162102,0,3700 117,3,2024-09-07 09:15:01:059,1,380,1,0,490,5249,380,0 118,0,2024-09-07 09:15:01:801,93227,0.5,95582,0.7,195309,0.5,254736,2.00 118,1,2024-09-07 09:15:00:589,666083,666083,0,0,312378671777,3283517425,656015,7541,2527,366,391907,0 118,2,2024-09-07 09:15:01:587,482354,482354,0,0,22193792,0,2842 118,3,2024-09-07 09:15:01:776,1,380,0,0,248,4332,380,0 119,0,2024-09-07 09:15:01:353,95354,0.6,95789,0.7,191435,0.5,254479,2.00 119,1,2024-09-07 09:15:00:549,666899,666899,0,0,312418322010,3266904267,659810,6246,843,367,391780,0 119,2,2024-09-07 09:15:01:261,481614,481614,0,0,20300436,0,4174 119,3,2024-09-07 09:15:01:329,1,380,3,0,1058,6625,380,0 120,0,2024-09-07 09:15:01:581,93343,0.6,93230,0.8,186695,0.6,249993,2.25 120,1,2024-09-07 09:15:00:861,666621,666621,0,0,312568681198,3279978957,659473,6503,645,368,391961,0 120,2,2024-09-07 09:15:00:772,477505,477504,1,0,23681414,0,5281 120,3,2024-09-07 09:15:01:290,1,380,3,0,241,4574,380,0 121,0,2024-09-07 09:15:01:714,95543,1.2,95517,1.0,191593,1.6,254996,2.25 121,1,2024-09-07 09:15:01:666,667335,667335,0,0,313370217654,3277153469,661079,5810,446,367,391840,0 121,2,2024-09-07 09:15:01:126,477699,477699,0,0,22732954,0,4127 121,3,2024-09-07 09:15:00:730,1,380,1,0,269,4379,380,0 122,0,2024-09-07 09:15:01:787,97942,0.8,95637,0.9,200713,0.9,263743,2.00 122,1,2024-09-07 09:15:00:861,665773,665773,0,0,312034574950,3273487474,656703,7785,1285,366,392130,0 122,2,2024-09-07 09:15:01:328,482835,482762,73,0,25417610,0,5989 122,3,2024-09-07 09:15:00:595,1,380,0,0,512,7205,380,0 123,0,2024-09-07 09:15:00:954,93975,0.6,91997,0.8,191986,0.6,251743,2.00 123,1,2024-09-07 09:15:00:559,666030,666030,0,0,311904476251,3286649252,653904,9924,2202,369,392039,0 123,2,2024-09-07 09:15:01:022,479678,479677,1,0,21989191,0,5215 123,3,2024-09-07 09:15:01:138,1,380,1,0,168,4097,380,0 124,0,2024-09-07 09:15:01:003,95932,0.3,95922,0.5,180826,0.3,248256,1.75 124,1,2024-09-07 09:15:01:030,668236,668236,0,0,313365280964,3258159343,663385,3938,913,367,392178,0 124,2,2024-09-07 09:15:01:012,481270,481217,53,0,19735997,0,6487 124,3,2024-09-07 09:15:00:768,1,380,8,0,490,3778,380,0 125,0,2024-09-07 09:15:01:422,94041,0.4,93656,0.5,188265,0.3,250716,1.75 125,1,2024-09-07 09:15:00:869,667135,667135,0,0,312753399151,3264989936,661947,4538,650,382,391702,0 125,2,2024-09-07 09:15:01:116,478384,478384,0,0,20389487,0,4534 125,3,2024-09-07 09:15:01:135,1,380,23,0,709,4939,380,0 126,0,2024-09-07 09:15:01:423,98520,0.4,101466,0.6,194336,0.4,263186,1.75 126,1,2024-09-07 09:15:00:553,669035,669035,0,0,314267972775,3256054651,665154,3593,288,365,391987,0 126,2,2024-09-07 09:15:00:610,483389,483389,0,0,20843091,0,4539 126,3,2024-09-07 09:15:00:907,1,380,1,0,207,4853,380,0 127,0,2024-09-07 09:15:01:612,92928,0.3,93385,0.5,186277,0.3,247300,1.50 127,1,2024-09-07 09:15:00:571,668185,668185,0,0,313685213701,3263637582,661440,5639,1106,364,392187,0 127,2,2024-09-07 09:15:00:639,481926,481926,0,0,19247074,0,3897 127,3,2024-09-07 09:15:01:268,1,380,1,0,968,4394,380,0 128,0,2024-09-07 09:15:01:538,94045,0.3,94382,0.4,188415,0.2,249666,1.50 128,1,2024-09-07 09:15:01:608,668194,668194,0,0,313272148339,3252611296,663581,4183,430,367,391838,0 128,2,2024-09-07 09:15:01:382,481995,481995,0,0,18180686,0,2915 128,3,2024-09-07 09:15:00:767,1,380,8,0,1082,6238,380,0 129,0,2024-09-07 09:15:00:992,94430,0.3,93883,0.5,188347,0.3,250285,1.50 129,1,2024-09-07 09:15:00:569,665884,665884,0,0,312500057444,3270361249,659973,4654,1257,379,391835,0 129,2,2024-09-07 09:15:00:685,477610,477610,0,0,19002742,0,4031 129,3,2024-09-07 09:15:00:691,1,380,2,0,506,5210,380,0 130,0,2024-09-07 09:15:01:720,98317,0.4,97894,0.6,197178,0.4,262219,1.75 130,1,2024-09-07 09:15:00:599,668705,668705,0,0,313871237636,3262137067,664995,3373,337,381,391825,0 130,2,2024-09-07 09:15:01:126,482904,482904,0,0,19275248,0,4067 130,3,2024-09-07 09:15:01:291,1,380,1,0,535,4889,380,0 131,0,2024-09-07 09:15:02:047,94461,0.4,94951,0.5,190999,0.3,252709,1.75 131,1,2024-09-07 09:15:01:868,668070,668070,0,0,313239011766,3268851459,663187,4134,749,381,391865,0 131,2,2024-09-07 09:15:00:568,485572,485572,0,0,17615383,0,2415 131,3,2024-09-07 09:15:01:688,1,380,1,0,392,3825,380,0 132,0,2024-09-07 09:15:01:414,95297,0.4,96248,0.6,191358,0.4,254243,1.75 132,1,2024-09-07 09:15:00:590,665500,665500,0,0,312235095107,3284309518,656357,7612,1531,381,392097,0 132,2,2024-09-07 09:15:00:705,480867,480850,17,0,23979613,0,6451 132,3,2024-09-07 09:15:01:688,1,380,12,0,804,6796,380,0 133,0,2024-09-07 09:15:01:561,92113,0.4,94262,0.6,193446,0.4,251535,1.75 133,1,2024-09-07 09:15:00:583,665221,665221,0,0,312461725813,3290800427,656078,7986,1157,383,391914,0 133,2,2024-09-07 09:15:01:086,476656,476606,50,0,24688524,0,6861 133,3,2024-09-07 09:15:01:298,1,380,2,0,479,3946,380,0 134,0,2024-09-07 09:15:00:940,97243,0.5,96912,0.7,193866,0.4,259387,2.00 134,1,2024-09-07 09:15:00:595,666612,666612,0,0,312110136121,3273017674,658019,6709,1884,366,391718,0 134,2,2024-09-07 09:15:01:764,478884,478860,24,0,21848191,0,6207 134,3,2024-09-07 09:15:00:754,1,380,0,0,739,4770,380,0 135,0,2024-09-07 09:15:01:110,94179,0.9,94122,0.9,199586,1.0,257096,2.25 135,1,2024-09-07 09:15:01:591,666483,666483,0,0,312502887493,3287547325,657464,7741,1278,380,391805,0 135,2,2024-09-07 09:15:00:728,483978,483978,0,0,22151371,0,3981 135,3,2024-09-07 09:15:01:007,1,380,78,0,299,3266,380,0 136,0,2024-09-07 09:15:01:619,95455,0.5,95633,0.7,190242,0.5,254047,2.00 136,1,2024-09-07 09:15:01:469,666201,666201,0,0,312791721011,3281830460,658519,6842,840,382,391685,0 136,2,2024-09-07 09:15:01:136,482362,482362,0,0,21707440,0,3506 136,3,2024-09-07 09:15:01:108,1,380,12,0,637,4174,380,0 137,0,2024-09-07 09:15:00:929,96239,0.6,93625,0.7,184086,0.6,249933,2.00 137,1,2024-09-07 09:15:00:584,665734,665734,0,0,312650627729,3284481957,654651,8736,2347,366,391898,0 137,2,2024-09-07 09:15:01:713,478229,478229,0,0,24003507,0,3185 137,3,2024-09-07 09:15:00:773,1,380,1,0,484,4826,380,0 138,0,2024-09-07 09:15:01:770,94334,1.2,94397,1.0,189545,1.6,252211,2.25 138,1,2024-09-07 09:15:01:687,666737,666737,0,0,312307333919,3279113754,656934,8084,1719,368,391954,0 138,2,2024-09-07 09:15:00:589,477751,477751,0,0,21687424,0,4988 138,3,2024-09-07 09:15:00:609,1,380,0,0,1160,5112,380,0 139,0,2024-09-07 09:15:01:361,97155,1.5,97217,1.1,194648,2.2,259796,2.50 139,1,2024-09-07 09:15:00:572,663523,663523,0,0,311026597113,3308426214,649856,10399,3268,381,392058,0 139,2,2024-09-07 09:15:00:694,479668,479668,0,0,24636280,0,3097 139,3,2024-09-07 09:15:01:665,1,380,1,0,432,4647,380,0 140,0,2024-09-07 09:15:01:592,93828,0.3,93329,0.5,187382,0.2,249673,1.75 140,1,2024-09-07 09:15:01:539,669988,669988,0,0,314441129470,3243893094,666475,3074,439,365,391606,0 140,2,2024-09-07 09:15:00:687,482457,482456,1,0,19420781,0,5036 140,3,2024-09-07 09:15:00:767,1,380,8,0,297,3312,380,0 141,0,2024-09-07 09:15:01:710,93555,0.3,96051,0.5,183424,0.3,248704,1.75 141,1,2024-09-07 09:15:00:868,668642,668642,0,0,314013940053,3262491804,663448,4240,954,379,391614,0 141,2,2024-09-07 09:15:01:686,481180,481180,0,0,19060369,0,3360 141,3,2024-09-07 09:15:01:045,1,380,1,0,391,4372,380,0 142,0,2024-09-07 09:15:01:322,94718,0.3,94154,0.5,188151,0.3,251398,1.75 142,1,2024-09-07 09:15:00:584,667904,667904,0,0,313183735121,3263812475,663817,3733,354,382,392102,0 142,2,2024-09-07 09:15:01:298,476954,476922,32,0,19977643,0,6028 142,3,2024-09-07 09:15:01:745,1,380,5,0,484,4436,380,0 143,0,2024-09-07 09:15:01:368,98348,0.4,98135,0.6,196817,0.4,262140,1.75 143,1,2024-09-07 09:15:00:562,669293,669293,0,0,313940577006,3256589192,665324,3815,154,367,391705,0 143,2,2024-09-07 09:15:00:773,482861,482861,0,0,20138692,0,3123 143,3,2024-09-07 09:15:01:140,1,380,0,0,462,5043,380,0 144,0,2024-09-07 09:15:01:507,90994,0.6,93405,0.8,190651,0.5,249698,2.00 144,1,2024-09-07 09:15:00:570,665882,665882,0,0,312138483399,3271490956,660200,4473,1209,381,391649,0 144,2,2024-09-07 09:15:01:762,483861,483861,0,0,18694402,0,3673 144,3,2024-09-07 09:15:01:739,1,380,8,0,249,3823,380,0 145,0,2024-09-07 09:15:01:364,91489,0.5,91499,0.8,194063,0.5,249562,2.25 145,1,2024-09-07 09:15:00:557,665881,665881,0,0,312278787876,3280673229,658110,6628,1143,382,391759,0 145,2,2024-09-07 09:15:01:430,479650,479569,81,0,22836871,0,7814 145,3,2024-09-07 09:15:00:895,1,380,16,0,622,5628,380,0 146,0,2024-09-07 09:15:01:602,93879,0.5,93237,0.7,187939,0.5,248697,2.00 146,1,2024-09-07 09:15:01:591,666942,666942,0,0,312827540477,3286637248,656755,8176,2011,367,391770,0 146,2,2024-09-07 09:15:01:695,475844,475844,0,0,21733658,0,2730 146,3,2024-09-07 09:15:01:274,1,380,1,0,1520,7725,380,0 147,0,2024-09-07 09:15:01:702,97265,0.6,97049,0.7,193416,0.5,259243,2.00 147,1,2024-09-07 09:15:01:373,668555,668555,0,0,314454415343,3272791754,662230,5481,844,368,391791,0 147,2,2024-09-07 09:15:01:012,480492,480492,0,0,19305570,0,2789 147,3,2024-09-07 09:15:00:913,1,380,31,0,730,5354,380,0 0,0,2024-09-07 09:15:11:755,91487,0.6,91499,0.8,194126,0.7,250979,2.00 0,1,2024-09-07 09:15:10:802,668745,668745,0,0,313908309820,3283931495,663750,4610,385,369,391896,0 0,2,2024-09-07 09:15:11:079,480099,480099,0,0,19076216,0,4480 0,3,2024-09-07 09:15:10:976,1,381,8,0,431,5359,381,0 1,0,2024-09-07 09:15:11:769,96126,0.8,95517,0.9,191967,0.9,256289,2.00 1,1,2024-09-07 09:15:10:561,668191,668191,0,0,313683613831,3282023109,662349,4606,1236,370,391857,0 1,2,2024-09-07 09:15:10:641,479430,479430,0,0,18691938,0,3380 1,3,2024-09-07 09:15:11:302,1,381,2,0,262,4347,381,0 2,0,2024-09-07 09:15:11:574,98390,0.7,98743,0.8,196781,0.8,262509,2.00 2,1,2024-09-07 09:15:10:868,670468,670468,0,0,314418404076,3263943279,667334,2841,293,380,391745,0 2,2,2024-09-07 09:15:11:271,485041,485041,0,0,18553480,0,3594 2,3,2024-09-07 09:15:10:693,1,381,1,0,357,3791,381,0 3,0,2024-09-07 09:15:11:745,94801,0.4,94604,0.6,189205,0.4,252191,2.00 3,1,2024-09-07 09:15:11:623,668649,668649,0,0,314181864116,3271688923,662970,4992,687,380,391591,0 3,2,2024-09-07 09:15:11:142,482785,482762,23,0,19069818,0,5851 3,3,2024-09-07 09:15:11:752,1,381,1,0,207,2394,381,0 4,0,2024-09-07 09:15:11:801,90596,0.4,93039,0.5,189629,0.3,247916,1.75 4,1,2024-09-07 09:15:10:591,666899,666899,0,0,313102039273,3306543405,656408,8156,2335,370,391992,0 4,2,2024-09-07 09:15:11:018,479704,479704,0,0,21985860,0,4528 4,3,2024-09-07 09:15:11:032,1,381,9,0,448,5169,381,0 5,0,2024-09-07 09:15:11:469,94098,0.4,94556,0.6,188704,0.4,251251,1.75 5,1,2024-09-07 09:15:10:759,667625,667625,0,0,313066211060,3291586216,659189,6924,1512,367,392005,0 5,2,2024-09-07 09:15:11:840,477384,477384,0,0,21177792,0,3582 5,3,2024-09-07 09:15:11:738,1,381,1,0,457,5300,381,0 6,0,2024-09-07 09:15:10:922,99359,0.4,98804,0.6,197478,0.3,263943,1.75 6,1,2024-09-07 09:15:10:748,668152,668152,0,0,313042296901,3271526703,660680,6114,1358,379,391694,0 6,2,2024-09-07 09:15:11:115,483327,483309,18,0,21625217,0,5535 6,3,2024-09-07 09:15:11:276,1,381,1,0,340,4033,381,0 7,0,2024-09-07 09:15:11:542,92653,0.5,93248,0.6,185744,0.4,247310,2.00 7,1,2024-09-07 09:15:10:883,667602,667602,0,0,313496351764,3291101466,658366,7841,1395,382,391747,0 7,2,2024-09-07 09:15:10:778,483966,483966,0,0,20604597,0,4791 7,3,2024-09-07 09:15:10:859,1,381,1,0,398,4085,381,0 8,0,2024-09-07 09:15:11:332,94022,0.4,93775,0.5,187908,0.3,250192,1.75 8,1,2024-09-07 09:15:11:028,666738,666738,0,0,313560454564,3299176256,655792,8353,2593,366,392144,0 8,2,2024-09-07 09:15:10:800,478180,478180,0,0,25001174,0,3220 8,3,2024-09-07 09:15:10:585,1,381,13,0,538,6400,381,0 9,0,2024-09-07 09:15:11:116,94253,0.4,91463,0.5,191418,0.3,250782,1.75 9,1,2024-09-07 09:15:10:699,667154,667154,0,0,313195359949,3299028356,657522,7496,2136,369,392001,0 9,2,2024-09-07 09:15:11:089,477616,477616,0,0,21971249,0,3360 9,3,2024-09-07 09:15:11:763,1,381,1,0,496,5342,381,0 10,0,2024-09-07 09:15:11:611,98500,0.3,97813,0.5,196611,0.2,262163,1.75 10,1,2024-09-07 09:15:10:582,667721,667721,0,0,313594021376,3285068228,658795,7641,1285,381,391741,0 10,2,2024-09-07 09:15:10:773,482942,482942,0,0,24165975,0,4264 10,3,2024-09-07 09:15:10:885,1,381,0,0,362,3698,381,0 11,0,2024-09-07 09:15:11:006,94441,0.5,91700,0.6,191698,0.4,252874,1.75 11,1,2024-09-07 09:15:10:571,668660,668660,0,0,313737160269,3293469197,658102,8076,2482,383,391756,0 11,2,2024-09-07 09:15:11:125,483763,483763,0,0,21932352,0,4130 11,3,2024-09-07 09:15:11:306,1,381,0,0,843,5671,381,0 12,0,2024-09-07 09:15:10:951,96149,0.4,96215,0.5,192162,0.3,254736,1.75 12,1,2024-09-07 09:15:10:938,668804,668804,0,0,313989910416,3273879714,663562,4747,495,370,391870,0 12,2,2024-09-07 09:15:11:550,482990,482990,0,0,21049732,0,3469 12,3,2024-09-07 09:15:11:067,1,381,9,0,386,5976,381,0 13,0,2024-09-07 09:15:11:349,95220,0.4,94991,0.6,189751,0.4,252349,1.75 13,1,2024-09-07 09:15:11:536,667653,667653,0,0,313681900966,3296135675,661227,4964,1462,382,391740,0 13,2,2024-09-07 09:15:10:599,479841,479841,0,0,19296429,0,3287 13,3,2024-09-07 09:15:11:774,1,381,10,0,522,5998,381,0 14,0,2024-09-07 09:15:10:587,97436,0.4,98175,0.6,194641,0.3,259837,1.75 14,1,2024-09-07 09:15:11:561,671861,671861,0,0,315362714559,3267686832,666295,5038,528,364,391673,0 14,2,2024-09-07 09:15:10:773,481265,481235,30,0,21241700,0,6104 14,3,2024-09-07 09:15:11:115,1,381,1,0,1168,4361,381,0 15,0,2024-09-07 09:15:11:557,96849,0.5,96846,0.7,194061,0.4,258001,2.00 15,1,2024-09-07 09:15:11:622,669043,669043,0,0,314514520680,3275698437,663919,4227,897,381,391619,0 15,2,2024-09-07 09:15:11:005,485839,485839,0,0,17852616,0,3622 15,3,2024-09-07 09:15:11:406,1,381,0,0,1126,6758,381,0 16,0,2024-09-07 09:15:11:010,95340,0.5,95774,0.7,191160,0.5,254333,2.00 16,1,2024-09-07 09:15:10:575,669633,669633,0,0,313434091603,3272634306,664562,4683,388,370,391756,0 16,2,2024-09-07 09:15:11:446,482396,482396,0,0,20270959,0,4719 16,3,2024-09-07 09:15:11:142,1,381,34,0,317,5004,381,0 17,0,2024-09-07 09:15:11:766,96464,0.6,94115,0.8,184225,0.6,250332,2.00 17,1,2024-09-07 09:15:10:568,668061,668061,0,0,313446411464,3287039578,661504,5295,1262,368,392075,0 17,2,2024-09-07 09:15:11:671,482590,482590,0,0,19617734,0,2857 17,3,2024-09-07 09:15:10:574,1,381,4,0,298,5954,381,0 18,0,2024-09-07 09:15:10:946,94230,0.6,94634,0.8,188626,0.6,252051,2.25 18,1,2024-09-07 09:15:11:639,670529,670529,0,0,314444293194,3258443685,666877,3335,317,367,391649,0 18,2,2024-09-07 09:15:11:758,481929,481929,0,0,18055829,0,3541 18,3,2024-09-07 09:15:10:902,1,381,4,0,163,3095,381,0 19,0,2024-09-07 09:15:11:545,98150,0.6,98797,0.7,195714,0.6,260698,2.25 19,1,2024-09-07 09:15:10:567,670803,670803,0,0,314686331086,3263283801,665491,4473,839,367,391777,0 19,2,2024-09-07 09:15:11:755,486216,486216,0,0,17079225,0,3988 19,3,2024-09-07 09:15:11:129,1,381,1,0,524,2783,381,0 20,0,2024-09-07 09:15:11:372,93746,0.5,93721,0.6,187314,0.4,250295,2.00 20,1,2024-09-07 09:15:10:577,668602,668602,0,0,314563256560,3285780050,663322,4562,718,369,391886,0 20,2,2024-09-07 09:15:10:933,482827,482827,0,0,20504066,0,3721 20,3,2024-09-07 09:15:10:589,1,381,10,0,414,5792,381,0 21,0,2024-09-07 09:15:11:132,93552,0.5,93571,0.6,186947,0.5,247975,2.00 21,1,2024-09-07 09:15:11:540,666932,666932,0,0,312748474441,3293809520,657838,7104,1990,368,392016,0 21,2,2024-09-07 09:15:11:070,479416,479396,20,0,24654315,0,5617 21,3,2024-09-07 09:15:11:405,1,381,2,0,713,5020,381,0 22,0,2024-09-07 09:15:11:726,93955,0.5,94329,0.7,188901,0.5,250233,2.00 22,1,2024-09-07 09:15:11:024,668103,668103,0,0,313130589880,3288687492,658418,7669,2016,382,391667,0 22,2,2024-09-07 09:15:10:760,477324,477298,26,0,19663781,0,6328 22,3,2024-09-07 09:15:11:079,1,381,11,0,228,3228,381,0 23,0,2024-09-07 09:15:11:374,98204,0.5,97950,0.7,196151,0.4,261845,2.25 23,1,2024-09-07 09:15:11:006,669401,669401,0,0,313894734364,3294732300,657877,7973,3551,365,391690,0 23,2,2024-09-07 09:15:11:092,484848,484848,0,0,19143301,0,3010 23,3,2024-09-07 09:15:11:753,1,381,1,0,720,5315,381,0 24,0,2024-09-07 09:15:10:867,94657,0.4,94479,0.5,189473,0.4,251412,1.75 24,1,2024-09-07 09:15:10:586,667978,667978,0,0,312906880578,3281443909,660484,5913,1581,367,392269,0 24,2,2024-09-07 09:15:11:070,482744,482744,0,0,23974301,0,3607 24,3,2024-09-07 09:15:11:694,1,381,18,0,468,5334,381,0 25,0,2024-09-07 09:15:11:353,97196,0.4,94771,0.6,185794,0.4,253006,1.75 25,1,2024-09-07 09:15:10:558,667517,667517,0,0,313355933247,3296231358,657298,8314,1905,371,391928,0 25,2,2024-09-07 09:15:11:627,481268,481268,0,0,23978275,0,3978 25,3,2024-09-07 09:15:11:004,1,381,532,0,532,4262,381,0 26,0,2024-09-07 09:15:11:739,93773,0.4,91475,0.6,191932,0.3,249555,1.75 26,1,2024-09-07 09:15:11:541,669120,669120,0,0,313142078560,3280105432,659497,8077,1546,380,391748,0 26,2,2024-09-07 09:15:10:868,478790,478790,0,0,23509418,0,2809 26,3,2024-09-07 09:15:11:720,1,381,0,0,796,4760,381,0 27,0,2024-09-07 09:15:11:727,97933,0.4,98016,0.6,194514,0.4,260293,2.00 27,1,2024-09-07 09:15:11:676,670805,670805,0,0,314177246998,3271261642,664547,5435,823,381,391626,0 27,2,2024-09-07 09:15:10:883,479482,479417,65,0,22752852,0,5699 27,3,2024-09-07 09:15:11:017,1,381,192,0,564,3961,381,0 28,0,2024-09-07 09:15:11:395,96330,0.4,95904,0.6,191994,0.3,256238,2.00 28,1,2024-09-07 09:15:10:820,669484,669484,0,0,314930621725,3288483758,663083,4811,1590,383,391698,0 28,2,2024-09-07 09:15:11:766,484785,484785,0,0,20618314,0,2915 28,3,2024-09-07 09:15:11:776,1,381,98,0,502,4324,381,0 29,0,2024-09-07 09:15:11:405,98437,0.3,95897,0.6,188426,0.3,256162,1.75 29,1,2024-09-07 09:15:11:563,671195,671195,0,0,315125499701,3262863173,666430,4032,733,369,391753,0 29,2,2024-09-07 09:15:10:862,481857,481857,0,0,19623754,0,4986 29,3,2024-09-07 09:15:10:965,1,381,3,0,459,4713,381,0 30,0,2024-09-07 09:15:11:463,93780,0.5,91240,0.7,190974,0.4,249837,2.00 30,1,2024-09-07 09:15:10:571,671329,671329,0,0,314742242217,3268983788,665931,4663,735,381,391672,0 30,2,2024-09-07 09:15:11:281,479742,479742,0,0,18212914,0,3161 30,3,2024-09-07 09:15:10:581,1,381,0,0,519,3801,381,0 31,0,2024-09-07 09:15:11:766,95758,0.5,96155,0.6,192114,0.4,256585,2.00 31,1,2024-09-07 09:15:10:564,673356,673356,0,0,316689347919,3247743071,670455,2315,586,356,391712,0 31,2,2024-09-07 09:15:11:287,480265,480265,0,0,20300522,0,3525 31,3,2024-09-07 09:15:11:706,1,381,1,0,220,3346,381,0 32,0,2024-09-07 09:15:11:416,98858,0.3,99428,0.5,198208,0.3,264164,1.75 32,1,2024-09-07 09:15:10:809,670001,670001,0,0,314806112290,3270397463,666103,3417,481,381,391646,0 32,2,2024-09-07 09:15:10:935,485736,485736,0,0,17507247,0,3155 32,3,2024-09-07 09:15:11:017,1,381,8,0,227,2688,381,0 33,0,2024-09-07 09:15:11:507,94881,0.3,94541,0.4,189654,0.2,252469,1.50 33,1,2024-09-07 09:15:10:577,671437,671437,0,0,315484135885,3269864379,665882,4488,1067,369,391730,0 33,2,2024-09-07 09:15:10:759,483627,483592,35,0,20044726,0,7012 33,3,2024-09-07 09:15:10:903,1,381,5,0,329,3778,381,0 34,0,2024-09-07 09:15:10:937,93544,0.3,96086,0.4,184004,0.2,247232,1.75 34,1,2024-09-07 09:15:11:044,672789,672789,0,0,315906418644,3247179250,671281,1499,9,367,391562,0 34,2,2024-09-07 09:15:10:773,481771,481771,0,0,18927438,0,3577 34,3,2024-09-07 09:15:11:687,1,381,253,0,299,2899,381,0 35,0,2024-09-07 09:15:10:873,93527,0.3,93892,0.5,188780,0.3,251894,1.75 35,1,2024-09-07 09:15:11:070,670638,670638,0,0,314436700916,3257567179,666670,3171,797,382,391769,0 35,2,2024-09-07 09:15:11:592,478968,478968,0,0,19129925,0,2653 35,3,2024-09-07 09:15:10:911,1,381,1,0,418,4397,381,0 36,0,2024-09-07 09:15:11:520,99381,0.4,99195,0.6,198446,0.4,263799,2.00 36,1,2024-09-07 09:15:10:595,669761,669761,0,0,313971517789,3274860946,661933,6143,1685,366,391759,0 36,2,2024-09-07 09:15:11:751,484337,484337,0,0,21342255,0,3875 36,3,2024-09-07 09:15:10:883,1,381,11,0,416,5822,381,0 37,0,2024-09-07 09:15:11:382,92799,0.5,92723,0.6,185646,0.4,247762,2.00 37,1,2024-09-07 09:15:10:569,669553,669546,0,7,313980463449,3277643029,662101,5285,2160,365,391570,0 37,2,2024-09-07 09:15:11:142,482132,482117,15,0,21513562,0,5815 37,3,2024-09-07 09:15:11:766,1,381,1,0,888,5833,381,0 38,0,2024-09-07 09:15:11:444,93325,0.5,90627,0.6,189623,0.4,247987,2.00 38,1,2024-09-07 09:15:11:623,670808,670808,0,0,314881331081,3282789499,662628,6895,1285,368,391821,0 38,2,2024-09-07 09:15:10:760,481919,481872,47,0,21041657,0,6710 38,3,2024-09-07 09:15:10:998,1,381,8,0,689,5064,381,0 39,0,2024-09-07 09:15:11:761,95856,0.6,93898,0.7,182871,0.5,249765,2.00 39,1,2024-09-07 09:15:10:718,669372,669372,0,0,313612291555,3283315993,659316,8082,1974,365,391658,0 39,2,2024-09-07 09:15:11:437,478513,478513,0,0,19255561,0,2689 39,3,2024-09-07 09:15:10:717,1,381,2,0,324,4577,381,0 40,0,2024-09-07 09:15:11:489,97395,0.8,98225,0.9,195716,0.8,261580,2.75 40,1,2024-09-07 09:15:10:608,669760,669760,0,0,313358071398,3279570339,660475,7671,1614,368,391668,0 40,2,2024-09-07 09:15:11:303,482253,482252,1,0,23247184,0,5137 40,3,2024-09-07 09:15:11:143,1,381,15,0,1028,5276,381,0 41,0,2024-09-07 09:15:11:033,94012,1.6,96203,1.3,183720,2.6,249589,3.25 41,1,2024-09-07 09:15:10:778,668337,668337,0,0,313434803044,3277904950,660292,7277,768,369,391742,0 41,2,2024-09-07 09:15:10:767,482886,482886,0,0,22333537,0,4277 41,3,2024-09-07 09:15:11:682,1,381,7,0,366,4019,381,0 42,0,2024-09-07 09:15:11:486,94732,0.9,94688,1.0,189537,1.0,250621,2.75 42,1,2024-09-07 09:15:11:442,667386,667386,0,0,313187994040,3292938696,656484,8985,1917,380,391675,0 42,2,2024-09-07 09:15:11:137,481484,481484,0,0,22770906,0,3790 42,3,2024-09-07 09:15:11:009,1,381,1,0,446,3192,381,0 43,0,2024-09-07 09:15:10:925,93438,0.8,90955,1.0,190683,0.9,249747,2.50 43,1,2024-09-07 09:15:10:586,669166,669166,0,0,314905480654,3291593488,659567,7869,1730,366,391696,0 43,2,2024-09-07 09:15:11:736,478421,478421,0,0,22206869,0,3812 43,3,2024-09-07 09:15:11:750,1,381,40,0,467,4557,381,0 44,0,2024-09-07 09:15:10:903,97338,0.4,97677,0.6,195321,0.4,259797,2.00 44,1,2024-09-07 09:15:10:566,670727,670727,0,0,314599209819,3254019460,664857,4651,1219,356,391809,0 44,2,2024-09-07 09:15:11:271,480446,480446,0,0,18794276,0,4344 44,3,2024-09-07 09:15:11:102,1,381,14,0,817,4756,381,0 45,0,2024-09-07 09:15:11:787,95949,0.5,93713,0.8,196404,0.5,258521,2.00 45,1,2024-09-07 09:15:11:006,670096,670096,0,0,314861332135,3271837952,665097,4482,517,382,391917,0 45,2,2024-09-07 09:15:11:270,485401,485401,0,0,19180976,0,3596 45,3,2024-09-07 09:15:10:937,1,381,1,0,271,3549,381,0 46,0,2024-09-07 09:15:10:953,94766,0.5,94831,0.7,189782,0.5,251984,2.00 46,1,2024-09-07 09:15:10:582,671372,671372,0,0,315289498275,3258637972,666873,3910,589,366,391709,0 46,2,2024-09-07 09:15:10:592,483375,483375,0,0,18325404,0,2920 46,3,2024-09-07 09:15:11:131,1,381,1,0,908,5169,381,0 47,0,2024-09-07 09:15:11:107,93951,0.5,94245,0.6,188885,0.4,249760,2.00 47,1,2024-09-07 09:15:10:567,672446,672446,0,0,313945679079,3246153039,667906,3725,815,366,391641,0 47,2,2024-09-07 09:15:10:910,481845,481845,0,0,18639060,0,4477 47,3,2024-09-07 09:15:11:115,1,381,1,0,600,4768,381,0 48,0,2024-09-07 09:15:11:502,95690,0.3,95414,0.4,190235,0.2,254281,1.50 48,1,2024-09-07 09:15:11:023,670660,670660,0,0,315006891049,3271218738,666550,3656,454,384,391710,0 48,2,2024-09-07 09:15:10:701,479256,479256,0,0,16969048,0,3031 48,3,2024-09-07 09:15:10:763,1,381,8,0,339,3187,381,0 49,0,2024-09-07 09:15:11:730,101072,0.3,99348,0.5,192350,0.2,263847,1.75 49,1,2024-09-07 09:15:11:022,670143,670143,0,0,314328956567,3271613683,665313,3625,1205,382,391809,0 49,2,2024-09-07 09:15:11:801,485305,485305,0,0,19013067,0,4426 49,3,2024-09-07 09:15:11:418,1,381,3,0,992,4685,381,0 50,0,2024-09-07 09:15:11:510,94318,0.3,92801,0.4,187303,0.2,249988,1.75 50,1,2024-09-07 09:15:11:010,672357,672357,0,0,315289288314,3258400887,668163,3807,387,368,391565,0 50,2,2024-09-07 09:15:11:076,483103,483103,0,0,17225698,0,2263 50,3,2024-09-07 09:15:11:291,1,381,26,0,567,4086,381,0 51,0,2024-09-07 09:15:11:709,95938,0.3,93764,0.5,182908,0.2,249055,1.75 51,1,2024-09-07 09:15:11:680,672182,672182,0,0,316400476868,3262252334,668783,2422,977,365,391706,0 51,2,2024-09-07 09:15:11:316,482233,482233,0,0,16967090,0,3337 51,3,2024-09-07 09:15:11:027,1,381,32,0,678,2991,381,0 52,0,2024-09-07 09:15:11:450,94538,0.5,94438,0.7,188973,0.4,251286,2.00 52,1,2024-09-07 09:15:10:586,669239,669239,0,0,313729323691,3282141315,660112,7813,1314,368,391722,0 52,2,2024-09-07 09:15:11:766,475402,475364,38,0,21791070,0,6742 52,3,2024-09-07 09:15:10:680,1,381,9,0,1782,5574,381,0 53,0,2024-09-07 09:15:11:743,97760,0.6,95047,0.7,199148,0.6,261544,2.25 53,1,2024-09-07 09:15:10:783,667642,667642,0,0,314054906651,3291873934,657356,7576,2710,367,391702,0 53,2,2024-09-07 09:15:11:306,483895,483894,1,0,19796182,0,5455 53,3,2024-09-07 09:15:10:701,1,381,2,0,308,3331,381,0 54,0,2024-09-07 09:15:11:640,92638,0.6,92953,0.8,185113,0.4,247321,2.25 54,1,2024-09-07 09:15:10:580,669913,669913,0,0,314705724336,3267119811,663976,5318,619,366,391659,0 54,2,2024-09-07 09:15:10:866,484246,484214,32,0,22494257,0,6397 54,3,2024-09-07 09:15:10:781,1,381,10,0,676,5519,381,0 55,0,2024-09-07 09:15:11:760,91510,0.6,94666,0.7,191148,0.5,248924,2.50 55,1,2024-09-07 09:15:10:779,670296,670296,0,0,314336966565,3265978452,663558,5829,909,365,391731,0 55,2,2024-09-07 09:15:10:738,481379,481323,56,0,21810130,0,7239 55,3,2024-09-07 09:15:10:680,1,381,23,0,304,4099,381,0 56,0,2024-09-07 09:15:11:565,95913,1.1,90490,1.1,186423,1.4,249412,2.50 56,1,2024-09-07 09:15:10:570,666261,666261,0,0,312620687604,3301951836,655920,8148,2193,381,391867,0 56,2,2024-09-07 09:15:11:312,478233,478233,0,0,21509532,0,3567 56,3,2024-09-07 09:15:11:061,1,381,8,0,705,4776,381,0 57,0,2024-09-07 09:15:10:967,96384,1.5,96240,1.2,192932,2.2,258308,3.00 57,1,2024-09-07 09:15:11:000,668425,668425,0,0,313301203295,3284833506,660419,6992,1014,366,392032,0 57,2,2024-09-07 09:15:11:330,482385,482385,0,0,23877885,0,3317 57,3,2024-09-07 09:15:11:740,1,381,1,0,359,4211,381,0 58,0,2024-09-07 09:15:10:560,94612,0.9,91897,1.0,192251,1.1,251822,2.50 58,1,2024-09-07 09:15:10:582,669230,669227,0,3,314272191586,3286699567,659948,8022,1257,367,391603,3 58,2,2024-09-07 09:15:11:077,483917,483917,0,0,22437682,0,2902 58,3,2024-09-07 09:15:11:069,1,381,4,0,1043,4195,381,0 59,0,2024-09-07 09:15:11:746,95538,0.8,95206,0.9,190019,0.8,252605,2.75 59,1,2024-09-07 09:15:10:805,668565,668565,0,0,313966109916,3287992340,659535,7440,1590,369,391586,0 59,2,2024-09-07 09:15:10:582,482867,482867,0,0,21765706,0,2867 59,3,2024-09-07 09:15:11:737,1,381,8,0,1015,4853,381,0 60,0,2024-09-07 09:15:11:756,94092,0.5,94062,0.7,188904,0.5,250896,1.75 60,1,2024-09-07 09:15:10:783,670641,670641,0,0,313946014529,3259463150,666180,3812,649,370,392031,0 60,2,2024-09-07 09:15:11:141,479469,479469,0,0,20622569,0,3811 60,3,2024-09-07 09:15:11:258,1,381,8,0,409,4450,381,0 61,0,2024-09-07 09:15:11:508,95689,0.7,96456,0.8,191896,0.7,256209,2.00 61,1,2024-09-07 09:15:10:773,669014,669014,0,0,313567793099,3287571393,661193,6425,1396,382,392127,0 61,2,2024-09-07 09:15:11:115,480080,480013,67,0,21211614,0,6411 61,3,2024-09-07 09:15:11:689,1,381,23,0,479,5411,381,0 62,0,2024-09-07 09:15:11:727,99422,0.6,101774,0.7,194195,0.6,263959,2.00 62,1,2024-09-07 09:15:11:110,673543,673537,0,6,315953725546,3260167048,669736,3541,260,365,391715,6 62,2,2024-09-07 09:15:11:645,483286,483285,1,0,21213502,0,5555 62,3,2024-09-07 09:15:11:143,1,381,482,0,482,3279,381,0 63,0,2024-09-07 09:15:11:466,95097,0.4,94886,0.6,190256,0.3,252913,1.75 63,1,2024-09-07 09:15:10:805,671127,671121,0,6,314114587459,3261127886,666846,3863,412,381,391800,6 63,2,2024-09-07 09:15:10:774,482825,482825,0,0,19054209,0,4369 63,3,2024-09-07 09:15:11:735,1,381,1,0,667,4107,381,0 64,0,2024-09-07 09:15:11:508,92716,0.5,92773,0.7,185546,0.5,246377,2.00 64,1,2024-09-07 09:15:10:750,670213,670213,0,0,314175124151,3273475443,664043,4488,1682,370,391783,0 64,2,2024-09-07 09:15:11:141,484325,484306,19,0,18677644,0,6121 64,3,2024-09-07 09:15:11:143,1,381,19,0,265,3443,381,0 65,0,2024-09-07 09:15:11:685,93296,0.6,93601,0.7,186945,0.6,249417,2.00 65,1,2024-09-07 09:15:10:874,668617,668617,0,0,313959742299,3281233456,663736,4341,540,382,391901,0 65,2,2024-09-07 09:15:11:696,479778,479778,0,0,21045355,0,3367 65,3,2024-09-07 09:15:11:684,1,381,14,0,347,3927,381,0 66,0,2024-09-07 09:15:11:768,98755,0.5,98720,0.7,197235,0.4,262563,2.00 66,1,2024-09-07 09:15:11:293,670577,670577,0,0,313931185872,3265932337,666368,3838,371,380,391653,0 66,2,2024-09-07 09:15:11:131,485937,485937,0,0,18885467,0,4956 66,3,2024-09-07 09:15:11:080,1,381,5,0,291,3524,381,0 67,0,2024-09-07 09:15:11:412,93376,0.5,93061,0.6,186632,0.4,248399,2.00 67,1,2024-09-07 09:15:10:767,670955,670954,0,1,314197744617,3269929597,666486,3683,785,380,391787,1 67,2,2024-09-07 09:15:10:583,485033,485033,0,0,18100650,0,2889 67,3,2024-09-07 09:15:11:753,1,381,3,0,338,3325,381,0 68,0,2024-09-07 09:15:10:578,94214,0.6,93851,0.7,187197,0.5,250005,2.00 68,1,2024-09-07 09:15:10:571,668327,668327,0,0,313574117589,3288565644,661443,4811,2073,381,391953,0 68,2,2024-09-07 09:15:11:045,480113,480013,100,0,24391144,0,8578 68,3,2024-09-07 09:15:10:731,1,381,17,0,417,4013,381,0 69,0,2024-09-07 09:15:11:732,93496,0.7,94051,0.8,187235,0.8,248844,2.25 69,1,2024-09-07 09:15:11:026,667320,667320,0,0,313554744986,3305468865,658361,6771,2188,384,391994,0 69,2,2024-09-07 09:15:11:733,477913,477884,29,0,24278199,0,6912 69,3,2024-09-07 09:15:10:760,1,381,1,0,698,5247,381,0 70,0,2024-09-07 09:15:11:556,97465,0.9,97514,1.0,196037,0.7,260280,2.50 70,1,2024-09-07 09:15:10:806,670719,670719,0,0,314997129882,3267427863,665441,4620,658,366,391725,0 70,2,2024-09-07 09:15:11:324,482771,482771,0,0,21097453,0,4044 70,3,2024-09-07 09:15:10:756,1,381,23,0,854,4345,381,0 71,0,2024-09-07 09:15:11:356,93763,0.9,93490,1.0,187670,1.1,251090,2.75 71,1,2024-09-07 09:15:11:603,669895,669895,0,0,314654335897,3281785948,662389,6758,748,368,391738,0 71,2,2024-09-07 09:15:11:070,484247,484247,0,0,21946322,0,4352 71,3,2024-09-07 09:15:11:750,1,381,0,0,644,5095,381,0 72,0,2024-09-07 09:15:11:030,98507,0.6,96315,0.7,187769,0.5,255069,2.00 72,1,2024-09-07 09:15:11:026,668436,668436,0,0,313733523159,3290138897,658586,7890,1960,369,391819,0 72,2,2024-09-07 09:15:11:754,481987,481987,0,0,24046983,0,3983 72,3,2024-09-07 09:15:11:755,1,381,8,0,564,5816,381,0 73,0,2024-09-07 09:15:11:115,92236,0.4,94512,0.6,193396,0.4,251063,2.00 73,1,2024-09-07 09:15:10:774,669985,669985,0,0,314250909053,3264740173,665310,4311,364,367,391858,0 73,2,2024-09-07 09:15:11:740,478123,478123,0,0,23220989,0,3701 73,3,2024-09-07 09:15:10:969,1,381,4,0,486,5386,381,0 74,0,2024-09-07 09:15:11:335,97933,0.5,100263,0.6,191138,0.4,259744,2.00 74,1,2024-09-07 09:15:10:639,669308,669308,0,0,313674303887,3275225575,662325,5614,1369,381,391681,0 74,2,2024-09-07 09:15:11:005,480257,480257,0,0,21787914,0,4253 74,3,2024-09-07 09:15:11:442,1,381,2,0,522,5330,381,0 75,0,2024-09-07 09:15:11:763,97480,0.5,96899,0.8,194694,0.5,259612,2.25 75,1,2024-09-07 09:15:11:586,669092,669092,0,0,314041094542,3278268052,662407,5859,826,380,391739,0 75,2,2024-09-07 09:15:11:349,483910,483910,0,0,23247689,0,4766 75,3,2024-09-07 09:15:11:075,1,381,1,0,702,5129,381,0 76,0,2024-09-07 09:15:10:585,94975,0.6,94400,0.7,189532,0.5,253322,2.25 76,1,2024-09-07 09:15:10:810,669641,669641,0,0,313941916837,3275223181,664952,3993,696,382,391692,0 76,2,2024-09-07 09:15:11:067,484446,484445,1,0,21237126,0,5144 76,3,2024-09-07 09:15:11:143,1,381,8,0,175,3530,381,0 77,0,2024-09-07 09:15:11:696,93656,0.6,93875,0.7,187781,0.6,249565,2.00 77,1,2024-09-07 09:15:10:843,669638,669638,0,0,313985793290,3279512407,664059,5083,496,381,391869,0 77,2,2024-09-07 09:15:11:283,480430,480430,0,0,20655107,0,3890 77,3,2024-09-07 09:15:11:100,1,381,2,0,401,4128,381,0 78,0,2024-09-07 09:15:11:743,95580,0.5,95104,0.7,191054,0.4,253586,2.00 78,1,2024-09-07 09:15:10:614,670233,670233,0,0,313959709326,3271565250,663007,5660,1566,367,391670,0 78,2,2024-09-07 09:15:11:406,480263,480263,0,0,18129026,0,3855 78,3,2024-09-07 09:15:11:133,1,381,1,0,181,3561,381,0 79,0,2024-09-07 09:15:11:347,95438,0.4,97728,0.6,200239,0.3,260614,2.25 79,1,2024-09-07 09:15:10:572,671516,671516,0,0,314447681562,3260318514,665757,4621,1138,368,391682,0 79,2,2024-09-07 09:15:11:078,485737,485737,0,0,19122313,0,4195 79,3,2024-09-07 09:15:10:756,1,381,2,0,418,4771,381,0 80,0,2024-09-07 09:15:11:076,93710,0.5,96527,0.6,184750,0.5,249587,2.00 80,1,2024-09-07 09:15:11:623,669902,669902,0,0,314576127989,3271903676,665858,3841,203,368,391791,0 80,2,2024-09-07 09:15:11:093,484812,484812,0,0,18612972,0,4433 80,3,2024-09-07 09:15:10:579,1,381,8,0,190,4556,381,0 81,0,2024-09-07 09:15:11:541,93524,0.5,95762,0.7,182753,0.5,247849,2.00 81,1,2024-09-07 09:15:11:652,669255,669255,0,0,312839117787,3270083034,663631,5119,505,382,391879,0 81,2,2024-09-07 09:15:11:141,480899,480836,63,0,20569737,0,5932 81,3,2024-09-07 09:15:11:122,1,381,5,0,719,4859,381,0 82,0,2024-09-07 09:15:11:536,93825,0.5,94352,0.7,189004,0.4,250917,2.00 82,1,2024-09-07 09:15:10:582,671257,671253,0,4,314404556196,3269845132,667152,3303,798,381,391768,4 82,2,2024-09-07 09:15:11:691,480101,480101,0,0,17075538,0,3986 82,3,2024-09-07 09:15:11:759,1,381,9,0,363,3731,381,0 83,0,2024-09-07 09:15:11:535,98705,0.5,98448,0.7,196633,0.5,261812,2.00 83,1,2024-09-07 09:15:10:557,668777,668777,0,0,314177948765,3274281930,664097,4298,382,382,391709,0 83,2,2024-09-07 09:15:10:774,483775,483775,0,0,18014618,0,3393 83,3,2024-09-07 09:15:10:754,1,381,1,0,1260,5078,381,0 84,0,2024-09-07 09:15:11:776,93450,0.7,93391,0.8,186408,0.6,249763,2.25 84,1,2024-09-07 09:15:11:051,668685,668685,0,0,313759241449,3278265512,661620,6033,1032,367,391967,0 84,2,2024-09-07 09:15:10:572,483143,483113,30,0,24570836,0,5971 84,3,2024-09-07 09:15:11:141,1,381,36,0,908,5646,381,0 85,0,2024-09-07 09:15:11:011,91253,0.6,91260,0.8,193679,0.6,250160,2.25 85,1,2024-09-07 09:15:10:562,667269,667269,0,0,313973250085,3313075939,657274,8177,1818,381,392006,0 85,2,2024-09-07 09:15:10:873,481644,481644,0,0,22507907,0,3656 85,3,2024-09-07 09:15:10:686,1,381,3,0,789,4980,381,0 86,0,2024-09-07 09:15:10:894,93732,0.6,96458,0.8,184533,0.7,249142,2.25 86,1,2024-09-07 09:15:10:824,669203,669203,0,0,315047231938,3294154742,662049,6085,1069,366,391961,0 86,2,2024-09-07 09:15:10:867,476964,476963,1,0,23545697,0,5004 86,3,2024-09-07 09:15:10:587,1,381,16,0,308,5694,381,0 87,0,2024-09-07 09:15:11:317,97383,0.9,97252,0.9,194900,1.1,260788,2.25 87,1,2024-09-07 09:15:10:561,668247,668247,0,0,313565316833,3280648723,660626,6500,1121,366,392076,0 87,2,2024-09-07 09:15:11:076,480547,480541,6,0,22071996,0,6323 87,3,2024-09-07 09:15:11:823,1,381,1,0,473,5938,381,0 88,0,2024-09-07 09:15:11:467,95977,0.4,96663,0.6,192429,0.4,255970,1.75 88,1,2024-09-07 09:15:10:583,666774,666774,0,0,313340796908,3282856901,658146,6747,1881,365,392084,0 88,2,2024-09-07 09:15:10:688,485095,485095,0,0,24005642,0,3583 88,3,2024-09-07 09:15:11:275,1,381,7,0,435,3986,381,0 89,0,2024-09-07 09:15:11:792,98636,0.4,95559,0.6,188934,0.4,256334,1.75 89,1,2024-09-07 09:15:10:554,667424,667424,0,0,312879744223,3289565653,659192,6872,1360,382,391866,0 89,2,2024-09-07 09:15:11:133,481451,481451,0,0,22926883,0,3173 89,3,2024-09-07 09:15:11:798,1,381,11,0,385,6802,381,0 90,0,2024-09-07 09:15:11:664,91332,0.5,93863,0.6,191442,0.4,249913,2.00 90,1,2024-09-07 09:15:10:590,668948,668948,0,0,313362958763,3282399525,662528,5913,507,380,391825,0 90,2,2024-09-07 09:15:11:405,477506,477506,0,0,24013765,0,3060 90,3,2024-09-07 09:15:10:947,1,381,2,0,322,4894,381,0 91,0,2024-09-07 09:15:10:924,96503,0.5,93447,0.6,195267,0.4,256631,1.75 91,1,2024-09-07 09:15:10:556,667682,667682,0,0,313669095737,3298067814,659242,7252,1188,381,392047,0 91,2,2024-09-07 09:15:11:330,480819,480819,0,0,21416387,0,2896 91,3,2024-09-07 09:15:10:600,1,381,16,0,216,3561,381,0 92,0,2024-09-07 09:15:11:447,99618,0.5,102109,0.6,195077,0.5,264207,1.75 92,1,2024-09-07 09:15:10:580,668785,668785,0,0,314131532466,3282519390,663421,4643,721,382,392136,0 92,2,2024-09-07 09:15:11:357,485848,485848,0,0,19600779,0,3259 92,3,2024-09-07 09:15:11:009,1,381,8,0,167,3437,381,0 93,0,2024-09-07 09:15:10:979,95459,0.4,97759,0.5,186610,0.3,252991,1.75 93,1,2024-09-07 09:15:10:809,668494,668494,0,0,313368880651,3275455266,660875,6274,1345,366,391776,0 93,2,2024-09-07 09:15:10:929,482362,482362,0,0,23085671,0,4845 93,3,2024-09-07 09:15:11:407,1,381,85,0,190,3583,381,0 94,0,2024-09-07 09:15:11:623,92818,0.4,93746,0.5,187239,0.3,247983,1.75 94,1,2024-09-07 09:15:10:565,669443,669443,0,0,314101339498,3276746519,665263,3989,191,381,391850,0 94,2,2024-09-07 09:15:10:767,480857,480857,0,0,19751889,0,2443 94,3,2024-09-07 09:15:11:688,1,381,2,0,264,4649,381,0 95,0,2024-09-07 09:15:11:362,94024,0.4,94025,0.5,188548,0.3,251604,1.75 95,1,2024-09-07 09:15:10:852,670805,670805,0,0,314281787650,3267690525,665398,4998,409,367,391713,0 95,2,2024-09-07 09:15:11:018,479256,479256,0,0,19039154,0,3308 95,3,2024-09-07 09:15:11:739,1,381,2,0,718,5608,381,0 96,0,2024-09-07 09:15:11:216,99175,0.3,99365,0.5,198455,0.3,263274,1.75 96,1,2024-09-07 09:15:11:710,668913,668913,0,0,313695539183,3272000448,664029,4069,815,384,391955,0 96,2,2024-09-07 09:15:11:279,484824,484824,0,0,19514327,0,4042 96,3,2024-09-07 09:15:11:141,1,381,7,0,411,4086,381,0 97,0,2024-09-07 09:15:11:353,93286,0.3,93006,0.5,186705,0.3,248075,1.50 97,1,2024-09-07 09:15:10:762,670224,670224,0,0,314669741060,3262525548,665795,3734,695,367,392140,0 97,2,2024-09-07 09:15:10:607,484584,484584,0,0,19179223,0,3679 97,3,2024-09-07 09:15:10:569,1,381,9,0,214,4293,381,0 98,0,2024-09-07 09:15:11:705,93755,0.3,93740,0.4,188267,0.2,249836,1.50 98,1,2024-09-07 09:15:10:569,670177,670177,0,0,314805409403,3273006337,667154,2903,120,382,391997,0 98,2,2024-09-07 09:15:10:767,482498,482498,0,0,18681227,0,3080 98,3,2024-09-07 09:15:10:699,1,381,5,0,840,5703,381,0 99,0,2024-09-07 09:15:11:455,93958,0.3,94653,0.5,187965,0.3,250950,1.75 99,1,2024-09-07 09:15:11:738,670068,670068,0,0,314177628639,3269792260,665631,3665,772,381,392069,0 99,2,2024-09-07 09:15:11:417,479061,479061,0,0,21650159,0,3424 99,3,2024-09-07 09:15:10:584,1,381,23,0,606,4163,381,0 100,0,2024-09-07 09:15:11:508,97967,0.7,98188,0.9,195794,0.8,261975,2.25 100,1,2024-09-07 09:15:10:552,666927,666927,0,0,313120261701,3306146152,657309,7722,1896,381,391989,0 100,2,2024-09-07 09:15:11:817,481450,481439,11,0,22074917,0,5417 100,3,2024-09-07 09:15:11:733,1,381,12,0,559,6507,381,0 101,0,2024-09-07 09:15:11:739,96647,1.1,94056,1.0,184819,0.9,252905,2.25 101,1,2024-09-07 09:15:10:568,666719,666719,0,0,313107058293,3294366964,656750,7853,2116,368,391847,0 101,2,2024-09-07 09:15:11:759,481592,481592,0,0,26485520,0,4871 101,3,2024-09-07 09:15:10:947,1,381,3,0,579,5030,381,0 102,0,2024-09-07 09:15:10:960,92886,0.6,95926,0.8,194125,0.6,253798,2.00 102,1,2024-09-07 09:15:11:143,667197,667197,0,0,313394486442,3290130885,658771,7148,1278,369,391891,0 102,2,2024-09-07 09:15:11:819,482817,482763,54,0,21449520,0,6768 102,3,2024-09-07 09:15:11:623,1,381,1,0,410,3839,381,0 103,0,2024-09-07 09:15:11:589,97663,0.6,97608,0.7,184031,0.6,252836,2.00 103,1,2024-09-07 09:15:11:625,666502,666502,0,0,313183781163,3302473354,656373,7539,2590,381,391829,0 103,2,2024-09-07 09:15:10:582,477119,477119,0,0,22633631,0,3766 103,3,2024-09-07 09:15:10:756,1,381,2,0,916,4378,381,0 104,0,2024-09-07 09:15:11:049,96490,0.7,96932,0.9,192308,0.7,259129,2.25 104,1,2024-09-07 09:15:11:603,668426,668426,0,0,312576128573,3285192504,658699,7953,1774,365,392168,0 104,2,2024-09-07 09:15:11:671,479738,479738,0,0,23378602,0,3941 104,3,2024-09-07 09:15:11:420,1,381,18,0,1245,7854,381,0 105,0,2024-09-07 09:15:11:045,96017,0.9,93489,1.1,195985,1.1,257846,2.75 105,1,2024-09-07 09:15:10:557,669337,669337,0,0,313809110864,3289475043,660631,7358,1348,366,392009,0 105,2,2024-09-07 09:15:11:401,483914,483914,0,0,22680423,0,3509 105,3,2024-09-07 09:15:11:312,1,381,38,0,399,5809,381,0 106,0,2024-09-07 09:15:10:946,92036,0.7,94215,0.9,193170,0.8,252701,2.50 106,1,2024-09-07 09:15:11:773,667924,667924,0,0,313490051389,3295604951,657254,9228,1442,369,391914,0 106,2,2024-09-07 09:15:10:757,481807,481807,0,0,22255049,0,2795 106,3,2024-09-07 09:15:10:688,1,381,7,0,470,4775,381,0 107,0,2024-09-07 09:15:11:110,93491,1.1,93621,0.9,187029,1.4,249537,2.25 107,1,2024-09-07 09:15:10:592,667138,667138,0,0,312799401683,3294712863,658418,7748,972,381,392234,0 107,2,2024-09-07 09:15:11:292,478553,478552,1,0,23014958,0,5024 107,3,2024-09-07 09:15:11:826,1,381,34,0,353,5425,381,0 108,0,2024-09-07 09:15:11:779,94916,0.5,95592,0.6,189961,0.5,254063,1.75 108,1,2024-09-07 09:15:11:307,669414,669414,0,0,314650061718,3281981393,663708,4968,738,368,391857,0 108,2,2024-09-07 09:15:11:755,478612,478612,0,0,21600913,0,4246 108,3,2024-09-07 09:15:11:330,1,381,14,0,749,7425,381,0 109,0,2024-09-07 09:15:11:812,99063,0.4,98197,0.6,196442,0.3,262783,1.75 109,1,2024-09-07 09:15:10:590,667180,667180,0,0,313683601438,3289394557,661351,5050,779,383,392132,0 109,2,2024-09-07 09:15:10:932,482683,482683,0,0,21506954,0,3617 109,3,2024-09-07 09:15:11:140,1,381,5,0,379,4443,381,0 110,0,2024-09-07 09:15:11:755,93700,0.4,91230,0.6,191064,0.3,250313,1.75 110,1,2024-09-07 09:15:11:643,670729,670729,0,0,314655208280,3267083287,665741,3813,1175,369,392045,0 110,2,2024-09-07 09:15:11:309,483203,483203,0,0,20807042,0,4067 110,3,2024-09-07 09:15:10:693,1,381,24,0,722,5640,381,0 111,0,2024-09-07 09:15:11:414,93916,0.4,93122,0.6,186875,0.3,249202,1.75 111,1,2024-09-07 09:15:11:000,671084,671084,0,0,314814705934,3265276005,667560,3171,353,380,391690,0 111,2,2024-09-07 09:15:11:115,480965,480965,0,0,20210609,0,4823 111,3,2024-09-07 09:15:10:913,1,381,11,0,379,4370,381,0 112,0,2024-09-07 09:15:10:919,95033,0.3,94401,0.4,189271,0.2,251546,1.50 112,1,2024-09-07 09:15:10:843,670585,670585,0,0,314970460951,3268055433,666378,3596,611,380,391624,0 112,2,2024-09-07 09:15:11:133,479149,479148,1,0,18335175,0,5036 112,3,2024-09-07 09:15:10:593,1,381,8,0,282,3862,381,0 113,0,2024-09-07 09:15:10:908,98386,0.3,98599,0.4,197326,0.2,263171,1.50 113,1,2024-09-07 09:15:11:685,673028,673028,0,0,316767322929,3267878179,669316,3128,584,366,391661,0 113,2,2024-09-07 09:15:11:309,485104,485104,0,0,17360370,0,3813 113,3,2024-09-07 09:15:10:687,1,381,16,0,340,4452,381,0 114,0,2024-09-07 09:15:10:886,94371,0.3,95083,0.5,188866,0.2,252277,1.75 114,1,2024-09-07 09:15:10:719,670365,670365,0,0,314317429472,3264920837,664885,3883,1597,381,391565,0 114,2,2024-09-07 09:15:10:881,484696,484695,1,0,19086775,0,5069 114,3,2024-09-07 09:15:11:286,1,381,1,0,395,3093,381,0 115,0,2024-09-07 09:15:10:606,94720,0.3,95311,0.4,190184,0.2,252654,1.50 115,1,2024-09-07 09:15:10:589,670124,670124,0,0,315523404868,3277684463,664547,4696,881,382,391757,0 115,2,2024-09-07 09:15:11:126,484223,484223,0,0,17676366,0,3848 115,3,2024-09-07 09:15:11:005,1,381,5,0,159,2266,381,0 116,0,2024-09-07 09:15:11:738,93570,0.7,93479,0.9,187435,0.7,250420,2.00 116,1,2024-09-07 09:15:10:815,667394,667394,0,0,313432675339,3301945227,659254,5822,2318,380,392089,0 116,2,2024-09-07 09:15:11:751,477722,477722,0,0,22687256,0,3529 116,3,2024-09-07 09:15:10:916,1,381,13,0,415,4550,381,0 117,0,2024-09-07 09:15:10:963,97788,0.8,97283,0.8,194799,0.8,261045,2.00 117,1,2024-09-07 09:15:11:578,667933,667933,0,0,313130340074,3276175769,660901,6187,845,369,392033,0 117,2,2024-09-07 09:15:11:128,484326,484326,0,0,19196429,0,3700 117,3,2024-09-07 09:15:11:069,1,381,1,0,490,5250,381,0 118,0,2024-09-07 09:15:11:772,93319,0.5,95663,0.7,195493,0.5,255027,2.00 118,1,2024-09-07 09:15:10:585,667878,667878,0,0,313240621806,3292504282,657810,7541,2527,366,391907,0 118,2,2024-09-07 09:15:11:593,483814,483814,0,0,22239449,0,2842 118,3,2024-09-07 09:15:11:776,1,381,77,0,248,4409,381,0 119,0,2024-09-07 09:15:11:331,95512,0.6,95960,0.7,191749,0.5,254741,2.00 119,1,2024-09-07 09:15:10:548,668556,668556,0,0,313641336342,3279836532,661385,6328,843,367,391780,0 119,2,2024-09-07 09:15:11:261,483023,483023,0,0,20344175,0,4174 119,3,2024-09-07 09:15:11:330,1,381,138,0,1058,6763,381,0 120,0,2024-09-07 09:15:11:579,93625,0.6,93468,0.8,187235,0.6,250497,2.25 120,1,2024-09-07 09:15:10:875,668405,668405,0,0,313349783633,3288214623,661257,6503,645,368,391961,0 120,2,2024-09-07 09:15:10:769,478332,478331,1,0,23705512,0,5281 120,3,2024-09-07 09:15:11:293,1,381,3,0,241,4577,381,0 121,0,2024-09-07 09:15:11:735,95881,1.2,95860,1.0,192265,1.6,256064,2.25 121,1,2024-09-07 09:15:11:677,669022,669022,0,0,314174059592,3285522659,662765,5811,446,367,391840,0 121,2,2024-09-07 09:15:11:130,478991,478991,0,0,22781438,0,4127 121,3,2024-09-07 09:15:10:734,1,381,2,0,269,4381,381,0 122,0,2024-09-07 09:15:11:767,98300,0.8,95982,0.9,201466,0.9,264043,2.00 122,1,2024-09-07 09:15:10:868,667590,667590,0,0,313064618695,3284151314,658517,7788,1285,366,392130,0 122,2,2024-09-07 09:15:11:323,484282,484209,73,0,25757314,0,5989 122,3,2024-09-07 09:15:10:597,1,381,21,0,512,7226,381,0 123,0,2024-09-07 09:15:10:954,94266,0.6,92295,0.7,192585,0.6,252542,2.00 123,1,2024-09-07 09:15:10:558,667861,667861,0,0,312958570334,3297378787,655735,9924,2202,369,392039,0 123,2,2024-09-07 09:15:11:020,481028,481027,1,0,22036207,0,5215 123,3,2024-09-07 09:15:11:132,1,381,1,0,168,4098,381,0 124,0,2024-09-07 09:15:10:944,96100,0.3,96089,0.5,181176,0.3,248819,1.75 124,1,2024-09-07 09:15:11:022,670050,670050,0,0,314240625905,3268963731,664930,4073,1047,367,392178,0 124,2,2024-09-07 09:15:11:018,482087,482034,53,0,19788729,0,6487 124,3,2024-09-07 09:15:10:764,1,381,8,0,490,3786,381,0 125,0,2024-09-07 09:15:11:417,94352,0.4,93995,0.5,188913,0.3,251931,1.75 125,1,2024-09-07 09:15:10:869,668980,668980,0,0,313641522308,3275362548,663568,4747,665,382,391702,0 125,2,2024-09-07 09:15:11:118,479888,479888,0,0,20557216,0,4534 125,3,2024-09-07 09:15:11:129,1,381,14,0,709,4953,381,0 126,0,2024-09-07 09:15:11:424,98866,0.4,101808,0.6,194990,0.4,263964,1.75 126,1,2024-09-07 09:15:10:555,670715,670715,0,0,315070596587,3264898153,666799,3628,288,365,391987,0 126,2,2024-09-07 09:15:10:616,484861,484861,0,0,20968691,0,4539 126,3,2024-09-07 09:15:10:917,1,381,2,0,207,4855,381,0 127,0,2024-09-07 09:15:11:591,93173,0.3,93633,0.5,186771,0.3,248238,1.50 127,1,2024-09-07 09:15:10:592,669900,669900,0,0,314584857237,3273284818,663121,5673,1106,364,392187,0 127,2,2024-09-07 09:15:10:640,483013,483013,0,0,19292934,0,3897 127,3,2024-09-07 09:15:11:270,1,381,1,0,968,4395,381,0 128,0,2024-09-07 09:15:11:520,94160,0.3,94516,0.4,188680,0.2,250150,1.50 128,1,2024-09-07 09:15:11:605,669991,669991,0,0,314198877246,3262453631,665366,4195,430,367,391838,0 128,2,2024-09-07 09:15:11:385,483110,483110,0,0,18258998,0,2915 128,3,2024-09-07 09:15:10:777,1,381,13,0,1082,6251,381,0 129,0,2024-09-07 09:15:10:991,94680,0.3,94106,0.5,188783,0.3,251182,1.50 129,1,2024-09-07 09:15:10:568,667380,667380,0,0,313535245037,3281589286,661401,4722,1257,379,391835,0 129,2,2024-09-07 09:15:10:688,478876,478876,0,0,19185544,0,4031 129,3,2024-09-07 09:15:10:689,1,381,1,0,506,5211,381,0 130,0,2024-09-07 09:15:11:733,98801,0.4,98409,0.6,198123,0.4,263384,1.75 130,1,2024-09-07 09:15:10:591,670540,670540,0,0,314611951644,3270263058,666773,3430,337,381,391825,0 130,2,2024-09-07 09:15:11:126,484244,484244,0,0,19393104,0,4067 130,3,2024-09-07 09:15:11:293,1,381,177,0,535,5066,381,0 131,0,2024-09-07 09:15:11:933,94578,0.4,95087,0.5,191237,0.3,253015,1.75 131,1,2024-09-07 09:15:11:821,669907,669907,0,0,314172246662,3279443486,664831,4268,808,381,391865,0 131,2,2024-09-07 09:15:10:567,486723,486723,0,0,17691307,0,2415 131,3,2024-09-07 09:15:11:688,1,381,56,0,392,3881,381,0 132,0,2024-09-07 09:15:11:414,95408,0.4,96349,0.6,191593,0.4,254570,1.75 132,1,2024-09-07 09:15:10:587,667338,667338,0,0,313058351507,3292777804,658195,7612,1531,381,392097,0 132,2,2024-09-07 09:15:10:698,482141,482124,17,0,24012887,0,6451 132,3,2024-09-07 09:15:11:688,1,381,45,0,804,6841,381,0 133,0,2024-09-07 09:15:11:546,92220,0.4,94357,0.6,193649,0.4,251538,1.75 133,1,2024-09-07 09:15:10:588,666911,666911,0,0,313013862329,3296810109,657766,7988,1157,383,391914,0 133,2,2024-09-07 09:15:11:092,477824,477774,50,0,24729185,0,6861 133,3,2024-09-07 09:15:11:307,1,381,14,0,479,3960,381,0 134,0,2024-09-07 09:15:10:941,97669,0.5,97285,0.7,194645,0.4,260317,2.00 134,1,2024-09-07 09:15:10:585,668383,668383,0,0,312628214323,3278414790,659790,6709,1884,366,391718,0 134,2,2024-09-07 09:15:11:776,480057,480033,24,0,22074745,0,6207 134,3,2024-09-07 09:15:10:754,1,381,1,0,739,4771,381,0 135,0,2024-09-07 09:15:11:120,94273,0.9,94254,0.9,199807,1.0,257352,2.25 135,1,2024-09-07 09:15:11:585,668159,668159,0,0,313442120085,3297176879,659140,7741,1278,380,391805,0 135,2,2024-09-07 09:15:10:688,485444,485444,0,0,22182855,0,3981 135,3,2024-09-07 09:15:11:005,1,381,1,0,299,3267,381,0 136,0,2024-09-07 09:15:11:630,95720,0.5,95891,0.7,190782,0.5,254646,2.00 136,1,2024-09-07 09:15:11:440,668060,668060,0,0,313598907476,3290176635,660377,6843,840,382,391685,0 136,2,2024-09-07 09:15:11:136,483718,483718,0,0,21764847,0,3506 136,3,2024-09-07 09:15:11:115,1,381,8,0,637,4182,381,0 137,0,2024-09-07 09:15:10:938,96519,0.6,93878,0.7,184552,0.6,250811,2.00 137,1,2024-09-07 09:15:10:584,667438,667438,0,0,313305753366,3291313033,656355,8736,2347,366,391898,0 137,2,2024-09-07 09:15:11:715,478846,478846,0,0,24017475,0,3185 137,3,2024-09-07 09:15:10:772,1,381,1,0,484,4827,381,0 138,0,2024-09-07 09:15:11:749,94717,1.2,94764,1.0,190292,1.6,252952,2.25 138,1,2024-09-07 09:15:11:685,668425,668425,0,0,313191782595,3288150857,658622,8084,1719,368,391954,0 138,2,2024-09-07 09:15:10:597,479200,479200,0,0,21775676,0,4988 138,3,2024-09-07 09:15:10:615,1,381,2,0,1160,5114,381,0 139,0,2024-09-07 09:15:11:357,97560,1.5,97593,1.1,195412,2.2,261106,2.50 139,1,2024-09-07 09:15:10:573,665354,665354,0,0,311846494273,3316933926,651685,10401,3268,381,392058,0 139,2,2024-09-07 09:15:10:694,481196,481196,0,0,24705051,0,3097 139,3,2024-09-07 09:15:11:668,1,381,3,0,432,4650,381,0 140,0,2024-09-07 09:15:11:592,94163,0.3,93666,0.5,188007,0.2,250479,1.75 140,1,2024-09-07 09:15:11:538,671815,671815,0,0,315311037048,3253088600,668288,3087,440,365,391606,0 140,2,2024-09-07 09:15:10:690,483570,483569,1,0,19494846,0,5036 140,3,2024-09-07 09:15:10:773,1,381,8,0,297,3320,381,0 141,0,2024-09-07 09:15:11:702,93735,0.3,96203,0.5,183766,0.3,249095,1.75 141,1,2024-09-07 09:15:10:884,670372,670372,0,0,314935751430,3271926498,665178,4240,954,379,391614,0 141,2,2024-09-07 09:15:11:698,482301,482301,0,0,19137613,0,3360 141,3,2024-09-07 09:15:11:043,1,381,1,0,391,4373,381,0 142,0,2024-09-07 09:15:11:348,95038,0.3,94428,0.5,188753,0.3,252001,1.75 142,1,2024-09-07 09:15:10:602,669584,669584,0,0,314246674866,3275137766,665453,3777,354,382,392102,0 142,2,2024-09-07 09:15:11:312,478381,478349,32,0,20081172,0,6028 142,3,2024-09-07 09:15:11:750,1,381,6,0,484,4442,381,0 143,0,2024-09-07 09:15:11:368,98750,0.4,98568,0.6,197654,0.4,263189,1.75 143,1,2024-09-07 09:15:10:566,670908,670908,0,0,314824991179,3266026688,666919,3835,154,367,391705,0 143,2,2024-09-07 09:15:10:795,484102,484102,0,0,20221350,0,3123 143,3,2024-09-07 09:15:11:148,1,381,0,0,462,5043,381,0 144,0,2024-09-07 09:15:11:509,91131,0.6,93561,0.8,190952,0.5,250147,2.00 144,1,2024-09-07 09:15:10:565,667388,667388,0,0,312870148683,3280362144,661411,4702,1275,381,391649,0 144,2,2024-09-07 09:15:11:755,484910,484910,0,0,18736489,0,3673 144,3,2024-09-07 09:15:11:749,1,381,14,0,249,3837,381,0 145,0,2024-09-07 09:15:11:356,91608,0.5,91615,0.8,194290,0.5,249827,2.25 145,1,2024-09-07 09:15:10:553,667657,667657,0,0,313167189255,3290356055,659807,6707,1143,382,391759,0 145,2,2024-09-07 09:15:11:432,480857,480776,81,0,23066809,0,7814 145,3,2024-09-07 09:15:10:900,1,381,9,0,622,5637,381,0 146,0,2024-09-07 09:15:11:626,93932,0.5,93304,0.7,188054,0.5,249012,2.00 146,1,2024-09-07 09:15:11:585,668222,668222,0,0,313525898956,3294344982,657882,8301,2039,367,391770,0 146,2,2024-09-07 09:15:11:704,477204,477204,0,0,21802833,0,2730 146,3,2024-09-07 09:15:11:296,1,381,32,0,1520,7757,381,0 147,0,2024-09-07 09:15:11:706,97670,0.6,97470,0.7,194243,0.5,260557,2.00 147,1,2024-09-07 09:15:11:405,670397,670397,0,0,315480071647,3283375302,664072,5481,844,368,391791,0 147,2,2024-09-07 09:15:11:009,481739,481739,0,0,19378944,0,2789 147,3,2024-09-07 09:15:10:920,1,381,1,0,730,5355,381,0 0,0,2024-09-07 09:15:21:768,91684,0.6,91740,0.8,194568,0.7,251495,2.00 0,1,2024-09-07 09:15:20:813,670534,670534,0,0,314956543160,3295454973,665483,4666,385,369,391896,0 0,2,2024-09-07 09:15:21:075,481124,481124,0,0,19189187,0,4480 0,3,2024-09-07 09:15:20:974,1,382,8,0,431,5367,382,0 1,0,2024-09-07 09:15:21:812,96512,0.8,95925,0.9,192767,1.0,257648,2.00 1,1,2024-09-07 09:15:20:571,669866,669866,0,0,314434340141,3291384240,663713,4835,1318,370,391857,0 1,2,2024-09-07 09:15:20:648,480755,480755,0,0,19183824,0,3380 1,3,2024-09-07 09:15:21:328,1,382,70,0,262,4417,382,0 2,0,2024-09-07 09:15:21:577,98642,0.7,98949,0.8,197079,0.8,262807,2.00 2,1,2024-09-07 09:15:20:859,672230,672230,0,0,315120438196,3271428116,669094,2843,293,380,391745,0 2,2,2024-09-07 09:15:21:267,486394,486394,0,0,18850225,0,3594 2,3,2024-09-07 09:15:20:696,1,382,1,0,357,3792,382,0 3,0,2024-09-07 09:15:21:755,95089,0.4,94921,0.6,189800,0.4,253017,2.00 3,1,2024-09-07 09:15:21:618,670593,670593,0,0,315352394852,3285008848,664623,5281,689,380,391591,0 3,2,2024-09-07 09:15:21:151,484019,483996,23,0,19536721,0,5851 3,3,2024-09-07 09:15:21:763,1,382,5,0,207,2399,382,0 4,0,2024-09-07 09:15:21:960,90787,0.4,93241,0.5,190029,0.3,248483,1.75 4,1,2024-09-07 09:15:20:596,668713,668713,0,0,313839829754,3314336841,658222,8156,2335,370,391992,0 4,2,2024-09-07 09:15:21:024,480348,480348,0,0,22020654,0,4528 4,3,2024-09-07 09:15:21:044,1,382,15,0,448,5184,382,0 5,0,2024-09-07 09:15:21:443,94502,0.4,94956,0.6,189589,0.4,252304,1.75 5,1,2024-09-07 09:15:20:761,669785,669785,0,0,313964781767,3303201743,660864,7179,1742,367,392005,0 5,2,2024-09-07 09:15:21:849,478952,478952,0,0,21288485,0,3582 5,3,2024-09-07 09:15:21:774,1,382,1,0,457,5301,382,0 6,0,2024-09-07 09:15:20:916,99638,0.4,99106,0.6,198047,0.3,264630,1.75 6,1,2024-09-07 09:15:20:748,670012,670012,0,0,314041762873,3283279931,662290,6335,1387,379,391694,0 6,2,2024-09-07 09:15:21:128,485019,485001,18,0,21828975,0,5535 6,3,2024-09-07 09:15:21:318,1,382,0,0,340,4033,382,0 7,0,2024-09-07 09:15:21:540,92961,0.5,93546,0.6,186355,0.4,248174,2.00 7,1,2024-09-07 09:15:20:855,669391,669391,0,0,314233991911,3298817936,660155,7841,1395,382,391747,0 7,2,2024-09-07 09:15:20:779,485081,485081,0,0,20680155,0,4791 7,3,2024-09-07 09:15:20:854,1,382,4,0,398,4089,382,0 8,0,2024-09-07 09:15:21:510,94209,0.4,93937,0.5,188257,0.3,250696,1.75 8,1,2024-09-07 09:15:21:046,668457,668457,0,0,314257464538,3306369638,657511,8353,2593,366,392144,0 8,2,2024-09-07 09:15:20:806,479284,479284,0,0,25031440,0,3220 8,3,2024-09-07 09:15:20:598,1,382,13,0,538,6413,382,0 9,0,2024-09-07 09:15:21:134,94542,0.4,91742,0.5,192011,0.3,251611,1.75 9,1,2024-09-07 09:15:20:560,668922,668922,0,0,313970259268,3307029900,659288,7497,2137,369,392001,0 9,2,2024-09-07 09:15:21:094,478985,478985,0,0,22054692,0,3360 9,3,2024-09-07 09:15:21:770,1,382,7,0,496,5349,382,0 10,0,2024-09-07 09:15:21:612,98942,0.3,98261,0.5,197492,0.2,263352,1.75 10,1,2024-09-07 09:15:20:592,669431,669431,0,0,314358002017,3292974595,660505,7641,1285,381,391741,0 10,2,2024-09-07 09:15:20:763,484107,484107,0,0,24234445,0,4264 10,3,2024-09-07 09:15:20:873,1,382,649,0,649,4347,382,0 11,0,2024-09-07 09:15:21:013,94565,0.5,91815,0.6,191921,0.4,253204,1.75 11,1,2024-09-07 09:15:20:575,670422,670422,0,0,314463598806,3300887769,659864,8076,2482,383,391756,0 11,2,2024-09-07 09:15:21:130,484825,484825,0,0,21986756,0,4130 11,3,2024-09-07 09:15:21:302,1,382,1,0,843,5672,382,0 12,0,2024-09-07 09:15:20:945,96257,0.4,96323,0.5,192395,0.3,255087,1.75 12,1,2024-09-07 09:15:20:934,670445,670445,0,0,314722277379,3281660088,665200,4750,495,370,391870,0 12,2,2024-09-07 09:15:21:541,484266,484266,0,0,21139858,0,3469 12,3,2024-09-07 09:15:21:072,1,382,17,0,386,5993,382,0 13,0,2024-09-07 09:15:21:478,95267,0.4,95037,0.6,189834,0.4,252349,1.75 13,1,2024-09-07 09:15:21:550,669166,669166,0,0,314401327439,3305657847,662309,5295,1562,382,391740,0 13,2,2024-09-07 09:15:20:596,481107,481107,0,0,19341462,0,3287 13,3,2024-09-07 09:15:21:813,1,382,8,0,522,6006,382,0 14,0,2024-09-07 09:15:20:579,97787,0.4,98535,0.6,195343,0.3,260865,1.75 14,1,2024-09-07 09:15:21:566,673749,673749,0,0,316486397928,3279443469,668128,5093,528,364,391673,0 14,2,2024-09-07 09:15:20:764,482494,482464,30,0,21512753,0,6104 14,3,2024-09-07 09:15:21:125,1,382,8,0,1168,4369,382,0 15,0,2024-09-07 09:15:21:560,96940,0.5,96931,0.7,194279,0.4,258236,2.00 15,1,2024-09-07 09:15:21:620,670780,670780,0,0,315128381969,3282101536,665655,4228,897,381,391619,0 15,2,2024-09-07 09:15:20:999,487201,487201,0,0,17934473,0,3622 15,3,2024-09-07 09:15:21:414,1,382,1,0,1126,6759,382,0 16,0,2024-09-07 09:15:21:505,95576,0.5,96027,0.7,191601,0.5,254976,2.00 16,1,2024-09-07 09:15:20:576,670637,670637,0,0,313988735817,3278488094,665516,4727,394,370,391756,0 16,2,2024-09-07 09:15:21:445,483801,483801,0,0,20479543,0,4719 16,3,2024-09-07 09:15:21:202,1,382,63,0,317,5067,382,0 17,0,2024-09-07 09:15:21:920,96760,0.6,94427,0.8,184837,0.6,251095,2.00 17,1,2024-09-07 09:15:20:587,669496,669496,0,0,314065614011,3293921069,662852,5380,1264,368,392075,0 17,2,2024-09-07 09:15:21:730,483288,483288,0,0,19912437,0,2948 17,3,2024-09-07 09:15:20:592,1,382,4,0,298,5958,382,0 18,0,2024-09-07 09:15:20:939,94543,0.6,94924,0.8,189275,0.6,252709,2.25 18,1,2024-09-07 09:15:21:645,672552,672552,0,0,315265049709,3267213096,668900,3335,317,367,391649,0 18,2,2024-09-07 09:15:21:764,483408,483408,0,0,18132885,0,3541 18,3,2024-09-07 09:15:20:900,1,382,1,0,163,3096,382,0 19,0,2024-09-07 09:15:21:550,98476,0.6,99212,0.8,196137,0.6,261469,2.25 19,1,2024-09-07 09:15:20:575,672576,672576,0,0,315353004969,3271063358,667209,4528,839,367,391777,0 19,2,2024-09-07 09:15:21:760,487643,487643,0,0,17174833,0,3988 19,3,2024-09-07 09:15:21:375,1,382,3,0,524,2786,382,0 20,0,2024-09-07 09:15:21:457,94062,0.5,94052,0.6,187960,0.4,251132,2.00 20,1,2024-09-07 09:15:20:594,670395,670395,0,0,315595245698,3296815931,665109,4568,718,369,391886,0 20,2,2024-09-07 09:15:20:930,484136,484136,0,0,20580389,0,3721 20,3,2024-09-07 09:15:20:603,1,382,9,0,414,5801,382,0 21,0,2024-09-07 09:15:21:253,93725,0.5,93734,0.6,187283,0.5,248404,2.00 21,1,2024-09-07 09:15:21:552,668667,668667,0,0,313694191170,3303892051,659525,7152,1990,368,392016,0 21,2,2024-09-07 09:15:21:099,480365,480345,20,0,24726709,0,5617 21,3,2024-09-07 09:15:21:414,1,382,13,0,713,5033,382,0 22,0,2024-09-07 09:15:21:737,94212,0.5,94582,0.7,189380,0.5,250812,2.00 22,1,2024-09-07 09:15:21:028,669713,669713,0,0,313822548679,3296041784,660028,7669,2016,382,391667,0 22,2,2024-09-07 09:15:20:767,478816,478790,26,0,19812938,0,6328 22,3,2024-09-07 09:15:21:070,1,382,36,0,228,3264,382,0 23,0,2024-09-07 09:15:21:386,98625,0.5,98323,0.7,196912,0.4,262911,2.25 23,1,2024-09-07 09:15:21:006,671110,671110,0,0,314557791593,3301823123,659552,8007,3551,365,391690,0 23,2,2024-09-07 09:15:21:093,486166,486166,0,0,19193835,0,3010 23,3,2024-09-07 09:15:21:762,1,382,5,0,720,5320,382,0 24,0,2024-09-07 09:15:20:882,94825,0.4,94635,0.5,189776,0.4,251855,1.75 24,1,2024-09-07 09:15:20:584,669635,669635,0,0,313618751205,3288806460,662140,5914,1581,367,392269,0 24,2,2024-09-07 09:15:21:100,483885,483885,0,0,24014058,0,3607 24,3,2024-09-07 09:15:21:694,1,382,24,0,468,5358,382,0 25,0,2024-09-07 09:15:21:385,97319,0.4,94868,0.6,185990,0.4,253273,1.75 25,1,2024-09-07 09:15:20:566,669374,669374,0,0,314026750068,3303698891,659136,8333,1905,371,391928,0 25,2,2024-09-07 09:15:21:623,482528,482528,0,0,24010900,0,3978 25,3,2024-09-07 09:15:21:008,1,382,0,0,532,4262,382,0 26,0,2024-09-07 09:15:21:729,93878,0.4,91583,0.6,192137,0.3,249885,1.75 26,1,2024-09-07 09:15:21:551,670932,670932,0,0,313924427481,3288128130,661309,8077,1546,380,391748,0 26,2,2024-09-07 09:15:20:862,480101,480101,0,0,23620290,0,2809 26,3,2024-09-07 09:15:21:726,1,382,0,0,796,4760,382,0 27,0,2024-09-07 09:15:21:735,98418,0.4,98476,0.6,195464,0.4,261639,2.00 27,1,2024-09-07 09:15:21:685,672622,672622,0,0,314990910335,3279730564,666364,5435,823,381,391626,0 27,2,2024-09-07 09:15:20:869,480745,480680,65,0,22804433,0,5699 27,3,2024-09-07 09:15:21:018,1,382,2,0,564,3963,382,0 28,0,2024-09-07 09:15:21:388,96460,0.4,96016,0.6,192208,0.3,256545,2.00 28,1,2024-09-07 09:15:20:804,670835,670835,0,0,315571832522,3295856429,664271,4962,1602,383,391698,0 28,2,2024-09-07 09:15:21:779,486037,486037,0,0,20884662,0,2915 28,3,2024-09-07 09:15:21:776,1,382,18,0,502,4342,382,0 29,0,2024-09-07 09:15:21:376,98556,0.3,96018,0.6,188657,0.3,256416,1.75 29,1,2024-09-07 09:15:21:565,672977,672977,0,0,316293163779,3274865701,668212,4032,733,369,391753,0 29,2,2024-09-07 09:15:20:863,483188,483188,0,0,19763840,0,4986 29,3,2024-09-07 09:15:20:963,1,382,15,0,459,4728,382,0 30,0,2024-09-07 09:15:21:463,94000,0.5,91441,0.7,191431,0.4,250349,2.00 30,1,2024-09-07 09:15:20:583,673085,673085,0,0,315760856417,3279808485,667677,4673,735,381,391672,0 30,2,2024-09-07 09:15:21:274,480715,480715,0,0,18388419,0,3161 30,3,2024-09-07 09:15:20:584,1,382,1,0,519,3802,382,0 31,0,2024-09-07 09:15:21:764,96170,0.5,96577,0.6,192888,0.4,257739,2.00 31,1,2024-09-07 09:15:20:569,675136,675136,0,0,317590245482,3256988061,672235,2315,586,356,391712,0 31,2,2024-09-07 09:15:21:277,481524,481524,0,0,20456858,0,3525 31,3,2024-09-07 09:15:21:709,1,382,1,0,220,3347,382,0 32,0,2024-09-07 09:15:21:443,99048,0.3,99629,0.5,198597,0.3,264447,1.75 32,1,2024-09-07 09:15:20:816,671895,671895,0,0,315653423438,3281009355,667580,3761,554,381,391646,0 32,2,2024-09-07 09:15:20:935,487116,487116,0,0,17727270,0,3155 32,3,2024-09-07 09:15:21:018,1,382,8,0,227,2696,382,0 33,0,2024-09-07 09:15:21:497,95177,0.3,94824,0.4,190238,0.2,253273,1.50 33,1,2024-09-07 09:15:20:583,673106,673106,0,0,316255159689,3279037432,667288,4733,1085,369,391730,0 33,2,2024-09-07 09:15:20:778,485008,484973,35,0,20525252,0,7012 33,3,2024-09-07 09:15:20:896,1,382,15,0,329,3793,382,0 34,0,2024-09-07 09:15:20:935,93739,0.3,96289,0.4,184390,0.2,247781,1.75 34,1,2024-09-07 09:15:21:049,674677,674677,0,0,316721360349,3255983039,673141,1527,9,367,391562,0 34,2,2024-09-07 09:15:20:766,482459,482459,0,0,19039776,0,3577 34,3,2024-09-07 09:15:21:688,1,382,0,0,299,2899,382,0 35,0,2024-09-07 09:15:20:874,93927,0.3,94298,0.5,189607,0.3,252991,1.75 35,1,2024-09-07 09:15:21:087,672545,672545,0,0,315296534740,3267431055,668416,3273,856,382,391769,0 35,2,2024-09-07 09:15:21:585,480598,480598,0,0,19426387,0,2653 35,3,2024-09-07 09:15:20:908,1,382,0,0,418,4397,382,0 36,0,2024-09-07 09:15:21:535,99647,0.4,99509,0.6,199007,0.4,264494,2.00 36,1,2024-09-07 09:15:20:813,671369,671369,0,0,314651425579,3282151636,663531,6153,1685,366,391759,0 36,2,2024-09-07 09:15:21:751,485810,485810,0,0,21433164,0,3875 36,3,2024-09-07 09:15:20:869,1,382,11,0,416,5833,382,0 37,0,2024-09-07 09:15:21:383,93126,0.5,93058,0.6,186248,0.4,248512,2.00 37,1,2024-09-07 09:15:20:578,671234,671227,0,7,314799545037,3286360186,663763,5304,2160,365,391570,0 37,2,2024-09-07 09:15:21:146,483137,483122,15,0,21556191,0,5815 37,3,2024-09-07 09:15:21:771,1,382,7,0,888,5840,382,0 38,0,2024-09-07 09:15:21:451,93512,0.5,90810,0.6,189941,0.4,248462,2.00 38,1,2024-09-07 09:15:21:634,672551,672551,0,0,315937455399,3293714507,664370,6896,1285,368,391821,0 38,2,2024-09-07 09:15:20:762,482956,482909,47,0,21080694,0,6710 38,3,2024-09-07 09:15:21:006,1,382,17,0,689,5081,382,0 39,0,2024-09-07 09:15:21:770,96166,0.6,94195,0.7,183458,0.5,250675,2.00 39,1,2024-09-07 09:15:20:718,670946,670946,0,0,314414537380,3291603985,660890,8082,1974,365,391658,0 39,2,2024-09-07 09:15:21:435,479938,479938,0,0,19302858,0,2689 39,3,2024-09-07 09:15:20:717,1,382,23,0,324,4600,382,0 40,0,2024-09-07 09:15:21:501,97839,0.8,98667,0.9,196620,0.8,262775,2.75 40,1,2024-09-07 09:15:20:577,671495,671495,0,0,314141156715,3287593385,662210,7671,1614,368,391668,0 40,2,2024-09-07 09:15:21:319,483516,483515,1,0,23286190,0,5137 40,3,2024-09-07 09:15:21:148,1,382,10,0,1028,5286,382,0 41,0,2024-09-07 09:15:21:027,94134,1.6,96330,1.3,183950,2.6,249902,3.00 41,1,2024-09-07 09:15:20:772,670130,670130,0,0,314285475372,3286685428,662085,7277,768,369,391742,0 41,2,2024-09-07 09:15:20:759,483892,483892,0,0,22376797,0,4277 41,3,2024-09-07 09:15:21:679,1,382,2,0,366,4021,382,0 42,0,2024-09-07 09:15:21:481,94844,0.9,94802,1.0,189775,1.0,250947,2.75 42,1,2024-09-07 09:15:21:451,669216,669216,0,0,314069454751,3302208367,658276,9022,1918,380,391675,0 42,2,2024-09-07 09:15:21:143,482773,482773,0,0,22836595,0,3790 42,3,2024-09-07 09:15:21:010,1,382,1,0,446,3193,382,0 43,0,2024-09-07 09:15:20:917,93486,0.8,90985,1.0,190750,0.9,249747,2.50 43,1,2024-09-07 09:15:20:580,670905,670905,0,0,315934447239,3302176714,661306,7869,1730,366,391696,0 43,2,2024-09-07 09:15:21:752,479646,479646,0,0,22334309,0,3812 43,3,2024-09-07 09:15:21:751,1,382,0,0,467,4557,382,0 44,0,2024-09-07 09:15:20:862,97679,0.4,98026,0.6,196047,0.4,260652,2.00 44,1,2024-09-07 09:15:20:571,672458,672458,0,0,315558156003,3264385089,666515,4724,1219,356,391809,0 44,2,2024-09-07 09:15:21:302,481546,481546,0,0,18999816,0,4344 44,3,2024-09-07 09:15:21:093,1,382,19,0,817,4775,382,0 45,0,2024-09-07 09:15:21:768,96045,0.5,93810,0.8,196592,0.5,258767,2.00 45,1,2024-09-07 09:15:21:057,671896,671896,0,0,315729137360,3281118512,666875,4504,517,382,391917,0 45,2,2024-09-07 09:15:21:268,486761,486761,0,0,19441068,0,3596 45,3,2024-09-07 09:15:20:934,1,382,19,0,271,3568,382,0 46,0,2024-09-07 09:15:20:959,95011,0.5,95062,0.7,190281,0.5,252549,2.00 46,1,2024-09-07 09:15:20:576,673188,673188,0,0,316488776512,3270927462,668689,3910,589,366,391709,0 46,2,2024-09-07 09:15:20:596,484739,484739,0,0,18492262,0,2920 46,3,2024-09-07 09:15:21:138,1,382,2,0,908,5171,382,0 47,0,2024-09-07 09:15:21:106,94273,0.5,94568,0.6,189500,0.4,250633,2.00 47,1,2024-09-07 09:15:20:575,674178,674178,0,0,314704009574,3253975180,669638,3725,815,366,391641,0 47,2,2024-09-07 09:15:20:913,482446,482446,0,0,18696288,0,4477 47,3,2024-09-07 09:15:21:122,1,382,9,0,600,4777,382,0 48,0,2024-09-07 09:15:21:497,95951,0.3,95702,0.4,190851,0.2,254923,1.50 48,1,2024-09-07 09:15:21:034,672520,672520,0,0,315907435257,3280737873,668409,3657,454,384,391710,0 48,2,2024-09-07 09:15:20:708,480650,480650,0,0,17260114,0,3031 48,3,2024-09-07 09:15:20:752,1,382,12,0,339,3199,382,0 49,0,2024-09-07 09:15:21:732,101606,0.3,99822,0.5,193255,0.3,265286,1.75 49,1,2024-09-07 09:15:21:034,671998,671998,0,0,315201503174,3280822039,667168,3625,1205,382,391809,0 49,2,2024-09-07 09:15:21:803,486720,486720,0,0,19438130,0,4426 49,3,2024-09-07 09:15:21:419,1,382,6,0,992,4691,382,0 50,0,2024-09-07 09:15:21:512,94664,0.3,93121,0.4,187940,0.2,250809,1.75 50,1,2024-09-07 09:15:21:039,673726,673726,0,0,316087938750,3267530656,669342,3958,426,368,391565,0 50,2,2024-09-07 09:15:21:076,484309,484309,0,0,17315344,0,2263 50,3,2024-09-07 09:15:21:293,1,382,1,0,567,4087,382,0 51,0,2024-09-07 09:15:21:699,96110,0.3,93908,0.5,183223,0.2,249437,1.75 51,1,2024-09-07 09:15:21:682,673983,673983,0,0,317375472027,3272507406,670582,2424,977,365,391706,0 51,2,2024-09-07 09:15:21:318,483152,483152,0,0,16999245,0,3337 51,3,2024-09-07 09:15:21:035,1,382,58,0,678,3049,382,0 52,0,2024-09-07 09:15:21:415,94781,0.5,94696,0.7,189472,0.4,251933,2.00 52,1,2024-09-07 09:15:20:585,671303,671303,0,0,314460865988,3290194060,662067,7922,1314,368,391722,0 52,2,2024-09-07 09:15:21:761,476986,476948,38,0,21979409,0,6742 52,3,2024-09-07 09:15:20:675,1,382,19,0,1782,5593,382,0 53,0,2024-09-07 09:15:21:767,98147,0.6,95470,0.7,199922,0.6,262557,2.25 53,1,2024-09-07 09:15:20:781,669829,669829,0,0,315074790497,3304426241,659076,7960,2793,367,391702,0 53,2,2024-09-07 09:15:21:311,484993,484992,1,0,19949280,0,5455 53,3,2024-09-07 09:15:20:709,1,382,9,0,308,3340,382,0 54,0,2024-09-07 09:15:21:621,92808,0.6,93111,0.8,185411,0.4,247757,2.25 54,1,2024-09-07 09:15:20:581,671684,671684,0,0,315399241727,3274358776,665747,5318,619,366,391659,0 54,2,2024-09-07 09:15:20:865,485415,485383,32,0,22531234,0,6397 54,3,2024-09-07 09:15:20:767,1,382,94,0,676,5613,382,0 55,0,2024-09-07 09:15:21:768,91612,0.6,94767,0.7,191353,0.5,249170,2.50 55,1,2024-09-07 09:15:20:852,672100,672100,0,0,315178634076,3274593419,665362,5829,909,365,391731,0 55,2,2024-09-07 09:15:20:742,482616,482560,56,0,21853045,0,7239 55,3,2024-09-07 09:15:20:681,1,382,1,0,304,4100,382,0 56,0,2024-09-07 09:15:21:595,96030,1.1,90587,1.1,186620,1.4,249762,2.50 56,1,2024-09-07 09:15:20:575,668000,668000,0,0,313568330902,3311827281,657659,8148,2193,381,391867,0 56,2,2024-09-07 09:15:21:318,479502,479502,0,0,21570093,0,3567 56,3,2024-09-07 09:15:21:069,1,382,13,0,705,4789,382,0 57,0,2024-09-07 09:15:20:941,96865,1.5,96747,1.2,193860,2.2,259681,3.00 57,1,2024-09-07 09:15:20:989,670154,670154,0,0,313980463359,3291854914,662148,6992,1014,366,392032,0 57,2,2024-09-07 09:15:21:318,483673,483673,0,0,23926000,0,3317 57,3,2024-09-07 09:15:21:760,1,382,1,0,359,4212,382,0 58,0,2024-09-07 09:15:20:583,94700,0.9,92001,1.0,192499,1.1,252105,2.50 58,1,2024-09-07 09:15:20:579,670913,670910,0,3,314996201840,3294545907,661623,8030,1257,367,391603,3 58,2,2024-09-07 09:15:21:076,485302,485302,0,0,22478244,0,2902 58,3,2024-09-07 09:15:21:074,1,382,33,0,1043,4228,382,0 59,0,2024-09-07 09:15:21:768,95654,0.8,95339,0.9,190269,0.8,252856,2.75 59,1,2024-09-07 09:15:20:808,670172,670172,0,0,314925754216,3297818852,661142,7440,1590,369,391586,0 59,2,2024-09-07 09:15:20:592,484167,484167,0,0,21827664,0,2867 59,3,2024-09-07 09:15:21:754,1,382,1,0,1015,4854,382,0 60,0,2024-09-07 09:15:21:765,94334,0.5,94276,0.7,189345,0.5,251386,1.75 60,1,2024-09-07 09:15:20:792,672432,672432,0,0,314858376505,3269162461,667969,3814,649,370,392031,0 60,2,2024-09-07 09:15:21:376,480526,480526,0,0,20693887,0,3811 60,3,2024-09-07 09:15:21:270,1,382,12,0,409,4462,382,0 61,0,2024-09-07 09:15:21:502,96080,0.7,96852,0.8,192746,0.7,257366,2.00 61,1,2024-09-07 09:15:20:775,670801,670801,0,0,314353080173,3295694080,662980,6425,1396,382,392127,0 61,2,2024-09-07 09:15:21:164,481394,481327,67,0,21296635,0,6411 61,3,2024-09-07 09:15:21:694,1,382,4,0,479,5415,382,0 62,0,2024-09-07 09:15:21:725,99628,0.6,101953,0.7,194565,0.6,264223,2.00 62,1,2024-09-07 09:15:21:120,675371,675365,0,6,316682318752,3267787412,671563,3542,260,365,391715,6 62,2,2024-09-07 09:15:21:655,484744,484743,1,0,21489214,0,5555 62,3,2024-09-07 09:15:21:375,1,382,1,0,482,3280,382,0 63,0,2024-09-07 09:15:21:507,95406,0.4,95188,0.6,190838,0.3,253667,1.75 63,1,2024-09-07 09:15:20:804,672743,672737,0,6,314944754659,3269882248,668462,3863,412,381,391800,6 63,2,2024-09-07 09:15:20:768,484260,484260,0,0,19158356,0,4369 63,3,2024-09-07 09:15:21:739,1,382,1,0,667,4108,382,0 64,0,2024-09-07 09:15:21:511,92925,0.5,92968,0.7,185946,0.5,246936,2.00 64,1,2024-09-07 09:15:20:756,671971,671971,0,0,315090002792,3283715512,665746,4543,1682,370,391783,0 64,2,2024-09-07 09:15:21:148,485093,485074,19,0,18710966,0,6121 64,3,2024-09-07 09:15:21:148,1,382,8,0,265,3451,382,0 65,0,2024-09-07 09:15:21:697,93675,0.6,94017,0.7,187715,0.6,250521,2.25 65,1,2024-09-07 09:15:20:881,670477,670477,0,0,315117559142,3293583081,665589,4348,540,382,391901,0 65,2,2024-09-07 09:15:21:741,481129,481129,0,0,21328277,0,3367 65,3,2024-09-07 09:15:21:689,1,382,5,0,347,3932,382,0 66,0,2024-09-07 09:15:21:784,99053,0.5,99012,0.7,197792,0.4,263273,2.00 66,1,2024-09-07 09:15:21:301,672428,672428,0,0,314772856644,3275806653,668016,4038,374,380,391653,0 66,2,2024-09-07 09:15:21:135,487472,487472,0,0,18948462,0,4956 66,3,2024-09-07 09:15:21:087,1,382,0,0,291,3524,382,0 67,0,2024-09-07 09:15:21:427,93686,0.5,93377,0.6,187251,0.4,249251,2.00 67,1,2024-09-07 09:15:20:769,672677,672676,0,1,314889327944,3277393547,668198,3693,785,380,391787,1 67,2,2024-09-07 09:15:20:592,486076,486076,0,0,18415152,0,3622 67,3,2024-09-07 09:15:21:751,1,382,392,0,392,3717,382,0 68,0,2024-09-07 09:15:20:593,94391,0.6,94010,0.7,187533,0.5,250452,2.00 68,1,2024-09-07 09:15:20:584,670068,670068,0,0,314509062882,3298220304,663184,4811,2073,381,391953,0 68,2,2024-09-07 09:15:21:045,481082,480982,100,0,24464740,0,8578 68,3,2024-09-07 09:15:20:747,1,382,11,0,417,4024,382,0 69,0,2024-09-07 09:15:21:796,93801,0.7,94371,0.8,187870,0.8,249742,2.25 69,1,2024-09-07 09:15:21:016,669065,669065,0,0,314286028089,3313296022,660099,6777,2189,384,391994,0 69,2,2024-09-07 09:15:21:758,479304,479275,29,0,24535961,0,6912 69,3,2024-09-07 09:15:20:768,1,382,4,0,698,5251,382,0 70,0,2024-09-07 09:15:21:546,97903,0.9,97971,1.0,196936,0.7,261431,2.50 70,1,2024-09-07 09:15:20:807,672518,672518,0,0,315750448622,3275296025,667240,4620,658,366,391725,0 70,2,2024-09-07 09:15:21:329,483995,483995,0,0,21163952,0,4044 70,3,2024-09-07 09:15:20:752,1,382,1,0,854,4346,382,0 71,0,2024-09-07 09:15:21:359,93888,0.9,93595,1.0,187889,1.1,251416,2.75 71,1,2024-09-07 09:15:21:604,671683,671683,0,0,315511310070,3290515842,664177,6758,748,368,391738,0 71,2,2024-09-07 09:15:21:076,485319,485319,0,0,21973678,0,4352 71,3,2024-09-07 09:15:21:751,1,382,1,0,644,5096,382,0 72,0,2024-09-07 09:15:21:067,98627,0.6,96441,0.7,187989,0.5,255422,2.00 72,1,2024-09-07 09:15:21:057,670147,670147,0,0,314527387034,3299153177,660151,8031,1965,369,391819,0 72,2,2024-09-07 09:15:21:768,483236,483236,0,0,24126314,0,3983 72,3,2024-09-07 09:15:21:787,1,382,12,0,564,5828,382,0 73,0,2024-09-07 09:15:21:214,92269,0.4,94553,0.6,193472,0.4,251063,2.00 73,1,2024-09-07 09:15:20:776,671717,671717,0,0,314891549231,3271559236,667032,4321,364,367,391858,0 73,2,2024-09-07 09:15:21:751,479388,479388,0,0,23317631,0,3701 73,3,2024-09-07 09:15:20:999,1,382,25,0,486,5411,382,0 74,0,2024-09-07 09:15:21:351,98315,0.5,100612,0.6,191835,0.4,260656,2.00 74,1,2024-09-07 09:15:20:644,671158,671158,0,0,314585013605,3284648925,664175,5614,1369,381,391681,0 74,2,2024-09-07 09:15:21:002,481436,481436,0,0,21820681,0,4253 74,3,2024-09-07 09:15:21:443,1,382,1,0,522,5331,382,0 75,0,2024-09-07 09:15:21:791,97593,0.5,96990,0.8,194869,0.5,259853,2.25 75,1,2024-09-07 09:15:21:599,670918,670918,0,0,314946988310,3287566290,664233,5859,826,380,391739,0 75,2,2024-09-07 09:15:21:370,485392,485392,0,0,23342411,0,4766 75,3,2024-09-07 09:15:21:092,1,382,8,0,702,5137,382,0 76,0,2024-09-07 09:15:20:593,95208,0.6,94635,0.7,189993,0.5,253960,2.25 76,1,2024-09-07 09:15:20:828,671455,671455,0,0,314750977374,3283676759,666766,3993,696,382,391692,0 76,2,2024-09-07 09:15:21:066,486018,486017,1,0,21322265,0,5144 76,3,2024-09-07 09:15:21:148,1,382,8,0,175,3538,382,0 77,0,2024-09-07 09:15:21:721,93954,0.6,94184,0.7,188389,0.6,250431,2.00 77,1,2024-09-07 09:15:20:831,671280,671280,0,0,314841276231,3288391168,665699,5084,497,381,391869,0 77,2,2024-09-07 09:15:21:293,481119,481119,0,0,20693430,0,3890 77,3,2024-09-07 09:15:21:104,1,382,8,0,401,4136,382,0 78,0,2024-09-07 09:15:21:729,95857,0.5,95383,0.7,191652,0.4,254293,2.00 78,1,2024-09-07 09:15:20:624,671786,671786,0,0,314848843524,3281080339,664547,5673,1566,367,391670,0 78,2,2024-09-07 09:15:21:406,481720,481720,0,0,18243259,0,3855 78,3,2024-09-07 09:15:21:142,1,382,0,0,181,3561,382,0 79,0,2024-09-07 09:15:21:360,95877,0.4,98200,0.6,201145,0.4,261828,2.25 79,1,2024-09-07 09:15:20:596,673224,673224,0,0,315333669261,3270208446,667332,4743,1149,368,391682,0 79,2,2024-09-07 09:15:21:075,487225,487225,0,0,19271018,0,4195 79,3,2024-09-07 09:15:20:756,1,382,26,0,418,4797,382,0 80,0,2024-09-07 09:15:21:160,94038,0.5,96851,0.6,185399,0.5,250347,2.00 80,1,2024-09-07 09:15:21:618,671497,671497,0,0,315436376431,3282406857,667078,4120,299,368,391791,0 80,2,2024-09-07 09:15:21:124,486057,486057,0,0,18683092,0,4433 80,3,2024-09-07 09:15:20:583,1,382,8,0,190,4564,382,0 81,0,2024-09-07 09:15:21:551,93693,0.5,95926,0.7,183028,0.5,248264,2.00 81,1,2024-09-07 09:15:21:890,671078,671078,0,0,314010642736,3282449176,665452,5121,505,382,391879,0 81,2,2024-09-07 09:15:21:131,481804,481741,63,0,20636360,0,5932 81,3,2024-09-07 09:15:21:156,1,382,1,0,719,4860,382,0 82,0,2024-09-07 09:15:21:571,94082,0.5,94612,0.7,189484,0.4,251537,2.00 82,1,2024-09-07 09:15:20:592,673052,673048,0,4,315394382000,3280614234,668886,3364,798,381,391768,4 82,2,2024-09-07 09:15:21:725,481555,481555,0,0,17526830,0,3986 82,3,2024-09-07 09:15:21:757,1,382,1,0,363,3732,382,0 83,0,2024-09-07 09:15:21:544,99059,0.5,98852,0.7,197411,0.5,262803,2.00 83,1,2024-09-07 09:15:20:553,670657,670657,0,0,314973974181,3283248561,665876,4399,382,382,391709,0 83,2,2024-09-07 09:15:20:769,485054,485054,0,0,18440761,0,3393 83,3,2024-09-07 09:15:20:756,1,382,3,0,1260,5081,382,0 84,0,2024-09-07 09:15:21:862,93610,0.7,93545,0.8,186739,0.6,250234,2.25 84,1,2024-09-07 09:15:21:055,670368,670368,0,0,314548517615,3286589217,663301,6034,1033,367,391967,0 84,2,2024-09-07 09:15:20:577,484401,484371,30,0,24621154,0,5971 84,3,2024-09-07 09:15:21:378,1,382,17,0,908,5663,382,0 85,0,2024-09-07 09:15:21:055,91347,0.6,91361,0.8,193906,0.6,250404,2.25 85,1,2024-09-07 09:15:20:569,669080,669080,0,0,314773813998,3321506559,659083,8177,1820,381,392006,0 85,2,2024-09-07 09:15:20:878,482913,482913,0,0,22580910,0,3656 85,3,2024-09-07 09:15:20:696,1,382,8,0,789,4988,382,0 86,0,2024-09-07 09:15:20:890,93832,0.6,96565,0.8,184728,0.7,249483,2.25 86,1,2024-09-07 09:15:20:825,670971,670971,0,0,315857494403,3303331139,663654,6247,1070,366,391961,0 86,2,2024-09-07 09:15:20:859,478232,478231,1,0,23595153,0,5004 86,3,2024-09-07 09:15:20:604,1,382,1,0,308,5695,382,0 87,0,2024-09-07 09:15:21:289,97856,0.9,97738,0.9,195864,1.1,262122,2.25 87,1,2024-09-07 09:15:20:567,669925,669925,0,0,314416261827,3289627205,662303,6501,1121,366,392076,0 87,2,2024-09-07 09:15:21:317,481627,481621,6,0,22167025,0,6323 87,3,2024-09-07 09:15:21:814,1,382,20,0,473,5958,382,0 88,0,2024-09-07 09:15:21:441,96090,0.4,96778,0.6,192635,0.4,256263,1.75 88,1,2024-09-07 09:15:20:601,668605,668605,0,0,314283085530,3292613083,659975,6749,1881,365,392084,0 88,2,2024-09-07 09:15:20:689,486439,486439,0,0,24089276,0,3583 88,3,2024-09-07 09:15:21:279,1,382,8,0,435,3994,382,0 89,0,2024-09-07 09:15:21:802,98770,0.4,95678,0.6,189163,0.4,256587,1.75 89,1,2024-09-07 09:15:20:556,669196,669196,0,0,313659042318,3297623807,660964,6872,1360,382,391866,0 89,2,2024-09-07 09:15:21:142,482825,482825,0,0,22988068,0,3173 89,3,2024-09-07 09:15:21:797,1,382,1,0,385,6803,382,0 90,0,2024-09-07 09:15:21:626,91568,0.5,94102,0.6,191886,0.4,250468,2.00 90,1,2024-09-07 09:15:20:601,670643,670643,0,0,314136391553,3290470592,664222,5913,508,380,391825,0 90,2,2024-09-07 09:15:21:421,478482,478482,0,0,24052459,0,3060 90,3,2024-09-07 09:15:20:931,1,382,28,0,322,4922,382,0 91,0,2024-09-07 09:15:20:948,96873,0.5,93860,0.6,196054,0.4,257856,1.75 91,1,2024-09-07 09:15:20:558,669402,669402,0,0,314282848928,3304489677,660961,7253,1188,381,392047,0 91,2,2024-09-07 09:15:21:331,482145,482145,0,0,21477158,0,2896 91,3,2024-09-07 09:15:20:603,1,382,50,0,216,3611,382,0 92,0,2024-09-07 09:15:21:509,99833,0.5,102294,0.6,195509,0.5,264510,1.75 92,1,2024-09-07 09:15:20:585,670631,670631,0,0,315134986874,3292961714,665265,4645,721,382,392136,0 92,2,2024-09-07 09:15:21:355,487258,487258,0,0,19667337,0,3259 92,3,2024-09-07 09:15:21:031,1,382,12,0,167,3449,382,0 93,0,2024-09-07 09:15:20:958,95762,0.4,98080,0.5,187220,0.3,253785,1.75 93,1,2024-09-07 09:15:20:813,670172,670172,0,0,314254786175,3285165255,662440,6387,1345,366,391776,0 93,2,2024-09-07 09:15:20:934,483817,483817,0,0,23274277,0,4845 93,3,2024-09-07 09:15:21:414,1,382,2,0,190,3585,382,0 94,0,2024-09-07 09:15:21:608,93060,0.4,93935,0.5,187639,0.3,248626,1.75 94,1,2024-09-07 09:15:20:565,671186,671186,0,0,314718588049,3283143878,667006,3989,191,381,391850,0 94,2,2024-09-07 09:15:20:761,481565,481565,0,0,19781339,0,2443 94,3,2024-09-07 09:15:21:696,1,382,4,0,264,4653,382,0 95,0,2024-09-07 09:15:21:386,94423,0.4,94435,0.5,189354,0.3,252902,1.75 95,1,2024-09-07 09:15:20:876,672593,672593,0,0,315075671523,3276264075,667146,5037,410,367,391713,0 95,2,2024-09-07 09:15:21:025,480805,480805,0,0,19268949,0,3308 95,3,2024-09-07 09:15:21:720,1,382,7,0,718,5615,382,0 96,0,2024-09-07 09:15:21:059,99462,0.3,99688,0.5,199026,0.3,263973,1.75 96,1,2024-09-07 09:15:21:604,670721,670721,0,0,314506330025,3280888428,665793,4113,815,384,391955,0 96,2,2024-09-07 09:15:21:273,486364,486364,0,0,19593034,0,4042 96,3,2024-09-07 09:15:21:390,1,382,8,0,411,4094,382,0 97,0,2024-09-07 09:15:21:379,93608,0.3,93321,0.5,187343,0.3,249008,1.50 97,1,2024-09-07 09:15:20:776,672093,672093,0,0,315799496286,3274836400,667643,3755,695,367,392140,0 97,2,2024-09-07 09:15:20:614,485592,485592,0,0,19220909,0,3679 97,3,2024-09-07 09:15:20:577,1,382,1,0,214,4294,382,0 98,0,2024-09-07 09:15:21:690,93905,0.3,93908,0.4,188548,0.2,250312,1.50 98,1,2024-09-07 09:15:20:575,671371,671371,0,0,315644115168,3283613452,667919,3116,336,382,391997,0 98,2,2024-09-07 09:15:20:775,483534,483534,0,0,19135320,0,3243 98,3,2024-09-07 09:15:20:699,1,382,8,0,840,5711,382,0 99,0,2024-09-07 09:15:21:450,94297,0.3,94968,0.5,188565,0.3,251896,1.75 99,1,2024-09-07 09:15:21:736,671680,671680,0,0,315130475228,3280113446,667205,3703,772,381,392069,0 99,2,2024-09-07 09:15:21:422,480649,480649,0,0,21901468,0,3424 99,3,2024-09-07 09:15:20:592,1,382,125,0,606,4288,382,0 100,0,2024-09-07 09:15:21:475,98411,0.7,98646,0.9,196636,0.8,263127,2.25 100,1,2024-09-07 09:15:20:549,668736,668736,0,0,313742083211,3312687810,659117,7723,1896,381,391989,0 100,2,2024-09-07 09:15:21:854,482932,482921,11,0,22189267,0,5417 100,3,2024-09-07 09:15:21:740,1,382,15,0,559,6522,382,0 101,0,2024-09-07 09:15:21:713,96773,1.1,94154,1.0,185056,0.9,253232,2.25 101,1,2024-09-07 09:15:20:554,668713,668713,0,0,313934758554,3303348136,658667,7930,2116,368,391847,0 101,2,2024-09-07 09:15:21:762,482561,482561,0,0,26537737,0,4871 101,3,2024-09-07 09:15:20:954,1,382,47,0,579,5077,382,0 102,0,2024-09-07 09:15:20:969,92996,0.6,96037,0.8,194352,0.6,254137,2.00 102,1,2024-09-07 09:15:21:378,669372,669372,0,0,314023655802,3299711146,660357,7405,1610,369,391891,0 102,2,2024-09-07 09:15:21:759,483971,483917,54,0,21531259,0,6768 102,3,2024-09-07 09:15:21:619,1,382,1,0,410,3840,382,0 103,0,2024-09-07 09:15:21:607,97720,0.6,97647,0.7,184111,0.6,252836,2.00 103,1,2024-09-07 09:15:21:634,668345,668345,0,0,314100881488,3312039422,658215,7540,2590,381,391829,0 103,2,2024-09-07 09:15:20:584,478366,478366,0,0,22745445,0,3766 103,3,2024-09-07 09:15:20:765,1,382,1,0,916,4379,382,0 104,0,2024-09-07 09:15:21:023,96839,0.7,97309,0.9,193062,0.7,260045,2.25 104,1,2024-09-07 09:15:21:628,670189,670189,0,0,313463090323,3294417687,660462,7953,1774,365,392168,0 104,2,2024-09-07 09:15:21:673,480852,480852,0,0,23433241,0,3941 104,3,2024-09-07 09:15:21:422,1,382,14,0,1245,7868,382,0 105,0,2024-09-07 09:15:21:045,96114,0.9,93576,1.1,196167,1.1,258071,2.75 105,1,2024-09-07 09:15:20:564,671086,671086,0,0,314826505963,3299797094,662380,7358,1348,366,392009,0 105,2,2024-09-07 09:15:21:329,485456,485456,0,0,22757088,0,3509 105,3,2024-09-07 09:15:21:320,1,382,3,0,399,5812,382,0 106,0,2024-09-07 09:15:20:947,92260,0.7,94441,0.9,193623,0.8,253239,2.50 106,1,2024-09-07 09:15:21:765,669312,669312,0,0,314177102357,3302684867,658636,9233,1443,369,391914,0 106,2,2024-09-07 09:15:20:756,483289,483289,0,0,22307194,0,2795 106,3,2024-09-07 09:15:20:682,1,382,1,0,470,4776,382,0 107,0,2024-09-07 09:15:21:115,93778,1.1,93953,0.9,187597,1.4,250453,2.25 107,1,2024-09-07 09:15:20:591,668862,668862,0,0,313598388277,3303167065,660135,7755,972,381,392234,0 107,2,2024-09-07 09:15:21:298,479247,479246,1,0,23033990,0,5024 107,3,2024-09-07 09:15:21:761,1,382,3,0,353,5428,382,0 108,0,2024-09-07 09:15:21:946,95202,0.5,95906,0.6,190585,0.5,254737,1.75 108,1,2024-09-07 09:15:21:308,671098,671098,0,0,315462115529,3290544285,665391,4969,738,368,391857,0 108,2,2024-09-07 09:15:21:762,480003,480003,0,0,21751032,0,4246 108,3,2024-09-07 09:15:21:333,1,382,10,0,749,7435,382,0 109,0,2024-09-07 09:15:21:888,99506,0.4,98664,0.6,197348,0.3,264169,1.75 109,1,2024-09-07 09:15:20:587,668491,668491,0,0,314587938961,3299444328,662555,5108,828,383,392132,0 109,2,2024-09-07 09:15:20:938,484262,484262,0,0,21591599,0,3617 109,3,2024-09-07 09:15:21:156,1,382,77,0,379,4520,382,0 110,0,2024-09-07 09:15:21:807,94036,0.4,91585,0.6,191727,0.3,251143,1.75 110,1,2024-09-07 09:15:21:662,672397,672397,0,0,315228255858,3273174213,667409,3813,1175,369,392045,0 110,2,2024-09-07 09:15:21:311,484458,484458,0,0,20870249,0,4067 110,3,2024-09-07 09:15:20:696,1,382,0,0,722,5640,382,0 111,0,2024-09-07 09:15:21:422,94071,0.4,93262,0.6,187215,0.3,249596,1.75 111,1,2024-09-07 09:15:21:000,672772,672772,0,0,315519880992,3273395695,669178,3241,353,380,391690,0 111,2,2024-09-07 09:15:21:119,481870,481870,0,0,20241578,0,4823 111,3,2024-09-07 09:15:20:912,1,382,9,0,379,4379,382,0 112,0,2024-09-07 09:15:20:925,95279,0.3,94670,0.4,189816,0.2,252159,1.50 112,1,2024-09-07 09:15:20:831,672481,672481,0,0,315753026820,3276408254,668274,3596,611,380,391624,0 112,2,2024-09-07 09:15:21:139,480532,480531,1,0,18567673,0,5036 112,3,2024-09-07 09:15:20:603,1,382,9,0,282,3871,382,0 113,0,2024-09-07 09:15:20:876,98797,0.3,98980,0.4,198104,0.2,264156,1.50 113,1,2024-09-07 09:15:21:684,674772,674772,0,0,317530997619,3275939320,671059,3129,584,366,391661,0 113,2,2024-09-07 09:15:21:309,486407,486407,0,0,17600828,0,3813 113,3,2024-09-07 09:15:20:695,1,382,30,0,340,4482,382,0 114,0,2024-09-07 09:15:20:882,94534,0.3,95259,0.5,189206,0.2,252719,1.75 114,1,2024-09-07 09:15:20:718,672201,672201,0,0,315074431170,3274255742,666339,4249,1613,381,391565,0 114,2,2024-09-07 09:15:20:873,485761,485760,1,0,19149986,0,5069 114,3,2024-09-07 09:15:21:280,1,382,1,0,395,3094,382,0 115,0,2024-09-07 09:15:20:570,94842,0.3,95423,0.4,190389,0.2,252882,1.50 115,1,2024-09-07 09:15:20:575,672069,672069,0,0,316582457055,3288886990,666476,4712,881,382,391757,0 115,2,2024-09-07 09:15:21:131,485386,485386,0,0,18065330,0,3848 115,3,2024-09-07 09:15:21:001,1,382,10,0,159,2276,382,0 116,0,2024-09-07 09:15:21:901,93667,0.7,93569,0.9,187642,0.7,250736,2.00 116,1,2024-09-07 09:15:20:815,669121,669121,0,0,314198183183,3310122554,660979,5824,2318,380,392089,0 116,2,2024-09-07 09:15:21:766,479072,479072,0,0,22741901,0,3529 116,3,2024-09-07 09:15:20:921,1,382,28,0,415,4578,382,0 117,0,2024-09-07 09:15:20:971,98245,0.8,97757,0.8,195773,0.8,262385,2.00 117,1,2024-09-07 09:15:21:591,669756,669756,0,0,313969570095,3285092813,662713,6198,845,369,392033,0 117,2,2024-09-07 09:15:21:123,485647,485647,0,0,19284186,0,3700 117,3,2024-09-07 09:15:21:065,1,382,7,0,490,5257,382,0 118,0,2024-09-07 09:15:21:775,93404,0.5,95780,0.7,195684,0.5,255324,2.00 118,1,2024-09-07 09:15:20:593,669679,669679,0,0,314170836407,3302114042,659611,7541,2527,366,391907,0 118,2,2024-09-07 09:15:21:593,485145,485145,0,0,22289383,0,2842 118,3,2024-09-07 09:15:21:769,1,382,90,0,248,4499,382,0 119,0,2024-09-07 09:15:21:337,95635,0.6,96081,0.7,192003,0.5,254996,2.00 119,1,2024-09-07 09:15:20:552,670281,670281,0,0,314495712802,3288758079,663104,6334,843,367,391780,0 119,2,2024-09-07 09:15:21:264,484317,484317,0,0,20426424,0,4174 119,3,2024-09-07 09:15:21:332,1,382,58,0,1058,6821,382,0 120,0,2024-09-07 09:15:21:543,93832,0.6,93672,0.8,187663,0.6,250983,2.25 120,1,2024-09-07 09:15:20:873,670174,670174,0,0,314479385056,3300346320,662957,6571,646,368,391961,0 120,2,2024-09-07 09:15:20:775,479288,479287,1,0,23809998,0,5281 120,3,2024-09-07 09:15:21:297,1,382,11,0,241,4588,382,0 121,0,2024-09-07 09:15:21:710,96272,1.2,96266,1.0,193046,1.5,257239,2.25 121,1,2024-09-07 09:15:21:669,670785,670785,0,0,315339078252,3297460852,664526,5813,446,367,391840,0 121,2,2024-09-07 09:15:21:267,480503,480503,0,0,22843296,0,4127 121,3,2024-09-07 09:15:20:755,1,382,1,0,269,4382,382,0 122,0,2024-09-07 09:15:21:808,98482,0.8,96178,0.9,201883,0.9,264327,2.00 122,1,2024-09-07 09:15:20:928,669222,669222,0,0,313825082613,3292131409,660148,7789,1285,366,392130,0 122,2,2024-09-07 09:15:21:336,485842,485769,73,0,25806526,0,5989 122,3,2024-09-07 09:15:20:603,1,382,1,0,512,7227,382,0 123,0,2024-09-07 09:15:20:955,94554,0.6,92546,0.7,193208,0.6,253276,2.00 123,1,2024-09-07 09:15:20:567,669518,669518,0,0,313749592672,3305599139,657391,9924,2203,369,392039,0 123,2,2024-09-07 09:15:21:049,482339,482338,1,0,22102497,0,5215 123,3,2024-09-07 09:15:21:142,1,382,0,0,168,4098,382,0 124,0,2024-09-07 09:15:20:930,96317,0.3,96296,0.5,181570,0.3,249372,1.75 124,1,2024-09-07 09:15:21:045,671888,671888,0,0,315151458755,3278464450,666766,4075,1047,367,392178,0 124,2,2024-09-07 09:15:21:029,482834,482781,53,0,19852645,0,6487 124,3,2024-09-07 09:15:20:765,1,382,14,0,490,3800,382,0 125,0,2024-09-07 09:15:21:421,94759,0.4,94412,0.6,189759,0.3,252941,1.75 125,1,2024-09-07 09:15:20:872,670758,670758,0,0,314676994921,3286979925,665245,4848,665,382,391702,0 125,2,2024-09-07 09:15:21:356,481420,481420,0,0,20600935,0,4534 125,3,2024-09-07 09:15:21:139,1,382,0,0,709,4953,382,0 126,0,2024-09-07 09:15:21:422,99129,0.4,102109,0.6,195558,0.4,264674,1.75 126,1,2024-09-07 09:15:20:556,672533,672533,0,0,315736415922,3271930640,668616,3629,288,365,391987,0 126,2,2024-09-07 09:15:20:618,486357,486357,0,0,21102933,0,4539 126,3,2024-09-07 09:15:20:909,1,382,1,0,207,4856,382,0 127,0,2024-09-07 09:15:21:621,93490,0.3,93930,0.5,187419,0.3,249158,1.50 127,1,2024-09-07 09:15:20:569,671678,671678,0,0,315556524788,3283345063,664899,5673,1106,364,392187,0 127,2,2024-09-07 09:15:20:650,484092,484092,0,0,19336847,0,3897 127,3,2024-09-07 09:15:21:274,1,382,19,0,968,4414,382,0 128,0,2024-09-07 09:15:21:530,94336,0.3,94684,0.4,188993,0.2,250613,1.50 128,1,2024-09-07 09:15:21:614,671741,671741,0,0,315156725602,3272428150,667116,4195,430,367,391838,0 128,2,2024-09-07 09:15:21:386,484138,484138,0,0,18323709,0,2915 128,3,2024-09-07 09:15:20:771,1,382,9,0,1082,6260,382,0 129,0,2024-09-07 09:15:20:993,94984,0.3,94425,0.5,189348,0.3,252031,1.50 129,1,2024-09-07 09:15:20:570,668709,668709,0,0,314209541687,3291255326,662226,4983,1500,379,391835,0 129,2,2024-09-07 09:15:20:696,480335,480335,0,0,19273619,0,4031 129,3,2024-09-07 09:15:20:700,1,382,1,0,506,5212,382,0 130,0,2024-09-07 09:15:21:771,99266,0.4,98875,0.6,199100,0.4,264478,1.75 130,1,2024-09-07 09:15:20:587,672337,672337,0,0,315546908793,3280155387,668548,3452,337,381,391825,0 130,2,2024-09-07 09:15:21:166,485331,485331,0,0,19790609,0,4067 130,3,2024-09-07 09:15:21:304,1,382,23,0,535,5089,382,0 131,0,2024-09-07 09:15:21:978,94682,0.4,95220,0.5,191464,0.3,253325,1.75 131,1,2024-09-07 09:15:21:827,671199,671199,0,0,315265249496,3291853939,665885,4453,861,381,391865,0 131,2,2024-09-07 09:15:20:566,487749,487749,0,0,17899842,0,2509 131,3,2024-09-07 09:15:21:691,1,382,52,0,392,3933,382,0 132,0,2024-09-07 09:15:21:414,95526,0.4,96469,0.6,191849,0.4,254903,1.75 132,1,2024-09-07 09:15:20:589,669098,669098,0,0,313688148191,3299477554,659954,7613,1531,381,392097,0 132,2,2024-09-07 09:15:20:710,483542,483525,17,0,24053951,0,6451 132,3,2024-09-07 09:15:21:696,1,382,8,0,804,6849,382,0 133,0,2024-09-07 09:15:21:521,92253,0.4,94403,0.6,193743,0.4,251538,1.75 133,1,2024-09-07 09:15:20:587,668737,668737,0,0,313923689560,3306225021,659592,7988,1157,383,391914,0 133,2,2024-09-07 09:15:21:093,479052,479002,50,0,24767427,0,6861 133,3,2024-09-07 09:15:21:302,1,382,2,0,479,3962,382,0 134,0,2024-09-07 09:15:20:970,98038,0.5,97655,0.7,195370,0.4,261225,2.00 134,1,2024-09-07 09:15:20:593,670113,670113,0,0,313461369005,3286987693,661520,6709,1884,366,391718,0 134,2,2024-09-07 09:15:21:767,481225,481201,24,0,22117669,0,6207 134,3,2024-09-07 09:15:20:760,1,382,14,0,739,4785,382,0 135,0,2024-09-07 09:15:21:144,94352,0.9,94354,0.9,200019,1.0,257600,2.25 135,1,2024-09-07 09:15:21:594,670010,670010,0,0,314184883228,3304974471,660991,7741,1278,380,391805,0 135,2,2024-09-07 09:15:20:689,486942,486942,0,0,22233730,0,3981 135,3,2024-09-07 09:15:21:007,1,382,6,0,299,3273,382,0 136,0,2024-09-07 09:15:21:635,95961,0.5,96108,0.7,191258,0.5,255262,2.00 136,1,2024-09-07 09:15:21:458,669815,669815,0,0,314343477111,3297844310,662132,6843,840,382,391685,0 136,2,2024-09-07 09:15:21:140,485340,485340,0,0,21847759,0,3506 136,3,2024-09-07 09:15:21:123,1,382,8,0,637,4190,382,0 137,0,2024-09-07 09:15:20:931,96851,0.6,94176,0.7,185133,0.6,251676,2.00 137,1,2024-09-07 09:15:20:575,668956,668956,0,0,313960792105,3298036148,657873,8736,2347,366,391898,0 137,2,2024-09-07 09:15:21:720,479600,479600,0,0,24044444,0,3185 137,3,2024-09-07 09:15:20:774,1,382,12,0,484,4839,382,0 138,0,2024-09-07 09:15:21:894,95027,1.2,95071,1.0,190895,1.6,253629,2.25 138,1,2024-09-07 09:15:21:698,670229,670229,0,0,314307997917,3299617032,660426,8084,1719,368,391954,0 138,2,2024-09-07 09:15:20:593,480680,480680,0,0,21838449,0,4988 138,3,2024-09-07 09:15:20:613,1,382,3,0,1160,5117,382,0 139,0,2024-09-07 09:15:21:433,98038,1.5,98061,1.1,196349,2.2,262677,2.50 139,1,2024-09-07 09:15:20:579,667081,667081,0,0,312512466305,3323986015,653412,10401,3268,381,392058,0 139,2,2024-09-07 09:15:20:710,482690,482690,0,0,24780867,0,3097 139,3,2024-09-07 09:15:21:669,1,382,2,0,432,4652,382,0 140,0,2024-09-07 09:15:21:615,94510,0.3,93996,0.5,188693,0.2,251378,1.75 140,1,2024-09-07 09:15:21:537,673460,673460,0,0,316072251202,3261055590,669933,3087,440,365,391606,0 140,2,2024-09-07 09:15:20:695,484870,484869,1,0,19548175,0,5036 140,3,2024-09-07 09:15:20:767,1,382,9,0,297,3329,382,0 141,0,2024-09-07 09:15:21:720,93893,0.3,96358,0.5,184081,0.3,249503,1.75 141,1,2024-09-07 09:15:20:859,672092,672092,0,0,315745836314,3280543874,666897,4241,954,379,391614,0 141,2,2024-09-07 09:15:21:704,483161,483161,0,0,19213621,0,3360 141,3,2024-09-07 09:15:21:048,1,382,1,0,391,4374,382,0 142,0,2024-09-07 09:15:21:333,95298,0.3,94671,0.5,189280,0.3,252641,1.75 142,1,2024-09-07 09:15:20:638,671175,671175,0,0,314964407011,3282701637,667044,3777,354,382,392102,0 142,2,2024-09-07 09:15:21:305,479822,479790,32,0,20222071,0,6028 142,3,2024-09-07 09:15:21:762,1,382,0,0,484,4442,382,0 143,0,2024-09-07 09:15:21:393,99133,0.4,98984,0.6,198435,0.4,264244,1.75 143,1,2024-09-07 09:15:20:570,672170,672170,0,0,315694920207,3276588214,667881,3998,291,367,391705,0 143,2,2024-09-07 09:15:20:811,485455,485455,0,0,20293963,0,3123 143,3,2024-09-07 09:15:21:154,1,382,29,0,462,5072,382,0 144,0,2024-09-07 09:15:21:519,91316,0.6,93725,0.8,191276,0.5,250604,2.00 144,1,2024-09-07 09:15:20:574,669216,669216,0,0,313970497926,3293164280,662944,4989,1283,381,391649,0 144,2,2024-09-07 09:15:21:761,485996,485996,0,0,18900680,0,3673 144,3,2024-09-07 09:15:21:750,1,382,14,0,249,3851,382,0 145,0,2024-09-07 09:15:21:397,91730,0.5,91730,0.8,194515,0.5,250058,2.25 145,1,2024-09-07 09:15:20:558,669433,669433,0,0,314106850570,3300098509,661583,6707,1143,382,391759,0 145,2,2024-09-07 09:15:21:454,482129,482048,81,0,23094030,0,7814 145,3,2024-09-07 09:15:20:903,1,382,33,0,622,5670,382,0 146,0,2024-09-07 09:15:21:687,94032,0.5,93403,0.7,188288,0.5,249337,2.00 146,1,2024-09-07 09:15:21:588,670401,670401,0,0,314420871352,3304786632,659764,8520,2117,367,391770,0 146,2,2024-09-07 09:15:21:696,478440,478440,0,0,21841686,0,2730 146,3,2024-09-07 09:15:21:285,1,382,1,0,1520,7758,382,0 147,0,2024-09-07 09:15:21:747,98172,0.6,97917,0.7,195172,0.5,261888,2.00 147,1,2024-09-07 09:15:21:378,672185,672185,0,0,316381171439,3292805455,665860,5481,844,368,391791,0 147,2,2024-09-07 09:15:21:017,482996,482996,0,0,19561254,0,2789 147,3,2024-09-07 09:15:20:914,1,382,39,0,730,5394,382,0 0,0,2024-09-07 09:15:31:746,91871,0.6,91923,0.8,195008,0.7,251990,2.00 0,1,2024-09-07 09:15:30:804,672187,672187,0,0,315971976305,3306916114,666973,4828,386,369,391896,0 0,2,2024-09-07 09:15:31:069,482244,482244,0,0,19252679,0,4480 0,3,2024-09-07 09:15:30:974,1,383,12,0,431,5379,383,0 1,0,2024-09-07 09:15:31:824,96893,0.8,96296,0.9,193523,1.0,258429,2.25 1,1,2024-09-07 09:15:30:579,671622,671622,0,0,315345081194,3301053988,665444,4860,1318,370,391857,0 1,2,2024-09-07 09:15:30:663,481983,481983,0,0,19263497,0,3380 1,3,2024-09-07 09:15:31:302,1,383,159,0,262,4576,383,0 2,0,2024-09-07 09:15:31:567,98750,0.7,99092,0.8,197339,0.8,263105,2.00 2,1,2024-09-07 09:15:30:858,673866,673866,0,0,316045085280,3281389955,670696,2877,293,380,391745,0 2,2,2024-09-07 09:15:31:271,487920,487920,0,0,19009621,0,3594 2,3,2024-09-07 09:15:30:703,1,383,47,0,357,3839,383,0 3,0,2024-09-07 09:15:31:742,95406,0.4,95242,0.6,190356,0.4,253762,2.00 3,1,2024-09-07 09:15:31:633,672239,672239,0,0,316171956249,3293802884,666239,5311,689,380,391716,0 3,2,2024-09-07 09:15:31:142,485651,485628,23,0,19679992,0,5851 3,3,2024-09-07 09:15:31:752,1,383,1,0,207,2400,383,0 4,0,2024-09-07 09:15:31:942,90986,0.4,93475,0.5,190430,0.3,249114,1.75 4,1,2024-09-07 09:15:30:617,670443,670443,0,0,314698934344,3323496221,659925,8183,2335,370,391992,0 4,2,2024-09-07 09:15:31:018,481031,481031,0,0,22046579,0,4528 4,3,2024-09-07 09:15:31:034,1,383,12,0,448,5196,383,0 5,0,2024-09-07 09:15:31:384,94955,0.4,95381,0.6,190476,0.4,253672,1.75 5,1,2024-09-07 09:15:30:777,671350,671350,0,0,314617623071,3311626635,662018,7487,1845,367,392005,0 5,2,2024-09-07 09:15:31:833,480520,480520,0,0,21342361,0,3582 5,3,2024-09-07 09:15:31:734,1,383,0,0,457,5301,383,0 6,0,2024-09-07 09:15:30:916,99902,0.4,99412,0.6,198626,0.3,265333,1.75 6,1,2024-09-07 09:15:30:750,671731,671731,0,0,314857429831,3291678275,664009,6335,1387,379,391694,0 6,2,2024-09-07 09:15:31:117,486518,486500,18,0,21915594,0,5535 6,3,2024-09-07 09:15:31:273,1,383,1,0,340,4034,383,0 7,0,2024-09-07 09:15:31:535,93296,0.5,93906,0.6,187049,0.4,249124,2.00 7,1,2024-09-07 09:15:30:858,671121,671121,0,0,315021037658,3307053938,661884,7842,1395,382,391747,0 7,2,2024-09-07 09:15:30:779,486126,486126,0,0,20709948,0,4791 7,3,2024-09-07 09:15:30:855,1,383,1,0,398,4090,383,0 8,0,2024-09-07 09:15:31:328,94384,0.4,94130,0.5,188628,0.3,251179,1.75 8,1,2024-09-07 09:15:31:023,670242,670242,0,0,315035822761,3314446335,659296,8353,2593,366,392144,0 8,2,2024-09-07 09:15:30:793,480276,480276,0,0,25079762,0,3220 8,3,2024-09-07 09:15:30:623,1,383,8,0,538,6421,383,0 9,0,2024-09-07 09:15:31:138,94878,0.4,92056,0.5,192713,0.3,252599,1.75 9,1,2024-09-07 09:15:30:580,670693,670693,0,0,314674354047,3314414256,661058,7498,2137,369,392001,0 9,2,2024-09-07 09:15:31:085,480443,480443,0,0,22132763,0,3360 9,3,2024-09-07 09:15:31:755,1,383,8,0,496,5357,383,0 10,0,2024-09-07 09:15:31:616,99363,0.3,98665,0.5,198298,0.2,264499,1.75 10,1,2024-09-07 09:15:30:589,671181,671181,0,0,315306179341,3302782408,662254,7642,1285,381,391741,0 10,2,2024-09-07 09:15:30:764,485433,485433,0,0,24561023,0,4264 10,3,2024-09-07 09:15:30:879,1,383,0,0,649,4347,383,0 11,0,2024-09-07 09:15:31:010,94689,0.5,91929,0.6,192177,0.4,253525,1.75 11,1,2024-09-07 09:15:30:572,672193,672193,0,0,315352400927,3310024480,661635,8076,2482,383,391756,0 11,2,2024-09-07 09:15:31:133,485867,485867,0,0,22035105,0,4130 11,3,2024-09-07 09:15:31:307,1,383,1,0,843,5673,383,0 12,0,2024-09-07 09:15:31:055,96381,0.4,96446,0.5,192665,0.3,255430,1.75 12,1,2024-09-07 09:15:30:953,672313,672313,0,0,315618999483,3291369494,667014,4804,495,370,391870,0 12,2,2024-09-07 09:15:31:553,485593,485593,0,0,21268025,0,3469 12,3,2024-09-07 09:15:31:066,1,383,20,0,386,6013,383,0 13,0,2024-09-07 09:15:31:344,95284,0.4,95057,0.6,189856,0.4,252349,1.75 13,1,2024-09-07 09:15:31:529,671062,671062,0,0,315295591661,3315554699,664071,5419,1572,382,391740,0 13,2,2024-09-07 09:15:30:601,482284,482284,0,0,19427663,0,3287 13,3,2024-09-07 09:15:31:764,1,383,0,0,522,6006,383,0 14,0,2024-09-07 09:15:30:569,98140,0.4,98911,0.6,196045,0.3,261861,1.75 14,1,2024-09-07 09:15:31:561,675503,675503,0,0,317288632130,3288150474,669865,5110,528,364,391673,0 14,2,2024-09-07 09:15:30:774,483656,483626,30,0,21582198,0,6104 14,3,2024-09-07 09:15:31:116,1,383,1,0,1168,4370,383,0 15,0,2024-09-07 09:15:31:559,97027,0.5,97013,0.7,194462,0.4,258488,2.00 15,1,2024-09-07 09:15:31:616,672514,672514,0,0,315974107034,3290923856,667389,4228,897,381,391619,0 15,2,2024-09-07 09:15:31:002,488718,488718,0,0,18043029,0,3622 15,3,2024-09-07 09:15:31:405,1,383,11,0,1126,6770,383,0 16,0,2024-09-07 09:15:31:033,95801,0.5,96231,0.7,192003,0.5,255544,2.00 16,1,2024-09-07 09:15:30:592,672637,672637,0,0,315017861317,3292894491,666815,4979,843,370,391756,0 16,2,2024-09-07 09:15:31:454,485179,485179,0,0,20617883,0,4719 16,3,2024-09-07 09:15:31:150,1,383,20,0,317,5087,383,0 17,0,2024-09-07 09:15:31:892,97076,0.6,94743,0.8,185448,0.7,252072,2.00 17,1,2024-09-07 09:15:30:583,671399,671399,0,0,314906462510,3303707235,664545,5521,1333,368,392075,0 17,2,2024-09-07 09:15:31:667,484136,484136,0,0,19989216,0,2948 17,3,2024-09-07 09:15:30:600,1,383,3,0,298,5961,383,0 18,0,2024-09-07 09:15:30:953,94801,0.6,95171,0.8,189841,0.6,253405,2.25 18,1,2024-09-07 09:15:31:646,673993,673993,0,0,315760346678,3272621528,670340,3336,317,367,391649,0 18,2,2024-09-07 09:15:31:755,484803,484803,0,0,18282508,0,3541 18,3,2024-09-07 09:15:30:901,1,383,1,0,163,3097,383,0 19,0,2024-09-07 09:15:31:561,98908,0.6,99605,0.8,197350,0.6,262540,2.25 19,1,2024-09-07 09:15:30:589,674087,674087,0,0,316315521670,3282226896,668475,4714,898,367,391777,0 19,2,2024-09-07 09:15:31:752,489046,489046,0,0,17403964,0,3988 19,3,2024-09-07 09:15:31:132,1,383,1,0,524,2787,383,0 20,0,2024-09-07 09:15:31:400,94374,0.5,94368,0.6,188606,0.4,251954,2.00 20,1,2024-09-07 09:15:30:581,672028,672028,0,0,316361336971,3306022618,666426,4842,760,369,391886,0 20,2,2024-09-07 09:15:30:931,485499,485499,0,0,20641034,0,3721 20,3,2024-09-07 09:15:30:604,1,383,22,0,414,5823,383,0 21,0,2024-09-07 09:15:31:134,93851,0.5,93877,0.6,187556,0.5,248809,2.00 21,1,2024-09-07 09:15:31:548,670488,670488,0,0,314594346912,3313226712,661346,7152,1990,368,392016,0 21,2,2024-09-07 09:15:31:067,481099,481079,20,0,24764316,0,5617 21,3,2024-09-07 09:15:31:404,1,383,3,0,713,5036,383,0 22,0,2024-09-07 09:15:31:717,94477,0.5,94834,0.7,189878,0.5,251427,2.00 22,1,2024-09-07 09:15:31:050,671399,671399,0,0,314460647052,3303741011,661521,7827,2051,382,391667,0 22,2,2024-09-07 09:15:30:772,480352,480326,26,0,19883626,0,6328 22,3,2024-09-07 09:15:31:068,1,383,6,0,228,3270,383,0 23,0,2024-09-07 09:15:31:375,99021,0.5,98691,0.7,197688,0.4,263934,2.25 23,1,2024-09-07 09:15:31:003,672864,672864,0,0,315310858677,3310168115,661290,8023,3551,365,391690,0 23,2,2024-09-07 09:15:31:092,487539,487539,0,0,19264575,0,3010 23,3,2024-09-07 09:15:31:754,1,383,0,0,720,5320,383,0 24,0,2024-09-07 09:15:30:856,94989,0.4,94800,0.5,190103,0.4,252304,1.75 24,1,2024-09-07 09:15:30:592,671411,671411,0,0,314462204648,3297685946,663915,5914,1582,367,392269,0 24,2,2024-09-07 09:15:31:081,484978,484978,0,0,24062638,0,3607 24,3,2024-09-07 09:15:31:691,1,383,19,0,468,5377,383,0 25,0,2024-09-07 09:15:31:414,97413,0.4,94945,0.6,186203,0.4,253522,1.75 25,1,2024-09-07 09:15:30:587,671086,671086,0,0,315026099307,3313966103,660848,8333,1905,371,391928,0 25,2,2024-09-07 09:15:31:626,483618,483618,0,0,24044889,0,3978 25,3,2024-09-07 09:15:31:001,1,383,1,0,532,4263,383,0 26,0,2024-09-07 09:15:31:719,93993,0.4,91683,0.6,192382,0.3,250214,1.75 26,1,2024-09-07 09:15:31:542,672653,672653,0,0,314839899156,3297580143,663027,8079,1547,380,391748,0 26,2,2024-09-07 09:15:30:860,481502,481502,0,0,23725594,0,2809 26,3,2024-09-07 09:15:31:712,1,383,0,0,796,4760,383,0 27,0,2024-09-07 09:15:31:727,98895,0.4,98976,0.6,196406,0.4,262923,2.00 27,1,2024-09-07 09:15:31:680,674344,674344,0,0,316039742235,3290453682,668086,5435,823,381,391626,0 27,2,2024-09-07 09:15:30:878,482060,481995,65,0,22861837,0,5699 27,3,2024-09-07 09:15:31:015,1,383,2,0,564,3965,383,0 28,0,2024-09-07 09:15:31:388,96575,0.4,96124,0.6,192438,0.3,256830,2.00 28,1,2024-09-07 09:15:30:803,672818,672818,0,0,316390186126,3305603936,665998,5133,1687,383,391698,0 28,2,2024-09-07 09:15:31:765,487214,487214,0,0,20977575,0,2915 28,3,2024-09-07 09:15:31:776,1,383,8,0,502,4350,383,0 29,0,2024-09-07 09:15:31:362,98663,0.3,96134,0.6,188836,0.3,256665,1.75 29,1,2024-09-07 09:15:31:563,674843,674843,0,0,317305900790,3285405031,670078,4032,733,369,391753,0 29,2,2024-09-07 09:15:30:859,484553,484553,0,0,19915681,0,4986 29,3,2024-09-07 09:15:30:970,1,383,39,0,459,4767,383,0 30,0,2024-09-07 09:15:31:468,94234,0.5,91635,0.7,191848,0.4,250875,2.00 30,1,2024-09-07 09:15:30:574,674816,674816,0,0,316725042320,3290745389,669181,4870,765,381,391672,0 30,2,2024-09-07 09:15:31:292,481783,481783,0,0,18442550,0,3161 30,3,2024-09-07 09:15:30:583,1,383,0,0,519,3802,383,0 31,0,2024-09-07 09:15:31:764,96587,0.5,97008,0.6,193739,0.4,258940,2.00 31,1,2024-09-07 09:15:30:571,676919,676919,0,0,318342828672,3264929504,674017,2316,586,356,391712,0 31,2,2024-09-07 09:15:31:292,482718,482718,0,0,20532214,0,3525 31,3,2024-09-07 09:15:31:706,1,383,3,0,220,3350,383,0 32,0,2024-09-07 09:15:31:425,99205,0.3,99782,0.5,198856,0.3,264712,1.75 32,1,2024-09-07 09:15:30:813,673714,673714,0,0,316739043226,3292694845,669325,3835,554,381,391646,0 32,2,2024-09-07 09:15:30:935,488474,488474,0,0,17814013,0,3155 32,3,2024-09-07 09:15:31:021,1,383,7,0,227,2703,383,0 33,0,2024-09-07 09:15:31:532,95476,0.3,95082,0.4,190839,0.2,254070,1.50 33,1,2024-09-07 09:15:30:586,674717,674717,0,0,316941762229,3286588810,668830,4802,1085,369,391730,0 33,2,2024-09-07 09:15:30:797,486498,486463,35,0,20600690,0,7012 33,3,2024-09-07 09:15:30:901,1,383,1,0,329,3794,383,0 34,0,2024-09-07 09:15:30:940,93955,0.3,96525,0.4,184832,0.2,248361,1.75 34,1,2024-09-07 09:15:31:046,676321,676321,0,0,317667467328,3265904839,674776,1536,9,367,391562,0 34,2,2024-09-07 09:15:30:773,483150,483150,0,0,19072835,0,3577 34,3,2024-09-07 09:15:31:713,1,383,1,0,299,2900,383,0 35,0,2024-09-07 09:15:30:869,94403,0.4,94722,0.5,190539,0.3,254372,1.75 35,1,2024-09-07 09:15:31:071,674337,674337,0,0,316215306483,3277154620,670207,3274,856,382,391769,0 35,2,2024-09-07 09:15:31:582,482126,482126,0,0,19533082,0,2653 35,3,2024-09-07 09:15:30:909,1,383,3,0,418,4400,383,0 36,0,2024-09-07 09:15:31:516,99929,0.4,99791,0.6,199573,0.4,265244,2.00 36,1,2024-09-07 09:15:30:589,672976,672976,0,0,315435726976,3292942876,664513,6488,1975,366,391759,0 36,2,2024-09-07 09:15:31:751,487217,487217,0,0,21477515,0,3875 36,3,2024-09-07 09:15:30:865,1,383,7,0,416,5840,383,0 37,0,2024-09-07 09:15:31:374,93432,0.5,93393,0.6,186940,0.4,249439,2.00 37,1,2024-09-07 09:15:30:572,673076,673069,0,7,315550244727,3294413070,665583,5326,2160,365,391570,0 37,2,2024-09-07 09:15:31:142,484212,484197,15,0,21589947,0,5815 37,3,2024-09-07 09:15:31:769,1,383,0,0,888,5840,383,0 38,0,2024-09-07 09:15:31:445,93660,0.5,90986,0.6,190311,0.4,248921,2.00 38,1,2024-09-07 09:15:31:617,674368,674368,0,0,316541868362,3300036164,666187,6896,1285,368,391821,0 38,2,2024-09-07 09:15:30:763,484009,483962,47,0,21111617,0,6710 38,3,2024-09-07 09:15:31:005,1,383,0,0,689,5081,383,0 39,0,2024-09-07 09:15:31:772,96541,0.6,94515,0.7,184101,0.5,251610,2.00 39,1,2024-09-07 09:15:30:724,672828,672828,0,0,315267507016,3300403587,662772,8082,1974,365,391658,0 39,2,2024-09-07 09:15:31:417,481447,481447,0,0,19381719,0,2689 39,3,2024-09-07 09:15:30:713,1,383,2,0,324,4602,383,0 40,0,2024-09-07 09:15:31:497,98305,0.8,99149,0.9,197506,0.8,263961,2.75 40,1,2024-09-07 09:15:30:584,673292,673292,0,0,315026490198,3296698245,664005,7672,1615,368,391668,0 40,2,2024-09-07 09:15:31:312,484751,484750,1,0,23316761,0,5137 40,3,2024-09-07 09:15:31:148,1,383,11,0,1028,5297,383,0 41,0,2024-09-07 09:15:31:024,94241,1.6,96443,1.3,184189,2.6,250213,3.00 41,1,2024-09-07 09:15:30:785,671904,671904,0,0,315253169945,3296611220,663859,7277,768,369,391742,0 41,2,2024-09-07 09:15:30:769,485005,485005,0,0,22424136,0,4277 41,3,2024-09-07 09:15:31:683,1,383,0,0,366,4021,383,0 42,0,2024-09-07 09:15:31:479,94944,0.9,94927,1.0,189996,1.0,251296,2.75 42,1,2024-09-07 09:15:31:456,670972,670972,0,0,314775633345,3309546989,660032,9022,1918,380,391675,0 42,2,2024-09-07 09:15:31:135,484019,484019,0,0,22894499,0,3790 42,3,2024-09-07 09:15:31:010,1,383,0,0,446,3193,383,0 43,0,2024-09-07 09:15:30:949,93493,0.8,90994,1.0,190781,0.9,249747,2.50 43,1,2024-09-07 09:15:30:590,672718,672718,0,0,316603654075,3309197004,663118,7870,1730,366,391696,0 43,2,2024-09-07 09:15:31:738,480911,480911,0,0,22375844,0,3812 43,3,2024-09-07 09:15:31:750,1,383,0,0,467,4557,383,0 44,0,2024-09-07 09:15:30:863,98038,0.4,98342,0.6,196763,0.4,261668,2.00 44,1,2024-09-07 09:15:30:574,674141,674141,0,0,316169999464,3272060375,667908,4916,1317,356,391809,0 44,2,2024-09-07 09:15:31:268,482771,482771,0,0,19053922,0,4344 44,3,2024-09-07 09:15:31:093,1,383,7,0,817,4782,383,0 45,0,2024-09-07 09:15:31:756,96131,0.5,93883,0.8,196777,0.5,259023,2.00 45,1,2024-09-07 09:15:31:012,673714,673714,0,0,316488872049,3289168288,668686,4511,517,382,391917,0 45,2,2024-09-07 09:15:31:277,488124,488124,0,0,19589259,0,3596 45,3,2024-09-07 09:15:30:941,1,383,0,0,271,3568,383,0 46,0,2024-09-07 09:15:30:956,95271,0.5,95283,0.7,190710,0.5,253199,2.00 46,1,2024-09-07 09:15:30:585,674988,674988,0,0,317191008362,3278286669,670489,3910,589,366,391709,0 46,2,2024-09-07 09:15:30:596,486063,486063,0,0,18528321,0,2920 46,3,2024-09-07 09:15:31:133,1,383,1,0,908,5172,383,0 47,0,2024-09-07 09:15:31:113,94583,0.5,94894,0.6,190148,0.4,251535,2.00 47,1,2024-09-07 09:15:30:572,675823,675823,0,0,315428997491,3261592293,671283,3725,815,366,391641,0 47,2,2024-09-07 09:15:30:909,483316,483316,0,0,18772655,0,4477 47,3,2024-09-07 09:15:31:124,1,383,7,0,600,4784,383,0 48,0,2024-09-07 09:15:31:488,96229,0.3,95981,0.4,191381,0.2,255648,1.50 48,1,2024-09-07 09:15:31:022,674197,674197,0,0,316611296941,3288388948,670067,3676,454,384,391710,0 48,2,2024-09-07 09:15:30:704,482035,482035,0,0,17395026,0,3031 48,3,2024-09-07 09:15:30:753,1,383,15,0,339,3214,383,0 49,0,2024-09-07 09:15:31:727,102072,0.3,100355,0.5,194123,0.3,266594,1.75 49,1,2024-09-07 09:15:31:022,673730,673730,0,0,316082492126,3289956118,668900,3625,1205,382,391809,0 49,2,2024-09-07 09:15:31:799,488116,488116,0,0,19547794,0,4426 49,3,2024-09-07 09:15:31:416,1,383,115,0,992,4806,383,0 50,0,2024-09-07 09:15:31:517,95004,0.3,93444,0.4,188548,0.2,251687,1.75 50,1,2024-09-07 09:15:31:010,675643,675643,0,0,316887171255,3277044181,671052,4050,541,368,391565,0 50,2,2024-09-07 09:15:31:067,485534,485534,0,0,17450569,0,2263 50,3,2024-09-07 09:15:31:307,1,383,2,0,567,4089,383,0 51,0,2024-09-07 09:15:31:692,96271,0.3,94082,0.5,183542,0.2,249843,1.75 51,1,2024-09-07 09:15:31:706,675744,675744,0,0,318150692362,3281065496,672300,2467,977,365,391706,0 51,2,2024-09-07 09:15:31:325,483949,483949,0,0,17054986,0,3337 51,3,2024-09-07 09:15:31:034,1,383,1,0,678,3050,383,0 52,0,2024-09-07 09:15:31:416,95040,0.5,94960,0.7,189979,0.4,252541,2.00 52,1,2024-09-07 09:15:30:576,673038,673038,0,0,315131269576,3297136252,663802,7922,1314,368,391722,0 52,2,2024-09-07 09:15:31:755,478515,478477,38,0,22113062,0,6742 52,3,2024-09-07 09:15:30:684,1,383,12,0,1782,5605,383,0 53,0,2024-09-07 09:15:31:753,98582,0.6,95838,0.7,200727,0.6,263579,2.25 53,1,2024-09-07 09:15:30:813,671582,671582,0,0,315718431242,3311067416,660829,7960,2793,367,391702,0 53,2,2024-09-07 09:15:31:302,486493,486492,1,0,20128278,0,5455 53,3,2024-09-07 09:15:30:699,1,383,9,0,308,3349,383,0 54,0,2024-09-07 09:15:31:621,92983,0.6,93267,0.8,185801,0.4,248214,2.25 54,1,2024-09-07 09:15:30:589,673467,673467,0,0,316334656852,3283857444,667530,5318,619,366,391659,0 54,2,2024-09-07 09:15:30:865,486468,486436,32,0,22855874,0,6397 54,3,2024-09-07 09:15:30:778,1,383,1,0,676,5614,383,0 55,0,2024-09-07 09:15:31:760,91697,0.6,94864,0.7,191517,0.5,249416,2.50 55,1,2024-09-07 09:15:30:779,673902,673902,0,0,316091817964,3284185749,667150,5843,909,365,391731,0 55,2,2024-09-07 09:15:30:733,483739,483683,56,0,21906013,0,7239 55,3,2024-09-07 09:15:30:684,1,383,1,0,304,4101,383,0 56,0,2024-09-07 09:15:31:560,96154,1.1,90679,1.1,186853,1.4,250086,2.50 56,1,2024-09-07 09:15:30:572,669730,669730,0,0,314439079581,3320892455,659387,8150,2193,381,391867,0 56,2,2024-09-07 09:15:31:308,480842,480842,0,0,21628398,0,3567 56,3,2024-09-07 09:15:31:067,1,383,12,0,705,4801,383,0 57,0,2024-09-07 09:15:30:956,97373,1.5,97236,1.2,194809,2.1,261048,3.00 57,1,2024-09-07 09:15:30:985,672007,672007,0,0,314830175186,3300551911,664001,6992,1014,366,392032,0 57,2,2024-09-07 09:15:31:330,484958,484958,0,0,23983528,0,3317 57,3,2024-09-07 09:15:31:738,1,383,4,0,359,4216,383,0 58,0,2024-09-07 09:15:30:578,94801,0.9,92106,1.0,192715,1.1,252401,2.50 58,1,2024-09-07 09:15:30:586,672673,672670,0,3,315661761599,3301364362,663383,8030,1257,367,391603,3 58,2,2024-09-07 09:15:31:071,486443,486443,0,0,22520857,0,2902 58,3,2024-09-07 09:15:31:070,1,383,13,0,1043,4241,383,0 59,0,2024-09-07 09:15:31:743,95773,0.8,95445,0.9,190495,0.8,253097,2.50 59,1,2024-09-07 09:15:30:810,672036,672036,0,0,315909932032,3308059713,663006,7440,1590,369,391586,0 59,2,2024-09-07 09:15:30:604,485474,485474,0,0,21873484,0,2867 59,3,2024-09-07 09:15:31:740,1,383,18,0,1015,4872,383,0 60,0,2024-09-07 09:15:31:751,94539,0.5,94505,0.7,189710,0.5,251919,1.75 60,1,2024-09-07 09:15:30:787,674176,674176,0,0,315531292066,3276527274,669661,3866,649,370,392031,0 60,2,2024-09-07 09:15:31:152,481631,481631,0,0,20772588,0,3811 60,3,2024-09-07 09:15:31:258,1,383,9,0,409,4471,383,0 61,0,2024-09-07 09:15:31:553,96528,0.7,97268,0.8,193600,0.7,258536,2.00 61,1,2024-09-07 09:15:30:784,672576,672576,0,0,315198311418,3304797669,664738,6442,1396,382,392127,0 61,2,2024-09-07 09:15:31:117,482581,482514,67,0,21367007,0,6411 61,3,2024-09-07 09:15:31:718,1,383,0,0,479,5415,383,0 62,0,2024-09-07 09:15:31:711,99773,0.6,102085,0.7,194839,0.6,264519,2.00 62,1,2024-09-07 09:15:31:112,677047,677041,0,6,317614863700,3277609866,673238,3543,260,365,391715,6 62,2,2024-09-07 09:15:31:644,486149,486148,1,0,21638267,0,5555 62,3,2024-09-07 09:15:31:151,1,383,11,0,482,3291,383,0 63,0,2024-09-07 09:15:31:467,95710,0.4,95486,0.6,191458,0.3,254476,1.75 63,1,2024-09-07 09:15:30:811,674560,674554,0,6,315911729560,3280013323,670279,3863,412,381,391800,6 63,2,2024-09-07 09:15:30:794,485768,485768,0,0,19265848,0,4369 63,3,2024-09-07 09:15:31:733,1,383,2,0,667,4110,383,0 64,0,2024-09-07 09:15:31:537,93140,0.5,93177,0.7,186346,0.5,247551,2.00 64,1,2024-09-07 09:15:30:753,673622,673622,0,0,315762313056,3291123579,667387,4552,1683,370,391783,0 64,2,2024-09-07 09:15:31:154,485710,485691,19,0,18762029,0,6121 64,3,2024-09-07 09:15:31:150,1,383,21,0,265,3472,383,0 65,0,2024-09-07 09:15:31:693,94086,0.7,94406,0.8,188548,0.7,251591,2.25 65,1,2024-09-07 09:15:30:871,672199,672199,0,0,315923977831,3302681357,667231,4428,540,382,391901,0 65,2,2024-09-07 09:15:31:697,482591,482591,0,0,21497566,0,3367 65,3,2024-09-07 09:15:31:692,1,383,0,0,347,3932,383,0 66,0,2024-09-07 09:15:31:766,99327,0.5,99303,0.7,198312,0.4,264006,2.00 66,1,2024-09-07 09:15:31:295,674237,674237,0,0,315740585499,3286342250,669794,4069,374,380,391653,0 66,2,2024-09-07 09:15:31:137,488983,488983,0,0,19052705,0,4956 66,3,2024-09-07 09:15:31:080,1,383,1,0,291,3525,383,0 67,0,2024-09-07 09:15:31:433,94011,0.5,93698,0.6,187929,0.4,250189,2.00 67,1,2024-09-07 09:15:30:783,674387,674386,0,1,315652576883,3286080515,669829,3772,785,380,391787,1 67,2,2024-09-07 09:15:30:589,487115,487115,0,0,18461123,0,3622 67,3,2024-09-07 09:15:31:750,1,383,1,0,392,3718,383,0 68,0,2024-09-07 09:15:30:582,94559,0.6,94196,0.7,187937,0.5,250964,2.00 68,1,2024-09-07 09:15:30:584,671650,671650,0,0,315247290811,3307129740,664493,5037,2120,381,391953,0 68,2,2024-09-07 09:15:31:059,482216,482116,100,0,24531945,0,8578 68,3,2024-09-07 09:15:30:741,1,383,12,0,417,4036,383,0 69,0,2024-09-07 09:15:31:772,94122,0.7,94694,0.8,188559,0.8,250685,2.25 69,1,2024-09-07 09:15:31:026,670771,670771,0,0,315028014159,3321358136,661771,6811,2189,384,391994,0 69,2,2024-09-07 09:15:31:735,480870,480841,29,0,24694654,0,6912 69,3,2024-09-07 09:15:30:765,1,383,32,0,698,5283,383,0 70,0,2024-09-07 09:15:31:552,98340,0.9,98419,1.0,197836,0.7,262628,2.50 70,1,2024-09-07 09:15:30:801,674222,674222,0,0,316445292239,3282921905,668896,4668,658,366,391725,0 70,2,2024-09-07 09:15:31:332,485303,485303,0,0,21214710,0,4044 70,3,2024-09-07 09:15:30:752,1,383,7,0,854,4353,383,0 71,0,2024-09-07 09:15:31:398,93998,0.9,93719,1.0,188104,1.1,251749,2.75 71,1,2024-09-07 09:15:31:618,673320,673320,0,0,316131228093,3297308684,665784,6788,748,368,391738,0 71,2,2024-09-07 09:15:31:079,486378,486378,0,0,22038865,0,4352 71,3,2024-09-07 09:15:31:751,1,383,1,0,644,5097,383,0 72,0,2024-09-07 09:15:31:035,98730,0.6,96561,0.7,188217,0.5,255729,2.00 72,1,2024-09-07 09:15:31:031,671986,671986,0,0,315261285538,3306967372,661988,8032,1966,369,391819,0 72,2,2024-09-07 09:15:31:755,484511,484511,0,0,24166724,0,3983 72,3,2024-09-07 09:15:31:761,1,383,0,0,564,5828,383,0 73,0,2024-09-07 09:15:31:115,92287,0.4,94566,0.6,193504,0.4,251063,2.00 73,1,2024-09-07 09:15:30:773,673500,673500,0,0,315666260512,3279586720,668814,4322,364,367,391858,0 73,2,2024-09-07 09:15:31:742,480686,480686,0,0,23371460,0,3701 73,3,2024-09-07 09:15:30:975,1,383,2,0,486,5413,383,0 74,0,2024-09-07 09:15:31:339,98697,0.5,100959,0.6,192500,0.4,261596,2.00 74,1,2024-09-07 09:15:30:646,672905,672905,0,0,315516548553,3294215514,665922,5614,1369,381,391681,0 74,2,2024-09-07 09:15:31:007,482605,482605,0,0,21861097,0,4253 74,3,2024-09-07 09:15:31:443,1,383,17,0,522,5348,383,0 75,0,2024-09-07 09:15:31:765,97699,0.5,97090,0.8,195042,0.5,260093,2.25 75,1,2024-09-07 09:15:31:586,672670,672670,0,0,315816587823,3296506310,665985,5859,826,380,391739,0 75,2,2024-09-07 09:15:31:350,486785,486785,0,0,23443820,0,4766 75,3,2024-09-07 09:15:31:069,1,383,0,0,702,5137,383,0 76,0,2024-09-07 09:15:30:593,95415,0.6,94858,0.7,190465,0.5,254230,2.25 76,1,2024-09-07 09:15:30:810,673218,673218,0,0,315329144561,3290464608,668477,4045,696,382,391692,0 76,2,2024-09-07 09:15:31:066,487342,487341,1,0,21407898,0,5144 76,3,2024-09-07 09:15:31:160,1,383,13,0,175,3551,383,0 77,0,2024-09-07 09:15:31:752,94220,0.6,94519,0.7,188998,0.6,250828,2.00 77,1,2024-09-07 09:15:30:825,673063,673063,0,0,315543193827,3295969125,667479,5086,498,381,391869,0 77,2,2024-09-07 09:15:31:292,481995,481995,0,0,20756026,0,3890 77,3,2024-09-07 09:15:31:097,1,383,4,0,401,4140,383,0 78,0,2024-09-07 09:15:31:741,96112,0.5,95639,0.7,192187,0.4,254485,2.00 78,1,2024-09-07 09:15:30:615,673614,673614,0,0,315837087779,3291919081,666272,5776,1566,367,391670,0 78,2,2024-09-07 09:15:31:407,483149,483149,0,0,18326769,0,3855 78,3,2024-09-07 09:15:31:141,1,383,11,0,181,3572,383,0 79,0,2024-09-07 09:15:31:443,96251,0.4,98626,0.6,201821,0.4,262421,2.25 79,1,2024-09-07 09:15:30:578,675104,675104,0,0,316561004100,3282882266,669212,4743,1149,368,391682,0 79,2,2024-09-07 09:15:31:067,488695,488695,0,0,19338883,0,4195 79,3,2024-09-07 09:15:30:753,1,383,1,0,418,4798,383,0 80,0,2024-09-07 09:15:31:078,94341,0.5,97166,0.6,186010,0.5,251171,2.00 80,1,2024-09-07 09:15:31:645,673290,673290,0,0,316271749509,3291488524,668836,4153,301,368,391791,0 80,2,2024-09-07 09:15:31:092,487430,487430,0,0,18758664,0,4433 80,3,2024-09-07 09:15:30:596,1,383,7,0,190,4571,383,0 81,0,2024-09-07 09:15:31:554,93841,0.5,96075,0.7,183323,0.5,248669,2.00 81,1,2024-09-07 09:15:31:658,672705,672705,0,0,314897715346,3292317084,666997,5203,505,382,391879,0 81,2,2024-09-07 09:15:31:130,482572,482509,63,0,20706646,0,5932 81,3,2024-09-07 09:15:31:125,1,383,16,0,719,4876,383,0 82,0,2024-09-07 09:15:31:535,94344,0.5,94847,0.7,189967,0.4,252156,2.00 82,1,2024-09-07 09:15:30:590,674622,674618,0,4,316284218665,3290122195,670441,3379,798,381,391768,4 82,2,2024-09-07 09:15:31:715,483033,483033,0,0,17613750,0,3986 82,3,2024-09-07 09:15:31:754,1,383,2,0,363,3734,383,0 83,0,2024-09-07 09:15:31:535,99456,0.5,99257,0.7,198234,0.5,263799,2.00 83,1,2024-09-07 09:15:30:560,672385,672385,0,0,315909678786,3293115363,667603,4400,382,382,391709,0 83,2,2024-09-07 09:15:30:775,486513,486513,0,0,18532231,0,3393 83,3,2024-09-07 09:15:30:753,1,383,6,0,1260,5087,383,0 84,0,2024-09-07 09:15:31:788,93794,0.7,93755,0.8,187046,0.6,250688,2.25 84,1,2024-09-07 09:15:31:052,672133,672133,0,0,315482713480,3296427640,665066,6034,1033,367,391967,0 84,2,2024-09-07 09:15:30:584,485516,485486,30,0,24708070,0,5971 84,3,2024-09-07 09:15:31:158,1,383,22,0,908,5685,383,0 85,0,2024-09-07 09:15:31:024,91436,0.6,91456,0.8,194118,0.6,250634,2.25 85,1,2024-09-07 09:15:30:595,670863,670863,0,0,315740067362,3331576386,660865,8178,1820,381,392006,0 85,2,2024-09-07 09:15:30:870,484045,484045,0,0,22634772,0,3656 85,3,2024-09-07 09:15:30:696,1,383,2,0,789,4990,383,0 86,0,2024-09-07 09:15:30:892,93932,0.6,96670,0.8,184953,0.7,249817,2.25 86,1,2024-09-07 09:15:30:825,672750,672750,0,0,316775515881,3312812937,665433,6247,1070,366,391961,0 86,2,2024-09-07 09:15:30:854,479433,479432,1,0,24154738,0,5004 86,3,2024-09-07 09:15:30:589,1,383,0,0,308,5695,383,0 87,0,2024-09-07 09:15:31:318,98365,0.9,98250,0.9,196910,1.1,263397,2.25 87,1,2024-09-07 09:15:30:573,671696,671696,0,0,315132294363,3297293871,664072,6503,1121,366,392076,0 87,2,2024-09-07 09:15:31:069,483083,483077,6,0,22293272,0,6323 87,3,2024-09-07 09:15:31:798,1,383,5,0,473,5963,383,0 88,0,2024-09-07 09:15:31:443,96193,0.4,96901,0.6,192858,0.4,256547,1.75 88,1,2024-09-07 09:15:30:587,670419,670419,0,0,315316880750,3303175534,661789,6749,1881,365,392084,0 88,2,2024-09-07 09:15:30:706,487672,487672,0,0,24139059,0,3583 88,3,2024-09-07 09:15:31:269,1,383,20,0,435,4014,383,0 89,0,2024-09-07 09:15:31:809,98860,0.4,95772,0.6,189370,0.4,256819,1.75 89,1,2024-09-07 09:15:30:564,670895,670895,0,0,314324878659,3304534742,662663,6872,1360,382,391866,0 89,2,2024-09-07 09:15:31:133,484075,484075,0,0,23041725,0,3173 89,3,2024-09-07 09:15:31:798,1,383,5,0,385,6808,383,0 90,0,2024-09-07 09:15:31:627,91786,0.5,94306,0.6,192331,0.4,250981,2.00 90,1,2024-09-07 09:15:30:590,672312,672312,0,0,315154408697,3300922921,665888,5915,509,380,391825,0 90,2,2024-09-07 09:15:31:416,479533,479533,0,0,24128881,0,3060 90,3,2024-09-07 09:15:30:943,1,383,13,0,322,4935,383,0 91,0,2024-09-07 09:15:30:998,97281,0.5,94263,0.6,196958,0.4,259033,1.75 91,1,2024-09-07 09:15:30:571,671238,671238,0,0,314969298037,3311684352,662797,7253,1188,381,392047,0 91,2,2024-09-07 09:15:31:348,483412,483412,0,0,21532657,0,2896 91,3,2024-09-07 09:15:30:599,1,383,1,0,216,3612,383,0 92,0,2024-09-07 09:15:31:454,99984,0.5,102435,0.6,195800,0.5,264812,1.75 92,1,2024-09-07 09:15:30:593,672365,672365,0,0,315971452864,3301943983,666998,4646,721,382,392136,0 92,2,2024-09-07 09:15:31:359,488610,488610,0,0,19747256,0,3259 92,3,2024-09-07 09:15:31:010,1,383,10,0,167,3459,383,0 93,0,2024-09-07 09:15:30:977,96027,0.4,98392,0.5,187821,0.3,254552,1.75 93,1,2024-09-07 09:15:30:817,671867,671867,0,0,315109724283,3294077319,664135,6387,1345,366,391776,0 93,2,2024-09-07 09:15:30:935,485208,485208,0,0,23404645,0,4845 93,3,2024-09-07 09:15:31:410,1,383,1,0,190,3586,383,0 94,0,2024-09-07 09:15:31:599,93312,0.4,94166,0.5,188078,0.3,249236,1.75 94,1,2024-09-07 09:15:30:574,672908,672908,0,0,315390297494,3291153507,668522,4192,194,381,391850,0 94,2,2024-09-07 09:15:30:769,482208,482208,0,0,19817302,0,2443 94,3,2024-09-07 09:15:31:713,1,383,5,0,264,4658,383,0 95,0,2024-09-07 09:15:31:356,94891,0.4,94863,0.5,190279,0.3,254158,1.75 95,1,2024-09-07 09:15:30:858,674285,674285,0,0,315769764851,3283816848,668821,5054,410,367,391713,0 95,2,2024-09-07 09:15:31:016,482228,482228,0,0,19378190,0,3308 95,3,2024-09-07 09:15:31:712,1,383,98,0,718,5713,383,0 96,0,2024-09-07 09:15:31:039,99727,0.3,99973,0.5,199541,0.3,264710,1.75 96,1,2024-09-07 09:15:31:583,672435,672435,0,0,315246354124,3288874221,667496,4124,815,384,391955,0 96,2,2024-09-07 09:15:31:272,487896,487896,0,0,19714640,0,4042 96,3,2024-09-07 09:15:31:141,1,383,17,0,411,4111,383,0 97,0,2024-09-07 09:15:31:331,93930,0.3,93657,0.5,187976,0.3,249966,1.50 97,1,2024-09-07 09:15:30:787,673786,673786,0,0,316612705417,3283410063,669332,3757,697,367,392140,0 97,2,2024-09-07 09:15:30:621,486654,486654,0,0,19288691,0,3679 97,3,2024-09-07 09:15:30:571,1,383,2,0,214,4296,383,0 98,0,2024-09-07 09:15:31:723,94066,0.3,94080,0.4,188897,0.2,250803,1.50 98,1,2024-09-07 09:15:30:571,673270,673270,0,0,316517519253,3295131832,669458,3233,579,382,391997,0 98,2,2024-09-07 09:15:30:772,484581,484581,0,0,19234641,0,3243 98,3,2024-09-07 09:15:30:702,1,383,13,0,840,5724,383,0 99,0,2024-09-07 09:15:31:491,94599,0.3,95302,0.5,189249,0.3,252761,1.75 99,1,2024-09-07 09:15:31:734,673380,673380,0,0,315897891567,3289615219,668598,3949,833,381,392069,0 99,2,2024-09-07 09:15:31:424,482104,482104,0,0,22047134,0,3424 99,3,2024-09-07 09:15:30:589,1,383,1,0,606,4289,383,0 100,0,2024-09-07 09:15:31:466,98811,0.7,99085,0.9,197509,0.8,264251,2.25 100,1,2024-09-07 09:15:30:548,670484,670484,0,0,314358124671,3319295535,660865,7723,1896,381,391989,0 100,2,2024-09-07 09:15:31:817,484124,484113,11,0,22288923,0,5417 100,3,2024-09-07 09:15:31:733,1,383,8,0,559,6530,383,0 101,0,2024-09-07 09:15:31:742,96876,1.1,94273,1.0,185287,0.9,253547,2.25 101,1,2024-09-07 09:15:30:556,670502,670502,0,0,314686763303,3311268072,660452,7932,2118,368,391847,0 101,2,2024-09-07 09:15:31:756,483600,483600,0,0,26865997,0,4871 101,3,2024-09-07 09:15:30:950,1,383,1,0,579,5078,383,0 102,0,2024-09-07 09:15:31:015,93134,0.6,96147,0.8,194630,0.6,254482,2.00 102,1,2024-09-07 09:15:31:149,670985,670985,0,0,314612661681,3305796521,661969,7405,1611,369,391891,0 102,2,2024-09-07 09:15:31:759,485214,485160,54,0,21565889,0,6768 102,3,2024-09-07 09:15:31:623,1,383,13,0,410,3853,383,0 103,0,2024-09-07 09:15:31:627,97728,0.6,97660,0.7,184142,0.6,252836,2.00 103,1,2024-09-07 09:15:31:638,670146,670146,0,0,314885934442,3320354711,660015,7541,2590,381,391829,0 103,2,2024-09-07 09:15:30:585,479560,479560,0,0,22966157,0,3766 103,3,2024-09-07 09:15:30:765,1,383,9,0,916,4388,383,0 104,0,2024-09-07 09:15:31:014,97188,0.7,97654,0.9,193777,0.7,260960,2.25 104,1,2024-09-07 09:15:31:614,672010,672010,0,0,314357821815,3303563225,662282,7954,1774,365,392168,0 104,2,2024-09-07 09:15:31:693,481967,481967,0,0,23496184,0,3941 104,3,2024-09-07 09:15:31:421,1,383,8,0,1245,7876,383,0 105,0,2024-09-07 09:15:31:052,96219,0.9,93674,1.1,196361,1.1,258324,2.75 105,1,2024-09-07 09:15:30:574,672850,672850,0,0,315753622659,3309269168,664144,7358,1348,366,392009,0 105,2,2024-09-07 09:15:31:324,486945,486945,0,0,22809681,0,3509 105,3,2024-09-07 09:15:31:320,1,383,2,0,399,5814,383,0 106,0,2024-09-07 09:15:31:019,92481,0.7,94676,0.9,194031,0.8,253842,2.50 106,1,2024-09-07 09:15:31:754,671288,671288,0,0,314981457648,3311467922,660525,9320,1443,369,391914,0 106,2,2024-09-07 09:15:30:768,484622,484622,0,0,22376734,0,2795 106,3,2024-09-07 09:15:30:685,1,383,1,0,470,4777,383,0 107,0,2024-09-07 09:15:31:116,94109,1.0,94251,0.9,188236,1.4,251332,2.25 107,1,2024-09-07 09:15:30:589,670609,670609,0,0,314209709824,3309570570,661882,7755,972,381,392234,0 107,2,2024-09-07 09:15:31:295,480061,480060,1,0,23058008,0,5024 107,3,2024-09-07 09:15:31:765,1,383,13,0,353,5441,383,0 108,0,2024-09-07 09:15:31:813,95468,0.5,96201,0.6,191129,0.5,255436,1.75 108,1,2024-09-07 09:15:31:310,672922,672922,0,0,316195676044,3298350801,667212,4972,738,368,391857,0 108,2,2024-09-07 09:15:31:763,481403,481403,0,0,22035545,0,4246 108,3,2024-09-07 09:15:31:342,1,383,16,0,749,7451,383,0 109,0,2024-09-07 09:15:31:782,99913,0.4,99152,0.6,198004,0.3,265467,1.75 109,1,2024-09-07 09:15:30:599,670571,670571,0,0,315388663934,3310066918,664238,5260,1073,383,392132,0 109,2,2024-09-07 09:15:30:932,485654,485654,0,0,21711368,0,3617 109,3,2024-09-07 09:15:31:158,1,383,5,0,379,4525,383,0 110,0,2024-09-07 09:15:31:763,94318,0.4,91855,0.6,192412,0.3,251999,1.75 110,1,2024-09-07 09:15:31:659,674194,674194,0,0,315929755376,3280949543,669165,3854,1175,369,392045,0 110,2,2024-09-07 09:15:31:307,485839,485839,0,0,20964008,0,4067 110,3,2024-09-07 09:15:30:706,1,383,5,0,722,5645,383,0 111,0,2024-09-07 09:15:31:441,94236,0.4,93415,0.6,187495,0.3,250021,1.75 111,1,2024-09-07 09:15:31:015,674486,674486,0,0,316582503732,3284285796,670892,3241,353,380,391690,0 111,2,2024-09-07 09:15:31:122,482591,482591,0,0,20313835,0,4823 111,3,2024-09-07 09:15:30:912,1,383,11,0,379,4390,383,0 112,0,2024-09-07 09:15:30:935,95502,0.3,94882,0.4,190274,0.2,252779,1.50 112,1,2024-09-07 09:15:30:824,674259,674259,0,0,316544874193,3285198977,669968,3679,612,380,391624,0 112,2,2024-09-07 09:15:31:133,482022,482021,1,0,18656738,0,5036 112,3,2024-09-07 09:15:30:606,1,383,12,0,282,3883,383,0 113,0,2024-09-07 09:15:30:876,99180,0.3,99381,0.5,198873,0.2,265193,1.50 113,1,2024-09-07 09:15:31:714,676647,676647,0,0,318419945000,3285423059,672934,3129,584,366,391661,0 113,2,2024-09-07 09:15:31:306,487823,487823,0,0,17755880,0,3813 113,3,2024-09-07 09:15:30:687,1,383,0,0,340,4482,383,0 114,0,2024-09-07 09:15:30:875,94708,0.3,95459,0.5,189553,0.2,253172,1.75 114,1,2024-09-07 09:15:30:724,673915,673915,0,0,316097899408,3284856463,668053,4249,1613,381,391565,0 114,2,2024-09-07 09:15:30:886,486861,486860,1,0,19231611,0,5069 114,3,2024-09-07 09:15:31:292,1,383,66,0,395,3160,383,0 115,0,2024-09-07 09:15:30:561,94943,0.3,95522,0.4,190587,0.2,253144,1.50 115,1,2024-09-07 09:15:30:588,673844,673844,0,0,317477159426,3298447952,668240,4723,881,382,391757,0 115,2,2024-09-07 09:15:31:132,486501,486501,0,0,18158269,0,3848 115,3,2024-09-07 09:15:31:003,1,383,1,0,159,2277,383,0 116,0,2024-09-07 09:15:31:720,93784,0.7,93674,0.9,187851,0.7,251060,2.00 116,1,2024-09-07 09:15:30:818,670850,670850,0,0,315046749948,3319348214,662646,5886,2318,380,392089,0 116,2,2024-09-07 09:15:31:758,480246,480246,0,0,23340860,0,4128 116,3,2024-09-07 09:15:30:922,1,383,7,0,415,4585,383,0 117,0,2024-09-07 09:15:30:971,98719,0.7,98241,0.8,196737,0.8,263605,2.00 117,1,2024-09-07 09:15:31:579,671458,671458,0,0,314716107910,3292824706,664415,6198,845,369,392033,0 117,2,2024-09-07 09:15:31:125,487092,487092,0,0,19355167,0,3700 117,3,2024-09-07 09:15:31:062,1,383,439,0,490,5696,383,0 118,0,2024-09-07 09:15:31:776,93504,0.5,95891,0.7,195892,0.5,255610,2.00 118,1,2024-09-07 09:15:30:589,671407,671407,0,0,314958451667,3311859350,660970,7873,2564,366,391907,0 118,2,2024-09-07 09:15:31:619,486397,486397,0,0,22323302,0,2842 118,3,2024-09-07 09:15:31:771,1,383,1,0,248,4500,383,0 119,0,2024-09-07 09:15:31:382,95730,0.6,96188,0.7,192228,0.5,255248,2.00 119,1,2024-09-07 09:15:30:575,671962,671962,0,0,315320445087,3297828609,664702,6415,845,367,391780,0 119,2,2024-09-07 09:15:31:261,485581,485581,0,0,20530621,0,4174 119,3,2024-09-07 09:15:31:340,1,383,18,0,1058,6839,383,0 120,0,2024-09-07 09:15:31:567,94037,0.6,93883,0.8,188043,0.6,251485,2.25 120,1,2024-09-07 09:15:30:869,672024,672024,0,0,315495520630,3310872972,664806,6572,646,368,391961,0 120,2,2024-09-07 09:15:30:773,480435,480434,1,0,23849293,0,5281 120,3,2024-09-07 09:15:31:294,1,383,35,0,241,4623,383,0 121,0,2024-09-07 09:15:31:712,96728,1.2,96690,1.0,193872,1.5,258488,2.25 121,1,2024-09-07 09:15:31:662,672605,672605,0,0,316187492013,3306180701,666346,5813,446,367,391840,0 121,2,2024-09-07 09:15:31:153,481780,481780,0,0,22907853,0,4127 121,3,2024-09-07 09:15:30:730,1,383,9,0,269,4391,383,0 122,0,2024-09-07 09:15:31:766,98650,0.8,96302,0.9,202165,0.9,264628,2.00 122,1,2024-09-07 09:15:30:859,671114,671114,0,0,314908072040,3303417080,662040,7789,1285,366,392130,0 122,2,2024-09-07 09:15:31:325,487280,487207,73,0,25856504,0,5989 122,3,2024-09-07 09:15:30:601,1,383,9,0,512,7236,383,0 123,0,2024-09-07 09:15:30:958,94848,0.6,92823,0.7,193809,0.6,254036,2.00 123,1,2024-09-07 09:15:30:585,671264,671264,0,0,314762182146,3316547628,659057,10003,2204,369,392039,0 123,2,2024-09-07 09:15:31:019,483835,483834,1,0,22156097,0,5215 123,3,2024-09-07 09:15:31:132,1,383,1,0,168,4099,383,0 124,0,2024-09-07 09:15:30:950,96529,0.3,96508,0.5,181973,0.3,249902,1.75 124,1,2024-09-07 09:15:31:030,673655,673655,0,0,316184574409,3289274067,668533,4075,1047,367,392178,0 124,2,2024-09-07 09:15:31:013,483527,483474,53,0,19902560,0,6487 124,3,2024-09-07 09:15:30:764,1,383,8,0,490,3808,383,0 125,0,2024-09-07 09:15:31:473,95195,0.4,94860,0.6,190623,0.4,254161,1.75 125,1,2024-09-07 09:15:30:855,672557,672557,0,0,315536191133,3296424541,666993,4898,666,382,391702,0 125,2,2024-09-07 09:15:31:126,482852,482852,0,0,20675206,0,4534 125,3,2024-09-07 09:15:31:130,1,383,1,0,709,4954,383,0 126,0,2024-09-07 09:15:31:439,99426,0.4,102396,0.6,196089,0.4,265328,1.75 126,1,2024-09-07 09:15:30:565,674242,674242,0,0,316362248452,3278718510,670325,3629,288,365,391987,0 126,2,2024-09-07 09:15:30:642,487845,487845,0,0,21295660,0,4539 126,3,2024-09-07 09:15:30:916,1,383,1,0,207,4857,383,0 127,0,2024-09-07 09:15:31:607,93835,0.3,94268,0.5,188071,0.3,249930,1.75 127,1,2024-09-07 09:15:30:590,673448,673448,0,0,316335765655,3291510984,666669,5673,1106,364,392187,0 127,2,2024-09-07 09:15:30:641,485212,485212,0,0,19419704,0,3897 127,3,2024-09-07 09:15:31:270,1,383,10,0,968,4424,383,0 128,0,2024-09-07 09:15:31:532,94503,0.3,94841,0.4,189281,0.2,251114,1.50 128,1,2024-09-07 09:15:31:730,673510,673510,0,0,316122758881,3282416490,668885,4195,430,367,391838,0 128,2,2024-09-07 09:15:31:393,485153,485153,0,0,18432281,0,2915 128,3,2024-09-07 09:15:30:782,1,383,9,0,1082,6269,383,0 129,0,2024-09-07 09:15:31:002,95308,0.3,94751,0.5,189988,0.3,252968,1.50 129,1,2024-09-07 09:15:30:586,670642,670642,0,0,315074437015,3301978729,663916,5082,1644,379,391835,0 129,2,2024-09-07 09:15:30:687,481847,481847,0,0,19409212,0,4031 129,3,2024-09-07 09:15:30:689,1,383,1,0,506,5213,383,0 130,0,2024-09-07 09:15:31:722,99697,0.5,99330,0.6,199975,0.4,265707,1.75 130,1,2024-09-07 09:15:30:614,674109,674109,0,0,316350577300,3288655960,670320,3452,337,381,391825,0 130,2,2024-09-07 09:15:31:133,486680,486680,0,0,19913579,0,4067 130,3,2024-09-07 09:15:31:307,1,383,92,0,535,5181,383,0 131,0,2024-09-07 09:15:31:978,94805,0.4,95336,0.5,191710,0.3,253645,1.75 131,1,2024-09-07 09:15:31:822,673273,673273,0,0,316022020919,3301381745,667652,4575,1046,381,391865,0 131,2,2024-09-07 09:15:30:580,488840,488840,0,0,18027740,0,2509 131,3,2024-09-07 09:15:31:713,1,383,0,0,392,3933,383,0 132,0,2024-09-07 09:15:31:416,95656,0.4,96589,0.6,192103,0.4,255228,1.75 132,1,2024-09-07 09:15:30:583,670816,670816,0,0,314559511804,3308453381,661671,7614,1531,381,392097,0 132,2,2024-09-07 09:15:30:702,484718,484701,17,0,24460610,0,6451 132,3,2024-09-07 09:15:31:716,1,383,11,0,804,6860,383,0 133,0,2024-09-07 09:15:31:537,92264,0.4,94414,0.6,193769,0.4,251538,1.75 133,1,2024-09-07 09:15:30:586,670544,670544,0,0,314807903519,3315397577,661399,7988,1157,383,391914,0 133,2,2024-09-07 09:15:31:087,480238,480188,50,0,24995480,0,6861 133,3,2024-09-07 09:15:31:299,1,383,1,0,479,3963,383,0 134,0,2024-09-07 09:15:30:942,98395,0.5,98025,0.7,196088,0.4,262155,2.00 134,1,2024-09-07 09:15:30:593,671736,671736,0,0,314226613137,3295738250,662966,6885,1885,366,391718,0 134,2,2024-09-07 09:15:31:759,482570,482546,24,0,22195070,0,6207 134,3,2024-09-07 09:15:30:755,1,383,11,0,739,4796,383,0 135,0,2024-09-07 09:15:31:123,94441,0.9,94449,0.9,200214,1.0,257826,2.25 135,1,2024-09-07 09:15:31:674,671773,671773,0,0,315166862847,3315136585,662754,7741,1278,380,391805,0 135,2,2024-09-07 09:15:30:732,488335,488335,0,0,22303466,0,3981 135,3,2024-09-07 09:15:31:012,1,383,1,0,299,3274,383,0 136,0,2024-09-07 09:15:31:641,96201,0.5,96341,0.7,191754,0.5,255861,2.00 136,1,2024-09-07 09:15:31:441,671627,671627,0,0,315170083540,3306379905,663944,6843,840,382,391685,0 136,2,2024-09-07 09:15:31:134,486691,486691,0,0,21923080,0,3506 136,3,2024-09-07 09:15:31:107,1,383,9,0,637,4199,383,0 137,0,2024-09-07 09:15:30:964,97203,0.6,94494,0.7,185772,0.6,252525,2.00 137,1,2024-09-07 09:15:30:607,670881,670881,0,0,314795536454,3307071334,659788,8746,2347,366,391898,0 137,2,2024-09-07 09:15:31:709,480372,480372,0,0,24065094,0,3185 137,3,2024-09-07 09:15:30:777,1,383,1,0,484,4840,383,0 138,0,2024-09-07 09:15:31:778,95313,1.2,95349,1.0,191390,1.6,254325,2.25 138,1,2024-09-07 09:15:31:832,671979,671979,0,0,314819322546,3305169630,662175,8085,1719,368,391954,0 138,2,2024-09-07 09:15:30:590,482155,482155,0,0,21889267,0,4988 138,3,2024-09-07 09:15:30:628,1,383,2,0,1160,5119,383,0 139,0,2024-09-07 09:15:31:419,98532,1.6,98567,1.1,197400,2.2,264136,2.50 139,1,2024-09-07 09:15:30:577,668795,668795,0,0,313282077712,3332014090,655125,10402,3268,381,392058,0 139,2,2024-09-07 09:15:30:738,484119,484119,0,0,24885511,0,3097 139,3,2024-09-07 09:15:31:669,1,383,5,0,432,4657,383,0 140,0,2024-09-07 09:15:31:588,94832,0.3,94325,0.5,189303,0.2,252050,1.75 140,1,2024-09-07 09:15:31:542,675207,675207,0,0,317023754036,3270889707,671680,3087,440,365,391606,0 140,2,2024-09-07 09:15:30:691,486033,486032,1,0,19646916,0,5036 140,3,2024-09-07 09:15:30:768,1,383,12,0,297,3341,383,0 141,0,2024-09-07 09:15:31:720,94054,0.3,96494,0.5,184390,0.3,249891,1.75 141,1,2024-09-07 09:15:30:859,673842,673842,0,0,316588187038,3289277344,668646,4242,954,379,391614,0 141,2,2024-09-07 09:15:31:714,483912,483912,0,0,19261335,0,3360 141,3,2024-09-07 09:15:31:045,1,383,1,0,391,4375,383,0 142,0,2024-09-07 09:15:31:333,95531,0.3,94929,0.5,189755,0.3,253206,1.75 142,1,2024-09-07 09:15:30:592,673030,673030,0,0,315869120906,3293396017,668611,4026,393,382,392102,0 142,2,2024-09-07 09:15:31:302,481305,481273,32,0,20760771,0,6028 142,3,2024-09-07 09:15:31:747,1,383,1,0,484,4443,383,0 143,0,2024-09-07 09:15:31:377,99506,0.4,99360,0.6,199262,0.4,265156,1.75 143,1,2024-09-07 09:15:30:571,674307,674307,0,0,316759157963,3289383726,669675,4120,512,367,391705,0 143,2,2024-09-07 09:15:30:774,486853,486853,0,0,20386994,0,3123 143,3,2024-09-07 09:15:31:140,1,383,2,0,462,5074,383,0 144,0,2024-09-07 09:15:31:505,91491,0.6,93915,0.8,191599,0.5,251073,2.00 144,1,2024-09-07 09:15:30:575,670870,670870,0,0,314803566080,3303031582,664331,5196,1343,381,391649,0 144,2,2024-09-07 09:15:31:756,487039,487039,0,0,19022339,0,3673 144,3,2024-09-07 09:15:31:739,1,383,23,0,249,3874,383,0 145,0,2024-09-07 09:15:31:372,91812,0.5,91825,0.8,194712,0.5,250279,2.25 145,1,2024-09-07 09:15:30:597,671138,671138,0,0,315032978835,3309714648,663288,6707,1143,382,391759,0 145,2,2024-09-07 09:15:31:441,483224,483143,81,0,23156321,0,7814 145,3,2024-09-07 09:15:30:902,1,383,47,0,622,5717,383,0 146,0,2024-09-07 09:15:31:621,94161,0.5,93522,0.7,188506,0.5,249657,2.00 146,1,2024-09-07 09:15:31:585,672200,672200,0,0,315132856590,3312193859,661563,8520,2117,367,391770,0 146,2,2024-09-07 09:15:31:700,479814,479814,0,0,21916590,0,2730 146,3,2024-09-07 09:15:31:293,1,383,2,0,1520,7760,383,0 147,0,2024-09-07 09:15:31:735,98683,0.6,98405,0.7,196229,0.5,263235,2.00 147,1,2024-09-07 09:15:31:376,673947,673947,0,0,317051483036,3299829806,667622,5481,844,368,391791,0 147,2,2024-09-07 09:15:31:012,484132,484132,0,0,19689168,0,2789 147,3,2024-09-07 09:15:30:913,1,383,10,0,730,5404,383,0 0,0,2024-09-07 09:15:41:716,92072,0.6,92125,0.8,195407,0.7,252510,2.00 0,1,2024-09-07 09:15:40:802,673934,673934,0,0,316739010874,3315080143,668714,4834,386,369,391896,0 0,2,2024-09-07 09:15:41:067,483506,483506,0,0,19303513,0,4480 0,3,2024-09-07 09:15:40:975,1,384,7,0,431,5386,384,0 1,0,2024-09-07 09:15:41:765,97294,0.9,96699,1.0,194332,1.1,259779,2.25 1,1,2024-09-07 09:15:40:558,673392,673392,0,0,316081762342,3309003026,667213,4861,1318,370,391857,0 1,2,2024-09-07 09:15:40:653,483236,483236,0,0,19364824,0,3380 1,3,2024-09-07 09:15:41:311,1,384,1,0,262,4577,384,0 2,0,2024-09-07 09:15:41:570,98892,0.7,99202,0.8,197582,0.8,263413,2.00 2,1,2024-09-07 09:15:40:862,675700,675700,0,0,316784181066,3289200763,672529,2878,293,380,391745,0 2,2,2024-09-07 09:15:41:265,489366,489366,0,0,19080657,0,3594 2,3,2024-09-07 09:15:40:695,1,384,5,0,357,3844,384,0 3,0,2024-09-07 09:15:41:752,95689,0.4,95544,0.6,190965,0.4,254511,2.00 3,1,2024-09-07 09:15:41:626,674116,674116,0,0,317143432814,3304123145,668116,5311,689,380,391716,0 3,2,2024-09-07 09:15:41:142,486970,486947,23,0,19744362,0,5851 3,3,2024-09-07 09:15:41:754,1,384,2,0,207,2402,384,0 4,0,2024-09-07 09:15:41:884,91194,0.4,93670,0.5,190874,0.3,249673,1.75 4,1,2024-09-07 09:15:40:594,672172,672172,0,0,315554430496,3332508426,661654,8183,2335,370,391992,0 4,2,2024-09-07 09:15:41:032,481770,481770,0,0,22095132,0,4528 4,3,2024-09-07 09:15:41:030,1,384,8,0,448,5204,384,0 5,0,2024-09-07 09:15:41:375,95393,0.4,95832,0.6,191397,0.4,254950,1.75 5,1,2024-09-07 09:15:40:764,672975,672975,0,0,315363504424,3321030534,663267,7772,1936,367,392005,0 5,2,2024-09-07 09:15:41:836,481825,481825,0,0,21462656,0,3582 5,3,2024-09-07 09:15:41:735,1,384,179,0,457,5480,384,0 6,0,2024-09-07 09:15:40:915,100196,0.4,99681,0.6,199211,0.3,266098,1.75 6,1,2024-09-07 09:15:40:754,673519,673519,0,0,315696985854,3300525279,665790,6342,1387,379,391694,0 6,2,2024-09-07 09:15:41:120,488014,487996,18,0,22007378,0,5535 6,3,2024-09-07 09:15:41:275,1,384,7,0,340,4041,384,0 7,0,2024-09-07 09:15:41:531,93625,0.5,94256,0.6,187682,0.4,250014,2.00 7,1,2024-09-07 09:15:40:853,672934,672934,0,0,315860734024,3315733653,663697,7842,1395,382,391747,0 7,2,2024-09-07 09:15:40:775,487327,487327,0,0,20912705,0,4791 7,3,2024-09-07 09:15:40:851,1,384,5,0,398,4095,384,0 8,0,2024-09-07 09:15:41:323,94562,0.4,94335,0.5,189006,0.3,251648,1.75 8,1,2024-09-07 09:15:41:023,671933,671933,0,0,316064435554,3325047066,660985,8355,2593,366,392144,0 8,2,2024-09-07 09:15:40:793,481271,481271,0,0,25107519,0,3220 8,3,2024-09-07 09:15:40:591,1,384,12,0,538,6433,384,0 9,0,2024-09-07 09:15:41:099,95223,0.4,92426,0.5,193404,0.3,253524,1.75 9,1,2024-09-07 09:15:40:550,672354,672354,0,0,315478999942,3322851555,662718,7498,2138,369,392001,0 9,2,2024-09-07 09:15:41:085,481950,481950,0,0,22209196,0,3360 9,3,2024-09-07 09:15:41:755,1,384,1,0,496,5358,384,0 10,0,2024-09-07 09:15:41:611,99762,0.3,99098,0.5,199193,0.2,265623,1.75 10,1,2024-09-07 09:15:40:585,673021,673021,0,0,316230460018,3312271896,664094,7642,1285,381,391741,0 10,2,2024-09-07 09:15:40:767,486721,486721,0,0,24616297,0,4264 10,3,2024-09-07 09:15:40:874,1,384,6,0,649,4353,384,0 11,0,2024-09-07 09:15:41:009,94822,0.5,92037,0.6,192457,0.4,253855,1.75 11,1,2024-09-07 09:15:40:579,673815,673815,0,0,316017868911,3316855978,663257,8076,2482,383,391756,0 11,2,2024-09-07 09:15:41:124,487035,487035,0,0,22093133,0,4130 11,3,2024-09-07 09:15:41:302,1,384,10,0,843,5683,384,0 12,0,2024-09-07 09:15:40:950,96504,0.4,96561,0.5,192926,0.3,255775,1.75 12,1,2024-09-07 09:15:40:934,674005,674005,0,0,316490279912,3300775281,668657,4853,495,370,391870,0 12,2,2024-09-07 09:15:41:552,486865,486865,0,0,21336132,0,3469 12,3,2024-09-07 09:15:41:059,1,384,9,0,386,6022,384,0 13,0,2024-09-07 09:15:41:349,95292,0.4,95063,0.6,189864,0.4,252349,1.75 13,1,2024-09-07 09:15:41:526,672825,672825,0,0,315964116474,3322546793,665834,5419,1572,382,391740,0 13,2,2024-09-07 09:15:40:603,483519,483519,0,0,19511648,0,3287 13,3,2024-09-07 09:15:41:765,1,384,11,0,522,6017,384,0 14,0,2024-09-07 09:15:40:563,98501,0.4,99282,0.6,196773,0.3,262796,1.75 14,1,2024-09-07 09:15:41:562,677273,677273,0,0,318049397398,3296259415,671619,5126,528,364,391673,0 14,2,2024-09-07 09:15:40:767,484871,484841,30,0,21738970,0,6104 14,3,2024-09-07 09:15:41:120,1,384,11,0,1168,4381,384,0 15,0,2024-09-07 09:15:41:553,97137,0.5,97107,0.7,194634,0.4,258740,2.00 15,1,2024-09-07 09:15:41:609,674131,674131,0,0,316791684777,3301028073,668582,4571,978,381,391619,0 15,2,2024-09-07 09:15:41:006,490161,490161,0,0,18103202,0,3622 15,3,2024-09-07 09:15:41:405,1,384,7,0,1126,6777,384,0 16,0,2024-09-07 09:15:40:959,96025,0.5,96449,0.7,192466,0.5,256139,2.00 16,1,2024-09-07 09:15:40:567,674331,674331,0,0,315862896123,3302213553,668469,5019,843,370,391756,0 16,2,2024-09-07 09:15:41:441,486456,486456,0,0,20740454,0,4719 16,3,2024-09-07 09:15:41:149,1,384,13,0,317,5100,384,0 17,0,2024-09-07 09:15:41:773,97384,0.6,95087,0.8,186109,0.7,252917,2.00 17,1,2024-09-07 09:15:40:582,673139,673139,0,0,315881601056,3314906368,666073,5726,1340,368,392075,0 17,2,2024-09-07 09:15:41:670,485085,485085,0,0,20121371,0,2948 17,3,2024-09-07 09:15:40:583,1,384,179,0,298,6140,384,0 18,0,2024-09-07 09:15:40:949,95074,0.6,95411,0.8,190345,0.6,254058,2.25 18,1,2024-09-07 09:15:41:638,675803,675803,0,0,316601043121,3282464222,671978,3450,375,367,391649,0 18,2,2024-09-07 09:15:41:763,486191,486191,0,0,18445330,0,3541 18,3,2024-09-07 09:15:40:900,1,384,30,0,163,3127,384,0 19,0,2024-09-07 09:15:41:541,99212,0.6,99950,0.8,197572,0.6,263650,2.25 19,1,2024-09-07 09:15:40:568,675910,675910,0,0,317253410128,3293037185,670131,4862,917,367,391777,0 19,2,2024-09-07 09:15:41:759,490501,490501,0,0,17489217,0,3988 19,3,2024-09-07 09:15:41:129,1,384,81,0,524,2868,384,0 20,0,2024-09-07 09:15:41:372,94699,0.5,94722,0.6,189257,0.4,252821,2.00 20,1,2024-09-07 09:15:40:572,673630,673630,0,0,317020412104,3313139124,668028,4842,760,369,391886,0 20,2,2024-09-07 09:15:40:948,486886,486886,0,0,20743855,0,3721 20,3,2024-09-07 09:15:40:592,1,384,14,0,414,5837,384,0 21,0,2024-09-07 09:15:41:133,94008,0.5,94009,0.6,187877,0.5,249201,2.00 21,1,2024-09-07 09:15:41:542,672220,672220,0,0,315352453625,3321539351,663031,7199,1990,368,392016,0 21,2,2024-09-07 09:15:41:087,481817,481797,20,0,24815937,0,5617 21,3,2024-09-07 09:15:41:413,1,384,2,0,713,5038,384,0 22,0,2024-09-07 09:15:41:723,94717,0.5,95053,0.7,190388,0.4,252080,2.00 22,1,2024-09-07 09:15:41:027,673123,673123,0,0,315442631094,3314336909,663201,7870,2052,382,391667,0 22,2,2024-09-07 09:15:40:766,481785,481759,26,0,20023970,0,6328 22,3,2024-09-07 09:15:41:066,1,384,6,0,228,3276,384,0 23,0,2024-09-07 09:15:41:369,99418,0.5,99059,0.7,198432,0.4,264939,2.25 23,1,2024-09-07 09:15:41:004,674582,674582,0,0,315959537680,3316990230,663007,8024,3551,365,391690,0 23,2,2024-09-07 09:15:41:092,489045,489045,0,0,19356546,0,3010 23,3,2024-09-07 09:15:41:757,1,384,10,0,720,5330,384,0 24,0,2024-09-07 09:15:40:901,95154,0.4,94989,0.5,190437,0.4,252770,1.75 24,1,2024-09-07 09:15:40:600,673164,673164,0,0,315082764818,3304214957,665668,5914,1582,367,392269,0 24,2,2024-09-07 09:15:41:076,486064,486064,0,0,24133065,0,3607 24,3,2024-09-07 09:15:41:690,1,384,16,0,468,5393,384,0 25,0,2024-09-07 09:15:41:358,97506,0.4,95040,0.6,186399,0.4,253756,1.75 25,1,2024-09-07 09:15:40:558,672688,672688,0,0,315875185866,3323051746,662446,8337,1905,371,391928,0 25,2,2024-09-07 09:15:41:606,484718,484718,0,0,24110309,0,3978 25,3,2024-09-07 09:15:41:005,1,384,11,0,532,4274,384,0 26,0,2024-09-07 09:15:41:721,94135,0.4,91825,0.6,192652,0.3,250561,1.75 26,1,2024-09-07 09:15:41:542,674370,674370,0,0,315496500725,3304382837,664744,8079,1547,380,391748,0 26,2,2024-09-07 09:15:40:863,482792,482792,0,0,23870165,0,2809 26,3,2024-09-07 09:15:41:713,1,384,0,0,796,4760,384,0 27,0,2024-09-07 09:15:41:726,99377,0.4,99486,0.6,197413,0.4,264259,2.00 27,1,2024-09-07 09:15:41:679,676073,676073,0,0,316718745404,3297795829,669814,5436,823,381,391626,0 27,2,2024-09-07 09:15:40:871,483361,483296,65,0,22976757,0,5699 27,3,2024-09-07 09:15:41:015,1,384,0,0,564,3965,384,0 28,0,2024-09-07 09:15:41:414,96684,0.4,96242,0.6,192690,0.3,257125,2.00 28,1,2024-09-07 09:15:40:797,674575,674575,0,0,317447514850,3316485200,667755,5133,1687,383,391698,0 28,2,2024-09-07 09:15:41:767,488282,488282,0,0,21029748,0,2915 28,3,2024-09-07 09:15:41:776,1,384,8,0,502,4358,384,0 29,0,2024-09-07 09:15:41:373,98759,0.3,96223,0.6,189034,0.3,256918,1.75 29,1,2024-09-07 09:15:41:567,676588,676588,0,0,317956103979,3292316695,671822,4033,733,368,391753,0 29,2,2024-09-07 09:15:40:862,485805,485805,0,0,19959392,0,4986 29,3,2024-09-07 09:15:40:987,1,384,3,0,459,4770,384,0 30,0,2024-09-07 09:15:41:465,94426,0.5,91829,0.7,192220,0.4,251332,2.00 30,1,2024-09-07 09:15:40:571,676585,676585,0,0,317749229802,3301246869,670949,4871,765,381,391672,0 30,2,2024-09-07 09:15:41:276,482989,482989,0,0,18516523,0,3161 30,3,2024-09-07 09:15:40:584,1,384,1,0,519,3803,384,0 31,0,2024-09-07 09:15:41:768,97013,0.5,97436,0.6,194591,0.4,260083,2.00 31,1,2024-09-07 09:15:40:566,678583,678583,0,0,318957848260,3271536325,675676,2321,586,356,391712,0 31,2,2024-09-07 09:15:41:276,483896,483896,0,0,20745010,0,3525 31,3,2024-09-07 09:15:41:715,1,384,9,0,220,3359,384,0 32,0,2024-09-07 09:15:41:429,99316,0.3,99923,0.5,199108,0.3,265005,1.75 32,1,2024-09-07 09:15:40:805,675439,675439,0,0,317421905921,3300987588,670757,4086,596,381,391646,0 32,2,2024-09-07 09:15:40:935,490002,490002,0,0,18036509,0,3155 32,3,2024-09-07 09:15:41:014,1,384,8,0,227,2711,384,0 33,0,2024-09-07 09:15:41:497,95777,0.3,95364,0.4,191369,0.2,254848,1.50 33,1,2024-09-07 09:15:40:578,676494,676494,0,0,317694664382,3294856768,670567,4842,1085,369,391730,0 33,2,2024-09-07 09:15:40:762,487916,487881,35,0,20738851,0,7012 33,3,2024-09-07 09:15:40:907,1,384,2,0,329,3796,384,0 34,0,2024-09-07 09:15:40:933,94171,0.3,96756,0.4,185262,0.2,248970,1.75 34,1,2024-09-07 09:15:41:044,678119,678119,0,0,318463090650,3274417950,676568,1542,9,367,391562,0 34,2,2024-09-07 09:15:40:766,483826,483826,0,0,19113346,0,3577 34,3,2024-09-07 09:15:41:688,1,384,41,0,299,2941,384,0 35,0,2024-09-07 09:15:40:867,94814,0.4,95169,0.5,191507,0.3,255624,1.75 35,1,2024-09-07 09:15:41:068,676166,676166,0,0,317213954409,3287580916,672019,3291,856,382,391769,0 35,2,2024-09-07 09:15:41:589,483583,483583,0,0,19653944,0,2653 35,3,2024-09-07 09:15:40:906,1,384,1,0,418,4401,384,0 36,0,2024-09-07 09:15:41:578,100218,0.4,100040,0.6,200117,0.4,266044,2.00 36,1,2024-09-07 09:15:40:587,674683,674683,0,0,316251402903,3302463120,665948,6754,1981,366,391759,0 36,2,2024-09-07 09:15:41:769,488882,488882,0,0,21775138,0,3875 36,3,2024-09-07 09:15:40:864,1,384,11,0,416,5851,384,0 37,0,2024-09-07 09:15:41:371,93781,0.5,93750,0.6,187622,0.4,250503,2.00 37,1,2024-09-07 09:15:40:575,674813,674806,0,7,316085196345,3299948338,667320,5326,2160,365,391570,0 37,2,2024-09-07 09:15:41:142,485367,485352,15,0,21656317,0,5815 37,3,2024-09-07 09:15:41:766,1,384,13,0,888,5853,384,0 38,0,2024-09-07 09:15:41:451,93828,0.5,91153,0.6,190678,0.4,249385,2.00 38,1,2024-09-07 09:15:41:605,676148,676148,0,0,317347202587,3308572409,667939,6924,1285,368,391821,0 38,2,2024-09-07 09:15:40:764,484948,484901,47,0,21162256,0,6710 38,3,2024-09-07 09:15:41:001,1,384,2,0,689,5083,384,0 39,0,2024-09-07 09:15:41:787,96886,0.6,94865,0.7,184782,0.5,252560,2.00 39,1,2024-09-07 09:15:40:718,674544,674544,0,0,316077454902,3308975389,664486,8084,1974,365,391658,0 39,2,2024-09-07 09:15:41:422,482981,482981,0,0,19513529,0,2689 39,3,2024-09-07 09:15:40:713,1,384,6,0,324,4608,384,0 40,0,2024-09-07 09:15:41:496,98734,0.8,99614,0.9,198341,0.8,265131,2.75 40,1,2024-09-07 09:15:40:587,674912,674912,0,0,315805450218,3304761573,665625,7672,1615,368,391668,0 40,2,2024-09-07 09:15:41:314,486079,486078,1,0,23384029,0,5137 40,3,2024-09-07 09:15:41:142,1,384,8,0,1028,5305,384,0 41,0,2024-09-07 09:15:41:026,94377,1.6,96565,1.3,184438,2.5,250525,3.00 41,1,2024-09-07 09:15:40:772,673708,673708,0,0,316202234190,3306457558,665662,7278,768,369,391742,0 41,2,2024-09-07 09:15:40:765,486138,486138,0,0,22470682,0,4277 41,3,2024-09-07 09:15:41:677,1,384,7,0,366,4028,384,0 42,0,2024-09-07 09:15:41:475,95051,0.9,95052,1.0,190229,1.0,251619,2.75 42,1,2024-09-07 09:15:41:444,672748,672748,0,0,315482527342,3316881546,661808,9022,1918,380,391675,0 42,2,2024-09-07 09:15:41:133,485262,485262,0,0,22941622,0,3790 42,3,2024-09-07 09:15:41:011,1,384,0,0,446,3193,384,0 43,0,2024-09-07 09:15:40:927,93498,0.8,91003,1.0,190794,0.9,249749,2.50 43,1,2024-09-07 09:15:40:580,674511,674511,0,0,317425682009,3317673042,664911,7870,1730,366,391696,0 43,2,2024-09-07 09:15:41:736,482225,482225,0,0,22474230,0,3812 43,3,2024-09-07 09:15:41:749,1,384,10,0,467,4567,384,0 44,0,2024-09-07 09:15:40:868,98418,0.4,98711,0.6,197449,0.4,262551,2.00 44,1,2024-09-07 09:15:40:576,675773,675773,0,0,317002952067,3280775796,669534,4922,1317,356,391809,0 44,2,2024-09-07 09:15:41:283,483893,483893,0,0,19149302,0,4344 44,3,2024-09-07 09:15:41:100,1,384,8,0,817,4790,384,0 45,0,2024-09-07 09:15:41:769,96209,0.5,93969,0.8,196960,0.5,259268,2.00 45,1,2024-09-07 09:15:41:010,675490,675490,0,0,317426713735,3298866608,670462,4511,517,382,391917,0 45,2,2024-09-07 09:15:41:267,489493,489493,0,0,19656309,0,3596 45,3,2024-09-07 09:15:40:936,1,384,1,0,271,3569,384,0 46,0,2024-09-07 09:15:40:963,95460,0.5,95500,0.7,191163,0.5,253791,2.00 46,1,2024-09-07 09:15:40:578,676643,676643,0,0,317945646571,3286135889,672144,3910,589,366,391709,0 46,2,2024-09-07 09:15:40:593,487074,487074,0,0,19574516,0,4443 46,3,2024-09-07 09:15:41:131,1,384,1,0,908,5173,384,0 47,0,2024-09-07 09:15:41:101,94920,0.5,95226,0.6,190785,0.4,252383,2.00 47,1,2024-09-07 09:15:40:567,677593,677593,0,0,316067554447,3268269284,673053,3725,815,366,391641,0 47,2,2024-09-07 09:15:40:907,484239,484239,0,0,18821037,0,4477 47,3,2024-09-07 09:15:41:119,1,384,1,0,600,4785,384,0 48,0,2024-09-07 09:15:41:524,96497,0.3,96270,0.4,191932,0.2,256310,1.50 48,1,2024-09-07 09:15:41:027,675903,675903,0,0,317358044215,3296190489,671773,3676,454,384,391710,0 48,2,2024-09-07 09:15:40:700,483313,483313,0,0,17475436,0,3031 48,3,2024-09-07 09:15:40:753,1,384,8,0,339,3222,384,0 49,0,2024-09-07 09:15:41:720,102522,0.4,100838,0.5,194969,0.3,268157,1.75 49,1,2024-09-07 09:15:41:023,675519,675519,0,0,316974708482,3299428815,670688,3626,1205,382,391809,0 49,2,2024-09-07 09:15:41:797,489452,489452,0,0,19694496,0,4426 49,3,2024-09-07 09:15:41:429,1,384,2,0,992,4808,384,0 50,0,2024-09-07 09:15:41:508,95310,0.3,93748,0.4,189151,0.2,252523,1.75 50,1,2024-09-07 09:15:41:012,677338,677338,0,0,317625045216,3284968130,672741,4056,541,368,391565,0 50,2,2024-09-07 09:15:41:067,486872,486872,0,0,17630248,0,2263 50,3,2024-09-07 09:15:41:297,1,384,0,0,567,4089,384,0 51,0,2024-09-07 09:15:41:686,96438,0.3,94242,0.5,183866,0.2,250303,1.75 51,1,2024-09-07 09:15:41:683,677488,677488,0,0,318935747539,3289456299,674044,2467,977,365,391706,0 51,2,2024-09-07 09:15:41:316,484542,484542,0,0,17083488,0,3337 51,3,2024-09-07 09:15:41:026,1,384,0,0,678,3050,384,0 52,0,2024-09-07 09:15:41:413,95290,0.5,95193,0.7,190443,0.4,253183,2.00 52,1,2024-09-07 09:15:40:575,674743,674743,0,0,315902418989,3305170705,665507,7922,1314,368,391722,0 52,2,2024-09-07 09:15:41:755,479970,479932,38,0,22162472,0,6742 52,3,2024-09-07 09:15:40:674,1,384,14,0,1782,5619,384,0 53,0,2024-09-07 09:15:41:734,98978,0.6,96238,0.7,201514,0.6,264581,2.25 53,1,2024-09-07 09:15:40:794,673414,673414,0,0,316674621156,3320942549,662659,7962,2793,367,391702,0 53,2,2024-09-07 09:15:41:308,488040,488039,1,0,20219787,0,5455 53,3,2024-09-07 09:15:40:698,1,384,21,0,308,3370,384,0 54,0,2024-09-07 09:15:41:621,93154,0.6,93425,0.8,186168,0.4,248701,2.25 54,1,2024-09-07 09:15:40:580,675166,675166,0,0,317287979665,3293580772,669229,5318,619,366,391659,0 54,2,2024-09-07 09:15:40:865,487521,487489,32,0,22897643,0,6397 54,3,2024-09-07 09:15:40:771,1,384,223,0,676,5837,384,0 55,0,2024-09-07 09:15:41:763,91789,0.6,94953,0.7,191693,0.5,249657,2.50 55,1,2024-09-07 09:15:40:766,675556,675556,0,0,317011221723,3293594636,668804,5843,909,365,391731,0 55,2,2024-09-07 09:15:40:728,484813,484757,56,0,21951736,0,7239 55,3,2024-09-07 09:15:40:674,1,384,1,0,304,4102,384,0 56,0,2024-09-07 09:15:41:565,96280,1.0,90805,1.1,187097,1.4,250394,2.50 56,1,2024-09-07 09:15:40:591,671483,671483,0,0,315312998747,3329866280,661140,8150,2193,381,391867,0 56,2,2024-09-07 09:15:41:303,482291,482291,0,0,21702394,0,3567 56,3,2024-09-07 09:15:41:059,1,384,9,0,705,4810,384,0 57,0,2024-09-07 09:15:40:990,97858,1.5,97732,1.2,195739,2.1,262332,3.00 57,1,2024-09-07 09:15:40:997,673716,673716,0,0,315646289251,3309287792,665710,6992,1014,366,392032,0 57,2,2024-09-07 09:15:41:316,486259,486259,0,0,24042051,0,3317 57,3,2024-09-07 09:15:41:743,1,384,18,0,359,4234,384,0 58,0,2024-09-07 09:15:40:555,94908,0.9,92198,1.0,192928,1.1,252705,2.50 58,1,2024-09-07 09:15:40:577,674425,674422,0,3,316470757653,3309690907,665135,8030,1257,367,391603,3 58,2,2024-09-07 09:15:41:071,487551,487551,0,0,22603287,0,2902 58,3,2024-09-07 09:15:41:068,1,384,1,0,1043,4242,384,0 59,0,2024-09-07 09:15:41:745,95868,0.8,95552,0.9,190701,0.8,253359,2.50 59,1,2024-09-07 09:15:40:847,673861,673861,0,0,316893710170,3318205745,664831,7440,1590,369,391653,0 59,2,2024-09-07 09:15:40:583,486812,486812,0,0,21942839,0,2867 59,3,2024-09-07 09:15:41:746,1,384,10,0,1015,4882,384,0 60,0,2024-09-07 09:15:41:751,94758,0.5,94691,0.7,190095,0.5,252437,1.75 60,1,2024-09-07 09:15:40:776,675935,675935,0,0,316580004945,3287251876,671420,3866,649,370,392031,0 60,2,2024-09-07 09:15:41:146,482847,482847,0,0,20814317,0,3811 60,3,2024-09-07 09:15:41:261,1,384,82,0,409,4553,384,0 61,0,2024-09-07 09:15:41:523,96966,0.7,97727,0.8,194469,0.7,259654,2.00 61,1,2024-09-07 09:15:40:787,674365,674365,0,0,316161717991,3314986682,666523,6446,1396,382,392127,0 61,2,2024-09-07 09:15:41:126,483849,483782,67,0,21411595,0,6411 61,3,2024-09-07 09:15:41:688,1,384,7,0,479,5422,384,0 62,0,2024-09-07 09:15:41:710,99894,0.6,102213,0.7,195074,0.6,264801,2.00 62,1,2024-09-07 09:15:41:113,678892,678886,0,6,318433342469,3286120802,675083,3543,260,365,391715,6 62,2,2024-09-07 09:15:41:646,487642,487641,1,0,21691072,0,5555 62,3,2024-09-07 09:15:41:143,1,384,0,0,482,3291,384,0 63,0,2024-09-07 09:15:41:468,96018,0.4,95787,0.6,192071,0.3,255258,1.75 63,1,2024-09-07 09:15:40:805,676280,676274,0,6,316605751479,3287243232,671999,3863,412,381,391800,6 63,2,2024-09-07 09:15:40:763,487152,487152,0,0,19394967,0,4369 63,3,2024-09-07 09:15:41:736,1,384,1,0,667,4111,384,0 64,0,2024-09-07 09:15:41:513,93387,0.5,93401,0.7,186787,0.5,248101,2.00 64,1,2024-09-07 09:15:40:762,675380,675380,0,0,316464369452,3298829771,669130,4567,1683,370,391783,0 64,2,2024-09-07 09:15:41:171,486415,486396,19,0,18822269,0,6121 64,3,2024-09-07 09:15:41:145,1,384,16,0,265,3488,384,0 65,0,2024-09-07 09:15:41:737,94488,0.7,94860,0.8,189338,0.7,252746,2.25 65,1,2024-09-07 09:15:40:867,673894,673894,0,0,316947923471,3313536858,668895,4459,540,382,391901,0 65,2,2024-09-07 09:15:41:714,484011,484011,0,0,21717084,0,3367 65,3,2024-09-07 09:15:41:713,1,384,9,0,347,3941,384,0 66,0,2024-09-07 09:15:41:763,99575,0.5,99553,0.7,198862,0.4,264705,2.00 66,1,2024-09-07 09:15:41:296,675962,675962,0,0,316508923381,3294860793,671495,4093,374,380,391653,0 66,2,2024-09-07 09:15:41:133,490334,490334,0,0,19203173,0,4956 66,3,2024-09-07 09:15:41:079,1,384,1,0,291,3526,384,0 67,0,2024-09-07 09:15:41:431,94345,0.5,94027,0.6,188643,0.4,251060,2.00 67,1,2024-09-07 09:15:40:766,676018,676017,0,1,316259948176,3292749317,671457,3775,785,380,391787,1 67,2,2024-09-07 09:15:40:583,488370,488370,0,0,18569366,0,3622 67,3,2024-09-07 09:15:41:756,1,384,6,0,392,3724,384,0 68,0,2024-09-07 09:15:40:586,94727,0.6,94365,0.7,188295,0.5,251425,2.00 68,1,2024-09-07 09:15:40:584,673340,673340,0,0,316031026298,3315572886,666176,5044,2120,381,391953,0 68,2,2024-09-07 09:15:41:045,483151,483051,100,0,24579514,0,8578 68,3,2024-09-07 09:15:40:728,1,384,24,0,417,4060,384,0 69,0,2024-09-07 09:15:41:735,94471,0.7,95038,0.8,189243,0.8,251616,2.25 69,1,2024-09-07 09:15:41:023,672560,672560,0,0,316061730204,3332079655,663557,6814,2189,384,391994,0 69,2,2024-09-07 09:15:41:735,482230,482201,29,0,24846253,0,6912 69,3,2024-09-07 09:15:40:770,1,384,25,0,698,5308,384,0 70,0,2024-09-07 09:15:41:535,98768,0.9,98871,1.0,198704,0.8,263779,2.50 70,1,2024-09-07 09:15:40:806,675745,675745,0,0,317244042275,3291448418,670361,4726,658,366,391725,0 70,2,2024-09-07 09:15:41:324,486696,486696,0,0,21303490,0,4044 70,3,2024-09-07 09:15:40:750,1,384,31,0,854,4384,384,0 71,0,2024-09-07 09:15:41:356,94126,0.9,93840,1.0,188337,1.1,252084,2.75 71,1,2024-09-07 09:15:41:597,674957,674957,0,0,317059186493,3307005544,667421,6788,748,368,391738,0 71,2,2024-09-07 09:15:41:066,487593,487593,0,0,22103560,0,4352 71,3,2024-09-07 09:15:41:761,1,384,20,0,644,5117,384,0 72,0,2024-09-07 09:15:41:053,98866,0.6,96670,0.7,188461,0.5,256060,2.00 72,1,2024-09-07 09:15:41:045,673749,673749,0,0,316278572854,3317470716,663749,8034,1966,369,391819,0 72,2,2024-09-07 09:15:41:761,485762,485762,0,0,24197307,0,3983 72,3,2024-09-07 09:15:41:757,1,384,8,0,564,5836,384,0 73,0,2024-09-07 09:15:41:171,92293,0.4,94573,0.6,193516,0.4,251063,2.00 73,1,2024-09-07 09:15:40:776,675263,675263,0,0,316388263608,3287032160,670577,4322,364,367,391858,0 73,2,2024-09-07 09:15:41:754,482070,482070,0,0,23431196,0,3701 73,3,2024-09-07 09:15:40:976,1,384,1,0,486,5414,384,0 74,0,2024-09-07 09:15:41:319,99084,0.4,101300,0.6,193162,0.4,262553,2.00 74,1,2024-09-07 09:15:40:645,674730,674730,0,0,316353885585,3302849372,667747,5614,1369,381,391681,0 74,2,2024-09-07 09:15:41:008,483963,483963,0,0,21917337,0,4253 74,3,2024-09-07 09:15:41:458,1,384,2,0,522,5350,384,0 75,0,2024-09-07 09:15:41:789,97802,0.5,97176,0.8,195204,0.5,260345,2.25 75,1,2024-09-07 09:15:41:596,674424,674424,0,0,316584157924,3304432781,667739,5859,826,380,391739,0 75,2,2024-09-07 09:15:41:350,488054,488054,0,0,23593423,0,4766 75,3,2024-09-07 09:15:41:070,1,384,0,0,702,5137,384,0 76,0,2024-09-07 09:15:40:762,95531,0.6,94990,0.7,190708,0.5,254314,2.25 76,1,2024-09-07 09:15:40:882,674881,674881,0,0,316144494099,3298951785,670138,4046,697,382,391692,0 76,2,2024-09-07 09:15:41:073,488641,488640,1,0,21446420,0,5144 76,3,2024-09-07 09:15:41:172,1,384,14,0,175,3565,384,0 77,0,2024-09-07 09:15:41:737,94356,0.6,94659,0.7,189238,0.6,250884,2.00 77,1,2024-09-07 09:15:40:836,674756,674756,0,0,316596366225,3306905816,669171,5087,498,381,391869,0 77,2,2024-09-07 09:15:41:290,482870,482870,0,0,20783785,0,3890 77,3,2024-09-07 09:15:41:100,1,384,0,0,401,4140,384,0 78,0,2024-09-07 09:15:41:717,96252,0.5,95788,0.7,192442,0.4,254635,2.00 78,1,2024-09-07 09:15:40:614,675493,675493,0,0,316459179897,3298725603,668138,5789,1566,367,391670,0 78,2,2024-09-07 09:15:41:405,484201,484188,13,0,19685412,0,8313 78,3,2024-09-07 09:15:41:133,1,384,1,0,181,3573,384,0 79,0,2024-09-07 09:15:41:358,96384,0.4,98780,0.6,202046,0.4,262708,2.25 79,1,2024-09-07 09:15:40:577,676851,676851,0,0,317323953760,3290735018,670959,4743,1149,368,391682,0 79,2,2024-09-07 09:15:41:078,490150,490150,0,0,19390579,0,4195 79,3,2024-09-07 09:15:40:748,1,384,6,0,418,4804,384,0 80,0,2024-09-07 09:15:41:117,94689,0.5,97478,0.6,186614,0.5,251911,2.00 80,1,2024-09-07 09:15:41:637,674948,674948,0,0,317112843336,3301196720,670306,4320,322,368,391791,0 80,2,2024-09-07 09:15:41:097,488720,488720,0,0,18963488,0,4433 80,3,2024-09-07 09:15:40:592,1,384,8,0,190,4579,384,0 81,0,2024-09-07 09:15:41:539,94016,0.5,96240,0.7,183614,0.5,249072,2.00 81,1,2024-09-07 09:15:41:653,674475,674475,0,0,315624599056,3300103588,668765,5205,505,382,391879,0 81,2,2024-09-07 09:15:41:134,483163,483100,63,0,20755400,0,5932 81,3,2024-09-07 09:15:41:126,1,384,2,0,719,4878,384,0 82,0,2024-09-07 09:15:41:554,94577,0.5,95101,0.7,190470,0.4,252768,2.00 82,1,2024-09-07 09:15:40:582,676369,676365,0,4,317024482705,3298328513,672150,3416,799,381,391768,4 82,2,2024-09-07 09:15:41:691,484497,484497,0,0,17725743,0,3986 82,3,2024-09-07 09:15:41:765,1,384,12,0,363,3746,384,0 83,0,2024-09-07 09:15:41:533,99798,0.6,99625,0.7,198943,0.6,264634,2.00 83,1,2024-09-07 09:15:40:551,674007,674007,0,0,316654439319,3301699818,669105,4481,421,382,391709,0 83,2,2024-09-07 09:15:40:765,488017,488017,0,0,18621275,0,3393 83,3,2024-09-07 09:15:40:749,1,384,4,0,1260,5091,384,0 84,0,2024-09-07 09:15:41:764,93970,0.7,93938,0.8,187368,0.6,251207,2.25 84,1,2024-09-07 09:15:41:041,673939,673939,0,0,316344824412,3305292051,666872,6034,1033,367,391967,0 84,2,2024-09-07 09:15:40:581,486504,486474,30,0,24753444,0,5971 84,3,2024-09-07 09:15:41:141,1,384,17,0,908,5702,384,0 85,0,2024-09-07 09:15:41:018,91528,0.6,91563,0.8,194314,0.6,250899,2.25 85,1,2024-09-07 09:15:40:572,672623,672623,0,0,316394006762,3338406878,662625,8178,1820,381,392006,0 85,2,2024-09-07 09:15:40:873,485141,485141,0,0,22735733,0,3656 85,3,2024-09-07 09:15:40:686,1,384,8,0,789,4998,384,0 86,0,2024-09-07 09:15:40:889,94048,0.6,96792,0.8,185200,0.7,250133,2.25 86,1,2024-09-07 09:15:40:833,674482,674482,0,0,317422266021,3319644976,667163,6248,1071,366,391961,0 86,2,2024-09-07 09:15:40:855,480884,480883,1,0,24222006,0,5004 86,3,2024-09-07 09:15:40:609,1,384,108,0,308,5803,384,0 87,0,2024-09-07 09:15:41:287,98856,0.9,98747,0.9,197862,1.1,264788,2.25 87,1,2024-09-07 09:15:40:557,673414,673414,0,0,315876444159,3306338374,665487,6772,1155,366,392076,0 87,2,2024-09-07 09:15:41:067,484320,484314,6,0,22366993,0,6323 87,3,2024-09-07 09:15:41:794,1,384,3,0,473,5966,384,0 88,0,2024-09-07 09:15:41:453,96299,0.4,97014,0.6,193074,0.4,256861,1.75 88,1,2024-09-07 09:15:40:572,672196,672196,0,0,316033274957,3310535877,663566,6749,1881,365,392084,0 88,2,2024-09-07 09:15:40:688,488637,488637,0,0,24181481,0,3583 88,3,2024-09-07 09:15:41:271,1,384,17,0,435,4031,384,0 89,0,2024-09-07 09:15:41:766,98958,0.4,95863,0.6,189565,0.4,257077,1.75 89,1,2024-09-07 09:15:40:553,672631,672631,0,0,315220249016,3313831841,664399,6872,1360,382,391866,0 89,2,2024-09-07 09:15:41:138,485379,485379,0,0,23132582,0,3173 89,3,2024-09-07 09:15:41:791,1,384,1,0,385,6809,384,0 90,0,2024-09-07 09:15:41:633,91985,0.5,94476,0.6,192732,0.4,251476,2.00 90,1,2024-09-07 09:15:40:597,674130,674130,0,0,316019787831,3309829892,667706,5915,509,380,391825,0 90,2,2024-09-07 09:15:41:426,480697,480697,0,0,24184202,0,3060 90,3,2024-09-07 09:15:40:937,1,384,10,0,322,4945,384,0 91,0,2024-09-07 09:15:41:018,97711,0.5,94688,0.6,197840,0.4,260145,1.75 91,1,2024-09-07 09:15:40:583,672992,672992,0,0,315794488721,3320300956,664551,7253,1188,381,392047,0 91,2,2024-09-07 09:15:41:330,484621,484621,0,0,21572449,0,2896 91,3,2024-09-07 09:15:40:605,1,384,27,0,216,3639,384,0 92,0,2024-09-07 09:15:41:482,100118,0.5,102547,0.6,196042,0.5,265124,1.75 92,1,2024-09-07 09:15:40:585,674094,674094,0,0,316888825701,3311432879,668727,4646,721,382,392136,0 92,2,2024-09-07 09:15:41:351,490172,490172,0,0,19820758,0,3259 92,3,2024-09-07 09:15:41:012,1,384,8,0,167,3467,384,0 93,0,2024-09-07 09:15:40:960,96318,0.4,98720,0.5,188379,0.3,255356,1.75 93,1,2024-09-07 09:15:40:805,673632,673632,0,0,316226833787,3305518206,665900,6387,1345,366,391776,0 93,2,2024-09-07 09:15:40:928,486631,486631,0,0,23516022,0,4845 93,3,2024-09-07 09:15:41:420,1,384,0,0,190,3586,384,0 94,0,2024-09-07 09:15:41:604,93528,0.4,94356,0.5,188504,0.3,249812,1.75 94,1,2024-09-07 09:15:40:574,674645,674645,0,0,316687642496,3304412040,670259,4192,194,381,391850,0 94,2,2024-09-07 09:15:40:765,482975,482975,0,0,19877503,0,2443 94,3,2024-09-07 09:15:41:688,1,384,1,0,264,4659,384,0 95,0,2024-09-07 09:15:41:372,95341,0.4,95306,0.5,191219,0.3,255411,1.75 95,1,2024-09-07 09:15:40:854,676101,676101,0,0,316659604057,3293504496,670614,5077,410,367,391713,0 95,2,2024-09-07 09:15:41:016,483618,483618,0,0,19485016,0,3308 95,3,2024-09-07 09:15:41:711,1,384,0,0,718,5713,384,0 96,0,2024-09-07 09:15:41:030,100034,0.3,100259,0.5,200115,0.3,265427,1.75 96,1,2024-09-07 09:15:41:588,674099,674099,0,0,316152539923,3298904222,669102,4182,815,384,391955,0 96,2,2024-09-07 09:15:41:267,489301,489301,0,0,19800997,0,4042 96,3,2024-09-07 09:15:41:140,1,384,26,0,411,4137,384,0 97,0,2024-09-07 09:15:41:308,94282,0.3,94001,0.5,188605,0.3,250902,1.50 97,1,2024-09-07 09:15:40:773,675579,675579,0,0,317470333594,3292432145,671123,3759,697,367,392140,0 97,2,2024-09-07 09:15:40:612,487816,487816,0,0,19417541,0,3679 97,3,2024-09-07 09:15:40:572,1,384,8,0,214,4304,384,0 98,0,2024-09-07 09:15:41:725,94247,0.3,94262,0.4,189242,0.2,251278,1.50 98,1,2024-09-07 09:15:40:575,674732,674732,0,0,317181313717,3302235796,670917,3235,580,382,391997,0 98,2,2024-09-07 09:15:40:787,485499,485499,0,0,19292947,0,3243 98,3,2024-09-07 09:15:40:698,1,384,2,0,840,5726,384,0 99,0,2024-09-07 09:15:41:464,94927,0.3,95631,0.5,189950,0.3,253706,1.75 99,1,2024-09-07 09:15:41:726,675137,675137,0,0,316816088412,3299713263,670346,3958,833,381,392069,0 99,2,2024-09-07 09:15:41:423,483566,483566,0,0,22271583,0,3424 99,3,2024-09-07 09:15:40:584,1,384,24,0,606,4313,384,0 100,0,2024-09-07 09:15:41:474,99266,0.7,99547,0.9,198388,0.8,265412,2.25 100,1,2024-09-07 09:15:40:557,672126,672126,0,0,315115346566,3328323044,662296,7921,1909,381,391989,0 100,2,2024-09-07 09:15:41:818,485482,485471,11,0,22376379,0,5417 100,3,2024-09-07 09:15:41:734,1,384,8,0,559,6538,384,0 101,0,2024-09-07 09:15:41:711,96996,1.1,94399,1.0,185503,0.9,253877,2.25 101,1,2024-09-07 09:15:40:551,672208,672208,0,0,315594247487,3320644093,662157,7933,2118,368,391847,0 101,2,2024-09-07 09:15:41:759,484537,484537,0,0,26928522,0,4871 101,3,2024-09-07 09:15:40:947,1,384,0,0,579,5078,384,0 102,0,2024-09-07 09:15:40:977,93262,0.6,96269,0.8,194891,0.6,254808,2.00 102,1,2024-09-07 09:15:41:145,672755,672755,0,0,315621609219,3316561788,663737,7407,1611,369,391891,0 102,2,2024-09-07 09:15:41:737,486379,486325,54,0,21633436,0,6768 102,3,2024-09-07 09:15:41:621,1,384,9,0,410,3862,384,0 103,0,2024-09-07 09:15:41:591,97736,0.6,97663,0.7,184157,0.6,252841,2.00 103,1,2024-09-07 09:15:41:633,671752,671752,0,0,315692247679,3329565049,661503,7658,2591,381,391829,0 103,2,2024-09-07 09:15:40:588,480943,480943,0,0,23004360,0,3766 103,3,2024-09-07 09:15:40:755,1,384,10,0,916,4398,384,0 104,0,2024-09-07 09:15:41:070,97521,0.7,98011,0.9,194531,0.7,261855,2.25 104,1,2024-09-07 09:15:41:601,673747,673747,0,0,315128052664,3311605732,664018,7955,1774,365,392168,0 104,2,2024-09-07 09:15:41:667,483285,483285,0,0,23528974,0,3941 104,3,2024-09-07 09:15:41:419,1,384,23,0,1245,7899,384,0 105,0,2024-09-07 09:15:41:039,96300,0.9,93760,1.1,196530,1.1,258551,2.75 105,1,2024-09-07 09:15:40:556,674569,674569,0,0,316509636756,3317323547,665852,7369,1348,366,392009,0 105,2,2024-09-07 09:15:41:322,488268,488268,0,0,22870067,0,3509 105,3,2024-09-07 09:15:41:304,1,384,1,0,399,5815,384,0 106,0,2024-09-07 09:15:40:974,92693,0.7,94914,0.9,194465,0.8,254438,2.50 106,1,2024-09-07 09:15:41:756,673042,673042,0,0,315786376108,3319843085,662279,9320,1443,369,391914,0 106,2,2024-09-07 09:15:40:775,485896,485896,0,0,22444939,0,2795 106,3,2024-09-07 09:15:40:684,1,384,2,0,470,4779,384,0 107,0,2024-09-07 09:15:41:110,94415,1.0,94605,0.9,188863,1.4,252221,2.25 107,1,2024-09-07 09:15:40:633,672425,672425,0,0,315093407277,3318782207,663698,7755,972,381,392234,0 107,2,2024-09-07 09:15:41:294,481004,481003,1,0,23086217,0,5024 107,3,2024-09-07 09:15:41:762,1,384,0,0,353,5441,384,0 108,0,2024-09-07 09:15:41:784,95735,0.5,96446,0.6,191686,0.5,256146,1.75 108,1,2024-09-07 09:15:41:310,674717,674717,0,0,317075054569,3307446790,669005,4974,738,368,391857,0 108,2,2024-09-07 09:15:41:763,482784,482784,0,0,22128187,0,4246 108,3,2024-09-07 09:15:41:344,1,384,9,0,749,7460,384,0 109,0,2024-09-07 09:15:41:782,100459,0.4,99659,0.6,199349,0.4,267489,1.75 109,1,2024-09-07 09:15:40:592,672239,672239,0,0,316044307434,3317042647,665905,5261,1073,383,392132,0 109,2,2024-09-07 09:15:40:921,487176,487176,0,0,21825192,0,3617 109,3,2024-09-07 09:15:41:140,1,384,14,0,379,4539,384,0 110,0,2024-09-07 09:15:41:849,94628,0.4,92153,0.6,193061,0.3,252858,1.75 110,1,2024-09-07 09:15:41:658,675866,675866,0,0,316639963188,3288325365,670835,3856,1175,369,392045,0 110,2,2024-09-07 09:15:41:303,487159,487159,0,0,21030950,0,4067 110,3,2024-09-07 09:15:40:700,1,384,33,0,722,5678,384,0 111,0,2024-09-07 09:15:41:419,94391,0.4,93566,0.6,187788,0.3,250419,1.75 111,1,2024-09-07 09:15:41:008,676292,676292,0,0,317356302311,3292311710,672698,3241,353,380,391690,0 111,2,2024-09-07 09:15:41:121,483389,483389,0,0,20374149,0,4823 111,3,2024-09-07 09:15:40:916,1,384,101,0,379,4491,384,0 112,0,2024-09-07 09:15:40:910,95719,0.3,95113,0.4,190722,0.2,253376,1.50 112,1,2024-09-07 09:15:40:838,676007,676007,0,0,317282243150,3293110385,671708,3687,612,380,391624,0 112,2,2024-09-07 09:15:41:132,483450,483449,1,0,18757988,0,5036 112,3,2024-09-07 09:15:40:610,1,384,11,0,282,3894,384,0 113,0,2024-09-07 09:15:40:886,99586,0.3,99777,0.5,199616,0.2,266197,1.50 113,1,2024-09-07 09:15:41:686,678426,678426,0,0,319115077904,3292933377,674704,3138,584,366,391661,0 113,2,2024-09-07 09:15:41:304,489226,489226,0,0,17913272,0,3813 113,3,2024-09-07 09:15:40:689,1,384,1,0,340,4483,384,0 114,0,2024-09-07 09:15:40:877,94864,0.3,95636,0.5,189886,0.2,253631,1.75 114,1,2024-09-07 09:15:40:722,675686,675686,0,0,316914002401,3293552922,669818,4255,1613,381,391565,0 114,2,2024-09-07 09:15:40:874,487922,487921,1,0,19300156,0,5069 114,3,2024-09-07 09:15:41:277,1,384,47,0,395,3207,384,0 115,0,2024-09-07 09:15:40:562,95035,0.3,95606,0.4,190805,0.2,253396,1.50 115,1,2024-09-07 09:15:40:571,675408,675408,0,0,318418765732,3308727491,669730,4789,889,382,391757,0 115,2,2024-09-07 09:15:41:125,487620,487620,0,0,18260280,0,3848 115,3,2024-09-07 09:15:41:011,1,384,5,0,159,2282,384,0 116,0,2024-09-07 09:15:41:701,93912,0.7,93793,0.9,188089,0.7,251387,2.00 116,1,2024-09-07 09:15:40:806,672571,672571,0,0,315965790040,3328907572,664367,5886,2318,380,392089,0 116,2,2024-09-07 09:15:41:755,481747,481747,0,0,23449302,0,4128 116,3,2024-09-07 09:15:40:917,1,384,12,0,415,4597,384,0 117,0,2024-09-07 09:15:40:952,99214,0.7,98696,0.8,197728,0.8,264722,2.00 117,1,2024-09-07 09:15:41:585,673067,673067,0,0,315741884499,3303382657,666023,6199,845,369,392033,0 117,2,2024-09-07 09:15:41:121,488344,488344,0,0,19404815,0,3700 117,3,2024-09-07 09:15:41:058,1,384,1,0,490,5697,384,0 118,0,2024-09-07 09:15:41:784,93601,0.5,95983,0.7,196117,0.5,255885,2.00 118,1,2024-09-07 09:15:40:618,673209,673209,0,0,316020862576,3322736218,662770,7875,2564,366,391907,0 118,2,2024-09-07 09:15:41:586,487409,487409,0,0,22409584,0,2842 118,3,2024-09-07 09:15:41:765,1,384,2,0,248,4502,384,0 119,0,2024-09-07 09:15:41:332,95819,0.6,96293,0.7,192436,0.5,255496,2.00 119,1,2024-09-07 09:15:40:547,673661,673661,0,0,316019145482,3305088642,666400,6416,845,367,391780,0 119,2,2024-09-07 09:15:41:277,486905,486905,0,0,20845346,0,4174 119,3,2024-09-07 09:15:41:325,1,384,1,0,1058,6840,384,0 120,0,2024-09-07 09:15:41:546,94221,0.6,94098,0.8,188402,0.6,252003,2.25 120,1,2024-09-07 09:15:40:866,673718,673718,0,0,316230605401,3318617401,666500,6572,646,368,391961,0 120,2,2024-09-07 09:15:40:775,481808,481807,1,0,23903503,0,5281 120,3,2024-09-07 09:15:41:291,1,384,279,0,279,4902,384,0 121,0,2024-09-07 09:15:41:725,97183,1.2,97117,1.0,194727,1.5,259660,2.25 121,1,2024-09-07 09:15:41:667,674382,674382,0,0,316822134965,3313121944,668121,5815,446,367,391840,0 121,2,2024-09-07 09:15:41:131,483023,483023,0,0,22999773,0,4127 121,3,2024-09-07 09:15:40:735,1,384,1,0,269,4392,384,0 122,0,2024-09-07 09:15:41:784,98749,0.8,96412,0.9,202384,0.9,264918,2.00 122,1,2024-09-07 09:15:40:863,672905,672905,0,0,315799638277,3312746710,663831,7789,1285,366,392130,0 122,2,2024-09-07 09:15:41:319,488868,488795,73,0,25956805,0,5989 122,3,2024-09-07 09:15:40:600,1,384,100,0,512,7336,384,0 123,0,2024-09-07 09:15:40:983,95166,0.6,93110,0.7,194470,0.6,254837,2.00 123,1,2024-09-07 09:15:40:560,673047,673047,0,0,315504820259,3324208855,660840,10003,2204,369,392039,0 123,2,2024-09-07 09:15:41:019,485199,485198,1,0,22300749,0,5215 123,3,2024-09-07 09:15:41:136,1,384,35,0,168,4134,384,0 124,0,2024-09-07 09:15:40:944,96781,0.3,96754,0.5,182410,0.3,250528,1.75 124,1,2024-09-07 09:15:41:022,675416,675416,0,0,317033748259,3298042768,670294,4075,1047,367,392178,0 124,2,2024-09-07 09:15:41:012,484294,484241,53,0,20167481,0,6487 124,3,2024-09-07 09:15:40:779,1,384,8,0,490,3816,384,0 125,0,2024-09-07 09:15:41:451,95666,0.4,95299,0.6,191544,0.4,255688,1.75 125,1,2024-09-07 09:15:40:871,674278,674278,0,0,316429998495,3305777875,668714,4898,666,382,391702,0 125,2,2024-09-07 09:15:41:122,484316,484316,0,0,20730359,0,4534 125,3,2024-09-07 09:15:41:134,1,384,83,0,709,5037,384,0 126,0,2024-09-07 09:15:41:473,99676,0.4,102687,0.6,196640,0.4,266105,1.75 126,1,2024-09-07 09:15:40:551,676024,676024,0,0,317089540755,3286426668,672106,3629,289,365,391987,0 126,2,2024-09-07 09:15:40:612,489329,489329,0,0,21419663,0,4539 126,3,2024-09-07 09:15:40:907,1,384,1,0,207,4858,384,0 127,0,2024-09-07 09:15:41:597,94165,0.3,94634,0.5,188759,0.3,250978,1.75 127,1,2024-09-07 09:15:40:964,675159,675159,0,0,317120308004,3299834421,668361,5692,1106,364,392187,0 127,2,2024-09-07 09:15:40:647,486355,486355,0,0,19655239,0,3897 127,3,2024-09-07 09:15:41:266,1,384,5,0,968,4429,384,0 128,0,2024-09-07 09:15:41:523,94698,0.3,95025,0.4,189632,0.2,251580,1.50 128,1,2024-09-07 09:15:41:605,675227,675227,0,0,316719254908,3288828966,670601,4196,430,367,391838,0 128,2,2024-09-07 09:15:41:400,486034,486034,0,0,18506076,0,2915 128,3,2024-09-07 09:15:40:771,1,384,8,0,1082,6277,384,0 129,0,2024-09-07 09:15:41:007,95648,0.3,95102,0.5,190651,0.3,253885,1.75 129,1,2024-09-07 09:15:40:579,672453,672453,0,0,316004189815,3312337911,665640,5167,1646,379,391835,0 129,2,2024-09-07 09:15:40:686,483319,483319,0,0,19528009,0,4031 129,3,2024-09-07 09:15:40:688,1,384,1,0,506,5214,384,0 130,0,2024-09-07 09:15:41:760,100132,0.5,99783,0.6,200812,0.5,266869,1.75 130,1,2024-09-07 09:15:40:608,675800,675800,0,0,317064278402,3296321071,672011,3452,337,381,391825,0 130,2,2024-09-07 09:15:41:124,487978,487978,0,0,20053932,0,4067 130,3,2024-09-07 09:15:41:295,1,384,114,0,535,5295,384,0 131,0,2024-09-07 09:15:41:937,94909,0.4,95439,0.5,191991,0.3,253970,1.75 131,1,2024-09-07 09:15:41:824,674999,674999,0,0,317021803015,3312306720,669311,4641,1047,381,391865,0 131,2,2024-09-07 09:15:40:568,490039,490039,0,0,18107899,0,2509 131,3,2024-09-07 09:15:41:691,1,384,12,0,392,3945,384,0 132,0,2024-09-07 09:15:41:425,95774,0.4,96708,0.6,192355,0.4,255575,1.75 132,1,2024-09-07 09:15:40:587,672636,672636,0,0,315379099100,3317009235,663491,7614,1531,381,392097,0 132,2,2024-09-07 09:15:40:698,485976,485959,17,0,24517162,0,6451 132,3,2024-09-07 09:15:41:688,1,384,18,0,804,6878,384,0 133,0,2024-09-07 09:15:41:531,92268,0.4,94423,0.6,193778,0.4,251546,1.75 133,1,2024-09-07 09:15:40:612,672146,672146,0,0,315775810414,3325544911,663001,7988,1157,383,391914,0 133,2,2024-09-07 09:15:41:087,481611,481561,50,0,25055405,0,6861 133,3,2024-09-07 09:15:41:298,1,384,1,0,479,3964,384,0 134,0,2024-09-07 09:15:40:963,98745,0.5,98370,0.7,196821,0.4,263113,2.00 134,1,2024-09-07 09:15:40:590,673504,673504,0,0,315262229967,3306788817,664700,6919,1885,366,391718,0 134,2,2024-09-07 09:15:41:759,483886,483862,24,0,22268081,0,6207 134,3,2024-09-07 09:15:40:750,1,384,29,0,739,4825,384,0 135,0,2024-09-07 09:15:41:150,94536,0.9,94536,0.9,200395,1.0,258072,2.25 135,1,2024-09-07 09:15:41:599,673311,673311,0,0,316070791900,3324536732,664288,7745,1278,380,391805,0 135,2,2024-09-07 09:15:40:687,489630,489630,0,0,22364826,0,3981 135,3,2024-09-07 09:15:41:008,1,384,2,0,299,3276,384,0 136,0,2024-09-07 09:15:41:621,96440,0.5,96575,0.7,192168,0.5,256428,2.00 136,1,2024-09-07 09:15:41:463,673323,673323,0,0,315968137199,3314687258,665640,6843,840,382,391685,0 136,2,2024-09-07 09:15:41:143,487985,487985,0,0,22003061,0,3506 136,3,2024-09-07 09:15:41:113,1,384,12,0,637,4211,384,0 137,0,2024-09-07 09:15:40:974,97522,0.6,94818,0.7,186413,0.6,253356,2.00 137,1,2024-09-07 09:15:40:587,672663,672663,0,0,315687113463,3316285971,661569,8747,2347,366,391898,0 137,2,2024-09-07 09:15:41:711,481341,481341,0,0,24095859,0,3185 137,3,2024-09-07 09:15:40:775,1,384,7,0,484,4847,384,0 138,0,2024-09-07 09:15:41:761,95604,1.2,95601,1.0,191943,1.6,254983,2.25 138,1,2024-09-07 09:15:41:687,673716,673716,0,0,315738518746,3314778765,663912,8085,1719,368,391954,0 138,2,2024-09-07 09:15:40:595,483574,483574,0,0,21949217,0,4988 138,3,2024-09-07 09:15:40:611,1,384,2,0,1160,5121,384,0 139,0,2024-09-07 09:15:41:363,99018,1.6,99049,1.1,198402,2.3,265313,2.50 139,1,2024-09-07 09:15:40:582,670610,670610,0,0,314222610576,3341922104,656937,10404,3269,381,392058,0 139,2,2024-09-07 09:15:40:703,485526,485526,0,0,24994705,0,3097 139,3,2024-09-07 09:15:41:661,1,384,2,0,432,4659,384,0 140,0,2024-09-07 09:15:41:592,95153,0.3,94624,0.5,189966,0.2,253049,1.75 140,1,2024-09-07 09:15:41:537,676929,676929,0,0,317977962420,3280628608,673402,3087,440,365,391606,0 140,2,2024-09-07 09:15:40:688,487525,487524,1,0,19868902,0,5036 140,3,2024-09-07 09:15:40:771,1,384,14,0,297,3355,384,0 141,0,2024-09-07 09:15:41:698,94197,0.3,96660,0.5,184678,0.3,250333,1.75 141,1,2024-09-07 09:15:40:863,675574,675574,0,0,317381215376,3297483973,670378,4242,954,379,391614,0 141,2,2024-09-07 09:15:41:686,484645,484645,0,0,19348215,0,3360 141,3,2024-09-07 09:15:41:046,1,384,11,0,391,4386,384,0 142,0,2024-09-07 09:15:41:323,95770,0.3,95163,0.5,190190,0.3,253896,1.75 142,1,2024-09-07 09:15:40:586,674775,674775,0,0,316622727096,3301241966,670356,4026,393,382,392102,0 142,2,2024-09-07 09:15:41:310,482646,482614,32,0,20829596,0,6028 142,3,2024-09-07 09:15:41:746,1,384,1,0,484,4444,384,0 143,0,2024-09-07 09:15:41:445,99888,0.4,99719,0.6,200011,0.4,266255,1.75 143,1,2024-09-07 09:15:40:557,676058,676058,0,0,317471402939,3297277954,671307,4238,513,367,391705,0 143,2,2024-09-07 09:15:40:780,488268,488268,0,0,20442861,0,3123 143,3,2024-09-07 09:15:41:140,1,384,6,0,462,5080,384,0 144,0,2024-09-07 09:15:41:491,91655,0.6,94107,0.8,191940,0.5,251517,2.00 144,1,2024-09-07 09:15:40:582,672547,672547,0,0,315435670199,3310113105,665973,5231,1343,381,391649,0 144,2,2024-09-07 09:15:41:758,488102,488102,0,0,19111377,0,3673 144,3,2024-09-07 09:15:41:743,1,384,8,0,249,3882,384,0 145,0,2024-09-07 09:15:41:369,91891,0.5,91918,0.8,194931,0.5,250510,2.25 145,1,2024-09-07 09:15:40:565,672883,672883,0,0,315695814363,3316680984,665033,6707,1143,382,391759,0 145,2,2024-09-07 09:15:41:429,484319,484238,81,0,23215745,0,7814 145,3,2024-09-07 09:15:40:902,1,384,4,0,622,5721,384,0 146,0,2024-09-07 09:15:41:592,94282,0.5,93656,0.7,188734,0.5,249978,2.00 146,1,2024-09-07 09:15:41:586,673999,673999,0,0,315728725384,3318443234,663362,8520,2117,367,391770,0 146,2,2024-09-07 09:15:41:695,481289,481289,0,0,21968991,0,2730 146,3,2024-09-07 09:15:41:279,1,384,6,0,1520,7766,384,0 147,0,2024-09-07 09:15:41:704,99217,0.6,98915,0.7,197224,0.5,264554,2.00 147,1,2024-09-07 09:15:41:374,675630,675630,0,0,317776129231,3307461840,669305,5481,844,368,391791,0 147,2,2024-09-07 09:15:41:022,485444,485444,0,0,20083296,0,2789 147,3,2024-09-07 09:15:40:922,1,384,94,0,730,5498,384,0 0,0,2024-09-07 09:15:51:752,92193,0.6,92235,0.8,195608,0.7,252519,2.00 0,1,2024-09-07 09:15:50:885,675628,675628,0,0,317680870113,3325083024,670403,4839,386,369,391896,0 0,2,2024-09-07 09:15:51:066,484824,484824,0,0,19412426,0,4480 0,3,2024-09-07 09:15:50:973,1,385,118,0,431,5504,385,0 1,0,2024-09-07 09:15:51:796,97730,0.9,97076,1.0,195135,1.2,260906,2.25 1,1,2024-09-07 09:15:50:578,675142,675142,0,0,316835504549,3317280280,668961,4863,1318,370,391857,0 1,2,2024-09-07 09:15:50:648,484450,484450,0,0,19514693,0,3380 1,3,2024-09-07 09:15:51:307,1,385,97,0,262,4674,385,0 2,0,2024-09-07 09:15:51:577,98990,0.7,99293,0.8,197780,0.8,263660,2.00 2,1,2024-09-07 09:15:50:872,677473,677473,0,0,317615856424,3298323436,674244,2936,293,380,391745,0 2,2,2024-09-07 09:15:51:279,490852,490852,0,0,19174939,0,3594 2,3,2024-09-07 09:15:50:689,1,385,1,0,357,3845,385,0 3,0,2024-09-07 09:15:51:776,95931,0.4,95824,0.6,191491,0.4,255095,2.00 3,1,2024-09-07 09:15:51:619,675630,675630,0,0,317980311604,3312962259,669626,5314,690,380,391716,0 3,2,2024-09-07 09:15:51:142,488311,488288,23,0,19881547,0,5851 3,3,2024-09-07 09:15:51:758,1,385,1,0,207,2403,385,0 4,0,2024-09-07 09:15:51:794,91449,0.4,93927,0.5,191375,0.3,250346,1.75 4,1,2024-09-07 09:15:50:599,673717,673717,0,0,316448515261,3342240144,663167,8215,2335,370,391992,0 4,2,2024-09-07 09:15:51:047,482555,482555,0,0,22124039,0,4528 4,3,2024-09-07 09:15:51:046,1,385,16,0,448,5220,385,0 5,0,2024-09-07 09:15:51:478,95751,0.4,96253,0.6,192161,0.4,255547,1.75 5,1,2024-09-07 09:15:50:755,674555,674555,0,0,316298148071,3331120795,664801,7818,1936,367,392005,0 5,2,2024-09-07 09:15:51:920,483343,483343,0,0,21595991,0,3582 5,3,2024-09-07 09:15:51:738,1,385,50,0,457,5530,385,0 6,0,2024-09-07 09:15:50:920,100578,0.4,100057,0.6,199928,0.3,266967,1.75 6,1,2024-09-07 09:15:50:754,675222,675222,0,0,316570133089,3309907963,667462,6373,1387,379,391694,0 6,2,2024-09-07 09:15:51:120,489560,489542,18,0,22138532,0,5535 6,3,2024-09-07 09:15:51:275,1,385,66,0,340,4107,385,0 7,0,2024-09-07 09:15:51:534,93939,0.5,94574,0.6,188356,0.4,250759,2.00 7,1,2024-09-07 09:15:50:854,674689,674689,0,0,316885241020,3326422863,665452,7842,1395,382,391747,0 7,2,2024-09-07 09:15:50:770,488542,488542,0,0,21579883,0,4791 7,3,2024-09-07 09:15:50:861,1,385,3,0,398,4098,385,0 8,0,2024-09-07 09:15:51:474,94723,0.4,94518,0.5,189318,0.3,252063,1.75 8,1,2024-09-07 09:15:51:017,673763,673763,0,0,316926272598,3334007222,662815,8355,2593,366,392144,0 8,2,2024-09-07 09:15:50:818,482095,482095,0,0,25143857,0,3220 8,3,2024-09-07 09:15:50:597,1,385,46,0,538,6479,385,0 9,0,2024-09-07 09:15:51:138,95485,0.4,92704,0.5,194050,0.3,254174,1.75 9,1,2024-09-07 09:15:50:556,674122,674122,0,0,316257232145,3331257977,664450,7534,2138,369,392001,0 9,2,2024-09-07 09:15:51:110,483444,483444,0,0,22300065,0,3360 9,3,2024-09-07 09:15:51:767,1,385,19,0,496,5377,385,0 10,0,2024-09-07 09:15:51:602,100168,0.3,99519,0.5,199954,0.2,266672,1.75 10,1,2024-09-07 09:15:50:587,674760,674760,0,0,317028120967,3320588286,665833,7642,1285,381,391741,0 10,2,2024-09-07 09:15:50:769,488093,488093,0,0,24733311,0,4264 10,3,2024-09-07 09:15:50:879,1,385,1,0,649,4354,385,0 11,0,2024-09-07 09:15:51:016,94973,0.5,92184,0.6,192750,0.4,254307,1.75 11,1,2024-09-07 09:15:50:572,675658,675658,0,0,316721367452,3324370315,665087,8089,2482,383,391756,0 11,2,2024-09-07 09:15:51:123,488112,488112,0,0,22170624,0,4130 11,3,2024-09-07 09:15:51:307,1,385,298,0,843,5981,385,0 12,0,2024-09-07 09:15:51:040,96632,0.4,96675,0.5,193154,0.3,256051,1.75 12,1,2024-09-07 09:15:50:972,675710,675710,0,0,317391983424,3310390513,670332,4883,495,370,391870,0 12,2,2024-09-07 09:15:51:590,487890,487890,0,0,21421329,0,3469 12,3,2024-09-07 09:15:51:058,1,385,9,0,386,6031,385,0 13,0,2024-09-07 09:15:51:375,95357,0.4,95123,0.6,189966,0.4,252662,1.75 13,1,2024-09-07 09:15:51:544,674550,674550,0,0,316498933558,3328734835,667523,5455,1572,382,391740,0 13,2,2024-09-07 09:15:50:602,484927,484927,0,0,19644893,0,3287 13,3,2024-09-07 09:15:51:770,1,385,49,0,522,6066,385,0 14,0,2024-09-07 09:15:50:585,98884,0.4,99709,0.6,197630,0.3,263988,1.75 14,1,2024-09-07 09:15:51:563,679040,679040,0,0,318867644645,3305271440,673318,5194,528,364,391673,0 14,2,2024-09-07 09:15:50:769,486289,486259,30,0,21811421,0,6104 14,3,2024-09-07 09:15:51:124,1,385,1,0,1168,4382,385,0 15,0,2024-09-07 09:15:51:555,97245,0.5,97215,0.7,194821,0.4,259037,2.00 15,1,2024-09-07 09:15:51:607,675748,675748,0,0,317748833873,3311061093,670198,4572,978,381,391619,0 15,2,2024-09-07 09:15:51:016,491421,491421,0,0,18169642,0,3622 15,3,2024-09-07 09:15:51:415,1,385,1,0,1126,6778,385,0 16,0,2024-09-07 09:15:50:954,96226,0.5,96630,0.7,192818,0.5,256454,2.00 16,1,2024-09-07 09:15:50:590,676016,676016,0,0,316840364118,3312568983,670154,5019,843,370,391756,0 16,2,2024-09-07 09:15:51:443,487681,487681,0,0,20915533,0,4719 16,3,2024-09-07 09:15:51:143,1,385,14,0,317,5114,385,0 17,0,2024-09-07 09:15:51:903,97644,0.6,95356,0.8,186611,0.7,253521,2.00 17,1,2024-09-07 09:15:50:578,674785,674785,0,0,316637362918,3325019916,667301,5976,1508,368,392075,0 17,2,2024-09-07 09:15:51:671,486137,486137,0,0,20173135,0,2948 17,3,2024-09-07 09:15:50:581,1,385,0,0,298,6140,385,0 18,0,2024-09-07 09:15:50:953,95395,0.6,95731,0.8,191023,0.7,255184,2.25 18,1,2024-09-07 09:15:51:653,677534,677534,0,0,317542820769,3292202407,673709,3450,375,367,391649,0 18,2,2024-09-07 09:15:51:755,487408,487408,0,0,18550809,0,3541 18,3,2024-09-07 09:15:50:907,1,385,1,0,163,3128,385,0 19,0,2024-09-07 09:15:51:547,99588,0.7,100191,0.8,198834,0.7,264498,2.25 19,1,2024-09-07 09:15:50:570,677570,677570,0,0,318052889275,3301585817,671788,4865,917,367,391777,0 19,2,2024-09-07 09:15:51:752,491969,491969,0,0,17661895,0,3988 19,3,2024-09-07 09:15:51:134,1,385,3,0,524,2871,385,0 20,0,2024-09-07 09:15:51:481,94986,0.5,95014,0.6,189847,0.4,253556,2.00 20,1,2024-09-07 09:15:50:570,675320,675320,0,0,317637163222,3320042313,669690,4870,760,369,391886,0 20,2,2024-09-07 09:15:50:971,488431,488431,0,0,20866862,0,3721 20,3,2024-09-07 09:15:50:590,1,385,7,0,414,5844,385,0 21,0,2024-09-07 09:15:51:132,94190,0.5,94166,0.6,188273,0.5,249801,2.00 21,1,2024-09-07 09:15:51:545,673829,673829,0,0,316331698111,3332007785,664637,7202,1990,368,392016,0 21,2,2024-09-07 09:15:51:082,482469,482449,20,0,24865463,0,5617 21,3,2024-09-07 09:15:51:438,1,385,1,0,713,5039,385,0 22,0,2024-09-07 09:15:51:730,95037,0.5,95395,0.7,191089,0.4,253289,2.00 22,1,2024-09-07 09:15:51:027,674781,674781,0,0,316245287815,3322830241,664859,7870,2052,382,391667,0 22,2,2024-09-07 09:15:50:769,483405,483379,26,0,20185824,0,6328 22,3,2024-09-07 09:15:51:066,1,385,29,0,228,3305,385,0 23,0,2024-09-07 09:15:51:405,99789,0.5,99393,0.7,199156,0.4,265687,2.25 23,1,2024-09-07 09:15:51:018,676334,676334,0,0,316946923684,3327193041,664759,8024,3551,365,391690,0 23,2,2024-09-07 09:15:51:102,490562,490562,0,0,19516178,0,3010 23,3,2024-09-07 09:15:51:754,1,385,6,0,720,5336,385,0 24,0,2024-09-07 09:15:50:922,95422,0.4,95218,0.5,190887,0.4,253691,1.75 24,1,2024-09-07 09:15:50:586,674884,674884,0,0,315921720066,3312947857,667388,5914,1582,367,392269,0 24,2,2024-09-07 09:15:51:090,487129,487129,0,0,24169783,0,3607 24,3,2024-09-07 09:15:51:689,1,385,20,0,468,5413,385,0 25,0,2024-09-07 09:15:51:453,97644,0.4,95184,0.6,186668,0.4,254236,1.75 25,1,2024-09-07 09:15:50:569,674340,674340,0,0,316752403930,3332370245,664090,8345,1905,371,391928,0 25,2,2024-09-07 09:15:51:630,485736,485736,0,0,24160151,0,3978 25,3,2024-09-07 09:15:51:013,1,385,6,0,532,4280,385,0 26,0,2024-09-07 09:15:51:732,94335,0.4,92078,0.6,193075,0.3,251463,1.75 26,1,2024-09-07 09:15:51:563,676152,676152,0,0,316351050805,3313201858,666526,8079,1547,380,391748,0 26,2,2024-09-07 09:15:50:881,484362,484362,0,0,23982443,0,2809 26,3,2024-09-07 09:15:51:721,1,385,10,0,796,4770,385,0 27,0,2024-09-07 09:15:51:731,99807,0.4,99941,0.6,198370,0.4,265412,2.00 27,1,2024-09-07 09:15:51:677,677940,677940,0,0,317814017579,3308995762,671681,5436,823,381,391626,0 27,2,2024-09-07 09:15:50:872,484676,484611,65,0,23040709,0,5699 27,3,2024-09-07 09:15:51:015,1,385,1,0,564,3966,385,0 28,0,2024-09-07 09:15:51:389,96809,0.4,96355,0.6,192937,0.3,257450,2.00 28,1,2024-09-07 09:15:50:802,676296,676296,0,0,318091067159,3323348506,669472,5137,1687,383,391698,0 28,2,2024-09-07 09:15:51:766,489321,489321,0,0,21124091,0,2915 28,3,2024-09-07 09:15:51:777,1,385,8,0,502,4366,385,0 29,0,2024-09-07 09:15:51:388,98878,0.3,96328,0.6,189251,0.3,257240,1.75 29,1,2024-09-07 09:15:51:563,678374,678374,0,0,318957140548,3302776671,673586,4055,733,368,391753,0 29,2,2024-09-07 09:15:50:873,487192,487192,0,0,20046977,0,4986 29,3,2024-09-07 09:15:50:973,1,385,1,0,459,4771,385,0 30,0,2024-09-07 09:15:51:474,94532,0.5,91918,0.7,192439,0.4,251333,2.00 30,1,2024-09-07 09:15:50:575,678293,678293,0,0,318495534642,3309284291,672647,4880,766,381,391672,0 30,2,2024-09-07 09:15:51:279,484266,484266,0,0,18565014,0,3161 30,3,2024-09-07 09:15:50:591,1,385,20,0,519,3823,385,0 31,0,2024-09-07 09:15:51:763,97413,0.4,97809,0.6,195337,0.4,261038,2.00 31,1,2024-09-07 09:15:50:570,680364,680364,0,0,319863101559,3280849540,677457,2321,586,356,391712,0 31,2,2024-09-07 09:15:51:278,485115,485115,0,0,20866913,0,3525 31,3,2024-09-07 09:15:51:731,1,385,1,0,220,3360,385,0 32,0,2024-09-07 09:15:51:494,99416,0.3,100041,0.5,199288,0.3,265258,1.75 32,1,2024-09-07 09:15:50:823,677058,677058,0,0,318169247192,3308910806,672376,4086,596,381,391646,0 32,2,2024-09-07 09:15:50:943,491412,491412,0,0,18127506,0,3155 32,3,2024-09-07 09:15:51:021,1,385,12,0,227,2723,385,0 33,0,2024-09-07 09:15:51:502,96053,0.3,95630,0.4,191882,0.2,255487,1.50 33,1,2024-09-07 09:15:50:575,678252,678252,0,0,318426115854,3303302091,672184,4967,1101,369,391730,0 33,2,2024-09-07 09:15:50:760,489289,489254,35,0,20822653,0,7012 33,3,2024-09-07 09:15:50:898,1,385,19,0,329,3815,385,0 34,0,2024-09-07 09:15:50:940,94428,0.3,97028,0.4,185815,0.2,249878,1.75 34,1,2024-09-07 09:15:51:046,679857,679857,0,0,319159058525,3282324450,678214,1632,11,367,391562,0 34,2,2024-09-07 09:15:50:767,484646,484646,0,0,19143707,0,3577 34,3,2024-09-07 09:15:51:692,1,385,1,0,299,2942,385,0 35,0,2024-09-07 09:15:50:871,95203,0.4,95529,0.5,192280,0.3,256336,1.75 35,1,2024-09-07 09:15:51:072,677757,677757,0,0,317883404835,3294819112,673604,3297,856,382,391769,0 35,2,2024-09-07 09:15:51:582,484942,484942,0,0,19845983,0,2653 35,3,2024-09-07 09:15:50:913,1,385,7,0,418,4408,385,0 36,0,2024-09-07 09:15:51:521,100577,0.4,100459,0.6,200977,0.4,267165,2.00 36,1,2024-09-07 09:15:50:626,676404,676404,0,0,317152083038,3311830364,667669,6754,1981,366,391759,0 36,2,2024-09-07 09:15:51:751,490269,490269,0,0,21862484,0,3875 36,3,2024-09-07 09:15:50:863,1,385,12,0,416,5863,385,0 37,0,2024-09-07 09:15:51:383,94111,0.5,94068,0.6,188291,0.4,251151,2.00 37,1,2024-09-07 09:15:50:584,676536,676529,0,7,316929546828,3308649650,669043,5326,2160,365,391570,0 37,2,2024-09-07 09:15:51:142,486510,486495,15,0,21842813,0,5815 37,3,2024-09-07 09:15:51:768,1,385,147,0,888,6000,385,0 38,0,2024-09-07 09:15:51:448,94001,0.5,91316,0.6,191006,0.4,249783,2.00 38,1,2024-09-07 09:15:51:605,677125,677125,0,0,317864860558,3314877378,668688,7055,1382,368,391821,0 38,2,2024-09-07 09:15:50:770,485775,485728,47,0,21208295,0,6710 38,3,2024-09-07 09:15:50:997,1,385,231,0,689,5314,385,0 39,0,2024-09-07 09:15:51:760,97198,0.6,95158,0.7,185367,0.5,253158,2.00 39,1,2024-09-07 09:15:50:721,676334,676334,0,0,317210698227,3321314698,666182,8178,1974,365,391658,0 39,2,2024-09-07 09:15:51:418,484426,484426,0,0,19569390,0,2689 39,3,2024-09-07 09:15:50:712,1,385,3,0,324,4611,385,0 40,0,2024-09-07 09:15:51:503,99120,0.8,100051,0.9,199148,0.8,266184,2.75 40,1,2024-09-07 09:15:50:589,676807,676807,0,0,316646647521,3314155291,667466,7726,1615,368,391668,0 40,2,2024-09-07 09:15:51:306,487499,487498,1,0,23485820,0,5137 40,3,2024-09-07 09:15:51:148,1,385,56,0,1028,5361,385,0 41,0,2024-09-07 09:15:51:021,94517,1.6,96718,1.3,184719,2.5,250991,3.00 41,1,2024-09-07 09:15:50:769,675425,675425,0,0,316876569122,3313440731,667378,7279,768,369,391742,0 41,2,2024-09-07 09:15:50:757,487181,487181,0,0,22531744,0,4277 41,3,2024-09-07 09:15:51:676,1,385,0,0,366,4028,385,0 42,0,2024-09-07 09:15:51:477,95157,0.9,95167,1.0,190442,1.0,251865,2.75 42,1,2024-09-07 09:15:51:454,674435,674435,0,0,316221464394,3324473785,663494,9023,1918,380,391675,0 42,2,2024-09-07 09:15:51:133,486444,486444,0,0,22976015,0,3790 42,3,2024-09-07 09:15:51:009,1,385,0,0,446,3193,385,0 43,0,2024-09-07 09:15:50:928,93552,0.8,91064,1.0,190905,0.9,250083,2.50 43,1,2024-09-07 09:15:50:583,676288,676288,0,0,318263083604,3326261197,666687,7871,1730,366,391696,0 43,2,2024-09-07 09:15:51:749,483643,483643,0,0,22512894,0,3812 43,3,2024-09-07 09:15:51:749,1,385,1,0,467,4568,385,0 44,0,2024-09-07 09:15:50:864,98826,0.4,99128,0.6,198297,0.4,263902,2.00 44,1,2024-09-07 09:15:50:577,677605,677605,0,0,317706719427,3288643819,671296,4992,1317,356,391809,0 44,2,2024-09-07 09:15:51:280,485312,485312,0,0,19227659,0,4344 44,3,2024-09-07 09:15:51:104,1,385,8,0,817,4798,385,0 45,0,2024-09-07 09:15:51:760,96306,0.5,94064,0.8,197180,0.5,259557,2.00 45,1,2024-09-07 09:15:51:008,677046,677046,0,0,318225649230,3307716478,671942,4587,517,382,391917,0 45,2,2024-09-07 09:15:51:272,490721,490721,0,0,19743201,0,3596 45,3,2024-09-07 09:15:50:937,1,385,2,0,271,3571,385,0 46,0,2024-09-07 09:15:50:964,95627,0.5,95672,0.7,191502,0.5,254062,2.00 46,1,2024-09-07 09:15:50:580,678479,678479,0,0,318728887782,3294471271,673980,3910,589,366,391709,0 46,2,2024-09-07 09:15:50:603,488329,488329,0,0,19631891,0,4443 46,3,2024-09-07 09:15:51:131,1,385,0,0,908,5173,385,0 47,0,2024-09-07 09:15:51:106,95175,0.5,95468,0.6,191330,0.4,252907,2.00 47,1,2024-09-07 09:15:50:574,679143,679143,0,0,316855626751,3276796645,674592,3736,815,366,391641,0 47,2,2024-09-07 09:15:50:913,485406,485406,0,0,18882301,0,4477 47,3,2024-09-07 09:15:51:120,1,385,1,0,600,4786,385,0 48,0,2024-09-07 09:15:51:514,96812,0.3,96616,0.4,192609,0.2,257425,1.50 48,1,2024-09-07 09:15:51:031,677615,677615,0,0,317957153125,3302557067,673485,3676,454,384,391710,0 48,2,2024-09-07 09:15:50:698,484567,484567,0,0,17563018,0,3031 48,3,2024-09-07 09:15:50:754,1,385,8,0,339,3230,385,0 49,0,2024-09-07 09:15:51:755,102927,0.4,101164,0.5,195838,0.3,268712,1.75 49,1,2024-09-07 09:15:51:024,677109,677109,0,0,318021730960,3310569945,672193,3711,1205,382,391809,0 49,2,2024-09-07 09:15:51:819,490925,490925,0,0,19800396,0,4426 49,3,2024-09-07 09:15:51:420,1,385,19,0,992,4827,385,0 50,0,2024-09-07 09:15:51:522,95626,0.3,94043,0.4,189778,0.2,253364,1.75 50,1,2024-09-07 09:15:51:012,679111,679111,0,0,318747229616,3296776075,674511,4059,541,368,391565,0 50,2,2024-09-07 09:15:51:067,488282,488282,0,0,17727798,0,2263 50,3,2024-09-07 09:15:51:292,1,385,1,0,567,4090,385,0 51,0,2024-09-07 09:15:51:684,96617,0.3,94442,0.5,184254,0.2,250881,1.75 51,1,2024-09-07 09:15:51:682,679152,679152,0,0,319741327150,3297841060,675708,2467,977,365,391706,0 51,2,2024-09-07 09:15:51:316,485177,485177,0,0,17151961,0,3337 51,3,2024-09-07 09:15:51:029,1,385,0,0,678,3050,385,0 52,0,2024-09-07 09:15:51:428,95587,0.5,95508,0.7,191149,0.4,253940,2.00 52,1,2024-09-07 09:15:50:587,676481,676481,0,0,316888838907,3315513594,667245,7922,1314,368,391722,0 52,2,2024-09-07 09:15:51:755,481460,481422,38,0,22283488,0,6742 52,3,2024-09-07 09:15:50:683,1,385,12,0,1782,5631,385,0 53,0,2024-09-07 09:15:51:738,99325,0.6,96564,0.7,202157,0.6,265309,2.25 53,1,2024-09-07 09:15:50:775,675210,675210,0,0,317354145472,3328074277,664455,7962,2793,367,391702,0 53,2,2024-09-07 09:15:51:302,489612,489611,1,0,20274427,0,5455 53,3,2024-09-07 09:15:50:701,1,385,16,0,308,3386,385,0 54,0,2024-09-07 09:15:51:616,93406,0.6,93687,0.8,186645,0.4,249431,2.25 54,1,2024-09-07 09:15:50:587,676985,676985,0,0,318281546578,3303837298,671048,5318,619,366,391659,0 54,2,2024-09-07 09:15:50:869,488586,488554,32,0,23322562,0,6397 54,3,2024-09-07 09:15:50:766,1,385,54,0,676,5891,385,0 55,0,2024-09-07 09:15:51:759,91929,0.6,95085,0.7,191975,0.5,250123,2.50 55,1,2024-09-07 09:15:50:769,677296,677296,0,0,317954807283,3303483934,670544,5843,909,365,391731,0 55,2,2024-09-07 09:15:50:751,485806,485750,56,0,22001663,0,7239 55,3,2024-09-07 09:15:50:679,1,385,4,0,304,4106,385,0 56,0,2024-09-07 09:15:51:588,96530,1.0,91034,1.1,187536,1.4,251325,2.50 56,1,2024-09-07 09:15:50:594,673217,673217,0,0,316059288913,3337632695,662874,8150,2193,381,391867,0 56,2,2024-09-07 09:15:51:326,483795,483795,0,0,21817591,0,3567 56,3,2024-09-07 09:15:51:072,1,385,40,0,705,4850,385,0 57,0,2024-09-07 09:15:50:961,98325,1.5,98166,1.2,196695,2.1,263522,3.00 57,1,2024-09-07 09:15:50:989,675452,675452,0,0,316539142537,3318526040,667445,6993,1014,366,392032,0 57,2,2024-09-07 09:15:51:323,487586,487586,0,0,24083812,0,3317 57,3,2024-09-07 09:15:51:748,1,385,5,0,359,4239,385,0 58,0,2024-09-07 09:15:50:578,95030,0.9,92291,1.0,193161,1.1,253022,2.50 58,1,2024-09-07 09:15:50:583,676150,676147,0,3,317344833863,3318672734,666859,8031,1257,367,391603,3 58,2,2024-09-07 09:15:51:072,488554,488554,0,0,22633056,0,2902 58,3,2024-09-07 09:15:51:071,1,385,1,0,1043,4243,385,0 59,0,2024-09-07 09:15:51:763,95984,0.8,95654,0.9,190925,0.8,253685,2.50 59,1,2024-09-07 09:15:50:815,675575,675575,0,0,317591376116,3325834412,666495,7490,1590,369,391653,0 59,2,2024-09-07 09:15:50:586,488054,488054,0,0,22002199,0,2867 59,3,2024-09-07 09:15:51:737,1,385,51,0,1015,4933,385,0 60,0,2024-09-07 09:15:51:731,94856,0.5,94800,0.7,190317,0.5,252438,1.75 60,1,2024-09-07 09:15:50:774,677698,677698,0,0,317554938570,3297517456,673151,3898,649,370,392031,0 60,2,2024-09-07 09:15:51:141,484020,484020,0,0,20944709,0,3811 60,3,2024-09-07 09:15:51:258,1,385,13,0,409,4566,385,0 61,0,2024-09-07 09:15:51:520,97384,0.7,98116,0.8,195275,0.7,260628,2.00 61,1,2024-09-07 09:15:50:775,676141,676141,0,0,316857524126,3322248906,668299,6446,1396,382,392127,0 61,2,2024-09-07 09:15:51:117,484966,484899,67,0,21447120,0,6411 61,3,2024-09-07 09:15:51:690,1,385,1,0,479,5423,385,0 62,0,2024-09-07 09:15:51:724,100000,0.6,102329,0.7,195274,0.6,265037,2.00 62,1,2024-09-07 09:15:51:113,680638,680632,0,6,319077585287,3292997120,676829,3543,260,365,391715,6 62,2,2024-09-07 09:15:51:653,489118,489117,1,0,21749793,0,5555 62,3,2024-09-07 09:15:51:142,1,385,1,0,482,3292,385,0 63,0,2024-09-07 09:15:51:460,96259,0.4,96069,0.6,192565,0.3,255878,1.75 63,1,2024-09-07 09:15:50:806,677989,677983,0,6,317454341479,3296268989,673708,3863,412,381,391800,6 63,2,2024-09-07 09:15:50:765,488471,488471,0,0,19631703,0,4369 63,3,2024-09-07 09:15:51:735,1,385,1,0,667,4112,385,0 64,0,2024-09-07 09:15:51:514,93686,0.5,93663,0.7,187307,0.5,249001,2.00 64,1,2024-09-07 09:15:50:767,677221,677221,0,0,317210340908,3306766276,670971,4567,1683,370,391783,0 64,2,2024-09-07 09:15:51:154,487276,487257,19,0,18880525,0,6121 64,3,2024-09-07 09:15:51:140,1,385,8,0,265,3496,385,0 65,0,2024-09-07 09:15:51:672,94877,0.7,95233,0.8,190203,0.8,253915,2.25 65,1,2024-09-07 09:15:50:862,675747,675747,0,0,317532061188,3320035056,670746,4461,540,382,391901,0 65,2,2024-09-07 09:15:51:694,485491,485491,0,0,21844818,0,3367 65,3,2024-09-07 09:15:51:692,1,385,1,0,347,3942,385,0 66,0,2024-09-07 09:15:51:766,99902,0.5,99919,0.7,199507,0.4,265729,2.00 66,1,2024-09-07 09:15:51:299,677475,677475,0,0,317174544181,3302738726,672864,4228,383,380,391653,0 66,2,2024-09-07 09:15:51:132,491669,491669,0,0,19316255,0,4956 66,3,2024-09-07 09:15:51:081,1,385,0,0,291,3526,385,0 67,0,2024-09-07 09:15:51:428,94649,0.5,94337,0.7,189295,0.4,251975,2.00 67,1,2024-09-07 09:15:50:769,677732,677731,0,1,316952056860,3300723318,673117,3829,785,380,391787,1 67,2,2024-09-07 09:15:50:586,489715,489715,0,0,18636395,0,3622 67,3,2024-09-07 09:15:51:757,1,385,1,0,392,3725,385,0 68,0,2024-09-07 09:15:50:648,94912,0.6,94536,0.7,188643,0.5,251835,2.00 68,1,2024-09-07 09:15:50:581,674736,674736,0,0,316866034627,3325533411,667244,5267,2225,381,391953,0 68,2,2024-09-07 09:15:51:045,483961,483861,100,0,24833644,0,8578 68,3,2024-09-07 09:15:50:735,1,385,17,0,417,4077,385,0 69,0,2024-09-07 09:15:51:724,94758,0.7,95346,0.8,189859,0.8,252252,2.25 69,1,2024-09-07 09:15:51:023,674312,674312,0,0,316941070642,3341796527,665210,6913,2189,384,391994,0 69,2,2024-09-07 09:15:51:737,483620,483591,29,0,25248723,0,6912 69,3,2024-09-07 09:15:50:768,1,385,4,0,698,5312,385,0 70,0,2024-09-07 09:15:51:622,99164,0.9,99290,1.0,199520,0.8,264777,2.50 70,1,2024-09-07 09:15:50:824,677647,677647,0,0,317948094247,3299122718,672212,4777,658,366,391725,0 70,2,2024-09-07 09:15:51:345,487989,487989,0,0,21654024,0,4044 70,3,2024-09-07 09:15:50:755,1,385,1,0,854,4385,385,0 71,0,2024-09-07 09:15:51:373,94256,0.9,93994,1.0,188628,1.1,252552,2.75 71,1,2024-09-07 09:15:51:603,676797,676797,0,0,317777618755,3314634157,669258,6791,748,368,391738,0 71,2,2024-09-07 09:15:51:067,488658,488658,0,0,22176530,0,4352 71,3,2024-09-07 09:15:51:758,1,385,30,0,644,5147,385,0 72,0,2024-09-07 09:15:51:048,98985,0.5,96779,0.7,188671,0.5,256288,2.00 72,1,2024-09-07 09:15:51:023,675569,675569,0,0,316920925349,3324343376,665550,8053,1966,369,391819,0 72,2,2024-09-07 09:15:51:758,486852,486852,0,0,24231818,0,3983 72,3,2024-09-07 09:15:51:758,1,385,10,0,564,5846,385,0 73,0,2024-09-07 09:15:51:112,92352,0.4,94624,0.6,193609,0.4,251384,2.00 73,1,2024-09-07 09:15:50:771,676951,676951,0,0,317170247677,3295119892,672264,4323,364,367,391858,0 73,2,2024-09-07 09:15:51:750,483521,483521,0,0,23479659,0,3701 73,3,2024-09-07 09:15:50:969,1,385,1,0,486,5415,385,0 74,0,2024-09-07 09:15:51:324,99540,0.4,101701,0.6,193983,0.4,263872,2.00 74,1,2024-09-07 09:15:50:638,676545,676545,0,0,317196410710,3311519594,669562,5614,1369,381,391681,0 74,2,2024-09-07 09:15:51:008,485250,485250,0,0,21957552,0,4253 74,3,2024-09-07 09:15:51:451,1,385,0,0,522,5350,385,0 75,0,2024-09-07 09:15:51:767,97897,0.5,97267,0.8,195390,0.5,260621,2.25 75,1,2024-09-07 09:15:51:593,676182,676182,0,0,317435836662,3313160296,669497,5859,826,380,391739,0 75,2,2024-09-07 09:15:51:362,489225,489225,0,0,23667563,0,4766 75,3,2024-09-07 09:15:51:071,1,385,24,0,702,5161,385,0 76,0,2024-09-07 09:15:50:591,95662,0.6,95145,0.7,190990,0.5,254837,2.25 76,1,2024-09-07 09:15:50:824,676685,676685,0,0,317069409553,3308701283,671935,4053,697,382,391692,0 76,2,2024-09-07 09:15:51:063,489902,489901,1,0,21568070,0,5144 76,3,2024-09-07 09:15:51:142,1,385,12,0,175,3577,385,0 77,0,2024-09-07 09:15:51:697,94465,0.6,94828,0.7,189424,0.6,251859,2.00 77,1,2024-09-07 09:15:50:846,676563,676563,0,0,317507355590,3316588283,670976,5089,498,381,391869,0 77,2,2024-09-07 09:15:51:291,483920,483920,0,0,20896536,0,3890 77,3,2024-09-07 09:15:51:118,1,385,31,0,401,4171,385,0 78,0,2024-09-07 09:15:51:767,96505,0.5,96098,0.7,192956,0.4,256133,2.00 78,1,2024-09-07 09:15:50:621,677266,677266,0,0,317244373920,3307041887,669908,5792,1566,367,391670,0 78,2,2024-09-07 09:15:51:420,485461,485448,13,0,19790292,0,8313 78,3,2024-09-07 09:15:51:133,1,385,1,0,181,3574,385,0 79,0,2024-09-07 09:15:51:345,96441,0.4,98869,0.6,202149,0.4,263547,2.25 79,1,2024-09-07 09:15:50:579,678648,678648,0,0,317959156216,3297429800,672754,4745,1149,368,391682,0 79,2,2024-09-07 09:15:51:069,491590,491590,0,0,19540758,0,4195 79,3,2024-09-07 09:15:50:768,1,385,6,0,418,4810,385,0 80,0,2024-09-07 09:15:51:074,94997,0.5,97818,0.6,187187,0.5,252757,2.00 80,1,2024-09-07 09:15:51:637,676701,676701,0,0,317845144655,3308933810,672059,4320,322,368,391791,0 80,2,2024-09-07 09:15:51:097,490076,490076,0,0,19060234,0,4433 80,3,2024-09-07 09:15:50:581,1,385,9,0,190,4588,385,0 81,0,2024-09-07 09:15:51:546,94202,0.5,96417,0.7,183989,0.5,249643,2.00 81,1,2024-09-07 09:15:51:654,676148,676148,0,0,316435313745,3308874895,670419,5224,505,382,391879,0 81,2,2024-09-07 09:15:51:126,483886,483823,63,0,20900018,0,5932 81,3,2024-09-07 09:15:51:118,1,385,1,0,719,4879,385,0 82,0,2024-09-07 09:15:51:546,94905,0.5,95400,0.7,191063,0.4,253420,2.00 82,1,2024-09-07 09:15:50:584,678122,678118,0,4,317828515193,3306879229,673903,3416,799,381,391768,4 82,2,2024-09-07 09:15:51:691,485937,485937,0,0,17847635,0,3986 82,3,2024-09-07 09:15:51:758,1,385,1,0,363,3747,385,0 83,0,2024-09-07 09:15:51:538,100139,0.6,99989,0.7,199588,0.6,265524,2.25 83,1,2024-09-07 09:15:50:556,675649,675649,0,0,317540570634,3311769237,670606,4619,424,382,391709,0 83,2,2024-09-07 09:15:50:769,489658,489658,0,0,18695403,0,3393 83,3,2024-09-07 09:15:50:756,1,385,1,0,1260,5092,385,0 84,0,2024-09-07 09:15:51:772,94215,0.7,94179,0.8,187898,0.6,251942,2.25 84,1,2024-09-07 09:15:51:071,675641,675641,0,0,316891177125,3311483445,668548,6060,1033,367,391967,0 84,2,2024-09-07 09:15:50:578,487558,487528,30,0,24981015,0,5971 84,3,2024-09-07 09:15:51:142,1,385,84,0,908,5786,385,0 85,0,2024-09-07 09:15:51:072,91663,0.6,91688,0.8,194574,0.6,251379,2.25 85,1,2024-09-07 09:15:50:571,674440,674440,0,0,317078507768,3345810056,664441,8179,1820,381,392006,0 85,2,2024-09-07 09:15:50:874,486091,486091,0,0,22846179,0,3656 85,3,2024-09-07 09:15:50:696,1,385,1,0,789,4999,385,0 86,0,2024-09-07 09:15:50:938,94275,0.6,96993,0.8,185651,0.7,250924,2.25 86,1,2024-09-07 09:15:50:872,675531,675531,0,0,317955872594,3325676937,668120,6321,1090,366,391961,0 86,2,2024-09-07 09:15:50:891,482338,482337,1,0,24795352,0,5004 86,3,2024-09-07 09:15:50:590,1,385,1,0,308,5804,385,0 87,0,2024-09-07 09:15:51:296,99353,0.9,99233,0.9,198795,1.1,265614,2.25 87,1,2024-09-07 09:15:50:557,675127,675127,0,0,316679605361,3314654259,667200,6772,1155,366,392076,0 87,2,2024-09-07 09:15:51:066,485634,485628,6,0,22498823,0,6323 87,3,2024-09-07 09:15:51:807,1,385,1,0,473,5967,385,0 88,0,2024-09-07 09:15:51:452,96410,0.4,97122,0.6,193316,0.4,257176,1.75 88,1,2024-09-07 09:15:50:571,673953,673953,0,0,316958532362,3320179320,665318,6754,1881,365,392084,0 88,2,2024-09-07 09:15:50:688,489784,489784,0,0,24258283,0,3583 88,3,2024-09-07 09:15:51:280,1,385,11,0,435,4042,385,0 89,0,2024-09-07 09:15:51:802,99071,0.4,95983,0.6,189798,0.4,257401,1.75 89,1,2024-09-07 09:15:50:562,674391,674391,0,0,316156431172,3323523820,666158,6873,1360,382,391866,0 89,2,2024-09-07 09:15:51:138,486604,486604,0,0,23223763,0,3173 89,3,2024-09-07 09:15:51:801,1,385,3,0,385,6812,385,0 90,0,2024-09-07 09:15:51:644,92085,0.5,94577,0.6,192943,0.4,251482,2.00 90,1,2024-09-07 09:15:50:602,675933,675933,0,0,316718434657,3317143028,669509,5915,509,380,391825,0 90,2,2024-09-07 09:15:51:425,481999,481999,0,0,24253311,0,3060 90,3,2024-09-07 09:15:50:931,1,385,1,0,322,4946,385,0 91,0,2024-09-07 09:15:50:951,98079,0.5,95061,0.6,198701,0.4,261069,1.75 91,1,2024-09-07 09:15:50:561,674838,674838,0,0,316605923568,3328738822,666396,7254,1188,381,392047,0 91,2,2024-09-07 09:15:51:331,485841,485841,0,0,21638547,0,2896 91,3,2024-09-07 09:15:50:603,1,385,2,0,216,3641,385,0 92,0,2024-09-07 09:15:51:492,100229,0.5,102621,0.6,196230,0.5,265378,1.75 92,1,2024-09-07 09:15:50:611,675851,675851,0,0,317659283528,3319570068,670481,4649,721,382,392136,0 92,2,2024-09-07 09:15:51:377,491617,491617,0,0,19889540,0,3259 92,3,2024-09-07 09:15:51:038,1,385,15,0,167,3482,385,0 93,0,2024-09-07 09:15:50:994,96579,0.4,98969,0.5,188901,0.3,255931,1.75 93,1,2024-09-07 09:15:50:862,675375,675375,0,0,316996128081,3313716839,667639,6391,1345,366,391776,0 93,2,2024-09-07 09:15:50:932,487848,487848,0,0,23666891,0,4845 93,3,2024-09-07 09:15:51:428,1,385,11,0,190,3597,385,0 94,0,2024-09-07 09:15:51:652,93783,0.4,94618,0.5,189062,0.3,250685,1.75 94,1,2024-09-07 09:15:50:572,676387,676387,0,0,317398462858,3311790738,672000,4193,194,381,391850,0 94,2,2024-09-07 09:15:50:766,483838,483838,0,0,19903253,0,2443 94,3,2024-09-07 09:15:51:690,1,385,1,0,264,4660,385,0 95,0,2024-09-07 09:15:51:346,95718,0.4,95689,0.5,191985,0.3,256102,1.75 95,1,2024-09-07 09:15:50:862,677887,677887,0,0,317425680574,3301832118,672352,5124,411,367,391713,0 95,2,2024-09-07 09:15:51:016,485076,485076,0,0,19555739,0,3308 95,3,2024-09-07 09:15:51:736,1,385,10,0,718,5723,385,0 96,0,2024-09-07 09:15:51:043,100356,0.3,100631,0.5,200829,0.3,266563,1.75 96,1,2024-09-07 09:15:51:586,675696,675696,0,0,316904077263,3308043934,670469,4351,876,384,391955,0 96,2,2024-09-07 09:15:51:284,490717,490717,0,0,19907463,0,4042 96,3,2024-09-07 09:15:51:152,1,385,15,0,411,4152,385,0 97,0,2024-09-07 09:15:51:330,94604,0.3,94338,0.5,189271,0.3,251742,1.50 97,1,2024-09-07 09:15:50:775,677391,677391,0,0,318286770684,3301383362,672919,3775,697,367,392140,0 97,2,2024-09-07 09:15:50:612,489145,489145,0,0,19549558,0,3679 97,3,2024-09-07 09:15:50:591,1,385,4,0,214,4308,385,0 98,0,2024-09-07 09:15:51:708,94420,0.3,94431,0.4,189567,0.2,251711,1.50 98,1,2024-09-07 09:15:50:578,676450,676450,0,0,317927306100,3311184117,672371,3432,647,382,391997,0 98,2,2024-09-07 09:15:50:775,486263,486263,0,0,19331572,0,3243 98,3,2024-09-07 09:15:50:698,1,385,13,0,840,5739,385,0 99,0,2024-09-07 09:15:51:453,95222,0.3,95896,0.5,190530,0.3,254333,1.75 99,1,2024-09-07 09:15:51:747,676867,676867,0,0,317751847565,3309771189,672037,3997,833,381,392069,0 99,2,2024-09-07 09:15:51:417,485122,485122,0,0,22498031,0,3424 99,3,2024-09-07 09:15:50:591,1,385,28,0,606,4341,385,0 100,0,2024-09-07 09:15:51:489,99664,0.7,99978,0.9,199173,0.8,266442,2.25 100,1,2024-09-07 09:15:50:582,673588,673588,0,0,315611449222,3335052284,663438,8207,1943,381,391989,0 100,2,2024-09-07 09:15:51:826,486865,486854,11,0,22622276,0,5417 100,3,2024-09-07 09:15:51:734,1,385,12,0,559,6550,385,0 101,0,2024-09-07 09:15:51:750,97145,1.1,94558,1.0,185740,0.9,254326,2.25 101,1,2024-09-07 09:15:50:577,673862,673862,0,0,316428771269,3329895943,663762,7982,2118,368,391847,0 101,2,2024-09-07 09:15:51:768,485763,485763,0,0,27196204,0,4871 101,3,2024-09-07 09:15:50:951,1,385,363,0,579,5441,385,0 102,0,2024-09-07 09:15:50:973,93363,0.6,96375,0.8,195100,0.6,255043,2.00 102,1,2024-09-07 09:15:51:142,674413,674413,0,0,316257714318,3323592864,665393,7409,1611,369,391891,0 102,2,2024-09-07 09:15:51:768,487455,487401,54,0,21700720,0,6768 102,3,2024-09-07 09:15:51:614,1,385,2,0,410,3864,385,0 103,0,2024-09-07 09:15:51:625,97810,0.6,97719,0.7,184291,0.6,253158,2.00 103,1,2024-09-07 09:15:51:653,673556,673556,0,0,316410074158,3337338033,663297,7668,2591,381,391829,0 103,2,2024-09-07 09:15:50:593,482085,482085,0,0,23442757,0,3766 103,3,2024-09-07 09:15:50:765,1,385,1,0,916,4399,385,0 104,0,2024-09-07 09:15:51:020,97962,0.7,98451,0.9,195314,0.7,263084,2.25 104,1,2024-09-07 09:15:51:625,675365,675365,0,0,315685798916,3317547885,665635,7955,1775,365,392168,0 104,2,2024-09-07 09:15:51:685,484681,484681,0,0,23652833,0,3941 104,3,2024-09-07 09:15:51:444,1,385,16,0,1245,7915,385,0 105,0,2024-09-07 09:15:51:077,96402,0.9,93839,1.1,196667,1.1,258844,2.75 105,1,2024-09-07 09:15:50:564,676334,676334,0,0,317170673709,3324207763,667617,7369,1348,366,392009,0 105,2,2024-09-07 09:15:51:337,489390,489390,0,0,22921698,0,3509 105,3,2024-09-07 09:15:51:311,1,385,1,0,399,5816,385,0 106,0,2024-09-07 09:15:50:982,92855,0.7,95085,0.9,194842,0.8,254711,2.50 106,1,2024-09-07 09:15:51:750,674771,674771,0,0,316724593983,3329657154,664008,9320,1443,369,391914,0 106,2,2024-09-07 09:15:50:759,487239,487239,0,0,22493985,0,2795 106,3,2024-09-07 09:15:50:735,1,385,3,0,470,4782,385,0 107,0,2024-09-07 09:15:51:117,94676,1.0,94851,0.9,189448,1.3,252734,2.25 107,1,2024-09-07 09:15:50:606,674075,674075,0,0,316081402550,3329037653,665341,7762,972,381,392234,0 107,2,2024-09-07 09:15:51:297,482119,482118,1,0,23113700,0,5024 107,3,2024-09-07 09:15:51:755,1,385,1,0,353,5442,385,0 108,0,2024-09-07 09:15:51:798,96079,0.5,96821,0.6,192373,0.5,257322,1.75 108,1,2024-09-07 09:15:51:309,676469,676469,0,0,317946950823,3316725233,670750,4981,738,368,391857,0 108,2,2024-09-07 09:15:51:765,483974,483974,0,0,22326849,0,4246 108,3,2024-09-07 09:15:51:338,1,385,16,0,749,7476,385,0 109,0,2024-09-07 09:15:51:896,100911,0.4,100032,0.6,200076,0.4,267857,1.75 109,1,2024-09-07 09:15:50:583,673987,673987,0,0,316959744452,3326544832,667652,5261,1074,383,392132,0 109,2,2024-09-07 09:15:50:935,488468,488468,0,0,22106258,0,3617 109,3,2024-09-07 09:15:51:140,1,385,1,0,379,4540,385,0 110,0,2024-09-07 09:15:51:746,94940,0.4,92409,0.6,193695,0.3,253638,1.75 110,1,2024-09-07 09:15:51:654,677661,677661,0,0,317405366870,3296242738,672630,3856,1175,369,392045,0 110,2,2024-09-07 09:15:51:306,488716,488716,0,0,21146875,0,4067 110,3,2024-09-07 09:15:50:694,1,385,6,0,722,5684,385,0 111,0,2024-09-07 09:15:51:445,94582,0.4,93718,0.6,188132,0.3,251005,1.75 111,1,2024-09-07 09:15:51:005,678022,678022,0,0,318129014530,3300381190,674428,3241,353,380,391690,0 111,2,2024-09-07 09:15:51:121,484111,484111,0,0,20431714,0,4823 111,3,2024-09-07 09:15:50:913,1,385,1,0,379,4492,385,0 112,0,2024-09-07 09:15:50:912,96070,0.3,95476,0.4,191364,0.2,254605,1.50 112,1,2024-09-07 09:15:50:840,677770,677770,0,0,318038909509,3301655903,673401,3757,612,380,391624,0 112,2,2024-09-07 09:15:51:134,485059,485058,1,0,18915624,0,5036 112,3,2024-09-07 09:15:50:598,1,385,0,0,282,3894,385,0 113,0,2024-09-07 09:15:50:881,99914,0.3,100072,0.5,200318,0.2,266950,1.50 113,1,2024-09-07 09:15:51:697,680244,680244,0,0,320095382169,3303305424,676522,3138,584,366,391661,0 113,2,2024-09-07 09:15:51:306,490691,490691,0,0,18087506,0,3813 113,3,2024-09-07 09:15:50:684,1,385,40,0,340,4523,385,0 114,0,2024-09-07 09:15:50:885,95129,0.3,95872,0.5,190362,0.2,254569,1.75 114,1,2024-09-07 09:15:50:715,677256,677256,0,0,317746523937,3302590437,671358,4284,1614,381,391565,0 114,2,2024-09-07 09:15:50:878,489015,489014,1,0,19399849,0,5069 114,3,2024-09-07 09:15:51:281,1,385,135,0,395,3342,385,0 115,0,2024-09-07 09:15:50:568,95165,0.3,95736,0.4,191095,0.2,253867,1.50 115,1,2024-09-07 09:15:50:575,677399,677399,0,0,319187288772,3317300161,671616,4852,931,382,391757,0 115,2,2024-09-07 09:15:51:126,488698,488698,0,0,18361648,0,3848 115,3,2024-09-07 09:15:51:005,1,385,0,0,159,2282,385,0 116,0,2024-09-07 09:15:51:764,94142,0.7,94011,0.9,188553,0.7,252295,2.00 116,1,2024-09-07 09:15:50:817,674146,674146,0,0,316936861286,3339248356,665937,5891,2318,380,392089,0 116,2,2024-09-07 09:15:51:785,483147,483147,0,0,23834343,0,4128 116,3,2024-09-07 09:15:50:914,1,385,8,0,415,4605,385,0 117,0,2024-09-07 09:15:50:978,99680,0.8,99163,0.8,198731,0.8,265989,2.00 117,1,2024-09-07 09:15:51:578,674848,674848,0,0,316419046856,3312641035,667302,6640,906,369,392033,0 117,2,2024-09-07 09:15:51:119,489512,489512,0,0,19724753,0,3700 117,3,2024-09-07 09:15:51:058,1,385,256,0,490,5953,385,0 118,0,2024-09-07 09:15:51:826,93722,0.5,96088,0.7,196356,0.5,256207,2.00 118,1,2024-09-07 09:15:50:601,674366,674366,0,0,317010648386,3334164734,663644,8061,2661,366,391907,0 118,2,2024-09-07 09:15:51:588,488494,488494,0,0,22521633,0,2842 118,3,2024-09-07 09:15:51:764,1,385,1,0,248,4503,385,0 119,0,2024-09-07 09:15:51:332,95937,0.6,96422,0.7,192655,0.5,255830,2.00 119,1,2024-09-07 09:15:50:567,675420,675420,0,0,316909639034,3314254373,668159,6416,845,367,391780,0 119,2,2024-09-07 09:15:51:264,488238,488238,0,0,20902329,0,4174 119,3,2024-09-07 09:15:51:336,1,385,88,0,1058,6928,385,0 120,0,2024-09-07 09:15:51:616,94322,0.6,94237,0.8,188610,0.6,252007,2.25 120,1,2024-09-07 09:15:50:867,675499,675499,0,0,317027206015,3326914977,668281,6572,646,368,391961,0 120,2,2024-09-07 09:15:50:778,483028,483027,1,0,23958385,0,5281 120,3,2024-09-07 09:15:51:293,1,385,9,0,279,4911,385,0 121,0,2024-09-07 09:15:51:712,97593,1.1,97539,1.0,195479,1.5,260572,2.25 121,1,2024-09-07 09:15:51:671,676109,676109,0,0,317717656860,3322745568,669803,5860,446,367,391840,0 121,2,2024-09-07 09:15:51:133,484183,484183,0,0,23057112,0,4127 121,3,2024-09-07 09:15:50:733,1,385,91,0,269,4483,385,0 122,0,2024-09-07 09:15:51:766,98876,0.8,96518,0.9,202590,0.9,265160,2.00 122,1,2024-09-07 09:15:50:873,674608,674608,0,0,316608005341,3321061614,665534,7789,1285,366,392130,0 122,2,2024-09-07 09:15:51:323,490399,490326,73,0,26007302,0,5989 122,3,2024-09-07 09:15:50:602,1,385,1,0,512,7337,385,0 123,0,2024-09-07 09:15:50:949,95452,0.6,93328,0.7,195056,0.6,255422,2.00 123,1,2024-09-07 09:15:50:569,674774,674774,0,0,316262509241,3332089585,662566,10004,2204,369,392039,0 123,2,2024-09-07 09:15:51:022,486647,486646,1,0,22342354,0,5215 123,3,2024-09-07 09:15:51:132,1,385,1,0,168,4135,385,0 124,0,2024-09-07 09:15:50:949,97058,0.3,97005,0.5,182953,0.3,251385,1.75 124,1,2024-09-07 09:15:51:035,677197,677197,0,0,317807755387,3306590660,672008,4141,1048,367,392178,0 124,2,2024-09-07 09:15:51:025,485009,484956,53,0,20270645,0,6487 124,3,2024-09-07 09:15:50:769,1,385,12,0,490,3828,385,0 125,0,2024-09-07 09:15:51:485,96060,0.4,95659,0.6,192279,0.4,256424,1.75 125,1,2024-09-07 09:15:50:861,676021,676021,0,0,317283832444,3314873318,670432,4923,666,382,391702,0 125,2,2024-09-07 09:15:51:121,485718,485718,0,0,20799960,0,4534 125,3,2024-09-07 09:15:51:126,1,385,47,0,709,5084,385,0 126,0,2024-09-07 09:15:51:437,100073,0.4,103109,0.6,197381,0.4,267467,1.75 126,1,2024-09-07 09:15:50:556,677813,677813,0,0,318093563453,3296847267,673895,3629,289,365,391987,0 126,2,2024-09-07 09:15:50:619,490807,490807,0,0,21504606,0,4539 126,3,2024-09-07 09:15:50:913,1,385,1,0,207,4859,385,0 127,0,2024-09-07 09:15:51:640,94498,0.3,94972,0.5,189384,0.3,251857,1.75 127,1,2024-09-07 09:15:50:578,676971,676971,0,0,317960496596,3308915152,670142,5723,1106,364,392187,0 127,2,2024-09-07 09:15:50:643,487694,487694,0,0,19758395,0,3897 127,3,2024-09-07 09:15:51:276,1,385,5,0,968,4434,385,0 128,0,2024-09-07 09:15:51:544,94890,0.3,95186,0.4,189961,0.2,252001,1.50 128,1,2024-09-07 09:15:51:604,676935,676935,0,0,317355681026,3295998400,672261,4244,430,367,391838,0 128,2,2024-09-07 09:15:51:407,486760,486760,0,0,18544882,0,2915 128,3,2024-09-07 09:15:50:780,1,385,8,0,1082,6285,385,0 129,0,2024-09-07 09:15:51:056,95974,0.3,95403,0.5,191242,0.3,254521,1.75 129,1,2024-09-07 09:15:50:577,674147,674147,0,0,316855206900,3322368282,667118,5383,1646,379,391835,0 129,2,2024-09-07 09:15:50:689,484922,484922,0,0,19623252,0,4031 129,3,2024-09-07 09:15:50:688,1,385,1,0,506,5215,385,0 130,0,2024-09-07 09:15:51:744,100561,0.5,100187,0.6,201648,0.5,267879,1.75 130,1,2024-09-07 09:15:50:596,677593,677593,0,0,317636675907,3302574778,673804,3452,337,381,391825,0 130,2,2024-09-07 09:15:51:125,489541,489541,0,0,20171036,0,4067 130,3,2024-09-07 09:15:51:306,1,385,153,0,535,5448,385,0 131,0,2024-09-07 09:15:51:928,95070,0.3,95595,0.5,192287,0.3,254414,1.75 131,1,2024-09-07 09:15:51:843,676524,676524,0,0,317956097931,3322491313,670786,4691,1047,381,391865,0 131,2,2024-09-07 09:15:50:572,491111,491111,0,0,18201655,0,2509 131,3,2024-09-07 09:15:51:692,1,385,1,0,392,3946,385,0 132,0,2024-09-07 09:15:51:432,95904,0.4,96807,0.6,192571,0.4,255813,1.75 132,1,2024-09-07 09:15:50:583,674235,674235,0,0,316354454668,3327268054,665090,7614,1531,381,392097,0 132,2,2024-09-07 09:15:50:698,487167,487150,17,0,24554647,0,6451 132,3,2024-09-07 09:15:51:692,1,385,8,0,804,6886,385,0 133,0,2024-09-07 09:15:51:528,92322,0.4,94478,0.6,193884,0.4,251855,1.75 133,1,2024-09-07 09:15:50:592,673615,673615,0,0,316518276670,3335248108,664090,8148,1377,383,391914,0 133,2,2024-09-07 09:15:51:089,482897,482847,50,0,25169859,0,6861 133,3,2024-09-07 09:15:51:301,1,385,2,0,479,3966,385,0 134,0,2024-09-07 09:15:50:966,99172,0.5,98799,0.7,197646,0.4,264467,2.00 134,1,2024-09-07 09:15:50:595,675052,675052,0,0,316129726435,3317422553,665859,7187,2006,366,391718,0 134,2,2024-09-07 09:15:51:760,485120,485096,24,0,22787567,0,6207 134,3,2024-09-07 09:15:50:756,1,385,25,0,739,4850,385,0 135,0,2024-09-07 09:15:51:108,94644,0.9,94626,0.9,200581,1.0,258363,2.25 135,1,2024-09-07 09:15:51:590,675193,675193,0,0,316913801336,3333931616,666116,7798,1279,380,391805,0 135,2,2024-09-07 09:15:50:687,490727,490727,0,0,22477491,0,3981 135,3,2024-09-07 09:15:51:005,1,385,9,0,299,3285,385,0 136,0,2024-09-07 09:15:51:653,96611,0.5,96745,0.7,192486,0.5,256691,2.00 136,1,2024-09-07 09:15:51:446,675102,675102,0,0,316909553497,3324463371,667419,6843,840,382,391685,0 136,2,2024-09-07 09:15:51:137,489431,489431,0,0,22083504,0,3506 136,3,2024-09-07 09:15:51:112,1,385,9,0,637,4220,385,0 137,0,2024-09-07 09:15:50:957,97819,0.6,95118,0.7,186925,0.6,253943,2.00 137,1,2024-09-07 09:15:50:582,674380,674380,0,0,316436377226,3324016016,663286,8747,2347,366,391898,0 137,2,2024-09-07 09:15:51:721,482417,482417,0,0,24142536,0,3185 137,3,2024-09-07 09:15:50:779,1,385,1,0,484,4848,385,0 138,0,2024-09-07 09:15:51:746,95971,1.1,95945,1.0,192635,1.6,256196,2.25 138,1,2024-09-07 09:15:51:685,675534,675534,0,0,316683739674,3324607459,665730,8085,1719,368,391954,0 138,2,2024-09-07 09:15:50:602,484856,484856,0,0,21998865,0,4988 138,3,2024-09-07 09:15:50:610,1,385,1,0,1160,5122,385,0 139,0,2024-09-07 09:15:51:414,99425,1.7,99411,1.2,199190,2.4,266169,2.50 139,1,2024-09-07 09:15:50:582,672307,672307,0,0,315143017447,3351793636,658621,10417,3269,381,392058,0 139,2,2024-09-07 09:15:50:698,486848,486848,0,0,25105267,0,3097 139,3,2024-09-07 09:15:51:665,1,385,1,0,432,4660,385,0 140,0,2024-09-07 09:15:51:593,95484,0.3,94917,0.5,190544,0.2,253870,1.75 140,1,2024-09-07 09:15:51:537,678647,678647,0,0,318812504497,3289232308,675120,3087,440,365,391606,0 140,2,2024-09-07 09:15:50:687,489001,489000,1,0,19949026,0,5036 140,3,2024-09-07 09:15:50:768,1,385,7,0,297,3362,385,0 141,0,2024-09-07 09:15:51:700,94404,0.3,96867,0.5,185038,0.3,250900,1.75 141,1,2024-09-07 09:15:50:867,677390,677390,0,0,318454454852,3308793092,672183,4253,954,379,391614,0 141,2,2024-09-07 09:15:51:691,485326,485326,0,0,19373588,0,3360 141,3,2024-09-07 09:15:51:045,1,385,118,0,391,4504,385,0 142,0,2024-09-07 09:15:51:334,96093,0.3,95514,0.5,190839,0.3,255192,1.75 142,1,2024-09-07 09:15:50:601,676401,676401,0,0,317460182567,3310223739,671946,4062,393,382,392102,0 142,2,2024-09-07 09:15:51:309,484067,484035,32,0,21133362,0,6028 142,3,2024-09-07 09:15:51:747,1,385,1,0,484,4445,385,0 143,0,2024-09-07 09:15:51:386,100200,0.4,100095,0.6,200703,0.4,266971,1.75 143,1,2024-09-07 09:15:50:568,677767,677767,0,0,317985215137,3302718812,673016,4238,513,367,391705,0 143,2,2024-09-07 09:15:50:769,489773,489773,0,0,20546627,0,3123 143,3,2024-09-07 09:15:51:142,1,385,10,0,462,5090,385,0 144,0,2024-09-07 09:15:51:501,91877,0.6,94358,0.8,192446,0.5,252329,2.00 144,1,2024-09-07 09:15:50:567,674000,674000,0,0,316069088102,3317146660,667383,5274,1343,381,391649,0 144,2,2024-09-07 09:15:51:755,489273,489273,0,0,19166999,0,3673 144,3,2024-09-07 09:15:51:748,1,385,8,0,249,3890,385,0 145,0,2024-09-07 09:15:51:372,92030,0.5,92040,0.8,195187,0.5,251034,2.25 145,1,2024-09-07 09:15:50:557,674394,674394,0,0,316216099761,3322736362,666405,6845,1144,382,391759,0 145,2,2024-09-07 09:15:51:438,485315,485234,81,0,23324475,0,7814 145,3,2024-09-07 09:15:50:899,1,385,1,0,622,5722,385,0 146,0,2024-09-07 09:15:51:620,94518,0.5,93888,0.7,189204,0.5,250787,2.00 146,1,2024-09-07 09:15:51:586,675710,675710,0,0,316764304816,3329152017,665073,8520,2117,367,391770,0 146,2,2024-09-07 09:15:51:695,482731,482731,0,0,22012994,0,2730 146,3,2024-09-07 09:15:51:279,1,385,15,0,1520,7781,385,0 147,0,2024-09-07 09:15:51:707,99676,0.6,99361,0.7,198117,0.5,265449,2.00 147,1,2024-09-07 09:15:51:381,677433,677433,0,0,318452755936,3314595664,671108,5481,844,368,391791,0 147,2,2024-09-07 09:15:51:020,486673,486673,0,0,20131106,0,2789 147,3,2024-09-07 09:15:50:926,1,385,0,0,730,5498,385,0 0,0,2024-09-07 09:16:01:706,92220,0.6,92270,0.8,195703,0.7,252519,2.00 0,1,2024-09-07 09:16:00:801,677383,677383,0,0,318374148860,3332432725,672158,4839,386,369,391896,0 0,2,2024-09-07 09:16:01:081,486154,486154,0,0,19528952,0,4480 0,3,2024-09-07 09:16:00:974,1,386,8,0,431,5512,386,0 1,0,2024-09-07 09:16:01:837,98060,0.9,97453,1.0,195839,1.2,261824,2.25 1,1,2024-09-07 09:16:00:569,676808,676808,0,0,317600892682,3325454708,670623,4867,1318,370,391857,0 1,2,2024-09-07 09:16:00:673,485877,485877,0,0,19642902,0,3380 1,3,2024-09-07 09:16:01:309,1,386,0,0,262,4674,386,0 2,0,2024-09-07 09:16:01:567,99081,0.7,99387,0.8,197952,0.8,263886,2.00 2,1,2024-09-07 09:16:00:866,679249,679249,0,0,318576463147,3308306354,676019,2937,293,380,391745,0 2,2,2024-09-07 09:16:01:268,492157,492157,0,0,19280095,0,3594 2,3,2024-09-07 09:16:00:696,1,386,1,0,357,3846,386,0 3,0,2024-09-07 09:16:01:744,96163,0.4,96069,0.6,191945,0.4,255680,2.00 3,1,2024-09-07 09:16:01:623,677623,677623,0,0,318661498167,3320721365,671526,5407,690,380,391716,0 3,2,2024-09-07 09:16:01:143,489531,489508,23,0,19991765,0,5851 3,3,2024-09-07 09:16:01:752,1,386,1,0,207,2404,386,0 4,0,2024-09-07 09:16:01:801,91721,0.4,94255,0.5,191975,0.3,251396,1.75 4,1,2024-09-07 09:16:00:614,675346,675346,0,0,317060115145,3349203706,664750,8261,2335,370,391992,0 4,2,2024-09-07 09:16:01:025,483504,483504,0,0,22189642,0,4528 4,3,2024-09-07 09:16:01:029,1,386,6,0,448,5226,386,0 5,0,2024-09-07 09:16:01:381,96029,0.4,96542,0.6,192777,0.4,256346,1.75 5,1,2024-09-07 09:16:00:773,676511,676511,0,0,317059834545,3339633428,666689,7886,1936,367,392005,0 5,2,2024-09-07 09:16:01:834,484519,484519,0,0,21740904,0,3582 5,3,2024-09-07 09:16:01:735,1,386,46,0,457,5576,386,0 6,0,2024-09-07 09:16:00:920,100954,0.4,100517,0.6,200689,0.4,268020,2.00 6,1,2024-09-07 09:16:00:760,676905,676905,0,0,317327458723,3317923456,669136,6382,1387,379,391694,0 6,2,2024-09-07 09:16:01:121,491077,491059,18,0,22280340,0,5535 6,3,2024-09-07 09:16:01:276,1,386,172,0,340,4279,386,0 7,0,2024-09-07 09:16:01:533,94259,0.5,94878,0.6,189016,0.4,251704,2.00 7,1,2024-09-07 09:16:00:905,676380,676380,0,0,317824589656,3336347021,667114,7871,1395,382,391747,0 7,2,2024-09-07 09:16:00:783,489909,489909,0,0,21689811,0,4791 7,3,2024-09-07 09:16:00:854,1,386,41,0,398,4139,386,0 8,0,2024-09-07 09:16:01:346,94874,0.4,94673,0.5,189645,0.3,252458,1.75 8,1,2024-09-07 09:16:01:032,675488,675488,0,0,317571018839,3340763266,664538,8357,2593,366,392144,0 8,2,2024-09-07 09:16:00:809,482755,482755,0,0,25163313,0,3220 8,3,2024-09-07 09:16:00:585,1,386,12,0,538,6491,386,0 9,0,2024-09-07 09:16:01:119,95721,0.4,92944,0.5,194568,0.3,254781,1.75 9,1,2024-09-07 09:16:00:562,675915,675915,0,0,317043122046,3339339372,666242,7535,2138,369,392001,0 9,2,2024-09-07 09:16:01:096,484859,484859,0,0,22338945,0,3360 9,3,2024-09-07 09:16:01:753,1,386,5,0,496,5382,386,0 10,0,2024-09-07 09:16:01:607,100585,0.3,99906,0.5,200742,0.2,267694,1.75 10,1,2024-09-07 09:16:00:586,676582,676582,0,0,317846354403,3329071012,667655,7642,1285,381,391741,0 10,2,2024-09-07 09:16:00:767,489560,489560,0,0,24819606,0,4264 10,3,2024-09-07 09:16:00:891,1,386,16,0,649,4370,386,0 11,0,2024-09-07 09:16:01:010,95132,0.5,92344,0.6,193062,0.4,254760,1.75 11,1,2024-09-07 09:16:00:579,677423,677423,0,0,317485585411,3332441932,666845,8096,2482,383,391756,0 11,2,2024-09-07 09:16:01:125,489209,489209,0,0,22195910,0,4130 11,3,2024-09-07 09:16:01:298,1,386,2,0,843,5983,386,0 12,0,2024-09-07 09:16:01:000,96714,0.4,96780,0.5,193346,0.3,256282,1.75 12,1,2024-09-07 09:16:00:935,677527,677527,0,0,318256162210,3319435346,672148,4884,495,370,391870,0 12,2,2024-09-07 09:16:01:553,488998,488998,0,0,21469913,0,3469 12,3,2024-09-07 09:16:01:063,1,386,14,0,386,6045,386,0 13,0,2024-09-07 09:16:01:429,95450,0.4,95226,0.6,190167,0.4,252993,1.75 13,1,2024-09-07 09:16:01:551,676291,676291,0,0,317411944023,3338235575,669264,5455,1572,382,391740,0 13,2,2024-09-07 09:16:00:624,486417,486417,0,0,19735115,0,3287 13,3,2024-09-07 09:16:01:768,1,386,0,0,522,6066,386,0 14,0,2024-09-07 09:16:00:564,99372,0.4,100187,0.6,198558,0.4,265432,1.75 14,1,2024-09-07 09:16:01:562,680840,680840,0,0,319856963345,3315436446,675117,5195,528,364,391673,0 14,2,2024-09-07 09:16:00:774,487624,487594,30,0,21947826,0,6104 14,3,2024-09-07 09:16:01:118,1,386,0,0,1168,4382,386,0 15,0,2024-09-07 09:16:01:554,97357,0.4,97317,0.7,195022,0.4,259336,2.00 15,1,2024-09-07 09:16:01:611,677633,677633,0,0,318629321116,3320196680,672083,4572,978,381,391619,0 15,2,2024-09-07 09:16:01:006,492534,492534,0,0,18193149,0,3622 15,3,2024-09-07 09:16:01:416,1,386,8,0,1126,6786,386,0 16,0,2024-09-07 09:16:01:013,96362,0.5,96737,0.7,193070,0.5,256708,2.00 16,1,2024-09-07 09:16:00:568,677775,677775,0,0,317727355266,3321837022,671911,5021,843,370,391756,0 16,2,2024-09-07 09:16:01:454,489062,489062,0,0,21004503,0,4719 16,3,2024-09-07 09:16:01:153,1,386,7,0,317,5121,386,0 17,0,2024-09-07 09:16:01:835,97884,0.6,95568,0.8,187043,0.7,254034,2.00 17,1,2024-09-07 09:16:00:593,676348,676348,0,0,317437728238,3333397185,668864,5976,1508,368,392075,0 17,2,2024-09-07 09:16:01:668,487352,487352,0,0,20280285,0,2948 17,3,2024-09-07 09:16:00:584,1,386,1,0,298,6141,386,0 18,0,2024-09-07 09:16:00:941,95792,0.6,96133,0.8,191814,0.7,256361,2.25 18,1,2024-09-07 09:16:01:638,679196,679196,0,0,318357182112,3300797510,675371,3450,375,367,391649,0 18,2,2024-09-07 09:16:01:755,488602,488602,0,0,18641120,0,3541 18,3,2024-09-07 09:16:00:901,1,386,1,0,163,3129,386,0 19,0,2024-09-07 09:16:01:541,99868,0.7,100389,0.8,199070,0.7,264817,2.25 19,1,2024-09-07 09:16:00:566,679328,679328,0,0,318871011036,3310334565,673529,4882,917,367,391777,0 19,2,2024-09-07 09:16:01:754,493516,493516,0,0,17882626,0,3988 19,3,2024-09-07 09:16:01:129,1,386,1,0,524,2872,386,0 20,0,2024-09-07 09:16:01:359,95285,0.5,95336,0.6,190451,0.4,254343,2.00 20,1,2024-09-07 09:16:00:584,677078,677078,0,0,318292378060,3327307620,671432,4886,760,369,391886,0 20,2,2024-09-07 09:16:00:938,489819,489819,0,0,21022029,0,3721 20,3,2024-09-07 09:16:00:593,1,386,7,0,414,5851,386,0 21,0,2024-09-07 09:16:01:128,94363,0.5,94368,0.6,188684,0.5,250354,2.00 21,1,2024-09-07 09:16:01:542,675726,675726,0,0,317198162476,3341726426,666447,7289,1990,368,392016,0 21,2,2024-09-07 09:16:01:074,483163,483143,20,0,24929843,0,5617 21,3,2024-09-07 09:16:01:413,1,386,42,0,713,5081,386,0 22,0,2024-09-07 09:16:01:719,95504,0.5,95810,0.7,191901,0.5,254543,2.00 22,1,2024-09-07 09:16:01:053,676568,676568,0,0,317369238940,3334889533,666606,7910,2052,382,391667,0 22,2,2024-09-07 09:16:00:784,484868,484842,26,0,20308486,0,6328 22,3,2024-09-07 09:16:01:076,1,386,5,0,228,3310,386,0 23,0,2024-09-07 09:16:01:369,100068,0.5,99654,0.7,199708,0.4,266383,2.25 23,1,2024-09-07 09:16:01:005,678047,678047,0,0,317725961160,3335247608,666472,8024,3551,365,391690,0 23,2,2024-09-07 09:16:01:096,492077,492077,0,0,19767297,0,3010 23,3,2024-09-07 09:16:01:753,1,386,1,0,720,5337,386,0 24,0,2024-09-07 09:16:00:836,95743,0.4,95502,0.5,191469,0.4,254430,1.75 24,1,2024-09-07 09:16:00:585,676713,676713,0,0,316856190736,3322547171,669216,5914,1583,367,392269,0 24,2,2024-09-07 09:16:01:081,488384,488384,0,0,24217508,0,3607 24,3,2024-09-07 09:16:01:686,1,386,12,0,468,5425,386,0 25,0,2024-09-07 09:16:01:363,97822,0.4,95355,0.6,186966,0.4,254682,1.75 25,1,2024-09-07 09:16:00:561,676164,676164,0,0,317406161060,3339685838,665843,8416,1905,371,391928,0 25,2,2024-09-07 09:16:01:611,486623,486623,0,0,24251663,0,3978 25,3,2024-09-07 09:16:01:013,1,386,11,0,532,4291,386,0 26,0,2024-09-07 09:16:01:722,94636,0.4,92380,0.6,193675,0.3,252347,1.75 26,1,2024-09-07 09:16:01:541,677921,677921,0,0,317340730854,3323395291,668295,8079,1547,380,391748,0 26,2,2024-09-07 09:16:00:866,485821,485821,0,0,24064702,0,2809 26,3,2024-09-07 09:16:01:715,1,386,1,0,796,4771,386,0 27,0,2024-09-07 09:16:01:726,100214,0.4,100358,0.6,199246,0.4,266500,2.00 27,1,2024-09-07 09:16:01:676,679705,679705,0,0,318692775849,3318023205,673444,5438,823,381,391626,0 27,2,2024-09-07 09:16:00:871,485987,485922,65,0,23101752,0,5699 27,3,2024-09-07 09:16:01:015,1,386,1,0,564,3967,386,0 28,0,2024-09-07 09:16:01:403,96932,0.4,96508,0.6,193161,0.3,257761,2.00 28,1,2024-09-07 09:16:00:799,678172,678172,0,0,318936051202,3332073390,671348,5137,1687,383,391698,0 28,2,2024-09-07 09:16:01:775,490569,490569,0,0,21177396,0,2915 28,3,2024-09-07 09:16:01:777,1,386,40,0,502,4406,386,0 29,0,2024-09-07 09:16:01:367,98997,0.3,96437,0.6,189517,0.3,257577,1.75 29,1,2024-09-07 09:16:01:585,680151,680151,0,0,319794545579,3311382062,675363,4055,733,368,391753,0 29,2,2024-09-07 09:16:00:867,488391,488391,0,0,20079991,0,4986 29,3,2024-09-07 09:16:00:966,1,386,3,0,459,4774,386,0 30,0,2024-09-07 09:16:01:461,94574,0.5,91947,0.7,192519,0.4,251333,2.00 30,1,2024-09-07 09:16:00:580,680116,680116,0,0,319319971325,3317864191,674470,4880,766,381,391672,0 30,2,2024-09-07 09:16:01:274,485536,485536,0,0,18652521,0,3161 30,3,2024-09-07 09:16:00:585,1,386,4,0,519,3827,386,0 31,0,2024-09-07 09:16:01:774,97772,0.4,98182,0.6,196053,0.4,261959,2.00 31,1,2024-09-07 09:16:00:569,682101,682101,0,0,320702498094,3289394137,679194,2321,586,356,391712,0 31,2,2024-09-07 09:16:01:274,486443,486443,0,0,20904778,0,3525 31,3,2024-09-07 09:16:01:706,1,386,1,0,220,3361,386,0 32,0,2024-09-07 09:16:01:423,99513,0.3,100146,0.5,199487,0.3,265504,1.75 32,1,2024-09-07 09:16:00:815,678921,678921,0,0,319013485472,3319313742,673925,4317,679,381,391646,0 32,2,2024-09-07 09:16:00:937,492714,492714,0,0,18253244,0,3155 32,3,2024-09-07 09:16:01:015,1,386,32,0,227,2755,386,0 33,0,2024-09-07 09:16:01:508,96300,0.3,95857,0.4,192310,0.2,256080,1.50 33,1,2024-09-07 09:16:00:579,680116,680116,0,0,319334659748,3312813087,674048,4967,1101,369,391730,0 33,2,2024-09-07 09:16:00:761,490513,490478,35,0,20901498,0,7012 33,3,2024-09-07 09:16:00:895,1,386,0,0,329,3815,386,0 34,0,2024-09-07 09:16:00:935,94751,0.3,97327,0.4,186433,0.2,250751,1.75 34,1,2024-09-07 09:16:01:044,681517,681517,0,0,319993103755,3291045130,679874,1632,11,367,391562,0 34,2,2024-09-07 09:16:00:766,485643,485643,0,0,19179807,0,3577 34,3,2024-09-07 09:16:01:688,1,386,1,0,299,2943,386,0 35,0,2024-09-07 09:16:00:860,95502,0.4,95869,0.5,192892,0.3,257047,1.75 35,1,2024-09-07 09:16:01:074,679683,679683,0,0,318711671526,3303811298,675515,3312,856,382,391769,0 35,2,2024-09-07 09:16:01:587,486233,486233,0,0,19939598,0,2653 35,3,2024-09-07 09:16:00:907,1,386,1,0,418,4409,386,0 36,0,2024-09-07 09:16:01:518,101057,0.4,100938,0.6,201790,0.4,268344,2.00 36,1,2024-09-07 09:16:00:598,678118,678118,0,0,318257700243,3324293263,669184,6951,1983,366,391759,0 36,2,2024-09-07 09:16:01:751,491757,491757,0,0,21921793,0,3875 36,3,2024-09-07 09:16:00:867,1,386,7,0,416,5870,386,0 37,0,2024-09-07 09:16:01:398,94420,0.5,94403,0.6,188883,0.4,251987,2.00 37,1,2024-09-07 09:16:00:576,678252,678245,0,7,317768605345,3317457023,670759,5326,2160,365,391570,0 37,2,2024-09-07 09:16:01:142,488054,488039,15,0,22062790,0,5815 37,3,2024-09-07 09:16:01:769,1,386,1,0,888,6001,386,0 38,0,2024-09-07 09:16:01:438,94161,0.5,91461,0.6,191324,0.4,250211,2.00 38,1,2024-09-07 09:16:01:616,679175,679175,0,0,318640440644,3327233312,670095,7191,1889,368,391821,0 38,2,2024-09-07 09:16:00:759,486465,486418,47,0,21268074,0,6710 38,3,2024-09-07 09:16:00:999,1,386,0,0,689,5314,386,0 39,0,2024-09-07 09:16:01:759,97450,0.6,95415,0.7,185837,0.5,253788,2.00 39,1,2024-09-07 09:16:00:716,677931,677931,0,0,317981831003,3329434319,667778,8179,1974,365,391658,0 39,2,2024-09-07 09:16:01:417,485929,485929,0,0,19722568,0,2689 39,3,2024-09-07 09:16:00:715,1,386,5,0,324,4616,386,0 40,0,2024-09-07 09:16:01:510,99537,0.8,100439,0.9,199928,0.8,267205,2.75 40,1,2024-09-07 09:16:00:584,678494,678494,0,0,317306812743,3320966103,669153,7726,1615,368,391668,0 40,2,2024-09-07 09:16:01:306,489006,489005,1,0,23585083,0,5137 40,3,2024-09-07 09:16:01:149,1,386,12,0,1028,5373,386,0 41,0,2024-09-07 09:16:01:022,94661,1.5,96874,1.3,185063,2.5,251432,3.00 41,1,2024-09-07 09:16:00:776,677077,677077,0,0,317716246899,3322136893,669030,7279,768,369,391742,0 41,2,2024-09-07 09:16:00:765,488230,488230,0,0,22554747,0,4277 41,3,2024-09-07 09:16:01:676,1,386,3,0,366,4031,386,0 42,0,2024-09-07 09:16:01:473,95258,0.9,95285,1.0,190641,1.0,252109,2.75 42,1,2024-09-07 09:16:01:439,676131,676131,0,0,317166742797,3334355993,665180,9033,1918,380,391675,0 42,2,2024-09-07 09:16:01:133,487477,487477,0,0,23019237,0,3790 42,3,2024-09-07 09:16:01:009,1,386,1,0,446,3194,386,0 43,0,2024-09-07 09:16:00:916,93654,0.8,91178,1.0,191088,0.9,250399,2.25 43,1,2024-09-07 09:16:00:584,678017,678017,0,0,318918001383,3333050930,668416,7871,1730,366,391696,0 43,2,2024-09-07 09:16:01:736,485027,485027,0,0,22567088,0,3812 43,3,2024-09-07 09:16:01:751,1,386,1,0,467,4569,386,0 44,0,2024-09-07 09:16:00:861,99297,0.4,99579,0.6,199221,0.4,265164,2.00 44,1,2024-09-07 09:16:00:563,679457,679457,0,0,318601167700,3297959158,673148,4992,1317,356,391809,0 44,2,2024-09-07 09:16:01:268,486576,486576,0,0,19299789,0,4344 44,3,2024-09-07 09:16:01:097,1,386,9,0,817,4807,386,0 45,0,2024-09-07 09:16:01:784,96389,0.5,94154,0.8,197426,0.5,259871,2.00 45,1,2024-09-07 09:16:01:006,678963,678963,0,0,319072766873,3317205104,673720,4726,517,382,391917,0 45,2,2024-09-07 09:16:01:269,491757,491757,0,0,19777710,0,3596 45,3,2024-09-07 09:16:00:935,1,386,1,0,271,3572,386,0 46,0,2024-09-07 09:16:00:949,95751,0.5,95791,0.7,191722,0.5,254324,2.00 46,1,2024-09-07 09:16:00:579,680223,680223,0,0,319584421748,3303276615,675724,3910,589,366,391709,0 46,2,2024-09-07 09:16:00:600,489686,489686,0,0,19720731,0,4443 46,3,2024-09-07 09:16:01:131,1,386,3,0,908,5176,386,0 47,0,2024-09-07 09:16:01:101,95417,0.5,95674,0.6,191724,0.4,253433,2.00 47,1,2024-09-07 09:16:00:576,680900,680900,0,0,317649909465,3285112463,676343,3742,815,366,391641,0 47,2,2024-09-07 09:16:00:908,486697,486697,0,0,18968722,0,4477 47,3,2024-09-07 09:16:01:114,1,386,1,0,600,4787,386,0 48,0,2024-09-07 09:16:01:494,97212,0.3,97034,0.4,193424,0.2,258615,1.75 48,1,2024-09-07 09:16:01:082,679440,679440,0,0,318749634855,3311572025,675253,3733,454,384,391710,0 48,2,2024-09-07 09:16:00:701,485731,485731,0,0,17659686,0,3031 48,3,2024-09-07 09:16:00:763,1,386,8,0,339,3238,386,0 49,0,2024-09-07 09:16:01:750,103119,0.4,101331,0.5,196182,0.3,269000,1.75 49,1,2024-09-07 09:16:01:025,678947,678947,0,0,318945818581,3320912696,673930,3812,1205,382,391809,0 49,2,2024-09-07 09:16:01:801,492435,492435,0,0,19874336,0,4426 49,3,2024-09-07 09:16:01:418,1,386,62,0,992,4889,386,0 50,0,2024-09-07 09:16:01:514,95890,0.3,94361,0.4,190356,0.2,254112,1.75 50,1,2024-09-07 09:16:01:011,680598,680598,0,0,319436812003,3304169839,675995,4062,541,368,391565,0 50,2,2024-09-07 09:16:01:071,489729,489729,0,0,17883141,0,2263 50,3,2024-09-07 09:16:01:293,1,386,1,0,567,4091,386,0 51,0,2024-09-07 09:16:01:683,96848,0.3,94652,0.5,184640,0.2,251470,1.75 51,1,2024-09-07 09:16:01:680,681032,681032,0,0,320663152822,3307786186,677525,2530,977,365,391706,0 51,2,2024-09-07 09:16:01:316,485944,485944,0,0,17196327,0,3337 51,3,2024-09-07 09:16:01:030,1,386,0,0,678,3050,386,0 52,0,2024-09-07 09:16:01:420,95998,0.5,95888,0.7,191912,0.4,255056,2.00 52,1,2024-09-07 09:16:00:577,678248,678248,0,0,317740792541,3324350561,669012,7922,1314,368,391722,0 52,2,2024-09-07 09:16:01:758,482908,482870,38,0,22385590,0,6742 52,3,2024-09-07 09:16:00:675,1,386,9,0,1782,5640,386,0 53,0,2024-09-07 09:16:01:765,99612,0.6,96842,0.7,202746,0.6,266036,2.25 53,1,2024-09-07 09:16:00:774,676866,676866,0,0,318135721057,3337990568,665690,8259,2917,367,391702,0 53,2,2024-09-07 09:16:01:299,491128,491127,1,0,20412557,0,5455 53,3,2024-09-07 09:16:00:700,1,386,1,0,308,3387,386,0 54,0,2024-09-07 09:16:01:616,93727,0.6,94041,0.8,187293,0.4,250437,2.50 54,1,2024-09-07 09:16:00:585,678718,678718,0,0,319072129233,3312145412,672780,5319,619,366,391659,0 54,2,2024-09-07 09:16:00:871,489761,489729,32,0,23429142,0,6397 54,3,2024-09-07 09:16:00:774,1,386,0,0,676,5891,386,0 55,0,2024-09-07 09:16:01:759,92112,0.6,95248,0.7,192306,0.5,250616,2.50 55,1,2024-09-07 09:16:00:780,678988,678988,0,0,318874247743,3313539856,672161,5910,917,365,391731,0 55,2,2024-09-07 09:16:00:741,486785,486729,56,0,22071405,0,7239 55,3,2024-09-07 09:16:00:675,1,386,0,0,304,4106,386,0 56,0,2024-09-07 09:16:01:583,96828,1.0,91315,1.1,188110,1.4,252220,2.50 56,1,2024-09-07 09:16:00:569,674972,674972,0,0,316900417265,3346333577,664629,8150,2193,381,391867,0 56,2,2024-09-07 09:16:01:304,485339,485339,0,0,21892610,0,3567 56,3,2024-09-07 09:16:01:062,1,386,5,0,705,4855,386,0 57,0,2024-09-07 09:16:00:956,98792,1.5,98601,1.2,197608,2.1,264660,3.00 57,1,2024-09-07 09:16:01:001,677257,677257,0,0,317134887634,3324796048,669250,6993,1014,366,392032,0 57,2,2024-09-07 09:16:01:316,488941,488941,0,0,24144652,0,3317 57,3,2024-09-07 09:16:01:738,1,386,6,0,359,4245,386,0 58,0,2024-09-07 09:16:00:554,95157,0.9,92408,1.0,193405,1.1,253338,2.50 58,1,2024-09-07 09:16:00:580,677974,677971,0,3,318160802171,3327187416,668683,8031,1257,367,391603,3 58,2,2024-09-07 09:16:01:076,489748,489748,0,0,22677108,0,2902 58,3,2024-09-07 09:16:01:070,1,386,0,0,1043,4243,386,0 59,0,2024-09-07 09:16:01:762,96118,0.8,95776,0.9,191198,0.8,254022,2.50 59,1,2024-09-07 09:16:00:826,677277,677277,0,0,318332008827,3333712509,668196,7491,1590,369,391653,0 59,2,2024-09-07 09:16:00:584,489331,489331,0,0,22031703,0,2867 59,3,2024-09-07 09:16:01:738,1,386,1,0,1015,4934,386,0 60,0,2024-09-07 09:16:01:760,94895,0.5,94839,0.7,190384,0.5,252438,1.75 60,1,2024-09-07 09:16:00:778,679415,679415,0,0,318291160337,3305245666,674868,3898,649,370,392031,0 60,2,2024-09-07 09:16:01:141,485411,485411,0,0,21075726,0,3811 60,3,2024-09-07 09:16:01:259,1,386,13,0,409,4579,386,0 61,0,2024-09-07 09:16:01:508,97770,0.7,98483,0.8,195966,0.7,261542,2.00 61,1,2024-09-07 09:16:00:774,677829,677829,0,0,317572808219,3329655024,669987,6446,1396,382,392127,0 61,2,2024-09-07 09:16:01:126,486352,486285,67,0,21634158,0,6411 61,3,2024-09-07 09:16:01:686,1,386,1,0,479,5424,386,0 62,0,2024-09-07 09:16:01:718,100089,0.6,102408,0.7,195474,0.6,265277,2.00 62,1,2024-09-07 09:16:01:112,682377,682371,0,6,320055736687,3303056714,678568,3543,260,365,391715,6 62,2,2024-09-07 09:16:01:647,490413,490412,1,0,21793776,0,5555 62,3,2024-09-07 09:16:01:148,1,386,1,0,482,3293,386,0 63,0,2024-09-07 09:16:01:458,96476,0.4,96326,0.6,193023,0.3,256492,1.75 63,1,2024-09-07 09:16:00:824,679703,679697,0,6,318615288318,3308248204,675421,3864,412,381,391800,6 63,2,2024-09-07 09:16:00:786,489863,489863,0,0,19717664,0,4369 63,3,2024-09-07 09:16:01:733,1,386,5,0,667,4117,386,0 64,0,2024-09-07 09:16:01:518,93998,0.5,93989,0.7,187931,0.5,249865,2.00 64,1,2024-09-07 09:16:00:778,678937,678937,0,0,317973625913,3314806516,672686,4568,1683,370,391783,0 64,2,2024-09-07 09:16:01:148,488172,488153,19,0,19094793,0,6121 64,3,2024-09-07 09:16:01:148,1,386,7,0,265,3503,386,0 65,0,2024-09-07 09:16:01:721,95164,0.7,95506,0.8,190802,0.8,254547,2.25 65,1,2024-09-07 09:16:00:871,677479,677479,0,0,318528190872,3330742672,672436,4503,540,382,391901,0 65,2,2024-09-07 09:16:01:707,486809,486809,0,0,21957675,0,3367 65,3,2024-09-07 09:16:01:686,1,386,0,0,347,3942,386,0 66,0,2024-09-07 09:16:01:763,100298,0.5,100359,0.7,200262,0.5,266795,2.25 66,1,2024-09-07 09:16:01:293,679280,679280,0,0,318156498911,3313019732,674669,4228,383,380,391653,0 66,2,2024-09-07 09:16:01:133,493137,493137,0,0,19568311,0,4956 66,3,2024-09-07 09:16:01:086,1,386,8,0,291,3534,386,0 67,0,2024-09-07 09:16:01:414,94947,0.5,94672,0.7,189943,0.4,252824,2.00 67,1,2024-09-07 09:16:00:768,679536,679535,0,1,317695669112,3309031934,674870,3880,785,380,391787,1 67,2,2024-09-07 09:16:00:586,491220,491220,0,0,18722845,0,3622 67,3,2024-09-07 09:16:01:750,1,386,1,0,392,3726,386,0 68,0,2024-09-07 09:16:00:559,95064,0.6,94710,0.7,188940,0.5,252240,2.00 68,1,2024-09-07 09:16:00:577,676726,676726,0,0,317533848384,3333578039,669049,5372,2305,381,391953,0 68,2,2024-09-07 09:16:01:049,484696,484596,100,0,24862957,0,8578 68,3,2024-09-07 09:16:00:728,1,386,83,0,417,4160,386,0 69,0,2024-09-07 09:16:01:727,95012,0.7,95586,0.8,190356,0.8,252865,2.25 69,1,2024-09-07 09:16:01:031,676191,676191,0,0,317866318035,3351816329,667062,6940,2189,384,391994,0 69,2,2024-09-07 09:16:01:733,485226,485197,29,0,25419400,0,6912 69,3,2024-09-07 09:16:00:770,1,386,5,0,698,5317,386,0 70,0,2024-09-07 09:16:01:533,99542,0.9,99676,1.0,200354,0.7,265807,2.50 70,1,2024-09-07 09:16:00:818,679268,679268,0,0,318850543729,3308956759,673780,4830,658,366,391725,0 70,2,2024-09-07 09:16:01:324,489475,489475,0,0,21837266,0,4044 70,3,2024-09-07 09:16:00:752,1,386,0,0,854,4385,386,0 71,0,2024-09-07 09:16:01:356,94406,0.9,94167,1.0,188965,1.1,253011,2.75 71,1,2024-09-07 09:16:01:600,678355,678355,0,0,318794682162,3325429035,670795,6812,748,368,391738,0 71,2,2024-09-07 09:16:01:072,489738,489738,0,0,22229971,0,4352 71,3,2024-09-07 09:16:01:755,1,386,1,0,644,5148,386,0 72,0,2024-09-07 09:16:01:082,99091,0.5,96877,0.7,188879,0.5,256549,2.00 72,1,2024-09-07 09:16:01:039,677284,677284,0,0,317762647525,3333097263,667265,8053,1966,369,391819,0 72,2,2024-09-07 09:16:01:770,487905,487905,0,0,24276608,0,3983 72,3,2024-09-07 09:16:01:755,1,386,8,0,564,5854,386,0 73,0,2024-09-07 09:16:01:127,92452,0.4,94719,0.6,193801,0.4,251720,2.00 73,1,2024-09-07 09:16:00:767,678657,678657,0,0,317996955282,3303622265,673970,4323,364,367,391858,0 73,2,2024-09-07 09:16:01:739,485040,485040,0,0,23534773,0,3701 73,3,2024-09-07 09:16:00:973,1,386,1,0,486,5416,386,0 74,0,2024-09-07 09:16:01:329,100055,0.4,102192,0.6,194910,0.4,265257,2.00 74,1,2024-09-07 09:16:00:639,678366,678366,0,0,318214070662,3321958598,671383,5614,1369,381,391681,0 74,2,2024-09-07 09:16:01:002,486543,486543,0,0,22018009,0,4253 74,3,2024-09-07 09:16:01:442,1,386,8,0,522,5358,386,0 75,0,2024-09-07 09:16:01:766,98012,0.5,97382,0.8,195621,0.5,260937,2.25 75,1,2024-09-07 09:16:01:586,677993,677993,0,0,318004991413,3319221768,671307,5860,826,380,391739,0 75,2,2024-09-07 09:16:01:351,490185,490185,0,0,23711157,0,4766 75,3,2024-09-07 09:16:01:076,1,386,1,0,702,5162,386,0 76,0,2024-09-07 09:16:00:584,95840,0.6,95272,0.8,191331,0.5,255105,2.25 76,1,2024-09-07 09:16:00:831,678388,678388,0,0,317812088518,3316469082,673638,4053,697,382,391692,0 76,2,2024-09-07 09:16:01:065,491175,491174,1,0,21630624,0,5144 76,3,2024-09-07 09:16:01:146,1,386,5,0,175,3582,386,0 77,0,2024-09-07 09:16:01:696,94813,0.6,95114,0.8,190151,0.6,252357,2.00 77,1,2024-09-07 09:16:00:839,678349,678349,0,0,318377959033,3325578934,672762,5089,498,381,391869,0 77,2,2024-09-07 09:16:01:294,485104,485104,0,0,20940917,0,3890 77,3,2024-09-07 09:16:01:106,1,386,16,0,401,4187,386,0 78,0,2024-09-07 09:16:01:716,96992,0.5,96487,0.7,193979,0.4,257284,2.00 78,1,2024-09-07 09:16:00:619,679009,679009,0,0,318043449992,3315399965,671651,5792,1566,367,391670,0 78,2,2024-09-07 09:16:01:407,486668,486655,13,0,19835235,0,8313 78,3,2024-09-07 09:16:01:137,1,386,4,0,181,3578,386,0 79,0,2024-09-07 09:16:01:407,96675,0.4,99208,0.6,202543,0.4,263816,2.25 79,1,2024-09-07 09:16:00:580,680372,680372,0,0,318958426176,3307611347,674478,4745,1149,368,391682,0 79,2,2024-09-07 09:16:01:079,492979,492979,0,0,19589399,0,4195 79,3,2024-09-07 09:16:00:752,1,386,2,0,418,4812,386,0 80,0,2024-09-07 09:16:01:098,95297,0.5,98129,0.7,187792,0.5,253522,2.00 80,1,2024-09-07 09:16:01:621,678386,678386,0,0,318652012689,3317670041,673697,4367,322,368,391791,0 80,2,2024-09-07 09:16:01:090,491560,491560,0,0,19148659,0,4433 80,3,2024-09-07 09:16:00:584,1,386,9,0,190,4597,386,0 81,0,2024-09-07 09:16:01:536,94389,0.5,96629,0.7,184397,0.5,250245,2.00 81,1,2024-09-07 09:16:01:650,678090,678090,0,0,317335038010,3318616135,672352,5233,505,382,391879,0 81,2,2024-09-07 09:16:01:125,484600,484537,63,0,20949344,0,5932 81,3,2024-09-07 09:16:01:116,1,386,13,0,719,4892,386,0 82,0,2024-09-07 09:16:01:534,95293,0.5,95777,0.7,191818,0.4,254428,2.00 82,1,2024-09-07 09:16:00:584,679716,679712,0,4,318755564318,3316803356,675477,3436,799,381,391768,4 82,2,2024-09-07 09:16:01:692,487352,487352,0,0,17979317,0,3986 82,3,2024-09-07 09:16:01:752,1,386,4,0,363,3751,386,0 83,0,2024-09-07 09:16:01:529,100446,0.6,100289,0.7,200141,0.6,266239,2.25 83,1,2024-09-07 09:16:00:551,677354,677354,0,0,318203264820,3319644084,672136,4784,434,382,391709,0 83,2,2024-09-07 09:16:00:768,491143,491143,0,0,18934361,0,3393 83,3,2024-09-07 09:16:00:751,1,386,11,0,1260,5103,386,0 84,0,2024-09-07 09:16:01:849,94511,0.7,94484,0.8,188552,0.6,252985,2.25 84,1,2024-09-07 09:16:01:046,677256,677256,0,0,317517046105,3318679155,670076,6146,1034,367,391967,0 84,2,2024-09-07 09:16:00:578,488667,488637,30,0,25069398,0,5971 84,3,2024-09-07 09:16:01:148,1,386,4,0,908,5790,386,0 85,0,2024-09-07 09:16:01:004,91816,0.6,91856,0.8,194892,0.6,251892,2.25 85,1,2024-09-07 09:16:00:573,676152,676152,0,0,317577904817,3351603072,666094,8237,1821,381,392006,0 85,2,2024-09-07 09:16:00:866,487127,487127,0,0,22948529,0,3656 85,3,2024-09-07 09:16:00:685,1,386,0,0,789,4999,386,0 86,0,2024-09-07 09:16:00:885,94577,0.6,97288,0.8,186221,0.7,251975,2.25 86,1,2024-09-07 09:16:00:826,677635,677635,0,0,318759310491,3336682157,669704,6496,1435,366,391961,0 86,2,2024-09-07 09:16:00:855,483740,483739,1,0,24953097,0,5004 86,3,2024-09-07 09:16:00:598,1,386,0,0,308,5804,386,0 87,0,2024-09-07 09:16:01:288,99814,0.9,99649,0.9,199702,1.1,266679,2.25 87,1,2024-09-07 09:16:00:559,676804,676804,0,0,317633262603,3325552345,668644,7001,1159,366,392076,0 87,2,2024-09-07 09:16:01:076,486993,486987,6,0,22572622,0,6323 87,3,2024-09-07 09:16:01:795,1,386,14,0,473,5981,386,0 88,0,2024-09-07 09:16:01:454,96525,0.4,97249,0.6,193544,0.4,257484,1.75 88,1,2024-09-07 09:16:00:570,675732,675732,0,0,317752550393,3328393911,667094,6757,1881,365,392084,0 88,2,2024-09-07 09:16:00:690,490863,490863,0,0,24302333,0,3583 88,3,2024-09-07 09:16:01:270,1,386,16,0,435,4058,386,0 89,0,2024-09-07 09:16:01:767,99200,0.4,96105,0.6,190038,0.4,257764,1.75 89,1,2024-09-07 09:16:00:550,676106,676106,0,0,317173772512,3333961415,667873,6873,1360,382,391866,0 89,2,2024-09-07 09:16:01:135,487840,487840,0,0,23260173,0,3173 89,3,2024-09-07 09:16:01:790,1,386,1,0,385,6813,386,0 90,0,2024-09-07 09:16:01:618,92121,0.5,94625,0.6,193020,0.4,251482,2.00 90,1,2024-09-07 09:16:00:599,677698,677698,0,0,317394394068,3324223156,671273,5916,509,380,391825,0 90,2,2024-09-07 09:16:01:407,483238,483238,0,0,24337127,0,3060 90,3,2024-09-07 09:16:00:932,1,386,1,0,322,4947,386,0 91,0,2024-09-07 09:16:00:991,98442,0.4,95404,0.6,199437,0.4,261990,1.75 91,1,2024-09-07 09:16:00:623,676541,676541,0,0,317478097914,3337812173,668098,7255,1188,381,392047,0 91,2,2024-09-07 09:16:01:338,487156,487156,0,0,21672821,0,2896 91,3,2024-09-07 09:16:00:606,1,386,16,0,216,3657,386,0 92,0,2024-09-07 09:16:01:494,100319,0.5,102711,0.6,196402,0.5,265620,1.75 92,1,2024-09-07 09:16:00:586,677598,677598,0,0,318444854520,3327697176,672227,4650,721,382,392136,0 92,2,2024-09-07 09:16:01:351,492904,492904,0,0,19947468,0,3259 92,3,2024-09-07 09:16:01:012,1,386,7,0,167,3489,386,0 93,0,2024-09-07 09:16:00:999,96816,0.4,99215,0.5,189419,0.3,256519,1.75 93,1,2024-09-07 09:16:00:819,677081,677081,0,0,317753861627,3322041920,669305,6431,1345,366,391776,0 93,2,2024-09-07 09:16:00:935,489150,489150,0,0,23738558,0,4845 93,3,2024-09-07 09:16:01:414,1,386,3,0,190,3600,386,0 94,0,2024-09-07 09:16:01:605,94091,0.4,94939,0.5,189660,0.3,251539,1.75 94,1,2024-09-07 09:16:00:563,678151,678151,0,0,318299463888,3321058368,673764,4193,194,381,391850,0 94,2,2024-09-07 09:16:00:764,484790,484790,0,0,19936958,0,2443 94,3,2024-09-07 09:16:01:688,1,386,40,0,264,4700,386,0 95,0,2024-09-07 09:16:01:380,96011,0.4,95973,0.5,192563,0.3,256789,1.75 95,1,2024-09-07 09:16:00:852,679669,679669,0,0,318247862932,3310463734,674134,5124,411,367,391713,0 95,2,2024-09-07 09:16:01:016,486400,486400,0,0,19630597,0,3308 95,3,2024-09-07 09:16:01:709,1,386,1,0,718,5724,386,0 96,0,2024-09-07 09:16:01:043,100730,0.3,101113,0.5,201682,0.3,268052,1.75 96,1,2024-09-07 09:16:01:586,677399,677399,0,0,317849597787,3318574155,672068,4454,877,384,391955,0 96,2,2024-09-07 09:16:01:270,492208,492208,0,0,20019639,0,4042 96,3,2024-09-07 09:16:01:142,1,386,8,0,411,4160,386,0 97,0,2024-09-07 09:16:01:390,94891,0.3,94686,0.5,189883,0.3,252593,1.50 97,1,2024-09-07 09:16:00:764,679117,679117,0,0,319134447441,3310368991,674644,3776,697,367,392140,0 97,2,2024-09-07 09:16:00:615,490599,490599,0,0,19718249,0,3679 97,3,2024-09-07 09:16:00:569,1,386,21,0,214,4329,386,0 98,0,2024-09-07 09:16:01:706,94573,0.3,94576,0.4,189883,0.2,252102,1.50 98,1,2024-09-07 09:16:00:570,678286,678286,0,0,318705629414,3321012828,673805,3704,777,382,391997,0 98,2,2024-09-07 09:16:00:774,486969,486969,0,0,19370953,0,3243 98,3,2024-09-07 09:16:00:713,1,386,1,0,840,5740,386,0 99,0,2024-09-07 09:16:01:456,95456,0.3,96149,0.5,191053,0.3,254982,1.75 99,1,2024-09-07 09:16:01:723,678667,678667,0,0,318701991912,3319748177,673837,3997,833,381,392069,0 99,2,2024-09-07 09:16:01:417,486543,486543,0,0,22665160,0,3424 99,3,2024-09-07 09:16:00:584,1,386,2,0,606,4343,386,0 100,0,2024-09-07 09:16:01:516,100071,0.7,100418,0.9,199980,0.8,267481,2.25 100,1,2024-09-07 09:16:00:548,675425,675425,0,0,316331288491,3343653923,665112,8301,2012,381,391989,0 100,2,2024-09-07 09:16:01:829,488283,488272,11,0,22719533,0,5417 100,3,2024-09-07 09:16:01:732,1,386,8,0,559,6558,386,0 101,0,2024-09-07 09:16:01:735,97311,1.1,94732,1.0,186056,0.9,254802,2.25 101,1,2024-09-07 09:16:00:550,675654,675654,0,0,317445368838,3340823540,665509,8027,2118,368,391847,0 101,2,2024-09-07 09:16:01:794,486836,486836,0,0,27273413,0,4871 101,3,2024-09-07 09:16:00:944,1,386,60,0,579,5501,386,0 102,0,2024-09-07 09:16:00:948,93454,0.6,96483,0.8,195303,0.6,255288,2.00 102,1,2024-09-07 09:16:01:142,676156,676156,0,0,317097050443,3333074853,667043,7502,1611,369,391891,0 102,2,2024-09-07 09:16:01:738,488504,488450,54,0,21750891,0,6768 102,3,2024-09-07 09:16:01:618,1,386,1,0,410,3865,386,0 103,0,2024-09-07 09:16:01:595,97898,0.6,97805,0.7,184524,0.6,253486,2.00 103,1,2024-09-07 09:16:01:628,675277,675277,0,0,317297561962,3347207149,664923,7742,2612,381,391829,0 103,2,2024-09-07 09:16:00:586,483491,483491,0,0,23521582,0,3766 103,3,2024-09-07 09:16:00:759,1,386,0,0,916,4399,386,0 104,0,2024-09-07 09:16:01:035,98427,0.7,98894,0.9,196243,0.7,264449,2.25 104,1,2024-09-07 09:16:01:605,677006,677006,0,0,316552221767,3326734914,667267,7964,1775,365,392168,0 104,2,2024-09-07 09:16:01:671,486028,486028,0,0,23735204,0,3941 104,3,2024-09-07 09:16:01:427,1,386,11,0,1245,7926,386,0 105,0,2024-09-07 09:16:01:034,96518,0.9,93933,1.1,196852,1.1,259133,2.75 105,1,2024-09-07 09:16:00:560,677937,677937,0,0,317876316479,3331601582,669216,7373,1348,366,392009,0 105,2,2024-09-07 09:16:01:323,490498,490498,0,0,22993081,0,3509 105,3,2024-09-07 09:16:01:305,1,386,13,0,399,5829,386,0 106,0,2024-09-07 09:16:00:949,92962,0.7,95219,0.9,195066,0.8,254946,2.50 106,1,2024-09-07 09:16:01:756,676545,676545,0,0,317574345217,3338455897,665781,9321,1443,369,391914,0 106,2,2024-09-07 09:16:00:762,488526,488526,0,0,22542014,0,2795 106,3,2024-09-07 09:16:00:679,1,386,2,0,470,4784,386,0 107,0,2024-09-07 09:16:01:104,94896,1.0,95075,0.9,189898,1.3,253248,2.25 107,1,2024-09-07 09:16:00:587,675961,675961,0,0,316922378074,3338069327,667185,7804,972,381,392234,0 107,2,2024-09-07 09:16:01:294,483441,483440,1,0,23154440,0,5024 107,3,2024-09-07 09:16:01:755,1,386,20,0,353,5462,386,0 108,0,2024-09-07 09:16:01:778,96484,0.5,97220,0.6,193153,0.5,258493,1.75 108,1,2024-09-07 09:16:01:294,678218,678218,0,0,318680787403,3324387997,672498,4982,738,368,391857,0 108,2,2024-09-07 09:16:01:755,485259,485259,0,0,22398538,0,4246 108,3,2024-09-07 09:16:01:336,1,386,11,0,749,7487,386,0 109,0,2024-09-07 09:16:01:753,101089,0.4,100244,0.6,200448,0.4,268145,1.75 109,1,2024-09-07 09:16:00:594,675741,675741,0,0,317855516738,3335812071,669406,5261,1074,383,392132,0 109,2,2024-09-07 09:16:00:954,489971,489971,0,0,22172506,0,3617 109,3,2024-09-07 09:16:01:147,1,386,1,0,379,4541,386,0 110,0,2024-09-07 09:16:01:755,95228,0.4,92715,0.6,194386,0.3,254441,1.75 110,1,2024-09-07 09:16:01:648,679399,679399,0,0,318391434058,3306299838,674367,3857,1175,369,392045,0 110,2,2024-09-07 09:16:01:304,490253,490253,0,0,21251093,0,4067 110,3,2024-09-07 09:16:00:701,1,386,1,0,722,5685,386,0 111,0,2024-09-07 09:16:01:426,94799,0.4,93926,0.6,188562,0.3,251604,1.75 111,1,2024-09-07 09:16:01:017,679844,679844,0,0,319133599024,3310761929,676250,3241,353,380,391690,0 111,2,2024-09-07 09:16:01:120,484809,484809,0,0,20462176,0,4823 111,3,2024-09-07 09:16:00:928,1,386,6,0,379,4498,386,0 112,0,2024-09-07 09:16:00:910,96475,0.3,95886,0.4,192223,0.2,255855,1.50 112,1,2024-09-07 09:16:00:825,679519,679519,0,0,318750898413,3309019290,675150,3757,612,380,391624,0 112,2,2024-09-07 09:16:01:144,486547,486546,1,0,19012490,0,5036 112,3,2024-09-07 09:16:00:595,1,386,11,0,282,3905,386,0 113,0,2024-09-07 09:16:00:872,100201,0.3,100362,0.5,200861,0.2,267665,1.50 113,1,2024-09-07 09:16:01:685,681928,681928,0,0,320774117378,3311076820,678071,3270,587,366,391661,0 113,2,2024-09-07 09:16:01:305,492197,492197,0,0,18249750,0,3813 113,3,2024-09-07 09:16:00:700,1,386,13,0,340,4536,386,0 114,0,2024-09-07 09:16:00:882,95451,0.3,96153,0.5,190960,0.2,255496,1.75 114,1,2024-09-07 09:16:00:716,679121,679121,0,0,318567554203,3311699175,673125,4381,1615,381,391565,0 114,2,2024-09-07 09:16:00:892,490189,490188,1,0,19455660,0,5069 114,3,2024-09-07 09:16:01:278,1,386,1,0,395,3343,386,0 115,0,2024-09-07 09:16:00:556,95346,0.3,95895,0.4,191409,0.2,254385,1.50 115,1,2024-09-07 09:16:00:579,679096,679096,0,0,319784741678,3323964997,673297,4868,931,382,391757,0 115,2,2024-09-07 09:16:01:125,489599,489599,0,0,18423882,0,3848 115,3,2024-09-07 09:16:01:004,1,386,2,0,159,2284,386,0 116,0,2024-09-07 09:16:01:723,94433,0.7,94301,0.9,189135,0.7,253189,2.00 116,1,2024-09-07 09:16:00:824,676084,676084,0,0,317578016692,3346132855,667873,5893,2318,380,392089,0 116,2,2024-09-07 09:16:01:754,484652,484652,0,0,24014414,0,4128 116,3,2024-09-07 09:16:00:920,1,386,75,0,415,4680,386,0 117,0,2024-09-07 09:16:00:979,100129,0.8,99593,0.8,199626,0.9,267140,2.00 117,1,2024-09-07 09:16:01:583,676444,676444,0,0,317199394929,3321002178,668896,6642,906,369,392033,0 117,2,2024-09-07 09:16:01:117,490866,490866,0,0,19820465,0,3700 117,3,2024-09-07 09:16:01:070,1,386,0,0,490,5953,386,0 118,0,2024-09-07 09:16:01:767,93847,0.5,96208,0.7,196610,0.5,256539,2.00 118,1,2024-09-07 09:16:00:588,676553,676553,0,0,317996331918,3346706814,665427,8197,2929,366,391907,0 118,2,2024-09-07 09:16:01:587,489662,489662,0,0,22587879,0,2842 118,3,2024-09-07 09:16:01:767,1,386,1,0,248,4504,386,0 119,0,2024-09-07 09:16:01:334,96055,0.6,96540,0.7,192882,0.5,256155,2.00 119,1,2024-09-07 09:16:00:564,677066,677066,0,0,317512489587,3320817237,669800,6421,845,367,391780,0 119,2,2024-09-07 09:16:01:261,489494,489494,0,0,21020613,0,4174 119,3,2024-09-07 09:16:01:325,1,386,1,0,1058,6929,386,0 120,0,2024-09-07 09:16:01:545,94368,0.6,94273,0.8,188703,0.6,252007,2.25 120,1,2024-09-07 09:16:00:865,677249,677249,0,0,317872569189,3335745429,670031,6572,646,368,391961,0 120,2,2024-09-07 09:16:00:769,484296,484295,1,0,24019188,0,5281 120,3,2024-09-07 09:16:01:294,1,386,8,0,279,4919,386,0 121,0,2024-09-07 09:16:01:717,97981,1.1,97881,1.0,196241,1.5,261456,2.25 121,1,2024-09-07 09:16:01:687,677862,677862,0,0,318485131082,3330723902,671556,5860,446,367,391840,0 121,2,2024-09-07 09:16:01:125,485539,485539,0,0,23121672,0,4127 121,3,2024-09-07 09:16:00:737,1,386,0,0,269,4483,386,0 122,0,2024-09-07 09:16:01:765,98970,0.8,96614,0.9,202784,0.9,265409,2.00 122,1,2024-09-07 09:16:00:866,676374,676374,0,0,317627179061,3331425506,667300,7789,1285,366,392130,0 122,2,2024-09-07 09:16:01:319,491733,491660,73,0,26127000,0,5989 122,3,2024-09-07 09:16:00:599,1,386,1,0,512,7338,386,0 123,0,2024-09-07 09:16:00:951,95687,0.6,93575,0.7,195523,0.6,256001,2.00 123,1,2024-09-07 09:16:00:563,676520,676520,0,0,317246621422,3342527575,664297,10019,2204,369,392039,0 123,2,2024-09-07 09:16:01:040,487976,487975,1,0,22395971,0,5215 123,3,2024-09-07 09:16:01:132,1,386,97,0,168,4232,386,0 124,0,2024-09-07 09:16:00:928,97378,0.3,97296,0.5,183513,0.3,252287,1.75 124,1,2024-09-07 09:16:01:022,678982,678982,0,0,318449275838,3313252128,673792,4142,1048,367,392178,0 124,2,2024-09-07 09:16:01:045,485951,485898,53,0,20359235,0,6487 124,3,2024-09-07 09:16:00:758,1,386,5,0,490,3833,386,0 125,0,2024-09-07 09:16:01:423,96358,0.4,95964,0.6,192919,0.4,257096,1.75 125,1,2024-09-07 09:16:00:864,677741,677741,0,0,318121595966,3323507895,672152,4923,666,382,391702,0 125,2,2024-09-07 09:16:01:121,487139,487139,0,0,20975294,0,4534 125,3,2024-09-07 09:16:01:129,1,386,0,0,709,5084,386,0 126,0,2024-09-07 09:16:01:424,100566,0.4,103647,0.6,198384,0.4,269019,1.75 126,1,2024-09-07 09:16:00:552,679580,679580,0,0,318930192130,3305381424,675662,3629,289,365,391987,0 126,2,2024-09-07 09:16:00:614,492250,492250,0,0,21782314,0,4539 126,3,2024-09-07 09:16:00:907,1,386,2,0,207,4861,386,0 127,0,2024-09-07 09:16:01:612,94796,0.3,95295,0.5,190029,0.3,252664,1.75 127,1,2024-09-07 09:16:00:577,678745,678745,0,0,318754299476,3317130611,671916,5723,1106,364,392187,0 127,2,2024-09-07 09:16:00:770,489086,489086,0,0,19804738,0,3897 127,3,2024-09-07 09:16:01:280,1,386,1,0,968,4435,386,0 128,0,2024-09-07 09:16:01:522,95059,0.3,95338,0.4,190252,0.2,252403,1.50 128,1,2024-09-07 09:16:01:605,678601,678601,0,0,318217167485,3305438551,673812,4358,431,367,391838,0 128,2,2024-09-07 09:16:01:387,487492,487492,0,0,18598151,0,2915 128,3,2024-09-07 09:16:00:767,1,386,8,0,1082,6293,386,0 129,0,2024-09-07 09:16:01:009,96240,0.3,95654,0.5,191724,0.3,255177,1.75 129,1,2024-09-07 09:16:00:567,675935,675935,0,0,317785217199,3332870561,668710,5560,1665,379,391835,0 129,2,2024-09-07 09:16:00:687,486391,486391,0,0,19761593,0,4031 129,3,2024-09-07 09:16:00:701,1,386,32,0,506,5247,386,0 130,0,2024-09-07 09:16:01:739,100967,0.5,100584,0.6,202404,0.5,268953,1.75 130,1,2024-09-07 09:16:00:612,679278,679278,0,0,318372202554,3310538112,675459,3482,337,381,391825,0 130,2,2024-09-07 09:16:01:131,491097,491097,0,0,20316654,0,4067 130,3,2024-09-07 09:16:01:300,1,386,0,0,535,5448,386,0 131,0,2024-09-07 09:16:02:008,95235,0.3,95759,0.5,192599,0.3,254862,1.75 131,1,2024-09-07 09:16:01:820,678326,678326,0,0,318774346178,3331931181,672453,4825,1048,381,391865,0 131,2,2024-09-07 09:16:00:569,492103,492103,0,0,18249661,0,2509 131,3,2024-09-07 09:16:01:689,1,386,1,0,392,3947,386,0 132,0,2024-09-07 09:16:01:411,95995,0.4,96913,0.6,192801,0.4,256056,1.75 132,1,2024-09-07 09:16:00:584,676075,676075,0,0,317228363445,3337540022,666706,7838,1531,381,392097,0 132,2,2024-09-07 09:16:00:703,488227,488210,17,0,24602039,0,6451 132,3,2024-09-07 09:16:01:688,1,386,16,0,804,6902,386,0 133,0,2024-09-07 09:16:01:514,92421,0.4,94559,0.6,194088,0.4,252169,1.75 133,1,2024-09-07 09:16:00:603,675604,675604,0,0,317287607348,3344819384,665820,8273,1511,383,391914,0 133,2,2024-09-07 09:16:01:089,484186,484136,50,0,25272222,0,6861 133,3,2024-09-07 09:16:01:297,1,386,1,0,479,3967,386,0 134,0,2024-09-07 09:16:00:980,99652,0.5,99284,0.7,198636,0.4,265763,2.00 134,1,2024-09-07 09:16:00:586,676803,676803,0,0,316834316661,3325060825,667585,7212,2006,366,391718,0 134,2,2024-09-07 09:16:01:759,486320,486296,24,0,22884707,0,6207 134,3,2024-09-07 09:16:00:750,1,386,9,0,739,4859,386,0 135,0,2024-09-07 09:16:01:095,94729,0.9,94711,0.9,200807,1.0,258648,2.25 135,1,2024-09-07 09:16:01:599,676922,676922,0,0,317632347520,3341549109,667845,7798,1279,380,391805,0 135,2,2024-09-07 09:16:00:717,491878,491878,0,0,22561214,0,3981 135,3,2024-09-07 09:16:01:004,1,386,1,0,299,3286,386,0 136,0,2024-09-07 09:16:01:625,96724,0.5,96853,0.7,192761,0.5,256946,2.00 136,1,2024-09-07 09:16:01:443,676879,676879,0,0,317713407729,3332871357,669196,6843,840,382,391685,0 136,2,2024-09-07 09:16:01:143,490852,490852,0,0,22160815,0,3506 136,3,2024-09-07 09:16:01:109,1,386,14,0,637,4234,386,0 137,0,2024-09-07 09:16:00:928,98026,0.6,95355,0.7,187367,0.6,254445,2.00 137,1,2024-09-07 09:16:00:599,676145,676145,0,0,317377238696,3333686639,665051,8747,2347,366,391898,0 137,2,2024-09-07 09:16:01:706,483728,483728,0,0,24262420,0,3185 137,3,2024-09-07 09:16:00:774,1,386,0,0,484,4848,386,0 138,0,2024-09-07 09:16:01:742,96334,1.1,96365,1.0,193436,1.6,257341,2.25 138,1,2024-09-07 09:16:01:689,677238,677238,0,0,317613875882,3334197426,667434,8085,1719,368,391954,0 138,2,2024-09-07 09:16:00:599,486044,486044,0,0,22062740,0,4988 138,3,2024-09-07 09:16:00:620,1,386,17,0,1160,5139,386,0 139,0,2024-09-07 09:16:01:364,99631,1.7,99608,1.2,199641,2.4,266490,2.50 139,1,2024-09-07 09:16:00:579,674092,674092,0,0,315882326763,3359598562,660405,10418,3269,381,392058,0 139,2,2024-09-07 09:16:00:702,488268,488268,0,0,25200272,0,3097 139,3,2024-09-07 09:16:01:665,1,386,0,0,432,4660,386,0 140,0,2024-09-07 09:16:01:588,95807,0.3,95224,0.5,191130,0.2,254640,1.75 140,1,2024-09-07 09:16:01:535,680473,680473,0,0,319938895552,3300764254,676946,3087,440,365,391606,0 140,2,2024-09-07 09:16:00:687,490409,490408,1,0,20024687,0,5036 140,3,2024-09-07 09:16:00:766,1,386,8,0,297,3370,386,0 141,0,2024-09-07 09:16:01:700,94607,0.3,97085,0.5,185462,0.3,251509,1.75 141,1,2024-09-07 09:16:00:876,679129,679129,0,0,319387291044,3318356764,673922,4253,954,379,391614,0 141,2,2024-09-07 09:16:01:686,485989,485989,0,0,19392208,0,3360 141,3,2024-09-07 09:16:01:043,1,386,1,0,391,4505,386,0 142,0,2024-09-07 09:16:01:319,96506,0.3,95929,0.5,191667,0.3,256427,1.75 142,1,2024-09-07 09:16:00:589,678209,678209,0,0,318272018747,3318900930,673752,4064,393,382,392102,0 142,2,2024-09-07 09:16:01:304,485583,485551,32,0,21185531,0,6028 142,3,2024-09-07 09:16:01:753,1,386,0,0,484,4445,386,0 143,0,2024-09-07 09:16:01:368,100484,0.4,100380,0.6,201302,0.4,267697,1.75 143,1,2024-09-07 09:16:00:568,679444,679444,0,0,318923377176,3312380561,674688,4241,515,367,391705,0 143,2,2024-09-07 09:16:00:769,491264,491264,0,0,20641964,0,3123 143,3,2024-09-07 09:16:01:140,1,386,13,0,462,5103,386,0 144,0,2024-09-07 09:16:01:492,92190,0.6,94680,0.8,193076,0.5,253250,2.00 144,1,2024-09-07 09:16:00:565,675943,675943,0,0,316883113661,3326296151,669225,5373,1345,381,391649,0 144,2,2024-09-07 09:16:01:755,490495,490495,0,0,19231256,0,3673 144,3,2024-09-07 09:16:01:739,1,386,12,0,249,3902,386,0 145,0,2024-09-07 09:16:01:356,92188,0.5,92191,0.8,195518,0.5,251482,2.25 145,1,2024-09-07 09:16:00:561,676331,676331,0,0,317085696118,3332121218,668265,6920,1146,382,391759,0 145,2,2024-09-07 09:16:01:436,486215,486134,81,0,23407885,0,7814 145,3,2024-09-07 09:16:00:901,1,386,15,0,622,5737,386,0 146,0,2024-09-07 09:16:01:594,94793,0.5,94165,0.7,189812,0.5,251743,2.00 146,1,2024-09-07 09:16:01:587,677423,677423,0,0,317493549283,3336673670,666786,8520,2117,367,391770,0 146,2,2024-09-07 09:16:01:695,484236,484236,0,0,22067762,0,2730 146,3,2024-09-07 09:16:01:278,1,386,1,0,1520,7782,386,0 147,0,2024-09-07 09:16:01:699,100123,0.6,99805,0.7,199006,0.6,266562,2.25 147,1,2024-09-07 09:16:01:372,679264,679264,0,0,319239678963,3322708167,672939,5481,844,368,391791,0 147,2,2024-09-07 09:16:01:010,488006,488006,0,0,20417360,0,2968 147,3,2024-09-07 09:16:00:916,1,386,1,0,730,5499,386,0 0,0,2024-09-07 09:16:11:758,92235,0.6,92280,0.8,195730,0.7,252519,2.00 0,1,2024-09-07 09:16:10:822,679144,679144,0,0,319282148559,3341902485,673918,4840,386,369,391896,0 0,2,2024-09-07 09:16:11:073,487516,487516,0,0,19679673,0,4480 0,3,2024-09-07 09:16:10:981,1,387,392,0,431,5904,387,0 1,0,2024-09-07 09:16:11:767,98422,0.9,97821,1.0,196521,1.2,262758,2.25 1,1,2024-09-07 09:16:10:587,678631,678631,0,0,318466218263,3334643086,672443,4870,1318,370,391857,0 1,2,2024-09-07 09:16:10:674,487282,487282,0,0,19704760,0,3380 1,3,2024-09-07 09:16:11:318,1,387,3,0,262,4677,387,0 2,0,2024-09-07 09:16:11:575,99184,0.7,99465,0.8,198151,0.8,264113,2.00 2,1,2024-09-07 09:16:10:863,680980,680980,0,0,319377477764,3316715509,677748,2939,293,380,391745,0 2,2,2024-09-07 09:16:11:275,493302,493302,0,0,19349645,0,3594 2,3,2024-09-07 09:16:10:693,1,387,4,0,357,3850,387,0 3,0,2024-09-07 09:16:11:745,96385,0.4,96303,0.6,192400,0.4,256249,2.00 3,1,2024-09-07 09:16:11:619,679442,679442,0,0,319690759259,3331264347,673345,5407,690,380,391716,0 3,2,2024-09-07 09:16:11:142,490803,490780,23,0,20107690,0,5851 3,3,2024-09-07 09:16:11:752,1,387,0,0,207,2404,387,0 4,0,2024-09-07 09:16:11:771,92032,0.4,94575,0.5,192633,0.3,252173,1.75 4,1,2024-09-07 09:16:10:597,677201,677201,0,0,317941669423,3360218371,666193,8505,2503,370,391992,0 4,2,2024-09-07 09:16:11:024,484626,484626,0,0,22253117,0,4528 4,3,2024-09-07 09:16:11:032,1,387,1,0,448,5227,387,0 5,0,2024-09-07 09:16:11:413,96301,0.4,96816,0.6,193309,0.4,257058,1.75 5,1,2024-09-07 09:16:10:758,678324,678324,0,0,317999960266,3349357529,668502,7886,1936,367,392005,0 5,2,2024-09-07 09:16:11:831,485883,485883,0,0,21951333,0,3582 5,3,2024-09-07 09:16:11:751,1,387,16,0,457,5592,387,0 6,0,2024-09-07 09:16:10:922,101434,0.4,101060,0.6,201806,0.4,270006,2.00 6,1,2024-09-07 09:16:10:746,678547,678547,0,0,318002738363,3325061515,670777,6383,1387,379,391694,0 6,2,2024-09-07 09:16:11:118,492417,492399,18,0,22374152,0,5535 6,3,2024-09-07 09:16:11:278,1,387,1,0,340,4280,387,0 7,0,2024-09-07 09:16:11:557,94552,0.5,95166,0.6,189653,0.4,252534,2.00 7,1,2024-09-07 09:16:10:864,678180,678180,0,0,318887676104,3347215341,668914,7871,1395,382,391747,0 7,2,2024-09-07 09:16:10:775,491387,491387,0,0,21763924,0,4791 7,3,2024-09-07 09:16:10:861,1,387,1,0,398,4140,387,0 8,0,2024-09-07 09:16:11:345,95021,0.4,94838,0.5,189949,0.3,252867,1.75 8,1,2024-09-07 09:16:11:034,677214,677214,0,0,318305099246,3348320868,666263,8358,2593,366,392144,0 8,2,2024-09-07 09:16:10:825,483508,483508,0,0,25189533,0,3220 8,3,2024-09-07 09:16:10:600,1,387,12,0,538,6503,387,0 9,0,2024-09-07 09:16:11:145,95941,0.4,93167,0.5,195057,0.3,255404,1.75 9,1,2024-09-07 09:16:10:577,677615,677615,0,0,317925084223,3348352767,667941,7536,2138,369,392001,0 9,2,2024-09-07 09:16:11:096,486470,486470,0,0,22418073,0,3360 9,3,2024-09-07 09:16:11:753,1,387,3,0,496,5385,387,0 10,0,2024-09-07 09:16:11:607,100971,0.3,100292,0.5,201499,0.2,268743,1.75 10,1,2024-09-07 09:16:10:587,678395,678395,0,0,318867130130,3339641381,669468,7642,1285,381,391741,0 10,2,2024-09-07 09:16:10:776,491143,491143,0,0,24854485,0,4264 10,3,2024-09-07 09:16:10:871,1,387,2,0,649,4372,387,0 11,0,2024-09-07 09:16:11:035,95304,0.5,92492,0.6,193402,0.4,255193,1.75 11,1,2024-09-07 09:16:10:571,679148,679148,0,0,318154742595,3339336794,668570,8096,2482,383,391756,0 11,2,2024-09-07 09:16:11:134,490316,490316,0,0,22236290,0,4130 11,3,2024-09-07 09:16:11:308,1,387,0,0,843,5983,387,0 12,0,2024-09-07 09:16:10:951,96817,0.4,96864,0.5,193536,0.3,256529,1.75 12,1,2024-09-07 09:16:10:948,679480,679480,0,0,319227727888,3329457435,674101,4884,495,370,391870,0 12,2,2024-09-07 09:16:11:559,489896,489896,0,0,21502641,0,3469 12,3,2024-09-07 09:16:11:069,1,387,0,0,386,6045,387,0 13,0,2024-09-07 09:16:11:360,95569,0.4,95340,0.6,190364,0.4,253331,1.75 13,1,2024-09-07 09:16:11:532,678073,678073,0,0,317952824548,3343944852,671046,5455,1572,382,391740,0 13,2,2024-09-07 09:16:10:596,488012,488012,0,0,19767097,0,3287 13,3,2024-09-07 09:16:11:773,1,387,0,0,522,6066,387,0 14,0,2024-09-07 09:16:10:569,99871,0.4,100704,0.6,199514,0.4,266774,1.75 14,1,2024-09-07 09:16:11:568,682546,682546,0,0,320563544076,3322663971,676823,5195,528,364,391673,0 14,2,2024-09-07 09:16:10:769,488910,488880,30,0,21979733,0,6104 14,3,2024-09-07 09:16:11:117,1,387,1,0,1168,4383,387,0 15,0,2024-09-07 09:16:11:561,97470,0.4,97428,0.7,195235,0.4,259617,2.00 15,1,2024-09-07 09:16:11:609,679465,679465,0,0,319369058294,3327787289,673915,4572,978,381,391619,0 15,2,2024-09-07 09:16:11:003,493643,493643,0,0,18220097,0,3622 15,3,2024-09-07 09:16:11:410,1,387,1,0,1126,6787,387,0 16,0,2024-09-07 09:16:10:951,96464,0.5,96850,0.7,193286,0.5,256962,2.00 16,1,2024-09-07 09:16:10:588,679607,679607,0,0,318680526210,3331968354,673728,5036,843,370,391756,0 16,2,2024-09-07 09:16:11:435,490411,490411,0,0,21075775,0,4719 16,3,2024-09-07 09:16:11:141,1,387,15,0,317,5136,387,0 17,0,2024-09-07 09:16:11:767,98118,0.6,95760,0.8,187452,0.7,254554,2.00 17,1,2024-09-07 09:16:10:595,678202,678202,0,0,318192076056,3341496688,670691,6002,1509,368,392075,0 17,2,2024-09-07 09:16:11:672,488665,488665,0,0,20319317,0,2948 17,3,2024-09-07 09:16:10:574,1,387,1,0,298,6142,387,0 18,0,2024-09-07 09:16:10:954,96224,0.7,96593,0.8,192620,0.7,257635,2.25 18,1,2024-09-07 09:16:11:641,680943,680943,0,0,319199000876,3309554896,677118,3450,375,367,391649,0 18,2,2024-09-07 09:16:11:755,489842,489842,0,0,18715768,0,3541 18,3,2024-09-07 09:16:10:896,1,387,1,0,163,3130,387,0 19,0,2024-09-07 09:16:11:550,99991,0.7,100535,0.8,199291,0.7,265108,2.25 19,1,2024-09-07 09:16:10:568,681102,681102,0,0,319681097760,3318726821,675303,4882,917,367,391777,0 19,2,2024-09-07 09:16:11:755,495040,495040,0,0,17969185,0,3988 19,3,2024-09-07 09:16:11:133,1,387,1,0,524,2873,387,0 20,0,2024-09-07 09:16:11:378,95612,0.5,95659,0.6,191039,0.4,255133,2.00 20,1,2024-09-07 09:16:10:583,678847,678847,0,0,319103861620,3336466303,673060,5027,760,369,391886,0 20,2,2024-09-07 09:16:10:937,491099,491099,0,0,21093859,0,3721 20,3,2024-09-07 09:16:10:593,1,387,0,0,414,5851,387,0 21,0,2024-09-07 09:16:11:161,94554,0.5,94576,0.6,189077,0.5,250965,2.00 21,1,2024-09-07 09:16:11:570,677255,677255,0,0,318126784809,3351678724,667917,7348,1990,368,392016,0 21,2,2024-09-07 09:16:11:073,483962,483942,20,0,25003555,0,5617 21,3,2024-09-07 09:16:11:420,1,387,60,0,713,5141,387,0 22,0,2024-09-07 09:16:11:720,95927,0.5,96257,0.7,192792,0.5,255836,2.00 22,1,2024-09-07 09:16:11:029,678337,678337,0,0,318226068321,3343797601,668375,7910,2052,382,391667,0 22,2,2024-09-07 09:16:10:760,486246,486220,26,0,20461310,0,6328 22,3,2024-09-07 09:16:11:069,1,387,1,0,228,3311,387,0 23,0,2024-09-07 09:16:11:383,100334,0.5,99924,0.7,200268,0.4,267118,2.25 23,1,2024-09-07 09:16:11:008,679788,679788,0,0,318601824471,3344259480,668213,8024,3551,365,391690,0 23,2,2024-09-07 09:16:11:100,493537,493537,0,0,19818750,0,3010 23,3,2024-09-07 09:16:11:754,1,387,1,0,720,5338,387,0 24,0,2024-09-07 09:16:10:825,96081,0.4,95835,0.5,192128,0.4,255529,1.75 24,1,2024-09-07 09:16:10:604,678468,678468,0,0,317687704223,3331052231,670969,5916,1583,367,392269,0 24,2,2024-09-07 09:16:11:082,489742,489742,0,0,24251121,0,3607 24,3,2024-09-07 09:16:11:697,1,387,8,0,468,5433,387,0 25,0,2024-09-07 09:16:11:367,98016,0.4,95524,0.6,187315,0.4,255212,1.75 25,1,2024-09-07 09:16:10:567,677974,677974,0,0,318159643064,3347768785,667634,8435,1905,371,391928,0 25,2,2024-09-07 09:16:11:633,487408,487408,0,0,24276613,0,3978 25,3,2024-09-07 09:16:11:011,1,387,8,0,532,4299,387,0 26,0,2024-09-07 09:16:11:720,94954,0.4,92667,0.6,194347,0.3,253317,1.75 26,1,2024-09-07 09:16:11:550,679726,679726,0,0,318239866735,3332730227,670099,8080,1547,380,391748,0 26,2,2024-09-07 09:16:10:868,487383,487383,0,0,24159714,0,2809 26,3,2024-09-07 09:16:11:719,1,387,0,0,796,4771,387,0 27,0,2024-09-07 09:16:11:729,100616,0.4,100778,0.6,200122,0.4,267738,2.00 27,1,2024-09-07 09:16:11:681,681544,681544,0,0,319519391731,3326503174,675283,5438,823,381,391626,0 27,2,2024-09-07 09:16:10:866,487407,487342,65,0,23132873,0,5699 27,3,2024-09-07 09:16:11:019,1,387,1,0,564,3968,387,0 28,0,2024-09-07 09:16:11:405,97043,0.4,96626,0.6,193391,0.3,258057,2.00 28,1,2024-09-07 09:16:10:801,679968,679968,0,0,319743211802,3340367144,673144,5137,1687,383,391698,0 28,2,2024-09-07 09:16:11:764,491699,491699,0,0,21198924,0,2915 28,3,2024-09-07 09:16:11:776,1,387,5,0,502,4411,387,0 29,0,2024-09-07 09:16:11:364,99122,0.3,96556,0.6,189748,0.3,257923,1.75 29,1,2024-09-07 09:16:11:569,681990,681990,0,0,320716019219,3320853952,677202,4055,733,368,391753,0 29,2,2024-09-07 09:16:10:867,489598,489598,0,0,20104510,0,4986 29,3,2024-09-07 09:16:10:973,1,387,1,0,459,4775,387,0 30,0,2024-09-07 09:16:11:456,94583,0.5,91958,0.7,192546,0.4,251333,2.00 30,1,2024-09-07 09:16:10:571,681957,681957,0,0,320037695323,3325224403,676311,4880,766,381,391672,0 30,2,2024-09-07 09:16:11:274,487011,487011,0,0,18675632,0,3161 30,3,2024-09-07 09:16:10:587,1,387,1,0,519,3828,387,0 31,0,2024-09-07 09:16:11:764,98170,0.4,98569,0.6,196745,0.4,262930,2.00 31,1,2024-09-07 09:16:10:569,683928,683928,0,0,321481154664,3297266517,681021,2321,586,356,391712,0 31,2,2024-09-07 09:16:11:280,487864,487864,0,0,20940294,0,3525 31,3,2024-09-07 09:16:11:714,1,387,0,0,220,3361,387,0 32,0,2024-09-07 09:16:11:438,99616,0.3,100241,0.5,199669,0.3,265763,1.75 32,1,2024-09-07 09:16:10:811,680684,680684,0,0,319965778634,3329385576,675672,4333,679,381,391646,0 32,2,2024-09-07 09:16:10:943,493910,493910,0,0,18293854,0,3155 32,3,2024-09-07 09:16:11:019,1,387,1,0,227,2756,387,0 33,0,2024-09-07 09:16:11:513,96534,0.3,96075,0.4,192770,0.2,256640,1.50 33,1,2024-09-07 09:16:10:584,681862,681862,0,0,320229345035,3322529680,675747,5013,1102,369,391730,0 33,2,2024-09-07 09:16:10:762,491892,491857,35,0,20975106,0,7012 33,3,2024-09-07 09:16:10:903,1,387,13,0,329,3828,387,0 34,0,2024-09-07 09:16:10:938,95049,0.3,97679,0.4,187075,0.2,251658,1.75 34,1,2024-09-07 09:16:11:050,683308,683308,0,0,320782810519,3299150118,681665,1632,11,367,391562,0 34,2,2024-09-07 09:16:10:767,486770,486770,0,0,19224233,0,3577 34,3,2024-09-07 09:16:11:689,1,387,2,0,299,2945,387,0 35,0,2024-09-07 09:16:10:868,95794,0.4,96123,0.5,193443,0.3,257733,1.75 35,1,2024-09-07 09:16:11:077,681435,681435,0,0,319562826026,3312717988,677266,3313,856,382,391769,0 35,2,2024-09-07 09:16:11:588,487548,487548,0,0,20006110,0,2653 35,3,2024-09-07 09:16:10:914,1,387,1,0,418,4410,387,0 36,0,2024-09-07 09:16:11:520,101553,0.5,101443,0.6,202760,0.4,269865,2.00 36,1,2024-09-07 09:16:10:588,679834,679834,0,0,319270852676,3335445742,670762,7089,1983,366,391759,0 36,2,2024-09-07 09:16:11:755,493121,493121,0,0,22049043,0,3875 36,3,2024-09-07 09:16:10:876,1,387,13,0,416,5883,387,0 37,0,2024-09-07 09:16:11:375,94721,0.5,94728,0.6,189492,0.4,252817,2.00 37,1,2024-09-07 09:16:10:575,679961,679954,0,7,318359739010,3324536222,672286,5504,2164,365,391570,0 37,2,2024-09-07 09:16:11:149,489474,489459,15,0,22154472,0,5815 37,3,2024-09-07 09:16:11:769,1,387,28,0,888,6029,387,0 38,0,2024-09-07 09:16:11:438,94312,0.5,91615,0.6,191623,0.4,250621,2.00 38,1,2024-09-07 09:16:11:614,680884,680884,0,0,319569573919,3337108587,671803,7192,1889,368,391821,0 38,2,2024-09-07 09:16:10:765,487130,487083,47,0,21289546,0,6710 38,3,2024-09-07 09:16:11:007,1,387,43,0,689,5357,387,0 39,0,2024-09-07 09:16:11:761,97672,0.6,95636,0.7,186291,0.5,254417,2.00 39,1,2024-09-07 09:16:10:717,679684,679684,0,0,318892802891,3340934302,669039,8502,2143,365,391658,0 39,2,2024-09-07 09:16:11:424,487572,487572,0,0,19795653,0,2689 39,3,2024-09-07 09:16:10:716,1,387,1,0,324,4617,387,0 40,0,2024-09-07 09:16:11:508,99941,0.8,100846,0.9,200655,0.8,268241,2.75 40,1,2024-09-07 09:16:10:576,680252,680252,0,0,318269217772,3330947523,670911,7726,1615,368,391668,0 40,2,2024-09-07 09:16:11:306,490519,490518,1,0,23710157,0,5137 40,3,2024-09-07 09:16:11:148,1,387,34,0,1028,5407,387,0 41,0,2024-09-07 09:16:11:027,94847,1.5,97051,1.3,185411,2.5,251888,3.00 41,1,2024-09-07 09:16:10:774,678947,678947,0,0,318447218176,3329727074,670900,7279,768,369,391742,0 41,2,2024-09-07 09:16:10:778,489334,489334,0,0,22585097,0,4277 41,3,2024-09-07 09:16:11:677,1,387,1,0,366,4032,387,0 42,0,2024-09-07 09:16:11:483,95345,0.9,95369,1.0,190829,1.0,252355,2.75 42,1,2024-09-07 09:16:11:444,677950,677950,0,0,318027246395,3343175211,666999,9033,1918,380,391675,0 42,2,2024-09-07 09:16:11:133,488519,488519,0,0,23039362,0,3790 42,3,2024-09-07 09:16:11:012,1,387,1,0,446,3195,387,0 43,0,2024-09-07 09:16:10:922,93761,0.8,91308,1.0,191322,0.9,250720,2.25 43,1,2024-09-07 09:16:10:576,679724,679724,0,0,319843584035,3342637342,670121,7873,1730,366,391696,0 43,2,2024-09-07 09:16:11:741,486531,486531,0,0,22598961,0,3812 43,3,2024-09-07 09:16:11:755,1,387,1,0,467,4570,387,0 44,0,2024-09-07 09:16:10:872,99790,0.4,100091,0.6,200252,0.4,266525,2.00 44,1,2024-09-07 09:16:10:569,681295,681295,0,0,319477618251,3306907502,674986,4992,1317,356,391809,0 44,2,2024-09-07 09:16:11:273,487890,487890,0,0,19341630,0,4344 44,3,2024-09-07 09:16:11:104,1,387,3,0,817,4810,387,0 45,0,2024-09-07 09:16:11:760,96504,0.5,94272,0.8,197660,0.5,260175,2.00 45,1,2024-09-07 09:16:11:015,680734,680734,0,0,319948681515,3326226776,675491,4726,517,382,391917,0 45,2,2024-09-07 09:16:11:268,492880,492880,0,0,19807606,0,3596 45,3,2024-09-07 09:16:10:946,1,387,3,0,271,3575,387,0 46,0,2024-09-07 09:16:10:958,95871,0.5,95906,0.7,191954,0.5,254574,2.00 46,1,2024-09-07 09:16:10:584,682012,682012,0,0,320438826605,3312016749,677512,3911,589,366,391709,0 46,2,2024-09-07 09:16:10:592,491022,491022,0,0,19747584,0,4443 46,3,2024-09-07 09:16:11:136,1,387,1,0,908,5177,387,0 47,0,2024-09-07 09:16:11:106,95607,0.5,95879,0.6,192125,0.4,253934,2.00 47,1,2024-09-07 09:16:10:575,682635,682635,0,0,318627416122,3295017571,678078,3742,815,366,391641,0 47,2,2024-09-07 09:16:10:912,487963,487963,0,0,19023060,0,4477 47,3,2024-09-07 09:16:11:116,1,387,1,0,600,4788,387,0 48,0,2024-09-07 09:16:11:500,97656,0.3,97429,0.4,194275,0.2,259800,1.75 48,1,2024-09-07 09:16:11:033,681182,681182,0,0,319707218170,3321369830,676995,3733,454,384,391710,0 48,2,2024-09-07 09:16:10:700,486987,486987,0,0,17760363,0,3031 48,3,2024-09-07 09:16:10:757,1,387,5,0,339,3243,387,0 49,0,2024-09-07 09:16:11:721,103253,0.4,101463,0.5,196451,0.3,269303,1.75 49,1,2024-09-07 09:16:11:030,680715,680715,0,0,319788965159,3330019032,675668,3842,1205,382,391809,0 49,2,2024-09-07 09:16:11:796,493906,493906,0,0,19982957,0,4426 49,3,2024-09-07 09:16:11:427,1,387,0,0,992,4889,387,0 50,0,2024-09-07 09:16:11:507,96171,0.3,94622,0.4,190954,0.2,254909,1.75 50,1,2024-09-07 09:16:11:016,682621,682621,0,0,320267531414,3313613149,677818,4229,574,368,391565,0 50,2,2024-09-07 09:16:11:069,491138,491138,0,0,17962026,0,2263 50,3,2024-09-07 09:16:11:295,1,387,1,0,567,4092,387,0 51,0,2024-09-07 09:16:11:703,97056,0.3,94854,0.5,185062,0.2,252065,1.75 51,1,2024-09-07 09:16:11:691,682766,682766,0,0,321488899471,3316292624,679259,2530,977,365,391706,0 51,2,2024-09-07 09:16:11:324,486772,486772,0,0,17241336,0,3337 51,3,2024-09-07 09:16:11:032,1,387,11,0,678,3061,387,0 52,0,2024-09-07 09:16:11:425,96459,0.5,96348,0.7,192856,0.5,256657,2.00 52,1,2024-09-07 09:16:10:574,680022,680022,0,0,318640713932,3333725278,670786,7922,1314,368,391722,0 52,2,2024-09-07 09:16:11:755,484342,484304,38,0,22523700,0,6742 52,3,2024-09-07 09:16:10:678,1,387,5,0,1782,5645,387,0 53,0,2024-09-07 09:16:11:737,99890,0.6,97109,0.7,203295,0.6,266726,2.25 53,1,2024-09-07 09:16:10:778,678402,678402,0,0,318962383514,3347315248,667076,8385,2941,367,391702,0 53,2,2024-09-07 09:16:11:311,492643,492642,1,0,20505675,0,5455 53,3,2024-09-07 09:16:10:697,1,387,0,0,308,3387,387,0 54,0,2024-09-07 09:16:11:623,94066,0.6,94336,0.8,187944,0.4,251460,2.50 54,1,2024-09-07 09:16:10:589,680413,680413,0,0,319757661766,3319288771,674475,5319,619,366,391659,0 54,2,2024-09-07 09:16:10:864,491077,491045,32,0,23556057,0,6397 54,3,2024-09-07 09:16:10:775,1,387,61,0,676,5952,387,0 55,0,2024-09-07 09:16:11:762,92265,0.6,95430,0.7,192677,0.5,251089,2.50 55,1,2024-09-07 09:16:10:764,680856,680856,0,0,319602795769,3321220299,674026,5913,917,365,391731,0 55,2,2024-09-07 09:16:10:735,487578,487522,56,0,22138638,0,7239 55,3,2024-09-07 09:16:10:678,1,387,6,0,304,4112,387,0 56,0,2024-09-07 09:16:11:567,97193,1.0,91610,1.1,188747,1.4,253145,2.50 56,1,2024-09-07 09:16:10:572,676670,676670,0,0,317760632725,3355128452,666327,8150,2193,381,391867,0 56,2,2024-09-07 09:16:11:321,486921,486921,0,0,21936758,0,3567 56,3,2024-09-07 09:16:11:072,1,387,26,0,705,4881,387,0 57,0,2024-09-07 09:16:11:014,99216,1.5,98997,1.2,198482,2.1,265849,3.00 57,1,2024-09-07 09:16:10:998,679046,679046,0,0,318059667067,3334317494,671039,6993,1014,366,392032,0 57,2,2024-09-07 09:16:11:325,490388,490388,0,0,24198285,0,3317 57,3,2024-09-07 09:16:11:746,1,387,8,0,359,4253,387,0 58,0,2024-09-07 09:16:10:560,95275,0.9,92526,1.0,193636,1.1,253647,2.50 58,1,2024-09-07 09:16:10:584,679734,679731,0,3,318863455578,3334620011,670443,8031,1257,367,391603,3 58,2,2024-09-07 09:16:11:084,490942,490942,0,0,22715114,0,2902 58,3,2024-09-07 09:16:11:068,1,387,0,0,1043,4243,387,0 59,0,2024-09-07 09:16:11:746,96246,0.8,95928,0.9,191448,0.8,254359,2.50 59,1,2024-09-07 09:16:10:816,679086,679086,0,0,319053478405,3341142936,670005,7491,1590,369,391653,0 59,2,2024-09-07 09:16:10:597,490503,490503,0,0,22064164,0,2867 59,3,2024-09-07 09:16:11:741,1,387,1,0,1015,4935,387,0 60,0,2024-09-07 09:16:11:755,94907,0.5,94858,0.7,190410,0.5,252438,1.75 60,1,2024-09-07 09:16:10:781,681173,681173,0,0,319292501891,3315478858,676626,3898,649,370,392031,0 60,2,2024-09-07 09:16:11:150,486785,486785,0,0,21101341,0,3811 60,3,2024-09-07 09:16:11:270,1,387,12,0,409,4591,387,0 61,0,2024-09-07 09:16:11:508,98155,0.7,98816,0.8,196673,0.7,262498,2.00 61,1,2024-09-07 09:16:10:781,679564,679564,0,0,318280875177,3336992401,671722,6446,1396,382,392127,0 61,2,2024-09-07 09:16:11:125,487732,487665,67,0,21667827,0,6411 61,3,2024-09-07 09:16:11:693,1,387,1,0,479,5425,387,0 62,0,2024-09-07 09:16:11:714,100165,0.6,102503,0.7,195651,0.6,265520,2.00 62,1,2024-09-07 09:16:11:116,684153,684147,0,6,320750688687,3310183884,680343,3543,261,365,391715,6 62,2,2024-09-07 09:16:11:648,491582,491581,1,0,21831672,0,5555 62,3,2024-09-07 09:16:11:145,1,387,1,0,482,3294,387,0 63,0,2024-09-07 09:16:11:466,96717,0.4,96574,0.6,193502,0.3,257087,1.75 63,1,2024-09-07 09:16:10:811,681424,681418,0,6,319555056530,3318135617,677118,3888,412,381,391800,6 63,2,2024-09-07 09:16:10:763,491146,491146,0,0,19751892,0,4369 63,3,2024-09-07 09:16:11:743,1,387,1,0,667,4118,387,0 64,0,2024-09-07 09:16:11:594,94341,0.5,94308,0.7,188522,0.5,250763,2.00 64,1,2024-09-07 09:16:10:760,680747,680747,0,0,318847318294,3324003099,674494,4570,1683,370,391783,0 64,2,2024-09-07 09:16:11:143,489280,489261,19,0,19144579,0,6121 64,3,2024-09-07 09:16:11:149,1,387,104,0,265,3607,387,0 65,0,2024-09-07 09:16:11:705,95407,0.7,95787,0.8,191337,0.8,255253,2.25 65,1,2024-09-07 09:16:10:858,679148,679148,0,0,319177866667,3337522178,674105,4503,540,382,391901,0 65,2,2024-09-07 09:16:11:704,488033,488033,0,0,22027552,0,3367 65,3,2024-09-07 09:16:11:683,1,387,1,0,347,3943,387,0 66,0,2024-09-07 09:16:11:765,100765,0.5,100846,0.7,201322,0.5,268380,2.25 66,1,2024-09-07 09:16:11:294,681046,681046,0,0,318844262614,3320197865,676434,4229,383,380,391653,0 66,2,2024-09-07 09:16:11:132,494603,494603,0,0,19643636,0,4956 66,3,2024-09-07 09:16:11:091,1,387,1,0,291,3535,387,0 67,0,2024-09-07 09:16:11:444,95280,0.5,94983,0.7,190589,0.4,253641,2.00 67,1,2024-09-07 09:16:10:776,681254,681253,0,1,318413824135,3316661760,676588,3880,785,380,391787,1 67,2,2024-09-07 09:16:10:591,492687,492687,0,0,18813553,0,3622 67,3,2024-09-07 09:16:11:754,1,387,2,0,392,3728,387,0 68,0,2024-09-07 09:16:10:596,95235,0.6,94877,0.7,189227,0.5,252682,2.00 68,1,2024-09-07 09:16:10:580,678527,678527,0,0,318319050966,3341656946,670850,5372,2305,381,391953,0 68,2,2024-09-07 09:16:11:064,485346,485246,100,0,24898313,0,8578 68,3,2024-09-07 09:16:10:728,1,387,26,0,417,4186,387,0 69,0,2024-09-07 09:16:11:744,95254,0.7,95815,0.8,190836,0.8,253461,2.25 69,1,2024-09-07 09:16:11:036,678036,678036,0,0,318872703254,3362263070,668907,6940,2189,384,391994,0 69,2,2024-09-07 09:16:11:743,486625,486596,29,0,25650830,0,6912 69,3,2024-09-07 09:16:10:771,1,387,2,0,698,5319,387,0 70,0,2024-09-07 09:16:11:602,99948,0.9,100073,1.0,201139,0.7,266815,2.50 70,1,2024-09-07 09:16:10:808,681121,681121,0,0,319833070956,3319571030,675546,4917,658,366,391725,0 70,2,2024-09-07 09:16:11:333,490956,490956,0,0,21939907,0,4044 70,3,2024-09-07 09:16:10:749,1,387,33,0,854,4418,387,0 71,0,2024-09-07 09:16:11:395,94572,0.9,94349,1.0,189305,1.1,253473,2.75 71,1,2024-09-07 09:16:11:604,680275,680275,0,0,319661012999,3335300980,672509,7005,761,368,391738,0 71,2,2024-09-07 09:16:11:069,490758,490758,0,0,22322940,0,4352 71,3,2024-09-07 09:16:11:753,1,387,0,0,644,5148,387,0 72,0,2024-09-07 09:16:11:035,99197,0.5,96984,0.7,189068,0.5,256799,2.00 72,1,2024-09-07 09:16:11:036,679131,679131,0,0,318510803095,3340891557,669111,8053,1967,369,391819,0 72,2,2024-09-07 09:16:11:755,488927,488927,0,0,24317437,0,3983 72,3,2024-09-07 09:16:11:754,1,387,13,0,564,5867,387,0 73,0,2024-09-07 09:16:11:114,92559,0.4,94827,0.6,194019,0.4,252041,2.00 73,1,2024-09-07 09:16:10:783,680394,680394,0,0,318661990379,3310441099,675707,4323,364,367,391858,0 73,2,2024-09-07 09:16:11:749,486619,486619,0,0,23601440,0,3701 73,3,2024-09-07 09:16:10:978,1,387,6,0,486,5422,387,0 74,0,2024-09-07 09:16:11:329,100571,0.4,102665,0.6,195923,0.4,266586,2.00 74,1,2024-09-07 09:16:10:649,680087,680087,0,0,318880248336,3328832112,673104,5614,1369,381,391681,0 74,2,2024-09-07 09:16:11:009,487773,487773,0,0,22036952,0,4253 74,3,2024-09-07 09:16:11:454,1,387,1,0,522,5359,387,0 75,0,2024-09-07 09:16:11:782,98126,0.5,97495,0.8,195845,0.5,261250,2.25 75,1,2024-09-07 09:16:11:591,679815,679815,0,0,318719002322,3326574712,673129,5860,826,380,391739,0 75,2,2024-09-07 09:16:11:357,491309,491309,0,0,23745035,0,4766 75,3,2024-09-07 09:16:11:072,1,387,25,0,702,5187,387,0 76,0,2024-09-07 09:16:10:588,95943,0.6,95365,0.8,191532,0.5,255369,2.25 76,1,2024-09-07 09:16:10:813,680190,680190,0,0,318766450511,3326436320,675439,4054,697,382,391692,0 76,2,2024-09-07 09:16:11:081,492517,492516,1,0,21685996,0,5144 76,3,2024-09-07 09:16:11:150,1,387,11,0,175,3593,387,0 77,0,2024-09-07 09:16:11:738,95011,0.6,95321,0.8,190531,0.6,252870,2.00 77,1,2024-09-07 09:16:10:829,680182,680182,0,0,319203081138,3334038101,674595,5089,498,381,391869,0 77,2,2024-09-07 09:16:11:281,486303,486303,0,0,20979613,0,3890 77,3,2024-09-07 09:16:11:099,1,387,9,0,401,4196,387,0 78,0,2024-09-07 09:16:11:721,97414,0.5,96923,0.7,194795,0.4,258456,2.00 78,1,2024-09-07 09:16:10:622,680761,680761,0,0,318772319087,3322912436,673403,5792,1566,367,391670,0 78,2,2024-09-07 09:16:11:431,487945,487932,13,0,19877030,0,8313 78,3,2024-09-07 09:16:11:140,1,387,1,0,181,3579,387,0 79,0,2024-09-07 09:16:11:359,97005,0.4,99343,0.6,203495,0.4,264125,2.25 79,1,2024-09-07 09:16:10:579,682110,682110,0,0,319741364816,3315635643,676216,4745,1149,368,391682,0 79,2,2024-09-07 09:16:11:069,494358,494358,0,0,19617559,0,4195 79,3,2024-09-07 09:16:10:753,1,387,4,0,418,4816,387,0 80,0,2024-09-07 09:16:11:093,95606,0.5,98401,0.7,188361,0.5,254329,2.00 80,1,2024-09-07 09:16:11:631,680250,680250,0,0,319361497529,3325179375,675561,4367,322,368,391791,0 80,2,2024-09-07 09:16:11:110,492867,492867,0,0,19187853,0,4433 80,3,2024-09-07 09:16:10:586,1,387,11,0,190,4608,387,0 81,0,2024-09-07 09:16:11:587,94609,0.5,96836,0.7,184805,0.5,250858,2.00 81,1,2024-09-07 09:16:11:657,679720,679720,0,0,318248145343,3328167650,673982,5233,505,382,391879,0 81,2,2024-09-07 09:16:11:136,485408,485345,63,0,20983535,0,5932 81,3,2024-09-07 09:16:11:121,1,387,3,0,719,4895,387,0 82,0,2024-09-07 09:16:11:545,95669,0.5,96219,0.7,192701,0.5,255814,2.00 82,1,2024-09-07 09:16:10:589,681551,681547,0,4,319610667929,3326637864,677118,3626,803,381,391768,4 82,2,2024-09-07 09:16:11:701,488792,488792,0,0,18069959,0,3986 82,3,2024-09-07 09:16:11:752,1,387,20,0,363,3771,387,0 83,0,2024-09-07 09:16:11:523,100723,0.6,100571,0.7,200673,0.6,266964,2.25 83,1,2024-09-07 09:16:10:560,679187,679187,0,0,318995037127,3328240168,673909,4828,450,382,391709,0 83,2,2024-09-07 09:16:10:775,492646,492646,0,0,18993344,0,3393 83,3,2024-09-07 09:16:10:753,1,387,8,0,1260,5111,387,0 84,0,2024-09-07 09:16:11:785,94837,0.7,94800,0.8,189237,0.6,253858,2.25 84,1,2024-09-07 09:16:11:041,679057,679057,0,0,318125798709,3325353935,671874,6149,1034,367,391967,0 84,2,2024-09-07 09:16:10:576,489999,489969,30,0,25388538,0,5971 84,3,2024-09-07 09:16:11:149,1,387,30,0,908,5820,387,0 85,0,2024-09-07 09:16:11:022,91970,0.6,92014,0.8,195237,0.6,252366,2.25 85,1,2024-09-07 09:16:10:962,677908,677908,0,0,318370314904,3360009538,667848,8239,1821,381,392006,0 85,2,2024-09-07 09:16:10:868,487911,487911,0,0,22997708,0,3656 85,3,2024-09-07 09:16:10:685,1,387,5,0,789,5004,387,0 86,0,2024-09-07 09:16:10:876,94890,0.6,97627,0.8,186847,0.7,252881,2.25 86,1,2024-09-07 09:16:10:832,679497,679497,0,0,319672538862,3346495027,671527,6535,1435,366,391961,0 86,2,2024-09-07 09:16:10:857,485200,485199,1,0,25291225,0,5004 86,3,2024-09-07 09:16:10:595,1,387,61,0,308,5865,387,0 87,0,2024-09-07 09:16:11:334,100261,0.9,100068,0.9,200570,1.2,268211,2.25 87,1,2024-09-07 09:16:10:565,678548,678548,0,0,318576361790,3336066383,670243,7145,1160,366,392076,0 87,2,2024-09-07 09:16:11:070,488440,488434,6,0,22655656,0,6323 87,3,2024-09-07 09:16:11:803,1,387,3,0,473,5984,387,0 88,0,2024-09-07 09:16:11:452,96647,0.4,97375,0.6,193762,0.4,257797,1.75 88,1,2024-09-07 09:16:10:569,677514,677514,0,0,318472397238,3335781087,668875,6757,1882,365,392084,0 88,2,2024-09-07 09:16:10:688,491874,491874,0,0,24323792,0,3583 88,3,2024-09-07 09:16:11:278,1,387,2,0,435,4060,387,0 89,0,2024-09-07 09:16:11:767,99346,0.4,96262,0.6,190280,0.4,258101,1.75 89,1,2024-09-07 09:16:10:560,677854,677854,0,0,317813314805,3340574359,669619,6875,1360,382,391866,0 89,2,2024-09-07 09:16:11:137,488980,488980,0,0,23285529,0,3173 89,3,2024-09-07 09:16:11:798,1,387,14,0,385,6827,387,0 90,0,2024-09-07 09:16:11:651,92136,0.5,94631,0.6,193046,0.4,251482,2.00 90,1,2024-09-07 09:16:10:602,679350,679350,0,0,318194692529,3332400410,672925,5916,509,380,391825,0 90,2,2024-09-07 09:16:11:405,484654,484654,0,0,24392959,0,3060 90,3,2024-09-07 09:16:10:936,1,387,0,0,322,4947,387,0 91,0,2024-09-07 09:16:10:959,98786,0.4,95744,0.6,200169,0.4,262949,1.75 91,1,2024-09-07 09:16:10:563,678283,678283,0,0,318525433944,3348540550,669839,7256,1188,381,392047,0 91,2,2024-09-07 09:16:11:332,488496,488496,0,0,21695869,0,2896 91,3,2024-09-07 09:16:10:608,1,387,17,0,216,3674,387,0 92,0,2024-09-07 09:16:11:510,100402,0.5,102803,0.6,196590,0.5,265875,1.75 92,1,2024-09-07 09:16:10:598,679396,679396,0,0,319109298241,3334724474,674025,4650,721,382,392136,0 92,2,2024-09-07 09:16:11:357,494131,494131,0,0,19974321,0,3259 92,3,2024-09-07 09:16:11:015,1,387,12,0,167,3501,387,0 93,0,2024-09-07 09:16:11:040,97059,0.4,99449,0.5,189867,0.3,257127,1.75 93,1,2024-09-07 09:16:10:854,678803,678803,0,0,318504928047,3329790028,671026,6432,1345,366,391776,0 93,2,2024-09-07 09:16:10:950,490433,490433,0,0,23777593,0,4845 93,3,2024-09-07 09:16:11:420,1,387,14,0,190,3614,387,0 94,0,2024-09-07 09:16:11:615,94427,0.4,95272,0.5,190301,0.3,252422,1.75 94,1,2024-09-07 09:16:10:568,679895,679895,0,0,319101869387,3329371182,675507,4194,194,381,391850,0 94,2,2024-09-07 09:16:10:775,485853,485853,0,0,19965363,0,2443 94,3,2024-09-07 09:16:11:689,1,387,18,0,264,4718,387,0 95,0,2024-09-07 09:16:11:368,96285,0.4,96226,0.5,193104,0.3,257455,1.75 95,1,2024-09-07 09:16:10:852,681449,681449,0,0,319077353818,3318936660,675912,5126,411,367,391713,0 95,2,2024-09-07 09:16:11:020,487620,487620,0,0,19767881,0,3308 95,3,2024-09-07 09:16:11:715,1,387,2,0,718,5726,387,0 96,0,2024-09-07 09:16:11:040,101294,0.4,101637,0.5,202767,0.3,269815,1.75 96,1,2024-09-07 09:16:11:614,679157,679157,0,0,318723013062,3328009165,673775,4505,877,384,391955,0 96,2,2024-09-07 09:16:11:276,493644,493644,0,0,20101032,0,4042 96,3,2024-09-07 09:16:11:139,1,387,23,0,411,4183,387,0 97,0,2024-09-07 09:16:11:342,95210,0.3,95000,0.5,190504,0.3,253450,1.50 97,1,2024-09-07 09:16:10:787,680883,680883,0,0,320059505222,3320188725,676371,3815,697,367,392140,0 97,2,2024-09-07 09:16:10:621,492002,492002,0,0,19794889,0,3679 97,3,2024-09-07 09:16:10:570,1,387,1,0,214,4330,387,0 98,0,2024-09-07 09:16:11:732,94724,0.3,94736,0.4,190209,0.2,252505,1.50 98,1,2024-09-07 09:16:10:594,679913,679913,0,0,319433873406,3328952090,675426,3710,777,382,391997,0 98,2,2024-09-07 09:16:10:779,487625,487625,0,0,19396716,0,3243 98,3,2024-09-07 09:16:10:708,1,387,7,0,840,5747,387,0 99,0,2024-09-07 09:16:11:455,95694,0.3,96414,0.5,191551,0.3,255597,1.75 99,1,2024-09-07 09:16:11:731,680415,680415,0,0,319219839199,3325232549,675585,3997,833,381,392069,0 99,2,2024-09-07 09:16:11:423,488077,488077,0,0,22734406,0,3424 99,3,2024-09-07 09:16:10:608,1,387,15,0,606,4358,387,0 100,0,2024-09-07 09:16:11:496,100450,0.7,100836,0.9,200734,0.8,268495,2.25 100,1,2024-09-07 09:16:10:560,677188,677188,0,0,317267921913,3353713812,666854,8320,2014,381,391989,0 100,2,2024-09-07 09:16:11:835,489761,489750,11,0,22903834,0,5417 100,3,2024-09-07 09:16:11:746,1,387,7,0,559,6565,387,0 101,0,2024-09-07 09:16:11:714,97478,1.1,94905,1.0,186395,0.9,255264,2.25 101,1,2024-09-07 09:16:10:657,677429,677429,0,0,318254321448,3349711279,667238,8073,2118,368,391847,0 101,2,2024-09-07 09:16:11:774,487882,487882,0,0,27444030,0,4871 101,3,2024-09-07 09:16:10:946,1,387,141,0,579,5642,387,0 102,0,2024-09-07 09:16:10:958,93549,0.6,96587,0.8,195509,0.6,255531,2.00 102,1,2024-09-07 09:16:11:143,677886,677886,0,0,317792140827,3340411137,668772,7503,1611,369,391891,0 102,2,2024-09-07 09:16:11:753,489567,489513,54,0,21812393,0,6768 102,3,2024-09-07 09:16:11:614,1,387,2,0,410,3867,387,0 103,0,2024-09-07 09:16:11:599,98007,0.6,97955,0.7,184769,0.6,253810,2.00 103,1,2024-09-07 09:16:11:632,677009,677009,0,0,318071861042,3355332844,666649,7748,2612,381,391829,0 103,2,2024-09-07 09:16:10:595,485075,485075,0,0,23569741,0,3766 103,3,2024-09-07 09:16:10:764,1,387,2,0,916,4401,387,0 104,0,2024-09-07 09:16:11:014,98898,0.7,99406,0.9,197280,0.7,265830,2.25 104,1,2024-09-07 09:16:11:613,678756,678756,0,0,317604474278,3337543373,669016,7965,1775,365,392168,0 104,2,2024-09-07 09:16:11:700,487395,487395,0,0,23769552,0,3941 104,3,2024-09-07 09:16:11:419,1,387,2,0,1245,7928,387,0 105,0,2024-09-07 09:16:11:045,96646,0.9,94047,1.1,197070,1.1,259440,2.75 105,1,2024-09-07 09:16:10:577,679724,679724,0,0,318669712088,3340038053,670985,7391,1348,366,392009,0 105,2,2024-09-07 09:16:11:335,491510,491510,0,0,23044666,0,3509 105,3,2024-09-07 09:16:11:310,1,387,1,0,399,5830,387,0 106,0,2024-09-07 09:16:10:952,93061,0.7,95328,0.9,195287,0.8,255201,2.50 106,1,2024-09-07 09:16:11:755,678263,678263,0,0,318214049634,3345134688,667498,9322,1443,369,391914,0 106,2,2024-09-07 09:16:10:758,489793,489793,0,0,22581878,0,2795 106,3,2024-09-07 09:16:10:684,1,387,9,0,470,4793,387,0 107,0,2024-09-07 09:16:11:108,95083,1.0,95286,0.9,190286,1.3,253796,2.25 107,1,2024-09-07 09:16:10:598,677757,677757,0,0,317851652527,3347753757,668980,7805,972,381,392234,0 107,2,2024-09-07 09:16:11:294,484771,484770,1,0,23178811,0,5024 107,3,2024-09-07 09:16:11:758,1,387,323,0,353,5785,387,0 108,0,2024-09-07 09:16:11:795,96899,0.5,97631,0.6,193999,0.5,259652,1.75 108,1,2024-09-07 09:16:11:294,679920,679920,0,0,319471816077,3332488261,674199,4983,738,368,391857,0 108,2,2024-09-07 09:16:11:771,486416,486416,0,0,22427845,0,4246 108,3,2024-09-07 09:16:11:332,1,387,6,0,749,7493,387,0 109,0,2024-09-07 09:16:11:797,101224,0.4,100386,0.6,200733,0.4,268423,1.75 109,1,2024-09-07 09:16:10:608,677527,677527,0,0,318582138806,3343383903,671192,5261,1074,383,392132,0 109,2,2024-09-07 09:16:10:946,491432,491432,0,0,22214891,0,3617 109,3,2024-09-07 09:16:11:146,1,387,15,0,379,4556,387,0 110,0,2024-09-07 09:16:11:767,95532,0.4,93021,0.6,194978,0.3,255193,1.75 110,1,2024-09-07 09:16:11:654,681074,681074,0,0,319027152216,3312934548,676042,3857,1175,369,392045,0 110,2,2024-09-07 09:16:11:323,491581,491581,0,0,21284883,0,4067 110,3,2024-09-07 09:16:10:691,1,387,30,0,722,5715,387,0 111,0,2024-09-07 09:16:11:417,94984,0.4,94147,0.6,189004,0.3,252178,1.75 111,1,2024-09-07 09:16:11:009,681642,681642,0,0,320096690792,3320628273,678048,3241,353,380,391690,0 111,2,2024-09-07 09:16:11:116,485617,485617,0,0,20492888,0,4823 111,3,2024-09-07 09:16:10:918,1,387,1,0,379,4499,387,0 112,0,2024-09-07 09:16:10:919,96895,0.3,96358,0.4,193125,0.2,257097,1.50 112,1,2024-09-07 09:16:10:834,681279,681279,0,0,319308929602,3314927866,676910,3757,612,380,391624,0 112,2,2024-09-07 09:16:11:141,487843,487842,1,0,19085298,0,5036 112,3,2024-09-07 09:16:10:601,1,387,0,0,282,3905,387,0 113,0,2024-09-07 09:16:10:882,100467,0.3,100649,0.5,201403,0.2,268380,1.50 113,1,2024-09-07 09:16:11:690,683747,683747,0,0,321359556847,3317222089,679889,3271,587,366,391661,0 113,2,2024-09-07 09:16:11:307,493590,493590,0,0,18321573,0,3813 113,3,2024-09-07 09:16:10:684,1,387,6,0,340,4542,387,0 114,0,2024-09-07 09:16:10:885,95808,0.3,96487,0.5,191607,0.2,256423,1.75 114,1,2024-09-07 09:16:10:734,680860,680860,0,0,319153473394,3317776165,674864,4381,1615,381,391565,0 114,2,2024-09-07 09:16:10:874,491578,491577,1,0,19498053,0,5069 114,3,2024-09-07 09:16:11:288,1,387,1,0,395,3344,387,0 115,0,2024-09-07 09:16:10:558,95531,0.3,96044,0.4,191750,0.2,254883,1.50 115,1,2024-09-07 09:16:10:571,680819,680819,0,0,320427812722,3330612699,675020,4868,931,382,391757,0 115,2,2024-09-07 09:16:11:127,490478,490478,0,0,18471921,0,3848 115,3,2024-09-07 09:16:11:008,1,387,1,0,159,2285,387,0 116,0,2024-09-07 09:16:11:742,94754,0.7,94636,0.9,189760,0.7,254114,2.00 116,1,2024-09-07 09:16:10:812,677804,677804,0,0,318498007808,3355804356,669591,5894,2319,380,392089,0 116,2,2024-09-07 09:16:11:756,486217,486217,0,0,24109495,0,4128 116,3,2024-09-07 09:16:10:917,1,387,11,0,415,4691,387,0 117,0,2024-09-07 09:16:11:013,100539,0.8,100050,0.8,200575,0.9,268416,2.00 117,1,2024-09-07 09:16:11:605,678370,678370,0,0,318191971302,3331610904,670821,6643,906,369,392033,0 117,2,2024-09-07 09:16:11:124,492289,492289,0,0,19913000,0,3700 117,3,2024-09-07 09:16:11:059,1,387,86,0,490,6039,387,0 118,0,2024-09-07 09:16:11:811,93950,0.5,96335,0.7,196851,0.5,256856,2.00 118,1,2024-09-07 09:16:10:595,678338,678338,0,0,318867493554,3355860368,667211,8198,2929,366,391907,0 118,2,2024-09-07 09:16:11:592,490802,490802,0,0,22650542,0,2842 118,3,2024-09-07 09:16:11:769,1,387,8,0,248,4512,387,0 119,0,2024-09-07 09:16:11:332,96159,0.6,96654,0.7,193116,0.5,256487,2.00 119,1,2024-09-07 09:16:10:574,678772,678772,0,0,318437658326,3330918886,671402,6525,845,367,391780,0 119,2,2024-09-07 09:16:11:266,490601,490601,0,0,21241943,0,4174 119,3,2024-09-07 09:16:11:333,1,387,1,0,1058,6930,387,0 120,0,2024-09-07 09:16:11:604,94378,0.6,94284,0.8,188737,0.6,252007,2.25 120,1,2024-09-07 09:16:10:872,679106,679106,0,0,318815102914,3345640694,671872,6588,646,368,391961,0 120,2,2024-09-07 09:16:10:778,485726,485725,1,0,24073155,0,5281 120,3,2024-09-07 09:16:11:294,1,387,13,0,279,4932,387,0 121,0,2024-09-07 09:16:11:731,98345,1.1,98241,1.0,196971,1.5,262393,2.25 121,1,2024-09-07 09:16:11:670,679633,679633,0,0,319069276574,3336833605,673326,5861,446,367,391840,0 121,2,2024-09-07 09:16:11:148,486950,486950,0,0,23151476,0,4127 121,3,2024-09-07 09:16:10:752,1,387,1,0,269,4484,387,0 122,0,2024-09-07 09:16:11:760,99066,0.8,96684,0.9,202988,0.9,265649,2.00 122,1,2024-09-07 09:16:10:863,678211,678211,0,0,318440854261,3339820066,669137,7789,1285,366,392130,0 122,2,2024-09-07 09:16:11:325,492885,492812,73,0,26188202,0,5989 122,3,2024-09-07 09:16:10:605,1,387,1,0,512,7339,387,0 123,0,2024-09-07 09:16:10:992,95901,0.6,93788,0.7,195984,0.6,256609,2.00 123,1,2024-09-07 09:16:10:580,678303,678303,0,0,318170146210,3351941934,666080,10019,2204,369,392039,0 123,2,2024-09-07 09:16:11:025,489184,489183,1,0,22431433,0,5215 123,3,2024-09-07 09:16:11:140,1,387,1,0,168,4233,387,0 124,0,2024-09-07 09:16:10:923,97713,0.3,97650,0.5,184132,0.3,253178,1.75 124,1,2024-09-07 09:16:11:033,680725,680725,0,0,319199819317,3321100367,675535,4142,1048,367,392178,0 124,2,2024-09-07 09:16:11:019,486993,486940,53,0,20387155,0,6487 124,3,2024-09-07 09:16:10:764,1,387,36,0,490,3869,387,0 125,0,2024-09-07 09:16:11:436,96626,0.4,96258,0.6,193501,0.4,257805,1.75 125,1,2024-09-07 09:16:10:860,679513,679513,0,0,318807877880,3330586136,673924,4923,666,382,391702,0 125,2,2024-09-07 09:16:11:121,488361,488361,0,0,21011444,0,4534 125,3,2024-09-07 09:16:11:135,1,387,3,0,709,5087,387,0 126,0,2024-09-07 09:16:11:432,101146,0.4,104206,0.6,199435,0.4,270569,1.75 126,1,2024-09-07 09:16:10:560,681294,681294,0,0,319611903539,3312485723,677376,3629,289,365,391987,0 126,2,2024-09-07 09:16:10:616,493685,493685,0,0,21816560,0,4539 126,3,2024-09-07 09:16:10:911,1,387,0,0,207,4861,387,0 127,0,2024-09-07 09:16:11:631,95112,0.3,95627,0.5,190667,0.3,253524,1.75 127,1,2024-09-07 09:16:10:569,680557,680557,0,0,319726715315,3327126309,673727,5724,1106,364,392187,0 127,2,2024-09-07 09:16:10:639,490397,490397,0,0,19835080,0,3897 127,3,2024-09-07 09:16:11:268,1,387,2,0,968,4437,387,0 128,0,2024-09-07 09:16:11:530,95202,0.3,95514,0.4,190527,0.2,252826,1.50 128,1,2024-09-07 09:16:11:614,680362,680362,0,0,319116586086,3314698081,675572,4358,432,367,391838,0 128,2,2024-09-07 09:16:11:392,488154,488154,0,0,18614021,0,2915 128,3,2024-09-07 09:16:10:773,1,387,10,0,1082,6303,387,0 129,0,2024-09-07 09:16:11:016,96468,0.3,95888,0.5,192191,0.3,255803,1.75 129,1,2024-09-07 09:16:10:583,677685,677685,0,0,318455901852,3339988078,670460,5560,1665,379,391835,0 129,2,2024-09-07 09:16:10:688,487857,487857,0,0,19832035,0,4031 129,3,2024-09-07 09:16:10:690,1,387,2,0,506,5249,387,0 130,0,2024-09-07 09:16:11:723,101377,0.5,100971,0.6,203153,0.5,269994,1.75 130,1,2024-09-07 09:16:10:589,681138,681138,0,0,319355214196,3321088854,677281,3520,337,381,391825,0 130,2,2024-09-07 09:16:11:137,492599,492599,0,0,20396456,0,4067 130,3,2024-09-07 09:16:11:291,1,387,1,0,535,5449,387,0 131,0,2024-09-07 09:16:11:933,95396,0.3,95913,0.5,192930,0.3,255316,1.75 131,1,2024-09-07 09:16:11:819,680215,680215,0,0,319418711017,3339053824,674335,4832,1048,381,391865,0 131,2,2024-09-07 09:16:10:568,493244,493244,0,0,18271819,0,2509 131,3,2024-09-07 09:16:11:689,1,387,8,0,392,3955,387,0 132,0,2024-09-07 09:16:11:427,96092,0.4,97008,0.6,192979,0.4,256309,1.75 132,1,2024-09-07 09:16:10:576,677581,677581,0,0,317903308798,3344559534,668212,7838,1531,381,392097,0 132,2,2024-09-07 09:16:10:706,489264,489247,17,0,24672027,0,6451 132,3,2024-09-07 09:16:11:693,1,387,8,0,804,6910,387,0 133,0,2024-09-07 09:16:11:525,92522,0.4,94675,0.6,194324,0.4,252486,1.75 133,1,2024-09-07 09:16:10:588,677376,677376,0,0,318000367991,3352455428,667579,8286,1511,383,391914,0 133,2,2024-09-07 09:16:11:115,485699,485649,50,0,25737374,0,6861 133,3,2024-09-07 09:16:11:308,1,387,2,0,479,3969,387,0 134,0,2024-09-07 09:16:10:953,100148,0.5,99748,0.7,199672,0.5,267117,2.00 134,1,2024-09-07 09:16:10:605,678500,678500,0,0,317420182360,3331308166,669282,7212,2006,366,391718,0 134,2,2024-09-07 09:16:11:755,487602,487578,24,0,22938374,0,6207 134,3,2024-09-07 09:16:10:753,1,387,0,0,739,4859,387,0 135,0,2024-09-07 09:16:11:105,94829,0.8,94824,0.9,201049,1.0,258929,2.25 135,1,2024-09-07 09:16:11:601,678650,678650,0,0,318373255607,3349456846,669573,7798,1279,380,391805,0 135,2,2024-09-07 09:16:10:687,493012,493012,0,0,22595892,0,3981 135,3,2024-09-07 09:16:11:007,1,387,1,0,299,3287,387,0 136,0,2024-09-07 09:16:11:620,96840,0.5,96953,0.7,192976,0.5,257183,2.00 136,1,2024-09-07 09:16:11:454,678586,678586,0,0,318678823822,3342876978,670902,6844,840,382,391685,0 136,2,2024-09-07 09:16:11:137,492176,492176,0,0,22204500,0,3506 136,3,2024-09-07 09:16:11:121,1,387,6,0,637,4240,387,0 137,0,2024-09-07 09:16:10:922,98242,0.6,95559,0.7,187781,0.6,254976,2.00 137,1,2024-09-07 09:16:10:575,677892,677892,0,0,318297313840,3343158867,666798,8747,2347,366,391898,0 137,2,2024-09-07 09:16:11:715,484924,484924,0,0,24295542,0,3185 137,3,2024-09-07 09:16:10:773,1,387,2,0,484,4850,387,0 138,0,2024-09-07 09:16:11:750,96763,1.1,96748,1.0,194302,1.5,258441,2.25 138,1,2024-09-07 09:16:11:689,679000,679000,0,0,318406182451,3342384243,669196,8085,1719,368,391954,0 138,2,2024-09-07 09:16:10:594,487234,487234,0,0,22098483,0,4988 138,3,2024-09-07 09:16:10:618,1,387,97,0,1160,5236,387,0 139,0,2024-09-07 09:16:11:369,99780,1.7,99730,1.2,199941,2.4,266790,2.50 139,1,2024-09-07 09:16:10:591,675721,675721,0,0,316716598038,3368296855,662033,10419,3269,381,392058,0 139,2,2024-09-07 09:16:10:701,489656,489656,0,0,25259879,0,3097 139,3,2024-09-07 09:16:11:671,1,387,1,0,432,4661,387,0 140,0,2024-09-07 09:16:11:601,96104,0.3,95513,0.5,191712,0.2,255435,1.75 140,1,2024-09-07 09:16:11:545,682271,682271,0,0,320829637322,3309809727,678743,3088,440,365,391606,0 140,2,2024-09-07 09:16:10:688,491751,491750,1,0,20059783,0,5036 140,3,2024-09-07 09:16:10:775,1,387,2,0,297,3372,387,0 141,0,2024-09-07 09:16:11:702,94793,0.3,97303,0.5,185877,0.3,252081,1.75 141,1,2024-09-07 09:16:10:868,680918,680918,0,0,320358155341,3328218330,675711,4253,954,379,391614,0 141,2,2024-09-07 09:16:11:690,486797,486797,0,0,19407708,0,3360 141,3,2024-09-07 09:16:11:049,1,387,1,0,391,4506,387,0 142,0,2024-09-07 09:16:11:318,96953,0.3,96372,0.5,192573,0.3,257693,1.75 142,1,2024-09-07 09:16:10:594,680022,680022,0,0,319408516736,3330548036,675565,4064,393,382,392102,0 142,2,2024-09-07 09:16:11:311,487037,487005,32,0,21220828,0,6028 142,3,2024-09-07 09:16:11:748,1,387,2,0,484,4447,387,0 143,0,2024-09-07 09:16:11:378,100758,0.4,100649,0.6,201828,0.4,268409,1.75 143,1,2024-09-07 09:16:10:561,681266,681266,0,0,319886466846,3322272776,676508,4243,515,367,391705,0 143,2,2024-09-07 09:16:10:781,492788,492788,0,0,20677112,0,3123 143,3,2024-09-07 09:16:11:145,1,387,2,0,462,5105,387,0 144,0,2024-09-07 09:16:11:507,92514,0.6,95019,0.8,193758,0.5,254157,2.00 144,1,2024-09-07 09:16:10:569,677737,677737,0,0,317583066256,3333773577,671014,5378,1345,381,391649,0 144,2,2024-09-07 09:16:11:755,491742,491742,0,0,19288067,0,3673 144,3,2024-09-07 09:16:11:741,1,387,8,0,249,3910,387,0 145,0,2024-09-07 09:16:11:408,92345,0.5,92357,0.8,195903,0.5,251943,2.25 145,1,2024-09-07 09:16:10:564,678100,678100,0,0,317811455561,3339688953,670033,6921,1146,382,391759,0 145,2,2024-09-07 09:16:11:439,486971,486890,81,0,23440654,0,7814 145,3,2024-09-07 09:16:10:899,1,387,1,0,622,5738,387,0 146,0,2024-09-07 09:16:11:596,95097,0.5,94516,0.7,190470,0.5,252586,2.25 146,1,2024-09-07 09:16:11:589,679247,679247,0,0,318302274434,3344972485,668609,8521,2117,367,391770,0 146,2,2024-09-07 09:16:11:702,485701,485701,0,0,22110889,0,2730 146,3,2024-09-07 09:16:11:283,1,387,1,0,1520,7783,387,0 147,0,2024-09-07 09:16:11:699,100504,0.6,100237,0.8,199834,0.6,267428,2.25 147,1,2024-09-07 09:16:11:382,681033,681033,0,0,320131914141,3331845018,674708,5481,844,368,391791,0 147,2,2024-09-07 09:16:11:022,489431,489431,0,0,20476977,0,2968 147,3,2024-09-07 09:16:10:935,1,387,2,0,730,5501,387,0 0,0,2024-09-07 09:16:21:794,92244,0.6,92290,0.8,195743,0.7,252520,2.00 0,1,2024-09-07 09:16:20:824,680926,680926,0,0,320096652481,3350379712,675698,4842,386,369,391896,0 0,2,2024-09-07 09:16:21:090,488905,488905,0,0,19730960,0,4480 0,3,2024-09-07 09:16:20:978,1,388,12,0,431,5916,388,0 1,0,2024-09-07 09:16:21:837,98775,0.9,98181,1.0,197242,1.2,263665,2.25 1,1,2024-09-07 09:16:20:567,680353,680353,0,0,319364585067,3343886051,674163,4872,1318,370,391857,0 1,2,2024-09-07 09:16:20:664,488578,488578,0,0,19764190,0,3380 1,3,2024-09-07 09:16:21:302,1,388,1,0,262,4678,388,0 2,0,2024-09-07 09:16:21:566,99271,0.7,99549,0.8,198344,0.8,264362,2.00 2,1,2024-09-07 09:16:20:864,682622,682622,0,0,320359175983,3326772508,679390,2939,293,380,391745,0 2,2,2024-09-07 09:16:21:270,494387,494387,0,0,19405587,0,3594 2,3,2024-09-07 09:16:20:693,1,388,1,0,357,3851,388,0 3,0,2024-09-07 09:16:21:762,96598,0.4,96535,0.6,192857,0.4,256800,2.00 3,1,2024-09-07 09:16:21:622,681162,681162,0,0,320321744028,3337814891,675065,5407,690,380,391716,0 3,2,2024-09-07 09:16:21:144,492163,492140,23,0,20149309,0,5851 3,3,2024-09-07 09:16:21:753,1,388,3,0,207,2407,388,0 4,0,2024-09-07 09:16:21:781,92358,0.4,94887,0.5,193344,0.3,253139,1.75 4,1,2024-09-07 09:16:20:602,678964,678964,0,0,318662558945,3367760104,667956,8505,2503,370,391992,0 4,2,2024-09-07 09:16:21:020,485738,485738,0,0,22297683,0,4528 4,3,2024-09-07 09:16:21:028,1,388,15,0,448,5242,388,0 5,0,2024-09-07 09:16:21:428,96562,0.4,97077,0.6,193861,0.4,257753,1.75 5,1,2024-09-07 09:16:20:757,680058,680058,0,0,318756554173,3357262068,670236,7886,1936,367,392005,0 5,2,2024-09-07 09:16:21:860,487102,487102,0,0,22036164,0,3582 5,3,2024-09-07 09:16:21:741,1,388,1,0,457,5593,388,0 6,0,2024-09-07 09:16:20:917,101978,0.5,101586,0.7,202758,0.4,271311,2.00 6,1,2024-09-07 09:16:20:761,680347,680347,0,0,318726145707,3332705496,672576,6384,1387,379,391694,0 6,2,2024-09-07 09:16:21:116,494022,494004,18,0,22477564,0,5535 6,3,2024-09-07 09:16:21:284,1,388,1,0,340,4281,388,0 7,0,2024-09-07 09:16:21:534,94891,0.5,95483,0.6,190276,0.4,253383,2.00 7,1,2024-09-07 09:16:20:850,679986,679986,0,0,319461998258,3353240007,670720,7871,1395,382,391747,0 7,2,2024-09-07 09:16:20:775,492773,492773,0,0,21820949,0,4791 7,3,2024-09-07 09:16:20:853,1,388,1,0,398,4141,388,0 8,0,2024-09-07 09:16:21:330,95186,0.4,94985,0.5,190264,0.3,253264,1.75 8,1,2024-09-07 09:16:21:030,678999,678999,0,0,319301868768,3358481489,668048,8358,2593,366,392144,0 8,2,2024-09-07 09:16:20:790,484222,484222,0,0,25200823,0,3220 8,3,2024-09-07 09:16:20:597,1,388,2,0,538,6505,388,0 9,0,2024-09-07 09:16:21:130,96173,0.4,93415,0.5,195517,0.3,256040,1.75 9,1,2024-09-07 09:16:20:551,679360,679360,0,0,318816010317,3357435161,669686,7536,2138,369,392001,0 9,2,2024-09-07 09:16:21:111,487852,487852,0,0,22441419,0,3360 9,3,2024-09-07 09:16:21:764,1,388,13,0,496,5398,388,0 10,0,2024-09-07 09:16:21:600,101352,0.3,100652,0.5,202286,0.2,269762,1.75 10,1,2024-09-07 09:16:20:589,680198,680198,0,0,319841266069,3349591923,671270,7643,1285,381,391741,0 10,2,2024-09-07 09:16:20:764,492718,492718,0,0,24895215,0,4264 10,3,2024-09-07 09:16:20:873,1,388,4,0,649,4376,388,0 11,0,2024-09-07 09:16:21:008,95481,0.5,92663,0.6,193758,0.4,255633,1.75 11,1,2024-09-07 09:16:20:583,680943,680943,0,0,319050549060,3348448282,670365,8096,2482,383,391756,0 11,2,2024-09-07 09:16:21:126,491593,491593,0,0,22252665,0,4130 11,3,2024-09-07 09:16:21:307,1,388,1,0,843,5984,388,0 12,0,2024-09-07 09:16:20:990,96917,0.4,96965,0.5,193705,0.3,256770,1.75 12,1,2024-09-07 09:16:20:938,681250,681250,0,0,319809615530,3335507619,675871,4884,495,370,391870,0 12,2,2024-09-07 09:16:21:568,490824,490824,0,0,21527777,0,3469 12,3,2024-09-07 09:16:21:064,1,388,7,0,386,6052,388,0 13,0,2024-09-07 09:16:21:338,95698,0.4,95446,0.6,190604,0.4,253647,1.75 13,1,2024-09-07 09:16:21:535,679846,679846,0,0,318829169711,3352928486,672819,5455,1572,382,391740,0 13,2,2024-09-07 09:16:20:602,489580,489580,0,0,19803166,0,3287 13,3,2024-09-07 09:16:21:769,1,388,1,0,522,6067,388,0 14,0,2024-09-07 09:16:20:568,100351,0.4,101223,0.6,200495,0.4,268106,1.75 14,1,2024-09-07 09:16:21:573,684374,684374,0,0,321270174688,3329857895,678651,5195,528,364,391673,0 14,2,2024-09-07 09:16:20:765,490266,490236,30,0,22040758,0,6104 14,3,2024-09-07 09:16:21:344,1,388,4,0,1168,4387,388,0 15,0,2024-09-07 09:16:21:554,97557,0.4,97536,0.7,195456,0.4,259941,2.00 15,1,2024-09-07 09:16:21:614,681239,681239,0,0,320170815779,3336000901,675689,4572,978,381,391619,0 15,2,2024-09-07 09:16:21:000,494861,494861,0,0,18251311,0,3622 15,3,2024-09-07 09:16:21:408,1,388,2,0,1126,6789,388,0 16,0,2024-09-07 09:16:21:001,96583,0.5,96950,0.7,193496,0.5,257207,2.00 16,1,2024-09-07 09:16:20:568,681362,681362,0,0,319662836586,3342010867,675483,5036,843,370,391756,0 16,2,2024-09-07 09:16:21:441,491634,491634,0,0,21112502,0,4719 16,3,2024-09-07 09:16:21:152,1,388,8,0,317,5144,388,0 17,0,2024-09-07 09:16:21:776,98335,0.6,95955,0.8,187842,0.7,255065,2.00 17,1,2024-09-07 09:16:20:586,680068,680068,0,0,318934102880,3349292162,672556,6003,1509,368,392075,0 17,2,2024-09-07 09:16:21:674,490005,490005,0,0,20373234,0,2948 17,3,2024-09-07 09:16:20:581,1,388,5,0,298,6147,388,0 18,0,2024-09-07 09:16:20:941,96684,0.6,97013,0.8,193477,0.7,258813,2.25 18,1,2024-09-07 09:16:21:642,682777,682777,0,0,320259288085,3320330744,678952,3450,375,367,391649,0 18,2,2024-09-07 09:16:21:766,491159,491159,0,0,18754695,0,3541 18,3,2024-09-07 09:16:20:898,1,388,5,0,163,3135,388,0 19,0,2024-09-07 09:16:21:543,100097,0.7,100654,0.8,199533,0.7,265379,2.25 19,1,2024-09-07 09:16:20:584,682858,682858,0,0,320583114287,3328008657,677059,4882,917,367,391777,0 19,2,2024-09-07 09:16:21:753,496405,496405,0,0,18005494,0,3988 19,3,2024-09-07 09:16:21:135,1,388,144,0,524,3017,388,0 20,0,2024-09-07 09:16:21:361,95895,0.5,95960,0.6,191622,0.4,255894,2.00 20,1,2024-09-07 09:16:20:568,680629,680629,0,0,319919435377,3344876964,674841,5028,760,369,391886,0 20,2,2024-09-07 09:16:20:937,492386,492386,0,0,21168061,0,3721 20,3,2024-09-07 09:16:20:594,1,388,14,0,414,5865,388,0 21,0,2024-09-07 09:16:21:172,94767,0.5,94792,0.6,189523,0.5,251549,2.00 21,1,2024-09-07 09:16:21:547,679151,679151,0,0,318866805493,3359678292,669759,7402,1990,368,392016,0 21,2,2024-09-07 09:16:21:085,484879,484859,20,0,25053333,0,5617 21,3,2024-09-07 09:16:21:404,1,388,1,0,713,5142,388,0 22,0,2024-09-07 09:16:21:720,96408,0.5,96759,0.7,193711,0.5,257032,2.00 22,1,2024-09-07 09:16:21:022,680034,680034,0,0,318969908040,3351691956,670070,7912,2052,382,391667,0 22,2,2024-09-07 09:16:20:765,487628,487602,26,0,20629684,0,6328 22,3,2024-09-07 09:16:21:070,1,388,1,0,228,3312,388,0 23,0,2024-09-07 09:16:21:374,100624,0.5,100188,0.7,200844,0.4,267858,2.00 23,1,2024-09-07 09:16:21:003,681574,681574,0,0,319666848170,3355391252,669994,8029,3551,365,391690,0 23,2,2024-09-07 09:16:21:096,494990,494990,0,0,19870398,0,3010 23,3,2024-09-07 09:16:21:765,1,388,13,0,720,5351,388,0 24,0,2024-09-07 09:16:20:822,96405,0.4,96199,0.5,192832,0.4,256378,1.75 24,1,2024-09-07 09:16:20:591,680208,680208,0,0,318409175686,3338428068,672709,5916,1583,367,392269,0 24,2,2024-09-07 09:16:21:074,491253,491253,0,0,24281976,0,3607 24,3,2024-09-07 09:16:21:707,1,388,21,0,468,5454,388,0 25,0,2024-09-07 09:16:21:395,98196,0.4,95697,0.6,187702,0.4,255705,1.75 25,1,2024-09-07 09:16:20:564,679756,679756,0,0,318965381363,3356003284,669416,8435,1905,371,391928,0 25,2,2024-09-07 09:16:21:610,488055,488055,0,0,24285986,0,3978 25,3,2024-09-07 09:16:21:014,1,388,4,0,532,4303,388,0 26,0,2024-09-07 09:16:21:754,95280,0.4,92959,0.6,195026,0.3,254203,1.75 26,1,2024-09-07 09:16:21:544,681468,681468,0,0,318839239619,3338871720,671841,8080,1547,380,391748,0 26,2,2024-09-07 09:16:20:864,488959,488959,0,0,24183375,0,2809 26,3,2024-09-07 09:16:21:720,1,388,2,0,796,4773,388,0 27,0,2024-09-07 09:16:21:754,101020,0.4,101181,0.6,200963,0.4,268902,2.00 27,1,2024-09-07 09:16:21:684,683261,683261,0,0,320225905455,3333720088,677000,5438,823,381,391626,0 27,2,2024-09-07 09:16:20:867,489000,488935,65,0,23159435,0,5699 27,3,2024-09-07 09:16:21:016,1,388,0,0,564,3968,388,0 28,0,2024-09-07 09:16:21:397,97172,0.4,96743,0.6,193650,0.3,258399,2.00 28,1,2024-09-07 09:16:20:815,681696,681696,0,0,320464425076,3347840695,674872,5137,1687,383,391698,0 28,2,2024-09-07 09:16:21:770,492768,492768,0,0,21233233,0,2915 28,3,2024-09-07 09:16:21:786,1,388,41,0,502,4452,388,0 29,0,2024-09-07 09:16:21:383,99253,0.3,96666,0.6,190022,0.3,258258,1.75 29,1,2024-09-07 09:16:21:567,683765,683765,0,0,321394462907,3327781697,678976,4056,733,368,391753,0 29,2,2024-09-07 09:16:20:864,490660,490660,0,0,20126322,0,4986 29,3,2024-09-07 09:16:20:963,1,388,2,0,459,4777,388,0 30,0,2024-09-07 09:16:21:455,94593,0.5,91966,0.7,192559,0.4,251356,2.00 30,1,2024-09-07 09:16:20:578,683768,683768,0,0,320882647831,3333841011,678122,4880,766,381,391672,0 30,2,2024-09-07 09:16:21:274,488436,488436,0,0,18705281,0,3161 30,3,2024-09-07 09:16:20:584,1,388,1,0,519,3829,388,0 31,0,2024-09-07 09:16:21:766,98546,0.4,98911,0.6,197465,0.4,263940,2.00 31,1,2024-09-07 09:16:20:576,685700,685700,0,0,322238106067,3304923269,682793,2321,586,356,391712,0 31,2,2024-09-07 09:16:21:281,489100,489100,0,0,20964526,0,3525 31,3,2024-09-07 09:16:21:715,1,388,1,0,220,3362,388,0 32,0,2024-09-07 09:16:21:433,99709,0.3,100339,0.5,199847,0.3,266019,1.75 32,1,2024-09-07 09:16:20:818,682340,682340,0,0,320873546028,3338807169,677324,4337,679,381,391646,0 32,2,2024-09-07 09:16:20:935,495026,495026,0,0,18377845,0,3155 32,3,2024-09-07 09:16:21:019,1,388,4,0,227,2760,388,0 33,0,2024-09-07 09:16:21:510,96751,0.3,96279,0.4,193226,0.2,257228,1.50 33,1,2024-09-07 09:16:20:579,683574,683574,0,0,321164547156,3332209572,677459,5013,1102,369,391730,0 33,2,2024-09-07 09:16:20:764,493292,493257,35,0,21008036,0,7012 33,3,2024-09-07 09:16:20:894,1,388,1,0,329,3829,388,0 34,0,2024-09-07 09:16:20:932,95337,0.3,98015,0.4,187752,0.2,252567,1.75 34,1,2024-09-07 09:16:21:060,685031,685031,0,0,321438469548,3305969875,683388,1632,11,367,391562,0 34,2,2024-09-07 09:16:20:777,487969,487969,0,0,19281919,0,3577 34,3,2024-09-07 09:16:21:688,1,388,26,0,299,2971,388,0 35,0,2024-09-07 09:16:20:862,96059,0.4,96427,0.5,193998,0.3,258416,1.75 35,1,2024-09-07 09:16:21:091,683107,683107,0,0,320272330287,3320038123,678938,3313,856,382,391769,0 35,2,2024-09-07 09:16:21:593,488731,488731,0,0,20105448,0,2653 35,3,2024-09-07 09:16:20:906,1,388,39,0,418,4449,388,0 36,0,2024-09-07 09:16:21:532,102010,0.5,101934,0.7,203715,0.4,271169,2.25 36,1,2024-09-07 09:16:20:583,681711,681711,0,0,320284881996,3345995255,672639,7089,1983,366,391759,0 36,2,2024-09-07 09:16:21:754,494773,494773,0,0,22103785,0,3875 36,3,2024-09-07 09:16:20:862,1,388,9,0,416,5892,388,0 37,0,2024-09-07 09:16:21:398,95011,0.5,95060,0.6,190109,0.4,253650,2.00 37,1,2024-09-07 09:16:20:578,681663,681656,0,7,319101751044,3332260749,673988,5504,2164,365,391570,0 37,2,2024-09-07 09:16:21:148,490926,490911,15,0,22234035,0,5815 37,3,2024-09-07 09:16:21:775,1,388,2,0,888,6031,388,0 38,0,2024-09-07 09:16:21:445,94461,0.5,91771,0.6,191923,0.4,251017,2.00 38,1,2024-09-07 09:16:21:610,682715,682715,0,0,320455936660,3346408078,673634,7192,1889,368,391821,0 38,2,2024-09-07 09:16:20:762,487868,487821,47,0,21318070,0,6710 38,3,2024-09-07 09:16:21:004,1,388,2,0,689,5359,388,0 39,0,2024-09-07 09:16:21:764,97910,0.6,95894,0.7,186759,0.5,255012,2.00 39,1,2024-09-07 09:16:20:724,681366,681366,0,0,319862709450,3351003492,670710,8513,2143,365,391658,0 39,2,2024-09-07 09:16:21:420,488957,488957,0,0,19841203,0,2689 39,3,2024-09-07 09:16:20:717,1,388,1,0,324,4618,388,0 40,0,2024-09-07 09:16:21:505,100324,0.8,101246,0.9,201447,0.8,269237,2.75 40,1,2024-09-07 09:16:20:577,682119,682119,0,0,318892344728,3337375021,672778,7726,1615,368,391668,0 40,2,2024-09-07 09:16:21:307,492019,492018,1,0,23739894,0,5137 40,3,2024-09-07 09:16:21:144,1,388,5,0,1028,5412,388,0 41,0,2024-09-07 09:16:21:031,95022,1.5,97209,1.3,185757,2.5,252348,3.00 41,1,2024-09-07 09:16:20:774,680711,680711,0,0,319295181693,3338337615,672664,7279,768,369,391742,0 41,2,2024-09-07 09:16:20:758,490494,490494,0,0,22600290,0,4277 41,3,2024-09-07 09:16:21:685,1,388,3,0,366,4035,388,0 42,0,2024-09-07 09:16:21:473,95443,0.9,95453,1.0,191003,1.0,252591,2.75 42,1,2024-09-07 09:16:21:447,679712,679712,0,0,318835661813,3351421148,668760,9034,1918,380,391675,0 42,2,2024-09-07 09:16:21:133,489490,489490,0,0,23049502,0,3790 42,3,2024-09-07 09:16:21:009,1,388,1,0,446,3196,388,0 43,0,2024-09-07 09:16:20:927,93883,0.8,91435,1.0,191582,0.9,251044,2.25 43,1,2024-09-07 09:16:20:578,681474,681474,0,0,320628204941,3350719638,671871,7873,1730,366,391696,0 43,2,2024-09-07 09:16:21:743,488088,488088,0,0,22622929,0,3812 43,3,2024-09-07 09:16:21:752,1,388,0,0,467,4570,388,0 44,0,2024-09-07 09:16:20:869,100253,0.4,100586,0.6,201248,0.4,267847,2.00 44,1,2024-09-07 09:16:20:576,683140,683140,0,0,320158449259,3313832054,676830,4993,1317,356,391809,0 44,2,2024-09-07 09:16:21:275,489256,489256,0,0,19381379,0,4344 44,3,2024-09-07 09:16:21:093,1,388,7,0,817,4817,388,0 45,0,2024-09-07 09:16:21:770,96610,0.5,94390,0.8,197885,0.5,260458,2.00 45,1,2024-09-07 09:16:21:006,682543,682543,0,0,320485820825,3331794797,677300,4726,517,382,391917,0 45,2,2024-09-07 09:16:21:270,493965,493965,0,0,19825926,0,3596 45,3,2024-09-07 09:16:20:934,1,388,4,0,271,3579,388,0 46,0,2024-09-07 09:16:20:950,95973,0.5,95990,0.7,192172,0.5,254824,2.00 46,1,2024-09-07 09:16:20:587,683815,683815,0,0,321256926749,3320323041,679315,3911,589,366,391709,0 46,2,2024-09-07 09:16:20:593,492311,492311,0,0,19790717,0,4443 46,3,2024-09-07 09:16:21:132,1,388,93,0,908,5270,388,0 47,0,2024-09-07 09:16:21:111,95797,0.4,96054,0.6,192502,0.4,254420,2.00 47,1,2024-09-07 09:16:20:575,684386,684386,0,0,319516129548,3304055064,679827,3744,815,366,391641,0 47,2,2024-09-07 09:16:20:907,489301,489301,0,0,19051732,0,4477 47,3,2024-09-07 09:16:21:115,1,388,3,0,600,4791,388,0 48,0,2024-09-07 09:16:21:521,98074,0.3,97874,0.4,195140,0.2,260963,1.75 48,1,2024-09-07 09:16:21:031,683006,683006,0,0,320721525198,3331785877,678819,3733,454,384,391710,0 48,2,2024-09-07 09:16:20:706,488297,488297,0,0,17809315,0,3031 48,3,2024-09-07 09:16:20:756,1,388,1,0,339,3244,388,0 49,0,2024-09-07 09:16:21:717,103386,0.4,101574,0.5,196699,0.3,269588,1.75 49,1,2024-09-07 09:16:21:020,682519,682519,0,0,320489619905,3337239497,677472,3842,1205,382,391809,0 49,2,2024-09-07 09:16:21:812,495233,495233,0,0,20010473,0,4426 49,3,2024-09-07 09:16:21:419,1,388,7,0,992,4896,388,0 50,0,2024-09-07 09:16:21:509,96450,0.3,94925,0.4,191557,0.2,255618,1.75 50,1,2024-09-07 09:16:21:009,684355,684355,0,0,321115460069,3322427757,679552,4229,574,368,391565,0 50,2,2024-09-07 09:16:21:073,492405,492405,0,0,18056202,0,2263 50,3,2024-09-07 09:16:21:294,1,388,1,0,567,4093,388,0 51,0,2024-09-07 09:16:21:694,97286,0.3,95092,0.5,185493,0.2,252680,1.75 51,1,2024-09-07 09:16:21:680,684544,684544,0,0,322217172640,3323717816,681037,2530,977,365,391706,0 51,2,2024-09-07 09:16:21:326,487674,487674,0,0,17275149,0,3337 51,3,2024-09-07 09:16:21:028,1,388,0,0,678,3061,388,0 52,0,2024-09-07 09:16:21:422,96925,0.5,96811,0.7,193772,0.5,257943,2.00 52,1,2024-09-07 09:16:20:582,681787,681787,0,0,319391502452,3341477680,672550,7923,1314,368,391722,0 52,2,2024-09-07 09:16:21:767,485751,485713,38,0,22583784,0,6742 52,3,2024-09-07 09:16:20:688,1,388,3,0,1782,5648,388,0 53,0,2024-09-07 09:16:21:772,100163,0.6,97363,0.7,203872,0.6,267499,2.25 53,1,2024-09-07 09:16:20:796,680372,680372,0,0,319945580028,3358032604,668951,8479,2942,367,391702,0 53,2,2024-09-07 09:16:21:303,493980,493979,1,0,20606174,0,5455 53,3,2024-09-07 09:16:20:708,1,388,276,0,308,3663,388,0 54,0,2024-09-07 09:16:21:624,94417,0.6,94714,0.8,188677,0.5,252419,2.50 54,1,2024-09-07 09:16:20:584,682251,682251,0,0,320509389694,3327177016,676313,5319,619,366,391659,0 54,2,2024-09-07 09:16:20:866,492478,492446,32,0,23605888,0,6397 54,3,2024-09-07 09:16:20:774,1,388,1,0,676,5953,388,0 55,0,2024-09-07 09:16:21:761,92430,0.6,95604,0.7,193061,0.5,251627,2.50 55,1,2024-09-07 09:16:20:765,682628,682628,0,0,320456231114,3329977121,675798,5913,917,365,391731,0 55,2,2024-09-07 09:16:20:732,488283,488227,56,0,22156427,0,7239 55,3,2024-09-07 09:16:20:684,1,388,0,0,304,4112,388,0 56,0,2024-09-07 09:16:21:556,97552,1.0,91922,1.1,189404,1.4,254055,2.50 56,1,2024-09-07 09:16:20:577,678446,678446,0,0,318597670607,3363706909,668103,8150,2193,381,391867,0 56,2,2024-09-07 09:16:21:308,488462,488462,0,0,21973944,0,3567 56,3,2024-09-07 09:16:21:061,1,388,12,0,705,4893,388,0 57,0,2024-09-07 09:16:20:941,99663,1.5,99455,1.2,199342,2.1,266978,3.00 57,1,2024-09-07 09:16:20:996,680804,680804,0,0,319001826137,3343922491,672796,6994,1014,366,392032,0 57,2,2024-09-07 09:16:21:339,491840,491840,0,0,24223255,0,3317 57,3,2024-09-07 09:16:21:744,1,388,8,0,359,4261,388,0 58,0,2024-09-07 09:16:20:576,95388,0.9,92626,1.0,193873,1.1,253957,2.50 58,1,2024-09-07 09:16:20:597,681494,681491,0,3,319733460691,3343458371,672202,8031,1258,367,391603,3 58,2,2024-09-07 09:16:21:084,492011,492011,0,0,22737888,0,2902 58,3,2024-09-07 09:16:21:077,1,388,26,0,1043,4269,388,0 59,0,2024-09-07 09:16:21:779,96374,0.8,96062,0.9,191707,0.8,254708,2.50 59,1,2024-09-07 09:16:20:819,680866,680866,0,0,319969048128,3350520922,671785,7491,1590,369,391653,0 59,2,2024-09-07 09:16:20:587,491552,491552,0,0,22083098,0,2867 59,3,2024-09-07 09:16:21:738,1,388,3,0,1015,4938,388,0 60,0,2024-09-07 09:16:21:707,94915,0.5,94861,0.7,190422,0.5,252448,1.75 60,1,2024-09-07 09:16:20:777,682928,682928,0,0,320224158844,3325022747,678380,3899,649,370,392031,0 60,2,2024-09-07 09:16:21:145,488254,488254,0,0,21139929,0,3811 60,3,2024-09-07 09:16:21:258,1,388,1,0,409,4592,388,0 61,0,2024-09-07 09:16:21:524,98517,0.7,99149,0.8,197408,0.7,263468,2.00 61,1,2024-09-07 09:16:20:796,681378,681378,0,0,319106317773,3345517181,673536,6446,1396,382,392127,0 61,2,2024-09-07 09:16:21:115,488988,488921,67,0,21720211,0,6411 61,3,2024-09-07 09:16:21:688,1,388,0,0,479,5425,388,0 62,0,2024-09-07 09:16:21:729,100270,0.6,102603,0.7,195824,0.6,265756,2.00 62,1,2024-09-07 09:16:21:124,685905,685899,0,6,321730969219,3320127703,682095,3543,261,365,391715,6 62,2,2024-09-07 09:16:21:657,492652,492651,1,0,21857596,0,5555 62,3,2024-09-07 09:16:21:150,1,388,1,0,482,3295,388,0 63,0,2024-09-07 09:16:21:538,96936,0.4,96782,0.6,193944,0.3,257653,1.75 63,1,2024-09-07 09:16:20:811,683164,683158,0,6,320406733910,3326811196,678858,3888,412,381,391800,6 63,2,2024-09-07 09:16:20:767,492432,492432,0,0,19777686,0,4369 63,3,2024-09-07 09:16:21:753,1,388,1,0,667,4119,388,0 64,0,2024-09-07 09:16:21:523,94654,0.5,94634,0.7,189147,0.5,251624,2.00 64,1,2024-09-07 09:16:20:826,682478,682478,0,0,319789841580,3333687567,676225,4570,1683,370,391783,0 64,2,2024-09-07 09:16:21:151,490529,490510,19,0,19178838,0,6121 64,3,2024-09-07 09:16:21:149,1,388,12,0,265,3619,388,0 65,0,2024-09-07 09:16:21:695,95678,0.7,96071,0.8,191835,0.8,255935,2.25 65,1,2024-09-07 09:16:20:866,680916,680916,0,0,320118495012,3347187627,675873,4503,540,382,391901,0 65,2,2024-09-07 09:16:21:698,489250,489250,0,0,22116414,0,3367 65,3,2024-09-07 09:16:21:688,1,388,1,0,347,3944,388,0 66,0,2024-09-07 09:16:21:803,101267,0.5,101387,0.8,202368,0.5,270153,2.25 66,1,2024-09-07 09:16:21:309,682787,682787,0,0,319720269699,3329560174,678173,4230,384,380,391653,0 66,2,2024-09-07 09:16:21:133,495964,495964,0,0,19699321,0,4956 66,3,2024-09-07 09:16:21:081,1,388,0,0,291,3535,388,0 67,0,2024-09-07 09:16:21:414,95589,0.5,95272,0.7,191194,0.4,254471,2.00 67,1,2024-09-07 09:16:20:777,682966,682965,0,1,319163918874,3324364315,678300,3880,785,380,391787,1 67,2,2024-09-07 09:16:20:587,494192,494192,0,0,18913775,0,3622 67,3,2024-09-07 09:16:21:760,1,388,3,0,392,3731,388,0 68,0,2024-09-07 09:16:20:587,95383,0.6,95018,0.7,189541,0.5,253096,2.00 68,1,2024-09-07 09:16:20:577,680249,680249,0,0,319130904499,3350838087,672457,5486,2306,381,391953,0 68,2,2024-09-07 09:16:21:045,486121,486021,100,0,24924328,0,8578 68,3,2024-09-07 09:16:20:732,1,388,14,0,417,4200,388,0 69,0,2024-09-07 09:16:21:776,95488,0.7,96076,0.8,191314,0.8,254105,2.25 69,1,2024-09-07 09:16:21:027,679773,679773,0,0,319527942093,3369309449,670641,6942,2190,384,391994,0 69,2,2024-09-07 09:16:21:743,488105,488076,29,0,25741396,0,6912 69,3,2024-09-07 09:16:20:763,1,388,8,0,698,5327,388,0 70,0,2024-09-07 09:16:21:543,100305,0.9,100467,1.0,201929,0.7,267810,2.50 70,1,2024-09-07 09:16:20:805,682894,682894,0,0,320505958556,3326863204,677286,4950,658,366,391725,0 70,2,2024-09-07 09:16:21:331,492348,492348,0,0,22019977,0,4044 70,3,2024-09-07 09:16:20:744,1,388,1,0,854,4419,388,0 71,0,2024-09-07 09:16:21:374,94763,0.9,94531,1.0,189684,1.0,253943,2.75 71,1,2024-09-07 09:16:21:605,682052,682052,0,0,320534677506,3344536399,674283,7008,761,368,391738,0 71,2,2024-09-07 09:16:21:081,492006,492006,0,0,22426105,0,4352 71,3,2024-09-07 09:16:21:756,1,388,1,0,644,5149,388,0 72,0,2024-09-07 09:16:21:046,99289,0.5,97077,0.7,189265,0.5,257038,2.00 72,1,2024-09-07 09:16:21:020,680909,680909,0,0,319176655395,3347718853,670889,8053,1967,369,391819,0 72,2,2024-09-07 09:16:21:772,489800,489800,0,0,24328997,0,3983 72,3,2024-09-07 09:16:21:756,1,388,9,0,564,5876,388,0 73,0,2024-09-07 09:16:21:130,92688,0.4,94952,0.6,194245,0.4,252379,2.00 73,1,2024-09-07 09:16:20:765,682139,682139,0,0,319455220219,3318510402,677452,4323,364,367,391858,0 73,2,2024-09-07 09:16:21:762,488087,488087,0,0,23628139,0,3701 73,3,2024-09-07 09:16:20:975,1,388,27,0,486,5449,388,0 74,0,2024-09-07 09:16:21:327,101053,0.4,103164,0.6,196892,0.4,267891,2.00 74,1,2024-09-07 09:16:20:642,681882,681882,0,0,319628570367,3336496718,674898,5615,1369,381,391681,0 74,2,2024-09-07 09:16:21:007,489149,489149,0,0,22054842,0,4253 74,3,2024-09-07 09:16:21:450,1,388,5,0,522,5364,388,0 75,0,2024-09-07 09:16:21:768,98251,0.5,97584,0.8,196080,0.5,261554,2.25 75,1,2024-09-07 09:16:21:594,681625,681625,0,0,319800860072,3337565274,674939,5860,826,380,391739,0 75,2,2024-09-07 09:16:21:351,492463,492463,0,0,23764238,0,4766 75,3,2024-09-07 09:16:21:085,1,388,0,0,702,5187,388,0 76,0,2024-09-07 09:16:20:590,96037,0.6,95456,0.7,191721,0.5,255625,2.25 76,1,2024-09-07 09:16:20:806,681920,681920,0,0,319645294979,3335477997,677169,4054,697,382,391692,0 76,2,2024-09-07 09:16:21:074,493776,493775,1,0,21714644,0,5144 76,3,2024-09-07 09:16:21:148,1,388,17,0,175,3610,388,0 77,0,2024-09-07 09:16:21:713,95193,0.6,95515,0.8,190934,0.6,253371,2.00 77,1,2024-09-07 09:16:20:832,681922,681922,0,0,320019738492,3342390640,676334,5090,498,381,391869,0 77,2,2024-09-07 09:16:21:282,487573,487573,0,0,21017742,0,3890 77,3,2024-09-07 09:16:21:098,1,388,6,0,401,4202,388,0 78,0,2024-09-07 09:16:21:730,97858,0.5,97403,0.7,195668,0.4,259640,2.00 78,1,2024-09-07 09:16:20:618,682536,682536,0,0,319633392712,3331680770,675178,5792,1566,367,391670,0 78,2,2024-09-07 09:16:21:413,489242,489229,13,0,19901642,0,8313 78,3,2024-09-07 09:16:21:133,1,388,1,0,181,3580,388,0 79,0,2024-09-07 09:16:21:346,97114,0.4,99450,0.6,203736,0.4,264428,2.25 79,1,2024-09-07 09:16:20:578,683883,683883,0,0,320561956637,3323980058,677989,4745,1149,368,391682,0 79,2,2024-09-07 09:16:21:074,495760,495760,0,0,19637999,0,4195 79,3,2024-09-07 09:16:20:763,1,388,1,0,418,4817,388,0 80,0,2024-09-07 09:16:21:109,95878,0.5,98682,0.7,188942,0.5,255082,2.00 80,1,2024-09-07 09:16:21:642,681786,681786,0,0,320004141450,3331924794,677097,4367,322,368,391791,0 80,2,2024-09-07 09:16:21:091,494142,494142,0,0,19250823,0,4433 80,3,2024-09-07 09:16:20:577,1,388,1,0,190,4609,388,0 81,0,2024-09-07 09:16:21:563,94824,0.5,97061,0.7,185216,0.5,251412,2.00 81,1,2024-09-07 09:16:21:655,681377,681377,0,0,319069348609,3336650686,675639,5233,505,382,391879,0 81,2,2024-09-07 09:16:21:125,486368,486305,63,0,21004628,0,5932 81,3,2024-09-07 09:16:21:119,1,388,6,0,719,4901,388,0 82,0,2024-09-07 09:16:21:538,96181,0.5,96671,0.7,193651,0.5,257257,2.00 82,1,2024-09-07 09:16:20:679,683212,683208,0,4,320444686070,3335198608,678778,3627,803,381,391768,4 82,2,2024-09-07 09:16:21:691,490108,490108,0,0,18129746,0,3986 82,3,2024-09-07 09:16:21:753,1,388,4,0,363,3775,388,0 83,0,2024-09-07 09:16:21:534,101004,0.6,100889,0.7,201198,0.6,267715,2.25 83,1,2024-09-07 09:16:20:558,680893,680893,0,0,319895242183,3337629803,675615,4828,450,382,391709,0 83,2,2024-09-07 09:16:20:768,494162,494162,0,0,19032146,0,3393 83,3,2024-09-07 09:16:20:751,1,388,1,0,1260,5112,388,0 84,0,2024-09-07 09:16:21:779,95181,0.7,95135,0.8,189911,0.6,254895,2.25 84,1,2024-09-07 09:16:21:056,680812,680812,0,0,319098784383,3335491323,673626,6152,1034,367,391967,0 84,2,2024-09-07 09:16:20:571,491340,491310,30,0,25461227,0,5971 84,3,2024-09-07 09:16:21:148,1,388,11,0,908,5831,388,0 85,0,2024-09-07 09:16:21:025,92148,0.6,92189,0.8,195633,0.6,252866,2.25 85,1,2024-09-07 09:16:20:562,679689,679689,0,0,319290003989,3369682180,669628,8239,1822,381,392006,0 85,2,2024-09-07 09:16:20:876,488548,488548,0,0,23025990,0,3656 85,3,2024-09-07 09:16:20:689,1,388,5,0,789,5009,388,0 86,0,2024-09-07 09:16:20:900,95235,0.6,97954,0.8,187505,0.7,253775,2.25 86,1,2024-09-07 09:16:20:847,681179,681179,0,0,320212255366,3352245065,673209,6535,1435,366,391961,0 86,2,2024-09-07 09:16:20:861,486574,486573,1,0,25351294,0,5004 86,3,2024-09-07 09:16:20:606,1,388,8,0,308,5873,388,0 87,0,2024-09-07 09:16:21:329,100702,0.9,100468,0.9,201423,1.2,269378,2.25 87,1,2024-09-07 09:16:20:559,680304,680304,0,0,319555658569,3346210760,671999,7145,1160,366,392076,0 87,2,2024-09-07 09:16:21:084,489967,489961,6,0,22738031,0,6323 87,3,2024-09-07 09:16:21:809,1,388,3,0,473,5987,388,0 88,0,2024-09-07 09:16:21:456,96760,0.4,97507,0.6,194003,0.4,258117,1.75 88,1,2024-09-07 09:16:20:580,679274,679274,0,0,319278804288,3343976460,670635,6757,1882,365,392084,0 88,2,2024-09-07 09:16:20:693,492903,492903,0,0,24339426,0,3583 88,3,2024-09-07 09:16:21:268,1,388,8,0,435,4068,388,0 89,0,2024-09-07 09:16:21:778,99461,0.4,96380,0.6,190512,0.4,258430,1.75 89,1,2024-09-07 09:16:20:558,679615,679615,0,0,318709930157,3349706803,671379,6876,1360,382,391866,0 89,2,2024-09-07 09:16:21:137,490157,490157,0,0,23299992,0,3173 89,3,2024-09-07 09:16:21:791,1,388,2,0,385,6829,388,0 90,0,2024-09-07 09:16:21:652,92141,0.5,94640,0.6,193060,0.4,251501,2.00 90,1,2024-09-07 09:16:20:593,681080,681080,0,0,318968668051,3340284927,674654,5917,509,380,391825,0 90,2,2024-09-07 09:16:21:417,486133,486133,0,0,24412772,0,3060 90,3,2024-09-07 09:16:20:932,1,388,3,0,322,4950,388,0 91,0,2024-09-07 09:16:20:961,99147,0.4,96085,0.6,200948,0.4,263884,1.75 91,1,2024-09-07 09:16:20:566,680072,680072,0,0,319369035998,3357174938,671626,7258,1188,381,392047,0 91,2,2024-09-07 09:16:21:338,489825,489825,0,0,21723687,0,2896 91,3,2024-09-07 09:16:20:601,1,388,6,0,216,3680,388,0 92,0,2024-09-07 09:16:21:461,100497,0.5,102906,0.6,196760,0.5,266125,1.75 92,1,2024-09-07 09:16:20:587,681219,681219,0,0,319817366353,3341986088,675845,4653,721,382,392136,0 92,2,2024-09-07 09:16:21:352,495214,495214,0,0,20002547,0,3259 92,3,2024-09-07 09:16:21:008,1,388,4,0,167,3505,388,0 93,0,2024-09-07 09:16:20:950,97260,0.4,99666,0.5,190294,0.3,257733,1.75 93,1,2024-09-07 09:16:20:806,680491,680491,0,0,319469091917,3339575536,672714,6432,1345,366,391776,0 93,2,2024-09-07 09:16:20:933,491746,491746,0,0,23807933,0,4845 93,3,2024-09-07 09:16:21:406,1,388,2,0,190,3616,388,0 94,0,2024-09-07 09:16:21:622,94769,0.4,95571,0.5,190977,0.3,253331,1.75 94,1,2024-09-07 09:16:20:624,681668,681668,0,0,319801415278,3336596820,677280,4194,194,381,391850,0 94,2,2024-09-07 09:16:20:763,487111,487111,0,0,19987113,0,2443 94,3,2024-09-07 09:16:21:688,1,388,3,0,264,4721,388,0 95,0,2024-09-07 09:16:21:433,96544,0.4,96516,0.5,193628,0.3,258190,1.75 95,1,2024-09-07 09:16:20:868,683151,683151,0,0,319849591824,3326859987,677613,5127,411,367,391713,0 95,2,2024-09-07 09:16:21:020,488886,488886,0,0,19802341,0,3308 95,3,2024-09-07 09:16:21:726,1,388,2,0,718,5728,388,0 96,0,2024-09-07 09:16:21:024,101899,0.4,102185,0.5,203984,0.3,271374,1.75 96,1,2024-09-07 09:16:21:586,680935,680935,0,0,319680480681,3337914666,675553,4505,877,384,391955,0 96,2,2024-09-07 09:16:21:273,495198,495198,0,0,20149261,0,4042 96,3,2024-09-07 09:16:21:143,1,388,115,0,411,4298,388,0 97,0,2024-09-07 09:16:21:333,95535,0.3,95325,0.5,191180,0.3,254283,1.50 97,1,2024-09-07 09:16:20:765,682669,682669,0,0,321107345044,3330967832,678157,3815,697,367,392140,0 97,2,2024-09-07 09:16:20:615,493490,493490,0,0,19893290,0,3679 97,3,2024-09-07 09:16:20:588,1,388,3,0,214,4333,388,0 98,0,2024-09-07 09:16:21:841,94890,0.3,94888,0.4,190515,0.2,252941,1.50 98,1,2024-09-07 09:16:20:584,681623,681623,0,0,320143473824,3336506284,677136,3710,777,382,391997,0 98,2,2024-09-07 09:16:20:788,488260,488260,0,0,19425272,0,3243 98,3,2024-09-07 09:16:20:702,1,388,1,0,840,5748,388,0 99,0,2024-09-07 09:16:21:485,95971,0.3,96664,0.5,192038,0.3,256250,1.75 99,1,2024-09-07 09:16:21:744,682125,682125,0,0,320035472651,3333675572,677294,3998,833,381,392069,0 99,2,2024-09-07 09:16:21:424,489572,489572,0,0,22887759,0,3424 99,3,2024-09-07 09:16:20:586,1,388,2,0,606,4360,388,0 100,0,2024-09-07 09:16:21:472,100822,0.7,101179,0.9,201491,0.8,269518,2.25 100,1,2024-09-07 09:16:20:554,678916,678916,0,0,318204785321,3363426205,668582,8320,2014,381,391989,0 100,2,2024-09-07 09:16:21:819,491247,491236,11,0,23107341,0,5417 100,3,2024-09-07 09:16:21:739,1,388,2,0,559,6567,388,0 101,0,2024-09-07 09:16:21:725,97651,1.1,95085,1.0,186733,0.9,255767,2.25 101,1,2024-09-07 09:16:20:568,679092,679092,0,0,319042426368,3358202120,668855,8118,2119,368,391847,0 101,2,2024-09-07 09:16:21:792,489021,489021,0,0,27515393,0,4871 101,3,2024-09-07 09:16:20:949,1,388,4,0,579,5646,388,0 102,0,2024-09-07 09:16:20:974,93637,0.6,96682,0.8,195698,0.6,255767,2.00 102,1,2024-09-07 09:16:21:157,679554,679554,0,0,318532819349,3348304278,670430,7513,1611,369,391891,0 102,2,2024-09-07 09:16:21:749,490572,490518,54,0,21857081,0,6768 102,3,2024-09-07 09:16:21:631,1,388,1,0,410,3868,388,0 103,0,2024-09-07 09:16:21:794,98120,0.6,98115,0.7,185013,0.6,254178,2.00 103,1,2024-09-07 09:16:21:702,678682,678682,0,0,319136669027,3366419627,668322,7748,2612,381,391829,0 103,2,2024-09-07 09:16:20:594,486623,486623,0,0,23658028,0,3766 103,3,2024-09-07 09:16:20:767,1,388,4,0,916,4405,388,0 104,0,2024-09-07 09:16:21:016,99419,0.7,99909,0.9,198317,0.7,267221,2.25 104,1,2024-09-07 09:16:21:605,680514,680514,0,0,318678589768,3348437811,670774,7965,1775,365,392168,0 104,2,2024-09-07 09:16:21:676,488831,488831,0,0,23787971,0,3941 104,3,2024-09-07 09:16:21:423,1,388,0,0,1245,7928,388,0 105,0,2024-09-07 09:16:21:030,96731,0.9,94166,1.1,197278,1.1,259727,2.75 105,1,2024-09-07 09:16:20:561,681507,681507,0,0,319449711586,3347986676,672767,7392,1348,366,392009,0 105,2,2024-09-07 09:16:21:322,492743,492743,0,0,23076037,0,3509 105,3,2024-09-07 09:16:21:307,1,388,1,0,399,5831,388,0 106,0,2024-09-07 09:16:20:951,93155,0.7,95423,0.9,195509,0.8,255445,2.50 106,1,2024-09-07 09:16:21:765,679992,679992,0,0,319141722877,3354582986,669227,9322,1443,369,391914,0 106,2,2024-09-07 09:16:20:757,491093,491093,0,0,22606336,0,2795 106,3,2024-09-07 09:16:20:688,1,388,253,0,470,5046,388,0 107,0,2024-09-07 09:16:21:113,95280,1.0,95504,0.9,190659,1.3,254327,2.25 107,1,2024-09-07 09:16:20:597,679487,679487,0,0,318617401083,3355591401,670710,7805,972,381,392234,0 107,2,2024-09-07 09:16:21:298,486027,486026,1,0,23202293,0,5024 107,3,2024-09-07 09:16:21:761,1,388,0,0,353,5785,388,0 108,0,2024-09-07 09:16:21:794,97334,0.5,98064,0.6,194803,0.5,260769,1.75 108,1,2024-09-07 09:16:21:299,681719,681719,0,0,320077755905,3338753743,675998,4983,738,368,391857,0 108,2,2024-09-07 09:16:21:765,487606,487606,0,0,22454527,0,4246 108,3,2024-09-07 09:16:21:337,1,388,12,0,749,7505,388,0 109,0,2024-09-07 09:16:21:774,101362,0.4,100501,0.6,200963,0.4,268720,1.75 109,1,2024-09-07 09:16:20:587,679317,679317,0,0,319346805676,3351338148,672979,5264,1074,383,392132,0 109,2,2024-09-07 09:16:20:922,492779,492779,0,0,22238126,0,3617 109,3,2024-09-07 09:16:21:148,1,388,1,0,379,4557,388,0 110,0,2024-09-07 09:16:21:755,95780,0.4,93296,0.6,195590,0.3,255987,1.75 110,1,2024-09-07 09:16:21:648,682818,682818,0,0,319724295132,3320067768,677786,3857,1175,369,392045,0 110,2,2024-09-07 09:16:21:318,492868,492868,0,0,21323456,0,4067 110,3,2024-09-07 09:16:20:692,1,388,4,0,722,5719,388,0 111,0,2024-09-07 09:16:21:426,95196,0.4,94353,0.6,189416,0.3,252759,1.75 111,1,2024-09-07 09:16:21:005,683422,683422,0,0,320950220647,3329355474,679828,3241,353,380,391690,0 111,2,2024-09-07 09:16:21:131,486584,486584,0,0,20523245,0,4823 111,3,2024-09-07 09:16:20:916,1,388,5,0,379,4504,388,0 112,0,2024-09-07 09:16:20:911,97374,0.3,96840,0.4,194051,0.2,258353,1.50 112,1,2024-09-07 09:16:20:832,683061,683061,0,0,319975003075,3321804126,678692,3757,612,380,391624,0 112,2,2024-09-07 09:16:21:133,489248,489247,1,0,19132373,0,5036 112,3,2024-09-07 09:16:20:601,1,388,10,0,282,3915,388,0 113,0,2024-09-07 09:16:20:873,100762,0.3,100916,0.5,201912,0.2,269094,1.50 113,1,2024-09-07 09:16:21:687,685472,685472,0,0,322246514259,3326377096,681614,3271,587,366,391661,0 113,2,2024-09-07 09:16:21:306,495098,495098,0,0,18403930,0,3813 113,3,2024-09-07 09:16:20:692,1,388,2,0,340,4544,388,0 114,0,2024-09-07 09:16:20:873,96144,0.3,96847,0.5,192298,0.2,257352,1.75 114,1,2024-09-07 09:16:20:726,682537,682537,0,0,319760688045,3324092934,676541,4381,1615,381,391565,0 114,2,2024-09-07 09:16:20:877,492992,492991,1,0,19533684,0,5069 114,3,2024-09-07 09:16:21:281,1,388,0,0,395,3344,388,0 115,0,2024-09-07 09:16:20:562,95717,0.3,96219,0.4,192122,0.2,255375,1.50 115,1,2024-09-07 09:16:20:577,682579,682579,0,0,321260467498,3339275574,676780,4868,931,382,391757,0 115,2,2024-09-07 09:16:21:125,491221,491221,0,0,18493689,0,3848 115,3,2024-09-07 09:16:21:010,1,388,0,0,159,2285,388,0 116,0,2024-09-07 09:16:21:709,95088,0.7,94968,0.9,190417,0.7,255011,2.00 116,1,2024-09-07 09:16:20:824,679547,679547,0,0,319245131593,3363662339,671334,5894,2319,380,392089,0 116,2,2024-09-07 09:16:21:763,487563,487563,0,0,24191743,0,4128 116,3,2024-09-07 09:16:20:912,1,388,8,0,415,4699,388,0 117,0,2024-09-07 09:16:20:968,100975,0.8,100474,0.8,201464,0.9,269438,2.00 117,1,2024-09-07 09:16:21:578,680172,680172,0,0,319113795523,3341224249,672623,6643,906,369,392033,0 117,2,2024-09-07 09:16:21:120,493791,493791,0,0,19968191,0,3700 117,3,2024-09-07 09:16:21:065,1,388,0,0,490,6039,388,0 118,0,2024-09-07 09:16:21:781,94094,0.5,96449,0.7,197101,0.5,257181,2.00 118,1,2024-09-07 09:16:20:618,680094,680094,0,0,319432226384,3361859934,668967,8198,2929,366,391907,0 118,2,2024-09-07 09:16:21:602,491782,491782,0,0,22733354,0,2842 118,3,2024-09-07 09:16:21:764,1,388,3,0,248,4515,388,0 119,0,2024-09-07 09:16:21:344,96266,0.6,96761,0.7,193379,0.5,256787,2.00 119,1,2024-09-07 09:16:20:558,680480,680480,0,0,319262746127,3339551420,673110,6525,845,367,391780,0 119,2,2024-09-07 09:16:21:268,491640,491640,0,0,21282591,0,4174 119,3,2024-09-07 09:16:21:334,1,388,1,0,1058,6931,388,0 120,0,2024-09-07 09:16:21:554,94381,0.6,94295,0.8,188749,0.6,252014,2.25 120,1,2024-09-07 09:16:20:890,680874,680874,0,0,319731767415,3355011141,673640,6588,646,368,391961,0 120,2,2024-09-07 09:16:20:777,487278,487277,1,0,24097938,0,5281 120,3,2024-09-07 09:16:21:300,1,388,12,0,279,4944,388,0 121,0,2024-09-07 09:16:21:705,98689,1.1,98597,1.0,197698,1.5,263312,2.25 121,1,2024-09-07 09:16:21:657,681435,681435,0,0,319838164847,3344675247,675126,5863,446,367,391840,0 121,2,2024-09-07 09:16:21:129,488154,488154,0,0,23177077,0,4127 121,3,2024-09-07 09:16:20:733,1,388,3,0,269,4487,388,0 122,0,2024-09-07 09:16:21:775,99150,0.8,96788,0.9,203167,0.9,265882,2.00 122,1,2024-09-07 09:16:20:866,679966,679966,0,0,319064770953,3346211844,670888,7791,1287,366,392130,0 122,2,2024-09-07 09:16:21:351,493846,493773,73,0,26206792,0,5989 122,3,2024-09-07 09:16:20:601,1,388,3,0,512,7342,388,0 123,0,2024-09-07 09:16:20:956,96139,0.6,93996,0.7,196407,0.6,257168,2.00 123,1,2024-09-07 09:16:20:561,680110,680110,0,0,319255914499,3362951027,667887,10019,2204,369,392039,0 123,2,2024-09-07 09:16:21:020,490508,490507,1,0,22457019,0,5215 123,3,2024-09-07 09:16:21:135,1,388,1,0,168,4234,388,0 124,0,2024-09-07 09:16:20:931,98047,0.3,97961,0.5,184770,0.3,254102,1.75 124,1,2024-09-07 09:16:21:046,682573,682573,0,0,319992796259,3329251795,677383,4142,1048,367,392178,0 124,2,2024-09-07 09:16:21:017,488182,488129,53,0,20422942,0,6487 124,3,2024-09-07 09:16:20:761,1,388,8,0,490,3877,388,0 125,0,2024-09-07 09:16:21:416,96866,0.4,96537,0.6,194011,0.4,258462,1.75 125,1,2024-09-07 09:16:20:864,681250,681250,0,0,319847448846,3341193235,675661,4923,666,382,391702,0 125,2,2024-09-07 09:16:21:116,489643,489643,0,0,21043289,0,4534 125,3,2024-09-07 09:16:21:138,1,388,3,0,709,5090,388,0 126,0,2024-09-07 09:16:21:432,101702,0.5,104762,0.6,200570,0.4,272063,1.75 126,1,2024-09-07 09:16:20:554,683012,683012,0,0,320293155882,3319504792,679093,3630,289,365,391987,0 126,2,2024-09-07 09:16:20:614,495096,495096,0,0,21863902,0,4539 126,3,2024-09-07 09:16:20:907,1,388,0,0,207,4861,388,0 127,0,2024-09-07 09:16:21:672,95426,0.3,95941,0.5,191298,0.3,254357,1.75 127,1,2024-09-07 09:16:20:571,682290,682290,0,0,320508680802,3335172387,675460,5724,1106,364,392187,0 127,2,2024-09-07 09:16:20:652,491805,491805,0,0,19870556,0,3897 127,3,2024-09-07 09:16:21:272,1,388,8,0,968,4445,388,0 128,0,2024-09-07 09:16:21:530,95377,0.3,95659,0.4,190819,0.2,253233,1.50 128,1,2024-09-07 09:16:21:614,682108,682108,0,0,320090873272,3324790916,677318,4358,432,367,391838,0 128,2,2024-09-07 09:16:21:387,488882,488882,0,0,18637210,0,2915 128,3,2024-09-07 09:16:20:772,1,388,8,0,1082,6311,388,0 129,0,2024-09-07 09:16:21:006,96703,0.3,96144,0.5,192689,0.3,256411,1.75 129,1,2024-09-07 09:16:20:576,679440,679440,0,0,319553152173,3351383757,672210,5565,1665,379,391835,0 129,2,2024-09-07 09:16:20:689,489407,489407,0,0,19884975,0,4031 129,3,2024-09-07 09:16:20:693,1,388,8,0,506,5257,388,0 130,0,2024-09-07 09:16:21:728,101723,0.5,101330,0.6,203926,0.5,270983,1.75 130,1,2024-09-07 09:16:20:590,682819,682819,0,0,320121189996,3328981292,678962,3520,337,381,391825,0 130,2,2024-09-07 09:16:21:126,494204,494204,0,0,20464438,0,4067 130,3,2024-09-07 09:16:21:292,1,388,182,0,535,5631,388,0 131,0,2024-09-07 09:16:21:937,95568,0.3,96079,0.5,193264,0.3,255787,1.75 131,1,2024-09-07 09:16:21:826,682056,682056,0,0,320216930714,3347450040,676173,4835,1048,381,391865,0 131,2,2024-09-07 09:16:20:578,494604,494604,0,0,18314470,0,2509 131,3,2024-09-07 09:16:21:688,1,388,45,0,392,4000,388,0 132,0,2024-09-07 09:16:21:410,96183,0.4,97108,0.6,193167,0.4,256564,1.75 132,1,2024-09-07 09:16:20:579,679561,679561,0,0,318906966905,3356505652,669864,8103,1594,381,392097,0 132,2,2024-09-07 09:16:20:709,490326,490309,17,0,24732970,0,6451 132,3,2024-09-07 09:16:21:688,1,388,3,0,804,6913,388,0 133,0,2024-09-07 09:16:21:532,92619,0.4,94774,0.6,194575,0.4,252821,1.75 133,1,2024-09-07 09:16:20:599,679084,679084,0,0,318845307240,3361315906,669286,8287,1511,383,391914,0 133,2,2024-09-07 09:16:21:090,487153,487103,50,0,25844544,0,6861 133,3,2024-09-07 09:16:21:305,1,388,1,0,479,3970,388,0 134,0,2024-09-07 09:16:20:969,100653,0.5,100276,0.7,200654,0.5,268489,2.00 134,1,2024-09-07 09:16:20:591,680186,680186,0,0,318158703908,3339051096,670957,7223,2006,366,391718,0 134,2,2024-09-07 09:16:21:766,488967,488943,24,0,23049552,0,6207 134,3,2024-09-07 09:16:20:763,1,388,6,0,739,4865,388,0 135,0,2024-09-07 09:16:21:101,94955,0.8,94933,0.9,201267,1.0,259215,2.25 135,1,2024-09-07 09:16:21:589,680212,680212,0,0,319190847263,3357872357,671134,7799,1279,380,391805,0 135,2,2024-09-07 09:16:20:696,494115,494115,0,0,22661423,0,3981 135,3,2024-09-07 09:16:21:008,1,388,1,0,299,3288,388,0 136,0,2024-09-07 09:16:21:630,96932,0.5,97065,0.7,193180,0.5,257431,2.00 136,1,2024-09-07 09:16:21:442,680402,680402,0,0,319591070960,3352171850,672716,6846,840,382,391685,0 136,2,2024-09-07 09:16:21:144,493454,493454,0,0,22226937,0,3506 136,3,2024-09-07 09:16:21:120,1,388,1,0,637,4241,388,0 137,0,2024-09-07 09:16:20:931,98421,0.6,95748,0.7,188167,0.6,255522,2.00 137,1,2024-09-07 09:16:20:576,679639,679639,0,0,319078995864,3351131892,668544,8748,2347,366,391898,0 137,2,2024-09-07 09:16:21:716,486227,486227,0,0,24327823,0,3185 137,3,2024-09-07 09:16:20:779,1,388,246,0,484,5096,388,0 138,0,2024-09-07 09:16:21:763,97166,1.1,97168,1.0,195168,1.5,259669,2.25 138,1,2024-09-07 09:16:21:687,680664,680664,0,0,319275956917,3351315364,670859,8086,1719,368,391954,0 138,2,2024-09-07 09:16:20:586,488483,488483,0,0,22118816,0,4988 138,3,2024-09-07 09:16:20:623,1,388,1,0,1160,5237,388,0 139,0,2024-09-07 09:16:21:405,99900,1.7,99834,1.2,200201,2.4,267088,2.50 139,1,2024-09-07 09:16:20:599,677496,677496,0,0,317501075574,3376460972,663808,10419,3269,381,392058,0 139,2,2024-09-07 09:16:20:702,491069,491069,0,0,25285975,0,3097 139,3,2024-09-07 09:16:21:674,1,388,5,0,432,4666,388,0 140,0,2024-09-07 09:16:21:591,96413,0.3,95776,0.5,192309,0.2,256189,1.75 140,1,2024-09-07 09:16:21:538,683985,683985,0,0,321453437794,3316157896,680457,3088,440,365,391606,0 140,2,2024-09-07 09:16:20:696,493108,493107,1,0,20095079,0,5036 140,3,2024-09-07 09:16:20:766,1,388,1,0,297,3373,388,0 141,0,2024-09-07 09:16:21:704,95014,0.3,97517,0.5,186344,0.3,252692,1.75 141,1,2024-09-07 09:16:20:866,682709,682709,0,0,321134215231,3336167087,677501,4254,954,379,391614,0 141,2,2024-09-07 09:16:21:686,487789,487789,0,0,19429631,0,3360 141,3,2024-09-07 09:16:21:059,1,388,2,0,391,4508,388,0 142,0,2024-09-07 09:16:21:319,97416,0.3,96833,0.5,193530,0.3,258965,1.75 142,1,2024-09-07 09:16:20:599,681790,681790,0,0,320248444769,3339221439,677333,4064,393,382,392102,0 142,2,2024-09-07 09:16:21:311,488412,488380,32,0,21255715,0,6028 142,3,2024-09-07 09:16:21:760,1,388,0,0,484,4447,388,0 143,0,2024-09-07 09:16:21:377,101060,0.4,100920,0.6,202420,0.4,269104,1.75 143,1,2024-09-07 09:16:20:574,683024,683024,0,0,320684527118,3330413625,678265,4244,515,367,391705,0 143,2,2024-09-07 09:16:20:782,494239,494239,0,0,20707901,0,3123 143,3,2024-09-07 09:16:21:143,1,388,3,0,462,5108,388,0 144,0,2024-09-07 09:16:21:515,92831,0.6,95368,0.8,194451,0.5,255272,2.00 144,1,2024-09-07 09:16:20:579,679452,679452,0,0,318529898884,3343508709,672728,5379,1345,381,391649,0 144,2,2024-09-07 09:16:21:767,493195,493195,0,0,19328477,0,3673 144,3,2024-09-07 09:16:21:757,1,388,1,0,249,3911,388,0 145,0,2024-09-07 09:16:21:364,92520,0.5,92543,0.8,196266,0.5,252479,2.25 145,1,2024-09-07 09:16:20:567,679829,679829,0,0,318763285209,3349560688,671761,6921,1147,382,391759,0 145,2,2024-09-07 09:16:21:431,487657,487576,81,0,23475487,0,7814 145,3,2024-09-07 09:16:20:894,1,388,8,0,622,5746,388,0 146,0,2024-09-07 09:16:21:640,95421,0.5,94842,0.7,191172,0.5,253619,2.25 146,1,2024-09-07 09:16:21:596,681053,681053,0,0,319274485086,3354898676,670415,8521,2117,367,391770,0 146,2,2024-09-07 09:16:21:702,487202,487202,0,0,22138408,0,2730 146,3,2024-09-07 09:16:21:281,1,388,3,0,1520,7786,388,0 147,0,2024-09-07 09:16:21:805,100926,0.6,100686,0.8,200647,0.6,269016,2.25 147,1,2024-09-07 09:16:21:375,682767,682767,0,0,320735026367,3338035170,676441,5482,844,368,391791,0 147,2,2024-09-07 09:16:21:017,490914,490914,0,0,20515959,0,2968 147,3,2024-09-07 09:16:20:914,1,388,1,0,730,5502,388,0 0,0,2024-09-07 09:16:31:718,92295,0.6,92342,0.8,195842,0.7,252836,2.00 0,1,2024-09-07 09:16:30:820,682619,682619,0,0,320851256117,3358400562,677367,4866,386,369,391896,0 0,2,2024-09-07 09:16:31:096,490455,490455,0,0,19816228,0,4480 0,3,2024-09-07 09:16:30:987,1,389,8,0,431,5924,389,0 1,0,2024-09-07 09:16:31:746,99194,0.9,98595,1.0,198003,1.2,264945,2.25 1,1,2024-09-07 09:16:30:567,682075,682075,0,0,320157060064,3352437226,675884,4873,1318,370,391857,0 1,2,2024-09-07 09:16:30:649,489835,489835,0,0,19866878,0,3380 1,3,2024-09-07 09:16:31:311,1,389,7,0,262,4685,389,0 2,0,2024-09-07 09:16:31:574,99376,0.7,99660,0.8,198551,0.8,264652,2.00 2,1,2024-09-07 09:16:30:859,684341,684341,0,0,321315694357,3336651696,681108,2940,293,380,391745,0 2,2,2024-09-07 09:16:31:266,495469,495469,0,0,19469294,0,3594 2,3,2024-09-07 09:16:30:699,1,389,1,0,357,3852,389,0 3,0,2024-09-07 09:16:31:746,96772,0.4,96703,0.6,193172,0.4,257043,2.00 3,1,2024-09-07 09:16:31:624,682991,682991,0,0,321073370933,3345698590,676894,5407,690,380,391716,0 3,2,2024-09-07 09:16:31:159,493545,493522,23,0,20230315,0,5851 3,3,2024-09-07 09:16:31:753,1,389,3,0,207,2410,389,0 4,0,2024-09-07 09:16:31:776,92598,0.4,95162,0.5,193908,0.3,253715,1.75 4,1,2024-09-07 09:16:30:592,680521,680521,0,0,319394333677,3375840836,669469,8549,2503,370,391992,0 4,2,2024-09-07 09:16:31:036,487078,487078,0,0,22360306,0,4528 4,3,2024-09-07 09:16:31:029,1,389,8,0,448,5250,389,0 5,0,2024-09-07 09:16:31:440,96872,0.4,97449,0.6,194514,0.4,258920,1.75 5,1,2024-09-07 09:16:30:765,681799,681799,0,0,319535272047,3365517809,671971,7892,1936,367,392005,0 5,2,2024-09-07 09:16:31:832,488304,488304,0,0,22125159,0,3582 5,3,2024-09-07 09:16:31:732,1,389,1,0,457,5594,389,0 6,0,2024-09-07 09:16:30:914,102372,0.5,101947,0.7,203533,0.4,271871,2.00 6,1,2024-09-07 09:16:30:748,682162,682162,0,0,319304422669,3338782340,674391,6384,1387,379,391694,0 6,2,2024-09-07 09:16:31:123,495311,495293,18,0,22603238,0,5535 6,3,2024-09-07 09:16:31:279,1,389,26,0,340,4307,389,0 7,0,2024-09-07 09:16:31:549,95152,0.5,95773,0.6,190868,0.4,254157,2.00 7,1,2024-09-07 09:16:30:849,681663,681663,0,0,320015506575,3359386503,672378,7890,1395,382,391747,0 7,2,2024-09-07 09:16:30:770,494325,494325,0,0,21961853,0,4791 7,3,2024-09-07 09:16:30:851,1,389,1,0,398,4142,389,0 8,0,2024-09-07 09:16:31:338,95376,0.4,95154,0.5,190633,0.3,253825,1.75 8,1,2024-09-07 09:16:31:038,680809,680809,0,0,320305192453,3368852249,669857,8359,2593,366,392144,0 8,2,2024-09-07 09:16:30:795,484996,484996,0,0,25218370,0,3220 8,3,2024-09-07 09:16:30:591,1,389,10,0,538,6515,389,0 9,0,2024-09-07 09:16:31:190,96497,0.4,93759,0.5,196141,0.3,257173,1.75 9,1,2024-09-07 09:16:30:549,681133,681133,0,0,319694193008,3366554290,671458,7537,2138,369,392001,0 9,2,2024-09-07 09:16:31:101,489305,489305,0,0,22518382,0,3360 9,3,2024-09-07 09:16:31:754,1,389,4,0,496,5402,389,0 10,0,2024-09-07 09:16:31:613,101672,0.3,100995,0.5,202962,0.2,270482,1.75 10,1,2024-09-07 09:16:30:583,682014,682014,0,0,320832219002,3359666707,673086,7643,1285,381,391741,0 10,2,2024-09-07 09:16:30:765,494211,494211,0,0,24950368,0,4264 10,3,2024-09-07 09:16:30:873,1,389,4,0,649,4380,389,0 11,0,2024-09-07 09:16:31:021,95730,0.5,92920,0.6,194262,0.4,256569,1.75 11,1,2024-09-07 09:16:30:575,682712,682712,0,0,320024274592,3358378559,672134,8096,2482,383,391756,0 11,2,2024-09-07 09:16:31:123,493057,493057,0,0,22288686,0,4130 11,3,2024-09-07 09:16:31:305,1,389,2,0,843,5986,389,0 12,0,2024-09-07 09:16:30:958,97036,0.4,97088,0.5,193961,0.3,257249,1.75 12,1,2024-09-07 09:16:30:933,683044,683044,0,0,320753929389,3345152807,677665,4884,495,370,391870,0 12,2,2024-09-07 09:16:31:550,491545,491545,0,0,21542143,0,3469 12,3,2024-09-07 09:16:31:063,1,389,11,0,386,6063,389,0 13,0,2024-09-07 09:16:31:339,95922,0.4,95656,0.6,191028,0.4,254525,1.75 13,1,2024-09-07 09:16:31:533,681580,681580,0,0,319780412697,3362708368,674552,5456,1572,382,391740,0 13,2,2024-09-07 09:16:30:595,491167,491167,0,0,19851496,0,3287 13,3,2024-09-07 09:16:31:769,1,389,0,0,522,6067,389,0 14,0,2024-09-07 09:16:30:580,100825,0.4,101695,0.6,201428,0.4,269152,1.75 14,1,2024-09-07 09:16:31:561,686167,686167,0,0,321884447063,3336127836,680444,5195,528,364,391673,0 14,2,2024-09-07 09:16:30:764,491702,491672,30,0,22072490,0,6104 14,3,2024-09-07 09:16:31:122,1,389,1,0,1168,4388,389,0 15,0,2024-09-07 09:16:31:554,97679,0.4,97655,0.7,195658,0.4,260263,2.00 15,1,2024-09-07 09:16:31:617,683042,683042,0,0,320972424659,3344188412,677492,4572,978,381,391619,0 15,2,2024-09-07 09:16:30:999,495968,495968,0,0,18303820,0,3622 15,3,2024-09-07 09:16:31:405,1,389,53,0,1126,6842,389,0 16,0,2024-09-07 09:16:30:946,96697,0.5,97072,0.7,193717,0.5,257528,2.00 16,1,2024-09-07 09:16:30:573,683180,683180,0,0,320541050815,3351220419,677301,5036,843,370,391756,0 16,2,2024-09-07 09:16:31:443,492811,492811,0,0,21159934,0,4719 16,3,2024-09-07 09:16:31:158,1,389,13,0,317,5157,389,0 17,0,2024-09-07 09:16:31:770,98457,0.6,96060,0.8,188055,0.7,255104,2.00 17,1,2024-09-07 09:16:30:568,681781,681781,0,0,319762212100,3357933099,674269,6003,1509,368,392075,0 17,2,2024-09-07 09:16:31:667,491286,491286,0,0,20416925,0,2948 17,3,2024-09-07 09:16:30:577,1,389,0,0,298,6147,389,0 18,0,2024-09-07 09:16:30:939,97093,0.6,97417,0.8,194274,0.7,259743,2.25 18,1,2024-09-07 09:16:31:640,684511,684511,0,0,321034382055,3328329914,680686,3450,375,367,391649,0 18,2,2024-09-07 09:16:31:756,492585,492585,0,0,18828961,0,3541 18,3,2024-09-07 09:16:30:898,1,389,1,0,163,3136,389,0 19,0,2024-09-07 09:16:31:562,100188,0.7,100762,0.8,199726,0.7,265612,2.25 19,1,2024-09-07 09:16:30:566,684712,684712,0,0,321369560387,3336118629,678913,4882,917,367,391777,0 19,2,2024-09-07 09:16:31:782,497609,497609,0,0,18069528,0,3988 19,3,2024-09-07 09:16:31:130,1,389,1,0,524,3018,389,0 20,0,2024-09-07 09:16:31:381,96176,0.5,96186,0.6,192150,0.4,256494,2.00 20,1,2024-09-07 09:16:30:573,682355,682355,0,0,321038646261,3356371925,676567,5028,760,369,391886,0 20,2,2024-09-07 09:16:30:932,493605,493605,0,0,21444373,0,3721 20,3,2024-09-07 09:16:30:590,1,389,12,0,414,5877,389,0 21,0,2024-09-07 09:16:31:151,95018,0.5,95057,0.6,190019,0.5,252372,2.00 21,1,2024-09-07 09:16:31:536,680899,680899,0,0,319798439036,3369404062,671501,7407,1991,368,392016,0 21,2,2024-09-07 09:16:31:080,485974,485954,20,0,25098247,0,5617 21,3,2024-09-07 09:16:31:411,1,389,5,0,713,5147,389,0 22,0,2024-09-07 09:16:31:721,96762,0.5,97117,0.7,194440,0.5,257693,2.00 22,1,2024-09-07 09:16:31:023,681793,681793,0,0,319780785395,3360206093,671814,7927,2052,382,391667,0 22,2,2024-09-07 09:16:30:767,488906,488880,26,0,20694115,0,6328 22,3,2024-09-07 09:16:31:090,1,389,15,0,228,3327,389,0 23,0,2024-09-07 09:16:31:368,101039,0.5,100584,0.7,201633,0.4,268833,2.25 23,1,2024-09-07 09:16:31:003,683166,683166,0,0,320367352678,3363153981,671542,8073,3551,365,391690,0 23,2,2024-09-07 09:16:31:094,496531,496531,0,0,19974967,0,3010 23,3,2024-09-07 09:16:31:760,1,389,3,0,720,5354,389,0 24,0,2024-09-07 09:16:30:844,96724,0.4,96510,0.5,193490,0.4,257298,1.75 24,1,2024-09-07 09:16:30:591,681953,681953,0,0,319264227331,3347174746,674454,5916,1583,367,392269,0 24,2,2024-09-07 09:16:31:069,492699,492699,0,0,24318758,0,3607 24,3,2024-09-07 09:16:31:701,1,389,34,0,468,5488,389,0 25,0,2024-09-07 09:16:31:359,98357,0.4,95872,0.6,188033,0.4,256135,1.75 25,1,2024-09-07 09:16:30:557,681580,681580,0,0,319968313530,3366282580,671240,8435,1905,371,391928,0 25,2,2024-09-07 09:16:31:611,488692,488692,0,0,24307907,0,3978 25,3,2024-09-07 09:16:31:000,1,389,106,0,532,4409,389,0 26,0,2024-09-07 09:16:31:726,95564,0.4,93265,0.6,195593,0.3,254825,1.75 26,1,2024-09-07 09:16:31:544,683219,683219,0,0,319745779310,3348120634,673592,8080,1547,380,391748,0 26,2,2024-09-07 09:16:30:860,490505,490505,0,0,24262735,0,2809 26,3,2024-09-07 09:16:31:717,1,389,1,0,796,4774,389,0 27,0,2024-09-07 09:16:31:728,101432,0.4,101610,0.6,201790,0.4,269873,2.00 27,1,2024-09-07 09:16:31:679,685060,685060,0,0,321328020127,3344980555,678799,5438,823,381,391626,0 27,2,2024-09-07 09:16:30:868,490512,490447,65,0,23200648,0,5699 27,3,2024-09-07 09:16:31:025,1,389,1,0,564,3969,389,0 28,0,2024-09-07 09:16:31:399,97312,0.4,96907,0.6,193940,0.3,258859,2.00 28,1,2024-09-07 09:16:30:806,683427,683427,0,0,321383758466,3357302495,676602,5137,1688,383,391698,0 28,2,2024-09-07 09:16:31:767,493955,493955,0,0,21279343,0,2915 28,3,2024-09-07 09:16:31:781,1,389,8,0,502,4460,389,0 29,0,2024-09-07 09:16:31:371,99368,0.3,96774,0.6,190240,0.3,258514,1.75 29,1,2024-09-07 09:16:31:566,685498,685498,0,0,321981370532,3333882153,680709,4056,733,368,391753,0 29,2,2024-09-07 09:16:30:866,491662,491662,0,0,20149002,0,4986 29,3,2024-09-07 09:16:30:963,1,389,3,0,459,4780,389,0 30,0,2024-09-07 09:16:31:456,94653,0.5,92027,0.7,192678,0.4,251694,2.00 30,1,2024-09-07 09:16:30:574,685540,685540,0,0,321559977658,3340803035,679894,4880,766,381,391672,0 30,2,2024-09-07 09:16:31:274,490022,490022,0,0,18737938,0,3161 30,3,2024-09-07 09:16:30:587,1,389,1,0,519,3830,389,0 31,0,2024-09-07 09:16:31:765,98947,0.4,99305,0.6,198309,0.4,265153,2.00 31,1,2024-09-07 09:16:30:570,687472,687472,0,0,322992155182,3312551606,684565,2321,586,356,391712,0 31,2,2024-09-07 09:16:31:278,490422,490422,0,0,20990819,0,3525 31,3,2024-09-07 09:16:31:706,1,389,4,0,220,3366,389,0 32,0,2024-09-07 09:16:31:438,99814,0.3,100441,0.5,200029,0.3,266303,1.75 32,1,2024-09-07 09:16:30:811,684116,684116,0,0,321734383781,3347927853,679076,4360,680,381,391646,0 32,2,2024-09-07 09:16:30:935,496219,496219,0,0,18434555,0,3155 32,3,2024-09-07 09:16:31:037,1,389,8,0,227,2768,389,0 33,0,2024-09-07 09:16:31:519,96920,0.3,96474,0.4,193560,0.2,257476,1.50 33,1,2024-09-07 09:16:30:577,685419,685419,0,0,322020185095,3341229483,679291,5026,1102,369,391730,0 33,2,2024-09-07 09:16:30:766,494520,494485,35,0,21163145,0,7012 33,3,2024-09-07 09:16:30:901,1,389,55,0,329,3884,389,0 34,0,2024-09-07 09:16:30:931,95595,0.3,98323,0.4,188269,0.2,253094,1.75 34,1,2024-09-07 09:16:31:047,686731,686731,0,0,322260174265,3314345116,685088,1632,11,367,391562,0 34,2,2024-09-07 09:16:30:765,489253,489253,0,0,19341784,0,3577 34,3,2024-09-07 09:16:31:702,1,389,1,0,299,2972,389,0 35,0,2024-09-07 09:16:30:865,96387,0.4,96749,0.5,194697,0.3,259535,1.75 35,1,2024-09-07 09:16:31:072,684970,684970,0,0,320993916374,3327576215,680801,3313,856,382,391769,0 35,2,2024-09-07 09:16:31:594,489927,489927,0,0,20154158,0,2653 35,3,2024-09-07 09:16:30:907,1,389,1,0,418,4450,389,0 36,0,2024-09-07 09:16:31:513,102432,0.5,102282,0.7,204535,0.5,272103,2.25 36,1,2024-09-07 09:16:30:583,683424,683424,0,0,321040063687,3354253426,674300,7141,1983,366,391759,0 36,2,2024-09-07 09:16:31:753,496282,496282,0,0,22176110,0,3875 36,3,2024-09-07 09:16:30:873,1,389,2,0,416,5894,389,0 37,0,2024-09-07 09:16:31:421,95304,0.5,95402,0.6,190740,0.4,254538,2.00 37,1,2024-09-07 09:16:30:674,683424,683417,0,7,319918982154,3341296058,675631,5621,2165,365,391570,0 37,2,2024-09-07 09:16:31:149,492251,492236,15,0,22316902,0,5815 37,3,2024-09-07 09:16:31:767,1,389,1,0,888,6032,389,0 38,0,2024-09-07 09:16:31:455,94651,0.5,91942,0.6,192294,0.4,251596,2.00 38,1,2024-09-07 09:16:31:605,684476,684476,0,0,321238969312,3354603339,675393,7194,1889,368,391821,0 38,2,2024-09-07 09:16:30:767,488625,488578,47,0,21334929,0,6710 38,3,2024-09-07 09:16:31:000,1,389,1,0,689,5360,389,0 39,0,2024-09-07 09:16:31:761,98294,0.6,96281,0.7,187478,0.5,256301,2.00 39,1,2024-09-07 09:16:30:725,683112,683112,0,0,320696046197,3360002864,672445,8524,2143,365,391658,0 39,2,2024-09-07 09:16:31:420,490451,490451,0,0,19943891,0,2689 39,3,2024-09-07 09:16:30:728,1,389,6,0,324,4624,389,0 40,0,2024-09-07 09:16:31:487,100663,0.8,101530,0.9,202066,0.8,269964,2.75 40,1,2024-09-07 09:16:30:585,683876,683876,0,0,319655224923,3345405877,674509,7752,1615,368,391668,0 40,2,2024-09-07 09:16:31:311,493460,493459,1,0,23823514,0,5137 40,3,2024-09-07 09:16:31:149,1,389,9,0,1028,5421,389,0 41,0,2024-09-07 09:16:31:057,95292,1.5,97485,1.3,186224,2.5,253253,3.00 41,1,2024-09-07 09:16:30:769,682431,682431,0,0,320090950484,3346460031,674384,7279,768,369,391742,0 41,2,2024-09-07 09:16:30:760,491923,491923,0,0,22651679,0,4277 41,3,2024-09-07 09:16:31:684,1,389,1,0,366,4036,389,0 42,0,2024-09-07 09:16:31:473,95578,0.9,95586,1.0,191289,1.0,253068,2.75 42,1,2024-09-07 09:16:31:438,681527,681527,0,0,319632872639,3359601237,670575,9034,1918,380,391675,0 42,2,2024-09-07 09:16:31:138,490332,490332,0,0,23077001,0,3790 42,3,2024-09-07 09:16:31:013,1,389,27,0,446,3223,389,0 43,0,2024-09-07 09:16:30:917,94098,0.8,91667,1.0,192013,0.9,251935,2.25 43,1,2024-09-07 09:16:30:578,683299,683299,0,0,321371084305,3358373159,673696,7873,1730,366,391696,0 43,2,2024-09-07 09:16:31:736,489654,489654,0,0,22669811,0,3812 43,3,2024-09-07 09:16:31:750,1,389,20,0,467,4590,389,0 44,0,2024-09-07 09:16:30:862,100737,0.4,101051,0.6,202202,0.4,268934,2.00 44,1,2024-09-07 09:16:30:564,684943,684943,0,0,320815425034,3320554500,678631,4995,1317,356,391809,0 44,2,2024-09-07 09:16:31:267,490771,490771,0,0,19418573,0,4344 44,3,2024-09-07 09:16:31:099,1,389,8,0,817,4825,389,0 45,0,2024-09-07 09:16:31:757,96724,0.5,94510,0.8,198112,0.5,260781,2.00 45,1,2024-09-07 09:16:31:011,684294,684294,0,0,321419602656,3341308165,679051,4726,517,382,391917,0 45,2,2024-09-07 09:16:31:273,495116,495116,0,0,19848043,0,3596 45,3,2024-09-07 09:16:30:935,1,389,1,0,271,3580,389,0 46,0,2024-09-07 09:16:30:953,96063,0.5,96107,0.7,192408,0.5,255149,2.00 46,1,2024-09-07 09:16:30:575,685527,685527,0,0,322318407641,3331271900,681026,3912,589,366,391709,0 46,2,2024-09-07 09:16:30:602,493491,493491,0,0,19817197,0,4443 46,3,2024-09-07 09:16:31:137,1,389,1,0,908,5271,389,0 47,0,2024-09-07 09:16:31:106,95903,0.4,96157,0.6,192729,0.4,254420,2.00 47,1,2024-09-07 09:16:30:578,686117,686117,0,0,320644121363,3315593814,681558,3744,815,366,391641,0 47,2,2024-09-07 09:16:30:909,490684,490684,0,0,19086052,0,4477 47,3,2024-09-07 09:16:31:122,1,389,1,0,600,4792,389,0 48,0,2024-09-07 09:16:31:541,98499,0.3,98280,0.4,195962,0.2,261884,1.75 48,1,2024-09-07 09:16:31:032,684808,684808,0,0,321618701064,3341045293,680621,3733,454,384,391710,0 48,2,2024-09-07 09:16:30:707,489720,489720,0,0,17891991,0,3031 48,3,2024-09-07 09:16:30:759,1,389,8,0,339,3252,389,0 49,0,2024-09-07 09:16:31:711,103490,0.4,101692,0.5,196920,0.3,269852,1.75 49,1,2024-09-07 09:16:31:025,684178,684178,0,0,321203619817,3344701618,679131,3842,1205,382,391809,0 49,2,2024-09-07 09:16:31:804,496407,496407,0,0,20081810,0,4426 49,3,2024-09-07 09:16:31:420,1,389,1,0,992,4897,389,0 50,0,2024-09-07 09:16:31:532,96705,0.3,95166,0.4,192091,0.2,256191,1.75 50,1,2024-09-07 09:16:31:012,686173,686173,0,0,321917979191,3330786244,681370,4229,574,368,391565,0 50,2,2024-09-07 09:16:31:067,493695,493695,0,0,18112536,0,2263 50,3,2024-09-07 09:16:31:294,1,389,11,0,567,4104,389,0 51,0,2024-09-07 09:16:31:690,97592,0.3,95326,0.5,186042,0.2,253482,1.75 51,1,2024-09-07 09:16:31:702,686301,686301,0,0,322875443741,3330525389,682794,2530,977,365,391706,0 51,2,2024-09-07 09:16:31:317,488768,488768,0,0,17320261,0,3337 51,3,2024-09-07 09:16:31:030,1,389,3,0,678,3064,389,0 52,0,2024-09-07 09:16:31:418,97308,0.5,97156,0.7,194471,0.5,258625,2.00 52,1,2024-09-07 09:16:30:575,683397,683397,0,0,320341001623,3351895958,674036,8047,1314,368,391722,0 52,2,2024-09-07 09:16:31:755,486961,486923,38,0,22644610,0,6742 52,3,2024-09-07 09:16:30:674,1,389,1,0,1782,5649,389,0 53,0,2024-09-07 09:16:31:728,100534,0.6,97738,0.7,204619,0.6,268306,2.25 53,1,2024-09-07 09:16:30:773,682132,682132,0,0,320582598778,3364975970,670689,8501,2942,367,391702,0 53,2,2024-09-07 09:16:31:310,495356,495355,1,0,20706630,0,5455 53,3,2024-09-07 09:16:30:710,1,389,5,0,308,3668,389,0 54,0,2024-09-07 09:16:31:615,94750,0.6,95014,0.8,189343,0.4,253177,2.50 54,1,2024-09-07 09:16:30:580,683969,683969,0,0,321308630344,3335586227,678031,5319,619,366,391659,0 54,2,2024-09-07 09:16:30:867,493890,493858,32,0,23706182,0,6397 54,3,2024-09-07 09:16:30:766,1,389,2,0,676,5955,389,0 55,0,2024-09-07 09:16:31:764,92608,0.6,95762,0.7,193406,0.5,252028,2.50 55,1,2024-09-07 09:16:30:767,684361,684361,0,0,321228062610,3337926930,677529,5915,917,365,391731,0 55,2,2024-09-07 09:16:30:729,488976,488920,56,0,22175406,0,7239 55,3,2024-09-07 09:16:30:680,1,389,1,0,304,4113,389,0 56,0,2024-09-07 09:16:31:562,97837,1.0,92190,1.1,189952,1.4,254662,2.50 56,1,2024-09-07 09:16:30:577,680215,680215,0,0,319705877112,3375021650,669872,8150,2193,381,391867,0 56,2,2024-09-07 09:16:31:322,489947,489947,0,0,22050325,0,3567 56,3,2024-09-07 09:16:31:068,1,389,12,0,705,4905,389,0 57,0,2024-09-07 09:16:31:041,100067,1.5,99864,1.2,200153,2.1,268006,3.00 57,1,2024-09-07 09:16:30:999,682581,682581,0,0,319681316913,3350878701,674573,6994,1014,366,392032,0 57,2,2024-09-07 09:16:31:318,493407,493407,0,0,24293179,0,3317 57,3,2024-09-07 09:16:31:749,1,389,2,0,359,4263,389,0 58,0,2024-09-07 09:16:30:557,95524,0.9,92769,1.0,194159,1.1,254411,2.50 58,1,2024-09-07 09:16:30:577,683189,683186,0,3,320554962425,3351845192,673897,8031,1258,367,391603,3 58,2,2024-09-07 09:16:31:084,493035,493035,0,0,22769357,0,2902 58,3,2024-09-07 09:16:31:069,1,389,2,0,1043,4271,389,0 59,0,2024-09-07 09:16:31:745,96475,0.8,96177,0.9,191942,0.8,254966,2.50 59,1,2024-09-07 09:16:30:817,682667,682667,0,0,320563536540,3356845648,673586,7491,1590,369,391653,0 59,2,2024-09-07 09:16:30:583,492538,492538,0,0,22111284,0,2867 59,3,2024-09-07 09:16:31:739,1,389,57,0,1015,4995,389,0 60,0,2024-09-07 09:16:31:773,94973,0.5,94931,0.7,190533,0.5,252764,1.75 60,1,2024-09-07 09:16:30:785,684607,684607,0,0,321290389814,3335949177,680057,3901,649,370,392031,0 60,2,2024-09-07 09:16:31:145,489781,489781,0,0,21184605,0,3811 60,3,2024-09-07 09:16:31:261,1,389,10,0,409,4602,389,0 61,0,2024-09-07 09:16:31:503,98927,0.6,99551,0.8,198251,0.6,264767,2.00 61,1,2024-09-07 09:16:30:773,683147,683147,0,0,320064453275,3355336917,675305,6446,1396,382,392127,0 61,2,2024-09-07 09:16:31:121,490322,490255,67,0,21773336,0,6411 61,3,2024-09-07 09:16:31:702,1,389,2,0,479,5427,389,0 62,0,2024-09-07 09:16:31:718,100367,0.6,102699,0.7,196016,0.6,266046,2.00 62,1,2024-09-07 09:16:31:116,687649,687643,0,6,322453177959,3327481197,683839,3543,261,365,391715,6 62,2,2024-09-07 09:16:31:644,493785,493784,1,0,21880670,0,5555 62,3,2024-09-07 09:16:31:149,1,389,1,0,482,3296,389,0 63,0,2024-09-07 09:16:31:457,97083,0.4,96929,0.6,194282,0.3,257902,1.75 63,1,2024-09-07 09:16:30:817,684858,684852,0,6,321134990134,3334271736,680551,3888,413,381,391800,6 63,2,2024-09-07 09:16:30:769,493631,493631,0,0,19817684,0,4369 63,3,2024-09-07 09:16:31:732,1,389,2,0,667,4121,389,0 64,0,2024-09-07 09:16:31:550,94921,0.5,94901,0.7,189703,0.5,252162,2.00 64,1,2024-09-07 09:16:30:773,684180,684180,0,0,320504446824,3341317760,677921,4576,1683,370,391783,0 64,2,2024-09-07 09:16:31:152,491765,491746,19,0,19232883,0,6121 64,3,2024-09-07 09:16:31:145,1,389,13,0,265,3632,389,0 65,0,2024-09-07 09:16:31:740,96019,0.7,96454,0.8,192504,0.8,257169,2.25 65,1,2024-09-07 09:16:30:959,682701,682701,0,0,320716127335,3353571129,677658,4503,540,382,391901,0 65,2,2024-09-07 09:16:31:721,490402,490402,0,0,22191598,0,3367 65,3,2024-09-07 09:16:31:684,1,389,2,0,347,3946,389,0 66,0,2024-09-07 09:16:31:782,101665,0.6,101707,0.8,203077,0.5,270579,2.25 66,1,2024-09-07 09:16:31:298,684419,684419,0,0,320511870431,3338466198,679702,4323,394,380,391653,0 66,2,2024-09-07 09:16:31:146,497468,497468,0,0,19765536,0,4956 66,3,2024-09-07 09:16:31:093,1,389,15,0,291,3550,389,0 67,0,2024-09-07 09:16:31:414,95913,0.5,95574,0.7,191809,0.4,255269,2.00 67,1,2024-09-07 09:16:30:767,684685,684684,0,1,320030788739,3333362380,680019,3880,785,380,391787,1 67,2,2024-09-07 09:16:30:582,495676,495676,0,0,18985177,0,3622 67,3,2024-09-07 09:16:31:751,1,389,18,0,392,3749,389,0 68,0,2024-09-07 09:16:30:707,95556,0.6,95188,0.7,189891,0.5,253637,2.00 68,1,2024-09-07 09:16:30:584,681705,681705,0,0,320011665917,3360190482,673859,5540,2306,381,391953,0 68,2,2024-09-07 09:16:31:055,486892,486792,100,0,24990760,0,8578 68,3,2024-09-07 09:16:30:733,1,389,12,0,417,4212,389,0 69,0,2024-09-07 09:16:31:811,95843,0.7,96450,0.8,192013,0.8,255251,2.25 69,1,2024-09-07 09:16:31:020,681478,681478,0,0,320225406482,3376570794,672346,6942,2190,384,391994,0 69,2,2024-09-07 09:16:31:742,489580,489551,29,0,25957475,0,6912 69,3,2024-09-07 09:16:30:759,1,389,1,0,698,5328,389,0 70,0,2024-09-07 09:16:31:538,100597,0.8,100793,1.0,202623,0.7,268510,2.50 70,1,2024-09-07 09:16:30:916,684542,684542,0,0,321345656434,3336009343,678858,5026,658,366,391725,0 70,2,2024-09-07 09:16:31:324,493867,493867,0,0,22273074,0,4044 70,3,2024-09-07 09:16:30:747,1,389,207,0,854,4626,389,0 71,0,2024-09-07 09:16:31:391,95026,0.9,94768,1.0,190157,1.0,254837,2.75 71,1,2024-09-07 09:16:31:609,683802,683802,0,0,321257053264,3351955555,676033,7008,761,368,391738,0 71,2,2024-09-07 09:16:31:071,493302,493302,0,0,22505737,0,4352 71,3,2024-09-07 09:16:31:751,1,389,1,0,644,5150,389,0 72,0,2024-09-07 09:16:31:038,99425,0.5,97202,0.7,189523,0.5,257489,2.00 72,1,2024-09-07 09:16:31:040,682599,682599,0,0,320154973138,3357777221,672579,8053,1967,369,391819,0 72,2,2024-09-07 09:16:31:762,490567,490567,0,0,24348430,0,3983 72,3,2024-09-07 09:16:31:760,1,389,4,0,564,5880,389,0 73,0,2024-09-07 09:16:31:153,92897,0.4,95204,0.6,194713,0.4,253294,2.00 73,1,2024-09-07 09:16:30:774,683863,683863,0,0,320364582008,3327783663,679174,4325,364,367,391858,0 73,2,2024-09-07 09:16:31:742,489573,489573,0,0,23712513,0,3701 73,3,2024-09-07 09:16:30:969,1,389,1,0,486,5450,389,0 74,0,2024-09-07 09:16:31:330,101568,0.4,103650,0.6,197797,0.4,269116,2.00 74,1,2024-09-07 09:16:30:635,683621,683621,0,0,320249935991,3343063776,676637,5615,1369,381,391681,0 74,2,2024-09-07 09:16:31:013,490635,490635,0,0,22088837,0,4253 74,3,2024-09-07 09:16:31:445,1,389,1,0,522,5365,389,0 75,0,2024-09-07 09:16:31:769,98367,0.5,97701,0.8,196316,0.5,261891,2.25 75,1,2024-09-07 09:16:31:586,683396,683396,0,0,320651671333,3346478146,676710,5860,826,380,391739,0 75,2,2024-09-07 09:16:31:349,493622,493622,0,0,23807043,0,4766 75,3,2024-09-07 09:16:31:084,1,389,1,0,702,5188,389,0 76,0,2024-09-07 09:16:30:601,96148,0.6,95555,0.7,191947,0.5,255951,2.25 76,1,2024-09-07 09:16:30:812,683598,683598,0,0,320406480516,3343273542,678847,4054,697,382,391692,0 76,2,2024-09-07 09:16:31:065,494940,494939,1,0,21784475,0,5144 76,3,2024-09-07 09:16:31:145,1,389,12,0,175,3622,389,0 77,0,2024-09-07 09:16:31:721,95301,0.6,95639,0.8,191144,0.6,253371,2.00 77,1,2024-09-07 09:16:30:831,683744,683744,0,0,321039725892,3352777415,678156,5090,498,381,391869,0 77,2,2024-09-07 09:16:31:296,488965,488965,0,0,21065206,0,3890 77,3,2024-09-07 09:16:31:098,1,389,2,0,401,4204,389,0 78,0,2024-09-07 09:16:31:731,98300,0.5,97803,0.7,196402,0.4,260565,2.00 78,1,2024-09-07 09:16:30:610,684348,684348,0,0,320399750695,3339495989,676990,5792,1566,367,391670,0 78,2,2024-09-07 09:16:31:405,490572,490559,13,0,19948069,0,8313 78,3,2024-09-07 09:16:31:137,1,389,6,0,181,3586,389,0 79,0,2024-09-07 09:16:31:350,97203,0.4,99555,0.6,203942,0.4,264670,2.25 79,1,2024-09-07 09:16:30:573,685636,685636,0,0,321486032475,3333368880,679742,4745,1149,368,391682,0 79,2,2024-09-07 09:16:31:094,496879,496879,0,0,19658818,0,4195 79,3,2024-09-07 09:16:30:761,1,389,232,0,418,5049,389,0 80,0,2024-09-07 09:16:31:093,96115,0.5,98969,0.7,189484,0.5,255688,2.00 80,1,2024-09-07 09:16:31:621,683676,683676,0,0,320916683319,3341868966,678938,4416,322,368,391791,0 80,2,2024-09-07 09:16:31:095,495522,495522,0,0,19308703,0,4433 80,3,2024-09-07 09:16:30:575,1,389,35,0,190,4644,389,0 81,0,2024-09-07 09:16:31:536,95079,0.5,97312,0.7,185765,0.5,252287,2.00 81,1,2024-09-07 09:16:31:651,683148,683148,0,0,319875792296,3345058058,677410,5233,505,382,391879,0 81,2,2024-09-07 09:16:31:130,487389,487326,63,0,21044619,0,5932 81,3,2024-09-07 09:16:31:137,1,389,4,0,719,4905,389,0 82,0,2024-09-07 09:16:31:538,96534,0.5,97035,0.8,194401,0.5,258000,2.00 82,1,2024-09-07 09:16:30:583,685001,684997,0,4,321252591771,3343511885,680564,3630,803,381,391768,4 82,2,2024-09-07 09:16:31:701,491389,491389,0,0,18201883,0,3986 82,3,2024-09-07 09:16:31:753,1,389,111,0,363,3886,389,0 83,0,2024-09-07 09:16:31:535,101422,0.6,101282,0.7,201961,0.6,269176,2.25 83,1,2024-09-07 09:16:30:554,682580,682580,0,0,320559739489,3344517684,677302,4828,450,382,391709,0 83,2,2024-09-07 09:16:30:765,495606,495606,0,0,19108092,0,3393 83,3,2024-09-07 09:16:30:765,1,389,2,0,1260,5114,389,0 84,0,2024-09-07 09:16:31:806,95530,0.7,95467,0.8,190564,0.6,255417,2.25 84,1,2024-09-07 09:16:31:057,682611,682611,0,0,319782085019,3342604807,675425,6152,1034,367,391967,0 84,2,2024-09-07 09:16:30:576,492807,492777,30,0,25656286,0,5971 84,3,2024-09-07 09:16:31:148,1,389,9,0,908,5840,389,0 85,0,2024-09-07 09:16:31:006,92316,0.6,92346,0.8,196002,0.6,253238,2.25 85,1,2024-09-07 09:16:30:567,681389,681389,0,0,319826839497,3375353168,671328,8239,1822,381,392006,0 85,2,2024-09-07 09:16:30:865,489214,489214,0,0,23070086,0,3656 85,3,2024-09-07 09:16:30:687,1,389,1,0,789,5010,389,0 86,0,2024-09-07 09:16:30:882,95522,0.6,98275,0.8,188094,0.6,254376,2.25 86,1,2024-09-07 09:16:30:830,682910,682910,0,0,321178753938,3362446150,674925,6550,1435,366,391961,0 86,2,2024-09-07 09:16:30:854,488016,488015,1,0,25561988,0,5004 86,3,2024-09-07 09:16:30:590,1,389,3,0,308,5876,389,0 87,0,2024-09-07 09:16:31:288,101110,0.9,100883,0.9,202205,1.2,270068,2.25 87,1,2024-09-07 09:16:30:567,682081,682081,0,0,320243241979,3353403050,673775,7146,1160,366,392076,0 87,2,2024-09-07 09:16:31:090,491531,491525,6,0,22814889,0,6323 87,3,2024-09-07 09:16:31:795,1,389,6,0,473,5993,389,0 88,0,2024-09-07 09:16:31:464,96890,0.4,97668,0.6,194281,0.4,258561,1.75 88,1,2024-09-07 09:16:30:574,681120,681120,0,0,320156738394,3352980410,672481,6757,1882,365,392084,0 88,2,2024-09-07 09:16:30:693,494041,494041,0,0,24378908,0,3583 88,3,2024-09-07 09:16:31:268,1,389,9,0,435,4077,389,0 89,0,2024-09-07 09:16:31:813,99591,0.4,96494,0.6,190706,0.4,258681,1.75 89,1,2024-09-07 09:16:30:550,681426,681426,0,0,319548388032,3358301761,673189,6877,1360,382,391866,0 89,2,2024-09-07 09:16:31:134,491155,491155,0,0,23325335,0,3173 89,3,2024-09-07 09:16:31:797,1,389,8,0,385,6837,389,0 90,0,2024-09-07 09:16:31:631,92199,0.5,94687,0.6,193172,0.4,251821,2.00 90,1,2024-09-07 09:16:30:592,682795,682795,0,0,319575505306,3346739341,676369,5917,509,380,391825,0 90,2,2024-09-07 09:16:31:406,487590,487590,0,0,24463388,0,3060 90,3,2024-09-07 09:16:30:930,1,389,1,0,322,4951,389,0 91,0,2024-09-07 09:16:30:968,99571,0.4,96497,0.6,201759,0.4,265191,1.75 91,1,2024-09-07 09:16:30:557,681843,681843,0,0,320283529306,3366532858,673396,7259,1188,381,392047,0 91,2,2024-09-07 09:16:31:338,491123,491123,0,0,21770382,0,2896 91,3,2024-09-07 09:16:30:601,1,389,0,0,216,3680,389,0 92,0,2024-09-07 09:16:31:453,100587,0.5,103033,0.6,196951,0.5,266412,1.75 92,1,2024-09-07 09:16:30:581,683003,683003,0,0,320716856062,3351193359,677629,4653,721,382,392136,0 92,2,2024-09-07 09:16:31:350,496242,496242,0,0,20029732,0,3259 92,3,2024-09-07 09:16:31:014,1,389,3,0,167,3508,389,0 93,0,2024-09-07 09:16:30:959,97436,0.4,99831,0.5,190642,0.3,258003,1.75 93,1,2024-09-07 09:16:30:822,682222,682222,0,0,320265542790,3347686689,674445,6432,1345,366,391776,0 93,2,2024-09-07 09:16:30:931,493031,493031,0,0,23840378,0,4845 93,3,2024-09-07 09:16:31:408,1,389,2,0,190,3618,389,0 94,0,2024-09-07 09:16:31:610,95068,0.4,95836,0.5,191500,0.3,253869,1.75 94,1,2024-09-07 09:16:30:564,683476,683476,0,0,320608751259,3344870803,679088,4194,194,381,391850,0 94,2,2024-09-07 09:16:30:761,488413,488413,0,0,20008475,0,2443 94,3,2024-09-07 09:16:31:701,1,389,1,0,264,4722,389,0 95,0,2024-09-07 09:16:31:344,96877,0.4,96864,0.5,194256,0.3,259365,1.75 95,1,2024-09-07 09:16:30:851,684954,684954,0,0,320844921937,3337034395,679416,5127,411,367,391713,0 95,2,2024-09-07 09:16:31:022,490063,490063,0,0,19828053,0,3308 95,3,2024-09-07 09:16:31:709,1,389,1,0,718,5729,389,0 96,0,2024-09-07 09:16:31:032,102250,0.4,102559,0.5,204722,0.4,271747,1.75 96,1,2024-09-07 09:16:31:598,682684,682684,0,0,320771389249,3349190393,677302,4505,877,384,391955,0 96,2,2024-09-07 09:16:31:283,496607,496607,0,0,20212290,0,4042 96,3,2024-09-07 09:16:31:142,1,389,155,0,411,4453,389,0 97,0,2024-09-07 09:16:31:362,95849,0.3,95648,0.5,191796,0.3,255068,1.50 97,1,2024-09-07 09:16:30:765,684487,684487,0,0,322080140351,3340926906,679975,3815,697,367,392140,0 97,2,2024-09-07 09:16:30:607,494827,494827,0,0,20037136,0,3679 97,3,2024-09-07 09:16:30:572,1,389,7,0,214,4340,389,0 98,0,2024-09-07 09:16:31:698,95077,0.3,95048,0.4,190897,0.2,253529,1.50 98,1,2024-09-07 09:16:30:574,683370,683370,0,0,320920958734,3344813070,678871,3722,777,382,391997,0 98,2,2024-09-07 09:16:30:771,489184,489184,0,0,19462873,0,3243 98,3,2024-09-07 09:16:30:700,1,389,0,0,840,5748,389,0 99,0,2024-09-07 09:16:31:453,96297,0.3,96990,0.5,192725,0.3,257512,1.75 99,1,2024-09-07 09:16:31:733,683827,683827,0,0,321015603977,3343810272,678996,3998,833,381,392069,0 99,2,2024-09-07 09:16:31:428,490980,490980,0,0,22967268,0,3424 99,3,2024-09-07 09:16:30:581,1,389,11,0,606,4371,389,0 100,0,2024-09-07 09:16:31:546,101168,0.7,101538,0.9,202136,0.8,270233,2.25 100,1,2024-09-07 09:16:30:550,680646,680646,0,0,318899199533,3370880170,670308,8324,2014,381,391989,0 100,2,2024-09-07 09:16:31:832,492639,492628,11,0,23250153,0,5417 100,3,2024-09-07 09:16:31:732,1,389,4,0,559,6571,389,0 101,0,2024-09-07 09:16:31:823,97895,1.1,95322,1.0,187240,0.9,256599,2.25 101,1,2024-09-07 09:16:30:553,680889,680889,0,0,319815456325,3366416621,670641,8128,2120,368,391847,0 101,2,2024-09-07 09:16:31:778,490373,490373,0,0,27641559,0,4871 101,3,2024-09-07 09:16:30:946,1,389,1,0,579,5647,389,0 102,0,2024-09-07 09:16:30:943,93748,0.6,96798,0.8,195931,0.6,256225,2.00 102,1,2024-09-07 09:16:31:143,681254,681254,0,0,319350876965,3356732006,672130,7513,1611,369,391891,0 102,2,2024-09-07 09:16:31:737,491357,491303,54,0,21882195,0,6768 102,3,2024-09-07 09:16:31:617,1,389,30,0,410,3898,389,0 103,0,2024-09-07 09:16:31:659,98331,0.6,98341,0.7,185446,0.6,255030,2.00 103,1,2024-09-07 09:16:31:626,680151,680151,0,0,319938529198,3375391802,669651,7877,2623,381,391829,0 103,2,2024-09-07 09:16:30:582,488149,488149,0,0,23839408,0,3766 103,3,2024-09-07 09:16:30:787,1,389,5,0,916,4410,389,0 104,0,2024-09-07 09:16:31:011,99898,0.7,100375,0.9,199288,0.7,268376,2.25 104,1,2024-09-07 09:16:31:623,682342,682342,0,0,319444952000,3356320360,672602,7965,1775,365,392168,0 104,2,2024-09-07 09:16:31:693,490170,490170,0,0,23815272,0,3941 104,3,2024-09-07 09:16:31:441,1,389,11,0,1245,7939,389,0 105,0,2024-09-07 09:16:31:031,96827,0.9,94297,1.1,197496,1.1,260034,2.75 105,1,2024-09-07 09:16:30:559,683274,683274,0,0,320390951905,3357563221,674533,7393,1348,366,392009,0 105,2,2024-09-07 09:16:31:322,493835,493835,0,0,23102872,0,3509 105,3,2024-09-07 09:16:31:317,1,389,70,0,399,5901,389,0 106,0,2024-09-07 09:16:30:946,93251,0.7,95539,0.9,195725,0.8,255765,2.50 106,1,2024-09-07 09:16:31:758,681702,681702,0,0,319928881723,3362647406,670935,9324,1443,369,391914,0 106,2,2024-09-07 09:16:30:760,492199,492199,0,0,22637394,0,2795 106,3,2024-09-07 09:16:30:677,1,389,1,0,470,5047,389,0 107,0,2024-09-07 09:16:31:106,95395,1.0,95612,0.9,190867,1.3,254333,2.25 107,1,2024-09-07 09:16:30:591,681212,681212,0,0,319497117034,3364645463,672435,7805,972,381,392234,0 107,2,2024-09-07 09:16:31:294,487361,487360,1,0,23245492,0,5024 107,3,2024-09-07 09:16:31:759,1,389,4,0,353,5789,389,0 108,0,2024-09-07 09:16:31:772,97738,0.5,98453,0.6,195645,0.5,261719,1.75 108,1,2024-09-07 09:16:31:297,683300,683300,0,0,320735226294,3345555885,677579,4983,738,368,391857,0 108,2,2024-09-07 09:16:31:758,488942,488942,0,0,22503698,0,4246 108,3,2024-09-07 09:16:31:337,1,389,8,0,749,7513,389,0 109,0,2024-09-07 09:16:31:769,101470,0.4,100608,0.6,201183,0.4,268952,1.75 109,1,2024-09-07 09:16:30:600,680964,680964,0,0,320206300390,3360298324,674622,5268,1074,383,392132,0 109,2,2024-09-07 09:16:30:938,493922,493922,0,0,22350601,0,3617 109,3,2024-09-07 09:16:31:155,1,389,14,0,379,4571,389,0 110,0,2024-09-07 09:16:31:753,96033,0.4,93551,0.6,196148,0.3,256558,1.75 110,1,2024-09-07 09:16:31:643,684448,684448,0,0,320535144001,3328310818,679416,3857,1175,369,392045,0 110,2,2024-09-07 09:16:31:317,494174,494174,0,0,21350037,0,4067 110,3,2024-09-07 09:16:30:690,1,389,2,0,722,5721,389,0 111,0,2024-09-07 09:16:31:426,95459,0.4,94638,0.6,189922,0.3,253634,1.75 111,1,2024-09-07 09:16:31:014,685126,685126,0,0,321611350083,3336263313,681532,3241,353,380,391690,0 111,2,2024-09-07 09:16:31:127,487623,487623,0,0,20621447,0,4823 111,3,2024-09-07 09:16:30:915,1,389,10,0,379,4514,389,0 112,0,2024-09-07 09:16:30:922,97775,0.3,97214,0.4,194774,0.2,259058,1.50 112,1,2024-09-07 09:16:30:825,684768,684768,0,0,320611271025,3328779175,680369,3787,612,380,391624,0 112,2,2024-09-07 09:16:31:144,490525,490524,1,0,19182100,0,5036 112,3,2024-09-07 09:16:30:592,1,389,11,0,282,3926,389,0 113,0,2024-09-07 09:16:30:869,101196,0.3,101318,0.5,202787,0.2,270423,1.50 113,1,2024-09-07 09:16:31:684,687229,687229,0,0,323006987928,3334405789,683368,3273,588,366,391661,0 113,2,2024-09-07 09:16:31:311,496494,496494,0,0,18493859,0,3813 113,3,2024-09-07 09:16:30:693,1,389,74,0,340,4618,389,0 114,0,2024-09-07 09:16:30:880,96516,0.3,97171,0.5,192932,0.2,258195,1.75 114,1,2024-09-07 09:16:30:718,684310,684310,0,0,320486381813,3331737135,678313,4382,1615,381,391565,0 114,2,2024-09-07 09:16:30:873,494414,494413,1,0,19572330,0,5069 114,3,2024-09-07 09:16:31:284,1,389,11,0,395,3355,389,0 115,0,2024-09-07 09:16:30:559,95907,0.3,96390,0.4,192461,0.2,255775,1.50 115,1,2024-09-07 09:16:30:574,684304,684304,0,0,321914389612,3346089460,678505,4868,931,382,391757,0 115,2,2024-09-07 09:16:31:128,491922,491922,0,0,18518742,0,3848 115,3,2024-09-07 09:16:31:002,1,389,1,0,159,2286,389,0 116,0,2024-09-07 09:16:31:766,95382,0.7,95246,0.9,191000,0.7,255684,2.00 116,1,2024-09-07 09:16:30:820,681115,681115,0,0,320111290179,3373024369,672894,5902,2319,380,392089,0 116,2,2024-09-07 09:16:31:751,489179,489179,0,0,24305186,0,4128 116,3,2024-09-07 09:16:30:914,1,389,8,0,415,4707,389,0 117,0,2024-09-07 09:16:30:975,101419,0.8,100891,0.8,202280,0.8,270581,2.00 117,1,2024-09-07 09:16:31:580,681899,681899,0,0,320147096394,3352272752,674329,6663,907,369,392033,0 117,2,2024-09-07 09:16:31:117,495318,495318,0,0,20155367,0,3700 117,3,2024-09-07 09:16:31:063,1,389,1,0,490,6040,389,0 118,0,2024-09-07 09:16:31:767,94223,0.5,96574,0.7,197377,0.5,257615,2.00 118,1,2024-09-07 09:16:30:593,681954,681954,0,0,320371363094,3372102922,670732,8293,2929,366,391907,0 118,2,2024-09-07 09:16:31:586,492840,492840,0,0,22874671,0,2842 118,3,2024-09-07 09:16:31:767,1,389,10,0,248,4525,389,0 119,0,2024-09-07 09:16:31:344,96364,0.6,96879,0.7,193609,0.5,257039,2.00 119,1,2024-09-07 09:16:30:549,682270,682270,0,0,320315555882,3350492859,674898,6527,845,367,391780,0 119,2,2024-09-07 09:16:31:261,492719,492719,0,0,21333924,0,4174 119,3,2024-09-07 09:16:31:331,1,389,10,0,1058,6941,389,0 120,0,2024-09-07 09:16:31:571,94447,0.6,94348,0.8,188845,0.6,252323,2.25 120,1,2024-09-07 09:16:30:859,682601,682601,0,0,320463639538,3362580285,675366,6588,647,368,391961,0 120,2,2024-09-07 09:16:30:770,488915,488914,1,0,24145434,0,5281 120,3,2024-09-07 09:16:31:295,1,389,6,0,279,4950,389,0 121,0,2024-09-07 09:16:31:731,99108,1.1,99019,1.0,198534,1.5,264695,2.25 121,1,2024-09-07 09:16:31:663,683240,683240,0,0,320695042451,3353399086,676931,5863,446,367,391840,0 121,2,2024-09-07 09:16:31:128,489458,489458,0,0,23272527,0,4127 121,3,2024-09-07 09:16:30:727,1,389,17,0,269,4504,389,0 122,0,2024-09-07 09:16:31:780,99256,0.8,96886,0.9,203377,0.9,266181,2.00 122,1,2024-09-07 09:16:30:861,681760,681760,0,0,319995037489,3355718397,672682,7791,1287,366,392130,0 122,2,2024-09-07 09:16:31:330,495004,494931,73,0,26240836,0,5989 122,3,2024-09-07 09:16:30:604,1,389,183,0,512,7525,389,0 123,0,2024-09-07 09:16:30:969,96331,0.6,94158,0.7,196754,0.6,257426,2.00 123,1,2024-09-07 09:16:30:564,681822,681822,0,0,319987826425,3370480518,669599,10019,2204,369,392039,0 123,2,2024-09-07 09:16:31:037,491930,491929,1,0,22501264,0,5215 123,3,2024-09-07 09:16:31:142,1,389,18,0,168,4252,389,0 124,0,2024-09-07 09:16:30:936,98306,0.3,98234,0.5,185336,0.3,254646,1.75 124,1,2024-09-07 09:16:31:035,684188,684188,0,0,320590722321,3335525241,678996,4144,1048,367,392178,0 124,2,2024-09-07 09:16:31:013,489434,489381,53,0,20464363,0,6487 124,3,2024-09-07 09:16:30:774,1,389,8,0,490,3885,389,0 125,0,2024-09-07 09:16:31:529,97211,0.4,96893,0.6,194675,0.4,259593,1.75 125,1,2024-09-07 09:16:30:855,683026,683026,0,0,320640174886,3349327604,677437,4923,666,382,391702,0 125,2,2024-09-07 09:16:31:130,490870,490870,0,0,21069538,0,4534 125,3,2024-09-07 09:16:31:130,1,389,2,0,709,5092,389,0 126,0,2024-09-07 09:16:31:442,102068,0.5,105143,0.6,201204,0.4,272385,1.75 126,1,2024-09-07 09:16:30:554,684784,684784,0,0,321128957036,3328018579,680865,3630,289,365,391987,0 126,2,2024-09-07 09:16:30:616,496636,496636,0,0,21910639,0,4539 126,3,2024-09-07 09:16:30:907,1,389,1,0,207,4862,389,0 127,0,2024-09-07 09:16:31:596,95772,0.3,96258,0.5,191887,0.3,255138,1.75 127,1,2024-09-07 09:16:30:575,683975,683975,0,0,321082182675,3341115148,677145,5724,1106,364,392187,0 127,2,2024-09-07 09:16:30:638,493256,493256,0,0,19895544,0,3897 127,3,2024-09-07 09:16:31:267,1,389,1,0,968,4446,389,0 128,0,2024-09-07 09:16:31:546,95546,0.3,95836,0.4,191176,0.2,253815,1.50 128,1,2024-09-07 09:16:31:605,683793,683793,0,0,320757735537,3331770701,679003,4358,432,367,391838,0 128,2,2024-09-07 09:16:31:400,489725,489725,0,0,18680279,0,2915 128,3,2024-09-07 09:16:30:767,1,389,12,0,1082,6323,389,0 129,0,2024-09-07 09:16:31:017,97058,0.3,96460,0.5,193400,0.3,257594,1.75 129,1,2024-09-07 09:16:30:577,681197,681197,0,0,320437703360,3360588199,673966,5566,1665,379,391835,0 129,2,2024-09-07 09:16:30:688,490727,490727,0,0,19973877,0,4031 129,3,2024-09-07 09:16:30:693,1,389,1,0,506,5258,389,0 130,0,2024-09-07 09:16:31:727,102077,0.5,101638,0.6,204619,0.5,271713,1.75 130,1,2024-09-07 09:16:30:583,684571,684571,0,0,320954603900,3337543872,680713,3521,337,381,391825,0 130,2,2024-09-07 09:16:31:128,495659,495659,0,0,20545075,0,4067 130,3,2024-09-07 09:16:31:294,1,389,2,0,535,5633,389,0 131,0,2024-09-07 09:16:31:944,95812,0.3,96306,0.5,193751,0.3,256703,1.75 131,1,2024-09-07 09:16:31:820,683766,683766,0,0,320784681196,3353590201,677883,4835,1048,381,391865,0 131,2,2024-09-07 09:16:30:567,495824,495824,0,0,18513362,0,2509 131,3,2024-09-07 09:16:31:701,1,389,2,0,392,4002,389,0 132,0,2024-09-07 09:16:31:413,96319,0.4,97242,0.6,193411,0.4,257026,1.75 132,1,2024-09-07 09:16:30:578,680923,680923,0,0,319522856308,3363432181,671206,8123,1594,381,392097,0 132,2,2024-09-07 09:16:30:703,491085,491068,17,0,24818197,0,6451 132,3,2024-09-07 09:16:31:702,1,389,270,0,804,7183,389,0 133,0,2024-09-07 09:16:31:582,92877,0.4,94990,0.6,195020,0.4,253717,1.75 133,1,2024-09-07 09:16:30:583,680755,680755,0,0,319520978818,3368720126,670932,8312,1511,383,391914,0 133,2,2024-09-07 09:16:31:094,488592,488542,50,0,26052887,0,6861 133,3,2024-09-07 09:16:31:304,1,389,1,0,479,3971,389,0 134,0,2024-09-07 09:16:30:943,101098,0.5,100726,0.7,201679,0.5,269630,2.00 134,1,2024-09-07 09:16:30:588,681890,681890,0,0,318849753823,3346326510,672661,7223,2006,366,391718,0 134,2,2024-09-07 09:16:31:756,490267,490243,24,0,23158879,0,6207 134,3,2024-09-07 09:16:30:764,1,389,0,0,739,4865,389,0 135,0,2024-09-07 09:16:31:118,95068,0.8,95033,0.9,201500,1.0,259529,2.25 135,1,2024-09-07 09:16:31:585,682064,682064,0,0,320175250871,3368421844,672967,7818,1279,380,391805,0 135,2,2024-09-07 09:16:30:705,495142,495142,0,0,22743834,0,3981 135,3,2024-09-07 09:16:31:002,1,389,5,0,299,3293,389,0 136,0,2024-09-07 09:16:31:622,97038,0.5,97181,0.7,193412,0.5,257772,2.00 136,1,2024-09-07 09:16:31:447,682155,682155,0,0,320243149412,3358883736,674469,6846,840,382,391685,0 136,2,2024-09-07 09:16:31:143,494631,494631,0,0,22283166,0,3506 136,3,2024-09-07 09:16:31:114,1,389,65,0,637,4306,389,0 137,0,2024-09-07 09:16:30:926,98529,0.6,95862,0.7,188389,0.6,255548,2.00 137,1,2024-09-07 09:16:30:583,681320,681320,0,0,319855754777,3359137352,670225,8748,2347,366,391898,0 137,2,2024-09-07 09:16:31:705,487562,487562,0,0,24410716,0,3185 137,3,2024-09-07 09:16:30:770,1,389,1,0,484,5097,389,0 138,0,2024-09-07 09:16:31:755,97539,1.1,97552,1.0,195948,1.5,260564,2.25 138,1,2024-09-07 09:16:31:686,682446,682446,0,0,320173124100,3360549450,672641,8086,1719,368,391954,0 138,2,2024-09-07 09:16:30:593,489811,489811,0,0,22199940,0,4988 138,3,2024-09-07 09:16:30:617,1,389,1,0,1160,5238,389,0 139,0,2024-09-07 09:16:31:374,99987,1.7,99943,1.2,200403,2.4,267318,2.50 139,1,2024-09-07 09:16:30:579,679244,679244,0,0,318510698108,3386819674,665554,10421,3269,381,392058,0 139,2,2024-09-07 09:16:30:692,492153,492153,0,0,25339372,0,3097 139,3,2024-09-07 09:16:31:665,1,389,1,0,432,4667,389,0 140,0,2024-09-07 09:16:31:608,96671,0.3,96045,0.5,192817,0.2,256805,1.75 140,1,2024-09-07 09:16:31:536,685784,685784,0,0,322451534056,3326322689,682254,3090,440,365,391606,0 140,2,2024-09-07 09:16:30:687,494428,494427,1,0,20127671,0,5036 140,3,2024-09-07 09:16:30:767,1,389,8,0,297,3381,389,0 141,0,2024-09-07 09:16:31:722,95275,0.3,97802,0.5,186870,0.3,253573,1.75 141,1,2024-09-07 09:16:30:859,684531,684531,0,0,321935316341,3344334702,679323,4254,954,379,391614,0 141,2,2024-09-07 09:16:31:691,488870,488870,0,0,19445643,0,3360 141,3,2024-09-07 09:16:31:047,1,389,0,0,391,4508,389,0 142,0,2024-09-07 09:16:31:327,97780,0.3,97186,0.5,194288,0.3,259657,1.75 142,1,2024-09-07 09:16:30:584,683496,683496,0,0,321105782909,3348062318,679038,4065,393,382,392102,0 142,2,2024-09-07 09:16:31:306,489755,489723,32,0,21284562,0,6028 142,3,2024-09-07 09:16:31:747,1,389,2,0,484,4449,389,0 143,0,2024-09-07 09:16:31:376,101445,0.4,101357,0.6,203219,0.4,270633,1.75 143,1,2024-09-07 09:16:30:569,684567,684567,0,0,321326607068,3337127914,679808,4244,515,367,391705,0 143,2,2024-09-07 09:16:30:769,495773,495773,0,0,20759644,0,3123 143,3,2024-09-07 09:16:31:149,1,389,2,0,462,5110,389,0 144,0,2024-09-07 09:16:31:497,93132,0.6,95695,0.8,195079,0.5,256105,2.00 144,1,2024-09-07 09:16:30:565,680999,680999,0,0,319376425760,3352359381,674263,5391,1345,381,391649,0 144,2,2024-09-07 09:16:31:754,494600,494600,0,0,19526592,0,3673 144,3,2024-09-07 09:16:31:739,1,389,8,0,249,3919,389,0 145,0,2024-09-07 09:16:31:373,92699,0.5,92717,0.8,196640,0.5,252893,2.25 145,1,2024-09-07 09:16:30:557,681522,681522,0,0,319753796919,3359944950,673449,6926,1147,382,391759,0 145,2,2024-09-07 09:16:31:437,488284,488203,81,0,23494593,0,7814 145,3,2024-09-07 09:16:30:911,1,389,3,0,622,5749,389,0 146,0,2024-09-07 09:16:31:626,95686,0.5,95132,0.7,191729,0.5,254222,2.25 146,1,2024-09-07 09:16:31:603,682751,682751,0,0,319732427591,3359663086,672112,8522,2117,367,391770,0 146,2,2024-09-07 09:16:31:702,488733,488733,0,0,22193497,0,2730 146,3,2024-09-07 09:16:31:278,1,389,3,0,1520,7789,389,0 147,0,2024-09-07 09:16:31:711,101326,0.6,101119,0.8,201497,0.6,270081,2.25 147,1,2024-09-07 09:16:31:377,684594,684594,0,0,321436522976,3345193131,678268,5482,844,368,391791,0 147,2,2024-09-07 09:16:31:011,492455,492455,0,0,20555376,0,2968 147,3,2024-09-07 09:16:30:925,1,389,1626,0,1626,7128,389,0 0,0,2024-09-07 09:16:41:929,92382,0.6,92438,0.8,196051,0.7,253163,2.00 0,1,2024-09-07 09:16:40:819,684258,684258,0,0,321592009288,3366433504,678981,4891,386,369,391896,0 0,2,2024-09-07 09:16:41:071,492114,492114,0,0,19883296,0,4480 0,3,2024-09-07 09:16:40:985,1,390,10,0,431,5934,390,0 1,0,2024-09-07 09:16:41:899,99640,0.9,99076,1.0,198902,1.2,266303,2.25 1,1,2024-09-07 09:16:40:573,683854,683854,0,0,321042461114,3361805623,677662,4874,1318,370,391857,0 1,2,2024-09-07 09:16:40:645,491144,491144,0,0,19992892,0,3380 1,3,2024-09-07 09:16:41:302,1,390,6,0,262,4691,390,0 2,0,2024-09-07 09:16:41:567,99477,0.7,99762,0.8,198785,0.8,264938,2.00 2,1,2024-09-07 09:16:40:859,686243,686243,0,0,322474364674,3348875865,682986,2963,294,380,391745,0 2,2,2024-09-07 09:16:41:276,496683,496683,0,0,19542810,0,3594 2,3,2024-09-07 09:16:40:692,1,390,2,0,357,3854,390,0 3,0,2024-09-07 09:16:41:745,96877,0.4,96826,0.6,193402,0.4,257303,2.00 3,1,2024-09-07 09:16:41:622,684755,684755,0,0,321831030506,3353723160,678658,5407,690,380,391716,0 3,2,2024-09-07 09:16:41:150,494713,494690,23,0,20387016,0,5851 3,3,2024-09-07 09:16:42:002,1,390,107,0,207,2517,390,0 4,0,2024-09-07 09:16:42:132,92804,0.4,95370,0.5,194384,0.3,254289,1.75 4,1,2024-09-07 09:16:40:593,682333,682333,0,0,320143250205,3384535160,671142,8688,2503,370,391992,0 4,2,2024-09-07 09:16:41:020,488431,488431,0,0,22422156,0,4528 4,3,2024-09-07 09:16:41:031,1,390,8,0,448,5258,390,0 5,0,2024-09-07 09:16:41:484,97290,0.4,97857,0.6,195324,0.4,260100,1.75 5,1,2024-09-07 09:16:40:767,683310,683310,0,0,320437840569,3375067480,673479,7895,1936,367,392005,0 5,2,2024-09-07 09:16:42:031,489706,489706,0,0,22223552,0,3582 5,3,2024-09-07 09:16:41:769,1,390,9,0,457,5603,390,0 6,0,2024-09-07 09:16:40:922,102543,0.5,102168,0.7,203929,0.4,272157,2.00 6,1,2024-09-07 09:16:40:752,683949,683949,0,0,320372116584,3349996561,676176,6386,1387,379,391694,0 6,2,2024-09-07 09:16:41:126,496610,496592,18,0,22794505,0,5535 6,3,2024-09-07 09:16:41:276,1,390,1,0,340,4308,390,0 7,0,2024-09-07 09:16:41:573,95461,0.5,96061,0.6,191481,0.4,254930,2.00 7,1,2024-09-07 09:16:40:861,683405,683405,0,0,320850274767,3368245686,674119,7890,1396,382,391747,0 7,2,2024-09-07 09:16:40:770,495684,495684,0,0,22102367,0,4791 7,3,2024-09-07 09:16:40:856,1,390,3,0,398,4145,390,0 8,0,2024-09-07 09:16:41:417,95596,0.4,95343,0.5,191051,0.3,254425,1.75 8,1,2024-09-07 09:16:41:025,682575,682575,0,0,321154357955,3377645770,671621,8360,2594,366,392144,0 8,2,2024-09-07 09:16:40:798,485946,485946,0,0,25249241,0,3220 8,3,2024-09-07 09:16:40:589,1,390,13,0,538,6528,390,0 9,0,2024-09-07 09:16:41:219,96895,0.4,94148,0.5,196980,0.3,258486,1.75 9,1,2024-09-07 09:16:40:553,682901,682901,0,0,320575986672,3375634432,673224,7539,2138,369,392001,0 9,2,2024-09-07 09:16:41:106,490744,490744,0,0,22571838,0,3360 9,3,2024-09-07 09:16:41:795,1,390,2,0,496,5404,390,0 10,0,2024-09-07 09:16:41:605,101960,0.3,101277,0.5,203525,0.2,271192,1.75 10,1,2024-09-07 09:16:40:590,683767,683767,0,0,321598582639,3367529254,674839,7643,1285,381,391741,0 10,2,2024-09-07 09:16:40:771,495790,495790,0,0,25005555,0,4264 10,3,2024-09-07 09:16:40:878,1,390,1,0,649,4381,390,0 11,0,2024-09-07 09:16:41:017,96054,0.5,93194,0.6,194874,0.4,257500,1.75 11,1,2024-09-07 09:16:40:573,684506,684506,0,0,320951022388,3367898912,673928,8096,2482,383,391756,0 11,2,2024-09-07 09:16:41:127,494378,494378,0,0,22339009,0,4130 11,3,2024-09-07 09:16:41:303,1,390,3,0,843,5989,390,0 12,0,2024-09-07 09:16:40:978,97207,0.4,97257,0.5,194295,0.3,257731,1.75 12,1,2024-09-07 09:16:40:949,684873,684873,0,0,321636033248,3354197308,679493,4884,496,370,391870,0 12,2,2024-09-07 09:16:41:542,492315,492315,0,0,21766990,0,3469 12,3,2024-09-07 09:16:41:094,1,390,10,0,386,6073,390,0 13,0,2024-09-07 09:16:41:327,96236,0.4,95918,0.6,191606,0.4,255462,1.75 13,1,2024-09-07 09:16:41:541,683300,683300,0,0,320726613222,3372552602,676271,5456,1573,382,391740,0 13,2,2024-09-07 09:16:40:603,492636,492636,0,0,19934661,0,3287 13,3,2024-09-07 09:16:41:788,1,390,16,0,522,6083,390,0 14,0,2024-09-07 09:16:40:585,101282,0.4,102161,0.6,202300,0.4,270298,1.75 14,1,2024-09-07 09:16:41:567,687938,687938,0,0,322679014948,3344304736,682215,5195,528,364,391673,0 14,2,2024-09-07 09:16:40:765,493197,493167,30,0,22152116,0,6104 14,3,2024-09-07 09:16:41:125,1,390,1,0,1168,4389,390,0 15,0,2024-09-07 09:16:41:568,97791,0.4,97778,0.7,195912,0.4,260566,2.00 15,1,2024-09-07 09:16:41:634,684816,684816,0,0,321747030255,3352191006,679266,4572,978,381,391619,0 15,2,2024-09-07 09:16:41:019,496990,496990,0,0,18336114,0,3622 15,3,2024-09-07 09:16:41:416,1,390,1,0,1126,6843,390,0 16,0,2024-09-07 09:16:40:943,96828,0.5,97183,0.7,193957,0.5,257831,2.00 16,1,2024-09-07 09:16:40:592,684814,684814,0,0,321555046616,3361863291,678923,5048,843,370,391756,0 16,2,2024-09-07 09:16:41:441,493751,493751,0,0,21363285,0,4719 16,3,2024-09-07 09:16:41:223,1,390,22,0,317,5179,390,0 17,0,2024-09-07 09:16:41:824,98508,0.6,96095,0.8,188134,0.7,255104,2.00 17,1,2024-09-07 09:16:40:593,683609,683609,0,0,320909401653,3369774775,676097,6003,1509,368,392075,0 17,2,2024-09-07 09:16:41:692,492708,492708,0,0,20605445,0,2948 17,3,2024-09-07 09:16:40:585,1,390,11,0,298,6158,390,0 18,0,2024-09-07 09:16:40:946,97465,0.6,97787,0.8,194961,0.7,260594,2.25 18,1,2024-09-07 09:16:41:646,686287,686287,0,0,321920178918,3337522172,682462,3450,375,367,391649,0 18,2,2024-09-07 09:16:41:770,493814,493814,0,0,19003493,0,3541 18,3,2024-09-07 09:16:40:912,1,390,2,0,163,3138,390,0 19,0,2024-09-07 09:16:41:552,100300,0.7,100846,0.8,199914,0.7,265867,2.25 19,1,2024-09-07 09:16:40:570,686367,686367,0,0,322278969811,3345884622,680514,4936,917,367,391777,0 19,2,2024-09-07 09:16:41:783,498730,498730,0,0,18154828,0,3988 19,3,2024-09-07 09:16:41:134,1,390,3,0,524,3021,390,0 20,0,2024-09-07 09:16:41:457,96404,0.5,96400,0.6,192634,0.4,257037,2.00 20,1,2024-09-07 09:16:40:572,683903,683903,0,0,321886039410,3365431759,678076,5067,760,369,391886,0 20,2,2024-09-07 09:16:40:928,494742,494742,0,0,21540484,0,3721 20,3,2024-09-07 09:16:40:591,1,390,12,0,414,5889,390,0 21,0,2024-09-07 09:16:41:234,95343,0.5,95349,0.6,190620,0.5,253201,2.00 21,1,2024-09-07 09:16:41:557,682491,682491,0,0,320686526617,3378928049,673059,7441,1991,368,392016,0 21,2,2024-09-07 09:16:41:098,486944,486924,20,0,25332068,0,5617 21,3,2024-09-07 09:16:41:419,1,390,54,0,713,5201,390,0 22,0,2024-09-07 09:16:41:730,97062,0.5,97413,0.7,195024,0.5,258337,2.00 22,1,2024-09-07 09:16:41:023,683576,683576,0,0,320834490380,3371100274,673597,7927,2052,382,391667,0 22,2,2024-09-07 09:16:40:760,490059,490033,26,0,20793962,0,6328 22,3,2024-09-07 09:16:41:073,1,390,6,0,228,3333,390,0 23,0,2024-09-07 09:16:41:373,101467,0.5,101014,0.7,202504,0.5,269878,2.25 23,1,2024-09-07 09:16:41:010,684789,684789,0,0,321133661662,3371276464,673165,8073,3551,365,391690,0 23,2,2024-09-07 09:16:41:110,498029,498029,0,0,20234949,0,3010 23,3,2024-09-07 09:16:41:781,1,390,1,0,720,5355,390,0 24,0,2024-09-07 09:16:40:824,97034,0.4,96808,0.5,194107,0.4,258045,1.75 24,1,2024-09-07 09:16:40:589,683762,683762,0,0,320082059808,3355627437,676263,5916,1583,367,392269,0 24,2,2024-09-07 09:16:41:126,494241,494241,0,0,24366830,0,3607 24,3,2024-09-07 09:16:41:705,1,390,8,0,468,5496,390,0 25,0,2024-09-07 09:16:41:351,98502,0.4,96033,0.6,188329,0.3,256543,1.75 25,1,2024-09-07 09:16:40:569,683367,683367,0,0,321007802269,3377042817,673026,8436,1905,371,391928,0 25,2,2024-09-07 09:16:41:608,489420,489420,0,0,24358809,0,3978 25,3,2024-09-07 09:16:41:008,1,390,1,0,532,4410,390,0 26,0,2024-09-07 09:16:41:748,95832,0.4,93498,0.6,196114,0.3,255448,1.75 26,1,2024-09-07 09:16:41:548,684978,684978,0,0,320509981721,3355920866,675351,8080,1547,380,391748,0 26,2,2024-09-07 09:16:40:864,491966,491966,0,0,24387422,0,2809 26,3,2024-09-07 09:16:41:721,1,390,2,0,796,4776,390,0 27,0,2024-09-07 09:16:41:759,101817,0.4,102029,0.6,202552,0.4,270952,2.00 27,1,2024-09-07 09:16:41:679,686869,686869,0,0,322137891127,3353358119,680608,5438,823,381,391626,0 27,2,2024-09-07 09:16:40:872,492027,491962,65,0,23241876,0,5699 27,3,2024-09-07 09:16:41:023,1,390,10,0,564,3979,390,0 28,0,2024-09-07 09:16:41:390,97473,0.4,97063,0.6,194301,0.3,259323,2.00 28,1,2024-09-07 09:16:40:797,685258,685258,0,0,322234205614,3366074265,678432,5138,1688,383,391698,0 28,2,2024-09-07 09:16:41:784,495174,495174,0,0,21318158,0,2915 28,3,2024-09-07 09:16:41:784,1,390,8,0,502,4468,390,0 29,0,2024-09-07 09:16:41:374,99451,0.3,96864,0.6,190413,0.3,258758,1.75 29,1,2024-09-07 09:16:41:568,687268,687268,0,0,322783954556,3342304812,682470,4065,733,368,391753,0 29,2,2024-09-07 09:16:40:865,492568,492568,0,0,20180672,0,4986 29,3,2024-09-07 09:16:40:966,1,390,12,0,459,4792,390,0 30,0,2024-09-07 09:16:41:457,94759,0.5,92123,0.7,192881,0.4,252001,2.00 30,1,2024-09-07 09:16:40:574,687277,687277,0,0,322235080221,3347760497,681631,4880,766,381,391672,0 30,2,2024-09-07 09:16:41:277,491585,491585,0,0,18826029,0,3161 30,3,2024-09-07 09:16:40:589,1,390,6,0,519,3836,390,0 31,0,2024-09-07 09:16:41:773,99452,0.4,99779,0.6,199253,0.4,266619,2.00 31,1,2024-09-07 09:16:40:624,689323,689323,0,0,324029935169,3323088684,686416,2321,586,356,391712,0 31,2,2024-09-07 09:16:41:277,491749,491749,0,0,21046988,0,3525 31,3,2024-09-07 09:16:41:711,1,390,0,0,220,3366,390,0 32,0,2024-09-07 09:16:41:427,99911,0.3,100548,0.5,200246,0.3,266580,1.75 32,1,2024-09-07 09:16:40:807,685954,685954,0,0,322819756221,3359352562,680913,4361,680,381,391646,0 32,2,2024-09-07 09:16:40:944,497321,497321,0,0,18812189,0,3922 32,3,2024-09-07 09:16:41:019,1,390,8,0,227,2776,390,0 33,0,2024-09-07 09:16:41:512,97037,0.3,96568,0.4,193819,0.2,257733,1.50 33,1,2024-09-07 09:16:40:575,687120,687120,0,0,322800473192,3350117753,680888,5130,1102,369,391730,0 33,2,2024-09-07 09:16:40:759,495730,495695,35,0,21210604,0,7012 33,3,2024-09-07 09:16:40:897,1,390,5,0,329,3889,390,0 34,0,2024-09-07 09:16:40:951,95846,0.3,98556,0.4,188725,0.2,253575,1.75 34,1,2024-09-07 09:16:41:060,688423,688423,0,0,323048416012,3322962652,686742,1670,11,367,391562,0 34,2,2024-09-07 09:16:40:777,490488,490488,0,0,19668743,0,4562 34,3,2024-09-07 09:16:41:698,1,390,1,0,299,2973,390,0 35,0,2024-09-07 09:16:40:864,96789,0.4,97154,0.5,195489,0.3,260694,1.75 35,1,2024-09-07 09:16:41:092,686685,686685,0,0,321933581990,3337922709,682409,3420,856,382,391769,0 35,2,2024-09-07 09:16:41:595,491178,491178,0,0,20209612,0,2653 35,3,2024-09-07 09:16:40:912,1,390,3,0,418,4453,390,0 36,0,2024-09-07 09:16:41:529,102607,0.5,102472,0.7,204907,0.5,272407,2.25 36,1,2024-09-07 09:16:40:587,685189,685189,0,0,321789900727,3362093595,676065,7141,1983,366,391759,0 36,2,2024-09-07 09:16:41:766,497542,497542,0,0,22243936,0,3875 36,3,2024-09-07 09:16:40:864,1,390,7,0,416,5901,390,0 37,0,2024-09-07 09:16:41:377,95591,0.5,95693,0.6,191326,0.4,255271,2.00 37,1,2024-09-07 09:16:40:570,685065,685058,0,7,320637965549,3349233065,677215,5678,2165,365,391570,0 37,2,2024-09-07 09:16:41:153,493477,493462,15,0,22358447,0,5815 37,3,2024-09-07 09:16:41:785,1,390,1,0,888,6033,390,0 38,0,2024-09-07 09:16:41:441,94853,0.5,92128,0.6,192719,0.4,252148,2.00 38,1,2024-09-07 09:16:41:606,686152,686152,0,0,322042776511,3363226656,677060,7203,1889,368,391821,0 38,2,2024-09-07 09:16:40:764,489495,489448,47,0,21364200,0,6710 38,3,2024-09-07 09:16:41:007,1,390,0,0,689,5360,390,0 39,0,2024-09-07 09:16:42:017,98733,0.6,96707,0.7,188316,0.5,257592,2.00 39,1,2024-09-07 09:16:40:719,684705,684705,0,0,321356627037,3367285754,674026,8535,2144,365,391658,0 39,2,2024-09-07 09:16:41:417,491743,491743,0,0,20106911,0,2689 39,3,2024-09-07 09:16:40:722,1,390,116,0,324,4740,390,0 40,0,2024-09-07 09:16:41:502,100971,0.8,101791,0.9,202707,0.8,270689,2.75 40,1,2024-09-07 09:16:40:576,685632,685632,0,0,320766670971,3356857863,676265,7752,1615,368,391668,0 40,2,2024-09-07 09:16:41:303,494945,494944,1,0,23879325,0,5137 40,3,2024-09-07 09:16:41:147,1,390,15,0,1028,5436,390,0 41,0,2024-09-07 09:16:41:036,95601,1.5,97782,1.3,186816,2.5,254173,3.00 41,1,2024-09-07 09:16:40:780,684145,684145,0,0,321012215749,3356045155,676098,7279,768,369,391742,0 41,2,2024-09-07 09:16:40:771,493308,493308,0,0,22687860,0,4277 41,3,2024-09-07 09:16:41:695,1,390,10,0,366,4046,390,0 42,0,2024-09-07 09:16:41:486,95737,0.9,95765,1.0,191625,1.0,253541,2.75 42,1,2024-09-07 09:16:41:446,683246,683246,0,0,320278854905,3366280213,672294,9034,1918,380,391675,0 42,2,2024-09-07 09:16:41:144,490961,490961,0,0,23090161,0,3790 42,3,2024-09-07 09:16:41:010,1,390,1,0,446,3224,390,0 43,0,2024-09-07 09:16:40:932,94395,0.8,91966,1.0,192655,0.9,252855,2.25 43,1,2024-09-07 09:16:40:585,685089,685089,0,0,322306910504,3367911301,675486,7873,1730,366,391696,0 43,2,2024-09-07 09:16:41:736,491180,491180,0,0,22749396,0,3812 43,3,2024-09-07 09:16:41:756,1,390,1,0,467,4591,390,0 44,0,2024-09-07 09:16:40:865,101164,0.4,101490,0.6,203074,0.4,270192,2.00 44,1,2024-09-07 09:16:40:564,686677,686677,0,0,321927219320,3332634805,680205,5154,1318,356,391809,0 44,2,2024-09-07 09:16:41:267,492298,492298,0,0,19492276,0,4344 44,3,2024-09-07 09:16:41:111,1,390,7,0,817,4832,390,0 45,0,2024-09-07 09:16:41:757,96851,0.5,94616,0.8,198337,0.5,261106,2.00 45,1,2024-09-07 09:16:41:005,685971,685971,0,0,322288141351,3351514768,680401,4997,573,382,391917,0 45,2,2024-09-07 09:16:41:276,496214,496214,0,0,19893651,0,3596 45,3,2024-09-07 09:16:40:942,1,390,2,0,271,3582,390,0 46,0,2024-09-07 09:16:40:954,96193,0.5,96213,0.7,192642,0.5,255465,2.00 46,1,2024-09-07 09:16:40:580,687259,687259,0,0,323262611440,3341312040,682739,3930,590,366,391709,0 46,2,2024-09-07 09:16:40:601,494615,494615,0,0,19845751,0,4443 46,3,2024-09-07 09:16:41:131,1,390,32,0,908,5303,390,0 47,0,2024-09-07 09:16:41:102,95938,0.4,96194,0.6,192801,0.4,254420,2.00 47,1,2024-09-07 09:16:40:571,687876,687876,0,0,321356144008,3322933457,683316,3745,815,366,391641,0 47,2,2024-09-07 09:16:40:908,492178,492178,0,0,19148451,0,4477 47,3,2024-09-07 09:16:41:115,1,390,1,0,600,4793,390,0 48,0,2024-09-07 09:16:41:488,98861,0.3,98647,0.4,196714,0.2,262679,1.75 48,1,2024-09-07 09:16:41:023,686590,686590,0,0,322186052824,3347007876,682403,3733,454,384,391710,0 48,2,2024-09-07 09:16:40:717,490962,490962,0,0,18237562,0,3411 48,3,2024-09-07 09:16:40:764,1,390,8,0,339,3260,390,0 49,0,2024-09-07 09:16:41:723,103593,0.4,101800,0.5,197121,0.3,270122,1.75 49,1,2024-09-07 09:16:41:029,685982,685982,0,0,321907741127,3352083093,680935,3842,1205,382,391809,0 49,2,2024-09-07 09:16:42:069,497508,497508,0,0,20158133,0,4426 49,3,2024-09-07 09:16:41:416,1,390,17,0,992,4914,390,0 50,0,2024-09-07 09:16:41:506,96954,0.3,95425,0.4,192569,0.2,256735,1.75 50,1,2024-09-07 09:16:41:011,687948,687948,0,0,322870557376,3340555112,683145,4229,574,368,391565,0 50,2,2024-09-07 09:16:41:082,494917,494917,0,0,18163462,0,2263 50,3,2024-09-07 09:16:41:294,1,390,17,0,567,4121,390,0 51,0,2024-09-07 09:16:41:690,97889,0.3,95653,0.5,186683,0.2,254436,1.75 51,1,2024-09-07 09:16:41:688,687975,687975,0,0,323862896603,3340856047,684448,2549,978,365,391706,0 51,2,2024-09-07 09:16:41:316,490000,490000,0,0,17357515,0,3337 51,3,2024-09-07 09:16:41:031,1,390,32,0,678,3096,390,0 52,0,2024-09-07 09:16:41:415,97607,0.5,97441,0.7,195084,0.5,259299,2.00 52,1,2024-09-07 09:16:40:575,685105,685105,0,0,321114730369,3360432635,675643,8148,1314,368,391722,0 52,2,2024-09-07 09:16:41:788,488157,488119,38,0,22715910,0,6742 52,3,2024-09-07 09:16:40:674,1,390,8,0,1782,5657,390,0 53,0,2024-09-07 09:16:41:744,101011,0.6,98148,0.8,205467,0.6,269644,2.25 53,1,2024-09-07 09:16:40:780,683706,683706,0,0,321320657502,3373806186,672060,8688,2958,367,391702,0 53,2,2024-09-07 09:16:41:306,496724,496723,1,0,20944884,0,5455 53,3,2024-09-07 09:16:40:704,1,390,17,0,308,3685,390,0 54,0,2024-09-07 09:16:41:617,95068,0.6,95355,0.8,189990,0.4,254073,2.25 54,1,2024-09-07 09:16:40:581,685745,685745,0,0,322218629552,3345373996,679745,5381,619,366,391659,0 54,2,2024-09-07 09:16:40:865,495179,495147,32,0,23859078,0,6397 54,3,2024-09-07 09:16:40:768,1,390,1,0,676,5956,390,0 55,0,2024-09-07 09:16:42:009,92765,0.6,95921,0.7,193731,0.5,252415,2.50 55,1,2024-09-07 09:16:40:765,686101,686101,0,0,322189416085,3347867994,679269,5915,917,365,391731,0 55,2,2024-09-07 09:16:40:736,489775,489719,56,0,22227352,0,7239 55,3,2024-09-07 09:16:40:676,1,390,37,0,304,4150,390,0 56,0,2024-09-07 09:16:41:558,98087,1.0,92448,1.1,190507,1.3,255273,2.50 56,1,2024-09-07 09:16:40:571,681841,681841,0,0,320341642417,3381778234,671498,8150,2193,381,391867,0 56,2,2024-09-07 09:16:41:304,491349,491349,0,0,22113672,0,3567 56,3,2024-09-07 09:16:41:063,1,390,8,0,705,4913,390,0 57,0,2024-09-07 09:16:40:943,100433,1.5,100263,1.2,200970,2.1,268980,3.00 57,1,2024-09-07 09:16:40:996,684365,684365,0,0,320655442854,3360890827,676357,6994,1014,366,392032,0 57,2,2024-09-07 09:16:41:316,494769,494769,0,0,24390460,0,3317 57,3,2024-09-07 09:16:41:741,1,390,4,0,359,4267,390,0 58,0,2024-09-07 09:16:40:581,95673,0.9,92933,1.0,194516,1.1,254855,2.50 58,1,2024-09-07 09:16:40:593,684828,684825,0,3,321370878120,3360222308,675536,8031,1258,367,391603,3 58,2,2024-09-07 09:16:41:078,494309,494309,0,0,22827870,0,2902 58,3,2024-09-07 09:16:41:074,1,390,1,0,1043,4272,390,0 59,0,2024-09-07 09:16:41:746,96564,0.8,96274,0.9,192136,0.8,255200,2.50 59,1,2024-09-07 09:16:40:814,684401,684401,0,0,321334230347,3364892852,675320,7491,1590,369,391653,0 59,2,2024-09-07 09:16:40:587,493438,493438,0,0,22133783,0,2867 59,3,2024-09-07 09:16:41:743,1,390,0,0,1015,4995,390,0 60,0,2024-09-07 09:16:41:738,95078,0.5,95026,0.7,190707,0.5,253084,1.75 60,1,2024-09-07 09:16:40:808,686413,686413,0,0,322048753431,3343855001,681859,3905,649,370,392031,0 60,2,2024-09-07 09:16:41:144,491216,491216,0,0,21272887,0,3811 60,3,2024-09-07 09:16:41:258,1,390,139,0,409,4741,390,0 61,0,2024-09-07 09:16:41:508,99435,0.6,100016,0.8,199201,0.6,266054,2.00 61,1,2024-09-07 09:16:40:773,684969,684969,0,0,320947279490,3364410607,677127,6446,1396,382,392127,0 61,2,2024-09-07 09:16:41:126,491632,491565,67,0,21808253,0,6411 61,3,2024-09-07 09:16:41:698,1,390,26,0,479,5453,390,0 62,0,2024-09-07 09:16:41:731,100470,0.6,102822,0.7,196220,0.6,266354,2.00 62,1,2024-09-07 09:16:41:112,689329,689323,0,6,323447315889,3337613118,685519,3543,261,365,391715,6 62,2,2024-09-07 09:16:41:662,494944,494943,1,0,21912138,0,5555 62,3,2024-09-07 09:16:41:147,1,390,0,0,482,3296,390,0 63,0,2024-09-07 09:16:41:456,97198,0.4,97058,0.6,194527,0.3,258162,1.75 63,1,2024-09-07 09:16:40:814,686478,686472,0,6,322059125050,3346599884,681570,4170,732,381,391800,6 63,2,2024-09-07 09:16:40:765,494832,494832,0,0,19875340,0,4369 63,3,2024-09-07 09:16:41:746,1,390,26,0,667,4147,390,0 64,0,2024-09-07 09:16:41:519,95142,0.5,95124,0.7,190112,0.5,252688,2.00 64,1,2024-09-07 09:16:40:752,685855,685855,0,0,321443543548,3352132229,679330,4822,1703,370,391783,0 64,2,2024-09-07 09:16:41:161,493015,492996,19,0,19294271,0,6121 64,3,2024-09-07 09:16:41:147,1,390,8,0,265,3640,390,0 65,0,2024-09-07 09:16:41:723,96433,0.7,96844,0.8,193301,0.8,258356,2.25 65,1,2024-09-07 09:16:40:860,684492,684492,0,0,321539997567,3362428662,679449,4503,540,382,391901,0 65,2,2024-09-07 09:16:41:706,491776,491776,0,0,22473486,0,3367 65,3,2024-09-07 09:16:41:687,1,390,14,0,347,3960,390,0 66,0,2024-09-07 09:16:41:788,101842,0.6,101911,0.8,203483,0.6,270857,2.25 66,1,2024-09-07 09:16:41:298,686139,686139,0,0,321336856463,3348200010,681176,4547,416,380,391743,0 66,2,2024-09-07 09:16:41:150,498708,498708,0,0,19885101,0,4956 66,3,2024-09-07 09:16:41:080,1,390,2,0,291,3552,390,0 67,0,2024-09-07 09:16:41:425,96214,0.5,95882,0.7,192381,0.4,256065,2.00 67,1,2024-09-07 09:16:40:766,686513,686512,0,1,321059895859,3344092538,681847,3880,785,380,391787,1 67,2,2024-09-07 09:16:40:586,497014,497014,0,0,19100848,0,3622 67,3,2024-09-07 09:16:41:760,1,390,91,0,392,3840,390,0 68,0,2024-09-07 09:16:40:583,95736,0.6,95385,0.7,190309,0.5,254181,2.00 68,1,2024-09-07 09:16:40:662,683675,683675,0,0,321081726849,3371819349,675701,5660,2314,381,391953,0 68,2,2024-09-07 09:16:41:057,487808,487708,100,0,25090448,0,8578 68,3,2024-09-07 09:16:40:735,1,390,8,0,417,4220,390,0 69,0,2024-09-07 09:16:41:788,96257,0.7,96866,0.8,192827,0.8,256318,2.25 69,1,2024-09-07 09:16:41:016,683202,683202,0,0,320896607184,3383791929,674070,6942,2190,384,391994,0 69,2,2024-09-07 09:16:41:745,490780,490751,29,0,26261648,0,6912 69,3,2024-09-07 09:16:40:772,1,390,8,0,698,5336,390,0 70,0,2024-09-07 09:16:41:561,100888,0.8,101084,1.0,203221,0.7,269238,2.50 70,1,2024-09-07 09:16:40:801,686231,686231,0,0,322038176154,3343964191,680407,5163,661,366,391725,0 70,2,2024-09-07 09:16:41:325,495345,495345,0,0,22372598,0,4044 70,3,2024-09-07 09:16:40:751,1,390,1,0,854,4627,390,0 71,0,2024-09-07 09:16:41:413,95352,0.9,95071,1.0,190802,1.0,255634,2.75 71,1,2024-09-07 09:16:41:597,685518,685518,0,0,321888846892,3358712353,677748,7009,761,368,391738,0 71,2,2024-09-07 09:16:41:076,494694,494694,0,0,22604517,0,4352 71,3,2024-09-07 09:16:41:768,1,390,9,0,644,5159,390,0 72,0,2024-09-07 09:16:41:065,99603,0.5,97351,0.7,189834,0.5,257980,2.00 72,1,2024-09-07 09:16:41:027,684370,684370,0,0,321026810412,3366863572,674347,8056,1967,369,391819,0 72,2,2024-09-07 09:16:41:791,491265,491265,0,0,24381560,0,3983 72,3,2024-09-07 09:16:41:769,1,390,8,0,564,5888,390,0 73,0,2024-09-07 09:16:41:102,93191,0.4,95519,0.6,195338,0.4,254215,2.00 73,1,2024-09-07 09:16:40:767,685669,685669,0,0,321279293913,3337123029,680980,4325,364,367,391858,0 73,2,2024-09-07 09:16:41:771,491092,491092,0,0,23789230,0,3701 73,3,2024-09-07 09:16:40:975,1,390,13,0,486,5463,390,0 74,0,2024-09-07 09:16:41:339,102000,0.4,104100,0.6,198675,0.4,270312,2.00 74,1,2024-09-07 09:16:40:635,685412,685412,0,0,321373873924,3354526495,678428,5615,1369,381,391681,0 74,2,2024-09-07 09:16:41:002,492153,492153,0,0,22132218,0,4253 74,3,2024-09-07 09:16:41:442,1,390,1,0,522,5366,390,0 75,0,2024-09-07 09:16:41:779,98463,0.5,97815,0.8,196579,0.5,262197,2.25 75,1,2024-09-07 09:16:41:592,685060,685060,0,0,321448544223,3354709835,678374,5860,826,380,391739,0 75,2,2024-09-07 09:16:41:354,494599,494599,0,0,23848189,0,4766 75,3,2024-09-07 09:16:41:076,1,390,1,0,702,5189,390,0 76,0,2024-09-07 09:16:40:607,96293,0.6,95668,0.7,192200,0.5,256276,2.25 76,1,2024-09-07 09:16:40:810,685270,685270,0,0,321085343270,3351868800,680139,4345,786,382,391692,0 76,2,2024-09-07 09:16:41:087,496037,496036,1,0,21819506,0,5144 76,3,2024-09-07 09:16:41:146,1,390,8,0,175,3630,390,0 77,0,2024-09-07 09:16:41:691,95340,0.6,95667,0.8,191218,0.6,253371,2.00 77,1,2024-09-07 09:16:40:824,685527,685527,0,0,321722856372,3359832629,679937,5092,498,381,391869,0 77,2,2024-09-07 09:16:41:308,490477,490477,0,0,21141602,0,3890 77,3,2024-09-07 09:16:41:100,1,390,1,0,401,4205,390,0 78,0,2024-09-07 09:16:41:722,98663,0.5,98145,0.7,197122,0.4,261494,2.00 78,1,2024-09-07 09:16:40:612,686116,686116,0,0,321443670343,3350377215,678751,5799,1566,367,391670,0 78,2,2024-09-07 09:16:41:417,491853,491840,13,0,19980659,0,8313 78,3,2024-09-07 09:16:41:143,1,390,2,0,181,3588,390,0 79,0,2024-09-07 09:16:41:374,97294,0.4,99640,0.6,204122,0.4,264906,2.25 79,1,2024-09-07 09:16:40:574,687262,687262,0,0,322245071390,3341160231,681368,4745,1149,368,391682,0 79,2,2024-09-07 09:16:41:074,497977,497977,0,0,19695237,0,4195 79,3,2024-09-07 09:16:40:751,1,390,4,0,418,5053,390,0 80,0,2024-09-07 09:16:41:085,96356,0.5,99211,0.7,189935,0.5,256225,2.00 80,1,2024-09-07 09:16:41:638,685431,685431,0,0,321717675585,3350432591,680686,4423,322,368,391791,0 80,2,2024-09-07 09:16:41:113,496755,496755,0,0,19587863,0,4433 80,3,2024-09-07 09:16:40:584,1,390,21,0,190,4665,390,0 81,0,2024-09-07 09:16:41:541,95361,0.5,97659,0.7,186347,0.5,253147,2.00 81,1,2024-09-07 09:16:41:657,684824,684824,0,0,320479928765,3351649661,679083,5236,505,382,391879,0 81,2,2024-09-07 09:16:41:134,488474,488411,63,0,21392801,0,5932 81,3,2024-09-07 09:16:41:122,1,390,1,0,719,4906,390,0 82,0,2024-09-07 09:16:41:540,96845,0.6,97319,0.8,195011,0.5,258641,2.00 82,1,2024-09-07 09:16:40:592,686696,686692,0,4,321931213211,3350700449,682258,3631,803,381,391768,4 82,2,2024-09-07 09:16:41:699,492636,492636,0,0,18284254,0,3986 82,3,2024-09-07 09:16:42:002,1,390,0,0,363,3886,390,0 83,0,2024-09-07 09:16:41:535,101883,0.6,101781,0.7,202828,0.6,270005,2.25 83,1,2024-09-07 09:16:40:564,684155,684155,0,0,321384674593,3353142612,678875,4830,450,382,391709,0 83,2,2024-09-07 09:16:40:770,497083,497083,0,0,19169448,0,3393 83,3,2024-09-07 09:16:40:749,1,390,1,0,1260,5115,390,0 84,0,2024-09-07 09:16:41:814,95832,0.7,95808,0.8,191220,0.6,256340,2.25 84,1,2024-09-07 09:16:41:043,684203,684203,0,0,320663782540,3352303711,676906,6260,1037,367,391967,0 84,2,2024-09-07 09:16:40:578,494242,494212,30,0,25772343,0,5971 84,3,2024-09-07 09:16:41:148,1,390,8,0,908,5848,390,0 85,0,2024-09-07 09:16:41:005,92475,0.6,92507,0.8,196348,0.6,253663,2.25 85,1,2024-09-07 09:16:40:566,683085,683085,0,0,320791001907,3385526294,673021,8242,1822,381,392006,0 85,2,2024-09-07 09:16:40:872,489978,489978,0,0,23132409,0,3656 85,3,2024-09-07 09:16:40:687,1,390,15,0,789,5025,390,0 86,0,2024-09-07 09:16:40:942,95778,0.6,98547,0.8,188624,0.6,254933,2.25 86,1,2024-09-07 09:16:40:824,684763,684763,0,0,321696140459,3368324293,676761,6567,1435,366,391961,0 86,2,2024-09-07 09:16:40:856,489434,489433,1,0,25675397,0,5004 86,3,2024-09-07 09:16:40:588,1,390,1,0,308,5877,390,0 87,0,2024-09-07 09:16:41:343,101490,0.9,101237,0.9,202993,1.2,271095,2.25 87,1,2024-09-07 09:16:40:581,683405,683405,0,0,321150637860,3363013272,675049,7196,1160,366,392076,0 87,2,2024-09-07 09:16:41:126,493005,492999,6,0,22937218,0,6323 87,3,2024-09-07 09:16:41:959,1,390,7,0,473,6000,390,0 88,0,2024-09-07 09:16:41:445,97039,0.4,97849,0.6,194618,0.4,259036,1.75 88,1,2024-09-07 09:16:40:574,682868,682868,0,0,320985818142,3361509623,674229,6757,1882,365,392084,0 88,2,2024-09-07 09:16:40:687,495315,495315,0,0,24433144,0,3583 88,3,2024-09-07 09:16:41:276,1,390,12,0,435,4089,390,0 89,0,2024-09-07 09:16:41:779,99694,0.4,96581,0.6,190893,0.4,258935,1.75 89,1,2024-09-07 09:16:40:589,683145,683145,0,0,320359250022,3366825073,674906,6879,1360,382,391866,0 89,2,2024-09-07 09:16:41:144,492076,492076,0,0,23403042,0,3173 89,3,2024-09-07 09:16:42:058,1,390,1,0,385,6838,390,0 90,0,2024-09-07 09:16:41:627,92289,0.5,94788,0.6,193363,0.4,252155,2.00 90,1,2024-09-07 09:16:40:590,684617,684617,0,0,320710038464,3358450112,678191,5917,509,380,391825,0 90,2,2024-09-07 09:16:41:416,489065,489065,0,0,24514778,0,3060 90,3,2024-09-07 09:16:40:931,1,390,1,0,322,4952,390,0 91,0,2024-09-07 09:16:40:964,100097,0.4,96952,0.6,202713,0.4,266602,1.75 91,1,2024-09-07 09:16:40:570,683525,683525,0,0,320886453891,3372840328,675078,7259,1188,381,392047,0 91,2,2024-09-07 09:16:41:337,492518,492518,0,0,21820968,0,2896 91,3,2024-09-07 09:16:40:599,1,390,1,0,216,3681,390,0 92,0,2024-09-07 09:16:41:450,100698,0.5,103150,0.6,197168,0.5,266708,1.75 92,1,2024-09-07 09:16:40:581,684749,684749,0,0,321601740385,3360264814,679373,4655,721,382,392136,0 92,2,2024-09-07 09:16:41:353,497371,497371,0,0,20102433,0,3259 92,3,2024-09-07 09:16:41:009,1,390,11,0,167,3519,390,0 93,0,2024-09-07 09:16:40:956,97565,0.4,99978,0.5,190864,0.3,258247,1.75 93,1,2024-09-07 09:16:40:837,683856,683856,0,0,320915717165,3354513823,676077,6434,1345,366,391776,0 93,2,2024-09-07 09:16:40:953,494326,494326,0,0,24107622,0,4845 93,3,2024-09-07 09:16:41:407,1,390,1,0,190,3619,390,0 94,0,2024-09-07 09:16:41:610,95279,0.4,96031,0.5,191956,0.3,254389,1.75 94,1,2024-09-07 09:16:40:564,685240,685240,0,0,321533635499,3354489869,680852,4194,194,381,391850,0 94,2,2024-09-07 09:16:40:771,489766,489766,0,0,20057172,0,2443 94,3,2024-09-07 09:16:41:698,1,390,2,0,264,4724,390,0 95,0,2024-09-07 09:16:41:392,97288,0.4,97264,0.5,195089,0.3,260485,1.75 95,1,2024-09-07 09:16:40:855,686652,686652,0,0,321581854653,3344608330,681114,5127,411,367,391713,0 95,2,2024-09-07 09:16:41:028,491359,491359,0,0,19879136,0,3308 95,3,2024-09-07 09:16:41:712,1,390,160,0,718,5889,390,0 96,0,2024-09-07 09:16:41:029,102466,0.4,102762,0.5,205134,0.4,272044,1.75 96,1,2024-09-07 09:16:41:600,684434,684434,0,0,321598691217,3358321669,678948,4608,878,384,391955,0 96,2,2024-09-07 09:16:41:292,497748,497748,0,0,20793181,0,4180 96,3,2024-09-07 09:16:41:143,1,390,8,0,411,4461,390,0 97,0,2024-09-07 09:16:41:328,96162,0.3,95954,0.5,192393,0.3,255837,1.50 97,1,2024-09-07 09:16:40:795,686100,686100,0,0,323024936212,3353039423,681020,4151,929,367,392140,0 97,2,2024-09-07 09:16:40:644,496023,496023,0,0,20094857,0,3679 97,3,2024-09-07 09:16:40:575,1,390,242,0,242,4582,390,0 98,0,2024-09-07 09:16:41:712,95262,0.3,95229,0.4,191280,0.2,254098,1.50 98,1,2024-09-07 09:16:40:571,685153,685153,0,0,321769199034,3353752416,680654,3722,777,382,391997,0 98,2,2024-09-07 09:16:40:772,490139,490139,0,0,19699603,0,3243 98,3,2024-09-07 09:16:40:716,1,390,1,0,840,5749,390,0 99,0,2024-09-07 09:16:41:457,96742,0.3,97397,0.5,193562,0.3,258769,1.75 99,1,2024-09-07 09:16:41:753,685566,685566,0,0,321812438430,3352334704,680725,4008,833,381,392069,0 99,2,2024-09-07 09:16:41:418,492311,492311,0,0,23048280,0,3424 99,3,2024-09-07 09:16:40:588,1,390,76,0,606,4447,390,0 100,0,2024-09-07 09:16:41:462,101439,0.7,101804,0.9,202692,0.8,270963,2.25 100,1,2024-09-07 09:16:40:570,682377,682377,0,0,319916557845,3381687161,672035,8328,2014,381,391989,0 100,2,2024-09-07 09:16:42:043,494071,494060,11,0,23402788,0,5417 100,3,2024-09-07 09:16:41:742,1,390,16,0,559,6587,390,0 101,0,2024-09-07 09:16:41:720,98233,1.1,95613,1.0,187829,0.9,257557,2.25 101,1,2024-09-07 09:16:40:563,682603,682603,0,0,320684913503,3376074702,672264,8219,2120,368,391847,0 101,2,2024-09-07 09:16:41:770,491662,491662,0,0,27970457,0,4871 101,3,2024-09-07 09:16:40:942,1,390,3,0,579,5650,390,0 102,0,2024-09-07 09:16:40:947,93918,0.6,96966,0.8,196269,0.6,256727,2.00 102,1,2024-09-07 09:16:41:145,682927,682927,0,0,320309121272,3366967660,673779,7537,1611,369,391891,0 102,2,2024-09-07 09:16:41:740,491997,491943,54,0,21959397,0,6768 102,3,2024-09-07 09:16:41:617,1,390,0,0,410,3898,390,0 103,0,2024-09-07 09:16:41:607,98662,0.6,98679,0.7,186046,0.6,255972,2.00 103,1,2024-09-07 09:16:41:646,681802,681802,0,0,320542891565,3382718000,671102,8058,2642,381,391829,0 103,2,2024-09-07 09:16:40:589,489659,489659,0,0,24048056,0,3766 103,3,2024-09-07 09:16:40:755,1,390,4,0,916,4414,390,0 104,0,2024-09-07 09:16:41:037,100367,0.7,100823,0.9,200168,0.7,269534,2.25 104,1,2024-09-07 09:16:41:620,684094,684094,0,0,320370611505,3365907750,674353,7966,1775,365,392168,0 104,2,2024-09-07 09:16:41:691,491776,491776,0,0,23883813,0,3941 104,3,2024-09-07 09:16:41:416,1,390,13,0,1245,7952,390,0 105,0,2024-09-07 09:16:41:036,96940,0.9,94418,1.1,197735,1.0,260346,2.75 105,1,2024-09-07 09:16:40:570,685044,685044,0,0,321459292562,3368475320,676302,7394,1348,366,392009,0 105,2,2024-09-07 09:16:41:329,494863,494863,0,0,23211015,0,3509 105,3,2024-09-07 09:16:41:304,1,390,13,0,399,5914,390,0 106,0,2024-09-07 09:16:40:945,93372,0.7,95638,0.9,195941,0.8,256095,2.50 106,1,2024-09-07 09:16:41:770,683345,683345,0,0,320463447070,3368405596,672574,9328,1443,369,391914,0 106,2,2024-09-07 09:16:40:756,493257,493257,0,0,22697181,0,2795 106,3,2024-09-07 09:16:40:686,1,390,1,0,470,5048,390,0 107,0,2024-09-07 09:16:41:124,95442,1.0,95653,0.9,190939,1.3,254333,2.25 107,1,2024-09-07 09:16:40:610,682767,682767,0,0,320290935462,3373101478,673986,7809,972,381,392234,0 107,2,2024-09-07 09:16:41:295,488836,488835,1,0,23322076,0,5024 107,3,2024-09-07 09:16:41:769,1,390,50,0,353,5839,390,0 108,0,2024-09-07 09:16:41:884,98104,0.5,98825,0.6,196376,0.5,262640,1.75 108,1,2024-09-07 09:16:41:295,685017,685017,0,0,321428148773,3353520952,679106,5173,738,368,391857,0 108,2,2024-09-07 09:16:41:788,490215,490215,0,0,22570787,0,4246 108,3,2024-09-07 09:16:41:342,1,390,14,0,749,7527,390,0 109,0,2024-09-07 09:16:41:844,101565,0.4,100700,0.6,201361,0.4,269182,1.75 109,1,2024-09-07 09:16:40:587,682916,682916,0,0,321219155307,3371001470,676567,5275,1074,383,392132,0 109,2,2024-09-07 09:16:40:928,495001,495001,0,0,22385672,0,3617 109,3,2024-09-07 09:16:41:152,1,390,2,0,379,4573,390,0 110,0,2024-09-07 09:16:41:787,96286,0.4,93772,0.6,196627,0.3,257137,1.75 110,1,2024-09-07 09:16:41:646,686226,686226,0,0,321450844863,3338584705,681069,3981,1176,369,392045,0 110,2,2024-09-07 09:16:41:304,495525,495525,0,0,21419045,0,4067 110,3,2024-09-07 09:16:40:710,1,390,15,0,722,5736,390,0 111,0,2024-09-07 09:16:41:432,95740,0.4,94948,0.6,190537,0.3,254523,1.75 111,1,2024-09-07 09:16:41:007,686823,686823,0,0,322607681980,3346481890,683227,3242,354,380,391690,0 111,2,2024-09-07 09:16:41:118,488856,488856,0,0,20661882,0,4823 111,3,2024-09-07 09:16:40:919,1,390,0,0,379,4514,390,0 112,0,2024-09-07 09:16:40:915,98089,0.3,97540,0.4,195347,0.2,259755,1.50 112,1,2024-09-07 09:16:40:825,686502,686502,0,0,321468497305,3337673924,682102,3788,612,380,391624,0 112,2,2024-09-07 09:16:41:147,491623,491622,1,0,19664055,0,5036 112,3,2024-09-07 09:16:40:592,1,390,8,0,282,3934,390,0 113,0,2024-09-07 09:16:40:872,101717,0.3,101814,0.5,203763,0.2,271911,1.75 113,1,2024-09-07 09:16:41:705,689053,689053,0,0,323777628465,3342391167,685192,3273,588,366,391661,0 113,2,2024-09-07 09:16:41:303,498054,498054,0,0,18581294,0,3813 113,3,2024-09-07 09:16:40:684,1,390,1,0,340,4619,390,0 114,0,2024-09-07 09:16:40:880,96838,0.3,97488,0.5,193626,0.2,259018,1.75 114,1,2024-09-07 09:16:40:723,685966,685966,0,0,321496389039,3342673234,679849,4502,1615,381,391565,0 114,2,2024-09-07 09:16:40:893,495923,495922,1,0,19644893,0,5069 114,3,2024-09-07 09:16:41:295,1,390,1,0,395,3356,390,0 115,0,2024-09-07 09:16:40:560,96088,0.3,96549,0.4,192767,0.2,256161,1.50 115,1,2024-09-07 09:16:40:573,685982,685982,0,0,322771430865,3355106723,680179,4872,931,382,391757,0 115,2,2024-09-07 09:16:41:144,492610,492610,0,0,18543568,0,3848 115,3,2024-09-07 09:16:41:007,1,390,1,0,159,2287,390,0 116,0,2024-09-07 09:16:41:720,95627,0.7,95495,0.9,191522,0.7,256293,2.00 116,1,2024-09-07 09:16:40:819,682978,682978,0,0,320882988122,3381438654,674727,5932,2319,380,392089,0 116,2,2024-09-07 09:16:41:769,490661,490661,0,0,24375691,0,4128 116,3,2024-09-07 09:16:40:912,1,390,12,0,415,4719,390,0 117,0,2024-09-07 09:16:41:014,101805,0.7,101286,0.8,203072,0.8,271627,2.00 117,1,2024-09-07 09:16:41:587,683735,683735,0,0,321052146477,3361850846,676165,6663,907,369,392033,0 117,2,2024-09-07 09:16:41:118,496865,496865,0,0,20221969,0,3700 117,3,2024-09-07 09:16:41:081,1,390,2,0,490,6042,390,0 118,0,2024-09-07 09:16:41:781,94387,0.5,96735,0.7,197694,0.5,258064,2.00 118,1,2024-09-07 09:16:40:586,683613,683613,0,0,321467012921,3384118844,672309,8374,2930,366,391907,0 118,2,2024-09-07 09:16:41:586,494052,494052,0,0,22992499,0,2842 118,3,2024-09-07 09:16:41:769,1,390,12,0,248,4537,390,0 119,0,2024-09-07 09:16:41:345,96454,0.6,96979,0.7,193819,0.5,257303,2.00 119,1,2024-09-07 09:16:40:550,683952,683952,0,0,321321717070,3361139417,676566,6541,845,367,391780,0 119,2,2024-09-07 09:16:41:264,493659,493659,0,0,21377946,0,4174 119,3,2024-09-07 09:16:41:330,1,390,6,0,1058,6947,390,0 120,0,2024-09-07 09:16:41:555,94536,0.6,94462,0.8,189039,0.6,252623,2.25 120,1,2024-09-07 09:16:40:861,684362,684362,0,0,321152880900,3369742903,677123,6591,648,368,391961,0 120,2,2024-09-07 09:16:40:795,490422,490421,1,0,24185879,0,5281 120,3,2024-09-07 09:16:41:294,1,390,9,0,279,4959,390,0 121,0,2024-09-07 09:16:41:729,99573,1.1,99482,1.0,199463,1.4,265991,2.25 121,1,2024-09-07 09:16:41:666,684902,684902,0,0,321665298719,3363317555,678592,5864,446,367,391840,0 121,2,2024-09-07 09:16:41:125,490907,490907,0,0,23351755,0,4127 121,3,2024-09-07 09:16:40:746,1,390,3,0,269,4507,390,0 122,0,2024-09-07 09:16:41:799,99361,0.8,97011,0.9,203580,0.9,266470,2.00 122,1,2024-09-07 09:16:40:863,683469,683469,0,0,320821311980,3364220528,674391,7791,1287,366,392130,0 122,2,2024-09-07 09:16:41:325,496191,496118,73,0,26283551,0,5989 122,3,2024-09-07 09:16:40:602,1,390,2,0,512,7527,390,0 123,0,2024-09-07 09:16:40:950,96453,0.6,94276,0.7,196998,0.6,257682,2.00 123,1,2024-09-07 09:16:40:573,683588,683588,0,0,320636732054,3377245265,671364,10020,2204,369,392039,0 123,2,2024-09-07 09:16:41:020,493215,493214,1,0,22548435,0,5215 123,3,2024-09-07 09:16:41:143,1,390,81,0,168,4333,390,0 124,0,2024-09-07 09:16:40:926,98565,0.3,98483,0.5,185740,0.3,255174,1.75 124,1,2024-09-07 09:16:41:029,685897,685897,0,0,321435250151,3344297996,680702,4147,1048,367,392178,0 124,2,2024-09-07 09:16:41:011,490809,490756,53,0,20504075,0,6487 124,3,2024-09-07 09:16:40:759,1,390,8,0,490,3893,390,0 125,0,2024-09-07 09:16:41:435,97636,0.4,97286,0.6,195480,0.4,260817,1.75 125,1,2024-09-07 09:16:40:861,684744,684744,0,0,321348206311,3356706947,679155,4923,666,382,391702,0 125,2,2024-09-07 09:16:41:126,492127,492127,0,0,21146531,0,4534 125,3,2024-09-07 09:16:41:143,1,390,2,0,709,5094,390,0 126,0,2024-09-07 09:16:41:425,102291,0.5,105356,0.6,201568,0.4,272675,1.75 126,1,2024-09-07 09:16:40:560,686421,686421,0,0,322014286356,3337083006,682502,3630,289,365,391987,0 126,2,2024-09-07 09:16:40:610,497918,497918,0,0,21966960,0,4539 126,3,2024-09-07 09:16:40:907,1,390,2,0,207,4864,390,0 127,0,2024-09-07 09:16:41:596,96041,0.3,96541,0.5,192476,0.3,255886,1.75 127,1,2024-09-07 09:16:40:570,685718,685718,0,0,321948899637,3350558994,678832,5779,1107,364,392187,0 127,2,2024-09-07 09:16:40:645,494561,494561,0,0,19937980,0,3897 127,3,2024-09-07 09:16:41:268,1,390,13,0,968,4459,390,0 128,0,2024-09-07 09:16:41:539,95750,0.3,96039,0.4,191575,0.2,254403,1.50 128,1,2024-09-07 09:16:41:610,685564,685564,0,0,321697651187,3342081652,680727,4405,432,367,391838,0 128,2,2024-09-07 09:16:41:383,490620,490620,0,0,18780153,0,2915 128,3,2024-09-07 09:16:40:780,1,390,9,0,1082,6332,390,0 129,0,2024-09-07 09:16:41:035,97473,0.3,96878,0.5,194236,0.3,258833,1.75 129,1,2024-09-07 09:16:40:616,682689,682689,0,0,321132422407,3368075379,675453,5570,1666,379,391835,0 129,2,2024-09-07 09:16:40:691,492063,492063,0,0,20403690,0,4031 129,3,2024-09-07 09:16:40:703,1,390,16,0,506,5274,390,0 130,0,2024-09-07 09:16:41:769,102399,0.5,101924,0.6,205240,0.5,272394,1.75 130,1,2024-09-07 09:16:40:587,686222,686222,0,0,321582286757,3344550260,682296,3589,337,381,391825,0 130,2,2024-09-07 09:16:41:127,497079,497079,0,0,20727240,0,4067 130,3,2024-09-07 09:16:41:305,1,390,1,0,535,5634,390,0 131,0,2024-09-07 09:16:42:034,96144,0.3,96598,0.5,194356,0.3,257599,1.75 131,1,2024-09-07 09:16:42:024,685541,685541,0,0,321417403759,3360307745,679658,4835,1048,381,391865,0 131,2,2024-09-07 09:16:40:570,497178,497178,0,0,18606364,0,2509 131,3,2024-09-07 09:16:41:698,1,390,28,0,392,4030,390,0 132,0,2024-09-07 09:16:41:414,96488,0.4,97388,0.6,193723,0.4,257534,1.75 132,1,2024-09-07 09:16:40:580,682771,682771,0,0,320372632448,3375056649,672528,8375,1868,381,392097,0 132,2,2024-09-07 09:16:40:725,491768,491751,17,0,24855299,0,6451 132,3,2024-09-07 09:16:41:698,1,390,17,0,804,7200,390,0 133,0,2024-09-07 09:16:41:537,93173,0.4,95282,0.6,195643,0.4,254603,1.75 133,1,2024-09-07 09:16:40:590,682445,682445,0,0,320440992118,3378331536,672622,8312,1511,383,391914,0 133,2,2024-09-07 09:16:41:092,489972,489922,50,0,26254599,0,6861 133,3,2024-09-07 09:16:41:303,1,390,1,0,479,3972,390,0 134,0,2024-09-07 09:16:40:938,101572,0.5,101165,0.7,202573,0.5,270765,2.00 134,1,2024-09-07 09:16:40:590,683509,683509,0,0,319673169465,3355502752,674182,7320,2007,366,391718,0 134,2,2024-09-07 09:16:41:768,491806,491782,24,0,23233885,0,6207 134,3,2024-09-07 09:16:40:751,1,390,1,0,739,4866,390,0 135,0,2024-09-07 09:16:41:133,95183,0.8,95159,0.9,201729,1.0,259854,2.25 135,1,2024-09-07 09:16:41:597,683886,683886,0,0,321209013877,3379185309,674788,7819,1279,380,391805,0 135,2,2024-09-07 09:16:40:687,496211,496211,0,0,22841383,0,3981 135,3,2024-09-07 09:16:41:007,1,390,23,0,299,3316,390,0 136,0,2024-09-07 09:16:41:629,97176,0.5,97309,0.7,193650,0.5,258101,2.00 136,1,2024-09-07 09:16:41:446,683916,683916,0,0,321234577224,3369150792,676228,6848,840,382,391685,0 136,2,2024-09-07 09:16:41:144,495636,495636,0,0,22328054,0,3506 136,3,2024-09-07 09:16:41:109,1,390,16,0,637,4322,390,0 137,0,2024-09-07 09:16:40:953,98563,0.6,95911,0.7,188472,0.6,255548,2.00 137,1,2024-09-07 09:16:40:593,683066,683066,0,0,320637854550,3367205297,671971,8748,2347,366,391898,0 137,2,2024-09-07 09:16:41:719,489033,489033,0,0,24595064,0,3185 137,3,2024-09-07 09:16:40:774,1,390,6,0,484,5103,390,0 138,0,2024-09-07 09:16:41:747,97911,1.1,97916,1.0,196693,1.5,261500,2.25 138,1,2024-09-07 09:16:41:687,684235,684235,0,0,321004411160,3369242066,674428,8088,1719,368,391954,0 138,2,2024-09-07 09:16:40:591,491203,491203,0,0,22292713,0,4988 138,3,2024-09-07 09:16:40:623,1,390,1,0,1160,5239,390,0 139,0,2024-09-07 09:16:41:416,100076,1.7,100038,1.2,200582,2.4,267558,2.50 139,1,2024-09-07 09:16:40:573,681024,681024,0,0,319319989528,3395242233,667334,10421,3269,381,392058,0 139,2,2024-09-07 09:16:40:711,493180,493180,0,0,25376683,0,3097 139,3,2024-09-07 09:16:41:662,1,390,5,0,432,4672,390,0 140,0,2024-09-07 09:16:41:626,96928,0.3,96284,0.5,193248,0.2,257404,1.75 140,1,2024-09-07 09:16:41:541,687550,687550,0,0,323154845371,3333494533,684020,3090,440,365,391606,0 140,2,2024-09-07 09:16:40:688,495745,495744,1,0,20194622,0,5036 140,3,2024-09-07 09:16:40:778,1,390,8,0,297,3389,390,0 141,0,2024-09-07 09:16:41:712,95590,0.3,98112,0.5,187469,0.3,254486,1.75 141,1,2024-09-07 09:16:40:863,686229,686229,0,0,322629825651,3351552152,681021,4254,954,379,391614,0 141,2,2024-09-07 09:16:41:701,489980,489980,0,0,19547997,0,3360 141,3,2024-09-07 09:16:41:045,1,390,0,0,391,4508,390,0 142,0,2024-09-07 09:16:41:335,98075,0.3,97468,0.5,194839,0.3,260337,1.75 142,1,2024-09-07 09:16:40:596,685272,685272,0,0,322066846568,3358025075,680814,4065,393,382,392102,0 142,2,2024-09-07 09:16:41:306,490909,490877,32,0,21392675,0,6028 142,3,2024-09-07 09:16:41:750,1,390,183,0,484,4632,390,0 143,0,2024-09-07 09:16:41:400,101930,0.4,101871,0.6,204229,0.4,272063,1.75 143,1,2024-09-07 09:16:40:575,686405,686405,0,0,322287678466,3348117274,681395,4466,544,367,391705,0 143,2,2024-09-07 09:16:40:784,497166,497166,0,0,20784560,0,3123 143,3,2024-09-07 09:16:41:143,1,390,1,0,462,5111,390,0 144,0,2024-09-07 09:16:41:548,93452,0.6,96027,0.8,195751,0.5,256958,2.00 144,1,2024-09-07 09:16:40:569,682993,682993,0,0,320358537642,3363101573,676118,5524,1351,381,391649,0 144,2,2024-09-07 09:16:41:781,495999,495999,0,0,19747434,0,3673 144,3,2024-09-07 09:16:41:740,1,390,8,0,249,3927,390,0 145,0,2024-09-07 09:16:41:365,92859,0.5,92869,0.8,196958,0.5,253285,2.25 145,1,2024-09-07 09:16:40:560,683242,683242,0,0,320559872734,3368822445,675077,7018,1147,382,391759,0 145,2,2024-09-07 09:16:41:438,489043,488962,81,0,23539855,0,7814 145,3,2024-09-07 09:16:40:897,1,390,3,0,622,5752,390,0 146,0,2024-09-07 09:16:41:596,95927,0.5,95384,0.7,192204,0.5,254837,2.25 146,1,2024-09-07 09:16:41:586,684429,684429,0,0,320572098796,3368385451,673787,8525,2117,367,391770,0 146,2,2024-09-07 09:16:41:704,490212,490212,0,0,22247020,0,2730 146,3,2024-09-07 09:16:41:276,1,390,8,0,1520,7797,390,0 147,0,2024-09-07 09:16:41:709,101705,0.7,101533,0.8,202320,0.6,271090,2.25 147,1,2024-09-07 09:16:41:371,686425,686425,0,0,322197833341,3353043212,680097,5484,844,368,391791,0 147,2,2024-09-07 09:16:41:011,493960,493960,0,0,20790235,0,2968 147,3,2024-09-07 09:16:40:913,1,390,1,0,1626,7129,390,0 0,0,2024-09-07 09:16:51:771,92492,0.6,92544,0.8,196280,0.7,253483,2.00 0,1,2024-09-07 09:16:50:821,686091,686091,0,0,322483579106,3375831463,680813,4892,386,369,391896,0 0,2,2024-09-07 09:16:51:071,493623,493623,0,0,19986874,0,4480 0,3,2024-09-07 09:16:50:978,1,391,13,0,431,5947,391,0 1,0,2024-09-07 09:16:51:762,100082,0.9,99544,1.0,199796,1.2,267601,2.25 1,1,2024-09-07 09:16:50:601,685587,685587,0,0,322036119734,3372308517,679391,4878,1318,370,391857,0 1,2,2024-09-07 09:16:50:646,492514,492514,0,0,20082893,0,3380 1,3,2024-09-07 09:16:51:302,1,391,33,0,262,4724,391,0 2,0,2024-09-07 09:16:51:574,99586,0.7,99873,0.8,199001,0.8,265237,2.00 2,1,2024-09-07 09:16:50:859,688007,688007,0,0,323302845800,3357692283,684744,2969,294,380,391745,0 2,2,2024-09-07 09:16:51:265,497810,497810,0,0,19581298,0,3594 2,3,2024-09-07 09:16:50:691,1,391,1,0,357,3855,391,0 3,0,2024-09-07 09:16:51:748,96984,0.4,96912,0.6,193592,0.4,257552,2.00 3,1,2024-09-07 09:16:51:617,686475,686475,0,0,322462277784,3360543627,680375,5410,690,380,391716,0 3,2,2024-09-07 09:16:51:142,495833,495810,23,0,20447686,0,5851 3,3,2024-09-07 09:16:51:752,1,391,1,0,207,2518,391,0 4,0,2024-09-07 09:16:51:767,92993,0.4,95594,0.5,194785,0.3,254769,1.75 4,1,2024-09-07 09:16:50:595,684123,684123,0,0,321024740283,3394481297,672847,8773,2503,370,391992,0 4,2,2024-09-07 09:16:51:019,489761,489761,0,0,22550716,0,4528 4,3,2024-09-07 09:16:51:026,1,391,8,0,448,5266,391,0 5,0,2024-09-07 09:16:51:376,97713,0.4,98273,0.6,196159,0.4,261199,1.75 5,1,2024-09-07 09:16:50:758,685040,685040,0,0,321280422262,3386114533,674746,8143,2151,367,392005,0 5,2,2024-09-07 09:16:51:838,491001,491001,0,0,22388673,0,3582 5,3,2024-09-07 09:16:51:750,1,391,2,0,457,5605,391,0 6,0,2024-09-07 09:16:50:919,102669,0.5,102327,0.7,204241,0.4,272440,2.00 6,1,2024-09-07 09:16:50:754,685679,685679,0,0,321317769540,3360140880,677886,6406,1387,379,391694,0 6,2,2024-09-07 09:16:51:118,497663,497645,18,0,23010957,0,5535 6,3,2024-09-07 09:16:51:273,1,391,1,0,340,4309,391,0 7,0,2024-09-07 09:16:51:537,95748,0.5,96331,0.6,192064,0.4,255721,2.00 7,1,2024-09-07 09:16:50:855,685180,685180,0,0,321670610196,3376982746,675883,7901,1396,382,391747,0 7,2,2024-09-07 09:16:50:774,496966,496966,0,0,22293025,0,4791 7,3,2024-09-07 09:16:50:858,1,391,6,0,398,4151,391,0 8,0,2024-09-07 09:16:51:332,95819,0.4,95538,0.5,191484,0.3,254995,1.75 8,1,2024-09-07 09:16:51:017,684331,684331,0,0,321875305099,3385207299,673375,8361,2595,366,392144,0 8,2,2024-09-07 09:16:50:798,486946,486946,0,0,25390946,0,3220 8,3,2024-09-07 09:16:50:592,1,391,19,0,538,6547,391,0 9,0,2024-09-07 09:16:51:204,97344,0.4,94570,0.5,197867,0.3,259769,1.75 9,1,2024-09-07 09:16:50:563,684641,684641,0,0,321416936326,3384391717,674964,7539,2138,369,392001,0 9,2,2024-09-07 09:16:51:088,492065,492065,0,0,22664662,0,3360 9,3,2024-09-07 09:16:51:757,1,391,12,0,496,5416,391,0 10,0,2024-09-07 09:16:51:603,102221,0.3,101557,0.5,204082,0.2,271909,1.75 10,1,2024-09-07 09:16:50:587,685505,685505,0,0,322322094110,3375181936,676574,7646,1285,381,391741,0 10,2,2024-09-07 09:16:50:774,497184,497184,0,0,25050192,0,4264 10,3,2024-09-07 09:16:50:870,1,391,1,0,649,4382,391,0 11,0,2024-09-07 09:16:51:030,96403,0.4,93525,0.6,195540,0.4,258428,1.75 11,1,2024-09-07 09:16:50:598,686231,686231,0,0,321857693222,3377435033,675649,8100,2482,383,391756,0 11,2,2024-09-07 09:16:51:123,495837,495837,0,0,22436127,0,4130 11,3,2024-09-07 09:16:51:300,1,391,1,0,843,5990,391,0 12,0,2024-09-07 09:16:50:972,97390,0.4,97435,0.5,194630,0.3,258236,1.75 12,1,2024-09-07 09:16:50:955,686653,686653,0,0,322356782611,3361727511,681273,4884,496,370,391870,0 12,2,2024-09-07 09:16:51:546,492981,492981,0,0,21789243,0,3469 12,3,2024-09-07 09:16:51:059,1,391,10,0,386,6083,391,0 13,0,2024-09-07 09:16:51:332,96562,0.4,96269,0.6,192273,0.4,256399,1.75 13,1,2024-09-07 09:16:51:532,685004,685004,0,0,321537978951,3381171059,677971,5460,1573,382,391740,0 13,2,2024-09-07 09:16:50:595,494160,494160,0,0,20033934,0,3287 13,3,2024-09-07 09:16:51:769,1,391,1,0,522,6084,391,0 14,0,2024-09-07 09:16:50:563,101749,0.4,102601,0.6,203156,0.4,271585,1.75 14,1,2024-09-07 09:16:51:567,689600,689600,0,0,323575419326,3353447096,683875,5196,529,364,391673,0 14,2,2024-09-07 09:16:50:774,494718,494688,30,0,22265043,0,6104 14,3,2024-09-07 09:16:51:121,1,391,1,0,1168,4390,391,0 15,0,2024-09-07 09:16:51:563,97921,0.4,97870,0.7,196155,0.4,260898,2.00 15,1,2024-09-07 09:16:51:611,686574,686574,0,0,322450801562,3359468810,681024,4572,978,381,391619,0 15,2,2024-09-07 09:16:51:000,498084,498084,0,0,18415164,0,3622 15,3,2024-09-07 09:16:51:409,1,391,2,0,1126,6845,391,0 16,0,2024-09-07 09:16:50:968,96953,0.5,97313,0.7,194229,0.5,258176,2.00 16,1,2024-09-07 09:16:50:563,686647,686647,0,0,322227432705,3369148689,680753,5051,843,370,391756,0 16,2,2024-09-07 09:16:51:434,494687,494687,0,0,21479635,0,4719 16,3,2024-09-07 09:16:51:141,1,391,8,0,317,5187,391,0 17,0,2024-09-07 09:16:51:771,98520,0.6,96107,0.8,188161,0.7,255104,2.00 17,1,2024-09-07 09:16:50:613,685298,685298,0,0,321519813073,3376387349,677785,6004,1509,368,392075,0 17,2,2024-09-07 09:16:51:679,494210,494210,0,0,20798238,0,2948 17,3,2024-09-07 09:16:50:608,1,391,0,0,298,6158,391,0 18,0,2024-09-07 09:16:50:948,97850,0.6,98129,0.8,195659,0.7,261582,2.25 18,1,2024-09-07 09:16:51:638,688035,688035,0,0,322725506867,3346200973,684168,3491,376,367,391649,0 18,2,2024-09-07 09:16:51:755,495022,495022,0,0,19058896,0,3541 18,3,2024-09-07 09:16:50:900,1,391,7,0,163,3145,391,0 19,0,2024-09-07 09:16:51:546,100375,0.7,100942,0.8,200084,0.7,266109,2.25 19,1,2024-09-07 09:16:50:566,688234,688234,0,0,323296646106,3357001687,682302,5011,921,367,391777,0 19,2,2024-09-07 09:16:51:759,499774,499774,0,0,18200976,0,3988 19,3,2024-09-07 09:16:51:128,1,391,1,0,524,3022,391,0 20,0,2024-09-07 09:16:51:373,96618,0.5,96619,0.6,193065,0.4,257669,2.00 20,1,2024-09-07 09:16:50:588,685766,685766,0,0,322665912233,3373770870,679910,5094,762,369,391886,0 20,2,2024-09-07 09:16:50:932,496183,496183,0,0,21730621,0,3721 20,3,2024-09-07 09:16:50:594,1,391,8,0,414,5897,391,0 21,0,2024-09-07 09:16:51:132,95639,0.5,95657,0.6,191265,0.5,254169,2.00 21,1,2024-09-07 09:16:51:551,684151,684151,0,0,321387555598,3387878736,674375,7666,2110,368,392016,0 21,2,2024-09-07 09:16:51:072,488256,488236,20,0,25811155,0,5617 21,3,2024-09-07 09:16:51:404,1,391,1,0,713,5202,391,0 22,0,2024-09-07 09:16:51:728,97324,0.5,97666,0.7,195565,0.5,259045,2.00 22,1,2024-09-07 09:16:51:026,685254,685254,0,0,321751555807,3381872524,674998,8136,2120,382,391667,0 22,2,2024-09-07 09:16:50:767,491258,491232,26,0,20854039,0,6328 22,3,2024-09-07 09:16:51:077,1,391,1,0,228,3334,391,0 23,0,2024-09-07 09:16:51:385,101897,0.5,101463,0.7,203355,0.5,271182,2.25 23,1,2024-09-07 09:16:51:017,686222,686222,0,0,321810253068,3379210722,674423,8234,3565,365,391690,0 23,2,2024-09-07 09:16:51:094,499386,499386,0,0,20440703,0,3010 23,3,2024-09-07 09:16:51:754,1,391,1,0,720,5356,391,0 24,0,2024-09-07 09:16:50:841,97351,0.4,97102,0.5,194730,0.4,259009,1.75 24,1,2024-09-07 09:16:50:629,685463,685463,0,0,320863112610,3363961853,677958,5922,1583,367,392269,0 24,2,2024-09-07 09:16:51:076,495615,495615,0,0,24416165,0,3607 24,3,2024-09-07 09:16:51:692,1,391,11,0,468,5507,391,0 25,0,2024-09-07 09:16:51:360,98669,0.4,96175,0.6,188645,0.3,256947,1.75 25,1,2024-09-07 09:16:50:559,685095,685095,0,0,321688722213,3384507974,674742,8448,1905,371,391928,0 25,2,2024-09-07 09:16:51:611,490298,490298,0,0,24399362,0,3978 25,3,2024-09-07 09:16:51:014,1,391,19,0,532,4429,391,0 26,0,2024-09-07 09:16:51:718,96094,0.4,93753,0.6,196612,0.3,256070,1.75 26,1,2024-09-07 09:16:51:545,686681,686681,0,0,321565505514,3366684583,677054,8080,1547,380,391748,0 26,2,2024-09-07 09:16:50:866,493357,493357,0,0,24479651,0,2809 26,3,2024-09-07 09:16:51:715,1,391,1,0,796,4777,391,0 27,0,2024-09-07 09:16:51:722,102241,0.4,102414,0.6,203377,0.4,271952,2.00 27,1,2024-09-07 09:16:51:692,688589,688589,0,0,323177837054,3364790896,682206,5546,837,381,391626,0 27,2,2024-09-07 09:16:50:867,493596,493531,65,0,23294815,0,5699 27,3,2024-09-07 09:16:51:016,1,391,1,0,564,3980,391,0 28,0,2024-09-07 09:16:51:399,97644,0.4,97243,0.6,194652,0.3,259800,2.00 28,1,2024-09-07 09:16:50:798,687027,687027,0,0,322969536739,3373783600,680201,5138,1688,383,391698,0 28,2,2024-09-07 09:16:51:774,496549,496549,0,0,21398746,0,2915 28,3,2024-09-07 09:16:51:784,1,391,8,0,502,4476,391,0 29,0,2024-09-07 09:16:51:355,99554,0.3,96953,0.6,190586,0.3,259001,1.75 29,1,2024-09-07 09:16:51:561,688932,688932,0,0,323671070283,3351473823,684134,4065,733,368,391753,0 29,2,2024-09-07 09:16:50:866,493341,493341,0,0,20229635,0,4986 29,3,2024-09-07 09:16:50:973,1,391,1,0,459,4793,391,0 30,0,2024-09-07 09:16:51:472,94872,0.5,92242,0.7,193124,0.4,252338,2.00 30,1,2024-09-07 09:16:50:575,688961,688961,0,0,323028637347,3355998195,683315,4880,766,381,391672,0 30,2,2024-09-07 09:16:51:273,493105,493105,0,0,18927911,0,3161 30,3,2024-09-07 09:16:50:593,1,391,1,0,519,3837,391,0 31,0,2024-09-07 09:16:51:759,99953,0.4,100285,0.6,200266,0.4,267927,2.00 31,1,2024-09-07 09:16:50:577,691060,691060,0,0,324652203634,3329514204,688152,2322,586,356,391712,0 31,2,2024-09-07 09:16:51:273,493201,493201,0,0,21172281,0,3525 31,3,2024-09-07 09:16:51:710,1,391,1,0,220,3367,391,0 32,0,2024-09-07 09:16:51:420,100022,0.3,100650,0.5,200465,0.3,266883,1.75 32,1,2024-09-07 09:16:50:814,687576,687576,0,0,323560371140,3367089762,682535,4361,680,381,391646,0 32,2,2024-09-07 09:16:50:944,498389,498389,0,0,18862370,0,3922 32,3,2024-09-07 09:16:51:017,1,391,8,0,227,2784,391,0 33,0,2024-09-07 09:16:51:493,97136,0.3,96689,0.4,194037,0.2,257988,1.50 33,1,2024-09-07 09:16:50:578,688879,688879,0,0,323639580120,3359407721,682573,5204,1102,369,391730,0 33,2,2024-09-07 09:16:50:774,496878,496843,35,0,21295278,0,7012 33,3,2024-09-07 09:16:50:900,1,391,118,0,329,4007,391,0 34,0,2024-09-07 09:16:50:932,96032,0.3,98759,0.4,189127,0.2,254106,1.75 34,1,2024-09-07 09:16:51:044,690047,690047,0,0,323683959902,3330245457,688317,1719,11,367,391562,0 34,2,2024-09-07 09:16:50:766,491844,491844,0,0,19762907,0,4562 34,3,2024-09-07 09:16:51:692,1,391,0,0,299,2973,391,0 35,0,2024-09-07 09:16:50:863,97232,0.4,97590,0.5,196382,0.3,261876,1.75 35,1,2024-09-07 09:16:51:080,688412,688412,0,0,322611727830,3345083288,684136,3420,856,382,391769,0 35,2,2024-09-07 09:16:51:582,492490,492490,0,0,20324228,0,2653 35,3,2024-09-07 09:16:50:911,1,391,0,0,418,4453,391,0 36,0,2024-09-07 09:16:51:516,102734,0.5,102609,0.7,205190,0.5,272701,2.25 36,1,2024-09-07 09:16:50:601,686913,686913,0,0,322605615610,3370740257,677789,7141,1983,366,391759,0 36,2,2024-09-07 09:16:51:764,498684,498684,0,0,22301636,0,3875 36,3,2024-09-07 09:16:50:873,1,391,7,0,416,5908,391,0 37,0,2024-09-07 09:16:51:376,95880,0.5,95967,0.6,191921,0.4,256073,2.00 37,1,2024-09-07 09:16:50:572,686840,686833,0,7,321347058403,3357957809,678684,5913,2236,365,391570,0 37,2,2024-09-07 09:16:51:144,494864,494849,15,0,22497412,0,5815 37,3,2024-09-07 09:16:51:770,1,391,1,0,888,6034,391,0 38,0,2024-09-07 09:16:51:436,95051,0.5,92341,0.6,193138,0.4,252742,2.00 38,1,2024-09-07 09:16:51:610,687956,687956,0,0,322867202962,3371926464,678863,7204,1889,368,391821,0 38,2,2024-09-07 09:16:50:767,490583,490536,47,0,21497221,0,6710 38,3,2024-09-07 09:16:50:997,1,391,11,0,689,5371,391,0 39,0,2024-09-07 09:16:51:759,99188,0.6,97141,0.7,189174,0.5,258776,2.00 39,1,2024-09-07 09:16:50:719,686540,686540,0,0,321928648520,3374071151,675675,8713,2152,365,391658,0 39,2,2024-09-07 09:16:51:419,493012,493012,0,0,20214732,0,2689 39,3,2024-09-07 09:16:50:724,1,391,1,0,324,4741,391,0 40,0,2024-09-07 09:16:51:512,101255,0.8,102042,0.9,203261,0.8,271428,2.75 40,1,2024-09-07 09:16:50:585,687446,687446,0,0,321430324599,3363772113,678079,7752,1615,368,391668,0 40,2,2024-09-07 09:16:51:303,496377,496376,1,0,23946160,0,5137 40,3,2024-09-07 09:16:51:148,1,391,12,0,1028,5448,391,0 41,0,2024-09-07 09:16:51:030,95936,1.5,98137,1.3,187444,2.5,255105,3.00 41,1,2024-09-07 09:16:50:771,685992,685992,0,0,321730094005,3363891934,677939,7285,768,369,391742,0 41,2,2024-09-07 09:16:50:758,494703,494703,0,0,22757197,0,4277 41,3,2024-09-07 09:16:51:679,1,391,0,0,366,4046,391,0 42,0,2024-09-07 09:16:51:481,95912,0.9,95927,1.0,191982,1.0,254007,2.75 42,1,2024-09-07 09:16:51:441,684908,684908,0,0,320871995919,3373042391,673828,9162,1918,380,391675,0 42,2,2024-09-07 09:16:51:137,491567,491567,0,0,23115557,0,3790 42,3,2024-09-07 09:16:51:016,1,391,2,0,446,3226,391,0 43,0,2024-09-07 09:16:50:918,94691,0.8,92290,1.0,193286,0.9,253821,2.25 43,1,2024-09-07 09:16:50:582,686788,686788,0,0,323134253490,3376916191,677177,7881,1730,366,391696,0 43,2,2024-09-07 09:16:51:739,492657,492657,0,0,22823307,0,3812 43,3,2024-09-07 09:16:51:754,1,391,23,0,467,4614,391,0 44,0,2024-09-07 09:16:50:876,101605,0.4,101909,0.6,203951,0.4,271286,2.00 44,1,2024-09-07 09:16:50:563,688420,688420,0,0,322807813989,3341637970,681948,5154,1318,356,391809,0 44,2,2024-09-07 09:16:51:267,493886,493886,0,0,19547981,0,4344 44,3,2024-09-07 09:16:51:093,1,391,1,0,817,4833,391,0 45,0,2024-09-07 09:16:51:757,96974,0.5,94738,0.8,198578,0.5,261424,2.00 45,1,2024-09-07 09:16:51:007,687642,687642,0,0,323199852664,3360875417,682072,4997,573,382,391917,0 45,2,2024-09-07 09:16:51:267,497314,497314,0,0,19934962,0,3596 45,3,2024-09-07 09:16:50:939,1,391,1,0,271,3583,391,0 46,0,2024-09-07 09:16:50:952,96331,0.5,96327,0.7,192889,0.5,255777,2.00 46,1,2024-09-07 09:16:50:579,689095,689095,0,0,324062834627,3349582637,684575,3930,590,366,391709,0 46,2,2024-09-07 09:16:50:593,495651,495651,0,0,19880522,0,4443 46,3,2024-09-07 09:16:51:137,1,391,1,0,908,5304,391,0 47,0,2024-09-07 09:16:51:104,95949,0.4,96209,0.6,192832,0.4,254420,2.00 47,1,2024-09-07 09:16:50:590,689638,689638,0,0,322424349554,3333867284,685078,3745,815,366,391641,0 47,2,2024-09-07 09:16:50:915,493704,493704,0,0,19297163,0,4477 47,3,2024-09-07 09:16:51:131,1,391,10,0,600,4803,391,0 48,0,2024-09-07 09:16:51:495,99206,0.3,99041,0.4,197428,0.2,263698,1.75 48,1,2024-09-07 09:16:51:022,688280,688280,0,0,322811516670,3353531347,684093,3733,454,384,391710,0 48,2,2024-09-07 09:16:50:704,492246,492246,0,0,18321636,0,3411 48,3,2024-09-07 09:16:50:754,1,391,8,0,339,3268,391,0 49,0,2024-09-07 09:16:51:711,103694,0.4,101875,0.5,197291,0.3,270372,1.75 49,1,2024-09-07 09:16:51:026,687714,687714,0,0,322450047027,3358104310,682649,3860,1205,382,391809,0 49,2,2024-09-07 09:16:51:806,498489,498489,0,0,20270592,0,4426 49,3,2024-09-07 09:16:51:419,1,391,1,0,992,4915,391,0 50,0,2024-09-07 09:16:51:508,97176,0.3,95653,0.4,193037,0.2,257356,1.75 50,1,2024-09-07 09:16:51:017,689695,689695,0,0,323999358786,3352275297,684891,4230,574,368,391565,0 50,2,2024-09-07 09:16:51:071,496274,496274,0,0,18280974,0,2263 50,3,2024-09-07 09:16:51:296,1,391,0,0,567,4121,391,0 51,0,2024-09-07 09:16:51:690,98223,0.3,96000,0.5,187314,0.2,255334,1.75 51,1,2024-09-07 09:16:51:685,689828,689828,0,0,324645405061,3348983452,686300,2550,978,365,391706,0 51,2,2024-09-07 09:16:51:316,491274,491274,0,0,17399300,0,3337 51,3,2024-09-07 09:16:51:027,1,391,1,0,678,3097,391,0 52,0,2024-09-07 09:16:51:419,97861,0.5,97709,0.7,195649,0.5,259959,2.00 52,1,2024-09-07 09:16:50:577,686697,686697,0,0,321881678721,3368449082,677235,8148,1314,368,391722,0 52,2,2024-09-07 09:16:51:775,489429,489391,38,0,22788574,0,6742 52,3,2024-09-07 09:16:50:682,1,391,17,0,1782,5674,391,0 53,0,2024-09-07 09:16:51:733,101378,0.6,98588,0.8,206360,0.7,271109,2.25 53,1,2024-09-07 09:16:50:771,685544,685544,0,0,322018542191,3381442358,673876,8710,2958,367,391702,0 53,2,2024-09-07 09:16:51:298,498258,498257,1,0,21135363,0,5455 53,3,2024-09-07 09:16:50:697,1,391,1,0,308,3686,391,0 54,0,2024-09-07 09:16:51:617,95377,0.6,95664,0.8,190602,0.5,254836,2.25 54,1,2024-09-07 09:16:50:580,687359,687359,0,0,323034357434,3354750763,681111,5616,632,366,391659,0 54,2,2024-09-07 09:16:50:871,496513,496481,32,0,24085112,0,6397 54,3,2024-09-07 09:16:50:773,1,391,44,0,676,6000,391,0 55,0,2024-09-07 09:16:51:760,92921,0.6,96089,0.7,194028,0.5,252790,2.50 55,1,2024-09-07 09:16:50:768,687949,687949,0,0,322963527395,3356192732,681114,5918,917,365,391731,0 55,2,2024-09-07 09:16:50:734,490638,490582,56,0,22335320,0,7239 55,3,2024-09-07 09:16:50:679,1,391,1,0,304,4151,391,0 56,0,2024-09-07 09:16:51:555,98356,1.0,92689,1.1,190942,1.3,255902,2.50 56,1,2024-09-07 09:16:50:570,683533,683533,0,0,321236940951,3392146076,672993,8309,2231,381,391867,0 56,2,2024-09-07 09:16:51:310,492831,492831,0,0,22190101,0,3567 56,3,2024-09-07 09:16:51:061,1,391,8,0,705,4921,391,0 57,0,2024-09-07 09:16:50:988,100787,1.4,100631,1.2,201741,2.0,269918,3.00 57,1,2024-09-07 09:16:50:998,686099,686099,0,0,321403237657,3368975766,678088,6997,1014,366,392032,0 57,2,2024-09-07 09:16:51:322,496157,496157,0,0,24467005,0,3317 57,3,2024-09-07 09:16:51:743,1,391,0,0,359,4267,391,0 58,0,2024-09-07 09:16:50:582,95849,0.9,93101,1.0,194858,1.0,255284,2.50 58,1,2024-09-07 09:16:50:574,686596,686593,0,3,322302198515,3370079986,677294,8041,1258,367,391603,3 58,2,2024-09-07 09:16:51:076,495548,495548,0,0,22871842,0,2902 58,3,2024-09-07 09:16:51:075,1,391,4,0,1043,4276,391,0 59,0,2024-09-07 09:16:51:761,96659,0.8,96360,0.9,192330,0.8,255442,2.50 59,1,2024-09-07 09:16:50:807,686131,686131,0,0,322362507100,3375756100,677042,7499,1590,369,391653,0 59,2,2024-09-07 09:16:50:586,494333,494333,0,0,22246610,0,2867 59,3,2024-09-07 09:16:51:740,1,391,1,0,1015,4996,391,0 60,0,2024-09-07 09:16:51:721,95193,0.5,95136,0.7,190902,0.5,253421,1.75 60,1,2024-09-07 09:16:50:783,688209,688209,0,0,323220880713,3355783347,683655,3905,649,370,392031,0 60,2,2024-09-07 09:16:51:142,492740,492740,0,0,21320258,0,3811 60,3,2024-09-07 09:16:51:266,1,391,18,0,409,4759,391,0 61,0,2024-09-07 09:16:51:495,99897,0.6,100494,0.8,200215,0.6,267437,2.00 61,1,2024-09-07 09:16:50:771,686647,686647,0,0,321777600755,3373351172,678763,6487,1397,382,392127,0 61,2,2024-09-07 09:16:51:124,493079,493012,67,0,21905601,0,6411 61,3,2024-09-07 09:16:51:698,1,391,9,0,479,5462,391,0 62,0,2024-09-07 09:16:51:710,100591,0.6,102937,0.7,196448,0.6,266664,2.00 62,1,2024-09-07 09:16:51:113,691075,691069,0,6,324358448826,3346966529,687265,3543,261,365,391715,6 62,2,2024-09-07 09:16:51:651,496077,496076,1,0,21954294,0,5555 62,3,2024-09-07 09:16:51:148,1,391,11,0,482,3307,391,0 63,0,2024-09-07 09:16:51:458,97292,0.4,97162,0.6,194732,0.3,258419,1.75 63,1,2024-09-07 09:16:50:813,688329,688323,0,6,322981654979,3356202872,683421,4170,732,381,391800,6 63,2,2024-09-07 09:16:50:767,496013,496013,0,0,20011742,0,4369 63,3,2024-09-07 09:16:51:739,1,391,1,0,667,4148,391,0 64,0,2024-09-07 09:16:51:534,95357,0.5,95326,0.7,190525,0.5,253194,2.00 64,1,2024-09-07 09:16:50:776,687595,687595,0,0,322397873994,3362184958,681067,4825,1703,370,391783,0 64,2,2024-09-07 09:16:51:156,494558,494539,19,0,19369324,0,6121 64,3,2024-09-07 09:16:51:148,1,391,11,0,265,3651,391,0 65,0,2024-09-07 09:16:51:706,96860,0.7,97299,0.8,194169,0.8,259535,2.25 65,1,2024-09-07 09:16:50:883,686254,686254,0,0,322267710173,3370147267,681211,4503,540,382,391901,0 65,2,2024-09-07 09:16:51:700,493097,493097,0,0,22611464,0,3367 65,3,2024-09-07 09:16:51:692,1,391,5,0,347,3965,391,0 66,0,2024-09-07 09:16:51:769,101982,0.6,102046,0.8,203782,0.6,271157,2.25 66,1,2024-09-07 09:16:51:297,687917,687917,0,0,322291041842,3358115211,682953,4548,416,380,391743,0 66,2,2024-09-07 09:16:51:137,499819,499819,0,0,19937742,0,4956 66,3,2024-09-07 09:16:51:079,1,391,1,0,291,3553,391,0 67,0,2024-09-07 09:16:51:415,96507,0.5,96167,0.7,192958,0.4,256855,2.00 67,1,2024-09-07 09:16:50:774,688068,688067,0,1,321846665942,3352599552,683398,3884,785,380,391787,1 67,2,2024-09-07 09:16:50:587,498317,498317,0,0,19169108,0,3622 67,3,2024-09-07 09:16:51:761,1,391,46,0,392,3886,391,0 68,0,2024-09-07 09:16:50:651,95955,0.6,95597,0.7,190732,0.5,254787,2.00 68,1,2024-09-07 09:16:50:585,685289,685289,0,0,321875143135,3380805561,677185,5790,2314,381,391953,0 68,2,2024-09-07 09:16:51:047,488915,488815,100,0,25185685,0,8578 68,3,2024-09-07 09:16:50:735,1,391,9,0,417,4229,391,0 69,0,2024-09-07 09:16:51:804,96684,0.7,97300,0.8,193582,0.8,257126,2.25 69,1,2024-09-07 09:16:51:034,684848,684848,0,0,321390630946,3389249969,675714,6944,2190,384,391994,0 69,2,2024-09-07 09:16:51:745,492022,491993,29,0,26551150,0,6912 69,3,2024-09-07 09:16:50:798,1,391,149,0,698,5485,391,0 70,0,2024-09-07 09:16:51:540,101163,0.8,101356,1.0,203774,0.7,269951,2.50 70,1,2024-09-07 09:16:50:807,688008,688008,0,0,322976507865,3354028175,682169,5178,661,366,391725,0 70,2,2024-09-07 09:16:51:324,496800,496800,0,0,22487768,0,4044 70,3,2024-09-07 09:16:50:753,1,391,1,0,854,4628,391,0 71,0,2024-09-07 09:16:51:409,95697,0.9,95428,1.0,191503,1.0,256403,2.75 71,1,2024-09-07 09:16:51:598,687281,687281,0,0,322927213839,3369341869,679511,7009,761,368,391738,0 71,2,2024-09-07 09:16:51:072,496042,496042,0,0,22673695,0,4352 71,3,2024-09-07 09:16:51:749,1,391,1,0,644,5160,391,0 72,0,2024-09-07 09:16:51:279,99799,0.5,97534,0.7,190198,0.5,258446,2.00 72,1,2024-09-07 09:16:51:020,686172,686172,0,0,321663176984,3373700439,676149,8056,1967,369,391819,0 72,2,2024-09-07 09:16:51:755,491956,491956,0,0,24409399,0,3983 72,3,2024-09-07 09:16:51:754,1,391,8,0,564,5896,391,0 73,0,2024-09-07 09:16:51:107,93513,0.4,95871,0.6,196051,0.4,255105,2.00 73,1,2024-09-07 09:16:50:771,687438,687438,0,0,322068388162,3345236879,682749,4325,364,367,391858,0 73,2,2024-09-07 09:16:51:740,492514,492514,0,0,23856157,0,3701 73,3,2024-09-07 09:16:50:971,1,391,62,0,486,5525,391,0 74,0,2024-09-07 09:16:51:342,102446,0.4,104568,0.6,199518,0.4,271378,2.00 74,1,2024-09-07 09:16:50:641,687170,687170,0,0,322193464621,3362957903,680186,5615,1369,381,391681,0 74,2,2024-09-07 09:16:51:010,493776,493776,0,0,22220382,0,4253 74,3,2024-09-07 09:16:51:442,1,391,1,0,522,5367,391,0 75,0,2024-09-07 09:16:51:775,98569,0.5,97949,0.8,196851,0.5,262503,2.25 75,1,2024-09-07 09:16:51:588,686929,686929,0,0,322122418579,3361819077,680224,5879,826,380,391739,0 75,2,2024-09-07 09:16:51:350,495558,495558,0,0,23945670,0,4766 75,3,2024-09-07 09:16:51:075,1,391,1,0,702,5190,391,0 76,0,2024-09-07 09:16:50:631,96423,0.6,95788,0.7,192444,0.5,256626,2.25 76,1,2024-09-07 09:16:50:826,687052,687052,0,0,322032799084,3362252318,681881,4385,786,382,391692,0 76,2,2024-09-07 09:16:51:060,497054,497053,1,0,21854479,0,5144 76,3,2024-09-07 09:16:51:147,1,391,8,0,175,3638,391,0 77,0,2024-09-07 09:16:51:734,95350,0.6,95680,0.8,191247,0.6,253371,2.00 77,1,2024-09-07 09:16:50:843,687344,687344,0,0,322572315034,3368625332,681754,5092,498,381,391869,0 77,2,2024-09-07 09:16:51:281,491910,491910,0,0,21185332,0,3890 77,3,2024-09-07 09:16:51:094,1,391,3,0,401,4208,391,0 78,0,2024-09-07 09:16:51:718,99052,0.5,98450,0.7,197795,0.4,262400,2.00 78,1,2024-09-07 09:16:50:613,687819,687819,0,0,322118804743,3357367287,680453,5800,1566,367,391670,0 78,2,2024-09-07 09:16:51:409,493193,493180,13,0,20018570,0,8313 78,3,2024-09-07 09:16:51:137,1,391,1,0,181,3589,391,0 79,0,2024-09-07 09:16:51:345,97384,0.4,99729,0.6,204296,0.4,265149,2.25 79,1,2024-09-07 09:16:50:571,689074,689074,0,0,323034857356,3349431434,683180,4745,1149,368,391682,0 79,2,2024-09-07 09:16:51:073,499046,499046,0,0,19756818,0,4195 79,3,2024-09-07 09:16:50:755,1,391,1,0,418,5054,391,0 80,0,2024-09-07 09:16:51:080,96594,0.5,99440,0.7,190314,0.5,256819,2.00 80,1,2024-09-07 09:16:51:624,686995,686995,0,0,322618658613,3360463140,682119,4552,324,368,391791,0 80,2,2024-09-07 09:16:51:101,498022,498022,0,0,19675937,0,4433 80,3,2024-09-07 09:16:50:575,1,391,8,0,190,4673,391,0 81,0,2024-09-07 09:16:51:535,95684,0.5,97994,0.7,186939,0.5,254054,2.00 81,1,2024-09-07 09:16:51:656,686571,686571,0,0,321366644612,3361141668,680822,5244,505,382,391879,0 81,2,2024-09-07 09:16:51:131,489782,489719,63,0,21501467,0,5932 81,3,2024-09-07 09:16:51:120,1,391,2,0,719,4908,391,0 82,0,2024-09-07 09:16:51:538,97094,0.6,97610,0.8,195534,0.5,259339,2.00 82,1,2024-09-07 09:16:50:590,688418,688414,0,4,322601152750,3358520455,683833,3764,817,381,391768,4 82,2,2024-09-07 09:16:51:692,493786,493786,0,0,18370405,0,3986 82,3,2024-09-07 09:16:51:752,1,391,1,0,363,3887,391,0 83,0,2024-09-07 09:16:51:525,102308,0.6,102253,0.8,203575,0.7,271213,2.25 83,1,2024-09-07 09:16:50:561,686119,686119,0,0,322199583645,3362253674,680776,4893,450,382,391709,0 83,2,2024-09-07 09:16:50:767,498523,498523,0,0,19270202,0,3393 83,3,2024-09-07 09:16:50:763,1,391,87,0,1260,5202,391,0 84,0,2024-09-07 09:16:51:774,96120,0.7,96140,0.8,191827,0.6,257166,2.25 84,1,2024-09-07 09:16:51:052,685925,685925,0,0,321610819201,3362882400,678456,6431,1038,367,391967,0 84,2,2024-09-07 09:16:50:576,495530,495500,30,0,26022931,0,5971 84,3,2024-09-07 09:16:51:145,1,391,15,0,908,5863,391,0 85,0,2024-09-07 09:16:51:115,92625,0.6,92677,0.8,196652,0.6,254070,2.25 85,1,2024-09-07 09:16:50:585,684764,684764,0,0,321471022455,3393197224,674645,8296,1823,381,392006,0 85,2,2024-09-07 09:16:50:872,490786,490786,0,0,23278937,0,3656 85,3,2024-09-07 09:16:50:694,1,391,14,0,789,5039,391,0 86,0,2024-09-07 09:16:50:888,96038,0.6,98772,0.8,189112,0.6,255671,2.25 86,1,2024-09-07 09:16:50:824,686534,686534,0,0,322572593266,3377662511,678513,6585,1436,366,391961,0 86,2,2024-09-07 09:16:50:854,490846,490845,1,0,25915205,0,5004 86,3,2024-09-07 09:16:50:588,1,391,10,0,308,5887,391,0 87,0,2024-09-07 09:16:51:358,101873,0.9,101622,0.9,203749,1.2,272342,2.50 87,1,2024-09-07 09:16:50:554,685217,685217,0,0,321917411589,3374332542,676211,7586,1420,366,392076,0 87,2,2024-09-07 09:16:51:073,494521,494515,6,0,23097899,0,6323 87,3,2024-09-07 09:16:51:805,1,391,1,0,473,6001,391,0 88,0,2024-09-07 09:16:51:453,97202,0.4,97998,0.6,194977,0.4,259486,1.75 88,1,2024-09-07 09:16:50:574,684568,684568,0,0,321908543265,3370968527,675929,6757,1882,365,392084,0 88,2,2024-09-07 09:16:50:702,496601,496601,0,0,24461998,0,3583 88,3,2024-09-07 09:16:51:268,1,391,8,0,435,4097,391,0 89,0,2024-09-07 09:16:51:786,99790,0.4,96693,0.6,191117,0.4,259172,1.75 89,1,2024-09-07 09:16:50:567,684902,684902,0,0,321069262439,3374481421,676660,6882,1360,382,391866,0 89,2,2024-09-07 09:16:51:150,492890,492890,0,0,23430486,0,3173 89,3,2024-09-07 09:16:51:807,1,391,28,0,385,6866,391,0 90,0,2024-09-07 09:16:51:650,92415,0.5,94903,0.6,193607,0.4,252483,2.00 90,1,2024-09-07 09:16:50:600,686353,686353,0,0,321529749511,3366996681,679927,5917,509,380,391825,0 90,2,2024-09-07 09:16:51:406,490600,490600,0,0,24560417,0,3060 90,3,2024-09-07 09:16:50:939,1,391,1,0,322,4953,391,0 91,0,2024-09-07 09:16:50:975,100560,0.4,97410,0.6,203723,0.4,267927,1.75 91,1,2024-09-07 09:16:50:576,685241,685241,0,0,321649191237,3381123117,676739,7311,1191,381,392047,0 91,2,2024-09-07 09:16:51:334,493931,493931,0,0,21869746,0,2896 91,3,2024-09-07 09:16:50:601,1,391,2,0,216,3683,391,0 92,0,2024-09-07 09:16:51:552,100805,0.5,103271,0.6,197420,0.5,266991,1.75 92,1,2024-09-07 09:16:50:588,686392,686392,0,0,322427172304,3369218916,681001,4670,721,382,392136,0 92,2,2024-09-07 09:16:51:360,498447,498447,0,0,20162324,0,3259 92,3,2024-09-07 09:16:51:020,1,391,10,0,167,3529,391,0 93,0,2024-09-07 09:16:50:963,97674,0.4,100099,0.5,191042,0.3,258488,1.75 93,1,2024-09-07 09:16:50:851,685674,685674,0,0,321870313608,3364325761,677895,6434,1345,366,391776,0 93,2,2024-09-07 09:16:50:930,495491,495491,0,0,24199319,0,4845 93,3,2024-09-07 09:16:51:495,1,391,1,0,190,3620,391,0 94,0,2024-09-07 09:16:51:630,95466,0.4,96213,0.5,192379,0.3,254902,1.75 94,1,2024-09-07 09:16:50:567,686910,686910,0,0,322288876389,3362414864,682522,4194,194,381,391850,0 94,2,2024-09-07 09:16:50:777,491094,491094,0,0,20107364,0,2443 94,3,2024-09-07 09:16:51:709,1,391,3,0,264,4727,391,0 95,0,2024-09-07 09:16:51:374,97725,0.4,97671,0.5,195943,0.3,261694,1.75 95,1,2024-09-07 09:16:50:883,688415,688415,0,0,322518966038,3354352842,682877,5127,411,367,391713,0 95,2,2024-09-07 09:16:51:017,492585,492585,0,0,19937451,0,3308 95,3,2024-09-07 09:16:51:715,1,391,1,0,718,5890,391,0 96,0,2024-09-07 09:16:51:123,102622,0.4,102901,0.5,205390,0.4,272335,1.75 96,1,2024-09-07 09:16:51:603,686258,686258,0,0,322512488447,3367870485,680772,4608,878,384,391955,0 96,2,2024-09-07 09:16:51:276,498876,498876,0,0,20873809,0,4180 96,3,2024-09-07 09:16:51:149,1,391,15,0,411,4476,391,0 97,0,2024-09-07 09:16:51:423,96446,0.3,96237,0.5,192975,0.3,256606,1.50 97,1,2024-09-07 09:16:50:770,687740,687740,0,0,323609664198,3359234101,682660,4151,929,367,392140,0 97,2,2024-09-07 09:16:50:613,497204,497204,0,0,20236640,0,3679 97,3,2024-09-07 09:16:50:577,1,391,14,0,242,4596,391,0 98,0,2024-09-07 09:16:51:745,95506,0.3,95441,0.4,191719,0.2,254668,1.50 98,1,2024-09-07 09:16:50:592,686690,686690,0,0,322507331560,3361533248,682190,3723,777,382,391997,0 98,2,2024-09-07 09:16:50:776,491311,491311,0,0,19788955,0,3243 98,3,2024-09-07 09:16:50:739,1,391,327,0,840,6076,391,0 99,0,2024-09-07 09:16:51:449,97200,0.3,97879,0.5,194487,0.3,259995,1.75 99,1,2024-09-07 09:16:51:727,687386,687386,0,0,322535149125,3359931432,682545,4008,833,381,392069,0 99,2,2024-09-07 09:16:51:424,493529,493529,0,0,23185364,0,3424 99,3,2024-09-07 09:16:50:584,1,391,1,0,606,4448,391,0 100,0,2024-09-07 09:16:51:581,101719,0.7,102066,0.9,203235,0.8,271686,2.25 100,1,2024-09-07 09:16:50:571,684135,684135,0,0,320707930188,3390106144,673793,8328,2014,381,391989,0 100,2,2024-09-07 09:16:51:827,495370,495359,11,0,23526029,0,5417 100,3,2024-09-07 09:16:51:753,1,391,12,0,559,6599,391,0 101,0,2024-09-07 09:16:51:795,98581,1.0,95953,1.0,188523,0.9,258325,2.25 101,1,2024-09-07 09:16:50:574,684252,684252,0,0,321699788447,3386530780,673912,8220,2120,368,391847,0 101,2,2024-09-07 09:16:51:755,493099,493099,0,0,28182086,0,4871 101,3,2024-09-07 09:16:50:952,1,391,11,0,579,5661,391,0 102,0,2024-09-07 09:16:50:956,94088,0.6,97145,0.8,196635,0.6,257221,2.00 102,1,2024-09-07 09:16:51:142,684776,684776,0,0,321513697984,3380071863,675501,7645,1630,369,391891,0 102,2,2024-09-07 09:16:51:743,492794,492740,54,0,22055020,0,6768 102,3,2024-09-07 09:16:51:619,1,391,1,0,410,3899,391,0 103,0,2024-09-07 09:16:51:612,99022,0.6,99042,0.7,186652,0.6,256771,2.00 103,1,2024-09-07 09:16:51:631,683635,683635,0,0,321411796855,3395826334,672134,8449,3052,381,391829,0 103,2,2024-09-07 09:16:50:591,491117,491117,0,0,24170470,0,3766 103,3,2024-09-07 09:16:50:764,1,391,6,0,916,4420,391,0 104,0,2024-09-07 09:16:51:013,100793,0.7,101275,0.9,201040,0.7,270678,2.25 104,1,2024-09-07 09:16:51:655,685870,685870,0,0,321300925666,3375467460,676129,7966,1775,365,392168,0 104,2,2024-09-07 09:16:51:736,493265,493265,0,0,23945550,0,3941 104,3,2024-09-07 09:16:51:419,1,391,22,0,1245,7974,391,0 105,0,2024-09-07 09:16:51:092,97076,0.9,94514,1.1,197984,1.0,260675,2.75 105,1,2024-09-07 09:16:50:562,686844,686844,0,0,322366276792,3378047394,678101,7395,1348,366,392009,0 105,2,2024-09-07 09:16:51:329,496037,496037,0,0,23291426,0,3509 105,3,2024-09-07 09:16:51:310,1,391,6,0,399,5920,391,0 106,0,2024-09-07 09:16:50:958,93481,0.7,95778,0.9,196226,0.8,256443,2.50 106,1,2024-09-07 09:16:51:750,685167,685167,0,0,321393430205,3378036874,674396,9328,1443,369,391914,0 106,2,2024-09-07 09:16:50:782,494250,494250,0,0,22759961,0,2795 106,3,2024-09-07 09:16:50:688,1,391,23,0,470,5071,391,0 107,0,2024-09-07 09:16:51:133,95463,1.0,95667,0.9,190972,1.3,254333,2.25 107,1,2024-09-07 09:16:50:594,684687,684687,0,0,321023698862,3381642588,675786,7929,972,381,392234,0 107,2,2024-09-07 09:16:51:303,490371,490370,1,0,23395220,0,5024 107,3,2024-09-07 09:16:51:756,1,391,1,0,353,5840,391,0 108,0,2024-09-07 09:16:51:767,98439,0.5,99184,0.6,197109,0.5,263540,1.75 108,1,2024-09-07 09:16:51:298,686704,686704,0,0,322234498982,3362111616,680791,5175,738,368,391857,0 108,2,2024-09-07 09:16:51:759,491560,491560,0,0,22708439,0,4246 108,3,2024-09-07 09:16:51:339,1,391,8,0,749,7535,391,0 109,0,2024-09-07 09:16:51:756,101678,0.4,100787,0.6,201567,0.4,269420,1.75 109,1,2024-09-07 09:16:50:588,684662,684662,0,0,322036099630,3379472155,678312,5276,1074,382,392132,0 109,2,2024-09-07 09:16:50:923,496172,496172,0,0,22422919,0,3617 109,3,2024-09-07 09:16:51:140,1,391,1,0,379,4574,391,0 110,0,2024-09-07 09:16:51:755,96489,0.4,93996,0.6,197071,0.3,257700,1.75 110,1,2024-09-07 09:16:51:643,687888,687888,0,0,322337052198,3347847729,682730,3982,1176,369,392045,0 110,2,2024-09-07 09:16:51:310,496739,496739,0,0,21452956,0,4067 110,3,2024-09-07 09:16:50:693,1,391,1,0,722,5737,391,0 111,0,2024-09-07 09:16:51:412,96089,0.4,95266,0.5,191169,0.3,255444,1.75 111,1,2024-09-07 09:16:51:007,688568,688568,0,0,323321572027,3354065888,684972,3242,354,380,391690,0 111,2,2024-09-07 09:16:51:123,490173,490173,0,0,20738333,0,4823 111,3,2024-09-07 09:16:50:916,1,391,0,0,379,4514,391,0 112,0,2024-09-07 09:16:50:918,98337,0.3,97822,0.4,195916,0.2,260420,1.50 112,1,2024-09-07 09:16:50:830,688254,688254,0,0,322384858838,3347303428,683852,3790,612,380,391624,0 112,2,2024-09-07 09:16:51:131,492817,492816,1,0,19711281,0,5036 112,3,2024-09-07 09:16:50:592,1,391,10,0,282,3944,391,0 113,0,2024-09-07 09:16:50:866,102262,0.3,102361,0.5,204811,0.3,273478,1.75 113,1,2024-09-07 09:16:51:693,690733,690733,0,0,324432252216,3349198255,686872,3273,588,366,391661,0 113,2,2024-09-07 09:16:51:303,499480,499480,0,0,18656326,0,3813 113,3,2024-09-07 09:16:50:684,1,391,5,0,340,4624,391,0 114,0,2024-09-07 09:16:50:885,97126,0.3,97797,0.5,194287,0.2,259879,1.75 114,1,2024-09-07 09:16:50:721,687711,687711,0,0,322148241798,3349664568,681594,4502,1615,381,391565,0 114,2,2024-09-07 09:16:50:872,497265,497264,1,0,19760553,0,5069 114,3,2024-09-07 09:16:51:278,1,391,1,0,395,3357,391,0 115,0,2024-09-07 09:16:50:591,96236,0.3,96734,0.4,193099,0.2,256577,1.50 115,1,2024-09-07 09:16:50:571,687771,687771,0,0,323176882920,3359821194,681931,4909,931,382,391757,0 115,2,2024-09-07 09:16:51:136,493409,493409,0,0,18593376,0,3848 115,3,2024-09-07 09:16:51:002,1,391,11,0,159,2298,391,0 116,0,2024-09-07 09:16:51:769,95857,0.7,95732,0.9,192022,0.7,256900,2.00 116,1,2024-09-07 09:16:50:820,684622,684622,0,0,321614018943,3389739643,676243,6059,2320,380,392089,0 116,2,2024-09-07 09:16:51:775,491978,491978,0,0,24451758,0,4128 116,3,2024-09-07 09:16:50:920,1,391,10,0,415,4729,391,0 117,0,2024-09-07 09:16:51:003,102211,0.7,101666,0.8,203883,0.8,272350,2.00 117,1,2024-09-07 09:16:51:610,685547,685547,0,0,321956729062,3371230253,677976,6664,907,369,392033,0 117,2,2024-09-07 09:16:51:124,498254,498254,0,0,20366287,0,3700 117,3,2024-09-07 09:16:51:069,1,391,8,0,490,6050,391,0 118,0,2024-09-07 09:16:51:767,94532,0.5,96891,0.7,198023,0.5,258524,2.00 118,1,2024-09-07 09:16:50:626,685242,685242,0,0,322143367283,3391912248,673829,8477,2936,366,391907,0 118,2,2024-09-07 09:16:51:589,495385,495385,0,0,23128180,0,2842 118,3,2024-09-07 09:16:51:768,1,391,6,0,248,4543,391,0 119,0,2024-09-07 09:16:51:452,96555,0.6,97076,0.7,194017,0.5,257545,2.00 119,1,2024-09-07 09:16:50:610,685419,685419,0,0,322284316941,3371796765,677926,6648,845,367,391780,0 119,2,2024-09-07 09:16:51:269,494382,494382,0,0,21429872,0,4174 119,3,2024-09-07 09:16:51:332,1,391,3,0,1058,6950,391,0 120,0,2024-09-07 09:16:51:583,94659,0.6,94582,0.8,189271,0.6,252941,2.25 120,1,2024-09-07 09:16:50:885,686173,686173,0,0,322190720755,3380588284,678928,6595,650,368,391961,0 120,2,2024-09-07 09:16:50:781,491893,491892,1,0,24305945,0,5281 120,3,2024-09-07 09:16:51:304,1,391,28,0,279,4987,391,0 121,0,2024-09-07 09:16:51:730,100047,1.1,100004,1.0,200459,1.4,267301,2.25 121,1,2024-09-07 09:16:51:685,686724,686724,0,0,322312338120,3370040417,680414,5864,446,367,391840,0 121,2,2024-09-07 09:16:51:157,492365,492365,0,0,23420381,0,4127 121,3,2024-09-07 09:16:50:753,1,391,1,0,269,4508,391,0 122,0,2024-09-07 09:16:51:760,99472,0.8,97130,0.9,203781,0.9,266762,2.00 122,1,2024-09-07 09:16:50:866,685328,685328,0,0,321632143248,3372851766,676250,7791,1287,366,392130,0 122,2,2024-09-07 09:16:51:323,497388,497315,73,0,26332814,0,5989 122,3,2024-09-07 09:16:50:605,1,391,39,0,512,7566,391,0 123,0,2024-09-07 09:16:50:962,96555,0.6,94371,0.7,197223,0.6,257915,2.00 123,1,2024-09-07 09:16:50:581,685363,685363,0,0,321312610957,3384470748,673131,10028,2204,369,392039,0 123,2,2024-09-07 09:16:51:017,494374,494373,1,0,22595296,0,5215 123,3,2024-09-07 09:16:51:159,1,391,1,0,168,4334,391,0 124,0,2024-09-07 09:16:50:953,98787,0.3,98671,0.5,186148,0.3,255681,1.75 124,1,2024-09-07 09:16:51:042,687745,687745,0,0,322304482802,3353307730,682550,4147,1048,367,392178,0 124,2,2024-09-07 09:16:51:018,492137,492084,53,0,20612053,0,6487 124,3,2024-09-07 09:16:50:780,1,391,8,0,490,3901,391,0 125,0,2024-09-07 09:16:51:502,98081,0.4,97723,0.6,196327,0.4,262030,1.75 125,1,2024-09-07 09:16:50:861,686564,686564,0,0,322300019364,3366624012,680975,4923,666,382,391702,0 125,2,2024-09-07 09:16:51:123,493468,493468,0,0,21225793,0,4534 125,3,2024-09-07 09:16:51:133,1,391,1,0,709,5095,391,0 126,0,2024-09-07 09:16:51:424,102470,0.5,105513,0.6,201849,0.4,272952,1.75 126,1,2024-09-07 09:16:50:590,688251,688251,0,0,322586272834,3343388255,684308,3654,289,365,391987,0 126,2,2024-09-07 09:16:50:616,499044,499044,0,0,22019663,0,4539 126,3,2024-09-07 09:16:50:917,1,391,1,0,207,4865,391,0 127,0,2024-09-07 09:16:51:606,96346,0.3,96858,0.5,193051,0.3,256688,1.75 127,1,2024-09-07 09:16:50:579,687530,687530,0,0,322883405063,3360319496,680644,5779,1107,364,392187,0 127,2,2024-09-07 09:16:50:646,495928,495928,0,0,19983587,0,3897 127,3,2024-09-07 09:16:51:267,1,391,2,0,968,4461,391,0 128,0,2024-09-07 09:16:51:536,95962,0.3,96254,0.4,192000,0.2,254985,1.50 128,1,2024-09-07 09:16:51:625,687207,687207,0,0,322413442945,3349914680,682335,4440,432,367,391838,0 128,2,2024-09-07 09:16:51:426,491792,491792,0,0,18844966,0,2915 128,3,2024-09-07 09:16:50:773,1,391,20,0,1082,6352,391,0 129,0,2024-09-07 09:16:51:000,97894,0.3,97355,0.5,195207,0.3,260068,1.75 129,1,2024-09-07 09:16:50:569,684556,684556,0,0,321916728507,3376712668,677205,5685,1666,379,391835,0 129,2,2024-09-07 09:16:50:692,493361,493361,0,0,20465819,0,4031 129,3,2024-09-07 09:16:50:690,1,391,5,0,506,5279,391,0 130,0,2024-09-07 09:16:51:730,102672,0.5,102182,0.6,205769,0.5,273133,1.75 130,1,2024-09-07 09:16:50:600,687956,687956,0,0,322154869658,3350727039,684029,3590,337,381,391825,0 130,2,2024-09-07 09:16:51:131,498456,498456,0,0,20868231,0,4067 130,3,2024-09-07 09:16:51:298,1,391,8,0,535,5642,391,0 131,0,2024-09-07 09:16:51:951,96467,0.3,96916,0.5,195038,0.3,258510,1.75 131,1,2024-09-07 09:16:51:833,687217,687217,0,0,322256658865,3369423449,681291,4878,1048,381,391865,0 131,2,2024-09-07 09:16:50:568,498569,498569,0,0,18749303,0,2509 131,3,2024-09-07 09:16:51:711,1,391,0,0,392,4030,391,0 132,0,2024-09-07 09:16:51:486,96679,0.4,97589,0.6,194047,0.4,258017,1.75 132,1,2024-09-07 09:16:50:576,684308,684308,0,0,321315861181,3384916367,674065,8375,1868,381,392097,0 132,2,2024-09-07 09:16:50:698,492376,492359,17,0,24905326,0,6451 132,3,2024-09-07 09:16:51:706,1,391,8,0,804,7208,391,0 133,0,2024-09-07 09:16:51:517,93470,0.4,95620,0.6,196300,0.4,255563,1.75 133,1,2024-09-07 09:16:50:585,684251,684251,0,0,321098523246,3386120440,674345,8395,1511,383,391914,0 133,2,2024-09-07 09:16:51:094,491386,491336,50,0,26408365,0,6861 133,3,2024-09-07 09:16:51:301,1,391,11,0,479,3983,391,0 134,0,2024-09-07 09:16:51:007,102015,0.5,101582,0.7,203463,0.5,271959,2.00 134,1,2024-09-07 09:16:50:594,685271,685271,0,0,320534816473,3365082667,675887,7377,2007,366,391718,0 134,2,2024-09-07 09:16:51:762,493189,493165,24,0,23338556,0,6207 134,3,2024-09-07 09:16:50:763,1,391,13,0,739,4879,391,0 135,0,2024-09-07 09:16:51:122,95300,0.8,95282,0.9,201987,1.0,260189,2.25 135,1,2024-09-07 09:16:51:588,685461,685461,0,0,321986253243,3388127036,676179,7980,1302,380,391805,0 135,2,2024-09-07 09:16:50:692,497287,497287,0,0,22914005,0,3981 135,3,2024-09-07 09:16:51:016,1,391,14,0,299,3330,391,0 136,0,2024-09-07 09:16:51:662,97310,0.5,97430,0.7,193906,0.5,258444,2.00 136,1,2024-09-07 09:16:51:454,685650,685650,0,0,322011702069,3377223128,677962,6848,840,382,391685,0 136,2,2024-09-07 09:16:51:133,496597,496597,0,0,22375769,0,3506 136,3,2024-09-07 09:16:51:110,1,391,7,0,637,4329,391,0 137,0,2024-09-07 09:16:50:931,98586,0.6,95925,0.7,188503,0.6,255548,2.00 137,1,2024-09-07 09:16:50:581,684776,684776,0,0,321396840390,3375079032,673680,8749,2347,366,391898,0 137,2,2024-09-07 09:16:51:708,490629,490629,0,0,24798842,0,3185 137,3,2024-09-07 09:16:50:774,1,391,3,0,484,5106,391,0 138,0,2024-09-07 09:16:51:750,98268,1.1,98287,1.0,197402,1.5,262432,2.25 138,1,2024-09-07 09:16:51:693,685978,685978,0,0,321806385459,3377512668,676171,8088,1719,368,391954,0 138,2,2024-09-07 09:16:50:592,492339,492339,0,0,22399066,0,4988 138,3,2024-09-07 09:16:50:616,1,391,4,0,1160,5243,391,0 139,0,2024-09-07 09:16:51:392,100187,1.7,100135,1.2,200770,2.4,267815,2.50 139,1,2024-09-07 09:16:50:590,682810,682810,0,0,320125500692,3403871168,669120,10421,3269,381,392058,0 139,2,2024-09-07 09:16:50:692,494360,494360,0,0,25505982,0,3097 139,3,2024-09-07 09:16:51:669,1,391,5,0,432,4677,391,0 140,0,2024-09-07 09:16:51:587,97148,0.3,96512,0.5,193705,0.2,257977,1.75 140,1,2024-09-07 09:16:51:536,689350,689350,0,0,324242666698,3344983147,685797,3112,441,365,391606,0 140,2,2024-09-07 09:16:50:687,497044,497043,1,0,20319850,0,5036 140,3,2024-09-07 09:16:50:774,1,391,7,0,297,3396,391,0 141,0,2024-09-07 09:16:51:699,95916,0.3,98470,0.5,188065,0.3,255370,1.75 141,1,2024-09-07 09:16:50:863,688024,688024,0,0,323340240026,3358898218,682816,4254,954,379,391614,0 141,2,2024-09-07 09:16:51:693,491239,491239,0,0,19587762,0,3360 141,3,2024-09-07 09:16:51:049,1,391,20,0,391,4528,391,0 142,0,2024-09-07 09:16:51:372,98346,0.3,97719,0.5,195398,0.3,261018,1.75 142,1,2024-09-07 09:16:50:591,686955,686955,0,0,322949346920,3367333055,682497,4065,393,382,392102,0 142,2,2024-09-07 09:16:51:311,492175,492143,32,0,21457385,0,6028 142,3,2024-09-07 09:16:51:758,1,391,4,0,484,4636,391,0 143,0,2024-09-07 09:16:51:402,102458,0.5,102395,0.6,205222,0.4,273429,1.75 143,1,2024-09-07 09:16:50:559,688265,688265,0,0,323064693892,3356218968,683255,4466,544,367,391705,0 143,2,2024-09-07 09:16:50:771,498671,498671,0,0,20840135,0,3123 143,3,2024-09-07 09:16:51:149,1,391,3,0,462,5114,391,0 144,0,2024-09-07 09:16:51:495,93742,0.6,96341,0.8,196426,0.5,257700,2.00 144,1,2024-09-07 09:16:50:568,684700,684700,0,0,321232402865,3372491012,677817,5530,1353,381,391649,0 144,2,2024-09-07 09:16:51:761,497326,497326,0,0,19867102,0,3673 144,3,2024-09-07 09:16:51:748,1,391,8,0,249,3935,391,0 145,0,2024-09-07 09:16:51:362,93011,0.5,93016,0.8,197289,0.5,253676,2.25 145,1,2024-09-07 09:16:50:572,684995,684995,0,0,321430405657,3377924660,676830,7018,1147,382,391759,0 145,2,2024-09-07 09:16:51:430,489805,489724,81,0,23608502,0,7814 145,3,2024-09-07 09:16:50:896,1,391,1,0,622,5753,391,0 146,0,2024-09-07 09:16:51:603,96183,0.5,95644,0.7,192664,0.5,255451,2.25 146,1,2024-09-07 09:16:51:589,686214,686214,0,0,321440622058,3378010001,675463,8634,2117,367,391770,0 146,2,2024-09-07 09:16:51:957,491600,491600,0,0,22311944,0,2730 146,3,2024-09-07 09:16:51:274,1,391,0,0,1520,7797,391,0 147,0,2024-09-07 09:16:51:709,102111,0.7,101917,0.8,203119,0.6,272109,2.25 147,1,2024-09-07 09:16:51:375,688173,688173,0,0,323326897414,3364520184,681845,5484,844,368,391791,0 147,2,2024-09-07 09:16:51:020,495418,495418,0,0,20886490,0,2968 147,3,2024-09-07 09:16:50:912,1,391,6,0,1626,7135,391,0 0,0,2024-09-07 09:17:01:703,92604,0.6,92667,0.8,196557,0.7,253804,2.00 0,1,2024-09-07 09:17:00:801,687842,687842,0,0,323425585490,3385545215,682564,4892,386,369,391896,0 0,2,2024-09-07 09:17:01:080,495079,495079,0,0,20094610,0,4480 0,3,2024-09-07 09:17:00:974,1,392,17,0,431,5964,392,0 1,0,2024-09-07 09:17:01:758,100611,1.0,100024,1.0,200791,1.2,269005,2.25 1,1,2024-09-07 09:17:00:560,687332,687332,0,0,322779345871,3380190220,681136,4878,1318,370,391857,0 1,2,2024-09-07 09:17:00:639,494006,494006,0,0,20175634,0,3380 1,3,2024-09-07 09:17:01:307,1,392,73,0,262,4797,392,0 2,0,2024-09-07 09:17:01:568,99688,0.7,100010,0.8,199210,0.8,265517,2.00 2,1,2024-09-07 09:17:00:860,689779,689779,0,0,324137256622,3366479095,686516,2969,294,380,391745,0 2,2,2024-09-07 09:17:01:266,498918,498918,0,0,19700146,0,3594 2,3,2024-09-07 09:17:00:690,1,392,1,0,357,3856,392,0 3,0,2024-09-07 09:17:01:783,97081,0.4,97012,0.6,193798,0.4,257813,2.00 3,1,2024-09-07 09:17:01:617,687932,687932,0,0,323277387038,3369189591,681820,5422,690,380,391716,0 3,2,2024-09-07 09:17:01:144,496835,496812,23,0,20521481,0,5851 3,3,2024-09-07 09:17:01:753,1,392,21,0,207,2539,392,0 4,0,2024-09-07 09:17:01:762,93180,0.4,95775,0.5,195198,0.3,255316,1.75 4,1,2024-09-07 09:17:00:598,685675,685675,0,0,321887189269,3404093560,674311,8861,2503,370,391992,0 4,2,2024-09-07 09:17:01:018,491115,491115,0,0,22731602,0,4528 4,3,2024-09-07 09:17:01:027,1,392,12,0,448,5278,392,0 5,0,2024-09-07 09:17:01:371,98132,0.4,98687,0.6,197016,0.4,262376,1.75 5,1,2024-09-07 09:17:00:775,686766,686766,0,0,322213002853,3396037826,676469,8146,2151,367,392005,0 5,2,2024-09-07 09:17:01:829,492275,492275,0,0,22513744,0,3582 5,3,2024-09-07 09:17:01:732,1,392,1,0,457,5606,392,0 6,0,2024-09-07 09:17:00:918,102786,0.5,102450,0.7,204481,0.5,272741,2.00 6,1,2024-09-07 09:17:00:755,687145,687145,0,0,321990657016,3367916787,679261,6497,1387,379,391694,0 6,2,2024-09-07 09:17:01:128,498638,498620,18,0,23088927,0,5535 6,3,2024-09-07 09:17:01:281,1,392,5,0,340,4314,392,0 7,0,2024-09-07 09:17:01:536,96034,0.5,96620,0.6,192601,0.4,256461,2.00 7,1,2024-09-07 09:17:00:851,686875,686875,0,0,322540954770,3386278355,677571,7908,1396,382,391747,0 7,2,2024-09-07 09:17:00:769,498278,498278,0,0,22673705,0,4791 7,3,2024-09-07 09:17:00:851,1,392,7,0,398,4158,392,0 8,0,2024-09-07 09:17:01:358,96020,0.4,95743,0.5,191938,0.3,255495,1.75 8,1,2024-09-07 09:17:01:229,686045,686045,0,0,322706622162,3393786649,675089,8361,2595,366,392144,0 8,2,2024-09-07 09:17:00:801,488140,488140,0,0,25434051,0,3220 8,3,2024-09-07 09:17:00:585,1,392,9,0,538,6556,392,0 9,0,2024-09-07 09:17:01:176,97868,0.4,95007,0.5,198804,0.3,260836,1.75 9,1,2024-09-07 09:17:00:550,686420,686420,0,0,322183359152,3392322426,676743,7539,2138,369,392001,0 9,2,2024-09-07 09:17:01:084,493192,493192,0,0,22707809,0,3360 9,3,2024-09-07 09:17:01:754,1,392,1,0,496,5417,392,0 10,0,2024-09-07 09:17:01:600,102481,0.3,101847,0.5,204594,0.2,272519,1.75 10,1,2024-09-07 09:17:00:584,687261,687261,0,0,323134850015,3383768763,678314,7662,1285,381,391741,0 10,2,2024-09-07 09:17:00:764,498664,498664,0,0,25144319,0,4264 10,3,2024-09-07 09:17:00:871,1,392,1,0,649,4383,392,0 11,0,2024-09-07 09:17:01:019,96777,0.4,93838,0.6,196279,0.4,259224,1.75 11,1,2024-09-07 09:17:00:574,687881,687881,0,0,322857071164,3387853243,677297,8102,2482,383,391756,0 11,2,2024-09-07 09:17:01:135,497101,497101,0,0,22793041,0,4130 11,3,2024-09-07 09:17:01:298,1,392,1,0,843,5991,392,0 12,0,2024-09-07 09:17:00:958,97573,0.4,97595,0.5,194991,0.3,258703,1.75 12,1,2024-09-07 09:17:00:933,688454,688454,0,0,323056127146,3369100360,683074,4884,496,370,391870,0 12,2,2024-09-07 09:17:01:544,493685,493685,0,0,21816560,0,3469 12,3,2024-09-07 09:17:01:061,1,392,15,0,386,6098,392,0 13,0,2024-09-07 09:17:01:337,96926,0.4,96638,0.6,192877,0.4,257299,1.75 13,1,2024-09-07 09:17:01:524,686809,686809,0,0,322436059026,3390452206,679773,5463,1573,382,391740,0 13,2,2024-09-07 09:17:00:595,495590,495590,0,0,20101604,0,3287 13,3,2024-09-07 09:17:01:777,1,392,1,0,522,6085,392,0 14,0,2024-09-07 09:17:00:573,102166,0.4,103038,0.6,203988,0.4,272670,1.75 14,1,2024-09-07 09:17:01:577,691268,691268,0,0,324281294829,3362797082,685073,5487,708,364,391673,0 14,2,2024-09-07 09:17:00:764,496264,496234,30,0,22412672,0,6104 14,3,2024-09-07 09:17:01:123,1,392,6,0,1168,4396,392,0 15,0,2024-09-07 09:17:01:556,98034,0.4,97995,0.7,196407,0.4,261209,2.00 15,1,2024-09-07 09:17:01:608,688357,688357,0,0,323352469939,3369006229,682807,4572,978,381,391619,0 15,2,2024-09-07 09:17:01:003,499330,499330,0,0,18461107,0,3622 15,3,2024-09-07 09:17:01:406,1,392,0,0,1126,6845,392,0 16,0,2024-09-07 09:17:00:957,97075,0.5,97443,0.7,194483,0.5,258502,2.00 16,1,2024-09-07 09:17:00:564,688435,688435,0,0,323162505510,3378819700,682540,5052,843,370,391756,0 16,2,2024-09-07 09:17:01:441,495624,495624,0,0,21559214,0,4719 16,3,2024-09-07 09:17:01:144,1,392,8,0,317,5195,392,0 17,0,2024-09-07 09:17:01:849,98529,0.6,96114,0.8,188173,0.7,255106,2.00 17,1,2024-09-07 09:17:00:568,687088,687088,0,0,322298369118,3384593422,679575,6004,1509,368,392075,0 17,2,2024-09-07 09:17:01:666,495597,495597,0,0,20863903,0,2948 17,3,2024-09-07 09:17:00:574,1,392,518,0,518,6676,392,0 18,0,2024-09-07 09:17:00:963,98227,0.6,98493,0.8,196347,0.7,262495,2.25 18,1,2024-09-07 09:17:01:642,689765,689765,0,0,323467566462,3354044186,685897,3491,377,367,391649,0 18,2,2024-09-07 09:17:01:756,496369,496369,0,0,19165646,0,3541 18,3,2024-09-07 09:17:00:896,1,392,1,0,163,3146,392,0 19,0,2024-09-07 09:17:01:561,100474,0.7,101035,0.8,200259,0.7,266362,2.25 19,1,2024-09-07 09:17:00:566,690020,690020,0,0,324034552757,3364855220,684088,5011,921,367,391777,0 19,2,2024-09-07 09:17:01:754,500845,500845,0,0,18246668,0,3988 19,3,2024-09-07 09:17:01:129,1,392,1,0,524,3023,392,0 20,0,2024-09-07 09:17:01:370,96869,0.5,96832,0.6,193498,0.4,258233,2.00 20,1,2024-09-07 09:17:00:576,687498,687498,0,0,323255898644,3380724568,681521,5214,763,369,391886,0 20,2,2024-09-07 09:17:00:933,497361,497361,0,0,21826939,0,3721 20,3,2024-09-07 09:17:00:594,1,392,8,0,414,5905,392,0 21,0,2024-09-07 09:17:01:144,95948,0.5,95983,0.6,191923,0.5,254918,2.00 21,1,2024-09-07 09:17:01:540,685740,685740,0,0,322141138672,3396461296,675816,7801,2123,368,392016,0 21,2,2024-09-07 09:17:01:083,489557,489537,20,0,25909729,0,5617 21,3,2024-09-07 09:17:01:406,1,392,6,0,713,5208,392,0 22,0,2024-09-07 09:17:01:723,97589,0.5,97977,0.7,196128,0.5,259675,2.00 22,1,2024-09-07 09:17:01:026,687078,687078,0,0,322770865145,3392533751,676812,8146,2120,382,391667,0 22,2,2024-09-07 09:17:00:766,492465,492439,26,0,20945300,0,6328 22,3,2024-09-07 09:17:01:066,1,392,5,0,228,3339,392,0 23,0,2024-09-07 09:17:01:368,102342,0.5,101915,0.7,203821,0.5,272197,2.25 23,1,2024-09-07 09:17:01:004,688070,688070,0,0,322586364813,3388805295,675956,8437,3677,365,391690,0 23,2,2024-09-07 09:17:01:093,500665,500665,0,0,20614791,0,3010 23,3,2024-09-07 09:17:01:754,1,392,3,0,720,5359,392,0 24,0,2024-09-07 09:17:00:850,97640,0.4,97416,0.5,195355,0.4,259887,1.75 24,1,2024-09-07 09:17:00:586,687251,687251,0,0,321692381738,3372718918,679734,5934,1583,367,392269,0 24,2,2024-09-07 09:17:01:080,496843,496843,0,0,24493967,0,3607 24,3,2024-09-07 09:17:01:690,1,392,25,0,468,5532,392,0 25,0,2024-09-07 09:17:01:361,98837,0.4,96337,0.6,188955,0.3,257366,1.75 25,1,2024-09-07 09:17:00:563,686869,686869,0,0,322699577622,3395160684,676507,8456,1906,371,391928,0 25,2,2024-09-07 09:17:01:608,491193,491193,0,0,24451669,0,3978 25,3,2024-09-07 09:17:01:004,1,392,5,0,532,4434,392,0 26,0,2024-09-07 09:17:01:720,96332,0.4,93988,0.6,197070,0.3,256715,1.75 26,1,2024-09-07 09:17:01:544,688440,688440,0,0,322545440176,3376883594,678813,8080,1547,380,391748,0 26,2,2024-09-07 09:17:00:864,494719,494719,0,0,24535720,0,2809 26,3,2024-09-07 09:17:01:712,1,392,281,0,796,5058,392,0 27,0,2024-09-07 09:17:01:728,102591,0.4,102781,0.6,204140,0.4,272985,2.00 27,1,2024-09-07 09:17:01:679,690385,690385,0,0,323937020420,3372826689,684002,5546,837,381,391626,0 27,2,2024-09-07 09:17:00:867,494980,494915,65,0,23347829,0,5699 27,3,2024-09-07 09:17:01:015,1,392,1,0,564,3981,392,0 28,0,2024-09-07 09:17:01:397,97825,0.4,97393,0.6,194986,0.3,260283,2.00 28,1,2024-09-07 09:17:00:804,688771,688771,0,0,323775176455,3382571581,681893,5190,1688,383,391698,0 28,2,2024-09-07 09:17:01:770,497965,497965,0,0,21440938,0,2915 28,3,2024-09-07 09:17:01:776,1,392,9,0,502,4485,392,0 29,0,2024-09-07 09:17:01:367,99657,0.3,97037,0.6,190774,0.3,259272,1.75 29,1,2024-09-07 09:17:01:563,690731,690731,0,0,324544833651,3360631886,685933,4065,733,368,391753,0 29,2,2024-09-07 09:17:00:861,494083,494083,0,0,20260780,0,4986 29,3,2024-09-07 09:17:00:963,1,392,4,0,459,4797,392,0 30,0,2024-09-07 09:17:01:462,95018,0.5,92359,0.7,193374,0.4,252768,2.00 30,1,2024-09-07 09:17:00:572,690690,690690,0,0,323775300574,3363962091,685035,4889,766,381,391672,0 30,2,2024-09-07 09:17:01:274,494576,494576,0,0,18973199,0,3161 30,3,2024-09-07 09:17:00:584,1,392,1,0,519,3838,392,0 31,0,2024-09-07 09:17:01:761,100415,0.4,100781,0.6,201258,0.4,269213,2.00 31,1,2024-09-07 09:17:00:564,692844,692844,0,0,325672245803,3340102442,689934,2324,586,356,391712,0 31,2,2024-09-07 09:17:01:275,494667,494667,0,0,21269715,0,3525 31,3,2024-09-07 09:17:01:706,1,392,83,0,220,3450,392,0 32,0,2024-09-07 09:17:01:433,100130,0.3,100755,0.5,200720,0.3,267180,1.75 32,1,2024-09-07 09:17:00:891,689561,689561,0,0,324513093981,3377350674,684517,4364,680,381,391646,0 32,2,2024-09-07 09:17:00:935,499436,499436,0,0,18945840,0,3922 32,3,2024-09-07 09:17:01:015,1,392,9,0,227,2793,392,0 33,0,2024-09-07 09:17:01:496,97237,0.3,96776,0.4,194226,0.2,258251,1.50 33,1,2024-09-07 09:17:00:578,690525,690525,0,0,324514507758,3369075325,684111,5311,1103,369,391730,0 33,2,2024-09-07 09:17:00:759,497867,497832,35,0,21365014,0,7012 33,3,2024-09-07 09:17:00:894,1,392,1,0,329,4008,392,0 34,0,2024-09-07 09:17:00:935,96221,0.3,98948,0.4,189499,0.2,254620,1.75 34,1,2024-09-07 09:17:01:046,691686,691686,0,0,324475223665,3338577439,689954,1721,11,367,391562,0 34,2,2024-09-07 09:17:00:765,493253,493253,0,0,19860242,0,4562 34,3,2024-09-07 09:17:01:688,1,392,119,0,299,3092,392,0 35,0,2024-09-07 09:17:00:861,97687,0.4,97987,0.5,197250,0.3,263097,1.75 35,1,2024-09-07 09:17:01:067,690139,690139,0,0,323257232716,3351766680,685863,3420,856,382,391769,0 35,2,2024-09-07 09:17:01:587,493753,493753,0,0,20426261,0,2653 35,3,2024-09-07 09:17:00:908,1,392,1,0,418,4454,392,0 36,0,2024-09-07 09:17:01:533,102861,0.5,102746,0.7,205418,0.5,272996,2.25 36,1,2024-09-07 09:17:00:592,688360,688360,0,0,323336122506,3378643672,679212,7165,1983,366,391759,0 36,2,2024-09-07 09:17:01:765,499689,499689,0,0,22397629,0,3875 36,3,2024-09-07 09:17:00:865,1,392,11,0,416,5919,392,0 37,0,2024-09-07 09:17:01:374,96151,0.5,96241,0.6,192519,0.4,256712,2.00 37,1,2024-09-07 09:17:00:569,688642,688635,0,7,322242989246,3367327815,680486,5913,2236,365,391570,0 37,2,2024-09-07 09:17:01:144,496080,496065,15,0,22629986,0,5815 37,3,2024-09-07 09:17:01:774,1,392,106,0,888,6140,392,0 38,0,2024-09-07 09:17:01:463,95277,0.5,92531,0.6,193600,0.4,253334,2.00 38,1,2024-09-07 09:17:01:605,689726,689726,0,0,323950547736,3383955502,680514,7323,1889,368,391821,0 38,2,2024-09-07 09:17:00:764,491813,491766,47,0,21589058,0,6710 38,3,2024-09-07 09:17:01:003,1,392,1,0,689,5372,392,0 39,0,2024-09-07 09:17:01:767,99654,0.6,97620,0.7,190066,0.6,259665,2.00 39,1,2024-09-07 09:17:00:716,688008,688008,0,0,322565062549,3382776158,676659,8994,2355,365,391658,0 39,2,2024-09-07 09:17:01:419,494124,494124,0,0,20320298,0,2689 39,3,2024-09-07 09:17:00:713,1,392,1,0,324,4742,392,0 40,0,2024-09-07 09:17:01:503,101570,0.7,102306,0.9,203817,0.8,272057,2.75 40,1,2024-09-07 09:17:00:577,689158,689158,0,0,322410754387,3374449341,679727,7816,1615,368,391668,0 40,2,2024-09-07 09:17:01:305,497881,497880,1,0,24011211,0,5137 40,3,2024-09-07 09:17:01:143,1,392,13,0,1028,5461,392,0 41,0,2024-09-07 09:17:01:057,96290,1.5,98497,1.3,188116,2.4,256060,3.00 41,1,2024-09-07 09:17:00:769,687633,687633,0,0,322540211986,3372269272,679580,7285,768,369,391742,0 41,2,2024-09-07 09:17:00:759,496126,496126,0,0,22819666,0,4277 41,3,2024-09-07 09:17:01:677,1,392,7,0,366,4053,392,0 42,0,2024-09-07 09:17:01:481,96096,0.9,96125,1.0,192334,1.0,254481,2.75 42,1,2024-09-07 09:17:01:441,686564,686564,0,0,321570051917,3380561298,675475,9171,1918,380,391675,0 42,2,2024-09-07 09:17:01:134,492314,492314,0,0,23162073,0,3790 42,3,2024-09-07 09:17:01:008,1,392,1,0,446,3227,392,0 43,0,2024-09-07 09:17:00:915,95040,0.8,92594,1.0,193978,0.9,254712,2.25 43,1,2024-09-07 09:17:00:578,688419,688419,0,0,324040886689,3386332733,678808,7881,1730,366,391696,0 43,2,2024-09-07 09:17:01:736,494082,494082,0,0,23011790,0,3812 43,3,2024-09-07 09:17:01:753,1,392,2,0,467,4616,392,0 44,0,2024-09-07 09:17:00:894,102031,0.4,102352,0.6,204845,0.4,272443,1.75 44,1,2024-09-07 09:17:00:567,690148,690148,0,0,323723954731,3351008757,683676,5154,1318,356,391809,0 44,2,2024-09-07 09:17:01:269,495444,495444,0,0,19609785,0,4344 44,3,2024-09-07 09:17:01:096,1,392,8,0,817,4841,392,0 45,0,2024-09-07 09:17:01:813,97106,0.5,94871,0.8,198835,0.5,261763,2.00 45,1,2024-09-07 09:17:01:010,689433,689433,0,0,323914108986,3368880695,683784,5076,573,382,391917,0 45,2,2024-09-07 09:17:01:275,498600,498600,0,0,19975964,0,3596 45,3,2024-09-07 09:17:00:934,1,392,1,0,271,3584,392,0 46,0,2024-09-07 09:17:00:993,96455,0.5,96440,0.7,193150,0.5,256106,2.00 46,1,2024-09-07 09:17:00:575,690867,690867,0,0,324971286298,3359161209,686346,3931,590,366,391709,0 46,2,2024-09-07 09:17:00:594,496623,496623,0,0,19907899,0,4443 46,3,2024-09-07 09:17:01:133,1,392,0,0,908,5304,392,0 47,0,2024-09-07 09:17:01:102,95957,0.4,96216,0.6,192848,0.4,254431,2.00 47,1,2024-09-07 09:17:00:567,691436,691436,0,0,323430081291,3344177014,686876,3745,815,366,391641,0 47,2,2024-09-07 09:17:00:909,495311,495311,0,0,19341127,0,4477 47,3,2024-09-07 09:17:01:117,1,392,1,0,600,4804,392,0 48,0,2024-09-07 09:17:01:494,99578,0.3,99358,0.4,198104,0.2,264648,1.75 48,1,2024-09-07 09:17:01:026,690000,690000,0,0,323651505670,3362310395,685812,3734,454,384,391710,0 48,2,2024-09-07 09:17:00:699,493563,493563,0,0,18420564,0,3411 48,3,2024-09-07 09:17:00:773,1,392,8,0,339,3276,392,0 49,0,2024-09-07 09:17:01:711,103786,0.4,101974,0.5,197456,0.3,270606,1.75 49,1,2024-09-07 09:17:01:023,689463,689463,0,0,323314611912,3367104172,684398,3860,1205,382,391809,0 49,2,2024-09-07 09:17:01:809,499660,499660,0,0,20403559,0,4426 49,3,2024-09-07 09:17:01:418,1,392,19,0,992,4934,392,0 50,0,2024-09-07 09:17:01:509,97397,0.3,95866,0.4,193448,0.2,257913,1.75 50,1,2024-09-07 09:17:01:009,691459,691459,0,0,324684558791,3359649366,686631,4254,574,368,391565,0 50,2,2024-09-07 09:17:01:067,497504,497504,0,0,18396590,0,2263 50,3,2024-09-07 09:17:01:295,1,392,3,0,567,4124,392,0 51,0,2024-09-07 09:17:01:685,98519,0.3,96319,0.5,187954,0.2,256194,1.75 51,1,2024-09-07 09:17:01:688,691556,691556,0,0,325713419725,3359875438,688028,2550,978,365,391706,0 51,2,2024-09-07 09:17:01:315,492507,492507,0,0,17470003,0,3337 51,3,2024-09-07 09:17:01:027,1,392,0,0,678,3097,392,0 52,0,2024-09-07 09:17:01:423,98111,0.5,97963,0.7,196208,0.5,260646,2.00 52,1,2024-09-07 09:17:00:596,688560,688560,0,0,322828419151,3378887894,679017,8229,1314,368,391722,0 52,2,2024-09-07 09:17:01:754,490870,490832,38,0,22917009,0,6742 52,3,2024-09-07 09:17:00:683,1,392,8,0,1782,5682,392,0 53,0,2024-09-07 09:17:01:760,101857,0.7,99061,0.8,207379,0.7,272652,2.50 53,1,2024-09-07 09:17:00:771,687233,687233,0,0,323006193151,3391700488,675565,8710,2958,367,391702,0 53,2,2024-09-07 09:17:01:297,499579,499578,1,0,21272264,0,5455 53,3,2024-09-07 09:17:00:699,1,392,0,0,308,3686,392,0 54,0,2024-09-07 09:17:01:614,95683,0.6,95971,0.8,191224,0.5,255720,2.25 54,1,2024-09-07 09:17:00:580,688730,688730,0,0,323841288745,3363711620,682343,5738,649,366,391659,0 54,2,2024-09-07 09:17:00:863,497820,497788,32,0,24170620,0,6397 54,3,2024-09-07 09:17:00:763,1,392,0,0,676,6000,392,0 55,0,2024-09-07 09:17:01:763,93066,0.6,96260,0.7,194361,0.5,253210,2.50 55,1,2024-09-07 09:17:00:767,689614,689614,0,0,323826172952,3367294494,682285,6245,1084,365,391731,0 55,2,2024-09-07 09:17:00:728,491591,491535,56,0,22400937,0,7239 55,3,2024-09-07 09:17:00:674,1,392,44,0,304,4195,392,0 56,0,2024-09-07 09:17:01:611,98584,1.0,92911,1.1,191453,1.3,256492,2.50 56,1,2024-09-07 09:17:00:573,685295,685295,0,0,322097931108,3401067584,674750,8312,2233,381,391867,0 56,2,2024-09-07 09:17:01:309,494173,494173,0,0,22241770,0,3567 56,3,2024-09-07 09:17:01:061,1,392,11,0,705,4932,392,0 57,0,2024-09-07 09:17:00:976,101166,1.4,100998,1.2,202549,2.0,271000,3.00 57,1,2024-09-07 09:17:00:996,687828,687828,0,0,322417513178,3379409503,679816,6998,1014,366,392032,0 57,2,2024-09-07 09:17:01:315,497555,497555,0,0,24502585,0,3317 57,3,2024-09-07 09:17:01:738,1,392,2,0,359,4269,392,0 58,0,2024-09-07 09:17:00:554,96018,0.9,93264,1.0,195202,1.0,255764,2.50 58,1,2024-09-07 09:17:00:575,688160,688157,0,3,323112669447,3378342443,678858,8041,1258,367,391603,3 58,2,2024-09-07 09:17:01:075,496970,496970,0,0,22935430,0,2902 58,3,2024-09-07 09:17:01:075,1,392,6,0,1043,4282,392,0 59,0,2024-09-07 09:17:01:739,96739,0.8,96463,0.9,192522,0.8,255716,2.50 59,1,2024-09-07 09:17:00:811,687935,687935,0,0,323511574647,3387482961,678846,7499,1590,369,391653,0 59,2,2024-09-07 09:17:00:594,495009,495009,0,0,22270087,0,2867 59,3,2024-09-07 09:17:01:736,1,392,27,0,1015,5023,392,0 60,0,2024-09-07 09:17:01:722,95327,0.5,95264,0.7,191139,0.5,253725,1.75 60,1,2024-09-07 09:17:00:775,690027,690027,0,0,324068020950,3364463936,685473,3905,649,370,392031,0 60,2,2024-09-07 09:17:01:143,494266,494266,0,0,21446186,0,3811 60,3,2024-09-07 09:17:01:258,1,392,8,0,409,4767,392,0 61,0,2024-09-07 09:17:01:508,100365,0.6,100994,0.8,201191,0.6,268708,2.00 61,1,2024-09-07 09:17:00:771,688395,688395,0,0,322678940999,3382742688,680511,6487,1397,382,392127,0 61,2,2024-09-07 09:17:01:115,494667,494600,67,0,21954397,0,6411 61,3,2024-09-07 09:17:01:695,1,392,113,0,479,5575,392,0 62,0,2024-09-07 09:17:01:705,100692,0.6,103047,0.7,196663,0.6,266954,2.00 62,1,2024-09-07 09:17:01:111,692847,692841,0,6,325081555545,3354560522,689037,3543,261,365,391715,6 62,2,2024-09-07 09:17:01:643,497075,497074,1,0,22034752,0,5555 62,3,2024-09-07 09:17:01:143,1,392,1,0,482,3308,392,0 63,0,2024-09-07 09:17:01:469,97392,0.4,97262,0.6,194964,0.3,258664,1.75 63,1,2024-09-07 09:17:00:812,690109,690103,0,6,323827242461,3365003655,685201,4170,732,381,391800,6 63,2,2024-09-07 09:17:00:766,496981,496981,0,0,20039661,0,4369 63,3,2024-09-07 09:17:01:732,1,392,5,0,667,4153,392,0 64,0,2024-09-07 09:17:01:511,95531,0.5,95534,0.7,190901,0.5,253717,2.00 64,1,2024-09-07 09:17:00:751,689475,689475,0,0,323078078906,3369502651,682946,4826,1703,370,391783,0 64,2,2024-09-07 09:17:01:143,496103,496084,19,0,19456672,0,6121 64,3,2024-09-07 09:17:01:143,1,392,13,0,265,3664,392,0 65,0,2024-09-07 09:17:01:675,97286,0.7,97735,0.8,195004,0.8,260670,2.25 65,1,2024-09-07 09:17:00:858,688047,688047,0,0,323036510910,3378331383,683004,4503,540,382,391901,0 65,2,2024-09-07 09:17:01:704,494447,494447,0,0,22728556,0,3367 65,3,2024-09-07 09:17:01:688,1,392,11,0,347,3976,392,0 66,0,2024-09-07 09:17:01:774,102127,0.6,102167,0.8,204024,0.6,271464,2.25 66,1,2024-09-07 09:17:01:295,689640,689640,0,0,323188053846,3368059056,684625,4599,416,380,391743,0 66,2,2024-09-07 09:17:01:136,500769,500769,0,0,20021326,0,4956 66,3,2024-09-07 09:17:01:097,1,392,9,0,291,3562,392,0 67,0,2024-09-07 09:17:01:412,96795,0.5,96452,0.7,193560,0.4,257638,2.00 67,1,2024-09-07 09:17:00:766,689886,689885,0,1,322650561058,3361067027,685216,3884,785,380,391787,1 67,2,2024-09-07 09:17:00:594,499642,499642,0,0,19275682,0,3622 67,3,2024-09-07 09:17:01:754,1,392,103,0,392,3989,392,0 68,0,2024-09-07 09:17:00:568,96161,0.6,95814,0.7,191178,0.5,255349,2.00 68,1,2024-09-07 09:17:00:570,687040,687040,0,0,322800679247,3390829857,678923,5803,2314,381,391953,0 68,2,2024-09-07 09:17:01:048,490018,489918,100,0,25334872,0,8578 68,3,2024-09-07 09:17:00:734,1,392,8,0,417,4237,392,0 69,0,2024-09-07 09:17:01:752,97072,0.8,97737,0.9,194384,0.9,258152,2.25 69,1,2024-09-07 09:17:01:036,686533,686533,0,0,322121065347,3397591589,677343,7000,2190,384,391994,0 69,2,2024-09-07 09:17:01:734,493157,493128,29,0,26636444,0,6912 69,3,2024-09-07 09:17:00:768,1,392,9,0,698,5494,392,0 70,0,2024-09-07 09:17:01:536,101491,0.8,101614,1.0,204371,0.7,270679,2.50 70,1,2024-09-07 09:17:00:801,689686,689686,0,0,323484916156,3359404737,683847,5178,661,366,391725,0 70,2,2024-09-07 09:17:01:328,498117,498117,0,0,22636727,0,4044 70,3,2024-09-07 09:17:00:745,1,392,1,0,854,4629,392,0 71,0,2024-09-07 09:17:01:356,96036,0.9,95740,1.0,192177,1.1,257406,2.75 71,1,2024-09-07 09:17:01:596,688861,688861,0,0,323656939525,3377127273,681084,7016,761,368,391738,0 71,2,2024-09-07 09:17:01:088,497323,497323,0,0,22763120,0,4352 71,3,2024-09-07 09:17:01:754,1,392,0,0,644,5160,392,0 72,0,2024-09-07 09:17:01:022,99968,0.5,97729,0.7,190582,0.5,258908,2.00 72,1,2024-09-07 09:17:01:028,687814,687814,0,0,322774315300,3385275105,677790,8057,1967,369,391819,0 72,2,2024-09-07 09:17:01:755,492617,492617,0,0,24436123,0,3983 72,3,2024-09-07 09:17:01:755,1,392,12,0,564,5908,392,0 73,0,2024-09-07 09:17:01:112,93864,0.4,96216,0.6,196738,0.4,256062,2.00 73,1,2024-09-07 09:17:00:782,689286,689286,0,0,323127907572,3356087075,684597,4325,364,367,391858,0 73,2,2024-09-07 09:17:01:739,494061,494061,0,0,23969505,0,3701 73,3,2024-09-07 09:17:00:972,1,392,2,0,486,5527,392,0 74,0,2024-09-07 09:17:01:330,102868,0.4,105025,0.6,200380,0.4,272584,2.00 74,1,2024-09-07 09:17:00:650,688977,688977,0,0,322932021883,3370634496,681993,5615,1369,381,391681,0 74,2,2024-09-07 09:17:01:007,495248,495248,0,0,22281307,0,4253 74,3,2024-09-07 09:17:01:442,1,392,53,0,522,5420,392,0 75,0,2024-09-07 09:17:01:773,98705,0.5,98059,0.8,197072,0.5,262867,2.25 75,1,2024-09-07 09:17:01:586,688629,688629,0,0,322867694814,3369699942,681916,5887,826,380,391739,0 75,2,2024-09-07 09:17:01:351,496669,496669,0,0,24025190,0,4766 75,3,2024-09-07 09:17:01:079,1,392,9,0,702,5199,392,0 76,0,2024-09-07 09:17:00:593,96535,0.6,95908,0.7,192675,0.5,256962,2.25 76,1,2024-09-07 09:17:00:811,688814,688814,0,0,322919998452,3371434869,683643,4385,786,382,391692,0 76,2,2024-09-07 09:17:01:061,497988,497987,1,0,21870621,0,5144 76,3,2024-09-07 09:17:01:143,1,392,67,0,175,3705,392,0 77,0,2024-09-07 09:17:01:703,95357,0.6,95692,0.8,191257,0.6,253379,2.00 77,1,2024-09-07 09:17:00:830,689088,689088,0,0,323297395952,3376084406,683498,5092,498,381,391869,0 77,2,2024-09-07 09:17:01:285,493539,493539,0,0,21332129,0,3890 77,3,2024-09-07 09:17:01:096,1,392,1,0,401,4209,392,0 78,0,2024-09-07 09:17:01:722,99404,0.5,98796,0.7,198526,0.4,263353,2.00 78,1,2024-09-07 09:17:00:616,689498,689498,0,0,323008181563,3367161564,681978,5954,1566,367,391670,0 78,2,2024-09-07 09:17:01:405,494491,494478,13,0,20192685,0,8313 78,3,2024-09-07 09:17:01:133,1,392,0,0,181,3589,392,0 79,0,2024-09-07 09:17:01:354,97474,0.4,99826,0.6,204500,0.4,265382,2.25 79,1,2024-09-07 09:17:00:576,690829,690829,0,0,323797144026,3357424441,684932,4748,1149,368,391682,0 79,2,2024-09-07 09:17:01:067,500205,500205,0,0,19846147,0,4195 79,3,2024-09-07 09:17:00:749,1,392,0,0,418,5054,392,0 80,0,2024-09-07 09:17:01:087,96826,0.5,99683,0.7,190728,0.5,257400,2.00 80,1,2024-09-07 09:17:01:622,688584,688584,0,0,323351403225,3368435676,683686,4574,324,368,392269,0 80,2,2024-09-07 09:17:01:094,499302,499302,0,0,19789451,0,4433 80,3,2024-09-07 09:17:00:575,1,392,16,0,190,4689,392,0 81,0,2024-09-07 09:17:01:537,96014,0.5,98335,0.7,187559,0.5,254965,2.00 81,1,2024-09-07 09:17:01:650,688263,688263,0,0,322120168845,3369250090,682507,5250,506,382,391879,0 81,2,2024-09-07 09:17:01:127,491071,491008,63,0,21551871,0,5932 81,3,2024-09-07 09:17:01:121,1,392,1,0,719,4909,392,0 82,0,2024-09-07 09:17:01:539,97380,0.6,97876,0.8,196046,0.5,260085,2.00 82,1,2024-09-07 09:17:00:595,690205,690201,0,4,323487802793,3367766440,685620,3764,817,381,391768,4 82,2,2024-09-07 09:17:01:691,494988,494988,0,0,18479735,0,3986 82,3,2024-09-07 09:17:01:753,1,392,323,0,363,4210,392,0 83,0,2024-09-07 09:17:01:550,102741,0.7,102690,0.8,204522,0.7,272522,2.25 83,1,2024-09-07 09:17:00:551,687886,687886,0,0,323064960966,3371633047,682491,4945,450,382,391709,0 83,2,2024-09-07 09:17:00:767,499837,499837,0,0,19333949,0,3393 83,3,2024-09-07 09:17:00:749,1,392,0,0,1260,5202,392,0 84,0,2024-09-07 09:17:01:787,96462,0.7,96496,0.8,192491,0.6,258146,2.25 84,1,2024-09-07 09:17:01:039,687735,687735,0,0,322527543349,3372536726,680251,6446,1038,367,391967,0 84,2,2024-09-07 09:17:00:580,496767,496737,30,0,26192819,0,5971 84,3,2024-09-07 09:17:01:143,1,392,9,0,908,5872,392,0 85,0,2024-09-07 09:17:01:028,92787,0.6,92816,0.8,196944,0.6,254496,2.25 85,1,2024-09-07 09:17:00:562,686503,686503,0,0,322121784677,3400243557,676384,8296,1823,381,392006,0 85,2,2024-09-07 09:17:00:864,491648,491648,0,0,23417024,0,3656 85,3,2024-09-07 09:17:00:685,1,392,1,0,789,5040,392,0 86,0,2024-09-07 09:17:00:879,96272,0.6,99016,0.8,189574,0.6,256212,2.25 86,1,2024-09-07 09:17:00:852,688277,688277,0,0,323356107879,3386067453,680255,6586,1436,366,391961,0 86,2,2024-09-07 09:17:00:860,492144,492143,1,0,26097936,0,5004 86,3,2024-09-07 09:17:00:586,1,392,7,0,308,5894,392,0 87,0,2024-09-07 09:17:01:288,102216,0.9,102010,0.9,204502,1.2,273428,2.50 87,1,2024-09-07 09:17:00:553,686913,686913,0,0,322708946662,3382891479,677906,7587,1420,366,392076,0 87,2,2024-09-07 09:17:01:078,495988,495982,6,0,23189378,0,6323 87,3,2024-09-07 09:17:01:809,1,392,1,0,473,6002,392,0 88,0,2024-09-07 09:17:01:441,97361,0.4,98179,0.6,195332,0.4,259959,1.75 88,1,2024-09-07 09:17:00:569,686383,686383,0,0,322821388473,3380619104,677738,6761,1884,365,392084,0 88,2,2024-09-07 09:17:00:688,498066,498066,0,0,24530806,0,3583 88,3,2024-09-07 09:17:01:280,1,392,12,0,435,4109,392,0 89,0,2024-09-07 09:17:01:822,99890,0.4,96786,0.6,191282,0.4,259444,1.75 89,1,2024-09-07 09:17:00:550,686641,686641,0,0,322143911537,3385664870,678398,6883,1360,382,391866,0 89,2,2024-09-07 09:17:01:134,493555,493555,0,0,23456488,0,3173 89,3,2024-09-07 09:17:01:815,1,392,2,0,385,6868,392,0 90,0,2024-09-07 09:17:01:653,92527,0.5,95032,0.6,193881,0.4,252826,2.00 90,1,2024-09-07 09:17:00:595,688033,688033,0,0,322467491204,3376688292,681607,5917,509,380,391825,0 90,2,2024-09-07 09:17:01:416,492221,492221,0,0,24631502,0,3060 90,3,2024-09-07 09:17:00:931,1,392,5,0,322,4958,392,0 91,0,2024-09-07 09:17:00:924,101093,0.4,97951,0.6,204729,0.4,269280,1.75 91,1,2024-09-07 09:17:00:565,687020,687020,0,0,322591255698,3391009595,678516,7313,1191,381,392047,0 91,2,2024-09-07 09:17:01:332,495379,495379,0,0,21930837,0,2896 91,3,2024-09-07 09:17:00:600,1,392,1,0,216,3684,392,0 92,0,2024-09-07 09:17:01:467,100917,0.5,103373,0.6,197659,0.5,267284,1.75 92,1,2024-09-07 09:17:00:583,688327,688327,0,0,322967979049,3375259364,682881,4725,721,382,392136,0 92,2,2024-09-07 09:17:01:358,499446,499446,0,0,20187690,0,3259 92,3,2024-09-07 09:17:01:014,1,392,12,0,167,3541,392,0 93,0,2024-09-07 09:17:00:955,97763,0.4,100201,0.5,191223,0.3,258742,1.75 93,1,2024-09-07 09:17:00:826,687405,687405,0,0,322670417783,3372550992,679625,6435,1345,366,391776,0 93,2,2024-09-07 09:17:00:934,496551,496551,0,0,24274471,0,4845 93,3,2024-09-07 09:17:01:430,1,392,0,0,190,3620,392,0 94,0,2024-09-07 09:17:01:632,95664,0.4,96445,0.5,192768,0.3,255417,1.75 94,1,2024-09-07 09:17:00:569,688645,688645,0,0,323115437034,3370973978,684256,4195,194,381,391850,0 94,2,2024-09-07 09:17:00:765,492455,492455,0,0,20220977,0,2443 94,3,2024-09-07 09:17:01:690,1,392,46,0,264,4773,392,0 95,0,2024-09-07 09:17:01:355,98112,0.4,98112,0.5,196826,0.3,262791,1.75 95,1,2024-09-07 09:17:00:857,690243,690243,0,0,323365909221,3363118980,684705,5127,411,367,391713,0 95,2,2024-09-07 09:17:01:016,493902,493902,0,0,20012142,0,3308 95,3,2024-09-07 09:17:01:711,1,392,100,0,718,5990,392,0 96,0,2024-09-07 09:17:01:026,102737,0.4,103027,0.5,205640,0.4,272630,1.75 96,1,2024-09-07 09:17:01:583,688016,688016,0,0,323402108338,3377358425,682528,4610,878,384,391955,0 96,2,2024-09-07 09:17:01:272,499986,499986,0,0,20938150,0,4180 96,3,2024-09-07 09:17:01:140,1,392,7,0,411,4483,392,0 97,0,2024-09-07 09:17:01:322,96736,0.3,96518,0.5,193535,0.3,257346,1.50 97,1,2024-09-07 09:17:00:766,689504,689504,0,0,324370559584,3367581332,684402,4173,929,367,392140,0 97,2,2024-09-07 09:17:00:611,498474,498474,0,0,20386037,0,3679 97,3,2024-09-07 09:17:00:569,1,392,1,0,242,4597,392,0 98,0,2024-09-07 09:17:01:711,95692,0.3,95640,0.4,192135,0.2,255271,1.50 98,1,2024-09-07 09:17:00:582,688613,688613,0,0,323391065331,3371651613,683926,3908,779,382,391997,0 98,2,2024-09-07 09:17:00:779,492463,492463,0,0,19889675,0,3243 98,3,2024-09-07 09:17:00:704,1,392,434,0,840,6510,392,0 99,0,2024-09-07 09:17:01:467,97635,0.3,98312,0.5,195429,0.3,261230,1.75 99,1,2024-09-07 09:17:01:733,689168,689168,0,0,323245422719,3367453411,684325,4010,833,381,392069,0 99,2,2024-09-07 09:17:01:420,494713,494713,0,0,23295005,0,3424 99,3,2024-09-07 09:17:00:598,1,392,3,0,606,4451,392,0 100,0,2024-09-07 09:17:01:475,101966,0.7,102343,0.9,203794,0.8,272387,2.25 100,1,2024-09-07 09:17:00:551,685976,685976,0,0,321561079220,3399081864,675631,8331,2014,381,391989,0 100,2,2024-09-07 09:17:01:818,496784,496773,11,0,23597145,0,5417 100,3,2024-09-07 09:17:01:730,1,392,31,0,559,6630,392,0 101,0,2024-09-07 09:17:01:700,98929,1.0,96253,1.0,189214,0.9,259397,2.25 101,1,2024-09-07 09:17:00:657,686050,686050,0,0,322423948466,3394719163,675639,8291,2120,368,391847,0 101,2,2024-09-07 09:17:01:758,494474,494474,0,0,28314161,0,4871 101,3,2024-09-07 09:17:00:943,1,392,83,0,579,5744,392,0 102,0,2024-09-07 09:17:00:943,94257,0.6,97305,0.8,197008,0.6,257720,2.00 102,1,2024-09-07 09:17:01:143,686366,686366,0,0,322452087309,3389806841,677088,7648,1630,369,391891,0 102,2,2024-09-07 09:17:01:739,493506,493452,54,0,22093262,0,6768 102,3,2024-09-07 09:17:01:616,1,392,71,0,410,3970,392,0 103,0,2024-09-07 09:17:01:590,99361,0.6,99402,0.7,187325,0.6,257817,2.00 103,1,2024-09-07 09:17:01:625,685326,685326,0,0,322381565349,3406792105,673646,8616,3064,381,391829,0 103,2,2024-09-07 09:17:00:589,492505,492505,0,0,24292237,0,3766 103,3,2024-09-07 09:17:00:756,1,392,10,0,916,4430,392,0 104,0,2024-09-07 09:17:01:011,101219,0.7,101695,0.9,201883,0.7,271820,2.25 104,1,2024-09-07 09:17:01:599,687483,687483,0,0,322210736081,3384836744,677742,7966,1775,365,392168,0 104,2,2024-09-07 09:17:01:673,494737,494737,0,0,24034934,0,3941 104,3,2024-09-07 09:17:01:417,1,392,20,0,1245,7994,392,0 105,0,2024-09-07 09:17:01:040,97194,0.9,94633,1.1,198220,1.0,260985,2.75 105,1,2024-09-07 09:17:00:556,688536,688536,0,0,323213326826,3386785209,679793,7395,1348,366,392009,0 105,2,2024-09-07 09:17:01:332,497277,497277,0,0,23333724,0,3509 105,3,2024-09-07 09:17:01:307,1,392,9,0,399,5929,392,0 106,0,2024-09-07 09:17:00:961,93602,0.7,95913,0.9,196455,0.8,256791,2.50 106,1,2024-09-07 09:17:01:755,686854,686854,0,0,322341042087,3387922488,676083,9328,1443,369,391914,0 106,2,2024-09-07 09:17:00:759,495173,495173,0,0,22796160,0,2795 106,3,2024-09-07 09:17:00:677,1,392,2,0,470,5073,392,0 107,0,2024-09-07 09:17:01:125,95469,1.0,95682,0.9,190986,1.3,254339,2.25 107,1,2024-09-07 09:17:00:587,686498,686498,0,0,321847193028,3390332388,677585,7941,972,381,392234,0 107,2,2024-09-07 09:17:01:295,491799,491798,1,0,23583995,0,5024 107,3,2024-09-07 09:17:01:758,1,392,5,0,353,5845,392,0 108,0,2024-09-07 09:17:01:789,98798,0.5,99531,0.6,197778,0.4,264426,1.75 108,1,2024-09-07 09:17:01:295,688585,688585,0,0,323323133219,3373528806,682660,5187,738,368,391857,0 108,2,2024-09-07 09:17:01:756,492887,492887,0,0,22735520,0,4246 108,3,2024-09-07 09:17:01:331,1,392,8,0,749,7543,392,0 109,0,2024-09-07 09:17:01:760,101783,0.4,100872,0.6,201756,0.4,269668,1.75 109,1,2024-09-07 09:17:00:596,686346,686346,0,0,322957808592,3390270755,679684,5549,1113,382,392132,0 109,2,2024-09-07 09:17:00:921,497228,497228,0,0,22468733,0,3617 109,3,2024-09-07 09:17:01:144,1,392,57,0,379,4631,392,0 110,0,2024-09-07 09:17:01:753,96714,0.4,94212,0.6,197504,0.3,258254,1.75 110,1,2024-09-07 09:17:01:643,689778,689778,0,0,323337902752,3358621237,684588,4014,1176,369,392045,0 110,2,2024-09-07 09:17:01:306,498026,498026,0,0,21484520,0,4067 110,3,2024-09-07 09:17:00:690,1,392,1,0,722,5738,392,0 111,0,2024-09-07 09:17:01:412,96456,0.4,95595,0.5,191841,0.3,256369,1.75 111,1,2024-09-07 09:17:01:005,690313,690313,0,0,324098629370,3362369294,686710,3249,354,380,391690,0 111,2,2024-09-07 09:17:01:117,491565,491565,0,0,20778712,0,4823 111,3,2024-09-07 09:17:00:915,1,392,4,0,379,4518,392,0 112,0,2024-09-07 09:17:00:913,98588,0.3,98105,0.4,196449,0.2,261082,1.50 112,1,2024-09-07 09:17:00:827,689983,689983,0,0,323273414344,3356517347,685580,3791,612,380,391624,0 112,2,2024-09-07 09:17:01:134,494073,494072,1,0,19843585,0,5036 112,3,2024-09-07 09:17:00:603,1,392,7,0,282,3951,392,0 113,0,2024-09-07 09:17:00:867,102746,0.3,102879,0.5,205877,0.3,274987,1.75 113,1,2024-09-07 09:17:01:686,692487,692487,0,0,325149731879,3356789755,688626,3273,588,366,391661,0 113,2,2024-09-07 09:17:01:305,500786,500786,0,0,18775404,0,3813 113,3,2024-09-07 09:17:00:684,1,392,0,0,340,4624,392,0 114,0,2024-09-07 09:17:00:874,97446,0.3,98104,0.5,194911,0.2,260714,1.75 114,1,2024-09-07 09:17:00:716,689425,689425,0,0,323062193447,3359223781,683308,4502,1615,381,391565,0 114,2,2024-09-07 09:17:00:872,498445,498444,1,0,19849817,0,5069 114,3,2024-09-07 09:17:01:282,1,392,12,0,395,3369,392,0 115,0,2024-09-07 09:17:00:554,96395,0.3,96869,0.4,193427,0.2,256961,1.50 115,1,2024-09-07 09:17:00:572,689534,689534,0,0,324025642772,3368635176,683694,4909,931,382,391757,0 115,2,2024-09-07 09:17:01:137,494388,494388,0,0,18653573,0,3848 115,3,2024-09-07 09:17:01:018,1,392,1,0,159,2299,392,0 116,0,2024-09-07 09:17:01:789,96078,0.7,95984,0.9,192516,0.7,257516,2.00 116,1,2024-09-07 09:17:00:817,686373,686373,0,0,322290986088,3397224726,677986,6067,2320,380,392089,0 116,2,2024-09-07 09:17:01:769,493323,493323,0,0,24629530,0,4128 116,3,2024-09-07 09:17:00:916,1,392,13,0,415,4742,392,0 117,0,2024-09-07 09:17:00:979,102620,0.7,102049,0.8,204697,0.8,273550,2.00 117,1,2024-09-07 09:17:01:579,687287,687287,0,0,323109340143,3383682473,679623,6756,908,369,392033,0 117,2,2024-09-07 09:17:01:134,499696,499696,0,0,20487003,0,3700 117,3,2024-09-07 09:17:01:064,1,392,0,0,490,6050,392,0 118,0,2024-09-07 09:17:01:768,94675,0.5,97077,0.7,198363,0.5,258966,2.00 118,1,2024-09-07 09:17:00:613,687102,687102,0,0,323018055820,3401576684,675587,8530,2985,366,391907,0 118,2,2024-09-07 09:17:01:586,496832,496832,0,0,23251204,0,2842 118,3,2024-09-07 09:17:01:767,1,392,83,0,248,4626,392,0 119,0,2024-09-07 09:17:01:337,96664,0.6,97165,0.7,194200,0.5,257793,2.00 119,1,2024-09-07 09:17:00:549,687382,687382,0,0,323004470018,3380248368,679751,6786,845,367,391780,0 119,2,2024-09-07 09:17:01:261,495008,495008,0,0,21463561,0,4174 119,3,2024-09-07 09:17:01:327,1,392,1358,0,1358,8308,392,0 120,0,2024-09-07 09:17:01:601,94783,0.6,94701,0.8,189517,0.6,253286,2.25 120,1,2024-09-07 09:17:00:858,687964,687964,0,0,322978742734,3388763170,680718,6596,650,368,391961,0 120,2,2024-09-07 09:17:00:774,493515,493514,1,0,24363252,0,5281 120,3,2024-09-07 09:17:01:294,1,392,8,0,279,4995,392,0 121,0,2024-09-07 09:17:01:709,100536,1.1,100511,1.0,201504,1.4,268649,2.25 121,1,2024-09-07 09:17:01:656,688455,688455,0,0,323114262028,3378298353,682145,5864,446,367,391840,0 121,2,2024-09-07 09:17:01:135,493865,493865,0,0,23492713,0,4127 121,3,2024-09-07 09:17:00:727,1,392,5,0,269,4513,392,0 122,0,2024-09-07 09:17:01:765,99572,0.8,97224,0.9,203990,0.9,267052,2.00 122,1,2024-09-07 09:17:00:859,687167,687167,0,0,322487814986,3381797840,678088,7792,1287,366,392130,0 122,2,2024-09-07 09:17:01:318,498422,498349,73,0,26449303,0,5989 122,3,2024-09-07 09:17:00:594,1,392,2,0,512,7568,392,0 123,0,2024-09-07 09:17:00:950,96638,0.6,94460,0.7,197426,0.6,258161,2.00 123,1,2024-09-07 09:17:00:564,687000,687000,0,0,322289919810,3394757571,674759,10037,2204,369,392039,0 123,2,2024-09-07 09:17:01:019,495345,495344,1,0,22638218,0,5215 123,3,2024-09-07 09:17:01:136,1,392,36,0,168,4370,392,0 124,0,2024-09-07 09:17:00:918,98957,0.3,98883,0.5,186532,0.3,256198,1.75 124,1,2024-09-07 09:17:01:025,689512,689512,0,0,323287459171,3363371475,684317,4147,1048,367,392178,0 124,2,2024-09-07 09:17:01:009,493594,493541,53,0,20681659,0,6487 124,3,2024-09-07 09:17:00:764,1,392,3,0,490,3904,392,0 125,0,2024-09-07 09:17:01:419,98511,0.4,98180,0.6,197191,0.4,263133,1.75 125,1,2024-09-07 09:17:00:855,688215,688215,0,0,323128447818,3375487826,682602,4947,666,382,391702,0 125,2,2024-09-07 09:17:01:116,494812,494812,0,0,21259900,0,4534 125,3,2024-09-07 09:17:01:136,1,392,1,0,709,5096,392,0 126,0,2024-09-07 09:17:01:427,102589,0.5,105670,0.6,202076,0.4,273257,1.75 126,1,2024-09-07 09:17:00:552,690009,690009,0,0,323605291659,3353866005,686066,3654,289,365,391987,0 126,2,2024-09-07 09:17:00:612,500181,500181,0,0,22085827,0,4539 126,3,2024-09-07 09:17:00:908,1,392,268,0,268,5133,392,0 127,0,2024-09-07 09:17:01:590,96655,0.3,97129,0.5,193642,0.3,257476,1.75 127,1,2024-09-07 09:17:00:575,689313,689313,0,0,323693641424,3368740840,682426,5780,1107,364,392187,0 127,2,2024-09-07 09:17:00:637,497099,497099,0,0,20095532,0,3897 127,3,2024-09-07 09:17:01:268,1,392,1,0,968,4462,392,0 128,0,2024-09-07 09:17:01:523,96176,0.3,96469,0.4,192450,0.2,255556,1.50 128,1,2024-09-07 09:17:01:619,688921,688921,0,0,323263150805,3358872728,684049,4440,432,367,391838,0 128,2,2024-09-07 09:17:01:383,492965,492965,0,0,18895121,0,2915 128,3,2024-09-07 09:17:00:768,1,392,13,0,1082,6365,392,0 129,0,2024-09-07 09:17:00:996,98334,0.3,97826,0.5,196092,0.3,260977,1.75 129,1,2024-09-07 09:17:00:570,686197,686197,0,0,322969859862,3387621772,678845,5686,1666,379,391835,0 129,2,2024-09-07 09:17:00:685,494542,494542,0,0,20548767,0,4031 129,3,2024-09-07 09:17:00:688,1,392,2,0,506,5281,392,0 130,0,2024-09-07 09:17:01:745,102974,0.5,102482,0.6,206332,0.5,273831,1.75 130,1,2024-09-07 09:17:00:593,689647,689647,0,0,322803951552,3357563266,685717,3592,338,381,391825,0 130,2,2024-09-07 09:17:01:125,499722,499722,0,0,20948132,0,4067 130,3,2024-09-07 09:17:01:294,1,392,1,0,535,5643,392,0 131,0,2024-09-07 09:17:02:051,96823,0.4,97254,0.5,195695,0.3,259407,1.75 131,1,2024-09-07 09:17:01:820,688959,688959,0,0,323107935816,3378337491,683033,4878,1048,381,391865,0 131,2,2024-09-07 09:17:00:586,499851,499851,0,0,18868676,0,2509 131,3,2024-09-07 09:17:01:692,1,392,5,0,392,4035,392,0 132,0,2024-09-07 09:17:01:412,96851,0.4,97779,0.6,194407,0.4,258462,1.75 132,1,2024-09-07 09:17:00:578,686141,686141,0,0,322141786384,3394293193,675719,8525,1897,381,392097,0 132,2,2024-09-07 09:17:00:699,493067,493050,17,0,24945078,0,6451 132,3,2024-09-07 09:17:01:688,1,392,11,0,804,7219,392,0 133,0,2024-09-07 09:17:01:525,93815,0.4,95950,0.6,196955,0.4,256432,2.00 133,1,2024-09-07 09:17:00:585,685947,685947,0,0,322149735943,3397913925,675906,8530,1511,383,391914,0 133,2,2024-09-07 09:17:01:096,492869,492819,50,0,26547402,0,6861 133,3,2024-09-07 09:17:01:297,1,392,1,0,479,3984,392,0 134,0,2024-09-07 09:17:00:956,102426,0.5,102019,0.7,204335,0.5,272633,2.00 134,1,2024-09-07 09:17:00:588,687030,687030,0,0,321502257794,3375173476,677646,7377,2007,366,391718,0 134,2,2024-09-07 09:17:01:758,494611,494587,24,0,23475135,0,6207 134,3,2024-09-07 09:17:00:753,1,392,262,0,739,5141,392,0 135,0,2024-09-07 09:17:01:151,95406,0.8,95395,0.9,202241,1.0,260524,2.25 135,1,2024-09-07 09:17:01:602,687197,687197,0,0,323061047707,3399894738,677801,8089,1307,380,391805,0 135,2,2024-09-07 09:17:00:687,498452,498452,0,0,22986037,0,3981 135,3,2024-09-07 09:17:01:004,1,392,1,0,299,3331,392,0 136,0,2024-09-07 09:17:01:620,97432,0.5,97567,0.7,194181,0.5,258764,2.00 136,1,2024-09-07 09:17:01:462,687420,687420,0,0,322643692101,3383774397,679732,6848,840,382,391685,0 136,2,2024-09-07 09:17:01:144,497597,497597,0,0,22419339,0,3506 136,3,2024-09-07 09:17:01:108,1,392,16,0,637,4345,392,0 137,0,2024-09-07 09:17:00:927,98590,0.6,95933,0.7,188515,0.6,255548,2.00 137,1,2024-09-07 09:17:00:575,686599,686599,0,0,322320766759,3384556840,675503,8749,2347,366,391898,0 137,2,2024-09-07 09:17:01:719,492126,492126,0,0,24927025,0,3185 137,3,2024-09-07 09:17:00:768,1,392,2,0,484,5108,392,0 138,0,2024-09-07 09:17:01:740,98611,1.1,98654,1.0,198122,1.5,263306,2.25 138,1,2024-09-07 09:17:01:685,687734,687734,0,0,322580870361,3385489946,677927,8088,1719,368,391954,0 138,2,2024-09-07 09:17:00:585,493637,493637,0,0,22471729,0,4988 138,3,2024-09-07 09:17:00:611,1,392,4,0,1160,5247,392,0 139,0,2024-09-07 09:17:01:384,100283,1.7,100227,1.2,200943,2.4,268040,2.50 139,1,2024-09-07 09:17:00:587,684460,684460,0,0,320972838857,3412747453,670769,10421,3270,381,392058,0 139,2,2024-09-07 09:17:00:692,495447,495447,0,0,25721361,0,3097 139,3,2024-09-07 09:17:01:671,1,392,8,0,432,4685,392,0 140,0,2024-09-07 09:17:01:603,97363,0.3,96722,0.5,194163,0.2,258547,1.75 140,1,2024-09-07 09:17:01:539,691124,691124,0,0,325246776436,3355355734,687571,3112,441,365,391606,0 140,2,2024-09-07 09:17:00:687,498188,498187,1,0,20357964,0,5036 140,3,2024-09-07 09:17:00:767,1,392,8,0,297,3404,392,0 141,0,2024-09-07 09:17:01:703,96226,0.3,98790,0.5,188707,0.3,256213,1.75 141,1,2024-09-07 09:17:00:862,689770,689770,0,0,324128893801,3367321003,684559,4257,954,379,391614,0 141,2,2024-09-07 09:17:01:687,492570,492570,0,0,19685374,0,3360 141,3,2024-09-07 09:17:01:045,1,392,1,0,391,4529,392,0 142,0,2024-09-07 09:17:01:314,98619,0.3,98032,0.5,195928,0.3,261722,1.75 142,1,2024-09-07 09:17:00:585,688855,688855,0,0,324137958815,3379553137,684397,4065,393,382,392102,0 142,2,2024-09-07 09:17:01:299,493446,493414,32,0,21487701,0,6028 142,3,2024-09-07 09:17:01:749,1,392,1,0,484,4637,392,0 143,0,2024-09-07 09:17:01:370,103004,0.5,102930,0.6,206331,0.5,275082,1.75 143,1,2024-09-07 09:17:00:563,690043,690043,0,0,323955285576,3365454278,685033,4466,544,367,391705,0 143,2,2024-09-07 09:17:00:769,499923,499923,0,0,20976660,0,3123 143,3,2024-09-07 09:17:01:144,1,392,1,0,462,5115,392,0 144,0,2024-09-07 09:17:01:494,94044,0.6,96637,0.8,197058,0.5,258525,2.00 144,1,2024-09-07 09:17:00:568,686582,686582,0,0,322044330014,3381216460,679675,5554,1353,381,391649,0 144,2,2024-09-07 09:17:01:759,498513,498513,0,0,20018211,0,3673 144,3,2024-09-07 09:17:01:738,1,392,13,0,249,3948,392,0 145,0,2024-09-07 09:17:01:357,93152,0.5,93185,0.8,197593,0.5,254104,2.25 145,1,2024-09-07 09:17:00:562,686666,686666,0,0,322228812291,3387016964,678334,7178,1154,382,391759,0 145,2,2024-09-07 09:17:01:430,490757,490676,81,0,23714151,0,7814 145,3,2024-09-07 09:17:00:895,1,392,1,0,622,5754,392,0 146,0,2024-09-07 09:17:01:655,96415,0.5,95904,0.7,193128,0.5,256095,2.25 146,1,2024-09-07 09:17:01:586,687981,687981,0,0,322253079612,3386444075,677230,8634,2117,367,391770,0 146,2,2024-09-07 09:17:01:699,492883,492883,0,0,22499139,0,2730 146,3,2024-09-07 09:17:01:281,1,392,2,0,1520,7799,392,0 147,0,2024-09-07 09:17:01:734,102487,0.7,102348,0.8,203864,0.6,273140,2.25 147,1,2024-09-07 09:17:01:372,689931,689931,0,0,324046278629,3371970823,683603,5484,844,368,391791,0 147,2,2024-09-07 09:17:01:009,496851,496851,0,0,20930968,0,2968 147,3,2024-09-07 09:17:00:915,1,392,1,0,1626,7136,392,0 0,0,2024-09-07 09:17:11:757,92847,0.6,92874,0.8,196999,0.7,254751,2.00 0,1,2024-09-07 09:17:10:806,689527,689527,0,0,324151467023,3393206318,684249,4892,386,369,391896,0 0,2,2024-09-07 09:17:11:068,496661,496661,0,0,20153026,0,4480 0,3,2024-09-07 09:17:10:980,1,393,8,0,431,5972,393,0 1,0,2024-09-07 09:17:11:813,101061,1.0,100462,1.0,201760,1.3,270152,2.25 1,1,2024-09-07 09:17:10:561,689052,689052,0,0,323527247900,3388054014,682849,4885,1318,370,391857,0 1,2,2024-09-07 09:17:10:655,495466,495466,0,0,20330771,0,3380 1,3,2024-09-07 09:17:11:336,1,393,27,0,262,4824,393,0 2,0,2024-09-07 09:17:11:572,99778,0.7,100127,0.8,199450,0.8,265856,2.00 2,1,2024-09-07 09:17:10:862,691497,691497,0,0,324739925087,3373063946,688224,2979,294,380,391745,0 2,2,2024-09-07 09:17:11:267,499969,499969,0,0,19798554,0,3594 2,3,2024-09-07 09:17:10:698,1,393,1,0,357,3857,393,0 3,0,2024-09-07 09:17:11:745,97187,0.4,97115,0.6,194031,0.4,258158,2.00 3,1,2024-09-07 09:17:11:632,689957,689957,0,0,323893644747,3376094033,683761,5506,690,380,391716,0 3,2,2024-09-07 09:17:11:146,497862,497839,23,0,20554564,0,5851 3,3,2024-09-07 09:17:11:755,1,393,1,0,207,2540,393,0 4,0,2024-09-07 09:17:11:871,93299,0.4,95878,0.5,195427,0.3,255333,1.75 4,1,2024-09-07 09:17:10:609,687510,687510,0,0,322753147750,3413389923,676146,8861,2503,370,391992,0 4,2,2024-09-07 09:17:11:028,492571,492571,0,0,22801745,0,4528 4,3,2024-09-07 09:17:11:107,1,393,11,0,448,5289,393,0 5,0,2024-09-07 09:17:11:476,98513,0.5,99080,0.6,197816,0.4,263298,1.75 5,1,2024-09-07 09:17:10:770,688459,688459,0,0,322963045860,3403796129,678161,8147,2151,367,392005,0 5,2,2024-09-07 09:17:11:850,493481,493481,0,0,22620992,0,3582 5,3,2024-09-07 09:17:11:740,1,393,2,0,457,5608,393,0 6,0,2024-09-07 09:17:10:918,102884,0.5,102551,0.7,204688,0.4,272982,2.00 6,1,2024-09-07 09:17:10:756,689132,689132,0,0,322866555976,3377786283,681078,6666,1388,379,391694,0 6,2,2024-09-07 09:17:11:116,499747,499729,18,0,23163954,0,5535 6,3,2024-09-07 09:17:11:282,1,393,710,0,710,5024,393,0 7,0,2024-09-07 09:17:11:543,96278,0.5,96886,0.6,193109,0.4,257053,2.00 7,1,2024-09-07 09:17:10:850,688552,688552,0,0,323366710529,3396326061,678865,8225,1462,382,391747,0 7,2,2024-09-07 09:17:10:785,499571,499571,0,0,22730685,0,4791 7,3,2024-09-07 09:17:10:856,1,393,9,0,398,4167,393,0 8,0,2024-09-07 09:17:11:526,96330,0.4,95995,0.5,192458,0.3,256418,1.75 8,1,2024-09-07 09:17:11:053,687705,687705,0,0,323468081016,3401804026,676747,8363,2595,366,392144,0 8,2,2024-09-07 09:17:10:799,489388,489388,0,0,25491438,0,3220 8,3,2024-09-07 09:17:10:594,1,393,52,0,538,6608,393,0 9,0,2024-09-07 09:17:11:176,98247,0.4,95385,0.5,199593,0.3,261739,1.75 9,1,2024-09-07 09:17:10:568,688054,688054,0,0,322798825048,3398965343,678377,7539,2138,369,392001,0 9,2,2024-09-07 09:17:11:127,494388,494388,0,0,22788498,0,3360 9,3,2024-09-07 09:17:11:788,1,393,10,0,496,5427,393,0 10,0,2024-09-07 09:17:11:602,102874,0.3,102276,0.5,205457,0.2,273961,1.75 10,1,2024-09-07 09:17:10:607,688941,688941,0,0,323831696609,3391398412,679985,7671,1285,381,391741,0 10,2,2024-09-07 09:17:10:768,500245,500245,0,0,25304135,0,4264 10,3,2024-09-07 09:17:10:875,1,393,31,0,649,4414,393,0 11,0,2024-09-07 09:17:11:014,97100,0.4,94166,0.6,196929,0.4,260194,1.75 11,1,2024-09-07 09:17:10:571,689647,689647,0,0,323693132099,3396561315,679062,8103,2482,383,391756,0 11,2,2024-09-07 09:17:11:134,498395,498395,0,0,22865848,0,4130 11,3,2024-09-07 09:17:11:328,1,393,1,0,843,5992,393,0 12,0,2024-09-07 09:17:10:995,97721,0.4,97740,0.5,195296,0.3,259126,1.75 12,1,2024-09-07 09:17:10:958,690205,690205,0,0,323883080537,3377635952,684823,4885,497,370,391870,0 12,2,2024-09-07 09:17:11:545,494528,494528,0,0,21837504,0,3469 12,3,2024-09-07 09:17:11:070,1,393,19,0,386,6117,393,0 13,0,2024-09-07 09:17:11:413,97271,0.4,96934,0.6,193511,0.4,257960,1.75 13,1,2024-09-07 09:17:11:578,688513,688513,0,0,323122433212,3398021325,681468,5472,1573,382,391740,0 13,2,2024-09-07 09:17:10:614,497029,497029,0,0,20154033,0,3287 13,3,2024-09-07 09:17:11:776,1,393,7,0,522,6092,393,0 14,0,2024-09-07 09:17:10:567,102579,0.4,103444,0.6,204854,0.4,273736,1.75 14,1,2024-09-07 09:17:11:583,693008,693008,0,0,324991902817,3370085328,686813,5487,708,364,391673,0 14,2,2024-09-07 09:17:10:783,497747,497717,30,0,22477636,0,6104 14,3,2024-09-07 09:17:11:130,1,393,25,0,1168,4421,393,0 15,0,2024-09-07 09:17:11:577,98188,0.4,98126,0.7,196723,0.4,261669,2.00 15,1,2024-09-07 09:17:11:609,690129,690129,0,0,324122172218,3376925103,684578,4573,978,381,391619,0 15,2,2024-09-07 09:17:11:008,500741,500741,0,0,18505883,0,3622 15,3,2024-09-07 09:17:11:416,1,393,0,0,1126,6845,393,0 16,0,2024-09-07 09:17:10:948,97170,0.5,97548,0.7,194725,0.5,258753,2.00 16,1,2024-09-07 09:17:10:573,690154,690154,0,0,323872261801,3386595920,684241,5070,843,370,391756,0 16,2,2024-09-07 09:17:11:437,496372,496372,0,0,21587614,0,4719 16,3,2024-09-07 09:17:11:158,1,393,9,0,317,5204,393,0 17,0,2024-09-07 09:17:11:796,98588,0.6,96171,0.8,188279,0.7,255419,2.00 17,1,2024-09-07 09:17:10:576,688824,688824,0,0,323159456436,3393622354,681309,6005,1510,368,392075,0 17,2,2024-09-07 09:17:11:698,497152,497152,0,0,21010673,0,2948 17,3,2024-09-07 09:17:10:585,1,393,3,0,518,6679,393,0 18,0,2024-09-07 09:17:10:982,98620,0.6,98912,0.8,197196,0.7,263753,2.25 18,1,2024-09-07 09:17:11:642,691523,691523,0,0,324237310386,3362257549,687654,3492,377,367,391649,0 18,2,2024-09-07 09:17:11:756,497740,497740,0,0,19254670,0,3541 18,3,2024-09-07 09:17:10:908,1,393,1,0,163,3147,393,0 19,0,2024-09-07 09:17:11:554,100579,0.7,101139,0.8,200460,0.7,266649,2.25 19,1,2024-09-07 09:17:10:569,691823,691823,0,0,324626342002,3371330655,685891,5011,921,367,391777,0 19,2,2024-09-07 09:17:11:762,501965,501965,0,0,18307264,0,3988 19,3,2024-09-07 09:17:11:140,1,393,3,0,524,3026,393,0 20,0,2024-09-07 09:17:11:377,97070,0.5,96986,0.6,193834,0.4,258538,2.00 20,1,2024-09-07 09:17:10:575,689192,689192,0,0,323944309813,3387979780,683215,5214,763,369,391886,0 20,2,2024-09-07 09:17:10:936,498440,498440,0,0,21922688,0,3721 20,3,2024-09-07 09:17:10:598,1,393,9,0,414,5914,393,0 21,0,2024-09-07 09:17:11:204,96256,0.5,96253,0.6,192453,0.5,255601,2.00 21,1,2024-09-07 09:17:11:552,687540,687540,0,0,323015702013,3406593190,677428,7978,2134,368,392016,0 21,2,2024-09-07 09:17:11:109,490809,490789,20,0,26049106,0,5617 21,3,2024-09-07 09:17:11:416,1,393,59,0,713,5267,393,0 22,0,2024-09-07 09:17:11:722,97932,0.5,98298,0.7,196813,0.5,260906,2.25 22,1,2024-09-07 09:17:11:035,688739,688739,0,0,323478955710,3400083992,678473,8146,2120,382,391667,0 22,2,2024-09-07 09:17:10:769,493817,493791,26,0,21022936,0,6328 22,3,2024-09-07 09:17:11:114,1,393,3,0,228,3342,393,0 23,0,2024-09-07 09:17:11:390,102702,0.6,102198,0.7,204975,0.5,273145,2.25 23,1,2024-09-07 09:17:11:006,689867,689867,0,0,323554905138,3398882135,677751,8439,3677,365,391690,0 23,2,2024-09-07 09:17:11:104,501850,501850,0,0,20653584,0,3010 23,3,2024-09-07 09:17:11:756,1,393,1,0,720,5360,393,0 24,0,2024-09-07 09:17:10:835,97933,0.4,97728,0.5,195963,0.4,260544,1.75 24,1,2024-09-07 09:17:10:606,688859,688859,0,0,322393471471,3380625348,681269,6006,1584,367,392269,0 24,2,2024-09-07 09:17:11:112,498113,498113,0,0,24706961,0,3607 24,3,2024-09-07 09:17:11:696,1,393,12,0,468,5544,393,0 25,0,2024-09-07 09:17:11:456,99008,0.4,96527,0.6,189320,0.3,257893,1.75 25,1,2024-09-07 09:17:10:569,688563,688563,0,0,323593901100,3405236450,678037,8598,1928,371,391928,0 25,2,2024-09-07 09:17:11:606,492232,492232,0,0,24572539,0,3978 25,3,2024-09-07 09:17:11:008,1,393,0,0,532,4434,393,0 26,0,2024-09-07 09:17:11:723,96746,0.4,94345,0.6,197796,0.3,257922,1.75 26,1,2024-09-07 09:17:11:541,690116,690116,0,0,323358072177,3385645321,680479,8090,1547,380,391748,0 26,2,2024-09-07 09:17:10:864,495987,495987,0,0,24613846,0,2809 26,3,2024-09-07 09:17:11:720,1,393,1,0,796,5059,393,0 27,0,2024-09-07 09:17:11:730,102910,0.4,103113,0.6,204822,0.4,273680,2.00 27,1,2024-09-07 09:17:11:684,691897,691897,0,0,324599356599,3379807467,685502,5558,837,381,391626,0 27,2,2024-09-07 09:17:10:874,496407,496342,65,0,23422838,0,5699 27,3,2024-09-07 09:17:11:019,1,393,4,0,564,3985,393,0 28,0,2024-09-07 09:17:11:390,98098,0.4,97642,0.6,195501,0.3,261175,2.00 28,1,2024-09-07 09:17:10:797,690511,690511,0,0,324475455736,3389934286,683631,5192,1688,383,391698,0 28,2,2024-09-07 09:17:11:767,499358,499358,0,0,21533814,0,2915 28,3,2024-09-07 09:17:11:784,1,393,11,0,502,4496,393,0 29,0,2024-09-07 09:17:11:433,99798,0.3,97194,0.6,191023,0.3,259742,1.75 29,1,2024-09-07 09:17:11:564,692461,692461,0,0,325277706732,3368227603,687662,4066,733,368,391753,0 29,2,2024-09-07 09:17:10:862,494770,494770,0,0,20289351,0,4986 29,3,2024-09-07 09:17:10:971,1,393,0,0,459,4797,393,0 30,0,2024-09-07 09:17:11:477,95273,0.5,92554,0.7,193829,0.4,253663,2.00 30,1,2024-09-07 09:17:10:583,692357,692357,0,0,324647502410,3373494622,686613,4978,766,381,391672,0 30,2,2024-09-07 09:17:11:280,495992,495992,0,0,19017052,0,3161 30,3,2024-09-07 09:17:10:594,1,393,8,0,519,3846,393,0 31,0,2024-09-07 09:17:11:762,100893,0.4,101301,0.6,202199,0.4,270362,2.00 31,1,2024-09-07 09:17:10:564,694495,694495,0,0,326129214267,3345311784,691519,2390,586,356,391712,0 31,2,2024-09-07 09:17:11:299,496195,496195,0,0,21327058,0,3525 31,3,2024-09-07 09:17:11:706,1,393,53,0,220,3503,393,0 32,0,2024-09-07 09:17:11:458,100230,0.3,100875,0.5,200958,0.3,267499,1.75 32,1,2024-09-07 09:17:10:812,691303,691303,0,0,325320773424,3386388765,686202,4421,680,381,391646,0 32,2,2024-09-07 09:17:10:940,500500,500500,0,0,18992625,0,3922 32,3,2024-09-07 09:17:11:024,1,393,8,0,227,2801,393,0 33,0,2024-09-07 09:17:11:499,97348,0.3,96885,0.4,194463,0.2,258592,1.50 33,1,2024-09-07 09:17:10:574,692438,692438,0,0,325489163746,3379237757,686023,5312,1103,369,391730,0 33,2,2024-09-07 09:17:10:758,498895,498860,35,0,21455738,0,7012 33,3,2024-09-07 09:17:10:904,1,393,1,0,329,4009,393,0 34,0,2024-09-07 09:17:10:951,96330,0.3,99079,0.4,189708,0.2,254623,1.75 34,1,2024-09-07 09:17:11:049,693444,693444,0,0,325345091811,3347731477,691712,1721,11,367,391562,0 34,2,2024-09-07 09:17:10:767,494711,494711,0,0,19997260,0,4562 34,3,2024-09-07 09:17:11:693,1,393,0,0,299,3092,393,0 35,0,2024-09-07 09:17:10:865,98089,0.4,98364,0.5,198006,0.3,264025,1.75 35,1,2024-09-07 09:17:11:072,691855,691855,0,0,323967541746,3359199115,687579,3420,856,382,391769,0 35,2,2024-09-07 09:17:11:583,494938,494938,0,0,20509638,0,2653 35,3,2024-09-07 09:17:10:911,1,393,1,0,418,4455,393,0 36,0,2024-09-07 09:17:11:525,102969,0.5,102855,0.7,205622,0.5,273242,2.25 36,1,2024-09-07 09:17:10:595,690249,690249,0,0,324207906392,3388195829,681027,7239,1983,366,391759,0 36,2,2024-09-07 09:17:11:756,500768,500768,0,0,22491056,0,3875 36,3,2024-09-07 09:17:10:866,1,393,2,0,416,5921,393,0 37,0,2024-09-07 09:17:11:414,96418,0.5,96487,0.6,193032,0.4,257445,2.00 37,1,2024-09-07 09:17:10:570,690319,690312,0,7,323001867660,3375982000,682006,6063,2243,365,391570,0 37,2,2024-09-07 09:17:11:148,497327,497312,15,0,22712959,0,5815 37,3,2024-09-07 09:17:11:772,1,393,1,0,888,6141,393,0 38,0,2024-09-07 09:17:11:441,95551,0.5,92822,0.6,194152,0.4,254203,2.00 38,1,2024-09-07 09:17:11:606,691257,691257,0,0,324628917484,3392402751,681691,7619,1947,368,391821,0 38,2,2024-09-07 09:17:10:776,493186,493139,47,0,21733715,0,6710 38,3,2024-09-07 09:17:11:011,1,393,2,0,689,5374,393,0 39,0,2024-09-07 09:17:11:762,100038,0.6,97978,0.7,190797,0.6,260709,2.00 39,1,2024-09-07 09:17:10:717,689663,689663,0,0,323205515953,3389572397,678314,8994,2355,365,391658,0 39,2,2024-09-07 09:17:11:417,495314,495314,0,0,20367758,0,2689 39,3,2024-09-07 09:17:10:717,1,393,11,0,324,4753,393,0 40,0,2024-09-07 09:17:11:494,101885,0.8,102672,0.9,204422,0.8,272571,2.75 40,1,2024-09-07 09:17:10:582,690512,690512,0,0,323238251467,3383620691,680963,7924,1625,368,391668,0 40,2,2024-09-07 09:17:11:303,499303,499302,1,0,24108602,0,5137 40,3,2024-09-07 09:17:11:151,1,393,23,0,1028,5484,393,0 41,0,2024-09-07 09:17:11:029,96636,1.5,98835,1.3,188740,2.4,256596,3.00 41,1,2024-09-07 09:17:10:782,689392,689392,0,0,323715691678,3386768952,680810,7603,979,369,391742,0 41,2,2024-09-07 09:17:10:766,497337,497337,0,0,22994278,0,4277 41,3,2024-09-07 09:17:11:692,1,393,32,0,366,4085,393,0 42,0,2024-09-07 09:17:11:490,96256,0.9,96297,1.0,192681,1.0,254893,2.75 42,1,2024-09-07 09:17:11:472,688340,688340,0,0,322287077977,3388126812,677251,9171,1918,380,391675,0 42,2,2024-09-07 09:17:11:133,493075,493075,0,0,23224414,0,3790 42,3,2024-09-07 09:17:11:010,1,393,8,0,446,3235,393,0 43,0,2024-09-07 09:17:10:925,95335,0.8,92874,1.0,194539,0.9,255322,2.25 43,1,2024-09-07 09:17:10:582,690051,690051,0,0,324917617375,3395865927,680358,7963,1730,366,391696,0 43,2,2024-09-07 09:17:11:737,495509,495509,0,0,23138112,0,3812 43,3,2024-09-07 09:17:11:755,1,393,7,0,467,4623,393,0 44,0,2024-09-07 09:17:10:856,102418,0.4,102773,0.6,205653,0.4,273448,1.75 44,1,2024-09-07 09:17:10:563,691889,691889,0,0,324401999050,3358083790,685417,5154,1318,356,391809,0 44,2,2024-09-07 09:17:11:267,496859,496859,0,0,19660805,0,4344 44,3,2024-09-07 09:17:11:122,1,393,8,0,817,4849,393,0 45,0,2024-09-07 09:17:11:765,97249,0.5,95027,0.8,199134,0.5,262190,2.00 45,1,2024-09-07 09:17:11:006,691212,691212,0,0,324774974395,3377702376,685563,5076,573,382,391917,0 45,2,2024-09-07 09:17:11:267,499985,499985,0,0,20013325,0,3596 45,3,2024-09-07 09:17:10:935,1,393,1,0,271,3585,393,0 46,0,2024-09-07 09:17:10:971,96578,0.5,96545,0.7,193361,0.5,256344,2.00 46,1,2024-09-07 09:17:10:586,692570,692570,0,0,325745261671,3367043802,688049,3931,590,366,391709,0 46,2,2024-09-07 09:17:10:597,497423,497423,0,0,19929719,0,4443 46,3,2024-09-07 09:17:11:141,1,393,3,0,908,5307,393,0 47,0,2024-09-07 09:17:11:138,96020,0.4,96272,0.6,192963,0.4,254754,2.00 47,1,2024-09-07 09:17:10:577,693165,693165,0,0,324356309126,3354015565,688572,3778,815,366,391641,0 47,2,2024-09-07 09:17:10:934,496877,496877,0,0,19393731,0,4477 47,3,2024-09-07 09:17:11:125,1,393,7,0,600,4811,393,0 48,0,2024-09-07 09:17:11:498,99997,0.3,99783,0.4,198988,0.2,266008,1.75 48,1,2024-09-07 09:17:11:025,691712,691712,0,0,324406263183,3370535650,687477,3781,454,384,391710,0 48,2,2024-09-07 09:17:10:701,494993,494993,0,0,18470009,0,3411 48,3,2024-09-07 09:17:10:755,1,393,12,0,339,3288,393,0 49,0,2024-09-07 09:17:11:731,103886,0.4,102077,0.5,197648,0.3,270916,1.75 49,1,2024-09-07 09:17:11:025,691257,691257,0,0,324181097640,3376056487,686192,3860,1205,382,391809,0 49,2,2024-09-07 09:17:11:802,500799,500799,0,0,20482769,0,4426 49,3,2024-09-07 09:17:11:416,1,393,6,0,992,4940,393,0 50,0,2024-09-07 09:17:11:516,97548,0.3,96026,0.4,193766,0.2,258158,1.75 50,1,2024-09-07 09:17:11:011,693208,693208,0,0,325535629452,3368907656,688358,4276,574,368,391565,0 50,2,2024-09-07 09:17:11:094,498698,498698,0,0,18454188,0,2263 50,3,2024-09-07 09:17:11:295,1,393,1,0,567,4125,393,0 51,0,2024-09-07 09:17:11:697,98799,0.3,96574,0.5,188443,0.2,256704,1.75 51,1,2024-09-07 09:17:11:687,693418,693418,0,0,326480282492,3367881130,689890,2550,978,365,391706,0 51,2,2024-09-07 09:17:11:333,493858,493858,0,0,17531188,0,3337 51,3,2024-09-07 09:17:11:035,1,393,239,0,678,3336,393,0 52,0,2024-09-07 09:17:11:458,98428,0.5,98302,0.7,196889,0.5,261811,2.00 52,1,2024-09-07 09:17:10:595,690246,690246,0,0,323664808603,3388097511,680632,8300,1314,368,391722,0 52,2,2024-09-07 09:17:11:755,492132,492094,38,0,23410758,0,6742 52,3,2024-09-07 09:17:10:685,1,393,8,0,1782,5690,393,0 53,0,2024-09-07 09:17:11:743,102306,0.7,99363,0.8,208081,0.8,273027,2.50 53,1,2024-09-07 09:17:10:777,688943,688943,0,0,323669301560,3398785397,677274,8711,2958,367,391702,0 53,2,2024-09-07 09:17:11:301,500744,500743,1,0,21442837,0,5455 53,3,2024-09-07 09:17:10:698,1,393,1,0,308,3687,393,0 54,0,2024-09-07 09:17:11:616,95972,0.6,96279,0.8,191846,0.4,256468,2.25 54,1,2024-09-07 09:17:10:587,690399,690399,0,0,324691637144,3377718343,683165,5995,1239,366,391659,0 54,2,2024-09-07 09:17:10:865,499287,499255,32,0,24275714,0,6397 54,3,2024-09-07 09:17:10:776,1,393,2,0,676,6002,393,0 55,0,2024-09-07 09:17:11:764,93242,0.6,96440,0.7,194746,0.5,253796,2.50 55,1,2024-09-07 09:17:10:770,691299,691299,0,0,324694584614,3376479280,683970,6245,1084,365,391731,0 55,2,2024-09-07 09:17:10:741,492709,492653,56,0,22516008,0,7239 55,3,2024-09-07 09:17:10:682,1,393,33,0,304,4228,393,0 56,0,2024-09-07 09:17:11:572,98916,1.0,93225,1.1,192080,1.3,257384,2.50 56,1,2024-09-07 09:17:10:575,686717,686717,0,0,323016178626,3411948784,675917,8482,2318,381,391867,0 56,2,2024-09-07 09:17:11:310,495347,495347,0,0,22477477,0,3567 56,3,2024-09-07 09:17:11:060,1,393,7,0,705,4939,393,0 57,0,2024-09-07 09:17:10:979,101514,1.4,101337,1.2,203248,2.0,271689,3.00 57,1,2024-09-07 09:17:10:987,689601,689601,0,0,323283637249,3389187230,681431,7141,1029,366,392032,0 57,2,2024-09-07 09:17:11:341,498842,498842,0,0,24590083,0,3317 57,3,2024-09-07 09:17:11:745,1,393,1,0,359,4270,393,0 58,0,2024-09-07 09:17:10:572,96248,0.9,93544,1.0,195719,1.0,256575,2.50 58,1,2024-09-07 09:17:10:574,689902,689899,0,3,324108547197,3389441400,680437,8184,1278,367,391603,3 58,2,2024-09-07 09:17:11:097,498471,498471,0,0,23030535,0,2902 58,3,2024-09-07 09:17:11:096,1,393,2,0,1043,4284,393,0 59,0,2024-09-07 09:17:11:747,96865,0.8,96620,0.9,192774,0.8,256190,2.50 59,1,2024-09-07 09:17:10:926,689466,689466,0,0,324247957424,3395560412,680320,7556,1590,369,391653,0 59,2,2024-09-07 09:17:10:586,495680,495680,0,0,22309426,0,2867 59,3,2024-09-07 09:17:11:741,1,393,190,0,1015,5213,393,0 60,0,2024-09-07 09:17:11:706,95568,0.5,95483,0.7,191588,0.5,254684,1.75 60,1,2024-09-07 09:17:10:804,691753,691753,0,0,324710299296,3371145796,687199,3905,649,370,392031,0 60,2,2024-09-07 09:17:11:146,495647,495647,0,0,21614305,0,3811 60,3,2024-09-07 09:17:11:261,1,393,9,0,409,4776,393,0 61,0,2024-09-07 09:17:11:503,100806,0.6,101482,0.8,202131,0.6,269917,2.00 61,1,2024-09-07 09:17:10:777,690173,690173,0,0,323473724933,3391086080,682289,6487,1397,382,392127,0 61,2,2024-09-07 09:17:11:123,496235,496168,67,0,22002172,0,6411 61,3,2024-09-07 09:17:11:688,1,393,0,0,479,5575,393,0 62,0,2024-09-07 09:17:11:765,100807,0.6,103155,0.7,196859,0.6,267281,2.00 62,1,2024-09-07 09:17:11:150,694630,694624,0,6,325811856384,3362047486,690820,3543,261,365,391715,6 62,2,2024-09-07 09:17:11:645,498133,498132,1,0,22108656,0,5555 62,3,2024-09-07 09:17:11:145,1,393,1,0,482,3309,393,0 63,0,2024-09-07 09:17:11:485,97495,0.4,97355,0.6,195213,0.3,258985,1.75 63,1,2024-09-07 09:17:10:804,691948,691942,0,6,324981317442,3376801258,687040,4170,732,381,391800,6 63,2,2024-09-07 09:17:10:769,498095,498095,0,0,20087616,0,4369 63,3,2024-09-07 09:17:11:733,1,393,7,0,667,4160,393,0 64,0,2024-09-07 09:17:11:520,95668,0.5,95639,0.7,191108,0.5,253726,2.00 64,1,2024-09-07 09:17:10:754,691274,691274,0,0,323959669616,3378713253,684745,4826,1703,370,391783,0 64,2,2024-09-07 09:17:11:147,497623,497604,19,0,19564489,0,6121 64,3,2024-09-07 09:17:11:145,1,393,9,0,265,3673,393,0 65,0,2024-09-07 09:17:11:705,97690,0.7,98110,0.8,195778,0.8,261601,2.25 65,1,2024-09-07 09:17:10:869,689765,689765,0,0,323899699769,3387339133,684722,4503,540,382,391901,0 65,2,2024-09-07 09:17:11:702,495824,495824,0,0,22858491,0,3367 65,3,2024-09-07 09:17:11:692,1,393,0,0,347,3976,393,0 66,0,2024-09-07 09:17:11:805,102245,0.6,102272,0.8,204243,0.6,271707,2.25 66,1,2024-09-07 09:17:11:293,691293,691293,0,0,323943854544,3376256579,686278,4599,416,380,391743,0 66,2,2024-09-07 09:17:11:142,501928,501928,0,0,20140061,0,4956 66,3,2024-09-07 09:17:11:089,1,393,1,0,291,3563,393,0 67,0,2024-09-07 09:17:11:425,97041,0.5,96711,0.7,194019,0.4,258216,2.00 67,1,2024-09-07 09:17:10:766,691527,691526,0,1,323393091481,3368799161,686857,3884,785,380,391787,1 67,2,2024-09-07 09:17:10:586,501021,501021,0,0,19334912,0,3622 67,3,2024-09-07 09:17:11:752,1,393,1,0,392,3990,393,0 68,0,2024-09-07 09:17:10:575,96416,0.6,96113,0.7,191652,0.5,256003,2.00 68,1,2024-09-07 09:17:10:581,688776,688776,0,0,323701788129,3400622027,680590,5872,2314,381,391953,0 68,2,2024-09-07 09:17:11:048,491216,491116,100,0,25458384,0,8578 68,3,2024-09-07 09:17:10:743,1,393,8,0,417,4245,393,0 69,0,2024-09-07 09:17:11:743,97504,0.8,98110,0.9,195190,1.0,259305,2.25 69,1,2024-09-07 09:17:11:019,688272,688272,0,0,323070849609,3407653486,679074,7008,2190,384,391994,0 69,2,2024-09-07 09:17:11:734,494367,494338,29,0,26734184,0,6912 69,3,2024-09-07 09:17:10:781,1,393,178,0,698,5672,393,0 70,0,2024-09-07 09:17:11:544,101838,0.9,101990,1.0,205076,0.7,271515,2.50 70,1,2024-09-07 09:17:10:802,691375,691375,0,0,324189738106,3367192828,685499,5215,661,366,391725,0 70,2,2024-09-07 09:17:11:333,499603,499603,0,0,22731079,0,4044 70,3,2024-09-07 09:17:10:759,1,393,1,0,854,4630,393,0 71,0,2024-09-07 09:17:11:389,96384,0.9,96040,1.0,192860,1.1,258276,2.75 71,1,2024-09-07 09:17:11:597,690722,690722,0,0,324385652904,3385685916,682765,7186,771,368,391738,0 71,2,2024-09-07 09:17:11:094,498774,498774,0,0,22982198,0,4352 71,3,2024-09-07 09:17:11:765,1,393,7,0,644,5167,393,0 72,0,2024-09-07 09:17:11:039,100111,0.5,97897,0.7,190933,0.5,259311,2.00 72,1,2024-09-07 09:17:11:035,689710,689710,0,0,323624391158,3394624314,679682,8061,1967,369,391819,0 72,2,2024-09-07 09:17:11:762,493522,493522,0,0,24492818,0,3983 72,3,2024-09-07 09:17:11:760,1,393,8,0,564,5916,393,0 73,0,2024-09-07 09:17:11:102,94158,0.4,96493,0.6,197394,0.4,256642,2.00 73,1,2024-09-07 09:17:10:795,691107,691107,0,0,324237058056,3367469732,686417,4326,364,367,391858,0 73,2,2024-09-07 09:17:11:741,495484,495484,0,0,24087664,0,3701 73,3,2024-09-07 09:17:10:978,1,393,7,0,486,5534,393,0 74,0,2024-09-07 09:17:11:356,103260,0.4,105477,0.6,201187,0.4,273551,2.00 74,1,2024-09-07 09:17:10:646,690748,690748,0,0,323780267106,3379473587,683764,5615,1369,381,391681,0 74,2,2024-09-07 09:17:11:008,496702,496702,0,0,22383386,0,4253 74,3,2024-09-07 09:17:11:450,1,393,9,0,522,5429,393,0 75,0,2024-09-07 09:17:11:782,98859,0.5,98199,0.8,197358,0.5,263324,2.25 75,1,2024-09-07 09:17:11:585,690375,690375,0,0,323610932570,3377412125,683662,5887,826,380,391739,0 75,2,2024-09-07 09:17:11:382,498031,498031,0,0,24128381,0,4766 75,3,2024-09-07 09:17:11:067,1,393,2,0,702,5201,393,0 76,0,2024-09-07 09:17:10:601,96656,0.6,96009,0.7,192892,0.5,257211,2.25 76,1,2024-09-07 09:17:10:815,690582,690582,0,0,323665228700,3379178182,685410,4385,787,382,391692,0 76,2,2024-09-07 09:17:11:094,498788,498787,1,0,21910592,0,5144 76,3,2024-09-07 09:17:11:148,1,393,12,0,175,3717,393,0 77,0,2024-09-07 09:17:11:706,95411,0.6,95746,0.8,191380,0.6,253691,2.00 77,1,2024-09-07 09:17:10:841,690852,690852,0,0,324123564533,3384705271,685262,5092,498,381,391869,0 77,2,2024-09-07 09:17:11:283,495018,495018,0,0,21408402,0,3890 77,3,2024-09-07 09:17:11:122,1,393,1,0,401,4210,393,0 78,0,2024-09-07 09:17:11:727,99832,0.5,99257,0.7,199333,0.4,264682,2.00 78,1,2024-09-07 09:17:10:610,691307,691307,0,0,323745695763,3374814910,683786,5955,1566,367,391670,0 78,2,2024-09-07 09:17:11:417,495894,495881,13,0,20229007,0,8313 78,3,2024-09-07 09:17:11:138,1,393,9,0,181,3598,393,0 79,0,2024-09-07 09:17:11:386,97573,0.4,99922,0.6,204723,0.4,265679,2.25 79,1,2024-09-07 09:17:10:570,692645,692645,0,0,324813735847,3367833702,686748,4748,1149,368,391682,0 79,2,2024-09-07 09:17:11:091,501359,501359,0,0,19935368,0,4195 79,3,2024-09-07 09:17:10:753,1,393,19,0,418,5073,393,0 80,0,2024-09-07 09:17:11:096,96985,0.5,99856,0.7,191069,0.5,257661,2.00 80,1,2024-09-07 09:17:11:628,690479,690479,0,0,324202817483,3377959921,685471,4684,324,368,392269,0 80,2,2024-09-07 09:17:11:112,500414,500414,0,0,19832172,0,4433 80,3,2024-09-07 09:17:10:586,1,393,7,0,190,4696,393,0 81,0,2024-09-07 09:17:11:559,96288,0.5,98606,0.7,188108,0.5,255482,2.00 81,1,2024-09-07 09:17:11:653,690004,690004,0,0,322716996053,3375689126,684247,5251,506,382,391879,0 81,2,2024-09-07 09:17:11:150,492402,492339,63,0,21627392,0,5932 81,3,2024-09-07 09:17:11:122,1,393,0,0,719,4909,393,0 82,0,2024-09-07 09:17:11:561,97733,0.6,98230,0.8,196759,0.5,261251,2.00 82,1,2024-09-07 09:17:10:586,691995,691991,0,4,324333954080,3376814737,687393,3781,817,381,391768,4 82,2,2024-09-07 09:17:11:692,496392,496392,0,0,18593171,0,3986 82,3,2024-09-07 09:17:11:759,1,393,266,0,363,4476,393,0 83,0,2024-09-07 09:17:11:528,103141,0.7,103014,0.8,205263,0.7,273274,2.25 83,1,2024-09-07 09:17:10:557,689605,689605,0,0,323942293963,3380973096,684194,4961,450,382,391709,0 83,2,2024-09-07 09:17:10:776,500984,500984,0,0,19393630,0,3393 83,3,2024-09-07 09:17:10:756,1,393,8,0,1260,5210,393,0 84,0,2024-09-07 09:17:11:795,96758,0.7,96785,0.8,193092,0.6,258772,2.25 84,1,2024-09-07 09:17:11:047,689512,689512,0,0,323499506689,3382528801,682028,6446,1038,367,391967,0 84,2,2024-09-07 09:17:10:573,497967,497937,30,0,26270020,0,5971 84,3,2024-09-07 09:17:11:149,1,393,23,0,908,5895,393,0 85,0,2024-09-07 09:17:11:011,92966,0.6,92971,0.8,197332,0.6,255065,2.25 85,1,2024-09-07 09:17:10:569,688233,688233,0,0,322961145016,3409046653,678114,8296,1823,381,392006,0 85,2,2024-09-07 09:17:10:864,492815,492815,0,0,23481336,0,3656 85,3,2024-09-07 09:17:10:691,1,393,1,0,789,5041,393,0 86,0,2024-09-07 09:17:10:908,96582,0.6,99385,0.8,190215,0.6,257210,2.25 86,1,2024-09-07 09:17:10:831,689973,689973,0,0,324298515611,3396021695,681947,6590,1436,366,391961,0 86,2,2024-09-07 09:17:10:860,493374,493373,1,0,26251723,0,5004 86,3,2024-09-07 09:17:10:594,1,393,0,0,308,5894,393,0 87,0,2024-09-07 09:17:11:297,102533,0.9,102391,0.9,205210,1.2,274102,2.25 87,1,2024-09-07 09:17:10:566,688496,688496,0,0,323372439878,3390809892,679304,7769,1423,366,392076,0 87,2,2024-09-07 09:17:11:068,497312,497306,6,0,23423405,0,6323 87,3,2024-09-07 09:17:11:801,1,393,0,0,473,6002,393,0 88,0,2024-09-07 09:17:11:467,97629,0.4,98407,0.6,195829,0.4,260859,1.75 88,1,2024-09-07 09:17:10:578,687876,687876,0,0,323474725389,3387679647,679224,6768,1884,365,392084,0 88,2,2024-09-07 09:17:10:691,499556,499556,0,0,24609118,0,3583 88,3,2024-09-07 09:17:11:270,1,393,9,0,435,4118,393,0 89,0,2024-09-07 09:17:11:776,100020,0.4,96896,0.6,191536,0.4,259906,1.75 89,1,2024-09-07 09:17:10:567,688167,688167,0,0,323125762457,3396986322,679643,7129,1395,382,391866,0 89,2,2024-09-07 09:17:11:133,494295,494295,0,0,23501113,0,3173 89,3,2024-09-07 09:17:11:792,1,393,3,0,385,6871,393,0 90,0,2024-09-07 09:17:11:635,92751,0.5,95236,0.6,194325,0.4,253647,2.00 90,1,2024-09-07 09:17:10:633,689761,689761,0,0,323427504951,3386907063,683328,5924,509,380,391825,0 90,2,2024-09-07 09:17:11:419,493604,493604,0,0,24969215,0,3060 90,3,2024-09-07 09:17:10:940,1,393,13,0,322,4971,393,0 91,0,2024-09-07 09:17:11:010,101606,0.4,98443,0.6,205702,0.4,270414,1.75 91,1,2024-09-07 09:17:10:576,688712,688712,0,0,323457147979,3400364531,680208,7313,1191,381,392047,0 91,2,2024-09-07 09:17:11:338,496956,496956,0,0,22111255,0,2896 91,3,2024-09-07 09:17:10:602,1,393,192,0,216,3876,393,0 92,0,2024-09-07 09:17:11:449,101025,0.5,103509,0.6,197889,0.5,267620,1.75 92,1,2024-09-07 09:17:10:586,690023,690023,0,0,323707179046,3382893184,684577,4725,721,382,392136,0 92,2,2024-09-07 09:17:11:380,500519,500519,0,0,20232561,0,3259 92,3,2024-09-07 09:17:11:009,1,393,18,0,167,3559,393,0 93,0,2024-09-07 09:17:10:982,97870,0.4,100296,0.5,191425,0.3,259077,1.75 93,1,2024-09-07 09:17:10:805,689140,689140,0,0,323724270701,3383337954,681360,6435,1345,366,391776,0 93,2,2024-09-07 09:17:10:939,497604,497604,0,0,24321039,0,4845 93,3,2024-09-07 09:17:11:417,1,393,2,0,190,3622,393,0 94,0,2024-09-07 09:17:11:616,95779,0.4,96557,0.5,192961,0.3,255420,1.75 94,1,2024-09-07 09:17:10:565,690477,690477,0,0,324031830115,3380545095,686087,4196,194,381,391850,0 94,2,2024-09-07 09:17:10:767,494103,494103,0,0,20325510,0,2443 94,3,2024-09-07 09:17:11:695,1,393,0,0,264,4773,393,0 95,0,2024-09-07 09:17:11:416,98524,0.4,98518,0.5,197594,0.3,263764,1.75 95,1,2024-09-07 09:17:10:869,691932,691932,0,0,324064165687,3370407952,686394,5127,411,367,391713,0 95,2,2024-09-07 09:17:11:032,495153,495153,0,0,20064467,0,3308 95,3,2024-09-07 09:17:11:720,1,393,12,0,718,6002,393,0 96,0,2024-09-07 09:17:11:023,102831,0.4,103138,0.5,205849,0.4,272871,1.75 96,1,2024-09-07 09:17:11:583,689775,689775,0,0,324170187278,3385513443,684278,4619,878,384,391955,0 96,2,2024-09-07 09:17:11:268,501088,501088,0,0,20976577,0,4180 96,3,2024-09-07 09:17:11:147,1,393,8,0,411,4491,393,0 97,0,2024-09-07 09:17:11:321,97006,0.3,96776,0.5,194043,0.3,257943,1.50 97,1,2024-09-07 09:17:10:777,691325,691325,0,0,325461698333,3378872488,686222,4174,929,367,392140,0 97,2,2024-09-07 09:17:10:607,499744,499744,0,0,20478532,0,3679 97,3,2024-09-07 09:17:10:569,1,393,1,0,242,4598,393,0 98,0,2024-09-07 09:17:11:758,95946,0.3,95908,0.4,192695,0.2,256134,1.50 98,1,2024-09-07 09:17:10:580,690341,690341,0,0,324289125586,3381159038,685643,3919,779,382,391997,0 98,2,2024-09-07 09:17:10:770,493834,493834,0,0,20057172,0,3243 98,3,2024-09-07 09:17:10:700,1,393,13,0,840,6523,393,0 99,0,2024-09-07 09:17:11:474,97991,0.3,98682,0.5,196149,0.3,261949,1.75 99,1,2024-09-07 09:17:11:800,690871,690871,0,0,323916109086,3374446828,686027,4011,833,381,392069,0 99,2,2024-09-07 09:17:11:444,495974,495974,0,0,23387277,0,3424 99,3,2024-09-07 09:17:10:587,1,393,1,0,606,4452,393,0 100,0,2024-09-07 09:17:11:480,102388,0.7,102718,0.9,204515,0.8,273376,2.50 100,1,2024-09-07 09:17:10:554,687664,687664,0,0,322369776158,3407582098,677318,8332,2014,381,391989,0 100,2,2024-09-07 09:17:11:826,498219,498208,11,0,23684790,0,5417 100,3,2024-09-07 09:17:11:731,1,393,13,0,559,6643,393,0 101,0,2024-09-07 09:17:11:712,99243,1.0,96606,1.0,189873,0.9,260208,2.25 101,1,2024-09-07 09:17:10:563,687832,687832,0,0,323098859786,3402371499,677353,8359,2120,368,391847,0 101,2,2024-09-07 09:17:11:802,495825,495825,0,0,28429235,0,4871 101,3,2024-09-07 09:17:10:948,1,393,1,0,579,5745,393,0 102,0,2024-09-07 09:17:10:972,94425,0.6,97467,0.8,197338,0.6,258113,2.00 102,1,2024-09-07 09:17:11:152,688092,688092,0,0,323280521373,3400556554,678369,7964,1759,369,391891,0 102,2,2024-09-07 09:17:11:748,494298,494244,54,0,22304630,0,6768 102,3,2024-09-07 09:17:11:614,1,393,7,0,410,3977,393,0 103,0,2024-09-07 09:17:11:646,99641,0.6,99700,0.7,187891,0.6,258416,2.00 103,1,2024-09-07 09:17:11:741,687014,687014,0,0,323199900769,3415466131,675331,8619,3064,381,391829,0 103,2,2024-09-07 09:17:10:600,493919,493919,0,0,24418430,0,3766 103,3,2024-09-07 09:17:10:756,1,393,1,0,916,4431,393,0 104,0,2024-09-07 09:17:11:025,101632,0.7,102112,0.9,202702,0.7,272832,2.25 104,1,2024-09-07 09:17:11:609,689135,689135,0,0,322974002469,3393683137,679200,8157,1778,365,392168,0 104,2,2024-09-07 09:17:11:709,496183,496183,0,0,24162191,0,3941 104,3,2024-09-07 09:17:11:434,1,393,32,0,1245,8026,393,0 105,0,2024-09-07 09:17:11:039,97328,0.9,94754,1.1,198498,1.0,261409,2.75 105,1,2024-09-07 09:17:10:557,690069,690069,0,0,323881340823,3393667651,681325,7396,1348,366,392009,0 105,2,2024-09-07 09:17:11:329,498612,498612,0,0,23508849,0,3509 105,3,2024-09-07 09:17:11:329,1,393,7,0,399,5936,393,0 106,0,2024-09-07 09:17:10:963,93711,0.7,96043,0.9,196665,0.8,257043,2.50 106,1,2024-09-07 09:17:11:754,688611,688611,0,0,322998901776,3395316904,677763,9405,1443,369,391914,0 106,2,2024-09-07 09:17:10:770,495994,495994,0,0,22827740,0,2795 106,3,2024-09-07 09:17:10:683,1,393,3,0,470,5076,393,0 107,0,2024-09-07 09:17:11:133,95519,1.0,95733,0.9,191097,1.3,254689,2.25 107,1,2024-09-07 09:17:10:604,688091,688091,0,0,322777351606,3400217756,679160,7959,972,381,392234,0 107,2,2024-09-07 09:17:11:291,493373,493372,1,0,23653903,0,5024 107,3,2024-09-07 09:17:11:756,1,393,3,0,353,5848,393,0 108,0,2024-09-07 09:17:11:818,99218,0.5,99958,0.6,198640,0.4,265755,1.75 108,1,2024-09-07 09:17:11:320,690278,690278,0,0,324073019631,3381467206,684350,5189,739,368,391857,0 108,2,2024-09-07 09:17:11:762,494378,494378,0,0,22795118,0,4246 108,3,2024-09-07 09:17:11:339,1,393,26,0,749,7569,393,0 109,0,2024-09-07 09:17:11:767,101891,0.4,100969,0.6,201946,0.4,269968,1.75 109,1,2024-09-07 09:17:10:618,688025,688025,0,0,323578900829,3396794852,681362,5550,1113,382,392132,0 109,2,2024-09-07 09:17:11:003,498303,498303,0,0,22515324,0,3617 109,3,2024-09-07 09:17:11:161,1,393,9,0,379,4640,393,0 110,0,2024-09-07 09:17:11:762,96871,0.4,94370,0.6,197861,0.3,258508,1.75 110,1,2024-09-07 09:17:11:644,691502,691502,0,0,324177583139,3367401854,686312,4014,1176,369,392045,0 110,2,2024-09-07 09:17:11:315,499159,499159,0,0,21530362,0,4067 110,3,2024-09-07 09:17:10:700,1,393,9,0,722,5747,393,0 111,0,2024-09-07 09:17:11:471,96719,0.4,95851,0.5,192374,0.3,256892,1.75 111,1,2024-09-07 09:17:11:001,692137,692137,0,0,325016412320,3371824661,688534,3249,354,380,391690,0 111,2,2024-09-07 09:17:11:151,493021,493021,0,0,20822935,0,4823 111,3,2024-09-07 09:17:10:913,1,393,48,0,379,4566,393,0 112,0,2024-09-07 09:17:10:915,98903,0.3,98434,0.4,197075,0.2,262220,1.50 112,1,2024-09-07 09:17:10:830,691722,691722,0,0,324293858582,3367219019,687311,3799,612,380,391624,0 112,2,2024-09-07 09:17:11:133,495376,495375,1,0,19918453,0,5036 112,3,2024-09-07 09:17:10:596,1,393,15,0,282,3966,393,0 113,0,2024-09-07 09:17:10:880,103105,0.3,103225,0.5,206685,0.3,275398,1.75 113,1,2024-09-07 09:17:11:691,694233,694233,0,0,326113823653,3366865388,690362,3283,588,366,391661,0 113,2,2024-09-07 09:17:11:313,501994,501994,0,0,18821941,0,3813 113,3,2024-09-07 09:17:10:692,1,393,0,0,340,4624,393,0 114,0,2024-09-07 09:17:10:888,97733,0.3,98406,0.5,195526,0.2,261509,1.75 114,1,2024-09-07 09:17:10:717,691024,691024,0,0,323797304989,3366913952,684906,4503,1615,381,391565,0 114,2,2024-09-07 09:17:10:910,499717,499716,1,0,19934093,0,5069 114,3,2024-09-07 09:17:11:281,1,393,67,0,395,3436,393,0 115,0,2024-09-07 09:17:10:590,96558,0.3,97050,0.4,193803,0.2,257535,1.50 115,1,2024-09-07 09:17:10:571,691358,691358,0,0,324689085437,3375810299,685518,4909,931,382,391757,0 115,2,2024-09-07 09:17:11:133,495442,495442,0,0,18717998,0,3848 115,3,2024-09-07 09:17:11:002,1,393,12,0,159,2311,393,0 116,0,2024-09-07 09:17:11:708,96420,0.7,96333,0.9,193195,0.7,258709,2.00 116,1,2024-09-07 09:17:10:805,688141,688141,0,0,322764786428,3402575203,679747,6074,2320,380,392089,0 116,2,2024-09-07 09:17:11:753,494554,494554,0,0,24697636,0,4128 116,3,2024-09-07 09:17:10:922,1,393,8,0,415,4750,393,0 117,0,2024-09-07 09:17:10:987,102995,0.7,102393,0.8,205361,0.8,274326,2.00 117,1,2024-09-07 09:17:11:580,689085,689085,0,0,323950808678,3392545653,681409,6768,908,369,392033,0 117,2,2024-09-07 09:17:11:152,501171,501171,0,0,20560051,0,3700 117,3,2024-09-07 09:17:11:062,1,393,1,0,490,6051,393,0 118,0,2024-09-07 09:17:11:925,94931,0.5,97330,0.7,198904,0.5,259886,2.00 118,1,2024-09-07 09:17:10:588,688931,688931,0,0,323722647799,3409569611,677399,8547,2985,366,391907,0 118,2,2024-09-07 09:17:11:595,498318,498318,0,0,23330713,0,2842 118,3,2024-09-07 09:17:11:790,1,393,6,0,248,4632,393,0 119,0,2024-09-07 09:17:11:341,96793,0.6,97313,0.7,194471,0.5,258273,2.00 119,1,2024-09-07 09:17:10:550,689256,689256,0,0,323706538504,3387877227,681623,6788,845,367,391780,0 119,2,2024-09-07 09:17:11:263,495821,495821,0,0,21541346,0,4174 119,3,2024-09-07 09:17:11:338,1,393,1,0,1358,8309,393,0 120,0,2024-09-07 09:17:11:547,94990,0.6,94931,0.8,189923,0.6,254160,2.25 120,1,2024-09-07 09:17:10:871,689774,689774,0,0,323835816303,3397603026,682526,6598,650,368,391961,0 120,2,2024-09-07 09:17:10:784,495038,495037,1,0,24521173,0,5281 120,3,2024-09-07 09:17:11:301,1,393,13,0,279,5008,393,0 121,0,2024-09-07 09:17:11:688,101019,1.1,100958,1.0,202444,1.4,269743,2.25 121,1,2024-09-07 09:17:11:677,690255,690255,0,0,323984717229,3387356062,683945,5864,446,367,391840,0 121,2,2024-09-07 09:17:11:132,495438,495438,0,0,23664356,0,4127 121,3,2024-09-07 09:17:10:757,1,393,1,0,269,4514,393,0 122,0,2024-09-07 09:17:11:775,99693,0.8,97336,0.9,204206,0.9,267374,2.00 122,1,2024-09-07 09:17:10:871,688828,688828,0,0,323430465570,3391851026,679722,7819,1287,366,392130,0 122,2,2024-09-07 09:17:11:345,499454,499381,73,0,26570035,0,5989 122,3,2024-09-07 09:17:10:595,1,393,7,0,512,7575,393,0 123,0,2024-09-07 09:17:10:987,96735,0.6,94573,0.7,197662,0.6,258479,2.00 123,1,2024-09-07 09:17:10:571,688580,688580,0,0,322924661777,3401780989,676324,10052,2204,369,392039,0 123,2,2024-09-07 09:17:11:020,496221,496220,1,0,22838805,0,5215 123,3,2024-09-07 09:17:11:147,1,393,3,0,168,4373,393,0 124,0,2024-09-07 09:17:10:947,99076,0.3,99012,0.5,186776,0.3,256236,1.75 124,1,2024-09-07 09:17:11:024,691271,691271,0,0,323923147010,3370091989,686074,4149,1048,367,392178,0 124,2,2024-09-07 09:17:11:010,495045,494992,53,0,20721129,0,6487 124,3,2024-09-07 09:17:10:775,1,393,19,0,490,3923,393,0 125,0,2024-09-07 09:17:11:440,98905,0.4,98571,0.6,197991,0.4,264101,1.75 125,1,2024-09-07 09:17:10:862,689933,689933,0,0,323784699217,3382339417,684318,4949,666,382,391702,0 125,2,2024-09-07 09:17:11:126,496048,496048,0,0,21324282,0,4534 125,3,2024-09-07 09:17:11:149,1,393,7,0,709,5103,393,0 126,0,2024-09-07 09:17:11:426,102689,0.5,105764,0.6,202282,0.4,273490,1.75 126,1,2024-09-07 09:17:10:556,691767,691767,0,0,324325982894,3361252220,687822,3656,289,365,391987,0 126,2,2024-09-07 09:17:10:619,501332,501332,0,0,22152190,0,4539 126,3,2024-09-07 09:17:10:914,1,393,10,0,268,5143,393,0 127,0,2024-09-07 09:17:11:601,96932,0.3,97375,0.5,194160,0.3,258056,1.75 127,1,2024-09-07 09:17:10:575,691083,691083,0,0,324506800838,3377195492,684196,5780,1107,364,392187,0 127,2,2024-09-07 09:17:10:637,498347,498347,0,0,20124579,0,3897 127,3,2024-09-07 09:17:11:267,1,393,0,0,968,4462,393,0 128,0,2024-09-07 09:17:11:534,96444,0.3,96763,0.4,192971,0.2,256475,1.50 128,1,2024-09-07 09:17:11:606,690665,690665,0,0,324202403803,3368645063,685793,4440,432,367,391838,0 128,2,2024-09-07 09:17:11:415,494182,494182,0,0,19011367,0,2915 128,3,2024-09-07 09:17:10:773,1,393,18,0,1082,6383,393,0 129,0,2024-09-07 09:17:11:024,98703,0.3,98197,0.5,196920,0.3,262055,1.75 129,1,2024-09-07 09:17:10:570,688133,688133,0,0,323697358479,3395520565,680778,5689,1666,379,391835,0 129,2,2024-09-07 09:17:10:694,495774,495774,0,0,20615013,0,4031 129,3,2024-09-07 09:17:10:703,1,393,43,0,506,5324,393,0 130,0,2024-09-07 09:17:11:718,103363,0.5,102899,0.6,207117,0.5,275345,1.75 130,1,2024-09-07 09:17:10:595,691426,691426,0,0,323683985364,3366829668,687495,3593,338,381,391825,0 130,2,2024-09-07 09:17:11:125,501304,501304,0,0,21280549,0,4067 130,3,2024-09-07 09:17:11:300,1,393,11,0,535,5654,393,0 131,0,2024-09-07 09:17:11:923,97142,0.4,97571,0.5,196311,0.3,260294,1.75 131,1,2024-09-07 09:17:11:859,690713,690713,0,0,324051855000,3388357627,684774,4891,1048,381,391865,0 131,2,2024-09-07 09:17:10:570,501346,501346,0,0,19162382,0,2698 131,3,2024-09-07 09:17:11:693,1,393,2,0,392,4037,393,0 132,0,2024-09-07 09:17:11:423,97009,0.4,97937,0.6,194762,0.4,258864,1.75 132,1,2024-09-07 09:17:10:584,687696,687696,0,0,322756133837,3401072679,677238,8561,1897,381,392097,0 132,2,2024-09-07 09:17:10:715,493903,493886,17,0,25018727,0,6451 132,3,2024-09-07 09:17:11:688,1,393,8,0,804,7227,393,0 133,0,2024-09-07 09:17:11:528,94106,0.4,96256,0.6,197538,0.4,257105,2.00 133,1,2024-09-07 09:17:10:591,687529,687529,0,0,323033475016,3407339336,677462,8556,1511,383,391914,0 133,2,2024-09-07 09:17:11:125,494274,494224,50,0,26639404,0,6861 133,3,2024-09-07 09:17:11:302,1,393,4,0,479,3988,393,0 134,0,2024-09-07 09:17:10:943,102842,0.5,102419,0.7,205129,0.5,274125,2.00 134,1,2024-09-07 09:17:10:587,688599,688599,0,0,322178240427,3382467870,679210,7382,2007,366,391718,0 134,2,2024-09-07 09:17:11:757,496173,496149,24,0,23608396,0,6207 134,3,2024-09-07 09:17:10:758,1,393,9,0,739,5150,393,0 135,0,2024-09-07 09:17:11:106,95546,0.8,95532,0.9,202546,1.0,260978,2.25 135,1,2024-09-07 09:17:11:584,688771,688771,0,0,323948448738,3409861969,679237,8224,1310,380,391805,0 135,2,2024-09-07 09:17:10:697,499788,499788,0,0,23081495,0,3981 135,3,2024-09-07 09:17:11:002,1,393,0,0,299,3331,393,0 136,0,2024-09-07 09:17:11:636,97539,0.5,97648,0.7,194398,0.5,259027,2.00 136,1,2024-09-07 09:17:11:474,689163,689163,0,0,323390383989,3391589894,681475,6848,840,382,391685,0 136,2,2024-09-07 09:17:11:142,498293,498293,0,0,22460820,0,3506 136,3,2024-09-07 09:17:11:126,1,393,282,0,637,4627,393,0 137,0,2024-09-07 09:17:10:942,98657,0.6,95993,0.7,188630,0.6,255862,2.00 137,1,2024-09-07 09:17:10:585,688414,688414,0,0,323332973374,3395117606,677318,8749,2347,366,391898,0 137,2,2024-09-07 09:17:11:709,493674,493674,0,0,24986252,0,3185 137,3,2024-09-07 09:17:10:770,1,393,0,0,484,5108,393,0 138,0,2024-09-07 09:17:11:779,99028,1.1,99070,1.0,198989,1.5,264568,2.25 138,1,2024-09-07 09:17:11:736,689494,689494,0,0,323421512194,3394374387,679685,8090,1719,368,391954,0 138,2,2024-09-07 09:17:10:604,495050,495050,0,0,22540151,0,4988 138,3,2024-09-07 09:17:10:630,1,393,21,0,1160,5268,393,0 139,0,2024-09-07 09:17:11:444,100384,1.7,100317,1.2,201154,2.4,268328,2.50 139,1,2024-09-07 09:17:10:591,686119,686119,0,0,321663948187,3420776830,672307,10542,3270,381,392058,0 139,2,2024-09-07 09:17:10:695,496604,496604,0,0,25846126,0,3097 139,3,2024-09-07 09:17:11:670,1,393,1,0,432,4686,393,0 140,0,2024-09-07 09:17:11:602,97537,0.3,96865,0.5,194507,0.2,258806,1.75 140,1,2024-09-07 09:17:11:538,692862,692862,0,0,326116639989,3364350956,689309,3112,441,365,391606,0 140,2,2024-09-07 09:17:10:692,499387,499386,1,0,20397602,0,5036 140,3,2024-09-07 09:17:10:767,1,393,9,0,297,3413,393,0 141,0,2024-09-07 09:17:11:699,96474,0.3,99067,0.5,189259,0.3,256770,1.75 141,1,2024-09-07 09:17:10:870,691553,691553,0,0,325065070958,3376893837,686342,4257,954,379,391614,0 141,2,2024-09-07 09:17:11:690,493868,493868,0,0,19719851,0,3360 141,3,2024-09-07 09:17:11:051,1,393,0,0,391,4529,393,0 142,0,2024-09-07 09:17:11:334,98973,0.3,98363,0.5,196667,0.3,262913,1.75 142,1,2024-09-07 09:17:10:614,690591,690591,0,0,324848827059,3387143548,686121,4077,393,382,392102,0 142,2,2024-09-07 09:17:11:301,494809,494777,32,0,21521656,0,6028 142,3,2024-09-07 09:17:11:760,1,393,44,0,484,4681,393,0 143,0,2024-09-07 09:17:11:396,103354,0.5,103310,0.6,207038,0.5,275439,1.75 143,1,2024-09-07 09:17:10:609,691826,691826,0,0,324775866837,3373896259,686815,4467,544,367,391705,0 143,2,2024-09-07 09:17:10:774,501116,501116,0,0,21010880,0,3123 143,3,2024-09-07 09:17:11:146,1,393,2,0,462,5117,393,0 144,0,2024-09-07 09:17:11:502,94322,0.6,96936,0.8,197692,0.5,259331,2.00 144,1,2024-09-07 09:17:10:574,688369,688369,0,0,322909235119,3390433937,681454,5562,1353,381,391649,0 144,2,2024-09-07 09:17:11:757,499834,499834,0,0,20097131,0,3673 144,3,2024-09-07 09:17:11:741,1,393,14,0,249,3962,393,0 145,0,2024-09-07 09:17:11:429,93345,0.5,93365,0.8,197979,0.5,254644,2.25 145,1,2024-09-07 09:17:10:553,687778,687778,0,0,323126127656,3397348267,679200,7333,1245,382,391759,0 145,2,2024-09-07 09:17:11:439,491771,491690,81,0,23759373,0,7814 145,3,2024-09-07 09:17:10:921,1,393,31,0,622,5785,393,0 146,0,2024-09-07 09:17:11:659,96729,0.5,96224,0.7,193807,0.5,257266,2.25 146,1,2024-09-07 09:17:11:618,689747,689747,0,0,323227513269,3396444378,678996,8634,2117,367,391770,0 146,2,2024-09-07 09:17:11:703,494077,494077,0,0,22631919,0,2730 146,3,2024-09-07 09:17:11:281,1,393,2,0,1520,7801,393,0 147,0,2024-09-07 09:17:11:709,102806,0.6,102687,0.8,204508,0.6,273833,2.25 147,1,2024-09-07 09:17:11:385,691759,691759,0,0,324963890561,3381569781,685418,5497,844,368,391791,0 147,2,2024-09-07 09:17:11:010,498202,498202,0,0,20986910,0,2968 147,3,2024-09-07 09:17:10:913,1,393,2,0,1626,7138,393,0 0,0,2024-09-07 09:17:21:719,93141,0.6,93147,0.8,197621,0.7,255673,2.00 0,1,2024-09-07 09:17:20:831,691203,691203,0,0,324838312620,3400586919,685912,4905,386,369,391896,0 0,2,2024-09-07 09:17:21:072,498056,498056,0,0,20211477,0,4480 0,3,2024-09-07 09:17:20:982,1,394,10,0,431,5982,394,0 1,0,2024-09-07 09:17:21:752,101455,1.0,100921,1.0,202644,1.3,271343,2.25 1,1,2024-09-07 09:17:20:563,690832,690832,0,0,324492335835,3398055715,684629,4885,1318,370,391857,0 1,2,2024-09-07 09:17:20:642,496943,496943,0,0,20378800,0,3380 1,3,2024-09-07 09:17:21:309,1,394,6,0,262,4830,394,0 2,0,2024-09-07 09:17:21:579,99904,0.7,100250,0.8,199677,0.8,266180,2.00 2,1,2024-09-07 09:17:20:862,693139,693139,0,0,325578323603,3381929447,689864,2981,294,380,391745,0 2,2,2024-09-07 09:17:21:266,501140,501140,0,0,19869371,0,3594 2,3,2024-09-07 09:17:20:690,1,394,1,0,357,3858,394,0 3,0,2024-09-07 09:17:21:756,97289,0.4,97225,0.6,194293,0.4,258476,2.00 3,1,2024-09-07 09:17:21:619,691715,691715,0,0,324494839002,3382426086,685518,5507,690,380,391716,0 3,2,2024-09-07 09:17:21:144,498827,498804,23,0,20606962,0,5851 3,3,2024-09-07 09:17:21:752,1,394,38,0,207,2578,394,0 4,0,2024-09-07 09:17:21:806,93339,0.4,95919,0.5,195523,0.3,255333,1.75 4,1,2024-09-07 09:17:20:606,689358,689358,0,0,323497754923,3421432078,677991,8864,2503,370,391992,0 4,2,2024-09-07 09:17:21:018,494147,494147,0,0,22945016,0,4528 4,3,2024-09-07 09:17:21:027,1,394,7,0,448,5296,394,0 5,0,2024-09-07 09:17:21:400,98873,0.5,99441,0.6,198567,0.4,264223,1.75 5,1,2024-09-07 09:17:20:758,690265,690265,0,0,323836685733,3413211440,679946,8168,2151,367,392005,0 5,2,2024-09-07 09:17:21:830,494759,494759,0,0,22709011,0,3582 5,3,2024-09-07 09:17:21:733,1,394,1,0,457,5609,394,0 6,0,2024-09-07 09:17:20:917,102988,0.5,102646,0.7,204862,0.4,273230,2.00 6,1,2024-09-07 09:17:20:765,690808,690808,0,0,323758549907,3387183789,682746,6674,1388,379,391694,0 6,2,2024-09-07 09:17:21:116,500865,500847,18,0,23218940,0,5535 6,3,2024-09-07 09:17:21:275,1,394,1,0,710,5025,394,0 7,0,2024-09-07 09:17:21:531,96499,0.5,97153,0.6,193564,0.4,257652,2.00 7,1,2024-09-07 09:17:20:854,690129,690129,0,0,324059153812,3403786267,680437,8230,1462,382,391747,0 7,2,2024-09-07 09:17:20:770,500815,500815,0,0,22872175,0,4791 7,3,2024-09-07 09:17:20:861,1,394,1,0,398,4168,394,0 8,0,2024-09-07 09:17:21:324,96601,0.4,96330,0.5,193085,0.3,257222,1.75 8,1,2024-09-07 09:17:21:017,689506,689506,0,0,324469919108,3412516500,678516,8395,2595,366,392144,0 8,2,2024-09-07 09:17:20:805,490760,490760,0,0,25649136,0,3220 8,3,2024-09-07 09:17:20:604,1,394,7,0,538,6615,394,0 9,0,2024-09-07 09:17:21:100,98556,0.4,95672,0.5,200206,0.3,262360,1.75 9,1,2024-09-07 09:17:20:560,689783,689783,0,0,323626026315,3407860047,680106,7539,2138,369,392001,0 9,2,2024-09-07 09:17:21:084,495735,495735,0,0,23010305,0,3360 9,3,2024-09-07 09:17:21:753,1,394,7,0,496,5434,394,0 10,0,2024-09-07 09:17:21:601,103361,0.3,102782,0.5,206357,0.3,274911,1.75 10,1,2024-09-07 09:17:20:583,690742,690742,0,0,324607334766,3399569129,681786,7671,1285,381,391741,0 10,2,2024-09-07 09:17:20:761,501516,501516,0,0,25448631,0,4264 10,3,2024-09-07 09:17:20:878,1,394,1,0,649,4415,394,0 11,0,2024-09-07 09:17:21:008,97451,0.5,94469,0.6,197614,0.4,260968,1.75 11,1,2024-09-07 09:17:20:580,691398,691398,0,0,324801518250,3408278322,680802,8114,2482,383,391756,0 11,2,2024-09-07 09:17:21:123,499689,499689,0,0,22918596,0,4130 11,3,2024-09-07 09:17:21:298,1,394,77,0,843,6069,394,0 12,0,2024-09-07 09:17:20:941,97870,0.4,97894,0.5,195620,0.3,259523,1.75 12,1,2024-09-07 09:17:20:933,691932,691932,0,0,324684920990,3385895348,686549,4886,497,370,391870,0 12,2,2024-09-07 09:17:21:548,495410,495410,0,0,21867873,0,3469 12,3,2024-09-07 09:17:21:060,1,394,12,0,386,6129,394,0 13,0,2024-09-07 09:17:21:326,97544,0.4,97180,0.6,194004,0.4,258559,1.75 13,1,2024-09-07 09:17:21:523,690253,690253,0,0,323911304723,3406126357,683207,5473,1573,382,391740,0 13,2,2024-09-07 09:17:20:611,498315,498315,0,0,20193721,0,3287 13,3,2024-09-07 09:17:21:761,1,394,1,0,522,6093,394,0 14,0,2024-09-07 09:17:20:580,102970,0.4,103843,0.6,205601,0.4,274741,1.75 14,1,2024-09-07 09:17:21:567,694694,694694,0,0,325938436591,3379720236,688499,5487,708,364,391673,0 14,2,2024-09-07 09:17:20:775,499230,499200,30,0,22524907,0,6104 14,3,2024-09-07 09:17:21:115,1,394,127,0,1168,4548,394,0 15,0,2024-09-07 09:17:21:578,98348,0.4,98303,0.7,197049,0.4,262101,2.00 15,1,2024-09-07 09:17:21:608,691895,691895,0,0,325012657465,3386071765,686344,4573,978,381,391619,0 15,2,2024-09-07 09:17:20:998,502155,502155,0,0,18543472,0,3622 15,3,2024-09-07 09:17:21:407,1,394,1,0,1126,6846,394,0 16,0,2024-09-07 09:17:20:945,97284,0.5,97653,0.7,194933,0.5,258990,2.00 16,1,2024-09-07 09:17:20:563,691938,691938,0,0,324739044742,3395503330,686025,5070,843,370,391756,0 16,2,2024-09-07 09:17:21:442,497101,497101,0,0,21619867,0,4719 16,3,2024-09-07 09:17:21:142,1,394,9,0,317,5213,394,0 17,0,2024-09-07 09:17:21:815,98689,0.6,96290,0.8,188465,0.7,255751,2.00 17,1,2024-09-07 09:17:20:581,690575,690575,0,0,323896054713,3401202439,683059,6005,1511,368,392075,0 17,2,2024-09-07 09:17:21:666,498641,498641,0,0,21092576,0,2948 17,3,2024-09-07 09:17:20:580,1,394,1,0,518,6680,394,0 18,0,2024-09-07 09:17:20:947,99087,0.6,99378,0.8,198156,0.7,265160,2.25 18,1,2024-09-07 09:17:21:642,693314,693314,0,0,325228674811,3372469585,689442,3494,378,367,391725,0 18,2,2024-09-07 09:17:21:755,499216,499216,0,0,19310848,0,3541 18,3,2024-09-07 09:17:20:899,1,394,7,0,163,3154,394,0 19,0,2024-09-07 09:17:21:540,100704,0.7,101244,0.8,200700,0.7,266946,2.25 19,1,2024-09-07 09:17:20:577,693653,693653,0,0,325318739251,3378461510,687721,5011,921,367,391777,0 19,2,2024-09-07 09:17:21:751,503013,503013,0,0,18389153,0,3988 19,3,2024-09-07 09:17:21:129,1,394,1,0,524,3027,394,0 20,0,2024-09-07 09:17:21:357,97167,0.5,97128,0.6,194075,0.4,258771,2.00 20,1,2024-09-07 09:17:20:580,690762,690762,0,0,324812906484,3397335197,684740,5258,764,369,391886,0 20,2,2024-09-07 09:17:20:933,499484,499484,0,0,22023503,0,3721 20,3,2024-09-07 09:17:20:598,1,394,11,0,414,5925,394,0 21,0,2024-09-07 09:17:21:127,96501,0.5,96475,0.6,192901,0.5,256085,2.00 21,1,2024-09-07 09:17:21:556,689153,689153,0,0,323569378861,3412572534,679041,7978,2134,368,392016,0 21,2,2024-09-07 09:17:21:071,492247,492227,20,0,26280519,0,5617 21,3,2024-09-07 09:17:21:407,1,394,0,0,713,5267,394,0 22,0,2024-09-07 09:17:21:748,98338,0.5,98697,0.7,197588,0.5,262105,2.25 22,1,2024-09-07 09:17:21:024,690455,690455,0,0,324425367784,3411355000,679844,8428,2183,382,391667,0 22,2,2024-09-07 09:17:20:761,495066,495040,26,0,21087896,0,6328 22,3,2024-09-07 09:17:21:066,1,394,1,0,228,3343,394,0 23,0,2024-09-07 09:17:21:371,102905,0.6,102382,0.7,205265,0.5,273414,2.25 23,1,2024-09-07 09:17:21:005,691174,691174,0,0,324647917476,3411415346,678728,8624,3822,365,391690,0 23,2,2024-09-07 09:17:21:092,502935,502935,0,0,20769460,0,3010 23,3,2024-09-07 09:17:21:755,1,394,8,0,720,5368,394,0 24,0,2024-09-07 09:17:20:822,98241,0.4,98029,0.5,196547,0.4,261382,1.75 24,1,2024-09-07 09:17:20:581,690641,690641,0,0,323023311115,3387562513,683042,6015,1584,367,392269,0 24,2,2024-09-07 09:17:21:073,499391,499391,0,0,24843321,0,3607 24,3,2024-09-07 09:17:21:693,1,394,14,0,468,5558,394,0 25,0,2024-09-07 09:17:21:339,99210,0.4,96740,0.6,189727,0.3,258485,1.75 25,1,2024-09-07 09:17:20:563,690359,690359,0,0,324529655864,3415237368,679833,8598,1928,371,391928,0 25,2,2024-09-07 09:17:21:615,493418,493418,0,0,24631500,0,3978 25,3,2024-09-07 09:17:21:000,1,394,1,0,532,4435,394,0 26,0,2024-09-07 09:17:21:721,97193,0.4,94745,0.6,198666,0.3,259270,1.75 26,1,2024-09-07 09:17:21:540,691907,691907,0,0,324172051736,3394348080,682270,8090,1547,380,391748,0 26,2,2024-09-07 09:17:20:864,497131,497131,0,0,24712925,0,2809 26,3,2024-09-07 09:17:21:712,1,394,12,0,796,5071,394,0 27,0,2024-09-07 09:17:21:736,103198,0.4,103383,0.6,205400,0.4,274368,2.00 27,1,2024-09-07 09:17:21:677,693668,693668,0,0,325348194125,3388843975,686992,5785,891,381,391626,0 27,2,2024-09-07 09:17:20:869,497820,497755,65,0,23521676,0,5699 27,3,2024-09-07 09:17:21:015,1,394,1,0,564,3986,394,0 28,0,2024-09-07 09:17:21:390,98402,0.4,97971,0.6,196133,0.3,262091,2.00 28,1,2024-09-07 09:17:20:797,692275,692275,0,0,325388168420,3399247720,685395,5192,1688,383,391698,0 28,2,2024-09-07 09:17:21:764,500751,500751,0,0,21562150,0,2915 28,3,2024-09-07 09:17:21:777,1,394,10,0,502,4506,394,0 29,0,2024-09-07 09:17:21:355,99958,0.3,97376,0.6,191342,0.3,260230,1.75 29,1,2024-09-07 09:17:21:560,694253,694253,0,0,326264656179,3378298370,689454,4066,733,368,391753,0 29,2,2024-09-07 09:17:20:862,495481,495481,0,0,20311420,0,4986 29,3,2024-09-07 09:17:20:963,1,394,1,0,459,4798,394,0 30,0,2024-09-07 09:17:21:456,95546,0.5,92835,0.7,194446,0.4,254601,2.00 30,1,2024-09-07 09:17:20:581,694018,694018,0,0,325385366356,3381184266,688274,4978,766,381,391672,0 30,2,2024-09-07 09:17:21:274,497585,497585,0,0,19079679,0,3161 30,3,2024-09-07 09:17:20:580,1,394,1,0,519,3847,394,0 31,0,2024-09-07 09:17:21:760,101319,0.4,101757,0.6,203097,0.4,271575,2.00 31,1,2024-09-07 09:17:20:577,696294,696294,0,0,326959512839,3353750195,693318,2390,586,356,391712,0 31,2,2024-09-07 09:17:21:274,497668,497668,0,0,21396154,0,3525 31,3,2024-09-07 09:17:21:711,1,394,1,0,220,3504,394,0 32,0,2024-09-07 09:17:21:442,100370,0.3,101002,0.5,201189,0.3,267831,1.75 32,1,2024-09-07 09:17:20:814,693050,693050,0,0,326164950404,3395149549,687948,4422,680,381,391646,0 32,2,2024-09-07 09:17:20:937,501817,501817,0,0,19135537,0,3922 32,3,2024-09-07 09:17:21:015,1,394,304,0,304,3105,394,0 33,0,2024-09-07 09:17:21:491,97468,0.3,96995,0.4,194711,0.2,258937,1.50 33,1,2024-09-07 09:17:20:580,694193,694193,0,0,326262146605,3387148378,687778,5312,1103,369,391730,0 33,2,2024-09-07 09:17:20:788,499844,499809,35,0,21497863,0,7012 33,3,2024-09-07 09:17:20:911,1,394,2,0,329,4011,394,0 34,0,2024-09-07 09:17:20:933,96357,0.3,99120,0.4,189791,0.2,254623,1.75 34,1,2024-09-07 09:17:21:046,695303,695303,0,0,326238027799,3356968014,693571,1721,11,367,391562,0 34,2,2024-09-07 09:17:20:766,496236,496236,0,0,20030949,0,4562 34,3,2024-09-07 09:17:21:688,1,394,1,0,299,3093,394,0 35,0,2024-09-07 09:17:20:867,98446,0.4,98704,0.5,198693,0.3,264914,1.75 35,1,2024-09-07 09:17:21:072,693526,693526,0,0,325027827574,3370500826,689192,3478,856,382,391769,0 35,2,2024-09-07 09:17:21:588,496404,496404,0,0,20642001,0,2653 35,3,2024-09-07 09:17:20:908,1,394,1,0,418,4456,394,0 36,0,2024-09-07 09:17:21:515,103060,0.5,102964,0.7,205818,0.5,273487,2.25 36,1,2024-09-07 09:17:20:587,692049,692049,0,0,325123128370,3397675347,682827,7239,1983,366,391759,0 36,2,2024-09-07 09:17:21:751,501872,501872,0,0,22580796,0,3875 36,3,2024-09-07 09:17:20:871,1,394,8,0,416,5929,394,0 37,0,2024-09-07 09:17:21:372,96640,0.5,96727,0.6,193517,0.4,258041,2.00 37,1,2024-09-07 09:17:20:582,692012,692005,0,7,323762084125,3383941432,683698,6064,2243,365,391570,0 37,2,2024-09-07 09:17:21:143,498614,498599,15,0,22809299,0,5815 37,3,2024-09-07 09:17:21:770,1,394,62,0,888,6203,394,0 38,0,2024-09-07 09:17:21:445,95890,0.5,93127,0.7,194793,0.4,255125,2.00 38,1,2024-09-07 09:17:21:607,693075,693075,0,0,325562351956,3404238429,682968,7948,2159,368,391821,0 38,2,2024-09-07 09:17:20:762,494481,494434,47,0,21824092,0,6710 38,3,2024-09-07 09:17:21:000,1,394,1,0,689,5375,394,0 39,0,2024-09-07 09:17:21:777,100368,0.6,98285,0.7,191371,0.6,261410,2.00 39,1,2024-09-07 09:17:20:716,691424,691424,0,0,324319975675,3401750013,679950,9092,2382,365,391658,0 39,2,2024-09-07 09:17:21:418,496648,496648,0,0,20545681,0,2689 39,3,2024-09-07 09:17:20:714,1,394,28,0,324,4781,394,0 40,0,2024-09-07 09:17:21:488,102182,0.8,103063,0.9,204899,0.8,273764,2.75 40,1,2024-09-07 09:17:20:585,692458,692458,0,0,324054907502,3394642288,682444,8116,1898,368,391668,0 40,2,2024-09-07 09:17:21:304,500592,500591,1,0,24221183,0,5137 40,3,2024-09-07 09:17:21:143,1,394,9,0,1028,5493,394,0 41,0,2024-09-07 09:17:21:054,96962,1.5,99180,1.3,189374,2.4,257614,3.25 41,1,2024-09-07 09:17:20:785,691064,691064,0,0,324639289512,3396462866,682482,7603,979,369,391742,0 41,2,2024-09-07 09:17:20:765,498698,498698,0,0,23110618,0,4277 41,3,2024-09-07 09:17:21:676,1,394,2,0,366,4087,394,0 42,0,2024-09-07 09:17:21:488,96411,0.9,96449,1.0,193017,1.0,255290,2.75 42,1,2024-09-07 09:17:21:447,689929,689929,0,0,323125977809,3396809941,678839,9172,1918,380,391675,0 42,2,2024-09-07 09:17:21:133,494073,494073,0,0,23266631,0,3790 42,3,2024-09-07 09:17:21:009,1,394,4,0,446,3239,394,0 43,0,2024-09-07 09:17:20:917,95584,0.8,93104,1.0,195062,0.9,255894,2.25 43,1,2024-09-07 09:17:20:578,691880,691880,0,0,325495489291,3403398994,681846,8296,1738,366,391696,0 43,2,2024-09-07 09:17:21:736,496895,496895,0,0,23271146,0,3812 43,3,2024-09-07 09:17:21:749,1,394,14,0,467,4637,394,0 44,0,2024-09-07 09:17:20:879,102822,0.4,103131,0.6,206442,0.4,274459,1.75 44,1,2024-09-07 09:17:20:569,693621,693621,0,0,325264543148,3366865262,687149,5154,1318,356,391809,0 44,2,2024-09-07 09:17:21:274,498225,498225,0,0,19695951,0,4344 44,3,2024-09-07 09:17:21:094,1,394,7,0,817,4856,394,0 45,0,2024-09-07 09:17:21:756,97403,0.5,95172,0.8,199442,0.5,262639,2.00 45,1,2024-09-07 09:17:21:005,692901,692901,0,0,325329728116,3383465414,687252,5076,573,382,391917,0 45,2,2024-09-07 09:17:21:272,501415,501415,0,0,20070531,0,3596 45,3,2024-09-07 09:17:20:934,1,394,1,0,271,3586,394,0 46,0,2024-09-07 09:17:20:951,96681,0.5,96640,0.7,193559,0.5,256590,2.00 46,1,2024-09-07 09:17:20:583,694281,694281,0,0,326411523618,3373906305,689760,3931,590,366,391709,0 46,2,2024-09-07 09:17:20:596,498125,498125,0,0,19950894,0,4443 46,3,2024-09-07 09:17:21:131,1,394,1,0,908,5308,394,0 47,0,2024-09-07 09:17:21:104,96117,0.4,96370,0.6,193166,0.4,255089,2.00 47,1,2024-09-07 09:17:20:581,694943,694943,0,0,325331715232,3364006417,690350,3778,815,366,391641,0 47,2,2024-09-07 09:17:20:909,498394,498394,0,0,19436041,0,4477 47,3,2024-09-07 09:17:21:116,1,394,24,0,600,4835,394,0 48,0,2024-09-07 09:17:21:500,100445,0.3,100252,0.4,199936,0.2,267379,1.75 48,1,2024-09-07 09:17:21:022,693032,693032,0,0,325280560312,3380050472,688702,3875,455,384,391710,0 48,2,2024-09-07 09:17:20:698,496535,496535,0,0,18554458,0,3411 48,3,2024-09-07 09:17:20:761,1,394,8,0,339,3296,394,0 49,0,2024-09-07 09:17:21:746,104000,0.4,102182,0.5,197865,0.3,271215,1.75 49,1,2024-09-07 09:17:21:029,692950,692950,0,0,324872172755,3383688573,687812,3933,1205,382,391809,0 49,2,2024-09-07 09:17:21:797,501819,501819,0,0,20619858,0,4426 49,3,2024-09-07 09:17:21:417,1,394,1,0,992,4941,394,0 50,0,2024-09-07 09:17:21:507,97680,0.3,96137,0.4,194022,0.2,258418,1.75 50,1,2024-09-07 09:17:21:010,694995,694995,0,0,326470675494,3378565534,690145,4276,574,368,391565,0 50,2,2024-09-07 09:17:21:067,499745,499745,0,0,18485684,0,2263 50,3,2024-09-07 09:17:21:294,1,394,1,0,567,4126,394,0 51,0,2024-09-07 09:17:21:697,99021,0.3,96818,0.5,188842,0.2,257226,1.75 51,1,2024-09-07 09:17:21:680,695194,695194,0,0,327297242119,3376442550,691658,2558,978,365,391706,0 51,2,2024-09-07 09:17:21:315,495292,495292,0,0,17597191,0,3337 51,3,2024-09-07 09:17:21:028,1,394,3,0,678,3339,394,0 52,0,2024-09-07 09:17:21:423,98811,0.5,98680,0.7,197703,0.5,262985,2.00 52,1,2024-09-07 09:17:20:579,692100,692100,0,0,324542794423,3397409516,682484,8302,1314,368,391722,0 52,2,2024-09-07 09:17:21:755,493437,493399,38,0,23524494,0,6742 52,3,2024-09-07 09:17:20:674,1,394,8,0,1782,5698,394,0 53,0,2024-09-07 09:17:21:742,102446,0.7,99530,0.8,208459,0.8,273324,2.50 53,1,2024-09-07 09:17:20:771,690794,690794,0,0,324463711132,3407253145,679111,8725,2958,367,391702,0 53,2,2024-09-07 09:17:21:296,501849,501848,1,0,21490809,0,5455 53,3,2024-09-07 09:17:20:697,1,394,1,0,308,3688,394,0 54,0,2024-09-07 09:17:21:619,96254,0.6,96561,0.8,192450,0.4,257224,2.25 54,1,2024-09-07 09:17:20:580,692136,692136,0,0,325403975276,3385105160,684902,5995,1239,366,391659,0 54,2,2024-09-07 09:17:20:881,500574,500542,32,0,24418170,0,6397 54,3,2024-09-07 09:17:20:764,1,394,40,0,676,6042,394,0 55,0,2024-09-07 09:17:21:761,93454,0.6,96625,0.7,195166,0.5,254395,2.50 55,1,2024-09-07 09:17:20:764,693043,693043,0,0,325228450716,3382138136,685714,6245,1084,365,391731,0 55,2,2024-09-07 09:17:20:729,493986,493930,56,0,22598255,0,7239 55,3,2024-09-07 09:17:20:674,1,394,1,0,304,4229,394,0 56,0,2024-09-07 09:17:21:554,99330,1.0,93620,1.1,192812,1.4,258361,2.50 56,1,2024-09-07 09:17:20:580,688660,688660,0,0,324098007609,3424076592,677710,8630,2320,381,391867,0 56,2,2024-09-07 09:17:21:306,496533,496533,0,0,22621798,0,3567 56,3,2024-09-07 09:17:21:059,1,394,8,0,705,4947,394,0 57,0,2024-09-07 09:17:20:957,101805,1.4,101644,1.2,203833,2.0,272428,3.00 57,1,2024-09-07 09:17:21:005,691336,691336,0,0,324312570451,3399733441,683166,7141,1029,366,392032,0 57,2,2024-09-07 09:17:21:315,500316,500316,0,0,24729718,0,3317 57,3,2024-09-07 09:17:21:745,1,394,8,0,359,4278,394,0 58,0,2024-09-07 09:17:20:579,96585,0.9,93825,1.0,196352,1.0,257543,2.50 58,1,2024-09-07 09:17:20:579,691824,691821,0,3,325099966371,3400146097,682338,8205,1278,367,391603,3 58,2,2024-09-07 09:17:21:072,499885,499885,0,0,23137742,0,2902 58,3,2024-09-07 09:17:21:071,1,394,1,0,1043,4285,394,0 59,0,2024-09-07 09:17:21:740,97070,0.8,96777,0.9,193100,0.8,256693,2.50 59,1,2024-09-07 09:17:20:813,691021,691021,0,0,324977219794,3403766849,681777,7652,1592,369,391653,0 59,2,2024-09-07 09:17:20:587,496373,496373,0,0,22340169,0,2867 59,3,2024-09-07 09:17:21:737,1,394,28,0,1015,5241,394,0 60,0,2024-09-07 09:17:21:704,95851,0.5,95775,0.7,192174,0.5,255546,1.75 60,1,2024-09-07 09:17:20:772,693552,693552,0,0,325690408820,3381095689,688998,3905,649,370,392031,0 60,2,2024-09-07 09:17:21:144,497193,497193,0,0,21655339,0,3811 60,3,2024-09-07 09:17:21:262,1,394,9,0,409,4785,394,0 61,0,2024-09-07 09:17:21:521,101258,0.6,101921,0.8,203028,0.6,271043,2.00 61,1,2024-09-07 09:17:20:782,691919,691919,0,0,324227598595,3398896289,684035,6487,1397,382,392127,0 61,2,2024-09-07 09:17:21:116,497721,497654,67,0,22064930,0,6411 61,3,2024-09-07 09:17:21:691,1,394,18,0,479,5593,394,0 62,0,2024-09-07 09:17:21:712,100930,0.6,103274,0.7,197099,0.6,267605,2.00 62,1,2024-09-07 09:17:21:117,696380,696374,0,6,326556737167,3369693095,692569,3544,261,365,391715,6 62,2,2024-09-07 09:17:21:647,499410,499409,1,0,22141213,0,5555 62,3,2024-09-07 09:17:21:144,1,394,1,0,482,3310,394,0 63,0,2024-09-07 09:17:21:466,97590,0.4,97470,0.6,195458,0.3,259328,1.75 63,1,2024-09-07 09:17:20:804,693683,693677,0,6,325755938369,3384725106,688775,4170,732,381,391800,6 63,2,2024-09-07 09:17:20:770,499090,499090,0,0,20112679,0,4369 63,3,2024-09-07 09:17:21:732,1,394,1,0,667,4161,394,0 64,0,2024-09-07 09:17:21:536,95714,0.5,95679,0.7,191177,0.5,253726,2.00 64,1,2024-09-07 09:17:20:757,692997,692997,0,0,324627199705,3385647559,686468,4826,1703,370,391783,0 64,2,2024-09-07 09:17:21:144,499195,499176,19,0,19622468,0,6121 64,3,2024-09-07 09:17:21:148,1,394,16,0,265,3689,394,0 65,0,2024-09-07 09:17:21:701,98055,0.7,98489,0.8,196473,0.8,262526,2.25 65,1,2024-09-07 09:17:20:862,691520,691520,0,0,324607410638,3394917231,686477,4503,540,382,391901,0 65,2,2024-09-07 09:17:21:697,497055,497055,0,0,22906925,0,3367 65,3,2024-09-07 09:17:21:683,1,394,0,0,347,3976,394,0 66,0,2024-09-07 09:17:21:775,102350,0.6,102364,0.8,204446,0.6,271946,2.25 66,1,2024-09-07 09:17:21:301,693123,693123,0,0,324827485374,3385589510,688102,4604,417,380,391743,0 66,2,2024-09-07 09:17:21:133,503135,503135,0,0,20199595,0,4956 66,3,2024-09-07 09:17:21:079,1,394,1,0,291,3564,394,0 67,0,2024-09-07 09:17:21:415,97264,0.5,96939,0.7,194500,0.4,258785,2.00 67,1,2024-09-07 09:17:20:772,693342,693341,0,1,324702552424,3382431724,688670,3886,785,380,391787,1 67,2,2024-09-07 09:17:20:589,502296,502296,0,0,19374940,0,3622 67,3,2024-09-07 09:17:21:750,1,394,1,0,392,3991,394,0 68,0,2024-09-07 09:17:20:584,96696,0.6,96422,0.7,192259,0.5,256884,2.00 68,1,2024-09-07 09:17:20:579,689714,689714,0,0,324273579016,3407360798,681333,6007,2374,381,391953,0 68,2,2024-09-07 09:17:21:047,492611,492511,100,0,25522567,0,8578 68,3,2024-09-07 09:17:20:728,1,394,16,0,417,4261,394,0 69,0,2024-09-07 09:17:21:778,97826,0.8,98400,0.9,195770,1.0,260072,2.25 69,1,2024-09-07 09:17:21:020,689907,689907,0,0,323743484656,3414887865,680698,7018,2191,384,391994,0 69,2,2024-09-07 09:17:21:735,495595,495566,29,0,26823425,0,6912 69,3,2024-09-07 09:17:20:765,1,394,5,0,698,5677,394,0 70,0,2024-09-07 09:17:21:584,102216,0.9,102433,1.0,205755,0.8,272658,2.50 70,1,2024-09-07 09:17:20:806,693060,693060,0,0,324978969750,3375661136,687138,5261,661,366,391725,0 70,2,2024-09-07 09:17:21:325,500966,500966,0,0,22820033,0,4044 70,3,2024-09-07 09:17:20:750,1,394,15,0,854,4645,394,0 71,0,2024-09-07 09:17:21:356,96694,0.9,96367,1.0,193507,1.0,259238,2.75 71,1,2024-09-07 09:17:21:596,692279,692279,0,0,324997725778,3392456935,684286,7222,771,368,391738,0 71,2,2024-09-07 09:17:21:067,499970,499970,0,0,23075579,0,4352 71,3,2024-09-07 09:17:21:750,1,394,43,0,644,5210,394,0 72,0,2024-09-07 09:17:21:026,100274,0.5,98056,0.7,191255,0.5,259716,2.00 72,1,2024-09-07 09:17:21:079,691246,691246,0,0,324199346823,3401688848,681069,8210,1967,369,391819,0 72,2,2024-09-07 09:17:21:759,494371,494371,0,0,24559400,0,3983 72,3,2024-09-07 09:17:21:760,1,394,9,0,564,5925,394,0 73,0,2024-09-07 09:17:21:107,94389,0.4,96735,0.6,197976,0.4,257286,2.00 73,1,2024-09-07 09:17:20:766,692887,692887,0,0,325037158495,3375980335,688196,4327,364,367,391858,0 73,2,2024-09-07 09:17:21:739,496728,496728,0,0,24149131,0,3701 73,3,2024-09-07 09:17:20:970,1,394,7,0,486,5541,394,0 74,0,2024-09-07 09:17:21:332,103672,0.4,105885,0.6,201936,0.4,274611,2.00 74,1,2024-09-07 09:17:20:641,692371,692371,0,0,324488751659,3386929139,685387,5615,1369,381,391762,0 74,2,2024-09-07 09:17:21:002,498190,498190,0,0,22443491,0,4253 74,3,2024-09-07 09:17:21:442,1,394,2,0,522,5431,394,0 75,0,2024-09-07 09:17:21:764,99006,0.5,98368,0.7,197669,0.5,263793,2.25 75,1,2024-09-07 09:17:21:587,692100,692100,0,0,324364860944,3385609284,685359,5915,826,380,391739,0 75,2,2024-09-07 09:17:21:350,499366,499366,0,0,24226395,0,4766 75,3,2024-09-07 09:17:21:072,1,394,2,0,702,5203,394,0 76,0,2024-09-07 09:17:20:587,96752,0.6,96112,0.7,193102,0.5,257467,2.25 76,1,2024-09-07 09:17:20:814,692320,692320,0,0,324328320750,3386012403,687148,4385,787,382,391692,0 76,2,2024-09-07 09:17:21:060,499515,499514,1,0,21928420,0,5144 76,3,2024-09-07 09:17:21:156,1,394,8,0,175,3725,394,0 77,0,2024-09-07 09:17:21:691,95511,0.6,95846,0.8,191589,0.6,254014,2.00 77,1,2024-09-07 09:17:20:832,692618,692618,0,0,325003101154,3393725860,687028,5092,498,381,391869,0 77,2,2024-09-07 09:17:21:281,496427,496427,0,0,21480257,0,3890 77,3,2024-09-07 09:17:21:097,1,394,1,0,401,4211,394,0 78,0,2024-09-07 09:17:21:826,100320,0.5,99724,0.7,200256,0.4,265973,2.00 78,1,2024-09-07 09:17:20:611,693118,693118,0,0,324850186106,3386201469,685597,5955,1566,367,391670,0 78,2,2024-09-07 09:17:21:407,497329,497316,13,0,20279418,0,8313 78,3,2024-09-07 09:17:21:133,1,394,0,0,181,3598,394,0 79,0,2024-09-07 09:17:21:355,97661,0.4,100020,0.6,204954,0.4,265978,2.25 79,1,2024-09-07 09:17:20:581,694380,694380,0,0,325659029275,3376479836,688483,4748,1149,368,391682,0 79,2,2024-09-07 09:17:21:080,502428,502428,0,0,20008159,0,4195 79,3,2024-09-07 09:17:20:763,1,394,1,0,418,5074,394,0 80,0,2024-09-07 09:17:21:081,97078,0.5,99979,0.7,191339,0.5,257909,2.00 80,1,2024-09-07 09:17:21:633,692142,692142,0,0,325053310764,3387160253,687089,4729,324,368,392269,0 80,2,2024-09-07 09:17:21:098,501527,501527,0,0,19895670,0,4433 80,3,2024-09-07 09:17:20:582,1,394,7,0,190,4703,394,0 81,0,2024-09-07 09:17:21:538,96486,0.5,98826,0.7,188551,0.5,255997,2.00 81,1,2024-09-07 09:17:21:654,691731,691731,0,0,323674573914,3385450033,685974,5251,506,382,391879,0 81,2,2024-09-07 09:17:21:134,493867,493804,63,0,21719148,0,5932 81,3,2024-09-07 09:17:21:117,1,394,1,0,719,4910,394,0 82,0,2024-09-07 09:17:21:534,98130,0.6,98665,0.8,197582,0.5,262437,2.00 82,1,2024-09-07 09:17:20:598,693687,693683,0,4,324884759315,3382624430,689085,3781,817,381,391768,4 82,2,2024-09-07 09:17:21:695,497668,497668,0,0,18725865,0,3986 82,3,2024-09-07 09:17:21:755,1,394,0,0,363,4476,394,0 83,0,2024-09-07 09:17:21:530,103307,0.7,103217,0.8,205606,0.8,273573,2.25 83,1,2024-09-07 09:17:20:560,691363,691363,0,0,324950371023,3391755933,685924,4989,450,382,391709,0 83,2,2024-09-07 09:17:20:765,502004,502004,0,0,19440479,0,3393 83,3,2024-09-07 09:17:20:748,1,394,0,0,1260,5210,394,0 84,0,2024-09-07 09:17:21:791,97073,0.7,97072,0.8,193658,0.6,259704,2.25 84,1,2024-09-07 09:17:21:058,691231,691231,0,0,324423618259,3392329936,683733,6460,1038,367,391967,0 84,2,2024-09-07 09:17:20:597,499400,499370,30,0,26379496,0,5971 84,3,2024-09-07 09:17:21:143,1,394,14,0,908,5909,394,0 85,0,2024-09-07 09:17:21:005,93163,0.6,93139,0.8,197784,0.6,255635,2.25 85,1,2024-09-07 09:17:20:572,689940,689940,0,0,323683938363,3416567375,679821,8296,1823,381,392006,0 85,2,2024-09-07 09:17:20:865,494034,494034,0,0,23593119,0,3656 85,3,2024-09-07 09:17:20:691,1,394,6,0,789,5047,394,0 86,0,2024-09-07 09:17:21:045,96968,0.6,99803,0.8,191007,0.7,258540,2.25 86,1,2024-09-07 09:17:20:825,691539,691539,0,0,325040901057,3404696324,683360,6742,1437,366,391961,0 86,2,2024-09-07 09:17:20:858,494489,494488,1,0,26386664,0,5004 86,3,2024-09-07 09:17:20:635,1,394,4,0,308,5898,394,0 87,0,2024-09-07 09:17:21:325,102825,0.9,102683,0.9,205784,1.1,274849,2.25 87,1,2024-09-07 09:17:20:585,690006,690006,0,0,324248826191,3401620785,680435,8076,1495,366,392076,0 87,2,2024-09-07 09:17:21:095,498717,498711,6,0,23622620,0,6323 87,3,2024-09-07 09:17:21:798,1,394,8,0,473,6010,394,0 88,0,2024-09-07 09:17:21:457,97900,0.4,98753,0.6,196473,0.4,261815,1.75 88,1,2024-09-07 09:17:20:727,689715,689715,0,0,324305153195,3397567719,680749,7034,1932,365,392084,0 88,2,2024-09-07 09:17:20:687,500948,500948,0,0,24707103,0,3583 88,3,2024-09-07 09:17:21:275,1,394,25,0,435,4143,394,0 89,0,2024-09-07 09:17:21:769,100199,0.4,97058,0.6,191875,0.4,260371,1.75 89,1,2024-09-07 09:17:20:558,690110,690110,0,0,324142513073,3407986406,681481,7207,1422,382,391866,0 89,2,2024-09-07 09:17:21:132,494993,494993,0,0,23548472,0,3173 89,3,2024-09-07 09:17:21:792,1,394,468,0,468,7339,394,0 90,0,2024-09-07 09:17:21:634,93060,0.5,95524,0.6,194934,0.4,254620,2.00 90,1,2024-09-07 09:17:20:615,691497,691497,0,0,324376561511,3397053683,685056,5932,509,380,391825,0 90,2,2024-09-07 09:17:21:408,495050,495050,0,0,25088496,0,3060 90,3,2024-09-07 09:17:20:954,1,394,13,0,322,4984,394,0 91,0,2024-09-07 09:17:21:020,102044,0.5,98855,0.6,206609,0.4,271561,1.75 91,1,2024-09-07 09:17:20:571,690221,690221,0,0,324405475262,3410878781,681607,7402,1212,381,392047,0 91,2,2024-09-07 09:17:21:354,498418,498418,0,0,22237742,0,2896 91,3,2024-09-07 09:17:20:599,1,394,8,0,216,3884,394,0 92,0,2024-09-07 09:17:21:487,101147,0.5,103645,0.6,198127,0.5,267950,1.75 92,1,2024-09-07 09:17:20:580,691750,691750,0,0,324761611147,3393633550,686303,4726,721,382,392136,0 92,2,2024-09-07 09:17:21:350,501680,501680,0,0,20293196,0,3259 92,3,2024-09-07 09:17:21:015,1,394,7,0,167,3566,394,0 93,0,2024-09-07 09:17:20:962,97988,0.4,100408,0.5,191652,0.3,259412,1.75 93,1,2024-09-07 09:17:20:822,690838,690838,0,0,324640920626,3392688975,683056,6436,1346,366,391776,0 93,2,2024-09-07 09:17:20:932,498561,498561,0,0,24345974,0,4845 93,3,2024-09-07 09:17:21:407,1,394,1,0,190,3623,394,0 94,0,2024-09-07 09:17:21:625,95817,0.4,96591,0.5,193044,0.3,255420,1.75 94,1,2024-09-07 09:17:20:569,692225,692225,0,0,325118719938,3391660178,687835,4196,194,381,391850,0 94,2,2024-09-07 09:17:20:772,495621,495621,0,0,20425092,0,2443 94,3,2024-09-07 09:17:21:689,1,394,3,0,264,4776,394,0 95,0,2024-09-07 09:17:21:378,98878,0.4,98888,0.5,198359,0.3,264679,1.75 95,1,2024-09-07 09:17:20:898,693675,693675,0,0,325007114535,3380070211,688137,5127,411,367,391713,0 95,2,2024-09-07 09:17:21:040,496452,496452,0,0,20097976,0,3308 95,3,2024-09-07 09:17:21:714,1,394,2,0,718,6004,394,0 96,0,2024-09-07 09:17:21:027,102932,0.4,103247,0.5,206051,0.4,273105,1.75 96,1,2024-09-07 09:17:21:592,691476,691476,0,0,324789927630,3391950640,685976,4622,878,384,391955,0 96,2,2024-09-07 09:17:21:272,502262,502262,0,0,21035119,0,4180 96,3,2024-09-07 09:17:21:143,1,394,7,0,411,4498,394,0 97,0,2024-09-07 09:17:21:316,97251,0.3,96995,0.5,194538,0.3,258548,1.50 97,1,2024-09-07 09:17:20:763,693107,693107,0,0,326292102913,3387676618,688004,4174,929,367,392140,0 97,2,2024-09-07 09:17:20:623,500994,500994,0,0,20534503,0,3679 97,3,2024-09-07 09:17:20:580,1,394,6,0,242,4604,394,0 98,0,2024-09-07 09:17:21:711,96262,0.3,96265,0.4,193334,0.2,257038,1.50 98,1,2024-09-07 09:17:20:718,692076,692076,0,0,325007719961,3389000783,687343,3954,779,382,391997,0 98,2,2024-09-07 09:17:20:789,495125,495125,0,0,20151544,0,3243 98,3,2024-09-07 09:17:20:703,1,394,0,0,840,6523,394,0 99,0,2024-09-07 09:17:21:476,98295,0.3,99007,0.5,196718,0.3,262647,1.75 99,1,2024-09-07 09:17:21:741,692655,692655,0,0,324955548462,3385169776,687811,4011,833,381,392069,0 99,2,2024-09-07 09:17:21:423,497265,497265,0,0,23439587,0,3424 99,3,2024-09-07 09:17:20:581,1,394,1,0,606,4453,394,0 100,0,2024-09-07 09:17:21:478,102890,0.7,103143,0.9,205474,0.9,275232,2.50 100,1,2024-09-07 09:17:20:550,689461,689461,0,0,323331477232,3418058632,679072,8375,2014,381,391989,0 100,2,2024-09-07 09:17:21:824,499514,499503,11,0,23752404,0,5417 100,3,2024-09-07 09:17:21:732,1,394,8,0,559,6651,394,0 101,0,2024-09-07 09:17:21:707,99577,1.0,96939,1.0,190480,0.9,261074,2.25 101,1,2024-09-07 09:17:20:589,689567,689567,0,0,323908242013,3411385870,678995,8452,2120,368,391847,0 101,2,2024-09-07 09:17:21:758,497038,497038,0,0,28543120,0,4871 101,3,2024-09-07 09:17:20:942,1,394,1,0,579,5746,394,0 102,0,2024-09-07 09:17:20:991,94574,0.6,97638,0.8,197705,0.6,258542,2.00 102,1,2024-09-07 09:17:21:144,689880,689880,0,0,323910681212,3407425093,680156,7965,1759,369,391891,0 102,2,2024-09-07 09:17:21:737,495314,495260,54,0,22353411,0,6768 102,3,2024-09-07 09:17:21:616,1,394,12,0,410,3989,394,0 103,0,2024-09-07 09:17:21:638,99913,0.6,99968,0.7,188386,0.6,259063,2.00 103,1,2024-09-07 09:17:21:631,688783,688783,0,0,324146644467,3425824599,677038,8681,3064,381,391829,0 103,2,2024-09-07 09:17:20:581,495272,495272,0,0,24534854,0,3766 103,3,2024-09-07 09:17:20:766,1,394,7,0,916,4438,394,0 104,0,2024-09-07 09:17:21:012,102045,0.7,102483,0.9,203496,0.6,273843,2.25 104,1,2024-09-07 09:17:21:601,690874,690874,0,0,323801546392,3402392206,680926,8170,1778,365,392168,0 104,2,2024-09-07 09:17:21:678,497613,497613,0,0,24247796,0,3941 104,3,2024-09-07 09:17:21:426,1,394,19,0,1245,8045,394,0 105,0,2024-09-07 09:17:21:040,97495,0.9,94894,1.1,198846,1.0,261850,2.75 105,1,2024-09-07 09:17:20:574,691944,691944,0,0,324800704193,3403400409,683200,7396,1348,366,392009,0 105,2,2024-09-07 09:17:21:324,500113,500113,0,0,23636766,0,3509 105,3,2024-09-07 09:17:21:304,1,394,6,0,399,5942,394,0 106,0,2024-09-07 09:17:21:067,93813,0.7,96135,0.9,196852,0.8,257272,2.50 106,1,2024-09-07 09:17:21:756,690344,690344,0,0,323911105365,3404899514,679495,9406,1443,369,391914,0 106,2,2024-09-07 09:17:20:766,496631,496631,0,0,22866624,0,2795 106,3,2024-09-07 09:17:20:678,1,394,1,0,470,5077,394,0 107,0,2024-09-07 09:17:21:243,95607,1.0,95840,0.9,191285,1.3,255001,2.25 107,1,2024-09-07 09:17:20:668,689918,689918,0,0,323636671638,3410369671,680684,8210,1024,381,392234,0 107,2,2024-09-07 09:17:21:300,494864,494863,1,0,23821645,0,5024 107,3,2024-09-07 09:17:21:780,1,394,19,0,353,5867,394,0 108,0,2024-09-07 09:17:21:789,99679,0.5,100432,0.6,199617,0.5,267135,1.75 108,1,2024-09-07 09:17:21:296,692078,692078,0,0,324839398547,3389329856,686149,5190,739,368,391857,0 108,2,2024-09-07 09:17:21:759,495869,495869,0,0,22865884,0,4246 108,3,2024-09-07 09:17:21:336,1,394,17,0,749,7586,394,0 109,0,2024-09-07 09:17:21:788,101996,0.4,101086,0.6,202156,0.4,270259,1.75 109,1,2024-09-07 09:17:20:588,689806,689806,0,0,324458083877,3405960010,683143,5550,1113,382,392132,0 109,2,2024-09-07 09:17:20:925,499362,499362,0,0,22569544,0,3617 109,3,2024-09-07 09:17:21:143,1,394,7,0,379,4647,394,0 110,0,2024-09-07 09:17:21:754,96992,0.4,94489,0.6,198098,0.3,258758,1.75 110,1,2024-09-07 09:17:21:643,693223,693223,0,0,324819469043,3374293037,688009,4038,1176,369,392045,0 110,2,2024-09-07 09:17:21:319,500269,500269,0,0,21556382,0,4067 110,3,2024-09-07 09:17:20:691,1,394,25,0,722,5772,394,0 111,0,2024-09-07 09:17:21:430,96942,0.4,96050,0.5,192792,0.3,257405,1.75 111,1,2024-09-07 09:17:21:000,693832,693832,0,0,325764825922,3379488211,690229,3249,354,380,391690,0 111,2,2024-09-07 09:17:21:121,494502,494502,0,0,20863308,0,4823 111,3,2024-09-07 09:17:20:913,1,394,1,0,379,4567,394,0 112,0,2024-09-07 09:17:20:916,99332,0.3,98866,0.4,197897,0.2,263372,1.50 112,1,2024-09-07 09:17:21:063,693563,693563,0,0,325185699291,3376390151,689149,3802,612,380,391624,0 112,2,2024-09-07 09:17:21:133,496585,496584,1,0,19989792,0,5036 112,3,2024-09-07 09:17:20:596,1,394,18,0,282,3984,394,0 113,0,2024-09-07 09:17:20:868,103308,0.3,103424,0.5,207069,0.3,275684,1.75 113,1,2024-09-07 09:17:21:690,696023,696023,0,0,326704634415,3373187700,692149,3286,588,366,391661,0 113,2,2024-09-07 09:17:21:304,503015,503015,0,0,18864954,0,3813 113,3,2024-09-07 09:17:20:690,1,394,12,0,340,4636,394,0 114,0,2024-09-07 09:17:20:880,98045,0.3,98657,0.5,196124,0.2,262287,1.75 114,1,2024-09-07 09:17:20:716,692761,692761,0,0,324461255848,3374371294,686555,4590,1616,381,391565,0 114,2,2024-09-07 09:17:20:876,501044,501043,1,0,19963881,0,5069 114,3,2024-09-07 09:17:21:278,1,394,0,0,395,3436,394,0 115,0,2024-09-07 09:17:20:556,96775,0.3,97259,0.4,194229,0.2,258128,1.50 115,1,2024-09-07 09:17:20:579,693041,693041,0,0,325430635017,3383527691,687201,4909,931,382,391757,0 115,2,2024-09-07 09:17:21:125,496563,496563,0,0,18829102,0,3848 115,3,2024-09-07 09:17:21:004,1,394,1,0,159,2312,394,0 116,0,2024-09-07 09:17:21:798,96834,0.7,96792,0.9,194085,0.7,259920,2.00 116,1,2024-09-07 09:17:20:880,689858,689858,0,0,323420582068,3409415564,681464,6074,2320,380,392089,0 116,2,2024-09-07 09:17:21:753,495821,495821,0,0,24812646,0,4128 116,3,2024-09-07 09:17:20:917,1,394,9,0,415,4759,394,0 117,0,2024-09-07 09:17:20:979,103289,0.7,102676,0.8,205992,0.8,274997,2.00 117,1,2024-09-07 09:17:21:586,690752,690752,0,0,324483759475,3398252369,683074,6769,909,369,392033,0 117,2,2024-09-07 09:17:21:118,502395,502395,0,0,21295574,0,4303 117,3,2024-09-07 09:17:21:062,1,394,1,0,490,6052,394,0 118,0,2024-09-07 09:17:21:770,95257,0.5,97652,0.7,199511,0.5,260778,2.00 118,1,2024-09-07 09:17:20:691,690508,690508,0,0,324631451300,3419669573,678822,8697,2989,366,391907,0 118,2,2024-09-07 09:17:21:599,499751,499751,0,0,23430617,0,2842 118,3,2024-09-07 09:17:21:764,1,394,9,0,248,4641,394,0 119,0,2024-09-07 09:17:21:401,96955,0.6,97483,0.7,194834,0.5,258768,2.00 119,1,2024-09-07 09:17:20:602,690836,690836,0,0,324625397958,3397483436,683202,6789,845,367,391780,0 119,2,2024-09-07 09:17:21:262,496519,496519,0,0,21609221,0,4174 119,3,2024-09-07 09:17:21:330,1,394,3,0,1358,8312,394,0 120,0,2024-09-07 09:17:21:657,95291,0.6,95201,0.8,190511,0.6,255045,2.25 120,1,2024-09-07 09:17:20:871,691418,691418,0,0,324687440085,3407195637,684041,6725,652,368,391961,0 120,2,2024-09-07 09:17:20:804,496415,496414,1,0,24634087,0,5281 120,3,2024-09-07 09:17:21:295,1,394,12,0,279,5020,394,0 121,0,2024-09-07 09:17:21:755,101432,1.1,101396,1.0,203350,1.4,270722,2.25 121,1,2024-09-07 09:17:21:685,692003,692003,0,0,324551649974,3393694314,685669,5888,446,367,391840,0 121,2,2024-09-07 09:17:21:126,497004,497004,0,0,23786370,0,4127 121,3,2024-09-07 09:17:20:727,1,394,35,0,269,4549,394,0 122,0,2024-09-07 09:17:21:765,99814,0.8,97459,0.9,204474,0.9,267697,2.00 122,1,2024-09-07 09:17:20:863,690581,690581,0,0,324167499852,3400345434,681353,7925,1303,366,392130,0 122,2,2024-09-07 09:17:21:321,500776,500703,73,0,26683038,0,5989 122,3,2024-09-07 09:17:20:595,1,394,3,0,512,7578,394,0 123,0,2024-09-07 09:17:21:003,96850,0.6,94678,0.7,197918,0.6,258816,2.00 123,1,2024-09-07 09:17:20:566,690401,690401,0,0,323690179284,3410010188,678144,10053,2204,369,392039,0 123,2,2024-09-07 09:17:21:018,497198,497197,1,0,22910060,0,5215 123,3,2024-09-07 09:17:21:134,1,394,1,0,168,4374,394,0 124,0,2024-09-07 09:17:20:946,99113,0.3,99055,0.5,186843,0.3,256236,1.75 124,1,2024-09-07 09:17:21:053,693071,693071,0,0,324722754701,3378252937,687874,4149,1048,367,392178,0 124,2,2024-09-07 09:17:21:010,496642,496589,53,0,20774170,0,6487 124,3,2024-09-07 09:17:20:761,1,394,15,0,490,3938,394,0 125,0,2024-09-07 09:17:21:425,99244,0.4,98924,0.6,198728,0.4,265030,1.75 125,1,2024-09-07 09:17:20:859,691650,691650,0,0,324499836507,3389720513,686035,4949,666,382,391702,0 125,2,2024-09-07 09:17:21:116,497355,497355,0,0,21356956,0,4534 125,3,2024-09-07 09:17:21:142,1,394,9,0,709,5112,394,0 126,0,2024-09-07 09:17:21:430,102772,0.5,105871,0.6,202491,0.4,273732,1.75 126,1,2024-09-07 09:17:20:562,693579,693579,0,0,325382353927,3372091410,689634,3656,289,365,391987,0 126,2,2024-09-07 09:17:20:618,502523,502523,0,0,22192433,0,4539 126,3,2024-09-07 09:17:20:908,1,394,0,0,268,5143,394,0 127,0,2024-09-07 09:17:21:669,97173,0.3,97632,0.5,194656,0.3,258630,1.75 127,1,2024-09-07 09:17:20:600,692938,692938,0,0,325330760554,3385611581,686051,5780,1107,364,392187,0 127,2,2024-09-07 09:17:20:642,499616,499616,0,0,20154762,0,3897 127,3,2024-09-07 09:17:21:267,1,394,1,0,968,4463,394,0 128,0,2024-09-07 09:17:21:525,96736,0.3,97075,0.4,193592,0.2,257353,1.50 128,1,2024-09-07 09:17:21:610,692416,692416,0,0,325207973852,3379054651,687543,4441,432,367,391838,0 128,2,2024-09-07 09:17:21:383,495572,495572,0,0,19152536,0,2915 128,3,2024-09-07 09:17:20:769,1,394,9,0,1082,6392,394,0 129,0,2024-09-07 09:17:21:030,99001,0.3,98535,0.5,197520,0.3,262733,1.75 129,1,2024-09-07 09:17:20:597,689873,689873,0,0,324357274750,3402432151,682518,5689,1666,379,391835,0 129,2,2024-09-07 09:17:20:697,497093,497093,0,0,20735020,0,4031 129,3,2024-09-07 09:17:20:696,1,394,8,0,506,5332,394,0 130,0,2024-09-07 09:17:21:742,103844,0.5,103372,0.6,208149,0.5,276526,1.75 130,1,2024-09-07 09:17:20:593,693154,693154,0,0,324427920895,3374474956,689223,3593,338,381,391825,0 130,2,2024-09-07 09:17:21:127,502699,502699,0,0,21360120,0,4067 130,3,2024-09-07 09:17:21:298,1,394,9,0,535,5663,394,0 131,0,2024-09-07 09:17:21:959,97470,0.4,97910,0.5,196935,0.3,261132,1.75 131,1,2024-09-07 09:17:21:820,692477,692477,0,0,324864105817,3396885501,686537,4892,1048,381,391865,0 131,2,2024-09-07 09:17:20:657,502601,502601,0,0,19203323,0,2698 131,3,2024-09-07 09:17:21:688,1,394,107,0,392,4144,394,0 132,0,2024-09-07 09:17:21:433,97161,0.4,98072,0.6,195085,0.4,259281,1.75 132,1,2024-09-07 09:17:20:581,689250,689250,0,0,323392635995,3409769709,678333,8901,2016,381,392097,0 132,2,2024-09-07 09:17:20:704,494895,494878,17,0,25073622,0,6451 132,3,2024-09-07 09:17:21:692,1,394,8,0,804,7235,394,0 133,0,2024-09-07 09:17:21:519,94365,0.4,96522,0.6,198033,0.4,257737,2.00 133,1,2024-09-07 09:17:20:584,689335,689335,0,0,323883761862,3416411397,679268,8556,1511,383,391914,0 133,2,2024-09-07 09:17:21:088,495546,495496,50,0,26746034,0,6861 133,3,2024-09-07 09:17:21:297,1,394,2,0,479,3990,394,0 134,0,2024-09-07 09:17:20:968,103215,0.5,102839,0.7,205943,0.5,275174,2.00 134,1,2024-09-07 09:17:20:587,690430,690430,0,0,323255227512,3394089351,680949,7474,2007,366,391718,0 134,2,2024-09-07 09:17:21:761,497443,497419,24,0,24315407,0,6207 134,3,2024-09-07 09:17:20:761,1,394,8,0,739,5158,394,0 135,0,2024-09-07 09:17:21:105,95705,0.8,95682,0.9,202888,1.0,261436,2.25 135,1,2024-09-07 09:17:21:594,690483,690483,0,0,324705809269,3419378486,680658,8455,1370,380,391805,0 135,2,2024-09-07 09:17:20:691,501168,501168,0,0,23242587,0,3981 135,3,2024-09-07 09:17:21:006,1,394,81,0,299,3412,394,0 136,0,2024-09-07 09:17:21:617,97640,0.5,97750,0.7,194578,0.5,259274,2.00 136,1,2024-09-07 09:17:21:442,690919,690919,0,0,324112329515,3399322287,683230,6849,840,382,391685,0 136,2,2024-09-07 09:17:21:138,499051,499051,0,0,22505409,0,3506 136,3,2024-09-07 09:17:21:110,1,394,11,0,637,4638,394,0 137,0,2024-09-07 09:17:20:943,98747,0.6,96103,0.7,188844,0.6,256199,2.00 137,1,2024-09-07 09:17:20:581,690203,690203,0,0,324107276598,3403310785,679107,8749,2347,366,391898,0 137,2,2024-09-07 09:17:21:715,495104,495104,0,0,25133953,0,3185 137,3,2024-09-07 09:17:20:770,1,394,1,0,484,5109,394,0 138,0,2024-09-07 09:17:21:743,99511,1.1,99563,1.0,199906,1.5,265932,2.25 138,1,2024-09-07 09:17:21:689,691230,691230,0,0,324188720790,3402410805,681421,8090,1719,368,391954,0 138,2,2024-09-07 09:17:20:594,496570,496570,0,0,22651976,0,4988 138,3,2024-09-07 09:17:20:611,1,394,6,0,1160,5274,394,0 139,0,2024-09-07 09:17:21:544,100492,1.7,100439,1.2,201371,2.4,268626,2.50 139,1,2024-09-07 09:17:20:579,687793,687793,0,0,322333237627,3428389584,673882,10611,3300,381,392058,0 139,2,2024-09-07 09:17:20:692,497682,497682,0,0,25977857,0,3097 139,3,2024-09-07 09:17:21:678,1,394,51,0,432,4737,394,0 140,0,2024-09-07 09:17:21:604,97643,0.3,96964,0.5,194746,0.2,259063,1.75 140,1,2024-09-07 09:17:21:535,694603,694603,0,0,327028850139,3373635698,691050,3112,441,365,391606,0 140,2,2024-09-07 09:17:20:692,500473,500472,1,0,20417732,0,5036 140,3,2024-09-07 09:17:20:774,1,394,8,0,297,3421,394,0 141,0,2024-09-07 09:17:21:701,96685,0.3,99303,0.5,189700,0.3,257259,1.75 141,1,2024-09-07 09:17:20:862,693283,693283,0,0,325809633946,3384588954,688071,4258,954,379,391614,0 141,2,2024-09-07 09:17:21:687,495367,495367,0,0,19758386,0,3360 141,3,2024-09-07 09:17:21:045,1,394,1,0,391,4530,394,0 142,0,2024-09-07 09:17:21:310,99352,0.3,98794,0.5,197487,0.3,264101,1.75 142,1,2024-09-07 09:17:20:588,692388,692388,0,0,325668077735,3395595512,687918,4077,393,382,392102,0 142,2,2024-09-07 09:17:21:312,496073,496041,32,0,21556549,0,6028 142,3,2024-09-07 09:17:21:746,1,394,0,0,484,4681,394,0 143,0,2024-09-07 09:17:21:369,103545,0.5,103499,0.6,207430,0.5,275721,1.75 143,1,2024-09-07 09:17:20:562,693571,693571,0,0,325572423257,3382123055,688560,4467,544,367,391705,0 143,2,2024-09-07 09:17:20:771,502192,502192,0,0,21042451,0,3123 143,3,2024-09-07 09:17:21:158,1,394,3,0,462,5120,394,0 144,0,2024-09-07 09:17:21:495,94620,0.6,97249,0.8,198337,0.5,260083,2.00 144,1,2024-09-07 09:17:20:579,690050,690050,0,0,323693362420,3398526313,683134,5563,1353,381,391649,0 144,2,2024-09-07 09:17:21:755,501205,501205,0,0,20150334,0,3673 144,3,2024-09-07 09:17:21:739,1,394,8,0,249,3970,394,0 145,0,2024-09-07 09:17:21:365,93514,0.5,93544,0.8,198413,0.5,255239,2.25 145,1,2024-09-07 09:17:20:563,689787,689787,0,0,323943379467,3408487568,680754,7454,1579,382,391759,0 145,2,2024-09-07 09:17:21:430,493007,492926,81,0,23836911,0,7814 145,3,2024-09-07 09:17:20:909,1,394,8,0,622,5793,394,0 146,0,2024-09-07 09:17:21:620,97129,0.6,96642,0.7,194653,0.5,258167,2.25 146,1,2024-09-07 09:17:21:599,691408,691408,0,0,324019310115,3405140573,680642,8648,2118,367,391770,0 146,2,2024-09-07 09:17:21:710,495265,495265,0,0,22749014,0,2730 146,3,2024-09-07 09:17:21:277,1,394,7,0,1520,7808,394,0 147,0,2024-09-07 09:17:21:710,103114,0.6,102984,0.8,205091,0.6,274577,2.25 147,1,2024-09-07 09:17:21:382,693617,693617,0,0,325921101118,3391310587,687275,5498,844,368,391791,0 147,2,2024-09-07 09:17:21:010,499768,499768,0,0,21025211,0,2968 147,3,2024-09-07 09:17:20:913,1,394,8,0,1626,7146,394,0 0,0,2024-09-07 09:17:31:727,93456,0.6,93463,0.8,198281,0.7,256616,2.00 0,1,2024-09-07 09:17:30:822,693019,693019,0,0,325708000807,3409707929,687703,4930,386,369,391896,0 0,2,2024-09-07 09:17:31:067,499568,499568,0,0,20305294,0,4480 0,3,2024-09-07 09:17:30:981,1,395,11,0,431,5993,395,0 1,0,2024-09-07 09:17:31:759,101905,1.0,101326,1.0,203498,1.3,272504,2.25 1,1,2024-09-07 09:17:30:579,692544,692544,0,0,325207266860,3405571327,686338,4886,1320,370,391857,0 1,2,2024-09-07 09:17:30:656,498513,498513,0,0,20521381,0,3380 1,3,2024-09-07 09:17:31:306,1,395,1,0,262,4831,395,0 2,0,2024-09-07 09:17:31:581,100017,0.7,100379,0.8,199903,0.8,266508,2.00 2,1,2024-09-07 09:17:30:860,694955,694955,0,0,326410916820,3390710110,691679,2982,294,380,391745,0 2,2,2024-09-07 09:17:31:274,502467,502467,0,0,19930433,0,3594 2,3,2024-09-07 09:17:30:698,1,395,174,0,357,4032,395,0 3,0,2024-09-07 09:17:31:743,97418,0.4,97329,0.6,194547,0.4,258816,2.00 3,1,2024-09-07 09:17:31:625,693462,693462,0,0,325247368182,3390334653,687257,5515,690,380,391716,0 3,2,2024-09-07 09:17:31:147,499551,499528,23,0,20631819,0,5851 3,3,2024-09-07 09:17:31:752,1,395,0,0,207,2578,395,0 4,0,2024-09-07 09:17:31:786,93352,0.4,95930,0.5,195556,0.3,255333,1.75 4,1,2024-09-07 09:17:30:598,691108,691108,0,0,324431464648,3431305567,679737,8868,2503,370,391992,0 4,2,2024-09-07 09:17:31:026,495566,495566,0,0,23481645,0,4528 4,3,2024-09-07 09:17:31:027,1,395,0,0,448,5296,395,0 5,0,2024-09-07 09:17:31:384,99208,0.5,99789,0.6,199266,0.4,265181,1.75 5,1,2024-09-07 09:17:30:759,692027,692027,0,0,324757607847,3423025015,681703,8173,2151,367,392005,0 5,2,2024-09-07 09:17:31:829,496094,496094,0,0,23034363,0,3582 5,3,2024-09-07 09:17:31:737,1,395,31,0,457,5640,395,0 6,0,2024-09-07 09:17:30:920,103099,0.5,102729,0.7,205064,0.4,273471,2.00 6,1,2024-09-07 09:17:30:750,692519,692519,0,0,324634772798,3396482735,684456,6675,1388,379,391694,0 6,2,2024-09-07 09:17:31:116,502002,501984,18,0,23298999,0,5535 6,3,2024-09-07 09:17:31:275,1,395,3,0,710,5028,395,0 7,0,2024-09-07 09:17:31:533,96736,0.5,97359,0.6,194039,0.4,258266,2.00 7,1,2024-09-07 09:17:30:850,691967,691967,0,0,324919090825,3413175994,682210,8295,1462,382,391747,0 7,2,2024-09-07 09:17:30:773,502054,502054,0,0,22921919,0,4791 7,3,2024-09-07 09:17:30:853,1,395,2,0,398,4170,395,0 8,0,2024-09-07 09:17:31:337,96906,0.4,96670,0.5,193713,0.3,258147,1.75 8,1,2024-09-07 09:17:31:021,691156,691156,0,0,324955896156,3419000695,679842,8689,2625,366,392144,0 8,2,2024-09-07 09:17:30:803,492167,492167,0,0,25792839,0,3220 8,3,2024-09-07 09:17:30:599,1,395,9,0,538,6624,395,0 9,0,2024-09-07 09:17:31:113,98831,0.4,95960,0.5,200744,0.3,263086,1.75 9,1,2024-09-07 09:17:30:568,691609,691609,0,0,324299858505,3415444987,681874,7597,2138,369,392001,0 9,2,2024-09-07 09:17:31:088,497132,497132,0,0,23093858,0,3360 9,3,2024-09-07 09:17:31:757,1,395,7,0,496,5441,395,0 10,0,2024-09-07 09:17:31:604,103708,0.3,103210,0.5,206996,0.3,275764,1.75 10,1,2024-09-07 09:17:30:586,692517,692517,0,0,325503136714,3409103763,683551,7681,1285,381,391741,0 10,2,2024-09-07 09:17:30:762,502650,502650,0,0,25577762,0,4264 10,3,2024-09-07 09:17:30:872,1,395,0,0,649,4415,395,0 11,0,2024-09-07 09:17:31:007,97746,0.5,94781,0.6,198296,0.4,261922,1.75 11,1,2024-09-07 09:17:30:575,693188,693188,0,0,325531117357,3416697768,682456,8250,2482,383,391756,0 11,2,2024-09-07 09:17:31:123,501017,501017,0,0,22986349,0,4130 11,3,2024-09-07 09:17:31:301,1,395,78,0,843,6147,395,0 12,0,2024-09-07 09:17:30:952,98022,0.4,98035,0.5,195893,0.3,259935,1.75 12,1,2024-09-07 09:17:30:939,693584,693584,0,0,325547588528,3394886618,688200,4887,497,370,391870,0 12,2,2024-09-07 09:17:31:545,496465,496465,0,0,21898339,0,3469 12,3,2024-09-07 09:17:31:064,1,395,8,0,386,6137,395,0 13,0,2024-09-07 09:17:31:343,97827,0.4,97430,0.6,194459,0.4,259196,1.75 13,1,2024-09-07 09:17:31:538,691987,691987,0,0,324503310819,3412424891,684940,5474,1573,382,391740,0 13,2,2024-09-07 09:17:30:619,499516,499516,0,0,20226881,0,3287 13,3,2024-09-07 09:17:31:766,1,395,1,0,522,6094,395,0 14,0,2024-09-07 09:17:30:564,103335,0.4,104228,0.6,206339,0.4,275663,1.75 14,1,2024-09-07 09:17:31:561,696422,696422,0,0,326710507689,3387623756,690225,5489,708,364,391673,0 14,2,2024-09-07 09:17:30:764,500653,500623,30,0,22598550,0,6104 14,3,2024-09-07 09:17:31:123,1,395,17,0,1168,4565,395,0 15,0,2024-09-07 09:17:31:561,98525,0.4,98455,0.7,197367,0.4,262549,2.00 15,1,2024-09-07 09:17:31:613,693643,693643,0,0,325643256554,3392647318,688092,4573,978,381,391619,0 15,2,2024-09-07 09:17:30:998,503719,503719,0,0,18600811,0,3622 15,3,2024-09-07 09:17:31:405,1,395,3,0,1126,6849,395,0 16,0,2024-09-07 09:17:30:929,97384,0.5,97752,0.7,195156,0.5,259256,2.00 16,1,2024-09-07 09:17:30:568,693638,693638,0,0,325552210888,3403919348,687724,5071,843,370,391756,0 16,2,2024-09-07 09:17:31:434,497826,497826,0,0,21650781,0,4719 16,3,2024-09-07 09:17:31:146,1,395,11,0,317,5224,395,0 17,0,2024-09-07 09:17:31:768,98807,0.6,96395,0.8,188684,0.7,256055,2.00 17,1,2024-09-07 09:17:30:578,692312,692312,0,0,324623588387,3409142517,684747,6054,1511,368,392075,0 17,2,2024-09-07 09:17:31:679,500018,500018,0,0,21178421,0,2948 17,3,2024-09-07 09:17:30:576,1,395,0,0,518,6680,395,0 18,0,2024-09-07 09:17:30:954,99571,0.6,99843,0.8,199152,0.7,266533,2.25 18,1,2024-09-07 09:17:31:639,695045,695045,0,0,326082317240,3381256024,691173,3494,378,367,391725,0 18,2,2024-09-07 09:17:31:761,500763,500763,0,0,19388593,0,3541 18,3,2024-09-07 09:17:30:896,1,395,1,0,163,3155,395,0 19,0,2024-09-07 09:17:31:542,100818,0.7,101340,0.8,200920,0.7,267242,2.25 19,1,2024-09-07 09:17:30:567,695420,695420,0,0,326073502166,3386220464,689488,5011,921,367,391777,0 19,2,2024-09-07 09:17:31:756,504030,504030,0,0,18420728,0,3988 19,3,2024-09-07 09:17:31:128,1,395,1,0,524,3028,395,0 20,0,2024-09-07 09:17:31:345,97256,0.5,97239,0.6,194291,0.4,259046,2.00 20,1,2024-09-07 09:17:30:568,692691,692691,0,0,325744114250,3407917642,686479,5412,800,369,391886,0 20,2,2024-09-07 09:17:30:928,500441,500441,0,0,22064408,0,3721 20,3,2024-09-07 09:17:30:593,1,395,9,0,414,5934,395,0 21,0,2024-09-07 09:17:31:129,96727,0.5,96664,0.6,193329,0.5,256642,2.00 21,1,2024-09-07 09:17:31:564,690901,690901,0,0,324380166181,3421351206,680767,7999,2135,368,392016,0 21,2,2024-09-07 09:17:31:067,493677,493657,20,0,26432529,0,5617 21,3,2024-09-07 09:17:31:405,1,395,1,0,713,5268,395,0 22,0,2024-09-07 09:17:31:720,98788,0.5,99120,0.7,198448,0.5,263306,2.25 22,1,2024-09-07 09:17:31:026,692336,692336,0,0,325332464232,3420904376,681725,8428,2183,382,391667,0 22,2,2024-09-07 09:17:30:765,496371,496345,26,0,21224732,0,6328 22,3,2024-09-07 09:17:31:066,1,395,1,0,228,3344,395,0 23,0,2024-09-07 09:17:31:374,103047,0.6,102515,0.7,205494,0.5,273719,2.25 23,1,2024-09-07 09:17:31:016,693158,693158,0,0,325661479630,3423635738,680381,8725,4052,365,391690,0 23,2,2024-09-07 09:17:31:096,504016,504016,0,0,20808458,0,3010 23,3,2024-09-07 09:17:31:754,1,395,127,0,720,5495,395,0 24,0,2024-09-07 09:17:30:836,98546,0.4,98317,0.5,197103,0.4,262080,1.75 24,1,2024-09-07 09:17:30:629,692341,692341,0,0,323818640595,3396551956,684621,6136,1584,367,392269,0 24,2,2024-09-07 09:17:31:073,500662,500662,0,0,24974736,0,3607 24,3,2024-09-07 09:17:31:696,1,395,11,0,468,5569,395,0 25,0,2024-09-07 09:17:31:343,99418,0.4,96945,0.6,190129,0.3,259119,1.75 25,1,2024-09-07 09:17:30:559,692105,692105,0,0,325280391741,3423551636,681549,8628,1928,371,391928,0 25,2,2024-09-07 09:17:31:608,494667,494667,0,0,24701354,0,3978 25,3,2024-09-07 09:17:31:001,1,395,14,0,532,4449,395,0 26,0,2024-09-07 09:17:31:722,97682,0.4,95189,0.6,199613,0.3,260499,1.75 26,1,2024-09-07 09:17:31:550,693709,693709,0,0,324914056289,3402134793,684072,8090,1547,380,391748,0 26,2,2024-09-07 09:17:30:861,498382,498382,0,0,24771959,0,2809 26,3,2024-09-07 09:17:31:712,1,395,1,0,796,5072,395,0 27,0,2024-09-07 09:17:31:740,103462,0.4,103663,0.6,205957,0.4,275101,2.00 27,1,2024-09-07 09:17:31:682,695412,695412,0,0,325973855430,3395906324,688686,5834,892,381,391626,0 27,2,2024-09-07 09:17:30:867,499250,499185,65,0,23602813,0,5699 27,3,2024-09-07 09:17:31:015,1,395,12,0,564,3998,395,0 28,0,2024-09-07 09:17:31:388,98769,0.4,98328,0.6,196842,0.3,263017,2.00 28,1,2024-09-07 09:17:30:800,693989,693989,0,0,326375092887,3409304565,687109,5192,1688,383,391698,0 28,2,2024-09-07 09:17:31:765,502156,502156,0,0,21598867,0,2915 28,3,2024-09-07 09:17:31:782,1,395,16,0,502,4522,395,0 29,0,2024-09-07 09:17:31:354,100127,0.3,97569,0.6,191640,0.3,260703,1.75 29,1,2024-09-07 09:17:31:561,696043,696043,0,0,327110064314,3387030164,691244,4066,733,368,391753,0 29,2,2024-09-07 09:17:30:866,496371,496371,0,0,20333244,0,4986 29,3,2024-09-07 09:17:30:963,1,395,1,0,459,4799,395,0 30,0,2024-09-07 09:17:31:457,95880,0.5,93190,0.7,195123,0.4,255520,2.00 30,1,2024-09-07 09:17:30:657,695822,695822,0,0,326348350039,3391089776,690078,4978,766,381,391672,0 30,2,2024-09-07 09:17:31:277,498995,498995,0,0,19132809,0,3161 30,3,2024-09-07 09:17:30:594,1,395,42,0,519,3889,395,0 31,0,2024-09-07 09:17:31:758,101792,0.4,102207,0.6,203992,0.4,272783,2.00 31,1,2024-09-07 09:17:30:564,698080,698080,0,0,327808789518,3362389750,695104,2390,586,356,391712,0 31,2,2024-09-07 09:17:31:276,499186,499186,0,0,21435409,0,3525 31,3,2024-09-07 09:17:31:708,1,395,1,0,220,3505,395,0 32,0,2024-09-07 09:17:31:420,100490,0.3,101133,0.5,201396,0.3,268176,1.75 32,1,2024-09-07 09:17:30:806,694982,694982,0,0,326986135343,3403691786,689880,4422,680,381,391646,0 32,2,2024-09-07 09:17:30:937,503134,503134,0,0,19182769,0,3922 32,3,2024-09-07 09:17:31:015,1,395,7,0,304,3112,395,0 33,0,2024-09-07 09:17:31:495,97587,0.3,97120,0.4,194974,0.2,259273,1.50 33,1,2024-09-07 09:17:30:575,695945,695945,0,0,327006479627,3394858899,689530,5312,1103,369,391730,0 33,2,2024-09-07 09:17:30:762,500599,500564,35,0,21513257,0,7012 33,3,2024-09-07 09:17:30:895,1,395,2,0,329,4013,395,0 34,0,2024-09-07 09:17:30:943,96374,0.3,99135,0.4,189814,0.2,254623,1.75 34,1,2024-09-07 09:17:31:044,697090,697090,0,0,327225798502,3367017307,695358,1721,11,367,391562,0 34,2,2024-09-07 09:17:30:765,497756,497756,0,0,20151117,0,4562 34,3,2024-09-07 09:17:31:688,1,395,1,0,299,3094,395,0 35,0,2024-09-07 09:17:30:868,98805,0.4,99089,0.5,199370,0.3,265846,1.75 35,1,2024-09-07 09:17:31:067,695202,695202,0,0,325772753889,3378242449,690868,3478,856,382,391769,0 35,2,2024-09-07 09:17:31:583,497874,497874,0,0,20720285,0,2653 35,3,2024-09-07 09:17:30:907,1,395,1,0,418,4457,395,0 36,0,2024-09-07 09:17:31:517,103159,0.5,103041,0.7,205977,0.5,273747,2.25 36,1,2024-09-07 09:17:30:601,693801,693801,0,0,325953243775,3406582965,684553,7265,1983,366,391759,0 36,2,2024-09-07 09:17:31:755,503021,503021,0,0,22627389,0,3875 36,3,2024-09-07 09:17:30:872,1,395,8,0,416,5937,395,0 37,0,2024-09-07 09:17:31:379,96860,0.5,96958,0.6,193966,0.4,258632,2.00 37,1,2024-09-07 09:17:30:583,693820,693813,0,7,324464451327,3391239038,685502,6068,2243,365,391570,0 37,2,2024-09-07 09:17:31:149,499721,499706,15,0,22835236,0,5815 37,3,2024-09-07 09:17:31:766,1,395,2,0,888,6205,395,0 38,0,2024-09-07 09:17:31:438,96197,0.5,93419,0.6,195426,0.4,256001,2.00 38,1,2024-09-07 09:17:31:610,694842,694842,0,0,326509039197,3414134492,684735,7948,2159,368,391821,0 38,2,2024-09-07 09:17:30:763,495858,495811,47,0,21900774,0,6710 38,3,2024-09-07 09:17:30:998,1,395,1,0,689,5376,395,0 39,0,2024-09-07 09:17:31:762,100630,0.6,98576,0.7,191878,0.6,262108,2.00 39,1,2024-09-07 09:17:30:741,693162,693162,0,0,325224055403,3411107484,681688,9092,2382,365,391658,0 39,2,2024-09-07 09:17:31:417,497979,497979,0,0,20626147,0,2689 39,3,2024-09-07 09:17:30:717,1,395,33,0,324,4814,395,0 40,0,2024-09-07 09:17:31:493,102610,0.8,103468,1.0,205709,0.9,274946,2.75 40,1,2024-09-07 09:17:30:578,694065,694065,0,0,324835657065,3403051235,684045,8122,1898,368,391668,0 40,2,2024-09-07 09:17:31:315,501696,501695,1,0,24277585,0,5137 40,3,2024-09-07 09:17:31:151,1,395,13,0,1028,5506,395,0 41,0,2024-09-07 09:17:31:031,97289,1.5,99528,1.3,189974,2.4,258599,3.25 41,1,2024-09-07 09:17:30:778,692702,692702,0,0,325526308479,3406419896,683985,7717,1000,369,391742,0 41,2,2024-09-07 09:17:30:761,500061,500061,0,0,23195440,0,4277 41,3,2024-09-07 09:17:31:681,1,395,1,0,366,4088,395,0 42,0,2024-09-07 09:17:31:482,96572,0.9,96594,1.0,193343,1.0,255687,2.75 42,1,2024-09-07 09:17:31:439,691639,691639,0,0,323761224610,3404286848,680380,9340,1919,380,391675,0 42,2,2024-09-07 09:17:31:132,495144,495144,0,0,23343129,0,3790 42,3,2024-09-07 09:17:31:013,1,395,3,0,446,3242,395,0 43,0,2024-09-07 09:17:30:917,95824,0.8,93350,1.0,195587,0.9,256567,2.25 43,1,2024-09-07 09:17:30:576,693699,693699,0,0,326264905223,3412382967,683480,8481,1738,366,391696,0 43,2,2024-09-07 09:17:31:736,498122,498122,0,0,23330430,0,3812 43,3,2024-09-07 09:17:31:754,1,395,161,0,467,4798,395,0 44,0,2024-09-07 09:17:30:866,103204,0.4,103525,0.6,207201,0.4,275459,1.75 44,1,2024-09-07 09:17:30:564,695469,695469,0,0,326108576696,3375632177,688997,5154,1318,356,391809,0 44,2,2024-09-07 09:17:31:269,499542,499542,0,0,19733461,0,4344 44,3,2024-09-07 09:17:31:095,1,395,7,0,817,4863,395,0 45,0,2024-09-07 09:17:31:763,97571,0.5,95318,0.8,199797,0.5,263107,2.00 45,1,2024-09-07 09:17:31:008,694614,694614,0,0,326277935765,3393269781,688965,5076,573,382,391917,0 45,2,2024-09-07 09:17:31:276,502891,502891,0,0,20123869,0,3596 45,3,2024-09-07 09:17:30:938,1,395,1,0,271,3587,395,0 46,0,2024-09-07 09:17:30:950,96772,0.5,96739,0.7,193740,0.5,256825,2.00 46,1,2024-09-07 09:17:30:577,696120,696120,0,0,327386060895,3383842846,691599,3931,590,366,391709,0 46,2,2024-09-07 09:17:30:596,498766,498766,0,0,19966695,0,4443 46,3,2024-09-07 09:17:31:135,1,395,1,0,908,5309,395,0 47,0,2024-09-07 09:17:31:101,96227,0.4,96478,0.6,193410,0.4,255432,2.00 47,1,2024-09-07 09:17:30:574,696729,696729,0,0,326157180235,3372513805,692136,3778,815,366,391641,0 47,2,2024-09-07 09:17:30:912,499999,499999,0,0,19481309,0,4477 47,3,2024-09-07 09:17:31:123,1,395,24,0,600,4859,395,0 48,0,2024-09-07 09:17:31:512,100928,0.3,100735,0.5,200929,0.2,268731,1.75 48,1,2024-09-07 09:17:31:022,695087,695087,0,0,326266022093,3391028518,690540,4091,456,384,391710,0 48,2,2024-09-07 09:17:30:701,498106,498106,0,0,18617953,0,3411 48,3,2024-09-07 09:17:30:765,1,395,8,0,339,3304,395,0 49,0,2024-09-07 09:17:31:717,104103,0.4,102291,0.5,198083,0.3,271517,1.75 49,1,2024-09-07 09:17:31:022,694712,694712,0,0,325579702413,3391119305,689574,3933,1205,382,391809,0 49,2,2024-09-07 09:17:31:798,502919,502919,0,0,20668735,0,4426 49,3,2024-09-07 09:17:31:417,1,395,1,0,992,4942,395,0 50,0,2024-09-07 09:17:31:512,97786,0.3,96230,0.4,194268,0.2,258689,1.75 50,1,2024-09-07 09:17:31:014,696805,696805,0,0,327421644415,3388269485,691955,4276,574,368,391565,0 50,2,2024-09-07 09:17:31:082,500776,500776,0,0,18524725,0,2263 50,3,2024-09-07 09:17:31:292,1,395,0,0,567,4126,395,0 51,0,2024-09-07 09:17:31:691,99229,0.3,97031,0.5,189232,0.2,257726,1.75 51,1,2024-09-07 09:17:31:684,696992,696992,0,0,328074822289,3384543569,693456,2558,978,365,391706,0 51,2,2024-09-07 09:17:31:317,496843,496843,0,0,17704851,0,3337 51,3,2024-09-07 09:17:31:027,1,395,2,0,678,3341,395,0 52,0,2024-09-07 09:17:31:429,99196,0.5,99105,0.7,198559,0.5,264154,2.00 52,1,2024-09-07 09:17:30:576,693828,693828,0,0,325539627073,3408053131,684169,8345,1314,368,391722,0 52,2,2024-09-07 09:17:31:755,494675,494637,38,0,23669506,0,6742 52,3,2024-09-07 09:17:30:674,1,395,14,0,1782,5712,395,0 53,0,2024-09-07 09:17:31:754,102576,0.7,99661,0.8,208749,0.8,273611,2.50 53,1,2024-09-07 09:17:30:771,692503,692503,0,0,325291989528,3416168455,680819,8726,2958,367,391702,0 53,2,2024-09-07 09:17:31:301,502983,502982,1,0,21571489,0,5455 53,3,2024-09-07 09:17:30:698,1,395,6,0,308,3694,395,0 54,0,2024-09-07 09:17:31:621,96551,0.6,96857,0.8,193066,0.4,258023,2.25 54,1,2024-09-07 09:17:30:586,693896,693896,0,0,326172801015,3393523968,686643,6014,1239,366,391659,0 54,2,2024-09-07 09:17:30:865,501904,501872,32,0,24560621,0,6397 54,3,2024-09-07 09:17:30:763,1,395,15,0,676,6057,395,0 55,0,2024-09-07 09:17:31:761,93652,0.6,96830,0.7,195604,0.5,254965,2.50 55,1,2024-09-07 09:17:30:771,694849,694849,0,0,326003808720,3390218460,687519,6246,1084,365,391731,0 55,2,2024-09-07 09:17:30:729,495223,495167,56,0,22717174,0,7239 55,3,2024-09-07 09:17:30:674,1,395,18,0,304,4247,395,0 56,0,2024-09-07 09:17:31:610,99772,1.1,94025,1.1,193658,1.5,259552,2.75 56,1,2024-09-07 09:17:30:570,690237,690237,0,0,324843670059,3432695715,679127,8762,2348,381,391867,0 56,2,2024-09-07 09:17:31:303,497731,497731,0,0,22694260,0,3567 56,3,2024-09-07 09:17:31:060,1,395,31,0,705,4978,395,0 57,0,2024-09-07 09:17:30:953,102076,1.4,101892,1.2,204378,2.0,273173,3.00 57,1,2024-09-07 09:17:30:987,693118,693118,0,0,325209505743,3409148310,684948,7141,1029,366,392032,0 57,2,2024-09-07 09:17:31:317,501632,501632,0,0,25069083,0,3317 57,3,2024-09-07 09:17:31:739,1,395,6,0,359,4284,395,0 58,0,2024-09-07 09:17:30:619,96928,0.9,94128,1.0,197070,1.0,258473,2.50 58,1,2024-09-07 09:17:30:584,693420,693417,0,3,325865223108,3408501139,683864,8275,1278,367,391603,3 58,2,2024-09-07 09:17:31:081,501239,501239,0,0,23243440,0,2902 58,3,2024-09-07 09:17:31:068,1,395,1,0,1043,4286,395,0 59,0,2024-09-07 09:17:31:751,97238,0.8,96945,0.9,193482,0.8,257179,2.50 59,1,2024-09-07 09:17:30:805,692923,692923,0,0,325813244754,3414180901,683352,7867,1704,369,391653,0 59,2,2024-09-07 09:17:30:588,497137,497137,0,0,22382660,0,2867 59,3,2024-09-07 09:17:31:741,1,395,3,0,1015,5244,395,0 60,0,2024-09-07 09:17:31:708,96174,0.5,96090,0.7,192839,0.5,256511,1.75 60,1,2024-09-07 09:17:30:792,695326,695326,0,0,326465449916,3389099516,690772,3905,649,370,392031,0 60,2,2024-09-07 09:17:31:147,498563,498563,0,0,21710331,0,3811 60,3,2024-09-07 09:17:31:258,1,395,8,0,409,4793,395,0 61,0,2024-09-07 09:17:31:528,101688,0.6,102348,0.8,203903,0.6,272175,2.00 61,1,2024-09-07 09:17:30:786,693585,693585,0,0,324951562685,3406381127,685701,6487,1397,382,392127,0 61,2,2024-09-07 09:17:31:118,499102,499035,67,0,22102414,0,6411 61,3,2024-09-07 09:17:31:691,1,395,4,0,479,5597,395,0 62,0,2024-09-07 09:17:31:708,101073,0.6,103381,0.7,197324,0.6,267931,2.00 62,1,2024-09-07 09:17:31:115,698091,698085,0,6,327131624836,3375596533,694280,3544,261,365,391715,6 62,2,2024-09-07 09:17:31:644,500756,500755,1,0,22183796,0,5555 62,3,2024-09-07 09:17:31:146,1,395,1,0,482,3311,395,0 63,0,2024-09-07 09:17:31:470,97701,0.4,97584,0.6,195704,0.3,259680,1.75 63,1,2024-09-07 09:17:30:814,695455,695449,0,6,326620373449,3393564958,690547,4170,732,381,391800,6 63,2,2024-09-07 09:17:30:775,499865,499865,0,0,20129157,0,4369 63,3,2024-09-07 09:17:31:734,1,395,3,0,667,4164,395,0 64,0,2024-09-07 09:17:31:535,95726,0.5,95689,0.7,191206,0.5,253726,2.00 64,1,2024-09-07 09:17:30:771,694756,694756,0,0,325414101788,3393835986,688226,4827,1703,370,391783,0 64,2,2024-09-07 09:17:31:147,500690,500671,19,0,19731073,0,6121 64,3,2024-09-07 09:17:31:146,1,395,12,0,265,3701,395,0 65,0,2024-09-07 09:17:31:699,98412,0.7,98862,0.8,197180,0.8,263424,2.25 65,1,2024-09-07 09:17:30:859,693265,693265,0,0,325492561644,3404481165,688179,4546,540,382,391901,0 65,2,2024-09-07 09:17:31:698,498609,498609,0,0,23074830,0,3367 65,3,2024-09-07 09:17:31:683,1,395,782,0,782,4758,395,0 66,0,2024-09-07 09:17:31:772,102438,0.6,102446,0.8,204624,0.6,272192,2.25 66,1,2024-09-07 09:17:31:295,694885,694885,0,0,325498403892,3392563011,689864,4604,417,380,391743,0 66,2,2024-09-07 09:17:31:136,504273,504273,0,0,20246210,0,4956 66,3,2024-09-07 09:17:31:088,1,395,1,0,291,3565,395,0 67,0,2024-09-07 09:17:31:413,97504,0.5,97175,0.7,194922,0.4,259360,2.00 67,1,2024-09-07 09:17:30:768,695155,695154,0,1,325551721700,3391140211,690483,3886,785,380,391787,1 67,2,2024-09-07 09:17:30:593,503462,503462,0,0,19413243,0,3622 67,3,2024-09-07 09:17:31:754,1,395,1,0,392,3992,395,0 68,0,2024-09-07 09:17:30:607,97000,0.6,96752,0.7,192911,0.5,257870,2.00 68,1,2024-09-07 09:17:30:599,691787,691787,0,0,325115507681,3419321902,682896,6142,2749,381,391953,0 68,2,2024-09-07 09:17:31:046,494014,493914,100,0,25601742,0,8578 68,3,2024-09-07 09:17:30:740,1,395,7,0,417,4268,395,0 69,0,2024-09-07 09:17:31:752,98111,0.8,98643,0.9,196288,1.0,260775,2.25 69,1,2024-09-07 09:17:31:017,691696,691696,0,0,324633272630,3425250609,682289,7169,2238,384,391994,0 69,2,2024-09-07 09:17:31:735,496984,496955,29,0,26936041,0,6912 69,3,2024-09-07 09:17:30:763,1,395,54,0,698,5731,395,0 70,0,2024-09-07 09:17:31:532,102593,1.0,102841,1.1,206404,0.9,273802,2.50 70,1,2024-09-07 09:17:30:805,694745,694745,0,0,325894236246,3385128603,688823,5261,661,366,391725,0 70,2,2024-09-07 09:17:31:328,502090,502090,0,0,22923734,0,4044 70,3,2024-09-07 09:17:30:761,1,395,71,0,854,4716,395,0 71,0,2024-09-07 09:17:31:357,97042,0.9,96682,1.0,194128,1.0,260049,2.75 71,1,2024-09-07 09:17:31:596,694150,694150,0,0,325601615552,3399911141,685898,7452,800,368,391738,0 71,2,2024-09-07 09:17:31:067,501280,501280,0,0,23318666,0,4352 71,3,2024-09-07 09:17:31:749,1,395,1,0,644,5211,395,0 72,0,2024-09-07 09:17:31:036,100421,0.5,98204,0.7,191574,0.5,260078,2.00 72,1,2024-09-07 09:17:31:024,693137,693137,0,0,325367980258,3414265407,682887,8283,1967,369,391819,0 72,2,2024-09-07 09:17:31:755,495440,495440,0,0,24641744,0,3983 72,3,2024-09-07 09:17:31:754,1,395,8,0,564,5933,395,0 73,0,2024-09-07 09:17:31:210,94630,0.4,96946,0.6,198469,0.4,257837,2.00 73,1,2024-09-07 09:17:30:774,694403,694403,0,0,325831179161,3384272907,689701,4337,365,367,391858,0 73,2,2024-09-07 09:17:31:738,497966,497966,0,0,24261918,0,3701 73,3,2024-09-07 09:17:30:987,1,395,1,0,486,5542,395,0 74,0,2024-09-07 09:17:31:330,104051,0.4,106304,0.6,202698,0.4,275536,2.00 74,1,2024-09-07 09:17:30:635,693974,693974,0,0,325185050069,3394731456,686915,5690,1369,381,391762,0 74,2,2024-09-07 09:17:31:003,499692,499692,0,0,22595022,0,4253 74,3,2024-09-07 09:17:31:442,1,395,1,0,522,5432,395,0 75,0,2024-09-07 09:17:31:765,99173,0.5,98543,0.7,197991,0.5,264168,2.25 75,1,2024-09-07 09:17:31:592,693789,693789,0,0,325213784418,3394655802,687041,5922,826,380,391739,0 75,2,2024-09-07 09:17:31:349,500920,500920,0,0,24313416,0,4766 75,3,2024-09-07 09:17:31:069,1,395,0,0,702,5203,395,0 76,0,2024-09-07 09:17:30:603,96842,0.6,96200,0.7,193297,0.5,257710,2.25 76,1,2024-09-07 09:17:30:808,693942,693942,0,0,325047609455,3393535633,688770,4385,787,382,391692,0 76,2,2024-09-07 09:17:31:072,500205,500204,1,0,21949837,0,5144 76,3,2024-09-07 09:17:31:145,1,395,10,0,175,3735,395,0 77,0,2024-09-07 09:17:31:699,95635,0.6,95966,0.8,191798,0.6,254340,2.00 77,1,2024-09-07 09:17:30:837,694473,694473,0,0,325875055384,3402802955,688883,5092,498,381,391869,0 77,2,2024-09-07 09:17:31:296,497851,497851,0,0,21528273,0,3890 77,3,2024-09-07 09:17:31:102,1,395,397,0,401,4608,395,0 78,0,2024-09-07 09:17:31:717,100776,0.5,100200,0.7,201275,0.4,267297,2.00 78,1,2024-09-07 09:17:30:618,694828,694828,0,0,325788914442,3395955933,687306,5956,1566,367,391670,0 78,2,2024-09-07 09:17:31:405,498953,498940,13,0,20361472,0,8313 78,3,2024-09-07 09:17:31:133,1,395,1,0,181,3599,395,0 79,0,2024-09-07 09:17:31:347,97760,0.4,100117,0.6,205176,0.4,266295,2.25 79,1,2024-09-07 09:17:30:575,696185,696185,0,0,326427162950,3384416725,690288,4748,1149,367,391682,0 79,2,2024-09-07 09:17:31:073,503484,503484,0,0,20054995,0,4195 79,3,2024-09-07 09:17:30:754,1,395,1,0,418,5075,395,0 80,0,2024-09-07 09:17:31:101,97190,0.5,100099,0.7,191540,0.5,258160,2.00 80,1,2024-09-07 09:17:31:639,693903,693903,0,0,325998837018,3397164024,688829,4750,324,368,392269,0 80,2,2024-09-07 09:17:31:102,502553,502553,0,0,19940078,0,4433 80,3,2024-09-07 09:17:30:585,1,395,12,0,190,4715,395,0 81,0,2024-09-07 09:17:31:591,96672,0.5,99028,0.7,188920,0.5,256515,2.00 81,1,2024-09-07 09:17:31:654,693443,693443,0,0,324337595173,3392338259,687686,5251,506,382,391879,0 81,2,2024-09-07 09:17:31:137,495446,495383,63,0,21808750,0,5932 81,3,2024-09-07 09:17:31:127,1,395,1,0,719,4911,395,0 82,0,2024-09-07 09:17:31:595,98590,0.6,99042,0.8,198443,0.5,263626,2.00 82,1,2024-09-07 09:17:30:601,695453,695449,0,4,325572428408,3389794357,690851,3781,817,381,391768,4 82,2,2024-09-07 09:17:31:690,498905,498905,0,0,18769650,0,3986 82,3,2024-09-07 09:17:31:760,1,395,8,0,363,4484,395,0 83,0,2024-09-07 09:17:31:520,103441,0.7,103366,0.8,205867,0.8,273882,2.25 83,1,2024-09-07 09:17:30:560,693105,693105,0,0,325750675165,3400008598,687666,4989,450,382,391709,0 83,2,2024-09-07 09:17:30:768,503089,503089,0,0,19472181,0,3393 83,3,2024-09-07 09:17:30:754,1,395,169,0,1260,5379,395,0 84,0,2024-09-07 09:17:31:772,97388,0.7,97356,0.8,194233,0.6,260418,2.25 84,1,2024-09-07 09:17:31:063,693005,693005,0,0,325168721826,3400195118,685507,6460,1038,367,391967,0 84,2,2024-09-07 09:17:30:578,500701,500671,30,0,26459005,0,5971 84,3,2024-09-07 09:17:31:148,1,395,30,0,908,5939,395,0 85,0,2024-09-07 09:17:31:039,93371,0.6,93345,0.8,198222,0.6,256203,2.25 85,1,2024-09-07 09:17:30:561,691581,691581,0,0,324608217508,3427667039,681112,8606,1863,381,392006,0 85,2,2024-09-07 09:17:30:865,495241,495241,0,0,24035014,0,3656 85,3,2024-09-07 09:17:30:692,1,395,29,0,789,5076,395,0 86,0,2024-09-07 09:17:30:965,97394,0.7,100276,0.8,191896,0.7,259820,2.25 86,1,2024-09-07 09:17:30:832,693360,693360,0,0,326084739061,3415720517,685157,6766,1437,366,391961,0 86,2,2024-09-07 09:17:30:897,495732,495731,1,0,26465449,0,5004 86,3,2024-09-07 09:17:30:598,1,395,1,0,308,5899,395,0 87,0,2024-09-07 09:17:31:359,103084,0.9,102978,0.9,206362,1.1,275538,2.25 87,1,2024-09-07 09:17:30:558,691940,691940,0,0,324978968003,3410290210,682208,8143,1589,366,392076,0 87,2,2024-09-07 09:17:31:068,500070,500064,6,0,23739454,0,6323 87,3,2024-09-07 09:17:31:802,1,395,13,0,473,6023,395,0 88,0,2024-09-07 09:17:31:438,98197,0.4,99063,0.6,197118,0.4,262712,1.75 88,1,2024-09-07 09:17:30:571,691445,691445,0,0,325255912022,3407516604,682479,7034,1932,365,392084,0 88,2,2024-09-07 09:17:30:711,502178,502178,0,0,25271689,0,4465 88,3,2024-09-07 09:17:31:269,1,395,8,0,435,4151,395,0 89,0,2024-09-07 09:17:31:809,100370,0.4,97230,0.6,192214,0.4,260822,1.75 89,1,2024-09-07 09:17:30:565,691864,691864,0,0,325140774292,3418773896,683198,7244,1422,382,391866,0 89,2,2024-09-07 09:17:31:137,495882,495882,0,0,23615743,0,3173 89,3,2024-09-07 09:17:31:794,1,395,16,0,468,7355,395,0 90,0,2024-09-07 09:17:31:634,93390,0.5,95854,0.6,195643,0.4,255492,2.00 90,1,2024-09-07 09:17:30:591,693227,693227,0,0,325042737975,3404233707,686785,5933,509,380,391825,0 90,2,2024-09-07 09:17:31:409,496349,496349,0,0,25169121,0,3060 90,3,2024-09-07 09:17:30:941,1,395,5,0,322,4989,395,0 91,0,2024-09-07 09:17:30:979,102516,0.5,99296,0.6,207496,0.4,272715,1.75 91,1,2024-09-07 09:17:30:602,692071,692071,0,0,325470377301,3422570790,683328,7482,1261,381,392047,0 91,2,2024-09-07 09:17:31:331,499838,499838,0,0,22329273,0,2896 91,3,2024-09-07 09:17:30:768,1,395,56,0,216,3940,395,0 92,0,2024-09-07 09:17:31:441,101272,0.5,103779,0.6,198380,0.5,268267,1.75 92,1,2024-09-07 09:17:30:586,693523,693523,0,0,325494811353,3401290521,688074,4726,723,382,392136,0 92,2,2024-09-07 09:17:31:350,503045,503045,0,0,20349829,0,3259 92,3,2024-09-07 09:17:31:013,1,395,8,0,167,3574,395,0 93,0,2024-09-07 09:17:31:096,98106,0.4,100531,0.5,191891,0.3,259778,1.75 93,1,2024-09-07 09:17:30:845,692683,692683,0,0,325326784217,3399782636,684900,6437,1346,366,391776,0 93,2,2024-09-07 09:17:30:942,499366,499366,0,0,24373632,0,4845 93,3,2024-09-07 09:17:31:406,1,395,3,0,190,3626,395,0 94,0,2024-09-07 09:17:31:689,95833,0.4,96608,0.5,193077,0.3,255420,1.75 94,1,2024-09-07 09:17:30:564,694019,694019,0,0,325732277859,3398091319,689629,4196,194,381,391850,0 94,2,2024-09-07 09:17:30:771,497055,497055,0,0,20462493,0,2443 94,3,2024-09-07 09:17:31:698,1,395,576,0,576,5352,395,0 95,0,2024-09-07 09:17:31:482,99249,0.4,99236,0.5,199110,0.3,265639,1.75 95,1,2024-09-07 09:17:30:851,695433,695433,0,0,326016021288,3390406390,689893,5129,411,367,391713,0 95,2,2024-09-07 09:17:31:026,497919,497919,0,0,20134933,0,3308 95,3,2024-09-07 09:17:31:713,1,395,2,0,718,6006,395,0 96,0,2024-09-07 09:17:31:057,103017,0.4,103320,0.5,206247,0.4,273338,1.75 96,1,2024-09-07 09:17:31:584,693253,693253,0,0,325546579897,3399929868,687749,4626,878,384,391955,0 96,2,2024-09-07 09:17:31:270,503389,503389,0,0,21098311,0,4180 96,3,2024-09-07 09:17:31:146,1,395,8,0,411,4506,395,0 97,0,2024-09-07 09:17:31:361,97507,0.3,97224,0.5,194964,0.3,259150,1.50 97,1,2024-09-07 09:17:30:768,694850,694850,0,0,327246360507,3397558383,689746,4175,929,367,392140,0 97,2,2024-09-07 09:17:30:617,502159,502159,0,0,20580728,0,3679 97,3,2024-09-07 09:17:30:576,1,395,0,0,242,4604,395,0 98,0,2024-09-07 09:17:31:863,96590,0.3,96567,0.4,193960,0.2,257930,1.50 98,1,2024-09-07 09:17:30:570,693697,693697,0,0,325600274906,3395292696,688963,3954,780,382,391997,0 98,2,2024-09-07 09:17:30:783,496487,496487,0,0,20212060,0,3243 98,3,2024-09-07 09:17:30:701,1,395,1,0,840,6524,395,0 99,0,2024-09-07 09:17:31:513,98569,0.3,99261,0.5,197269,0.3,263328,1.75 99,1,2024-09-07 09:17:31:736,694432,694432,0,0,325644911950,3392338925,689588,4011,833,381,392069,0 99,2,2024-09-07 09:17:31:434,498671,498671,0,0,23513030,0,3424 99,3,2024-09-07 09:17:30:594,1,395,28,0,606,4481,395,0 100,0,2024-09-07 09:17:31:626,103435,0.8,103651,1.0,206553,0.9,276840,2.50 100,1,2024-09-07 09:17:30:557,691052,691052,0,0,324141263004,3427449899,680454,8555,2043,381,391989,0 100,2,2024-09-07 09:17:31:820,500700,500689,11,0,23862121,0,5417 100,3,2024-09-07 09:17:31:758,1,395,13,0,559,6664,395,0 101,0,2024-09-07 09:17:31:717,99939,1.0,97260,1.0,191134,0.9,261951,2.25 101,1,2024-09-07 09:17:30:557,691252,691252,0,0,324503326609,3417822643,680680,8452,2120,368,391847,0 101,2,2024-09-07 09:17:31:758,498308,498308,0,0,28638178,0,4871 101,3,2024-09-07 09:17:30:955,1,395,2,0,579,5748,395,0 102,0,2024-09-07 09:17:31:043,94725,0.6,97798,0.8,198057,0.6,258971,2.00 102,1,2024-09-07 09:17:31:150,691538,691538,0,0,324727105062,3416093032,681813,7966,1759,369,391891,0 102,2,2024-09-07 09:17:31:750,496328,496274,54,0,22419525,0,6768 102,3,2024-09-07 09:17:31:624,1,395,1,0,410,3990,395,0 103,0,2024-09-07 09:17:31:818,100170,0.6,100229,0.7,188846,0.6,259674,2.00 103,1,2024-09-07 09:17:31:645,690313,690313,0,0,325220271993,3437232590,678535,8714,3064,381,391829,0 103,2,2024-09-07 09:17:30:585,496601,496601,0,0,24609192,0,3766 103,3,2024-09-07 09:17:30:771,1,395,31,0,916,4469,395,0 104,0,2024-09-07 09:17:31:062,102464,0.7,102868,0.9,204307,0.6,274701,2.25 104,1,2024-09-07 09:17:31:641,692577,692577,0,0,324784142945,3412877385,682585,8212,1780,365,392168,0 104,2,2024-09-07 09:17:31:714,499074,499074,0,0,24365520,0,3941 104,3,2024-09-07 09:17:31:424,1,395,12,0,1245,8057,395,0 105,0,2024-09-07 09:17:31:038,97665,0.9,95056,1.1,199209,1.0,262295,2.75 105,1,2024-09-07 09:17:30:561,693715,693715,0,0,325639487540,3412204039,684969,7398,1348,366,392009,0 105,2,2024-09-07 09:17:31:326,501460,501460,0,0,23993245,0,3509 105,3,2024-09-07 09:17:31:312,1,395,190,0,399,6132,395,0 106,0,2024-09-07 09:17:30:967,93900,0.7,96232,0.9,197069,0.8,257534,2.50 106,1,2024-09-07 09:17:31:752,692116,692116,0,0,324853882448,3415187990,681205,9467,1444,369,391914,0 106,2,2024-09-07 09:17:30:764,497240,497240,0,0,22927619,0,2795 106,3,2024-09-07 09:17:30:701,1,395,31,0,470,5108,395,0 107,0,2024-09-07 09:17:31:156,95739,1.0,95968,0.9,191521,1.3,255350,2.25 107,1,2024-09-07 09:17:30:660,691373,691373,0,0,324396428899,3418943993,681967,8378,1028,381,392234,0 107,2,2024-09-07 09:17:31:314,496330,496329,1,0,23951576,0,5024 107,3,2024-09-07 09:17:31:756,1,395,1,0,353,5868,395,0 108,0,2024-09-07 09:17:31:850,100179,0.5,100961,0.6,200608,0.5,268446,1.75 108,1,2024-09-07 09:17:31:306,693832,693832,0,0,325598161554,3397311261,687903,5190,739,368,391857,0 108,2,2024-09-07 09:17:31:755,497409,497409,0,0,22928417,0,4246 108,3,2024-09-07 09:17:31:335,1,395,8,0,749,7594,395,0 109,0,2024-09-07 09:17:31:772,102104,0.4,101193,0.6,202371,0.4,270537,1.75 109,1,2024-09-07 09:17:30:605,691567,691567,0,0,325388867750,3415997246,684896,5558,1113,382,392132,0 109,2,2024-09-07 09:17:30:924,500380,500380,0,0,22606765,0,3617 109,3,2024-09-07 09:17:31:148,1,395,1,0,379,4648,395,0 110,0,2024-09-07 09:17:31:773,97095,0.4,94581,0.6,198311,0.3,259032,1.75 110,1,2024-09-07 09:17:31:645,694907,694907,0,0,325498958565,3381289899,689693,4038,1176,369,392045,0 110,2,2024-09-07 09:17:31:308,501245,501245,0,0,21610137,0,4067 110,3,2024-09-07 09:17:30:692,1,395,14,0,722,5786,395,0 111,0,2024-09-07 09:17:31:430,97142,0.4,96253,0.5,193192,0.3,257916,1.75 111,1,2024-09-07 09:17:31:000,695470,695470,0,0,326491658613,3387036640,691867,3249,354,380,391690,0 111,2,2024-09-07 09:17:31:116,495898,495898,0,0,20973288,0,4823 111,3,2024-09-07 09:17:30:917,1,395,4,0,379,4571,395,0 112,0,2024-09-07 09:17:30:912,99754,0.3,99291,0.4,198740,0.2,264563,1.50 112,1,2024-09-07 09:17:30:843,695240,695240,0,0,325824978861,3383019458,690823,3805,612,380,391624,0 112,2,2024-09-07 09:17:31:132,497952,497951,1,0,20127522,0,5036 112,3,2024-09-07 09:17:30:614,1,395,12,0,282,3996,395,0 113,0,2024-09-07 09:17:30:891,103458,0.3,103574,0.5,207326,0.3,275985,1.75 113,1,2024-09-07 09:17:31:686,697665,697665,0,0,327270666109,3379163591,693790,3287,588,366,391661,0 113,2,2024-09-07 09:17:31:314,504143,504143,0,0,18903954,0,3813 113,3,2024-09-07 09:17:30:687,1,395,1,0,340,4637,395,0 114,0,2024-09-07 09:17:30:883,98332,0.3,98927,0.5,196724,0.2,263070,1.75 114,1,2024-09-07 09:17:30:721,694577,694577,0,0,325217722935,3382482546,688335,4626,1616,381,391565,0 114,2,2024-09-07 09:17:30:873,502342,502341,1,0,20019119,0,5069 114,3,2024-09-07 09:17:31:281,1,395,0,0,395,3436,395,0 115,0,2024-09-07 09:17:30:594,97003,0.3,97479,0.4,194666,0.2,258718,1.50 115,1,2024-09-07 09:17:30:578,694727,694727,0,0,326255165927,3392128032,688886,4910,931,382,391757,0 115,2,2024-09-07 09:17:31:124,497836,497836,0,0,18864563,0,3848 115,3,2024-09-07 09:17:31:002,1,395,4,0,159,2316,395,0 116,0,2024-09-07 09:17:31:719,97252,0.8,97201,0.9,194999,0.8,261111,2.25 116,1,2024-09-07 09:17:30:898,691469,691469,0,0,324140171452,3417437799,683021,6127,2321,380,392089,0 116,2,2024-09-07 09:17:31:753,496978,496978,0,0,24898096,0,4128 116,3,2024-09-07 09:17:30:919,1,395,19,0,415,4778,395,0 117,0,2024-09-07 09:17:31:067,103562,0.7,102973,0.8,206568,0.8,275724,2.00 117,1,2024-09-07 09:17:31:589,692464,692464,0,0,325508888358,3409020077,684778,6777,909,369,392033,0 117,2,2024-09-07 09:17:31:160,503806,503806,0,0,21493726,0,4303 117,3,2024-09-07 09:17:31:071,1,395,23,0,490,6075,395,0 118,0,2024-09-07 09:17:31:783,95598,0.5,97953,0.7,200196,0.5,261751,2.00 118,1,2024-09-07 09:17:30:620,692240,692240,0,0,325462457414,3429292513,680400,8842,2998,366,391907,0 118,2,2024-09-07 09:17:31:590,501129,501129,0,0,23547146,0,2842 118,3,2024-09-07 09:17:31:764,1,395,8,0,248,4649,395,0 119,0,2024-09-07 09:17:31:490,97120,0.6,97655,0.7,195209,0.5,259259,2.00 119,1,2024-09-07 09:17:30:703,692414,692414,0,0,325663838357,3409747616,684408,7044,962,367,391780,0 119,2,2024-09-07 09:17:31:279,497317,497317,0,0,21669368,0,4174 119,3,2024-09-07 09:17:31:342,1,395,36,0,1358,8348,395,0 120,0,2024-09-07 09:17:31:542,95619,0.6,95494,0.8,191139,0.6,255855,2.25 120,1,2024-09-07 09:17:30:865,693236,693236,0,0,325525041290,3416274413,685855,6729,652,368,391961,0 120,2,2024-09-07 09:17:30:775,497918,497917,1,0,24792374,0,5281 120,3,2024-09-07 09:17:31:293,1,395,8,0,279,5028,395,0 121,0,2024-09-07 09:17:31:700,101853,1.1,101770,1.0,204162,1.4,271556,2.25 121,1,2024-09-07 09:17:31:658,693755,693755,0,0,325305497030,3401593053,687420,5889,446,367,391840,0 121,2,2024-09-07 09:17:31:136,498465,498465,0,0,23948757,0,4127 121,3,2024-09-07 09:17:30:729,1,395,4,0,269,4553,395,0 122,0,2024-09-07 09:17:31:763,99933,0.8,97572,0.9,204707,0.9,267997,2.00 122,1,2024-09-07 09:17:30:873,691862,691862,0,0,325047723650,3411693719,682148,8251,1463,366,392130,0 122,2,2024-09-07 09:17:31:330,502020,501947,73,0,26759245,0,5989 122,3,2024-09-07 09:17:30:602,1,395,19,0,512,7597,395,0 123,0,2024-09-07 09:17:31:016,96975,0.6,94791,0.7,198166,0.6,259146,2.00 123,1,2024-09-07 09:17:30:583,691811,691811,0,0,324664929522,3420809441,679429,10171,2211,369,392039,0 123,2,2024-09-07 09:17:31:030,497993,497992,1,0,22990730,0,5215 123,3,2024-09-07 09:17:31:145,1,395,8,0,168,4382,395,0 124,0,2024-09-07 09:17:30:924,99119,0.3,99072,0.5,186875,0.3,256236,1.75 124,1,2024-09-07 09:17:31:026,694836,694836,0,0,325639349665,3387713707,689638,4149,1049,367,392178,0 124,2,2024-09-07 09:17:31:014,498138,498085,53,0,20822681,0,6487 124,3,2024-09-07 09:17:30:764,1,395,3,0,490,3941,395,0 125,0,2024-09-07 09:17:31:444,99605,0.4,99282,0.6,199439,0.4,265984,1.75 125,1,2024-09-07 09:17:30:866,693356,693356,0,0,325335505742,3398442544,687740,4950,666,382,391702,0 125,2,2024-09-07 09:17:31:130,498786,498786,0,0,21393227,0,4534 125,3,2024-09-07 09:17:31:134,1,395,1,0,709,5113,395,0 126,0,2024-09-07 09:17:31:429,102858,0.5,105949,0.6,202712,0.4,273965,1.75 126,1,2024-09-07 09:17:30:557,695429,695429,0,0,326358911353,3382062277,691482,3658,289,365,391987,0 126,2,2024-09-07 09:17:30:627,503689,503689,0,0,22250770,0,4539 126,3,2024-09-07 09:17:30:912,1,395,5,0,268,5148,395,0 127,0,2024-09-07 09:17:31:649,97392,0.3,97845,0.5,195168,0.3,259213,1.75 127,1,2024-09-07 09:17:30:580,694746,694746,0,0,326033885192,3392936605,687858,5781,1107,364,392187,0 127,2,2024-09-07 09:17:30:638,500734,500734,0,0,20189918,0,3897 127,3,2024-09-07 09:17:31:269,1,395,1,0,968,4464,395,0 128,0,2024-09-07 09:17:31:689,97085,0.3,97397,0.4,194297,0.2,258184,1.50 128,1,2024-09-07 09:17:31:622,694193,694193,0,0,325953173079,3386856680,689319,4442,432,367,391838,0 128,2,2024-09-07 09:17:31:386,496954,496954,0,0,19205227,0,2915 128,3,2024-09-07 09:17:30:789,1,395,9,0,1082,6401,395,0 129,0,2024-09-07 09:17:31:067,99288,0.3,98797,0.5,198055,0.3,263407,1.75 129,1,2024-09-07 09:17:30:576,691640,691640,0,0,325186443606,3411139508,684284,5690,1666,379,391835,0 129,2,2024-09-07 09:17:30:719,498455,498455,0,0,20845862,0,4031 129,3,2024-09-07 09:17:30:722,1,395,5,0,506,5337,395,0 130,0,2024-09-07 09:17:31:719,104350,0.5,103864,0.6,209142,0.5,277988,1.75 130,1,2024-09-07 09:17:30:599,694847,694847,0,0,325241193135,3382927293,690916,3593,338,381,391825,0 130,2,2024-09-07 09:17:31:125,503920,503920,0,0,21416652,0,4067 130,3,2024-09-07 09:17:31:294,1,395,1,0,535,5664,395,0 131,0,2024-09-07 09:17:31:942,97786,0.4,98213,0.5,197592,0.3,261925,1.75 131,1,2024-09-07 09:17:31:824,694181,694181,0,0,325948060662,3408128861,688240,4893,1048,381,391865,0 131,2,2024-09-07 09:17:30:570,504035,504035,0,0,19273127,0,2698 131,3,2024-09-07 09:17:31:689,1,395,40,0,392,4184,395,0 132,0,2024-09-07 09:17:31:426,97309,0.4,98225,0.6,195387,0.4,259713,1.75 132,1,2024-09-07 09:17:30:586,691095,691095,0,0,323980282284,3417072449,680013,8979,2103,381,392097,0 132,2,2024-09-07 09:17:30:702,495976,495959,17,0,25214429,0,6451 132,3,2024-09-07 09:17:31:709,1,395,11,0,804,7246,395,0 133,0,2024-09-07 09:17:31:557,94615,0.4,96750,0.6,198496,0.4,258373,2.00 133,1,2024-09-07 09:17:30:591,691039,691039,0,0,324668596312,3425474475,680807,8720,1512,383,391914,0 133,2,2024-09-07 09:17:31:242,496884,496834,50,0,26808146,0,6861 133,3,2024-09-07 09:17:31:297,1,395,4,0,479,3994,395,0 134,0,2024-09-07 09:17:31:041,103607,0.5,103209,0.7,206697,0.5,276208,2.00 134,1,2024-09-07 09:17:30:613,692054,692054,0,0,324090975672,3403840384,682325,7696,2033,366,391718,0 134,2,2024-09-07 09:17:31:778,498909,498885,24,0,24368920,0,6207 134,3,2024-09-07 09:17:30:762,1,395,36,0,739,5194,395,0 135,0,2024-09-07 09:17:31:132,95866,0.8,95824,0.9,203242,1.0,261880,2.25 135,1,2024-09-07 09:17:31:587,692251,692251,0,0,325316125731,3425960899,682426,8455,1370,380,391805,0 135,2,2024-09-07 09:17:30:695,502475,502475,0,0,23762201,0,3981 135,3,2024-09-07 09:17:31:013,1,395,1,0,299,3413,395,0 136,0,2024-09-07 09:17:31:778,97737,0.5,97851,0.7,194753,0.5,259534,2.00 136,1,2024-09-07 09:17:31:486,692186,692186,0,0,324991097122,3408869641,684413,6927,846,382,391685,0 136,2,2024-09-07 09:17:31:135,499795,499795,0,0,22554471,0,3506 136,3,2024-09-07 09:17:31:114,1,395,11,0,637,4649,395,0 137,0,2024-09-07 09:17:30:922,98862,0.6,96216,0.7,189089,0.6,256525,2.00 137,1,2024-09-07 09:17:30:585,691848,691848,0,0,324715926930,3409765366,680752,8749,2347,366,391898,0 137,2,2024-09-07 09:17:31:707,496539,496539,0,0,25263005,0,3185 137,3,2024-09-07 09:17:30:773,1,395,2,0,484,5111,395,0 138,0,2024-09-07 09:17:31:741,100003,1.1,100056,1.0,200844,1.5,267260,2.25 138,1,2024-09-07 09:17:31:686,692890,692890,0,0,325043381043,3412213610,682867,8303,1720,368,391954,0 138,2,2024-09-07 09:17:30:598,498021,498021,0,0,22765150,0,4988 138,3,2024-09-07 09:17:30:628,1,395,12,0,1160,5286,395,0 139,0,2024-09-07 09:17:31:501,100597,1.7,100554,1.2,201609,2.4,268929,2.50 139,1,2024-09-07 09:17:30:590,689356,689356,0,0,323209084323,3437853675,675409,10647,3300,381,392058,0 139,2,2024-09-07 09:17:30:718,498696,498696,0,0,26065244,0,3097 139,3,2024-09-07 09:17:31:665,1,395,23,0,432,4760,395,0 140,0,2024-09-07 09:17:31:596,97737,0.3,97064,0.5,194963,0.2,259300,1.75 140,1,2024-09-07 09:17:31:539,696385,696385,0,0,327956451706,3383169859,692832,3112,441,365,391606,0 140,2,2024-09-07 09:17:30:692,501466,501465,1,0,20442214,0,5036 140,3,2024-09-07 09:17:30:772,1,395,8,0,297,3429,395,0 141,0,2024-09-07 09:17:31:709,96895,0.3,99513,0.5,190102,0.3,257808,1.75 141,1,2024-09-07 09:17:30:862,695045,695045,0,0,326484400197,3391847649,689815,4276,954,379,391614,0 141,2,2024-09-07 09:17:31:686,496939,496939,0,0,19826799,0,3360 141,3,2024-09-07 09:17:31:046,1,395,1,0,391,4531,395,0 142,0,2024-09-07 09:17:31:376,99800,0.3,99174,0.5,198293,0.3,265219,1.75 142,1,2024-09-07 09:17:30:597,694187,694187,0,0,326247694421,3401676433,689717,4077,393,382,392102,0 142,2,2024-09-07 09:17:31:314,497343,497311,32,0,21602774,0,6028 142,3,2024-09-07 09:17:31:752,1,395,4,0,484,4685,395,0 143,0,2024-09-07 09:17:31:436,103705,0.5,103635,0.6,207733,0.5,276027,1.75 143,1,2024-09-07 09:17:30:557,695319,695319,0,0,326191436130,3388575278,690307,4468,544,367,391705,0 143,2,2024-09-07 09:17:30:779,503210,503210,0,0,21063951,0,3123 143,3,2024-09-07 09:17:31:152,1,395,4,0,462,5124,395,0 144,0,2024-09-07 09:17:31:505,94925,0.6,97523,0.8,198904,0.5,260886,2.00 144,1,2024-09-07 09:17:30:568,691905,691905,0,0,324468513102,3407179802,684935,5617,1353,381,391649,0 144,2,2024-09-07 09:17:31:760,502581,502581,0,0,20215124,0,3673 144,3,2024-09-07 09:17:31:740,1,395,15,0,249,3985,395,0 145,0,2024-09-07 09:17:31:359,93716,0.5,93765,0.8,198827,0.5,255801,2.25 145,1,2024-09-07 09:17:30:558,691639,691639,0,0,324744020241,3417168887,682604,7455,1580,382,391759,0 145,2,2024-09-07 09:17:31:429,494272,494191,81,0,23904081,0,7814 145,3,2024-09-07 09:17:30:902,1,395,3,0,622,5796,395,0 146,0,2024-09-07 09:17:31:677,97558,0.6,97071,0.8,195517,0.6,259363,2.25 146,1,2024-09-07 09:17:31:592,693027,693027,0,0,324738223588,3412727878,682261,8648,2118,367,391770,0 146,2,2024-09-07 09:17:31:698,496442,496442,0,0,22830806,0,2730 146,3,2024-09-07 09:17:31:276,1,395,64,0,1520,7872,395,0 147,0,2024-09-07 09:17:31:724,103389,0.6,103251,0.8,205655,0.6,275288,2.25 147,1,2024-09-07 09:17:31:379,695400,695400,0,0,326756302867,3399900019,689058,5498,844,368,391791,0 147,2,2024-09-07 09:17:31:013,501124,501124,0,0,21072457,0,2968 147,3,2024-09-07 09:17:30:914,1,395,3,0,1626,7149,395,0 0,0,2024-09-07 09:17:41:746,93786,0.6,93795,0.8,198974,0.7,257552,2.00 0,1,2024-09-07 09:17:40:824,694811,694811,0,0,326579529286,3418702826,689494,4931,386,369,391896,0 0,2,2024-09-07 09:17:41:068,500891,500891,0,0,20353661,0,4480 0,3,2024-09-07 09:17:40:982,1,396,8,0,431,6001,396,0 1,0,2024-09-07 09:17:41:814,102359,1.0,101745,1.0,204381,1.3,273649,2.25 1,1,2024-09-07 09:17:40:565,694390,694390,0,0,325974628817,3413683499,688175,4895,1320,370,391857,0 1,2,2024-09-07 09:17:40:645,500129,500129,0,0,20576985,0,3380 1,3,2024-09-07 09:17:41:310,1,396,1,0,262,4832,396,0 2,0,2024-09-07 09:17:41:570,100148,0.7,100503,0.8,200144,0.8,266853,2.00 2,1,2024-09-07 09:17:40:859,696758,696758,0,0,327133071481,3398187203,693482,2982,294,380,391745,0 2,2,2024-09-07 09:17:41:268,503961,503961,0,0,19963148,0,3594 2,3,2024-09-07 09:17:40:698,1,396,1,0,357,4033,396,0 3,0,2024-09-07 09:17:41:746,97531,0.4,97454,0.6,194759,0.4,259134,2.00 3,1,2024-09-07 09:17:41:656,695203,695203,0,0,326125274973,3399379253,688998,5515,690,380,391716,0 3,2,2024-09-07 09:17:41:142,500251,500228,23,0,20655327,0,5851 3,3,2024-09-07 09:17:41:758,1,396,0,0,207,2578,396,0 4,0,2024-09-07 09:17:41:866,93363,0.4,95936,0.5,195569,0.3,255333,1.75 4,1,2024-09-07 09:17:40:632,692878,692878,0,0,325283126081,3440834729,681374,9001,2503,370,391992,0 4,2,2024-09-07 09:17:41:030,496885,496885,0,0,23557865,0,4528 4,3,2024-09-07 09:17:41:040,1,396,21,0,448,5317,396,0 5,0,2024-09-07 09:17:41:432,99548,0.5,100125,0.6,199952,0.4,266126,1.75 5,1,2024-09-07 09:17:40:768,693700,693700,0,0,325724420183,3433063901,683376,8173,2151,367,392005,0 5,2,2024-09-07 09:17:41:829,497412,497412,0,0,23137243,0,3582 5,3,2024-09-07 09:17:41:749,1,396,9,0,457,5649,396,0 6,0,2024-09-07 09:17:40:915,103185,0.5,102811,0.7,205252,0.4,273703,2.00 6,1,2024-09-07 09:17:40:752,694122,694122,0,0,325361871766,3404175944,686058,6676,1388,379,391694,0 6,2,2024-09-07 09:17:41:116,503052,503034,18,0,23333897,0,5535 6,3,2024-09-07 09:17:41:274,1,396,1,0,710,5029,396,0 7,0,2024-09-07 09:17:41:545,96938,0.5,97587,0.6,194473,0.4,258783,2.00 7,1,2024-09-07 09:17:40:850,693761,693761,0,0,325654193830,3420943009,684004,8295,1462,382,391747,0 7,2,2024-09-07 09:17:40:785,503126,503126,0,0,22967110,0,4791 7,3,2024-09-07 09:17:40:851,1,396,3,0,398,4173,396,0 8,0,2024-09-07 09:17:41:448,97231,0.4,96982,0.5,194397,0.3,259044,1.75 8,1,2024-09-07 09:17:41:019,692828,692828,0,0,325732043910,3427212385,681505,8698,2625,366,392144,0 8,2,2024-09-07 09:17:40:796,493396,493396,0,0,25966667,0,3220 8,3,2024-09-07 09:17:40:612,1,396,10,0,538,6634,396,0 9,0,2024-09-07 09:17:41:108,99093,0.4,96197,0.5,201305,0.3,263786,1.75 9,1,2024-09-07 09:17:40:559,693182,693182,0,0,325263477959,3426735293,683085,7910,2187,369,392001,0 9,2,2024-09-07 09:17:41:085,498402,498402,0,0,23251480,0,3360 9,3,2024-09-07 09:17:41:759,1,396,51,0,496,5492,396,0 10,0,2024-09-07 09:17:41:631,104137,0.4,103685,0.5,208136,0.3,277087,1.75 10,1,2024-09-07 09:17:40:587,694317,694317,0,0,326290741163,3418128860,685182,7849,1286,381,391741,0 10,2,2024-09-07 09:17:40:769,503722,503722,0,0,25648003,0,4264 10,3,2024-09-07 09:17:40:876,1,396,7,0,649,4422,396,0 11,0,2024-09-07 09:17:41:023,98066,0.5,95084,0.6,198934,0.4,262779,1.75 11,1,2024-09-07 09:17:40:572,694904,694904,0,0,326418063001,3426213488,684161,8261,2482,383,391756,0 11,2,2024-09-07 09:17:41:123,502271,502271,0,0,23167413,0,4130 11,3,2024-09-07 09:17:41:298,1,396,1,0,843,6148,396,0 12,0,2024-09-07 09:17:40:949,98174,0.4,98168,0.5,196213,0.3,260340,1.75 12,1,2024-09-07 09:17:41:030,695393,695393,0,0,326538869611,3405002216,690009,4887,497,370,391870,0 12,2,2024-09-07 09:17:41:590,497630,497630,0,0,21919733,0,3469 12,3,2024-09-07 09:17:41:061,1,396,23,0,386,6160,396,0 13,0,2024-09-07 09:17:41:366,98068,0.4,97686,0.6,194898,0.4,259836,1.75 13,1,2024-09-07 09:17:41:565,693716,693716,0,0,325091357100,3419069788,686577,5566,1573,382,391740,0 13,2,2024-09-07 09:17:40:609,500832,500832,0,0,20275973,0,3287 13,3,2024-09-07 09:17:41:762,1,396,3,0,522,6097,396,0 14,0,2024-09-07 09:17:40:567,103751,0.4,104593,0.6,207105,0.4,276796,1.75 14,1,2024-09-07 09:17:41:568,698144,698144,0,0,327703360319,3397804317,691944,5492,708,364,391673,0 14,2,2024-09-07 09:17:40:769,502154,502124,30,0,22641413,0,6104 14,3,2024-09-07 09:17:41:118,1,396,0,0,1168,4565,396,0 15,0,2024-09-07 09:17:41:572,98713,0.4,98605,0.7,197698,0.4,263011,2.00 15,1,2024-09-07 09:17:41:638,695416,695416,0,0,326441648536,3400989439,689864,4574,978,381,391619,0 15,2,2024-09-07 09:17:40:999,505221,505221,0,0,18654290,0,3622 15,3,2024-09-07 09:17:41:405,1,396,0,0,1126,6849,396,0 16,0,2024-09-07 09:17:40:947,97466,0.5,97843,0.7,195313,0.5,259492,2.00 16,1,2024-09-07 09:17:40:579,695462,695462,0,0,326273653000,3411469595,689548,5071,843,370,391756,0 16,2,2024-09-07 09:17:41:458,498615,498615,0,0,21675237,0,4719 16,3,2024-09-07 09:17:41:147,1,396,9,0,317,5233,396,0 17,0,2024-09-07 09:17:41:892,98920,0.6,96518,0.8,188902,0.7,256406,2.00 17,1,2024-09-07 09:17:40:586,694062,694062,0,0,325611524834,3419298533,686497,6054,1511,368,392075,0 17,2,2024-09-07 09:17:41:672,501482,501482,0,0,21231510,0,2948 17,3,2024-09-07 09:17:40:577,1,396,2,0,518,6682,396,0 18,0,2024-09-07 09:17:40:954,100094,0.6,100328,0.8,200134,0.7,267984,2.25 18,1,2024-09-07 09:17:41:639,696840,696840,0,0,326752281661,3388151175,692967,3495,378,367,391725,0 18,2,2024-09-07 09:17:41:758,502285,502285,0,0,19478542,0,3541 18,3,2024-09-07 09:17:40:903,1,396,7,0,163,3162,396,0 19,0,2024-09-07 09:17:41:548,100928,0.7,101447,0.8,201126,0.7,267538,2.25 19,1,2024-09-07 09:17:40:578,697209,697209,0,0,326953361925,3395209817,691276,5012,921,367,391777,0 19,2,2024-09-07 09:17:41:759,505372,505372,0,0,18478487,0,3988 19,3,2024-09-07 09:17:41:130,1,396,2,0,524,3030,396,0 20,0,2024-09-07 09:17:41:384,97348,0.5,97335,0.6,194474,0.4,259293,2.00 20,1,2024-09-07 09:17:40:587,694299,694299,0,0,326501789828,3415730489,688087,5412,800,369,391886,0 20,2,2024-09-07 09:17:40:934,501389,501389,0,0,22110575,0,3721 20,3,2024-09-07 09:17:40:602,1,396,18,0,414,5952,396,0 21,0,2024-09-07 09:17:41:149,96926,0.5,96892,0.6,193739,0.5,257157,2.00 21,1,2024-09-07 09:17:41:561,692627,692627,0,0,325155208279,3429625878,682493,7999,2135,368,392016,0 21,2,2024-09-07 09:17:41:077,495227,495207,20,0,26531348,0,5617 21,3,2024-09-07 09:17:41:406,1,396,5,0,713,5273,396,0 22,0,2024-09-07 09:17:41:736,99263,0.5,99537,0.7,199357,0.5,264501,2.25 22,1,2024-09-07 09:17:41:032,694067,694067,0,0,326106771611,3428853663,683455,8429,2183,382,391667,0 22,2,2024-09-07 09:17:40:763,497710,497684,26,0,21267714,0,6328 22,3,2024-09-07 09:17:41:073,1,396,4,0,228,3348,396,0 23,0,2024-09-07 09:17:41:365,103169,0.6,102646,0.7,205710,0.5,274014,2.25 23,1,2024-09-07 09:17:41:011,695017,695017,0,0,326469274192,3432187767,682236,8729,4052,365,391690,0 23,2,2024-09-07 09:17:41:093,505238,505238,0,0,20865940,0,3010 23,3,2024-09-07 09:17:41:754,1,396,3,0,720,5498,396,0 24,0,2024-09-07 09:17:40:852,98830,0.4,98580,0.5,197700,0.4,262918,1.75 24,1,2024-09-07 09:17:40:588,694062,694062,0,0,324471844051,3403540110,686340,6138,1584,367,392269,0 24,2,2024-09-07 09:17:41:076,501872,501872,0,0,25238693,0,3607 24,3,2024-09-07 09:17:41:707,1,396,5,0,468,5574,396,0 25,0,2024-09-07 09:17:41:425,99644,0.4,97158,0.6,190552,0.3,259698,1.75 25,1,2024-09-07 09:17:40:566,693441,693441,0,0,326244395566,3434936264,682562,8827,2052,371,391928,0 25,2,2024-09-07 09:17:41:617,495962,495962,0,0,24757276,0,3978 25,3,2024-09-07 09:17:41:011,1,396,7,0,532,4456,396,0 26,0,2024-09-07 09:17:41:745,98145,0.4,95683,0.6,200534,0.3,261774,1.75 26,1,2024-09-07 09:17:41:549,695424,695424,0,0,326111770854,3414387055,685787,8090,1547,380,391748,0 26,2,2024-09-07 09:17:40:861,499699,499699,0,0,24856413,0,2809 26,3,2024-09-07 09:17:41:720,1,396,0,0,796,5072,396,0 27,0,2024-09-07 09:17:41:744,103742,0.4,103951,0.6,206529,0.4,275846,2.00 27,1,2024-09-07 09:17:41:680,697057,697057,0,0,326757950615,3404166096,690329,5836,892,381,391626,0 27,2,2024-09-07 09:17:40:868,500539,500474,65,0,23687287,0,5699 27,3,2024-09-07 09:17:41:027,1,396,5,0,564,4003,396,0 28,0,2024-09-07 09:17:41:388,99104,0.4,98661,0.6,197551,0.3,263940,2.00 28,1,2024-09-07 09:17:40:808,695751,695751,0,0,327178577375,3417573396,688871,5192,1688,383,391698,0 28,2,2024-09-07 09:17:41:768,503543,503543,0,0,21630908,0,2915 28,3,2024-09-07 09:17:41:780,1,396,15,0,502,4537,396,0 29,0,2024-09-07 09:17:41:365,100300,0.3,97748,0.6,191989,0.3,261169,1.75 29,1,2024-09-07 09:17:41:564,697831,697831,0,0,327917457359,3395258168,693032,4066,733,368,391753,0 29,2,2024-09-07 09:17:40:861,497382,497382,0,0,20355934,0,4986 29,3,2024-09-07 09:17:40:972,1,396,6,0,459,4805,396,0 30,0,2024-09-07 09:17:41:462,96207,0.5,93504,0.7,195839,0.4,256385,2.00 30,1,2024-09-07 09:17:40:573,697592,697592,0,0,327034417744,3398172551,691848,4978,766,381,391672,0 30,2,2024-09-07 09:17:41:275,500271,500271,0,0,19168200,0,3161 30,3,2024-09-07 09:17:40:591,1,396,1,0,519,3890,396,0 31,0,2024-09-07 09:17:41:774,102199,0.4,102639,0.6,204870,0.4,273907,2.00 31,1,2024-09-07 09:17:40:564,699823,699823,0,0,328601658733,3370440137,696847,2390,586,356,391712,0 31,2,2024-09-07 09:17:41:283,500725,500725,0,0,21498476,0,3525 31,3,2024-09-07 09:17:41:707,1,396,1,0,220,3506,396,0 32,0,2024-09-07 09:17:41:422,100624,0.3,101248,0.5,201656,0.3,268503,1.75 32,1,2024-09-07 09:17:40:819,696785,696785,0,0,327872248430,3412845149,691682,4423,680,381,391646,0 32,2,2024-09-07 09:17:40:939,504602,504602,0,0,19239971,0,3922 32,3,2024-09-07 09:17:41:016,1,396,6,0,304,3118,396,0 33,0,2024-09-07 09:17:41:506,97719,0.3,97257,0.4,195249,0.2,259597,1.50 33,1,2024-09-07 09:17:40:587,697738,697738,0,0,327683298420,3401828080,691323,5312,1103,369,391730,0 33,2,2024-09-07 09:17:40:758,501274,501239,35,0,21522371,0,7012 33,3,2024-09-07 09:17:40:903,1,396,1,0,329,4014,396,0 34,0,2024-09-07 09:17:40:961,96382,0.3,99139,0.4,189825,0.2,254627,1.75 34,1,2024-09-07 09:17:41:045,698868,698868,0,0,328233596630,3377325069,697135,1722,11,367,391562,0 34,2,2024-09-07 09:17:40:773,499239,499239,0,0,20189539,0,4562 34,3,2024-09-07 09:17:41:698,1,396,4,0,299,3098,396,0 35,0,2024-09-07 09:17:40:868,99155,0.4,99454,0.5,200089,0.3,266704,1.75 35,1,2024-09-07 09:17:41:075,697079,697079,0,0,326697513114,3387894569,692745,3478,856,382,391769,0 35,2,2024-09-07 09:17:41:592,499389,499389,0,0,20792713,0,2653 35,3,2024-09-07 09:17:40:907,1,396,3,0,418,4460,396,0 36,0,2024-09-07 09:17:41:535,103259,0.5,103147,0.7,206179,0.5,273985,2.25 36,1,2024-09-07 09:17:40:589,695570,695570,0,0,326692241612,3415424926,686105,7465,2000,366,391759,0 36,2,2024-09-07 09:17:41:763,504042,504042,0,0,22660205,0,3875 36,3,2024-09-07 09:17:40:880,1,396,8,0,416,5945,396,0 37,0,2024-09-07 09:17:41:369,97060,0.5,97184,0.6,194415,0.4,259145,2.00 37,1,2024-09-07 09:17:40:576,695607,695600,0,7,325378062393,3400596169,687289,6068,2243,365,391570,0 37,2,2024-09-07 09:17:41:149,500755,500740,15,0,22870243,0,5815 37,3,2024-09-07 09:17:41:770,1,396,0,0,888,6205,396,0 38,0,2024-09-07 09:17:41:451,96540,0.5,93743,0.6,196063,0.4,256870,2.00 38,1,2024-09-07 09:17:41:614,696541,696541,0,0,327036164887,3419954885,686426,7956,2159,368,391821,0 38,2,2024-09-07 09:17:40:772,497274,497227,47,0,21957323,0,6710 38,3,2024-09-07 09:17:40:998,1,396,1,0,689,5377,396,0 39,0,2024-09-07 09:17:41:775,100874,0.6,98832,0.7,192359,0.6,262855,2.00 39,1,2024-09-07 09:17:40:725,694820,694820,0,0,326248592249,3422471469,683219,9219,2382,365,391658,0 39,2,2024-09-07 09:17:41:417,499269,499269,0,0,20765831,0,2689 39,3,2024-09-07 09:17:40:720,1,396,1,0,324,4815,396,0 40,0,2024-09-07 09:17:41:496,102998,0.8,103836,1.0,206317,0.9,275828,2.75 40,1,2024-09-07 09:17:40:585,695835,695835,0,0,325809312208,3414394551,685560,8348,1927,368,391668,0 40,2,2024-09-07 09:17:41:303,502796,502795,1,0,24329841,0,5137 40,3,2024-09-07 09:17:41:156,1,396,7,0,1028,5513,396,0 41,0,2024-09-07 09:17:41:025,97599,1.5,99828,1.3,190586,2.3,259382,3.25 41,1,2024-09-07 09:17:40:774,694539,694539,0,0,326325940629,3414967498,685802,7737,1000,369,391742,0 41,2,2024-09-07 09:17:40:769,501243,501243,0,0,23283567,0,4277 41,3,2024-09-07 09:17:41:678,1,396,5,0,366,4093,396,0 42,0,2024-09-07 09:17:41:497,96729,0.9,96759,1.0,193642,1.0,256092,2.75 42,1,2024-09-07 09:17:41:457,693337,693337,0,0,324807623132,3415535215,682043,9375,1919,380,391675,0 42,2,2024-09-07 09:17:41:141,496376,496376,0,0,23429520,0,3790 42,3,2024-09-07 09:17:41:010,1,396,6,0,446,3248,396,0 43,0,2024-09-07 09:17:40:926,96066,0.8,93607,1.0,196088,0.9,257184,2.25 43,1,2024-09-07 09:17:40:585,695406,695406,0,0,327066560406,3420749954,685184,8484,1738,366,391696,0 43,2,2024-09-07 09:17:41:737,499238,499238,0,0,23396283,0,3812 43,3,2024-09-07 09:17:41:749,1,396,19,0,467,4817,396,0 44,0,2024-09-07 09:17:40:860,103549,0.4,103929,0.6,207935,0.4,276476,1.75 44,1,2024-09-07 09:17:40:583,697233,697233,0,0,326864262919,3383489751,690761,5154,1318,356,391809,0 44,2,2024-09-07 09:17:41:267,500956,500956,0,0,19775278,0,4344 44,3,2024-09-07 09:17:41:119,1,396,7,0,817,4870,396,0 45,0,2024-09-07 09:17:41:777,97726,0.5,95475,0.8,200161,0.5,263600,2.00 45,1,2024-09-07 09:17:41:018,696379,696379,0,0,327134879295,3402060203,690730,5076,573,382,391917,0 45,2,2024-09-07 09:17:41:268,504317,504317,0,0,20151793,0,3596 45,3,2024-09-07 09:17:40:934,1,396,1,0,271,3588,396,0 46,0,2024-09-07 09:17:40:950,96868,0.5,96838,0.7,193935,0.5,257076,2.00 46,1,2024-09-07 09:17:40:587,697819,697819,0,0,328100209788,3391113847,693298,3931,590,366,391709,0 46,2,2024-09-07 09:17:40:605,499439,499439,0,0,19982088,0,4443 46,3,2024-09-07 09:17:41:141,1,396,1,0,908,5310,396,0 47,0,2024-09-07 09:17:41:115,96353,0.4,96625,0.6,193664,0.4,255782,2.00 47,1,2024-09-07 09:17:40:587,698538,698538,0,0,327055125832,3381682839,693945,3778,815,366,391641,0 47,2,2024-09-07 09:17:40:923,501477,501477,0,0,19523885,0,4477 47,3,2024-09-07 09:17:41:118,1,396,1,0,600,4860,396,0 48,0,2024-09-07 09:17:41:496,101386,0.3,101232,0.5,201970,0.2,270039,1.75 48,1,2024-09-07 09:17:41:022,696939,696939,0,0,327135093143,3399994614,692392,4091,456,384,391710,0 48,2,2024-09-07 09:17:40:703,499606,499606,0,0,18644307,0,3411 48,3,2024-09-07 09:17:40:760,1,396,12,0,339,3316,396,0 49,0,2024-09-07 09:17:41:722,104236,0.4,102405,0.5,198318,0.3,271826,1.75 49,1,2024-09-07 09:17:41:029,696467,696467,0,0,326618351729,3401738618,691329,3933,1205,382,391809,0 49,2,2024-09-07 09:17:41:797,504114,504114,0,0,20707719,0,4426 49,3,2024-09-07 09:17:41:417,1,396,1,0,992,4943,396,0 50,0,2024-09-07 09:17:41:516,97886,0.3,96336,0.4,194480,0.2,258932,1.75 50,1,2024-09-07 09:17:41:014,698659,698659,0,0,328264515572,3396893016,693809,4276,574,368,391565,0 50,2,2024-09-07 09:17:41:066,501679,501679,0,0,18537629,0,2263 50,3,2024-09-07 09:17:41:292,1,396,2,0,567,4128,396,0 51,0,2024-09-07 09:17:41:686,99416,0.3,97220,0.5,189621,0.2,258193,1.75 51,1,2024-09-07 09:17:41:680,698766,698766,0,0,328863315045,3392591857,695230,2558,978,365,391706,0 51,2,2024-09-07 09:17:41:318,498472,498472,0,0,17748573,0,3337 51,3,2024-09-07 09:17:41:041,1,396,1,0,678,3342,396,0 52,0,2024-09-07 09:17:41:433,99661,0.5,99552,0.7,199411,0.5,265330,2.00 52,1,2024-09-07 09:17:40:597,695566,695566,0,0,326288554202,3415813984,685907,8345,1314,368,391722,0 52,2,2024-09-07 09:17:41:761,495983,495945,38,0,23791771,0,6742 52,3,2024-09-07 09:17:40:679,1,396,19,0,1782,5731,396,0 53,0,2024-09-07 09:17:41:748,102707,0.7,99772,0.8,209002,0.8,273913,2.50 53,1,2024-09-07 09:17:40:774,694326,694326,0,0,326076852899,3424623565,682623,8745,2958,367,391702,0 53,2,2024-09-07 09:17:41:297,504096,504095,1,0,21632109,0,5455 53,3,2024-09-07 09:17:40:698,1,396,1,0,308,3695,396,0 54,0,2024-09-07 09:17:41:619,96831,0.6,97129,0.8,193619,0.4,258783,2.25 54,1,2024-09-07 09:17:40:587,695610,695610,0,0,327093728980,3403049927,688357,6014,1239,366,391659,0 54,2,2024-09-07 09:17:40:866,503157,503125,32,0,24662744,0,6397 54,3,2024-09-07 09:17:40:768,1,396,3,0,676,6060,396,0 55,0,2024-09-07 09:17:41:768,93871,0.6,97029,0.7,196076,0.5,255584,2.50 55,1,2024-09-07 09:17:40:763,696642,696642,0,0,326675688548,3397252322,689308,6250,1084,365,391731,0 55,2,2024-09-07 09:17:40:740,496548,496492,56,0,22763430,0,7239 55,3,2024-09-07 09:17:40:673,1,396,6,0,304,4253,396,0 56,0,2024-09-07 09:17:41:565,100209,1.2,94468,1.1,194496,1.6,260751,2.75 56,1,2024-09-07 09:17:40:592,692062,692062,0,0,325738063934,3442412080,680942,8772,2348,381,391867,0 56,2,2024-09-07 09:17:41:308,498911,498911,0,0,22850568,0,3567 56,3,2024-09-07 09:17:41:065,1,396,1,0,705,4979,396,0 57,0,2024-09-07 09:17:41:071,102334,1.4,102159,1.2,204961,2.0,273899,3.00 57,1,2024-09-07 09:17:41:007,694641,694641,0,0,325820208929,3416376409,686317,7251,1073,366,392032,0 57,2,2024-09-07 09:17:41:324,502970,502970,0,0,25140902,0,3317 57,3,2024-09-07 09:17:41:758,1,396,0,0,359,4284,396,0 58,0,2024-09-07 09:17:40:561,97291,0.9,94454,1.0,197746,1.0,259393,2.50 58,1,2024-09-07 09:17:40:578,694973,694970,0,3,326513940132,3415552989,685413,8279,1278,367,391603,3 58,2,2024-09-07 09:17:41:071,502499,502499,0,0,23323674,0,2902 58,3,2024-09-07 09:17:41:068,1,396,3,0,1043,4289,396,0 59,0,2024-09-07 09:17:41:751,97432,0.8,97139,0.9,193817,0.8,257665,2.50 59,1,2024-09-07 09:17:40:808,694708,694708,0,0,326785939705,3424214845,685137,7867,1704,369,391653,0 59,2,2024-09-07 09:17:40:588,497984,497984,0,0,22413903,0,2867 59,3,2024-09-07 09:17:41:739,1,396,0,0,1015,5244,396,0 60,0,2024-09-07 09:17:41:728,96520,0.5,96423,0.7,193521,0.5,257399,1.75 60,1,2024-09-07 09:17:40:791,697049,697049,0,0,327200720533,3396704754,692495,3905,649,370,392031,0 60,2,2024-09-07 09:17:41:142,499984,499984,0,0,21738731,0,3811 60,3,2024-09-07 09:17:41:261,1,396,13,0,409,4806,396,0 61,0,2024-09-07 09:17:41:505,102152,0.6,102804,0.8,204721,0.6,273314,2.00 61,1,2024-09-07 09:17:40:787,695362,695362,0,0,325808299668,3415399622,687476,6489,1397,382,392127,0 61,2,2024-09-07 09:17:41:121,500576,500509,67,0,22149980,0,6411 61,3,2024-09-07 09:17:41:699,1,396,1,0,479,5598,396,0 62,0,2024-09-07 09:17:41:713,101184,0.6,103500,0.7,197572,0.6,268249,2.00 62,1,2024-09-07 09:17:41:118,699886,699880,0,6,327921164027,3383693396,696075,3544,261,365,391715,6 62,2,2024-09-07 09:17:41:653,502198,502197,1,0,22212153,0,5555 62,3,2024-09-07 09:17:41:149,1,396,3,0,482,3314,396,0 63,0,2024-09-07 09:17:41:465,97809,0.4,97711,0.6,195952,0.3,260009,1.75 63,1,2024-09-07 09:17:40:809,697207,697201,0,6,327535235246,3402964423,692299,4170,732,381,391800,6 63,2,2024-09-07 09:17:40:768,500566,500566,0,0,20144604,0,4369 63,3,2024-09-07 09:17:41:734,1,396,1,0,667,4165,396,0 64,0,2024-09-07 09:17:41:563,95730,0.5,95698,0.7,191217,0.5,253735,2.00 64,1,2024-09-07 09:17:40:758,696399,696399,0,0,326114794081,3401050641,689868,4828,1703,370,391783,0 64,2,2024-09-07 09:17:41:142,502132,502113,19,0,19800950,0,6121 64,3,2024-09-07 09:17:41:142,1,396,12,0,265,3713,396,0 65,0,2024-09-07 09:17:41:689,98766,0.7,99245,0.8,197859,0.8,264347,2.25 65,1,2024-09-07 09:17:40:882,695003,695003,0,0,326268913325,3412731837,689916,4547,540,382,391901,0 65,2,2024-09-07 09:17:41:700,500114,500114,0,0,23121306,0,3367 65,3,2024-09-07 09:17:41:692,1,396,12,0,782,4770,396,0 66,0,2024-09-07 09:17:41:790,102541,0.6,102541,0.8,204822,0.5,272430,2.25 66,1,2024-09-07 09:17:41:306,696610,696610,0,0,326378900072,3401630481,691589,4604,417,380,391743,0 66,2,2024-09-07 09:17:41:147,505331,505331,0,0,20273620,0,4956 66,3,2024-09-07 09:17:41:088,1,396,0,0,291,3565,396,0 67,0,2024-09-07 09:17:41:420,97736,0.5,97409,0.7,195406,0.4,259937,2.00 67,1,2024-09-07 09:17:40:769,696714,696713,0,1,326312394721,3399823638,691896,4028,789,380,391787,1 67,2,2024-09-07 09:17:40:587,504501,504501,0,0,19457843,0,3622 67,3,2024-09-07 09:17:41:757,1,396,1,0,392,3993,396,0 68,0,2024-09-07 09:17:40:592,97299,0.6,97074,0.7,193612,0.5,258750,2.00 68,1,2024-09-07 09:17:40:590,693704,693704,0,0,325929229548,3428087130,684798,6157,2749,381,391953,0 68,2,2024-09-07 09:17:41:044,495560,495460,100,0,25803869,0,8578 68,3,2024-09-07 09:17:40:734,1,396,12,0,417,4280,396,0 69,0,2024-09-07 09:17:41:744,98382,0.8,98914,0.9,196800,1.0,261392,2.25 69,1,2024-09-07 09:17:41:018,693454,693454,0,0,325425602448,3433540482,684046,7170,2238,384,391994,0 69,2,2024-09-07 09:17:41:734,498281,498252,29,0,27153233,0,6912 69,3,2024-09-07 09:17:40:769,1,396,1,0,698,5732,396,0 70,0,2024-09-07 09:17:41:547,103058,1.1,103299,1.1,207484,1.0,275126,2.75 70,1,2024-09-07 09:17:40:812,696472,696472,0,0,326705049717,3393482424,690550,5261,661,366,391725,0 70,2,2024-09-07 09:17:41:331,503091,503091,0,0,23025418,0,4044 70,3,2024-09-07 09:17:40:749,1,396,1,0,854,4717,396,0 71,0,2024-09-07 09:17:41:366,97359,0.9,96969,1.0,194745,1.0,260917,2.75 71,1,2024-09-07 09:17:41:614,695871,695871,0,0,326557989875,3409712100,687619,7452,800,368,391738,0 71,2,2024-09-07 09:17:41:068,502644,502644,0,0,23374896,0,4352 71,3,2024-09-07 09:17:41:757,1,396,1,0,644,5212,396,0 72,0,2024-09-07 09:17:41:051,100567,0.5,98356,0.7,191878,0.5,260467,2.00 72,1,2024-09-07 09:17:41:031,694890,694890,0,0,325934861698,3420349042,684639,8284,1967,369,391819,0 72,2,2024-09-07 09:17:41:758,496628,496628,0,0,24808177,0,3983 72,3,2024-09-07 09:17:41:759,1,396,9,0,564,5942,396,0 73,0,2024-09-07 09:17:41:098,94877,0.4,97193,0.6,198971,0.4,258448,2.00 73,1,2024-09-07 09:17:40:773,696229,696229,0,0,326518848033,3392385996,691395,4469,365,367,391858,0 73,2,2024-09-07 09:17:41:759,499150,499150,0,0,24362200,0,3701 73,3,2024-09-07 09:17:40:975,1,396,1,0,486,5543,396,0 74,0,2024-09-07 09:17:41:347,104443,0.5,106688,0.6,203444,0.4,276587,2.00 74,1,2024-09-07 09:17:40:645,695767,695767,0,0,325928472607,3403298468,688534,5831,1402,381,391762,0 74,2,2024-09-07 09:17:41:005,501158,501158,0,0,22699448,0,4253 74,3,2024-09-07 09:17:41:463,1,396,13,0,522,5445,396,0 75,0,2024-09-07 09:17:41:784,99342,0.5,98713,0.7,198302,0.5,264661,2.25 75,1,2024-09-07 09:17:41:602,695562,695562,0,0,326029130481,3403080682,688814,5922,826,380,391739,0 75,2,2024-09-07 09:17:41:357,502263,502263,0,0,24447633,0,4766 75,3,2024-09-07 09:17:41:068,1,396,1,0,702,5204,396,0 76,0,2024-09-07 09:17:40:615,96944,0.6,96273,0.7,193479,0.5,257957,2.25 76,1,2024-09-07 09:17:40:833,695717,695717,0,0,325759527352,3400928527,690545,4385,787,382,391692,0 76,2,2024-09-07 09:17:41:061,501001,501000,1,0,21975989,0,5144 76,3,2024-09-07 09:17:41:143,1,396,5,0,175,3740,396,0 77,0,2024-09-07 09:17:41:702,95754,0.6,96085,0.8,192039,0.6,254670,2.00 77,1,2024-09-07 09:17:40:836,696300,696300,0,0,326784255011,3412140296,690708,5094,498,381,391869,0 77,2,2024-09-07 09:17:41:281,499350,499350,0,0,21559515,0,3890 77,3,2024-09-07 09:17:41:113,1,396,7,0,401,4615,396,0 78,0,2024-09-07 09:17:41:741,101287,0.5,100706,0.7,202298,0.4,268644,2.00 78,1,2024-09-07 09:17:40:625,696619,696619,0,0,326219835806,3400425403,689097,5956,1566,367,391670,0 78,2,2024-09-07 09:17:41:405,500363,500350,13,0,20393663,0,8313 78,3,2024-09-07 09:17:41:134,1,396,1,0,181,3600,396,0 79,0,2024-09-07 09:17:41:383,97878,0.4,100233,0.6,205386,0.4,266590,2.25 79,1,2024-09-07 09:17:40:586,697969,697969,0,0,327196954629,3392339336,692072,4748,1149,367,391682,0 79,2,2024-09-07 09:17:41:068,504705,504705,0,0,20091733,0,4195 79,3,2024-09-07 09:17:40:757,1,396,16,0,418,5091,396,0 80,0,2024-09-07 09:17:41:123,97298,0.5,100207,0.7,191729,0.5,258413,2.00 80,1,2024-09-07 09:17:41:688,695663,695663,0,0,326952424152,3407309624,690584,4755,324,368,392269,0 80,2,2024-09-07 09:17:41:098,503499,503499,0,0,19992910,0,4433 80,3,2024-09-07 09:17:40:577,1,396,11,0,190,4726,396,0 81,0,2024-09-07 09:17:41:547,96883,0.5,99250,0.7,189337,0.5,257058,2.00 81,1,2024-09-07 09:17:41:651,695177,695177,0,0,324888023723,3398198727,689420,5251,506,382,391879,0 81,2,2024-09-07 09:17:41:141,497035,496972,63,0,21844824,0,5932 81,3,2024-09-07 09:17:41:131,1,396,93,0,719,5004,396,0 82,0,2024-09-07 09:17:41:573,99056,0.6,99441,0.8,199321,0.5,264783,2.00 82,1,2024-09-07 09:17:40:588,697019,697015,0,4,326313860197,3397739127,692395,3803,817,381,391768,4 82,2,2024-09-07 09:17:41:699,500208,500208,0,0,18794825,0,3986 82,3,2024-09-07 09:17:41:752,1,396,12,0,363,4496,396,0 83,0,2024-09-07 09:17:41:548,103551,0.7,103494,0.8,206084,0.8,274159,2.25 83,1,2024-09-07 09:17:40:557,694949,694949,0,0,326531246490,3408218871,689510,4989,450,382,391709,0 83,2,2024-09-07 09:17:40:768,504188,504188,0,0,19538765,0,3393 83,3,2024-09-07 09:17:40:757,1,396,1,0,1260,5380,396,0 84,0,2024-09-07 09:17:41:766,97671,0.7,97686,0.8,194825,0.6,261250,2.25 84,1,2024-09-07 09:17:41:044,694598,694598,0,0,325893353466,3407901526,687098,6462,1038,367,391967,0 84,2,2024-09-07 09:17:40:577,501838,501808,30,0,26605550,0,5971 84,3,2024-09-07 09:17:41:149,1,396,1,0,908,5940,396,0 85,0,2024-09-07 09:17:41:007,93555,0.6,93572,0.8,198669,0.6,256766,2.25 85,1,2024-09-07 09:17:40:566,693365,693365,0,0,325358610747,3435588475,682895,8607,1863,381,392006,0 85,2,2024-09-07 09:17:40:868,496474,496474,0,0,24113093,0,3656 85,3,2024-09-07 09:17:40:697,1,396,20,0,789,5096,396,0 86,0,2024-09-07 09:17:40:907,97863,0.7,100758,0.8,192770,0.7,261344,2.25 86,1,2024-09-07 09:17:40:837,695223,695223,0,0,326809309563,3423940118,686947,6839,1437,366,391961,0 86,2,2024-09-07 09:17:40:868,497058,497057,1,0,26513971,0,5004 86,3,2024-09-07 09:17:40:586,1,396,1,0,308,5900,396,0 87,0,2024-09-07 09:17:41:283,103366,0.9,103281,0.9,206933,1.1,276268,2.25 87,1,2024-09-07 09:17:40:568,693666,693666,0,0,325676951166,3417771936,683930,8146,1590,366,392076,0 87,2,2024-09-07 09:17:41:075,501309,501303,6,0,23976602,0,6323 87,3,2024-09-07 09:17:41:800,1,396,4,0,473,6027,396,0 88,0,2024-09-07 09:17:41:443,98565,0.4,99397,0.6,197834,0.4,263644,1.75 88,1,2024-09-07 09:17:40:585,693238,693238,0,0,326148410710,3417059317,684264,7042,1932,365,392084,0 88,2,2024-09-07 09:17:40:693,503411,503411,0,0,25380938,0,4465 88,3,2024-09-07 09:17:41:268,1,396,2,0,435,4153,396,0 89,0,2024-09-07 09:17:41:825,100521,0.4,97377,0.6,192535,0.4,261309,1.75 89,1,2024-09-07 09:17:40:563,693668,693668,0,0,325902923911,3426831023,685001,7245,1422,382,391866,0 89,2,2024-09-07 09:17:41:131,496830,496830,0,0,23698223,0,3173 89,3,2024-09-07 09:17:41:792,1,396,4,0,468,7359,396,0 90,0,2024-09-07 09:17:41:622,93755,0.5,96188,0.6,196317,0.4,256430,2.00 90,1,2024-09-07 09:17:40:596,694845,694845,0,0,325727330959,3411603582,688401,5935,509,380,391825,0 90,2,2024-09-07 09:17:41:407,497700,497700,0,0,25264339,0,3060 90,3,2024-09-07 09:17:40:940,1,396,2,0,322,4991,396,0 91,0,2024-09-07 09:17:40:932,102951,0.5,99738,0.6,208415,0.4,273900,1.75 91,1,2024-09-07 09:17:40:560,693668,693668,0,0,326274440676,3431304058,684906,7500,1262,381,392047,0 91,2,2024-09-07 09:17:41:358,501190,501190,0,0,22432756,0,2896 91,3,2024-09-07 09:17:40:609,1,396,120,0,216,4060,396,0 92,0,2024-09-07 09:17:41:443,101390,0.5,103920,0.6,198619,0.5,268581,1.75 92,1,2024-09-07 09:17:40:638,695298,695298,0,0,326355688930,3410099702,689849,4726,723,382,392136,0 92,2,2024-09-07 09:17:41:354,504532,504532,0,0,20389982,0,3259 92,3,2024-09-07 09:17:41:010,1,396,7,0,167,3581,396,0 93,0,2024-09-07 09:17:40:976,98244,0.4,100673,0.5,192122,0.3,260120,1.75 93,1,2024-09-07 09:17:40:813,694358,694358,0,0,326193483272,3408798339,686566,6446,1346,366,391776,0 93,2,2024-09-07 09:17:40:931,500014,500014,0,0,24409443,0,4845 93,3,2024-09-07 09:17:41:406,1,396,1,0,190,3627,396,0 94,0,2024-09-07 09:17:41:632,95841,0.4,96612,0.5,193093,0.3,255428,1.75 94,1,2024-09-07 09:17:40:594,695746,695746,0,0,326448021965,3405426839,691356,4196,194,381,391850,0 94,2,2024-09-07 09:17:40:769,498598,498598,0,0,20500866,0,2443 94,3,2024-09-07 09:17:41:707,1,396,2,0,576,5354,396,0 95,0,2024-09-07 09:17:41:388,99617,0.4,99590,0.5,199816,0.3,266560,1.75 95,1,2024-09-07 09:17:40:852,697168,697168,0,0,326752568132,3397956922,691628,5129,411,367,391713,0 95,2,2024-09-07 09:17:41:024,499364,499364,0,0,20161581,0,3308 95,3,2024-09-07 09:17:41:728,1,396,6,0,718,6012,396,0 96,0,2024-09-07 09:17:41:023,103098,0.4,103399,0.5,206412,0.4,273578,1.75 96,1,2024-09-07 09:17:41:589,695047,695047,0,0,326365461652,3408397290,689543,4626,878,384,391955,0 96,2,2024-09-07 09:17:41:268,504450,504450,0,0,21129088,0,4180 96,3,2024-09-07 09:17:41:141,1,396,8,0,411,4514,396,0 97,0,2024-09-07 09:17:41:309,97713,0.3,97430,0.5,195420,0.3,259738,1.50 97,1,2024-09-07 09:17:40:769,696666,696666,0,0,328094806461,3406294194,691562,4175,929,367,392140,0 97,2,2024-09-07 09:17:40:640,503141,503141,0,0,20615464,0,3679 97,3,2024-09-07 09:17:40:596,1,396,3,0,242,4607,396,0 98,0,2024-09-07 09:17:41:696,96887,0.3,96895,0.4,194586,0.2,258787,1.50 98,1,2024-09-07 09:17:40:573,695538,695538,0,0,326287214558,3402528408,690804,3954,780,382,391997,0 98,2,2024-09-07 09:17:40:774,498021,498021,0,0,20263998,0,3243 98,3,2024-09-07 09:17:40:705,1,396,1,0,840,6525,396,0 99,0,2024-09-07 09:17:41:458,98859,0.3,99518,0.5,197789,0.3,264020,1.75 99,1,2024-09-07 09:17:41:734,696234,696234,0,0,326434494958,3400530122,691390,4011,833,381,392069,0 99,2,2024-09-07 09:17:41:417,499922,499922,0,0,23542798,0,3424 99,3,2024-09-07 09:17:40:586,1,396,1,0,606,4482,396,0 100,0,2024-09-07 09:17:41:461,103953,0.9,104144,1.0,207495,1.0,278118,2.50 100,1,2024-09-07 09:17:40:557,692850,692850,0,0,324856171772,3435029186,682250,8557,2043,381,391989,0 100,2,2024-09-07 09:17:41:819,501722,501711,11,0,23917558,0,5417 100,3,2024-09-07 09:17:41:734,1,396,9,0,559,6673,396,0 101,0,2024-09-07 09:17:41:706,100272,1.0,97575,1.0,191737,0.9,262763,2.25 101,1,2024-09-07 09:17:40:555,692951,692951,0,0,325380147471,3427319663,682332,8499,2120,368,391847,0 101,2,2024-09-07 09:17:41:758,499644,499644,0,0,28730533,0,4871 101,3,2024-09-07 09:17:40:943,1,396,1,0,579,5749,396,0 102,0,2024-09-07 09:17:40:968,94870,0.6,97930,0.8,198362,0.6,259378,2.00 102,1,2024-09-07 09:17:41:143,693280,693280,0,0,325610713243,3425675168,683517,8003,1760,369,391891,0 102,2,2024-09-07 09:17:41:767,497575,497521,54,0,22486052,0,6768 102,3,2024-09-07 09:17:41:615,1,396,0,0,410,3990,396,0 103,0,2024-09-07 09:17:41:597,100442,0.6,100451,0.7,189306,0.6,260291,2.00 103,1,2024-09-07 09:17:41:629,692274,692274,0,0,325914199200,3444869546,680450,8760,3064,381,391829,0 103,2,2024-09-07 09:17:40:586,497722,497722,0,0,24693148,0,3766 103,3,2024-09-07 09:17:40:757,1,396,1,0,916,4470,396,0 104,0,2024-09-07 09:17:41:009,102873,0.7,103280,0.9,205062,0.7,275891,2.25 104,1,2024-09-07 09:17:41:627,694316,694316,0,0,325522037223,3422015563,684008,8505,1803,365,392168,0 104,2,2024-09-07 09:17:41:673,500508,500508,0,0,24467154,0,3941 104,3,2024-09-07 09:17:41:417,1,396,74,0,1245,8131,396,0 105,0,2024-09-07 09:17:41:038,97835,0.9,95200,1.1,199567,1.0,262750,2.75 105,1,2024-09-07 09:17:40:562,695379,695379,0,0,326510164374,3421830340,686578,7453,1348,366,392009,0 105,2,2024-09-07 09:17:41:331,502855,502855,0,0,24200915,0,3509 105,3,2024-09-07 09:17:41:312,1,396,3,0,399,6135,396,0 106,0,2024-09-07 09:17:41:011,93978,0.7,96340,0.9,197246,0.8,257790,2.50 106,1,2024-09-07 09:17:41:771,693854,693854,0,0,325687594719,3424011945,682943,9467,1444,369,391914,0 106,2,2024-09-07 09:17:40:763,497956,497956,0,0,22989732,0,2795 106,3,2024-09-07 09:17:40:684,1,396,1,0,470,5109,396,0 107,0,2024-09-07 09:17:41:103,95853,1.0,96088,0.9,191758,1.3,255684,2.25 107,1,2024-09-07 09:17:40:605,693251,693251,0,0,325084276049,3427224476,683627,8593,1031,381,392234,0 107,2,2024-09-07 09:17:41:292,497817,497816,1,0,24072284,0,5024 107,3,2024-09-07 09:17:41:762,1,396,7,0,353,5875,396,0 108,0,2024-09-07 09:17:41:946,100673,0.5,101456,0.6,201589,0.5,269808,1.75 108,1,2024-09-07 09:17:41:292,695615,695615,0,0,326338166141,3405022129,689684,5192,739,368,391857,0 108,2,2024-09-07 09:17:41:766,498950,498950,0,0,22971495,0,4246 108,3,2024-09-07 09:17:41:356,1,396,10,0,749,7604,396,0 109,0,2024-09-07 09:17:41:841,102226,0.4,101310,0.6,202597,0.4,270845,1.75 109,1,2024-09-07 09:17:40:624,693348,693348,0,0,326217548650,3424617338,686675,5560,1113,382,392132,0 109,2,2024-09-07 09:17:40:931,501579,501579,0,0,22646863,0,3617 109,3,2024-09-07 09:17:41:154,1,396,17,0,379,4665,396,0 110,0,2024-09-07 09:17:41:798,97202,0.4,94682,0.6,198520,0.3,259281,1.75 110,1,2024-09-07 09:17:41:774,696675,696675,0,0,326215068935,3388633839,691461,4038,1176,369,392045,0 110,2,2024-09-07 09:17:41:335,502131,502131,0,0,21627509,0,4067 110,3,2024-09-07 09:17:40:697,1,396,1,0,722,5787,396,0 111,0,2024-09-07 09:17:41:424,97340,0.4,96453,0.5,193583,0.3,258418,1.75 111,1,2024-09-07 09:17:41:011,697221,697221,0,0,327398969347,3396328627,693617,3250,354,380,391690,0 111,2,2024-09-07 09:17:41:125,497456,497456,0,0,21032153,0,4823 111,3,2024-09-07 09:17:40:914,1,396,30,0,379,4601,396,0 112,0,2024-09-07 09:17:40:910,100209,0.3,99699,0.4,199611,0.2,265709,1.50 112,1,2024-09-07 09:17:40:832,697042,697042,0,0,326552707996,3390769731,692612,3818,612,380,391624,0 112,2,2024-09-07 09:17:41:134,499204,499203,1,0,20184352,0,5036 112,3,2024-09-07 09:17:40:596,1,396,1,0,282,3997,396,0 113,0,2024-09-07 09:17:40:880,103570,0.3,103694,0.5,207576,0.3,276294,1.75 113,1,2024-09-07 09:17:41:685,699542,699542,0,0,327921082909,3386011293,695667,3287,588,366,391661,0 113,2,2024-09-07 09:17:41:302,505449,505449,0,0,18936977,0,3813 113,3,2024-09-07 09:17:40:693,1,396,8,0,340,4645,396,0 114,0,2024-09-07 09:17:40:894,98647,0.3,99227,0.5,197301,0.2,263840,1.75 114,1,2024-09-07 09:17:40:730,696313,696313,0,0,325860683300,3389255404,690071,4626,1616,381,391565,0 114,2,2024-09-07 09:17:40:877,503566,503565,1,0,20064900,0,5069 114,3,2024-09-07 09:17:41:278,1,396,4,0,395,3440,396,0 115,0,2024-09-07 09:17:40:555,97244,0.3,97684,0.4,195094,0.2,259306,1.50 115,1,2024-09-07 09:17:40:578,696359,696359,0,0,327075820209,3400634802,690518,4910,931,382,391757,0 115,2,2024-09-07 09:17:41:131,499110,499110,0,0,18908699,0,3848 115,3,2024-09-07 09:17:41:004,1,396,3,0,159,2319,396,0 116,0,2024-09-07 09:17:41:716,97709,0.8,97660,0.9,195909,0.9,262505,2.25 116,1,2024-09-07 09:17:40:806,693294,693294,0,0,325139464588,3428007198,684845,6127,2322,380,392089,0 116,2,2024-09-07 09:17:41:759,498301,498301,0,0,24934233,0,4128 116,3,2024-09-07 09:17:40:921,1,396,1,0,415,4779,396,0 117,0,2024-09-07 09:17:40:953,103836,0.7,103251,0.8,207132,0.8,276465,2.00 117,1,2024-09-07 09:17:41:586,694309,694309,0,0,326208474605,3416493543,686620,6779,910,369,392033,0 117,2,2024-09-07 09:17:41:122,505115,505115,0,0,21542414,0,4303 117,3,2024-09-07 09:17:41:062,1,396,1,0,490,6076,396,0 118,0,2024-09-07 09:17:41:818,95931,0.5,98303,0.7,200865,0.5,262681,2.00 118,1,2024-09-07 09:17:40:607,694081,694081,0,0,326218952592,3437180937,682240,8843,2998,366,391907,0 118,2,2024-09-07 09:17:41:588,502398,502398,0,0,23606829,0,2842 118,3,2024-09-07 09:17:41:764,1,396,1,0,248,4650,396,0 119,0,2024-09-07 09:17:41:359,97307,0.6,97837,0.7,195559,0.5,259754,2.00 119,1,2024-09-07 09:17:40:553,694276,694276,0,0,326451604168,3418700201,686118,7103,1055,367,391780,0 119,2,2024-09-07 09:17:41:261,498256,498256,0,0,21760668,0,4174 119,3,2024-09-07 09:17:41:329,1,396,10,0,1358,8358,396,0 120,0,2024-09-07 09:17:41:545,95946,0.6,95858,0.8,191860,0.6,256923,2.25 120,1,2024-09-07 09:17:40:862,694699,694699,0,0,326138811019,3422680415,687318,6729,652,368,391961,0 120,2,2024-09-07 09:17:40:773,499229,499228,1,0,24896917,0,5281 120,3,2024-09-07 09:17:41:297,1,396,8,0,279,5036,396,0 121,0,2024-09-07 09:17:41:695,102304,1.2,102187,1.0,205004,1.5,273041,2.25 121,1,2024-09-07 09:17:41:662,695430,695430,0,0,326067491726,3410097014,689022,5961,447,367,391840,0 121,2,2024-09-07 09:17:41:142,499960,499960,0,0,24069288,0,4127 121,3,2024-09-07 09:17:40:733,1,396,4,0,269,4557,396,0 122,0,2024-09-07 09:17:41:767,100048,0.8,97678,0.9,204957,0.9,268346,2.00 122,1,2024-09-07 09:17:40:861,693829,693829,0,0,325859506599,3421735889,683831,8399,1599,366,392130,0 122,2,2024-09-07 09:17:41:322,503480,503407,73,0,26830458,0,5989 122,3,2024-09-07 09:17:40:605,1,396,9,0,512,7606,396,0 123,0,2024-09-07 09:17:41:011,97109,0.6,94919,0.7,198387,0.6,259483,2.00 123,1,2024-09-07 09:17:40:562,693581,693581,0,0,325645665092,3432350220,680955,10331,2295,369,392039,0 123,2,2024-09-07 09:17:41:019,498603,498602,1,0,23018736,0,5215 123,3,2024-09-07 09:17:41:132,1,396,37,0,168,4419,396,0 124,0,2024-09-07 09:17:40:943,99122,0.3,99085,0.5,186885,0.3,256236,1.75 124,1,2024-09-07 09:17:41:023,696635,696635,0,0,326637172687,3397834071,691437,4149,1049,367,392178,0 124,2,2024-09-07 09:17:41:010,499692,499639,53,0,20856547,0,6487 124,3,2024-09-07 09:17:40:763,1,396,0,0,490,3941,396,0 125,0,2024-09-07 09:17:41:421,99927,0.4,99628,0.6,200171,0.4,266912,1.75 125,1,2024-09-07 09:17:40:882,695159,695159,0,0,326003896756,3405427039,689542,4951,666,382,391702,0 125,2,2024-09-07 09:17:41:122,500239,500239,0,0,21443597,0,4534 125,3,2024-09-07 09:17:41:132,1,396,3,0,709,5116,396,0 126,0,2024-09-07 09:17:41:419,102955,0.5,106050,0.6,202909,0.4,274211,1.75 126,1,2024-09-07 09:17:40:560,697193,697193,0,0,327429076079,3392935439,693245,3658,290,365,391987,0 126,2,2024-09-07 09:17:40:621,504680,504680,0,0,22273042,0,4539 126,3,2024-09-07 09:17:40:907,1,396,1,0,268,5149,396,0 127,0,2024-09-07 09:17:41:663,97612,0.3,98054,0.5,195631,0.3,259798,1.75 127,1,2024-09-07 09:17:40:587,696473,696473,0,0,326674998803,3399633325,689585,5781,1107,364,392187,0 127,2,2024-09-07 09:17:40:644,501727,501727,0,0,20207259,0,3897 127,3,2024-09-07 09:17:41:267,1,396,1,0,968,4465,396,0 128,0,2024-09-07 09:17:41:521,97424,0.3,97739,0.4,194928,0.2,259098,1.50 128,1,2024-09-07 09:17:41:614,695975,695975,0,0,326765419030,3395147925,691100,4443,432,367,391838,0 128,2,2024-09-07 09:17:41:388,498467,498467,0,0,19237056,0,2915 128,3,2024-09-07 09:17:40:776,1,396,8,0,1082,6409,396,0 129,0,2024-09-07 09:17:40:995,99527,0.3,99099,0.5,198605,0.3,264125,1.75 129,1,2024-09-07 09:17:40:584,693465,693465,0,0,325937530947,3418945659,686108,5690,1667,379,391835,0 129,2,2024-09-07 09:17:40:704,499762,499762,0,0,20886766,0,4031 129,3,2024-09-07 09:17:40:696,1,396,22,0,506,5359,396,0 130,0,2024-09-07 09:17:41:732,104938,0.5,104381,0.6,210288,0.6,279770,1.75 130,1,2024-09-07 09:17:40:593,696631,696631,0,0,326057802916,3391278766,692700,3593,338,381,391825,0 130,2,2024-09-07 09:17:41:132,504935,504935,0,0,21448245,0,4067 130,3,2024-09-07 09:17:41:291,1,396,0,0,535,5664,396,0 131,0,2024-09-07 09:17:41:938,98129,0.4,98536,0.5,198183,0.3,262763,1.75 131,1,2024-09-07 09:17:41:821,695939,695939,0,0,326885672765,3417989347,689994,4897,1048,381,391865,0 131,2,2024-09-07 09:17:40:572,505392,505392,0,0,19314268,0,2698 131,3,2024-09-07 09:17:41:694,1,396,6,0,392,4190,396,0 132,0,2024-09-07 09:17:41:418,97441,0.4,98375,0.6,195680,0.4,260103,1.75 132,1,2024-09-07 09:17:40:587,692814,692814,0,0,324845229666,3426138509,681731,8980,2103,381,392097,0 132,2,2024-09-07 09:17:40:706,497105,497088,17,0,25300067,0,6451 132,3,2024-09-07 09:17:41:687,1,396,12,0,804,7258,396,0 133,0,2024-09-07 09:17:41:519,94851,0.4,96987,0.6,199007,0.4,259018,2.00 133,1,2024-09-07 09:17:40:588,692783,692783,0,0,325406759819,3433583072,682520,8750,1513,383,391914,0 133,2,2024-09-07 09:17:41:086,498034,497984,50,0,26888053,0,6861 133,3,2024-09-07 09:17:41:297,1,396,4,0,479,3998,396,0 134,0,2024-09-07 09:17:40:951,103997,0.5,103584,0.7,207508,0.5,277234,2.00 134,1,2024-09-07 09:17:40:607,693787,693787,0,0,324842900529,3411948724,684026,7728,2033,366,391718,0 134,2,2024-09-07 09:17:41:757,500269,500245,24,0,24468228,0,6207 134,3,2024-09-07 09:17:40:757,1,396,1,0,739,5195,396,0 135,0,2024-09-07 09:17:41:099,96042,0.8,95987,0.9,203616,1.0,262317,2.25 135,1,2024-09-07 09:17:41:626,693981,693981,0,0,326262947582,3436000465,684127,8484,1370,380,391805,0 135,2,2024-09-07 09:17:40:687,503837,503837,0,0,23966950,0,3981 135,3,2024-09-07 09:17:41:010,1,396,12,0,299,3425,396,0 136,0,2024-09-07 09:17:41:635,97834,0.5,97953,0.7,194935,0.5,259785,2.00 136,1,2024-09-07 09:17:41:463,694335,694335,0,0,325761677953,3418499185,686204,7204,927,382,391685,0 136,2,2024-09-07 09:17:41:134,500484,500484,0,0,22608242,0,3506 136,3,2024-09-07 09:17:41:112,1,396,7,0,637,4656,396,0 137,0,2024-09-07 09:17:40:927,98999,0.6,96336,0.7,189343,0.6,256863,2.00 137,1,2024-09-07 09:17:40:592,693644,693644,0,0,325559051927,3418854329,682524,8773,2347,366,391898,0 137,2,2024-09-07 09:17:41:705,497928,497928,0,0,25354346,0,3185 137,3,2024-09-07 09:17:40:789,1,396,6,0,484,5117,396,0 138,0,2024-09-07 09:17:41:751,100524,1.1,100514,1.0,201855,1.5,268577,2.25 138,1,2024-09-07 09:17:41:685,694509,694509,0,0,326072245737,3423424579,684436,8353,1720,368,391954,0 138,2,2024-09-07 09:17:40:596,499619,499619,0,0,22857405,0,4988 138,3,2024-09-07 09:17:40:622,1,396,1,0,1160,5287,396,0 139,0,2024-09-07 09:17:41:366,100686,1.7,100672,1.2,201846,2.4,269213,2.50 139,1,2024-09-07 09:17:40:590,691224,691224,0,0,323850032237,3445341041,677169,10754,3301,381,392058,0 139,2,2024-09-07 09:17:40:705,499972,499972,0,0,26146911,0,3097 139,3,2024-09-07 09:17:41:667,1,396,7,0,432,4767,396,0 140,0,2024-09-07 09:17:41:588,97825,0.3,97169,0.5,195129,0.2,259553,1.75 140,1,2024-09-07 09:17:41:542,698194,698194,0,0,328689000836,3390793507,694641,3112,441,365,391606,0 140,2,2024-09-07 09:17:40:701,502447,502446,1,0,20488222,0,5036 140,3,2024-09-07 09:17:40:768,1,396,7,0,297,3436,396,0 141,0,2024-09-07 09:17:41:701,97073,0.3,99703,0.5,190483,0.3,258252,1.75 141,1,2024-09-07 09:17:40:866,696831,696831,0,0,327436114636,3401584652,691601,4276,954,379,391614,0 141,2,2024-09-07 09:17:41:686,498517,498517,0,0,19858988,0,3360 141,3,2024-09-07 09:17:41:043,1,396,6,0,391,4537,396,0 142,0,2024-09-07 09:17:41:311,100227,0.3,99586,0.5,199148,0.3,266350,1.75 142,1,2024-09-07 09:17:40:597,695950,695950,0,0,326864320026,3408124953,691480,4077,393,382,392102,0 142,2,2024-09-07 09:17:41:299,498662,498630,32,0,21631139,0,6028 142,3,2024-09-07 09:17:41:758,1,396,6,0,484,4691,396,0 143,0,2024-09-07 09:17:41:371,103832,0.5,103756,0.6,207959,0.5,276307,1.75 143,1,2024-09-07 09:17:40:560,697107,697107,0,0,327230594750,3399168838,692095,4468,544,367,391705,0 143,2,2024-09-07 09:17:40:773,504387,504387,0,0,21112723,0,3123 143,3,2024-09-07 09:17:41:141,1,396,1,0,462,5125,396,0 144,0,2024-09-07 09:17:41:536,95194,0.6,97816,0.8,199480,0.5,261619,2.00 144,1,2024-09-07 09:17:40:579,693606,693606,0,0,325142205081,3414213600,686636,5617,1353,381,391649,0 144,2,2024-09-07 09:17:41:771,503911,503911,0,0,20260074,0,3673 144,3,2024-09-07 09:17:41:749,1,396,28,0,249,4013,396,0 145,0,2024-09-07 09:17:41:406,93936,0.5,93977,0.8,199288,0.5,256380,2.25 145,1,2024-09-07 09:17:40:560,693288,693288,0,0,325243758016,3423085596,684104,7604,1580,382,391759,0 145,2,2024-09-07 09:17:41:446,495554,495473,81,0,24130615,0,7814 145,3,2024-09-07 09:17:40:906,1,396,14,0,622,5810,396,0 146,0,2024-09-07 09:17:41:650,98006,0.6,97523,0.8,196455,0.6,260612,2.25 146,1,2024-09-07 09:17:41:604,694818,694818,0,0,325703102478,3423259229,683991,8709,2118,367,391770,0 146,2,2024-09-07 09:17:41:697,497713,497713,0,0,22926727,0,2730 146,3,2024-09-07 09:17:41:273,1,396,5,0,1520,7877,396,0 147,0,2024-09-07 09:17:41:723,103663,0.6,103511,0.8,206241,0.6,275999,2.25 147,1,2024-09-07 09:17:41:389,697263,697263,0,0,327624022432,3408799942,690920,5499,844,368,391791,0 147,2,2024-09-07 09:17:41:010,502510,502510,0,0,21110368,0,2968 147,3,2024-09-07 09:17:40:935,1,396,1,0,1626,7150,396,0 0,0,2024-09-07 09:17:51:706,94079,0.6,94056,0.7,199620,0.7,258191,2.00 0,1,2024-09-07 09:17:50:802,696560,696560,0,0,327351770548,3426667754,691240,4934,386,369,391896,0 0,2,2024-09-07 09:17:51:084,502133,502133,0,0,20375435,0,4480 0,3,2024-09-07 09:17:50:974,1,397,11,0,431,6012,397,0 1,0,2024-09-07 09:17:51:788,102815,1.0,102144,1.0,205198,1.3,274686,2.25 1,1,2024-09-07 09:17:50:566,696108,696108,0,0,326889447495,3422999560,689892,4896,1320,370,391857,0 1,2,2024-09-07 09:17:50:653,501569,501569,0,0,20606700,0,3380 1,3,2024-09-07 09:17:51:309,1,397,3,0,262,4835,397,0 2,0,2024-09-07 09:17:51:566,100299,0.7,100649,0.8,200434,0.7,267289,2.00 2,1,2024-09-07 09:17:50:860,698466,698466,0,0,328014679781,3407218159,695190,2982,294,380,391745,0 2,2,2024-09-07 09:17:51:272,505410,505410,0,0,20010319,0,3594 2,3,2024-09-07 09:17:50:690,1,397,1,0,357,4034,397,0 3,0,2024-09-07 09:17:51:755,97635,0.4,97573,0.6,194988,0.4,259374,2.00 3,1,2024-09-07 09:17:51:622,696955,696955,0,0,326919631298,3407522485,690750,5515,690,380,391716,0 3,2,2024-09-07 09:17:51:147,500950,500927,23,0,20663699,0,5851 3,3,2024-09-07 09:17:51:758,1,397,111,0,207,2689,397,0 4,0,2024-09-07 09:17:51:822,93401,0.4,95988,0.5,195669,0.3,255640,1.75 4,1,2024-09-07 09:17:50:597,694554,694554,0,0,326046250873,3449473722,682927,9124,2503,370,391992,0 4,2,2024-09-07 09:17:51:037,498430,498430,0,0,23615325,0,4528 4,3,2024-09-07 09:17:51:033,1,397,24,0,448,5341,397,0 5,0,2024-09-07 09:17:51:492,99944,0.5,100546,0.6,200764,0.4,267408,1.75 5,1,2024-09-07 09:17:50:765,695456,695456,0,0,326527930412,3441321541,685129,8176,2151,367,392005,0 5,2,2024-09-07 09:17:51:829,498983,498983,0,0,23218629,0,3582 5,3,2024-09-07 09:17:51:732,1,397,1,0,457,5650,397,0 6,0,2024-09-07 09:17:50:922,103273,0.5,102909,0.7,205454,0.4,273987,2.00 6,1,2024-09-07 09:17:50:750,696078,696078,0,0,326256513974,3413549711,688014,6676,1388,379,391694,0 6,2,2024-09-07 09:17:51:115,504168,504150,18,0,23387648,0,5535 6,3,2024-09-07 09:17:51:279,1,397,8,0,710,5037,397,0 7,0,2024-09-07 09:17:51:535,97078,0.5,97754,0.6,194798,0.4,259030,2.00 7,1,2024-09-07 09:17:50:850,695577,695577,0,0,326575919989,3430489957,685820,8295,1462,382,391747,0 7,2,2024-09-07 09:17:50:771,504144,504144,0,0,23019679,0,4791 7,3,2024-09-07 09:17:50:851,1,397,2,0,398,4175,397,0 8,0,2024-09-07 09:17:51:344,97539,0.4,97237,0.5,194988,0.3,259682,1.75 8,1,2024-09-07 09:17:51:020,694662,694662,0,0,326731417306,3437784971,683322,8715,2625,366,392144,0 8,2,2024-09-07 09:17:50:792,495024,495024,0,0,26223277,0,3220 8,3,2024-09-07 09:17:50:585,1,397,9,0,538,6643,397,0 9,0,2024-09-07 09:17:51:156,99430,0.4,96518,0.5,201960,0.3,264917,1.75 9,1,2024-09-07 09:17:50:568,694975,694975,0,0,326003559724,3435307446,684681,8107,2187,369,392001,0 9,2,2024-09-07 09:17:51:105,499665,499665,0,0,23341545,0,3360 9,3,2024-09-07 09:17:51:758,1,397,0,0,496,5492,397,0 10,0,2024-09-07 09:17:51:639,104544,0.4,104000,0.6,208960,0.3,277981,1.75 10,1,2024-09-07 09:17:50:584,695736,695736,0,0,327014569783,3425941865,686569,7881,1286,381,391741,0 10,2,2024-09-07 09:17:50:773,504792,504792,0,0,25732832,0,4264 10,3,2024-09-07 09:17:50:872,1,397,2,0,649,4424,397,0 11,0,2024-09-07 09:17:51:012,98355,0.5,95392,0.6,199538,0.4,263544,1.75 11,1,2024-09-07 09:17:50:575,696614,696614,0,0,327127650767,3433591691,685871,8261,2482,383,391756,0 11,2,2024-09-07 09:17:51:130,503527,503527,0,0,23387103,0,4130 11,3,2024-09-07 09:17:51:298,1,397,2,0,843,6150,397,0 12,0,2024-09-07 09:17:51:001,98357,0.4,98346,0.5,196571,0.3,260930,1.75 12,1,2024-09-07 09:17:50:949,697203,697203,0,0,327397610223,3413846027,691819,4887,497,370,391870,0 12,2,2024-09-07 09:17:51:541,498949,498949,0,0,21948559,0,3469 12,3,2024-09-07 09:17:51:058,1,397,8,0,386,6168,397,0 13,0,2024-09-07 09:17:51:355,98406,0.4,98032,0.6,195558,0.4,261031,1.75 13,1,2024-09-07 09:17:51:530,695371,695371,0,0,325952130246,3427915611,688231,5567,1573,382,391740,0 13,2,2024-09-07 09:17:50:603,502070,502070,0,0,20314430,0,3287 13,3,2024-09-07 09:17:51:775,1,397,4,0,522,6101,397,0 14,0,2024-09-07 09:17:50:561,104088,0.4,104914,0.6,207793,0.4,277523,1.75 14,1,2024-09-07 09:17:51:561,699895,699895,0,0,328396125074,3404852055,693695,5492,708,364,391673,0 14,2,2024-09-07 09:17:50:764,503687,503657,30,0,22710171,0,6104 14,3,2024-09-07 09:17:51:115,1,397,1,0,1168,4566,397,0 15,0,2024-09-07 09:17:51:552,98989,0.4,98850,0.7,198215,0.4,263944,2.00 15,1,2024-09-07 09:17:51:608,697254,697254,0,0,327497015200,3411762684,691702,4574,978,381,391619,0 15,2,2024-09-07 09:17:50:997,506603,506603,0,0,18679749,0,3622 15,3,2024-09-07 09:17:51:414,1,397,6,0,1126,6855,397,0 16,0,2024-09-07 09:17:50:953,97587,0.5,97982,0.7,195543,0.5,259958,2.00 16,1,2024-09-07 09:17:50:568,697191,697191,0,0,327174227268,3420661673,691277,5071,843,370,391756,0 16,2,2024-09-07 09:17:51:440,499461,499461,0,0,21692711,0,4719 16,3,2024-09-07 09:17:51:147,1,397,1,0,317,5234,397,0 17,0,2024-09-07 09:17:51:778,99115,0.6,96723,0.8,189328,0.7,257316,2.00 17,1,2024-09-07 09:17:50:580,695859,695859,0,0,326545520385,3428848887,688294,6054,1511,368,392075,0 17,2,2024-09-07 09:17:51:669,502885,502885,0,0,21260112,0,2948 17,3,2024-09-07 09:17:50:576,1,397,1,0,518,6683,397,0 18,0,2024-09-07 09:17:50:940,100578,0.6,100843,0.8,201062,0.6,269129,2.25 18,1,2024-09-07 09:17:51:637,698595,698595,0,0,327718355646,3397980276,694722,3495,378,367,391725,0 18,2,2024-09-07 09:17:51:760,503676,503676,0,0,19521359,0,3541 18,3,2024-09-07 09:17:50:897,1,397,0,0,163,3162,397,0 19,0,2024-09-07 09:17:51:539,101029,0.7,101555,0.8,201372,0.7,267861,2.25 19,1,2024-09-07 09:17:50:568,698968,698968,0,0,327888816540,3404690325,693034,5013,921,367,391777,0 19,2,2024-09-07 09:17:51:755,506760,506760,0,0,18501579,0,3988 19,3,2024-09-07 09:17:51:131,1,397,1,0,524,3031,397,0 20,0,2024-09-07 09:17:51:374,97457,0.5,97447,0.6,194723,0.4,259620,2.00 20,1,2024-09-07 09:17:50:579,696148,696148,0,0,327326064882,3424442179,689924,5424,800,369,391886,0 20,2,2024-09-07 09:17:50:946,502191,502191,0,0,22166895,0,3721 20,3,2024-09-07 09:17:50:589,1,397,12,0,414,5964,397,0 21,0,2024-09-07 09:17:51:127,97036,0.5,97011,0.6,193960,0.5,257162,2.00 21,1,2024-09-07 09:17:51:546,694453,694453,0,0,325969859863,3438568257,684249,8069,2135,368,392016,0 21,2,2024-09-07 09:17:51:095,496692,496672,20,0,26743271,0,5617 21,3,2024-09-07 09:17:51:412,1,397,30,0,713,5303,397,0 22,0,2024-09-07 09:17:51:716,99670,0.5,99943,0.7,200186,0.5,265443,2.25 22,1,2024-09-07 09:17:51:023,695820,695820,0,0,326865194650,3437254923,685144,8493,2183,382,391667,0 22,2,2024-09-07 09:17:50:761,499073,499047,26,0,21373641,0,6328 22,3,2024-09-07 09:17:51:066,1,397,1,0,228,3349,397,0 23,0,2024-09-07 09:17:51:371,103272,0.6,102740,0.7,205929,0.5,274253,2.25 23,1,2024-09-07 09:17:51:003,696702,696702,0,0,327196699740,3439871480,683898,8752,4052,365,391690,0 23,2,2024-09-07 09:17:51:092,506286,506286,0,0,20889401,0,3010 23,3,2024-09-07 09:17:51:758,1,397,2,0,720,5500,397,0 24,0,2024-09-07 09:17:50:839,99086,0.4,98859,0.5,198263,0.4,263502,1.75 24,1,2024-09-07 09:17:50:597,695752,695752,0,0,325490900853,3414546014,687962,6206,1584,367,392269,0 24,2,2024-09-07 09:17:51:073,503038,503038,0,0,25315168,0,3607 24,3,2024-09-07 09:17:51:686,1,397,1,0,468,5575,397,0 25,0,2024-09-07 09:17:51:359,99940,0.4,97427,0.6,191054,0.3,260507,1.75 25,1,2024-09-07 09:17:50:581,695427,695427,0,0,327340321010,3447434160,684350,8915,2162,371,391928,0 25,2,2024-09-07 09:17:51:606,497286,497286,0,0,24950268,0,3978 25,3,2024-09-07 09:17:51:010,1,397,22,0,532,4478,397,0 26,0,2024-09-07 09:17:51:720,98493,0.4,96056,0.6,201290,0.4,262434,1.75 26,1,2024-09-07 09:17:51:540,697047,697047,0,0,326722106374,3420851821,687410,8090,1547,380,391748,0 26,2,2024-09-07 09:17:50:861,500998,500998,0,0,24918329,0,2809 26,3,2024-09-07 09:17:51:712,1,397,2,0,796,5074,397,0 27,0,2024-09-07 09:17:51:727,104153,0.4,104351,0.6,207359,0.4,277267,2.00 27,1,2024-09-07 09:17:51:676,698863,698863,0,0,327645955318,3413752069,692060,5911,892,381,391626,0 27,2,2024-09-07 09:17:50:875,501762,501697,65,0,23790217,0,5699 27,3,2024-09-07 09:17:51:015,1,397,1,0,564,4004,397,0 28,0,2024-09-07 09:17:51:390,99435,0.4,98973,0.6,198233,0.3,264786,2.00 28,1,2024-09-07 09:17:50:799,697534,697534,0,0,328013611779,3426131974,690654,5192,1688,383,391698,0 28,2,2024-09-07 09:17:51:777,504798,504798,0,0,21658425,0,2915 28,3,2024-09-07 09:17:51:776,1,397,6,0,502,4543,397,0 29,0,2024-09-07 09:17:51:366,100476,0.3,97939,0.6,192295,0.3,261586,1.75 29,1,2024-09-07 09:17:51:564,699640,699640,0,0,328630527715,3402542123,694841,4066,733,368,391753,0 29,2,2024-09-07 09:17:50:890,498475,498475,0,0,20373750,0,4986 29,3,2024-09-07 09:17:50:964,1,397,0,0,459,4805,397,0 30,0,2024-09-07 09:17:51:512,96507,0.5,93793,0.7,196422,0.4,257028,2.00 30,1,2024-09-07 09:17:50:577,699365,699365,0,0,327847235780,3406482768,693621,4978,766,381,391672,0 30,2,2024-09-07 09:17:51:279,501405,501405,0,0,19698815,0,4192 30,3,2024-09-07 09:17:50:589,1,397,2,0,519,3892,397,0 31,0,2024-09-07 09:17:51:769,102620,0.4,103058,0.6,205692,0.4,274939,2.00 31,1,2024-09-07 09:17:50:568,701585,701585,0,0,329544138869,3380028986,698607,2392,586,356,391712,0 31,2,2024-09-07 09:17:51:283,502169,502169,0,0,21536289,0,3525 31,3,2024-09-07 09:17:51:708,1,397,1,0,220,3507,397,0 32,0,2024-09-07 09:17:51:424,100788,0.3,101412,0.5,201931,0.3,268962,1.75 32,1,2024-09-07 09:17:50:804,698613,698613,0,0,328547228968,3419786365,693510,4423,680,381,391646,0 32,2,2024-09-07 09:17:50:953,506146,506146,0,0,19262220,0,3922 32,3,2024-09-07 09:17:51:015,1,397,1,0,304,3119,397,0 33,0,2024-09-07 09:17:51:496,97832,0.3,97360,0.4,195447,0.2,259857,1.50 33,1,2024-09-07 09:17:50:577,699447,699447,0,0,328506742375,3410301164,693032,5312,1103,369,391730,0 33,2,2024-09-07 09:17:50:760,501944,501909,35,0,21532125,0,7012 33,3,2024-09-07 09:17:50:902,1,397,3,0,329,4017,397,0 34,0,2024-09-07 09:17:50:941,96430,0.3,99204,0.4,189927,0.2,254962,1.75 34,1,2024-09-07 09:17:51:044,700629,700629,0,0,328984972387,3384940319,698896,1722,11,367,391562,0 34,2,2024-09-07 09:17:50:769,500741,500741,0,0,20208827,0,4562 34,3,2024-09-07 09:17:51:694,1,397,1,0,299,3099,397,0 35,0,2024-09-07 09:17:50:885,99572,0.4,99915,0.5,200917,0.3,268033,1.75 35,1,2024-09-07 09:17:51:067,698834,698834,0,0,327397951140,3395112322,694500,3478,856,382,391769,0 35,2,2024-09-07 09:17:51:583,500876,500876,0,0,20836813,0,2653 35,3,2024-09-07 09:17:50:909,1,397,2,0,418,4462,397,0 36,0,2024-09-07 09:17:51:523,103372,0.5,103248,0.7,206391,0.5,274273,2.25 36,1,2024-09-07 09:17:50:583,697287,697287,0,0,327413570597,3422889319,687822,7465,2000,366,391759,0 36,2,2024-09-07 09:17:51:762,505079,505079,0,0,22714177,0,3875 36,3,2024-09-07 09:17:50:890,1,397,15,0,416,5960,397,0 37,0,2024-09-07 09:17:51:382,97243,0.5,97352,0.6,194748,0.4,259398,2.00 37,1,2024-09-07 09:17:50:571,697390,697383,0,7,326139621013,3408440349,689071,6069,2243,365,391570,0 37,2,2024-09-07 09:17:51:147,501722,501707,15,0,22924234,0,5815 37,3,2024-09-07 09:17:51:776,1,397,1,0,888,6206,397,0 38,0,2024-09-07 09:17:51:438,96819,0.5,94001,0.6,196589,0.4,257358,2.00 38,1,2024-09-07 09:17:51:605,698316,698316,0,0,327638759970,3426446803,688201,7956,2159,368,391821,0 38,2,2024-09-07 09:17:50:760,498780,498733,47,0,22017254,0,6710 38,3,2024-09-07 09:17:50:996,1,397,5,0,689,5382,397,0 39,0,2024-09-07 09:17:51:767,101232,0.6,99196,0.7,193044,0.6,263968,2.00 39,1,2024-09-07 09:17:50:717,696520,696520,0,0,326960992412,3430499666,684828,9310,2382,365,391658,0 39,2,2024-09-07 09:17:51:416,500333,500333,0,0,20845721,0,2689 39,3,2024-09-07 09:17:50:720,1,397,1,0,324,4816,397,0 40,0,2024-09-07 09:17:51:556,103378,0.9,104132,1.0,207530,1.0,276723,2.75 40,1,2024-09-07 09:17:50:582,697447,697447,0,0,326705909501,3423678647,687172,8348,1927,368,391668,0 40,2,2024-09-07 09:17:51:312,503939,503938,1,0,24399336,0,5137 40,3,2024-09-07 09:17:51:151,1,397,118,0,1028,5631,397,0 41,0,2024-09-07 09:17:51:023,97916,1.5,100171,1.3,191192,2.3,260210,3.25 41,1,2024-09-07 09:17:50:767,696153,696153,0,0,327023573225,3422506367,687416,7737,1000,369,391742,0 41,2,2024-09-07 09:17:50:760,502558,502558,0,0,23541172,0,4277 41,3,2024-09-07 09:17:51:676,1,397,1,0,366,4094,397,0 42,0,2024-09-07 09:17:51:475,96933,0.9,96934,1.0,194015,1.0,256667,2.75 42,1,2024-09-07 09:17:51:440,695046,695046,0,0,325603390125,3424482608,683678,9441,1927,380,391675,0 42,2,2024-09-07 09:17:51:133,497627,497627,0,0,23501382,0,3790 42,3,2024-09-07 09:17:51:012,1,397,27,0,446,3275,397,0 43,0,2024-09-07 09:17:50:917,96404,0.8,93934,1.0,196766,0.9,258454,2.50 43,1,2024-09-07 09:17:50:579,697276,697276,0,0,328128854025,3431608303,687054,8484,1738,366,391696,0 43,2,2024-09-07 09:17:51:739,500373,500373,0,0,23467987,0,3812 43,3,2024-09-07 09:17:51:749,1,397,1,0,467,4818,397,0 44,0,2024-09-07 09:17:50:862,103881,0.4,104249,0.6,208645,0.4,277171,1.75 44,1,2024-09-07 09:17:50:563,699016,699016,0,0,327827522160,3393286029,692544,5154,1318,356,391809,0 44,2,2024-09-07 09:17:51:266,502443,502443,0,0,19810524,0,4344 44,3,2024-09-07 09:17:51:107,1,397,6,0,817,4876,397,0 45,0,2024-09-07 09:17:51:768,97982,0.5,95715,0.8,200717,0.5,264512,2.00 45,1,2024-09-07 09:17:51:006,698217,698217,0,0,327692198925,3407826065,692568,5076,573,382,391917,0 45,2,2024-09-07 09:17:51:280,505738,505738,0,0,20177122,0,3596 45,3,2024-09-07 09:17:50:935,1,397,3,0,271,3591,397,0 46,0,2024-09-07 09:17:50:963,97009,0.5,96986,0.7,194210,0.5,257569,2.00 46,1,2024-09-07 09:17:50:589,699589,699589,0,0,329087853289,3401173996,695068,3931,590,366,391709,0 46,2,2024-09-07 09:17:50:592,500249,500249,0,0,20001161,0,4443 46,3,2024-09-07 09:17:51:133,1,397,0,0,908,5310,397,0 47,0,2024-09-07 09:17:51:107,96558,0.4,96820,0.6,194077,0.4,256687,2.00 47,1,2024-09-07 09:17:50:569,700246,700246,0,0,327832855843,3389582866,695653,3778,815,366,391641,0 47,2,2024-09-07 09:17:50:914,502989,502989,0,0,19565876,0,4477 47,3,2024-09-07 09:17:51:118,1,397,9,0,600,4869,397,0 48,0,2024-09-07 09:17:51:509,101856,0.3,101706,0.5,202878,0.2,271189,1.75 48,1,2024-09-07 09:17:51:028,698767,698767,0,0,327845399495,3407293687,694220,4091,456,384,391710,0 48,2,2024-09-07 09:17:50:707,501097,501097,0,0,18677065,0,3411 48,3,2024-09-07 09:17:50:757,1,397,1,0,339,3317,397,0 49,0,2024-09-07 09:17:51:754,104346,0.4,102544,0.5,198546,0.3,272153,1.75 49,1,2024-09-07 09:17:51:023,698218,698218,0,0,327173464671,3407538362,693080,3933,1205,382,391809,0 49,2,2024-09-07 09:17:51:806,505473,505473,0,0,20748969,0,4426 49,3,2024-09-07 09:17:51:420,1,397,3,0,992,4946,397,0 50,0,2024-09-07 09:17:51:508,97994,0.3,96461,0.4,194685,0.2,259280,1.75 50,1,2024-09-07 09:17:51:011,700430,700430,0,0,329203661467,3406413608,695580,4276,574,368,391565,0 50,2,2024-09-07 09:17:51:067,502439,502439,0,0,18548753,0,2263 50,3,2024-09-07 09:17:51:291,1,397,1,0,567,4129,397,0 51,0,2024-09-07 09:17:51:685,99536,0.3,97315,0.5,189817,0.2,258193,1.75 51,1,2024-09-07 09:17:51:692,700488,700488,0,0,329584873495,3399914921,696952,2558,978,365,391706,0 51,2,2024-09-07 09:17:51:320,500028,500028,0,0,17774511,0,3337 51,3,2024-09-07 09:17:51:032,1,397,18,0,678,3360,397,0 52,0,2024-09-07 09:17:51:435,100033,0.5,99954,0.7,200243,0.5,266241,2.00 52,1,2024-09-07 09:17:50:575,697350,697350,0,0,326790814460,3421023734,687691,8345,1314,368,391722,0 52,2,2024-09-07 09:17:51:759,497335,497297,38,0,23863594,0,6742 52,3,2024-09-07 09:17:50:674,1,397,1,0,1782,5732,397,0 53,0,2024-09-07 09:17:51:744,102819,0.7,99896,0.8,209207,0.8,274161,2.50 53,1,2024-09-07 09:17:50:771,696059,696059,0,0,326963426515,3433717539,684356,8745,2958,367,391702,0 53,2,2024-09-07 09:17:51:298,505186,505185,1,0,21684108,0,5455 53,3,2024-09-07 09:17:50:698,1,397,1,0,308,3696,397,0 54,0,2024-09-07 09:17:51:619,97067,0.6,97377,0.8,194113,0.4,259395,2.25 54,1,2024-09-07 09:17:50:580,697355,697355,0,0,327723833428,3409598216,690102,6014,1239,366,391659,0 54,2,2024-09-07 09:17:50:865,504382,504350,32,0,24717986,0,6397 54,3,2024-09-07 09:17:50:769,1,397,1,0,676,6061,397,0 55,0,2024-09-07 09:17:51:771,94140,0.6,97302,0.7,196634,0.5,256455,2.25 55,1,2024-09-07 09:17:50:764,698446,698446,0,0,327425375977,3404902370,691112,6250,1084,365,391731,0 55,2,2024-09-07 09:17:50:729,497876,497820,56,0,22823857,0,7239 55,3,2024-09-07 09:17:50:674,1,397,1,0,304,4254,397,0 56,0,2024-09-07 09:17:51:558,100574,1.2,94837,1.1,195272,1.7,261939,2.75 56,1,2024-09-07 09:17:50:578,693718,693718,0,0,326475753373,3450128872,682598,8772,2348,381,391867,0 56,2,2024-09-07 09:17:51:308,500355,500355,0,0,22962408,0,3567 56,3,2024-09-07 09:17:51:060,1,397,1,0,705,4980,397,0 57,0,2024-09-07 09:17:50:959,102688,1.4,102501,1.2,205601,2.0,274753,3.00 57,1,2024-09-07 09:17:50:985,696516,696516,0,0,326548324991,3424397163,688157,7286,1073,366,392032,0 57,2,2024-09-07 09:17:51:325,504089,504089,0,0,25197719,0,3317 57,3,2024-09-07 09:17:51:746,1,397,102,0,359,4386,397,0 58,0,2024-09-07 09:17:50:559,97610,0.9,94776,1.0,198429,1.0,260229,2.50 58,1,2024-09-07 09:17:50:579,696884,696881,0,3,327296724228,3424612891,687140,8453,1288,367,391603,3 58,2,2024-09-07 09:17:51:075,503842,503842,0,0,23436395,0,2902 58,3,2024-09-07 09:17:51:073,1,397,8,0,1043,4297,397,0 59,0,2024-09-07 09:17:51:744,97618,0.7,97298,0.9,194144,0.8,258080,2.50 59,1,2024-09-07 09:17:50:804,696450,696450,0,0,327384351131,3430527556,686879,7867,1704,369,391653,0 59,2,2024-09-07 09:17:50:582,499012,499012,0,0,22534706,0,2867 59,3,2024-09-07 09:17:51:736,1,397,1,0,1015,5245,397,0 60,0,2024-09-07 09:17:51:725,96796,0.5,96702,0.7,194105,0.4,258036,1.75 60,1,2024-09-07 09:17:50:774,698940,698940,0,0,328392430128,3408854898,694385,3906,649,370,392031,0 60,2,2024-09-07 09:17:51:141,501216,501216,0,0,21772266,0,3811 60,3,2024-09-07 09:17:51:261,1,397,1,0,409,4807,397,0 61,0,2024-09-07 09:17:51:515,102547,0.6,103228,0.8,205499,0.6,274379,2.00 61,1,2024-09-07 09:17:50:770,697096,697096,0,0,326768355609,3425198200,689210,6489,1397,382,392127,0 61,2,2024-09-07 09:17:51:116,501940,501873,67,0,22186235,0,6411 61,3,2024-09-07 09:17:51:687,1,397,12,0,479,5610,397,0 62,0,2024-09-07 09:17:51:708,101363,0.6,103674,0.7,197894,0.6,268688,2.00 62,1,2024-09-07 09:17:51:119,701661,701655,0,6,328803193042,3392741671,697848,3546,261,365,391975,6 62,2,2024-09-07 09:17:51:649,503662,503661,1,0,22243624,0,5555 62,3,2024-09-07 09:17:51:147,1,397,2,0,482,3316,397,0 63,0,2024-09-07 09:17:51:456,97924,0.4,97823,0.6,196154,0.3,260255,1.75 63,1,2024-09-07 09:17:50:804,698949,698943,0,6,328427046113,3412101134,694041,4170,732,381,391800,6 63,2,2024-09-07 09:17:50:767,501335,501335,0,0,20154174,0,4369 63,3,2024-09-07 09:17:51:736,1,397,1,0,667,4166,397,0 64,0,2024-09-07 09:17:51:518,95785,0.5,95758,0.7,191317,0.5,254062,2.00 64,1,2024-09-07 09:17:50:754,698210,698210,0,0,326993449329,3410025715,691679,4828,1703,370,391783,0 64,2,2024-09-07 09:17:51:150,503807,503788,19,0,19825872,0,6121 64,3,2024-09-07 09:17:51:140,1,397,8,0,265,3721,397,0 65,0,2024-09-07 09:17:51:714,99186,0.7,99715,0.8,198680,0.8,265682,2.25 65,1,2024-09-07 09:17:50:859,696755,696755,0,0,326997375061,3420233442,691667,4548,540,382,391901,0 65,2,2024-09-07 09:17:51:703,501639,501639,0,0,23172947,0,3367 65,3,2024-09-07 09:17:51:684,1,397,1,0,782,4771,397,0 66,0,2024-09-07 09:17:51:766,102634,0.6,102633,0.8,205028,0.5,272734,2.25 66,1,2024-09-07 09:17:51:293,698371,698371,0,0,327040619327,3408424131,693350,4604,417,380,391743,0 66,2,2024-09-07 09:17:51:132,506383,506383,0,0,20296007,0,4956 66,3,2024-09-07 09:17:51:088,1,397,0,0,291,3565,397,0 67,0,2024-09-07 09:17:51:422,97890,0.5,97575,0.7,195738,0.4,260168,2.00 67,1,2024-09-07 09:17:50:771,698586,698585,0,1,327215573391,3409407831,693738,4058,789,380,391787,1 67,2,2024-09-07 09:17:50:593,505529,505529,0,0,19475580,0,3622 67,3,2024-09-07 09:17:51:759,1,397,10,0,392,4003,397,0 68,0,2024-09-07 09:17:50:585,97570,0.6,97355,0.7,194205,0.5,259384,2.00 68,1,2024-09-07 09:17:50:575,695561,695561,0,0,326767417593,3436769789,686654,6158,2749,381,391953,0 68,2,2024-09-07 09:17:51:044,497141,497041,100,0,25901128,0,8578 68,3,2024-09-07 09:17:50:750,1,397,5,0,417,4285,397,0 69,0,2024-09-07 09:17:51:747,98734,0.8,99267,0.9,197486,1.0,262624,2.25 69,1,2024-09-07 09:17:51:016,695205,695205,0,0,326196489729,3441489974,685797,7170,2238,384,391994,0 69,2,2024-09-07 09:17:51:733,499455,499426,29,0,27254066,0,6912 69,3,2024-09-07 09:17:50:764,1,397,0,0,698,5732,397,0 70,0,2024-09-07 09:17:51:532,103464,1.3,103641,1.1,208370,1.1,276139,2.75 70,1,2024-09-07 09:17:50:805,698200,698200,0,0,327495864354,3401782595,692268,5271,661,366,391725,0 70,2,2024-09-07 09:17:51:329,504272,504272,0,0,23153756,0,4044 70,3,2024-09-07 09:17:50:744,1,397,0,0,854,4717,397,0 71,0,2024-09-07 09:17:51:356,97643,0.9,97288,1.0,195348,1.0,261714,2.75 71,1,2024-09-07 09:17:51:595,697640,697640,0,0,327461534849,3419047426,689388,7452,800,368,391738,0 71,2,2024-09-07 09:17:51:073,503953,503953,0,0,23492992,0,4352 71,3,2024-09-07 09:17:51:749,1,397,1,0,644,5213,397,0 72,0,2024-09-07 09:17:51:149,100763,0.5,98514,0.7,192224,0.5,261063,2.00 72,1,2024-09-07 09:17:51:034,696458,696458,0,0,326452787610,3426361019,686134,8357,1967,369,391819,0 72,2,2024-09-07 09:17:51:759,497774,497774,0,0,24901624,0,3983 72,3,2024-09-07 09:17:51:756,1,397,14,0,564,5956,397,0 73,0,2024-09-07 09:17:51:141,95186,0.4,97519,0.6,199681,0.4,259735,2.00 73,1,2024-09-07 09:17:50:780,697943,697943,0,0,327193591217,3399874912,693061,4517,365,367,391858,0 73,2,2024-09-07 09:17:51:738,500308,500308,0,0,24472703,0,3701 73,3,2024-09-07 09:17:50:981,1,397,3,0,486,5546,397,0 74,0,2024-09-07 09:17:51:325,104785,0.5,106998,0.6,204095,0.4,277401,2.00 74,1,2024-09-07 09:17:50:644,697407,697407,0,0,326555226549,3410279694,690121,5884,1402,381,391762,0 74,2,2024-09-07 09:17:51:003,502573,502573,0,0,22793959,0,4253 74,3,2024-09-07 09:17:51:444,1,397,0,0,522,5445,397,0 75,0,2024-09-07 09:17:51:767,99594,0.5,98981,0.7,198827,0.5,265669,2.25 75,1,2024-09-07 09:17:51:585,697261,697261,0,0,326889222663,3412423764,690460,5975,826,380,391739,0 75,2,2024-09-07 09:17:51:361,503623,503623,0,0,24633118,0,4766 75,3,2024-09-07 09:17:51:087,1,397,12,0,702,5216,397,0 76,0,2024-09-07 09:17:50:589,97070,0.6,96383,0.7,193735,0.5,258429,2.25 76,1,2024-09-07 09:17:50:805,697426,697426,0,0,326627465462,3409863791,692253,4386,787,382,391692,0 76,2,2024-09-07 09:17:51:061,501817,501816,1,0,21992899,0,5144 76,3,2024-09-07 09:17:51:148,1,397,11,0,175,3751,397,0 77,0,2024-09-07 09:17:51:722,95959,0.6,96306,0.8,192462,0.6,255562,2.00 77,1,2024-09-07 09:17:50:824,698008,698008,0,0,327534469223,3420505383,692312,5197,499,381,391869,0 77,2,2024-09-07 09:17:51:283,500815,500815,0,0,21598789,0,3890 77,3,2024-09-07 09:17:51:139,1,397,12,0,401,4627,397,0 78,0,2024-09-07 09:17:51:715,101781,0.5,101203,0.7,203221,0.4,269829,2.00 78,1,2024-09-07 09:17:50:610,698339,698339,0,0,326897113718,3407378197,690816,5957,1566,367,391670,0 78,2,2024-09-07 09:17:51:412,501804,501791,13,0,20429916,0,8313 78,3,2024-09-07 09:17:51:133,1,397,28,0,181,3628,397,0 79,0,2024-09-07 09:17:51:361,97984,0.4,100345,0.6,205629,0.4,266897,2.25 79,1,2024-09-07 09:17:50:578,699737,699737,0,0,327849471317,3399063849,693840,4748,1149,367,391682,0 79,2,2024-09-07 09:17:51:076,506010,506010,0,0,20118879,0,4195 79,3,2024-09-07 09:17:50:749,1,397,1,0,418,5092,397,0 80,0,2024-09-07 09:17:51:085,97396,0.5,100324,0.7,191927,0.5,258756,2.00 80,1,2024-09-07 09:17:51:625,697382,697382,0,0,327779847535,3415776862,692303,4755,324,368,392269,0 80,2,2024-09-07 09:17:51:090,504264,504264,0,0,20002347,0,4433 80,3,2024-09-07 09:17:50:575,1,397,8,0,190,4734,397,0 81,0,2024-09-07 09:17:51:565,96971,0.5,99338,0.7,189563,0.5,257065,2.00 81,1,2024-09-07 09:17:51:650,696944,696944,0,0,325865772638,3408193659,691187,5251,506,382,391879,0 81,2,2024-09-07 09:17:51:125,498601,498538,63,0,21865934,0,5932 81,3,2024-09-07 09:17:51:119,1,397,8,0,719,5012,397,0 82,0,2024-09-07 09:17:51:535,99434,0.5,99814,0.8,200094,0.5,265674,2.00 82,1,2024-09-07 09:17:50:582,698846,698842,0,4,327304991441,3408369652,694150,3875,817,381,391768,4 82,2,2024-09-07 09:17:51:694,501578,501578,0,0,18837285,0,3986 82,3,2024-09-07 09:17:51:758,1,397,1,0,363,4497,397,0 83,0,2024-09-07 09:17:51:548,103664,0.7,103597,0.8,206313,0.8,274415,2.25 83,1,2024-09-07 09:17:50:562,696688,696688,0,0,327530580418,3418389631,691249,4989,450,382,391709,0 83,2,2024-09-07 09:17:50:776,505450,505450,0,0,19561072,0,3393 83,3,2024-09-07 09:17:50:749,1,397,3,0,1260,5383,397,0 84,0,2024-09-07 09:17:51:767,97926,0.7,97946,0.8,195338,0.6,261801,2.25 84,1,2024-09-07 09:17:51:041,696560,696560,0,0,326667391775,3416689998,688905,6616,1039,367,391967,0 84,2,2024-09-07 09:17:50:578,503081,503051,30,0,26660470,0,5971 84,3,2024-09-07 09:17:51:148,1,397,7,0,908,5947,397,0 85,0,2024-09-07 09:17:51:005,93805,0.6,93811,0.8,199205,0.6,257503,2.25 85,1,2024-09-07 09:17:50:567,695064,695064,0,0,326239569947,3444978729,684591,8610,1863,381,392006,0 85,2,2024-09-07 09:17:50:866,497775,497775,0,0,24191201,0,3656 85,3,2024-09-07 09:17:50:687,1,397,3,0,789,5099,397,0 86,0,2024-09-07 09:17:50:899,98255,0.7,101118,0.8,193467,0.7,261909,2.25 86,1,2024-09-07 09:17:50:842,696825,696825,0,0,327575467003,3432590297,688472,6916,1437,366,391961,0 86,2,2024-09-07 09:17:50:859,498423,498422,1,0,26557448,0,5004 86,3,2024-09-07 09:17:50:585,1,397,56,0,308,5956,397,0 87,0,2024-09-07 09:17:51:354,103782,0.9,103673,0.9,207728,1.1,277319,2.25 87,1,2024-09-07 09:17:50:580,695402,695402,0,0,326570299986,3427546587,685543,8269,1590,366,392076,0 87,2,2024-09-07 09:17:51:075,502516,502510,6,0,24055277,0,6323 87,3,2024-09-07 09:17:51:799,1,397,25,0,473,6052,397,0 88,0,2024-09-07 09:17:51:447,98880,0.4,99723,0.6,198470,0.4,264512,1.75 88,1,2024-09-07 09:17:50:570,694875,694875,0,0,327007356084,3425971244,685901,7042,1932,365,392084,0 88,2,2024-09-07 09:17:50:704,504695,504695,0,0,25479996,0,4465 88,3,2024-09-07 09:17:51:266,1,397,23,0,435,4176,397,0 89,0,2024-09-07 09:17:51:788,100709,0.4,97542,0.6,192885,0.4,261744,1.75 89,1,2024-09-07 09:17:50:552,695403,695403,0,0,326744497499,3436220288,686593,7387,1423,382,391866,0 89,2,2024-09-07 09:17:51:135,497846,497846,0,0,23977223,0,3173 89,3,2024-09-07 09:17:51:794,1,397,15,0,468,7374,397,0 90,0,2024-09-07 09:17:51:628,94033,0.5,96494,0.6,196894,0.4,257048,2.00 90,1,2024-09-07 09:17:50:590,696627,696627,0,0,326597187110,3421382663,690031,6087,509,380,391825,0 90,2,2024-09-07 09:17:51:416,498883,498883,0,0,25390237,0,3060 90,3,2024-09-07 09:17:50:932,1,397,31,0,322,5022,397,0 91,0,2024-09-07 09:17:50:947,103378,0.5,100150,0.6,209289,0.4,274895,1.75 91,1,2024-09-07 09:17:50:564,695535,695535,0,0,326951191037,3439867705,686507,7677,1351,381,392047,0 91,2,2024-09-07 09:17:51:342,502659,502659,0,0,22560859,0,2896 91,3,2024-09-07 09:17:50:599,1,397,3,0,216,4063,397,0 92,0,2024-09-07 09:17:51:441,101533,0.5,104068,0.6,198885,0.5,269032,1.75 92,1,2024-09-07 09:17:50:582,697085,697085,0,0,327365064065,3420389105,691635,4727,723,382,392136,0 92,2,2024-09-07 09:17:51:350,506011,506011,0,0,20426142,0,3259 92,3,2024-09-07 09:17:51:027,1,397,10,0,167,3591,397,0 93,0,2024-09-07 09:17:50:960,98358,0.4,100801,0.5,192343,0.3,260365,1.75 93,1,2024-09-07 09:17:50:807,696242,696242,0,0,327184761957,3418911568,688450,6446,1346,366,391776,0 93,2,2024-09-07 09:17:50:936,500785,500785,0,0,24426151,0,4845 93,3,2024-09-07 09:17:51:412,1,397,1,0,190,3628,397,0 94,0,2024-09-07 09:17:51:650,95888,0.4,96667,0.5,193180,0.3,255742,1.75 94,1,2024-09-07 09:17:50:563,697573,697573,0,0,327318717721,3414363298,693183,4196,194,381,391850,0 94,2,2024-09-07 09:17:50:769,500056,500056,0,0,20535599,0,2443 94,3,2024-09-07 09:17:51:695,1,397,37,0,576,5391,397,0 95,0,2024-09-07 09:17:51:367,100005,0.4,99993,0.5,200630,0.3,267924,1.75 95,1,2024-09-07 09:17:50:851,698925,698925,0,0,327608394088,3406771822,693384,5130,411,367,391786,0 95,2,2024-09-07 09:17:51:026,500862,500862,0,0,20212072,0,3308 95,3,2024-09-07 09:17:51:714,1,397,1,0,718,6013,397,0 96,0,2024-09-07 09:17:51:032,103183,0.4,103490,0.5,206640,0.4,273875,1.75 96,1,2024-09-07 09:17:51:583,696848,696848,0,0,327357912257,3418527773,691344,4626,878,384,391955,0 96,2,2024-09-07 09:17:51:275,505595,505595,0,0,21142938,0,4180 96,3,2024-09-07 09:17:51:140,1,397,12,0,411,4526,397,0 97,0,2024-09-07 09:17:51:324,97893,0.3,97579,0.5,195799,0.3,260002,1.50 97,1,2024-09-07 09:17:50:768,698420,698420,0,0,329005997553,3415566713,693316,4175,929,367,392140,0 97,2,2024-09-07 09:17:50:607,504154,504154,0,0,20642357,0,3679 97,3,2024-09-07 09:17:50:570,1,397,5,0,242,4612,397,0 98,0,2024-09-07 09:17:51:696,97138,0.3,97166,0.4,195128,0.2,259278,1.50 98,1,2024-09-07 09:17:50:570,697333,697333,0,0,327194038030,3411772065,692599,3954,780,382,391997,0 98,2,2024-09-07 09:17:50:769,499570,499570,0,0,20302825,0,3243 98,3,2024-09-07 09:17:50:698,1,397,1,0,840,6526,397,0 99,0,2024-09-07 09:17:51:574,99218,0.3,99856,0.5,198474,0.3,265169,1.75 99,1,2024-09-07 09:17:51:723,698040,698040,0,0,327378277411,3410191442,693196,4011,833,381,392069,0 99,2,2024-09-07 09:17:51:461,501219,501219,0,0,23584202,0,3424 99,3,2024-09-07 09:17:50:584,1,397,7,0,606,4489,397,0 100,0,2024-09-07 09:17:51:484,104356,0.9,104492,1.0,208303,1.1,278726,2.50 100,1,2024-09-07 09:17:50:567,694637,694637,0,0,325571068931,3442621018,684030,8564,2043,381,391989,0 100,2,2024-09-07 09:17:51:817,502813,502802,11,0,23984846,0,5417 100,3,2024-09-07 09:17:51:737,1,397,4,0,559,6677,397,0 101,0,2024-09-07 09:17:51:701,100595,1.0,97890,1.0,192318,0.9,263580,2.25 101,1,2024-09-07 09:17:50:577,694527,694527,0,0,326108360852,3435233170,683875,8532,2120,368,391847,0 101,2,2024-09-07 09:17:51:759,500933,500933,0,0,28787226,0,4871 101,3,2024-09-07 09:17:50:959,1,397,14,0,579,5763,397,0 102,0,2024-09-07 09:17:50:990,95037,0.6,98097,0.8,198708,0.6,259967,2.00 102,1,2024-09-07 09:17:51:182,695005,695005,0,0,326269471634,3432543430,685242,8003,1760,369,391891,0 102,2,2024-09-07 09:17:51:740,498784,498730,54,0,22607607,0,6768 102,3,2024-09-07 09:17:51:627,1,397,32,0,410,4022,397,0 103,0,2024-09-07 09:17:51:668,100779,0.6,100809,0.7,189906,0.6,261657,2.00 103,1,2024-09-07 09:17:51:639,693945,693945,0,0,326639464854,3452604980,682121,8760,3064,381,391829,0 103,2,2024-09-07 09:17:50:625,498879,498879,0,0,24868107,0,3766 103,3,2024-09-07 09:17:50:767,1,397,0,0,916,4470,397,0 104,0,2024-09-07 09:17:51:017,103206,0.7,103606,0.9,205784,0.7,276617,2.25 104,1,2024-09-07 09:17:51:604,696086,696086,0,0,326211466800,3429247058,685778,8505,1803,365,392168,0 104,2,2024-09-07 09:17:51:667,502001,502001,0,0,24539635,0,3941 104,3,2024-09-07 09:17:51:426,1,397,1,0,1245,8132,397,0 105,0,2024-09-07 09:17:51:051,98053,0.9,95480,1.1,200056,1.0,263595,2.75 105,1,2024-09-07 09:17:50:555,696824,696824,0,0,327248772388,3429805665,688000,7476,1348,366,392009,0 105,2,2024-09-07 09:17:51:322,504276,504276,0,0,24355611,0,3509 105,3,2024-09-07 09:17:51:304,1,397,22,0,399,6157,397,0 106,0,2024-09-07 09:17:50:961,94128,0.7,96486,0.9,197537,0.8,258258,2.50 106,1,2024-09-07 09:17:51:758,695621,695621,0,0,326412899328,3431652349,684710,9467,1444,369,391914,0 106,2,2024-09-07 09:17:50:763,498785,498785,0,0,23019211,0,2795 106,3,2024-09-07 09:17:50:677,1,397,3,0,470,5112,397,0 107,0,2024-09-07 09:17:51:124,96074,1.0,96304,0.9,192208,1.3,256609,2.25 107,1,2024-09-07 09:17:50:585,695034,695034,0,0,325871349242,3435747345,685400,8603,1031,381,392234,0 107,2,2024-09-07 09:17:51:304,499216,499215,1,0,24249368,0,5024 107,3,2024-09-07 09:17:51:759,1,397,370,0,370,6245,397,0 108,0,2024-09-07 09:17:51:915,101185,0.5,101953,0.6,202503,0.5,270965,1.75 108,1,2024-09-07 09:17:51:305,697400,697400,0,0,327331893792,3415179957,691468,5193,739,368,391857,0 108,2,2024-09-07 09:17:51:766,500421,500421,0,0,23011790,0,4246 108,3,2024-09-07 09:17:51:341,1,397,4,0,749,7608,397,0 109,0,2024-09-07 09:17:51:778,102335,0.4,101422,0.6,202831,0.4,271181,1.75 109,1,2024-09-07 09:17:50:583,695107,695107,0,0,326942299838,3432112319,688433,5560,1114,382,392132,0 109,2,2024-09-07 09:17:50:930,502852,502852,0,0,22693691,0,3617 109,3,2024-09-07 09:17:51:147,1,397,1,0,379,4666,397,0 110,0,2024-09-07 09:17:51:793,97309,0.4,94779,0.6,198731,0.3,259607,1.75 110,1,2024-09-07 09:17:51:643,698351,698351,0,0,327016694461,3397535832,693025,4150,1176,369,392045,0 110,2,2024-09-07 09:17:51:303,502941,502941,0,0,21655381,0,4067 110,3,2024-09-07 09:17:50:690,1,397,2,0,722,5789,397,0 111,0,2024-09-07 09:17:51:432,97435,0.4,96558,0.5,193800,0.3,258433,1.75 111,1,2024-09-07 09:17:51:004,699006,699006,0,0,328083142837,3403352637,695402,3250,354,380,391690,0 111,2,2024-09-07 09:17:51:117,499044,499044,0,0,21056724,0,4823 111,3,2024-09-07 09:17:50:913,1,397,1,0,379,4602,397,0 112,0,2024-09-07 09:17:50:917,100574,0.3,100104,0.4,200357,0.2,266676,1.50 112,1,2024-09-07 09:17:50:825,698758,698758,0,0,327403923225,3399445543,694328,3818,612,380,391624,0 112,2,2024-09-07 09:17:51:133,500678,500677,1,0,20203899,0,5036 112,3,2024-09-07 09:17:50:592,1,397,14,0,282,4011,397,0 113,0,2024-09-07 09:17:50:883,103658,0.3,103817,0.5,207783,0.3,276530,1.75 113,1,2024-09-07 09:17:51:686,701298,701298,0,0,328658858709,3393524069,697423,3287,588,366,391661,0 113,2,2024-09-07 09:17:51:303,506553,506553,0,0,18953617,0,3813 113,3,2024-09-07 09:17:50:689,1,397,0,0,340,4645,397,0 114,0,2024-09-07 09:17:50:896,98884,0.3,99472,0.5,197821,0.2,264411,1.75 114,1,2024-09-07 09:17:50:716,698104,698104,0,0,327064123444,3401521551,691862,4626,1616,381,391565,0 114,2,2024-09-07 09:17:50:873,504788,504787,1,0,20089757,0,5069 114,3,2024-09-07 09:17:51:283,1,397,2,0,395,3442,397,0 115,0,2024-09-07 09:17:50:559,97502,0.3,97983,0.4,195606,0.2,260187,1.50 115,1,2024-09-07 09:17:50:578,698213,698213,0,0,328080152919,3411121526,692361,4921,931,382,391757,0 115,2,2024-09-07 09:17:51:127,500572,500572,0,0,18930811,0,3848 115,3,2024-09-07 09:17:51:002,1,397,1,0,159,2320,397,0 116,0,2024-09-07 09:17:51:696,98087,0.8,98034,0.9,196656,0.9,263190,2.25 116,1,2024-09-07 09:17:50:826,694939,694939,0,0,326024780596,3437384111,686490,6127,2322,380,392089,0 116,2,2024-09-07 09:17:51:758,499631,499631,0,0,24987676,0,4128 116,3,2024-09-07 09:17:50:912,1,397,0,0,415,4779,397,0 117,0,2024-09-07 09:17:51:036,104229,0.7,103674,0.8,207923,0.8,277703,2.00 117,1,2024-09-07 09:17:51:589,696033,696033,0,0,327066740477,3425568525,688342,6781,910,369,392033,0 117,2,2024-09-07 09:17:51:123,506297,506297,0,0,21630673,0,4303 117,3,2024-09-07 09:17:51:061,1,397,4,0,490,6080,397,0 118,0,2024-09-07 09:17:51:777,96276,0.5,98626,0.7,201524,0.5,263484,2.00 118,1,2024-09-07 09:17:50:593,695837,695837,0,0,327059105317,3446092345,683995,8844,2998,366,391907,0 118,2,2024-09-07 09:17:51:603,503769,503769,0,0,23647240,0,2842 118,3,2024-09-07 09:17:51:770,1,397,31,0,248,4681,397,0 119,0,2024-09-07 09:17:51:437,97490,0.6,97986,0.7,195912,0.5,260164,2.00 119,1,2024-09-07 09:17:50:549,696032,696032,0,0,327370027242,3428067195,687874,7103,1055,367,391780,0 119,2,2024-09-07 09:17:51:261,499325,499325,0,0,21844191,0,4174 119,3,2024-09-07 09:17:51:326,1,397,1,0,1358,8359,397,0 120,0,2024-09-07 09:17:51:540,96223,0.6,96128,0.8,192461,0.6,257594,2.25 120,1,2024-09-07 09:17:50:860,696643,696643,0,0,327152779300,3434054282,689031,6931,681,368,391961,0 120,2,2024-09-07 09:17:50:785,500430,500429,1,0,24963988,0,5281 120,3,2024-09-07 09:17:51:290,1,397,7,0,279,5043,397,0 121,0,2024-09-07 09:17:51:699,102772,1.2,102597,1.0,205831,1.6,274188,2.25 121,1,2024-09-07 09:17:51:660,697139,697139,0,0,326968037208,3420394296,690498,6185,456,367,391840,0 121,2,2024-09-07 09:17:51:125,501331,501331,0,0,24177455,0,4127 121,3,2024-09-07 09:17:50:742,1,397,3,0,269,4560,397,0 122,0,2024-09-07 09:17:51:791,100186,0.8,97835,0.9,205235,0.9,268805,2.00 122,1,2024-09-07 09:17:50:897,695385,695385,0,0,326745763072,3430946666,685387,8399,1599,366,392130,0 122,2,2024-09-07 09:17:51:328,504860,504787,73,0,27033338,0,5989 122,3,2024-09-07 09:17:50:594,1,397,1,0,512,7607,397,0 123,0,2024-09-07 09:17:50:950,97229,0.6,95028,0.7,198624,0.6,259756,2.00 123,1,2024-09-07 09:17:50:560,695297,695297,0,0,326519978598,3442719630,682403,10534,2360,369,392039,0 123,2,2024-09-07 09:17:51:021,499313,499312,1,0,23041465,0,5215 123,3,2024-09-07 09:17:51:131,1,397,3,0,168,4422,397,0 124,0,2024-09-07 09:17:50:923,99174,0.3,99144,0.5,186982,0.3,256553,1.75 124,1,2024-09-07 09:17:51:026,698425,698425,0,0,327312653584,3404763633,693227,4149,1049,367,392178,0 124,2,2024-09-07 09:17:51:011,501227,501174,53,0,20903313,0,6487 124,3,2024-09-07 09:17:50:761,1,397,8,0,490,3949,397,0 125,0,2024-09-07 09:17:51:441,100373,0.4,100050,0.6,200965,0.4,268230,1.75 125,1,2024-09-07 09:17:50:859,696904,696904,0,0,326832701512,3413938381,691285,4953,666,382,391702,0 125,2,2024-09-07 09:17:51:129,501734,501734,0,0,21486529,0,4534 125,3,2024-09-07 09:17:51:126,1,397,1,0,709,5117,397,0 126,0,2024-09-07 09:17:51:422,103074,0.5,106164,0.6,203110,0.4,274524,1.75 126,1,2024-09-07 09:17:50:566,698962,698962,0,0,328380373529,3402610346,695011,3660,291,365,391987,0 126,2,2024-09-07 09:17:50:627,505725,505725,0,0,22294531,0,4539 126,3,2024-09-07 09:17:50:913,1,397,2,0,268,5151,397,0 127,0,2024-09-07 09:17:51:601,97779,0.3,98236,0.5,195964,0.3,260045,1.75 127,1,2024-09-07 09:17:50:571,698204,698204,0,0,327484331400,3407923871,691316,5781,1107,364,392187,0 127,2,2024-09-07 09:17:50:645,502785,502785,0,0,20225391,0,3897 127,3,2024-09-07 09:17:51:268,1,397,7,0,968,4472,397,0 128,0,2024-09-07 09:17:51:526,97751,0.3,98008,0.4,195460,0.2,259644,1.50 128,1,2024-09-07 09:17:51:606,697757,697757,0,0,327577740042,3403440177,692882,4443,432,367,391838,0 128,2,2024-09-07 09:17:51:383,499911,499911,0,0,19261095,0,2915 128,3,2024-09-07 09:17:50:769,1,397,9,0,1082,6418,397,0 129,0,2024-09-07 09:17:50:992,99881,0.3,99446,0.5,199275,0.3,265319,1.75 129,1,2024-09-07 09:17:50:591,695284,695284,0,0,326566559069,3425448158,687927,5690,1667,379,391835,0 129,2,2024-09-07 09:17:50:686,501042,501042,0,0,20911360,0,4031 129,3,2024-09-07 09:17:50:688,1,397,5,0,506,5364,397,0 130,0,2024-09-07 09:17:51:722,105289,0.6,104706,0.6,210990,0.6,280144,1.75 130,1,2024-09-07 09:17:50:595,698396,698396,0,0,326912799142,3400038869,694465,3593,338,381,391825,0 130,2,2024-09-07 09:17:51:125,506088,506088,0,0,21468468,0,4067 130,3,2024-09-07 09:17:51:292,1,397,3,0,535,5667,397,0 131,0,2024-09-07 09:17:51:943,98436,0.4,98839,0.5,198783,0.3,263568,1.75 131,1,2024-09-07 09:17:51:820,697642,697642,0,0,327541532314,3424738506,691696,4898,1048,381,391865,0 131,2,2024-09-07 09:17:50:571,506699,506699,0,0,19340925,0,2698 131,3,2024-09-07 09:17:51:696,1,397,2,0,392,4192,397,0 132,0,2024-09-07 09:17:51:422,97601,0.4,98579,0.6,196040,0.4,260661,1.75 132,1,2024-09-07 09:17:50:589,694554,694554,0,0,325647967095,3434493551,683470,8981,2103,381,392097,0 132,2,2024-09-07 09:17:50:698,498365,498348,17,0,25380945,0,6451 132,3,2024-09-07 09:17:51:690,1,397,4,0,804,7262,397,0 133,0,2024-09-07 09:17:51:556,95189,0.4,97337,0.6,199690,0.4,260246,2.00 133,1,2024-09-07 09:17:50:594,694509,694509,0,0,326251966758,3442501779,684246,8750,1513,383,391914,0 133,2,2024-09-07 09:17:51:122,499219,499169,50,0,26955659,0,6861 133,3,2024-09-07 09:17:51:297,1,397,2,0,479,4000,397,0 134,0,2024-09-07 09:17:50:951,104300,0.5,103918,0.7,208176,0.5,277970,2.00 134,1,2024-09-07 09:17:50:591,695555,695555,0,0,325738841696,3421502021,685787,7735,2033,366,391718,0 134,2,2024-09-07 09:17:51:762,501728,501704,24,0,24551107,0,6207 134,3,2024-09-07 09:17:50:749,1,397,3,0,739,5198,397,0 135,0,2024-09-07 09:17:51:180,96263,0.8,96216,0.9,204128,1.0,263213,2.25 135,1,2024-09-07 09:17:51:601,695651,695651,0,0,326980298720,3443692368,685795,8486,1370,380,391805,0 135,2,2024-09-07 09:17:50:696,505263,505263,0,0,24029362,0,3981 135,3,2024-09-07 09:17:51:002,1,397,8,0,299,3433,397,0 136,0,2024-09-07 09:17:51:634,97958,0.5,98083,0.7,195210,0.5,260289,2.00 136,1,2024-09-07 09:17:51:448,696076,696076,0,0,326622625957,3427513742,687944,7205,927,382,391685,0 136,2,2024-09-07 09:17:51:133,501372,501372,0,0,22651681,0,3506 136,3,2024-09-07 09:17:51:112,1,397,1,0,637,4657,397,0 137,0,2024-09-07 09:17:50:950,99231,0.6,96573,0.7,189801,0.6,257690,2.00 137,1,2024-09-07 09:17:50:590,695372,695372,0,0,326434036893,3428254764,684249,8776,2347,366,391898,0 137,2,2024-09-07 09:17:51:707,499326,499326,0,0,25503402,0,3185 137,3,2024-09-07 09:17:50:791,1,397,7,0,484,5124,397,0 138,0,2024-09-07 09:17:51:744,100970,1.1,101017,1.0,202784,1.5,269707,2.25 138,1,2024-09-07 09:17:51:688,696310,696310,0,0,326895633809,3431992050,686237,8353,1720,368,391954,0 138,2,2024-09-07 09:17:50:594,501034,501034,0,0,23080796,0,4988 138,3,2024-09-07 09:17:50:623,1,397,8,0,1160,5295,397,0 139,0,2024-09-07 09:17:51:360,100812,1.7,100780,1.2,202092,2.4,269535,2.50 139,1,2024-09-07 09:17:50:586,692887,692887,0,0,324721959638,3454530288,678832,10754,3301,380,392058,0 139,2,2024-09-07 09:17:50:692,501329,501329,0,0,26313877,0,3097 139,3,2024-09-07 09:17:51:667,1,397,53,0,432,4820,397,0 140,0,2024-09-07 09:17:51:588,97940,0.3,97281,0.5,195371,0.2,259889,1.75 140,1,2024-09-07 09:17:51:536,699974,699974,0,0,329585737969,3399881899,696421,3112,441,365,391606,0 140,2,2024-09-07 09:17:50:687,503237,503236,1,0,20503593,0,5036 140,3,2024-09-07 09:17:50:768,1,397,1,0,297,3437,397,0 141,0,2024-09-07 09:17:51:700,97167,0.3,99819,0.5,190695,0.3,258252,1.75 141,1,2024-09-07 09:17:50:890,698661,698661,0,0,328174270320,3409160668,693431,4276,954,379,391614,0 141,2,2024-09-07 09:17:51:687,500144,500144,0,0,19907087,0,3360 141,3,2024-09-07 09:17:51:043,1,397,30,0,391,4567,397,0 142,0,2024-09-07 09:17:51:339,100592,0.3,99962,0.5,199920,0.3,267284,1.75 142,1,2024-09-07 09:17:50:586,697703,697703,0,0,327690272672,3416633857,693232,4077,394,382,392102,0 142,2,2024-09-07 09:17:51:305,500079,500047,32,0,21663342,0,6028 142,3,2024-09-07 09:17:51:758,1,397,6,0,484,4697,397,0 143,0,2024-09-07 09:17:51:380,103961,0.5,103863,0.6,208164,0.5,276542,1.75 143,1,2024-09-07 09:17:50:565,698893,698893,0,0,327951991390,3406559254,693880,4469,544,367,391705,0 143,2,2024-09-07 09:17:50:774,505442,505442,0,0,21131842,0,3123 143,3,2024-09-07 09:17:51:140,1,397,9,0,462,5134,397,0 144,0,2024-09-07 09:17:51:494,95465,0.6,98089,0.8,199999,0.5,262241,2.00 144,1,2024-09-07 09:17:50:566,695387,695387,0,0,325802344267,3421042653,688417,5617,1353,381,391649,0 144,2,2024-09-07 09:17:51:762,505026,505026,0,0,20274012,0,3673 144,3,2024-09-07 09:17:51:744,1,397,15,0,249,4028,397,0 145,0,2024-09-07 09:17:51:359,94225,0.5,94238,0.8,199882,0.5,257249,2.25 145,1,2024-09-07 09:17:50:563,695064,695064,0,0,326292251342,3433955241,685879,7604,1581,382,391759,0 145,2,2024-09-07 09:17:51:431,496901,496820,81,0,24196106,0,7814 145,3,2024-09-07 09:17:50:906,1,397,2,0,622,5812,397,0 146,0,2024-09-07 09:17:51:626,98383,0.6,97869,0.8,197226,0.6,261653,2.25 146,1,2024-09-07 09:17:51:594,696660,696660,0,0,326780472823,3434496988,685830,8712,2118,367,391770,0 146,2,2024-09-07 09:17:51:702,499121,499121,0,0,23023578,0,2730 146,3,2024-09-07 09:17:51:286,1,397,4,0,1520,7881,397,0 147,0,2024-09-07 09:17:51:724,104062,0.6,103936,0.8,207058,0.6,277511,2.25 147,1,2024-09-07 09:17:51:372,699064,699064,0,0,328367086582,3416426194,692721,5499,844,368,391791,0 147,2,2024-09-07 09:17:51:013,503616,503616,0,0,21136557,0,2968 147,3,2024-09-07 09:17:50:919,1,397,3,0,1626,7153,397,0 0,0,2024-09-07 09:18:01:757,94330,0.6,94281,0.7,200183,0.7,258802,2.00 0,1,2024-09-07 09:18:00:820,698300,698300,0,0,328116860656,3434541341,692980,4934,386,369,391896,0 0,2,2024-09-07 09:18:01:075,503378,503378,0,0,20398216,0,4480 0,3,2024-09-07 09:18:00:974,1,398,8,0,431,6020,398,0 1,0,2024-09-07 09:18:02:016,103233,1.0,102563,1.0,205984,1.3,275714,2.25 1,1,2024-09-07 09:18:00:579,697897,697897,0,0,327575802634,3430073582,691680,4897,1320,370,391857,0 1,2,2024-09-07 09:18:00:668,503023,503023,0,0,20632220,0,3380 1,3,2024-09-07 09:18:01:302,1,398,4,0,262,4839,398,0 2,0,2024-09-07 09:18:01:607,100459,0.7,100827,0.8,200737,0.7,267731,2.00 2,1,2024-09-07 09:18:00:859,700219,700219,0,0,328671353568,3413946064,696943,2982,294,380,391745,0 2,2,2024-09-07 09:18:01:270,506871,506871,0,0,20028443,0,3594 2,3,2024-09-07 09:18:00:694,1,398,3,0,357,4037,398,0 3,0,2024-09-07 09:18:01:752,97718,0.4,97657,0.6,195194,0.4,259610,2.00 3,1,2024-09-07 09:18:01:621,698732,698732,0,0,327989535073,3418381525,692527,5515,690,380,391716,0 3,2,2024-09-07 09:18:01:142,501656,501633,23,0,20685379,0,5851 3,3,2024-09-07 09:18:01:753,1,398,1,0,207,2690,398,0 4,0,2024-09-07 09:18:01:918,93505,0.4,96075,0.5,195860,0.3,255963,1.75 4,1,2024-09-07 09:18:00:600,696378,696378,0,0,326699408415,3456420955,684749,9126,2503,370,391992,0 4,2,2024-09-07 09:18:01:025,499887,499887,0,0,23692480,0,4528 4,3,2024-09-07 09:18:01:028,1,398,18,0,448,5359,398,0 5,0,2024-09-07 09:18:01:429,100415,0.4,101012,0.6,201712,0.4,268705,1.75 5,1,2024-09-07 09:18:00:773,697236,697236,0,0,327482279772,3451197435,686908,8177,2151,367,392005,0 5,2,2024-09-07 09:18:01:833,500416,500416,0,0,23263584,0,3582 5,3,2024-09-07 09:18:01:745,1,398,18,0,457,5668,398,0 6,0,2024-09-07 09:18:00:920,103372,0.5,103019,0.7,205684,0.4,274273,2.00 6,1,2024-09-07 09:18:00:747,697904,697904,0,0,327202293216,3423422600,689840,6676,1388,379,391694,0 6,2,2024-09-07 09:18:01:116,505418,505400,18,0,23436689,0,5535 6,3,2024-09-07 09:18:01:274,1,398,1,0,710,5038,398,0 7,0,2024-09-07 09:18:01:536,97193,0.5,97903,0.6,195046,0.4,259271,2.00 7,1,2024-09-07 09:18:00:856,697314,697314,0,0,327195122226,3436955693,687557,8295,1462,382,391747,0 7,2,2024-09-07 09:18:00:773,505076,505076,0,0,23066574,0,4791 7,3,2024-09-07 09:18:00:853,1,398,0,0,398,4175,398,0 8,0,2024-09-07 09:18:01:389,97763,0.4,97454,0.5,195430,0.3,260218,1.75 8,1,2024-09-07 09:18:01:016,696333,696333,0,0,327472751304,3445881940,684989,8719,2625,366,392144,0 8,2,2024-09-07 09:18:00:824,496551,496551,0,0,26288646,0,3220 8,3,2024-09-07 09:18:00:667,1,398,75,0,538,6718,398,0 9,0,2024-09-07 09:18:01:197,99794,0.4,96877,0.5,202789,0.3,266061,1.75 9,1,2024-09-07 09:18:00:579,696689,696689,0,0,326653514112,3442253825,686394,8108,2187,369,392001,0 9,2,2024-09-07 09:18:01:093,500943,500943,0,0,23481359,0,3360 9,3,2024-09-07 09:18:01:757,1,398,309,0,496,5801,398,0 10,0,2024-09-07 09:18:01:601,104771,0.4,104191,0.6,209327,0.3,278298,1.75 10,1,2024-09-07 09:18:00:583,697739,697739,0,0,328058398907,3437312030,688428,8011,1300,381,391741,0 10,2,2024-09-07 09:18:00:775,505902,505902,0,0,25898702,0,4264 10,3,2024-09-07 09:18:00:871,1,398,0,0,649,4424,398,0 11,0,2024-09-07 09:18:01:009,98669,0.5,95679,0.6,200208,0.4,264290,1.75 11,1,2024-09-07 09:18:00:573,698335,698335,0,0,327928719541,3442394572,687576,8277,2482,383,391756,0 11,2,2024-09-07 09:18:01:126,504783,504783,0,0,23461294,0,4130 11,3,2024-09-07 09:18:01:323,1,398,1,0,843,6151,398,0 12,0,2024-09-07 09:18:00:961,98574,0.4,98553,0.5,196998,0.3,261493,1.75 12,1,2024-09-07 09:18:00:954,698943,698943,0,0,328089355977,3420981195,693559,4887,497,370,391870,0 12,2,2024-09-07 09:18:01:542,500189,500189,0,0,22019138,0,3469 12,3,2024-09-07 09:18:01:061,1,398,11,0,386,6179,398,0 13,0,2024-09-07 09:18:01:343,98842,0.4,98432,0.6,196421,0.4,262290,1.75 13,1,2024-09-07 09:18:01:529,697116,697116,0,0,326705188147,3435679702,689976,5567,1573,382,391740,0 13,2,2024-09-07 09:18:00:628,503360,503360,0,0,20350060,0,3287 13,3,2024-09-07 09:18:01:768,1,398,1,0,522,6102,398,0 14,0,2024-09-07 09:18:00:567,104370,0.4,105207,0.6,208387,0.4,278222,1.75 14,1,2024-09-07 09:18:01:569,701652,701652,0,0,329303853548,3414067883,695452,5492,708,364,391673,0 14,2,2024-09-07 09:18:00:771,504953,504923,30,0,22753441,0,6104 14,3,2024-09-07 09:18:01:115,1,398,1,0,1168,4567,398,0 15,0,2024-09-07 09:18:01:564,99286,0.4,99202,0.7,198823,0.4,264873,2.00 15,1,2024-09-07 09:18:01:610,698971,698971,0,0,328236183785,3419315081,693419,4574,978,381,391619,0 15,2,2024-09-07 09:18:00:999,507921,507921,0,0,18698568,0,3622 15,3,2024-09-07 09:18:01:413,1,398,2,0,1126,6857,398,0 16,0,2024-09-07 09:18:00:971,97770,0.5,98142,0.7,195885,0.5,260426,2.00 16,1,2024-09-07 09:18:00:570,698880,698880,0,0,327953050338,3428619665,692964,5073,843,370,391756,0 16,2,2024-09-07 09:18:01:435,500432,500432,0,0,21710857,0,4719 16,3,2024-09-07 09:18:01:144,1,398,25,0,317,5259,398,0 17,0,2024-09-07 09:18:01:800,99403,0.6,97016,0.8,189939,0.7,258221,2.00 17,1,2024-09-07 09:18:00:591,697656,697656,0,0,327506536199,3438640408,690091,6054,1511,368,392075,0 17,2,2024-09-07 09:18:01:680,504221,504221,0,0,21288177,0,2948 17,3,2024-09-07 09:18:00:575,1,398,1,0,518,6684,398,0 18,0,2024-09-07 09:18:00:945,101025,0.6,101293,0.8,201956,0.6,270253,2.25 18,1,2024-09-07 09:18:01:639,700293,700293,0,0,328637057481,3407297124,696420,3495,378,367,391725,0 18,2,2024-09-07 09:18:01:755,505054,505054,0,0,19542644,0,3541 18,3,2024-09-07 09:18:00:901,1,398,11,0,163,3173,398,0 19,0,2024-09-07 09:18:01:540,101173,0.7,101664,0.8,201614,0.7,268187,2.25 19,1,2024-09-07 09:18:00:573,700789,700789,0,0,328718606482,3413117608,694855,5013,921,367,391777,0 19,2,2024-09-07 09:18:01:754,508252,508252,0,0,18524628,0,3988 19,3,2024-09-07 09:18:01:129,1,398,1,0,524,3032,398,0 20,0,2024-09-07 09:18:01:398,97565,0.5,97570,0.6,194947,0.4,259962,2.00 20,1,2024-09-07 09:18:00:587,697959,697959,0,0,328218009235,3433665768,691734,5425,800,369,391886,0 20,2,2024-09-07 09:18:00:942,502854,502854,0,0,22193437,0,3721 20,3,2024-09-07 09:18:00:596,1,398,24,0,414,5988,398,0 21,0,2024-09-07 09:18:01:127,97073,0.5,97051,0.6,194047,0.5,257162,2.00 21,1,2024-09-07 09:18:01:551,696190,696190,0,0,326840156323,3447507409,685986,8069,2135,368,392016,0 21,2,2024-09-07 09:18:01:073,498211,498191,20,0,26792812,0,5617 21,3,2024-09-07 09:18:01:415,1,398,2,0,713,5305,398,0 22,0,2024-09-07 09:18:01:717,100045,0.5,100311,0.7,200919,0.5,266375,2.25 22,1,2024-09-07 09:18:01:024,697532,697532,0,0,327783265718,3446958391,686829,8520,2183,382,391667,0 22,2,2024-09-07 09:18:00:765,500581,500555,26,0,21431391,0,6328 22,3,2024-09-07 09:18:01:067,1,398,37,0,228,3386,398,0 23,0,2024-09-07 09:18:01:369,103372,0.6,102821,0.7,206134,0.5,274507,2.25 23,1,2024-09-07 09:18:01:003,698402,698402,0,0,327894750624,3447249173,685590,8760,4052,365,391690,0 23,2,2024-09-07 09:18:01:096,507386,507386,0,0,20920003,0,3010 23,3,2024-09-07 09:18:01:769,1,398,10,0,720,5510,398,0 24,0,2024-09-07 09:18:00:865,99318,0.4,99120,0.5,198752,0.4,264135,1.75 24,1,2024-09-07 09:18:00:624,697493,697493,0,0,326290033790,3422809046,689703,6206,1584,367,392269,0 24,2,2024-09-07 09:18:01:075,504138,504138,0,0,25382277,0,3607 24,3,2024-09-07 09:18:01:687,1,398,16,0,468,5591,398,0 25,0,2024-09-07 09:18:01:355,100275,0.4,97772,0.6,191640,0.3,261399,1.75 25,1,2024-09-07 09:18:00:663,697032,697032,0,0,328346062436,3459328430,685682,9147,2203,371,391928,0 25,2,2024-09-07 09:18:01:616,498758,498758,0,0,25028435,0,3978 25,3,2024-09-07 09:18:01:001,1,398,3,0,532,4481,398,0 26,0,2024-09-07 09:18:01:723,98790,0.4,96314,0.6,201915,0.4,263142,1.75 26,1,2024-09-07 09:18:01:587,698976,698976,0,0,327685453370,3431950613,689122,8302,1552,380,391748,0 26,2,2024-09-07 09:18:00:864,502252,502252,0,0,25154591,0,2809 26,3,2024-09-07 09:18:01:713,1,398,1,0,796,5075,398,0 27,0,2024-09-07 09:18:01:734,104678,0.4,104865,0.6,208376,0.4,278828,2.25 27,1,2024-09-07 09:18:01:677,700590,700590,0,0,328719408074,3425455568,693694,6004,892,381,391626,0 27,2,2024-09-07 09:18:00:877,502860,502795,65,0,23832480,0,5699 27,3,2024-09-07 09:18:01:018,1,398,1,0,564,4005,398,0 28,0,2024-09-07 09:18:01:391,99734,0.4,99303,0.6,198925,0.3,265619,2.00 28,1,2024-09-07 09:18:00:799,699306,699306,0,0,328924935740,3435518249,692426,5192,1688,383,391698,0 28,2,2024-09-07 09:18:01:766,506286,506286,0,0,21688274,0,2915 28,3,2024-09-07 09:18:01:777,1,398,5,0,502,4548,398,0 29,0,2024-09-07 09:18:01:360,100645,0.3,98108,0.6,192585,0.3,261992,1.75 29,1,2024-09-07 09:18:01:560,701501,701501,0,0,329424033388,3410706155,696702,4066,733,368,391753,0 29,2,2024-09-07 09:18:00:891,499646,499646,0,0,20394916,0,4986 29,3,2024-09-07 09:18:00:970,1,398,1,0,459,4806,398,0 30,0,2024-09-07 09:18:01:476,96725,0.5,94024,0.7,196935,0.4,257650,2.00 30,1,2024-09-07 09:18:00:575,701133,701133,0,0,328652069256,3414768039,695389,4978,766,381,391672,0 30,2,2024-09-07 09:18:01:274,502626,502626,0,0,19723738,0,4192 30,3,2024-09-07 09:18:00:582,1,398,45,0,519,3937,398,0 31,0,2024-09-07 09:18:01:763,103039,0.4,103484,0.6,206546,0.4,275979,2.00 31,1,2024-09-07 09:18:00:566,703320,703320,0,0,330301997150,3387685902,700342,2392,586,356,391712,0 31,2,2024-09-07 09:18:01:277,503712,503712,0,0,21578161,0,3525 31,3,2024-09-07 09:18:01:714,1,398,0,0,220,3507,398,0 32,0,2024-09-07 09:18:01:427,100944,0.3,101579,0.5,202250,0.3,269403,1.75 32,1,2024-09-07 09:18:00:820,700395,700395,0,0,329469091738,3429183272,695292,4423,680,381,391646,0 32,2,2024-09-07 09:18:00:937,507612,507612,0,0,19293919,0,3922 32,3,2024-09-07 09:18:01:015,1,398,15,0,304,3134,398,0 33,0,2024-09-07 09:18:01:507,97923,0.3,97473,0.4,195663,0.2,260098,1.50 33,1,2024-09-07 09:18:00:583,701265,701265,0,0,329319872908,3418587114,694850,5312,1103,369,391730,0 33,2,2024-09-07 09:18:00:775,502657,502622,35,0,21539671,0,7012 33,3,2024-09-07 09:18:00:901,1,398,1,0,329,4018,398,0 34,0,2024-09-07 09:18:00:932,96529,0.3,99313,0.4,190143,0.2,255281,1.75 34,1,2024-09-07 09:18:01:047,702330,702330,0,0,329723136229,3392442581,700597,1722,11,367,391562,0 34,2,2024-09-07 09:18:00:773,502281,502281,0,0,20232736,0,4562 34,3,2024-09-07 09:18:01:692,1,398,4,0,299,3103,398,0 35,0,2024-09-07 09:18:00:896,100087,0.4,100413,0.5,201914,0.3,269417,1.75 35,1,2024-09-07 09:18:01:067,700621,700621,0,0,328317011736,3404493970,696287,3478,856,382,391769,0 35,2,2024-09-07 09:18:01:588,502391,502391,0,0,20865669,0,2653 35,3,2024-09-07 09:18:00:919,1,398,1,0,418,4463,398,0 36,0,2024-09-07 09:18:01:528,103473,0.5,103358,0.7,206602,0.5,274567,2.25 36,1,2024-09-07 09:18:00:583,699079,699079,0,0,328310474677,3432250848,689611,7468,2000,366,391759,0 36,2,2024-09-07 09:18:01:754,506383,506383,0,0,22773518,0,3875 36,3,2024-09-07 09:18:00:880,1,398,3,0,416,5963,398,0 37,0,2024-09-07 09:18:01:376,97357,0.5,97455,0.6,195029,0.4,259631,2.00 37,1,2024-09-07 09:18:00:574,699078,699071,0,7,326958218334,3416845344,690759,6069,2243,365,391570,0 37,2,2024-09-07 09:18:01:152,502663,502648,15,0,22949962,0,5815 37,3,2024-09-07 09:18:01:766,1,398,22,0,888,6228,398,0 38,0,2024-09-07 09:18:01:443,97045,0.5,94195,0.6,197037,0.4,257854,2.00 38,1,2024-09-07 09:18:01:610,700085,700085,0,0,328452784964,3435507760,689822,8103,2160,368,391821,0 38,2,2024-09-07 09:18:00:763,500384,500337,47,0,22074614,0,6710 38,3,2024-09-07 09:18:01:002,1,398,1,0,689,5383,398,0 39,0,2024-09-07 09:18:01:787,101687,0.6,99635,0.7,193885,0.6,265187,2.00 39,1,2024-09-07 09:18:00:717,698291,698291,0,0,327867731786,3439898793,686599,9310,2382,365,391658,0 39,2,2024-09-07 09:18:01:419,501787,501787,0,0,20939583,0,2689 39,3,2024-09-07 09:18:00:717,1,398,1,0,324,4817,398,0 40,0,2024-09-07 09:18:01:490,103581,0.9,104291,1.0,207805,1.0,277035,2.75 40,1,2024-09-07 09:18:00:584,699226,699226,0,0,327467335242,3431820761,688919,8380,1927,368,391668,0 40,2,2024-09-07 09:18:01:303,504985,504984,1,0,24649360,0,5137 40,3,2024-09-07 09:18:01:141,1,398,14,0,1028,5645,398,0 41,0,2024-09-07 09:18:01:053,98185,1.5,100477,1.3,191762,2.3,261009,3.25 41,1,2024-09-07 09:18:00:771,697971,697971,0,0,328016392116,3433695361,689062,7909,1000,369,391742,0 41,2,2024-09-07 09:18:00:758,503816,503816,0,0,23627519,0,4277 41,3,2024-09-07 09:18:01:685,1,398,1,0,366,4095,398,0 42,0,2024-09-07 09:18:01:476,97123,0.9,97140,1.0,194462,1.0,257239,2.75 42,1,2024-09-07 09:18:01:439,696873,696873,0,0,326345943036,3432438047,685494,9452,1927,380,391675,0 42,2,2024-09-07 09:18:01:133,498857,498857,0,0,23544932,0,3790 42,3,2024-09-07 09:18:01:009,1,398,0,0,446,3275,398,0 43,0,2024-09-07 09:18:00:917,96814,0.8,94356,1.0,197674,0.9,259742,2.50 43,1,2024-09-07 09:18:00:584,699042,699042,0,0,328901055193,3439656000,688819,8485,1738,366,391696,0 43,2,2024-09-07 09:18:01:741,501797,501797,0,0,23554218,0,3812 43,3,2024-09-07 09:18:01:753,1,398,24,0,467,4842,398,0 44,0,2024-09-07 09:18:00:874,104155,0.4,104557,0.6,209223,0.4,277872,1.75 44,1,2024-09-07 09:18:00:564,700850,700850,0,0,328706776750,3402212733,694378,5154,1318,356,391809,0 44,2,2024-09-07 09:18:01:270,503733,503733,0,0,19831633,0,4344 44,3,2024-09-07 09:18:01:098,1,398,2,0,817,4878,398,0 45,0,2024-09-07 09:18:01:761,98288,0.5,96021,0.8,201328,0.5,265437,2.00 45,1,2024-09-07 09:18:01:004,699955,699955,0,0,328917465730,3420277925,694306,5076,573,382,391917,0 45,2,2024-09-07 09:18:01:274,507093,507093,0,0,20201471,0,3596 45,3,2024-09-07 09:18:00:937,1,398,4,0,271,3595,398,0 46,0,2024-09-07 09:18:00:952,97172,0.5,97166,0.7,194539,0.5,258054,2.00 46,1,2024-09-07 09:18:00:576,701331,701331,0,0,329855011466,3409029217,696810,3931,590,366,391709,0 46,2,2024-09-07 09:18:00:618,501264,501264,0,0,20023527,0,4443 46,3,2024-09-07 09:18:01:132,1,398,19,0,908,5329,398,0 47,0,2024-09-07 09:18:01:105,96878,0.4,97130,0.6,194688,0.4,257602,2.00 47,1,2024-09-07 09:18:00:600,702009,702009,0,0,328931833187,3401008469,697404,3790,815,366,391641,0 47,2,2024-09-07 09:18:00:912,504284,504284,0,0,19603384,0,4477 47,3,2024-09-07 09:18:01:115,1,398,49,0,600,4918,398,0 48,0,2024-09-07 09:18:01:492,102331,0.3,102142,0.5,203746,0.2,272339,1.75 48,1,2024-09-07 09:18:01:028,700513,700513,0,0,328775710035,3416810539,695965,4092,456,384,391710,0 48,2,2024-09-07 09:18:00:699,502779,502779,0,0,18701878,0,3411 48,3,2024-09-07 09:18:00:753,1,398,1,0,339,3318,398,0 49,0,2024-09-07 09:18:01:712,104459,0.4,102664,0.5,198771,0.3,272491,1.75 49,1,2024-09-07 09:18:01:026,699985,699985,0,0,328014473989,3416098717,694847,3933,1205,382,391809,0 49,2,2024-09-07 09:18:01:799,506849,506849,0,0,20778628,0,4426 49,3,2024-09-07 09:18:01:416,1,398,1,0,992,4947,398,0 50,0,2024-09-07 09:18:01:525,98121,0.3,96577,0.4,194927,0.2,259619,1.75 50,1,2024-09-07 09:18:01:009,702275,702275,0,0,330228032486,3416781995,697425,4276,574,368,391565,0 50,2,2024-09-07 09:18:01:068,503140,503140,0,0,18558611,0,2263 50,3,2024-09-07 09:18:01:294,1,398,2,0,567,4131,398,0 51,0,2024-09-07 09:18:01:693,99566,0.3,97359,0.5,189891,0.2,258193,1.75 51,1,2024-09-07 09:18:01:681,702270,702270,0,0,330297091265,3407177891,698734,2558,978,365,391706,0 51,2,2024-09-07 09:18:01:324,501604,501604,0,0,17797965,0,3337 51,3,2024-09-07 09:18:01:028,1,398,22,0,678,3382,398,0 52,0,2024-09-07 09:18:01:413,100425,0.5,100309,0.7,201006,0.5,267197,2.00 52,1,2024-09-07 09:18:00:584,699066,699066,0,0,327510796013,3428630916,689395,8357,1314,368,391722,0 52,2,2024-09-07 09:18:01:770,498946,498908,38,0,23929596,0,6742 52,3,2024-09-07 09:18:00:678,1,398,0,0,1782,5732,398,0 53,0,2024-09-07 09:18:01:735,102914,0.7,99994,0.8,209372,0.8,274404,2.50 53,1,2024-09-07 09:18:00:771,697778,697778,0,0,327579657466,3440266822,686075,8745,2958,367,391702,0 53,2,2024-09-07 09:18:01:324,506291,506290,1,0,21715219,0,5455 53,3,2024-09-07 09:18:00:697,1,398,1,0,308,3697,398,0 54,0,2024-09-07 09:18:01:614,97314,0.6,97606,0.8,194563,0.4,259974,2.25 54,1,2024-09-07 09:18:00:583,699014,699014,0,0,328360407759,3416136035,691761,6014,1239,366,391659,0 54,2,2024-09-07 09:18:00:867,505398,505366,32,0,24753881,0,6397 54,3,2024-09-07 09:18:00:770,1,398,1,0,676,6062,398,0 55,0,2024-09-07 09:18:01:779,94433,0.6,97616,0.7,197315,0.5,257379,2.25 55,1,2024-09-07 09:18:00:773,700166,700166,0,0,328366808557,3414481915,692832,6250,1084,365,391731,0 55,2,2024-09-07 09:18:00:735,499337,499281,56,0,22879709,0,7239 55,3,2024-09-07 09:18:00:678,1,398,1,0,304,4255,398,0 56,0,2024-09-07 09:18:01:552,100838,1.2,95098,1.2,195908,1.8,262631,2.75 56,1,2024-09-07 09:18:00:575,695450,695450,0,0,327308872778,3459926241,684051,9026,2373,381,391867,0 56,2,2024-09-07 09:18:01:324,501635,501635,0,0,23021039,0,3567 56,3,2024-09-07 09:18:01:061,1,398,7,0,705,4987,398,0 57,0,2024-09-07 09:18:00:965,103078,1.5,102926,1.2,206428,2.1,276255,3.00 57,1,2024-09-07 09:18:00:991,698273,698273,0,0,327522786057,3434392639,689914,7286,1073,366,392032,0 57,2,2024-09-07 09:18:01:321,505125,505125,0,0,25240107,0,3317 57,3,2024-09-07 09:18:01:740,1,398,3,0,359,4389,398,0 58,0,2024-09-07 09:18:00:558,97942,0.9,95091,1.0,199058,1.0,261027,2.50 58,1,2024-09-07 09:18:00:576,698523,698520,0,3,328109002699,3433290565,688767,8465,1288,367,391603,3 58,2,2024-09-07 09:18:01:076,505222,505222,0,0,23533040,0,2902 58,3,2024-09-07 09:18:01:069,1,398,0,0,1043,4297,398,0 59,0,2024-09-07 09:18:01:752,97774,0.7,97461,0.9,194467,0.8,258484,2.50 59,1,2024-09-07 09:18:00:804,698249,698249,0,0,328032384551,3437328749,688678,7867,1704,369,391653,0 59,2,2024-09-07 09:18:00:586,500216,500216,0,0,22623686,0,2867 59,3,2024-09-07 09:18:01:746,1,398,18,0,1015,5263,398,0 60,0,2024-09-07 09:18:01:707,97054,0.5,96967,0.7,194564,0.4,258639,1.75 60,1,2024-09-07 09:18:00:780,700640,700640,0,0,329129748928,3416387590,696085,3906,649,370,392031,0 60,2,2024-09-07 09:18:01:140,502377,502377,0,0,21804719,0,3811 60,3,2024-09-07 09:18:01:262,1,398,7,0,409,4814,398,0 61,0,2024-09-07 09:18:01:497,102954,0.6,103622,0.8,206277,0.6,275386,2.00 61,1,2024-09-07 09:18:00:772,698894,698894,0,0,327644957802,3434198064,691008,6489,1397,382,392127,0 61,2,2024-09-07 09:18:01:116,503463,503396,67,0,22219289,0,6411 61,3,2024-09-07 09:18:01:687,1,398,4,0,479,5614,398,0 62,0,2024-09-07 09:18:01:712,101520,0.6,103845,0.7,198208,0.6,269154,2.00 62,1,2024-09-07 09:18:01:111,703347,703341,0,6,329598723239,3400907244,699534,3546,261,365,391975,6 62,2,2024-09-07 09:18:01:647,505147,505146,1,0,22279699,0,5555 62,3,2024-09-07 09:18:01:146,1,398,5,0,482,3321,398,0 63,0,2024-09-07 09:18:01:452,98029,0.4,97918,0.6,196349,0.3,260499,1.75 63,1,2024-09-07 09:18:00:804,700713,700707,0,6,329249438611,3420494490,695805,4170,732,381,391800,6 63,2,2024-09-07 09:18:00:765,502042,502042,0,0,20170387,0,4369 63,3,2024-09-07 09:18:01:740,1,398,1,0,667,4167,398,0 64,0,2024-09-07 09:18:01:542,95895,0.5,95869,0.7,191525,0.5,254406,2.00 64,1,2024-09-07 09:18:00:757,699984,699984,0,0,327638341422,3416631930,693453,4828,1703,370,391783,0 64,2,2024-09-07 09:18:01:141,505309,505290,19,0,19849241,0,6121 64,3,2024-09-07 09:18:01:140,1,398,8,0,265,3729,398,0 65,0,2024-09-07 09:18:01:674,99651,0.7,100184,0.8,199632,0.8,267025,2.25 65,1,2024-09-07 09:18:00:859,698573,698573,0,0,327827773316,3428773356,693485,4548,540,382,391901,0 65,2,2024-09-07 09:18:01:696,503137,503137,0,0,23201489,0,3367 65,3,2024-09-07 09:18:01:683,1,398,1,0,782,4772,398,0 66,0,2024-09-07 09:18:01:772,102747,0.6,102728,0.8,205267,0.5,273040,2.25 66,1,2024-09-07 09:18:01:296,700104,700104,0,0,327779984067,3416077626,695083,4604,417,380,391743,0 66,2,2024-09-07 09:18:01:135,507644,507644,0,0,20322219,0,4956 66,3,2024-09-07 09:18:01:085,1,398,23,0,291,3588,398,0 67,0,2024-09-07 09:18:01:421,97998,0.5,97691,0.7,195981,0.4,260420,2.00 67,1,2024-09-07 09:18:00:771,700347,700346,0,1,328269217180,3420105659,695499,4058,789,380,391787,1 67,2,2024-09-07 09:18:00:582,506490,506490,0,0,19487066,0,3622 67,3,2024-09-07 09:18:01:754,1,398,1,0,392,4004,398,0 68,0,2024-09-07 09:18:00:582,97796,0.6,97581,0.7,194666,0.5,259908,2.00 68,1,2024-09-07 09:18:00:574,697301,697301,0,0,327489263679,3444340522,688394,6158,2749,381,391953,0 68,2,2024-09-07 09:18:01:048,498650,498550,100,0,25986327,0,8578 68,3,2024-09-07 09:18:00:740,1,398,34,0,417,4319,398,0 69,0,2024-09-07 09:18:01:768,99132,0.8,99641,0.9,198319,1.0,263745,2.25 69,1,2024-09-07 09:18:01:017,696991,696991,0,0,326936458741,3449138230,687583,7170,2238,384,391994,0 69,2,2024-09-07 09:18:01:738,500811,500782,29,0,27315039,0,6912 69,3,2024-09-07 09:18:00:762,1,398,177,0,698,5909,398,0 70,0,2024-09-07 09:18:01:562,103673,1.3,103804,1.1,208720,1.1,276416,2.75 70,1,2024-09-07 09:18:00:809,700012,700012,0,0,328424019826,3411386032,694080,5271,661,366,391725,0 70,2,2024-09-07 09:18:01:325,505446,505446,0,0,23188260,0,4044 70,3,2024-09-07 09:18:00:747,1,398,1,0,854,4718,398,0 71,0,2024-09-07 09:18:01:368,97944,0.9,97586,1.0,195976,1.0,262497,2.75 71,1,2024-09-07 09:18:01:597,699367,699367,0,0,328052993366,3425119639,691115,7452,800,368,391738,0 71,2,2024-09-07 09:18:01:068,505129,505129,0,0,23555638,0,4352 71,3,2024-09-07 09:18:01:755,1,398,9,0,644,5222,398,0 72,0,2024-09-07 09:18:01:028,100986,0.5,98710,0.7,192615,0.5,261638,2.00 72,1,2024-09-07 09:18:01:030,698406,698406,0,0,327303992215,3435364197,688068,8371,1967,369,391819,0 72,2,2024-09-07 09:18:01:770,499137,499137,0,0,25033493,0,3983 72,3,2024-09-07 09:18:01:769,1,398,1,0,564,5957,398,0 73,0,2024-09-07 09:18:01:110,95592,0.4,97958,0.6,200530,0.4,260951,2.00 73,1,2024-09-07 09:18:00:771,699657,699657,0,0,327811939583,3406275754,694775,4517,365,367,391858,0 73,2,2024-09-07 09:18:01:759,501631,501631,0,0,24537300,0,3701 73,3,2024-09-07 09:18:00:969,1,398,13,0,486,5559,398,0 74,0,2024-09-07 09:18:01:333,105069,0.5,107286,0.6,204668,0.4,278114,2.00 74,1,2024-09-07 09:18:00:638,699172,699172,0,0,327426300364,3420059635,691745,6024,1403,381,391762,0 74,2,2024-09-07 09:18:01:002,503941,503941,0,0,22906881,0,4253 74,3,2024-09-07 09:18:01:450,1,398,0,0,522,5445,398,0 75,0,2024-09-07 09:18:01:788,99934,0.5,99342,0.7,199450,0.5,266563,2.25 75,1,2024-09-07 09:18:01:591,699018,699018,0,0,327993485314,3423775009,692215,5976,827,380,391739,0 75,2,2024-09-07 09:18:01:354,504843,504843,0,0,24947685,0,4766 75,3,2024-09-07 09:18:01:067,1,398,0,0,702,5216,398,0 76,0,2024-09-07 09:18:00:585,97250,0.6,96534,0.7,194044,0.5,258912,2.25 76,1,2024-09-07 09:18:00:806,699169,699169,0,0,327490012636,3418688346,693996,4386,787,382,391692,0 76,2,2024-09-07 09:18:01:062,502720,502719,1,0,22006658,0,5144 76,3,2024-09-07 09:18:01:147,1,398,8,0,175,3759,398,0 77,0,2024-09-07 09:18:01:694,96270,0.6,96634,0.7,193052,0.6,256465,2.00 77,1,2024-09-07 09:18:00:839,699762,699762,0,0,328397638299,3429357609,694066,5197,499,381,391869,0 77,2,2024-09-07 09:18:01:280,502148,502148,0,0,21652877,0,3890 77,3,2024-09-07 09:18:01:094,1,398,1,0,401,4628,398,0 78,0,2024-09-07 09:18:01:726,102206,0.5,101673,0.7,204089,0.4,270987,2.00 78,1,2024-09-07 09:18:00:621,700089,700089,0,0,327558017076,3414119707,692566,5957,1566,367,391670,0 78,2,2024-09-07 09:18:01:412,503308,503295,13,0,20473663,0,8313 78,3,2024-09-07 09:18:01:133,1,398,1,0,181,3629,398,0 79,0,2024-09-07 09:18:01:353,98091,0.4,100472,0.6,205879,0.4,267238,2.25 79,1,2024-09-07 09:18:00:583,701538,701538,0,0,328616166083,3406884230,695641,4748,1149,367,391682,0 79,2,2024-09-07 09:18:01:068,507440,507440,0,0,20144829,0,4195 79,3,2024-09-07 09:18:00:756,1,398,1,0,418,5093,398,0 80,0,2024-09-07 09:18:01:118,97512,0.5,100459,0.7,192140,0.5,259084,2.00 80,1,2024-09-07 09:18:01:621,699126,699126,0,0,328624333036,3424419126,694047,4755,324,368,392269,0 80,2,2024-09-07 09:18:01:095,505012,505012,0,0,20013274,0,4433 80,3,2024-09-07 09:18:00:592,1,398,1,0,190,4735,398,0 81,0,2024-09-07 09:18:01:549,97022,0.5,99370,0.7,189639,0.5,257065,2.00 81,1,2024-09-07 09:18:01:650,698676,698676,0,0,326747842872,3417283475,692919,5251,506,382,391879,0 81,2,2024-09-07 09:18:01:124,500093,500030,63,0,21890285,0,5932 81,3,2024-09-07 09:18:01:117,1,398,1,0,719,5013,398,0 82,0,2024-09-07 09:18:01:535,99814,0.5,100162,0.8,200828,0.5,266598,2.00 82,1,2024-09-07 09:18:00:587,700534,700530,0,4,328127404492,3416762526,695838,3875,817,381,391768,4 82,2,2024-09-07 09:18:01:692,503089,503089,0,0,18855392,0,3986 82,3,2024-09-07 09:18:01:754,1,398,1,0,363,4498,398,0 83,0,2024-09-07 09:18:01:540,103755,0.7,103690,0.8,206488,0.8,274654,2.25 83,1,2024-09-07 09:18:00:563,698450,698450,0,0,328407458105,3427344731,693010,4990,450,382,391709,0 83,2,2024-09-07 09:18:00:765,506500,506500,0,0,19584810,0,3393 83,3,2024-09-07 09:18:00:749,1,398,1,0,1260,5384,398,0 84,0,2024-09-07 09:18:01:794,98153,0.7,98194,0.8,195780,0.6,262377,2.25 84,1,2024-09-07 09:18:01:042,698296,698296,0,0,327403888659,3424367819,690639,6618,1039,367,391967,0 84,2,2024-09-07 09:18:00:581,504138,504108,30,0,26771417,0,5971 84,3,2024-09-07 09:18:01:146,1,398,13,0,908,5960,398,0 85,0,2024-09-07 09:18:01:039,94167,0.6,94116,0.8,199820,0.6,258478,2.25 85,1,2024-09-07 09:18:00:575,696776,696776,0,0,326907286961,3452187748,686298,8615,1863,381,392006,0 85,2,2024-09-07 09:18:00:873,499319,499319,0,0,24281505,0,3656 85,3,2024-09-07 09:18:00:687,1,398,10,0,789,5109,398,0 86,0,2024-09-07 09:18:00:953,98585,0.7,101448,0.8,194032,0.7,262731,2.25 86,1,2024-09-07 09:18:00:838,698491,698491,0,0,328262712036,3440156104,690073,6981,1437,366,391961,0 86,2,2024-09-07 09:18:00:863,499850,499849,1,0,26650281,0,5004 86,3,2024-09-07 09:18:00:589,1,398,1,0,308,5957,398,0 87,0,2024-09-07 09:18:01:316,104301,0.9,104153,0.9,208677,1.2,278973,2.50 87,1,2024-09-07 09:18:00:561,697075,697075,0,0,327315284372,3435217560,687216,8269,1590,366,392076,0 87,2,2024-09-07 09:18:01:094,503584,503578,6,0,24107173,0,6323 87,3,2024-09-07 09:18:01:815,1,398,135,0,473,6187,398,0 88,0,2024-09-07 09:18:01:461,99218,0.4,100053,0.6,199168,0.4,265339,1.75 88,1,2024-09-07 09:18:00:575,696681,696681,0,0,327851624095,3434995540,687701,7048,1932,365,392084,0 88,2,2024-09-07 09:18:00:689,506065,506065,0,0,25636660,0,4465 88,3,2024-09-07 09:18:01:267,1,398,13,0,435,4189,398,0 89,0,2024-09-07 09:18:01:794,100857,0.4,97716,0.6,193174,0.4,262146,1.75 89,1,2024-09-07 09:18:00:554,697142,697142,0,0,327623261880,3445425714,688332,7387,1423,382,391866,0 89,2,2024-09-07 09:18:01:133,499034,499034,0,0,24062302,0,3173 89,3,2024-09-07 09:18:01:793,1,398,1,0,468,7375,398,0 90,0,2024-09-07 09:18:01:657,94292,0.5,96722,0.6,197429,0.4,257672,2.00 90,1,2024-09-07 09:18:00:609,698402,698402,0,0,327469348711,3430635655,691801,6092,509,380,391825,0 90,2,2024-09-07 09:18:01:412,500165,500165,0,0,25445289,0,3060 90,3,2024-09-07 09:18:00:937,1,398,9,0,322,5031,398,0 91,0,2024-09-07 09:18:00:955,103780,0.5,100470,0.6,210104,0.4,275934,1.75 91,1,2024-09-07 09:18:00:574,697271,697271,0,0,327792341420,3448602552,688242,7678,1351,381,392047,0 91,2,2024-09-07 09:18:01:331,504068,504068,0,0,22672095,0,2896 91,3,2024-09-07 09:18:00:618,1,398,10,0,216,4073,398,0 92,0,2024-09-07 09:18:01:467,101711,0.5,104252,0.6,199190,0.5,269478,1.75 92,1,2024-09-07 09:18:00:584,698893,698893,0,0,328083646209,3427845640,693443,4727,723,382,392136,0 92,2,2024-09-07 09:18:01:351,507461,507461,0,0,20468484,0,3259 92,3,2024-09-07 09:18:01:010,1,398,3,0,167,3594,398,0 93,0,2024-09-07 09:18:00:985,98450,0.4,100913,0.5,192551,0.3,260606,1.75 93,1,2024-09-07 09:18:00:860,697984,697984,0,0,328011237001,3427367640,690191,6447,1346,366,391776,0 93,2,2024-09-07 09:18:00:933,501469,501469,0,0,24444502,0,4845 93,3,2024-09-07 09:18:01:413,1,398,6,0,190,3634,398,0 94,0,2024-09-07 09:18:01:642,95974,0.4,96756,0.5,193382,0.3,256076,1.75 94,1,2024-09-07 09:18:00:570,699370,699370,0,0,328052807476,3422020322,694979,4197,194,381,391850,0 94,2,2024-09-07 09:18:00:773,501491,501491,0,0,20559810,0,2443 94,3,2024-09-07 09:18:01:709,1,398,1,0,576,5392,398,0 95,0,2024-09-07 09:18:01:426,100483,0.4,100457,0.5,201571,0.3,269278,1.75 95,1,2024-09-07 09:18:00:855,700698,700698,0,0,328299450781,3413909672,695157,5130,411,367,391786,0 95,2,2024-09-07 09:18:01:016,502331,502331,0,0,20258786,0,3308 95,3,2024-09-07 09:18:01:723,1,398,7,0,718,6020,398,0 96,0,2024-09-07 09:18:01:048,103287,0.4,103616,0.5,206874,0.4,274173,1.75 96,1,2024-09-07 09:18:01:613,698628,698628,0,0,328137779598,3426555055,693123,4627,878,384,391955,0 96,2,2024-09-07 09:18:01:287,506864,506864,0,0,21201418,0,4180 96,3,2024-09-07 09:18:01:150,1,398,4,0,411,4530,398,0 97,0,2024-09-07 09:18:01:323,97998,0.3,97691,0.5,196035,0.3,260273,1.50 97,1,2024-09-07 09:18:00:773,700202,700202,0,0,329566801657,3421342266,695098,4175,929,367,392140,0 97,2,2024-09-07 09:18:00:612,505204,505204,0,0,20658216,0,3679 97,3,2024-09-07 09:18:00:583,1,398,1,0,242,4613,398,0 98,0,2024-09-07 09:18:01:751,97368,0.3,97383,0.4,195583,0.2,259795,1.50 98,1,2024-09-07 09:18:00:576,699049,699049,0,0,328127254144,3421331265,694314,3955,780,382,391997,0 98,2,2024-09-07 09:18:00:790,501067,501067,0,0,20378614,0,3243 98,3,2024-09-07 09:18:00:714,1,398,14,0,840,6540,398,0 99,0,2024-09-07 09:18:01:457,99606,0.3,100227,0.5,199228,0.3,266262,1.75 99,1,2024-09-07 09:18:01:729,699693,699693,0,0,328221554594,3418827959,694849,4011,833,381,392069,0 99,2,2024-09-07 09:18:01:423,502524,502524,0,0,23626038,0,3424 99,3,2024-09-07 09:18:00:590,1,398,1,0,606,4490,398,0 100,0,2024-09-07 09:18:01:483,104545,0.9,104662,1.0,208668,1.1,279007,2.50 100,1,2024-09-07 09:18:00:560,696382,696382,0,0,326315139054,3450414270,685775,8564,2043,381,391989,0 100,2,2024-09-07 09:18:01:821,504008,503997,11,0,24033264,0,5417 100,3,2024-09-07 09:18:01:748,1,398,1,0,559,6678,398,0 101,0,2024-09-07 09:18:01:736,100887,1.0,98182,1.0,192896,0.8,264343,2.25 101,1,2024-09-07 09:18:00:562,696437,696437,0,0,326856872053,3443103031,685784,8533,2120,368,391847,0 101,2,2024-09-07 09:18:01:755,502182,502182,0,0,28881250,0,4871 101,3,2024-09-07 09:18:00:944,1,398,2,0,579,5765,398,0 102,0,2024-09-07 09:18:00:948,95260,0.6,98289,0.8,199142,0.6,260554,2.00 102,1,2024-09-07 09:18:01:147,696769,696769,0,0,327110753798,3441745460,686942,8067,1760,369,391891,0 102,2,2024-09-07 09:18:01:749,500215,500161,54,0,22649481,0,6768 102,3,2024-09-07 09:18:01:613,1,398,4,0,410,4026,398,0 103,0,2024-09-07 09:18:01:599,101234,0.6,101259,0.7,190717,0.6,262880,2.00 103,1,2024-09-07 09:18:01:638,695633,695633,0,0,327332242586,3459896931,683806,8763,3064,381,391829,0 103,2,2024-09-07 09:18:00:587,500122,500122,0,0,24923021,0,3766 103,3,2024-09-07 09:18:00:755,1,398,0,0,916,4470,398,0 104,0,2024-09-07 09:18:01:019,103494,0.7,103886,0.9,206402,0.7,277317,2.25 104,1,2024-09-07 09:18:01:605,697799,697799,0,0,327246093151,3440673917,687321,8672,1806,365,392168,0 104,2,2024-09-07 09:18:01:669,503290,503290,0,0,24620871,0,3941 104,3,2024-09-07 09:18:01:418,1,398,27,0,1245,8159,398,0 105,0,2024-09-07 09:18:01:051,98388,0.9,95776,1.1,200663,1.0,264520,2.75 105,1,2024-09-07 09:18:00:554,698677,698677,0,0,327967781912,3438606639,689547,7710,1420,366,392009,0 105,2,2024-09-07 09:18:01:339,505482,505482,0,0,24451137,0,3509 105,3,2024-09-07 09:18:01:321,1,398,1,0,399,6158,398,0 106,0,2024-09-07 09:18:00:957,94321,0.7,96668,0.9,197894,0.8,258732,2.50 106,1,2024-09-07 09:18:01:760,697188,697188,0,0,327151938121,3439431626,686271,9473,1444,369,391914,0 106,2,2024-09-07 09:18:00:759,499864,499864,0,0,23070881,0,2795 106,3,2024-09-07 09:18:00:679,1,398,1,0,470,5113,398,0 107,0,2024-09-07 09:18:01:107,96366,1.0,96625,0.9,192743,1.3,257492,2.25 107,1,2024-09-07 09:18:00:590,696720,696720,0,0,326615729423,3443529888,687085,8604,1031,381,392234,0 107,2,2024-09-07 09:18:01:296,500539,500538,1,0,24539571,0,5024 107,3,2024-09-07 09:18:01:775,1,398,8,0,370,6253,398,0 108,0,2024-09-07 09:18:01:787,101641,0.5,102363,0.6,203395,0.5,272117,1.75 108,1,2024-09-07 09:18:01:317,699096,699096,0,0,328209602107,3424187912,693161,5196,739,368,391857,0 108,2,2024-09-07 09:18:01:770,501834,501834,0,0,23083956,0,4246 108,3,2024-09-07 09:18:01:331,1,398,10,0,749,7618,398,0 109,0,2024-09-07 09:18:01:775,102454,0.4,101540,0.6,203085,0.4,271485,1.75 109,1,2024-09-07 09:18:00:589,696852,696852,0,0,327835025634,3441245937,690178,5560,1114,382,392132,0 109,2,2024-09-07 09:18:00:934,504274,504274,0,0,22732182,0,3617 109,3,2024-09-07 09:18:01:140,1,398,28,0,379,4694,398,0 110,0,2024-09-07 09:18:01:819,97430,0.4,94882,0.6,198971,0.3,259936,1.75 110,1,2024-09-07 09:18:01:655,700105,700105,0,0,327952344007,3407113067,694779,4150,1176,369,392045,0 110,2,2024-09-07 09:18:01:306,503631,503631,0,0,21672413,0,4067 110,3,2024-09-07 09:18:00:701,1,398,1,0,722,5790,398,0 111,0,2024-09-07 09:18:01:422,97476,0.4,96600,0.5,193869,0.3,258433,1.75 111,1,2024-09-07 09:18:01:002,700794,700794,0,0,328929201370,3412038830,697190,3250,354,380,391690,0 111,2,2024-09-07 09:18:01:116,500551,500551,0,0,21104971,0,4823 111,3,2024-09-07 09:18:00:916,1,398,136,0,379,4738,398,0 112,0,2024-09-07 09:18:00:926,100917,0.3,100445,0.4,201051,0.2,267614,1.50 112,1,2024-09-07 09:18:00:824,700540,700540,0,0,328243672721,3408018609,696110,3818,612,380,391624,0 112,2,2024-09-07 09:18:01:132,502181,502180,1,0,20230022,0,5036 112,3,2024-09-07 09:18:00:599,1,398,29,0,282,4040,398,0 113,0,2024-09-07 09:18:00:869,103754,0.3,103921,0.5,207956,0.3,276777,1.75 113,1,2024-09-07 09:18:01:693,702972,702972,0,0,329434122733,3401463442,699097,3287,588,366,391661,0 113,2,2024-09-07 09:18:01:306,507677,507677,0,0,18981628,0,3813 113,3,2024-09-07 09:18:00:691,1,398,5,0,340,4650,398,0 114,0,2024-09-07 09:18:00:891,99142,0.3,99719,0.5,198296,0.2,265000,1.75 114,1,2024-09-07 09:18:00:721,699904,699904,0,0,327948842613,3410549649,693662,4626,1616,381,391565,0 114,2,2024-09-07 09:18:00:873,505787,505786,1,0,20102379,0,5069 114,3,2024-09-07 09:18:01:281,1,398,1,0,395,3443,398,0 115,0,2024-09-07 09:18:00:561,97828,0.3,98299,0.4,196240,0.2,261082,1.50 115,1,2024-09-07 09:18:00:573,700023,700023,0,0,328891624778,3419440456,694171,4921,931,382,391757,0 115,2,2024-09-07 09:18:01:126,502080,502080,0,0,18957892,0,3848 115,3,2024-09-07 09:18:01:002,1,398,2,0,159,2322,398,0 116,0,2024-09-07 09:18:01:716,98392,0.8,98331,0.9,197285,0.9,263889,2.25 116,1,2024-09-07 09:18:00:804,696720,696720,0,0,326857647738,3446173655,688271,6127,2322,380,392089,0 116,2,2024-09-07 09:18:01:754,500968,500968,0,0,25037312,0,4128 116,3,2024-09-07 09:18:00:920,1,398,13,0,415,4792,398,0 117,0,2024-09-07 09:18:00:996,104713,0.8,104156,0.8,208885,0.9,278949,2.00 117,1,2024-09-07 09:18:01:578,697866,697866,0,0,327867925299,3434009868,690174,6782,910,369,392033,0 117,2,2024-09-07 09:18:01:196,507316,507316,0,0,21759803,0,4303 117,3,2024-09-07 09:18:01:061,1,398,13,0,490,6093,398,0 118,0,2024-09-07 09:18:01:792,96572,0.5,98952,0.7,202133,0.5,264323,2.00 118,1,2024-09-07 09:18:00:599,697620,697620,0,0,327914264656,3454889341,685774,8848,2998,366,391907,0 118,2,2024-09-07 09:18:01:601,505235,505235,0,0,23680871,0,2842 118,3,2024-09-07 09:18:01:766,1,398,66,0,248,4747,398,0 119,0,2024-09-07 09:18:01:340,97652,0.6,98133,0.7,196236,0.5,260583,2.00 119,1,2024-09-07 09:18:00:560,697778,697778,0,0,328304851055,3437763485,689620,7103,1055,367,391780,0 119,2,2024-09-07 09:18:01:261,500546,500546,0,0,21886877,0,4174 119,3,2024-09-07 09:18:01:329,1,398,0,0,1358,8359,398,0 120,0,2024-09-07 09:18:01:573,96455,0.6,96368,0.8,192979,0.6,258219,2.25 120,1,2024-09-07 09:18:00:875,698437,698437,0,0,327925807928,3442946446,690722,7034,681,368,391961,0 120,2,2024-09-07 09:18:00:785,501628,501627,1,0,25027613,0,5281 120,3,2024-09-07 09:18:01:299,1,398,9,0,279,5052,398,0 121,0,2024-09-07 09:18:01:775,103142,1.2,102973,1.0,206603,1.6,275214,2.25 121,1,2024-09-07 09:18:01:658,698826,698826,0,0,327796465932,3429249941,692176,6194,456,367,391840,0 121,2,2024-09-07 09:18:01:127,502721,502721,0,0,24435992,0,4127 121,3,2024-09-07 09:18:00:744,1,398,1,0,269,4561,398,0 122,0,2024-09-07 09:18:01:764,100347,0.8,97998,0.9,205583,0.9,269251,2.00 122,1,2024-09-07 09:18:00:874,697172,697172,0,0,327427361524,3438761458,687091,8482,1599,366,392130,0 122,2,2024-09-07 09:18:01:321,506158,506085,73,0,27128678,0,5989 122,3,2024-09-07 09:18:00:600,1,398,1,0,512,7608,398,0 123,0,2024-09-07 09:18:01:030,97328,0.6,95123,0.7,198810,0.6,260005,2.00 123,1,2024-09-07 09:18:00:581,696963,696963,0,0,327293350586,3451251423,683973,10630,2360,369,392039,0 123,2,2024-09-07 09:18:01:020,500058,500057,1,0,23082042,0,5215 123,3,2024-09-07 09:18:01:139,1,398,478,0,478,4900,398,0 124,0,2024-09-07 09:18:00:931,99270,0.3,99247,0.5,187160,0.3,256897,1.75 124,1,2024-09-07 09:18:01:030,700138,700138,0,0,328188813200,3413785579,694940,4149,1049,367,392178,0 124,2,2024-09-07 09:18:01:010,502730,502677,53,0,20937741,0,6487 124,3,2024-09-07 09:18:00:763,1,398,13,0,490,3962,398,0 125,0,2024-09-07 09:18:01:438,100866,0.4,100507,0.6,201873,0.4,269561,1.75 125,1,2024-09-07 09:18:00:881,698688,698688,0,0,327763070026,3423579565,693064,4957,667,382,391702,0 125,2,2024-09-07 09:18:01:117,503264,503264,0,0,21524759,0,4534 125,3,2024-09-07 09:18:01:126,1,398,1,0,709,5118,398,0 126,0,2024-09-07 09:18:01:431,103202,0.5,106292,0.6,203332,0.4,274819,1.75 126,1,2024-09-07 09:18:00:558,700861,700861,0,0,329408371314,3413056208,696910,3660,291,365,391987,0 126,2,2024-09-07 09:18:00:621,507064,507064,0,0,22326730,0,4539 126,3,2024-09-07 09:18:00:912,1,398,2,0,268,5153,398,0 127,0,2024-09-07 09:18:01:597,97907,0.3,98361,0.5,196213,0.3,260299,1.75 127,1,2024-09-07 09:18:00:586,699997,699997,0,0,328214116436,3415409456,693109,5781,1107,364,392187,0 127,2,2024-09-07 09:18:00:651,503852,503852,0,0,20244979,0,3897 127,3,2024-09-07 09:18:01:268,1,398,4,0,968,4476,398,0 128,0,2024-09-07 09:18:01:575,97975,0.3,98219,0.4,195916,0.2,260151,1.50 128,1,2024-09-07 09:18:01:611,699489,699489,0,0,328523055927,3413073044,694614,4443,432,367,391838,0 128,2,2024-09-07 09:18:01:384,501559,501559,0,0,19299572,0,2915 128,3,2024-09-07 09:18:00:770,1,398,8,0,1082,6426,398,0 129,0,2024-09-07 09:18:00:991,100289,0.3,99852,0.5,200093,0.3,266501,1.75 129,1,2024-09-07 09:18:00:592,697021,697021,0,0,327320046088,3433156031,689663,5691,1667,379,391835,0 129,2,2024-09-07 09:18:00:688,502404,502404,0,0,20946791,0,4031 129,3,2024-09-07 09:18:00:691,1,398,2,0,506,5366,398,0 130,0,2024-09-07 09:18:01:746,105473,0.6,104896,0.6,211364,0.6,280425,1.75 130,1,2024-09-07 09:18:00:583,700095,700095,0,0,327768262589,3408757079,696163,3594,338,381,391825,0 130,2,2024-09-07 09:18:01:125,507292,507292,0,0,21490290,0,4067 130,3,2024-09-07 09:18:01:295,1,398,2,0,535,5669,398,0 131,0,2024-09-07 09:18:01:963,98723,0.4,99131,0.5,199342,0.3,264328,1.75 131,1,2024-09-07 09:18:01:820,699440,699440,0,0,328700425275,3436560478,693493,4899,1048,381,391865,0 131,2,2024-09-07 09:18:00:587,507917,507917,0,0,19359207,0,2698 131,3,2024-09-07 09:18:01:692,1,398,1,0,392,4193,398,0 132,0,2024-09-07 09:18:01:433,97813,0.4,98777,0.6,196449,0.4,261243,1.75 132,1,2024-09-07 09:18:00:583,696292,696292,0,0,326352019013,3441817651,685208,8981,2103,381,392097,0 132,2,2024-09-07 09:18:00:708,499671,499654,17,0,25488883,0,6451 132,3,2024-09-07 09:18:01:695,1,398,3,0,804,7265,398,0 133,0,2024-09-07 09:18:01:562,95620,0.4,97784,0.6,200543,0.4,261509,2.00 133,1,2024-09-07 09:18:00:589,696287,696287,0,0,327123672189,3451722528,686015,8759,1513,383,391914,0 133,2,2024-09-07 09:18:01:088,500502,500452,50,0,27032574,0,6861 133,3,2024-09-07 09:18:01:313,1,398,2,0,479,4002,398,0 134,0,2024-09-07 09:18:00:975,104590,0.5,104211,0.7,208719,0.5,278721,2.00 134,1,2024-09-07 09:18:00:585,697266,697266,0,0,326631705146,3430691705,687497,7735,2034,366,391718,0 134,2,2024-09-07 09:18:01:758,503085,503061,24,0,24594407,0,6207 134,3,2024-09-07 09:18:00:758,1,398,2,0,739,5200,398,0 135,0,2024-09-07 09:18:01:116,96556,0.8,96524,0.9,204771,1.0,264125,2.25 135,1,2024-09-07 09:18:01:590,697446,697446,0,0,327862211383,3452860670,687590,8486,1370,380,391805,0 135,2,2024-09-07 09:18:00:694,506593,506593,0,0,24090674,0,3981 135,3,2024-09-07 09:18:01:002,1,398,1,0,299,3434,398,0 136,0,2024-09-07 09:18:01:750,98116,0.5,98265,0.7,195498,0.5,260765,2.00 136,1,2024-09-07 09:18:01:450,697660,697660,0,0,327551260433,3437210197,689523,7210,927,382,391685,0 136,2,2024-09-07 09:18:01:132,502306,502306,0,0,22721528,0,3506 136,3,2024-09-07 09:18:01:110,1,398,24,0,637,4681,398,0 137,0,2024-09-07 09:18:00:929,99580,0.6,96873,0.7,190398,0.6,258663,2.00 137,1,2024-09-07 09:18:00:583,697182,697182,0,0,327348220791,3437837658,686057,8778,2347,366,391898,0 137,2,2024-09-07 09:18:01:726,500616,500616,0,0,25573599,0,3185 137,3,2024-09-07 09:18:00:772,1,398,1,0,484,5125,398,0 138,0,2024-09-07 09:18:01:758,101414,1.1,101462,1.0,203713,1.5,270942,2.25 138,1,2024-09-07 09:18:01:696,697934,697934,0,0,327727173097,3441234115,687770,8444,1720,368,391954,0 138,2,2024-09-07 09:18:00:604,502429,502429,0,0,23301655,0,4988 138,3,2024-09-07 09:18:00:612,1,398,3,0,1160,5298,398,0 139,0,2024-09-07 09:18:01:377,100935,1.7,100917,1.2,202328,2.4,269871,2.50 139,1,2024-09-07 09:18:00:583,694679,694679,0,0,325536200115,3463001482,680624,10754,3301,380,392058,0 139,2,2024-09-07 09:18:00:827,502686,502686,0,0,26444589,0,3097 139,3,2024-09-07 09:18:01:666,1,398,54,0,432,4874,398,0 140,0,2024-09-07 09:18:01:589,98056,0.3,97411,0.5,195608,0.2,260221,1.75 140,1,2024-09-07 09:18:01:536,701827,701827,0,0,330247862137,3406635247,698274,3112,441,365,391606,0 140,2,2024-09-07 09:18:00:687,503943,503942,1,0,20515592,0,5036 140,3,2024-09-07 09:18:00:770,1,398,13,0,297,3450,398,0 141,0,2024-09-07 09:18:01:699,97204,0.3,99846,0.5,190764,0.3,258252,1.75 141,1,2024-09-07 09:18:00:881,700434,700434,0,0,329084205903,3418554613,695204,4276,954,379,391614,0 141,2,2024-09-07 09:18:01:693,501739,501739,0,0,19954798,0,3360 141,3,2024-09-07 09:18:01:045,1,398,1,0,391,4568,398,0 142,0,2024-09-07 09:18:01:310,100959,0.3,100301,0.5,200648,0.3,268200,1.75 142,1,2024-09-07 09:18:00:675,699380,699380,0,0,328453348403,3424506868,694908,4078,394,382,392102,0 142,2,2024-09-07 09:18:01:301,501703,501671,32,0,21714170,0,6028 142,3,2024-09-07 09:18:01:754,1,398,1,0,484,4698,398,0 143,0,2024-09-07 09:18:01:470,104059,0.5,103971,0.6,208336,0.5,276777,1.75 143,1,2024-09-07 09:18:00:577,700657,700657,0,0,328821073712,3415453747,695643,4470,544,367,391705,0 143,2,2024-09-07 09:18:00:772,506541,506541,0,0,21153432,0,3123 143,3,2024-09-07 09:18:01:151,1,398,3,0,462,5137,398,0 144,0,2024-09-07 09:18:01:500,95717,0.6,98340,0.8,200507,0.5,262837,2.00 144,1,2024-09-07 09:18:00:578,697137,697137,0,0,326686187241,3430124248,690167,5617,1353,381,391649,0 144,2,2024-09-07 09:18:01:755,506072,506072,0,0,20288933,0,3673 144,3,2024-09-07 09:18:01:752,1,398,0,0,249,4028,398,0 145,0,2024-09-07 09:18:01:371,94507,0.5,94515,0.8,200536,0.5,258148,2.25 145,1,2024-09-07 09:18:00:560,696760,696760,0,0,327281881867,3444386558,687573,7606,1581,382,391759,0 145,2,2024-09-07 09:18:01:439,498324,498243,81,0,24267310,0,7814 145,3,2024-09-07 09:18:00:899,1,398,4,0,622,5816,398,0 146,0,2024-09-07 09:18:01:605,98674,0.6,98157,0.8,197860,0.6,262346,2.25 146,1,2024-09-07 09:18:01:590,698506,698506,0,0,327618787704,3443393532,687661,8727,2118,367,391770,0 146,2,2024-09-07 09:18:01:705,500490,500490,0,0,23076349,0,2730 146,3,2024-09-07 09:18:01:275,1,398,3,0,1520,7884,398,0 147,0,2024-09-07 09:18:01:720,104586,0.7,104500,0.8,208116,0.6,279011,2.25 147,1,2024-09-07 09:18:01:382,700849,700849,0,0,329096325324,3423960228,694506,5499,844,368,391791,0 147,2,2024-09-07 09:18:01:014,504747,504747,0,0,21162037,0,2968 147,3,2024-09-07 09:18:00:924,1,398,1,0,1626,7154,398,0 0,0,2024-09-07 09:18:11:729,94579,0.6,94543,0.7,200662,0.7,259425,2.00 0,1,2024-09-07 09:18:10:801,700111,700111,0,0,329097177272,3444847218,694791,4934,386,369,391896,0 0,2,2024-09-07 09:18:11:073,504569,504569,0,0,20417813,0,4480 0,3,2024-09-07 09:18:10:976,1,399,16,0,431,6036,399,0 1,0,2024-09-07 09:18:11:763,103635,1.0,102958,1.0,206751,1.3,276743,2.00 1,1,2024-09-07 09:18:10:573,699672,699672,0,0,328372962589,3438266207,693455,4897,1320,370,391857,0 1,2,2024-09-07 09:18:10:668,504409,504409,0,0,20661351,0,3380 1,3,2024-09-07 09:18:11:306,1,399,1,0,262,4840,399,0 2,0,2024-09-07 09:18:11:568,100644,0.7,100997,0.8,201062,0.7,268185,2.00 2,1,2024-09-07 09:18:10:877,701981,701981,0,0,329618809418,3423636610,698704,2982,295,380,391745,0 2,2,2024-09-07 09:18:11:268,508244,508244,0,0,20049043,0,3594 2,3,2024-09-07 09:18:10:690,1,399,1,0,357,4038,399,0 3,0,2024-09-07 09:18:11:744,97803,0.4,97745,0.6,195399,0.4,259863,2.00 3,1,2024-09-07 09:18:11:621,700520,700520,0,0,328834103470,3427052383,694315,5515,690,380,391716,0 3,2,2024-09-07 09:18:11:146,502502,502479,23,0,20700250,0,5851 3,3,2024-09-07 09:18:11:751,1,399,0,0,207,2690,399,0 4,0,2024-09-07 09:18:11:763,93611,0.4,96192,0.5,196097,0.3,256297,1.75 4,1,2024-09-07 09:18:10:600,698227,698227,0,0,327484926170,3464602967,686596,9128,2503,370,391992,0 4,2,2024-09-07 09:18:11:020,501296,501296,0,0,23761536,0,4528 4,3,2024-09-07 09:18:11:039,1,399,8,0,448,5367,399,0 5,0,2024-09-07 09:18:11:378,100939,0.4,101544,0.6,202673,0.4,269992,1.75 5,1,2024-09-07 09:18:10:758,698909,698909,0,0,328216901583,3458793414,688581,8177,2151,367,392005,0 5,2,2024-09-07 09:18:11:829,501906,501906,0,0,23368531,0,3582 5,3,2024-09-07 09:18:11:732,1,399,0,0,457,5668,399,0 6,0,2024-09-07 09:18:10:923,103483,0.5,103119,0.7,205894,0.4,274569,2.00 6,1,2024-09-07 09:18:10:754,699597,699597,0,0,328053886448,3432279176,691531,6678,1388,379,391694,0 6,2,2024-09-07 09:18:11:117,506554,506536,18,0,23764703,0,5535 6,3,2024-09-07 09:18:11:274,1,399,4,0,710,5042,399,0 7,0,2024-09-07 09:18:11:536,97278,0.5,98002,0.6,195268,0.4,259504,2.00 7,1,2024-09-07 09:18:10:852,699137,699137,0,0,328004522591,3445482421,689380,8295,1462,382,391747,0 7,2,2024-09-07 09:18:10:770,505874,505874,0,0,23091182,0,4791 7,3,2024-09-07 09:18:10:852,1,399,1,0,398,4176,399,0 8,0,2024-09-07 09:18:11:334,97983,0.4,97655,0.5,195827,0.3,260732,1.75 8,1,2024-09-07 09:18:11:016,698205,698205,0,0,328261506659,3454544822,686852,8728,2625,366,392144,0 8,2,2024-09-07 09:18:10:795,497955,497955,0,0,26378347,0,3220 8,3,2024-09-07 09:18:10:590,1,399,14,0,538,6732,399,0 9,0,2024-09-07 09:18:11:132,100239,0.4,97291,0.5,203632,0.3,267235,1.75 9,1,2024-09-07 09:18:10:551,698479,698479,0,0,327350464586,3449740316,688177,8115,2187,369,392001,0 9,2,2024-09-07 09:18:11:092,502262,502262,0,0,23736326,0,3360 9,3,2024-09-07 09:18:11:752,1,399,1,0,496,5802,399,0 10,0,2024-09-07 09:18:11:601,104909,0.4,104331,0.6,209588,0.3,278581,1.75 10,1,2024-09-07 09:18:10:583,699547,699547,0,0,328802296849,3445233624,690228,8019,1300,381,391741,0 10,2,2024-09-07 09:18:10:762,507044,507044,0,0,26070619,0,4264 10,3,2024-09-07 09:18:10:875,1,399,96,0,649,4520,399,0 11,0,2024-09-07 09:18:11:010,98958,0.5,95984,0.6,200832,0.4,265048,1.75 11,1,2024-09-07 09:18:10:571,700066,700066,0,0,328800695824,3451487083,689307,8277,2482,383,391756,0 11,2,2024-09-07 09:18:11:123,505911,505911,0,0,23511676,0,4130 11,3,2024-09-07 09:18:11:298,1,399,2,0,843,6153,399,0 12,0,2024-09-07 09:18:10:953,98781,0.4,98770,0.5,197432,0.3,262066,1.75 12,1,2024-09-07 09:18:10:933,700700,700700,0,0,328864118624,3429070497,695316,4887,497,370,391870,0 12,2,2024-09-07 09:18:11:547,501600,501600,0,0,22073593,0,3469 12,3,2024-09-07 09:18:11:058,1,399,9,0,386,6188,399,0 13,0,2024-09-07 09:18:11:348,99302,0.4,98862,0.6,197319,0.4,263530,1.75 13,1,2024-09-07 09:18:11:524,698889,698889,0,0,327435125607,3443322010,691749,5567,1573,382,391740,0 13,2,2024-09-07 09:18:10:630,504694,504694,0,0,20408921,0,3287 13,3,2024-09-07 09:18:11:768,1,399,1,0,522,6103,399,0 14,0,2024-09-07 09:18:10:568,104651,0.4,105512,0.6,208942,0.4,278901,1.75 14,1,2024-09-07 09:18:11:562,703434,703434,0,0,330146250197,3422650993,697234,5492,708,364,391673,0 14,2,2024-09-07 09:18:10:764,506152,506122,30,0,22782770,0,6104 14,3,2024-09-07 09:18:11:119,1,399,84,0,1168,4651,399,0 15,0,2024-09-07 09:18:11:553,99618,0.4,99565,0.7,199514,0.4,265761,2.00 15,1,2024-09-07 09:18:11:609,700714,700714,0,0,329027373511,3427441713,695161,4575,978,381,391619,0 15,2,2024-09-07 09:18:10:998,509248,509248,0,0,18744756,0,3622 15,3,2024-09-07 09:18:11:406,1,399,1,0,1126,6858,399,0 16,0,2024-09-07 09:18:10:945,97975,0.5,98312,0.7,196260,0.5,260926,2.00 16,1,2024-09-07 09:18:10:562,700671,700671,0,0,328723232437,3436838622,694754,5074,843,370,391756,0 16,2,2024-09-07 09:18:11:434,501551,501551,0,0,21735082,0,4719 16,3,2024-09-07 09:18:11:151,1,399,12,0,317,5271,399,0 17,0,2024-09-07 09:18:11:813,99743,0.6,97340,0.8,190549,0.6,259109,2.00 17,1,2024-09-07 09:18:10:570,699447,699447,0,0,328348356041,3447494694,691882,6054,1511,368,392075,0 17,2,2024-09-07 09:18:11:683,505535,505535,0,0,21323039,0,2948 17,3,2024-09-07 09:18:10:579,1,399,32,0,518,6716,399,0 18,0,2024-09-07 09:18:10:939,101453,0.6,101763,0.8,202844,0.6,271324,2.25 18,1,2024-09-07 09:18:11:644,702066,702066,0,0,329587630464,3416955052,698193,3495,378,367,391725,0 18,2,2024-09-07 09:18:11:755,506418,506418,0,0,19632565,0,3541 18,3,2024-09-07 09:18:10:896,1,399,1,0,163,3174,399,0 19,0,2024-09-07 09:18:11:539,101279,0.7,101782,0.8,201852,0.7,268525,2.25 19,1,2024-09-07 09:18:10:571,702561,702561,0,0,329544947347,3421567208,696625,5015,921,367,391777,0 19,2,2024-09-07 09:18:11:759,509760,509760,0,0,18558341,0,3988 19,3,2024-09-07 09:18:11:132,1,399,6,0,524,3038,399,0 20,0,2024-09-07 09:18:11:349,97681,0.5,97686,0.6,195222,0.4,260290,2.00 20,1,2024-09-07 09:18:10:572,699775,699775,0,0,329152961755,3444124562,693391,5584,800,369,391886,0 20,2,2024-09-07 09:18:10:933,503576,503576,0,0,22222050,0,3721 20,3,2024-09-07 09:18:10:589,1,399,10,0,414,5998,399,0 21,0,2024-09-07 09:18:11:171,97082,0.5,97069,0.6,194081,0.5,257162,2.00 21,1,2024-09-07 09:18:11:551,697385,697385,0,0,327761887019,3458156763,686886,8233,2266,368,392016,0 21,2,2024-09-07 09:18:11:072,499676,499656,20,0,26879235,0,5617 21,3,2024-09-07 09:18:11:403,1,399,2,0,713,5307,399,0 22,0,2024-09-07 09:18:11:729,100415,0.5,100663,0.7,201618,0.5,267319,2.25 22,1,2024-09-07 09:18:11:035,699316,699316,0,0,328579014586,3455430955,688609,8524,2183,382,391667,0 22,2,2024-09-07 09:18:10:760,502098,502072,26,0,21493065,0,6328 22,3,2024-09-07 09:18:11:066,1,399,1,0,228,3387,399,0 23,0,2024-09-07 09:18:11:367,103472,0.6,102900,0.7,206310,0.5,274751,2.25 23,1,2024-09-07 09:18:11:003,700135,700135,0,0,328800017744,3456836815,687318,8765,4052,365,391690,0 23,2,2024-09-07 09:18:11:092,508327,508327,0,0,21251375,0,3773 23,3,2024-09-07 09:18:11:760,1,399,1,0,720,5511,399,0 24,0,2024-09-07 09:18:10:826,99549,0.4,99327,0.5,199229,0.4,264694,1.75 24,1,2024-09-07 09:18:10:589,699302,699302,0,0,327156166062,3431796786,691512,6206,1584,367,392269,0 24,2,2024-09-07 09:18:11:069,505212,505212,0,0,25422430,0,3607 24,3,2024-09-07 09:18:11:689,1,399,18,0,468,5609,399,0 25,0,2024-09-07 09:18:11:349,100597,0.4,98120,0.6,192253,0.3,262262,1.75 25,1,2024-09-07 09:18:10:570,698815,698815,0,0,328929792985,3466082459,687394,9217,2204,371,391928,0 25,2,2024-09-07 09:18:11:611,500147,500147,0,0,25181519,0,3978 25,3,2024-09-07 09:18:11:002,1,399,18,0,532,4499,399,0 26,0,2024-09-07 09:18:11:724,99073,0.4,96550,0.6,202511,0.4,263869,1.75 26,1,2024-09-07 09:18:11:554,700500,700500,0,0,328436137465,3440074532,690606,8342,1552,380,391748,0 26,2,2024-09-07 09:18:10:860,503527,503527,0,0,25283713,0,2809 26,3,2024-09-07 09:18:11:714,1,399,1,0,796,5076,399,0 27,0,2024-09-07 09:18:11:724,105239,0.5,105403,0.6,209446,0.4,280335,2.25 27,1,2024-09-07 09:18:11:675,702281,702281,0,0,329591530681,3434666702,695384,6005,892,381,391626,0 27,2,2024-09-07 09:18:10:867,504000,503935,65,0,23907386,0,5699 27,3,2024-09-07 09:18:11:023,1,399,1,0,564,4006,399,0 28,0,2024-09-07 09:18:11:410,100032,0.4,99599,0.6,199575,0.3,266439,2.00 28,1,2024-09-07 09:18:10:800,701061,701061,0,0,329459534579,3441107238,694181,5192,1688,383,391698,0 28,2,2024-09-07 09:18:11:769,507721,507721,0,0,21748315,0,2915 28,3,2024-09-07 09:18:11:777,1,399,8,0,502,4556,399,0 29,0,2024-09-07 09:18:11:419,100801,0.3,98272,0.6,192900,0.3,262420,1.75 29,1,2024-09-07 09:18:11:562,703298,703298,0,0,330422025959,3420930713,698499,4066,733,368,391753,0 29,2,2024-09-07 09:18:10:876,500971,500971,0,0,20423630,0,4986 29,3,2024-09-07 09:18:10:965,1,399,6,0,459,4812,399,0 30,0,2024-09-07 09:18:11:456,96951,0.5,94262,0.7,197432,0.4,258277,2.00 30,1,2024-09-07 09:18:10:634,702891,702891,0,0,329592468004,3424440796,697147,4978,766,381,391672,0 30,2,2024-09-07 09:18:11:276,503906,503906,0,0,19765547,0,4192 30,3,2024-09-07 09:18:10:582,1,399,1,0,519,3938,399,0 31,0,2024-09-07 09:18:11:759,103425,0.4,103892,0.6,207263,0.4,276970,2.00 31,1,2024-09-07 09:18:10:563,705077,705077,0,0,331242583821,3397286359,702099,2392,586,356,391712,0 31,2,2024-09-07 09:18:11:278,505103,505103,0,0,21624271,0,3525 31,3,2024-09-07 09:18:11:706,1,399,0,0,220,3507,399,0 32,0,2024-09-07 09:18:11:414,101118,0.3,101748,0.5,202596,0.3,269844,1.75 32,1,2024-09-07 09:18:10:812,702083,702083,0,0,330205057324,3436805583,696979,4424,680,381,391646,0 32,2,2024-09-07 09:18:10:941,508988,508988,0,0,19321647,0,3922 32,3,2024-09-07 09:18:11:022,1,399,26,0,304,3160,399,0 33,0,2024-09-07 09:18:11:500,98007,0.3,97564,0.4,195845,0.2,260352,1.50 33,1,2024-09-07 09:18:10:580,703106,703106,0,0,330269835798,3428251105,696691,5312,1103,369,391730,0 33,2,2024-09-07 09:18:10:759,503592,503557,35,0,21550252,0,7012 33,3,2024-09-07 09:18:10:898,1,399,6,0,329,4024,399,0 34,0,2024-09-07 09:18:10:928,96643,0.3,99453,0.4,190381,0.2,255615,1.75 34,1,2024-09-07 09:18:11:044,703960,703960,0,0,330477388188,3400118627,702227,1722,11,367,391562,0 34,2,2024-09-07 09:18:10:765,503618,503618,0,0,20263254,0,4562 34,3,2024-09-07 09:18:11:690,1,399,0,0,299,3103,399,0 35,0,2024-09-07 09:18:10:868,100576,0.4,100939,0.5,202872,0.3,270724,1.75 35,1,2024-09-07 09:18:11:068,702416,702416,0,0,328882112719,3410297655,698082,3478,856,382,391769,0 35,2,2024-09-07 09:18:11:583,503941,503941,0,0,20961863,0,2653 35,3,2024-09-07 09:18:10:908,1,399,8,0,418,4471,399,0 36,0,2024-09-07 09:18:11:518,103581,0.5,103468,0.7,206819,0.5,274854,2.25 36,1,2024-09-07 09:18:10:590,700849,700849,0,0,328822435234,3437701154,691381,7468,2000,366,391759,0 36,2,2024-09-07 09:18:11:752,507774,507774,0,0,22847498,0,3875 36,3,2024-09-07 09:18:10:865,1,399,8,0,416,5971,399,0 37,0,2024-09-07 09:18:11:398,97463,0.4,97561,0.6,195250,0.4,259888,2.00 37,1,2024-09-07 09:18:10:571,700821,700814,0,7,327711226843,3424620408,692502,6069,2243,365,391570,0 37,2,2024-09-07 09:18:11:146,503467,503452,15,0,22971323,0,5815 37,3,2024-09-07 09:18:11:767,1,399,0,0,888,6228,399,0 38,0,2024-09-07 09:18:11:443,97251,0.5,94383,0.6,197427,0.4,258384,2.00 38,1,2024-09-07 09:18:11:605,701643,701643,0,0,329004774271,3441958682,691261,8222,2160,368,391821,0 38,2,2024-09-07 09:18:10:760,501872,501825,47,0,22154365,0,6710 38,3,2024-09-07 09:18:10:997,1,399,0,0,689,5383,399,0 39,0,2024-09-07 09:18:11:761,102173,0.6,100044,0.7,194719,0.6,266350,2.00 39,1,2024-09-07 09:18:10:722,699943,699943,0,0,328751942790,3449212297,688246,9315,2382,365,391658,0 39,2,2024-09-07 09:18:11:416,503326,503326,0,0,21005745,0,2689 39,3,2024-09-07 09:18:10:713,1,399,1,0,324,4818,399,0 40,0,2024-09-07 09:18:11:494,103705,0.9,104432,1.0,208032,1.0,277315,2.75 40,1,2024-09-07 09:18:10:583,700882,700882,0,0,328131776822,3438798344,690575,8380,1927,368,391668,0 40,2,2024-09-07 09:18:11:303,506080,506079,1,0,24694895,0,5137 40,3,2024-09-07 09:18:11:145,1,399,12,0,1028,5657,399,0 41,0,2024-09-07 09:18:11:036,98508,1.5,100764,1.3,192311,2.3,261761,3.25 41,1,2024-09-07 09:18:10:794,699746,699746,0,0,328675747582,3441052259,690781,7965,1000,369,391742,0 41,2,2024-09-07 09:18:10:760,504974,504974,0,0,23782077,0,4277 41,3,2024-09-07 09:18:11:682,1,399,1,0,366,4096,399,0 42,0,2024-09-07 09:18:11:478,97327,0.9,97355,1.0,194871,1.0,257814,2.75 42,1,2024-09-07 09:18:11:442,698662,698662,0,0,327255998712,3442246253,687240,9495,1927,380,391675,0 42,2,2024-09-07 09:18:11:137,500204,500204,0,0,23762859,0,3790 42,3,2024-09-07 09:18:11:012,1,399,892,0,892,4167,399,0 43,0,2024-09-07 09:18:10:921,97282,0.8,94804,1.0,198600,0.9,260957,2.50 43,1,2024-09-07 09:18:10:583,700827,700827,0,0,329703670322,3447931726,690604,8485,1738,366,391696,0 43,2,2024-09-07 09:18:11:736,503203,503203,0,0,23623630,0,3812 43,3,2024-09-07 09:18:11:749,1,399,548,0,548,5390,399,0 44,0,2024-09-07 09:18:10:858,104414,0.4,104818,0.6,209827,0.4,278587,1.75 44,1,2024-09-07 09:18:10:567,702671,702671,0,0,329792668954,3413256889,696199,5154,1318,356,391809,0 44,2,2024-09-07 09:18:11:272,504889,504889,0,0,19876802,0,4344 44,3,2024-09-07 09:18:11:092,1,399,13,0,817,4891,399,0 45,0,2024-09-07 09:18:11:766,98631,0.5,96345,0.7,202061,0.5,266279,2.00 45,1,2024-09-07 09:18:11:005,701693,701693,0,0,329709010275,3428526726,696044,5076,573,382,391917,0 45,2,2024-09-07 09:18:11:269,508316,508316,0,0,20244422,0,3596 45,3,2024-09-07 09:18:10:947,1,399,8,0,271,3603,399,0 46,0,2024-09-07 09:18:10:972,97358,0.5,97328,0.7,194883,0.5,258541,2.00 46,1,2024-09-07 09:18:10:583,703070,703070,0,0,330812695338,3418880389,698549,3931,590,366,391709,0 46,2,2024-09-07 09:18:10:593,502315,502315,0,0,20068975,0,4443 46,3,2024-09-07 09:18:11:137,1,399,22,0,908,5351,399,0 47,0,2024-09-07 09:18:11:103,97213,0.4,97461,0.6,195307,0.4,258521,2.00 47,1,2024-09-07 09:18:10:569,703788,703788,0,0,329732721081,3409230027,699183,3790,815,366,391641,0 47,2,2024-09-07 09:18:10:908,505617,505617,0,0,19661658,0,4477 47,3,2024-09-07 09:18:11:117,1,399,30,0,600,4948,399,0 48,0,2024-09-07 09:18:11:490,102786,0.3,102603,0.5,204628,0.2,273499,1.75 48,1,2024-09-07 09:18:11:022,702258,702258,0,0,329691852810,3426180193,697710,4092,456,384,391710,0 48,2,2024-09-07 09:18:10:698,504174,504174,0,0,18745220,0,3411 48,3,2024-09-07 09:18:10:753,1,399,14,0,339,3332,399,0 49,0,2024-09-07 09:18:11:734,104565,0.4,102779,0.5,198991,0.3,272794,1.75 49,1,2024-09-07 09:18:11:021,701536,701536,0,0,328846851481,3424621107,696397,3934,1205,382,391809,0 49,2,2024-09-07 09:18:11:797,508387,508387,0,0,20828574,0,4426 49,3,2024-09-07 09:18:11:416,1,399,9,0,992,4956,399,0 50,0,2024-09-07 09:18:11:507,98232,0.3,96687,0.4,195171,0.2,259967,1.75 50,1,2024-09-07 09:18:11:015,704085,704085,0,0,331056120462,3425305864,699234,4277,574,368,391565,0 50,2,2024-09-07 09:18:11:067,503857,503857,0,0,18573776,0,2263 50,3,2024-09-07 09:18:11:292,1,399,2,0,567,4133,399,0 51,0,2024-09-07 09:18:11:690,99576,0.3,97375,0.5,189914,0.2,258193,1.75 51,1,2024-09-07 09:18:11:681,703938,703938,0,0,330994248922,3414344609,700402,2558,978,365,391706,0 51,2,2024-09-07 09:18:11:316,503172,503172,0,0,17825051,0,3337 51,3,2024-09-07 09:18:11:027,1,399,1,0,678,3383,399,0 52,0,2024-09-07 09:18:11:412,100791,0.5,100669,0.7,201725,0.5,268122,2.00 52,1,2024-09-07 09:18:10:580,700691,700691,0,0,328192851127,3436695211,690772,8603,1316,368,391722,0 52,2,2024-09-07 09:18:11:757,500444,500406,38,0,24007747,0,6742 52,3,2024-09-07 09:18:10:682,1,399,5,0,1782,5737,399,0 53,0,2024-09-07 09:18:11:775,103008,0.7,100076,0.8,209539,0.8,274641,2.50 53,1,2024-09-07 09:18:10:771,699484,699484,0,0,328483089339,3449530182,687781,8745,2958,367,391702,0 53,2,2024-09-07 09:18:11:298,507399,507398,1,0,21750642,0,5455 53,3,2024-09-07 09:18:10:697,1,399,1,0,308,3698,399,0 54,0,2024-09-07 09:18:11:615,97523,0.6,97828,0.8,195013,0.4,260577,2.25 54,1,2024-09-07 09:18:10:580,700686,700686,0,0,329050918127,3423217778,693433,6014,1239,366,391659,0 54,2,2024-09-07 09:18:10:865,506342,506310,32,0,25092191,0,6397 54,3,2024-09-07 09:18:10:763,1,399,13,0,676,6075,399,0 55,0,2024-09-07 09:18:11:771,94727,0.6,97936,0.7,197996,0.5,258214,2.25 55,1,2024-09-07 09:18:10:764,701881,701881,0,0,329086480539,3423368018,694277,6512,1092,365,391731,0 55,2,2024-09-07 09:18:10:728,500761,500705,56,0,23371820,0,7239 55,3,2024-09-07 09:18:10:679,1,399,2,0,304,4257,399,0 56,0,2024-09-07 09:18:11:617,101126,1.2,95354,1.2,196484,1.8,263268,2.75 56,1,2024-09-07 09:18:10:603,697190,697190,0,0,327879519431,3466300976,685788,9029,2373,381,391867,0 56,2,2024-09-07 09:18:11:303,502897,502897,0,0,23061761,0,3567 56,3,2024-09-07 09:18:11:059,1,399,8,0,705,4995,399,0 57,0,2024-09-07 09:18:10:944,103538,1.6,103368,1.3,207251,2.2,277680,3.25 57,1,2024-09-07 09:18:10:990,700051,700051,0,0,328462929413,3444164980,691692,7286,1073,366,392032,0 57,2,2024-09-07 09:18:11:317,506234,506234,0,0,25288195,0,3317 57,3,2024-09-07 09:18:11:738,1,399,1,0,359,4390,399,0 58,0,2024-09-07 09:18:10:565,98258,0.9,95397,1.0,199705,1.0,261887,2.50 58,1,2024-09-07 09:18:10:580,700258,700255,0,3,328709966667,3439558299,690502,8465,1288,367,391603,3 58,2,2024-09-07 09:18:11:070,506565,506565,0,0,23584626,0,2902 58,3,2024-09-07 09:18:11:068,1,399,7,0,1043,4304,399,0 59,0,2024-09-07 09:18:11:750,97941,0.7,97603,0.9,194790,0.8,258891,2.50 59,1,2024-09-07 09:18:10:803,699958,699958,0,0,328791888833,3445280497,690387,7867,1704,369,391653,0 59,2,2024-09-07 09:18:10:582,501525,501525,0,0,22734753,0,2867 59,3,2024-09-07 09:18:11:738,1,399,4,0,1015,5267,399,0 60,0,2024-09-07 09:18:11:732,97298,0.5,97230,0.7,195059,0.4,259237,1.75 60,1,2024-09-07 09:18:10:780,702369,702369,0,0,329928219506,3424631614,697813,3907,649,370,392031,0 60,2,2024-09-07 09:18:11:145,503704,503704,0,0,21858277,0,3811 60,3,2024-09-07 09:18:11:275,1,399,9,0,409,4823,399,0 61,0,2024-09-07 09:18:11:543,103346,0.6,103993,0.8,207068,0.6,276371,2.00 61,1,2024-09-07 09:18:10:776,700682,700682,0,0,328708184317,3445094437,692795,6489,1398,382,392127,0 61,2,2024-09-07 09:18:11:127,504933,504866,67,0,22276814,0,6411 61,3,2024-09-07 09:18:11:698,1,399,16,0,479,5630,399,0 62,0,2024-09-07 09:18:11:711,101684,0.6,104005,0.7,198543,0.6,269600,2.00 62,1,2024-09-07 09:18:11:119,705121,705115,0,6,330282120224,3407926414,701308,3546,261,365,391975,6 62,2,2024-09-07 09:18:11:644,506408,506407,1,0,22379956,0,5555 62,3,2024-09-07 09:18:11:145,1,399,1,0,482,3322,399,0 63,0,2024-09-07 09:18:11:450,98125,0.4,98007,0.6,196535,0.3,260748,1.75 63,1,2024-09-07 09:18:10:805,702300,702294,0,6,330100580883,3429331518,697391,4171,732,381,391800,6 63,2,2024-09-07 09:18:10:765,502846,502846,0,0,20191882,0,4369 63,3,2024-09-07 09:18:11:732,1,399,16,0,667,4183,399,0 64,0,2024-09-07 09:18:11:526,96016,0.5,96000,0.7,191736,0.5,254733,2.00 64,1,2024-09-07 09:18:10:769,701758,701758,0,0,328459529031,3425006801,695226,4829,1703,370,391783,0 64,2,2024-09-07 09:18:11:154,506705,506686,19,0,19872345,0,6121 64,3,2024-09-07 09:18:11:146,1,399,15,0,265,3744,399,0 65,0,2024-09-07 09:18:11:672,100119,0.7,100653,0.8,200582,0.8,268333,2.25 65,1,2024-09-07 09:18:10:866,700289,700289,0,0,328633969395,3437303955,695198,4551,540,382,391901,0 65,2,2024-09-07 09:18:11:693,504509,504509,0,0,23317448,0,3367 65,3,2024-09-07 09:18:11:696,1,399,1,0,782,4773,399,0 66,0,2024-09-07 09:18:11:764,102865,0.6,102840,0.8,205492,0.5,273344,2.25 66,1,2024-09-07 09:18:11:297,701841,701841,0,0,328610729788,3424620470,696820,4604,417,380,391743,0 66,2,2024-09-07 09:18:11:132,508982,508982,0,0,20345571,0,4956 66,3,2024-09-07 09:18:11:087,1,399,35,0,291,3623,399,0 67,0,2024-09-07 09:18:11:417,98110,0.5,97784,0.7,196175,0.4,260665,2.00 67,1,2024-09-07 09:18:10:766,702036,702035,0,1,329053535483,3428098079,697188,4058,789,380,391787,1 67,2,2024-09-07 09:18:10:583,507276,507276,0,0,19541206,0,3622 67,3,2024-09-07 09:18:11:750,1,399,0,0,392,4004,399,0 68,0,2024-09-07 09:18:10:597,97991,0.6,97788,0.7,195064,0.5,260464,2.00 68,1,2024-09-07 09:18:10:607,698939,698939,0,0,328017248425,3451447643,689628,6503,2808,381,391953,0 68,2,2024-09-07 09:18:11:044,500188,500088,100,0,26052518,0,8578 68,3,2024-09-07 09:18:10:728,1,399,7,0,417,4326,399,0 69,0,2024-09-07 09:18:11:753,99538,0.8,100074,0.9,199162,1.0,265032,2.25 69,1,2024-09-07 09:18:11:016,698686,698686,0,0,327656801222,3457005349,689225,7223,2238,384,391994,0 69,2,2024-09-07 09:18:11:744,502261,502232,29,0,27464584,0,6912 69,3,2024-09-07 09:18:10:761,1,399,3,0,698,5912,399,0 70,0,2024-09-07 09:18:11:538,103804,1.3,103936,1.2,209005,1.1,276709,2.75 70,1,2024-09-07 09:18:10:801,701759,701759,0,0,329306912564,3420427056,695827,5271,661,366,391725,0 70,2,2024-09-07 09:18:11:324,506460,506460,0,0,23660491,0,4323 70,3,2024-09-07 09:18:10:748,1,399,1,0,854,4719,399,0 71,0,2024-09-07 09:18:11:364,98236,0.8,97843,1.0,196555,1.0,263288,2.75 71,1,2024-09-07 09:18:11:595,701099,701099,0,0,328878855734,3433615642,692847,7452,800,368,391738,0 71,2,2024-09-07 09:18:11:074,506388,506388,0,0,23601974,0,4352 71,3,2024-09-07 09:18:11:751,1,399,0,0,644,5222,399,0 72,0,2024-09-07 09:18:11:056,101196,0.5,98907,0.7,193009,0.5,262142,2.00 72,1,2024-09-07 09:18:11:035,700202,700202,0,0,328209686815,3444974001,689851,8383,1968,369,391819,0 72,2,2024-09-07 09:18:11:755,500515,500515,0,0,25114104,0,3983 72,3,2024-09-07 09:18:11:754,1,399,3,0,564,5960,399,0 73,0,2024-09-07 09:18:11:130,96040,0.4,98390,0.6,201392,0.4,261972,2.00 73,1,2024-09-07 09:18:10:776,701286,701286,0,0,328527557386,3414041904,696386,4535,365,367,391858,0 73,2,2024-09-07 09:18:11:739,503000,503000,0,0,24607497,0,3701 73,3,2024-09-07 09:18:10:969,1,399,1,0,486,5560,399,0 74,0,2024-09-07 09:18:11:357,105381,0.5,107560,0.6,205196,0.4,278725,2.00 74,1,2024-09-07 09:18:10:637,700815,700815,0,0,328380905763,3429892639,693388,6024,1403,381,391762,0 74,2,2024-09-07 09:18:11:003,505040,505040,0,0,22942486,0,4253 74,3,2024-09-07 09:18:11:442,1,399,3,0,522,5448,399,0 75,0,2024-09-07 09:18:11:775,100277,0.5,99668,0.7,200076,0.5,267311,2.25 75,1,2024-09-07 09:18:11:584,700820,700820,0,0,328786933715,3432077800,694016,5977,827,380,391739,0 75,2,2024-09-07 09:18:11:350,506107,506107,0,0,25029671,0,4766 75,3,2024-09-07 09:18:11:072,1,399,1,0,702,5217,399,0 76,0,2024-09-07 09:18:10:635,97430,0.6,96691,0.7,194385,0.5,259375,2.25 76,1,2024-09-07 09:18:10:805,700923,700923,0,0,328257663843,3426565106,695750,4386,787,382,391692,0 76,2,2024-09-07 09:18:11:061,503829,503828,1,0,22061307,0,5144 76,3,2024-09-07 09:18:11:144,1,399,8,0,175,3767,399,0 77,0,2024-09-07 09:18:11:693,96588,0.6,96960,0.7,193753,0.6,257379,2.00 77,1,2024-09-07 09:18:10:828,701440,701440,0,0,329219406990,3437868418,695743,5197,500,381,391869,0 77,2,2024-09-07 09:18:11:283,503426,503426,0,0,21721210,0,3890 77,3,2024-09-07 09:18:11:093,1,399,41,0,401,4669,399,0 78,0,2024-09-07 09:18:11:733,102638,0.5,102117,0.7,205049,0.4,272129,2.00 78,1,2024-09-07 09:18:10:618,701772,701772,0,0,328408229603,3422824185,694249,5957,1566,367,391670,0 78,2,2024-09-07 09:18:11:407,504799,504786,13,0,20522197,0,8313 78,3,2024-09-07 09:18:11:137,1,399,3,0,181,3632,399,0 79,0,2024-09-07 09:18:11:364,98226,0.4,100604,0.6,206109,0.4,267562,2.25 79,1,2024-09-07 09:18:10:572,703218,703218,0,0,329584032815,3416825234,697321,4748,1149,367,391682,0 79,2,2024-09-07 09:18:11:072,508930,508930,0,0,20203747,0,4195 79,3,2024-09-07 09:18:10:752,1,399,4,0,418,5097,399,0 80,0,2024-09-07 09:18:11:126,97663,0.5,100569,0.7,192385,0.5,259430,2.00 80,1,2024-09-07 09:18:11:630,700900,700900,0,0,329657227856,3435030136,695821,4755,324,368,392269,0 80,2,2024-09-07 09:18:11:098,505673,505673,0,0,20022240,0,4433 80,3,2024-09-07 09:18:10:580,1,399,22,0,190,4757,399,0 81,0,2024-09-07 09:18:11:535,97036,0.5,99392,0.7,189664,0.5,257065,2.00 81,1,2024-09-07 09:18:11:674,700406,700406,0,0,327638847830,3426397964,694649,5251,506,382,391879,0 81,2,2024-09-07 09:18:11:134,501580,501517,63,0,22012769,0,5932 81,3,2024-09-07 09:18:11:119,1,399,9,0,719,5022,399,0 82,0,2024-09-07 09:18:11:536,100170,0.5,100522,0.8,201547,0.5,267497,2.00 82,1,2024-09-07 09:18:10:583,702251,702247,0,4,329100748226,3426655830,697555,3875,817,381,391768,4 82,2,2024-09-07 09:18:11:691,504702,504702,0,0,18888128,0,3986 82,3,2024-09-07 09:18:11:752,1,399,1,0,363,4499,399,0 83,0,2024-09-07 09:18:11:522,103851,0.7,103783,0.8,206683,0.8,274894,2.25 83,1,2024-09-07 09:18:10:553,700173,700173,0,0,329198886865,3435536787,694733,4990,450,382,391709,0 83,2,2024-09-07 09:18:10:764,507552,507552,0,0,19595707,0,3393 83,3,2024-09-07 09:18:10:749,1,399,3,0,1260,5387,399,0 84,0,2024-09-07 09:18:11:792,98372,0.7,98410,0.8,196262,0.6,263026,2.25 84,1,2024-09-07 09:18:11:041,700025,700025,0,0,328218841899,3432724574,692368,6618,1039,367,391967,0 84,2,2024-09-07 09:18:10:572,505132,505102,30,0,27115106,0,5971 84,3,2024-09-07 09:18:11:146,1,399,29,0,908,5989,399,0 85,0,2024-09-07 09:18:11:015,94474,0.6,94475,0.8,200495,0.6,259390,2.25 85,1,2024-09-07 09:18:10:562,698573,698573,0,0,327965778126,3463237447,688094,8616,1863,381,392006,0 85,2,2024-09-07 09:18:10:864,500801,500801,0,0,24342834,0,3656 85,3,2024-09-07 09:18:10:685,1,399,1,0,789,5110,399,0 86,0,2024-09-07 09:18:10:963,98862,0.7,101731,0.8,194535,0.7,263425,2.25 86,1,2024-09-07 09:18:10:907,700378,700378,0,0,329462534847,3452664923,691954,6987,1437,366,391961,0 86,2,2024-09-07 09:18:10:951,501058,501057,1,0,26782733,0,5004 86,3,2024-09-07 09:18:10:593,1,399,4,0,308,5961,399,0 87,0,2024-09-07 09:18:11:294,104806,1.0,104706,0.9,209693,1.3,280429,2.50 87,1,2024-09-07 09:18:10:564,698822,698822,0,0,328151854054,3443822655,688963,8269,1590,366,392076,0 87,2,2024-09-07 09:18:11:074,504697,504691,6,0,24192369,0,6323 87,3,2024-09-07 09:18:11:817,1,399,5,0,473,6192,399,0 88,0,2024-09-07 09:18:11:437,99561,0.4,100373,0.6,199786,0.4,266203,1.75 88,1,2024-09-07 09:18:10:573,698226,698226,0,0,328714209187,3444231965,689179,7115,1932,365,392084,0 88,2,2024-09-07 09:18:10:691,507233,507233,0,0,25719459,0,4465 88,3,2024-09-07 09:18:11:266,1,399,10,0,435,4199,399,0 89,0,2024-09-07 09:18:11:775,101041,0.4,97859,0.6,193482,0.4,262565,1.75 89,1,2024-09-07 09:18:10:553,699009,699009,0,0,328435575002,3454049035,690197,7388,1424,382,391866,0 89,2,2024-09-07 09:18:11:137,500274,500274,0,0,24101919,0,3173 89,3,2024-09-07 09:18:11:798,1,399,1,0,468,7376,399,0 90,0,2024-09-07 09:18:11:664,94507,0.5,96968,0.6,197904,0.4,258305,2.00 90,1,2024-09-07 09:18:10:597,700166,700166,0,0,328316732224,3439412190,693564,6093,509,380,391825,0 90,2,2024-09-07 09:18:11:437,501460,501460,0,0,25505880,0,3060 90,3,2024-09-07 09:18:10:936,1,399,12,0,322,5043,399,0 91,0,2024-09-07 09:18:10:930,104162,0.5,100858,0.6,210842,0.4,276968,1.75 91,1,2024-09-07 09:18:10:630,698975,698975,0,0,328488542593,3455993142,689945,7679,1351,381,392047,0 91,2,2024-09-07 09:18:11:334,505468,505468,0,0,22733480,0,2896 91,3,2024-09-07 09:18:10:600,1,399,16,0,216,4089,399,0 92,0,2024-09-07 09:18:11:448,101881,0.5,104432,0.6,199569,0.5,269912,1.75 92,1,2024-09-07 09:18:10:582,700645,700645,0,0,328959350788,3436891653,695195,4727,723,382,392136,0 92,2,2024-09-07 09:18:11:350,508815,508815,0,0,20554782,0,3259 92,3,2024-09-07 09:18:11:013,1,399,3,0,167,3597,399,0 93,0,2024-09-07 09:18:10:952,98556,0.4,101014,0.5,192753,0.3,260856,1.75 93,1,2024-09-07 09:18:10:805,699786,699786,0,0,328942365229,3436858539,691993,6447,1346,366,391776,0 93,2,2024-09-07 09:18:10:927,502312,502312,0,0,24501740,0,4845 93,3,2024-09-07 09:18:11:408,1,399,6,0,190,3640,399,0 94,0,2024-09-07 09:18:11:680,96095,0.4,96885,0.5,193575,0.3,256372,1.75 94,1,2024-09-07 09:18:10:584,701069,701069,0,0,329202685744,3433736109,696678,4197,194,381,391850,0 94,2,2024-09-07 09:18:10:765,502865,502865,0,0,20588416,0,2443 94,3,2024-09-07 09:18:11:714,1,399,41,0,576,5433,399,0 95,0,2024-09-07 09:18:11:352,100962,0.4,100964,0.5,202586,0.3,270546,1.75 95,1,2024-09-07 09:18:10:866,702385,702385,0,0,329137791825,3422529180,696844,5130,411,367,391786,0 95,2,2024-09-07 09:18:11:022,503705,503705,0,0,20397611,0,3308 95,3,2024-09-07 09:18:11:718,1,399,2,0,718,6022,399,0 96,0,2024-09-07 09:18:11:044,103407,0.4,103729,0.5,207095,0.4,274458,1.75 96,1,2024-09-07 09:18:11:583,700368,700368,0,0,329054177362,3435917454,694863,4627,878,384,391955,0 96,2,2024-09-07 09:18:11:267,508307,508307,0,0,21223368,0,4180 96,3,2024-09-07 09:18:11:145,1,399,11,0,411,4541,399,0 97,0,2024-09-07 09:18:11:335,98120,0.3,97788,0.5,196239,0.3,260531,1.50 97,1,2024-09-07 09:18:10:762,701971,701971,0,0,330269387822,3428530642,696867,4175,929,367,392140,0 97,2,2024-09-07 09:18:10:611,505910,505910,0,0,20670761,0,3679 97,3,2024-09-07 09:18:10:572,1,399,3,0,242,4616,399,0 98,0,2024-09-07 09:18:11:768,97557,0.3,97605,0.4,195998,0.2,260281,1.50 98,1,2024-09-07 09:18:10:583,700870,700870,0,0,328910405560,3429356983,696135,3955,780,382,391997,0 98,2,2024-09-07 09:18:10:774,502561,502561,0,0,20415607,0,3243 98,3,2024-09-07 09:18:10:713,1,399,1,0,840,6541,399,0 99,0,2024-09-07 09:18:11:453,99989,0.3,100642,0.5,200074,0.3,267444,1.75 99,1,2024-09-07 09:18:11:726,701436,701436,0,0,329144812942,3428251360,696590,4012,834,381,392069,0 99,2,2024-09-07 09:18:11:417,504084,504084,0,0,23725966,0,3424 99,3,2024-09-07 09:18:10:582,1,399,7,0,606,4497,399,0 100,0,2024-09-07 09:18:11:462,104676,0.9,104798,1.0,208938,1.1,279309,2.50 100,1,2024-09-07 09:18:10:575,698114,698114,0,0,327176078621,3459296253,687506,8565,2043,381,391989,0 100,2,2024-09-07 09:18:11:839,505164,505153,11,0,24084709,0,5417 100,3,2024-09-07 09:18:11:731,1,399,66,0,559,6744,399,0 101,0,2024-09-07 09:18:11:704,101203,1.0,98455,1.0,193478,0.8,265138,2.25 101,1,2024-09-07 09:18:10:555,698197,698197,0,0,327523028338,3450127141,687544,8533,2120,368,391847,0 101,2,2024-09-07 09:18:11:755,503278,503278,0,0,28936487,0,4871 101,3,2024-09-07 09:18:10:942,1,399,12,0,579,5777,399,0 102,0,2024-09-07 09:18:11:003,95486,0.6,98498,0.8,199566,0.6,261163,2.00 102,1,2024-09-07 09:18:11:155,698455,698455,0,0,327821249257,3449156571,688627,8068,1760,369,391891,0 102,2,2024-09-07 09:18:11:742,501597,501543,54,0,22685498,0,6768 102,3,2024-09-07 09:18:11:627,1,399,88,0,410,4114,399,0 103,0,2024-09-07 09:18:11:634,101700,0.6,101705,0.7,191560,0.6,264084,2.00 103,1,2024-09-07 09:18:11:631,697266,697266,0,0,328013509191,3467640236,685383,8818,3065,381,391829,0 103,2,2024-09-07 09:18:10:603,501295,501295,0,0,25342482,0,3766 103,3,2024-09-07 09:18:10:794,1,399,81,0,916,4551,399,0 104,0,2024-09-07 09:18:11:009,103746,0.7,104182,0.9,206991,0.7,278050,2.25 104,1,2024-09-07 09:18:11:600,699556,699556,0,0,327984555223,3448302552,689077,8673,1806,365,392168,0 104,2,2024-09-07 09:18:11:668,504364,504364,0,0,24661068,0,3941 104,3,2024-09-07 09:18:11:417,1,399,21,0,1245,8180,399,0 105,0,2024-09-07 09:18:11:166,98732,0.9,96098,1.1,201338,1.0,265463,2.75 105,1,2024-09-07 09:18:10:560,700362,700362,0,0,328786029471,3447560731,691151,7791,1420,366,392009,0 105,2,2024-09-07 09:18:11:324,506781,506781,0,0,24534923,0,3509 105,3,2024-09-07 09:18:11:308,1,399,149,0,399,6307,399,0 106,0,2024-09-07 09:18:11:041,94495,0.7,96853,0.9,198256,0.8,259219,2.50 106,1,2024-09-07 09:18:11:754,699128,699128,0,0,327848948674,3447144278,688161,9523,1444,369,391914,0 106,2,2024-09-07 09:18:10:764,500883,500883,0,0,23111133,0,2795 106,3,2024-09-07 09:18:10:683,1,399,1,0,470,5114,399,0 107,0,2024-09-07 09:18:11:179,96693,1.0,96941,0.9,193431,1.3,258437,2.25 107,1,2024-09-07 09:18:10:591,698390,698390,0,0,327368930781,3451985565,688704,8654,1032,381,392234,0 107,2,2024-09-07 09:18:11:301,501874,501873,1,0,24619063,0,5024 107,3,2024-09-07 09:18:11:755,1,399,32,0,370,6285,399,0 108,0,2024-09-07 09:18:11:865,102056,0.5,102810,0.6,204259,0.5,273264,1.75 108,1,2024-09-07 09:18:11:297,700699,700699,0,0,329116547556,3433472535,694763,5197,739,368,391857,0 108,2,2024-09-07 09:18:11:761,503301,503301,0,0,23131179,0,4246 108,3,2024-09-07 09:18:11:329,1,399,92,0,749,7710,399,0 109,0,2024-09-07 09:18:11:744,102563,0.4,101661,0.6,203304,0.4,271808,1.75 109,1,2024-09-07 09:18:10:590,698649,698649,0,0,328520923040,3448575841,691973,5562,1114,382,392132,0 109,2,2024-09-07 09:18:10:920,505706,505706,0,0,22783050,0,3617 109,3,2024-09-07 09:18:11:147,1,399,86,0,379,4780,399,0 110,0,2024-09-07 09:18:11:772,97555,0.4,95004,0.6,199196,0.3,260261,1.75 110,1,2024-09-07 09:18:11:643,701899,701899,0,0,328850169890,3416300642,696573,4150,1176,369,392045,0 110,2,2024-09-07 09:18:11:303,504352,504352,0,0,21710874,0,4067 110,3,2024-09-07 09:18:10:691,1,399,1,0,722,5791,399,0 111,0,2024-09-07 09:18:11:421,97490,0.4,96609,0.5,193893,0.3,258433,1.75 111,1,2024-09-07 09:18:11:000,702433,702433,0,0,329807279794,3421087311,698828,3251,354,380,391690,0 111,2,2024-09-07 09:18:11:122,502073,502073,0,0,21200168,0,4823 111,3,2024-09-07 09:18:10:913,1,399,7,0,379,4745,399,0 112,0,2024-09-07 09:18:10:919,101256,0.3,100795,0.4,201797,0.2,268516,1.50 112,1,2024-09-07 09:18:10:825,702306,702306,0,0,329037906721,3416185117,697876,3818,612,380,391624,0 112,2,2024-09-07 09:18:11:147,503701,503700,1,0,20257844,0,5036 112,3,2024-09-07 09:18:10:592,1,399,10,0,282,4050,399,0 113,0,2024-09-07 09:18:10:889,103850,0.3,104009,0.5,208145,0.3,277018,1.75 113,1,2024-09-07 09:18:11:691,704720,704720,0,0,330065006812,3407908979,700845,3287,588,366,391661,0 113,2,2024-09-07 09:18:11:306,508758,508758,0,0,19001682,0,3813 113,3,2024-09-07 09:18:10:685,1,399,10,0,340,4660,399,0 114,0,2024-09-07 09:18:10:876,99361,0.3,99963,0.5,198768,0.2,265578,1.75 114,1,2024-09-07 09:18:10:715,701634,701634,0,0,328949762403,3420755506,695392,4626,1616,381,391565,0 114,2,2024-09-07 09:18:10:876,506742,506741,1,0,20132301,0,5069 114,3,2024-09-07 09:18:11:282,1,399,1,0,395,3444,399,0 115,0,2024-09-07 09:18:10:556,98133,0.3,98626,0.4,196895,0.2,261945,1.50 115,1,2024-09-07 09:18:10:571,701813,701813,0,0,329855008565,3429237450,695961,4921,931,382,391757,0 115,2,2024-09-07 09:18:11:130,503594,503594,0,0,19014416,0,3848 115,3,2024-09-07 09:18:11:004,1,399,14,0,159,2336,399,0 116,0,2024-09-07 09:18:11:703,98650,0.8,98619,0.9,197842,0.9,264567,2.25 116,1,2024-09-07 09:18:10:803,698461,698461,0,0,327585233922,3453936894,690012,6127,2322,380,392089,0 116,2,2024-09-07 09:18:11:758,502349,502349,0,0,25100963,0,4128 116,3,2024-09-07 09:18:10:913,1,399,9,0,415,4801,399,0 117,0,2024-09-07 09:18:10:955,105270,0.8,104730,0.8,209936,0.9,280712,2.00 117,1,2024-09-07 09:18:11:583,699673,699673,0,0,328679392963,3442529826,691977,6786,910,369,392033,0 117,2,2024-09-07 09:18:11:122,508468,508468,0,0,21809316,0,4303 117,3,2024-09-07 09:18:11:059,1,399,102,0,490,6195,399,0 118,0,2024-09-07 09:18:11:805,96900,0.5,99269,0.7,202760,0.5,265183,2.00 118,1,2024-09-07 09:18:10:587,699434,699434,0,0,328906135062,3465338535,687583,8853,2998,366,391907,0 118,2,2024-09-07 09:18:11:599,506536,506536,0,0,23733374,0,2842 118,3,2024-09-07 09:18:11:764,1,399,2,0,248,4749,399,0 119,0,2024-09-07 09:18:11:338,97792,0.6,98292,0.7,196529,0.5,260985,2.00 119,1,2024-09-07 09:18:10:578,699492,699492,0,0,329096093884,3446388012,691289,7148,1055,367,391780,0 119,2,2024-09-07 09:18:11:273,501933,501933,0,0,21957932,0,4174 119,3,2024-09-07 09:18:11:325,1,399,1,0,1358,8360,399,0 120,0,2024-09-07 09:18:11:549,96710,0.6,96577,0.8,193461,0.6,258810,2.25 120,1,2024-09-07 09:18:10:864,700191,700191,0,0,328632290937,3450355420,692476,7034,681,368,391961,0 120,2,2024-09-07 09:18:10:771,502733,502732,1,0,25297327,0,5281 120,3,2024-09-07 09:18:11:293,1,399,1,0,279,5053,399,0 121,0,2024-09-07 09:18:11:728,103510,1.2,103336,1.0,207350,1.6,276063,2.25 121,1,2024-09-07 09:18:11:665,700602,700602,0,0,328852162431,3440114168,693951,6195,456,367,391840,0 121,2,2024-09-07 09:18:11:133,504065,504065,0,0,24515100,0,4127 121,3,2024-09-07 09:18:10:735,1,399,1,0,269,4562,399,0 122,0,2024-09-07 09:18:11:766,100502,0.8,98159,0.9,205899,0.9,269658,2.00 122,1,2024-09-07 09:18:10:859,698880,698880,0,0,328149673588,3446727576,688737,8544,1599,366,392130,0 122,2,2024-09-07 09:18:11:319,507476,507403,73,0,27408650,0,5989 122,3,2024-09-07 09:18:10:598,1,399,3,0,512,7611,399,0 123,0,2024-09-07 09:18:11:010,97430,0.6,95217,0.7,199011,0.6,260230,2.00 123,1,2024-09-07 09:18:10:562,698642,698642,0,0,328201737218,3461363372,685528,10748,2366,369,392039,0 123,2,2024-09-07 09:18:11:021,500876,500875,1,0,23130730,0,5215 123,3,2024-09-07 09:18:11:138,1,399,10,0,478,4910,399,0 124,0,2024-09-07 09:18:10:919,99387,0.3,99364,0.5,187383,0.3,257211,1.75 124,1,2024-09-07 09:18:11:022,701879,701879,0,0,328892479017,3421067104,696681,4149,1049,367,392178,0 124,2,2024-09-07 09:18:11:015,504224,504171,53,0,20997216,0,6487 124,3,2024-09-07 09:18:10:759,1,399,14,0,490,3976,399,0 125,0,2024-09-07 09:18:11:420,101332,0.4,101014,0.6,202840,0.4,270892,1.75 125,1,2024-09-07 09:18:10:855,700386,700386,0,0,328606859239,3432385243,694761,4958,667,382,391702,0 125,2,2024-09-07 09:18:11:117,504758,504758,0,0,21637439,0,4534 125,3,2024-09-07 09:18:11:128,1,399,0,0,709,5118,399,0 126,0,2024-09-07 09:18:11:437,103309,0.5,106403,0.6,203575,0.4,275116,1.75 126,1,2024-09-07 09:18:10:565,702662,702662,0,0,330147486770,3420669328,698711,3660,291,365,391987,0 126,2,2024-09-07 09:18:10:611,508460,508460,0,0,22398360,0,4539 126,3,2024-09-07 09:18:10:907,1,399,2,0,268,5155,399,0 127,0,2024-09-07 09:18:11:621,98003,0.3,98453,0.5,196415,0.3,260550,1.75 127,1,2024-09-07 09:18:10:570,701867,701867,0,0,329091468516,3424424881,694978,5782,1107,364,392187,0 127,2,2024-09-07 09:18:10:638,504619,504619,0,0,20271067,0,3897 127,3,2024-09-07 09:18:11:275,1,399,12,0,968,4488,399,0 128,0,2024-09-07 09:18:11:519,98192,0.3,98413,0.4,196335,0.2,260691,1.50 128,1,2024-09-07 09:18:11:606,701255,701255,0,0,329467400428,3422696851,696377,4446,432,367,391838,0 128,2,2024-09-07 09:18:11:383,503207,503207,0,0,19331200,0,2915 128,3,2024-09-07 09:18:10:771,1,399,8,0,1082,6434,399,0 129,0,2024-09-07 09:18:11:018,100724,0.3,100277,0.5,200938,0.3,267690,1.75 129,1,2024-09-07 09:18:10:568,698775,698775,0,0,328005817733,3440294512,691417,5691,1667,379,391835,0 129,2,2024-09-07 09:18:10:699,503812,503812,0,0,21037076,0,4031 129,3,2024-09-07 09:18:10:689,1,399,1,0,506,5367,399,0 130,0,2024-09-07 09:18:11:736,105617,0.6,105032,0.6,211649,0.6,280733,1.75 130,1,2024-09-07 09:18:10:583,701716,701716,0,0,328617879607,3417592903,697781,3597,338,381,391825,0 130,2,2024-09-07 09:18:11:131,508537,508537,0,0,21510799,0,4067 130,3,2024-09-07 09:18:11:296,1,399,1,0,535,5670,399,0 131,0,2024-09-07 09:18:11:929,99020,0.4,99420,0.5,199934,0.3,265158,1.75 131,1,2024-09-07 09:18:11:834,701184,701184,0,0,329471258372,3444497706,695236,4900,1048,381,391865,0 131,2,2024-09-07 09:18:10:571,509068,509068,0,0,19388949,0,2698 131,3,2024-09-07 09:18:11:707,1,399,1,0,392,4194,399,0 132,0,2024-09-07 09:18:11:438,98037,0.4,98988,0.6,196860,0.4,261851,1.75 132,1,2024-09-07 09:18:10:583,698060,698060,0,0,327476667478,3453547150,686973,8984,2103,381,392097,0 132,2,2024-09-07 09:18:10:708,501123,501106,17,0,25548468,0,6451 132,3,2024-09-07 09:18:11:689,1,399,17,0,804,7282,399,0 133,0,2024-09-07 09:18:11:523,96014,0.4,98275,0.6,201478,0.4,262690,2.00 133,1,2024-09-07 09:18:10:585,698074,698074,0,0,327877013687,3459934567,687770,8791,1513,383,391914,0 133,2,2024-09-07 09:18:11:089,501820,501770,50,0,27205528,0,6861 133,3,2024-09-07 09:18:11:306,1,399,1,0,479,4003,399,0 134,0,2024-09-07 09:18:10:953,104895,0.5,104476,0.7,209292,0.5,279405,2.00 134,1,2024-09-07 09:18:10:584,698972,698972,0,0,327332471793,3437981962,689203,7735,2034,366,391718,0 134,2,2024-09-07 09:18:11:761,504177,504153,24,0,24691204,0,6207 134,3,2024-09-07 09:18:10:750,1,399,1,0,739,5201,399,0 135,0,2024-09-07 09:18:11:121,96881,0.8,96848,0.9,205483,0.9,264882,2.25 135,1,2024-09-07 09:18:11:585,699121,699121,0,0,328573672956,3460525954,689261,8490,1370,380,391805,0 135,2,2024-09-07 09:18:10:696,507768,507768,0,0,24181013,0,3981 135,3,2024-09-07 09:18:11:002,1,399,1,0,299,3435,399,0 136,0,2024-09-07 09:18:11:630,98313,0.5,98446,0.7,195849,0.5,261269,2.00 136,1,2024-09-07 09:18:11:442,699589,699589,0,0,328429757117,3446540402,691451,7211,927,382,391685,0 136,2,2024-09-07 09:18:11:143,503311,503311,0,0,22760385,0,3506 136,3,2024-09-07 09:18:11:109,1,399,9,0,637,4690,399,0 137,0,2024-09-07 09:18:10:927,99928,0.6,97207,0.7,191044,0.6,259581,2.00 137,1,2024-09-07 09:18:10:586,698917,698917,0,0,328245468448,3447024527,687792,8778,2347,366,391898,0 137,2,2024-09-07 09:18:11:706,501851,501851,0,0,25676689,0,3185 137,3,2024-09-07 09:18:10:771,1,399,2,0,484,5127,399,0 138,0,2024-09-07 09:18:11:753,101873,1.1,101873,1.0,204559,1.5,272141,2.25 138,1,2024-09-07 09:18:11:694,699736,699736,0,0,328813812580,3452542095,689566,8449,1721,368,391954,0 138,2,2024-09-07 09:18:10:590,503913,503913,0,0,23401313,0,4988 138,3,2024-09-07 09:18:10:610,1,399,2,0,1160,5300,399,0 139,0,2024-09-07 09:18:11:370,101041,1.7,101034,1.2,202550,2.4,270180,2.50 139,1,2024-09-07 09:18:10:572,696405,696405,0,0,326287295369,3470934683,682350,10754,3301,380,392058,0 139,2,2024-09-07 09:18:10:692,504115,504115,0,0,26627989,0,3097 139,3,2024-09-07 09:18:11:662,1,399,2,0,432,4876,399,0 140,0,2024-09-07 09:18:11:589,98174,0.3,97524,0.5,195844,0.2,260545,1.75 140,1,2024-09-07 09:18:11:536,703595,703595,0,0,331065909269,3414985246,700041,3113,441,365,391606,0 140,2,2024-09-07 09:18:10:687,504573,504572,1,0,20534433,0,5036 140,3,2024-09-07 09:18:10:770,1,399,8,0,297,3458,399,0 141,0,2024-09-07 09:18:11:706,97213,0.3,99864,0.5,190790,0.3,258252,1.75 141,1,2024-09-07 09:18:10:874,702182,702182,0,0,330038298356,3428276541,696952,4276,954,379,391614,0 141,2,2024-09-07 09:18:11:691,503247,503247,0,0,20019560,0,3360 141,3,2024-09-07 09:18:11:050,1,399,1,0,391,4569,399,0 142,0,2024-09-07 09:18:11:306,101322,0.3,100663,0.5,201401,0.3,269122,1.75 142,1,2024-09-07 09:18:10:585,701208,701208,0,0,329212420164,3432465407,696736,4078,394,382,392102,0 142,2,2024-09-07 09:18:11:298,503115,503083,32,0,21794243,0,6028 142,3,2024-09-07 09:18:11:745,1,399,1,0,484,4699,399,0 143,0,2024-09-07 09:18:11:369,104135,0.5,104050,0.6,208494,0.5,277002,1.75 143,1,2024-09-07 09:18:10:560,702359,702359,0,0,329716426371,3424671732,697345,4470,544,367,391705,0 143,2,2024-09-07 09:18:10:773,507666,507666,0,0,21175426,0,3123 143,3,2024-09-07 09:18:11:145,1,399,0,0,462,5137,399,0 144,0,2024-09-07 09:18:11:504,95930,0.6,98558,0.8,200982,0.5,263422,2.00 144,1,2024-09-07 09:18:10:571,698865,698865,0,0,327391774887,3437506134,691893,5619,1353,381,391649,0 144,2,2024-09-07 09:18:11:755,507122,507122,0,0,20341083,0,3673 144,3,2024-09-07 09:18:11:744,1,399,7,0,249,4035,399,0 145,0,2024-09-07 09:18:11:367,94832,0.5,94817,0.8,201247,0.5,259055,2.25 145,1,2024-09-07 09:18:10:584,698498,698498,0,0,328293665462,3455504668,689242,7675,1581,382,391759,0 145,2,2024-09-07 09:18:11:431,499869,499788,81,0,24402229,0,7814 145,3,2024-09-07 09:18:10:895,1,399,22,0,622,5838,399,0 146,0,2024-09-07 09:18:11:591,98959,0.6,98412,0.8,198470,0.6,263019,2.25 146,1,2024-09-07 09:18:11:584,700170,700170,0,0,328493991510,3452421587,689324,8728,2118,367,391770,0 146,2,2024-09-07 09:18:11:696,501572,501572,0,0,23285270,0,2813 146,3,2024-09-07 09:18:11:277,1,399,20,0,1520,7904,399,0 147,0,2024-09-07 09:18:11:721,105114,0.7,105036,0.8,209248,0.7,280209,2.25 147,1,2024-09-07 09:18:11:382,702558,702558,0,0,329812595382,3431470466,696214,5500,844,368,391791,0 147,2,2024-09-07 09:18:11:014,505964,505964,0,0,21216228,0,2968 147,3,2024-09-07 09:18:10:916,1,399,2,0,1626,7156,399,0 0,0,2024-09-07 09:18:21:719,94837,0.6,94766,0.7,201119,0.7,260041,2.00 0,1,2024-09-07 09:18:20:804,701852,701852,0,0,329767784813,3451833496,696532,4934,386,369,391896,0 0,2,2024-09-07 09:18:21:068,505814,505814,0,0,20477776,0,4480 0,3,2024-09-07 09:18:20:973,1,400,53,0,431,6089,400,0 1,0,2024-09-07 09:18:21:764,104054,1.0,103351,1.0,207569,1.3,277790,2.00 1,1,2024-09-07 09:18:20:577,701370,701370,0,0,328936934787,3444262234,695152,4898,1320,370,391857,0 1,2,2024-09-07 09:18:20:645,505763,505763,0,0,20705070,0,3380 1,3,2024-09-07 09:18:21:326,1,400,1,0,262,4841,400,0 2,0,2024-09-07 09:18:21:574,100791,0.7,101170,0.8,201396,0.7,268659,2.00 2,1,2024-09-07 09:18:20:860,703732,703732,0,0,330401330686,3431778062,700455,2982,295,380,391745,0 2,2,2024-09-07 09:18:21:269,509575,509575,0,0,20103668,0,3594 2,3,2024-09-07 09:18:20:690,1,400,1,0,357,4039,400,0 3,0,2024-09-07 09:18:21:746,97897,0.4,97847,0.6,195599,0.4,260123,2.00 3,1,2024-09-07 09:18:21:634,702232,702232,0,0,329646338072,3435483098,696027,5515,690,380,391716,0 3,2,2024-09-07 09:18:21:142,503504,503481,23,0,20762489,0,5851 3,3,2024-09-07 09:18:21:754,1,400,1,0,207,2691,400,0 4,0,2024-09-07 09:18:21:783,93733,0.4,96320,0.5,196339,0.3,256632,1.75 4,1,2024-09-07 09:18:20:595,699940,699940,0,0,328575427700,3476054574,688309,9128,2503,370,391992,0 4,2,2024-09-07 09:18:21:037,502594,502594,0,0,23852541,0,4528 4,3,2024-09-07 09:18:21:036,1,400,8,0,448,5375,400,0 5,0,2024-09-07 09:18:21:425,101408,0.5,102051,0.6,203720,0.4,271305,1.75 5,1,2024-09-07 09:18:20:755,700754,700754,0,0,329141123111,3468500797,690426,8177,2151,367,392005,0 5,2,2024-09-07 09:18:21:829,503385,503385,0,0,23450974,0,3582 5,3,2024-09-07 09:18:21:733,1,400,10,0,457,5678,400,0 6,0,2024-09-07 09:18:20:920,103580,0.5,103243,0.7,206120,0.4,274861,2.00 6,1,2024-09-07 09:18:20:746,701140,701140,0,0,329087245603,3443139490,693047,6705,1388,379,391694,0 6,2,2024-09-07 09:18:21:143,508020,508002,18,0,23843801,0,5535 6,3,2024-09-07 09:18:21:285,1,400,4,0,710,5046,400,0 7,0,2024-09-07 09:18:21:539,97393,0.5,98099,0.6,195452,0.4,259761,2.00 7,1,2024-09-07 09:18:20:852,700893,700893,0,0,328987583430,3455666144,691132,8299,1462,382,391747,0 7,2,2024-09-07 09:18:20:774,506547,506547,0,0,23147570,0,4791 7,3,2024-09-07 09:18:20:852,1,400,552,0,552,4728,400,0 8,0,2024-09-07 09:18:21:417,98172,0.4,97841,0.5,196224,0.3,261213,1.75 8,1,2024-09-07 09:18:21:016,699995,699995,0,0,329123579978,3463868350,688636,8734,2625,366,392144,0 8,2,2024-09-07 09:18:20:804,499422,499422,0,0,26869104,0,3250 8,3,2024-09-07 09:18:20:588,1,400,19,0,538,6751,400,0 9,0,2024-09-07 09:18:21:116,100711,0.4,97742,0.5,204511,0.3,268264,1.75 9,1,2024-09-07 09:18:20:552,699935,699935,0,0,328326505986,3460112136,689571,8177,2187,369,392001,0 9,2,2024-09-07 09:18:21:083,503793,503793,0,0,23813758,0,3360 9,3,2024-09-07 09:18:21:753,1,400,37,0,496,5839,400,0 10,0,2024-09-07 09:18:21:600,105039,0.4,104455,0.6,209816,0.3,278850,1.75 10,1,2024-09-07 09:18:20:586,700969,700969,0,0,329778866034,3458163984,691032,8316,1621,381,391741,0 10,2,2024-09-07 09:18:20:762,507993,507993,0,0,26282059,0,4264 10,3,2024-09-07 09:18:20:872,1,400,7,0,649,4527,400,0 11,0,2024-09-07 09:18:21:009,99256,0.5,96289,0.6,201425,0.4,265802,1.75 11,1,2024-09-07 09:18:20:571,701821,701821,0,0,329639937234,3460147199,691061,8278,2482,383,391756,0 11,2,2024-09-07 09:18:21:131,506982,506982,0,0,23592705,0,4130 11,3,2024-09-07 09:18:21:300,1,400,3,0,843,6156,400,0 12,0,2024-09-07 09:18:20:966,98990,0.4,98985,0.5,197886,0.3,262631,1.75 12,1,2024-09-07 09:18:20:952,702481,702481,0,0,329730240175,3438196542,697096,4888,497,370,391870,0 12,2,2024-09-07 09:18:21:541,503096,503096,0,0,22159514,0,3469 12,3,2024-09-07 09:18:21:061,1,400,8,0,386,6196,400,0 13,0,2024-09-07 09:18:21:340,99771,0.4,99345,0.6,198257,0.4,264651,1.75 13,1,2024-09-07 09:18:21:545,700573,700573,0,0,328525328728,3454664422,693433,5567,1573,382,391740,0 13,2,2024-09-07 09:18:20:597,506016,506016,0,0,20452076,0,3287 13,3,2024-09-07 09:18:21:772,1,400,1,0,522,6104,400,0 14,0,2024-09-07 09:18:20:564,104930,0.4,105828,0.6,209486,0.4,279569,1.75 14,1,2024-09-07 09:18:21:561,705197,705197,0,0,331020704899,3431622902,698997,5492,708,364,391673,0 14,2,2024-09-07 09:18:20:764,507166,507136,30,0,22831336,0,6104 14,3,2024-09-07 09:18:21:115,1,400,0,0,1168,4651,400,0 15,0,2024-09-07 09:18:21:557,99944,0.4,99904,0.7,200209,0.4,266720,2.00 15,1,2024-09-07 09:18:21:608,702414,702414,0,0,329975159357,3437674588,696772,4664,978,381,391619,0 15,2,2024-09-07 09:18:20:998,510564,510564,0,0,18783758,0,3622 15,3,2024-09-07 09:18:21:420,1,400,10,0,1126,6868,400,0 16,0,2024-09-07 09:18:20:955,98151,0.5,98492,0.7,196625,0.5,261411,2.00 16,1,2024-09-07 09:18:20:565,702418,702418,0,0,329499237154,3444920572,696501,5074,843,370,391756,0 16,2,2024-09-07 09:18:21:470,502668,502668,0,0,21777765,0,4719 16,3,2024-09-07 09:18:21:144,1,400,22,0,317,5293,400,0 17,0,2024-09-07 09:18:21:767,100069,0.6,97687,0.8,191177,0.6,260055,2.00 17,1,2024-09-07 09:18:20:588,701292,701292,0,0,329151858500,3455766187,693726,6055,1511,368,392075,0 17,2,2024-09-07 09:18:21:668,506837,506837,0,0,21365083,0,2948 17,3,2024-09-07 09:18:20:585,1,400,0,0,518,6716,400,0 18,0,2024-09-07 09:18:20:947,101886,0.6,102235,0.8,203709,0.6,272526,2.25 18,1,2024-09-07 09:18:21:646,703878,703878,0,0,330376698751,3425111893,700005,3495,378,367,391725,0 18,2,2024-09-07 09:18:21:755,507890,507890,0,0,19668531,0,3541 18,3,2024-09-07 09:18:20:899,1,400,1,0,163,3175,400,0 19,0,2024-09-07 09:18:21:541,101407,0.7,101904,0.8,202132,0.7,268891,2.25 19,1,2024-09-07 09:18:20:568,704284,704284,0,0,330310197541,3429459668,698348,5015,921,367,391777,0 19,2,2024-09-07 09:18:21:753,511138,511138,0,0,18653093,0,3988 19,3,2024-09-07 09:18:21:133,1,400,3,0,524,3041,400,0 20,0,2024-09-07 09:18:21:377,97811,0.5,97820,0.6,195474,0.4,260631,2.00 20,1,2024-09-07 09:18:20:568,701566,701566,0,0,330133558162,3454228568,695182,5584,800,369,391886,0 20,2,2024-09-07 09:18:20:934,504328,504328,0,0,22257197,0,3721 20,3,2024-09-07 09:18:20:594,1,400,9,0,414,6007,400,0 21,0,2024-09-07 09:18:21:166,97087,0.5,97074,0.6,194097,0.5,257162,2.00 21,1,2024-09-07 09:18:21:560,699489,699489,0,0,328346089322,3466113222,688663,8356,2470,368,392016,0 21,2,2024-09-07 09:18:21:076,501073,501053,20,0,27053983,0,5617 21,3,2024-09-07 09:18:21:441,1,400,10,0,713,5317,400,0 22,0,2024-09-07 09:18:21:720,100770,0.5,101025,0.7,202329,0.5,268269,2.00 22,1,2024-09-07 09:18:21:078,701096,701096,0,0,329359707286,3463715837,690387,8526,2183,382,391667,0 22,2,2024-09-07 09:18:20:764,503643,503617,26,0,21603065,0,6328 22,3,2024-09-07 09:18:21:066,1,400,32,0,228,3419,400,0 23,0,2024-09-07 09:18:21:377,103559,0.6,102992,0.7,206520,0.5,274989,2.25 23,1,2024-09-07 09:18:21:003,701812,701812,0,0,329610946005,3465234714,688994,8766,4052,365,391690,0 23,2,2024-09-07 09:18:21:092,509580,509580,0,0,21332013,0,3773 23,3,2024-09-07 09:18:21:754,1,400,0,0,720,5511,400,0 24,0,2024-09-07 09:18:20:825,99767,0.4,99561,0.5,199691,0.4,265337,1.75 24,1,2024-09-07 09:18:20:585,701043,701043,0,0,327924506183,3439829964,693252,6207,1584,367,392269,0 24,2,2024-09-07 09:18:21:073,506109,506109,0,0,25468529,0,3607 24,3,2024-09-07 09:18:21:686,1,400,12,0,468,5621,400,0 25,0,2024-09-07 09:18:21:354,100909,0.4,98454,0.6,192878,0.3,263131,1.75 25,1,2024-09-07 09:18:20:576,700614,700614,0,0,329821501293,3475523055,689191,9219,2204,371,391928,0 25,2,2024-09-07 09:18:21:606,501561,501561,0,0,25665693,0,3978 25,3,2024-09-07 09:18:21:000,1,400,1,0,532,4500,400,0 26,0,2024-09-07 09:18:21:728,99378,0.4,96842,0.6,203079,0.4,264577,1.75 26,1,2024-09-07 09:18:21:550,702335,702335,0,0,329113475271,3447937938,692250,8531,1554,380,391748,0 26,2,2024-09-07 09:18:20:863,504886,504886,0,0,25519876,0,2809 26,3,2024-09-07 09:18:21:716,1,400,11,0,796,5087,400,0 27,0,2024-09-07 09:18:21:725,105707,0.5,105916,0.6,210511,0.4,281789,2.25 27,1,2024-09-07 09:18:21:676,703930,703930,0,0,330285956450,3441997890,697033,6005,892,381,391626,0 27,2,2024-09-07 09:18:20:867,505093,505028,65,0,23994038,0,5699 27,3,2024-09-07 09:18:21:015,1,400,1,0,564,4007,400,0 28,0,2024-09-07 09:18:21:386,100350,0.4,99889,0.6,200233,0.3,267268,2.00 28,1,2024-09-07 09:18:20:805,702649,702649,0,0,330055066717,3447399457,695768,5193,1688,383,391698,0 28,2,2024-09-07 09:18:21:766,508997,508997,0,0,21811836,0,2915 28,3,2024-09-07 09:18:21:777,1,400,8,0,502,4564,400,0 29,0,2024-09-07 09:18:21:368,100977,0.3,98451,0.6,193221,0.3,262824,1.75 29,1,2024-09-07 09:18:21:561,705016,705016,0,0,331228536088,3429201260,700217,4066,733,368,391753,0 29,2,2024-09-07 09:18:20:868,502369,502369,0,0,20475662,0,4986 29,3,2024-09-07 09:18:20:963,1,400,1,0,459,4813,400,0 30,0,2024-09-07 09:18:21:473,97208,0.5,94505,0.7,197903,0.4,258894,2.00 30,1,2024-09-07 09:18:20:571,704627,704627,0,0,330378239622,3432484478,698883,4978,766,381,391672,0 30,2,2024-09-07 09:18:21:275,505273,505273,0,0,19881432,0,4192 30,3,2024-09-07 09:18:20:585,1,400,0,0,519,3938,400,0 31,0,2024-09-07 09:18:21:760,103818,0.4,104274,0.6,208037,0.4,277939,2.00 31,1,2024-09-07 09:18:20:567,706869,706869,0,0,331861259357,3403592198,703889,2394,586,356,391712,0 31,2,2024-09-07 09:18:21:276,506479,506479,0,0,21693335,0,3525 31,3,2024-09-07 09:18:21:706,1,400,1,0,220,3508,400,0 32,0,2024-09-07 09:18:21:421,101300,0.3,101907,0.5,202960,0.3,270341,1.75 32,1,2024-09-07 09:18:20:805,703787,703787,0,0,330849094030,3443561290,698683,4424,680,381,391646,0 32,2,2024-09-07 09:18:20:947,510252,510252,0,0,19381686,0,3922 32,3,2024-09-07 09:18:21:023,1,400,11,0,304,3171,400,0 33,0,2024-09-07 09:18:21:507,98103,0.3,97661,0.4,196022,0.2,260608,1.50 33,1,2024-09-07 09:18:20:582,704821,704821,0,0,330865396662,3434378472,698406,5312,1103,369,391730,0 33,2,2024-09-07 09:18:20:761,504507,504472,35,0,21576134,0,7012 33,3,2024-09-07 09:18:20:895,1,400,1,0,329,4025,400,0 34,0,2024-09-07 09:18:20:938,96766,0.3,99576,0.4,190611,0.2,255939,1.75 34,1,2024-09-07 09:18:21:044,705771,705771,0,0,331273930732,3408235043,704038,1722,11,367,391562,0 34,2,2024-09-07 09:18:20:766,505063,505063,0,0,20329742,0,4562 34,3,2024-09-07 09:18:21:688,1,400,1,0,299,3104,400,0 35,0,2024-09-07 09:18:20:862,101029,0.4,101463,0.5,203884,0.3,272099,1.75 35,1,2024-09-07 09:18:21:068,704145,704145,0,0,329747781363,3419123911,699811,3478,856,382,391769,0 35,2,2024-09-07 09:18:21:583,505463,505463,0,0,21049502,0,2653 35,3,2024-09-07 09:18:20:907,1,400,0,0,418,4471,400,0 36,0,2024-09-07 09:18:21:547,103686,0.5,103570,0.7,207011,0.5,275152,2.25 36,1,2024-09-07 09:18:20:586,702497,702497,0,0,329697228287,3447279735,692943,7554,2000,366,391759,0 36,2,2024-09-07 09:18:21:754,509135,509135,0,0,22905553,0,3875 36,3,2024-09-07 09:18:20:863,1,400,7,0,416,5978,400,0 37,0,2024-09-07 09:18:21:375,97567,0.4,97657,0.6,195466,0.4,260167,2.00 37,1,2024-09-07 09:18:20:569,702289,702282,0,7,328280961976,3430623933,693966,6073,2243,365,391570,0 37,2,2024-09-07 09:18:21:142,504084,504069,15,0,23001450,0,5815 37,3,2024-09-07 09:18:21:766,1,400,1,0,888,6229,400,0 38,0,2024-09-07 09:18:21:444,97457,0.5,94566,0.6,197798,0.4,258897,2.00 38,1,2024-09-07 09:18:21:605,703419,703419,0,0,329947221516,3452036053,693006,8253,2160,368,391821,0 38,2,2024-09-07 09:18:20:760,503278,503231,47,0,22297438,0,6710 38,3,2024-09-07 09:18:20:997,1,400,2,0,689,5385,400,0 39,0,2024-09-07 09:18:21:760,102598,0.6,100473,0.7,195605,0.6,267485,2.00 39,1,2024-09-07 09:18:20:717,701306,701306,0,0,329434824703,3456458782,689593,9331,2382,365,391658,0 39,2,2024-09-07 09:18:21:417,504675,504675,0,0,21061449,0,2689 39,3,2024-09-07 09:18:20:714,1,400,14,0,324,4832,400,0 40,0,2024-09-07 09:18:21:551,103826,0.9,104555,1.0,208243,1.0,277611,2.75 40,1,2024-09-07 09:18:20:591,702708,702708,0,0,329051078483,3448455058,692398,8383,1927,368,391668,0 40,2,2024-09-07 09:18:21:306,507071,507070,1,0,24932168,0,5137 40,3,2024-09-07 09:18:21:142,1,400,16,0,1028,5673,400,0 41,0,2024-09-07 09:18:21:027,98773,1.4,101028,1.3,192874,2.3,262541,3.25 41,1,2024-09-07 09:18:20:772,701378,701378,0,0,329539143324,3449987871,692413,7965,1000,369,391742,0 41,2,2024-09-07 09:18:20:759,505989,505989,0,0,24051257,0,4277 41,3,2024-09-07 09:18:21:676,1,400,3,0,366,4099,400,0 42,0,2024-09-07 09:18:21:482,97541,0.9,97581,1.0,195289,0.9,258395,2.75 42,1,2024-09-07 09:18:21:442,700323,700323,0,0,328029387088,3450241617,688901,9495,1927,380,391675,0 42,2,2024-09-07 09:18:21:133,501839,501839,0,0,23856142,0,3790 42,3,2024-09-07 09:18:21:009,1,400,1,0,892,4168,400,0 43,0,2024-09-07 09:18:20:920,97786,0.8,95246,1.0,199544,0.9,262174,2.50 43,1,2024-09-07 09:18:20:591,702513,702513,0,0,330454761511,3456149926,692218,8557,1738,366,391696,0 43,2,2024-09-07 09:18:21:736,504371,504371,0,0,23722126,0,3812 43,3,2024-09-07 09:18:21:752,1,400,1,0,548,5391,400,0 44,0,2024-09-07 09:18:20:971,104684,0.4,105083,0.6,210406,0.4,279282,1.75 44,1,2024-09-07 09:18:20:563,704414,704414,0,0,330577468114,3421385747,697942,5154,1318,356,391809,0 44,2,2024-09-07 09:18:21:269,505922,505922,0,0,19910124,0,4344 44,3,2024-09-07 09:18:21:093,1,400,18,0,817,4909,400,0 45,0,2024-09-07 09:18:21:765,98962,0.5,96681,0.7,202744,0.5,267149,2.00 45,1,2024-09-07 09:18:21:007,703603,703603,0,0,330672483785,3438606101,697954,5076,573,382,391917,0 45,2,2024-09-07 09:18:21:268,509655,509655,0,0,20286375,0,3596 45,3,2024-09-07 09:18:20:939,1,400,1,0,271,3604,400,0 46,0,2024-09-07 09:18:20:951,97538,0.5,97519,0.7,195235,0.5,259015,2.00 46,1,2024-09-07 09:18:20:579,704782,704782,0,0,331519896882,3426132195,700261,3931,590,366,391709,0 46,2,2024-09-07 09:18:20:595,503494,503494,0,0,20112225,0,4443 46,3,2024-09-07 09:18:21:131,1,400,1,0,908,5352,400,0 47,0,2024-09-07 09:18:21:112,97548,0.4,97778,0.6,195985,0.4,259441,2.00 47,1,2024-09-07 09:18:20:574,705516,705516,0,0,330677111637,3418886845,700911,3790,815,366,391641,0 47,2,2024-09-07 09:18:20:908,506913,506913,0,0,19704842,0,4477 47,3,2024-09-07 09:18:21:115,1,400,202,0,600,5150,400,0 48,0,2024-09-07 09:18:21:492,103226,0.3,103037,0.5,205463,0.2,274649,1.75 48,1,2024-09-07 09:18:21:023,703969,703969,0,0,330422703248,3433952173,699420,4093,456,384,391710,0 48,2,2024-09-07 09:18:20:700,505668,505668,0,0,18788941,0,3411 48,3,2024-09-07 09:18:20:753,1,400,9,0,339,3341,400,0 49,0,2024-09-07 09:18:21:712,104703,0.4,102879,0.5,199221,0.3,273135,1.75 49,1,2024-09-07 09:18:21:023,703480,703480,0,0,329580206153,3432434331,698332,3943,1205,382,391809,0 49,2,2024-09-07 09:18:21:797,509805,509805,0,0,20877370,0,4426 49,3,2024-09-07 09:18:21:424,1,400,4,0,992,4960,400,0 50,0,2024-09-07 09:18:21:512,98346,0.3,96814,0.4,195402,0.2,260286,1.75 50,1,2024-09-07 09:18:21:010,705889,705889,0,0,331826276727,3433203571,701037,4278,574,368,391565,0 50,2,2024-09-07 09:18:21:076,504579,504579,0,0,18599660,0,2263 50,3,2024-09-07 09:18:21:291,1,400,1,0,567,4134,400,0 51,0,2024-09-07 09:18:21:687,99587,0.3,97382,0.5,189934,0.2,258240,1.75 51,1,2024-09-07 09:18:21:683,705638,705638,0,0,331827123167,3422856730,702102,2558,978,365,391706,0 51,2,2024-09-07 09:18:21:321,504599,504599,0,0,17913557,0,3337 51,3,2024-09-07 09:18:21:030,1,400,1,0,678,3384,400,0 52,0,2024-09-07 09:18:21:412,101141,0.5,101033,0.7,202457,0.5,269030,2.00 52,1,2024-09-07 09:18:20:585,702383,702383,0,0,329048736401,3445640249,692464,8603,1316,368,391722,0 52,2,2024-09-07 09:18:21:755,501928,501890,38,0,24152985,0,6742 52,3,2024-09-07 09:18:20:674,1,400,16,0,1782,5753,400,0 53,0,2024-09-07 09:18:21:734,103101,0.7,100170,0.8,209730,0.8,274886,2.50 53,1,2024-09-07 09:18:20:774,701283,701283,0,0,329415699252,3459871502,689492,8833,2958,367,391702,0 53,2,2024-09-07 09:18:21:300,508643,508642,1,0,21805226,0,5455 53,3,2024-09-07 09:18:20:697,1,400,1,0,308,3699,400,0 54,0,2024-09-07 09:18:21:614,97739,0.6,98032,0.8,195442,0.4,261132,2.25 54,1,2024-09-07 09:18:20:580,702460,702460,0,0,329698634809,3430098935,695201,6020,1239,366,391659,0 54,2,2024-09-07 09:18:20:864,507338,507306,32,0,25146300,0,6397 54,3,2024-09-07 09:18:20:764,1,400,1,0,676,6076,400,0 55,0,2024-09-07 09:18:21:765,95060,0.6,98226,0.7,198664,0.5,259040,2.25 55,1,2024-09-07 09:18:20:764,703550,703550,0,0,329854142485,3431655734,695921,6537,1092,365,391731,0 55,2,2024-09-07 09:18:20:730,502237,502181,56,0,23413112,0,7239 55,3,2024-09-07 09:18:20:674,1,400,1,0,304,4258,400,0 56,0,2024-09-07 09:18:21:551,101400,1.2,95638,1.2,197014,1.8,263973,2.75 56,1,2024-09-07 09:18:20:570,698929,698929,0,0,328597053561,3473884820,687527,9029,2373,381,391867,0 56,2,2024-09-07 09:18:21:302,504140,504140,0,0,23143740,0,3567 56,3,2024-09-07 09:18:21:059,1,400,8,0,705,5003,400,0 57,0,2024-09-07 09:18:20:967,104018,1.7,103825,1.3,207881,2.3,279027,3.25 57,1,2024-09-07 09:18:21:011,701787,701787,0,0,329208102591,3452090722,693428,7286,1073,366,392032,0 57,2,2024-09-07 09:18:21:319,507415,507415,0,0,25337018,0,3317 57,3,2024-09-07 09:18:21:748,1,400,455,0,455,4845,400,0 58,0,2024-09-07 09:18:20:555,98548,0.9,95726,1.0,200327,1.0,262733,2.50 58,1,2024-09-07 09:18:20:613,701951,701948,0,3,329639993544,3449105753,692195,8465,1288,367,391603,3 58,2,2024-09-07 09:18:21:072,507817,507817,0,0,23662409,0,2902 58,3,2024-09-07 09:18:21:068,1,400,23,0,1043,4327,400,0 59,0,2024-09-07 09:18:21:741,98085,0.7,97757,0.9,195082,0.8,259300,2.50 59,1,2024-09-07 09:18:20:804,701673,701673,0,0,329533303117,3453351944,692082,7887,1704,369,391653,0 59,2,2024-09-07 09:18:20:587,502704,502704,0,0,23267105,0,3727 59,3,2024-09-07 09:18:21:741,1,400,1,0,1015,5268,400,0 60,0,2024-09-07 09:18:21:721,97539,0.5,97466,0.7,195544,0.4,259843,1.75 60,1,2024-09-07 09:18:20:774,704155,704155,0,0,330741242757,3433191314,699591,3915,649,370,392031,0 60,2,2024-09-07 09:18:21:141,504973,504973,0,0,21896489,0,3811 60,3,2024-09-07 09:18:21:267,1,400,16,0,409,4839,400,0 61,0,2024-09-07 09:18:21:554,103728,0.6,104321,0.8,207846,0.6,277376,2.00 61,1,2024-09-07 09:18:20:775,702435,702435,0,0,329716358360,3455623641,694547,6490,1398,382,392127,0 61,2,2024-09-07 09:18:21:116,506272,506205,67,0,22347061,0,6411 61,3,2024-09-07 09:18:21:695,1,400,1,0,479,5631,400,0 62,0,2024-09-07 09:18:21:732,101842,0.6,104161,0.7,198919,0.6,270085,2.00 62,1,2024-09-07 09:18:21:148,706807,706801,0,6,331332932354,3418621695,702994,3546,261,365,391975,6 62,2,2024-09-07 09:18:21:645,507667,507666,1,0,22468920,0,5555 62,3,2024-09-07 09:18:21:143,1,400,2,0,482,3324,400,0 63,0,2024-09-07 09:18:21:456,98226,0.4,98100,0.6,196726,0.3,260996,1.75 63,1,2024-09-07 09:18:20:818,704206,704200,0,6,330955209020,3438457487,699270,4198,732,381,391800,6 63,2,2024-09-07 09:18:20:762,503743,503743,0,0,20226701,0,4369 63,3,2024-09-07 09:18:21:734,1,400,11,0,667,4194,400,0 64,0,2024-09-07 09:18:21:542,96136,0.5,96119,0.7,191973,0.5,255058,2.00 64,1,2024-09-07 09:18:20:754,703518,703518,0,0,329299426315,3433570203,696985,4830,1703,370,391783,0 64,2,2024-09-07 09:18:21:142,507941,507922,19,0,19921157,0,6121 64,3,2024-09-07 09:18:21:141,1,400,12,0,265,3756,400,0 65,0,2024-09-07 09:18:21:719,100647,0.7,101160,0.8,201533,0.8,269624,2.25 65,1,2024-09-07 09:18:20:865,702051,702051,0,0,329374710719,3445008407,696960,4551,540,382,391901,0 65,2,2024-09-07 09:18:21:692,506005,506005,0,0,23376766,0,3367 65,3,2024-09-07 09:18:21:687,1,400,24,0,782,4797,400,0 66,0,2024-09-07 09:18:21:763,102963,0.6,102955,0.8,205720,0.5,273650,2.25 66,1,2024-09-07 09:18:21:292,703616,703616,0,0,329596222507,3434706494,698595,4604,417,380,391743,0 66,2,2024-09-07 09:18:21:140,510414,510414,0,0,20447802,0,4956 66,3,2024-09-07 09:18:21:087,1,400,13,0,291,3636,400,0 67,0,2024-09-07 09:18:21:435,98213,0.5,97888,0.6,196377,0.4,260893,2.00 67,1,2024-09-07 09:18:20:766,703877,703876,0,1,330018418465,3438011510,699029,4058,789,380,391787,1 67,2,2024-09-07 09:18:20:587,507921,507921,0,0,19578347,0,3622 67,3,2024-09-07 09:18:21:753,1,400,3,0,392,4007,400,0 68,0,2024-09-07 09:18:20:563,98185,0.5,97969,0.7,195438,0.5,260988,2.00 68,1,2024-09-07 09:18:20:571,700530,700530,0,0,328760759818,3459195976,691219,6503,2808,381,391953,0 68,2,2024-09-07 09:18:21:049,501590,501490,100,0,26103321,0,8578 68,3,2024-09-07 09:18:20:727,1,400,8,0,417,4334,400,0 69,0,2024-09-07 09:18:21:749,99967,0.8,100528,0.9,199992,1.0,266195,2.25 69,1,2024-09-07 09:18:21:015,700524,700524,0,0,328425663495,3465123454,691063,7223,2238,384,391994,0 69,2,2024-09-07 09:18:21:737,503432,503403,29,0,27868085,0,6912 69,3,2024-09-07 09:18:20:761,1,400,2,0,698,5914,400,0 70,0,2024-09-07 09:18:21:540,103917,1.3,104052,1.2,209252,1.1,276996,2.75 70,1,2024-09-07 09:18:20:808,703379,703379,0,0,330075758104,3428424903,697447,5271,661,366,391725,0 70,2,2024-09-07 09:18:21:327,507481,507481,0,0,23693645,0,4323 70,3,2024-09-07 09:18:20:745,1,400,1,0,854,4720,400,0 71,0,2024-09-07 09:18:21:358,98538,0.8,98126,1.0,197192,1.0,264053,2.75 71,1,2024-09-07 09:18:21:596,702780,702780,0,0,329536800108,3440484561,694528,7452,800,368,391738,0 71,2,2024-09-07 09:18:21:067,507492,507492,0,0,23647831,0,4352 71,3,2024-09-07 09:18:21:753,1,400,1,0,644,5223,400,0 72,0,2024-09-07 09:18:21:034,101419,0.5,99115,0.7,193448,0.5,262783,2.00 72,1,2024-09-07 09:18:21:023,701912,701912,0,0,329155035410,3454957487,691559,8385,1968,369,391819,0 72,2,2024-09-07 09:18:21:758,501946,501946,0,0,25262595,0,3983 72,3,2024-09-07 09:18:21:759,1,400,11,0,564,5971,400,0 73,0,2024-09-07 09:18:21:098,96475,0.4,98833,0.6,202229,0.4,262954,2.00 73,1,2024-09-07 09:18:20:766,703024,703024,0,0,329442608522,3423637487,698124,4535,365,367,391858,0 73,2,2024-09-07 09:18:21:740,504317,504317,0,0,24707459,0,3701 73,3,2024-09-07 09:18:20:969,1,400,4,0,486,5564,400,0 74,0,2024-09-07 09:18:21:329,105663,0.5,107834,0.6,205759,0.4,279509,2.00 74,1,2024-09-07 09:18:20:647,702670,702670,0,0,329360291205,3440112309,695243,6024,1403,381,391762,0 74,2,2024-09-07 09:18:21:002,506023,506023,0,0,23056250,0,4253 74,3,2024-09-07 09:18:21:446,1,400,1,0,522,5449,400,0 75,0,2024-09-07 09:18:21:769,100622,0.5,100001,0.8,200758,0.5,268387,2.25 75,1,2024-09-07 09:18:21:585,702575,702575,0,0,329502862345,3439657089,695771,5977,827,380,391739,0 75,2,2024-09-07 09:18:21:350,507395,507395,0,0,25183832,0,4766 75,3,2024-09-07 09:18:21:067,1,400,1,0,702,5218,400,0 76,0,2024-09-07 09:18:20:599,97585,0.6,96862,0.7,194724,0.5,259860,2.25 76,1,2024-09-07 09:18:20:812,702678,702678,0,0,329008450244,3434357515,697505,4386,787,382,391692,0 76,2,2024-09-07 09:18:21:061,505040,505039,1,0,22121646,0,5144 76,3,2024-09-07 09:18:21:142,1,400,14,0,175,3781,400,0 77,0,2024-09-07 09:18:21:720,96926,0.6,97293,0.7,194429,0.6,258304,2.00 77,1,2024-09-07 09:18:20:833,703194,703194,0,0,330101032980,3446909900,697496,5197,501,381,391869,0 77,2,2024-09-07 09:18:21:284,504613,504613,0,0,21771509,0,3890 77,3,2024-09-07 09:18:21:094,1,400,1,0,401,4670,400,0 78,0,2024-09-07 09:18:21:733,103097,0.5,102525,0.7,205910,0.4,273288,2.00 78,1,2024-09-07 09:18:20:624,703558,703558,0,0,329040020687,3429736035,696028,5964,1566,367,391670,0 78,2,2024-09-07 09:18:21:414,506332,506319,13,0,20609957,0,8313 78,3,2024-09-07 09:18:21:133,1,400,1,0,181,3633,400,0 79,0,2024-09-07 09:18:21:359,98343,0.4,100712,0.6,206336,0.4,267889,2.25 79,1,2024-09-07 09:18:20:577,705043,705043,0,0,330355591339,3425031560,699146,4748,1149,367,391682,0 79,2,2024-09-07 09:18:21:069,510437,510437,0,0,20257155,0,4195 79,3,2024-09-07 09:18:20:752,1,400,131,0,418,5228,400,0 80,0,2024-09-07 09:18:21:076,97769,0.5,100702,0.7,192639,0.5,259767,2.00 80,1,2024-09-07 09:18:21:628,702664,702664,0,0,330438939253,3443119485,697585,4755,324,368,392269,0 80,2,2024-09-07 09:18:21:091,506383,506383,0,0,20040682,0,4433 80,3,2024-09-07 09:18:20:589,1,400,9,0,190,4766,400,0 81,0,2024-09-07 09:18:21:539,97043,0.5,99398,0.7,189678,0.5,257073,2.00 81,1,2024-09-07 09:18:21:658,702160,702160,0,0,328440163784,3434623782,696402,5252,506,382,391879,0 81,2,2024-09-07 09:18:21:126,502936,502873,63,0,22093915,0,5932 81,3,2024-09-07 09:18:21:117,1,400,3,0,719,5025,400,0 82,0,2024-09-07 09:18:21:539,100529,0.5,100913,0.8,202250,0.5,268451,2.00 82,1,2024-09-07 09:18:20:587,703983,703979,0,4,329932981334,3435236485,699287,3875,817,381,391768,4 82,2,2024-09-07 09:18:21:691,506147,506147,0,0,18992889,0,3986 82,3,2024-09-07 09:18:21:752,1,400,16,0,363,4515,400,0 83,0,2024-09-07 09:18:21:524,103942,0.7,103895,0.8,206866,0.8,275142,2.25 83,1,2024-09-07 09:18:20:552,702005,702005,0,0,330006559551,3443920298,696565,4990,450,382,391709,0 83,2,2024-09-07 09:18:20:764,508817,508817,0,0,19660518,0,3393 83,3,2024-09-07 09:18:20:757,1,400,16,0,1260,5403,400,0 84,0,2024-09-07 09:18:21:772,98583,0.7,98655,0.8,196702,0.6,263557,2.25 84,1,2024-09-07 09:18:21:039,701784,701784,0,0,329113248598,3442045813,694126,6619,1039,367,391967,0 84,2,2024-09-07 09:18:20:578,505979,505949,30,0,27178248,0,5971 84,3,2024-09-07 09:18:21:142,1,400,8,0,908,5997,400,0 85,0,2024-09-07 09:18:21:030,94771,0.6,94790,0.8,201153,0.6,260279,2.25 85,1,2024-09-07 09:18:20:587,700279,700279,0,0,328590782096,3469881478,689799,8617,1863,381,392006,0 85,2,2024-09-07 09:18:20:865,502269,502269,0,0,24488322,0,3656 85,3,2024-09-07 09:18:20:688,1,400,2,0,789,5112,400,0 86,0,2024-09-07 09:18:20:882,99116,0.7,102006,0.8,195033,0.7,264105,2.25 86,1,2024-09-07 09:18:20:835,702109,702109,0,0,330209227518,3460595508,693685,6987,1437,366,391961,0 86,2,2024-09-07 09:18:20:855,502445,502444,1,0,26884232,0,5004 86,3,2024-09-07 09:18:20:593,1,400,1,0,308,5962,400,0 87,0,2024-09-07 09:18:21:284,105270,1.0,105233,0.9,210629,1.4,281581,2.50 87,1,2024-09-07 09:18:20:568,700494,700494,0,0,328932082023,3452099218,690635,8269,1590,366,392076,0 87,2,2024-09-07 09:18:21:068,505867,505861,6,0,24256713,0,6323 87,3,2024-09-07 09:18:21:794,1,400,1,0,473,6193,400,0 88,0,2024-09-07 09:18:21:447,99871,0.4,100672,0.6,200426,0.4,267019,1.75 88,1,2024-09-07 09:18:20:584,700037,700037,0,0,329749954260,3455426085,690924,7181,1932,365,392084,0 88,2,2024-09-07 09:18:20:705,508583,508583,0,0,26016776,0,4465 88,3,2024-09-07 09:18:21:275,1,400,10,0,435,4209,400,0 89,0,2024-09-07 09:18:21:794,101190,0.4,98012,0.6,193794,0.4,262931,1.75 89,1,2024-09-07 09:18:20:568,700639,700639,0,0,329196716068,3461966174,691824,7391,1424,382,391866,0 89,2,2024-09-07 09:18:21:136,501663,501663,0,0,24190079,0,3173 89,3,2024-09-07 09:18:21:791,1,400,3,0,468,7379,400,0 90,0,2024-09-07 09:18:21:618,94732,0.5,97210,0.6,198373,0.4,258810,2.00 90,1,2024-09-07 09:18:20:590,701838,701838,0,0,329126833423,3448228174,695196,6133,509,380,391825,0 90,2,2024-09-07 09:18:21:408,502838,502838,0,0,25568037,0,3060 90,3,2024-09-07 09:18:20:933,1,400,6,0,322,5049,400,0 91,0,2024-09-07 09:18:20:954,104526,0.5,101222,0.6,211635,0.4,277904,1.75 91,1,2024-09-07 09:18:20:568,700655,700655,0,0,329301594492,3464766774,691622,7682,1351,381,392047,0 91,2,2024-09-07 09:18:21:331,506777,506777,0,0,22811890,0,2896 91,3,2024-09-07 09:18:20:598,1,400,1,0,216,4090,400,0 92,0,2024-09-07 09:18:21:469,102053,0.5,104617,0.6,199895,0.5,270363,1.75 92,1,2024-09-07 09:18:20:580,702403,702403,0,0,329649872733,3444040476,696953,4727,723,382,392136,0 92,2,2024-09-07 09:18:21:352,510274,510274,0,0,20600878,0,3259 92,3,2024-09-07 09:18:21:011,1,400,13,0,167,3610,400,0 93,0,2024-09-07 09:18:20:978,98644,0.4,101113,0.5,192921,0.3,261098,1.75 93,1,2024-09-07 09:18:20:806,701566,701566,0,0,329761794296,3445300209,693772,6448,1346,366,391776,0 93,2,2024-09-07 09:18:20:940,503240,503240,0,0,24571945,0,4845 93,3,2024-09-07 09:18:21:406,1,400,1,0,190,3641,400,0 94,0,2024-09-07 09:18:21:607,96209,0.4,97017,0.5,193818,0.3,256740,1.75 94,1,2024-09-07 09:18:20:564,702957,702957,0,0,330002162998,3442108202,698565,4198,194,381,391850,0 94,2,2024-09-07 09:18:20:761,504147,504147,0,0,20641027,0,2443 94,3,2024-09-07 09:18:21:688,1,400,2,0,576,5435,400,0 95,0,2024-09-07 09:18:21:381,101516,0.4,101453,0.5,203599,0.3,271910,1.75 95,1,2024-09-07 09:18:20:853,704044,704044,0,0,329791734216,3429290902,698503,5130,411,367,391786,0 95,2,2024-09-07 09:18:21:019,505179,505179,0,0,20463068,0,3308 95,3,2024-09-07 09:18:21:724,1,400,24,0,718,6046,400,0 96,0,2024-09-07 09:18:21:025,103518,0.4,103843,0.5,207315,0.4,274746,1.75 96,1,2024-09-07 09:18:21:664,702173,702173,0,0,329964244322,3445534785,696667,4628,878,384,391955,0 96,2,2024-09-07 09:18:21:269,509717,509717,0,0,21272522,0,4180 96,3,2024-09-07 09:18:21:140,1,400,11,0,411,4552,400,0 97,0,2024-09-07 09:18:21:316,98226,0.3,97899,0.5,196434,0.3,260787,1.50 97,1,2024-09-07 09:18:20:763,703788,703788,0,0,331359662572,3439639371,698684,4175,929,367,392140,0 97,2,2024-09-07 09:18:20:607,506663,506663,0,0,20690314,0,3679 97,3,2024-09-07 09:18:20:575,1,400,8,0,242,4624,400,0 98,0,2024-09-07 09:18:21:721,97738,0.3,97792,0.4,196379,0.2,260738,1.50 98,1,2024-09-07 09:18:20:569,702658,702658,0,0,329679694701,3437336150,697923,3955,780,382,391997,0 98,2,2024-09-07 09:18:20:778,504044,504044,0,0,20493918,0,3243 98,3,2024-09-07 09:18:20:699,1,400,4,0,840,6545,400,0 99,0,2024-09-07 09:18:21:482,100406,0.3,101077,0.5,200925,0.3,268607,1.75 99,1,2024-09-07 09:18:21:729,703185,703185,0,0,329788348889,3434924165,698339,4012,834,381,392069,0 99,2,2024-09-07 09:18:21:438,505594,505594,0,0,23826220,0,3424 99,3,2024-09-07 09:18:20:585,1,400,29,0,606,4526,400,0 100,0,2024-09-07 09:18:21:513,104799,0.9,104926,1.0,209154,1.1,279598,2.50 100,1,2024-09-07 09:18:20:568,699879,699879,0,0,327933564817,3467703942,689214,8622,2043,381,391989,0 100,2,2024-09-07 09:18:21:824,506201,506190,11,0,24165068,0,5417 100,3,2024-09-07 09:18:21:747,1,400,11,0,559,6755,400,0 101,0,2024-09-07 09:18:21:718,101527,1.0,98744,1.0,194030,0.8,265949,2.25 101,1,2024-09-07 09:18:20:551,699826,699826,0,0,328447537860,3459813248,689172,8534,2120,368,391847,0 101,2,2024-09-07 09:18:21:756,504399,504399,0,0,29000731,0,4871 101,3,2024-09-07 09:18:20:946,1,400,27,0,579,5804,400,0 102,0,2024-09-07 09:18:20:987,95721,0.6,98709,0.8,199996,0.6,261754,2.00 102,1,2024-09-07 09:18:21:149,700225,700225,0,0,328637544132,3457546876,690397,8068,1760,369,391891,0 102,2,2024-09-07 09:18:21:737,503071,503017,54,0,22817738,0,6768 102,3,2024-09-07 09:18:21:615,1,400,466,0,466,4580,400,0 103,0,2024-09-07 09:18:21:590,102200,0.6,102147,0.7,192537,0.6,265347,2.00 103,1,2024-09-07 09:18:21:637,699069,699069,0,0,328914134089,3477314338,687176,8828,3065,381,391829,0 103,2,2024-09-07 09:18:20:586,502634,502634,0,0,25412104,0,3766 103,3,2024-09-07 09:18:20:766,1,400,2,0,916,4553,400,0 104,0,2024-09-07 09:18:21:046,104002,0.7,104431,0.9,207507,0.7,278750,2.25 104,1,2024-09-07 09:18:21:605,701380,701380,0,0,328856720157,3457412248,690901,8673,1806,365,392168,0 104,2,2024-09-07 09:18:21:666,505489,505489,0,0,24725885,0,3941 104,3,2024-09-07 09:18:21:417,1,400,24,0,1245,8204,400,0 105,0,2024-09-07 09:18:21:057,99081,0.9,96419,1.1,202010,1.0,266356,2.75 105,1,2024-09-07 09:18:20:587,702038,702038,0,0,329607119204,3457189089,692641,7976,1421,366,392009,0 105,2,2024-09-07 09:18:21:323,508073,508073,0,0,24616912,0,3509 105,3,2024-09-07 09:18:21:307,1,400,0,0,399,6307,400,0 106,0,2024-09-07 09:18:20:972,94653,0.7,97032,0.9,198628,0.8,259682,2.50 106,1,2024-09-07 09:18:21:753,700806,700806,0,0,328609563280,3455061338,689839,9523,1444,369,391914,0 106,2,2024-09-07 09:18:20:755,502254,502254,0,0,23251759,0,2795 106,3,2024-09-07 09:18:20:690,1,400,185,0,470,5299,400,0 107,0,2024-09-07 09:18:21:104,97024,1.0,97260,0.9,194131,1.3,259371,2.25 107,1,2024-09-07 09:18:20:587,700285,700285,0,0,328148068180,3460383526,690584,8669,1032,381,392234,0 107,2,2024-09-07 09:18:21:292,503012,503011,1,0,24675288,0,5024 107,3,2024-09-07 09:18:21:754,1,400,4,0,370,6289,400,0 108,0,2024-09-07 09:18:21:809,102522,0.5,103235,0.6,205172,0.5,274396,1.75 108,1,2024-09-07 09:18:21:295,702594,702594,0,0,329811450624,3440786146,696657,5198,739,368,391857,0 108,2,2024-09-07 09:18:21:755,504855,504855,0,0,23221656,0,4246 108,3,2024-09-07 09:18:21:334,1,400,9,0,749,7719,400,0 109,0,2024-09-07 09:18:21:756,102673,0.4,101788,0.6,203547,0.4,272133,1.75 109,1,2024-09-07 09:18:20:587,700448,700448,0,0,329329642588,3456961897,693772,5562,1114,382,392132,0 109,2,2024-09-07 09:18:20:922,507225,507225,0,0,22843765,0,3617 109,3,2024-09-07 09:18:21:144,1,400,7,0,379,4787,400,0 110,0,2024-09-07 09:18:21:780,97673,0.4,95122,0.6,199450,0.3,260581,1.75 110,1,2024-09-07 09:18:21:666,703632,703632,0,0,329792406886,3426150365,698294,4162,1176,369,392045,0 110,2,2024-09-07 09:18:21:331,505042,505042,0,0,21732466,0,4067 110,3,2024-09-07 09:18:20:698,1,400,4,0,722,5795,400,0 111,0,2024-09-07 09:18:21:412,97498,0.4,96616,0.5,193911,0.3,258443,1.75 111,1,2024-09-07 09:18:21:000,704214,704214,0,0,330718597509,3430665201,700608,3252,354,380,391690,0 111,2,2024-09-07 09:18:21:116,503515,503515,0,0,21281499,0,4823 111,3,2024-09-07 09:18:20:913,1,400,16,0,379,4761,400,0 112,0,2024-09-07 09:18:20:950,101617,0.3,101155,0.4,202506,0.2,269437,1.50 112,1,2024-09-07 09:18:20:844,704045,704045,0,0,330394492735,3430012833,699615,3818,612,380,391624,0 112,2,2024-09-07 09:18:21:140,505198,505197,1,0,20321828,0,5036 112,3,2024-09-07 09:18:20:596,1,400,7,0,282,4057,400,0 113,0,2024-09-07 09:18:20:901,103949,0.3,104107,0.5,208352,0.3,277254,1.75 113,1,2024-09-07 09:18:21:685,706395,706395,0,0,330772826480,3415123549,702520,3287,588,366,391661,0 113,2,2024-09-07 09:18:21:302,510007,510007,0,0,19061810,0,3813 113,3,2024-09-07 09:18:20:684,1,400,5,0,340,4665,400,0 114,0,2024-09-07 09:18:20:874,99564,0.3,100188,0.5,199217,0.2,266166,1.75 114,1,2024-09-07 09:18:20:717,703255,703255,0,0,329671287862,3428306304,697011,4628,1616,381,391565,0 114,2,2024-09-07 09:18:20:872,507716,507715,1,0,20152504,0,5069 114,3,2024-09-07 09:18:21:282,1,400,0,0,395,3444,400,0 115,0,2024-09-07 09:18:20:552,98465,0.3,98960,0.4,197590,0.2,262834,1.50 115,1,2024-09-07 09:18:20:571,703624,703624,0,0,330626320714,3437246573,697772,4921,931,382,391757,0 115,2,2024-09-07 09:18:21:130,504940,504940,0,0,19086954,0,3848 115,3,2024-09-07 09:18:21:002,1,400,1,0,159,2337,400,0 116,0,2024-09-07 09:18:21:712,98935,0.8,98853,0.9,198344,0.9,265265,2.25 116,1,2024-09-07 09:18:20:804,700064,700064,0,0,328441519831,3463218509,691561,6181,2322,380,392089,0 116,2,2024-09-07 09:18:21:753,503507,503507,0,0,25144533,0,4128 116,3,2024-09-07 09:18:20:911,1,400,19,0,415,4820,400,0 117,0,2024-09-07 09:18:20:959,105782,0.8,105323,0.9,210968,1.0,282214,2.00 117,1,2024-09-07 09:18:21:578,701190,701190,0,0,329258010146,3448912526,693472,6807,911,369,392033,0 117,2,2024-09-07 09:18:21:126,509649,509649,0,0,21870071,0,4303 117,3,2024-09-07 09:18:21:071,1,400,1,0,490,6196,400,0 118,0,2024-09-07 09:18:21:777,97204,0.5,99627,0.7,203421,0.5,266020,2.00 118,1,2024-09-07 09:18:20:591,701208,701208,0,0,329598405772,3472606931,689357,8853,2998,366,391907,0 118,2,2024-09-07 09:18:21:609,507660,507660,0,0,23833196,0,2842 118,3,2024-09-07 09:18:21:766,1,400,2,0,248,4751,400,0 119,0,2024-09-07 09:18:21:355,97936,0.6,98441,0.7,196845,0.5,261416,2.00 119,1,2024-09-07 09:18:20:550,701221,701221,0,0,329727998922,3453221392,693018,7148,1055,367,391780,0 119,2,2024-09-07 09:18:21:261,503272,503272,0,0,22021437,0,4174 119,3,2024-09-07 09:18:21:327,1,400,1,0,1358,8361,400,0 120,0,2024-09-07 09:18:21:555,96946,0.6,96805,0.8,193951,0.6,259434,2.25 120,1,2024-09-07 09:18:20:904,701723,701723,0,0,329562764858,3460847173,693799,7217,707,368,391961,0 120,2,2024-09-07 09:18:20:775,504081,504080,1,0,25394589,0,5281 120,3,2024-09-07 09:18:21:293,1,400,9,0,279,5062,400,0 121,0,2024-09-07 09:18:21:700,103866,1.2,103737,1.1,208123,1.7,277079,2.25 121,1,2024-09-07 09:18:21:661,702335,702335,0,0,329675168875,3448812088,695683,6196,456,367,391840,0 121,2,2024-09-07 09:18:21:129,505316,505316,0,0,24873251,0,4127 121,3,2024-09-07 09:18:20:727,1,400,1,0,269,4563,400,0 122,0,2024-09-07 09:18:21:793,100684,0.8,98308,0.9,206254,0.9,270141,2.00 122,1,2024-09-07 09:18:20:858,700493,700493,0,0,329096491213,3456475764,690350,8544,1599,366,392130,0 122,2,2024-09-07 09:18:21:330,508835,508762,73,0,27502784,0,5989 122,3,2024-09-07 09:18:20:596,1,400,6,0,512,7617,400,0 123,0,2024-09-07 09:18:20:956,97544,0.6,95300,0.7,199208,0.6,260472,2.00 123,1,2024-09-07 09:18:20:582,700559,700559,0,0,329255118408,3473077019,687298,10860,2401,369,392039,0 123,2,2024-09-07 09:18:21:024,501833,501832,1,0,23172131,0,5215 123,3,2024-09-07 09:18:21:133,1,400,3,0,478,4913,400,0 124,0,2024-09-07 09:18:20:920,99524,0.3,99489,0.5,187606,0.3,257554,1.75 124,1,2024-09-07 09:18:21:023,703554,703554,0,0,329451981421,3426987544,698356,4149,1049,367,392178,0 124,2,2024-09-07 09:18:21:010,505585,505532,53,0,21050790,0,6487 124,3,2024-09-07 09:18:20:765,1,400,8,0,490,3984,400,0 125,0,2024-09-07 09:18:21:654,101813,0.4,101503,0.6,203849,0.4,272229,1.75 125,1,2024-09-07 09:18:20:856,702161,702161,0,0,329623097478,3443160376,696522,4972,667,382,391702,0 125,2,2024-09-07 09:18:21:126,506190,506190,0,0,21781062,0,4534 125,3,2024-09-07 09:18:21:132,1,400,153,0,709,5271,400,0 126,0,2024-09-07 09:18:21:435,103412,0.5,106519,0.6,203795,0.4,275398,1.75 126,1,2024-09-07 09:18:20:571,704462,704462,0,0,330971108199,3429142570,700511,3660,291,365,391987,0 126,2,2024-09-07 09:18:20:610,509826,509826,0,0,22469562,0,4539 126,3,2024-09-07 09:18:20:907,1,400,1,0,268,5156,400,0 127,0,2024-09-07 09:18:21:600,98105,0.3,98551,0.5,196598,0.3,260805,1.75 127,1,2024-09-07 09:18:20:574,703685,703685,0,0,329805160658,3431892153,696796,5782,1107,364,392187,0 127,2,2024-09-07 09:18:20:645,505334,505334,0,0,20323528,0,3897 127,3,2024-09-07 09:18:21:273,1,400,13,0,968,4501,400,0 128,0,2024-09-07 09:18:21:588,98379,0.3,98605,0.4,196694,0.2,261184,1.50 128,1,2024-09-07 09:18:21:625,702991,702991,0,0,330261643647,3430845071,698113,4446,432,367,391838,0 128,2,2024-09-07 09:18:21:392,504648,504648,0,0,19369704,0,2915 128,3,2024-09-07 09:18:20:767,1,400,15,0,1082,6449,400,0 129,0,2024-09-07 09:18:21:055,101176,0.3,100753,0.5,201790,0.3,268866,1.75 129,1,2024-09-07 09:18:20:575,700598,700598,0,0,328977492134,3450246131,693239,5692,1667,379,391835,0 129,2,2024-09-07 09:18:20:691,505262,505262,0,0,21104161,0,4031 129,3,2024-09-07 09:18:20:688,1,400,2,0,506,5369,400,0 130,0,2024-09-07 09:18:21:715,105740,0.6,105150,0.6,211916,0.6,281033,1.75 130,1,2024-09-07 09:18:20:602,703568,703568,0,0,329508605520,3426801621,699622,3608,338,381,391825,0 130,2,2024-09-07 09:18:21:131,509612,509612,0,0,21548821,0,4067 130,3,2024-09-07 09:18:21:292,1,400,1,0,535,5671,400,0 131,0,2024-09-07 09:18:21:932,99281,0.4,99700,0.5,200509,0.3,265912,1.75 131,1,2024-09-07 09:18:21:824,703007,703007,0,0,330166524826,3451776390,697059,4900,1048,381,391865,0 131,2,2024-09-07 09:18:20:569,510117,510117,0,0,19420199,0,2698 131,3,2024-09-07 09:18:21:688,1,400,240,0,392,4434,400,0 132,0,2024-09-07 09:18:21:479,98244,0.4,99201,0.6,197292,0.4,262398,1.75 132,1,2024-09-07 09:18:20:593,699756,699756,0,0,328303087023,3462333059,688669,8984,2103,381,392097,0 132,2,2024-09-07 09:18:20:698,502517,502500,17,0,25614822,0,6451 132,3,2024-09-07 09:18:21:688,1,400,13,0,804,7295,400,0 133,0,2024-09-07 09:18:21:619,96463,0.5,98720,0.6,202422,0.4,263837,2.00 133,1,2024-09-07 09:18:20:588,699814,699814,0,0,328677896135,3468351365,689509,8792,1513,383,391914,0 133,2,2024-09-07 09:18:21:086,503111,503061,50,0,27267192,0,6861 133,3,2024-09-07 09:18:21:306,1,400,144,0,479,4147,400,0 134,0,2024-09-07 09:18:20:942,105181,0.5,104748,0.7,209839,0.5,280118,2.00 134,1,2024-09-07 09:18:20:591,700703,700703,0,0,328157560220,3446624631,690929,7740,2034,366,391718,0 134,2,2024-09-07 09:18:21:758,505231,505207,24,0,24731101,0,6207 134,3,2024-09-07 09:18:20:754,1,400,2,0,739,5203,400,0 135,0,2024-09-07 09:18:21:107,97214,0.8,97179,0.9,206153,0.9,265949,2.25 135,1,2024-09-07 09:18:21:588,700858,700858,0,0,329389632908,3469048443,690998,8490,1370,380,391805,0 135,2,2024-09-07 09:18:20:695,509166,509166,0,0,24271757,0,3981 135,3,2024-09-07 09:18:21:006,1,400,0,0,299,3435,400,0 136,0,2024-09-07 09:18:21:756,98481,0.5,98603,0.7,196212,0.5,261742,2.00 136,1,2024-09-07 09:18:21:455,701291,701291,0,0,329372932260,3456409292,693149,7215,927,382,391685,0 136,2,2024-09-07 09:18:21:136,504479,504479,0,0,22894194,0,3506 136,3,2024-09-07 09:18:21:106,1,400,8,0,637,4698,400,0 137,0,2024-09-07 09:18:20:968,100290,0.6,97535,0.7,191728,0.6,260479,2.00 137,1,2024-09-07 09:18:20:578,700597,700597,0,0,328868187725,3454705663,689248,8990,2359,366,391898,0 137,2,2024-09-07 09:18:21:708,503077,503077,0,0,25776305,0,3185 137,3,2024-09-07 09:18:20:775,1,400,8,0,484,5135,400,0 138,0,2024-09-07 09:18:21:755,102308,1.1,102294,1.0,205448,1.5,273175,2.25 138,1,2024-09-07 09:18:21:685,701318,701318,0,0,329452578986,3459431735,691145,8452,1721,368,391954,0 138,2,2024-09-07 09:18:20:589,505313,505313,0,0,23492670,0,4988 138,3,2024-09-07 09:18:20:637,1,400,70,0,1160,5370,400,0 139,0,2024-09-07 09:18:21:360,101161,1.7,101161,1.2,202781,2.4,270506,2.50 139,1,2024-09-07 09:18:20:589,698155,698155,0,0,327161327357,3480268161,684094,10760,3301,380,392058,0 139,2,2024-09-07 09:18:20:696,505510,505510,0,0,26729412,0,3097 139,3,2024-09-07 09:18:21:669,1,400,4,0,432,4880,400,0 140,0,2024-09-07 09:18:21:615,98292,0.3,97632,0.5,196083,0.2,260861,1.75 140,1,2024-09-07 09:18:21:547,705473,705473,0,0,331784224168,3422526652,701919,3113,441,365,391606,0 140,2,2024-09-07 09:18:20:686,505280,505279,1,0,20558491,0,5036 140,3,2024-09-07 09:18:20:767,1,400,11,0,297,3469,400,0 141,0,2024-09-07 09:18:21:711,97224,0.3,99873,0.5,190800,0.3,258279,1.75 141,1,2024-09-07 09:18:20:865,703992,703992,0,0,330885535376,3437074533,698762,4276,954,379,391614,0 141,2,2024-09-07 09:18:21:687,504721,504721,0,0,20079540,0,3360 141,3,2024-09-07 09:18:21:043,1,400,83,0,391,4652,400,0 142,0,2024-09-07 09:18:21:323,101653,0.3,101027,0.5,202082,0.3,270002,1.75 142,1,2024-09-07 09:18:20:589,702985,702985,0,0,330041293119,3441005391,698513,4078,394,382,392102,0 142,2,2024-09-07 09:18:21:300,504652,504620,32,0,21848424,0,6028 142,3,2024-09-07 09:18:21:753,1,400,1,0,484,4700,400,0 143,0,2024-09-07 09:18:21:414,104230,0.5,104154,0.6,208683,0.5,277224,1.75 143,1,2024-09-07 09:18:20:568,704262,704262,0,0,330547444227,3433274616,699248,4470,544,367,391705,0 143,2,2024-09-07 09:18:20:774,508850,508850,0,0,21205848,0,3123 143,3,2024-09-07 09:18:21:145,1,400,1,0,462,5138,400,0 144,0,2024-09-07 09:18:21:498,96170,0.6,98771,0.8,201446,0.5,264001,2.00 144,1,2024-09-07 09:18:20:570,700679,700679,0,0,328486206736,3448790079,693706,5620,1353,381,391649,0 144,2,2024-09-07 09:18:21:754,508071,508071,0,0,20370123,0,3673 144,3,2024-09-07 09:18:21:750,1,400,8,0,249,4043,400,0 145,0,2024-09-07 09:18:21:359,95124,0.5,95114,0.8,201884,0.5,259924,2.25 145,1,2024-09-07 09:18:20:563,700020,700020,0,0,328911794756,3462421726,690725,7714,1581,382,391759,0 145,2,2024-09-07 09:18:21:435,501346,501265,81,0,24550729,0,7814 145,3,2024-09-07 09:18:20:901,1,400,89,0,622,5927,400,0 146,0,2024-09-07 09:18:21:616,99206,0.6,98665,0.8,198974,0.6,263719,2.25 146,1,2024-09-07 09:18:21:605,702033,702033,0,0,329379067218,3461791046,691181,8734,2118,367,391770,0 146,2,2024-09-07 09:18:21:699,502960,502960,0,0,23679129,0,3290 146,3,2024-09-07 09:18:21:274,1,400,2,0,1520,7906,400,0 147,0,2024-09-07 09:18:21:713,105645,0.7,105570,0.8,210306,0.7,281680,2.25 147,1,2024-09-07 09:18:21:376,704411,704411,0,0,330772308497,3441577427,698058,5509,844,368,391791,0 147,2,2024-09-07 09:18:21:009,507097,507097,0,0,21290957,0,2968 147,3,2024-09-07 09:18:20:914,1,400,1,0,1626,7157,400,0 0,0,2024-09-07 09:18:31:778,95147,0.6,95066,0.7,201820,0.7,260923,2.00 0,1,2024-09-07 09:18:30:801,703612,703612,0,0,330546276516,3460028382,698290,4936,386,369,391896,0 0,2,2024-09-07 09:18:31:067,507154,507154,0,0,20526160,0,4480 0,3,2024-09-07 09:18:30:977,1,401,53,0,431,6142,401,0 1,0,2024-09-07 09:18:31:783,104404,1.0,103685,1.0,208262,1.3,278501,2.00 1,1,2024-09-07 09:18:30:571,703093,703093,0,0,329911859309,3454778107,696834,4939,1320,370,391857,0 1,2,2024-09-07 09:18:30:639,506923,506923,0,0,20788826,0,3380 1,3,2024-09-07 09:18:31:302,1,401,1,0,262,4842,401,0 2,0,2024-09-07 09:18:31:569,101046,0.7,101438,0.8,201896,0.7,269617,2.00 2,1,2024-09-07 09:18:30:862,705394,705394,0,0,331079492455,3438983411,702115,2984,295,380,391745,0 2,2,2024-09-07 09:18:31:265,510827,510827,0,0,20137964,0,3594 2,3,2024-09-07 09:18:30:692,1,401,43,0,357,4082,401,0 3,0,2024-09-07 09:18:31:747,98045,0.4,97981,0.6,195851,0.3,260626,2.00 3,1,2024-09-07 09:18:31:618,704104,704104,0,0,330359657454,3443195985,697896,5518,690,380,391716,0 3,2,2024-09-07 09:18:31:142,504606,504583,23,0,20801823,0,5851 3,3,2024-09-07 09:18:31:756,1,401,1,0,207,2692,401,0 4,0,2024-09-07 09:18:31:789,93956,0.4,96535,0.5,196777,0.3,257527,1.75 4,1,2024-09-07 09:18:30:595,701675,701675,0,0,329153581753,3482142524,690043,9129,2503,370,391992,0 4,2,2024-09-07 09:18:31:027,503916,503916,0,0,23893815,0,4528 4,3,2024-09-07 09:18:31:029,1,401,12,0,448,5387,401,0 5,0,2024-09-07 09:18:31:393,101889,0.5,102515,0.6,204672,0.4,272543,1.75 5,1,2024-09-07 09:18:30:759,702581,702581,0,0,330162073802,3479175291,692252,8177,2152,367,392005,0 5,2,2024-09-07 09:18:31:836,504796,504796,0,0,23531422,0,3582 5,3,2024-09-07 09:18:31:740,1,401,15,0,457,5693,401,0 6,0,2024-09-07 09:18:30:921,103706,0.5,103342,0.7,206352,0.4,275177,2.00 6,1,2024-09-07 09:18:30:749,702955,702955,0,0,329943850228,3452389097,694789,6774,1392,379,391694,0 6,2,2024-09-07 09:18:31:116,509418,509400,18,0,24066902,0,5535 6,3,2024-09-07 09:18:31:280,1,401,20,0,710,5066,401,0 7,0,2024-09-07 09:18:31:537,97501,0.5,98207,0.6,195679,0.4,260082,2.00 7,1,2024-09-07 09:18:30:850,702633,702633,0,0,329606835109,3462279442,692867,8304,1462,382,391747,0 7,2,2024-09-07 09:18:30:770,507103,507103,0,0,23277335,0,4791 7,3,2024-09-07 09:18:30:851,1,401,1,0,552,4729,401,0 8,0,2024-09-07 09:18:31:326,98294,0.4,97968,0.5,196469,0.3,261278,1.75 8,1,2024-09-07 09:18:31:016,701739,701739,0,0,329881516875,3472196680,690325,8789,2625,366,392144,0 8,2,2024-09-07 09:18:30:792,500779,500779,0,0,27064891,0,3250 8,3,2024-09-07 09:18:30:585,1,401,18,0,538,6769,401,0 9,0,2024-09-07 09:18:31:124,101091,0.4,98090,0.6,205357,0.3,269351,1.75 9,1,2024-09-07 09:18:30:557,701735,701735,0,0,329295899545,3470610537,691290,8258,2187,369,392001,0 9,2,2024-09-07 09:18:31:086,505211,505211,0,0,23899888,0,3360 9,3,2024-09-07 09:18:31:763,1,401,7,0,496,5846,401,0 10,0,2024-09-07 09:18:31:600,105134,0.4,104562,0.6,210033,0.3,279101,1.75 10,1,2024-09-07 09:18:30:583,702845,702845,0,0,330664097737,3467630918,692889,8335,1621,381,391741,0 10,2,2024-09-07 09:18:30:765,509072,509072,0,0,26420132,0,4264 10,3,2024-09-07 09:18:30:875,1,401,1,0,649,4528,401,0 11,0,2024-09-07 09:18:31:007,99528,0.5,96519,0.6,201929,0.4,266425,1.75 11,1,2024-09-07 09:18:30:578,703492,703492,0,0,330727435166,3471319754,692732,8278,2482,383,391756,0 11,2,2024-09-07 09:18:31:122,507953,507953,0,0,23647343,0,4130 11,3,2024-09-07 09:18:31:307,1,401,1,0,843,6157,401,0 12,0,2024-09-07 09:18:30:949,99264,0.4,99281,0.5,198433,0.3,263484,1.75 12,1,2024-09-07 09:18:30:938,704287,704287,0,0,330529582961,3446810151,698902,4888,497,370,391870,0 12,2,2024-09-07 09:18:31:542,504699,504699,0,0,22259950,0,3469 12,3,2024-09-07 09:18:31:061,1,401,9,0,386,6205,401,0 13,0,2024-09-07 09:18:31:337,100119,0.4,99728,0.6,199017,0.4,265467,1.75 13,1,2024-09-07 09:18:31:531,702341,702341,0,0,329674182118,3467106293,695094,5673,1574,382,391740,0 13,2,2024-09-07 09:18:30:616,507315,507315,0,0,20552100,0,3287 13,3,2024-09-07 09:18:31:763,1,401,0,0,522,6104,401,0 14,0,2024-09-07 09:18:30:563,105299,0.4,106247,0.6,210223,0.4,280657,1.75 14,1,2024-09-07 09:18:31:565,706996,706996,0,0,331856219103,3440344313,700796,5492,708,364,391673,0 14,2,2024-09-07 09:18:30:765,508349,508319,30,0,22957767,0,6104 14,3,2024-09-07 09:18:31:115,1,401,4,0,1168,4655,401,0 15,0,2024-09-07 09:18:31:557,100308,0.4,100213,0.7,200861,0.4,267488,2.00 15,1,2024-09-07 09:18:31:613,704111,704111,0,0,331031914767,3448602574,698469,4664,978,381,391619,0 15,2,2024-09-07 09:18:31:007,511875,511875,0,0,18851752,0,3622 15,3,2024-09-07 09:18:31:420,1,401,4,0,1126,6872,401,0 16,0,2024-09-07 09:18:30:951,98297,0.5,98645,0.7,196980,0.5,261800,2.00 16,1,2024-09-07 09:18:30:563,704150,704150,0,0,330177205601,3451978994,698233,5074,843,370,391756,0 16,2,2024-09-07 09:18:31:447,503926,503926,0,0,21828939,0,4719 16,3,2024-09-07 09:18:31:143,1,401,9,0,317,5302,401,0 17,0,2024-09-07 09:18:31:819,100381,0.6,97987,0.8,191761,0.6,260649,2.00 17,1,2024-09-07 09:18:30:575,703066,703066,0,0,329991755832,3464625671,695498,6057,1511,368,392075,0 17,2,2024-09-07 09:18:31:666,508011,508011,0,0,21405683,0,2948 17,3,2024-09-07 09:18:30:576,1,401,2,0,518,6718,401,0 18,0,2024-09-07 09:18:30:943,102326,0.6,102618,0.8,204531,0.6,273587,2.25 18,1,2024-09-07 09:18:31:643,705556,705556,0,0,331078488273,3432415991,701683,3495,378,367,391725,0 18,2,2024-09-07 09:18:31:755,509372,509372,0,0,19736891,0,3541 18,3,2024-09-07 09:18:30:902,1,401,0,0,163,3175,401,0 19,0,2024-09-07 09:18:31:549,101559,0.7,102060,0.8,202421,0.7,269334,2.25 19,1,2024-09-07 09:18:30:572,706045,706045,0,0,331062410869,3437277917,700109,5015,921,367,391777,0 19,2,2024-09-07 09:18:31:762,512497,512497,0,0,18741226,0,3988 19,3,2024-09-07 09:18:31:132,1,401,1,0,524,3042,401,0 20,0,2024-09-07 09:18:31:375,97918,0.5,97942,0.6,195685,0.4,260886,2.00 20,1,2024-09-07 09:18:30:566,703359,703359,0,0,330909979893,3462421871,696965,5594,800,369,391886,0 20,2,2024-09-07 09:18:30:939,505045,505045,0,0,22357938,0,3721 20,3,2024-09-07 09:18:30:594,1,401,12,0,414,6019,401,0 21,0,2024-09-07 09:18:31:133,97129,0.5,97127,0.6,194193,0.5,257483,2.00 21,1,2024-09-07 09:18:31:536,701245,701245,0,0,329193845900,3474903929,690419,8356,2470,368,392016,0 21,2,2024-09-07 09:18:31:066,502641,502621,20,0,27285923,0,5617 21,3,2024-09-07 09:18:31:404,1,401,2,0,713,5319,401,0 22,0,2024-09-07 09:18:31:954,101223,0.5,101448,0.7,203192,0.5,269610,2.00 22,1,2024-09-07 09:18:31:030,702815,702815,0,0,330131468585,3471863807,692106,8526,2183,382,391667,0 22,2,2024-09-07 09:18:30:760,504986,504960,26,0,21684680,0,6328 22,3,2024-09-07 09:18:31:066,1,401,3,0,228,3422,401,0 23,0,2024-09-07 09:18:31:387,103646,0.6,103101,0.7,206698,0.5,275272,2.25 23,1,2024-09-07 09:18:31:005,703330,703330,0,0,330338536996,3473227480,690501,8777,4052,365,391690,0 23,2,2024-09-07 09:18:31:099,510969,510969,0,0,21393632,0,3773 23,3,2024-09-07 09:18:31:761,1,401,0,0,720,5511,401,0 24,0,2024-09-07 09:18:30:818,99924,0.4,99722,0.5,200011,0.4,265597,1.75 24,1,2024-09-07 09:18:30:581,702727,702727,0,0,328718328103,3448376036,694916,6227,1584,367,392269,0 24,2,2024-09-07 09:18:31:069,506942,506942,0,0,25529075,0,3607 24,3,2024-09-07 09:18:31:686,1,401,8,0,468,5629,401,0 25,0,2024-09-07 09:18:31:352,101176,0.4,98723,0.6,193435,0.3,263754,1.75 25,1,2024-09-07 09:18:30:559,702319,702319,0,0,330587677313,3483735867,690891,9224,2204,371,391928,0 25,2,2024-09-07 09:18:31:612,503124,503124,0,0,25811693,0,3978 25,3,2024-09-07 09:18:31:013,1,401,8,0,532,4508,401,0 26,0,2024-09-07 09:18:31:731,99719,0.4,97182,0.6,203816,0.4,265729,2.00 26,1,2024-09-07 09:18:31:610,703676,703676,0,0,329772409823,3455222919,693511,8610,1555,380,391748,0 26,2,2024-09-07 09:18:30:861,506377,506377,0,0,25667720,0,2809 26,3,2024-09-07 09:18:31:716,1,401,1,0,796,5088,401,0 27,0,2024-09-07 09:18:31:725,106033,0.5,106238,0.7,211163,0.5,282151,2.25 27,1,2024-09-07 09:18:31:675,705770,705770,0,0,331029473585,3450491014,698722,6154,894,381,391626,0 27,2,2024-09-07 09:18:30:869,506205,506140,65,0,24058677,0,5699 27,3,2024-09-07 09:18:31:014,1,401,23,0,564,4030,401,0 28,0,2024-09-07 09:18:31:420,100657,0.4,100186,0.6,200832,0.3,268034,2.00 28,1,2024-09-07 09:18:30:800,704353,704353,0,0,330919529763,3457515477,697196,5458,1699,383,391698,0 28,2,2024-09-07 09:18:31:764,510043,510043,0,0,21875326,0,2915 28,3,2024-09-07 09:18:31:777,1,401,17,0,502,4581,401,0 29,0,2024-09-07 09:18:31:416,101169,0.3,98624,0.6,193583,0.3,263410,1.75 29,1,2024-09-07 09:18:31:563,706729,706729,0,0,331994030180,3437537746,701900,4096,733,368,391753,0 29,2,2024-09-07 09:18:30:861,503865,503865,0,0,20597527,0,4986 29,3,2024-09-07 09:18:30:963,1,401,16,0,459,4829,401,0 30,0,2024-09-07 09:18:31:481,97569,0.5,94823,0.7,198657,0.4,260030,2.00 30,1,2024-09-07 09:18:30:577,706193,706193,0,0,331265568747,3441930587,700439,4988,766,381,391672,0 30,2,2024-09-07 09:18:31:283,506652,506652,0,0,19972102,0,4192 30,3,2024-09-07 09:18:30:581,1,401,65,0,519,4003,401,0 31,0,2024-09-07 09:18:31:758,104117,0.4,104583,0.6,208698,0.4,278673,2.00 31,1,2024-09-07 09:18:30:563,708626,708626,0,0,332424175102,3409415839,705646,2394,586,356,391712,0 31,2,2024-09-07 09:18:31:277,507525,507525,0,0,21770944,0,3525 31,3,2024-09-07 09:18:31:706,1,401,2,0,220,3510,401,0 32,0,2024-09-07 09:18:31:418,101567,0.3,102158,0.5,203450,0.3,271262,1.75 32,1,2024-09-07 09:18:30:805,705580,705580,0,0,331622057854,3451700028,700475,4425,680,381,391646,0 32,2,2024-09-07 09:18:30:940,511543,511543,0,0,19564463,0,3922 32,3,2024-09-07 09:18:31:016,1,401,78,0,304,3249,401,0 33,0,2024-09-07 09:18:31:512,98248,0.3,97801,0.4,196270,0.2,261079,1.50 33,1,2024-09-07 09:18:30:575,706560,706560,0,0,331687455388,3443061785,700144,5313,1103,369,391730,0 33,2,2024-09-07 09:18:30:759,505612,505577,35,0,21637690,0,7012 33,3,2024-09-07 09:18:30:923,1,401,9,0,329,4034,401,0 34,0,2024-09-07 09:18:30:933,96986,0.3,99799,0.4,191037,0.2,256851,1.75 34,1,2024-09-07 09:18:31:047,707510,707510,0,0,332136644718,3417168475,705777,1722,11,367,391562,0 34,2,2024-09-07 09:18:30:767,506307,506307,0,0,20363278,0,4562 34,3,2024-09-07 09:18:31:693,1,401,1,0,299,3105,401,0 35,0,2024-09-07 09:18:30:858,101505,0.4,101975,0.5,204864,0.3,273241,1.75 35,1,2024-09-07 09:18:31:070,705926,705926,0,0,330723607872,3429572031,701518,3552,856,382,391769,0 35,2,2024-09-07 09:18:31:583,506839,506839,0,0,21251368,0,2653 35,3,2024-09-07 09:18:30:908,1,401,2,0,418,4473,401,0 36,0,2024-09-07 09:18:31:527,103797,0.5,103677,0.7,207231,0.5,275468,2.25 36,1,2024-09-07 09:18:30:587,704139,704139,0,0,330500466557,3455829487,694584,7555,2000,366,391759,0 36,2,2024-09-07 09:18:31:756,510549,510549,0,0,23131072,0,3875 36,3,2024-09-07 09:18:30:862,1,401,9,0,416,5987,401,0 37,0,2024-09-07 09:18:31:379,97688,0.4,97753,0.6,195700,0.4,260503,2.00 37,1,2024-09-07 09:18:30:583,704252,704245,0,7,329110431608,3440614803,695660,6313,2272,365,391570,0 37,2,2024-09-07 09:18:31:143,504751,504736,15,0,23030529,0,5815 37,3,2024-09-07 09:18:31:766,1,401,1,0,888,6230,401,0 38,0,2024-09-07 09:18:31:443,97561,0.5,94655,0.6,197988,0.4,258898,2.00 38,1,2024-09-07 09:18:31:622,705027,705027,0,0,330745223822,3460321584,694614,8253,2160,368,391821,0 38,2,2024-09-07 09:18:30:759,504678,504631,47,0,22455972,0,6710 38,3,2024-09-07 09:18:31:006,1,401,34,0,689,5419,401,0 39,0,2024-09-07 09:18:31:769,103016,0.6,100868,0.7,196359,0.6,268430,2.00 39,1,2024-09-07 09:18:30:716,703244,703244,0,0,330025969233,3465539151,690892,9662,2690,365,391658,0 39,2,2024-09-07 09:18:31:432,506329,506329,0,0,21505354,0,3391 39,3,2024-09-07 09:18:30:713,1,401,1,0,324,4833,401,0 40,0,2024-09-07 09:18:31:488,103924,0.9,104647,1.0,208452,1.0,277858,2.75 40,1,2024-09-07 09:18:30:579,704373,704373,0,0,329851995873,3456957145,694063,8383,1927,368,391668,0 40,2,2024-09-07 09:18:31:302,508111,508110,1,0,25010857,0,5137 40,3,2024-09-07 09:18:31:151,1,401,9,0,1028,5682,401,0 41,0,2024-09-07 09:18:31:021,99031,1.4,101299,1.3,193341,2.2,263123,3.25 41,1,2024-09-07 09:18:30:792,702979,702979,0,0,330446229532,3459520559,694009,7970,1000,369,391742,0 41,2,2024-09-07 09:18:30:772,507051,507051,0,0,24099704,0,4277 41,3,2024-09-07 09:18:31:677,1,401,7,0,366,4106,401,0 42,0,2024-09-07 09:18:31:491,97820,0.9,97849,1.0,195848,0.9,259308,2.75 42,1,2024-09-07 09:18:31:444,701964,701964,0,0,328735998253,3457817112,690540,9497,1927,380,391675,0 42,2,2024-09-07 09:18:31:134,503274,503274,0,0,23945362,0,3790 42,3,2024-09-07 09:18:31:010,1,401,2,0,892,4170,401,0 43,0,2024-09-07 09:18:30:917,98164,0.8,95604,1.0,200306,0.9,262857,2.50 43,1,2024-09-07 09:18:30:575,704335,704335,0,0,331176667673,3464036334,694014,8583,1738,366,391696,0 43,2,2024-09-07 09:18:31:740,505633,505633,0,0,23795414,0,3812 43,3,2024-09-07 09:18:31:756,1,401,43,0,548,5434,401,0 44,0,2024-09-07 09:18:30:867,105072,0.4,105454,0.6,211237,0.4,280517,1.75 44,1,2024-09-07 09:18:30:563,706116,706116,0,0,331379661783,3429638324,699642,5156,1318,356,391809,0 44,2,2024-09-07 09:18:31:269,506985,506985,0,0,20012593,0,4344 44,3,2024-09-07 09:18:31:096,1,401,12,0,817,4921,401,0 45,0,2024-09-07 09:18:31:792,99285,0.5,97009,0.7,203403,0.5,268018,2.00 45,1,2024-09-07 09:18:31:008,705390,705390,0,0,331288655136,3445156022,699741,5076,573,382,391917,0 45,2,2024-09-07 09:18:31:268,510938,510938,0,0,20402150,0,3596 45,3,2024-09-07 09:18:30:935,1,401,5,0,271,3609,401,0 46,0,2024-09-07 09:18:30:979,97695,0.5,97694,0.7,195611,0.5,259423,2.00 46,1,2024-09-07 09:18:30:691,706565,706565,0,0,332373249870,3435197965,702034,3941,590,366,391709,0 46,2,2024-09-07 09:18:30:593,504770,504770,0,0,20251467,0,4443 46,3,2024-09-07 09:18:31:133,1,401,3,0,908,5355,401,0 47,0,2024-09-07 09:18:31:101,97825,0.4,98086,0.6,196603,0.4,260079,2.00 47,1,2024-09-07 09:18:30:575,707273,707273,0,0,331508730109,3427687757,702654,3804,815,366,391641,0 47,2,2024-09-07 09:18:30:908,508078,508078,0,0,19793132,0,4477 47,3,2024-09-07 09:18:31:115,1,401,3,0,600,5153,401,0 48,0,2024-09-07 09:18:31:507,103636,0.3,103463,0.5,206283,0.2,275697,1.75 48,1,2024-09-07 09:18:31:031,705637,705637,0,0,331265837606,3443048529,701046,4135,456,384,391710,0 48,2,2024-09-07 09:18:30:706,507167,507167,0,0,18822159,0,3411 48,3,2024-09-07 09:18:30:754,1,401,8,0,339,3349,401,0 49,0,2024-09-07 09:18:31:720,104852,0.4,103044,0.5,199526,0.3,273591,1.75 49,1,2024-09-07 09:18:31:020,705281,705281,0,0,330445480900,3441382806,700133,3943,1205,382,391809,0 49,2,2024-09-07 09:18:31:796,511168,511168,0,0,20915614,0,4426 49,3,2024-09-07 09:18:31:418,1,401,1,0,992,4961,401,0 50,0,2024-09-07 09:18:31:520,98474,0.3,96917,0.4,195622,0.2,260520,1.75 50,1,2024-09-07 09:18:31:010,707557,707557,0,0,332714961198,3442762838,702652,4331,574,368,391565,0 50,2,2024-09-07 09:18:31:069,505422,505422,0,0,18619824,0,2263 50,3,2024-09-07 09:18:31:305,1,401,4,0,567,4138,401,0 51,0,2024-09-07 09:18:31:696,99650,0.3,97461,0.5,190062,0.2,258590,1.75 51,1,2024-09-07 09:18:31:689,707436,707436,0,0,332722209827,3432348548,703856,2601,979,365,391706,0 51,2,2024-09-07 09:18:31:316,506045,506045,0,0,17950665,0,3337 51,3,2024-09-07 09:18:31:029,1,401,0,0,678,3384,401,0 52,0,2024-09-07 09:18:31:426,101617,0.5,101461,0.7,203358,0.5,270363,2.00 52,1,2024-09-07 09:18:30:584,704079,704079,0,0,330203957046,3458704418,693834,8892,1353,368,391722,0 52,2,2024-09-07 09:18:31:762,503289,503251,38,0,24258689,0,6742 52,3,2024-09-07 09:18:30:674,1,401,9,0,1782,5762,401,0 53,0,2024-09-07 09:18:31:735,103208,0.7,100265,0.8,209946,0.8,275193,2.50 53,1,2024-09-07 09:18:30:781,703018,703018,0,0,330071870882,3467238386,691126,8934,2958,367,391702,0 53,2,2024-09-07 09:18:31:301,509800,509799,1,0,22003623,0,5455 53,3,2024-09-07 09:18:30:703,1,401,1,0,308,3700,401,0 54,0,2024-09-07 09:18:31:619,97883,0.6,98216,0.8,195750,0.4,261386,2.25 54,1,2024-09-07 09:18:30:580,704284,704284,0,0,330400515614,3437715036,697021,6024,1239,366,391659,0 54,2,2024-09-07 09:18:30:865,508096,508064,32,0,25163944,0,6397 54,3,2024-09-07 09:18:30:764,1,401,1,0,676,6077,401,0 55,0,2024-09-07 09:18:31:763,95339,0.6,98534,0.7,199166,0.5,259578,2.25 55,1,2024-09-07 09:18:30:765,705345,705345,0,0,330554185423,3439011201,697716,6537,1092,365,391731,0 55,2,2024-09-07 09:18:30:730,503853,503797,56,0,23506651,0,7239 55,3,2024-09-07 09:18:30:675,1,401,25,0,304,4283,401,0 56,0,2024-09-07 09:18:31:568,101715,1.2,95975,1.2,197689,1.8,265019,2.75 56,1,2024-09-07 09:18:30:578,700581,700581,0,0,329362960593,3481972857,689179,9029,2373,381,391867,0 56,2,2024-09-07 09:18:31:301,505517,505517,0,0,23230129,0,3567 56,3,2024-09-07 09:18:31:060,1,401,7,0,705,5010,401,0 57,0,2024-09-07 09:18:30:938,104416,1.8,104127,1.3,209060,2.5,280001,3.50 57,1,2024-09-07 09:18:30:987,703581,703581,0,0,329921711949,3459833327,695196,7312,1073,366,392032,0 57,2,2024-09-07 09:18:31:316,508476,508476,0,0,25391247,0,3317 57,3,2024-09-07 09:18:31:738,1,401,3,0,455,4848,401,0 58,0,2024-09-07 09:18:30:557,98813,0.9,96023,1.0,200918,1.0,263512,2.50 58,1,2024-09-07 09:18:30:577,703742,703739,0,3,330629006389,3459767095,693937,8514,1288,367,391603,3 58,2,2024-09-07 09:18:31:071,508893,508893,0,0,23820985,0,2902 58,3,2024-09-07 09:18:31:068,1,401,1,0,1043,4328,401,0 59,0,2024-09-07 09:18:31:748,98273,0.7,97922,0.9,195475,0.8,259865,2.50 59,1,2024-09-07 09:18:30:806,703394,703394,0,0,330355536261,3461980357,693802,7888,1704,369,391653,0 59,2,2024-09-07 09:18:30:583,504099,504099,0,0,23359728,0,3727 59,3,2024-09-07 09:18:31:738,1,401,1,0,1015,5269,401,0 60,0,2024-09-07 09:18:31:722,97923,0.5,97806,0.7,196223,0.5,261057,1.75 60,1,2024-09-07 09:18:30:783,705864,705864,0,0,331594922215,3441993487,701300,3915,649,370,392031,0 60,2,2024-09-07 09:18:31:142,506365,506365,0,0,21983366,0,3811 60,3,2024-09-07 09:18:31:266,1,401,13,0,409,4852,401,0 61,0,2024-09-07 09:18:31:498,104069,0.6,104661,0.8,208518,0.6,278080,2.00 61,1,2024-09-07 09:18:30:779,704186,704186,0,0,330550517959,3464416909,696297,6491,1398,382,392127,0 61,2,2024-09-07 09:18:31:114,507429,507362,67,0,22401705,0,6411 61,3,2024-09-07 09:18:31:689,1,401,42,0,479,5673,401,0 62,0,2024-09-07 09:18:31:793,102080,0.6,104434,0.7,199421,0.5,270967,2.00 62,1,2024-09-07 09:18:31:112,708485,708479,0,6,332193639795,3427828896,704668,3550,261,365,391975,6 62,2,2024-09-07 09:18:31:644,509031,509030,1,0,22512279,0,5555 62,3,2024-09-07 09:18:31:143,1,401,0,0,482,3324,401,0 63,0,2024-09-07 09:18:31:462,98344,0.4,98234,0.6,197012,0.3,261485,1.75 63,1,2024-09-07 09:18:30:808,706017,706011,0,6,331908652906,3448726983,701057,4221,733,381,391800,6 63,2,2024-09-07 09:18:30:764,504813,504813,0,0,20271992,0,4369 63,3,2024-09-07 09:18:31:744,1,401,2,0,667,4196,401,0 64,0,2024-09-07 09:18:31:518,96373,0.5,96344,0.7,192396,0.5,256005,2.00 64,1,2024-09-07 09:18:30:755,705185,705185,0,0,329897879333,3439860182,698651,4831,1703,370,391783,0 64,2,2024-09-07 09:18:31:142,509302,509283,19,0,19963119,0,6121 64,3,2024-09-07 09:18:31:141,1,401,8,0,265,3764,401,0 65,0,2024-09-07 09:18:31:675,101106,0.7,101617,0.8,202489,0.8,270807,2.25 65,1,2024-09-07 09:18:30:859,703801,703801,0,0,330173847728,3453345239,698710,4551,540,382,391901,0 65,2,2024-09-07 09:18:31:696,507363,507363,0,0,23507484,0,3367 65,3,2024-09-07 09:18:31:688,1,401,1,0,782,4798,401,0 66,0,2024-09-07 09:18:31:787,103091,0.6,103063,0.8,205938,0.5,273959,2.25 66,1,2024-09-07 09:18:31:293,705348,705348,0,0,330414054307,3443135250,700327,4604,417,380,391743,0 66,2,2024-09-07 09:18:31:138,511815,511815,0,0,20586067,0,4956 66,3,2024-09-07 09:18:31:091,1,401,16,0,291,3652,401,0 67,0,2024-09-07 09:18:31:439,98315,0.5,97990,0.6,196623,0.4,261220,2.00 67,1,2024-09-07 09:18:30:770,705631,705630,0,1,330781387782,3445866057,700783,4058,789,380,391787,1 67,2,2024-09-07 09:18:30:583,508635,508635,0,0,19621225,0,3622 67,3,2024-09-07 09:18:31:750,1,401,0,0,392,4007,401,0 68,0,2024-09-07 09:18:30:576,98300,0.5,98088,0.7,195679,0.5,261020,2.00 68,1,2024-09-07 09:18:30:572,702045,702045,0,0,329525529836,3467427568,692711,6525,2809,381,391953,0 68,2,2024-09-07 09:18:31:046,503129,503029,100,0,26341715,0,8578 68,3,2024-09-07 09:18:30:728,1,401,9,0,417,4343,401,0 69,0,2024-09-07 09:18:31:737,100373,0.8,100957,0.9,200766,0.9,267118,2.25 69,1,2024-09-07 09:18:31:025,702300,702300,0,0,329208989804,3473354191,692838,7224,2238,384,391994,0 69,2,2024-09-07 09:18:31:747,505142,505113,29,0,28171281,0,6912 69,3,2024-09-07 09:18:30:768,1,401,0,0,698,5914,401,0 70,0,2024-09-07 09:18:31:557,104015,1.3,104156,1.1,209443,1.1,277247,2.75 70,1,2024-09-07 09:18:30:801,705209,705209,0,0,330821028724,3436275412,699276,5272,661,366,391725,0 70,2,2024-09-07 09:18:31:343,508639,508639,0,0,23730700,0,4323 70,3,2024-09-07 09:18:30:750,1,401,1,0,854,4721,401,0 71,0,2024-09-07 09:18:31:368,98778,0.8,98389,1.0,197710,1.0,264635,2.75 71,1,2024-09-07 09:18:31:606,704518,704518,0,0,330323354629,3448627091,696266,7452,800,368,391738,0 71,2,2024-09-07 09:18:31:067,508427,508427,0,0,23694450,0,4352 71,3,2024-09-07 09:18:31:750,1,401,1,0,644,5224,401,0 72,0,2024-09-07 09:18:31:058,101694,0.5,99382,0.7,193969,0.5,263643,2.00 72,1,2024-09-07 09:18:31:031,703636,703636,0,0,329709730747,3461384002,693210,8457,1969,369,391819,0 72,2,2024-09-07 09:18:31:756,503498,503498,0,0,25327450,0,3983 72,3,2024-09-07 09:18:31:755,1,401,8,0,564,5979,401,0 73,0,2024-09-07 09:18:31:102,96855,0.5,99202,0.6,203036,0.4,264050,2.00 73,1,2024-09-07 09:18:30:766,704581,704581,0,0,330419450604,3433855400,699678,4538,365,367,391858,0 73,2,2024-09-07 09:18:31:741,505551,505551,0,0,24777957,0,3701 73,3,2024-09-07 09:18:30:973,1,401,0,0,486,5564,401,0 74,0,2024-09-07 09:18:31:328,106050,0.5,108253,0.6,206484,0.4,280889,2.25 74,1,2024-09-07 09:18:30:635,704151,704151,0,0,329983975221,3446747605,696720,6028,1403,381,391762,0 74,2,2024-09-07 09:18:31:008,507129,507129,0,0,23119234,0,4253 74,3,2024-09-07 09:18:31:443,1,401,1,0,522,5450,401,0 75,0,2024-09-07 09:18:31:765,100944,0.5,100349,0.8,201394,0.5,269264,2.25 75,1,2024-09-07 09:18:31:593,704420,704420,0,0,330467289074,3449633165,697616,5977,827,380,391739,0 75,2,2024-09-07 09:18:31:361,508676,508676,0,0,25298332,0,4766 75,3,2024-09-07 09:18:31:068,1,401,1,0,702,5219,401,0 76,0,2024-09-07 09:18:30:584,97759,0.6,97022,0.7,195071,0.5,260244,2.25 76,1,2024-09-07 09:18:30:816,704417,704417,0,0,329952257415,3444312247,699243,4387,787,382,391692,0 76,2,2024-09-07 09:18:31:061,506364,506363,1,0,22195775,0,5144 76,3,2024-09-07 09:18:31:141,1,401,7,0,175,3788,401,0 77,0,2024-09-07 09:18:31:743,97214,0.6,97595,0.7,194989,0.6,258943,2.00 77,1,2024-09-07 09:18:30:832,704891,704891,0,0,330812310163,3454733744,699125,5265,501,381,391869,0 77,2,2024-09-07 09:18:31:281,505889,505889,0,0,21884769,0,3890 77,3,2024-09-07 09:18:31:101,1,401,6,0,401,4676,401,0 78,0,2024-09-07 09:18:31:723,103511,0.5,102933,0.7,206745,0.4,274343,2.00 78,1,2024-09-07 09:18:30:620,705198,705198,0,0,329675906173,3437150337,697516,6115,1567,367,391670,0 78,2,2024-09-07 09:18:31:411,507746,507733,13,0,20698901,0,8313 78,3,2024-09-07 09:18:31:134,1,401,25,0,181,3658,401,0 79,0,2024-09-07 09:18:31:359,98504,0.4,100849,0.6,206600,0.4,268365,2.25 79,1,2024-09-07 09:18:30:570,706689,706689,0,0,331092935361,3432636777,700792,4748,1149,367,391682,0 79,2,2024-09-07 09:18:31:068,511789,511789,0,0,20350431,0,4195 79,3,2024-09-07 09:18:30:749,1,401,4,0,418,5232,401,0 80,0,2024-09-07 09:18:31:080,97879,0.5,100818,0.7,192860,0.5,260016,2.00 80,1,2024-09-07 09:18:31:623,704477,704477,0,0,331359909651,3452505406,699398,4755,324,368,392269,0 80,2,2024-09-07 09:18:31:098,507237,507237,0,0,20088299,0,4433 80,3,2024-09-07 09:18:30:578,1,401,8,0,190,4774,401,0 81,0,2024-09-07 09:18:31:570,97102,0.5,99464,0.7,189791,0.5,257400,2.00 81,1,2024-09-07 09:18:31:651,703849,703849,0,0,329207208725,3442695184,698091,5252,506,382,391879,0 81,2,2024-09-07 09:18:31:125,504435,504372,63,0,22148482,0,5932 81,3,2024-09-07 09:18:31:120,1,401,0,0,719,5025,401,0 82,0,2024-09-07 09:18:31:555,100949,0.5,101332,0.8,203137,0.5,269768,2.00 82,1,2024-09-07 09:18:30:590,705782,705778,0,4,330794102242,3444233570,701086,3875,817,381,391768,4 82,2,2024-09-07 09:18:31:698,507674,507674,0,0,19058303,0,3986 82,3,2024-09-07 09:18:31:752,1,401,1,0,363,4516,401,0 83,0,2024-09-07 09:18:31:523,104036,0.7,104015,0.8,207048,0.8,275433,2.25 83,1,2024-09-07 09:18:30:551,703689,703689,0,0,330949520530,3454210212,698224,5015,450,382,391709,0 83,2,2024-09-07 09:18:30:771,510187,510187,0,0,19714442,0,3393 83,3,2024-09-07 09:18:30:750,1,401,1,0,1260,5404,401,0 84,0,2024-09-07 09:18:31:828,98738,0.7,98815,0.8,197032,0.6,263818,2.25 84,1,2024-09-07 09:18:31:046,703510,703510,0,0,329922687374,3450417260,695852,6619,1039,367,391967,0 84,2,2024-09-07 09:18:30:608,506758,506728,30,0,27279444,0,5971 84,3,2024-09-07 09:18:31:145,1,401,9,0,908,6006,401,0 85,0,2024-09-07 09:18:31:016,95021,0.6,95066,0.8,201737,0.6,260850,2.25 85,1,2024-09-07 09:18:30:567,702117,702117,0,0,329382790743,3478197963,691637,8617,1863,381,392006,0 85,2,2024-09-07 09:18:30:868,503693,503693,0,0,25008474,0,3656 85,3,2024-09-07 09:18:30:685,1,401,1,0,789,5113,401,0 86,0,2024-09-07 09:18:30:905,99473,0.7,102361,0.8,195695,0.7,265242,2.25 86,1,2024-09-07 09:18:30:830,703884,703884,0,0,330873657810,3467488320,695459,6988,1437,366,391961,0 86,2,2024-09-07 09:18:30:856,503908,503907,1,0,26950511,0,5004 86,3,2024-09-07 09:18:30:590,1,401,4,0,308,5966,401,0 87,0,2024-09-07 09:18:31:311,105698,1.1,105600,0.9,211460,1.4,282436,2.50 87,1,2024-09-07 09:18:30:557,702346,702346,0,0,329679980261,3460084209,692461,8295,1590,366,392076,0 87,2,2024-09-07 09:18:31:067,507010,507004,6,0,24299241,0,6323 87,3,2024-09-07 09:18:31:798,1,401,1,0,473,6194,401,0 88,0,2024-09-07 09:18:31:466,100168,0.4,101006,0.6,201026,0.4,267860,1.75 88,1,2024-09-07 09:18:30:578,701978,701978,0,0,330633674581,3464788109,692865,7181,1932,365,392084,0 88,2,2024-09-07 09:18:30:692,509778,509778,0,0,26115461,0,4465 88,3,2024-09-07 09:18:31:267,1,401,25,0,435,4234,401,0 89,0,2024-09-07 09:18:31:790,101343,0.4,98175,0.6,194167,0.4,263522,1.75 89,1,2024-09-07 09:18:30:571,702205,702205,0,0,329932390178,3470482047,693247,7534,1424,382,391866,0 89,2,2024-09-07 09:18:31:135,502961,502961,0,0,24315771,0,3173 89,3,2024-09-07 09:18:31:796,1,401,5,0,468,7384,401,0 90,0,2024-09-07 09:18:31:625,95050,0.5,97538,0.6,199087,0.4,260190,2.00 90,1,2024-09-07 09:18:30:594,703532,703532,0,0,330082866846,3458192475,696890,6133,509,380,391825,0 90,2,2024-09-07 09:18:31:411,504231,504231,0,0,25659193,0,3060 90,3,2024-09-07 09:18:30:939,1,401,1,0,322,5050,401,0 91,0,2024-09-07 09:18:30:955,104883,0.5,101544,0.6,212268,0.4,278698,1.75 91,1,2024-09-07 09:18:30:589,702289,702289,0,0,330270306511,3474996196,693255,7683,1351,381,392047,0 91,2,2024-09-07 09:18:31:348,507951,507951,0,0,22926796,0,2896 91,3,2024-09-07 09:18:30:606,1,401,1,0,216,4091,401,0 92,0,2024-09-07 09:18:31:503,102276,0.5,104881,0.6,200406,0.5,271282,1.75 92,1,2024-09-07 09:18:30:600,704175,704175,0,0,330336707421,3451609927,698696,4756,723,382,392136,0 92,2,2024-09-07 09:18:31:352,511563,511563,0,0,20675867,0,3259 92,3,2024-09-07 09:18:31:009,1,401,9,0,167,3619,401,0 93,0,2024-09-07 09:18:30:959,98775,0.4,101232,0.5,193149,0.3,261556,1.75 93,1,2024-09-07 09:18:30:813,703354,703354,0,0,330765324223,3455697088,695559,6449,1346,366,391776,0 93,2,2024-09-07 09:18:30:929,504297,504297,0,0,24660968,0,4845 93,3,2024-09-07 09:18:31:411,1,401,20,0,190,3661,401,0 94,0,2024-09-07 09:18:31:606,96435,0.4,97237,0.5,194244,0.3,257602,1.75 94,1,2024-09-07 09:18:30:571,704725,704725,0,0,330852322114,3451482585,700302,4229,194,381,391850,0 94,2,2024-09-07 09:18:30:767,505479,505479,0,0,20730167,0,2443 94,3,2024-09-07 09:18:31:697,1,401,122,0,576,5557,401,0 95,0,2024-09-07 09:18:31:376,102007,0.4,101929,0.5,204559,0.3,273080,1.75 95,1,2024-09-07 09:18:30:851,705764,705764,0,0,330561483553,3438269100,699997,5332,435,367,391786,0 95,2,2024-09-07 09:18:31:016,506598,506598,0,0,20545932,0,3308 95,3,2024-09-07 09:18:31:713,1,401,2,0,718,6048,401,0 96,0,2024-09-07 09:18:31:043,103648,0.4,103950,0.5,207532,0.4,275065,1.75 96,1,2024-09-07 09:18:31:585,703885,703885,0,0,330814252176,3454377408,698379,4628,878,384,391955,0 96,2,2024-09-07 09:18:31:289,511118,511118,0,0,21432536,0,4180 96,3,2024-09-07 09:18:31:144,1,401,12,0,411,4564,401,0 97,0,2024-09-07 09:18:31:323,98338,0.3,98014,0.5,196654,0.3,261113,1.50 97,1,2024-09-07 09:18:30:775,705478,705478,0,0,332167329392,3448051871,700374,4175,929,367,392140,0 97,2,2024-09-07 09:18:30:609,507245,507245,0,0,20704344,0,3679 97,3,2024-09-07 09:18:30:569,1,401,2,0,242,4626,401,0 98,0,2024-09-07 09:18:31:698,97839,0.3,97891,0.4,196581,0.2,260740,1.50 98,1,2024-09-07 09:18:30:579,704422,704422,0,0,330430263974,3445049984,699687,3955,780,382,391997,0 98,2,2024-09-07 09:18:30:769,505512,505512,0,0,20538870,0,3243 98,3,2024-09-07 09:18:30:699,1,401,2,0,840,6547,401,0 99,0,2024-09-07 09:18:31:452,100800,0.3,101497,0.5,201730,0.3,269574,1.75 99,1,2024-09-07 09:18:31:726,704894,704894,0,0,330623082015,3443731214,700048,4012,834,381,392069,0 99,2,2024-09-07 09:18:31:425,507123,507123,0,0,23883613,0,3424 99,3,2024-09-07 09:18:30:581,1,401,32,0,606,4558,401,0 100,0,2024-09-07 09:18:31:484,104904,0.9,105021,1.0,209390,1.1,279827,2.50 100,1,2024-09-07 09:18:30:549,701542,701542,0,0,328705763976,3475906738,690877,8622,2043,381,391989,0 100,2,2024-09-07 09:18:31:820,507267,507256,11,0,24224245,0,5417 100,3,2024-09-07 09:18:31:762,1,401,10,0,559,6765,401,0 101,0,2024-09-07 09:18:31:707,101775,1.0,99012,1.0,194508,0.8,266536,2.25 101,1,2024-09-07 09:18:30:554,701657,701657,0,0,329412668190,3469965335,691003,8534,2120,368,391847,0 101,2,2024-09-07 09:18:31:756,505444,505444,0,0,29056563,0,4871 101,3,2024-09-07 09:18:30:943,1,401,1,0,579,5805,401,0 102,0,2024-09-07 09:18:30:947,95973,0.6,98994,0.8,200548,0.6,262641,2.00 102,1,2024-09-07 09:18:31:145,702014,702014,0,0,329454200015,3466054182,692185,8069,1760,369,391891,0 102,2,2024-09-07 09:18:31:743,504680,504626,54,0,23123208,0,6768 102,3,2024-09-07 09:18:31:622,1,401,1,0,466,4581,401,0 103,0,2024-09-07 09:18:31:591,102540,0.6,102570,0.7,193304,0.6,266087,2.00 103,1,2024-09-07 09:18:31:628,700738,700738,0,0,329700790318,3485420702,688844,8829,3065,381,391829,0 103,2,2024-09-07 09:18:30:582,503954,503954,0,0,25511375,0,3766 103,3,2024-09-07 09:18:30:762,1,401,0,0,916,4553,401,0 104,0,2024-09-07 09:18:31:038,104376,0.7,104818,0.9,208276,0.7,279707,2.25 104,1,2024-09-07 09:18:31:643,703064,703064,0,0,329754515049,3466635506,692584,8674,1806,365,392168,0 104,2,2024-09-07 09:18:31:666,506611,506611,0,0,24766478,0,3941 104,3,2024-09-07 09:18:31:432,1,401,31,0,1245,8235,401,0 105,0,2024-09-07 09:18:31:052,99415,0.9,96760,1.1,202682,1.0,267205,2.75 105,1,2024-09-07 09:18:30:558,703800,703800,0,0,330382871817,3465294469,694403,7976,1421,366,392009,0 105,2,2024-09-07 09:18:31:337,509283,509283,0,0,24669570,0,3509 105,3,2024-09-07 09:18:31:310,1,401,10,0,399,6317,401,0 106,0,2024-09-07 09:18:30:939,94824,0.7,97208,0.9,198982,0.8,260081,2.50 106,1,2024-09-07 09:18:31:757,702533,702533,0,0,329423940948,3463637789,691566,9523,1444,369,391914,0 106,2,2024-09-07 09:18:30:756,503293,503293,0,0,23369186,0,2795 106,3,2024-09-07 09:18:30:677,1,401,2,0,470,5301,401,0 107,0,2024-09-07 09:18:31:104,97341,1.0,97541,0.9,194731,1.3,260007,2.25 107,1,2024-09-07 09:18:30:590,702082,702082,0,0,328935319126,3468638907,692381,8669,1032,381,392234,0 107,2,2024-09-07 09:18:31:291,504294,504293,1,0,24779874,0,5024 107,3,2024-09-07 09:18:31:760,1,401,24,0,370,6313,401,0 108,0,2024-09-07 09:18:31:782,102896,0.5,103691,0.6,206007,0.4,275387,1.75 108,1,2024-09-07 09:18:31:294,704295,704295,0,0,330769590488,3450726633,698358,5198,739,368,391857,0 108,2,2024-09-07 09:18:31:762,506261,506261,0,0,23322284,0,4246 108,3,2024-09-07 09:18:31:352,1,401,11,0,749,7730,401,0 109,0,2024-09-07 09:18:31:769,102824,0.4,101943,0.6,203811,0.4,272579,1.75 109,1,2024-09-07 09:18:30:592,702223,702223,0,0,330006927674,3464319538,695536,5573,1114,382,392132,0 109,2,2024-09-07 09:18:30:935,508579,508579,0,0,22983383,0,3617 109,3,2024-09-07 09:18:31:143,1,401,1,0,379,4788,401,0 110,0,2024-09-07 09:18:31:767,97774,0.4,95233,0.6,199667,0.3,260822,1.75 110,1,2024-09-07 09:18:31:651,705435,705435,0,0,330604171673,3434510419,700097,4162,1176,369,392045,0 110,2,2024-09-07 09:18:31:301,505786,505786,0,0,21778511,0,4067 110,3,2024-09-07 09:18:30:698,1,401,4,0,722,5799,401,0 111,0,2024-09-07 09:18:31:443,97558,0.4,96686,0.5,194044,0.3,258765,1.75 111,1,2024-09-07 09:18:30:999,705855,705855,0,0,331809630311,3442710231,702101,3400,354,380,391690,0 111,2,2024-09-07 09:18:31:116,504939,504939,0,0,21409215,0,4823 111,3,2024-09-07 09:18:30:918,1,401,0,0,379,4761,401,0 112,0,2024-09-07 09:18:30:920,102006,0.3,101586,0.4,203346,0.2,270767,1.50 112,1,2024-09-07 09:18:30:826,705852,705852,0,0,331535099339,3441785245,701422,3818,612,380,391624,0 112,2,2024-09-07 09:18:31:133,506646,506645,1,0,20375511,0,5036 112,3,2024-09-07 09:18:30:601,1,401,8,0,282,4065,401,0 113,0,2024-09-07 09:18:30:871,104038,0.3,104203,0.5,208554,0.3,277555,1.75 113,1,2024-09-07 09:18:31:690,708022,708022,0,0,331513586942,3422732933,704146,3287,589,366,391661,0 113,2,2024-09-07 09:18:31:303,511388,511388,0,0,19097611,0,3813 113,3,2024-09-07 09:18:30:684,1,401,1,0,340,4666,401,0 114,0,2024-09-07 09:18:30:882,99734,0.3,100349,0.5,199553,0.2,266420,1.75 114,1,2024-09-07 09:18:30:717,705075,705075,0,0,330367886994,3435600994,698831,4628,1616,381,391565,0 114,2,2024-09-07 09:18:30:876,508525,508524,1,0,20195818,0,5069 114,3,2024-09-07 09:18:31:287,1,401,0,0,395,3444,401,0 115,0,2024-09-07 09:18:30:576,98726,0.3,99228,0.4,198150,0.2,263352,1.50 115,1,2024-09-07 09:18:30:578,705377,705377,0,0,331283730458,3444227231,699525,4921,931,382,391757,0 115,2,2024-09-07 09:18:31:124,506421,506421,0,0,19141455,0,3848 115,3,2024-09-07 09:18:31:007,1,401,1,0,159,2338,401,0 116,0,2024-09-07 09:18:31:701,99237,0.8,99195,0.9,198985,0.9,266452,2.25 116,1,2024-09-07 09:18:30:813,701566,701566,0,0,328987191743,3469050754,693058,6185,2323,380,392089,0 116,2,2024-09-07 09:18:31:756,505083,505083,0,0,25423669,0,4128 116,3,2024-09-07 09:18:30:912,1,401,16,0,415,4836,401,0 117,0,2024-09-07 09:18:30:963,106214,0.8,105713,0.9,211677,1.0,282797,2.00 117,1,2024-09-07 09:18:31:583,703124,703124,0,0,330049234129,3457307098,695404,6808,912,369,392033,0 117,2,2024-09-07 09:18:31:121,510813,510813,0,0,21940138,0,4303 117,3,2024-09-07 09:18:31:067,1,401,195,0,490,6391,401,0 118,0,2024-09-07 09:18:31:767,97520,0.5,99915,0.7,204084,0.5,266797,2.00 118,1,2024-09-07 09:18:30:600,702917,702917,0,0,330204455634,3479158512,691043,8876,2998,366,391907,0 118,2,2024-09-07 09:18:31:588,508868,508868,0,0,23999193,0,2842 118,3,2024-09-07 09:18:31:771,1,401,1,0,248,4752,401,0 119,0,2024-09-07 09:18:31:351,98129,0.6,98627,0.7,197192,0.5,262004,2.00 119,1,2024-09-07 09:18:30:550,702523,702523,0,0,330653120561,3462987487,694289,7178,1056,367,391780,0 119,2,2024-09-07 09:18:31:261,504750,504750,0,0,22214345,0,4174 119,3,2024-09-07 09:18:31:337,1,401,4,0,1358,8365,401,0 120,0,2024-09-07 09:18:31:587,97304,0.6,97155,0.8,194669,0.6,260611,2.25 120,1,2024-09-07 09:18:30:859,703561,703561,0,0,330323031269,3469348092,695518,7278,765,368,391961,0 120,2,2024-09-07 09:18:30:770,504983,504982,1,0,25503165,0,5281 120,3,2024-09-07 09:18:31:301,1,401,8,0,279,5070,401,0 121,0,2024-09-07 09:18:31:700,104205,1.2,104045,1.1,208787,1.7,277957,2.50 121,1,2024-09-07 09:18:31:662,704076,704076,0,0,330496626230,3457301243,697424,6196,456,367,391840,0 121,2,2024-09-07 09:18:31:140,506527,506527,0,0,24927538,0,4127 121,3,2024-09-07 09:18:30:732,1,401,5,0,269,4568,401,0 122,0,2024-09-07 09:18:31:774,100941,0.8,98558,0.9,206785,0.9,271057,2.00 122,1,2024-09-07 09:18:30:862,702381,702381,0,0,329692645114,3463330287,692154,8628,1599,366,392130,0 122,2,2024-09-07 09:18:31:324,510196,510123,73,0,27604152,0,5989 122,3,2024-09-07 09:18:30:595,1,401,2,0,512,7619,401,0 123,0,2024-09-07 09:18:30:966,97681,0.6,95435,0.7,199456,0.6,260916,2.00 123,1,2024-09-07 09:18:30:575,702286,702286,0,0,330170519172,3482788480,689020,10865,2401,369,392039,0 123,2,2024-09-07 09:18:31:017,502955,502954,1,0,23236210,0,5215 123,3,2024-09-07 09:18:31:146,1,401,1,0,478,4914,401,0 124,0,2024-09-07 09:18:31:011,99733,0.3,99692,0.5,187997,0.3,258313,1.75 124,1,2024-09-07 09:18:31:030,705248,705248,0,0,330466022971,3437558250,700050,4149,1049,367,392178,0 124,2,2024-09-07 09:18:31:024,506852,506799,53,0,21154569,0,6487 124,3,2024-09-07 09:18:30:766,1,401,18,0,490,4002,401,0 125,0,2024-09-07 09:18:31:513,102251,0.4,101977,0.6,204756,0.4,273011,1.75 125,1,2024-09-07 09:18:30:856,703739,703739,0,0,330159261068,3449614286,697986,5086,667,382,391702,0 125,2,2024-09-07 09:18:31:126,507570,507570,0,0,21841814,0,4534 125,3,2024-09-07 09:18:31:146,1,401,12,0,709,5283,401,0 126,0,2024-09-07 09:18:31:446,103514,0.5,106647,0.6,204019,0.4,275700,1.75 126,1,2024-09-07 09:18:30:552,706128,706128,0,0,331500309933,3435015485,702165,3672,291,365,391987,0 126,2,2024-09-07 09:18:30:618,511298,511298,0,0,22585879,0,4539 126,3,2024-09-07 09:18:30:909,1,401,2,0,268,5158,401,0 127,0,2024-09-07 09:18:31:603,98203,0.3,98648,0.5,196812,0.3,261135,1.75 127,1,2024-09-07 09:18:30:584,705354,705354,0,0,330482768656,3440609078,698040,6096,1218,364,392187,0 127,2,2024-09-07 09:18:30:643,506056,506056,0,0,20356967,0,3897 127,3,2024-09-07 09:18:31:268,1,401,2,0,968,4503,401,0 128,0,2024-09-07 09:18:31:562,98480,0.3,98722,0.4,196929,0.2,261186,1.50 128,1,2024-09-07 09:18:31:623,704673,704673,0,0,331330643963,3442100316,699786,4455,432,367,391838,0 128,2,2024-09-07 09:18:31:402,506173,506173,0,0,19417187,0,2915 128,3,2024-09-07 09:18:30:767,1,401,8,0,1082,6457,401,0 129,0,2024-09-07 09:18:31:038,101599,0.3,101138,0.5,202639,0.3,269778,1.75 129,1,2024-09-07 09:18:30:575,702329,702329,0,0,329659484403,3457294612,694968,5694,1667,379,391835,0 129,2,2024-09-07 09:18:30:688,506805,506805,0,0,21177040,0,4031 129,3,2024-09-07 09:18:30:688,1,401,170,0,506,5539,401,0 130,0,2024-09-07 09:18:31:744,105857,0.6,105243,0.6,212148,0.6,281262,1.75 130,1,2024-09-07 09:18:30:584,705088,705088,0,0,330439455371,3436492313,701135,3615,338,381,391825,0 130,2,2024-09-07 09:18:31:131,510724,510724,0,0,21612508,0,4067 130,3,2024-09-07 09:18:31:291,1,401,1,0,535,5672,401,0 131,0,2024-09-07 09:18:31:935,99532,0.4,99955,0.5,201025,0.3,266516,1.75 131,1,2024-09-07 09:18:31:824,704720,704720,0,0,330888380043,3459209469,698772,4900,1048,381,391865,0 131,2,2024-09-07 09:18:30:572,511181,511181,0,0,19551124,0,2698 131,3,2024-09-07 09:18:31:694,1,401,5,0,392,4439,401,0 132,0,2024-09-07 09:18:31:485,98502,0.4,99478,0.6,197880,0.4,263282,1.75 132,1,2024-09-07 09:18:30:576,701585,701585,0,0,329170509586,3471817693,690470,9012,2103,381,392097,0 132,2,2024-09-07 09:18:30:708,503935,503918,17,0,25985231,0,6451 132,3,2024-09-07 09:18:31:691,1,401,13,0,804,7308,401,0 133,0,2024-09-07 09:18:31:550,96815,0.5,99051,0.6,203195,0.4,264657,2.00 133,1,2024-09-07 09:18:30:584,701529,701529,0,0,329340622192,3475251719,691223,8793,1513,383,391914,0 133,2,2024-09-07 09:18:31:087,504436,504386,50,0,27454314,0,6861 133,3,2024-09-07 09:18:31:309,1,401,10,0,479,4157,401,0 134,0,2024-09-07 09:18:30:964,105584,0.5,105133,0.7,210525,0.5,281120,2.00 134,1,2024-09-07 09:18:30:598,702522,702522,0,0,329176573315,3457425252,692748,7740,2034,366,391718,0 134,2,2024-09-07 09:18:31:767,506347,506323,24,0,24790623,0,6207 134,3,2024-09-07 09:18:30:749,1,401,1,0,739,5204,401,0 135,0,2024-09-07 09:18:31:126,97538,0.8,97507,0.9,206898,0.9,266816,2.25 135,1,2024-09-07 09:18:31:585,702681,702681,0,0,330269208811,3478402733,692819,8492,1370,380,391805,0 135,2,2024-09-07 09:18:30:687,510486,510486,0,0,24304804,0,3981 135,3,2024-09-07 09:18:31:006,1,401,1,0,299,3436,401,0 136,0,2024-09-07 09:18:31:638,98671,0.5,98777,0.7,196551,0.5,262148,2.00 136,1,2024-09-07 09:18:31:446,703144,703144,0,0,330147231008,3464544921,695002,7215,927,382,391685,0 136,2,2024-09-07 09:18:31:134,505707,505707,0,0,22982547,0,3506 136,3,2024-09-07 09:18:31:109,1,401,28,0,637,4726,401,0 137,0,2024-09-07 09:18:30:970,100608,0.6,97853,0.7,192292,0.6,261102,2.00 137,1,2024-09-07 09:18:30:577,702327,702327,0,0,329507668717,3461431898,690978,8990,2359,366,391898,0 137,2,2024-09-07 09:18:31:714,504275,504275,0,0,25916532,0,3185 137,3,2024-09-07 09:18:30:777,1,401,0,0,484,5135,401,0 138,0,2024-09-07 09:18:31:751,102693,1.1,102714,1.0,206273,1.4,274229,2.25 138,1,2024-09-07 09:18:31:685,703111,703111,0,0,330535141385,3471016551,692883,8507,1721,368,391954,0 138,2,2024-09-07 09:18:30:592,506710,506710,0,0,23548131,0,4988 138,3,2024-09-07 09:18:30:626,1,401,1,0,1160,5371,401,0 139,0,2024-09-07 09:18:31:362,101329,1.7,101297,1.2,203092,2.4,270963,2.50 139,1,2024-09-07 09:18:30:587,699695,699695,0,0,328066098811,3490142265,685576,10818,3301,380,392058,0 139,2,2024-09-07 09:18:30:692,506793,506793,0,0,26815390,0,3097 139,3,2024-09-07 09:18:31:663,1,401,15,0,432,4895,401,0 140,0,2024-09-07 09:18:31:596,98396,0.3,97746,0.5,196315,0.2,261124,1.75 140,1,2024-09-07 09:18:31:539,707339,707339,0,0,332433377548,3429240811,703785,3113,441,365,391606,0 140,2,2024-09-07 09:18:30:687,506034,506033,1,0,20580492,0,5036 140,3,2024-09-07 09:18:30:768,1,401,7,0,297,3476,401,0 141,0,2024-09-07 09:18:31:701,97281,0.3,99945,0.5,190925,0.3,258606,1.75 141,1,2024-09-07 09:18:30:859,705775,705775,0,0,331861578530,3447095552,700545,4276,954,379,391614,0 141,2,2024-09-07 09:18:31:689,506056,506056,0,0,20183958,0,3360 141,3,2024-09-07 09:18:31:045,1,401,112,0,391,4764,401,0 142,0,2024-09-07 09:18:31:487,102089,0.3,101479,0.5,202875,0.3,271260,1.75 142,1,2024-09-07 09:18:30:589,704742,704742,0,0,330808480041,3449173505,700270,4078,394,382,392102,0 142,2,2024-09-07 09:18:31:312,506068,506036,32,0,22064849,0,6028 142,3,2024-09-07 09:18:31:753,1,401,6,0,484,4706,401,0 143,0,2024-09-07 09:18:31:417,104348,0.5,104272,0.6,208874,0.5,277526,1.75 143,1,2024-09-07 09:18:30:562,705936,705936,0,0,331228900795,3440551704,700905,4487,544,367,391705,0 143,2,2024-09-07 09:18:30:770,510062,510062,0,0,21327145,0,3123 143,3,2024-09-07 09:18:31:158,1,401,15,0,462,5153,401,0 144,0,2024-09-07 09:18:31:493,96309,0.6,98934,0.8,201771,0.5,264259,2.00 144,1,2024-09-07 09:18:30:580,702469,702469,0,0,329341541006,3457769690,695496,5620,1353,381,391649,0 144,2,2024-09-07 09:18:31:755,508816,508816,0,0,20392778,0,3673 144,3,2024-09-07 09:18:31:740,1,401,12,0,249,4055,401,0 145,0,2024-09-07 09:18:31:369,95384,0.5,95367,0.8,202398,0.5,260475,2.25 145,1,2024-09-07 09:18:30:574,702004,702004,0,0,329829987737,3473247946,692400,7942,1662,382,391759,0 145,2,2024-09-07 09:18:31:441,502791,502710,81,0,24690022,0,7814 145,3,2024-09-07 09:18:30:902,1,401,4,0,622,5931,401,0 146,0,2024-09-07 09:18:31:602,99561,0.6,98978,0.8,199675,0.6,264910,2.25 146,1,2024-09-07 09:18:31:594,703748,703748,0,0,330368447312,3472411009,692881,8748,2119,367,391770,0 146,2,2024-09-07 09:18:31:739,504337,504337,0,0,23776986,0,3290 146,3,2024-09-07 09:18:31:278,1,401,4,0,1520,7910,401,0 147,0,2024-09-07 09:18:31:741,106057,0.7,105891,0.8,211029,0.7,282375,2.25 147,1,2024-09-07 09:18:31:373,706212,706212,0,0,331559454931,3450512876,699699,5669,844,368,391791,0 147,2,2024-09-07 09:18:31:009,508177,508177,0,0,21345809,0,2968 147,3,2024-09-07 09:18:30:919,1,401,1,0,1626,7158,401,0 0,0,2024-09-07 09:18:41:723,95548,0.6,95481,0.8,202695,0.7,262327,2.00 0,1,2024-09-07 09:18:40:807,705322,705322,0,0,331261298620,3467596962,699995,4941,386,369,391896,0 0,2,2024-09-07 09:18:41:074,508478,508478,0,0,20632585,0,4480 0,3,2024-09-07 09:18:40:983,1,402,12,0,431,6154,402,0 1,0,2024-09-07 09:18:41:768,104718,1.0,104002,1.0,208813,1.2,279238,2.00 1,1,2024-09-07 09:18:40:575,704868,704868,0,0,330740146768,3463544230,698609,4939,1320,370,391857,0 1,2,2024-09-07 09:18:40:655,507985,507985,0,0,20938995,0,3380 1,3,2024-09-07 09:18:41:306,1,402,1,0,262,4843,402,0 2,0,2024-09-07 09:18:41:579,101375,0.7,101756,0.8,202526,0.7,270551,2.00 2,1,2024-09-07 09:18:40:859,707260,707260,0,0,332055819027,3449067290,703980,2985,295,380,391745,0 2,2,2024-09-07 09:18:41:269,512074,512074,0,0,20170168,0,3594 2,3,2024-09-07 09:18:40:691,1,402,0,0,357,4082,402,0 3,0,2024-09-07 09:18:41:753,98228,0.4,98168,0.6,196156,0.3,261104,2.00 3,1,2024-09-07 09:18:41:618,705860,705860,0,0,331063500173,3450610302,699651,5519,690,380,391716,0 3,2,2024-09-07 09:18:41:147,505756,505733,23,0,20898455,0,5851 3,3,2024-09-07 09:18:41:757,1,402,1,0,207,2693,402,0 4,0,2024-09-07 09:18:41:761,94197,0.4,96820,0.5,197397,0.3,258375,1.75 4,1,2024-09-07 09:18:40:594,703461,703461,0,0,329841667563,3489354847,691828,9130,2503,370,391992,0 4,2,2024-09-07 09:18:41:033,505192,505192,0,0,23982743,0,4528 4,3,2024-09-07 09:18:41:039,1,402,22,0,448,5409,402,0 5,0,2024-09-07 09:18:41:401,102343,0.5,102985,0.6,205568,0.4,273600,1.75 5,1,2024-09-07 09:18:40:802,704381,704381,0,0,330694375953,3484855525,694052,8177,2152,367,392005,0 5,2,2024-09-07 09:18:41:828,506245,506245,0,0,23604204,0,3582 5,3,2024-09-07 09:18:41:752,1,402,8,0,457,5701,402,0 6,0,2024-09-07 09:18:40:937,103822,0.5,103457,0.7,206583,0.4,275498,2.00 6,1,2024-09-07 09:18:40:751,704686,704686,0,0,330936429082,3462982921,696487,6807,1392,379,391694,0 6,2,2024-09-07 09:18:41:116,510829,510811,18,0,24150898,0,5535 6,3,2024-09-07 09:18:41:276,1,402,7,0,710,5073,402,0 7,0,2024-09-07 09:18:41:541,97622,0.5,98350,0.6,195922,0.4,260402,2.00 7,1,2024-09-07 09:18:40:864,704511,704511,0,0,330478048102,3471356926,694745,8304,1462,382,391747,0 7,2,2024-09-07 09:18:40:779,507903,507903,0,0,23350002,0,4791 7,3,2024-09-07 09:18:40:862,1,402,2,0,552,4731,402,0 8,0,2024-09-07 09:18:41:340,98330,0.4,98010,0.5,196553,0.3,261278,1.75 8,1,2024-09-07 09:18:41:066,703477,703477,0,0,330528153530,3479028142,692063,8789,2625,366,392144,0 8,2,2024-09-07 09:18:40:792,502189,502189,0,0,27158959,0,3250 8,3,2024-09-07 09:18:40:607,1,402,47,0,538,6816,402,0 9,0,2024-09-07 09:18:41:116,101436,0.4,98460,0.5,206102,0.3,270260,1.75 9,1,2024-09-07 09:18:40:591,703410,703410,0,0,330121467937,3479437308,692948,8275,2187,369,392001,0 9,2,2024-09-07 09:18:41:090,506699,506699,0,0,24059603,0,3360 9,3,2024-09-07 09:18:41:752,1,402,1,0,496,5847,402,0 10,0,2024-09-07 09:18:41:598,105211,0.4,104646,0.6,210210,0.3,279349,1.75 10,1,2024-09-07 09:18:40:585,704529,704529,0,0,331231313649,3474630468,694393,8514,1622,381,391741,0 10,2,2024-09-07 09:18:40:769,510314,510314,0,0,26527212,0,4264 10,3,2024-09-07 09:18:40:875,1,402,1,0,649,4529,402,0 11,0,2024-09-07 09:18:41:016,99747,0.5,96737,0.6,202411,0.4,267017,1.75 11,1,2024-09-07 09:18:40:578,705075,705075,0,0,331589815094,3480678912,694254,8337,2484,383,391756,0 11,2,2024-09-07 09:18:41:126,508978,508978,0,0,23686284,0,4130 11,3,2024-09-07 09:18:41:304,1,402,1,0,843,6158,402,0 12,0,2024-09-07 09:18:40:960,99562,0.4,99605,0.5,199082,0.3,264341,1.75 12,1,2024-09-07 09:18:41:223,705793,705793,0,0,331133304370,3453963283,700264,5031,498,370,391870,0 12,2,2024-09-07 09:18:41:555,506198,506198,0,0,22333761,0,3469 12,3,2024-09-07 09:18:41:059,1,402,8,0,386,6213,402,0 13,0,2024-09-07 09:18:41:369,100412,0.4,100034,0.6,199655,0.4,266160,1.75 13,1,2024-09-07 09:18:41:554,704014,704014,0,0,330659598488,3478391479,696489,5900,1625,382,391740,0 13,2,2024-09-07 09:18:40:608,508640,508640,0,0,20782025,0,3287 13,3,2024-09-07 09:18:41:765,1,402,8,0,522,6112,402,0 14,0,2024-09-07 09:18:40:572,105653,0.4,106695,0.6,211050,0.4,281762,1.75 14,1,2024-09-07 09:18:41:564,708794,708794,0,0,332556496942,3447709873,702594,5492,708,364,391673,0 14,2,2024-09-07 09:18:40:792,509466,509436,30,0,22998558,0,6104 14,3,2024-09-07 09:18:41:116,1,402,2,0,1168,4657,402,0 15,0,2024-09-07 09:18:41:559,100645,0.4,100567,0.7,201519,0.4,268292,2.00 15,1,2024-09-07 09:18:41:608,705799,705799,0,0,331720514401,3457889112,699695,4926,1178,381,391619,0 15,2,2024-09-07 09:18:40:998,513155,513155,0,0,18908101,0,3622 15,3,2024-09-07 09:18:41:404,1,402,1,0,1126,6873,402,0 16,0,2024-09-07 09:18:40:954,98428,0.5,98814,0.7,197290,0.5,262203,2.00 16,1,2024-09-07 09:18:40:576,705920,705920,0,0,331041030312,3460900620,700003,5074,843,370,391756,0 16,2,2024-09-07 09:18:41:434,505264,505264,0,0,21953342,0,4719 16,3,2024-09-07 09:18:41:154,1,402,9,0,317,5311,402,0 17,0,2024-09-07 09:18:41:788,100665,0.6,98252,0.8,192285,0.6,261270,2.00 17,1,2024-09-07 09:18:40:605,704811,704811,0,0,330832581969,3473553955,697243,6057,1511,368,392075,0 17,2,2024-09-07 09:18:41:678,509215,509215,0,0,21484926,0,2948 17,3,2024-09-07 09:18:40:592,1,402,6,0,518,6724,402,0 18,0,2024-09-07 09:18:40:981,102670,0.6,103027,0.8,205321,0.6,274653,2.25 18,1,2024-09-07 09:18:41:640,707404,707404,0,0,331873308831,3440688942,703531,3495,378,367,391725,0 18,2,2024-09-07 09:18:41:756,510720,510720,0,0,19794439,0,3541 18,3,2024-09-07 09:18:40:899,1,402,53,0,163,3228,402,0 19,0,2024-09-07 09:18:41:539,101729,0.7,102220,0.8,202780,0.7,269783,2.25 19,1,2024-09-07 09:18:40:588,707762,707762,0,0,331940135579,3446260706,701825,5016,921,367,391777,0 19,2,2024-09-07 09:18:41:752,513830,513830,0,0,18835621,0,3988 19,3,2024-09-07 09:18:41:129,1,402,3,0,524,3045,402,0 20,0,2024-09-07 09:18:41:376,98012,0.5,98060,0.6,195868,0.4,261129,2.00 20,1,2024-09-07 09:18:40:570,705150,705150,0,0,331744055892,3471049377,698756,5594,800,369,391886,0 20,2,2024-09-07 09:18:40:934,505990,505990,0,0,22413046,0,3721 20,3,2024-09-07 09:18:40:606,1,402,14,0,414,6033,402,0 21,0,2024-09-07 09:18:41:284,97226,0.5,97233,0.6,194373,0.5,257796,2.00 21,1,2024-09-07 09:18:41:562,703027,703027,0,0,329962894958,3483076264,692199,8358,2470,368,392016,0 21,2,2024-09-07 09:18:41:076,504010,503990,20,0,27393907,0,5617 21,3,2024-09-07 09:18:41:404,1,402,1,0,713,5320,402,0 22,0,2024-09-07 09:18:41:718,101669,0.5,101937,0.7,204144,0.5,270923,2.00 22,1,2024-09-07 09:18:41:025,704560,704560,0,0,330884748501,3479724346,693851,8526,2183,382,391667,0 22,2,2024-09-07 09:18:40:790,506574,506548,26,0,21809220,0,6328 22,3,2024-09-07 09:18:41:066,1,402,1,0,228,3423,402,0 23,0,2024-09-07 09:18:41:382,103763,0.6,103216,0.7,206895,0.5,275565,2.25 23,1,2024-09-07 09:18:41:009,705290,705290,0,0,331041616062,3481152701,692380,8858,4052,365,391690,0 23,2,2024-09-07 09:18:41:092,512462,512462,0,0,21439060,0,3773 23,3,2024-09-07 09:18:41:755,1,402,1,0,720,5512,402,0 24,0,2024-09-07 09:18:40:902,100041,0.4,99847,0.5,200244,0.4,265842,1.75 24,1,2024-09-07 09:18:40:610,704394,704394,0,0,329700136749,3458691845,696581,6229,1584,367,392269,0 24,2,2024-09-07 09:18:41:074,507591,507591,0,0,25583474,0,3607 24,3,2024-09-07 09:18:41:686,1,402,27,0,468,5656,402,0 25,0,2024-09-07 09:18:41:400,101442,0.4,98949,0.6,193906,0.3,264265,1.75 25,1,2024-09-07 09:18:40:819,704074,704074,0,0,331451328260,3493401297,692506,9359,2209,371,391928,0 25,2,2024-09-07 09:18:41:624,504626,504626,0,0,25904212,0,3978 25,3,2024-09-07 09:18:41:000,1,402,208,0,532,4716,402,0 26,0,2024-09-07 09:18:41:720,100122,0.4,97578,0.6,204694,0.4,266916,2.00 26,1,2024-09-07 09:18:41:542,705758,705758,0,0,330568026815,3464752094,695323,8852,1583,380,391748,0 26,2,2024-09-07 09:18:40:888,507867,507867,0,0,25992564,0,2809 26,3,2024-09-07 09:18:41:712,1,402,1,0,796,5089,402,0 27,0,2024-09-07 09:18:41:728,106248,0.5,106420,0.7,211564,0.5,282474,2.25 27,1,2024-09-07 09:18:41:728,707494,707494,0,0,331705549227,3457991591,700415,6185,894,381,391626,0 27,2,2024-09-07 09:18:40:880,507270,507205,65,0,24161711,0,5699 27,3,2024-09-07 09:18:41:024,1,402,0,0,564,4030,402,0 28,0,2024-09-07 09:18:41:390,100942,0.4,100482,0.6,201436,0.3,268779,2.00 28,1,2024-09-07 09:18:40:806,706226,706226,0,0,331762166577,3466429292,699068,5459,1699,383,391698,0 28,2,2024-09-07 09:18:41:765,511122,511122,0,0,21997382,0,2915 28,3,2024-09-07 09:18:41:780,1,402,8,0,502,4589,402,0 29,0,2024-09-07 09:18:41:367,101384,0.3,98832,0.6,193997,0.3,263976,1.75 29,1,2024-09-07 09:18:41:561,708410,708410,0,0,332772297408,3445964506,703552,4125,733,368,391753,0 29,2,2024-09-07 09:18:40:872,505262,505262,0,0,20704216,0,4986 29,3,2024-09-07 09:18:40:968,1,402,7,0,459,4836,402,0 30,0,2024-09-07 09:18:41:462,97992,0.5,95242,0.7,199493,0.4,261369,2.00 30,1,2024-09-07 09:18:40:572,708135,708135,0,0,332019152102,3450223410,702327,5042,766,381,391672,0 30,2,2024-09-07 09:18:41:276,507897,507897,0,0,20074634,0,4192 30,3,2024-09-07 09:18:40:581,1,402,9,0,519,4012,402,0 31,0,2024-09-07 09:18:41:758,104394,0.4,104871,0.6,209326,0.4,279358,2.00 31,1,2024-09-07 09:18:40:572,710378,710378,0,0,333296140606,3418862716,707364,2428,586,356,391712,0 31,2,2024-09-07 09:18:41:275,508525,508525,0,0,21860074,0,3525 31,3,2024-09-07 09:18:41:715,1,402,61,0,220,3571,402,0 32,0,2024-09-07 09:18:41:422,101917,0.3,102491,0.5,204095,0.3,272126,1.75 32,1,2024-09-07 09:18:40:816,707409,707409,0,0,332306393227,3458914370,702304,4425,680,381,391646,0 32,2,2024-09-07 09:18:40:954,512826,512826,0,0,19604984,0,3922 32,3,2024-09-07 09:18:41:016,1,402,12,0,304,3261,402,0 33,0,2024-09-07 09:18:41:498,98415,0.3,97976,0.4,196555,0.2,261527,1.50 33,1,2024-09-07 09:18:40:581,708395,708395,0,0,332379511240,3450274336,701979,5313,1103,369,391730,0 33,2,2024-09-07 09:18:40:795,506742,506707,35,0,21720604,0,7012 33,3,2024-09-07 09:18:40:902,1,402,57,0,329,4091,402,0 34,0,2024-09-07 09:18:40:934,97270,0.3,100088,0.4,191624,0.2,257746,1.75 34,1,2024-09-07 09:18:41:056,709244,709244,0,0,332909546592,3425128969,707511,1722,11,367,391562,0 34,2,2024-09-07 09:18:40:790,507556,507556,0,0,20410070,0,4562 34,3,2024-09-07 09:18:41:688,1,402,3,0,299,3108,402,0 35,0,2024-09-07 09:18:40:882,101982,0.4,102408,0.5,205766,0.3,274369,1.75 35,1,2024-09-07 09:18:41:073,707619,707619,0,0,331547934803,3438165384,703211,3552,856,382,391769,0 35,2,2024-09-07 09:18:41:583,508311,508311,0,0,21326241,0,2653 35,3,2024-09-07 09:18:40:914,1,402,1,0,418,4474,402,0 36,0,2024-09-07 09:18:41:516,103903,0.5,103794,0.7,207463,0.5,275790,2.25 36,1,2024-09-07 09:18:40:593,705940,705940,0,0,331364864955,3464810559,696385,7555,2000,366,391759,0 36,2,2024-09-07 09:18:41:754,511956,511956,0,0,23177347,0,3875 36,3,2024-09-07 09:18:40:862,1,402,12,0,416,5999,402,0 37,0,2024-09-07 09:18:41:408,97827,0.4,97856,0.6,195918,0.4,260827,2.00 37,1,2024-09-07 09:18:40:578,705900,705893,0,7,330108782823,3451095687,697278,6343,2272,365,391570,0 37,2,2024-09-07 09:18:41:147,505478,505463,15,0,23074666,0,5815 37,3,2024-09-07 09:18:41:766,1,402,2,0,888,6232,402,0 38,0,2024-09-07 09:18:41:454,97600,0.5,94695,0.6,198071,0.4,258898,2.00 38,1,2024-09-07 09:18:41:605,706941,706941,0,0,331650879276,3469828691,696527,8254,2160,368,391821,0 38,2,2024-09-07 09:18:40:790,506206,506159,47,0,22550620,0,6710 38,3,2024-09-07 09:18:41:001,1,402,2,0,689,5421,402,0 39,0,2024-09-07 09:18:41:760,103382,0.6,101233,0.7,197081,0.6,269351,2.00 39,1,2024-09-07 09:18:40:716,704980,704980,0,0,330651657004,3472024015,692628,9662,2690,365,391658,0 39,2,2024-09-07 09:18:41:417,507972,507972,0,0,21558540,0,3391 39,3,2024-09-07 09:18:40:713,1,402,1,0,324,4834,402,0 40,0,2024-09-07 09:18:41:497,104010,0.9,104733,1.0,208632,1.0,278120,2.75 40,1,2024-09-07 09:18:40:581,706012,706012,0,0,330664872863,3465494862,695702,8383,1927,368,391668,0 40,2,2024-09-07 09:18:41:308,509382,509381,1,0,25077581,0,5137 40,3,2024-09-07 09:18:41:154,1,402,7,0,1028,5689,402,0 41,0,2024-09-07 09:18:41:041,99264,1.4,101535,1.3,193785,2.2,263729,3.25 41,1,2024-09-07 09:18:41:008,704920,704920,0,0,331268351656,3468525130,695917,8003,1000,369,391742,0 41,2,2024-09-07 09:18:40:801,508025,508025,0,0,24178943,0,4277 41,3,2024-09-07 09:18:41:678,1,402,83,0,366,4189,402,0 42,0,2024-09-07 09:18:41:474,98138,0.9,98150,1.0,196461,0.9,260217,2.75 42,1,2024-09-07 09:18:41:439,703642,703642,0,0,329417709969,3465791632,692090,9625,1927,380,391675,0 42,2,2024-09-07 09:18:41:133,504847,504847,0,0,24038340,0,3790 42,3,2024-09-07 09:18:41:010,1,402,40,0,892,4210,402,0 43,0,2024-09-07 09:18:40:921,98477,0.8,95914,1.0,200908,0.9,263554,2.50 43,1,2024-09-07 09:18:40:580,706079,706079,0,0,331907442173,3471919632,695754,8587,1738,366,391696,0 43,2,2024-09-07 09:18:41:740,507087,507087,0,0,23870579,0,3812 43,3,2024-09-07 09:18:41:753,1,402,2,0,548,5436,402,0 44,0,2024-09-07 09:18:40:862,105566,0.4,105968,0.6,212183,0.4,281970,2.00 44,1,2024-09-07 09:18:40:580,707962,707962,0,0,332219950147,3438350025,701488,5156,1318,356,391809,0 44,2,2024-09-07 09:18:41:278,508168,508168,0,0,20074939,0,4344 44,3,2024-09-07 09:18:41:095,1,402,11,0,817,4932,402,0 45,0,2024-09-07 09:18:41:756,99632,0.5,97308,0.7,204090,0.5,268841,2.00 45,1,2024-09-07 09:18:41:009,707169,707169,0,0,332044056250,3453021064,701520,5076,573,382,391917,0 45,2,2024-09-07 09:18:41:269,512121,512121,0,0,20525506,0,3596 45,3,2024-09-07 09:18:40:944,1,402,8,0,271,3617,402,0 46,0,2024-09-07 09:18:40:962,97868,0.5,97831,0.7,195943,0.5,259809,2.00 46,1,2024-09-07 09:18:40:577,708286,708286,0,0,332938555187,3441289918,703753,3942,591,366,391709,0 46,2,2024-09-07 09:18:40:604,506056,506056,0,0,20357368,0,4443 46,3,2024-09-07 09:18:41:146,1,402,2,0,908,5357,402,0 47,0,2024-09-07 09:18:41:106,98088,0.4,98345,0.6,197079,0.4,260707,2.00 47,1,2024-09-07 09:18:40:580,708869,708869,0,0,332295411891,3436700770,704120,3913,836,366,391641,0 47,2,2024-09-07 09:18:40:908,509337,509337,0,0,19876179,0,4477 47,3,2024-09-07 09:18:41:118,1,402,1,0,600,5154,402,0 48,0,2024-09-07 09:18:41:488,104022,0.3,103849,0.5,207045,0.2,276711,1.75 48,1,2024-09-07 09:18:41:026,707367,707367,0,0,331946396954,3450213199,702776,4135,456,384,391710,0 48,2,2024-09-07 09:18:40:703,508549,508549,0,0,18865919,0,3411 48,3,2024-09-07 09:18:40:752,1,402,12,0,339,3361,402,0 49,0,2024-09-07 09:18:41:716,105016,0.4,103207,0.5,199832,0.3,274026,1.75 49,1,2024-09-07 09:18:41:076,707057,707057,0,0,331371155457,3450970760,701909,3943,1205,382,391809,0 49,2,2024-09-07 09:18:41:796,512474,512474,0,0,20996731,0,4426 49,3,2024-09-07 09:18:41:418,1,402,1,0,992,4962,402,0 50,0,2024-09-07 09:18:41:510,98566,0.3,97011,0.4,195843,0.2,260769,1.75 50,1,2024-09-07 09:18:41:011,709424,709424,0,0,333277670514,3448632381,704519,4331,574,368,391565,0 50,2,2024-09-07 09:18:41:067,506337,506337,0,0,18665728,0,2263 50,3,2024-09-07 09:18:41:291,1,402,1,0,567,4139,402,0 51,0,2024-09-07 09:18:41:687,99754,0.3,97570,0.5,190282,0.2,258925,1.75 51,1,2024-09-07 09:18:41:683,709202,709202,0,0,333622316672,3441776483,705622,2601,979,365,391706,0 51,2,2024-09-07 09:18:41:328,507386,507386,0,0,17995822,0,3337 51,3,2024-09-07 09:18:41:042,1,402,5,0,678,3389,402,0 52,0,2024-09-07 09:18:41:415,102087,0.5,101956,0.7,204313,0.5,271713,2.00 52,1,2024-09-07 09:18:40:612,705833,705833,0,0,331164428949,3468990559,695508,8972,1353,368,391722,0 52,2,2024-09-07 09:18:41:755,504714,504676,38,0,24350085,0,6742 52,3,2024-09-07 09:18:40:675,1,402,8,0,1782,5770,402,0 53,0,2024-09-07 09:18:41:736,103329,0.7,100361,0.8,210155,0.8,275471,2.50 53,1,2024-09-07 09:18:41:000,704784,704784,0,0,330774905104,3474771491,692883,8943,2958,367,391702,0 53,2,2024-09-07 09:18:41:317,511222,511221,1,0,22109993,0,5455 53,3,2024-09-07 09:18:40:715,1,402,4,0,308,3704,402,0 54,0,2024-09-07 09:18:41:615,98005,0.6,98333,0.8,195961,0.4,261628,2.25 54,1,2024-09-07 09:18:40:580,706039,706039,0,0,331302960016,3447378515,698771,6029,1239,366,391659,0 54,2,2024-09-07 09:18:40:881,508771,508739,32,0,25203380,0,6397 54,3,2024-09-07 09:18:40:791,1,402,7,0,676,6084,402,0 55,0,2024-09-07 09:18:41:765,95564,0.6,98751,0.7,199614,0.5,260048,2.25 55,1,2024-09-07 09:18:40:796,707067,707067,0,0,331421096674,3447885788,699438,6537,1092,365,391731,0 55,2,2024-09-07 09:18:40:735,505298,505242,56,0,23786216,0,7239 55,3,2024-09-07 09:18:40:674,1,402,1,0,304,4284,402,0 56,0,2024-09-07 09:18:41:563,102128,1.3,96350,1.2,198443,1.8,265862,2.75 56,1,2024-09-07 09:18:40:574,702329,702329,0,0,330089711102,3489860174,690896,9060,2373,381,391867,0 56,2,2024-09-07 09:18:41:306,506974,506974,0,0,23557489,0,3567 56,3,2024-09-07 09:18:41:060,1,402,8,0,705,5018,402,0 57,0,2024-09-07 09:18:40:936,104605,1.8,104311,1.3,209372,2.5,280288,3.50 57,1,2024-09-07 09:18:40:986,705341,705341,0,0,330590884088,3467998665,696795,7463,1083,366,392032,0 57,2,2024-09-07 09:18:41:325,509497,509497,0,0,25536271,0,3317 57,3,2024-09-07 09:18:41:745,1,402,7,0,455,4855,402,0 58,0,2024-09-07 09:18:40:577,99095,0.9,96310,1.0,201558,1.0,264237,2.50 58,1,2024-09-07 09:18:40:579,705440,705437,0,3,331718285830,3471176722,695627,8522,1288,367,391603,3 58,2,2024-09-07 09:18:41:073,509941,509941,0,0,23895795,0,2902 58,3,2024-09-07 09:18:41:068,1,402,4,0,1043,4332,402,0 59,0,2024-09-07 09:18:41:748,98469,0.7,98149,0.9,195886,0.8,260447,2.50 59,1,2024-09-07 09:18:40:805,705170,705170,0,0,331206206915,3471441651,695482,7983,1705,369,391653,0 59,2,2024-09-07 09:18:40:594,505475,505475,0,0,23506249,0,3727 59,3,2024-09-07 09:18:41:740,1,402,275,0,1015,5544,402,0 60,0,2024-09-07 09:18:41:709,98309,0.5,98242,0.7,197101,0.5,262194,1.75 60,1,2024-09-07 09:18:40:795,707270,707270,0,0,332386462082,3450419066,702647,3974,649,370,392031,0 60,2,2024-09-07 09:18:41:146,507667,507667,0,0,22046543,0,3811 60,3,2024-09-07 09:18:41:266,1,402,15,0,409,4867,402,0 61,0,2024-09-07 09:18:41:519,104350,0.6,104961,0.8,209122,0.6,278782,2.00 61,1,2024-09-07 09:18:40:811,706015,706015,0,0,331322630927,3473130680,698025,6592,1398,382,392127,0 61,2,2024-09-07 09:18:41:116,508446,508379,67,0,22489909,0,6411 61,3,2024-09-07 09:18:41:687,1,402,0,0,479,5673,402,0 62,0,2024-09-07 09:18:41:719,102396,0.6,104788,0.7,200058,0.5,271853,2.00 62,1,2024-09-07 09:18:41:112,710153,710147,0,6,333092269839,3437093267,706336,3550,261,365,391975,6 62,2,2024-09-07 09:18:41:661,510379,510378,1,0,22627013,0,5555 62,3,2024-09-07 09:18:41:146,1,402,0,0,482,3324,402,0 63,0,2024-09-07 09:18:41:455,98504,0.4,98407,0.6,197339,0.3,261958,1.75 63,1,2024-09-07 09:18:40:807,707723,707717,0,6,332566095349,3455827462,702752,4232,733,381,391800,6 63,2,2024-09-07 09:18:40:790,505924,505924,0,0,20398538,0,4369 63,3,2024-09-07 09:18:41:731,1,402,7,0,667,4203,402,0 64,0,2024-09-07 09:18:41:539,96688,0.5,96635,0.7,192989,0.5,256921,2.00 64,1,2024-09-07 09:18:40:767,706956,706956,0,0,330693403498,3448572439,700409,4844,1703,370,391783,0 64,2,2024-09-07 09:18:41:147,510528,510509,19,0,20039391,0,6121 64,3,2024-09-07 09:18:41:146,1,402,11,0,265,3775,402,0 65,0,2024-09-07 09:18:41:678,101555,0.7,102042,0.8,203401,0.8,271972,2.25 65,1,2024-09-07 09:18:40:876,705480,705480,0,0,330809617871,3460159405,700381,4559,540,382,391901,0 65,2,2024-09-07 09:18:41:693,508835,508835,0,0,23600975,0,3367 65,3,2024-09-07 09:18:41:685,1,402,1,0,782,4799,402,0 66,0,2024-09-07 09:18:41:762,103198,0.6,103189,0.8,206173,0.5,274277,2.25 66,1,2024-09-07 09:18:41:294,707012,707012,0,0,331135425733,3450908859,701958,4637,417,380,391743,0 66,2,2024-09-07 09:18:41:135,513317,513317,0,0,20668196,0,4956 66,3,2024-09-07 09:18:41:082,1,402,2,0,291,3654,402,0 67,0,2024-09-07 09:18:41:414,98423,0.5,98113,0.6,196847,0.4,261546,2.00 67,1,2024-09-07 09:18:40:773,707313,707312,0,1,331448115536,3452860417,702465,4058,789,380,391787,1 67,2,2024-09-07 09:18:40:588,509335,509335,0,0,19639692,0,3622 67,3,2024-09-07 09:18:41:750,1,402,1,0,392,4008,402,0 68,0,2024-09-07 09:18:40:599,98335,0.5,98131,0.7,195778,0.5,261020,2.00 68,1,2024-09-07 09:18:40:590,703854,703854,0,0,330338748669,3477564920,694151,6839,2864,381,391953,0 68,2,2024-09-07 09:18:41:051,504662,504562,100,0,26454609,0,8578 68,3,2024-09-07 09:18:40:728,1,402,8,0,417,4351,402,0 69,0,2024-09-07 09:18:41:722,100732,0.8,101332,0.9,201483,0.9,268025,2.25 69,1,2024-09-07 09:18:41:023,704070,704070,0,0,330083212225,3482415385,694608,7224,2238,384,391994,0 69,2,2024-09-07 09:18:41:735,506571,506542,29,0,28242783,0,6912 69,3,2024-09-07 09:18:40:806,1,402,1,0,698,5915,402,0 70,0,2024-09-07 09:18:41:531,104099,1.3,104238,1.1,209660,1.1,277489,2.75 70,1,2024-09-07 09:18:40:806,706903,706903,0,0,331717762674,3446060821,700933,5309,661,366,391725,0 70,2,2024-09-07 09:18:41:325,509776,509776,0,0,23770685,0,4323 70,3,2024-09-07 09:18:40:794,1,402,12,0,854,4733,402,0 71,0,2024-09-07 09:18:41:359,99021,0.8,98637,1.0,198137,1.0,265215,2.75 71,1,2024-09-07 09:18:41:599,706268,706268,0,0,331166093639,3457748360,697984,7484,800,368,391738,0 71,2,2024-09-07 09:18:41:072,509362,509362,0,0,23744074,0,4352 71,3,2024-09-07 09:18:41:750,1,402,1,0,644,5225,402,0 72,0,2024-09-07 09:18:41:026,102007,0.5,99716,0.7,194554,0.5,264534,2.00 72,1,2024-09-07 09:18:41:032,705080,705080,0,0,330501091813,3470234540,694523,8551,2006,369,391819,0 72,2,2024-09-07 09:18:41:755,504983,504983,0,0,25484443,0,3983 72,3,2024-09-07 09:18:41:754,1,402,21,0,564,6000,402,0 73,0,2024-09-07 09:18:41:120,97147,0.5,99486,0.6,203686,0.4,264710,2.00 73,1,2024-09-07 09:18:41:013,706543,706543,0,0,331277506428,3444177228,701309,4825,409,367,391858,0 73,2,2024-09-07 09:18:41:743,506898,506898,0,0,24886844,0,3701 73,3,2024-09-07 09:18:40:971,1,402,5,0,486,5569,402,0 74,0,2024-09-07 09:18:41:321,106494,0.5,108756,0.7,207398,0.4,282470,2.25 74,1,2024-09-07 09:18:40:645,705976,705976,0,0,330817364733,3457458247,698080,6301,1595,381,391762,0 74,2,2024-09-07 09:18:41:006,508351,508351,0,0,23233626,0,4253 74,3,2024-09-07 09:18:41:442,1,402,21,0,522,5471,402,0 75,0,2024-09-07 09:18:41:764,101256,0.5,100673,0.8,202002,0.5,270089,2.25 75,1,2024-09-07 09:18:41:585,706093,706093,0,0,331124261544,3456421580,699289,5977,827,380,391739,0 75,2,2024-09-07 09:18:41:354,510003,510003,0,0,25439042,0,4766 75,3,2024-09-07 09:18:41:072,1,402,23,0,702,5242,402,0 76,0,2024-09-07 09:18:40:580,97930,0.5,97185,0.7,195376,0.5,260649,2.25 76,1,2024-09-07 09:18:40:811,705800,705800,0,0,330925831118,3454630288,700559,4454,787,382,391692,0 76,2,2024-09-07 09:18:41:074,507646,507645,1,0,22303935,0,5144 76,3,2024-09-07 09:18:41:154,1,402,22,0,175,3810,402,0 77,0,2024-09-07 09:18:41:702,97454,0.6,97847,0.7,195494,0.6,259575,2.00 77,1,2024-09-07 09:18:40:858,706568,706568,0,0,331609426874,3463189059,700795,5272,501,381,391869,0 77,2,2024-09-07 09:18:41:286,507196,507196,0,0,21961753,0,3890 77,3,2024-09-07 09:18:41:111,1,402,4,0,401,4680,402,0 78,0,2024-09-07 09:18:41:716,103887,0.5,103329,0.7,207537,0.4,275375,2.00 78,1,2024-09-07 09:18:40:624,706645,706645,0,0,330336015994,3444484080,698903,6175,1567,367,391670,0 78,2,2024-09-07 09:18:41:405,509044,509031,13,0,20781007,0,8313 78,3,2024-09-07 09:18:41:132,1,402,1,0,181,3659,402,0 79,0,2024-09-07 09:18:41:366,98671,0.4,101000,0.6,206943,0.4,268809,2.25 79,1,2024-09-07 09:18:40:570,708452,708452,0,0,331896784051,3441826243,702383,4920,1149,367,391682,0 79,2,2024-09-07 09:18:41:072,513034,513034,0,0,20421597,0,4195 79,3,2024-09-07 09:18:40:795,1,402,46,0,418,5278,402,0 80,0,2024-09-07 09:18:41:084,97976,0.5,100917,0.7,193040,0.5,260256,2.00 80,1,2024-09-07 09:18:41:625,706205,706205,0,0,332242620786,3461748200,701123,4758,324,368,392269,0 80,2,2024-09-07 09:18:41:096,508170,508170,0,0,20164234,0,4433 80,3,2024-09-07 09:18:40:578,1,402,8,0,190,4782,402,0 81,0,2024-09-07 09:18:41:545,97196,0.5,99571,0.7,189983,0.5,257742,2.00 81,1,2024-09-07 09:18:41:650,705646,705646,0,0,329941340781,3450549557,699888,5252,506,382,391879,0 81,2,2024-09-07 09:18:41:128,505786,505723,63,0,22247635,0,5932 81,3,2024-09-07 09:18:41:117,1,402,1,0,719,5026,402,0 82,0,2024-09-07 09:18:41:578,101420,0.5,101758,0.8,204111,0.5,271059,2.00 82,1,2024-09-07 09:18:40:590,707572,707568,0,4,331791576620,3454575820,702875,3875,818,381,391768,4 82,2,2024-09-07 09:18:41:690,509100,509100,0,0,19097780,0,3986 82,3,2024-09-07 09:18:41:752,1,402,1,0,363,4517,402,0 83,0,2024-09-07 09:18:41:523,104160,0.7,104115,0.8,207266,0.8,275745,2.25 83,1,2024-09-07 09:18:40:571,705437,705437,0,0,331798993809,3463067346,699972,5015,450,382,391709,0 83,2,2024-09-07 09:18:41:010,511795,511795,0,0,19778211,0,3393 83,3,2024-09-07 09:18:40:803,1,402,1,0,1260,5405,402,0 84,0,2024-09-07 09:18:41:968,98851,0.7,98917,0.8,197252,0.6,264062,2.25 84,1,2024-09-07 09:18:41:084,705253,705253,0,0,330886972291,3460361117,697594,6620,1039,367,391967,0 84,2,2024-09-07 09:18:40:574,507421,507391,30,0,27328177,0,5971 84,3,2024-09-07 09:18:41:162,1,402,164,0,908,6170,402,0 85,0,2024-09-07 09:18:41:097,95267,0.6,95251,0.8,202234,0.6,261365,2.25 85,1,2024-09-07 09:18:40:586,703797,703797,0,0,330025830804,3485000931,693315,8618,1864,381,392006,0 85,2,2024-09-07 09:18:40:881,505185,505185,0,0,25100053,0,3656 85,3,2024-09-07 09:18:40:690,1,402,3,0,789,5116,402,0 86,0,2024-09-07 09:18:40:884,99854,0.7,102751,0.8,196527,0.7,266386,2.25 86,1,2024-09-07 09:18:41:060,705642,705642,0,0,331507958818,3474164213,697216,6989,1437,366,391961,0 86,2,2024-09-07 09:18:40:861,505485,505484,1,0,27027899,0,5004 86,3,2024-09-07 09:18:40:590,1,402,1,0,308,5967,402,0 87,0,2024-09-07 09:18:41:368,105874,1.1,105805,1.0,211809,1.4,282731,2.50 87,1,2024-09-07 09:18:40:582,704041,704041,0,0,330685368756,3470555257,694156,8295,1590,366,392076,0 87,2,2024-09-07 09:18:41:068,508025,508019,6,0,24364663,0,6323 87,3,2024-09-07 09:18:41:795,1,402,9,0,473,6203,402,0 88,0,2024-09-07 09:18:41:487,100497,0.4,101291,0.6,201603,0.4,268588,1.75 88,1,2024-09-07 09:18:40:577,703654,703654,0,0,331412723978,3473521133,694479,7243,1932,365,392084,0 88,2,2024-09-07 09:18:40:688,510741,510741,0,0,26265572,0,4465 88,3,2024-09-07 09:18:41:268,1,402,8,0,435,4242,402,0 89,0,2024-09-07 09:18:41:808,101565,0.4,98374,0.6,194564,0.4,264060,1.75 89,1,2024-09-07 09:18:40:809,704255,704255,0,0,330884280640,3480962947,695207,7624,1424,382,391866,0 89,2,2024-09-07 09:18:41:133,504367,504367,0,0,24465422,0,3173 89,3,2024-09-07 09:18:41:819,1,402,20,0,468,7404,402,0 90,0,2024-09-07 09:18:41:617,95451,0.5,97964,0.6,199924,0.4,261230,2.00 90,1,2024-09-07 09:18:40:593,705215,705215,0,0,330946755570,3467159987,698573,6133,509,380,391825,0 90,2,2024-09-07 09:18:41:406,505420,505420,0,0,25770278,0,3060 90,3,2024-09-07 09:18:40:932,1,402,11,0,322,5061,402,0 91,0,2024-09-07 09:18:40:966,105151,0.5,101841,0.6,212809,0.4,279388,1.75 91,1,2024-09-07 09:18:40:582,704130,704130,0,0,330929207440,3483134526,694896,7836,1398,381,392047,0 91,2,2024-09-07 09:18:41:334,508979,508979,0,0,23106217,0,2896 91,3,2024-09-07 09:18:40:604,1,402,37,0,216,4128,402,0 92,0,2024-09-07 09:18:41:452,102615,0.5,105232,0.6,201015,0.5,272208,1.75 92,1,2024-09-07 09:18:40:580,706028,706028,0,0,331364113837,3462282842,700548,4757,723,382,392136,0 92,2,2024-09-07 09:18:41:352,512834,512834,0,0,20762853,0,3259 92,3,2024-09-07 09:18:41:031,1,402,8,0,167,3627,402,0 93,0,2024-09-07 09:18:40:965,98925,0.4,101373,0.5,193493,0.3,262046,1.75 93,1,2024-09-07 09:18:40:807,705079,705079,0,0,331508970166,3463765294,697221,6511,1347,366,391776,0 93,2,2024-09-07 09:18:40:935,505422,505422,0,0,24800203,0,4845 93,3,2024-09-07 09:18:41:406,1,402,7,0,190,3668,402,0 94,0,2024-09-07 09:18:41:604,96732,0.4,97545,0.5,194852,0.3,258542,1.75 94,1,2024-09-07 09:18:40:614,706164,706164,0,0,331528261161,3459646432,701490,4476,198,381,391850,0 94,2,2024-09-07 09:18:40:798,506725,506725,0,0,20874662,0,2443 94,3,2024-09-07 09:18:41:688,1,402,9,0,576,5566,402,0 95,0,2024-09-07 09:18:41:384,102435,0.4,102370,0.5,205460,0.3,274216,1.75 95,1,2024-09-07 09:18:40:879,707378,707378,0,0,331569283524,3449391890,701420,5523,435,367,391786,0 95,2,2024-09-07 09:18:41:037,508158,508158,0,0,20649238,0,3308 95,3,2024-09-07 09:18:41:737,1,402,10,0,718,6058,402,0 96,0,2024-09-07 09:18:41:034,103779,0.4,104073,0.5,207740,0.4,275389,1.75 96,1,2024-09-07 09:18:41:583,705650,705650,0,0,331615136196,3462746588,700142,4629,879,384,391955,0 96,2,2024-09-07 09:18:41:269,512556,512556,0,0,21569850,0,4180 96,3,2024-09-07 09:18:41:145,1,402,12,0,411,4576,402,0 97,0,2024-09-07 09:18:41:495,98448,0.3,98123,0.5,196883,0.3,261462,1.50 97,1,2024-09-07 09:18:40:790,707307,707307,0,0,332976808682,3456633972,702185,4193,929,367,392140,0 97,2,2024-09-07 09:18:40:606,507906,507906,0,0,20721366,0,3679 97,3,2024-09-07 09:18:40:577,1,402,0,0,242,4626,402,0 98,0,2024-09-07 09:18:41:696,97880,0.3,97932,0.4,196645,0.2,260740,1.50 98,1,2024-09-07 09:18:40:585,706115,706115,0,0,331334315583,3454485450,701380,3955,780,382,391997,0 98,2,2024-09-07 09:18:40:801,507047,507047,0,0,20603011,0,3243 98,3,2024-09-07 09:18:40:703,1,402,1,0,840,6548,402,0 99,0,2024-09-07 09:18:41:451,101158,0.3,101878,0.5,202491,0.3,270506,1.75 99,1,2024-09-07 09:18:41:724,706668,706668,0,0,331575872445,3453557900,701822,4012,834,381,392069,0 99,2,2024-09-07 09:18:41:418,508633,508633,0,0,23937574,0,3424 99,3,2024-09-07 09:18:40:581,1,402,1,0,606,4559,402,0 100,0,2024-09-07 09:18:41:471,105008,0.9,105122,1.0,209574,1.1,280078,2.50 100,1,2024-09-07 09:18:40:549,703137,703137,0,0,329605880641,3485499670,692446,8648,2043,381,391989,0 100,2,2024-09-07 09:18:41:817,508401,508390,11,0,24261072,0,5417 100,3,2024-09-07 09:18:41:754,1,402,8,0,559,6773,402,0 101,0,2024-09-07 09:18:41:728,102027,1.0,99250,1.0,194992,0.8,267124,2.25 101,1,2024-09-07 09:18:40:577,703496,703496,0,0,330022203527,3476247698,692842,8534,2120,368,391847,0 101,2,2024-09-07 09:18:41:766,506311,506311,0,0,29101236,0,4871 101,3,2024-09-07 09:18:40:953,1,402,1,0,579,5806,402,0 102,0,2024-09-07 09:18:40:999,96256,0.6,99267,0.8,201142,0.6,263514,2.00 102,1,2024-09-07 09:18:41:167,703621,703621,0,0,330099806053,3472833276,693791,8070,1760,369,391891,0 102,2,2024-09-07 09:18:41:737,506129,506075,54,0,23211166,0,6768 102,3,2024-09-07 09:18:41:616,1,402,1,0,466,4582,402,0 103,0,2024-09-07 09:18:41:597,102848,0.6,102886,0.7,193842,0.6,266758,2.00 103,1,2024-09-07 09:18:41:626,702523,702523,0,0,330489601073,3494262411,690601,8857,3065,381,391829,0 103,2,2024-09-07 09:18:40:585,505321,505321,0,0,25646490,0,3766 103,3,2024-09-07 09:18:40:781,1,402,19,0,916,4572,402,0 104,0,2024-09-07 09:18:41:012,104760,0.7,105257,0.9,209095,0.7,280883,2.25 104,1,2024-09-07 09:18:41:602,704914,704914,0,0,330487725863,3474500028,694433,8675,1806,365,392168,0 104,2,2024-09-07 09:18:41:703,507710,507710,0,0,24858320,0,3941 104,3,2024-09-07 09:18:41:416,1,402,51,0,1245,8286,402,0 105,0,2024-09-07 09:18:41:033,99766,0.9,97047,1.1,203320,1.0,268073,2.75 105,1,2024-09-07 09:18:40:580,705500,705500,0,0,331242911705,3474449274,696095,7984,1421,366,392009,0 105,2,2024-09-07 09:18:41:323,510576,510576,0,0,24757290,0,3509 105,3,2024-09-07 09:18:41:308,1,402,46,0,399,6363,402,0 106,0,2024-09-07 09:18:40:951,94992,0.7,97368,0.9,199303,0.8,260518,2.50 106,1,2024-09-07 09:18:41:750,704209,704209,0,0,330274509431,3472939011,693204,9561,1444,369,391914,0 106,2,2024-09-07 09:18:40:783,504731,504731,0,0,23721323,0,2920 106,3,2024-09-07 09:18:40:728,1,402,10,0,470,5311,402,0 107,0,2024-09-07 09:18:41:112,97618,1.0,97817,0.9,195258,1.3,260650,2.25 107,1,2024-09-07 09:18:40:587,703910,703910,0,0,329975941975,3479546117,694209,8669,1032,381,392234,0 107,2,2024-09-07 09:18:41:301,505467,505466,1,0,24858708,0,5024 107,3,2024-09-07 09:18:41:755,1,402,72,0,370,6385,402,0 108,0,2024-09-07 09:18:41:807,103326,0.5,104106,0.6,206809,0.4,276384,1.75 108,1,2024-09-07 09:18:41:296,706060,706060,0,0,331665176679,3460404486,700080,5241,739,368,391857,0 108,2,2024-09-07 09:18:41:783,507591,507591,0,0,23414726,0,4246 108,3,2024-09-07 09:18:41:337,1,402,16,0,749,7746,402,0 109,0,2024-09-07 09:18:41:786,102974,0.4,102110,0.6,204111,0.4,273034,1.75 109,1,2024-09-07 09:18:40:620,703936,703936,0,0,331102570464,3476499763,697040,5782,1114,382,392132,0 109,2,2024-09-07 09:18:40:933,509875,509875,0,0,23036341,0,3617 109,3,2024-09-07 09:18:41:145,1,402,3,0,379,4791,402,0 110,0,2024-09-07 09:18:41:779,97879,0.4,95336,0.6,199862,0.3,261074,1.75 110,1,2024-09-07 09:18:41:649,707145,707145,0,0,331365709662,3442454076,701807,4162,1176,369,392045,0 110,2,2024-09-07 09:18:41:323,506731,506731,0,0,21829869,0,4067 110,3,2024-09-07 09:18:40:694,1,402,1,0,722,5800,402,0 111,0,2024-09-07 09:18:41:413,97642,0.4,96796,0.5,194258,0.3,259092,1.75 111,1,2024-09-07 09:18:41:010,707560,707560,0,0,332938424088,3454430577,703805,3400,355,380,391690,0 111,2,2024-09-07 09:18:41:119,506349,506349,0,0,21502531,0,4823 111,3,2024-09-07 09:18:40:919,1,402,132,0,379,4893,402,0 112,0,2024-09-07 09:18:40:921,102469,0.3,102109,0.4,204244,0.2,272086,1.50 112,1,2024-09-07 09:18:40:827,707566,707566,0,0,332364847292,3450372258,703136,3818,612,380,391624,0 112,2,2024-09-07 09:18:41:147,507983,507982,1,0,20442758,0,5036 112,3,2024-09-07 09:18:40:600,1,402,7,0,282,4072,402,0 113,0,2024-09-07 09:18:40:868,104150,0.3,104297,0.5,208790,0.3,277850,1.75 113,1,2024-09-07 09:18:41:685,709808,709808,0,0,332303172712,3430890184,705931,3288,589,366,391661,0 113,2,2024-09-07 09:18:41:308,512888,512888,0,0,19232964,0,3813 113,3,2024-09-07 09:18:40:684,1,402,3,0,340,4669,402,0 114,0,2024-09-07 09:18:40:873,99848,0.3,100469,0.5,199763,0.2,266676,1.75 114,1,2024-09-07 09:18:40:724,706814,706814,0,0,331032722326,3442451401,700570,4628,1616,381,391565,0 114,2,2024-09-07 09:18:40:876,509232,509231,1,0,20218120,0,5069 114,3,2024-09-07 09:18:41:277,1,402,0,0,395,3444,402,0 115,0,2024-09-07 09:18:40:584,98929,0.3,99457,0.4,198593,0.2,263877,1.50 115,1,2024-09-07 09:18:40:579,707115,707115,0,0,332176608511,3453554614,701263,4921,931,382,391757,0 115,2,2024-09-07 09:18:41:125,507914,507914,0,0,19254692,0,3848 115,3,2024-09-07 09:18:41:009,1,402,0,0,159,2338,402,0 116,0,2024-09-07 09:18:41:704,99650,0.8,99568,0.9,199822,0.9,267585,2.25 116,1,2024-09-07 09:18:40:835,703503,703503,0,0,329934301084,3479303032,694935,6244,2324,380,392089,0 116,2,2024-09-07 09:18:41:754,506543,506543,0,0,25562905,0,4128 116,3,2024-09-07 09:18:40:917,1,402,9,0,415,4845,402,0 117,0,2024-09-07 09:18:41:006,106409,0.8,105928,0.9,212045,1.0,283096,2.00 117,1,2024-09-07 09:18:41:587,704939,704939,0,0,330700153210,3464040102,697218,6809,912,369,392033,0 117,2,2024-09-07 09:18:41:130,511872,511872,0,0,21991309,0,4303 117,3,2024-09-07 09:18:41:059,1,402,6,0,490,6397,402,0 118,0,2024-09-07 09:18:41:771,97798,0.5,100200,0.7,204691,0.5,267567,2.00 118,1,2024-09-07 09:18:40:603,704654,704654,0,0,330984133534,3487501163,692776,8880,2998,366,391907,0 118,2,2024-09-07 09:18:41:587,509949,509949,0,0,24066427,0,2842 118,3,2024-09-07 09:18:41:764,1,402,1,0,248,4753,402,0 119,0,2024-09-07 09:18:41:368,98334,0.6,98811,0.7,197604,0.5,262591,2.00 119,1,2024-09-07 09:18:40:578,704628,704628,0,0,331418451968,3471897589,696163,7352,1113,367,391780,0 119,2,2024-09-07 09:18:41:283,506115,506115,0,0,22539677,0,4174 119,3,2024-09-07 09:18:41:331,1,402,2,0,1358,8367,402,0 120,0,2024-09-07 09:18:41:593,97731,0.6,97564,0.8,195504,0.6,261816,2.25 120,1,2024-09-07 09:18:40:896,705424,705424,0,0,331209471908,3478660932,697379,7280,765,368,391961,0 120,2,2024-09-07 09:18:40:794,506419,506418,1,0,25927606,0,5281 120,3,2024-09-07 09:18:41:290,1,402,8,0,279,5078,402,0 121,0,2024-09-07 09:18:41:710,104513,1.2,104323,1.1,209411,1.7,278628,2.50 121,1,2024-09-07 09:18:41:662,705925,705925,0,0,331245575217,3465570298,699248,6221,456,367,391840,0 121,2,2024-09-07 09:18:41:127,507547,507547,0,0,25032297,0,4127 121,3,2024-09-07 09:18:40:738,1,402,1,0,269,4569,402,0 122,0,2024-09-07 09:18:41:787,101264,0.8,98820,0.9,207397,0.9,271829,2.00 122,1,2024-09-07 09:18:40:877,704103,704103,0,0,330735428159,3474762011,693821,8682,1600,366,392130,0 122,2,2024-09-07 09:18:41:319,511503,511430,73,0,27850861,0,5989 122,3,2024-09-07 09:18:40:605,1,402,5,0,512,7624,402,0 123,0,2024-09-07 09:18:40:971,97845,0.6,95584,0.7,199780,0.6,261395,2.00 123,1,2024-09-07 09:18:40:579,704034,704034,0,0,330996964600,3491452753,690768,10865,2401,369,392039,0 123,2,2024-09-07 09:18:41:026,504112,504111,1,0,23342484,0,5215 123,3,2024-09-07 09:18:41:153,1,402,1,0,478,4915,402,0 124,0,2024-09-07 09:18:40:950,100037,0.3,99977,0.5,188533,0.3,259303,1.75 124,1,2024-09-07 09:18:41:026,707001,707001,0,0,331385022901,3447526449,701735,4217,1049,367,392178,0 124,2,2024-09-07 09:18:41:011,508043,507990,53,0,21199855,0,6487 124,3,2024-09-07 09:18:40:790,1,402,12,0,490,4014,402,0 125,0,2024-09-07 09:18:41:427,102703,0.4,102417,0.6,205664,0.4,274418,1.75 125,1,2024-09-07 09:18:40:866,705486,705486,0,0,330969114245,3458207630,699733,5086,667,382,391702,0 125,2,2024-09-07 09:18:41:125,509062,509062,0,0,21923117,0,4534 125,3,2024-09-07 09:18:41:138,1,402,1,0,709,5284,402,0 126,0,2024-09-07 09:18:41:425,103635,0.5,106774,0.6,204252,0.4,276028,1.75 126,1,2024-09-07 09:18:40:559,707834,707834,0,0,332462462115,3445233096,703862,3681,291,365,391987,0 126,2,2024-09-07 09:18:40:620,512800,512800,0,0,22718714,0,4539 126,3,2024-09-07 09:18:40:914,1,402,4,0,268,5162,402,0 127,0,2024-09-07 09:18:41:602,98321,0.3,98770,0.5,197055,0.3,261472,1.75 127,1,2024-09-07 09:18:40:585,707108,707108,0,0,331147177919,3447627757,699791,6098,1219,364,392187,0 127,2,2024-09-07 09:18:40:646,506870,506870,0,0,20378593,0,3897 127,3,2024-09-07 09:18:41:268,1,402,8,0,968,4511,402,0 128,0,2024-09-07 09:18:41:601,98522,0.3,98763,0.4,197010,0.2,261186,1.50 128,1,2024-09-07 09:18:41:609,706428,706428,0,0,332186112285,3450930629,701541,4455,432,367,391838,0 128,2,2024-09-07 09:18:41:389,507515,507515,0,0,19467318,0,2915 128,3,2024-09-07 09:18:41:012,1,402,14,0,1082,6471,402,0 129,0,2024-09-07 09:18:41:025,101961,0.3,101490,0.5,203394,0.3,270717,1.75 129,1,2024-09-07 09:18:40:572,704098,704098,0,0,330660866202,3467747964,696735,5696,1667,379,391835,0 129,2,2024-09-07 09:18:40:691,508286,508286,0,0,21256695,0,4031 129,3,2024-09-07 09:18:40:704,1,402,37,0,506,5576,402,0 130,0,2024-09-07 09:18:41:782,105946,0.6,105332,0.6,212324,0.6,281526,1.75 130,1,2024-09-07 09:18:40:596,707062,707062,0,0,331199713036,3444715949,703040,3684,338,381,391825,0 130,2,2024-09-07 09:18:41:125,511940,511940,0,0,21648025,0,4067 130,3,2024-09-07 09:18:41:297,1,402,960,0,960,6632,402,0 131,0,2024-09-07 09:18:41:968,99784,0.4,100204,0.5,201471,0.3,267085,1.75 131,1,2024-09-07 09:18:41:822,706472,706472,0,0,331599518164,3466712236,700520,4904,1048,381,391865,0 131,2,2024-09-07 09:18:40:582,512174,512174,0,0,19581653,0,2698 131,3,2024-09-07 09:18:41:690,1,402,0,0,392,4439,402,0 132,0,2024-09-07 09:18:41:429,98791,0.4,99776,0.6,198476,0.4,264156,1.75 132,1,2024-09-07 09:18:40:580,703274,703274,0,0,330026906255,3480653012,692159,9012,2103,381,392097,0 132,2,2024-09-07 09:18:40:704,505471,505454,17,0,26049317,0,6451 132,3,2024-09-07 09:18:41:688,1,402,11,0,804,7319,402,0 133,0,2024-09-07 09:18:41:535,97093,0.5,99351,0.6,203780,0.4,265330,2.00 133,1,2024-09-07 09:18:40:587,703304,703304,0,0,330228415220,3484443579,692997,8794,1513,383,391914,0 133,2,2024-09-07 09:18:41:096,505803,505753,50,0,27507940,0,6861 133,3,2024-09-07 09:18:41:307,1,402,1,0,479,4158,402,0 134,0,2024-09-07 09:18:40:968,106087,0.5,105611,0.7,211538,0.5,282824,2.00 134,1,2024-09-07 09:18:40:609,704194,704194,0,0,329842454440,3464601261,694417,7743,2034,366,391718,0 134,2,2024-09-07 09:18:41:756,507516,507492,24,0,24826836,0,6207 134,3,2024-09-07 09:18:40:755,1,402,20,0,739,5224,402,0 135,0,2024-09-07 09:18:41:104,97833,0.8,97815,0.9,207549,0.9,267629,2.25 135,1,2024-09-07 09:18:41:589,704215,704215,0,0,331097107052,3487082207,694343,8502,1370,380,391805,0 135,2,2024-09-07 09:18:40:695,511717,511717,0,0,24353462,0,3981 135,3,2024-09-07 09:18:41:002,1,402,3,0,299,3439,402,0 136,0,2024-09-07 09:18:41:656,98838,0.5,98934,0.7,196887,0.5,262552,2.00 136,1,2024-09-07 09:18:41:450,704920,704920,0,0,331077974960,3474551384,696718,7275,927,382,391685,0 136,2,2024-09-07 09:18:41:148,506941,506941,0,0,23042949,0,3506 136,3,2024-09-07 09:18:41:112,1,402,9,0,637,4735,402,0 137,0,2024-09-07 09:18:40:964,100878,0.6,98089,0.7,192749,0.6,261740,2.00 137,1,2024-09-07 09:18:40:593,704090,704090,0,0,330368471661,3470407839,692741,8990,2359,366,391898,0 137,2,2024-09-07 09:18:41:705,505497,505497,0,0,25971805,0,3185 137,3,2024-09-07 09:18:40:796,1,402,6,0,484,5141,402,0 138,0,2024-09-07 09:18:41:755,103053,1.1,103078,1.0,207062,1.4,275216,2.25 138,1,2024-09-07 09:18:41:688,704908,704908,0,0,331446530999,3480475556,694679,8508,1721,368,391954,0 138,2,2024-09-07 09:18:40:602,507987,507987,0,0,23600951,0,4988 138,3,2024-09-07 09:18:40:611,1,402,2,0,1160,5373,402,0 139,0,2024-09-07 09:18:41:376,101502,1.7,101467,1.2,203414,2.4,271414,2.50 139,1,2024-09-07 09:18:40:578,701568,701568,0,0,328715812450,3497368526,687440,10827,3301,380,392058,0 139,2,2024-09-07 09:18:40:694,508084,508084,0,0,26921668,0,3097 139,3,2024-09-07 09:18:41:669,1,402,3,0,432,4898,402,0 140,0,2024-09-07 09:18:41:589,98477,0.3,97846,0.5,196516,0.2,261370,1.75 140,1,2024-09-07 09:18:41:542,709042,709042,0,0,333346166602,3438594624,705488,3113,441,365,391606,0 140,2,2024-09-07 09:18:40:689,506994,506993,1,0,20623602,0,5036 140,3,2024-09-07 09:18:41:004,1,402,33,0,297,3509,402,0 141,0,2024-09-07 09:18:41:708,97373,0.3,100031,0.5,191130,0.3,258941,1.75 141,1,2024-09-07 09:18:40:864,707421,707421,0,0,332565843473,3455122283,702063,4404,954,379,391614,0 141,2,2024-09-07 09:18:41:691,507458,507458,0,0,20343882,0,3360 141,3,2024-09-07 09:18:41:047,1,402,5,0,391,4769,402,0 142,0,2024-09-07 09:18:41:381,102557,0.3,101962,0.5,203809,0.3,272642,1.75 142,1,2024-09-07 09:18:40:620,706243,706243,0,0,331806327042,3459997685,701729,4119,395,382,392102,0 142,2,2024-09-07 09:18:41:318,507404,507372,32,0,22416464,0,6028 142,3,2024-09-07 09:18:41:753,1,402,20,0,484,4726,402,0 143,0,2024-09-07 09:18:41:407,104461,0.5,104372,0.6,209100,0.5,277817,1.75 143,1,2024-09-07 09:18:40:598,707776,707776,0,0,332061515606,3449250407,702744,4488,544,367,391705,0 143,2,2024-09-07 09:18:40:839,511565,511565,0,0,21436584,0,3123 143,3,2024-09-07 09:18:41:155,1,402,7,0,462,5160,402,0 144,0,2024-09-07 09:18:41:502,96413,0.6,99049,0.8,202014,0.5,264511,2.00 144,1,2024-09-07 09:18:40:594,704203,704203,0,0,330099091895,3465685463,697229,5621,1353,381,391649,0 144,2,2024-09-07 09:18:41:755,509544,509544,0,0,20416079,0,3673 144,3,2024-09-07 09:18:41:739,1,402,21,0,249,4076,402,0 145,0,2024-09-07 09:18:41:368,95602,0.5,95576,0.8,202876,0.5,260980,2.25 145,1,2024-09-07 09:18:40:579,703745,703745,0,0,330552678690,3480690502,694141,7942,1662,382,391759,0 145,2,2024-09-07 09:18:41:429,504344,504263,81,0,24753409,0,7814 145,3,2024-09-07 09:18:40:914,1,402,7,0,622,5938,402,0 146,0,2024-09-07 09:18:41:662,99950,0.6,99348,0.8,200474,0.6,266014,2.25 146,1,2024-09-07 09:18:41:625,705359,705359,0,0,331087361820,3480014151,694492,8748,2119,367,391770,0 146,2,2024-09-07 09:18:41:697,505834,505834,0,0,23862227,0,3290 146,3,2024-09-07 09:18:41:274,1,402,3,0,1520,7913,402,0 147,0,2024-09-07 09:18:41:730,106267,0.7,106107,0.8,211446,0.7,282661,2.25 147,1,2024-09-07 09:18:41:384,707906,707906,0,0,332391812104,3459751147,701333,5728,845,368,391791,0 147,2,2024-09-07 09:18:41:014,509271,509271,0,0,21436142,0,2968 147,3,2024-09-07 09:18:40:914,1,402,1,0,1626,7159,402,0 0,0,2024-09-07 09:18:51:719,95990,0.6,95945,0.8,203658,0.7,263725,2.00 0,1,2024-09-07 09:18:50:802,707119,707119,0,0,331956151321,3475204176,701767,4966,386,369,391896,0 0,2,2024-09-07 09:18:51:067,509699,509699,0,0,20679646,0,4480 0,3,2024-09-07 09:18:50:974,1,403,9,0,431,6163,403,0 1,0,2024-09-07 09:18:51:878,104970,1.0,104291,1.0,209359,1.2,279942,2.00 1,1,2024-09-07 09:18:50:558,706573,706573,0,0,331478850059,3471137811,700314,4939,1320,370,391857,0 1,2,2024-09-07 09:18:50:657,509110,509110,0,0,20971704,0,3380 1,3,2024-09-07 09:18:51:302,1,403,12,0,262,4855,403,0 2,0,2024-09-07 09:18:51:601,101678,0.6,102094,0.8,203152,0.7,271456,2.00 2,1,2024-09-07 09:18:50:862,709039,709039,0,0,332824703150,3457016054,705759,2985,295,380,391745,0 2,2,2024-09-07 09:18:51:276,513375,513375,0,0,20206227,0,3594 2,3,2024-09-07 09:18:50:690,1,403,0,0,357,4082,403,0 3,0,2024-09-07 09:18:51:747,98404,0.4,98356,0.6,196490,0.3,261588,2.00 3,1,2024-09-07 09:18:51:625,707638,707638,0,0,331767949901,3457907137,701428,5520,690,380,391716,0 3,2,2024-09-07 09:18:51:143,507136,507113,23,0,20934020,0,5851 3,3,2024-09-07 09:18:51:757,1,403,0,0,207,2693,403,0 4,0,2024-09-07 09:18:52:168,94551,0.4,97148,0.5,198103,0.3,259315,1.75 4,1,2024-09-07 09:18:50:613,705144,705144,0,0,330534583934,3497422624,693356,9284,2504,370,391992,0 4,2,2024-09-07 09:18:51:033,506391,506391,0,0,24023390,0,4528 4,3,2024-09-07 09:18:51:034,1,403,15,0,448,5424,403,0 5,0,2024-09-07 09:18:51:457,102763,0.5,103422,0.6,206485,0.4,274839,1.75 5,1,2024-09-07 09:18:50:768,706085,706085,0,0,331389895816,3492069624,695756,8177,2152,367,392005,0 5,2,2024-09-07 09:18:51:829,507627,507627,0,0,23669373,0,3582 5,3,2024-09-07 09:18:51:827,1,403,4,0,457,5705,403,0 6,0,2024-09-07 09:18:50:918,103934,0.5,103593,0.7,206820,0.4,275811,2.00 6,1,2024-09-07 09:18:50:746,706448,706448,0,0,331698698666,3470929765,698249,6807,1392,379,391694,0 6,2,2024-09-07 09:18:51:127,512227,512209,18,0,24228783,0,5535 6,3,2024-09-07 09:18:51:277,1,403,1,0,710,5074,403,0 7,0,2024-09-07 09:18:51:534,97723,0.5,98477,0.6,196167,0.4,260726,2.00 7,1,2024-09-07 09:18:50:856,706209,706209,0,0,331126724942,3478081888,696443,8304,1462,382,391747,0 7,2,2024-09-07 09:18:50:776,508741,508741,0,0,23379793,0,4791 7,3,2024-09-07 09:18:50:852,1,403,0,0,552,4731,403,0 8,0,2024-09-07 09:18:51:432,98350,0.4,98024,0.5,196571,0.3,261278,1.75 8,1,2024-09-07 09:18:51:017,705205,705205,0,0,331689661322,3491686209,693703,8876,2626,366,392144,0 8,2,2024-09-07 09:18:50:792,503629,503629,0,0,27261625,0,3250 8,3,2024-09-07 09:18:50:590,1,403,22,0,538,6838,403,0 9,0,2024-09-07 09:18:51:158,101787,0.4,98809,0.5,206823,0.3,271222,1.75 9,1,2024-09-07 09:18:50:550,704990,704990,0,0,330970880577,3488440034,694526,8277,2187,369,392001,0 9,2,2024-09-07 09:18:51:099,508151,508151,0,0,24289359,0,3360 9,3,2024-09-07 09:18:51:758,1,403,8,0,496,5855,403,0 10,0,2024-09-07 09:18:51:630,105307,0.4,104747,0.6,210395,0.3,279596,1.75 10,1,2024-09-07 09:18:50:583,706191,706191,0,0,332063819438,3483461880,696053,8516,1622,381,391741,0 10,2,2024-09-07 09:18:50:762,511696,511696,0,0,26663901,0,4264 10,3,2024-09-07 09:18:50:872,1,403,12,0,649,4541,403,0 11,0,2024-09-07 09:18:51:012,99936,0.5,96968,0.6,202852,0.4,267605,1.75 11,1,2024-09-07 09:18:50:571,706920,706920,0,0,332287123455,3489635467,695738,8557,2625,383,391756,0 11,2,2024-09-07 09:18:51:124,509760,509760,0,0,23741478,0,4130 11,3,2024-09-07 09:18:51:310,1,403,1,0,843,6159,403,0 12,0,2024-09-07 09:18:51:015,99872,0.4,99907,0.5,199731,0.3,265230,1.75 12,1,2024-09-07 09:18:50:948,707618,707618,0,0,332021781880,3463413044,702087,5032,499,370,391870,0 12,2,2024-09-07 09:18:51:551,507621,507621,0,0,22434628,0,3469 12,3,2024-09-07 09:18:51:065,1,403,9,0,386,6222,403,0 13,0,2024-09-07 09:18:51:337,100689,0.4,100312,0.6,200170,0.4,266856,1.75 13,1,2024-09-07 09:18:51:530,705698,705698,0,0,331233235901,3484589948,698173,5900,1625,382,391740,0 13,2,2024-09-07 09:18:50:596,510037,510037,0,0,20874850,0,3287 13,3,2024-09-07 09:18:51:783,1,403,20,0,522,6132,403,0 14,0,2024-09-07 09:18:50:566,106078,0.4,107192,0.6,212000,0.4,283079,2.00 14,1,2024-09-07 09:18:51:562,710473,710473,0,0,333125492591,3453899312,704272,5493,708,364,391673,0 14,2,2024-09-07 09:18:50:764,510575,510545,30,0,23079735,0,6104 14,3,2024-09-07 09:18:51:120,1,403,26,0,1168,4683,403,0 15,0,2024-09-07 09:18:51:556,100949,0.4,100878,0.7,202179,0.4,269171,2.00 15,1,2024-09-07 09:18:51:612,707498,707498,0,0,332611106127,3467662609,701277,5043,1178,381,391619,0 15,2,2024-09-07 09:18:50:998,514192,514192,0,0,18972177,0,3622 15,3,2024-09-07 09:18:51:405,1,403,1,0,1126,6874,403,0 16,0,2024-09-07 09:18:50:942,98593,0.5,98959,0.7,197560,0.5,262584,2.00 16,1,2024-09-07 09:18:50:563,707481,707481,0,0,331969123005,3473181001,700964,5404,1113,370,391756,0 16,2,2024-09-07 09:18:51:437,506499,506499,0,0,22435430,0,4719 16,3,2024-09-07 09:18:51:142,1,403,7,0,317,5318,403,0 17,0,2024-09-07 09:18:51:829,100918,0.6,98528,0.8,192789,0.6,261927,2.00 17,1,2024-09-07 09:18:50:568,706580,706580,0,0,331527953846,3480823749,699012,6057,1511,368,392075,0 17,2,2024-09-07 09:18:51:667,510520,510520,0,0,21916784,0,3569 17,3,2024-09-07 09:18:50:574,1,403,1,0,518,6725,403,0 18,0,2024-09-07 09:18:50:947,103031,0.6,103427,0.8,206099,0.6,275569,2.25 18,1,2024-09-07 09:18:51:638,709171,709171,0,0,332718414194,3449410815,705298,3495,378,367,391725,0 18,2,2024-09-07 09:18:51:757,511839,511839,0,0,19822521,0,3541 18,3,2024-09-07 09:18:50:898,1,403,0,0,163,3228,403,0 19,0,2024-09-07 09:18:51:547,101899,0.7,102386,0.8,203134,0.7,270241,2.25 19,1,2024-09-07 09:18:50:567,709573,709573,0,0,332834690381,3455470108,703636,5016,921,367,391777,0 19,2,2024-09-07 09:18:51:751,515177,515177,0,0,18889044,0,3988 19,3,2024-09-07 09:18:51:133,1,403,373,0,524,3418,403,0 20,0,2024-09-07 09:18:51:406,98118,0.5,98162,0.6,196043,0.4,261376,2.00 20,1,2024-09-07 09:18:50:574,706633,706633,0,0,332455080074,3478644078,700233,5600,800,369,391886,0 20,2,2024-09-07 09:18:50:944,507085,507085,0,0,22526428,0,3721 20,3,2024-09-07 09:18:50:596,1,403,8,0,414,6041,403,0 21,0,2024-09-07 09:18:51:155,97343,0.5,97362,0.6,194587,0.5,258108,2.00 21,1,2024-09-07 09:18:51:537,704817,704817,0,0,330715567576,3490872592,693988,8359,2470,368,392016,0 21,2,2024-09-07 09:18:51:068,505353,505333,20,0,27433710,0,5617 21,3,2024-09-07 09:18:51:423,1,403,12,0,713,5332,403,0 22,0,2024-09-07 09:18:51:718,102150,0.5,102434,0.7,205119,0.5,272320,2.00 22,1,2024-09-07 09:18:51:030,706166,706166,0,0,331545112830,3488005757,695072,8868,2226,382,391667,0 22,2,2024-09-07 09:18:50:763,507964,507938,26,0,22010122,0,6328 22,3,2024-09-07 09:18:51:065,1,403,0,0,228,3423,403,0 23,0,2024-09-07 09:18:51:368,103865,0.6,103315,0.7,207127,0.5,275870,2.25 23,1,2024-09-07 09:18:51:003,707000,707000,0,0,331960085572,3491021279,694021,8926,4053,365,391690,0 23,2,2024-09-07 09:18:51:102,513888,513888,0,0,21549609,0,3773 23,3,2024-09-07 09:18:51:757,1,403,1,0,720,5513,403,0 24,0,2024-09-07 09:18:50:852,100149,0.4,99952,0.5,200448,0.4,266092,1.75 24,1,2024-09-07 09:18:50:596,706219,706219,0,0,330609777285,3468261123,698405,6230,1584,367,392269,0 24,2,2024-09-07 09:18:51:084,508302,508302,0,0,25633864,0,3607 24,3,2024-09-07 09:18:51:686,1,403,14,0,468,5670,403,0 25,0,2024-09-07 09:18:51:359,101644,0.4,99153,0.6,194317,0.3,264767,1.75 25,1,2024-09-07 09:18:50:558,705746,705746,0,0,332314585155,3503069890,694119,9418,2209,371,391928,0 25,2,2024-09-07 09:18:51:605,506101,506101,0,0,25990877,0,3978 25,3,2024-09-07 09:18:51:000,1,403,1,0,532,4717,403,0 26,0,2024-09-07 09:18:51:751,100572,0.4,98051,0.6,205594,0.4,268116,2.00 26,1,2024-09-07 09:18:51:545,707408,707408,0,0,331238218316,3471784267,696971,8854,1583,380,391748,0 26,2,2024-09-07 09:18:50:862,509294,509294,0,0,26139010,0,2809 26,3,2024-09-07 09:18:51:715,1,403,2,0,796,5091,403,0 27,0,2024-09-07 09:18:51:729,106370,0.5,106558,0.7,211840,0.5,282751,2.25 27,1,2024-09-07 09:18:51:685,709266,709266,0,0,332679131590,3468048869,702187,6185,894,381,391626,0 27,2,2024-09-07 09:18:50:869,508337,508272,65,0,24230350,0,5699 27,3,2024-09-07 09:18:51:026,1,403,29,0,564,4059,403,0 28,0,2024-09-07 09:18:51:436,101217,0.4,100779,0.6,202002,0.3,269576,2.00 28,1,2024-09-07 09:18:50:813,707976,707976,0,0,332623510387,3475434046,700818,5459,1699,383,391698,0 28,2,2024-09-07 09:18:51:780,512088,512088,0,0,22101071,0,2915 28,3,2024-09-07 09:18:51:776,1,403,9,0,502,4598,403,0 29,0,2024-09-07 09:18:51:361,101612,0.3,99042,0.6,194400,0.3,264563,1.75 29,1,2024-09-07 09:18:51:560,710329,710329,0,0,333737151067,3456162943,705471,4125,733,368,391753,0 29,2,2024-09-07 09:18:50:862,506864,506864,0,0,20798744,0,4986 29,3,2024-09-07 09:18:50:965,1,403,1,0,459,4837,403,0 30,0,2024-09-07 09:18:51:457,98486,0.5,95676,0.7,200360,0.4,262624,2.00 30,1,2024-09-07 09:18:50:571,709584,709584,0,0,332994362297,3460429096,703760,5058,766,381,391672,0 30,2,2024-09-07 09:18:51:278,509299,509299,0,0,20152002,0,4192 30,3,2024-09-07 09:18:50:583,1,403,28,0,519,4040,403,0 31,0,2024-09-07 09:18:51:759,104686,0.4,105176,0.6,209898,0.4,280063,2.00 31,1,2024-09-07 09:18:50:564,712157,712157,0,0,334376016274,3429877308,709143,2428,586,356,391712,0 31,2,2024-09-07 09:18:51:284,509632,509632,0,0,21916802,0,3525 31,3,2024-09-07 09:18:51:705,1,403,1,0,220,3572,403,0 32,0,2024-09-07 09:18:51:452,102251,0.3,102798,0.5,204753,0.3,273064,1.75 32,1,2024-09-07 09:18:50:817,709163,709163,0,0,333171970627,3467876576,704058,4425,680,381,391646,0 32,2,2024-09-07 09:18:50:935,514086,514086,0,0,19722563,0,3922 32,3,2024-09-07 09:18:51:017,1,403,20,0,304,3281,403,0 33,0,2024-09-07 09:18:51:504,98587,0.3,98163,0.4,196942,0.2,262023,1.50 33,1,2024-09-07 09:18:50:575,710166,710166,0,0,333465214549,3461266667,703749,5314,1103,369,391730,0 33,2,2024-09-07 09:18:50:760,508032,507997,35,0,21750489,0,7012 33,3,2024-09-07 09:18:50:895,1,403,18,0,329,4109,403,0 34,0,2024-09-07 09:18:50:930,97604,0.3,100418,0.4,192225,0.2,258679,1.75 34,1,2024-09-07 09:18:51:051,710995,710995,0,0,333777774131,3434075099,709260,1724,11,367,391562,0 34,2,2024-09-07 09:18:50:766,508747,508747,0,0,20456875,0,4562 34,3,2024-09-07 09:18:51:695,1,403,53,0,299,3161,403,0 35,0,2024-09-07 09:18:50:860,102412,0.4,102860,0.5,206649,0.3,275526,1.75 35,1,2024-09-07 09:18:51:067,709416,709416,0,0,332366052593,3446794230,704987,3573,856,382,391769,0 35,2,2024-09-07 09:18:51:583,509652,509652,0,0,21824846,0,4055 35,3,2024-09-07 09:18:50:912,1,403,1,0,418,4475,403,0 36,0,2024-09-07 09:18:51:525,104011,0.5,103922,0.7,207700,0.5,276108,2.25 36,1,2024-09-07 09:18:50:585,707694,707694,0,0,332308275640,3475052396,698051,7643,2000,366,391759,0 36,2,2024-09-07 09:18:51:753,513332,513332,0,0,23226822,0,3875 36,3,2024-09-07 09:18:50:863,1,403,8,0,416,6007,403,0 37,0,2024-09-07 09:18:51:397,97955,0.4,97984,0.6,196168,0.4,261161,2.00 37,1,2024-09-07 09:18:50:569,707676,707669,0,7,330984838326,3460397362,699053,6343,2273,365,391570,0 37,2,2024-09-07 09:18:51:142,506291,506276,15,0,23105672,0,5815 37,3,2024-09-07 09:18:51:774,1,403,42,0,888,6274,403,0 38,0,2024-09-07 09:18:51:442,97610,0.5,94713,0.6,198093,0.4,258898,2.00 38,1,2024-09-07 09:18:51:605,708530,708530,0,0,332561499975,3479083484,698116,8254,2160,368,391821,0 38,2,2024-09-07 09:18:50:760,507620,507573,47,0,22630480,0,6710 38,3,2024-09-07 09:18:50:997,1,403,0,0,689,5421,403,0 39,0,2024-09-07 09:18:51:766,103766,0.6,101596,0.7,197799,0.6,270271,2.00 39,1,2024-09-07 09:18:50:719,706737,706737,0,0,331539562365,3481105567,694385,9662,2690,365,391658,0 39,2,2024-09-07 09:18:51:421,509428,509428,0,0,21627527,0,3391 39,3,2024-09-07 09:18:50:717,1,403,2,0,324,4836,403,0 40,0,2024-09-07 09:18:51:489,104104,0.9,104827,1.0,208806,1.0,278355,2.75 40,1,2024-09-07 09:18:50:577,707453,707453,0,0,331433462133,3473998550,697051,8475,1927,368,391668,0 40,2,2024-09-07 09:18:51:322,510697,510696,1,0,25168216,0,5137 40,3,2024-09-07 09:18:51:142,1,403,16,0,1028,5705,403,0 41,0,2024-09-07 09:18:51:041,99483,1.4,101757,1.2,194254,2.2,264298,3.25 41,1,2024-09-07 09:18:50:781,706560,706560,0,0,332161499273,3478158699,697508,8052,1000,369,391742,0 41,2,2024-09-07 09:18:50:761,508786,508786,0,0,24230567,0,4277 41,3,2024-09-07 09:18:51:680,1,403,1,0,366,4190,403,0 42,0,2024-09-07 09:18:51:485,98454,0.9,98499,1.0,197070,0.9,261081,2.75 42,1,2024-09-07 09:18:51:444,705357,705357,0,0,330220193406,3474177659,693805,9625,1927,380,391675,0 42,2,2024-09-07 09:18:51:134,506349,506349,0,0,24164341,0,3790 42,3,2024-09-07 09:18:51:013,1,403,3,0,892,4213,403,0 43,0,2024-09-07 09:18:50:916,98767,0.8,96178,1.0,201506,0.9,264252,2.50 43,1,2024-09-07 09:18:50:577,707852,707852,0,0,332551757017,3478733048,697527,8587,1738,366,391696,0 43,2,2024-09-07 09:18:51:739,508539,508539,0,0,24002875,0,3812 43,3,2024-09-07 09:18:51:750,1,403,1,0,548,5437,403,0 44,0,2024-09-07 09:18:50:867,106035,0.4,106432,0.6,213174,0.4,283591,2.00 44,1,2024-09-07 09:18:50:563,709708,709708,0,0,333053489604,3446923266,703234,5156,1318,356,391809,0 44,2,2024-09-07 09:18:51:278,509183,509183,0,0,20144762,0,4344 44,3,2024-09-07 09:18:51:099,1,403,18,0,817,4950,403,0 45,0,2024-09-07 09:18:51:764,99938,0.5,97589,0.7,204719,0.5,269673,2.00 45,1,2024-09-07 09:18:51:005,708905,708905,0,0,332848360196,3461525525,703256,5076,573,382,391917,0 45,2,2024-09-07 09:18:51:282,513273,513273,0,0,20596914,0,3596 45,3,2024-09-07 09:18:50:943,1,403,0,0,271,3617,403,0 46,0,2024-09-07 09:18:50:952,98001,0.5,97972,0.7,196306,0.5,260216,2.00 46,1,2024-09-07 09:18:50:575,710058,710058,0,0,333737683453,3449654222,705525,3942,591,366,391709,0 46,2,2024-09-07 09:18:50:593,507432,507432,0,0,20456745,0,4443 46,3,2024-09-07 09:18:51:137,1,403,4,0,908,5361,403,0 47,0,2024-09-07 09:18:51:110,98328,0.4,98592,0.6,197529,0.4,261333,2.00 47,1,2024-09-07 09:18:50:567,710762,710762,0,0,333205276028,3446656932,705933,3992,837,366,391641,0 47,2,2024-09-07 09:18:50:910,510660,510660,0,0,19956236,0,4477 47,3,2024-09-07 09:18:51:118,1,403,1,0,600,5155,403,0 48,0,2024-09-07 09:18:51:502,104467,0.3,104244,0.5,207820,0.2,277763,1.75 48,1,2024-09-07 09:18:51:032,709073,709073,0,0,332684859493,3457872818,704482,4135,456,384,391710,0 48,2,2024-09-07 09:18:50:710,509702,509702,0,0,18902007,0,3411 48,3,2024-09-07 09:18:50:753,1,403,9,0,339,3370,403,0 49,0,2024-09-07 09:18:51:710,105181,0.4,103366,0.5,200159,0.3,274471,1.75 49,1,2024-09-07 09:18:51:032,708836,708836,0,0,332087752212,3458378472,703688,3943,1205,382,391809,0 49,2,2024-09-07 09:18:51:798,513758,513758,0,0,21052283,0,4426 49,3,2024-09-07 09:18:51:428,1,403,21,0,992,4983,403,0 50,0,2024-09-07 09:18:51:509,98668,0.3,97093,0.4,196016,0.2,261017,1.75 50,1,2024-09-07 09:18:51:014,711195,711195,0,0,334187167349,3457909298,706290,4331,574,368,391565,0 50,2,2024-09-07 09:18:51:072,507321,507321,0,0,19083358,0,4490 50,3,2024-09-07 09:18:51:301,1,403,5,0,567,4144,403,0 51,0,2024-09-07 09:18:51:693,99866,0.3,97701,0.5,190496,0.2,259243,1.75 51,1,2024-09-07 09:18:51:683,710844,710844,0,0,334427059577,3451460000,706894,2901,1049,365,391706,0 51,2,2024-09-07 09:18:51:318,508662,508662,0,0,18047039,0,3337 51,3,2024-09-07 09:18:51:031,1,403,10,0,678,3399,403,0 52,0,2024-09-07 09:18:51:425,102601,0.5,102486,0.7,205289,0.5,273038,2.00 52,1,2024-09-07 09:18:50:579,707637,707637,0,0,331804935516,3475691384,697312,8972,1353,368,391722,0 52,2,2024-09-07 09:18:51:757,506138,506100,38,0,24444932,0,6742 52,3,2024-09-07 09:18:50:678,1,403,2,0,1782,5772,403,0 53,0,2024-09-07 09:18:51:729,103444,0.7,100465,0.8,210374,0.8,275798,2.50 53,1,2024-09-07 09:18:50:787,706464,706464,0,0,331502477642,3482240217,694563,8943,2958,367,391702,0 53,2,2024-09-07 09:18:51:312,512703,512702,1,0,22164870,0,5455 53,3,2024-09-07 09:18:50:709,1,403,3,0,308,3707,403,0 54,0,2024-09-07 09:18:51:614,98116,0.6,98430,0.8,196183,0.4,261900,2.25 54,1,2024-09-07 09:18:50:580,707823,707823,0,0,332299831623,3457677968,700554,6030,1239,366,391659,0 54,2,2024-09-07 09:18:50:873,509431,509399,32,0,25221872,0,6397 54,3,2024-09-07 09:18:50:763,1,403,1,0,676,6085,403,0 55,0,2024-09-07 09:18:51:764,95760,0.6,98927,0.7,200016,0.5,260612,2.25 55,1,2024-09-07 09:18:50:765,708792,708792,0,0,332139701350,3455386002,701163,6537,1092,365,391731,0 55,2,2024-09-07 09:18:50:728,506761,506705,56,0,23904412,0,7239 55,3,2024-09-07 09:18:50:678,1,403,1,0,304,4285,403,0 56,0,2024-09-07 09:18:51:569,102559,1.3,96733,1.2,199256,1.9,267148,2.75 56,1,2024-09-07 09:18:50:570,704001,704001,0,0,331359897130,3503352275,692535,9093,2373,381,391867,0 56,2,2024-09-07 09:18:51:303,508422,508422,0,0,23654186,0,3567 56,3,2024-09-07 09:18:51:078,1,403,14,0,705,5032,403,0 57,0,2024-09-07 09:18:50:953,104719,1.8,104451,1.3,209648,2.5,280590,3.50 57,1,2024-09-07 09:18:50:986,707143,707143,0,0,331377000711,3476225815,698597,7463,1083,366,392032,0 57,2,2024-09-07 09:18:51:318,510593,510593,0,0,25657209,0,3317 57,3,2024-09-07 09:18:51:738,1,403,1,0,455,4856,403,0 58,0,2024-09-07 09:18:50:555,99399,0.9,96580,1.0,202159,1.0,265055,2.50 58,1,2024-09-07 09:18:50:576,707242,707239,0,3,332949768121,3484039470,697422,8529,1288,367,391603,3 58,2,2024-09-07 09:18:51:079,511005,511005,0,0,23947910,0,2902 58,3,2024-09-07 09:18:51:069,1,403,197,0,1043,4529,403,0 59,0,2024-09-07 09:18:51:744,98683,0.7,98355,0.9,196293,0.8,261012,2.50 59,1,2024-09-07 09:18:50:816,706859,706859,0,0,331996336451,3480403682,697028,8120,1711,369,391653,0 59,2,2024-09-07 09:18:50:582,506958,506958,0,0,23653550,0,3727 59,3,2024-09-07 09:18:51:737,1,403,1,0,1015,5545,403,0 60,0,2024-09-07 09:18:51:705,98752,0.5,98663,0.7,197997,0.5,263542,1.75 60,1,2024-09-07 09:18:50:782,709103,709103,0,0,333016333303,3458381238,704202,4184,717,370,392031,0 60,2,2024-09-07 09:18:51:141,508934,508934,0,0,22162166,0,3811 60,3,2024-09-07 09:18:51:261,1,403,9,0,409,4876,403,0 61,0,2024-09-07 09:18:51:505,104633,0.6,105231,0.8,209646,0.6,279521,2.00 61,1,2024-09-07 09:18:50:780,707674,707674,0,0,332230846078,3482918176,699648,6628,1398,382,392127,0 61,2,2024-09-07 09:18:51:120,509522,509455,67,0,22564137,0,6411 61,3,2024-09-07 09:18:51:693,1,403,3,0,479,5676,403,0 62,0,2024-09-07 09:18:51:708,102720,0.6,105152,0.7,200722,0.5,272827,2.00 62,1,2024-09-07 09:18:51:111,711922,711916,0,6,333682207341,3443453150,708105,3550,261,365,391975,6 62,2,2024-09-07 09:18:51:655,511667,511666,1,0,22752243,0,5555 62,3,2024-09-07 09:18:51:143,1,403,1,0,482,3325,403,0 63,0,2024-09-07 09:18:51:451,98691,0.4,98578,0.6,197675,0.3,262450,1.75 63,1,2024-09-07 09:18:50:804,709473,709467,0,6,333317432070,3463691885,704501,4233,733,381,391800,6 63,2,2024-09-07 09:18:50:763,507099,507099,0,0,20478999,0,4369 63,3,2024-09-07 09:18:51:736,1,403,1,0,667,4204,403,0 64,0,2024-09-07 09:18:51:563,97000,0.5,96941,0.7,193645,0.5,257822,2.00 64,1,2024-09-07 09:18:50:761,708717,708717,0,0,331651726939,3458358492,702170,4844,1703,370,391783,0 64,2,2024-09-07 09:18:51:142,511646,511627,19,0,20219507,0,6121 64,3,2024-09-07 09:18:51:140,1,403,8,0,265,3783,403,0 65,0,2024-09-07 09:18:51:694,102014,0.7,102471,0.8,204243,0.8,273139,2.25 65,1,2024-09-07 09:18:50:874,707196,707196,0,0,331564485257,3468263351,702089,4567,540,382,391901,0 65,2,2024-09-07 09:18:51:699,510315,510315,0,0,23653802,0,3367 65,3,2024-09-07 09:18:51:684,1,403,8,0,782,4807,403,0 66,0,2024-09-07 09:18:51:775,103306,0.6,103304,0.8,206412,0.5,274600,2.25 66,1,2024-09-07 09:18:51:355,708752,708752,0,0,331854146410,3458307347,703698,4637,417,380,391743,0 66,2,2024-09-07 09:18:51:132,514702,514702,0,0,20722027,0,4956 66,3,2024-09-07 09:18:51:081,1,403,1,0,291,3655,403,0 67,0,2024-09-07 09:18:51:415,98564,0.5,98240,0.6,197063,0.4,261886,2.00 67,1,2024-09-07 09:18:50:770,708938,708937,0,1,332093910389,3460911701,703808,4338,791,380,391787,1 67,2,2024-09-07 09:18:50:585,510128,510128,0,0,19672057,0,3622 67,3,2024-09-07 09:18:51:750,1,403,2,0,392,4010,403,0 68,0,2024-09-07 09:18:50:591,98349,0.5,98144,0.7,195811,0.5,261020,2.00 68,1,2024-09-07 09:18:50:578,705552,705552,0,0,331121448020,3487230528,695510,7097,2945,381,391953,0 68,2,2024-09-07 09:18:51:055,505967,505867,100,0,26968179,0,8578 68,3,2024-09-07 09:18:50:734,1,403,7,0,417,4358,403,0 69,0,2024-09-07 09:18:51:781,101077,0.8,101676,0.9,202289,0.9,268949,2.25 69,1,2024-09-07 09:18:51:027,705601,705601,0,0,330961550231,3492644438,695906,7426,2269,384,391994,0 69,2,2024-09-07 09:18:51:753,508022,507993,29,0,28302184,0,6912 69,3,2024-09-07 09:18:50:767,1,403,9,0,698,5924,403,0 70,0,2024-09-07 09:18:51:532,104192,1.3,104318,1.1,209854,1.1,277721,2.75 70,1,2024-09-07 09:18:50:801,708668,708668,0,0,332566340616,3454991388,702698,5309,661,366,391725,0 70,2,2024-09-07 09:18:51:326,511130,511130,0,0,23834736,0,4323 70,3,2024-09-07 09:18:50:752,1,403,29,0,854,4762,403,0 71,0,2024-09-07 09:18:51:391,99232,0.8,98873,1.0,198566,1.0,265805,2.75 71,1,2024-09-07 09:18:51:600,707875,707875,0,0,332066624793,3467046016,699591,7484,800,368,391738,0 71,2,2024-09-07 09:18:51:067,510171,510171,0,0,23792661,0,4352 71,3,2024-09-07 09:18:51:749,1,403,1,0,644,5226,403,0 72,0,2024-09-07 09:18:51:072,102326,0.5,100046,0.7,195194,0.5,265435,2.00 72,1,2024-09-07 09:18:51:036,706856,706856,0,0,331373805008,3480458364,696115,8732,2009,369,391819,0 72,2,2024-09-07 09:18:51:768,506362,506362,0,0,25655977,0,3983 72,3,2024-09-07 09:18:51:761,1,403,11,0,564,6011,403,0 73,0,2024-09-07 09:18:51:126,97418,0.5,99746,0.6,204252,0.4,265358,2.00 73,1,2024-09-07 09:18:50:769,708211,708211,0,0,332019923124,3451815149,702976,4826,409,367,391858,0 73,2,2024-09-07 09:18:51:739,508280,508280,0,0,25007348,0,3701 73,3,2024-09-07 09:18:50:970,1,403,9,0,486,5578,403,0 74,0,2024-09-07 09:18:51:335,107046,0.5,109308,0.7,208475,0.5,283988,2.25 74,1,2024-09-07 09:18:50:641,707626,707626,0,0,331572107154,3465320441,699729,6302,1595,381,391762,0 74,2,2024-09-07 09:18:51:002,509405,509405,0,0,23285132,0,4253 74,3,2024-09-07 09:18:51:442,1,403,3,0,522,5474,403,0 75,0,2024-09-07 09:18:51:764,101594,0.5,101011,0.8,202718,0.5,270915,2.25 75,1,2024-09-07 09:18:51:588,707862,707862,0,0,331872748585,3464490885,701056,5978,828,380,391739,0 75,2,2024-09-07 09:18:51:372,511108,511108,0,0,25523428,0,4766 75,3,2024-09-07 09:18:51:067,1,403,6,0,702,5248,403,0 76,0,2024-09-07 09:18:50:598,98090,0.5,97363,0.7,195701,0.5,261058,2.25 76,1,2024-09-07 09:18:50:815,707692,707692,0,0,331893582946,3465221372,702328,4539,825,382,391692,0 76,2,2024-09-07 09:18:51:063,509031,509030,1,0,22397447,0,5144 76,3,2024-09-07 09:18:51:157,1,403,8,0,175,3818,403,0 77,0,2024-09-07 09:18:51:701,97696,0.6,98087,0.7,195993,0.6,260209,2.00 77,1,2024-09-07 09:18:50:839,708442,708442,0,0,332248153957,3470155909,702669,5272,501,381,391869,0 77,2,2024-09-07 09:18:51:303,508432,508432,0,0,22023615,0,3890 77,3,2024-09-07 09:18:51:106,1,403,3,0,401,4683,403,0 78,0,2024-09-07 09:18:51:723,104282,0.5,103720,0.7,208300,0.4,276417,2.00 78,1,2024-09-07 09:18:50:610,708598,708598,0,0,331182488108,3454061084,700773,6258,1567,367,391670,0 78,2,2024-09-07 09:18:51:413,510171,510158,13,0,20861620,0,8313 78,3,2024-09-07 09:18:51:133,1,403,1,0,181,3660,403,0 79,0,2024-09-07 09:18:51:345,98832,0.4,101149,0.6,207279,0.4,269259,2.25 79,1,2024-09-07 09:18:50:571,710290,710290,0,0,332720809598,3450414209,704207,4934,1149,367,391682,0 79,2,2024-09-07 09:18:51:068,514368,514368,0,0,20485177,0,4195 79,3,2024-09-07 09:18:50:750,1,403,1,0,418,5279,403,0 80,0,2024-09-07 09:18:51:094,98058,0.5,101007,0.7,193249,0.5,260493,2.00 80,1,2024-09-07 09:18:51:619,707817,707817,0,0,332954006892,3470654174,702343,5053,421,368,392269,0 80,2,2024-09-07 09:18:51:093,509218,509218,0,0,20443076,0,4433 80,3,2024-09-07 09:18:50:575,1,403,8,0,190,4790,403,0 81,0,2024-09-07 09:18:51:535,97312,0.5,99696,0.7,190194,0.5,258065,2.00 81,1,2024-09-07 09:18:51:661,707326,707326,0,0,331044039889,3461843037,701565,5255,506,382,391879,0 81,2,2024-09-07 09:18:51:169,506878,506815,63,0,22830345,0,5932 81,3,2024-09-07 09:18:51:118,1,403,0,0,719,5026,403,0 82,0,2024-09-07 09:18:51:624,101938,0.5,102251,0.8,205100,0.5,272388,2.00 82,1,2024-09-07 09:18:50:586,709367,709363,0,4,332391144240,3460816869,704670,3875,818,381,391768,4 82,2,2024-09-07 09:18:51:699,510517,510517,0,0,19486228,0,4484 82,3,2024-09-07 09:18:51:756,1,403,1,0,363,4518,403,0 83,0,2024-09-07 09:18:51:527,104276,0.7,104238,0.8,207502,0.8,276035,2.25 83,1,2024-09-07 09:18:50:551,707203,707203,0,0,332654380172,3471875925,701738,5015,450,382,391709,0 83,2,2024-09-07 09:18:50:764,513205,513205,0,0,19828524,0,3393 83,3,2024-09-07 09:18:50:750,1,403,0,0,1260,5405,403,0 84,0,2024-09-07 09:18:51:811,98949,0.7,99024,0.8,197449,0.6,264319,2.25 84,1,2024-09-07 09:18:51:043,706936,706936,0,0,331675377675,3468588478,699274,6621,1041,367,391967,0 84,2,2024-09-07 09:18:50:572,508200,508170,30,0,27346649,0,5971 84,3,2024-09-07 09:18:51:146,1,403,8,0,908,6178,403,0 85,0,2024-09-07 09:18:51:012,95470,0.6,95435,0.8,202646,0.6,261876,2.25 85,1,2024-09-07 09:18:50:563,705463,705463,0,0,330791936540,3494320052,694672,8874,1917,381,392006,0 85,2,2024-09-07 09:18:50:873,506719,506719,0,0,25181633,0,3656 85,3,2024-09-07 09:18:50:708,1,403,1,0,789,5117,403,0 86,0,2024-09-07 09:18:50:892,100256,0.7,103179,0.8,197399,0.7,267549,2.25 86,1,2024-09-07 09:18:50:826,707246,707246,0,0,332428677797,3484396123,698665,7143,1438,366,391961,0 86,2,2024-09-07 09:18:50:860,506938,506937,1,0,27206336,0,5004 86,3,2024-09-07 09:18:50:595,1,403,5,0,308,5972,403,0 87,0,2024-09-07 09:18:51:296,106018,1.1,105961,1.0,212067,1.4,283015,2.50 87,1,2024-09-07 09:18:50:564,705757,705757,0,0,331622660736,3480268926,695870,8297,1590,366,392076,0 87,2,2024-09-07 09:18:51:070,509133,509127,6,0,24403431,0,6323 87,3,2024-09-07 09:18:51:795,1,403,7,0,473,6210,403,0 88,0,2024-09-07 09:18:51:457,100825,0.4,101587,0.6,202184,0.4,269399,1.75 88,1,2024-09-07 09:18:50:570,705447,705447,0,0,332134423743,3481064354,696272,7243,1932,365,392084,0 88,2,2024-09-07 09:18:50:689,511781,511781,0,0,26358287,0,4465 88,3,2024-09-07 09:18:51:274,1,403,64,0,435,4306,403,0 89,0,2024-09-07 09:18:51:779,101787,0.4,98599,0.6,194979,0.4,264694,1.75 89,1,2024-09-07 09:18:50:550,705927,705927,0,0,331592331028,3488582955,696866,7637,1424,382,391866,0 89,2,2024-09-07 09:18:51:134,505879,505879,0,0,24549427,0,3173 89,3,2024-09-07 09:18:51:791,1,403,1,0,468,7405,403,0 90,0,2024-09-07 09:18:51:646,95876,0.5,98414,0.6,200814,0.4,262671,2.00 90,1,2024-09-07 09:18:50:593,706968,706968,0,0,331831855940,3476464612,700323,6136,509,380,391825,0 90,2,2024-09-07 09:18:51:419,506780,506780,0,0,25923653,0,3060 90,3,2024-09-07 09:18:50:935,1,403,2,0,322,5063,403,0 91,0,2024-09-07 09:18:50:931,105435,0.5,102121,0.6,213359,0.4,280122,1.75 91,1,2024-09-07 09:18:50:556,705920,705920,0,0,331829116309,3492454815,696686,7836,1398,381,392047,0 91,2,2024-09-07 09:18:51:331,510194,510194,0,0,23161148,0,2896 91,3,2024-09-07 09:18:50:598,1,403,18,0,216,4146,403,0 92,0,2024-09-07 09:18:51:478,102956,0.5,105570,0.6,201680,0.5,273079,1.75 92,1,2024-09-07 09:18:50:587,707774,707774,0,0,332042618544,3469428576,702293,4758,723,382,392136,0 92,2,2024-09-07 09:18:51:357,514124,514124,0,0,20837393,0,3259 92,3,2024-09-07 09:18:51:013,1,403,17,0,167,3644,403,0 93,0,2024-09-07 09:18:50:969,99124,0.4,101552,0.5,193803,0.3,262541,1.75 93,1,2024-09-07 09:18:50:865,706797,706797,0,0,332250282594,3471434807,698937,6513,1347,366,391776,0 93,2,2024-09-07 09:18:50:932,506631,506631,0,0,24904026,0,4845 93,3,2024-09-07 09:18:51:416,1,403,0,0,190,3668,403,0 94,0,2024-09-07 09:18:51:626,97100,0.4,97850,0.5,195528,0.3,259447,1.75 94,1,2024-09-07 09:18:50:563,708026,708026,0,0,332197408305,3467247519,703258,4560,208,381,391850,0 94,2,2024-09-07 09:18:50:762,507952,507952,0,0,20953324,0,2443 94,3,2024-09-07 09:18:51:699,1,403,11,0,576,5577,403,0 95,0,2024-09-07 09:18:51:362,102915,0.4,102813,0.5,206347,0.3,275330,1.75 95,1,2024-09-07 09:18:50:858,709027,709027,0,0,332313777670,3457087511,703069,5523,435,367,391786,0 95,2,2024-09-07 09:18:51:019,509550,509550,0,0,20777234,0,3308 95,3,2024-09-07 09:18:51:717,1,403,0,0,718,6058,403,0 96,0,2024-09-07 09:18:51:023,103909,0.4,104210,0.5,207977,0.4,275725,1.75 96,1,2024-09-07 09:18:51:587,707456,707456,0,0,332314170596,3470388362,701935,4641,880,384,391955,0 96,2,2024-09-07 09:18:51:278,513842,513842,0,0,21666412,0,4180 96,3,2024-09-07 09:18:51:148,1,403,11,0,411,4587,403,0 97,0,2024-09-07 09:18:51:387,98556,0.3,98257,0.5,197139,0.3,261797,1.50 97,1,2024-09-07 09:18:50:763,709061,709061,0,0,333658639397,3463653584,703938,4194,929,367,392140,0 97,2,2024-09-07 09:18:50:609,508774,508774,0,0,20744145,0,3679 97,3,2024-09-07 09:18:50:569,1,403,1,0,242,4627,403,0 98,0,2024-09-07 09:18:51:734,97889,0.3,97955,0.4,196684,0.2,260740,1.50 98,1,2024-09-07 09:18:50:570,707908,707908,0,0,332082264719,3462619046,703120,4008,780,382,391997,0 98,2,2024-09-07 09:18:50:779,508275,508275,0,0,21084539,0,4336 98,3,2024-09-07 09:18:50:712,1,403,11,0,840,6559,403,0 99,0,2024-09-07 09:18:51:493,101478,0.3,102209,0.5,203172,0.3,271418,1.75 99,1,2024-09-07 09:18:51:745,708365,708365,0,0,332222478992,3460257954,703519,4012,834,381,392069,0 99,2,2024-09-07 09:18:51:485,509907,509907,0,0,24663044,0,4276 99,3,2024-09-07 09:18:50:587,1,403,11,0,606,4570,403,0 100,0,2024-09-07 09:18:51:468,105103,0.9,105203,1.0,209753,1.1,280321,2.50 100,1,2024-09-07 09:18:50:549,704935,704935,0,0,330338156244,3493304127,694244,8648,2043,381,391989,0 100,2,2024-09-07 09:18:51:821,509863,509852,11,0,24420898,0,5417 100,3,2024-09-07 09:18:51:742,1,403,8,0,559,6781,403,0 101,0,2024-09-07 09:18:51:702,102246,0.9,99467,1.0,195467,0.8,267709,2.25 101,1,2024-09-07 09:18:50:553,705196,705196,0,0,331037617559,3486649367,694542,8534,2120,368,391847,0 101,2,2024-09-07 09:18:51:760,507158,507158,0,0,29121827,0,4871 101,3,2024-09-07 09:18:50:944,1,403,3,0,579,5809,403,0 102,0,2024-09-07 09:18:50:945,96564,0.6,99568,0.8,201755,0.6,264389,2.00 102,1,2024-09-07 09:18:51:143,705447,705447,0,0,331088524162,3483119252,695615,8072,1760,369,391891,0 102,2,2024-09-07 09:18:51:737,507554,507500,54,0,23362782,0,6768 102,3,2024-09-07 09:18:51:613,1,403,2,0,466,4584,403,0 103,0,2024-09-07 09:18:51:632,103138,0.6,103174,0.7,194398,0.6,267453,2.00 103,1,2024-09-07 09:18:51:631,704274,704274,0,0,331518363690,3504933037,692352,8857,3065,381,391829,0 103,2,2024-09-07 09:18:50:584,506780,506780,0,0,25735002,0,3766 103,3,2024-09-07 09:18:50:756,1,403,1,0,916,4573,403,0 104,0,2024-09-07 09:18:51:047,105118,0.7,105664,0.9,209535,0.7,281907,2.25 104,1,2024-09-07 09:18:51:599,706333,706333,0,0,331295966944,3482927930,695846,8681,1806,365,392168,0 104,2,2024-09-07 09:18:51:666,508947,508947,0,0,24941664,0,3941 104,3,2024-09-07 09:18:51:434,1,403,7,0,1245,8293,403,0 105,0,2024-09-07 09:18:51:031,100085,0.9,97384,1.1,203956,1.0,268897,2.75 105,1,2024-09-07 09:18:50:554,707261,707261,0,0,331996657476,3482285805,697856,7984,1421,366,392009,0 105,2,2024-09-07 09:18:51:339,511769,511769,0,0,24830801,0,3509 105,3,2024-09-07 09:18:51:315,1,403,2,0,399,6365,403,0 106,0,2024-09-07 09:18:50:958,95152,0.7,97523,0.9,199622,0.7,260915,2.50 106,1,2024-09-07 09:18:51:751,705972,705972,0,0,331109472190,3481562233,694967,9561,1444,369,391914,0 106,2,2024-09-07 09:18:50:764,506107,506107,0,0,23795250,0,2920 106,3,2024-09-07 09:18:50:687,1,403,20,0,470,5331,403,0 107,0,2024-09-07 09:18:51:106,97898,1.0,98070,0.9,195744,1.3,261264,2.25 107,1,2024-09-07 09:18:50:590,705663,705663,0,0,330773679285,3487912610,695961,8670,1032,381,392234,0 107,2,2024-09-07 09:18:51:295,506885,506884,1,0,24948383,0,5024 107,3,2024-09-07 09:18:51:757,1,403,6,0,370,6391,403,0 108,0,2024-09-07 09:18:51:937,103697,0.5,104468,0.6,207626,0.5,277439,1.75 108,1,2024-09-07 09:18:51:302,707832,707832,0,0,332515062479,3469401738,701851,5242,739,368,391857,0 108,2,2024-09-07 09:18:51:780,508754,508754,0,0,23502346,0,4246 108,3,2024-09-07 09:18:51:330,1,403,10,0,749,7756,403,0 109,0,2024-09-07 09:18:51:853,103155,0.4,102273,0.6,204428,0.4,273476,1.75 109,1,2024-09-07 09:18:50:585,705663,705663,0,0,331831842393,3484418233,698763,5785,1115,382,392132,0 109,2,2024-09-07 09:18:50:925,511197,511197,0,0,23134641,0,3617 109,3,2024-09-07 09:18:51:141,1,403,2,0,379,4793,403,0 110,0,2024-09-07 09:18:51:755,97971,0.4,95436,0.6,200075,0.3,261330,1.75 110,1,2024-09-07 09:18:51:654,708943,708943,0,0,332207803590,3451482276,703603,4164,1176,369,392045,0 110,2,2024-09-07 09:18:51:310,507819,507819,0,0,21908408,0,4067 110,3,2024-09-07 09:18:50:694,1,403,2,0,722,5802,403,0 111,0,2024-09-07 09:18:51:423,97759,0.4,96907,0.5,194485,0.3,259410,1.75 111,1,2024-09-07 09:18:51:001,709307,709307,0,0,333584566751,3461722494,705493,3459,355,380,391690,0 111,2,2024-09-07 09:18:51:118,507546,507546,0,0,21591655,0,4823 111,3,2024-09-07 09:18:50:912,1,403,1,0,379,4894,403,0 112,0,2024-09-07 09:18:50:922,102963,0.3,102624,0.4,205197,0.2,273445,1.50 112,1,2024-09-07 09:18:50:835,709350,709350,0,0,333470288971,3462645904,704703,4034,613,380,391624,0 112,2,2024-09-07 09:18:51:134,509370,509369,1,0,20762465,0,5036 112,3,2024-09-07 09:18:50:592,1,403,8,0,282,4080,403,0 113,0,2024-09-07 09:18:50:869,104258,0.3,104423,0.5,209017,0.3,278146,1.75 113,1,2024-09-07 09:18:51:699,711516,711516,0,0,332933028892,3437540581,707633,3294,589,366,391661,0 113,2,2024-09-07 09:18:51:317,514284,514284,0,0,19307186,0,3813 113,3,2024-09-07 09:18:50:690,1,403,1,0,340,4670,403,0 114,0,2024-09-07 09:18:50:877,99943,0.3,100574,0.5,199967,0.2,266928,1.75 114,1,2024-09-07 09:18:50:720,708422,708422,0,0,331833486795,3450763965,702178,4628,1616,381,391565,0 114,2,2024-09-07 09:18:50:874,509958,509957,1,0,20269933,0,5069 114,3,2024-09-07 09:18:51:284,1,403,1,0,395,3445,403,0 115,0,2024-09-07 09:18:50:564,99139,0.3,99682,0.4,198995,0.2,264400,1.50 115,1,2024-09-07 09:18:50:571,708642,708642,0,0,333137583212,3466315054,702161,5216,1265,382,391757,0 115,2,2024-09-07 09:18:51:125,509238,509238,0,0,19950567,0,4382 115,3,2024-09-07 09:18:51:002,1,403,1,0,159,2339,403,0 116,0,2024-09-07 09:18:51:705,100054,0.8,100007,0.9,200660,0.9,268684,2.25 116,1,2024-09-07 09:18:50:805,705213,705213,0,0,330856172264,3488828624,696645,6244,2324,380,392089,0 116,2,2024-09-07 09:18:51:751,508063,508063,0,0,25611620,0,4128 116,3,2024-09-07 09:18:50:912,1,403,13,0,415,4858,403,0 117,0,2024-09-07 09:18:50:995,106540,0.8,106066,0.9,212316,1.0,283375,2.00 117,1,2024-09-07 09:18:51:579,706722,706722,0,0,331579130810,3473072596,699001,6809,912,369,392033,0 117,2,2024-09-07 09:18:51:119,512903,512903,0,0,22038404,0,4303 117,3,2024-09-07 09:18:51:063,1,403,7,0,490,6404,403,0 118,0,2024-09-07 09:18:51:807,98086,0.5,100511,0.7,205272,0.5,268322,2.00 118,1,2024-09-07 09:18:50:587,706387,706387,0,0,331751907439,3495401931,694509,8880,2998,366,391907,0 118,2,2024-09-07 09:18:51:592,510987,510987,0,0,24101089,0,2842 118,3,2024-09-07 09:18:51:768,1,403,22,0,248,4775,403,0 119,0,2024-09-07 09:18:51:337,98538,0.6,99047,0.7,198028,0.5,263159,2.00 119,1,2024-09-07 09:18:50:548,706385,706385,0,0,332243535879,3480533891,697919,7353,1113,367,391780,0 119,2,2024-09-07 09:18:51:265,507683,507683,0,0,22595402,0,4174 119,3,2024-09-07 09:18:51:325,1,403,1,0,1358,8368,403,0 120,0,2024-09-07 09:18:51:556,98192,0.6,97977,0.8,196336,0.6,262777,2.25 120,1,2024-09-07 09:18:50:859,707207,707207,0,0,332129063596,3488175094,699162,7280,765,368,391961,0 120,2,2024-09-07 09:18:50:779,507719,507718,1,0,26020585,0,5281 120,3,2024-09-07 09:18:51:294,1,403,7,0,279,5085,403,0 121,0,2024-09-07 09:18:51:685,104769,1.2,104592,1.1,209973,1.7,279390,2.50 121,1,2024-09-07 09:18:51:659,707734,707734,0,0,332131188404,3475055663,701031,6247,456,367,391840,0 121,2,2024-09-07 09:18:51:125,508651,508651,0,0,25079042,0,4127 121,3,2024-09-07 09:18:50:728,1,403,14,0,269,4583,403,0 122,0,2024-09-07 09:18:51:779,101603,0.8,99159,0.9,208049,0.9,272857,2.00 122,1,2024-09-07 09:18:50:872,705698,705698,0,0,331640475938,3484235616,695416,8682,1600,366,392130,0 122,2,2024-09-07 09:18:51:320,512797,512724,73,0,27936006,0,5989 122,3,2024-09-07 09:18:50:595,1,403,2,0,512,7626,403,0 123,0,2024-09-07 09:18:50:966,98014,0.6,95757,0.7,200155,0.6,261873,2.00 123,1,2024-09-07 09:18:50:560,705617,705617,0,0,331712300519,3499061506,692351,10865,2401,369,392039,0 123,2,2024-09-07 09:18:51:019,505400,505399,1,0,23443517,0,5215 123,3,2024-09-07 09:18:51:139,1,403,2,0,478,4917,403,0 124,0,2024-09-07 09:18:50:931,100368,0.3,100313,0.5,189189,0.3,260265,1.75 124,1,2024-09-07 09:18:51:023,708811,708811,0,0,332337049268,3457433868,703545,4217,1049,367,392178,0 124,2,2024-09-07 09:18:51:018,509130,509077,53,0,21273097,0,6487 124,3,2024-09-07 09:18:50:759,1,403,9,0,490,4023,403,0 125,0,2024-09-07 09:18:51:459,103137,0.4,102831,0.6,206555,0.4,275648,1.75 125,1,2024-09-07 09:18:50:861,707107,707107,0,0,331446675729,3463372350,701354,5086,667,382,391702,0 125,2,2024-09-07 09:18:51:121,510439,510439,0,0,22017825,0,4534 125,3,2024-09-07 09:18:51:125,1,403,1,0,709,5285,403,0 126,0,2024-09-07 09:18:51:448,103763,0.5,106907,0.6,204482,0.4,276359,1.75 126,1,2024-09-07 09:18:50:551,709565,709565,0,0,333103955493,3452140053,705593,3681,291,365,391987,0 126,2,2024-09-07 09:18:50:618,514176,514176,0,0,22828470,0,4539 126,3,2024-09-07 09:18:50:912,1,403,1,0,268,5163,403,0 127,0,2024-09-07 09:18:51:650,98441,0.3,98905,0.5,197301,0.3,261814,1.75 127,1,2024-09-07 09:18:50:571,708880,708880,0,0,331763440315,3454298624,701561,6100,1219,364,392187,0 127,2,2024-09-07 09:18:50:641,507686,507686,0,0,20419826,0,3897 127,3,2024-09-07 09:18:51:281,1,403,1,0,968,4512,403,0 128,0,2024-09-07 09:18:51:541,98535,0.3,98778,0.4,197041,0.2,261186,1.50 128,1,2024-09-07 09:18:51:610,708170,708170,0,0,332893426616,3458280755,703283,4455,432,367,391838,0 128,2,2024-09-07 09:18:51:404,508897,508897,0,0,19509604,0,2915 128,3,2024-09-07 09:18:50:789,1,403,12,0,1082,6483,403,0 129,0,2024-09-07 09:18:51:057,102299,0.3,101852,0.5,204119,0.3,271640,1.75 129,1,2024-09-07 09:18:50:569,705800,705800,0,0,331178838676,3473260904,698436,5697,1667,379,391835,0 129,2,2024-09-07 09:18:50:694,509692,509692,0,0,21302947,0,4031 129,3,2024-09-07 09:18:50:690,1,403,2,0,506,5578,403,0 130,0,2024-09-07 09:18:51:728,106025,0.6,105418,0.6,212496,0.6,281757,1.75 130,1,2024-09-07 09:18:50:583,708842,708842,0,0,332180598482,3454828478,704820,3684,338,381,391825,0 130,2,2024-09-07 09:18:51:136,513237,513237,0,0,21685626,0,4067 130,3,2024-09-07 09:18:51:301,1,403,15,0,960,6647,403,0 131,0,2024-09-07 09:18:51:926,100013,0.4,100435,0.5,201966,0.3,267644,1.75 131,1,2024-09-07 09:18:51:830,708221,708221,0,0,332501506318,3476002651,702268,4905,1048,381,391865,0 131,2,2024-09-07 09:18:50:567,512965,512965,0,0,19852632,0,3979 131,3,2024-09-07 09:18:51:698,1,403,36,0,392,4475,403,0 132,0,2024-09-07 09:18:51:424,99123,0.4,100115,0.6,199151,0.4,265023,1.75 132,1,2024-09-07 09:18:50:583,705085,705085,0,0,330744597617,3488274811,693970,9012,2103,381,392097,0 132,2,2024-09-07 09:18:50:710,506942,506925,17,0,26241133,0,6451 132,3,2024-09-07 09:18:51:695,1,403,8,0,804,7327,403,0 133,0,2024-09-07 09:18:51:517,97342,0.5,99625,0.6,204339,0.4,265975,2.00 133,1,2024-09-07 09:18:50:587,705039,705039,0,0,331069699952,3493330608,694732,8794,1513,383,391914,0 133,2,2024-09-07 09:18:51:107,507340,507290,50,0,27565869,0,6861 133,3,2024-09-07 09:18:51:301,1,403,1,0,479,4159,403,0 134,0,2024-09-07 09:18:50:939,106626,0.6,106149,0.7,212623,0.6,284515,2.00 134,1,2024-09-07 09:18:50:584,705982,705982,0,0,330438280989,3470966640,696203,7745,2034,366,391718,0 134,2,2024-09-07 09:18:51:761,508513,508489,24,0,25334869,0,6207 134,3,2024-09-07 09:18:50:750,1,403,2,0,739,5226,403,0 135,0,2024-09-07 09:18:51:127,98148,0.8,98135,0.9,208220,0.9,268453,2.25 135,1,2024-09-07 09:18:51:600,706224,706224,0,0,331861256897,3495614246,696278,8576,1370,380,391805,0 135,2,2024-09-07 09:18:50:692,512995,512995,0,0,24476435,0,3981 135,3,2024-09-07 09:18:51:002,1,403,1,0,299,3440,403,0 136,0,2024-09-07 09:18:51:615,98991,0.5,99093,0.7,197208,0.5,262948,2.00 136,1,2024-09-07 09:18:51:443,706718,706718,0,0,331827711748,3482435109,698514,7277,927,382,391685,0 136,2,2024-09-07 09:18:51:137,508389,508389,0,0,23147060,0,3506 136,3,2024-09-07 09:18:51:108,1,403,16,0,637,4751,403,0 137,0,2024-09-07 09:18:50:920,101115,0.6,98362,0.7,193206,0.6,262373,2.00 137,1,2024-09-07 09:18:50:577,705853,705853,0,0,331238524485,3479459458,694504,8990,2359,366,391898,0 137,2,2024-09-07 09:18:51:706,506936,506936,0,0,26070900,0,3185 137,3,2024-09-07 09:18:50:777,1,403,15,0,484,5156,403,0 138,0,2024-09-07 09:18:51:758,103465,1.1,103482,1.0,207855,1.4,276229,2.25 138,1,2024-09-07 09:18:51:699,706607,706607,0,0,332106453708,3487452426,696378,8508,1721,368,391954,0 138,2,2024-09-07 09:18:50:586,509195,509195,0,0,23675503,0,4988 138,3,2024-09-07 09:18:50:610,1,403,2,0,1160,5375,403,0 139,0,2024-09-07 09:18:51:378,101649,1.7,101618,1.2,203737,2.4,271850,2.50 139,1,2024-09-07 09:18:50:573,703117,703117,0,0,329317478518,3504695558,688813,11002,3302,380,392058,0 139,2,2024-09-07 09:18:50:694,509296,509296,0,0,27026820,0,3097 139,3,2024-09-07 09:18:51:665,1,403,9,0,432,4907,403,0 140,0,2024-09-07 09:18:51:591,98568,0.3,97945,0.5,196717,0.2,261622,1.75 140,1,2024-09-07 09:18:51:540,710884,710884,0,0,334326610213,3449114442,707323,3120,441,365,391606,0 140,2,2024-09-07 09:18:50:689,508082,508081,1,0,20696830,0,5036 140,3,2024-09-07 09:18:50:768,1,403,19,0,297,3528,403,0 141,0,2024-09-07 09:18:51:708,97491,0.3,100135,0.5,191367,0.3,259250,1.75 141,1,2024-09-07 09:18:50:862,708971,708971,0,0,333406808407,3464157002,703606,4411,954,379,391614,0 141,2,2024-09-07 09:18:51:699,508709,508709,0,0,20410265,0,3360 141,3,2024-09-07 09:18:51:044,1,403,81,0,391,4850,403,0 142,0,2024-09-07 09:18:51:329,103010,0.3,102457,0.5,204822,0.3,274044,1.75 142,1,2024-09-07 09:18:50:597,708222,708222,0,0,332874699149,3471496814,703656,4170,396,382,392102,0 142,2,2024-09-07 09:18:51:316,508908,508876,32,0,22516882,0,6028 142,3,2024-09-07 09:18:51:748,1,403,2,0,484,4728,403,0 143,0,2024-09-07 09:18:51:373,104571,0.5,104478,0.6,209312,0.5,278125,1.75 143,1,2024-09-07 09:18:50:557,709535,709535,0,0,332981097183,3458871901,704503,4488,544,367,391705,0 143,2,2024-09-07 09:18:50:769,512972,512972,0,0,21566502,0,3123 143,3,2024-09-07 09:18:51:141,1,403,39,0,462,5199,403,0 144,0,2024-09-07 09:18:51:524,96509,0.6,99160,0.8,202226,0.5,264791,2.00 144,1,2024-09-07 09:18:50:578,705929,705929,0,0,331037418637,3475449361,698955,5621,1353,381,391649,0 144,2,2024-09-07 09:18:51:757,510256,510256,0,0,20438733,0,3673 144,3,2024-09-07 09:18:51:740,1,403,8,0,249,4084,403,0 145,0,2024-09-07 09:18:51:372,95814,0.5,95799,0.8,203340,0.5,261518,2.25 145,1,2024-09-07 09:18:50:552,705504,705504,0,0,331177046074,3487262105,695900,7942,1662,382,391759,0 145,2,2024-09-07 09:18:51:437,505883,505802,81,0,24806878,0,7814 145,3,2024-09-07 09:18:50:895,1,403,5,0,622,5943,403,0 146,0,2024-09-07 09:18:51:616,100385,0.6,99773,0.8,201339,0.6,267133,2.25 146,1,2024-09-07 09:18:51:589,707282,707282,0,0,331862542934,3488269463,696414,8749,2119,367,391770,0 146,2,2024-09-07 09:18:51:695,507408,507408,0,0,24006592,0,3290 146,3,2024-09-07 09:18:51:291,1,403,1,0,1520,7914,403,0 147,0,2024-09-07 09:18:51:752,106397,0.7,106227,0.8,211762,0.7,282967,2.25 147,1,2024-09-07 09:18:51:462,709770,709770,0,0,333515151845,3471473922,703185,5740,845,368,391791,0 147,2,2024-09-07 09:18:51:013,510402,510402,0,0,21509310,0,2968 147,3,2024-09-07 09:18:50:913,1,403,115,0,1626,7274,403,0 0,0,2024-09-07 09:19:01:716,96448,0.6,96419,0.8,204592,0.7,264577,2.00 0,1,2024-09-07 09:19:00:818,708845,708845,0,0,332846158840,3484647283,703469,4990,386,369,391896,0 0,2,2024-09-07 09:19:01:067,511024,511024,0,0,20733476,0,4480 0,3,2024-09-07 09:19:00:983,1,404,9,0,431,6172,404,0 1,0,2024-09-07 09:19:01:767,105255,1.0,104578,1.0,209867,1.2,280566,2.00 1,1,2024-09-07 09:19:00:557,708302,708302,0,0,332300487120,3479911925,702039,4943,1320,370,391857,0 1,2,2024-09-07 09:19:00:653,510203,510203,0,0,21003539,0,3380 1,3,2024-09-07 09:19:01:302,1,404,2,0,262,4857,404,0 2,0,2024-09-07 09:19:01:577,102000,0.6,102428,0.8,203832,0.7,272370,2.00 2,1,2024-09-07 09:19:00:863,710808,710808,0,0,333690584040,3465899492,707528,2985,295,380,391745,0 2,2,2024-09-07 09:19:01:266,514676,514676,0,0,20274993,0,3594 2,3,2024-09-07 09:19:00:700,1,404,12,0,357,4094,404,0 3,0,2024-09-07 09:19:01:752,98570,0.4,98537,0.6,196855,0.3,262026,2.00 3,1,2024-09-07 09:19:01:620,709262,709262,0,0,332582078273,3466379673,703052,5520,690,380,391716,0 3,2,2024-09-07 09:19:01:143,508444,508421,23,0,21147397,0,5851 3,3,2024-09-07 09:19:01:754,1,404,0,0,207,2693,404,0 4,0,2024-09-07 09:19:01:839,94865,0.4,97506,0.5,198795,0.3,260222,1.75 4,1,2024-09-07 09:19:00:638,707025,707025,0,0,331288880572,3505295607,695237,9284,2504,370,391992,0 4,2,2024-09-07 09:19:01:027,507679,507679,0,0,24076268,0,4528 4,3,2024-09-07 09:19:01:033,1,404,23,0,448,5447,404,0 5,0,2024-09-07 09:19:01:440,103191,0.5,103831,0.6,207353,0.4,275994,1.75 5,1,2024-09-07 09:19:00:781,707863,707863,0,0,332416452236,3502744956,697532,8178,2153,367,392005,0 5,2,2024-09-07 09:19:01:833,508928,508928,0,0,23719031,0,3582 5,3,2024-09-07 09:19:01:732,1,404,129,0,457,5834,404,0 6,0,2024-09-07 09:19:00:937,104066,0.5,103726,0.7,207048,0.4,276118,2.00 6,1,2024-09-07 09:19:00:749,708264,708264,0,0,332515985575,3479276818,700065,6807,1392,379,391694,0 6,2,2024-09-07 09:19:01:116,513504,513486,18,0,24278818,0,5535 6,3,2024-09-07 09:19:01:276,1,404,1,0,710,5075,404,0 7,0,2024-09-07 09:19:01:572,97854,0.5,98618,0.6,196406,0.4,261044,2.00 7,1,2024-09-07 09:19:00:853,707994,707994,0,0,332125322522,3488365438,698228,8304,1462,382,391747,0 7,2,2024-09-07 09:19:00:777,509648,509648,0,0,23405452,0,4791 7,3,2024-09-07 09:19:00:857,1,404,22,0,552,4753,404,0 8,0,2024-09-07 09:19:01:459,98354,0.4,98031,0.5,196581,0.3,261278,1.75 8,1,2024-09-07 09:19:01:024,706920,706920,0,0,332407459925,3499429693,695418,8876,2626,366,392144,0 8,2,2024-09-07 09:19:00:806,504954,504954,0,0,27339976,0,3250 8,3,2024-09-07 09:19:00:594,1,404,22,0,538,6860,404,0 9,0,2024-09-07 09:19:01:186,102115,0.4,99135,0.5,207570,0.3,272169,1.75 9,1,2024-09-07 09:19:00:568,706393,706393,0,0,331746035555,3496759516,695866,8339,2188,369,392001,0 9,2,2024-09-07 09:19:01:083,509539,509539,0,0,24376661,0,3360 9,3,2024-09-07 09:19:01:767,1,404,66,0,496,5921,404,0 10,0,2024-09-07 09:19:01:602,105385,0.4,104832,0.6,210590,0.3,279852,1.75 10,1,2024-09-07 09:19:00:600,707914,707914,0,0,332856494443,3492317058,697728,8564,1622,381,391741,0 10,2,2024-09-07 09:19:00:770,513096,513096,0,0,26807587,0,4264 10,3,2024-09-07 09:19:00:873,1,404,3,0,649,4544,404,0 11,0,2024-09-07 09:19:01:041,100171,0.5,97177,0.6,203318,0.4,268175,1.75 11,1,2024-09-07 09:19:00:572,708628,708628,0,0,333094153657,3498592482,697383,8620,2625,383,391756,0 11,2,2024-09-07 09:19:01:124,510490,510490,0,0,23766484,0,4130 11,3,2024-09-07 09:19:01:298,1,404,1,0,843,6160,404,0 12,0,2024-09-07 09:19:00:975,100190,0.4,100214,0.5,200411,0.3,266047,1.75 12,1,2024-09-07 09:19:00:950,709234,709234,0,0,332597177748,3469512625,703701,5033,500,370,391870,0 12,2,2024-09-07 09:19:01:541,509072,509072,0,0,22519917,0,3469 12,3,2024-09-07 09:19:01:067,1,404,9,0,386,6231,404,0 13,0,2024-09-07 09:19:01:330,100969,0.4,100591,0.6,200688,0.4,267554,1.75 13,1,2024-09-07 09:19:01:550,707492,707492,0,0,332220037621,3494949941,699964,5902,1626,382,391740,0 13,2,2024-09-07 09:19:00:617,511478,511478,0,0,20933401,0,3287 13,3,2024-09-07 09:19:01:772,1,404,33,0,522,6165,404,0 14,0,2024-09-07 09:19:00:566,106471,0.4,107615,0.6,212505,0.4,284044,2.00 14,1,2024-09-07 09:19:01:577,712180,712180,0,0,333867437241,3461677862,705969,5503,708,364,391673,0 14,2,2024-09-07 09:19:00:768,511678,511648,30,0,23130693,0,6104 14,3,2024-09-07 09:19:01:117,1,404,0,0,1168,4683,404,0 15,0,2024-09-07 09:19:01:561,101269,0.4,101162,0.7,202800,0.4,269895,2.00 15,1,2024-09-07 09:19:01:608,709328,709328,0,0,333562390874,3477663688,703107,5043,1178,381,391619,0 15,2,2024-09-07 09:19:01:000,515254,515254,0,0,19023166,0,3622 15,3,2024-09-07 09:19:01:404,1,404,3,0,1126,6877,404,0 16,0,2024-09-07 09:19:01:026,98757,0.5,99104,0.7,197884,0.5,262979,2.00 16,1,2024-09-07 09:19:00:563,709177,709177,0,0,332838329318,3482342704,702657,5407,1113,370,391756,0 16,2,2024-09-07 09:19:01:436,508033,508033,0,0,22541648,0,4719 16,3,2024-09-07 09:19:01:143,1,404,8,0,317,5326,404,0 17,0,2024-09-07 09:19:01:802,101158,0.6,98788,0.8,193269,0.6,262535,2.00 17,1,2024-09-07 09:19:00:568,708174,708174,0,0,332218895025,3488201303,700606,6057,1511,368,392075,0 17,2,2024-09-07 09:19:01:666,511769,511769,0,0,21973729,0,3569 17,3,2024-09-07 09:19:00:574,1,404,3,0,518,6728,404,0 18,0,2024-09-07 09:19:00:975,103401,0.6,103794,0.8,206885,0.6,276583,2.25 18,1,2024-09-07 09:19:01:638,710939,710939,0,0,333497291346,3457583203,707066,3495,378,367,391725,0 18,2,2024-09-07 09:19:01:756,512914,512914,0,0,19868325,0,3541 18,3,2024-09-07 09:19:00:906,1,404,1,0,163,3229,404,0 19,0,2024-09-07 09:19:01:543,102057,0.7,102574,0.8,203501,0.7,270738,2.25 19,1,2024-09-07 09:19:00:567,711284,711284,0,0,333845671981,3465769006,705346,5017,921,367,391777,0 19,2,2024-09-07 09:19:01:754,516439,516439,0,0,19037559,0,3988 19,3,2024-09-07 09:19:01:128,1,404,0,0,524,3418,404,0 20,0,2024-09-07 09:19:01:392,98213,0.5,98252,0.6,196240,0.4,261621,2.00 20,1,2024-09-07 09:19:00:584,708642,708642,0,0,333200234735,3487043906,702135,5707,800,369,391886,0 20,2,2024-09-07 09:19:00:937,508242,508242,0,0,22582048,0,3721 20,3,2024-09-07 09:19:00:589,1,404,10,0,414,6051,404,0 21,0,2024-09-07 09:19:01:171,97449,0.5,97467,0.6,194813,0.4,258432,2.00 21,1,2024-09-07 09:19:01:559,706481,706481,0,0,331522784394,3499227475,695652,8359,2470,368,392016,0 21,2,2024-09-07 09:19:01:082,506522,506502,20,0,27484026,0,5617 21,3,2024-09-07 09:19:01:412,1,404,4,0,713,5336,404,0 22,0,2024-09-07 09:19:01:721,102624,0.5,102981,0.7,206148,0.5,273647,2.00 22,1,2024-09-07 09:19:01:031,707903,707903,0,0,332404359235,3497297425,696784,8893,2226,382,391667,0 22,2,2024-09-07 09:19:00:766,509457,509431,26,0,22058049,0,6328 22,3,2024-09-07 09:19:01:067,1,404,38,0,228,3461,404,0 23,0,2024-09-07 09:19:01:385,103963,0.6,103423,0.7,207360,0.5,276170,2.25 23,1,2024-09-07 09:19:01:008,708735,708735,0,0,332409195992,3495666595,695755,8927,4053,365,391690,0 23,2,2024-09-07 09:19:01:094,515365,515365,0,0,21620994,0,3773 23,3,2024-09-07 09:19:01:755,1,404,0,0,720,5513,404,0 24,0,2024-09-07 09:19:00:840,100247,0.4,100046,0.5,200671,0.4,266341,1.75 24,1,2024-09-07 09:19:00:583,707924,707924,0,0,331745408622,3480616029,699971,6363,1590,367,392269,0 24,2,2024-09-07 09:19:01:253,509072,509072,0,0,25669235,0,3607 24,3,2024-09-07 09:19:01:686,1,404,10,0,468,5680,404,0 25,0,2024-09-07 09:19:01:417,101873,0.4,99350,0.6,194721,0.3,265265,1.75 25,1,2024-09-07 09:19:00:558,707414,707414,0,0,332920683736,3509677579,695783,9422,2209,371,391928,0 25,2,2024-09-07 09:19:01:610,507526,507526,0,0,26124871,0,3978 25,3,2024-09-07 09:19:01:007,1,404,1,0,532,4718,404,0 26,0,2024-09-07 09:19:01:729,100958,0.4,98487,0.6,206516,0.4,269256,1.75 26,1,2024-09-07 09:19:01:541,709102,709102,0,0,331946257359,3479583442,698614,8905,1583,380,391748,0 26,2,2024-09-07 09:19:00:865,510689,510689,0,0,26258206,0,2809 26,3,2024-09-07 09:19:01:713,1,404,1,0,796,5092,404,0 27,0,2024-09-07 09:19:01:725,106488,0.5,106678,0.7,212072,0.5,283048,2.25 27,1,2024-09-07 09:19:01:677,710987,710987,0,0,333377859517,3475805695,703802,6285,900,381,391626,0 27,2,2024-09-07 09:19:00:874,509512,509447,65,0,24301585,0,5699 27,3,2024-09-07 09:19:01:019,1,404,1,0,564,4060,404,0 28,0,2024-09-07 09:19:01:404,101506,0.4,101046,0.6,202599,0.3,270363,2.00 28,1,2024-09-07 09:19:00:798,709786,709786,0,0,333564961793,3485355235,702627,5460,1699,383,391698,0 28,2,2024-09-07 09:19:01:769,513022,513022,0,0,22155079,0,2915 28,3,2024-09-07 09:19:01:782,1,404,8,0,502,4606,404,0 29,0,2024-09-07 09:19:01:363,101850,0.3,99240,0.6,194822,0.3,265157,1.75 29,1,2024-09-07 09:19:01:567,712101,712101,0,0,334529668833,3464503035,707242,4125,734,368,391753,0 29,2,2024-09-07 09:19:00:868,508349,508349,0,0,20883737,0,4986 29,3,2024-09-07 09:19:00:968,1,404,6,0,459,4843,404,0 30,0,2024-09-07 09:19:01:462,98962,0.5,96127,0.7,201302,0.5,263820,2.00 30,1,2024-09-07 09:19:00:571,711496,711496,0,0,333855520355,3469879935,705574,5156,766,381,391672,0 30,2,2024-09-07 09:19:01:275,510652,510652,0,0,20273356,0,4192 30,3,2024-09-07 09:19:00:581,1,404,1,0,519,4041,404,0 31,0,2024-09-07 09:19:01:777,104969,0.4,105465,0.6,210449,0.4,280694,2.00 31,1,2024-09-07 09:19:00:567,713840,713840,0,0,335315814657,3439634566,710826,2428,586,356,391712,0 31,2,2024-09-07 09:19:01:275,510702,510702,0,0,22063302,0,3525 31,3,2024-09-07 09:19:01:707,1,404,1,0,220,3573,404,0 32,0,2024-09-07 09:19:01:444,102574,0.3,103158,0.5,205457,0.3,273943,1.75 32,1,2024-09-07 09:19:00:805,710815,710815,0,0,333889702496,3475568316,705707,4428,680,381,391646,0 32,2,2024-09-07 09:19:00:949,515393,515393,0,0,19766925,0,3922 32,3,2024-09-07 09:19:01:015,1,404,11,0,304,3292,404,0 33,0,2024-09-07 09:19:01:499,98766,0.3,98345,0.4,197264,0.2,262512,1.50 33,1,2024-09-07 09:19:00:583,711911,711911,0,0,334210497904,3469201423,705478,5330,1103,369,391730,0 33,2,2024-09-07 09:19:00:773,509358,509323,35,0,21840997,0,7012 33,3,2024-09-07 09:19:00:901,1,404,1,0,329,4110,404,0 34,0,2024-09-07 09:19:00:931,97918,0.3,100724,0.4,192908,0.2,259581,1.75 34,1,2024-09-07 09:19:01:045,712722,712722,0,0,334343335771,3440103780,710987,1724,11,367,391562,0 34,2,2024-09-07 09:19:00:789,510040,510040,0,0,20490230,0,4562 34,3,2024-09-07 09:19:01:693,1,404,1,0,299,3162,404,0 35,0,2024-09-07 09:19:00:863,102830,0.4,103307,0.5,207542,0.3,276674,1.75 35,1,2024-09-07 09:19:01:069,711158,711158,0,0,333327375282,3457170552,706664,3638,856,382,391769,0 35,2,2024-09-07 09:19:01:587,511046,511046,0,0,21860972,0,4055 35,3,2024-09-07 09:19:00:910,1,404,1,0,418,4476,404,0 36,0,2024-09-07 09:19:01:528,104143,0.5,104026,0.7,207953,0.5,276420,2.25 36,1,2024-09-07 09:19:00:588,709427,709427,0,0,333675873749,3488940261,699781,7646,2000,366,391759,0 36,2,2024-09-07 09:19:01:754,514728,514728,0,0,23280722,0,3875 36,3,2024-09-07 09:19:00:864,1,404,8,0,416,6015,404,0 37,0,2024-09-07 09:19:01:408,98080,0.4,98107,0.6,196443,0.4,261466,2.00 37,1,2024-09-07 09:19:00:569,709404,709397,0,7,331832742132,3469278919,700778,6346,2273,365,391570,0 37,2,2024-09-07 09:19:01:150,507202,507187,15,0,23122725,0,5815 37,3,2024-09-07 09:19:01:774,1,404,10,0,888,6284,404,0 38,0,2024-09-07 09:19:01:448,97619,0.5,94719,0.6,198108,0.4,258902,2.00 38,1,2024-09-07 09:19:01:605,710385,710385,0,0,333164182845,3486005414,699850,8374,2161,368,391821,0 38,2,2024-09-07 09:19:00:789,509042,508995,47,0,22690807,0,6710 38,3,2024-09-07 09:19:01:005,1,404,1,0,689,5422,404,0 39,0,2024-09-07 09:19:01:766,104136,0.6,101985,0.7,198476,0.6,271252,2.00 39,1,2024-09-07 09:19:00:721,708555,708555,0,0,332173451377,3487676199,696203,9662,2690,365,391658,0 39,2,2024-09-07 09:19:01:421,510946,510946,0,0,21680673,0,3391 39,3,2024-09-07 09:19:00:714,1,404,1,0,324,4837,404,0 40,0,2024-09-07 09:19:01:515,104184,0.9,104917,1.0,209009,1.0,278590,2.75 40,1,2024-09-07 09:19:00:576,709428,709428,0,0,332287516165,3484419298,698643,8742,2043,368,391668,0 40,2,2024-09-07 09:19:01:311,512155,512154,1,0,25268925,0,5137 40,3,2024-09-07 09:19:01:143,1,404,8,0,1028,5713,404,0 41,0,2024-09-07 09:19:01:031,99707,1.4,101995,1.2,194687,2.2,264879,3.25 41,1,2024-09-07 09:19:00:775,708233,708233,0,0,333005183944,3486806821,699181,8052,1000,369,391742,0 41,2,2024-09-07 09:19:00:763,509492,509492,0,0,24264564,0,4277 41,3,2024-09-07 09:19:01:676,1,404,2,0,366,4192,404,0 42,0,2024-09-07 09:19:01:500,98788,0.8,98814,1.0,197735,0.9,261957,2.75 42,1,2024-09-07 09:19:01:445,706701,706701,0,0,331089015523,3483459184,695060,9713,1928,380,391675,0 42,2,2024-09-07 09:19:01:135,507814,507814,0,0,24276623,0,3790 42,3,2024-09-07 09:19:01:018,1,404,4,0,892,4217,404,0 43,0,2024-09-07 09:19:00:942,99032,0.8,96424,1.0,202033,0.9,264967,2.50 43,1,2024-09-07 09:19:00:580,709529,709529,0,0,333298533877,3486612257,699204,8587,1738,366,391696,0 43,2,2024-09-07 09:19:01:735,510076,510076,0,0,24095509,0,3812 43,3,2024-09-07 09:19:01:754,1,404,45,0,548,5482,404,0 44,0,2024-09-07 09:19:00:869,106587,0.5,106922,0.7,214128,0.4,284779,2.00 44,1,2024-09-07 09:19:00:563,711371,711371,0,0,333874018500,3455444613,704897,5156,1318,356,391809,0 44,2,2024-09-07 09:19:01:273,510221,510221,0,0,20199794,0,4344 44,3,2024-09-07 09:19:01:112,1,404,12,0,817,4962,404,0 45,0,2024-09-07 09:19:01:769,100246,0.5,97914,0.7,205365,0.5,270521,2.00 45,1,2024-09-07 09:19:01:008,710603,710603,0,0,333324658987,3466671630,704954,5076,573,382,391917,0 45,2,2024-09-07 09:19:01:288,514253,514253,0,0,20653836,0,3596 45,3,2024-09-07 09:19:00:948,1,404,0,0,271,3617,404,0 46,0,2024-09-07 09:19:00:974,98157,0.5,98133,0.7,196640,0.4,260613,2.00 46,1,2024-09-07 09:19:00:577,711797,711797,0,0,334574757371,3458322521,707264,3942,591,366,391709,0 46,2,2024-09-07 09:19:00:599,508885,508885,0,0,20591159,0,4443 46,3,2024-09-07 09:19:01:137,1,404,8,0,908,5369,404,0 47,0,2024-09-07 09:19:01:117,98579,0.4,98809,0.6,198016,0.4,261962,2.00 47,1,2024-09-07 09:19:00:567,712424,712424,0,0,333985700213,3455047539,707572,4015,837,366,391641,0 47,2,2024-09-07 09:19:00:912,512001,512001,0,0,20018906,0,4477 47,3,2024-09-07 09:19:01:128,1,404,3,0,600,5158,404,0 48,0,2024-09-07 09:19:01:515,104870,0.3,104597,0.5,208587,0.2,278795,1.75 48,1,2024-09-07 09:19:01:025,710826,710826,0,0,333514315606,3466544631,706235,4135,456,384,391710,0 48,2,2024-09-07 09:19:00:699,510815,510815,0,0,19019154,0,3411 48,3,2024-09-07 09:19:00:755,1,404,13,0,339,3383,404,0 49,0,2024-09-07 09:19:01:733,105343,0.4,103547,0.5,200500,0.3,274937,1.75 49,1,2024-09-07 09:19:01:022,710619,710619,0,0,332828400939,3466154760,705471,3943,1205,382,391809,0 49,2,2024-09-07 09:19:01:805,515028,515028,0,0,21127574,0,4426 49,3,2024-09-07 09:19:01:420,1,404,37,0,992,5020,404,0 50,0,2024-09-07 09:19:01:514,98781,0.3,97188,0.4,196217,0.2,261281,1.75 50,1,2024-09-07 09:19:01:016,712895,712895,0,0,334830414473,3464491831,707990,4331,574,368,391565,0 50,2,2024-09-07 09:19:01:067,508512,508512,0,0,19162918,0,4490 50,3,2024-09-07 09:19:01:292,1,404,33,0,567,4177,404,0 51,0,2024-09-07 09:19:01:684,99986,0.3,97811,0.5,190743,0.2,259629,1.75 51,1,2024-09-07 09:19:01:680,712605,712605,0,0,335105146545,3458444762,708655,2901,1049,365,391706,0 51,2,2024-09-07 09:19:01:321,509944,509944,0,0,18174056,0,3337 51,3,2024-09-07 09:19:01:029,1,404,1,0,678,3400,404,0 52,0,2024-09-07 09:19:01:416,103110,0.5,102945,0.7,206326,0.5,274395,2.00 52,1,2024-09-07 09:19:00:575,709406,709406,0,0,332788121388,3485740171,699081,8972,1353,368,391722,0 52,2,2024-09-07 09:19:01:755,507630,507592,38,0,24514399,0,6742 52,3,2024-09-07 09:19:00:678,1,404,10,0,1782,5782,404,0 53,0,2024-09-07 09:19:01:747,103557,0.7,100577,0.8,210605,0.8,276075,2.50 53,1,2024-09-07 09:19:00:779,708165,708165,0,0,332204605573,3489446324,696263,8943,2959,367,391702,0 53,2,2024-09-07 09:19:01:313,514135,514134,1,0,22245908,0,5455 53,3,2024-09-07 09:19:00:697,1,404,0,0,308,3707,404,0 54,0,2024-09-07 09:19:01:619,98198,0.6,98526,0.8,196363,0.4,262156,2.25 54,1,2024-09-07 09:19:00:582,709605,709605,0,0,333167950585,3466535400,702336,6030,1239,366,391659,0 54,2,2024-09-07 09:19:00:868,510142,510110,32,0,25240321,0,6397 54,3,2024-09-07 09:19:00:767,1,404,0,0,676,6085,404,0 55,0,2024-09-07 09:19:01:765,95949,0.6,99131,0.7,200426,0.5,261049,2.25 55,1,2024-09-07 09:19:00:766,710595,710595,0,0,332761206324,3461868413,702966,6537,1092,365,391731,0 55,2,2024-09-07 09:19:00:728,508319,508263,56,0,23950459,0,7239 55,3,2024-09-07 09:19:00:678,1,404,2,0,304,4287,404,0 56,0,2024-09-07 09:19:01:642,102979,1.4,97095,1.2,200062,2.0,268163,3.00 56,1,2024-09-07 09:19:00:591,705731,705731,0,0,332061979313,3510764451,694265,9093,2373,381,391867,0 56,2,2024-09-07 09:19:01:305,509993,509993,0,0,23792203,0,3567 56,3,2024-09-07 09:19:01:059,1,404,17,0,705,5049,404,0 57,0,2024-09-07 09:19:00:953,104843,1.8,104571,1.3,209891,2.5,280825,3.50 57,1,2024-09-07 09:19:00:995,708885,708885,0,0,332295200535,3485980073,700310,7491,1084,366,392032,0 57,2,2024-09-07 09:19:01:334,511962,511962,0,0,25765885,0,3317 57,3,2024-09-07 09:19:01:751,1,404,15,0,455,4871,404,0 58,0,2024-09-07 09:19:00:574,99661,0.9,96889,1.0,202759,1.0,265758,2.50 58,1,2024-09-07 09:19:00:585,709033,709030,0,3,333687266073,3491748833,699213,8529,1288,367,391603,3 58,2,2024-09-07 09:19:01:082,511991,511991,0,0,23991477,0,2902 58,3,2024-09-07 09:19:01:069,1,404,1,0,1043,4530,404,0 59,0,2024-09-07 09:19:01:789,98887,0.7,98609,0.9,196734,0.8,261605,2.50 59,1,2024-09-07 09:19:00:814,708124,708124,0,0,332738212813,3488292059,698247,8165,1712,369,391653,0 59,2,2024-09-07 09:19:00:583,508490,508490,0,0,23754647,0,3727 59,3,2024-09-07 09:19:01:742,1,404,1,0,1015,5546,404,0 60,0,2024-09-07 09:19:01:764,99238,0.5,99144,0.7,198900,0.5,264822,2.00 60,1,2024-09-07 09:19:00:785,710731,710731,0,0,333740378244,3466549067,705725,4289,717,370,392031,0 60,2,2024-09-07 09:19:01:148,510238,510238,0,0,22242953,0,3811 60,3,2024-09-07 09:19:01:266,1,404,13,0,409,4889,404,0 61,0,2024-09-07 09:19:01:541,104914,0.6,105506,0.8,210199,0.6,280203,2.00 61,1,2024-09-07 09:19:00:793,709402,709402,0,0,333278159632,3494796017,701139,6834,1429,382,392127,0 61,2,2024-09-07 09:19:01:148,510595,510528,67,0,22643125,0,6411 61,3,2024-09-07 09:19:01:687,1,404,3,0,479,5679,404,0 62,0,2024-09-07 09:19:01:754,103050,0.5,105471,0.7,201428,0.5,273755,2.00 62,1,2024-09-07 09:19:01:122,713592,713586,0,6,334684684642,3453789008,709775,3550,261,365,391975,6 62,2,2024-09-07 09:19:01:648,512939,512938,1,0,22861071,0,5555 62,3,2024-09-07 09:19:01:143,1,404,168,0,482,3493,404,0 63,0,2024-09-07 09:19:01:473,98865,0.4,98719,0.6,197984,0.3,262941,1.75 63,1,2024-09-07 09:19:00:805,711327,711321,0,6,333972154333,3470646324,706355,4233,733,381,391800,6 63,2,2024-09-07 09:19:00:766,508386,508386,0,0,20563667,0,4369 63,3,2024-09-07 09:19:01:732,1,404,128,0,667,4332,404,0 64,0,2024-09-07 09:19:01:545,97323,0.5,97297,0.7,194317,0.5,258713,2.00 64,1,2024-09-07 09:19:00:760,710492,710492,0,0,332780665439,3470462862,703916,4873,1703,370,391783,0 64,2,2024-09-07 09:19:01:153,512981,512962,19,0,20329026,0,6121 64,3,2024-09-07 09:19:01:145,1,404,11,0,265,3794,404,0 65,0,2024-09-07 09:19:01:738,102446,0.7,102930,0.8,205141,0.8,274283,2.25 65,1,2024-09-07 09:19:00:863,708943,708943,0,0,332478434928,3477697503,703836,4567,540,382,391901,0 65,2,2024-09-07 09:19:01:704,511608,511608,0,0,23762029,0,3367 65,3,2024-09-07 09:19:01:683,1,404,6,0,782,4813,404,0 66,0,2024-09-07 09:19:01:764,103438,0.6,103408,0.8,206664,0.5,274937,2.25 66,1,2024-09-07 09:19:01:295,710528,710528,0,0,332756549941,3467940739,705464,4647,417,380,391743,0 66,2,2024-09-07 09:19:01:132,516056,516056,0,0,20779800,0,4956 66,3,2024-09-07 09:19:01:079,1,404,1,0,291,3656,404,0 67,0,2024-09-07 09:19:01:422,98697,0.5,98358,0.6,197312,0.4,262212,2.00 67,1,2024-09-07 09:19:00:776,710663,710662,0,1,332930417260,3469978763,705481,4390,791,380,391787,1 67,2,2024-09-07 09:19:00:588,511036,511036,0,0,19756507,0,3622 67,3,2024-09-07 09:19:01:755,1,404,1,0,392,4011,404,0 68,0,2024-09-07 09:19:00:590,98353,0.5,98149,0.7,195828,0.5,261020,2.00 68,1,2024-09-07 09:19:00:578,707321,707321,0,0,331871695108,3495103937,697277,7099,2945,381,391953,0 68,2,2024-09-07 09:19:01:053,507289,507189,100,0,27039984,0,8578 68,3,2024-09-07 09:19:00:729,1,404,8,0,417,4366,404,0 69,0,2024-09-07 09:19:01:817,101417,0.8,102030,0.9,202998,0.9,269904,2.25 69,1,2024-09-07 09:19:01:025,707482,707482,0,0,331770538536,3501358220,697719,7494,2269,384,391994,0 69,2,2024-09-07 09:19:01:733,509415,509386,29,0,28347538,0,6912 69,3,2024-09-07 09:19:00:765,1,404,1,0,698,5925,404,0 70,0,2024-09-07 09:19:01:538,104289,1.3,104395,1.1,210030,1.1,277954,2.75 70,1,2024-09-07 09:19:00:800,710402,710402,0,0,333479690242,3464387310,704431,5310,661,366,391725,0 70,2,2024-09-07 09:19:01:333,512520,512520,0,0,23910108,0,4323 70,3,2024-09-07 09:19:00:748,1,404,1,0,854,4763,404,0 71,0,2024-09-07 09:19:01:365,99477,0.8,99113,1.0,199018,1.0,266375,2.75 71,1,2024-09-07 09:19:01:597,709670,709670,0,0,332683934814,3473592138,701382,7488,800,368,391738,0 71,2,2024-09-07 09:19:01:069,510882,510882,0,0,23812566,0,4352 71,3,2024-09-07 09:19:01:754,1,404,3,0,644,5229,404,0 72,0,2024-09-07 09:19:01:078,102667,0.5,100372,0.7,195835,0.5,266331,2.00 72,1,2024-09-07 09:19:01:024,708523,708523,0,0,332231844623,3489504384,697782,8732,2009,369,391819,0 72,2,2024-09-07 09:19:01:756,507938,507938,0,0,25849141,0,3983 72,3,2024-09-07 09:19:01:754,1,404,35,0,564,6046,404,0 73,0,2024-09-07 09:19:01:127,97652,0.5,100016,0.7,204777,0.4,266013,2.00 73,1,2024-09-07 09:19:00:810,709945,709945,0,0,332904964639,3460999198,704710,4826,409,367,391858,0 73,2,2024-09-07 09:19:01:750,509825,509825,0,0,25153555,0,3701 73,3,2024-09-07 09:19:00:974,1,404,7,0,486,5585,404,0 74,0,2024-09-07 09:19:01:336,107551,0.5,109807,0.7,209580,0.5,285483,2.25 74,1,2024-09-07 09:19:00:642,709314,709314,0,0,332288739894,3473090652,701408,6311,1595,381,391762,0 74,2,2024-09-07 09:19:01:002,510501,510501,0,0,23338814,0,4253 74,3,2024-09-07 09:19:01:442,1,404,23,0,522,5497,404,0 75,0,2024-09-07 09:19:01:774,101916,0.5,101320,0.8,203306,0.5,271700,2.25 75,1,2024-09-07 09:19:01:587,709656,709656,0,0,332714442341,3473505010,702844,5984,828,380,391739,0 75,2,2024-09-07 09:19:01:356,512121,512121,0,0,25575593,0,4766 75,3,2024-09-07 09:19:01:069,1,404,1,0,702,5249,404,0 76,0,2024-09-07 09:19:00:589,98244,0.5,97512,0.7,196015,0.5,261469,2.25 76,1,2024-09-07 09:19:00:815,709476,709476,0,0,332625851398,3473047082,704111,4540,825,382,391692,0 76,2,2024-09-07 09:19:01:073,510584,510583,1,0,22495714,0,5144 76,3,2024-09-07 09:19:01:143,1,404,13,0,175,3831,404,0 77,0,2024-09-07 09:19:01:734,97931,0.6,98320,0.7,196421,0.6,260824,2.00 77,1,2024-09-07 09:19:00:829,710043,710043,0,0,333104477346,3479075476,704270,5272,501,381,391869,0 77,2,2024-09-07 09:19:01:281,509722,509722,0,0,22120213,0,3890 77,3,2024-09-07 09:19:01:099,1,404,41,0,401,4724,404,0 78,0,2024-09-07 09:19:01:765,104691,0.5,104062,0.7,209048,0.4,277410,2.00 78,1,2024-09-07 09:19:00:615,710237,710237,0,0,331861830211,3461231298,702412,6258,1567,367,391670,0 78,2,2024-09-07 09:19:01:405,511279,511266,13,0,20930041,0,8313 78,3,2024-09-07 09:19:01:138,1,404,14,0,181,3674,404,0 79,0,2024-09-07 09:19:01:344,98998,0.4,101324,0.6,207627,0.4,269714,2.25 79,1,2024-09-07 09:19:00:577,711916,711916,0,0,333729141545,3460998256,705832,4935,1149,367,391682,0 79,2,2024-09-07 09:19:01:070,515641,515641,0,0,20594180,0,4195 79,3,2024-09-07 09:19:00:755,1,404,1,0,418,5280,404,0 80,0,2024-09-07 09:19:01:154,98155,0.5,101107,0.7,193419,0.5,260754,2.00 80,1,2024-09-07 09:19:01:622,709563,709563,0,0,333979299163,3481414997,704084,5058,421,368,392269,0 80,2,2024-09-07 09:19:01:096,510344,510344,0,0,20497874,0,4433 80,3,2024-09-07 09:19:00:584,1,404,13,0,190,4803,404,0 81,0,2024-09-07 09:19:01:545,97431,0.5,99835,0.7,190429,0.5,258411,2.00 81,1,2024-09-07 09:19:01:651,709068,709068,0,0,331880752623,3470543060,703307,5255,506,382,391879,0 81,2,2024-09-07 09:19:01:132,508129,508066,63,0,22910501,0,5932 81,3,2024-09-07 09:19:01:127,1,404,3,0,719,5029,404,0 82,0,2024-09-07 09:19:01:529,102451,0.5,102757,0.8,206065,0.5,273725,2.00 82,1,2024-09-07 09:19:00:602,711144,711140,0,4,333135544639,3468620358,706447,3875,818,381,391768,4 82,2,2024-09-07 09:19:01:694,511952,511952,0,0,19592548,0,4484 82,3,2024-09-07 09:19:01:756,1,404,2,0,363,4520,404,0 83,0,2024-09-07 09:19:01:529,104384,0.7,104353,0.8,207711,0.7,276341,2.25 83,1,2024-09-07 09:19:00:554,709086,709086,0,0,333477301945,3480491852,703621,5015,450,382,391709,0 83,2,2024-09-07 09:19:00:764,514603,514603,0,0,19965760,0,3393 83,3,2024-09-07 09:19:00:789,1,404,1,0,1260,5406,404,0 84,0,2024-09-07 09:19:01:766,99056,0.7,99115,0.8,197688,0.6,264611,2.25 84,1,2024-09-07 09:19:01:057,708740,708740,0,0,332314247692,3475247663,701078,6621,1041,367,391967,0 84,2,2024-09-07 09:19:00:578,508922,508892,30,0,27376401,0,5971 84,3,2024-09-07 09:19:01:148,1,404,15,0,908,6193,404,0 85,0,2024-09-07 09:19:01:175,95660,0.6,95651,0.8,203057,0.5,262367,2.25 85,1,2024-09-07 09:19:00:559,707302,707302,0,0,331591960836,3502662811,696511,8874,1917,381,392006,0 85,2,2024-09-07 09:19:00:872,508100,508100,0,0,25252501,0,3656 85,3,2024-09-07 09:19:00:701,1,404,6,0,789,5123,404,0 86,0,2024-09-07 09:19:01:075,100729,0.7,103630,0.8,198259,0.7,268692,2.25 86,1,2024-09-07 09:19:00:994,708988,708988,0,0,333097675503,3491371286,700405,7145,1438,366,391961,0 86,2,2024-09-07 09:19:00:866,508414,508413,1,0,27270141,0,5004 86,3,2024-09-07 09:19:00:677,1,404,22,0,308,5994,404,0 87,0,2024-09-07 09:19:01:297,106164,1.1,106071,1.0,212302,1.4,283298,2.50 87,1,2024-09-07 09:19:00:574,707433,707433,0,0,332545862617,3489998420,697544,8299,1590,366,392076,0 87,2,2024-09-07 09:19:01:070,510370,510364,6,0,24464760,0,6323 87,3,2024-09-07 09:19:01:799,1,404,115,0,473,6325,404,0 88,0,2024-09-07 09:19:01:449,101112,0.4,101866,0.6,202760,0.4,270168,1.75 88,1,2024-09-07 09:19:00:573,706784,706784,0,0,332720437481,3487836887,697509,7341,1934,365,392084,0 88,2,2024-09-07 09:19:00:705,512775,512775,0,0,26419121,0,4465 88,3,2024-09-07 09:19:01:274,1,404,26,0,435,4332,404,0 89,0,2024-09-07 09:19:01:774,101981,0.4,98834,0.6,195427,0.4,265253,1.75 89,1,2024-09-07 09:19:00:560,707647,707647,0,0,332092439462,3494555332,698499,7724,1424,382,391866,0 89,2,2024-09-07 09:19:01:139,507409,507409,0,0,24644247,0,3173 89,3,2024-09-07 09:19:01:793,1,404,6,0,468,7411,404,0 90,0,2024-09-07 09:19:01:623,96311,0.5,98851,0.6,201765,0.4,263838,2.00 90,1,2024-09-07 09:19:00:595,708759,708759,0,0,332623242529,3484882549,702114,6136,509,380,391825,0 90,2,2024-09-07 09:19:01:406,507998,507998,0,0,26173756,0,3060 90,3,2024-09-07 09:19:00:942,1,404,3,0,322,5066,404,0 91,0,2024-09-07 09:19:00:957,105685,0.5,102361,0.6,213925,0.4,280866,1.75 91,1,2024-09-07 09:19:00:556,707711,707711,0,0,332608926787,3500564590,698477,7836,1398,381,392047,0 91,2,2024-09-07 09:19:01:333,511267,511267,0,0,23280133,0,2896 91,3,2024-09-07 09:19:00:599,1,404,4,0,216,4150,404,0 92,0,2024-09-07 09:19:01:503,103298,0.5,105940,0.6,202344,0.5,274035,1.75 92,1,2024-09-07 09:19:00:628,709372,709372,0,0,332839212665,3477944471,703887,4762,723,382,392136,0 92,2,2024-09-07 09:19:01:362,515298,515298,0,0,20951935,0,3259 92,3,2024-09-07 09:19:01:014,1,404,11,0,167,3655,404,0 93,0,2024-09-07 09:19:01:067,99301,0.4,101733,0.5,194132,0.3,263015,1.75 93,1,2024-09-07 09:19:00:821,708422,708422,0,0,333206209374,3481418071,700557,6518,1347,366,391776,0 93,2,2024-09-07 09:19:00:942,507906,507906,0,0,25023471,0,4845 93,3,2024-09-07 09:19:01:407,1,404,1,0,190,3669,404,0 94,0,2024-09-07 09:19:01:627,97465,0.4,98178,0.5,196210,0.3,260436,1.75 94,1,2024-09-07 09:19:00:603,709813,709813,0,0,332879545803,3474534307,705045,4560,208,381,391850,0 94,2,2024-09-07 09:19:00:767,509192,509192,0,0,21083387,0,2443 94,3,2024-09-07 09:19:01:690,1,404,1,0,576,5578,404,0 95,0,2024-09-07 09:19:01:371,103376,0.4,103256,0.5,207205,0.3,276521,1.75 95,1,2024-09-07 09:19:00:881,710706,710706,0,0,333100942942,3465709268,704684,5587,435,367,391786,0 95,2,2024-09-07 09:19:01:024,510781,510781,0,0,20921304,0,3308 95,3,2024-09-07 09:19:01:714,1,404,45,0,718,6103,404,0 96,0,2024-09-07 09:19:01:086,104028,0.4,104334,0.5,208203,0.4,276044,1.75 96,1,2024-09-07 09:19:01:724,709215,709215,0,0,333122650223,3479005015,703693,4641,881,384,391955,0 96,2,2024-09-07 09:19:01:270,515228,515228,0,0,21739664,0,4180 96,3,2024-09-07 09:19:01:151,1,404,9,0,411,4596,404,0 97,0,2024-09-07 09:19:01:329,98684,0.3,98350,0.5,197367,0.3,262149,1.50 97,1,2024-09-07 09:19:00:832,710786,710786,0,0,334480986775,3472362893,705661,4196,929,367,392140,0 97,2,2024-09-07 09:19:00:611,509751,509751,0,0,20805151,0,3679 97,3,2024-09-07 09:19:00:577,1,404,115,0,242,4742,404,0 98,0,2024-09-07 09:19:01:704,97899,0.3,97961,0.4,196696,0.2,260757,1.50 98,1,2024-09-07 09:19:00:582,709540,709540,0,0,332782518626,3469872770,704752,4008,780,382,391997,0 98,2,2024-09-07 09:19:00:788,509702,509702,0,0,21174333,0,4336 98,3,2024-09-07 09:19:00:709,1,404,26,0,840,6585,404,0 99,0,2024-09-07 09:19:01:471,101814,0.3,102564,0.5,203841,0.3,272317,1.75 99,1,2024-09-07 09:19:01:723,710084,710084,0,0,332946572219,3467711208,705238,4012,834,381,392069,0 99,2,2024-09-07 09:19:01:417,511321,511321,0,0,24775701,0,4276 99,3,2024-09-07 09:19:00:594,1,404,11,0,606,4581,404,0 100,0,2024-09-07 09:19:01:477,105195,0.9,105291,1.0,209935,1.1,280562,2.50 100,1,2024-09-07 09:19:00:549,706646,706646,0,0,331008300942,3500335834,695955,8648,2043,381,391989,0 100,2,2024-09-07 09:19:01:824,511266,511255,11,0,24475327,0,5417 100,3,2024-09-07 09:19:01:731,1,404,8,0,559,6789,404,0 101,0,2024-09-07 09:19:01:702,102455,0.9,99714,1.0,195922,0.8,268304,2.25 101,1,2024-09-07 09:19:00:567,706908,706908,0,0,331800090503,3494780943,696254,8534,2120,368,391847,0 101,2,2024-09-07 09:19:01:756,507815,507815,0,0,29155366,0,4871 101,3,2024-09-07 09:19:00:961,1,404,5,0,579,5814,404,0 102,0,2024-09-07 09:19:01:009,96881,0.6,99910,0.8,202478,0.6,265264,2.00 102,1,2024-09-07 09:19:01:171,707259,707259,0,0,331656850129,3489341315,697412,8087,1760,369,391891,0 102,2,2024-09-07 09:19:01:743,509084,509030,54,0,23426683,0,6768 102,3,2024-09-07 09:19:01:621,1,404,14,0,466,4598,404,0 103,0,2024-09-07 09:19:01:631,103421,0.6,103438,0.7,194872,0.6,268131,2.00 103,1,2024-09-07 09:19:01:650,706065,706065,0,0,332325212078,3513314608,694143,8857,3065,381,391829,0 103,2,2024-09-07 09:19:00:589,508297,508297,0,0,25876791,0,3766 103,3,2024-09-07 09:19:00:787,1,404,29,0,916,4602,404,0 104,0,2024-09-07 09:19:01:061,105494,0.8,106042,1.0,210607,0.7,282903,2.50 104,1,2024-09-07 09:19:01:612,708265,708265,0,0,331875916284,3490281445,697477,8928,1860,365,392168,0 104,2,2024-09-07 09:19:01:667,510009,510009,0,0,25018076,0,3941 104,3,2024-09-07 09:19:01:424,1,404,144,0,1245,8437,404,0 105,0,2024-09-07 09:19:01:074,100413,0.9,97667,1.1,204623,1.0,269735,2.75 105,1,2024-09-07 09:19:00:558,709018,709018,0,0,332573311553,3488366289,699612,7985,1421,366,392009,0 105,2,2024-09-07 09:19:01:324,512813,512813,0,0,24881456,0,3509 105,3,2024-09-07 09:19:01:303,1,404,6,0,399,6371,404,0 106,0,2024-09-07 09:19:00:996,95306,0.7,97672,0.9,199903,0.7,261298,2.50 106,1,2024-09-07 09:19:01:757,707713,707713,0,0,331892888621,3489816414,696708,9561,1444,369,391914,0 106,2,2024-09-07 09:19:00:768,507683,507683,0,0,23909372,0,2920 106,3,2024-09-07 09:19:00:678,1,404,2,0,470,5333,404,0 107,0,2024-09-07 09:19:01:157,98134,1.0,98314,0.9,196211,1.2,261868,2.25 107,1,2024-09-07 09:19:00:614,707361,707361,0,0,331635021821,3497888690,697458,8856,1047,381,392234,0 107,2,2024-09-07 09:19:01:299,508187,508186,1,0,25020132,0,5024 107,3,2024-09-07 09:19:01:757,1,404,5,0,370,6396,404,0 108,0,2024-09-07 09:19:01:786,104064,0.5,104862,0.6,208373,0.5,278433,1.75 108,1,2024-09-07 09:19:01:301,709489,709489,0,0,333771865656,3483048511,703396,5352,741,368,391857,0 108,2,2024-09-07 09:19:01:757,509802,509802,0,0,23583843,0,4246 108,3,2024-09-07 09:19:01:333,1,404,12,0,749,7768,404,0 109,0,2024-09-07 09:19:01:920,103302,0.4,102458,0.6,204792,0.4,273931,1.75 109,1,2024-09-07 09:19:00:594,707446,707446,0,0,332582893486,3492368740,700545,5786,1115,382,392132,0 109,2,2024-09-07 09:19:01:138,512551,512551,0,0,23232810,0,3617 109,3,2024-09-07 09:19:01:141,1,404,0,0,379,4793,404,0 110,0,2024-09-07 09:19:01:801,98070,0.4,95530,0.6,200276,0.3,261583,1.75 110,1,2024-09-07 09:19:01:687,710612,710612,0,0,333007600935,3460156435,705233,4203,1176,369,392045,0 110,2,2024-09-07 09:19:01:308,508951,508951,0,0,21972404,0,4067 110,3,2024-09-07 09:19:00:695,1,404,32,0,722,5834,404,0 111,0,2024-09-07 09:19:01:423,97861,0.4,97018,0.5,194721,0.3,259723,1.75 111,1,2024-09-07 09:19:01:005,711003,711003,0,0,334547797117,3471905435,707184,3464,355,380,391690,0 111,2,2024-09-07 09:19:01:116,508745,508745,0,0,21716757,0,4823 111,3,2024-09-07 09:19:00:918,1,404,1,0,379,4895,404,0 112,0,2024-09-07 09:19:01:009,103441,0.3,103101,0.4,206164,0.2,274802,1.50 112,1,2024-09-07 09:19:00:828,711112,711112,0,0,334329405465,3471991673,706431,4068,613,380,391624,0 112,2,2024-09-07 09:19:01:133,510815,510814,1,0,20823233,0,5036 112,3,2024-09-07 09:19:00:592,1,404,8,0,282,4088,404,0 113,0,2024-09-07 09:19:00:928,104375,0.3,104537,0.5,209225,0.3,278448,1.75 113,1,2024-09-07 09:19:01:689,713216,713216,0,0,333641700634,3444857188,709333,3294,589,366,391661,0 113,2,2024-09-07 09:19:01:303,515738,515738,0,0,19406420,0,3813 113,3,2024-09-07 09:19:00:698,1,404,1,0,340,4671,404,0 114,0,2024-09-07 09:19:00:878,100051,0.3,100669,0.5,200180,0.2,267181,1.75 114,1,2024-09-07 09:19:00:717,710252,710252,0,0,332556347428,3458408489,704000,4636,1616,381,391565,0 114,2,2024-09-07 09:19:00:883,510703,510702,1,0,20286155,0,5069 114,3,2024-09-07 09:19:01:278,1,404,16,0,395,3461,404,0 115,0,2024-09-07 09:19:00:567,99348,0.3,99883,0.4,199367,0.2,264910,1.50 115,1,2024-09-07 09:19:00:576,710409,710409,0,0,333895214384,3474325292,703927,5217,1265,382,391757,0 115,2,2024-09-07 09:19:01:125,510640,510640,0,0,20035032,0,4382 115,3,2024-09-07 09:19:01:007,1,404,14,0,159,2353,404,0 116,0,2024-09-07 09:19:01:712,100438,0.8,100409,0.9,201539,0.9,269767,2.25 116,1,2024-09-07 09:19:00:865,707032,707032,0,0,331794472785,3498528279,698463,6245,2324,380,392089,0 116,2,2024-09-07 09:19:01:754,509475,509475,0,0,25674236,0,4128 116,3,2024-09-07 09:19:00:924,1,404,7,0,415,4865,404,0 117,0,2024-09-07 09:19:01:005,106655,0.8,106187,0.9,212589,1.0,283664,2.00 117,1,2024-09-07 09:19:01:579,708445,708445,0,0,332500335860,3482581418,700724,6809,912,369,392033,0 117,2,2024-09-07 09:19:01:127,514116,514116,0,0,22130155,0,4303 117,3,2024-09-07 09:19:01:065,1,404,3,0,490,6407,404,0 118,0,2024-09-07 09:19:01:858,98359,0.5,100807,0.7,205895,0.5,269074,2.00 118,1,2024-09-07 09:19:00:680,707983,707983,0,0,332380083996,3501948408,696103,8882,2998,366,391907,0 118,2,2024-09-07 09:19:01:600,511918,511918,0,0,24129964,0,2842 118,3,2024-09-07 09:19:01:788,1,404,15,0,248,4790,404,0 119,0,2024-09-07 09:19:01:341,98776,0.6,99265,0.7,198487,0.5,263666,2.00 119,1,2024-09-07 09:19:00:576,708091,708091,0,0,332822114414,3486582402,699625,7353,1113,367,391780,0 119,2,2024-09-07 09:19:01:275,509144,509144,0,0,22650532,0,4174 119,3,2024-09-07 09:19:01:325,1,404,3,0,1358,8371,404,0 120,0,2024-09-07 09:19:01:684,98617,0.7,98404,0.9,197163,0.7,263780,2.50 120,1,2024-09-07 09:19:00:868,708620,708620,0,0,332788485165,3495197353,700564,7291,765,368,391961,0 120,2,2024-09-07 09:19:00:780,508923,508922,1,0,26092953,0,5281 120,3,2024-09-07 09:19:01:295,1,404,13,0,279,5098,404,0 121,0,2024-09-07 09:19:01:719,105049,1.2,104875,1.1,210514,1.7,280112,2.50 121,1,2024-09-07 09:19:01:665,709473,709473,0,0,332717196057,3481195520,702770,6247,456,367,391840,0 121,2,2024-09-07 09:19:01:132,509858,509858,0,0,25141555,0,4127 121,3,2024-09-07 09:19:00:750,1,404,10,0,269,4593,404,0 122,0,2024-09-07 09:19:01:772,101958,0.8,99476,0.9,208701,0.9,273881,2.00 122,1,2024-09-07 09:19:00:876,707555,707555,0,0,332441809653,3492869397,697260,8695,1600,366,392130,0 122,2,2024-09-07 09:19:01:319,513985,513912,73,0,27997390,0,5989 122,3,2024-09-07 09:19:00:600,1,404,1,0,512,7627,404,0 123,0,2024-09-07 09:19:00:978,98198,0.6,95916,0.7,200491,0.6,262348,2.00 123,1,2024-09-07 09:19:00:560,707470,707470,0,0,332321908936,3505853879,694179,10890,2401,369,392039,0 123,2,2024-09-07 09:19:01:037,506724,506723,1,0,23523174,0,5215 123,3,2024-09-07 09:19:01:137,1,404,1,0,478,4918,404,0 124,0,2024-09-07 09:19:00:964,100731,0.3,100664,0.5,189821,0.3,261208,1.75 124,1,2024-09-07 09:19:01:031,710466,710466,0,0,333415720355,3468686065,705196,4221,1049,367,392178,0 124,2,2024-09-07 09:19:01:020,510353,510300,53,0,21403601,0,6487 124,3,2024-09-07 09:19:00:789,1,404,17,0,490,4040,404,0 125,0,2024-09-07 09:19:01:432,103577,0.4,103225,0.6,207357,0.4,276755,1.75 125,1,2024-09-07 09:19:00:857,708819,708819,0,0,332362924219,3473781932,702935,5216,668,382,391702,0 125,2,2024-09-07 09:19:01:115,511686,511686,0,0,22177429,0,4534 125,3,2024-09-07 09:19:01:137,1,404,9,0,709,5294,404,0 126,0,2024-09-07 09:19:01:448,103869,0.5,107026,0.6,204715,0.4,276671,1.75 126,1,2024-09-07 09:19:00:559,711249,711249,0,0,334171438510,3463205062,707276,3682,291,365,391987,0 126,2,2024-09-07 09:19:00:617,515420,515420,0,0,22907073,0,4539 126,3,2024-09-07 09:19:00:910,1,404,0,0,268,5163,404,0 127,0,2024-09-07 09:19:01:671,98552,0.3,99026,0.5,197587,0.3,262129,1.75 127,1,2024-09-07 09:19:00:570,710608,710608,0,0,332633501123,3463539898,703276,6113,1219,364,392187,0 127,2,2024-09-07 09:19:00:650,508583,508583,0,0,20468196,0,3897 127,3,2024-09-07 09:19:01:267,1,404,5,0,968,4517,404,0 128,0,2024-09-07 09:19:01:577,98546,0.3,98780,0.4,197055,0.2,261186,1.50 128,1,2024-09-07 09:19:01:606,709860,709860,0,0,333933471235,3468923990,704973,4455,432,367,391838,0 128,2,2024-09-07 09:19:01:393,510231,510231,0,0,19627864,0,2915 128,3,2024-09-07 09:19:00:766,1,404,13,0,1082,6496,404,0 129,0,2024-09-07 09:19:01:004,102633,0.3,102190,0.5,204843,0.3,272494,1.75 129,1,2024-09-07 09:19:00:567,707587,707587,0,0,332083292828,3483238924,700147,5772,1668,379,391835,0 129,2,2024-09-07 09:19:00:692,511183,511183,0,0,21352453,0,4031 129,3,2024-09-07 09:19:00:703,1,404,1,0,506,5579,404,0 130,0,2024-09-07 09:19:01:718,106131,0.6,105509,0.6,212678,0.6,281983,1.75 130,1,2024-09-07 09:19:00:583,710592,710592,0,0,333104494255,3464498873,706568,3686,338,381,391825,0 130,2,2024-09-07 09:19:01:124,514622,514622,0,0,21832683,0,4067 130,3,2024-09-07 09:19:01:292,1,404,12,0,960,6659,404,0 131,0,2024-09-07 09:19:02:028,100209,0.3,100656,0.5,202413,0.3,268218,1.75 131,1,2024-09-07 09:19:01:865,709788,709788,0,0,333170301525,3483066267,703835,4905,1048,381,391865,0 131,2,2024-09-07 09:19:00:578,513685,513685,0,0,19880052,0,3979 131,3,2024-09-07 09:19:01:690,1,404,3,0,392,4478,404,0 132,0,2024-09-07 09:19:01:492,99437,0.4,100447,0.6,199821,0.4,265896,2.00 132,1,2024-09-07 09:19:00:588,706799,706799,0,0,331651920820,3497629266,695684,9012,2103,381,392097,0 132,2,2024-09-07 09:19:00:704,508456,508439,17,0,26311568,0,6451 132,3,2024-09-07 09:19:01:693,1,404,12,0,804,7339,404,0 133,0,2024-09-07 09:19:01:544,97595,0.5,99882,0.6,204833,0.4,266666,2.00 133,1,2024-09-07 09:19:00:589,706742,706742,0,0,331892825463,3502716559,696210,9017,1515,383,391914,0 133,2,2024-09-07 09:19:01:091,508856,508806,50,0,27758088,0,6861 133,3,2024-09-07 09:19:01:297,1,404,1,0,479,4160,404,0 134,0,2024-09-07 09:19:00:959,107131,0.6,106667,0.7,213617,0.6,285258,2.25 134,1,2024-09-07 09:19:00:595,707761,707761,0,0,331361653393,3480627261,697980,7747,2034,366,391718,0 134,2,2024-09-07 09:19:01:759,509473,509449,24,0,25355734,0,6207 134,3,2024-09-07 09:19:00:757,1,404,2,0,739,5228,404,0 135,0,2024-09-07 09:19:01:120,98435,0.8,98452,0.9,208855,0.9,269238,2.25 135,1,2024-09-07 09:19:01:590,707955,707955,0,0,332442210932,3501669398,698009,8576,1370,380,391805,0 135,2,2024-09-07 09:19:00:689,514075,514075,0,0,24499307,0,3981 135,3,2024-09-07 09:19:01:013,1,404,1,0,299,3441,404,0 136,0,2024-09-07 09:19:01:621,99128,0.5,99247,0.7,197534,0.5,263328,2.00 136,1,2024-09-07 09:19:01:452,708369,708369,0,0,332637284038,3492162683,699849,7559,961,382,391685,0 136,2,2024-09-07 09:19:01:142,509857,509857,0,0,23323682,0,3506 136,3,2024-09-07 09:19:01:136,1,404,8,0,637,4759,404,0 137,0,2024-09-07 09:19:00:935,101370,0.6,98634,0.7,193698,0.6,262992,2.00 137,1,2024-09-07 09:19:00:575,707450,707450,0,0,332004154709,3487946403,696020,9071,2359,366,391898,0 137,2,2024-09-07 09:19:01:705,508287,508287,0,0,26127649,0,3185 137,3,2024-09-07 09:19:00:776,1,404,8,0,484,5164,404,0 138,0,2024-09-07 09:19:01:748,103828,1.1,103883,1.0,208661,1.4,277232,2.25 138,1,2024-09-07 09:19:01:699,708270,708270,0,0,332951254576,3496136203,698041,8508,1721,368,391954,0 138,2,2024-09-07 09:19:00:594,510267,510267,0,0,23799364,0,4988 138,3,2024-09-07 09:19:00:616,1,404,55,0,1160,5430,404,0 139,0,2024-09-07 09:19:01:359,101807,1.7,101795,1.2,204065,2.4,272295,2.50 139,1,2024-09-07 09:19:00:571,704933,704933,0,0,330439380997,3516566179,690618,11012,3303,380,392058,0 139,2,2024-09-07 09:19:00:696,510556,510556,0,0,27142576,0,3097 139,3,2024-09-07 09:19:01:664,1,404,4,0,432,4911,404,0 140,0,2024-09-07 09:19:01:588,98655,0.3,98060,0.5,196904,0.2,261900,1.75 140,1,2024-09-07 09:19:01:536,712657,712657,0,0,335123349075,3457387133,709096,3120,441,365,391606,0 140,2,2024-09-07 09:19:00:701,509258,509257,1,0,20810777,0,5036 140,3,2024-09-07 09:19:00:766,1,404,16,0,297,3544,404,0 141,0,2024-09-07 09:19:01:702,97626,0.3,100263,0.5,191608,0.3,259621,1.75 141,1,2024-09-07 09:19:00:873,710780,710780,0,0,333975848544,3470735792,705296,4530,954,379,391614,0 141,2,2024-09-07 09:19:01:686,509840,509840,0,0,20547418,0,3360 141,3,2024-09-07 09:19:01:043,1,404,3,0,391,4853,404,0 142,0,2024-09-07 09:19:01:314,103532,0.3,102956,0.5,205781,0.3,275368,1.75 142,1,2024-09-07 09:19:00:586,709857,709857,0,0,333561093885,3478932111,705245,4216,396,382,392102,0 142,2,2024-09-07 09:19:01:303,510235,510203,32,0,22625426,0,6028 142,3,2024-09-07 09:19:01:768,1,404,20,0,484,4748,404,0 143,0,2024-09-07 09:19:01:405,104677,0.5,104594,0.6,209517,0.5,278419,1.75 143,1,2024-09-07 09:19:00:572,711261,711261,0,0,333641110185,3465810594,706229,4488,544,367,391705,0 143,2,2024-09-07 09:19:00:789,514386,514386,0,0,21668922,0,3123 143,3,2024-09-07 09:19:01:140,1,404,0,0,462,5199,404,0 144,0,2024-09-07 09:19:01:498,96624,0.6,99253,0.8,202439,0.5,265048,2.00 144,1,2024-09-07 09:19:00:590,707737,707737,0,0,331967963106,3485114736,700762,5622,1353,381,391649,0 144,2,2024-09-07 09:19:01:757,510996,510996,0,0,20463237,0,3673 144,3,2024-09-07 09:19:01:742,1,404,8,0,249,4092,404,0 145,0,2024-09-07 09:19:01:381,95978,0.5,95989,0.8,203765,0.5,262036,2.25 145,1,2024-09-07 09:19:00:554,707119,707119,0,0,331966785376,3495505396,697515,7942,1662,382,391759,0 145,2,2024-09-07 09:19:01:432,507359,507278,81,0,24862006,0,7814 145,3,2024-09-07 09:19:00:911,1,404,1,0,622,5944,404,0 146,0,2024-09-07 09:19:01:595,100823,0.6,100158,0.8,202186,0.6,268357,2.25 146,1,2024-09-07 09:19:01:587,708979,708979,0,0,332618484618,3496690029,698062,8798,2119,367,391770,0 146,2,2024-09-07 09:19:01:704,508902,508902,0,0,24175908,0,3290 146,3,2024-09-07 09:19:01:275,1,404,2,0,1520,7916,404,0 147,0,2024-09-07 09:19:01:704,106523,0.7,106350,0.8,212037,0.7,283255,2.25 147,1,2024-09-07 09:19:01:390,711482,711482,0,0,334192514548,3478858885,704857,5780,845,368,391791,0 147,2,2024-09-07 09:19:01:017,511636,511636,0,0,21627589,0,2968 147,3,2024-09-07 09:19:00:916,1,404,3,0,1626,7277,404,0 0,0,2024-09-07 09:19:11:752,96802,0.6,96788,0.8,205341,0.7,265739,2.00 0,1,2024-09-07 09:19:10:800,710574,710574,0,0,333672578164,3493425524,705192,4996,386,369,391896,0 0,2,2024-09-07 09:19:11:071,512422,512422,0,0,20940589,0,4480 0,3,2024-09-07 09:19:10:977,1,405,18,0,431,6190,405,0 1,0,2024-09-07 09:19:11:797,105584,1.0,104972,1.0,210537,1.3,282032,2.25 1,1,2024-09-07 09:19:10:556,710007,710007,0,0,333070520377,3488181325,703738,4949,1320,370,391857,0 1,2,2024-09-07 09:19:10:640,511294,511294,0,0,21201661,0,3380 1,3,2024-09-07 09:19:11:336,1,405,1,0,262,4858,405,0 2,0,2024-09-07 09:19:11:565,102356,0.6,102747,0.8,204533,0.7,273237,2.00 2,1,2024-09-07 09:19:10:859,712565,712565,0,0,334560641336,3474836723,709285,2985,295,380,391745,0 2,2,2024-09-07 09:19:11:267,515858,515858,0,0,20323110,0,3594 2,3,2024-09-07 09:19:10:693,1,405,0,0,357,4094,405,0 3,0,2024-09-07 09:19:11:760,98748,0.4,98703,0.6,197171,0.3,262448,2.00 3,1,2024-09-07 09:19:11:618,711135,711135,0,0,333332958317,3474422093,704925,5520,690,380,391716,0 3,2,2024-09-07 09:19:11:142,509882,509859,23,0,21214044,0,5851 3,3,2024-09-07 09:19:11:759,1,405,61,0,207,2754,405,0 4,0,2024-09-07 09:19:11:852,95163,0.4,97806,0.5,199400,0.3,260875,1.75 4,1,2024-09-07 09:19:10:592,708842,708842,0,0,332003294981,3512751983,697052,9286,2504,370,391992,0 4,2,2024-09-07 09:19:11:021,509048,509048,0,0,24131517,0,4528 4,3,2024-09-07 09:19:11:041,1,405,1,0,448,5448,405,0 5,0,2024-09-07 09:19:11:378,103624,0.5,104235,0.6,208221,0.4,276996,1.75 5,1,2024-09-07 09:19:10:755,709668,709668,0,0,333149024023,3510266306,699337,8178,2153,367,392005,0 5,2,2024-09-07 09:19:11:832,510027,510027,0,0,23753180,0,3582 5,3,2024-09-07 09:19:11:736,1,405,69,0,457,5903,405,0 6,0,2024-09-07 09:19:10:922,104217,0.5,103875,0.7,207341,0.4,276565,2.00 6,1,2024-09-07 09:19:10:746,709980,709980,0,0,333298675813,3487330738,701781,6807,1392,379,391694,0 6,2,2024-09-07 09:19:11:117,514846,514828,18,0,24315827,0,5535 6,3,2024-09-07 09:19:11:276,1,405,1,0,710,5076,405,0 7,0,2024-09-07 09:19:11:536,97962,0.5,98731,0.6,196606,0.4,261292,2.00 7,1,2024-09-07 09:19:10:850,709709,709709,0,0,332963201259,3497000364,699943,8304,1462,382,391747,0 7,2,2024-09-07 09:19:10:770,510741,510741,0,0,23435092,0,4791 7,3,2024-09-07 09:19:10:855,1,405,0,0,552,4753,405,0 8,0,2024-09-07 09:19:11:434,98407,0.4,98091,0.5,196694,0.3,261586,1.75 8,1,2024-09-07 09:19:11:016,708742,708742,0,0,333176387969,3507669893,697240,8876,2626,366,392144,0 8,2,2024-09-07 09:19:10:790,506164,506164,0,0,27449917,0,3250 8,3,2024-09-07 09:19:10:592,1,405,17,0,538,6877,405,0 9,0,2024-09-07 09:19:11:119,102547,0.4,99546,0.6,208370,0.3,273477,1.75 9,1,2024-09-07 09:19:10:551,708455,708455,0,0,332693570546,3508841077,697415,8619,2421,369,392001,0 9,2,2024-09-07 09:19:11:084,510915,510915,0,0,24457365,0,3360 9,3,2024-09-07 09:19:11:753,1,405,4,0,496,5925,405,0 10,0,2024-09-07 09:19:11:600,105486,0.4,104926,0.6,210805,0.3,280141,1.75 10,1,2024-09-07 09:19:10:595,709594,709594,0,0,333645794073,3501314549,699268,8704,1622,381,391741,0 10,2,2024-09-07 09:19:10:765,514525,514525,0,0,26903641,0,4264 10,3,2024-09-07 09:19:10:871,1,405,0,0,649,4544,405,0 11,0,2024-09-07 09:19:11:013,100336,0.5,97355,0.6,203664,0.4,268424,1.75 11,1,2024-09-07 09:19:10:571,710382,710382,0,0,333926021138,3507425922,699137,8620,2625,383,391756,0 11,2,2024-09-07 09:19:11:134,511218,511218,0,0,23798092,0,4130 11,3,2024-09-07 09:19:11:336,1,405,0,0,843,6160,405,0 12,0,2024-09-07 09:19:10:948,100453,0.4,100511,0.5,200944,0.3,266635,1.75 12,1,2024-09-07 09:19:10:933,711027,711027,0,0,333438327227,3478233115,705494,5033,500,370,391870,0 12,2,2024-09-07 09:19:11:541,510644,510644,0,0,22682133,0,3469 12,3,2024-09-07 09:19:11:066,1,405,0,0,386,6231,405,0 13,0,2024-09-07 09:19:11:370,101357,0.4,100941,0.6,201378,0.4,268730,1.75 13,1,2024-09-07 09:19:11:554,709205,709205,0,0,333330316552,3506615590,701668,5910,1627,382,391740,0 13,2,2024-09-07 09:19:10:595,513021,513021,0,0,21101413,0,3287 13,3,2024-09-07 09:19:11:762,1,405,2,0,522,6167,405,0 14,0,2024-09-07 09:19:10:561,106823,0.5,107887,0.7,213369,0.4,285001,2.00 14,1,2024-09-07 09:19:11:561,713851,713851,0,0,334759867314,3471007053,707639,5504,708,364,391673,0 14,2,2024-09-07 09:19:10:766,512708,512678,30,0,23183146,0,6104 14,3,2024-09-07 09:19:11:115,1,405,0,0,1168,4683,405,0 15,0,2024-09-07 09:19:11:562,101569,0.4,101468,0.7,203399,0.4,270831,2.00 15,1,2024-09-07 09:19:11:612,711154,711154,0,0,334519228593,3487627558,704933,5043,1178,381,391619,0 15,2,2024-09-07 09:19:11:003,516272,516272,0,0,19090611,0,3622 15,3,2024-09-07 09:19:11:410,1,405,24,0,1126,6901,405,0 16,0,2024-09-07 09:19:10:953,98924,0.5,99286,0.7,198233,0.5,263545,2.00 16,1,2024-09-07 09:19:10:564,710956,710956,0,0,333662242252,3491168408,704409,5434,1113,370,391756,0 16,2,2024-09-07 09:19:11:449,509572,509572,0,0,22655067,0,4719 16,3,2024-09-07 09:19:11:150,1,405,16,0,317,5342,405,0 17,0,2024-09-07 09:19:11:788,101489,0.6,99070,0.8,193883,0.6,263305,2.00 17,1,2024-09-07 09:19:10:571,710014,710014,0,0,332784045767,3494693981,702397,6106,1511,368,392075,0 17,2,2024-09-07 09:19:11:673,512992,512992,0,0,22493374,0,3779 17,3,2024-09-07 09:19:10:579,1,405,5,0,518,6733,405,0 18,0,2024-09-07 09:19:10:944,103738,0.6,104115,0.8,207535,0.6,277285,2.25 18,1,2024-09-07 09:19:11:639,712531,712531,0,0,334238282865,3465805651,708614,3539,378,367,391725,0 18,2,2024-09-07 09:19:11:758,514034,514034,0,0,19916032,0,3541 18,3,2024-09-07 09:19:10:901,1,405,2,0,163,3231,405,0 19,0,2024-09-07 09:19:11:541,102319,0.7,102860,0.8,203998,0.7,271600,2.25 19,1,2024-09-07 09:19:10:565,713047,713047,0,0,334651302300,3474253252,707107,5019,921,367,391777,0 19,2,2024-09-07 09:19:11:752,517779,517779,0,0,19068068,0,3988 19,3,2024-09-07 09:19:11:133,1,405,1,0,524,3419,405,0 20,0,2024-09-07 09:19:11:404,98349,0.5,98386,0.6,196530,0.4,262112,2.00 20,1,2024-09-07 09:19:10:579,710434,710434,0,0,334180766236,3497110724,703926,5708,800,369,391886,0 20,2,2024-09-07 09:19:10:930,509534,509534,0,0,22632714,0,3721 20,3,2024-09-07 09:19:10:595,1,405,13,0,414,6064,405,0 21,0,2024-09-07 09:19:11:173,97658,0.5,97676,0.6,195204,0.4,259304,2.00 21,1,2024-09-07 09:19:11:545,708295,708295,0,0,332280291301,3507217167,697458,8367,2470,368,392016,0 21,2,2024-09-07 09:19:11:068,507630,507610,20,0,27611376,0,5617 21,3,2024-09-07 09:19:11:418,1,405,12,0,713,5348,405,0 22,0,2024-09-07 09:19:11:722,103077,0.5,103426,0.7,207100,0.5,274812,2.00 22,1,2024-09-07 09:19:11:023,709667,709667,0,0,333179951111,3505329690,698548,8893,2226,382,391667,0 22,2,2024-09-07 09:19:10:767,510875,510849,26,0,22115842,0,6328 22,3,2024-09-07 09:19:11:067,1,405,1,0,228,3462,405,0 23,0,2024-09-07 09:19:11:367,104076,0.6,103535,0.7,207575,0.5,276502,2.25 23,1,2024-09-07 09:19:11:004,710535,710535,0,0,333212522956,3503846627,697555,8927,4053,365,391690,0 23,2,2024-09-07 09:19:11:094,516717,516717,0,0,21660500,0,3773 23,3,2024-09-07 09:19:11:754,1,405,2,0,720,5515,405,0 24,0,2024-09-07 09:19:10:828,100372,0.4,100146,0.5,200887,0.4,266681,1.75 24,1,2024-09-07 09:19:10:581,709580,709580,0,0,332545211129,3489174996,701618,6372,1590,367,392269,0 24,2,2024-09-07 09:19:11:070,509798,509798,0,0,25704729,0,3607 24,3,2024-09-07 09:19:11:687,1,405,12,0,468,5692,405,0 25,0,2024-09-07 09:19:11:435,102005,0.4,99455,0.6,194949,0.3,265297,1.75 25,1,2024-09-07 09:19:10:562,709089,709089,0,0,333629127562,3518400129,697195,9649,2245,371,391928,0 25,2,2024-09-07 09:19:11:636,508966,508966,0,0,26203275,0,3978 25,3,2024-09-07 09:19:11:005,1,405,1,0,532,4719,405,0 26,0,2024-09-07 09:19:11:725,101367,0.4,98874,0.6,207301,0.4,270159,1.75 26,1,2024-09-07 09:19:11:542,710715,710715,0,0,332773528228,3488333531,700226,8906,1583,380,391748,0 26,2,2024-09-07 09:19:10:860,512309,512309,0,0,26412267,0,2809 26,3,2024-09-07 09:19:11:714,1,405,1,0,796,5093,405,0 27,0,2024-09-07 09:19:11:731,106597,0.5,106772,0.7,212307,0.5,283301,2.25 27,1,2024-09-07 09:19:11:683,712665,712665,0,0,334062209473,3482876222,705480,6285,900,381,391626,0 27,2,2024-09-07 09:19:10:866,510921,510856,65,0,24379968,0,5699 27,3,2024-09-07 09:19:11:015,1,405,1,0,564,4061,405,0 28,0,2024-09-07 09:19:11:388,101752,0.4,101299,0.6,203143,0.3,270919,2.00 28,1,2024-09-07 09:19:10:798,711565,711565,0,0,334433135047,3494615323,704379,5487,1699,383,391698,0 28,2,2024-09-07 09:19:11:764,513811,513811,0,0,22212050,0,2915 28,3,2024-09-07 09:19:11:783,1,405,13,0,502,4619,405,0 29,0,2024-09-07 09:19:11:354,102105,0.3,99531,0.6,195315,0.3,265989,1.75 29,1,2024-09-07 09:19:11:654,713839,713839,0,0,335433840847,3473904912,708980,4125,734,368,391753,0 29,2,2024-09-07 09:19:10:861,509822,509822,0,0,20964009,0,4986 29,3,2024-09-07 09:19:10:972,1,405,1,0,459,4844,405,0 30,0,2024-09-07 09:19:11:465,99333,0.5,96470,0.7,202033,0.5,264533,2.00 30,1,2024-09-07 09:19:10:572,713190,713190,0,0,334846361760,3480799354,707160,5264,766,381,391672,0 30,2,2024-09-07 09:19:11:283,512036,512036,0,0,20367636,0,4192 30,3,2024-09-07 09:19:10:580,1,405,8,0,519,4049,405,0 31,0,2024-09-07 09:19:11:761,105349,0.4,105848,0.6,211174,0.4,281781,2.00 31,1,2024-09-07 09:19:10:564,715649,715649,0,0,336177951242,3448472154,712635,2428,586,356,391712,0 31,2,2024-09-07 09:19:11:282,511813,511813,0,0,22140776,0,3525 31,3,2024-09-07 09:19:11:717,1,405,96,0,220,3669,405,0 32,0,2024-09-07 09:19:11:446,102903,0.3,103509,0.5,206118,0.3,274854,1.75 32,1,2024-09-07 09:19:10:804,712720,712720,0,0,334772258617,3484805143,707612,4428,680,381,391646,0 32,2,2024-09-07 09:19:10:939,516470,516470,0,0,19976314,0,3922 32,3,2024-09-07 09:19:11:020,1,405,7,0,304,3299,405,0 33,0,2024-09-07 09:19:11:499,98939,0.3,98512,0.4,197610,0.2,262937,1.50 33,1,2024-09-07 09:19:10:595,713649,713649,0,0,334924204919,3477170254,707145,5401,1103,369,391730,0 33,2,2024-09-07 09:19:10:758,510692,510657,35,0,22088790,0,7012 33,3,2024-09-07 09:19:10:895,1,405,1,0,329,4111,405,0 34,0,2024-09-07 09:19:10:933,98210,0.3,101033,0.4,193498,0.2,260200,1.75 34,1,2024-09-07 09:19:11:048,714410,714410,0,0,335252656213,3449381406,712675,1724,11,367,391562,0 34,2,2024-09-07 09:19:10:767,511367,511367,0,0,20610820,0,4562 34,3,2024-09-07 09:19:11:689,1,405,1,0,299,3163,405,0 35,0,2024-09-07 09:19:10:869,103274,0.4,103686,0.5,208422,0.3,277733,1.75 35,1,2024-09-07 09:19:11:068,712855,712855,0,0,334113109374,3465665935,708320,3679,856,382,391769,0 35,2,2024-09-07 09:19:11:583,512211,512211,0,0,21971849,0,4055 35,3,2024-09-07 09:19:10:907,1,405,1,0,418,4477,405,0 36,0,2024-09-07 09:19:11:552,104278,0.5,104204,0.7,208267,0.5,276885,2.25 36,1,2024-09-07 09:19:10:593,711205,711205,0,0,334479230316,3497222710,701559,7646,2000,366,391759,0 36,2,2024-09-07 09:19:11:751,516046,516046,0,0,23324240,0,3875 36,3,2024-09-07 09:19:10:863,1,405,7,0,416,6022,405,0 37,0,2024-09-07 09:19:11:407,98198,0.4,98219,0.6,196655,0.4,261707,2.00 37,1,2024-09-07 09:19:10:571,711093,711086,0,7,332797927752,3479371438,702453,6360,2273,365,391573,0 37,2,2024-09-07 09:19:11:142,508184,508169,15,0,23140494,0,5815 37,3,2024-09-07 09:19:11:788,1,405,6,0,888,6290,405,0 38,0,2024-09-07 09:19:11:441,97685,0.5,94768,0.6,198225,0.4,259233,2.00 38,1,2024-09-07 09:19:11:631,712122,712122,0,0,334096051342,3495777309,701583,8378,2161,368,391821,0 38,2,2024-09-07 09:19:10:759,510332,510285,47,0,22720479,0,6710 38,3,2024-09-07 09:19:10:997,1,405,1,0,689,5423,405,0 39,0,2024-09-07 09:19:11:759,104604,0.6,102431,0.7,199305,0.6,272599,2.00 39,1,2024-09-07 09:19:10:719,710349,710349,0,0,332789342760,3494517976,697935,9724,2690,365,391658,0 39,2,2024-09-07 09:19:11:426,512413,512413,0,0,21741165,0,3391 39,3,2024-09-07 09:19:10:714,1,405,7,0,324,4844,405,0 40,0,2024-09-07 09:19:11:501,104281,0.9,105020,1.0,209196,1.0,278898,2.75 40,1,2024-09-07 09:19:10:575,711160,711160,0,0,333034450628,3492395498,700375,8742,2043,368,391668,0 40,2,2024-09-07 09:19:11:335,513571,513570,1,0,25338586,0,5137 40,3,2024-09-07 09:19:11:142,1,405,26,0,1028,5739,405,0 41,0,2024-09-07 09:19:11:021,99873,1.4,102155,1.2,194986,2.2,265122,3.25 41,1,2024-09-07 09:19:10:768,710008,710008,0,0,333906395607,3496950167,700830,8138,1040,369,391742,0 41,2,2024-09-07 09:19:10:759,510132,510132,0,0,24290391,0,4277 41,3,2024-09-07 09:19:11:686,1,405,0,0,366,4192,405,0 42,0,2024-09-07 09:19:11:486,99038,0.8,99074,1.0,198286,0.9,262465,2.75 42,1,2024-09-07 09:19:11:441,708512,708512,0,0,332059999936,3494818486,696559,9922,2031,380,391675,0 42,2,2024-09-07 09:19:11:135,509278,509278,0,0,24396558,0,3790 42,3,2024-09-07 09:19:11:009,1,405,1,0,892,4218,405,0 43,0,2024-09-07 09:19:10:925,99388,0.8,96771,1.0,202659,0.9,266120,2.25 43,1,2024-09-07 09:19:10:579,711299,711299,0,0,333919085571,3493206260,700974,8587,1738,366,391696,0 43,2,2024-09-07 09:19:11:748,511619,511619,0,0,24168235,0,3812 43,3,2024-09-07 09:19:11:749,1,405,3,0,548,5485,405,0 44,0,2024-09-07 09:19:10:862,106970,0.5,107244,0.7,214910,0.5,285605,2.00 44,1,2024-09-07 09:19:10:563,713140,713140,0,0,334732218050,3464564579,706648,5174,1318,356,391809,0 44,2,2024-09-07 09:19:11:282,511303,511303,0,0,20263608,0,4344 44,3,2024-09-07 09:19:11:096,1,405,12,0,817,4974,405,0 45,0,2024-09-07 09:19:11:763,100552,0.5,98204,0.7,206015,0.5,271306,2.00 45,1,2024-09-07 09:19:11:005,712366,712366,0,0,334044030740,3474290511,706717,5076,573,382,391917,0 45,2,2024-09-07 09:19:11:270,515266,515266,0,0,20735230,0,3596 45,3,2024-09-07 09:19:10:938,1,405,15,0,271,3632,405,0 46,0,2024-09-07 09:19:11:039,98338,0.5,98318,0.7,196986,0.4,261206,2.00 46,1,2024-09-07 09:19:10:593,713496,713496,0,0,335512279806,3468521847,708877,4028,591,366,391709,0 46,2,2024-09-07 09:19:10:598,510357,510357,0,0,20756540,0,4443 46,3,2024-09-07 09:19:11:134,1,405,1,0,908,5370,405,0 47,0,2024-09-07 09:19:11:111,98948,0.4,99156,0.6,198716,0.4,263177,2.00 47,1,2024-09-07 09:19:10:575,714309,714309,0,0,334891030874,3464510446,709456,4016,837,366,391641,0 47,2,2024-09-07 09:19:10:908,513214,513214,0,0,20121872,0,4477 47,3,2024-09-07 09:19:11:121,1,405,2,0,600,5160,405,0 48,0,2024-09-07 09:19:11:489,105198,0.3,104927,0.5,209259,0.2,279475,1.75 48,1,2024-09-07 09:19:11:022,712517,712517,0,0,334096725286,3473359685,707813,4248,456,384,391710,0 48,2,2024-09-07 09:19:10:698,511903,511903,0,0,19069533,0,3411 48,3,2024-09-07 09:19:10:753,1,405,11,0,339,3394,405,0 49,0,2024-09-07 09:19:11:766,105595,0.4,103789,0.5,201019,0.3,275809,1.75 49,1,2024-09-07 09:19:11:021,712253,712253,0,0,333895369773,3477233583,707105,3943,1205,382,391809,0 49,2,2024-09-07 09:19:11:804,516301,516301,0,0,21166952,0,4426 49,3,2024-09-07 09:19:11:423,1,405,1,0,992,5021,405,0 50,0,2024-09-07 09:19:11:512,98930,0.3,97328,0.4,196513,0.2,261752,1.75 50,1,2024-09-07 09:19:11:015,714697,714697,0,0,335569787691,3472238848,709792,4331,574,368,391565,0 50,2,2024-09-07 09:19:11:067,509823,509823,0,0,19204626,0,4490 50,3,2024-09-07 09:19:11:294,1,405,0,0,567,4177,405,0 51,0,2024-09-07 09:19:11:691,100206,0.3,98047,0.5,191177,0.2,260427,1.75 51,1,2024-09-07 09:19:11:683,714408,714408,0,0,335896055990,3466594562,710458,2901,1049,365,391706,0 51,2,2024-09-07 09:19:11:335,511226,511226,0,0,18210958,0,3337 51,3,2024-09-07 09:19:11:032,1,405,0,0,678,3400,405,0 52,0,2024-09-07 09:19:11:435,103596,0.5,103401,0.7,207199,0.5,275611,2.00 52,1,2024-09-07 09:19:10:591,711194,711194,0,0,333882614511,3496900414,700869,8972,1353,368,391722,0 52,2,2024-09-07 09:19:11:762,509049,509011,38,0,24558929,0,6742 52,3,2024-09-07 09:19:10:683,1,405,9,0,1782,5791,405,0 53,0,2024-09-07 09:19:11:735,103673,0.7,100687,0.8,210823,0.8,276411,2.50 53,1,2024-09-07 09:19:10:771,709909,709909,0,0,333045302918,3498042275,698006,8944,2959,367,391702,0 53,2,2024-09-07 09:19:11:337,515447,515446,1,0,22298550,0,5455 53,3,2024-09-07 09:19:10:697,1,405,1,0,308,3708,405,0 54,0,2024-09-07 09:19:11:615,98320,0.6,98633,0.8,196588,0.4,262487,2.25 54,1,2024-09-07 09:19:10:580,711473,711473,0,0,334161687438,3476690911,704203,6031,1239,366,391659,0 54,2,2024-09-07 09:19:10:865,510929,510897,32,0,25258414,0,6397 54,3,2024-09-07 09:19:10:766,1,405,0,0,676,6085,405,0 55,0,2024-09-07 09:19:11:767,96065,0.6,99223,0.7,200614,0.5,261051,2.25 55,1,2024-09-07 09:19:10:767,712348,712348,0,0,333571977015,3470145139,704719,6537,1092,365,391731,0 55,2,2024-09-07 09:19:10:729,509735,509679,56,0,23984744,0,7239 55,3,2024-09-07 09:19:10:678,1,405,1,0,304,4288,405,0 56,0,2024-09-07 09:19:11:572,103358,1.4,97438,1.2,200717,2.1,269261,3.00 56,1,2024-09-07 09:19:10:572,707512,707512,0,0,332691810055,3517678278,696040,9099,2373,381,391867,0 56,2,2024-09-07 09:19:11:339,511385,511385,0,0,23966079,0,3567 56,3,2024-09-07 09:19:11:067,1,405,14,0,705,5063,405,0 57,0,2024-09-07 09:19:10:940,104956,1.8,104668,1.3,210093,2.5,281135,3.50 57,1,2024-09-07 09:19:11:016,710598,710598,0,0,332977548977,3493281957,702023,7491,1084,366,392032,0 57,2,2024-09-07 09:19:11:337,513298,513298,0,0,25831398,0,3317 57,3,2024-09-07 09:19:11:741,1,405,4,0,455,4875,405,0 58,0,2024-09-07 09:19:10:555,99927,0.9,97128,1.0,203314,1.0,266428,2.50 58,1,2024-09-07 09:19:10:575,710768,710765,0,3,334385914170,3499933745,700817,8659,1289,367,391603,3 58,2,2024-09-07 09:19:11:073,512883,512883,0,0,24041504,0,2902 58,3,2024-09-07 09:19:11:075,1,405,1,0,1043,4531,405,0 59,0,2024-09-07 09:19:11:771,99151,0.7,98865,0.9,197271,0.8,262461,2.50 59,1,2024-09-07 09:19:10:808,710334,710334,0,0,333556035863,3498133468,700119,8406,1809,369,391653,0 59,2,2024-09-07 09:19:10:582,509922,509922,0,0,23819334,0,3727 59,3,2024-09-07 09:19:11:740,1,405,12,0,1015,5558,405,0 60,0,2024-09-07 09:19:11:723,99585,0.6,99501,0.7,199621,0.6,265532,2.00 60,1,2024-09-07 09:19:10:772,712663,712663,0,0,334573061452,3475824773,707551,4392,720,370,392031,0 60,2,2024-09-07 09:19:11:146,511587,511587,0,0,22351693,0,3811 60,3,2024-09-07 09:19:11:277,1,405,9,0,409,4898,405,0 61,0,2024-09-07 09:19:11:523,105290,0.6,105870,0.8,210979,0.6,281354,2.00 61,1,2024-09-07 09:19:10:771,711129,711129,0,0,334193515901,3504836345,702831,6869,1429,382,392127,0 61,2,2024-09-07 09:19:11:115,511577,511510,67,0,22714519,0,6411 61,3,2024-09-07 09:19:11:690,1,405,3,0,479,5682,405,0 62,0,2024-09-07 09:19:11:728,103390,0.5,105813,0.7,202089,0.5,274579,2.00 62,1,2024-09-07 09:19:11:116,715364,715358,0,6,335791725442,3465194966,711547,3550,261,365,391975,6 62,2,2024-09-07 09:19:11:644,514048,514047,1,0,22950523,0,5555 62,3,2024-09-07 09:19:11:150,1,405,191,0,482,3684,405,0 63,0,2024-09-07 09:19:11:453,99038,0.4,98869,0.6,198314,0.3,263342,1.75 63,1,2024-09-07 09:19:10:806,713034,713028,0,6,334668283115,3477977219,708062,4233,733,381,391800,6 63,2,2024-09-07 09:19:10:767,509798,509798,0,0,20687342,0,4369 63,3,2024-09-07 09:19:11:740,1,405,2,0,667,4334,405,0 64,0,2024-09-07 09:19:11:524,97589,0.5,97592,0.7,194924,0.5,259316,2.00 64,1,2024-09-07 09:19:10:756,712171,712171,0,0,333862934459,3482128682,705506,4962,1703,370,391783,0 64,2,2024-09-07 09:19:11:146,514245,514226,19,0,20576307,0,6121 64,3,2024-09-07 09:19:11:149,1,405,21,0,265,3815,405,0 65,0,2024-09-07 09:19:11:678,102862,0.7,103336,0.8,205986,0.8,275309,2.25 65,1,2024-09-07 09:19:10:865,710788,710788,0,0,333319352933,3486686701,705680,4568,540,382,391901,0 65,2,2024-09-07 09:19:11:699,512648,512648,0,0,23899606,0,3367 65,3,2024-09-07 09:19:11:690,1,405,0,0,782,4813,405,0 66,0,2024-09-07 09:19:11:783,103585,0.6,103557,0.8,206941,0.5,275390,2.25 66,1,2024-09-07 09:19:11:311,712122,712122,0,0,333552263463,3478077403,706637,4960,525,380,391743,0 66,2,2024-09-07 09:19:11:135,517327,517327,0,0,21102415,0,4956 66,3,2024-09-07 09:19:11:095,1,405,1,0,291,3657,405,0 67,0,2024-09-07 09:19:11:423,98786,0.5,98468,0.6,197520,0.4,262451,2.00 67,1,2024-09-07 09:19:10:767,712189,712188,0,1,333896729742,3480819712,706854,4543,791,380,391787,1 67,2,2024-09-07 09:19:10:584,512065,512065,0,0,20029064,0,3622 67,3,2024-09-07 09:19:11:753,1,405,6,0,392,4017,405,0 68,0,2024-09-07 09:19:10:631,98396,0.5,98207,0.7,195920,0.5,261330,2.00 68,1,2024-09-07 09:19:10:585,709089,709089,0,0,332822473984,3504839611,699045,7099,2945,381,391953,0 68,2,2024-09-07 09:19:11:043,508579,508479,100,0,27077440,0,8578 68,3,2024-09-07 09:19:10:728,1,405,9,0,417,4375,405,0 69,0,2024-09-07 09:19:11:790,101833,0.8,102457,0.9,203856,0.9,271292,2.25 69,1,2024-09-07 09:19:11:029,709240,709240,0,0,332578077026,3509704995,699477,7494,2269,384,391994,0 69,2,2024-09-07 09:19:11:736,510918,510889,29,0,28396419,0,6912 69,3,2024-09-07 09:19:10:760,1,405,2,0,698,5927,405,0 70,0,2024-09-07 09:19:11:532,104398,1.3,104507,1.1,210237,1.1,278233,2.75 70,1,2024-09-07 09:19:10:801,712194,712194,0,0,334281022221,3472551350,706223,5310,661,366,391725,0 70,2,2024-09-07 09:19:11:337,514084,514084,0,0,23958014,0,4323 70,3,2024-09-07 09:19:10:745,1,405,108,0,854,4871,405,0 71,0,2024-09-07 09:19:11:390,99625,0.8,99276,1.0,199388,1.0,266637,2.75 71,1,2024-09-07 09:19:11:604,711358,711358,0,0,333382228607,3481518692,702932,7624,802,368,391738,0 71,2,2024-09-07 09:19:11:075,511578,511578,0,0,23830556,0,4352 71,3,2024-09-07 09:19:11:751,1,405,1,0,644,5230,405,0 72,0,2024-09-07 09:19:11:090,102932,0.5,100655,0.7,196382,0.5,266868,2.00 72,1,2024-09-07 09:19:11:024,710328,710328,0,0,332883869627,3496646170,699573,8746,2009,369,391819,0 72,2,2024-09-07 09:19:11:773,509433,509433,0,0,25945235,0,3983 72,3,2024-09-07 09:19:11:756,1,405,22,0,564,6068,405,0 73,0,2024-09-07 09:19:11:122,97954,0.5,100336,0.7,205434,0.4,267220,2.00 73,1,2024-09-07 09:19:10:770,711822,711822,0,0,333905362678,3471437332,706587,4826,409,367,391858,0 73,2,2024-09-07 09:19:11:754,511453,511453,0,0,25268883,0,3701 73,3,2024-09-07 09:19:10:978,1,405,7,0,486,5592,405,0 74,0,2024-09-07 09:19:11:347,107907,0.6,110178,0.7,210272,0.5,285877,2.25 74,1,2024-09-07 09:19:10:644,711053,711053,0,0,333108457049,3482024846,703107,6351,1595,381,391762,0 74,2,2024-09-07 09:19:11:004,511619,511619,0,0,23378050,0,4253 74,3,2024-09-07 09:19:11:449,1,405,4,0,522,5501,405,0 75,0,2024-09-07 09:19:11:771,102197,0.5,101634,0.8,203905,0.5,272496,2.25 75,1,2024-09-07 09:19:11:586,711447,711447,0,0,333686595406,3483523778,704635,5984,828,380,391739,0 75,2,2024-09-07 09:19:11:361,513229,513229,0,0,25658938,0,4766 75,3,2024-09-07 09:19:11:074,1,405,5,0,702,5254,405,0 76,0,2024-09-07 09:19:10:599,98416,0.5,97695,0.7,196395,0.5,262037,2.25 76,1,2024-09-07 09:19:10:807,711238,711238,0,0,333356754557,3480955510,705854,4559,825,382,391692,0 76,2,2024-09-07 09:19:11:070,512016,512015,1,0,22613143,0,5144 76,3,2024-09-07 09:19:11:149,1,405,10,0,175,3841,405,0 77,0,2024-09-07 09:19:11:707,98259,0.6,98668,0.7,197083,0.6,261986,2.00 77,1,2024-09-07 09:19:10:831,711851,711851,0,0,333839917579,3487178083,706042,5308,501,381,391869,0 77,2,2024-09-07 09:19:11:287,510978,510978,0,0,22216621,0,3890 77,3,2024-09-07 09:19:11:108,1,405,1,0,401,4725,405,0 78,0,2024-09-07 09:19:11:757,105010,0.5,104389,0.7,209745,0.4,278097,2.00 78,1,2024-09-07 09:19:10:610,712024,712024,0,0,332745177048,3470706297,704187,6270,1567,367,391670,0 78,2,2024-09-07 09:19:11:411,512355,512342,13,0,20987021,0,8313 78,3,2024-09-07 09:19:11:134,1,405,1,0,181,3675,405,0 79,0,2024-09-07 09:19:11:357,99252,0.4,101572,0.6,208128,0.4,270632,2.25 79,1,2024-09-07 09:19:10:571,713660,713660,0,0,334450435663,3470657756,707092,5260,1308,367,391682,0 79,2,2024-09-07 09:19:11:075,516900,516900,0,0,20752834,0,4195 79,3,2024-09-07 09:19:10:750,1,405,4,0,418,5284,405,0 80,0,2024-09-07 09:19:11:136,98283,0.5,101234,0.7,193683,0.5,261234,2.00 80,1,2024-09-07 09:19:11:624,711312,711312,0,0,334658302916,3489047782,705769,5122,421,368,392269,0 80,2,2024-09-07 09:19:11:109,511657,511657,0,0,20583439,0,4433 80,3,2024-09-07 09:19:10:595,1,405,15,0,190,4818,405,0 81,0,2024-09-07 09:19:11:554,97685,0.5,100057,0.7,190870,0.5,259331,2.00 81,1,2024-09-07 09:19:11:657,710710,710710,0,0,332757714072,3480682764,704716,5455,539,382,391879,0 81,2,2024-09-07 09:19:11:133,509382,509319,63,0,22997358,0,5932 81,3,2024-09-07 09:19:11:119,1,405,6,0,719,5035,405,0 82,0,2024-09-07 09:19:11:553,102925,0.5,103230,0.8,207013,0.5,274895,2.00 82,1,2024-09-07 09:19:10:583,712776,712772,0,4,333736928051,3474932853,708079,3875,818,381,391768,4 82,2,2024-09-07 09:19:11:691,513443,513443,0,0,19668409,0,4484 82,3,2024-09-07 09:19:11:759,1,405,0,0,363,4520,405,0 83,0,2024-09-07 09:19:11:526,104497,0.7,104476,0.8,207946,0.7,276670,2.25 83,1,2024-09-07 09:19:10:552,710851,710851,0,0,334404493428,3490282903,705372,5029,450,382,391709,0 83,2,2024-09-07 09:19:10:766,515850,515850,0,0,20366428,0,3393 83,3,2024-09-07 09:19:10:751,1,405,1,0,1260,5407,405,0 84,0,2024-09-07 09:19:11:787,99177,0.7,99213,0.8,197900,0.6,264960,2.25 84,1,2024-09-07 09:19:11:070,710518,710518,0,0,333253933033,3484862122,702855,6621,1042,367,391967,0 84,2,2024-09-07 09:19:10:573,509676,509646,30,0,27404961,0,5971 84,3,2024-09-07 09:19:11:142,1,405,17,0,908,6210,405,0 85,0,2024-09-07 09:19:11:015,95771,0.6,95753,0.8,203294,0.5,262388,2.25 85,1,2024-09-07 09:19:10:565,708931,708931,0,0,332234210352,3509282162,698140,8874,1917,381,392006,0 85,2,2024-09-07 09:19:10:867,509532,509532,0,0,25314896,0,3656 85,3,2024-09-07 09:19:10:687,1,405,5,0,789,5128,405,0 86,0,2024-09-07 09:19:10:888,101108,0.7,104043,0.8,199054,0.7,269633,2.25 86,1,2024-09-07 09:19:10:828,710693,710693,0,0,333856128643,3499826829,702042,7212,1439,366,391961,0 86,2,2024-09-07 09:19:10:855,509883,509882,1,0,27461754,0,5004 86,3,2024-09-07 09:19:10:586,1,405,1,0,308,5995,405,0 87,0,2024-09-07 09:19:11:293,106274,1.1,106175,1.0,212511,1.4,283549,2.50 87,1,2024-09-07 09:19:10:565,709280,709280,0,0,333337218338,3498231250,699391,8299,1590,366,392076,0 87,2,2024-09-07 09:19:11:067,511729,511723,6,0,24531865,0,6323 87,3,2024-09-07 09:19:11:803,1,405,223,0,473,6548,405,0 88,0,2024-09-07 09:19:11:577,101374,0.4,102141,0.6,203266,0.4,270762,1.75 88,1,2024-09-07 09:19:10:587,708800,708800,0,0,333459726360,3496709810,699227,7566,2007,365,392084,0 88,2,2024-09-07 09:19:10:688,513528,513528,0,0,26451355,0,4465 88,3,2024-09-07 09:19:11:275,1,405,2,0,435,4334,405,0 89,0,2024-09-07 09:19:11:856,102262,0.4,99064,0.6,195945,0.4,266134,1.75 89,1,2024-09-07 09:19:10:569,709309,709309,0,0,332790816466,3502165389,700155,7730,1424,382,391866,0 89,2,2024-09-07 09:19:11:135,508758,508758,0,0,24799164,0,3173 89,3,2024-09-07 09:19:11:793,1,405,3,0,468,7414,405,0 90,0,2024-09-07 09:19:11:679,96704,0.5,99222,0.6,202546,0.4,264614,2.00 90,1,2024-09-07 09:19:10:592,710470,710470,0,0,333357976704,3493127237,703747,6214,509,380,391825,0 90,2,2024-09-07 09:19:11:414,509418,509418,0,0,26324215,0,3060 90,3,2024-09-07 09:19:10:934,1,405,1,0,322,5067,405,0 91,0,2024-09-07 09:19:10:927,106041,0.5,102739,0.6,214631,0.4,281781,1.75 91,1,2024-09-07 09:19:10:557,709420,709420,0,0,333484241586,3509969093,700182,7838,1400,381,392047,0 91,2,2024-09-07 09:19:11:345,512383,512383,0,0,23336837,0,2896 91,3,2024-09-07 09:19:10:602,1,405,1,0,216,4151,405,0 92,0,2024-09-07 09:19:11:530,103592,0.5,106273,0.6,202976,0.5,274874,1.75 92,1,2024-09-07 09:19:10:581,711239,711239,0,0,333583210390,3485998491,705738,4778,723,382,392136,0 92,2,2024-09-07 09:19:11:358,516499,516499,0,0,21063562,0,3259 92,3,2024-09-07 09:19:11:014,1,405,9,0,167,3664,405,0 93,0,2024-09-07 09:19:10:979,99453,0.4,101916,0.5,194478,0.3,263421,1.75 93,1,2024-09-07 09:19:10:812,710359,710359,0,0,334015521095,3491017287,702246,6732,1381,366,391776,0 93,2,2024-09-07 09:19:10:933,509303,509303,0,0,25160197,0,4845 93,3,2024-09-07 09:19:11:413,1,405,29,0,190,3698,405,0 94,0,2024-09-07 09:19:11:630,97755,0.4,98474,0.5,196771,0.3,261049,1.75 94,1,2024-09-07 09:19:10:563,711600,711600,0,0,333810369792,3484706880,706827,4565,208,381,391850,0 94,2,2024-09-07 09:19:10:761,510443,510443,0,0,21163740,0,2443 94,3,2024-09-07 09:19:11:691,1,405,1,0,576,5579,405,0 95,0,2024-09-07 09:19:11:407,103785,0.4,103654,0.5,208046,0.3,277516,1.75 95,1,2024-09-07 09:19:10:856,712336,712336,0,0,333917801235,3474331705,706307,5594,435,367,391786,0 95,2,2024-09-07 09:19:11:016,511892,511892,0,0,20980283,0,3308 95,3,2024-09-07 09:19:11:717,1,405,1,0,718,6104,405,0 96,0,2024-09-07 09:19:11:028,104174,0.4,104494,0.5,208510,0.4,276485,1.75 96,1,2024-09-07 09:19:11:588,710970,710970,0,0,333856750566,3486869662,705442,4647,881,384,391955,0 96,2,2024-09-07 09:19:11:274,516530,516530,0,0,22041559,0,4180 96,3,2024-09-07 09:19:11:144,1,405,7,0,411,4603,405,0 97,0,2024-09-07 09:19:11:335,98816,0.3,98439,0.5,197574,0.2,262407,1.50 97,1,2024-09-07 09:19:10:766,712584,712584,0,0,335602356462,3484171611,707451,4204,929,367,392140,0 97,2,2024-09-07 09:19:10:616,510784,510784,0,0,20916179,0,3679 97,3,2024-09-07 09:19:10:571,1,405,8,0,242,4750,405,0 98,0,2024-09-07 09:19:11:721,97959,0.3,98009,0.4,196832,0.2,261081,1.50 98,1,2024-09-07 09:19:10:578,711267,711267,0,0,333472136566,3477862527,706323,4154,790,382,391997,0 98,2,2024-09-07 09:19:10:776,510967,510967,0,0,21212124,0,4336 98,3,2024-09-07 09:19:10:698,1,405,78,0,840,6663,405,0 99,0,2024-09-07 09:19:11:472,102249,0.3,103003,0.5,204635,0.3,273667,1.75 99,1,2024-09-07 09:19:11:726,711859,711859,0,0,333703964773,3476013183,706933,4091,835,381,392069,0 99,2,2024-09-07 09:19:11:437,512787,512787,0,0,24944736,0,4276 99,3,2024-09-07 09:19:10:582,1,405,6,0,606,4587,405,0 100,0,2024-09-07 09:19:11:482,105289,0.9,105387,1.0,210121,1.1,280840,2.50 100,1,2024-09-07 09:19:10:554,708379,708379,0,0,331758407785,3508082923,697688,8648,2043,381,391989,0 100,2,2024-09-07 09:19:11:824,512796,512785,11,0,24555560,0,5417 100,3,2024-09-07 09:19:11:739,1,405,26,0,559,6815,405,0 101,0,2024-09-07 09:19:11:716,102641,0.9,99879,1.0,196210,0.8,268574,2.25 101,1,2024-09-07 09:19:10:557,708605,708605,0,0,332529823789,3502327438,697950,8535,2120,368,391847,0 101,2,2024-09-07 09:19:11:757,508538,508538,0,0,29167815,0,4871 101,3,2024-09-07 09:19:10:953,1,405,0,0,579,5814,405,0 102,0,2024-09-07 09:19:10:964,97128,0.6,100196,0.7,203016,0.6,265786,2.00 102,1,2024-09-07 09:19:11:142,708951,708951,0,0,332598876489,3499054496,699104,8087,1760,369,391891,0 102,2,2024-09-07 09:19:11:751,510578,510524,54,0,23464314,0,6768 102,3,2024-09-07 09:19:11:627,1,405,7,0,466,4605,405,0 103,0,2024-09-07 09:19:11:602,103776,0.6,103778,0.7,195533,0.6,269332,2.00 103,1,2024-09-07 09:19:11:627,707819,707819,0,0,333107793785,3521455015,695897,8857,3065,381,391829,0 103,2,2024-09-07 09:19:10:582,509868,509868,0,0,25925724,0,3766 103,3,2024-09-07 09:19:10:755,1,405,1,0,916,4603,405,0 104,0,2024-09-07 09:19:11:060,105825,0.8,106338,1.0,211030,0.8,283983,2.50 104,1,2024-09-07 09:19:11:609,710090,710090,0,0,332920802783,3501461356,699264,8966,1860,365,392168,0 104,2,2024-09-07 09:19:11:670,511029,511029,0,0,25064509,0,3941 104,3,2024-09-07 09:19:11:423,1,405,10,0,1245,8447,405,0 105,0,2024-09-07 09:19:11:129,100722,0.9,97979,1.1,205314,1.0,270546,2.75 105,1,2024-09-07 09:19:10:570,710662,710662,0,0,333563007216,3498703112,701250,7991,1421,366,392009,0 105,2,2024-09-07 09:19:11:341,513775,513775,0,0,24928942,0,3509 105,3,2024-09-07 09:19:11:308,1,405,0,0,399,6371,405,0 106,0,2024-09-07 09:19:10:936,95458,0.7,97848,0.9,200246,0.7,261932,2.50 106,1,2024-09-07 09:19:11:752,709428,709428,0,0,332637805364,3497490209,698423,9561,1444,369,391914,0 106,2,2024-09-07 09:19:10:758,509155,509155,0,0,24027753,0,2920 106,3,2024-09-07 09:19:10:678,1,405,1,0,470,5334,405,0 107,0,2024-09-07 09:19:11:120,98499,1.0,98646,0.9,196857,1.2,263102,2.25 107,1,2024-09-07 09:19:10:585,709100,709100,0,0,332309377995,3505261370,699170,8883,1047,381,392234,0 107,2,2024-09-07 09:19:11:295,509416,509415,1,0,25106938,0,5024 107,3,2024-09-07 09:19:11:768,1,405,0,0,370,6396,405,0 108,0,2024-09-07 09:19:11:792,104373,0.5,105218,0.6,208996,0.4,279163,1.75 108,1,2024-09-07 09:19:11:295,711338,711338,0,0,334578209178,3491581674,705244,5353,741,368,391857,0 108,2,2024-09-07 09:19:11:775,510898,510898,0,0,23644511,0,4246 108,3,2024-09-07 09:19:11:346,1,405,12,0,749,7780,405,0 109,0,2024-09-07 09:19:11:756,103579,0.4,102694,0.6,205302,0.4,274876,1.75 109,1,2024-09-07 09:19:10:593,708905,708905,0,0,333357867265,3500597125,701997,5793,1115,382,392132,0 109,2,2024-09-07 09:19:10:926,513820,513820,0,0,23293958,0,3617 109,3,2024-09-07 09:19:11:141,1,405,1,0,379,4794,405,0 110,0,2024-09-07 09:19:11:762,98195,0.4,95650,0.6,200544,0.3,262054,1.75 110,1,2024-09-07 09:19:11:656,712345,712345,0,0,334001693770,3470399253,706966,4203,1176,369,392045,0 110,2,2024-09-07 09:19:11:337,510262,510262,0,0,22070025,0,4067 110,3,2024-09-07 09:19:10:696,1,405,7,0,722,5841,405,0 111,0,2024-09-07 09:19:11:420,98072,0.4,97233,0.5,195146,0.3,260606,1.75 111,1,2024-09-07 09:19:11:003,712713,712713,0,0,335470630756,3481853452,708837,3521,355,380,391690,0 111,2,2024-09-07 09:19:11:115,509905,509905,0,0,21812206,0,4823 111,3,2024-09-07 09:19:10:924,1,405,13,0,379,4908,405,0 112,0,2024-09-07 09:19:10:910,103887,0.3,103574,0.4,207135,0.2,276019,1.50 112,1,2024-09-07 09:19:10:835,712861,712861,0,0,334787942166,3476792149,708179,4069,613,380,391624,0 112,2,2024-09-07 09:19:11:135,512228,512227,1,0,21109137,0,5036 112,3,2024-09-07 09:19:10:592,1,405,1,0,282,4089,405,0 113,0,2024-09-07 09:19:10:883,104508,0.3,104653,0.5,209459,0.3,278757,1.75 113,1,2024-09-07 09:19:11:690,714958,714958,0,0,334534190096,3454210474,711072,3297,589,366,391661,0 113,2,2024-09-07 09:19:11:311,517109,517109,0,0,19573063,0,3813 113,3,2024-09-07 09:19:10:685,1,405,1,0,340,4672,405,0 114,0,2024-09-07 09:19:10:883,100180,0.3,100778,0.5,200386,0.2,267510,1.75 114,1,2024-09-07 09:19:10:716,712006,712006,0,0,333422130303,3467470072,705748,4642,1616,381,391565,0 114,2,2024-09-07 09:19:10:872,511509,511508,1,0,20426936,0,5069 114,3,2024-09-07 09:19:11:278,1,405,2,0,395,3463,405,0 115,0,2024-09-07 09:19:10:558,99456,0.3,100009,0.4,199586,0.2,264922,1.50 115,1,2024-09-07 09:19:10:571,712181,712181,0,0,334490453530,3480602862,705698,5218,1265,382,391757,0 115,2,2024-09-07 09:19:11:134,512117,512117,0,0,20133500,0,4382 115,3,2024-09-07 09:19:11:003,1,405,1,0,159,2354,405,0 116,0,2024-09-07 09:19:11:725,100809,0.8,100794,0.9,202303,0.9,270755,2.25 116,1,2024-09-07 09:19:10:895,708714,708714,0,0,332704262466,3508103569,700137,6253,2324,380,392089,0 116,2,2024-09-07 09:19:11:759,511062,511062,0,0,25757856,0,4128 116,3,2024-09-07 09:19:10:936,1,405,37,0,415,4902,405,0 117,0,2024-09-07 09:19:10:984,106772,0.8,106283,0.9,212830,1.0,283916,2.00 117,1,2024-09-07 09:19:11:600,710260,710260,0,0,333261007797,3490400095,702537,6810,913,369,392033,0 117,2,2024-09-07 09:19:11:131,515481,515481,0,0,22153963,0,4303 117,3,2024-09-07 09:19:11:085,1,405,6,0,490,6413,405,0 118,0,2024-09-07 09:19:11:773,98602,0.5,101022,0.7,206462,0.5,269720,2.00 118,1,2024-09-07 09:19:10:586,709848,709848,0,0,333225855684,3510841821,697966,8884,2998,366,391907,0 118,2,2024-09-07 09:19:11:587,512645,512645,0,0,24151621,0,2842 118,3,2024-09-07 09:19:11:764,1,405,1,0,248,4791,405,0 119,0,2024-09-07 09:19:11:411,99053,0.6,99503,0.7,199006,0.5,264626,2.00 119,1,2024-09-07 09:19:10:556,709866,709866,0,0,333720694243,3495954326,701399,7354,1113,367,391780,0 119,2,2024-09-07 09:19:11:273,510625,510625,0,0,22712048,0,4174 119,3,2024-09-07 09:19:11:337,1,405,2,0,1358,8373,405,0 120,0,2024-09-07 09:19:11:601,99013,0.7,98757,0.9,197956,0.7,264977,2.50 120,1,2024-09-07 09:19:10:860,710591,710591,0,0,333470262104,3503169909,702361,7463,767,368,391961,0 120,2,2024-09-07 09:19:10:771,510372,510371,1,0,26196664,0,5281 120,3,2024-09-07 09:19:11:294,1,405,26,0,279,5124,405,0 121,0,2024-09-07 09:19:11:700,105423,1.2,105291,1.1,211259,1.7,281307,2.50 121,1,2024-09-07 09:19:11:655,711207,711207,0,0,333662197809,3490981068,704504,6247,456,367,391840,0 121,2,2024-09-07 09:19:11:126,510989,510989,0,0,25257887,0,4127 121,3,2024-09-07 09:19:10:740,1,405,5,0,269,4598,405,0 122,0,2024-09-07 09:19:11:781,102283,0.8,99774,0.9,209371,0.9,274695,2.00 122,1,2024-09-07 09:19:10:860,709288,709288,0,0,333282138253,3502383343,698866,8821,1601,366,392130,0 122,2,2024-09-07 09:19:11:326,515228,515155,73,0,28053600,0,5989 122,3,2024-09-07 09:19:10:597,1,405,5,0,512,7632,405,0 123,0,2024-09-07 09:19:10:964,98366,0.6,96074,0.7,200837,0.6,262762,2.00 123,1,2024-09-07 09:19:10:558,709254,709254,0,0,333177861912,3514630571,695963,10890,2401,369,392039,0 123,2,2024-09-07 09:19:11:017,508080,508079,1,0,23599701,0,5215 123,3,2024-09-07 09:19:11:132,1,405,1,0,478,4919,405,0 124,0,2024-09-07 09:19:10:944,101022,0.3,100955,0.5,190351,0.3,261864,1.75 124,1,2024-09-07 09:19:11:022,712230,712230,0,0,334106369555,3476107702,706960,4221,1049,367,392178,0 124,2,2024-09-07 09:19:11:016,511629,511576,53,0,21502699,0,6487 124,3,2024-09-07 09:19:10:758,1,405,1,0,490,4041,405,0 125,0,2024-09-07 09:19:11:443,104011,0.4,103625,0.6,208161,0.4,277898,1.75 125,1,2024-09-07 09:19:10:862,710512,710512,0,0,333229109109,3482697565,704628,5216,668,382,391702,0 125,2,2024-09-07 09:19:11:120,512876,512876,0,0,22250652,0,4534 125,3,2024-09-07 09:19:11:132,1,405,17,0,709,5311,405,0 126,0,2024-09-07 09:19:11:421,104037,0.5,107168,0.6,204993,0.4,277149,1.75 126,1,2024-09-07 09:19:10:551,713026,713026,0,0,335063224178,3472902780,709029,3704,293,365,391987,0 126,2,2024-09-07 09:19:10:617,516776,516776,0,0,22996767,0,4539 126,3,2024-09-07 09:19:10:913,1,405,41,0,268,5204,405,0 127,0,2024-09-07 09:19:11:705,98654,0.3,99146,0.5,197823,0.3,262374,1.75 127,1,2024-09-07 09:19:10:580,712264,712264,0,0,333484504112,3472383621,704932,6113,1219,364,392187,0 127,2,2024-09-07 09:19:10:638,509701,509701,0,0,20636275,0,3897 127,3,2024-09-07 09:19:11:274,1,405,3,0,968,4520,405,0 128,0,2024-09-07 09:19:11:571,98607,0.3,98842,0.4,197175,0.2,261533,1.50 128,1,2024-09-07 09:19:11:611,711779,711779,0,0,334801194501,3477940904,706892,4455,432,367,391838,0 128,2,2024-09-07 09:19:11:385,511404,511404,0,0,19924828,0,3171 128,3,2024-09-07 09:19:10:768,1,405,35,0,1082,6531,405,0 129,0,2024-09-07 09:19:11:036,103035,0.3,102613,0.5,205677,0.3,273853,1.75 129,1,2024-09-07 09:19:10:570,709205,709205,0,0,333012546351,3493701586,701578,5959,1668,379,391962,0 129,2,2024-09-07 09:19:10:694,512650,512650,0,0,21415025,0,4031 129,3,2024-09-07 09:19:10:696,1,405,23,0,506,5602,405,0 130,0,2024-09-07 09:19:11:726,106217,0.6,105612,0.6,212848,0.6,282283,1.75 130,1,2024-09-07 09:19:10:582,712310,712310,0,0,334016813009,3474035546,708284,3687,339,381,391825,0 130,2,2024-09-07 09:19:11:134,516030,516030,0,0,21891155,0,4067 130,3,2024-09-07 09:19:11:294,1,405,0,0,960,6659,405,0 131,0,2024-09-07 09:19:12:011,100369,0.3,100813,0.5,202720,0.3,268468,1.75 131,1,2024-09-07 09:19:11:829,711669,711669,0,0,333942024882,3491225543,705716,4905,1048,381,391865,0 131,2,2024-09-07 09:19:10:571,514374,514374,0,0,19937217,0,3979 131,3,2024-09-07 09:19:11:693,1,405,1,0,392,4479,405,0 132,0,2024-09-07 09:19:11:456,99713,0.4,100732,0.6,200321,0.4,266458,1.75 132,1,2024-09-07 09:19:10:580,708559,708559,0,0,332536973978,3506686187,697444,9012,2103,381,392097,0 132,2,2024-09-07 09:19:10:707,509937,509920,17,0,26346534,0,6451 132,3,2024-09-07 09:19:11:693,1,405,20,0,804,7359,405,0 133,0,2024-09-07 09:19:11:574,97954,0.5,100205,0.6,205538,0.4,267831,2.00 133,1,2024-09-07 09:19:10:593,708456,708456,0,0,332717954285,3511291791,697924,9017,1515,383,391914,0 133,2,2024-09-07 09:19:11:097,510348,510298,50,0,27816208,0,6861 133,3,2024-09-07 09:19:11:338,1,405,5,0,479,4165,405,0 134,0,2024-09-07 09:19:10:981,107515,0.6,107081,0.8,214424,0.6,286247,2.25 134,1,2024-09-07 09:19:10:596,709543,709543,0,0,332541053949,3492596753,699762,7747,2034,366,391718,0 134,2,2024-09-07 09:19:11:755,510511,510487,24,0,25411519,0,6207 134,3,2024-09-07 09:19:10:748,1,405,100,0,739,5328,405,0 135,0,2024-09-07 09:19:11:115,98748,0.8,98736,0.9,209471,0.9,270058,2.25 135,1,2024-09-07 09:19:11:586,709726,709726,0,0,333352684098,3511156947,699780,8576,1370,380,391805,0 135,2,2024-09-07 09:19:10:687,515144,515144,0,0,24528274,0,3981 135,3,2024-09-07 09:19:11:002,1,405,1,0,299,3442,405,0 136,0,2024-09-07 09:19:11:694,99330,0.5,99436,0.7,197907,0.5,263920,2.00 136,1,2024-09-07 09:19:11:446,710096,710096,0,0,333469542144,3500801546,701576,7559,961,382,391685,0 136,2,2024-09-07 09:19:11:136,511280,511280,0,0,23410076,0,3506 136,3,2024-09-07 09:19:11:127,1,405,31,0,637,4790,405,0 137,0,2024-09-07 09:19:10:984,101683,0.6,98967,0.7,194303,0.6,263830,2.00 137,1,2024-09-07 09:19:10:621,709181,709181,0,0,332670842962,3495401973,697702,9120,2359,366,391898,0 137,2,2024-09-07 09:19:11:727,509653,509653,0,0,26214100,0,3185 137,3,2024-09-07 09:19:10:768,1,405,0,0,484,5164,405,0 138,0,2024-09-07 09:19:11:758,104151,1.1,104229,1.0,209338,1.4,277937,2.25 138,1,2024-09-07 09:19:11:694,710068,710068,0,0,333768222201,3504609830,699838,8509,1721,368,391954,0 138,2,2024-09-07 09:19:10:594,511362,511362,0,0,23910535,0,4988 138,3,2024-09-07 09:19:10:613,1,405,1,0,1160,5431,405,0 139,0,2024-09-07 09:19:11:372,102022,1.7,102045,1.2,204527,2.4,273100,2.50 139,1,2024-09-07 09:19:10:599,706640,706640,0,0,330979634285,3522425422,692323,11014,3303,380,392058,0 139,2,2024-09-07 09:19:10:697,511783,511783,0,0,27200523,0,3097 139,3,2024-09-07 09:19:11:668,1,405,12,0,432,4923,405,0 140,0,2024-09-07 09:19:11:590,98792,0.3,98214,0.5,197177,0.2,262388,1.75 140,1,2024-09-07 09:19:11:536,714433,714433,0,0,335981710216,3466377484,710872,3120,441,365,391606,0 140,2,2024-09-07 09:19:10:687,510558,510557,1,0,20871594,0,5036 140,3,2024-09-07 09:19:10:766,1,405,7,0,297,3551,405,0 141,0,2024-09-07 09:19:11:697,97881,0.3,100475,0.5,192045,0.3,260505,1.75 141,1,2024-09-07 09:19:10:859,712473,712473,0,0,334796352337,3479985690,706856,4661,956,379,391614,0 141,2,2024-09-07 09:19:11:689,511085,511085,0,0,20652694,0,3360 141,3,2024-09-07 09:19:11:042,1,405,1,0,391,4854,405,0 142,0,2024-09-07 09:19:11:311,104019,0.3,103410,0.5,206682,0.3,276527,1.75 142,1,2024-09-07 09:19:10:609,711570,711570,0,0,334349668252,3487459460,706952,4222,396,382,392102,0 142,2,2024-09-07 09:19:11:298,511710,511678,32,0,22775634,0,6028 142,3,2024-09-07 09:19:11:753,1,405,8,0,484,4756,405,0 143,0,2024-09-07 09:19:11:449,104782,0.5,104712,0.6,209753,0.5,278726,1.75 143,1,2024-09-07 09:19:10:565,712973,712973,0,0,334125612004,3470982421,707940,4489,544,367,391705,0 143,2,2024-09-07 09:19:10:770,515780,515780,0,0,21764970,0,3123 143,3,2024-09-07 09:19:11:141,1,405,5,0,462,5204,405,0 144,0,2024-09-07 09:19:11:515,96734,0.6,99365,0.8,202687,0.5,265388,2.00 144,1,2024-09-07 09:19:10:618,708856,708856,0,0,332665323743,3494655252,701414,5809,1633,381,391649,0 144,2,2024-09-07 09:19:11:762,511813,511813,0,0,20501378,0,3673 144,3,2024-09-07 09:19:11:754,1,405,8,0,249,4100,405,0 145,0,2024-09-07 09:19:11:398,96081,0.5,96096,0.8,203991,0.5,262041,2.25 145,1,2024-09-07 09:19:10:552,708934,708934,0,0,332870863709,3504896617,699329,7943,1662,382,391759,0 145,2,2024-09-07 09:19:11:436,508864,508783,81,0,24932708,0,7814 145,3,2024-09-07 09:19:10:899,1,405,1,0,622,5945,405,0 146,0,2024-09-07 09:19:11:625,101228,0.6,100555,0.8,202996,0.6,269330,2.25 146,1,2024-09-07 09:19:11:587,710730,710730,0,0,333259515240,3503373816,699811,8799,2120,367,391770,0 146,2,2024-09-07 09:19:11:704,510364,510364,0,0,24285170,0,3290 146,3,2024-09-07 09:19:11:282,1,405,5,0,1520,7921,405,0 147,0,2024-09-07 09:19:11:711,106625,0.7,106448,0.8,212244,0.7,283492,2.25 147,1,2024-09-07 09:19:11:379,713312,713312,0,0,335108806485,3488658782,706687,5780,845,368,391791,0 147,2,2024-09-07 09:19:11:013,512979,512979,0,0,21780128,0,2968 147,3,2024-09-07 09:19:10:921,1,405,21,0,1626,7298,405,0 0,0,2024-09-07 09:19:21:742,97095,0.6,97066,0.8,205966,0.7,266421,2.00 0,1,2024-09-07 09:19:20:803,712345,712345,0,0,334315843384,3500248033,706962,4997,386,369,391896,0 0,2,2024-09-07 09:19:21:066,513935,513935,0,0,20986407,0,4480 0,3,2024-09-07 09:19:20:983,1,406,2,0,431,6192,406,0 1,0,2024-09-07 09:19:21:756,106003,1.0,105380,1.0,211262,1.3,283145,2.25 1,1,2024-09-07 09:19:20:571,711803,711803,0,0,333735804778,3495188412,705534,4949,1320,370,391857,0 1,2,2024-09-07 09:19:20:657,512356,512356,0,0,21234419,0,3380 1,3,2024-09-07 09:19:21:303,1,406,10,0,262,4868,406,0 2,0,2024-09-07 09:19:21:570,102667,0.6,103028,0.8,205199,0.7,274070,2.00 2,1,2024-09-07 09:19:20:867,714268,714268,0,0,335477147734,3484219727,710988,2985,295,380,391745,0 2,2,2024-09-07 09:19:21:272,516887,516887,0,0,20361800,0,3594 2,3,2024-09-07 09:19:20:692,1,406,2,0,357,4096,406,0 3,0,2024-09-07 09:19:21:751,98921,0.4,98870,0.6,197481,0.3,262875,2.00 3,1,2024-09-07 09:19:21:630,712915,712915,0,0,334185469711,3483306869,706705,5520,690,380,391716,0 3,2,2024-09-07 09:19:21:142,511406,511383,23,0,21273585,0,5851 3,3,2024-09-07 09:19:21:752,1,406,1,0,207,2755,406,0 4,0,2024-09-07 09:19:21:822,95396,0.4,98057,0.5,199920,0.3,261493,1.75 4,1,2024-09-07 09:19:20:604,710617,710617,0,0,332711512633,3520064315,698827,9286,2504,370,391992,0 4,2,2024-09-07 09:19:21:018,510344,510344,0,0,24166230,0,4528 4,3,2024-09-07 09:19:21:028,1,406,3,0,448,5451,406,0 5,0,2024-09-07 09:19:21:385,104012,0.5,104609,0.6,208998,0.4,278029,1.75 5,1,2024-09-07 09:19:20:763,711412,711412,0,0,334014116446,3519162373,701080,8179,2153,367,392005,0 5,2,2024-09-07 09:19:21:833,511075,511075,0,0,23767960,0,3582 5,3,2024-09-07 09:19:21:736,1,406,6,0,457,5909,406,0 6,0,2024-09-07 09:19:20:919,104359,0.5,104016,0.7,207682,0.4,277025,2.00 6,1,2024-09-07 09:19:20:755,711738,711738,0,0,334214826875,3496657750,703539,6807,1392,379,391694,0 6,2,2024-09-07 09:19:21:117,516233,516215,18,0,24332476,0,5535 6,3,2024-09-07 09:19:21:274,1,406,1,0,710,5077,406,0 7,0,2024-09-07 09:19:21:536,98070,0.5,98829,0.6,196774,0.4,261538,2.00 7,1,2024-09-07 09:19:20:853,711441,711441,0,0,333858235646,3506131069,701675,8304,1462,382,391747,0 7,2,2024-09-07 09:19:20:778,511944,511944,0,0,23449845,0,4791 7,3,2024-09-07 09:19:20:856,1,406,2,0,552,4755,406,0 8,0,2024-09-07 09:19:21:354,98507,0.4,98184,0.5,196887,0.3,261927,1.75 8,1,2024-09-07 09:19:21:016,710479,710479,0,0,333766244823,3513791764,698977,8876,2626,366,392144,0 8,2,2024-09-07 09:19:20:797,507325,507325,0,0,27569932,0,3250 8,3,2024-09-07 09:19:20:585,1,406,9,0,538,6886,406,0 9,0,2024-09-07 09:19:21:113,103007,0.4,99973,0.6,209288,0.3,274801,1.75 9,1,2024-09-07 09:19:20:557,710175,710175,0,0,333247120401,3514628143,699135,8619,2421,369,392001,0 9,2,2024-09-07 09:19:21:086,512419,512419,0,0,24541282,0,3360 9,3,2024-09-07 09:19:21:754,1,406,31,0,496,5956,406,0 10,0,2024-09-07 09:19:21:611,105605,0.4,105042,0.6,211020,0.3,280442,1.75 10,1,2024-09-07 09:19:20:591,711410,711410,0,0,334489849115,3510231272,701076,8711,1623,381,391741,0 10,2,2024-09-07 09:19:20:790,515910,515910,0,0,26959347,0,4264 10,3,2024-09-07 09:19:20:888,1,406,0,0,649,4544,406,0 11,0,2024-09-07 09:19:21:028,100459,0.5,97464,0.6,203883,0.4,268681,1.75 11,1,2024-09-07 09:19:20:571,712107,712107,0,0,334676533947,3515266781,700860,8622,2625,383,391756,0 11,2,2024-09-07 09:19:21:123,511854,511854,0,0,23836742,0,4130 11,3,2024-09-07 09:19:21:304,1,406,18,0,843,6178,406,0 12,0,2024-09-07 09:19:20:944,100670,0.4,100762,0.5,201393,0.3,267135,1.75 12,1,2024-09-07 09:19:20:936,712810,712810,0,0,334209483055,3486315921,707276,5034,500,370,391870,0 12,2,2024-09-07 09:19:21:552,512062,512062,0,0,22758192,0,3469 12,3,2024-09-07 09:19:21:059,1,406,9,0,386,6240,406,0 13,0,2024-09-07 09:19:21:421,101782,0.4,101362,0.6,202212,0.4,269886,1.75 13,1,2024-09-07 09:19:21:524,710986,710986,0,0,334174067228,3515538551,703448,5911,1627,382,391740,0 13,2,2024-09-07 09:19:20:601,514435,514435,0,0,21196188,0,3287 13,3,2024-09-07 09:19:21:762,1,406,1,0,522,6168,406,0 14,0,2024-09-07 09:19:20:585,107078,0.5,108082,0.7,213952,0.4,285306,2.00 14,1,2024-09-07 09:19:21:560,715620,715620,0,0,335729274338,3481076784,709408,5504,708,364,391673,0 14,2,2024-09-07 09:19:20:765,513983,513953,30,0,23285647,0,6104 14,3,2024-09-07 09:19:21:117,1,406,10,0,1168,4693,406,0 15,0,2024-09-07 09:19:21:554,101858,0.4,101789,0.7,203963,0.4,271596,2.00 15,1,2024-09-07 09:19:21:608,712890,712890,0,0,335271466663,3495605957,706669,5043,1178,381,391619,0 15,2,2024-09-07 09:19:20:998,517256,517256,0,0,19174838,0,3622 15,3,2024-09-07 09:19:21:405,1,406,1,0,1126,6902,406,0 16,0,2024-09-07 09:19:20:929,99143,0.5,99486,0.7,198654,0.5,264117,2.00 16,1,2024-09-07 09:19:20:574,712554,712554,0,0,334419163490,3499406159,705972,5469,1113,370,391917,0 16,2,2024-09-07 09:19:21:434,510937,510937,0,0,22757359,0,4719 16,3,2024-09-07 09:19:21:141,1,406,1,0,317,5343,406,0 17,0,2024-09-07 09:19:21:832,101933,0.6,99480,0.8,194759,0.7,264940,2.00 17,1,2024-09-07 09:19:20:618,711717,711717,0,0,333638416019,3503684685,704097,6109,1511,368,392075,0 17,2,2024-09-07 09:19:21:671,514367,514367,0,0,22562678,0,3779 17,3,2024-09-07 09:19:20:587,1,406,5,0,518,6738,406,0 18,0,2024-09-07 09:19:20:942,104034,0.6,104415,0.8,208148,0.6,278027,2.25 18,1,2024-09-07 09:19:21:642,714373,714373,0,0,335156372032,3475440779,710444,3550,379,367,391725,0 18,2,2024-09-07 09:19:21:755,515230,515230,0,0,19958431,0,3541 18,3,2024-09-07 09:19:20:908,1,406,4,0,163,3235,406,0 19,0,2024-09-07 09:19:21:542,102669,0.7,103171,0.8,204606,0.7,272601,2.25 19,1,2024-09-07 09:19:20:570,714811,714811,0,0,335628649111,3484272155,708871,5019,921,367,391777,0 19,2,2024-09-07 09:19:21:754,519028,519028,0,0,19106843,0,3988 19,3,2024-09-07 09:19:21:129,1,406,1,0,524,3420,406,0 20,0,2024-09-07 09:19:21:348,98525,0.5,98539,0.6,196886,0.4,262571,2.00 20,1,2024-09-07 09:19:20:590,712153,712153,0,0,334787665075,3503366957,705645,5708,800,369,391886,0 20,2,2024-09-07 09:19:20:939,510895,510895,0,0,22657702,0,3721 20,3,2024-09-07 09:19:20:592,1,406,8,0,414,6072,406,0 21,0,2024-09-07 09:19:21:149,97954,0.5,97997,0.6,195828,0.4,260248,2.00 21,1,2024-09-07 09:19:21:546,710045,710045,0,0,332894804958,3513560681,699207,8367,2471,368,392016,0 21,2,2024-09-07 09:19:21:076,508990,508970,20,0,27639014,0,5617 21,3,2024-09-07 09:19:21:406,1,406,2,0,713,5350,406,0 22,0,2024-09-07 09:19:21:719,103536,0.5,103879,0.7,207943,0.5,275974,2.00 22,1,2024-09-07 09:19:21:023,711440,711440,0,0,334148344108,3515204944,700320,8894,2226,382,391667,0 22,2,2024-09-07 09:19:20:762,512090,512064,26,0,22164444,0,6328 22,3,2024-09-07 09:19:21:069,1,406,0,0,228,3462,406,0 23,0,2024-09-07 09:19:21:367,104215,0.6,103649,0.7,207815,0.5,276828,2.25 23,1,2024-09-07 09:19:21:007,712282,712282,0,0,333991339742,3511764897,699302,8927,4053,365,391690,0 23,2,2024-09-07 09:19:21:096,517995,517995,0,0,21678330,0,3773 23,3,2024-09-07 09:19:21:753,1,406,1,0,720,5516,406,0 24,0,2024-09-07 09:19:20:845,100491,0.4,100257,0.5,201149,0.4,267017,1.75 24,1,2024-09-07 09:19:20:588,711379,711379,0,0,333356336069,3497777944,703398,6391,1590,367,392269,0 24,2,2024-09-07 09:19:21:078,510744,510744,0,0,25983087,0,3607 24,3,2024-09-07 09:19:21:686,1,406,1,0,468,5693,406,0 25,0,2024-09-07 09:19:21:380,102049,0.4,99494,0.6,195044,0.3,265297,1.75 25,1,2024-09-07 09:19:20:584,710934,710934,0,0,334461759914,3527279802,699034,9655,2245,371,391928,0 25,2,2024-09-07 09:19:21:626,510318,510318,0,0,26307771,0,3978 25,3,2024-09-07 09:19:21:003,1,406,0,0,532,4719,406,0 26,0,2024-09-07 09:19:21:729,101721,0.4,99236,0.6,208100,0.4,271081,1.75 26,1,2024-09-07 09:19:21:547,712585,712585,0,0,333720928166,3498745829,701960,9042,1583,380,391748,0 26,2,2024-09-07 09:19:20:867,513802,513802,0,0,26500314,0,2809 26,3,2024-09-07 09:19:21:718,1,406,1,0,796,5094,406,0 27,0,2024-09-07 09:19:21:734,106679,0.5,106847,0.7,212495,0.5,283557,2.25 27,1,2024-09-07 09:19:21:677,714349,714349,0,0,334762304186,3490435558,707133,6316,900,381,391626,0 27,2,2024-09-07 09:19:20:867,512350,512285,65,0,24438381,0,5699 27,3,2024-09-07 09:19:21:015,1,406,20,0,564,4081,406,0 28,0,2024-09-07 09:19:21:395,101993,0.4,101536,0.6,203585,0.3,271541,2.00 28,1,2024-09-07 09:19:20:797,713342,713342,0,0,335352944606,3504103284,706156,5487,1699,383,391698,0 28,2,2024-09-07 09:19:21:764,514486,514486,0,0,22266478,0,2915 28,3,2024-09-07 09:19:21:776,1,406,8,0,502,4627,406,0 29,0,2024-09-07 09:19:21:362,102461,0.3,99831,0.6,195896,0.3,266939,1.75 29,1,2024-09-07 09:19:21:561,715556,715556,0,0,336094911066,3480847366,710697,4125,734,368,391753,0 29,2,2024-09-07 09:19:20:866,511316,511316,0,0,21063586,0,4986 29,3,2024-09-07 09:19:20:963,1,406,1,0,459,4845,406,0 30,0,2024-09-07 09:19:21:456,99625,0.5,96770,0.7,202625,0.5,265226,2.00 30,1,2024-09-07 09:19:20:571,715005,715005,0,0,335648304314,3489220345,708974,5265,766,381,391672,0 30,2,2024-09-07 09:19:21:274,513527,513527,0,0,20484528,0,4192 30,3,2024-09-07 09:19:20:587,1,406,1,0,519,4050,406,0 31,0,2024-09-07 09:19:21:777,105775,0.5,106340,0.7,212068,0.4,283395,2.00 31,1,2024-09-07 09:19:20:565,717400,717400,0,0,337002973904,3456897375,714386,2428,586,356,391712,0 31,2,2024-09-07 09:19:21:275,512793,512793,0,0,22224452,0,3525 31,3,2024-09-07 09:19:21:709,1,406,5,0,220,3674,406,0 32,0,2024-09-07 09:19:21:440,103206,0.3,103835,0.5,206767,0.3,275687,1.75 32,1,2024-09-07 09:19:20:804,714501,714501,0,0,335614508970,3493487805,709392,4429,680,381,391646,0 32,2,2024-09-07 09:19:20:939,517476,517476,0,0,20009299,0,3922 32,3,2024-09-07 09:19:21:014,1,406,7,0,304,3306,406,0 33,0,2024-09-07 09:19:21:511,99081,0.3,98680,0.4,197936,0.2,263363,1.50 33,1,2024-09-07 09:19:20:589,715351,715351,0,0,335730350126,3485376415,708846,5402,1103,369,391730,0 33,2,2024-09-07 09:19:20:760,512106,512071,35,0,22119619,0,7012 33,3,2024-09-07 09:19:20:901,1,406,4,0,329,4115,406,0 34,0,2024-09-07 09:19:20:930,98445,0.3,101273,0.4,193973,0.2,260835,1.75 34,1,2024-09-07 09:19:21:045,716150,716150,0,0,336059088961,3457712944,714414,1725,11,367,391562,0 34,2,2024-09-07 09:19:20:766,512684,512684,0,0,20651030,0,4562 34,3,2024-09-07 09:19:21:688,1,406,0,0,299,3163,406,0 35,0,2024-09-07 09:19:20:878,103717,0.4,104111,0.5,209217,0.3,278822,1.75 35,1,2024-09-07 09:19:21:070,714641,714641,0,0,334762943882,3472387654,710105,3680,856,382,391769,0 35,2,2024-09-07 09:19:21:583,513291,513291,0,0,22051307,0,4055 35,3,2024-09-07 09:19:20:909,1,406,1,0,418,4478,406,0 36,0,2024-09-07 09:19:21:531,104422,0.5,104358,0.7,208637,0.5,277375,2.25 36,1,2024-09-07 09:19:20:588,712975,712975,0,0,335105711691,3503678479,703329,7646,2000,366,391759,0 36,2,2024-09-07 09:19:21:751,517385,517385,0,0,23351826,0,3875 36,3,2024-09-07 09:19:20:866,1,406,4,0,416,6026,406,0 37,0,2024-09-07 09:19:21:376,98303,0.4,98320,0.6,196832,0.4,261939,2.00 37,1,2024-09-07 09:19:20:569,712891,712884,0,7,333717225893,3488762244,704251,6360,2273,365,391573,0 37,2,2024-09-07 09:19:21:141,509390,509375,15,0,23169499,0,5815 37,3,2024-09-07 09:19:21:766,1,406,1,0,888,6291,406,0 38,0,2024-09-07 09:19:21:436,97767,0.5,94847,0.6,198436,0.4,259568,2.00 38,1,2024-09-07 09:19:21:609,713942,713942,0,0,334787030950,3502807679,703403,8378,2161,368,391821,0 38,2,2024-09-07 09:19:20:761,511523,511476,47,0,22753949,0,6710 38,3,2024-09-07 09:19:20:996,1,406,0,0,689,5423,406,0 39,0,2024-09-07 09:19:21:760,105122,0.6,102942,0.7,200240,0.5,273982,2.00 39,1,2024-09-07 09:19:20:734,712044,712044,0,0,333455923672,3501295086,699630,9724,2690,365,391658,0 39,2,2024-09-07 09:19:21:417,513849,513849,0,0,21761665,0,3391 39,3,2024-09-07 09:19:20:713,1,406,1,0,324,4845,406,0 40,0,2024-09-07 09:19:21:495,104407,0.9,105132,1.0,209400,1.0,279211,2.75 40,1,2024-09-07 09:19:20:577,712746,712746,0,0,333829427448,3500845972,701961,8742,2043,368,391668,0 40,2,2024-09-07 09:19:21:306,515034,515033,1,0,25586879,0,5137 40,3,2024-09-07 09:19:21:142,1,406,12,0,1028,5751,406,0 41,0,2024-09-07 09:19:21:023,99998,1.4,102262,1.2,195232,2.2,265386,3.25 41,1,2024-09-07 09:19:20:778,711784,711784,0,0,334911056995,3507412017,702605,8139,1040,369,391742,0 41,2,2024-09-07 09:19:20:760,510889,510889,0,0,24316222,0,4277 41,3,2024-09-07 09:19:21:676,1,406,1,0,366,4193,406,0 42,0,2024-09-07 09:19:21:474,99246,0.8,99310,1.0,198735,0.9,262984,2.75 42,1,2024-09-07 09:19:21:447,710365,710365,0,0,332968689314,3504314829,698405,9929,2031,380,391675,0 42,2,2024-09-07 09:19:21:134,510673,510673,0,0,24663424,0,3790 42,3,2024-09-07 09:19:21:009,1,406,1,0,892,4219,406,0 43,0,2024-09-07 09:19:20:917,99753,0.8,97145,1.0,203480,0.9,267289,2.25 43,1,2024-09-07 09:19:20:577,713047,713047,0,0,335090368009,3505242174,702721,8587,1739,366,391696,0 43,2,2024-09-07 09:19:21:736,513193,513193,0,0,24238697,0,3812 43,3,2024-09-07 09:19:21:749,1,406,12,0,548,5497,406,0 44,0,2024-09-07 09:19:20:859,107153,0.5,107443,0.7,215317,0.5,285885,2.00 44,1,2024-09-07 09:19:20:569,714856,714856,0,0,335422316944,3471675488,708363,5175,1318,356,391809,0 44,2,2024-09-07 09:19:21:269,512623,512623,0,0,20388515,0,4344 44,3,2024-09-07 09:19:21:097,1,406,8,0,817,4982,406,0 45,0,2024-09-07 09:19:21:757,100817,0.5,98523,0.7,206618,0.5,272112,2.00 45,1,2024-09-07 09:19:21:004,714095,714095,0,0,334946806972,3483665564,708446,5076,573,382,391917,0 45,2,2024-09-07 09:19:21:270,516286,516286,0,0,20773139,0,3596 45,3,2024-09-07 09:19:20:935,1,406,1,0,271,3633,406,0 46,0,2024-09-07 09:19:20:956,98557,0.5,98512,0.7,197407,0.4,261805,2.00 46,1,2024-09-07 09:19:20:585,715423,715423,0,0,336240224403,3476300858,710760,4072,591,366,391709,0 46,2,2024-09-07 09:19:20:593,511918,511918,0,0,20832458,0,4443 46,3,2024-09-07 09:19:21:131,1,406,1,0,908,5371,406,0 47,0,2024-09-07 09:19:21:106,99347,0.4,99555,0.6,199557,0.4,264461,2.00 47,1,2024-09-07 09:19:20:567,716070,716070,0,0,335647508144,3472312733,711217,4016,837,366,391641,0 47,2,2024-09-07 09:19:20:908,514538,514538,0,0,20174047,0,4477 47,3,2024-09-07 09:19:21:117,1,406,4,0,600,5164,406,0 48,0,2024-09-07 09:19:21:493,105481,0.3,105204,0.5,209841,0.2,280221,1.75 48,1,2024-09-07 09:19:21:022,714315,714315,0,0,334969289363,3482311325,709610,4249,456,384,391710,0 48,2,2024-09-07 09:19:20:713,513055,513055,0,0,19101728,0,3411 48,3,2024-09-07 09:19:20:753,1,406,8,0,339,3402,406,0 49,0,2024-09-07 09:19:21:711,105913,0.4,104102,0.5,201648,0.3,276775,1.75 49,1,2024-09-07 09:19:21:020,714086,714086,0,0,334536062024,3484015589,708938,3943,1205,382,391809,0 49,2,2024-09-07 09:19:21:801,517621,517621,0,0,21205173,0,4426 49,3,2024-09-07 09:19:21:416,1,406,9,0,992,5030,406,0 50,0,2024-09-07 09:19:21:524,99097,0.3,97470,0.4,196849,0.2,262221,1.75 50,1,2024-09-07 09:19:21:010,716488,716488,0,0,336529506893,3482065124,711583,4331,574,368,391565,0 50,2,2024-09-07 09:19:21:069,511107,511107,0,0,19267664,0,4490 50,3,2024-09-07 09:19:21:294,1,406,1,0,567,4178,406,0 51,0,2024-09-07 09:19:21:686,100532,0.3,98366,0.5,191795,0.2,261380,1.75 51,1,2024-09-07 09:19:21:682,716183,716183,0,0,336669733092,3474557761,712232,2902,1049,365,391706,0 51,2,2024-09-07 09:19:21:317,512535,512535,0,0,18253032,0,3337 51,3,2024-09-07 09:19:21:027,1,406,26,0,678,3426,406,0 52,0,2024-09-07 09:19:21:467,104069,0.5,103846,0.7,208118,0.5,276786,2.00 52,1,2024-09-07 09:19:20:587,712963,712963,0,0,334542749577,3503680497,702638,8972,1353,368,391722,0 52,2,2024-09-07 09:19:21:755,510396,510358,38,0,24577237,0,6742 52,3,2024-09-07 09:19:20:675,1,406,11,0,1782,5802,406,0 53,0,2024-09-07 09:19:21:745,103792,0.7,100817,0.8,211066,0.8,276727,2.50 53,1,2024-09-07 09:19:20:772,711666,711666,0,0,333650083878,3504252778,699763,8944,2959,367,391702,0 53,2,2024-09-07 09:19:21:310,516690,516689,1,0,22313242,0,5455 53,3,2024-09-07 09:19:20:697,1,406,1,0,308,3709,406,0 54,0,2024-09-07 09:19:21:617,98452,0.6,98771,0.8,196814,0.4,262811,2.25 54,1,2024-09-07 09:19:20:589,713207,713207,0,0,334926848536,3484464533,705937,6031,1239,366,391659,0 54,2,2024-09-07 09:19:20:867,511810,511778,32,0,25268307,0,6397 54,3,2024-09-07 09:19:20:762,1,406,3,0,676,6088,406,0 55,0,2024-09-07 09:19:21:760,96103,0.6,99260,0.7,200677,0.5,261051,2.25 55,1,2024-09-07 09:19:20:766,714130,714130,0,0,334416771033,3478751476,706501,6537,1092,365,391731,0 55,2,2024-09-07 09:19:20:728,511125,511069,56,0,24016379,0,7239 55,3,2024-09-07 09:19:20:674,1,406,2,0,304,4290,406,0 56,0,2024-09-07 09:19:21:673,103774,1.5,97786,1.2,201552,2.1,270261,3.00 56,1,2024-09-07 09:19:20:580,709283,709283,0,0,333414519221,3525202338,697811,9099,2373,381,391867,0 56,2,2024-09-07 09:19:21:304,512860,512860,0,0,24044576,0,3567 56,3,2024-09-07 09:19:21:059,1,406,62,0,705,5125,406,0 57,0,2024-09-07 09:19:20:934,105046,1.8,104757,1.3,210277,2.5,281368,3.50 57,1,2024-09-07 09:19:21:003,712419,712419,0,0,333922470119,3503426307,703821,7514,1084,366,392032,0 57,2,2024-09-07 09:19:21:335,514672,514672,0,0,25903999,0,3317 57,3,2024-09-07 09:19:21:741,1,406,1,0,455,4876,406,0 58,0,2024-09-07 09:19:20:565,100164,0.9,97359,1.0,203772,1.0,267028,2.50 58,1,2024-09-07 09:19:20:577,712461,712458,0,3,335124989314,3507657275,702510,8659,1289,367,391603,3 58,2,2024-09-07 09:19:21:073,513556,513556,0,0,24144322,0,2902 58,3,2024-09-07 09:19:21:068,1,406,2,0,1043,4533,406,0 59,0,2024-09-07 09:19:21:745,99439,0.7,99202,0.9,197885,0.8,263341,2.50 59,1,2024-09-07 09:19:20:804,712098,712098,0,0,334415332759,3506964622,701883,8406,1809,369,391653,0 59,2,2024-09-07 09:19:20:588,511388,511388,0,0,23853790,0,3727 59,3,2024-09-07 09:19:21:738,1,406,4,0,1015,5562,406,0 60,0,2024-09-07 09:19:21:727,99902,0.6,99813,0.7,200229,0.6,266217,2.00 60,1,2024-09-07 09:19:20:781,714358,714358,0,0,335594984838,3486528393,709220,4418,720,370,392031,0 60,2,2024-09-07 09:19:21:141,513114,513114,0,0,22459155,0,3811 60,3,2024-09-07 09:19:21:259,1,406,14,0,409,4912,406,0 61,0,2024-09-07 09:19:21:499,105759,0.6,106331,0.8,211852,0.6,282884,2.00 61,1,2024-09-07 09:19:20:773,712865,712865,0,0,334941154401,3512731053,704567,6869,1429,382,392127,0 61,2,2024-09-07 09:19:21:120,512761,512694,67,0,22780844,0,6411 61,3,2024-09-07 09:19:21:694,1,406,0,0,479,5682,406,0 62,0,2024-09-07 09:19:21:730,103700,0.5,106131,0.7,202771,0.5,275272,2.00 62,1,2024-09-07 09:19:21:116,716975,716969,0,6,336679382066,3474365350,713157,3551,261,365,391975,6 62,2,2024-09-07 09:19:21:645,515056,515055,1,0,23026905,0,5555 62,3,2024-09-07 09:19:21:143,1,406,1,0,482,3685,406,0 63,0,2024-09-07 09:19:21:454,99206,0.4,99051,0.6,198625,0.3,263745,1.75 63,1,2024-09-07 09:19:20:804,714740,714734,0,6,335249491081,3484144878,709768,4233,733,381,391800,6 63,2,2024-09-07 09:19:20:763,511335,511335,0,0,20825217,0,4369 63,3,2024-09-07 09:19:21:736,1,406,1,0,667,4335,406,0 64,0,2024-09-07 09:19:21:518,97830,0.5,97837,0.7,195442,0.5,259948,2.00 64,1,2024-09-07 09:19:20:755,713916,713916,0,0,334836679815,3492109806,707250,4963,1703,370,391783,0 64,2,2024-09-07 09:19:21:147,515575,515556,19,0,20641859,0,6121 64,3,2024-09-07 09:19:21:147,1,406,14,0,265,3829,406,0 65,0,2024-09-07 09:19:21:725,103281,0.7,103721,0.8,206761,0.8,276347,2.25 65,1,2024-09-07 09:19:20:882,712630,712630,0,0,334040789900,3494236678,707522,4568,540,382,391901,0 65,2,2024-09-07 09:19:21:706,513717,513717,0,0,23931919,0,3367 65,3,2024-09-07 09:19:21:708,1,406,138,0,782,4951,406,0 66,0,2024-09-07 09:19:21:762,103743,0.6,103702,0.8,207263,0.5,275846,2.25 66,1,2024-09-07 09:19:21:295,713818,713818,0,0,334275796897,3485537633,708333,4960,525,380,391743,0 66,2,2024-09-07 09:19:21:131,518772,518772,0,0,21173655,0,4956 66,3,2024-09-07 09:19:21:078,1,406,7,0,291,3664,406,0 67,0,2024-09-07 09:19:21:412,98881,0.5,98556,0.6,197723,0.4,262694,2.00 67,1,2024-09-07 09:19:20:768,714097,714096,0,1,334859092840,3490945907,708748,4557,791,380,391787,1 67,2,2024-09-07 09:19:20:587,513278,513278,0,0,20073163,0,3622 67,3,2024-09-07 09:19:21:750,1,406,39,0,392,4056,406,0 68,0,2024-09-07 09:19:20:581,98505,0.5,98308,0.7,196101,0.5,261658,2.00 68,1,2024-09-07 09:19:20:576,710905,710905,0,0,333724002402,3514074414,700861,7099,2945,381,391953,0 68,2,2024-09-07 09:19:21:045,509862,509762,100,0,27095859,0,8578 68,3,2024-09-07 09:19:20:747,1,406,11,0,417,4386,406,0 69,0,2024-09-07 09:19:21:758,102296,0.8,102893,0.9,204786,0.9,272628,2.25 69,1,2024-09-07 09:19:21:031,710974,710974,0,0,333236080024,3516499277,701211,7494,2269,384,391994,0 69,2,2024-09-07 09:19:21:733,512405,512376,29,0,28427915,0,6912 69,3,2024-09-07 09:19:20:766,1,406,0,0,698,5927,406,0 70,0,2024-09-07 09:19:21:537,104496,1.3,104634,1.1,210451,1.1,278517,2.75 70,1,2024-09-07 09:19:20:801,713898,713898,0,0,335085139036,3480717184,707927,5310,661,366,391725,0 70,2,2024-09-07 09:19:21:325,515547,515547,0,0,24011018,0,4323 70,3,2024-09-07 09:19:20:751,1,406,0,0,854,4871,406,0 71,0,2024-09-07 09:19:21:365,99749,0.8,99406,1.0,199648,1.0,266888,2.75 71,1,2024-09-07 09:19:21:596,713114,713114,0,0,334327618262,3491097274,704688,7624,802,368,391738,0 71,2,2024-09-07 09:19:21:069,512317,512317,0,0,23839785,0,4352 71,3,2024-09-07 09:19:21:750,1,406,1,0,644,5231,406,0 72,0,2024-09-07 09:19:21:026,103165,0.5,100864,0.7,196780,0.5,267332,2.00 72,1,2024-09-07 09:19:21:025,711989,711989,0,0,333680756045,3505420707,701131,8849,2009,369,391819,0 72,2,2024-09-07 09:19:21:755,510900,510900,0,0,26011037,0,3983 72,3,2024-09-07 09:19:21:754,1,406,68,0,564,6136,406,0 73,0,2024-09-07 09:19:21:145,98347,0.5,100696,0.7,206240,0.4,268233,2.00 73,1,2024-09-07 09:19:20:770,713598,713598,0,0,334630417855,3479016526,708362,4827,409,367,391858,0 73,2,2024-09-07 09:19:21:758,512934,512934,0,0,25379576,0,3701 73,3,2024-09-07 09:19:20:974,1,406,13,0,486,5605,406,0 74,0,2024-09-07 09:19:21:324,108094,0.6,110387,0.7,210652,0.5,286169,2.25 74,1,2024-09-07 09:19:20:655,712832,712832,0,0,333785960650,3489016767,704886,6351,1595,381,391762,0 74,2,2024-09-07 09:19:21:002,512922,512922,0,0,23422665,0,4253 74,3,2024-09-07 09:19:21:442,1,406,1,0,522,5502,406,0 75,0,2024-09-07 09:19:21:773,102496,0.5,101938,0.8,204487,0.5,273187,2.25 75,1,2024-09-07 09:19:21:586,713201,713201,0,0,334429612250,3491411129,706386,5987,828,380,391739,0 75,2,2024-09-07 09:19:21:350,514093,514093,0,0,25746551,0,4766 75,3,2024-09-07 09:19:21:069,1,406,1,0,702,5255,406,0 76,0,2024-09-07 09:19:20:603,98624,0.5,97906,0.7,196801,0.5,262597,2.25 76,1,2024-09-07 09:19:20:823,713014,713014,0,0,334097202148,3488966995,707592,4597,825,382,391692,0 76,2,2024-09-07 09:19:21:061,513499,513498,1,0,22669884,0,5144 76,3,2024-09-07 09:19:21:142,1,406,5,0,175,3846,406,0 77,0,2024-09-07 09:19:21:703,98680,0.6,99099,0.7,197951,0.6,263300,2.00 77,1,2024-09-07 09:19:20:829,713621,713621,0,0,334603702799,3495252596,707812,5308,501,381,391869,0 77,2,2024-09-07 09:19:21:284,512280,512280,0,0,22315313,0,3890 77,3,2024-09-07 09:19:21:105,1,406,6,0,401,4731,406,0 78,0,2024-09-07 09:19:21:719,105288,0.5,104685,0.7,210332,0.4,278817,2.00 78,1,2024-09-07 09:19:20:611,713768,713768,0,0,333417317367,3478020365,705926,6275,1567,367,391670,0 78,2,2024-09-07 09:19:21:405,513580,513567,13,0,21054570,0,8313 78,3,2024-09-07 09:19:21:134,1,406,0,0,181,3675,406,0 79,0,2024-09-07 09:19:21:346,99549,0.4,101870,0.6,208770,0.4,271576,2.25 79,1,2024-09-07 09:19:20:577,715448,715448,0,0,335176426116,3478364865,708880,5260,1308,367,391682,0 79,2,2024-09-07 09:19:21:069,518091,518091,0,0,20821099,0,4195 79,3,2024-09-07 09:19:20:749,1,406,1,0,418,5285,406,0 80,0,2024-09-07 09:19:21:091,98448,0.5,101401,0.6,194025,0.5,261728,2.00 80,1,2024-09-07 09:19:21:684,713170,713170,0,0,335660509092,3499352869,707626,5123,421,368,392269,0 80,2,2024-09-07 09:19:21:097,513073,513073,0,0,20632653,0,4433 80,3,2024-09-07 09:19:20:589,1,406,3,0,190,4821,406,0 81,0,2024-09-07 09:19:21:550,97992,0.5,100315,0.7,191481,0.5,260232,2.00 81,1,2024-09-07 09:19:21:651,712431,712431,0,0,333613304339,3489582669,706437,5455,539,382,391879,0 81,2,2024-09-07 09:19:21:125,510621,510558,63,0,23033032,0,5932 81,3,2024-09-07 09:19:21:117,1,406,1,0,719,5036,406,0 82,0,2024-09-07 09:19:21:532,103363,0.5,103714,0.8,207924,0.5,276093,2.00 82,1,2024-09-07 09:19:20:592,714553,714549,0,4,334865403932,3486656650,709856,3875,818,381,391768,4 82,2,2024-09-07 09:19:21:692,514770,514770,0,0,19706439,0,4484 82,3,2024-09-07 09:19:21:751,1,406,2,0,363,4522,406,0 83,0,2024-09-07 09:19:21:521,104606,0.7,104590,0.8,208205,0.7,276986,2.25 83,1,2024-09-07 09:19:20:555,712618,712618,0,0,335290666132,3499906568,707095,5073,450,382,391709,0 83,2,2024-09-07 09:19:20:764,517122,517122,0,0,20483451,0,3393 83,3,2024-09-07 09:19:20:761,1,406,1,0,1260,5408,406,0 84,0,2024-09-07 09:19:21:785,99311,0.7,99358,0.8,198119,0.6,265302,2.25 84,1,2024-09-07 09:19:21:048,712250,712250,0,0,334026221039,3492803756,704586,6622,1042,367,391967,0 84,2,2024-09-07 09:19:20:588,510641,510611,30,0,27417927,0,5971 84,3,2024-09-07 09:19:21:143,1,406,16,0,908,6226,406,0 85,0,2024-09-07 09:19:21:013,95817,0.6,95787,0.8,203390,0.5,262388,2.25 85,1,2024-09-07 09:19:20:567,710661,710661,0,0,332987509583,3517137243,699869,8875,1917,381,392006,0 85,2,2024-09-07 09:19:20:871,510891,510891,0,0,25343027,0,3656 85,3,2024-09-07 09:19:20:691,1,406,6,0,789,5134,406,0 86,0,2024-09-07 09:19:20:877,101501,0.7,104452,0.8,199780,0.7,270530,2.25 86,1,2024-09-07 09:19:20:824,712500,712500,0,0,334870385715,3510240631,703848,7213,1439,366,391961,0 86,2,2024-09-07 09:19:20:854,511246,511245,1,0,27492635,0,5004 86,3,2024-09-07 09:19:20:596,1,406,1,0,308,5996,406,0 87,0,2024-09-07 09:19:21:281,106366,1.1,106270,1.0,212689,1.4,283800,2.50 87,1,2024-09-07 09:19:20:551,711041,711041,0,0,334454177898,3509559564,701152,8299,1590,366,392076,0 87,2,2024-09-07 09:19:21:073,513222,513216,6,0,24561088,0,6323 87,3,2024-09-07 09:19:21:803,1,406,1,0,473,6549,406,0 88,0,2024-09-07 09:19:21:586,101622,0.4,102395,0.6,203727,0.4,271349,1.75 88,1,2024-09-07 09:19:20:587,710338,710338,0,0,334347764527,3505915522,700764,7567,2007,365,392084,0 88,2,2024-09-07 09:19:20:702,514215,514215,0,0,26503355,0,4465 88,3,2024-09-07 09:19:21:269,1,406,10,0,435,4344,406,0 89,0,2024-09-07 09:19:21:766,102595,0.4,99374,0.6,196575,0.4,267049,1.75 89,1,2024-09-07 09:19:20:552,711073,711073,0,0,333720571104,3511927524,701916,7733,1424,382,391866,0 89,2,2024-09-07 09:19:21:132,510309,510309,0,0,24856791,0,3173 89,3,2024-09-07 09:19:21:800,1,406,3,0,468,7417,406,0 90,0,2024-09-07 09:19:21:643,96970,0.5,99512,0.6,203148,0.4,265333,2.00 90,1,2024-09-07 09:19:20:599,712121,712121,0,0,334167836974,3501573913,705398,6214,509,380,391825,0 90,2,2024-09-07 09:19:21:417,510960,510960,0,0,26375591,0,3060 90,3,2024-09-07 09:19:20:933,1,406,1,0,322,5068,406,0 91,0,2024-09-07 09:19:20:927,106527,0.5,103190,0.6,215686,0.5,283752,2.00 91,1,2024-09-07 09:19:20:590,711185,711185,0,0,334326411106,3518837815,701942,7843,1400,381,392047,0 91,2,2024-09-07 09:19:21:335,513415,513415,0,0,23397028,0,2896 91,3,2024-09-07 09:19:20:606,1,406,8,0,216,4159,406,0 92,0,2024-09-07 09:19:21:492,103929,0.5,106629,0.6,203620,0.5,275733,1.75 92,1,2024-09-07 09:19:20:628,713016,713016,0,0,334435416811,3495079603,707498,4795,723,382,392136,0 92,2,2024-09-07 09:19:21:359,517664,517664,0,0,21117290,0,3259 92,3,2024-09-07 09:19:21:009,1,406,21,0,167,3685,406,0 93,0,2024-09-07 09:19:20:958,99620,0.4,102079,0.5,194754,0.3,263819,1.75 93,1,2024-09-07 09:19:20:807,712145,712145,0,0,334910957504,3500203323,704032,6732,1381,366,391776,0 93,2,2024-09-07 09:19:20:928,510756,510756,0,0,25256194,0,4845 93,3,2024-09-07 09:19:21:414,1,406,0,0,190,3698,406,0 94,0,2024-09-07 09:19:21:665,97999,0.4,98727,0.5,197264,0.3,261700,1.75 94,1,2024-09-07 09:19:20:565,713318,713318,0,0,334719690059,3494250834,708544,4565,209,381,391850,0 94,2,2024-09-07 09:19:20:763,511705,511705,0,0,21228592,0,2443 94,3,2024-09-07 09:19:21:688,1,406,3,0,576,5582,406,0 95,0,2024-09-07 09:19:21:364,104185,0.4,104063,0.5,208807,0.3,278604,1.75 95,1,2024-09-07 09:19:20:864,714064,714064,0,0,334644126443,3481911994,708033,5595,436,367,391786,0 95,2,2024-09-07 09:19:21:016,513011,513011,0,0,21063162,0,3308 95,3,2024-09-07 09:19:21:710,1,406,2,0,718,6106,406,0 96,0,2024-09-07 09:19:21:082,104329,0.4,104650,0.5,208823,0.4,276925,1.75 96,1,2024-09-07 09:19:21:588,712738,712738,0,0,334663343796,3495229963,707207,4650,881,384,391955,0 96,2,2024-09-07 09:19:21:355,517802,517802,0,0,22135566,0,4180 96,3,2024-09-07 09:19:21:142,1,406,8,0,411,4611,406,0 97,0,2024-09-07 09:19:21:365,98928,0.3,98535,0.5,197761,0.2,262662,1.50 97,1,2024-09-07 09:19:20:791,714432,714432,0,0,336486328243,3493265976,709299,4204,929,367,392140,0 97,2,2024-09-07 09:19:20:617,511926,511926,0,0,20961546,0,3679 97,3,2024-09-07 09:19:20:587,1,406,10,0,242,4760,406,0 98,0,2024-09-07 09:19:21:715,98060,0.3,98107,0.4,197002,0.2,261417,1.50 98,1,2024-09-07 09:19:20:570,713013,713013,0,0,334421395789,3487668191,708069,4154,790,382,391997,0 98,2,2024-09-07 09:19:20:778,512080,512080,0,0,21247412,0,4336 98,3,2024-09-07 09:19:20:698,1,406,2,0,840,6665,406,0 99,0,2024-09-07 09:19:21:466,102713,0.3,103495,0.5,205554,0.3,275013,1.75 99,1,2024-09-07 09:19:21:722,713576,713576,0,0,334602044857,3485277804,708650,4091,835,381,392069,0 99,2,2024-09-07 09:19:21:420,514186,514186,0,0,25051850,0,4276 99,3,2024-09-07 09:19:20:587,1,406,0,0,606,4587,406,0 100,0,2024-09-07 09:19:21:493,105392,0.9,105502,1.0,210338,1.1,281152,2.50 100,1,2024-09-07 09:19:20:550,710092,710092,0,0,332508331916,3515802879,699400,8649,2043,381,391989,0 100,2,2024-09-07 09:19:21:817,514188,514177,11,0,24573400,0,5417 100,3,2024-09-07 09:19:21:736,1,406,8,0,559,6823,406,0 101,0,2024-09-07 09:19:21:698,102783,0.9,99995,1.0,196452,0.8,268833,2.25 101,1,2024-09-07 09:19:20:552,710315,710315,0,0,333461099774,3511813042,699657,8538,2120,368,391847,0 101,2,2024-09-07 09:19:21:759,509223,509223,0,0,29180299,0,4871 101,3,2024-09-07 09:19:20:959,1,406,1,0,579,5815,406,0 102,0,2024-09-07 09:19:20:953,97333,0.6,100399,0.7,203462,0.6,266289,2.00 102,1,2024-09-07 09:19:21:146,710691,710691,0,0,333339348077,3506611933,700844,8087,1760,369,391891,0 102,2,2024-09-07 09:19:21:738,512030,511976,54,0,23484793,0,6768 102,3,2024-09-07 09:19:21:629,1,406,1,0,466,4606,406,0 103,0,2024-09-07 09:19:21:610,104191,0.6,104168,0.7,196300,0.6,270511,2.00 103,1,2024-09-07 09:19:21:673,709555,709555,0,0,333783850653,3528408112,697632,8857,3066,381,391829,0 103,2,2024-09-07 09:19:20:587,511361,511361,0,0,25949173,0,3766 103,3,2024-09-07 09:19:20:761,1,406,1,0,916,4604,406,0 104,0,2024-09-07 09:19:21:045,106165,0.8,106538,1.0,211992,0.8,284298,2.50 104,1,2024-09-07 09:19:21:616,711814,711814,0,0,333802644617,3510591274,700987,8966,1861,365,392168,0 104,2,2024-09-07 09:19:21:666,512205,512205,0,0,25101638,0,3941 104,3,2024-09-07 09:19:21:418,1,406,9,0,1245,8456,406,0 105,0,2024-09-07 09:19:21:094,101001,0.9,98274,1.1,205928,0.9,271308,2.75 105,1,2024-09-07 09:19:20:554,712423,712423,0,0,334357269489,3507198255,703011,7991,1421,366,392009,0 105,2,2024-09-07 09:19:21:326,514741,514741,0,0,24966418,0,3509 105,3,2024-09-07 09:19:21:314,1,406,13,0,399,6384,406,0 106,0,2024-09-07 09:19:20:939,95654,0.7,98061,0.9,200645,0.7,262497,2.50 106,1,2024-09-07 09:19:21:751,711187,711187,0,0,333544613511,3506848396,700182,9561,1444,369,391914,0 106,2,2024-09-07 09:19:20:763,510592,510592,0,0,24188237,0,2920 106,3,2024-09-07 09:19:20:677,1,406,18,0,470,5352,406,0 107,0,2024-09-07 09:19:21:103,98937,1.0,99106,0.9,197695,1.2,264318,2.25 107,1,2024-09-07 09:19:20:588,710665,710665,0,0,333053246117,3513856856,700512,9103,1050,381,392234,0 107,2,2024-09-07 09:19:21:294,510772,510771,1,0,25148823,0,5024 107,3,2024-09-07 09:19:21:760,1,406,6,0,370,6402,406,0 108,0,2024-09-07 09:19:21:806,104673,0.5,105534,0.6,209605,0.4,279937,1.75 108,1,2024-09-07 09:19:21:341,712877,712877,0,0,335366709373,3500012606,706761,5375,741,368,391857,0 108,2,2024-09-07 09:19:21:761,511988,511988,0,0,23714914,0,4246 108,3,2024-09-07 09:19:21:341,1,406,1,0,749,7781,406,0 109,0,2024-09-07 09:19:21:784,103876,0.4,103025,0.6,205904,0.4,275814,1.75 109,1,2024-09-07 09:19:20:590,710572,710572,0,0,334047725588,3509316193,703325,6065,1182,382,392132,0 109,2,2024-09-07 09:19:20:923,515123,515123,0,0,23340179,0,3617 109,3,2024-09-07 09:19:21:147,1,406,10,0,379,4804,406,0 110,0,2024-09-07 09:19:21:757,98345,0.4,95816,0.6,200865,0.3,262571,1.75 110,1,2024-09-07 09:19:21:643,714067,714067,0,0,334655852191,3477227668,708687,4204,1176,369,392045,0 110,2,2024-09-07 09:19:21:306,511585,511585,0,0,22141981,0,4067 110,3,2024-09-07 09:19:20:692,1,406,2,0,722,5843,406,0 111,0,2024-09-07 09:19:21:430,98359,0.4,97527,0.5,195742,0.3,261543,1.75 111,1,2024-09-07 09:19:21:000,714425,714425,0,0,336301307462,3490497272,710549,3521,355,380,391690,0 111,2,2024-09-07 09:19:21:117,511147,511147,0,0,21858016,0,4823 111,3,2024-09-07 09:19:20:917,1,406,108,0,379,5016,406,0 112,0,2024-09-07 09:19:20:913,104370,0.3,103997,0.4,208027,0.2,277122,1.50 112,1,2024-09-07 09:19:20:830,714578,714578,0,0,335682986471,3486011873,709896,4069,613,380,391624,0 112,2,2024-09-07 09:19:21:132,513645,513644,1,0,21150197,0,5036 112,3,2024-09-07 09:19:20:592,1,406,10,0,282,4099,406,0 113,0,2024-09-07 09:19:20:877,104627,0.3,104767,0.5,209695,0.3,279070,1.75 113,1,2024-09-07 09:19:21:684,716657,716657,0,0,335421204449,3463326910,712771,3297,589,366,391661,0 113,2,2024-09-07 09:19:21:311,518406,518406,0,0,19637385,0,3813 113,3,2024-09-07 09:19:20:684,1,406,1,0,340,4673,406,0 114,0,2024-09-07 09:19:20:876,100283,0.3,100905,0.5,200630,0.2,267843,1.75 114,1,2024-09-07 09:19:20:722,713826,713826,0,0,334390257480,3477437324,707568,4642,1616,381,391565,0 114,2,2024-09-07 09:19:20:888,512495,512494,1,0,20447308,0,5069 114,3,2024-09-07 09:19:21:280,1,406,1,0,395,3464,406,0 115,0,2024-09-07 09:19:20:558,99501,0.3,100048,0.4,199654,0.2,264922,1.50 115,1,2024-09-07 09:19:20:587,713982,713982,0,0,335232243064,3488303920,707499,5218,1265,382,391757,0 115,2,2024-09-07 09:19:21:127,513495,513495,0,0,20179379,0,4382 115,3,2024-09-07 09:19:21:002,1,406,1,0,159,2355,406,0 116,0,2024-09-07 09:19:21:750,101194,0.8,101154,0.9,202995,0.9,271669,2.25 116,1,2024-09-07 09:19:20:806,710544,710544,0,0,333726991398,3518566405,701967,6253,2324,380,392089,0 116,2,2024-09-07 09:19:21:752,512468,512468,0,0,25793438,0,4128 116,3,2024-09-07 09:19:20:912,1,406,2,0,415,4904,406,0 117,0,2024-09-07 09:19:20:987,106878,0.8,106382,0.9,213022,1.0,284166,2.00 117,1,2024-09-07 09:19:21:581,712017,712017,0,0,334007140344,3498045036,704293,6811,913,369,392033,0 117,2,2024-09-07 09:19:21:117,517012,517012,0,0,22175570,0,4303 117,3,2024-09-07 09:19:21:062,1,406,1,0,490,6414,406,0 118,0,2024-09-07 09:19:21:772,98835,0.5,101259,0.7,206957,0.5,270302,2.00 118,1,2024-09-07 09:19:20:589,711703,711703,0,0,333989098172,3518734247,699820,8885,2998,366,391907,0 118,2,2024-09-07 09:19:21:588,513358,513358,0,0,24161334,0,2842 118,3,2024-09-07 09:19:21:769,1,406,1,0,248,4792,406,0 119,0,2024-09-07 09:19:21:363,99357,0.6,99794,0.7,199624,0.5,265480,2.00 119,1,2024-09-07 09:19:20:564,711630,711630,0,0,334466009890,3503570759,703161,7355,1114,367,391780,0 119,2,2024-09-07 09:19:21:261,512204,512204,0,0,22732183,0,4174 119,3,2024-09-07 09:19:21:328,1,406,3,0,1358,8376,406,0 120,0,2024-09-07 09:19:21:599,99296,0.7,99060,0.9,198572,0.7,265669,2.50 120,1,2024-09-07 09:19:20:881,712354,712354,0,0,334241315449,3511231596,704122,7465,767,368,391961,0 120,2,2024-09-07 09:19:20:778,511854,511853,1,0,26235960,0,5281 120,3,2024-09-07 09:19:21:302,1,406,16,0,279,5140,406,0 121,0,2024-09-07 09:19:21:706,105900,1.3,105780,1.1,212129,1.7,282907,2.50 121,1,2024-09-07 09:19:21:693,712951,712951,0,0,334618107831,3500881897,706247,6248,456,367,391840,0 121,2,2024-09-07 09:19:21:125,512009,512009,0,0,25312418,0,4127 121,3,2024-09-07 09:19:20:744,1,406,3,0,269,4601,406,0 122,0,2024-09-07 09:19:21:764,102584,0.8,100080,0.9,209966,0.9,275583,2.00 122,1,2024-09-07 09:19:20:868,711007,711007,0,0,334187110218,3511737386,700585,8821,1601,366,392130,0 122,2,2024-09-07 09:19:21:323,516251,516178,73,0,28150333,0,5989 122,3,2024-09-07 09:19:20:600,1,406,1,0,512,7633,406,0 123,0,2024-09-07 09:19:20:974,98529,0.6,96230,0.7,201155,0.6,263167,2.00 123,1,2024-09-07 09:19:20:558,710665,710665,0,0,334008099807,3523377561,697354,10910,2401,369,392039,0 123,2,2024-09-07 09:19:21:019,509502,509501,1,0,23661319,0,5215 123,3,2024-09-07 09:19:21:132,1,406,17,0,478,4936,406,0 124,0,2024-09-07 09:19:20:945,101286,0.3,101217,0.5,190890,0.3,262492,1.75 124,1,2024-09-07 09:19:21:025,714036,714036,0,0,334821284602,3483658380,708766,4221,1049,367,392178,0 124,2,2024-09-07 09:19:21:009,512862,512809,53,0,21551367,0,6487 124,3,2024-09-07 09:19:20:766,1,406,4,0,490,4045,406,0 125,0,2024-09-07 09:19:21:434,104403,0.4,104008,0.6,208957,0.4,278913,1.75 125,1,2024-09-07 09:19:20:869,712223,712223,0,0,333953708337,3490274792,706335,5220,668,382,391702,0 125,2,2024-09-07 09:19:21:117,513869,513869,0,0,22328136,0,4534 125,3,2024-09-07 09:19:21:133,1,406,2,0,709,5313,406,0 126,0,2024-09-07 09:19:21:425,104238,0.5,107329,0.6,205295,0.4,277592,1.75 126,1,2024-09-07 09:19:20:552,714717,714717,0,0,335789462703,3480424632,710720,3704,293,365,391987,0 126,2,2024-09-07 09:19:20:623,518090,518090,0,0,23095344,0,4539 126,3,2024-09-07 09:19:20:909,1,406,1,0,268,5205,406,0 127,0,2024-09-07 09:19:21:595,98761,0.3,99256,0.5,198010,0.3,262629,1.75 127,1,2024-09-07 09:19:20:569,714070,714070,0,0,334446932601,3482452040,706737,6114,1219,364,392187,0 127,2,2024-09-07 09:19:20:638,510985,510985,0,0,20707124,0,3897 127,3,2024-09-07 09:19:21:272,1,406,3,0,968,4523,406,0 128,0,2024-09-07 09:19:21:542,98722,0.3,98938,0.4,197341,0.2,261850,1.50 128,1,2024-09-07 09:19:21:611,713521,713521,0,0,335492017040,3485063293,708632,4457,432,367,391838,0 128,2,2024-09-07 09:19:21:456,512715,512715,0,0,19964211,0,3171 128,3,2024-09-07 09:19:20:783,1,406,1,0,1082,6532,406,0 129,0,2024-09-07 09:19:21:019,103486,0.3,103040,0.5,206603,0.3,275215,1.75 129,1,2024-09-07 09:19:20:573,710951,710951,0,0,333767368824,3501479741,703324,5959,1668,379,391962,0 129,2,2024-09-07 09:19:20:691,514195,514195,0,0,21487596,0,4031 129,3,2024-09-07 09:19:20:711,1,406,1,0,506,5603,406,0 130,0,2024-09-07 09:19:21:721,106316,0.6,105732,0.6,213060,0.6,282571,1.75 130,1,2024-09-07 09:19:20:589,714051,714051,0,0,334843088886,3482532984,710025,3687,339,381,391825,0 130,2,2024-09-07 09:19:21:126,517362,517362,0,0,21958914,0,4067 130,3,2024-09-07 09:19:21:295,1,406,0,0,960,6659,406,0 131,0,2024-09-07 09:19:21:965,100495,0.3,100948,0.5,202966,0.3,268706,1.75 131,1,2024-09-07 09:19:21:827,713481,713481,0,0,334697544111,3499153381,707527,4906,1048,381,391865,0 131,2,2024-09-07 09:19:20:567,515028,515028,0,0,19957112,0,3979 131,3,2024-09-07 09:19:21:688,1,406,1,0,392,4480,406,0 132,0,2024-09-07 09:19:21:463,99917,0.4,100954,0.6,200751,0.4,266977,1.75 132,1,2024-09-07 09:19:20:591,710370,710370,0,0,333214642972,3513670531,699255,9012,2103,381,392097,0 132,2,2024-09-07 09:19:20:702,511431,511414,17,0,26376454,0,6451 132,3,2024-09-07 09:19:21:702,1,406,17,0,804,7376,406,0 133,0,2024-09-07 09:19:21:532,98322,0.4,100615,0.6,206376,0.4,269006,2.00 133,1,2024-09-07 09:19:20:587,710138,710138,0,0,333698089742,3521284228,699604,9019,1515,383,391914,0 133,2,2024-09-07 09:19:21:086,511984,511934,50,0,27883877,0,6861 133,3,2024-09-07 09:19:21:304,1,406,1,0,479,4166,406,0 134,0,2024-09-07 09:19:20:937,107719,0.6,107310,0.8,214793,0.6,286536,2.25 134,1,2024-09-07 09:19:20:585,711327,711327,0,0,333451261086,3501860684,701546,7747,2034,366,391718,0 134,2,2024-09-07 09:19:21:756,511771,511747,24,0,25455887,0,6207 134,3,2024-09-07 09:19:20:749,1,406,1,0,739,5329,406,0 135,0,2024-09-07 09:19:21:152,98988,0.8,99031,0.9,210098,0.9,270830,2.25 135,1,2024-09-07 09:19:21:586,711486,711486,0,0,334191702442,3519713829,701539,8577,1370,380,391805,0 135,2,2024-09-07 09:19:20:692,516136,516136,0,0,24565387,0,3981 135,3,2024-09-07 09:19:21:005,1,406,0,0,299,3442,406,0 136,0,2024-09-07 09:19:21:705,99527,0.5,99616,0.7,198312,0.5,264529,2.00 136,1,2024-09-07 09:19:21:452,711859,711859,0,0,334142139122,3508159368,703301,7596,962,382,391685,0 136,2,2024-09-07 09:19:21:162,512808,512808,0,0,23494191,0,3506 136,3,2024-09-07 09:19:21:118,1,406,17,0,637,4807,406,0 137,0,2024-09-07 09:19:20:957,102126,0.6,99382,0.7,195098,0.6,265435,2.00 137,1,2024-09-07 09:19:20:588,710878,710878,0,0,333551717499,3504505638,699398,9121,2359,366,391898,0 137,2,2024-09-07 09:19:21:705,510935,510935,0,0,26272007,0,3185 137,3,2024-09-07 09:19:20:777,1,406,1,0,484,5165,406,0 138,0,2024-09-07 09:19:21:755,104451,1.1,104512,1.0,209915,1.4,278653,2.25 138,1,2024-09-07 09:19:21:689,711850,711850,0,0,334475575425,3512031417,701619,8510,1721,368,391954,0 138,2,2024-09-07 09:19:20:592,512568,512568,0,0,23966988,0,4988 138,3,2024-09-07 09:19:20:618,1,406,161,0,1160,5592,406,0 139,0,2024-09-07 09:19:21:387,102328,1.6,102342,1.2,205130,2.4,274143,2.50 139,1,2024-09-07 09:19:20:589,708277,708277,0,0,331740216074,3530488596,693953,11021,3303,380,392058,0 139,2,2024-09-07 09:19:20:693,513041,513041,0,0,27269279,0,3097 139,3,2024-09-07 09:19:21:665,1,406,1,0,432,4924,406,0 140,0,2024-09-07 09:19:21:591,98954,0.3,98381,0.5,197521,0.2,262874,1.75 140,1,2024-09-07 09:19:21:539,716203,716203,0,0,336590672212,3472683660,712642,3120,441,365,391606,0 140,2,2024-09-07 09:19:20:687,511927,511926,1,0,20932817,0,5036 140,3,2024-09-07 09:19:20:772,1,406,7,0,297,3558,406,0 141,0,2024-09-07 09:19:21:701,98188,0.3,100801,0.5,192640,0.3,261467,1.75 141,1,2024-09-07 09:19:20:872,714032,714032,0,0,335395503448,3486541875,708402,4674,956,379,391614,0 141,2,2024-09-07 09:19:21:686,512409,512409,0,0,20741739,0,3360 141,3,2024-09-07 09:19:21:045,1,406,1,0,391,4855,406,0 142,0,2024-09-07 09:19:21:330,104464,0.3,103874,0.5,207533,0.3,277755,1.75 142,1,2024-09-07 09:19:20:584,713419,713419,0,0,335176951238,3496144079,708799,4224,396,382,392102,0 142,2,2024-09-07 09:19:21:306,512928,512896,32,0,22864267,0,6028 142,3,2024-09-07 09:19:21:748,1,406,2,0,484,4758,406,0 143,0,2024-09-07 09:19:21:397,104916,0.5,104848,0.6,210004,0.5,279054,1.75 143,1,2024-09-07 09:19:20:563,714725,714725,0,0,335042426727,3480683334,709692,4489,544,367,391705,0 143,2,2024-09-07 09:19:20:778,517101,517101,0,0,21838610,0,3123 143,3,2024-09-07 09:19:21:141,1,406,8,0,462,5212,406,0 144,0,2024-09-07 09:19:21:495,96848,0.6,99498,0.8,202930,0.5,265720,2.00 144,1,2024-09-07 09:19:20:567,710907,710907,0,0,333508195160,3505047331,703201,5872,1834,381,391649,0 144,2,2024-09-07 09:19:21:755,512883,512883,0,0,20536142,0,3673 144,3,2024-09-07 09:19:21:741,1,406,12,0,249,4112,406,0 145,0,2024-09-07 09:19:21:355,96130,0.5,96132,0.8,204044,0.5,262041,2.25 145,1,2024-09-07 09:19:20:552,710793,710793,0,0,333646612705,3512869443,701188,7943,1662,382,391759,0 145,2,2024-09-07 09:19:21:429,510227,510146,81,0,24958889,0,7814 145,3,2024-09-07 09:19:20:900,1,406,1,0,622,5946,406,0 146,0,2024-09-07 09:19:21:623,101603,0.6,100904,0.8,203741,0.6,270227,2.25 146,1,2024-09-07 09:19:21:618,712478,712478,0,0,334052342682,3511511374,701558,8800,2120,367,391770,0 146,2,2024-09-07 09:19:21:706,511815,511815,0,0,24366832,0,3290 146,3,2024-09-07 09:19:21:274,1,406,0,0,1520,7921,406,0 147,0,2024-09-07 09:19:21:698,106709,0.7,106531,0.8,212432,0.7,283740,2.25 147,1,2024-09-07 09:19:21:379,715130,715130,0,0,335937489743,3497318285,708504,5781,845,368,391791,0 147,2,2024-09-07 09:19:21:010,514456,514456,0,0,21869365,0,2968 147,3,2024-09-07 09:19:20:928,1,406,3,0,1626,7301,406,0 0,0,2024-09-07 09:19:31:803,97344,0.6,97328,0.8,206517,0.7,267095,2.00 0,1,2024-09-07 09:19:30:888,713987,713987,0,0,335281598385,3510119467,708603,4998,386,369,391896,0 0,2,2024-09-07 09:19:31:116,515475,515475,0,0,21054211,0,4480 0,3,2024-09-07 09:19:30:982,1,407,44,0,431,6236,407,0 1,0,2024-09-07 09:19:31:863,106597,1.1,105876,1.0,212569,1.5,284912,2.25 1,1,2024-09-07 09:19:30:588,713602,713602,0,0,334760848460,3505823890,707331,4951,1320,370,391857,0 1,2,2024-09-07 09:19:30:693,513417,513417,0,0,21306100,0,3380 1,3,2024-09-07 09:19:31:305,1,407,9,0,262,4877,407,0 2,0,2024-09-07 09:19:31:573,102997,0.6,103338,0.8,205856,0.7,274893,2.00 2,1,2024-09-07 09:19:31:109,716145,716145,0,0,336167671407,3491331594,712863,2987,295,380,391745,0 2,2,2024-09-07 09:19:31:280,517943,517943,0,0,20391490,0,3594 2,3,2024-09-07 09:19:30:693,1,407,0,0,357,4096,407,0 3,0,2024-09-07 09:19:31:748,99074,0.4,99019,0.6,197811,0.3,263298,2.00 3,1,2024-09-07 09:19:31:627,714630,714630,0,0,335075598428,3492365093,708420,5520,690,380,391716,0 3,2,2024-09-07 09:19:31:203,512929,512906,23,0,21299575,0,5851 3,3,2024-09-07 09:19:31:758,1,407,56,0,207,2811,407,0 4,0,2024-09-07 09:19:31:834,95638,0.4,98294,0.5,200392,0.3,262134,1.75 4,1,2024-09-07 09:19:30:906,712366,712366,0,0,333390250772,3527159673,700572,9289,2505,370,391992,0 4,2,2024-09-07 09:19:31:017,511666,511666,0,0,24180217,0,4528 4,3,2024-09-07 09:19:31:204,1,407,1,0,448,5452,407,0 5,0,2024-09-07 09:19:31:494,104403,0.4,105025,0.6,209804,0.4,279062,1.75 5,1,2024-09-07 09:19:30:775,713285,713285,0,0,334847504360,3527698569,702952,8180,2153,367,392005,0 5,2,2024-09-07 09:19:31:838,512176,512176,0,0,23779933,0,3582 5,3,2024-09-07 09:19:31:991,1,407,4,0,457,5913,407,0 6,0,2024-09-07 09:19:30:946,104519,0.5,104201,0.7,208001,0.4,277480,2.00 6,1,2024-09-07 09:19:30:747,713513,713513,0,0,334873758951,3503382008,705314,6807,1392,379,391694,0 6,2,2024-09-07 09:19:31:116,517462,517444,18,0,24340701,0,5535 6,3,2024-09-07 09:19:31:279,1,407,189,0,710,5266,407,0 7,0,2024-09-07 09:19:31:557,98160,0.5,98917,0.6,196953,0.4,261794,2.00 7,1,2024-09-07 09:19:30:863,713210,713210,0,0,334989710784,3517598300,703444,8304,1462,382,391747,0 7,2,2024-09-07 09:19:30:777,513205,513205,0,0,23458200,0,4791 7,3,2024-09-07 09:19:30:862,1,407,2,0,552,4757,407,0 8,0,2024-09-07 09:19:31:453,98609,0.4,98292,0.5,197130,0.3,262246,1.75 8,1,2024-09-07 09:19:31:046,712310,712310,0,0,334560483979,3521999769,700808,8876,2626,366,392144,0 8,2,2024-09-07 09:19:30:845,508602,508602,0,0,27608965,0,3250 8,3,2024-09-07 09:19:30:635,1,407,18,0,538,6904,407,0 9,0,2024-09-07 09:19:31:155,103462,0.4,100462,0.5,210238,0.3,276125,1.75 9,1,2024-09-07 09:19:30:559,711904,711904,0,0,333897124179,3521356875,700864,8619,2421,369,392001,0 9,2,2024-09-07 09:19:31:120,513802,513802,0,0,24591017,0,3360 9,3,2024-09-07 09:19:31:763,1,407,1,0,496,5957,407,0 10,0,2024-09-07 09:19:31:633,105704,0.4,105149,0.6,211228,0.3,280745,1.75 10,1,2024-09-07 09:19:30:674,713184,713184,0,0,335177237682,3517764989,702805,8756,1623,381,391741,0 10,2,2024-09-07 09:19:30:791,517325,517325,0,0,27010433,0,4264 10,3,2024-09-07 09:19:30:879,1,407,0,0,649,4544,407,0 11,0,2024-09-07 09:19:31:034,100577,0.4,97580,0.6,204069,0.4,268946,1.75 11,1,2024-09-07 09:19:30:618,713870,713870,0,0,335525515790,3524067465,702623,8622,2625,383,391756,0 11,2,2024-09-07 09:19:31:130,512659,512659,0,0,23860781,0,4130 11,3,2024-09-07 09:19:31:314,1,407,2,0,843,6180,407,0 12,0,2024-09-07 09:19:31:012,100905,0.4,100965,0.5,201835,0.3,267702,1.75 12,1,2024-09-07 09:19:31:003,714546,714546,0,0,334822484607,3492898459,709010,5036,500,370,391870,0 12,2,2024-09-07 09:19:31:545,513510,513510,0,0,22894968,0,3469 12,3,2024-09-07 09:19:31:092,1,407,1,0,386,6241,407,0 13,0,2024-09-07 09:19:31:477,102184,0.4,101760,0.6,203061,0.4,271111,1.75 13,1,2024-09-07 09:19:31:636,712785,712785,0,0,335009399410,3524390102,705246,5912,1627,382,391740,0 13,2,2024-09-07 09:19:30:642,515948,515948,0,0,21286489,0,3287 13,3,2024-09-07 09:19:31:768,1,407,13,0,522,6181,407,0 14,0,2024-09-07 09:19:30:816,107198,0.5,108212,0.7,214190,0.4,285601,2.00 14,1,2024-09-07 09:19:31:566,717352,717352,0,0,336473587364,3488858569,711139,5505,708,364,391673,0 14,2,2024-09-07 09:19:30:847,515358,515328,30,0,23320360,0,6104 14,3,2024-09-07 09:19:31:117,1,407,4,0,1168,4697,407,0 15,0,2024-09-07 09:19:31:557,102152,0.4,102084,0.7,204549,0.4,272383,2.00 15,1,2024-09-07 09:19:31:627,714760,714760,0,0,335992764778,3503115305,708539,5043,1178,381,391619,0 15,2,2024-09-07 09:19:31:042,518057,518057,0,0,19194358,0,3622 15,3,2024-09-07 09:19:31:406,1,407,1,0,1126,6903,407,0 16,0,2024-09-07 09:19:31:107,99369,0.5,99677,0.7,199061,0.5,264741,2.00 16,1,2024-09-07 09:19:30:724,714564,714564,0,0,335522593782,3510712773,707982,5469,1113,370,391917,0 16,2,2024-09-07 09:19:31:449,512492,512492,0,0,22788933,0,4719 16,3,2024-09-07 09:19:31:205,1,407,3,0,317,5346,407,0 17,0,2024-09-07 09:19:31:786,102383,0.6,99926,0.8,195693,0.7,266289,2.00 17,1,2024-09-07 09:19:30:676,713595,713595,0,0,334534989799,3512932504,705973,6111,1511,368,392075,0 17,2,2024-09-07 09:19:31:679,515764,515764,0,0,22599881,0,3779 17,3,2024-09-07 09:19:30:635,1,407,24,0,518,6762,407,0 18,0,2024-09-07 09:19:30:984,104315,0.6,104672,0.8,208678,0.6,278811,2.25 18,1,2024-09-07 09:19:31:638,716117,716117,0,0,335817248090,3482194621,712188,3550,379,367,391725,0 18,2,2024-09-07 09:19:31:760,516367,516367,0,0,19977226,0,3541 18,3,2024-09-07 09:19:30:896,1,407,1,0,163,3236,407,0 19,0,2024-09-07 09:19:31:572,102991,0.7,103486,0.8,205291,0.7,273546,2.25 19,1,2024-09-07 09:19:30:586,716627,716627,0,0,336639728774,3494535029,710687,5019,921,367,391777,0 19,2,2024-09-07 09:19:31:760,520239,520239,0,0,19125244,0,3988 19,3,2024-09-07 09:19:31:135,1,407,2,0,524,3422,407,0 20,0,2024-09-07 09:19:31:347,98669,0.5,98698,0.6,197264,0.4,263030,2.00 20,1,2024-09-07 09:19:30:690,713969,713969,0,0,335641809026,3512071884,707461,5708,800,369,391886,0 20,2,2024-09-07 09:19:30:996,512249,512249,0,0,22671021,0,3721 20,3,2024-09-07 09:19:30:645,1,407,12,0,414,6084,407,0 21,0,2024-09-07 09:19:31:473,98315,0.5,98318,0.6,196507,0.4,261162,2.00 21,1,2024-09-07 09:19:31:577,711835,711835,0,0,333794791120,3522775770,700997,8367,2471,368,392016,0 21,2,2024-09-07 09:19:31:136,510302,510282,20,0,27655460,0,5617 21,3,2024-09-07 09:19:31:428,1,407,9,0,713,5359,407,0 22,0,2024-09-07 09:19:31:734,103977,0.5,104373,0.7,208812,0.5,277159,2.00 22,1,2024-09-07 09:19:31:201,713227,713227,0,0,334736105407,3521233424,702107,8894,2226,382,391667,0 22,2,2024-09-07 09:19:30:845,513301,513275,26,0,22172859,0,6328 22,3,2024-09-07 09:19:31:068,1,407,0,0,228,3462,407,0 23,0,2024-09-07 09:19:31:372,104346,0.6,103760,0.7,208060,0.5,277139,2.25 23,1,2024-09-07 09:19:31:010,713999,713999,0,0,334760530006,3519562254,701019,8927,4053,365,391690,0 23,2,2024-09-07 09:19:31:132,519416,519416,0,0,21689530,0,3773 23,3,2024-09-07 09:19:31:764,1,407,1,0,720,5517,407,0 24,0,2024-09-07 09:19:30:995,100602,0.4,100378,0.5,201384,0.4,267346,1.75 24,1,2024-09-07 09:19:30:919,713190,713190,0,0,334236585326,3507058400,705209,6391,1590,367,392269,0 24,2,2024-09-07 09:19:31:117,511782,511782,0,0,26014584,0,3607 24,3,2024-09-07 09:19:31:702,1,407,7,0,468,5700,407,0 25,0,2024-09-07 09:19:31:354,102065,0.4,99513,0.6,195074,0.3,265297,1.75 25,1,2024-09-07 09:19:30:691,712709,712709,0,0,335274218567,3535768783,700809,9655,2245,371,391928,0 25,2,2024-09-07 09:19:31:618,511530,511530,0,0,26380766,0,3978 25,3,2024-09-07 09:19:31:046,1,407,35,0,532,4754,407,0 26,0,2024-09-07 09:19:31:726,102071,0.4,99575,0.6,208824,0.4,272022,1.75 26,1,2024-09-07 09:19:31:544,714380,714380,0,0,334857435609,3510450209,703754,9042,1584,380,391748,0 26,2,2024-09-07 09:19:30:934,515142,515142,0,0,26586894,0,2809 26,3,2024-09-07 09:19:31:719,1,407,4,0,796,5098,407,0 27,0,2024-09-07 09:19:31:749,106776,0.5,106918,0.7,212689,0.5,283796,2.25 27,1,2024-09-07 09:19:31:682,716062,716062,0,0,335790340479,3501193970,708810,6352,900,381,391626,0 27,2,2024-09-07 09:19:30:889,513770,513705,65,0,24517929,0,5699 27,3,2024-09-07 09:19:31:021,1,407,1,0,564,4082,407,0 28,0,2024-09-07 09:19:31:404,102246,0.4,101762,0.6,204053,0.3,272142,2.00 28,1,2024-09-07 09:19:30:815,715128,715128,0,0,336072057790,3511571134,707942,5487,1699,383,391698,0 28,2,2024-09-07 09:19:31:770,515145,515145,0,0,22312817,0,2915 28,3,2024-09-07 09:19:31:779,1,407,3,0,502,4630,407,0 29,0,2024-09-07 09:19:31:433,102817,0.3,100184,0.6,196546,0.3,267860,1.75 29,1,2024-09-07 09:19:31:575,717317,717317,0,0,337105335386,3491178937,712458,4125,734,368,391753,0 29,2,2024-09-07 09:19:30:951,512848,512848,0,0,21105278,0,4986 29,3,2024-09-07 09:19:30:994,1,407,60,0,459,4905,407,0 30,0,2024-09-07 09:19:31:498,99913,0.5,97079,0.7,203178,0.5,265952,2.00 30,1,2024-09-07 09:19:30:586,716783,716783,0,0,336301497480,3495964946,710752,5265,766,381,391672,0 30,2,2024-09-07 09:19:31:283,515067,515067,0,0,20611940,0,4192 30,3,2024-09-07 09:19:30:683,1,407,19,0,519,4069,407,0 31,0,2024-09-07 09:19:31:762,106178,0.5,106789,0.7,212735,0.4,284937,2.00 31,1,2024-09-07 09:19:30:586,719207,719207,0,0,337436363686,3461459435,716193,2428,586,356,391712,0 31,2,2024-09-07 09:19:31:292,513869,513869,0,0,22256700,0,3525 31,3,2024-09-07 09:19:31:708,1,407,1,0,220,3675,407,0 32,0,2024-09-07 09:19:31:434,103547,0.3,104160,0.5,207408,0.3,276510,1.75 32,1,2024-09-07 09:19:30:849,716220,716220,0,0,336323557622,3500835477,711111,4429,680,381,391646,0 32,2,2024-09-07 09:19:30:988,518614,518614,0,0,20038515,0,3922 32,3,2024-09-07 09:19:31:015,1,407,11,0,304,3317,407,0 33,0,2024-09-07 09:19:31:496,99234,0.3,98840,0.4,198238,0.2,263756,1.50 33,1,2024-09-07 09:19:30:690,717005,717005,0,0,336501619862,3493243384,710497,5404,1104,369,391730,0 33,2,2024-09-07 09:19:30:760,513647,513612,35,0,22191326,0,7012 33,3,2024-09-07 09:19:30:901,1,407,0,0,329,4115,407,0 34,0,2024-09-07 09:19:30:977,98682,0.3,101528,0.4,194434,0.2,261471,1.75 34,1,2024-09-07 09:19:31:044,717894,717894,0,0,336890818633,3466143043,716158,1725,11,367,391562,0 34,2,2024-09-07 09:19:30:807,513969,513969,0,0,20692629,0,4562 34,3,2024-09-07 09:19:31:700,1,407,1,0,299,3164,407,0 35,0,2024-09-07 09:19:30:899,104131,0.4,104523,0.5,209997,0.3,279778,1.75 35,1,2024-09-07 09:19:31:105,716389,716389,0,0,335505152597,3480010094,711853,3680,856,382,391769,0 35,2,2024-09-07 09:19:31:586,514372,514372,0,0,22098059,0,4055 35,3,2024-09-07 09:19:30:912,1,407,1,0,418,4479,407,0 36,0,2024-09-07 09:19:31:531,104586,0.5,104546,0.7,208953,0.5,277846,2.25 36,1,2024-09-07 09:19:30:633,714731,714731,0,0,335878023814,3511514711,705085,7646,2000,366,391759,0 36,2,2024-09-07 09:19:31:759,518693,518693,0,0,23360392,0,3875 36,3,2024-09-07 09:19:30:949,1,407,1,0,416,6027,407,0 37,0,2024-09-07 09:19:31:377,98383,0.4,98436,0.6,197035,0.4,262171,2.00 37,1,2024-09-07 09:19:30:625,714702,714695,0,7,334706823075,3498769326,706061,6361,2273,365,391573,0 37,2,2024-09-07 09:19:31:170,510808,510793,15,0,23179288,0,5815 37,3,2024-09-07 09:19:31:774,1,407,1,0,888,6292,407,0 38,0,2024-09-07 09:19:31:448,97887,0.5,94965,0.6,198674,0.4,259893,2.00 38,1,2024-09-07 09:19:31:618,715733,715733,0,0,335495038964,3509993350,705194,8378,2161,368,391821,0 38,2,2024-09-07 09:19:30:807,512742,512695,47,0,22761990,0,6710 38,3,2024-09-07 09:19:30:998,1,407,0,0,689,5423,407,0 39,0,2024-09-07 09:19:31:760,105641,0.6,103413,0.7,201227,0.5,275336,2.00 39,1,2024-09-07 09:19:30:807,713870,713870,0,0,334432042601,3511156272,701456,9724,2690,365,391658,0 39,2,2024-09-07 09:19:31:440,515343,515343,0,0,21773019,0,3391 39,3,2024-09-07 09:19:30:738,1,407,1,0,324,4846,407,0 40,0,2024-09-07 09:19:31:496,104520,0.9,105241,1.0,209614,1.0,279494,2.75 40,1,2024-09-07 09:19:30:764,714685,714685,0,0,334635979342,3509527873,703870,8772,2043,368,391668,0 40,2,2024-09-07 09:19:31:309,516373,516372,1,0,25660391,0,5137 40,3,2024-09-07 09:19:31:203,1,407,0,0,1028,5751,407,0 41,0,2024-09-07 09:19:31:248,100119,1.4,102369,1.2,195444,2.2,265644,3.25 41,1,2024-09-07 09:19:30:771,713514,713514,0,0,335712890078,3515656626,704332,8142,1040,369,391742,0 41,2,2024-09-07 09:19:30:764,511694,511694,0,0,24364204,0,4277 41,3,2024-09-07 09:19:31:683,1,407,0,0,366,4193,407,0 42,0,2024-09-07 09:19:31:481,99458,0.8,99509,1.0,199147,0.9,263504,2.75 42,1,2024-09-07 09:19:31:441,712050,712050,0,0,333643524843,3511286405,700089,9930,2031,380,391675,0 42,2,2024-09-07 09:19:31:142,512070,512070,0,0,24735886,0,3790 42,3,2024-09-07 09:19:31:027,1,407,0,0,892,4219,407,0 43,0,2024-09-07 09:19:30:984,100224,0.8,97544,1.0,204315,0.9,268442,2.25 43,1,2024-09-07 09:19:30:638,714830,714830,0,0,336112212791,3515768994,704504,8587,1739,366,391696,0 43,2,2024-09-07 09:19:31:739,514690,514690,0,0,24306190,0,3812 43,3,2024-09-07 09:19:31:757,1,407,1,0,548,5498,407,0 44,0,2024-09-07 09:19:30:890,107299,0.5,107579,0.7,215602,0.5,286161,2.00 44,1,2024-09-07 09:19:30:588,716694,716694,0,0,336136912306,3478977264,710201,5175,1318,356,391809,0 44,2,2024-09-07 09:19:31:289,514070,514070,0,0,20422257,0,4344 44,3,2024-09-07 09:19:31:100,1,407,3,0,817,4985,407,0 45,0,2024-09-07 09:19:31:771,101124,0.5,98796,0.7,207236,0.5,272880,2.00 45,1,2024-09-07 09:19:31:013,715790,715790,0,0,335833074764,3493076423,710134,5083,573,382,391917,0 45,2,2024-09-07 09:19:31:280,517043,517043,0,0,20793260,0,3596 45,3,2024-09-07 09:19:30:934,1,407,1,0,271,3634,407,0 46,0,2024-09-07 09:19:30:989,98792,0.5,98712,0.7,197836,0.4,262381,2.00 46,1,2024-09-07 09:19:30:579,717179,717179,0,0,336975357747,3483881137,712516,4072,591,366,391709,0 46,2,2024-09-07 09:19:30:688,513351,513351,0,0,20881562,0,4443 46,3,2024-09-07 09:19:31:200,1,407,3,0,908,5374,407,0 47,0,2024-09-07 09:19:31:125,99829,0.4,99993,0.6,200441,0.4,265719,2.00 47,1,2024-09-07 09:19:30:630,717916,717916,0,0,336406679556,3480138421,713063,4016,837,366,391641,0 47,2,2024-09-07 09:19:30:985,516049,516049,0,0,20340569,0,4477 47,3,2024-09-07 09:19:31:121,1,407,1,0,600,5165,407,0 48,0,2024-09-07 09:19:31:494,105764,0.3,105465,0.5,210402,0.2,280965,1.75 48,1,2024-09-07 09:19:31:201,715997,715997,0,0,335973854212,3492508944,711292,4249,456,384,391710,0 48,2,2024-09-07 09:19:30:742,514143,514143,0,0,19129702,0,3411 48,3,2024-09-07 09:19:30:843,1,407,1,0,339,3403,407,0 49,0,2024-09-07 09:19:31:727,106248,0.4,104438,0.5,202299,0.3,277693,1.75 49,1,2024-09-07 09:19:31:058,715863,715863,0,0,335253309447,3491358464,710715,3943,1205,382,391809,0 49,2,2024-09-07 09:19:31:797,518764,518764,0,0,21230555,0,4426 49,3,2024-09-07 09:19:31:425,1,407,2,0,992,5032,407,0 50,0,2024-09-07 09:19:31:509,99261,0.3,97636,0.4,197206,0.2,262724,1.75 50,1,2024-09-07 09:19:31:027,718155,718155,0,0,337397926067,3490947520,713250,4331,574,368,391565,0 50,2,2024-09-07 09:19:31:069,512499,512499,0,0,19292775,0,4490 50,3,2024-09-07 09:19:31:311,1,407,1,0,567,4179,407,0 51,0,2024-09-07 09:19:31:687,100909,0.3,98715,0.5,192440,0.2,262348,1.75 51,1,2024-09-07 09:19:31:684,717964,717964,0,0,337468580694,3482658567,714013,2902,1049,365,391706,0 51,2,2024-09-07 09:19:31:318,513967,513967,0,0,18281796,0,3337 51,3,2024-09-07 09:19:31:133,1,407,1,0,678,3427,407,0 52,0,2024-09-07 09:19:31:424,104546,0.5,104328,0.7,208993,0.5,277942,2.00 52,1,2024-09-07 09:19:30:587,714778,714778,0,0,335405012434,3512433042,704453,8972,1353,368,391722,0 52,2,2024-09-07 09:19:31:763,511537,511499,38,0,24585646,0,6742 52,3,2024-09-07 09:19:30:763,1,407,1,0,1782,5803,407,0 53,0,2024-09-07 09:19:31:753,103921,0.7,100942,0.8,211312,0.8,277070,2.25 53,1,2024-09-07 09:19:30:848,713413,713413,0,0,334477555217,3512648762,701510,8944,2959,367,391702,0 53,2,2024-09-07 09:19:31:316,518020,518019,1,0,22322732,0,5455 53,3,2024-09-07 09:19:30:707,1,407,1,0,308,3710,407,0 54,0,2024-09-07 09:19:31:634,98590,0.6,98898,0.8,197072,0.4,263147,2.25 54,1,2024-09-07 09:19:30:629,715005,715005,0,0,335723864137,3492533772,707734,6032,1239,366,391659,0 54,2,2024-09-07 09:19:30:952,512942,512910,32,0,25276327,0,6397 54,3,2024-09-07 09:19:30:844,1,407,1,0,676,6089,407,0 55,0,2024-09-07 09:19:31:762,96111,0.6,99272,0.7,200696,0.5,261051,2.25 55,1,2024-09-07 09:19:30:845,715952,715952,0,0,335203351764,3486725077,708323,6537,1092,365,391731,0 55,2,2024-09-07 09:19:30:845,512411,512355,56,0,24026084,0,7239 55,3,2024-09-07 09:19:30:766,1,407,1,0,304,4291,407,0 56,0,2024-09-07 09:19:31:613,104120,1.5,98134,1.2,202283,2.1,271172,3.00 56,1,2024-09-07 09:19:30:808,711061,711061,0,0,334152727793,3533120037,699589,9099,2373,381,391867,0 56,2,2024-09-07 09:19:31:306,514361,514361,0,0,24111968,0,3567 56,3,2024-09-07 09:19:31:134,1,407,1,0,705,5126,407,0 57,0,2024-09-07 09:19:30:956,105130,1.8,104855,1.3,210478,2.5,281612,3.50 57,1,2024-09-07 09:19:30:994,714114,714114,0,0,334770851884,3512158261,705516,7514,1084,366,392032,0 57,2,2024-09-07 09:19:31:322,516134,516134,0,0,26124109,0,3317 57,3,2024-09-07 09:19:31:989,1,407,5,0,455,4881,407,0 58,0,2024-09-07 09:19:30:592,100417,0.9,97569,1.0,204187,1.0,267637,2.50 58,1,2024-09-07 09:19:30:586,714257,714254,0,3,335895930551,3515575702,704306,8659,1289,367,391603,3 58,2,2024-09-07 09:19:31:136,514248,514248,0,0,24166459,0,2902 58,3,2024-09-07 09:19:31:105,1,407,1,0,1043,4534,407,0 59,0,2024-09-07 09:19:31:767,99785,0.7,99529,0.9,198523,0.8,264236,2.50 59,1,2024-09-07 09:19:30:813,713824,713824,0,0,335309791645,3516367308,703609,8406,1809,369,391653,0 59,2,2024-09-07 09:19:30:669,512792,512792,0,0,24040521,0,3727 59,3,2024-09-07 09:19:31:987,1,407,1,0,1015,5563,407,0 60,0,2024-09-07 09:19:31:729,100169,0.6,100098,0.7,200793,0.6,266907,2.00 60,1,2024-09-07 09:19:30:845,716131,716131,0,0,336322422118,3494115516,710993,4418,720,370,392031,0 60,2,2024-09-07 09:19:31:219,514679,514679,0,0,22534628,0,3811 60,3,2024-09-07 09:19:31:286,1,407,2,0,409,4914,407,0 61,0,2024-09-07 09:19:31:577,106229,0.7,106842,0.8,212858,0.7,284619,2.00 61,1,2024-09-07 09:19:30:786,714711,714711,0,0,335718679512,3520840348,706411,6871,1429,382,392127,0 61,2,2024-09-07 09:19:31:136,513852,513785,67,0,22858872,0,6411 61,3,2024-09-07 09:19:31:700,1,407,1,0,479,5683,407,0 62,0,2024-09-07 09:19:31:721,104042,0.5,106491,0.7,203394,0.5,276301,2.00 62,1,2024-09-07 09:19:31:120,718789,718783,0,6,337453485143,3482433634,714971,3551,261,365,391975,6 62,2,2024-09-07 09:19:31:651,516134,516133,1,0,23053113,0,5555 62,3,2024-09-07 09:19:31:439,1,407,6,0,482,3691,407,0 63,0,2024-09-07 09:19:31:502,99355,0.4,99191,0.6,198915,0.3,264150,1.75 63,1,2024-09-07 09:19:30:824,716528,716522,0,6,336202531890,3493931872,711554,4235,733,381,391800,6 63,2,2024-09-07 09:19:30:847,512971,512971,0,0,20920540,0,4369 63,3,2024-09-07 09:19:31:761,1,407,30,0,667,4365,407,0 64,0,2024-09-07 09:19:31:517,98076,0.5,98087,0.7,195935,0.5,260563,2.00 64,1,2024-09-07 09:19:30:778,715658,715658,0,0,335478054684,3498707598,708992,4963,1703,370,391783,0 64,2,2024-09-07 09:19:31:201,516830,516811,19,0,20659170,0,6121 64,3,2024-09-07 09:19:31:202,1,407,1,0,265,3830,407,0 65,0,2024-09-07 09:19:31:698,103673,0.7,104102,0.8,207578,0.8,277407,2.25 65,1,2024-09-07 09:19:30:938,714429,714429,0,0,334846278470,3502533753,709321,4568,540,382,391901,0 65,2,2024-09-07 09:19:31:704,514788,514788,0,0,23948186,0,3367 65,3,2024-09-07 09:19:31:692,1,407,0,0,782,4951,407,0 66,0,2024-09-07 09:19:31:770,103929,0.6,103847,0.8,207607,0.5,276302,2.25 66,1,2024-09-07 09:19:31:294,715599,715599,0,0,335246492290,3495766616,710111,4963,525,380,391743,0 66,2,2024-09-07 09:19:31:141,520127,520127,0,0,21233605,0,4956 66,3,2024-09-07 09:19:31:081,1,407,1,0,291,3665,407,0 67,0,2024-09-07 09:19:31:419,98988,0.5,98657,0.6,197907,0.4,262929,2.00 67,1,2024-09-07 09:19:30:771,715870,715869,0,1,335785027929,3500571051,710521,4557,791,380,391787,1 67,2,2024-09-07 09:19:30:619,514634,514634,0,0,20101398,0,3622 67,3,2024-09-07 09:19:31:753,1,407,2,0,392,4058,407,0 68,0,2024-09-07 09:19:30:623,98608,0.5,98419,0.7,196341,0.5,262004,2.00 68,1,2024-09-07 09:19:30:621,712673,712673,0,0,334436787385,3521369225,702629,7099,2945,381,391953,0 68,2,2024-09-07 09:19:31:107,511008,510908,100,0,27106028,0,8578 68,3,2024-09-07 09:19:30:744,1,407,4,0,417,4390,407,0 69,0,2024-09-07 09:19:31:747,102771,0.8,103395,0.9,205768,0.9,273947,2.25 69,1,2024-09-07 09:19:31:032,712708,712708,0,0,334152972222,3525879318,702945,7494,2269,384,391994,0 69,2,2024-09-07 09:19:31:749,513967,513938,29,0,28443166,0,6912 69,3,2024-09-07 09:19:30:763,1,407,0,0,698,5927,407,0 70,0,2024-09-07 09:19:31:549,104609,1.3,104746,1.1,210656,1.1,278814,2.75 70,1,2024-09-07 09:19:30:808,715724,715724,0,0,335869914202,3488690672,709753,5310,661,366,391725,0 70,2,2024-09-07 09:19:31:325,516983,516983,0,0,24020666,0,4323 70,3,2024-09-07 09:19:30:746,1,407,2,0,854,4873,407,0 71,0,2024-09-07 09:19:31:363,99867,0.8,99520,1.0,199854,1.0,267136,2.75 71,1,2024-09-07 09:19:31:617,714867,714867,0,0,335053323358,3498457492,706441,7624,802,368,391738,0 71,2,2024-09-07 09:19:31:079,513125,513125,0,0,23850415,0,4352 71,3,2024-09-07 09:19:31:753,1,407,1,0,644,5232,407,0 72,0,2024-09-07 09:19:31:023,103389,0.5,101096,0.7,197171,0.5,267881,2.00 72,1,2024-09-07 09:19:31:030,713741,713741,0,0,334614443384,3514938280,702883,8849,2009,369,391819,0 72,2,2024-09-07 09:19:31:759,512237,512237,0,0,26053110,0,3983 72,3,2024-09-07 09:19:31:758,1,407,22,0,564,6158,407,0 73,0,2024-09-07 09:19:31:505,98752,0.5,101161,0.7,207166,0.4,269566,2.00 73,1,2024-09-07 09:19:30:773,715371,715371,0,0,335710826042,3490023435,710134,4828,409,367,391858,0 73,2,2024-09-07 09:19:31:761,514281,514281,0,0,25524391,0,3701 73,3,2024-09-07 09:19:30:976,1,407,1,0,486,5606,407,0 74,0,2024-09-07 09:19:31:325,108235,0.6,110550,0.7,210914,0.6,286468,2.25 74,1,2024-09-07 09:19:30:651,714525,714525,0,0,334441167730,3496023056,706575,6355,1595,381,391762,0 74,2,2024-09-07 09:19:31:008,514359,514359,0,0,23519563,0,4253 74,3,2024-09-07 09:19:31:442,1,407,4,0,522,5506,407,0 75,0,2024-09-07 09:19:31:768,102851,0.5,102223,0.8,205078,0.5,274052,2.25 75,1,2024-09-07 09:19:31:589,714981,714981,0,0,335311725570,3500646835,708162,5991,828,380,391739,0 75,2,2024-09-07 09:19:31:349,514924,514924,0,0,25804664,0,4766 75,3,2024-09-07 09:19:31:067,1,407,1,0,702,5256,407,0 76,0,2024-09-07 09:19:30:637,98838,0.5,98116,0.7,197220,0.5,263164,2.25 76,1,2024-09-07 09:19:30:847,714799,714799,0,0,334853316324,3496804399,709377,4597,825,382,391692,0 76,2,2024-09-07 09:19:31:222,514973,514972,1,0,22875067,0,5144 76,3,2024-09-07 09:19:31:202,1,407,10,0,175,3856,407,0 77,0,2024-09-07 09:19:31:728,99123,0.6,99574,0.7,198846,0.6,264558,2.00 77,1,2024-09-07 09:19:30:891,715396,715396,0,0,335313926777,3502590472,709587,5308,501,381,391869,0 77,2,2024-09-07 09:19:31:308,513750,513750,0,0,22390941,0,3890 77,3,2024-09-07 09:19:31:201,1,407,1,0,401,4732,407,0 78,0,2024-09-07 09:19:31:731,105593,0.5,104957,0.7,210890,0.4,279523,2.00 78,1,2024-09-07 09:19:30:634,715490,715490,0,0,334502138984,3489408006,707628,6295,1567,367,391670,0 78,2,2024-09-07 09:19:31:407,514652,514639,13,0,21128209,0,8313 78,3,2024-09-07 09:19:31:141,1,407,3,0,181,3678,407,0 79,0,2024-09-07 09:19:31:358,99862,0.4,102204,0.6,209432,0.4,272533,2.25 79,1,2024-09-07 09:19:30:766,717188,717188,0,0,335841117536,3485246583,710620,5260,1308,367,391682,0 79,2,2024-09-07 09:19:31:082,519320,519320,0,0,20851127,0,4195 79,3,2024-09-07 09:19:30:766,1,407,39,0,418,5324,407,0 80,0,2024-09-07 09:19:31:153,98623,0.5,101573,0.6,194375,0.5,262217,2.00 80,1,2024-09-07 09:19:31:625,714957,714957,0,0,336376931775,3506935976,709410,5126,421,368,392269,0 80,2,2024-09-07 09:19:31:107,514453,514453,0,0,20650981,0,4433 80,3,2024-09-07 09:19:30:585,1,407,5,0,190,4826,407,0 81,0,2024-09-07 09:19:31:569,98295,0.5,100673,0.7,192108,0.5,261125,2.00 81,1,2024-09-07 09:19:31:667,714156,714156,0,0,334469515206,3498426022,708162,5455,539,382,391879,0 81,2,2024-09-07 09:19:31:136,512046,511983,63,0,23069047,0,5932 81,3,2024-09-07 09:19:31:135,1,407,7,0,719,5043,407,0 82,0,2024-09-07 09:19:31:566,103819,0.5,104139,0.7,208823,0.5,277280,2.00 82,1,2024-09-07 09:19:30:638,716356,716352,0,4,335672183853,3494903066,711659,3875,818,381,391768,4 82,2,2024-09-07 09:19:31:701,515870,515870,0,0,19729938,0,4484 82,3,2024-09-07 09:19:31:991,1,407,3,0,363,4525,407,0 83,0,2024-09-07 09:19:31:598,104710,0.7,104679,0.8,208444,0.7,277319,2.25 83,1,2024-09-07 09:19:30:555,714431,714431,0,0,336058529191,3508001634,708908,5073,450,382,391709,0 83,2,2024-09-07 09:19:30:808,518412,518412,0,0,20510603,0,3393 83,3,2024-09-07 09:19:30:750,1,407,43,0,1260,5451,407,0 84,0,2024-09-07 09:19:31:858,99429,0.7,99494,0.8,198367,0.6,265623,2.25 84,1,2024-09-07 09:19:31:083,714041,714041,0,0,334938506204,3502073747,706377,6622,1042,367,391967,0 84,2,2024-09-07 09:19:30:586,511716,511686,30,0,27433311,0,5971 84,3,2024-09-07 09:19:31:209,1,407,1,0,908,6227,407,0 85,0,2024-09-07 09:19:31:019,95833,0.6,95801,0.8,203422,0.5,262388,2.25 85,1,2024-09-07 09:19:30:634,712448,712448,0,0,333699638482,3524450972,701654,8877,1917,381,392006,0 85,2,2024-09-07 09:19:30:890,512148,512148,0,0,25354636,0,3656 85,3,2024-09-07 09:19:30:697,1,407,1,0,789,5135,407,0 86,0,2024-09-07 09:19:30:909,101851,0.7,104836,0.8,200489,0.7,271450,2.25 86,1,2024-09-07 09:19:30:861,714273,714273,0,0,335550691141,3517216044,705618,7216,1439,366,391961,0 86,2,2024-09-07 09:19:30:879,512635,512634,1,0,27507999,0,5004 86,3,2024-09-07 09:19:30:672,1,407,1,0,308,5997,407,0 87,0,2024-09-07 09:19:31:300,106469,1.1,106354,1.0,212877,1.4,284037,2.50 87,1,2024-09-07 09:19:30:553,712781,712781,0,0,335099021506,3516154482,702892,8299,1590,366,392076,0 87,2,2024-09-07 09:19:31:163,514738,514732,6,0,24577921,0,6323 87,3,2024-09-07 09:19:31:796,1,407,2,0,473,6551,407,0 88,0,2024-09-07 09:19:31:467,101838,0.4,102612,0.6,204163,0.4,271933,1.75 88,1,2024-09-07 09:19:30:590,712359,712359,0,0,335023721112,3513713985,702664,7688,2007,365,392084,0 88,2,2024-09-07 09:19:30:787,514868,514868,0,0,26536440,0,4465 88,3,2024-09-07 09:19:31:292,1,407,404,0,435,4748,407,0 89,0,2024-09-07 09:19:31:767,102948,0.4,99664,0.6,197197,0.4,267908,1.75 89,1,2024-09-07 09:19:30:585,712882,712882,0,0,334499850866,3520109926,703725,7733,1424,382,391866,0 89,2,2024-09-07 09:19:31:142,511818,511818,0,0,24932736,0,3173 89,3,2024-09-07 09:19:31:796,1,407,4,0,468,7421,407,0 90,0,2024-09-07 09:19:31:619,97251,0.5,99794,0.6,203713,0.4,266010,2.00 90,1,2024-09-07 09:19:30:693,713901,713901,0,0,335138730648,3511804454,707144,6248,509,380,391825,0 90,2,2024-09-07 09:19:31:406,512421,512421,0,0,26439424,0,3060 90,3,2024-09-07 09:19:30:933,1,407,115,0,322,5183,407,0 91,0,2024-09-07 09:19:30:975,107091,0.5,103681,0.7,216772,0.5,285342,2.00 91,1,2024-09-07 09:19:30:638,712938,712938,0,0,335061539922,3526544343,703695,7843,1400,381,392047,0 91,2,2024-09-07 09:19:31:332,514525,514525,0,0,23444008,0,2896 91,3,2024-09-07 09:19:30:629,1,407,4,0,216,4163,407,0 92,0,2024-09-07 09:19:31:450,104275,0.5,106989,0.6,204219,0.5,276612,1.75 92,1,2024-09-07 09:19:30:634,714797,714797,0,0,335330656040,3504338744,709278,4796,723,382,392136,0 92,2,2024-09-07 09:19:31:362,518652,518652,0,0,21166808,0,3259 92,3,2024-09-07 09:19:31:028,1,407,8,0,167,3693,407,0 93,0,2024-09-07 09:19:30:970,99774,0.4,102232,0.5,195089,0.3,264242,1.75 93,1,2024-09-07 09:19:30:846,713969,713969,0,0,336011262657,3511554514,705855,6733,1381,366,391776,0 93,2,2024-09-07 09:19:30:930,512231,512231,0,0,25341460,0,4845 93,3,2024-09-07 09:19:31:406,1,407,7,0,190,3705,407,0 94,0,2024-09-07 09:19:31:637,98226,0.4,98991,0.5,197770,0.3,262308,1.75 94,1,2024-09-07 09:19:30:740,715125,715125,0,0,335683289087,3504246221,710349,4567,209,381,391850,0 94,2,2024-09-07 09:19:30:807,513006,513006,0,0,21375558,0,2443 94,3,2024-09-07 09:19:31:711,1,407,1,0,576,5583,407,0 95,0,2024-09-07 09:19:31:370,104590,0.4,104474,0.5,209575,0.3,279616,1.75 95,1,2024-09-07 09:19:30:862,715817,715817,0,0,335494535305,3490782062,709785,5596,436,367,391786,0 95,2,2024-09-07 09:19:31:018,514100,514100,0,0,21093840,0,3308 95,3,2024-09-07 09:19:31:717,1,407,10,0,718,6116,407,0 96,0,2024-09-07 09:19:31:057,104483,0.4,104832,0.5,209162,0.4,277365,1.75 96,1,2024-09-07 09:19:31:595,714563,714563,0,0,335616678014,3505044470,709031,4650,882,384,391955,0 96,2,2024-09-07 09:19:31:281,519155,519155,0,0,22164891,0,4180 96,3,2024-09-07 09:19:31:219,1,407,3,0,411,4614,407,0 97,0,2024-09-07 09:19:31:321,99019,0.3,98624,0.5,197934,0.2,262894,1.50 97,1,2024-09-07 09:19:30:807,716264,716264,0,0,337370149325,3502295543,711130,4204,930,367,392140,0 97,2,2024-09-07 09:19:30:641,513285,513285,0,0,20993243,0,3679 97,3,2024-09-07 09:19:30:665,1,407,5,0,242,4765,407,0 98,0,2024-09-07 09:19:31:698,98176,0.3,98218,0.4,197248,0.2,261733,1.50 98,1,2024-09-07 09:19:30:586,714722,714722,0,0,335602887252,3499804458,709778,4154,790,382,391997,0 98,2,2024-09-07 09:19:30:773,513360,513360,0,0,21274509,0,4336 98,3,2024-09-07 09:19:30:712,1,407,2,0,840,6667,407,0 99,0,2024-09-07 09:19:31:508,103188,0.3,104029,0.5,206498,0.3,276358,1.75 99,1,2024-09-07 09:19:31:728,715393,715393,0,0,335409065578,3493601588,710466,4092,835,381,392069,0 99,2,2024-09-07 09:19:31:420,515697,515697,0,0,25098584,0,4276 99,3,2024-09-07 09:19:30:637,1,407,1,0,606,4588,407,0 100,0,2024-09-07 09:19:31:484,105507,0.9,105618,1.0,210565,1.1,281449,2.50 100,1,2024-09-07 09:19:30:685,711816,711816,0,0,333181176288,3522695679,701124,8649,2043,381,391989,0 100,2,2024-09-07 09:19:31:819,515575,515564,11,0,24585011,0,5417 100,3,2024-09-07 09:19:31:745,1,407,1,0,559,6824,407,0 101,0,2024-09-07 09:19:31:752,102901,0.9,100106,1.0,196654,0.8,269085,2.25 101,1,2024-09-07 09:19:30:637,712106,712106,0,0,334326624794,3520604998,701448,8538,2120,368,391847,0 101,2,2024-09-07 09:19:31:761,510098,510098,0,0,29187663,0,4871 101,3,2024-09-07 09:19:30:974,1,407,1,0,579,5816,407,0 102,0,2024-09-07 09:19:30:976,97554,0.6,100604,0.7,203898,0.6,266818,2.00 102,1,2024-09-07 09:19:31:205,712541,712541,0,0,334394463087,3517306853,702694,8087,1760,369,391891,0 102,2,2024-09-07 09:19:31:759,513442,513388,54,0,23497291,0,6768 102,3,2024-09-07 09:19:31:619,1,407,1,0,466,4607,407,0 103,0,2024-09-07 09:19:31:608,104614,0.6,104570,0.7,197097,0.6,271708,2.00 103,1,2024-09-07 09:19:31:626,711408,711408,0,0,334634817670,3537112311,699485,8857,3066,381,391829,0 103,2,2024-09-07 09:19:30:716,512802,512802,0,0,25965630,0,3766 103,3,2024-09-07 09:19:30:807,1,407,19,0,916,4623,407,0 104,0,2024-09-07 09:19:31:016,106307,0.8,106676,1.0,212230,0.8,284585,2.50 104,1,2024-09-07 09:19:31:625,713581,713581,0,0,334425045560,3517054916,702754,8966,1861,365,392168,0 104,2,2024-09-07 09:19:31:702,513545,513545,0,0,25175627,0,3941 104,3,2024-09-07 09:19:31:425,1,407,10,0,1245,8466,407,0 105,0,2024-09-07 09:19:31:220,101268,0.8,98576,1.1,206561,0.9,272092,2.75 105,1,2024-09-07 09:19:30:616,714177,714177,0,0,335119121361,3515075524,704764,7991,1422,366,392009,0 105,2,2024-09-07 09:19:31:325,515521,515521,0,0,24999838,0,3509 105,3,2024-09-07 09:19:31:312,1,407,1,0,399,6385,407,0 106,0,2024-09-07 09:19:30:944,95848,0.7,98277,0.9,201062,0.7,263053,2.50 106,1,2024-09-07 09:19:31:988,712994,712994,0,0,334538184109,3516980228,701988,9562,1444,369,391914,0 106,2,2024-09-07 09:19:30:808,512077,512077,0,0,24265807,0,2920 106,3,2024-09-07 09:19:30:693,1,407,39,0,470,5391,407,0 107,0,2024-09-07 09:19:31:217,99353,1.0,99560,0.9,198629,1.2,265616,2.25 107,1,2024-09-07 09:19:30:670,712531,712531,0,0,333787168424,3521791033,702376,9105,1050,381,392234,0 107,2,2024-09-07 09:19:31:318,512286,512285,1,0,25229367,0,5024 107,3,2024-09-07 09:19:31:755,1,407,1,0,370,6403,407,0 108,0,2024-09-07 09:19:32:004,104956,0.5,105801,0.6,210148,0.4,280637,1.75 108,1,2024-09-07 09:19:31:313,714778,714778,0,0,336231607674,3509124072,708653,5384,741,368,391857,0 108,2,2024-09-07 09:19:31:785,513021,513021,0,0,23786888,0,4246 108,3,2024-09-07 09:19:31:345,1,407,112,0,749,7893,407,0 109,0,2024-09-07 09:19:31:798,104215,0.4,103344,0.6,206600,0.4,276748,1.75 109,1,2024-09-07 09:19:30:626,712452,712452,0,0,334991621149,3519722922,705068,6201,1183,382,392132,0 109,2,2024-09-07 09:19:30:994,516263,516263,0,0,23389414,0,3617 109,3,2024-09-07 09:19:31:170,1,407,7,0,379,4811,407,0 110,0,2024-09-07 09:19:31:772,98511,0.4,95993,0.6,201245,0.3,263044,1.75 110,1,2024-09-07 09:19:31:650,715891,715891,0,0,335557533952,3486506802,710511,4204,1176,369,392045,0 110,2,2024-09-07 09:19:31:316,512957,512957,0,0,22220798,0,4067 110,3,2024-09-07 09:19:30:762,1,407,5,0,722,5848,407,0 111,0,2024-09-07 09:19:31:414,98734,0.4,97833,0.5,196373,0.3,262451,1.75 111,1,2024-09-07 09:19:31:035,716199,716199,0,0,337046829659,3498139713,712322,3522,355,380,391690,0 111,2,2024-09-07 09:19:31:120,512513,512513,0,0,21949489,0,4823 111,3,2024-09-07 09:19:30:966,1,407,6,0,379,5022,407,0 112,0,2024-09-07 09:19:31:001,104833,0.3,104443,0.4,208955,0.2,278336,1.50 112,1,2024-09-07 09:19:30:947,716290,716290,0,0,336300961364,3492332241,711608,4069,613,380,391624,0 112,2,2024-09-07 09:19:31:142,514796,514795,1,0,21188030,0,5036 112,3,2024-09-07 09:19:30:635,1,407,9,0,282,4108,407,0 113,0,2024-09-07 09:19:30:941,104743,0.3,104882,0.5,209967,0.3,279386,1.75 113,1,2024-09-07 09:19:31:691,718454,718454,0,0,336485609339,3474160428,714568,3297,589,366,391661,0 113,2,2024-09-07 09:19:31:312,519701,519701,0,0,19661467,0,3813 113,3,2024-09-07 09:19:30:707,1,407,4,0,340,4677,407,0 114,0,2024-09-07 09:19:30:889,100407,0.3,101030,0.5,200891,0.2,268177,1.75 114,1,2024-09-07 09:19:30:854,715629,715629,0,0,335568926637,3489379793,709371,4642,1616,381,391565,0 114,2,2024-09-07 09:19:30:881,513580,513579,1,0,20467536,0,5069 114,3,2024-09-07 09:19:31:292,1,407,1,0,395,3465,407,0 115,0,2024-09-07 09:19:30:559,99513,0.3,100067,0.4,199683,0.2,264922,1.50 115,1,2024-09-07 09:19:30:594,715805,715805,0,0,336239858318,3498569900,709320,5219,1266,382,391757,0 115,2,2024-09-07 09:19:31:129,514729,514729,0,0,20213270,0,4382 115,3,2024-09-07 09:19:31:007,1,407,2,0,159,2357,407,0 116,0,2024-09-07 09:19:31:750,101572,0.8,101506,0.9,203669,0.9,272609,2.25 116,1,2024-09-07 09:19:30:817,712442,712442,0,0,334547332355,3526986357,703865,6253,2324,380,392089,0 116,2,2024-09-07 09:19:31:763,513920,513920,0,0,25806360,0,4128 116,3,2024-09-07 09:19:30:984,1,407,2,0,415,4906,407,0 117,0,2024-09-07 09:19:30:984,106977,0.8,106468,0.9,213221,1.0,284407,2.00 117,1,2024-09-07 09:19:31:586,713825,713825,0,0,334685515801,3504981868,706099,6813,913,369,392033,0 117,2,2024-09-07 09:19:31:142,518509,518509,0,0,22191102,0,4303 117,3,2024-09-07 09:19:31:138,1,407,1,0,490,6415,407,0 118,0,2024-09-07 09:19:31:798,99052,0.5,101507,0.7,207427,0.5,270894,2.00 118,1,2024-09-07 09:19:30:636,713473,713473,0,0,334680743683,3525774528,701589,8886,2998,366,391907,0 118,2,2024-09-07 09:19:31:589,514057,514057,0,0,24168315,0,2842 118,3,2024-09-07 09:19:31:785,1,407,1,0,248,4793,407,0 119,0,2024-09-07 09:19:31:362,99677,0.6,100138,0.7,200212,0.5,266385,2.00 119,1,2024-09-07 09:19:30:556,713407,713407,0,0,335333989701,3512447054,704937,7355,1115,367,391780,0 119,2,2024-09-07 09:19:31:283,513799,513799,0,0,22748937,0,4174 119,3,2024-09-07 09:19:31:326,1,407,5,0,1358,8381,407,0 120,0,2024-09-07 09:19:31:560,99563,0.7,99316,0.9,199157,0.7,266363,2.50 120,1,2024-09-07 09:19:30:881,714142,714142,0,0,335139402832,3520461293,705910,7465,767,368,391961,0 120,2,2024-09-07 09:19:30:848,513377,513376,1,0,26292906,0,5281 120,3,2024-09-07 09:19:31:296,1,407,14,0,279,5154,407,0 121,0,2024-09-07 09:19:31:733,106427,1.4,106248,1.1,213083,1.8,284069,2.50 121,1,2024-09-07 09:19:31:681,714658,714658,0,0,335514514577,3510167701,707953,6249,456,367,391840,0 121,2,2024-09-07 09:19:31:133,513136,513136,0,0,25344895,0,4127 121,3,2024-09-07 09:19:30:738,1,407,4,0,269,4605,407,0 122,0,2024-09-07 09:19:31:783,102900,0.8,100359,0.9,210598,0.9,276384,2.00 122,1,2024-09-07 09:19:31:283,712853,712853,0,0,334938724481,3519578472,702430,8822,1601,366,392130,0 122,2,2024-09-07 09:19:31:336,517258,517185,73,0,28186589,0,5989 122,3,2024-09-07 09:19:30:595,1,407,10,0,512,7643,407,0 123,0,2024-09-07 09:19:30:999,98676,0.6,96391,0.7,201509,0.6,263569,2.00 123,1,2024-09-07 09:19:30:893,712789,712789,0,0,335068255510,3535327578,699259,11091,2439,369,392039,0 123,2,2024-09-07 09:19:31:026,511117,511116,1,0,23709438,0,5215 123,3,2024-09-07 09:19:31:201,1,407,1,0,478,4937,407,0 124,0,2024-09-07 09:19:30:997,101553,0.3,101493,0.5,191371,0.3,263100,1.75 124,1,2024-09-07 09:19:31:203,715867,715867,0,0,335913038986,3494821263,710596,4222,1049,367,392178,0 124,2,2024-09-07 09:19:31:030,514305,514252,53,0,21599732,0,6487 124,3,2024-09-07 09:19:30:807,1,407,7,0,490,4052,407,0 125,0,2024-09-07 09:19:31:426,104795,0.4,104419,0.6,209723,0.4,279943,1.75 125,1,2024-09-07 09:19:30:894,713965,713965,0,0,335122826320,3502350561,708075,5222,668,382,391702,0 125,2,2024-09-07 09:19:31:135,514969,514969,0,0,22374084,0,4534 125,3,2024-09-07 09:19:31:203,1,407,2,0,709,5315,407,0 126,0,2024-09-07 09:19:31:438,104402,0.5,107483,0.6,205637,0.4,278032,1.75 126,1,2024-09-07 09:19:30:636,716413,716413,0,0,336607956695,3488856644,712416,3704,293,365,391987,0 126,2,2024-09-07 09:19:30:695,519395,519395,0,0,23140993,0,4539 126,3,2024-09-07 09:19:30:926,1,407,1,0,268,5206,407,0 127,0,2024-09-07 09:19:31:675,98862,0.3,99350,0.5,198229,0.3,262879,1.75 127,1,2024-09-07 09:19:30:629,715769,715769,0,0,335208114599,3490453906,708436,6114,1219,364,392187,0 127,2,2024-09-07 09:19:30:684,512197,512197,0,0,20766637,0,3897 127,3,2024-09-07 09:19:31:292,1,407,9,0,968,4532,407,0 128,0,2024-09-07 09:19:31:558,98832,0.3,99041,0.4,197585,0.2,262170,1.50 128,1,2024-09-07 09:19:31:616,715341,715341,0,0,336108218205,3491403603,710452,4457,432,367,391838,0 128,2,2024-09-07 09:19:31:402,514081,514081,0,0,19989569,0,3171 128,3,2024-09-07 09:19:30:772,1,407,1,0,1082,6533,407,0 129,0,2024-09-07 09:19:31:010,103991,0.3,103546,0.5,207585,0.3,276563,1.75 129,1,2024-09-07 09:19:30:630,712626,712626,0,0,334549897731,3509555641,704996,5962,1668,379,391962,0 129,2,2024-09-07 09:19:30:780,515565,515565,0,0,21561676,0,4031 129,3,2024-09-07 09:19:30:700,1,407,3,0,506,5606,407,0 130,0,2024-09-07 09:19:31:753,106441,0.6,105845,0.6,213256,0.6,282883,1.75 130,1,2024-09-07 09:19:30:685,715840,715840,0,0,335522741577,3489501870,711813,3688,339,381,391825,0 130,2,2024-09-07 09:19:31:129,518734,518734,0,0,22058524,0,4067 130,3,2024-09-07 09:19:31:297,1,407,5,0,960,6664,407,0 131,0,2024-09-07 09:19:31:932,100596,0.3,101052,0.5,203143,0.3,268970,1.75 131,1,2024-09-07 09:19:31:825,715305,715305,0,0,335557199890,3507954027,709351,4906,1048,381,391865,0 131,2,2024-09-07 09:19:30:586,515727,515727,0,0,19978336,0,3979 131,3,2024-09-07 09:19:31:701,1,407,1,0,392,4481,407,0 132,0,2024-09-07 09:19:31:547,100119,0.4,101166,0.6,201189,0.4,267486,1.75 132,1,2024-09-07 09:19:30:629,712055,712055,0,0,333881955447,3520530703,700939,9012,2104,381,392097,0 132,2,2024-09-07 09:19:30:781,512884,512867,17,0,26391650,0,6451 132,3,2024-09-07 09:19:31:695,1,407,1,0,804,7377,407,0 133,0,2024-09-07 09:19:31:558,98737,0.4,101028,0.6,207244,0.4,270170,2.00 133,1,2024-09-07 09:19:30:684,711985,711985,0,0,334525794377,3529737692,701451,9019,1515,383,391914,0 133,2,2024-09-07 09:19:31:103,513410,513360,50,0,27896842,0,6861 133,3,2024-09-07 09:19:31:299,1,407,2,0,479,4168,407,0 134,0,2024-09-07 09:19:31:009,107875,0.6,107465,0.8,215101,0.6,286849,2.25 134,1,2024-09-07 09:19:30:619,713076,713076,0,0,334384452575,3511315590,703295,7747,2034,366,391718,0 134,2,2024-09-07 09:19:31:768,513246,513222,24,0,25476560,0,6207 134,3,2024-09-07 09:19:30:807,1,407,24,0,739,5353,407,0 135,0,2024-09-07 09:19:31:121,99279,0.8,99321,0.9,210666,0.9,271630,2.25 135,1,2024-09-07 09:19:31:605,713207,713207,0,0,335069190912,3528660239,703259,8578,1370,380,391805,0 135,2,2024-09-07 09:19:30:762,517001,517001,0,0,24571625,0,3981 135,3,2024-09-07 09:19:31:016,1,407,2,0,299,3444,407,0 136,0,2024-09-07 09:19:31:657,99731,0.5,99809,0.7,198696,0.5,265076,2.00 136,1,2024-09-07 09:19:31:475,713627,713627,0,0,335031976198,3517398309,705069,7596,962,382,391685,0 136,2,2024-09-07 09:19:31:142,514219,514219,0,0,23708186,0,3506 136,3,2024-09-07 09:19:31:201,1,407,17,0,637,4824,407,0 137,0,2024-09-07 09:19:30:954,102548,0.6,99862,0.7,195981,0.7,266670,2.00 137,1,2024-09-07 09:19:30:691,712620,712620,0,0,334210607260,3511663711,701114,9147,2359,366,391898,0 137,2,2024-09-07 09:19:31:719,512414,512414,0,0,26340650,0,3185 137,3,2024-09-07 09:19:30:777,1,407,1,0,484,5166,407,0 138,0,2024-09-07 09:19:31:774,104732,1.1,104806,1.0,210479,1.4,279372,2.25 138,1,2024-09-07 09:19:31:774,713618,713618,0,0,335237062286,3519999360,703386,8511,1721,368,391954,0 138,2,2024-09-07 09:19:30:665,513663,513663,0,0,24007379,0,4988 138,3,2024-09-07 09:19:30:692,1,407,1,0,1160,5593,407,0 139,0,2024-09-07 09:19:31:384,102671,1.6,102694,1.2,205789,2.3,275060,2.50 139,1,2024-09-07 09:19:30:586,710074,710074,0,0,332588764760,3539584029,695718,11052,3304,380,392058,0 139,2,2024-09-07 09:19:30:763,514193,514193,0,0,27330395,0,3097 139,3,2024-09-07 09:19:31:683,1,407,6,0,432,4930,407,0 140,0,2024-09-07 09:19:31:593,99132,0.3,98544,0.5,197878,0.2,263340,1.75 140,1,2024-09-07 09:19:31:576,717927,717927,0,0,337378438956,3480897318,714366,3120,441,365,391606,0 140,2,2024-09-07 09:19:30:707,513331,513330,1,0,21051725,0,5036 140,3,2024-09-07 09:19:30:781,1,407,4,0,297,3562,407,0 141,0,2024-09-07 09:19:31:726,98503,0.3,101161,0.5,193247,0.3,262372,1.75 141,1,2024-09-07 09:19:30:880,715916,715916,0,0,336410234884,3497424200,710238,4722,956,379,391614,0 141,2,2024-09-07 09:19:31:691,513712,513712,0,0,20772516,0,3360 141,3,2024-09-07 09:19:31:223,1,407,9,0,391,4864,407,0 142,0,2024-09-07 09:19:31:350,104922,0.3,104307,0.5,208378,0.3,278878,1.75 142,1,2024-09-07 09:19:30:630,715064,715064,0,0,335803798108,3503089945,710387,4281,396,382,392102,0 142,2,2024-09-07 09:19:31:300,514061,514029,32,0,22960017,0,6028 142,3,2024-09-07 09:19:31:975,1,407,2,0,484,4760,407,0 143,0,2024-09-07 09:19:31:395,105039,0.5,104960,0.6,210243,0.5,279380,1.75 143,1,2024-09-07 09:19:30:560,716495,716495,0,0,335883493693,3489302135,711461,4490,544,367,391705,0 143,2,2024-09-07 09:19:30:771,518377,518377,0,0,21893863,0,3123 143,3,2024-09-07 09:19:31:142,1,407,1,0,462,5213,407,0 144,0,2024-09-07 09:19:31:531,96951,0.6,99610,0.8,203185,0.5,266038,2.00 144,1,2024-09-07 09:19:30:628,712694,712694,0,0,334282550382,3512970147,704988,5872,1834,381,391649,0 144,2,2024-09-07 09:19:31:761,513987,513987,0,0,20557303,0,3673 144,3,2024-09-07 09:19:31:752,1,407,24,0,249,4136,407,0 145,0,2024-09-07 09:19:31:367,96144,0.5,96150,0.8,204078,0.5,262041,2.25 145,1,2024-09-07 09:19:30:811,712583,712583,0,0,334278131099,3519394123,702978,7943,1662,382,391759,0 145,2,2024-09-07 09:19:31:440,511488,511407,81,0,24971350,0,7814 145,3,2024-09-07 09:19:30:895,1,407,3,0,622,5949,407,0 146,0,2024-09-07 09:19:31:621,101964,0.6,101282,0.8,204470,0.6,271150,2.25 146,1,2024-09-07 09:19:31:616,714114,714114,0,0,334738939487,3518801472,703173,8821,2120,367,391770,0 146,2,2024-09-07 09:19:31:707,513300,513300,0,0,24416268,0,3290 146,3,2024-09-07 09:19:31:294,1,407,4,0,1520,7925,407,0 147,0,2024-09-07 09:19:31:730,106808,0.7,106636,0.8,212647,0.7,283980,2.25 147,1,2024-09-07 09:19:31:396,716908,716908,0,0,336705314684,3505311792,710281,5782,845,368,391791,0 147,2,2024-09-07 09:19:31:029,515817,515817,0,0,21948740,0,2968 147,3,2024-09-07 09:19:30:984,1,407,0,0,1626,7301,407,0 0,0,2024-09-07 09:19:42:135,97611,0.6,97582,0.8,207078,0.7,267818,2.00 0,1,2024-09-07 09:19:40:848,715763,715763,0,0,335842579499,3516279161,710361,5016,386,369,391896,0 0,2,2024-09-07 09:19:41:069,516849,516849,0,0,21114279,0,4480 0,3,2024-09-07 09:19:40:978,1,408,13,0,431,6249,408,0 1,0,2024-09-07 09:19:41:921,107148,1.2,106429,1.1,213640,1.6,286376,2.25 1,1,2024-09-07 09:19:40:583,715313,715313,0,0,335439304986,3513030137,709031,4958,1324,370,391857,0 1,2,2024-09-07 09:19:40:652,514682,514682,0,0,21340198,0,3380 1,3,2024-09-07 09:19:41:325,1,408,268,0,268,5145,408,0 2,0,2024-09-07 09:19:41:571,103313,0.6,103639,0.8,206471,0.7,275718,2.00 2,1,2024-09-07 09:19:40:862,717891,717891,0,0,336931242035,3499162060,714608,2988,295,380,391745,0 2,2,2024-09-07 09:19:41:271,518949,518949,0,0,20405706,0,3594 2,3,2024-09-07 09:19:40:721,1,408,0,0,357,4096,408,0 3,0,2024-09-07 09:19:41:789,99230,0.4,99175,0.6,198107,0.3,263694,2.00 3,1,2024-09-07 09:19:41:625,716342,716342,0,0,335837386363,3500167446,710132,5520,690,380,391716,0 3,2,2024-09-07 09:19:41:149,514466,514443,23,0,21326881,0,5851 3,3,2024-09-07 09:19:41:757,1,408,3,0,207,2814,408,0 4,0,2024-09-07 09:19:42:052,95891,0.4,98521,0.5,200920,0.3,262766,1.75 4,1,2024-09-07 09:19:40:620,714002,714002,0,0,334019408662,3533686754,702207,9290,2505,370,391992,0 4,2,2024-09-07 09:19:41:052,512955,512955,0,0,24198435,0,4528 4,3,2024-09-07 09:19:41:054,1,408,5,0,448,5457,408,0 5,0,2024-09-07 09:19:41:536,104807,0.4,105377,0.6,210555,0.4,280038,1.75 5,1,2024-09-07 09:19:40:796,715066,715066,0,0,335773303752,3537222369,704730,8183,2153,367,392005,0 5,2,2024-09-07 09:19:41:864,513308,513308,0,0,23801512,0,3582 5,3,2024-09-07 09:19:41:751,1,408,1,0,457,5914,408,0 6,0,2024-09-07 09:19:40:931,104704,0.5,104363,0.7,208365,0.4,277981,2.00 6,1,2024-09-07 09:19:40:747,715258,715258,0,0,335802177340,3512809045,707058,6808,1392,379,391694,0 6,2,2024-09-07 09:19:41:120,518722,518704,18,0,24349338,0,5535 6,3,2024-09-07 09:19:41:280,1,408,1,0,710,5267,408,0 7,0,2024-09-07 09:19:41:564,98255,0.5,99015,0.6,197146,0.4,262083,2.00 7,1,2024-09-07 09:19:40:858,715030,715030,0,0,335779939005,3525647395,705264,8304,1462,382,391747,0 7,2,2024-09-07 09:19:40:777,514499,514499,0,0,23466075,0,4791 7,3,2024-09-07 09:19:40:859,1,408,1,0,552,4758,408,0 8,0,2024-09-07 09:19:41:689,98755,0.4,98413,0.5,197355,0.3,262612,1.75 8,1,2024-09-07 09:19:41:042,714007,714007,0,0,335163745080,3528533525,702492,8889,2626,366,392853,0 8,2,2024-09-07 09:19:40:810,509847,509847,0,0,27722137,0,3250 8,3,2024-09-07 09:19:40:623,1,408,14,0,538,6918,408,0 9,0,2024-09-07 09:19:41:252,103947,0.4,101005,0.5,211230,0.4,277451,1.75 9,1,2024-09-07 09:19:40:584,713670,713670,0,0,334829589298,3531231987,702628,8621,2421,369,392001,0 9,2,2024-09-07 09:19:41:103,515239,515239,0,0,24674368,0,3360 9,3,2024-09-07 09:19:41:757,1,408,6,0,496,5963,408,0 10,0,2024-09-07 09:19:41:605,105830,0.4,105253,0.6,211461,0.3,281032,1.75 10,1,2024-09-07 09:19:40:582,714971,714971,0,0,336260870520,3528948837,704591,8757,1623,381,391741,0 10,2,2024-09-07 09:19:40:765,518648,518648,0,0,27050884,0,4264 10,3,2024-09-07 09:19:40:870,1,408,1,0,649,4545,408,0 11,0,2024-09-07 09:19:41:036,100675,0.4,97667,0.6,204283,0.4,269229,1.75 11,1,2024-09-07 09:19:40:600,715581,715581,0,0,336176132691,3531306994,704254,8701,2626,383,391756,0 11,2,2024-09-07 09:19:41:124,513648,513648,0,0,23896007,0,4130 11,3,2024-09-07 09:19:41:331,1,408,2,0,843,6182,408,0 12,0,2024-09-07 09:19:41:050,101115,0.4,101151,0.5,202266,0.3,268232,1.75 12,1,2024-09-07 09:19:40:980,716240,716240,0,0,335456248199,3499712036,710702,5038,500,370,391870,0 12,2,2024-09-07 09:19:41:577,514845,514845,0,0,23006206,0,3469 12,3,2024-09-07 09:19:41:060,1,408,1,0,386,6242,408,0 13,0,2024-09-07 09:19:41:405,102582,0.4,102179,0.6,203884,0.4,272281,1.75 13,1,2024-09-07 09:19:41:612,714549,714549,0,0,335788018018,3532921709,706958,5963,1628,382,391740,0 13,2,2024-09-07 09:19:40:598,517403,517403,0,0,21333595,0,3287 13,3,2024-09-07 09:19:41:775,1,408,10,0,522,6191,408,0 14,0,2024-09-07 09:19:40:560,107306,0.5,108339,0.7,214408,0.4,285900,2.00 14,1,2024-09-07 09:19:41:570,719136,719136,0,0,337354658898,3497834333,712922,5506,708,364,391673,0 14,2,2024-09-07 09:19:40:770,516735,516705,30,0,23429671,0,6104 14,3,2024-09-07 09:19:41:119,1,408,5,0,1168,4702,408,0 15,0,2024-09-07 09:19:41:573,102478,0.4,102379,0.7,205082,0.4,273170,2.00 15,1,2024-09-07 09:19:41:608,716515,716515,0,0,336716956053,3510599942,710294,5043,1178,381,391619,0 15,2,2024-09-07 09:19:41:032,518699,518699,0,0,19207294,0,3622 15,3,2024-09-07 09:19:41:415,1,408,0,0,1126,6903,408,0 16,0,2024-09-07 09:19:41:031,99583,0.5,99886,0.7,199486,0.5,265337,2.00 16,1,2024-09-07 09:19:40:586,716301,716301,0,0,336215462150,3518006818,709716,5472,1113,370,391917,0 16,2,2024-09-07 09:19:41:492,514027,514027,0,0,22824126,0,4719 16,3,2024-09-07 09:19:41:400,1,408,2,0,317,5348,408,0 17,0,2024-09-07 09:19:41:910,102869,0.6,100409,0.8,196602,0.7,267454,2.00 17,1,2024-09-07 09:19:40:603,715281,715281,0,0,335038767164,3518296014,707656,6113,1512,368,392075,0 17,2,2024-09-07 09:19:41:713,517312,517312,0,0,22647161,0,3779 17,3,2024-09-07 09:19:40:579,1,408,9,0,518,6771,408,0 18,0,2024-09-07 09:19:40:945,104568,0.6,104937,0.8,209227,0.6,279474,2.25 18,1,2024-09-07 09:19:41:638,717858,717858,0,0,336870421577,3492849750,713929,3550,379,367,391725,0 18,2,2024-09-07 09:19:41:765,517368,517368,0,0,20001092,0,3541 18,3,2024-09-07 09:19:40:902,1,408,1,0,163,3237,408,0 19,0,2024-09-07 09:19:41:546,103325,0.6,103854,0.8,206004,0.7,274464,2.25 19,1,2024-09-07 09:19:40:572,718437,718437,0,0,337381727166,3502134025,712497,5019,921,367,391777,0 19,2,2024-09-07 09:19:41:769,521251,521251,0,0,19138139,0,3988 19,3,2024-09-07 09:19:41:136,1,408,3,0,524,3425,408,0 20,0,2024-09-07 09:19:41:424,98857,0.5,98888,0.6,197641,0.4,263506,2.00 20,1,2024-09-07 09:19:40:594,715704,715704,0,0,336418868384,3520097188,709194,5710,800,369,391886,0 20,2,2024-09-07 09:19:40:936,513700,513700,0,0,22690012,0,3721 20,3,2024-09-07 09:19:40:592,1,408,4,0,414,6088,408,0 21,0,2024-09-07 09:19:41:259,98628,0.5,98637,0.6,197196,0.4,262074,2.00 21,1,2024-09-07 09:19:41:574,713499,713499,0,0,334650894847,3531568125,702656,8369,2474,368,392016,0 21,2,2024-09-07 09:19:41:114,511604,511584,20,0,27674837,0,5617 21,3,2024-09-07 09:19:41:419,1,408,14,0,713,5373,408,0 22,0,2024-09-07 09:19:41:745,104392,0.5,104812,0.7,209680,0.5,278294,2.00 22,1,2024-09-07 09:19:41:025,715014,715014,0,0,335703973016,3531064041,703894,8894,2226,382,391667,0 22,2,2024-09-07 09:19:40:765,514326,514300,26,0,22179770,0,6328 22,3,2024-09-07 09:19:41:066,1,408,1,0,228,3463,408,0 23,0,2024-09-07 09:19:41:404,104462,0.6,103880,0.7,208322,0.5,277457,2.25 23,1,2024-09-07 09:19:41:017,715744,715744,0,0,335681303855,3528868098,702763,8928,4053,365,391690,0 23,2,2024-09-07 09:19:41:105,520752,520752,0,0,21697689,0,3773 23,3,2024-09-07 09:19:41:769,1,408,1,0,720,5518,408,0 24,0,2024-09-07 09:19:40:996,100732,0.4,100491,0.5,201622,0.3,267679,1.75 24,1,2024-09-07 09:19:40:636,714874,714874,0,0,335074596771,3515904360,706890,6394,1590,367,392269,0 24,2,2024-09-07 09:19:41:076,513030,513030,0,0,26056214,0,3607 24,3,2024-09-07 09:19:41:719,1,408,7,0,468,5707,408,0 25,0,2024-09-07 09:19:41:358,102071,0.4,99520,0.6,195082,0.3,265297,1.75 25,1,2024-09-07 09:19:40:574,714381,714381,0,0,335858100221,3542390146,702454,9682,2245,371,391928,0 25,2,2024-09-07 09:19:41:646,512713,512713,0,0,26454470,0,3978 25,3,2024-09-07 09:19:41:035,1,408,10,0,532,4764,408,0 26,0,2024-09-07 09:19:41:724,102427,0.4,99895,0.6,209507,0.4,272989,1.75 26,1,2024-09-07 09:19:41:544,716151,716151,0,0,335643441257,3518532125,705525,9042,1584,380,391748,0 26,2,2024-09-07 09:19:40:862,516561,516561,0,0,26680107,0,2809 26,3,2024-09-07 09:19:41:712,1,408,1,0,796,5099,408,0 27,0,2024-09-07 09:19:41:728,106868,0.5,107019,0.7,212866,0.5,284032,2.25 27,1,2024-09-07 09:19:41:692,717786,717786,0,0,336644086616,3509934164,710534,6352,900,381,391626,0 27,2,2024-09-07 09:19:40:870,515232,515167,65,0,24562656,0,5699 27,3,2024-09-07 09:19:41:027,1,408,2,0,564,4084,408,0 28,0,2024-09-07 09:19:41:424,102456,0.4,101972,0.6,204503,0.3,272717,2.00 28,1,2024-09-07 09:19:40:798,716860,716860,0,0,336716686640,3518213585,709674,5487,1699,383,391698,0 28,2,2024-09-07 09:19:41:798,515812,515812,0,0,22325088,0,2915 28,3,2024-09-07 09:19:41:797,1,408,5,0,502,4635,408,0 29,0,2024-09-07 09:19:41:435,103163,0.3,100518,0.6,197188,0.3,268731,1.75 29,1,2024-09-07 09:19:41:568,719098,719098,0,0,337959080414,3499880200,714239,4125,734,368,391753,0 29,2,2024-09-07 09:19:40:870,514232,514232,0,0,21157899,0,4986 29,3,2024-09-07 09:19:40:964,1,408,1,0,459,4906,408,0 30,0,2024-09-07 09:19:41:478,100170,0.5,97350,0.7,203706,0.5,266712,2.00 30,1,2024-09-07 09:19:40:572,718523,718523,0,0,337294553416,3506389931,712457,5300,766,381,391672,0 30,2,2024-09-07 09:19:41:282,516562,516562,0,0,20729916,0,4192 30,3,2024-09-07 09:19:40:590,1,408,1,0,519,4070,408,0 31,0,2024-09-07 09:19:41:785,106782,0.5,107283,0.7,214207,0.5,286498,2.00 31,1,2024-09-07 09:19:40:572,720976,720976,0,0,338029783791,3467485231,717962,2428,586,356,391712,0 31,2,2024-09-07 09:19:41:296,515104,515104,0,0,22309082,0,3525 31,3,2024-09-07 09:19:41:719,1,408,1,0,220,3676,408,0 32,0,2024-09-07 09:19:41:424,103867,0.3,104490,0.5,208045,0.3,277387,1.75 32,1,2024-09-07 09:19:40:807,718004,718004,0,0,337103169141,3508977288,712894,4429,681,381,391646,0 32,2,2024-09-07 09:19:40:948,519619,519619,0,0,20050787,0,3922 32,3,2024-09-07 09:19:41:022,1,408,7,0,304,3324,408,0 33,0,2024-09-07 09:19:41:531,99400,0.3,98986,0.4,198530,0.2,264183,1.50 33,1,2024-09-07 09:19:40:579,718825,718825,0,0,337249298392,3500944500,712317,5404,1104,369,391730,0 33,2,2024-09-07 09:19:40:765,515139,515104,35,0,22209960,0,7012 33,3,2024-09-07 09:19:40:900,1,408,1,0,329,4116,408,0 34,0,2024-09-07 09:19:40:945,98932,0.3,101770,0.4,194908,0.2,262080,1.75 34,1,2024-09-07 09:19:41:061,719650,719650,0,0,337684404586,3474191246,717914,1725,11,367,391562,0 34,2,2024-09-07 09:19:40:789,515347,515347,0,0,20715058,0,4562 34,3,2024-09-07 09:19:41:692,1,408,1,0,299,3165,408,0 35,0,2024-09-07 09:19:40:865,104528,0.4,104906,0.5,210823,0.3,280857,1.75 35,1,2024-09-07 09:19:41:073,718241,718241,0,0,336221435501,3487373998,713705,3680,856,382,391769,0 35,2,2024-09-07 09:19:41:586,515569,515569,0,0,22121612,0,4055 35,3,2024-09-07 09:19:40:917,1,408,1,0,418,4480,408,0 36,0,2024-09-07 09:19:41:542,104741,0.5,104721,0.7,209324,0.5,278348,2.25 36,1,2024-09-07 09:19:40:583,716568,716568,0,0,336675450286,3519598515,706922,7646,2000,366,391759,0 36,2,2024-09-07 09:19:41:770,520005,520005,0,0,23369425,0,3875 36,3,2024-09-07 09:19:40:870,1,408,2,0,416,6029,408,0 37,0,2024-09-07 09:19:41:394,98473,0.4,98537,0.6,197234,0.4,262474,2.00 37,1,2024-09-07 09:19:40:608,716501,716494,0,7,335578793173,3507615310,707860,6361,2273,365,391573,0 37,2,2024-09-07 09:19:41:159,512176,512161,15,0,23189528,0,5815 37,3,2024-09-07 09:19:41:769,1,408,2,0,888,6294,408,0 38,0,2024-09-07 09:19:41:435,98014,0.5,95072,0.6,198899,0.4,260219,2.00 38,1,2024-09-07 09:19:41:608,717477,717477,0,0,336288290283,3518035811,706938,8378,2161,368,391821,0 38,2,2024-09-07 09:19:40:759,514066,514019,47,0,22772879,0,6710 38,3,2024-09-07 09:19:41:001,1,408,1,0,689,5424,408,0 39,0,2024-09-07 09:19:41:787,106165,0.6,103917,0.7,202245,0.5,276634,2.00 39,1,2024-09-07 09:19:40:722,715609,715609,0,0,335031434255,3517241721,703195,9724,2690,365,391658,0 39,2,2024-09-07 09:19:41:416,516659,516659,0,0,21784428,0,3391 39,3,2024-09-07 09:19:40:720,1,408,1,0,324,4847,408,0 40,0,2024-09-07 09:19:41:536,104629,0.9,105357,1.0,209860,1.0,279789,2.75 40,1,2024-09-07 09:19:40:587,716416,716416,0,0,335438794405,3517942323,705598,8775,2043,368,391668,0 40,2,2024-09-07 09:19:41:307,517664,517663,1,0,25712289,0,5137 40,3,2024-09-07 09:19:41:387,1,408,1,0,1028,5752,408,0 41,0,2024-09-07 09:19:41:027,100197,1.4,102497,1.2,195633,2.2,265897,3.25 41,1,2024-09-07 09:19:40:773,715285,715285,0,0,336531432844,3524115834,706103,8142,1040,369,391742,0 41,2,2024-09-07 09:19:40:759,512743,512743,0,0,24421380,0,4277 41,3,2024-09-07 09:19:41:693,1,408,4,0,366,4197,408,0 42,0,2024-09-07 09:19:41:490,99618,0.8,99680,1.0,199521,0.9,264013,2.75 42,1,2024-09-07 09:19:41:449,713784,713784,0,0,334618262165,3521361825,701823,9930,2031,380,391675,0 42,2,2024-09-07 09:19:41:137,513380,513380,0,0,24772363,0,3790 42,3,2024-09-07 09:19:41:035,1,408,17,0,892,4236,408,0 43,0,2024-09-07 09:19:40:929,100648,0.8,97937,1.0,205209,0.9,269585,2.25 43,1,2024-09-07 09:19:40:580,716571,716571,0,0,336750265058,3522337354,706245,8587,1739,366,391696,0 43,2,2024-09-07 09:19:41:780,516154,516154,0,0,24350634,0,3812 43,3,2024-09-07 09:19:41:768,1,408,2,0,548,5500,408,0 44,0,2024-09-07 09:19:40:868,107426,0.5,107697,0.7,215893,0.5,286472,2.00 44,1,2024-09-07 09:19:40:564,718445,718445,0,0,337087997164,3488597296,711952,5175,1318,356,391809,0 44,2,2024-09-07 09:19:41:276,515517,515517,0,0,20481676,0,4344 44,3,2024-09-07 09:19:41:129,1,408,10,0,817,4995,408,0 45,0,2024-09-07 09:19:41:785,101424,0.5,99073,0.7,207805,0.5,273660,2.00 45,1,2024-09-07 09:19:41:036,717552,717552,0,0,336613273810,3501248385,711896,5083,573,382,391917,0 45,2,2024-09-07 09:19:41:276,517747,517747,0,0,20830777,0,3596 45,3,2024-09-07 09:19:40:940,1,408,4,0,271,3638,408,0 46,0,2024-09-07 09:19:40:952,99015,0.5,98947,0.7,198244,0.4,263011,2.00 46,1,2024-09-07 09:19:40:580,718925,718925,0,0,337848921154,3492781490,714262,4072,591,366,391709,0 46,2,2024-09-07 09:19:40:593,514805,514805,0,0,20926023,0,4443 46,3,2024-09-07 09:19:41:137,1,408,0,0,908,5374,408,0 47,0,2024-09-07 09:19:41:126,100263,0.4,100475,0.6,201395,0.4,266990,2.00 47,1,2024-09-07 09:19:40:572,719632,719632,0,0,337198396807,3488294614,714779,4016,837,366,391641,0 47,2,2024-09-07 09:19:40:914,517568,517568,0,0,20535839,0,4477 47,3,2024-09-07 09:19:41:129,1,408,0,0,600,5165,408,0 48,0,2024-09-07 09:19:41:512,106044,0.3,105780,0.5,210933,0.2,281625,1.75 48,1,2024-09-07 09:19:41:033,717721,717721,0,0,336774102564,3500671139,713015,4250,456,384,391710,0 48,2,2024-09-07 09:19:40:709,515111,515111,0,0,19141201,0,3411 48,3,2024-09-07 09:19:40:759,1,408,1,0,339,3404,408,0 49,0,2024-09-07 09:19:41:723,106596,0.4,104787,0.5,202976,0.3,278533,1.75 49,1,2024-09-07 09:19:41:031,717583,717583,0,0,336092042982,3499909401,712435,3943,1205,382,391809,0 49,2,2024-09-07 09:19:41:799,519813,519813,0,0,21253029,0,4426 49,3,2024-09-07 09:19:41:415,1,408,0,0,992,5032,408,0 50,0,2024-09-07 09:19:41:532,99457,0.3,97826,0.4,197564,0.2,263158,1.75 50,1,2024-09-07 09:19:41:036,719929,719929,0,0,337910847878,3496365334,715015,4340,574,368,391565,0 50,2,2024-09-07 09:19:41:066,513955,513955,0,0,19321437,0,4490 50,3,2024-09-07 09:19:41:302,1,408,1,0,567,4180,408,0 51,0,2024-09-07 09:19:41:692,101273,0.3,99056,0.5,193098,0.2,263213,1.75 51,1,2024-09-07 09:19:41:681,719668,719668,0,0,337947752260,3487603643,715717,2902,1049,365,391706,0 51,2,2024-09-07 09:19:41:579,515250,515250,0,0,18306643,0,3337 51,3,2024-09-07 09:19:41:035,1,408,33,0,678,3460,408,0 52,0,2024-09-07 09:19:41:431,104995,0.5,104742,0.7,209815,0.5,279098,2.00 52,1,2024-09-07 09:19:40:605,716627,716627,0,0,336609533229,3524632426,706302,8972,1353,368,391722,0 52,2,2024-09-07 09:19:41:765,512582,512544,38,0,24593485,0,6742 52,3,2024-09-07 09:19:40:676,1,408,0,0,1782,5803,408,0 53,0,2024-09-07 09:19:41:756,104075,0.7,101064,0.8,211562,0.8,277441,2.25 53,1,2024-09-07 09:19:40:785,715142,715142,0,0,335286869207,3520862785,703239,8944,2959,367,391702,0 53,2,2024-09-07 09:19:41:310,519476,519475,1,0,22334564,0,5455 53,3,2024-09-07 09:19:40:713,1,408,0,0,308,3710,408,0 54,0,2024-09-07 09:19:41:627,98706,0.6,99027,0.8,197305,0.4,263472,2.25 54,1,2024-09-07 09:19:40:581,716667,716667,0,0,336461105282,3500008906,709396,6032,1239,366,391659,0 54,2,2024-09-07 09:19:40:870,514098,514066,32,0,25284580,0,6397 54,3,2024-09-07 09:19:40:769,1,408,1,0,676,6090,408,0 55,0,2024-09-07 09:19:41:784,96123,0.6,99277,0.7,200719,0.5,261085,2.25 55,1,2024-09-07 09:19:40:771,717764,717764,0,0,336240037445,3497196279,710135,6537,1092,365,391731,0 55,2,2024-09-07 09:19:40:736,513593,513537,56,0,24033760,0,7239 55,3,2024-09-07 09:19:40:677,1,408,1,0,304,4292,408,0 56,0,2024-09-07 09:19:41:579,104499,1.5,98445,1.2,202993,2.1,272203,3.00 56,1,2024-09-07 09:19:40:598,712753,712753,0,0,335121234949,3543400264,701253,9127,2373,381,391867,0 56,2,2024-09-07 09:19:41:348,515915,515915,0,0,24177477,0,3567 56,3,2024-09-07 09:19:41:060,1,408,1,0,705,5127,408,0 57,0,2024-09-07 09:19:40:949,105225,1.8,104938,1.3,210651,2.5,281849,3.50 57,1,2024-09-07 09:19:40:987,715918,715918,0,0,335475234416,3519496102,707319,7515,1084,366,392032,0 57,2,2024-09-07 09:19:41:325,517601,517601,0,0,26168253,0,3317 57,3,2024-09-07 09:19:41:749,1,408,1,0,455,4882,408,0 58,0,2024-09-07 09:19:40:557,100647,0.9,97772,1.0,204646,1.0,268231,2.50 58,1,2024-09-07 09:19:40:591,715967,715964,0,3,336605526768,3522895982,706016,8659,1289,367,391603,3 58,2,2024-09-07 09:19:41:070,514971,514971,0,0,24184766,0,2902 58,3,2024-09-07 09:19:41:069,1,408,22,0,1043,4556,408,0 59,0,2024-09-07 09:19:41:760,100090,0.7,99846,0.9,199185,0.8,265096,2.50 59,1,2024-09-07 09:19:40:825,715545,715545,0,0,336242606840,3525869359,705329,8407,1809,369,391653,0 59,2,2024-09-07 09:19:40:590,514163,514163,0,0,24090611,0,3727 59,3,2024-09-07 09:19:41:749,1,408,2,0,1015,5565,408,0 60,0,2024-09-07 09:19:41:723,100422,0.6,100367,0.7,201350,0.6,267611,2.00 60,1,2024-09-07 09:19:40:782,717881,717881,0,0,337383777378,3504912789,712743,4418,720,370,392031,0 60,2,2024-09-07 09:19:41:154,516277,516277,0,0,22599065,0,3811 60,3,2024-09-07 09:19:41:258,1,408,1,0,409,4915,408,0 61,0,2024-09-07 09:19:41:569,106839,0.7,107373,0.8,213999,0.8,286115,2.00 61,1,2024-09-07 09:19:40:798,716420,716420,0,0,336233242498,3526260341,708120,6871,1429,382,392127,0 61,2,2024-09-07 09:19:41:130,515042,514975,67,0,22888633,0,6411 61,3,2024-09-07 09:19:41:709,1,408,17,0,479,5700,408,0 62,0,2024-09-07 09:19:41:728,104375,0.5,106784,0.7,204045,0.5,277185,2.00 62,1,2024-09-07 09:19:41:140,720528,720522,0,6,338193950523,3490014494,716710,3551,261,365,391975,6 62,2,2024-09-07 09:19:41:681,517079,517078,1,0,23151141,0,5555 62,3,2024-09-07 09:19:41:167,1,408,1,0,482,3692,408,0 63,0,2024-09-07 09:19:41:457,99494,0.4,99366,0.6,199237,0.3,264559,1.75 63,1,2024-09-07 09:19:40:831,718408,718402,0,6,337066511248,3502767976,713434,4235,733,381,391800,6 63,2,2024-09-07 09:19:40:776,514475,514475,0,0,20969081,0,4369 63,3,2024-09-07 09:19:41:746,1,408,1,0,667,4366,408,0 64,0,2024-09-07 09:19:41:509,98349,0.5,98347,0.7,196425,0.5,261253,2.00 64,1,2024-09-07 09:19:40:758,717449,717449,0,0,336378777834,3507863198,710783,4963,1703,370,391783,0 64,2,2024-09-07 09:19:41:152,518211,518192,19,0,20688065,0,6121 64,3,2024-09-07 09:19:41:159,1,408,2,0,265,3832,408,0 65,0,2024-09-07 09:19:41:765,104049,0.7,104470,0.8,208355,0.8,278397,2.25 65,1,2024-09-07 09:19:40:872,716207,716207,0,0,335664735841,3510921260,711099,4568,540,382,391901,0 65,2,2024-09-07 09:19:41:697,515951,515951,0,0,23979496,0,3367 65,3,2024-09-07 09:19:41:697,1,408,14,0,782,4965,408,0 66,0,2024-09-07 09:19:41:789,104120,0.6,104009,0.8,207928,0.5,276783,2.25 66,1,2024-09-07 09:19:41:303,717309,717309,0,0,335866799822,3502214911,711821,4963,525,380,391743,0 66,2,2024-09-07 09:19:41:137,521499,521499,0,0,21262756,0,4956 66,3,2024-09-07 09:19:41:090,1,408,1,0,291,3666,408,0 67,0,2024-09-07 09:19:41:424,99072,0.5,98753,0.6,198106,0.4,263211,2.00 67,1,2024-09-07 09:19:40:780,717667,717666,0,1,336725696110,3510184722,712318,4557,791,380,391787,1 67,2,2024-09-07 09:19:40:598,516011,516011,0,0,20119796,0,3622 67,3,2024-09-07 09:19:41:769,1,408,0,0,392,4058,408,0 68,0,2024-09-07 09:19:40:563,98714,0.5,98544,0.7,196573,0.5,262312,2.00 68,1,2024-09-07 09:19:40:600,714448,714448,0,0,335334937549,3530525700,704404,7099,2945,381,391953,0 68,2,2024-09-07 09:19:41:062,512303,512203,100,0,27117691,0,8578 68,3,2024-09-07 09:19:40:731,1,408,24,0,417,4414,408,0 69,0,2024-09-07 09:19:41:734,103247,0.8,103927,0.9,206807,0.9,275297,2.25 69,1,2024-09-07 09:19:41:033,714475,714475,0,0,334950154094,3534028342,704712,7494,2269,384,391994,0 69,2,2024-09-07 09:19:41:737,515327,515298,29,0,28455690,0,6912 69,3,2024-09-07 09:19:40:760,1,408,15,0,698,5942,408,0 70,0,2024-09-07 09:19:41:541,104700,1.3,104860,1.1,210868,1.1,279100,2.75 70,1,2024-09-07 09:19:40:805,717503,717503,0,0,336635480354,3496453247,711532,5310,661,366,391725,0 70,2,2024-09-07 09:19:41:354,518304,518304,0,0,24029769,0,4323 70,3,2024-09-07 09:19:40:747,1,408,1,0,854,4874,408,0 71,0,2024-09-07 09:19:41:389,99964,0.8,99627,1.0,200044,0.9,267389,2.75 71,1,2024-09-07 09:19:41:598,716611,716611,0,0,335889824765,3506925736,708184,7624,803,368,391738,0 71,2,2024-09-07 09:19:41:073,514059,514059,0,0,23856136,0,4352 71,3,2024-09-07 09:19:41:769,1,408,0,0,644,5232,408,0 72,0,2024-09-07 09:19:41:023,103596,0.5,101302,0.7,197547,0.5,268400,2.00 72,1,2024-09-07 09:19:41:037,715531,715531,0,0,335391760697,3523219683,704673,8849,2009,369,391819,0 72,2,2024-09-07 09:19:41:792,513545,513545,0,0,26182188,0,3983 72,3,2024-09-07 09:19:41:757,1,408,162,0,564,6320,408,0 73,0,2024-09-07 09:19:41:099,99125,0.5,101597,0.7,207991,0.4,270711,2.00 73,1,2024-09-07 09:19:40:770,717127,717127,0,0,336241254668,3495542220,711890,4828,409,367,391858,0 73,2,2024-09-07 09:19:41:781,515669,515669,0,0,25630670,0,3701 73,3,2024-09-07 09:19:40:969,1,408,13,0,486,5619,408,0 74,0,2024-09-07 09:19:41:356,108347,0.6,110669,0.7,211142,0.6,286761,2.25 74,1,2024-09-07 09:19:40:652,716339,716339,0,0,335263638863,3504617264,708380,6364,1595,381,391762,0 74,2,2024-09-07 09:19:41:006,515717,515717,0,0,23676890,0,4253 74,3,2024-09-07 09:19:41:458,1,408,0,0,522,5506,408,0 75,0,2024-09-07 09:19:41:784,103190,0.5,102541,0.8,205665,0.5,274802,2.25 75,1,2024-09-07 09:19:41:595,716750,716750,0,0,336121266186,3508965154,709929,5993,828,380,391739,0 75,2,2024-09-07 09:19:41:354,515633,515633,0,0,25836477,0,4766 75,3,2024-09-07 09:19:41:069,1,408,6,0,702,5262,408,0 76,0,2024-09-07 09:19:40:588,99042,0.5,98355,0.7,197625,0.5,263757,2.25 76,1,2024-09-07 09:19:40:807,716565,716565,0,0,335596984867,3504589654,711143,4597,825,382,391692,0 76,2,2024-09-07 09:19:41:070,516466,516465,1,0,22973136,0,5144 76,3,2024-09-07 09:19:41:387,1,408,1,0,175,3857,408,0 77,0,2024-09-07 09:19:41:738,99569,0.6,100058,0.7,199863,0.6,265811,2.00 77,1,2024-09-07 09:19:40:848,717099,717099,0,0,336192502619,3511639240,711290,5308,501,381,391869,0 77,2,2024-09-07 09:19:41:313,515185,515185,0,0,22431459,0,3890 77,3,2024-09-07 09:19:41:098,1,408,1,0,401,4733,408,0 78,0,2024-09-07 09:19:41:730,105839,0.5,105248,0.7,211446,0.4,280276,2.00 78,1,2024-09-07 09:19:40:610,717259,717259,0,0,335223048278,3496881200,709397,6295,1567,367,391670,0 78,2,2024-09-07 09:19:41:416,515701,515688,13,0,21163242,0,8313 78,3,2024-09-07 09:19:41:137,1,408,1,0,181,3679,408,0 79,0,2024-09-07 09:19:41:354,100181,0.4,102553,0.6,210095,0.4,273456,2.25 79,1,2024-09-07 09:19:40:574,718932,718932,0,0,336611896793,3493106195,712364,5260,1308,367,391682,0 79,2,2024-09-07 09:19:41:070,520394,520394,0,0,20929222,0,4195 79,3,2024-09-07 09:19:40:756,1,408,0,0,418,5324,408,0 80,0,2024-09-07 09:19:41:088,98797,0.5,101768,0.6,194690,0.5,262718,2.00 80,1,2024-09-07 09:19:41:624,716721,716721,0,0,337122837525,3514552777,711174,5126,421,368,392269,0 80,2,2024-09-07 09:19:41:108,516048,516048,0,0,20681591,0,4433 80,3,2024-09-07 09:19:40:587,1,408,12,0,190,4838,408,0 81,0,2024-09-07 09:19:41:550,98638,0.5,100988,0.7,192780,0.5,262011,2.00 81,1,2024-09-07 09:19:41:655,715922,715922,0,0,335126485420,3505175766,709928,5455,539,382,391879,0 81,2,2024-09-07 09:19:41:133,513376,513313,63,0,23103871,0,5932 81,3,2024-09-07 09:19:41:119,1,408,4,0,719,5047,408,0 82,0,2024-09-07 09:19:41:531,104262,0.5,104603,0.7,209679,0.5,278451,2.00 82,1,2024-09-07 09:19:40:583,718103,718099,0,4,336522816030,3503579013,713406,3875,818,381,391768,4 82,2,2024-09-07 09:19:41:710,516948,516948,0,0,19753783,0,4484 82,3,2024-09-07 09:19:41:771,1,408,12,0,363,4537,408,0 83,0,2024-09-07 09:19:41:532,104841,0.7,104799,0.8,208713,0.7,277618,2.25 83,1,2024-09-07 09:19:40:551,716169,716169,0,0,336751487115,3515171561,710646,5073,450,382,391709,0 83,2,2024-09-07 09:19:40:777,519656,519656,0,0,20534301,0,3393 83,3,2024-09-07 09:19:40:766,1,408,1,0,1260,5452,408,0 84,0,2024-09-07 09:19:41:827,99539,0.7,99616,0.8,198604,0.5,265924,2.25 84,1,2024-09-07 09:19:41:053,715749,715749,0,0,335857519064,3511431245,708084,6623,1042,367,391967,0 84,2,2024-09-07 09:19:40:598,513145,513115,30,0,27447282,0,5971 84,3,2024-09-07 09:19:41:149,1,408,7,0,908,6234,408,0 85,0,2024-09-07 09:19:41:046,95844,0.6,95806,0.8,203440,0.5,262388,2.25 85,1,2024-09-07 09:19:40:577,714230,714230,0,0,334484281084,3532507340,703436,8877,1917,381,392006,0 85,2,2024-09-07 09:19:40:871,513303,513303,0,0,25369110,0,3656 85,3,2024-09-07 09:19:40:690,1,408,4,0,789,5139,408,0 86,0,2024-09-07 09:19:40:913,102165,0.7,105204,0.8,201186,0.7,272365,2.25 86,1,2024-09-07 09:19:40:880,716079,716079,0,0,336438620212,3526223693,707424,7216,1439,366,391961,0 86,2,2024-09-07 09:19:40:872,514110,514109,1,0,27522002,0,5004 86,3,2024-09-07 09:19:40:589,1,408,9,0,308,6006,408,0 87,0,2024-09-07 09:19:41:301,106575,1.1,106455,1.0,213043,1.4,284280,2.50 87,1,2024-09-07 09:19:40:569,714573,714573,0,0,335945230064,3524748398,704684,8299,1590,366,392076,0 87,2,2024-09-07 09:19:41:070,516173,516167,6,0,24590669,0,6323 87,3,2024-09-07 09:19:41:810,1,408,3,0,473,6554,408,0 88,0,2024-09-07 09:19:41:456,102078,0.4,102820,0.6,204592,0.4,272519,1.75 88,1,2024-09-07 09:19:40:576,714124,714124,0,0,335954531965,3523286732,704429,7688,2007,365,392084,0 88,2,2024-09-07 09:19:40:690,515567,515567,0,0,26554740,0,4465 88,3,2024-09-07 09:19:41:288,1,408,6,0,435,4754,408,0 89,0,2024-09-07 09:19:41:783,103281,0.4,99975,0.6,197865,0.4,268808,1.75 89,1,2024-09-07 09:19:40:554,714678,714678,0,0,335313398002,3528507864,705520,7734,1424,382,391866,0 89,2,2024-09-07 09:19:41:148,513318,513318,0,0,24996683,0,3173 89,3,2024-09-07 09:19:41:799,1,408,10,0,468,7431,408,0 90,0,2024-09-07 09:19:41:648,97503,0.5,100057,0.6,204227,0.4,266729,2.00 90,1,2024-09-07 09:19:40:600,715569,715569,0,0,336047261089,3521071855,708812,6248,509,380,391825,0 90,2,2024-09-07 09:19:41:423,514034,514034,0,0,26492493,0,3060 90,3,2024-09-07 09:19:40:944,1,408,3,0,322,5186,408,0 91,0,2024-09-07 09:19:40:970,107667,0.5,104227,0.7,217865,0.5,286846,2.00 91,1,2024-09-07 09:19:40:562,714702,714702,0,0,335780349876,3533954677,705459,7843,1400,381,392047,0 91,2,2024-09-07 09:19:41:356,515810,515810,0,0,23502855,0,2896 91,3,2024-09-07 09:19:40:621,1,408,2,0,216,4165,408,0 92,0,2024-09-07 09:19:41:500,104588,0.5,107316,0.6,204844,0.5,277468,1.75 92,1,2024-09-07 09:19:40:587,716571,716571,0,0,336225541709,3513686087,711051,4797,723,382,392136,0 92,2,2024-09-07 09:19:41:361,519621,519621,0,0,21196463,0,3259 92,3,2024-09-07 09:19:41:035,1,408,3,0,167,3696,408,0 93,0,2024-09-07 09:19:40:976,99928,0.4,102405,0.5,195410,0.3,264667,1.75 93,1,2024-09-07 09:19:40:834,715733,715733,0,0,336751950675,3519379727,707616,6736,1381,366,391776,0 93,2,2024-09-07 09:19:40:990,513739,513739,0,0,25424491,0,4845 93,3,2024-09-07 09:19:41:415,1,408,0,0,190,3705,408,0 94,0,2024-09-07 09:19:41:640,98450,0.4,99226,0.5,198243,0.3,262932,1.75 94,1,2024-09-07 09:19:40:584,716874,716874,0,0,336696992542,3514904745,712095,4570,209,381,391850,0 94,2,2024-09-07 09:19:40:770,514403,514403,0,0,21420536,0,2443 94,3,2024-09-07 09:19:41:698,1,408,5,0,576,5588,408,0 95,0,2024-09-07 09:19:41:642,104994,0.4,104876,0.5,210390,0.3,280679,1.75 95,1,2024-09-07 09:19:40:924,717606,717606,0,0,336363317229,3499661430,711573,5597,436,367,391786,0 95,2,2024-09-07 09:19:41:032,515265,515265,0,0,21206156,0,3308 95,3,2024-09-07 09:19:41:725,1,408,0,0,718,6116,408,0 96,0,2024-09-07 09:19:41:121,104671,0.4,105006,0.5,209497,0.4,277817,1.75 96,1,2024-09-07 09:19:41:587,716293,716293,0,0,336353682550,3512684341,710761,4650,882,384,391955,0 96,2,2024-09-07 09:19:41:277,520372,520372,0,0,22190546,0,4180 96,3,2024-09-07 09:19:41:151,1,408,1,0,411,4615,408,0 97,0,2024-09-07 09:19:41:447,99098,0.3,98711,0.5,198143,0.2,263133,1.50 97,1,2024-09-07 09:19:40:777,717987,717987,0,0,338102579926,3509877355,712853,4204,930,367,392140,0 97,2,2024-09-07 09:19:40:615,514588,514588,0,0,21024429,0,3679 97,3,2024-09-07 09:19:40:575,1,408,22,0,242,4787,408,0 98,0,2024-09-07 09:19:41:753,98301,0.3,98323,0.4,197502,0.2,262084,1.50 98,1,2024-09-07 09:19:40:584,716559,716559,0,0,336481924639,3508875868,711614,4155,790,382,391997,0 98,2,2024-09-07 09:19:40:780,514640,514640,0,0,21294522,0,4336 98,3,2024-09-07 09:19:40:741,1,408,2,0,840,6669,408,0 99,0,2024-09-07 09:19:41:494,103654,0.3,104492,0.5,207533,0.3,277733,1.75 99,1,2024-09-07 09:19:41:746,717163,717163,0,0,336226273414,3502006355,712232,4096,835,381,392069,0 99,2,2024-09-07 09:19:41:429,517033,517033,0,0,25136731,0,4276 99,3,2024-09-07 09:19:40:581,1,408,28,0,606,4616,408,0 100,0,2024-09-07 09:19:41:480,105615,0.9,105720,1.0,210790,1.1,281735,2.50 100,1,2024-09-07 09:19:40:587,713546,713546,0,0,333920933327,3530333208,702853,8650,2043,381,391989,0 100,2,2024-09-07 09:19:41:835,516825,516814,11,0,24601162,0,5417 100,3,2024-09-07 09:19:41:750,1,408,2,0,559,6826,408,0 101,0,2024-09-07 09:19:41:727,103006,0.9,100229,1.0,196839,0.8,269346,2.25 101,1,2024-09-07 09:19:40:553,713889,713889,0,0,335090177784,3528409969,703231,8538,2120,368,391847,0 101,2,2024-09-07 09:19:41:776,511047,511047,0,0,29198308,0,4871 101,3,2024-09-07 09:19:40:970,1,408,19,0,579,5835,408,0 102,0,2024-09-07 09:19:40:990,97745,0.6,100810,0.7,204298,0.6,267342,2.00 102,1,2024-09-07 09:19:41:162,714340,714340,0,0,335300877150,3526493966,704493,8087,1760,369,391891,0 102,2,2024-09-07 09:19:41:741,514785,514731,54,0,23507117,0,6768 102,3,2024-09-07 09:19:41:623,1,408,2,0,466,4609,408,0 103,0,2024-09-07 09:19:41:634,105042,0.6,105056,0.7,197937,0.6,272886,2.00 103,1,2024-09-07 09:19:41:626,713164,713164,0,0,335588978110,3546828623,701241,8857,3066,381,391829,0 103,2,2024-09-07 09:19:40:594,514184,514184,0,0,25977396,0,3766 103,3,2024-09-07 09:19:40:764,1,408,2,0,916,4625,408,0 104,0,2024-09-07 09:19:41:019,106439,0.8,106801,1.0,212423,0.8,284860,2.50 104,1,2024-09-07 09:19:41:606,715348,715348,0,0,335366892236,3526780937,704519,8968,1861,365,392168,0 104,2,2024-09-07 09:19:41:694,514976,514976,0,0,25214302,0,3941 104,3,2024-09-07 09:19:41:431,1,408,9,0,1245,8475,408,0 105,0,2024-09-07 09:19:41:066,101531,0.8,98849,1.1,207169,0.9,272869,2.75 105,1,2024-09-07 09:19:40:571,715932,715932,0,0,335875900899,3523086569,706512,7998,1422,366,392009,0 105,2,2024-09-07 09:19:41:335,516185,516185,0,0,25016735,0,3509 105,3,2024-09-07 09:19:41:328,1,408,14,0,399,6399,408,0 106,0,2024-09-07 09:19:40:958,96050,0.7,98481,0.9,201553,0.7,263625,2.50 106,1,2024-09-07 09:19:41:769,714775,714775,0,0,335436594944,3526116651,703768,9563,1444,369,391914,0 106,2,2024-09-07 09:19:40:834,513596,513596,0,0,24347865,0,2920 106,3,2024-09-07 09:19:40:678,1,408,2,0,470,5393,408,0 107,0,2024-09-07 09:19:41:128,99801,1.0,100045,0.9,199586,1.2,266838,2.25 107,1,2024-09-07 09:19:40:609,714207,714207,0,0,334745663685,3531603363,704051,9106,1050,381,392234,0 107,2,2024-09-07 09:19:41:303,513684,513683,1,0,25295152,0,5024 107,3,2024-09-07 09:19:41:775,1,408,1,0,370,6404,408,0 108,0,2024-09-07 09:19:41:882,105279,0.5,106102,0.6,210762,0.4,281362,1.75 108,1,2024-09-07 09:19:41:323,716563,716563,0,0,336970959041,3516870620,710432,5389,742,368,391857,0 108,2,2024-09-07 09:19:41:814,513985,513985,0,0,23847812,0,4246 108,3,2024-09-07 09:19:41:380,1,408,12,0,749,7905,408,0 109,0,2024-09-07 09:19:41:916,104555,0.4,103696,0.6,207306,0.4,277690,1.75 109,1,2024-09-07 09:19:40:583,714157,714157,0,0,335828450086,3528516958,706773,6201,1183,382,392132,0 109,2,2024-09-07 09:19:40:949,517322,517322,0,0,23453987,0,3617 109,3,2024-09-07 09:19:41:191,1,408,4,0,379,4815,408,0 110,0,2024-09-07 09:19:41:762,98675,0.4,96161,0.6,201619,0.3,263515,1.75 110,1,2024-09-07 09:19:41:654,717653,717653,0,0,336366404928,3494746776,712271,4206,1176,369,392045,0 110,2,2024-09-07 09:19:41:332,514355,514355,0,0,22288352,0,4067 110,3,2024-09-07 09:19:40:709,1,408,1,0,722,5849,408,0 111,0,2024-09-07 09:19:41:424,99084,0.4,98156,0.5,197078,0.3,263379,1.75 111,1,2024-09-07 09:19:41:008,717935,717935,0,0,337950287134,3507446529,714056,3524,355,380,391690,0 111,2,2024-09-07 09:19:41:130,513788,513788,0,0,22091112,0,4823 111,3,2024-09-07 09:19:40:920,1,408,1,0,379,5023,408,0 112,0,2024-09-07 09:19:40:923,105212,0.3,104888,0.4,209812,0.2,279481,1.50 112,1,2024-09-07 09:19:40:833,718097,718097,0,0,337344200426,3502922054,713415,4069,613,380,391624,0 112,2,2024-09-07 09:19:41:137,515923,515922,1,0,21204003,0,5036 112,3,2024-09-07 09:19:40:605,1,408,10,0,282,4118,408,0 113,0,2024-09-07 09:19:40:922,104845,0.3,104992,0.5,210216,0.3,279703,1.75 113,1,2024-09-07 09:19:41:710,720182,720182,0,0,337217248489,3481582299,716296,3297,589,366,391661,0 113,2,2024-09-07 09:19:41:309,520951,520951,0,0,19683771,0,3813 113,3,2024-09-07 09:19:40:732,1,408,1,0,340,4678,408,0 114,0,2024-09-07 09:19:40:877,100524,0.3,101158,0.5,201129,0.2,268514,1.75 114,1,2024-09-07 09:19:40:723,717488,717488,0,0,336597630632,3499867691,711230,4642,1616,381,391565,0 114,2,2024-09-07 09:19:40:873,514832,514831,1,0,20483765,0,5069 114,3,2024-09-07 09:19:41:523,1,408,1,0,395,3466,408,0 115,0,2024-09-07 09:19:40:561,99517,0.3,100073,0.4,199695,0.2,264922,1.50 115,1,2024-09-07 09:19:40:606,717572,717572,0,0,336990878065,3506396110,711086,5220,1266,382,391757,0 115,2,2024-09-07 09:19:41:125,515981,515981,0,0,20233776,0,4382 115,3,2024-09-07 09:19:41:007,1,408,1,0,159,2358,408,0 116,0,2024-09-07 09:19:41:755,101921,0.8,101865,0.9,204369,0.9,273538,2.25 116,1,2024-09-07 09:19:40:835,714221,714221,0,0,335385672257,3535585208,705644,6253,2324,380,392089,0 116,2,2024-09-07 09:19:41:773,515531,515531,0,0,25826464,0,4128 116,3,2024-09-07 09:19:40:912,1,408,3,0,415,4909,408,0 117,0,2024-09-07 09:19:40:967,107072,0.8,106548,0.9,213378,1.0,284636,2.00 117,1,2024-09-07 09:19:41:604,715556,715556,0,0,335530210877,3513584440,707830,6813,913,369,392033,0 117,2,2024-09-07 09:19:41:135,520073,520073,0,0,22205387,0,4303 117,3,2024-09-07 09:19:41:068,1,408,24,0,490,6439,408,0 118,0,2024-09-07 09:19:41:782,99279,0.5,101722,0.7,207859,0.4,271483,2.00 118,1,2024-09-07 09:19:40:587,715242,715242,0,0,335438866832,3533481970,703358,8886,2998,366,391907,0 118,2,2024-09-07 09:19:41:596,514866,514866,0,0,24174317,0,2842 118,3,2024-09-07 09:19:41:770,1,408,2,0,248,4795,408,0 119,0,2024-09-07 09:19:41:386,100013,0.6,100456,0.7,200840,0.5,267245,2.00 119,1,2024-09-07 09:19:40:565,715225,715225,0,0,336359772611,3522860381,706755,7355,1115,367,391780,0 119,2,2024-09-07 09:19:41:266,515334,515334,0,0,22761242,0,4174 119,3,2024-09-07 09:19:41:330,1,408,4,0,1358,8385,408,0 120,0,2024-09-07 09:19:41:565,99793,0.7,99564,0.9,199700,0.7,267069,2.50 120,1,2024-09-07 09:19:40:875,715922,715922,0,0,335875455042,3528742579,707571,7583,768,368,391961,0 120,2,2024-09-07 09:19:40:774,514893,514892,1,0,26419803,0,5281 120,3,2024-09-07 09:19:41:334,1,408,3,0,279,5157,408,0 121,0,2024-09-07 09:19:41:807,106919,1.4,106735,1.1,214149,2.0,286037,2.50 121,1,2024-09-07 09:19:41:697,716476,716476,0,0,336284847622,3518216037,709771,6249,456,367,391840,0 121,2,2024-09-07 09:19:41:400,514472,514472,0,0,25427066,0,4127 121,3,2024-09-07 09:19:40:746,1,408,2,0,269,4607,408,0 122,0,2024-09-07 09:19:41:797,103192,0.8,100647,0.9,211202,0.9,277266,2.00 122,1,2024-09-07 09:19:40:870,714519,714519,0,0,335885133280,3529305389,704096,8822,1601,366,392130,0 122,2,2024-09-07 09:19:41:325,518244,518171,73,0,28215781,0,5989 122,3,2024-09-07 09:19:40:596,1,408,3,0,512,7646,408,0 123,0,2024-09-07 09:19:41:000,98839,0.6,96540,0.7,201826,0.6,263968,2.00 123,1,2024-09-07 09:19:40:562,714533,714533,0,0,336020194538,3545159431,701003,11091,2439,369,392039,0 123,2,2024-09-07 09:19:41:044,512730,512729,1,0,23763732,0,5215 123,3,2024-09-07 09:19:41:138,1,408,4,0,478,4941,408,0 124,0,2024-09-07 09:19:40:935,101759,0.3,101752,0.5,191825,0.3,263707,1.75 124,1,2024-09-07 09:19:41:025,717536,717536,0,0,336532641283,3501250972,712265,4222,1049,367,392178,0 124,2,2024-09-07 09:19:41:036,515702,515649,53,0,21640765,0,6487 124,3,2024-09-07 09:19:40:764,1,408,1,0,490,4053,408,0 125,0,2024-09-07 09:19:41:430,105167,0.4,104782,0.6,210510,0.4,280954,1.75 125,1,2024-09-07 09:19:40:879,715705,715705,0,0,335925266038,3510983739,709811,5226,668,382,391702,0 125,2,2024-09-07 09:19:41:120,516137,516137,0,0,22401022,0,4534 125,3,2024-09-07 09:19:41:136,1,408,1,0,709,5316,408,0 126,0,2024-09-07 09:19:41:492,104565,0.5,107656,0.6,205960,0.4,278483,1.75 126,1,2024-09-07 09:19:40:578,718109,718109,0,0,337434328462,3497416107,714112,3704,293,365,391987,0 126,2,2024-09-07 09:19:40:621,520658,520658,0,0,23205595,0,4539 126,3,2024-09-07 09:19:40:918,1,408,2,0,268,5208,408,0 127,0,2024-09-07 09:19:41:626,98952,0.3,99439,0.5,198421,0.3,263138,1.75 127,1,2024-09-07 09:19:40:622,717510,717510,0,0,336221037687,3500844448,710177,6114,1219,364,392187,0 127,2,2024-09-07 09:19:40:640,513545,513545,0,0,20832392,0,3897 127,3,2024-09-07 09:19:41:288,1,408,14,0,968,4546,408,0 128,0,2024-09-07 09:19:41:577,98955,0.3,99175,0.4,197827,0.2,262494,1.50 128,1,2024-09-07 09:19:41:616,717144,717144,0,0,336950010568,3500039131,712255,4457,432,367,391838,0 128,2,2024-09-07 09:19:41:392,515411,515411,0,0,20009264,0,3171 128,3,2024-09-07 09:19:40:769,1,408,6,0,1082,6539,408,0 129,0,2024-09-07 09:19:41:059,104501,0.3,104027,0.5,208606,0.3,277814,1.75 129,1,2024-09-07 09:19:40:575,714477,714477,0,0,335459396363,3518930616,706847,5962,1668,379,391962,0 129,2,2024-09-07 09:19:40:686,516967,516967,0,0,21593857,0,4031 129,3,2024-09-07 09:19:40:692,1,408,1,0,506,5607,408,0 130,0,2024-09-07 09:19:41:771,106559,0.6,105956,0.6,213447,0.6,283163,1.75 130,1,2024-09-07 09:19:40:583,717559,717559,0,0,336464173350,3499108127,713532,3688,339,381,391825,0 130,2,2024-09-07 09:19:41:125,520135,520135,0,0,22086970,0,4067 130,3,2024-09-07 09:19:41:331,1,408,0,0,960,6664,408,0 131,0,2024-09-07 09:19:41:934,100698,0.3,101160,0.5,203340,0.3,269226,1.75 131,1,2024-09-07 09:19:41:831,717063,717063,0,0,336287954265,3515434710,711109,4906,1048,381,391865,0 131,2,2024-09-07 09:19:40:572,516733,516733,0,0,20019494,0,3979 131,3,2024-09-07 09:19:41:694,1,408,1,0,392,4482,408,0 132,0,2024-09-07 09:19:41:436,100307,0.4,101375,0.6,201600,0.4,267983,1.75 132,1,2024-09-07 09:19:40:580,713804,713804,0,0,334756352158,3529447934,702688,9012,2104,381,392097,0 132,2,2024-09-07 09:19:40:726,514190,514173,17,0,26404615,0,6451 132,3,2024-09-07 09:19:41:697,1,408,2,0,804,7379,408,0 133,0,2024-09-07 09:19:41:562,99132,0.4,101437,0.6,208108,0.4,271313,2.00 133,1,2024-09-07 09:19:40:591,713686,713686,0,0,335415613138,3538801405,703152,9019,1515,383,391914,0 133,2,2024-09-07 09:19:41:090,515021,514971,50,0,27913465,0,6861 133,3,2024-09-07 09:19:41:304,1,408,1,0,479,4169,408,0 134,0,2024-09-07 09:19:40:963,107998,0.6,107583,0.8,215349,0.6,287141,2.25 134,1,2024-09-07 09:19:40:586,714881,714881,0,0,335166041311,3519266810,705100,7747,2034,366,391718,0 134,2,2024-09-07 09:19:41:778,514692,514668,24,0,25488574,0,6207 134,3,2024-09-07 09:19:40:757,1,408,2,0,739,5355,408,0 135,0,2024-09-07 09:19:41:146,99578,0.8,99583,0.9,211266,0.9,272419,2.25 135,1,2024-09-07 09:19:41:595,715066,715066,0,0,335906873614,3537200103,705118,8578,1370,380,391805,0 135,2,2024-09-07 09:19:40:703,517683,517683,0,0,24576974,0,3981 135,3,2024-09-07 09:19:41:032,1,408,1,0,299,3445,408,0 136,0,2024-09-07 09:19:41:639,99934,0.5,100036,0.7,199136,0.5,265688,2.00 136,1,2024-09-07 09:19:41:444,715334,715334,0,0,335858295918,3525851945,706776,7596,962,382,391685,0 136,2,2024-09-07 09:19:41:139,515791,515791,0,0,23747800,0,3506 136,3,2024-09-07 09:19:41:110,1,408,2,0,637,4826,408,0 137,0,2024-09-07 09:19:40:923,103008,0.6,100356,0.8,196888,0.7,267925,2.00 137,1,2024-09-07 09:19:40:581,714362,714362,0,0,334965130902,3519492161,702856,9147,2359,366,391898,0 137,2,2024-09-07 09:19:41:715,513934,513934,0,0,26400398,0,3185 137,3,2024-09-07 09:19:40:780,1,408,1,0,484,5167,408,0 138,0,2024-09-07 09:19:41:863,105020,1.1,105060,1.0,211027,1.4,280130,2.25 138,1,2024-09-07 09:19:41:704,715427,715427,0,0,336140906493,3529278549,705195,8511,1721,368,391954,0 138,2,2024-09-07 09:19:40:589,514660,514660,0,0,24038597,0,4988 138,3,2024-09-07 09:19:40:651,1,408,0,0,1160,5593,408,0 139,0,2024-09-07 09:19:41:420,103004,1.6,103044,1.2,206491,2.3,275971,2.50 139,1,2024-09-07 09:19:40:575,711824,711824,0,0,333535148794,3549380821,697466,11053,3305,380,392058,0 139,2,2024-09-07 09:19:40:714,515224,515224,0,0,27428729,0,3097 139,3,2024-09-07 09:19:41:680,1,408,1,0,432,4931,408,0 140,0,2024-09-07 09:19:41:600,99312,0.3,98710,0.5,198232,0.2,263815,1.75 140,1,2024-09-07 09:19:41:544,719718,719718,0,0,338034996692,3487651220,716157,3120,441,365,391606,0 140,2,2024-09-07 09:19:40:710,514799,514798,1,0,21090540,0,5036 140,3,2024-09-07 09:19:40:769,1,408,1,0,297,3563,408,0 141,0,2024-09-07 09:19:41:738,98865,0.3,101514,0.5,194005,0.3,263241,1.75 141,1,2024-09-07 09:19:40:873,717631,717631,0,0,337107265844,3504604148,711952,4723,956,379,391614,0 141,2,2024-09-07 09:19:41:711,515037,515037,0,0,20814502,0,3360 141,3,2024-09-07 09:19:41:061,1,408,1,0,391,4865,408,0 142,0,2024-09-07 09:19:41:328,105344,0.3,104764,0.5,209217,0.3,280096,1.75 142,1,2024-09-07 09:19:40:584,716818,716818,0,0,336699929821,3512314801,712141,4281,396,382,392102,0 142,2,2024-09-07 09:19:41:312,515051,515019,32,0,22981057,0,6028 142,3,2024-09-07 09:19:41:748,1,408,1,0,484,4761,408,0 143,0,2024-09-07 09:19:41:390,105148,0.5,105088,0.6,210474,0.5,279694,1.75 143,1,2024-09-07 09:19:40:560,718173,718173,0,0,336530511948,3495959494,713138,4491,544,367,391705,0 143,2,2024-09-07 09:19:40:790,519630,519630,0,0,22017248,0,3123 143,3,2024-09-07 09:19:41:150,1,408,4,0,462,5217,408,0 144,0,2024-09-07 09:19:41:528,97068,0.6,99744,0.8,203412,0.5,266357,2.00 144,1,2024-09-07 09:19:40:572,714496,714496,0,0,335224427549,3522578098,706789,5873,1834,381,391649,0 144,2,2024-09-07 09:19:41:765,515316,515316,0,0,20589019,0,3673 144,3,2024-09-07 09:19:41:758,1,408,110,0,249,4246,408,0 145,0,2024-09-07 09:19:41:426,96155,0.5,96156,0.8,204087,0.5,262057,2.25 145,1,2024-09-07 09:19:40:558,714347,714347,0,0,335223323421,3529044851,704741,7944,1662,382,391759,0 145,2,2024-09-07 09:19:41:454,512674,512593,81,0,24981486,0,7814 145,3,2024-09-07 09:19:40:911,1,408,6,0,622,5955,408,0 146,0,2024-09-07 09:19:41:610,102318,0.6,101656,0.8,205160,0.6,272082,2.25 146,1,2024-09-07 09:19:41:598,715875,715875,0,0,335456168780,3526198406,704933,8822,2120,367,391770,0 146,2,2024-09-07 09:19:41:718,514810,514810,0,0,24455656,0,3290 146,3,2024-09-07 09:19:41:289,1,408,29,0,1520,7954,408,0 147,0,2024-09-07 09:19:41:754,106897,0.7,106732,0.8,212850,0.7,284223,2.25 147,1,2024-09-07 09:19:41:380,718689,718689,0,0,337425279356,3512707244,712061,5783,845,368,391791,0 147,2,2024-09-07 09:19:41:032,517422,517422,0,0,22046471,0,2968 147,3,2024-09-07 09:19:40:914,1,408,18,0,1626,7319,408,0 0,0,2024-09-07 09:19:51:826,97962,0.6,97933,0.8,207777,0.7,268955,2.00 0,1,2024-09-07 09:19:50:802,717578,717578,0,0,336691831428,3525224960,712173,5018,387,369,391896,0 0,2,2024-09-07 09:19:51:075,518305,518305,0,0,21202188,0,4480 0,3,2024-09-07 09:19:50:974,1,409,10,0,431,6259,409,0 1,0,2024-09-07 09:19:51:754,107541,1.2,106794,1.1,214334,1.7,286832,2.25 1,1,2024-09-07 09:19:50:591,716770,716770,0,0,336224901542,3521521271,710454,4990,1326,370,391857,0 1,2,2024-09-07 09:19:50:641,516079,516079,0,0,21382143,0,3380 1,3,2024-09-07 09:19:51:304,1,409,3,0,268,5148,409,0 2,0,2024-09-07 09:19:51:565,103631,0.6,103916,0.8,207095,0.7,276494,2.00 2,1,2024-09-07 09:19:50:860,719664,719664,0,0,337781372392,3507913866,716381,2988,295,380,391745,0 2,2,2024-09-07 09:19:51:266,519789,519789,0,0,20419377,0,3594 2,3,2024-09-07 09:19:50:690,1,409,3,0,357,4099,409,0 3,0,2024-09-07 09:19:51:745,99420,0.4,99335,0.6,198471,0.3,264281,2.00 3,1,2024-09-07 09:19:51:631,718130,718130,0,0,336701682258,3509128731,711920,5520,690,380,391716,0 3,2,2024-09-07 09:19:51:142,515916,515893,23,0,21377351,0,5851 3,3,2024-09-07 09:19:51:751,1,409,1,0,207,2815,409,0 4,0,2024-09-07 09:19:51:784,96187,0.4,98835,0.5,201566,0.3,263986,1.75 4,1,2024-09-07 09:19:50:592,715809,715809,0,0,334948664738,3543217787,704013,9291,2505,370,391992,0 4,2,2024-09-07 09:19:51:021,514422,514422,0,0,24219544,0,4528 4,3,2024-09-07 09:19:51:034,1,409,2,0,448,5459,409,0 5,0,2024-09-07 09:19:51:485,105143,0.4,105738,0.6,211208,0.4,280791,1.75 5,1,2024-09-07 09:19:50:759,716833,716833,0,0,336525770030,3545031181,706494,8185,2154,367,392005,0 5,2,2024-09-07 09:19:51:843,514359,514359,0,0,23816619,0,3582 5,3,2024-09-07 09:19:51:740,1,409,3,0,457,5917,409,0 6,0,2024-09-07 09:19:50:922,104931,0.5,104595,0.7,208868,0.4,278888,2.00 6,1,2024-09-07 09:19:50:746,717004,717004,0,0,336586770714,3520804774,708804,6808,1392,379,391694,0 6,2,2024-09-07 09:19:51:116,519864,519846,18,0,24358976,0,5535 6,3,2024-09-07 09:19:51:273,1,409,1,0,710,5268,409,0 7,0,2024-09-07 09:19:51:544,98377,0.5,99148,0.6,197430,0.4,262584,2.00 7,1,2024-09-07 09:19:50:853,716781,716781,0,0,336440671044,3532413624,707015,8304,1462,382,391747,0 7,2,2024-09-07 09:19:50:769,515855,515855,0,0,23476901,0,4791 7,3,2024-09-07 09:19:50:851,1,409,1,0,552,4759,409,0 8,0,2024-09-07 09:19:51:350,98941,0.4,98628,0.5,197749,0.3,263481,1.75 8,1,2024-09-07 09:19:51:032,715719,715719,0,0,335858861288,3535746838,704203,8890,2626,366,392853,0 8,2,2024-09-07 09:19:50:795,511157,511157,0,0,27767313,0,3250 8,3,2024-09-07 09:19:50:587,1,409,13,0,538,6931,409,0 9,0,2024-09-07 09:19:51:203,104400,0.4,101433,0.5,212169,0.4,278631,1.75 9,1,2024-09-07 09:19:50:561,715447,715447,0,0,335531066833,3538487903,704404,8622,2421,369,392001,0 9,2,2024-09-07 09:19:51:092,516317,516317,0,0,24699283,0,3360 9,3,2024-09-07 09:19:51:751,1,409,1,0,496,5964,409,0 10,0,2024-09-07 09:19:51:601,105950,0.4,105366,0.6,211709,0.3,281362,1.75 10,1,2024-09-07 09:19:50:584,716793,716793,0,0,337027612304,3536828016,706413,8757,1623,381,391741,0 10,2,2024-09-07 09:19:50:762,519947,519947,0,0,27078762,0,4264 10,3,2024-09-07 09:19:50:875,1,409,4,0,649,4549,409,0 11,0,2024-09-07 09:19:51:006,100798,0.4,97786,0.6,204511,0.4,269605,1.75 11,1,2024-09-07 09:19:50:574,717345,717345,0,0,336875024159,3538438019,706018,8701,2626,383,391756,0 11,2,2024-09-07 09:19:51:123,514722,514722,0,0,23909264,0,4130 11,3,2024-09-07 09:19:51:303,1,409,1,0,843,6183,409,0 12,0,2024-09-07 09:19:50:995,101234,0.4,101275,0.5,202488,0.3,268264,1.75 12,1,2024-09-07 09:19:50:937,717942,717942,0,0,336157289862,3506939646,712404,5038,500,370,391870,0 12,2,2024-09-07 09:19:51:551,516027,516027,0,0,23039688,0,3469 12,3,2024-09-07 09:19:51:072,1,409,2,0,386,6244,409,0 13,0,2024-09-07 09:19:51:361,102966,0.4,102576,0.6,204659,0.4,273216,1.75 13,1,2024-09-07 09:19:51:530,716306,716306,0,0,336519833470,3540599261,708712,5966,1628,382,391740,0 13,2,2024-09-07 09:19:50:595,518849,518849,0,0,21368871,0,3287 13,3,2024-09-07 09:19:51:769,1,409,10,0,522,6201,409,0 14,0,2024-09-07 09:19:50:571,107401,0.5,108462,0.7,214617,0.4,286141,2.00 14,1,2024-09-07 09:19:51:561,720919,720919,0,0,338172915183,3506125822,714705,5506,708,364,391673,0 14,2,2024-09-07 09:19:50:764,518133,518103,30,0,23461854,0,6104 14,3,2024-09-07 09:19:51:116,1,409,1,0,1168,4703,409,0 15,0,2024-09-07 09:19:51:563,102709,0.4,102638,0.7,205575,0.4,273735,2.00 15,1,2024-09-07 09:19:51:608,718328,718328,0,0,337512062224,3518694667,712107,5043,1178,381,391619,0 15,2,2024-09-07 09:19:50:998,519430,519430,0,0,19217874,0,3622 15,3,2024-09-07 09:19:51:410,1,409,0,0,1126,6903,409,0 16,0,2024-09-07 09:19:50:939,99839,0.5,100171,0.7,200008,0.5,266115,2.00 16,1,2024-09-07 09:19:50:565,718046,718046,0,0,337034379144,3526613141,711458,5475,1113,370,391917,0 16,2,2024-09-07 09:19:51:438,515595,515595,0,0,22868375,0,4719 16,3,2024-09-07 09:19:51:149,1,409,1,0,317,5349,409,0 17,0,2024-09-07 09:19:51:785,103260,0.6,100783,0.8,197387,0.7,268236,2.00 17,1,2024-09-07 09:19:50:581,717054,717054,0,0,335734481591,3525609791,709428,6114,1512,368,392075,0 17,2,2024-09-07 09:19:51:672,518834,518834,0,0,22686556,0,3779 17,3,2024-09-07 09:19:50:575,1,409,1,0,518,6772,409,0 18,0,2024-09-07 09:19:50:939,104962,0.6,105330,0.8,210036,0.6,280751,2.25 18,1,2024-09-07 09:19:51:640,719539,719539,0,0,337539914652,3499740009,715610,3550,379,367,391725,0 18,2,2024-09-07 09:19:51:755,518561,518561,0,0,20038466,0,3541 18,3,2024-09-07 09:19:50:897,1,409,1,0,163,3238,409,0 19,0,2024-09-07 09:19:51:539,103668,0.6,104196,0.8,206684,0.6,275254,2.25 19,1,2024-09-07 09:19:50:565,720138,720138,0,0,338448249922,3513035681,714198,5019,921,367,391777,0 19,2,2024-09-07 09:19:51:757,522412,522412,0,0,19154310,0,3988 19,3,2024-09-07 09:19:51:132,1,409,1,0,524,3426,409,0 20,0,2024-09-07 09:19:51:366,99038,0.4,99055,0.6,197963,0.4,263909,2.00 20,1,2024-09-07 09:19:50:567,717467,717467,0,0,337149814173,3527629580,710956,5711,800,369,391886,0 20,2,2024-09-07 09:19:50:944,515344,515344,0,0,22708689,0,3721 20,3,2024-09-07 09:19:50:590,1,409,0,0,414,6088,409,0 21,0,2024-09-07 09:19:51:136,98940,0.5,98929,0.6,197797,0.4,262689,2.00 21,1,2024-09-07 09:19:51:541,715328,715328,0,0,335416847221,3539476953,704485,8369,2474,368,392016,0 21,2,2024-09-07 09:19:51:079,512925,512905,20,0,27700222,0,5617 21,3,2024-09-07 09:19:51:403,1,409,7,0,713,5380,409,0 22,0,2024-09-07 09:19:51:730,104833,0.5,105255,0.7,210482,0.5,279314,2.00 22,1,2024-09-07 09:19:51:023,716761,716761,0,0,336332965985,3537525695,705640,8895,2226,382,391667,0 22,2,2024-09-07 09:19:50:759,515360,515334,26,0,22186523,0,6328 22,3,2024-09-07 09:19:51:071,1,409,1,0,228,3464,409,0 23,0,2024-09-07 09:19:51:369,104600,0.6,104005,0.7,208611,0.5,277919,2.25 23,1,2024-09-07 09:19:51:023,717506,717506,0,0,336529581618,3537483114,704525,8928,4053,365,391690,0 23,2,2024-09-07 09:19:51:100,522107,522107,0,0,21706840,0,3773 23,3,2024-09-07 09:19:51:754,1,409,1,0,720,5519,409,0 24,0,2024-09-07 09:19:50:819,100862,0.4,100598,0.5,201840,0.3,267936,1.75 24,1,2024-09-07 09:19:50:587,716702,716702,0,0,335828950171,3523651320,708717,6395,1590,367,392269,0 24,2,2024-09-07 09:19:51:074,514272,514272,0,0,26075623,0,3607 24,3,2024-09-07 09:19:51:685,1,409,1,0,468,5708,409,0 25,0,2024-09-07 09:19:51:345,102131,0.4,99568,0.6,195192,0.3,265616,1.75 25,1,2024-09-07 09:19:50:561,716196,716196,0,0,336566853690,3549707753,704269,9682,2245,371,391928,0 25,2,2024-09-07 09:19:51:608,513879,513879,0,0,26483345,0,3978 25,3,2024-09-07 09:19:51:001,1,409,1,0,532,4765,409,0 26,0,2024-09-07 09:19:51:729,102834,0.4,100330,0.6,210368,0.3,274344,1.75 26,1,2024-09-07 09:19:51:551,717905,717905,0,0,336710035058,3529357008,707279,9042,1584,380,391748,0 26,2,2024-09-07 09:19:50:866,517927,517927,0,0,26702540,0,2809 26,3,2024-09-07 09:19:51:717,1,409,1,0,796,5100,409,0 27,0,2024-09-07 09:19:51:751,106976,0.5,107131,0.7,213088,0.5,284364,2.25 27,1,2024-09-07 09:19:51:684,719506,719506,0,0,337479031332,3518424019,712254,6352,900,381,391626,0 27,2,2024-09-07 09:19:50:867,516691,516626,65,0,24582873,0,5699 27,3,2024-09-07 09:19:51:014,1,409,1,0,564,4085,409,0 28,0,2024-09-07 09:19:51:416,102640,0.4,102125,0.6,204827,0.3,272958,2.00 28,1,2024-09-07 09:19:50:798,718668,718668,0,0,337603932978,3527306186,711482,5487,1699,383,391698,0 28,2,2024-09-07 09:19:51:770,516695,516695,0,0,22338429,0,2915 28,3,2024-09-07 09:19:51:784,1,409,1,0,502,4636,409,0 29,0,2024-09-07 09:19:51:367,103429,0.3,100780,0.6,197695,0.3,269252,1.75 29,1,2024-09-07 09:19:51:561,720944,720944,0,0,338842589514,3508889242,716084,4126,734,368,391753,0 29,2,2024-09-07 09:19:50:861,515673,515673,0,0,21190102,0,4986 29,3,2024-09-07 09:19:50:964,1,409,3,0,459,4909,409,0 30,0,2024-09-07 09:19:51:457,100529,0.5,97684,0.7,204375,0.5,267867,2.00 30,1,2024-09-07 09:19:50:576,720245,720245,0,0,337942892035,3513065912,714179,5300,766,381,391672,0 30,2,2024-09-07 09:19:51:273,518103,518103,0,0,20761364,0,4192 30,3,2024-09-07 09:19:50:582,1,409,0,0,519,4070,409,0 31,0,2024-09-07 09:19:51:764,107123,0.5,107657,0.7,214799,0.5,286807,2.00 31,1,2024-09-07 09:19:50:565,722807,722807,0,0,338889111175,3476167422,719793,2428,586,356,391712,0 31,2,2024-09-07 09:19:51:275,516574,516574,0,0,22337647,0,3525 31,3,2024-09-07 09:19:51:706,1,409,1,0,220,3677,409,0 32,0,2024-09-07 09:19:51:469,104165,0.3,104802,0.5,208670,0.3,278186,1.75 32,1,2024-09-07 09:19:50:812,719844,719844,0,0,337717225963,3515389945,714734,4429,681,381,391646,0 32,2,2024-09-07 09:19:50:939,520359,520359,0,0,20062539,0,3922 32,3,2024-09-07 09:19:51:017,1,409,1,0,304,3325,409,0 33,0,2024-09-07 09:19:51:505,99580,0.3,99154,0.4,198908,0.2,264778,1.50 33,1,2024-09-07 09:19:50:577,720569,720569,0,0,338047978520,3509199782,714061,5404,1104,369,391730,0 33,2,2024-09-07 09:19:50:759,516544,516509,35,0,22249074,0,7012 33,3,2024-09-07 09:19:50:895,1,409,1,0,329,4117,409,0 34,0,2024-09-07 09:19:50:934,99307,0.3,102119,0.4,195572,0.2,263325,1.75 34,1,2024-09-07 09:19:51:045,721326,721326,0,0,338580276488,3483270021,719590,1725,11,367,391562,0 34,2,2024-09-07 09:19:50:766,516764,516764,0,0,20739616,0,4562 34,3,2024-09-07 09:19:51:691,1,409,0,0,299,3165,409,0 35,0,2024-09-07 09:19:50:857,104856,0.4,105256,0.5,211513,0.3,281525,1.75 35,1,2024-09-07 09:19:51:074,719939,719939,0,0,336868221573,3494007422,715403,3680,856,382,391769,0 35,2,2024-09-07 09:19:51:583,516699,516699,0,0,22145383,0,4055 35,3,2024-09-07 09:19:50:906,1,409,1,0,418,4481,409,0 36,0,2024-09-07 09:19:51:529,105022,0.5,104940,0.7,209810,0.5,279284,2.25 36,1,2024-09-07 09:19:50:585,718400,718400,0,0,337519450913,3528159613,708754,7646,2000,366,391759,0 36,2,2024-09-07 09:19:51:755,521179,521179,0,0,23378577,0,3875 36,3,2024-09-07 09:19:50:863,1,409,1,0,416,6030,409,0 37,0,2024-09-07 09:19:51:377,98621,0.4,98674,0.6,197507,0.4,262960,2.00 37,1,2024-09-07 09:19:50:613,718300,718293,0,7,336397967310,3515925200,709659,6361,2273,365,391573,0 37,2,2024-09-07 09:19:51:142,513668,513653,15,0,23201839,0,5815 37,3,2024-09-07 09:19:51:786,1,409,13,0,888,6307,409,0 38,0,2024-09-07 09:19:51:440,98239,0.5,95291,0.6,199374,0.4,261116,2.00 38,1,2024-09-07 09:19:51:605,719285,719285,0,0,336890348569,3524180711,708746,8378,2161,368,391821,0 38,2,2024-09-07 09:19:50:759,515447,515400,47,0,22785249,0,6710 38,3,2024-09-07 09:19:50:998,1,409,0,0,689,5424,409,0 39,0,2024-09-07 09:19:51:761,106630,0.6,104382,0.7,203147,0.5,277819,2.00 39,1,2024-09-07 09:19:50:715,717359,717359,0,0,336106080821,3528095571,704945,9724,2690,365,391658,0 39,2,2024-09-07 09:19:51:416,517837,517837,0,0,21793269,0,3391 39,3,2024-09-07 09:19:50:714,1,409,0,0,324,4847,409,0 40,0,2024-09-07 09:19:51:499,104738,0.9,105485,1.0,210095,1.0,280120,2.75 40,1,2024-09-07 09:19:50:588,718135,718135,0,0,336096458042,3524652123,707317,8775,2043,368,391668,0 40,2,2024-09-07 09:19:51:304,518945,518944,1,0,25728942,0,5137 40,3,2024-09-07 09:19:51:149,1,409,2,0,1028,5754,409,0 41,0,2024-09-07 09:19:51:025,100311,1.4,102595,1.2,195848,2.2,266226,3.25 41,1,2024-09-07 09:19:50:772,717039,717039,0,0,336984581617,3528779627,707857,8142,1040,369,391742,0 41,2,2024-09-07 09:19:50:760,513849,513849,0,0,24460147,0,4277 41,3,2024-09-07 09:19:51:687,1,409,1,0,366,4198,409,0 42,0,2024-09-07 09:19:51:476,99712,0.8,99770,1.0,199745,0.9,264014,2.75 42,1,2024-09-07 09:19:51:442,715499,715499,0,0,335283876218,3528193883,703538,9930,2031,380,391675,0 42,2,2024-09-07 09:19:51:134,514606,514606,0,0,24793377,0,3790 42,3,2024-09-07 09:19:51:012,1,409,1,0,892,4237,409,0 43,0,2024-09-07 09:19:50:923,101051,0.8,98338,1.0,205957,0.9,270539,2.25 43,1,2024-09-07 09:19:50:576,718353,718353,0,0,337764789166,3532691443,708027,8587,1739,366,391696,0 43,2,2024-09-07 09:19:51:736,517662,517662,0,0,24373523,0,3812 43,3,2024-09-07 09:19:51:750,1,409,1,0,548,5501,409,0 44,0,2024-09-07 09:19:50:859,107530,0.5,107810,0.7,216083,0.5,286695,2.00 44,1,2024-09-07 09:19:50:611,720157,720157,0,0,337741500899,3495230283,713664,5175,1318,356,391809,0 44,2,2024-09-07 09:19:51:267,516991,516991,0,0,20511401,0,4344 44,3,2024-09-07 09:19:51:097,1,409,2,0,817,4997,409,0 45,0,2024-09-07 09:19:51:758,101681,0.5,99323,0.7,208350,0.5,274265,2.00 45,1,2024-09-07 09:19:51:005,719351,719351,0,0,337483158617,3510109803,713695,5083,573,382,391917,0 45,2,2024-09-07 09:19:51:271,518395,518395,0,0,20841493,0,3596 45,3,2024-09-07 09:19:50:938,1,409,1,0,271,3639,409,0 46,0,2024-09-07 09:19:50:950,99306,0.5,99207,0.7,198837,0.4,263912,2.00 46,1,2024-09-07 09:19:50:576,720743,720743,0,0,338950410063,3503939256,716080,4072,591,366,391709,0 46,2,2024-09-07 09:19:50:593,516334,516334,0,0,20962408,0,4443 46,3,2024-09-07 09:19:51:134,1,409,1,0,908,5375,409,0 47,0,2024-09-07 09:19:51:103,100652,0.4,100841,0.6,202114,0.4,267706,2.00 47,1,2024-09-07 09:19:50:567,721475,721475,0,0,338158692172,3498059603,716622,4016,837,366,391641,0 47,2,2024-09-07 09:19:50:911,519170,519170,0,0,20562989,0,4477 47,3,2024-09-07 09:19:51:133,1,409,1,0,600,5166,409,0 48,0,2024-09-07 09:19:51:515,106447,0.3,106211,0.5,211730,0.2,283195,1.75 48,1,2024-09-07 09:19:51:024,719457,719457,0,0,337577883025,3508908272,714751,4250,456,384,391710,0 48,2,2024-09-07 09:19:50:699,516248,516248,0,0,19169276,0,3411 48,3,2024-09-07 09:19:50:759,1,409,1,0,339,3405,409,0 49,0,2024-09-07 09:19:51:755,106934,0.4,105124,0.5,203673,0.3,279389,1.75 49,1,2024-09-07 09:19:51:022,719323,719323,0,0,336859544959,3507748523,714175,3943,1205,382,391809,0 49,2,2024-09-07 09:19:51:814,520893,520893,0,0,21266853,0,4426 49,3,2024-09-07 09:19:51:415,1,409,4,0,992,5036,409,0 50,0,2024-09-07 09:19:51:509,99625,0.3,97984,0.4,197891,0.2,263570,1.75 50,1,2024-09-07 09:19:51:019,721719,721719,0,0,338786159754,3505276619,716805,4340,574,368,391565,0 50,2,2024-09-07 09:19:51:072,515397,515397,0,0,19361947,0,4490 50,3,2024-09-07 09:19:51:291,1,409,3,0,567,4183,409,0 51,0,2024-09-07 09:19:51:701,101594,0.3,99331,0.5,193687,0.2,263878,1.75 51,1,2024-09-07 09:19:51:691,721426,721426,0,0,338728916155,3495547718,717475,2902,1049,365,391706,0 51,2,2024-09-07 09:19:51:321,516535,516535,0,0,18337110,0,3337 51,3,2024-09-07 09:19:51:027,1,409,11,0,678,3471,409,0 52,0,2024-09-07 09:19:51:442,105393,0.5,105167,0.7,210666,0.5,280091,2.00 52,1,2024-09-07 09:19:50:582,718436,718436,0,0,337345370522,3532142827,708111,8972,1353,368,391722,0 52,2,2024-09-07 09:19:51:757,513716,513678,38,0,24601274,0,6742 52,3,2024-09-07 09:19:50:674,1,409,1,0,1782,5804,409,0 53,0,2024-09-07 09:19:51:770,104217,0.7,101194,0.8,211865,0.8,277887,2.25 53,1,2024-09-07 09:19:50:770,716879,716879,0,0,336071687560,3528824536,704976,8944,2959,367,391702,0 53,2,2024-09-07 09:19:51:297,520846,520845,1,0,22343656,0,5455 53,3,2024-09-07 09:19:50:698,1,409,2,0,308,3712,409,0 54,0,2024-09-07 09:19:51:632,98837,0.6,99131,0.8,197509,0.4,263718,2.25 54,1,2024-09-07 09:19:50:585,718445,718445,0,0,337756510880,3513063740,711174,6032,1239,366,391659,0 54,2,2024-09-07 09:19:50:865,515406,515374,32,0,25292468,0,6397 54,3,2024-09-07 09:19:50:763,1,409,3,0,676,6093,409,0 55,0,2024-09-07 09:19:51:763,96182,0.6,99352,0.7,200841,0.5,261424,2.25 55,1,2024-09-07 09:19:50:764,719510,719510,0,0,337001058719,3504903029,711881,6537,1092,365,391731,0 55,2,2024-09-07 09:19:50:729,514778,514722,56,0,24042808,0,7239 55,3,2024-09-07 09:19:50:674,1,409,1,0,304,4293,409,0 56,0,2024-09-07 09:19:51:582,104974,1.5,98849,1.2,203792,2.1,273556,3.00 56,1,2024-09-07 09:19:50:574,714544,714544,0,0,336073391144,3553151188,703044,9127,2373,381,391867,0 56,2,2024-09-07 09:19:51:305,517355,517355,0,0,24203886,0,3567 56,3,2024-09-07 09:19:51:060,1,409,2,0,705,5129,409,0 57,0,2024-09-07 09:19:50:953,105322,1.8,105044,1.3,210837,2.5,282139,3.50 57,1,2024-09-07 09:19:50:991,717705,717705,0,0,336396667124,3528859692,709106,7515,1084,366,392032,0 57,2,2024-09-07 09:19:51:318,518953,518953,0,0,26186336,0,3317 57,3,2024-09-07 09:19:51:737,1,409,2,0,455,4884,409,0 58,0,2024-09-07 09:19:50:562,100807,0.9,97923,1.0,204976,1.0,268499,2.50 58,1,2024-09-07 09:19:50:582,717761,717758,0,3,337328883860,3530284783,707809,8660,1289,367,391603,3 58,2,2024-09-07 09:19:51:077,515772,515772,0,0,24196435,0,2902 58,3,2024-09-07 09:19:51:068,1,409,3,0,1043,4559,409,0 59,0,2024-09-07 09:19:51:750,100381,0.7,100091,0.9,199666,0.8,265629,2.50 59,1,2024-09-07 09:19:50:803,717344,717344,0,0,337008386640,3533693457,707128,8407,1809,369,391653,0 59,2,2024-09-07 09:19:50:585,515560,515560,0,0,24108441,0,3727 59,3,2024-09-07 09:19:51:748,1,409,1,0,1015,5566,409,0 60,0,2024-09-07 09:19:51:724,100763,0.6,100680,0.7,202062,0.6,268794,2.00 60,1,2024-09-07 09:19:50:771,719690,719690,0,0,338164047563,3512869566,714551,4419,720,370,392031,0 60,2,2024-09-07 09:19:51:159,517748,517748,0,0,22624878,0,3811 60,3,2024-09-07 09:19:51:259,1,409,0,0,409,4915,409,0 61,0,2024-09-07 09:19:51:558,107177,0.8,107716,0.9,214684,0.8,286488,2.00 61,1,2024-09-07 09:19:50:770,718150,718150,0,0,337123527428,3535395796,709849,6872,1429,382,392127,0 61,2,2024-09-07 09:19:51:117,516423,516356,67,0,22914488,0,6411 61,3,2024-09-07 09:19:51:691,1,409,1,0,479,5701,409,0 62,0,2024-09-07 09:19:51:711,104646,0.5,107063,0.7,204616,0.5,277959,2.00 62,1,2024-09-07 09:19:51:133,722326,722320,0,6,339204433393,3500263874,718508,3551,261,365,391975,6 62,2,2024-09-07 09:19:51:648,517793,517792,1,0,23158926,0,5555 62,3,2024-09-07 09:19:51:147,1,409,6,0,482,3698,409,0 63,0,2024-09-07 09:19:51:462,99661,0.4,99534,0.6,199615,0.3,265116,1.75 63,1,2024-09-07 09:19:50:805,720168,720162,0,6,337646696867,3508799310,715194,4235,733,381,391800,6 63,2,2024-09-07 09:19:50:767,516037,516037,0,0,21003338,0,4369 63,3,2024-09-07 09:19:51:738,1,409,1,0,667,4367,409,0 64,0,2024-09-07 09:19:51:550,98668,0.5,98682,0.7,197158,0.5,262527,2.00 64,1,2024-09-07 09:19:50:758,719266,719266,0,0,337342205392,3517731875,712598,4965,1703,370,391783,0 64,2,2024-09-07 09:19:51:152,519697,519678,19,0,20763157,0,6121 64,3,2024-09-07 09:19:51:154,1,409,1,0,265,3833,409,0 65,0,2024-09-07 09:19:51:701,104416,0.7,104803,0.8,209019,0.8,279130,2.25 65,1,2024-09-07 09:19:50:858,717946,717946,0,0,336548854006,3520023899,712838,4568,540,382,391901,0 65,2,2024-09-07 09:19:51:701,517121,517121,0,0,24037393,0,3367 65,3,2024-09-07 09:19:51:686,1,409,12,0,782,4977,409,0 66,0,2024-09-07 09:19:51:766,104405,0.6,104268,0.8,208472,0.5,277700,2.25 66,1,2024-09-07 09:19:51:293,719162,719162,0,0,336857247725,3512565475,713669,4968,525,380,391743,0 66,2,2024-09-07 09:19:51:131,522588,522588,0,0,21291399,0,4956 66,3,2024-09-07 09:19:51:086,1,409,4,0,291,3670,409,0 67,0,2024-09-07 09:19:51:432,99231,0.5,98882,0.6,198379,0.4,263686,2.00 67,1,2024-09-07 09:19:50:772,719486,719485,0,1,337521899941,3518332846,714137,4557,791,380,391787,1 67,2,2024-09-07 09:19:50:592,517369,517369,0,0,20149657,0,3622 67,3,2024-09-07 09:19:51:756,1,409,1,0,392,4059,409,0 68,0,2024-09-07 09:19:50:575,98920,0.5,98732,0.7,196999,0.5,263211,2.00 68,1,2024-09-07 09:19:50:580,716191,716191,0,0,336145017426,3538782975,706147,7099,2945,381,391953,0 68,2,2024-09-07 09:19:51:044,513601,513501,100,0,27129562,0,8578 68,3,2024-09-07 09:19:50:736,1,409,1,0,417,4415,409,0 69,0,2024-09-07 09:19:51:814,103706,0.8,104353,0.9,207733,0.9,276445,2.25 69,1,2024-09-07 09:19:51:019,716207,716207,0,0,335808231248,3542809835,706444,7494,2269,384,391994,0 69,2,2024-09-07 09:19:51:751,516476,516447,29,0,28468970,0,6912 69,3,2024-09-07 09:19:50:760,1,409,1,0,698,5943,409,0 70,0,2024-09-07 09:19:51:537,104820,1.3,104965,1.1,211100,1.1,279418,2.75 70,1,2024-09-07 09:19:50:801,719282,719282,0,0,337697833174,3507206271,713310,5311,661,366,391725,0 70,2,2024-09-07 09:19:51:325,519609,519609,0,0,24040393,0,4323 70,3,2024-09-07 09:19:50:746,1,409,0,0,854,4874,409,0 71,0,2024-09-07 09:19:51:370,100068,0.8,99736,1.0,200253,0.9,267724,2.75 71,1,2024-09-07 09:19:51:596,718444,718444,0,0,336854960630,3516709488,710017,7624,803,368,391738,0 71,2,2024-09-07 09:19:51:069,515139,515139,0,0,23863128,0,4352 71,3,2024-09-07 09:19:51:753,1,409,1,0,644,5233,409,0 72,0,2024-09-07 09:19:51:061,103729,0.5,101417,0.7,197764,0.5,268429,2.00 72,1,2024-09-07 09:19:51:035,717309,717309,0,0,336111964506,3530599035,706451,8849,2009,369,391819,0 72,2,2024-09-07 09:19:51:755,514814,514814,0,0,26201936,0,3983 72,3,2024-09-07 09:19:51:754,1,409,1,0,564,6321,409,0 73,0,2024-09-07 09:19:51:126,99505,0.5,102012,0.7,208784,0.4,271612,2.00 73,1,2024-09-07 09:19:50:886,718882,718882,0,0,337256408703,3505849167,713644,4829,409,367,391858,0 73,2,2024-09-07 09:19:51:749,517144,517144,0,0,25652072,0,3701 73,3,2024-09-07 09:19:50:972,1,409,9,0,486,5628,409,0 74,0,2024-09-07 09:19:51:332,108450,0.6,110788,0.7,211392,0.6,287020,2.25 74,1,2024-09-07 09:19:50:635,718085,718085,0,0,335984906971,3511973415,710126,6364,1595,381,391762,0 74,2,2024-09-07 09:19:51:003,517238,517238,0,0,23699918,0,4253 74,3,2024-09-07 09:19:51:455,1,409,1,0,522,5507,409,0 75,0,2024-09-07 09:19:51:773,103447,0.5,102814,0.8,206179,0.5,275402,2.25 75,1,2024-09-07 09:19:51:587,718561,718561,0,0,336977850619,3517691977,711740,5993,828,380,391739,0 75,2,2024-09-07 09:19:51:351,516368,516368,0,0,25850010,0,4766 75,3,2024-09-07 09:19:51:085,1,409,1,0,702,5263,409,0 76,0,2024-09-07 09:19:50:589,99311,0.5,98619,0.7,198094,0.5,264647,2.25 76,1,2024-09-07 09:19:50:814,718333,718333,0,0,336411297932,3512943133,712911,4597,825,382,391692,0 76,2,2024-09-07 09:19:51:061,517986,517985,1,0,23000154,0,5144 76,3,2024-09-07 09:19:51:151,1,409,0,0,175,3857,409,0 77,0,2024-09-07 09:19:51:704,99905,0.6,100444,0.7,200601,0.6,266485,2.00 77,1,2024-09-07 09:19:50:831,718964,718964,0,0,336968137524,3519669502,713155,5308,501,381,391869,0 77,2,2024-09-07 09:19:51:282,516841,516841,0,0,22465138,0,3890 77,3,2024-09-07 09:19:51:096,1,409,1,0,401,4734,409,0 78,0,2024-09-07 09:19:51:730,106255,0.5,105676,0.7,212223,0.4,281638,2.00 78,1,2024-09-07 09:19:50:636,719035,719035,0,0,336304492022,3507848523,711173,6295,1567,367,391670,0 78,2,2024-09-07 09:19:51:414,516862,516849,13,0,21179256,0,8313 78,3,2024-09-07 09:19:51:134,1,409,1,0,181,3680,409,0 79,0,2024-09-07 09:19:51:365,100520,0.4,102880,0.6,210774,0.4,274259,2.25 79,1,2024-09-07 09:19:50:576,720712,720712,0,0,337562045930,3502744922,714144,5260,1308,367,391682,0 79,2,2024-09-07 09:19:51:077,521382,521382,0,0,20943063,0,4195 79,3,2024-09-07 09:19:50:748,1,409,1,0,418,5325,409,0 80,0,2024-09-07 09:19:51:085,98974,0.5,101949,0.6,194988,0.5,263120,2.00 80,1,2024-09-07 09:19:51:637,718483,718483,0,0,337775455779,3521284037,712935,5127,421,368,392269,0 80,2,2024-09-07 09:19:51:092,517628,517628,0,0,20869675,0,4433 80,3,2024-09-07 09:19:50:577,1,409,1,0,190,4839,409,0 81,0,2024-09-07 09:19:51:567,98916,0.5,101307,0.7,193354,0.5,262631,2.00 81,1,2024-09-07 09:19:51:656,717659,717659,0,0,335981635118,3514004547,711664,5456,539,382,391879,0 81,2,2024-09-07 09:19:51:133,514651,514588,63,0,23222909,0,5932 81,3,2024-09-07 09:19:51:121,1,409,4,0,719,5051,409,0 82,0,2024-09-07 09:19:51:538,104649,0.5,105021,0.7,210559,0.5,279463,2.00 82,1,2024-09-07 09:19:50:585,719815,719811,0,4,337333341130,3511911179,715118,3875,818,381,391768,4 82,2,2024-09-07 09:19:51:699,518013,518013,0,0,19775849,0,4484 82,3,2024-09-07 09:19:51:754,1,409,1,0,363,4538,409,0 83,0,2024-09-07 09:19:51:541,104978,0.7,104932,0.8,209007,0.7,278069,2.25 83,1,2024-09-07 09:19:50:551,717945,717945,0,0,337556378599,3523472767,712422,5073,450,382,391709,0 83,2,2024-09-07 09:19:50:764,520944,520944,0,0,20575116,0,3393 83,3,2024-09-07 09:19:50:749,1,409,1,0,1260,5453,409,0 84,0,2024-09-07 09:19:51:766,99674,0.7,99725,0.8,198817,0.5,266171,2.25 84,1,2024-09-07 09:19:51:053,717563,717563,0,0,336532516214,3518323449,709898,6623,1042,367,391967,0 84,2,2024-09-07 09:19:50:582,514462,514432,30,0,27470566,0,5971 84,3,2024-09-07 09:19:51:141,1,409,1,0,908,6235,409,0 85,0,2024-09-07 09:19:51:010,95882,0.6,95870,0.8,203544,0.5,262693,2.25 85,1,2024-09-07 09:19:50:564,715987,715987,0,0,335613074641,3543986744,705193,8877,1917,381,392006,0 85,2,2024-09-07 09:19:50:865,514553,514553,0,0,25380877,0,3656 85,3,2024-09-07 09:19:50:685,1,409,27,0,789,5166,409,0 86,0,2024-09-07 09:19:50:899,102551,0.7,105597,0.8,202038,0.7,273682,2.25 86,1,2024-09-07 09:19:50:851,717864,717864,0,0,337072002964,3532706214,709209,7216,1439,366,391961,0 86,2,2024-09-07 09:19:50:856,515594,515593,1,0,27540143,0,5004 86,3,2024-09-07 09:19:50:586,1,409,8,0,308,6014,409,0 87,0,2024-09-07 09:19:51:283,106680,1.1,106558,1.0,213264,1.4,284568,2.50 87,1,2024-09-07 09:19:50:561,716322,716322,0,0,336820438299,3533647833,706431,8301,1590,366,392076,0 87,2,2024-09-07 09:19:51:074,517600,517594,6,0,24604444,0,6323 87,3,2024-09-07 09:19:51:794,1,409,10,0,473,6564,409,0 88,0,2024-09-07 09:19:51:453,102245,0.4,102993,0.6,204889,0.4,272753,1.75 88,1,2024-09-07 09:19:50:574,715909,715909,0,0,336710912390,3530978641,706213,7689,2007,365,392084,0 88,2,2024-09-07 09:19:50:688,516435,516435,0,0,26570106,0,4465 88,3,2024-09-07 09:19:51:267,1,409,1,0,435,4755,409,0 89,0,2024-09-07 09:19:51:774,103554,0.4,100248,0.6,198353,0.4,269321,1.75 89,1,2024-09-07 09:19:50:559,716407,716407,0,0,336163131987,3537205200,707247,7735,1425,382,391866,0 89,2,2024-09-07 09:19:51:135,514758,514758,0,0,25024079,0,3173 89,3,2024-09-07 09:19:51:791,1,409,1,0,468,7432,409,0 90,0,2024-09-07 09:19:51:623,97849,0.5,100399,0.6,204897,0.4,267900,2.00 90,1,2024-09-07 09:19:50:610,717325,717325,0,0,336865219316,3529444987,710568,6248,509,380,391825,0 90,2,2024-09-07 09:19:51:416,515498,515498,0,0,26515467,0,3060 90,3,2024-09-07 09:19:50:938,1,409,6,0,322,5192,409,0 91,0,2024-09-07 09:19:50:953,108048,0.5,104624,0.7,218611,0.5,287212,2.00 91,1,2024-09-07 09:19:50:561,716463,716463,0,0,336592500291,3542362383,707219,7844,1400,381,392047,0 91,2,2024-09-07 09:19:51:345,517257,517257,0,0,23524372,0,2896 91,3,2024-09-07 09:19:50:603,1,409,3,0,216,4168,409,0 92,0,2024-09-07 09:19:51:447,104914,0.5,107600,0.6,205397,0.5,278245,1.75 92,1,2024-09-07 09:19:50:585,718292,718292,0,0,336955444158,3521191371,712771,4798,723,382,392136,0 92,2,2024-09-07 09:19:51:351,520425,520425,0,0,21209212,0,3259 92,3,2024-09-07 09:19:51:008,1,409,5,0,167,3701,409,0 93,0,2024-09-07 09:19:50:950,100103,0.4,102581,0.5,195754,0.3,265220,1.75 93,1,2024-09-07 09:19:50:812,717518,717518,0,0,337463537293,3526670910,709401,6736,1381,366,391776,0 93,2,2024-09-07 09:19:50:928,515249,515249,0,0,25480368,0,4845 93,3,2024-09-07 09:19:51:412,1,409,1,0,190,3706,409,0 94,0,2024-09-07 09:19:51:617,98771,0.4,99573,0.5,198934,0.3,264217,1.75 94,1,2024-09-07 09:19:50:565,718564,718564,0,0,337256698075,3520697789,713784,4571,209,381,391850,0 94,2,2024-09-07 09:19:50:763,515821,515821,0,0,21461050,0,2443 94,3,2024-09-07 09:19:51:688,1,409,1,0,576,5589,409,0 95,0,2024-09-07 09:19:51:372,105325,0.4,105199,0.5,211055,0.3,281394,1.75 95,1,2024-09-07 09:19:50:852,719298,719298,0,0,337139089952,3507652418,713265,5597,436,365,391786,0 95,2,2024-09-07 09:19:51:023,516435,516435,0,0,21228535,0,3308 95,3,2024-09-07 09:19:51:718,1,409,2,0,718,6118,409,0 96,0,2024-09-07 09:19:51:045,104920,0.4,105268,0.5,210020,0.3,278738,1.75 96,1,2024-09-07 09:19:51:583,718129,718129,0,0,337062965181,3520023926,712596,4651,882,384,391955,0 96,2,2024-09-07 09:19:51:266,521528,521528,0,0,22214053,0,4180 96,3,2024-09-07 09:19:51:160,1,409,10,0,411,4625,409,0 97,0,2024-09-07 09:19:51:312,99239,0.3,98844,0.5,198426,0.2,263605,1.50 97,1,2024-09-07 09:19:50:762,719709,719709,0,0,338895556276,3518169771,714575,4204,930,367,392140,0 97,2,2024-09-07 09:19:50:607,515932,515932,0,0,21065078,0,3679 97,3,2024-09-07 09:19:50:580,1,409,5,0,242,4792,409,0 98,0,2024-09-07 09:19:51:700,98538,0.3,98544,0.4,197965,0.2,262975,1.50 98,1,2024-09-07 09:19:50:579,718275,718275,0,0,337307961467,3517362270,713330,4155,790,382,391997,0 98,2,2024-09-07 09:19:50:769,516047,516047,0,0,21329485,0,4336 98,3,2024-09-07 09:19:50:698,1,409,380,0,840,7049,409,0 99,0,2024-09-07 09:19:51:455,104125,0.3,104929,0.5,208437,0.3,278876,1.75 99,1,2024-09-07 09:19:51:747,718949,718949,0,0,337158917546,3511585263,714016,4098,835,381,392069,0 99,2,2024-09-07 09:19:51:455,518182,518182,0,0,25175790,0,4276 99,3,2024-09-07 09:19:50:584,1,409,2,0,606,4618,409,0 100,0,2024-09-07 09:19:51:480,105720,0.9,105854,1.0,211031,1.1,282052,2.50 100,1,2024-09-07 09:19:50:555,715281,715281,0,0,334944025050,3540777526,704585,8652,2044,381,391989,0 100,2,2024-09-07 09:19:51:821,518094,518083,11,0,24614203,0,5417 100,3,2024-09-07 09:19:51:745,1,409,1,0,559,6827,409,0 101,0,2024-09-07 09:19:51:695,103091,0.9,100325,1.0,197059,0.8,269680,2.25 101,1,2024-09-07 09:19:50:551,715642,715642,0,0,335771441703,3535362912,704983,8539,2120,368,391847,0 101,2,2024-09-07 09:19:51:756,512175,512175,0,0,29209303,0,4871 101,3,2024-09-07 09:19:50:942,1,409,1,0,579,5836,409,0 102,0,2024-09-07 09:19:50:996,97857,0.6,100926,0.7,204524,0.6,267357,2.00 102,1,2024-09-07 09:19:51:147,716088,716088,0,0,336152214673,3535217646,706236,8090,1762,369,391891,0 102,2,2024-09-07 09:19:51:738,516017,515963,54,0,23519180,0,6768 102,3,2024-09-07 09:19:51:627,1,409,1,0,466,4610,409,0 103,0,2024-09-07 09:19:51:639,105444,0.6,105481,0.7,198670,0.6,273796,2.00 103,1,2024-09-07 09:19:51:640,714989,714989,0,0,336649751755,3557606035,703066,8857,3066,381,391829,0 103,2,2024-09-07 09:19:50:590,515659,515659,0,0,25990187,0,3766 103,3,2024-09-07 09:19:50:760,1,409,5,0,916,4630,409,0 104,0,2024-09-07 09:19:51:023,106549,0.8,106917,1.0,212638,0.8,285117,2.50 104,1,2024-09-07 09:19:51:599,717136,717136,0,0,336050513582,3533788757,706306,8968,1862,365,392168,0 104,2,2024-09-07 09:19:51:666,516455,516455,0,0,25255602,0,3941 104,3,2024-09-07 09:19:51:416,1,409,1,0,1245,8476,409,0 105,0,2024-09-07 09:19:51:032,101815,0.8,99100,1.1,207662,0.9,273474,2.75 105,1,2024-09-07 09:19:50:560,717675,717675,0,0,336817528228,3532663629,708254,7999,1422,366,392009,0 105,2,2024-09-07 09:19:51:330,516768,516768,0,0,25027505,0,3509 105,3,2024-09-07 09:19:51:304,1,409,3,0,399,6402,409,0 106,0,2024-09-07 09:19:50:942,96313,0.7,98744,0.9,202105,0.7,264491,2.50 106,1,2024-09-07 09:19:51:750,716488,716488,0,0,336019224484,3532232828,705478,9565,1445,369,391914,0 106,2,2024-09-07 09:19:50:765,515077,515077,0,0,24380849,0,2920 106,3,2024-09-07 09:19:50:682,1,409,1,0,470,5394,409,0 107,0,2024-09-07 09:19:51:103,100153,1.0,100418,0.9,200308,1.2,267550,2.25 107,1,2024-09-07 09:19:50:585,715924,715924,0,0,335588524399,3540232266,705768,9106,1050,381,392234,0 107,2,2024-09-07 09:19:51:294,515192,515191,1,0,25317747,0,5024 107,3,2024-09-07 09:19:51:756,1,409,4,0,370,6408,409,0 108,0,2024-09-07 09:19:51:789,105629,0.5,106498,0.6,211565,0.4,282853,1.75 108,1,2024-09-07 09:19:51:306,718351,718351,0,0,337931666955,3526799255,712220,5389,742,368,391857,0 108,2,2024-09-07 09:19:51:766,515108,515108,0,0,23877570,0,4246 108,3,2024-09-07 09:19:51:341,1,409,1,0,749,7906,409,0 109,0,2024-09-07 09:19:51:774,104918,0.4,104029,0.6,207995,0.4,278523,1.75 109,1,2024-09-07 09:19:50:593,715890,715890,0,0,336527112309,3535814024,708505,6202,1183,382,392132,0 109,2,2024-09-07 09:19:50:952,518307,518307,0,0,23480820,0,3617 109,3,2024-09-07 09:19:51:140,1,409,27,0,379,4842,409,0 110,0,2024-09-07 09:19:51:755,98856,0.4,96321,0.6,201943,0.3,263933,1.75 110,1,2024-09-07 09:19:51:666,719412,719412,0,0,337412266011,3505453348,714029,4207,1176,369,392045,0 110,2,2024-09-07 09:19:51:303,515921,515921,0,0,22319634,0,4067 110,3,2024-09-07 09:19:50:698,1,409,1,0,722,5850,409,0 111,0,2024-09-07 09:19:51:446,99350,0.4,98456,0.5,197671,0.3,264019,1.75 111,1,2024-09-07 09:19:51:005,719688,719688,0,0,338798962788,3516113342,715809,3524,355,380,391690,0 111,2,2024-09-07 09:19:51:153,515133,515133,0,0,22119750,0,4823 111,3,2024-09-07 09:19:50:914,1,409,3,0,379,5026,409,0 112,0,2024-09-07 09:19:50:912,105633,0.3,105281,0.4,210626,0.2,280538,1.50 112,1,2024-09-07 09:19:50:828,719986,719986,0,0,338137587073,3511167691,715304,4069,613,380,391624,0 112,2,2024-09-07 09:19:51:154,517010,517009,1,0,21265690,0,5036 112,3,2024-09-07 09:19:50:597,1,409,3,0,282,4121,409,0 113,0,2024-09-07 09:19:50:895,104998,0.3,105140,0.5,210542,0.3,280177,1.75 113,1,2024-09-07 09:19:51:690,721903,721903,0,0,338150576109,3491061897,718017,3297,589,366,391661,0 113,2,2024-09-07 09:19:51:303,522255,522255,0,0,19711098,0,3813 113,3,2024-09-07 09:19:50:684,1,409,1,0,340,4679,409,0 114,0,2024-09-07 09:19:50:876,100648,0.3,101285,0.5,201379,0.2,268776,1.75 114,1,2024-09-07 09:19:50:715,719208,719208,0,0,337647829325,3510556239,712950,4642,1616,381,391565,0 114,2,2024-09-07 09:19:50:876,516112,516111,1,0,20587905,0,5069 114,3,2024-09-07 09:19:51:281,1,409,2,0,395,3468,409,0 115,0,2024-09-07 09:19:50:559,99566,0.3,100131,0.4,199786,0.2,265239,1.50 115,1,2024-09-07 09:19:50:583,719357,719357,0,0,338059561371,3517304839,712871,5220,1266,382,391757,0 115,2,2024-09-07 09:19:51:124,517174,517174,0,0,20264848,0,4382 115,3,2024-09-07 09:19:51:003,1,409,11,0,159,2369,409,0 116,0,2024-09-07 09:19:51:696,102367,0.8,102286,0.9,205248,0.9,274922,2.25 116,1,2024-09-07 09:19:50:803,715949,715949,0,0,336105523005,3542974697,707371,6254,2324,380,392089,0 116,2,2024-09-07 09:19:51:751,516990,516990,0,0,25841298,0,4128 116,3,2024-09-07 09:19:50:915,1,409,1,0,415,4910,409,0 117,0,2024-09-07 09:19:50:970,107168,0.8,106628,0.9,213559,1.0,284925,2.00 117,1,2024-09-07 09:19:51:631,717384,717384,0,0,336277102082,3521192384,709658,6813,913,369,392033,0 117,2,2024-09-07 09:19:51:133,521596,521596,0,0,22218166,0,4303 117,3,2024-09-07 09:19:51:072,1,409,6,0,490,6445,409,0 118,0,2024-09-07 09:19:51:785,99438,0.5,101910,0.7,208204,0.4,271725,2.00 118,1,2024-09-07 09:19:50:588,716997,716997,0,0,336226076481,3541504124,705113,8886,2998,366,391907,0 118,2,2024-09-07 09:19:51:589,515643,515643,0,0,24181170,0,2842 118,3,2024-09-07 09:19:51:763,1,409,2,0,248,4797,409,0 119,0,2024-09-07 09:19:51:345,100268,0.6,100704,0.7,201370,0.5,267754,2.00 119,1,2024-09-07 09:19:50:569,716972,716972,0,0,337224752544,3531645480,708502,7355,1115,367,391780,0 119,2,2024-09-07 09:19:51:266,516797,516797,0,0,22775399,0,4174 119,3,2024-09-07 09:19:51:325,1,409,11,0,1358,8396,409,0 120,0,2024-09-07 09:19:51:551,100133,0.7,99909,0.9,200381,0.7,268227,2.50 120,1,2024-09-07 09:19:50:859,717723,717723,0,0,336666919532,3536869748,709371,7584,768,368,391961,0 120,2,2024-09-07 09:19:50:770,516356,516355,1,0,26464258,0,5281 120,3,2024-09-07 09:19:51:290,1,409,8,0,279,5165,409,0 121,0,2024-09-07 09:19:51:689,107336,1.5,107058,1.1,214855,2.1,286438,2.50 121,1,2024-09-07 09:19:51:662,718227,718227,0,0,337166837372,3527270390,711519,6251,457,367,391840,0 121,2,2024-09-07 09:19:51:136,515865,515865,0,0,25445862,0,4127 121,3,2024-09-07 09:19:50:739,1,409,1,0,269,4608,409,0 122,0,2024-09-07 09:19:51:762,103511,0.8,100942,0.9,211834,0.9,278067,2.00 122,1,2024-09-07 09:19:50:860,716296,716296,0,0,336644578946,3537069717,705873,8822,1601,366,392130,0 122,2,2024-09-07 09:19:51:319,519038,518965,73,0,28229825,0,5989 122,3,2024-09-07 09:19:50:602,1,409,0,0,512,7646,409,0 123,0,2024-09-07 09:19:50:971,99026,0.6,96690,0.7,202219,0.6,264562,2.00 123,1,2024-09-07 09:19:50:568,716247,716247,0,0,336988961781,3555031739,702716,11092,2439,369,392039,0 123,2,2024-09-07 09:19:51:023,514151,514150,1,0,23788569,0,5215 123,3,2024-09-07 09:19:51:134,1,409,4,0,478,4945,409,0 124,0,2024-09-07 09:19:50:947,102114,0.3,102105,0.5,192457,0.3,264906,1.75 124,1,2024-09-07 09:19:51:022,719357,719357,0,0,337377492243,3509846688,714086,4222,1049,367,392178,0 124,2,2024-09-07 09:19:51:019,517185,517132,53,0,21667503,0,6487 124,3,2024-09-07 09:19:50:758,1,409,2,0,490,4055,409,0 125,0,2024-09-07 09:19:51:425,105508,0.4,105105,0.6,211189,0.4,281727,1.75 125,1,2024-09-07 09:19:50:857,717463,717463,0,0,336666837258,3518569072,711569,5226,668,382,391702,0 125,2,2024-09-07 09:19:51:117,517245,517245,0,0,22428537,0,4534 125,3,2024-09-07 09:19:51:133,1,409,2,0,709,5318,409,0 126,0,2024-09-07 09:19:51:471,104814,0.4,107906,0.6,206469,0.4,279409,1.75 126,1,2024-09-07 09:19:50:566,719931,719931,0,0,338344912605,3506657250,715933,3705,293,365,391987,0 126,2,2024-09-07 09:19:50:610,521840,521840,0,0,23225479,0,4539 126,3,2024-09-07 09:19:50:914,1,409,0,0,268,5208,409,0 127,0,2024-09-07 09:19:51:613,99082,0.3,99579,0.5,198661,0.3,263643,1.75 127,1,2024-09-07 09:19:50:573,719335,719335,0,0,337399588126,3512806175,712002,6114,1219,364,392187,0 127,2,2024-09-07 09:19:50:666,514911,514911,0,0,20872825,0,3897 127,3,2024-09-07 09:19:51:270,1,409,14,0,968,4560,409,0 128,0,2024-09-07 09:19:51:554,99206,0.3,99388,0.4,198269,0.2,263422,1.50 128,1,2024-09-07 09:19:51:605,718876,718876,0,0,337682014840,3507543826,713987,4457,432,367,391838,0 128,2,2024-09-07 09:19:51:382,516709,516709,0,0,20149109,0,3171 128,3,2024-09-07 09:19:50:767,1,409,1,0,1082,6540,409,0 129,0,2024-09-07 09:19:51:011,105011,0.3,104477,0.5,209539,0.3,279016,1.75 129,1,2024-09-07 09:19:50:567,716229,716229,0,0,336424261830,3528791445,708599,5962,1668,379,391962,0 129,2,2024-09-07 09:19:50:686,518185,518185,0,0,21621032,0,4031 129,3,2024-09-07 09:19:50:691,1,409,3,0,506,5610,409,0 130,0,2024-09-07 09:19:51:730,106692,0.6,106069,0.6,213656,0.6,283480,1.75 130,1,2024-09-07 09:19:50:589,719319,719319,0,0,337438132331,3509050175,715291,3689,339,381,391825,0 130,2,2024-09-07 09:19:51:125,521461,521461,0,0,22115407,0,4067 130,3,2024-09-07 09:19:51:292,1,409,0,0,960,6664,409,0 131,0,2024-09-07 09:19:51:936,100808,0.3,101267,0.5,203554,0.3,269574,1.75 131,1,2024-09-07 09:19:51:820,718902,718902,0,0,337389579631,3526667128,712948,4906,1048,381,391865,0 131,2,2024-09-07 09:19:50:575,517812,517812,0,0,20170973,0,3979 131,3,2024-09-07 09:19:51:688,1,409,0,0,392,4482,409,0 132,0,2024-09-07 09:19:51:415,100409,0.4,101473,0.6,201810,0.4,267993,1.75 132,1,2024-09-07 09:19:50:576,715506,715506,0,0,335565019197,3537680869,704390,9012,2104,381,392097,0 132,2,2024-09-07 09:19:50:733,515484,515467,17,0,26419291,0,6451 132,3,2024-09-07 09:19:51:691,1,409,19,0,804,7398,409,0 133,0,2024-09-07 09:19:51:535,99538,0.4,101817,0.6,208901,0.4,272221,2.00 133,1,2024-09-07 09:19:50:582,715469,715469,0,0,336288282780,3547707273,704935,9019,1515,383,391914,0 133,2,2024-09-07 09:19:51:098,516528,516478,50,0,27927850,0,6861 133,3,2024-09-07 09:19:51:301,1,409,1,0,479,4170,409,0 134,0,2024-09-07 09:19:50:941,108107,0.6,107699,0.8,215593,0.6,287379,2.25 134,1,2024-09-07 09:19:50:585,716634,716634,0,0,336023910931,3527987753,706853,7747,2034,366,391718,0 134,2,2024-09-07 09:19:51:756,516175,516151,24,0,25501116,0,6207 134,3,2024-09-07 09:19:50:748,1,409,0,0,739,5355,409,0 135,0,2024-09-07 09:19:51:102,99832,0.8,99831,0.9,211791,0.9,272992,2.25 135,1,2024-09-07 09:19:51:598,716841,716841,0,0,336752723616,3545834432,706893,8578,1370,380,391805,0 135,2,2024-09-07 09:19:50:687,518328,518328,0,0,24581944,0,3981 135,3,2024-09-07 09:19:51:008,1,409,0,0,299,3445,409,0 136,0,2024-09-07 09:19:51:655,100194,0.5,100312,0.7,199678,0.5,266537,2.00 136,1,2024-09-07 09:19:51:441,717126,717126,0,0,336576813650,3533287899,708567,7597,962,382,391685,0 136,2,2024-09-07 09:19:51:134,517251,517251,0,0,23770926,0,3506 136,3,2024-09-07 09:19:51:106,1,409,1,0,637,4827,409,0 137,0,2024-09-07 09:19:50:944,103455,0.6,100714,0.8,197654,0.7,268654,2.00 137,1,2024-09-07 09:19:50:583,716033,716033,0,0,335666021703,3526623117,704527,9147,2359,366,391898,0 137,2,2024-09-07 09:19:51:752,515440,515440,0,0,26423805,0,3185 137,3,2024-09-07 09:19:50:768,1,409,1,0,484,5168,409,0 138,0,2024-09-07 09:19:51:756,105422,1.1,105473,1.0,211814,1.4,281608,2.25 138,1,2024-09-07 09:19:51:685,717268,717268,0,0,337091415250,3538978573,707035,8512,1721,368,391954,0 138,2,2024-09-07 09:19:50:597,515797,515797,0,0,24053261,0,4988 138,3,2024-09-07 09:19:50:624,1,409,13,0,1160,5606,409,0 139,0,2024-09-07 09:19:51:366,103356,1.6,103358,1.1,207190,2.3,276841,2.50 139,1,2024-09-07 09:19:50:592,713582,713582,0,0,334475208651,3559030588,699224,11053,3305,380,392058,0 139,2,2024-09-07 09:19:50:700,516267,516267,0,0,27453960,0,3097 139,3,2024-09-07 09:19:51:664,1,409,2,0,432,4933,409,0 140,0,2024-09-07 09:19:51:589,99502,0.3,98868,0.5,198555,0.2,264230,1.75 140,1,2024-09-07 09:19:51:548,721448,721448,0,0,339061163382,3498044290,717887,3120,441,365,391606,0 140,2,2024-09-07 09:19:50:699,516359,516358,1,0,21119766,0,5036 140,3,2024-09-07 09:19:50:767,1,409,1,0,297,3564,409,0 141,0,2024-09-07 09:19:51:698,99139,0.3,101786,0.5,194611,0.3,263907,1.75 141,1,2024-09-07 09:19:50:859,719299,719299,0,0,337933548037,3513025813,713620,4723,956,379,391614,0 141,2,2024-09-07 09:19:51:690,516400,516400,0,0,20846522,0,3360 141,3,2024-09-07 09:19:51:043,1,409,1,0,391,4866,409,0 142,0,2024-09-07 09:19:51:322,105715,0.3,105167,0.5,210046,0.3,281133,1.75 142,1,2024-09-07 09:19:50:594,718611,718611,0,0,337527099662,3520803352,713933,4282,396,382,392102,0 142,2,2024-09-07 09:19:51:305,516139,516107,32,0,22999175,0,6028 142,3,2024-09-07 09:19:51:752,1,409,9,0,484,4770,409,0 143,0,2024-09-07 09:19:51:396,105300,0.5,105241,0.6,210797,0.5,280137,1.75 143,1,2024-09-07 09:19:50:561,719959,719959,0,0,337372896746,3504584560,714924,4491,544,367,391705,0 143,2,2024-09-07 09:19:50:769,520963,520963,0,0,22049575,0,3123 143,3,2024-09-07 09:19:51:140,1,409,1,0,462,5218,409,0 144,0,2024-09-07 09:19:51:506,97191,0.6,99868,0.8,203637,0.5,266593,2.00 144,1,2024-09-07 09:19:50:566,716223,716223,0,0,335967196947,3530265792,708515,5874,1834,381,391649,0 144,2,2024-09-07 09:19:51:768,516569,516569,0,0,20628403,0,3673 144,3,2024-09-07 09:19:51:744,1,409,27,0,249,4273,409,0 145,0,2024-09-07 09:19:51:355,96212,0.5,96228,0.8,204212,0.5,262386,2.25 145,1,2024-09-07 09:19:50:566,716110,716110,0,0,335912895268,3536141464,706504,7944,1662,382,391759,0 145,2,2024-09-07 09:19:51:435,513936,513855,81,0,24995361,0,7814 145,3,2024-09-07 09:19:50:897,1,409,1,0,622,5956,409,0 146,0,2024-09-07 09:19:51:593,102735,0.6,102076,0.8,205953,0.6,273400,2.25 146,1,2024-09-07 09:19:51:597,717630,717630,0,0,336322414880,3535033942,706688,8822,2120,367,391770,0 146,2,2024-09-07 09:19:51:709,516246,516246,0,0,24478714,0,3290 146,3,2024-09-07 09:19:51:274,1,409,1,0,1520,7955,409,0 147,0,2024-09-07 09:19:51:696,107003,0.7,106822,0.8,213039,0.7,284520,2.25 147,1,2024-09-07 09:19:51:376,720518,720518,0,0,338175447877,3520420042,713889,5784,845,368,391791,0 147,2,2024-09-07 09:19:51:010,518841,518841,0,0,22079198,0,2968 147,3,2024-09-07 09:19:50:921,1,409,10,0,1626,7329,409,0 0,0,2024-09-07 09:20:01:781,98325,0.6,98314,0.8,208602,0.7,270100,2.00 0,1,2024-09-07 09:20:00:818,719168,719168,0,0,337501073821,3533624925,713763,5018,387,369,391896,0 0,2,2024-09-07 09:20:01:069,519845,519845,0,0,21255482,0,4480 0,3,2024-09-07 09:20:00:983,1,410,10,0,431,6269,410,0 1,0,2024-09-07 09:20:01:917,107744,1.2,106997,1.1,214731,1.7,287112,2.25 1,1,2024-09-07 09:20:00:596,718795,718795,0,0,336961578904,3529715778,712376,5093,1326,370,391857,0 1,2,2024-09-07 09:20:00:639,517396,517396,0,0,21419324,0,3380 1,3,2024-09-07 09:20:01:327,1,410,10,0,268,5158,410,0 2,0,2024-09-07 09:20:01:577,103920,0.6,104231,0.8,207673,0.7,277274,2.00 2,1,2024-09-07 09:20:00:868,721262,721262,0,0,338576968378,3516094885,717979,2988,295,380,391745,0 2,2,2024-09-07 09:20:01:283,520475,520475,0,0,20433784,0,3594 2,3,2024-09-07 09:20:00:694,1,410,1,0,357,4100,410,0 3,0,2024-09-07 09:20:01:760,99637,0.4,99523,0.6,198892,0.3,264859,2.00 3,1,2024-09-07 09:20:01:622,719879,719879,0,0,337538561924,3517835816,713669,5520,690,380,391716,0 3,2,2024-09-07 09:20:01:142,517454,517431,23,0,21416996,0,5851 3,3,2024-09-07 09:20:01:756,1,410,1,0,207,2816,410,0 4,0,2024-09-07 09:20:01:827,96566,0.4,99220,0.5,202432,0.3,265220,1.75 4,1,2024-09-07 09:20:00:599,717514,717514,0,0,335768954053,3551631329,705718,9291,2505,370,391992,0 4,2,2024-09-07 09:20:01:028,515988,515988,0,0,24243319,0,4528 4,3,2024-09-07 09:20:01:030,1,410,0,0,448,5459,410,0 5,0,2024-09-07 09:20:01:412,105454,0.4,106044,0.6,211809,0.4,281525,1.75 5,1,2024-09-07 09:20:00:755,718615,718615,0,0,337134598233,3551348602,708274,8187,2154,367,392005,0 5,2,2024-09-07 09:20:01:829,515437,515437,0,0,23829651,0,3582 5,3,2024-09-07 09:20:01:740,1,410,2,0,457,5919,410,0 6,0,2024-09-07 09:20:00:916,105230,0.5,104912,0.7,209487,0.4,279807,2.00 6,1,2024-09-07 09:20:00:750,718714,718714,0,0,337433424514,3529405140,710514,6808,1392,379,391694,0 6,2,2024-09-07 09:20:01:124,520901,520883,18,0,24365138,0,5535 6,3,2024-09-07 09:20:01:303,1,410,0,0,710,5268,410,0 7,0,2024-09-07 09:20:01:542,98542,0.5,99323,0.6,197754,0.4,263050,2.00 7,1,2024-09-07 09:20:00:853,718506,718506,0,0,337297556135,3541132353,708739,8305,1462,382,391747,0 7,2,2024-09-07 09:20:00:773,517381,517381,0,0,23487052,0,4791 7,3,2024-09-07 09:20:00:873,1,410,1,0,552,4760,410,0 8,0,2024-09-07 09:20:01:349,99234,0.4,98927,0.5,198350,0.3,264401,1.75 8,1,2024-09-07 09:20:01:058,717444,717444,0,0,336718967526,3544540240,705926,8890,2628,366,392853,0 8,2,2024-09-07 09:20:00:791,512492,512492,0,0,27785257,0,3250 8,3,2024-09-07 09:20:00:585,1,410,1,0,538,6932,410,0 9,0,2024-09-07 09:20:01:176,104872,0.4,101882,0.5,213053,0.3,279785,1.75 9,1,2024-09-07 09:20:00:551,717225,717225,0,0,336514965699,3548544648,706180,8624,2421,369,392001,0 9,2,2024-09-07 09:20:01:097,517333,517333,0,0,24715710,0,3360 9,3,2024-09-07 09:20:01:757,1,410,11,0,496,5975,410,0 10,0,2024-09-07 09:20:01:613,106050,0.4,105493,0.6,211948,0.3,281686,1.75 10,1,2024-09-07 09:20:00:582,718529,718529,0,0,337788936961,3544585859,708149,8757,1623,381,391741,0 10,2,2024-09-07 09:20:00:763,521199,521199,0,0,27104758,0,4264 10,3,2024-09-07 09:20:00:871,1,410,0,0,649,4549,410,0 11,0,2024-09-07 09:20:01:008,100928,0.4,97907,0.6,204753,0.4,269933,1.75 11,1,2024-09-07 09:20:00:571,719062,719062,0,0,337678320189,3546604907,707735,8701,2626,383,391756,0 11,2,2024-09-07 09:20:01:123,515933,515933,0,0,23919511,0,4130 11,3,2024-09-07 09:20:01:300,1,410,0,0,843,6183,410,0 12,0,2024-09-07 09:20:01:040,101287,0.4,101319,0.5,202562,0.3,268264,1.75 12,1,2024-09-07 09:20:00:966,719564,719564,0,0,336898430726,3514636160,714026,5038,500,370,391870,0 12,2,2024-09-07 09:20:01:551,517199,517199,0,0,23064151,0,3469 12,3,2024-09-07 09:20:01:063,1,410,25,0,386,6269,410,0 13,0,2024-09-07 09:20:01:335,103348,0.4,102941,0.6,205366,0.4,274154,1.75 13,1,2024-09-07 09:20:01:535,718009,718009,0,0,337195338620,3547629497,710415,5966,1628,382,391740,0 13,2,2024-09-07 09:20:00:595,520353,520353,0,0,21397683,0,3287 13,3,2024-09-07 09:20:01:777,1,410,1,0,522,6202,410,0 14,0,2024-09-07 09:20:00:564,107490,0.5,108561,0.7,214800,0.4,286373,2.00 14,1,2024-09-07 09:20:01:573,722677,722677,0,0,338907099498,3513584360,716462,5507,708,364,391673,0 14,2,2024-09-07 09:20:00:781,519639,519609,30,0,23483012,0,6104 14,3,2024-09-07 09:20:01:127,1,410,4,0,1168,4707,410,0 15,0,2024-09-07 09:20:01:551,102953,0.4,102870,0.7,206095,0.4,274301,2.00 15,1,2024-09-07 09:20:01:623,720122,720122,0,0,338242365678,3526224957,713901,5043,1178,381,391619,0 15,2,2024-09-07 09:20:01:001,520127,520127,0,0,19226909,0,3622 15,3,2024-09-07 09:20:01:414,1,410,4,0,1126,6907,410,0 16,0,2024-09-07 09:20:01:033,100181,0.5,100510,0.7,200632,0.5,267090,2.00 16,1,2024-09-07 09:20:00:568,719775,719775,0,0,337848522686,3535041698,713185,5477,1113,370,391917,0 16,2,2024-09-07 09:20:01:449,517045,517045,0,0,22917578,0,4719 16,3,2024-09-07 09:20:01:161,1,410,4,0,317,5353,410,0 17,0,2024-09-07 09:20:01:804,103593,0.6,101071,0.8,197989,0.7,268947,2.00 17,1,2024-09-07 09:20:00:570,718658,718658,0,0,336502112067,3533528714,711028,6118,1512,368,392075,0 17,2,2024-09-07 09:20:01:668,520301,520301,0,0,22732534,0,3779 17,3,2024-09-07 09:20:00:577,1,410,0,0,518,6772,410,0 18,0,2024-09-07 09:20:00:948,105463,0.6,105875,0.8,211074,0.7,282361,2.25 18,1,2024-09-07 09:20:01:646,721378,721378,0,0,338367994782,3508636648,717401,3598,379,367,391725,0 18,2,2024-09-07 09:20:01:756,519785,519785,0,0,20060071,0,3541 18,3,2024-09-07 09:20:00:896,1,410,1,0,163,3239,410,0 19,0,2024-09-07 09:20:01:546,103972,0.6,104522,0.8,207322,0.6,276156,2.25 19,1,2024-09-07 09:20:00:586,721938,721938,0,0,339147832149,3520385145,715993,5024,921,367,391777,0 19,2,2024-09-07 09:20:01:758,523410,523410,0,0,19189544,0,3988 19,3,2024-09-07 09:20:01:135,1,410,1,0,524,3427,410,0 20,0,2024-09-07 09:20:01:347,99191,0.4,99212,0.6,198298,0.4,264319,2.00 20,1,2024-09-07 09:20:00:579,719218,719218,0,0,337915686194,3535529178,712705,5713,800,369,391886,0 20,2,2024-09-07 09:20:00:939,516840,516840,0,0,22729678,0,3721 20,3,2024-09-07 09:20:00:597,1,410,11,0,414,6099,410,0 21,0,2024-09-07 09:20:01:140,99173,0.5,99199,0.6,198288,0.4,263341,2.00 21,1,2024-09-07 09:20:01:804,717082,717082,0,0,336111993205,3546639285,706236,8372,2474,368,392016,0 21,2,2024-09-07 09:20:01:088,514231,514211,20,0,27723796,0,5617 21,3,2024-09-07 09:20:01:437,1,410,1,0,713,5381,410,0 22,0,2024-09-07 09:20:01:721,105219,0.5,105652,0.7,211311,0.5,280318,2.00 22,1,2024-09-07 09:20:01:026,718513,718513,0,0,336995329673,3544297963,707392,8895,2226,382,391667,0 22,2,2024-09-07 09:20:00:771,516565,516539,26,0,22195026,0,6328 22,3,2024-09-07 09:20:01:068,1,410,1,0,228,3465,410,0 23,0,2024-09-07 09:20:01:378,104773,0.6,104165,0.7,208916,0.5,278380,2.25 23,1,2024-09-07 09:20:01:006,719305,719305,0,0,337397459603,3546279460,706324,8928,4053,365,391690,0 23,2,2024-09-07 09:20:01:095,523342,523342,0,0,21716841,0,3773 23,3,2024-09-07 09:20:01:756,1,410,0,0,720,5519,410,0 24,0,2024-09-07 09:20:00:882,100958,0.4,100708,0.5,202029,0.3,268186,1.75 24,1,2024-09-07 09:20:00:582,718492,718492,0,0,337026500872,3535855115,710507,6395,1590,367,392269,0 24,2,2024-09-07 09:20:01:080,515646,515646,0,0,26101186,0,3607 24,3,2024-09-07 09:20:01:686,1,410,16,0,468,5724,410,0 25,0,2024-09-07 09:20:01:409,102233,0.4,99658,0.6,195374,0.3,265940,1.75 25,1,2024-09-07 09:20:00:560,717942,717942,0,0,337398082116,3558230472,706015,9682,2245,371,391928,0 25,2,2024-09-07 09:20:01:623,515277,515277,0,0,26509218,0,3978 25,3,2024-09-07 09:20:01:005,1,410,9,0,532,4774,410,0 26,0,2024-09-07 09:20:01:729,103274,0.4,100758,0.6,211346,0.3,275683,1.75 26,1,2024-09-07 09:20:01:548,719659,719659,0,0,337504047150,3537453353,709033,9042,1584,380,391748,0 26,2,2024-09-07 09:20:00:870,519310,519310,0,0,26727855,0,2809 26,3,2024-09-07 09:20:01:721,1,410,0,0,796,5100,410,0 27,0,2024-09-07 09:20:01:728,107072,0.5,107231,0.7,213317,0.5,284671,2.25 27,1,2024-09-07 09:20:01:677,721258,721258,0,0,338137547988,3525163897,714006,6352,900,381,391626,0 27,2,2024-09-07 09:20:00:869,518023,517958,65,0,24597179,0,5699 27,3,2024-09-07 09:20:01:014,1,410,1,0,564,4086,410,0 28,0,2024-09-07 09:20:01:400,102763,0.4,102242,0.6,205057,0.3,273214,2.00 28,1,2024-09-07 09:20:00:807,720469,720469,0,0,338570619306,3537162606,713283,5487,1699,383,391698,0 28,2,2024-09-07 09:20:01:777,517671,517671,0,0,22353373,0,2915 28,3,2024-09-07 09:20:01:787,1,410,0,0,502,4636,410,0 29,0,2024-09-07 09:20:01:376,103669,0.3,101022,0.6,198130,0.3,269803,1.75 29,1,2024-09-07 09:20:01:573,722788,722788,0,0,339787213768,3518467166,717928,4126,734,368,391753,0 29,2,2024-09-07 09:20:00:873,516991,516991,0,0,21215231,0,4986 29,3,2024-09-07 09:20:00:976,1,410,2,0,459,4911,410,0 30,0,2024-09-07 09:20:01:463,100934,0.5,98107,0.7,205198,0.5,269054,2.00 30,1,2024-09-07 09:20:00:575,721949,721949,0,0,338842321448,3522221225,715882,5301,766,381,391672,0 30,2,2024-09-07 09:20:01:280,519468,519468,0,0,20785216,0,4192 30,3,2024-09-07 09:20:00:581,1,410,84,0,519,4154,410,0 31,0,2024-09-07 09:20:01:769,107290,0.5,107829,0.7,215157,0.5,287103,2.00 31,1,2024-09-07 09:20:00:563,724561,724561,0,0,339903616200,3486419513,721547,2428,586,356,391712,0 31,2,2024-09-07 09:20:01:280,517943,517943,0,0,22367376,0,3525 31,3,2024-09-07 09:20:01:714,1,410,5,0,220,3682,410,0 32,0,2024-09-07 09:20:01:433,104450,0.3,105074,0.5,209248,0.3,278946,1.75 32,1,2024-09-07 09:20:00:822,721390,721390,0,0,338491192535,3523320438,716280,4429,681,381,391646,0 32,2,2024-09-07 09:20:00:938,521073,521073,0,0,20073492,0,3922 32,3,2024-09-07 09:20:01:018,1,410,2,0,304,3327,410,0 33,0,2024-09-07 09:20:01:509,99783,0.3,99376,0.4,199321,0.2,265397,1.50 33,1,2024-09-07 09:20:00:578,722381,722381,0,0,339092878738,3519826119,715873,5404,1104,369,391730,0 33,2,2024-09-07 09:20:00:758,518043,518008,35,0,22338445,0,7012 33,3,2024-09-07 09:20:00:896,1,410,1,0,329,4118,410,0 34,0,2024-09-07 09:20:00:935,99714,0.3,102573,0.4,196474,0.2,264518,1.75 34,1,2024-09-07 09:20:01:049,723131,723131,0,0,339517949159,3492832472,721395,1725,11,367,391562,0 34,2,2024-09-07 09:20:00:778,518260,518260,0,0,20763149,0,4562 34,3,2024-09-07 09:20:01:695,1,410,1,0,299,3166,410,0 35,0,2024-09-07 09:20:00:861,105139,0.4,105555,0.5,212100,0.3,282279,1.75 35,1,2024-09-07 09:20:01:071,721673,721673,0,0,337771038057,3503496605,717137,3680,856,382,391769,0 35,2,2024-09-07 09:20:01:595,517752,517752,0,0,22249240,0,4055 35,3,2024-09-07 09:20:00:921,1,410,0,0,418,4481,410,0 36,0,2024-09-07 09:20:01:530,105339,0.5,105248,0.7,210439,0.4,280179,2.25 36,1,2024-09-07 09:20:00:583,720189,720189,0,0,338447847097,3537562915,710543,7646,2000,366,391759,0 36,2,2024-09-07 09:20:01:752,522257,522257,0,0,23386321,0,3875 36,3,2024-09-07 09:20:00:865,1,410,1,0,416,6031,410,0 37,0,2024-09-07 09:20:01:387,98784,0.4,98864,0.6,197822,0.4,263441,2.00 37,1,2024-09-07 09:20:00:568,720068,720061,0,7,337290109846,3524961140,711427,6361,2273,365,391573,0 37,2,2024-09-07 09:20:01:146,515159,515144,15,0,23214859,0,5815 37,3,2024-09-07 09:20:01:781,1,410,1,0,888,6308,410,0 38,0,2024-09-07 09:20:01:461,98526,0.5,95567,0.6,200006,0.4,262011,2.00 38,1,2024-09-07 09:20:01:623,721101,721101,0,0,337614005289,3531536309,710562,8378,2161,368,391821,0 38,2,2024-09-07 09:20:00:771,516733,516686,47,0,22795304,0,6710 38,3,2024-09-07 09:20:01:003,1,410,13,0,689,5437,410,0 39,0,2024-09-07 09:20:01:769,107103,0.6,104817,0.7,203982,0.5,278984,2.00 39,1,2024-09-07 09:20:00:716,719153,719153,0,0,337104178184,3538178191,706739,9724,2690,365,391658,0 39,2,2024-09-07 09:20:01:424,518872,518872,0,0,21801622,0,3391 39,3,2024-09-07 09:20:00:719,1,410,1,0,324,4848,410,0 40,0,2024-09-07 09:20:01:543,104849,0.9,105605,1.0,210324,1.0,280442,2.75 40,1,2024-09-07 09:20:00:579,719910,719910,0,0,336734260448,3531151536,709092,8775,2043,368,391668,0 40,2,2024-09-07 09:20:01:303,520203,520202,1,0,25751763,0,5137 40,3,2024-09-07 09:20:01:159,1,410,1,0,1028,5755,410,0 41,0,2024-09-07 09:20:01:025,100439,1.4,102709,1.2,196108,2.2,266559,3.00 41,1,2024-09-07 09:20:00:772,718752,718752,0,0,337573237859,3534807554,709570,8142,1040,369,391742,0 41,2,2024-09-07 09:20:00:760,515064,515064,0,0,24476724,0,4277 41,3,2024-09-07 09:20:01:679,1,410,2,0,366,4200,410,0 42,0,2024-09-07 09:20:01:482,99747,0.8,99807,1.0,199806,0.9,264014,2.75 42,1,2024-09-07 09:20:01:448,717284,717284,0,0,336120172298,3536741071,705323,9930,2031,380,391675,0 42,2,2024-09-07 09:20:01:143,515772,515772,0,0,24807710,0,3790 42,3,2024-09-07 09:20:01:017,1,410,2,0,892,4239,410,0 43,0,2024-09-07 09:20:00:922,101412,0.8,98687,1.0,206698,0.9,271446,2.25 43,1,2024-09-07 09:20:00:579,720076,720076,0,0,338388438171,3539055209,709749,8588,1739,366,391696,0 43,2,2024-09-07 09:20:01:751,519060,519060,0,0,24391114,0,3812 43,3,2024-09-07 09:20:01:749,1,410,1,0,548,5502,410,0 44,0,2024-09-07 09:20:00:865,107626,0.5,107890,0.7,216245,0.5,286932,2.00 44,1,2024-09-07 09:20:00:570,721907,721907,0,0,338364767839,3501545729,715414,5175,1318,356,391809,0 44,2,2024-09-07 09:20:01:281,518446,518446,0,0,20538878,0,4344 44,3,2024-09-07 09:20:01:102,1,410,0,0,817,4997,410,0 45,0,2024-09-07 09:20:01:771,101900,0.5,99547,0.7,208809,0.5,274848,2.00 45,1,2024-09-07 09:20:01:019,721012,721012,0,0,338106602222,3516530604,715356,5083,573,382,391917,0 45,2,2024-09-07 09:20:01:268,519074,519074,0,0,20851652,0,3596 45,3,2024-09-07 09:20:00:938,1,410,2,0,271,3641,410,0 46,0,2024-09-07 09:20:01:009,99639,0.5,99496,0.7,199503,0.4,264795,2.00 46,1,2024-09-07 09:20:00:579,722516,722516,0,0,339765674026,3512213554,717852,4073,591,366,391709,0 46,2,2024-09-07 09:20:00:595,517785,517785,0,0,21004583,0,4443 46,3,2024-09-07 09:20:01:131,1,410,2,0,908,5377,410,0 47,0,2024-09-07 09:20:01:109,100965,0.4,101151,0.6,202701,0.4,268419,2.00 47,1,2024-09-07 09:20:00:568,723256,723256,0,0,338708567906,3503688494,718402,4017,837,366,391641,0 47,2,2024-09-07 09:20:00:908,520691,520691,0,0,20593344,0,4477 47,3,2024-09-07 09:20:01:129,1,410,1,0,600,5167,410,0 48,0,2024-09-07 09:20:01:535,106959,0.3,106767,0.5,212744,0.2,284589,1.75 48,1,2024-09-07 09:20:01:040,721145,721145,0,0,338285684621,3516149255,716439,4250,456,384,391710,0 48,2,2024-09-07 09:20:00:699,517503,517503,0,0,19203432,0,3411 48,3,2024-09-07 09:20:00:757,1,410,1,0,339,3406,410,0 49,0,2024-09-07 09:20:01:714,107246,0.4,105445,0.5,204298,0.3,280122,1.75 49,1,2024-09-07 09:20:01:040,721144,721144,0,0,337841555399,3517783647,715995,3943,1206,382,391809,0 49,2,2024-09-07 09:20:01:800,521849,521849,0,0,21289785,0,4426 49,3,2024-09-07 09:20:01:423,1,410,1,0,992,5037,410,0 50,0,2024-09-07 09:20:01:564,99778,0.3,98140,0.4,198244,0.2,263945,1.75 50,1,2024-09-07 09:20:01:018,723379,723379,0,0,339638758579,3513974018,718465,4340,574,368,391565,0 50,2,2024-09-07 09:20:01:068,516862,516862,0,0,19397181,0,4490 50,3,2024-09-07 09:20:01:298,1,410,97,0,567,4280,410,0 51,0,2024-09-07 09:20:01:690,101821,0.3,99593,0.5,194189,0.2,264427,1.75 51,1,2024-09-07 09:20:01:680,723234,723234,0,0,339663098480,3505061778,719283,2902,1049,365,391706,0 51,2,2024-09-07 09:20:01:323,517977,517977,0,0,18365256,0,3337 51,3,2024-09-07 09:20:01:039,1,410,4,0,678,3475,410,0 52,0,2024-09-07 09:20:01:472,105780,0.5,105560,0.7,211419,0.5,281091,2.00 52,1,2024-09-07 09:20:00:582,720222,720222,0,0,337978140069,3538581060,709897,8972,1353,368,391722,0 52,2,2024-09-07 09:20:01:769,514954,514916,38,0,24610960,0,6742 52,3,2024-09-07 09:20:00:676,1,410,1,0,1782,5805,410,0 53,0,2024-09-07 09:20:01:776,104376,0.7,101334,0.8,212158,0.8,278351,2.25 53,1,2024-09-07 09:20:00:778,718647,718647,0,0,337046608752,3538687314,706744,8944,2959,367,391702,0 53,2,2024-09-07 09:20:01:300,522178,522177,1,0,22352738,0,5455 53,3,2024-09-07 09:20:00:699,1,410,0,0,308,3712,410,0 54,0,2024-09-07 09:20:01:622,98932,0.6,99245,0.8,197706,0.4,263987,2.25 54,1,2024-09-07 09:20:00:592,720235,720235,0,0,338439844183,3520001248,712964,6032,1239,366,391659,0 54,2,2024-09-07 09:20:00:866,516733,516701,32,0,25302499,0,6397 54,3,2024-09-07 09:20:00:764,1,410,1,0,676,6094,410,0 55,0,2024-09-07 09:20:01:776,96287,0.6,99445,0.7,201055,0.5,261753,2.25 55,1,2024-09-07 09:20:00:772,721214,721214,0,0,337641912529,3511414345,713585,6537,1092,365,391731,0 55,2,2024-09-07 09:20:00:729,516013,515957,56,0,24052019,0,7239 55,3,2024-09-07 09:20:00:678,1,410,1,0,304,4294,410,0 56,0,2024-09-07 09:20:01:551,105398,1.5,99356,1.2,204743,2.1,274843,3.00 56,1,2024-09-07 09:20:00:588,716319,716319,0,0,337062365650,3563259603,704819,9127,2373,381,391867,0 56,2,2024-09-07 09:20:01:303,518761,518761,0,0,24222090,0,3567 56,3,2024-09-07 09:20:01:058,1,410,1,0,705,5130,410,0 57,0,2024-09-07 09:20:00:954,105421,1.8,105152,1.3,211060,2.5,282425,3.50 57,1,2024-09-07 09:20:00:987,719438,719438,0,0,337122333932,3536236812,710838,7516,1084,366,392032,0 57,2,2024-09-07 09:20:01:325,520321,520321,0,0,26204870,0,3317 57,3,2024-09-07 09:20:01:751,1,410,1,0,455,4885,410,0 58,0,2024-09-07 09:20:00:559,100931,0.9,98028,1.0,205206,1.0,268749,2.50 58,1,2024-09-07 09:20:00:582,719460,719457,0,3,338180233254,3538917409,709508,8660,1289,367,391603,3 58,2,2024-09-07 09:20:01:077,516687,516687,0,0,24206611,0,2902 58,3,2024-09-07 09:20:01:075,1,410,0,0,1043,4559,410,0 59,0,2024-09-07 09:20:01:776,100587,0.7,100299,0.9,200112,0.8,266124,2.50 59,1,2024-09-07 09:20:00:816,719128,719128,0,0,337904753828,3542776898,708912,8407,1809,369,391653,0 59,2,2024-09-07 09:20:00:583,516957,516957,0,0,24129809,0,3727 59,3,2024-09-07 09:20:01:746,1,410,0,0,1015,5566,410,0 60,0,2024-09-07 09:20:01:726,101181,0.6,101082,0.7,202892,0.6,269926,2.00 60,1,2024-09-07 09:20:00:778,721478,721478,0,0,339022151789,3521594043,716339,4419,720,370,392031,0 60,2,2024-09-07 09:20:01:161,519161,519161,0,0,22650671,0,3811 60,3,2024-09-07 09:20:01:265,1,410,1,0,409,4916,410,0 61,0,2024-09-07 09:20:01:565,107385,0.8,107932,0.9,215111,0.9,286791,2.00 61,1,2024-09-07 09:20:00:772,719865,719865,0,0,337873286412,3543133070,711564,6872,1429,382,392127,0 61,2,2024-09-07 09:20:01:131,518023,517956,67,0,22949800,0,6411 61,3,2024-09-07 09:20:01:695,1,410,1,0,479,5702,410,0 62,0,2024-09-07 09:20:01:748,104939,0.5,107395,0.7,205216,0.5,278708,2.00 62,1,2024-09-07 09:20:01:120,724134,724128,0,6,340026630426,3508621285,720315,3552,261,365,391975,6 62,2,2024-09-07 09:20:01:651,518490,518489,1,0,23176047,0,5555 62,3,2024-09-07 09:20:01:143,1,410,1,0,482,3699,410,0 63,0,2024-09-07 09:20:01:461,99882,0.4,99728,0.6,200037,0.3,265712,1.75 63,1,2024-09-07 09:20:00:810,721942,721936,0,6,338455959811,3517129502,716968,4235,733,381,391800,6 63,2,2024-09-07 09:20:00:777,517516,517516,0,0,21038784,0,4369 63,3,2024-09-07 09:20:01:750,1,410,2,0,667,4369,410,0 64,0,2024-09-07 09:20:01:540,99106,0.5,99120,0.7,198003,0.5,263778,2.00 64,1,2024-09-07 09:20:00:757,721005,721005,0,0,338100704774,3525547394,714337,4965,1703,370,391783,0 64,2,2024-09-07 09:20:01:146,521316,521297,19,0,20805700,0,6121 64,3,2024-09-07 09:20:01:146,1,410,1,0,265,3834,410,0 65,0,2024-09-07 09:20:01:685,104703,0.7,105120,0.8,209602,0.8,279832,2.25 65,1,2024-09-07 09:20:00:879,719681,719681,0,0,337433599666,3529108674,714573,4568,540,382,391901,0 65,2,2024-09-07 09:20:01:701,518147,518147,0,0,24182415,0,3367 65,3,2024-09-07 09:20:01:690,1,410,1,0,782,4978,410,0 66,0,2024-09-07 09:20:01:772,104716,0.6,104563,0.8,209106,0.5,278597,2.25 66,1,2024-09-07 09:20:01:318,720985,720985,0,0,337750854991,3521767800,715492,4968,525,380,391743,0 66,2,2024-09-07 09:20:01:138,523581,523581,0,0,21342219,0,4956 66,3,2024-09-07 09:20:01:093,1,410,0,0,291,3670,410,0 67,0,2024-09-07 09:20:01:423,99388,0.5,99042,0.6,198699,0.4,264184,2.00 67,1,2024-09-07 09:20:00:765,721221,721220,0,1,338269800264,3526122975,715872,4557,791,380,391787,1 67,2,2024-09-07 09:20:00:584,518629,518629,0,0,20182483,0,3622 67,3,2024-09-07 09:20:01:761,1,410,1,0,392,4060,410,0 68,0,2024-09-07 09:20:00:617,99226,0.5,99058,0.7,197557,0.5,264127,2.00 68,1,2024-09-07 09:20:00:610,717972,717972,0,0,337029338277,3547795169,707928,7099,2945,381,391953,0 68,2,2024-09-07 09:20:01:053,514897,514797,100,0,27144798,0,8578 68,3,2024-09-07 09:20:00:729,1,410,2,0,417,4417,410,0 69,0,2024-09-07 09:20:01:755,104173,0.8,104795,0.9,208634,0.9,277583,2.25 69,1,2024-09-07 09:20:01:027,717980,717980,0,0,336725836526,3552160655,708217,7494,2269,384,391994,0 69,2,2024-09-07 09:20:01:735,517645,517616,29,0,28480043,0,6912 69,3,2024-09-07 09:20:00:770,1,410,17,0,698,5960,410,0 70,0,2024-09-07 09:20:01:540,104961,1.3,105070,1.1,211352,1.1,279732,2.75 70,1,2024-09-07 09:20:00:810,721084,721084,0,0,338471210913,3515043536,715112,5311,661,366,391725,0 70,2,2024-09-07 09:20:01:343,520918,520918,0,0,24052843,0,4323 70,3,2024-09-07 09:20:00:749,1,410,0,0,854,4874,410,0 71,0,2024-09-07 09:20:01:360,100169,0.8,99863,1.0,200503,0.9,268043,2.75 71,1,2024-09-07 09:20:01:607,720177,720177,0,0,337654547600,3524816665,711750,7624,803,368,391738,0 71,2,2024-09-07 09:20:01:075,516332,516332,0,0,23871038,0,4352 71,3,2024-09-07 09:20:01:754,1,410,2,0,644,5235,410,0 72,0,2024-09-07 09:20:01:069,103779,0.5,101461,0.7,197831,0.5,268429,2.00 72,1,2024-09-07 09:20:01:032,719012,719012,0,0,336797790385,3537596242,708154,8849,2009,369,391819,0 72,2,2024-09-07 09:20:01:762,516051,516051,0,0,26218594,0,3983 72,3,2024-09-07 09:20:01:756,1,410,0,0,564,6321,410,0 73,0,2024-09-07 09:20:01:124,99855,0.5,102375,0.7,209530,0.4,272542,2.00 73,1,2024-09-07 09:20:00:774,720735,720735,0,0,337945205246,3512916867,715495,4831,409,367,391858,0 73,2,2024-09-07 09:20:01:753,518640,518640,0,0,25672544,0,3701 73,3,2024-09-07 09:20:00:983,1,410,1,0,486,5629,410,0 74,0,2024-09-07 09:20:01:347,108560,0.6,110893,0.7,211583,0.5,287266,2.25 74,1,2024-09-07 09:20:00:638,719855,719855,0,0,336938611287,3521656438,711894,6366,1595,381,391762,0 74,2,2024-09-07 09:20:01:002,518694,518694,0,0,23716017,0,4253 74,3,2024-09-07 09:20:01:448,1,410,2,0,522,5509,410,0 75,0,2024-09-07 09:20:01:781,103664,0.5,103029,0.8,206641,0.5,275982,2.25 75,1,2024-09-07 09:20:01:597,720270,720270,0,0,337807537948,3526134449,713449,5993,828,380,391739,0 75,2,2024-09-07 09:20:01:351,517051,517051,0,0,25859069,0,4766 75,3,2024-09-07 09:20:01:086,1,410,1,0,702,5264,410,0 76,0,2024-09-07 09:20:00:609,99616,0.5,98927,0.7,198673,0.5,265523,2.25 76,1,2024-09-07 09:20:00:814,720096,720096,0,0,337194734713,3520963738,714674,4597,825,382,391692,0 76,2,2024-09-07 09:20:01:064,519546,519545,1,0,23049159,0,5144 76,3,2024-09-07 09:20:01:160,1,410,0,0,175,3857,410,0 77,0,2024-09-07 09:20:01:702,100216,0.6,100743,0.7,201227,0.6,267164,2.00 77,1,2024-09-07 09:20:00:824,720709,720709,0,0,338002639878,3530192763,714900,5308,501,381,391869,0 77,2,2024-09-07 09:20:01:287,518334,518334,0,0,22508503,0,3890 77,3,2024-09-07 09:20:01:125,1,410,4,0,401,4738,410,0 78,0,2024-09-07 09:20:01:739,106784,0.5,106219,0.7,213248,0.4,283271,2.00 78,1,2024-09-07 09:20:00:610,720779,720779,0,0,336958616409,3514507672,712917,6295,1567,367,391670,0 78,2,2024-09-07 09:20:01:422,518126,518113,13,0,21201467,0,8313 78,3,2024-09-07 09:20:01:134,1,410,1,0,181,3681,410,0 79,0,2024-09-07 09:20:01:351,100841,0.4,103208,0.6,211455,0.4,275140,2.25 79,1,2024-09-07 09:20:00:571,722454,722454,0,0,338152727860,3508837827,715886,5260,1308,367,391682,0 79,2,2024-09-07 09:20:01:075,522344,522344,0,0,20955317,0,4195 79,3,2024-09-07 09:20:00:750,1,410,9,0,418,5334,410,0 80,0,2024-09-07 09:20:01:081,99126,0.5,102117,0.6,195312,0.5,263517,2.00 80,1,2024-09-07 09:20:01:625,720294,720294,0,0,338770659342,3531469605,714745,5128,421,368,392269,0 80,2,2024-09-07 09:20:01:099,519058,519058,0,0,20915307,0,4433 80,3,2024-09-07 09:20:00:581,1,410,13,0,190,4852,410,0 81,0,2024-09-07 09:20:01:546,99149,0.5,101582,0.7,193846,0.5,263251,2.00 81,1,2024-09-07 09:20:01:653,719379,719379,0,0,337076549885,3525216252,713383,5457,539,382,391879,0 81,2,2024-09-07 09:20:01:134,516017,515954,63,0,23250803,0,5932 81,3,2024-09-07 09:20:01:130,1,410,0,0,719,5051,410,0 82,0,2024-09-07 09:20:01:534,105072,0.5,105383,0.7,211373,0.5,280495,2.00 82,1,2024-09-07 09:20:00:585,721493,721489,0,4,338142069902,3520263983,716796,3875,818,381,391768,4 82,2,2024-09-07 09:20:01:694,519212,519212,0,0,19808382,0,4484 82,3,2024-09-07 09:20:01:752,1,410,1,0,363,4539,410,0 83,0,2024-09-07 09:20:01:532,105152,0.7,105072,0.8,209343,0.7,278514,2.25 83,1,2024-09-07 09:20:00:559,719726,719726,0,0,338340518022,3531547098,714203,5073,450,382,391709,0 83,2,2024-09-07 09:20:00:777,522195,522195,0,0,20616885,0,3393 83,3,2024-09-07 09:20:00:752,1,410,23,0,1260,5476,410,0 84,0,2024-09-07 09:20:01:768,99775,0.7,99838,0.8,199010,0.5,266425,2.25 84,1,2024-09-07 09:20:01:042,719298,719298,0,0,337336507364,3526496176,711633,6623,1042,367,391967,0 84,2,2024-09-07 09:20:00:585,515758,515728,30,0,27487672,0,5971 84,3,2024-09-07 09:20:01:145,1,410,7,0,908,6242,410,0 85,0,2024-09-07 09:20:01:119,95963,0.6,95962,0.8,203756,0.5,263040,2.25 85,1,2024-09-07 09:20:00:559,717704,717704,0,0,336332961280,3551370874,706910,8877,1917,381,392006,0 85,2,2024-09-07 09:20:00:867,515839,515839,0,0,25396592,0,3656 85,3,2024-09-07 09:20:00:689,1,410,11,0,789,5177,410,0 86,0,2024-09-07 09:20:00:879,103026,0.7,106057,0.8,202947,0.7,275042,2.25 86,1,2024-09-07 09:20:00:826,719634,719634,0,0,337863434864,3540742026,710979,7216,1439,366,391961,0 86,2,2024-09-07 09:20:00:854,516925,516924,1,0,27553831,0,5004 86,3,2024-09-07 09:20:00:586,1,410,1,0,308,6015,410,0 87,0,2024-09-07 09:20:01:291,106802,1.1,106659,0.9,213468,1.4,284873,2.50 87,1,2024-09-07 09:20:00:550,718134,718134,0,0,337737330244,3542964036,708243,8301,1590,366,392076,0 87,2,2024-09-07 09:20:01:078,518948,518942,6,0,24614837,0,6323 87,3,2024-09-07 09:20:01:799,1,410,1,0,473,6565,410,0 88,0,2024-09-07 09:20:01:498,102383,0.4,103104,0.6,205134,0.4,273012,1.75 88,1,2024-09-07 09:20:00:572,717719,717719,0,0,337619795091,3540204635,708023,7689,2007,365,392084,0 88,2,2024-09-07 09:20:00:695,517446,517446,0,0,26587303,0,4465 88,3,2024-09-07 09:20:01:269,1,410,9,0,435,4764,410,0 89,0,2024-09-07 09:20:01:782,103740,0.4,100470,0.6,198783,0.4,269849,1.75 89,1,2024-09-07 09:20:00:559,718272,718272,0,0,337174568216,3547506971,709110,7737,1425,382,391866,0 89,2,2024-09-07 09:20:01:223,516154,516154,0,0,25042326,0,3173 89,3,2024-09-07 09:20:01:798,1,410,1,0,468,7433,410,0 90,0,2024-09-07 09:20:01:629,98249,0.5,100801,0.6,205750,0.4,269054,2.00 90,1,2024-09-07 09:20:00:590,719108,719108,0,0,337602748401,3537005550,712351,6248,509,380,391825,0 90,2,2024-09-07 09:20:01:416,516998,516998,0,0,26536626,0,3060 90,3,2024-09-07 09:20:00:937,1,410,3,0,322,5195,410,0 91,0,2024-09-07 09:20:00:935,108250,0.5,104849,0.7,219026,0.5,287504,2.00 91,1,2024-09-07 09:20:00:559,718272,718272,0,0,337255091861,3549172373,709026,7845,1401,381,392047,0 91,2,2024-09-07 09:20:01:333,518692,518692,0,0,23547130,0,2896 91,3,2024-09-07 09:20:00:598,1,410,1,0,216,4169,410,0 92,0,2024-09-07 09:20:01:510,105224,0.5,107903,0.6,206012,0.5,279066,1.75 92,1,2024-09-07 09:20:00:596,720034,720034,0,0,337589393291,3527815617,714509,4801,724,382,392136,0 92,2,2024-09-07 09:20:01:365,521184,521184,0,0,21225288,0,3259 92,3,2024-09-07 09:20:01:015,1,410,2,0,167,3703,410,0 93,0,2024-09-07 09:20:01:189,100277,0.4,102801,0.5,196146,0.3,265822,1.75 93,1,2024-09-07 09:20:00:856,719277,719277,0,0,338070812579,3532929808,711154,6742,1381,366,391776,0 93,2,2024-09-07 09:20:00:930,516709,516709,0,0,25563690,0,4845 93,3,2024-09-07 09:20:01:418,1,410,1,0,190,3707,410,0 94,0,2024-09-07 09:20:01:628,99234,0.4,100037,0.5,199756,0.3,265458,1.75 94,1,2024-09-07 09:20:00:569,720346,720346,0,0,337897558821,3527299931,715564,4573,209,381,391850,0 94,2,2024-09-07 09:20:00:772,517312,517312,0,0,21501116,0,2443 94,3,2024-09-07 09:20:01:701,1,410,1,0,576,5590,410,0 95,0,2024-09-07 09:20:01:388,105613,0.4,105448,0.5,211621,0.3,282099,1.75 95,1,2024-09-07 09:20:00:854,721087,721087,0,0,338224841488,3518693945,715054,5597,436,365,391786,0 95,2,2024-09-07 09:20:01:024,517543,517543,0,0,21256158,0,3308 95,3,2024-09-07 09:20:01:713,1,410,0,0,718,6118,410,0 96,0,2024-09-07 09:20:01:152,105231,0.4,105583,0.5,210608,0.3,279685,1.75 96,1,2024-09-07 09:20:01:593,719903,719903,0,0,338132507176,3530993565,714369,4652,882,384,391955,0 96,2,2024-09-07 09:20:01:292,522597,522597,0,0,22245472,0,4180 96,3,2024-09-07 09:20:01:164,1,410,46,0,411,4671,410,0 97,0,2024-09-07 09:20:01:362,99398,0.3,98992,0.5,198729,0.2,264094,1.50 97,1,2024-09-07 09:20:00:827,721508,721508,0,0,339705242981,3526539384,716374,4204,930,367,392140,0 97,2,2024-09-07 09:20:00:608,517452,517452,0,0,21114119,0,3679 97,3,2024-09-07 09:20:00:581,1,410,7,0,242,4799,410,0 98,0,2024-09-07 09:20:01:713,98858,0.3,98866,0.4,198547,0.2,263950,1.50 98,1,2024-09-07 09:20:00:570,720007,720007,0,0,337966883184,3524130551,715061,4156,790,382,391997,0 98,2,2024-09-07 09:20:00:770,517319,517319,0,0,21405103,0,4336 98,3,2024-09-07 09:20:00:698,1,410,2,0,840,7051,410,0 99,0,2024-09-07 09:20:01:461,104598,0.3,105367,0.5,209316,0.3,280026,1.75 99,1,2024-09-07 09:20:01:739,720743,720743,0,0,337873333101,3518983114,715810,4098,835,381,392069,0 99,2,2024-09-07 09:20:01:451,519256,519256,0,0,25214970,0,4276 99,3,2024-09-07 09:20:00:580,1,410,10,0,606,4628,410,0 100,0,2024-09-07 09:20:01:493,105828,0.9,105967,1.0,211275,1.1,282386,2.50 100,1,2024-09-07 09:20:00:548,717043,717043,0,0,335698796158,3548497331,706347,8652,2044,381,391989,0 100,2,2024-09-07 09:20:01:817,519429,519418,11,0,24628470,0,5417 100,3,2024-09-07 09:20:01:736,1,410,0,0,559,6827,410,0 101,0,2024-09-07 09:20:01:745,103186,0.9,100434,1.0,197269,0.8,270021,2.25 101,1,2024-09-07 09:20:00:554,717426,717426,0,0,336626032848,3544050129,706765,8541,2120,368,391847,0 101,2,2024-09-07 09:20:01:770,513286,513286,0,0,29224806,0,4871 101,3,2024-09-07 09:20:01:045,1,410,4,0,579,5840,410,0 102,0,2024-09-07 09:20:00:955,97897,0.6,100966,0.7,204611,0.6,267357,2.00 102,1,2024-09-07 09:20:01:142,717898,717898,0,0,336878861373,3542598337,708046,8090,1762,369,391891,0 102,2,2024-09-07 09:20:01:750,517143,517089,54,0,23533522,0,6768 102,3,2024-09-07 09:20:01:621,1,410,2,0,466,4612,410,0 103,0,2024-09-07 09:20:01:628,105831,0.6,105810,0.7,199402,0.6,274742,2.00 103,1,2024-09-07 09:20:01:627,716806,716806,0,0,337446567189,3565761472,704883,8857,3066,381,391829,0 103,2,2024-09-07 09:20:00:582,517190,517190,0,0,26006832,0,3766 103,3,2024-09-07 09:20:00:758,1,410,2,0,916,4632,410,0 104,0,2024-09-07 09:20:01:089,106641,0.8,107002,1.0,212831,0.8,285357,2.50 104,1,2024-09-07 09:20:01:645,718905,718905,0,0,337278708309,3546228639,708075,8968,1862,365,392168,0 104,2,2024-09-07 09:20:01:681,518027,518027,0,0,25278051,0,3941 104,3,2024-09-07 09:20:01:426,1,410,1,0,1245,8477,410,0 105,0,2024-09-07 09:20:01:129,102044,0.8,99289,1.1,208148,0.9,274062,2.75 105,1,2024-09-07 09:20:00:628,719478,719478,0,0,337580277852,3540457690,710057,7999,1422,366,392009,0 105,2,2024-09-07 09:20:01:322,517498,517498,0,0,25039746,0,3509 105,3,2024-09-07 09:20:01:324,1,410,112,0,399,6514,410,0 106,0,2024-09-07 09:20:00:946,96636,0.7,99042,0.9,202739,0.7,265400,2.50 106,1,2024-09-07 09:20:01:753,718233,718233,0,0,336899540914,3541211900,707222,9566,1445,369,391914,0 106,2,2024-09-07 09:20:00:771,516512,516512,0,0,24399474,0,2920 106,3,2024-09-07 09:20:00:678,1,410,0,0,470,5394,410,0 107,0,2024-09-07 09:20:01:111,100456,1.0,100747,0.9,200908,1.2,268235,2.25 107,1,2024-09-07 09:20:00:587,717664,717664,0,0,336306769400,3547592863,707508,9106,1050,381,392234,0 107,2,2024-09-07 09:20:01:362,516766,516765,1,0,25335241,0,5024 107,3,2024-09-07 09:20:01:759,1,410,1,0,370,6409,410,0 108,0,2024-09-07 09:20:01:833,106114,0.5,106987,0.6,212613,0.4,284255,1.75 108,1,2024-09-07 09:20:01:301,719978,719978,0,0,338577041816,3533542641,713843,5392,743,368,391857,0 108,2,2024-09-07 09:20:01:765,516407,516407,0,0,23903102,0,4246 108,3,2024-09-07 09:20:01:367,1,410,1,0,749,7907,410,0 109,0,2024-09-07 09:20:01:864,105237,0.4,104328,0.6,208618,0.4,279355,1.75 109,1,2024-09-07 09:20:00:588,717616,717616,0,0,337316756381,3543949739,710230,6203,1183,382,392132,0 109,2,2024-09-07 09:20:00:932,519248,519248,0,0,23499407,0,3617 109,3,2024-09-07 09:20:01:192,1,410,22,0,379,4864,410,0 110,0,2024-09-07 09:20:01:767,99015,0.4,96498,0.6,202288,0.3,264345,1.75 110,1,2024-09-07 09:20:01:653,721230,721230,0,0,338195614045,3513423467,715847,4207,1176,369,392045,0 110,2,2024-09-07 09:20:01:323,517437,517437,0,0,22351824,0,4067 110,3,2024-09-07 09:20:00:694,1,410,61,0,722,5911,410,0 111,0,2024-09-07 09:20:01:432,99613,0.4,98727,0.5,198179,0.3,264652,1.75 111,1,2024-09-07 09:20:01:006,721452,721452,0,0,339510958847,3523415104,717573,3524,355,380,391690,0 111,2,2024-09-07 09:20:01:135,516464,516464,0,0,22147828,0,4823 111,3,2024-09-07 09:20:00:913,1,410,1,0,379,5027,410,0 112,0,2024-09-07 09:20:00:919,105987,0.3,105669,0.4,211451,0.2,281527,1.50 112,1,2024-09-07 09:20:00:824,721648,721648,0,0,338911935610,3519094314,716966,4069,613,380,391624,0 112,2,2024-09-07 09:20:01:144,518153,518152,1,0,21292393,0,5036 112,3,2024-09-07 09:20:00:592,1,410,2,0,282,4123,410,0 113,0,2024-09-07 09:20:00:875,105157,0.3,105302,0.5,210877,0.3,280641,1.75 113,1,2024-09-07 09:20:01:685,723644,723644,0,0,338881824333,3498581495,719757,3298,589,366,391661,0 113,2,2024-09-07 09:20:01:322,523494,523494,0,0,19732541,0,3813 113,3,2024-09-07 09:20:00:683,1,410,1,0,340,4680,410,0 114,0,2024-09-07 09:20:00:880,100754,0.3,101385,0.5,201589,0.2,269022,1.75 114,1,2024-09-07 09:20:00:720,720977,720977,0,0,338416355260,3518447048,714719,4642,1616,381,391565,0 114,2,2024-09-07 09:20:00:877,517475,517474,1,0,20622705,0,5069 114,3,2024-09-07 09:20:01:280,1,410,0,0,395,3468,410,0 115,0,2024-09-07 09:20:00:554,99677,0.3,100256,0.4,199994,0.2,265573,1.50 115,1,2024-09-07 09:20:00:572,721187,721187,0,0,338840274185,3525322920,714701,5220,1266,382,391757,0 115,2,2024-09-07 09:20:01:130,518404,518404,0,0,20397238,0,4382 115,3,2024-09-07 09:20:01:005,1,410,1,0,159,2370,410,0 116,0,2024-09-07 09:20:01:726,102850,0.8,102744,0.9,206133,0.8,276306,2.00 116,1,2024-09-07 09:20:00:810,717754,717754,0,0,337001124527,3552148140,709175,6255,2324,380,392089,0 116,2,2024-09-07 09:20:01:752,518316,518316,0,0,25854915,0,4128 116,3,2024-09-07 09:20:00:925,1,410,9,0,415,4919,410,0 117,0,2024-09-07 09:20:00:965,107275,0.8,106756,0.9,213784,1.0,285228,2.00 117,1,2024-09-07 09:20:01:596,719133,719133,0,0,337087155991,3529440558,711406,6814,913,369,392033,0 117,2,2024-09-07 09:20:01:130,522905,522905,0,0,22233344,0,4303 117,3,2024-09-07 09:20:01:067,1,410,1,0,490,6446,410,0 118,0,2024-09-07 09:20:01:777,99563,0.5,102026,0.7,208441,0.4,271982,2.00 118,1,2024-09-07 09:20:00:586,718757,718757,0,0,337088044451,3550250099,706873,8886,2998,366,391907,0 118,2,2024-09-07 09:20:01:604,516628,516628,0,0,24189434,0,2842 118,3,2024-09-07 09:20:01:768,1,410,1,0,248,4798,410,0 119,0,2024-09-07 09:20:01:375,100503,0.6,100903,0.7,201762,0.5,268257,2.00 119,1,2024-09-07 09:20:00:549,718841,718841,0,0,338202645161,3541572595,710371,7355,1115,367,391780,0 119,2,2024-09-07 09:20:01:289,518106,518106,0,0,22788550,0,4174 119,3,2024-09-07 09:20:01:335,1,410,6,0,1358,8402,410,0 120,0,2024-09-07 09:20:01:624,100546,0.7,100326,0.9,201212,0.7,269369,2.50 120,1,2024-09-07 09:20:00:927,719492,719492,0,0,337388753753,3544311651,711135,7588,769,368,391961,0 120,2,2024-09-07 09:20:00:771,517800,517799,1,0,26482957,0,5281 120,3,2024-09-07 09:20:01:308,1,410,7,0,279,5172,410,0 121,0,2024-09-07 09:20:01:731,107510,1.5,107264,1.2,215213,2.1,286736,2.50 121,1,2024-09-07 09:20:01:682,720005,720005,0,0,337923951053,3535038821,713296,6251,458,367,391840,0 121,2,2024-09-07 09:20:01:155,517307,517307,0,0,25467109,0,4127 121,3,2024-09-07 09:20:00:730,1,410,3,0,269,4611,410,0 122,0,2024-09-07 09:20:01:762,103827,0.8,101267,0.9,212466,0.9,278847,2.00 122,1,2024-09-07 09:20:00:865,718034,718034,0,0,337380921561,3544572655,707609,8824,1601,366,392130,0 122,2,2024-09-07 09:20:01:327,519688,519615,73,0,28238811,0,5989 122,3,2024-09-07 09:20:00:596,1,410,0,0,512,7646,410,0 123,0,2024-09-07 09:20:00:996,99262,0.6,96880,0.7,202660,0.6,265158,2.00 123,1,2024-09-07 09:20:00:569,718050,718050,0,0,337686009460,3562181237,704518,11093,2439,369,392039,0 123,2,2024-09-07 09:20:01:024,515637,515636,1,0,23811527,0,5215 123,3,2024-09-07 09:20:01:132,1,410,11,0,478,4956,410,0 124,0,2024-09-07 09:20:00:923,102541,0.3,102522,0.5,193315,0.3,266131,1.75 124,1,2024-09-07 09:20:01:021,721081,721081,0,0,338023106991,3516501506,715808,4224,1049,367,392178,0 124,2,2024-09-07 09:20:01:015,518685,518632,53,0,21704064,0,6487 124,3,2024-09-07 09:20:00:771,1,410,17,0,490,4072,410,0 125,0,2024-09-07 09:20:01:468,105792,0.4,105409,0.6,211748,0.4,282414,1.75 125,1,2024-09-07 09:20:00:862,719236,719236,0,0,337430325410,3526429298,713341,5227,668,382,391702,0 125,2,2024-09-07 09:20:01:128,518274,518274,0,0,22448467,0,4534 125,3,2024-09-07 09:20:01:131,1,410,10,0,709,5328,410,0 126,0,2024-09-07 09:20:01:458,105132,0.4,108264,0.6,207080,0.4,280350,1.75 126,1,2024-09-07 09:20:00:553,721616,721616,0,0,339271278194,3516069444,717618,3705,293,365,391987,0 126,2,2024-09-07 09:20:00:619,522886,522886,0,0,23244065,0,4539 126,3,2024-09-07 09:20:00:929,1,410,9,0,268,5217,410,0 127,0,2024-09-07 09:20:01:592,99241,0.3,99735,0.5,198977,0.3,264117,1.75 127,1,2024-09-07 09:20:00:587,721042,721042,0,0,337955890077,3518517175,713709,6114,1219,364,392187,0 127,2,2024-09-07 09:20:00:648,516391,516391,0,0,20899018,0,3897 127,3,2024-09-07 09:20:01:268,1,410,1,0,968,4561,410,0 128,0,2024-09-07 09:20:01:542,99507,0.3,99684,0.4,198904,0.2,264367,1.50 128,1,2024-09-07 09:20:01:610,720577,720577,0,0,338489237513,3515973718,715688,4457,432,367,391838,0 128,2,2024-09-07 09:20:01:386,518039,518039,0,0,20216206,0,3171 128,3,2024-09-07 09:20:00:770,1,410,45,0,1082,6585,410,0 129,0,2024-09-07 09:20:00:994,105485,0.3,104914,0.5,210436,0.3,280187,1.75 129,1,2024-09-07 09:20:00:576,718034,718034,0,0,337098071634,3535811204,710401,5964,1669,379,391962,0 129,2,2024-09-07 09:20:00:689,519256,519256,0,0,21677870,0,4031 129,3,2024-09-07 09:20:00:698,1,410,1,0,506,5611,410,0 130,0,2024-09-07 09:20:01:729,106819,0.6,106191,0.6,213905,0.6,283814,1.75 130,1,2024-09-07 09:20:00:597,721142,721142,0,0,338459593497,3519460775,717114,3689,339,381,391825,0 130,2,2024-09-07 09:20:01:131,522792,522792,0,0,22149833,0,4067 130,3,2024-09-07 09:20:01:298,1,410,0,0,960,6664,410,0 131,0,2024-09-07 09:20:01:927,100898,0.3,101398,0.5,203794,0.3,269934,1.75 131,1,2024-09-07 09:20:01:828,720590,720590,0,0,338073527304,3533703027,714636,4906,1048,381,391865,0 131,2,2024-09-07 09:20:00:569,519092,519092,0,0,20203702,0,3979 131,3,2024-09-07 09:20:01:702,1,410,1,0,392,4483,410,0 132,0,2024-09-07 09:20:01:443,100447,0.4,101520,0.6,201899,0.4,267993,1.75 132,1,2024-09-07 09:20:00:578,717304,717304,0,0,336490852428,3547111944,706188,9012,2104,381,392097,0 132,2,2024-09-07 09:20:00:701,516717,516700,17,0,26432949,0,6451 132,3,2024-09-07 09:20:01:699,1,410,1,0,804,7399,410,0 133,0,2024-09-07 09:20:01:550,99906,0.4,102155,0.6,209652,0.4,273127,2.00 133,1,2024-09-07 09:20:00:586,717234,717234,0,0,337005548841,3555053366,706699,9020,1515,383,391914,0 133,2,2024-09-07 09:20:01:087,518032,517982,50,0,27944182,0,6861 133,3,2024-09-07 09:20:01:298,1,410,0,0,479,4170,410,0 134,0,2024-09-07 09:20:00:975,108191,0.6,107796,0.8,215775,0.6,287619,2.25 134,1,2024-09-07 09:20:00:583,718395,718395,0,0,337046827122,3538330981,708614,7747,2034,366,391718,0 134,2,2024-09-07 09:20:01:757,517660,517636,24,0,25514787,0,6207 134,3,2024-09-07 09:20:00:830,1,410,20,0,739,5375,410,0 135,0,2024-09-07 09:20:01:148,100059,0.8,100036,0.9,212284,0.9,273561,2.25 135,1,2024-09-07 09:20:01:590,718559,718559,0,0,337517339103,3553645889,708609,8579,1371,380,391805,0 135,2,2024-09-07 09:20:00:696,519019,519019,0,0,24587761,0,3981 135,3,2024-09-07 09:20:01:009,1,410,5,0,299,3450,410,0 136,0,2024-09-07 09:20:01:629,100496,0.5,100610,0.7,200260,0.5,267380,2.00 136,1,2024-09-07 09:20:01:470,718904,718904,0,0,337688719477,3544581028,710345,7597,962,382,391685,0 136,2,2024-09-07 09:20:01:132,518732,518732,0,0,23794790,0,3506 136,3,2024-09-07 09:20:01:128,1,410,14,0,637,4841,410,0 137,0,2024-09-07 09:20:00:923,103766,0.6,101009,0.8,198247,0.7,269342,2.00 137,1,2024-09-07 09:20:00:580,717767,717767,0,0,336443176421,3534523495,706261,9147,2359,366,391898,0 137,2,2024-09-07 09:20:01:714,516983,516983,0,0,26440915,0,3185 137,3,2024-09-07 09:20:00:769,1,410,1,0,484,5169,410,0 138,0,2024-09-07 09:20:01:747,105934,1.1,105970,1.0,212813,1.4,283127,2.25 138,1,2024-09-07 09:20:01:688,718993,718993,0,0,337831739129,3546513373,708760,8512,1721,368,391954,0 138,2,2024-09-07 09:20:00:589,517030,517030,0,0,24067849,0,4988 138,3,2024-09-07 09:20:00:610,1,410,2,0,1160,5608,410,0 139,0,2024-09-07 09:20:01:391,103674,1.6,103706,1.1,207871,2.3,277692,2.50 139,1,2024-09-07 09:20:00:571,715341,715341,0,0,335187460061,3566367442,700981,11055,3305,380,392058,0 139,2,2024-09-07 09:20:00:696,517225,517225,0,0,27474189,0,3097 139,3,2024-09-07 09:20:01:664,1,410,2,0,432,4935,410,0 140,0,2024-09-07 09:20:01:602,99658,0.3,99030,0.5,198854,0.2,264648,1.75 140,1,2024-09-07 09:20:01:536,723250,723250,0,0,339749677792,3505043779,719689,3120,441,365,391606,0 140,2,2024-09-07 09:20:00:687,517849,517848,1,0,21140983,0,5036 140,3,2024-09-07 09:20:00:771,1,410,0,0,297,3564,410,0 141,0,2024-09-07 09:20:01:699,99378,0.3,102051,0.5,195092,0.3,264523,1.75 141,1,2024-09-07 09:20:00:866,721079,721079,0,0,338757547541,3521416975,715400,4723,956,379,391614,0 141,2,2024-09-07 09:20:01:690,517666,517666,0,0,20865929,0,3360 141,3,2024-09-07 09:20:01:059,1,410,0,0,391,4866,410,0 142,0,2024-09-07 09:20:01:328,106091,0.3,105538,0.5,210856,0.3,282164,1.75 142,1,2024-09-07 09:20:00:588,720391,720391,0,0,338638196689,3532118398,715712,4282,397,382,392102,0 142,2,2024-09-07 09:20:01:299,517327,517295,32,0,23028155,0,6028 142,3,2024-09-07 09:20:01:751,1,410,1,0,484,4771,410,0 143,0,2024-09-07 09:20:01:382,105471,0.5,105394,0.6,211141,0.5,280594,1.75 143,1,2024-09-07 09:20:00:561,721748,721748,0,0,338039308002,3511407510,716713,4491,544,367,391705,0 143,2,2024-09-07 09:20:00:769,522234,522234,0,0,22090622,0,3123 143,3,2024-09-07 09:20:01:142,1,410,8,0,462,5226,410,0 144,0,2024-09-07 09:20:01:509,97283,0.6,99960,0.8,203875,0.5,266847,2.00 144,1,2024-09-07 09:20:00:574,717945,717945,0,0,336660037197,3537429703,710237,5874,1834,381,391649,0 144,2,2024-09-07 09:20:01:756,518023,518023,0,0,20688434,0,3673 144,3,2024-09-07 09:20:01:751,1,410,1,0,249,4274,410,0 145,0,2024-09-07 09:20:01:375,96304,0.5,96326,0.8,204419,0.5,262716,2.25 145,1,2024-09-07 09:20:00:581,717835,717835,0,0,336616182794,3543338119,708228,7945,1662,382,391759,0 145,2,2024-09-07 09:20:01:433,515234,515153,81,0,25010295,0,7814 145,3,2024-09-07 09:20:00:898,1,410,1,0,622,5957,410,0 146,0,2024-09-07 09:20:01:597,103175,0.6,102540,0.8,206869,0.6,274700,2.25 146,1,2024-09-07 09:20:01:603,719340,719340,0,0,337177989965,3543753075,708397,8823,2120,367,391770,0 146,2,2024-09-07 09:20:01:704,517571,517571,0,0,24493250,0,3290 146,3,2024-09-07 09:20:01:280,1,410,0,0,1520,7955,410,0 147,0,2024-09-07 09:20:01:714,107122,0.7,106934,0.8,213238,0.7,284804,2.25 147,1,2024-09-07 09:20:01:381,722239,722239,0,0,338837214207,3527188218,715610,5784,845,368,391791,0 147,2,2024-09-07 09:20:01:010,520240,520240,0,0,22102731,0,2968 147,3,2024-09-07 09:20:00:926,1,410,3,0,1626,7332,410,0 0,0,2024-09-07 09:20:11:713,98719,0.6,98717,0.8,209456,0.7,271260,2.00 0,1,2024-09-07 09:20:10:824,721005,721005,0,0,338344004127,3542608251,715591,5027,387,369,391896,0 0,2,2024-09-07 09:20:11:082,521319,521319,0,0,21299384,0,4480 0,3,2024-09-07 09:20:10:978,1,411,17,0,431,6286,411,0 1,0,2024-09-07 09:20:11:792,107876,1.2,107152,1.1,215016,1.7,287405,2.25 1,1,2024-09-07 09:20:10:569,720508,720508,0,0,337968762521,3540041119,714089,5093,1326,370,391857,0 1,2,2024-09-07 09:20:10:645,518988,518988,0,0,21585905,0,3380 1,3,2024-09-07 09:20:11:310,1,411,10,0,268,5168,411,0 2,0,2024-09-07 09:20:11:566,104205,0.6,104512,0.8,208257,0.7,278040,2.00 2,1,2024-09-07 09:20:10:866,723201,723201,0,0,339552875818,3526326693,719918,2988,295,380,391745,0 2,2,2024-09-07 09:20:11:269,521116,521116,0,0,20446833,0,3594 2,3,2024-09-07 09:20:10:690,1,411,1,0,357,4101,411,0 3,0,2024-09-07 09:20:11:758,99856,0.4,99737,0.6,199377,0.3,265436,2.00 3,1,2024-09-07 09:20:11:618,721607,721607,0,0,338301628466,3525857389,715388,5529,690,380,391716,0 3,2,2024-09-07 09:20:11:141,518955,518932,23,0,21453951,0,5851 3,3,2024-09-07 09:20:11:752,1,411,1,0,207,2817,411,0 4,0,2024-09-07 09:20:11:782,97039,0.4,99671,0.5,203325,0.3,266480,1.75 4,1,2024-09-07 09:20:10:604,719184,719184,0,0,336507853729,3559245335,707388,9291,2505,370,391992,0 4,2,2024-09-07 09:20:11:029,517494,517494,0,0,24262272,0,4528 4,3,2024-09-07 09:20:11:038,1,411,5,0,448,5464,411,0 5,0,2024-09-07 09:20:11:392,105764,0.4,106311,0.6,212376,0.4,282266,1.75 5,1,2024-09-07 09:20:10:770,720340,720340,0,0,337885175438,3559130524,709990,8194,2156,367,392005,0 5,2,2024-09-07 09:20:11:831,516549,516549,0,0,23844819,0,3582 5,3,2024-09-07 09:20:11:731,1,411,8,0,457,5927,411,0 6,0,2024-09-07 09:20:10:915,105577,0.5,105218,0.7,210163,0.4,280712,2.00 6,1,2024-09-07 09:20:10:768,720542,720542,0,0,338162218434,3536872405,712341,6809,1392,379,391694,0 6,2,2024-09-07 09:20:11:119,521977,521959,18,0,24373100,0,5535 6,3,2024-09-07 09:20:11:275,1,411,0,0,710,5268,411,0 7,0,2024-09-07 09:20:11:542,98685,0.5,99494,0.6,198087,0.4,263519,2.00 7,1,2024-09-07 09:20:10:862,720274,720274,0,0,338226094781,3550565852,710507,8305,1462,382,391747,0 7,2,2024-09-07 09:20:10:771,518957,518957,0,0,23499051,0,4791 7,3,2024-09-07 09:20:10:855,1,411,4,0,552,4764,411,0 8,0,2024-09-07 09:20:11:417,99577,0.4,99266,0.5,198957,0.3,265345,1.75 8,1,2024-09-07 09:20:11:032,719209,719209,0,0,337403398827,3551590621,707689,8892,2628,366,392853,0 8,2,2024-09-07 09:20:10:826,513832,513832,0,0,27808849,0,3250 8,3,2024-09-07 09:20:10:591,1,411,3,0,538,6935,411,0 9,0,2024-09-07 09:20:11:147,105285,0.4,102300,0.5,213981,0.3,280937,1.75 9,1,2024-09-07 09:20:10:614,719003,719003,0,0,337414259589,3557792051,707955,8627,2421,369,392001,0 9,2,2024-09-07 09:20:11:084,518361,518361,0,0,24732793,0,3360 9,3,2024-09-07 09:20:11:762,1,411,8,0,496,5983,411,0 10,0,2024-09-07 09:20:11:607,106176,0.4,105615,0.6,212168,0.3,282001,1.75 10,1,2024-09-07 09:20:10:592,720356,720356,0,0,338432446476,3551168760,709976,8757,1623,381,391741,0 10,2,2024-09-07 09:20:10:769,522475,522475,0,0,27120363,0,4264 10,3,2024-09-07 09:20:10:872,1,411,4,0,649,4553,411,0 11,0,2024-09-07 09:20:11:014,101081,0.4,98005,0.6,205027,0.4,270264,1.75 11,1,2024-09-07 09:20:10:589,720785,720785,0,0,338293967749,3552904037,709458,8701,2626,383,391756,0 11,2,2024-09-07 09:20:11:122,517240,517240,0,0,23931172,0,4130 11,3,2024-09-07 09:20:11:298,1,411,0,0,843,6183,411,0 12,0,2024-09-07 09:20:10:959,101302,0.4,101330,0.5,202596,0.3,268264,1.75 12,1,2024-09-07 09:20:10:942,721335,721335,0,0,337734197558,3523256369,715795,5039,501,370,391870,0 12,2,2024-09-07 09:20:11:550,518485,518485,0,0,23111145,0,3469 12,3,2024-09-07 09:20:11:063,1,411,40,0,386,6309,411,0 13,0,2024-09-07 09:20:11:403,103684,0.4,103309,0.6,206104,0.4,275064,1.75 13,1,2024-09-07 09:20:11:534,719799,719799,0,0,337896336618,3555081175,712201,5970,1628,382,391740,0 13,2,2024-09-07 09:20:10:601,521763,521763,0,0,21479329,0,3287 13,3,2024-09-07 09:20:11:769,1,411,8,0,522,6210,411,0 14,0,2024-09-07 09:20:10:560,107576,0.5,108644,0.7,214976,0.4,286602,2.00 14,1,2024-09-07 09:20:11:563,724401,724401,0,0,339767300042,3522320000,718185,5508,708,364,391673,0 14,2,2024-09-07 09:20:10:770,521003,520973,30,0,23513933,0,6104 14,3,2024-09-07 09:20:11:115,1,411,1,0,1168,4708,411,0 15,0,2024-09-07 09:20:11:556,103187,0.4,103117,0.7,206536,0.4,274889,2.00 15,1,2024-09-07 09:20:11:615,721921,721921,0,0,339056074427,3534516954,715700,5043,1178,381,391619,0 15,2,2024-09-07 09:20:11:022,521031,521031,0,0,19239350,0,3622 15,3,2024-09-07 09:20:11:405,1,411,2,0,1126,6909,411,0 16,0,2024-09-07 09:20:10:979,100511,0.5,100827,0.7,201232,0.5,267944,2.00 16,1,2024-09-07 09:20:10:573,721533,721533,0,0,338994992011,3546806040,714938,5482,1113,370,391917,0 16,2,2024-09-07 09:20:11:438,518525,518525,0,0,22971196,0,4719 16,3,2024-09-07 09:20:11:155,1,411,0,0,317,5353,411,0 17,0,2024-09-07 09:20:11:772,103877,0.6,101347,0.8,198518,0.7,269615,2.00 17,1,2024-09-07 09:20:10:592,720466,720466,0,0,337122430192,3540227827,712835,6119,1512,368,392075,0 17,2,2024-09-07 09:20:11:670,521911,521911,0,0,22793669,0,3779 17,3,2024-09-07 09:20:10:578,1,411,0,0,518,6772,411,0 18,0,2024-09-07 09:20:10:958,105986,0.7,106383,0.8,212098,0.7,283706,2.25 18,1,2024-09-07 09:20:11:639,723049,723049,0,0,339131820080,3516442158,719071,3599,379,367,391725,0 18,2,2024-09-07 09:20:11:755,521152,521152,0,0,20094088,0,3541 18,3,2024-09-07 09:20:10:898,1,411,1,0,163,3240,411,0 19,0,2024-09-07 09:20:11:542,104293,0.6,104831,0.8,207948,0.6,277004,2.25 19,1,2024-09-07 09:20:10:578,723695,723695,0,0,339997108007,3529055501,717750,5024,921,367,391777,0 19,2,2024-09-07 09:20:11:752,524144,524144,0,0,19200821,0,3988 19,3,2024-09-07 09:20:11:129,1,411,11,0,524,3438,411,0 20,0,2024-09-07 09:20:11:366,99357,0.4,99378,0.6,198627,0.4,264723,2.00 20,1,2024-09-07 09:20:10:567,720962,720962,0,0,338696642750,3543576358,714448,5714,800,369,391886,0 20,2,2024-09-07 09:20:10:932,518347,518347,0,0,22749712,0,3721 20,3,2024-09-07 09:20:10:591,1,411,6,0,414,6105,411,0 21,0,2024-09-07 09:20:11:194,99406,0.5,99464,0.6,198757,0.4,263965,2.00 21,1,2024-09-07 09:20:11:551,718781,718781,0,0,336951949150,3555247525,707934,8373,2474,368,392016,0 21,2,2024-09-07 09:20:11:087,515686,515666,20,0,27744886,0,5617 21,3,2024-09-07 09:20:11:406,1,411,2,0,713,5383,411,0 22,0,2024-09-07 09:20:11:726,105610,0.5,106062,0.7,212039,0.5,281346,2.00 22,1,2024-09-07 09:20:11:054,720295,720295,0,0,337736850104,3551880262,709173,8896,2226,382,391667,0 22,2,2024-09-07 09:20:10:763,517729,517703,26,0,22203129,0,6328 22,3,2024-09-07 09:20:11:076,1,411,1,0,228,3466,411,0 23,0,2024-09-07 09:20:11:367,104937,0.6,104320,0.7,209253,0.5,278846,2.25 23,1,2024-09-07 09:20:11:010,721053,721053,0,0,338109686546,3553525105,708072,8928,4053,365,391690,0 23,2,2024-09-07 09:20:11:094,524542,524542,0,0,21725991,0,3773 23,3,2024-09-07 09:20:11:754,1,411,1,0,720,5520,411,0 24,0,2024-09-07 09:20:10:876,101050,0.4,100809,0.5,202237,0.3,268423,1.75 24,1,2024-09-07 09:20:10:601,720236,720236,0,0,338025668054,3546102343,712249,6397,1590,367,392269,0 24,2,2024-09-07 09:20:11:095,517160,517160,0,0,26126503,0,3607 24,3,2024-09-07 09:20:11:688,1,411,0,0,468,5724,411,0 25,0,2024-09-07 09:20:11:455,102359,0.4,99786,0.6,195598,0.3,266275,1.75 25,1,2024-09-07 09:20:10:559,719640,719640,0,0,338157436458,3566108241,707711,9684,2245,371,391928,0 25,2,2024-09-07 09:20:11:615,516622,516622,0,0,26536659,0,3978 25,3,2024-09-07 09:20:11:010,1,411,1,0,532,4775,411,0 26,0,2024-09-07 09:20:11:722,103754,0.4,101232,0.6,212351,0.3,277023,1.75 26,1,2024-09-07 09:20:11:544,721507,721507,0,0,338296928088,3545539516,710881,9042,1584,380,391748,0 26,2,2024-09-07 09:20:10:864,520459,520459,0,0,26747714,0,2809 26,3,2024-09-07 09:20:11:715,1,411,31,0,796,5131,411,0 27,0,2024-09-07 09:20:11:728,107199,0.5,107324,0.7,213539,0.5,284961,2.25 27,1,2024-09-07 09:20:11:680,722939,722939,0,0,338854709703,3532477157,715687,6352,900,381,391626,0 27,2,2024-09-07 09:20:10:867,519359,519294,65,0,24612781,0,5699 27,3,2024-09-07 09:20:11:017,1,411,1,0,564,4087,411,0 28,0,2024-09-07 09:20:11:398,102862,0.4,102348,0.6,205279,0.3,273474,2.00 28,1,2024-09-07 09:20:10:805,722165,722165,0,0,339222634467,3543876986,714979,5487,1699,383,391698,0 28,2,2024-09-07 09:20:11:773,518731,518731,0,0,22382422,0,2915 28,3,2024-09-07 09:20:11:781,1,411,1,0,502,4637,411,0 29,0,2024-09-07 09:20:11:358,103859,0.3,101194,0.6,198531,0.3,270296,1.75 29,1,2024-09-07 09:20:11:563,724512,724512,0,0,340413807627,3524931695,719652,4126,734,368,391753,0 29,2,2024-09-07 09:20:10:868,518282,518282,0,0,21243712,0,4986 29,3,2024-09-07 09:20:10:967,1,411,1,0,459,4912,411,0 30,0,2024-09-07 09:20:11:458,101339,0.5,98505,0.7,206083,0.5,270203,2.00 30,1,2024-09-07 09:20:10:570,723650,723650,0,0,339433176393,3528270159,717582,5302,766,381,391672,0 30,2,2024-09-07 09:20:11:276,520910,520910,0,0,20809246,0,4192 30,3,2024-09-07 09:20:10:581,1,411,0,0,519,4154,411,0 31,0,2024-09-07 09:20:11:762,107421,0.5,107975,0.7,215444,0.5,287396,2.00 31,1,2024-09-07 09:20:10:569,726377,726377,0,0,340513381645,3492599943,723363,2428,586,356,391712,0 31,2,2024-09-07 09:20:11:277,519405,519405,0,0,22391214,0,3525 31,3,2024-09-07 09:20:11:708,1,411,26,0,220,3708,411,0 32,0,2024-09-07 09:20:11:419,104755,0.3,105388,0.5,209803,0.3,279732,1.75 32,1,2024-09-07 09:20:10:804,723237,723237,0,0,339243456420,3531215730,718126,4430,681,381,391646,0 32,2,2024-09-07 09:20:10:942,521684,521684,0,0,20083829,0,3922 32,3,2024-09-07 09:20:11:020,1,411,5,0,304,3332,411,0 33,0,2024-09-07 09:20:11:503,100035,0.3,99580,0.4,199704,0.2,265990,1.50 33,1,2024-09-07 09:20:10:581,724074,724074,0,0,339810299884,3527207435,717566,5404,1104,369,391730,0 33,2,2024-09-07 09:20:10:770,519527,519492,35,0,22409301,0,7012 33,3,2024-09-07 09:20:10:899,1,411,0,0,329,4118,411,0 34,0,2024-09-07 09:20:10:931,100167,0.3,103054,0.4,197307,0.2,265763,1.75 34,1,2024-09-07 09:20:11:046,724932,724932,0,0,340595630500,3503752636,723196,1725,11,367,391562,0 34,2,2024-09-07 09:20:10:775,519891,519891,0,0,20802474,0,4562 34,3,2024-09-07 09:20:11:688,1,411,2,0,299,3168,411,0 35,0,2024-09-07 09:20:10:862,105370,0.4,105811,0.5,212680,0.3,282957,1.75 35,1,2024-09-07 09:20:11:071,723360,723360,0,0,338603470252,3511981318,718824,3680,856,382,391769,0 35,2,2024-09-07 09:20:11:583,518912,518912,0,0,22310813,0,4055 35,3,2024-09-07 09:20:10:907,1,411,1,0,418,4482,411,0 36,0,2024-09-07 09:20:11:533,105672,0.5,105581,0.7,211124,0.4,281073,2.25 36,1,2024-09-07 09:20:10:582,721937,721937,0,0,339408396830,3547283542,712291,7646,2000,366,391759,0 36,2,2024-09-07 09:20:11:768,523301,523301,0,0,23392915,0,3875 36,3,2024-09-07 09:20:10:866,1,411,1,0,416,6032,411,0 37,0,2024-09-07 09:20:11:373,98954,0.4,99049,0.6,198170,0.4,263959,2.00 37,1,2024-09-07 09:20:10:578,721823,721816,0,7,338026711972,3532442462,713181,6362,2273,365,391573,0 37,2,2024-09-07 09:20:11:160,516666,516651,15,0,23227142,0,5815 37,3,2024-09-07 09:20:11:769,1,411,1,0,888,6309,411,0 38,0,2024-09-07 09:20:11:438,98873,0.5,95917,0.6,200664,0.4,262935,2.00 38,1,2024-09-07 09:20:11:605,722955,722955,0,0,338441869049,3539931609,712416,8378,2161,368,391821,0 38,2,2024-09-07 09:20:10:759,517967,517920,47,0,22804286,0,6710 38,3,2024-09-07 09:20:11:011,1,411,2,0,689,5439,411,0 39,0,2024-09-07 09:20:11:765,107483,0.6,105293,0.7,204862,0.5,280178,2.00 39,1,2024-09-07 09:20:10:737,720922,720922,0,0,338090474625,3548153789,708508,9724,2690,365,391658,0 39,2,2024-09-07 09:20:11:417,520031,520031,0,0,21809260,0,3391 39,3,2024-09-07 09:20:10:719,1,411,0,0,324,4848,411,0 40,0,2024-09-07 09:20:11:509,104965,0.9,105708,1.0,210563,1.0,280767,2.75 40,1,2024-09-07 09:20:10:579,721660,721660,0,0,337493627119,3538855471,710842,8775,2043,368,391668,0 40,2,2024-09-07 09:20:11:303,521613,521612,1,0,25768671,0,5137 40,3,2024-09-07 09:20:11:145,1,411,5,0,1028,5760,411,0 41,0,2024-09-07 09:20:11:047,100578,1.4,102834,1.2,196345,2.2,266888,3.00 41,1,2024-09-07 09:20:10:772,720538,720538,0,0,338311505683,3542327998,711356,8142,1040,369,391742,0 41,2,2024-09-07 09:20:10:767,516456,516456,0,0,24490429,0,4277 41,3,2024-09-07 09:20:11:679,1,411,1,0,366,4201,411,0 42,0,2024-09-07 09:20:11:498,99767,0.8,99822,1.0,199846,0.9,264014,2.75 42,1,2024-09-07 09:20:11:455,719049,719049,0,0,336959883420,3545287374,707088,9930,2031,380,391675,0 42,2,2024-09-07 09:20:11:133,516995,516995,0,0,24823804,0,3790 42,3,2024-09-07 09:20:11:029,1,411,0,0,892,4239,411,0 43,0,2024-09-07 09:20:10:921,101777,0.8,99034,1.0,207440,0.9,272370,2.25 43,1,2024-09-07 09:20:10:578,721964,721964,0,0,339248403992,3547798981,711637,8588,1739,366,391696,0 43,2,2024-09-07 09:20:11:749,520521,520521,0,0,24408956,0,3812 43,3,2024-09-07 09:20:11:754,1,411,0,0,548,5502,411,0 44,0,2024-09-07 09:20:10:865,107718,0.5,107986,0.7,216447,0.5,287172,2.00 44,1,2024-09-07 09:20:10:580,723679,723679,0,0,339132418849,3509295096,717186,5175,1318,356,391809,0 44,2,2024-09-07 09:20:11:270,519808,519808,0,0,20560627,0,4344 44,3,2024-09-07 09:20:11:108,1,411,4,0,817,5001,411,0 45,0,2024-09-07 09:20:11:777,102107,0.5,99755,0.7,209210,0.5,275459,2.00 45,1,2024-09-07 09:20:11:015,722852,722852,0,0,338960927330,3525292613,717196,5083,573,382,391917,0 45,2,2024-09-07 09:20:11:268,519887,519887,0,0,20864045,0,3596 45,3,2024-09-07 09:20:10:934,1,411,14,0,271,3655,411,0 46,0,2024-09-07 09:20:10:949,99957,0.5,99832,0.7,200123,0.4,265665,2.00 46,1,2024-09-07 09:20:10:579,724250,724250,0,0,340428731906,3518985626,719586,4073,591,366,391709,0 46,2,2024-09-07 09:20:10:594,519217,519217,0,0,21032654,0,4443 46,3,2024-09-07 09:20:11:130,1,411,1,0,908,5378,411,0 47,0,2024-09-07 09:20:11:113,101223,0.4,101430,0.6,203235,0.4,269100,2.00 47,1,2024-09-07 09:20:10:578,724973,724973,0,0,339563060468,3512356426,720119,4017,837,366,391641,0 47,2,2024-09-07 09:20:10:916,522166,522166,0,0,20621250,0,4477 47,3,2024-09-07 09:20:11:114,1,411,3,0,600,5170,411,0 48,0,2024-09-07 09:20:11:516,107526,0.3,107380,0.5,213885,0.2,286227,1.75 48,1,2024-09-07 09:20:11:023,722909,722909,0,0,339114866353,3524626490,718203,4250,456,384,391710,0 48,2,2024-09-07 09:20:10:710,518910,518910,0,0,19258802,0,3411 48,3,2024-09-07 09:20:10:768,1,411,1,0,339,3407,411,0 49,0,2024-09-07 09:20:11:768,107545,0.4,105791,0.5,204923,0.3,281059,1.75 49,1,2024-09-07 09:20:11:024,722852,722852,0,0,338671655565,3526303035,717703,3943,1206,382,391809,0 49,2,2024-09-07 09:20:11:798,522669,522669,0,0,21311919,0,4426 49,3,2024-09-07 09:20:11:417,1,411,1,0,992,5038,411,0 50,0,2024-09-07 09:20:11:511,99922,0.3,98293,0.4,198563,0.2,264393,1.75 50,1,2024-09-07 09:20:11:033,725230,725230,0,0,340348322320,3521409966,720307,4349,574,368,391565,0 50,2,2024-09-07 09:20:11:071,518488,518488,0,0,19441972,0,4490 50,3,2024-09-07 09:20:11:290,1,411,0,0,567,4280,411,0 51,0,2024-09-07 09:20:11:686,102057,0.3,99849,0.5,194667,0.2,265107,1.75 51,1,2024-09-07 09:20:11:680,725006,725006,0,0,340582307774,3514440225,721055,2902,1049,365,391706,0 51,2,2024-09-07 09:20:11:324,519487,519487,0,0,18422396,0,3337 51,3,2024-09-07 09:20:11:034,1,411,1,0,678,3476,411,0 52,0,2024-09-07 09:20:11:424,106164,0.5,105955,0.7,212183,0.5,282096,2.00 52,1,2024-09-07 09:20:10:582,722061,722061,0,0,338692821559,3545845188,711736,8972,1353,368,391722,0 52,2,2024-09-07 09:20:11:759,516101,516063,38,0,24619102,0,6742 52,3,2024-09-07 09:20:10:681,1,411,2,0,1782,5807,411,0 53,0,2024-09-07 09:20:11:761,104535,0.7,101500,0.8,212497,0.8,278791,2.25 53,1,2024-09-07 09:20:10:780,720487,720487,0,0,337884568591,3547195697,708584,8944,2959,367,391702,0 53,2,2024-09-07 09:20:11:298,523420,523419,1,0,22362222,0,5455 53,3,2024-09-07 09:20:10:709,1,411,1,0,308,3713,411,0 54,0,2024-09-07 09:20:11:614,99038,0.6,99349,0.8,197872,0.4,264243,2.25 54,1,2024-09-07 09:20:10:581,722047,722047,0,0,339127801571,3527008219,714776,6032,1239,366,391659,0 54,2,2024-09-07 09:20:10:867,518078,518046,32,0,25311084,0,6397 54,3,2024-09-07 09:20:10:765,1,411,1,0,676,6095,411,0 55,0,2024-09-07 09:20:11:766,96404,0.6,99558,0.7,201290,0.5,262079,2.25 55,1,2024-09-07 09:20:10:768,723010,723010,0,0,338493628278,3520039627,715380,6538,1092,365,391731,0 55,2,2024-09-07 09:20:10:741,517350,517294,56,0,24061647,0,7239 55,3,2024-09-07 09:20:10:674,1,411,1,0,304,4295,411,0 56,0,2024-09-07 09:20:11:559,105890,1.4,99860,1.2,205689,2.1,276167,3.00 56,1,2024-09-07 09:20:10:571,718142,718142,0,0,337986796189,3572712650,706641,9128,2373,381,391867,0 56,2,2024-09-07 09:20:11:303,519900,519900,0,0,24236405,0,3567 56,3,2024-09-07 09:20:11:071,1,411,8,0,705,5138,411,0 57,0,2024-09-07 09:20:10:954,105523,1.8,105256,1.3,211253,2.5,282703,3.50 57,1,2024-09-07 09:20:11:014,721300,721300,0,0,338007953899,3545223184,712698,7517,1085,366,392032,0 57,2,2024-09-07 09:20:11:316,521598,521598,0,0,26221490,0,3317 57,3,2024-09-07 09:20:11:738,1,411,1,0,455,4886,411,0 58,0,2024-09-07 09:20:10:587,101051,0.9,98147,1.0,205398,1.0,269009,2.50 58,1,2024-09-07 09:20:10:581,721232,721229,0,3,339182246339,3549046409,711280,8660,1289,367,391603,3 58,2,2024-09-07 09:20:11:075,517811,517811,0,0,24224509,0,2902 58,3,2024-09-07 09:20:11:075,1,411,0,0,1043,4559,411,0 59,0,2024-09-07 09:20:11:748,100776,0.7,100482,0.9,200520,0.8,266630,2.50 59,1,2024-09-07 09:20:10:811,720929,720929,0,0,338803227643,3551944557,710713,8407,1809,369,391653,0 59,2,2024-09-07 09:20:10:582,518189,518189,0,0,24148235,0,3727 59,3,2024-09-07 09:20:11:740,1,411,1,0,1015,5567,411,0 60,0,2024-09-07 09:20:11:729,101572,0.6,101517,0.7,203743,0.6,271139,2.00 60,1,2024-09-07 09:20:10:772,723289,723289,0,0,339934917125,3530902458,718149,4420,720,370,392031,0 60,2,2024-09-07 09:20:11:141,520587,520587,0,0,22677890,0,3811 60,3,2024-09-07 09:20:11:264,1,411,0,0,409,4916,411,0 61,0,2024-09-07 09:20:11:504,107531,0.8,108077,0.9,215415,0.9,287057,2.00 61,1,2024-09-07 09:20:10:770,721653,721653,0,0,338684486508,3551416135,713352,6872,1429,382,392127,0 61,2,2024-09-07 09:20:11:116,519503,519436,67,0,22986865,0,6411 61,3,2024-09-07 09:20:11:687,1,411,4,0,479,5706,411,0 62,0,2024-09-07 09:20:11:707,105223,0.5,107710,0.7,205810,0.5,279494,2.00 62,1,2024-09-07 09:20:11:126,725908,725902,0,6,340871817308,3517229068,722089,3552,261,365,391975,6 62,2,2024-09-07 09:20:11:652,519152,519151,1,0,23195462,0,5555 62,3,2024-09-07 09:20:11:144,1,411,10,0,482,3709,411,0 63,0,2024-09-07 09:20:11:467,100108,0.4,99947,0.6,200421,0.3,266308,1.75 63,1,2024-09-07 09:20:10:822,723736,723730,0,6,339599810987,3528771190,718762,4235,733,381,391800,6 63,2,2024-09-07 09:20:10:764,519015,519015,0,0,21083207,0,4369 63,3,2024-09-07 09:20:11:732,1,411,6,0,667,4375,411,0 64,0,2024-09-07 09:20:11:509,99566,0.5,99559,0.7,198922,0.5,264893,2.00 64,1,2024-09-07 09:20:10:836,722718,722718,0,0,339016343285,3535170671,716045,4970,1703,370,391783,0 64,2,2024-09-07 09:20:11:141,522854,522835,19,0,20843530,0,6121 64,3,2024-09-07 09:20:11:144,1,411,5,0,265,3839,411,0 65,0,2024-09-07 09:20:11:675,104970,0.7,105399,0.8,210135,0.8,280527,2.25 65,1,2024-09-07 09:20:10:869,721422,721422,0,0,338063632965,3535864487,716314,4568,540,382,391901,0 65,2,2024-09-07 09:20:11:693,519306,519306,0,0,24236269,0,3367 65,3,2024-09-07 09:20:11:683,1,411,1,0,782,4979,411,0 66,0,2024-09-07 09:20:11:801,105059,0.6,104925,0.8,209798,0.5,279515,2.25 66,1,2024-09-07 09:20:11:296,722671,722671,0,0,338709034158,3531627575,717178,4968,525,380,391743,0 66,2,2024-09-07 09:20:11:132,524567,524567,0,0,21370358,0,4956 66,3,2024-09-07 09:20:11:079,1,411,1,0,291,3671,411,0 67,0,2024-09-07 09:20:11:413,99564,0.5,99234,0.6,199071,0.4,264664,2.00 67,1,2024-09-07 09:20:10:771,722945,722944,0,1,339126140290,3534854572,717596,4557,791,380,391787,1 67,2,2024-09-07 09:20:10:588,520238,520238,0,0,20343451,0,3622 67,3,2024-09-07 09:20:11:756,1,411,0,0,392,4060,411,0 68,0,2024-09-07 09:20:10:595,99587,0.5,99386,0.7,198157,0.5,265039,2.00 68,1,2024-09-07 09:20:10:600,719798,719798,0,0,337846157677,3556143095,709752,7101,2945,381,391953,0 68,2,2024-09-07 09:20:11:050,516048,515948,100,0,27156895,0,8578 68,3,2024-09-07 09:20:10:757,1,411,1,0,417,4418,411,0 69,0,2024-09-07 09:20:11:724,104639,0.8,105260,0.9,209527,0.9,278720,2.25 69,1,2024-09-07 09:20:11:037,719694,719694,0,0,337561423789,3560728592,709931,7494,2269,384,391994,0 69,2,2024-09-07 09:20:11:736,518757,518728,29,0,28494558,0,6912 69,3,2024-09-07 09:20:10:767,1,411,1,0,698,5961,411,0 70,0,2024-09-07 09:20:11:550,105089,1.3,105183,1.1,211578,1.1,280044,2.75 70,1,2024-09-07 09:20:10:801,722851,722851,0,0,339340276149,3523845466,716879,5311,661,366,391725,0 70,2,2024-09-07 09:20:11:335,522274,522274,0,0,24065708,0,4323 70,3,2024-09-07 09:20:10:767,1,411,2,0,854,4876,411,0 71,0,2024-09-07 09:20:11:356,100288,0.8,99990,1.0,200740,0.9,268358,2.75 71,1,2024-09-07 09:20:11:597,721944,721944,0,0,338412172414,3532505643,713517,7624,803,368,391738,0 71,2,2024-09-07 09:20:11:076,517655,517655,0,0,23881974,0,4352 71,3,2024-09-07 09:20:11:754,1,411,3,0,644,5238,411,0 72,0,2024-09-07 09:20:11:067,103790,0.5,101480,0.7,197863,0.5,268429,2.00 72,1,2024-09-07 09:20:11:030,720852,720852,0,0,337721234638,3546964423,709994,8849,2009,369,391819,0 72,2,2024-09-07 09:20:11:758,517309,517309,0,0,26234422,0,3983 72,3,2024-09-07 09:20:11:757,1,411,7,0,564,6328,411,0 73,0,2024-09-07 09:20:11:110,100202,0.5,102752,0.7,210220,0.4,273468,2.00 73,1,2024-09-07 09:20:10:780,722490,722490,0,0,339115644557,3524741316,717250,4831,409,367,391858,0 73,2,2024-09-07 09:20:11:744,520147,520147,0,0,25713172,0,3701 73,3,2024-09-07 09:20:10:970,1,411,1,0,486,5630,411,0 74,0,2024-09-07 09:20:11:331,108644,0.6,110991,0.7,211762,0.5,287501,2.25 74,1,2024-09-07 09:20:10:645,721618,721618,0,0,337745897623,3529891796,713657,6366,1595,381,391762,0 74,2,2024-09-07 09:20:11:007,520095,520095,0,0,23734540,0,4253 74,3,2024-09-07 09:20:11:442,1,411,1,0,522,5510,411,0 75,0,2024-09-07 09:20:11:769,103893,0.5,103232,0.8,207084,0.5,276569,2.25 75,1,2024-09-07 09:20:11:585,722046,722046,0,0,338668246931,3534894771,715225,5993,828,380,391739,0 75,2,2024-09-07 09:20:11:350,517861,517861,0,0,25875588,0,4766 75,3,2024-09-07 09:20:11:075,1,411,1,0,702,5265,411,0 76,0,2024-09-07 09:20:10:632,99965,0.5,99286,0.7,199343,0.5,266414,2.25 76,1,2024-09-07 09:20:10:818,721887,721887,0,0,338269823062,3531980824,716465,4597,825,382,391692,0 76,2,2024-09-07 09:20:11:071,520930,520929,1,0,23079964,0,5144 76,3,2024-09-07 09:20:11:145,1,411,0,0,175,3857,411,0 77,0,2024-09-07 09:20:11:696,100480,0.6,101026,0.7,201801,0.6,267852,2.00 77,1,2024-09-07 09:20:10:834,722521,722521,0,0,338856201492,3538967427,716712,5308,501,381,391869,0 77,2,2024-09-07 09:20:11:281,519797,519797,0,0,22536702,0,3890 77,3,2024-09-07 09:20:11:107,1,411,1,0,401,4739,411,0 78,0,2024-09-07 09:20:11:728,107343,0.5,106715,0.7,214310,0.4,284788,2.00 78,1,2024-09-07 09:20:10:612,722457,722457,0,0,337994881935,3525051140,714594,6296,1567,367,391670,0 78,2,2024-09-07 09:20:11:404,519418,519405,13,0,21241256,0,8313 78,3,2024-09-07 09:20:11:133,1,411,3,0,181,3684,411,0 79,0,2024-09-07 09:20:11:349,101167,0.4,103493,0.6,212136,0.4,275994,2.25 79,1,2024-09-07 09:20:10:579,724190,724190,0,0,338865775145,3516121395,717622,5260,1308,367,391682,0 79,2,2024-09-07 09:20:11:071,523112,523112,0,0,20965511,0,4195 79,3,2024-09-07 09:20:10:753,1,411,1,0,418,5335,411,0 80,0,2024-09-07 09:20:11:077,99280,0.5,102281,0.6,195630,0.5,263946,2.00 80,1,2024-09-07 09:20:11:619,722000,722000,0,0,339561596246,3539555684,716451,5128,421,368,392269,0 80,2,2024-09-07 09:20:11:109,520560,520560,0,0,21016291,0,4433 80,3,2024-09-07 09:20:10:587,1,411,11,0,190,4863,411,0 81,0,2024-09-07 09:20:11:585,99371,0.5,101814,0.7,194320,0.5,263850,2.00 81,1,2024-09-07 09:20:11:664,721150,721150,0,0,338076259026,3535421120,715154,5457,539,382,391879,0 81,2,2024-09-07 09:20:11:128,517503,517440,63,0,23280443,0,5932 81,3,2024-09-07 09:20:11:127,1,411,4,0,719,5055,411,0 82,0,2024-09-07 09:20:11:605,105495,0.5,105763,0.7,212154,0.5,281488,2.00 82,1,2024-09-07 09:20:10:593,723217,723213,0,4,339005364592,3529214127,718520,3875,818,381,391768,4 82,2,2024-09-07 09:20:11:691,520360,520360,0,0,19831933,0,4484 82,3,2024-09-07 09:20:11:752,1,411,8,0,363,4547,411,0 83,0,2024-09-07 09:20:11:523,105326,0.7,105238,0.8,209706,0.7,278969,2.25 83,1,2024-09-07 09:20:10:553,721466,721466,0,0,339112454640,3539466723,715943,5073,450,382,391709,0 83,2,2024-09-07 09:20:10:764,523310,523310,0,0,20657057,0,3393 83,3,2024-09-07 09:20:10:761,1,411,2,0,1260,5478,411,0 84,0,2024-09-07 09:20:11:782,99881,0.7,99920,0.8,199186,0.5,266661,2.25 84,1,2024-09-07 09:20:11:039,721100,721100,0,0,338166414236,3534932772,713435,6623,1042,367,391967,0 84,2,2024-09-07 09:20:10:580,517141,517111,30,0,27501826,0,5971 84,3,2024-09-07 09:20:11:160,1,411,82,0,908,6324,411,0 85,0,2024-09-07 09:20:11:024,96080,0.6,96084,0.8,203985,0.5,263366,2.25 85,1,2024-09-07 09:20:10:582,719434,719434,0,0,337085700327,3559097449,708640,8877,1917,381,392006,0 85,2,2024-09-07 09:20:10:874,517202,517202,0,0,25413119,0,3656 85,3,2024-09-07 09:20:10:685,1,411,3,0,789,5180,411,0 86,0,2024-09-07 09:20:10:923,103534,0.7,106551,0.8,203943,0.7,276374,2.25 86,1,2024-09-07 09:20:10:837,721464,721464,0,0,338961523712,3551930562,712809,7216,1439,366,391961,0 86,2,2024-09-07 09:20:10:864,518102,518101,1,0,27565642,0,5004 86,3,2024-09-07 09:20:10:597,1,411,6,0,308,6021,411,0 87,0,2024-09-07 09:20:11:316,106906,1.1,106766,0.9,213681,1.4,285155,2.50 87,1,2024-09-07 09:20:10:586,719946,719946,0,0,338787498377,3553632131,710054,8302,1590,366,392076,0 87,2,2024-09-07 09:20:11:084,520332,520326,6,0,24628519,0,6323 87,3,2024-09-07 09:20:11:801,1,411,1,0,473,6566,411,0 88,0,2024-09-07 09:20:11:460,102489,0.4,103214,0.6,205362,0.4,273243,1.75 88,1,2024-09-07 09:20:10:585,719441,719441,0,0,338389387971,3548081417,709744,7690,2007,365,392084,0 88,2,2024-09-07 09:20:10:692,518456,518456,0,0,26602727,0,4465 88,3,2024-09-07 09:20:11:269,1,411,1,0,435,4765,411,0 89,0,2024-09-07 09:20:11:772,103925,0.4,100668,0.6,199196,0.4,270355,1.75 89,1,2024-09-07 09:20:10:582,720007,720007,0,0,338078402616,3556847626,710843,7739,1425,382,391866,0 89,2,2024-09-07 09:20:11:133,517486,517486,0,0,25064922,0,3173 89,3,2024-09-07 09:20:11:792,1,411,3,0,468,7436,411,0 90,0,2024-09-07 09:20:11:631,98685,0.5,101234,0.6,206630,0.4,270150,2.00 90,1,2024-09-07 09:20:10:594,720938,720938,0,0,338817228876,3549351835,714180,6248,510,380,391825,0 90,2,2024-09-07 09:20:11:424,518553,518553,0,0,26557841,0,3060 90,3,2024-09-07 09:20:10:931,1,411,2,0,322,5197,411,0 91,0,2024-09-07 09:20:10:948,108386,0.5,104987,0.7,219310,0.5,287786,2.00 91,1,2024-09-07 09:20:10:567,720005,720005,0,0,338086679356,3557721249,710757,7846,1402,381,392047,0 91,2,2024-09-07 09:20:11:339,520176,520176,0,0,23568796,0,2896 91,3,2024-09-07 09:20:10:638,1,411,8,0,216,4177,411,0 92,0,2024-09-07 09:20:11:466,105522,0.5,108173,0.6,206549,0.5,279845,1.75 92,1,2024-09-07 09:20:10:589,721780,721780,0,0,338141365306,3533762950,716254,4802,724,382,392136,0 92,2,2024-09-07 09:20:11:357,521916,521916,0,0,21239779,0,3259 92,3,2024-09-07 09:20:11:015,1,411,10,0,167,3713,411,0 93,0,2024-09-07 09:20:10:994,100483,0.4,103008,0.5,196556,0.3,266400,1.75 93,1,2024-09-07 09:20:10:807,721117,721117,0,0,338946141988,3541943963,712994,6742,1381,366,391776,0 93,2,2024-09-07 09:20:10:931,518236,518236,0,0,25634413,0,4845 93,3,2024-09-07 09:20:11:407,1,411,1,0,190,3708,411,0 94,0,2024-09-07 09:20:11:622,99659,0.4,100449,0.5,200690,0.3,266707,1.75 94,1,2024-09-07 09:20:10:573,722151,722151,0,0,338676120732,3535302018,717369,4573,209,381,391850,0 94,2,2024-09-07 09:20:10:769,518855,518855,0,0,21526872,0,2443 94,3,2024-09-07 09:20:11:688,1,411,4,0,576,5594,411,0 95,0,2024-09-07 09:20:11:352,105879,0.4,105731,0.5,212180,0.3,282828,1.75 95,1,2024-09-07 09:20:10:856,722860,722860,0,0,339048774187,3527112852,716827,5597,436,365,391786,0 95,2,2024-09-07 09:20:11:023,518735,518735,0,0,21281888,0,3308 95,3,2024-09-07 09:20:11:709,1,411,1,0,718,6119,411,0 96,0,2024-09-07 09:20:11:060,105557,0.4,105944,0.5,211287,0.3,280585,1.75 96,1,2024-09-07 09:20:11:586,721761,721761,0,0,338984157475,3539845015,716226,4652,883,384,391955,0 96,2,2024-09-07 09:20:11:274,523638,523638,0,0,22275795,0,4180 96,3,2024-09-07 09:20:11:169,1,411,77,0,411,4748,411,0 97,0,2024-09-07 09:20:11:318,99583,0.3,99174,0.5,199043,0.2,264577,1.50 97,1,2024-09-07 09:20:10:774,723307,723307,0,0,340357812761,3533270058,718173,4204,930,367,392140,0 97,2,2024-09-07 09:20:10:612,518923,518923,0,0,21196879,0,3679 97,3,2024-09-07 09:20:10:577,1,411,5,0,242,4804,411,0 98,0,2024-09-07 09:20:11:719,99201,0.3,99207,0.4,199209,0.2,264820,1.50 98,1,2024-09-07 09:20:10:619,721751,721751,0,0,339030444404,3534981298,716805,4156,790,382,391997,0 98,2,2024-09-07 09:20:10:771,518632,518632,0,0,21443343,0,4336 98,3,2024-09-07 09:20:10:708,1,411,5,0,840,7056,411,0 99,0,2024-09-07 09:20:11:469,105051,0.3,105799,0.5,210206,0.3,281139,1.75 99,1,2024-09-07 09:20:11:746,722553,722553,0,0,338714198474,3527627920,717620,4098,835,381,392069,0 99,2,2024-09-07 09:20:11:417,520415,520415,0,0,25258458,0,4276 99,3,2024-09-07 09:20:10:593,1,411,6,0,606,4634,411,0 100,0,2024-09-07 09:20:11:471,105955,0.9,106079,1.0,211487,1.1,282693,2.50 100,1,2024-09-07 09:20:10:558,718815,718815,0,0,336776892123,3559499339,708119,8652,2044,381,391989,0 100,2,2024-09-07 09:20:11:827,520740,520729,11,0,24643419,0,5417 100,3,2024-09-07 09:20:11:731,1,411,3,0,559,6830,411,0 101,0,2024-09-07 09:20:11:728,103308,0.9,100569,1.0,197493,0.8,270356,2.25 101,1,2024-09-07 09:20:10:600,719154,719154,0,0,337441421346,3552411777,708491,8542,2121,368,391847,0 101,2,2024-09-07 09:20:11:755,514603,514603,0,0,29238715,0,4871 101,3,2024-09-07 09:20:10:947,1,411,4,0,579,5844,411,0 102,0,2024-09-07 09:20:10:944,97913,0.6,100972,0.7,204650,0.6,267357,2.00 102,1,2024-09-07 09:20:11:146,719635,719635,0,0,337998396063,3553947423,709781,8092,1762,369,391891,0 102,2,2024-09-07 09:20:11:736,518353,518299,54,0,23546267,0,6768 102,3,2024-09-07 09:20:11:614,1,411,1,0,466,4613,411,0 103,0,2024-09-07 09:20:11:611,106201,0.6,106128,0.7,200115,0.6,275680,2.00 103,1,2024-09-07 09:20:11:629,718593,718593,0,0,338128412635,3572748292,706670,8857,3066,381,391829,0 103,2,2024-09-07 09:20:10:594,518641,518641,0,0,26020988,0,3766 103,3,2024-09-07 09:20:10:764,1,411,11,0,916,4643,411,0 104,0,2024-09-07 09:20:11:066,106743,0.8,107086,1.0,213013,0.8,285592,2.50 104,1,2024-09-07 09:20:11:602,720689,720689,0,0,337903394617,3552680404,709859,8968,1862,365,392168,0 104,2,2024-09-07 09:20:11:684,519445,519445,0,0,25302153,0,3941 104,3,2024-09-07 09:20:11:419,1,411,15,0,1245,8492,411,0 105,0,2024-09-07 09:20:11:119,102230,0.8,99517,1.1,208575,0.9,274651,2.75 105,1,2024-09-07 09:20:10:556,721304,721304,0,0,338347757031,3548331478,711882,8000,1422,366,392009,0 105,2,2024-09-07 09:20:11:324,518256,518256,0,0,25058376,0,3509 105,3,2024-09-07 09:20:11:321,1,411,1,0,399,6515,411,0 106,0,2024-09-07 09:20:10:965,96976,0.7,99356,0.9,203388,0.7,266263,2.50 106,1,2024-09-07 09:20:11:757,719998,719998,0,0,337708382662,3549458087,708987,9566,1445,369,391914,0 106,2,2024-09-07 09:20:10:756,517984,517984,0,0,24418224,0,2920 106,3,2024-09-07 09:20:10:687,1,411,2,0,470,5396,411,0 107,0,2024-09-07 09:20:11:162,100693,1.0,101037,0.9,201484,1.2,268891,2.25 107,1,2024-09-07 09:20:10:593,719453,719453,0,0,337191506553,3556662380,709297,9106,1050,381,392234,0 107,2,2024-09-07 09:20:11:291,518191,518190,1,0,25357785,0,5024 107,3,2024-09-07 09:20:11:755,1,411,0,0,370,6409,411,0 108,0,2024-09-07 09:20:11:783,106658,0.5,107555,0.6,213729,0.5,285823,1.75 108,1,2024-09-07 09:20:11:306,721853,721853,0,0,339155326235,3539692240,715716,5393,744,368,391857,0 108,2,2024-09-07 09:20:11:777,517737,517737,0,0,23937485,0,4246 108,3,2024-09-07 09:20:11:332,1,411,2,0,749,7909,411,0 109,0,2024-09-07 09:20:11:788,105539,0.4,104649,0.6,209286,0.4,280179,1.75 109,1,2024-09-07 09:20:10:608,719315,719315,0,0,338195300445,3553171355,711925,6206,1184,382,392132,0 109,2,2024-09-07 09:20:10:930,520034,520034,0,0,23516162,0,3617 109,3,2024-09-07 09:20:11:159,1,411,13,0,379,4877,411,0 110,0,2024-09-07 09:20:11:772,99161,0.4,96667,0.6,202616,0.3,264722,1.75 110,1,2024-09-07 09:20:11:656,723065,723065,0,0,339277588510,3524414569,717681,4208,1176,369,392045,0 110,2,2024-09-07 09:20:11:303,519040,519040,0,0,22386930,0,4067 110,3,2024-09-07 09:20:10:692,1,411,0,0,722,5911,411,0 111,0,2024-09-07 09:20:11:417,99874,0.4,98989,0.5,198632,0.3,265270,1.75 111,1,2024-09-07 09:20:11:009,723183,723183,0,0,340269357386,3531151200,719304,3524,355,380,391690,0 111,2,2024-09-07 09:20:11:116,517997,517997,0,0,22177166,0,4823 111,3,2024-09-07 09:20:10:913,1,411,4,0,379,5031,411,0 112,0,2024-09-07 09:20:10:915,106365,0.3,106080,0.4,212227,0.2,282528,1.50 112,1,2024-09-07 09:20:10:849,723476,723476,0,0,339706864412,3527609178,718764,4099,613,380,391624,0 112,2,2024-09-07 09:20:11:133,519258,519257,1,0,21314439,0,5036 112,3,2024-09-07 09:20:10:599,1,411,2,0,282,4125,411,0 113,0,2024-09-07 09:20:10:913,105333,0.3,105455,0.5,211219,0.3,281074,1.75 113,1,2024-09-07 09:20:11:691,725413,725413,0,0,339573688501,3505659495,721526,3298,589,366,391661,0 113,2,2024-09-07 09:20:11:303,524651,524651,0,0,19758045,0,3813 113,3,2024-09-07 09:20:10:684,1,411,16,0,340,4696,411,0 114,0,2024-09-07 09:20:10:873,100838,0.3,101478,0.5,201763,0.2,269281,1.75 114,1,2024-09-07 09:20:10:719,722696,722696,0,0,339415467020,3528646960,716436,4644,1616,381,391565,0 114,2,2024-09-07 09:20:10:873,518771,518770,1,0,20657250,0,5069 114,3,2024-09-07 09:20:11:278,1,411,21,0,395,3489,411,0 115,0,2024-09-07 09:20:10:588,99797,0.3,100356,0.4,200223,0.2,265892,1.50 115,1,2024-09-07 09:20:10:581,722960,722960,0,0,339576170439,3532833490,716474,5220,1266,382,391757,0 115,2,2024-09-07 09:20:11:125,519816,519816,0,0,20518258,0,4382 115,3,2024-09-07 09:20:11:009,1,411,1,0,159,2371,411,0 116,0,2024-09-07 09:20:11:725,103328,0.8,103246,0.9,207098,0.8,277615,2.00 116,1,2024-09-07 09:20:10:806,719504,719504,0,0,337832077193,3560678446,710924,6256,2324,380,392089,0 116,2,2024-09-07 09:20:11:753,519519,519519,0,0,25866523,0,4128 116,3,2024-09-07 09:20:10:929,1,411,1,0,415,4920,411,0 117,0,2024-09-07 09:20:11:052,107382,0.8,106874,0.9,213988,1.0,285529,2.00 117,1,2024-09-07 09:20:11:584,720930,720930,0,0,337819282779,3536936845,713203,6814,913,369,392033,0 117,2,2024-09-07 09:20:11:128,524141,524141,0,0,22247394,0,4303 117,3,2024-09-07 09:20:11:084,1,411,6,0,490,6452,411,0 118,0,2024-09-07 09:20:11:806,99666,0.5,102126,0.7,208655,0.4,272239,2.00 118,1,2024-09-07 09:20:10:611,720602,720602,0,0,337822292559,3557742287,708718,8886,2998,366,391907,0 118,2,2024-09-07 09:20:11:590,517856,517856,0,0,24199883,0,2842 118,3,2024-09-07 09:20:11:766,1,411,2,0,248,4800,411,0 119,0,2024-09-07 09:20:11:388,100699,0.6,101094,0.7,202160,0.5,268762,2.00 119,1,2024-09-07 09:20:10:612,720633,720633,0,0,338900025521,3548702817,712162,7356,1115,367,391780,0 119,2,2024-09-07 09:20:11:275,519442,519442,0,0,22803437,0,4174 119,3,2024-09-07 09:20:11:332,1,411,1,0,1358,8403,411,0 120,0,2024-09-07 09:20:11:557,100957,0.7,100748,0.9,202080,0.7,270506,2.50 120,1,2024-09-07 09:20:10:879,721222,721222,0,0,338081520685,3551424493,712864,7589,769,368,391961,0 120,2,2024-09-07 09:20:10:781,519258,519257,1,0,26507131,0,5281 120,3,2024-09-07 09:20:11:295,1,411,6,0,279,5178,411,0 121,0,2024-09-07 09:20:11:718,107653,1.5,107385,1.2,215498,2.1,287015,2.50 121,1,2024-09-07 09:20:11:676,721685,721685,0,0,338556338261,3541556963,714976,6251,458,367,391840,0 121,2,2024-09-07 09:20:11:185,518811,518811,0,0,25488847,0,4127 121,3,2024-09-07 09:20:10:741,1,411,1,0,269,4612,411,0 122,0,2024-09-07 09:20:11:891,104152,0.8,101551,0.9,213058,0.9,279632,2.00 122,1,2024-09-07 09:20:10:867,719789,719789,0,0,338057364231,3551528178,709363,8825,1601,366,392130,0 122,2,2024-09-07 09:20:11:324,520401,520328,73,0,28253213,0,5989 122,3,2024-09-07 09:20:10:599,1,411,1,0,512,7647,411,0 123,0,2024-09-07 09:20:10:964,99493,0.6,97083,0.7,203118,0.6,265785,2.00 123,1,2024-09-07 09:20:10:583,719833,719833,0,0,338322806224,3568709899,706299,11094,2440,369,392039,0 123,2,2024-09-07 09:20:11:024,517252,517251,1,0,23840293,0,5215 123,3,2024-09-07 09:20:11:140,1,411,2,0,478,4958,411,0 124,0,2024-09-07 09:20:10:920,102983,0.3,103010,0.5,194169,0.3,267369,1.75 124,1,2024-09-07 09:20:11:093,722825,722825,0,0,339052631333,3526964791,717552,4224,1049,367,392178,0 124,2,2024-09-07 09:20:11:023,520225,520172,53,0,21749408,0,6487 124,3,2024-09-07 09:20:10:766,1,411,3,0,490,4075,411,0 125,0,2024-09-07 09:20:11:429,106055,0.4,105659,0.6,212315,0.4,283119,1.75 125,1,2024-09-07 09:20:10:873,720913,720913,0,0,338227282384,3534648723,715018,5227,668,382,391702,0 125,2,2024-09-07 09:20:11:114,519466,519466,0,0,22467888,0,4534 125,3,2024-09-07 09:20:11:126,1,411,9,0,709,5337,411,0 126,0,2024-09-07 09:20:11:431,105452,0.4,108610,0.6,207749,0.4,281302,1.75 126,1,2024-09-07 09:20:10:594,723449,723449,0,0,340034689526,3524117004,719451,3705,293,365,391987,0 126,2,2024-09-07 09:20:10:617,523972,523972,0,0,23270342,0,4539 126,3,2024-09-07 09:20:10:910,1,411,1,0,268,5218,411,0 127,0,2024-09-07 09:20:11:603,99432,0.3,99897,0.5,199302,0.3,264599,1.75 127,1,2024-09-07 09:20:10:571,722843,722843,0,0,339005937536,3529214197,715510,6114,1219,364,392187,0 127,2,2024-09-07 09:20:10:645,517828,517828,0,0,20939610,0,3897 127,3,2024-09-07 09:20:11:271,1,411,0,0,968,4561,411,0 128,0,2024-09-07 09:20:11:554,99848,0.3,100014,0.4,199572,0.2,265278,1.50 128,1,2024-09-07 09:20:11:609,722374,722374,0,0,339737094322,3528789948,717482,4459,433,367,392031,0 128,2,2024-09-07 09:20:11:395,519344,519344,0,0,20256302,0,3171 128,3,2024-09-07 09:20:10:775,1,411,2,0,1082,6587,411,0 129,0,2024-09-07 09:20:11:021,105923,0.3,105331,0.5,211350,0.3,281440,1.75 129,1,2024-09-07 09:20:10:589,719791,719791,0,0,337947698011,3544514126,712158,5964,1669,379,391962,0 129,2,2024-09-07 09:20:10:686,520381,520381,0,0,21702505,0,4031 129,3,2024-09-07 09:20:10:689,1,411,15,0,506,5626,411,0 130,0,2024-09-07 09:20:11:744,106948,0.6,106314,0.6,214124,0.6,284149,1.75 130,1,2024-09-07 09:20:10:597,722931,722931,0,0,339334981953,3528555823,718902,3690,339,381,391825,0 130,2,2024-09-07 09:20:11:125,524142,524142,0,0,22210006,0,4067 130,3,2024-09-07 09:20:11:296,1,411,3,0,960,6667,411,0 131,0,2024-09-07 09:20:11:935,101021,0.3,101525,0.5,204036,0.3,270255,1.75 131,1,2024-09-07 09:20:11:829,722376,722376,0,0,338688543056,3540178281,716422,4906,1048,381,391865,0 131,2,2024-09-07 09:20:10:583,520413,520413,0,0,20244782,0,3979 131,3,2024-09-07 09:20:11:703,1,411,2,0,392,4485,411,0 132,0,2024-09-07 09:20:11:455,100466,0.4,101538,0.6,201928,0.4,267993,1.75 132,1,2024-09-07 09:20:10:580,718979,718979,0,0,337619907157,3558552741,707863,9012,2104,381,392097,0 132,2,2024-09-07 09:20:10:711,517849,517832,17,0,26442927,0,6451 132,3,2024-09-07 09:20:11:689,1,411,4,0,804,7403,411,0 133,0,2024-09-07 09:20:11:567,100205,0.4,102509,0.6,210365,0.4,274043,2.00 133,1,2024-09-07 09:20:10:601,719051,719051,0,0,337652606170,3561706534,708515,9021,1515,383,391914,0 133,2,2024-09-07 09:20:11:092,519625,519575,50,0,27960712,0,6861 133,3,2024-09-07 09:20:11:297,1,411,1,0,479,4171,411,0 134,0,2024-09-07 09:20:10:995,108271,0.6,107890,0.8,215961,0.6,287870,2.25 134,1,2024-09-07 09:20:10:588,720217,720217,0,0,337971919756,3547743594,710436,7747,2034,366,391718,0 134,2,2024-09-07 09:20:11:761,519056,519032,24,0,25529829,0,6207 134,3,2024-09-07 09:20:10:767,1,411,0,0,739,5375,411,0 135,0,2024-09-07 09:20:11:109,100285,0.8,100260,0.9,212757,0.9,274149,2.25 135,1,2024-09-07 09:20:11:597,720378,720378,0,0,338375716572,3562452403,710427,8580,1371,380,391805,0 135,2,2024-09-07 09:20:10:687,519845,519845,0,0,24597496,0,3981 135,3,2024-09-07 09:20:11:012,1,411,1,0,299,3451,411,0 136,0,2024-09-07 09:20:11:641,100816,0.5,100938,0.7,200889,0.5,268290,2.00 136,1,2024-09-07 09:20:11:451,720714,720714,0,0,338539877220,3553288448,712155,7597,962,382,391685,0 136,2,2024-09-07 09:20:11:134,520151,520151,0,0,23824942,0,3506 136,3,2024-09-07 09:20:11:108,1,411,4,0,637,4845,411,0 137,0,2024-09-07 09:20:10:955,104050,0.6,101289,0.8,198773,0.7,270082,2.00 137,1,2024-09-07 09:20:10:706,719572,719572,0,0,337280351424,3543040895,708066,9147,2359,366,391898,0 137,2,2024-09-07 09:20:11:709,518546,518546,0,0,26486074,0,3185 137,3,2024-09-07 09:20:10:784,1,411,17,0,484,5186,411,0 138,0,2024-09-07 09:20:11:754,106491,1.1,106506,1.0,213830,1.4,284660,2.25 138,1,2024-09-07 09:20:11:686,720788,720788,0,0,338688317986,3555254038,710553,8514,1721,368,391954,0 138,2,2024-09-07 09:20:10:593,518325,518325,0,0,24090153,0,4988 138,3,2024-09-07 09:20:10:612,1,411,5,0,1160,5613,411,0 139,0,2024-09-07 09:20:11:360,103982,1.6,104029,1.1,208495,2.3,278524,2.50 139,1,2024-09-07 09:20:10:579,717067,717067,0,0,336008530459,3574850799,702706,11056,3305,380,392058,0 139,2,2024-09-07 09:20:10:708,517983,517983,0,0,27490355,0,3097 139,3,2024-09-07 09:20:11:677,1,411,9,0,432,4944,411,0 140,0,2024-09-07 09:20:11:588,99809,0.3,99168,0.5,199176,0.2,265048,1.75 140,1,2024-09-07 09:20:11:554,724981,724981,0,0,340327192850,3510957445,721420,3120,441,365,391606,0 140,2,2024-09-07 09:20:10:687,519354,519353,1,0,21179399,0,5036 140,3,2024-09-07 09:20:10:768,1,411,0,0,297,3564,411,0 141,0,2024-09-07 09:20:11:698,99635,0.3,102307,0.5,195546,0.3,265135,1.75 141,1,2024-09-07 09:20:10:867,722809,722809,0,0,339473389211,3528775491,717130,4723,956,379,391614,0 141,2,2024-09-07 09:20:11:686,519229,519229,0,0,20907903,0,3360 141,3,2024-09-07 09:20:11:050,1,411,1,0,391,4867,411,0 142,0,2024-09-07 09:20:11:315,106476,0.3,105922,0.5,211680,0.3,283161,1.75 142,1,2024-09-07 09:20:10:600,722180,722180,0,0,339210813303,3538030701,717501,4282,397,382,392102,0 142,2,2024-09-07 09:20:11:301,518479,518447,32,0,23047149,0,6028 142,3,2024-09-07 09:20:11:751,1,411,16,0,484,4787,411,0 143,0,2024-09-07 09:20:11:393,105625,0.5,105551,0.6,211465,0.5,281049,1.75 143,1,2024-09-07 09:20:10:653,723504,723504,0,0,339125848267,3522398918,718468,4492,544,367,391705,0 143,2,2024-09-07 09:20:10:790,523409,523409,0,0,22114158,0,3123 143,3,2024-09-07 09:20:11:164,1,411,30,0,462,5256,411,0 144,0,2024-09-07 09:20:11:521,97380,0.6,100069,0.8,204064,0.5,267096,2.00 144,1,2024-09-07 09:20:10:570,719729,719729,0,0,337325805847,3544337934,712021,5874,1834,381,391649,0 144,2,2024-09-07 09:20:11:767,519376,519376,0,0,20715062,0,3673 144,3,2024-09-07 09:20:11:757,1,411,2,0,249,4276,411,0 145,0,2024-09-07 09:20:11:359,96428,0.5,96440,0.8,204676,0.5,263032,2.25 145,1,2024-09-07 09:20:10:579,719485,719485,0,0,337295666343,3550315311,709878,7945,1662,382,391759,0 145,2,2024-09-07 09:20:11:441,516722,516641,81,0,25031197,0,7814 145,3,2024-09-07 09:20:10:895,1,411,1,0,622,5958,411,0 146,0,2024-09-07 09:20:11:614,103661,0.6,102998,0.8,207826,0.6,276032,2.25 146,1,2024-09-07 09:20:11:590,720996,720996,0,0,337717573526,3549276024,710052,8824,2120,367,391770,0 146,2,2024-09-07 09:20:11:696,518683,518683,0,0,24505615,0,3290 146,3,2024-09-07 09:20:11:282,1,411,7,0,1520,7962,411,0 147,0,2024-09-07 09:20:11:705,107239,0.7,107060,0.8,213447,0.7,285087,2.25 147,1,2024-09-07 09:20:11:374,723999,723999,0,0,339473326103,3533714468,717369,5785,845,368,391791,0 147,2,2024-09-07 09:20:11:021,521488,521488,0,0,22127537,0,2968 147,3,2024-09-07 09:20:10:915,1,411,5,0,1626,7337,411,0 0,0,2024-09-07 09:20:21:731,99124,0.6,99144,0.8,210361,0.7,272403,2.00 0,1,2024-09-07 09:20:20:804,722727,722727,0,0,339334186577,3553144625,717287,5053,387,369,391896,0 0,2,2024-09-07 09:20:21:067,522788,522788,0,0,21412786,0,4480 0,3,2024-09-07 09:20:20:974,1,412,2,0,431,6288,412,0 1,0,2024-09-07 09:20:21:817,108007,1.2,107293,1.1,215228,1.7,287681,2.25 1,1,2024-09-07 09:20:20:573,722259,722259,0,0,338786554024,3548652655,715835,5098,1326,370,391857,0 1,2,2024-09-07 09:20:20:655,520366,520366,0,0,21717716,0,3380 1,3,2024-09-07 09:20:21:311,1,412,1,0,268,5169,412,0 2,0,2024-09-07 09:20:21:567,104487,0.6,104821,0.8,208824,0.7,278823,2.00 2,1,2024-09-07 09:20:20:862,724916,724916,0,0,340305345764,3534076558,721633,2988,295,380,391745,0 2,2,2024-09-07 09:20:21:266,521799,521799,0,0,20467663,0,3594 2,3,2024-09-07 09:20:20:699,1,412,1,0,357,4102,412,0 3,0,2024-09-07 09:20:21:742,100080,0.4,99962,0.6,199817,0.3,266046,2.00 3,1,2024-09-07 09:20:21:621,723399,723399,0,0,339162770610,3534669394,717180,5529,690,380,391716,0 3,2,2024-09-07 09:20:21:153,520385,520362,23,0,21501327,0,5851 3,3,2024-09-07 09:20:21:754,1,412,0,0,207,2817,412,0 4,0,2024-09-07 09:20:21:827,97481,0.4,100114,0.5,204255,0.3,267701,1.75 4,1,2024-09-07 09:20:20:620,720930,720930,0,0,337247591751,3566851689,709134,9291,2505,370,391992,0 4,2,2024-09-07 09:20:21:023,519003,519003,0,0,24281065,0,4528 4,3,2024-09-07 09:20:21:027,1,412,1,0,448,5465,412,0 5,0,2024-09-07 09:20:21:411,106048,0.4,106594,0.6,212881,0.4,282979,1.75 5,1,2024-09-07 09:20:20:772,722123,722123,0,0,338630338735,3566813782,711769,8197,2157,367,392005,0 5,2,2024-09-07 09:20:21:864,517801,517801,0,0,23863133,0,3582 5,3,2024-09-07 09:20:21:740,1,412,22,0,457,5949,412,0 6,0,2024-09-07 09:20:20:916,105922,0.5,105557,0.7,210872,0.4,281609,2.00 6,1,2024-09-07 09:20:20:748,722334,722334,0,0,338877796241,3544171975,714133,6809,1392,379,391694,0 6,2,2024-09-07 09:20:21:115,522927,522909,18,0,24396912,0,5535 6,3,2024-09-07 09:20:21:277,1,412,2,0,710,5270,412,0 7,0,2024-09-07 09:20:21:549,98852,0.5,99675,0.6,198455,0.4,263961,2.00 7,1,2024-09-07 09:20:20:850,722076,722076,0,0,339066584691,3559120951,712309,8305,1462,382,391747,0 7,2,2024-09-07 09:20:20:773,520497,520497,0,0,23517286,0,4791 7,3,2024-09-07 09:20:20:856,1,412,1,0,552,4765,412,0 8,0,2024-09-07 09:20:21:442,99912,0.4,99579,0.5,199632,0.3,266215,1.75 8,1,2024-09-07 09:20:21:023,720988,720988,0,0,338335393052,3561108265,709468,8892,2628,366,392853,0 8,2,2024-09-07 09:20:20:800,515096,515096,0,0,27828832,0,3250 8,3,2024-09-07 09:20:20:608,1,412,0,0,538,6935,412,0 9,0,2024-09-07 09:20:21:176,105741,0.4,102696,0.5,214883,0.3,282105,1.75 9,1,2024-09-07 09:20:20:565,720788,720788,0,0,338163309270,3565521853,709740,8627,2421,369,392001,0 9,2,2024-09-07 09:20:21:087,519499,519499,0,0,24753166,0,3360 9,3,2024-09-07 09:20:21:754,1,412,13,0,496,5996,412,0 10,0,2024-09-07 09:20:21:600,106301,0.4,105710,0.6,212410,0.3,282347,1.75 10,1,2024-09-07 09:20:20:583,722148,722148,0,0,339208312948,3559078336,711768,8757,1623,381,391741,0 10,2,2024-09-07 09:20:20:772,523757,523757,0,0,27136985,0,4264 10,3,2024-09-07 09:20:20:871,1,412,1,0,649,4554,412,0 11,0,2024-09-07 09:20:21:007,101218,0.4,98130,0.6,205276,0.4,270592,1.75 11,1,2024-09-07 09:20:20:571,722608,722608,0,0,339217091798,3562291084,711281,8701,2626,383,391756,0 11,2,2024-09-07 09:20:21:130,518587,518587,0,0,23943511,0,4130 11,3,2024-09-07 09:20:21:297,1,412,1,0,843,6184,412,0 12,0,2024-09-07 09:20:20:989,101305,0.4,101336,0.5,202602,0.3,268264,1.75 12,1,2024-09-07 09:20:20:943,722989,722989,0,0,338433177883,3530455928,717448,5040,501,370,391870,0 12,2,2024-09-07 09:20:21:547,519755,519755,0,0,23138622,0,3469 12,3,2024-09-07 09:20:21:059,1,412,6,0,386,6315,412,0 13,0,2024-09-07 09:20:21:395,104030,0.4,103652,0.6,206808,0.4,275962,1.75 13,1,2024-09-07 09:20:21:532,721517,721517,0,0,338634959904,3562790822,713918,5970,1629,382,391740,0 13,2,2024-09-07 09:20:20:610,523135,523135,0,0,21521699,0,3287 13,3,2024-09-07 09:20:21:772,1,412,1,0,522,6211,412,0 14,0,2024-09-07 09:20:20:567,107659,0.5,108733,0.7,215147,0.4,286842,2.00 14,1,2024-09-07 09:20:21:561,726240,726240,0,0,340775518392,3532531818,720024,5508,708,364,391673,0 14,2,2024-09-07 09:20:20:772,522212,522182,30,0,23534176,0,6104 14,3,2024-09-07 09:20:21:115,1,412,7,0,1168,4715,412,0 15,0,2024-09-07 09:20:21:553,103432,0.4,103332,0.7,206986,0.4,275460,2.00 15,1,2024-09-07 09:20:21:608,723647,723647,0,0,339808537250,3542189452,717426,5043,1178,381,391619,0 15,2,2024-09-07 09:20:20:998,522020,522020,0,0,19255943,0,3622 15,3,2024-09-07 09:20:21:412,1,412,2,0,1126,6911,412,0 16,0,2024-09-07 09:20:20:985,100829,0.5,101152,0.7,201893,0.5,268868,2.00 16,1,2024-09-07 09:20:20:567,723290,723290,0,0,339907208936,3556174960,716695,5482,1113,370,391917,0 16,2,2024-09-07 09:20:21:441,519862,519862,0,0,23011285,0,4719 16,3,2024-09-07 09:20:21:154,1,412,1,0,317,5354,412,0 17,0,2024-09-07 09:20:21:811,104164,0.6,101617,0.8,199015,0.7,270319,2.00 17,1,2024-09-07 09:20:20:570,722155,722155,0,0,337949042148,3548830468,714522,6121,1512,368,392075,0 17,2,2024-09-07 09:20:21:666,523328,523328,0,0,22847823,0,3779 17,3,2024-09-07 09:20:20:583,1,412,1,0,518,6773,412,0 18,0,2024-09-07 09:20:20:941,106423,0.7,106939,0.8,213047,0.7,285283,2.25 18,1,2024-09-07 09:20:21:640,724899,724899,0,0,340089007602,3526472597,720921,3599,379,367,391725,0 18,2,2024-09-07 09:20:21:755,522640,522640,0,0,20196632,0,3541 18,3,2024-09-07 09:20:20:896,1,412,2,0,163,3242,412,0 19,0,2024-09-07 09:20:21:544,104579,0.6,105165,0.8,208583,0.6,277851,2.25 19,1,2024-09-07 09:20:20:581,725502,725502,0,0,340911980967,3538370104,719557,5024,921,367,391777,0 19,2,2024-09-07 09:20:21:752,524878,524878,0,0,19215836,0,3988 19,3,2024-09-07 09:20:21:129,1,412,1,0,524,3439,412,0 20,0,2024-09-07 09:20:21:361,99515,0.4,99521,0.6,198941,0.4,265130,2.00 20,1,2024-09-07 09:20:20:579,722718,722718,0,0,339591012256,3552739707,716204,5714,800,369,391886,0 20,2,2024-09-07 09:20:20:928,519883,519883,0,0,22772923,0,3721 20,3,2024-09-07 09:20:20:593,1,412,13,0,414,6118,412,0 21,0,2024-09-07 09:20:21:156,99649,0.5,99710,0.6,199256,0.4,264567,2.00 21,1,2024-09-07 09:20:21:545,720537,720537,0,0,337862201695,3564541921,709690,8373,2474,368,392016,0 21,2,2024-09-07 09:20:21:073,517374,517354,20,0,27768611,0,5617 21,3,2024-09-07 09:20:21:419,1,412,1,0,713,5384,412,0 22,0,2024-09-07 09:20:21:723,106032,0.5,106441,0.7,212780,0.5,282314,2.00 22,1,2024-09-07 09:20:21:023,721966,721966,0,0,338497292061,3559658653,710843,8897,2226,382,391667,0 22,2,2024-09-07 09:20:20:776,518767,518741,26,0,22214297,0,6328 22,3,2024-09-07 09:20:21:066,1,412,0,0,228,3466,412,0 23,0,2024-09-07 09:20:21:421,105098,0.6,104498,0.7,209599,0.5,279305,2.25 23,1,2024-09-07 09:20:21:003,722758,722758,0,0,339031167101,3562847467,709777,8928,4053,365,391690,0 23,2,2024-09-07 09:20:21:097,525615,525615,0,0,21737741,0,3773 23,3,2024-09-07 09:20:21:758,1,412,1,0,720,5521,412,0 24,0,2024-09-07 09:20:20:848,101139,0.4,100909,0.5,202423,0.3,268679,1.75 24,1,2024-09-07 09:20:20:595,722057,722057,0,0,338960176084,3555646683,714070,6397,1590,367,392269,0 24,2,2024-09-07 09:20:21:070,518701,518701,0,0,26150751,0,3607 24,3,2024-09-07 09:20:21:690,1,412,2,0,468,5726,412,0 25,0,2024-09-07 09:20:21:837,102495,0.4,99922,0.6,195835,0.3,266604,1.75 25,1,2024-09-07 09:20:20:592,721371,721371,0,0,338936671946,3574166792,709442,9684,2245,371,391928,0 25,2,2024-09-07 09:20:21:728,517888,517888,0,0,26562360,0,3978 25,3,2024-09-07 09:20:21:006,1,412,6,0,532,4781,412,0 26,0,2024-09-07 09:20:21:720,104255,0.4,101665,0.6,213365,0.3,278320,1.75 26,1,2024-09-07 09:20:21:542,723220,723220,0,0,338887074887,3551574180,712594,9042,1584,380,391748,0 26,2,2024-09-07 09:20:20:865,521662,521662,0,0,26769091,0,2809 26,3,2024-09-07 09:20:21:712,1,412,1,0,796,5132,412,0 27,0,2024-09-07 09:20:21:724,107308,0.5,107435,0.7,213748,0.5,285258,2.25 27,1,2024-09-07 09:20:21:686,724703,724703,0,0,339734114888,3541408530,717451,6352,900,381,391626,0 27,2,2024-09-07 09:20:20:868,520675,520610,65,0,24627302,0,5699 27,3,2024-09-07 09:20:21:024,1,412,1,0,564,4088,412,0 28,0,2024-09-07 09:20:21:394,102962,0.4,102450,0.6,205474,0.3,273729,2.00 28,1,2024-09-07 09:20:20:802,724034,724034,0,0,340074895366,3552617277,716847,5488,1699,383,391698,0 28,2,2024-09-07 09:20:21:772,519871,519871,0,0,22420219,0,2915 28,3,2024-09-07 09:20:21:780,1,412,3,0,502,4640,412,0 29,0,2024-09-07 09:20:21:354,104070,0.3,101402,0.6,198947,0.3,270758,1.75 29,1,2024-09-07 09:20:21:563,726290,726290,0,0,341150413201,3532430860,721430,4126,734,368,391753,0 29,2,2024-09-07 09:20:20:865,519433,519433,0,0,21259393,0,4986 29,3,2024-09-07 09:20:20:970,1,412,0,0,459,4912,412,0 30,0,2024-09-07 09:20:21:462,101735,0.5,98945,0.7,206941,0.5,271326,2.00 30,1,2024-09-07 09:20:20:577,725362,725362,0,0,340206304326,3536179838,719294,5302,766,381,391672,0 30,2,2024-09-07 09:20:21:278,522373,522373,0,0,20838765,0,4192 30,3,2024-09-07 09:20:20:580,1,412,1,0,519,4155,412,0 31,0,2024-09-07 09:20:21:760,107554,0.5,108108,0.7,215672,0.5,287670,2.00 31,1,2024-09-07 09:20:20:564,728148,728148,0,0,341372154525,3501260888,725134,2428,586,356,391712,0 31,2,2024-09-07 09:20:21:279,520949,520949,0,0,22425173,0,3525 31,3,2024-09-07 09:20:21:711,1,412,0,0,220,3708,412,0 32,0,2024-09-07 09:20:21:457,105027,0.3,105695,0.5,210451,0.3,280491,1.75 32,1,2024-09-07 09:20:20:804,725038,725038,0,0,339957982855,3538740830,719927,4430,681,381,391646,0 32,2,2024-09-07 09:20:20:937,522375,522375,0,0,20094374,0,3922 32,3,2024-09-07 09:20:21:015,1,412,1,0,304,3333,412,0 33,0,2024-09-07 09:20:21:496,100269,0.3,99807,0.4,200146,0.2,266558,1.50 33,1,2024-09-07 09:20:20:645,725772,725772,0,0,340516296818,3534410606,719264,5404,1104,369,391730,0 33,2,2024-09-07 09:20:20:773,520976,520941,35,0,22468318,0,7012 33,3,2024-09-07 09:20:20:895,1,412,1,0,329,4119,412,0 34,0,2024-09-07 09:20:20:930,100626,0.3,103568,0.4,198209,0.2,267025,1.75 34,1,2024-09-07 09:20:21:047,726785,726785,0,0,341700736632,3514955504,725049,1725,11,367,391562,0 34,2,2024-09-07 09:20:20:767,521416,521416,0,0,20836867,0,4562 34,3,2024-09-07 09:20:21:689,1,412,1,0,299,3169,412,0 35,0,2024-09-07 09:20:20:864,105645,0.4,106090,0.5,213222,0.3,283669,1.75 35,1,2024-09-07 09:20:21:067,725150,725150,0,0,339419410490,3520491411,720614,3680,856,382,391769,0 35,2,2024-09-07 09:20:21:583,520079,520079,0,0,22353575,0,4055 35,3,2024-09-07 09:20:20:909,1,412,1,0,418,4483,412,0 36,0,2024-09-07 09:20:21:518,106021,0.5,105924,0.7,211806,0.4,282003,2.25 36,1,2024-09-07 09:20:20:583,723682,723682,0,0,340162184888,3554938610,714036,7646,2000,366,391759,0 36,2,2024-09-07 09:20:21:756,524223,524223,0,0,23411104,0,3875 36,3,2024-09-07 09:20:20:864,1,412,1,0,416,6033,412,0 37,0,2024-09-07 09:20:21:384,99116,0.4,99240,0.6,198525,0.4,264411,2.00 37,1,2024-09-07 09:20:20:569,723540,723533,0,7,338922598940,3541530889,714897,6362,2274,365,391573,0 37,2,2024-09-07 09:20:21:155,518164,518149,15,0,23245112,0,5815 37,3,2024-09-07 09:20:21:766,1,412,1,0,888,6310,412,0 38,0,2024-09-07 09:20:21:443,99218,0.4,96263,0.6,201332,0.4,263846,2.00 38,1,2024-09-07 09:20:21:613,724707,724707,0,0,339033319638,3545951130,714168,8378,2161,368,391821,0 38,2,2024-09-07 09:20:20:783,519297,519250,47,0,22815357,0,6710 38,3,2024-09-07 09:20:20:999,1,412,1,0,689,5440,412,0 39,0,2024-09-07 09:20:21:778,107969,0.6,105723,0.7,205821,0.5,281302,2.00 39,1,2024-09-07 09:20:20:716,722680,722680,0,0,338958492075,3556930401,710266,9724,2690,365,391658,0 39,2,2024-09-07 09:20:21:419,521191,521191,0,0,21822224,0,3391 39,3,2024-09-07 09:20:20:718,1,412,6,0,324,4854,412,0 40,0,2024-09-07 09:20:21:489,105082,0.9,105803,1.0,210811,1.0,281111,2.75 40,1,2024-09-07 09:20:20:576,723387,723387,0,0,338248992590,3546533361,712569,8775,2043,368,391668,0 40,2,2024-09-07 09:20:21:301,522911,522910,1,0,25781298,0,5137 40,3,2024-09-07 09:20:21:154,1,412,1,0,1028,5761,412,0 41,0,2024-09-07 09:20:21:027,100706,1.4,102955,1.2,196594,2.2,267213,3.00 41,1,2024-09-07 09:20:20:768,722369,722369,0,0,339045273129,3549802720,713187,8142,1040,369,391742,0 41,2,2024-09-07 09:20:20:772,517876,517876,0,0,24508025,0,4277 41,3,2024-09-07 09:20:21:681,1,412,1,0,366,4202,412,0 42,0,2024-09-07 09:20:21:474,99773,0.8,99825,1.0,199869,0.9,264022,2.75 42,1,2024-09-07 09:20:21:439,720785,720785,0,0,337824721888,3554067304,708824,9930,2031,380,391675,0 42,2,2024-09-07 09:20:21:138,518247,518247,0,0,24838222,0,3790 42,3,2024-09-07 09:20:21:009,1,412,1,0,892,4240,412,0 43,0,2024-09-07 09:20:20:918,102100,0.8,99359,1.0,208171,0.9,273313,2.25 43,1,2024-09-07 09:20:20:578,723693,723693,0,0,340034177040,3555783020,713366,8588,1739,366,391696,0 43,2,2024-09-07 09:20:21:735,521893,521893,0,0,24423464,0,3812 43,3,2024-09-07 09:20:21:752,1,412,2,0,548,5504,412,0 44,0,2024-09-07 09:20:20:857,107807,0.5,108077,0.7,216623,0.5,287404,2.00 44,1,2024-09-07 09:20:20:565,725473,725473,0,0,340057081902,3518710263,718980,5175,1318,356,391809,0 44,2,2024-09-07 09:20:21:269,521167,521167,0,0,20590550,0,4344 44,3,2024-09-07 09:20:21:093,1,412,14,0,817,5015,412,0 45,0,2024-09-07 09:20:21:778,102322,0.5,99973,0.7,209679,0.5,276032,2.00 45,1,2024-09-07 09:20:21:005,724654,724654,0,0,339823564478,3534116258,718997,5084,573,382,391917,0 45,2,2024-09-07 09:20:21:271,520833,520833,0,0,20877695,0,3596 45,3,2024-09-07 09:20:20:940,1,412,4,0,271,3659,412,0 46,0,2024-09-07 09:20:20:961,100294,0.5,100192,0.7,200777,0.4,266529,2.00 46,1,2024-09-07 09:20:20:579,726036,726036,0,0,341244009032,3527260082,721372,4073,591,366,391709,0 46,2,2024-09-07 09:20:20:599,520584,520584,0,0,21065167,0,4443 46,3,2024-09-07 09:20:21:130,1,412,1,0,908,5379,412,0 47,0,2024-09-07 09:20:21:105,101450,0.4,101711,0.6,203737,0.4,269799,2.00 47,1,2024-09-07 09:20:20:569,726692,726692,0,0,340443043792,3521301086,721837,4017,838,366,391641,0 47,2,2024-09-07 09:20:20:912,523644,523644,0,0,20647214,0,4477 47,3,2024-09-07 09:20:21:114,1,412,3,0,600,5173,412,0 48,0,2024-09-07 09:20:21:501,108093,0.3,107903,0.5,214994,0.2,287624,1.75 48,1,2024-09-07 09:20:21:023,724602,724602,0,0,339864531465,3532335247,719896,4250,456,384,391710,0 48,2,2024-09-07 09:20:20:703,520331,520331,0,0,19289573,0,3411 48,3,2024-09-07 09:20:20:766,1,412,1,0,339,3408,412,0 49,0,2024-09-07 09:20:21:722,107868,0.4,106101,0.5,205559,0.3,281887,1.75 49,1,2024-09-07 09:20:21:023,724539,724539,0,0,339418522985,3533944466,719390,3943,1206,382,391809,0 49,2,2024-09-07 09:20:21:821,523403,523403,0,0,21327375,0,4426 49,3,2024-09-07 09:20:21:419,1,412,1,0,992,5039,412,0 50,0,2024-09-07 09:20:21:519,100082,0.3,98455,0.4,198866,0.2,264816,1.75 50,1,2024-09-07 09:20:21:009,726851,726851,0,0,341036440551,3528440744,721928,4349,574,368,391565,0 50,2,2024-09-07 09:20:21:072,520062,520062,0,0,19508968,0,4490 50,3,2024-09-07 09:20:21:293,1,412,2,0,567,4282,412,0 51,0,2024-09-07 09:20:21:704,102327,0.3,100109,0.5,195163,0.2,265790,1.75 51,1,2024-09-07 09:20:21:686,726761,726761,0,0,341425860691,3523046082,722810,2902,1049,365,391706,0 51,2,2024-09-07 09:20:21:317,520891,520891,0,0,18473945,0,3337 51,3,2024-09-07 09:20:21:026,1,412,1,0,678,3477,412,0 52,0,2024-09-07 09:20:21:420,106539,0.5,106364,0.7,212951,0.5,283106,2.00 52,1,2024-09-07 09:20:20:590,723866,723866,0,0,339589464748,3554942157,713540,8973,1353,368,391722,0 52,2,2024-09-07 09:20:21:755,517053,517015,38,0,24626425,0,6742 52,3,2024-09-07 09:20:20:675,1,412,1,0,1782,5808,412,0 53,0,2024-09-07 09:20:21:739,104717,0.7,101675,0.8,212858,0.8,279333,2.25 53,1,2024-09-07 09:20:20:770,722278,722278,0,0,338912509472,3557606937,710375,8944,2959,367,391702,0 53,2,2024-09-07 09:20:21:296,524497,524496,1,0,22369407,0,5455 53,3,2024-09-07 09:20:20:705,1,412,0,0,308,3713,412,0 54,0,2024-09-07 09:20:21:631,99131,0.6,99438,0.8,198047,0.4,264512,2.25 54,1,2024-09-07 09:20:20:583,723838,723838,0,0,339982180481,3535681105,716567,6032,1239,366,391659,0 54,2,2024-09-07 09:20:20:868,519627,519595,32,0,25330755,0,6397 54,3,2024-09-07 09:20:20:771,1,412,1,0,676,6096,412,0 55,0,2024-09-07 09:20:21:761,96518,0.5,99674,0.7,201558,0.5,262459,2.25 55,1,2024-09-07 09:20:20:772,724757,724757,0,0,339305326038,3528263124,717127,6538,1092,365,391731,0 55,2,2024-09-07 09:20:20:731,518687,518631,56,0,24070922,0,7239 55,3,2024-09-07 09:20:20:673,1,412,0,0,304,4295,412,0 56,0,2024-09-07 09:20:21:559,106418,1.4,100372,1.2,206700,2.0,277538,2.75 56,1,2024-09-07 09:20:20:590,719939,719939,0,0,338859744432,3581632723,708438,9128,2373,381,391867,0 56,2,2024-09-07 09:20:21:317,521016,521016,0,0,24249314,0,3567 56,3,2024-09-07 09:20:21:071,1,412,2,0,705,5140,412,0 57,0,2024-09-07 09:20:20:960,105638,1.8,105363,1.3,211463,2.5,283012,3.50 57,1,2024-09-07 09:20:20:994,723059,723059,0,0,338757037120,3552862836,714457,7517,1085,366,392032,0 57,2,2024-09-07 09:20:21:317,522953,522953,0,0,26238461,0,3317 57,3,2024-09-07 09:20:21:738,1,412,4,0,455,4890,412,0 58,0,2024-09-07 09:20:20:565,101140,0.9,98226,1.0,205584,1.0,269250,2.50 58,1,2024-09-07 09:20:20:578,722951,722948,0,3,339920256168,3556559057,712999,8660,1289,367,391603,3 58,2,2024-09-07 09:20:21:077,519026,519026,0,0,24240134,0,2902 58,3,2024-09-07 09:20:21:069,1,412,0,0,1043,4559,412,0 59,0,2024-09-07 09:20:21:760,100963,0.7,100675,0.9,200913,0.8,267125,2.50 59,1,2024-09-07 09:20:20:811,722705,722705,0,0,339697816508,3561044685,712487,8409,1809,369,391653,0 59,2,2024-09-07 09:20:20:590,519344,519344,0,0,24168176,0,3727 59,3,2024-09-07 09:20:21:741,1,412,1,0,1015,5568,412,0 60,0,2024-09-07 09:20:21:725,101995,0.5,101938,0.7,204629,0.6,272295,2.00 60,1,2024-09-07 09:20:20:794,725020,725020,0,0,340526964754,3537004794,719880,4420,720,370,392031,0 60,2,2024-09-07 09:20:21:142,522061,522061,0,0,22700557,0,3811 60,3,2024-09-07 09:20:21:264,1,412,2,0,409,4918,412,0 61,0,2024-09-07 09:20:21:510,107639,0.8,108202,0.9,215667,0.9,287342,2.00 61,1,2024-09-07 09:20:20:775,723383,723383,0,0,339281792236,3557625321,715081,6873,1429,382,392127,0 61,2,2024-09-07 09:20:21:116,520974,520907,67,0,23010902,0,6411 61,3,2024-09-07 09:20:21:712,1,412,14,0,479,5720,412,0 62,0,2024-09-07 09:20:21:708,105520,0.5,108028,0.7,206374,0.5,280247,2.00 62,1,2024-09-07 09:20:21:119,727636,727630,0,6,341690043591,3525566182,723816,3553,261,365,391975,6 62,2,2024-09-07 09:20:21:654,519857,519856,1,0,23205077,0,5555 62,3,2024-09-07 09:20:21:152,1,412,0,0,482,3709,412,0 63,0,2024-09-07 09:20:21:496,100322,0.4,100195,0.6,200837,0.3,266903,1.75 63,1,2024-09-07 09:20:20:804,725438,725432,0,6,340491453052,3537852808,720464,4235,733,381,391800,6 63,2,2024-09-07 09:20:20:772,520488,520488,0,0,21121020,0,4369 63,3,2024-09-07 09:20:21:732,1,412,1,0,667,4376,412,0 64,0,2024-09-07 09:20:21:521,100054,0.5,100033,0.7,199806,0.5,266221,2.00 64,1,2024-09-07 09:20:20:774,724488,724488,0,0,339979549120,3545016609,717815,4970,1703,370,391783,0 64,2,2024-09-07 09:20:21:153,524399,524380,19,0,20911733,0,6121 64,3,2024-09-07 09:20:21:142,1,412,4,0,265,3843,412,0 65,0,2024-09-07 09:20:21:691,105261,0.7,105663,0.8,210691,0.8,281303,2.25 65,1,2024-09-07 09:20:20:878,723126,723126,0,0,339056560901,3546072246,718017,4569,540,382,391901,0 65,2,2024-09-07 09:20:21:710,520488,520488,0,0,24279881,0,3367 65,3,2024-09-07 09:20:21:690,1,412,1,0,782,4980,412,0 66,0,2024-09-07 09:20:21:768,105429,0.6,105269,0.8,210466,0.5,280460,2.25 66,1,2024-09-07 09:20:21:304,724494,724494,0,0,339615921673,3540963633,719000,4969,525,380,391743,0 66,2,2024-09-07 09:20:21:154,525594,525594,0,0,21397264,0,4956 66,3,2024-09-07 09:20:21:079,1,412,6,0,291,3677,412,0 67,0,2024-09-07 09:20:21:420,99745,0.5,99410,0.6,199440,0.4,265185,2.00 67,1,2024-09-07 09:20:20:773,724663,724662,0,1,339867736020,3542648130,719313,4557,792,380,391787,1 67,2,2024-09-07 09:20:20:583,521914,521914,0,0,20401695,0,3622 67,3,2024-09-07 09:20:21:749,1,412,0,0,392,4060,412,0 68,0,2024-09-07 09:20:20:630,99900,0.5,99739,0.7,198861,0.5,265953,2.00 68,1,2024-09-07 09:20:20:604,721525,721525,0,0,338846638897,3566314788,711477,7102,2946,381,391953,0 68,2,2024-09-07 09:20:21:045,517373,517273,100,0,27172707,0,8578 68,3,2024-09-07 09:20:20:734,1,412,12,0,417,4430,412,0 69,0,2024-09-07 09:20:21:767,105055,0.8,105710,0.9,210420,0.8,279894,2.25 69,1,2024-09-07 09:20:21:044,721435,721435,0,0,338372384156,3569070666,711672,7494,2269,384,391994,0 69,2,2024-09-07 09:20:21:736,519882,519853,29,0,28511110,0,6912 69,3,2024-09-07 09:20:20:778,1,412,10,0,698,5971,412,0 70,0,2024-09-07 09:20:21:533,105197,1.2,105312,1.1,211805,1.1,280363,2.75 70,1,2024-09-07 09:20:20:800,724685,724685,0,0,340179659288,3532363507,718713,5311,661,366,391725,0 70,2,2024-09-07 09:20:21:325,523539,523539,0,0,24077744,0,4323 70,3,2024-09-07 09:20:20:745,1,412,1,0,854,4877,412,0 71,0,2024-09-07 09:20:21:358,100416,0.8,100113,1.0,200990,0.9,268672,2.75 71,1,2024-09-07 09:20:21:597,723731,723731,0,0,339186000789,3540354265,715304,7624,803,368,391738,0 71,2,2024-09-07 09:20:21:067,519060,519060,0,0,23894655,0,4352 71,3,2024-09-07 09:20:21:750,1,412,0,0,644,5238,412,0 72,0,2024-09-07 09:20:21:060,103795,0.5,101484,0.7,197874,0.5,268429,2.00 72,1,2024-09-07 09:20:21:040,722580,722580,0,0,338390039993,3553797979,711722,8849,2009,369,391819,0 72,2,2024-09-07 09:20:21:761,518556,518556,0,0,26247572,0,3983 72,3,2024-09-07 09:20:21:757,1,412,0,0,564,6328,412,0 73,0,2024-09-07 09:20:21:149,100535,0.5,103093,0.7,210947,0.4,274394,2.00 73,1,2024-09-07 09:20:20:778,724249,724249,0,0,339813056604,3531870170,719008,4832,409,367,391858,0 73,2,2024-09-07 09:20:21:750,521463,521463,0,0,25730295,0,3701 73,3,2024-09-07 09:20:20:991,1,412,0,0,486,5630,412,0 74,0,2024-09-07 09:20:21:358,108732,0.6,111090,0.7,211964,0.5,287729,2.25 74,1,2024-09-07 09:20:20:648,723300,723300,0,0,338512102505,3537727785,715337,6368,1595,381,391762,0 74,2,2024-09-07 09:20:21:003,521328,521328,0,0,23751749,0,4253 74,3,2024-09-07 09:20:21:450,1,412,7,0,522,5517,412,0 75,0,2024-09-07 09:20:21:766,104112,0.5,103443,0.8,207544,0.5,277101,2.25 75,1,2024-09-07 09:20:21:585,723842,723842,0,0,339458480715,3542972778,717021,5993,828,380,391739,0 75,2,2024-09-07 09:20:21:350,518803,518803,0,0,25889290,0,4766 75,3,2024-09-07 09:20:21:068,1,412,2,0,702,5267,412,0 76,0,2024-09-07 09:20:20:607,100292,0.5,99613,0.7,199971,0.5,267324,2.25 76,1,2024-09-07 09:20:20:805,723601,723601,0,0,339202640695,3541536381,718178,4598,825,382,391692,0 76,2,2024-09-07 09:20:21:060,522357,522356,1,0,23106656,0,5144 76,3,2024-09-07 09:20:21:154,1,412,1,0,175,3858,412,0 77,0,2024-09-07 09:20:21:751,100770,0.6,101277,0.7,202357,0.6,268551,2.00 77,1,2024-09-07 09:20:20:846,724246,724246,0,0,339765823483,3548259379,718436,5309,501,381,391869,0 77,2,2024-09-07 09:20:21:286,521169,521169,0,0,22566284,0,3890 77,3,2024-09-07 09:20:21:095,1,412,1,0,401,4740,412,0 78,0,2024-09-07 09:20:21:742,107893,0.5,107218,0.7,215407,0.4,286210,2.00 78,1,2024-09-07 09:20:20:610,724185,724185,0,0,338642528283,3531686049,716322,6296,1567,367,391670,0 78,2,2024-09-07 09:20:21:429,520982,520969,13,0,21290188,0,8313 78,3,2024-09-07 09:20:21:138,1,412,8,0,181,3692,412,0 79,0,2024-09-07 09:20:21:352,101455,0.4,103818,0.6,212826,0.4,276845,2.25 79,1,2024-09-07 09:20:20:571,726058,726058,0,0,339733262311,3524981885,719489,5261,1308,367,391682,0 79,2,2024-09-07 09:20:21:068,523798,523798,0,0,20975195,0,4195 79,3,2024-09-07 09:20:20:767,1,412,6,0,418,5341,412,0 80,0,2024-09-07 09:20:21:081,99410,0.5,102397,0.6,195938,0.5,264328,2.00 80,1,2024-09-07 09:20:21:630,723727,723727,0,0,340297297047,3547395189,718177,5129,421,368,392269,0 80,2,2024-09-07 09:20:21:096,522093,522093,0,0,21077481,0,4433 80,3,2024-09-07 09:20:20:623,1,412,2,0,190,4865,412,0 81,0,2024-09-07 09:20:21:548,99589,0.5,102048,0.7,194791,0.5,264472,2.00 81,1,2024-09-07 09:20:21:655,722878,722878,0,0,338821752705,3543117182,716882,5457,539,382,391879,0 81,2,2024-09-07 09:20:21:125,519057,518994,63,0,23324885,0,5932 81,3,2024-09-07 09:20:21:123,1,412,1,0,719,5056,412,0 82,0,2024-09-07 09:20:21:531,105874,0.5,106180,0.7,212947,0.5,282550,2.00 82,1,2024-09-07 09:20:20:584,725070,725066,0,4,339741630952,3536932669,720373,3875,818,381,391768,4 82,2,2024-09-07 09:20:21:698,521415,521415,0,0,19874458,0,4484 82,3,2024-09-07 09:20:21:753,1,412,3,0,363,4550,412,0 83,0,2024-09-07 09:20:21:535,105494,0.7,105453,0.8,210077,0.7,279444,2.25 83,1,2024-09-07 09:20:20:558,723267,723267,0,0,339810860184,3546750539,717744,5073,450,382,391709,0 83,2,2024-09-07 09:20:20:767,524328,524328,0,0,20689068,0,3393 83,3,2024-09-07 09:20:20:751,1,412,1,0,1260,5479,412,0 84,0,2024-09-07 09:20:21:766,99967,0.7,100016,0.8,199369,0.5,266947,2.25 84,1,2024-09-07 09:20:21:039,722830,722830,0,0,339103881174,3544450327,715164,6624,1042,367,391967,0 84,2,2024-09-07 09:20:20:572,518678,518648,30,0,27516363,0,5971 84,3,2024-09-07 09:20:21:155,1,412,2,0,908,6326,412,0 85,0,2024-09-07 09:20:21:002,96212,0.6,96217,0.8,204214,0.5,263712,2.25 85,1,2024-09-07 09:20:20:561,721232,721232,0,0,337942323707,3567868902,710438,8877,1917,381,392006,0 85,2,2024-09-07 09:20:20:868,518546,518546,0,0,25442179,0,3656 85,3,2024-09-07 09:20:20:684,1,412,1,0,789,5181,412,0 86,0,2024-09-07 09:20:20:892,104016,0.7,107069,0.8,204922,0.7,277684,2.25 86,1,2024-09-07 09:20:20:828,723225,723225,0,0,339826316962,3560755571,714570,7216,1439,366,391961,0 86,2,2024-09-07 09:20:20:865,519238,519237,1,0,27578917,0,5004 86,3,2024-09-07 09:20:20:588,1,412,0,0,308,6021,412,0 87,0,2024-09-07 09:20:21:335,107008,1.1,106876,0.9,213911,1.4,285445,2.50 87,1,2024-09-07 09:20:20:559,721856,721856,0,0,339685333223,3562819953,711964,8302,1590,366,392076,0 87,2,2024-09-07 09:20:21:067,521724,521718,6,0,24644342,0,6323 87,3,2024-09-07 09:20:21:832,1,412,1,0,473,6567,412,0 88,0,2024-09-07 09:20:21:445,102593,0.4,103316,0.6,205588,0.4,273526,1.75 88,1,2024-09-07 09:20:20:586,721387,721387,0,0,339353196247,3557900924,711690,7690,2007,365,392084,0 88,2,2024-09-07 09:20:20:689,519726,519726,0,0,26621798,0,4465 88,3,2024-09-07 09:20:21:274,1,412,0,0,435,4765,412,0 89,0,2024-09-07 09:20:21:765,104132,0.4,100856,0.6,199566,0.4,270876,1.75 89,1,2024-09-07 09:20:20:573,721807,721807,0,0,338666245592,3562905630,712642,7739,1426,382,391866,0 89,2,2024-09-07 09:20:21:139,518698,518698,0,0,25081539,0,3173 89,3,2024-09-07 09:20:21:791,1,412,2,0,468,7438,412,0 90,0,2024-09-07 09:20:21:627,99114,0.5,101665,0.6,207517,0.4,271390,2.00 90,1,2024-09-07 09:20:20:599,722645,722645,0,0,339430950593,3555678647,715886,6249,510,380,391825,0 90,2,2024-09-07 09:20:21:432,520128,520128,0,0,26581133,0,3060 90,3,2024-09-07 09:20:20:929,1,412,1,0,322,5198,412,0 91,0,2024-09-07 09:20:20:965,108512,0.5,105096,0.7,219546,0.5,288082,2.00 91,1,2024-09-07 09:20:20:564,721697,721697,0,0,338980569075,3566841133,712448,7847,1402,381,392047,0 91,2,2024-09-07 09:20:21:339,521699,521699,0,0,23588424,0,2896 91,3,2024-09-07 09:20:20:599,1,412,3,0,216,4180,412,0 92,0,2024-09-07 09:20:21:450,105816,0.5,108497,0.6,207142,0.5,280629,1.75 92,1,2024-09-07 09:20:20:580,723462,723462,0,0,338781877390,3540490112,717936,4802,724,382,392136,0 92,2,2024-09-07 09:20:21:369,522579,522579,0,0,21257504,0,3259 92,3,2024-09-07 09:20:21:010,1,412,4,0,167,3717,412,0 93,0,2024-09-07 09:20:20:956,100712,0.4,103226,0.5,196996,0.3,266971,1.75 93,1,2024-09-07 09:20:20:820,722866,722866,0,0,339805829387,3550778239,714741,6743,1382,366,391776,0 93,2,2024-09-07 09:20:20:927,519636,519636,0,0,25720411,0,4845 93,3,2024-09-07 09:20:21:412,1,412,3,0,190,3711,412,0 94,0,2024-09-07 09:20:21:621,100155,0.4,100890,0.5,201620,0.3,267941,1.75 94,1,2024-09-07 09:20:20:575,723924,723924,0,0,339448383116,3543265466,719141,4574,209,381,391850,0 94,2,2024-09-07 09:20:20:774,520344,520344,0,0,21554457,0,2443 94,3,2024-09-07 09:20:21:693,1,412,37,0,576,5631,412,0 95,0,2024-09-07 09:20:21:362,106169,0.4,106015,0.5,212734,0.3,283523,1.75 95,1,2024-09-07 09:20:20:858,724611,724611,0,0,340023132160,3537047250,718576,5599,436,365,391786,0 95,2,2024-09-07 09:20:21:016,519891,519891,0,0,21309150,0,3308 95,3,2024-09-07 09:20:21:711,1,412,1,0,718,6120,412,0 96,0,2024-09-07 09:20:21:055,105867,0.4,106265,0.5,211970,0.3,281488,1.75 96,1,2024-09-07 09:20:21:595,723476,723476,0,0,339856462130,3548802536,717939,4654,883,384,391955,0 96,2,2024-09-07 09:20:21:272,524595,524595,0,0,22295035,0,4180 96,3,2024-09-07 09:20:21:152,1,412,0,0,411,4748,412,0 97,0,2024-09-07 09:20:21:312,99764,0.3,99330,0.5,199384,0.2,265057,1.50 97,1,2024-09-07 09:20:20:800,725101,725101,0,0,341296148829,3543160735,719964,4207,930,367,392140,0 97,2,2024-09-07 09:20:20:609,520420,520420,0,0,21236231,0,3679 97,3,2024-09-07 09:20:20:569,1,412,0,0,242,4804,412,0 98,0,2024-09-07 09:20:21:714,99531,0.3,99557,0.4,199880,0.2,265721,1.50 98,1,2024-09-07 09:20:20:630,723565,723565,0,0,339974658808,3544646922,718619,4156,790,382,391997,0 98,2,2024-09-07 09:20:20:773,519972,519972,0,0,21524659,0,4336 98,3,2024-09-07 09:20:20:702,1,412,1,0,840,7057,412,0 99,0,2024-09-07 09:20:21:453,105511,0.3,106210,0.5,211026,0.3,282304,1.75 99,1,2024-09-07 09:20:21:728,724262,724262,0,0,339238230705,3533133995,719327,4098,837,381,392069,0 99,2,2024-09-07 09:20:21:419,521625,521625,0,0,25302550,0,4276 99,3,2024-09-07 09:20:20:597,1,412,3,0,606,4637,412,0 100,0,2024-09-07 09:20:21:465,106070,0.9,106200,1.0,211738,1.1,283012,2.50 100,1,2024-09-07 09:20:20:558,720605,720605,0,0,337734666078,3569241483,709909,8652,2044,381,391989,0 100,2,2024-09-07 09:20:21:846,521948,521937,11,0,24656448,0,5417 100,3,2024-09-07 09:20:21:730,1,412,16,0,559,6846,412,0 101,0,2024-09-07 09:20:21:726,103434,0.9,100694,1.0,197782,0.8,270680,2.25 101,1,2024-09-07 09:20:20:559,720877,720877,0,0,338182504037,3559967236,710214,8542,2121,368,391847,0 101,2,2024-09-07 09:20:21:759,515861,515861,0,0,29253230,0,4871 101,3,2024-09-07 09:20:20:949,1,412,1,0,579,5845,412,0 102,0,2024-09-07 09:20:20:953,97915,0.6,100978,0.7,204663,0.6,267357,2.00 102,1,2024-09-07 09:20:21:143,721467,721467,0,0,338767590072,3561838587,711611,8094,1762,369,391891,0 102,2,2024-09-07 09:20:21:738,519699,519645,54,0,23566217,0,6768 102,3,2024-09-07 09:20:21:620,1,412,7,0,466,4620,412,0 103,0,2024-09-07 09:20:21:602,106533,0.6,106491,0.7,200750,0.6,276596,2.00 103,1,2024-09-07 09:20:21:634,720360,720360,0,0,338780049406,3579474912,708436,8858,3066,381,391829,0 103,2,2024-09-07 09:20:20:600,519967,519967,0,0,26037158,0,3766 103,3,2024-09-07 09:20:20:767,1,412,1,0,916,4644,412,0 104,0,2024-09-07 09:20:21:007,106850,0.8,107176,1.0,213186,0.8,285829,2.50 104,1,2024-09-07 09:20:21:598,722530,722530,0,0,338756125363,3561379349,711700,8968,1862,365,392168,0 104,2,2024-09-07 09:20:21:676,520681,520681,0,0,25317627,0,3941 104,3,2024-09-07 09:20:21:422,1,412,1,0,1245,8493,412,0 105,0,2024-09-07 09:20:21:038,102472,0.8,99741,1.1,209023,0.9,275228,2.50 105,1,2024-09-07 09:20:20:563,723073,723073,0,0,339090362251,3555909341,713649,8001,1423,366,392009,0 105,2,2024-09-07 09:20:21:323,519189,519189,0,0,25073390,0,3509 105,3,2024-09-07 09:20:21:304,1,412,1,0,399,6516,412,0 106,0,2024-09-07 09:20:20:960,97302,0.7,99673,0.9,204036,0.7,267088,2.50 106,1,2024-09-07 09:20:21:752,721777,721777,0,0,338569833117,3558234457,710766,9566,1445,369,391914,0 106,2,2024-09-07 09:20:20:778,519406,519406,0,0,24439520,0,2920 106,3,2024-09-07 09:20:20:678,1,412,2,0,470,5398,412,0 107,0,2024-09-07 09:20:21:145,100943,1.0,101295,0.9,202007,1.2,269544,2.25 107,1,2024-09-07 09:20:20:592,721163,721163,0,0,338039847110,3565329723,711006,9107,1050,381,392234,0 107,2,2024-09-07 09:20:21:296,519772,519771,1,0,25383562,0,5024 107,3,2024-09-07 09:20:21:776,1,412,1,0,370,6410,412,0 108,0,2024-09-07 09:20:21:973,107217,0.5,108073,0.6,214859,0.5,287351,1.75 108,1,2024-09-07 09:20:21:322,723621,723621,0,0,339876766487,3547161987,717484,5393,744,368,391857,0 108,2,2024-09-07 09:20:21:800,519162,519162,0,0,23974357,0,4246 108,3,2024-09-07 09:20:21:339,1,412,5,0,749,7914,412,0 109,0,2024-09-07 09:20:21:760,105857,0.4,104988,0.6,209880,0.4,281005,1.75 109,1,2024-09-07 09:20:20:593,721093,721093,0,0,339059410991,3562096723,713702,6207,1184,382,392132,0 109,2,2024-09-07 09:20:20:924,520756,520756,0,0,23531908,0,3617 109,3,2024-09-07 09:20:21:157,1,412,4,0,379,4881,412,0 110,0,2024-09-07 09:20:21:760,99300,0.4,96812,0.6,202920,0.3,265144,1.75 110,1,2024-09-07 09:20:21:672,724871,724871,0,0,340327744706,3535075546,719486,4208,1177,369,392045,0 110,2,2024-09-07 09:20:21:303,520501,520501,0,0,22418876,0,4067 110,3,2024-09-07 09:20:20:692,1,412,5,0,722,5916,412,0 111,0,2024-09-07 09:20:21:431,100128,0.4,99229,0.5,199119,0.3,265897,1.75 111,1,2024-09-07 09:20:21:002,725005,725005,0,0,341260111015,3541256890,721126,3524,355,380,391690,0 111,2,2024-09-07 09:20:21:117,519500,519500,0,0,22213628,0,4823 111,3,2024-09-07 09:20:20:913,1,412,0,0,379,5031,412,0 112,0,2024-09-07 09:20:20:911,106768,0.3,106448,0.4,213024,0.2,283551,1.50 112,1,2024-09-07 09:20:20:824,725254,725254,0,0,340498435319,3535799250,720542,4099,613,380,391624,0 112,2,2024-09-07 09:20:21:139,520326,520325,1,0,21345719,0,5036 112,3,2024-09-07 09:20:20:598,1,412,1,0,282,4126,412,0 113,0,2024-09-07 09:20:20:868,105497,0.3,105612,0.5,211564,0.3,281519,1.75 113,1,2024-09-07 09:20:21:689,727233,727233,0,0,340377227577,3513939313,723346,3298,589,366,391661,0 113,2,2024-09-07 09:20:21:302,525733,525733,0,0,19784398,0,3813 113,3,2024-09-07 09:20:20:702,1,412,11,0,340,4707,412,0 114,0,2024-09-07 09:20:20:876,100948,0.3,101589,0.5,201932,0.2,269530,1.75 114,1,2024-09-07 09:20:20:725,724454,724454,0,0,340313895005,3538222742,718177,4661,1616,381,391565,0 114,2,2024-09-07 09:20:20:877,520263,520262,1,0,20708148,0,5069 114,3,2024-09-07 09:20:21:279,1,412,0,0,395,3489,412,0 115,0,2024-09-07 09:20:20:557,99925,0.3,100492,0.4,200472,0.2,266208,1.50 115,1,2024-09-07 09:20:20:571,724759,724759,0,0,340404948428,3541338390,718273,5220,1266,382,391757,0 115,2,2024-09-07 09:20:21:130,521263,521263,0,0,20573469,0,4382 115,3,2024-09-07 09:20:21:002,1,412,1,0,159,2372,412,0 116,0,2024-09-07 09:20:21:702,103797,0.8,103759,0.9,208105,0.8,278944,2.00 116,1,2024-09-07 09:20:20:804,721286,721286,0,0,338744484592,3570011684,712706,6256,2324,380,392089,0 116,2,2024-09-07 09:20:21:754,520585,520585,0,0,25879328,0,4128 116,3,2024-09-07 09:20:20:913,1,412,21,0,415,4941,412,0 117,0,2024-09-07 09:20:20:962,107492,0.8,106991,0.9,214203,1.0,285825,2.00 117,1,2024-09-07 09:20:21:578,722709,722709,0,0,338558125994,3544483566,714982,6814,913,369,392033,0 117,2,2024-09-07 09:20:21:124,525592,525592,0,0,22263929,0,4303 117,3,2024-09-07 09:20:21:059,1,412,3,0,490,6455,412,0 118,0,2024-09-07 09:20:21:782,99759,0.5,102221,0.7,208858,0.4,272486,2.00 118,1,2024-09-07 09:20:20:585,722361,722361,0,0,338496169583,3564642219,710477,8886,2998,366,391907,0 118,2,2024-09-07 09:20:21:611,519106,519106,0,0,24214138,0,2842 118,3,2024-09-07 09:20:21:768,1,412,0,0,248,4800,412,0 119,0,2024-09-07 09:20:21:343,100888,0.6,101305,0.7,202572,0.5,269250,2.00 119,1,2024-09-07 09:20:20:549,722491,722491,0,0,339778886195,3557669137,714020,7356,1115,367,391780,0 119,2,2024-09-07 09:20:21:265,520663,520663,0,0,22816551,0,4174 119,3,2024-09-07 09:20:21:338,1,412,2,0,1358,8405,412,0 120,0,2024-09-07 09:20:21:552,101388,0.7,101168,0.9,202935,0.7,271655,2.50 120,1,2024-09-07 09:20:20:866,722927,722927,0,0,338599349734,3556775319,714569,7589,769,368,391961,0 120,2,2024-09-07 09:20:20:773,520748,520747,1,0,26526252,0,5281 120,3,2024-09-07 09:20:21:297,1,412,0,0,279,5178,412,0 121,0,2024-09-07 09:20:21:695,107768,1.5,107491,1.2,215761,2.1,287301,2.50 121,1,2024-09-07 09:20:21:655,723452,723452,0,0,339401139242,3550154502,716743,6251,458,367,391840,0 121,2,2024-09-07 09:20:21:125,520186,520186,0,0,25509854,0,4127 121,3,2024-09-07 09:20:20:731,1,412,4,0,269,4616,412,0 122,0,2024-09-07 09:20:21:766,104435,0.8,101830,0.9,213654,0.9,280381,2.00 122,1,2024-09-07 09:20:20:869,721571,721571,0,0,338727696129,3558385316,711145,8825,1601,366,392130,0 122,2,2024-09-07 09:20:21:321,521159,521086,73,0,28271606,0,5989 122,3,2024-09-07 09:20:20:599,1,412,0,0,512,7647,412,0 123,0,2024-09-07 09:20:20:970,99691,0.6,97301,0.7,203535,0.6,266372,2.00 123,1,2024-09-07 09:20:20:576,721593,721593,0,0,339150907216,3577160258,708059,11094,2440,369,392039,0 123,2,2024-09-07 09:20:21:028,518730,518729,1,0,23861081,0,5215 123,3,2024-09-07 09:20:21:139,1,412,1,0,478,4959,412,0 124,0,2024-09-07 09:20:20:925,103472,0.3,103521,0.5,195048,0.3,268605,1.75 124,1,2024-09-07 09:20:21:022,724562,724562,0,0,339876675659,3535397300,719288,4225,1049,367,392178,0 124,2,2024-09-07 09:20:21:011,521739,521686,53,0,21775899,0,6487 124,3,2024-09-07 09:20:20:766,1,412,1,0,490,4076,412,0 125,0,2024-09-07 09:20:21:423,106338,0.4,105934,0.6,212883,0.4,283821,1.75 125,1,2024-09-07 09:20:20:868,722689,722689,0,0,339106815759,3543642377,716793,5228,668,382,391702,0 125,2,2024-09-07 09:20:21:115,520732,520732,0,0,22501210,0,4534 125,3,2024-09-07 09:20:21:126,1,412,19,0,709,5356,412,0 126,0,2024-09-07 09:20:21:495,105807,0.4,108946,0.6,208455,0.4,282216,1.75 126,1,2024-09-07 09:20:20:558,725221,725221,0,0,340928603275,3533201826,721223,3705,293,365,391987,0 126,2,2024-09-07 09:20:20:631,524881,524881,0,0,23284216,0,4539 126,3,2024-09-07 09:20:20:909,1,412,2,0,268,5220,412,0 127,0,2024-09-07 09:20:21:600,99608,0.3,100075,0.5,199657,0.3,265083,1.75 127,1,2024-09-07 09:20:20:574,724649,724649,0,0,339835215572,3537669068,717316,6114,1219,364,392187,0 127,2,2024-09-07 09:20:20:648,519363,519363,0,0,20973991,0,3897 127,3,2024-09-07 09:20:21:273,1,412,2,0,968,4563,412,0 128,0,2024-09-07 09:20:21:531,100181,0.3,100370,0.4,200188,0.2,266233,1.50 128,1,2024-09-07 09:20:21:614,724177,724177,0,0,340452074050,3536142839,719285,4459,433,367,392031,0 128,2,2024-09-07 09:20:21:383,520645,520645,0,0,20290386,0,3171 128,3,2024-09-07 09:20:20:767,1,412,7,0,1082,6594,412,0 129,0,2024-09-07 09:20:20:990,106363,0.3,105781,0.5,212164,0.3,282660,1.75 129,1,2024-09-07 09:20:20:574,721478,721478,0,0,338785748801,3553284120,713843,5966,1669,379,391962,0 129,2,2024-09-07 09:20:20:686,521575,521575,0,0,21733216,0,4031 129,3,2024-09-07 09:20:20:688,1,412,1,0,506,5627,412,0 130,0,2024-09-07 09:20:21:754,107068,0.6,106431,0.6,214353,0.6,284446,1.75 130,1,2024-09-07 09:20:20:601,724651,724651,0,0,340116625993,3536579576,720621,3691,339,381,391825,0 130,2,2024-09-07 09:20:21:130,525360,525360,0,0,22239439,0,4067 130,3,2024-09-07 09:20:21:294,1,412,2,0,960,6669,412,0 131,0,2024-09-07 09:20:21:925,101136,0.3,101660,0.5,204317,0.3,270583,1.75 131,1,2024-09-07 09:20:21:840,724138,724138,0,0,339411966676,3547827044,718184,4906,1048,381,391865,0 131,2,2024-09-07 09:20:20:584,521769,521769,0,0,20272572,0,3979 131,3,2024-09-07 09:20:21:690,1,412,175,0,392,4660,412,0 132,0,2024-09-07 09:20:21:410,100471,0.4,101543,0.6,201943,0.4,268003,1.75 132,1,2024-09-07 09:20:20:578,720769,720769,0,0,338577626265,3568298623,709653,9012,2104,381,392097,0 132,2,2024-09-07 09:20:20:698,519163,519146,17,0,26457485,0,6451 132,3,2024-09-07 09:20:21:697,1,412,1,0,804,7404,412,0 133,0,2024-09-07 09:20:21:518,100539,0.4,102873,0.6,211089,0.4,274963,2.00 133,1,2024-09-07 09:20:20:592,720842,720842,0,0,338580334376,3571191903,710304,9023,1515,383,391914,0 133,2,2024-09-07 09:20:21:087,520997,520947,50,0,27977400,0,6861 133,3,2024-09-07 09:20:21:298,1,412,1,0,479,4172,412,0 134,0,2024-09-07 09:20:20:977,108357,0.6,107974,0.8,216152,0.6,288103,2.25 134,1,2024-09-07 09:20:20:599,722067,722067,0,0,338870628127,3556861290,712286,7747,2034,366,391718,0 134,2,2024-09-07 09:20:21:759,520459,520435,24,0,25543703,0,6207 134,3,2024-09-07 09:20:20:772,1,412,7,0,739,5382,412,0 135,0,2024-09-07 09:20:21:114,100504,0.8,100479,0.9,213224,0.9,274715,2.25 135,1,2024-09-07 09:20:21:597,722120,722120,0,0,339353937210,3572421946,712168,8581,1371,380,391805,0 135,2,2024-09-07 09:20:20:711,520752,520752,0,0,24606284,0,3981 135,3,2024-09-07 09:20:21:002,1,412,1,0,299,3452,412,0 136,0,2024-09-07 09:20:21:621,101127,0.5,101266,0.7,201551,0.5,269141,2.00 136,1,2024-09-07 09:20:21:446,722486,722486,0,0,339477600101,3562847070,713927,7597,962,382,391685,0 136,2,2024-09-07 09:20:21:153,521464,521464,0,0,23840153,0,3506 136,3,2024-09-07 09:20:21:111,1,412,4,0,637,4849,412,0 137,0,2024-09-07 09:20:20:934,104330,0.6,101553,0.7,199286,0.7,270773,2.00 137,1,2024-09-07 09:20:20:577,721373,721373,0,0,338266153324,3553051124,709866,9148,2359,366,391898,0 137,2,2024-09-07 09:20:21:707,520010,520010,0,0,26503332,0,3185 137,3,2024-09-07 09:20:20:772,1,412,6,0,484,5192,412,0 138,0,2024-09-07 09:20:21:755,107102,1.1,107070,1.0,214900,1.4,286180,2.25 138,1,2024-09-07 09:20:21:694,722569,722569,0,0,339600908516,3564522725,712334,8514,1721,368,391954,0 138,2,2024-09-07 09:20:20:592,519750,519750,0,0,24106889,0,4988 138,3,2024-09-07 09:20:20:616,1,412,2,0,1160,5615,412,0 139,0,2024-09-07 09:20:21:415,104284,1.6,104338,1.1,209127,2.3,279371,2.50 139,1,2024-09-07 09:20:20:573,718777,718777,0,0,336874667063,3583758667,704416,11056,3305,380,392058,0 139,2,2024-09-07 09:20:20:698,518689,518689,0,0,27502251,0,3097 139,3,2024-09-07 09:20:21:685,1,412,1,0,432,4945,412,0 140,0,2024-09-07 09:20:21:586,99964,0.3,99359,0.5,199485,0.2,265492,1.75 140,1,2024-09-07 09:20:21:538,726767,726767,0,0,340974899381,3517600286,723206,3120,441,365,391606,0 140,2,2024-09-07 09:20:20:687,520877,520876,1,0,21216322,0,5036 140,3,2024-09-07 09:20:20:767,1,412,1,0,297,3565,412,0 141,0,2024-09-07 09:20:21:703,99872,0.3,102561,0.5,196043,0.3,265821,1.75 141,1,2024-09-07 09:20:20:865,724630,724630,0,0,340579137161,3539977588,718951,4723,956,379,391614,0 141,2,2024-09-07 09:20:21:688,520769,520769,0,0,20941438,0,3360 141,3,2024-09-07 09:20:21:043,1,412,1,0,391,4868,412,0 142,0,2024-09-07 09:20:21:307,106862,0.3,106336,0.5,212458,0.3,284137,1.75 142,1,2024-09-07 09:20:20:584,723997,723997,0,0,340024761927,3546374212,719317,4283,397,382,392102,0 142,2,2024-09-07 09:20:21:300,519515,519483,32,0,23068377,0,6028 142,3,2024-09-07 09:20:21:755,1,412,1,0,484,4788,412,0 143,0,2024-09-07 09:20:21:371,105799,0.5,105732,0.6,211826,0.5,281503,1.75 143,1,2024-09-07 09:20:20:568,725277,725277,0,0,339804655923,3529488357,720239,4494,544,367,391705,0 143,2,2024-09-07 09:20:20:780,524516,524516,0,0,22130843,0,3123 143,3,2024-09-07 09:20:21:154,1,412,1,0,462,5257,412,0 144,0,2024-09-07 09:20:21:513,97468,0.6,100164,0.8,204249,0.5,267354,2.00 144,1,2024-09-07 09:20:20:575,721392,721392,0,0,337961149759,3550943185,713683,5875,1834,381,391649,0 144,2,2024-09-07 09:20:21:755,520876,520876,0,0,20744861,0,3673 144,3,2024-09-07 09:20:21:744,1,412,5,0,249,4281,412,0 145,0,2024-09-07 09:20:21:363,96544,0.5,96551,0.8,204961,0.5,263360,2.25 145,1,2024-09-07 09:20:20:566,721217,721217,0,0,338161400322,3559128705,711609,7946,1662,382,391759,0 145,2,2024-09-07 09:20:21:434,518046,517965,81,0,25047729,0,7814 145,3,2024-09-07 09:20:20:908,1,412,2,0,622,5960,412,0 146,0,2024-09-07 09:20:21:592,104155,0.6,103483,0.8,208785,0.6,277381,2.25 146,1,2024-09-07 09:20:21:593,722750,722750,0,0,338647795641,3558703363,711806,8824,2120,367,391770,0 146,2,2024-09-07 09:20:21:699,519748,519748,0,0,24519104,0,3290 146,3,2024-09-07 09:20:21:278,1,412,1,0,1520,7963,412,0 147,0,2024-09-07 09:20:21:722,107343,0.7,107168,0.8,213670,0.7,285384,2.25 147,1,2024-09-07 09:20:21:372,725745,725745,0,0,340138452134,3540592622,719112,5788,845,368,391791,0 147,2,2024-09-07 09:20:21:010,522826,522826,0,0,22156182,0,2968 147,3,2024-09-07 09:20:20:912,1,412,0,0,1626,7337,412,0 0,0,2024-09-07 09:20:31:721,99483,0.6,99514,0.8,211206,0.7,273299,2.00 0,1,2024-09-07 09:20:30:804,724525,724525,0,0,340363192175,3563688683,719085,5053,387,369,391896,0 0,2,2024-09-07 09:20:31:080,524197,524197,0,0,21450243,0,4480 0,3,2024-09-07 09:20:30:981,1,413,1,0,431,6289,413,0 1,0,2024-09-07 09:20:31:802,108112,1.2,107414,1.1,215449,1.7,287927,2.25 1,1,2024-09-07 09:20:30:569,724023,724023,0,0,339526102736,3556288414,717599,5098,1326,370,391857,0 1,2,2024-09-07 09:20:30:644,521792,521792,0,0,21775393,0,3380 1,3,2024-09-07 09:20:31:310,1,413,18,0,268,5187,413,0 2,0,2024-09-07 09:20:31:573,104742,0.6,105082,0.8,209362,0.7,279391,2.00 2,1,2024-09-07 09:20:30:862,726705,726705,0,0,341175439045,3542987626,723422,2988,295,380,391745,0 2,2,2024-09-07 09:20:31:266,522606,522606,0,0,20477998,0,3594 2,3,2024-09-07 09:20:30:690,1,413,1,0,357,4103,413,0 3,0,2024-09-07 09:20:31:744,100349,0.4,100193,0.6,200335,0.3,266944,2.00 3,1,2024-09-07 09:20:31:627,725197,725197,0,0,340062622055,3543907058,718978,5529,690,380,391716,0 3,2,2024-09-07 09:20:31:154,521796,521773,23,0,21535427,0,5851 3,3,2024-09-07 09:20:31:754,1,413,0,0,207,2817,413,0 4,0,2024-09-07 09:20:31:812,97851,0.4,100509,0.5,205001,0.3,268416,1.75 4,1,2024-09-07 09:20:30:596,722708,722708,0,0,338087732985,3575448567,710910,9292,2506,370,391992,0 4,2,2024-09-07 09:20:31:027,520451,520451,0,0,24310004,0,4528 4,3,2024-09-07 09:20:31:027,1,413,2,0,448,5467,413,0 5,0,2024-09-07 09:20:31:463,106451,0.4,106993,0.6,213690,0.4,284372,1.75 5,1,2024-09-07 09:20:30:758,723860,723860,0,0,339457558554,3575306296,713505,8198,2157,367,392005,0 5,2,2024-09-07 09:20:31:831,519121,519121,0,0,23924879,0,3582 5,3,2024-09-07 09:20:31:732,1,413,7,0,457,5956,413,0 6,0,2024-09-07 09:20:30:920,106272,0.5,105902,0.7,211517,0.4,282460,2.00 6,1,2024-09-07 09:20:30:751,724074,724074,0,0,339799864842,3553539604,715873,6809,1392,379,391694,0 6,2,2024-09-07 09:20:31:118,523698,523680,18,0,24405252,0,5535 6,3,2024-09-07 09:20:31:275,1,413,1,0,710,5271,413,0 7,0,2024-09-07 09:20:31:531,99025,0.5,99823,0.6,198822,0.4,264358,2.00 7,1,2024-09-07 09:20:30:857,723755,723755,0,0,339817708518,3566831911,713988,8305,1462,382,391747,0 7,2,2024-09-07 09:20:30:774,521989,521989,0,0,23542734,0,4791 7,3,2024-09-07 09:20:30:850,1,413,1,0,552,4766,413,0 8,0,2024-09-07 09:20:31:428,100203,0.4,99839,0.5,200255,0.3,266872,1.75 8,1,2024-09-07 09:20:31:018,722775,722775,0,0,339350785184,3571434744,711255,8892,2628,366,392853,0 8,2,2024-09-07 09:20:30:795,516455,516455,0,0,27848003,0,3250 8,3,2024-09-07 09:20:30:594,1,413,6,0,538,6941,413,0 9,0,2024-09-07 09:20:31:180,106161,0.4,103104,0.5,215731,0.3,283154,1.75 9,1,2024-09-07 09:20:30:565,722532,722532,0,0,339097933260,3575033000,711484,8627,2421,369,392001,0 9,2,2024-09-07 09:20:31:083,520709,520709,0,0,24770532,0,3360 9,3,2024-09-07 09:20:31:758,1,413,6,0,496,6002,413,0 10,0,2024-09-07 09:20:31:601,106471,0.4,105853,0.6,212696,0.3,282819,1.75 10,1,2024-09-07 09:20:30:594,723969,723969,0,0,340011441340,3567261182,713589,8757,1623,381,391741,0 10,2,2024-09-07 09:20:30:762,524930,524930,0,0,27148673,0,4264 10,3,2024-09-07 09:20:30:872,1,413,1,0,649,4555,413,0 11,0,2024-09-07 09:20:31:021,101321,0.4,98237,0.6,205502,0.4,270832,1.75 11,1,2024-09-07 09:20:30:575,724306,724306,0,0,340324936458,3573511791,712979,8701,2626,383,391756,0 11,2,2024-09-07 09:20:31:143,520064,520064,0,0,23956230,0,4130 11,3,2024-09-07 09:20:31:309,1,413,0,0,843,6184,413,0 12,0,2024-09-07 09:20:30:976,101345,0.4,101381,0.5,202712,0.3,268564,1.75 12,1,2024-09-07 09:20:30:936,724728,724728,0,0,339395305714,3540317258,719187,5040,501,370,391870,0 12,2,2024-09-07 09:20:31:562,521054,521054,0,0,23164420,0,3469 12,3,2024-09-07 09:20:31:085,1,413,0,0,386,6315,413,0 13,0,2024-09-07 09:20:31:412,104444,0.4,104042,0.6,207620,0.4,277278,1.75 13,1,2024-09-07 09:20:31:525,723220,723220,0,0,339302126102,3569700811,715620,5971,1629,382,391740,0 13,2,2024-09-07 09:20:30:598,524467,524467,0,0,21540841,0,3287 13,3,2024-09-07 09:20:31:765,1,413,3,0,522,6214,413,0 14,0,2024-09-07 09:20:30:580,107774,0.5,108837,0.7,215329,0.4,287133,2.00 14,1,2024-09-07 09:20:31:563,728048,728048,0,0,341688747865,3541769521,721832,5508,708,364,391673,0 14,2,2024-09-07 09:20:30:764,523514,523484,30,0,23553634,0,6104 14,3,2024-09-07 09:20:31:122,1,413,1,0,1168,4716,413,0 15,0,2024-09-07 09:20:31:553,103584,0.4,103490,0.7,207335,0.4,275707,2.00 15,1,2024-09-07 09:20:31:608,725459,725459,0,0,340673139379,3550994832,719238,5043,1178,381,391619,0 15,2,2024-09-07 09:20:31:015,523105,523105,0,0,19269284,0,3622 15,3,2024-09-07 09:20:31:543,1,413,0,0,1126,6911,413,0 16,0,2024-09-07 09:20:30:980,101127,0.5,101431,0.7,202438,0.5,269404,2.00 16,1,2024-09-07 09:20:30:613,724963,724963,0,0,340476410088,3562163935,718367,5483,1113,370,391917,0 16,2,2024-09-07 09:20:31:533,521099,521099,0,0,23041333,0,4719 16,3,2024-09-07 09:20:31:160,1,413,3,0,317,5357,413,0 17,0,2024-09-07 09:20:31:854,104514,0.6,101953,0.8,199687,0.7,271480,2.00 17,1,2024-09-07 09:20:30:576,723855,723855,0,0,338864994729,3558332126,716221,6122,1512,368,392075,0 17,2,2024-09-07 09:20:31:685,524749,524749,0,0,22901226,0,3779 17,3,2024-09-07 09:20:30:582,1,413,10,0,518,6783,413,0 18,0,2024-09-07 09:20:30:943,106812,0.7,107284,0.9,213830,0.8,285833,2.25 18,1,2024-09-07 09:20:31:644,726590,726590,0,0,340793857523,3533688850,722612,3599,379,367,391725,0 18,2,2024-09-07 09:20:31:761,524132,524132,0,0,20218738,0,3541 18,3,2024-09-07 09:20:30:901,1,413,1,0,163,3243,413,0 19,0,2024-09-07 09:20:31:538,104894,0.6,105454,0.8,209212,0.6,278664,2.25 19,1,2024-09-07 09:20:30:574,727322,727322,0,0,341502793543,3544454311,721377,5024,921,367,391777,0 19,2,2024-09-07 09:20:31:760,525529,525529,0,0,19229730,0,3988 19,3,2024-09-07 09:20:31:137,1,413,0,0,524,3439,413,0 20,0,2024-09-07 09:20:31:463,99728,0.4,99717,0.6,199278,0.4,265685,2.00 20,1,2024-09-07 09:20:30:614,724489,724489,0,0,340474489745,3561856363,717973,5716,800,369,391922,0 20,2,2024-09-07 09:20:30:968,521399,521399,0,0,22805236,0,3721 20,3,2024-09-07 09:20:30:636,1,413,8,0,414,6126,413,0 21,0,2024-09-07 09:20:31:171,99977,0.5,100063,0.6,199917,0.4,265781,2.00 21,1,2024-09-07 09:20:31:553,722321,722321,0,0,339038569301,3576496674,711474,8373,2474,368,392016,0 21,2,2024-09-07 09:20:31:074,518931,518911,20,0,27803071,0,5617 21,3,2024-09-07 09:20:31:548,1,413,5,0,713,5389,413,0 22,0,2024-09-07 09:20:31:719,106382,0.5,106760,0.7,213430,0.5,283052,2.00 22,1,2024-09-07 09:20:31:045,723754,723754,0,0,339317638052,3568046462,712631,8897,2226,382,391667,0 22,2,2024-09-07 09:20:30:768,519949,519923,26,0,22229965,0,6328 22,3,2024-09-07 09:20:31:075,1,413,1,0,228,3467,413,0 23,0,2024-09-07 09:20:31:368,105348,0.6,104728,0.7,210126,0.5,280226,2.25 23,1,2024-09-07 09:20:31:007,724525,724525,0,0,340095709100,3573625435,711544,8928,4053,365,391690,0 23,2,2024-09-07 09:20:31:100,526643,526643,0,0,21751716,0,3773 23,3,2024-09-07 09:20:31:754,1,413,1,0,720,5522,413,0 24,0,2024-09-07 09:20:30:852,101294,0.4,100995,0.5,202681,0.3,269150,1.75 24,1,2024-09-07 09:20:30:628,723800,723800,0,0,339772811875,3563970120,715812,6397,1591,367,392269,0 24,2,2024-09-07 09:20:31:075,520182,520182,0,0,26177094,0,3607 24,3,2024-09-07 09:20:31:694,1,413,2,0,468,5728,413,0 25,0,2024-09-07 09:20:31:438,102727,0.4,100131,0.6,196233,0.3,267485,1.75 25,1,2024-09-07 09:20:30:565,723098,723098,0,0,339729193906,3582276112,711168,9685,2245,371,391928,0 25,2,2024-09-07 09:20:31:605,519172,519172,0,0,26582255,0,3978 25,3,2024-09-07 09:20:31:000,1,413,4,0,532,4785,413,0 26,0,2024-09-07 09:20:31:721,104691,0.4,102167,0.6,214298,0.3,279444,1.75 26,1,2024-09-07 09:20:31:543,724968,724968,0,0,339844521739,3561281336,714342,9042,1584,380,391748,0 26,2,2024-09-07 09:20:30:862,522791,522791,0,0,26782371,0,2809 26,3,2024-09-07 09:20:31:712,1,413,7,0,796,5139,413,0 27,0,2024-09-07 09:20:31:728,107462,0.5,107555,0.7,213997,0.5,285575,2.25 27,1,2024-09-07 09:20:31:684,726470,726470,0,0,340661883748,3550836430,719216,6353,901,381,391626,0 27,2,2024-09-07 09:20:30:870,522026,521961,65,0,24639317,0,5699 27,3,2024-09-07 09:20:31:017,1,413,0,0,564,4088,413,0 28,0,2024-09-07 09:20:31:551,103076,0.4,102564,0.6,205709,0.3,274066,2.00 28,1,2024-09-07 09:20:30:798,725709,725709,0,0,340676044204,3558790232,718522,5488,1699,383,391698,0 28,2,2024-09-07 09:20:31:763,521204,521204,0,0,22443226,0,2915 28,3,2024-09-07 09:20:31:780,1,413,1,0,502,4641,413,0 29,0,2024-09-07 09:20:31:355,104176,0.3,101496,0.6,199132,0.3,270759,1.75 29,1,2024-09-07 09:20:31:562,728074,728074,0,0,341959529041,3540647853,723213,4126,735,368,391753,0 29,2,2024-09-07 09:20:30:861,520581,520581,0,0,21276237,0,4986 29,3,2024-09-07 09:20:30:973,1,413,0,0,459,4912,413,0 30,0,2024-09-07 09:20:31:539,102182,0.5,99356,0.7,207789,0.5,272253,2.00 30,1,2024-09-07 09:20:30:571,727104,727104,0,0,341163057632,3545954502,721036,5302,766,381,391672,0 30,2,2024-09-07 09:20:31:274,523861,523861,0,0,20859007,0,4192 30,3,2024-09-07 09:20:30:592,1,413,1,0,519,4156,413,0 31,0,2024-09-07 09:20:31:778,107647,0.5,108197,0.7,215867,0.5,287917,2.00 31,1,2024-09-07 09:20:30:570,729876,729876,0,0,342100446786,3508607998,726861,2429,586,356,391712,0 31,2,2024-09-07 09:20:31:275,522376,522376,0,0,22441043,0,3525 31,3,2024-09-07 09:20:31:707,1,413,1,0,220,3709,413,0 32,0,2024-09-07 09:20:31:550,105306,0.3,105954,0.5,210955,0.3,281084,1.75 32,1,2024-09-07 09:20:30:813,726728,726728,0,0,340572687467,3545143455,721617,4430,681,381,391646,0 32,2,2024-09-07 09:20:30:935,523176,523176,0,0,20111538,0,3922 32,3,2024-09-07 09:20:31:022,1,413,1,0,304,3334,413,0 33,0,2024-09-07 09:20:31:530,100527,0.3,100095,0.4,200713,0.2,267415,1.50 33,1,2024-09-07 09:20:30:575,727498,727498,0,0,341206495733,3541482131,720990,5404,1104,369,391730,0 33,2,2024-09-07 09:20:30:758,522368,522333,35,0,22520282,0,7012 33,3,2024-09-07 09:20:30:899,1,413,1,0,329,4120,413,0 34,0,2024-09-07 09:20:30:945,100997,0.3,103977,0.4,198911,0.2,267694,1.75 34,1,2024-09-07 09:20:31:048,728543,728543,0,0,342414682862,3522276527,726807,1725,11,367,391562,0 34,2,2024-09-07 09:20:30:766,522950,522950,0,0,20864840,0,4562 34,3,2024-09-07 09:20:31:688,1,413,1,0,299,3170,413,0 35,0,2024-09-07 09:20:30:864,106063,0.4,106505,0.5,213979,0.3,285120,1.75 35,1,2024-09-07 09:20:31:069,726911,726911,0,0,340365089591,3530135253,722375,3680,856,382,391769,0 35,2,2024-09-07 09:20:31:585,521538,521538,0,0,22426351,0,4055 35,3,2024-09-07 09:20:30:911,1,413,3,0,418,4486,413,0 36,0,2024-09-07 09:20:31:521,106352,0.5,106270,0.7,212438,0.4,282859,2.00 36,1,2024-09-07 09:20:30:585,725458,725458,0,0,340798690992,3561466566,715812,7646,2000,366,391759,0 36,2,2024-09-07 09:20:31:762,524995,524995,0,0,23420613,0,3875 36,3,2024-09-07 09:20:30:869,1,413,1,0,416,6034,413,0 37,0,2024-09-07 09:20:31:377,99291,0.4,99414,0.6,198847,0.4,264833,2.00 37,1,2024-09-07 09:20:30:581,725266,725259,0,7,339788172369,3550364942,716623,6362,2274,365,391573,0 37,2,2024-09-07 09:20:31:145,519598,519583,15,0,23282442,0,5815 37,3,2024-09-07 09:20:31:771,1,413,1,0,888,6311,413,0 38,0,2024-09-07 09:20:31:541,99499,0.4,96565,0.6,201939,0.4,264491,2.00 38,1,2024-09-07 09:20:31:605,726471,726471,0,0,339988916869,3555641480,715932,8378,2161,368,391821,0 38,2,2024-09-07 09:20:30:766,520781,520734,47,0,22835114,0,6710 38,3,2024-09-07 09:20:30:996,1,413,0,0,689,5440,413,0 39,0,2024-09-07 09:20:31:769,108363,0.6,106155,0.7,206631,0.5,282329,2.00 39,1,2024-09-07 09:20:30:717,724499,724499,0,0,339765285261,3565200496,712085,9724,2690,365,391658,0 39,2,2024-09-07 09:20:31:535,522229,522229,0,0,21834175,0,3391 39,3,2024-09-07 09:20:30:717,1,413,7,0,324,4861,413,0 40,0,2024-09-07 09:20:31:523,105224,0.9,105956,1.0,211101,1.0,281566,2.75 40,1,2024-09-07 09:20:30:579,725122,725122,0,0,338918777561,3553336899,714304,8775,2043,368,391668,0 40,2,2024-09-07 09:20:31:319,523985,523984,1,0,25791385,0,5137 40,3,2024-09-07 09:20:31:160,1,413,1,0,1028,5762,413,0 41,0,2024-09-07 09:20:31:044,100811,1.4,103085,1.2,196812,2.2,267455,3.00 41,1,2024-09-07 09:20:30:768,724085,724085,0,0,339963941668,3559094802,714903,8142,1040,369,391742,0 41,2,2024-09-07 09:20:30:760,519235,519235,0,0,24521026,0,4277 41,3,2024-09-07 09:20:31:679,1,413,1,0,366,4203,413,0 42,0,2024-09-07 09:20:31:532,99852,0.8,99895,1.0,199979,0.9,264357,2.75 42,1,2024-09-07 09:20:31:533,722518,722518,0,0,338641564456,3562374848,710557,9930,2031,380,391675,0 42,2,2024-09-07 09:20:31:155,519604,519604,0,0,24851476,0,3790 42,3,2024-09-07 09:20:31:014,1,413,2,0,892,4242,413,0 43,0,2024-09-07 09:20:30:922,102501,0.8,99767,1.0,209033,0.8,274682,2.25 43,1,2024-09-07 09:20:30:576,725449,725449,0,0,340852725850,3564071004,715122,8588,1739,366,391696,0 43,2,2024-09-07 09:20:31:736,523116,523116,0,0,24434146,0,3812 43,3,2024-09-07 09:20:31:749,1,413,1,0,548,5505,413,0 44,0,2024-09-07 09:20:30:868,107898,0.5,108174,0.7,216830,0.5,287715,2.00 44,1,2024-09-07 09:20:30:625,727344,727344,0,0,340994944952,3528174666,720851,5175,1318,356,391809,0 44,2,2024-09-07 09:20:31:267,522404,522404,0,0,20614827,0,4344 44,3,2024-09-07 09:20:31:098,1,413,2,0,817,5017,413,0 45,0,2024-09-07 09:20:31:759,102491,0.5,100126,0.7,209992,0.5,276283,2.00 45,1,2024-09-07 09:20:31:012,726303,726303,0,0,340586589917,3541910917,720646,5084,573,382,391917,0 45,2,2024-09-07 09:20:31:267,522004,522004,0,0,20893976,0,3596 45,3,2024-09-07 09:20:30:935,1,413,1,0,271,3660,413,0 46,0,2024-09-07 09:20:30:951,100549,0.5,100463,0.7,201307,0.4,267065,2.00 46,1,2024-09-07 09:20:30:579,727762,727762,0,0,342009293503,3535032098,723098,4073,591,366,391709,0 46,2,2024-09-07 09:20:30:605,521854,521854,0,0,21079385,0,4443 46,3,2024-09-07 09:20:31:152,1,413,6,0,908,5385,413,0 47,0,2024-09-07 09:20:31:112,101788,0.4,102037,0.6,204466,0.4,270952,2.00 47,1,2024-09-07 09:20:30:578,728511,728511,0,0,341243501979,3529411234,723656,4017,838,366,391641,0 47,2,2024-09-07 09:20:30:912,525039,525039,0,0,20690621,0,4477 47,3,2024-09-07 09:20:31:122,1,413,1,0,600,5174,413,0 48,0,2024-09-07 09:20:31:533,108445,0.3,108241,0.5,215693,0.2,287926,1.75 48,1,2024-09-07 09:20:31:032,726399,726399,0,0,340859264638,3542585133,721690,4253,456,384,391710,0 48,2,2024-09-07 09:20:30:702,521788,521788,0,0,19326405,0,3411 48,3,2024-09-07 09:20:30:763,1,413,0,0,339,3408,413,0 49,0,2024-09-07 09:20:31:717,108182,0.4,106439,0.5,206147,0.3,282622,1.75 49,1,2024-09-07 09:20:31:040,726335,726335,0,0,340282693544,3542854093,721186,3943,1206,382,391809,0 49,2,2024-09-07 09:20:31:800,524093,524093,0,0,21340201,0,4426 49,3,2024-09-07 09:20:31:535,1,413,1,0,992,5040,413,0 50,0,2024-09-07 09:20:31:530,100260,0.3,98627,0.4,199224,0.2,265367,1.75 50,1,2024-09-07 09:20:31:015,728724,728724,0,0,341962985861,3538067061,723801,4349,574,368,391565,0 50,2,2024-09-07 09:20:31:075,521563,521563,0,0,19563767,0,4490 50,3,2024-09-07 09:20:31:292,1,413,0,0,567,4282,413,0 51,0,2024-09-07 09:20:31:687,102733,0.3,100456,0.5,195891,0.2,266979,1.75 51,1,2024-09-07 09:20:31:680,728533,728533,0,0,342390829914,3533023159,724582,2902,1049,365,391706,0 51,2,2024-09-07 09:20:31:321,522507,522507,0,0,18550090,0,3337 51,3,2024-09-07 09:20:31:032,1,413,1,0,678,3478,413,0 52,0,2024-09-07 09:20:31:629,106893,0.5,106698,0.7,213669,0.5,283823,2.00 52,1,2024-09-07 09:20:30:575,725666,725666,0,0,340306364074,3562251916,715340,8973,1353,368,391722,0 52,2,2024-09-07 09:20:31:767,518181,518143,38,0,24645454,0,6742 52,3,2024-09-07 09:20:30:674,1,413,1,0,1782,5809,413,0 53,0,2024-09-07 09:20:31:729,104964,0.7,101921,0.8,213397,0.8,280152,2.25 53,1,2024-09-07 09:20:30:771,723957,723957,0,0,339744974028,3566094785,712054,8944,2959,367,391702,0 53,2,2024-09-07 09:20:31:310,525563,525562,1,0,22386827,0,5455 53,3,2024-09-07 09:20:30:701,1,413,5,0,308,3718,413,0 54,0,2024-09-07 09:20:31:640,99266,0.6,99552,0.8,198317,0.4,265016,2.25 54,1,2024-09-07 09:20:30:581,725656,725656,0,0,340861526596,3544623163,718385,6032,1239,366,391659,0 54,2,2024-09-07 09:20:30:866,521121,521089,32,0,25351132,0,6397 54,3,2024-09-07 09:20:30:764,1,413,5,0,676,6101,413,0 55,0,2024-09-07 09:20:31:760,96714,0.5,99912,0.7,202013,0.5,263358,2.25 55,1,2024-09-07 09:20:30:766,726493,726493,0,0,340087313866,3536213014,718862,6539,1092,365,391731,0 55,2,2024-09-07 09:20:30:740,519963,519907,56,0,24086765,0,7239 55,3,2024-09-07 09:20:30:674,1,413,1,0,304,4296,413,0 56,0,2024-09-07 09:20:31:559,106897,1.4,100807,1.2,207651,2.0,278728,2.75 56,1,2024-09-07 09:20:30:577,721771,721771,0,0,340011394553,3593354984,710270,9128,2373,381,391867,0 56,2,2024-09-07 09:20:31:319,522207,522207,0,0,24261930,0,3567 56,3,2024-09-07 09:20:31:074,1,413,1,0,705,5141,413,0 57,0,2024-09-07 09:20:31:015,105754,1.8,105468,1.3,211665,2.5,283337,3.50 57,1,2024-09-07 09:20:31:003,724791,724791,0,0,339663105879,3562073745,716189,7517,1085,366,392032,0 57,2,2024-09-07 09:20:31:322,524376,524376,0,0,26257736,0,3317 57,3,2024-09-07 09:20:31:750,1,413,6,0,455,4896,413,0 58,0,2024-09-07 09:20:30:556,101250,0.9,98319,1.0,205801,1.0,269583,2.50 58,1,2024-09-07 09:20:30:575,724767,724764,0,3,340608953664,3563589975,714814,8661,1289,367,391603,3 58,2,2024-09-07 09:20:31:083,520376,520376,0,0,24251454,0,2902 58,3,2024-09-07 09:20:31:071,1,413,2,0,1043,4561,413,0 59,0,2024-09-07 09:20:31:764,101052,0.7,100788,0.9,201135,0.8,267125,2.50 59,1,2024-09-07 09:20:30:804,724521,724521,0,0,340731052184,3571499677,714303,8409,1809,369,391653,0 59,2,2024-09-07 09:20:30:585,520597,520597,0,0,24179598,0,3727 59,3,2024-09-07 09:20:31:737,1,413,1,0,1015,5569,413,0 60,0,2024-09-07 09:20:31:725,102399,0.5,102327,0.7,205408,0.5,273260,1.75 60,1,2024-09-07 09:20:30:773,726783,726783,0,0,341378934783,3545662789,721643,4420,720,370,392031,0 60,2,2024-09-07 09:20:31:143,523516,523516,0,0,22718951,0,3811 60,3,2024-09-07 09:20:31:257,1,413,14,0,409,4932,413,0 61,0,2024-09-07 09:20:31:535,107731,0.8,108321,0.9,215869,0.9,287592,2.00 61,1,2024-09-07 09:20:30:769,725186,725186,0,0,340199463989,3567014826,716883,6874,1429,382,392127,0 61,2,2024-09-07 09:20:31:120,522306,522239,67,0,23028482,0,6411 61,3,2024-09-07 09:20:31:689,1,413,1,0,479,5721,413,0 62,0,2024-09-07 09:20:31:723,105748,0.5,108300,0.7,206865,0.5,280826,2.00 62,1,2024-09-07 09:20:31:116,729349,729343,0,6,342451237974,3533283346,725529,3553,261,365,391975,6 62,2,2024-09-07 09:20:31:643,520717,520716,1,0,23215721,0,5555 62,3,2024-09-07 09:20:31:150,1,413,5,0,482,3714,413,0 63,0,2024-09-07 09:20:31:545,100586,0.4,100429,0.6,201394,0.3,267786,1.75 63,1,2024-09-07 09:20:30:823,727247,727241,0,6,341392564178,3547020096,722273,4235,733,381,391800,6 63,2,2024-09-07 09:20:30:772,521993,521993,0,0,21146146,0,4369 63,3,2024-09-07 09:20:31:732,1,413,1,0,667,4377,413,0 64,0,2024-09-07 09:20:31:537,100429,0.5,100402,0.7,200547,0.5,266906,2.00 64,1,2024-09-07 09:20:30:753,726224,726224,0,0,340913625958,3554539979,719551,4970,1703,370,391783,0 64,2,2024-09-07 09:20:31:157,525844,525825,19,0,20954180,0,6121 64,3,2024-09-07 09:20:31:155,1,413,1,0,265,3844,413,0 65,0,2024-09-07 09:20:31:692,105634,0.7,106056,0.8,211513,0.8,282617,2.25 65,1,2024-09-07 09:20:30:873,724871,724871,0,0,339854482001,3554309621,719762,4569,540,382,391901,0 65,2,2024-09-07 09:20:31:708,521829,521829,0,0,24320300,0,3367 65,3,2024-09-07 09:20:31:684,1,413,8,0,782,4988,413,0 66,0,2024-09-07 09:20:31:768,105726,0.5,105615,0.8,211106,0.5,281288,2.25 66,1,2024-09-07 09:20:31:318,726281,726281,0,0,340436805565,3549440427,720787,4969,525,380,391743,0 66,2,2024-09-07 09:20:31:138,526420,526420,0,0,21417123,0,4956 66,3,2024-09-07 09:20:31:085,1,413,1,0,291,3678,413,0 67,0,2024-09-07 09:20:31:551,99914,0.5,99578,0.6,199749,0.4,265578,2.00 67,1,2024-09-07 09:20:30:766,726365,726364,0,1,340782794271,3551975295,721015,4557,792,380,391787,1 67,2,2024-09-07 09:20:30:594,523371,523371,0,0,20489756,0,3622 67,3,2024-09-07 09:20:31:761,1,413,62,0,392,4122,413,0 68,0,2024-09-07 09:20:30:576,100184,0.5,100057,0.7,199450,0.5,266581,2.00 68,1,2024-09-07 09:20:30:571,723375,723375,0,0,339565481874,3573760404,713327,7102,2946,381,391953,0 68,2,2024-09-07 09:20:31:049,518830,518730,100,0,27210882,0,8578 68,3,2024-09-07 09:20:30:732,1,413,2,0,417,4432,413,0 69,0,2024-09-07 09:20:31:747,105431,0.7,106125,0.8,211261,0.8,280897,2.25 69,1,2024-09-07 09:20:31:033,723207,723207,0,0,339523197610,3580832345,713443,7495,2269,384,391994,0 69,2,2024-09-07 09:20:31:750,521018,520989,29,0,28532547,0,6912 69,3,2024-09-07 09:20:30:760,1,413,1,0,698,5972,413,0 70,0,2024-09-07 09:20:31:532,105362,1.2,105474,1.1,212106,1.1,280842,2.75 70,1,2024-09-07 09:20:30:804,726445,726445,0,0,341038231620,3541085800,720473,5311,661,366,391725,0 70,2,2024-09-07 09:20:31:329,524707,524707,0,0,24100144,0,4323 70,3,2024-09-07 09:20:30:747,1,413,39,0,854,4916,413,0 71,0,2024-09-07 09:20:31:374,100517,0.8,100215,1.0,201213,0.9,268926,2.75 71,1,2024-09-07 09:20:31:597,725490,725490,0,0,339994045034,3548582154,717063,7624,803,368,391738,0 71,2,2024-09-07 09:20:31:071,520480,520480,0,0,23911431,0,4352 71,3,2024-09-07 09:20:31:761,1,413,1,0,644,5239,413,0 72,0,2024-09-07 09:20:31:039,103850,0.5,101525,0.7,197978,0.5,268746,2.00 72,1,2024-09-07 09:20:31:035,724295,724295,0,0,339341578239,3563459821,713436,8849,2010,369,391819,0 72,2,2024-09-07 09:20:31:767,519926,519926,0,0,26262432,0,3983 72,3,2024-09-07 09:20:31:755,1,413,0,0,564,6328,413,0 73,0,2024-09-07 09:20:31:147,100932,0.5,103499,0.7,211773,0.4,275716,2.00 73,1,2024-09-07 09:20:30:767,725999,725999,0,0,340647559708,3540380734,720758,4832,409,367,391858,0 73,2,2024-09-07 09:20:31:739,522545,522545,0,0,25744810,0,3701 73,3,2024-09-07 09:20:30:973,1,413,5,0,486,5635,413,0 74,0,2024-09-07 09:20:31:329,108820,0.6,111185,0.7,212172,0.5,288015,2.25 74,1,2024-09-07 09:20:30:645,725004,725004,0,0,339409027490,3546837410,717041,6368,1595,381,391762,0 74,2,2024-09-07 09:20:31:012,522623,522623,0,0,23762379,0,4253 74,3,2024-09-07 09:20:31:527,1,413,0,0,522,5517,413,0 75,0,2024-09-07 09:20:31:816,104275,0.5,103605,0.8,207831,0.5,277369,2.25 75,1,2024-09-07 09:20:31:588,725577,725577,0,0,340198943566,3550522223,718756,5993,828,380,391739,0 75,2,2024-09-07 09:20:31:354,519990,519990,0,0,25902650,0,4766 75,3,2024-09-07 09:20:31:066,1,413,1,0,702,5268,413,0 76,0,2024-09-07 09:20:30:582,100590,0.5,99870,0.7,200535,0.5,267860,2.25 76,1,2024-09-07 09:20:30:813,725346,725346,0,0,340145085810,3551145502,719923,4598,825,382,391692,0 76,2,2024-09-07 09:20:31:076,523675,523674,1,0,23134384,0,5144 76,3,2024-09-07 09:20:31:160,1,413,1,0,175,3859,413,0 77,0,2024-09-07 09:20:31:717,101097,0.6,101616,0.7,203037,0.6,269708,2.00 77,1,2024-09-07 09:20:30:841,725994,725994,0,0,340777659340,3558588907,720184,5309,501,381,391869,0 77,2,2024-09-07 09:20:31:291,522583,522583,0,0,22594669,0,3890 77,3,2024-09-07 09:20:31:109,1,413,0,0,401,4740,413,0 78,0,2024-09-07 09:20:31:718,108236,0.5,107596,0.7,216058,0.4,286498,2.00 78,1,2024-09-07 09:20:30:612,725907,725907,0,0,339526606544,3540652742,718044,6296,1567,367,391670,0 78,2,2024-09-07 09:20:31:544,522432,522419,13,0,21321107,0,8313 78,3,2024-09-07 09:20:31:146,1,413,1,0,181,3693,413,0 79,0,2024-09-07 09:20:31:367,101760,0.4,104127,0.6,213460,0.4,277583,2.25 79,1,2024-09-07 09:20:30:571,727831,727831,0,0,340566304012,3533434262,721262,5261,1308,367,391682,0 79,2,2024-09-07 09:20:31:079,524456,524456,0,0,20983788,0,4195 79,3,2024-09-07 09:20:30:750,1,413,1,0,418,5342,413,0 80,0,2024-09-07 09:20:31:079,99599,0.5,102583,0.6,196264,0.5,264934,2.00 80,1,2024-09-07 09:20:31:638,725566,725566,0,0,341170826467,3556408748,720016,5129,421,368,392269,0 80,2,2024-09-07 09:20:31:101,523648,523648,0,0,21115919,0,4433 80,3,2024-09-07 09:20:30:576,1,413,1,0,190,4866,413,0 81,0,2024-09-07 09:20:31:579,99896,0.5,102405,0.7,195457,0.5,265689,2.00 81,1,2024-09-07 09:20:31:654,724660,724660,0,0,339790947052,3553097962,718664,5457,539,382,391879,0 81,2,2024-09-07 09:20:31:124,520563,520500,63,0,23368963,0,5932 81,3,2024-09-07 09:20:31:122,1,413,1,0,719,5057,413,0 82,0,2024-09-07 09:20:31:531,106226,0.5,106506,0.7,213610,0.5,283277,2.00 82,1,2024-09-07 09:20:30:592,726758,726754,0,4,340527429111,3544973629,722061,3875,818,381,391768,4 82,2,2024-09-07 09:20:31:690,522518,522518,0,0,19936620,0,4484 82,3,2024-09-07 09:20:31:758,1,413,4,0,363,4554,413,0 83,0,2024-09-07 09:20:31:529,105763,0.7,105684,0.8,210582,0.7,280306,2.25 83,1,2024-09-07 09:20:30:551,725021,725021,0,0,340676043522,3555674443,719498,5073,450,382,391709,0 83,2,2024-09-07 09:20:30:766,525364,525364,0,0,20718366,0,3393 83,3,2024-09-07 09:20:30:748,1,413,1,0,1260,5480,413,0 84,0,2024-09-07 09:20:31:799,100096,0.6,100146,0.8,199635,0.5,267420,2.25 84,1,2024-09-07 09:20:31:056,724583,724583,0,0,339955277261,3553140526,716917,6624,1042,367,391967,0 84,2,2024-09-07 09:20:30:575,520228,520198,30,0,27548994,0,5971 84,3,2024-09-07 09:20:31:153,1,413,1,0,908,6327,413,0 85,0,2024-09-07 09:20:31:013,96418,0.6,96420,0.8,204666,0.5,264612,2.25 85,1,2024-09-07 09:20:30:567,723014,723014,0,0,338937819510,3578015095,712220,8877,1917,381,392006,0 85,2,2024-09-07 09:20:30:870,519918,519918,0,0,25477034,0,3656 85,3,2024-09-07 09:20:30:691,1,413,5,0,789,5186,413,0 86,0,2024-09-07 09:20:30:885,104449,0.7,107528,0.8,205872,0.7,278852,2.25 86,1,2024-09-07 09:20:30:829,724997,724997,0,0,340857309408,3571206557,716341,7217,1439,366,391961,0 86,2,2024-09-07 09:20:30:857,520263,520262,1,0,27597202,0,5004 86,3,2024-09-07 09:20:30:593,1,413,1,0,308,6022,413,0 87,0,2024-09-07 09:20:31:324,107118,1.1,106998,0.9,214150,1.4,285784,2.50 87,1,2024-09-07 09:20:30:559,723580,723580,0,0,340319109940,3569306585,713688,8302,1590,366,392076,0 87,2,2024-09-07 09:20:31:067,523080,523074,6,0,24670395,0,6323 87,3,2024-09-07 09:20:31:816,1,413,0,0,473,6567,413,0 88,0,2024-09-07 09:20:31:595,102725,0.4,103439,0.6,205844,0.4,273881,1.75 88,1,2024-09-07 09:20:30:577,723196,723196,0,0,340103830584,3565550839,713499,7690,2007,365,392084,0 88,2,2024-09-07 09:20:30:689,520938,520938,0,0,26647859,0,4465 88,3,2024-09-07 09:20:31:277,1,413,5,0,435,4770,413,0 89,0,2024-09-07 09:20:31:821,104243,0.4,100965,0.6,199780,0.4,270887,1.75 89,1,2024-09-07 09:20:30:551,723529,723529,0,0,339424250288,3570693894,714364,7739,1426,382,391866,0 89,2,2024-09-07 09:20:31:147,519988,519988,0,0,25101418,0,3173 89,3,2024-09-07 09:20:31:849,1,413,2,0,468,7440,413,0 90,0,2024-09-07 09:20:31:637,99499,0.5,102064,0.6,208323,0.4,272281,2.00 90,1,2024-09-07 09:20:30:607,724453,724453,0,0,340238279399,3563930856,717693,6250,510,380,391825,0 90,2,2024-09-07 09:20:31:542,521571,521571,0,0,26597247,0,3060 90,3,2024-09-07 09:20:30:937,1,413,3,0,322,5201,413,0 91,0,2024-09-07 09:20:30:947,108619,0.5,105176,0.7,219763,0.5,288310,2.00 91,1,2024-09-07 09:20:30:574,723480,723480,0,0,339651956434,3573733077,714231,7847,1402,381,392047,0 91,2,2024-09-07 09:20:31:331,523115,523115,0,0,23603646,0,2896 91,3,2024-09-07 09:20:30:611,1,413,1,0,216,4181,413,0 92,0,2024-09-07 09:20:31:571,106079,0.5,108786,0.6,207639,0.5,281213,1.75 92,1,2024-09-07 09:20:30:587,725171,725171,0,0,339439640557,3547258064,719644,4802,725,382,392136,0 92,2,2024-09-07 09:20:31:357,523348,523348,0,0,21270645,0,3259 92,3,2024-09-07 09:20:31:032,1,413,1,0,167,3718,413,0 93,0,2024-09-07 09:20:31:047,101002,0.4,103468,0.5,197517,0.3,267830,1.75 93,1,2024-09-07 09:20:30:814,724720,724720,0,0,340633396588,3559220018,716594,6743,1383,366,391776,0 93,2,2024-09-07 09:20:30:935,521111,521111,0,0,25758611,0,4845 93,3,2024-09-07 09:20:31:544,1,413,12,0,190,3723,413,0 94,0,2024-09-07 09:20:31:635,100494,0.4,101301,0.5,202365,0.3,268644,1.75 94,1,2024-09-07 09:20:30:570,725600,725600,0,0,340189641729,3550947925,720817,4574,209,381,391850,0 94,2,2024-09-07 09:20:30:769,521812,521812,0,0,21578983,0,2443 94,3,2024-09-07 09:20:31:689,1,413,1,0,576,5632,413,0 95,0,2024-09-07 09:20:31:407,106568,0.4,106398,0.5,213488,0.3,285004,1.75 95,1,2024-09-07 09:20:30:856,726296,726296,0,0,340830471674,3545250654,720261,5599,436,365,391786,0 95,2,2024-09-07 09:20:31:032,521196,521196,0,0,21336155,0,3308 95,3,2024-09-07 09:20:31:709,1,413,0,0,718,6120,413,0 96,0,2024-09-07 09:20:31:034,106198,0.4,106613,0.5,212628,0.3,282316,1.75 96,1,2024-09-07 09:20:31:587,725254,725254,0,0,340713062095,3557643420,719717,4654,883,384,391955,0 96,2,2024-09-07 09:20:31:273,525345,525345,0,0,22322888,0,4180 96,3,2024-09-07 09:20:31:152,1,413,1,0,411,4749,413,0 97,0,2024-09-07 09:20:31:354,99931,0.3,99482,0.5,199689,0.2,265470,1.50 97,1,2024-09-07 09:20:30:766,726849,726849,0,0,341891000974,3549459536,721710,4208,931,367,392140,0 97,2,2024-09-07 09:20:30:616,521931,521931,0,0,21330524,0,3679 97,3,2024-09-07 09:20:30:576,1,413,2,0,242,4806,413,0 98,0,2024-09-07 09:20:31:793,99856,0.3,99845,0.4,200448,0.2,266329,1.50 98,1,2024-09-07 09:20:30:574,725356,725356,0,0,340913999937,3554265552,720409,4157,790,382,391997,0 98,2,2024-09-07 09:20:30:778,521369,521369,0,0,21558000,0,4336 98,3,2024-09-07 09:20:30:705,1,413,3,0,840,7060,413,0 99,0,2024-09-07 09:20:31:558,105904,0.3,106645,0.5,211834,0.3,283325,1.75 99,1,2024-09-07 09:20:31:722,726058,726058,0,0,340270857117,3543683544,721122,4099,837,381,392069,0 99,2,2024-09-07 09:20:31:545,522730,522730,0,0,25353367,0,4276 99,3,2024-09-07 09:20:30:592,1,413,2,0,606,4639,413,0 100,0,2024-09-07 09:20:31:608,106213,0.9,106380,1.0,212038,1.1,283473,2.50 100,1,2024-09-07 09:20:30:557,722283,722283,0,0,338463001612,3576757460,711587,8652,2044,381,391989,0 100,2,2024-09-07 09:20:31:817,523069,523058,11,0,24678659,0,5417 100,3,2024-09-07 09:20:31:740,1,413,4,0,559,6850,413,0 101,0,2024-09-07 09:20:31:710,103565,0.9,100822,1.0,197980,0.7,270936,2.25 101,1,2024-09-07 09:20:30:555,722658,722658,0,0,338928585877,3567682018,711994,8543,2121,368,391847,0 101,2,2024-09-07 09:20:31:758,517284,517284,0,0,29274046,0,4871 101,3,2024-09-07 09:20:30:944,1,413,2,0,579,5847,413,0 102,0,2024-09-07 09:20:30:943,97958,0.6,101033,0.7,204755,0.6,267658,2.00 102,1,2024-09-07 09:20:31:164,723168,723168,0,0,339448573980,3568847861,713311,8095,1762,369,391891,0 102,2,2024-09-07 09:20:31:737,521048,520994,54,0,23584778,0,6768 102,3,2024-09-07 09:20:31:615,1,413,11,0,466,4631,413,0 103,0,2024-09-07 09:20:31:655,106962,0.6,106954,0.7,201572,0.6,277928,2.00 103,1,2024-09-07 09:20:31:676,722116,722116,0,0,339407930051,3586002050,710192,8858,3066,381,391829,0 103,2,2024-09-07 09:20:30:600,521212,521212,0,0,26054859,0,3766 103,3,2024-09-07 09:20:30:758,1,413,1,0,916,4645,413,0 104,0,2024-09-07 09:20:31:017,106965,0.8,107278,1.0,213392,0.8,286134,2.50 104,1,2024-09-07 09:20:31:620,724311,724311,0,0,339713292248,3571079083,713480,8969,1862,365,392168,0 104,2,2024-09-07 09:20:31:669,522027,522027,0,0,25332276,0,3941 104,3,2024-09-07 09:20:31:545,1,413,1,0,1245,8494,413,0 105,0,2024-09-07 09:20:31:087,102624,0.8,99900,1.1,209397,0.9,275493,2.50 105,1,2024-09-07 09:20:30:561,724853,724853,0,0,340008502931,3565224242,715428,8002,1423,366,392009,0 105,2,2024-09-07 09:20:31:329,520209,520209,0,0,25086111,0,3509 105,3,2024-09-07 09:20:31:310,1,413,2,0,399,6518,413,0 106,0,2024-09-07 09:20:31:045,97567,0.7,99902,0.9,204616,0.7,267645,2.50 106,1,2024-09-07 09:20:31:754,723487,723487,0,0,339371310870,3566385964,712475,9567,1445,369,391914,0 106,2,2024-09-07 09:20:30:764,520634,520634,0,0,24452283,0,2920 106,3,2024-09-07 09:20:30:685,1,413,13,0,470,5411,413,0 107,0,2024-09-07 09:20:31:098,101279,1.0,101611,0.9,202650,1.2,270740,2.25 107,1,2024-09-07 09:20:30:595,722885,722885,0,0,339031133733,3575534614,712728,9107,1050,381,392234,0 107,2,2024-09-07 09:20:31:310,521247,521246,1,0,25396964,0,5024 107,3,2024-09-07 09:20:31:755,1,413,2,0,370,6412,413,0 108,0,2024-09-07 09:20:31:840,107605,0.5,108413,0.6,215570,0.5,287657,1.75 108,1,2024-09-07 09:20:31:301,725381,725381,0,0,340610842514,3554701902,719244,5393,744,368,391857,0 108,2,2024-09-07 09:20:31:779,520631,520631,0,0,24003311,0,4246 108,3,2024-09-07 09:20:31:330,1,413,11,0,749,7925,413,0 109,0,2024-09-07 09:20:31:744,106172,0.4,105312,0.6,210497,0.4,281744,1.75 109,1,2024-09-07 09:20:30:609,722842,722842,0,0,339898672566,3570723871,715451,6207,1184,382,392132,0 109,2,2024-09-07 09:20:30:936,521390,521390,0,0,23540796,0,3617 109,3,2024-09-07 09:20:31:152,1,413,1,0,379,4882,413,0 110,0,2024-09-07 09:20:31:757,99488,0.4,97000,0.6,203281,0.3,265743,1.75 110,1,2024-09-07 09:20:31:650,726641,726641,0,0,341078924784,3542824018,721255,4209,1177,369,392045,0 110,2,2024-09-07 09:20:31:317,522001,522001,0,0,22454233,0,4067 110,3,2024-09-07 09:20:30:696,1,413,110,0,722,6026,413,0 111,0,2024-09-07 09:20:31:564,100449,0.4,99614,0.5,199851,0.3,267119,1.75 111,1,2024-09-07 09:20:31:001,726741,726741,0,0,341924814458,3548059337,722862,3524,355,380,391690,0 111,2,2024-09-07 09:20:31:125,521118,521118,0,0,22233714,0,4823 111,3,2024-09-07 09:20:30:914,1,413,0,0,379,5031,413,0 112,0,2024-09-07 09:20:30:911,107109,0.3,106756,0.4,213668,0.2,284248,1.50 112,1,2024-09-07 09:20:30:830,726995,726995,0,0,341080832933,3541867781,722283,4099,613,380,391624,0 112,2,2024-09-07 09:20:31:143,521500,521499,1,0,21364696,0,5036 112,3,2024-09-07 09:20:30:594,1,413,1,0,282,4127,413,0 113,0,2024-09-07 09:20:30:869,105737,0.3,105860,0.5,212045,0.3,282451,1.75 113,1,2024-09-07 09:20:31:685,728940,728940,0,0,341218160281,3522502196,725053,3298,589,366,391661,0 113,2,2024-09-07 09:20:31:311,526718,526718,0,0,19802692,0,3813 113,3,2024-09-07 09:20:30:684,1,413,8,0,340,4715,413,0 114,0,2024-09-07 09:20:30:880,101087,0.3,101702,0.5,202192,0.2,270007,1.75 114,1,2024-09-07 09:20:30:723,726251,726251,0,0,341401834176,3549275696,719974,4661,1616,381,391565,0 114,2,2024-09-07 09:20:30:878,521774,521773,1,0,20763086,0,5069 114,3,2024-09-07 09:20:31:279,1,413,0,0,395,3489,413,0 115,0,2024-09-07 09:20:30:580,100125,0.3,100725,0.4,200951,0.2,267124,1.50 115,1,2024-09-07 09:20:30:576,726564,726564,0,0,341586555660,3553379493,720078,5220,1266,382,391757,0 115,2,2024-09-07 09:20:31:140,522549,522549,0,0,20605492,0,4382 115,3,2024-09-07 09:20:31:006,1,413,1,0,159,2373,413,0 116,0,2024-09-07 09:20:31:755,104290,0.8,104226,0.9,209080,0.8,280071,2.00 116,1,2024-09-07 09:20:30:813,723022,723022,0,0,339382799400,3576676905,714442,6256,2324,380,392089,0 116,2,2024-09-07 09:20:31:757,521680,521680,0,0,25902331,0,4128 116,3,2024-09-07 09:20:30:912,1,413,1,0,415,4942,413,0 117,0,2024-09-07 09:20:30:954,107612,0.8,107115,0.9,214423,1.0,286150,2.00 117,1,2024-09-07 09:20:31:593,724448,724448,0,0,339490508257,3553993372,716721,6814,913,369,392033,0 117,2,2024-09-07 09:20:31:126,526858,526858,0,0,22286745,0,4303 117,3,2024-09-07 09:20:31:066,1,413,2,0,490,6457,413,0 118,0,2024-09-07 09:20:31:795,99855,0.5,102324,0.7,209057,0.4,272832,2.00 118,1,2024-09-07 09:20:30:595,724188,724188,0,0,339199256677,3571854433,712303,8887,2998,366,391907,0 118,2,2024-09-07 09:20:31:632,520337,520337,0,0,24232115,0,2842 118,3,2024-09-07 09:20:31:771,1,413,1,0,248,4801,413,0 119,0,2024-09-07 09:20:31:364,101003,0.5,101400,0.7,202797,0.5,269260,2.00 119,1,2024-09-07 09:20:30:553,724272,724272,0,0,340638430961,3566460755,715801,7356,1115,367,391780,0 119,2,2024-09-07 09:20:31:263,521888,521888,0,0,22833777,0,4174 119,3,2024-09-07 09:20:31:329,1,413,3,0,1358,8408,413,0 120,0,2024-09-07 09:20:31:553,101771,0.7,101596,0.9,203728,0.7,272579,2.25 120,1,2024-09-07 09:20:30:867,724645,724645,0,0,339158747284,3562481941,716287,7589,769,368,391961,0 120,2,2024-09-07 09:20:30:779,522286,522285,1,0,26540720,0,5281 120,3,2024-09-07 09:20:31:310,1,413,6,0,279,5184,413,0 121,0,2024-09-07 09:20:31:765,107870,1.5,107595,1.2,215956,2.1,287538,2.50 121,1,2024-09-07 09:20:31:664,725155,725155,0,0,340305173185,3559337369,718444,6253,458,367,391840,0 121,2,2024-09-07 09:20:31:145,521570,521570,0,0,25524372,0,4127 121,3,2024-09-07 09:20:30:728,1,413,2,0,269,4618,413,0 122,0,2024-09-07 09:20:31:777,104693,0.8,102101,0.9,214174,0.9,280978,2.00 122,1,2024-09-07 09:20:30:868,723373,723373,0,0,339611631837,3567364839,712946,8825,1602,366,392130,0 122,2,2024-09-07 09:20:31:319,521957,521884,73,0,28280421,0,5989 122,3,2024-09-07 09:20:30:606,1,413,1,0,512,7648,413,0 123,0,2024-09-07 09:20:30:970,99962,0.6,97586,0.7,204091,0.6,267241,2.00 123,1,2024-09-07 09:20:30:559,723453,723453,0,0,340068687173,3586495134,709919,11094,2440,369,392039,0 123,2,2024-09-07 09:20:31:023,520337,520336,1,0,23876897,0,5215 123,3,2024-09-07 09:20:31:153,1,413,1,0,478,4960,413,0 124,0,2024-09-07 09:20:30:948,103877,0.3,103913,0.5,195761,0.3,269344,1.75 124,1,2024-09-07 09:20:31:024,726346,726346,0,0,340888484930,3545645844,721072,4225,1049,367,392178,0 124,2,2024-09-07 09:20:31:014,523185,523132,53,0,21796369,0,6487 124,3,2024-09-07 09:20:30:767,1,413,8,0,490,4084,413,0 125,0,2024-09-07 09:20:31:549,106739,0.4,106365,0.6,213730,0.4,285348,1.75 125,1,2024-09-07 09:20:30:859,724386,724386,0,0,339771812688,3550470409,718490,5228,668,382,391702,0 125,2,2024-09-07 09:20:31:158,522143,522143,0,0,22532922,0,4534 125,3,2024-09-07 09:20:31:128,1,413,2,0,709,5358,413,0 126,0,2024-09-07 09:20:31:563,106130,0.4,109246,0.6,209129,0.4,283048,1.75 126,1,2024-09-07 09:20:30:551,726961,726961,0,0,341794960735,3542091065,722963,3705,293,365,391987,0 126,2,2024-09-07 09:20:30:616,525657,525657,0,0,23302295,0,4539 126,3,2024-09-07 09:20:30:911,1,413,1,0,268,5221,413,0 127,0,2024-09-07 09:20:31:632,99776,0.3,100235,0.5,199973,0.3,265485,1.75 127,1,2024-09-07 09:20:30:570,726435,726435,0,0,340619100581,3545676315,719100,6116,1219,364,392187,0 127,2,2024-09-07 09:20:30:644,520919,520919,0,0,20999271,0,3897 127,3,2024-09-07 09:20:31:272,1,413,1,0,968,4564,413,0 128,0,2024-09-07 09:20:31:524,100466,0.3,100638,0.4,200784,0.2,266816,1.50 128,1,2024-09-07 09:20:31:605,725840,725840,0,0,341276512401,3544623330,720945,4462,433,367,392031,0 128,2,2024-09-07 09:20:31:544,522186,522186,0,0,20329972,0,3171 128,3,2024-09-07 09:20:30:768,1,413,1,0,1082,6595,413,0 129,0,2024-09-07 09:20:31:018,106800,0.3,106212,0.5,212974,0.3,283683,1.75 129,1,2024-09-07 09:20:30:572,723359,723359,0,0,339632536264,3562293297,715696,5994,1669,379,391962,0 129,2,2024-09-07 09:20:30:689,522754,522754,0,0,21763724,0,4031 129,3,2024-09-07 09:20:30:696,1,413,1,0,506,5628,413,0 130,0,2024-09-07 09:20:31:720,107211,0.6,106566,0.6,214602,0.6,284887,1.75 130,1,2024-09-07 09:20:30:594,726407,726407,0,0,340839836984,3544025342,722377,3691,339,381,391825,0 130,2,2024-09-07 09:20:31:138,526534,526534,0,0,22263881,0,4067 130,3,2024-09-07 09:20:31:301,1,413,13,0,960,6682,413,0 131,0,2024-09-07 09:20:31:936,101236,0.3,101770,0.5,204540,0.3,270836,1.75 131,1,2024-09-07 09:20:31:820,725878,725878,0,0,340040080666,3554639621,719922,4908,1048,381,391865,0 131,2,2024-09-07 09:20:30:570,523174,523174,0,0,20312277,0,3979 131,3,2024-09-07 09:20:31:697,1,413,4,0,392,4664,413,0 132,0,2024-09-07 09:20:31:562,100532,0.4,101608,0.6,202056,0.4,268324,1.75 132,1,2024-09-07 09:20:30:585,722538,722538,0,0,339279560539,3575507180,711421,9013,2104,381,392097,0 132,2,2024-09-07 09:20:30:702,520506,520489,17,0,26480701,0,6451 132,3,2024-09-07 09:20:31:694,1,413,13,0,804,7417,413,0 133,0,2024-09-07 09:20:31:525,100912,0.4,103298,0.6,211922,0.4,276278,2.00 133,1,2024-09-07 09:20:30:595,722586,722586,0,0,339420746910,3579817625,712046,9025,1515,383,391914,0 133,2,2024-09-07 09:20:31:093,522178,522128,50,0,27996037,0,6861 133,3,2024-09-07 09:20:31:300,1,413,0,0,479,4172,413,0 134,0,2024-09-07 09:20:30:950,108471,0.6,108068,0.8,216338,0.6,288400,2.25 134,1,2024-09-07 09:20:30:595,723825,723825,0,0,339691529649,3565232922,714044,7747,2034,366,391718,0 134,2,2024-09-07 09:20:31:756,521826,521802,24,0,25570888,0,6207 134,3,2024-09-07 09:20:30:761,1,413,10,0,739,5392,413,0 135,0,2024-09-07 09:20:31:096,100652,0.8,100629,0.9,213566,0.9,274960,2.25 135,1,2024-09-07 09:20:31:595,723885,723885,0,0,340022111883,3579326050,713933,8581,1371,380,391805,0 135,2,2024-09-07 09:20:30:688,521809,521809,0,0,24619696,0,3981 135,3,2024-09-07 09:20:31:010,1,413,1,0,299,3453,413,0 136,0,2024-09-07 09:20:31:619,101390,0.5,101538,0.7,202080,0.5,269700,2.00 136,1,2024-09-07 09:20:31:534,724277,724277,0,0,340054161909,3568776822,715717,7598,962,382,391685,0 136,2,2024-09-07 09:20:31:175,522861,522861,0,0,23856769,0,3506 136,3,2024-09-07 09:20:31:109,1,413,1,0,637,4850,413,0 137,0,2024-09-07 09:20:31:117,104667,0.6,101847,0.7,199973,0.7,271919,2.00 137,1,2024-09-07 09:20:30:587,723105,723105,0,0,339063034696,3561147155,711597,9149,2359,366,391898,0 137,2,2024-09-07 09:20:31:709,521374,521374,0,0,26521107,0,3185 137,3,2024-09-07 09:20:30:773,1,413,1,0,484,5193,413,0 138,0,2024-09-07 09:20:31:748,107432,1.1,107413,1.0,215622,1.4,286475,2.25 138,1,2024-09-07 09:20:31:692,724350,724350,0,0,340414751054,3572821730,714114,8515,1721,368,391954,0 138,2,2024-09-07 09:20:30:600,521390,521390,0,0,24124165,0,4988 138,3,2024-09-07 09:20:30:611,1,413,1,0,1160,5616,413,0 139,0,2024-09-07 09:20:31:399,104603,1.6,104647,1.1,209745,2.3,280177,2.50 139,1,2024-09-07 09:20:30:575,720535,720535,0,0,337716236226,3592385942,706174,11056,3305,380,392058,0 139,2,2024-09-07 09:20:30:722,519343,519343,0,0,27511171,0,3097 139,3,2024-09-07 09:20:31:689,1,413,21,0,432,4966,413,0 140,0,2024-09-07 09:20:31:601,100141,0.3,99541,0.5,199884,0.2,266062,1.75 140,1,2024-09-07 09:20:31:542,728474,728474,0,0,341941777814,3527354389,724913,3120,441,365,391606,0 140,2,2024-09-07 09:20:30:689,522374,522373,1,0,21234706,0,5036 140,3,2024-09-07 09:20:30:767,1,413,1,0,297,3566,413,0 141,0,2024-09-07 09:20:31:699,100250,0.3,102918,0.5,196711,0.3,267085,1.75 141,1,2024-09-07 09:20:30:866,726405,726405,0,0,341566696202,3550059605,720724,4725,956,379,391614,0 141,2,2024-09-07 09:20:31:689,522264,522264,0,0,20968210,0,3360 141,3,2024-09-07 09:20:31:045,1,413,1,0,391,4869,413,0 142,0,2024-09-07 09:20:31:341,107185,0.3,106694,0.5,213128,0.3,284886,1.75 142,1,2024-09-07 09:20:30:593,725763,725763,0,0,340852931806,3554824916,721083,4283,397,382,392102,0 142,2,2024-09-07 09:20:31:310,520704,520672,32,0,23082549,0,6028 142,3,2024-09-07 09:20:31:745,1,413,1,0,484,4789,413,0 143,0,2024-09-07 09:20:31:390,106049,0.5,105981,0.6,212336,0.5,282453,1.75 143,1,2024-09-07 09:20:30:570,726991,726991,0,0,340410757095,3535683058,721953,4494,544,367,391705,0 143,2,2024-09-07 09:20:30:773,525562,525562,0,0,22144361,0,3123 143,3,2024-09-07 09:20:31:156,1,413,6,0,462,5263,413,0 144,0,2024-09-07 09:20:31:632,97590,0.6,100297,0.8,204507,0.5,267823,2.00 144,1,2024-09-07 09:20:30:570,723121,723121,0,0,338876531361,3560475621,715412,5875,1834,381,391649,0 144,2,2024-09-07 09:20:31:768,522377,522377,0,0,20785120,0,3673 144,3,2024-09-07 09:20:31:741,1,413,3,0,249,4284,413,0 145,0,2024-09-07 09:20:31:400,96763,0.5,96741,0.8,205425,0.5,264236,2.25 145,1,2024-09-07 09:20:30:552,722956,722956,0,0,339131626595,3569036673,713346,7948,1662,382,391759,0 145,2,2024-09-07 09:20:31:534,519334,519253,81,0,25071608,0,7814 145,3,2024-09-07 09:20:30:896,1,413,0,0,622,5960,413,0 146,0,2024-09-07 09:20:31:628,104639,0.6,103964,0.8,209711,0.6,278501,2.25 146,1,2024-09-07 09:20:31:588,724501,724501,0,0,339585187183,3568202894,713557,8824,2120,367,391770,0 146,2,2024-09-07 09:20:31:701,520821,520821,0,0,24528427,0,3290 146,3,2024-09-07 09:20:31:275,1,413,9,0,1520,7972,413,0 147,0,2024-09-07 09:20:31:725,107461,0.7,107280,0.8,213911,0.7,285691,2.25 147,1,2024-09-07 09:20:31:552,727495,727495,0,0,340751506947,3546888797,720861,5789,845,368,391791,0 147,2,2024-09-07 09:20:31:018,524172,524172,0,0,22178721,0,2968 147,3,2024-09-07 09:20:30:914,1,413,1,0,1626,7338,413,0 0,0,2024-09-07 09:20:41:712,99841,0.6,99885,0.8,211990,0.7,274194,2.00 0,1,2024-09-07 09:20:40:813,726301,726301,0,0,341022378813,3570605528,720861,5053,387,369,391896,0 0,2,2024-09-07 09:20:41:069,525653,525653,0,0,21494569,0,4480 0,3,2024-09-07 09:20:40:986,1,414,1,0,431,6290,414,0 1,0,2024-09-07 09:20:41:750,108211,1.2,107509,1.1,215635,1.7,288167,2.25 1,1,2024-09-07 09:20:40:561,725768,725768,0,0,340286348276,3564155492,719343,5099,1326,370,391857,0 1,2,2024-09-07 09:20:40:649,523178,523178,0,0,21821266,0,3380 1,3,2024-09-07 09:20:41:314,1,414,1,0,268,5188,414,0 2,0,2024-09-07 09:20:41:571,105000,0.6,105326,0.8,209843,0.7,280009,2.00 2,1,2024-09-07 09:20:40:859,728515,728515,0,0,341923122918,3550665940,725232,2988,295,380,391745,0 2,2,2024-09-07 09:20:41:270,523607,523607,0,0,20499680,0,3594 2,3,2024-09-07 09:20:40:690,1,414,1,0,357,4104,414,0 3,0,2024-09-07 09:20:41:746,100639,0.4,100497,0.6,200970,0.3,267824,2.00 3,1,2024-09-07 09:20:41:618,726948,726948,0,0,341216866160,3555628641,720729,5529,690,380,391716,0 3,2,2024-09-07 09:20:41:142,523247,523224,23,0,21581230,0,5851 3,3,2024-09-07 09:20:41:752,1,414,1,0,207,2818,414,0 4,0,2024-09-07 09:20:41:824,98156,0.4,100814,0.5,205574,0.3,269105,1.75 4,1,2024-09-07 09:20:40:598,724514,724514,0,0,339058358192,3585368646,712716,9292,2506,370,391992,0 4,2,2024-09-07 09:20:41:018,521892,521892,0,0,24330622,0,4528 4,3,2024-09-07 09:20:41:034,1,414,11,0,448,5478,414,0 5,0,2024-09-07 09:20:41:428,106950,0.4,107493,0.6,214662,0.4,285897,1.75 5,1,2024-09-07 09:20:40:766,725589,725589,0,0,340319254761,3584111439,715234,8198,2157,367,392005,0 5,2,2024-09-07 09:20:41:841,520540,520540,0,0,23949077,0,3582 5,3,2024-09-07 09:20:41:739,1,414,4,0,457,5960,414,0 6,0,2024-09-07 09:20:40:917,106604,0.5,106215,0.7,212169,0.4,283324,2.00 6,1,2024-09-07 09:20:40:778,725869,725869,0,0,340739482701,3563091380,717668,6809,1392,379,391694,0 6,2,2024-09-07 09:20:41:126,524431,524413,18,0,24412335,0,5535 6,3,2024-09-07 09:20:41:289,1,414,1,0,710,5272,414,0 7,0,2024-09-07 09:20:41:538,99196,0.5,99973,0.6,199154,0.4,264811,2.00 7,1,2024-09-07 09:20:40:854,725580,725580,0,0,340480819354,3573662849,715813,8305,1462,382,391747,0 7,2,2024-09-07 09:20:40:773,523493,523493,0,0,23561729,0,4791 7,3,2024-09-07 09:20:40:853,1,414,6,0,552,4772,414,0 8,0,2024-09-07 09:20:41:360,100430,0.4,100088,0.5,200796,0.3,267492,1.75 8,1,2024-09-07 09:20:41:023,724511,724511,0,0,340226315519,3580344698,712990,8892,2629,366,392853,0 8,2,2024-09-07 09:20:40:802,518011,518011,0,0,27870549,0,3250 8,3,2024-09-07 09:20:40:590,1,414,8,0,538,6949,414,0 9,0,2024-09-07 09:20:41:100,106556,0.4,103482,0.5,216541,0.3,284178,1.75 9,1,2024-09-07 09:20:40:573,724204,724204,0,0,340076086966,3584954585,713156,8627,2421,369,392001,0 9,2,2024-09-07 09:20:41:089,521815,521815,0,0,24783791,0,3360 9,3,2024-09-07 09:20:41:751,1,414,1,0,496,6003,414,0 10,0,2024-09-07 09:20:41:608,106650,0.4,106000,0.6,213015,0.3,283290,1.75 10,1,2024-09-07 09:20:40:602,725772,725772,0,0,340714775531,3574446242,715391,8758,1623,381,391741,0 10,2,2024-09-07 09:20:40:766,525954,525954,0,0,27157581,0,4264 10,3,2024-09-07 09:20:40:871,1,414,3,0,649,4558,414,0 11,0,2024-09-07 09:20:41:022,101416,0.4,98327,0.6,205729,0.4,271069,1.75 11,1,2024-09-07 09:20:40:576,726100,726100,0,0,341159188511,3581994464,714773,8701,2626,383,391756,0 11,2,2024-09-07 09:20:41:124,521601,521601,0,0,23972565,0,4130 11,3,2024-09-07 09:20:41:298,1,414,0,0,843,6184,414,0 12,0,2024-09-07 09:20:40:936,101419,0.4,101471,0.5,202900,0.3,268868,1.75 12,1,2024-09-07 09:20:40:933,726498,726498,0,0,340270717470,3549255906,720957,5040,501,370,391870,0 12,2,2024-09-07 09:20:41:541,522391,522391,0,0,23183015,0,3469 12,3,2024-09-07 09:20:41:069,1,414,2,0,386,6317,414,0 13,0,2024-09-07 09:20:41:372,104872,0.4,104482,0.6,208609,0.4,278595,1.75 13,1,2024-09-07 09:20:41:526,725028,725028,0,0,340286838972,3579795838,717427,5972,1629,382,391740,0 13,2,2024-09-07 09:20:40:609,525608,525608,0,0,21557014,0,3287 13,3,2024-09-07 09:20:41:762,1,414,1,0,522,6215,414,0 14,0,2024-09-07 09:20:40:634,107862,0.5,108971,0.7,215534,0.4,287428,2.00 14,1,2024-09-07 09:20:41:561,729823,729823,0,0,342597003017,3551004816,723607,5508,708,364,391673,0 14,2,2024-09-07 09:20:40:773,524871,524841,30,0,23586264,0,6104 14,3,2024-09-07 09:20:41:115,1,414,1,0,1168,4717,414,0 15,0,2024-09-07 09:20:41:555,103713,0.4,103595,0.7,207572,0.4,275976,2.00 15,1,2024-09-07 09:20:41:608,727234,727234,0,0,341583374916,3560239762,721013,5043,1178,381,391619,0 15,2,2024-09-07 09:20:41:011,524310,524310,0,0,19284730,0,3622 15,3,2024-09-07 09:20:41:407,1,414,13,0,1126,6924,414,0 16,0,2024-09-07 09:20:40:957,101345,0.5,101676,0.7,202903,0.5,269892,2.00 16,1,2024-09-07 09:20:40:576,726806,726806,0,0,341295871010,3570602918,720210,5483,1113,370,391917,0 16,2,2024-09-07 09:20:41:434,522417,522417,0,0,23079940,0,4719 16,3,2024-09-07 09:20:41:151,1,414,11,0,317,5368,414,0 17,0,2024-09-07 09:20:41:781,104952,0.6,102346,0.8,200444,0.7,272654,2.00 17,1,2024-09-07 09:20:40:584,725595,725595,0,0,339508586885,3565216003,717958,6124,1513,368,392075,0 17,2,2024-09-07 09:20:41:668,526077,526077,0,0,22950659,0,3779 17,3,2024-09-07 09:20:40:576,1,414,4,0,518,6787,414,0 18,0,2024-09-07 09:20:40:940,106995,0.7,107496,0.9,214204,0.8,286106,2.25 18,1,2024-09-07 09:20:41:642,728351,728351,0,0,341555259676,3541713497,724351,3621,379,367,391725,0 18,2,2024-09-07 09:20:41:759,525677,525677,0,0,20259186,0,3541 18,3,2024-09-07 09:20:40:906,1,414,1,0,163,3244,414,0 19,0,2024-09-07 09:20:41:539,105181,0.6,105760,0.8,209807,0.6,279436,2.25 19,1,2024-09-07 09:20:40:567,729082,729082,0,0,342189467445,3551527109,723137,5024,921,367,391777,0 19,2,2024-09-07 09:20:41:759,526250,526250,0,0,19247556,0,3988 19,3,2024-09-07 09:20:41:129,1,414,1,0,524,3440,414,0 20,0,2024-09-07 09:20:41:378,99947,0.4,99933,0.6,199700,0.4,266280,2.00 20,1,2024-09-07 09:20:40:568,726165,726165,0,0,341377393562,3571066686,719649,5716,800,369,391922,0 20,2,2024-09-07 09:20:40:938,522892,522892,0,0,22826585,0,3721 20,3,2024-09-07 09:20:40:597,1,414,16,0,414,6142,414,0 21,0,2024-09-07 09:20:41:138,100399,0.5,100476,0.6,200724,0.4,266988,2.00 21,1,2024-09-07 09:20:41:549,724070,724070,0,0,339870980223,3585025572,713222,8374,2474,368,392016,0 21,2,2024-09-07 09:20:41:078,520500,520480,20,0,27837502,0,5617 21,3,2024-09-07 09:20:41:420,1,414,1,0,713,5390,414,0 22,0,2024-09-07 09:20:41:724,106628,0.5,107050,0.7,214026,0.5,283778,2.00 22,1,2024-09-07 09:20:41:043,725448,725448,0,0,340131275510,3576333386,714325,8897,2226,382,391667,0 22,2,2024-09-07 09:20:40:759,521195,521169,26,0,22246520,0,6328 22,3,2024-09-07 09:20:41:067,1,414,3,0,228,3470,414,0 23,0,2024-09-07 09:20:41:369,105665,0.6,105014,0.7,210714,0.5,281123,2.25 23,1,2024-09-07 09:20:41:008,726339,726339,0,0,341018365653,3582981419,713358,8928,4053,365,391690,0 23,2,2024-09-07 09:20:41:091,527568,527568,0,0,21762772,0,3773 23,3,2024-09-07 09:20:41:760,1,414,0,0,720,5522,414,0 24,0,2024-09-07 09:20:40:895,101467,0.4,101137,0.5,203028,0.3,269632,1.75 24,1,2024-09-07 09:20:40:590,725587,725587,0,0,340831625057,3574725135,717598,6398,1591,367,392269,0 24,2,2024-09-07 09:20:41:085,521658,521658,0,0,26194366,0,3607 24,3,2024-09-07 09:20:41:686,1,414,1,0,468,5729,414,0 25,0,2024-09-07 09:20:41:340,103023,0.4,100401,0.6,196796,0.3,268443,1.75 25,1,2024-09-07 09:20:40:582,724936,724936,0,0,340507405251,3590217628,713006,9685,2245,371,391928,0 25,2,2024-09-07 09:20:41:609,520503,520503,0,0,26600670,0,3978 25,3,2024-09-07 09:20:41:010,1,414,1,0,532,4786,414,0 26,0,2024-09-07 09:20:41:725,105075,0.4,102638,0.6,215230,0.3,280573,1.75 26,1,2024-09-07 09:20:41:541,726752,726752,0,0,340595888731,3568924986,716126,9042,1584,380,391748,0 26,2,2024-09-07 09:20:40:863,524000,524000,0,0,26793055,0,2809 26,3,2024-09-07 09:20:41:712,1,414,1,0,796,5140,414,0 27,0,2024-09-07 09:20:41:726,107578,0.5,107689,0.7,214227,0.5,285885,2.25 27,1,2024-09-07 09:20:41:686,728239,728239,0,0,341645953984,3560811249,720985,6353,901,381,391626,0 27,2,2024-09-07 09:20:40:871,523207,523142,65,0,24647856,0,5699 27,3,2024-09-07 09:20:41:016,1,414,1,0,564,4089,414,0 28,0,2024-09-07 09:20:41:398,103197,0.4,102670,0.6,205940,0.3,274397,2.00 28,1,2024-09-07 09:20:40:802,727486,727486,0,0,341432881882,3566514793,720299,5488,1699,383,391698,0 28,2,2024-09-07 09:20:41:764,522567,522567,0,0,22456466,0,2915 28,3,2024-09-07 09:20:41:781,1,414,1,0,502,4642,414,0 29,0,2024-09-07 09:20:41:365,104223,0.3,101527,0.6,199219,0.3,270759,1.75 29,1,2024-09-07 09:20:41:561,729871,729871,0,0,343014951258,3551371450,725009,4127,735,368,391753,0 29,2,2024-09-07 09:20:40:863,522012,522012,0,0,21305019,0,4986 29,3,2024-09-07 09:20:40:969,1,414,1,0,459,4913,414,0 30,0,2024-09-07 09:20:41:464,102544,0.5,99722,0.7,208539,0.5,273182,2.00 30,1,2024-09-07 09:20:40:575,728808,728808,0,0,342057911280,3555070442,722739,5303,766,381,391672,0 30,2,2024-09-07 09:20:41:277,525112,525112,0,0,20874080,0,4192 30,3,2024-09-07 09:20:40:580,1,414,1,0,519,4157,414,0 31,0,2024-09-07 09:20:41:757,107744,0.5,108288,0.7,216060,0.5,288161,2.00 31,1,2024-09-07 09:20:40:564,731660,731660,0,0,343080672013,3518463048,728645,2429,586,356,391712,0 31,2,2024-09-07 09:20:41:275,523663,523663,0,0,22459738,0,3525 31,3,2024-09-07 09:20:41:707,1,414,7,0,220,3716,414,0 32,0,2024-09-07 09:20:41:416,105535,0.3,106211,0.5,211422,0.3,281679,1.75 32,1,2024-09-07 09:20:40:804,728505,728505,0,0,341232741285,3552115032,723394,4430,681,381,391646,0 32,2,2024-09-07 09:20:40:935,524074,524074,0,0,20129111,0,3922 32,3,2024-09-07 09:20:41:017,1,414,1,0,304,3335,414,0 33,0,2024-09-07 09:20:41:495,100836,0.3,100412,0.4,201401,0.2,268276,1.50 33,1,2024-09-07 09:20:40:574,729325,729325,0,0,342151169884,3551065582,722815,5405,1105,369,391730,0 33,2,2024-09-07 09:20:40:757,523786,523751,35,0,22569066,0,7012 33,3,2024-09-07 09:20:40:896,1,414,2,0,329,4122,414,0 34,0,2024-09-07 09:20:40:937,101294,0.3,104307,0.4,199495,0.2,268347,1.75 34,1,2024-09-07 09:20:41:046,730304,730304,0,0,343208006029,3530361797,728568,1725,11,367,391562,0 34,2,2024-09-07 09:20:40:767,524410,524410,0,0,20896573,0,4562 34,3,2024-09-07 09:20:41:691,1,414,1,0,299,3171,414,0 35,0,2024-09-07 09:20:40:864,106553,0.4,107004,0.5,214951,0.3,286624,1.75 35,1,2024-09-07 09:20:41:067,728688,728688,0,0,341076408308,3537447762,724152,3680,856,382,391769,0 35,2,2024-09-07 09:20:41:587,523002,523002,0,0,22473358,0,4055 35,3,2024-09-07 09:20:40:914,1,414,1,0,418,4487,414,0 36,0,2024-09-07 09:20:41:519,106682,0.5,106581,0.7,213110,0.4,283695,2.00 36,1,2024-09-07 09:20:40:584,727205,727205,0,0,341509464951,3568750882,717559,7646,2000,366,391759,0 36,2,2024-09-07 09:20:41:752,525601,525601,0,0,23428156,0,3875 36,3,2024-09-07 09:20:40:863,1,414,23,0,416,6057,414,0 37,0,2024-09-07 09:20:41:386,99462,0.4,99573,0.6,199171,0.4,265218,2.00 37,1,2024-09-07 09:20:40:577,727070,727063,0,7,340682355333,3559440167,718427,6362,2274,365,391573,0 37,2,2024-09-07 09:20:41:144,521128,521113,15,0,23306272,0,5815 37,3,2024-09-07 09:20:41:775,1,414,9,0,888,6320,414,0 38,0,2024-09-07 09:20:41:438,99749,0.4,96810,0.6,202460,0.4,265109,2.00 38,1,2024-09-07 09:20:41:607,728213,728213,0,0,340727279003,3563155353,717674,8378,2161,368,391821,0 38,2,2024-09-07 09:20:40:760,522354,522307,47,0,22852470,0,6710 38,3,2024-09-07 09:20:40:996,1,414,5,0,689,5445,414,0 39,0,2024-09-07 09:20:41:770,108813,0.6,106529,0.7,207436,0.5,283380,2.00 39,1,2024-09-07 09:20:40:720,726224,726224,0,0,340534067737,3573020237,713809,9725,2690,365,391658,0 39,2,2024-09-07 09:20:41:417,523341,523341,0,0,21851612,0,3391 39,3,2024-09-07 09:20:40:714,1,414,1,0,324,4862,414,0 40,0,2024-09-07 09:20:41:492,105396,0.9,106126,1.0,211382,1.0,282005,2.75 40,1,2024-09-07 09:20:40:576,726978,726978,0,0,340096807633,3565242614,716159,8775,2044,368,391668,0 40,2,2024-09-07 09:20:41:311,525064,525063,1,0,25801236,0,5137 40,3,2024-09-07 09:20:41:142,1,414,9,0,1028,5771,414,0 41,0,2024-09-07 09:20:41:026,100921,1.4,103202,1.2,197016,2.2,267710,3.00 41,1,2024-09-07 09:20:40:774,725823,725823,0,0,340748997580,3567055223,716641,8142,1040,369,391742,0 41,2,2024-09-07 09:20:40:758,520660,520660,0,0,24536606,0,4277 41,3,2024-09-07 09:20:41:689,1,414,0,0,366,4203,414,0 42,0,2024-09-07 09:20:41:473,99950,0.8,99999,1.0,200177,0.9,264676,2.75 42,1,2024-09-07 09:20:41:439,724282,724282,0,0,339473980449,3570830195,712321,9930,2031,380,391675,0 42,2,2024-09-07 09:20:41:138,520926,520926,0,0,24862513,0,3790 42,3,2024-09-07 09:20:41:016,1,414,1,0,892,4243,414,0 43,0,2024-09-07 09:20:40:922,102993,0.8,100198,1.0,210027,0.8,276024,2.25 43,1,2024-09-07 09:20:40:576,727180,727180,0,0,341833976763,3573988805,716853,8588,1739,366,391696,0 43,2,2024-09-07 09:20:41:737,524187,524187,0,0,24445882,0,3812 43,3,2024-09-07 09:20:41:748,1,414,7,0,548,5512,414,0 44,0,2024-09-07 09:20:40:860,108015,0.5,108284,0.7,217020,0.5,288014,2.00 44,1,2024-09-07 09:20:40:563,729111,729111,0,0,341564656368,3534096392,722618,5175,1318,356,391809,0 44,2,2024-09-07 09:20:41:268,523761,523761,0,0,20631541,0,4344 44,3,2024-09-07 09:20:41:093,1,414,5,0,817,5022,414,0 45,0,2024-09-07 09:20:41:774,102612,0.5,100227,0.7,210229,0.5,276534,2.00 45,1,2024-09-07 09:20:41:010,728093,728093,0,0,341422326527,3550441787,722436,5084,573,382,391917,0 45,2,2024-09-07 09:20:41:272,523299,523299,0,0,20910872,0,3596 45,3,2024-09-07 09:20:40:935,1,414,2,0,271,3662,414,0 46,0,2024-09-07 09:20:40:951,100788,0.5,100700,0.7,201741,0.4,267581,2.00 46,1,2024-09-07 09:20:40:576,729512,729512,0,0,342664560445,3541713643,724847,4074,591,366,391709,0 46,2,2024-09-07 09:20:40:602,522997,522997,0,0,21095128,0,4443 46,3,2024-09-07 09:20:41:132,1,414,1,0,908,5386,414,0 47,0,2024-09-07 09:20:41:110,102205,0.4,102450,0.6,205260,0.4,272095,2.00 47,1,2024-09-07 09:20:40:567,730252,730252,0,0,342298514137,3540072202,725396,4018,838,366,391641,0 47,2,2024-09-07 09:20:40:914,526637,526637,0,0,20715839,0,4477 47,3,2024-09-07 09:20:41:115,1,414,28,0,600,5202,414,0 48,0,2024-09-07 09:20:41:498,108648,0.3,108433,0.5,216113,0.2,288229,1.75 48,1,2024-09-07 09:20:41:021,728167,728167,0,0,341701944091,3551250388,723458,4253,456,384,391710,0 48,2,2024-09-07 09:20:40:702,523303,523303,0,0,19365130,0,3411 48,3,2024-09-07 09:20:40:765,1,414,1,0,339,3409,414,0 49,0,2024-09-07 09:20:41:721,108512,0.4,106745,0.5,206714,0.3,283429,1.75 49,1,2024-09-07 09:20:41:020,728028,728028,0,0,341093631736,3551200190,722879,3943,1206,382,391809,0 49,2,2024-09-07 09:20:41:796,524781,524781,0,0,21351980,0,4426 49,3,2024-09-07 09:20:41:416,1,414,21,0,992,5061,414,0 50,0,2024-09-07 09:20:41:510,100478,0.3,98834,0.4,199667,0.2,265971,1.75 50,1,2024-09-07 09:20:41:017,730422,730422,0,0,342856114566,3547167114,725499,4349,574,368,391565,0 50,2,2024-09-07 09:20:41:068,523033,523033,0,0,19617679,0,4490 50,3,2024-09-07 09:20:41:291,1,414,5,0,567,4287,414,0 51,0,2024-09-07 09:20:41:683,103188,0.3,100896,0.5,196746,0.2,268307,1.75 51,1,2024-09-07 09:20:41:680,730363,730363,0,0,343107293071,3540358183,726412,2902,1049,365,391706,0 51,2,2024-09-07 09:20:41:317,524104,524104,0,0,18610736,0,3337 51,3,2024-09-07 09:20:41:027,1,414,0,0,678,3478,414,0 52,0,2024-09-07 09:20:41:424,107167,0.5,106995,0.7,214251,0.5,284526,2.00 52,1,2024-09-07 09:20:40:575,727412,727412,0,0,341347326431,3572822555,717086,8973,1353,368,391722,0 52,2,2024-09-07 09:20:41:762,519399,519361,38,0,24663257,0,6742 52,3,2024-09-07 09:20:40:681,1,414,1,0,1782,5810,414,0 53,0,2024-09-07 09:20:41:739,105304,0.7,102228,0.8,214025,0.8,281117,2.25 53,1,2024-09-07 09:20:40:782,725764,725764,0,0,340733058765,3576133575,713861,8944,2959,367,391702,0 53,2,2024-09-07 09:20:41:298,526515,526514,1,0,22401681,0,5455 53,3,2024-09-07 09:20:40:702,1,414,0,0,308,3718,414,0 54,0,2024-09-07 09:20:41:621,99420,0.6,99714,0.8,198596,0.4,265494,2.25 54,1,2024-09-07 09:20:40:595,727405,727405,0,0,341572001538,3551848196,720134,6032,1239,366,391659,0 54,2,2024-09-07 09:20:40:866,522683,522651,32,0,25379875,0,6397 54,3,2024-09-07 09:20:40:763,1,414,1,0,676,6102,414,0 55,0,2024-09-07 09:20:41:766,96987,0.5,100196,0.7,202588,0.5,264239,2.25 55,1,2024-09-07 09:20:40:771,728306,728306,0,0,341157377531,3547022710,720675,6539,1092,365,391731,0 55,2,2024-09-07 09:20:40:728,521415,521359,56,0,24105528,0,7239 55,3,2024-09-07 09:20:40:681,1,414,1,0,304,4297,414,0 56,0,2024-09-07 09:20:41:599,107366,1.4,101247,1.2,208530,2.0,279914,2.75 56,1,2024-09-07 09:20:40:584,723477,723477,0,0,340590084851,3599358813,711975,9129,2373,381,391867,0 56,2,2024-09-07 09:20:41:349,523426,523426,0,0,24274519,0,3567 56,3,2024-09-07 09:20:41:069,1,414,2,0,705,5143,414,0 57,0,2024-09-07 09:20:40:952,105887,1.8,105573,1.3,211909,2.5,283649,3.50 57,1,2024-09-07 09:20:40:994,726594,726594,0,0,340349070938,3569066168,717991,7518,1085,366,392032,0 57,2,2024-09-07 09:20:41:328,525709,525709,0,0,26268841,0,3317 57,3,2024-09-07 09:20:41:737,1,414,1,0,455,4897,414,0 58,0,2024-09-07 09:20:40:581,101357,0.9,98417,1.0,206055,1.0,269900,2.50 58,1,2024-09-07 09:20:40:575,726548,726545,0,3,341318800950,3570816537,716595,8661,1289,367,391603,3 58,2,2024-09-07 09:20:41:076,521632,521632,0,0,24264202,0,2902 58,3,2024-09-07 09:20:41:087,1,414,7,0,1043,4568,414,0 59,0,2024-09-07 09:20:41:744,101094,0.7,100820,0.9,201192,0.8,267125,2.50 59,1,2024-09-07 09:20:40:810,726269,726269,0,0,341646742698,3580780054,716051,8409,1809,369,391653,0 59,2,2024-09-07 09:20:40:590,521910,521910,0,0,24191991,0,3727 59,3,2024-09-07 09:20:41:742,1,414,1,0,1015,5570,414,0 60,0,2024-09-07 09:20:41:761,102739,0.5,102698,0.7,206092,0.5,274190,1.75 60,1,2024-09-07 09:20:40:772,728549,728549,0,0,341988944460,3551888594,723409,4420,720,370,392031,0 60,2,2024-09-07 09:20:41:147,524970,524970,0,0,22737104,0,3811 60,3,2024-09-07 09:20:41:258,1,414,1,0,409,4933,414,0 61,0,2024-09-07 09:20:41:505,107838,0.8,108446,0.9,216041,0.9,287834,2.00 61,1,2024-09-07 09:20:40:791,726918,726918,0,0,340891450446,3574106551,718615,6874,1429,382,392127,0 61,2,2024-09-07 09:20:41:116,523546,523479,67,0,23048989,0,6411 61,3,2024-09-07 09:20:41:699,1,414,0,0,479,5721,414,0 62,0,2024-09-07 09:20:41:706,105983,0.5,108546,0.7,207306,0.5,281433,2.00 62,1,2024-09-07 09:20:41:117,731149,731143,0,6,343291479094,3541794987,727329,3553,261,365,391975,6 62,2,2024-09-07 09:20:41:645,521761,521760,1,0,23225448,0,5555 62,3,2024-09-07 09:20:41:143,1,414,1,0,482,3715,414,0 63,0,2024-09-07 09:20:41:452,100895,0.4,100752,0.6,201940,0.3,268679,1.75 63,1,2024-09-07 09:20:40:811,729025,729019,0,6,341952862106,3552766924,724051,4235,733,381,391800,6 63,2,2024-09-07 09:20:40:766,523428,523428,0,0,21170084,0,4369 63,3,2024-09-07 09:20:41:736,1,414,1,0,667,4378,414,0 64,0,2024-09-07 09:20:41:606,100754,0.5,100737,0.7,201147,0.5,267610,2.00 64,1,2024-09-07 09:20:40:767,727952,727952,0,0,341780315219,3563415691,721278,4971,1703,370,391783,0 64,2,2024-09-07 09:20:41:148,527293,527274,19,0,20993316,0,6121 64,3,2024-09-07 09:20:41:142,1,414,5,0,265,3849,414,0 65,0,2024-09-07 09:20:41:673,106133,0.7,106550,0.8,212580,0.8,284185,2.25 65,1,2024-09-07 09:20:40:859,726536,726536,0,0,340501284298,3561068801,721427,4569,540,382,391901,0 65,2,2024-09-07 09:20:41:698,523283,523283,0,0,24372165,0,3367 65,3,2024-09-07 09:20:41:683,1,414,14,0,782,5002,414,0 66,0,2024-09-07 09:20:41:765,106057,0.5,105917,0.8,211669,0.5,282139,2.25 66,1,2024-09-07 09:20:41:293,728076,728076,0,0,341341339610,3558728100,722582,4969,525,380,391743,0 66,2,2024-09-07 09:20:41:132,527105,527105,0,0,21441890,0,4956 66,3,2024-09-07 09:20:41:093,1,414,0,0,291,3678,414,0 67,0,2024-09-07 09:20:41:413,100103,0.5,99725,0.6,200063,0.4,266004,2.00 67,1,2024-09-07 09:20:40:766,728191,728190,0,1,341687425725,3561237256,722839,4559,792,380,391787,1 67,2,2024-09-07 09:20:40:584,524889,524889,0,0,20521234,0,3622 67,3,2024-09-07 09:20:41:750,1,414,61,0,392,4183,414,0 68,0,2024-09-07 09:20:40:570,100397,0.5,100324,0.7,199939,0.5,267199,2.00 68,1,2024-09-07 09:20:40:573,725140,725140,0,0,340246154233,3580780980,715092,7102,2946,381,391953,0 68,2,2024-09-07 09:20:41:053,520357,520257,100,0,27235193,0,8578 68,3,2024-09-07 09:20:40:727,1,414,2,0,417,4434,414,0 69,0,2024-09-07 09:20:41:727,105820,0.7,106537,0.8,212048,0.8,281885,2.25 69,1,2024-09-07 09:20:41:024,724955,724955,0,0,340124412726,3587070845,715190,7496,2269,384,391994,0 69,2,2024-09-07 09:20:41:746,522094,522065,29,0,28556055,0,6912 69,3,2024-09-07 09:20:40:760,1,414,1,0,698,5973,414,0 70,0,2024-09-07 09:20:41:531,105526,1.2,105632,1.1,212456,1.1,281289,2.75 70,1,2024-09-07 09:20:40:801,728166,728166,0,0,341825972726,3549094810,722194,5311,661,366,391725,0 70,2,2024-09-07 09:20:41:334,525790,525790,0,0,24122094,0,4323 70,3,2024-09-07 09:20:40:745,1,414,4,0,854,4920,414,0 71,0,2024-09-07 09:20:41:357,100619,0.8,100312,1.0,201412,0.9,269180,2.75 71,1,2024-09-07 09:20:41:607,727255,727255,0,0,340898898300,3557749045,718828,7624,803,368,391738,0 71,2,2024-09-07 09:20:41:085,521884,521884,0,0,23929951,0,4352 71,3,2024-09-07 09:20:41:750,1,414,2,0,644,5241,414,0 72,0,2024-09-07 09:20:41:055,103958,0.5,101615,0.7,198169,0.5,269071,2.00 72,1,2024-09-07 09:20:41:039,726103,726103,0,0,340099424473,3571150538,715244,8849,2010,369,391819,0 72,2,2024-09-07 09:20:41:764,521149,521149,0,0,26274220,0,3983 72,3,2024-09-07 09:20:41:758,1,414,1,0,564,6329,414,0 73,0,2024-09-07 09:20:41:139,101396,0.5,103949,0.7,212707,0.4,277052,2.00 73,1,2024-09-07 09:20:40:766,727739,727739,0,0,341551541359,3549544299,722496,4834,409,367,391858,0 73,2,2024-09-07 09:20:41:739,523654,523654,0,0,25755525,0,3701 73,3,2024-09-07 09:20:40:968,1,414,8,0,486,5643,414,0 74,0,2024-09-07 09:20:41:321,108919,0.6,111295,0.7,212392,0.5,288308,2.25 74,1,2024-09-07 09:20:40:638,726796,726796,0,0,340386564036,3556749980,718833,6368,1595,381,391762,0 74,2,2024-09-07 09:20:41:002,523907,523907,0,0,23772432,0,4253 74,3,2024-09-07 09:20:41:441,1,414,1,0,522,5518,414,0 75,0,2024-09-07 09:20:41:766,104402,0.5,103727,0.8,208068,0.5,277625,2.25 75,1,2024-09-07 09:20:41:587,727368,727368,0,0,341053481606,3559226344,720547,5993,828,380,391739,0 75,2,2024-09-07 09:20:41:351,521210,521210,0,0,25915385,0,4766 75,3,2024-09-07 09:20:41:077,1,414,22,0,702,5290,414,0 76,0,2024-09-07 09:20:40:690,100806,0.5,100100,0.7,200977,0.5,268392,2.25 76,1,2024-09-07 09:20:40:810,727122,727122,0,0,340852324762,3558405264,721699,4598,825,382,391692,0 76,2,2024-09-07 09:20:41:062,524871,524870,1,0,23156678,0,5144 76,3,2024-09-07 09:20:41:150,1,414,4,0,175,3863,414,0 77,0,2024-09-07 09:20:41:754,101498,0.6,102019,0.7,203870,0.6,270908,2.00 77,1,2024-09-07 09:20:40:826,727734,727734,0,0,341634422732,3567339521,721924,5309,501,381,391869,0 77,2,2024-09-07 09:20:41:294,524080,524080,0,0,22625175,0,3890 77,3,2024-09-07 09:20:41:097,1,414,1,0,401,4741,414,0 78,0,2024-09-07 09:20:41:719,108419,0.5,107781,0.7,216430,0.4,286792,2.00 78,1,2024-09-07 09:20:40:610,727711,727711,0,0,340275273747,3548261301,719848,6296,1567,367,391670,0 78,2,2024-09-07 09:20:41:410,523864,523851,13,0,21338989,0,8313 78,3,2024-09-07 09:20:41:133,1,414,1,0,181,3694,414,0 79,0,2024-09-07 09:20:41:351,102046,0.4,104428,0.6,214059,0.4,278363,2.25 79,1,2024-09-07 09:20:40:576,729575,729575,0,0,341373752625,3541624892,723005,5261,1309,367,391682,0 79,2,2024-09-07 09:20:41:067,525209,525209,0,0,20991580,0,4195 79,3,2024-09-07 09:20:40:758,1,414,1,0,418,5343,414,0 80,0,2024-09-07 09:20:41:090,99810,0.5,102792,0.6,196657,0.5,265535,2.00 80,1,2024-09-07 09:20:41:619,727308,727308,0,0,342008838373,3564955743,721758,5129,421,368,392269,0 80,2,2024-09-07 09:20:41:093,525122,525122,0,0,21146138,0,4433 80,3,2024-09-07 09:20:40:575,1,414,1,0,190,4867,414,0 81,0,2024-09-07 09:20:41:610,100350,0.5,102852,0.7,196209,0.5,266898,2.00 81,1,2024-09-07 09:20:41:654,726423,726423,0,0,340806766778,3563653151,720425,5458,540,382,391879,0 81,2,2024-09-07 09:20:41:127,522046,521983,63,0,23414767,0,5932 81,3,2024-09-07 09:20:41:117,1,414,4,0,719,5061,414,0 82,0,2024-09-07 09:20:41:532,106528,0.5,106794,0.7,214168,0.5,284022,2.00 82,1,2024-09-07 09:20:40:601,728492,728488,0,4,341403317684,3554062108,723794,3876,818,381,391768,4 82,2,2024-09-07 09:20:41:690,523768,523768,0,0,19977374,0,4484 82,3,2024-09-07 09:20:41:751,1,414,9,0,363,4563,414,0 83,0,2024-09-07 09:20:41:524,106086,0.7,106023,0.8,211229,0.7,281259,2.25 83,1,2024-09-07 09:20:40:553,726759,726759,0,0,341098511991,3560099679,721236,5073,450,382,391709,0 83,2,2024-09-07 09:20:40:767,526293,526293,0,0,20749139,0,3393 83,3,2024-09-07 09:20:40:751,1,414,14,0,1260,5494,414,0 84,0,2024-09-07 09:20:41:773,100264,0.6,100310,0.8,199949,0.5,267912,2.25 84,1,2024-09-07 09:20:41:044,726377,726377,0,0,340574497527,3559499208,718711,6624,1042,367,391967,0 84,2,2024-09-07 09:20:40:576,521674,521644,30,0,27579183,0,5971 84,3,2024-09-07 09:20:41:141,1,414,1,0,908,6328,414,0 85,0,2024-09-07 09:20:41:012,96687,0.6,96696,0.8,205283,0.5,265513,2.25 85,1,2024-09-07 09:20:40:562,724704,724704,0,0,339817539993,3587013341,713909,8878,1917,381,392006,0 85,2,2024-09-07 09:20:40:866,521200,521200,0,0,25503381,0,3656 85,3,2024-09-07 09:20:40:687,1,414,5,0,789,5191,414,0 86,0,2024-09-07 09:20:40:889,104938,0.7,107964,0.8,206771,0.7,280088,2.25 86,1,2024-09-07 09:20:40:825,726764,726764,0,0,341474413555,3577507998,718108,7217,1439,366,391961,0 86,2,2024-09-07 09:20:40:858,521413,521412,1,0,27617228,0,5004 86,3,2024-09-07 09:20:40:602,1,414,1,0,308,6023,414,0 87,0,2024-09-07 09:20:41:343,107240,1.1,107116,0.9,214387,1.4,286120,2.50 87,1,2024-09-07 09:20:40:558,725393,725393,0,0,340911030345,3575372622,715500,8302,1591,366,392076,0 87,2,2024-09-07 09:20:41:068,524318,524312,6,0,24688650,0,6323 87,3,2024-09-07 09:20:41:807,1,414,71,0,473,6638,414,0 88,0,2024-09-07 09:20:41:503,102853,0.4,103558,0.6,206070,0.4,274208,1.75 88,1,2024-09-07 09:20:40:637,725061,725061,0,0,340960288196,3574245817,715362,7692,2007,365,392084,0 88,2,2024-09-07 09:20:40:688,522340,522340,0,0,26672390,0,4465 88,3,2024-09-07 09:20:41:268,1,414,1,0,435,4771,414,0 89,0,2024-09-07 09:20:41:772,104272,0.4,101001,0.6,199856,0.4,270887,1.75 89,1,2024-09-07 09:20:40:591,725259,725259,0,0,340352007478,3580151916,716094,7739,1426,382,391866,0 89,2,2024-09-07 09:20:41:145,521362,521362,0,0,25115353,0,3173 89,3,2024-09-07 09:20:41:791,1,414,4,0,468,7444,414,0 90,0,2024-09-07 09:20:41:666,99829,0.5,102438,0.6,209097,0.4,273220,2.00 90,1,2024-09-07 09:20:40:607,726278,726278,0,0,341480627573,3576497759,719517,6251,510,380,391825,0 90,2,2024-09-07 09:20:41:408,522828,522828,0,0,26611249,0,3060 90,3,2024-09-07 09:20:40:932,1,414,18,0,322,5219,414,0 91,0,2024-09-07 09:20:40:944,108721,0.5,105264,0.7,219948,0.5,288548,2.00 91,1,2024-09-07 09:20:40:556,725282,725282,0,0,340448056034,3581841121,716033,7847,1402,381,392047,0 91,2,2024-09-07 09:20:41:332,524534,524534,0,0,23614553,0,2896 91,3,2024-09-07 09:20:40:601,1,414,1,0,216,4182,414,0 92,0,2024-09-07 09:20:41:453,106329,0.5,109027,0.6,208082,0.5,281767,1.75 92,1,2024-09-07 09:20:40:591,726820,726820,0,0,340486279963,3557886927,721292,4803,725,382,392136,0 92,2,2024-09-07 09:20:41:358,524277,524277,0,0,21288858,0,3259 92,3,2024-09-07 09:20:41:008,1,414,0,0,167,3718,414,0 93,0,2024-09-07 09:20:40:965,101308,0.4,103794,0.5,198102,0.3,268727,1.75 93,1,2024-09-07 09:20:40:813,726501,726501,0,0,341461673663,3567672749,718375,6743,1383,366,391776,0 93,2,2024-09-07 09:20:40:937,522475,522475,0,0,25783975,0,4845 93,3,2024-09-07 09:20:41:406,1,414,4,0,190,3727,414,0 94,0,2024-09-07 09:20:41:638,100788,0.4,101606,0.5,202940,0.3,269350,1.75 94,1,2024-09-07 09:20:40:570,727472,727472,0,0,341173803010,3560971638,722689,4574,209,381,391850,0 94,2,2024-09-07 09:20:40:768,523364,523364,0,0,21598467,0,2443 94,3,2024-09-07 09:20:41:696,1,414,2,0,576,5634,414,0 95,0,2024-09-07 09:20:41:430,107081,0.4,106933,0.5,214484,0.3,286374,1.75 95,1,2024-09-07 09:20:40:859,727912,727912,0,0,341779447744,3554848231,721876,5600,436,365,391786,0 95,2,2024-09-07 09:20:41:022,522586,522586,0,0,21364620,0,3308 95,3,2024-09-07 09:20:41:712,1,414,3,0,718,6123,414,0 96,0,2024-09-07 09:20:41:037,106528,0.4,106920,0.5,213289,0.3,283178,1.75 96,1,2024-09-07 09:20:41:591,727084,727084,0,0,341413724475,3564888671,721547,4654,883,384,391955,0 96,2,2024-09-07 09:20:41:274,526116,526116,0,0,22344569,0,4180 96,3,2024-09-07 09:20:41:146,1,414,10,0,411,4759,414,0 97,0,2024-09-07 09:20:41:317,100088,0.3,99651,0.5,200002,0.2,265882,1.50 97,1,2024-09-07 09:20:40:763,728633,728633,0,0,342685893043,3557594214,723493,4209,931,367,392140,0 97,2,2024-09-07 09:20:40:620,523463,523463,0,0,21376049,0,3679 97,3,2024-09-07 09:20:40:585,1,414,5,0,242,4811,414,0 98,0,2024-09-07 09:20:41:714,100108,0.3,100088,0.4,200951,0.2,266939,1.50 98,1,2024-09-07 09:20:40:576,727148,727148,0,0,341863944833,3563966978,722200,4158,790,382,391997,0 98,2,2024-09-07 09:20:40:769,522911,522911,0,0,21616601,0,4336 98,3,2024-09-07 09:20:40:706,1,414,53,0,840,7113,414,0 99,0,2024-09-07 09:20:41:491,106264,0.3,107052,0.5,212559,0.3,284339,1.75 99,1,2024-09-07 09:20:41:731,727878,727878,0,0,341170767513,3553012844,722942,4099,837,381,392069,0 99,2,2024-09-07 09:20:41:421,523747,523747,0,0,25387139,0,4276 99,3,2024-09-07 09:20:40:589,1,414,23,0,606,4662,414,0 100,0,2024-09-07 09:20:41:469,106352,0.9,106531,1.0,212351,1.1,283904,2.50 100,1,2024-09-07 09:20:40:565,724008,724008,0,0,339120655005,3583597232,713312,8652,2044,381,391989,0 100,2,2024-09-07 09:20:41:815,524169,524158,11,0,24694919,0,5417 100,3,2024-09-07 09:20:41:739,1,414,1,0,559,6851,414,0 101,0,2024-09-07 09:20:41:705,103680,0.9,100910,1.0,198150,0.7,271181,2.25 101,1,2024-09-07 09:20:40:560,724389,724389,0,0,339590303909,3574500087,713724,8543,2122,368,391847,0 101,2,2024-09-07 09:20:41:760,518831,518831,0,0,29308054,0,4871 101,3,2024-09-07 09:20:40:947,1,414,4,0,579,5851,414,0 102,0,2024-09-07 09:20:40:958,98039,0.6,101117,0.7,204970,0.6,267988,2.00 102,1,2024-09-07 09:20:41:154,724943,724943,0,0,340305823493,3577611103,715086,8095,1762,369,391891,0 102,2,2024-09-07 09:20:41:737,522340,522286,54,0,23605883,0,6768 102,3,2024-09-07 09:20:41:613,1,414,1,0,466,4632,414,0 103,0,2024-09-07 09:20:41:623,107427,0.6,107403,0.7,202462,0.6,279248,2.00 103,1,2024-09-07 09:20:41:634,723900,723900,0,0,340137364009,3593497797,711976,8858,3066,381,391829,0 103,2,2024-09-07 09:20:40:598,522371,522371,0,0,26083264,0,3766 103,3,2024-09-07 09:20:40:770,1,414,2,0,916,4647,414,0 104,0,2024-09-07 09:20:41:019,107084,0.8,107372,1.0,213608,0.8,286423,2.50 104,1,2024-09-07 09:20:41:599,726064,726064,0,0,340754249862,3581627179,715233,8969,1862,365,392168,0 104,2,2024-09-07 09:20:41:668,523371,523371,0,0,25346720,0,3941 104,3,2024-09-07 09:20:41:416,1,414,1,0,1245,8495,414,0 105,0,2024-09-07 09:20:41:035,102741,0.8,100029,1.1,209670,0.9,275732,2.50 105,1,2024-09-07 09:20:40:565,726603,726603,0,0,340766136176,3572952060,717177,8003,1423,366,392009,0 105,2,2024-09-07 09:20:41:322,521367,521367,0,0,25098139,0,3509 105,3,2024-09-07 09:20:41:306,1,414,13,0,399,6531,414,0 106,0,2024-09-07 09:20:40:962,97763,0.7,100135,0.9,205102,0.7,268159,2.50 106,1,2024-09-07 09:20:41:751,725229,725229,0,0,340170538905,3574495746,714217,9567,1445,369,391914,0 106,2,2024-09-07 09:20:40:760,521864,521864,0,0,24464498,0,2920 106,3,2024-09-07 09:20:40:678,1,414,1,0,470,5412,414,0 107,0,2024-09-07 09:20:41:155,101679,1.0,101986,0.9,203486,1.2,271897,2.25 107,1,2024-09-07 09:20:40:590,724624,724624,0,0,339950150156,3584896212,714466,9108,1050,381,392234,0 107,2,2024-09-07 09:20:41:307,522757,522756,1,0,25410618,0,5024 107,3,2024-09-07 09:20:41:759,1,414,2,0,370,6414,414,0 108,0,2024-09-07 09:20:41:780,107785,0.5,108621,0.6,215986,0.5,287946,1.75 108,1,2024-09-07 09:20:41:314,727183,727183,0,0,341547711226,3564232266,721046,5393,744,368,391857,0 108,2,2024-09-07 09:20:41:760,522154,522154,0,0,24029655,0,4246 108,3,2024-09-07 09:20:41:335,1,414,2,0,749,7927,414,0 109,0,2024-09-07 09:20:41:817,106451,0.4,105618,0.6,211058,0.4,282483,1.75 109,1,2024-09-07 09:20:40:603,724608,724608,0,0,340691669510,3578873436,717217,6207,1184,382,392132,0 109,2,2024-09-07 09:20:40:926,522077,522077,0,0,23571623,0,3617 109,3,2024-09-07 09:20:41:145,1,414,17,0,379,4899,414,0 110,0,2024-09-07 09:20:41:765,99698,0.4,97189,0.6,203684,0.3,266334,1.75 110,1,2024-09-07 09:20:41:663,728328,728328,0,0,341877344485,3550935279,722942,4209,1177,369,392045,0 110,2,2024-09-07 09:20:41:338,523425,523425,0,0,22473950,0,4067 110,3,2024-09-07 09:20:40:695,1,414,1,0,722,6027,414,0 111,0,2024-09-07 09:20:41:430,100871,0.4,100048,0.5,200670,0.3,268383,1.75 111,1,2024-09-07 09:20:41:016,728497,728497,0,0,342767228823,3556653510,724618,3524,355,380,391690,0 111,2,2024-09-07 09:20:41:116,522594,522594,0,0,22252443,0,4823 111,3,2024-09-07 09:20:40:915,1,414,2,0,379,5033,414,0 112,0,2024-09-07 09:20:40:931,107413,0.3,107057,0.4,214247,0.2,284962,1.50 112,1,2024-09-07 09:20:40:828,728721,728721,0,0,341592030026,3547177610,724009,4099,613,380,391624,0 112,2,2024-09-07 09:20:41:170,522625,522624,1,0,21410398,0,5036 112,3,2024-09-07 09:20:40:591,1,414,46,0,282,4173,414,0 113,0,2024-09-07 09:20:40:889,106057,0.3,106151,0.5,212627,0.3,283365,1.75 113,1,2024-09-07 09:20:41:687,730621,730621,0,0,341857908571,3529080077,726733,3299,589,366,391661,0 113,2,2024-09-07 09:20:41:306,527717,527717,0,0,19828267,0,3813 113,3,2024-09-07 09:20:40:687,1,414,3,0,340,4718,414,0 114,0,2024-09-07 09:20:40:880,101253,0.3,101863,0.5,202488,0.2,270489,1.75 114,1,2024-09-07 09:20:40:719,727961,727961,0,0,342094279742,3556488786,721684,4661,1616,381,391565,0 114,2,2024-09-07 09:20:40:876,523337,523336,1,0,20807712,0,5069 114,3,2024-09-07 09:20:41:296,1,414,2,0,395,3491,414,0 115,0,2024-09-07 09:20:40:577,100412,0.3,101014,0.4,201555,0.2,268062,1.50 115,1,2024-09-07 09:20:40:584,728382,728382,0,0,342731255618,3564997870,721896,5220,1266,382,391757,0 115,2,2024-09-07 09:20:41:174,523930,523930,0,0,20637387,0,4382 115,3,2024-09-07 09:20:41:007,1,414,4,0,159,2377,414,0 116,0,2024-09-07 09:20:41:707,104753,0.8,104687,0.9,210021,0.8,281212,2.00 116,1,2024-09-07 09:20:40:803,724888,724888,0,0,340313570964,3586330748,716307,6257,2324,380,392089,0 116,2,2024-09-07 09:20:41:754,522795,522795,0,0,25918553,0,4128 116,3,2024-09-07 09:20:40:951,1,414,5,0,415,4947,414,0 117,0,2024-09-07 09:20:41:016,107723,0.8,107221,0.9,214653,1.0,286480,2.00 117,1,2024-09-07 09:20:41:578,726199,726199,0,0,340330524801,3562579273,718472,6814,913,369,392033,0 117,2,2024-09-07 09:20:41:120,528047,528047,0,0,22301256,0,4303 117,3,2024-09-07 09:20:41:068,1,414,2,0,490,6459,414,0 118,0,2024-09-07 09:20:41:803,99954,0.5,102457,0.7,209308,0.4,273182,2.00 118,1,2024-09-07 09:20:40:589,725985,725985,0,0,340240678559,3582404107,714099,8888,2998,366,391907,0 118,2,2024-09-07 09:20:41:587,521638,521638,0,0,24259006,0,2842 118,3,2024-09-07 09:20:41:774,1,414,3,0,248,4804,414,0 119,0,2024-09-07 09:20:41:401,101045,0.5,101442,0.7,202873,0.5,269260,2.00 119,1,2024-09-07 09:20:40:565,726112,726112,0,0,341350710666,3573743700,717641,7356,1115,367,391780,0 119,2,2024-09-07 09:20:41:271,523198,523198,0,0,22854106,0,4174 119,3,2024-09-07 09:20:41:327,1,414,18,0,1358,8426,414,0 120,0,2024-09-07 09:20:41:548,102136,0.7,101947,0.9,204471,0.7,273499,2.25 120,1,2024-09-07 09:20:40:858,726367,726367,0,0,339842535810,3569459754,718009,7589,769,368,391961,0 120,2,2024-09-07 09:20:40:779,523762,523761,1,0,26553754,0,5281 120,3,2024-09-07 09:20:41:298,1,414,1,0,279,5185,414,0 121,0,2024-09-07 09:20:41:701,107968,1.5,107685,1.2,216173,2.1,287797,2.50 121,1,2024-09-07 09:20:41:684,726907,726907,0,0,341304223743,3569482258,720195,6254,458,367,391840,0 121,2,2024-09-07 09:20:41:139,522911,522911,0,0,25539127,0,4127 121,3,2024-09-07 09:20:40:739,1,414,1,0,269,4619,414,0 122,0,2024-09-07 09:20:41:799,104915,0.8,102348,0.9,214654,0.9,281554,2.00 122,1,2024-09-07 09:20:40:859,725123,725123,0,0,340334537924,3574712051,714696,8825,1602,366,392130,0 122,2,2024-09-07 09:20:41:321,522941,522868,73,0,28289865,0,5989 122,3,2024-09-07 09:20:40:601,1,414,2,0,512,7650,414,0 123,0,2024-09-07 09:20:40:961,100279,0.6,97868,0.7,204698,0.6,268095,2.00 123,1,2024-09-07 09:20:40:568,725312,725312,0,0,340941649662,3595412810,711777,11095,2440,369,392039,0 123,2,2024-09-07 09:20:41:026,521682,521681,1,0,23889698,0,5215 123,3,2024-09-07 09:20:41:133,1,414,1,0,478,4961,414,0 124,0,2024-09-07 09:20:40:924,104182,0.3,104235,0.5,196358,0.3,270019,1.75 124,1,2024-09-07 09:20:41:021,728066,728066,0,0,341592568608,3552814584,722792,4225,1049,367,392178,0 124,2,2024-09-07 09:20:41:017,524585,524532,53,0,21814010,0,6487 124,3,2024-09-07 09:20:40:758,1,414,2,0,490,4086,414,0 125,0,2024-09-07 09:20:41:433,107219,0.4,106886,0.6,214675,0.4,286786,1.75 125,1,2024-09-07 09:20:40:856,726130,726130,0,0,340578715995,3558876394,720234,5228,668,382,391702,0 125,2,2024-09-07 09:20:41:116,523589,523589,0,0,22551640,0,4534 125,3,2024-09-07 09:20:41:127,1,414,6,0,709,5364,414,0 126,0,2024-09-07 09:20:41:429,106448,0.4,109591,0.6,209747,0.4,283861,1.75 126,1,2024-09-07 09:20:40:554,728741,728741,0,0,342675893413,3551185787,724743,3705,293,365,391987,0 126,2,2024-09-07 09:20:40:612,526413,526413,0,0,23311834,0,4539 126,3,2024-09-07 09:20:40:913,1,414,1,0,268,5222,414,0 127,0,2024-09-07 09:20:41:602,99946,0.3,100384,0.5,200299,0.2,265909,1.75 127,1,2024-09-07 09:20:40:569,728240,728240,0,0,341560913726,3555235775,720905,6116,1219,364,392187,0 127,2,2024-09-07 09:20:40:640,522437,522437,0,0,21022673,0,3897 127,3,2024-09-07 09:20:41:267,1,414,2,0,968,4566,414,0 128,0,2024-09-07 09:20:41:523,100693,0.3,100901,0.4,201304,0.2,267431,1.50 128,1,2024-09-07 09:20:41:611,727585,727585,0,0,342058815536,3552874867,722690,4462,433,367,392031,0 128,2,2024-09-07 09:20:41:385,523716,523716,0,0,20394422,0,3171 128,3,2024-09-07 09:20:40:771,1,414,3,0,1082,6598,414,0 129,0,2024-09-07 09:20:40:995,107215,0.3,106601,0.5,213758,0.3,284752,1.75 129,1,2024-09-07 09:20:40:567,725033,725033,0,0,340375156098,3569962608,717370,5994,1669,379,391962,0 129,2,2024-09-07 09:20:40:685,523832,523832,0,0,21789623,0,4031 129,3,2024-09-07 09:20:40:688,1,414,1,0,506,5629,414,0 130,0,2024-09-07 09:20:41:743,107377,0.5,106720,0.6,214918,0.6,285328,1.75 130,1,2024-09-07 09:20:40:601,728155,728155,0,0,341648449677,3552326780,724124,3692,339,381,391825,0 130,2,2024-09-07 09:20:41:129,527567,527567,0,0,22285218,0,4067 130,3,2024-09-07 09:20:41:296,1,414,3,0,960,6685,414,0 131,0,2024-09-07 09:20:42:005,101346,0.3,101863,0.5,204746,0.3,271083,1.75 131,1,2024-09-07 09:20:41:820,727647,727647,0,0,341134747533,3565762714,721691,4908,1048,381,391865,0 131,2,2024-09-07 09:20:40:567,524702,524702,0,0,20355561,0,3979 131,3,2024-09-07 09:20:41:695,1,414,2,0,392,4666,414,0 132,0,2024-09-07 09:20:41:418,100633,0.4,101684,0.6,202261,0.4,268660,1.75 132,1,2024-09-07 09:20:40:577,724276,724276,0,0,340120251491,3584094506,713159,9013,2104,381,392097,0 132,2,2024-09-07 09:20:40:703,521788,521771,17,0,26500069,0,6451 132,3,2024-09-07 09:20:41:688,1,414,2,0,804,7419,414,0 133,0,2024-09-07 09:20:41:553,101377,0.4,103754,0.6,212911,0.4,277613,1.75 133,1,2024-09-07 09:20:40:591,724321,724321,0,0,340230473745,3588109353,713780,9026,1515,383,391914,0 133,2,2024-09-07 09:20:41:087,523325,523275,50,0,28013185,0,6861 133,3,2024-09-07 09:20:41:298,1,414,1,0,479,4173,414,0 134,0,2024-09-07 09:20:40:939,108592,0.6,108178,0.8,216554,0.6,288682,2.25 134,1,2024-09-07 09:20:40:594,725532,725532,0,0,340655661085,3575001889,715750,7748,2034,366,391718,0 134,2,2024-09-07 09:20:41:762,523144,523120,24,0,25591294,0,6207 134,3,2024-09-07 09:20:40:759,1,414,1,0,739,5393,414,0 135,0,2024-09-07 09:20:41:101,100760,0.8,100755,0.9,213808,0.9,275197,2.25 135,1,2024-09-07 09:20:41:594,725595,725595,0,0,340686405530,3586146268,715643,8581,1371,380,391805,0 135,2,2024-09-07 09:20:40:696,522948,522948,0,0,24635674,0,3981 135,3,2024-09-07 09:20:41:016,1,414,11,0,299,3464,414,0 136,0,2024-09-07 09:20:41:627,101610,0.5,101779,0.7,202513,0.5,270215,2.00 136,1,2024-09-07 09:20:41:465,726006,726006,0,0,341206195257,3580446229,717446,7598,962,382,391685,0 136,2,2024-09-07 09:20:41:133,524071,524071,0,0,23869089,0,3506 136,3,2024-09-07 09:20:41:114,1,414,3,0,637,4853,414,0 137,0,2024-09-07 09:20:40:929,105042,0.6,102211,0.7,200752,0.7,273055,2.00 137,1,2024-09-07 09:20:40:586,724876,724876,0,0,339963617627,3570280810,713368,9149,2359,366,391898,0 137,2,2024-09-07 09:20:41:708,522867,522867,0,0,26536340,0,3185 137,3,2024-09-07 09:20:40:773,1,414,2,0,484,5195,414,0 138,0,2024-09-07 09:20:41:757,107615,1.1,107611,1.0,216012,1.3,286780,2.25 138,1,2024-09-07 09:20:41:733,726105,726105,0,0,341409785231,3582893223,715868,8516,1721,368,391954,0 138,2,2024-09-07 09:20:40:593,522858,522858,0,0,24141825,0,4988 138,3,2024-09-07 09:20:40:610,1,414,0,0,1160,5616,414,0 139,0,2024-09-07 09:20:41:383,104889,1.6,104926,1.1,210345,2.3,280942,2.50 139,1,2024-09-07 09:20:40:574,722316,722316,0,0,338450054296,3599933849,707955,11056,3305,380,392058,0 139,2,2024-09-07 09:20:40:703,520073,520073,0,0,27521480,0,3097 139,3,2024-09-07 09:20:41:665,1,414,12,0,432,4978,414,0 140,0,2024-09-07 09:20:41:589,100356,0.3,99747,0.5,200279,0.2,266623,1.75 140,1,2024-09-07 09:20:41:535,730219,730219,0,0,343094868659,3538983256,726657,3121,441,365,391606,0 140,2,2024-09-07 09:20:40:696,523799,523798,1,0,21251985,0,5036 140,3,2024-09-07 09:20:40:771,1,414,0,0,297,3566,414,0 141,0,2024-09-07 09:20:41:706,100659,0.3,103359,0.5,197527,0.3,268310,1.75 141,1,2024-09-07 09:20:40:859,728166,728166,0,0,342506294184,3559583496,722485,4725,956,379,391614,0 141,2,2024-09-07 09:20:41:692,523763,523763,0,0,20991697,0,3360 141,3,2024-09-07 09:20:41:043,1,414,2,0,391,4871,414,0 142,0,2024-09-07 09:20:41:319,107500,0.3,107017,0.5,213702,0.3,285600,1.75 142,1,2024-09-07 09:20:40:589,727568,727568,0,0,341487063691,3561358079,722888,4283,397,382,392102,0 142,2,2024-09-07 09:20:41:311,521884,521852,32,0,23098109,0,6028 142,3,2024-09-07 09:20:41:747,1,414,3,0,484,4792,414,0 143,0,2024-09-07 09:20:41:406,106383,0.5,106317,0.6,212950,0.5,283379,1.75 143,1,2024-09-07 09:20:40:573,728799,728799,0,0,341762112294,3549354081,723759,4496,544,367,391705,0 143,2,2024-09-07 09:20:40:774,526499,526499,0,0,22155487,0,3123 143,3,2024-09-07 09:20:41:154,1,414,4,0,462,5267,414,0 144,0,2024-09-07 09:20:41:523,97758,0.6,100466,0.8,204828,0.5,268305,2.00 144,1,2024-09-07 09:20:40:566,724848,724848,0,0,339758233449,3569645413,717138,5876,1834,381,391649,0 144,2,2024-09-07 09:20:41:767,523864,523864,0,0,20819430,0,3673 144,3,2024-09-07 09:20:41:748,1,414,6,0,249,4290,414,0 145,0,2024-09-07 09:20:41:382,97046,0.5,97020,0.7,206064,0.5,265195,2.25 145,1,2024-09-07 09:20:40:565,724722,724722,0,0,339796327058,3575898906,715111,7949,1662,382,391759,0 145,2,2024-09-07 09:20:41:432,520664,520583,81,0,25091901,0,7814 145,3,2024-09-07 09:20:40:898,1,414,1,0,622,5961,414,0 146,0,2024-09-07 09:20:41:596,105084,0.6,104403,0.8,210610,0.6,279686,2.25 146,1,2024-09-07 09:20:41:587,726283,726283,0,0,340620431422,3578676431,715339,8824,2120,367,391770,0 146,2,2024-09-07 09:20:41:694,522019,522019,0,0,24539623,0,3290 146,3,2024-09-07 09:20:41:274,1,414,6,0,1520,7978,414,0 147,0,2024-09-07 09:20:41:770,107598,0.7,107408,0.8,214150,0.7,286004,2.25 147,1,2024-09-07 09:20:41:379,729283,729283,0,0,341709795589,3556591208,722648,5790,845,368,391791,0 147,2,2024-09-07 09:20:41:018,525348,525348,0,0,22194783,0,2968 147,3,2024-09-07 09:20:40:915,1,414,0,0,1626,7338,414,0 0,0,2024-09-07 09:20:51:778,100190,0.6,100218,0.8,212757,0.7,275102,2.00 0,1,2024-09-07 09:20:50:809,728008,728008,0,0,341739746798,3578009916,722568,5053,387,369,391896,0 0,2,2024-09-07 09:20:51:070,526817,526817,0,0,21528903,0,4480 0,3,2024-09-07 09:20:50:984,1,415,23,0,431,6313,415,0 1,0,2024-09-07 09:20:51:820,108315,1.2,107595,1.1,215810,1.7,288408,2.25 1,1,2024-09-07 09:20:50:568,727514,727514,0,0,340999477013,3571519579,721089,5099,1326,370,391857,0 1,2,2024-09-07 09:20:50:650,524476,524476,0,0,21844677,0,3380 1,3,2024-09-07 09:20:51:310,1,415,1,0,268,5189,415,0 2,0,2024-09-07 09:20:51:569,105262,0.6,105558,0.8,210293,0.7,280597,2.00 2,1,2024-09-07 09:20:50:859,730201,730201,0,0,342797209127,3559591417,726916,2990,295,380,391745,0 2,2,2024-09-07 09:20:51:269,524695,524695,0,0,20518356,0,3594 2,3,2024-09-07 09:20:50:723,1,415,0,0,357,4104,415,0 3,0,2024-09-07 09:20:51:746,100983,0.4,100789,0.6,201626,0.3,268686,2.00 3,1,2024-09-07 09:20:51:623,728701,728701,0,0,342110843488,3564776691,722482,5529,690,380,391716,0 3,2,2024-09-07 09:20:51:151,524583,524560,23,0,21622086,0,5851 3,3,2024-09-07 09:20:51:752,1,415,2,0,207,2820,415,0 4,0,2024-09-07 09:20:51:842,98429,0.4,101091,0.5,206111,0.3,269797,1.75 4,1,2024-09-07 09:20:50:601,726265,726265,0,0,339891953772,3594127192,714466,9292,2507,370,391992,0 4,2,2024-09-07 09:20:51:027,523389,523389,0,0,24356637,0,4528 4,3,2024-09-07 09:20:51:026,1,415,1,0,448,5479,415,0 5,0,2024-09-07 09:20:51:432,107483,0.4,108040,0.6,215737,0.4,287311,1.75 5,1,2024-09-07 09:20:50:768,727301,727301,0,0,341080712993,3591945435,716946,8198,2157,367,392005,0 5,2,2024-09-07 09:20:51:852,522000,522000,0,0,23987861,0,3582 5,3,2024-09-07 09:20:51:733,1,415,1,0,457,5961,415,0 6,0,2024-09-07 09:20:51:145,106928,0.5,106520,0.7,212846,0.4,284159,2.00 6,1,2024-09-07 09:20:50:758,727640,727640,0,0,341618322281,3572075240,719438,6810,1392,379,391694,0 6,2,2024-09-07 09:20:51:119,525142,525124,18,0,24428098,0,5535 6,3,2024-09-07 09:20:51:276,1,415,22,0,710,5294,415,0 7,0,2024-09-07 09:20:51:542,99361,0.5,100124,0.6,199464,0.4,265216,2.00 7,1,2024-09-07 09:20:50:857,727349,727349,0,0,341442363744,3583479730,717582,8305,1462,382,391747,0 7,2,2024-09-07 09:20:50:778,524994,524994,0,0,23602851,0,4791 7,3,2024-09-07 09:20:50:856,1,415,10,0,552,4782,415,0 8,0,2024-09-07 09:20:51:379,100666,0.4,100323,0.5,201240,0.3,268114,1.75 8,1,2024-09-07 09:20:51:020,726220,726220,0,0,340833435022,3586537903,714699,8892,2629,366,392853,0 8,2,2024-09-07 09:20:50:797,519599,519599,0,0,27893475,0,3250 8,3,2024-09-07 09:20:50:595,1,415,1,0,538,6950,415,0 9,0,2024-09-07 09:20:51:116,106934,0.4,103862,0.5,217317,0.3,285202,1.75 9,1,2024-09-07 09:20:50:568,726017,726017,0,0,340999475181,3594363559,714967,8629,2421,369,392001,0 9,2,2024-09-07 09:20:51:089,522928,522928,0,0,24795431,0,3360 9,3,2024-09-07 09:20:51:764,1,415,1,0,496,6004,415,0 10,0,2024-09-07 09:20:51:602,106801,0.4,106157,0.6,213355,0.3,283737,1.75 10,1,2024-09-07 09:20:50:594,727497,727497,0,0,341527223239,3582706336,717116,8758,1623,381,391741,0 10,2,2024-09-07 09:20:50:762,527019,527019,0,0,27167472,0,4264 10,3,2024-09-07 09:20:50:871,1,415,7,0,649,4565,415,0 11,0,2024-09-07 09:20:51:014,101517,0.4,98434,0.6,205935,0.4,271332,1.75 11,1,2024-09-07 09:20:50:571,727925,727925,0,0,342266799664,3593211507,716598,8701,2626,383,391756,0 11,2,2024-09-07 09:20:51:123,523212,523212,0,0,23987786,0,4130 11,3,2024-09-07 09:20:51:300,1,415,0,0,843,6184,415,0 12,0,2024-09-07 09:20:50:975,101533,0.4,101572,0.5,203149,0.3,269186,1.75 12,1,2024-09-07 09:20:50:962,728260,728260,0,0,341084375656,3557593690,722717,5042,501,370,391870,0 12,2,2024-09-07 09:20:51:544,523719,523719,0,0,23202793,0,3469 12,3,2024-09-07 09:20:51:060,1,415,1,0,386,6318,415,0 13,0,2024-09-07 09:20:51:372,105352,0.4,104918,0.6,209577,0.4,279953,1.75 13,1,2024-09-07 09:20:51:552,726822,726822,0,0,341165867726,3588830058,719221,5972,1629,382,391740,0 13,2,2024-09-07 09:20:50:609,526708,526708,0,0,21574783,0,3287 13,3,2024-09-07 09:20:51:767,1,415,1,0,522,6216,415,0 14,0,2024-09-07 09:20:50:588,107968,0.5,109074,0.7,215739,0.4,287715,2.00 14,1,2024-09-07 09:20:51:566,731641,731641,0,0,343312255237,3558265860,725424,5509,708,364,391673,0 14,2,2024-09-07 09:20:50:773,526204,526174,30,0,23611955,0,6104 14,3,2024-09-07 09:20:51:115,1,415,1,0,1168,4718,415,0 15,0,2024-09-07 09:20:51:559,103827,0.4,103692,0.7,207787,0.4,276231,2.00 15,1,2024-09-07 09:20:51:612,728970,728970,0,0,342521679923,3569797009,722748,5044,1178,381,391619,0 15,2,2024-09-07 09:20:51:009,525605,525605,0,0,19302208,0,3622 15,3,2024-09-07 09:20:51:416,1,415,1,0,1126,6925,415,0 16,0,2024-09-07 09:20:51:026,101555,0.5,101867,0.7,203320,0.5,270429,2.00 16,1,2024-09-07 09:20:50:571,728543,728543,0,0,341998079733,3577978451,721947,5483,1113,370,391917,0 16,2,2024-09-07 09:20:51:439,523575,523575,0,0,23111467,0,4719 16,3,2024-09-07 09:20:51:155,1,415,1,0,317,5369,415,0 17,0,2024-09-07 09:20:51:855,105416,0.6,102765,0.8,201276,0.7,273852,2.00 17,1,2024-09-07 09:20:50:571,727347,727347,0,0,340278627217,3573217111,719708,6126,1513,368,392075,0 17,2,2024-09-07 09:20:51:669,527495,527495,0,0,22985540,0,3779 17,3,2024-09-07 09:20:50:583,1,415,5,0,518,6792,415,0 18,0,2024-09-07 09:20:50:959,107132,0.7,107657,0.9,214480,0.8,286407,2.25 18,1,2024-09-07 09:20:51:640,730156,730156,0,0,342321397226,3549528522,726156,3621,379,367,391725,0 18,2,2024-09-07 09:20:51:755,526953,526953,0,0,20316180,0,3541 18,3,2024-09-07 09:20:51:127,1,415,1,0,163,3245,415,0 19,0,2024-09-07 09:20:51:541,105465,0.6,106069,0.8,210406,0.6,280216,2.25 19,1,2024-09-07 09:20:50:567,730936,730936,0,0,342942535842,3559285007,724991,5024,921,367,391777,0 19,2,2024-09-07 09:20:51:753,527148,527148,0,0,19265535,0,3988 19,3,2024-09-07 09:20:51:129,1,415,0,0,524,3440,415,0 20,0,2024-09-07 09:20:51:528,100176,0.4,100127,0.6,200147,0.4,266872,2.00 20,1,2024-09-07 09:20:50:570,727888,727888,0,0,342151135018,3579013018,721371,5717,800,369,391922,0 20,2,2024-09-07 09:20:50:937,524279,524279,0,0,22853259,0,3721 20,3,2024-09-07 09:20:50:599,1,415,1,0,414,6143,415,0 21,0,2024-09-07 09:20:51:183,100858,0.5,100946,0.6,201725,0.4,268244,2.00 21,1,2024-09-07 09:20:51:548,725818,725818,0,0,340479800123,3591381188,714969,8375,2474,368,392016,0 21,2,2024-09-07 09:20:51:072,522030,522010,20,0,27883532,0,5617 21,3,2024-09-07 09:20:51:414,1,415,11,0,713,5401,415,0 22,0,2024-09-07 09:20:51:731,106908,0.5,107342,0.7,214630,0.4,284478,2.00 22,1,2024-09-07 09:20:51:028,727235,727235,0,0,341139304069,3586650913,716112,8897,2226,382,391667,0 22,2,2024-09-07 09:20:50:765,522547,522521,26,0,22266645,0,6328 22,3,2024-09-07 09:20:51:070,1,415,4,0,228,3474,415,0 23,0,2024-09-07 09:20:51:378,106018,0.6,105360,0.7,211411,0.5,282059,2.25 23,1,2024-09-07 09:20:51:010,728188,728188,0,0,342109535144,3594125022,715207,8928,4053,365,391690,0 23,2,2024-09-07 09:20:51:098,528352,528352,0,0,21772139,0,3773 23,3,2024-09-07 09:20:51:756,1,415,1,0,720,5523,415,0 24,0,2024-09-07 09:20:50:824,101647,0.4,101286,0.5,203392,0.3,270133,1.75 24,1,2024-09-07 09:20:50:601,727322,727322,0,0,341423360384,3580822861,719333,6398,1591,367,392269,0 24,2,2024-09-07 09:20:51:075,523140,523140,0,0,26210638,0,3607 24,3,2024-09-07 09:20:51:694,1,415,17,0,468,5746,415,0 25,0,2024-09-07 09:20:51:398,103366,0.4,100764,0.6,197453,0.3,269345,1.75 25,1,2024-09-07 09:20:50:566,726718,726718,0,0,341441852108,3599746646,714788,9685,2245,371,391928,0 25,2,2024-09-07 09:20:51:615,521915,521915,0,0,26623146,0,3978 25,3,2024-09-07 09:20:51:000,1,415,2,0,532,4788,415,0 26,0,2024-09-07 09:20:51:737,105485,0.4,103078,0.6,216119,0.3,281715,1.75 26,1,2024-09-07 09:20:51:558,728572,728572,0,0,341470293284,3577822365,717946,9042,1584,380,391748,0 26,2,2024-09-07 09:20:50:861,525197,525197,0,0,26804134,0,2809 26,3,2024-09-07 09:20:51:718,1,415,1,0,796,5141,415,0 27,0,2024-09-07 09:20:51:730,107698,0.5,107814,0.7,214475,0.5,286208,2.25 27,1,2024-09-07 09:20:51:677,729998,729998,0,0,342508682792,3569566181,722744,6353,901,381,391626,0 27,2,2024-09-07 09:20:50:867,524391,524326,65,0,24656158,0,5699 27,3,2024-09-07 09:20:51:015,1,415,1,0,564,4090,415,0 28,0,2024-09-07 09:20:51:392,103293,0.4,102811,0.6,206192,0.3,274733,2.00 28,1,2024-09-07 09:20:50:800,729300,729300,0,0,342411749006,3576477154,722113,5488,1699,383,391698,0 28,2,2024-09-07 09:20:51:768,523800,523800,0,0,22480179,0,2915 28,3,2024-09-07 09:20:51:776,1,415,1,0,502,4643,415,0 29,0,2024-09-07 09:20:51:356,104242,0.3,101542,0.6,199249,0.3,270759,1.75 29,1,2024-09-07 09:20:51:562,731645,731645,0,0,343836472254,3559739410,726783,4127,735,368,391753,0 29,2,2024-09-07 09:20:50:860,523343,523343,0,0,21320360,0,4986 29,3,2024-09-07 09:20:50:974,1,415,1,0,459,4914,415,0 30,0,2024-09-07 09:20:51:461,102907,0.5,100044,0.7,209268,0.5,274099,2.00 30,1,2024-09-07 09:20:50:582,730628,730628,0,0,343038999454,3565039239,724559,5303,766,381,391672,0 30,2,2024-09-07 09:20:51:274,526323,526323,0,0,20890840,0,4192 30,3,2024-09-07 09:20:50:581,1,415,2,0,519,4159,415,0 31,0,2024-09-07 09:20:51:766,107830,0.5,108379,0.7,216223,0.5,288395,2.00 31,1,2024-09-07 09:20:50:572,733479,733479,0,0,344082156191,3528543156,730464,2429,586,356,391712,0 31,2,2024-09-07 09:20:51:303,524941,524941,0,0,22485685,0,3525 31,3,2024-09-07 09:20:51:714,1,415,1,0,220,3717,415,0 32,0,2024-09-07 09:20:51:426,105747,0.3,106448,0.5,211855,0.3,282271,1.75 32,1,2024-09-07 09:20:50:804,730245,730245,0,0,342204776064,3562045643,725134,4430,681,381,391646,0 32,2,2024-09-07 09:20:50:938,525203,525203,0,0,20151829,0,3922 32,3,2024-09-07 09:20:51:018,1,415,15,0,304,3350,415,0 33,0,2024-09-07 09:20:51:492,101149,0.3,100735,0.4,202074,0.2,269125,1.50 33,1,2024-09-07 09:20:50:575,731121,731121,0,0,343118136572,3560902321,724610,5406,1105,369,391730,0 33,2,2024-09-07 09:20:50:762,525053,525018,35,0,22591358,0,7012 33,3,2024-09-07 09:20:50:896,1,415,1,0,329,4123,415,0 34,0,2024-09-07 09:20:50:931,101566,0.3,104582,0.4,200012,0.2,269013,1.75 34,1,2024-09-07 09:20:51:044,732097,732097,0,0,344182855203,3540380580,730361,1725,11,367,391562,0 34,2,2024-09-07 09:20:50:766,525847,525847,0,0,20939175,0,4562 34,3,2024-09-07 09:20:51:694,1,415,1,0,299,3172,415,0 35,0,2024-09-07 09:20:50:857,107054,0.4,107555,0.5,216021,0.3,288128,1.75 35,1,2024-09-07 09:20:51:081,730353,730353,0,0,341989493927,3546780069,725817,3680,856,382,391769,0 35,2,2024-09-07 09:20:51:585,524545,524545,0,0,22542550,0,4055 35,3,2024-09-07 09:20:50:907,1,415,3,0,418,4490,415,0 36,0,2024-09-07 09:20:51:523,106974,0.5,106896,0.7,213770,0.4,284528,2.00 36,1,2024-09-07 09:20:50:596,728994,728994,0,0,342441465493,3578240174,719348,7646,2000,366,391759,0 36,2,2024-09-07 09:20:51:758,526337,526337,0,0,23438763,0,3875 36,3,2024-09-07 09:20:50:863,1,415,3,0,416,6060,415,0 37,0,2024-09-07 09:20:51:377,99612,0.4,99740,0.6,199472,0.4,265627,2.00 37,1,2024-09-07 09:20:50:602,728833,728826,0,7,341632442909,3569134514,720190,6362,2274,365,391573,0 37,2,2024-09-07 09:20:51:151,522718,522703,15,0,23333494,0,5815 37,3,2024-09-07 09:20:51:777,1,415,5,0,888,6325,415,0 38,0,2024-09-07 09:20:51:440,99971,0.4,97043,0.6,202950,0.4,265719,2.00 38,1,2024-09-07 09:20:51:614,729908,729908,0,0,341626373205,3572363671,719369,8378,2161,368,391821,0 38,2,2024-09-07 09:20:50:764,523830,523783,47,0,22873585,0,6710 38,3,2024-09-07 09:20:51:007,1,415,1,0,689,5446,415,0 39,0,2024-09-07 09:20:51:759,109233,0.6,106915,0.7,208185,0.5,284425,2.00 39,1,2024-09-07 09:20:50:723,727978,727978,0,0,341323948240,3581139294,715563,9725,2690,365,391658,0 39,2,2024-09-07 09:20:51:417,524471,524471,0,0,21866824,0,3391 39,3,2024-09-07 09:20:50:713,1,415,1,0,324,4863,415,0 40,0,2024-09-07 09:20:51:488,105578,0.9,106277,1.0,211732,1.0,282456,2.75 40,1,2024-09-07 09:20:50:575,728676,728676,0,0,340884542963,3573243747,717857,8775,2044,368,391668,0 40,2,2024-09-07 09:20:51:310,526096,526095,1,0,25809620,0,5137 40,3,2024-09-07 09:20:51:143,1,415,7,0,1028,5778,415,0 41,0,2024-09-07 09:20:51:040,101021,1.4,103300,1.2,197212,2.1,267971,3.00 41,1,2024-09-07 09:20:50:773,727547,727547,0,0,341556948385,3575247697,718365,8142,1040,369,391742,0 41,2,2024-09-07 09:20:50:761,522272,522272,0,0,24550251,0,4277 41,3,2024-09-07 09:20:51:680,1,415,1,0,366,4204,415,0 42,0,2024-09-07 09:20:51:483,100077,0.8,100133,1.0,200376,0.9,265000,2.75 42,1,2024-09-07 09:20:51:461,726024,726024,0,0,340379168623,3580008736,714063,9930,2031,380,391675,0 42,2,2024-09-07 09:20:51:143,522253,522253,0,0,24879127,0,3790 42,3,2024-09-07 09:20:51:008,1,415,1,0,892,4244,415,0 43,0,2024-09-07 09:20:51:151,103486,0.8,100718,1.0,211067,0.8,277386,2.25 43,1,2024-09-07 09:20:50:693,728946,728946,0,0,342684216335,3582591952,718619,8588,1739,366,391696,0 43,2,2024-09-07 09:20:51:736,525335,525335,0,0,24456099,0,3812 43,3,2024-09-07 09:20:51:762,1,415,127,0,548,5639,415,0 44,0,2024-09-07 09:20:50:883,108135,0.5,108391,0.7,217214,0.5,288309,2.00 44,1,2024-09-07 09:20:50:562,730729,730729,0,0,342248418932,3541013337,724236,5175,1318,356,391809,0 44,2,2024-09-07 09:20:51:275,525103,525103,0,0,20660789,0,4344 44,3,2024-09-07 09:20:51:106,1,415,1,0,817,5023,415,0 45,0,2024-09-07 09:20:51:791,102720,0.5,100324,0.7,210416,0.5,276783,2.00 45,1,2024-09-07 09:20:51:015,729779,729779,0,0,342322008392,3559632751,724122,5084,573,382,391917,0 45,2,2024-09-07 09:20:51:272,524573,524573,0,0,20931730,0,3596 45,3,2024-09-07 09:20:50:935,1,415,6,0,271,3668,415,0 46,0,2024-09-07 09:20:50:960,101010,0.5,100879,0.7,202132,0.4,268114,2.00 46,1,2024-09-07 09:20:50:574,731239,731239,0,0,343360519857,3548818238,726574,4074,591,366,391709,0 46,2,2024-09-07 09:20:50:595,524308,524308,0,0,21114709,0,4443 46,3,2024-09-07 09:20:51:131,1,415,1,0,908,5387,415,0 47,0,2024-09-07 09:20:51:110,102655,0.4,102848,0.6,206087,0.4,273263,2.00 47,1,2024-09-07 09:20:50:570,732054,732054,0,0,343025281994,3547492072,727197,4019,838,366,391641,0 47,2,2024-09-07 09:20:50:908,528096,528096,0,0,20739748,0,4477 47,3,2024-09-07 09:20:51:119,1,415,1,0,600,5203,415,0 48,0,2024-09-07 09:20:51:512,108779,0.3,108570,0.5,216381,0.2,288529,1.75 48,1,2024-09-07 09:20:51:030,729893,729893,0,0,342450563140,3558933792,725184,4253,456,384,391710,0 48,2,2024-09-07 09:20:50:709,524681,524681,0,0,19387267,0,3411 48,3,2024-09-07 09:20:50:760,1,415,1,0,339,3410,415,0 49,0,2024-09-07 09:20:51:714,108824,0.4,107001,0.5,207288,0.3,284199,1.75 49,1,2024-09-07 09:20:51:022,729736,729736,0,0,341850053155,3558956754,724585,3945,1206,382,391809,0 49,2,2024-09-07 09:20:51:808,525606,525606,0,0,21371783,0,4426 49,3,2024-09-07 09:20:51:416,1,415,3,0,992,5064,415,0 50,0,2024-09-07 09:20:51:511,100690,0.3,99083,0.4,200125,0.2,266568,1.75 50,1,2024-09-07 09:20:51:009,732216,732216,0,0,343841081417,3557137530,727293,4349,574,368,391565,0 50,2,2024-09-07 09:20:51:079,524560,524560,0,0,19646436,0,4490 50,3,2024-09-07 09:20:51:291,1,415,1,0,567,4288,415,0 51,0,2024-09-07 09:20:51:692,103667,0.3,101373,0.5,197620,0.2,269547,1.75 51,1,2024-09-07 09:20:51:688,732150,732150,0,0,344093155462,3550340432,728199,2902,1049,365,391706,0 51,2,2024-09-07 09:20:51:317,525643,525643,0,0,18634798,0,3337 51,3,2024-09-07 09:20:51:027,1,415,1,0,678,3479,415,0 52,0,2024-09-07 09:20:51:424,107454,0.5,107224,0.7,214792,0.5,285263,2.00 52,1,2024-09-07 09:20:50:584,729183,729183,0,0,342323148594,3582725563,718857,8973,1353,368,391722,0 52,2,2024-09-07 09:20:51:759,520704,520666,38,0,24686319,0,6742 52,3,2024-09-07 09:20:50:674,1,415,1,0,1782,5811,415,0 53,0,2024-09-07 09:20:51:740,105645,0.7,102582,0.8,214697,0.7,282045,2.25 53,1,2024-09-07 09:20:50:771,727507,727507,0,0,341562617502,3584612854,715604,8944,2959,367,391702,0 53,2,2024-09-07 09:20:51:304,527316,527315,1,0,22413658,0,5455 53,3,2024-09-07 09:20:50:708,1,415,1,0,308,3719,415,0 54,0,2024-09-07 09:20:51:624,99588,0.6,99891,0.8,198950,0.4,265973,2.25 54,1,2024-09-07 09:20:50:587,729160,729160,0,0,342617383282,3562416550,721889,6032,1239,366,391659,0 54,2,2024-09-07 09:20:50:867,524173,524141,32,0,25409881,0,6397 54,3,2024-09-07 09:20:50:765,1,415,1,0,676,6103,415,0 55,0,2024-09-07 09:20:51:760,97275,0.5,100512,0.7,203264,0.5,265130,2.25 55,1,2024-09-07 09:20:50:774,730100,730100,0,0,342139208390,3557027039,722469,6539,1092,365,391731,0 55,2,2024-09-07 09:20:50:732,522819,522763,56,0,24139033,0,7239 55,3,2024-09-07 09:20:50:674,1,415,1,0,304,4298,415,0 56,0,2024-09-07 09:20:51:633,107833,1.4,101692,1.2,209383,2.0,281052,2.75 56,1,2024-09-07 09:20:50:581,725291,725291,0,0,341598854405,3609646407,713789,9129,2373,381,391867,0 56,2,2024-09-07 09:20:51:303,524593,524593,0,0,24288548,0,3567 56,3,2024-09-07 09:20:51:063,1,415,1,0,705,5144,415,0 57,0,2024-09-07 09:20:50:953,106005,1.8,105708,1.3,212131,2.5,283973,3.50 57,1,2024-09-07 09:20:50:988,728426,728426,0,0,341262092615,3578348902,719823,7518,1085,366,392032,0 57,2,2024-09-07 09:20:51:320,526886,526886,0,0,26282014,0,3317 57,3,2024-09-07 09:20:51:742,1,415,9,0,455,4906,415,0 58,0,2024-09-07 09:20:50:561,101470,0.9,98530,1.0,206293,0.9,270233,2.50 58,1,2024-09-07 09:20:50:581,728299,728296,0,3,342249741308,3580244195,718346,8661,1289,367,391603,3 58,2,2024-09-07 09:20:51:082,523153,523153,0,0,24282128,0,2902 58,3,2024-09-07 09:20:51:071,1,415,2,0,1043,4570,415,0 59,0,2024-09-07 09:20:51:743,101107,0.7,100828,0.9,201217,0.8,267125,2.50 59,1,2024-09-07 09:20:50:811,728116,728116,0,0,342614004354,3590626352,717898,8409,1809,369,391653,0 59,2,2024-09-07 09:20:50:600,523213,523213,0,0,24205822,0,3727 59,3,2024-09-07 09:20:51:741,1,415,1,0,1015,5571,415,0 60,0,2024-09-07 09:20:51:730,103088,0.5,103039,0.7,206779,0.5,275092,1.75 60,1,2024-09-07 09:20:50:773,730269,730269,0,0,342703915271,3559197940,725129,4420,720,370,392031,0 60,2,2024-09-07 09:20:51:141,526290,526290,0,0,22756519,0,3811 60,3,2024-09-07 09:20:51:260,1,415,1,0,409,4934,415,0 61,0,2024-09-07 09:20:51:509,107924,0.8,108544,0.9,216247,0.9,288061,2.00 61,1,2024-09-07 09:20:50:773,728740,728740,0,0,341729025916,3582715118,720437,6874,1429,382,392127,0 61,2,2024-09-07 09:20:51:126,524828,524761,67,0,23082093,0,6411 61,3,2024-09-07 09:20:51:693,1,415,0,0,479,5721,415,0 62,0,2024-09-07 09:20:51:740,106228,0.5,108786,0.7,207738,0.5,282016,2.00 62,1,2024-09-07 09:20:51:123,732909,732903,0,6,344402073464,3553039935,729089,3553,261,365,391975,6 62,2,2024-09-07 09:20:51:646,522871,522870,1,0,23242472,0,5555 62,3,2024-09-07 09:20:51:147,1,415,1,0,482,3716,415,0 63,0,2024-09-07 09:20:51:473,101226,0.4,101049,0.6,202623,0.3,269588,1.75 63,1,2024-09-07 09:20:50:804,730728,730722,0,6,342609832289,3559516848,725754,4235,733,381,391800,6 63,2,2024-09-07 09:20:50:762,524663,524663,0,0,21191333,0,4369 63,3,2024-09-07 09:20:51:737,1,415,3,0,667,4381,415,0 64,0,2024-09-07 09:20:51:555,100992,0.5,101023,0.7,201739,0.5,268307,2.00 64,1,2024-09-07 09:20:50:765,729719,729719,0,0,342726191439,3573086900,723044,4972,1703,370,391783,0 64,2,2024-09-07 09:20:51:142,528777,528758,19,0,21023686,0,6121 64,3,2024-09-07 09:20:51:149,1,415,1,0,265,3850,415,0 65,0,2024-09-07 09:20:51:779,106723,0.7,107117,0.8,213697,0.8,285618,2.25 65,1,2024-09-07 09:20:50:861,728308,728308,0,0,341255193856,3568809906,723199,4569,540,382,391901,0 65,2,2024-09-07 09:20:51:738,524690,524690,0,0,24437383,0,3367 65,3,2024-09-07 09:20:51:685,1,415,1,0,782,5003,415,0 66,0,2024-09-07 09:20:51:815,106406,0.5,106254,0.8,212269,0.5,282996,2.25 66,1,2024-09-07 09:20:51:293,729900,729900,0,0,342459245370,3570162112,724406,4969,525,380,391743,0 66,2,2024-09-07 09:20:51:132,527783,527783,0,0,21454664,0,4956 66,3,2024-09-07 09:20:51:085,1,415,3,0,291,3681,415,0 67,0,2024-09-07 09:20:51:428,100254,0.5,99881,0.6,200369,0.4,266448,2.00 67,1,2024-09-07 09:20:50:767,729918,729917,0,1,342573005992,3570346718,724566,4559,792,380,391787,1 67,2,2024-09-07 09:20:50:597,526344,526344,0,0,20565273,0,3622 67,3,2024-09-07 09:20:51:757,1,415,0,0,392,4183,415,0 68,0,2024-09-07 09:20:50:574,100635,0.5,100592,0.7,200426,0.5,267799,2.00 68,1,2024-09-07 09:20:50:576,727036,727036,0,0,341223041133,3590746576,716988,7102,2946,381,391953,0 68,2,2024-09-07 09:20:51:048,521867,521767,100,0,27262843,0,8578 68,3,2024-09-07 09:20:50:734,1,415,1,0,417,4435,415,0 69,0,2024-09-07 09:20:51:770,106204,0.7,106907,0.8,212825,0.8,282893,2.25 69,1,2024-09-07 09:20:51:022,726693,726693,0,0,340854819661,3594605270,716928,7496,2269,384,391994,0 69,2,2024-09-07 09:20:51:743,523157,523128,29,0,28581264,0,6912 69,3,2024-09-07 09:20:50:766,1,415,5,0,698,5978,415,0 70,0,2024-09-07 09:20:51:548,105697,1.2,105798,1.1,212818,1.1,281751,2.75 70,1,2024-09-07 09:20:50:811,729990,729990,0,0,342741313510,3558400348,724017,5312,661,366,391725,0 70,2,2024-09-07 09:20:51:331,526856,526856,0,0,24139752,0,4323 70,3,2024-09-07 09:20:50:751,1,415,1,0,854,4921,415,0 71,0,2024-09-07 09:20:51:375,100701,0.8,100390,1.0,201614,0.9,269424,2.75 71,1,2024-09-07 09:20:51:596,729013,729013,0,0,341938615117,3568276575,720586,7624,803,368,391738,0 71,2,2024-09-07 09:20:51:072,523453,523453,0,0,23956578,0,4352 71,3,2024-09-07 09:20:51:756,1,415,0,0,644,5241,415,0 72,0,2024-09-07 09:20:51:049,104073,0.5,101739,0.7,198418,0.5,269397,2.00 72,1,2024-09-07 09:20:51:036,727875,727875,0,0,340909540270,3579385075,717016,8849,2010,369,391819,0 72,2,2024-09-07 09:20:51:761,522526,522526,0,0,26286377,0,3983 72,3,2024-09-07 09:20:51:759,1,415,1,0,564,6330,415,0 73,0,2024-09-07 09:20:51:114,101882,0.5,104441,0.6,213698,0.4,278358,2.00 73,1,2024-09-07 09:20:50:766,729507,729507,0,0,342457174340,3558726788,724264,4834,409,367,391858,0 73,2,2024-09-07 09:20:51:742,524825,524825,0,0,25767964,0,3701 73,3,2024-09-07 09:20:50:972,1,415,1,0,486,5644,415,0 74,0,2024-09-07 09:20:51:348,109039,0.6,111411,0.7,212593,0.5,288593,2.25 74,1,2024-09-07 09:20:50:643,728501,728501,0,0,341031356035,3563332311,720538,6368,1595,381,391762,0 74,2,2024-09-07 09:20:51:008,525340,525340,0,0,23785335,0,4253 74,3,2024-09-07 09:20:51:442,1,415,1,0,522,5519,415,0 75,0,2024-09-07 09:20:51:792,104507,0.5,103842,0.8,208283,0.5,277887,2.25 75,1,2024-09-07 09:20:51:585,729135,729135,0,0,342018063070,3569051273,722314,5993,828,380,391739,0 75,2,2024-09-07 09:20:51:350,522539,522539,0,0,25929255,0,4766 75,3,2024-09-07 09:20:51:072,1,415,1,0,702,5291,415,0 76,0,2024-09-07 09:20:50:582,100997,0.5,100299,0.7,201371,0.5,268917,2.25 76,1,2024-09-07 09:20:50:820,728847,728847,0,0,341671615495,3566784612,723423,4599,825,382,391692,0 76,2,2024-09-07 09:20:51:070,526143,526142,1,0,23177727,0,5144 76,3,2024-09-07 09:20:51:145,1,415,0,0,175,3863,415,0 77,0,2024-09-07 09:20:51:740,101919,0.6,102445,0.7,204744,0.6,272068,2.00 77,1,2024-09-07 09:20:50:824,729564,729564,0,0,342534772075,3576525081,723754,5309,501,381,391869,0 77,2,2024-09-07 09:20:51:291,525532,525532,0,0,22658045,0,3890 77,3,2024-09-07 09:20:51:094,1,415,3,0,401,4744,415,0 78,0,2024-09-07 09:20:51:733,108560,0.5,107927,0.7,216714,0.4,287078,2.00 78,1,2024-09-07 09:20:50:614,729474,729474,0,0,341250514288,3558154172,721611,6296,1567,367,391670,0 78,2,2024-09-07 09:20:51:414,525235,525222,13,0,21365474,0,8313 78,3,2024-09-07 09:20:51:136,1,415,5,0,181,3699,415,0 79,0,2024-09-07 09:20:51:351,102343,0.4,104722,0.6,214649,0.4,279128,2.25 79,1,2024-09-07 09:20:50:576,731385,731385,0,0,342337098558,3551382042,724815,5261,1309,367,391682,0 79,2,2024-09-07 09:20:51:088,526046,526046,0,0,21000796,0,4195 79,3,2024-09-07 09:20:50:756,1,415,1,0,418,5344,415,0 80,0,2024-09-07 09:20:51:086,100038,0.5,102991,0.6,197111,0.5,266123,2.00 80,1,2024-09-07 09:20:51:627,729033,729033,0,0,342550344490,3570559007,723483,5129,421,368,392269,0 80,2,2024-09-07 09:20:51:093,526565,526565,0,0,21175253,0,4433 80,3,2024-09-07 09:20:50:580,1,415,3,0,190,4870,415,0 81,0,2024-09-07 09:20:51:583,100813,0.5,103278,0.7,197134,0.5,268180,2.00 81,1,2024-09-07 09:20:51:654,728164,728164,0,0,341592109674,3571735432,722166,5458,540,382,391879,0 81,2,2024-09-07 09:20:51:152,523597,523534,63,0,23463346,0,5932 81,3,2024-09-07 09:20:51:120,1,415,1,0,719,5062,415,0 82,0,2024-09-07 09:20:51:544,106834,0.5,107077,0.7,214694,0.5,284755,2.00 82,1,2024-09-07 09:20:50:598,730260,730256,0,4,342250370501,3562736703,725562,3876,818,381,391768,4 82,2,2024-09-07 09:20:51:691,525235,525235,0,0,20010408,0,4484 82,3,2024-09-07 09:20:51:754,1,415,4,0,363,4567,415,0 83,0,2024-09-07 09:20:51:533,106427,0.7,106371,0.8,211916,0.7,282201,2.25 83,1,2024-09-07 09:20:50:560,728517,728517,0,0,341930133723,3568621219,722993,5074,450,382,391709,0 83,2,2024-09-07 09:20:50:774,527160,527160,0,0,20763968,0,3393 83,3,2024-09-07 09:20:50:751,1,415,1,0,1260,5495,415,0 84,0,2024-09-07 09:20:51:772,100442,0.6,100487,0.8,200297,0.5,268339,2.25 84,1,2024-09-07 09:20:51:047,728122,728122,0,0,341376999178,3567672646,720456,6624,1042,367,391967,0 84,2,2024-09-07 09:20:50:598,523181,523151,30,0,27614146,0,5971 84,3,2024-09-07 09:20:51:149,1,415,1,0,908,6329,415,0 85,0,2024-09-07 09:20:51:015,96972,0.6,97000,0.8,205928,0.5,266416,2.25 85,1,2024-09-07 09:20:50:571,726424,726424,0,0,340659194821,3595664618,715627,8879,1918,381,392006,0 85,2,2024-09-07 09:20:50:868,522697,522697,0,0,25540688,0,3656 85,3,2024-09-07 09:20:50:686,1,415,4,0,789,5195,415,0 86,0,2024-09-07 09:20:50:917,105371,0.7,108405,0.8,207615,0.7,281227,2.25 86,1,2024-09-07 09:20:50:830,728556,728556,0,0,342215975790,3585092279,719900,7217,1439,366,391961,0 86,2,2024-09-07 09:20:50:854,522605,522604,1,0,27640287,0,5004 86,3,2024-09-07 09:20:50:587,1,415,3,0,308,6026,415,0 87,0,2024-09-07 09:20:51:420,107346,1.0,107244,0.9,214608,1.4,286426,2.50 87,1,2024-09-07 09:20:50:553,727183,727183,0,0,341866516446,3585081864,717290,8302,1591,366,392076,0 87,2,2024-09-07 09:20:51:076,525501,525495,6,0,24709864,0,6323 87,3,2024-09-07 09:20:51:820,1,415,8,0,473,6646,415,0 88,0,2024-09-07 09:20:51:464,102967,0.4,103672,0.6,206331,0.4,274547,1.75 88,1,2024-09-07 09:20:50:605,726843,726843,0,0,341884412798,3583632038,717143,7693,2007,365,392084,0 88,2,2024-09-07 09:20:50:727,523712,523712,0,0,26693027,0,4465 88,3,2024-09-07 09:20:51:274,1,415,1,0,435,4772,415,0 89,0,2024-09-07 09:20:51:779,104299,0.4,101015,0.6,199894,0.4,270887,1.75 89,1,2024-09-07 09:20:50:636,726995,726995,0,0,341047394281,3587292895,717829,7740,1426,382,391866,0 89,2,2024-09-07 09:20:51:131,522694,522694,0,0,25131593,0,3173 89,3,2024-09-07 09:20:51:799,1,415,1,0,468,7445,415,0 90,0,2024-09-07 09:20:51:635,100181,0.5,102780,0.6,209764,0.4,274112,2.00 90,1,2024-09-07 09:20:50:627,728043,728043,0,0,342185241547,3583737725,721281,6252,510,380,391825,0 90,2,2024-09-07 09:20:51:411,524011,524011,0,0,26627584,0,3060 90,3,2024-09-07 09:20:50:935,1,415,3,0,322,5222,415,0 91,0,2024-09-07 09:20:50:936,108813,0.5,105344,0.7,220136,0.5,288784,2.00 91,1,2024-09-07 09:20:50:572,727037,727037,0,0,341352083696,3591047303,717788,7847,1402,381,392047,0 91,2,2024-09-07 09:20:51:350,525860,525860,0,0,23629346,0,2896 91,3,2024-09-07 09:20:50:605,1,415,2,0,216,4184,415,0 92,0,2024-09-07 09:20:51:452,106536,0.5,109281,0.6,208572,0.5,282370,1.75 92,1,2024-09-07 09:20:50:580,728554,728554,0,0,341436896398,3567580406,723026,4803,725,382,392136,0 92,2,2024-09-07 09:20:51:350,525376,525376,0,0,21309956,0,3259 92,3,2024-09-07 09:20:51:009,1,415,0,0,167,3718,415,0 93,0,2024-09-07 09:20:50:978,101638,0.4,104136,0.5,198765,0.3,269594,1.75 93,1,2024-09-07 09:20:50:987,728248,728248,0,0,342203039750,3575237573,720121,6744,1383,366,391776,0 93,2,2024-09-07 09:20:50:944,523776,523776,0,0,25815308,0,4845 93,3,2024-09-07 09:20:51:411,1,415,3,0,190,3730,415,0 94,0,2024-09-07 09:20:51:623,101070,0.4,101922,0.5,203486,0.3,270027,1.75 94,1,2024-09-07 09:20:50:569,729232,729232,0,0,342049503313,3569914873,724449,4574,209,381,391850,0 94,2,2024-09-07 09:20:50:772,524812,524812,0,0,21618020,0,2443 94,3,2024-09-07 09:20:51:713,1,415,6,0,576,5640,415,0 95,0,2024-09-07 09:20:51:516,107643,0.4,107485,0.5,215633,0.3,288096,1.75 95,1,2024-09-07 09:20:50:858,729716,729716,0,0,342266908866,3559871236,723678,5602,436,365,391786,0 95,2,2024-09-07 09:20:51:053,524125,524125,0,0,21394613,0,3308 95,3,2024-09-07 09:20:51:716,1,415,5,0,718,6128,415,0 96,0,2024-09-07 09:20:51:077,106853,0.4,107263,0.5,213918,0.3,284006,1.75 96,1,2024-09-07 09:20:51:585,728768,728768,0,0,342112044511,3572103505,723231,4654,883,384,391955,0 96,2,2024-09-07 09:20:51:284,526801,526801,0,0,22358465,0,4180 96,3,2024-09-07 09:20:51:141,1,415,1,0,411,4760,415,0 97,0,2024-09-07 09:20:51:344,100226,0.3,99801,0.5,200311,0.2,266283,1.50 97,1,2024-09-07 09:20:50:774,730443,730443,0,0,343301020434,3563931120,725301,4210,932,367,392140,0 97,2,2024-09-07 09:20:50:622,524962,524962,0,0,21441565,0,3679 97,3,2024-09-07 09:20:50:576,1,415,7,0,242,4818,415,0 98,0,2024-09-07 09:20:51:762,100362,0.3,100351,0.4,201413,0.2,267590,1.50 98,1,2024-09-07 09:20:50:572,728922,728922,0,0,342680171147,3572376369,723974,4158,790,382,391997,0 98,2,2024-09-07 09:20:50:773,524427,524427,0,0,21650360,0,4336 98,3,2024-09-07 09:20:50:698,1,415,2,0,840,7115,415,0 99,0,2024-09-07 09:20:51:479,106677,0.3,107439,0.5,213285,0.3,285398,1.75 99,1,2024-09-07 09:20:51:759,729621,729621,0,0,341954472793,3561053889,724685,4099,837,381,392069,0 99,2,2024-09-07 09:20:51:417,524858,524858,0,0,25417419,0,4276 99,3,2024-09-07 09:20:50:600,1,415,8,0,606,4670,415,0 100,0,2024-09-07 09:20:51:511,106544,0.9,106708,1.0,212682,1.1,284364,2.50 100,1,2024-09-07 09:20:50:560,725717,725717,0,0,339935763722,3591976675,715020,8652,2045,381,391989,0 100,2,2024-09-07 09:20:51:821,525174,525163,11,0,24713878,0,5417 100,3,2024-09-07 09:20:51:735,1,415,2,0,559,6853,415,0 101,0,2024-09-07 09:20:51:709,103765,0.9,100992,1.0,198346,0.7,271444,2.25 101,1,2024-09-07 09:20:50:572,726152,726152,0,0,340440137635,3583205698,715486,8544,2122,368,391847,0 101,2,2024-09-07 09:20:51:776,520388,520388,0,0,29345610,0,4871 101,3,2024-09-07 09:20:50:948,1,415,1,0,579,5852,415,0 102,0,2024-09-07 09:20:50:969,98150,0.6,101236,0.7,205178,0.6,268301,2.00 102,1,2024-09-07 09:20:51:143,726725,726725,0,0,341189622632,3586632353,716867,8096,1762,369,391891,0 102,2,2024-09-07 09:20:51:780,523712,523658,54,0,23631337,0,6768 102,3,2024-09-07 09:20:51:615,1,415,3,0,466,4635,415,0 103,0,2024-09-07 09:20:51:622,107971,0.6,107935,0.7,203388,0.6,280633,2.00 103,1,2024-09-07 09:20:51:649,725665,725665,0,0,341054016216,3602934017,713741,8858,3066,381,391829,0 103,2,2024-09-07 09:20:50:638,523470,523470,0,0,26109302,0,3766 103,3,2024-09-07 09:20:50:766,1,415,25,0,916,4672,415,0 104,0,2024-09-07 09:20:51:017,107198,0.8,107472,1.0,213835,0.8,286724,2.50 104,1,2024-09-07 09:20:51:626,727886,727886,0,0,341779718515,3592021237,717055,8969,1862,365,392168,0 104,2,2024-09-07 09:20:51:675,524786,524786,0,0,25362305,0,3941 104,3,2024-09-07 09:20:51:416,1,415,1,0,1245,8496,415,0 105,0,2024-09-07 09:20:51:026,102860,0.8,100158,1.1,209881,0.9,275992,2.50 105,1,2024-09-07 09:20:50:572,728359,728359,0,0,341795154291,3583391001,718933,8003,1423,366,392009,0 105,2,2024-09-07 09:20:51:326,522595,522595,0,0,25113543,0,3509 105,3,2024-09-07 09:20:51:310,1,415,1,0,399,6532,415,0 106,0,2024-09-07 09:20:50:990,97976,0.7,100341,0.9,205519,0.7,268684,2.50 106,1,2024-09-07 09:20:51:755,727091,727091,0,0,341177633605,3584724831,716079,9567,1445,369,391914,0 106,2,2024-09-07 09:20:50:772,523068,523068,0,0,24477791,0,2920 106,3,2024-09-07 09:20:50:694,1,415,5,0,470,5417,415,0 107,0,2024-09-07 09:20:51:194,102113,1.0,102417,0.9,204345,1.2,273034,2.25 107,1,2024-09-07 09:20:50:598,726389,726389,0,0,340873209327,3594333659,716231,9108,1050,381,392234,0 107,2,2024-09-07 09:20:51:293,524109,524108,1,0,25424615,0,5024 107,3,2024-09-07 09:20:51:784,1,415,4,0,370,6418,415,0 108,0,2024-09-07 09:20:51:914,107941,0.5,108764,0.6,216266,0.5,288255,1.75 108,1,2024-09-07 09:20:51:299,728945,728945,0,0,342254568878,3571477364,722808,5393,744,368,391857,0 108,2,2024-09-07 09:20:51:778,523472,523472,0,0,24051431,0,4246 108,3,2024-09-07 09:20:51:341,1,415,7,0,749,7934,415,0 109,0,2024-09-07 09:20:51:943,106743,0.4,105899,0.6,211675,0.4,283276,1.75 109,1,2024-09-07 09:20:50:596,726306,726306,0,0,341343348194,3585612098,718914,6208,1184,382,392132,0 109,2,2024-09-07 09:20:50:965,522919,522919,0,0,23585826,0,3617 109,3,2024-09-07 09:20:51:149,1,415,2,0,379,4901,415,0 110,0,2024-09-07 09:20:51:832,99935,0.4,97401,0.6,204128,0.3,266911,1.75 110,1,2024-09-07 09:20:51:661,730131,730131,0,0,342805784215,3560367644,724743,4211,1177,369,392045,0 110,2,2024-09-07 09:20:51:329,524843,524843,0,0,22501299,0,4067 110,3,2024-09-07 09:20:50:693,1,415,3,0,722,6030,415,0 111,0,2024-09-07 09:20:51:450,101286,0.4,100531,0.5,201568,0.3,269630,1.75 111,1,2024-09-07 09:20:51:000,730291,730291,0,0,343698542199,3566136560,726412,3524,355,380,391690,0 111,2,2024-09-07 09:20:51:123,524054,524054,0,0,22273110,0,4823 111,3,2024-09-07 09:20:50:914,1,415,1,0,379,5034,415,0 112,0,2024-09-07 09:20:50:909,107665,0.3,107334,0.4,214768,0.2,285662,1.50 112,1,2024-09-07 09:20:50:828,730556,730556,0,0,342482288279,3556404234,725843,4100,613,380,391624,0 112,2,2024-09-07 09:20:51:133,523973,523972,1,0,21441202,0,5036 112,3,2024-09-07 09:20:50:595,1,415,1,0,282,4174,415,0 113,0,2024-09-07 09:20:50:867,106372,0.3,106506,0.5,213285,0.3,284249,1.75 113,1,2024-09-07 09:20:51:692,732333,732333,0,0,342351557826,3534169295,728445,3299,589,366,391661,0 113,2,2024-09-07 09:20:51:302,528490,528490,0,0,19852712,0,3813 113,3,2024-09-07 09:20:50:692,1,415,1,0,340,4719,415,0 114,0,2024-09-07 09:20:50:875,101449,0.3,102051,0.5,202856,0.2,270998,1.75 114,1,2024-09-07 09:20:50:718,729702,729702,0,0,342928445741,3565001761,723425,4661,1616,381,391565,0 114,2,2024-09-07 09:20:50:874,524852,524851,1,0,20848949,0,5069 114,3,2024-09-07 09:20:51:280,1,415,1,0,395,3492,415,0 115,0,2024-09-07 09:20:50:564,100765,0.3,101327,0.4,202178,0.2,269017,1.50 115,1,2024-09-07 09:20:50:574,730194,730194,0,0,343359773769,3571511505,723704,5223,1267,382,391757,0 115,2,2024-09-07 09:20:51:125,525395,525395,0,0,20677392,0,4382 115,3,2024-09-07 09:20:51:007,1,415,0,0,159,2377,415,0 116,0,2024-09-07 09:20:51:711,105179,0.8,105122,0.9,210858,0.8,282378,2.00 116,1,2024-09-07 09:20:50:807,726633,726633,0,0,341084679876,3594325563,718050,6258,2325,380,392089,0 116,2,2024-09-07 09:20:51:760,523897,523897,0,0,25938262,0,4128 116,3,2024-09-07 09:20:50:920,1,415,3,0,415,4950,415,0 117,0,2024-09-07 09:20:50:966,107836,0.8,107348,0.9,214879,1.0,286805,2.00 117,1,2024-09-07 09:20:51:580,727902,727902,0,0,340992240279,3569424649,720175,6814,913,369,392033,0 117,2,2024-09-07 09:20:51:118,529197,529197,0,0,22325278,0,4303 117,3,2024-09-07 09:20:51:069,1,415,2,0,490,6461,415,0 118,0,2024-09-07 09:20:51:776,100063,0.5,102571,0.7,209554,0.4,273510,2.00 118,1,2024-09-07 09:20:50:599,727698,727698,0,0,340984171652,3590046773,715810,8890,2998,366,391907,0 118,2,2024-09-07 09:20:51:599,523087,523087,0,0,24288920,0,2842 118,3,2024-09-07 09:20:51:777,1,415,3,0,248,4807,415,0 119,0,2024-09-07 09:20:51:360,101067,0.5,101451,0.7,202903,0.5,269260,2.00 119,1,2024-09-07 09:20:50:552,727856,727856,0,0,342060896912,3581044293,719385,7356,1115,367,391780,0 119,2,2024-09-07 09:20:51:272,524539,524539,0,0,22887256,0,4174 119,3,2024-09-07 09:20:51:334,1,415,9,0,1358,8435,415,0 120,0,2024-09-07 09:20:51:584,102489,0.7,102325,0.9,205221,0.7,274438,2.25 120,1,2024-09-07 09:20:50:862,728119,728119,0,0,340912423073,3580302004,719761,7589,769,368,391961,0 120,2,2024-09-07 09:20:50:783,524959,524958,1,0,26565558,0,5281 120,3,2024-09-07 09:20:51:290,1,415,1,0,279,5186,415,0 121,0,2024-09-07 09:20:51:701,108068,1.5,107781,1.2,216357,2.1,288037,2.50 121,1,2024-09-07 09:20:51:661,728635,728635,0,0,341955519788,3576145236,721922,6255,458,367,391840,0 121,2,2024-09-07 09:20:51:125,524172,524172,0,0,25551483,0,4127 121,3,2024-09-07 09:20:50:729,1,415,4,0,269,4623,415,0 122,0,2024-09-07 09:20:51:763,105137,0.8,102591,0.9,215123,0.9,282155,2.00 122,1,2024-09-07 09:20:50:860,726925,726925,0,0,341159972143,3583132839,716495,8827,1603,366,392130,0 122,2,2024-09-07 09:20:51:319,524113,524040,73,0,28300485,0,5989 122,3,2024-09-07 09:20:50:599,1,415,11,0,512,7661,415,0 123,0,2024-09-07 09:20:50:989,100601,0.6,98211,0.7,205355,0.6,268975,2.00 123,1,2024-09-07 09:20:50:566,726975,726975,0,0,341454441881,3600695137,713440,11095,2440,369,392039,0 123,2,2024-09-07 09:20:51:028,522899,522898,1,0,23903599,0,5215 123,3,2024-09-07 09:20:51:132,1,415,1,0,478,4962,415,0 124,0,2024-09-07 09:20:50:932,104463,0.3,104520,0.5,196909,0.3,270703,1.75 124,1,2024-09-07 09:20:51:028,729795,729795,0,0,342330961369,3560349992,724521,4225,1049,367,392178,0 124,2,2024-09-07 09:20:51:021,526046,525993,53,0,21847411,0,6487 124,3,2024-09-07 09:20:50:764,1,415,6,0,490,4092,415,0 125,0,2024-09-07 09:20:51:477,107761,0.4,107492,0.6,215773,0.4,288306,1.75 125,1,2024-09-07 09:20:50:856,727898,727898,0,0,341423802185,3567526089,722002,5228,668,382,391702,0 125,2,2024-09-07 09:20:51:116,525069,525069,0,0,22577952,0,4534 125,3,2024-09-07 09:20:51:130,1,415,1,0,709,5365,415,0 126,0,2024-09-07 09:20:51:435,106791,0.4,109930,0.6,210344,0.4,284740,1.75 126,1,2024-09-07 09:20:50:596,730521,730521,0,0,343362860562,3558213599,726523,3705,293,365,391987,0 126,2,2024-09-07 09:20:50:646,527090,527090,0,0,23322133,0,4539 126,3,2024-09-07 09:20:50:907,1,415,3,0,268,5225,415,0 127,0,2024-09-07 09:20:51:608,100102,0.3,100514,0.5,200598,0.2,266346,1.75 127,1,2024-09-07 09:20:50:604,730048,730048,0,0,342414574294,3563912796,722713,6116,1219,364,392187,0 127,2,2024-09-07 09:20:50:671,523898,523898,0,0,21046984,0,3897 127,3,2024-09-07 09:20:51:272,1,415,34,0,968,4600,415,0 128,0,2024-09-07 09:20:51:545,100938,0.3,101147,0.4,201774,0.2,268059,1.50 128,1,2024-09-07 09:20:51:617,729389,729389,0,0,343070283238,3563177378,724494,4462,433,367,392031,0 128,2,2024-09-07 09:20:51:383,525189,525189,0,0,20441324,0,3171 128,3,2024-09-07 09:20:50:771,1,415,3,0,1082,6601,415,0 129,0,2024-09-07 09:20:51:018,107620,0.3,106987,0.5,214577,0.3,285779,1.75 129,1,2024-09-07 09:20:50:577,726755,726755,0,0,341045427179,3576956322,719092,5994,1669,379,391962,0 129,2,2024-09-07 09:20:50:728,524917,524917,0,0,21823321,0,4031 129,3,2024-09-07 09:20:50:721,1,415,19,0,506,5648,415,0 130,0,2024-09-07 09:20:51:740,107527,0.5,106895,0.6,215254,0.6,285781,1.75 130,1,2024-09-07 09:20:50:594,729932,729932,0,0,342437536367,3560442209,725901,3692,339,381,391825,0 130,2,2024-09-07 09:20:51:125,528552,528552,0,0,22333977,0,4067 130,3,2024-09-07 09:20:51:290,1,415,7,0,960,6692,415,0 131,0,2024-09-07 09:20:51:950,101437,0.3,101958,0.5,204948,0.3,271331,1.75 131,1,2024-09-07 09:20:51:829,729405,729405,0,0,342000685533,3574687462,723449,4908,1048,381,391865,0 131,2,2024-09-07 09:20:50:573,526195,526195,0,0,20424745,0,3979 131,3,2024-09-07 09:20:51:695,1,415,2,0,392,4668,415,0 132,0,2024-09-07 09:20:51:420,100760,0.4,101815,0.6,202482,0.4,268998,1.75 132,1,2024-09-07 09:20:50:597,726047,726047,0,0,340870004095,3591842206,714930,9013,2104,381,392097,0 132,2,2024-09-07 09:20:50:709,523053,523036,17,0,26523768,0,6451 132,3,2024-09-07 09:20:51:695,1,415,35,0,804,7454,415,0 133,0,2024-09-07 09:20:51:538,101900,0.4,104187,0.6,213928,0.4,278884,2.00 133,1,2024-09-07 09:20:50:594,726083,726083,0,0,340919022106,3595178761,715542,9026,1515,383,391914,0 133,2,2024-09-07 09:20:51:094,524453,524403,50,0,28032921,0,6861 133,3,2024-09-07 09:20:51:300,1,415,1,0,479,4174,415,0 134,0,2024-09-07 09:20:50:969,108724,0.6,108291,0.8,216735,0.6,288969,2.25 134,1,2024-09-07 09:20:50:599,727249,727249,0,0,341344490661,3582071410,717467,7748,2034,366,391718,0 134,2,2024-09-07 09:20:51:756,524513,524489,24,0,25612049,0,6207 134,3,2024-09-07 09:20:50:756,1,415,4,0,739,5397,415,0 135,0,2024-09-07 09:20:51:108,100846,0.8,100856,0.9,213998,0.9,275459,2.25 135,1,2024-09-07 09:20:51:592,727335,727335,0,0,341453252743,3594040521,717383,8581,1371,380,391805,0 135,2,2024-09-07 09:20:50:706,524140,524140,0,0,24652512,0,3981 135,3,2024-09-07 09:20:51:008,1,415,1,0,299,3465,415,0 136,0,2024-09-07 09:20:51:645,101817,0.5,102004,0.7,202914,0.5,270729,2.00 136,1,2024-09-07 09:20:51:447,727795,727795,0,0,341925739770,3587812335,719233,7600,962,382,391685,0 136,2,2024-09-07 09:20:51:133,525345,525345,0,0,23882799,0,3506 136,3,2024-09-07 09:20:51:109,1,415,1,0,637,4854,415,0 137,0,2024-09-07 09:20:51:009,105474,0.6,102630,0.7,201602,0.6,274220,2.00 137,1,2024-09-07 09:20:50:581,726568,726568,0,0,340713731472,3577893035,715059,9150,2359,366,391898,0 137,2,2024-09-07 09:20:51:710,524286,524286,0,0,26552474,0,3185 137,3,2024-09-07 09:20:50:783,1,415,4,0,484,5199,415,0 138,0,2024-09-07 09:20:51:753,107773,1.1,107754,1.0,216289,1.3,287080,2.25 138,1,2024-09-07 09:20:51:692,727908,727908,0,0,342268227273,3591607033,717670,8517,1721,368,391954,0 138,2,2024-09-07 09:20:50:603,524225,524225,0,0,24158181,0,4988 138,3,2024-09-07 09:20:50:611,1,415,9,0,1160,5625,415,0 139,0,2024-09-07 09:20:51:374,105193,1.6,105216,1.1,210956,2.3,281786,2.50 139,1,2024-09-07 09:20:50:572,724019,724019,0,0,339166920291,3607264406,709658,11056,3305,380,392058,0 139,2,2024-09-07 09:20:50:696,520942,520942,0,0,27530704,0,3097 139,3,2024-09-07 09:20:51:668,1,415,1,0,432,4979,415,0 140,0,2024-09-07 09:20:51:596,100566,0.3,99948,0.5,200683,0.2,267224,1.75 140,1,2024-09-07 09:20:51:537,731959,731959,0,0,343781075945,3545945981,728397,3121,441,365,391606,0 140,2,2024-09-07 09:20:50:689,525256,525255,1,0,21270618,0,5036 140,3,2024-09-07 09:20:50:771,1,415,0,0,297,3566,415,0 141,0,2024-09-07 09:20:51:699,101132,0.3,103807,0.5,198408,0.3,269542,1.75 141,1,2024-09-07 09:20:50:860,729940,729940,0,0,343259499952,3567292126,724258,4725,957,379,391614,0 141,2,2024-09-07 09:20:51:692,525211,525211,0,0,21018365,0,3360 141,3,2024-09-07 09:20:51:042,1,415,4,0,391,4875,415,0 142,0,2024-09-07 09:20:51:324,107765,0.3,107285,0.5,214242,0.3,286295,1.75 142,1,2024-09-07 09:20:50:594,729326,729326,0,0,342286127852,3569527431,724645,4284,397,382,392102,0 142,2,2024-09-07 09:20:51:304,523355,523323,32,0,23125088,0,6028 142,3,2024-09-07 09:20:51:746,1,415,1,0,484,4793,415,0 143,0,2024-09-07 09:20:51:379,106677,0.5,106669,0.6,213598,0.5,284286,1.75 143,1,2024-09-07 09:20:50:556,730660,730660,0,0,342606463403,3557952399,725619,4497,544,367,391705,0 143,2,2024-09-07 09:20:50:774,527344,527344,0,0,22174732,0,3123 143,3,2024-09-07 09:20:51:141,1,415,2,0,462,5269,415,0 144,0,2024-09-07 09:20:51:512,97903,0.6,100661,0.8,205195,0.5,268782,2.00 144,1,2024-09-07 09:20:50:570,726580,726580,0,0,340738287240,3579682875,718870,5876,1834,381,391649,0 144,2,2024-09-07 09:20:51:760,525353,525353,0,0,20872088,0,3673 144,3,2024-09-07 09:20:51:742,1,415,3,0,249,4293,415,0 145,0,2024-09-07 09:20:51:361,97383,0.5,97383,0.7,206716,0.4,266064,2.25 145,1,2024-09-07 09:20:50:554,726522,726522,0,0,340789174412,3586038859,716910,7950,1662,382,391759,0 145,2,2024-09-07 09:20:51:431,522086,522005,81,0,25112870,0,7814 145,3,2024-09-07 09:20:51:127,1,415,3,0,622,5964,415,0 146,0,2024-09-07 09:20:51:603,105499,0.6,104819,0.8,211445,0.6,280813,2.25 146,1,2024-09-07 09:20:51:588,728054,728054,0,0,341403840592,3586657743,717110,8824,2120,367,391770,0 146,2,2024-09-07 09:20:51:711,523154,523154,0,0,24550132,0,3290 146,3,2024-09-07 09:20:51:291,1,415,2,0,1520,7980,415,0 147,0,2024-09-07 09:20:51:710,107705,0.7,107529,0.8,214389,0.7,286319,2.25 147,1,2024-09-07 09:20:51:373,731045,731045,0,0,342462592696,3564262210,724410,5790,845,368,391791,0 147,2,2024-09-07 09:20:51:021,526481,526481,0,0,22214974,0,2968 147,3,2024-09-07 09:20:50:914,1,415,5,0,1626,7343,415,0 0,0,2024-09-07 09:21:01:735,100529,0.6,100538,0.8,213476,0.7,276035,2.00 0,1,2024-09-07 09:21:00:829,729798,729798,0,0,342628619521,3587311571,724358,5053,387,369,391896,0 0,2,2024-09-07 09:21:01:067,527831,527831,0,0,21575500,0,4480 0,3,2024-09-07 09:21:00:975,1,416,1,0,431,6314,416,0 1,0,2024-09-07 09:21:01:746,108391,1.2,107672,1.1,215986,1.7,288643,2.25 1,1,2024-09-07 09:21:00:573,729245,729245,0,0,341829550782,3580089085,722819,5100,1326,370,391857,0 1,2,2024-09-07 09:21:00:648,525808,525808,0,0,21901767,0,3380 1,3,2024-09-07 09:21:01:303,1,416,4,0,268,5193,416,0 2,0,2024-09-07 09:21:01:566,105505,0.6,105760,0.8,210721,0.7,281184,2.00 2,1,2024-09-07 09:21:00:863,731878,731878,0,0,343554887302,3567368250,728593,2990,295,380,391745,0 2,2,2024-09-07 09:21:01:266,525843,525843,0,0,20541560,0,3594 2,3,2024-09-07 09:21:00:691,1,416,0,0,357,4104,416,0 3,0,2024-09-07 09:21:01:745,101301,0.4,101144,0.6,202232,0.3,269538,2.00 3,1,2024-09-07 09:21:01:624,730496,730496,0,0,342728216290,3571295716,724277,5529,690,380,391716,0 3,2,2024-09-07 09:21:01:142,525767,525744,23,0,21647351,0,5851 3,3,2024-09-07 09:21:01:752,1,416,8,0,207,2828,416,0 4,0,2024-09-07 09:21:01:835,98663,0.4,101375,0.5,206687,0.3,270489,1.75 4,1,2024-09-07 09:21:00:611,727947,727947,0,0,340665477572,3602195179,716148,9292,2507,370,391992,0 4,2,2024-09-07 09:21:01:029,524838,524838,0,0,24405944,0,4528 4,3,2024-09-07 09:21:01:039,1,416,3,0,448,5482,416,0 5,0,2024-09-07 09:21:01:385,108077,0.4,108628,0.6,216891,0.4,288915,1.75 5,1,2024-09-07 09:21:00:821,728968,728968,0,0,342069203153,3602064797,718613,8198,2157,367,392005,0 5,2,2024-09-07 09:21:01:829,523406,523406,0,0,24043732,0,3582 5,3,2024-09-07 09:21:01:735,1,416,0,0,457,5961,416,0 6,0,2024-09-07 09:21:00:918,107223,0.5,106835,0.7,213489,0.4,284959,2.00 6,1,2024-09-07 09:21:00:756,729420,729420,0,0,342508357722,3581138026,721218,6810,1392,379,391694,0 6,2,2024-09-07 09:21:01:120,525894,525876,18,0,24436672,0,5535 6,3,2024-09-07 09:21:01:282,1,416,8,0,710,5302,416,0 7,0,2024-09-07 09:21:01:548,99518,0.5,100271,0.6,199782,0.4,265645,2.00 7,1,2024-09-07 09:21:00:852,729097,729097,0,0,342101290327,3590223162,719330,8305,1462,382,391747,0 7,2,2024-09-07 09:21:00:797,526528,526528,0,0,23621329,0,4791 7,3,2024-09-07 09:21:00:862,1,416,1,0,552,4783,416,0 8,0,2024-09-07 09:21:01:360,100930,0.4,100562,0.5,201703,0.3,268728,1.75 8,1,2024-09-07 09:21:01:052,727950,727950,0,0,341505188151,3593535454,716427,8894,2629,366,392853,0 8,2,2024-09-07 09:21:00:814,521211,521211,0,0,27919540,0,3250 8,3,2024-09-07 09:21:00:587,1,416,0,0,538,6950,416,0 9,0,2024-09-07 09:21:01:108,107323,0.4,104213,0.5,218135,0.3,286226,1.75 9,1,2024-09-07 09:21:00:558,727729,727729,0,0,341808221092,3602642217,716679,8629,2421,369,392001,0 9,2,2024-09-07 09:21:01:089,524100,524100,0,0,24810086,0,3360 9,3,2024-09-07 09:21:01:752,1,416,1,0,496,6005,416,0 10,0,2024-09-07 09:21:01:603,106989,0.4,106311,0.6,213754,0.3,284268,1.75 10,1,2024-09-07 09:21:00:585,729248,729248,0,0,342359149863,3591169067,718867,8758,1623,381,391741,0 10,2,2024-09-07 09:21:00:776,527961,527961,0,0,27176081,0,4264 10,3,2024-09-07 09:21:00:872,1,416,1,0,649,4566,416,0 11,0,2024-09-07 09:21:01:013,101609,0.4,98524,0.6,206114,0.4,271596,1.75 11,1,2024-09-07 09:21:00:571,729714,729714,0,0,342933568335,3600028261,718387,8701,2626,383,391756,0 11,2,2024-09-07 09:21:01:127,524744,524744,0,0,24002586,0,4130 11,3,2024-09-07 09:21:01:301,1,416,2,0,843,6186,416,0 12,0,2024-09-07 09:21:00:967,101647,0.4,101675,0.5,203409,0.3,269499,1.75 12,1,2024-09-07 09:21:00:940,730043,730043,0,0,341830056786,3565336110,724497,5045,501,370,391870,0 12,2,2024-09-07 09:21:01:585,525122,525122,0,0,23249760,0,3469 12,3,2024-09-07 09:21:01:064,1,416,1,0,386,6319,416,0 13,0,2024-09-07 09:21:01:364,105846,0.4,105442,0.6,210603,0.4,281266,1.75 13,1,2024-09-07 09:21:01:524,728596,728596,0,0,341875470581,3596235889,720994,5972,1630,382,391740,0 13,2,2024-09-07 09:21:00:606,527825,527825,0,0,21598767,0,3287 13,3,2024-09-07 09:21:01:762,1,416,13,0,522,6229,416,0 14,0,2024-09-07 09:21:00:566,108087,0.5,109203,0.7,215964,0.4,288001,2.00 14,1,2024-09-07 09:21:01:561,733405,733405,0,0,344047541506,3565734273,727188,5509,708,364,391673,0 14,2,2024-09-07 09:21:00:766,527421,527391,30,0,23629386,0,6104 14,3,2024-09-07 09:21:01:117,1,416,0,0,1168,4718,416,0 15,0,2024-09-07 09:21:01:561,103920,0.4,103801,0.7,207992,0.4,276488,2.00 15,1,2024-09-07 09:21:01:608,730807,730807,0,0,343188076055,3576652443,724585,5044,1178,381,391619,0 15,2,2024-09-07 09:21:01:007,526987,526987,0,0,19320014,0,3622 15,3,2024-09-07 09:21:01:417,1,416,10,0,1126,6935,416,0 16,0,2024-09-07 09:21:01:008,101751,0.5,102077,0.7,203729,0.5,270934,2.00 16,1,2024-09-07 09:21:00:566,730376,730376,0,0,342967404621,3588007631,723780,5483,1113,370,391917,0 16,2,2024-09-07 09:21:01:437,524931,524931,0,0,23173097,0,4719 16,3,2024-09-07 09:21:01:142,1,416,1,0,317,5370,416,0 17,0,2024-09-07 09:21:01:778,105888,0.6,103249,0.8,202168,0.7,275047,2.00 17,1,2024-09-07 09:21:00:616,729083,729083,0,0,341118005810,3581916619,721444,6126,1513,368,392075,0 17,2,2024-09-07 09:21:01:672,528850,528850,0,0,23024403,0,3779 17,3,2024-09-07 09:21:00:576,1,416,7,0,518,6799,416,0 18,0,2024-09-07 09:21:00:948,107247,0.7,107771,0.9,214752,0.8,286692,2.25 18,1,2024-09-07 09:21:01:641,731907,731907,0,0,343270235587,3559242445,727907,3621,379,367,391725,0 18,2,2024-09-07 09:21:01:755,528333,528333,0,0,20383664,0,3541 18,3,2024-09-07 09:21:00:896,1,416,1,0,163,3246,416,0 19,0,2024-09-07 09:21:01:546,105758,0.6,106346,0.8,210985,0.6,280938,2.25 19,1,2024-09-07 09:21:00:566,732602,732602,0,0,343770656295,3567752211,726657,5024,921,367,391777,0 19,2,2024-09-07 09:21:01:751,528088,528088,0,0,19288176,0,3988 19,3,2024-09-07 09:21:01:136,1,416,1,0,524,3441,416,0 20,0,2024-09-07 09:21:01:361,100374,0.4,100340,0.6,200564,0.4,267430,2.00 20,1,2024-09-07 09:21:00:584,729648,729648,0,0,343202320341,3589818447,723128,5720,800,369,391922,0 20,2,2024-09-07 09:21:00:956,525654,525654,0,0,22882732,0,3721 20,3,2024-09-07 09:21:00:595,1,416,17,0,414,6160,416,0 21,0,2024-09-07 09:21:01:139,101328,0.5,101452,0.6,202674,0.4,269494,2.00 21,1,2024-09-07 09:21:01:536,727581,727581,0,0,341206562356,3598871541,716732,8375,2474,368,392016,0 21,2,2024-09-07 09:21:01:069,523618,523598,20,0,27921671,0,5617 21,3,2024-09-07 09:21:01:404,1,416,4,0,713,5405,416,0 22,0,2024-09-07 09:21:01:718,107191,0.5,107634,0.7,215185,0.4,285264,2.00 22,1,2024-09-07 09:21:01:023,728852,728852,0,0,341824932923,3593684720,717729,8897,2226,382,391667,0 22,2,2024-09-07 09:21:00:764,524078,524052,26,0,22290299,0,6328 22,3,2024-09-07 09:21:01:066,1,416,1,0,228,3475,416,0 23,0,2024-09-07 09:21:01:370,106367,0.5,105711,0.7,212073,0.5,282982,2.25 23,1,2024-09-07 09:21:01:003,729929,729929,0,0,342810961767,3601422859,716948,8928,4053,365,391690,0 23,2,2024-09-07 09:21:01:096,529060,529060,0,0,21779288,0,3773 23,3,2024-09-07 09:21:01:754,1,416,1,0,720,5524,416,0 24,0,2024-09-07 09:21:00:821,101839,0.4,101483,0.5,203764,0.3,270599,1.75 24,1,2024-09-07 09:21:00:601,729099,729099,0,0,342573036715,3592506994,721107,6401,1591,367,392269,0 24,2,2024-09-07 09:21:01:079,524705,524705,0,0,26231177,0,3607 24,3,2024-09-07 09:21:01:694,1,416,4,0,468,5750,416,0 25,0,2024-09-07 09:21:01:370,103734,0.4,101098,0.6,198107,0.3,270264,1.75 25,1,2024-09-07 09:21:00:565,728518,728518,0,0,342191314049,3607433890,716586,9685,2247,371,391928,0 25,2,2024-09-07 09:21:01:608,523401,523401,0,0,26645546,0,3978 25,3,2024-09-07 09:21:01:009,1,416,1,0,532,4789,416,0 26,0,2024-09-07 09:21:01:732,105915,0.4,103523,0.6,216987,0.3,282863,1.75 26,1,2024-09-07 09:21:01:544,730398,730398,0,0,342398673289,3587264266,719772,9042,1584,380,391748,0 26,2,2024-09-07 09:21:00:863,526253,526253,0,0,26816476,0,2809 26,3,2024-09-07 09:21:01:714,1,416,6,0,796,5147,416,0 27,0,2024-09-07 09:21:01:762,107822,0.5,107952,0.7,214719,0.5,286533,2.25 27,1,2024-09-07 09:21:01:684,731813,731813,0,0,343253829609,3577159151,724559,6353,901,381,391626,0 27,2,2024-09-07 09:21:00:872,525447,525382,65,0,24663997,0,5699 27,3,2024-09-07 09:21:01:023,1,416,3,0,564,4093,416,0 28,0,2024-09-07 09:21:01:387,103391,0.4,102922,0.6,206435,0.3,275054,2.00 28,1,2024-09-07 09:21:00:805,731064,731064,0,0,343356383855,3586142154,723877,5488,1699,383,391698,0 28,2,2024-09-07 09:21:01:765,525342,525342,0,0,22509680,0,2915 28,3,2024-09-07 09:21:01:778,1,416,1,0,502,4644,416,0 29,0,2024-09-07 09:21:01:364,104253,0.3,101547,0.6,199259,0.3,270781,1.75 29,1,2024-09-07 09:21:01:567,733409,733409,0,0,344732528001,3568814118,728547,4127,735,368,391753,0 29,2,2024-09-07 09:21:00:864,524666,524666,0,0,21334936,0,4986 29,3,2024-09-07 09:21:00:969,1,416,1,0,459,4915,416,0 30,0,2024-09-07 09:21:01:456,103251,0.5,100397,0.7,209935,0.4,275104,2.00 30,1,2024-09-07 09:21:00:571,732309,732309,0,0,343907659102,3573886509,726240,5303,766,381,391672,0 30,2,2024-09-07 09:21:01:274,527296,527296,0,0,20905277,0,4192 30,3,2024-09-07 09:21:00:583,1,416,1,0,519,4160,416,0 31,0,2024-09-07 09:21:01:761,107922,0.5,108467,0.7,216381,0.5,288633,2.00 31,1,2024-09-07 09:21:00:569,735320,735320,0,0,345082189890,3538613183,732305,2429,586,356,391712,0 31,2,2024-09-07 09:21:01:279,526235,526235,0,0,22505976,0,3525 31,3,2024-09-07 09:21:01:711,1,416,6,0,220,3723,416,0 32,0,2024-09-07 09:21:01:419,105989,0.3,106651,0.5,212263,0.3,282835,1.75 32,1,2024-09-07 09:21:00:816,732013,732013,0,0,343067151964,3570899115,726902,4430,681,381,391646,0 32,2,2024-09-07 09:21:00:939,526444,526444,0,0,20180365,0,3922 32,3,2024-09-07 09:21:01:024,1,416,18,0,304,3368,416,0 33,0,2024-09-07 09:21:01:494,101477,0.3,101105,0.4,202778,0.2,270017,1.50 33,1,2024-09-07 09:21:00:577,732901,732901,0,0,343936224379,3569284912,726389,5407,1105,368,391730,0 33,2,2024-09-07 09:21:00:772,526285,526250,35,0,22643930,0,7012 33,3,2024-09-07 09:21:00:900,1,416,1,0,329,4124,416,0 34,0,2024-09-07 09:21:00:938,101844,0.3,104845,0.4,200521,0.2,269692,1.75 34,1,2024-09-07 09:21:01:043,733776,733776,0,0,345004244385,3548791254,732040,1725,11,367,391562,0 34,2,2024-09-07 09:21:00:789,527288,527288,0,0,20979463,0,4562 34,3,2024-09-07 09:21:01:689,1,416,1,0,299,3173,416,0 35,0,2024-09-07 09:21:00:878,107580,0.4,108091,0.5,217111,0.3,289612,1.75 35,1,2024-09-07 09:21:01:077,732076,732076,0,0,342809665901,3555225510,727540,3680,856,382,391769,0 35,2,2024-09-07 09:21:01:585,526100,526100,0,0,22617411,0,4055 35,3,2024-09-07 09:21:00:907,1,416,1,0,418,4491,416,0 36,0,2024-09-07 09:21:01:525,107316,0.5,107221,0.7,214417,0.4,285380,2.00 36,1,2024-09-07 09:21:00:584,730818,730818,0,0,343355893540,3587547093,721172,7646,2000,366,391759,0 36,2,2024-09-07 09:21:01:753,526979,526979,0,0,23456325,0,3875 36,3,2024-09-07 09:21:00:864,1,416,1,0,416,6061,416,0 37,0,2024-09-07 09:21:01:366,99774,0.4,99891,0.6,199769,0.4,266067,2.00 37,1,2024-09-07 09:21:00:578,730686,730679,0,7,342637632269,3579347133,722043,6362,2274,365,391573,0 37,2,2024-09-07 09:21:01:141,524106,524091,15,0,23365767,0,5815 37,3,2024-09-07 09:21:01:769,1,416,2,0,888,6327,416,0 38,0,2024-09-07 09:21:01:438,100196,0.4,97285,0.6,203368,0.4,266366,2.00 38,1,2024-09-07 09:21:01:606,731612,731612,0,0,342531146169,3581579629,721072,8379,2161,368,391821,0 38,2,2024-09-07 09:21:00:766,525428,525381,47,0,22905778,0,6710 38,3,2024-09-07 09:21:01:004,1,416,2,0,689,5448,416,0 39,0,2024-09-07 09:21:01:764,109666,0.6,107302,0.7,208904,0.5,285421,2.00 39,1,2024-09-07 09:21:00:718,729647,729647,0,0,342020891115,3588247478,717232,9725,2690,365,391658,0 39,2,2024-09-07 09:21:01:422,525571,525571,0,0,21894521,0,3391 39,3,2024-09-07 09:21:00:717,1,416,0,0,324,4863,416,0 40,0,2024-09-07 09:21:01:495,105758,0.9,106436,1.0,212072,1.0,282925,2.75 40,1,2024-09-07 09:21:00:577,730427,730427,0,0,341743775980,3581937100,719608,8775,2044,368,391668,0 40,2,2024-09-07 09:21:01:303,527083,527082,1,0,25817046,0,5137 40,3,2024-09-07 09:21:01:154,1,416,0,0,1028,5778,416,0 41,0,2024-09-07 09:21:01:032,101118,1.4,103396,1.2,197404,2.1,268219,3.00 41,1,2024-09-07 09:21:00:854,729421,729421,0,0,342543093341,3585242494,720239,8142,1040,369,391742,0 41,2,2024-09-07 09:21:00:778,523734,523734,0,0,24562995,0,4277 41,3,2024-09-07 09:21:01:682,1,416,0,0,366,4204,416,0 42,0,2024-09-07 09:21:01:473,100187,0.8,100263,1.0,200609,0.9,265340,2.75 42,1,2024-09-07 09:21:01:439,727776,727776,0,0,341149185879,3587836780,715814,9931,2031,380,391675,0 42,2,2024-09-07 09:21:01:136,523599,523599,0,0,24891264,0,3790 42,3,2024-09-07 09:21:01:009,1,416,1,0,892,4245,416,0 43,0,2024-09-07 09:21:00:940,103985,0.8,101216,1.0,212054,0.8,278708,2.25 43,1,2024-09-07 09:21:00:587,730678,730678,0,0,343423814156,3590106318,720350,8589,1739,366,391696,0 43,2,2024-09-07 09:21:01:743,526499,526499,0,0,24464527,0,3812 43,3,2024-09-07 09:21:01:750,1,416,1,0,548,5640,416,0 44,0,2024-09-07 09:21:00:859,108231,0.5,108524,0.7,217431,0.5,288604,2.00 44,1,2024-09-07 09:21:00:567,732566,732566,0,0,343109511415,3549773433,726073,5175,1318,356,391809,0 44,2,2024-09-07 09:21:01:269,526276,526276,0,0,20680091,0,4344 44,3,2024-09-07 09:21:01:094,1,416,1,0,817,5024,416,0 45,0,2024-09-07 09:21:01:765,102824,0.5,100411,0.7,210621,0.5,277037,2.00 45,1,2024-09-07 09:21:01:005,731548,731548,0,0,343167950870,3568288950,725891,5084,573,382,391917,0 45,2,2024-09-07 09:21:01:270,525922,525922,0,0,20960858,0,3596 45,3,2024-09-07 09:21:00:933,1,416,1,0,271,3669,416,0 46,0,2024-09-07 09:21:00:952,101203,0.5,101059,0.7,202542,0.4,268618,2.00 46,1,2024-09-07 09:21:00:585,732940,732940,0,0,344097838440,3556311155,728273,4076,591,366,391709,0 46,2,2024-09-07 09:21:00:597,525599,525599,0,0,21128483,0,4443 46,3,2024-09-07 09:21:01:137,1,416,0,0,908,5387,416,0 47,0,2024-09-07 09:21:01:111,103084,0.4,103285,0.6,206990,0.4,274436,2.00 47,1,2024-09-07 09:21:00:567,733793,733793,0,0,343873557887,3556103592,728936,4019,838,366,391641,0 47,2,2024-09-07 09:21:00:913,529492,529492,0,0,20762264,0,4477 47,3,2024-09-07 09:21:01:116,1,416,10,0,600,5213,416,0 48,0,2024-09-07 09:21:01:493,108901,0.3,108689,0.5,216599,0.2,288824,1.75 48,1,2024-09-07 09:21:01:023,731618,731618,0,0,343350908610,3568231918,726909,4253,456,384,391710,0 48,2,2024-09-07 09:21:00:699,526035,526035,0,0,19419871,0,3411 48,3,2024-09-07 09:21:00:755,1,416,0,0,339,3410,416,0 49,0,2024-09-07 09:21:01:718,109116,0.4,107313,0.5,207876,0.3,284949,1.75 49,1,2024-09-07 09:21:01:023,731531,731531,0,0,342642801890,3567141973,726379,3946,1206,382,391809,0 49,2,2024-09-07 09:21:01:797,526579,526579,0,0,21395636,0,4426 49,3,2024-09-07 09:21:01:421,1,416,5,0,992,5069,416,0 50,0,2024-09-07 09:21:01:505,100935,0.3,99273,0.4,200588,0.2,267182,1.75 50,1,2024-09-07 09:21:01:010,733968,733968,0,0,344738885274,3566334377,729045,4349,574,368,391565,0 50,2,2024-09-07 09:21:01:066,525934,525934,0,0,19680411,0,4490 50,3,2024-09-07 09:21:01:292,1,416,0,0,567,4288,416,0 51,0,2024-09-07 09:21:01:686,104140,0.3,101833,0.5,198498,0.2,270747,1.75 51,1,2024-09-07 09:21:01:679,733954,733954,0,0,344766240227,3557216357,730002,2903,1049,365,391706,0 51,2,2024-09-07 09:21:01:316,527088,527088,0,0,18684288,0,3337 51,3,2024-09-07 09:21:01:032,1,416,1,0,678,3480,416,0 52,0,2024-09-07 09:21:01:420,107725,0.5,107487,0.7,215317,0.5,285971,2.00 52,1,2024-09-07 09:21:00:575,730930,730930,0,0,343116428636,3590805519,720604,8973,1353,368,391722,0 52,2,2024-09-07 09:21:01:754,522136,522098,38,0,24706831,0,6742 52,3,2024-09-07 09:21:00:677,1,416,3,0,1782,5814,416,0 53,0,2024-09-07 09:21:01:733,105981,0.7,102938,0.8,215394,0.7,282916,2.25 53,1,2024-09-07 09:21:00:798,729276,729276,0,0,342406403772,3593246516,717372,8944,2960,367,391702,0 53,2,2024-09-07 09:21:01:308,528032,528031,1,0,22428998,0,5455 53,3,2024-09-07 09:21:00:698,1,416,1,0,308,3720,416,0 54,0,2024-09-07 09:21:01:618,99791,0.6,100050,0.8,199306,0.4,266470,2.25 54,1,2024-09-07 09:21:00:589,730883,730883,0,0,343509811461,3571468999,723612,6032,1239,366,391659,0 54,2,2024-09-07 09:21:00:872,525689,525657,32,0,25433868,0,6397 54,3,2024-09-07 09:21:00:773,1,416,0,0,676,6103,416,0 55,0,2024-09-07 09:21:01:764,97631,0.5,100882,0.7,203945,0.5,266049,2.25 55,1,2024-09-07 09:21:00:766,731898,731898,0,0,342754758122,3563330218,724267,6539,1092,365,391731,0 55,2,2024-09-07 09:21:00:733,524407,524351,56,0,24163699,0,7239 55,3,2024-09-07 09:21:00:677,1,416,4,0,304,4302,416,0 56,0,2024-09-07 09:21:01:603,108278,1.4,102084,1.2,210218,2.0,282187,2.75 56,1,2024-09-07 09:21:00:580,727017,727017,0,0,342359324093,3617431510,715515,9129,2373,381,391867,0 56,2,2024-09-07 09:21:01:313,525697,525697,0,0,24299148,0,3567 56,3,2024-09-07 09:21:01:072,1,416,1,0,705,5145,416,0 57,0,2024-09-07 09:21:01:044,106107,1.8,105828,1.3,212387,2.5,284303,3.50 57,1,2024-09-07 09:21:01:013,730155,730155,0,0,342102533373,3586908213,721552,7518,1085,366,392032,0 57,2,2024-09-07 09:21:01:331,527866,527866,0,0,26292585,0,3317 57,3,2024-09-07 09:21:01:737,1,416,3,0,455,4909,416,0 58,0,2024-09-07 09:21:00:570,101600,0.9,98656,1.0,206524,0.9,270575,2.50 58,1,2024-09-07 09:21:00:575,730085,730082,0,3,343177263102,3589632110,720132,8661,1289,367,391603,3 58,2,2024-09-07 09:21:01:080,524678,524678,0,0,24297363,0,2902 58,3,2024-09-07 09:21:01:073,1,416,1,0,1043,4571,416,0 59,0,2024-09-07 09:21:01:743,101111,0.7,100835,0.9,201227,0.8,267147,2.50 59,1,2024-09-07 09:21:00:814,729916,729916,0,0,343312524232,3597744858,719698,8409,1809,369,391653,0 59,2,2024-09-07 09:21:00:587,524536,524536,0,0,24219001,0,3727 59,3,2024-09-07 09:21:01:739,1,416,5,0,1015,5576,416,0 60,0,2024-09-07 09:21:01:754,103433,0.5,103420,0.7,207474,0.5,276010,1.75 60,1,2024-09-07 09:21:00:784,732023,732023,0,0,343504746704,3567353106,726883,4420,720,370,392031,0 60,2,2024-09-07 09:21:01:141,527295,527295,0,0,22774637,0,3811 60,3,2024-09-07 09:21:01:261,1,416,3,0,409,4937,416,0 61,0,2024-09-07 09:21:01:502,108020,0.8,108633,0.9,216406,0.9,288295,2.00 61,1,2024-09-07 09:21:00:785,730471,730471,0,0,342481868459,3590421790,722168,6874,1429,382,392127,0 61,2,2024-09-07 09:21:01:118,526220,526153,67,0,23101222,0,6411 61,3,2024-09-07 09:21:01:695,1,416,3,0,479,5724,416,0 62,0,2024-09-07 09:21:01:740,106442,0.5,109015,0.7,208183,0.5,282580,2.00 62,1,2024-09-07 09:21:01:118,734689,734683,0,6,345307756489,3562219160,730869,3553,261,365,391975,6 62,2,2024-09-07 09:21:01:649,524070,524069,1,0,23259812,0,5555 62,3,2024-09-07 09:21:01:154,1,416,0,0,482,3716,416,0 63,0,2024-09-07 09:21:01:455,101556,0.4,101403,0.6,203266,0.3,270457,1.75 63,1,2024-09-07 09:21:00:806,732542,732536,0,6,343310696369,3566700056,727568,4235,733,381,391800,6 63,2,2024-09-07 09:21:00:772,525834,525834,0,0,21206372,0,4369 63,3,2024-09-07 09:21:01:737,1,416,8,0,667,4389,416,0 64,0,2024-09-07 09:21:01:627,101251,0.5,101279,0.7,202226,0.5,269002,2.00 64,1,2024-09-07 09:21:00:764,731493,731493,0,0,343708643549,3583265533,724818,4972,1703,370,391783,0 64,2,2024-09-07 09:21:01:141,530153,530134,19,0,21052270,0,6121 64,3,2024-09-07 09:21:01:153,1,416,23,0,265,3873,416,0 65,0,2024-09-07 09:21:01:849,107305,0.7,107650,0.8,214806,0.8,287143,2.25 65,1,2024-09-07 09:21:00:869,730032,730032,0,0,342041244524,3576930032,724923,4569,540,382,391901,0 65,2,2024-09-07 09:21:01:703,526050,526050,0,0,24495270,0,3367 65,3,2024-09-07 09:21:01:684,1,416,12,0,782,5015,416,0 66,0,2024-09-07 09:21:01:762,106726,0.5,106576,0.8,212928,0.5,283792,2.25 66,1,2024-09-07 09:21:01:297,731753,731753,0,0,343142888668,3577334998,726259,4969,525,380,391743,0 66,2,2024-09-07 09:21:01:137,528489,528489,0,0,21470810,0,4956 66,3,2024-09-07 09:21:01:086,1,416,8,0,291,3689,416,0 67,0,2024-09-07 09:21:01:425,100388,0.5,100032,0.6,200666,0.4,266815,2.00 67,1,2024-09-07 09:21:00:795,731640,731639,0,1,343301787878,3577905709,726288,4559,792,380,391787,1 67,2,2024-09-07 09:21:00:589,527887,527887,0,0,20620229,0,3622 67,3,2024-09-07 09:21:01:756,1,416,0,0,392,4183,416,0 68,0,2024-09-07 09:21:00:579,100881,0.5,100845,0.7,200873,0.5,268424,2.00 68,1,2024-09-07 09:21:00:585,728720,728720,0,0,342102090239,3599738034,718672,7102,2946,381,391953,0 68,2,2024-09-07 09:21:01:076,523329,523229,100,0,27311220,0,8578 68,3,2024-09-07 09:21:00:751,1,416,11,0,417,4446,416,0 69,0,2024-09-07 09:21:01:728,106572,0.7,107287,0.8,213640,0.8,283872,2.25 69,1,2024-09-07 09:21:01:043,728514,728514,0,0,341729674906,3603564711,718749,7496,2269,384,391994,0 69,2,2024-09-07 09:21:01:732,524402,524373,29,0,28690992,0,6912 69,3,2024-09-07 09:21:00:773,1,416,12,0,698,5990,416,0 70,0,2024-09-07 09:21:01:547,105847,1.2,105990,1.1,213190,1.1,282229,2.75 70,1,2024-09-07 09:21:00:816,731795,731795,0,0,343606363943,3567184002,725822,5312,661,366,391725,0 70,2,2024-09-07 09:21:01:331,527830,527830,0,0,24152770,0,4323 70,3,2024-09-07 09:21:00:746,1,416,1,0,854,4922,416,0 71,0,2024-09-07 09:21:01:377,100795,0.8,100482,1.0,201816,0.9,269681,2.75 71,1,2024-09-07 09:21:01:596,730776,730776,0,0,342663405607,3575677732,722349,7624,803,368,391738,0 71,2,2024-09-07 09:21:01:074,524973,524973,0,0,23982422,0,4352 71,3,2024-09-07 09:21:01:749,1,416,1,0,644,5242,416,0 72,0,2024-09-07 09:21:01:080,104222,0.5,101867,0.7,198651,0.5,269746,2.00 72,1,2024-09-07 09:21:01:032,729600,729600,0,0,341779058198,3588206824,718741,8849,2010,369,391819,0 72,2,2024-09-07 09:21:01:770,523901,523901,0,0,26300808,0,3983 72,3,2024-09-07 09:21:01:778,1,416,9,0,564,6339,416,0 73,0,2024-09-07 09:21:01:183,102423,0.5,104966,0.6,214680,0.4,279709,2.00 73,1,2024-09-07 09:21:00:777,731285,731285,0,0,343038954886,3564670749,726042,4834,409,367,391858,0 73,2,2024-09-07 09:21:01:746,526074,526074,0,0,25780374,0,3701 73,3,2024-09-07 09:21:00:974,1,416,5,0,486,5649,416,0 74,0,2024-09-07 09:21:01:333,109155,0.6,111536,0.7,212796,0.5,288892,2.25 74,1,2024-09-07 09:21:00:655,730289,730289,0,0,342008422558,3573252405,722326,6368,1595,381,391762,0 74,2,2024-09-07 09:21:01:006,526629,526629,0,0,23796669,0,4253 74,3,2024-09-07 09:21:01:446,1,416,1,0,522,5520,416,0 75,0,2024-09-07 09:21:01:764,104610,0.5,103954,0.7,208506,0.5,278146,2.25 75,1,2024-09-07 09:21:01:585,730898,730898,0,0,342689621724,3575909349,724077,5993,828,380,391739,0 75,2,2024-09-07 09:21:01:354,523904,523904,0,0,25946144,0,4766 75,3,2024-09-07 09:21:01:073,1,416,1,0,702,5292,416,0 76,0,2024-09-07 09:21:00:593,101216,0.5,100493,0.7,201738,0.5,269425,2.25 76,1,2024-09-07 09:21:00:816,730670,730670,0,0,342434290162,3574678447,725243,4602,825,382,391692,0 76,2,2024-09-07 09:21:01:066,527410,527409,1,0,23206292,0,5144 76,3,2024-09-07 09:21:01:142,1,416,1,0,175,3864,416,0 77,0,2024-09-07 09:21:01:736,102344,0.6,102853,0.7,205572,0.6,273156,2.00 77,1,2024-09-07 09:21:00:844,731306,731306,0,0,343343490146,3584813693,725496,5309,501,381,391869,0 77,2,2024-09-07 09:21:01:287,526886,526886,0,0,22690047,0,3890 77,3,2024-09-07 09:21:01:101,1,416,1,0,401,4745,416,0 78,0,2024-09-07 09:21:01:719,108667,0.5,108069,0.7,216952,0.4,287360,2.00 78,1,2024-09-07 09:21:00:614,731305,731305,0,0,342087670437,3566684014,723442,6296,1567,367,391670,0 78,2,2024-09-07 09:21:01:408,526563,526550,13,0,21388345,0,8313 78,3,2024-09-07 09:21:01:136,1,416,0,0,181,3699,416,0 79,0,2024-09-07 09:21:01:354,102629,0.4,105037,0.6,215261,0.4,279924,2.25 79,1,2024-09-07 09:21:00:578,733216,733216,0,0,343180739313,3559947526,726646,5261,1309,367,391682,0 79,2,2024-09-07 09:21:01:071,527082,527082,0,0,21015680,0,4195 79,3,2024-09-07 09:21:00:749,1,416,0,0,418,5344,416,0 80,0,2024-09-07 09:21:01:102,100243,0.5,103190,0.6,197556,0.5,266741,2.00 80,1,2024-09-07 09:21:01:629,730775,730775,0,0,343325226637,3578527563,725225,5129,421,368,392269,0 80,2,2024-09-07 09:21:01:096,527969,527969,0,0,21233647,0,4433 80,3,2024-09-07 09:21:00:583,1,416,93,0,190,4963,416,0 81,0,2024-09-07 09:21:01:687,101288,0.5,103761,0.7,198089,0.5,269427,2.00 81,1,2024-09-07 09:21:01:680,729889,729889,0,0,342387324722,3579961028,723891,5458,540,382,391879,0 81,2,2024-09-07 09:21:01:128,525071,525008,63,0,23533060,0,5932 81,3,2024-09-07 09:21:01:127,1,416,1,0,719,5063,416,0 82,0,2024-09-07 09:21:01:533,107117,0.5,107312,0.7,215246,0.5,285496,2.00 82,1,2024-09-07 09:21:00:587,732036,732032,0,4,343009414865,3570592985,727337,3876,819,381,391768,4 82,2,2024-09-07 09:21:01:690,526604,526604,0,0,20059396,0,4484 82,3,2024-09-07 09:21:01:752,1,416,1,0,363,4568,416,0 83,0,2024-09-07 09:21:01:531,106743,0.7,106719,0.8,212627,0.7,283092,2.25 83,1,2024-09-07 09:21:00:705,730322,730322,0,0,342697506438,3576534424,724797,5075,450,382,391709,0 83,2,2024-09-07 09:21:00:775,527880,527880,0,0,20783471,0,3393 83,3,2024-09-07 09:21:00:749,1,416,1,0,1260,5496,416,0 84,0,2024-09-07 09:21:01:776,100636,0.6,100670,0.8,200638,0.5,268857,2.25 84,1,2024-09-07 09:21:01:051,729764,729764,0,0,342326720810,3577314929,722098,6624,1042,367,391967,0 84,2,2024-09-07 09:21:00:584,524659,524629,30,0,27655043,0,5971 84,3,2024-09-07 09:21:01:150,1,416,1,0,908,6330,416,0 85,0,2024-09-07 09:21:01:012,97318,0.6,97288,0.8,206608,0.5,267376,2.25 85,1,2024-09-07 09:21:00:560,728195,728195,0,0,341670729368,3606012205,717396,8881,1918,381,392006,0 85,2,2024-09-07 09:21:00:871,524388,524388,0,0,25575902,0,3656 85,3,2024-09-07 09:21:00:685,1,416,1,0,789,5196,416,0 86,0,2024-09-07 09:21:00:903,105807,0.6,108865,0.8,208489,0.7,282417,2.25 86,1,2024-09-07 09:21:00:826,730250,730250,0,0,343100815936,3594104721,721591,7219,1440,366,391961,0 86,2,2024-09-07 09:21:00:868,523718,523717,1,0,27664420,0,5004 86,3,2024-09-07 09:21:00:592,1,416,2,0,308,6028,416,0 87,0,2024-09-07 09:21:01:451,107449,1.0,107351,0.9,214832,1.4,286757,2.50 87,1,2024-09-07 09:21:00:565,728969,728969,0,0,342729115670,3593956121,719076,8302,1591,366,392076,0 87,2,2024-09-07 09:21:01:077,526650,526644,6,0,24726943,0,6323 87,3,2024-09-07 09:21:01:802,1,416,11,0,473,6657,416,0 88,0,2024-09-07 09:21:01:458,103096,0.4,103795,0.6,206569,0.4,274887,1.75 88,1,2024-09-07 09:21:00:576,728687,728687,0,0,342895889645,3593884800,718987,7693,2007,365,392084,0 88,2,2024-09-07 09:21:00:709,525263,525263,0,0,26713320,0,4465 88,3,2024-09-07 09:21:01:281,1,416,2,0,435,4774,416,0 89,0,2024-09-07 09:21:01:772,104308,0.4,101021,0.6,199905,0.4,270898,1.75 89,1,2024-09-07 09:21:00:558,728679,728679,0,0,341620970195,3593197204,719513,7740,1426,382,391866,0 89,2,2024-09-07 09:21:01:142,524035,524035,0,0,25145659,0,3173 89,3,2024-09-07 09:21:01:797,1,416,1,0,468,7446,416,0 90,0,2024-09-07 09:21:01:616,100511,0.5,103152,0.6,210494,0.4,275084,2.00 90,1,2024-09-07 09:21:00:607,729751,729751,0,0,342980405255,3591871922,722986,6255,510,380,391825,0 90,2,2024-09-07 09:21:01:406,525153,525153,0,0,26641737,0,3060 90,3,2024-09-07 09:21:00:939,1,416,2,0,322,5224,416,0 91,0,2024-09-07 09:21:00:948,108895,0.5,105424,0.7,220313,0.5,289039,2.00 91,1,2024-09-07 09:21:00:556,728830,728830,0,0,341981994790,3597524682,719581,7847,1402,381,392047,0 91,2,2024-09-07 09:21:01:331,527190,527190,0,0,23643086,0,2896 91,3,2024-09-07 09:21:00:607,1,416,1,0,216,4185,416,0 92,0,2024-09-07 09:21:01:445,106777,0.5,109488,0.6,208999,0.5,282980,1.75 92,1,2024-09-07 09:21:00:593,730315,730315,0,0,342302999318,3576434564,724786,4804,725,382,392136,0 92,2,2024-09-07 09:21:01:354,526604,526604,0,0,21328711,0,3259 92,3,2024-09-07 09:21:01:024,1,416,11,0,167,3729,416,0 93,0,2024-09-07 09:21:00:973,101985,0.4,104482,0.5,199411,0.3,270493,1.75 93,1,2024-09-07 09:21:00:812,730001,730001,0,0,342962670571,3582974108,721873,6745,1383,366,391776,0 93,2,2024-09-07 09:21:00:951,525047,525047,0,0,25874064,0,4845 93,3,2024-09-07 09:21:01:410,1,416,5,0,190,3735,416,0 94,0,2024-09-07 09:21:01:616,101333,0.4,102186,0.5,203970,0.3,270742,1.75 94,1,2024-09-07 09:21:00:575,731058,731058,0,0,342889172839,3578532138,726275,4574,209,381,391850,0 94,2,2024-09-07 09:21:00:765,526277,526277,0,0,21645424,0,2443 94,3,2024-09-07 09:21:01:712,1,416,2,0,576,5642,416,0 95,0,2024-09-07 09:21:01:350,108180,0.4,108060,0.5,216726,0.3,289621,1.75 95,1,2024-09-07 09:21:00:884,731472,731472,0,0,343275341043,3570179801,725434,5602,436,365,391786,0 95,2,2024-09-07 09:21:01:039,525541,525541,0,0,21415862,0,3308 95,3,2024-09-07 09:21:01:711,1,416,2,0,718,6130,416,0 96,0,2024-09-07 09:21:01:047,107186,0.4,107569,0.5,214564,0.3,284844,1.75 96,1,2024-09-07 09:21:01:584,730621,730621,0,0,343066508923,3581949718,725084,4654,883,384,391955,0 96,2,2024-09-07 09:21:01:270,527505,527505,0,0,22379757,0,4180 96,3,2024-09-07 09:21:01:139,1,416,1,0,411,4761,416,0 97,0,2024-09-07 09:21:01:340,100373,0.3,99956,0.5,200640,0.2,266703,1.50 97,1,2024-09-07 09:21:00:782,732206,732206,0,0,343988980397,3571013373,727064,4210,932,367,392140,0 97,2,2024-09-07 09:21:00:612,526362,526362,0,0,21474912,0,3679 97,3,2024-09-07 09:21:00:574,1,416,3,0,242,4821,416,0 98,0,2024-09-07 09:21:01:723,100609,0.3,100588,0.4,201877,0.2,268204,1.50 98,1,2024-09-07 09:21:00:570,730689,730689,0,0,343579824237,3581608863,725740,4159,790,382,391997,0 98,2,2024-09-07 09:21:00:770,525928,525928,0,0,21696519,0,4336 98,3,2024-09-07 09:21:00:698,1,416,1,0,840,7116,416,0 99,0,2024-09-07 09:21:01:450,107052,0.3,107827,0.5,214061,0.3,286442,1.75 99,1,2024-09-07 09:21:01:736,731397,731397,0,0,342793283136,3569675223,726461,4099,837,381,392069,0 99,2,2024-09-07 09:21:01:417,526093,526093,0,0,25468377,0,4276 99,3,2024-09-07 09:21:00:591,1,416,2,0,606,4672,416,0 100,0,2024-09-07 09:21:01:520,106717,0.9,106891,1.0,213019,1.1,284807,2.50 100,1,2024-09-07 09:21:00:554,727315,727315,0,0,340731261936,3600132734,716617,8653,2045,381,391989,0 100,2,2024-09-07 09:21:01:821,526017,526006,11,0,24737123,0,5417 100,3,2024-09-07 09:21:01:732,1,416,1,0,559,6854,416,0 101,0,2024-09-07 09:21:01:702,103862,0.9,101069,1.0,198550,0.7,271688,2.25 101,1,2024-09-07 09:21:00:558,727897,727897,0,0,341411001385,3593107189,717231,8544,2122,368,391847,0 101,2,2024-09-07 09:21:01:755,521888,521888,0,0,29370048,0,4871 101,3,2024-09-07 09:21:00:941,1,416,5,0,579,5857,416,0 102,0,2024-09-07 09:21:00:952,98261,0.6,101352,0.7,205429,0.6,268625,2.00 102,1,2024-09-07 09:21:01:150,728475,728475,0,0,342185214825,3596912899,718617,8096,1762,369,391891,0 102,2,2024-09-07 09:21:01:737,525093,525039,54,0,23653669,0,6768 102,3,2024-09-07 09:21:01:614,1,416,3,0,466,4638,416,0 103,0,2024-09-07 09:21:01:595,108465,0.6,108470,0.7,204366,0.6,281911,2.00 103,1,2024-09-07 09:21:01:625,727292,727292,0,0,341942364040,3612012023,715368,8858,3066,381,391829,0 103,2,2024-09-07 09:21:00:586,524677,524677,0,0,26135165,0,3766 103,3,2024-09-07 09:21:00:779,1,416,1,0,916,4673,416,0 104,0,2024-09-07 09:21:01:035,107289,0.8,107582,1.0,214053,0.8,287010,2.50 104,1,2024-09-07 09:21:01:599,729632,729632,0,0,342531575058,3599680293,718799,8971,1862,365,392168,0 104,2,2024-09-07 09:21:01:666,525971,525971,0,0,25378433,0,3941 104,3,2024-09-07 09:21:01:432,1,416,3,0,1245,8499,416,0 105,0,2024-09-07 09:21:01:084,102962,0.8,100247,1.1,210079,0.9,276247,2.50 105,1,2024-09-07 09:21:00:555,730152,730152,0,0,342886014639,3594443972,720724,8004,1424,366,392009,0 105,2,2024-09-07 09:21:01:327,523979,523979,0,0,25129313,0,3509 105,3,2024-09-07 09:21:01:307,1,416,1,0,399,6533,416,0 106,0,2024-09-07 09:21:01:017,98149,0.7,100528,0.9,205936,0.7,269198,2.50 106,1,2024-09-07 09:21:01:751,728830,728830,0,0,342065429658,3593748013,717818,9567,1445,369,391914,0 106,2,2024-09-07 09:21:00:797,524364,524364,0,0,24490853,0,2920 106,3,2024-09-07 09:21:00:678,1,416,0,0,470,5417,416,0 107,0,2024-09-07 09:21:01:105,102524,0.9,102844,0.9,205219,1.2,274208,2.25 107,1,2024-09-07 09:21:00:602,728110,728110,0,0,341972756720,3605520013,717951,9109,1050,381,392234,0 107,2,2024-09-07 09:21:01:294,525433,525432,1,0,25440792,0,5024 107,3,2024-09-07 09:21:01:757,1,416,0,0,370,6418,416,0 108,0,2024-09-07 09:21:01:781,108070,0.5,108904,0.6,216535,0.5,288552,1.75 108,1,2024-09-07 09:21:01:298,730662,730662,0,0,343142327084,3580624819,724524,5394,744,368,391857,0 108,2,2024-09-07 09:21:01:755,524863,524863,0,0,24079357,0,4246 108,3,2024-09-07 09:21:01:330,1,416,1,0,749,7935,416,0 109,0,2024-09-07 09:21:01:811,107050,0.4,106182,0.6,212283,0.4,284060,1.75 109,1,2024-09-07 09:21:00:600,727997,727997,0,0,342282547645,3595304632,720604,6209,1184,382,392132,0 109,2,2024-09-07 09:21:00:939,523825,523825,0,0,23614249,0,3617 109,3,2024-09-07 09:21:01:139,1,416,1,0,379,4902,416,0 110,0,2024-09-07 09:21:01:794,100170,0.4,97620,0.6,204583,0.3,267529,1.75 110,1,2024-09-07 09:21:01:685,731854,731854,0,0,343685976416,3569299721,726466,4211,1177,369,392045,0 110,2,2024-09-07 09:21:01:314,526208,526208,0,0,22524801,0,4067 110,3,2024-09-07 09:21:00:690,1,416,6,0,722,6036,416,0 111,0,2024-09-07 09:21:01:449,101749,0.4,101005,0.5,202499,0.3,270897,1.75 111,1,2024-09-07 09:21:01:003,732057,732057,0,0,344432442892,3573636962,728178,3524,355,380,391690,0 111,2,2024-09-07 09:21:01:117,525621,525621,0,0,22299178,0,4823 111,3,2024-09-07 09:21:00:928,1,416,3,0,379,5037,416,0 112,0,2024-09-07 09:21:00:918,107966,0.3,107586,0.4,215320,0.2,286364,1.50 112,1,2024-09-07 09:21:00:825,732286,732286,0,0,343242896785,3564259544,727573,4100,613,380,391624,0 112,2,2024-09-07 09:21:01:140,525375,525374,1,0,21516838,0,5036 112,3,2024-09-07 09:21:00:593,1,416,10,0,282,4184,416,0 113,0,2024-09-07 09:21:00:873,106715,0.3,106825,0.5,213981,0.3,285167,1.75 113,1,2024-09-07 09:21:01:685,734065,734065,0,0,343293418687,3543713637,730177,3299,589,366,391661,0 113,2,2024-09-07 09:21:01:303,529248,529248,0,0,19871381,0,3813 113,3,2024-09-07 09:21:00:690,1,416,1,0,340,4720,416,0 114,0,2024-09-07 09:21:00:912,101630,0.3,102237,0.5,203227,0.2,271471,1.75 114,1,2024-09-07 09:21:00:719,731415,731415,0,0,343771409605,3573883246,725137,4662,1616,381,391565,0 114,2,2024-09-07 09:21:00:873,526323,526322,1,0,20910376,0,5069 114,3,2024-09-07 09:21:01:278,1,416,1,0,395,3493,416,0 115,0,2024-09-07 09:21:00:565,101101,0.3,101681,0.4,202871,0.2,269943,1.50 115,1,2024-09-07 09:21:00:579,732018,732018,0,0,344114392868,3579232020,725528,5223,1267,382,391757,0 115,2,2024-09-07 09:21:01:127,526981,526981,0,0,20720957,0,4382 115,3,2024-09-07 09:21:01:002,1,416,1,0,159,2378,416,0 116,0,2024-09-07 09:21:01:725,105605,0.7,105542,0.9,211747,0.8,283516,2.00 116,1,2024-09-07 09:21:00:830,728358,728358,0,0,341794563087,3601618309,719775,6258,2325,380,392089,0 116,2,2024-09-07 09:21:01:771,524857,524857,0,0,25963901,0,4128 116,3,2024-09-07 09:21:00:927,1,416,19,0,415,4969,416,0 117,0,2024-09-07 09:21:00:985,107963,0.8,107465,0.9,215119,1.0,287113,2.00 117,1,2024-09-07 09:21:01:578,729609,729609,0,0,341788803426,3577560199,721882,6814,913,369,392033,0 117,2,2024-09-07 09:21:01:127,530197,530197,0,0,22338604,0,4303 117,3,2024-09-07 09:21:01:064,1,416,2,0,490,6463,416,0 118,0,2024-09-07 09:21:01:780,100164,0.5,102695,0.7,209808,0.4,273848,2.00 118,1,2024-09-07 09:21:00:590,729548,729548,0,0,341847079057,3598909594,717659,8891,2998,366,391907,0 118,2,2024-09-07 09:21:01:591,524549,524549,0,0,24325122,0,2842 118,3,2024-09-07 09:21:01:769,1,416,7,0,248,4814,416,0 119,0,2024-09-07 09:21:01:347,101071,0.5,101459,0.7,202919,0.5,269275,2.00 119,1,2024-09-07 09:21:00:559,729682,729682,0,0,342816356149,3588752975,721209,7358,1115,367,391780,0 119,2,2024-09-07 09:21:01:262,525769,525769,0,0,22913139,0,4174 119,3,2024-09-07 09:21:01:327,1,416,0,0,1358,8435,416,0 120,0,2024-09-07 09:21:01:618,102808,0.7,102664,0.9,205904,0.7,275361,2.25 120,1,2024-09-07 09:21:00:876,729973,729973,0,0,341783562527,3589147592,721615,7589,769,368,391961,0 120,2,2024-09-07 09:21:00:787,526047,526046,1,0,26578045,0,5281 120,3,2024-09-07 09:21:01:297,1,416,2,0,279,5188,416,0 121,0,2024-09-07 09:21:01:689,108155,1.5,107867,1.2,216569,2.1,288292,2.50 121,1,2024-09-07 09:21:01:676,730382,730382,0,0,342747579030,3584192263,723669,6255,458,367,391840,0 121,2,2024-09-07 09:21:01:127,525482,525482,0,0,25563798,0,4127 121,3,2024-09-07 09:21:00:731,1,416,4,0,269,4627,416,0 122,0,2024-09-07 09:21:01:850,105359,0.8,102806,0.9,215548,0.9,282747,2.00 122,1,2024-09-07 09:21:00:875,728693,728693,0,0,341911170335,3590778400,718263,8827,1603,366,392130,0 122,2,2024-09-07 09:21:01:321,525369,525296,73,0,28312736,0,5989 122,3,2024-09-07 09:21:00:608,1,416,1,0,512,7662,416,0 123,0,2024-09-07 09:21:00:987,100913,0.6,98499,0.7,206055,0.6,269856,2.00 123,1,2024-09-07 09:21:00:570,728703,728703,0,0,342059934133,3606895075,715165,11098,2440,369,392039,0 123,2,2024-09-07 09:21:01:025,524175,524174,1,0,23918293,0,5215 123,3,2024-09-07 09:21:01:135,1,416,3,0,478,4965,416,0 124,0,2024-09-07 09:21:00:937,104738,0.3,104760,0.5,197443,0.3,271386,1.75 124,1,2024-09-07 09:21:01:035,731580,731580,0,0,343008283098,3567277066,726306,4225,1049,367,392178,0 124,2,2024-09-07 09:21:01:022,527517,527464,53,0,21869137,0,6487 124,3,2024-09-07 09:21:00:772,1,416,2,0,490,4094,416,0 125,0,2024-09-07 09:21:01:516,108322,0.4,108014,0.6,216928,0.4,289766,1.75 125,1,2024-09-07 09:21:00:907,729729,729729,0,0,342334280047,3576825711,723833,5228,668,382,391702,0 125,2,2024-09-07 09:21:01:137,526612,526612,0,0,22603808,0,4534 125,3,2024-09-07 09:21:01:138,1,416,0,0,709,5365,416,0 126,0,2024-09-07 09:21:01:451,107107,0.4,110256,0.6,210947,0.4,285565,1.75 126,1,2024-09-07 09:21:00:561,732323,732323,0,0,344198787003,3566713079,728325,3705,293,365,391987,0 126,2,2024-09-07 09:21:00:638,527816,527816,0,0,23336457,0,4539 126,3,2024-09-07 09:21:00:924,1,416,3,0,268,5228,416,0 127,0,2024-09-07 09:21:01:681,100269,0.3,100664,0.5,200895,0.2,266766,1.75 127,1,2024-09-07 09:21:00:582,731833,731833,0,0,343428712483,3574351386,724497,6117,1219,364,392187,0 127,2,2024-09-07 09:21:00:657,525429,525429,0,0,21071441,0,3897 127,3,2024-09-07 09:21:01:288,1,416,5,0,968,4605,416,0 128,0,2024-09-07 09:21:01:711,101165,0.3,101388,0.4,202271,0.2,268672,1.50 128,1,2024-09-07 09:21:01:620,731181,731181,0,0,343989964972,3572706819,726284,4464,433,367,392031,0 128,2,2024-09-07 09:21:01:387,526770,526770,0,0,20482494,0,3171 128,3,2024-09-07 09:21:00:780,1,416,1,0,1082,6602,416,0 129,0,2024-09-07 09:21:01:053,107977,0.3,107368,0.5,215326,0.3,286806,1.75 129,1,2024-09-07 09:21:00:572,728479,728479,0,0,341833281290,3585150553,720815,5994,1670,379,391962,0 129,2,2024-09-07 09:21:00:687,526195,526195,0,0,21852337,0,4031 129,3,2024-09-07 09:21:00:688,1,416,191,0,506,5839,416,0 130,0,2024-09-07 09:21:01:881,107713,0.5,107077,0.6,215604,0.6,286236,1.75 130,1,2024-09-07 09:21:00:587,731716,731716,0,0,343044412974,3566724276,727684,3693,339,381,391825,0 130,2,2024-09-07 09:21:01:137,529524,529524,0,0,22362340,0,4067 130,3,2024-09-07 09:21:01:294,1,416,3,0,960,6695,416,0 131,0,2024-09-07 09:21:01:961,101523,0.3,102054,0.5,205148,0.3,271600,1.75 131,1,2024-09-07 09:21:01:835,731073,731073,0,0,342681233567,3581871735,725117,4908,1048,381,391865,0 131,2,2024-09-07 09:21:00:570,527731,527731,0,0,20487070,0,3979 131,3,2024-09-07 09:21:01:702,1,416,1,0,392,4669,416,0 132,0,2024-09-07 09:21:01:437,100907,0.4,101926,0.6,202698,0.4,269343,1.75 132,1,2024-09-07 09:21:00:586,727708,727708,0,0,341565012355,3598959192,716590,9014,2104,381,392097,0 132,2,2024-09-07 09:21:00:699,524355,524338,17,0,26543191,0,6451 132,3,2024-09-07 09:21:01:701,1,416,8,0,804,7462,416,0 133,0,2024-09-07 09:21:01:533,102399,0.4,104673,0.6,214937,0.4,280225,1.75 133,1,2024-09-07 09:21:00:600,727785,727785,0,0,341705267341,3603367636,717243,9027,1515,383,391914,0 133,2,2024-09-07 09:21:01:087,525588,525538,50,0,28051580,0,6861 133,3,2024-09-07 09:21:01:300,1,416,4,0,479,4178,416,0 134,0,2024-09-07 09:21:00:985,108831,0.6,108394,0.8,216976,0.6,289290,2.25 134,1,2024-09-07 09:21:00:587,729009,729009,0,0,342074113217,3589564585,719226,7749,2034,366,391718,0 134,2,2024-09-07 09:21:01:771,525587,525563,24,0,25635403,0,6207 134,3,2024-09-07 09:21:00:786,1,416,15,0,739,5412,416,0 135,0,2024-09-07 09:21:01:116,100943,0.8,100956,0.9,214199,0.9,275724,2.25 135,1,2024-09-07 09:21:01:585,729002,729002,0,0,342477905294,3604608814,719049,8582,1371,380,391805,0 135,2,2024-09-07 09:21:00:692,525494,525494,0,0,24676343,0,3981 135,3,2024-09-07 09:21:01:004,1,416,15,0,299,3480,416,0 136,0,2024-09-07 09:21:01:635,102002,0.5,102208,0.7,203313,0.5,271224,2.00 136,1,2024-09-07 09:21:01:448,729588,729588,0,0,342716611692,3595899474,721023,7603,962,382,391685,0 136,2,2024-09-07 09:21:01:151,526636,526636,0,0,23894501,0,3506 136,3,2024-09-07 09:21:01:134,1,416,36,0,637,4890,416,0 137,0,2024-09-07 09:21:01:159,105893,0.6,103064,0.7,202412,0.6,275359,2.00 137,1,2024-09-07 09:21:00:575,728337,728337,0,0,341678313553,3587723759,716824,9154,2359,366,391898,0 137,2,2024-09-07 09:21:01:714,525677,525677,0,0,26568332,0,3185 137,3,2024-09-07 09:21:00:794,1,416,2,0,484,5201,416,0 138,0,2024-09-07 09:21:01:761,107898,1.1,107874,1.0,216571,1.3,287368,2.25 138,1,2024-09-07 09:21:01:685,729680,729680,0,0,342966777373,3598751569,719442,8517,1721,368,391954,0 138,2,2024-09-07 09:21:00:587,525526,525526,0,0,24173176,0,4988 138,3,2024-09-07 09:21:00:618,1,416,1,0,1160,5626,416,0 139,0,2024-09-07 09:21:01:478,105504,1.6,105510,1.1,211538,2.3,282599,2.50 139,1,2024-09-07 09:21:00:583,725708,725708,0,0,339795945664,3613748397,711347,11056,3305,380,392058,0 139,2,2024-09-07 09:21:00:698,521796,521796,0,0,27540915,0,3097 139,3,2024-09-07 09:21:01:713,1,416,0,0,432,4979,416,0 140,0,2024-09-07 09:21:01:594,100789,0.3,100155,0.5,201106,0.2,267832,1.75 140,1,2024-09-07 09:21:01:539,733682,733682,0,0,344553185977,3553770260,730120,3121,441,365,391606,0 140,2,2024-09-07 09:21:00:688,526633,526632,1,0,21293615,0,5036 140,3,2024-09-07 09:21:00:772,1,416,1,0,297,3567,416,0 141,0,2024-09-07 09:21:01:698,101597,0.3,104318,0.5,199303,0.3,270698,1.75 141,1,2024-09-07 09:21:00:874,731718,731718,0,0,344151551505,3576408751,726036,4725,957,379,391614,0 141,2,2024-09-07 09:21:01:685,526718,526718,0,0,21068123,0,3360 141,3,2024-09-07 09:21:01:052,1,416,2,0,391,4877,416,0 142,0,2024-09-07 09:21:01:576,108043,0.3,107507,0.5,214755,0.3,287041,1.75 142,1,2024-09-07 09:21:00:617,731101,731101,0,0,343129156227,3578143395,726420,4284,397,382,392102,0 142,2,2024-09-07 09:21:01:301,524858,524826,32,0,23150449,0,6028 142,3,2024-09-07 09:21:01:753,1,416,2,0,484,4795,416,0 143,0,2024-09-07 09:21:01:404,106984,0.5,107015,0.6,214350,0.5,285171,1.75 143,1,2024-09-07 09:21:00:557,732430,732430,0,0,343718590881,3569236401,727389,4497,544,367,391705,0 143,2,2024-09-07 09:21:00:799,528003,528003,0,0,22183762,0,3123 143,3,2024-09-07 09:21:01:170,1,416,2,0,462,5271,416,0 144,0,2024-09-07 09:21:01:542,98088,0.6,100820,0.8,205578,0.5,269309,2.00 144,1,2024-09-07 09:21:00:566,728351,728351,0,0,341610962183,3588721550,720641,5876,1834,381,391649,0 144,2,2024-09-07 09:21:01:768,526809,526809,0,0,20934831,0,3673 144,3,2024-09-07 09:21:01:741,1,416,3,0,249,4296,416,0 145,0,2024-09-07 09:21:01:369,97697,0.5,97730,0.7,207396,0.4,266957,2.25 145,1,2024-09-07 09:21:00:585,728215,728215,0,0,341532456565,3593675534,718598,7955,1662,382,391759,0 145,2,2024-09-07 09:21:01:487,523425,523344,81,0,25145717,0,7814 145,3,2024-09-07 09:21:00:909,1,416,8,0,622,5972,416,0 146,0,2024-09-07 09:21:01:621,105939,0.6,105262,0.8,212314,0.6,281988,2.25 146,1,2024-09-07 09:21:01:602,729842,729842,0,0,342107956295,3593819803,718897,8825,2120,367,391770,0 146,2,2024-09-07 09:21:01:703,524263,524263,0,0,24559227,0,3290 146,3,2024-09-07 09:21:01:278,1,416,1,0,1520,7981,416,0 147,0,2024-09-07 09:21:01:803,107833,0.7,107662,0.8,214626,0.7,286652,2.25 147,1,2024-09-07 09:21:01:371,732772,732772,0,0,343294751123,3572727226,726137,5790,845,368,391791,0 147,2,2024-09-07 09:21:01:010,527516,527516,0,0,22233638,0,2968 147,3,2024-09-07 09:21:00:927,1,416,1,0,1626,7344,416,0 0,0,2024-09-07 09:21:11:703,100923,0.6,100925,0.8,214248,0.7,277378,2.00 0,1,2024-09-07 09:21:10:875,731560,731560,0,0,343539660533,3596760090,726119,5054,387,369,391896,0 0,2,2024-09-07 09:21:11:066,528934,528934,0,0,21595094,0,4480 0,3,2024-09-07 09:21:10:974,1,417,1,0,431,6315,417,0 1,0,2024-09-07 09:21:11:825,108508,1.2,107766,1.1,216179,1.7,288931,2.25 1,1,2024-09-07 09:21:10:563,731065,731065,0,0,342741177430,3589441790,724639,5100,1326,370,391859,0 1,2,2024-09-07 09:21:10:644,527105,527105,0,0,21930124,0,3380 1,3,2024-09-07 09:21:11:302,1,417,1,0,268,5194,417,0 2,0,2024-09-07 09:21:11:570,105670,0.6,105928,0.8,211019,0.7,281427,2.00 2,1,2024-09-07 09:21:10:865,733657,733657,0,0,344325108394,3575279062,730371,2991,295,380,391745,0 2,2,2024-09-07 09:21:11:266,527145,527145,0,0,20562736,0,3594 2,3,2024-09-07 09:21:10:690,1,417,1,0,357,4105,417,0 3,0,2024-09-07 09:21:11:768,101563,0.4,101391,0.6,202752,0.3,270066,2.00 3,1,2024-09-07 09:21:11:619,732277,732277,0,0,343553719912,3579781394,726058,5529,690,380,391716,0 3,2,2024-09-07 09:21:11:143,527027,527004,23,0,21671972,0,5851 3,3,2024-09-07 09:21:11:755,1,417,1,0,207,2829,417,0 4,0,2024-09-07 09:21:11:774,98968,0.4,101692,0.5,207375,0.3,271629,1.75 4,1,2024-09-07 09:21:10:612,729671,729671,0,0,341470559201,3610765388,717871,9293,2507,370,391992,0 4,2,2024-09-07 09:21:11:033,526180,526180,0,0,24636412,0,4528 4,3,2024-09-07 09:21:11:027,1,417,1,0,448,5483,417,0 5,0,2024-09-07 09:21:11:421,108456,0.4,109016,0.6,217653,0.4,289266,1.75 5,1,2024-09-07 09:21:10:760,730784,730784,0,0,342823407684,3609878503,720429,8198,2157,367,392005,0 5,2,2024-09-07 09:21:11:832,524788,524788,0,0,24337244,0,3582 5,3,2024-09-07 09:21:11:732,1,417,1,0,457,5962,417,0 6,0,2024-09-07 09:21:10:918,107513,0.5,107167,0.7,214066,0.4,285742,2.00 6,1,2024-09-07 09:21:10:755,731200,731200,0,0,343152368874,3587790552,722997,6811,1392,379,391694,0 6,2,2024-09-07 09:21:11:125,526819,526801,18,0,24470226,0,5535 6,3,2024-09-07 09:21:11:277,1,417,17,0,710,5319,417,0 7,0,2024-09-07 09:21:11:536,99722,0.5,100449,0.6,200131,0.4,266220,2.00 7,1,2024-09-07 09:21:10:850,730909,730909,0,0,343080235997,3600208803,721142,8305,1462,382,391747,0 7,2,2024-09-07 09:21:10:770,527913,527913,0,0,23658201,0,4791 7,3,2024-09-07 09:21:10:851,1,417,0,0,552,4783,417,0 8,0,2024-09-07 09:21:11:362,101238,0.4,100899,0.5,202381,0.3,269952,1.75 8,1,2024-09-07 09:21:11:037,729710,729710,0,0,342203654255,3600700328,718186,8895,2629,366,392853,0 8,2,2024-09-07 09:21:10:803,522698,522698,0,0,27938053,0,3250 8,3,2024-09-07 09:21:10:597,1,417,15,0,538,6965,417,0 9,0,2024-09-07 09:21:11:101,107687,0.4,104548,0.5,218810,0.3,286988,1.75 9,1,2024-09-07 09:21:10:563,729459,729459,0,0,342465839710,3609378840,718409,8629,2421,369,392001,0 9,2,2024-09-07 09:21:11:082,525525,525525,0,0,24825679,0,3360 9,3,2024-09-07 09:21:11:753,1,417,1,0,496,6006,417,0 10,0,2024-09-07 09:21:11:615,107247,0.4,106571,0.6,214312,0.3,285194,1.75 10,1,2024-09-07 09:21:10:583,731048,731048,0,0,343350351852,3601217959,720667,8758,1623,381,391741,0 10,2,2024-09-07 09:21:10:766,528743,528743,0,0,27184120,0,4264 10,3,2024-09-07 09:21:10:874,1,417,5,0,649,4571,417,0 11,0,2024-09-07 09:21:11:007,101741,0.4,98669,0.6,206381,0.4,272081,1.75 11,1,2024-09-07 09:21:10:574,731505,731505,0,0,344000776985,3610854776,720178,8701,2626,383,391756,0 11,2,2024-09-07 09:21:11:124,526263,526263,0,0,24020621,0,4130 11,3,2024-09-07 09:21:11:298,1,417,1,0,843,6187,417,0 12,0,2024-09-07 09:21:10:962,101825,0.4,101888,0.5,203849,0.3,270348,1.75 12,1,2024-09-07 09:21:10:937,731816,731816,0,0,342741018553,3574598649,726269,5046,501,370,391870,0 12,2,2024-09-07 09:21:11:541,526562,526562,0,0,23263030,0,3469 12,3,2024-09-07 09:21:11:071,1,417,15,0,386,6334,417,0 13,0,2024-09-07 09:21:11:511,106287,0.4,105915,0.6,211577,0.4,282420,1.75 13,1,2024-09-07 09:21:11:533,730331,730331,0,0,342451073932,3602251399,722726,5974,1631,382,391740,0 13,2,2024-09-07 09:21:10:617,528881,528881,0,0,21612099,0,3287 13,3,2024-09-07 09:21:11:766,1,417,1,0,522,6230,417,0 14,0,2024-09-07 09:21:10:563,108221,0.5,109299,0.7,216202,0.4,288317,2.00 14,1,2024-09-07 09:21:11:560,735180,735180,0,0,344843100442,3573784788,728963,5509,708,364,391673,0 14,2,2024-09-07 09:21:10:765,528594,528564,30,0,23639073,0,6104 14,3,2024-09-07 09:21:11:115,1,417,0,0,1168,4718,417,0 15,0,2024-09-07 09:21:11:559,104042,0.4,103902,0.7,208211,0.4,276824,2.00 15,1,2024-09-07 09:21:11:648,732611,732611,0,0,343939760413,3584309779,726389,5044,1178,381,391619,0 15,2,2024-09-07 09:21:10:998,528417,528417,0,0,19333998,0,3622 15,3,2024-09-07 09:21:11:405,1,417,2,0,1126,6937,417,0 16,0,2024-09-07 09:21:10:944,101888,0.5,102206,0.7,203962,0.5,271012,2.00 16,1,2024-09-07 09:21:10:566,732135,732135,0,0,343777744673,3596479236,725539,5483,1113,370,391917,0 16,2,2024-09-07 09:21:11:439,526317,526317,0,0,23197489,0,4719 16,3,2024-09-07 09:21:11:143,1,417,1,0,317,5371,417,0 17,0,2024-09-07 09:21:11:800,106291,0.6,103649,0.8,202922,0.7,276004,2.00 17,1,2024-09-07 09:21:10:595,730828,730828,0,0,342035601092,3591326473,723188,6127,1513,368,392075,0 17,2,2024-09-07 09:21:11:666,529954,529954,0,0,23042934,0,3779 17,3,2024-09-07 09:21:10:580,1,417,1,0,518,6800,417,0 18,0,2024-09-07 09:21:10:953,107335,0.7,107880,0.9,214977,0.8,286941,2.25 18,1,2024-09-07 09:21:11:665,733750,733750,0,0,344328079315,3570007992,729750,3621,379,367,391725,0 18,2,2024-09-07 09:21:11:755,529633,529633,0,0,20436980,0,3541 18,3,2024-09-07 09:21:10:896,1,417,9,0,163,3255,417,0 19,0,2024-09-07 09:21:11:577,106032,0.6,106579,0.8,211493,0.6,281523,2.25 19,1,2024-09-07 09:21:10:570,734378,734378,0,0,344497609554,3575181581,728433,5024,921,367,391777,0 19,2,2024-09-07 09:21:11:752,529224,529224,0,0,19337773,0,3988 19,3,2024-09-07 09:21:11:131,1,417,2,0,524,3443,417,0 20,0,2024-09-07 09:21:11:353,100607,0.4,100640,0.6,201030,0.4,268300,2.00 20,1,2024-09-07 09:21:10:569,731094,731094,0,0,343960796315,3597953359,724547,5747,800,369,391922,0 20,2,2024-09-07 09:21:10:928,526951,526951,0,0,22953278,0,3721 20,3,2024-09-07 09:21:10:593,1,417,1,0,414,6161,417,0 21,0,2024-09-07 09:21:11:150,101681,0.5,101850,0.6,203438,0.4,270148,2.00 21,1,2024-09-07 09:21:11:538,729330,729330,0,0,342099237232,3608094527,718480,8376,2474,368,392016,0 21,2,2024-09-07 09:21:11:072,524939,524919,20,0,28065025,0,5617 21,3,2024-09-07 09:21:11:404,1,417,1,0,713,5406,417,0 22,0,2024-09-07 09:21:11:719,107614,0.5,108039,0.7,216020,0.4,286743,2.00 22,1,2024-09-07 09:21:11:023,730801,730801,0,0,342748156910,3603535940,719667,8907,2227,382,391667,0 22,2,2024-09-07 09:21:10:760,525523,525497,26,0,22338365,0,6328 22,3,2024-09-07 09:21:11:073,1,417,9,0,228,3484,417,0 23,0,2024-09-07 09:21:11:368,106683,0.5,106038,0.7,212766,0.5,283823,2.25 23,1,2024-09-07 09:21:11:003,731505,731505,0,0,343866599888,3612126211,718524,8928,4053,365,391690,0 23,2,2024-09-07 09:21:11:094,529721,529721,0,0,21790206,0,3773 23,3,2024-09-07 09:21:11:754,1,417,20,0,720,5544,417,0 24,0,2024-09-07 09:21:10:819,102006,0.4,101635,0.5,204098,0.3,271009,1.75 24,1,2024-09-07 09:21:10:597,730876,730876,0,0,343457326602,3601527842,722884,6401,1591,367,392269,0 24,2,2024-09-07 09:21:11:068,526270,526270,0,0,26251555,0,3607 24,3,2024-09-07 09:21:11:689,1,417,3,0,468,5753,417,0 25,0,2024-09-07 09:21:11:353,104024,0.4,101401,0.6,198690,0.3,270959,1.75 25,1,2024-09-07 09:21:10:563,730273,730273,0,0,343197556752,3617763225,718337,9689,2247,371,391928,0 25,2,2024-09-07 09:21:11:616,524944,524944,0,0,26670033,0,3978 25,3,2024-09-07 09:21:11:000,1,417,1,0,532,4790,417,0 26,0,2024-09-07 09:21:11:725,106295,0.4,103906,0.6,217833,0.3,283854,1.75 26,1,2024-09-07 09:21:11:541,732243,732243,0,0,343235307571,3595797314,721617,9042,1584,380,391748,0 26,2,2024-09-07 09:21:10:862,527358,527358,0,0,26828444,0,2809 26,3,2024-09-07 09:21:11:713,1,417,1,0,796,5148,417,0 27,0,2024-09-07 09:21:11:725,107970,0.5,108090,0.7,215008,0.5,286977,2.25 27,1,2024-09-07 09:21:11:679,733518,733518,0,0,344039017658,3585142599,726264,6353,901,381,391626,0 27,2,2024-09-07 09:21:10:869,526474,526409,65,0,24678889,0,5699 27,3,2024-09-07 09:21:11:015,1,417,8,0,564,4101,417,0 28,0,2024-09-07 09:21:11:403,103485,0.4,103026,0.6,206678,0.3,275306,2.00 28,1,2024-09-07 09:21:10:797,732821,732821,0,0,344270640349,3595456455,725634,5488,1699,383,391698,0 28,2,2024-09-07 09:21:11:766,526951,526951,0,0,22523020,0,2915 28,3,2024-09-07 09:21:11:777,1,417,0,0,502,4644,417,0 29,0,2024-09-07 09:21:11:361,104320,0.3,101608,0.6,199357,0.3,271099,1.75 29,1,2024-09-07 09:21:11:560,735181,735181,0,0,345568579359,3577276842,730319,4127,735,368,391753,0 29,2,2024-09-07 09:21:10:862,525922,525922,0,0,21346873,0,4986 29,3,2024-09-07 09:21:10:972,1,417,6,0,459,4921,417,0 30,0,2024-09-07 09:21:11:459,103636,0.5,100802,0.7,210849,0.4,276448,2.00 30,1,2024-09-07 09:21:10:586,734010,734010,0,0,344610436903,3581051148,727941,5303,766,381,391672,0 30,2,2024-09-07 09:21:11:274,528475,528475,0,0,20915377,0,4192 30,3,2024-09-07 09:21:10:581,1,417,1,0,519,4161,417,0 31,0,2024-09-07 09:21:11:768,108025,0.5,108569,0.7,216593,0.5,288926,2.00 31,1,2024-09-07 09:21:10:566,737134,737134,0,0,345941757052,3547259968,734119,2429,586,356,391712,0 31,2,2024-09-07 09:21:11:275,527501,527501,0,0,22517730,0,3525 31,3,2024-09-07 09:21:11:706,1,417,0,0,220,3723,417,0 32,0,2024-09-07 09:21:11:428,106165,0.3,106824,0.5,212579,0.3,283083,1.75 32,1,2024-09-07 09:21:10:804,733766,733766,0,0,343884524321,3579275526,728655,4430,681,381,391646,0 32,2,2024-09-07 09:21:10:935,527737,527737,0,0,20213537,0,3922 32,3,2024-09-07 09:21:11:015,1,417,1,0,304,3369,417,0 33,0,2024-09-07 09:21:11:508,101761,0.3,101326,0.4,203296,0.2,270544,1.50 33,1,2024-09-07 09:21:10:584,734693,734693,0,0,344859598164,3578634969,728181,5407,1105,368,391730,0 33,2,2024-09-07 09:21:10:767,527510,527475,35,0,22661249,0,7012 33,3,2024-09-07 09:21:10:902,1,417,5,0,329,4129,417,0 34,0,2024-09-07 09:21:10:948,102191,0.3,105168,0.4,201187,0.2,270863,1.75 34,1,2024-09-07 09:21:11:045,735522,735522,0,0,345782143661,3556710388,733786,1725,11,367,391562,0 34,2,2024-09-07 09:21:10:765,528845,528845,0,0,21037914,0,4562 34,3,2024-09-07 09:21:11:689,1,417,1,0,299,3174,417,0 35,0,2024-09-07 09:21:10:878,107906,0.4,108451,0.5,217860,0.3,289930,1.75 35,1,2024-09-07 09:21:11:067,733871,733871,0,0,343694906493,3564294012,729335,3680,856,382,391769,0 35,2,2024-09-07 09:21:11:592,527434,527434,0,0,22644943,0,4055 35,3,2024-09-07 09:21:10:909,1,417,1,0,418,4492,417,0 36,0,2024-09-07 09:21:11:528,107605,0.5,107530,0.7,215023,0.4,286124,2.00 36,1,2024-09-07 09:21:10:583,732692,732692,0,0,344082273746,3594990918,723046,7646,2000,366,391759,0 36,2,2024-09-07 09:21:11:752,527921,527921,0,0,23645367,0,3875 36,3,2024-09-07 09:21:10:866,1,417,1,0,416,6062,417,0 37,0,2024-09-07 09:21:11:391,99960,0.4,100095,0.6,200157,0.4,266590,2.00 37,1,2024-09-07 09:21:10:569,732473,732466,0,7,343567127771,3589730153,723648,6543,2275,365,391573,0 37,2,2024-09-07 09:21:11:142,525384,525369,15,0,23434305,0,5815 37,3,2024-09-07 09:21:11:766,1,417,1,0,888,6328,417,0 38,0,2024-09-07 09:21:11:436,100533,0.4,97620,0.6,204099,0.4,267625,2.00 38,1,2024-09-07 09:21:11:611,733424,733424,0,0,343481779661,3591933958,722754,8509,2161,368,391821,0 38,2,2024-09-07 09:21:10:759,526790,526743,47,0,23318956,0,6710 38,3,2024-09-07 09:21:10:999,1,417,7,0,689,5455,417,0 39,0,2024-09-07 09:21:11:781,109960,0.6,107628,0.7,209532,0.5,286121,2.00 39,1,2024-09-07 09:21:10:716,731296,731296,0,0,343014201524,3598330316,718881,9725,2690,365,391658,0 39,2,2024-09-07 09:21:11:418,526895,526895,0,0,22161146,0,3391 39,3,2024-09-07 09:21:10:714,1,417,1,0,324,4864,417,0 40,0,2024-09-07 09:21:11:490,105981,0.9,106692,1.0,212591,1.0,283847,2.75 40,1,2024-09-07 09:21:10:584,732207,732207,0,0,342631269911,3590925347,721388,8775,2044,368,391668,0 40,2,2024-09-07 09:21:11:304,527852,527851,1,0,25823443,0,5137 40,3,2024-09-07 09:21:11:142,1,417,1,0,1028,5779,417,0 41,0,2024-09-07 09:21:11:031,101258,1.4,103536,1.2,197653,2.1,268712,3.00 41,1,2024-09-07 09:21:10:777,731171,731171,0,0,343402889004,3593966524,721989,8142,1040,369,391742,0 41,2,2024-09-07 09:21:10:760,525205,525205,0,0,24575655,0,4277 41,3,2024-09-07 09:21:11:677,1,417,3,0,366,4207,417,0 42,0,2024-09-07 09:21:11:477,100397,0.8,100477,1.0,201079,0.9,266234,2.50 42,1,2024-09-07 09:21:11:450,729467,729467,0,0,341923298377,3595727064,717505,9931,2031,380,391675,0 42,2,2024-09-07 09:21:11:133,525059,525059,0,0,24905029,0,3790 42,3,2024-09-07 09:21:11:009,1,417,1,0,892,4246,417,0 43,0,2024-09-07 09:21:10:917,104491,0.8,101657,1.0,212987,0.8,279839,2.25 43,1,2024-09-07 09:21:10:580,732441,732441,0,0,344356916571,3599540090,722113,8589,1739,366,391696,0 43,2,2024-09-07 09:21:11:736,527584,527584,0,0,24475079,0,3812 43,3,2024-09-07 09:21:11:754,1,417,1,0,548,5641,417,0 44,0,2024-09-07 09:21:10:923,108336,0.5,108635,0.7,217658,0.5,288923,2.00 44,1,2024-09-07 09:21:10:563,734399,734399,0,0,344082121421,3559557916,727906,5175,1318,356,391809,0 44,2,2024-09-07 09:21:11:271,527468,527468,0,0,20698991,0,4344 44,3,2024-09-07 09:21:11:092,1,417,4,0,817,5028,417,0 45,0,2024-09-07 09:21:11:768,102934,0.5,100514,0.7,210836,0.5,277389,2.00 45,1,2024-09-07 09:21:11:010,733322,733322,0,0,343890274265,3575653177,727665,5084,573,382,391917,0 45,2,2024-09-07 09:21:11:279,527337,527337,0,0,20977102,0,3596 45,3,2024-09-07 09:21:10:934,1,417,1,0,271,3670,417,0 46,0,2024-09-07 09:21:10:949,101297,0.5,101170,0.7,202742,0.4,268623,2.00 46,1,2024-09-07 09:21:10:584,734705,734705,0,0,344774974152,3563190335,730038,4076,591,366,391709,0 46,2,2024-09-07 09:21:10:597,527014,527014,0,0,21139201,0,4443 46,3,2024-09-07 09:21:11:131,1,417,1,0,908,5388,417,0 47,0,2024-09-07 09:21:11:105,103464,0.4,103709,0.6,207771,0.4,275334,2.00 47,1,2024-09-07 09:21:10:568,735585,735585,0,0,345013429047,3567619847,730728,4019,838,366,391641,0 47,2,2024-09-07 09:21:10:909,530654,530654,0,0,20772681,0,4477 47,3,2024-09-07 09:21:11:115,1,417,1,0,600,5214,417,0 48,0,2024-09-07 09:21:11:489,109005,0.3,108789,0.5,216815,0.2,289075,1.75 48,1,2024-09-07 09:21:11:028,733359,733359,0,0,344199118020,3576882500,728649,4254,456,384,391710,0 48,2,2024-09-07 09:21:10:700,527392,527392,0,0,19436080,0,3411 48,3,2024-09-07 09:21:10:755,1,417,0,0,339,3410,417,0 49,0,2024-09-07 09:21:11:710,109355,0.4,107557,0.5,208412,0.3,285538,1.75 49,1,2024-09-07 09:21:11:022,733241,733241,0,0,343732556305,3578229208,728089,3946,1206,382,391809,0 49,2,2024-09-07 09:21:11:799,527678,527678,0,0,21429961,0,4426 49,3,2024-09-07 09:21:11:422,1,417,27,0,992,5096,417,0 50,0,2024-09-07 09:21:11:506,101221,0.3,99542,0.4,201147,0.2,268055,1.75 50,1,2024-09-07 09:21:11:009,735774,735774,0,0,345287175245,3571935365,730850,4350,574,368,391565,0 50,2,2024-09-07 09:21:11:066,527192,527192,0,0,19706197,0,4490 50,3,2024-09-07 09:21:11:291,1,417,1,0,567,4289,417,0 51,0,2024-09-07 09:21:11:692,104520,0.3,102247,0.5,199187,0.2,271450,1.75 51,1,2024-09-07 09:21:11:682,735702,735702,0,0,345620013024,3565913830,731750,2903,1049,365,391706,0 51,2,2024-09-07 09:21:11:322,528538,528538,0,0,18720123,0,3337 51,3,2024-09-07 09:21:11:027,1,417,1,0,678,3481,417,0 52,0,2024-09-07 09:21:11:479,108099,0.5,107902,0.7,216138,0.5,287494,2.00 52,1,2024-09-07 09:21:10:579,732618,732618,0,0,343900666289,3598891373,722292,8973,1353,368,391722,0 52,2,2024-09-07 09:21:11:755,523673,523635,38,0,24837181,0,6742 52,3,2024-09-07 09:21:10:687,1,417,1,0,1782,5815,417,0 53,0,2024-09-07 09:21:11:729,106304,0.7,103261,0.8,216046,0.7,283796,2.25 53,1,2024-09-07 09:21:10:774,730992,730992,0,0,343194717253,3601333296,719088,8944,2960,367,391702,0 53,2,2024-09-07 09:21:11:297,528750,528749,1,0,22443351,0,5455 53,3,2024-09-07 09:21:10:703,1,417,1,0,308,3721,417,0 54,0,2024-09-07 09:21:11:618,99981,0.6,100211,0.8,199647,0.4,266876,2.25 54,1,2024-09-07 09:21:10:579,732574,732574,0,0,344255210173,3579993350,725090,6244,1240,366,391659,0 54,2,2024-09-07 09:21:10:865,527137,527105,32,0,25671442,0,6397 54,3,2024-09-07 09:21:10:766,1,417,0,0,676,6103,417,0 55,0,2024-09-07 09:21:11:773,97893,0.5,101186,0.7,204560,0.5,266653,2.25 55,1,2024-09-07 09:21:10:771,733615,733615,0,0,343622611815,3572182657,725984,6539,1092,365,391731,0 55,2,2024-09-07 09:21:10:732,525729,525673,56,0,24694751,0,7239 55,3,2024-09-07 09:21:10:674,1,417,1,0,304,4303,417,0 56,0,2024-09-07 09:21:11:575,108714,1.4,102474,1.2,211010,2.0,283116,2.75 56,1,2024-09-07 09:21:10:572,728770,728770,0,0,343349443349,3627515294,717268,9129,2373,381,391867,0 56,2,2024-09-07 09:21:11:310,526823,526823,0,0,24313817,0,3567 56,3,2024-09-07 09:21:11:064,1,417,6,0,705,5151,417,0 57,0,2024-09-07 09:21:10:947,106252,1.8,105976,1.3,212688,2.5,284780,3.25 57,1,2024-09-07 09:21:10:988,732016,732016,0,0,343121044315,3597249071,723413,7518,1085,366,392032,0 57,2,2024-09-07 09:21:11:318,528895,528895,0,0,26302584,0,3317 57,3,2024-09-07 09:21:11:738,1,417,1,0,455,4910,417,0 58,0,2024-09-07 09:21:10:583,101722,0.9,98790,1.0,206747,0.9,270822,2.50 58,1,2024-09-07 09:21:10:601,731867,731864,0,3,343951512371,3597483165,721914,8661,1289,367,391603,3 58,2,2024-09-07 09:21:11:069,526170,526170,0,0,24312128,0,2902 58,3,2024-09-07 09:21:11:067,1,417,4,0,1043,4575,417,0 59,0,2024-09-07 09:21:11:740,101170,0.7,100879,0.9,201347,0.8,267482,2.50 59,1,2024-09-07 09:21:10:804,731730,731730,0,0,344358480046,3608330476,721512,8409,1809,369,391653,0 59,2,2024-09-07 09:21:10:596,525731,525731,0,0,24233809,0,3727 59,3,2024-09-07 09:21:11:738,1,417,1,0,1015,5577,417,0 60,0,2024-09-07 09:21:11:706,103837,0.5,103853,0.7,208277,0.5,277313,1.75 60,1,2024-09-07 09:21:10:772,733735,733735,0,0,344367208137,3576103559,728595,4420,720,370,392031,0 60,2,2024-09-07 09:21:11:145,528367,528367,0,0,22784813,0,3811 60,3,2024-09-07 09:21:11:261,1,417,1,0,409,4938,417,0 61,0,2024-09-07 09:21:11:498,108134,0.8,108749,0.9,216588,0.9,288585,2.00 61,1,2024-09-07 09:21:10:772,732262,732262,0,0,343294958401,3598737251,723959,6874,1429,382,392127,0 61,2,2024-09-07 09:21:11:114,527495,527428,67,0,23113758,0,6411 61,3,2024-09-07 09:21:11:689,1,417,2,0,479,5726,417,0 62,0,2024-09-07 09:21:11:712,106609,0.5,109172,0.7,208522,0.5,282827,2.00 62,1,2024-09-07 09:21:11:110,736523,736517,0,6,346275396780,3571990914,732703,3553,261,365,391975,6 62,2,2024-09-07 09:21:11:644,525414,525413,1,0,23273116,0,5555 62,3,2024-09-07 09:21:11:143,1,417,1,0,482,3717,417,0 63,0,2024-09-07 09:21:11:466,101821,0.4,101672,0.6,203782,0.3,270966,1.75 63,1,2024-09-07 09:21:10:805,734268,734262,0,6,343993429113,3573681125,729294,4235,733,381,391800,6 63,2,2024-09-07 09:21:10:766,527038,527038,0,0,21217630,0,4369 63,3,2024-09-07 09:21:11:731,1,417,1,0,667,4390,417,0 64,0,2024-09-07 09:21:11:532,101604,0.5,101620,0.7,202893,0.5,270163,2.00 64,1,2024-09-07 09:21:10:843,733214,733214,0,0,344454898460,3590983728,726539,4972,1703,370,391783,0 64,2,2024-09-07 09:21:11:142,531649,531630,19,0,21081075,0,6121 64,3,2024-09-07 09:21:11:142,1,417,4,0,265,3877,417,0 65,0,2024-09-07 09:21:11:678,107654,0.7,107965,0.8,215528,0.8,287484,2.25 65,1,2024-09-07 09:21:10:865,731742,731742,0,0,342746633124,3584245034,726633,4569,540,382,391901,0 65,2,2024-09-07 09:21:11:696,527237,527237,0,0,24536098,0,3367 65,3,2024-09-07 09:21:11:687,1,417,1,0,782,5016,417,0 66,0,2024-09-07 09:21:11:762,107025,0.5,106873,0.8,213504,0.5,284580,2.25 66,1,2024-09-07 09:21:11:293,733538,733538,0,0,344038174676,3586604211,728043,4970,525,380,391743,0 66,2,2024-09-07 09:21:11:131,529394,529394,0,0,21483435,0,4956 66,3,2024-09-07 09:21:11:092,1,417,3,0,291,3692,417,0 67,0,2024-09-07 09:21:11:414,100558,0.5,100209,0.6,201035,0.4,267399,2.00 67,1,2024-09-07 09:21:10:766,733470,733469,0,1,344240228544,3587622888,728118,4559,792,380,391787,1 67,2,2024-09-07 09:21:10:590,529315,529315,0,0,20659792,0,3622 67,3,2024-09-07 09:21:11:755,1,417,13,0,392,4196,417,0 68,0,2024-09-07 09:21:10:573,101189,0.5,101196,0.7,201544,0.5,269349,2.00 68,1,2024-09-07 09:21:10:574,730510,730510,0,0,342803297043,3607152672,720460,7104,2946,381,391953,0 68,2,2024-09-07 09:21:11:050,524850,524750,100,0,27350313,0,8578 68,3,2024-09-07 09:21:10:730,1,417,413,0,417,4859,417,0 69,0,2024-09-07 09:21:11:722,106894,0.7,107633,0.8,214301,0.8,284453,2.25 69,1,2024-09-07 09:21:11:016,730232,730232,0,0,342548037013,3611976729,720465,7498,2269,384,391994,0 69,2,2024-09-07 09:21:11:733,525720,525691,29,0,28775687,0,6912 69,3,2024-09-07 09:21:10:764,1,417,2,0,698,5992,417,0 70,0,2024-09-07 09:21:11:558,106129,1.2,106206,1.1,213736,1.1,283019,2.75 70,1,2024-09-07 09:21:10:804,733591,733591,0,0,344636111870,3577647751,727617,5313,661,366,391725,0 70,2,2024-09-07 09:21:11:333,528669,528669,0,0,24191206,0,4323 70,3,2024-09-07 09:21:10:746,1,417,2,0,854,4924,417,0 71,0,2024-09-07 09:21:11:358,100931,0.8,100607,1.0,202058,0.9,270135,2.75 71,1,2024-09-07 09:21:11:596,732513,732513,0,0,343519542223,3584447705,724086,7624,803,368,391738,0 71,2,2024-09-07 09:21:11:068,526475,526475,0,0,24019256,0,4352 71,3,2024-09-07 09:21:11:752,1,417,1,0,644,5243,417,0 72,0,2024-09-07 09:21:11:051,104437,0.5,102095,0.7,199087,0.5,270690,2.00 72,1,2024-09-07 09:21:11:048,731373,731373,0,0,342671796254,3597278410,720513,8850,2010,369,391819,0 72,2,2024-09-07 09:21:11:755,525369,525369,0,0,26316019,0,3983 72,3,2024-09-07 09:21:11:755,1,417,5,0,564,6344,417,0 73,0,2024-09-07 09:21:11:103,102900,0.5,105446,0.6,215613,0.4,280879,2.00 73,1,2024-09-07 09:21:10:766,733041,733041,0,0,343898798874,3573411676,727798,4834,409,367,391858,0 73,2,2024-09-07 09:21:11:738,527145,527145,0,0,25794084,0,3701 73,3,2024-09-07 09:21:10:969,1,417,7,0,486,5656,417,0 74,0,2024-09-07 09:21:11:325,109254,0.6,111666,0.7,213039,0.5,289223,2.25 74,1,2024-09-07 09:21:10:635,732089,732089,0,0,342823108177,3581548420,724126,6368,1595,381,391762,0 74,2,2024-09-07 09:21:11:010,527737,527737,0,0,23807391,0,4253 74,3,2024-09-07 09:21:11:446,1,417,2,0,522,5522,417,0 75,0,2024-09-07 09:21:11:769,104716,0.5,104072,0.7,208740,0.5,278503,2.25 75,1,2024-09-07 09:21:11:592,732639,732639,0,0,343402949070,3583183106,725818,5993,828,380,391739,0 75,2,2024-09-07 09:21:11:349,525335,525335,0,0,25962004,0,4766 75,3,2024-09-07 09:21:11:067,1,417,1,0,702,5293,417,0 76,0,2024-09-07 09:21:10:614,101336,0.5,100626,0.7,201967,0.5,269482,2.25 76,1,2024-09-07 09:21:10:811,732425,732425,0,0,343207705810,3582592798,726998,4602,825,382,391692,0 76,2,2024-09-07 09:21:11:066,528785,528784,1,0,23220329,0,5144 76,3,2024-09-07 09:21:11:143,1,417,11,0,175,3875,417,0 77,0,2024-09-07 09:21:11:696,102726,0.6,103247,0.7,206346,0.6,274071,2.00 77,1,2024-09-07 09:21:10:827,733087,733087,0,0,344085565454,3592407212,727277,5309,501,381,391869,0 77,2,2024-09-07 09:21:11:281,528069,528069,0,0,22702421,0,3890 77,3,2024-09-07 09:21:11:095,1,417,1,0,401,4746,417,0 78,0,2024-09-07 09:21:11:727,108761,0.5,108170,0.7,217143,0.4,287606,2.00 78,1,2024-09-07 09:21:10:614,733086,733086,0,0,342880214370,3574741490,725223,6296,1567,367,391670,0 78,2,2024-09-07 09:21:11:405,527884,527871,13,0,21405376,0,8313 78,3,2024-09-07 09:21:11:137,1,417,0,0,181,3699,417,0 79,0,2024-09-07 09:21:11:353,102878,0.4,105303,0.6,215811,0.4,280516,2.25 79,1,2024-09-07 09:21:10:571,735048,735048,0,0,343972816554,3567984729,728477,5262,1309,367,391682,0 79,2,2024-09-07 09:21:11:068,528148,528148,0,0,21024796,0,4195 79,3,2024-09-07 09:21:10:749,1,417,1,0,418,5345,417,0 80,0,2024-09-07 09:21:11:106,100519,0.5,103484,0.6,198086,0.5,267609,2.00 80,1,2024-09-07 09:21:11:629,732546,732546,0,0,344061761412,3586148302,726996,5129,421,368,392269,0 80,2,2024-09-07 09:21:11:096,529229,529229,0,0,21258198,0,4433 80,3,2024-09-07 09:21:10:601,1,417,1,0,190,4964,417,0 81,0,2024-09-07 09:21:11:564,101656,0.5,104140,0.7,198817,0.5,270136,2.00 81,1,2024-09-07 09:21:11:660,731730,731730,0,0,343279312710,3589143384,725732,5458,540,382,391879,0 81,2,2024-09-07 09:21:11:128,526533,526470,63,0,23598230,0,5932 81,3,2024-09-07 09:21:11:124,1,417,1,0,719,5064,417,0 82,0,2024-09-07 09:21:11:539,107505,0.5,107718,0.7,216064,0.5,286995,2.00 82,1,2024-09-07 09:21:10:583,733866,733862,0,4,344010156584,3580797864,729167,3876,819,381,391768,4 82,2,2024-09-07 09:21:11:692,528046,528046,0,0,20082165,0,4484 82,3,2024-09-07 09:21:11:756,1,417,6,0,363,4574,417,0 83,0,2024-09-07 09:21:11:522,107059,0.7,107039,0.8,213290,0.7,283961,2.25 83,1,2024-09-07 09:21:10:551,732107,732107,0,0,343678385163,3586555740,726581,5076,450,382,391709,0 83,2,2024-09-07 09:21:10:770,528588,528588,0,0,20824469,0,3393 83,3,2024-09-07 09:21:10:758,1,417,3,0,1260,5499,417,0 84,0,2024-09-07 09:21:11:774,100815,0.6,100842,0.8,200976,0.5,269256,2.25 84,1,2024-09-07 09:21:11:040,731503,731503,0,0,343146095585,3585895191,723834,6627,1042,367,391967,0 84,2,2024-09-07 09:21:10:589,526114,526084,30,0,27726515,0,5971 84,3,2024-09-07 09:21:11:141,1,417,19,0,908,6349,417,0 85,0,2024-09-07 09:21:11:006,97602,0.6,97587,0.8,207176,0.5,268018,2.25 85,1,2024-09-07 09:21:10:669,729894,729894,0,0,342411007757,3613814329,719095,8881,1918,381,392006,0 85,2,2024-09-07 09:21:10:865,525827,525827,0,0,25617911,0,3656 85,3,2024-09-07 09:21:10:685,1,417,1,0,789,5197,417,0 86,0,2024-09-07 09:21:11:004,106237,0.6,109269,0.8,209286,0.7,283419,2.25 86,1,2024-09-07 09:21:10:825,732035,732035,0,0,343716019804,3600542778,723375,7220,1440,366,391961,0 86,2,2024-09-07 09:21:10:855,524782,524781,1,0,27732502,0,5004 86,3,2024-09-07 09:21:10:596,1,417,4,0,308,6032,417,0 87,0,2024-09-07 09:21:11:315,107599,1.0,107524,0.9,215131,1.4,287213,2.50 87,1,2024-09-07 09:21:10:554,730759,730759,0,0,343615977550,3603044467,720865,8303,1591,366,392076,0 87,2,2024-09-07 09:21:11:067,527629,527623,6,0,24750279,0,6323 87,3,2024-09-07 09:21:11:801,1,417,7,0,473,6664,417,0 88,0,2024-09-07 09:21:11:454,103213,0.4,103906,0.6,206785,0.4,275137,1.75 88,1,2024-09-07 09:21:10:568,730425,730425,0,0,343799275828,3603075202,720725,7693,2007,365,392084,0 88,2,2024-09-07 09:21:10:696,526866,526866,0,0,26737577,0,4465 88,3,2024-09-07 09:21:11:280,1,417,8,0,435,4782,417,0 89,0,2024-09-07 09:21:11:767,104354,0.4,101079,0.6,199994,0.4,271203,1.75 89,1,2024-09-07 09:21:10:562,730408,730408,0,0,342566637930,3602825589,721242,7740,1426,382,391866,0 89,2,2024-09-07 09:21:11:131,525313,525313,0,0,25158702,0,3173 89,3,2024-09-07 09:21:11:793,1,417,2,0,468,7448,417,0 90,0,2024-09-07 09:21:11:674,100916,0.5,103598,0.6,211368,0.4,276394,2.00 90,1,2024-09-07 09:21:10:593,731570,731570,0,0,343816569694,3600439082,724804,6255,511,380,391825,0 90,2,2024-09-07 09:21:11:414,526243,526243,0,0,26654014,0,3060 90,3,2024-09-07 09:21:10:934,1,417,1,0,322,5225,417,0 91,0,2024-09-07 09:21:10:950,108992,0.5,105510,0.7,220507,0.5,289328,2.00 91,1,2024-09-07 09:21:10:562,730546,730546,0,0,342730782845,3605199312,721297,7847,1402,381,392047,0 91,2,2024-09-07 09:21:11:344,528534,528534,0,0,23659134,0,2896 91,3,2024-09-07 09:21:10:599,1,417,2,0,216,4187,417,0 92,0,2024-09-07 09:21:11:451,106944,0.5,109652,0.6,209367,0.5,283240,1.75 92,1,2024-09-07 09:21:10:580,732037,732037,0,0,343116411745,3584743098,726506,4806,725,382,392136,0 92,2,2024-09-07 09:21:11:349,527913,527913,0,0,21341880,0,3259 92,3,2024-09-07 09:21:11:010,1,417,5,0,167,3734,417,0 93,0,2024-09-07 09:21:10:954,102250,0.4,104782,0.5,199969,0.3,271053,1.75 93,1,2024-09-07 09:21:10:810,731722,731722,0,0,343903976796,3592545748,723594,6745,1383,366,391776,0 93,2,2024-09-07 09:21:10:928,526258,526258,0,0,25890448,0,4845 93,3,2024-09-07 09:21:11:406,1,417,1,0,190,3736,417,0 94,0,2024-09-07 09:21:11:624,101655,0.4,102523,0.5,204649,0.3,271917,1.75 94,1,2024-09-07 09:21:10:569,732752,732752,0,0,343704854493,3586909850,727968,4574,210,381,391850,0 94,2,2024-09-07 09:21:10:775,527757,527757,0,0,21666249,0,2443 94,3,2024-09-07 09:21:11:689,1,417,3,0,576,5645,417,0 95,0,2024-09-07 09:21:11:356,108586,0.4,108423,0.5,217481,0.3,289934,1.75 95,1,2024-09-07 09:21:10:856,733196,733196,0,0,344137405790,3578974508,727153,5607,436,365,391786,0 95,2,2024-09-07 09:21:11:053,526943,526943,0,0,21430354,0,3308 95,3,2024-09-07 09:21:11:709,1,417,1,0,718,6131,417,0 96,0,2024-09-07 09:21:11:031,107494,0.4,107875,0.5,215153,0.3,285655,1.75 96,1,2024-09-07 09:21:11:593,732358,732358,0,0,343828710090,3589816343,726819,4656,883,384,391955,0 96,2,2024-09-07 09:21:11:268,528333,528333,0,0,22394383,0,4180 96,3,2024-09-07 09:21:11:141,1,417,1,0,411,4762,417,0 97,0,2024-09-07 09:21:11:326,100549,0.3,100161,0.5,201012,0.2,267303,1.50 97,1,2024-09-07 09:21:10:767,733972,733972,0,0,344821765376,3579536059,728829,4211,932,367,392140,0 97,2,2024-09-07 09:21:10:616,527781,527781,0,0,21499753,0,3679 97,3,2024-09-07 09:21:10:586,1,417,28,0,242,4849,417,0 98,0,2024-09-07 09:21:11:749,100947,0.3,100950,0.4,202594,0.2,269495,1.50 98,1,2024-09-07 09:21:10:596,732454,732454,0,0,344160121064,3587631490,727505,4159,790,382,391997,0 98,2,2024-09-07 09:21:10:770,527402,527402,0,0,21720346,0,4336 98,3,2024-09-07 09:21:10:702,1,417,2,0,840,7118,417,0 99,0,2024-09-07 09:21:11:476,107361,0.3,108162,0.5,214718,0.3,287193,1.75 99,1,2024-09-07 09:21:11:725,733214,733214,0,0,343704553140,3579066998,728276,4101,837,381,392069,0 99,2,2024-09-07 09:21:11:418,527543,527543,0,0,25498292,0,4276 99,3,2024-09-07 09:21:10:581,1,417,2,0,606,4674,417,0 100,0,2024-09-07 09:21:11:457,106965,0.9,107184,1.0,213496,1.1,285728,2.50 100,1,2024-09-07 09:21:10:558,729060,729060,0,0,341571594057,3608962160,718362,8653,2045,381,391989,0 100,2,2024-09-07 09:21:11:826,526743,526732,11,0,24841212,0,5417 100,3,2024-09-07 09:21:11:730,1,417,0,0,559,6854,417,0 101,0,2024-09-07 09:21:11:703,104001,0.9,101200,1.0,198818,0.7,272185,2.25 101,1,2024-09-07 09:21:10:561,729640,729640,0,0,342263858635,3601865964,718974,8544,2122,368,391847,0 101,2,2024-09-07 09:21:11:756,523419,523419,0,0,29517148,0,4871 101,3,2024-09-07 09:21:10:942,1,417,1,0,579,5858,417,0 102,0,2024-09-07 09:21:11:032,98474,0.6,101566,0.7,205862,0.6,269514,2.00 102,1,2024-09-07 09:21:11:141,730173,730173,0,0,342839370468,3603835215,720314,8097,1762,369,391891,0 102,2,2024-09-07 09:21:11:744,526572,526518,54,0,23737095,0,6768 102,3,2024-09-07 09:21:11:623,1,417,27,0,466,4665,417,0 103,0,2024-09-07 09:21:11:637,108941,0.6,108972,0.7,205305,0.6,283122,2.00 103,1,2024-09-07 09:21:11:648,729202,729202,0,0,342826996369,3621743146,717232,8904,3066,381,391829,0 103,2,2024-09-07 09:21:10:598,525778,525778,0,0,26418345,0,3766 103,3,2024-09-07 09:21:10:765,1,417,2,0,916,4675,417,0 104,0,2024-09-07 09:21:11:002,107399,0.8,107710,1.0,214294,0.8,287328,2.50 104,1,2024-09-07 09:21:11:626,731427,731427,0,0,343312222330,3607667221,720594,8971,1862,365,392168,0 104,2,2024-09-07 09:21:11:666,527095,527095,0,0,25394748,0,3941 104,3,2024-09-07 09:21:11:418,1,417,2,0,1245,8501,417,0 105,0,2024-09-07 09:21:11:033,103072,0.8,100357,1.1,210324,0.9,276571,2.50 105,1,2024-09-07 09:21:10:561,731882,731882,0,0,343583160084,3601578685,722454,8004,1424,366,392009,0 105,2,2024-09-07 09:21:11:321,525315,525315,0,0,25144365,0,3509 105,3,2024-09-07 09:21:11:303,1,417,2,0,399,6535,417,0 106,0,2024-09-07 09:21:10:969,98259,0.7,100627,0.9,206165,0.7,269216,2.50 106,1,2024-09-07 09:21:11:751,730670,730670,0,0,343008873252,3603353843,719658,9567,1445,369,391914,0 106,2,2024-09-07 09:21:10:765,525707,525707,0,0,24509363,0,2920 106,3,2024-09-07 09:21:10:679,1,417,3,0,470,5420,417,0 107,0,2024-09-07 09:21:11:151,102904,0.9,103235,0.9,206011,1.2,275135,2.25 107,1,2024-09-07 09:21:10:610,729902,729902,0,0,342825780174,3614313342,719742,9110,1050,381,392234,0 107,2,2024-09-07 09:21:11:294,526599,526598,1,0,25454787,0,5024 107,3,2024-09-07 09:21:11:758,1,417,0,0,370,6418,417,0 108,0,2024-09-07 09:21:11:775,108181,0.5,109017,0.6,216732,0.5,288793,1.75 108,1,2024-09-07 09:21:11:292,732413,732413,0,0,344021197864,3589565455,726275,5394,744,368,391857,0 108,2,2024-09-07 09:21:11:755,526232,526232,0,0,24093589,0,4246 108,3,2024-09-07 09:21:11:330,1,417,0,0,749,7935,417,0 109,0,2024-09-07 09:21:11:766,107311,0.4,106430,0.6,212815,0.4,284653,1.75 109,1,2024-09-07 09:21:10:612,729739,729739,0,0,343102136773,3603718682,722345,6210,1184,382,392132,0 109,2,2024-09-07 09:21:10:924,524877,524877,0,0,23626187,0,3617 109,3,2024-09-07 09:21:11:141,1,417,1,0,379,4903,417,0 110,0,2024-09-07 09:21:11:755,100440,0.4,97884,0.6,205093,0.3,268421,1.75 110,1,2024-09-07 09:21:11:644,733595,733595,0,0,344206829209,3574633487,728206,4212,1177,369,392045,0 110,2,2024-09-07 09:21:11:306,527440,527440,0,0,22537540,0,4067 110,3,2024-09-07 09:21:10:694,1,417,1,0,722,6037,417,0 111,0,2024-09-07 09:21:11:412,102072,0.4,101361,0.5,203195,0.3,271568,1.75 111,1,2024-09-07 09:21:11:000,733864,733864,0,0,345245797275,3581919394,729985,3524,355,380,391690,0 111,2,2024-09-07 09:21:11:116,527150,527150,0,0,22313428,0,4823 111,3,2024-09-07 09:21:10:913,1,417,2,0,379,5039,417,0 112,0,2024-09-07 09:21:10:916,108353,0.3,108003,0.4,216155,0.2,287866,1.50 112,1,2024-09-07 09:21:10:828,734008,734008,0,0,343912953468,3571315536,729295,4100,613,380,391624,0 112,2,2024-09-07 09:21:11:135,526813,526812,1,0,21539602,0,5036 112,3,2024-09-07 09:21:10:593,1,417,16,0,282,4200,417,0 113,0,2024-09-07 09:21:10:877,107077,0.3,107177,0.5,214640,0.3,285970,1.75 113,1,2024-09-07 09:21:11:685,735800,735800,0,0,344493363657,3555872484,731912,3299,589,366,391661,0 113,2,2024-09-07 09:21:11:306,530011,530011,0,0,19882048,0,3813 113,3,2024-09-07 09:21:10:687,1,417,1,0,340,4721,417,0 114,0,2024-09-07 09:21:10:894,101784,0.3,102391,0.5,203579,0.2,271870,1.75 114,1,2024-09-07 09:21:10:716,733167,733167,0,0,344549669379,3581842994,726889,4662,1616,381,391565,0 114,2,2024-09-07 09:21:10:877,527878,527877,1,0,20940821,0,5069 114,3,2024-09-07 09:21:11:278,1,417,1,0,395,3494,417,0 115,0,2024-09-07 09:21:10:569,101392,0.3,102000,0.4,203486,0.2,270575,1.50 115,1,2024-09-07 09:21:10:571,733769,733769,0,0,344839774211,3586684022,727279,5223,1267,382,391757,0 115,2,2024-09-07 09:21:11:127,528464,528464,0,0,20753774,0,4382 115,3,2024-09-07 09:21:11:002,1,417,8,0,159,2386,417,0 116,0,2024-09-07 09:21:11:708,105972,0.7,105935,0.9,212634,0.8,284542,2.00 116,1,2024-09-07 09:21:10:806,730085,730085,0,0,342515258740,3609167352,721502,6258,2325,380,392089,0 116,2,2024-09-07 09:21:11:767,525957,525957,0,0,26194336,0,4128 116,3,2024-09-07 09:21:10:912,1,417,3,0,415,4972,417,0 117,0,2024-09-07 09:21:10:953,108114,0.8,107584,0.9,215391,1.0,287589,2.00 117,1,2024-09-07 09:21:11:593,731452,731452,0,0,342720154172,3587525673,723710,6829,913,369,392033,0 117,2,2024-09-07 09:21:11:118,531268,531268,0,0,22499152,0,4303 117,3,2024-09-07 09:21:11:061,1,417,4,0,490,6467,417,0 118,0,2024-09-07 09:21:11:781,100272,0.5,102817,0.7,210026,0.4,274098,2.00 118,1,2024-09-07 09:21:10:595,731261,731261,0,0,342484834073,3605523504,719371,8892,2998,366,391907,0 118,2,2024-09-07 09:21:11:608,525914,525914,0,0,24654815,0,2842 118,3,2024-09-07 09:21:11:780,1,417,4,0,248,4818,417,0 119,0,2024-09-07 09:21:11:385,101126,0.5,101518,0.7,203021,0.5,269599,2.00 119,1,2024-09-07 09:21:10:550,731439,731439,0,0,343727783753,3598141609,722965,7359,1115,367,391780,0 119,2,2024-09-07 09:21:11:261,526968,526968,0,0,23125763,0,4174 119,3,2024-09-07 09:21:11:327,1,417,4,0,1358,8439,417,0 120,0,2024-09-07 09:21:11:549,103232,0.7,103076,0.9,206796,0.7,276702,2.25 120,1,2024-09-07 09:21:10:864,731746,731746,0,0,342622218133,3597685136,723388,7589,769,368,391961,0 120,2,2024-09-07 09:21:10:772,527208,527207,1,0,26592082,0,5281 120,3,2024-09-07 09:21:11:303,1,417,0,0,279,5188,417,0 121,0,2024-09-07 09:21:11:698,108278,1.5,107974,1.2,216771,2.1,288601,2.50 121,1,2024-09-07 09:21:11:655,732172,732172,0,0,343511738543,3592030040,725458,6255,459,367,391840,0 121,2,2024-09-07 09:21:11:130,526782,526782,0,0,25580488,0,4127 121,3,2024-09-07 09:21:10:732,1,417,1,0,269,4628,417,0 122,0,2024-09-07 09:21:11:797,105525,0.8,102963,0.9,215903,0.9,282991,2.00 122,1,2024-09-07 09:21:10:865,730501,730501,0,0,342780318911,3599609665,720070,8828,1603,366,392130,0 122,2,2024-09-07 09:21:11:353,526669,526596,73,0,28328689,0,5989 122,3,2024-09-07 09:21:10:595,1,417,0,0,512,7662,417,0 123,0,2024-09-07 09:21:11:022,101195,0.6,98791,0.7,206587,0.6,270410,2.00 123,1,2024-09-07 09:21:10:558,730569,730569,0,0,342939380325,3615867530,717030,11099,2440,369,392039,0 123,2,2024-09-07 09:21:11:025,525370,525369,1,0,23933186,0,5215 123,3,2024-09-07 09:21:11:132,1,417,1,0,478,4966,417,0 124,0,2024-09-07 09:21:10:930,105065,0.3,105099,0.5,198101,0.3,272524,1.75 124,1,2024-09-07 09:21:11:022,733327,733327,0,0,343715728507,3574487016,728053,4225,1049,367,392178,0 124,2,2024-09-07 09:21:11:010,528983,528930,53,0,21888635,0,6487 124,3,2024-09-07 09:21:10:767,1,417,1,0,490,4095,417,0 125,0,2024-09-07 09:21:11:454,108632,0.4,108388,0.6,217716,0.4,290055,1.75 125,1,2024-09-07 09:21:10:855,731509,731509,0,0,343279336472,3586501271,725606,5233,670,382,391702,0 125,2,2024-09-07 09:21:11:119,528133,528133,0,0,22618780,0,4534 125,3,2024-09-07 09:21:11:127,1,417,0,0,709,5365,417,0 126,0,2024-09-07 09:21:11:442,107385,0.4,110538,0.6,211523,0.4,286351,1.75 126,1,2024-09-07 09:21:10:552,734059,734059,0,0,344952086077,3574368431,730060,3706,293,365,391987,0 126,2,2024-09-07 09:21:10:634,528633,528633,0,0,23345079,0,4539 126,3,2024-09-07 09:21:10:911,1,417,13,0,268,5241,417,0 127,0,2024-09-07 09:21:11:602,100461,0.3,100854,0.5,201255,0.2,267372,1.75 127,1,2024-09-07 09:21:10:577,733634,733634,0,0,344206808872,3582284681,726298,6117,1219,364,392187,0 127,2,2024-09-07 09:21:10:659,526756,526756,0,0,21086654,0,3897 127,3,2024-09-07 09:21:11:266,1,417,1,0,968,4606,417,0 128,0,2024-09-07 09:21:11:524,101464,0.3,101751,0.4,202913,0.2,269877,1.50 128,1,2024-09-07 09:21:11:607,733006,733006,0,0,344883396984,3581862634,728108,4465,433,367,392031,0 128,2,2024-09-07 09:21:11:391,528184,528184,0,0,20512695,0,3171 128,3,2024-09-07 09:21:10:771,1,417,1,0,1082,6603,417,0 129,0,2024-09-07 09:21:11:002,108345,0.3,107704,0.5,215958,0.3,287569,1.75 129,1,2024-09-07 09:21:10:569,730203,730203,0,0,342503528703,3592107638,722538,5995,1670,379,391962,0 129,2,2024-09-07 09:21:10:686,527615,527615,0,0,21874979,0,4031 129,3,2024-09-07 09:21:10:690,1,417,2,0,506,5841,417,0 130,0,2024-09-07 09:21:11:760,107966,0.5,107347,0.6,216092,0.6,287164,1.75 130,1,2024-09-07 09:21:10:586,733424,733424,0,0,343795506505,3574475559,729392,3693,339,381,391825,0 130,2,2024-09-07 09:21:11:125,530325,530325,0,0,22376562,0,4067 130,3,2024-09-07 09:21:11:292,1,417,5,0,960,6700,417,0 131,0,2024-09-07 09:21:11:933,101655,0.3,102181,0.5,205409,0.3,272060,1.75 131,1,2024-09-07 09:21:11:839,732890,732890,0,0,343663768288,3592015498,726929,4913,1048,381,391865,0 131,2,2024-09-07 09:21:10:568,529315,529315,0,0,20538898,0,3979 131,3,2024-09-07 09:21:11:688,1,417,125,0,392,4794,417,0 132,0,2024-09-07 09:21:11:432,101145,0.4,102154,0.6,203155,0.4,270296,1.75 132,1,2024-09-07 09:21:10:579,729515,729515,0,0,342447874269,3608105653,718396,9014,2105,381,392097,0 132,2,2024-09-07 09:21:10:703,525835,525818,17,0,26568438,0,6451 132,3,2024-09-07 09:21:11:690,1,417,7,0,804,7469,417,0 133,0,2024-09-07 09:21:11:564,102831,0.4,105152,0.6,215866,0.4,281356,1.75 133,1,2024-09-07 09:21:10:598,729469,729469,0,0,342501122202,3611875044,718926,9028,1515,383,391914,0 133,2,2024-09-07 09:21:11:093,526729,526679,50,0,28074961,0,6861 133,3,2024-09-07 09:21:11:301,1,417,1,0,479,4179,417,0 134,0,2024-09-07 09:21:10:946,108953,0.6,108502,0.8,217204,0.6,289620,2.25 134,1,2024-09-07 09:21:10:590,730783,730783,0,0,342838492194,3597469635,721000,7749,2034,366,391718,0 134,2,2024-09-07 09:21:11:756,526766,526742,24,0,25815001,0,6207 134,3,2024-09-07 09:21:10:751,1,417,8,0,739,5420,417,0 135,0,2024-09-07 09:21:11:115,101051,0.8,101077,0.9,214410,0.9,276066,2.25 135,1,2024-09-07 09:21:11:596,730790,730790,0,0,343401901426,3614099126,720837,8582,1371,380,391805,0 135,2,2024-09-07 09:21:10:703,526782,526782,0,0,24817055,0,3981 135,3,2024-09-07 09:21:11:009,1,417,25,0,299,3505,417,0 136,0,2024-09-07 09:21:11:618,102122,0.5,102331,0.7,203503,0.5,271226,2.00 136,1,2024-09-07 09:21:11:446,731414,731414,0,0,343408144808,3602997129,722848,7604,962,382,391685,0 136,2,2024-09-07 09:21:11:133,527943,527943,0,0,23907270,0,3506 136,3,2024-09-07 09:21:11:106,1,417,11,0,637,4901,417,0 137,0,2024-09-07 09:21:10:953,106292,0.6,103492,0.7,203205,0.6,276274,2.00 137,1,2024-09-07 09:21:10:580,730114,730114,0,0,342464491943,3595720018,718600,9155,2359,366,391898,0 137,2,2024-09-07 09:21:11:709,526804,526804,0,0,26581864,0,3185 137,3,2024-09-07 09:21:10:775,1,417,2,0,484,5203,417,0 138,0,2024-09-07 09:21:11:754,108019,1.1,107980,1.0,216757,1.3,287607,2.25 138,1,2024-09-07 09:21:11:685,731391,731391,0,0,343699442029,3606195249,721153,8517,1721,368,391954,0 138,2,2024-09-07 09:21:10:586,526795,526795,0,0,24187870,0,4988 138,3,2024-09-07 09:21:10:617,1,417,5,0,1160,5631,417,0 139,0,2024-09-07 09:21:11:367,105773,1.6,105760,1.1,212059,2.3,283173,2.50 139,1,2024-09-07 09:21:10:599,727463,727463,0,0,340779177527,3623775167,713102,11056,3305,380,392058,0 139,2,2024-09-07 09:21:10:693,522887,522887,0,0,27555255,0,3097 139,3,2024-09-07 09:21:11:667,1,417,13,0,432,4992,417,0 140,0,2024-09-07 09:21:11:601,101032,0.3,100441,0.5,201659,0.2,268710,1.75 140,1,2024-09-07 09:21:11:539,735414,735414,0,0,345135113380,3559687099,731852,3121,441,365,391606,0 140,2,2024-09-07 09:21:10:687,527945,527944,1,0,21307649,0,5036 140,3,2024-09-07 09:21:10:767,1,417,1,0,297,3568,417,0 141,0,2024-09-07 09:21:11:698,101964,0.3,104677,0.5,199994,0.3,271380,1.75 141,1,2024-09-07 09:21:10:861,733520,733520,0,0,344949938778,3584546061,727837,4726,957,379,391614,0 141,2,2024-09-07 09:21:11:689,528132,528132,0,0,21081256,0,3360 141,3,2024-09-07 09:21:11:044,1,417,0,0,391,4877,417,0 142,0,2024-09-07 09:21:11:308,108470,0.3,107898,0.5,215620,0.3,288527,1.75 142,1,2024-09-07 09:21:10:600,732948,732948,0,0,344016145519,3587213972,728265,4286,397,382,392102,0 142,2,2024-09-07 09:21:11:298,526297,526265,32,0,23170687,0,6028 142,3,2024-09-07 09:21:11:746,1,417,0,0,484,4795,417,0 143,0,2024-09-07 09:21:11:441,107313,0.5,107343,0.6,215009,0.5,285994,1.75 143,1,2024-09-07 09:21:10:573,734123,734123,0,0,344521162681,3577377012,729082,4497,544,367,391705,0 143,2,2024-09-07 09:21:10:769,528689,528689,0,0,22189030,0,3123 143,3,2024-09-07 09:21:11:146,1,417,4,0,462,5275,417,0 144,0,2024-09-07 09:21:11:533,98275,0.6,100999,0.8,205886,0.5,269721,2.00 144,1,2024-09-07 09:21:10:717,730182,730182,0,0,342618669785,3599069858,722472,5876,1834,381,391649,0 144,2,2024-09-07 09:21:11:766,528213,528213,0,0,21008448,0,3673 144,3,2024-09-07 09:21:11:739,1,417,10,0,249,4306,417,0 145,0,2024-09-07 09:21:11:410,97966,0.5,97994,0.7,207991,0.4,267629,2.25 145,1,2024-09-07 09:21:10:576,730098,730098,0,0,342440976319,3603079210,720481,7955,1662,382,391759,0 145,2,2024-09-07 09:21:11:429,524865,524784,81,0,25726916,0,7814 145,3,2024-09-07 09:21:10:895,1,417,2,0,622,5974,417,0 146,0,2024-09-07 09:21:11:591,106385,0.6,105671,0.8,213142,0.6,282959,2.25 146,1,2024-09-07 09:21:11:590,731616,731616,0,0,342938321304,3602275668,720671,8825,2120,367,391770,0 146,2,2024-09-07 09:21:11:694,525368,525368,0,0,24570927,0,3290 146,3,2024-09-07 09:21:11:274,1,417,0,0,1520,7981,417,0 147,0,2024-09-07 09:21:11:724,107951,0.7,107817,0.8,214901,0.7,287106,2.25 147,1,2024-09-07 09:21:11:373,734534,734534,0,0,344129140196,3581195056,727899,5790,845,368,391791,0 147,2,2024-09-07 09:21:11:010,528534,528534,0,0,22245358,0,2968 147,3,2024-09-07 09:21:10:916,1,417,8,0,1626,7352,417,0 0,0,2024-09-07 09:21:21:793,101395,0.6,101424,0.8,215191,0.7,278685,2.00 0,1,2024-09-07 09:21:20:801,733289,733289,0,0,344427925038,3605916042,727848,5054,387,369,391896,0 0,2,2024-09-07 09:21:21:069,530072,530072,0,0,21626381,0,4480 0,3,2024-09-07 09:21:20:974,1,418,1,0,431,6316,418,0 1,0,2024-09-07 09:21:21:784,108610,1.2,107876,1.1,216402,1.7,289240,2.25 1,1,2024-09-07 09:21:20:570,732840,732840,0,0,343441849781,3596631190,726414,5100,1326,370,391859,0 1,2,2024-09-07 09:21:20:639,528420,528420,0,0,21950530,0,3380 1,3,2024-09-07 09:21:21:313,1,418,0,0,268,5194,418,0 2,0,2024-09-07 09:21:21:607,105778,0.6,106066,0.8,211227,0.7,281666,2.00 2,1,2024-09-07 09:21:20:862,735416,735416,0,0,345158712747,3583817766,732129,2992,295,380,391745,0 2,2,2024-09-07 09:21:21:266,528443,528443,0,0,20580249,0,3594 2,3,2024-09-07 09:21:20:692,1,418,33,0,357,4138,418,0 3,0,2024-09-07 09:21:21:743,101794,0.4,101592,0.6,203203,0.3,270583,2.00 3,1,2024-09-07 09:21:21:626,734062,734062,0,0,344407735814,3588509940,727842,5530,690,380,391716,0 3,2,2024-09-07 09:21:21:148,528411,528388,23,0,21697589,0,5851 3,3,2024-09-07 09:21:21:752,1,418,1,0,207,2830,418,0 4,0,2024-09-07 09:21:21:810,99378,0.4,102078,0.5,208229,0.3,272714,1.75 4,1,2024-09-07 09:21:20:615,731481,731481,0,0,342451600564,3621055649,719677,9297,2507,370,391992,0 4,2,2024-09-07 09:21:21:017,527586,527586,0,0,24683140,0,4528 4,3,2024-09-07 09:21:21:029,1,418,8,0,448,5491,418,0 5,0,2024-09-07 09:21:21:394,108676,0.4,109222,0.6,218037,0.4,289542,1.75 5,1,2024-09-07 09:21:20:774,732511,732511,0,0,343971726970,3621709785,722156,8198,2157,367,392005,0 5,2,2024-09-07 09:21:21:841,525969,525969,0,0,24430323,0,3582 5,3,2024-09-07 09:21:21:734,1,418,1,0,457,5963,418,0 6,0,2024-09-07 09:21:20:919,107800,0.5,107457,0.7,214670,0.4,286493,2.00 6,1,2024-09-07 09:21:20:746,732994,732994,0,0,344024563413,3596750587,724790,6812,1392,379,391694,0 6,2,2024-09-07 09:21:21:115,527806,527788,18,0,24497222,0,5535 6,3,2024-09-07 09:21:21:282,1,418,17,0,710,5336,418,0 7,0,2024-09-07 09:21:21:530,99958,0.5,100665,0.6,200525,0.4,266820,2.00 7,1,2024-09-07 09:21:20:861,732672,732672,0,0,343865993320,3608420156,722904,8305,1463,382,391747,0 7,2,2024-09-07 09:21:20:770,529279,529279,0,0,23691553,0,4791 7,3,2024-09-07 09:21:20:860,1,418,1,0,552,4784,418,0 8,0,2024-09-07 09:21:21:462,101674,0.4,101314,0.5,203208,0.3,271204,1.75 8,1,2024-09-07 09:21:21:016,731493,731493,0,0,343274830107,3611555410,719969,8895,2629,366,392853,0 8,2,2024-09-07 09:21:20:790,524218,524218,0,0,27956026,0,3250 8,3,2024-09-07 09:21:20:595,1,418,9,0,538,6974,418,0 9,0,2024-09-07 09:21:21:177,107993,0.4,104846,0.5,219427,0.3,287687,1.75 9,1,2024-09-07 09:21:20:566,731216,731216,0,0,343360427379,3618492321,720165,8630,2421,369,392001,0 9,2,2024-09-07 09:21:21:083,526934,526934,0,0,24841052,0,3360 9,3,2024-09-07 09:21:21:752,1,418,1,0,496,6007,418,0 10,0,2024-09-07 09:21:21:601,107545,0.4,106891,0.6,214876,0.3,286120,1.75 10,1,2024-09-07 09:21:20:585,732814,732814,0,0,344275240043,3610613982,722433,8758,1623,381,391741,0 10,2,2024-09-07 09:21:20:766,529434,529434,0,0,27194318,0,4264 10,3,2024-09-07 09:21:20:874,1,418,0,0,649,4571,418,0 11,0,2024-09-07 09:21:21:010,101895,0.4,98806,0.6,206744,0.4,272545,1.75 11,1,2024-09-07 09:21:20:575,733328,733328,0,0,345039784172,3621390568,722001,8701,2626,383,391756,0 11,2,2024-09-07 09:21:21:129,527798,527798,0,0,24036293,0,4130 11,3,2024-09-07 09:21:21:303,1,418,6,0,843,6193,418,0 12,0,2024-09-07 09:21:20:955,102124,0.4,102196,0.5,204449,0.3,271262,1.75 12,1,2024-09-07 09:21:21:102,733500,733500,0,0,343452665416,3581863567,727952,5047,501,370,391870,0 12,2,2024-09-07 09:21:21:545,528096,528096,0,0,23280620,0,3469 12,3,2024-09-07 09:21:21:062,1,418,2,0,386,6336,418,0 13,0,2024-09-07 09:21:21:437,106776,0.4,106361,0.6,212448,0.4,283570,1.75 13,1,2024-09-07 09:21:21:548,732092,732092,0,0,343295044514,3610884238,724486,5975,1631,382,391740,0 13,2,2024-09-07 09:21:20:603,529838,529838,0,0,21628048,0,3287 13,3,2024-09-07 09:21:21:762,1,418,1,0,522,6231,418,0 14,0,2024-09-07 09:21:20:570,108357,0.5,109417,0.7,216429,0.4,288653,2.00 14,1,2024-09-07 09:21:21:571,737001,737001,0,0,345865768928,3584104503,730784,5509,708,364,391673,0 14,2,2024-09-07 09:21:20:766,529633,529603,30,0,23649075,0,6104 14,3,2024-09-07 09:21:21:115,1,418,3,0,1168,4721,418,0 15,0,2024-09-07 09:21:21:553,104166,0.4,104033,0.7,208451,0.4,277154,2.00 15,1,2024-09-07 09:21:21:614,734406,734406,0,0,344721185000,3592283157,728184,5044,1178,381,391619,0 15,2,2024-09-07 09:21:20:999,529907,529907,0,0,19349647,0,3622 15,3,2024-09-07 09:21:21:411,1,418,1,0,1126,6938,418,0 16,0,2024-09-07 09:21:21:062,101931,0.5,102256,0.7,204033,0.5,271012,2.00 16,1,2024-09-07 09:21:20:573,733920,733920,0,0,344435948428,3603280114,727324,5483,1113,370,391917,0 16,2,2024-09-07 09:21:21:458,527688,527688,0,0,23229733,0,4719 16,3,2024-09-07 09:21:21:171,1,418,0,0,317,5371,418,0 17,0,2024-09-07 09:21:21:850,106671,0.6,104028,0.8,203618,0.7,276915,2.00 17,1,2024-09-07 09:21:20:597,732632,732632,0,0,342954386362,3600770113,724992,6127,1513,368,392075,0 17,2,2024-09-07 09:21:21:683,531024,531024,0,0,23063429,0,3779 17,3,2024-09-07 09:21:20:578,1,418,2,0,518,6802,418,0 18,0,2024-09-07 09:21:20:941,107421,0.7,107978,0.9,215176,0.8,287175,2.25 18,1,2024-09-07 09:21:21:642,735516,735516,0,0,345161584797,3578619797,731516,3621,379,367,391725,0 18,2,2024-09-07 09:21:21:760,531023,531023,0,0,20454787,0,3541 18,3,2024-09-07 09:21:20:896,1,418,6,0,163,3261,418,0 19,0,2024-09-07 09:21:21:547,106259,0.6,106825,0.8,211944,0.6,282119,2.25 19,1,2024-09-07 09:21:20:569,736050,736050,0,0,345251611699,3582896026,730105,5024,921,367,391777,0 19,2,2024-09-07 09:21:21:752,530506,530506,0,0,19362891,0,3988 19,3,2024-09-07 09:21:21:129,1,418,1,0,524,3444,418,0 20,0,2024-09-07 09:21:21:369,100887,0.4,100909,0.6,201662,0.4,269159,2.00 20,1,2024-09-07 09:21:20:570,733114,733114,0,0,344739012896,3606688955,726407,5907,800,369,391922,0 20,2,2024-09-07 09:21:20:930,528094,528094,0,0,23002711,0,3721 20,3,2024-09-07 09:21:20:597,1,418,5,0,414,6166,418,0 21,0,2024-09-07 09:21:21:164,101982,0.5,102193,0.6,204044,0.4,270856,2.00 21,1,2024-09-07 09:21:21:560,731110,731110,0,0,343138330311,3618671493,720259,8377,2474,368,392016,0 21,2,2024-09-07 09:21:21:067,526388,526368,20,0,28137746,0,5617 21,3,2024-09-07 09:21:21:411,1,418,1,0,713,5407,418,0 22,0,2024-09-07 09:21:21:716,108076,0.5,108535,0.7,217040,0.4,288202,2.00 22,1,2024-09-07 09:21:21:023,732597,732597,0,0,343511397446,3611368094,721463,8907,2227,382,391667,0 22,2,2024-09-07 09:21:20:760,527061,527035,26,0,22390486,0,6328 22,3,2024-09-07 09:21:21:066,1,418,1,0,228,3485,418,0 23,0,2024-09-07 09:21:21:378,107021,0.5,106331,0.7,213460,0.5,284648,2.25 23,1,2024-09-07 09:21:21:003,733435,733435,0,0,344645707772,3620755623,720346,9035,4054,365,391690,0 23,2,2024-09-07 09:21:21:097,530496,530496,0,0,21869132,0,3773 23,3,2024-09-07 09:21:21:754,1,418,1,0,720,5545,418,0 24,0,2024-09-07 09:21:20:820,102171,0.4,101800,0.5,204389,0.3,271415,1.75 24,1,2024-09-07 09:21:20:585,732680,732680,0,0,344452205715,3611643449,724688,6401,1591,367,392269,0 24,2,2024-09-07 09:21:21:071,527727,527727,0,0,26271122,0,3607 24,3,2024-09-07 09:21:21:716,1,418,1,0,468,5754,418,0 25,0,2024-09-07 09:21:21:369,104281,0.4,101656,0.6,199191,0.3,271588,1.75 25,1,2024-09-07 09:21:20:569,732014,732014,0,0,343842870131,3624364627,720078,9689,2247,371,391928,0 25,2,2024-09-07 09:21:21:617,526479,526479,0,0,26691111,0,3978 25,3,2024-09-07 09:21:21:022,1,418,6,0,532,4796,418,0 26,0,2024-09-07 09:21:21:724,106675,0.4,104256,0.6,218695,0.3,284885,1.75 26,1,2024-09-07 09:21:21:545,733968,733968,0,0,343994258103,3603518760,723342,9042,1584,380,391748,0 26,2,2024-09-07 09:21:20:861,528562,528562,0,0,26840723,0,2809 26,3,2024-09-07 09:21:21:713,1,418,2,0,796,5150,418,0 27,0,2024-09-07 09:21:21:729,108141,0.5,108269,0.7,215368,0.5,287404,2.25 27,1,2024-09-07 09:21:21:684,735302,735302,0,0,344998003278,3594868837,728048,6353,901,381,391626,0 27,2,2024-09-07 09:21:20:872,527533,527468,65,0,24688793,0,5699 27,3,2024-09-07 09:21:21:015,1,418,1,0,564,4102,418,0 28,0,2024-09-07 09:21:21:388,103581,0.4,103119,0.6,206888,0.3,275544,2.00 28,1,2024-09-07 09:21:20:801,734559,734559,0,0,345062086874,3603515621,727372,5488,1699,383,391698,0 28,2,2024-09-07 09:21:21:764,528466,528466,0,0,22539800,0,2915 28,3,2024-09-07 09:21:21:792,1,418,1,0,502,4645,418,0 29,0,2024-09-07 09:21:21:386,104417,0.3,101695,0.6,199534,0.3,271428,1.75 29,1,2024-09-07 09:21:21:572,736979,736979,0,0,346272770041,3584424024,732117,4127,735,368,391753,0 29,2,2024-09-07 09:21:20:860,527327,527327,0,0,21358604,0,4986 29,3,2024-09-07 09:21:20:963,1,418,1,0,459,4922,418,0 30,0,2024-09-07 09:21:21:455,104122,0.5,101189,0.7,211720,0.4,277789,2.00 30,1,2024-09-07 09:21:20:574,735775,735775,0,0,345359173041,3588671765,729706,5303,766,381,391672,0 30,2,2024-09-07 09:21:21:282,529720,529720,0,0,20934623,0,4192 30,3,2024-09-07 09:21:20:585,1,418,0,0,519,4161,418,0 31,0,2024-09-07 09:21:21:760,108131,0.5,108681,0.7,216813,0.5,289220,2.00 31,1,2024-09-07 09:21:20:565,738987,738987,0,0,346762238114,3555525645,735972,2429,586,356,391712,0 31,2,2024-09-07 09:21:21:281,528736,528736,0,0,22527399,0,3525 31,3,2024-09-07 09:21:21:715,1,418,1,0,220,3724,418,0 32,0,2024-09-07 09:21:21:435,106289,0.3,106925,0.5,212832,0.3,283338,1.75 32,1,2024-09-07 09:21:20:805,735496,735496,0,0,344579497025,3586407142,730385,4430,681,381,391646,0 32,2,2024-09-07 09:21:20:943,529030,529030,0,0,20274949,0,3922 32,3,2024-09-07 09:21:21:015,1,418,1,0,304,3370,418,0 33,0,2024-09-07 09:21:21:525,101993,0.3,101526,0.4,203727,0.2,271065,1.50 33,1,2024-09-07 09:21:20:576,736465,736465,0,0,345708224939,3587277897,729953,5407,1105,368,391730,0 33,2,2024-09-07 09:21:20:759,528856,528821,35,0,22692463,0,7012 33,3,2024-09-07 09:21:20:895,1,418,1,0,329,4130,418,0 34,0,2024-09-07 09:21:20:930,102609,0.3,105603,0.4,201945,0.2,272021,1.75 34,1,2024-09-07 09:21:21:057,737346,737346,0,0,346797875026,3566970914,735610,1725,11,367,391562,0 34,2,2024-09-07 09:21:20:770,530277,530277,0,0,21063281,0,4562 34,3,2024-09-07 09:21:21:693,1,418,1,0,299,3175,418,0 35,0,2024-09-07 09:21:20:873,108089,0.4,108666,0.5,218304,0.3,290213,1.75 35,1,2024-09-07 09:21:21:074,735673,735673,0,0,344579341793,3573328765,731137,3680,856,382,391769,0 35,2,2024-09-07 09:21:21:589,528780,528780,0,0,22675323,0,4055 35,3,2024-09-07 09:21:20:916,1,418,3,0,418,4495,418,0 36,0,2024-09-07 09:21:21:558,107890,0.5,107833,0.7,215630,0.4,286915,2.00 36,1,2024-09-07 09:21:20:585,734470,734470,0,0,344854641634,3602901437,724824,7646,2000,366,391759,0 36,2,2024-09-07 09:21:21:752,528942,528942,0,0,23669475,0,3875 36,3,2024-09-07 09:21:20:866,1,418,2,0,416,6064,418,0 37,0,2024-09-07 09:21:21:386,100157,0.4,100282,0.6,200574,0.4,267211,2.00 37,1,2024-09-07 09:21:20:569,734160,734153,0,7,344295447267,3597282195,725335,6543,2275,365,391770,0 37,2,2024-09-07 09:21:21:152,526708,526693,15,0,23466179,0,5815 37,3,2024-09-07 09:21:21:771,1,418,1,0,888,6329,418,0 38,0,2024-09-07 09:21:21:445,100935,0.4,98033,0.6,204979,0.4,268860,2.00 38,1,2024-09-07 09:21:21:612,735253,735253,0,0,344386143165,3601150266,724583,8509,2161,368,391821,0 38,2,2024-09-07 09:21:20:760,528190,528143,47,0,23411286,0,6710 38,3,2024-09-07 09:21:20:999,1,418,1,0,689,5456,418,0 39,0,2024-09-07 09:21:21:789,110299,0.6,107902,0.7,210133,0.5,286833,2.00 39,1,2024-09-07 09:21:20:716,733002,733002,0,0,343828926761,3606730002,720586,9726,2690,365,391658,0 39,2,2024-09-07 09:21:21:423,528388,528388,0,0,22242022,0,3391 39,3,2024-09-07 09:21:20:717,1,418,0,0,324,4864,418,0 40,0,2024-09-07 09:21:21:514,106266,0.9,106969,1.0,213231,1.0,284757,2.75 40,1,2024-09-07 09:21:20:576,734044,734044,0,0,343716259412,3601904208,723225,8775,2044,368,391668,0 40,2,2024-09-07 09:21:21:303,528571,528570,1,0,25828799,0,5137 40,3,2024-09-07 09:21:21:146,1,418,3,0,1028,5782,418,0 41,0,2024-09-07 09:21:21:025,101444,1.4,103710,1.2,197994,2.1,269202,3.00 41,1,2024-09-07 09:21:20:771,732985,732985,0,0,344225859902,3602319186,723803,8142,1040,369,391742,0 41,2,2024-09-07 09:21:20:759,526736,526736,0,0,24592444,0,4277 41,3,2024-09-07 09:21:21:684,1,418,3,0,366,4210,418,0 42,0,2024-09-07 09:21:21:534,100715,0.8,100765,1.0,201715,0.9,267138,2.50 42,1,2024-09-07 09:21:21:447,731119,731119,0,0,342567035660,3602291601,719157,9931,2031,380,391675,0 42,2,2024-09-07 09:21:21:136,526621,526621,0,0,24921996,0,3790 42,3,2024-09-07 09:21:21:014,1,418,0,0,892,4246,418,0 43,0,2024-09-07 09:21:20:917,104958,0.8,102093,1.0,213872,0.8,281003,2.25 43,1,2024-09-07 09:21:20:579,734232,734232,0,0,345197000786,3608068945,723904,8589,1739,366,391696,0 43,2,2024-09-07 09:21:21:736,528620,528620,0,0,24486265,0,3812 43,3,2024-09-07 09:21:21:751,1,418,1,0,548,5642,418,0 44,0,2024-09-07 09:21:20:862,108467,0.5,108756,0.7,217920,0.5,289245,2.00 44,1,2024-09-07 09:21:20:565,736105,736105,0,0,344681034685,3565628215,729612,5175,1318,356,391809,0 44,2,2024-09-07 09:21:21:267,528479,528479,0,0,20706968,0,4344 44,3,2024-09-07 09:21:21:094,1,418,0,0,817,5028,418,0 45,0,2024-09-07 09:21:21:756,103055,0.5,100631,0.7,211072,0.5,277733,2.00 45,1,2024-09-07 09:21:21:005,735069,735069,0,0,344565407000,3582544179,729412,5084,573,382,391917,0 45,2,2024-09-07 09:21:21:268,528805,528805,0,0,20992582,0,3596 45,3,2024-09-07 09:21:20:934,1,418,1,0,271,3671,418,0 46,0,2024-09-07 09:21:20:954,101346,0.5,101204,0.7,202837,0.4,268623,2.00 46,1,2024-09-07 09:21:20:575,736499,736499,0,0,345805239584,3573599173,731832,4076,591,366,391709,0 46,2,2024-09-07 09:21:20:606,528402,528402,0,0,21156136,0,4443 46,3,2024-09-07 09:21:21:131,1,418,0,0,908,5388,418,0 47,0,2024-09-07 09:21:21:112,103861,0.4,104091,0.6,208489,0.4,276262,2.00 47,1,2024-09-07 09:21:20:624,737399,737399,0,0,345801758303,3575601623,732542,4019,838,366,391641,0 47,2,2024-09-07 09:21:20:917,531693,531693,0,0,20782611,0,4477 47,3,2024-09-07 09:21:21:123,1,418,1,0,600,5215,418,0 48,0,2024-09-07 09:21:21:515,109089,0.3,108888,0.5,217007,0.2,289321,1.75 48,1,2024-09-07 09:21:21:026,735055,735055,0,0,345139569400,3586448255,730345,4254,456,384,391710,0 48,2,2024-09-07 09:21:20:705,528763,528763,0,0,19453899,0,3411 48,3,2024-09-07 09:21:20:753,1,418,15,0,339,3425,418,0 49,0,2024-09-07 09:21:21:728,109606,0.4,107784,0.5,208896,0.3,286118,1.75 49,1,2024-09-07 09:21:21:023,735002,735002,0,0,344448711240,3585607579,729850,3946,1206,382,391809,0 49,2,2024-09-07 09:21:21:807,528914,528914,0,0,21450372,0,4426 49,3,2024-09-07 09:21:21:427,1,418,0,0,992,5096,418,0 50,0,2024-09-07 09:21:21:517,101544,0.3,99861,0.4,201742,0.2,268920,1.75 50,1,2024-09-07 09:21:21:016,737558,737558,0,0,345879739333,3577983109,732634,4350,574,368,391565,0 50,2,2024-09-07 09:21:21:069,528444,528444,0,0,19731656,0,4490 50,3,2024-09-07 09:21:21:300,1,418,2,0,567,4291,418,0 51,0,2024-09-07 09:21:21:685,104820,0.3,102546,0.5,199776,0.2,272170,1.75 51,1,2024-09-07 09:21:21:685,737504,737504,0,0,346294795094,3572817643,733552,2903,1049,365,391706,0 51,2,2024-09-07 09:21:21:322,530054,530054,0,0,18742301,0,3337 51,3,2024-09-07 09:21:21:029,1,418,1,0,678,3482,418,0 52,0,2024-09-07 09:21:21:474,108630,0.5,108438,0.7,217177,0.5,289009,2.00 52,1,2024-09-07 09:21:20:575,734324,734324,0,0,344684287255,3606916989,723998,8973,1353,368,391722,0 52,2,2024-09-07 09:21:21:754,525094,525056,38,0,24885538,0,6742 52,3,2024-09-07 09:21:20:681,1,418,1,0,1782,5816,418,0 53,0,2024-09-07 09:21:21:747,106605,0.7,103574,0.8,216734,0.7,284508,2.25 53,1,2024-09-07 09:21:20:773,732740,732740,0,0,344142940154,3611268061,720824,8956,2960,367,391702,0 53,2,2024-09-07 09:21:21:303,529477,529476,1,0,22461966,0,5455 53,3,2024-09-07 09:21:20:705,1,418,2,0,308,3723,418,0 54,0,2024-09-07 09:21:21:618,100144,0.6,100388,0.8,199944,0.4,267267,2.25 54,1,2024-09-07 09:21:20:580,734442,734442,0,0,345020797210,3587846818,726958,6244,1240,366,391659,0 54,2,2024-09-07 09:21:20:867,528608,528576,32,0,25699140,0,6397 54,3,2024-09-07 09:21:20:765,1,418,37,0,676,6140,418,0 55,0,2024-09-07 09:21:21:760,98159,0.5,101412,0.7,205099,0.5,267217,2.25 55,1,2024-09-07 09:21:20:766,735384,735384,0,0,344470552658,3580832628,727753,6539,1092,365,391731,0 55,2,2024-09-07 09:21:20:738,527177,527121,56,0,24737839,0,7239 55,3,2024-09-07 09:21:20:678,1,418,1,0,304,4304,418,0 56,0,2024-09-07 09:21:21:572,109093,1.4,102857,1.2,211798,2.0,284209,2.75 56,1,2024-09-07 09:21:20:583,730574,730574,0,0,344050483249,3634702519,719072,9129,2373,381,391867,0 56,2,2024-09-07 09:21:21:303,528096,528096,0,0,24325767,0,3567 56,3,2024-09-07 09:21:21:062,1,418,3,0,705,5154,418,0 57,0,2024-09-07 09:21:20:937,106409,1.8,106127,1.3,212993,2.5,285242,3.25 57,1,2024-09-07 09:21:20:991,733719,733719,0,0,343820112962,3604358833,725115,7518,1086,366,392032,0 57,2,2024-09-07 09:21:21:324,529856,529856,0,0,26311706,0,3317 57,3,2024-09-07 09:21:21:755,1,418,3,0,455,4913,418,0 58,0,2024-09-07 09:21:20:565,101825,0.8,98890,1.0,206942,0.9,271082,2.50 58,1,2024-09-07 09:21:20:575,733655,733652,0,3,344489177173,3603004647,723701,8662,1289,367,391603,3 58,2,2024-09-07 09:21:21:071,527664,527664,0,0,24326530,0,2902 58,3,2024-09-07 09:21:21:069,1,418,5,0,1043,4580,418,0 59,0,2024-09-07 09:21:21:739,101260,0.7,100973,0.9,201567,0.8,267798,2.50 59,1,2024-09-07 09:21:20:806,733560,733560,0,0,345368412275,3618570182,723342,8409,1809,369,391653,0 59,2,2024-09-07 09:21:20:592,527143,527143,0,0,24250395,0,3727 59,3,2024-09-07 09:21:21:747,1,418,0,0,1015,5577,418,0 60,0,2024-09-07 09:21:21:781,104336,0.5,104331,0.7,209194,0.5,278616,1.75 60,1,2024-09-07 09:21:20:772,735598,735598,0,0,345409700183,3586667690,730458,4420,720,370,392031,0 60,2,2024-09-07 09:21:21:141,529520,529520,0,0,22799395,0,3811 60,3,2024-09-07 09:21:21:266,1,418,5,0,409,4943,418,0 61,0,2024-09-07 09:21:21:512,108247,0.8,108854,0.9,216812,0.9,288882,2.00 61,1,2024-09-07 09:21:20:770,734012,734012,0,0,343997522594,3605998791,725708,6874,1430,382,392127,0 61,2,2024-09-07 09:21:21:118,528759,528692,67,0,23129549,0,6411 61,3,2024-09-07 09:21:21:696,1,418,6,0,479,5732,418,0 62,0,2024-09-07 09:21:21:712,106737,0.5,109305,0.7,208741,0.5,283079,2.00 62,1,2024-09-07 09:21:21:112,738339,738333,0,6,347258666975,3581940536,734518,3554,261,365,391975,6 62,2,2024-09-07 09:21:21:657,526662,526661,1,0,23287338,0,5555 62,3,2024-09-07 09:21:21:156,1,418,1,0,482,3718,418,0 63,0,2024-09-07 09:21:21:453,102038,0.4,101911,0.6,204203,0.3,271451,1.75 63,1,2024-09-07 09:21:20:806,736052,736046,0,6,344889271219,3582798487,731078,4235,733,381,391800,6 63,2,2024-09-07 09:21:20:766,528255,528255,0,0,21231761,0,4369 63,3,2024-09-07 09:21:21:732,1,418,1,0,667,4391,418,0 64,0,2024-09-07 09:21:21:540,102014,0.5,102008,0.7,203663,0.5,271335,2.00 64,1,2024-09-07 09:21:20:756,734973,734973,0,0,345277697462,3599379137,728296,4974,1703,370,391783,0 64,2,2024-09-07 09:21:21:148,532922,532903,19,0,21103247,0,6121 64,3,2024-09-07 09:21:21:170,1,418,263,0,265,4140,418,0 65,0,2024-09-07 09:21:21:684,107850,0.7,108150,0.8,215943,0.8,287773,2.25 65,1,2024-09-07 09:21:20:862,733458,733458,0,0,343380677452,3590898448,728349,4569,540,382,391901,0 65,2,2024-09-07 09:21:21:700,528689,528689,0,0,24630176,0,3367 65,3,2024-09-07 09:21:21:694,1,418,1,0,782,5017,418,0 66,0,2024-09-07 09:21:21:788,107307,0.5,107172,0.8,214119,0.5,285342,2.25 66,1,2024-09-07 09:21:21:317,735243,735243,0,0,344785168216,3594233980,729746,4972,525,380,391743,0 66,2,2024-09-07 09:21:21:132,530417,530417,0,0,21504372,0,4956 66,3,2024-09-07 09:21:21:079,1,418,2,0,291,3694,418,0 67,0,2024-09-07 09:21:21:418,100758,0.5,100440,0.6,201432,0.4,268004,2.00 67,1,2024-09-07 09:21:20:770,735273,735272,0,1,344987380888,3595280180,729921,4559,792,380,391787,1 67,2,2024-09-07 09:21:20:587,530706,530706,0,0,20688199,0,3622 67,3,2024-09-07 09:21:21:750,1,418,2,0,392,4198,418,0 68,0,2024-09-07 09:21:20:638,101626,0.5,101613,0.7,202295,0.5,270727,2.00 68,1,2024-09-07 09:21:20:574,732271,732271,0,0,343762306267,3616952329,722221,7104,2946,381,391953,0 68,2,2024-09-07 09:21:21:063,526359,526259,100,0,27394863,0,8578 68,3,2024-09-07 09:21:20:728,1,418,1,0,417,4860,418,0 69,0,2024-09-07 09:21:21:767,107177,0.7,107951,0.8,214920,0.8,285313,2.25 69,1,2024-09-07 09:21:21:020,731993,731993,0,0,343295423334,3619728444,722225,7499,2269,384,391994,0 69,2,2024-09-07 09:21:21:741,527162,527133,29,0,28837176,0,6912 69,3,2024-09-07 09:21:20:768,1,418,1,0,698,5993,418,0 70,0,2024-09-07 09:21:21:538,106437,1.2,106532,1.1,214357,1.1,284101,2.75 70,1,2024-09-07 09:21:20:803,735424,735424,0,0,345462773017,3586095828,729449,5314,661,366,391725,0 70,2,2024-09-07 09:21:21:331,529360,529360,0,0,24216642,0,4323 70,3,2024-09-07 09:21:20:749,1,418,3,0,854,4927,418,0 71,0,2024-09-07 09:21:21:369,101106,0.8,100772,1.0,202395,0.9,270640,2.75 71,1,2024-09-07 09:21:21:599,734309,734309,0,0,344192768715,3591367385,725882,7624,803,368,391738,0 71,2,2024-09-07 09:21:21:070,527937,527937,0,0,24085458,0,4352 71,3,2024-09-07 09:21:21:750,1,418,1,0,644,5244,418,0 72,0,2024-09-07 09:21:21:037,104763,0.5,102408,0.7,199685,0.5,271612,2.00 72,1,2024-09-07 09:21:21:028,733088,733088,0,0,343569418710,3606385368,722228,8850,2010,369,391819,0 72,2,2024-09-07 09:21:21:762,526773,526773,0,0,26330728,0,3983 72,3,2024-09-07 09:21:21:754,1,418,1,0,564,6345,418,0 73,0,2024-09-07 09:21:21:159,103344,0.5,105880,0.6,216525,0.4,282019,2.00 73,1,2024-09-07 09:21:20:769,734800,734800,0,0,344782564310,3582356556,729557,4834,409,367,391858,0 73,2,2024-09-07 09:21:21:748,528161,528161,0,0,25804345,0,3701 73,3,2024-09-07 09:21:20:972,1,418,2,0,486,5658,418,0 74,0,2024-09-07 09:21:21:331,109386,0.6,111775,0.7,213272,0.5,289549,2.25 74,1,2024-09-07 09:21:20:639,733857,733857,0,0,343561849003,3589074258,725893,6369,1595,381,391762,0 74,2,2024-09-07 09:21:21:002,528855,528855,0,0,23816706,0,4253 74,3,2024-09-07 09:21:21:469,1,418,0,0,522,5522,418,0 75,0,2024-09-07 09:21:21:769,104826,0.5,104198,0.7,208962,0.5,278825,2.25 75,1,2024-09-07 09:21:21:589,734488,734488,0,0,344170478262,3591023715,727666,5994,828,380,391739,0 75,2,2024-09-07 09:21:21:359,526919,526919,0,0,25983770,0,4766 75,3,2024-09-07 09:21:21:069,1,418,1,0,702,5294,418,0 76,0,2024-09-07 09:21:20:622,101368,0.5,100670,0.7,202063,0.5,269482,2.25 76,1,2024-09-07 09:21:20:807,734210,734210,0,0,344150112337,3592233007,728783,4602,825,382,391692,0 76,2,2024-09-07 09:21:21:066,530124,530123,1,0,23238841,0,5144 76,3,2024-09-07 09:21:21:159,1,418,1,0,175,3876,418,0 77,0,2024-09-07 09:21:21:714,103111,0.6,103644,0.7,207046,0.6,274986,2.00 77,1,2024-09-07 09:21:20:835,734802,734802,0,0,344914777463,3600877782,728992,5309,501,381,391869,0 77,2,2024-09-07 09:21:21:287,529137,529137,0,0,22716797,0,3890 77,3,2024-09-07 09:21:21:102,1,418,2,0,401,4748,418,0 78,0,2024-09-07 09:21:21:728,108862,0.5,108262,0.7,217339,0.4,287846,2.00 78,1,2024-09-07 09:21:20:613,734910,734910,0,0,343520787027,3581251846,727047,6296,1567,367,391670,0 78,2,2024-09-07 09:21:21:414,529294,529281,13,0,21421598,0,8313 78,3,2024-09-07 09:21:21:140,1,418,1,0,181,3700,418,0 79,0,2024-09-07 09:21:21:350,103105,0.4,105550,0.6,216260,0.4,281083,2.25 79,1,2024-09-07 09:21:20:585,736827,736827,0,0,344827463249,3576647887,730256,5262,1309,367,391682,0 79,2,2024-09-07 09:21:21:069,529343,529343,0,0,21036167,0,4195 79,3,2024-09-07 09:21:20:749,1,418,6,0,418,5351,418,0 80,0,2024-09-07 09:21:21:116,100854,0.5,103786,0.6,198675,0.5,268508,2.00 80,1,2024-09-07 09:21:21:633,734289,734289,0,0,344829147680,3594004579,728738,5130,421,368,392269,0 80,2,2024-09-07 09:21:21:104,530477,530477,0,0,21278518,0,4433 80,3,2024-09-07 09:21:20:582,1,418,2,0,190,4966,418,0 81,0,2024-09-07 09:21:21:599,101954,0.5,104437,0.7,199406,0.5,270820,2.00 81,1,2024-09-07 09:21:21:655,733539,733539,0,0,344208781254,3598720581,727540,5459,540,382,391879,0 81,2,2024-09-07 09:21:21:148,528005,527942,63,0,23621809,0,5932 81,3,2024-09-07 09:21:21:129,1,418,3,0,719,5067,418,0 82,0,2024-09-07 09:21:21:551,108020,0.5,108207,0.7,217089,0.5,288405,2.00 82,1,2024-09-07 09:21:20:593,735641,735637,0,4,344972990458,3590616382,730942,3876,819,381,391768,4 82,2,2024-09-07 09:21:21:692,529559,529559,0,0,20106755,0,4484 82,3,2024-09-07 09:21:21:752,1,418,1,0,363,4575,418,0 83,0,2024-09-07 09:21:21:526,107369,0.7,107363,0.8,213968,0.7,284760,2.25 83,1,2024-09-07 09:21:20:570,733857,733857,0,0,344286810616,3592811577,728331,5076,450,382,391709,0 83,2,2024-09-07 09:21:20:766,529320,529320,0,0,20832452,0,3393 83,3,2024-09-07 09:21:20:749,1,418,1,0,1260,5500,418,0 84,0,2024-09-07 09:21:21:787,100990,0.6,100992,0.8,201304,0.5,269678,2.25 84,1,2024-09-07 09:21:21:052,733253,733253,0,0,343912561495,3593900712,725583,6628,1042,367,391967,0 84,2,2024-09-07 09:21:20:578,527567,527537,30,0,27781085,0,5971 84,3,2024-09-07 09:21:21:154,1,418,0,0,908,6349,418,0 85,0,2024-09-07 09:21:21:005,97854,0.6,97867,0.8,207716,0.5,268637,2.25 85,1,2024-09-07 09:21:20:569,731695,731695,0,0,343275115913,3622682194,720895,8881,1919,381,392006,0 85,2,2024-09-07 09:21:20:866,527265,527265,0,0,25710617,0,3656 85,3,2024-09-07 09:21:20:691,1,418,1,0,789,5198,418,0 86,0,2024-09-07 09:21:20:877,106620,0.6,109676,0.8,210051,0.7,284431,2.25 86,1,2024-09-07 09:21:20:838,733785,733785,0,0,344483282957,3608534114,725124,7221,1440,366,391961,0 86,2,2024-09-07 09:21:20:857,526097,526096,1,0,27846549,0,5004 86,3,2024-09-07 09:21:20:600,1,418,1,0,308,6033,418,0 87,0,2024-09-07 09:21:21:296,107761,1.0,107657,0.9,215471,1.4,287687,2.50 87,1,2024-09-07 09:21:20:577,732520,732520,0,0,344374992887,3611247159,722575,8353,1592,366,392076,0 87,2,2024-09-07 09:21:21:069,528530,528524,6,0,24772985,0,6323 87,3,2024-09-07 09:21:21:805,1,418,4,0,473,6668,418,0 88,0,2024-09-07 09:21:21:451,103302,0.4,103994,0.6,206988,0.4,275389,1.75 88,1,2024-09-07 09:21:20:577,732272,732272,0,0,344859461051,3613826561,722572,7693,2007,365,392084,0 88,2,2024-09-07 09:21:20:718,528319,528319,0,0,26760971,0,4465 88,3,2024-09-07 09:21:21:268,1,418,7,0,435,4789,418,0 89,0,2024-09-07 09:21:21:793,104453,0.4,101178,0.6,200168,0.4,271532,1.75 89,1,2024-09-07 09:21:20:551,732215,732215,0,0,343591227404,3613236443,723049,7740,1426,382,391866,0 89,2,2024-09-07 09:21:21:140,526654,526654,0,0,25172701,0,3173 89,3,2024-09-07 09:21:21:792,1,418,2,0,468,7450,418,0 90,0,2024-09-07 09:21:21:638,101340,0.5,104108,0.6,212311,0.4,277727,2.00 90,1,2024-09-07 09:21:20:601,733322,733322,0,0,344765255550,3610094291,726556,6255,511,380,391825,0 90,2,2024-09-07 09:21:21:411,527466,527466,0,0,26664817,0,3060 90,3,2024-09-07 09:21:20:930,1,418,7,0,322,5232,418,0 91,0,2024-09-07 09:21:20:931,109091,0.5,105596,0.7,220729,0.5,289624,2.00 91,1,2024-09-07 09:21:20:577,732347,732347,0,0,343666026685,3614728785,723098,7847,1402,381,392047,0 91,2,2024-09-07 09:21:21:339,529802,529802,0,0,23673996,0,2896 91,3,2024-09-07 09:21:20:616,1,418,1,0,216,4188,418,0 92,0,2024-09-07 09:21:21:471,107059,0.5,109796,0.6,209578,0.5,283496,1.75 92,1,2024-09-07 09:21:20:581,733844,733844,0,0,343996128456,3593698560,728312,4807,725,381,392136,0 92,2,2024-09-07 09:21:21:358,529330,529330,0,0,21362784,0,3259 92,3,2024-09-07 09:21:21:015,1,418,4,0,167,3738,418,0 93,0,2024-09-07 09:21:20:987,102486,0.4,105019,0.5,200389,0.3,271557,1.75 93,1,2024-09-07 09:21:20:808,733531,733531,0,0,344894641740,3602572226,725403,6745,1383,366,391776,0 93,2,2024-09-07 09:21:20:943,527594,527594,0,0,25935631,0,4845 93,3,2024-09-07 09:21:21:408,1,418,0,0,190,3736,418,0 94,0,2024-09-07 09:21:21:627,102050,0.4,102931,0.5,205455,0.3,273066,1.75 94,1,2024-09-07 09:21:20:585,734542,734542,0,0,344542649428,3595475644,729758,4574,210,381,391850,0 94,2,2024-09-07 09:21:20:769,529079,529079,0,0,21681081,0,2443 94,3,2024-09-07 09:21:21:693,1,418,4,0,576,5649,418,0 95,0,2024-09-07 09:21:21:376,108792,0.4,108629,0.5,217874,0.3,290251,1.75 95,1,2024-09-07 09:21:20:851,734945,734945,0,0,344961487786,3587349699,728902,5607,436,365,391786,0 95,2,2024-09-07 09:21:21:016,528238,528238,0,0,21447500,0,3308 95,3,2024-09-07 09:21:21:716,1,418,3,0,718,6134,418,0 96,0,2024-09-07 09:21:21:040,107769,0.4,108182,0.5,215738,0.3,286437,1.75 96,1,2024-09-07 09:21:21:590,734126,734126,0,0,344621695582,3597920330,728586,4657,883,384,391955,0 96,2,2024-09-07 09:21:21:284,529304,529304,0,0,22413621,0,4180 96,3,2024-09-07 09:21:21:156,1,418,1,0,411,4763,418,0 97,0,2024-09-07 09:21:21:320,100761,0.3,100365,0.5,201404,0.2,267907,1.50 97,1,2024-09-07 09:21:20:766,735713,735713,0,0,345560991910,3587081897,730570,4211,932,367,392140,0 97,2,2024-09-07 09:21:20:613,529209,529209,0,0,21525668,0,3679 97,3,2024-09-07 09:21:20:572,1,418,242,0,242,5091,418,0 98,0,2024-09-07 09:21:21:740,101365,0.3,101382,0.4,203458,0.2,270793,1.50 98,1,2024-09-07 09:21:20:571,734211,734211,0,0,345040877354,3596677084,729262,4159,790,382,391997,0 98,2,2024-09-07 09:21:20:770,528795,528795,0,0,21741916,0,4336 98,3,2024-09-07 09:21:20:705,1,418,4,0,840,7122,418,0 99,0,2024-09-07 09:21:21:503,107664,0.3,108452,0.5,215289,0.3,287928,1.75 99,1,2024-09-07 09:21:21:723,734948,734948,0,0,344373184667,3585930365,730008,4103,837,381,392069,0 99,2,2024-09-07 09:21:21:423,528991,528991,0,0,25536005,0,4276 99,3,2024-09-07 09:21:20:587,1,418,4,0,606,4678,418,0 100,0,2024-09-07 09:21:21:512,107283,0.9,107538,1.0,214119,1.1,286628,2.50 100,1,2024-09-07 09:21:20:570,730728,730728,0,0,342508044714,3618548242,720030,8653,2045,381,391989,0 100,2,2024-09-07 09:21:21:821,527457,527446,11,0,24859273,0,5417 100,3,2024-09-07 09:21:21:734,1,418,3,0,559,6857,418,0 101,0,2024-09-07 09:21:21:721,104162,0.9,101375,1.0,199162,0.7,272658,2.25 101,1,2024-09-07 09:21:20:551,731401,731401,0,0,343024221648,3609675137,720735,8544,2122,368,391847,0 101,2,2024-09-07 09:21:21:760,524953,524953,0,0,29554015,0,4871 101,3,2024-09-07 09:21:20:943,1,418,0,0,579,5858,418,0 102,0,2024-09-07 09:21:20:974,98757,0.6,101865,0.7,206461,0.6,270414,2.00 102,1,2024-09-07 09:21:21:164,731922,731922,0,0,343507168445,3610992624,722050,8110,1762,369,391891,0 102,2,2024-09-07 09:21:21:756,528130,528076,54,0,23789899,0,6768 102,3,2024-09-07 09:21:21:619,1,418,2,0,466,4667,418,0 103,0,2024-09-07 09:21:21:601,109421,0.6,109448,0.7,206170,0.6,284233,2.00 103,1,2024-09-07 09:21:21:725,731032,731032,0,0,343549253671,3629353197,719062,8904,3066,381,391829,0 103,2,2024-09-07 09:21:20:600,526870,526870,0,0,26443089,0,3766 103,3,2024-09-07 09:21:20:766,1,418,4,0,916,4679,418,0 104,0,2024-09-07 09:21:21:009,107514,0.8,107836,1.0,214522,0.8,287651,2.50 104,1,2024-09-07 09:21:21:607,733118,733118,0,0,344166955428,3616361874,722283,8973,1862,365,392168,0 104,2,2024-09-07 09:21:21:689,528112,528112,0,0,25406263,0,3941 104,3,2024-09-07 09:21:21:415,1,418,1,0,1245,8502,418,0 105,0,2024-09-07 09:21:21:032,103189,0.8,100488,1.1,210617,0.9,276900,2.50 105,1,2024-09-07 09:21:20:554,733681,733681,0,0,344569553370,3611565124,724253,8004,1424,366,392009,0 105,2,2024-09-07 09:21:21:325,526769,526769,0,0,25159212,0,3509 105,3,2024-09-07 09:21:21:310,1,418,2,0,399,6537,418,0 106,0,2024-09-07 09:21:20:957,98306,0.7,100686,0.9,206259,0.7,269216,2.50 106,1,2024-09-07 09:21:21:750,732421,732421,0,0,343753608678,3610922688,721409,9567,1445,369,391914,0 106,2,2024-09-07 09:21:20:757,526982,526982,0,0,24522082,0,2920 106,3,2024-09-07 09:21:20:679,1,418,2,0,470,5422,418,0 107,0,2024-09-07 09:21:21:108,103294,0.9,103591,0.9,206762,1.1,276076,2.25 107,1,2024-09-07 09:21:20:587,731685,731685,0,0,343771046304,3623954825,721525,9110,1050,381,392234,0 107,2,2024-09-07 09:21:21:296,527670,527669,1,0,25468475,0,5024 107,3,2024-09-07 09:21:21:763,1,418,1,0,370,6419,418,0 108,0,2024-09-07 09:21:21:817,108269,0.5,109113,0.6,216946,0.5,289020,1.75 108,1,2024-09-07 09:21:21:316,734175,734175,0,0,344767232118,3597220436,728036,5395,744,368,391857,0 108,2,2024-09-07 09:21:21:779,527552,527552,0,0,24110522,0,4246 108,3,2024-09-07 09:21:21:341,1,418,8,0,749,7943,418,0 109,0,2024-09-07 09:21:21:769,107512,0.4,106642,0.6,213295,0.4,285248,1.75 109,1,2024-09-07 09:21:20:596,731458,731458,0,0,343978924909,3612678286,724063,6210,1185,382,392132,0 109,2,2024-09-07 09:21:20:930,526125,526125,0,0,23646567,0,3617 109,3,2024-09-07 09:21:21:156,1,418,32,0,379,4935,418,0 110,0,2024-09-07 09:21:21:767,100741,0.4,98195,0.6,205684,0.3,269290,1.75 110,1,2024-09-07 09:21:21:657,735410,735410,0,0,345243685572,3585138457,730021,4212,1177,369,392045,0 110,2,2024-09-07 09:21:21:318,528592,528592,0,0,22559058,0,4067 110,3,2024-09-07 09:21:20:690,1,418,1,0,722,6038,418,0 111,0,2024-09-07 09:21:21:425,102403,0.4,101661,0.5,203820,0.3,272268,1.75 111,1,2024-09-07 09:21:21:015,735582,735582,0,0,345865853649,3588294120,731703,3524,355,380,391690,0 111,2,2024-09-07 09:21:21:124,528619,528619,0,0,22326952,0,4823 111,3,2024-09-07 09:21:20:914,1,418,1,0,379,5040,418,0 112,0,2024-09-07 09:21:20:918,108905,0.3,108504,0.4,217228,0.2,289405,1.50 112,1,2024-09-07 09:21:20:828,735784,735784,0,0,344702893442,3579512835,731071,4100,613,380,391624,0 112,2,2024-09-07 09:21:21:148,528294,528293,1,0,21568737,0,5036 112,3,2024-09-07 09:21:20:598,1,418,1,0,282,4201,418,0 113,0,2024-09-07 09:21:20:874,107416,0.3,107505,0.5,215305,0.3,286782,1.75 113,1,2024-09-07 09:21:21:692,737537,737537,0,0,345530729282,3566380411,733649,3299,589,366,391661,0 113,2,2024-09-07 09:21:21:315,530744,530744,0,0,19895165,0,3813 113,3,2024-09-07 09:21:20:685,1,418,0,0,340,4721,418,0 114,0,2024-09-07 09:21:20:874,101950,0.3,102556,0.5,203918,0.2,272259,1.75 114,1,2024-09-07 09:21:20:721,734907,734907,0,0,345499126368,3591493237,728629,4662,1616,381,391565,0 114,2,2024-09-07 09:21:20:877,529385,529384,1,0,20969685,0,5069 114,3,2024-09-07 09:21:21:278,1,418,149,0,395,3643,418,0 115,0,2024-09-07 09:21:20:560,101639,0.3,102242,0.4,203987,0.2,271201,1.50 115,1,2024-09-07 09:21:20:571,735520,735520,0,0,345860318400,3597042417,729030,5223,1267,382,391757,0 115,2,2024-09-07 09:21:21:129,529901,529901,0,0,20784200,0,4382 115,3,2024-09-07 09:21:21:002,1,418,1,0,159,2387,418,0 116,0,2024-09-07 09:21:21:716,106357,0.7,106325,0.8,213480,0.8,285542,2.00 116,1,2024-09-07 09:21:20:805,731761,731761,0,0,343434179701,3618900194,723157,6279,2325,380,392089,0 116,2,2024-09-07 09:21:21:756,527204,527204,0,0,26218553,0,4128 116,3,2024-09-07 09:21:20:918,1,418,1,0,415,4973,418,0 117,0,2024-09-07 09:21:20:991,108275,0.8,107725,0.9,215709,1.0,288051,2.00 117,1,2024-09-07 09:21:21:589,733175,733175,0,0,343406932028,3594629786,725433,6829,913,369,392033,0 117,2,2024-09-07 09:21:21:129,532197,532197,0,0,22526082,0,4303 117,3,2024-09-07 09:21:21:072,1,418,8,0,490,6475,418,0 118,0,2024-09-07 09:21:21:790,100375,0.5,102901,0.7,210214,0.4,274347,2.00 118,1,2024-09-07 09:21:20:597,732997,732997,0,0,343236962172,3613320579,721105,8893,2999,366,391907,0 118,2,2024-09-07 09:21:21:587,527423,527423,0,0,24713715,0,2842 118,3,2024-09-07 09:21:21:764,1,418,2,0,248,4820,418,0 119,0,2024-09-07 09:21:21:336,101223,0.5,101631,0.7,203233,0.5,269918,2.00 119,1,2024-09-07 09:21:20:581,733278,733278,0,0,344531310353,3606482274,724803,7360,1115,367,391780,0 119,2,2024-09-07 09:21:21:265,528352,528352,0,0,23222574,0,4174 119,3,2024-09-07 09:21:21:330,1,418,1,0,1358,8440,418,0 120,0,2024-09-07 09:21:21:605,103711,0.7,103549,0.8,207775,0.7,278043,2.25 120,1,2024-09-07 09:21:20:861,733480,733480,0,0,343201008634,3603607961,725121,7590,769,368,391961,0 120,2,2024-09-07 09:21:20:773,528560,528559,1,0,26605869,0,5281 120,3,2024-09-07 09:21:21:290,1,418,123,0,279,5311,418,0 121,0,2024-09-07 09:21:21:711,108373,1.5,108091,1.2,216988,2.1,288903,2.50 121,1,2024-09-07 09:21:21:665,733962,733962,0,0,344348719252,3600536045,727248,6255,459,367,391840,0 121,2,2024-09-07 09:21:21:142,528016,528016,0,0,25593705,0,4127 121,3,2024-09-07 09:21:20:727,1,418,4,0,269,4632,418,0 122,0,2024-09-07 09:21:21:790,105649,0.8,103070,0.9,216146,0.9,283250,2.00 122,1,2024-09-07 09:21:20:860,732294,732294,0,0,343354728267,3605487429,721862,8829,1603,366,392130,0 122,2,2024-09-07 09:21:21:339,527957,527884,73,0,28344553,0,5989 122,3,2024-09-07 09:21:20:604,1,418,0,0,512,7662,418,0 123,0,2024-09-07 09:21:20:981,101401,0.6,99005,0.7,207049,0.6,270925,2.00 123,1,2024-09-07 09:21:20:565,732303,732303,0,0,343652692956,3623125436,718764,11099,2440,369,392039,0 123,2,2024-09-07 09:21:21:019,526665,526664,1,0,23946641,0,5215 123,3,2024-09-07 09:21:21:145,1,418,1,0,478,4967,418,0 124,0,2024-09-07 09:21:20:934,105459,0.3,105518,0.5,198902,0.3,273666,1.75 124,1,2024-09-07 09:21:21:030,735120,735120,0,0,344501006540,3582455465,729846,4225,1049,367,392178,0 124,2,2024-09-07 09:21:21:022,530340,530287,53,0,21904503,0,6487 124,3,2024-09-07 09:21:20:759,1,418,1,0,490,4096,418,0 125,0,2024-09-07 09:21:21:441,108823,0.4,108588,0.6,218092,0.4,290345,1.75 125,1,2024-09-07 09:21:20:859,733306,733306,0,0,344139367499,3595261070,727403,5233,670,382,391702,0 125,2,2024-09-07 09:21:21:116,529484,529484,0,0,22635320,0,4534 125,3,2024-09-07 09:21:21:129,1,418,0,0,709,5365,418,0 126,0,2024-09-07 09:21:21:434,107695,0.4,110826,0.6,212070,0.4,287102,1.75 126,1,2024-09-07 09:21:20:581,735761,735761,0,0,345605534534,3581019668,731762,3706,293,365,391987,0 126,2,2024-09-07 09:21:20:633,529621,529621,0,0,23356142,0,4539 126,3,2024-09-07 09:21:20:926,1,418,14,0,268,5255,418,0 127,0,2024-09-07 09:21:21:596,100670,0.3,101039,0.5,201648,0.2,267942,1.75 127,1,2024-09-07 09:21:20:582,735349,735349,0,0,344982770530,3590236681,728012,6118,1219,364,392187,0 127,2,2024-09-07 09:21:20:637,528063,528063,0,0,21103332,0,3897 127,3,2024-09-07 09:21:21:268,1,418,2,0,968,4608,418,0 128,0,2024-09-07 09:21:21:529,101865,0.3,102165,0.4,203745,0.2,271086,1.50 128,1,2024-09-07 09:21:21:612,734785,734785,0,0,345723337159,3590453313,729886,4466,433,367,392031,0 128,2,2024-09-07 09:21:21:390,529610,529610,0,0,20532108,0,3171 128,3,2024-09-07 09:21:20:768,1,418,5,0,1082,6608,418,0 129,0,2024-09-07 09:21:21:015,108653,0.3,108027,0.5,216539,0.3,288288,1.75 129,1,2024-09-07 09:21:20:569,731956,731956,0,0,343435281728,3601670035,724289,5997,1670,379,391962,0 129,2,2024-09-07 09:21:20:686,529027,529027,0,0,21899338,0,4031 129,3,2024-09-07 09:21:20:693,1,418,1,0,506,5842,418,0 130,0,2024-09-07 09:21:21:752,108253,0.5,107660,0.6,216754,0.6,288057,1.75 130,1,2024-09-07 09:21:20:587,735140,735140,0,0,344673978452,3583417214,731107,3694,339,381,391825,0 130,2,2024-09-07 09:21:21:126,530984,530984,0,0,22390836,0,4067 130,3,2024-09-07 09:21:21:305,1,418,3,0,960,6703,418,0 131,0,2024-09-07 09:21:21:931,101842,0.3,102339,0.5,205742,0.3,272546,1.75 131,1,2024-09-07 09:21:21:823,734598,734598,0,0,344447477336,3600045074,728637,4913,1048,381,391865,0 131,2,2024-09-07 09:21:20:573,530710,530710,0,0,20568377,0,3979 131,3,2024-09-07 09:21:21:711,1,418,1,0,392,4795,418,0 132,0,2024-09-07 09:21:21:507,101488,0.4,102457,0.6,203722,0.4,271237,1.75 132,1,2024-09-07 09:21:20:580,731237,731237,0,0,343064215764,3614500916,720117,9015,2105,381,392097,0 132,2,2024-09-07 09:21:20:705,527317,527300,17,0,26615759,0,6451 132,3,2024-09-07 09:21:21:696,1,418,1,0,804,7470,418,0 133,0,2024-09-07 09:21:21:541,103257,0.4,105595,0.6,216778,0.4,282485,1.75 133,1,2024-09-07 09:21:20:585,731214,731214,0,0,343391098989,3621028012,720671,9028,1515,383,391914,0 133,2,2024-09-07 09:21:21:090,527722,527672,50,0,28103891,0,6861 133,3,2024-09-07 09:21:21:300,1,418,35,0,479,4214,418,0 134,0,2024-09-07 09:21:20:941,109071,0.6,108615,0.8,217419,0.6,289952,2.25 134,1,2024-09-07 09:21:20:605,732536,732536,0,0,343899371681,3608307553,722753,7749,2034,366,391718,0 134,2,2024-09-07 09:21:21:767,527797,527773,24,0,25855731,0,6207 134,3,2024-09-07 09:21:20:750,1,418,1,0,739,5421,418,0 135,0,2024-09-07 09:21:21:121,101150,0.8,101191,0.9,214669,0.9,276401,2.25 135,1,2024-09-07 09:21:21:595,732552,732552,0,0,344207169374,3622394503,722599,8582,1371,380,391805,0 135,2,2024-09-07 09:21:20:686,528198,528198,0,0,24893421,0,3981 135,3,2024-09-07 09:21:21:003,1,418,1,0,299,3506,418,0 136,0,2024-09-07 09:21:21:628,102153,0.5,102371,0.7,203578,0.5,271226,2.00 136,1,2024-09-07 09:21:21:457,733153,733153,0,0,344447096369,3613551372,724587,7604,962,381,391685,0 136,2,2024-09-07 09:21:21:137,529305,529305,0,0,23923307,0,3506 136,3,2024-09-07 09:21:21:115,1,418,18,0,637,4919,418,0 137,0,2024-09-07 09:21:20:930,106647,0.6,103879,0.7,203895,0.6,277176,2.00 137,1,2024-09-07 09:21:20:575,731822,731822,0,0,343273636786,3603929266,720308,9155,2359,366,391898,0 137,2,2024-09-07 09:21:21:715,527850,527850,0,0,26594701,0,3185 137,3,2024-09-07 09:21:20:780,1,418,7,0,484,5210,418,0 138,0,2024-09-07 09:21:21:753,108104,1.1,108073,1.0,216937,1.3,287860,2.25 138,1,2024-09-07 09:21:21:688,733145,733145,0,0,344273598668,3612105975,722905,8519,1721,368,391954,0 138,2,2024-09-07 09:21:20:586,528033,528033,0,0,24202678,0,4988 138,3,2024-09-07 09:21:20:611,1,418,1,0,1160,5632,418,0 139,0,2024-09-07 09:21:21:385,105999,1.6,105995,1.1,212533,2.3,283777,2.50 139,1,2024-09-07 09:21:20:576,729203,729203,0,0,341692671517,3633090008,714841,11057,3305,380,392058,0 139,2,2024-09-07 09:21:20:691,524109,524109,0,0,27569314,0,3097 139,3,2024-09-07 09:21:21:692,1,418,2,0,432,4994,418,0 140,0,2024-09-07 09:21:21:597,101366,0.3,100785,0.5,202284,0.2,269589,1.75 140,1,2024-09-07 09:21:21:545,737064,737064,0,0,345998774466,3568454157,733502,3121,441,365,391606,0 140,2,2024-09-07 09:21:20:686,529227,529226,1,0,21320206,0,5036 140,3,2024-09-07 09:21:20:767,1,418,1,0,297,3569,418,0 141,0,2024-09-07 09:21:21:699,102284,0.3,104995,0.5,200587,0.3,272055,1.75 141,1,2024-09-07 09:21:20:861,735339,735339,0,0,345788754297,3593073647,729656,4726,957,379,391614,0 141,2,2024-09-07 09:21:21:687,529559,529559,0,0,21094219,0,3360 141,3,2024-09-07 09:21:21:062,1,418,13,0,391,4890,418,0 142,0,2024-09-07 09:21:21:344,108964,0.3,108402,0.5,216699,0.3,289987,1.75 142,1,2024-09-07 09:21:20:588,734700,734700,0,0,344779124894,3595015201,730016,4287,397,382,392102,0 142,2,2024-09-07 09:21:21:308,527772,527740,32,0,23193245,0,6028 142,3,2024-09-07 09:21:21:747,1,418,1,0,484,4796,418,0 143,0,2024-09-07 09:21:21:414,107629,0.5,107645,0.6,215670,0.5,286820,1.75 143,1,2024-09-07 09:21:20:629,736011,736011,0,0,345555310791,3587843352,730970,4497,544,367,391705,0 143,2,2024-09-07 09:21:20:789,529459,529459,0,0,22197256,0,3123 143,3,2024-09-07 09:21:21:148,1,418,11,0,462,5286,418,0 144,0,2024-09-07 09:21:21:502,98440,0.6,101159,0.8,206220,0.5,270135,2.00 144,1,2024-09-07 09:21:20:570,731850,731850,0,0,343371338079,3606806580,724140,5876,1834,381,391649,0 144,2,2024-09-07 09:21:21:755,529735,529735,0,0,21044678,0,3673 144,3,2024-09-07 09:21:21:747,1,418,29,0,249,4335,418,0 145,0,2024-09-07 09:21:21:406,98205,0.5,98235,0.7,208497,0.4,268262,2.25 145,1,2024-09-07 09:21:20:571,731880,731880,0,0,343306117971,3611966274,722263,7955,1662,382,391759,0 145,2,2024-09-07 09:21:21:438,526375,526294,81,0,25758638,0,7814 145,3,2024-09-07 09:21:20:896,1,418,156,0,622,6130,418,0 146,0,2024-09-07 09:21:21:630,106766,0.6,106049,0.8,213983,0.6,284017,2.25 146,1,2024-09-07 09:21:21:597,733359,733359,0,0,343672922072,3609742107,722413,8826,2120,367,391770,0 146,2,2024-09-07 09:21:21:696,526630,526630,0,0,24588116,0,3290 146,3,2024-09-07 09:21:21:293,1,418,9,0,1520,7990,418,0 147,0,2024-09-07 09:21:21:747,108089,0.7,108004,0.8,215194,0.7,287561,2.25 147,1,2024-09-07 09:21:21:383,736270,736270,0,0,345080585662,3590843371,729635,5790,845,368,391791,0 147,2,2024-09-07 09:21:21:016,529496,529496,0,0,22256701,0,2968 147,3,2024-09-07 09:21:20:914,1,418,1,0,1626,7353,418,0 0,0,2024-09-07 09:21:31:714,101863,0.6,101914,0.8,216225,0.7,280074,2.00 0,1,2024-09-07 09:21:30:811,735059,735059,0,0,345191998326,3613762193,729617,5055,387,369,391896,0 0,2,2024-09-07 09:21:31:067,531150,531150,0,0,21648908,0,4480 0,3,2024-09-07 09:21:30:976,1,419,1,0,431,6317,419,0 1,0,2024-09-07 09:21:31:882,108711,1.2,107979,1.1,216632,1.7,289533,2.25 1,1,2024-09-07 09:21:30:577,734592,734592,0,0,344145468614,3603922320,728164,5102,1326,370,391859,0 1,2,2024-09-07 09:21:30:638,529560,529560,0,0,21999383,0,3380 1,3,2024-09-07 09:21:31:312,1,419,2,0,268,5196,419,0 2,0,2024-09-07 09:21:31:567,105882,0.6,106169,0.8,211419,0.7,281910,2.00 2,1,2024-09-07 09:21:30:861,737118,737118,0,0,345942806322,3591826857,733831,2992,295,380,391745,0 2,2,2024-09-07 09:21:31:267,529807,529807,0,0,20606236,0,3594 2,3,2024-09-07 09:21:30:693,1,419,1,0,357,4139,419,0 3,0,2024-09-07 09:21:31:770,102010,0.4,101780,0.6,203585,0.3,271093,2.00 3,1,2024-09-07 09:21:31:622,735821,735821,0,0,345041091593,3595012166,729601,5530,690,380,391716,0 3,2,2024-09-07 09:21:31:149,529735,529712,23,0,21752160,0,5851 3,3,2024-09-07 09:21:31:752,1,419,1,0,207,2831,419,0 4,0,2024-09-07 09:21:31:895,99787,0.4,102471,0.5,209046,0.3,273893,1.75 4,1,2024-09-07 09:21:30:651,733234,733234,0,0,343103169240,3627833370,721428,9299,2507,370,391992,0 4,2,2024-09-07 09:21:31:037,528786,528786,0,0,24720942,0,4528 4,3,2024-09-07 09:21:31:037,1,419,0,0,448,5491,419,0 5,0,2024-09-07 09:21:31:391,108792,0.4,109346,0.6,218338,0.4,289810,1.75 5,1,2024-09-07 09:21:30:755,734315,734315,0,0,344717689663,3629858426,723899,8259,2157,367,392005,0 5,2,2024-09-07 09:21:31:837,527356,527356,0,0,24465661,0,3582 5,3,2024-09-07 09:21:31:736,1,419,11,0,457,5974,419,0 6,0,2024-09-07 09:21:30:924,108122,0.5,107776,0.7,215304,0.4,287314,2.00 6,1,2024-09-07 09:21:30:746,734738,734738,0,0,344803639428,3604731493,726534,6812,1392,379,391694,0 6,2,2024-09-07 09:21:31:116,528920,528902,18,0,24519314,0,5535 6,3,2024-09-07 09:21:31:274,1,419,1,0,710,5337,419,0 7,0,2024-09-07 09:21:31:539,100184,0.5,100864,0.6,200970,0.4,267395,2.00 7,1,2024-09-07 09:21:30:853,734434,734434,0,0,344693881615,3616948675,724666,8305,1463,382,391747,0 7,2,2024-09-07 09:21:30:771,530596,530596,0,0,23741145,0,4791 7,3,2024-09-07 09:21:30:852,1,419,48,0,552,4832,419,0 8,0,2024-09-07 09:21:31:367,102157,0.4,101795,0.5,204077,0.3,272446,1.75 8,1,2024-09-07 09:21:31:024,733222,733222,0,0,344019159870,3619143264,721698,8895,2629,366,392853,0 8,2,2024-09-07 09:21:30:800,525705,525705,0,0,27975779,0,3250 8,3,2024-09-07 09:21:30:588,1,419,1,0,538,6975,419,0 9,0,2024-09-07 09:21:31:118,108255,0.4,105116,0.5,219995,0.3,288396,1.75 9,1,2024-09-07 09:21:30:578,732911,732911,0,0,344089713606,3625932862,721860,8630,2421,369,392001,0 9,2,2024-09-07 09:21:31:094,528382,528382,0,0,24864417,0,3360 9,3,2024-09-07 09:21:31:752,1,419,0,0,496,6007,419,0 10,0,2024-09-07 09:21:31:614,107876,0.4,107221,0.6,215566,0.3,287026,1.75 10,1,2024-09-07 09:21:30:588,734595,734595,0,0,344828510783,3616285611,724214,8758,1623,381,391741,0 10,2,2024-09-07 09:21:30:762,530088,530088,0,0,27200639,0,4264 10,3,2024-09-07 09:21:30:872,1,419,1,0,649,4572,419,0 11,0,2024-09-07 09:21:31:007,102072,0.4,98962,0.6,207075,0.4,273018,1.75 11,1,2024-09-07 09:21:30:587,735137,735137,0,0,345745226060,3628593708,723810,8701,2626,383,391756,0 11,2,2024-09-07 09:21:31:123,529365,529365,0,0,24059855,0,4130 11,3,2024-09-07 09:21:31:298,1,419,1,0,843,6194,419,0 12,0,2024-09-07 09:21:31:043,102434,0.4,102505,0.5,205102,0.3,272202,1.75 12,1,2024-09-07 09:21:30:941,735203,735203,0,0,344138419148,3588898373,729655,5047,501,370,391870,0 12,2,2024-09-07 09:21:31:561,529570,529570,0,0,23308697,0,3469 12,3,2024-09-07 09:21:31:066,1,419,0,0,386,6336,419,0 13,0,2024-09-07 09:21:31:389,107257,0.4,106800,0.6,213309,0.4,284709,1.75 13,1,2024-09-07 09:21:31:533,733843,733843,0,0,344136977184,3619479834,726237,5975,1631,382,391740,0 13,2,2024-09-07 09:21:30:601,531024,531024,0,0,21639917,0,3287 13,3,2024-09-07 09:21:31:764,1,419,0,0,522,6231,419,0 14,0,2024-09-07 09:21:30:578,108482,0.5,109541,0.7,216666,0.4,288980,2.00 14,1,2024-09-07 09:21:31:561,738741,738741,0,0,346608184330,3591622645,732523,5510,708,364,391673,0 14,2,2024-09-07 09:21:30:766,530769,530739,30,0,23664362,0,6104 14,3,2024-09-07 09:21:31:117,1,419,1,0,1168,4722,419,0 15,0,2024-09-07 09:21:31:554,104298,0.4,104168,0.7,208694,0.4,277523,2.00 15,1,2024-09-07 09:21:31:609,736184,736184,0,0,345571755105,3600957947,729962,5044,1178,381,391619,0 15,2,2024-09-07 09:21:31:004,531533,531533,0,0,19367708,0,3622 15,3,2024-09-07 09:21:31:412,1,419,11,0,1126,6949,419,0 16,0,2024-09-07 09:21:30:939,101948,0.5,102272,0.7,204063,0.5,271012,2.00 16,1,2024-09-07 09:21:30:563,735721,735721,0,0,345310496382,3612188160,729125,5483,1113,370,391917,0 16,2,2024-09-07 09:21:31:434,528952,528952,0,0,23262806,0,4719 16,3,2024-09-07 09:21:31:147,1,419,3,0,317,5374,419,0 17,0,2024-09-07 09:21:31:879,107036,0.6,104364,0.8,204351,0.7,277851,2.00 17,1,2024-09-07 09:21:30:600,734339,734339,0,0,343784844584,3609259602,726698,6128,1513,368,392075,0 17,2,2024-09-07 09:21:31:665,532185,532185,0,0,23084463,0,3779 17,3,2024-09-07 09:21:30:592,1,419,1,0,518,6803,419,0 18,0,2024-09-07 09:21:30:955,107516,0.7,108079,0.9,215344,0.8,287426,2.25 18,1,2024-09-07 09:21:31:654,737368,737368,0,0,345905797109,3586229380,733368,3621,379,367,391725,0 18,2,2024-09-07 09:21:31:755,532381,532381,0,0,20478097,0,3541 18,3,2024-09-07 09:21:30:898,1,419,2,0,163,3263,419,0 19,0,2024-09-07 09:21:31:540,106484,0.6,107068,0.8,212387,0.6,282725,2.25 19,1,2024-09-07 09:21:30:575,737797,737797,0,0,346252756291,3593039607,731852,5024,921,367,391777,0 19,2,2024-09-07 09:21:31:752,531737,531737,0,0,19389272,0,3988 19,3,2024-09-07 09:21:31:129,1,419,1,0,524,3445,419,0 20,0,2024-09-07 09:21:31:398,101198,0.4,101185,0.6,202293,0.4,269992,2.00 20,1,2024-09-07 09:21:30:588,734916,734916,0,0,345714646033,3616704997,728209,5907,800,369,391922,0 20,2,2024-09-07 09:21:30:928,529279,529279,0,0,23048186,0,3721 20,3,2024-09-07 09:21:30:600,1,419,9,0,414,6175,419,0 21,0,2024-09-07 09:21:31:138,102271,0.5,102479,0.6,204604,0.4,271551,2.00 21,1,2024-09-07 09:21:31:545,732831,732831,0,0,344071604609,3628244712,721980,8377,2474,368,392016,0 21,2,2024-09-07 09:21:31:072,527759,527739,20,0,28177648,0,5617 21,3,2024-09-07 09:21:31:406,1,419,1,0,713,5408,419,0 22,0,2024-09-07 09:21:31:718,108647,0.5,109110,0.7,218108,0.4,289716,2.00 22,1,2024-09-07 09:21:31:024,734320,734320,0,0,344208516016,3618573790,723186,8907,2227,382,391667,0 22,2,2024-09-07 09:21:30:760,528475,528449,26,0,22428195,0,6328 22,3,2024-09-07 09:21:31:079,1,419,7,0,228,3492,419,0 23,0,2024-09-07 09:21:31:380,107330,0.5,106626,0.7,214092,0.5,285470,2.25 23,1,2024-09-07 09:21:31:010,735209,735209,0,0,345507201869,3629535623,722120,9035,4054,365,391690,0 23,2,2024-09-07 09:21:31:113,531284,531284,0,0,21887840,0,3773 23,3,2024-09-07 09:21:31:753,1,419,1,0,720,5546,419,0 24,0,2024-09-07 09:21:30:835,102326,0.4,101944,0.5,204688,0.3,271824,1.75 24,1,2024-09-07 09:21:30:600,734418,734418,0,0,345216405915,3619460467,726420,6406,1592,367,392269,0 24,2,2024-09-07 09:21:31:071,529147,529147,0,0,26298028,0,3607 24,3,2024-09-07 09:21:31:691,1,419,3,0,468,5757,419,0 25,0,2024-09-07 09:21:31:383,104548,0.4,101918,0.6,199664,0.3,272196,1.75 25,1,2024-09-07 09:21:30:577,733811,733811,0,0,345012553510,3636237414,721875,9689,2247,371,391928,0 25,2,2024-09-07 09:21:31:616,528021,528021,0,0,26711714,0,3978 25,3,2024-09-07 09:21:31:006,1,419,1,0,532,4797,419,0 26,0,2024-09-07 09:21:31:719,107079,0.4,104620,0.6,219466,0.3,285927,1.75 26,1,2024-09-07 09:21:31:552,735734,735734,0,0,344556283957,3609277383,725108,9042,1584,380,391748,0 26,2,2024-09-07 09:21:30:861,529911,529911,0,0,26861251,0,2809 26,3,2024-09-07 09:21:31:712,1,419,1,0,796,5151,419,0 27,0,2024-09-07 09:21:31:723,108331,0.5,108451,0.7,215728,0.5,287875,2.25 27,1,2024-09-07 09:21:31:677,737117,737117,0,0,346121413673,3606244101,729863,6353,901,381,391626,0 27,2,2024-09-07 09:21:30:867,528327,528262,65,0,24696111,0,5699 27,3,2024-09-07 09:21:31:015,1,419,1,0,564,4103,419,0 28,0,2024-09-07 09:21:31:396,103663,0.4,103210,0.6,207064,0.3,275793,2.00 28,1,2024-09-07 09:21:30:798,736282,736282,0,0,345809730478,3611133390,729095,5488,1699,383,391698,0 28,2,2024-09-07 09:21:31:764,529892,529892,0,0,22554548,0,2915 28,3,2024-09-07 09:21:31:780,1,419,1,0,502,4646,419,0 29,0,2024-09-07 09:21:31:357,104550,0.3,101819,0.6,199751,0.3,271768,1.75 29,1,2024-09-07 09:21:31:590,738838,738838,0,0,347172856463,3593538500,733976,4127,735,368,391753,0 29,2,2024-09-07 09:21:30:861,528767,528767,0,0,21373083,0,4986 29,3,2024-09-07 09:21:30:964,1,419,0,0,459,4922,419,0 30,0,2024-09-07 09:21:31:455,104581,0.5,101640,0.7,212766,0.4,279129,2.00 30,1,2024-09-07 09:21:30:571,737536,737536,0,0,346152806339,3596765763,731467,5303,766,381,391672,0 30,2,2024-09-07 09:21:31:276,530756,530756,0,0,20942845,0,4192 30,3,2024-09-07 09:21:30:587,1,419,1,0,519,4162,419,0 31,0,2024-09-07 09:21:31:776,108243,0.5,108803,0.7,217027,0.5,289502,2.00 31,1,2024-09-07 09:21:30:564,740759,740759,0,0,347534830631,3563304031,737744,2429,586,356,391712,0 31,2,2024-09-07 09:21:31:276,529839,529839,0,0,22536699,0,3525 31,3,2024-09-07 09:21:31:706,1,419,1,0,220,3725,419,0 32,0,2024-09-07 09:21:31:416,106369,0.3,107053,0.5,213053,0.3,283593,1.75 32,1,2024-09-07 09:21:30:812,737226,737226,0,0,345321332593,3594233518,732112,4433,681,381,391646,0 32,2,2024-09-07 09:21:30:941,530505,530505,0,0,20307921,0,3922 32,3,2024-09-07 09:21:31:015,1,419,1,0,304,3371,419,0 33,0,2024-09-07 09:21:31:522,102167,0.3,101695,0.4,204154,0.2,271582,1.50 33,1,2024-09-07 09:21:30:589,738178,738178,0,0,346259945196,3592931358,731666,5407,1105,368,391730,0 33,2,2024-09-07 09:21:30:766,530151,530116,35,0,22718444,0,7012 33,3,2024-09-07 09:21:30:894,1,419,1,0,329,4131,419,0 34,0,2024-09-07 09:21:30:946,103049,0.3,106027,0.4,202771,0.2,273159,1.75 34,1,2024-09-07 09:21:31:044,739111,739111,0,0,347734862988,3576472854,737375,1725,11,367,391562,0 34,2,2024-09-07 09:21:30:766,531443,531443,0,0,21083692,0,4562 34,3,2024-09-07 09:21:31:688,1,419,18,0,299,3193,419,0 35,0,2024-09-07 09:21:30:861,108225,0.4,108804,0.5,218577,0.3,290515,1.75 35,1,2024-09-07 09:21:31:093,737404,737404,0,0,345322145527,3580993107,732868,3680,856,382,391769,0 35,2,2024-09-07 09:21:31:583,530094,530094,0,0,22703296,0,4055 35,3,2024-09-07 09:21:30:915,1,419,3,0,418,4498,419,0 36,0,2024-09-07 09:21:31:517,108202,0.5,108093,0.7,216209,0.4,287674,2.00 36,1,2024-09-07 09:21:30:596,736193,736193,0,0,345535155109,3609878725,726546,7647,2000,366,391759,0 36,2,2024-09-07 09:21:31:752,530079,530079,0,0,23711404,0,3875 36,3,2024-09-07 09:21:30:866,1,419,4,0,416,6068,419,0 37,0,2024-09-07 09:21:31:371,100351,0.4,100524,0.6,200968,0.4,267785,2.00 37,1,2024-09-07 09:21:30:575,735959,735952,0,7,345143369534,3605991749,727134,6543,2275,365,391770,0 37,2,2024-09-07 09:21:31:146,527982,527967,15,0,23513511,0,5815 37,3,2024-09-07 09:21:31:772,1,419,1,0,888,6330,419,0 38,0,2024-09-07 09:21:31:437,101409,0.4,98473,0.6,205910,0.4,270094,2.00 38,1,2024-09-07 09:21:31:608,737027,737027,0,0,345256571691,3610091595,726355,8511,2161,368,391821,0 38,2,2024-09-07 09:21:30:760,529597,529550,47,0,23496507,0,6710 38,3,2024-09-07 09:21:31:009,1,419,1,0,689,5457,419,0 39,0,2024-09-07 09:21:31:774,110567,0.6,108155,0.7,210695,0.5,287563,2.00 39,1,2024-09-07 09:21:30:716,734852,734852,0,0,344952906701,3618415363,722412,9750,2690,365,391658,0 39,2,2024-09-07 09:21:31:417,529978,529978,0,0,22295100,0,3391 39,3,2024-09-07 09:21:30:717,1,419,1,0,324,4865,419,0 40,0,2024-09-07 09:21:31:552,106615,0.9,107325,1.0,213875,1.0,285640,2.75 40,1,2024-09-07 09:21:30:595,735847,735847,0,0,344485278225,3609721946,725028,8775,2044,368,391668,0 40,2,2024-09-07 09:21:31:311,529263,529262,1,0,25835530,0,5137 40,3,2024-09-07 09:21:31:144,1,419,1,0,1028,5783,419,0 41,0,2024-09-07 09:21:31:036,101616,1.4,103895,1.2,198332,2.1,269674,3.00 41,1,2024-09-07 09:21:30:768,734733,734733,0,0,344819095698,3608361073,725551,8142,1040,369,391742,0 41,2,2024-09-07 09:21:30:759,528174,528174,0,0,24607627,0,4277 41,3,2024-09-07 09:21:31:679,1,419,1,0,366,4211,419,0 42,0,2024-09-07 09:21:31:518,101061,0.8,101094,1.0,202359,0.9,268035,2.50 42,1,2024-09-07 09:21:31:442,732863,732863,0,0,343523339251,3612012248,720901,9931,2031,380,391675,0 42,2,2024-09-07 09:21:31:133,528237,528237,0,0,24936491,0,3790 42,3,2024-09-07 09:21:31:009,1,419,1,0,892,4247,419,0 43,0,2024-09-07 09:21:30:926,105410,0.8,102512,1.0,214789,0.8,282171,2.25 43,1,2024-09-07 09:21:30:576,736059,736059,0,0,345972724888,3615948486,725731,8589,1739,366,391696,0 43,2,2024-09-07 09:21:31:737,529780,529780,0,0,24495490,0,3812 43,3,2024-09-07 09:21:31:752,1,419,1,0,548,5643,419,0 44,0,2024-09-07 09:21:30:868,108595,0.5,108880,0.7,218145,0.5,289557,2.00 44,1,2024-09-07 09:21:30:563,737804,737804,0,0,345557670625,3574474807,731311,5175,1318,356,391809,0 44,2,2024-09-07 09:21:31:267,529569,529569,0,0,20716094,0,4344 44,3,2024-09-07 09:21:31:093,1,419,2,0,817,5030,419,0 45,0,2024-09-07 09:21:31:758,103188,0.5,100736,0.7,211323,0.5,278071,2.00 45,1,2024-09-07 09:21:31:009,736816,736816,0,0,345492202337,3591960054,731159,5084,573,382,391917,0 45,2,2024-09-07 09:21:31:268,530422,530422,0,0,21008466,0,3596 45,3,2024-09-07 09:21:30:934,1,419,4,0,271,3675,419,0 46,0,2024-09-07 09:21:30:952,101358,0.5,101226,0.7,202865,0.4,268623,2.00 46,1,2024-09-07 09:21:30:589,738254,738254,0,0,346647772719,3582123009,733587,4076,591,366,391709,0 46,2,2024-09-07 09:21:30:593,529735,529735,0,0,21167402,0,4443 46,3,2024-09-07 09:21:31:132,1,419,1,0,908,5389,419,0 47,0,2024-09-07 09:21:31:104,104198,0.4,104488,0.6,209166,0.4,277173,1.75 47,1,2024-09-07 09:21:30:570,739113,739113,0,0,346441360630,3582111334,734256,4019,838,366,391641,0 47,2,2024-09-07 09:21:30:908,532720,532720,0,0,20791440,0,4477 47,3,2024-09-07 09:21:31:121,1,419,1,0,600,5216,419,0 48,0,2024-09-07 09:21:31:499,109182,0.3,108988,0.5,217174,0.2,289559,1.75 48,1,2024-09-07 09:21:31:027,736823,736823,0,0,346039523908,3595663910,732113,4254,456,384,391710,0 48,2,2024-09-07 09:21:30:712,530091,530091,0,0,19491213,0,3411 48,3,2024-09-07 09:21:30:753,1,419,6,0,339,3431,419,0 49,0,2024-09-07 09:21:31:722,109845,0.4,108004,0.5,209348,0.3,286697,1.75 49,1,2024-09-07 09:21:31:022,736807,736807,0,0,345059604720,3591905738,731655,3946,1206,382,391809,0 49,2,2024-09-07 09:21:31:802,530203,530203,0,0,21471339,0,4426 49,3,2024-09-07 09:21:31:416,1,419,1,0,992,5097,419,0 50,0,2024-09-07 09:21:31:510,101851,0.3,100209,0.4,202387,0.2,269797,1.75 50,1,2024-09-07 09:21:31:010,739273,739273,0,0,346628024513,3585603557,734349,4350,574,368,391565,0 50,2,2024-09-07 09:21:31:081,529608,529608,0,0,19751039,0,4490 50,3,2024-09-07 09:21:31:292,1,419,27,0,567,4318,419,0 51,0,2024-09-07 09:21:31:683,105109,0.3,102802,0.5,200361,0.2,272881,1.75 51,1,2024-09-07 09:21:31:680,739335,739335,0,0,347229192572,3582282452,735383,2903,1049,365,391706,0 51,2,2024-09-07 09:21:31:320,531445,531445,0,0,18762301,0,3337 51,3,2024-09-07 09:21:31:033,1,419,1,0,678,3483,419,0 52,0,2024-09-07 09:21:31:421,109135,0.5,108993,0.7,218232,0.5,290512,2.00 52,1,2024-09-07 09:21:30:595,736056,736056,0,0,345461800124,3615008345,725730,8973,1353,368,391722,0 52,2,2024-09-07 09:21:31:759,526433,526395,38,0,24929262,0,6742 52,3,2024-09-07 09:21:30:677,1,419,1,0,1782,5817,419,0 53,0,2024-09-07 09:21:31:740,106932,0.7,103892,0.8,217364,0.7,285383,2.25 53,1,2024-09-07 09:21:30:778,734507,734507,0,0,344984120519,3619900832,722591,8956,2960,367,391702,0 53,2,2024-09-07 09:21:31:298,530309,530308,1,0,22489280,0,5455 53,3,2024-09-07 09:21:30:701,1,419,2,0,308,3725,419,0 54,0,2024-09-07 09:21:31:616,100305,0.6,100550,0.8,200241,0.4,267677,2.25 54,1,2024-09-07 09:21:30:588,736184,736184,0,0,345862427064,3596444335,728698,6245,1241,366,391659,0 54,2,2024-09-07 09:21:30:865,529943,529911,32,0,25776282,0,6397 54,3,2024-09-07 09:21:30:763,1,419,1,0,676,6141,419,0 55,0,2024-09-07 09:21:31:761,98367,0.5,101647,0.7,205600,0.5,267842,2.25 55,1,2024-09-07 09:21:30:775,737111,737111,0,0,345304411622,3589330985,729480,6539,1092,365,391731,0 55,2,2024-09-07 09:21:30:729,528691,528635,56,0,24774611,0,7239 55,3,2024-09-07 09:21:30:677,1,419,2,0,304,4306,419,0 56,0,2024-09-07 09:21:31:562,109472,1.4,103218,1.2,212597,2.0,285192,2.75 56,1,2024-09-07 09:21:30:578,732412,732412,0,0,344960785093,3644009365,720910,9129,2373,381,391867,0 56,2,2024-09-07 09:21:31:305,529531,529531,0,0,24347620,0,3567 56,3,2024-09-07 09:21:31:080,1,419,11,0,705,5165,419,0 57,0,2024-09-07 09:21:31:026,106570,1.8,106268,1.3,213317,2.5,285721,3.25 57,1,2024-09-07 09:21:30:994,735473,735473,0,0,344757328272,3613864602,726869,7518,1086,366,392032,0 57,2,2024-09-07 09:21:31:316,530600,530600,0,0,26323079,0,3317 57,3,2024-09-07 09:21:31:741,1,419,5,0,455,4918,419,0 58,0,2024-09-07 09:21:30:558,101914,0.8,98964,1.0,207147,0.9,271323,2.50 58,1,2024-09-07 09:21:30:588,735388,735385,0,3,345364566093,3611873093,725434,8662,1289,367,391603,3 58,2,2024-09-07 09:21:31:075,529144,529144,0,0,24344472,0,2902 58,3,2024-09-07 09:21:31:082,1,419,3,0,1043,4583,419,0 59,0,2024-09-07 09:21:31:746,101367,0.7,101085,0.9,201811,0.8,268131,2.50 59,1,2024-09-07 09:21:30:812,735343,735343,0,0,346192129805,3626936018,725124,8410,1809,369,391653,0 59,2,2024-09-07 09:21:30:594,528622,528622,0,0,24265155,0,3727 59,3,2024-09-07 09:21:31:737,1,419,2,0,1015,5579,419,0 60,0,2024-09-07 09:21:31:775,104801,0.5,104829,0.7,210184,0.5,279956,1.75 60,1,2024-09-07 09:21:30:783,737461,737461,0,0,346158791302,3594298262,732321,4420,720,370,392031,0 60,2,2024-09-07 09:21:31:156,530643,530643,0,0,22812132,0,3811 60,3,2024-09-07 09:21:31:262,1,419,7,0,409,4950,419,0 61,0,2024-09-07 09:21:31:515,108369,0.8,108960,0.9,217020,0.8,289166,2.00 61,1,2024-09-07 09:21:30:772,735827,735827,0,0,344938366655,3615710028,727520,6877,1430,382,392127,0 61,2,2024-09-07 09:21:31:129,529951,529884,67,0,23144152,0,6411 61,3,2024-09-07 09:21:31:689,1,419,1,0,479,5733,419,0 62,0,2024-09-07 09:21:31:709,106833,0.5,109405,0.7,208956,0.5,283345,2.00 62,1,2024-09-07 09:21:31:118,740071,740065,0,6,347970702182,3589159541,736250,3554,261,365,391975,6 62,2,2024-09-07 09:21:31:648,528092,528091,1,0,23300056,0,5555 62,3,2024-09-07 09:21:31:144,1,419,1,0,482,3719,419,0 63,0,2024-09-07 09:21:31:452,102241,0.4,102103,0.6,204595,0.3,271952,1.75 63,1,2024-09-07 09:21:30:809,737850,737844,0,6,345533575885,3589443544,732876,4235,733,381,391800,6 63,2,2024-09-07 09:21:30:762,529613,529613,0,0,21246892,0,4369 63,3,2024-09-07 09:21:31:734,1,419,0,0,667,4391,419,0 64,0,2024-09-07 09:21:31:526,102475,0.5,102433,0.7,204519,0.5,272521,2.00 64,1,2024-09-07 09:21:30:757,736690,736690,0,0,346018432872,3607065174,730013,4974,1703,370,391783,0 64,2,2024-09-07 09:21:31:146,534084,534065,19,0,21147767,0,6121 64,3,2024-09-07 09:21:31:146,1,419,1,0,265,4141,419,0 65,0,2024-09-07 09:21:31:698,107977,0.7,108296,0.8,216246,0.8,288068,2.25 65,1,2024-09-07 09:21:30:861,735193,735193,0,0,344289572432,3600206728,730084,4569,540,382,391901,0 65,2,2024-09-07 09:21:31:693,530018,530018,0,0,24670017,0,3367 65,3,2024-09-07 09:21:31:688,1,419,4,0,782,5021,419,0 66,0,2024-09-07 09:21:31:760,107599,0.5,107477,0.8,214722,0.5,286140,2.25 66,1,2024-09-07 09:21:31:293,737077,737077,0,0,345386577903,3600444090,731580,4972,525,380,391743,0 66,2,2024-09-07 09:21:31:132,531597,531597,0,0,21536080,0,4956 66,3,2024-09-07 09:21:31:081,1,419,0,0,291,3694,419,0 67,0,2024-09-07 09:21:31:439,100967,0.5,100662,0.6,201871,0.4,268577,2.00 67,1,2024-09-07 09:21:30:768,736978,736977,0,1,345696821613,3602820468,731625,4560,792,380,391787,1 67,2,2024-09-07 09:21:30:601,531960,531960,0,0,20718692,0,3622 67,3,2024-09-07 09:21:31:751,1,419,9,0,392,4207,419,0 68,0,2024-09-07 09:21:30:589,102070,0.5,102026,0.7,203208,0.5,272013,2.00 68,1,2024-09-07 09:21:30:570,734097,734097,0,0,344499696070,3624689614,724046,7105,2946,381,391953,0 68,2,2024-09-07 09:21:31:043,527807,527707,100,0,27434548,0,8578 68,3,2024-09-07 09:21:30:729,1,419,1,0,417,4861,419,0 69,0,2024-09-07 09:21:31:761,107461,0.7,108224,0.8,215477,0.8,285996,2.25 69,1,2024-09-07 09:21:31:016,733727,733727,0,0,344246772893,3629520143,723958,7499,2270,384,391994,0 69,2,2024-09-07 09:21:31:749,528653,528624,29,0,28907038,0,6912 69,3,2024-09-07 09:21:30:767,1,419,4,0,698,5997,419,0 70,0,2024-09-07 09:21:31:608,106779,1.2,106845,1.1,214994,1.1,284994,2.75 70,1,2024-09-07 09:21:30:805,737251,737251,0,0,346408976020,3595815252,731275,5315,661,366,391725,0 70,2,2024-09-07 09:21:31:328,529993,529993,0,0,24229060,0,4323 70,3,2024-09-07 09:21:30:746,1,419,1,0,854,4928,419,0 71,0,2024-09-07 09:21:31:364,101272,0.8,100965,1.0,202744,0.9,271118,2.75 71,1,2024-09-07 09:21:31:597,735953,735953,0,0,345263116691,3602302143,727525,7625,803,368,391738,0 71,2,2024-09-07 09:21:31:079,529473,529473,0,0,24161849,0,4352 71,3,2024-09-07 09:21:31:751,1,419,0,0,644,5244,419,0 72,0,2024-09-07 09:21:31:034,105103,0.5,102748,0.7,200320,0.5,272542,2.00 72,1,2024-09-07 09:21:31:026,734829,734829,0,0,344409001368,3614961128,723967,8852,2010,369,391819,0 72,2,2024-09-07 09:21:31:764,528351,528351,0,0,26351317,0,3983 72,3,2024-09-07 09:21:31:755,1,419,4,0,564,6349,419,0 73,0,2024-09-07 09:21:31:107,103755,0.5,106297,0.6,217448,0.4,283172,2.00 73,1,2024-09-07 09:21:30:770,736572,736572,0,0,345363089114,3588301640,731328,4835,409,367,391858,0 73,2,2024-09-07 09:21:31:742,529301,529301,0,0,25818452,0,3701 73,3,2024-09-07 09:21:30:977,1,419,2,0,486,5660,419,0 74,0,2024-09-07 09:21:31:325,109496,0.6,111911,0.7,213511,0.5,289868,2.25 74,1,2024-09-07 09:21:30:635,735569,735569,0,0,344181266155,3595421381,727605,6369,1595,381,391762,0 74,2,2024-09-07 09:21:31:007,529870,529870,0,0,23826619,0,4253 74,3,2024-09-07 09:21:31:446,1,419,0,0,522,5522,419,0 75,0,2024-09-07 09:21:31:764,104958,0.5,104321,0.7,209205,0.5,279174,2.25 75,1,2024-09-07 09:21:31:585,736298,736298,0,0,345014493813,3599628495,729476,5994,828,380,391739,0 75,2,2024-09-07 09:21:31:350,528392,528392,0,0,26000271,0,4766 75,3,2024-09-07 09:21:31:073,1,419,1,0,702,5295,419,0 76,0,2024-09-07 09:21:30:586,101382,0.5,100683,0.7,202086,0.5,269482,2.25 76,1,2024-09-07 09:21:30:806,735946,735946,0,0,344984916263,3600769225,730519,4602,825,382,391692,0 76,2,2024-09-07 09:21:31:095,531484,531483,1,0,23257569,0,5144 76,3,2024-09-07 09:21:31:153,1,419,1,0,175,3877,419,0 77,0,2024-09-07 09:21:31:704,103423,0.6,104003,0.7,207774,0.6,275904,2.00 77,1,2024-09-07 09:21:30:836,736529,736529,0,0,345618479647,3608113806,730719,5309,501,381,391869,0 77,2,2024-09-07 09:21:31:284,530223,530223,0,0,22737185,0,3890 77,3,2024-09-07 09:21:31:095,1,419,1,0,401,4749,419,0 78,0,2024-09-07 09:21:31:719,108952,0.5,108355,0.7,217538,0.4,288082,2.00 78,1,2024-09-07 09:21:30:615,736684,736684,0,0,344248593517,3588656561,728819,6298,1567,367,391670,0 78,2,2024-09-07 09:21:31:416,530671,530658,13,0,21433351,0,8313 78,3,2024-09-07 09:21:31:141,1,419,3,0,181,3703,419,0 79,0,2024-09-07 09:21:31:350,103345,0.4,105791,0.6,216714,0.4,281674,2.25 79,1,2024-09-07 09:21:30:575,738563,738563,0,0,345657921831,3585059655,731992,5262,1309,367,391682,0 79,2,2024-09-07 09:21:31:079,530614,530614,0,0,21046284,0,4195 79,3,2024-09-07 09:21:30:749,1,419,2,0,418,5353,419,0 80,0,2024-09-07 09:21:31:081,101208,0.5,104103,0.6,199316,0.5,269418,2.00 80,1,2024-09-07 09:21:31:628,736068,736068,0,0,345583077604,3601739202,730517,5130,421,368,392269,0 80,2,2024-09-07 09:21:31:122,531741,531741,0,0,21299512,0,4433 80,3,2024-09-07 09:21:30:590,1,419,2,0,190,4968,419,0 81,0,2024-09-07 09:21:31:593,102222,0.5,104720,0.7,199949,0.5,271552,2.00 81,1,2024-09-07 09:21:31:654,735349,735349,0,0,345043682447,3607272130,729349,5460,540,382,391879,0 81,2,2024-09-07 09:21:31:130,529476,529413,63,0,23655927,0,5932 81,3,2024-09-07 09:21:31:117,1,419,1,0,719,5068,419,0 82,0,2024-09-07 09:21:31:534,108554,0.5,108737,0.7,218158,0.5,289944,2.00 82,1,2024-09-07 09:21:30:595,737475,737471,0,4,345927208462,3600343425,732775,3877,819,381,391768,4 82,2,2024-09-07 09:21:31:690,530850,530850,0,0,20130980,0,4484 82,3,2024-09-07 09:21:31:752,1,419,1,0,363,4576,419,0 83,0,2024-09-07 09:21:31:529,107653,0.7,107668,0.8,214642,0.7,285610,2.25 83,1,2024-09-07 09:21:30:564,735595,735595,0,0,345072252776,3600865330,730069,5076,450,382,391709,0 83,2,2024-09-07 09:21:30:766,530070,530070,0,0,20850433,0,3393 83,3,2024-09-07 09:21:30:749,1,419,0,0,1260,5500,419,0 84,0,2024-09-07 09:21:31:779,101153,0.6,101163,0.8,201630,0.5,270089,2.25 84,1,2024-09-07 09:21:31:042,735021,735021,0,0,344807659652,3603050369,727351,6628,1042,367,391967,0 84,2,2024-09-07 09:21:30:572,529064,529034,30,0,27825363,0,5971 84,3,2024-09-07 09:21:31:154,1,419,11,0,908,6360,419,0 85,0,2024-09-07 09:21:31:005,98092,0.6,98069,0.8,208202,0.5,269262,2.25 85,1,2024-09-07 09:21:30:560,733425,733425,0,0,343993085874,3630135334,722624,8882,1919,381,392006,0 85,2,2024-09-07 09:21:30:945,528856,528856,0,0,25749572,0,3656 85,3,2024-09-07 09:21:30:685,1,419,2,0,789,5200,419,0 86,0,2024-09-07 09:21:30:896,107030,0.6,110075,0.8,210826,0.7,285443,2.25 86,1,2024-09-07 09:21:30:852,735520,735520,0,0,345424265101,3618237966,726857,7223,1440,366,391961,0 86,2,2024-09-07 09:21:30:863,527324,527323,1,0,27881263,0,5004 86,3,2024-09-07 09:21:30:589,1,419,6,0,308,6039,419,0 87,0,2024-09-07 09:21:31:288,107939,1.0,107828,0.9,215767,1.4,288140,2.50 87,1,2024-09-07 09:21:30:575,734295,734295,0,0,345037998637,3618066351,724350,8353,1592,366,392076,0 87,2,2024-09-07 09:21:31:067,529363,529357,6,0,24806991,0,6323 87,3,2024-09-07 09:21:31:795,1,419,0,0,473,6668,419,0 88,0,2024-09-07 09:21:31:455,103401,0.4,104094,0.6,207180,0.4,275633,1.75 88,1,2024-09-07 09:21:30:663,734040,734040,0,0,345560772822,3621027585,724340,7693,2007,365,392084,0 88,2,2024-09-07 09:21:30:689,529900,529900,0,0,26789705,0,4465 88,3,2024-09-07 09:21:31:267,1,419,2,0,435,4791,419,0 89,0,2024-09-07 09:21:31:780,104591,0.4,101306,0.6,200397,0.4,271859,1.75 89,1,2024-09-07 09:21:30:549,733960,733960,0,0,344422683930,3621754611,724794,7740,1426,382,391866,0 89,2,2024-09-07 09:21:31:143,528072,528072,0,0,25193474,0,3173 89,3,2024-09-07 09:21:31:797,1,419,1,0,468,7451,419,0 90,0,2024-09-07 09:21:31:664,101794,0.5,104565,0.6,213306,0.4,279042,2.00 90,1,2024-09-07 09:21:30:590,735091,735091,0,0,345596843630,3618578312,728325,6255,511,380,391825,0 90,2,2024-09-07 09:21:31:409,528684,528684,0,0,26679968,0,3060 90,3,2024-09-07 09:21:30:937,1,419,4,0,322,5236,419,0 91,0,2024-09-07 09:21:30:968,109190,0.5,105700,0.7,220921,0.5,289912,2.00 91,1,2024-09-07 09:21:30:557,734056,734056,0,0,344332233299,3621560656,724806,7848,1402,381,392047,0 91,2,2024-09-07 09:21:31:336,530927,530927,0,0,23685050,0,2896 91,3,2024-09-07 09:21:30:606,1,419,1,0,216,4189,419,0 92,0,2024-09-07 09:21:31:465,107154,0.5,109904,0.6,209780,0.5,283753,1.75 92,1,2024-09-07 09:21:30:590,735626,735626,0,0,344693446467,3600860940,730094,4807,725,381,392136,0 92,2,2024-09-07 09:21:31:361,530667,530667,0,0,21377727,0,3259 92,3,2024-09-07 09:21:31:024,1,419,20,0,167,3758,419,0 93,0,2024-09-07 09:21:30:958,102692,0.4,105231,0.5,200787,0.3,272075,1.75 93,1,2024-09-07 09:21:30:809,735353,735353,0,0,345706267381,3610849451,727224,6746,1383,366,391776,0 93,2,2024-09-07 09:21:30:944,528957,528957,0,0,25963016,0,4845 93,3,2024-09-07 09:21:31:407,1,419,13,0,190,3749,419,0 94,0,2024-09-07 09:21:31:628,102418,0.4,103360,0.5,206305,0.3,274191,1.75 94,1,2024-09-07 09:21:30:563,736282,736282,0,0,345399594013,3604230898,731498,4574,210,381,391850,0 94,2,2024-09-07 09:21:30:762,530307,530307,0,0,21694758,0,2443 94,3,2024-09-07 09:21:31:687,1,419,0,0,576,5649,419,0 95,0,2024-09-07 09:21:31:365,108946,0.4,108782,0.5,218157,0.3,290573,1.75 95,1,2024-09-07 09:21:30:854,736731,736731,0,0,345868478614,3596534014,730688,5607,436,365,391786,0 95,2,2024-09-07 09:21:31:016,529561,529561,0,0,21459997,0,3308 95,3,2024-09-07 09:21:31:711,1,419,6,0,718,6140,419,0 96,0,2024-09-07 09:21:31:066,108073,0.4,108475,0.5,216324,0.3,287208,1.75 96,1,2024-09-07 09:21:31:590,735919,735919,0,0,345330025234,3605235959,730378,4658,883,384,391955,0 96,2,2024-09-07 09:21:31:268,530333,530333,0,0,22439599,0,4180 96,3,2024-09-07 09:21:31:146,1,419,0,0,411,4763,419,0 97,0,2024-09-07 09:21:31:329,100963,0.3,100607,0.5,201842,0.2,268482,1.50 97,1,2024-09-07 09:21:30:768,737442,737442,0,0,346337237378,3595078946,732298,4212,932,367,392140,0 97,2,2024-09-07 09:21:30:610,530509,530509,0,0,21555049,0,3679 97,3,2024-09-07 09:21:30:572,1,419,1,0,242,5092,419,0 98,0,2024-09-07 09:21:31:706,101827,0.3,101814,0.4,204372,0.2,272028,1.50 98,1,2024-09-07 09:21:30:570,736044,736044,0,0,345977093723,3606267784,731094,4160,790,382,391997,0 98,2,2024-09-07 09:21:30:770,530159,530159,0,0,21773387,0,4336 98,3,2024-09-07 09:21:30:702,1,419,6,0,840,7128,419,0 99,0,2024-09-07 09:21:31:475,107937,0.3,108703,0.5,215864,0.3,288641,1.75 99,1,2024-09-07 09:21:31:725,736767,736767,0,0,345439419271,3596782776,731827,4103,837,381,392069,0 99,2,2024-09-07 09:21:31:417,530520,530520,0,0,25562609,0,4276 99,3,2024-09-07 09:21:30:587,1,419,1,0,606,4679,419,0 100,0,2024-09-07 09:21:31:478,107633,0.9,107854,1.0,214764,1.1,287547,2.50 100,1,2024-09-07 09:21:30:573,732589,732589,0,0,343375886378,3627657575,721889,8655,2045,381,391989,0 100,2,2024-09-07 09:21:31:839,528128,528117,11,0,24871695,0,5417 100,3,2024-09-07 09:21:31:751,1,419,2,0,559,6859,419,0 101,0,2024-09-07 09:21:31:776,104351,0.9,101557,1.0,199531,0.7,273201,2.25 101,1,2024-09-07 09:21:30:553,733150,733150,0,0,343623758050,3615904778,722483,8544,2123,368,391847,0 101,2,2024-09-07 09:21:31:774,526359,526359,0,0,29646572,0,4871 101,3,2024-09-07 09:21:30:945,1,419,5,0,579,5863,419,0 102,0,2024-09-07 09:21:30:952,99083,0.6,102198,0.7,207148,0.6,271341,2.00 102,1,2024-09-07 09:21:31:147,733649,733649,0,0,344443171248,3620556225,723777,8110,1762,369,391891,0 102,2,2024-09-07 09:21:31:746,529616,529562,54,0,23838942,0,6768 102,3,2024-09-07 09:21:31:622,1,419,0,0,466,4667,419,0 103,0,2024-09-07 09:21:31:608,109898,0.6,109889,0.7,207053,0.6,285411,2.00 103,1,2024-09-07 09:21:31:628,732797,732797,0,0,344379633675,3637946615,720827,8904,3066,381,391829,0 103,2,2024-09-07 09:21:30:607,528069,528069,0,0,26485226,0,3766 103,3,2024-09-07 09:21:30:758,1,419,3,0,916,4682,419,0 104,0,2024-09-07 09:21:31:018,107616,0.8,107970,1.0,214768,0.8,287969,2.50 104,1,2024-09-07 09:21:31:615,734976,734976,0,0,345122657480,3626127372,724141,8973,1862,365,392168,0 104,2,2024-09-07 09:21:31:688,529218,529218,0,0,25421044,0,3941 104,3,2024-09-07 09:21:31:419,1,419,1,0,1245,8503,419,0 105,0,2024-09-07 09:21:31:055,103331,0.8,100600,1.1,210872,0.9,277235,2.50 105,1,2024-09-07 09:21:30:565,735500,735500,0,0,345527032409,3621291217,726072,8004,1424,366,392009,0 105,2,2024-09-07 09:21:31:370,528354,528354,0,0,25181717,0,3509 105,3,2024-09-07 09:21:31:317,1,419,2,0,399,6539,419,0 106,0,2024-09-07 09:21:30:940,98322,0.7,100696,0.9,206286,0.7,269216,2.50 106,1,2024-09-07 09:21:31:752,734178,734178,0,0,344604214596,3619554650,723164,9567,1447,369,391914,0 106,2,2024-09-07 09:21:30:759,528265,528265,0,0,24535727,0,2920 106,3,2024-09-07 09:21:30:689,1,419,24,0,470,5446,419,0 107,0,2024-09-07 09:21:31:114,103646,0.9,103969,0.9,207442,1.1,276981,2.25 107,1,2024-09-07 09:21:30:607,733430,733430,0,0,344496222791,3631366944,723270,9110,1050,381,392234,0 107,2,2024-09-07 09:21:31:299,528726,528725,1,0,25481328,0,5024 107,3,2024-09-07 09:21:31:755,1,419,4,0,370,6423,419,0 108,0,2024-09-07 09:21:31:811,108352,0.5,109207,0.6,217128,0.5,289261,1.75 108,1,2024-09-07 09:21:31:295,735866,735866,0,0,345608086708,3605781995,729726,5396,744,368,391857,0 108,2,2024-09-07 09:21:31:756,528857,528857,0,0,24125029,0,4246 108,3,2024-09-07 09:21:31:341,1,419,1,0,749,7944,419,0 109,0,2024-09-07 09:21:31:758,107745,0.4,106863,0.6,213731,0.4,285833,1.75 109,1,2024-09-07 09:21:30:601,733190,733190,0,0,344691429124,3620001053,725795,6210,1185,382,392132,0 109,2,2024-09-07 09:21:30:924,527355,527355,0,0,23658929,0,3617 109,3,2024-09-07 09:21:31:153,1,419,19,0,379,4954,419,0 110,0,2024-09-07 09:21:31:764,101094,0.3,98503,0.6,206362,0.3,270131,1.75 110,1,2024-09-07 09:21:31:649,737159,737159,0,0,346077383800,3593620742,731770,4212,1177,369,392045,0 110,2,2024-09-07 09:21:31:303,529830,529830,0,0,22574444,0,4067 110,3,2024-09-07 09:21:30:693,1,419,0,0,722,6038,419,0 111,0,2024-09-07 09:21:31:425,102693,0.4,101919,0.5,204373,0.3,272979,1.75 111,1,2024-09-07 09:21:31:010,737318,737318,0,0,346617496104,3595991688,733436,3527,355,380,391690,0 111,2,2024-09-07 09:21:31:119,530025,530025,0,0,22340457,0,4823 111,3,2024-09-07 09:21:30:915,1,419,1,0,379,5041,419,0 112,0,2024-09-07 09:21:30:945,109463,0.3,109033,0.4,218309,0.2,290903,1.50 112,1,2024-09-07 09:21:30:836,737498,737498,0,0,345525373475,3587916456,732785,4100,613,380,391624,0 112,2,2024-09-07 09:21:31:145,529761,529760,1,0,21597799,0,5036 112,3,2024-09-07 09:21:30:594,1,419,3,0,282,4204,419,0 113,0,2024-09-07 09:21:30:891,107725,0.3,107808,0.5,215933,0.3,287592,1.75 113,1,2024-09-07 09:21:31:685,739322,739322,0,0,346652249071,3577742160,735434,3299,589,366,391661,0 113,2,2024-09-07 09:21:31:311,531562,531562,0,0,19907425,0,3813 113,3,2024-09-07 09:21:30:685,1,419,2,0,340,4723,419,0 114,0,2024-09-07 09:21:30:884,102108,0.3,102713,0.5,204235,0.2,272683,1.75 114,1,2024-09-07 09:21:30:724,736621,736621,0,0,346439547259,3601064928,730342,4663,1616,381,391565,0 114,2,2024-09-07 09:21:30:873,530870,530869,1,0,20988874,0,5069 114,3,2024-09-07 09:21:31:278,1,419,1,0,395,3644,419,0 115,0,2024-09-07 09:21:30:570,101887,0.3,102508,0.4,204452,0.2,271809,1.50 115,1,2024-09-07 09:21:30:571,737276,737276,0,0,346666462208,3605291240,730786,5223,1267,382,391757,0 115,2,2024-09-07 09:21:31:137,531427,531427,0,0,20814433,0,4382 115,3,2024-09-07 09:21:31:013,1,419,1,0,159,2388,419,0 116,0,2024-09-07 09:21:31:764,106742,0.7,106704,0.8,214254,0.8,286562,2.00 116,1,2024-09-07 09:21:30:817,733585,733585,0,0,344450382162,3629396018,724981,6279,2325,380,392089,0 116,2,2024-09-07 09:21:31:755,528642,528642,0,0,26257323,0,4128 116,3,2024-09-07 09:21:30:916,1,419,8,0,415,4981,419,0 117,0,2024-09-07 09:21:30:990,108432,0.8,107897,0.8,216064,1.0,288493,2.00 117,1,2024-09-07 09:21:31:602,734971,734971,0,0,344158160894,3602367969,727229,6829,913,369,392033,0 117,2,2024-09-07 09:21:31:122,532922,532922,0,0,22564575,0,4303 117,3,2024-09-07 09:21:31:069,1,419,4,0,490,6479,419,0 118,0,2024-09-07 09:21:31:765,100455,0.5,102996,0.7,210388,0.4,274573,2.00 118,1,2024-09-07 09:21:30:592,734812,734812,0,0,344047690897,3621631803,722918,8895,2999,366,391907,0 118,2,2024-09-07 09:21:31:587,528944,528944,0,0,24749363,0,2842 118,3,2024-09-07 09:21:31:764,1,419,1,0,248,4821,419,0 119,0,2024-09-07 09:21:31:336,101348,0.5,101747,0.7,203454,0.5,270244,2.00 119,1,2024-09-07 09:21:30:549,735053,735053,0,0,345542371112,3616806062,726577,7361,1115,367,391780,0 119,2,2024-09-07 09:21:31:260,529893,529893,0,0,23264440,0,4174 119,3,2024-09-07 09:21:31:330,1,419,2,0,1358,8442,419,0 120,0,2024-09-07 09:21:31:554,104201,0.7,104002,0.8,208726,0.7,279363,2.25 120,1,2024-09-07 09:21:30:881,735267,735267,0,0,344085453190,3612668053,726906,7592,769,368,391961,0 120,2,2024-09-07 09:21:30:783,529678,529677,1,0,26621519,0,5281 120,3,2024-09-07 09:21:31:296,1,419,5,0,279,5316,419,0 121,0,2024-09-07 09:21:31:795,108490,1.5,108189,1.1,217194,2.1,289175,2.50 121,1,2024-09-07 09:21:31:660,735705,735705,0,0,344895223845,3606177437,728991,6255,459,367,391840,0 121,2,2024-09-07 09:21:31:138,529151,529151,0,0,25612472,0,4127 121,3,2024-09-07 09:21:30:729,1,419,80,0,269,4712,419,0 122,0,2024-09-07 09:21:31:761,105746,0.8,103167,0.9,216363,0.8,283507,2.00 122,1,2024-09-07 09:21:30:869,734071,734071,0,0,344465778528,3616733478,723639,8829,1603,366,392130,0 122,2,2024-09-07 09:21:31:319,529273,529200,73,0,28358998,0,5989 122,3,2024-09-07 09:21:30:604,1,419,5,0,512,7667,419,0 123,0,2024-09-07 09:21:30:948,101603,0.6,99184,0.7,207454,0.6,271421,2.00 123,1,2024-09-07 09:21:30:558,734031,734031,0,0,344357271947,3630327028,720491,11100,2440,369,392039,0 123,2,2024-09-07 09:21:31:035,527950,527949,1,0,23962687,0,5215 123,3,2024-09-07 09:21:31:133,1,419,0,0,478,4967,419,0 124,0,2024-09-07 09:21:30:926,105908,0.3,105970,0.5,199719,0.3,274850,1.75 124,1,2024-09-07 09:21:31:025,736828,736828,0,0,345230011002,3589934829,731554,4225,1049,367,392178,0 124,2,2024-09-07 09:21:31:010,531537,531484,53,0,21915517,0,6487 124,3,2024-09-07 09:21:30:760,1,419,6,0,490,4102,419,0 125,0,2024-09-07 09:21:31:428,108962,0.4,108728,0.6,218377,0.4,290631,1.75 125,1,2024-09-07 09:21:30:855,735090,735090,0,0,344861934741,3602661614,729185,5235,670,382,391702,0 125,2,2024-09-07 09:21:31:116,530877,530877,0,0,22648204,0,4534 125,3,2024-09-07 09:21:31:129,1,419,1,0,709,5366,419,0 126,0,2024-09-07 09:21:31:416,108013,0.4,111134,0.6,212643,0.4,287907,1.75 126,1,2024-09-07 09:21:30:551,737645,737645,0,0,346645079890,3591543417,733646,3706,293,365,391987,0 126,2,2024-09-07 09:21:30:616,530640,530640,0,0,23369158,0,4539 126,3,2024-09-07 09:21:30:921,1,419,1,0,268,5256,419,0 127,0,2024-09-07 09:21:31:614,100881,0.3,101240,0.5,202034,0.2,268525,1.75 127,1,2024-09-07 09:21:30:573,737033,737033,0,0,345554518978,3596082878,729696,6118,1219,364,392187,0 127,2,2024-09-07 09:21:30:637,529336,529336,0,0,21115773,0,3897 127,3,2024-09-07 09:21:31:267,1,419,1,0,968,4609,419,0 128,0,2024-09-07 09:21:31:552,102339,0.3,102596,0.4,204607,0.2,272390,1.50 128,1,2024-09-07 09:21:31:608,736546,736546,0,0,346518548675,3598641060,731646,4467,433,367,392031,0 128,2,2024-09-07 09:21:31:383,531187,531187,0,0,20557747,0,3171 128,3,2024-09-07 09:21:30:773,1,419,25,0,1082,6633,419,0 129,0,2024-09-07 09:21:30:996,108937,0.3,108307,0.5,217099,0.3,289027,1.75 129,1,2024-09-07 09:21:30:570,733732,733732,0,0,344357272889,3611100843,726065,5997,1670,379,391962,0 129,2,2024-09-07 09:21:30:685,530504,530504,0,0,21922920,0,4031 129,3,2024-09-07 09:21:30:690,1,419,2,0,506,5844,419,0 130,0,2024-09-07 09:21:31:716,108575,0.5,108004,0.6,217419,0.6,288979,1.75 130,1,2024-09-07 09:21:30:598,736914,736914,0,0,345454506552,3591399724,732879,3696,339,381,391825,0 130,2,2024-09-07 09:21:31:135,531638,531638,0,0,22398808,0,4067 130,3,2024-09-07 09:21:31:291,1,419,1,0,960,6704,419,0 131,0,2024-09-07 09:21:31:931,102020,0.3,102509,0.5,206111,0.3,273052,1.75 131,1,2024-09-07 09:21:31:846,736379,736379,0,0,345317975018,3608960312,730418,4913,1048,381,391865,0 131,2,2024-09-07 09:21:30:570,532234,532234,0,0,20592765,0,3979 131,3,2024-09-07 09:21:31:698,1,419,9,0,392,4804,419,0 132,0,2024-09-07 09:21:31:471,101791,0.4,102755,0.6,204357,0.4,272177,1.75 132,1,2024-09-07 09:21:30:592,733008,733008,0,0,343766221615,3621817561,721888,9015,2105,381,392097,0 132,2,2024-09-07 09:21:30:714,528848,528831,17,0,26722252,0,6451 132,3,2024-09-07 09:21:31:690,1,419,2,0,804,7472,419,0 133,0,2024-09-07 09:21:31:529,103666,0.4,106034,0.6,217724,0.4,283643,1.75 133,1,2024-09-07 09:21:30:595,733010,733010,0,0,344171928195,3629265055,722467,9028,1515,383,391914,0 133,2,2024-09-07 09:21:31:096,528863,528813,50,0,28132917,0,6861 133,3,2024-09-07 09:21:31:298,1,419,1,0,479,4215,419,0 134,0,2024-09-07 09:21:30:991,109181,0.6,108753,0.8,217637,0.6,290289,2.25 134,1,2024-09-07 09:21:30:590,734283,734283,0,0,344749682424,3616982651,724500,7749,2034,366,391718,0 134,2,2024-09-07 09:21:31:756,528658,528634,24,0,25875157,0,6207 134,3,2024-09-07 09:21:30:757,1,419,1,0,739,5422,419,0 135,0,2024-09-07 09:21:31:100,101270,0.8,101293,0.9,214934,0.9,276742,2.25 135,1,2024-09-07 09:21:31:588,734318,734318,0,0,345218492328,3632765357,724365,8582,1371,380,391805,0 135,2,2024-09-07 09:21:30:702,529652,529652,0,0,24983877,0,3981 135,3,2024-09-07 09:21:31:013,1,419,2,0,299,3508,419,0 136,0,2024-09-07 09:21:31:637,102173,0.5,102389,0.7,203599,0.5,271226,2.00 136,1,2024-09-07 09:21:31:462,734890,734890,0,0,345018312107,3619434657,726323,7605,962,381,391685,0 136,2,2024-09-07 09:21:31:133,530679,530679,0,0,23954532,0,3506 136,3,2024-09-07 09:21:31:119,1,419,5,0,637,4924,419,0 137,0,2024-09-07 09:21:30:960,107022,0.6,104237,0.7,204588,0.6,278102,2.00 137,1,2024-09-07 09:21:30:579,733572,733572,0,0,344270800343,3614025302,722058,9155,2359,366,391898,0 137,2,2024-09-07 09:21:31:707,528950,528950,0,0,26607102,0,3185 137,3,2024-09-07 09:21:30:781,1,419,3,0,484,5213,419,0 138,0,2024-09-07 09:21:31:744,108202,1.0,108164,1.0,217095,1.3,288089,2.25 138,1,2024-09-07 09:21:31:685,734897,734897,0,0,344918250770,3618674620,724657,8519,1721,368,391954,0 138,2,2024-09-07 09:21:30:588,529238,529238,0,0,24219381,0,4988 138,3,2024-09-07 09:21:30:614,1,419,1,0,1160,5633,419,0 139,0,2024-09-07 09:21:31:360,106211,1.6,106258,1.1,212956,2.3,284341,2.50 139,1,2024-09-07 09:21:30:575,730999,730999,0,0,342609029018,3642417936,716637,11057,3305,380,392058,0 139,2,2024-09-07 09:21:30:696,525452,525452,0,0,27596381,0,3097 139,3,2024-09-07 09:21:31:663,1,419,2,0,432,4996,419,0 140,0,2024-09-07 09:21:31:588,101692,0.3,101124,0.5,202972,0.2,270520,1.75 140,1,2024-09-07 09:21:31:536,738860,738860,0,0,346814637280,3576698040,735297,3122,441,365,391606,0 140,2,2024-09-07 09:21:30:688,530437,530436,1,0,21339760,0,5036 140,3,2024-09-07 09:21:30:768,1,419,1,0,297,3570,419,0 141,0,2024-09-07 09:21:31:697,102552,0.3,105263,0.5,201134,0.3,272747,1.75 141,1,2024-09-07 09:21:30:865,737182,737182,0,0,346799763519,3603332670,731498,4727,957,379,391614,0 141,2,2024-09-07 09:21:31:689,531043,531043,0,0,21108957,0,3360 141,3,2024-09-07 09:21:31:052,1,419,1,0,391,4891,419,0 142,0,2024-09-07 09:21:31:324,109559,0.3,108936,0.5,217801,0.3,291500,1.75 142,1,2024-09-07 09:21:30:595,736464,736464,0,0,345503499671,3602445881,731779,4288,397,382,392102,0 142,2,2024-09-07 09:21:31:300,529264,529232,32,0,23210942,0,6028 142,3,2024-09-07 09:21:31:758,1,419,2,0,484,4798,419,0 143,0,2024-09-07 09:21:31:381,107926,0.5,107986,0.6,216299,0.5,287629,1.75 143,1,2024-09-07 09:21:30:579,737779,737779,0,0,346362814423,3596073333,732738,4497,544,367,391705,0 143,2,2024-09-07 09:21:30:783,530245,530245,0,0,22205254,0,3123 143,3,2024-09-07 09:21:31:146,1,419,6,0,462,5292,419,0 144,0,2024-09-07 09:21:31:497,98580,0.6,101330,0.8,206566,0.5,270539,2.00 144,1,2024-09-07 09:21:30:566,733642,733642,0,0,344118700092,3614560794,725932,5876,1834,381,391649,0 144,2,2024-09-07 09:21:31:770,531208,531208,0,0,21075121,0,3673 144,3,2024-09-07 09:21:31:744,1,419,1,0,249,4336,419,0 145,0,2024-09-07 09:21:31:357,98459,0.5,98473,0.7,208994,0.4,268879,2.25 145,1,2024-09-07 09:21:30:564,733645,733645,0,0,344254430737,3621675181,724028,7955,1662,382,391759,0 145,2,2024-09-07 09:21:31:434,527910,527829,81,0,25802306,0,7814 145,3,2024-09-07 09:21:30:895,1,419,0,0,622,6130,419,0 146,0,2024-09-07 09:21:31:597,107131,0.6,106430,0.8,214750,0.6,285051,2.25 146,1,2024-09-07 09:21:31:585,735076,735076,0,0,344691849864,3620095254,724130,8826,2120,367,391770,0 146,2,2024-09-07 09:21:31:713,528102,528102,0,0,24608260,0,3290 146,3,2024-09-07 09:21:31:278,1,419,2,0,1520,7992,419,0 147,0,2024-09-07 09:21:31:697,108265,0.7,108180,0.8,215504,0.7,288012,2.25 147,1,2024-09-07 09:21:31:376,737928,737928,0,0,345684834441,3597009816,731292,5791,845,368,391791,0 147,2,2024-09-07 09:21:31:013,530270,530270,0,0,22266749,0,2968 147,3,2024-09-07 09:21:30:914,1,419,1,0,1626,7354,419,0 0,0,2024-09-07 09:21:41:728,102371,0.6,102401,0.8,217168,0.7,281405,2.00 0,1,2024-09-07 09:21:40:807,736846,736846,0,0,345818493468,3620290671,731403,5055,388,369,391896,0 0,2,2024-09-07 09:21:41:071,532288,532288,0,0,21687694,0,4480 0,3,2024-09-07 09:21:40:981,1,420,7,0,431,6324,420,0 1,0,2024-09-07 09:21:41:881,108802,1.2,108079,1.1,216855,1.7,289837,2.25 1,1,2024-09-07 09:21:40:560,736345,736345,0,0,345170622277,3614393436,729917,5102,1326,370,391859,0 1,2,2024-09-07 09:21:40:640,530645,530645,0,0,22019542,0,3380 1,3,2024-09-07 09:21:41:310,1,420,6,0,268,5202,420,0 2,0,2024-09-07 09:21:41:567,105983,0.6,106271,0.8,211653,0.7,282171,2.00 2,1,2024-09-07 09:21:40:874,738837,738837,0,0,346664152637,3599223795,735550,2992,295,380,391745,0 2,2,2024-09-07 09:21:41:270,531263,531263,0,0,20627950,0,3594 2,3,2024-09-07 09:21:40:697,1,420,1,0,357,4140,420,0 3,0,2024-09-07 09:21:41:748,102215,0.4,101994,0.6,203966,0.3,271559,2.00 3,1,2024-09-07 09:21:41:630,737565,737565,0,0,345908532091,3603877231,731345,5530,690,380,391716,0 3,2,2024-09-07 09:21:41:151,531118,531095,23,0,21774740,0,5851 3,3,2024-09-07 09:21:41:751,1,420,1,0,207,2832,420,0 4,0,2024-09-07 09:21:41:799,100185,0.4,102872,0.5,209857,0.3,275069,1.75 4,1,2024-09-07 09:21:40:832,735029,735029,0,0,344051551502,3637722397,723221,9301,2507,370,391992,0 4,2,2024-09-07 09:21:41:022,529906,529906,0,0,24769185,0,4528 4,3,2024-09-07 09:21:41:058,1,420,19,0,448,5510,420,0 5,0,2024-09-07 09:21:41:391,108931,0.4,109497,0.6,218564,0.4,290129,1.75 5,1,2024-09-07 09:21:40:760,736083,736083,0,0,345484208757,3637756064,725667,8259,2157,367,392005,0 5,2,2024-09-07 09:21:41:830,528571,528571,0,0,24504128,0,3582 5,3,2024-09-07 09:21:41:736,1,420,1,0,457,5975,420,0 6,0,2024-09-07 09:21:40:915,108419,0.5,108059,0.7,215845,0.4,288102,2.00 6,1,2024-09-07 09:21:40:749,736529,736529,0,0,345591502481,3612844535,728325,6812,1392,379,391694,0 6,2,2024-09-07 09:21:41:123,530103,530085,18,0,24557724,0,5535 6,3,2024-09-07 09:21:41:287,1,420,5,0,710,5342,420,0 7,0,2024-09-07 09:21:41:533,100389,0.5,101107,0.6,201398,0.4,268009,2.00 7,1,2024-09-07 09:21:40:850,736151,736151,0,0,345387617360,3624086073,726383,8305,1463,382,391747,0 7,2,2024-09-07 09:21:40:778,531884,531884,0,0,23776990,0,4791 7,3,2024-09-07 09:21:40:851,1,420,70,0,552,4902,420,0 8,0,2024-09-07 09:21:41:329,102607,0.4,102272,0.5,205006,0.3,273679,1.75 8,1,2024-09-07 09:21:41:018,734954,734954,0,0,344863939268,3627724410,723430,8895,2629,366,392853,0 8,2,2024-09-07 09:21:40:808,527278,527278,0,0,27998907,0,3250 8,3,2024-09-07 09:21:40:585,1,420,4,0,538,6979,420,0 9,0,2024-09-07 09:21:41:122,108518,0.4,105375,0.5,220566,0.3,289089,1.75 9,1,2024-09-07 09:21:40:575,734727,734727,0,0,345091139091,3636137155,723676,8630,2421,369,392001,0 9,2,2024-09-07 09:21:41:090,529898,529898,0,0,24882470,0,3360 9,3,2024-09-07 09:21:41:754,1,420,37,0,496,6044,420,0 10,0,2024-09-07 09:21:41:607,108212,0.4,107608,0.6,216215,0.3,287939,1.75 10,1,2024-09-07 09:21:40:583,736348,736348,0,0,345640435651,3624561661,725967,8758,1623,381,391741,0 10,2,2024-09-07 09:21:40:762,530762,530762,0,0,27208741,0,4264 10,3,2024-09-07 09:21:40:874,1,420,1,0,649,4573,420,0 11,0,2024-09-07 09:21:41:014,102233,0.4,99150,0.6,207423,0.4,273484,1.75 11,1,2024-09-07 09:21:40:581,736819,736819,0,0,346521341169,3636500607,725492,8701,2626,383,391756,0 11,2,2024-09-07 09:21:41:127,530793,530793,0,0,24080942,0,4130 11,3,2024-09-07 09:21:41:301,1,420,3,0,843,6197,420,0 12,0,2024-09-07 09:21:40:966,102773,0.4,102818,0.5,205810,0.3,273118,1.75 12,1,2024-09-07 09:21:40:955,736919,736919,0,0,344899565209,3596642383,731371,5047,501,370,391870,0 12,2,2024-09-07 09:21:41:551,531038,531038,0,0,23324585,0,3469 12,3,2024-09-07 09:21:41:066,1,420,1,0,386,6337,420,0 13,0,2024-09-07 09:21:41:355,107690,0.4,107268,0.6,214144,0.4,285867,1.75 13,1,2024-09-07 09:21:41:531,735555,735555,0,0,344862855003,3626959540,727948,5976,1631,382,391740,0 13,2,2024-09-07 09:21:40:596,532311,532311,0,0,21656678,0,3287 13,3,2024-09-07 09:21:41:769,1,420,1,0,522,6232,420,0 14,0,2024-09-07 09:21:40:577,108613,0.5,109663,0.7,216905,0.4,289309,2.00 14,1,2024-09-07 09:21:41:561,740473,740473,0,0,347396136169,3599592638,734255,5510,708,364,391673,0 14,2,2024-09-07 09:21:40:767,531765,531735,30,0,23672385,0,6104 14,3,2024-09-07 09:21:41:123,1,420,1,0,1168,4723,420,0 15,0,2024-09-07 09:21:41:560,104428,0.4,104291,0.7,208953,0.4,277844,2.00 15,1,2024-09-07 09:21:41:609,737999,737999,0,0,346355269742,3608963493,731776,5045,1178,381,391619,0 15,2,2024-09-07 09:21:40:999,533021,533021,0,0,19380906,0,3622 15,3,2024-09-07 09:21:41:419,1,420,1,0,1126,6950,420,0 16,0,2024-09-07 09:21:40:966,101953,0.5,102278,0.7,204075,0.5,271012,2.00 16,1,2024-09-07 09:21:40:577,737486,737486,0,0,346051752098,3619811045,730888,5485,1113,370,391917,0 16,2,2024-09-07 09:21:41:449,530282,530282,0,0,23285522,0,4719 16,3,2024-09-07 09:21:41:150,1,420,1,0,317,5375,420,0 17,0,2024-09-07 09:21:41:766,107418,0.6,104727,0.8,205036,0.7,278751,2.00 17,1,2024-09-07 09:21:40:601,736050,736050,0,0,344658452155,3618173914,728409,6128,1513,368,392075,0 17,2,2024-09-07 09:21:41:675,533353,533353,0,0,23101805,0,3779 17,3,2024-09-07 09:21:40:586,1,420,1,0,518,6804,420,0 18,0,2024-09-07 09:21:40:945,107592,0.7,108158,0.9,215518,0.8,287658,2.25 18,1,2024-09-07 09:21:41:650,739111,739111,0,0,346702026995,3594347856,735111,3621,379,367,391725,0 18,2,2024-09-07 09:21:41:760,533601,533601,0,0,20496493,0,3541 18,3,2024-09-07 09:21:40:899,1,420,1,0,163,3264,420,0 19,0,2024-09-07 09:21:41:548,106718,0.6,107273,0.8,212837,0.6,283255,2.25 19,1,2024-09-07 09:21:40:574,739529,739529,0,0,347016000572,3600802453,733584,5024,921,367,391777,0 19,2,2024-09-07 09:21:41:755,533095,533095,0,0,19409047,0,3988 19,3,2024-09-07 09:21:41:138,1,420,2,0,524,3447,420,0 20,0,2024-09-07 09:21:41:357,101555,0.4,101482,0.6,202928,0.4,270930,2.00 20,1,2024-09-07 09:21:40:600,736648,736648,0,0,346468620438,3624517959,729941,5907,800,369,391922,0 20,2,2024-09-07 09:21:40:932,530537,530537,0,0,23078240,0,3721 20,3,2024-09-07 09:21:40:594,1,420,1,0,414,6176,420,0 21,0,2024-09-07 09:21:41:138,102542,0.5,102782,0.6,205061,0.4,272214,2.00 21,1,2024-09-07 09:21:41:550,734580,734580,0,0,344785741189,3635695351,723728,8377,2475,368,392016,0 21,2,2024-09-07 09:21:41:097,529116,529096,20,0,28232289,0,5617 21,3,2024-09-07 09:21:41:413,1,420,0,0,713,5408,420,0 22,0,2024-09-07 09:21:41:717,109235,0.5,109640,0.7,219152,0.5,291105,2.00 22,1,2024-09-07 09:21:41:031,736064,736064,0,0,345168814976,3628555873,724930,8907,2227,382,391667,0 22,2,2024-09-07 09:21:40:760,529883,529857,26,0,22458513,0,6328 22,3,2024-09-07 09:21:41:071,1,420,0,0,228,3492,420,0 23,0,2024-09-07 09:21:41:374,107661,0.5,106971,0.7,214693,0.5,286342,2.25 23,1,2024-09-07 09:21:41:006,737041,737041,0,0,346437427799,3639126474,723952,9035,4054,365,391690,0 23,2,2024-09-07 09:21:41:093,532246,532246,0,0,21919485,0,3773 23,3,2024-09-07 09:21:41:757,1,420,0,0,720,5546,420,0 24,0,2024-09-07 09:21:40:855,102475,0.4,102086,0.5,205000,0.3,272228,1.75 24,1,2024-09-07 09:21:40:601,736286,736286,0,0,345944164734,3626979802,728288,6406,1592,367,392269,0 24,2,2024-09-07 09:21:41:091,530521,530521,0,0,26318810,0,3607 24,3,2024-09-07 09:21:41:695,1,420,2,0,468,5759,420,0 25,0,2024-09-07 09:21:41:350,104804,0.4,102135,0.6,200119,0.3,272831,1.75 25,1,2024-09-07 09:21:40:559,735575,735575,0,0,345914853697,3645498726,723638,9690,2247,371,391928,0 25,2,2024-09-07 09:21:41:616,529445,529445,0,0,26745078,0,3978 25,3,2024-09-07 09:21:41:002,1,420,4,0,532,4801,420,0 26,0,2024-09-07 09:21:41:731,107458,0.4,104984,0.6,220166,0.3,286925,1.75 26,1,2024-09-07 09:21:41:542,737489,737489,0,0,345364037097,3617489429,726863,9042,1584,380,391748,0 26,2,2024-09-07 09:21:40:861,531347,531347,0,0,26878538,0,2809 26,3,2024-09-07 09:21:41:712,1,420,1,0,796,5152,420,0 27,0,2024-09-07 09:21:41:725,108490,0.5,108638,0.7,216067,0.5,288330,2.25 27,1,2024-09-07 09:21:41:679,738816,738816,0,0,346918346576,3614337709,731562,6353,901,381,391626,0 27,2,2024-09-07 09:21:40:874,529079,529014,65,0,24703051,0,5699 27,3,2024-09-07 09:21:41:030,1,420,2,0,564,4105,420,0 28,0,2024-09-07 09:21:41:400,103758,0.4,103297,0.6,207256,0.3,276054,2.00 28,1,2024-09-07 09:21:40:805,738059,738059,0,0,346581139576,3618991851,730872,5488,1699,383,391698,0 28,2,2024-09-07 09:21:41:764,531467,531467,0,0,22568665,0,2915 28,3,2024-09-07 09:21:41:776,1,420,27,0,502,4673,420,0 29,0,2024-09-07 09:21:41:385,104692,0.3,101941,0.6,199980,0.3,272151,1.75 29,1,2024-09-07 09:21:41:583,740590,740590,0,0,347841921030,3600347258,735728,4127,735,368,391753,0 29,2,2024-09-07 09:21:40:880,530237,530237,0,0,21385614,0,4986 29,3,2024-09-07 09:21:40:969,1,420,1,0,459,4923,420,0 30,0,2024-09-07 09:21:41:508,105093,0.5,102112,0.7,213840,0.4,280400,2.00 30,1,2024-09-07 09:21:40:576,739279,739279,0,0,346945560375,3604826091,733210,5303,766,381,391672,0 30,2,2024-09-07 09:21:41:286,531780,531780,0,0,20952233,0,4192 30,3,2024-09-07 09:21:40:585,1,420,9,0,519,4171,420,0 31,0,2024-09-07 09:21:41:761,108355,0.5,108919,0.7,217261,0.5,289792,2.00 31,1,2024-09-07 09:21:40:564,742489,742489,0,0,348515279366,3573165991,739474,2429,586,356,391712,0 31,2,2024-09-07 09:21:41:275,530974,530974,0,0,22545734,0,3525 31,3,2024-09-07 09:21:41:706,1,420,1,0,220,3726,420,0 32,0,2024-09-07 09:21:41:430,106460,0.3,107161,0.5,213245,0.3,283857,1.75 32,1,2024-09-07 09:21:40:812,738974,738974,0,0,345959316272,3600784229,733860,4433,681,381,391646,0 32,2,2024-09-07 09:21:40:942,532011,532011,0,0,20334972,0,3922 32,3,2024-09-07 09:21:41:032,1,420,1,0,304,3372,420,0 33,0,2024-09-07 09:21:41:493,102350,0.3,101899,0.4,204536,0.2,272054,1.50 33,1,2024-09-07 09:21:40:595,739945,739945,0,0,347085313776,3601302591,733433,5407,1105,368,391730,0 33,2,2024-09-07 09:21:40:758,531490,531455,35,0,22758023,0,7012 33,3,2024-09-07 09:21:40:899,1,420,2,0,329,4133,420,0 34,0,2024-09-07 09:21:40:935,103515,0.3,106482,0.4,203601,0.2,274332,1.75 34,1,2024-09-07 09:21:41:051,740877,740877,0,0,348678056335,3586071841,739140,1726,11,367,391562,0 34,2,2024-09-07 09:21:40:782,532511,532511,0,0,21096212,0,4562 34,3,2024-09-07 09:21:41:691,1,420,11,0,299,3204,420,0 35,0,2024-09-07 09:21:40:858,108370,0.4,108920,0.5,218827,0.3,290814,1.75 35,1,2024-09-07 09:21:41:093,739152,739152,0,0,346106351916,3589010687,734616,3680,856,382,391769,0 35,2,2024-09-07 09:21:41:592,531447,531447,0,0,22728712,0,4055 35,3,2024-09-07 09:21:40:910,1,420,1,0,418,4499,420,0 36,0,2024-09-07 09:21:41:518,108491,0.5,108387,0.7,216817,0.4,288409,2.00 36,1,2024-09-07 09:21:40:583,737979,737979,0,0,346266544405,3617484373,728331,7648,2000,366,391759,0 36,2,2024-09-07 09:21:41:753,531390,531390,0,0,23761056,0,3875 36,3,2024-09-07 09:21:40:863,1,420,1,0,416,6069,420,0 37,0,2024-09-07 09:21:41:376,100539,0.4,100756,0.6,201381,0.4,268244,2.00 37,1,2024-09-07 09:21:40:575,737748,737741,0,7,346000855073,3614715771,728923,6543,2275,365,391770,0 37,2,2024-09-07 09:21:41:150,529183,529168,15,0,23576427,0,5815 37,3,2024-09-07 09:21:41:766,1,420,2,0,888,6332,420,0 38,0,2024-09-07 09:21:41:454,101856,0.4,98923,0.6,206804,0.4,271299,2.00 38,1,2024-09-07 09:21:41:608,738762,738762,0,0,346155216374,3619344092,728090,8511,2161,368,391821,0 38,2,2024-09-07 09:21:40:770,531037,530990,47,0,23536275,0,6710 38,3,2024-09-07 09:21:41:002,1,420,1,0,689,5458,420,0 39,0,2024-09-07 09:21:41:764,110879,0.5,108412,0.7,211292,0.5,288197,2.00 39,1,2024-09-07 09:21:40:716,736605,736605,0,0,345854143696,3627646176,724165,9750,2690,365,391658,0 39,2,2024-09-07 09:21:41:419,531480,531480,0,0,22346920,0,3391 39,3,2024-09-07 09:21:40:719,1,420,1,0,324,4866,420,0 40,0,2024-09-07 09:21:41:500,106941,0.9,107631,1.0,214536,1.0,286584,2.75 40,1,2024-09-07 09:21:40:586,737614,737614,0,0,345234509295,3617333441,726795,8775,2044,368,391668,0 40,2,2024-09-07 09:21:41:315,529960,529959,1,0,25843973,0,5137 40,3,2024-09-07 09:21:41:143,1,420,7,0,1028,5790,420,0 41,0,2024-09-07 09:21:41:038,101786,1.4,104098,1.2,198681,2.1,270166,3.00 41,1,2024-09-07 09:21:40:780,736440,736440,0,0,345573850052,3616029353,727257,8143,1040,369,391742,0 41,2,2024-09-07 09:21:40:759,529672,529672,0,0,24633759,0,4277 41,3,2024-09-07 09:21:41:677,1,420,7,0,366,4218,420,0 42,0,2024-09-07 09:21:41:500,101399,0.8,101449,1.0,203019,0.9,268937,2.50 42,1,2024-09-07 09:21:41:449,734629,734629,0,0,344549420960,3622417995,722667,9931,2031,380,391675,0 42,2,2024-09-07 09:21:41:144,529767,529767,0,0,24956549,0,3790 42,3,2024-09-07 09:21:41:009,1,420,1,0,892,4248,420,0 43,0,2024-09-07 09:21:40:932,105845,0.8,102929,1.0,215625,0.8,283318,2.25 43,1,2024-09-07 09:21:40:576,737881,737881,0,0,346635507365,3622703292,727552,8590,1739,366,391696,0 43,2,2024-09-07 09:21:41:737,531032,531032,0,0,24508285,0,3812 43,3,2024-09-07 09:21:41:749,1,420,2,0,548,5645,420,0 44,0,2024-09-07 09:21:40:859,108731,0.5,109019,0.7,218373,0.5,289895,2.00 44,1,2024-09-07 09:21:40:574,739583,739583,0,0,346295222230,3581914904,733090,5175,1318,356,391809,0 44,2,2024-09-07 09:21:41:270,530545,530545,0,0,20725661,0,4344 44,3,2024-09-07 09:21:41:100,1,420,1,0,817,5031,420,0 45,0,2024-09-07 09:21:41:759,103314,0.5,100861,0.7,211580,0.5,278394,2.00 45,1,2024-09-07 09:21:41:005,738557,738557,0,0,346284776059,3600022897,732900,5084,573,382,391917,0 45,2,2024-09-07 09:21:41:271,531980,531980,0,0,21024192,0,3596 45,3,2024-09-07 09:21:40:945,1,420,0,0,271,3675,420,0 46,0,2024-09-07 09:21:40:964,101365,0.5,101236,0.7,202879,0.4,268652,2.00 46,1,2024-09-07 09:21:40:594,740056,740056,0,0,347412147303,3589876219,735389,4076,591,366,391709,0 46,2,2024-09-07 09:21:40:600,531041,531041,0,0,21185122,0,4443 46,3,2024-09-07 09:21:41:137,1,420,7,0,908,5396,420,0 47,0,2024-09-07 09:21:41:104,104562,0.4,104836,0.6,209917,0.4,278083,1.75 47,1,2024-09-07 09:21:40:571,740923,740923,0,0,347224390199,3590054484,736066,4019,838,366,391641,0 47,2,2024-09-07 09:21:40:911,533865,533865,0,0,20800445,0,4477 47,3,2024-09-07 09:21:41:116,1,420,1,0,600,5217,420,0 48,0,2024-09-07 09:21:41:493,109278,0.3,109086,0.5,217341,0.2,289802,1.75 48,1,2024-09-07 09:21:41:022,738669,738669,0,0,346977853820,3605216609,733959,4254,456,384,391710,0 48,2,2024-09-07 09:21:40:702,531407,531407,0,0,19508454,0,3411 48,3,2024-09-07 09:21:40:753,1,420,8,0,339,3439,420,0 49,0,2024-09-07 09:21:41:717,110063,0.4,108233,0.5,209777,0.3,287248,1.75 49,1,2024-09-07 09:21:41:030,738527,738527,0,0,345810264615,3599571777,733375,3946,1206,382,391809,0 49,2,2024-09-07 09:21:41:797,531591,531591,0,0,21494749,0,4426 49,3,2024-09-07 09:21:41:422,1,420,2,0,992,5099,420,0 50,0,2024-09-07 09:21:41:514,102194,0.3,100561,0.4,203056,0.2,270653,1.75 50,1,2024-09-07 09:21:41:010,741015,741015,0,0,347375594574,3593220149,736091,4350,574,368,391565,0 50,2,2024-09-07 09:21:41:071,530925,530925,0,0,19770016,0,4490 50,3,2024-09-07 09:21:41:291,1,420,11,0,567,4329,420,0 51,0,2024-09-07 09:21:41:689,105407,0.3,103058,0.5,200868,0.2,273615,1.75 51,1,2024-09-07 09:21:41:685,741021,741021,0,0,348070769166,3590860207,737069,2903,1049,365,391706,0 51,2,2024-09-07 09:21:41:323,532757,532757,0,0,18786152,0,3337 51,3,2024-09-07 09:21:41:029,1,420,1,0,678,3484,420,0 52,0,2024-09-07 09:21:41:426,109676,0.5,109572,0.7,219379,0.5,292001,2.00 52,1,2024-09-07 09:21:40:594,737884,737884,0,0,346157933385,3622337335,727556,8975,1353,368,391722,0 52,2,2024-09-07 09:21:41:763,527821,527783,38,0,24975859,0,6742 52,3,2024-09-07 09:21:40:674,1,420,2,0,1782,5819,420,0 53,0,2024-09-07 09:21:41:730,107272,0.7,104194,0.8,217995,0.7,286224,2.25 53,1,2024-09-07 09:21:40:777,736244,736244,0,0,345537927525,3625717484,724328,8956,2960,367,391702,0 53,2,2024-09-07 09:21:41:302,531311,531310,1,0,22512026,0,5455 53,3,2024-09-07 09:21:40:706,1,420,1,0,308,3726,420,0 54,0,2024-09-07 09:21:41:619,100462,0.6,100695,0.8,200543,0.4,268088,2.25 54,1,2024-09-07 09:21:40:581,738000,738000,0,0,346817579231,3606220172,730513,6246,1241,366,391659,0 54,2,2024-09-07 09:21:40:874,531348,531316,32,0,25826220,0,6397 54,3,2024-09-07 09:21:40:767,1,420,1,0,676,6142,420,0 55,0,2024-09-07 09:21:41:764,98599,0.5,101887,0.7,206085,0.5,268483,2.25 55,1,2024-09-07 09:21:40:770,738924,738924,0,0,346353435189,3600051146,731293,6539,1092,365,391731,0 55,2,2024-09-07 09:21:40:732,530164,530108,56,0,24819770,0,7239 55,3,2024-09-07 09:21:40:674,1,420,1,0,304,4307,420,0 56,0,2024-09-07 09:21:41:569,109839,1.4,103632,1.2,213347,1.9,286174,2.75 56,1,2024-09-07 09:21:40:583,734182,734182,0,0,345685780599,3651480103,722679,9130,2373,381,391867,0 56,2,2024-09-07 09:21:41:305,530815,530815,0,0,24360822,0,3567 56,3,2024-09-07 09:21:41:073,1,420,36,0,705,5201,420,0 57,0,2024-09-07 09:21:40:972,106761,1.8,106418,1.3,213670,2.5,286192,3.25 57,1,2024-09-07 09:21:40:990,737255,737255,0,0,345772103906,3624181449,728650,7519,1086,366,392032,0 57,2,2024-09-07 09:21:41:330,531296,531296,0,0,26331993,0,3317 57,3,2024-09-07 09:21:41:749,1,420,12,0,455,4930,420,0 58,0,2024-09-07 09:21:40:570,102019,0.8,99053,1.0,207327,0.9,271578,2.50 58,1,2024-09-07 09:21:40:594,737179,737176,0,3,346225385791,3620608184,727225,8662,1289,367,391603,3 58,2,2024-09-07 09:21:41:079,530640,530640,0,0,24360744,0,2902 58,3,2024-09-07 09:21:41:071,1,420,2,0,1043,4585,420,0 59,0,2024-09-07 09:21:41:743,101500,0.7,101215,0.9,202045,0.8,268484,2.50 59,1,2024-09-07 09:21:40:811,737114,737114,0,0,346938270945,3634536912,726895,8410,1809,369,391653,0 59,2,2024-09-07 09:21:40:599,530083,530083,0,0,24281139,0,3727 59,3,2024-09-07 09:21:41:748,1,420,32,0,1015,5611,420,0 60,0,2024-09-07 09:21:41:707,105303,0.5,105323,0.7,211225,0.5,281304,1.75 60,1,2024-09-07 09:21:40:792,739240,739240,0,0,347012643833,3602983234,734100,4420,720,370,392031,0 60,2,2024-09-07 09:21:41:153,531714,531714,0,0,22821652,0,3811 60,3,2024-09-07 09:21:41:274,1,420,6,0,409,4956,420,0 61,0,2024-09-07 09:21:41:622,108476,0.8,109080,0.9,217213,0.8,289478,2.00 61,1,2024-09-07 09:21:40:790,737588,737588,0,0,345653762823,3623044490,729281,6877,1430,382,392127,0 61,2,2024-09-07 09:21:41:149,531030,530963,67,0,23154839,0,6411 61,3,2024-09-07 09:21:41:688,1,420,2,0,479,5735,420,0 62,0,2024-09-07 09:21:41:720,106918,0.5,109514,0.7,209168,0.5,283616,2.00 62,1,2024-09-07 09:21:41:114,741837,741831,0,6,348746375196,3597029364,738016,3554,261,365,391975,6 62,2,2024-09-07 09:21:41:645,529493,529492,1,0,23313105,0,5555 62,3,2024-09-07 09:21:41:143,1,420,2,0,482,3721,420,0 63,0,2024-09-07 09:21:41:462,102426,0.4,102283,0.5,205000,0.3,272430,1.75 63,1,2024-09-07 09:21:40:807,739616,739610,0,6,346471814449,3598972094,734642,4235,733,381,391800,6 63,2,2024-09-07 09:21:40:760,530971,530971,0,0,21262271,0,4369 63,3,2024-09-07 09:21:41:744,1,420,8,0,667,4399,420,0 64,0,2024-09-07 09:21:41:573,102935,0.5,102823,0.7,205375,0.5,273703,2.00 64,1,2024-09-07 09:21:40:755,738472,738472,0,0,346717695606,3614269004,731795,4974,1703,370,391783,0 64,2,2024-09-07 09:21:41:143,535209,535190,19,0,21165381,0,6121 64,3,2024-09-07 09:21:41:147,1,420,1,0,265,4142,420,0 65,0,2024-09-07 09:21:41:741,108121,0.7,108401,0.8,216496,0.8,288348,2.25 65,1,2024-09-07 09:21:40:877,736964,736964,0,0,345024367777,3607760432,731855,4569,540,382,391901,0 65,2,2024-09-07 09:21:41:730,531399,531399,0,0,24702376,0,3367 65,3,2024-09-07 09:21:41:695,1,420,35,0,782,5056,420,0 66,0,2024-09-07 09:21:41:772,107903,0.5,107746,0.8,215319,0.5,286895,2.25 66,1,2024-09-07 09:21:41:293,738834,738834,0,0,346469879456,3611479757,733337,4972,525,380,391743,0 66,2,2024-09-07 09:21:41:138,532762,532762,0,0,21559098,0,4956 66,3,2024-09-07 09:21:41:080,1,420,0,0,291,3694,420,0 67,0,2024-09-07 09:21:41:425,101179,0.4,100894,0.6,202334,0.4,269180,2.00 67,1,2024-09-07 09:21:40:772,738694,738693,0,1,346572349058,3611756443,733341,4560,792,380,391787,1 67,2,2024-09-07 09:21:40:583,533242,533242,0,0,20739729,0,3622 67,3,2024-09-07 09:21:41:754,1,420,13,0,392,4220,420,0 68,0,2024-09-07 09:21:40:576,102553,0.5,102475,0.7,204105,0.5,273027,2.00 68,1,2024-09-07 09:21:40:609,735879,735879,0,0,345561277276,3635564242,725828,7105,2946,381,391953,0 68,2,2024-09-07 09:21:41:055,529325,529225,100,0,27506215,0,8578 68,3,2024-09-07 09:21:40:729,1,420,8,0,417,4869,420,0 69,0,2024-09-07 09:21:41:792,107763,0.7,108511,0.8,216038,0.8,286716,2.25 69,1,2024-09-07 09:21:41:018,735430,735430,0,0,345105720389,3638311416,725661,7499,2270,384,391994,0 69,2,2024-09-07 09:21:41:758,530100,530071,29,0,28973631,0,6912 69,3,2024-09-07 09:21:40:772,1,420,1,0,698,5998,420,0 70,0,2024-09-07 09:21:41:534,107124,1.2,107190,1.1,215654,1.0,285908,2.75 70,1,2024-09-07 09:21:40:834,739044,739044,0,0,347357836057,3605475616,733068,5315,661,366,391725,0 70,2,2024-09-07 09:21:41:325,530728,530728,0,0,24258751,0,4323 70,3,2024-09-07 09:21:40:750,1,420,10,0,854,4938,420,0 71,0,2024-09-07 09:21:41:391,101433,0.8,101149,1.0,203087,0.9,271608,2.75 71,1,2024-09-07 09:21:41:597,737765,737765,0,0,345993019132,3609991437,729329,7633,803,368,391738,0 71,2,2024-09-07 09:21:41:072,530940,530940,0,0,24197694,0,4352 71,3,2024-09-07 09:21:41:753,1,420,2,0,644,5246,420,0 72,0,2024-09-07 09:21:41:041,105441,0.5,103097,0.7,200986,0.5,273442,2.00 72,1,2024-09-07 09:21:41:032,736607,736607,0,0,345311910225,3624146163,725744,8853,2010,369,391819,0 72,2,2024-09-07 09:21:41:761,529941,529941,0,0,26372727,0,3983 72,3,2024-09-07 09:21:41:756,1,420,1,0,564,6350,420,0 73,0,2024-09-07 09:21:41:150,104163,0.5,106726,0.6,218353,0.4,284336,2.00 73,1,2024-09-07 09:21:40:769,738407,738407,0,0,346293872359,3597753193,733162,4836,409,367,391858,0 73,2,2024-09-07 09:21:41:760,530549,530549,0,0,25837889,0,3701 73,3,2024-09-07 09:21:40:982,1,420,2,0,486,5662,420,0 74,0,2024-09-07 09:21:41:336,109616,0.6,112046,0.7,213741,0.5,290187,2.25 74,1,2024-09-07 09:21:40:635,737250,737250,0,0,345024713418,3604045736,729286,6369,1595,381,391762,0 74,2,2024-09-07 09:21:41:002,530854,530854,0,0,23836712,0,4253 74,3,2024-09-07 09:21:41:447,1,420,1,0,522,5523,420,0 75,0,2024-09-07 09:21:41:768,105093,0.5,104440,0.7,209452,0.5,279491,2.25 75,1,2024-09-07 09:21:41:588,738045,738045,0,0,345734617928,3606978471,731223,5994,828,380,391739,0 75,2,2024-09-07 09:21:41:354,529870,529870,0,0,26026968,0,4766 75,3,2024-09-07 09:21:41:071,1,420,2,0,702,5297,420,0 76,0,2024-09-07 09:21:40:604,101390,0.5,100689,0.7,202099,0.5,269482,2.25 76,1,2024-09-07 09:21:40:813,737747,737747,0,0,345862223174,3609715948,732319,4603,825,382,391692,0 76,2,2024-09-07 09:21:41:062,532873,532872,1,0,23272641,0,5144 76,3,2024-09-07 09:21:41:148,1,420,1,0,175,3878,420,0 77,0,2024-09-07 09:21:41:793,103763,0.6,104321,0.7,208518,0.6,276814,2.00 77,1,2024-09-07 09:21:40:835,738281,738281,0,0,346418497083,3616302541,732471,5309,501,381,391869,0 77,2,2024-09-07 09:21:41:281,531423,531423,0,0,22750787,0,3890 77,3,2024-09-07 09:21:41:100,1,420,1,0,401,4750,420,0 78,0,2024-09-07 09:21:41:722,109048,0.5,108444,0.7,217708,0.4,288324,2.00 78,1,2024-09-07 09:21:40:611,738390,738390,0,0,345015889135,3596438792,730525,6298,1567,367,391670,0 78,2,2024-09-07 09:21:41:408,531859,531846,13,0,21443466,0,8313 78,3,2024-09-07 09:21:41:137,1,420,2,0,181,3705,420,0 79,0,2024-09-07 09:21:41:366,103575,0.4,106027,0.6,217167,0.4,282250,2.25 79,1,2024-09-07 09:21:40:576,740314,740314,0,0,346493778651,3593526149,733743,5262,1309,367,391682,0 79,2,2024-09-07 09:21:41:073,531949,531949,0,0,21056386,0,4195 79,3,2024-09-07 09:21:40:757,1,420,6,0,418,5359,420,0 80,0,2024-09-07 09:21:41:200,101546,0.5,104471,0.6,199930,0.5,270306,2.00 80,1,2024-09-07 09:21:41:646,737924,737924,0,0,346549003261,3611638372,732373,5130,421,368,392269,0 80,2,2024-09-07 09:21:41:104,533004,533004,0,0,21321054,0,4433 80,3,2024-09-07 09:21:40:596,1,420,2,0,190,4970,420,0 81,0,2024-09-07 09:21:41:659,102488,0.5,104973,0.7,200452,0.5,272251,2.00 81,1,2024-09-07 09:21:41:675,737131,737131,0,0,345908005777,3616120495,731131,5460,540,382,391879,0 81,2,2024-09-07 09:21:41:135,530839,530776,63,0,23700299,0,5932 81,3,2024-09-07 09:21:41:127,1,420,7,0,719,5075,420,0 82,0,2024-09-07 09:21:41:537,109084,0.5,109260,0.7,219264,0.5,291372,2.00 82,1,2024-09-07 09:21:40:588,739234,739230,0,4,346823496337,3609538817,734534,3877,819,381,391768,4 82,2,2024-09-07 09:21:41:693,532163,532163,0,0,20150932,0,4484 82,3,2024-09-07 09:21:41:759,1,420,0,0,363,4576,420,0 83,0,2024-09-07 09:21:41:533,107964,0.7,107961,0.8,215277,0.7,286469,2.25 83,1,2024-09-07 09:21:40:559,737307,737307,0,0,345833662289,3608656814,731781,5076,450,382,391709,0 83,2,2024-09-07 09:21:40:767,531046,531046,0,0,20863774,0,3393 83,3,2024-09-07 09:21:40:758,1,420,1,0,1260,5501,420,0 84,0,2024-09-07 09:21:41:788,101305,0.6,101313,0.8,201930,0.5,270497,2.25 84,1,2024-09-07 09:21:41:055,736782,736782,0,0,345643515886,3611601130,729112,6628,1042,367,391967,0 84,2,2024-09-07 09:21:40:577,530498,530468,30,0,27878522,0,5971 84,3,2024-09-07 09:21:41:149,1,420,34,0,908,6394,420,0 85,0,2024-09-07 09:21:41:009,98351,0.6,98305,0.8,208678,0.5,269839,2.25 85,1,2024-09-07 09:21:40:558,735230,735230,0,0,344901956946,3639690496,724428,8883,1919,381,392006,0 85,2,2024-09-07 09:21:40:878,530304,530304,0,0,25828334,0,3656 85,3,2024-09-07 09:21:40:698,1,420,2,0,789,5202,420,0 86,0,2024-09-07 09:21:40:952,107412,0.6,110474,0.8,211583,0.7,286468,2.25 86,1,2024-09-07 09:21:40:824,737308,737308,0,0,346248050200,3626693585,728643,7224,1441,366,391961,0 86,2,2024-09-07 09:21:40:862,528763,528762,1,0,27941515,0,5004 86,3,2024-09-07 09:21:40:602,1,420,3,0,308,6042,420,0 87,0,2024-09-07 09:21:41:337,108101,1.0,107995,0.9,216108,1.4,288591,2.50 87,1,2024-09-07 09:21:40:584,736042,736042,0,0,345811146025,3626026123,726096,8354,1592,366,392076,0 87,2,2024-09-07 09:21:41:073,530035,530029,6,0,24824034,0,6323 87,3,2024-09-07 09:21:41:797,1,420,1,0,473,6669,420,0 88,0,2024-09-07 09:21:41:443,103501,0.4,104181,0.6,207377,0.4,275871,1.75 88,1,2024-09-07 09:21:40:574,735780,735780,0,0,346145491568,3627018003,726079,7694,2007,365,392084,0 88,2,2024-09-07 09:21:40:700,531421,531421,0,0,26827012,0,4465 88,3,2024-09-07 09:21:41:267,1,420,1,0,435,4792,420,0 89,0,2024-09-07 09:21:41:842,104732,0.4,101420,0.6,200625,0.4,272227,1.75 89,1,2024-09-07 09:21:40:551,735716,735716,0,0,345287465157,3630574823,726549,7741,1426,382,391866,0 89,2,2024-09-07 09:21:41:131,529658,529658,0,0,25214588,0,3173 89,3,2024-09-07 09:21:41:792,1,420,3,0,468,7454,420,0 90,0,2024-09-07 09:21:41:636,102291,0.5,105034,0.6,214293,0.4,280351,2.00 90,1,2024-09-07 09:21:40:598,736811,736811,0,0,346412075851,3626897841,730045,6255,511,380,391825,0 90,2,2024-09-07 09:21:41:425,529687,529687,0,0,26705734,0,3060 90,3,2024-09-07 09:21:40:950,1,420,3,0,322,5239,420,0 91,0,2024-09-07 09:21:40:960,109304,0.5,105802,0.7,221148,0.5,290194,2.00 91,1,2024-09-07 09:21:40:556,735769,735769,0,0,345081991376,3629231206,726519,7848,1402,381,392047,0 91,2,2024-09-07 09:21:41:339,532142,532142,0,0,23701256,0,2896 91,3,2024-09-07 09:21:40:599,1,420,4,0,216,4193,420,0 92,0,2024-09-07 09:21:41:518,107263,0.5,110010,0.6,209981,0.5,284012,1.75 92,1,2024-09-07 09:21:40:608,737370,737370,0,0,345591907223,3610048944,731838,4807,725,381,392136,0 92,2,2024-09-07 09:21:41:359,532243,532243,0,0,21395782,0,3259 92,3,2024-09-07 09:21:41:016,1,420,2,0,167,3760,420,0 93,0,2024-09-07 09:21:40:960,102880,0.4,105439,0.5,201164,0.3,272577,1.75 93,1,2024-09-07 09:21:40:813,737116,737116,0,0,346561942365,3619548148,728987,6746,1383,366,391776,0 93,2,2024-09-07 09:21:40:937,530233,530233,0,0,25982384,0,4845 93,3,2024-09-07 09:21:41:413,1,420,4,0,190,3753,420,0 94,0,2024-09-07 09:21:41:647,102876,0.4,103830,0.5,207157,0.3,275363,1.75 94,1,2024-09-07 09:21:40:563,738014,738014,0,0,346313573736,3613557032,733230,4574,210,381,391850,0 94,2,2024-09-07 09:21:40:773,531346,531346,0,0,21706226,0,2443 94,3,2024-09-07 09:21:41:692,1,420,1,0,576,5650,420,0 95,0,2024-09-07 09:21:41:377,109064,0.4,108900,0.5,218407,0.3,290874,1.75 95,1,2024-09-07 09:21:40:853,738541,738541,0,0,346642452574,3604429009,732496,5609,436,365,391786,0 95,2,2024-09-07 09:21:41:017,530826,530826,0,0,21477768,0,3308 95,3,2024-09-07 09:21:41:719,1,420,11,0,718,6151,420,0 96,0,2024-09-07 09:21:41:026,108349,0.4,108740,0.5,216912,0.3,287990,1.75 96,1,2024-09-07 09:21:41:594,737682,737682,0,0,345962335669,3611809167,732141,4658,883,384,391955,0 96,2,2024-09-07 09:21:41:276,531530,531530,0,0,22460321,0,4180 96,3,2024-09-07 09:21:41:149,1,420,1,0,411,4764,420,0 97,0,2024-09-07 09:21:41:316,101189,0.3,100818,0.5,202264,0.2,269041,1.50 97,1,2024-09-07 09:21:40:763,739164,739164,0,0,347213471318,3604060201,734020,4212,932,367,392140,0 97,2,2024-09-07 09:21:40:614,531681,531681,0,0,21577485,0,3679 97,3,2024-09-07 09:21:40:580,1,420,2,0,242,5094,420,0 98,0,2024-09-07 09:21:41:749,102272,0.3,102249,0.4,205234,0.2,273234,1.50 98,1,2024-09-07 09:21:40:581,737880,737880,0,0,346740495561,3614124887,732930,4160,790,382,391997,0 98,2,2024-09-07 09:21:40:773,531702,531702,0,0,21798851,0,4336 98,3,2024-09-07 09:21:40:699,1,420,1,0,840,7129,420,0 99,0,2024-09-07 09:21:41:537,108206,0.3,108999,0.5,216444,0.3,289365,1.75 99,1,2024-09-07 09:21:41:723,738576,738576,0,0,346570658092,3608329167,733634,4105,837,381,392069,0 99,2,2024-09-07 09:21:41:433,532051,532051,0,0,25596026,0,4276 99,3,2024-09-07 09:21:40:581,1,420,1,0,606,4680,420,0 100,0,2024-09-07 09:21:41:477,107973,0.9,108188,1.0,215437,1.0,288461,2.50 100,1,2024-09-07 09:21:40:561,734347,734347,0,0,344156331603,3635747431,723647,8655,2045,381,391989,0 100,2,2024-09-07 09:21:41:819,528883,528872,11,0,24888071,0,5417 100,3,2024-09-07 09:21:41:733,1,420,18,0,559,6877,420,0 101,0,2024-09-07 09:21:41:787,104552,0.9,101705,1.0,199894,0.7,273682,2.25 101,1,2024-09-07 09:21:40:554,734869,734869,0,0,344477005635,3624765196,724201,8545,2123,368,391847,0 101,2,2024-09-07 09:21:41:759,528020,528020,0,0,29723041,0,4871 101,3,2024-09-07 09:21:40:955,1,420,3,0,579,5866,420,0 102,0,2024-09-07 09:21:40:998,99402,0.6,102553,0.7,207796,0.6,272279,2.00 102,1,2024-09-07 09:21:41:150,735441,735441,0,0,345286950704,3629243209,725568,8111,1762,369,391891,0 102,2,2024-09-07 09:21:41:742,531256,531202,54,0,23907803,0,6768 102,3,2024-09-07 09:21:41:620,1,420,7,0,466,4674,420,0 103,0,2024-09-07 09:21:41:610,110381,0.6,110357,0.7,207897,0.6,286602,2.00 103,1,2024-09-07 09:21:41:637,734573,734573,0,0,345130113867,3645762172,722603,8904,3066,381,391829,0 103,2,2024-09-07 09:21:40:602,529345,529345,0,0,26515031,0,3766 103,3,2024-09-07 09:21:40:758,1,420,1,0,916,4683,420,0 104,0,2024-09-07 09:21:41:022,107741,0.8,108097,1.0,215020,0.8,288302,2.50 104,1,2024-09-07 09:21:41:604,736711,736711,0,0,346098009015,3636038741,725874,8975,1862,365,392168,0 104,2,2024-09-07 09:21:41:669,530135,530135,0,0,25430948,0,3941 104,3,2024-09-07 09:21:41:420,1,420,1,0,1245,8504,420,0 105,0,2024-09-07 09:21:41:030,103439,0.8,100741,1.1,211141,0.9,277556,2.50 105,1,2024-09-07 09:21:40:557,737278,737278,0,0,346504202230,3631245562,727850,8004,1424,366,392009,0 105,2,2024-09-07 09:21:41:326,529839,529839,0,0,25200618,0,3509 105,3,2024-09-07 09:21:41:304,1,420,1,0,399,6540,420,0 106,0,2024-09-07 09:21:40:973,98328,0.7,100701,0.9,206299,0.7,269216,2.50 106,1,2024-09-07 09:21:41:800,735951,735951,0,0,345461305058,3628288510,724934,9570,1447,369,391914,0 106,2,2024-09-07 09:21:40:764,529634,529634,0,0,24552555,0,2920 106,3,2024-09-07 09:21:40:681,1,420,1,0,470,5447,420,0 107,0,2024-09-07 09:21:41:123,103984,0.9,104318,0.9,208150,1.1,277903,2.25 107,1,2024-09-07 09:21:40:603,735190,735190,0,0,345261196430,3639267544,725030,9110,1050,381,392234,0 107,2,2024-09-07 09:21:41:293,529840,529839,1,0,25496491,0,5024 107,3,2024-09-07 09:21:41:761,1,420,0,0,370,6423,420,0 108,0,2024-09-07 09:21:41:807,108457,0.5,109298,0.6,217305,0.5,289510,1.75 108,1,2024-09-07 09:21:41:303,737700,737700,0,0,346466301289,3614502861,731560,5396,744,368,391857,0 108,2,2024-09-07 09:21:41:756,530126,530126,0,0,24139769,0,4246 108,3,2024-09-07 09:21:41:340,1,420,1,0,749,7945,420,0 109,0,2024-09-07 09:21:41:779,107962,0.4,107091,0.6,214189,0.4,286431,1.75 109,1,2024-09-07 09:21:40:611,734982,734982,0,0,345533403023,3628623270,727585,6212,1185,382,392132,0 109,2,2024-09-07 09:21:40:924,528787,528787,0,0,23673140,0,3617 109,3,2024-09-07 09:21:41:149,1,420,8,0,379,4962,420,0 110,0,2024-09-07 09:21:41:818,101446,0.3,98824,0.5,207050,0.3,271012,1.75 110,1,2024-09-07 09:21:41:662,738950,738950,0,0,346970581051,3602685635,733560,4212,1178,369,392045,0 110,2,2024-09-07 09:21:41:315,531198,531198,0,0,22591711,0,4067 110,3,2024-09-07 09:21:40:697,1,420,30,0,722,6068,420,0 111,0,2024-09-07 09:21:41:437,102945,0.4,102167,0.5,204939,0.3,273668,1.75 111,1,2024-09-07 09:21:41:008,739069,739069,0,0,347482269359,3604788473,735187,3527,355,380,391690,0 111,2,2024-09-07 09:21:41:116,531349,531349,0,0,22356115,0,4823 111,3,2024-09-07 09:21:40:917,1,420,8,0,379,5049,420,0 112,0,2024-09-07 09:21:40:942,109984,0.3,109589,0.4,219385,0.2,292352,1.50 112,1,2024-09-07 09:21:40:828,739312,739312,0,0,346492614696,3597780608,734599,4100,613,380,391624,0 112,2,2024-09-07 09:21:41:144,531096,531095,1,0,21618191,0,5036 112,3,2024-09-07 09:21:40:597,1,420,4,0,282,4208,420,0 113,0,2024-09-07 09:21:40:899,108016,0.3,108125,0.5,216594,0.3,288461,1.75 113,1,2024-09-07 09:21:41:684,741110,741110,0,0,347529484607,3586634939,737222,3299,589,366,391661,0 113,2,2024-09-07 09:21:41:311,532507,532507,0,0,19923439,0,3813 113,3,2024-09-07 09:21:40:687,1,420,1,0,340,4724,420,0 114,0,2024-09-07 09:21:40:887,102281,0.3,102857,0.5,204512,0.2,273090,1.75 114,1,2024-09-07 09:21:40:724,738365,738365,0,0,347205086968,3608886392,732086,4663,1616,381,391565,0 114,2,2024-09-07 09:21:40:878,532250,532249,1,0,21010210,0,5069 114,3,2024-09-07 09:21:41:278,1,420,1,0,395,3645,420,0 115,0,2024-09-07 09:21:40:597,102121,0.3,102769,0.4,204907,0.2,272426,1.50 115,1,2024-09-07 09:21:40:574,739043,739043,0,0,347593996927,3614726243,732553,5223,1267,382,391757,0 115,2,2024-09-07 09:21:41:128,532798,532798,0,0,20836332,0,4382 115,3,2024-09-07 09:21:41:007,1,420,1,0,159,2389,420,0 116,0,2024-09-07 09:21:41:727,107125,0.7,107116,0.8,214991,0.8,287597,2.00 116,1,2024-09-07 09:21:40:814,735247,735247,0,0,345136707082,3636598640,726642,6280,2325,380,392089,0 116,2,2024-09-07 09:21:41:752,530081,530081,0,0,26308529,0,4128 116,3,2024-09-07 09:21:40:916,1,420,3,0,415,4984,420,0 117,0,2024-09-07 09:21:40:964,108607,0.8,108038,0.8,216401,1.0,288958,2.00 117,1,2024-09-07 09:21:41:584,736596,736596,0,0,344745122620,3608480013,728852,6831,913,369,392033,0 117,2,2024-09-07 09:21:41:119,533555,533555,0,0,22579362,0,4303 117,3,2024-09-07 09:21:41:068,1,420,1,0,490,6480,420,0 118,0,2024-09-07 09:21:41:775,100560,0.5,103088,0.7,210569,0.4,274822,2.00 118,1,2024-09-07 09:21:40:597,736626,736626,0,0,344980653312,3631250215,724730,8897,2999,366,391907,0 118,2,2024-09-07 09:21:41:593,530472,530472,0,0,24801065,0,2842 118,3,2024-09-07 09:21:41:766,1,420,0,0,248,4821,420,0 119,0,2024-09-07 09:21:41:333,101463,0.5,101873,0.7,203700,0.5,270574,2.00 119,1,2024-09-07 09:21:40:548,736826,736826,0,0,346157894765,3623211237,728348,7362,1116,367,391780,0 119,2,2024-09-07 09:21:41:271,531434,531434,0,0,23316517,0,4174 119,3,2024-09-07 09:21:41:326,1,420,1,0,1358,8443,420,0 120,0,2024-09-07 09:21:41:574,104694,0.7,104504,0.8,209739,0.7,280613,2.25 120,1,2024-09-07 09:21:40:873,737074,737074,0,0,345043077718,3622503249,728710,7595,769,368,391961,0 120,2,2024-09-07 09:21:40:771,530764,530763,1,0,26636501,0,5281 120,3,2024-09-07 09:21:41:290,1,420,6,0,279,5322,420,0 121,0,2024-09-07 09:21:41:689,108606,1.5,108290,1.1,217419,2.1,289455,2.50 121,1,2024-09-07 09:21:41:658,737451,737451,0,0,345760954328,3614986259,730737,6255,459,367,391840,0 121,2,2024-09-07 09:21:41:125,530242,530242,0,0,25626337,0,4127 121,3,2024-09-07 09:21:40:745,1,420,2,0,269,4714,420,0 122,0,2024-09-07 09:21:41:803,105843,0.8,103274,0.9,216570,0.8,283776,2.00 122,1,2024-09-07 09:21:40:880,735807,735807,0,0,345270855295,3624920962,725374,8830,1603,366,392130,0 122,2,2024-09-07 09:21:41:319,530828,530755,73,0,28381123,0,5989 122,3,2024-09-07 09:21:40:605,1,420,0,0,512,7667,420,0 123,0,2024-09-07 09:21:40:962,101768,0.6,99352,0.7,207850,0.6,271927,2.00 123,1,2024-09-07 09:21:40:576,735759,735759,0,0,345400589205,3640893599,722219,11100,2440,369,392039,0 123,2,2024-09-07 09:21:41:022,529246,529245,1,0,23977114,0,5215 123,3,2024-09-07 09:21:41:137,1,420,2,0,478,4969,420,0 124,0,2024-09-07 09:21:40:950,106367,0.3,106408,0.5,200539,0.3,276005,1.75 124,1,2024-09-07 09:21:41:037,738568,738568,0,0,346070391090,3598482162,733292,4227,1049,367,392178,0 124,2,2024-09-07 09:21:41:013,532551,532498,53,0,21928932,0,6487 124,3,2024-09-07 09:21:40:758,1,420,6,0,490,4108,420,0 125,0,2024-09-07 09:21:41:427,109077,0.4,108857,0.6,218657,0.4,290922,1.75 125,1,2024-09-07 09:21:40:858,736821,736821,0,0,345621955542,3610463808,730916,5235,670,382,391702,0 125,2,2024-09-07 09:21:41:115,532212,532212,0,0,22662888,0,4534 125,3,2024-09-07 09:21:41:126,1,420,1,0,709,5367,420,0 126,0,2024-09-07 09:21:41:456,108282,0.4,111461,0.6,213224,0.4,288664,1.75 126,1,2024-09-07 09:21:40:567,739480,739480,0,0,347531158653,3600527732,735481,3706,293,365,391987,0 126,2,2024-09-07 09:21:40:612,531904,531904,0,0,23382372,0,4539 126,3,2024-09-07 09:21:40:915,1,420,4,0,268,5260,420,0 127,0,2024-09-07 09:21:41:617,101107,0.3,101462,0.5,202495,0.2,269096,1.75 127,1,2024-09-07 09:21:40:579,738785,738785,0,0,346370336049,3604368168,731448,6118,1219,364,392187,0 127,2,2024-09-07 09:21:40:637,530532,530532,0,0,21131999,0,3897 127,3,2024-09-07 09:21:41:271,1,420,1,0,968,4610,420,0 128,0,2024-09-07 09:21:41:535,102779,0.3,103020,0.4,205547,0.2,273624,1.50 128,1,2024-09-07 09:21:41:608,738294,738294,0,0,347372212298,3607404747,733394,4467,433,367,392031,0 128,2,2024-09-07 09:21:41:389,532631,532631,0,0,20582509,0,3171 128,3,2024-09-07 09:21:40:768,1,420,2,0,1082,6635,420,0 129,0,2024-09-07 09:21:41:001,109220,0.3,108578,0.5,217698,0.3,289741,1.75 129,1,2024-09-07 09:21:40:588,735531,735531,0,0,345388815066,3621622549,727864,5997,1670,379,391962,0 129,2,2024-09-07 09:21:40:686,532000,532000,0,0,21959813,0,4031 129,3,2024-09-07 09:21:40:699,1,420,4,0,506,5848,420,0 130,0,2024-09-07 09:21:41:733,108924,0.5,108347,0.6,218098,0.6,289873,1.75 130,1,2024-09-07 09:21:40:590,738586,738586,0,0,346406326884,3601087303,734551,3696,339,381,391825,0 130,2,2024-09-07 09:21:41:130,532353,532353,0,0,22408827,0,4067 130,3,2024-09-07 09:21:41:290,1,420,1,0,960,6705,420,0 131,0,2024-09-07 09:21:41:932,102188,0.3,102704,0.5,206437,0.3,273528,1.75 131,1,2024-09-07 09:21:41:822,738141,738141,0,0,346063508681,3616592539,732180,4913,1048,381,391865,0 131,2,2024-09-07 09:21:40:581,533728,533728,0,0,20628537,0,3979 131,3,2024-09-07 09:21:41:696,1,420,3,0,392,4807,420,0 132,0,2024-09-07 09:21:41:425,102116,0.4,103086,0.6,205023,0.4,273079,1.75 132,1,2024-09-07 09:21:40:585,734720,734720,0,0,344569042616,3630120426,723599,9016,2105,381,392097,0 132,2,2024-09-07 09:21:40:699,530347,530330,17,0,26781074,0,6451 132,3,2024-09-07 09:21:41:693,1,420,0,0,804,7472,420,0 133,0,2024-09-07 09:21:41:517,104096,0.4,106475,0.6,218601,0.4,284788,1.75 133,1,2024-09-07 09:21:41:012,734799,734799,0,0,345033725522,3638177192,724256,9028,1515,383,391914,0 133,2,2024-09-07 09:21:41:100,530109,530059,50,0,28159984,0,6861 133,3,2024-09-07 09:21:41:298,1,420,82,0,479,4297,420,0 134,0,2024-09-07 09:21:40:958,109310,0.6,108879,0.8,217895,0.6,290599,2.25 134,1,2024-09-07 09:21:40:594,736147,736147,0,0,345559602483,3625387019,726363,7749,2035,366,391718,0 134,2,2024-09-07 09:21:41:758,529641,529617,24,0,25931889,0,6207 134,3,2024-09-07 09:21:40:759,1,420,1,0,739,5423,420,0 135,0,2024-09-07 09:21:41:127,101385,0.8,101403,0.9,215180,0.9,277084,2.25 135,1,2024-09-07 09:21:41:588,736164,736164,0,0,345912522807,3640051542,726211,8582,1371,380,391805,0 135,2,2024-09-07 09:21:40:691,531169,531169,0,0,25034031,0,3981 135,3,2024-09-07 09:21:41:002,1,420,1,0,299,3509,420,0 136,0,2024-09-07 09:21:41:622,102179,0.5,102396,0.7,203610,0.5,271236,2.00 136,1,2024-09-07 09:21:41:462,736677,736677,0,0,345915254971,3628609347,728109,7606,962,381,391685,0 136,2,2024-09-07 09:21:41:139,532023,532023,0,0,23969697,0,3506 136,3,2024-09-07 09:21:41:107,1,420,0,0,637,4924,420,0 137,0,2024-09-07 09:21:40:958,107380,0.6,104585,0.7,205273,0.6,279011,2.00 137,1,2024-09-07 09:21:40:590,735357,735357,0,0,345008455665,3621547951,723843,9155,2359,366,391898,0 137,2,2024-09-07 09:21:41:735,530188,530188,0,0,26619671,0,3185 137,3,2024-09-07 09:21:40:776,1,420,1,0,484,5214,420,0 138,0,2024-09-07 09:21:41:746,108290,1.0,108264,1.0,217303,1.3,288345,2.25 138,1,2024-09-07 09:21:41:686,736546,736546,0,0,345541223453,3625033044,726306,8519,1721,368,391954,0 138,2,2024-09-07 09:21:40:585,530553,530553,0,0,24235696,0,4988 138,3,2024-09-07 09:21:40:611,1,420,1,0,1160,5634,420,0 139,0,2024-09-07 09:21:41:457,106413,1.6,106485,1.1,213414,2.3,284938,2.50 139,1,2024-09-07 09:21:40:582,732713,732713,0,0,343508906904,3651590903,718351,11057,3305,380,392058,0 139,2,2024-09-07 09:21:40:699,526810,526810,0,0,27616172,0,3097 139,3,2024-09-07 09:21:41:668,1,420,1,0,432,4997,420,0 140,0,2024-09-07 09:21:41:598,101999,0.3,101474,0.5,203658,0.2,271365,1.75 140,1,2024-09-07 09:21:41:545,740613,740613,0,0,347506316370,3583733028,737049,3123,441,365,391606,0 140,2,2024-09-07 09:21:40:688,531674,531673,1,0,21352055,0,5036 140,3,2024-09-07 09:21:40:778,1,420,0,0,297,3570,420,0 141,0,2024-09-07 09:21:41:699,102809,0.3,105559,0.5,201684,0.3,273506,1.75 141,1,2024-09-07 09:21:40:877,738998,738998,0,0,347454594523,3610043034,733313,4728,957,379,391614,0 141,2,2024-09-07 09:21:41:686,532418,532418,0,0,21123470,0,3360 141,3,2024-09-07 09:21:41:053,1,420,1,0,391,4892,420,0 142,0,2024-09-07 09:21:41:310,110120,0.3,109487,0.5,218883,0.3,292978,1.75 142,1,2024-09-07 09:21:40:590,738214,738214,0,0,346324996745,3610832205,733529,4288,397,382,392102,0 142,2,2024-09-07 09:21:41:299,530601,530569,32,0,23227717,0,6028 142,3,2024-09-07 09:21:41:746,1,420,0,0,484,4798,420,0 143,0,2024-09-07 09:21:41:410,108262,0.5,108328,0.6,216909,0.5,288481,1.75 143,1,2024-09-07 09:21:40:560,739559,739559,0,0,347162321121,3604212146,734518,4497,544,367,391705,0 143,2,2024-09-07 09:21:40:769,531178,531178,0,0,22214544,0,3123 143,3,2024-09-07 09:21:41:151,1,420,1,0,462,5293,420,0 144,0,2024-09-07 09:21:41:569,98742,0.6,101479,0.8,206870,0.5,270949,2.00 144,1,2024-09-07 09:21:40:656,735468,735468,0,0,344785145831,3621447544,727758,5876,1834,381,391649,0 144,2,2024-09-07 09:21:41:763,532545,532545,0,0,21094995,0,3673 144,3,2024-09-07 09:21:41:756,1,420,6,0,249,4342,420,0 145,0,2024-09-07 09:21:41:570,98717,0.5,98684,0.7,209478,0.4,269518,2.25 145,1,2024-09-07 09:21:40:560,735371,735371,0,0,344892341346,3628362361,725754,7955,1662,382,391759,0 145,2,2024-09-07 09:21:41:442,529421,529340,81,0,25836413,0,7814 145,3,2024-09-07 09:21:40:907,1,420,0,0,622,6130,420,0 146,0,2024-09-07 09:21:41:631,107497,0.6,106811,0.8,215506,0.6,286041,2.25 146,1,2024-09-07 09:21:41:588,736770,736770,0,0,345529523615,3628598170,725823,8827,2120,367,391770,0 146,2,2024-09-07 09:21:41:702,529450,529450,0,0,24627915,0,3290 146,3,2024-09-07 09:21:41:274,1,420,2,0,1520,7994,420,0 147,0,2024-09-07 09:21:41:722,108432,0.7,108363,0.8,215863,0.7,288469,2.25 147,1,2024-09-07 09:21:41:382,739671,739671,0,0,346460728334,3604881419,733035,5791,845,368,391791,0 147,2,2024-09-07 09:21:41:013,531001,531001,0,0,22272681,0,2968 147,3,2024-09-07 09:21:40:924,1,420,3,0,1626,7357,420,0 0,0,2024-09-07 09:21:51:723,102839,0.6,102897,0.8,218147,0.7,282569,2.00 0,1,2024-09-07 09:21:50:918,738682,738682,0,0,346508537037,3627550822,733236,5058,388,369,391896,0 0,2,2024-09-07 09:21:51:067,533453,533453,0,0,21704245,0,4480 0,3,2024-09-07 09:21:50:985,1,421,0,0,431,6324,421,0 1,0,2024-09-07 09:21:51:775,108937,1.2,108191,1.1,217063,1.7,290160,2.25 1,1,2024-09-07 09:21:50:576,738173,738173,0,0,345817526385,3621052009,731744,5103,1326,370,391859,0 1,2,2024-09-07 09:21:50:642,531595,531595,0,0,22039058,0,3380 1,3,2024-09-07 09:21:51:310,1,421,0,0,268,5202,421,0 2,0,2024-09-07 09:21:51:570,106076,0.6,106397,0.8,211868,0.7,282494,2.00 2,1,2024-09-07 09:21:50:860,740632,740632,0,0,347526174688,3608002541,737345,2992,295,380,391745,0 2,2,2024-09-07 09:21:51:268,532887,532887,0,0,20646020,0,3594 2,3,2024-09-07 09:21:50:706,1,421,0,0,357,4140,421,0 3,0,2024-09-07 09:21:51:767,102325,0.4,102086,0.6,204147,0.3,271559,2.00 3,1,2024-09-07 09:21:51:619,739272,739272,0,0,346464282457,3609579308,733052,5530,690,380,391716,0 3,2,2024-09-07 09:21:51:170,532459,532436,23,0,21790776,0,5851 3,3,2024-09-07 09:21:51:767,1,421,2,0,207,2834,421,0 4,0,2024-09-07 09:21:51:778,100579,0.4,103262,0.5,210611,0.3,276021,1.75 4,1,2024-09-07 09:21:50:628,736778,736778,0,0,344933506786,3646987417,724968,9303,2507,370,391992,0 4,2,2024-09-07 09:21:51:053,530978,530978,0,0,24800066,0,4528 4,3,2024-09-07 09:21:51:073,1,421,14,0,448,5524,421,0 5,0,2024-09-07 09:21:51:517,109026,0.4,109598,0.6,218773,0.4,290374,1.75 5,1,2024-09-07 09:21:50:782,737853,737853,0,0,346171661564,3644869375,727436,8260,2157,367,392005,0 5,2,2024-09-07 09:21:51:855,529923,529923,0,0,24614304,0,3582 5,3,2024-09-07 09:21:51:739,1,421,1,0,457,5976,421,0 6,0,2024-09-07 09:21:50:930,108678,0.5,108322,0.7,216412,0.4,288687,2.00 6,1,2024-09-07 09:21:50:755,738375,738375,0,0,346649623010,3623622465,730170,6813,1392,379,391694,0 6,2,2024-09-07 09:21:51:121,531445,531427,18,0,24593292,0,5535 6,3,2024-09-07 09:21:51:278,1,421,1,0,710,5343,421,0 7,0,2024-09-07 09:21:51:544,100687,0.5,101399,0.6,201920,0.4,268945,2.00 7,1,2024-09-07 09:21:50:855,737935,737935,0,0,346175215128,3632160354,728167,8305,1463,382,391747,0 7,2,2024-09-07 09:21:50:775,533060,533060,0,0,23835974,0,4791 7,3,2024-09-07 09:21:50:853,1,421,9,0,552,4911,421,0 8,0,2024-09-07 09:21:51:357,103015,0.4,102668,0.5,205758,0.3,274390,1.75 8,1,2024-09-07 09:21:51:045,736769,736769,0,0,345660036993,3635849165,725245,8895,2629,366,392853,0 8,2,2024-09-07 09:21:50:829,528783,528783,0,0,28022360,0,3250 8,3,2024-09-07 09:21:50:598,1,421,1,0,538,6980,421,0 9,0,2024-09-07 09:21:51:191,108895,0.4,105770,0.5,221371,0.3,290548,1.75 9,1,2024-09-07 09:21:50:564,736406,736406,0,0,345894345522,3644400638,725354,8631,2421,369,392001,0 9,2,2024-09-07 09:21:51:111,531215,531215,0,0,24913099,0,3360 9,3,2024-09-07 09:21:51:775,1,421,1,0,496,6045,421,0 10,0,2024-09-07 09:21:51:624,108524,0.4,107926,0.5,216849,0.3,288765,1.75 10,1,2024-09-07 09:21:50:591,738155,738155,0,0,346515421236,3633499002,727773,8759,1623,381,391741,0 10,2,2024-09-07 09:21:50:769,531573,531573,0,0,27220023,0,4264 10,3,2024-09-07 09:21:50:873,1,421,1,0,649,4574,421,0 11,0,2024-09-07 09:21:51:009,102389,0.4,99313,0.6,207773,0.4,273901,1.75 11,1,2024-09-07 09:21:50:580,738607,738607,0,0,347209076867,3643579097,727280,8701,2626,383,391756,0 11,2,2024-09-07 09:21:51:123,532190,532190,0,0,24139516,0,4130 11,3,2024-09-07 09:21:51:303,1,421,2,0,843,6199,421,0 12,0,2024-09-07 09:21:51:051,103076,0.4,103113,0.5,206394,0.3,273785,1.75 12,1,2024-09-07 09:21:50:970,738590,738590,0,0,345555798770,3603338146,733041,5048,501,370,391870,0 12,2,2024-09-07 09:21:51:561,532526,532526,0,0,23338082,0,3469 12,3,2024-09-07 09:21:51:068,1,421,3,0,386,6340,421,0 13,0,2024-09-07 09:21:51:356,108118,0.4,107677,0.6,215021,0.4,286870,1.75 13,1,2024-09-07 09:21:51:534,737313,737313,0,0,345614009407,3634686417,729703,5979,1631,382,391740,0 13,2,2024-09-07 09:21:50:602,533681,533681,0,0,21670481,0,3287 13,3,2024-09-07 09:21:51:778,1,421,1,0,522,6233,421,0 14,0,2024-09-07 09:21:50:577,108765,0.5,109814,0.7,217212,0.4,289758,2.00 14,1,2024-09-07 09:21:51:582,742248,742248,0,0,348181171552,3607545450,736029,5511,708,364,391673,0 14,2,2024-09-07 09:21:50:768,532593,532563,30,0,23677554,0,6104 14,3,2024-09-07 09:21:51:115,1,421,2,0,1168,4725,421,0 15,0,2024-09-07 09:21:51:555,104537,0.4,104397,0.6,209151,0.4,278109,2.00 15,1,2024-09-07 09:21:51:620,739758,739758,0,0,347120181827,3616748292,733535,5045,1178,381,391619,0 15,2,2024-09-07 09:21:51:023,534515,534515,0,0,19393218,0,3622 15,3,2024-09-07 09:21:51:417,1,421,2,0,1126,6952,421,0 16,0,2024-09-07 09:21:51:059,101997,0.5,102320,0.7,204171,0.5,271273,2.00 16,1,2024-09-07 09:21:50:566,739286,739286,0,0,347150953962,3630977592,732688,5485,1113,370,391917,0 16,2,2024-09-07 09:21:51:447,531781,531781,0,0,23307814,0,4719 16,3,2024-09-07 09:21:51:176,1,421,3,0,317,5378,421,0 17,0,2024-09-07 09:21:51:811,107845,0.6,105186,0.8,205852,0.7,280056,2.00 17,1,2024-09-07 09:21:50:585,737794,737794,0,0,345460145785,3626388075,730151,6130,1513,368,392075,0 17,2,2024-09-07 09:21:51:676,534500,534500,0,0,23118087,0,3779 17,3,2024-09-07 09:21:50:579,1,421,9,0,518,6813,421,0 18,0,2024-09-07 09:21:50:973,107686,0.7,108252,0.9,215697,0.8,287957,2.25 18,1,2024-09-07 09:21:51:639,740874,740874,0,0,347529596615,3602733646,736874,3621,379,367,391725,0 18,2,2024-09-07 09:21:51:775,534738,534738,0,0,20510274,0,3541 18,3,2024-09-07 09:21:50:897,1,421,0,0,163,3264,421,0 19,0,2024-09-07 09:21:51:541,106866,0.6,107414,0.8,213135,0.6,283517,2.25 19,1,2024-09-07 09:21:50:582,741375,741375,0,0,347737382749,3608145163,735429,5025,921,367,391777,0 19,2,2024-09-07 09:21:51:762,534494,534494,0,0,19424553,0,3988 19,3,2024-09-07 09:21:51:129,1,421,1,0,524,3448,421,0 20,0,2024-09-07 09:21:51:390,101833,0.4,101722,0.6,203481,0.4,271464,2.00 20,1,2024-09-07 09:21:50:579,738422,738422,0,0,347224005144,3632340684,731714,5908,800,369,391922,0 20,2,2024-09-07 09:21:50:952,531877,531877,0,0,23127819,0,3721 20,3,2024-09-07 09:21:50:595,1,421,1,0,414,6177,421,0 21,0,2024-09-07 09:21:51:249,102868,0.5,103109,0.6,205720,0.4,273367,2.00 21,1,2024-09-07 09:21:51:583,736276,736276,0,0,345755283458,3646070773,725394,8406,2476,368,392016,0 21,2,2024-09-07 09:21:51:081,530326,530306,20,0,28282918,0,5617 21,3,2024-09-07 09:21:51:428,1,421,12,0,713,5420,421,0 22,0,2024-09-07 09:21:51:721,109587,0.5,109990,0.7,219852,0.5,291406,2.00 22,1,2024-09-07 09:21:51:043,737824,737824,0,0,346188363816,3639073312,726690,8907,2227,382,391667,0 22,2,2024-09-07 09:21:50:763,531288,531262,26,0,22491617,0,6328 22,3,2024-09-07 09:21:51:068,1,421,1,0,228,3493,421,0 23,0,2024-09-07 09:21:51:370,107981,0.5,107291,0.7,215299,0.5,287119,2.25 23,1,2024-09-07 09:21:51:016,738769,738769,0,0,347420479402,3649194988,725680,9035,4054,365,391690,0 23,2,2024-09-07 09:21:51:092,533345,533345,0,0,21950689,0,3773 23,3,2024-09-07 09:21:51:767,1,421,7,0,720,5553,421,0 24,0,2024-09-07 09:21:50:894,102677,0.4,102264,0.5,205370,0.3,272795,1.75 24,1,2024-09-07 09:21:50:590,738030,738030,0,0,346539152005,3633203796,730032,6406,1592,367,392269,0 24,2,2024-09-07 09:21:51:081,531869,531869,0,0,26344107,0,3607 24,3,2024-09-07 09:21:51:686,1,421,2,0,468,5761,421,0 25,0,2024-09-07 09:21:51:366,105134,0.4,102470,0.6,200779,0.3,273952,1.75 25,1,2024-09-07 09:21:50:568,737374,737374,0,0,346760296068,3654155389,725437,9690,2247,371,391928,0 25,2,2024-09-07 09:21:51:614,530811,530811,0,0,26792148,0,3978 25,3,2024-09-07 09:21:51:030,1,421,0,0,532,4801,421,0 26,0,2024-09-07 09:21:51:729,107797,0.4,105309,0.6,220800,0.3,287626,1.75 26,1,2024-09-07 09:21:51:540,739208,739208,0,0,346226970881,3626295592,728582,9042,1584,380,391748,0 26,2,2024-09-07 09:21:50:866,532749,532749,0,0,26910916,0,2809 26,3,2024-09-07 09:21:51:720,1,421,0,0,796,5152,421,0 27,0,2024-09-07 09:21:51:759,108782,0.5,108912,0.7,216576,0.5,289284,2.25 27,1,2024-09-07 09:21:51:684,740581,740581,0,0,347657954685,3622011187,733327,6353,901,381,391626,0 27,2,2024-09-07 09:21:50:867,529721,529656,65,0,24710920,0,5699 27,3,2024-09-07 09:21:51:047,1,421,1,0,564,4106,421,0 28,0,2024-09-07 09:21:51:397,103895,0.4,103450,0.6,207546,0.3,276541,2.00 28,1,2024-09-07 09:21:50:797,739841,739841,0,0,347424414176,3627592082,732654,5488,1699,383,391698,0 28,2,2024-09-07 09:21:51:775,532926,532926,0,0,22580605,0,2915 28,3,2024-09-07 09:21:51:780,1,421,0,0,502,4673,421,0 29,0,2024-09-07 09:21:51:360,104928,0.3,102150,0.6,200436,0.3,273047,1.75 29,1,2024-09-07 09:21:51:569,742327,742327,0,0,348665726394,3608728762,737464,4128,735,368,391809,0 29,2,2024-09-07 09:21:50:866,531792,531792,0,0,21401432,0,4986 29,3,2024-09-07 09:21:50:981,1,421,3,0,459,4926,421,0 30,0,2024-09-07 09:21:51:462,105551,0.5,102570,0.7,214824,0.4,281585,2.00 30,1,2024-09-07 09:21:50:581,741030,741030,0,0,347591042995,3611420923,734961,5303,766,381,391672,0 30,2,2024-09-07 09:21:51:284,532857,532857,0,0,20961840,0,4192 30,3,2024-09-07 09:21:50:582,1,421,1,0,519,4172,421,0 31,0,2024-09-07 09:21:51:791,108462,0.5,109041,0.7,217473,0.5,290109,2.00 31,1,2024-09-07 09:21:50:576,744281,744281,0,0,349818747851,3586261130,741266,2429,586,356,391712,0 31,2,2024-09-07 09:21:51:284,532002,532002,0,0,22554803,0,3525 31,3,2024-09-07 09:21:51:719,1,421,1,0,220,3727,421,0 32,0,2024-09-07 09:21:51:431,106568,0.3,107284,0.5,213487,0.3,284202,1.75 32,1,2024-09-07 09:21:50:810,740713,740713,0,0,347068746313,3612031766,735599,4433,681,381,391646,0 32,2,2024-09-07 09:21:50:949,533623,533623,0,0,20354552,0,3922 32,3,2024-09-07 09:21:51:040,1,421,12,0,304,3384,421,0 33,0,2024-09-07 09:21:51:491,102453,0.3,101999,0.4,204753,0.2,272058,1.50 33,1,2024-09-07 09:21:50:577,741774,741774,0,0,347832925579,3608889752,735262,5407,1105,368,391730,0 33,2,2024-09-07 09:21:50:769,532800,532765,35,0,22769901,0,7012 33,3,2024-09-07 09:21:50:895,1,421,2,0,329,4135,421,0 34,0,2024-09-07 09:21:50:929,103895,0.3,106878,0.4,204347,0.2,275259,1.75 34,1,2024-09-07 09:21:51:052,742627,742627,0,0,349640218819,3595796488,740890,1726,11,367,391562,0 34,2,2024-09-07 09:21:50:766,533628,533628,0,0,21110790,0,4562 34,3,2024-09-07 09:21:51:696,1,421,3,0,299,3207,421,0 35,0,2024-09-07 09:21:50:860,108483,0.4,109042,0.5,219036,0.3,291050,1.75 35,1,2024-09-07 09:21:51:069,740970,740970,0,0,347105337615,3599169326,736434,3680,856,382,391769,0 35,2,2024-09-07 09:21:51:591,532841,532841,0,0,22744179,0,4055 35,3,2024-09-07 09:21:50:914,1,421,1,0,418,4500,421,0 36,0,2024-09-07 09:21:51:520,108748,0.5,108643,0.7,217319,0.4,289028,2.00 36,1,2024-09-07 09:21:50:588,739773,739773,0,0,347139520976,3626401921,730125,7648,2000,366,391759,0 36,2,2024-09-07 09:21:51:778,532622,532622,0,0,23811501,0,3875 36,3,2024-09-07 09:21:50:866,1,421,1,0,416,6070,421,0 37,0,2024-09-07 09:21:51:413,100797,0.4,100998,0.6,201912,0.4,269282,2.00 37,1,2024-09-07 09:21:50:569,739498,739491,0,7,346622339490,3621137927,730673,6543,2275,365,391770,0 37,2,2024-09-07 09:21:51:153,530445,530430,15,0,23613379,0,5815 37,3,2024-09-07 09:21:51:772,1,421,12,0,888,6344,421,0 38,0,2024-09-07 09:21:51:464,102224,0.4,99286,0.6,207590,0.4,272000,2.00 38,1,2024-09-07 09:21:51:668,740581,740581,0,0,346969537190,3627702668,729909,8511,2161,368,391821,0 38,2,2024-09-07 09:21:50:766,532490,532443,47,0,23581340,0,6710 38,3,2024-09-07 09:21:50:998,1,421,1,0,689,5459,421,0 39,0,2024-09-07 09:21:51:767,111259,0.6,108823,0.7,212120,0.5,289769,2.00 39,1,2024-09-07 09:21:50:717,738407,738407,0,0,346579798265,3635181697,725967,9750,2690,365,391658,0 39,2,2024-09-07 09:21:51:427,532889,532889,0,0,22376662,0,3391 39,3,2024-09-07 09:21:50:716,1,421,0,0,324,4866,421,0 40,0,2024-09-07 09:21:51:509,107256,0.9,107924,1.0,215222,0.9,287437,2.75 40,1,2024-09-07 09:21:50:582,739421,739421,0,0,346071344472,3625959395,728602,8775,2044,368,391668,0 40,2,2024-09-07 09:21:51:303,530786,530785,1,0,25853699,0,5137 40,3,2024-09-07 09:21:51:147,1,421,14,0,1028,5804,421,0 41,0,2024-09-07 09:21:51:031,101961,1.4,104264,1.2,198983,2.1,270566,3.00 41,1,2024-09-07 09:21:50:768,738200,738200,0,0,346131918045,3621751151,729017,8143,1040,369,391742,0 41,2,2024-09-07 09:21:50:768,531062,531062,0,0,24651741,0,4277 41,3,2024-09-07 09:21:51:685,1,421,1,0,366,4219,421,0 42,0,2024-09-07 09:21:51:474,101691,0.8,101720,1.0,203586,0.9,269580,2.50 42,1,2024-09-07 09:21:51:445,736405,736405,0,0,345474325608,3631819173,724443,9931,2031,380,391675,0 42,2,2024-09-07 09:21:51:178,531342,531342,0,0,24998768,0,3790 42,3,2024-09-07 09:21:51:022,1,421,6,0,892,4254,421,0 43,0,2024-09-07 09:21:50:916,106266,0.8,103338,1.0,216442,0.8,284334,2.25 43,1,2024-09-07 09:21:50:575,739642,739642,0,0,347528932403,3631792683,729312,8591,1739,366,391696,0 43,2,2024-09-07 09:21:51:740,532480,532480,0,0,24531303,0,3812 43,3,2024-09-07 09:21:51:761,1,421,41,0,548,5686,421,0 44,0,2024-09-07 09:21:50:875,108870,0.5,109168,0.7,218681,0.5,290339,2.00 44,1,2024-09-07 09:21:50:587,741302,741302,0,0,346952287656,3588545812,734809,5175,1318,356,391809,0 44,2,2024-09-07 09:21:51:278,531317,531317,0,0,20732888,0,4344 44,3,2024-09-07 09:21:51:095,1,421,1,0,817,5032,421,0 45,0,2024-09-07 09:21:51:761,103409,0.5,100958,0.7,211787,0.5,278639,2.00 45,1,2024-09-07 09:21:51:010,740318,740318,0,0,347430333950,3611617757,734660,5085,573,382,391917,0 45,2,2024-09-07 09:21:51:279,533529,533529,0,0,21037200,0,3596 45,3,2024-09-07 09:21:50:948,1,421,0,0,271,3675,421,0 46,0,2024-09-07 09:21:50:958,101427,0.5,101294,0.7,202992,0.4,268971,2.00 46,1,2024-09-07 09:21:50:577,741804,741804,0,0,348172362615,3597577013,737137,4076,591,366,391709,0 46,2,2024-09-07 09:21:50:597,532443,532443,0,0,21195450,0,4443 46,3,2024-09-07 09:21:51:131,1,421,1,0,908,5397,421,0 47,0,2024-09-07 09:21:51:102,104953,0.4,105227,0.6,210750,0.4,279435,1.75 47,1,2024-09-07 09:21:50:572,742696,742696,0,0,347922773102,3597132113,737839,4019,838,366,391641,0 47,2,2024-09-07 09:21:50:908,534974,534974,0,0,20807888,0,4477 47,3,2024-09-07 09:21:51:115,1,421,1,0,600,5218,421,0 48,0,2024-09-07 09:21:51:506,109384,0.3,109190,0.5,217519,0.2,290090,1.75 48,1,2024-09-07 09:21:51:021,740458,740458,0,0,347901623446,3614601148,735748,4254,456,384,391710,0 48,2,2024-09-07 09:21:50:706,532506,532506,0,0,19518440,0,3411 48,3,2024-09-07 09:21:50:758,1,421,1,0,339,3440,421,0 49,0,2024-09-07 09:21:51:757,110231,0.4,108398,0.5,210093,0.3,287502,1.75 49,1,2024-09-07 09:21:51:031,740318,740318,0,0,346594778735,3607572228,735166,3946,1206,382,391809,0 49,2,2024-09-07 09:21:51:804,532907,532907,0,0,21508030,0,4426 49,3,2024-09-07 09:21:51:427,1,421,7,0,992,5106,421,0 50,0,2024-09-07 09:21:51:529,102456,0.3,100835,0.4,203587,0.2,271169,1.75 50,1,2024-09-07 09:21:51:023,742749,742749,0,0,348215701714,3601727526,737825,4350,574,368,391565,0 50,2,2024-09-07 09:21:51:068,532304,532304,0,0,19786975,0,4490 50,3,2024-09-07 09:21:51:294,1,421,2,0,567,4331,421,0 51,0,2024-09-07 09:21:51:692,105748,0.3,103410,0.5,201574,0.2,274817,1.75 51,1,2024-09-07 09:21:51:683,742792,742792,0,0,348858981696,3598852186,738840,2903,1049,365,391706,0 51,2,2024-09-07 09:21:51:318,533899,533899,0,0,18796918,0,3337 51,3,2024-09-07 09:21:51:031,1,421,0,0,678,3484,421,0 52,0,2024-09-07 09:21:51:455,110019,0.5,109927,0.7,220053,0.5,292290,2.00 52,1,2024-09-07 09:21:50:580,739610,739610,0,0,346832206150,3629240260,729282,8975,1353,368,391722,0 52,2,2024-09-07 09:21:51:760,529193,529155,38,0,25006458,0,6742 52,3,2024-09-07 09:21:50:677,1,421,1,0,1782,5820,421,0 53,0,2024-09-07 09:21:51:775,107565,0.7,104481,0.8,218640,0.7,287017,2.25 53,1,2024-09-07 09:21:50:789,737991,737991,0,0,346357063329,3634158456,726074,8957,2960,367,391702,0 53,2,2024-09-07 09:21:51:302,532397,532396,1,0,22539662,0,5455 53,3,2024-09-07 09:21:50:704,1,421,1,0,308,3727,421,0 54,0,2024-09-07 09:21:51:620,100630,0.6,100884,0.8,200911,0.4,268653,2.25 54,1,2024-09-07 09:21:50:587,739838,739838,0,0,347838905508,3616625116,732351,6246,1241,366,391659,0 54,2,2024-09-07 09:21:50:866,532715,532683,32,0,25860712,0,6397 54,3,2024-09-07 09:21:50:768,1,421,1,0,676,6143,421,0 55,0,2024-09-07 09:21:51:786,98958,0.5,102236,0.7,206847,0.5,269797,2.25 55,1,2024-09-07 09:21:50:764,740649,740649,0,0,347207461638,3608744785,733018,6539,1092,365,391731,0 55,2,2024-09-07 09:21:50:739,531556,531500,56,0,24867181,0,7239 55,3,2024-09-07 09:21:50:682,1,421,0,0,304,4307,421,0 56,0,2024-09-07 09:21:51:560,110159,1.4,103942,1.2,214039,1.9,286931,2.75 56,1,2024-09-07 09:21:50:578,735983,735983,0,0,346500882348,3659860083,724478,9132,2373,381,391867,0 56,2,2024-09-07 09:21:51:302,532255,532255,0,0,24389866,0,3567 56,3,2024-09-07 09:21:51:061,1,421,1,0,705,5202,421,0 57,0,2024-09-07 09:21:50:934,107025,1.7,106636,1.3,214161,2.4,287110,3.25 57,1,2024-09-07 09:21:50:986,739002,739002,0,0,346411681419,3630817036,730397,7519,1086,366,392032,0 57,2,2024-09-07 09:21:51:316,531934,531934,0,0,26342768,0,3317 57,3,2024-09-07 09:21:51:742,1,421,1,0,455,4931,421,0 58,0,2024-09-07 09:21:50:574,102137,0.8,99178,1.0,207587,0.9,272043,2.50 58,1,2024-09-07 09:21:50:577,738957,738954,0,3,347009262528,3628605164,729003,8662,1289,367,391603,3 58,2,2024-09-07 09:21:51:073,532174,532174,0,0,24389794,0,2902 58,3,2024-09-07 09:21:51:076,1,421,1,0,1043,4586,421,0 59,0,2024-09-07 09:21:51:762,101741,0.7,101440,0.9,202530,0.8,269386,2.50 59,1,2024-09-07 09:21:50:810,738935,738935,0,0,347811117447,3643415607,728716,8410,1809,369,391653,0 59,2,2024-09-07 09:21:50:583,531650,531650,0,0,24338708,0,3727 59,3,2024-09-07 09:21:51:737,1,421,2,0,1015,5613,421,0 60,0,2024-09-07 09:21:51:768,105764,0.5,105778,0.7,212163,0.5,282489,1.75 60,1,2024-09-07 09:21:50:784,740999,740999,0,0,347543848969,3608437996,735859,4420,720,370,392031,0 60,2,2024-09-07 09:21:51:154,532818,532818,0,0,22832148,0,3811 60,3,2024-09-07 09:21:51:273,1,421,2,0,409,4958,421,0 61,0,2024-09-07 09:21:51:520,108583,0.8,109203,0.8,217450,0.8,289799,2.00 61,1,2024-09-07 09:21:50:775,739368,739368,0,0,346297900117,3629708470,731060,6878,1430,382,392127,0 61,2,2024-09-07 09:21:51:117,532109,532042,67,0,23164609,0,6411 61,3,2024-09-07 09:21:51:692,1,421,8,0,479,5743,421,0 62,0,2024-09-07 09:21:51:723,107029,0.5,109638,0.7,209387,0.5,283944,2.00 62,1,2024-09-07 09:21:51:118,743648,743642,0,6,349502432389,3604686084,739827,3554,261,365,391975,6 62,2,2024-09-07 09:21:51:648,531030,531029,1,0,23325909,0,5555 62,3,2024-09-07 09:21:51:147,1,421,1,0,482,3722,421,0 63,0,2024-09-07 09:21:51:453,102515,0.4,102380,0.5,205220,0.3,272431,1.75 63,1,2024-09-07 09:21:50:805,741364,741358,0,6,347141654284,3605803210,736390,4235,733,381,391800,6 63,2,2024-09-07 09:21:50:763,532174,532174,0,0,21271551,0,4369 63,3,2024-09-07 09:21:51:733,1,421,0,0,667,4399,421,0 64,0,2024-09-07 09:21:51:522,103355,0.5,103277,0.7,206159,0.5,274626,2.00 64,1,2024-09-07 09:21:50:755,740221,740221,0,0,347416646965,3621413777,733544,4974,1703,370,391783,0 64,2,2024-09-07 09:21:51:169,536334,536315,19,0,21183787,0,6121 64,3,2024-09-07 09:21:51:150,1,421,5,0,265,4147,421,0 65,0,2024-09-07 09:21:51:684,108218,0.7,108505,0.8,216736,0.8,288592,2.25 65,1,2024-09-07 09:21:50:882,738716,738716,0,0,345705889155,3614773836,733607,4569,540,382,391901,0 65,2,2024-09-07 09:21:51:700,532670,532670,0,0,24717190,0,3367 65,3,2024-09-07 09:21:51:692,1,421,2,0,782,5058,421,0 66,0,2024-09-07 09:21:51:765,108184,0.5,107997,0.8,215815,0.5,287465,2.25 66,1,2024-09-07 09:21:51:295,740614,740614,0,0,347111794708,3618098946,735117,4972,525,380,391743,0 66,2,2024-09-07 09:21:51:135,534084,534084,0,0,21587237,0,4956 66,3,2024-09-07 09:21:51:094,1,421,1,0,291,3695,421,0 67,0,2024-09-07 09:21:51:430,101474,0.4,101156,0.6,202883,0.4,270123,2.00 67,1,2024-09-07 09:21:50:768,740496,740495,0,1,347372656558,3619926438,735143,4560,792,380,391787,1 67,2,2024-09-07 09:21:50:583,534449,534449,0,0,20759066,0,3622 67,3,2024-09-07 09:21:51:760,1,421,3,0,392,4223,421,0 68,0,2024-09-07 09:21:50:598,102943,0.5,102868,0.7,204857,0.5,274032,2.00 68,1,2024-09-07 09:21:50:577,737688,737688,0,0,346487658532,3645230052,727635,7107,2946,381,391953,0 68,2,2024-09-07 09:21:51:053,530703,530603,100,0,27564487,0,8578 68,3,2024-09-07 09:21:50:745,1,421,3,0,417,4872,421,0 69,0,2024-09-07 09:21:51:752,108165,0.7,108959,0.8,216847,0.8,288159,2.25 69,1,2024-09-07 09:21:51:022,737250,737250,0,0,345841987163,3646054863,727479,7501,2270,384,391994,0 69,2,2024-09-07 09:21:51:735,531456,531427,29,0,29038462,0,6912 69,3,2024-09-07 09:21:50:767,1,421,57,0,698,6055,421,0 70,0,2024-09-07 09:21:51:544,107405,1.2,107509,1.1,216330,1.0,286765,2.75 70,1,2024-09-07 09:21:50:809,740833,740833,0,0,348228957494,3614383406,734857,5315,661,366,391725,0 70,2,2024-09-07 09:21:51:326,531479,531479,0,0,24281626,0,4323 70,3,2024-09-07 09:21:50:747,1,421,27,0,854,4965,421,0 71,0,2024-09-07 09:21:51:368,101586,0.8,101310,1.0,203415,0.9,272000,2.75 71,1,2024-09-07 09:21:51:613,739513,739513,0,0,346975716490,3620204654,731076,7634,803,368,391738,0 71,2,2024-09-07 09:21:51:073,532302,532302,0,0,24270000,0,4352 71,3,2024-09-07 09:21:51:767,1,421,4,0,644,5250,421,0 72,0,2024-09-07 09:21:51:075,105758,0.5,103396,0.7,201565,0.5,274083,2.00 72,1,2024-09-07 09:21:51:031,738337,738337,0,0,346101930855,3632236484,727474,8853,2010,369,391819,0 72,2,2024-09-07 09:21:51:761,531514,531514,0,0,26394371,0,3983 72,3,2024-09-07 09:21:51:767,1,421,12,0,564,6362,421,0 73,0,2024-09-07 09:21:51:115,104560,0.5,107122,0.6,219183,0.4,285332,2.00 73,1,2024-09-07 09:21:50:803,740153,740153,0,0,347130640732,3606372297,734907,4837,409,367,391858,0 73,2,2024-09-07 09:21:51:739,531905,531905,0,0,25861877,0,3701 73,3,2024-09-07 09:21:50:989,1,421,1,0,486,5663,421,0 74,0,2024-09-07 09:21:51:324,109766,0.6,112203,0.7,214033,0.5,290632,2.25 74,1,2024-09-07 09:21:50:637,738913,738913,0,0,345952637106,3613480787,730949,6369,1595,381,391762,0 74,2,2024-09-07 09:21:51:003,531632,531632,0,0,23847409,0,4253 74,3,2024-09-07 09:21:51:454,1,421,1,0,522,5524,421,0 75,0,2024-09-07 09:21:51:785,105191,0.5,104555,0.7,209664,0.5,279738,2.25 75,1,2024-09-07 09:21:51:592,739817,739817,0,0,346680300000,3616619701,732995,5994,828,380,391739,0 75,2,2024-09-07 09:21:51:352,531533,531533,0,0,26066417,0,4766 75,3,2024-09-07 09:21:51:073,1,421,1,0,702,5298,421,0 76,0,2024-09-07 09:21:50:610,101452,0.5,100739,0.7,202212,0.5,269769,2.25 76,1,2024-09-07 09:21:50:808,739565,739565,0,0,346597206854,3617256825,734137,4603,825,382,391692,0 76,2,2024-09-07 09:21:51:068,534312,534311,1,0,23286438,0,5144 76,3,2024-09-07 09:21:51:147,1,421,0,0,175,3878,421,0 77,0,2024-09-07 09:21:51:700,104176,0.6,104725,0.7,209353,0.6,278212,2.00 77,1,2024-09-07 09:21:50:825,739990,739990,0,0,347198857287,3624301829,734180,5309,501,381,391869,0 77,2,2024-09-07 09:21:51:288,532569,532569,0,0,22761872,0,3890 77,3,2024-09-07 09:21:51:099,1,421,1,0,401,4751,421,0 78,0,2024-09-07 09:21:51:728,109144,0.5,108544,0.7,217911,0.4,288617,2.00 78,1,2024-09-07 09:21:50:636,740122,740122,0,0,345980097055,3606193384,732257,6298,1567,367,391670,0 78,2,2024-09-07 09:21:51:417,533049,533036,13,0,21452771,0,8313 78,3,2024-09-07 09:21:51:135,1,421,1,0,181,3706,421,0 79,0,2024-09-07 09:21:51:352,103728,0.4,106186,0.6,217509,0.4,282500,2.25 79,1,2024-09-07 09:21:50:574,742021,742021,0,0,347318066675,3601882516,735450,5262,1309,367,391682,0 79,2,2024-09-07 09:21:51:068,533368,533368,0,0,21065588,0,4195 79,3,2024-09-07 09:21:50:749,1,421,1,0,418,5360,421,0 80,0,2024-09-07 09:21:51:100,101839,0.5,104757,0.6,200488,0.5,270864,2.00 80,1,2024-09-07 09:21:51:623,739768,739768,0,0,347486637265,3621191381,734216,5131,421,368,392269,0 80,2,2024-09-07 09:21:51:109,534283,534283,0,0,21341707,0,4433 80,3,2024-09-07 09:21:50:577,1,421,2,0,190,4972,421,0 81,0,2024-09-07 09:21:51:540,102828,0.5,105328,0.7,201137,0.5,273469,2.00 81,1,2024-09-07 09:21:51:684,738944,738944,0,0,346670490718,3624002824,732941,5463,540,382,391879,0 81,2,2024-09-07 09:21:51:126,531971,531908,63,0,23718498,0,5932 81,3,2024-09-07 09:21:51:117,1,421,26,0,719,5101,421,0 82,0,2024-09-07 09:21:51:534,109403,0.5,109617,0.7,219939,0.5,291685,2.00 82,1,2024-09-07 09:21:50:605,740974,740970,0,4,347641383246,3617898032,736274,3877,819,381,391768,4 82,2,2024-09-07 09:21:51:691,533606,533606,0,0,20171296,0,4484 82,3,2024-09-07 09:21:51:760,1,421,4,0,363,4580,421,0 83,0,2024-09-07 09:21:51:522,108257,0.7,108273,0.8,215884,0.7,287256,2.25 83,1,2024-09-07 09:21:50:562,739074,739074,0,0,346583331818,3616335632,733548,5076,450,382,391709,0 83,2,2024-09-07 09:21:50:777,532166,532166,0,0,20874626,0,3393 83,3,2024-09-07 09:21:50:754,1,421,1,0,1260,5502,421,0 84,0,2024-09-07 09:21:51:790,101485,0.6,101503,0.8,202302,0.5,271094,2.25 84,1,2024-09-07 09:21:51:070,738532,738532,0,0,346685651583,3622329089,730861,6629,1042,367,391967,0 84,2,2024-09-07 09:21:50:575,531776,531746,30,0,27927641,0,5971 84,3,2024-09-07 09:21:51:146,1,421,1,0,908,6395,421,0 85,0,2024-09-07 09:21:51:018,98671,0.6,98609,0.8,209359,0.5,270924,2.25 85,1,2024-09-07 09:21:50:565,737042,737042,0,0,345492457585,3645822714,726240,8883,1919,381,392006,0 85,2,2024-09-07 09:21:50:876,531736,531736,0,0,25878225,0,3656 85,3,2024-09-07 09:21:50:713,1,421,1,0,789,5203,421,0 86,0,2024-09-07 09:21:50:881,107746,0.6,110818,0.8,212255,0.7,287204,2.25 86,1,2024-09-07 09:21:50:842,739085,739085,0,0,347163330074,3636127761,730419,7225,1441,366,391961,0 86,2,2024-09-07 09:21:50:857,530182,530181,1,0,27990147,0,5004 86,3,2024-09-07 09:21:50:595,1,421,7,0,308,6049,421,0 87,0,2024-09-07 09:21:51:306,108358,1.0,108271,0.9,216577,1.4,289513,2.50 87,1,2024-09-07 09:21:50:576,737754,737754,0,0,346261460072,3630781271,727807,8355,1592,366,392076,0 87,2,2024-09-07 09:21:51:075,530802,530796,6,0,24838133,0,6323 87,3,2024-09-07 09:21:51:794,1,421,8,0,473,6677,421,0 88,0,2024-09-07 09:21:51:458,103620,0.4,104314,0.6,207634,0.4,276344,1.75 88,1,2024-09-07 09:21:50:578,737581,737581,0,0,346881953984,3634528618,727879,7695,2007,365,392084,0 88,2,2024-09-07 09:21:50:694,532960,532960,0,0,26858203,0,4465 88,3,2024-09-07 09:21:51:278,1,421,0,0,435,4792,421,0 89,0,2024-09-07 09:21:51:803,104979,0.4,101636,0.6,201078,0.4,273168,1.75 89,1,2024-09-07 09:21:50:550,737504,737504,0,0,346034920783,3638332480,728336,7742,1426,382,391866,0 89,2,2024-09-07 09:21:51:145,531197,531197,0,0,25251582,0,3173 89,3,2024-09-07 09:21:51:798,1,421,2,0,468,7456,421,0 90,0,2024-09-07 09:21:51:630,102745,0.5,105480,0.6,215269,0.4,281513,2.00 90,1,2024-09-07 09:21:50:592,738601,738601,0,0,347264487367,3635604279,731835,6255,511,380,391825,0 90,2,2024-09-07 09:21:51:427,530749,530749,0,0,26720736,0,3060 90,3,2024-09-07 09:21:50:934,1,421,1,0,322,5240,421,0 91,0,2024-09-07 09:21:50:930,109413,0.5,105912,0.7,221389,0.5,290517,2.00 91,1,2024-09-07 09:21:50:572,737505,737505,0,0,345940712654,3638034214,728255,7848,1402,381,392047,0 91,2,2024-09-07 09:21:51:336,533253,533253,0,0,23715368,0,2896 91,3,2024-09-07 09:21:50:628,1,421,3,0,216,4196,421,0 92,0,2024-09-07 09:21:51:499,107373,0.5,110125,0.6,210207,0.5,284342,1.75 92,1,2024-09-07 09:21:50:589,739128,739128,0,0,346404462749,3618350261,733596,4807,725,381,392136,0 92,2,2024-09-07 09:21:51:362,533664,533664,0,0,21409820,0,3259 92,3,2024-09-07 09:21:51:024,1,421,1,0,167,3761,421,0 93,0,2024-09-07 09:21:51:006,103011,0.4,105562,0.5,201388,0.3,272614,1.75 93,1,2024-09-07 09:21:50:816,738967,738967,0,0,347569156300,3629757578,730838,6746,1383,366,391776,0 93,2,2024-09-07 09:21:50:928,531642,531642,0,0,25997128,0,4845 93,3,2024-09-07 09:21:51:417,1,421,44,0,190,3797,421,0 94,0,2024-09-07 09:21:51:616,103253,0.4,104240,0.5,207937,0.3,276267,1.75 94,1,2024-09-07 09:21:50:565,739814,739814,0,0,347055267046,3621128361,735030,4574,210,381,391850,0 94,2,2024-09-07 09:21:50:785,532553,532553,0,0,21715189,0,2443 94,3,2024-09-07 09:21:51:695,1,421,0,0,576,5650,421,0 95,0,2024-09-07 09:21:51:366,109186,0.4,109001,0.5,218632,0.3,291106,1.75 95,1,2024-09-07 09:21:50:858,740347,740347,0,0,347413710734,3612256036,734302,5609,436,365,391786,0 95,2,2024-09-07 09:21:51:036,532191,532191,0,0,21488666,0,3308 95,3,2024-09-07 09:21:51:714,1,421,1,0,718,6152,421,0 96,0,2024-09-07 09:21:51:027,108596,0.4,109003,0.5,217403,0.3,288581,1.75 96,1,2024-09-07 09:21:51:601,739498,739498,0,0,346708744781,3619454773,733957,4658,883,384,391955,0 96,2,2024-09-07 09:21:51:269,532929,532929,0,0,22476818,0,4180 96,3,2024-09-07 09:21:51:147,1,421,2,0,411,4766,421,0 97,0,2024-09-07 09:21:51:355,101456,0.3,101089,0.5,202782,0.2,269931,1.50 97,1,2024-09-07 09:21:50:766,740942,740942,0,0,348090873828,3612961215,735798,4212,932,367,392140,0 97,2,2024-09-07 09:21:50:636,532906,532906,0,0,21598681,0,3679 97,3,2024-09-07 09:21:50:580,1,421,6,0,242,5100,421,0 98,0,2024-09-07 09:21:51:700,102633,0.3,102631,0.4,205973,0.2,273926,1.50 98,1,2024-09-07 09:21:50:580,739682,739682,0,0,347471633018,3621636330,734730,4161,791,382,391997,0 98,2,2024-09-07 09:21:50:797,533209,533209,0,0,21813949,0,4336 98,3,2024-09-07 09:21:50:709,1,421,4,0,840,7133,421,0 99,0,2024-09-07 09:21:51:462,108623,0.3,109376,0.5,217224,0.3,290859,1.75 99,1,2024-09-07 09:21:51:723,740351,740351,0,0,347411817494,3616911355,735409,4105,837,381,392069,0 99,2,2024-09-07 09:21:51:423,533421,533421,0,0,25616205,0,4276 99,3,2024-09-07 09:21:50:587,1,421,2,0,606,4682,421,0 100,0,2024-09-07 09:21:51:486,108275,0.9,108504,1.0,216085,1.0,289295,2.50 100,1,2024-09-07 09:21:50:551,736141,736141,0,0,344892715203,3643432888,725440,8656,2045,381,391989,0 100,2,2024-09-07 09:21:51:826,529626,529615,11,0,24919629,0,5417 100,3,2024-09-07 09:21:51:733,1,421,5,0,559,6882,421,0 101,0,2024-09-07 09:21:51:758,104745,0.9,101870,1.0,200223,0.7,274089,2.25 101,1,2024-09-07 09:21:50:551,736614,736614,0,0,345314641116,3633457248,725945,8546,2123,368,391847,0 101,2,2024-09-07 09:21:51:764,529423,529423,0,0,29764832,0,4871 101,3,2024-09-07 09:21:50:950,1,421,2,0,579,5868,421,0 102,0,2024-09-07 09:21:50:981,99686,0.6,102850,0.7,208375,0.6,272890,2.00 102,1,2024-09-07 09:21:51:144,737100,737100,0,0,346154990570,3638200778,727226,8112,1762,369,391891,0 102,2,2024-09-07 09:21:51:761,532678,532624,54,0,23980432,0,6768 102,3,2024-09-07 09:21:51:612,1,421,11,0,466,4685,421,0 103,0,2024-09-07 09:21:51:624,110770,0.6,110788,0.7,208729,0.6,287581,2.00 103,1,2024-09-07 09:21:51:638,736218,736218,0,0,346009922946,3654898970,724246,8906,3066,381,391829,0 103,2,2024-09-07 09:21:50:586,530606,530606,0,0,26567590,0,3766 103,3,2024-09-07 09:21:50:755,1,421,3,0,916,4686,421,0 104,0,2024-09-07 09:21:51:007,107873,0.8,108229,1.0,215327,0.8,288738,2.50 104,1,2024-09-07 09:21:51:601,738560,738560,0,0,346828035341,3643526384,727722,8976,1862,365,392168,0 104,2,2024-09-07 09:21:51:671,530971,530971,0,0,25446511,0,3941 104,3,2024-09-07 09:21:51:416,1,421,3,0,1245,8507,421,0 105,0,2024-09-07 09:21:51:076,103555,0.8,100869,1.1,211378,0.9,277836,2.50 105,1,2024-09-07 09:21:50:564,739002,739002,0,0,347325195883,3639612482,729572,8006,1424,366,392009,0 105,2,2024-09-07 09:21:51:323,531334,531334,0,0,25231039,0,3509 105,3,2024-09-07 09:21:51:322,1,421,6,0,399,6546,421,0 106,0,2024-09-07 09:21:50:944,98382,0.7,100759,0.9,206393,0.7,269523,2.50 106,1,2024-09-07 09:21:51:769,737739,737739,0,0,346379522465,3637663339,726721,9571,1447,369,391914,0 106,2,2024-09-07 09:21:50:755,531066,531066,0,0,24577408,0,2920 106,3,2024-09-07 09:21:50:684,1,421,7,0,470,5454,421,0 107,0,2024-09-07 09:21:51:144,104401,0.9,104741,0.9,208982,1.1,279204,2.25 107,1,2024-09-07 09:21:50:592,736950,736950,0,0,345984164737,3646742348,726790,9110,1050,381,392234,0 107,2,2024-09-07 09:21:51:295,531031,531030,1,0,25519319,0,5024 107,3,2024-09-07 09:21:51:769,1,421,0,0,370,6423,421,0 108,0,2024-09-07 09:21:51:799,108555,0.5,109381,0.6,217524,0.5,289809,1.75 108,1,2024-09-07 09:21:51:300,739431,739431,0,0,347179379013,3621774345,733291,5396,744,368,391857,0 108,2,2024-09-07 09:21:51:767,531250,531250,0,0,24152681,0,4246 108,3,2024-09-07 09:21:51:345,1,421,7,0,749,7952,421,0 109,0,2024-09-07 09:21:51:851,108135,0.4,107258,0.6,214550,0.4,286695,1.75 109,1,2024-09-07 09:21:50:603,736777,736777,0,0,346526788258,3638745894,729380,6212,1185,382,392132,0 109,2,2024-09-07 09:21:50:929,530138,530138,0,0,23687563,0,3617 109,3,2024-09-07 09:21:51:157,1,421,1,0,379,4963,421,0 110,0,2024-09-07 09:21:51:800,101690,0.3,99069,0.5,207587,0.3,271485,1.75 110,1,2024-09-07 09:21:51:664,740729,740729,0,0,347810486961,3611218193,735339,4212,1178,369,392045,0 110,2,2024-09-07 09:21:51:308,532603,532603,0,0,22606892,0,4067 110,3,2024-09-07 09:21:50:704,1,421,6,0,722,6074,421,0 111,0,2024-09-07 09:21:51:437,103281,0.4,102502,0.5,205689,0.3,274836,1.75 111,1,2024-09-07 09:21:51:031,740805,740805,0,0,348235882578,3612467207,736922,3528,355,380,391690,0 111,2,2024-09-07 09:21:51:116,532554,532554,0,0,22364761,0,4823 111,3,2024-09-07 09:21:50:914,1,421,1,0,379,5050,421,0 112,0,2024-09-07 09:21:50:910,110305,0.3,109961,0.4,220092,0.2,292661,1.50 112,1,2024-09-07 09:21:50:825,741079,741079,0,0,347258611026,3605623752,736366,4100,613,380,391624,0 112,2,2024-09-07 09:21:51:149,532371,532370,1,0,21635011,0,5036 112,3,2024-09-07 09:21:50:596,1,421,1,0,282,4209,421,0 113,0,2024-09-07 09:21:50:867,108346,0.3,108412,0.5,217240,0.3,289230,1.75 113,1,2024-09-07 09:21:51:685,742890,742890,0,0,348296538774,3594423982,739002,3299,589,366,391661,0 113,2,2024-09-07 09:21:51:302,533634,533634,0,0,19936159,0,3813 113,3,2024-09-07 09:21:50:684,1,421,1,0,340,4725,421,0 114,0,2024-09-07 09:21:50:880,102462,0.3,103030,0.5,204871,0.2,273672,1.75 114,1,2024-09-07 09:21:50:718,740181,740181,0,0,348073063061,3617717254,733902,4663,1616,381,391565,0 114,2,2024-09-07 09:21:50:877,533475,533474,1,0,21024965,0,5069 114,3,2024-09-07 09:21:51:279,1,421,4,0,395,3649,421,0 115,0,2024-09-07 09:21:50:571,102468,0.3,103100,0.4,205555,0.2,273598,1.50 115,1,2024-09-07 09:21:50:576,740839,740839,0,0,348198800400,3620932559,734349,5223,1267,382,391757,0 115,2,2024-09-07 09:21:51:126,534292,534292,0,0,20856462,0,4382 115,3,2024-09-07 09:21:51:037,1,421,1,0,159,2390,421,0 116,0,2024-09-07 09:21:51:752,107469,0.7,107418,0.8,215688,0.8,288300,2.00 116,1,2024-09-07 09:21:50:811,737005,737005,0,0,345915888638,3644638641,728400,6280,2325,380,392089,0 116,2,2024-09-07 09:21:51:781,531529,531529,0,0,26370942,0,4128 116,3,2024-09-07 09:21:50:922,1,421,15,0,415,4999,421,0 117,0,2024-09-07 09:21:50:972,108845,0.8,108294,0.8,216919,1.0,289811,2.00 117,1,2024-09-07 09:21:51:584,738313,738313,0,0,345448185766,3615894185,730564,6834,915,369,392033,0 117,2,2024-09-07 09:21:51:154,534242,534242,0,0,22599240,0,4303 117,3,2024-09-07 09:21:51:067,1,421,3,0,490,6483,421,0 118,0,2024-09-07 09:21:51:774,100685,0.5,103230,0.7,210823,0.4,275308,2.00 118,1,2024-09-07 09:21:50:595,738242,738242,0,0,345617980207,3638051973,726336,8907,2999,366,391907,0 118,2,2024-09-07 09:21:51:592,531977,531977,0,0,24849011,0,2842 118,3,2024-09-07 09:21:51:764,1,421,0,0,248,4821,421,0 119,0,2024-09-07 09:21:51:351,101692,0.5,102095,0.7,204133,0.5,271498,2.00 119,1,2024-09-07 09:21:50:548,738587,738587,0,0,347178744883,3633658645,730109,7362,1116,367,391780,0 119,2,2024-09-07 09:21:51:285,532984,532984,0,0,23380586,0,4174 119,3,2024-09-07 09:21:51:350,1,421,6,0,1358,8449,421,0 120,0,2024-09-07 09:21:51:667,105164,0.7,104981,0.8,210695,0.7,281805,2.25 120,1,2024-09-07 09:21:50:871,738819,738819,0,0,346044872284,3632713692,730454,7596,769,368,391961,0 120,2,2024-09-07 09:21:50:800,531838,531837,1,0,26656017,0,5281 120,3,2024-09-07 09:21:51:317,1,421,2,0,279,5324,421,0 121,0,2024-09-07 09:21:51:753,108735,1.5,108408,1.1,217662,2.1,289788,2.50 121,1,2024-09-07 09:21:51:672,739096,739096,0,0,346459027915,3622165549,732382,6255,459,367,391840,0 121,2,2024-09-07 09:21:51:128,531250,531250,0,0,25658653,0,4127 121,3,2024-09-07 09:21:50:747,1,421,2,0,269,4716,421,0 122,0,2024-09-07 09:21:51:774,105955,0.8,103378,0.9,216798,0.8,284119,2.00 122,1,2024-09-07 09:21:50:860,737512,737512,0,0,346018210217,3632558739,727079,8830,1603,366,392130,0 122,2,2024-09-07 09:21:51:318,532522,532449,73,0,28407708,0,5989 122,3,2024-09-07 09:21:50:596,1,421,2,0,512,7669,421,0 123,0,2024-09-07 09:21:50:985,101877,0.6,99456,0.7,208077,0.6,271946,2.00 123,1,2024-09-07 09:21:50:561,737462,737462,0,0,346064423522,3647797104,723919,11102,2441,369,392039,0 123,2,2024-09-07 09:21:51:024,530451,530450,1,0,24002281,0,5215 123,3,2024-09-07 09:21:51:135,1,421,1,0,478,4970,421,0 124,0,2024-09-07 09:21:50:932,106752,0.3,106856,0.5,201298,0.3,276941,1.75 124,1,2024-09-07 09:21:51:031,740362,740362,0,0,347066657270,3608585790,735086,4227,1049,367,392178,0 124,2,2024-09-07 09:21:51:022,533686,533633,53,0,21940889,0,6487 124,3,2024-09-07 09:21:50:768,1,421,1,0,490,4109,421,0 125,0,2024-09-07 09:21:51:435,109191,0.4,108942,0.6,218869,0.4,291176,1.75 125,1,2024-09-07 09:21:50:855,738615,738615,0,0,346555974642,3619965803,732709,5236,670,382,391702,0 125,2,2024-09-07 09:21:51:132,533491,533491,0,0,22673531,0,4534 125,3,2024-09-07 09:21:51:134,1,421,1,0,709,5368,421,0 126,0,2024-09-07 09:21:51:437,108540,0.4,111731,0.6,213752,0.4,289224,1.75 126,1,2024-09-07 09:21:50:553,741236,741236,0,0,348110675035,3606424436,737237,3706,293,365,391987,0 126,2,2024-09-07 09:21:50:642,533194,533194,0,0,23396792,0,4539 126,3,2024-09-07 09:21:50:909,1,421,2,0,268,5262,421,0 127,0,2024-09-07 09:21:51:601,101383,0.3,101711,0.5,203013,0.2,270003,1.50 127,1,2024-09-07 09:21:50:579,740582,740582,0,0,346976996144,3610558670,733244,6118,1220,364,392187,0 127,2,2024-09-07 09:21:50:637,531758,531758,0,0,21142769,0,3897 127,3,2024-09-07 09:21:51:270,1,421,0,0,968,4610,421,0 128,0,2024-09-07 09:21:51:542,103156,0.3,103389,0.4,206270,0.2,274290,1.50 128,1,2024-09-07 09:21:51:613,740014,740014,0,0,347978285328,3613584784,735114,4467,433,367,392031,0 128,2,2024-09-07 09:21:51:399,534167,534167,0,0,20600487,0,3171 128,3,2024-09-07 09:21:50:775,1,421,1,0,1082,6636,421,0 129,0,2024-09-07 09:21:51:009,109601,0.3,108995,0.5,218557,0.3,291224,1.75 129,1,2024-09-07 09:21:50:582,737389,737389,0,0,346224141437,3630159514,729722,5997,1670,379,391962,0 129,2,2024-09-07 09:21:50:694,533418,533418,0,0,21974594,0,4031 129,3,2024-09-07 09:21:50:693,1,421,1,0,506,5849,421,0 130,0,2024-09-07 09:21:51:730,109244,0.5,108667,0.6,218805,0.6,290711,1.75 130,1,2024-09-07 09:21:50:612,740386,740386,0,0,347301706133,3610196313,736350,3697,339,381,391825,0 130,2,2024-09-07 09:21:51:136,533194,533194,0,0,22416989,0,4067 130,3,2024-09-07 09:21:51:294,1,421,0,0,960,6705,421,0 131,0,2024-09-07 09:21:51:952,102369,0.3,102850,0.5,206785,0.3,273945,1.75 131,1,2024-09-07 09:21:51:833,739911,739911,0,0,346920585944,3625319502,733949,4914,1048,381,391865,0 131,2,2024-09-07 09:21:50:567,535232,535232,0,0,20644288,0,3979 131,3,2024-09-07 09:21:51:691,1,421,1,0,392,4808,421,0 132,0,2024-09-07 09:21:51:465,102396,0.4,103363,0.6,205583,0.4,273686,1.75 132,1,2024-09-07 09:21:50:791,736589,736589,0,0,345443330334,3639231506,725468,9016,2105,381,392097,0 132,2,2024-09-07 09:21:50:706,531882,531865,17,0,26860987,0,6451 132,3,2024-09-07 09:21:51:717,1,421,1,0,804,7473,421,0 133,0,2024-09-07 09:21:51:533,104463,0.4,106893,0.6,219407,0.4,285815,1.75 133,1,2024-09-07 09:21:50:614,736593,736593,0,0,345946608921,3647700422,726050,9028,1515,383,391914,0 133,2,2024-09-07 09:21:51:100,531387,531337,50,0,28235558,0,6861 133,3,2024-09-07 09:21:51:304,1,421,12,0,479,4309,421,0 134,0,2024-09-07 09:21:50:995,109444,0.6,109042,0.8,218164,0.6,291039,2.25 134,1,2024-09-07 09:21:50:615,737946,737946,0,0,346367268296,3633838759,728162,7749,2035,366,391718,0 134,2,2024-09-07 09:21:51:771,530371,530347,24,0,25957806,0,6207 134,3,2024-09-07 09:21:50:754,1,421,1,0,739,5424,421,0 135,0,2024-09-07 09:21:51:122,101487,0.8,101520,0.9,215399,0.9,277334,2.25 135,1,2024-09-07 09:21:51:593,737867,737867,0,0,346728398095,3648401281,727914,8582,1371,380,391805,0 135,2,2024-09-07 09:21:50:697,532712,532712,0,0,25095111,0,3981 135,3,2024-09-07 09:21:51:016,1,421,4,0,299,3513,421,0 136,0,2024-09-07 09:21:51:622,102235,0.5,102464,0.7,203737,0.5,271568,2.00 136,1,2024-09-07 09:21:51:450,738477,738477,0,0,346767151646,3637313586,729909,7606,962,381,391685,0 136,2,2024-09-07 09:21:51:133,533435,533435,0,0,23999722,0,3506 136,3,2024-09-07 09:21:51:107,1,421,5,0,637,4929,421,0 137,0,2024-09-07 09:21:50:948,107782,0.6,104993,0.7,206072,0.6,280289,2.00 137,1,2024-09-07 09:21:50:579,737210,737210,0,0,345885735895,3630456509,725695,9156,2359,366,391898,0 137,2,2024-09-07 09:21:51:720,531304,531304,0,0,26641335,0,3185 137,3,2024-09-07 09:21:50:785,1,421,9,0,484,5223,421,0 138,0,2024-09-07 09:21:51:742,108393,1.0,108354,1.0,217513,1.3,288625,2.25 138,1,2024-09-07 09:21:51:687,738312,738312,0,0,346498054500,3634817191,728072,8519,1721,368,391954,0 138,2,2024-09-07 09:21:50:590,531727,531727,0,0,24261968,0,4988 138,3,2024-09-07 09:21:50:636,1,421,1,0,1160,5635,421,0 139,0,2024-09-07 09:21:51:438,106606,1.6,106660,1.1,213750,2.3,285187,2.50 139,1,2024-09-07 09:21:50:580,734468,734468,0,0,344469404357,3661398146,720105,11058,3305,380,392058,0 139,2,2024-09-07 09:21:50:705,528223,528223,0,0,27643207,0,3097 139,3,2024-09-07 09:21:51:665,1,421,1,0,432,4998,421,0 140,0,2024-09-07 09:21:51:593,102257,0.3,101745,0.5,204156,0.2,271908,1.75 140,1,2024-09-07 09:21:51:546,742354,742354,0,0,348303043699,3591787089,738790,3123,441,365,391606,0 140,2,2024-09-07 09:21:50:692,533034,533033,1,0,21363178,0,5036 140,3,2024-09-07 09:21:50:768,1,421,1,0,297,3571,421,0 141,0,2024-09-07 09:21:51:707,103135,0.3,105943,0.5,202358,0.2,274689,1.75 141,1,2024-09-07 09:21:50:861,740802,740802,0,0,348451130092,3620139549,735117,4728,957,379,391614,0 141,2,2024-09-07 09:21:51:686,533597,533597,0,0,21134851,0,3360 141,3,2024-09-07 09:21:51:053,1,421,2,0,391,4894,421,0 142,0,2024-09-07 09:21:51:340,110470,0.3,109818,0.5,219676,0.3,293280,1.75 142,1,2024-09-07 09:21:50:610,740006,740006,0,0,347160210416,3619347092,735321,4288,397,382,392102,0 142,2,2024-09-07 09:21:51:309,531931,531899,32,0,23238219,0,6028 142,3,2024-09-07 09:21:51:771,1,421,2,0,484,4800,421,0 143,0,2024-09-07 09:21:51:400,108550,0.5,108648,0.6,217533,0.5,289251,1.75 143,1,2024-09-07 09:21:50:565,741316,741316,0,0,348129534471,3614001385,736274,4498,544,367,391705,0 143,2,2024-09-07 09:21:50:775,532279,532279,0,0,22223514,0,3123 143,3,2024-09-07 09:21:51:170,1,421,2,0,462,5295,421,0 144,0,2024-09-07 09:21:51:537,98929,0.6,101682,0.8,207246,0.5,271519,2.00 144,1,2024-09-07 09:21:50:565,737142,737142,0,0,345387212006,3627664873,729431,5877,1834,381,391649,0 144,2,2024-09-07 09:21:51:763,533692,533692,0,0,21110839,0,3673 144,3,2024-09-07 09:21:51:740,1,421,8,0,249,4350,421,0 145,0,2024-09-07 09:21:51:420,99027,0.5,99034,0.7,210145,0.4,270800,2.25 145,1,2024-09-07 09:21:50:594,737154,737154,0,0,345735840636,3637134239,727537,7955,1662,382,391759,0 145,2,2024-09-07 09:21:51:456,530966,530885,81,0,25923307,0,7814 145,3,2024-09-07 09:21:50:897,1,421,8,0,622,6138,421,0 146,0,2024-09-07 09:21:51:707,107824,0.6,107177,0.8,216235,0.6,286774,2.25 146,1,2024-09-07 09:21:51:596,738505,738505,0,0,346212244319,3635597555,727558,8827,2120,367,391770,0 146,2,2024-09-07 09:21:51:702,530861,530861,0,0,24660852,0,3290 146,3,2024-09-07 09:21:51:284,1,421,4,0,1520,7998,421,0 147,0,2024-09-07 09:21:51:734,108666,0.7,108601,0.8,216346,0.7,289385,2.25 147,1,2024-09-07 09:21:51:374,741389,741389,0,0,347288565006,3613296073,734753,5791,845,368,391791,0 147,2,2024-09-07 09:21:51:010,531731,531731,0,0,22278548,0,2968 147,3,2024-09-07 09:21:50:917,1,421,2,0,1626,7359,421,0 0,0,2024-09-07 09:22:01:709,103246,0.6,103344,0.8,219107,0.7,283722,2.00 0,1,2024-09-07 09:22:00:801,740444,740444,0,0,347106980681,3633774047,734997,5059,388,369,391896,0 0,2,2024-09-07 09:22:01:070,534674,534674,0,0,21720404,0,4480 0,3,2024-09-07 09:22:00:984,1,422,6,0,431,6330,422,0 1,0,2024-09-07 09:22:01:780,109045,1.2,108291,1.1,217292,1.7,290468,2.25 1,1,2024-09-07 09:22:00:576,739866,739866,0,0,346794495910,3631036070,733437,5103,1326,370,391859,0 1,2,2024-09-07 09:22:00:640,532537,532537,0,0,22051363,0,3380 1,3,2024-09-07 09:22:01:311,1,422,1,0,268,5203,422,0 2,0,2024-09-07 09:22:01:565,106215,0.6,106509,0.8,212122,0.7,282842,2.00 2,1,2024-09-07 09:22:00:859,742419,742419,0,0,348238754387,3615272727,739132,2992,295,380,391745,0 2,2,2024-09-07 09:22:01:266,534370,534370,0,0,20659650,0,3594 2,3,2024-09-07 09:22:00:691,1,422,1,0,357,4141,422,0 3,0,2024-09-07 09:22:01:743,102371,0.4,102129,0.6,204214,0.3,271559,2.00 3,1,2024-09-07 09:22:01:618,741034,741034,0,0,347274116981,3617823910,734814,5530,690,380,391716,0 3,2,2024-09-07 09:22:01:153,533825,533802,23,0,21804367,0,5851 3,3,2024-09-07 09:22:01:756,1,422,0,0,207,2834,422,0 4,0,2024-09-07 09:22:01:774,100933,0.4,103628,0.5,211367,0.3,276910,1.75 4,1,2024-09-07 09:22:00:603,738552,738552,0,0,345878584505,3656727056,726741,9304,2507,370,391992,0 4,2,2024-09-07 09:22:01:029,532104,532104,0,0,24832446,0,4528 4,3,2024-09-07 09:22:01:037,1,422,11,0,448,5535,422,0 5,0,2024-09-07 09:22:01:424,109117,0.4,109707,0.6,218953,0.4,290618,1.75 5,1,2024-09-07 09:22:00:759,739571,739571,0,0,346884967133,3652565082,729151,8262,2158,367,392005,0 5,2,2024-09-07 09:22:01:828,531101,531101,0,0,24713930,0,3582 5,3,2024-09-07 09:22:01:732,1,422,1,0,457,5977,422,0 6,0,2024-09-07 09:22:00:914,108923,0.5,108552,0.7,216844,0.4,289289,2.00 6,1,2024-09-07 09:22:00:747,740054,740054,0,0,347483009775,3632143635,731847,6814,1393,379,391694,0 6,2,2024-09-07 09:22:01:116,532819,532801,18,0,24635632,0,5535 6,3,2024-09-07 09:22:01:274,1,422,0,0,710,5343,422,0 7,0,2024-09-07 09:22:01:530,100992,0.5,101691,0.6,202528,0.4,269792,2.00 7,1,2024-09-07 09:22:00:854,739596,739596,0,0,346939979388,3640226029,729827,8306,1463,382,391747,0 7,2,2024-09-07 09:22:00:774,534439,534439,0,0,23869084,0,4791 7,3,2024-09-07 09:22:00:852,1,422,1,0,552,4912,422,0 8,0,2024-09-07 09:22:01:420,103311,0.4,102968,0.5,206327,0.3,275094,1.75 8,1,2024-09-07 09:22:01:040,738474,738474,0,0,346591384437,3645399270,726950,8895,2629,366,392853,0 8,2,2024-09-07 09:22:00:802,530097,530097,0,0,28072586,0,3250 8,3,2024-09-07 09:22:00:599,1,422,24,0,538,7004,422,0 9,0,2024-09-07 09:22:01:187,109428,0.4,106245,0.5,222352,0.3,292098,1.75 9,1,2024-09-07 09:22:00:570,738186,738186,0,0,346747664176,3653225841,727133,8632,2421,369,392001,0 9,2,2024-09-07 09:22:01:089,532564,532564,0,0,24957185,0,3360 9,3,2024-09-07 09:22:01:757,1,422,4,0,496,6049,422,0 10,0,2024-09-07 09:22:01:603,108834,0.4,108215,0.5,217455,0.3,289613,1.75 10,1,2024-09-07 09:22:00:584,739983,739983,0,0,347139779786,3639895851,729601,8759,1623,381,391741,0 10,2,2024-09-07 09:22:00:763,532478,532478,0,0,27237079,0,4264 10,3,2024-09-07 09:22:00:871,1,422,0,0,649,4574,422,0 11,0,2024-09-07 09:22:01:009,102550,0.4,99483,0.6,208103,0.4,274313,1.75 11,1,2024-09-07 09:22:00:578,740362,740362,0,0,348079506672,3652464798,729035,8701,2626,383,391756,0 11,2,2024-09-07 09:22:01:128,533584,533584,0,0,24156530,0,4130 11,3,2024-09-07 09:22:01:307,1,422,1,0,843,6200,422,0 12,0,2024-09-07 09:22:00:985,103333,0.4,103365,0.5,206949,0.3,274395,1.75 12,1,2024-09-07 09:22:00:939,740289,740289,0,0,346349606055,3611445501,734740,5048,501,370,391870,0 12,2,2024-09-07 09:22:01:551,534008,534008,0,0,23354418,0,3469 12,3,2024-09-07 09:22:01:066,1,422,1,0,386,6341,422,0 13,0,2024-09-07 09:22:01:335,108513,0.4,108064,0.6,215832,0.4,287899,1.75 13,1,2024-09-07 09:22:01:532,739069,739069,0,0,346591889088,3644705804,731458,5980,1631,382,391740,0 13,2,2024-09-07 09:22:00:601,535188,535188,0,0,21688933,0,3287 13,3,2024-09-07 09:22:01:762,1,422,3,0,522,6236,422,0 14,0,2024-09-07 09:22:00:561,108914,0.5,109975,0.7,217505,0.4,290194,2.00 14,1,2024-09-07 09:22:01:561,744082,744082,0,0,349130200430,3617147309,737862,5511,709,364,391673,0 14,2,2024-09-07 09:22:00:764,533296,533266,30,0,23682665,0,6104 14,3,2024-09-07 09:22:01:116,1,422,1,0,1168,4726,422,0 15,0,2024-09-07 09:22:01:554,104618,0.4,104498,0.6,209340,0.4,278346,2.00 15,1,2024-09-07 09:22:01:609,741424,741424,0,0,347893411100,3624608943,735201,5045,1178,381,391619,0 15,2,2024-09-07 09:22:01:000,536109,536109,0,0,19404505,0,3622 15,3,2024-09-07 09:22:01:406,1,422,0,0,1126,6952,422,0 16,0,2024-09-07 09:22:00:935,102104,0.5,102421,0.7,204346,0.5,271605,2.00 16,1,2024-09-07 09:22:00:569,741143,741143,0,0,348083680461,3640504529,734545,5485,1113,370,391917,0 16,2,2024-09-07 09:22:01:441,533474,533474,0,0,23327636,0,4719 16,3,2024-09-07 09:22:01:144,1,422,1,0,317,5379,422,0 17,0,2024-09-07 09:22:01:776,108323,0.6,105717,0.8,206802,0.7,281365,2.00 17,1,2024-09-07 09:22:00:735,739515,739515,0,0,346363581600,3635650853,731871,6131,1513,368,392075,0 17,2,2024-09-07 09:22:01:677,535550,535550,0,0,23135877,0,3779 17,3,2024-09-07 09:22:00:576,1,422,2,0,518,6815,422,0 18,0,2024-09-07 09:22:00:939,107801,0.7,108339,0.9,215925,0.8,288257,2.25 18,1,2024-09-07 09:22:01:638,742709,742709,0,0,348555656507,3613111877,738709,3621,379,367,391725,0 18,2,2024-09-07 09:22:01:757,535926,535926,0,0,20524796,0,3541 18,3,2024-09-07 09:22:00:896,1,422,0,0,163,3264,422,0 19,0,2024-09-07 09:22:01:542,106973,0.6,107552,0.8,213372,0.6,283785,2.25 19,1,2024-09-07 09:22:00:577,743177,743177,0,0,348549891820,3616393551,737231,5025,921,367,391777,0 19,2,2024-09-07 09:22:01:757,536018,536018,0,0,19442945,0,3988 19,3,2024-09-07 09:22:01:129,1,422,1,0,524,3449,422,0 20,0,2024-09-07 09:22:01:435,102070,0.4,101962,0.6,203937,0.4,271968,2.00 20,1,2024-09-07 09:22:00:583,740167,740167,0,0,347950857491,3639987019,733459,5908,800,369,391922,0 20,2,2024-09-07 09:22:00:932,533273,533273,0,0,23184044,0,3721 20,3,2024-09-07 09:22:00:604,1,422,16,0,414,6193,422,0 21,0,2024-09-07 09:22:01:157,103264,0.5,103530,0.6,206509,0.4,274507,2.00 21,1,2024-09-07 09:22:01:538,738028,738028,0,0,346449421239,3653726643,727080,8472,2476,368,392016,0 21,2,2024-09-07 09:22:01:071,531384,531364,20,0,28311911,0,5617 21,3,2024-09-07 09:22:01:408,1,422,5,0,713,5425,422,0 22,0,2024-09-07 09:22:01:715,109778,0.5,110171,0.7,220215,0.5,291698,2.00 22,1,2024-09-07 09:22:01:024,739570,739570,0,0,347066525885,3648081035,728436,8907,2227,382,391667,0 22,2,2024-09-07 09:22:00:768,532615,532589,26,0,22544850,0,6328 22,3,2024-09-07 09:22:01:068,1,422,4,0,228,3497,422,0 23,0,2024-09-07 09:22:01:367,108288,0.5,107593,0.7,215924,0.5,287941,2.25 23,1,2024-09-07 09:22:01:015,740597,740597,0,0,348189881903,3657185378,727508,9035,4054,365,391690,0 23,2,2024-09-07 09:22:01:092,534554,534554,0,0,21973628,0,3773 23,3,2024-09-07 09:22:01:756,1,422,2,0,720,5555,422,0 24,0,2024-09-07 09:22:00:880,102888,0.4,102455,0.5,205790,0.3,273338,1.75 24,1,2024-09-07 09:22:00:606,739850,739850,0,0,347100804828,3639113240,731850,6408,1592,367,392269,0 24,2,2024-09-07 09:22:01:096,533069,533069,0,0,26378924,0,3607 24,3,2024-09-07 09:22:01:686,1,422,1,0,468,5762,422,0 25,0,2024-09-07 09:22:01:346,105578,0.4,102897,0.6,201618,0.3,275117,1.75 25,1,2024-09-07 09:22:00:580,739221,739221,0,0,347515018554,3661978807,727282,9692,2247,371,391928,0 25,2,2024-09-07 09:22:01:608,532288,532288,0,0,26873348,0,3978 25,3,2024-09-07 09:22:01:002,1,422,2,0,532,4803,422,0 26,0,2024-09-07 09:22:01:720,108075,0.4,105573,0.6,221392,0.3,288348,1.75 26,1,2024-09-07 09:22:01:541,741017,741017,0,0,347199549610,3636168869,730391,9042,1584,380,391748,0 26,2,2024-09-07 09:22:00:861,534124,534124,0,0,26949476,0,2809 26,3,2024-09-07 09:22:01:712,1,422,1,0,796,5153,422,0 27,0,2024-09-07 09:22:01:722,109098,0.5,109236,0.6,217208,0.5,290220,2.25 27,1,2024-09-07 09:22:01:678,742374,742374,0,0,348585098318,3631476599,735119,6354,901,381,391626,0 27,2,2024-09-07 09:22:00:867,530396,530331,65,0,24721839,0,5699 27,3,2024-09-07 09:22:01:015,1,422,2,0,564,4108,422,0 28,0,2024-09-07 09:22:01:390,104043,0.4,103598,0.6,207900,0.3,277022,2.00 28,1,2024-09-07 09:22:00:799,741603,741603,0,0,348164366803,3635136587,734416,5488,1699,383,391698,0 28,2,2024-09-07 09:22:01:773,534400,534400,0,0,22593047,0,2915 28,3,2024-09-07 09:22:01:776,1,422,1,0,502,4674,422,0 29,0,2024-09-07 09:22:01:355,105246,0.3,102479,0.6,201009,0.3,273963,1.75 29,1,2024-09-07 09:22:01:561,744126,744126,0,0,349444661346,3616638680,739263,4128,735,368,391809,0 29,2,2024-09-07 09:22:00:861,533338,533338,0,0,21412081,0,4986 29,3,2024-09-07 09:22:00:965,1,422,8,0,459,4934,422,0 30,0,2024-09-07 09:22:01:456,105988,0.5,103012,0.7,215713,0.4,282762,2.00 30,1,2024-09-07 09:22:00:576,742813,742813,0,0,348424108124,3619881613,736744,5303,766,381,391672,0 30,2,2024-09-07 09:22:01:274,534142,534142,0,0,20971496,0,4192 30,3,2024-09-07 09:22:00:587,1,422,3,0,519,4175,422,0 31,0,2024-09-07 09:22:01:766,108580,0.5,109154,0.7,217705,0.5,290434,2.00 31,1,2024-09-07 09:22:00:568,746094,746094,0,0,350637506094,3594498264,743078,2430,586,356,391712,0 31,2,2024-09-07 09:22:01:275,532978,532978,0,0,22562391,0,3525 31,3,2024-09-07 09:22:01:709,1,422,28,0,220,3755,422,0 32,0,2024-09-07 09:22:01:433,106695,0.3,107391,0.5,213713,0.3,284531,1.75 32,1,2024-09-07 09:22:00:806,742441,742441,0,0,348057637319,3622065511,737327,4433,681,381,391646,0 32,2,2024-09-07 09:22:00:934,535239,535239,0,0,20372128,0,3922 32,3,2024-09-07 09:22:01:017,1,422,1,0,304,3385,422,0 33,0,2024-09-07 09:22:01:503,102499,0.3,102042,0.4,204819,0.2,272058,1.50 33,1,2024-09-07 09:22:00:584,743533,743533,0,0,348660737954,3617283378,737021,5407,1105,368,391730,0 33,2,2024-09-07 09:22:00:763,534181,534146,35,0,22787261,0,7012 33,3,2024-09-07 09:22:00:895,1,422,1,0,329,4136,422,0 34,0,2024-09-07 09:22:00:932,104253,0.3,107246,0.4,205033,0.2,276182,1.75 34,1,2024-09-07 09:22:01:046,744358,744358,0,0,350262486353,3602117440,742621,1726,11,367,391562,0 34,2,2024-09-07 09:22:00:766,534760,534760,0,0,21119181,0,4562 34,3,2024-09-07 09:22:01:688,1,422,1,0,299,3208,422,0 35,0,2024-09-07 09:22:00:859,108577,0.4,109147,0.5,219252,0.3,291296,1.75 35,1,2024-09-07 09:22:01:070,742703,742703,0,0,347939380041,3607648306,738167,3680,856,382,391769,0 35,2,2024-09-07 09:22:01:590,534099,534099,0,0,22756291,0,4055 35,3,2024-09-07 09:22:00:907,1,422,0,0,418,4500,422,0 36,0,2024-09-07 09:22:01:530,108991,0.5,108907,0.7,217780,0.4,289612,2.00 36,1,2024-09-07 09:22:00:586,741482,741482,0,0,347998353426,3635200123,731834,7648,2000,366,391759,0 36,2,2024-09-07 09:22:01:763,533963,533963,0,0,23877786,0,3875 36,3,2024-09-07 09:22:00:864,1,422,1,0,416,6071,422,0 37,0,2024-09-07 09:22:01:372,101114,0.4,101352,0.6,202481,0.4,270035,2.00 37,1,2024-09-07 09:22:00:580,741212,741205,0,7,347339937707,3628506770,732386,6543,2276,365,391770,0 37,2,2024-09-07 09:22:01:143,531753,531738,15,0,23666087,0,5815 37,3,2024-09-07 09:22:01:766,1,422,1,0,888,6345,422,0 38,0,2024-09-07 09:22:01:448,102508,0.4,99560,0.6,208211,0.4,272667,2.00 38,1,2024-09-07 09:22:01:605,742326,742326,0,0,347712214670,3635335357,731654,8511,2161,368,391821,0 38,2,2024-09-07 09:22:00:760,533752,533705,47,0,23617249,0,6710 38,3,2024-09-07 09:22:00:997,1,422,0,0,689,5459,422,0 39,0,2024-09-07 09:22:01:771,111751,0.6,109320,0.7,213106,0.5,291150,2.00 39,1,2024-09-07 09:22:00:721,740106,740106,0,0,347222577032,3641778158,727666,9750,2690,365,391658,0 39,2,2024-09-07 09:22:01:432,534170,534170,0,0,22420523,0,3391 39,3,2024-09-07 09:22:00:713,1,422,1,0,324,4867,422,0 40,0,2024-09-07 09:22:01:488,107586,0.8,108251,1.0,215856,0.9,288272,2.75 40,1,2024-09-07 09:22:00:581,741177,741177,0,0,346977275095,3635189983,730358,8775,2044,368,391668,0 40,2,2024-09-07 09:22:01:303,531774,531773,1,0,25868209,0,5137 40,3,2024-09-07 09:22:01:147,1,422,1,0,1028,5805,422,0 41,0,2024-09-07 09:22:01:027,102127,1.4,104410,1.2,199275,2.1,270976,3.00 41,1,2024-09-07 09:22:00:769,739941,739941,0,0,347123153238,3631802886,730758,8143,1040,369,391742,0 41,2,2024-09-07 09:22:00:778,532466,532466,0,0,24702769,0,4277 41,3,2024-09-07 09:22:01:698,1,422,54,0,366,4273,422,0 42,0,2024-09-07 09:22:01:475,101931,0.8,102000,0.9,204107,0.9,270204,2.50 42,1,2024-09-07 09:22:01:441,738162,738162,0,0,346389941086,3641107009,726200,9931,2031,380,391675,0 42,2,2024-09-07 09:22:01:133,532724,532724,0,0,25032147,0,3790 42,3,2024-09-07 09:22:01:009,1,422,0,0,892,4254,422,0 43,0,2024-09-07 09:22:00:918,106668,0.8,103712,0.9,217248,0.8,285333,2.25 43,1,2024-09-07 09:22:00:583,741386,741386,0,0,348367024594,3640325095,731056,8591,1739,366,391696,0 43,2,2024-09-07 09:22:01:736,533866,533866,0,0,24574162,0,3812 43,3,2024-09-07 09:22:01:749,1,422,1,0,548,5687,422,0 44,0,2024-09-07 09:22:00:864,109018,0.5,109318,0.7,219012,0.5,290803,2.00 44,1,2024-09-07 09:22:00:595,743031,743031,0,0,347804954598,3597136216,736538,5175,1318,356,391809,0 44,2,2024-09-07 09:22:01:270,532034,532034,0,0,20738413,0,4344 44,3,2024-09-07 09:22:01:097,1,422,1,0,817,5033,422,0 45,0,2024-09-07 09:22:01:755,103504,0.5,101058,0.7,211984,0.5,278886,2.00 45,1,2024-09-07 09:22:01:078,742024,742024,0,0,348185023282,3619301579,736366,5085,573,382,391917,0 45,2,2024-09-07 09:22:01:268,535044,535044,0,0,21050140,0,3596 45,3,2024-09-07 09:22:00:934,1,422,1,0,271,3676,422,0 46,0,2024-09-07 09:22:00:952,101535,0.5,101395,0.7,203210,0.4,269288,2.00 46,1,2024-09-07 09:22:00:586,743542,743542,0,0,348682680626,3602797214,738874,4077,591,366,391709,0 46,2,2024-09-07 09:22:00:598,534041,534041,0,0,21207443,0,4443 46,3,2024-09-07 09:22:01:134,1,422,3,0,908,5400,422,0 47,0,2024-09-07 09:22:01:103,105444,0.4,105667,0.6,211673,0.4,280772,1.75 47,1,2024-09-07 09:22:00:567,744498,744498,0,0,348762198463,3605635451,739641,4019,838,366,391641,0 47,2,2024-09-07 09:22:00:907,535998,535998,0,0,20814361,0,4477 47,3,2024-09-07 09:22:01:116,1,422,11,0,600,5229,422,0 48,0,2024-09-07 09:22:01:509,109484,0.3,109285,0.5,217730,0.2,290373,1.75 48,1,2024-09-07 09:22:01:025,742202,742202,0,0,348588467900,3621664334,737492,4254,456,384,391710,0 48,2,2024-09-07 09:22:00:704,533588,533588,0,0,19527942,0,3411 48,3,2024-09-07 09:22:00:759,1,422,1,0,339,3441,422,0 49,0,2024-09-07 09:22:01:710,110347,0.4,108500,0.5,210336,0.3,287749,1.75 49,1,2024-09-07 09:22:01:043,742032,742032,0,0,347523917421,3617033822,736880,3946,1206,382,391809,0 49,2,2024-09-07 09:22:01:797,534433,534433,0,0,21524529,0,4426 49,3,2024-09-07 09:22:01:429,1,422,1,0,992,5107,422,0 50,0,2024-09-07 09:22:01:529,102689,0.3,101035,0.4,204016,0.2,271677,1.75 50,1,2024-09-07 09:22:01:010,744562,744562,0,0,349052781434,3610202719,739638,4350,574,368,391565,0 50,2,2024-09-07 09:22:01:067,533571,533571,0,0,19797495,0,4490 50,3,2024-09-07 09:22:01:292,1,422,8,0,567,4339,422,0 51,0,2024-09-07 09:22:01:685,106135,0.3,103795,0.5,202320,0.2,275977,1.75 51,1,2024-09-07 09:22:01:679,744577,744577,0,0,349609781244,3606461298,740625,2903,1049,365,391706,0 51,2,2024-09-07 09:22:01:321,534993,534993,0,0,18807627,0,3337 51,3,2024-09-07 09:22:01:028,1,422,1,0,678,3485,422,0 52,0,2024-09-07 09:22:01:415,110222,0.5,110129,0.7,220457,0.5,292572,2.00 52,1,2024-09-07 09:22:00:576,741369,741369,0,0,347711551464,3638273583,731041,8975,1353,368,391722,0 52,2,2024-09-07 09:22:01:757,530576,530538,38,0,25058571,0,6742 52,3,2024-09-07 09:22:00:678,1,422,9,0,1782,5829,422,0 53,0,2024-09-07 09:22:01:736,107845,0.7,104779,0.8,219183,0.7,287815,2.25 53,1,2024-09-07 09:22:00:781,739698,739698,0,0,347225738080,3643137302,727781,8957,2960,367,391702,0 53,2,2024-09-07 09:22:01:298,533595,533594,1,0,22611331,0,5455 53,3,2024-09-07 09:22:00:703,1,422,0,0,308,3727,422,0 54,0,2024-09-07 09:22:01:616,100859,0.6,101083,0.8,201324,0.4,269222,2.25 54,1,2024-09-07 09:22:00:580,741665,741665,0,0,348619601534,3624659091,734178,6246,1241,366,391659,0 54,2,2024-09-07 09:22:00:865,533913,533881,32,0,25918753,0,6397 54,3,2024-09-07 09:22:00:763,1,422,1,0,676,6144,422,0 55,0,2024-09-07 09:22:01:761,99366,0.5,102658,0.7,207697,0.5,271029,2.25 55,1,2024-09-07 09:22:00:764,742479,742479,0,0,348249133318,3619415931,734848,6539,1092,365,391731,0 55,2,2024-09-07 09:22:00:747,533064,533008,56,0,24923915,0,7239 55,3,2024-09-07 09:22:00:674,1,422,1,0,304,4308,422,0 56,0,2024-09-07 09:22:01:560,110467,1.4,104222,1.2,214631,1.9,287658,2.75 56,1,2024-09-07 09:22:00:570,737742,737742,0,0,347108227441,3666125496,726237,9132,2373,381,391867,0 56,2,2024-09-07 09:22:01:306,533788,533788,0,0,24413816,0,3567 56,3,2024-09-07 09:22:01:059,1,422,5,0,705,5207,422,0 57,0,2024-09-07 09:22:00:943,107331,1.7,106955,1.3,214768,2.4,288021,3.25 57,1,2024-09-07 09:22:01:003,740708,740708,0,0,347119971950,3638070256,732103,7519,1086,366,392032,0 57,2,2024-09-07 09:22:01:329,532634,532634,0,0,26366703,0,3317 57,3,2024-09-07 09:22:01:747,1,422,1,0,455,4932,422,0 58,0,2024-09-07 09:22:00:557,102320,0.8,99322,1.0,207927,0.9,272517,2.50 58,1,2024-09-07 09:22:00:576,740736,740733,0,3,347812117656,3636763187,730782,8662,1289,367,391603,3 58,2,2024-09-07 09:22:01:073,533652,533652,0,0,24412826,0,2902 58,3,2024-09-07 09:22:01:072,1,422,0,0,1043,4586,422,0 59,0,2024-09-07 09:22:01:745,102054,0.7,101765,0.9,203167,0.8,270324,2.50 59,1,2024-09-07 09:22:00:805,740667,740667,0,0,348478175190,3650245927,730448,8410,1809,369,391653,0 59,2,2024-09-07 09:22:00:587,533148,533148,0,0,24357792,0,3727 59,3,2024-09-07 09:22:01:737,1,422,1,0,1015,5614,422,0 60,0,2024-09-07 09:22:01:733,106169,0.5,106214,0.7,213100,0.5,283581,1.75 60,1,2024-09-07 09:22:00:774,742684,742684,0,0,348320092343,3616315764,737544,4420,720,370,392031,0 60,2,2024-09-07 09:22:01:146,534174,534174,0,0,22842913,0,3811 60,3,2024-09-07 09:22:01:268,1,422,2,0,409,4960,422,0 61,0,2024-09-07 09:22:01:508,108722,0.8,109321,0.8,217663,0.8,290109,2.00 61,1,2024-09-07 09:22:00:773,741184,741184,0,0,347146420724,3638348641,732876,6878,1430,382,392127,0 61,2,2024-09-07 09:22:01:118,533028,532961,67,0,23171984,0,6411 61,3,2024-09-07 09:22:01:687,1,422,1,0,479,5744,422,0 62,0,2024-09-07 09:22:01:709,107153,0.5,109766,0.7,209610,0.5,284277,2.00 62,1,2024-09-07 09:22:01:118,745413,745407,0,6,350264264872,3612410307,741592,3554,261,365,391975,6 62,2,2024-09-07 09:22:01:644,532597,532596,1,0,23339231,0,5555 62,3,2024-09-07 09:22:01:143,1,422,6,0,482,3728,422,0 63,0,2024-09-07 09:22:01:484,102560,0.4,102431,0.5,205295,0.3,272431,1.75 63,1,2024-09-07 09:22:00:804,743154,743148,0,6,348135256973,3615879443,738180,4235,733,381,391800,6 63,2,2024-09-07 09:22:00:768,533564,533564,0,0,21282220,0,4369 63,3,2024-09-07 09:22:01:732,1,422,1,0,667,4400,422,0 64,0,2024-09-07 09:22:01:526,103713,0.5,103635,0.7,206914,0.5,275520,2.00 64,1,2024-09-07 09:22:00:751,741942,741942,0,0,348200408224,3629437881,735265,4974,1703,370,391783,0 64,2,2024-09-07 09:22:01:149,537545,537526,19,0,21194540,0,6121 64,3,2024-09-07 09:22:01:152,1,422,21,0,265,4168,422,0 65,0,2024-09-07 09:22:01:681,108318,0.7,108595,0.8,216900,0.8,288841,2.25 65,1,2024-09-07 09:22:00:859,740492,740492,0,0,346744672739,3625383666,735383,4569,540,382,391901,0 65,2,2024-09-07 09:22:01:700,533983,533983,0,0,24738276,0,3367 65,3,2024-09-07 09:22:01:683,1,422,2,0,782,5060,422,0 66,0,2024-09-07 09:22:01:766,108414,0.5,108247,0.8,216299,0.5,288033,2.25 66,1,2024-09-07 09:22:01:304,742355,742355,0,0,348134073858,3628481258,736858,4972,525,380,391743,0 66,2,2024-09-07 09:22:01:134,535427,535427,0,0,21602982,0,4956 66,3,2024-09-07 09:22:01:080,1,422,1,0,291,3696,422,0 67,0,2024-09-07 09:22:01:417,101748,0.4,101463,0.6,203509,0.4,270989,2.00 67,1,2024-09-07 09:22:00:772,742236,742235,0,1,348033072188,3626674154,736883,4560,792,380,391787,1 67,2,2024-09-07 09:22:00:586,535835,535835,0,0,20781497,0,3622 67,3,2024-09-07 09:22:01:749,1,422,0,0,392,4223,422,0 68,0,2024-09-07 09:22:00:563,103244,0.5,103175,0.7,205463,0.5,274706,2.00 68,1,2024-09-07 09:22:00:569,739458,739458,0,0,347101724454,3651665532,729405,7107,2946,381,391953,0 68,2,2024-09-07 09:22:01:054,532065,531965,100,0,27624364,0,8578 68,3,2024-09-07 09:22:00:732,1,422,12,0,417,4884,422,0 69,0,2024-09-07 09:22:01:830,108614,0.7,109461,0.8,217818,0.8,289621,2.25 69,1,2024-09-07 09:22:01:026,738995,738995,0,0,346679866963,3654751609,729224,7501,2270,384,391994,0 69,2,2024-09-07 09:22:01:745,532699,532670,29,0,29077363,0,6912 69,3,2024-09-07 09:22:00:762,1,422,1,0,698,6056,422,0 70,0,2024-09-07 09:22:01:546,107708,1.2,107836,1.1,216949,1.0,287508,2.75 70,1,2024-09-07 09:22:00:803,742564,742564,0,0,349016951277,3622470946,736587,5316,661,366,391725,0 70,2,2024-09-07 09:22:01:326,532516,532516,0,0,24318784,0,4323 70,3,2024-09-07 09:22:00:753,1,422,0,0,854,4965,422,0 71,0,2024-09-07 09:22:01:357,101752,0.8,101458,1.0,203755,0.9,272389,2.50 71,1,2024-09-07 09:22:01:596,741383,741383,0,0,348070819870,3631397329,732946,7634,803,368,391738,0 71,2,2024-09-07 09:22:01:067,533768,533768,0,0,24330622,0,4352 71,3,2024-09-07 09:22:01:756,1,422,163,0,644,5413,422,0 72,0,2024-09-07 09:22:01:024,106023,0.5,103638,0.7,202040,0.5,274718,2.00 72,1,2024-09-07 09:22:01:033,740138,740138,0,0,346890060487,3640253859,729275,8853,2010,369,391819,0 72,2,2024-09-07 09:22:01:794,532880,532880,0,0,26413657,0,3983 72,3,2024-09-07 09:22:01:760,1,422,16,0,564,6378,422,0 73,0,2024-09-07 09:22:01:099,104959,0.5,107548,0.6,219938,0.4,286352,2.00 73,1,2024-09-07 09:22:00:766,741914,741914,0,0,347934547855,3614588230,736668,4837,409,367,391858,0 73,2,2024-09-07 09:22:01:746,533337,533337,0,0,25896940,0,3701 73,3,2024-09-07 09:22:00:972,1,422,27,0,486,5690,422,0 74,0,2024-09-07 09:22:01:325,109943,0.6,112375,0.7,214330,0.5,291075,2.25 74,1,2024-09-07 09:22:00:636,740640,740640,0,0,346796145600,3622075339,732676,6369,1595,381,391762,0 74,2,2024-09-07 09:22:01:015,532337,532337,0,0,23871559,0,4253 74,3,2024-09-07 09:22:01:443,1,422,0,0,522,5524,422,0 75,0,2024-09-07 09:22:01:766,105305,0.5,104656,0.7,209872,0.5,279973,2.25 75,1,2024-09-07 09:22:01:590,741614,741614,0,0,347617812562,3626161244,734792,5994,828,380,391739,0 75,2,2024-09-07 09:22:01:359,533126,533126,0,0,26133971,0,4766 75,3,2024-09-07 09:22:01:072,1,422,0,0,702,5298,422,0 76,0,2024-09-07 09:22:00:585,101527,0.5,100842,0.7,202411,0.5,270088,2.25 76,1,2024-09-07 09:22:00:809,741364,741364,0,0,347909311172,3630538502,735936,4603,825,382,391692,0 76,2,2024-09-07 09:22:01:062,535812,535811,1,0,23299708,0,5144 76,3,2024-09-07 09:22:01:162,1,422,6,0,175,3884,422,0 77,0,2024-09-07 09:22:01:706,104669,0.6,105201,0.7,210253,0.6,279586,2.00 77,1,2024-09-07 09:22:00:940,741779,741779,0,0,348090060755,3633376700,735969,5309,501,381,391869,0 77,2,2024-09-07 09:22:01:286,533578,533578,0,0,22769381,0,3890 77,3,2024-09-07 09:22:01:096,1,422,8,0,401,4759,422,0 78,0,2024-09-07 09:22:01:716,109249,0.5,108653,0.7,218131,0.4,288908,2.00 78,1,2024-09-07 09:22:00:610,741892,741892,0,0,346834812030,3614854330,734027,6298,1567,367,391670,0 78,2,2024-09-07 09:22:01:405,534127,534114,13,0,21462589,0,8313 78,3,2024-09-07 09:22:01:134,1,422,4,0,181,3710,422,0 79,0,2024-09-07 09:22:01:356,103847,0.4,106290,0.6,217747,0.4,282750,2.25 79,1,2024-09-07 09:22:00:577,743833,743833,0,0,348238706882,3611204834,737262,5262,1309,367,391682,0 79,2,2024-09-07 09:22:01:068,534869,534869,0,0,21079044,0,4195 79,3,2024-09-07 09:22:00:755,1,422,2,0,418,5362,422,0 80,0,2024-09-07 09:22:01:088,102061,0.5,104958,0.6,200920,0.5,271376,2.00 80,1,2024-09-07 09:22:01:624,741627,741627,0,0,348103364572,3627505800,736075,5131,421,368,392269,0 80,2,2024-09-07 09:22:01:092,535555,535555,0,0,21356876,0,4433 80,3,2024-09-07 09:22:00:575,1,422,1,0,190,4973,422,0 81,0,2024-09-07 09:22:01:543,103287,0.5,105769,0.7,201896,0.5,274683,2.00 81,1,2024-09-07 09:22:01:654,740713,740713,0,0,347557646485,3633072989,734709,5464,540,382,391879,0 81,2,2024-09-07 09:22:01:136,533045,532982,63,0,23730043,0,5932 81,3,2024-09-07 09:22:01:119,1,422,1,0,719,5102,422,0 82,0,2024-09-07 09:22:01:532,109587,0.5,109802,0.7,220305,0.5,291980,2.00 82,1,2024-09-07 09:22:00:606,742769,742765,0,4,348591886046,3627564591,738068,3878,819,381,391768,4 82,2,2024-09-07 09:22:01:691,534977,534977,0,0,20186479,0,4484 82,3,2024-09-07 09:22:01:755,1,422,1,0,363,4581,422,0 83,0,2024-09-07 09:22:01:521,108572,0.7,108566,0.8,216524,0.7,288046,2.25 83,1,2024-09-07 09:22:00:551,740804,740804,0,0,347326433197,3623925980,735278,5076,450,382,391709,0 83,2,2024-09-07 09:22:00:767,533344,533344,0,0,20887326,0,3393 83,3,2024-09-07 09:22:00:750,1,422,1,0,1260,5503,422,0 84,0,2024-09-07 09:22:01:777,101680,0.6,101717,0.8,202692,0.5,271652,2.25 84,1,2024-09-07 09:22:01:042,740350,740350,0,0,347603058206,3631735986,732679,6629,1042,367,391967,0 84,2,2024-09-07 09:22:00:571,533005,532975,30,0,27958154,0,5971 84,3,2024-09-07 09:22:01:142,1,422,1,0,908,6396,422,0 85,0,2024-09-07 09:22:01:009,99050,0.6,99034,0.7,210196,0.5,272238,2.25 85,1,2024-09-07 09:22:00:563,738796,738796,0,0,346407257429,3655319742,727993,8884,1919,381,392006,0 85,2,2024-09-07 09:22:00:883,533102,533102,0,0,25942285,0,3656 85,3,2024-09-07 09:22:00:691,1,422,1,0,789,5204,422,0 86,0,2024-09-07 09:22:00:886,108035,0.6,111127,0.8,212809,0.7,287922,2.25 86,1,2024-09-07 09:22:00:827,740766,740766,0,0,347843292261,3643072070,732100,7225,1441,366,391961,0 86,2,2024-09-07 09:22:00:879,531686,531685,1,0,28033832,0,5004 86,3,2024-09-07 09:22:00:600,1,422,6,0,308,6055,422,0 87,0,2024-09-07 09:22:01:321,108693,1.0,108545,0.9,217197,1.4,290445,2.50 87,1,2024-09-07 09:22:00:551,739503,739503,0,0,346923107150,3637557549,729556,8355,1592,366,392076,0 87,2,2024-09-07 09:22:01:067,531519,531513,6,0,24873626,0,6323 87,3,2024-09-07 09:22:01:795,1,422,2,0,473,6679,422,0 88,0,2024-09-07 09:22:01:457,103777,0.4,104484,0.6,207958,0.4,276810,1.75 88,1,2024-09-07 09:22:00:570,739374,739374,0,0,347716522619,3643085550,729671,7696,2007,365,392084,0 88,2,2024-09-07 09:22:00:688,534388,534388,0,0,26898060,0,4465 88,3,2024-09-07 09:22:01:269,1,422,2,0,435,4794,422,0 89,0,2024-09-07 09:22:01:770,105268,0.4,101924,0.6,201646,0.4,274030,1.75 89,1,2024-09-07 09:22:00:549,739307,739307,0,0,346925537327,3647459946,730138,7743,1426,382,391866,0 89,2,2024-09-07 09:22:01:134,532715,532715,0,0,25281500,0,3173 89,3,2024-09-07 09:22:01:798,1,422,11,0,468,7467,422,0 90,0,2024-09-07 09:22:01:650,103166,0.5,105930,0.6,216151,0.4,282661,2.00 90,1,2024-09-07 09:22:00:628,740363,740363,0,0,347904314069,3642169034,733597,6255,511,380,391825,0 90,2,2024-09-07 09:22:01:421,532013,532013,0,0,26742288,0,3060 90,3,2024-09-07 09:22:00:931,1,422,8,0,322,5248,422,0 91,0,2024-09-07 09:22:00:951,109541,0.5,106014,0.7,221635,0.5,290832,1.75 91,1,2024-09-07 09:22:00:708,739314,739314,0,0,346860018968,3647469051,730063,7848,1403,381,392047,0 91,2,2024-09-07 09:22:01:338,534229,534229,0,0,23728365,0,2896 91,3,2024-09-07 09:22:00:602,1,422,28,0,216,4224,422,0 92,0,2024-09-07 09:22:01:445,107480,0.5,110248,0.6,210443,0.4,284670,1.75 92,1,2024-09-07 09:22:00:588,740927,740927,0,0,347290357322,3627395385,735395,4807,725,381,392136,0 92,2,2024-09-07 09:22:01:349,535125,535125,0,0,21424601,0,3259 92,3,2024-09-07 09:22:01:009,1,422,1,0,167,3762,422,0 93,0,2024-09-07 09:22:00:993,103046,0.4,105603,0.5,201471,0.3,272614,1.75 93,1,2024-09-07 09:22:00:810,740782,740782,0,0,348389454356,3638097735,732653,6746,1383,366,391776,0 93,2,2024-09-07 09:22:00:928,533091,533091,0,0,26016231,0,4845 93,3,2024-09-07 09:22:01:407,1,422,4,0,190,3801,422,0 94,0,2024-09-07 09:22:01:654,103627,0.4,104597,0.5,208679,0.3,277204,1.75 94,1,2024-09-07 09:22:00:807,741622,741622,0,0,348060486346,3631337728,736837,4575,210,381,391850,0 94,2,2024-09-07 09:22:00:768,533793,533793,0,0,21725161,0,2443 94,3,2024-09-07 09:22:01:703,1,422,10,0,576,5660,422,0 95,0,2024-09-07 09:22:01:404,109289,0.4,109103,0.5,218820,0.3,291339,1.75 95,1,2024-09-07 09:22:01:057,742175,742175,0,0,348354084483,3621772745,736130,5609,436,365,391786,0 95,2,2024-09-07 09:22:01:022,533485,533485,0,0,21498741,0,3308 95,3,2024-09-07 09:22:01:714,1,422,9,0,718,6161,422,0 96,0,2024-09-07 09:22:01:028,108840,0.4,109264,0.5,217864,0.3,289180,1.75 96,1,2024-09-07 09:22:01:590,741298,741298,0,0,347771457843,3630268806,735757,4658,883,384,391955,0 96,2,2024-09-07 09:22:01:311,534265,534265,0,0,22494933,0,4180 96,3,2024-09-07 09:22:01:150,1,422,9,0,411,4775,422,0 97,0,2024-09-07 09:22:01:315,101782,0.3,101374,0.5,203397,0.2,270794,1.50 97,1,2024-09-07 09:22:00:765,742693,742693,0,0,348858673748,3620822866,737546,4215,932,367,392140,0 97,2,2024-09-07 09:22:00:606,534237,534237,0,0,21614836,0,3679 97,3,2024-09-07 09:22:00:576,1,422,1,0,242,5101,422,0 98,0,2024-09-07 09:22:01:745,102941,0.3,102937,0.4,206536,0.2,274609,1.50 98,1,2024-09-07 09:22:00:572,741442,741442,0,0,348421910729,3631284098,736490,4161,791,382,391997,0 98,2,2024-09-07 09:22:00:768,534580,534580,0,0,21825326,0,4336 98,3,2024-09-07 09:22:00:704,1,422,1,0,840,7134,422,0 99,0,2024-09-07 09:22:01:511,109110,0.3,109889,0.5,218245,0.3,292339,1.75 99,1,2024-09-07 09:22:01:756,742134,742134,0,0,348053228259,3623501227,737192,4105,837,381,392069,0 99,2,2024-09-07 09:22:01:417,534659,534659,0,0,25632493,0,4276 99,3,2024-09-07 09:22:00:597,1,422,5,0,606,4687,422,0 100,0,2024-09-07 09:22:01:481,108570,0.9,108811,1.0,216784,1.0,290146,2.50 100,1,2024-09-07 09:22:00:550,737848,737848,0,0,345695189406,3652038512,727120,8682,2046,381,391989,0 100,2,2024-09-07 09:22:01:816,530583,530572,11,0,24942168,0,5417 100,3,2024-09-07 09:22:01:731,1,422,1,0,559,6883,422,0 101,0,2024-09-07 09:22:01:698,104908,0.9,102026,1.0,200518,0.7,274478,2.25 101,1,2024-09-07 09:22:00:551,738315,738315,0,0,345983947641,3640325497,727644,8548,2123,368,391847,0 101,2,2024-09-07 09:22:01:755,530722,530722,0,0,29824123,0,4871 101,3,2024-09-07 09:22:00:943,1,422,2,0,579,5870,422,0 102,0,2024-09-07 09:22:00:951,99935,0.6,103107,0.7,208901,0.6,273513,2.00 102,1,2024-09-07 09:22:01:144,738862,738862,0,0,346905039327,3645915220,728987,8113,1762,369,391891,0 102,2,2024-09-07 09:22:01:755,534125,534071,54,0,24024370,0,6768 102,3,2024-09-07 09:22:01:617,1,422,1,0,466,4686,422,0 103,0,2024-09-07 09:22:01:627,111177,0.6,111147,0.7,209526,0.6,288565,2.00 103,1,2024-09-07 09:22:01:628,737957,737957,0,0,346839748118,3663628678,725960,8931,3066,381,391829,0 103,2,2024-09-07 09:22:00:594,531925,531925,0,0,26607106,0,3766 103,3,2024-09-07 09:22:00:763,1,422,4,0,916,4690,422,0 104,0,2024-09-07 09:22:01:027,108038,0.8,108403,1.0,215686,0.8,289216,2.50 104,1,2024-09-07 09:22:01:607,740341,740341,0,0,347723893605,3652664715,729503,8976,1862,365,392168,0 104,2,2024-09-07 09:22:01:682,531667,531667,0,0,25471704,0,3941 104,3,2024-09-07 09:22:01:421,1,422,1,0,1245,8508,422,0 105,0,2024-09-07 09:22:01:036,103666,0.8,100989,1.1,211592,0.9,278077,2.50 105,1,2024-09-07 09:22:00:555,740823,740823,0,0,348051877180,3647060054,731391,8007,1425,366,392009,0 105,2,2024-09-07 09:22:01:328,532793,532793,0,0,25283336,0,3509 105,3,2024-09-07 09:22:01:304,1,422,2,0,399,6548,422,0 106,0,2024-09-07 09:22:00:957,98464,0.7,100851,0.9,206576,0.7,269826,2.50 106,1,2024-09-07 09:22:01:758,739412,739412,0,0,347118096998,3645184039,728393,9572,1447,369,391914,0 106,2,2024-09-07 09:22:00:766,532533,532533,0,0,24597436,0,2920 106,3,2024-09-07 09:22:00:689,1,422,20,0,470,5474,422,0 107,0,2024-09-07 09:22:01:200,104842,0.9,105195,0.9,209971,1.1,280554,2.25 107,1,2024-09-07 09:22:00:642,738729,738729,0,0,346701821452,3654186252,728568,9110,1051,381,392234,0 107,2,2024-09-07 09:22:01:318,532024,532023,1,0,25538754,0,5024 107,3,2024-09-07 09:22:01:771,1,422,4,0,370,6427,422,0 108,0,2024-09-07 09:22:01:767,108653,0.5,109480,0.6,217724,0.5,290091,1.75 108,1,2024-09-07 09:22:01:299,741153,741153,0,0,347891963833,3629081580,735013,5396,744,368,391857,0 108,2,2024-09-07 09:22:01:758,532275,532275,0,0,24164644,0,4246 108,3,2024-09-07 09:22:01:344,1,422,1,0,749,7953,422,0 109,0,2024-09-07 09:22:01:824,108247,0.4,107387,0.6,214815,0.4,286953,1.75 109,1,2024-09-07 09:22:00:583,738542,738542,0,0,347347227642,3647175286,731145,6212,1185,382,392132,0 109,2,2024-09-07 09:22:00:926,531588,531588,0,0,23702909,0,3617 109,3,2024-09-07 09:22:01:155,1,422,6,0,379,4969,422,0 110,0,2024-09-07 09:22:01:780,101886,0.3,99314,0.5,208006,0.3,272031,1.75 110,1,2024-09-07 09:22:01:643,742478,742478,0,0,348502257666,3618249068,737088,4212,1178,369,392045,0 110,2,2024-09-07 09:22:01:308,533873,533873,0,0,22618915,0,4067 110,3,2024-09-07 09:22:00:691,1,422,0,0,722,6074,422,0 111,0,2024-09-07 09:22:01:418,103702,0.4,102901,0.5,206478,0.3,275998,1.75 111,1,2024-09-07 09:22:01:002,742593,742593,0,0,349068836730,3620936500,738710,3528,355,380,391690,0 111,2,2024-09-07 09:22:01:117,533699,533699,0,0,22374293,0,4823 111,3,2024-09-07 09:22:00:912,1,422,3,0,379,5053,422,0 112,0,2024-09-07 09:22:00:929,110504,0.3,110165,0.4,220516,0.2,292956,1.50 112,1,2024-09-07 09:22:00:827,742873,742873,0,0,348131717379,3614529320,738160,4100,613,380,391624,0 112,2,2024-09-07 09:22:01:134,533667,533666,1,0,21650056,0,5036 112,3,2024-09-07 09:22:00:602,1,422,7,0,282,4216,422,0 113,0,2024-09-07 09:22:00:896,108658,0.3,108722,0.5,217802,0.3,289994,1.75 113,1,2024-09-07 09:22:01:686,744652,744652,0,0,349246918269,3604033465,740764,3299,589,366,391661,0 113,2,2024-09-07 09:22:01:307,534852,534852,0,0,19947674,0,3813 113,3,2024-09-07 09:22:00:685,1,422,1,0,340,4726,422,0 114,0,2024-09-07 09:22:00:885,102655,0.3,103235,0.5,205278,0.2,274237,1.75 114,1,2024-09-07 09:22:00:722,741979,741979,0,0,348861030698,3625742875,735700,4663,1616,381,391565,0 114,2,2024-09-07 09:22:00:873,534807,534806,1,0,21036875,0,5069 114,3,2024-09-07 09:22:01:282,1,422,1,0,395,3650,422,0 115,0,2024-09-07 09:22:00:553,102879,0.3,103498,0.4,206393,0.2,274809,1.50 115,1,2024-09-07 09:22:00:571,742669,742669,0,0,349189854345,3630981800,736179,5223,1267,382,391757,0 115,2,2024-09-07 09:22:01:128,535765,535765,0,0,20873717,0,4382 115,3,2024-09-07 09:22:01:011,1,422,0,0,159,2390,422,0 116,0,2024-09-07 09:22:01:697,107809,0.7,107681,0.8,216244,0.8,288980,2.00 116,1,2024-09-07 09:22:00:803,738802,738802,0,0,346996388340,3655758154,730197,6280,2325,380,392089,0 116,2,2024-09-07 09:22:01:756,532928,532928,0,0,26431768,0,4128 116,3,2024-09-07 09:22:00:913,1,422,3,0,415,5002,422,0 117,0,2024-09-07 09:22:00:983,109173,0.8,108607,0.8,217518,1.0,290745,2.00 117,1,2024-09-07 09:22:01:581,740026,740026,0,0,346200120475,3623717102,732277,6834,915,369,392033,0 117,2,2024-09-07 09:22:01:121,534973,534973,0,0,22618599,0,4303 117,3,2024-09-07 09:22:01:062,1,422,14,0,490,6497,422,0 118,0,2024-09-07 09:22:01:773,100838,0.5,103404,0.7,211129,0.4,275761,2.00 118,1,2024-09-07 09:22:00:591,740098,740098,0,0,346330860797,3645426038,728191,8908,2999,366,391907,0 118,2,2024-09-07 09:22:01:604,533436,533436,0,0,24885055,0,2842 118,3,2024-09-07 09:22:01:767,1,422,1,0,248,4822,422,0 119,0,2024-09-07 09:22:01:347,101994,0.5,102394,0.7,204774,0.5,272433,2.00 119,1,2024-09-07 09:22:00:582,740383,740383,0,0,348056044725,3642600142,731904,7363,1116,367,391780,0 119,2,2024-09-07 09:22:01:292,534524,534524,0,0,23428091,0,4174 119,3,2024-09-07 09:22:01:330,1,422,6,0,1358,8455,422,0 120,0,2024-09-07 09:22:01:552,105588,0.7,105412,0.8,211601,0.7,282926,2.25 120,1,2024-09-07 09:22:00:895,740502,740502,0,0,346733263337,3639846618,732136,7597,769,368,391961,0 120,2,2024-09-07 09:22:00:775,533087,533086,1,0,26690784,0,5281 120,3,2024-09-07 09:22:01:292,1,422,1,0,279,5325,422,0 121,0,2024-09-07 09:22:01:688,108852,1.5,108523,1.1,217889,2.1,290100,2.50 121,1,2024-09-07 09:22:01:655,740763,740763,0,0,347263914582,3630394311,734048,6255,460,367,391840,0 121,2,2024-09-07 09:22:01:129,532184,532184,0,0,25670146,0,4127 121,3,2024-09-07 09:22:00:750,1,422,1,0,269,4717,422,0 122,0,2024-09-07 09:22:01:793,106079,0.8,103504,0.9,217035,0.8,284485,2.00 122,1,2024-09-07 09:22:00:861,739270,739270,0,0,346928575134,3641801379,728837,8830,1603,366,392130,0 122,2,2024-09-07 09:22:01:318,534074,534001,73,0,28460539,0,5989 122,3,2024-09-07 09:22:00:596,1,422,0,0,512,7669,422,0 123,0,2024-09-07 09:22:00:997,101919,0.6,99499,0.7,208175,0.6,271946,2.00 123,1,2024-09-07 09:22:00:563,739220,739220,0,0,346812433653,3655609788,725677,11102,2441,369,392039,0 123,2,2024-09-07 09:22:01:029,531797,531796,1,0,24039583,0,5215 123,3,2024-09-07 09:22:01:135,1,422,0,0,478,4970,422,0 124,0,2024-09-07 09:22:00:937,107152,0.3,107214,0.5,202060,0.3,277872,1.75 124,1,2024-09-07 09:22:01:036,742135,742135,0,0,348036211495,3618427180,736859,4227,1049,367,392178,0 124,2,2024-09-07 09:22:01:014,534948,534895,53,0,21952229,0,6487 124,3,2024-09-07 09:22:00:764,1,422,1,0,490,4110,422,0 125,0,2024-09-07 09:22:01:439,109274,0.4,109046,0.6,219067,0.4,291413,1.75 125,1,2024-09-07 09:22:00:864,740446,740446,0,0,347330567819,3627888367,734540,5236,670,382,391702,0 125,2,2024-09-07 09:22:01:116,534717,534717,0,0,22683189,0,4534 125,3,2024-09-07 09:22:01:130,1,422,1,0,709,5369,422,0 126,0,2024-09-07 09:22:01:415,108777,0.4,111988,0.6,214248,0.4,289806,1.75 126,1,2024-09-07 09:22:00:555,742975,742975,0,0,348687097085,3612299133,738976,3706,293,365,391987,0 126,2,2024-09-07 09:22:00:610,534466,534466,0,0,23408716,0,4539 126,3,2024-09-07 09:22:00:908,1,422,0,0,268,5262,422,0 127,0,2024-09-07 09:22:01:593,101687,0.3,102019,0.5,203613,0.2,270913,1.50 127,1,2024-09-07 09:22:00:575,742365,742365,0,0,347609926327,3617002454,735027,6118,1220,364,392187,0 127,2,2024-09-07 09:22:00:637,533032,533032,0,0,21155143,0,3897 127,3,2024-09-07 09:22:01:267,1,422,1,0,968,4611,422,0 128,0,2024-09-07 09:22:01:533,103430,0.3,103710,0.4,206874,0.2,274974,1.50 128,1,2024-09-07 09:22:01:605,741732,741732,0,0,348882979041,3622768153,736830,4469,433,367,392031,0 128,2,2024-09-07 09:22:01:392,535494,535494,0,0,20613145,0,3171 128,3,2024-09-07 09:22:00:777,1,422,49,0,1082,6685,422,0 129,0,2024-09-07 09:22:01:006,110090,0.3,109511,0.5,219562,0.3,292743,1.75 129,1,2024-09-07 09:22:00:596,739146,739146,0,0,347097725685,3639077232,731477,5999,1670,379,391962,0 129,2,2024-09-07 09:22:00:686,534682,534682,0,0,21994290,0,4031 129,3,2024-09-07 09:22:00:691,1,422,1,0,506,5850,422,0 130,0,2024-09-07 09:22:01:721,109587,0.5,109019,0.6,219454,0.6,291557,1.75 130,1,2024-09-07 09:22:00:589,742147,742147,0,0,348355866338,3620882506,738111,3697,339,381,391825,0 130,2,2024-09-07 09:22:01:129,534185,534185,0,0,22425401,0,4067 130,3,2024-09-07 09:22:01:292,1,422,0,0,960,6705,422,0 131,0,2024-09-07 09:22:01:962,102535,0.3,103017,0.5,207094,0.3,274333,1.75 131,1,2024-09-07 09:22:01:821,741705,741705,0,0,347699302202,3633258261,735743,4914,1048,381,391865,0 131,2,2024-09-07 09:22:00:580,536697,536697,0,0,20658809,0,3979 131,3,2024-09-07 09:22:01:688,1,422,1,0,392,4809,422,0 132,0,2024-09-07 09:22:01:418,102612,0.4,103616,0.6,206106,0.4,274301,1.75 132,1,2024-09-07 09:22:00:583,738271,738271,0,0,346281979079,3647912711,727150,9016,2105,381,392097,0 132,2,2024-09-07 09:22:00:704,533396,533379,17,0,26925136,0,6451 132,3,2024-09-07 09:22:01:690,1,422,73,0,804,7546,422,0 133,0,2024-09-07 09:22:01:523,104840,0.4,107268,0.6,220227,0.4,286830,1.75 133,1,2024-09-07 09:22:00:588,738340,738340,0,0,346944260998,3657941427,727797,9028,1515,383,391914,0 133,2,2024-09-07 09:22:01:098,532850,532800,50,0,28286403,0,6861 133,3,2024-09-07 09:22:01:317,1,422,27,0,479,4336,422,0 134,0,2024-09-07 09:22:00:944,109599,0.6,109207,0.8,218486,0.6,291502,2.25 134,1,2024-09-07 09:22:00:598,739731,739731,0,0,347130573516,3641863807,729945,7751,2035,366,391718,0 134,2,2024-09-07 09:22:01:758,531132,531108,24,0,25979725,0,6207 134,3,2024-09-07 09:22:00:756,1,422,1,0,739,5425,422,0 135,0,2024-09-07 09:22:01:103,101585,0.8,101614,0.9,215627,0.9,277582,2.25 135,1,2024-09-07 09:22:01:602,739731,739731,0,0,347582592077,3657220429,729777,8583,1371,380,391805,0 135,2,2024-09-07 09:22:00:688,534126,534126,0,0,25157432,0,3981 135,3,2024-09-07 09:22:01:001,1,422,1,0,299,3514,422,0 136,0,2024-09-07 09:22:01:656,102335,0.5,102577,0.7,203923,0.5,271901,2.00 136,1,2024-09-07 09:22:01:457,740321,740321,0,0,347614794686,3646006824,731753,7606,962,381,391685,0 136,2,2024-09-07 09:22:01:140,534938,534938,0,0,24052935,0,3506 136,3,2024-09-07 09:22:01:126,1,422,7,0,637,4936,422,0 137,0,2024-09-07 09:22:00:933,108252,0.6,105445,0.7,206991,0.6,281591,2.00 137,1,2024-09-07 09:22:00:585,738974,738974,0,0,346603199155,3637856093,727459,9156,2359,366,391898,0 137,2,2024-09-07 09:22:01:710,532424,532424,0,0,26668071,0,3185 137,3,2024-09-07 09:22:00:777,1,422,7,0,484,5230,422,0 138,0,2024-09-07 09:22:01:769,108505,1.0,108474,1.0,217714,1.3,288921,2.25 138,1,2024-09-07 09:22:01:686,740094,740094,0,0,347429890822,3644481765,729854,8519,1721,368,391954,0 138,2,2024-09-07 09:22:00:585,532803,532803,0,0,24278369,0,4988 138,3,2024-09-07 09:22:00:610,1,422,2,0,1160,5637,422,0 139,0,2024-09-07 09:22:01:369,106723,1.6,106781,1.1,214032,2.3,285437,2.50 139,1,2024-09-07 09:22:00:589,736251,736251,0,0,345538435107,3672360195,721888,11058,3305,380,392058,0 139,2,2024-09-07 09:22:00:692,529714,529714,0,0,27676500,0,3097 139,3,2024-09-07 09:22:01:667,1,422,1,0,432,4999,422,0 140,0,2024-09-07 09:22:01:602,102487,0.3,101975,0.5,204619,0.2,272428,1.75 140,1,2024-09-07 09:22:01:537,744065,744065,0,0,348992941272,3598771882,740501,3123,441,365,391606,0 140,2,2024-09-07 09:22:00:687,534414,534413,1,0,21373001,0,5036 140,3,2024-09-07 09:22:00:768,1,422,0,0,297,3571,422,0 141,0,2024-09-07 09:22:01:698,103530,0.3,106323,0.5,203119,0.2,275815,1.75 141,1,2024-09-07 09:22:00:861,742534,742534,0,0,349201829755,3627774710,736849,4728,957,379,391614,0 141,2,2024-09-07 09:22:01:686,534593,534593,0,0,21142250,0,3360 141,3,2024-09-07 09:22:01:052,1,422,0,0,391,4894,422,0 142,0,2024-09-07 09:22:01:361,110693,0.3,110036,0.5,220085,0.3,293579,1.75 142,1,2024-09-07 09:22:00:597,741737,741737,0,0,347968674375,3627601303,737052,4288,397,382,392102,0 142,2,2024-09-07 09:22:01:331,533337,533305,32,0,23251512,0,6028 142,3,2024-09-07 09:22:01:747,1,422,0,0,484,4800,422,0 143,0,2024-09-07 09:22:01:394,108827,0.5,108945,0.6,218085,0.5,290052,1.75 143,1,2024-09-07 09:22:00:557,743071,743071,0,0,348893968518,3621777043,738029,4498,544,367,391705,0 143,2,2024-09-07 09:22:00:774,533510,533510,0,0,22233722,0,3123 143,3,2024-09-07 09:22:01:152,1,422,3,0,462,5298,422,0 144,0,2024-09-07 09:22:01:500,99135,0.6,101904,0.8,207661,0.5,272079,2.00 144,1,2024-09-07 09:22:00:565,738859,738859,0,0,346187725800,3635845281,731147,5878,1834,381,391649,0 144,2,2024-09-07 09:22:01:759,534939,534939,0,0,21127575,0,3673 144,3,2024-09-07 09:22:01:739,1,422,2,0,249,4352,422,0 145,0,2024-09-07 09:22:01:356,99411,0.5,99490,0.7,211016,0.4,271957,2.25 145,1,2024-09-07 09:22:00:552,738954,738954,0,0,346691731596,3646905903,729336,7956,1662,382,391759,0 145,2,2024-09-07 09:22:01:435,532401,532320,81,0,25991318,0,7814 145,3,2024-09-07 09:22:00:896,1,422,2,0,622,6140,422,0 146,0,2024-09-07 09:22:01:607,108109,0.6,107470,0.8,216786,0.6,287473,2.25 146,1,2024-09-07 09:22:01:592,740350,740350,0,0,346897416451,3642619989,729401,8829,2120,367,391770,0 146,2,2024-09-07 09:22:01:699,532336,532336,0,0,24707878,0,3290 146,3,2024-09-07 09:22:01:275,1,422,1,0,1520,7999,422,0 147,0,2024-09-07 09:22:01:700,108977,0.7,108892,0.8,217022,0.7,290234,2.25 147,1,2024-09-07 09:22:01:375,743159,743159,0,0,348115819861,3621705598,736523,5791,845,368,391791,0 147,2,2024-09-07 09:22:01:015,532434,532434,0,0,22283772,0,2968 147,3,2024-09-07 09:22:00:913,1,422,2,0,1626,7361,422,0 0,0,2024-09-07 09:22:11:710,103718,0.6,103777,0.8,220032,0.7,284832,2.00 0,1,2024-09-07 09:22:10:805,742117,742117,0,0,347831223793,3641202112,736670,5059,388,369,391896,0 0,2,2024-09-07 09:22:11:067,536069,536069,0,0,21737201,0,4480 0,3,2024-09-07 09:22:10:974,1,423,7,0,431,6337,423,0 1,0,2024-09-07 09:22:11:781,109168,1.2,108424,1.1,217540,1.7,290790,2.25 1,1,2024-09-07 09:22:10:561,741580,741580,0,0,347693776034,3640194064,735151,5103,1326,370,391859,0 1,2,2024-09-07 09:22:10:668,533362,533362,0,0,22059844,0,3380 1,3,2024-09-07 09:22:11:311,1,423,0,0,268,5203,423,0 2,0,2024-09-07 09:22:11:571,106367,0.6,106618,0.8,212375,0.7,283169,2.00 2,1,2024-09-07 09:22:10:864,744180,744180,0,0,349296509095,3626008174,740893,2992,295,380,391745,0 2,2,2024-09-07 09:22:11:272,535901,535901,0,0,20676427,0,3594 2,3,2024-09-07 09:22:10:697,1,423,2,0,357,4143,423,0 3,0,2024-09-07 09:22:11:744,102384,0.4,102146,0.6,204239,0.3,271559,2.00 3,1,2024-09-07 09:22:11:618,742846,742846,0,0,348022683599,3625468897,736626,5530,690,380,391716,0 3,2,2024-09-07 09:22:11:152,535213,535190,23,0,21829655,0,5851 3,3,2024-09-07 09:22:11:756,1,423,0,0,207,2834,423,0 4,0,2024-09-07 09:22:11:798,101244,0.4,104016,0.5,212105,0.3,277871,1.75 4,1,2024-09-07 09:22:10:631,740281,740281,0,0,346816832542,3666492422,728470,9304,2507,370,391992,0 4,2,2024-09-07 09:22:11:017,533227,533227,0,0,24884247,0,4528 4,3,2024-09-07 09:22:11:031,1,423,1,0,448,5536,423,0 5,0,2024-09-07 09:22:11:450,109205,0.4,109807,0.6,219122,0.4,290853,1.75 5,1,2024-09-07 09:22:10:770,741344,741344,0,0,347752738781,3661685484,730922,8264,2158,367,392005,0 5,2,2024-09-07 09:22:11:829,532308,532308,0,0,24754788,0,3582 5,3,2024-09-07 09:22:11:732,1,423,2,0,457,5979,423,0 6,0,2024-09-07 09:22:10:915,109126,0.5,108763,0.7,217341,0.4,289880,2.00 6,1,2024-09-07 09:22:10:746,741859,741859,0,0,348422767668,3641798162,733652,6814,1393,379,391694,0 6,2,2024-09-07 09:22:11:120,534300,534282,18,0,24678665,0,5535 6,3,2024-09-07 09:22:11:273,1,423,0,0,710,5343,423,0 7,0,2024-09-07 09:22:11:533,101304,0.5,102033,0.6,203148,0.4,270671,2.00 7,1,2024-09-07 09:22:10:856,741414,741414,0,0,348027479862,3651351615,731645,8306,1463,382,391747,0 7,2,2024-09-07 09:22:10:772,535822,535822,0,0,23955608,0,4791 7,3,2024-09-07 09:22:10:852,1,423,1,0,552,4913,423,0 8,0,2024-09-07 09:22:11:342,103579,0.4,103270,0.5,206912,0.3,275746,1.75 8,1,2024-09-07 09:22:11:026,740144,740144,0,0,347693752172,3656575123,728619,8896,2629,366,392853,0 8,2,2024-09-07 09:22:10:814,531286,531286,0,0,28097834,0,3250 8,3,2024-09-07 09:22:10:619,1,423,7,0,538,7011,423,0 9,0,2024-09-07 09:22:11:145,109956,0.4,106748,0.5,223379,0.3,293605,1.75 9,1,2024-09-07 09:22:10:551,739996,739996,0,0,347668429808,3662623440,728942,8633,2421,369,392001,0 9,2,2024-09-07 09:22:11:086,533950,533950,0,0,24977620,0,3360 9,3,2024-09-07 09:22:11:765,1,423,10,0,496,6059,423,0 10,0,2024-09-07 09:22:11:599,109119,0.4,108509,0.5,218116,0.3,290445,1.75 10,1,2024-09-07 09:22:10:589,741728,741728,0,0,347963237478,3648330176,731346,8759,1623,381,391741,0 10,2,2024-09-07 09:22:10:771,533689,533689,0,0,27263388,0,4264 10,3,2024-09-07 09:22:10:872,1,423,1,0,649,4575,423,0 11,0,2024-09-07 09:22:11:018,102704,0.4,99652,0.6,208440,0.4,274721,1.75 11,1,2024-09-07 09:22:10:605,742094,742094,0,0,348563576242,3657453623,730767,8701,2626,383,391756,0 11,2,2024-09-07 09:22:11:123,534836,534836,0,0,24175920,0,4130 11,3,2024-09-07 09:22:11:298,1,423,2,0,843,6202,423,0 12,0,2024-09-07 09:22:10:972,103559,0.4,103613,0.5,207438,0.3,275004,1.75 12,1,2024-09-07 09:22:10:935,742047,742047,0,0,346934573668,3617498140,736491,5054,502,370,391870,0 12,2,2024-09-07 09:22:11:543,535404,535404,0,0,23371899,0,3469 12,3,2024-09-07 09:22:11:059,1,423,1,0,386,6342,423,0 13,0,2024-09-07 09:22:11:326,108901,0.4,108458,0.6,216609,0.4,288885,1.75 13,1,2024-09-07 09:22:11:536,740803,740803,0,0,347264136259,3651607266,733192,5980,1631,382,391740,0 13,2,2024-09-07 09:22:10:605,536593,536593,0,0,21703210,0,3287 13,3,2024-09-07 09:22:11:769,1,423,9,0,522,6245,423,0 14,0,2024-09-07 09:22:10:566,109079,0.5,110147,0.7,217838,0.4,290666,2.00 14,1,2024-09-07 09:22:11:566,745838,745838,0,0,350026214871,3626208924,739618,5511,709,364,391673,0 14,2,2024-09-07 09:22:10:764,533972,533942,30,0,23688949,0,6104 14,3,2024-09-07 09:22:11:117,1,423,0,0,1168,4726,423,0 15,0,2024-09-07 09:22:11:553,104713,0.4,104590,0.6,209530,0.4,278588,2.00 15,1,2024-09-07 09:22:11:608,743213,743213,0,0,348685978233,3632698178,736989,5045,1179,381,391619,0 15,2,2024-09-07 09:22:11:000,537616,537616,0,0,19416327,0,3622 15,3,2024-09-07 09:22:11:406,1,423,0,0,1126,6952,423,0 16,0,2024-09-07 09:22:10:938,102195,0.5,102544,0.7,204580,0.5,271931,2.00 16,1,2024-09-07 09:22:10:565,742937,742937,0,0,348918129575,3649015063,736339,5485,1113,370,391917,0 16,2,2024-09-07 09:22:11:436,535060,535060,0,0,23362277,0,4719 16,3,2024-09-07 09:22:11:151,1,423,1,0,317,5380,423,0 17,0,2024-09-07 09:22:11:793,108822,0.6,106210,0.8,207756,0.6,282739,2.00 17,1,2024-09-07 09:22:10:571,741272,741272,0,0,347274945548,3644973077,733627,6132,1513,368,392075,0 17,2,2024-09-07 09:22:11:671,536704,536704,0,0,23159598,0,3779 17,3,2024-09-07 09:22:10:609,1,423,0,0,518,6815,423,0 18,0,2024-09-07 09:22:10:944,107918,0.7,108450,0.9,216105,0.8,288557,2.25 18,1,2024-09-07 09:22:11:643,744480,744480,0,0,349469124627,3622362241,740480,3621,379,367,391725,0 18,2,2024-09-07 09:22:11:755,536928,536928,0,0,20536434,0,3541 18,3,2024-09-07 09:22:10:896,1,423,2,0,163,3266,423,0 19,0,2024-09-07 09:22:11:543,107071,0.6,107654,0.8,213568,0.6,284037,2.25 19,1,2024-09-07 09:22:10:578,744897,744897,0,0,349459745957,3625598714,738951,5025,921,367,391777,0 19,2,2024-09-07 09:22:11:754,537569,537569,0,0,19475705,0,3988 19,3,2024-09-07 09:22:11:134,1,423,1,0,524,3450,423,0 20,0,2024-09-07 09:22:11:442,102272,0.4,102147,0.6,204365,0.4,272477,2.00 20,1,2024-09-07 09:22:10:613,741920,741920,0,0,348552951727,3646250766,735212,5908,800,369,391922,0 20,2,2024-09-07 09:22:10:934,534467,534467,0,0,23253964,0,3721 20,3,2024-09-07 09:22:10:597,1,423,20,0,414,6213,423,0 21,0,2024-09-07 09:22:11:182,103690,0.5,103949,0.6,207351,0.4,275660,2.00 21,1,2024-09-07 09:22:11:536,739890,739890,0,0,347291630960,3662451439,728940,8474,2476,368,392016,0 21,2,2024-09-07 09:22:11:067,532476,532456,20,0,28355943,0,5617 21,3,2024-09-07 09:22:11:405,1,423,26,0,713,5451,423,0 22,0,2024-09-07 09:22:11:717,109924,0.5,110323,0.7,220531,0.5,291989,2.00 22,1,2024-09-07 09:22:11:022,741384,741384,0,0,347846690060,3656422842,730230,8927,2227,382,391667,0 22,2,2024-09-07 09:22:10:760,533896,533870,26,0,22606385,0,6328 22,3,2024-09-07 09:22:11:066,1,423,6,0,228,3503,423,0 23,0,2024-09-07 09:22:11:366,108613,0.5,107876,0.7,216482,0.5,288732,2.25 23,1,2024-09-07 09:22:11:003,742361,742361,0,0,349065146353,3666205689,729272,9035,4054,365,391690,0 23,2,2024-09-07 09:22:11:098,535860,535860,0,0,22049614,0,3773 23,3,2024-09-07 09:22:11:756,1,423,1,0,720,5556,423,0 24,0,2024-09-07 09:22:10:912,103102,0.4,102670,0.5,206218,0.3,273917,1.75 24,1,2024-09-07 09:22:10:602,741584,741584,0,0,347857039758,3646839267,733584,6408,1592,367,392269,0 24,2,2024-09-07 09:22:11:069,534333,534333,0,0,26401924,0,3607 24,3,2024-09-07 09:22:11:696,1,423,18,0,468,5780,423,0 25,0,2024-09-07 09:22:11:359,106049,0.4,103342,0.6,202504,0.3,276480,1.75 25,1,2024-09-07 09:22:10:561,740948,740948,0,0,348282544634,3669868871,729009,9692,2247,371,391928,0 25,2,2024-09-07 09:22:11:606,533663,533663,0,0,26912093,0,3978 25,3,2024-09-07 09:22:11:000,1,423,0,0,532,4803,423,0 26,0,2024-09-07 09:22:11:719,108343,0.4,105859,0.6,221977,0.3,289062,1.75 26,1,2024-09-07 09:22:11:541,742743,742743,0,0,347806994358,3642396025,732117,9042,1584,380,391748,0 26,2,2024-09-07 09:22:10:864,535546,535546,0,0,26983167,0,2809 26,3,2024-09-07 09:22:11:713,1,423,4,0,796,5157,423,0 27,0,2024-09-07 09:22:11:722,109432,0.5,109553,0.6,217874,0.4,291131,2.25 27,1,2024-09-07 09:22:11:677,744185,744185,0,0,349554617281,3641328361,736930,6354,901,381,391626,0 27,2,2024-09-07 09:22:10:871,531224,531159,65,0,24730454,0,5699 27,3,2024-09-07 09:22:11:014,1,423,1,0,564,4109,423,0 28,0,2024-09-07 09:22:11:430,104213,0.4,103766,0.6,208258,0.3,277506,2.00 28,1,2024-09-07 09:22:10:808,743330,743330,0,0,349136465819,3645004245,736143,5488,1699,383,391698,0 28,2,2024-09-07 09:22:11:768,535952,535952,0,0,22605163,0,2915 28,3,2024-09-07 09:22:11:783,1,423,0,0,502,4674,423,0 29,0,2024-09-07 09:22:11:373,105565,0.3,102814,0.5,201639,0.3,274857,1.75 29,1,2024-09-07 09:22:11:566,745949,745949,0,0,350350671789,3625816403,741086,4128,735,368,391809,0 29,2,2024-09-07 09:22:10:864,534888,534888,0,0,21425754,0,4986 29,3,2024-09-07 09:22:10:967,1,423,1,0,459,4935,423,0 30,0,2024-09-07 09:22:11:459,106467,0.5,103435,0.7,216608,0.4,283935,2.00 30,1,2024-09-07 09:22:10:575,744574,744574,0,0,349090574248,3626697341,738505,5303,766,381,391672,0 30,2,2024-09-07 09:22:11:274,535573,535573,0,0,20986920,0,4192 30,3,2024-09-07 09:22:10:588,1,423,1,0,519,4176,423,0 31,0,2024-09-07 09:22:11:774,108717,0.5,109262,0.7,217946,0.5,290756,2.00 31,1,2024-09-07 09:22:10:565,747863,747863,0,0,351547398353,3603645128,744847,2430,586,356,391712,0 31,2,2024-09-07 09:22:11:285,533768,533768,0,0,22568413,0,3525 31,3,2024-09-07 09:22:11:706,1,423,1,0,220,3756,423,0 32,0,2024-09-07 09:22:11:421,106798,0.3,107505,0.5,213935,0.3,284842,1.75 32,1,2024-09-07 09:22:10:804,744304,744304,0,0,348769894397,3629355895,739190,4433,681,381,391646,0 32,2,2024-09-07 09:22:10:938,536731,536731,0,0,20402252,0,3922 32,3,2024-09-07 09:22:11:016,1,423,1,0,304,3386,423,0 33,0,2024-09-07 09:22:11:510,102513,0.3,102054,0.4,204845,0.2,272058,1.50 33,1,2024-09-07 09:22:10:575,745348,745348,0,0,349389919723,3624696154,738836,5407,1105,368,391730,0 33,2,2024-09-07 09:22:10:771,535642,535607,35,0,22806561,0,7012 33,3,2024-09-07 09:22:10:895,1,423,1,0,329,4137,423,0 34,0,2024-09-07 09:22:10:992,104604,0.3,107596,0.4,205700,0.2,277099,1.75 34,1,2024-09-07 09:22:11:046,746175,746175,0,0,351022710150,3609841049,744438,1726,11,367,391562,0 34,2,2024-09-07 09:22:10:783,535976,535976,0,0,21129556,0,4562 34,3,2024-09-07 09:22:11:694,1,423,0,0,299,3208,423,0 35,0,2024-09-07 09:22:10:876,108659,0.4,109245,0.5,219459,0.3,291532,1.75 35,1,2024-09-07 09:22:11:070,744369,744369,0,0,348620350606,3614605000,739832,3681,856,382,391769,0 35,2,2024-09-07 09:22:11:583,535278,535278,0,0,22772893,0,4055 35,3,2024-09-07 09:22:10:907,1,423,2,0,418,4502,423,0 36,0,2024-09-07 09:22:11:517,109186,0.5,109141,0.7,218260,0.4,290209,2.00 36,1,2024-09-07 09:22:10:605,743218,743218,0,0,348830630928,3643801498,733570,7648,2000,366,391759,0 36,2,2024-09-07 09:22:11:759,535393,535393,0,0,23916940,0,3875 36,3,2024-09-07 09:22:10:863,1,423,1,0,416,6072,423,0 37,0,2024-09-07 09:22:11:374,101414,0.4,101668,0.6,203084,0.4,270985,2.00 37,1,2024-09-07 09:22:10:605,742964,742957,0,7,348151354001,3636841695,734138,6543,2276,365,391770,0 37,2,2024-09-07 09:22:11:142,533207,533192,15,0,23761772,0,5815 37,3,2024-09-07 09:22:11:771,1,423,1,0,888,6346,423,0 38,0,2024-09-07 09:22:11:441,102776,0.4,99833,0.6,208730,0.4,273355,2.00 38,1,2024-09-07 09:22:11:605,744138,744138,0,0,348954337925,3648041785,733466,8511,2161,368,391821,0 38,2,2024-09-07 09:22:10:773,534957,534910,47,0,23639007,0,6710 38,3,2024-09-07 09:22:10:999,1,423,1,0,689,5460,423,0 39,0,2024-09-07 09:22:11:773,112322,0.6,109832,0.7,214173,0.6,292846,2.00 39,1,2024-09-07 09:22:10:725,741852,741852,0,0,348038142182,3650221327,729412,9750,2690,365,391658,0 39,2,2024-09-07 09:22:11:419,535398,535398,0,0,22464190,0,3391 39,3,2024-09-07 09:22:10:713,1,423,1,0,324,4868,423,0 40,0,2024-09-07 09:22:11:489,107881,0.8,108574,1.0,216512,0.9,289083,2.75 40,1,2024-09-07 09:22:10:578,742913,742913,0,0,347714530005,3642706049,732094,8775,2044,368,391668,0 40,2,2024-09-07 09:22:11:303,532945,532944,1,0,25891974,0,5137 40,3,2024-09-07 09:22:11:143,1,423,0,0,1028,5805,423,0 41,0,2024-09-07 09:22:11:024,102300,1.4,104558,1.2,199537,2.1,271415,3.00 41,1,2024-09-07 09:22:10:772,741699,741699,0,0,348012217662,3640874820,732516,8143,1040,369,391742,0 41,2,2024-09-07 09:22:10:771,533679,533679,0,0,24745132,0,4277 41,3,2024-09-07 09:22:11:682,1,423,5,0,366,4278,423,0 42,0,2024-09-07 09:22:11:475,102198,0.8,102249,0.9,204591,0.9,270847,2.50 42,1,2024-09-07 09:22:11:441,739959,739959,0,0,347318876553,3650585877,727997,9931,2031,380,391675,0 42,2,2024-09-07 09:22:11:133,534262,534262,0,0,25061937,0,3790 42,3,2024-09-07 09:22:11:014,1,423,1,0,892,4255,423,0 43,0,2024-09-07 09:22:10:924,107062,0.8,104089,0.9,218095,0.8,286329,2.25 43,1,2024-09-07 09:22:10:607,743101,743101,0,0,349158530076,3648351784,732771,8591,1739,366,391696,0 43,2,2024-09-07 09:22:11:736,535425,535425,0,0,24591291,0,3812 43,3,2024-09-07 09:22:11:754,1,423,0,0,548,5687,423,0 44,0,2024-09-07 09:22:10:863,109200,0.5,109502,0.7,219377,0.4,291238,2.00 44,1,2024-09-07 09:22:10:568,744783,744783,0,0,348906771477,3608214347,738290,5175,1318,356,391809,0 44,2,2024-09-07 09:22:11:274,532735,532735,0,0,20743913,0,4344 44,3,2024-09-07 09:22:11:094,1,423,0,0,817,5033,423,0 45,0,2024-09-07 09:22:11:763,103590,0.5,101133,0.7,212182,0.5,279118,2.00 45,1,2024-09-07 09:22:11:012,743799,743799,0,0,348918870455,3626776565,738141,5085,573,382,391917,0 45,2,2024-09-07 09:22:11:272,536538,536538,0,0,21062021,0,3596 45,3,2024-09-07 09:22:10:934,1,423,1,0,271,3677,423,0 46,0,2024-09-07 09:22:10:953,101651,0.5,101525,0.7,203468,0.4,269593,2.00 46,1,2024-09-07 09:22:10:616,745378,745378,0,0,349832608291,3614393610,740710,4077,591,366,391709,0 46,2,2024-09-07 09:22:10:601,535594,535594,0,0,21220814,0,4443 46,3,2024-09-07 09:22:11:139,1,423,1,0,908,5401,423,0 47,0,2024-09-07 09:22:11:104,105914,0.4,106144,0.6,212693,0.4,282085,1.75 47,1,2024-09-07 09:22:10:576,746259,746259,0,0,349489291480,3613003000,741402,4019,838,366,391641,0 47,2,2024-09-07 09:22:10:908,537180,537180,0,0,20823823,0,4477 47,3,2024-09-07 09:22:11:119,1,423,1,0,600,5230,423,0 48,0,2024-09-07 09:22:11:498,109584,0.3,109386,0.5,217969,0.2,290669,1.75 48,1,2024-09-07 09:22:11:021,744017,744017,0,0,349561056366,3631555810,739306,4255,456,384,391710,0 48,2,2024-09-07 09:22:10:699,534567,534567,0,0,19538079,0,3411 48,3,2024-09-07 09:22:10:757,1,423,10,0,339,3451,423,0 49,0,2024-09-07 09:22:11:714,110445,0.4,108586,0.5,210541,0.3,288002,1.75 49,1,2024-09-07 09:22:11:031,743891,743891,0,0,348230239532,3624271187,738738,3947,1206,382,391809,0 49,2,2024-09-07 09:22:11:797,535910,535910,0,0,21546479,0,4426 49,3,2024-09-07 09:22:11:424,1,423,15,0,992,5122,423,0 50,0,2024-09-07 09:22:11:513,102857,0.3,101225,0.4,204463,0.2,272193,1.75 50,1,2024-09-07 09:22:11:015,746316,746316,0,0,350086115748,3620642980,741392,4350,574,368,391565,0 50,2,2024-09-07 09:22:11:068,534936,534936,0,0,19812174,0,4490 50,3,2024-09-07 09:22:11:297,1,423,0,0,567,4339,423,0 51,0,2024-09-07 09:22:11:688,106558,0.3,104223,0.5,203185,0.2,277182,1.75 51,1,2024-09-07 09:22:11:685,746422,746422,0,0,350287476354,3613343606,742470,2903,1049,365,391706,0 51,2,2024-09-07 09:22:11:320,536087,536087,0,0,18816913,0,3337 51,3,2024-09-07 09:22:11:027,1,423,1,0,678,3486,423,0 52,0,2024-09-07 09:22:11:475,110382,0.5,110276,0.7,220745,0.5,292875,2.00 52,1,2024-09-07 09:22:10:580,743041,743041,0,0,348492250731,3646632569,732705,8983,1353,368,391722,0 52,2,2024-09-07 09:22:11:759,531915,531877,38,0,25086114,0,6742 52,3,2024-09-07 09:22:10:674,1,423,1,0,1782,5830,423,0 53,0,2024-09-07 09:22:11:735,108136,0.7,105042,0.8,219779,0.7,288650,2.25 53,1,2024-09-07 09:22:10:771,741515,741515,0,0,348176878367,3652884713,729598,8957,2960,367,391702,0 53,2,2024-09-07 09:22:11:298,534850,534849,1,0,22652075,0,5455 53,3,2024-09-07 09:22:10:699,1,423,1,0,308,3728,423,0 54,0,2024-09-07 09:22:11:617,101064,0.6,101300,0.8,201760,0.4,269799,2.25 54,1,2024-09-07 09:22:10:605,743446,743446,0,0,349281283667,3631424346,735959,6246,1241,366,391659,0 54,2,2024-09-07 09:22:10:865,535214,535182,32,0,25981440,0,6397 54,3,2024-09-07 09:22:10:771,1,423,36,0,676,6180,423,0 55,0,2024-09-07 09:22:11:771,99819,0.5,103095,0.7,208577,0.5,272343,2.25 55,1,2024-09-07 09:22:10:764,744226,744226,0,0,348954932655,3626666856,736595,6539,1092,365,391731,0 55,2,2024-09-07 09:22:10:729,534569,534513,56,0,24964812,0,7239 55,3,2024-09-07 09:22:10:677,1,423,0,0,304,4308,423,0 56,0,2024-09-07 09:22:11:556,110770,1.4,104495,1.2,215151,1.9,288350,2.75 56,1,2024-09-07 09:22:10:578,739540,739540,0,0,347836915216,3673679616,728035,9132,2373,381,391867,0 56,2,2024-09-07 09:22:11:303,535145,535145,0,0,24440095,0,3567 56,3,2024-09-07 09:22:11:059,1,423,17,0,705,5224,423,0 57,0,2024-09-07 09:22:10:938,107652,1.7,107268,1.3,215428,2.4,288948,3.25 57,1,2024-09-07 09:22:10:993,742440,742440,0,0,347793496410,3645000034,733835,7519,1086,366,392032,0 57,2,2024-09-07 09:22:11:320,533420,533420,0,0,26379539,0,3317 57,3,2024-09-07 09:22:11:745,1,423,16,0,455,4948,423,0 58,0,2024-09-07 09:22:10:562,102509,0.8,99485,1.0,208266,0.9,273001,2.50 58,1,2024-09-07 09:22:10:579,742458,742455,0,3,348606675645,3644846281,732504,8662,1289,367,391603,3 58,2,2024-09-07 09:22:11:073,535009,535009,0,0,24472851,0,2902 58,3,2024-09-07 09:22:11:072,1,423,1,0,1043,4587,423,0 59,0,2024-09-07 09:22:11:765,102380,0.7,102090,0.9,203900,0.8,271220,2.50 59,1,2024-09-07 09:22:10:804,742429,742429,0,0,349420190340,3659888228,732210,8410,1809,369,391653,0 59,2,2024-09-07 09:22:10:589,534602,534602,0,0,24380034,0,3727 59,3,2024-09-07 09:22:11:746,1,423,60,0,1015,5674,423,0 60,0,2024-09-07 09:22:11:707,106620,0.5,106646,0.7,213967,0.5,284740,1.75 60,1,2024-09-07 09:22:10:772,744439,744439,0,0,349263434116,3625924112,739298,4421,720,370,392031,0 60,2,2024-09-07 09:22:11:144,535622,535622,0,0,22856046,0,3811 60,3,2024-09-07 09:22:11:275,1,423,2,0,409,4962,423,0 61,0,2024-09-07 09:22:11:522,108856,0.8,109441,0.8,217911,0.8,290414,2.00 61,1,2024-09-07 09:22:10:775,742968,742968,0,0,348015234743,3647214257,734659,6878,1431,382,392127,0 61,2,2024-09-07 09:22:11:120,533797,533730,67,0,23182793,0,6411 61,3,2024-09-07 09:22:11:688,1,423,2,0,479,5746,423,0 62,0,2024-09-07 09:22:11:720,107265,0.5,109899,0.7,209838,0.5,284603,2.00 62,1,2024-09-07 09:22:11:111,747190,747184,0,6,350955464113,3619420443,743369,3554,261,365,391975,6 62,2,2024-09-07 09:22:11:645,534146,534145,1,0,23352375,0,5555 62,3,2024-09-07 09:22:11:144,1,423,0,0,482,3728,423,0 63,0,2024-09-07 09:22:11:451,102573,0.4,102442,0.5,205334,0.3,272431,1.75 63,1,2024-09-07 09:22:10:804,744923,744917,0,6,349129722932,3625967699,739948,4236,733,381,391800,6 63,2,2024-09-07 09:22:10:761,534980,534980,0,0,21292952,0,4369 63,3,2024-09-07 09:22:11:732,1,423,9,0,667,4409,423,0 64,0,2024-09-07 09:22:11:520,104055,0.5,104007,0.7,207658,0.5,276409,2.00 64,1,2024-09-07 09:22:10:795,743770,743770,0,0,348958881568,3637161779,737093,4974,1703,370,391783,0 64,2,2024-09-07 09:22:11:160,538735,538716,19,0,21206699,0,6121 64,3,2024-09-07 09:22:11:144,1,423,15,0,265,4183,423,0 65,0,2024-09-07 09:22:11:698,108419,0.7,108695,0.8,217092,0.8,289075,2.25 65,1,2024-09-07 09:22:10:868,742200,742200,0,0,347602825865,3634141361,737091,4569,540,382,391901,0 65,2,2024-09-07 09:22:11:702,535183,535183,0,0,24759801,0,3367 65,3,2024-09-07 09:22:11:688,1,423,1,0,782,5061,423,0 66,0,2024-09-07 09:22:11:778,108636,0.5,108490,0.8,216792,0.5,288615,2.25 66,1,2024-09-07 09:22:11:298,744131,744131,0,0,348975103998,3637078317,738633,4973,525,380,391743,0 66,2,2024-09-07 09:22:11:143,536791,536791,0,0,21622757,0,4956 66,3,2024-09-07 09:22:11:079,1,423,8,0,291,3704,423,0 67,0,2024-09-07 09:22:11:412,102075,0.4,101790,0.6,204187,0.4,271869,2.00 67,1,2024-09-07 09:22:10:769,743995,743994,0,1,349193805430,3638432218,738642,4560,792,380,391787,1 67,2,2024-09-07 09:22:10:611,537207,537207,0,0,20798424,0,3622 67,3,2024-09-07 09:22:11:759,1,423,5,0,392,4228,423,0 68,0,2024-09-07 09:22:10:577,103528,0.5,103440,0.7,206004,0.5,275390,2.00 68,1,2024-09-07 09:22:10:739,741249,741249,0,0,347889216131,3659789745,731196,7107,2946,381,391953,0 68,2,2024-09-07 09:22:11:044,533183,533083,100,0,27668919,0,8578 68,3,2024-09-07 09:22:10:728,1,423,1,0,417,4885,423,0 69,0,2024-09-07 09:22:11:723,109143,0.7,109972,0.8,218893,0.8,290962,2.25 69,1,2024-09-07 09:22:11:015,740765,740765,0,0,347461126508,3662817077,730994,7501,2270,384,391994,0 69,2,2024-09-07 09:22:11:733,534020,533991,29,0,29117962,0,6912 69,3,2024-09-07 09:22:10:761,1,423,0,0,698,6056,423,0 70,0,2024-09-07 09:22:11:533,107982,1.1,108156,1.1,217606,1.0,288405,2.50 70,1,2024-09-07 09:22:10:804,744312,744312,0,0,349740344733,3629986438,738335,5316,661,366,391725,0 70,2,2024-09-07 09:22:11:325,533676,533676,0,0,24352016,0,4323 70,3,2024-09-07 09:22:10:752,1,423,7,0,854,4972,423,0 71,0,2024-09-07 09:22:11:357,101899,0.8,101623,1.0,204083,0.9,272785,2.50 71,1,2024-09-07 09:22:11:596,743186,743186,0,0,348833030265,3639338752,734748,7635,803,368,391738,0 71,2,2024-09-07 09:22:11:067,535050,535050,0,0,24407244,0,4352 71,3,2024-09-07 09:22:11:759,1,423,152,0,644,5565,423,0 72,0,2024-09-07 09:22:11:022,106220,0.5,103874,0.7,202549,0.5,275357,2.00 72,1,2024-09-07 09:22:11:021,741915,741915,0,0,347610920720,3647654525,731052,8853,2010,369,391819,0 72,2,2024-09-07 09:22:11:760,534310,534310,0,0,26433589,0,3983 72,3,2024-09-07 09:22:11:761,1,423,1,0,564,6379,423,0 73,0,2024-09-07 09:22:11:105,105362,0.5,107907,0.6,220712,0.4,287382,2.00 73,1,2024-09-07 09:22:10:772,743623,743623,0,0,348782082372,3623245369,738377,4837,409,367,391858,0 73,2,2024-09-07 09:22:11:746,534844,534844,0,0,25925917,0,3701 73,3,2024-09-07 09:22:10:969,1,423,8,0,486,5698,423,0 74,0,2024-09-07 09:22:11:322,110120,0.5,112529,0.7,214664,0.5,291529,2.25 74,1,2024-09-07 09:22:10:662,742333,742333,0,0,347682742756,3631093022,734368,6370,1595,381,391762,0 74,2,2024-09-07 09:22:11:002,533015,533015,0,0,23882507,0,4253 74,3,2024-09-07 09:22:11:443,1,423,0,0,522,5524,423,0 75,0,2024-09-07 09:22:11:782,105400,0.5,104763,0.7,210057,0.5,280203,2.25 75,1,2024-09-07 09:22:11:593,743490,743490,0,0,348639550627,3636547993,736668,5994,828,380,391739,0 75,2,2024-09-07 09:22:11:354,534608,534608,0,0,26173914,0,4766 75,3,2024-09-07 09:22:11:072,1,423,1,0,702,5299,423,0 76,0,2024-09-07 09:22:10:590,101653,0.5,100984,0.7,202639,0.5,270408,2.25 76,1,2024-09-07 09:22:10:822,743113,743113,0,0,348595061095,3637592760,737685,4603,825,382,391692,0 76,2,2024-09-07 09:22:11:061,537303,537302,1,0,23316385,0,5144 76,3,2024-09-07 09:22:11:144,1,423,1,0,175,3885,423,0 77,0,2024-09-07 09:22:11:724,105181,0.6,105710,0.7,211239,0.6,280908,2.00 77,1,2024-09-07 09:22:10:834,743530,743530,0,0,349003955870,3642705014,737720,5309,501,381,391869,0 77,2,2024-09-07 09:22:11:286,534724,534724,0,0,22783222,0,3890 77,3,2024-09-07 09:22:11:101,1,423,3,0,401,4762,423,0 78,0,2024-09-07 09:22:11:731,109344,0.5,108776,0.7,218364,0.4,289184,2.00 78,1,2024-09-07 09:22:10:612,743643,743643,0,0,348145087457,3628060943,735778,6298,1567,367,391670,0 78,2,2024-09-07 09:22:11:405,535203,535190,13,0,21469914,0,8313 78,3,2024-09-07 09:22:11:134,1,423,1,0,181,3711,423,0 79,0,2024-09-07 09:22:11:362,103965,0.4,106409,0.6,217966,0.4,283003,2.25 79,1,2024-09-07 09:22:10:580,745558,745558,0,0,348922208357,3618143333,738987,5262,1309,367,391682,0 79,2,2024-09-07 09:22:11:068,536366,536366,0,0,21089895,0,4195 79,3,2024-09-07 09:22:10:757,1,423,1,0,418,5363,423,0 80,0,2024-09-07 09:22:11:079,102269,0.5,105161,0.6,201325,0.5,271912,2.00 80,1,2024-09-07 09:22:11:622,743430,743430,0,0,349090466752,3637535546,737878,5131,421,368,392269,0 80,2,2024-09-07 09:22:11:094,536803,536803,0,0,21371386,0,4433 80,3,2024-09-07 09:22:10:575,1,423,1,0,190,4974,423,0 81,0,2024-09-07 09:22:11:542,103731,0.5,106206,0.7,202706,0.5,275821,2.00 81,1,2024-09-07 09:22:11:658,742438,742438,0,0,348452478110,3642227609,736434,5464,540,382,391879,0 81,2,2024-09-07 09:22:11:128,534067,534004,63,0,23750468,0,5932 81,3,2024-09-07 09:22:11:119,1,423,0,0,719,5102,423,0 82,0,2024-09-07 09:22:11:548,109720,0.5,109935,0.7,220557,0.5,292270,2.00 82,1,2024-09-07 09:22:10:613,744593,744589,0,4,349489538914,3636706881,739892,3878,819,381,391768,4 82,2,2024-09-07 09:22:11:694,536386,536386,0,0,20206959,0,4484 82,3,2024-09-07 09:22:11:753,1,423,0,0,363,4581,423,0 83,0,2024-09-07 09:22:11:525,108865,0.7,108863,0.8,217103,0.7,288823,2.25 83,1,2024-09-07 09:22:10:565,742613,742613,0,0,348139801433,3632232095,737086,5077,450,382,391709,0 83,2,2024-09-07 09:22:10:764,534627,534627,0,0,20903380,0,3393 83,3,2024-09-07 09:22:10:750,1,423,1,0,1260,5504,423,0 84,0,2024-09-07 09:22:11:769,101908,0.6,101928,0.8,203133,0.5,272230,2.25 84,1,2024-09-07 09:22:11:066,742167,742167,0,0,348492937327,3640814217,734496,6629,1042,367,391967,0 84,2,2024-09-07 09:22:10:588,534293,534263,30,0,28034525,0,5971 84,3,2024-09-07 09:22:11:147,1,423,1,0,908,6397,423,0 85,0,2024-09-07 09:22:11:042,99471,0.6,99459,0.7,211120,0.5,273483,2.25 85,1,2024-09-07 09:22:10:669,740468,740468,0,0,347371471505,3665505402,729660,8889,1919,381,392006,0 85,2,2024-09-07 09:22:10:865,534597,534597,0,0,26002243,0,3656 85,3,2024-09-07 09:22:10:693,1,423,8,0,789,5212,423,0 86,0,2024-09-07 09:22:10:888,108322,0.6,111435,0.8,213355,0.7,288621,2.25 86,1,2024-09-07 09:22:10:870,742495,742495,0,0,348522067134,3650235028,733826,7228,1441,366,391961,0 86,2,2024-09-07 09:22:10:855,533072,533071,1,0,28133154,0,5004 86,3,2024-09-07 09:22:10:627,1,423,1,0,308,6056,423,0 87,0,2024-09-07 09:22:11:347,109010,1.0,108861,0.9,217871,1.4,291401,2.50 87,1,2024-09-07 09:22:10:563,741221,741221,0,0,347702251837,3645660567,731272,8356,1593,366,392076,0 87,2,2024-09-07 09:22:11:083,532315,532309,6,0,24895764,0,6323 87,3,2024-09-07 09:22:11:804,1,423,5,0,473,6684,423,0 88,0,2024-09-07 09:22:11:455,103959,0.4,104641,0.6,208271,0.4,277302,1.75 88,1,2024-09-07 09:22:10:599,741083,741083,0,0,348281589230,3648894505,731379,7697,2007,365,392084,0 88,2,2024-09-07 09:22:10:687,535816,535816,0,0,26931800,0,4465 88,3,2024-09-07 09:22:11:271,1,423,3,0,435,4797,423,0 89,0,2024-09-07 09:22:11:767,105606,0.4,102250,0.6,202260,0.4,274989,1.75 89,1,2024-09-07 09:22:10:565,741034,741034,0,0,347746133912,3655918503,731865,7743,1426,382,391866,0 89,2,2024-09-07 09:22:11:133,534225,534225,0,0,25304430,0,3173 89,3,2024-09-07 09:22:11:808,1,423,2,0,468,7469,423,0 90,0,2024-09-07 09:22:11:857,103621,0.5,106342,0.6,217062,0.4,283823,2.00 90,1,2024-09-07 09:22:10:598,742104,742104,0,0,348652225976,3649831774,735338,6255,511,380,391825,0 90,2,2024-09-07 09:22:11:426,533461,533461,0,0,26761578,0,3060 90,3,2024-09-07 09:22:10:956,1,423,3,0,322,5251,423,0 91,0,2024-09-07 09:22:11:070,109645,0.5,106139,0.7,221887,0.5,291146,1.75 91,1,2024-09-07 09:22:10:564,741028,741028,0,0,347608740396,3655223510,731777,7848,1403,381,392047,0 91,2,2024-09-07 09:22:11:399,534975,534975,0,0,23739661,0,2896 91,3,2024-09-07 09:22:10:656,1,423,4,0,216,4228,423,0 92,0,2024-09-07 09:22:11:452,107614,0.5,110350,0.6,210682,0.4,285013,1.75 92,1,2024-09-07 09:22:10:616,742676,742676,0,0,348105552968,3635717018,737143,4808,725,381,392136,0 92,2,2024-09-07 09:22:11:349,536605,536605,0,0,21437459,0,3259 92,3,2024-09-07 09:22:11:010,1,423,9,0,167,3771,423,0 93,0,2024-09-07 09:22:10:962,103059,0.4,105620,0.5,201505,0.3,272614,1.75 93,1,2024-09-07 09:22:10:805,742568,742568,0,0,349208183344,3646414543,734439,6746,1383,366,391776,0 93,2,2024-09-07 09:22:10:934,534602,534602,0,0,26033748,0,4845 93,3,2024-09-07 09:22:11:410,1,423,2,0,190,3803,423,0 94,0,2024-09-07 09:22:11:811,103954,0.4,104951,0.5,209315,0.3,278091,1.75 94,1,2024-09-07 09:22:10:570,743442,743442,0,0,348791583238,3638877558,738657,4575,210,381,391850,0 94,2,2024-09-07 09:22:10:760,534912,534912,0,0,21736125,0,2443 94,3,2024-09-07 09:22:11:729,1,423,1,0,576,5661,423,0 95,0,2024-09-07 09:22:11:451,109390,0.4,109214,0.5,218998,0.3,291591,1.75 95,1,2024-09-07 09:22:10:853,743957,743957,0,0,349128487165,3629651260,737912,5609,436,365,391786,0 95,2,2024-09-07 09:22:11:016,534616,534616,0,0,21508416,0,3308 95,3,2024-09-07 09:22:11:711,1,423,38,0,718,6199,423,0 96,0,2024-09-07 09:22:11:025,109071,0.4,109495,0.5,218326,0.3,289762,1.75 96,1,2024-09-07 09:22:11:591,743024,743024,0,0,348432779350,3637050694,737483,4658,883,384,391955,0 96,2,2024-09-07 09:22:11:273,535671,535671,0,0,22515779,0,4180 96,3,2024-09-07 09:22:11:152,1,423,2,0,411,4777,423,0 97,0,2024-09-07 09:22:11:315,102085,0.3,101711,0.5,204039,0.2,271690,1.50 97,1,2024-09-07 09:22:10:773,744471,744471,0,0,349673227792,3629150864,739323,4216,932,367,392140,0 97,2,2024-09-07 09:22:10:622,535595,535595,0,0,21632522,0,3679 97,3,2024-09-07 09:22:10:576,1,423,5,0,242,5106,423,0 98,0,2024-09-07 09:22:11:754,103189,0.3,103227,0.4,207096,0.2,275288,1.50 98,1,2024-09-07 09:22:10:576,743279,743279,0,0,349360510438,3640904943,738327,4161,791,382,391997,0 98,2,2024-09-07 09:22:10:768,535763,535763,0,0,21840883,0,4336 98,3,2024-09-07 09:22:10:704,1,423,12,0,840,7146,423,0 99,0,2024-09-07 09:22:11:575,109675,0.3,110457,0.5,219330,0.3,293857,1.75 99,1,2024-09-07 09:22:11:733,743919,743919,0,0,348718319255,3630354792,738976,4106,837,381,392069,0 99,2,2024-09-07 09:22:11:424,535994,535994,0,0,25654968,0,4276 99,3,2024-09-07 09:22:10:615,1,423,5,0,606,4692,423,0 100,0,2024-09-07 09:22:11:538,108882,0.9,109117,1.0,217413,1.0,291003,2.50 100,1,2024-09-07 09:22:10:630,739578,739578,0,0,346740326182,3662867008,728850,8682,2046,381,391989,0 100,2,2024-09-07 09:22:11:817,531601,531590,11,0,25008136,0,5417 100,3,2024-09-07 09:22:11:736,1,423,2,0,559,6885,423,0 101,0,2024-09-07 09:22:11:743,105086,0.9,102184,1.0,200802,0.7,274903,2.25 101,1,2024-09-07 09:22:10:590,740107,740107,0,0,347035613515,3651145381,729436,8548,2123,368,391847,0 101,2,2024-09-07 09:22:11:759,531973,531973,0,0,29908180,0,4871 101,3,2024-09-07 09:22:10:943,1,423,1,0,579,5871,423,0 102,0,2024-09-07 09:22:11:068,100188,0.6,103355,0.7,209397,0.6,274162,2.00 102,1,2024-09-07 09:22:11:160,740535,740535,0,0,347821215033,3655372866,730659,8114,1762,369,391891,0 102,2,2024-09-07 09:22:11:743,535521,535467,54,0,24136237,0,6768 102,3,2024-09-07 09:22:11:623,1,423,8,0,466,4694,423,0 103,0,2024-09-07 09:22:11:598,111570,0.6,111538,0.7,210304,0.6,289640,2.00 103,1,2024-09-07 09:22:11:632,739706,739706,0,0,347814978357,3673625778,727709,8931,3066,381,391829,0 103,2,2024-09-07 09:22:10:590,533428,533428,0,0,26665889,0,3766 103,3,2024-09-07 09:22:10:760,1,423,18,0,916,4708,423,0 104,0,2024-09-07 09:22:11:008,108207,0.8,108557,1.0,216046,0.8,289666,2.50 104,1,2024-09-07 09:22:11:614,742147,742147,0,0,348439515879,3659962065,731309,8976,1862,365,392168,0 104,2,2024-09-07 09:22:11:665,532313,532313,0,0,25481560,0,3941 104,3,2024-09-07 09:22:11:418,1,423,1,0,1245,8509,423,0 105,0,2024-09-07 09:22:11:028,103776,0.8,101077,1.1,211778,0.9,278333,2.50 105,1,2024-09-07 09:22:10:574,742639,742639,0,0,348884390243,3655555643,733206,8008,1425,366,392009,0 105,2,2024-09-07 09:22:11:329,534291,534291,0,0,25324692,0,3509 105,3,2024-09-07 09:22:11:307,1,423,4,0,399,6552,423,0 106,0,2024-09-07 09:22:10:960,98563,0.7,100953,0.9,206817,0.7,270149,2.50 106,1,2024-09-07 09:22:11:773,741219,741219,0,0,347979854786,3653953311,730198,9574,1447,369,391914,0 106,2,2024-09-07 09:22:10:757,534159,534159,0,0,24631060,0,2920 106,3,2024-09-07 09:22:10:682,1,423,1,0,470,5475,423,0 107,0,2024-09-07 09:22:11:171,105375,0.9,105695,0.9,210922,1.1,281871,2.25 107,1,2024-09-07 09:22:10:589,740562,740562,0,0,347315291946,3660565350,730401,9110,1051,381,392234,0 107,2,2024-09-07 09:22:11:299,533118,533117,1,0,25555269,0,5024 107,3,2024-09-07 09:22:11:776,1,423,1,0,370,6428,423,0 108,0,2024-09-07 09:22:11:787,108778,0.5,109589,0.6,217947,0.5,290384,1.75 108,1,2024-09-07 09:22:11:312,742931,742931,0,0,348802092931,3638319380,736791,5396,744,368,391857,0 108,2,2024-09-07 09:22:11:760,533281,533281,0,0,24174169,0,4246 108,3,2024-09-07 09:22:11:329,1,423,1,0,749,7954,423,0 109,0,2024-09-07 09:22:11:806,108345,0.4,107493,0.6,215026,0.4,287215,1.75 109,1,2024-09-07 09:22:10:597,740328,740328,0,0,348278432347,3656697903,732931,6212,1185,382,392132,0 109,2,2024-09-07 09:22:10:925,533201,533201,0,0,23719823,0,3617 109,3,2024-09-07 09:22:11:148,1,423,8,0,379,4977,423,0 110,0,2024-09-07 09:22:11:753,102091,0.3,99505,0.5,208421,0.3,272550,1.75 110,1,2024-09-07 09:22:11:656,744257,744257,0,0,349348593254,3626861970,738867,4212,1178,369,392045,0 110,2,2024-09-07 09:22:11:305,535133,535133,0,0,22630363,0,4067 110,3,2024-09-07 09:22:10:697,1,423,1,0,722,6075,423,0 111,0,2024-09-07 09:22:11:422,104129,0.4,103332,0.5,207337,0.3,277146,1.75 111,1,2024-09-07 09:22:11:050,744316,744316,0,0,349977770289,3630166522,740432,3529,355,380,391690,0 111,2,2024-09-07 09:22:11:118,534815,534815,0,0,22382117,0,4823 111,3,2024-09-07 09:22:10:913,1,423,1,0,379,5054,423,0 112,0,2024-09-07 09:22:10:909,110653,0.3,110316,0.4,220849,0.2,293272,1.50 112,1,2024-09-07 09:22:10:824,744629,744629,0,0,348913542440,3622505081,739916,4100,613,380,391624,0 112,2,2024-09-07 09:22:11:134,534964,534963,1,0,21662342,0,5036 112,3,2024-09-07 09:22:10:604,1,423,2,0,282,4218,423,0 113,0,2024-09-07 09:22:10:880,108964,0.3,109042,0.5,218378,0.3,290753,1.75 113,1,2024-09-07 09:22:11:685,746371,746371,0,0,349932019196,3610992764,742483,3299,589,366,391661,0 113,2,2024-09-07 09:22:11:306,536194,536194,0,0,19961178,0,3813 113,3,2024-09-07 09:22:10:698,1,423,1,0,340,4727,423,0 114,0,2024-09-07 09:22:10:884,102867,0.3,103470,0.5,205712,0.2,274846,1.75 114,1,2024-09-07 09:22:10:716,743804,743804,0,0,349709813283,3634386179,737525,4663,1616,381,391565,0 114,2,2024-09-07 09:22:10:873,536136,536135,1,0,21051036,0,5069 114,3,2024-09-07 09:22:11:288,1,423,1,0,395,3651,423,0 115,0,2024-09-07 09:22:10:561,103367,0.3,103976,0.4,207295,0.2,276085,1.50 115,1,2024-09-07 09:22:10:571,744463,744463,0,0,350000204881,3639251296,737973,5223,1267,382,391757,0 115,2,2024-09-07 09:22:11:129,537264,537264,0,0,20892092,0,4382 115,3,2024-09-07 09:22:11:003,1,423,5,0,159,2395,423,0 116,0,2024-09-07 09:22:11:713,108058,0.7,107971,0.8,216796,0.7,289734,2.00 116,1,2024-09-07 09:22:10:805,740557,740557,0,0,347906538836,3665350187,731952,6280,2325,380,392089,0 116,2,2024-09-07 09:22:11:769,534323,534323,0,0,26501372,0,4128 116,3,2024-09-07 09:22:10:912,1,423,44,0,415,5046,423,0 117,0,2024-09-07 09:22:10:965,109534,0.8,108927,0.8,218203,1.0,291683,2.00 117,1,2024-09-07 09:22:11:584,741751,741751,0,0,347183329678,3633916222,734001,6835,915,369,392033,0 117,2,2024-09-07 09:22:11:118,535925,535925,0,0,22646956,0,4303 117,3,2024-09-07 09:22:11:059,1,423,6,0,490,6503,423,0 118,0,2024-09-07 09:22:11:787,100999,0.5,103575,0.7,211489,0.4,276251,2.00 118,1,2024-09-07 09:22:10:613,741793,741793,0,0,347176776217,3654125193,729885,8909,2999,366,391907,0 118,2,2024-09-07 09:22:11:598,534814,534814,0,0,24920805,0,2842 118,3,2024-09-07 09:22:11:765,1,423,149,0,248,4971,423,0 119,0,2024-09-07 09:22:11:364,102319,0.5,102740,0.7,205395,0.5,273346,2.00 119,1,2024-09-07 09:22:10:581,742110,742110,0,0,348999345815,3652293847,733630,7364,1116,367,391780,0 119,2,2024-09-07 09:22:11:285,535974,535974,0,0,23504530,0,4174 119,3,2024-09-07 09:22:11:328,1,423,4,0,1358,8459,423,0 120,0,2024-09-07 09:22:11:557,106066,0.7,105873,0.8,212493,0.7,284066,2.25 120,1,2024-09-07 09:22:10:896,742240,742240,0,0,347541888794,3648057565,733874,7597,769,368,391961,0 120,2,2024-09-07 09:22:10:771,534397,534396,1,0,26716503,0,5281 120,3,2024-09-07 09:22:11:297,1,423,0,0,279,5325,423,0 121,0,2024-09-07 09:22:11:698,108978,1.5,108654,1.1,218125,2.1,290422,2.50 121,1,2024-09-07 09:22:11:664,742545,742545,0,0,348234846555,3640224765,735830,6255,460,367,391840,0 121,2,2024-09-07 09:22:11:129,532969,532969,0,0,25679364,0,4127 121,3,2024-09-07 09:22:10:735,1,423,17,0,269,4734,423,0 122,0,2024-09-07 09:22:11:762,106194,0.8,103613,0.9,217280,0.8,284832,2.00 122,1,2024-09-07 09:22:10:869,741038,741038,0,0,347835205611,3651019080,730605,8830,1603,366,392130,0 122,2,2024-09-07 09:22:11:318,535658,535585,73,0,28490833,0,5989 122,3,2024-09-07 09:22:10:600,1,423,1,0,512,7670,423,0 123,0,2024-09-07 09:22:10:994,101931,0.6,99512,0.7,208202,0.6,271946,2.00 123,1,2024-09-07 09:22:10:567,740988,740988,0,0,347612781149,3663776879,727445,11102,2441,369,392039,0 123,2,2024-09-07 09:22:11:022,533245,533244,1,0,24060842,0,5215 123,3,2024-09-07 09:22:11:133,1,423,6,0,478,4976,423,0 124,0,2024-09-07 09:22:10:921,107556,0.3,107563,0.5,202761,0.3,278777,1.75 124,1,2024-09-07 09:22:11:022,743829,743829,0,0,348888783153,3627089057,738552,4228,1049,367,392178,0 124,2,2024-09-07 09:22:11:022,536062,536009,53,0,21962707,0,6487 124,3,2024-09-07 09:22:10:773,1,423,5,0,490,4115,423,0 125,0,2024-09-07 09:22:11:427,109346,0.4,109136,0.6,219242,0.4,291657,1.75 125,1,2024-09-07 09:22:10:857,742242,742242,0,0,348149058100,3636265251,736335,5237,670,382,391702,0 125,2,2024-09-07 09:22:11:118,535839,535839,0,0,22694507,0,4534 125,3,2024-09-07 09:22:11:128,1,423,1,0,709,5370,423,0 126,0,2024-09-07 09:22:11:433,109010,0.4,112225,0.6,214717,0.4,290406,1.75 126,1,2024-09-07 09:22:10:555,744690,744690,0,0,349441231044,3619974532,740691,3706,293,365,391987,0 126,2,2024-09-07 09:22:10:614,535821,535821,0,0,23423061,0,4539 126,3,2024-09-07 09:22:10:907,1,423,2,0,268,5264,423,0 127,0,2024-09-07 09:22:11:608,102019,0.3,102333,0.5,204264,0.2,271821,1.50 127,1,2024-09-07 09:22:10:605,744050,744050,0,0,348215160760,3623195228,736708,6120,1222,364,392187,0 127,2,2024-09-07 09:22:10:638,534398,534398,0,0,21168994,0,3897 127,3,2024-09-07 09:22:11:271,1,423,3,0,968,4614,423,0 128,0,2024-09-07 09:22:11:535,103685,0.3,103985,0.4,207449,0.2,275668,1.50 128,1,2024-09-07 09:22:11:606,743511,743511,0,0,349721766176,3631302687,738608,4470,433,367,392031,0 128,2,2024-09-07 09:22:11:384,536712,536712,0,0,20630052,0,3171 128,3,2024-09-07 09:22:10:772,1,423,1,0,1082,6686,423,0 129,0,2024-09-07 09:22:10:996,110652,0.3,110090,0.5,220628,0.3,294222,1.75 129,1,2024-09-07 09:22:10:573,740884,740884,0,0,347737583034,3645645727,733214,6000,1670,379,391962,0 129,2,2024-09-07 09:22:10:701,535966,535966,0,0,22012422,0,4031 129,3,2024-09-07 09:22:10:695,1,423,2,0,506,5852,423,0 130,0,2024-09-07 09:22:11:722,109886,0.5,109355,0.6,220076,0.6,292376,1.75 130,1,2024-09-07 09:22:10:590,743956,743956,0,0,349126430374,3628785708,739920,3697,339,381,391825,0 130,2,2024-09-07 09:22:11:128,535282,535282,0,0,22437364,0,4067 130,3,2024-09-07 09:22:11:297,1,423,1,0,960,6706,423,0 131,0,2024-09-07 09:22:11:926,102681,0.3,103166,0.5,207414,0.3,274751,1.75 131,1,2024-09-07 09:22:11:824,743417,743417,0,0,348451145569,3640972621,737455,4914,1048,381,391865,0 131,2,2024-09-07 09:22:10:577,537897,537897,0,0,20671657,0,3979 131,3,2024-09-07 09:22:11:688,1,423,1,0,392,4810,423,0 132,0,2024-09-07 09:22:11:415,102875,0.4,103864,0.6,206601,0.4,274932,1.75 132,1,2024-09-07 09:22:10:615,739942,739942,0,0,347156407811,3656945089,728821,9016,2105,381,392097,0 132,2,2024-09-07 09:22:10:721,534841,534824,17,0,26989659,0,6451 132,3,2024-09-07 09:22:11:689,1,423,1,0,804,7547,423,0 133,0,2024-09-07 09:22:11:536,105247,0.4,107716,0.6,221041,0.4,287855,1.75 133,1,2024-09-07 09:22:10:592,740178,740178,0,0,347848959956,3667570220,729635,9028,1515,383,391914,0 133,2,2024-09-07 09:22:11:090,534254,534204,50,0,28325867,0,6861 133,3,2024-09-07 09:22:11:301,1,423,1,0,479,4337,423,0 134,0,2024-09-07 09:22:10:946,109724,0.6,109366,0.7,218827,0.6,291950,2.25 134,1,2024-09-07 09:22:10:590,741450,741450,0,0,348094898724,3652011408,731661,7754,2035,366,391718,0 134,2,2024-09-07 09:22:11:756,531838,531814,24,0,26011734,0,6207 134,3,2024-09-07 09:22:10:757,1,423,0,0,739,5425,423,0 135,0,2024-09-07 09:22:11:096,101674,0.8,101699,0.9,215809,0.9,277816,2.25 135,1,2024-09-07 09:22:11:592,741571,741571,0,0,348771363421,3669573616,731616,8584,1371,380,391805,0 135,2,2024-09-07 09:22:10:698,535648,535648,0,0,25294912,0,3981 135,3,2024-09-07 09:22:11:003,1,423,8,0,299,3522,423,0 136,0,2024-09-07 09:22:11:638,102443,0.5,102691,0.7,204147,0.5,272241,2.00 136,1,2024-09-07 09:22:11:448,742014,742014,0,0,348469959457,3654852675,733446,7606,962,381,391685,0 136,2,2024-09-07 09:22:11:139,536521,536521,0,0,24089936,0,3506 136,3,2024-09-07 09:22:11:106,1,423,3,0,637,4939,423,0 137,0,2024-09-07 09:22:10:941,108743,0.6,105931,0.7,207940,0.6,282928,2.00 137,1,2024-09-07 09:22:10:578,740684,740684,0,0,347549691094,3647482668,729168,9157,2359,366,391898,0 137,2,2024-09-07 09:22:11:712,533556,533556,0,0,26687787,0,3185 137,3,2024-09-07 09:22:10:771,1,423,8,0,484,5238,423,0 138,0,2024-09-07 09:22:11:741,108606,1.0,108563,1.0,217924,1.3,289203,2.25 138,1,2024-09-07 09:22:11:687,741835,741835,0,0,348234454332,3652699707,731594,8519,1722,368,391954,0 138,2,2024-09-07 09:22:10:605,533848,533848,0,0,24291541,0,4988 138,3,2024-09-07 09:22:10:614,1,423,3,0,1160,5640,423,0 139,0,2024-09-07 09:22:11:382,106822,1.6,106898,1.1,214258,2.3,285702,2.50 139,1,2024-09-07 09:22:10:581,737990,737990,0,0,346190333443,3679102711,723625,11059,3306,380,392058,0 139,2,2024-09-07 09:22:10:698,531284,531284,0,0,27764889,0,3097 139,3,2024-09-07 09:22:11:663,1,423,0,0,432,4999,423,0 140,0,2024-09-07 09:22:11:595,102688,0.3,102177,0.5,205059,0.2,272942,1.75 140,1,2024-09-07 09:22:11:536,745910,745910,0,0,349854041256,3607488295,742346,3123,441,365,391606,0 140,2,2024-09-07 09:22:10:687,535769,535768,1,0,21386839,0,5036 140,3,2024-09-07 09:22:10:771,1,423,0,0,297,3571,423,0 141,0,2024-09-07 09:22:11:700,103946,0.3,106778,0.5,203933,0.2,277008,1.75 141,1,2024-09-07 09:22:10:864,744270,744270,0,0,350142523629,3637313560,738585,4728,957,379,391614,0 141,2,2024-09-07 09:22:11:687,535657,535657,0,0,21153679,0,3360 141,3,2024-09-07 09:22:11:044,1,423,16,0,391,4910,423,0 142,0,2024-09-07 09:22:11:312,110841,0.3,110172,0.5,220382,0.3,293875,1.75 142,1,2024-09-07 09:22:10:589,743472,743472,0,0,348599382642,3634081243,738785,4290,397,382,392102,0 142,2,2024-09-07 09:22:11:299,534753,534721,32,0,23268686,0,6028 142,3,2024-09-07 09:22:11:755,1,423,4,0,484,4804,423,0 143,0,2024-09-07 09:22:11:384,109102,0.5,109253,0.6,218653,0.5,290840,1.75 143,1,2024-09-07 09:22:10:572,744875,744875,0,0,349835995110,3631309663,739833,4498,544,367,391705,0 143,2,2024-09-07 09:22:10:775,534813,534813,0,0,22246447,0,3123 143,3,2024-09-07 09:22:11:157,1,423,1,0,462,5299,423,0 144,0,2024-09-07 09:22:11:509,99335,0.6,102118,0.8,208105,0.5,272654,2.00 144,1,2024-09-07 09:22:10:576,740586,740586,0,0,346985740676,3644049510,732873,5879,1834,381,391649,0 144,2,2024-09-07 09:22:11:759,536088,536088,0,0,21144069,0,3673 144,3,2024-09-07 09:22:11:741,1,423,8,0,249,4360,423,0 145,0,2024-09-07 09:22:11:379,99859,0.5,99955,0.7,212000,0.5,273269,2.25 145,1,2024-09-07 09:22:10:562,740705,740705,0,0,347616187816,3656506920,731087,7956,1662,382,391759,0 145,2,2024-09-07 09:22:11:434,533865,533784,81,0,26092117,0,7814 145,3,2024-09-07 09:22:10:899,1,423,1,0,622,6141,423,0 146,0,2024-09-07 09:22:11:617,108375,0.6,107735,0.8,217278,0.6,288159,2.25 146,1,2024-09-07 09:22:11:593,742134,742134,0,0,347607248940,3649904423,731184,8830,2120,367,391770,0 146,2,2024-09-07 09:22:11:705,533639,533639,0,0,24734870,0,3290 146,3,2024-09-07 09:22:11:275,1,423,1,0,1520,8000,423,0 147,0,2024-09-07 09:22:11:703,109310,0.7,109246,0.8,217656,0.7,291158,2.25 147,1,2024-09-07 09:22:11:375,744945,744945,0,0,348981287255,3630490052,738308,5792,845,368,391791,0 147,2,2024-09-07 09:22:11:011,533283,533283,0,0,22290131,0,2968 147,3,2024-09-07 09:22:10:913,1,423,1,0,1626,7362,423,0 0,0,2024-09-07 09:22:21:831,104110,0.6,104215,0.7,220937,0.7,286003,2.00 0,1,2024-09-07 09:22:20:811,743978,743978,0,0,348714369422,3650287023,738530,5060,388,369,391896,0 0,2,2024-09-07 09:22:21:067,537586,537586,0,0,21758058,0,4480 0,3,2024-09-07 09:22:20:983,1,424,1,0,431,6338,424,0 1,0,2024-09-07 09:22:21:788,109279,1.2,108535,1.1,217765,1.7,291121,2.25 1,1,2024-09-07 09:22:20:592,743285,743285,0,0,348608858456,3649516936,736856,5103,1326,370,391859,0 1,2,2024-09-07 09:22:20:654,534042,534042,0,0,22068007,0,3380 1,3,2024-09-07 09:22:21:303,1,424,1,0,268,5204,424,0 2,0,2024-09-07 09:22:21:567,106504,0.6,106737,0.8,212627,0.7,283509,2.00 2,1,2024-09-07 09:22:20:861,745872,745872,0,0,349955530536,3632757174,742585,2992,295,380,391745,0 2,2,2024-09-07 09:22:21:267,537392,537392,0,0,20687697,0,3594 2,3,2024-09-07 09:22:20:689,1,424,2,0,357,4145,424,0 3,0,2024-09-07 09:22:21:745,102392,0.4,102151,0.6,204255,0.3,271590,2.00 3,1,2024-09-07 09:22:21:632,744596,744596,0,0,348800420400,3633396435,738376,5530,690,380,391716,0 3,2,2024-09-07 09:22:21:149,536684,536661,23,0,21865696,0,5851 3,3,2024-09-07 09:22:21:752,1,424,1,0,207,2835,424,0 4,0,2024-09-07 09:22:21:788,101602,0.4,104355,0.5,212829,0.3,278836,1.75 4,1,2024-09-07 09:22:20:594,741969,741969,0,0,347572045344,3674469950,730157,9305,2507,370,391992,0 4,2,2024-09-07 09:22:21:024,534322,534322,0,0,24909481,0,4528 4,3,2024-09-07 09:22:21:027,1,424,1,0,448,5537,424,0 5,0,2024-09-07 09:22:21:432,109300,0.4,109922,0.6,219318,0.4,291109,1.75 5,1,2024-09-07 09:22:20:755,743083,743083,0,0,348764997065,3672087709,732657,8268,2158,367,392005,0 5,2,2024-09-07 09:22:21:834,533359,533359,0,0,24784983,0,3582 5,3,2024-09-07 09:22:21:738,1,424,0,0,457,5979,424,0 6,0,2024-09-07 09:22:20:918,109370,0.5,108968,0.7,217780,0.4,290473,2.00 6,1,2024-09-07 09:22:20:813,743620,743620,0,0,349390408071,3651817000,735410,6817,1393,379,391694,0 6,2,2024-09-07 09:22:21:118,535772,535754,18,0,24712316,0,5535 6,3,2024-09-07 09:22:21:275,1,424,1,0,710,5344,424,0 7,0,2024-09-07 09:22:21:535,101640,0.5,102363,0.6,203774,0.4,271532,2.00 7,1,2024-09-07 09:22:20:855,743157,743157,0,0,348938379549,3660848624,733388,8306,1463,382,391747,0 7,2,2024-09-07 09:22:20:771,537130,537130,0,0,24003597,0,4791 7,3,2024-09-07 09:22:20:853,1,424,1,0,552,4914,424,0 8,0,2024-09-07 09:22:21:358,103835,0.4,103530,0.5,207451,0.3,276458,1.75 8,1,2024-09-07 09:22:21:017,741939,741939,0,0,348526171094,3665117473,730413,8897,2629,366,392853,0 8,2,2024-09-07 09:22:20:791,532308,532308,0,0,28118852,0,3250 8,3,2024-09-07 09:22:20:593,1,424,17,0,538,7028,424,0 9,0,2024-09-07 09:22:21:100,110492,0.4,107282,0.5,224500,0.3,295095,1.75 9,1,2024-09-07 09:22:20:549,741758,741758,0,0,348387507619,3670085223,730702,8635,2421,369,392001,0 9,2,2024-09-07 09:22:21:086,535255,535255,0,0,25005976,0,3360 9,3,2024-09-07 09:22:21:761,1,424,0,0,496,6059,424,0 10,0,2024-09-07 09:22:21:600,109433,0.4,108796,0.5,218726,0.3,291269,1.75 10,1,2024-09-07 09:22:20:586,743503,743503,0,0,348907115450,3657928999,733120,8760,1623,381,391741,0 10,2,2024-09-07 09:22:20:762,534840,534840,0,0,27289672,0,4264 10,3,2024-09-07 09:22:20:875,1,424,1,0,649,4576,424,0 11,0,2024-09-07 09:22:21:013,102861,0.4,99809,0.6,208768,0.4,275137,1.75 11,1,2024-09-07 09:22:20:570,743780,743780,0,0,349520252330,3667228250,732453,8701,2626,383,391756,0 11,2,2024-09-07 09:22:21:123,536081,536081,0,0,24194794,0,4130 11,3,2024-09-07 09:22:21:301,1,424,9,0,843,6211,424,0 12,0,2024-09-07 09:22:20:960,103801,0.4,103849,0.5,207933,0.3,275620,1.75 12,1,2024-09-07 09:22:20:933,743873,743873,0,0,347749282639,3625813258,738317,5054,502,370,391870,0 12,2,2024-09-07 09:22:21:543,536963,536963,0,0,23390789,0,3469 12,3,2024-09-07 09:22:21:059,1,424,1,0,386,6343,424,0 13,0,2024-09-07 09:22:21:328,109304,0.4,108867,0.6,217424,0.4,289947,1.75 13,1,2024-09-07 09:22:21:527,742588,742588,0,0,348253727835,3661739404,734976,5981,1631,382,391740,0 13,2,2024-09-07 09:22:20:603,538074,538074,0,0,21720806,0,3287 13,3,2024-09-07 09:22:21:766,1,424,14,0,522,6259,424,0 14,0,2024-09-07 09:22:20:562,109245,0.5,110341,0.7,218151,0.4,291123,2.00 14,1,2024-09-07 09:22:21:561,747639,747639,0,0,350998238468,3636020258,741419,5511,709,364,391673,0 14,2,2024-09-07 09:22:20:766,534693,534663,30,0,23694156,0,6104 14,3,2024-09-07 09:22:21:116,1,424,2,0,1168,4728,424,0 15,0,2024-09-07 09:22:21:556,104815,0.4,104684,0.6,209728,0.4,278860,2.00 15,1,2024-09-07 09:22:21:609,745022,745022,0,0,349346323643,3639432511,738798,5045,1179,381,391619,0 15,2,2024-09-07 09:22:21:003,539148,539148,0,0,19431820,0,3622 15,3,2024-09-07 09:22:21:406,1,424,1,0,1126,6953,424,0 16,0,2024-09-07 09:22:20:982,102333,0.5,102670,0.7,204823,0.5,272257,2.00 16,1,2024-09-07 09:22:20:568,744666,744666,0,0,349644600631,3656499540,738068,5485,1113,370,391917,0 16,2,2024-09-07 09:22:21:434,536601,536601,0,0,23381238,0,4719 16,3,2024-09-07 09:22:21:141,1,424,1,0,317,5381,424,0 17,0,2024-09-07 09:22:21:773,109331,0.6,106701,0.8,208725,0.6,284077,2.00 17,1,2024-09-07 09:22:20:569,743026,743026,0,0,348329177012,3655721523,735381,6132,1513,368,392075,0 17,2,2024-09-07 09:22:21:666,538044,538044,0,0,23175524,0,3779 17,3,2024-09-07 09:22:20:584,1,424,2,0,518,6817,424,0 18,0,2024-09-07 09:22:20:949,108019,0.7,108553,0.9,216315,0.8,288839,2.25 18,1,2024-09-07 09:22:21:639,746279,746279,0,0,350107896009,3628884046,742279,3621,379,367,391725,0 18,2,2024-09-07 09:22:21:759,537867,537867,0,0,20544019,0,3541 18,3,2024-09-07 09:22:20:898,1,424,1,0,163,3267,424,0 19,0,2024-09-07 09:22:21:538,107173,0.6,107748,0.8,213769,0.6,284311,2.25 19,1,2024-09-07 09:22:20:572,746654,746654,0,0,350291113900,3634047728,740708,5025,921,367,391777,0 19,2,2024-09-07 09:22:21:752,539078,539078,0,0,19495960,0,3988 19,3,2024-09-07 09:22:21:129,1,424,1,0,524,3451,424,0 20,0,2024-09-07 09:22:21:508,102502,0.4,102356,0.6,204750,0.4,273000,2.00 20,1,2024-09-07 09:22:20:583,743618,743618,0,0,349499840580,3656073395,736910,5908,800,369,391922,0 20,2,2024-09-07 09:22:20:932,535774,535774,0,0,23354060,0,3721 20,3,2024-09-07 09:22:20:610,1,424,25,0,414,6238,424,0 21,0,2024-09-07 09:22:21:137,104153,0.5,104366,0.6,208200,0.4,276837,2.00 21,1,2024-09-07 09:22:21:540,741671,741671,0,0,348247590204,3672440700,730720,8475,2476,368,392016,0 21,2,2024-09-07 09:22:21:066,533610,533590,20,0,28415788,0,5617 21,3,2024-09-07 09:22:21:404,1,424,0,0,713,5451,424,0 22,0,2024-09-07 09:22:21:718,110057,0.5,110440,0.7,220783,0.5,292277,2.00 22,1,2024-09-07 09:22:21:022,743085,743085,0,0,348684780909,3665022073,731931,8927,2227,382,391667,0 22,2,2024-09-07 09:22:20:760,535080,535054,26,0,22651546,0,6328 22,3,2024-09-07 09:22:21:066,1,424,2,0,228,3505,424,0 23,0,2024-09-07 09:22:21:367,108908,0.5,108174,0.7,217061,0.5,289507,2.25 23,1,2024-09-07 09:22:21:004,744097,744097,0,0,349639922244,3672334105,731008,9035,4054,365,391690,0 23,2,2024-09-07 09:22:21:094,537203,537203,0,0,22123104,0,3773 23,3,2024-09-07 09:22:21:758,1,424,0,0,720,5556,424,0 24,0,2024-09-07 09:22:20:864,103321,0.4,102863,0.5,206677,0.3,274453,1.75 24,1,2024-09-07 09:22:20:589,743322,743322,0,0,348730649738,3655802510,735322,6408,1592,367,392269,0 24,2,2024-09-07 09:22:21:069,535620,535620,0,0,26429523,0,3607 24,3,2024-09-07 09:22:21:692,1,424,1,0,468,5781,424,0 25,0,2024-09-07 09:22:21:352,106554,0.4,103808,0.6,203470,0.3,277654,1.75 25,1,2024-09-07 09:22:20:558,742696,742696,0,0,349239933443,3680048101,730722,9727,2247,371,391928,0 25,2,2024-09-07 09:22:21:609,535020,535020,0,0,26950764,0,3978 25,3,2024-09-07 09:22:21:000,1,424,7,0,532,4810,424,0 26,0,2024-09-07 09:22:21:731,108597,0.4,106158,0.6,222503,0.3,289772,1.75 26,1,2024-09-07 09:22:21:540,744563,744563,0,0,348826478150,3652754270,733936,9043,1584,380,391748,0 26,2,2024-09-07 09:22:20:862,536811,536811,0,0,27010083,0,2809 26,3,2024-09-07 09:22:21:712,1,424,0,0,796,5157,424,0 27,0,2024-09-07 09:22:21:730,109777,0.5,109874,0.6,218588,0.4,292049,2.25 27,1,2024-09-07 09:22:21:677,745914,745914,0,0,350264175004,3648836133,738657,6356,901,381,391626,0 27,2,2024-09-07 09:22:20:868,532165,532100,65,0,24746057,0,5699 27,3,2024-09-07 09:22:21:016,1,424,2,0,564,4111,424,0 28,0,2024-09-07 09:22:21:389,104409,0.4,103952,0.6,208619,0.3,277966,2.00 28,1,2024-09-07 09:22:20:801,745074,745074,0,0,349901740270,3652818035,737887,5488,1699,383,391698,0 28,2,2024-09-07 09:22:21:763,537294,537294,0,0,22618543,0,2915 28,3,2024-09-07 09:22:21:776,1,424,7,0,502,4681,424,0 29,0,2024-09-07 09:22:21:382,105913,0.3,103150,0.5,202323,0.3,275737,1.75 29,1,2024-09-07 09:22:21:582,747796,747796,0,0,351076116593,3633201009,742931,4130,735,368,391809,0 29,2,2024-09-07 09:22:20:861,536372,536372,0,0,21438360,0,4986 29,3,2024-09-07 09:22:20:965,1,424,1,0,459,4936,424,0 30,0,2024-09-07 09:22:21:455,106879,0.5,103852,0.7,217489,0.4,285050,2.00 30,1,2024-09-07 09:22:20:571,746299,746299,0,0,350035648872,3636285320,740230,5303,766,381,391672,0 30,2,2024-09-07 09:22:21:278,536944,536944,0,0,21001547,0,4192 30,3,2024-09-07 09:22:20:585,1,424,2,0,519,4178,424,0 31,0,2024-09-07 09:22:21:760,108830,0.5,109380,0.7,218198,0.5,291067,2.00 31,1,2024-09-07 09:22:20:577,749656,749656,0,0,352461852067,3612838490,746640,2430,586,356,391712,0 31,2,2024-09-07 09:22:21:278,534483,534483,0,0,22574026,0,3525 31,3,2024-09-07 09:22:21:706,1,424,1,0,220,3757,424,0 32,0,2024-09-07 09:22:21:430,106920,0.3,107636,0.5,214187,0.3,285185,1.75 32,1,2024-09-07 09:22:20:803,746094,746094,0,0,349642896393,3638239756,740979,4434,681,381,391646,0 32,2,2024-09-07 09:22:20:945,538349,538349,0,0,20423223,0,3922 32,3,2024-09-07 09:22:21:014,1,424,1,0,304,3387,424,0 33,0,2024-09-07 09:22:21:492,102523,0.3,102059,0.4,204853,0.2,272078,1.50 33,1,2024-09-07 09:22:20:579,747054,747054,0,0,350294260887,3633856475,740542,5407,1105,368,391730,0 33,2,2024-09-07 09:22:20:759,537125,537090,35,0,22827071,0,7012 33,3,2024-09-07 09:22:20:902,1,424,2,0,329,4139,424,0 34,0,2024-09-07 09:22:20:930,104954,0.3,107988,0.4,206410,0.2,278029,1.75 34,1,2024-09-07 09:22:21:044,748009,748009,0,0,352244561266,3622198442,746272,1726,11,367,391562,0 34,2,2024-09-07 09:22:20:765,537007,537007,0,0,21140620,0,4562 34,3,2024-09-07 09:22:21:692,1,424,1,0,299,3209,424,0 35,0,2024-09-07 09:22:20:863,108749,0.4,109327,0.5,219649,0.3,291776,1.75 35,1,2024-09-07 09:22:21:067,746248,746248,0,0,349598495584,3624555654,741711,3681,856,382,391769,0 35,2,2024-09-07 09:22:21:583,536294,536294,0,0,22783611,0,4055 35,3,2024-09-07 09:22:20:906,1,424,3,0,418,4505,424,0 36,0,2024-09-07 09:22:21:601,109410,0.5,109352,0.7,218691,0.4,290804,2.00 36,1,2024-09-07 09:22:20:587,745006,745006,0,0,349838499722,3654091110,735358,7648,2000,366,391759,0 36,2,2024-09-07 09:22:21:767,536936,536936,0,0,23997993,0,3875 36,3,2024-09-07 09:22:20:865,1,424,1,0,416,6073,424,0 37,0,2024-09-07 09:22:21:387,101730,0.4,102011,0.6,203725,0.4,271863,2.00 37,1,2024-09-07 09:22:20:569,744778,744771,0,7,349142613909,3646993904,735952,6543,2276,365,391770,0 37,2,2024-09-07 09:22:21:142,534450,534435,15,0,23845515,0,5815 37,3,2024-09-07 09:22:21:771,1,424,6,0,888,6352,424,0 38,0,2024-09-07 09:22:21:435,103069,0.4,100087,0.6,209279,0.4,274046,2.00 38,1,2024-09-07 09:22:21:605,745869,745869,0,0,349800877942,3657178687,735128,8580,2161,368,391821,0 38,2,2024-09-07 09:22:20:760,536047,536000,47,0,23663735,0,6710 38,3,2024-09-07 09:22:20:998,1,424,1,0,689,5461,424,0 39,0,2024-09-07 09:22:21:760,112861,0.6,110394,0.7,215184,0.6,294220,2.00 39,1,2024-09-07 09:22:20:716,743620,743620,0,0,349109662238,3661170029,731180,9750,2690,365,391658,0 39,2,2024-09-07 09:22:21:422,536759,536759,0,0,22533241,0,3391 39,3,2024-09-07 09:22:20:714,1,424,0,0,324,4868,424,0 40,0,2024-09-07 09:22:21:551,108225,0.8,108884,1.0,217163,0.9,289926,2.75 40,1,2024-09-07 09:22:20:593,744608,744608,0,0,348438760310,3650129912,733789,8775,2044,368,391668,0 40,2,2024-09-07 09:22:21:302,534136,534135,1,0,25918565,0,5137 40,3,2024-09-07 09:22:21:145,1,424,0,0,1028,5805,424,0 41,0,2024-09-07 09:22:21:022,102467,1.4,104740,1.2,199830,2.1,271821,3.00 41,1,2024-09-07 09:22:20:768,743469,743469,0,0,349101686274,3651928493,734286,8143,1040,369,391742,0 41,2,2024-09-07 09:22:20:759,534948,534948,0,0,24770065,0,4277 41,3,2024-09-07 09:22:21:677,1,424,8,0,366,4286,424,0 42,0,2024-09-07 09:22:21:477,102455,0.8,102512,0.9,205092,0.8,271478,2.50 42,1,2024-09-07 09:22:21:439,741677,741677,0,0,348242961422,3660127569,729715,9931,2031,380,391675,0 42,2,2024-09-07 09:22:21:137,535768,535768,0,0,25101145,0,3790 42,3,2024-09-07 09:22:21:013,1,424,1,0,892,4256,424,0 43,0,2024-09-07 09:22:20:922,107458,0.8,104453,0.9,218858,0.8,287341,2.25 43,1,2024-09-07 09:22:20:585,744884,744884,0,0,350085788870,3657761010,734554,8591,1739,366,391696,0 43,2,2024-09-07 09:22:21:738,536752,536752,0,0,24679368,0,3812 43,3,2024-09-07 09:22:21:749,1,424,1,0,548,5688,424,0 44,0,2024-09-07 09:22:20:861,109366,0.5,109690,0.7,219734,0.4,291714,2.00 44,1,2024-09-07 09:22:20:586,746640,746640,0,0,349743460058,3616658219,740147,5175,1318,356,391809,0 44,2,2024-09-07 09:22:21:271,533399,533399,0,0,20750946,0,4344 44,3,2024-09-07 09:22:21:092,1,424,1,0,817,5034,424,0 45,0,2024-09-07 09:22:21:761,103692,0.5,101232,0.7,212403,0.5,279391,2.00 45,1,2024-09-07 09:22:21:013,745558,745558,0,0,349646363552,3634220607,739900,5085,573,382,391917,0 45,2,2024-09-07 09:22:21:268,538049,538049,0,0,21075089,0,3596 45,3,2024-09-07 09:22:20:936,1,424,1,0,271,3678,424,0 46,0,2024-09-07 09:22:20:967,101806,0.5,101644,0.7,203695,0.4,269915,2.00 46,1,2024-09-07 09:22:20:575,747118,747118,0,0,350630067404,3622462954,742450,4077,591,366,391709,0 46,2,2024-09-07 09:22:20:616,537125,537125,0,0,21234804,0,4443 46,3,2024-09-07 09:22:21:131,1,424,1,0,908,5402,424,0 47,0,2024-09-07 09:22:21:102,106422,0.4,106659,0.6,213690,0.4,283406,1.75 47,1,2024-09-07 09:22:20:569,748037,748037,0,0,350363608233,3621837941,743180,4019,838,366,391641,0 47,2,2024-09-07 09:22:20:923,538417,538417,0,0,20832027,0,4477 47,3,2024-09-07 09:22:21:116,1,424,1,0,600,5231,424,0 48,0,2024-09-07 09:22:21:517,109686,0.3,109492,0.5,218168,0.2,290950,1.75 48,1,2024-09-07 09:22:21:022,745764,745764,0,0,350451451035,3640627912,741053,4255,456,384,391710,0 48,2,2024-09-07 09:22:20:698,535494,535494,0,0,19549343,0,3411 48,3,2024-09-07 09:22:20:755,1,424,0,0,339,3451,424,0 49,0,2024-09-07 09:22:21:754,110545,0.4,108672,0.5,210766,0.3,288261,1.75 49,1,2024-09-07 09:22:21:021,745618,745618,0,0,348979433924,3631940395,740465,3947,1206,382,391809,0 49,2,2024-09-07 09:22:21:810,537447,537447,0,0,21573949,0,4426 49,3,2024-09-07 09:22:21:421,1,424,0,0,992,5122,424,0 50,0,2024-09-07 09:22:21:507,103065,0.3,101390,0.4,204842,0.2,272644,1.75 50,1,2024-09-07 09:22:21:014,748070,748070,0,0,350789518568,3627797910,743141,4355,574,368,391565,0 50,2,2024-09-07 09:22:21:073,536302,536302,0,0,19823018,0,4490 50,3,2024-09-07 09:22:21:299,1,424,0,0,567,4339,424,0 51,0,2024-09-07 09:22:21:687,107012,0.3,104642,0.5,203976,0.2,278363,1.75 51,1,2024-09-07 09:22:21:681,748172,748172,0,0,351329183737,3623937966,744220,2903,1049,365,391706,0 51,2,2024-09-07 09:22:21:317,537237,537237,0,0,18834059,0,3337 51,3,2024-09-07 09:22:21:036,1,424,1,0,678,3487,424,0 52,0,2024-09-07 09:22:21:424,110505,0.5,110409,0.7,221003,0.5,293174,2.00 52,1,2024-09-07 09:22:20:588,744834,744834,0,0,349111875244,3653005813,734497,8984,1353,368,391722,0 52,2,2024-09-07 09:22:21:767,533178,533140,38,0,25113055,0,6742 52,3,2024-09-07 09:22:20:679,1,424,1,0,1782,5831,424,0 53,0,2024-09-07 09:22:21:735,108437,0.7,105322,0.8,220358,0.7,289371,2.25 53,1,2024-09-07 09:22:20:774,743291,743291,0,0,349056531694,3662022464,731374,8957,2960,367,391702,0 53,2,2024-09-07 09:22:21:305,536185,536184,1,0,22695729,0,5455 53,3,2024-09-07 09:22:20:696,1,424,61,0,308,3789,424,0 54,0,2024-09-07 09:22:21:618,101284,0.6,101513,0.8,202181,0.4,270388,2.25 54,1,2024-09-07 09:22:20:591,745226,745226,0,0,350080826673,3639588918,737739,6246,1241,366,391659,0 54,2,2024-09-07 09:22:20:870,536487,536455,32,0,26018087,0,6397 54,3,2024-09-07 09:22:20:765,1,424,5,0,676,6185,424,0 55,0,2024-09-07 09:22:21:761,100251,0.5,103555,0.7,209491,0.5,273554,2.25 55,1,2024-09-07 09:22:20:773,745976,745976,0,0,349732074711,3634582433,738345,6539,1092,365,391731,0 55,2,2024-09-07 09:22:20:728,535956,535900,56,0,25006538,0,7239 55,3,2024-09-07 09:22:20:673,1,424,1,0,304,4309,424,0 56,0,2024-09-07 09:22:21:596,111056,1.3,104757,1.2,215694,1.9,289060,2.75 56,1,2024-09-07 09:22:20:587,741250,741250,0,0,348469361670,3680213766,729744,9133,2373,381,391867,0 56,2,2024-09-07 09:22:21:303,536424,536424,0,0,24490771,0,3567 56,3,2024-09-07 09:22:21:062,1,424,8,0,705,5232,424,0 57,0,2024-09-07 09:22:20:941,107970,1.7,107632,1.3,216102,2.4,289859,3.25 57,1,2024-09-07 09:22:20:997,744154,744154,0,0,348471600869,3651988259,735549,7519,1086,366,392032,0 57,2,2024-09-07 09:22:21:315,534397,534397,0,0,26410156,0,3317 57,3,2024-09-07 09:22:21:738,1,424,1,0,455,4949,424,0 58,0,2024-09-07 09:22:20:587,102674,0.8,99684,1.0,208588,0.9,273486,2.50 58,1,2024-09-07 09:22:20:577,744204,744201,0,3,349425256012,3653181840,734250,8662,1289,367,391603,3 58,2,2024-09-07 09:22:21:070,536466,536466,0,0,24524850,0,2902 58,3,2024-09-07 09:22:21:069,1,424,1,0,1043,4588,424,0 59,0,2024-09-07 09:22:21:742,102721,0.7,102441,0.9,204570,0.7,272107,2.50 59,1,2024-09-07 09:22:20:806,744153,744153,0,0,350007573798,3666047548,733933,8411,1809,369,391653,0 59,2,2024-09-07 09:22:20:584,536032,536032,0,0,24404484,0,3727 59,3,2024-09-07 09:22:21:742,1,424,7,0,1015,5681,424,0 60,0,2024-09-07 09:22:21:710,107041,0.5,107080,0.7,214853,0.5,285919,1.75 60,1,2024-09-07 09:22:20:773,746213,746213,0,0,350104822507,3634471248,741071,4422,720,370,392031,0 60,2,2024-09-07 09:22:21:141,537010,537010,0,0,22868655,0,3811 60,3,2024-09-07 09:22:21:258,1,424,0,0,409,4962,424,0 61,0,2024-09-07 09:22:21:511,108976,0.8,109569,0.8,218130,0.8,290740,2.00 61,1,2024-09-07 09:22:20:797,744738,744738,0,0,348780654908,3655044631,736429,6878,1431,382,392127,0 61,2,2024-09-07 09:22:21:121,534393,534326,67,0,23187722,0,6411 61,3,2024-09-07 09:22:21:692,1,424,0,0,479,5746,424,0 62,0,2024-09-07 09:22:21:721,107395,0.5,110040,0.7,210048,0.5,284924,2.00 62,1,2024-09-07 09:22:21:117,748934,748928,0,6,352054170183,3630504497,745113,3554,261,365,391975,6 62,2,2024-09-07 09:22:21:645,535771,535770,1,0,23367110,0,5555 62,3,2024-09-07 09:22:21:144,1,424,0,0,482,3728,424,0 63,0,2024-09-07 09:22:21:456,102580,0.4,102450,0.5,205346,0.3,272445,1.75 63,1,2024-09-07 09:22:20:810,746665,746659,0,6,349883245987,3633645801,741689,4237,733,381,391800,6 63,2,2024-09-07 09:22:20:769,536569,536569,0,0,21306911,0,4369 63,3,2024-09-07 09:22:21:735,1,424,1,0,667,4410,424,0 64,0,2024-09-07 09:22:21:620,104400,0.5,104365,0.7,208344,0.5,277387,2.00 64,1,2024-09-07 09:22:20:749,745541,745541,0,0,349907068777,3646785360,738864,4974,1703,370,391783,0 64,2,2024-09-07 09:22:21:141,539822,539803,19,0,21216512,0,6121 64,3,2024-09-07 09:22:21:139,1,424,1,0,265,4184,424,0 65,0,2024-09-07 09:22:21:683,108515,0.7,108784,0.8,217295,0.8,289319,2.25 65,1,2024-09-07 09:22:20:865,743921,743921,0,0,348174472124,3640027007,738812,4569,540,382,391901,0 65,2,2024-09-07 09:22:21:693,536164,536164,0,0,24769553,0,3367 65,3,2024-09-07 09:22:21:684,1,424,0,0,782,5061,424,0 66,0,2024-09-07 09:22:21:762,108859,0.5,108730,0.8,217245,0.5,289177,2.25 66,1,2024-09-07 09:22:21:293,745903,745903,0,0,349843477570,3645932651,740405,4973,525,380,391743,0 66,2,2024-09-07 09:22:21:136,538252,538252,0,0,21646916,0,4956 66,3,2024-09-07 09:22:21:086,1,424,1,0,291,3705,424,0 67,0,2024-09-07 09:22:21:442,102392,0.4,102134,0.6,204843,0.4,272678,2.00 67,1,2024-09-07 09:22:20:767,745822,745821,0,1,350116643163,3647831503,740469,4560,792,380,391787,1 67,2,2024-09-07 09:22:20:583,538562,538562,0,0,20815001,0,3622 67,3,2024-09-07 09:22:21:757,1,424,2,0,392,4230,424,0 68,0,2024-09-07 09:22:20:563,103792,0.5,103676,0.7,206541,0.5,276057,2.00 68,1,2024-09-07 09:22:20:572,743086,743086,0,0,348667534415,3667871066,733032,7108,2946,381,391953,0 68,2,2024-09-07 09:22:21:044,534210,534110,100,0,27693213,0,8578 68,3,2024-09-07 09:22:20:732,1,424,1,0,417,4886,424,0 69,0,2024-09-07 09:22:21:730,109687,0.8,110490,0.8,220004,0.8,292692,2.25 69,1,2024-09-07 09:22:21:015,742560,742560,0,0,348312050625,3671726771,732789,7501,2270,384,391994,0 69,2,2024-09-07 09:22:21:738,535402,535373,29,0,29175366,0,6912 69,3,2024-09-07 09:22:20:760,1,424,508,0,698,6564,424,0 70,0,2024-09-07 09:22:21:558,108290,1.1,108476,1.1,218202,1.0,289266,2.50 70,1,2024-09-07 09:22:20:802,746123,746123,0,0,350679400206,3639652498,740146,5316,661,366,391725,0 70,2,2024-09-07 09:22:21:327,534927,534927,0,0,24392238,0,4323 70,3,2024-09-07 09:22:20:751,1,424,9,0,854,4981,424,0 71,0,2024-09-07 09:22:21:355,102028,0.8,101792,1.0,204388,0.9,273212,2.50 71,1,2024-09-07 09:22:21:596,744943,744943,0,0,349508279051,3646319610,736505,7635,803,368,391738,0 71,2,2024-09-07 09:22:21:068,536175,536175,0,0,24475004,0,4352 71,3,2024-09-07 09:22:21:750,1,424,1,0,644,5566,424,0 72,0,2024-09-07 09:22:21:061,106466,0.5,104125,0.7,202996,0.5,275946,2.00 72,1,2024-09-07 09:22:21:022,743639,743639,0,0,348556770877,3657278990,732776,8853,2010,369,391819,0 72,2,2024-09-07 09:22:21:774,535721,535721,0,0,26530916,0,3983 72,3,2024-09-07 09:22:21:758,1,424,5,0,564,6384,424,0 73,0,2024-09-07 09:22:21:111,105738,0.5,108316,0.6,221494,0.4,288410,2.00 73,1,2024-09-07 09:22:20:766,745380,745380,0,0,349542132430,3631014999,740134,4837,409,367,391858,0 73,2,2024-09-07 09:22:21:742,536320,536320,0,0,25958903,0,3701 73,3,2024-09-07 09:22:20:981,1,424,3,0,486,5701,424,0 74,0,2024-09-07 09:22:21:322,110290,0.5,112715,0.7,215016,0.5,292026,2.25 74,1,2024-09-07 09:22:20:643,744116,744116,0,0,348554172524,3639965046,736150,6371,1595,381,391762,0 74,2,2024-09-07 09:22:21:008,533743,533743,0,0,23890763,0,4253 74,3,2024-09-07 09:22:21:442,1,424,26,0,522,5550,424,0 75,0,2024-09-07 09:22:21:769,105506,0.5,104833,0.7,210246,0.5,280514,2.25 75,1,2024-09-07 09:22:21:589,745334,745334,0,0,349809206125,3648450837,738512,5994,828,380,391739,0 75,2,2024-09-07 09:22:21:355,536037,536037,0,0,26219308,0,4766 75,3,2024-09-07 09:22:21:073,1,424,3,0,702,5302,424,0 76,0,2024-09-07 09:22:20:580,101764,0.5,101109,0.7,202890,0.5,270737,2.25 76,1,2024-09-07 09:22:20:817,744897,744897,0,0,349455120639,3646383076,739469,4603,825,382,391692,0 76,2,2024-09-07 09:22:21:065,538912,538911,1,0,23330445,0,5144 76,3,2024-09-07 09:22:21:142,1,424,1,0,175,3886,424,0 77,0,2024-09-07 09:22:21:701,105688,0.6,106211,0.7,212247,0.6,282266,2.00 77,1,2024-09-07 09:22:20:833,745341,745341,0,0,349812625879,3650961673,739530,5310,501,381,391869,0 77,2,2024-09-07 09:22:21:286,535932,535932,0,0,22807106,0,3890 77,3,2024-09-07 09:22:21:099,1,424,1,0,401,4763,424,0 78,0,2024-09-07 09:22:21:722,109459,0.5,108860,0.7,218608,0.4,289469,2.00 78,1,2024-09-07 09:22:20:614,745412,745412,0,0,348871866683,3635444641,737547,6298,1567,367,391670,0 78,2,2024-09-07 09:22:21:406,536153,536140,13,0,21476665,0,8313 78,3,2024-09-07 09:22:21:135,1,424,2,0,181,3713,424,0 79,0,2024-09-07 09:22:21:349,104051,0.4,106500,0.6,218180,0.4,283262,2.25 79,1,2024-09-07 09:22:20:579,747274,747274,0,0,349923995692,3628264170,740702,5263,1309,367,391682,0 79,2,2024-09-07 09:22:21:075,537913,537913,0,0,21102984,0,4195 79,3,2024-09-07 09:22:20:749,1,424,1,0,418,5364,424,0 80,0,2024-09-07 09:22:21:081,102478,0.5,105368,0.6,201731,0.5,272455,2.00 80,1,2024-09-07 09:22:21:625,745265,745265,0,0,349948072376,3646285499,739713,5131,421,368,392269,0 80,2,2024-09-07 09:22:21:094,538213,538213,0,0,21393453,0,4433 80,3,2024-09-07 09:22:20:575,1,424,1,0,190,4975,424,0 81,0,2024-09-07 09:22:21:553,104198,0.5,106656,0.7,203548,0.5,276971,2.00 81,1,2024-09-07 09:22:21:652,744140,744140,0,0,349372165583,3651623022,738134,5466,540,382,391879,0 81,2,2024-09-07 09:22:21:127,535194,535131,63,0,23762439,0,5932 81,3,2024-09-07 09:22:21:119,1,424,2,0,719,5104,424,0 82,0,2024-09-07 09:22:21:563,109836,0.5,110073,0.7,220786,0.5,292546,2.00 82,1,2024-09-07 09:22:20:584,746336,746332,0,4,350307411943,3645032090,741635,3878,819,381,391768,4 82,2,2024-09-07 09:22:21:695,537696,537696,0,0,20220925,0,4484 82,3,2024-09-07 09:22:21:752,1,424,1,0,363,4582,424,0 83,0,2024-09-07 09:22:21:548,109138,0.7,109157,0.8,217718,0.7,289605,2.25 83,1,2024-09-07 09:22:20:554,744396,744396,0,0,348854377443,3639552755,738869,5077,450,382,391709,0 83,2,2024-09-07 09:22:20:767,536019,536019,0,0,20928950,0,3393 83,3,2024-09-07 09:22:20:755,1,424,2,0,1260,5506,424,0 84,0,2024-09-07 09:22:21:862,102129,0.6,102152,0.8,203578,0.5,272836,2.25 84,1,2024-09-07 09:22:21:046,743916,743916,0,0,349301227370,3649262225,736242,6632,1042,367,391967,0 84,2,2024-09-07 09:22:20:572,535609,535579,30,0,28102413,0,5971 84,3,2024-09-07 09:22:21:147,1,424,1,0,908,6398,424,0 85,0,2024-09-07 09:22:21:023,99904,0.6,99886,0.7,212106,0.5,274813,2.25 85,1,2024-09-07 09:22:20:560,742219,742219,0,0,348165688511,3673720801,731411,8889,1919,381,392006,0 85,2,2024-09-07 09:22:20:865,535916,535916,0,0,26080243,0,3656 85,3,2024-09-07 09:22:20:684,1,424,9,0,789,5221,424,0 86,0,2024-09-07 09:22:20:885,108581,0.6,111713,0.8,213878,0.7,289348,2.25 86,1,2024-09-07 09:22:20:827,744270,744270,0,0,349478825046,3660141190,735598,7231,1441,366,391961,0 86,2,2024-09-07 09:22:20:855,534273,534272,1,0,28199166,0,5004 86,3,2024-09-07 09:22:20:594,1,424,4,0,308,6060,424,0 87,0,2024-09-07 09:22:21:292,109386,1.0,109221,0.9,218566,1.4,292337,2.50 87,1,2024-09-07 09:22:20:596,743025,743025,0,0,348470109592,3653691301,733075,8357,1593,366,392076,0 87,2,2024-09-07 09:22:21:075,533290,533284,6,0,24935332,0,6323 87,3,2024-09-07 09:22:21:795,1,424,7,0,473,6691,424,0 88,0,2024-09-07 09:22:21:485,104135,0.4,104831,0.6,208616,0.4,277789,1.75 88,1,2024-09-07 09:22:20:577,742922,742922,0,0,349177338372,3657998481,733218,7697,2007,365,392084,0 88,2,2024-09-07 09:22:20:688,537135,537135,0,0,27002363,0,4465 88,3,2024-09-07 09:22:21:269,1,424,3,0,435,4800,424,0 89,0,2024-09-07 09:22:21:835,105934,0.4,102559,0.6,202916,0.4,275917,1.75 89,1,2024-09-07 09:22:20:554,742835,742835,0,0,348522802508,3663891553,733666,7743,1426,382,391866,0 89,2,2024-09-07 09:22:21:134,535719,535719,0,0,25325236,0,3173 89,3,2024-09-07 09:22:21:791,1,424,1,0,468,7470,424,0 90,0,2024-09-07 09:22:21:665,104049,0.5,106780,0.6,217918,0.4,284954,2.00 90,1,2024-09-07 09:22:20:594,743874,743874,0,0,349433727737,3657978370,737104,6258,512,380,391825,0 90,2,2024-09-07 09:22:21:407,534889,534889,0,0,26788614,0,3060 90,3,2024-09-07 09:22:20:935,1,424,1,0,322,5252,424,0 91,0,2024-09-07 09:22:20:952,109762,0.5,106268,0.7,222126,0.5,291464,1.75 91,1,2024-09-07 09:22:20:559,742797,742797,0,0,348505875437,3664410856,733546,7848,1403,381,392047,0 91,2,2024-09-07 09:22:21:333,535684,535684,0,0,23748983,0,2896 91,3,2024-09-07 09:22:20:605,1,424,8,0,216,4236,424,0 92,0,2024-09-07 09:22:21:494,107762,0.5,110483,0.6,210919,0.4,285335,1.75 92,1,2024-09-07 09:22:20:583,744471,744471,0,0,348899669128,3643837137,738938,4808,725,381,392136,0 92,2,2024-09-07 09:22:21:366,538123,538123,0,0,21454068,0,3259 92,3,2024-09-07 09:22:21:009,1,424,0,0,167,3771,424,0 93,0,2024-09-07 09:22:20:961,103063,0.4,105628,0.5,201524,0.3,272614,1.75 93,1,2024-09-07 09:22:20:806,744360,744360,0,0,349852024772,3653002470,736231,6746,1383,366,391776,0 93,2,2024-09-07 09:22:20:932,536158,536158,0,0,26053690,0,4845 93,3,2024-09-07 09:22:21:409,1,424,10,0,190,3813,424,0 94,0,2024-09-07 09:22:21:696,104283,0.4,105299,0.5,209981,0.3,279040,1.75 94,1,2024-09-07 09:22:20:568,745141,745141,0,0,349628471007,3647445364,740354,4577,210,381,391850,0 94,2,2024-09-07 09:22:20:760,535960,535960,0,0,21746893,0,2443 94,3,2024-09-07 09:22:21:702,1,424,5,0,576,5666,424,0 95,0,2024-09-07 09:22:21:353,109497,0.4,109288,0.5,219194,0.3,291842,1.75 95,1,2024-09-07 09:22:20:854,745740,745740,0,0,350190382650,3640413728,739694,5609,437,365,391786,0 95,2,2024-09-07 09:22:21:022,535702,535702,0,0,21518811,0,3308 95,3,2024-09-07 09:22:21:721,1,424,4,0,718,6203,424,0 96,0,2024-09-07 09:22:21:049,109307,0.4,109724,0.5,218707,0.3,290324,1.75 96,1,2024-09-07 09:22:21:607,744791,744791,0,0,349261390662,3645501093,739250,4658,883,384,391955,0 96,2,2024-09-07 09:22:21:275,537133,537133,0,0,22533620,0,4180 96,3,2024-09-07 09:22:21:139,1,424,2,0,411,4779,424,0 97,0,2024-09-07 09:22:21:317,102427,0.3,102037,0.5,204709,0.2,272560,1.50 97,1,2024-09-07 09:22:20:766,746188,746188,0,0,350404226387,3636575317,741040,4216,932,367,392140,0 97,2,2024-09-07 09:22:20:621,536943,536943,0,0,21645387,0,3679 97,3,2024-09-07 09:22:20:572,1,424,1,0,242,5107,424,0 98,0,2024-09-07 09:22:21:786,103451,0.3,103508,0.4,207639,0.2,276005,1.50 98,1,2024-09-07 09:22:20:573,745028,745028,0,0,350155289342,3649064933,740075,4162,791,382,391997,0 98,2,2024-09-07 09:22:20:773,536890,536890,0,0,21854156,0,4336 98,3,2024-09-07 09:22:20:698,1,424,1,0,840,7147,424,0 99,0,2024-09-07 09:22:21:462,110246,0.3,110976,0.5,220451,0.3,295365,1.75 99,1,2024-09-07 09:22:21:729,745704,745704,0,0,349501532747,3638420353,740759,4108,837,381,392069,0 99,2,2024-09-07 09:22:21:416,537365,537365,0,0,25675932,0,4276 99,3,2024-09-07 09:22:20:586,1,424,2,0,606,4694,424,0 100,0,2024-09-07 09:22:21:481,109172,0.9,109465,1.0,218083,1.0,291838,2.50 100,1,2024-09-07 09:22:20:550,741376,741376,0,0,347795158131,3673875387,730648,8682,2046,381,391989,0 100,2,2024-09-07 09:22:21:826,532834,532823,11,0,25048715,0,5417 100,3,2024-09-07 09:22:21:738,1,424,6,0,559,6891,424,0 101,0,2024-09-07 09:22:21:948,105229,0.9,102355,1.0,201105,0.7,275297,2.25 101,1,2024-09-07 09:22:20:550,741745,741745,0,0,347954332713,3660519678,731073,8549,2123,368,391847,0 101,2,2024-09-07 09:22:21:768,533179,533179,0,0,29982412,0,4871 101,3,2024-09-07 09:22:20:966,1,424,0,0,579,5871,424,0 102,0,2024-09-07 09:22:20:958,100412,0.6,103593,0.7,209852,0.6,274771,2.00 102,1,2024-09-07 09:22:21:143,742361,742361,0,0,348562608064,3663103703,732484,8115,1762,369,391891,0 102,2,2024-09-07 09:22:21:788,537051,536997,54,0,24176037,0,6768 102,3,2024-09-07 09:22:21:621,1,424,12,0,466,4706,424,0 103,0,2024-09-07 09:22:21:649,111963,0.6,111927,0.7,211007,0.6,290623,2.00 103,1,2024-09-07 09:22:21:628,741420,741420,0,0,348704598483,3682810690,729423,8931,3066,381,391829,0 103,2,2024-09-07 09:22:20:581,535071,535071,0,0,26781255,0,3766 103,3,2024-09-07 09:22:20:759,1,424,2,0,916,4710,424,0 104,0,2024-09-07 09:22:21:016,108388,0.8,108746,1.0,216386,0.8,290119,2.50 104,1,2024-09-07 09:22:21:606,743835,743835,0,0,349348930076,3669398251,732996,8977,1862,365,392168,0 104,2,2024-09-07 09:22:21:669,532945,532945,0,0,25490946,0,3941 104,3,2024-09-07 09:22:21:417,1,424,4,0,1245,8513,424,0 105,0,2024-09-07 09:22:21:030,103874,0.8,101160,1.1,211984,0.9,278586,2.50 105,1,2024-09-07 09:22:20:562,744475,744475,0,0,349699140002,3663975839,735034,8015,1426,366,392009,0 105,2,2024-09-07 09:22:21:328,535764,535764,0,0,25363522,0,3509 105,3,2024-09-07 09:22:21:313,1,424,4,0,399,6556,424,0 106,0,2024-09-07 09:22:20:953,98675,0.7,101076,0.9,207081,0.7,270475,2.50 106,1,2024-09-07 09:22:21:752,743037,743037,0,0,348760745835,3662091782,732015,9575,1447,369,391914,0 106,2,2024-09-07 09:22:20:761,535685,535685,0,0,24674862,0,2920 106,3,2024-09-07 09:22:20:678,1,424,2,0,470,5477,424,0 107,0,2024-09-07 09:22:21:124,105868,0.9,106176,0.9,211897,1.1,283258,2.25 107,1,2024-09-07 09:22:20:586,742289,742289,0,0,348060947428,3668282098,732126,9111,1052,381,392234,0 107,2,2024-09-07 09:22:21:291,534492,534491,1,0,25582465,0,5024 107,3,2024-09-07 09:22:21:776,1,424,4,0,370,6432,424,0 108,0,2024-09-07 09:22:21:834,108901,0.5,109693,0.6,218153,0.5,290704,1.75 108,1,2024-09-07 09:22:21:306,744651,744651,0,0,349761882239,3648081127,738511,5396,744,368,391857,0 108,2,2024-09-07 09:22:21:765,534251,534251,0,0,24184894,0,4246 108,3,2024-09-07 09:22:21:333,1,424,14,0,749,7968,424,0 109,0,2024-09-07 09:22:21:784,108440,0.4,107592,0.6,215207,0.4,287459,1.75 109,1,2024-09-07 09:22:20:592,742122,742122,0,0,349121466281,3665321732,734724,6213,1185,382,392132,0 109,2,2024-09-07 09:22:20:926,534762,534762,0,0,23735024,0,3617 109,3,2024-09-07 09:22:21:139,1,424,1,0,379,4978,424,0 110,0,2024-09-07 09:22:21:753,102299,0.3,99711,0.5,208806,0.3,273017,1.75 110,1,2024-09-07 09:22:21:643,746072,746072,0,0,350184603221,3635370059,740682,4212,1178,369,392045,0 110,2,2024-09-07 09:22:21:305,536480,536480,0,0,22647092,0,4067 110,3,2024-09-07 09:22:20:689,1,424,1,0,722,6076,424,0 111,0,2024-09-07 09:22:21:412,104554,0.4,103758,0.5,208200,0.3,278288,1.75 111,1,2024-09-07 09:22:21:002,746068,746068,0,0,350690989585,3637441722,742183,3530,355,380,391690,0 111,2,2024-09-07 09:22:21:116,535975,535975,0,0,22392400,0,4823 111,3,2024-09-07 09:22:20:912,1,424,1,0,379,5055,424,0 112,0,2024-09-07 09:22:20:915,110798,0.3,110449,0.4,221081,0.2,293566,1.50 112,1,2024-09-07 09:22:20:825,746412,746412,0,0,349782184836,3631356181,741698,4101,613,380,391624,0 112,2,2024-09-07 09:22:21:137,536217,536216,1,0,21674678,0,5036 112,3,2024-09-07 09:22:20:602,1,424,2,0,282,4220,424,0 113,0,2024-09-07 09:22:20:926,109220,0.3,109334,0.5,218965,0.3,291500,1.75 113,1,2024-09-07 09:22:21:684,748076,748076,0,0,350917961741,3620974411,744188,3299,589,366,391661,0 113,2,2024-09-07 09:22:21:304,537626,537626,0,0,19986213,0,3813 113,3,2024-09-07 09:22:20:683,1,424,0,0,340,4727,424,0 114,0,2024-09-07 09:22:20:884,103087,0.3,103681,0.5,206124,0.2,275451,1.75 114,1,2024-09-07 09:22:20:716,745624,745624,0,0,350296990813,3640424509,739344,4664,1616,381,391565,0 114,2,2024-09-07 09:22:20:885,537525,537524,1,0,21070579,0,5069 114,3,2024-09-07 09:22:21:279,1,424,1,0,395,3652,424,0 115,0,2024-09-07 09:22:20:555,103819,0.3,104428,0.4,208258,0.2,277361,1.50 115,1,2024-09-07 09:22:20:572,746223,746223,0,0,350778995307,3647182296,739733,5223,1267,382,391757,0 115,2,2024-09-07 09:22:21:125,538548,538548,0,0,20905549,0,4382 115,3,2024-09-07 09:22:21:009,1,424,1,0,159,2396,424,0 116,0,2024-09-07 09:22:21:790,108319,0.7,108244,0.8,217337,0.7,290429,2.00 116,1,2024-09-07 09:22:20:810,742310,742310,0,0,348732644144,3674009840,733704,6281,2325,380,392089,0 116,2,2024-09-07 09:22:21:783,535654,535654,0,0,26535464,0,4128 116,3,2024-09-07 09:22:20:925,1,424,4,0,415,5050,424,0 117,0,2024-09-07 09:22:20:970,109861,0.8,109237,0.8,218964,1.0,292575,2.00 117,1,2024-09-07 09:22:21:584,743455,743455,0,0,348151447799,3643906731,735704,6836,915,369,392033,0 117,2,2024-09-07 09:22:21:121,536875,536875,0,0,22665468,0,4303 117,3,2024-09-07 09:22:21:074,1,424,6,0,490,6509,424,0 118,0,2024-09-07 09:22:21:778,101174,0.5,103751,0.7,211816,0.4,276728,2.00 118,1,2024-09-07 09:22:20:585,743611,743611,0,0,348072116942,3663353363,731703,8909,2999,366,391907,0 118,2,2024-09-07 09:22:21:591,536152,536152,0,0,24965437,0,2842 118,3,2024-09-07 09:22:21:771,1,424,26,0,248,4997,424,0 119,0,2024-09-07 09:22:21:348,102703,0.5,103051,0.7,206111,0.5,274275,2.00 119,1,2024-09-07 09:22:20:556,743882,743882,0,0,349795521251,3660565756,735402,7364,1116,367,391780,0 119,2,2024-09-07 09:22:21:262,537371,537371,0,0,23626905,0,4174 119,3,2024-09-07 09:22:21:332,1,424,2,0,1358,8461,424,0 120,0,2024-09-07 09:22:21:564,106477,0.7,106290,0.8,213381,0.7,285198,2.25 120,1,2024-09-07 09:22:20:860,744025,744025,0,0,348281636116,3655620118,735658,7598,769,368,391961,0 120,2,2024-09-07 09:22:20:778,535704,535703,1,0,26737852,0,5281 120,3,2024-09-07 09:22:21:291,1,424,1,0,279,5326,424,0 121,0,2024-09-07 09:22:21:769,109090,1.5,108755,1.1,218361,2.1,290736,2.50 121,1,2024-09-07 09:22:21:661,744228,744228,0,0,349062145206,3648651496,737513,6255,460,367,391840,0 121,2,2024-09-07 09:22:21:139,533667,533667,0,0,25694690,0,4127 121,3,2024-09-07 09:22:20:743,1,424,12,0,269,4746,424,0 122,0,2024-09-07 09:22:21:779,106330,0.8,103732,0.9,217523,0.8,285162,2.00 122,1,2024-09-07 09:22:20:864,742734,742734,0,0,348546198833,3658283417,732301,8830,1603,366,392130,0 122,2,2024-09-07 09:22:21:329,537123,537050,73,0,28576377,0,5989 122,3,2024-09-07 09:22:20:605,1,424,7,0,512,7677,424,0 123,0,2024-09-07 09:22:20:960,101940,0.6,99519,0.7,208216,0.6,271946,2.00 123,1,2024-09-07 09:22:20:558,742746,742746,0,0,348413790840,3671954470,729203,11102,2441,369,392039,0 123,2,2024-09-07 09:22:21:023,534681,534680,1,0,24083940,0,5215 123,3,2024-09-07 09:22:21:141,1,424,1,0,478,4977,424,0 124,0,2024-09-07 09:22:20:932,107898,0.3,107938,0.5,203399,0.3,279675,1.75 124,1,2024-09-07 09:22:21:024,745636,745636,0,0,349737734477,3635706666,740359,4228,1049,367,392178,0 124,2,2024-09-07 09:22:21:009,537088,537035,53,0,21971682,0,6487 124,3,2024-09-07 09:22:20:759,1,424,1,0,490,4116,424,0 125,0,2024-09-07 09:22:21:453,109438,0.4,109212,0.6,219433,0.4,291896,1.75 125,1,2024-09-07 09:22:20:856,744016,744016,0,0,349388663923,3648828604,738108,5238,670,382,391702,0 125,2,2024-09-07 09:22:21:123,536891,536891,0,0,22703094,0,4534 125,3,2024-09-07 09:22:21:136,1,424,5,0,709,5375,424,0 126,0,2024-09-07 09:22:21:439,109214,0.4,112462,0.6,215139,0.4,290995,1.75 126,1,2024-09-07 09:22:20:564,746445,746445,0,0,350193043645,3627619047,742446,3706,293,365,391987,0 126,2,2024-09-07 09:22:20:614,537354,537354,0,0,23442305,0,4539 126,3,2024-09-07 09:22:20:912,1,424,1,0,268,5265,424,0 127,0,2024-09-07 09:22:21:589,102327,0.3,102643,0.5,204952,0.2,272684,1.50 127,1,2024-09-07 09:22:20:579,745812,745812,0,0,349222024587,3633381638,738470,6120,1222,364,392187,0 127,2,2024-09-07 09:22:20:644,535659,535659,0,0,21184017,0,3897 127,3,2024-09-07 09:22:21:269,1,424,1,0,968,4615,424,0 128,0,2024-09-07 09:22:21:526,103999,0.3,104259,0.4,207978,0.2,276372,1.50 128,1,2024-09-07 09:22:21:621,745271,745271,0,0,350366435978,3637885456,740366,4472,433,367,392031,0 128,2,2024-09-07 09:22:21:388,537859,537859,0,0,20644829,0,3171 128,3,2024-09-07 09:22:20:775,1,424,9,0,1082,6695,424,0 129,0,2024-09-07 09:22:20:992,111193,0.3,110670,0.5,221763,0.3,295716,1.75 129,1,2024-09-07 09:22:20:573,742729,742729,0,0,348599626350,3654456528,735059,6000,1670,379,391962,0 129,2,2024-09-07 09:22:20:685,537204,537204,0,0,22024803,0,4031 129,3,2024-09-07 09:22:20:687,1,424,2,0,506,5854,424,0 130,0,2024-09-07 09:22:21:724,110216,0.5,109650,0.6,220672,0.6,293218,1.75 130,1,2024-09-07 09:22:20:591,745756,745756,0,0,350095090567,3638636549,741720,3697,339,381,391825,0 130,2,2024-09-07 09:22:21:128,536496,536496,0,0,22450694,0,4067 130,3,2024-09-07 09:22:21:293,1,424,4,0,960,6710,424,0 131,0,2024-09-07 09:22:21:930,102820,0.3,103320,0.5,207703,0.3,275170,1.75 131,1,2024-09-07 09:22:21:822,745194,745194,0,0,349290807405,3649538185,739231,4915,1048,381,391865,0 131,2,2024-09-07 09:22:20:567,539162,539162,0,0,20684268,0,3979 131,3,2024-09-07 09:22:21:692,1,424,1,0,392,4811,424,0 132,0,2024-09-07 09:22:21:420,103095,0.4,104120,0.6,207073,0.4,275548,1.75 132,1,2024-09-07 09:22:20:582,741670,741670,0,0,347978793941,3665597177,730539,9025,2106,381,392532,0 132,2,2024-09-07 09:22:20:698,536298,536281,17,0,27038578,0,6451 132,3,2024-09-07 09:22:21:706,1,424,6,0,804,7553,424,0 133,0,2024-09-07 09:22:21:523,105640,0.4,108122,0.6,221831,0.4,288881,1.75 133,1,2024-09-07 09:22:20:587,741807,741807,0,0,348556669562,3674944428,731264,9028,1515,383,391914,0 133,2,2024-09-07 09:22:21:110,535621,535571,50,0,28384495,0,6861 133,3,2024-09-07 09:22:21:300,1,424,154,0,479,4491,424,0 134,0,2024-09-07 09:22:20:948,109914,0.6,109531,0.7,219169,0.6,292400,2.25 134,1,2024-09-07 09:22:20:637,743223,743223,0,0,348983109455,3661178033,733431,7757,2035,366,391718,0 134,2,2024-09-07 09:22:21:762,532552,532528,24,0,26032399,0,6207 134,3,2024-09-07 09:22:20:750,1,424,6,0,739,5431,424,0 135,0,2024-09-07 09:22:21:128,101761,0.8,101801,0.9,216013,0.9,278057,2.25 135,1,2024-09-07 09:22:21:585,743349,743349,0,0,349547629672,3677592132,733393,8585,1371,380,391805,0 135,2,2024-09-07 09:22:20:691,537135,537135,0,0,25360977,0,3981 135,3,2024-09-07 09:22:21:013,1,424,12,0,299,3534,424,0 136,0,2024-09-07 09:22:21:653,102575,0.5,102806,0.7,204393,0.5,272583,2.00 136,1,2024-09-07 09:22:21:450,743789,743789,0,0,349235392726,3662826116,735221,7606,962,381,391685,0 136,2,2024-09-07 09:22:21:137,537967,537967,0,0,24148495,0,3506 136,3,2024-09-07 09:22:21:111,1,424,3,0,637,4942,424,0 137,0,2024-09-07 09:22:20:922,109225,0.6,106421,0.7,208937,0.6,284274,2.00 137,1,2024-09-07 09:22:20:589,742423,742423,0,0,348734721302,3659472414,730907,9157,2359,366,391898,0 137,2,2024-09-07 09:22:21:705,534825,534825,0,0,26709836,0,3185 137,3,2024-09-07 09:22:20:780,1,424,27,0,484,5265,424,0 138,0,2024-09-07 09:22:21:772,108695,1.0,108677,1.0,218144,1.3,289513,2.25 138,1,2024-09-07 09:22:21:691,743547,743547,0,0,349008345804,3660592910,733306,8519,1722,368,391954,0 138,2,2024-09-07 09:22:20:650,534824,534824,0,0,24313015,0,4988 138,3,2024-09-07 09:22:20:615,1,424,9,0,1160,5649,424,0 139,0,2024-09-07 09:22:21:363,106943,1.6,107008,1.1,214459,2.3,285971,2.50 139,1,2024-09-07 09:22:20:624,739747,739747,0,0,347005331144,3687484749,725381,11060,3306,380,392058,0 139,2,2024-09-07 09:22:20:693,532914,532914,0,0,27817022,0,3097 139,3,2024-09-07 09:22:21:662,1,424,4,0,432,5003,424,0 140,0,2024-09-07 09:22:21:614,102874,0.3,102354,0.5,205432,0.2,273370,1.75 140,1,2024-09-07 09:22:21:540,747696,747696,0,0,350760527892,3616642364,744132,3123,441,365,391606,0 140,2,2024-09-07 09:22:20:686,537121,537120,1,0,21398843,0,5036 140,3,2024-09-07 09:22:20:772,1,424,1,0,297,3572,424,0 141,0,2024-09-07 09:22:21:696,104404,0.3,107218,0.5,204803,0.2,278145,1.75 141,1,2024-09-07 09:22:20:860,746021,746021,0,0,350898396729,3645019229,740336,4728,957,379,391614,0 141,2,2024-09-07 09:22:21:691,536842,536842,0,0,21162551,0,3360 141,3,2024-09-07 09:22:21:043,1,424,1,0,391,4911,424,0 142,0,2024-09-07 09:22:21:326,110973,0.3,110308,0.5,220627,0.3,294171,1.75 142,1,2024-09-07 09:22:20:603,745283,745283,0,0,349254914732,3640811061,740596,4290,397,382,392102,0 142,2,2024-09-07 09:22:21:313,535985,535953,32,0,23280504,0,6028 142,3,2024-09-07 09:22:21:750,1,424,1,0,484,4805,424,0 143,0,2024-09-07 09:22:21:392,109395,0.5,109554,0.6,219249,0.5,291589,1.75 143,1,2024-09-07 09:22:20:560,746666,746666,0,0,350437503027,3637466677,741624,4498,544,367,391705,0 143,2,2024-09-07 09:22:20:775,536185,536185,0,0,22260818,0,3123 143,3,2024-09-07 09:22:21:139,1,424,6,0,462,5305,424,0 144,0,2024-09-07 09:22:21:492,99538,0.6,102328,0.8,208520,0.5,273233,2.00 144,1,2024-09-07 09:22:20:569,742295,742295,0,0,347507726701,3649451741,734582,5879,1834,381,391649,0 144,2,2024-09-07 09:22:21:759,537419,537419,0,0,21160356,0,3673 144,3,2024-09-07 09:22:21:740,1,424,2,0,249,4362,424,0 145,0,2024-09-07 09:22:21:382,100334,0.5,100383,0.7,212945,0.5,274544,2.25 145,1,2024-09-07 09:22:20:584,742437,742437,0,0,348467830264,3665572018,732805,7970,1662,382,391759,0 145,2,2024-09-07 09:22:21:430,535166,535085,81,0,26156499,0,7814 145,3,2024-09-07 09:22:20:898,1,424,1,0,622,6142,424,0 146,0,2024-09-07 09:22:21:621,108632,0.6,108041,0.8,217803,0.6,288907,2.25 146,1,2024-09-07 09:22:21:608,743954,743954,0,0,348569608703,3659706415,733002,8832,2120,367,391770,0 146,2,2024-09-07 09:22:21:695,535016,535016,0,0,24774005,0,3290 146,3,2024-09-07 09:22:21:277,1,424,26,0,1520,8026,424,0 147,0,2024-09-07 09:22:21:756,109654,0.7,109594,0.8,218358,0.7,292098,2.25 147,1,2024-09-07 09:22:21:373,746650,746650,0,0,349824960782,3639053515,740012,5793,845,368,391791,0 147,2,2024-09-07 09:22:21:011,534249,534249,0,0,22299025,0,2968 147,3,2024-09-07 09:22:20:926,1,424,3,0,1626,7365,424,0 0,0,2024-09-07 09:22:31:818,104479,0.6,104583,0.7,221775,0.7,287051,2.00 0,1,2024-09-07 09:22:30:809,745700,745700,0,0,349344754366,3656754649,740252,5060,388,369,391896,0 0,2,2024-09-07 09:22:31:071,538989,538989,0,0,21771515,0,4480 0,3,2024-09-07 09:22:30:977,1,425,1,0,431,6339,425,0 1,0,2024-09-07 09:22:31:852,109436,1.2,108672,1.1,218031,1.7,291568,2.25 1,1,2024-09-07 09:22:30:581,745077,745077,0,0,349284653845,3656425488,738648,5103,1326,370,391859,0 1,2,2024-09-07 09:22:30:672,534703,534703,0,0,22073699,0,3380 1,3,2024-09-07 09:22:31:324,1,425,12,0,268,5216,425,0 2,0,2024-09-07 09:22:31:566,106615,0.6,106839,0.8,212862,0.7,283764,2.00 2,1,2024-09-07 09:22:30:858,747647,747647,0,0,350708490343,3640425973,744360,2992,295,380,391745,0 2,2,2024-09-07 09:22:31:270,538894,538894,0,0,20696766,0,3594 2,3,2024-09-07 09:22:30:694,1,425,1,0,357,4146,425,0 3,0,2024-09-07 09:22:31:764,102462,0.4,102225,0.6,204397,0.3,271912,2.00 3,1,2024-09-07 09:22:31:630,746405,746405,0,0,349582709959,3641365832,740185,5530,690,380,391716,0 3,2,2024-09-07 09:22:31:164,538241,538218,23,0,21875990,0,5851 3,3,2024-09-07 09:22:31:779,1,425,0,0,207,2835,425,0 4,0,2024-09-07 09:22:31:804,102003,0.4,104773,0.5,213723,0.3,280149,1.75 4,1,2024-09-07 09:22:30:591,743665,743665,0,0,348464571129,3683621529,731853,9305,2507,370,391992,0 4,2,2024-09-07 09:22:31:018,535369,535369,0,0,24935483,0,4528 4,3,2024-09-07 09:22:31:027,1,425,8,0,448,5545,425,0 5,0,2024-09-07 09:22:31:494,109394,0.4,110023,0.6,219500,0.4,291406,1.75 5,1,2024-09-07 09:22:30:761,744796,744796,0,0,349532182100,3680033161,734368,8270,2158,367,392005,0 5,2,2024-09-07 09:22:31:839,534417,534417,0,0,24833348,0,3582 5,3,2024-09-07 09:22:31:749,1,425,12,0,457,5991,425,0 6,0,2024-09-07 09:22:30:917,109539,0.5,109123,0.7,218129,0.4,290736,2.00 6,1,2024-09-07 09:22:30:761,745443,745443,0,0,350428476676,3662384032,737233,6817,1393,379,391694,0 6,2,2024-09-07 09:22:31:118,537334,537316,18,0,24762512,0,5535 6,3,2024-09-07 09:22:31:274,1,425,94,0,710,5438,425,0 7,0,2024-09-07 09:22:31:538,101917,0.5,102636,0.6,204315,0.4,272057,2.00 7,1,2024-09-07 09:22:30:853,744936,744936,0,0,349962071201,3671277626,735167,8306,1463,382,391747,0 7,2,2024-09-07 09:22:30:773,538447,538447,0,0,24030813,0,4791 7,3,2024-09-07 09:22:30:851,1,425,17,0,552,4931,425,0 8,0,2024-09-07 09:22:31:442,104171,0.4,103883,0.5,208132,0.3,277580,1.75 8,1,2024-09-07 09:22:31:028,743720,743720,0,0,349521961078,3675310276,732193,8898,2629,366,392853,0 8,2,2024-09-07 09:22:30:792,533267,533267,0,0,28140273,0,3250 8,3,2024-09-07 09:22:30:596,1,425,13,0,538,7041,425,0 9,0,2024-09-07 09:22:31:179,110881,0.4,107665,0.5,225293,0.3,295512,1.75 9,1,2024-09-07 09:22:30:562,743537,743537,0,0,349281095258,3679393595,732480,8636,2421,369,392001,0 9,2,2024-09-07 09:22:31:088,536604,536604,0,0,25080358,0,3360 9,3,2024-09-07 09:22:31:766,1,425,22,0,496,6081,425,0 10,0,2024-09-07 09:22:31:602,109739,0.4,109093,0.5,219286,0.3,292051,1.75 10,1,2024-09-07 09:22:30:585,745237,745237,0,0,349721708256,3666251050,734853,8761,1623,381,391741,0 10,2,2024-09-07 09:22:30:763,536028,536028,0,0,27350567,0,4264 10,3,2024-09-07 09:22:30:878,1,425,0,0,649,4576,425,0 11,0,2024-09-07 09:22:31:011,103052,0.4,99977,0.6,209162,0.4,275758,1.75 11,1,2024-09-07 09:22:30:579,745570,745570,0,0,350324825252,3675507853,734242,8702,2626,383,391756,0 11,2,2024-09-07 09:22:31:124,537277,537277,0,0,24223269,0,4130 11,3,2024-09-07 09:22:31:297,1,425,1,0,843,6212,425,0 12,0,2024-09-07 09:22:30:942,104126,0.4,104171,0.5,208586,0.3,276788,1.75 12,1,2024-09-07 09:22:30:939,745589,745589,0,0,348813031724,3636590594,740033,5054,502,370,391870,0 12,2,2024-09-07 09:22:31:542,538375,538375,0,0,23409717,0,3469 12,3,2024-09-07 09:22:31:061,1,425,1,0,386,6344,425,0 13,0,2024-09-07 09:22:31:339,109662,0.4,109216,0.6,218080,0.4,290709,1.75 13,1,2024-09-07 09:22:31:545,744357,744357,0,0,349251897841,3671958174,736743,5982,1632,382,391740,0 13,2,2024-09-07 09:22:30:637,539388,539388,0,0,21737055,0,3287 13,3,2024-09-07 09:22:31:766,1,425,1,0,522,6260,425,0 14,0,2024-09-07 09:22:30:566,109498,0.5,110574,0.7,218657,0.4,292031,2.00 14,1,2024-09-07 09:22:31:564,749452,749452,0,0,351755183910,3643687864,743232,5511,709,364,391673,0 14,2,2024-09-07 09:22:30:780,535452,535422,30,0,23706406,0,6104 14,3,2024-09-07 09:22:31:125,1,425,0,0,1168,4728,425,0 15,0,2024-09-07 09:22:31:565,104965,0.4,104833,0.6,209987,0.4,279323,2.00 15,1,2024-09-07 09:22:31:608,746766,746766,0,0,350171097021,3647850435,740542,5045,1179,381,391619,0 15,2,2024-09-07 09:22:31:004,540478,540478,0,0,19444564,0,3622 15,3,2024-09-07 09:22:31:424,1,425,0,0,1126,6953,425,0 16,0,2024-09-07 09:22:30:940,102532,0.5,102877,0.7,205203,0.5,273102,2.00 16,1,2024-09-07 09:22:30:573,746449,746449,0,0,350475803468,3664981069,739851,5485,1113,370,391917,0 16,2,2024-09-07 09:22:31:442,538111,538111,0,0,23394775,0,4719 16,3,2024-09-07 09:22:31:152,1,425,2,0,317,5383,425,0 17,0,2024-09-07 09:22:31:870,109853,0.6,107167,0.8,209663,0.6,285262,2.00 17,1,2024-09-07 09:22:30:578,744800,744800,0,0,349149462316,3664065994,737155,6132,1513,368,392075,0 17,2,2024-09-07 09:22:31:718,539508,539508,0,0,23188571,0,3779 17,3,2024-09-07 09:22:30:577,1,425,3,0,518,6820,425,0 18,0,2024-09-07 09:22:30:943,108135,0.7,108674,0.9,216532,0.8,289161,2.25 18,1,2024-09-07 09:22:31:638,747997,747997,0,0,350931795190,3637238363,743997,3621,379,367,391725,0 18,2,2024-09-07 09:22:31:767,538637,538637,0,0,20548209,0,3541 18,3,2024-09-07 09:22:30:901,1,425,1,0,163,3268,425,0 19,0,2024-09-07 09:22:31:539,107281,0.6,107859,0.8,213965,0.6,284637,2.25 19,1,2024-09-07 09:22:30:570,748425,748425,0,0,351147899966,3642712416,742479,5025,921,367,391777,0 19,2,2024-09-07 09:22:31:766,540669,540669,0,0,19506046,0,3988 19,3,2024-09-07 09:22:31:163,1,425,0,0,524,3451,425,0 20,0,2024-09-07 09:22:31:400,102606,0.4,102466,0.6,204975,0.4,273005,2.00 20,1,2024-09-07 09:22:30:579,745387,745387,0,0,350399109996,3665422060,738678,5909,800,369,391922,0 20,2,2024-09-07 09:22:30:932,537227,537227,0,0,23410648,0,3721 20,3,2024-09-07 09:22:30:590,1,425,8,0,414,6246,425,0 21,0,2024-09-07 09:22:31:178,104527,0.5,104763,0.6,208955,0.4,277747,2.00 21,1,2024-09-07 09:22:31:568,743517,743517,0,0,349236736567,3682616433,732563,8477,2477,368,392016,0 21,2,2024-09-07 09:22:31:092,534813,534793,20,0,28463716,0,5617 21,3,2024-09-07 09:22:31:432,1,425,7,0,713,5458,425,0 22,0,2024-09-07 09:22:31:716,110162,0.5,110533,0.7,220989,0.5,292510,2.00 22,1,2024-09-07 09:22:31:024,744846,744846,0,0,349468711968,3673171644,733692,8927,2227,382,391667,0 22,2,2024-09-07 09:22:30:761,536283,536257,26,0,22670990,0,6328 22,3,2024-09-07 09:22:31:076,1,425,1,0,228,3506,425,0 23,0,2024-09-07 09:22:31:368,109204,0.5,108445,0.7,217574,0.5,290121,2.25 23,1,2024-09-07 09:22:31:005,745904,745904,0,0,350459573771,3680684487,732815,9035,4054,365,391690,0 23,2,2024-09-07 09:22:31:107,538590,538590,0,0,22175301,0,3773 23,3,2024-09-07 09:22:31:756,1,425,12,0,720,5568,425,0 24,0,2024-09-07 09:22:30:870,103582,0.4,103114,0.5,207175,0.3,275204,1.75 24,1,2024-09-07 09:22:30:590,745045,745045,0,0,349587712334,3664720627,737044,6409,1592,367,392269,0 24,2,2024-09-07 09:22:31:115,537040,537040,0,0,26463633,0,3607 24,3,2024-09-07 09:22:31:715,1,425,20,0,468,5801,425,0 25,0,2024-09-07 09:22:31:355,106954,0.4,104179,0.6,204256,0.3,278495,1.75 25,1,2024-09-07 09:22:30:561,744503,744503,0,0,350122967951,3689341704,732525,9731,2247,371,391928,0 25,2,2024-09-07 09:22:31:614,536186,536186,0,0,27047615,0,3978 25,3,2024-09-07 09:22:31:010,1,425,11,0,532,4821,425,0 26,0,2024-09-07 09:22:31:739,109005,0.4,106532,0.6,223349,0.3,290995,1.75 26,1,2024-09-07 09:22:31:544,746396,746396,0,0,349888332995,3663580486,735769,9043,1584,380,391748,0 26,2,2024-09-07 09:22:30:862,538045,538045,0,0,27152955,0,2809 26,3,2024-09-07 09:22:31:756,1,425,0,0,796,5157,425,0 27,0,2024-09-07 09:22:31:801,110105,0.5,110201,0.6,219266,0.4,292910,2.25 27,1,2024-09-07 09:22:31:683,747610,747610,0,0,350965495881,3656082359,740353,6356,901,381,391626,0 27,2,2024-09-07 09:22:30:867,533186,533121,65,0,24788446,0,5699 27,3,2024-09-07 09:22:31:014,1,425,1,0,564,4112,425,0 28,0,2024-09-07 09:22:31:409,104584,0.4,104132,0.6,208966,0.3,278361,2.00 28,1,2024-09-07 09:22:30:798,746829,746829,0,0,350762562010,3661572819,739642,5488,1699,383,391698,0 28,2,2024-09-07 09:22:31:766,538651,538651,0,0,22635146,0,2915 28,3,2024-09-07 09:22:31:777,1,425,2,0,502,4683,425,0 29,0,2024-09-07 09:22:31:371,106181,0.3,103437,0.5,202898,0.3,276352,1.75 29,1,2024-09-07 09:22:31:582,749463,749463,0,0,351829213244,3640873924,744598,4130,735,368,391809,0 29,2,2024-09-07 09:22:30:878,537930,537930,0,0,21456945,0,4986 29,3,2024-09-07 09:22:30:963,1,425,1,0,459,4937,425,0 30,0,2024-09-07 09:22:31:459,107314,0.5,104274,0.7,218306,0.4,286082,2.00 30,1,2024-09-07 09:22:30:571,748070,748070,0,0,350799638330,3644056525,742001,5303,766,381,391672,0 30,2,2024-09-07 09:22:31:281,538426,538426,0,0,21013967,0,4192 30,3,2024-09-07 09:22:30:583,1,425,1,0,519,4179,425,0 31,0,2024-09-07 09:22:31:762,108972,0.5,109513,0.7,218498,0.5,291509,2.00 31,1,2024-09-07 09:22:30:573,751509,751509,0,0,353285269051,3621130939,748493,2430,586,356,391712,0 31,2,2024-09-07 09:22:31:281,535179,535179,0,0,22579858,0,3525 31,3,2024-09-07 09:22:31:720,1,425,2,0,220,3759,425,0 32,0,2024-09-07 09:22:31:443,107008,0.3,107732,0.5,214415,0.3,285426,1.75 32,1,2024-09-07 09:22:30:810,747869,747869,0,0,350431941487,3646273071,742754,4434,681,381,391646,0 32,2,2024-09-07 09:22:30:938,539847,539847,0,0,20433757,0,3922 32,3,2024-09-07 09:22:31:016,1,425,1,0,304,3388,425,0 33,0,2024-09-07 09:22:31:531,102578,0.3,102115,0.4,204978,0.2,272403,1.50 33,1,2024-09-07 09:22:30:575,748767,748767,0,0,350870005492,3639715611,742254,5408,1105,368,391730,0 33,2,2024-09-07 09:22:30:761,538697,538662,35,0,22837300,0,7012 33,3,2024-09-07 09:22:30:899,1,425,0,0,329,4139,425,0 34,0,2024-09-07 09:22:30:937,105354,0.3,108373,0.4,207212,0.2,279414,1.75 34,1,2024-09-07 09:22:31:045,749818,749818,0,0,353166861751,3631513164,748080,1727,11,367,391562,0 34,2,2024-09-07 09:22:30:767,538099,538099,0,0,21147477,0,4562 34,3,2024-09-07 09:22:31:700,1,425,28,0,299,3237,425,0 35,0,2024-09-07 09:22:30:858,108849,0.4,109414,0.5,219855,0.3,292078,1.75 35,1,2024-09-07 09:22:31:078,747964,747964,0,0,350481330293,3633518094,743427,3681,856,382,391769,0 35,2,2024-09-07 09:22:31:585,537294,537294,0,0,22790007,0,4055 35,3,2024-09-07 09:22:30:913,1,425,1,0,418,4506,425,0 36,0,2024-09-07 09:22:31:518,109585,0.5,109525,0.7,219040,0.4,291045,2.00 36,1,2024-09-07 09:22:30:588,746865,746865,0,0,350764505360,3663714675,737217,7648,2000,366,391759,0 36,2,2024-09-07 09:22:31:753,538572,538572,0,0,24034540,0,3875 36,3,2024-09-07 09:22:30:865,1,425,1,0,416,6074,425,0 37,0,2024-09-07 09:22:31:377,102006,0.4,102269,0.6,204221,0.4,272357,2.00 37,1,2024-09-07 09:22:30:571,746502,746495,0,7,349998356111,3655813959,737676,6543,2276,365,391770,0 37,2,2024-09-07 09:22:31:144,535746,535731,15,0,23876067,0,5815 37,3,2024-09-07 09:22:31:766,1,425,1,0,888,6353,425,0 38,0,2024-09-07 09:22:31:437,103393,0.4,100414,0.6,210023,0.4,275200,2.00 38,1,2024-09-07 09:22:31:606,747659,747659,0,0,350668146892,3666286429,736915,8583,2161,368,391821,0 38,2,2024-09-07 09:22:30:760,537221,537174,47,0,23676564,0,6710 38,3,2024-09-07 09:22:31:003,1,425,0,0,689,5461,425,0 39,0,2024-09-07 09:22:31:774,113189,0.6,110754,0.7,215859,0.6,294513,2.00 39,1,2024-09-07 09:22:30:761,745454,745454,0,0,350257374809,3672885163,733013,9750,2691,365,391658,0 39,2,2024-09-07 09:22:31:424,538078,538078,0,0,22560086,0,3391 39,3,2024-09-07 09:22:30:719,1,425,2,0,324,4870,425,0 40,0,2024-09-07 09:22:31:516,108567,0.8,109156,1.0,217788,0.9,290682,2.75 40,1,2024-09-07 09:22:30:579,746354,746354,0,0,349358788543,3659599559,735535,8775,2044,368,391668,0 40,2,2024-09-07 09:22:31:313,535471,535470,1,0,25983659,0,5137 40,3,2024-09-07 09:22:31:146,1,425,2,0,1028,5807,425,0 41,0,2024-09-07 09:22:31:031,102648,1.3,104927,1.2,200175,2.1,272398,3.00 41,1,2024-09-07 09:22:30:769,745350,745350,0,0,350021600413,3661430263,736167,8143,1040,369,391742,0 41,2,2024-09-07 09:22:30:762,536109,536109,0,0,24808429,0,4277 41,3,2024-09-07 09:22:31:689,1,425,4,0,366,4290,425,0 42,0,2024-09-07 09:22:31:511,102808,0.8,102887,0.9,205793,0.8,272705,2.50 42,1,2024-09-07 09:22:31:442,743450,743450,0,0,349155903458,3669464360,731487,9932,2031,380,391675,0 42,2,2024-09-07 09:22:31:133,537288,537288,0,0,25157381,0,3790 42,3,2024-09-07 09:22:31:010,1,425,1,0,892,4257,425,0 43,0,2024-09-07 09:22:30:944,107801,0.7,104831,0.9,219550,0.8,288075,2.25 43,1,2024-09-07 09:22:30:580,746655,746655,0,0,350949296061,3666769636,736325,8591,1739,366,391696,0 43,2,2024-09-07 09:22:31:745,538160,538160,0,0,24721561,0,3812 43,3,2024-09-07 09:22:31:766,1,425,0,0,548,5688,425,0 44,0,2024-09-07 09:22:30:879,109610,0.5,109927,0.7,220236,0.4,292655,2.00 44,1,2024-09-07 09:22:30:580,748301,748301,0,0,350618049353,3625502660,741807,5176,1318,356,391809,0 44,2,2024-09-07 09:22:31:293,534173,534173,0,0,20759763,0,4344 44,3,2024-09-07 09:22:31:111,1,425,1,0,817,5035,425,0 45,0,2024-09-07 09:22:31:777,103836,0.5,101372,0.7,212680,0.5,279860,2.00 45,1,2024-09-07 09:22:31:009,747364,747364,0,0,350584461102,3643769467,741706,5085,573,382,391917,0 45,2,2024-09-07 09:22:31:278,539519,539519,0,0,21090180,0,3596 45,3,2024-09-07 09:22:30:939,1,425,3,0,271,3681,425,0 46,0,2024-09-07 09:22:30:952,102026,0.5,101878,0.7,204141,0.4,270830,2.00 46,1,2024-09-07 09:22:30:578,748889,748889,0,0,351605193680,3632331656,744220,4078,591,366,391709,0 46,2,2024-09-07 09:22:30:597,538769,538769,0,0,21249791,0,4443 46,3,2024-09-07 09:22:31:133,1,425,7,0,908,5409,425,0 47,0,2024-09-07 09:22:31:133,106893,0.4,107148,0.6,214587,0.4,284546,1.75 47,1,2024-09-07 09:22:30:579,749796,749796,0,0,351255973727,3630869923,744939,4019,838,366,391641,0 47,2,2024-09-07 09:22:30:908,539792,539792,0,0,20851555,0,4477 47,3,2024-09-07 09:22:31:124,1,425,1,0,600,5232,425,0 48,0,2024-09-07 09:22:31:502,109801,0.3,109615,0.5,218412,0.2,291264,1.75 48,1,2024-09-07 09:22:31:024,747541,747541,0,0,351210314058,3648359419,742830,4255,456,384,391710,0 48,2,2024-09-07 09:22:30:711,536308,536308,0,0,19554695,0,3411 48,3,2024-09-07 09:22:30:760,1,425,1,0,339,3452,425,0 49,0,2024-09-07 09:22:31:760,110667,0.4,108793,0.5,210974,0.3,288593,1.75 49,1,2024-09-07 09:22:31:024,747359,747359,0,0,349833646225,3640657296,742204,3949,1206,382,391809,0 49,2,2024-09-07 09:22:31:806,539043,539043,0,0,21590997,0,4426 49,3,2024-09-07 09:22:31:424,1,425,0,0,992,5122,425,0 50,0,2024-09-07 09:22:31:511,103164,0.3,101495,0.4,205065,0.2,272644,1.75 50,1,2024-09-07 09:22:31:011,749866,749866,0,0,351685316579,3636860236,744937,4355,574,368,391565,0 50,2,2024-09-07 09:22:31:078,537770,537770,0,0,19843426,0,4490 50,3,2024-09-07 09:22:31:294,1,425,1,0,567,4340,425,0 51,0,2024-09-07 09:22:31:692,107416,0.3,105032,0.5,204778,0.2,279266,1.75 51,1,2024-09-07 09:22:31:701,749885,749885,0,0,351995418995,3630698479,745933,2903,1049,365,391706,0 51,2,2024-09-07 09:22:31:318,538401,538401,0,0,18841625,0,3337 51,3,2024-09-07 09:22:31:036,1,425,8,0,678,3495,425,0 52,0,2024-09-07 09:22:31:420,110605,0.5,110511,0.7,221229,0.5,293408,2.00 52,1,2024-09-07 09:22:30:575,746561,746561,0,0,350161700835,3663879725,736221,8987,1353,368,391722,0 52,2,2024-09-07 09:22:31:780,534298,534260,38,0,25202380,0,6742 52,3,2024-09-07 09:22:30:677,1,425,1,0,1782,5832,425,0 53,0,2024-09-07 09:22:31:739,108723,0.7,105566,0.8,220860,0.7,289960,2.25 53,1,2024-09-07 09:22:30:779,745066,745066,0,0,350001731333,3671617767,733149,8957,2960,367,391702,0 53,2,2024-09-07 09:22:31:311,537542,537541,1,0,22725345,0,5455 53,3,2024-09-07 09:22:30:710,1,425,1,0,308,3790,425,0 54,0,2024-09-07 09:22:31:615,101549,0.6,101810,0.8,202724,0.4,271288,2.25 54,1,2024-09-07 09:22:30:582,746934,746934,0,0,350704989620,3646005225,739447,6246,1241,366,391659,0 54,2,2024-09-07 09:22:30:865,537781,537749,32,0,26117958,0,6397 54,3,2024-09-07 09:22:30:764,1,425,0,0,676,6185,425,0 55,0,2024-09-07 09:22:31:762,100600,0.5,103919,0.7,210286,0.5,274218,2.25 55,1,2024-09-07 09:22:30:765,747738,747738,0,0,350783138662,3645254124,740107,6539,1092,365,391731,0 55,2,2024-09-07 09:22:30:730,537118,537062,56,0,25101734,0,7239 55,3,2024-09-07 09:22:30:677,1,425,1,0,304,4310,425,0 56,0,2024-09-07 09:22:31:572,111431,1.3,105138,1.2,216510,1.9,290472,2.75 56,1,2024-09-07 09:22:30:587,742941,742941,0,0,349476989209,3690516817,731435,9133,2373,381,391867,0 56,2,2024-09-07 09:22:31:312,537754,537754,0,0,24526731,0,3567 56,3,2024-09-07 09:22:31:072,1,425,5,0,705,5237,425,0 57,0,2024-09-07 09:22:30:946,108299,1.7,107976,1.3,216732,2.4,290683,3.25 57,1,2024-09-07 09:22:30:997,745858,745858,0,0,349357267685,3661127376,737253,7519,1086,366,392032,0 57,2,2024-09-07 09:22:31:317,535455,535455,0,0,26436279,0,3317 57,3,2024-09-07 09:22:31:744,1,425,0,0,455,4949,425,0 58,0,2024-09-07 09:22:30:567,102852,0.8,99864,1.0,208928,0.9,273878,2.50 58,1,2024-09-07 09:22:30:575,745985,745982,0,3,350272050662,3661853406,736031,8662,1289,367,391603,3 58,2,2024-09-07 09:22:31:078,537682,537682,0,0,24564037,0,2902 58,3,2024-09-07 09:22:31:076,1,425,1,0,1043,4589,425,0 59,0,2024-09-07 09:22:31:745,103027,0.7,102729,0.9,205121,0.7,272728,2.50 59,1,2024-09-07 09:22:30:809,745959,745959,0,0,350926869818,3675534314,735739,8411,1809,369,391653,0 59,2,2024-09-07 09:22:30:584,537380,537380,0,0,24453788,0,3727 59,3,2024-09-07 09:22:31:753,1,425,0,0,1015,5681,425,0 60,0,2024-09-07 09:22:31:727,107451,0.5,107486,0.7,215737,0.5,286939,1.75 60,1,2024-09-07 09:22:30:786,748017,748017,0,0,350978620513,3643338680,742874,4423,720,370,392031,0 60,2,2024-09-07 09:22:31:163,538441,538441,0,0,22882250,0,3811 60,3,2024-09-07 09:22:31:260,1,425,5,0,409,4967,425,0 61,0,2024-09-07 09:22:31:566,109120,0.8,109713,0.8,218411,0.8,291225,2.00 61,1,2024-09-07 09:22:30:789,746469,746469,0,0,349625918608,3663653613,738160,6878,1431,382,392127,0 61,2,2024-09-07 09:22:31:120,535152,535085,67,0,23194289,0,6411 61,3,2024-09-07 09:22:31:695,1,425,1,0,479,5747,425,0 62,0,2024-09-07 09:22:31:706,107512,0.5,110166,0.7,210241,0.5,285186,2.00 62,1,2024-09-07 09:22:31:115,750750,750744,0,6,352854532066,3638610692,746929,3554,261,365,391975,6 62,2,2024-09-07 09:22:31:655,537189,537188,1,0,23380044,0,5555 62,3,2024-09-07 09:22:31:163,1,425,2,0,482,3730,425,0 63,0,2024-09-07 09:22:31:453,102636,0.4,102506,0.5,205464,0.3,272770,1.75 63,1,2024-09-07 09:22:30:804,748483,748477,0,6,350873448319,3643693732,743506,4238,733,381,391800,6 63,2,2024-09-07 09:22:30:767,538196,538196,0,0,21331504,0,4369 63,3,2024-09-07 09:22:31:756,1,425,1,0,667,4411,425,0 64,0,2024-09-07 09:22:31:518,104831,0.5,104789,0.7,209145,0.5,278688,2.00 64,1,2024-09-07 09:22:30:752,747294,747294,0,0,350762510333,3655466153,740617,4974,1703,370,391783,0 64,2,2024-09-07 09:22:31:147,540950,540931,19,0,21224238,0,6121 64,3,2024-09-07 09:22:31:146,1,425,1,0,265,4185,425,0 65,0,2024-09-07 09:22:31:699,108632,0.7,108883,0.8,217484,0.8,289623,2.25 65,1,2024-09-07 09:22:30:861,745633,745633,0,0,348990548529,3648340030,740524,4569,540,382,391901,0 65,2,2024-09-07 09:22:31:700,537164,537164,0,0,24777708,0,3367 65,3,2024-09-07 09:22:31:700,1,425,2,0,782,5063,425,0 66,0,2024-09-07 09:22:31:769,109034,0.5,108878,0.8,217561,0.5,289419,2.25 66,1,2024-09-07 09:22:31:294,747660,747660,0,0,350711306479,3654738789,742162,4973,525,380,391743,0 66,2,2024-09-07 09:22:31:140,539723,539723,0,0,21658663,0,4956 66,3,2024-09-07 09:22:31:081,1,425,0,0,291,3705,425,0 67,0,2024-09-07 09:22:31:420,102650,0.4,102404,0.6,205376,0.4,273211,2.00 67,1,2024-09-07 09:22:30:766,747526,747525,0,1,350789648498,3654710431,742173,4560,792,380,391787,1 67,2,2024-09-07 09:22:30:581,539824,539824,0,0,20823855,0,3622 67,3,2024-09-07 09:22:31:753,1,425,0,0,392,4230,425,0 68,0,2024-09-07 09:22:30:564,104124,0.5,104043,0.7,207203,0.5,277151,2.00 68,1,2024-09-07 09:22:30:582,744802,744802,0,0,349525797060,3676700174,734748,7108,2946,381,391953,0 68,2,2024-09-07 09:22:31:057,535306,535206,100,0,27728229,0,8578 68,3,2024-09-07 09:22:30:729,1,425,1,0,417,4887,425,0 69,0,2024-09-07 09:22:31:766,110042,0.8,110857,0.8,220708,0.9,293042,2.25 69,1,2024-09-07 09:22:31:016,744310,744310,0,0,348928919645,3678080272,734539,7501,2270,384,391994,0 69,2,2024-09-07 09:22:31:785,536798,536769,29,0,29248895,0,6912 69,3,2024-09-07 09:22:30:778,1,425,1,0,698,6565,425,0 70,0,2024-09-07 09:22:31:544,108584,1.1,108781,1.1,218815,1.0,290067,2.50 70,1,2024-09-07 09:22:30:808,747955,747955,0,0,351601618638,3649106958,741977,5317,661,366,391725,0 70,2,2024-09-07 09:22:31:327,536245,536245,0,0,24445393,0,4323 70,3,2024-09-07 09:22:30:760,1,425,1,0,854,4982,425,0 71,0,2024-09-07 09:22:31:363,102194,0.8,101981,1.0,204780,0.9,273799,2.50 71,1,2024-09-07 09:22:31:596,746712,746712,0,0,350404973893,3655441601,738274,7635,803,368,391738,0 71,2,2024-09-07 09:22:31:067,537401,537401,0,0,24507190,0,4352 71,3,2024-09-07 09:22:31:756,1,425,1,0,644,5567,425,0 72,0,2024-09-07 09:22:31:030,106802,0.5,104493,0.7,203657,0.5,277171,2.00 72,1,2024-09-07 09:22:31:027,745339,745339,0,0,349278185026,3664843652,734476,8853,2010,369,391819,0 72,2,2024-09-07 09:22:31:756,537156,537156,0,0,26571035,0,3983 72,3,2024-09-07 09:22:31:779,1,425,3,0,564,6387,425,0 73,0,2024-09-07 09:22:31:143,106051,0.5,108656,0.6,222161,0.4,289112,2.00 73,1,2024-09-07 09:22:30:766,747081,747081,0,0,350184576795,3637735842,741835,4837,409,367,391858,0 73,2,2024-09-07 09:22:31:755,537678,537678,0,0,25999135,0,3701 73,3,2024-09-07 09:22:30:969,1,425,20,0,486,5721,425,0 74,0,2024-09-07 09:22:31:353,110536,0.5,112996,0.7,215453,0.5,292949,2.25 74,1,2024-09-07 09:22:30:645,745844,745844,0,0,349413379676,3648777563,737878,6371,1595,381,391762,0 74,2,2024-09-07 09:22:31:011,534484,534484,0,0,23913249,0,4253 74,3,2024-09-07 09:22:31:456,1,425,2,0,522,5552,425,0 75,0,2024-09-07 09:22:31:769,105662,0.5,104978,0.7,210516,0.5,280978,2.25 75,1,2024-09-07 09:22:31:585,747072,747072,0,0,350364063138,3654223201,740250,5994,828,380,391739,0 75,2,2024-09-07 09:22:31:351,537447,537447,0,0,26395321,0,4766 75,3,2024-09-07 09:22:31:077,1,425,1,0,702,5303,425,0 76,0,2024-09-07 09:22:30:597,101994,0.5,101298,0.7,203315,0.5,271635,2.25 76,1,2024-09-07 09:22:30:812,746687,746687,0,0,350493149886,3656947203,741259,4603,825,382,391692,0 76,2,2024-09-07 09:22:31:067,540438,540437,1,0,23347840,0,5144 76,3,2024-09-07 09:22:31:146,1,425,1,0,175,3887,425,0 77,0,2024-09-07 09:22:31:698,106156,0.6,106690,0.7,213160,0.6,283381,2.00 77,1,2024-09-07 09:22:30:832,747152,747152,0,0,350541932967,3658418072,741341,5310,501,381,391869,0 77,2,2024-09-07 09:22:31:286,537341,537341,0,0,22822192,0,3890 77,3,2024-09-07 09:22:31:119,1,425,1,0,401,4764,425,0 78,0,2024-09-07 09:22:31:772,109562,0.5,108956,0.7,218838,0.4,289793,2.00 78,1,2024-09-07 09:22:30:630,747210,747210,0,0,349674181330,3643606503,739345,6298,1567,367,391670,0 78,2,2024-09-07 09:22:31:406,536947,536934,13,0,21484763,0,8313 78,3,2024-09-07 09:22:31:146,1,425,1,0,181,3714,425,0 79,0,2024-09-07 09:22:31:355,104163,0.4,106612,0.6,218408,0.4,283598,2.25 79,1,2024-09-07 09:22:30:573,748998,748998,0,0,350476146504,3633890312,742426,5263,1309,367,391682,0 79,2,2024-09-07 09:22:31:077,539552,539552,0,0,21126157,0,4195 79,3,2024-09-07 09:22:30:749,1,425,1,0,418,5365,425,0 80,0,2024-09-07 09:22:31:085,102605,0.5,105471,0.6,201946,0.4,272477,2.00 80,1,2024-09-07 09:22:31:620,747034,747034,0,0,350690414567,3653848179,741482,5131,421,368,392269,0 80,2,2024-09-07 09:22:31:120,539664,539664,0,0,21411924,0,4433 80,3,2024-09-07 09:22:30:575,1,425,3,0,190,4978,425,0 81,0,2024-09-07 09:22:31:541,104610,0.5,107083,0.7,204331,0.5,277893,2.00 81,1,2024-09-07 09:22:31:656,745861,745861,0,0,350100732514,3659078374,739855,5466,540,382,391879,0 81,2,2024-09-07 09:22:31:132,536321,536258,63,0,23770725,0,5932 81,3,2024-09-07 09:22:31:119,1,425,12,0,719,5116,425,0 82,0,2024-09-07 09:22:31:537,109936,0.5,110176,0.7,220989,0.5,292768,2.00 82,1,2024-09-07 09:22:30:584,748133,748129,0,4,351031457638,3652428934,743432,3878,819,381,391768,4 82,2,2024-09-07 09:22:31:779,538844,538844,0,0,20228585,0,4484 82,3,2024-09-07 09:22:31:757,1,425,8,0,363,4590,425,0 83,0,2024-09-07 09:22:31:524,109386,0.7,109395,0.8,218253,0.7,290191,2.25 83,1,2024-09-07 09:22:30:560,746159,746159,0,0,349486764524,3646037027,740631,5078,450,382,391709,0 83,2,2024-09-07 09:22:30:781,537343,537343,0,0,20938379,0,3393 83,3,2024-09-07 09:22:30:749,1,425,1,0,1260,5507,425,0 84,0,2024-09-07 09:22:31:773,102389,0.6,102411,0.8,204109,0.5,273677,2.25 84,1,2024-09-07 09:22:31:053,745704,745704,0,0,350031336064,3656925581,738027,6635,1042,367,391967,0 84,2,2024-09-07 09:22:30:594,536970,536940,30,0,28135235,0,5971 84,3,2024-09-07 09:22:31:159,1,425,4,0,908,6402,425,0 85,0,2024-09-07 09:22:31:060,100277,0.5,100259,0.7,212943,0.5,275531,2.25 85,1,2024-09-07 09:22:30:570,743901,743901,0,0,349134659975,3683686579,733092,8890,1919,381,392006,0 85,2,2024-09-07 09:22:30:881,537142,537142,0,0,26108389,0,3656 85,3,2024-09-07 09:22:30:704,1,425,1,0,789,5222,425,0 86,0,2024-09-07 09:22:30:878,108969,0.6,112075,0.8,214642,0.7,290637,2.25 86,1,2024-09-07 09:22:30:843,745890,745890,0,0,350241970936,3668109996,737217,7232,1441,366,391961,0 86,2,2024-09-07 09:22:30:857,535668,535667,1,0,28235358,0,5004 86,3,2024-09-07 09:22:30:604,1,425,2,0,308,6062,425,0 87,0,2024-09-07 09:22:31:342,109692,1.0,109547,0.9,219229,1.4,293092,2.50 87,1,2024-09-07 09:22:30:601,744837,744837,0,0,349307405147,3662236128,734887,8357,1593,366,392076,0 87,2,2024-09-07 09:22:31:077,534377,534371,6,0,24957646,0,6323 87,3,2024-09-07 09:22:31:797,1,425,47,0,473,6738,425,0 88,0,2024-09-07 09:22:31:563,104311,0.4,105004,0.6,208948,0.4,278208,1.75 88,1,2024-09-07 09:22:30:586,744733,744733,0,0,350113405232,3667549236,735028,7698,2007,365,392084,0 88,2,2024-09-07 09:22:30:687,538416,538416,0,0,27078832,0,4465 88,3,2024-09-07 09:22:31:277,1,425,5,0,435,4805,425,0 89,0,2024-09-07 09:22:31:787,106254,0.4,102844,0.6,203479,0.4,276537,1.75 89,1,2024-09-07 09:22:30:557,744596,744596,0,0,349404266803,3673092297,735427,7743,1426,382,391866,0 89,2,2024-09-07 09:22:31:155,537135,537135,0,0,25433820,0,3173 89,3,2024-09-07 09:22:31:807,1,425,2,0,468,7472,425,0 90,0,2024-09-07 09:22:31:667,104445,0.5,107189,0.6,218796,0.4,285922,2.00 90,1,2024-09-07 09:22:30:595,745550,745550,0,0,350078834850,3664656528,738779,6259,512,380,391825,0 90,2,2024-09-07 09:22:31:419,536252,536252,0,0,26860215,0,3060 90,3,2024-09-07 09:22:30:937,1,425,3,0,322,5255,425,0 91,0,2024-09-07 09:22:30:934,109908,0.5,106392,0.7,222372,0.5,291937,1.75 91,1,2024-09-07 09:22:30:568,744556,744556,0,0,349332879938,3672953515,735304,7849,1403,381,392047,0 91,2,2024-09-07 09:22:31:332,536335,536335,0,0,23760782,0,2896 91,3,2024-09-07 09:22:30:628,1,425,6,0,216,4242,425,0 92,0,2024-09-07 09:22:31:481,107867,0.5,110596,0.6,211138,0.4,285590,1.75 92,1,2024-09-07 09:22:30:590,746247,746247,0,0,349821663779,3653234512,740714,4808,725,381,392136,0 92,2,2024-09-07 09:22:31:386,539650,539650,0,0,21471407,0,3259 92,3,2024-09-07 09:22:31:015,1,425,1,0,167,3772,425,0 93,0,2024-09-07 09:22:31:018,103129,0.4,105691,0.5,201643,0.3,272929,1.75 93,1,2024-09-07 09:22:30:809,746181,746181,0,0,350769045431,3662324201,738052,6746,1383,366,391776,0 93,2,2024-09-07 09:22:30:929,537662,537662,0,0,26074155,0,4845 93,3,2024-09-07 09:22:31:408,1,425,2,0,190,3815,425,0 94,0,2024-09-07 09:22:31:664,104704,0.4,105702,0.5,210841,0.3,280375,1.75 94,1,2024-09-07 09:22:30:584,746976,746976,0,0,350746719407,3658825856,742187,4579,210,381,391850,0 94,2,2024-09-07 09:22:30:779,537039,537039,0,0,21759369,0,2443 94,3,2024-09-07 09:22:31:779,1,425,7,0,576,5673,425,0 95,0,2024-09-07 09:22:31:353,109612,0.4,109387,0.5,219391,0.3,292142,1.75 95,1,2024-09-07 09:22:30:852,747536,747536,0,0,351249223066,3651122430,741488,5611,437,365,391786,0 95,2,2024-09-07 09:22:31:016,536802,536802,0,0,21529590,0,3308 95,3,2024-09-07 09:22:31:745,1,425,7,0,718,6210,425,0 96,0,2024-09-07 09:22:31:176,109480,0.4,109904,0.5,219068,0.3,290577,1.75 96,1,2024-09-07 09:22:31:588,746575,746575,0,0,350069711910,3653804031,741030,4661,884,384,391955,0 96,2,2024-09-07 09:22:31:273,538714,538714,0,0,22548060,0,4180 96,3,2024-09-07 09:22:31:156,1,425,7,0,411,4786,425,0 97,0,2024-09-07 09:22:31:443,102689,0.3,102291,0.5,205249,0.2,273067,1.50 97,1,2024-09-07 09:22:30:763,747926,747926,0,0,351245798315,3645104254,742778,4216,932,367,392140,0 97,2,2024-09-07 09:22:30:631,538254,538254,0,0,21655053,0,3679 97,3,2024-09-07 09:22:30:571,1,425,2,0,242,5109,425,0 98,0,2024-09-07 09:22:31:714,103795,0.3,103859,0.4,208301,0.2,277175,1.50 98,1,2024-09-07 09:22:30:576,746824,746824,0,0,350857460745,3656258915,741871,4162,791,382,391997,0 98,2,2024-09-07 09:22:30:780,538056,538056,0,0,21863674,0,4336 98,3,2024-09-07 09:22:30:712,1,425,9,0,840,7156,425,0 99,0,2024-09-07 09:22:31:485,110622,0.3,111326,0.5,221176,0.3,295659,1.75 99,1,2024-09-07 09:22:31:781,747441,747441,0,0,350128090582,3644855442,742496,4108,837,381,392069,0 99,2,2024-09-07 09:22:31:428,538685,538685,0,0,25687740,0,4276 99,3,2024-09-07 09:22:30:583,1,425,2,0,606,4696,425,0 100,0,2024-09-07 09:22:31:608,109471,0.9,109757,1.0,218664,1.0,292617,2.50 100,1,2024-09-07 09:22:30:574,743136,743136,0,0,348507334882,3681346775,732406,8683,2047,381,391989,0 100,2,2024-09-07 09:22:31:829,534151,534140,11,0,25088409,0,5417 100,3,2024-09-07 09:22:31:781,1,425,3,0,559,6894,425,0 101,0,2024-09-07 09:22:31:733,105417,0.9,102551,1.0,201488,0.7,275882,2.25 101,1,2024-09-07 09:22:30:554,743599,743599,0,0,348962741491,3671085435,732910,8565,2124,368,391847,0 101,2,2024-09-07 09:22:31:757,534387,534387,0,0,30018120,0,4871 101,3,2024-09-07 09:22:30:943,1,425,1,0,579,5872,425,0 102,0,2024-09-07 09:22:30:975,100714,0.6,103927,0.7,210495,0.6,275963,2.00 102,1,2024-09-07 09:22:31:173,744039,744039,0,0,349366542676,3671354573,734161,8116,1762,369,391891,0 102,2,2024-09-07 09:22:31:744,538674,538620,54,0,24208325,0,6768 102,3,2024-09-07 09:22:31:620,1,425,2,0,466,4708,425,0 103,0,2024-09-07 09:22:31:594,112277,0.6,112273,0.7,211663,0.6,291371,2.00 103,1,2024-09-07 09:22:31:627,743202,743202,0,0,349218667842,3688170980,731205,8931,3066,381,391829,0 103,2,2024-09-07 09:22:30:591,536399,536399,0,0,26814520,0,3766 103,3,2024-09-07 09:22:30:766,1,425,13,0,916,4723,425,0 104,0,2024-09-07 09:22:31:098,108644,0.8,108985,1.0,216878,0.8,290983,2.50 104,1,2024-09-07 09:22:31:609,745575,745575,0,0,350191458172,3678060729,734735,8977,1863,365,392168,0 104,2,2024-09-07 09:22:31:672,533809,533809,0,0,25522998,0,3941 104,3,2024-09-07 09:22:31:426,1,425,4,0,1245,8517,425,0 105,0,2024-09-07 09:22:31:068,104015,0.8,101295,1.1,212242,0.9,279047,2.50 105,1,2024-09-07 09:22:30:566,746305,746305,0,0,350775783227,3674989380,736863,8016,1426,366,392009,0 105,2,2024-09-07 09:22:31:333,537132,537132,0,0,25404087,0,3509 105,3,2024-09-07 09:22:31:312,1,425,1,0,399,6557,425,0 106,0,2024-09-07 09:22:30:976,98865,0.7,101295,0.9,207509,0.7,271216,2.50 106,1,2024-09-07 09:22:31:792,744832,744832,0,0,349517870490,3669933710,733809,9576,1447,369,391914,0 106,2,2024-09-07 09:22:30:759,537167,537167,0,0,24731242,0,2920 106,3,2024-09-07 09:22:30:716,1,425,2,0,470,5479,425,0 107,0,2024-09-07 09:22:31:116,106341,0.9,106622,0.9,212863,1.1,284397,2.25 107,1,2024-09-07 09:22:30:611,744007,744007,0,0,349047259978,3678362712,733844,9111,1052,381,392234,0 107,2,2024-09-07 09:22:31:295,535872,535871,1,0,25610527,0,5024 107,3,2024-09-07 09:22:31:787,1,425,2,0,370,6434,425,0 108,0,2024-09-07 09:22:31:820,109022,0.5,109823,0.6,218398,0.5,291025,1.75 108,1,2024-09-07 09:22:31:298,746400,746400,0,0,350734473888,3657965412,740259,5396,745,368,391857,0 108,2,2024-09-07 09:22:31:767,534984,534984,0,0,24194408,0,4246 108,3,2024-09-07 09:22:31:341,1,425,3,0,749,7971,425,0 109,0,2024-09-07 09:22:31:751,108533,0.4,107719,0.6,215414,0.4,287801,1.75 109,1,2024-09-07 09:22:30:592,743919,743919,0,0,349860396590,3672921375,736521,6213,1185,382,392132,0 109,2,2024-09-07 09:22:30:921,536303,536303,0,0,23754947,0,3617 109,3,2024-09-07 09:22:31:163,1,425,4,0,379,4982,425,0 110,0,2024-09-07 09:22:31:813,102407,0.3,99798,0.5,209052,0.3,273020,1.75 110,1,2024-09-07 09:22:31:647,747744,747744,0,0,350829384428,3641950148,742354,4212,1178,369,392045,0 110,2,2024-09-07 09:22:31:315,538021,538021,0,0,22663309,0,4067 110,3,2024-09-07 09:22:30:695,1,425,9,0,722,6085,425,0 111,0,2024-09-07 09:22:31:416,104945,0.4,104148,0.5,208954,0.3,279225,1.75 111,1,2024-09-07 09:22:31:010,747834,747834,0,0,351795370167,3648629532,743949,3530,355,380,391690,0 111,2,2024-09-07 09:22:31:117,537055,537055,0,0,22401807,0,4823 111,3,2024-09-07 09:22:30:917,1,425,1,0,379,5056,425,0 112,0,2024-09-07 09:22:30:948,110899,0.3,110576,0.4,221306,0.2,293805,1.50 112,1,2024-09-07 09:22:30:836,748128,748128,0,0,350397970555,3637671518,743414,4101,613,380,391624,0 112,2,2024-09-07 09:22:31:140,537292,537291,1,0,21681107,0,5036 112,3,2024-09-07 09:22:30:603,1,425,14,0,282,4234,425,0 113,0,2024-09-07 09:22:30:869,109480,0.3,109604,0.5,219470,0.3,292135,1.75 113,1,2024-09-07 09:22:31:701,749760,749760,0,0,351868785454,3630585544,745872,3299,589,366,391661,0 113,2,2024-09-07 09:22:31:309,539067,539067,0,0,19995516,0,3813 113,3,2024-09-07 09:22:30:683,1,425,1,0,340,4728,425,0 114,0,2024-09-07 09:22:30:887,103331,0.3,103952,0.5,206652,0.2,276302,1.75 114,1,2024-09-07 09:22:30:721,747421,747421,0,0,351051811879,3648107306,741141,4664,1616,381,391565,0 114,2,2024-09-07 09:22:30:876,538883,538882,1,0,21078439,0,5069 114,3,2024-09-07 09:22:31:280,1,425,5,0,395,3657,425,0 115,0,2024-09-07 09:22:30:556,104208,0.3,104792,0.4,209006,0.2,278094,1.50 115,1,2024-09-07 09:22:30:583,747998,747998,0,0,351439891781,3653925260,741507,5224,1267,382,391757,0 115,2,2024-09-07 09:22:31:125,539754,539754,0,0,20912888,0,4382 115,3,2024-09-07 09:22:31:006,1,425,0,0,159,2396,425,0 116,0,2024-09-07 09:22:31:750,108709,0.7,108714,0.8,218116,0.7,291941,2.00 116,1,2024-09-07 09:22:30:830,744008,744008,0,0,349577661798,3682798580,735402,6281,2325,380,392089,0 116,2,2024-09-07 09:22:31:789,536931,536931,0,0,26574954,0,4128 116,3,2024-09-07 09:22:30:918,1,425,1,0,415,5051,425,0 117,0,2024-09-07 09:22:30:991,110172,0.8,109582,0.8,219594,1.0,293471,2.00 117,1,2024-09-07 09:22:31:586,745148,745148,0,0,348962731988,3652209832,737397,6836,915,369,392033,0 117,2,2024-09-07 09:22:31:132,537910,537910,0,0,22686272,0,4303 117,3,2024-09-07 09:22:31:067,1,425,6,0,490,6515,425,0 118,0,2024-09-07 09:22:31:776,101338,0.5,103935,0.7,212186,0.4,277132,2.00 118,1,2024-09-07 09:22:30:590,745340,745340,0,0,348926623520,3672139431,733432,8909,2999,366,391907,0 118,2,2024-09-07 09:22:31:587,537327,537327,0,0,25016214,0,2842 118,3,2024-09-07 09:22:31:764,1,425,8,0,248,5005,425,0 119,0,2024-09-07 09:22:31:353,102999,0.5,103371,0.7,206703,0.5,274928,2.00 119,1,2024-09-07 09:22:30:581,745620,745620,0,0,350398513887,3666729241,737140,7364,1116,367,391780,0 119,2,2024-09-07 09:22:31:269,538787,538787,0,0,23682136,0,4174 119,3,2024-09-07 09:22:31:326,1,425,1,0,1358,8462,425,0 120,0,2024-09-07 09:22:31:573,106865,0.6,106695,0.8,214196,0.7,286207,2.25 120,1,2024-09-07 09:22:30:870,745767,745767,0,0,349181961880,3664878152,737398,7600,769,368,391961,0 120,2,2024-09-07 09:22:30:811,537208,537207,1,0,26823808,0,5281 120,3,2024-09-07 09:22:31:296,1,425,13,0,279,5339,425,0 121,0,2024-09-07 09:22:31:744,109236,1.5,108892,1.1,218652,2.1,291210,2.50 121,1,2024-09-07 09:22:31:660,745964,745964,0,0,349792697934,3656148789,739249,6255,460,367,391840,0 121,2,2024-09-07 09:22:31:174,534356,534356,0,0,25711157,0,4127 121,3,2024-09-07 09:22:30:761,1,425,2,0,269,4748,425,0 122,0,2024-09-07 09:22:31:844,106439,0.8,103841,0.9,217749,0.8,285385,2.00 122,1,2024-09-07 09:22:30:878,744407,744407,0,0,349273936120,3665812819,733974,8830,1603,366,392130,0 122,2,2024-09-07 09:22:31:319,538555,538482,73,0,28620378,0,5989 122,3,2024-09-07 09:22:30:608,1,425,18,0,512,7695,425,0 123,0,2024-09-07 09:22:30:951,101987,0.6,99574,0.7,208320,0.6,272252,2.00 123,1,2024-09-07 09:22:30:586,744485,744485,0,0,349386352879,3681917103,730940,11103,2442,369,392039,0 123,2,2024-09-07 09:22:31:027,536257,536256,1,0,24168029,0,5215 123,3,2024-09-07 09:22:31:134,1,425,23,0,478,5000,425,0 124,0,2024-09-07 09:22:30:938,108348,0.3,108372,0.5,204204,0.3,281030,1.75 124,1,2024-09-07 09:22:31:027,747430,747430,0,0,350936217366,3647814660,742153,4228,1049,367,392178,0 124,2,2024-09-07 09:22:31:010,538201,538148,53,0,21984322,0,6487 124,3,2024-09-07 09:22:30:762,1,425,1,0,490,4117,425,0 125,0,2024-09-07 09:22:31:439,109522,0.4,109305,0.6,219608,0.4,292168,1.75 125,1,2024-09-07 09:22:30:867,745774,745774,0,0,350354907159,3658671410,739864,5239,671,382,391702,0 125,2,2024-09-07 09:22:31:124,537892,537892,0,0,22711717,0,4534 125,3,2024-09-07 09:22:31:139,1,425,1,0,709,5376,425,0 126,0,2024-09-07 09:22:31:433,109379,0.4,112637,0.6,215477,0.4,291245,1.75 126,1,2024-09-07 09:22:30:561,748250,748250,0,0,350834902759,3634173659,744250,3707,293,365,391987,0 126,2,2024-09-07 09:22:30:631,539003,539003,0,0,23464351,0,4539 126,3,2024-09-07 09:22:30:920,1,425,2,0,268,5267,425,0 127,0,2024-09-07 09:22:31:592,102613,0.3,102893,0.5,205523,0.2,273220,1.50 127,1,2024-09-07 09:22:30:583,747508,747508,0,0,349750260485,3638778414,740166,6120,1222,364,392187,0 127,2,2024-09-07 09:22:30:636,537005,537005,0,0,21200894,0,3897 127,3,2024-09-07 09:22:31:269,1,425,1,0,968,4616,425,0 128,0,2024-09-07 09:22:31:529,104308,0.3,104590,0.4,208713,0.2,277529,1.50 128,1,2024-09-07 09:22:31:614,747029,747029,0,0,351188450250,3646244950,742123,4473,433,367,392031,0 128,2,2024-09-07 09:22:31:388,538951,538951,0,0,20655679,0,3171 128,3,2024-09-07 09:22:30:780,1,425,29,0,1082,6724,425,0 129,0,2024-09-07 09:22:31:012,111558,0.3,111065,0.5,222457,0.3,296021,1.75 129,1,2024-09-07 09:22:30:571,744529,744529,0,0,349306687886,3661691995,736859,6000,1670,379,391962,0 129,2,2024-09-07 09:22:30:694,538571,538571,0,0,22035821,0,4031 129,3,2024-09-07 09:22:30:693,1,425,0,0,506,5854,425,0 130,0,2024-09-07 09:22:31:725,110534,0.5,109970,0.6,221290,0.6,293956,1.75 130,1,2024-09-07 09:22:30:595,747509,747509,0,0,350783993601,3645689785,743473,3697,339,381,391825,0 130,2,2024-09-07 09:22:31:124,537726,537726,0,0,22458612,0,4067 130,3,2024-09-07 09:22:31:294,1,425,1,0,960,6711,425,0 131,0,2024-09-07 09:22:31:945,103033,0.3,103507,0.5,208072,0.3,275760,1.75 131,1,2024-09-07 09:22:31:842,746935,746935,0,0,350188387120,3658686808,740972,4915,1048,381,391865,0 131,2,2024-09-07 09:22:30:600,540299,540299,0,0,20695826,0,3979 131,3,2024-09-07 09:22:31:696,1,425,1,0,392,4812,425,0 132,0,2024-09-07 09:22:31:410,103461,0.4,104472,0.6,207785,0.4,276814,1.75 132,1,2024-09-07 09:22:30:587,743360,743360,0,0,348798428596,3674291020,732229,9025,2106,381,392532,0 132,2,2024-09-07 09:22:30:706,537689,537672,17,0,27086868,0,6451 132,3,2024-09-07 09:22:31:701,1,425,0,0,804,7553,425,0 133,0,2024-09-07 09:22:31:554,105972,0.4,108494,0.6,222505,0.4,289631,1.75 133,1,2024-09-07 09:22:30:597,743626,743626,0,0,349345813479,3683269088,733082,9029,1515,383,391914,0 133,2,2024-09-07 09:22:31:096,537016,536966,50,0,28433993,0,6861 133,3,2024-09-07 09:22:31:300,1,425,13,0,479,4504,425,0 134,0,2024-09-07 09:22:31:022,110165,0.6,109778,0.7,219651,0.6,293271,2.25 134,1,2024-09-07 09:22:30:593,744942,744942,0,0,349656281397,3668091917,735149,7758,2035,366,391718,0 134,2,2024-09-07 09:22:31:757,533351,533327,24,0,26058157,0,6207 134,3,2024-09-07 09:22:30:749,1,425,1,0,739,5432,425,0 135,0,2024-09-07 09:22:31:114,101886,0.8,101915,0.9,216273,0.9,278535,2.25 135,1,2024-09-07 09:22:31:586,745108,745108,0,0,350322550342,3685640459,735151,8586,1371,380,391805,0 135,2,2024-09-07 09:22:30:707,538534,538534,0,0,25447644,0,3981 135,3,2024-09-07 09:22:31:002,1,425,1,0,299,3535,425,0 136,0,2024-09-07 09:22:31:627,102829,0.5,103021,0.7,204848,0.4,273477,2.00 136,1,2024-09-07 09:22:31:442,745568,745568,0,0,349867045929,3669421044,737000,7606,962,381,391685,0 136,2,2024-09-07 09:22:31:133,539391,539391,0,0,24180586,0,3506 136,3,2024-09-07 09:22:31:113,1,425,0,0,637,4942,425,0 137,0,2024-09-07 09:22:31:022,109746,0.6,106879,0.7,209836,0.6,285449,2.00 137,1,2024-09-07 09:22:30:591,744184,744184,0,0,349443435290,3666877802,732668,9157,2359,366,391898,0 137,2,2024-09-07 09:22:31:792,536264,536264,0,0,26742200,0,3185 137,3,2024-09-07 09:22:30:777,1,425,5,0,484,5270,425,0 138,0,2024-09-07 09:22:31:790,108819,1.0,108785,1.0,218364,1.3,289830,2.25 138,1,2024-09-07 09:22:31:702,745402,745402,0,0,349977805129,3670637757,735161,8519,1722,368,391954,0 138,2,2024-09-07 09:22:30:652,535591,535591,0,0,24334230,0,4988 138,3,2024-09-07 09:22:30:630,1,425,3,0,1160,5652,425,0 139,0,2024-09-07 09:22:31:391,107027,1.5,107106,1.1,214670,2.3,286297,2.50 139,1,2024-09-07 09:22:30:571,741471,741471,0,0,347921840289,3696918041,727102,11063,3306,380,392058,0 139,2,2024-09-07 09:22:30:692,534359,534359,0,0,27901595,0,3097 139,3,2024-09-07 09:22:31:673,1,425,3,0,432,5006,425,0 140,0,2024-09-07 09:22:31:592,102968,0.3,102446,0.5,205636,0.2,273370,1.75 140,1,2024-09-07 09:22:31:542,749445,749445,0,0,351486454329,3623991258,745881,3123,441,365,391606,0 140,2,2024-09-07 09:22:30:686,538643,538642,1,0,21413762,0,5036 140,3,2024-09-07 09:22:30:767,1,425,2,0,297,3574,425,0 141,0,2024-09-07 09:22:31:702,104779,0.3,107610,0.5,205553,0.2,279063,1.75 141,1,2024-09-07 09:22:30:868,747774,747774,0,0,351646470813,3652646606,742089,4728,957,379,391614,0 141,2,2024-09-07 09:22:31:689,538055,538055,0,0,21171740,0,3360 141,3,2024-09-07 09:22:31:056,1,425,13,0,391,4924,425,0 142,0,2024-09-07 09:22:31:338,111082,0.3,110427,0.5,220838,0.3,294414,1.75 142,1,2024-09-07 09:22:30:587,746954,746954,0,0,350176799412,3650184288,742267,4290,397,382,392102,0 142,2,2024-09-07 09:22:31:300,537115,537083,32,0,23292425,0,6028 142,3,2024-09-07 09:22:31:746,1,425,0,0,484,4805,425,0 143,0,2024-09-07 09:22:31:389,109630,0.5,109805,0.6,219793,0.5,292177,1.75 143,1,2024-09-07 09:22:30:562,748424,748424,0,0,351120002958,3644413146,743382,4498,544,367,391705,0 143,2,2024-09-07 09:22:30:775,537726,537726,0,0,22280721,0,3123 143,3,2024-09-07 09:22:31:158,1,425,3,0,462,5308,425,0 144,0,2024-09-07 09:22:31:509,99798,0.6,102598,0.8,209087,0.5,274076,2.00 144,1,2024-09-07 09:22:30:570,744080,744080,0,0,348278821725,3657327204,736367,5879,1834,381,391649,0 144,2,2024-09-07 09:22:31:759,538706,538706,0,0,21175820,0,3673 144,3,2024-09-07 09:22:31:778,1,425,1,0,249,4363,425,0 145,0,2024-09-07 09:22:31:365,100734,0.5,100770,0.7,213696,0.5,275222,2.25 145,1,2024-09-07 09:22:30:596,744196,744196,0,0,349330300063,3674627483,734564,7970,1662,382,391759,0 145,2,2024-09-07 09:22:31:434,536360,536279,81,0,26184419,0,7814 145,3,2024-09-07 09:22:30:898,1,425,1,0,622,6143,425,0 146,0,2024-09-07 09:22:31:594,109005,0.6,108416,0.8,218613,0.6,290307,2.25 146,1,2024-09-07 09:22:31:585,745645,745645,0,0,349245523129,3666656455,734693,8832,2120,367,391770,0 146,2,2024-09-07 09:22:31:696,536271,536271,0,0,24815244,0,3290 146,3,2024-09-07 09:22:31:282,1,425,7,0,1520,8033,425,0 147,0,2024-09-07 09:22:31:728,110008,0.7,109949,0.8,219061,0.7,292924,2.25 147,1,2024-09-07 09:22:31:372,748313,748313,0,0,350623076433,3647138995,741675,5793,845,368,391791,0 147,2,2024-09-07 09:22:31:015,535396,535396,0,0,22309975,0,2968 147,3,2024-09-07 09:22:30:917,1,425,0,0,1626,7365,425,0 0,0,2024-09-07 09:22:41:707,104904,0.6,104960,0.7,222625,0.7,288068,2.00 0,1,2024-09-07 09:22:40:816,747456,747456,0,0,350365711730,3667172376,742006,5062,388,369,391896,0 0,2,2024-09-07 09:22:41:073,540500,540500,0,0,21797353,0,4480 0,3,2024-09-07 09:22:40:974,1,426,6,0,431,6345,426,0 1,0,2024-09-07 09:22:41:783,109587,1.2,108833,1.1,218373,1.7,292012,2.25 1,1,2024-09-07 09:22:40:575,746847,746847,0,0,350121637267,3664963601,740418,5103,1326,370,391859,0 1,2,2024-09-07 09:22:40:650,535409,535409,0,0,22080577,0,3380 1,3,2024-09-07 09:22:41:310,1,426,6,0,268,5222,426,0 2,0,2024-09-07 09:22:41:566,106711,0.6,106923,0.8,213072,0.7,283996,2.00 2,1,2024-09-07 09:22:40:860,749457,749457,0,0,351618495834,3649709036,746170,2992,295,380,391745,0 2,2,2024-09-07 09:22:41:267,540435,540435,0,0,20707931,0,3594 2,3,2024-09-07 09:22:40:691,1,426,18,0,357,4164,426,0 3,0,2024-09-07 09:22:41:743,102558,0.4,102309,0.6,204586,0.3,272244,2.00 3,1,2024-09-07 09:22:41:618,748107,748107,0,0,350575414370,3651446694,741886,5531,690,380,391716,0 3,2,2024-09-07 09:22:41:148,539849,539826,23,0,21887393,0,5851 3,3,2024-09-07 09:22:41:757,1,426,0,0,207,2835,426,0 4,0,2024-09-07 09:22:41:764,102467,0.4,105243,0.5,214687,0.3,281499,1.75 4,1,2024-09-07 09:22:40:593,745477,745477,0,0,349365424396,3692905612,733663,9307,2507,370,391992,0 4,2,2024-09-07 09:22:41:018,536704,536704,0,0,24971538,0,4528 4,3,2024-09-07 09:22:41:027,1,426,1,0,448,5546,426,0 5,0,2024-09-07 09:22:41:396,109514,0.4,110131,0.6,219696,0.4,291710,1.75 5,1,2024-09-07 09:22:40:776,746605,746605,0,0,350428699169,3689319842,736177,8270,2158,367,392005,0 5,2,2024-09-07 09:22:41:834,535266,535266,0,0,24859765,0,3582 5,3,2024-09-07 09:22:41:732,1,426,1,0,457,5992,426,0 6,0,2024-09-07 09:22:40:920,109672,0.5,109251,0.7,218397,0.4,290991,2.00 6,1,2024-09-07 09:22:40:750,747207,747207,0,0,351223673273,3670542204,738997,6817,1393,379,391694,0 6,2,2024-09-07 09:22:41:123,538846,538828,18,0,24802710,0,5535 6,3,2024-09-07 09:22:41:275,1,426,1,0,710,5439,426,0 7,0,2024-09-07 09:22:41:537,102143,0.5,102850,0.6,204768,0.4,272581,1.75 7,1,2024-09-07 09:22:40:858,746781,746781,0,0,350784985896,3679776422,737012,8306,1463,382,391747,0 7,2,2024-09-07 09:22:40:781,539776,539776,0,0,24060773,0,4791 7,3,2024-09-07 09:22:40:857,1,426,28,0,552,4959,426,0 8,0,2024-09-07 09:22:41:362,104553,0.4,104290,0.5,208955,0.3,278709,1.75 8,1,2024-09-07 09:22:41:016,745398,745398,0,0,350372353624,3684155167,733870,8898,2630,366,392853,0 8,2,2024-09-07 09:22:40:799,534622,534622,0,0,28206524,0,3250 8,3,2024-09-07 09:22:40:586,1,426,0,0,538,7041,426,0 9,0,2024-09-07 09:22:41:112,111094,0.4,107875,0.5,225738,0.3,295817,1.75 9,1,2024-09-07 09:22:40:581,745341,745341,0,0,349944568690,3686312042,734281,8639,2421,369,392001,0 9,2,2024-09-07 09:22:41:092,537804,537804,0,0,25113889,0,3360 9,3,2024-09-07 09:22:41:751,1,426,2,0,496,6083,426,0 10,0,2024-09-07 09:22:41:601,110030,0.4,109386,0.5,219856,0.3,292841,1.75 10,1,2024-09-07 09:22:40:584,747014,747014,0,0,350423080632,3673526056,736630,8761,1623,381,391741,0 10,2,2024-09-07 09:22:40:762,537323,537323,0,0,27411787,0,4264 10,3,2024-09-07 09:22:40:882,1,426,3,0,649,4579,426,0 11,0,2024-09-07 09:22:41:006,103274,0.4,100187,0.6,209576,0.4,276341,1.75 11,1,2024-09-07 09:22:40:580,747292,747292,0,0,351236441061,3684922347,735964,8702,2626,383,391756,0 11,2,2024-09-07 09:22:41:127,538481,538481,0,0,24268723,0,4130 11,3,2024-09-07 09:22:41:298,1,426,1,0,843,6213,426,0 12,0,2024-09-07 09:22:41:044,104543,0.4,104585,0.5,209410,0.3,278069,1.75 12,1,2024-09-07 09:22:40:975,747320,747320,0,0,349856354865,3647170110,741763,5055,502,370,391870,0 12,2,2024-09-07 09:22:41:542,539715,539715,0,0,23423147,0,3469 12,3,2024-09-07 09:22:41:096,1,426,1,0,386,6345,426,0 13,0,2024-09-07 09:22:41:343,109957,0.4,109481,0.6,218664,0.4,291414,1.75 13,1,2024-09-07 09:22:41:535,746051,746051,0,0,350006523748,3679709878,738436,5983,1632,382,391740,0 13,2,2024-09-07 09:22:40:604,540771,540771,0,0,21751984,0,3287 13,3,2024-09-07 09:22:41:762,1,426,2,0,522,6262,426,0 14,0,2024-09-07 09:22:40:569,109830,0.5,110869,0.7,219301,0.4,292934,2.00 14,1,2024-09-07 09:22:41:563,751189,751189,0,0,352504854869,3651277088,744969,5511,709,364,391673,0 14,2,2024-09-07 09:22:40:764,536441,536411,30,0,23716499,0,6104 14,3,2024-09-07 09:22:41:120,1,426,1,0,1168,4729,426,0 15,0,2024-09-07 09:22:41:555,105131,0.4,104989,0.6,210302,0.4,279827,2.00 15,1,2024-09-07 09:22:41:639,748566,748566,0,0,350895147763,3655240282,742342,5045,1179,381,391619,0 15,2,2024-09-07 09:22:40:998,541861,541861,0,0,19459480,0,3622 15,3,2024-09-07 09:22:41:405,1,426,1,0,1126,6954,426,0 16,0,2024-09-07 09:22:41:091,102824,0.5,103221,0.7,205829,0.5,274036,2.00 16,1,2024-09-07 09:22:40:574,748294,748294,0,0,351347549297,3673870718,741696,5485,1113,370,391917,0 16,2,2024-09-07 09:22:41:437,539722,539722,0,0,23416880,0,4719 16,3,2024-09-07 09:22:41:143,1,426,10,0,317,5393,426,0 17,0,2024-09-07 09:22:41:768,110321,0.6,107642,0.8,210527,0.6,286440,2.00 17,1,2024-09-07 09:22:40:569,746582,746582,0,0,350164876487,3674432873,738937,6132,1513,368,392075,0 17,2,2024-09-07 09:22:41:666,540891,540891,0,0,23206835,0,3779 17,3,2024-09-07 09:22:40:582,1,426,1,0,518,6821,426,0 18,0,2024-09-07 09:22:40:946,108247,0.7,108795,0.9,216775,0.8,289491,2.25 18,1,2024-09-07 09:22:41:638,749747,749747,0,0,351565988300,3643687449,745747,3621,379,367,391725,0 18,2,2024-09-07 09:22:41:755,539286,539286,0,0,20552139,0,3541 18,3,2024-09-07 09:22:40:896,1,426,1,0,163,3269,426,0 19,0,2024-09-07 09:22:41:541,107403,0.6,107964,0.8,214207,0.6,284977,2.25 19,1,2024-09-07 09:22:40:574,750161,750161,0,0,352012067250,3651480037,744213,5027,921,367,391777,0 19,2,2024-09-07 09:22:41:752,542064,542064,0,0,19515501,0,3988 19,3,2024-09-07 09:22:41:129,1,426,1,0,524,3452,426,0 20,0,2024-09-07 09:22:41:387,102646,0.4,102510,0.6,205069,0.4,273005,2.00 20,1,2024-09-07 09:22:40:592,747212,747212,0,0,351237683432,3674037281,740503,5909,800,369,391922,0 20,2,2024-09-07 09:22:40:937,538712,538712,0,0,23445944,0,3721 20,3,2024-09-07 09:22:40:594,1,426,1,0,414,6247,426,0 21,0,2024-09-07 09:22:41:174,104892,0.5,105108,0.6,209694,0.4,278678,2.00 21,1,2024-09-07 09:22:41:566,745296,745296,0,0,349958428319,3690233790,734339,8479,2478,368,392016,0 21,2,2024-09-07 09:22:41:076,535895,535875,20,0,28496155,0,5617 21,3,2024-09-07 09:22:41:409,1,426,5,0,713,5463,426,0 22,0,2024-09-07 09:22:41:720,110280,0.5,110618,0.7,221154,0.5,292764,2.00 22,1,2024-09-07 09:22:41:023,746633,746633,0,0,350349894740,3682241475,735479,8927,2227,382,391667,0 22,2,2024-09-07 09:22:40:760,537408,537382,26,0,22713225,0,6328 22,3,2024-09-07 09:22:41:084,1,426,0,0,228,3506,426,0 23,0,2024-09-07 09:22:41:369,109424,0.5,108676,0.7,218046,0.5,290719,2.25 23,1,2024-09-07 09:22:41:003,747696,747696,0,0,351343530135,3689710719,734607,9035,4054,365,391690,0 23,2,2024-09-07 09:22:41:097,540099,540099,0,0,22204602,0,3773 23,3,2024-09-07 09:22:41:755,1,426,1,0,720,5569,426,0 24,0,2024-09-07 09:22:40:833,103889,0.4,103421,0.5,207813,0.3,276155,1.75 24,1,2024-09-07 09:22:40:584,746734,746734,0,0,350238669320,3671570531,738732,6410,1592,367,392269,0 24,2,2024-09-07 09:22:41:081,538370,538370,0,0,26498239,0,3607 24,3,2024-09-07 09:22:41:691,1,426,3,0,468,5804,426,0 25,0,2024-09-07 09:22:41:362,107248,0.4,104477,0.6,204872,0.3,279213,1.75 25,1,2024-09-07 09:22:40:573,746198,746198,0,0,350904114616,3697478445,734218,9732,2248,371,391928,0 25,2,2024-09-07 09:22:41:606,537296,537296,0,0,27078622,0,3978 25,3,2024-09-07 09:22:41:000,1,426,1,0,532,4822,426,0 26,0,2024-09-07 09:22:41:730,109557,0.4,107015,0.6,224407,0.4,292790,1.75 26,1,2024-09-07 09:22:41:541,748140,748140,0,0,350742913935,3672289816,737512,9044,1584,380,391748,0 26,2,2024-09-07 09:22:40:863,539286,539286,0,0,27269226,0,2809 26,3,2024-09-07 09:22:41:720,1,426,1,0,796,5158,426,0 27,0,2024-09-07 09:22:41:730,110405,0.5,110536,0.6,219930,0.4,293730,2.25 27,1,2024-09-07 09:22:41:676,749385,749385,0,0,351712597861,3663855842,742128,6356,901,381,391626,0 27,2,2024-09-07 09:22:40:868,534275,534210,65,0,24828430,0,5699 27,3,2024-09-07 09:22:41:016,1,426,1,0,564,4113,426,0 28,0,2024-09-07 09:22:41:396,104741,0.4,104301,0.6,209290,0.3,278766,2.00 28,1,2024-09-07 09:22:40:800,748590,748590,0,0,351566201774,3669765364,741403,5488,1699,383,391698,0 28,2,2024-09-07 09:22:41:765,539721,539721,0,0,22644409,0,2915 28,3,2024-09-07 09:22:41:778,1,426,0,0,502,4683,426,0 29,0,2024-09-07 09:22:41:362,106428,0.3,103681,0.5,203405,0.3,276973,1.75 29,1,2024-09-07 09:22:41:566,751322,751322,0,0,352677505550,3649483469,746457,4130,735,368,391809,0 29,2,2024-09-07 09:22:40:861,539388,539388,0,0,21468375,0,4986 29,3,2024-09-07 09:22:40:969,1,426,0,0,459,4937,426,0 30,0,2024-09-07 09:22:41:459,107706,0.5,104653,0.7,219142,0.4,287106,2.00 30,1,2024-09-07 09:22:40:575,749780,749780,0,0,351739227027,3653594986,743711,5303,766,381,391672,0 30,2,2024-09-07 09:22:41:274,539771,539771,0,0,21025839,0,4192 30,3,2024-09-07 09:22:40:583,1,426,1,0,519,4180,426,0 31,0,2024-09-07 09:22:41:765,109129,0.5,109676,0.7,218848,0.5,291974,2.00 31,1,2024-09-07 09:22:40:569,753300,753300,0,0,354156290903,3629894690,750284,2430,586,356,391712,0 31,2,2024-09-07 09:22:41:275,535886,535886,0,0,22585592,0,3525 31,3,2024-09-07 09:22:41:707,1,426,0,0,220,3759,426,0 32,0,2024-09-07 09:22:41:424,107106,0.3,107846,0.5,214626,0.3,285667,1.75 32,1,2024-09-07 09:22:40:816,749610,749610,0,0,351181056433,3653931629,744495,4434,681,381,391646,0 32,2,2024-09-07 09:22:40:939,541333,541333,0,0,20447445,0,3922 32,3,2024-09-07 09:22:41:017,1,426,1,0,304,3389,426,0 33,0,2024-09-07 09:22:41:518,102679,0.3,102219,0.4,205180,0.2,272731,1.50 33,1,2024-09-07 09:22:40:584,750567,750567,0,0,351904052187,3650163981,744054,5408,1105,368,391730,0 33,2,2024-09-07 09:22:40:759,540232,540197,35,0,22848509,0,7012 33,3,2024-09-07 09:22:40:895,1,426,1,0,329,4140,426,0 34,0,2024-09-07 09:22:40:930,105847,0.3,108814,0.4,208144,0.2,280756,1.75 34,1,2024-09-07 09:22:41:047,751665,751665,0,0,354074464687,3640699972,749927,1727,11,367,391562,0 34,2,2024-09-07 09:22:40:766,539330,539330,0,0,21157171,0,4562 34,3,2024-09-07 09:22:41:688,1,426,1,0,299,3238,426,0 35,0,2024-09-07 09:22:40:858,108966,0.4,109525,0.5,220062,0.3,292368,1.75 35,1,2024-09-07 09:22:41:077,749733,749733,0,0,351478707347,3643682866,745193,3682,858,382,391769,0 35,2,2024-09-07 09:22:41:587,538295,538295,0,0,22797656,0,4055 35,3,2024-09-07 09:22:40:911,1,426,1,0,418,4507,426,0 36,0,2024-09-07 09:22:41:535,109694,0.5,109618,0.7,219286,0.4,291295,2.00 36,1,2024-09-07 09:22:40:583,748650,748650,0,0,351380274651,3670080499,739002,7648,2000,366,391759,0 36,2,2024-09-07 09:22:41:751,540043,540043,0,0,24072341,0,3875 36,3,2024-09-07 09:22:40:864,1,426,3,0,416,6077,426,0 37,0,2024-09-07 09:22:41:391,102222,0.4,102510,0.6,204647,0.4,272880,2.00 37,1,2024-09-07 09:22:40:572,748262,748255,0,7,350735279405,3663382557,739436,6543,2276,365,391770,0 37,2,2024-09-07 09:22:41:144,537178,537163,15,0,23899094,0,5815 37,3,2024-09-07 09:22:41:768,1,426,8,0,888,6361,426,0 38,0,2024-09-07 09:22:41:438,103799,0.4,100787,0.6,210782,0.4,276350,2.00 38,1,2024-09-07 09:22:41:605,749483,749483,0,0,351401286523,3673820579,738739,8583,2161,368,391821,0 38,2,2024-09-07 09:22:40:760,538432,538385,47,0,23702156,0,6710 38,3,2024-09-07 09:22:40:999,1,426,1,0,689,5462,426,0 39,0,2024-09-07 09:22:41:763,113370,0.6,110947,0.7,216259,0.6,294810,2.00 39,1,2024-09-07 09:22:40:716,747276,747276,0,0,351276547216,3683253128,734835,9750,2691,365,391658,0 39,2,2024-09-07 09:22:41:417,539370,539370,0,0,22588914,0,3391 39,3,2024-09-07 09:22:40:714,1,426,1,0,324,4871,426,0 40,0,2024-09-07 09:22:41:499,108861,0.8,109455,1.0,218391,0.9,291479,2.75 40,1,2024-09-07 09:22:40:598,748062,748062,0,0,350360581116,3669828823,737243,8775,2044,368,391668,0 40,2,2024-09-07 09:22:41:303,536768,536767,1,0,26060137,0,5137 40,3,2024-09-07 09:22:41:142,1,426,2,0,1028,5809,426,0 41,0,2024-09-07 09:22:41:026,102881,1.3,105166,1.2,200567,2.1,273022,3.00 41,1,2024-09-07 09:22:40:778,747013,747013,0,0,350732114907,3668728729,737830,8143,1040,369,391742,0 41,2,2024-09-07 09:22:40:759,537458,537458,0,0,24846925,0,4277 41,3,2024-09-07 09:22:41:683,1,426,148,0,366,4438,426,0 42,0,2024-09-07 09:22:41:499,103228,0.8,103291,0.9,206614,0.8,273939,2.50 42,1,2024-09-07 09:22:41:443,745219,745219,0,0,349981158445,3677985832,733255,9933,2031,380,391675,0 42,2,2024-09-07 09:22:41:133,538652,538652,0,0,25185047,0,3790 42,3,2024-09-07 09:22:41:011,1,426,1,0,892,4258,426,0 43,0,2024-09-07 09:22:40:920,108111,0.7,105084,0.9,220127,0.8,288787,2.25 43,1,2024-09-07 09:22:40:588,748506,748506,0,0,351766656255,3675163154,738176,8591,1739,366,391696,0 43,2,2024-09-07 09:22:41:736,539494,539494,0,0,24765792,0,3812 43,3,2024-09-07 09:22:41:750,1,426,2,0,548,5690,426,0 44,0,2024-09-07 09:22:40:895,109924,0.5,110206,0.7,220788,0.4,293547,2.00 44,1,2024-09-07 09:22:40:570,750072,750072,0,0,351277572061,3632181809,743578,5176,1318,356,391809,0 44,2,2024-09-07 09:22:41:273,535156,535156,0,0,20771019,0,4344 44,3,2024-09-07 09:22:41:095,1,426,1,0,817,5036,426,0 45,0,2024-09-07 09:22:41:771,103995,0.5,101535,0.7,213003,0.5,280335,2.00 45,1,2024-09-07 09:22:41:005,749147,749147,0,0,351497413421,3653052670,743489,5085,573,382,391917,0 45,2,2024-09-07 09:22:41:268,540916,540916,0,0,21108563,0,3596 45,3,2024-09-07 09:22:40:935,1,426,1,0,271,3682,426,0 46,0,2024-09-07 09:22:40:950,102321,0.5,102161,0.7,204737,0.4,271739,2.00 46,1,2024-09-07 09:22:40:583,750676,750676,0,0,352478131998,3641161124,746007,4078,591,366,391709,0 46,2,2024-09-07 09:22:40:594,540270,540270,0,0,21260732,0,4443 46,3,2024-09-07 09:22:41:131,1,426,1,0,908,5410,426,0 47,0,2024-09-07 09:22:41:105,107363,0.4,107584,0.6,215469,0.4,285703,1.75 47,1,2024-09-07 09:22:40:567,751605,751605,0,0,352156298899,3639973035,746748,4019,838,366,391641,0 47,2,2024-09-07 09:22:40:911,541179,541179,0,0,20866192,0,4477 47,3,2024-09-07 09:22:41:115,1,426,0,0,600,5232,426,0 48,0,2024-09-07 09:22:41:505,109914,0.3,109738,0.5,218657,0.2,291576,1.75 48,1,2024-09-07 09:22:41:022,749341,749341,0,0,352038454611,3656790552,744630,4255,456,384,391710,0 48,2,2024-09-07 09:22:40:699,537001,537001,0,0,19560195,0,3411 48,3,2024-09-07 09:22:40:753,1,426,3,0,339,3455,426,0 49,0,2024-09-07 09:22:41:726,110782,0.4,108924,0.5,211213,0.3,288941,1.75 49,1,2024-09-07 09:22:41:021,749123,749123,0,0,350845767479,3650926898,743968,3949,1206,382,391809,0 49,2,2024-09-07 09:22:41:806,540482,540482,0,0,21603588,0,4426 49,3,2024-09-07 09:22:41:426,1,426,19,0,992,5141,426,0 50,0,2024-09-07 09:22:41:542,103207,0.3,101535,0.4,205132,0.2,272644,1.75 50,1,2024-09-07 09:22:41:020,751684,751684,0,0,352553577158,3645646762,746755,4355,574,368,391565,0 50,2,2024-09-07 09:22:41:083,539284,539284,0,0,19853553,0,4490 50,3,2024-09-07 09:22:41:291,1,426,1,0,567,4341,426,0 51,0,2024-09-07 09:22:41:693,107819,0.3,105405,0.5,205502,0.2,280226,1.75 51,1,2024-09-07 09:22:41:686,751657,751657,0,0,352891954686,3639749495,747705,2903,1049,365,391706,0 51,2,2024-09-07 09:22:41:320,539387,539387,0,0,18847304,0,3337 51,3,2024-09-07 09:22:41:033,1,426,2,0,678,3497,426,0 52,0,2024-09-07 09:22:41:422,110700,0.5,110611,0.7,221419,0.5,293650,2.00 52,1,2024-09-07 09:22:40:583,748338,748338,0,0,350901975747,3671460072,737998,8987,1353,368,391722,0 52,2,2024-09-07 09:22:41:755,535351,535313,38,0,25220258,0,6742 52,3,2024-09-07 09:22:40:676,1,426,0,0,1782,5832,426,0 53,0,2024-09-07 09:22:41:732,108988,0.7,105805,0.8,221323,0.7,290527,2.25 53,1,2024-09-07 09:22:40:775,746818,746818,0,0,350974186647,3681528613,734900,8958,2960,367,391702,0 53,2,2024-09-07 09:22:41:298,538969,538968,1,0,22766869,0,5455 53,3,2024-09-07 09:22:40:697,1,426,0,0,308,3790,426,0 54,0,2024-09-07 09:22:41:615,101854,0.6,102141,0.7,203319,0.4,272153,2.25 54,1,2024-09-07 09:22:40:582,748801,748801,0,0,351461782811,3653868064,741314,6246,1241,366,391659,0 54,2,2024-09-07 09:22:40:875,539131,539099,32,0,26158254,0,6397 54,3,2024-09-07 09:22:40:763,1,426,1,0,676,6186,426,0 55,0,2024-09-07 09:22:41:762,100887,0.5,104223,0.7,210874,0.5,274908,2.25 55,1,2024-09-07 09:22:40:765,749489,749489,0,0,351678019738,3654327083,741858,6539,1092,365,391731,0 55,2,2024-09-07 09:22:40:733,538185,538129,56,0,25122370,0,7239 55,3,2024-09-07 09:22:40:676,1,426,30,0,304,4340,426,0 56,0,2024-09-07 09:22:41:572,111930,1.3,105596,1.2,217523,1.9,291902,2.75 56,1,2024-09-07 09:22:40:579,744747,744747,0,0,350280229433,3699028180,733240,9134,2373,381,391867,0 56,2,2024-09-07 09:22:41:311,539109,539109,0,0,24623225,0,3567 56,3,2024-09-07 09:22:41:077,1,426,1,0,705,5238,426,0 57,0,2024-09-07 09:22:40:936,108595,1.7,108290,1.3,217395,2.4,291506,3.25 57,1,2024-09-07 09:22:40:988,747535,747535,0,0,350149769101,3669306202,738929,7520,1086,366,392032,0 57,2,2024-09-07 09:22:41:330,536753,536753,0,0,26471313,0,3317 57,3,2024-09-07 09:22:41:741,1,426,13,0,455,4962,426,0 58,0,2024-09-07 09:22:40:557,103019,0.8,100021,1.0,209262,0.9,274276,2.50 58,1,2024-09-07 09:22:40:583,747813,747810,0,3,351363664536,3672941911,737859,8662,1289,367,391603,3 58,2,2024-09-07 09:22:41:077,538864,538864,0,0,24594879,0,2902 58,3,2024-09-07 09:22:41:073,1,426,1,0,1043,4590,426,0 59,0,2024-09-07 09:22:41:739,103283,0.7,102983,0.9,205647,0.7,273326,2.50 59,1,2024-09-07 09:22:40:804,747698,747698,0,0,351580909362,3682344045,737478,8411,1809,369,391653,0 59,2,2024-09-07 09:22:40:584,538892,538892,0,0,24526254,0,3727 59,3,2024-09-07 09:22:41:739,1,426,3,0,1015,5684,426,0 60,0,2024-09-07 09:22:41:742,107857,0.5,107912,0.7,216526,0.5,287980,1.75 60,1,2024-09-07 09:22:40:772,749817,749817,0,0,351905659918,3652776669,744674,4423,720,370,392031,0 60,2,2024-09-07 09:22:41:146,539898,539898,0,0,22896455,0,3811 60,3,2024-09-07 09:22:41:259,1,426,17,0,409,4984,426,0 61,0,2024-09-07 09:22:41:501,109289,0.8,109881,0.8,218733,0.8,291698,2.00 61,1,2024-09-07 09:22:40:771,748258,748258,0,0,350416236455,3671730411,739949,6878,1431,382,392127,0 61,2,2024-09-07 09:22:41:121,535859,535792,67,0,23200703,0,6411 61,3,2024-09-07 09:22:41:689,1,426,1,0,479,5748,426,0 62,0,2024-09-07 09:22:41:711,107613,0.5,110269,0.7,210446,0.5,285431,2.00 62,1,2024-09-07 09:22:41:123,752589,752583,0,6,353559665652,3645783716,748767,3555,261,365,391975,6 62,2,2024-09-07 09:22:41:644,538658,538657,1,0,23396286,0,5555 62,3,2024-09-07 09:22:41:146,1,426,1,0,482,3731,426,0 63,0,2024-09-07 09:22:41:454,102734,0.4,102604,0.5,205661,0.3,273103,1.75 63,1,2024-09-07 09:22:40:805,750224,750218,0,6,351600501351,3651125207,745245,4240,733,381,391800,6 63,2,2024-09-07 09:22:40:762,539759,539759,0,0,21348223,0,4369 63,3,2024-09-07 09:22:41:731,1,426,1,0,667,4412,426,0 64,0,2024-09-07 09:22:41:530,105316,0.5,105296,0.7,210119,0.5,280020,1.75 64,1,2024-09-07 09:22:40:750,749022,749022,0,0,351520283479,3663180482,742345,4974,1703,370,391783,0 64,2,2024-09-07 09:22:41:144,542146,542127,19,0,21233767,0,6121 64,3,2024-09-07 09:22:41:141,1,426,1,0,265,4186,426,0 65,0,2024-09-07 09:22:41:695,108731,0.7,109000,0.8,217673,0.8,289915,2.25 65,1,2024-09-07 09:22:40:881,747430,747430,0,0,349839286552,3656991539,742320,4570,540,382,391901,0 65,2,2024-09-07 09:22:41:709,538139,538139,0,0,24787041,0,3367 65,3,2024-09-07 09:22:41:684,1,426,0,0,782,5063,426,0 66,0,2024-09-07 09:22:41:770,109141,0.5,108994,0.8,217812,0.5,289666,2.25 66,1,2024-09-07 09:22:41:293,749450,749450,0,0,351516303881,3662919549,743952,4973,525,380,391743,0 66,2,2024-09-07 09:22:41:132,541218,541218,0,0,21672240,0,4956 66,3,2024-09-07 09:22:41:083,1,426,1,0,291,3706,426,0 67,0,2024-09-07 09:22:41:414,102858,0.4,102618,0.6,205788,0.4,273709,2.00 67,1,2024-09-07 09:22:40:777,749295,749294,0,1,351645510296,3663409153,743942,4560,792,380,391787,1 67,2,2024-09-07 09:22:40:583,541165,541165,0,0,20833112,0,3622 67,3,2024-09-07 09:22:41:750,1,426,1,0,392,4231,426,0 68,0,2024-09-07 09:22:40:570,104545,0.5,104453,0.7,207954,0.5,278382,2.00 68,1,2024-09-07 09:22:40:570,746611,746611,0,0,350478369621,3686491624,736557,7108,2946,381,391953,0 68,2,2024-09-07 09:22:41:045,536491,536391,100,0,27764988,0,8578 68,3,2024-09-07 09:22:40:730,1,426,1,0,417,4888,426,0 69,0,2024-09-07 09:22:41:764,110237,0.8,111043,0.8,221098,0.9,293336,2.25 69,1,2024-09-07 09:22:41:016,746131,746131,0,0,349473795090,3683739280,736359,7502,2270,384,391994,0 69,2,2024-09-07 09:22:41:736,538093,538064,29,0,29277079,0,6912 69,3,2024-09-07 09:22:40:760,1,426,1,0,698,6566,426,0 70,0,2024-09-07 09:22:41:535,108846,1.1,109115,1.1,219430,1.0,290825,2.50 70,1,2024-09-07 09:22:40:802,749721,749721,0,0,352221464359,3655544692,743742,5318,661,366,391725,0 70,2,2024-09-07 09:22:41:325,537646,537646,0,0,24468154,0,4323 70,3,2024-09-07 09:22:40:749,1,426,1,0,854,4983,426,0 71,0,2024-09-07 09:22:41:361,102431,0.8,102197,1.0,205211,0.9,274369,2.50 71,1,2024-09-07 09:22:41:596,748494,748494,0,0,351284841237,3664422012,740056,7635,803,368,391738,0 71,2,2024-09-07 09:22:41:072,538742,538742,0,0,24536929,0,4352 71,3,2024-09-07 09:22:41:755,1,426,1,0,644,5568,426,0 72,0,2024-09-07 09:22:41:090,107244,0.5,104945,0.7,204471,0.5,278463,2.00 72,1,2024-09-07 09:22:41:028,747081,747081,0,0,350146625646,3673798952,736217,8854,2010,369,391819,0 72,2,2024-09-07 09:22:41:769,538514,538514,0,0,26610939,0,3983 72,3,2024-09-07 09:22:41:763,1,426,16,0,564,6403,426,0 73,0,2024-09-07 09:22:41:134,106312,0.4,108946,0.6,222760,0.4,289811,2.00 73,1,2024-09-07 09:22:40:766,748798,748798,0,0,351172819677,3647886573,743550,4839,409,367,391858,0 73,2,2024-09-07 09:22:41:740,538897,538897,0,0,26064124,0,3701 73,3,2024-09-07 09:22:40:973,1,426,2,0,486,5723,426,0 74,0,2024-09-07 09:22:41:332,110874,0.5,113344,0.7,216035,0.5,293890,2.25 74,1,2024-09-07 09:22:40:635,747594,747594,0,0,350252126549,3657352691,739628,6371,1595,381,391762,0 74,2,2024-09-07 09:22:41:002,535398,535398,0,0,23937547,0,4253 74,3,2024-09-07 09:22:41:442,1,426,1,0,522,5553,426,0 75,0,2024-09-07 09:22:41:765,105806,0.5,105116,0.7,210854,0.5,281458,2.25 75,1,2024-09-07 09:22:41:588,748803,748803,0,0,351172245244,3662603041,741981,5994,828,380,391739,0 75,2,2024-09-07 09:22:41:350,538842,538842,0,0,26464693,0,4766 75,3,2024-09-07 09:22:41:077,1,426,8,0,702,5311,426,0 76,0,2024-09-07 09:22:40:629,102317,0.5,101578,0.7,203904,0.5,272559,2.25 76,1,2024-09-07 09:22:40:805,748480,748480,0,0,351050317174,3662696933,743052,4603,825,382,391692,0 76,2,2024-09-07 09:22:41:074,541907,541906,1,0,23362928,0,5144 76,3,2024-09-07 09:22:41:147,1,426,8,0,175,3895,426,0 77,0,2024-09-07 09:22:41:715,106628,0.6,107116,0.7,214036,0.6,284582,2.00 77,1,2024-09-07 09:22:40:825,748987,748987,0,0,351286401361,3666082910,743172,5312,503,381,391869,0 77,2,2024-09-07 09:22:41:280,538721,538721,0,0,22835211,0,3890 77,3,2024-09-07 09:22:41:095,1,426,1,0,401,4765,426,0 78,0,2024-09-07 09:22:41:724,109659,0.5,109079,0.7,219089,0.4,290116,2.00 78,1,2024-09-07 09:22:40:611,748935,748935,0,0,350491149525,3651883028,741070,6298,1567,367,391670,0 78,2,2024-09-07 09:22:41:405,537619,537606,13,0,21491344,0,8313 78,3,2024-09-07 09:22:41:144,1,426,0,0,181,3714,426,0 79,0,2024-09-07 09:22:41:350,104288,0.4,106717,0.6,218666,0.4,283927,2.25 79,1,2024-09-07 09:22:40:573,750788,750788,0,0,351212626667,3641393741,744216,5263,1309,367,391682,0 79,2,2024-09-07 09:22:41:096,541095,541095,0,0,21143833,0,4195 79,3,2024-09-07 09:22:40:750,1,426,32,0,418,5397,426,0 80,0,2024-09-07 09:22:41:082,102648,0.5,105526,0.6,202021,0.4,272477,2.00 80,1,2024-09-07 09:22:41:720,748786,748786,0,0,351442145214,3661494668,743234,5131,421,368,392269,0 80,2,2024-09-07 09:22:41:094,541273,541273,0,0,21423631,0,4433 80,3,2024-09-07 09:22:40:585,1,426,1,0,190,4979,426,0 81,0,2024-09-07 09:22:41:543,104983,0.5,107447,0.7,205059,0.5,278784,2.00 81,1,2024-09-07 09:22:41:653,747646,747646,0,0,351004610071,3668295652,741640,5466,540,382,391879,0 81,2,2024-09-07 09:22:41:125,537338,537275,63,0,23786948,0,5932 81,3,2024-09-07 09:22:41:117,1,426,1,0,719,5117,426,0 82,0,2024-09-07 09:22:41:547,110053,0.5,110274,0.7,221191,0.5,293012,2.00 82,1,2024-09-07 09:22:40:583,749979,749975,0,4,352210840789,3664368156,745278,3878,819,381,391768,4 82,2,2024-09-07 09:22:41:690,539941,539941,0,0,20235395,0,4484 82,3,2024-09-07 09:22:41:752,1,426,1,0,363,4591,426,0 83,0,2024-09-07 09:22:41:541,109633,0.7,109622,0.8,218751,0.7,290764,2.25 83,1,2024-09-07 09:22:40:562,747922,747922,0,0,350300015510,3654320581,742394,5078,450,382,391709,0 83,2,2024-09-07 09:22:40:764,538843,538843,0,0,20949715,0,3393 83,3,2024-09-07 09:22:40:749,1,426,1,0,1260,5508,426,0 84,0,2024-09-07 09:22:41:841,102696,0.6,102718,0.8,204716,0.5,274574,2.25 84,1,2024-09-07 09:22:41:040,747451,747451,0,0,350871252389,3665715407,739752,6657,1042,367,391967,0 84,2,2024-09-07 09:22:40:579,538392,538362,30,0,28163362,0,5971 84,3,2024-09-07 09:22:41:148,1,426,1,0,908,6403,426,0 85,0,2024-09-07 09:22:41:001,100576,0.5,100589,0.7,213576,0.5,276246,2.25 85,1,2024-09-07 09:22:40:559,745632,745632,0,0,350033999516,3693058743,734821,8892,1919,381,392006,0 85,2,2024-09-07 09:22:40:871,538173,538173,0,0,26128632,0,3656 85,3,2024-09-07 09:22:40:685,1,426,1,0,789,5223,426,0 86,0,2024-09-07 09:22:40:898,109469,0.6,112593,0.8,215634,0.7,292339,2.25 86,1,2024-09-07 09:22:40:826,747745,747745,0,0,350969998900,3675646647,739072,7232,1441,366,391961,0 86,2,2024-09-07 09:22:40:864,536915,536914,1,0,28266353,0,5004 86,3,2024-09-07 09:22:40:585,1,426,1,0,308,6063,426,0 87,0,2024-09-07 09:22:41:303,110022,1.0,109874,0.9,219879,1.4,294011,2.50 87,1,2024-09-07 09:22:40:553,746498,746498,0,0,350038598652,3669774780,736547,8357,1594,366,392076,0 87,2,2024-09-07 09:22:41:084,535555,535549,6,0,24976779,0,6323 87,3,2024-09-07 09:22:41:799,1,426,1,0,473,6739,426,0 88,0,2024-09-07 09:22:41:457,104465,0.4,105159,0.6,209285,0.4,278617,1.75 88,1,2024-09-07 09:22:40:569,746481,746481,0,0,350888706790,3675551286,736776,7698,2007,365,392084,0 88,2,2024-09-07 09:22:40:688,539530,539530,0,0,27161624,0,4465 88,3,2024-09-07 09:22:41:270,1,426,11,0,435,4816,426,0 89,0,2024-09-07 09:22:41:786,106481,0.4,103092,0.6,203999,0.4,277160,1.75 89,1,2024-09-07 09:22:40:550,746324,746324,0,0,350035696590,3679747184,737155,7743,1426,382,391866,0 89,2,2024-09-07 09:22:41:144,538618,538618,0,0,25510024,0,3173 89,3,2024-09-07 09:22:41:798,1,426,3,0,468,7475,426,0 90,0,2024-09-07 09:22:41:621,104787,0.5,107600,0.6,219598,0.4,286983,2.00 90,1,2024-09-07 09:22:40:592,747340,747340,0,0,350911785337,3673317561,740569,6259,512,380,391825,0 90,2,2024-09-07 09:22:41:406,537675,537675,0,0,27041268,0,3060 90,3,2024-09-07 09:22:40:936,1,426,6,0,322,5261,426,0 91,0,2024-09-07 09:22:40:925,110065,0.5,106554,0.7,222684,0.5,292393,1.75 91,1,2024-09-07 09:22:40:556,746392,746392,0,0,350159798142,3681443357,737140,7849,1403,381,392047,0 91,2,2024-09-07 09:22:41:343,537003,537003,0,0,23786982,0,2896 91,3,2024-09-07 09:22:40:597,1,426,1,0,216,4243,426,0 92,0,2024-09-07 09:22:41:455,107969,0.5,110695,0.6,211344,0.4,285824,1.75 92,1,2024-09-07 09:22:40:584,748135,748135,0,0,350784837506,3663089656,742602,4808,725,381,392136,0 92,2,2024-09-07 09:22:41:350,541187,541187,0,0,21486915,0,3259 92,3,2024-09-07 09:22:41:013,1,426,0,0,167,3772,426,0 93,0,2024-09-07 09:22:40:967,103227,0.4,105797,0.5,201841,0.3,273240,1.75 93,1,2024-09-07 09:22:40:808,747989,747989,0,0,351756754954,3672348308,739860,6746,1383,366,391776,0 93,2,2024-09-07 09:22:40:929,539143,539143,0,0,26097878,0,4845 93,3,2024-09-07 09:22:41:406,1,426,1,0,190,3816,426,0 94,0,2024-09-07 09:22:41:619,105195,0.4,106190,0.5,211807,0.3,281751,1.75 94,1,2024-09-07 09:22:40:574,748776,748776,0,0,351582061603,3667371677,743986,4580,210,381,391850,0 94,2,2024-09-07 09:22:40:764,538350,538350,0,0,21777954,0,2443 94,3,2024-09-07 09:22:41:687,1,426,3,0,576,5676,426,0 95,0,2024-09-07 09:22:41:375,109727,0.4,109502,0.5,219602,0.3,292435,1.75 95,1,2024-09-07 09:22:40:858,749297,749297,0,0,352190908593,3660653319,743249,5611,437,365,391786,0 95,2,2024-09-07 09:22:41:020,537779,537779,0,0,21537499,0,3308 95,3,2024-09-07 09:22:41:712,1,426,3,0,718,6213,426,0 96,0,2024-09-07 09:22:41:022,109600,0.4,110026,0.5,219315,0.3,290830,1.75 96,1,2024-09-07 09:22:41:602,748332,748332,0,0,351032085699,3663618869,742786,4662,884,384,391955,0 96,2,2024-09-07 09:22:41:268,540280,540280,0,0,22563686,0,4180 96,3,2024-09-07 09:22:41:148,1,426,2,0,411,4788,426,0 97,0,2024-09-07 09:22:41:402,102940,0.3,102503,0.5,205698,0.2,273593,1.50 97,1,2024-09-07 09:22:40:764,749690,749690,0,0,352069132534,3653484453,744541,4217,932,367,392140,0 97,2,2024-09-07 09:22:40:607,539638,539638,0,0,21673012,0,3679 97,3,2024-09-07 09:22:40:582,1,426,1,0,242,5110,426,0 98,0,2024-09-07 09:22:41:718,104173,0.3,104265,0.4,209071,0.2,278314,1.50 98,1,2024-09-07 09:22:40:570,748591,748591,0,0,351510282325,3662965329,743638,4162,791,382,391997,0 98,2,2024-09-07 09:22:40:786,539266,539266,0,0,21873104,0,4336 98,3,2024-09-07 09:22:40:706,1,426,5,0,840,7161,426,0 99,0,2024-09-07 09:22:41:449,110841,0.3,111560,0.5,221593,0.3,295960,1.75 99,1,2024-09-07 09:22:41:754,749284,749284,0,0,350957922322,3653322342,744339,4108,837,381,392069,0 99,2,2024-09-07 09:22:41:417,539945,539945,0,0,25698618,0,4276 99,3,2024-09-07 09:22:40:589,1,426,6,0,606,4702,426,0 100,0,2024-09-07 09:22:41:461,109764,0.9,110052,1.0,219293,1.0,293389,2.50 100,1,2024-09-07 09:22:40:554,744841,744841,0,0,349336905514,3689877227,734111,8683,2047,381,391989,0 100,2,2024-09-07 09:22:41:834,535442,535431,11,0,25116428,0,5417 100,3,2024-09-07 09:22:41:733,1,426,1,0,559,6895,426,0 101,0,2024-09-07 09:22:41:717,105595,0.8,102743,1.0,201910,0.7,276489,2.25 101,1,2024-09-07 09:22:40:551,745381,745381,0,0,349795581865,3679605099,734691,8566,2124,368,391847,0 101,2,2024-09-07 09:22:41:773,535756,535756,0,0,30046147,0,4871 101,3,2024-09-07 09:22:40:950,1,426,9,0,579,5881,426,0 102,0,2024-09-07 09:22:40:952,101143,0.6,104368,0.7,211345,0.6,277202,2.00 102,1,2024-09-07 09:22:41:146,745785,745785,0,0,350097532928,3678881327,735907,8116,1762,369,391891,0 102,2,2024-09-07 09:22:41:737,539975,539921,54,0,24229683,0,6768 102,3,2024-09-07 09:22:41:614,1,426,4,0,466,4712,426,0 103,0,2024-09-07 09:22:41:593,112597,0.6,112597,0.7,212187,0.6,292108,2.00 103,1,2024-09-07 09:22:41:629,744972,744972,0,0,350017784162,3696435961,732975,8931,3066,381,391829,0 103,2,2024-09-07 09:22:40:583,537748,537748,0,0,26847917,0,3766 103,3,2024-09-07 09:22:40:766,1,426,20,0,916,4743,426,0 104,0,2024-09-07 09:22:41:003,108943,0.8,109292,1.0,217518,0.8,291854,2.50 104,1,2024-09-07 09:22:41:630,747309,747309,0,0,350774302562,3684115094,736469,8977,1863,365,392168,0 104,2,2024-09-07 09:22:41:683,534739,534739,0,0,25554714,0,3941 104,3,2024-09-07 09:22:41:416,1,426,21,0,1245,8538,426,0 105,0,2024-09-07 09:22:41:033,104195,0.8,101451,1.0,212570,0.9,279523,2.50 105,1,2024-09-07 09:22:40:554,748115,748115,0,0,351715886979,3684643488,738673,8016,1426,366,392009,0 105,2,2024-09-07 09:22:41:322,538559,538559,0,0,25455979,0,3509 105,3,2024-09-07 09:22:41:311,1,426,0,0,399,6557,426,0 106,0,2024-09-07 09:22:40:941,99143,0.7,101575,0.9,208097,0.7,272120,2.50 106,1,2024-09-07 09:22:41:751,746651,746651,0,0,350497591126,3679985350,735628,9576,1447,369,391914,0 106,2,2024-09-07 09:22:40:759,538655,538655,0,0,24824820,0,2920 106,3,2024-09-07 09:22:40:677,1,426,1,0,470,5480,426,0 107,0,2024-09-07 09:22:41:137,106816,0.9,107053,0.9,213786,1.1,285525,2.25 107,1,2024-09-07 09:22:40:617,745742,745742,0,0,349770170749,3685928723,735578,9111,1053,381,392234,0 107,2,2024-09-07 09:22:41:290,537295,537294,1,0,25866004,0,5024 107,3,2024-09-07 09:22:41:757,1,426,1,0,370,6435,426,0 108,0,2024-09-07 09:22:41:768,109118,0.5,109951,0.6,218621,0.5,291350,1.75 108,1,2024-09-07 09:22:41:298,748139,748139,0,0,351519464306,3665958043,741998,5396,745,368,391857,0 108,2,2024-09-07 09:22:41:755,535716,535716,0,0,24202709,0,4246 108,3,2024-09-07 09:22:41:330,1,426,2,0,749,7973,426,0 109,0,2024-09-07 09:22:41:746,108661,0.4,107839,0.6,215630,0.4,288133,1.75 109,1,2024-09-07 09:22:40:584,745668,745668,0,0,350664665691,3681164403,738270,6213,1185,382,392132,0 109,2,2024-09-07 09:22:40:922,537835,537835,0,0,23775746,0,3617 109,3,2024-09-07 09:22:41:147,1,426,1,0,379,4983,426,0 110,0,2024-09-07 09:22:41:778,102442,0.3,99833,0.5,209128,0.3,273020,1.75 110,1,2024-09-07 09:22:41:660,749529,749529,0,0,351791972680,3651712080,744139,4212,1178,369,392045,0 110,2,2024-09-07 09:22:41:311,539524,539524,0,0,22679106,0,4067 110,3,2024-09-07 09:22:40:697,1,426,12,0,722,6097,426,0 111,0,2024-09-07 09:22:41:412,105323,0.4,104542,0.5,209695,0.3,280147,1.75 111,1,2024-09-07 09:22:41:000,749706,749706,0,0,352719082938,3658009605,745821,3530,355,380,391690,0 111,2,2024-09-07 09:22:41:116,538073,538073,0,0,22413913,0,4823 111,3,2024-09-07 09:22:40:913,1,426,1,0,379,5057,426,0 112,0,2024-09-07 09:22:40:919,110989,0.3,110681,0.4,221502,0.2,294036,1.50 112,1,2024-09-07 09:22:40:824,749852,749852,0,0,351154811099,3645383420,745138,4101,613,380,391624,0 112,2,2024-09-07 09:22:41:133,538379,538378,1,0,21690742,0,5036 112,3,2024-09-07 09:22:40:593,1,426,2,0,282,4236,426,0 113,0,2024-09-07 09:22:40:884,109737,0.3,109827,0.5,219954,0.3,292719,1.75 113,1,2024-09-07 09:22:41:741,751469,751469,0,0,352663874553,3638654428,747580,3300,589,366,391661,0 113,2,2024-09-07 09:22:41:303,540513,540513,0,0,20007236,0,3813 113,3,2024-09-07 09:22:40:684,1,426,6,0,340,4734,426,0 114,0,2024-09-07 09:22:40:878,103621,0.3,104246,0.4,207269,0.2,277160,1.75 114,1,2024-09-07 09:22:40:718,749158,749158,0,0,351644613045,3654172830,742878,4664,1616,381,391565,0 114,2,2024-09-07 09:22:40:886,540326,540325,1,0,21092551,0,5069 114,3,2024-09-07 09:22:41:290,1,426,1,0,395,3658,426,0 115,0,2024-09-07 09:22:40:561,104540,0.3,105101,0.4,209626,0.2,278761,1.50 115,1,2024-09-07 09:22:40:575,749735,749735,0,0,352147759914,3661139387,743244,5224,1267,382,391757,0 115,2,2024-09-07 09:22:41:125,540799,540799,0,0,20920103,0,4382 115,3,2024-09-07 09:22:41:004,1,426,0,0,159,2396,426,0 116,0,2024-09-07 09:22:41:709,109213,0.7,109224,0.8,219072,0.7,293422,2.00 116,1,2024-09-07 09:22:40:804,745772,745772,0,0,350435491182,3691694328,737165,6282,2325,380,392089,0 116,2,2024-09-07 09:22:41:753,538143,538143,0,0,26604521,0,4128 116,3,2024-09-07 09:22:40:915,1,426,4,0,415,5055,426,0 117,0,2024-09-07 09:22:40:964,110504,0.8,109872,0.8,220235,1.0,294288,2.00 117,1,2024-09-07 09:22:41:581,746941,746941,0,0,349897619414,3661771594,739189,6837,915,369,392033,0 117,2,2024-09-07 09:22:41:139,539127,539127,0,0,22712114,0,4303 117,3,2024-09-07 09:22:41:063,1,426,0,0,490,6515,426,0 118,0,2024-09-07 09:22:41:768,101493,0.5,104100,0.7,212533,0.4,277560,2.00 118,1,2024-09-07 09:22:40:585,747086,747086,0,0,349624460036,3679366115,735178,8909,2999,366,391907,0 118,2,2024-09-07 09:22:41:587,538614,538614,0,0,25047816,0,2842 118,3,2024-09-07 09:22:41:766,1,426,42,0,248,5047,426,0 119,0,2024-09-07 09:22:41:350,103270,0.5,103633,0.7,207170,0.5,275561,2.00 119,1,2024-09-07 09:22:40:554,747380,747380,0,0,351154851789,3674466276,738899,7365,1116,367,391780,0 119,2,2024-09-07 09:22:41:262,540221,540221,0,0,23713731,0,4174 119,3,2024-09-07 09:22:41:330,1,426,1,0,1358,8463,426,0 120,0,2024-09-07 09:22:41:644,107233,0.6,107081,0.8,215001,0.6,287210,2.25 120,1,2024-09-07 09:22:40:867,747597,747597,0,0,350016807916,3673655363,739227,7601,769,368,391961,0 120,2,2024-09-07 09:22:40:777,538645,538644,1,0,26882097,0,5281 120,3,2024-09-07 09:22:41:290,1,426,1,0,279,5340,426,0 121,0,2024-09-07 09:22:41:698,109392,1.5,109076,1.1,218946,2.0,291659,2.50 121,1,2024-09-07 09:22:41:660,747724,747724,0,0,350928078759,3667677514,741008,6256,460,367,391840,0 121,2,2024-09-07 09:22:41:136,535079,535079,0,0,25732331,0,4127 121,3,2024-09-07 09:22:40:732,1,426,5,0,269,4753,426,0 122,0,2024-09-07 09:22:41:759,106540,0.8,103944,0.9,217959,0.8,285621,2.00 122,1,2024-09-07 09:22:40:861,746232,746232,0,0,350063423234,3674154046,735794,8835,1603,366,392130,0 122,2,2024-09-07 09:22:41:318,540075,540002,73,0,28708460,0,5989 122,3,2024-09-07 09:22:40:594,1,426,3,0,512,7698,426,0 123,0,2024-09-07 09:22:40:951,102073,0.6,99671,0.7,208527,0.6,272592,2.00 123,1,2024-09-07 09:22:40:558,746295,746295,0,0,350178611927,3690064873,732750,11103,2442,369,392039,0 123,2,2024-09-07 09:22:41:018,537715,537714,1,0,24216039,0,5215 123,3,2024-09-07 09:22:41:132,1,426,42,0,478,5042,426,0 124,0,2024-09-07 09:22:40:944,108843,0.3,108833,0.5,205075,0.3,282344,1.75 124,1,2024-09-07 09:22:41:022,749202,749202,0,0,351580782223,3654379330,743924,4229,1049,367,392178,0 124,2,2024-09-07 09:22:41:014,539487,539434,53,0,21996383,0,6487 124,3,2024-09-07 09:22:40:758,1,426,1,0,490,4118,426,0 125,0,2024-09-07 09:22:41:448,109616,0.4,109415,0.6,219818,0.4,292459,1.75 125,1,2024-09-07 09:22:40:862,747604,747604,0,0,351298120400,3668281695,741694,5239,671,382,391702,0 125,2,2024-09-07 09:22:41:127,538818,538818,0,0,22721964,0,4534 125,3,2024-09-07 09:22:41:127,1,426,3,0,709,5379,426,0 126,0,2024-09-07 09:22:41:426,109509,0.4,112765,0.6,215717,0.4,291495,1.75 126,1,2024-09-07 09:22:40:556,750003,750003,0,0,351982440664,3645767121,746003,3707,293,365,391987,0 126,2,2024-09-07 09:22:40:610,540612,540612,0,0,23482646,0,4539 126,3,2024-09-07 09:22:40:911,1,426,1,0,268,5268,426,0 127,0,2024-09-07 09:22:41:610,102828,0.3,103111,0.5,205944,0.2,273724,1.50 127,1,2024-09-07 09:22:40:570,749308,749308,0,0,350512115488,3646507095,741966,6120,1222,364,392187,0 127,2,2024-09-07 09:22:40:648,538387,538387,0,0,21222371,0,3897 127,3,2024-09-07 09:22:41:269,1,426,1,0,968,4617,426,0 128,0,2024-09-07 09:22:41:560,104706,0.3,104980,0.4,209521,0.2,278722,1.50 128,1,2024-09-07 09:22:41:611,748824,748824,0,0,352099763608,3655485636,743916,4475,433,367,392031,0 128,2,2024-09-07 09:22:41:384,540142,540142,0,0,20665280,0,3171 128,3,2024-09-07 09:22:40:773,1,426,1,0,1082,6725,426,0 129,0,2024-09-07 09:22:40:990,111757,0.3,111251,0.5,222898,0.3,296328,1.75 129,1,2024-09-07 09:22:40:567,746338,746338,0,0,350203287436,3670848069,738668,6000,1670,379,391962,0 129,2,2024-09-07 09:22:40:689,539913,539913,0,0,22047010,0,4031 129,3,2024-09-07 09:22:40:688,1,426,1,0,506,5855,426,0 130,0,2024-09-07 09:22:41:716,110838,0.5,110279,0.6,221939,0.6,294774,1.75 130,1,2024-09-07 09:22:40:586,749335,749335,0,0,351730380394,3655306199,745299,3697,339,381,391825,0 130,2,2024-09-07 09:22:41:127,539102,539102,0,0,22469063,0,4067 130,3,2024-09-07 09:22:41:295,1,426,5,0,960,6716,426,0 131,0,2024-09-07 09:22:41:944,103230,0.3,103733,0.5,208492,0.3,276348,1.75 131,1,2024-09-07 09:22:41:842,748729,748729,0,0,351165890996,3668615417,742766,4915,1048,381,391865,0 131,2,2024-09-07 09:22:40:573,541527,541527,0,0,20706301,0,3979 131,3,2024-09-07 09:22:41:688,1,426,1,0,392,4813,426,0 132,0,2024-09-07 09:22:41:428,103901,0.4,104914,0.6,208675,0.4,277992,1.75 132,1,2024-09-07 09:22:40:584,745098,745098,0,0,349564713755,3682165284,733966,9026,2106,381,392532,0 132,2,2024-09-07 09:22:40:698,539069,539052,17,0,27120793,0,6451 132,3,2024-09-07 09:22:41:688,1,426,9,0,804,7562,426,0 133,0,2024-09-07 09:22:41:533,106262,0.4,108806,0.6,223069,0.4,290361,1.75 133,1,2024-09-07 09:22:40:583,745322,745322,0,0,350030502479,3690305669,734778,9029,1515,383,391914,0 133,2,2024-09-07 09:22:41:098,538323,538273,50,0,28455653,0,6861 133,3,2024-09-07 09:22:41:302,1,426,5,0,479,4509,426,0 134,0,2024-09-07 09:22:40:937,110490,0.6,110106,0.7,220283,0.6,294236,2.25 134,1,2024-09-07 09:22:40:585,746768,746768,0,0,350193160458,3673733519,736975,7758,2035,366,391718,0 134,2,2024-09-07 09:22:41:756,534336,534312,24,0,26080947,0,6207 134,3,2024-09-07 09:22:40:754,1,426,3,0,739,5435,426,0 135,0,2024-09-07 09:22:41:128,102026,0.8,102068,0.9,216593,0.9,279027,2.25 135,1,2024-09-07 09:22:41:588,746818,746818,0,0,350989603855,3692556920,736861,8586,1371,380,391805,0 135,2,2024-09-07 09:22:40:687,539862,539862,0,0,25478946,0,3981 135,3,2024-09-07 09:22:41:003,1,426,1,0,299,3536,426,0 136,0,2024-09-07 09:22:41:633,103117,0.5,103286,0.7,205462,0.4,274384,2.00 136,1,2024-09-07 09:22:41:455,747404,747404,0,0,351012851821,3681162238,738836,7606,962,381,391685,0 136,2,2024-09-07 09:22:41:133,540860,540860,0,0,24215164,0,3506 136,3,2024-09-07 09:22:41:109,1,426,2,0,637,4944,426,0 137,0,2024-09-07 09:22:40:980,110213,0.6,107343,0.7,210707,0.6,286588,2.00 137,1,2024-09-07 09:22:40:577,745896,745896,0,0,350277277878,3675386711,734379,9158,2359,366,391898,0 137,2,2024-09-07 09:22:41:709,537666,537666,0,0,26795350,0,3185 137,3,2024-09-07 09:22:40:771,1,426,1,0,484,5271,426,0 138,0,2024-09-07 09:22:41:743,108934,1.0,108920,1.0,218607,1.3,290135,2.25 138,1,2024-09-07 09:22:41:685,747145,747145,0,0,350950700780,3680603445,736904,8519,1722,368,391954,0 138,2,2024-09-07 09:22:40:594,536256,536256,0,0,24350593,0,4988 138,3,2024-09-07 09:22:40:614,1,426,3,0,1160,5655,426,0 139,0,2024-09-07 09:22:41:360,107147,1.5,107213,1.1,214903,2.3,286628,2.50 139,1,2024-09-07 09:22:40:580,743277,743277,0,0,348858303042,3706710011,728904,11067,3306,380,392058,0 139,2,2024-09-07 09:22:40:692,535883,535883,0,0,28006376,0,3097 139,3,2024-09-07 09:22:41:662,1,426,1,0,432,5007,426,0 140,0,2024-09-07 09:22:41:588,103009,0.3,102477,0.5,205703,0.2,273370,1.75 140,1,2024-09-07 09:22:41:545,751141,751141,0,0,352219438017,3631416490,747577,3123,441,365,391606,0 140,2,2024-09-07 09:22:40:687,540109,540108,1,0,21430427,0,5036 140,3,2024-09-07 09:22:40:770,1,426,1,0,297,3575,426,0 141,0,2024-09-07 09:22:41:698,105139,0.3,107959,0.5,206272,0.2,279987,1.75 141,1,2024-09-07 09:22:40:862,749539,749539,0,0,352324065874,3659563752,743853,4729,957,379,391614,0 141,2,2024-09-07 09:22:41:686,539111,539111,0,0,21182310,0,3360 141,3,2024-09-07 09:22:41:043,1,426,0,0,391,4924,426,0 142,0,2024-09-07 09:22:41:343,111175,0.3,110520,0.5,221015,0.3,294655,1.75 142,1,2024-09-07 09:22:40:584,748678,748678,0,0,351026240313,3658840920,743990,4290,398,382,392102,0 142,2,2024-09-07 09:22:41:311,538141,538109,32,0,23302749,0,6028 142,3,2024-09-07 09:22:41:746,1,426,8,0,484,4813,426,0 143,0,2024-09-07 09:22:41:374,109844,0.5,110071,0.6,220236,0.5,292782,1.75 143,1,2024-09-07 09:22:40:556,750072,750072,0,0,352121644243,3654553414,745030,4498,544,367,391705,0 143,2,2024-09-07 09:22:40:770,539167,539167,0,0,22298828,0,3123 143,3,2024-09-07 09:22:41:149,1,426,5,0,462,5313,426,0 144,0,2024-09-07 09:22:41:502,100101,0.6,102932,0.8,209732,0.5,275029,2.00 144,1,2024-09-07 09:22:40:566,745879,745879,0,0,349141655833,3666126682,738166,5879,1834,381,391649,0 144,2,2024-09-07 09:22:41:755,540032,540032,0,0,21187123,0,3673 144,3,2024-09-07 09:22:41:740,1,426,2,0,249,4365,426,0 145,0,2024-09-07 09:22:41:365,101039,0.5,101055,0.7,214306,0.5,275937,2.25 145,1,2024-09-07 09:22:40:554,745943,745943,0,0,349886002915,3680443973,736311,7970,1662,382,391759,0 145,2,2024-09-07 09:22:41:432,537412,537331,81,0,26214821,0,7814 145,3,2024-09-07 09:22:40:899,1,426,1,0,622,6144,426,0 146,0,2024-09-07 09:22:41:597,109517,0.6,108933,0.8,219629,0.6,291859,2.25 146,1,2024-09-07 09:22:41:672,747140,747140,0,0,350040297990,3674868661,736186,8834,2120,367,391770,0 146,2,2024-09-07 09:22:41:716,537581,537581,0,0,24869342,0,3290 146,3,2024-09-07 09:22:41:277,1,426,7,0,1520,8040,426,0 147,0,2024-09-07 09:22:41:707,110305,0.7,110268,0.8,219680,0.7,293804,2.25 147,1,2024-09-07 09:22:41:373,750000,750000,0,0,351390254516,3654938523,743362,5793,845,368,391791,0 147,2,2024-09-07 09:22:41:014,536558,536558,0,0,22322088,0,2968 147,3,2024-09-07 09:22:40:913,1,426,31,0,1626,7396,426,0 0,0,2024-09-07 09:22:51:713,105224,0.6,105340,0.7,223403,0.7,289076,2.00 0,1,2024-09-07 09:22:50:807,749125,749125,0,0,351088738016,3674549360,743674,5063,388,369,391896,0 0,2,2024-09-07 09:22:51:070,541911,541911,0,0,21808679,0,4480 0,3,2024-09-07 09:22:50:973,1,427,2,0,431,6347,427,0 1,0,2024-09-07 09:22:51:748,109758,1.2,108999,1.1,218695,1.7,292447,2.25 1,1,2024-09-07 09:22:50:557,748620,748620,0,0,350826157104,3672163833,742191,5103,1326,370,391859,0 1,2,2024-09-07 09:22:50:639,536314,536314,0,0,22088857,0,3380 1,3,2024-09-07 09:22:51:306,1,427,8,0,268,5230,427,0 2,0,2024-09-07 09:22:51:568,106810,0.6,107033,0.8,213254,0.7,284230,2.00 2,1,2024-09-07 09:22:50:859,751240,751240,0,0,352294008013,3656616764,747953,2992,295,380,391745,0 2,2,2024-09-07 09:22:51:268,541936,541936,0,0,20718854,0,3594 2,3,2024-09-07 09:22:50:696,1,427,2,0,357,4166,427,0 3,0,2024-09-07 09:22:51:751,102678,0.4,102435,0.6,204797,0.3,272583,2.00 3,1,2024-09-07 09:22:51:618,749832,749832,0,0,351306679305,3658895450,743611,5531,690,380,391716,0 3,2,2024-09-07 09:22:51:142,541288,541265,23,0,21898050,0,5851 3,3,2024-09-07 09:22:51:757,1,427,0,0,207,2835,427,0 4,0,2024-09-07 09:22:51:904,102928,0.4,105737,0.5,215727,0.3,282835,1.75 4,1,2024-09-07 09:22:50:597,747238,747238,0,0,350182195640,3701276990,735424,9307,2507,370,391992,0 4,2,2024-09-07 09:22:51:019,538086,538086,0,0,24998106,0,4528 4,3,2024-09-07 09:22:51:039,1,427,4,0,448,5550,427,0 5,0,2024-09-07 09:22:51:434,109633,0.4,110230,0.6,219903,0.4,291994,1.75 5,1,2024-09-07 09:22:50:756,748349,748349,0,0,351322327461,3698548995,737921,8270,2158,367,392005,0 5,2,2024-09-07 09:22:51:839,536031,536031,0,0,24874532,0,3582 5,3,2024-09-07 09:22:51:740,1,427,2,0,457,5994,427,0 6,0,2024-09-07 09:22:50:925,109767,0.5,109350,0.7,218598,0.4,291246,2.00 6,1,2024-09-07 09:22:50:761,749004,749004,0,0,352024653824,3678769701,740794,6817,1393,379,391694,0 6,2,2024-09-07 09:22:51:116,540337,540319,18,0,24826521,0,5535 6,3,2024-09-07 09:22:51:279,1,427,9,0,710,5448,427,0 7,0,2024-09-07 09:22:51:530,102341,0.5,103051,0.6,205183,0.4,273094,1.75 7,1,2024-09-07 09:22:50:854,748583,748583,0,0,351541126611,3687721432,738812,8307,1464,382,391747,0 7,2,2024-09-07 09:22:50:770,541247,541247,0,0,24089121,0,4791 7,3,2024-09-07 09:22:50:853,1,427,2,0,552,4961,427,0 8,0,2024-09-07 09:22:51:343,104940,0.4,104696,0.5,209811,0.3,279804,1.75 8,1,2024-09-07 09:22:51:016,747245,747245,0,0,351463124594,3695331314,735716,8898,2631,366,392853,0 8,2,2024-09-07 09:22:50:798,535698,535698,0,0,28231634,0,3250 8,3,2024-09-07 09:22:50:585,1,427,16,0,538,7057,427,0 9,0,2024-09-07 09:22:51:145,111250,0.4,108021,0.5,226028,0.3,296117,1.75 9,1,2024-09-07 09:22:50:555,747102,747102,0,0,350827560668,3695421909,736042,8639,2421,369,392001,0 9,2,2024-09-07 09:22:51:083,538965,538965,0,0,25148208,0,3360 9,3,2024-09-07 09:22:51:752,1,427,1,0,496,6084,427,0 10,0,2024-09-07 09:22:51:601,110320,0.4,109727,0.5,220461,0.3,293627,1.75 10,1,2024-09-07 09:22:50:585,748699,748699,0,0,351091132427,3680535272,738315,8761,1623,381,391741,0 10,2,2024-09-07 09:22:50:764,538706,538706,0,0,27491202,0,4264 10,3,2024-09-07 09:22:50:881,1,427,0,0,649,4579,427,0 11,0,2024-09-07 09:22:51:006,103492,0.4,100371,0.6,210009,0.4,276926,1.75 11,1,2024-09-07 09:22:50:571,749025,749025,0,0,351926175820,3692132538,737697,8702,2626,383,391756,0 11,2,2024-09-07 09:22:51:122,539907,539907,0,0,24308604,0,4130 11,3,2024-09-07 09:22:51:298,1,427,1,0,843,6214,427,0 12,0,2024-09-07 09:22:50:971,105008,0.4,105047,0.5,210283,0.3,279285,1.75 12,1,2024-09-07 09:22:50:962,749104,749104,0,0,351041869672,3659202462,743547,5055,502,370,391870,0 12,2,2024-09-07 09:22:51:547,540864,540864,0,0,23435664,0,3469 12,3,2024-09-07 09:22:51:062,1,427,5,0,386,6350,427,0 13,0,2024-09-07 09:22:51:334,110218,0.4,109765,0.6,219236,0.4,292111,1.75 13,1,2024-09-07 09:22:51:585,747802,747802,0,0,350786399209,3687666987,740186,5984,1632,382,391740,0 13,2,2024-09-07 09:22:50:597,542188,542188,0,0,21765891,0,3287 13,3,2024-09-07 09:22:51:766,1,427,5,0,522,6267,427,0 14,0,2024-09-07 09:22:50:571,110157,0.5,111210,0.6,220003,0.4,293860,2.00 14,1,2024-09-07 09:22:51:564,753033,753033,0,0,353325134462,3659585094,746813,5511,709,364,391673,0 14,2,2024-09-07 09:22:50:780,537495,537465,30,0,23723843,0,6104 14,3,2024-09-07 09:22:51:115,1,427,1,0,1168,4730,427,0 15,0,2024-09-07 09:22:51:555,105294,0.4,105196,0.6,210641,0.4,280299,2.00 15,1,2024-09-07 09:22:51:611,750326,750326,0,0,351701637343,3663445505,744102,5045,1179,381,391619,0 15,2,2024-09-07 09:22:50:998,543088,543088,0,0,19468942,0,3622 15,3,2024-09-07 09:22:51:411,1,427,1,0,1126,6955,427,0 16,0,2024-09-07 09:22:50:998,103148,0.5,103540,0.7,206490,0.5,274927,2.00 16,1,2024-09-07 09:22:50:574,750047,750047,0,0,352116786780,3681719613,743448,5486,1113,370,391917,0 16,2,2024-09-07 09:22:51:436,541123,541123,0,0,23429230,0,4719 16,3,2024-09-07 09:22:51:143,1,427,1,0,317,5394,427,0 17,0,2024-09-07 09:22:51:772,110774,0.6,108092,0.8,211364,0.6,287627,2.00 17,1,2024-09-07 09:22:50:568,748358,748358,0,0,350934310846,3682276892,740713,6132,1513,368,392075,0 17,2,2024-09-07 09:22:51:673,542271,542271,0,0,23219739,0,3779 17,3,2024-09-07 09:22:50:575,1,427,2,0,518,6823,427,0 18,0,2024-09-07 09:22:50:949,108364,0.7,108914,0.9,217003,0.8,289813,2.25 18,1,2024-09-07 09:22:51:639,751477,751477,0,0,352190742499,3650048378,747477,3621,379,367,391725,0 18,2,2024-09-07 09:22:51:755,540003,540003,0,0,20558459,0,3541 18,3,2024-09-07 09:22:50:899,1,427,2,0,163,3271,427,0 19,0,2024-09-07 09:22:51:548,107521,0.6,108097,0.8,214452,0.6,285311,2.25 19,1,2024-09-07 09:22:50:566,751913,751913,0,0,352861623932,3660085636,745965,5027,921,367,391777,0 19,2,2024-09-07 09:22:51:753,543637,543637,0,0,19527847,0,3988 19,3,2024-09-07 09:22:51:129,1,427,1,0,524,3453,427,0 20,0,2024-09-07 09:22:51:362,102660,0.4,102529,0.6,205097,0.4,273005,2.00 20,1,2024-09-07 09:22:50:570,749013,749013,0,0,352021051780,3682031642,742303,5909,801,369,391922,0 20,2,2024-09-07 09:22:50:929,540219,540219,0,0,23474661,0,3721 20,3,2024-09-07 09:22:50:595,1,427,2,0,414,6249,427,0 21,0,2024-09-07 09:22:51:125,105247,0.5,105470,0.6,210380,0.4,279581,2.00 21,1,2024-09-07 09:22:51:546,747088,747088,0,0,350820415905,3699012325,736131,8479,2478,368,392016,0 21,2,2024-09-07 09:22:51:075,537001,536981,20,0,28520754,0,5617 21,3,2024-09-07 09:22:51:412,1,427,24,0,713,5487,427,0 22,0,2024-09-07 09:22:51:719,110371,0.5,110704,0.7,221307,0.5,293003,2.00 22,1,2024-09-07 09:22:51:023,748403,748403,0,0,351084179456,3689771576,737249,8927,2227,382,391667,0 22,2,2024-09-07 09:22:50:766,538370,538344,26,0,22725854,0,6328 22,3,2024-09-07 09:22:51:069,1,427,3,0,228,3509,427,0 23,0,2024-09-07 09:22:51:378,109667,0.5,108893,0.7,218475,0.5,291312,2.25 23,1,2024-09-07 09:22:51:006,749482,749482,0,0,352072048192,3697252450,736393,9035,4054,365,391690,0 23,2,2024-09-07 09:22:51:092,541678,541678,0,0,22246118,0,3773 23,3,2024-09-07 09:22:51:754,1,427,1,0,720,5570,427,0 24,0,2024-09-07 09:22:50:822,104226,0.4,103725,0.5,208511,0.3,277035,1.75 24,1,2024-09-07 09:22:50:585,748599,748599,0,0,351088705763,3680320662,740596,6410,1593,367,392269,0 24,2,2024-09-07 09:22:51:073,539663,539663,0,0,26550668,0,3607 24,3,2024-09-07 09:22:51:690,1,427,14,0,468,5818,427,0 25,0,2024-09-07 09:22:51:371,107509,0.4,104736,0.6,205415,0.3,279897,1.75 25,1,2024-09-07 09:22:50:573,747967,747967,0,0,351537196978,3704179528,735987,9732,2248,371,391928,0 25,2,2024-09-07 09:22:51:619,538482,538482,0,0,27123608,0,3978 25,3,2024-09-07 09:22:51:001,1,427,1,0,532,4823,427,0 26,0,2024-09-07 09:22:51:721,110117,0.4,107522,0.6,225516,0.4,294239,2.00 26,1,2024-09-07 09:22:51:541,749941,749941,0,0,351653885487,3681587972,739312,9045,1584,380,391748,0 26,2,2024-09-07 09:22:50:861,540686,540686,0,0,27338118,0,2809 26,3,2024-09-07 09:22:51:712,1,427,1,0,796,5159,427,0 27,0,2024-09-07 09:22:51:724,110720,0.5,110824,0.6,220557,0.4,294559,2.25 27,1,2024-09-07 09:22:51:676,751146,751146,0,0,352614416884,3673362678,743879,6366,901,381,391626,0 27,2,2024-09-07 09:22:50:867,535547,535482,65,0,24900701,0,5699 27,3,2024-09-07 09:22:51:015,1,427,0,0,564,4113,427,0 28,0,2024-09-07 09:22:51:394,104894,0.4,104449,0.6,209633,0.3,279167,1.75 28,1,2024-09-07 09:22:50:797,750372,750372,0,0,352400635095,3678271469,743184,5489,1699,383,391698,0 28,2,2024-09-07 09:22:51:764,540949,540949,0,0,22657075,0,2915 28,3,2024-09-07 09:22:51:776,1,427,1,0,502,4684,427,0 29,0,2024-09-07 09:22:51:386,106683,0.3,103904,0.5,203862,0.3,277595,1.75 29,1,2024-09-07 09:22:51:562,753161,753161,0,0,353442992121,3657272439,748295,4131,735,368,391809,0 29,2,2024-09-07 09:22:50:860,540916,540916,0,0,21487002,0,4986 29,3,2024-09-07 09:22:50:974,1,427,3,0,459,4940,427,0 30,0,2024-09-07 09:22:51:455,108091,0.5,105005,0.7,219952,0.4,288119,2.00 30,1,2024-09-07 09:22:50:572,751496,751496,0,0,352674780528,3663084706,745427,5303,766,381,391672,0 30,2,2024-09-07 09:22:51:283,541200,541200,0,0,21040076,0,4192 30,3,2024-09-07 09:22:50:581,1,427,2,0,519,4182,427,0 31,0,2024-09-07 09:22:51:757,109304,0.5,109843,0.7,219183,0.5,292432,2.00 31,1,2024-09-07 09:22:50:566,755115,755115,0,0,355041135966,3638794042,752099,2430,586,356,391712,0 31,2,2024-09-07 09:22:51:279,536725,536725,0,0,22594109,0,3525 31,3,2024-09-07 09:22:51:711,1,427,1,0,220,3760,427,0 32,0,2024-09-07 09:22:51:421,107200,0.3,107948,0.5,214816,0.3,285911,1.75 32,1,2024-09-07 09:22:50:809,751332,751332,0,0,351881903214,3661094973,746216,4435,681,381,391646,0 32,2,2024-09-07 09:22:50:935,542722,542722,0,0,20457322,0,3922 32,3,2024-09-07 09:22:51:015,1,427,1,0,304,3390,427,0 33,0,2024-09-07 09:22:51:505,102799,0.3,102352,0.4,205386,0.2,273064,1.50 33,1,2024-09-07 09:22:50:578,752285,752285,0,0,352816114238,3659390860,745772,5408,1105,368,391730,0 33,2,2024-09-07 09:22:50:759,541684,541649,35,0,22859383,0,7012 33,3,2024-09-07 09:22:50:900,1,427,1,0,329,4141,427,0 34,0,2024-09-07 09:22:50:948,106334,0.3,109316,0.4,209064,0.2,282101,1.75 34,1,2024-09-07 09:22:51:057,753345,753345,0,0,354698150303,3647047110,751603,1730,12,367,391562,0 34,2,2024-09-07 09:22:50:767,540752,540752,0,0,21166296,0,4562 34,3,2024-09-07 09:22:51:688,1,427,0,0,299,3238,427,0 35,0,2024-09-07 09:22:50:857,109092,0.4,109629,0.5,220286,0.3,292665,1.75 35,1,2024-09-07 09:22:51:067,751539,751539,0,0,352361320927,3652643281,746999,3682,858,382,391769,0 35,2,2024-09-07 09:22:51:588,539072,539072,0,0,22802459,0,4055 35,3,2024-09-07 09:22:50:907,1,427,1,0,418,4508,427,0 36,0,2024-09-07 09:22:51:521,109805,0.5,109713,0.7,219494,0.4,291555,2.00 36,1,2024-09-07 09:22:50:585,750406,750406,0,0,351992290619,3676367869,740758,7648,2000,366,391759,0 36,2,2024-09-07 09:22:51:752,541533,541533,0,0,24112250,0,3875 36,3,2024-09-07 09:22:50:863,1,427,1,0,416,6078,427,0 37,0,2024-09-07 09:22:51:386,102426,0.4,102706,0.6,205070,0.4,273371,2.00 37,1,2024-09-07 09:22:50:569,750043,750036,0,7,351698001675,3673185162,741217,6543,2276,365,391770,0 37,2,2024-09-07 09:22:51:144,538562,538547,15,0,23926442,0,5815 37,3,2024-09-07 09:22:51:766,1,427,1,0,888,6362,427,0 38,0,2024-09-07 09:22:51:442,104223,0.4,101185,0.6,211660,0.4,277548,2.00 38,1,2024-09-07 09:22:51:605,751286,751286,0,0,352447223106,3684493496,740542,8583,2161,368,391821,0 38,2,2024-09-07 09:22:50:765,539614,539567,47,0,23719442,0,6710 38,3,2024-09-07 09:22:51:001,1,427,1,0,689,5463,427,0 39,0,2024-09-07 09:22:51:769,113536,0.6,111080,0.7,216541,0.6,295104,2.00 39,1,2024-09-07 09:22:50:716,749021,749021,0,0,352111137730,3691775652,736580,9750,2691,365,391658,0 39,2,2024-09-07 09:22:51:416,540448,540448,0,0,22612229,0,3391 39,3,2024-09-07 09:22:50:713,1,427,2,0,324,4873,427,0 40,0,2024-09-07 09:22:51:499,109159,0.8,109758,1.0,218896,0.9,292232,2.75 40,1,2024-09-07 09:22:50:582,749819,749819,0,0,351142088223,3677929058,739000,8775,2044,368,391668,0 40,2,2024-09-07 09:22:51:303,538255,538254,1,0,26100156,0,5137 40,3,2024-09-07 09:22:51:142,1,427,1,0,1028,5810,427,0 41,0,2024-09-07 09:22:51:032,103107,1.3,105364,1.2,200974,2.1,273606,3.00 41,1,2024-09-07 09:22:50:779,748743,748743,0,0,351503758476,3676771781,739560,8143,1040,369,391742,0 41,2,2024-09-07 09:22:50:759,538819,538819,0,0,24904251,0,4277 41,3,2024-09-07 09:22:51:676,1,427,4,0,366,4442,427,0 42,0,2024-09-07 09:22:51:477,103678,0.8,103746,0.9,207542,0.8,275157,2.50 42,1,2024-09-07 09:22:51:438,746968,746968,0,0,350694862712,3685539512,735003,9934,2031,380,391675,0 42,2,2024-09-07 09:22:51:146,539869,539869,0,0,25221422,0,3790 42,3,2024-09-07 09:22:51:011,1,427,4,0,892,4262,427,0 43,0,2024-09-07 09:22:50:917,108366,0.7,105356,0.9,220727,0.8,289462,2.25 43,1,2024-09-07 09:22:50:595,750230,750230,0,0,352557859037,3683419030,739900,8591,1739,366,391696,0 43,2,2024-09-07 09:22:51:741,540819,540819,0,0,24860340,0,3812 43,3,2024-09-07 09:22:51:749,1,427,1,0,548,5691,427,0 44,0,2024-09-07 09:22:50:874,110281,0.5,110525,0.7,221441,0.4,294471,2.00 44,1,2024-09-07 09:22:50:566,751835,751835,0,0,352012893686,3639631537,745341,5176,1318,356,391809,0 44,2,2024-09-07 09:22:51:268,536250,536250,0,0,20780105,0,4344 44,3,2024-09-07 09:22:51:094,1,427,1,0,817,5037,427,0 45,0,2024-09-07 09:22:51:759,104184,0.5,101718,0.7,213351,0.5,280813,2.00 45,1,2024-09-07 09:22:51:020,750894,750894,0,0,352409864618,3662332272,745236,5085,573,382,391917,0 45,2,2024-09-07 09:22:51:268,542281,542281,0,0,21124541,0,3596 45,3,2024-09-07 09:22:50:934,1,427,1,0,271,3683,427,0 46,0,2024-09-07 09:22:50:953,102622,0.5,102493,0.7,205393,0.4,272661,2.00 46,1,2024-09-07 09:22:50:576,752416,752416,0,0,353107317634,3647557397,747747,4078,591,366,391709,0 46,2,2024-09-07 09:22:50:593,541774,541774,0,0,21279118,0,4443 46,3,2024-09-07 09:22:51:131,1,427,2,0,908,5412,427,0 47,0,2024-09-07 09:22:51:101,107799,0.4,108033,0.6,216351,0.4,286865,1.75 47,1,2024-09-07 09:22:50:566,753335,753335,0,0,352957373784,3648097568,748478,4019,838,366,391641,0 47,2,2024-09-07 09:22:50:908,542724,542724,0,0,20879716,0,4477 47,3,2024-09-07 09:22:51:115,1,427,10,0,600,5242,427,0 48,0,2024-09-07 09:22:51:503,110025,0.3,109867,0.5,218906,0.2,291886,1.75 48,1,2024-09-07 09:22:51:031,751093,751093,0,0,352970476783,3666258160,746382,4255,456,384,391710,0 48,2,2024-09-07 09:22:50:699,537747,537747,0,0,19565499,0,3411 48,3,2024-09-07 09:22:50:757,1,427,0,0,339,3455,427,0 49,0,2024-09-07 09:22:51:724,110915,0.4,109048,0.5,211488,0.3,289263,1.75 49,1,2024-09-07 09:22:51:026,750863,750863,0,0,351651245241,3659147094,745708,3949,1206,382,391809,0 49,2,2024-09-07 09:22:51:802,542077,542077,0,0,21616740,0,4426 49,3,2024-09-07 09:22:51:422,1,427,1,0,992,5142,427,0 50,0,2024-09-07 09:22:51:507,103220,0.3,101554,0.4,205151,0.2,272644,1.75 50,1,2024-09-07 09:22:51:012,753449,753449,0,0,353292616374,3653138030,748519,4356,574,368,391565,0 50,2,2024-09-07 09:22:51:068,540874,540874,0,0,19867487,0,4490 50,3,2024-09-07 09:22:51:294,1,427,1,0,567,4342,427,0 51,0,2024-09-07 09:22:51:687,108191,0.3,105767,0.5,206198,0.2,281164,1.75 51,1,2024-09-07 09:22:51:682,753407,753407,0,0,353975370401,3650679922,749454,2904,1049,365,391706,0 51,2,2024-09-07 09:22:51:315,540411,540411,0,0,18853895,0,3337 51,3,2024-09-07 09:22:51:039,1,427,1,0,678,3498,427,0 52,0,2024-09-07 09:22:51:435,110769,0.5,110712,0.7,221605,0.5,293882,2.00 52,1,2024-09-07 09:22:50:578,750050,750050,0,0,351762019911,3680188549,739710,8987,1353,368,391722,0 52,2,2024-09-07 09:22:51:756,536359,536321,38,0,25241013,0,6742 52,3,2024-09-07 09:22:50:674,1,427,3,0,1782,5835,427,0 53,0,2024-09-07 09:22:51:766,109199,0.7,106034,0.8,221727,0.7,291107,2.25 53,1,2024-09-07 09:22:50:779,748580,748580,0,0,351625524600,3688261656,736661,8959,2960,367,391702,0 53,2,2024-09-07 09:22:51:298,540507,540506,1,0,22802218,0,5455 53,3,2024-09-07 09:22:50:703,1,427,18,0,308,3808,427,0 54,0,2024-09-07 09:22:51:617,102199,0.6,102457,0.7,203966,0.4,273068,2.25 54,1,2024-09-07 09:22:50:585,750616,750616,0,0,352398944755,3663498732,743128,6247,1241,366,391659,0 54,2,2024-09-07 09:22:50:865,540499,540467,32,0,26194689,0,6397 54,3,2024-09-07 09:22:50:764,1,427,50,0,676,6236,427,0 55,0,2024-09-07 09:22:51:760,101163,0.5,104525,0.7,211440,0.5,275630,2.25 55,1,2024-09-07 09:22:50:764,751190,751190,0,0,352433342530,3662094689,743558,6539,1093,365,391731,0 55,2,2024-09-07 09:22:50:729,539371,539315,56,0,25138936,0,7239 55,3,2024-09-07 09:22:50:674,1,427,1,0,304,4341,427,0 56,0,2024-09-07 09:22:51:687,112468,1.3,106117,1.2,218578,1.9,293453,2.75 56,1,2024-09-07 09:22:50:577,746517,746517,0,0,351174615124,3708327640,735010,9134,2373,381,391867,0 56,2,2024-09-07 09:22:51:308,540483,540483,0,0,24676594,0,3567 56,3,2024-09-07 09:22:51:063,1,427,1,0,705,5239,427,0 57,0,2024-09-07 09:22:50:940,108891,1.7,108624,1.3,218020,2.4,292373,3.25 57,1,2024-09-07 09:22:50:990,749312,749312,0,0,351067994484,3678783935,740705,7521,1086,366,392032,0 57,2,2024-09-07 09:22:51:324,537991,537991,0,0,26524103,0,3317 57,3,2024-09-07 09:22:51:738,1,427,13,0,455,4975,427,0 58,0,2024-09-07 09:22:50:562,103187,0.8,100159,1.0,209603,0.9,274701,2.50 58,1,2024-09-07 09:22:50:581,749601,749598,0,3,352330877398,3682798590,739646,8663,1289,367,391603,3 58,2,2024-09-07 09:22:51:072,540083,540083,0,0,24663648,0,2902 58,3,2024-09-07 09:22:51:071,1,427,1,0,1043,4591,427,0 59,0,2024-09-07 09:22:51:747,103541,0.7,103220,0.9,206133,0.7,273940,2.50 59,1,2024-09-07 09:22:50:810,749399,749399,0,0,352206135029,3688882639,739179,8411,1809,369,391653,0 59,2,2024-09-07 09:22:50:585,540331,540331,0,0,24587327,0,3727 59,3,2024-09-07 09:22:51:736,1,427,0,0,1015,5684,427,0 60,0,2024-09-07 09:22:51:741,108254,0.5,108266,0.7,217339,0.5,288978,1.75 60,1,2024-09-07 09:22:50:780,751565,751565,0,0,352714549095,3660990966,746422,4423,720,370,392031,0 60,2,2024-09-07 09:22:51:143,541283,541283,0,0,22913636,0,3811 60,3,2024-09-07 09:22:51:258,1,427,2,0,409,4986,427,0 61,0,2024-09-07 09:22:51:513,109461,0.8,110024,0.8,219054,0.8,292163,2.00 61,1,2024-09-07 09:22:50:785,749997,749997,0,0,351351942312,3681264088,741688,6878,1431,382,392127,0 61,2,2024-09-07 09:22:51:116,536686,536619,67,0,23207261,0,6411 61,3,2024-09-07 09:22:51:687,1,427,1,0,479,5749,427,0 62,0,2024-09-07 09:22:51:709,107708,0.5,110352,0.7,210635,0.5,285678,2.00 62,1,2024-09-07 09:22:51:114,754378,754372,0,6,354279029040,3653095261,750556,3555,261,365,391975,6 62,2,2024-09-07 09:22:51:645,540046,540045,1,0,23408643,0,5555 62,3,2024-09-07 09:22:51:143,1,427,2,0,482,3733,427,0 63,0,2024-09-07 09:22:51:464,102835,0.4,102735,0.5,205915,0.3,273409,1.75 63,1,2024-09-07 09:22:50:811,751936,751930,0,6,352350143940,3658765093,746957,4240,733,381,391800,6 63,2,2024-09-07 09:22:50:766,541227,541227,0,0,21362805,0,4369 63,3,2024-09-07 09:22:51:736,1,427,1,0,667,4413,427,0 64,0,2024-09-07 09:22:51:571,105796,0.5,105802,0.7,211116,0.5,281327,1.75 64,1,2024-09-07 09:22:50:773,750738,750738,0,0,352222715399,3670322471,744061,4974,1703,370,391783,0 64,2,2024-09-07 09:22:51:154,543484,543465,19,0,21242438,0,6121 64,3,2024-09-07 09:22:51:146,1,427,1,0,265,4187,427,0 65,0,2024-09-07 09:22:51:728,108841,0.7,109101,0.8,217895,0.8,290212,2.25 65,1,2024-09-07 09:22:50:863,749134,749134,0,0,350610158104,3664870589,744024,4570,540,382,391901,0 65,2,2024-09-07 09:22:51:726,538881,538881,0,0,24793986,0,3367 65,3,2024-09-07 09:22:51:683,1,427,3,0,782,5066,427,0 66,0,2024-09-07 09:22:51:763,109256,0.5,109092,0.8,218032,0.5,289918,2.25 66,1,2024-09-07 09:22:51:295,751281,751281,0,0,352600268954,3673910742,745783,4973,525,380,391743,0 66,2,2024-09-07 09:22:51:132,542800,542800,0,0,21687404,0,4956 66,3,2024-09-07 09:22:51:093,1,427,13,0,291,3719,427,0 67,0,2024-09-07 09:22:51:432,103105,0.4,102841,0.6,206187,0.4,274241,2.00 67,1,2024-09-07 09:22:50:767,751077,751076,0,1,352531445249,3672407478,745724,4560,792,380,391787,1 67,2,2024-09-07 09:22:50:587,542756,542756,0,0,20844169,0,3622 67,3,2024-09-07 09:22:51:757,1,427,1,0,392,4232,427,0 68,0,2024-09-07 09:22:50:587,104958,0.5,104895,0.7,208797,0.5,279585,2.00 68,1,2024-09-07 09:22:50:594,748309,748309,0,0,351457969291,3696571035,738255,7108,2946,381,391953,0 68,2,2024-09-07 09:22:51:058,537656,537556,100,0,27811875,0,8578 68,3,2024-09-07 09:22:50:730,1,427,54,0,417,4942,427,0 69,0,2024-09-07 09:22:51:744,110373,0.8,111171,0.8,221389,0.9,293628,2.25 69,1,2024-09-07 09:22:51:016,747820,747820,0,0,350531288694,3694507981,738048,7502,2270,384,391994,0 69,2,2024-09-07 09:22:51:738,539272,539243,29,0,29304579,0,6912 69,3,2024-09-07 09:22:50:770,1,427,44,0,698,6610,427,0 70,0,2024-09-07 09:22:51:534,109136,1.1,109386,1.1,220008,1.0,291611,2.50 70,1,2024-09-07 09:22:50:802,751489,751489,0,0,352815428996,3661713135,745509,5319,661,366,391725,0 70,2,2024-09-07 09:22:51:328,538977,538977,0,0,24498505,0,4323 70,3,2024-09-07 09:22:50:745,1,427,2,0,854,4985,427,0 71,0,2024-09-07 09:22:51:370,102645,0.8,102403,1.0,205664,0.9,274940,2.50 71,1,2024-09-07 09:22:51:595,750279,750279,0,0,352033539298,3672110300,741841,7635,803,368,391738,0 71,2,2024-09-07 09:22:51:068,540102,540102,0,0,24559801,0,4352 71,3,2024-09-07 09:22:51:750,1,427,1,0,644,5569,427,0 72,0,2024-09-07 09:22:51:039,107694,0.5,105384,0.7,205371,0.5,279692,2.00 72,1,2024-09-07 09:22:51:020,748878,748878,0,0,351151109995,3684183311,738014,8854,2010,369,391819,0 72,2,2024-09-07 09:22:51:762,539663,539663,0,0,26653170,0,3983 72,3,2024-09-07 09:22:51:754,1,427,2,0,564,6405,427,0 73,0,2024-09-07 09:22:51:110,106575,0.4,109217,0.6,223345,0.4,290527,2.00 73,1,2024-09-07 09:22:50:772,750572,750572,0,0,351800050664,3654433593,745323,4840,409,367,391858,0 73,2,2024-09-07 09:22:51:747,540241,540241,0,0,26123248,0,3701 73,3,2024-09-07 09:22:50:970,1,427,0,0,486,5723,427,0 74,0,2024-09-07 09:22:51:330,111187,0.5,113683,0.7,216718,0.5,294809,2.25 74,1,2024-09-07 09:22:50:635,749335,749335,0,0,351280347265,3667881208,741369,6371,1595,381,391762,0 74,2,2024-09-07 09:22:51:001,536529,536529,0,0,23964693,0,4253 74,3,2024-09-07 09:22:51:449,1,427,2,0,522,5555,427,0 75,0,2024-09-07 09:22:51:771,105990,0.5,105294,0.7,211184,0.5,281949,2.25 75,1,2024-09-07 09:22:51:588,750540,750540,0,0,352165825785,3672800326,743716,5996,828,380,391739,0 75,2,2024-09-07 09:22:51:349,540107,540107,0,0,26533961,0,4766 75,3,2024-09-07 09:22:51:067,1,427,14,0,702,5325,427,0 76,0,2024-09-07 09:22:50:602,102672,0.5,101897,0.7,204590,0.5,273493,2.25 76,1,2024-09-07 09:22:50:815,750180,750180,0,0,351647142010,3668851182,744752,4603,825,382,391692,0 76,2,2024-09-07 09:22:51:071,543381,543380,1,0,23377261,0,5144 76,3,2024-09-07 09:22:51:142,1,427,1,0,175,3896,427,0 77,0,2024-09-07 09:22:51:709,107139,0.6,107546,0.7,214932,0.5,285734,2.00 77,1,2024-09-07 09:22:50:834,750692,750692,0,0,351829449832,3671669978,744876,5312,504,381,391869,0 77,2,2024-09-07 09:22:51:289,540271,540271,0,0,22850807,0,3890 77,3,2024-09-07 09:22:51:102,1,427,10,0,401,4775,427,0 78,0,2024-09-07 09:22:51:720,109780,0.5,109186,0.7,219369,0.4,290443,2.00 78,1,2024-09-07 09:22:50:610,750679,750679,0,0,351494367835,3662039332,742814,6298,1567,367,391670,0 78,2,2024-09-07 09:22:51:409,538284,538271,13,0,21496584,0,8313 78,3,2024-09-07 09:22:51:133,1,427,1,0,181,3715,427,0 79,0,2024-09-07 09:22:51:346,104410,0.4,106846,0.6,218908,0.4,284271,2.25 79,1,2024-09-07 09:22:50:571,752521,752521,0,0,352025721532,3649626617,745949,5263,1309,367,391682,0 79,2,2024-09-07 09:22:51:080,542590,542590,0,0,21157426,0,4195 79,3,2024-09-07 09:22:50:749,1,427,2,0,418,5399,427,0 80,0,2024-09-07 09:22:51:095,102661,0.5,105540,0.6,202051,0.4,272477,2.00 80,1,2024-09-07 09:22:51:622,750432,750432,0,0,352196917181,3669179995,744879,5132,421,368,392269,0 80,2,2024-09-07 09:22:51:095,542827,542827,0,0,21435116,0,4433 80,3,2024-09-07 09:22:50:575,1,427,2,0,190,4981,427,0 81,0,2024-09-07 09:22:51:610,105337,0.5,107845,0.7,205735,0.5,279740,2.00 81,1,2024-09-07 09:22:51:655,749463,749463,0,0,352031954068,3678746174,743457,5466,540,382,391879,0 81,2,2024-09-07 09:22:51:127,538405,538342,63,0,23794957,0,5932 81,3,2024-09-07 09:22:51:117,1,427,1,0,719,5118,427,0 82,0,2024-09-07 09:22:51:534,110156,0.5,110359,0.7,221377,0.5,293247,2.00 82,1,2024-09-07 09:22:50:584,751777,751773,0,4,353007756380,3672487411,747076,3878,819,381,391768,4 82,2,2024-09-07 09:22:51:690,540947,540947,0,0,20241416,0,4484 82,3,2024-09-07 09:22:51:752,1,427,1,0,363,4592,427,0 83,0,2024-09-07 09:22:51:527,109879,0.7,109864,0.8,219206,0.7,291348,2.25 83,1,2024-09-07 09:22:50:556,749650,749650,0,0,351033414229,3661821588,744122,5078,450,382,391709,0 83,2,2024-09-07 09:22:50:765,540423,540423,0,0,20960581,0,3393 83,3,2024-09-07 09:22:50:757,1,427,1,0,1260,5509,427,0 84,0,2024-09-07 09:22:51:778,103014,0.6,103056,0.8,205372,0.5,275260,2.25 84,1,2024-09-07 09:22:51:041,749264,749264,0,0,351785613187,3675111157,741563,6658,1043,367,391967,0 84,2,2024-09-07 09:22:50:570,539710,539680,30,0,28211554,0,5971 84,3,2024-09-07 09:22:51:143,1,427,3,0,908,6406,427,0 85,0,2024-09-07 09:22:51:013,100863,0.5,100835,0.7,214098,0.5,276897,2.25 85,1,2024-09-07 09:22:50:567,747351,747351,0,0,350954415911,3702539220,736537,8894,1920,381,392006,0 85,2,2024-09-07 09:22:50:873,539276,539276,0,0,26143961,0,3656 85,3,2024-09-07 09:22:50:705,1,427,11,0,789,5234,427,0 86,0,2024-09-07 09:22:50:942,110000,0.6,113171,0.8,216671,0.7,293522,2.25 86,1,2024-09-07 09:22:50:842,749541,749541,0,0,351675582221,3682936201,740868,7232,1441,366,391961,0 86,2,2024-09-07 09:22:50:859,538314,538313,1,0,28291152,0,5004 86,3,2024-09-07 09:22:50:588,1,427,6,0,308,6069,427,0 87,0,2024-09-07 09:22:51:288,110335,1.0,110168,0.9,220519,1.4,294782,2.50 87,1,2024-09-07 09:22:50:552,748228,748228,0,0,350771221419,3677359481,738277,8357,1594,366,392076,0 87,2,2024-09-07 09:22:51:067,536956,536950,6,0,25011781,0,6323 87,3,2024-09-07 09:22:51:800,1,427,8,0,473,6747,427,0 88,0,2024-09-07 09:22:51:456,104618,0.4,105330,0.6,209582,0.4,279014,1.75 88,1,2024-09-07 09:22:50:582,748183,748183,0,0,351656948223,3683449581,738477,7699,2007,365,392084,0 88,2,2024-09-07 09:22:50:730,540770,540770,0,0,27252729,0,4465 88,3,2024-09-07 09:22:51:269,1,427,1,0,435,4817,427,0 89,0,2024-09-07 09:22:51:896,106697,0.4,103328,0.6,204453,0.4,277777,1.75 89,1,2024-09-07 09:22:50:550,748050,748050,0,0,351047654785,3690132248,738881,7743,1426,382,391866,0 89,2,2024-09-07 09:22:51:132,540131,540131,0,0,25555719,0,3173 89,3,2024-09-07 09:22:51:831,1,427,37,0,468,7512,427,0 90,0,2024-09-07 09:22:51:636,105143,0.5,107981,0.6,220405,0.4,287973,2.00 90,1,2024-09-07 09:22:50:592,749042,749042,0,0,351391422269,3678457088,742269,6261,512,380,391825,0 90,2,2024-09-07 09:22:51:413,539064,539064,0,0,27086686,0,3060 90,3,2024-09-07 09:22:50:939,1,427,12,0,322,5273,427,0 91,0,2024-09-07 09:22:50:978,110224,0.5,106698,0.7,222993,0.5,292868,1.75 91,1,2024-09-07 09:22:50:557,748150,748150,0,0,350923601316,3689361034,738894,7853,1403,381,392047,0 91,2,2024-09-07 09:22:51:341,537887,537887,0,0,23825619,0,2896 91,3,2024-09-07 09:22:50:606,1,427,9,0,216,4252,427,0 92,0,2024-09-07 09:22:51:469,108054,0.5,110775,0.6,211529,0.4,286088,1.75 92,1,2024-09-07 09:22:50:580,749980,749980,0,0,351606158360,3671474833,744447,4808,725,381,392136,0 92,2,2024-09-07 09:22:51:360,542601,542601,0,0,21502774,0,3259 92,3,2024-09-07 09:22:51:011,1,427,3,0,167,3775,427,0 93,0,2024-09-07 09:22:50:970,103326,0.4,105898,0.5,202070,0.3,273558,1.75 93,1,2024-09-07 09:22:50:811,749819,749819,0,0,352584188878,3680770177,741689,6747,1383,366,391776,0 93,2,2024-09-07 09:22:50:930,540661,540661,0,0,26125624,0,4845 93,3,2024-09-07 09:22:51:413,1,427,1,0,190,3817,427,0 94,0,2024-09-07 09:22:51:617,105703,0.4,106658,0.5,212746,0.3,283056,1.75 94,1,2024-09-07 09:22:50:576,750608,750608,0,0,352517672073,3676994873,745818,4580,210,381,391850,0 94,2,2024-09-07 09:22:50:765,539683,539683,0,0,21798804,0,2443 94,3,2024-09-07 09:22:51:688,1,427,0,0,576,5676,427,0 95,0,2024-09-07 09:22:51:376,109822,0.4,109612,0.5,219815,0.3,292729,1.75 95,1,2024-09-07 09:22:50:854,751061,751061,0,0,353195708003,3670921271,745012,5612,437,365,391786,0 95,2,2024-09-07 09:22:51:027,538524,538524,0,0,21546752,0,3308 95,3,2024-09-07 09:22:51:713,1,427,5,0,718,6218,427,0 96,0,2024-09-07 09:22:51:036,109700,0.4,110128,0.5,219520,0.3,291070,1.75 96,1,2024-09-07 09:22:51:592,750066,750066,0,0,351793902009,3671415922,744519,4663,884,384,391955,0 96,2,2024-09-07 09:22:51:270,541847,541847,0,0,22589154,0,4180 96,3,2024-09-07 09:22:51:144,1,427,0,0,411,4788,427,0 97,0,2024-09-07 09:22:51:321,103146,0.3,102698,0.5,206099,0.2,274127,1.50 97,1,2024-09-07 09:22:50:765,751460,751460,0,0,352880778570,3661735589,746311,4217,932,367,392140,0 97,2,2024-09-07 09:22:50:610,541074,541074,0,0,21687354,0,3679 97,3,2024-09-07 09:22:50:577,1,427,2,0,242,5112,427,0 98,0,2024-09-07 09:22:51:713,104615,0.3,104699,0.4,209934,0.2,279491,1.50 98,1,2024-09-07 09:22:50:571,750358,750358,0,0,352401599404,3672189691,745399,4167,792,382,391997,0 98,2,2024-09-07 09:22:50:770,540364,540364,0,0,21883560,0,4336 98,3,2024-09-07 09:22:50:704,1,427,0,0,840,7161,427,0 99,0,2024-09-07 09:22:51:454,111018,0.3,111699,0.5,221853,0.3,296270,1.75 99,1,2024-09-07 09:22:51:727,751023,751023,0,0,351799281586,3661939341,746078,4108,837,381,392069,0 99,2,2024-09-07 09:22:51:416,541104,541104,0,0,25710253,0,4276 99,3,2024-09-07 09:22:50:581,1,427,1,0,606,4703,427,0 100,0,2024-09-07 09:22:51:477,110043,0.9,110368,1.0,219855,1.0,294173,2.50 100,1,2024-09-07 09:22:50:551,746592,746592,0,0,350113019186,3697900426,735862,8683,2047,381,391989,0 100,2,2024-09-07 09:22:51:831,536915,536904,11,0,25156200,0,5417 100,3,2024-09-07 09:22:51:731,1,427,2,0,559,6897,427,0 101,0,2024-09-07 09:22:51:707,105809,0.8,102963,1.0,202333,0.7,277057,2.25 101,1,2024-09-07 09:22:50:561,747134,747134,0,0,350619672272,3688042208,736444,8566,2124,368,391847,0 101,2,2024-09-07 09:22:51:760,537085,537085,0,0,30092407,0,4871 101,3,2024-09-07 09:22:50:942,1,427,1,0,579,5882,427,0 102,0,2024-09-07 09:22:51:007,101549,0.6,104813,0.7,212324,0.6,278417,2.00 102,1,2024-09-07 09:22:51:144,747517,747517,0,0,350889377963,3686991229,737638,8117,1762,369,391891,0 102,2,2024-09-07 09:22:51:740,541131,541077,54,0,24276331,0,6768 102,3,2024-09-07 09:22:51:617,1,427,0,0,466,4712,427,0 103,0,2024-09-07 09:22:51:596,112903,0.6,112888,0.7,212705,0.6,292782,2.00 103,1,2024-09-07 09:22:51:639,746725,746725,0,0,350917139403,3705687874,734728,8931,3066,381,391829,0 103,2,2024-09-07 09:22:50:589,538997,538997,0,0,26877209,0,3766 103,3,2024-09-07 09:22:50:757,1,427,39,0,916,4782,427,0 104,0,2024-09-07 09:22:51:009,109274,0.8,109622,1.0,218184,0.8,292817,2.50 104,1,2024-09-07 09:22:51:604,749028,749028,0,0,351394064539,3690691717,738186,8978,1864,365,392168,0 104,2,2024-09-07 09:22:51:673,535785,535785,0,0,25601911,0,3941 104,3,2024-09-07 09:22:51:423,1,427,6,0,1245,8544,427,0 105,0,2024-09-07 09:22:51:036,104345,0.8,101601,1.0,212949,0.9,279995,2.50 105,1,2024-09-07 09:22:50:555,749838,749838,0,0,352502144610,3692739553,740395,8017,1426,366,392009,0 105,2,2024-09-07 09:22:51:322,539809,539809,0,0,25481823,0,3509 105,3,2024-09-07 09:22:51:320,1,427,31,0,399,6588,427,0 106,0,2024-09-07 09:22:50:967,99475,0.7,101913,0.9,208750,0.7,273113,2.50 106,1,2024-09-07 09:22:51:787,748412,748412,0,0,351395810398,3689289093,737387,9578,1447,369,391914,0 106,2,2024-09-07 09:22:50:758,540091,540091,0,0,24889472,0,2920 106,3,2024-09-07 09:22:50:676,1,427,1,0,470,5481,427,0 107,0,2024-09-07 09:22:51:110,107253,0.9,107516,0.9,214680,1.1,286784,2.25 107,1,2024-09-07 09:22:50:587,747512,747512,0,0,350381165512,3692342857,737346,9113,1053,381,392234,0 107,2,2024-09-07 09:22:51:299,538629,538628,1,0,25918508,0,5024 107,3,2024-09-07 09:22:51:763,1,427,5,0,370,6440,427,0 108,0,2024-09-07 09:22:51:789,109243,0.5,110058,0.6,218853,0.5,291664,1.75 108,1,2024-09-07 09:22:51:299,749970,749970,0,0,352414468216,3675053237,743829,5396,745,368,391857,0 108,2,2024-09-07 09:22:51:755,536429,536429,0,0,24209591,0,4246 108,3,2024-09-07 09:22:51:340,1,427,8,0,749,7981,427,0 109,0,2024-09-07 09:22:51:756,108779,0.4,107961,0.6,215910,0.4,288452,1.75 109,1,2024-09-07 09:22:50:585,747432,747432,0,0,351504079900,3689762731,740034,6213,1185,382,392132,0 109,2,2024-09-07 09:22:50:921,539352,539352,0,0,23796205,0,3617 109,3,2024-09-07 09:22:51:145,1,427,7,0,379,4990,427,0 110,0,2024-09-07 09:22:51:774,102462,0.3,99850,0.5,209154,0.3,273020,1.75 110,1,2024-09-07 09:22:51:653,751373,751373,0,0,352797651044,3661938998,745983,4212,1178,369,392045,0 110,2,2024-09-07 09:22:51:305,541089,541089,0,0,22695989,0,4067 110,3,2024-09-07 09:22:50:922,1,427,4,0,722,6101,427,0 111,0,2024-09-07 09:22:51:428,105673,0.4,104902,0.5,210415,0.3,281082,1.75 111,1,2024-09-07 09:22:51:000,751445,751445,0,0,353700618242,3667975436,747560,3530,355,380,391690,0 111,2,2024-09-07 09:22:51:117,539111,539111,0,0,22427654,0,4823 111,3,2024-09-07 09:22:50:913,1,427,1,0,379,5058,427,0 112,0,2024-09-07 09:22:50:928,111083,0.3,110769,0.4,221700,0.2,294291,1.50 112,1,2024-09-07 09:22:50:837,751500,751500,0,0,351957508484,3653562519,746785,4102,613,380,391624,0 112,2,2024-09-07 09:22:51:133,539405,539404,1,0,21698256,0,5036 112,3,2024-09-07 09:22:50:597,1,427,0,0,282,4236,427,0 113,0,2024-09-07 09:22:50:877,109964,0.3,110044,0.5,220463,0.3,293305,1.75 113,1,2024-09-07 09:22:51:695,753266,753266,0,0,353686641580,3649000302,749376,3301,589,366,391661,0 113,2,2024-09-07 09:22:51:317,542110,542110,0,0,20019317,0,3813 113,3,2024-09-07 09:22:50:684,1,427,1,0,340,4735,427,0 114,0,2024-09-07 09:22:50:884,103955,0.3,104589,0.4,207965,0.2,278089,1.75 114,1,2024-09-07 09:22:50:723,750929,750929,0,0,352394346009,3661802072,744648,4665,1616,381,391565,0 114,2,2024-09-07 09:22:50:873,541612,541611,1,0,21102217,0,5069 114,3,2024-09-07 09:22:51:279,1,427,1,0,395,3659,427,0 115,0,2024-09-07 09:22:50:555,104800,0.2,105368,0.4,210173,0.2,279459,1.50 115,1,2024-09-07 09:22:50:570,751542,751542,0,0,352887225088,3668671865,745051,5224,1267,382,391757,0 115,2,2024-09-07 09:22:51:125,541992,541992,0,0,20927636,0,4382 115,3,2024-09-07 09:22:51:002,1,427,2,0,159,2398,427,0 116,0,2024-09-07 09:22:51:698,109748,0.7,109786,0.8,220125,0.7,294878,2.00 116,1,2024-09-07 09:22:50:811,747512,747512,0,0,351182794500,3699442139,738904,6283,2325,380,392089,0 116,2,2024-09-07 09:22:51:755,539530,539530,0,0,26634823,0,4128 116,3,2024-09-07 09:22:50:912,1,427,6,0,415,5061,427,0 117,0,2024-09-07 09:22:50:960,110813,0.8,110190,0.8,220832,1.0,295109,2.00 117,1,2024-09-07 09:22:51:758,748706,748706,0,0,350643991474,3669398964,740954,6837,915,369,392033,0 117,2,2024-09-07 09:22:51:128,540432,540432,0,0,22734651,0,4303 117,3,2024-09-07 09:22:51:065,1,427,1,0,490,6516,427,0 118,0,2024-09-07 09:22:51:772,101633,0.5,104254,0.7,212833,0.4,277976,2.00 118,1,2024-09-07 09:22:50:585,748858,748858,0,0,350774314063,3691076343,736950,8909,2999,366,391907,0 118,2,2024-09-07 09:22:51:588,539920,539920,0,0,25090322,0,2842 118,3,2024-09-07 09:22:51:775,1,427,1,0,248,5048,427,0 119,0,2024-09-07 09:22:51:340,103522,0.5,103871,0.7,207664,0.5,276170,2.00 119,1,2024-09-07 09:22:50:550,749101,749101,0,0,352037133713,3683515256,740619,7366,1116,367,391780,0 119,2,2024-09-07 09:22:51:262,541666,541666,0,0,23753753,0,4174 119,3,2024-09-07 09:22:51:332,1,427,4,0,1358,8467,427,0 120,0,2024-09-07 09:22:51:599,107581,0.6,107485,0.8,215738,0.6,288223,2.25 120,1,2024-09-07 09:22:50:863,749361,749361,0,0,350729010863,3680973811,740991,7601,769,368,391961,0 120,2,2024-09-07 09:22:50:769,539977,539976,1,0,26928768,0,5281 120,3,2024-09-07 09:22:51:299,1,427,10,0,279,5350,427,0 121,0,2024-09-07 09:22:51:708,109547,1.5,109246,1.1,219287,2.0,292119,2.50 121,1,2024-09-07 09:22:51:679,749456,749456,0,0,351533913088,3674352629,742710,6286,460,367,391840,0 121,2,2024-09-07 09:22:51:130,535878,535878,0,0,25759065,0,4127 121,3,2024-09-07 09:22:50:736,1,427,2,0,269,4755,427,0 122,0,2024-09-07 09:22:51:769,106629,0.8,104037,0.9,218149,0.8,285856,2.00 122,1,2024-09-07 09:22:50:859,748017,748017,0,0,350669507047,3680465170,737577,8837,1603,366,392130,0 122,2,2024-09-07 09:22:51:329,541461,541388,73,0,28792827,0,5989 122,3,2024-09-07 09:22:50:607,1,427,14,0,512,7712,427,0 123,0,2024-09-07 09:22:50:977,102191,0.6,99771,0.7,208766,0.6,272924,2.00 123,1,2024-09-07 09:22:50:560,747992,747992,0,0,351018317551,3698801145,734446,11104,2442,369,392039,0 123,2,2024-09-07 09:22:51:025,539154,539153,1,0,24288178,0,5215 123,3,2024-09-07 09:22:51:133,1,427,2,0,478,5044,427,0 124,0,2024-09-07 09:22:50:938,109375,0.3,109348,0.5,206071,0.3,283669,1.75 124,1,2024-09-07 09:22:51:027,750921,750921,0,0,352388967577,3662596108,745643,4229,1049,367,392178,0 124,2,2024-09-07 09:22:51:013,540910,540857,53,0,22009552,0,6487 124,3,2024-09-07 09:22:50:770,1,427,17,0,490,4135,427,0 125,0,2024-09-07 09:22:51:423,109726,0.4,109526,0.6,220057,0.4,292758,1.75 125,1,2024-09-07 09:22:50:868,749344,749344,0,0,351952677759,3674984606,743434,5239,671,382,391702,0 125,2,2024-09-07 09:22:51:115,539584,539584,0,0,22728760,0,4534 125,3,2024-09-07 09:22:51:141,1,427,1,0,709,5380,427,0 126,0,2024-09-07 09:22:51:432,109601,0.4,112872,0.6,215922,0.4,291756,1.75 126,1,2024-09-07 09:22:50:557,751735,751735,0,0,352820677769,3654285466,747732,3709,294,365,391987,0 126,2,2024-09-07 09:22:50:610,542171,542171,0,0,23501659,0,4539 126,3,2024-09-07 09:22:50:914,1,427,1,0,268,5269,427,0 127,0,2024-09-07 09:22:51:601,103053,0.3,103305,0.5,206363,0.2,274234,1.50 127,1,2024-09-07 09:22:50:591,750992,750992,0,0,351218170621,3653701154,743650,6120,1222,364,392187,0 127,2,2024-09-07 09:22:50:637,539860,539860,0,0,21238160,0,3897 127,3,2024-09-07 09:22:51:267,1,427,1,0,968,4618,427,0 128,0,2024-09-07 09:22:51:530,105137,0.3,105395,0.4,210354,0.2,279856,1.50 128,1,2024-09-07 09:22:51:610,750539,750539,0,0,352952097397,3664153023,745630,4476,433,367,392031,0 128,2,2024-09-07 09:22:51:393,541281,541281,0,0,20675417,0,3171 128,3,2024-09-07 09:22:50:767,1,427,18,0,1082,6743,427,0 129,0,2024-09-07 09:22:51:027,111915,0.3,111393,0.5,223186,0.3,296622,1.75 129,1,2024-09-07 09:22:50:590,748077,748077,0,0,351126354245,3680231347,740407,6000,1670,379,391962,0 129,2,2024-09-07 09:22:50:743,541032,541032,0,0,22056086,0,4031 129,3,2024-09-07 09:22:50:688,1,427,1,0,506,5856,427,0 130,0,2024-09-07 09:22:51:754,111100,0.5,110586,0.6,222513,0.5,295523,1.75 130,1,2024-09-07 09:22:50:595,751127,751127,0,0,352683476923,3665009273,747091,3697,339,381,391825,0 130,2,2024-09-07 09:22:51:125,540427,540427,0,0,22479982,0,4067 130,3,2024-09-07 09:22:51:296,1,427,10,0,960,6726,427,0 131,0,2024-09-07 09:22:51:928,103449,0.3,103936,0.5,208888,0.3,276931,1.75 131,1,2024-09-07 09:22:51:834,750554,750554,0,0,352096648709,3678102008,744591,4915,1048,381,391865,0 131,2,2024-09-07 09:22:50:566,542931,542931,0,0,20717089,0,3979 131,3,2024-09-07 09:22:51:689,1,427,0,0,392,4813,427,0 132,0,2024-09-07 09:22:51:415,104393,0.4,105339,0.6,209558,0.4,279263,1.75 132,1,2024-09-07 09:22:50:596,746903,746903,0,0,350480797453,3691555112,735771,9026,2106,381,392532,0 132,2,2024-09-07 09:22:50:704,540154,540137,17,0,27144027,0,6451 132,3,2024-09-07 09:22:51:697,1,427,7,0,804,7569,427,0 133,0,2024-09-07 09:22:51:522,106535,0.4,109071,0.6,223584,0.4,291075,1.75 133,1,2024-09-07 09:22:50:593,747018,747018,0,0,350746911576,3697747201,736474,9029,1515,383,391914,0 133,2,2024-09-07 09:22:51:093,539585,539535,50,0,28493938,0,6861 133,3,2024-09-07 09:22:51:297,1,427,4,0,479,4513,427,0 134,0,2024-09-07 09:22:50:938,110816,0.6,110438,0.7,220958,0.6,295178,2.25 134,1,2024-09-07 09:22:50:596,748534,748534,0,0,350842541068,3680397549,738739,7760,2035,366,391718,0 134,2,2024-09-07 09:22:51:756,535415,535391,24,0,26101814,0,6207 134,3,2024-09-07 09:22:50:758,1,427,5,0,739,5440,427,0 135,0,2024-09-07 09:22:51:098,102200,0.8,102220,0.8,216967,0.9,279500,2.25 135,1,2024-09-07 09:22:51:596,748501,748501,0,0,351698693239,3699998501,738542,8588,1371,380,391805,0 135,2,2024-09-07 09:22:50:688,541188,541188,0,0,25504434,0,3981 135,3,2024-09-07 09:22:51:002,1,427,195,0,299,3731,427,0 136,0,2024-09-07 09:22:51:631,103445,0.5,103624,0.7,206102,0.4,275319,2.00 136,1,2024-09-07 09:22:51:445,749146,749146,0,0,351715423727,3688411418,740577,7607,962,381,391685,0 136,2,2024-09-07 09:22:51:136,542275,542275,0,0,24280797,0,3506 136,3,2024-09-07 09:22:51:106,1,427,1,0,637,4945,427,0 137,0,2024-09-07 09:22:50:989,110688,0.6,107786,0.7,211567,0.6,287780,2.00 137,1,2024-09-07 09:22:50:633,747679,747679,0,0,351064703004,3683535072,736162,9158,2359,366,391898,0 137,2,2024-09-07 09:22:51:724,539133,539133,0,0,26862715,0,3185 137,3,2024-09-07 09:22:50:784,1,427,12,0,484,5283,427,0 138,0,2024-09-07 09:22:51:815,109037,1.0,109029,1.0,218838,1.3,290481,2.25 138,1,2024-09-07 09:22:51:685,748899,748899,0,0,351663352304,3688050497,738658,8519,1722,368,391954,0 138,2,2024-09-07 09:22:50:632,537009,537009,0,0,24372412,0,4988 138,3,2024-09-07 09:22:50:611,1,427,10,0,1160,5665,427,0 139,0,2024-09-07 09:22:51:391,107277,1.5,107320,1.1,215142,2.3,286966,2.50 139,1,2024-09-07 09:22:50:673,745009,745009,0,0,349739547274,3716177218,730569,11134,3306,380,392058,0 139,2,2024-09-07 09:22:50:920,537423,537423,0,0,28140796,0,3097 139,3,2024-09-07 09:22:51:663,1,427,3,0,432,5010,427,0 140,0,2024-09-07 09:22:51:609,103021,0.3,102491,0.5,205733,0.2,273370,1.75 140,1,2024-09-07 09:22:51:537,752900,752900,0,0,353246134213,3641771296,749336,3123,441,365,391606,0 140,2,2024-09-07 09:22:50:687,541754,541753,1,0,21448769,0,5036 140,3,2024-09-07 09:22:50:768,1,427,1,0,297,3576,427,0 141,0,2024-09-07 09:22:51:697,105498,0.3,108308,0.5,206949,0.2,280878,1.75 141,1,2024-09-07 09:22:50:859,751315,751315,0,0,353083028994,3667285383,745629,4729,957,379,391614,0 141,2,2024-09-07 09:22:51:686,540302,540302,0,0,21192884,0,3360 141,3,2024-09-07 09:22:51:044,1,427,0,0,391,4924,427,0 142,0,2024-09-07 09:22:51:316,111260,0.3,110604,0.5,221199,0.3,294896,1.75 142,1,2024-09-07 09:22:50:596,750431,750431,0,0,352046401964,3669213328,745742,4291,398,382,392102,0 142,2,2024-09-07 09:22:51:298,539174,539142,32,0,23313947,0,6028 142,3,2024-09-07 09:22:51:748,1,427,4,0,484,4817,427,0 143,0,2024-09-07 09:22:51:372,110050,0.5,110279,0.6,220683,0.5,293378,1.75 143,1,2024-09-07 09:22:50:609,751830,751830,0,0,352922707347,3662692800,746787,4499,544,367,391705,0 143,2,2024-09-07 09:22:50:770,540608,540608,0,0,22314984,0,3123 143,3,2024-09-07 09:22:51:145,1,427,0,0,462,5313,427,0 144,0,2024-09-07 09:22:51:520,100429,0.6,103255,0.8,210373,0.5,275887,2.00 144,1,2024-09-07 09:22:50:571,747682,747682,0,0,350041882035,3675316528,739968,5880,1834,381,391649,0 144,2,2024-09-07 09:22:51:760,541323,541323,0,0,21197237,0,3673 144,3,2024-09-07 09:22:51:741,1,427,1,0,249,4366,427,0 145,0,2024-09-07 09:22:51:365,101330,0.5,101321,0.7,214868,0.5,276620,2.25 145,1,2024-09-07 09:22:50:555,747672,747672,0,0,350694691508,3688763560,738040,7970,1662,382,391759,0 145,2,2024-09-07 09:22:51:429,538470,538389,81,0,26234142,0,7814 145,3,2024-09-07 09:22:50:897,1,427,6,0,622,6150,427,0 146,0,2024-09-07 09:22:51:615,110087,0.6,109501,0.8,220721,0.6,293372,2.25 146,1,2024-09-07 09:22:51:597,749132,749132,0,0,350815043558,3683275497,738122,8890,2120,367,391770,0 146,2,2024-09-07 09:22:51:698,538912,538912,0,0,24918832,0,3290 146,3,2024-09-07 09:22:51:275,1,427,2,0,1520,8042,427,0 147,0,2024-09-07 09:22:51:706,110628,0.7,110595,0.8,220371,0.7,294645,2.25 147,1,2024-09-07 09:22:51:372,751779,751779,0,0,352372621677,3664885616,745141,5793,845,368,391791,0 147,2,2024-09-07 09:22:51:011,537877,537877,0,0,22336662,0,2968 147,3,2024-09-07 09:22:50:913,1,427,1,0,1626,7397,427,0 0,0,2024-09-07 09:23:01:744,105639,0.6,105667,0.7,224120,0.7,290089,2.00 0,1,2024-09-07 09:23:00:824,750881,750881,0,0,351992052603,3683736915,745430,5063,388,369,391896,0 0,2,2024-09-07 09:23:01:067,543279,543279,0,0,21822403,0,4480 0,3,2024-09-07 09:23:00:984,1,428,13,0,431,6360,428,0 1,0,2024-09-07 09:23:01:766,109933,1.2,109166,1.1,219048,1.7,292915,2.25 1,1,2024-09-07 09:23:00:562,750405,750405,0,0,351582735465,3679870913,743976,5103,1326,370,391859,0 1,2,2024-09-07 09:23:00:639,537228,537228,0,0,22095837,0,3380 1,3,2024-09-07 09:23:01:304,1,428,1,0,268,5231,428,0 2,0,2024-09-07 09:23:01:569,106923,0.6,107137,0.8,213468,0.7,284496,2.00 2,1,2024-09-07 09:23:00:878,752989,752989,0,0,352963405386,3663490066,749702,2992,295,380,391745,0 2,2,2024-09-07 09:23:01:273,543360,543360,0,0,20730740,0,3594 2,3,2024-09-07 09:23:00:692,1,428,1,0,357,4167,428,0 3,0,2024-09-07 09:23:01:742,102823,0.4,102582,0.6,205047,0.3,272957,2.00 3,1,2024-09-07 09:23:01:639,751526,751526,0,0,352117748697,3667135455,745305,5531,690,380,391716,0 3,2,2024-09-07 09:23:01:142,542816,542793,23,0,21908058,0,5851 3,3,2024-09-07 09:23:01:752,1,428,1,0,207,2836,428,0 4,0,2024-09-07 09:23:01:765,103374,0.4,106253,0.5,216728,0.3,284141,1.75 4,1,2024-09-07 09:23:00:601,748993,748993,0,0,351020204645,3709937661,737178,9308,2507,370,391992,0 4,2,2024-09-07 09:23:01:030,539430,539430,0,0,25056255,0,4528 4,3,2024-09-07 09:23:01:034,1,428,28,0,448,5578,428,0 5,0,2024-09-07 09:23:01:453,109744,0.4,110357,0.6,220128,0.4,292287,1.75 5,1,2024-09-07 09:23:00:772,750028,750028,0,0,351785821356,3703377594,739599,8271,2158,367,392005,0 5,2,2024-09-07 09:23:01:844,536718,536718,0,0,24902213,0,3582 5,3,2024-09-07 09:23:01:744,1,428,8,0,457,6002,428,0 6,0,2024-09-07 09:23:00:917,109869,0.5,109444,0.6,218816,0.4,291506,2.00 6,1,2024-09-07 09:23:00:746,750910,750910,0,0,352833599078,3687043998,742700,6817,1393,379,391694,0 6,2,2024-09-07 09:23:01:116,541777,541759,18,0,24860137,0,5535 6,3,2024-09-07 09:23:01:274,1,428,1,0,710,5449,428,0 7,0,2024-09-07 09:23:01:531,102523,0.5,103243,0.6,205595,0.4,273543,1.75 7,1,2024-09-07 09:23:00:857,750332,750332,0,0,352281743942,3695315399,740561,8307,1464,382,391747,0 7,2,2024-09-07 09:23:00:771,542746,542746,0,0,24130353,0,4791 7,3,2024-09-07 09:23:00:858,1,428,1,0,552,4962,428,0 8,0,2024-09-07 09:23:01:343,105382,0.4,105108,0.5,210680,0.3,281028,1.75 8,1,2024-09-07 09:23:01:027,749014,749014,0,0,352383326879,3704717266,737485,8898,2631,366,392853,0 8,2,2024-09-07 09:23:00:819,536868,536868,0,0,28259565,0,3250 8,3,2024-09-07 09:23:00:585,1,428,1,0,538,7058,428,0 9,0,2024-09-07 09:23:01:202,111396,0.4,108118,0.5,226290,0.3,296395,1.75 9,1,2024-09-07 09:23:00:568,748752,748752,0,0,351682352406,3704165565,737690,8641,2421,369,392001,0 9,2,2024-09-07 09:23:01:095,540152,540152,0,0,25173327,0,3360 9,3,2024-09-07 09:23:01:761,1,428,9,0,496,6093,428,0 10,0,2024-09-07 09:23:01:601,110592,0.4,110038,0.5,221026,0.3,294379,1.75 10,1,2024-09-07 09:23:00:583,750461,750461,0,0,351986215551,3689744049,740077,8761,1623,381,391741,0 10,2,2024-09-07 09:23:00:770,540229,540229,0,0,27579247,0,4264 10,3,2024-09-07 09:23:00:877,1,428,1,0,649,4580,428,0 11,0,2024-09-07 09:23:01:031,103702,0.4,100591,0.6,210428,0.4,277541,1.75 11,1,2024-09-07 09:23:00:571,750793,750793,0,0,352652643498,3699597397,739465,8702,2626,383,391756,0 11,2,2024-09-07 09:23:01:124,541263,541263,0,0,24346611,0,4130 11,3,2024-09-07 09:23:01:298,1,428,1,0,843,6215,428,0 12,0,2024-09-07 09:23:01:024,105449,0.4,105522,0.5,211182,0.3,280538,1.75 12,1,2024-09-07 09:23:00:976,750889,750889,0,0,351759697981,3666535934,745331,5056,502,370,391870,0 12,2,2024-09-07 09:23:01:545,541921,541921,0,0,23447783,0,3469 12,3,2024-09-07 09:23:01:064,1,428,1,0,386,6351,428,0 13,0,2024-09-07 09:23:01:450,110476,0.4,110071,0.6,219836,0.4,292846,1.75 13,1,2024-09-07 09:23:01:536,749625,749625,0,0,351375835509,3693812578,742008,5985,1632,382,391740,0 13,2,2024-09-07 09:23:00:595,543508,543508,0,0,21779865,0,3287 13,3,2024-09-07 09:23:01:769,1,428,8,0,522,6275,428,0 14,0,2024-09-07 09:23:00:570,110531,0.5,111559,0.6,220709,0.4,294806,2.00 14,1,2024-09-07 09:23:01:566,754853,754853,0,0,354301613380,3669450451,748633,5511,709,364,391673,0 14,2,2024-09-07 09:23:00:764,538680,538650,30,0,23733654,0,6104 14,3,2024-09-07 09:23:01:115,1,428,1,0,1168,4731,428,0 15,0,2024-09-07 09:23:01:554,105475,0.4,105357,0.6,211010,0.4,280770,2.00 15,1,2024-09-07 09:23:01:612,752048,752048,0,0,352371615280,3670297447,745823,5046,1179,381,391619,0 15,2,2024-09-07 09:23:00:998,544279,544279,0,0,19481911,0,3622 15,3,2024-09-07 09:23:01:413,1,428,1,0,1126,6956,428,0 16,0,2024-09-07 09:23:00:966,103513,0.5,103886,0.7,207158,0.5,275829,2.00 16,1,2024-09-07 09:23:00:565,751788,751788,0,0,352818276181,3688905391,745189,5486,1113,370,391917,0 16,2,2024-09-07 09:23:01:444,542503,542503,0,0,23443811,0,4719 16,3,2024-09-07 09:23:01:146,1,428,2,0,317,5396,428,0 17,0,2024-09-07 09:23:01:762,111234,0.6,108536,0.8,212208,0.6,288747,2.00 17,1,2024-09-07 09:23:00:576,750164,750164,0,0,351850698143,3691607579,742519,6132,1513,368,392075,0 17,2,2024-09-07 09:23:01:678,543718,543718,0,0,23232375,0,3779 17,3,2024-09-07 09:23:00:574,1,428,1,0,518,6824,428,0 18,0,2024-09-07 09:23:00:951,108477,0.7,109034,0.9,217232,0.8,290150,2.25 18,1,2024-09-07 09:23:01:645,753251,753251,0,0,353384211272,3662109074,749251,3621,379,367,391725,0 18,2,2024-09-07 09:23:01:755,540751,540751,0,0,20563299,0,3541 18,3,2024-09-07 09:23:00:914,1,428,16,0,163,3287,428,0 19,0,2024-09-07 09:23:01:555,107641,0.6,108228,0.8,214684,0.6,285632,2.25 19,1,2024-09-07 09:23:00:566,753647,753647,0,0,353745205861,3669075082,747699,5027,921,367,391777,0 19,2,2024-09-07 09:23:01:752,545136,545136,0,0,19538551,0,3988 19,3,2024-09-07 09:23:01:134,1,428,2,0,524,3455,428,0 20,0,2024-09-07 09:23:01:421,102666,0.4,102536,0.6,205109,0.4,273005,2.00 20,1,2024-09-07 09:23:00:568,750845,750845,0,0,352763141378,3689659834,744134,5910,801,369,391922,0 20,2,2024-09-07 09:23:00:937,541721,541721,0,0,23502949,0,3721 20,3,2024-09-07 09:23:00:588,1,428,1,0,414,6250,428,0 21,0,2024-09-07 09:23:01:163,105588,0.5,105850,0.6,211114,0.4,280513,2.00 21,1,2024-09-07 09:23:01:537,748820,748820,0,0,351718094456,3708196919,737861,8480,2479,368,392016,0 21,2,2024-09-07 09:23:01:082,538225,538205,20,0,28556207,0,5617 21,3,2024-09-07 09:23:01:429,1,428,8,0,713,5495,428,0 22,0,2024-09-07 09:23:01:724,110472,0.5,110808,0.7,221464,0.5,293257,2.00 22,1,2024-09-07 09:23:01:028,750251,750251,0,0,352123185383,3700408479,739097,8927,2227,382,391667,0 22,2,2024-09-07 09:23:00:771,539376,539350,26,0,22736019,0,6328 22,3,2024-09-07 09:23:01:070,1,428,1,0,228,3510,428,0 23,0,2024-09-07 09:23:01:369,109885,0.5,109118,0.7,218929,0.5,291881,2.25 23,1,2024-09-07 09:23:01:036,751187,751187,0,0,352892306747,3705865501,738078,9055,4054,365,391690,0 23,2,2024-09-07 09:23:01:093,543301,543301,0,0,22276271,0,3773 23,3,2024-09-07 09:23:01:753,1,428,1,0,720,5571,428,0 24,0,2024-09-07 09:23:00:848,104544,0.4,104060,0.5,209168,0.3,277957,1.75 24,1,2024-09-07 09:23:00:596,750287,750287,0,0,351726440976,3686953399,742284,6410,1593,367,392269,0 24,2,2024-09-07 09:23:01:073,541074,541074,0,0,26623135,0,3607 24,3,2024-09-07 09:23:01:686,1,428,2,0,468,5820,428,0 25,0,2024-09-07 09:23:01:367,107808,0.4,105000,0.6,205929,0.3,280613,1.75 25,1,2024-09-07 09:23:00:569,749611,749611,0,0,352084942887,3710312318,737596,9767,2248,371,391928,0 25,2,2024-09-07 09:23:01:608,539664,539664,0,0,27235049,0,3978 25,3,2024-09-07 09:23:01:007,1,428,24,0,532,4847,428,0 26,0,2024-09-07 09:23:01:725,110664,0.4,108051,0.6,226662,0.4,295808,2.00 26,1,2024-09-07 09:23:01:541,751784,751784,0,0,352577034016,3691105255,741154,9046,1584,380,391748,0 26,2,2024-09-07 09:23:00:861,541800,541800,0,0,27387086,0,2809 26,3,2024-09-07 09:23:01:715,1,428,0,0,796,5159,428,0 27,0,2024-09-07 09:23:01:723,111046,0.5,111124,0.6,221161,0.4,295380,2.25 27,1,2024-09-07 09:23:01:686,752869,752869,0,0,353446536219,3681818836,745602,6366,901,381,391626,0 27,2,2024-09-07 09:23:00:873,536949,536884,65,0,24945847,0,5699 27,3,2024-09-07 09:23:01:025,1,428,1,0,564,4114,428,0 28,0,2024-09-07 09:23:01:411,105054,0.4,104604,0.6,209967,0.3,279594,1.75 28,1,2024-09-07 09:23:00:803,752113,752113,0,0,353170013910,3686112684,744925,5489,1699,383,391698,0 28,2,2024-09-07 09:23:01:764,542194,542194,0,0,22680098,0,2915 28,3,2024-09-07 09:23:01:775,1,428,1,0,502,4685,428,0 29,0,2024-09-07 09:23:01:369,106949,0.3,104137,0.5,204334,0.3,278237,1.75 29,1,2024-09-07 09:23:01:565,754907,754907,0,0,354274381291,3665694971,750041,4131,735,368,391809,0 29,2,2024-09-07 09:23:00:861,542311,542311,0,0,21500398,0,4986 29,3,2024-09-07 09:23:00:964,1,428,81,0,459,5021,428,0 30,0,2024-09-07 09:23:01:456,108454,0.5,105399,0.7,220714,0.4,289126,2.00 30,1,2024-09-07 09:23:00:590,753322,753322,0,0,353501262422,3671497035,747253,5303,766,381,391672,0 30,2,2024-09-07 09:23:01:275,542528,542528,0,0,21051926,0,4192 30,3,2024-09-07 09:23:00:581,1,428,0,0,519,4182,428,0 31,0,2024-09-07 09:23:01:758,109480,0.5,110007,0.7,219518,0.5,292912,2.00 31,1,2024-09-07 09:23:00:565,756894,756894,0,0,355740807547,3645864070,753878,2430,586,356,391712,0 31,2,2024-09-07 09:23:01:275,537740,537740,0,0,22604355,0,3525 31,3,2024-09-07 09:23:01:709,1,428,2,0,220,3762,428,0 32,0,2024-09-07 09:23:01:422,107295,0.3,108042,0.5,215003,0.3,286165,1.75 32,1,2024-09-07 09:23:00:807,753080,753080,0,0,352939284998,3671825838,747964,4435,681,381,391646,0 32,2,2024-09-07 09:23:00:947,544084,544084,0,0,20468142,0,3922 32,3,2024-09-07 09:23:01:017,1,428,0,0,304,3390,428,0 33,0,2024-09-07 09:23:01:499,102903,0.3,102465,0.4,205613,0.2,273441,1.50 33,1,2024-09-07 09:23:00:575,754024,754024,0,0,353654590067,3667899749,747511,5408,1105,368,391730,0 33,2,2024-09-07 09:23:00:765,543146,543111,35,0,22870499,0,7012 33,3,2024-09-07 09:23:00:904,1,428,1,0,329,4142,428,0 34,0,2024-09-07 09:23:00:936,106838,0.3,109827,0.4,210017,0.2,283437,1.75 34,1,2024-09-07 09:23:01:047,755104,755104,0,0,355320090204,3653368182,753362,1730,12,367,391562,0 34,2,2024-09-07 09:23:00:779,542201,542201,0,0,21175870,0,4562 34,3,2024-09-07 09:23:01:689,1,428,1,0,299,3239,428,0 35,0,2024-09-07 09:23:00:868,109179,0.4,109744,0.5,220487,0.3,292943,1.75 35,1,2024-09-07 09:23:01:076,753324,753324,0,0,353132272855,3660490866,748783,3683,858,382,391769,0 35,2,2024-09-07 09:23:01:585,539703,539703,0,0,22806217,0,4055 35,3,2024-09-07 09:23:00:906,1,428,1,0,418,4509,428,0 36,0,2024-09-07 09:23:01:527,109914,0.5,109799,0.7,219697,0.4,291814,2.00 36,1,2024-09-07 09:23:00:583,752165,752165,0,0,352833191885,3684938789,742517,7648,2000,366,391759,0 36,2,2024-09-07 09:23:01:756,543083,543083,0,0,24136629,0,3875 36,3,2024-09-07 09:23:00:872,1,428,1,0,416,6079,428,0 37,0,2024-09-07 09:23:01:388,102616,0.4,102890,0.6,205444,0.4,273816,2.00 37,1,2024-09-07 09:23:00:569,751861,751854,0,7,352701620897,3683412534,743035,6543,2276,365,391770,0 37,2,2024-09-07 09:23:01:144,540098,540083,15,0,23959745,0,5815 37,3,2024-09-07 09:23:01:766,1,428,2,0,888,6364,428,0 38,0,2024-09-07 09:23:01:446,104638,0.4,101608,0.6,212504,0.4,278682,2.00 38,1,2024-09-07 09:23:01:607,753085,753085,0,0,353345830240,3693714501,742340,8584,2161,368,391821,0 38,2,2024-09-07 09:23:00:762,540760,540713,47,0,23738899,0,6710 38,3,2024-09-07 09:23:00:998,1,428,1,0,689,5464,428,0 39,0,2024-09-07 09:23:01:775,113654,0.6,111186,0.7,216809,0.6,295381,2.00 39,1,2024-09-07 09:23:00:716,750851,750851,0,0,353134944259,3702265042,738410,9750,2691,365,391658,0 39,2,2024-09-07 09:23:01:419,541455,541455,0,0,22627873,0,3391 39,3,2024-09-07 09:23:00:713,1,428,0,0,324,4873,428,0 40,0,2024-09-07 09:23:01:499,109434,0.8,110020,1.0,219478,0.9,292969,2.75 40,1,2024-09-07 09:23:00:576,751553,751553,0,0,351981304044,3686664573,740734,8775,2044,368,391668,0 40,2,2024-09-07 09:23:01:304,539700,539699,1,0,26144698,0,5137 40,3,2024-09-07 09:23:01:147,1,428,7,0,1028,5817,428,0 41,0,2024-09-07 09:23:01:028,103336,1.3,105594,1.2,201394,2.1,274170,3.00 41,1,2024-09-07 09:23:00:779,750517,750517,0,0,352192633885,3683851345,741334,8143,1040,369,391742,0 41,2,2024-09-07 09:23:00:769,540089,540089,0,0,24936482,0,4277 41,3,2024-09-07 09:23:01:684,1,428,1,0,366,4443,428,0 42,0,2024-09-07 09:23:01:477,104122,0.8,104220,0.9,208489,0.8,276355,2.50 42,1,2024-09-07 09:23:01:439,748677,748677,0,0,351551608283,3694288476,736712,9934,2031,380,391675,0 42,2,2024-09-07 09:23:01:133,540912,540912,0,0,25277897,0,3790 42,3,2024-09-07 09:23:01:009,1,428,1,0,892,4263,428,0 43,0,2024-09-07 09:23:00:944,108638,0.7,105631,0.9,221289,0.8,290205,2.25 43,1,2024-09-07 09:23:00:576,752026,752026,0,0,353191243375,3690439006,741646,8641,1739,366,391696,0 43,2,2024-09-07 09:23:01:738,542236,542236,0,0,24886074,0,3812 43,3,2024-09-07 09:23:01:757,1,428,6,0,548,5697,428,0 44,0,2024-09-07 09:23:00:858,110641,0.5,110838,0.7,222093,0.4,295379,2.00 44,1,2024-09-07 09:23:00:563,753618,753618,0,0,353054881944,3650122519,747124,5176,1318,356,391809,0 44,2,2024-09-07 09:23:01:268,537502,537502,0,0,20792048,0,4344 44,3,2024-09-07 09:23:01:106,1,428,3,0,817,5040,428,0 45,0,2024-09-07 09:23:01:767,104374,0.5,101894,0.7,213695,0.5,281269,2.00 45,1,2024-09-07 09:23:01:024,752595,752595,0,0,353301001439,3671402668,746937,5085,573,382,391917,0 45,2,2024-09-07 09:23:01:269,543531,543531,0,0,21136999,0,3596 45,3,2024-09-07 09:23:00:938,1,428,2,0,271,3685,428,0 46,0,2024-09-07 09:23:00:967,102990,0.5,102819,0.7,206017,0.4,273601,2.00 46,1,2024-09-07 09:23:00:575,754159,754159,0,0,353917023222,3655750524,749490,4078,591,366,391709,0 46,2,2024-09-07 09:23:00:592,543214,543214,0,0,21293539,0,4443 46,3,2024-09-07 09:23:01:132,1,428,1,0,908,5413,428,0 47,0,2024-09-07 09:23:01:107,108214,0.4,108467,0.6,217240,0.4,287973,1.75 47,1,2024-09-07 09:23:00:570,755064,755064,0,0,353647840145,3655094699,750207,4019,838,366,391641,0 47,2,2024-09-07 09:23:00:907,544288,544288,0,0,20892912,0,4477 47,3,2024-09-07 09:23:01:116,1,428,1,0,600,5243,428,0 48,0,2024-09-07 09:23:01:537,110125,0.3,109988,0.5,219148,0.2,292227,1.75 48,1,2024-09-07 09:23:01:026,752840,752840,0,0,353623436605,3672943235,748129,4255,456,384,391710,0 48,2,2024-09-07 09:23:00:705,538461,538461,0,0,19571292,0,3411 48,3,2024-09-07 09:23:00:757,1,428,1,0,339,3456,428,0 49,0,2024-09-07 09:23:01:739,111050,0.4,109182,0.5,211765,0.3,289599,1.75 49,1,2024-09-07 09:23:01:026,752693,752693,0,0,352618569440,3668981744,747538,3949,1206,382,391809,0 49,2,2024-09-07 09:23:01:817,543538,543538,0,0,21628411,0,4426 49,3,2024-09-07 09:23:01:421,1,428,1,0,992,5143,428,0 50,0,2024-09-07 09:23:01:511,103224,0.3,101558,0.4,205163,0.2,272685,1.75 50,1,2024-09-07 09:23:01:010,755239,755239,0,0,354048361571,3660799438,750309,4356,574,368,391565,0 50,2,2024-09-07 09:23:01:075,542429,542429,0,0,19877054,0,4490 50,3,2024-09-07 09:23:01:297,1,428,1,0,567,4343,428,0 51,0,2024-09-07 09:23:01:685,108559,0.3,106126,0.5,206893,0.2,282112,1.75 51,1,2024-09-07 09:23:01:686,755151,755151,0,0,354842923683,3659443676,751198,2904,1049,365,391706,0 51,2,2024-09-07 09:23:01:317,541663,541663,0,0,18861116,0,3337 51,3,2024-09-07 09:23:01:029,1,428,1,0,678,3499,428,0 52,0,2024-09-07 09:23:01:412,110860,0.5,110803,0.7,221787,0.5,294126,2.00 52,1,2024-09-07 09:23:00:578,751812,751812,0,0,352586854107,3688604968,741472,8987,1353,368,391722,0 52,2,2024-09-07 09:23:01:756,537251,537213,38,0,25263401,0,6742 52,3,2024-09-07 09:23:00:674,1,428,2,0,1782,5837,428,0 53,0,2024-09-07 09:23:01:733,109406,0.7,106231,0.8,222168,0.7,291689,2.25 53,1,2024-09-07 09:23:00:772,750303,750303,0,0,352431270728,3696525193,738383,8960,2960,367,391702,0 53,2,2024-09-07 09:23:01:305,542114,542113,1,0,22847197,0,5455 53,3,2024-09-07 09:23:00:705,1,428,0,0,308,3808,428,0 54,0,2024-09-07 09:23:01:618,102538,0.6,102774,0.7,204638,0.4,273919,2.25 54,1,2024-09-07 09:23:00:580,752430,752430,0,0,353290859763,3672581814,744941,6248,1241,366,391659,0 54,2,2024-09-07 09:23:00:873,541952,541920,32,0,26231695,0,6397 54,3,2024-09-07 09:23:00:763,1,428,1,0,676,6237,428,0 55,0,2024-09-07 09:23:01:769,101436,0.5,104792,0.7,211978,0.4,276387,2.25 55,1,2024-09-07 09:23:00:780,752942,752942,0,0,353310179943,3671043445,745310,6539,1093,365,391731,0 55,2,2024-09-07 09:23:00:732,540601,540545,56,0,25160057,0,7239 55,3,2024-09-07 09:23:00:674,1,428,1,0,304,4342,428,0 56,0,2024-09-07 09:23:01:565,113024,1.3,106613,1.2,219705,1.9,294970,2.75 56,1,2024-09-07 09:23:00:583,748333,748333,0,0,352121413899,3718040018,736826,9134,2373,381,391867,0 56,2,2024-09-07 09:23:01:305,541710,541710,0,0,24699650,0,3567 56,3,2024-09-07 09:23:01:061,1,428,1,0,705,5240,428,0 57,0,2024-09-07 09:23:00:976,109217,1.7,108987,1.3,218632,2.4,293195,3.25 57,1,2024-09-07 09:23:01:004,751020,751020,0,0,351743738932,3685803475,742413,7521,1086,366,392032,0 57,2,2024-09-07 09:23:01:340,539265,539265,0,0,26565954,0,3317 57,3,2024-09-07 09:23:01:738,1,428,6,0,455,4981,428,0 58,0,2024-09-07 09:23:00:560,103341,0.8,100333,1.0,209920,0.9,275099,2.50 58,1,2024-09-07 09:23:00:579,751318,751315,0,3,353419592152,3693866153,741363,8663,1289,367,391603,3 58,2,2024-09-07 09:23:01:076,541420,541420,0,0,24711967,0,2902 58,3,2024-09-07 09:23:01:074,1,428,1,0,1043,4592,428,0 59,0,2024-09-07 09:23:01:765,103815,0.7,103452,0.9,206646,0.7,274598,2.50 59,1,2024-09-07 09:23:00:812,751182,751182,0,0,353040704049,3697538030,740962,8411,1809,369,391653,0 59,2,2024-09-07 09:23:00:584,541574,541574,0,0,24631284,0,3727 59,3,2024-09-07 09:23:01:738,1,428,1,0,1015,5685,428,0 60,0,2024-09-07 09:23:01:718,108659,0.5,108688,0.7,218114,0.5,289956,1.75 60,1,2024-09-07 09:23:00:784,753341,753341,0,0,353768108882,3671665509,748198,4423,720,370,392031,0 60,2,2024-09-07 09:23:01:147,542531,542531,0,0,22924058,0,3811 60,3,2024-09-07 09:23:01:261,1,428,1,0,409,4987,428,0 61,0,2024-09-07 09:23:01:499,109658,0.8,110197,0.8,219404,0.8,292640,2.00 61,1,2024-09-07 09:23:00:786,751665,751665,0,0,352019726388,3688102390,743356,6878,1431,382,392127,0 61,2,2024-09-07 09:23:01:118,537646,537579,67,0,23215709,0,6411 61,3,2024-09-07 09:23:01:693,1,428,0,0,479,5749,428,0 62,0,2024-09-07 09:23:01:718,107801,0.5,110455,0.7,210819,0.5,285933,2.00 62,1,2024-09-07 09:23:01:111,756087,756081,0,6,354859092377,3658993581,752265,3555,261,365,391975,6 62,2,2024-09-07 09:23:01:649,541451,541450,1,0,23422173,0,5555 62,3,2024-09-07 09:23:01:146,1,428,0,0,482,3733,428,0 63,0,2024-09-07 09:23:01:452,102951,0.4,102884,0.5,206173,0.3,273743,1.75 63,1,2024-09-07 09:23:00:825,753666,753660,0,6,353461190369,3670022635,748687,4240,733,381,391800,6 63,2,2024-09-07 09:23:00:774,542653,542653,0,0,21373705,0,4369 63,3,2024-09-07 09:23:01:732,1,428,1,0,667,4414,428,0 64,0,2024-09-07 09:23:01:512,106283,0.5,106311,0.7,212112,0.5,282686,1.75 64,1,2024-09-07 09:23:00:764,752497,752497,0,0,353150495985,3679818028,745818,4976,1703,370,391783,0 64,2,2024-09-07 09:23:01:149,544973,544954,19,0,21254470,0,6121 64,3,2024-09-07 09:23:01:143,1,428,0,0,265,4187,428,0 65,0,2024-09-07 09:23:01:676,108953,0.7,109205,0.8,218103,0.8,290506,2.25 65,1,2024-09-07 09:23:00:883,750821,750821,0,0,351506061995,3674014635,745710,4571,540,381,391901,0 65,2,2024-09-07 09:23:01:715,539494,539494,0,0,24799812,0,3367 65,3,2024-09-07 09:23:01:683,1,428,0,0,782,5066,428,0 66,0,2024-09-07 09:23:01:768,109373,0.5,109191,0.8,218199,0.5,290175,2.25 66,1,2024-09-07 09:23:01:298,753042,753042,0,0,353321478994,3681265581,747544,4973,525,380,391743,0 66,2,2024-09-07 09:23:01:133,544327,544327,0,0,21701862,0,4956 66,3,2024-09-07 09:23:01:085,1,428,1,0,291,3720,428,0 67,0,2024-09-07 09:23:01:421,103293,0.4,103039,0.6,206564,0.4,274721,2.00 67,1,2024-09-07 09:23:00:768,752868,752867,0,1,353397943089,3681221307,747515,4560,792,380,391787,1 67,2,2024-09-07 09:23:00:583,544271,544271,0,0,20856431,0,3622 67,3,2024-09-07 09:23:01:757,1,428,4,0,392,4236,428,0 68,0,2024-09-07 09:23:00:608,105360,0.5,105323,0.7,209696,0.5,280748,2.00 68,1,2024-09-07 09:23:00:590,750046,750046,0,0,352207391290,3704316800,739992,7108,2946,381,391953,0 68,2,2024-09-07 09:23:01:058,538698,538598,100,0,27835897,0,8578 68,3,2024-09-07 09:23:00:731,1,428,3,0,417,4945,428,0 69,0,2024-09-07 09:23:01:750,110490,0.8,111309,0.8,221596,0.9,293909,2.25 69,1,2024-09-07 09:23:01:018,749641,749641,0,0,351255080704,3701976139,739869,7502,2270,384,391994,0 69,2,2024-09-07 09:23:01:741,540363,540334,29,0,29333724,0,6912 69,3,2024-09-07 09:23:00:760,1,428,1,0,698,6611,428,0 70,0,2024-09-07 09:23:01:538,109423,1.1,109654,1.1,220593,1.0,292363,2.50 70,1,2024-09-07 09:23:00:801,753281,753281,0,0,353736104923,3671079380,747301,5319,661,366,391725,0 70,2,2024-09-07 09:23:01:340,540506,540506,0,0,24537709,0,4323 70,3,2024-09-07 09:23:00:750,1,428,0,0,854,4985,428,0 71,0,2024-09-07 09:23:01:356,102851,0.8,102639,1.0,206132,0.9,275510,2.50 71,1,2024-09-07 09:23:01:600,752003,752003,0,0,352645774877,3678528949,743565,7635,803,368,391738,0 71,2,2024-09-07 09:23:01:075,541464,541464,0,0,24578009,0,4352 71,3,2024-09-07 09:23:01:750,1,428,1,0,644,5570,428,0 72,0,2024-09-07 09:23:01:031,108200,0.5,105860,0.7,206323,0.5,280955,2.00 72,1,2024-09-07 09:23:01:032,750615,750615,0,0,352073035041,3693822965,739749,8856,2010,369,391819,0 72,2,2024-09-07 09:23:01:757,540630,540630,0,0,26719364,0,3983 72,3,2024-09-07 09:23:01:756,1,428,1,0,564,6406,428,0 73,0,2024-09-07 09:23:01:101,106852,0.4,109511,0.6,223885,0.4,291244,2.00 73,1,2024-09-07 09:23:00:770,752321,752321,0,0,352719137741,3663769436,747071,4841,409,367,391858,0 73,2,2024-09-07 09:23:01:742,541527,541527,0,0,26268357,0,3701 73,3,2024-09-07 09:23:00:974,1,428,1,0,486,5724,428,0 74,0,2024-09-07 09:23:01:333,111533,0.5,114035,0.7,217362,0.5,295723,2.25 74,1,2024-09-07 09:23:00:640,751101,751101,0,0,352301664802,3678259074,743135,6371,1595,381,391762,0 74,2,2024-09-07 09:23:01:025,537735,537735,0,0,24052840,0,4253 74,3,2024-09-07 09:23:01:445,1,428,1,0,522,5556,428,0 75,0,2024-09-07 09:23:01:768,106161,0.5,105488,0.7,211523,0.5,282426,2.25 75,1,2024-09-07 09:23:01:585,752161,752161,0,0,352949011729,3680791747,745336,5997,828,380,391739,0 75,2,2024-09-07 09:23:01:355,541189,541189,0,0,26573973,0,4766 75,3,2024-09-07 09:23:01:075,1,428,388,0,702,5713,428,0 76,0,2024-09-07 09:23:00:590,103025,0.5,102262,0.7,205243,0.5,274419,2.25 76,1,2024-09-07 09:23:00:813,751945,751945,0,0,352549800741,3678050682,746517,4603,825,382,391692,0 76,2,2024-09-07 09:23:01:064,544865,544864,1,0,23393829,0,5144 76,3,2024-09-07 09:23:01:146,1,428,4,0,175,3900,428,0 77,0,2024-09-07 09:23:01:695,107567,0.5,107982,0.7,215784,0.5,286855,2.00 77,1,2024-09-07 09:23:00:825,752464,752464,0,0,352855186781,3682113710,746646,5313,505,381,391869,0 77,2,2024-09-07 09:23:01:281,541759,541759,0,0,22867201,0,3890 77,3,2024-09-07 09:23:01:094,1,428,1,0,401,4776,428,0 78,0,2024-09-07 09:23:01:722,109914,0.5,109312,0.7,219603,0.4,290816,2.00 78,1,2024-09-07 09:23:00:614,752511,752511,0,0,352423551729,3671452460,744646,6298,1567,367,391670,0 78,2,2024-09-07 09:23:01:406,539054,539041,13,0,21503327,0,8313 78,3,2024-09-07 09:23:01:133,1,428,1,0,181,3716,428,0 79,0,2024-09-07 09:23:01:352,104512,0.4,106960,0.6,219152,0.4,284609,2.25 79,1,2024-09-07 09:23:00:571,754248,754248,0,0,352747632309,3656949345,747676,5263,1309,367,391682,0 79,2,2024-09-07 09:23:01:068,544057,544057,0,0,21170100,0,4195 79,3,2024-09-07 09:23:00:763,1,428,1,0,418,5400,428,0 80,0,2024-09-07 09:23:01:093,102665,0.5,105547,0.6,202061,0.4,272477,2.00 80,1,2024-09-07 09:23:01:651,752238,752238,0,0,353269959115,3680088915,746682,5134,422,368,392269,0 80,2,2024-09-07 09:23:01:091,544434,544434,0,0,21448712,0,4433 80,3,2024-09-07 09:23:00:575,1,428,5,0,190,4986,428,0 81,0,2024-09-07 09:23:01:546,105694,0.5,108240,0.7,206425,0.5,280695,2.00 81,1,2024-09-07 09:23:01:655,751282,751282,0,0,352794520733,3686546097,745274,5467,541,382,391879,0 81,2,2024-09-07 09:23:01:133,539675,539612,63,0,23805494,0,5932 81,3,2024-09-07 09:23:01:121,1,428,1,0,719,5119,428,0 82,0,2024-09-07 09:23:01:533,110243,0.5,110446,0.7,221534,0.5,293497,2.00 82,1,2024-09-07 09:23:00:583,753597,753593,0,4,353912549724,3681679503,748896,3878,819,381,391768,4 82,2,2024-09-07 09:23:01:692,541902,541902,0,0,20247481,0,4484 82,3,2024-09-07 09:23:01:752,1,428,1,0,363,4593,428,0 83,0,2024-09-07 09:23:01:529,110093,0.7,110100,0.8,219633,0.7,291901,2.25 83,1,2024-09-07 09:23:00:555,751413,751413,0,0,351842465556,3670062354,745885,5078,450,382,391709,0 83,2,2024-09-07 09:23:00:764,541962,541962,0,0,20972122,0,3393 83,3,2024-09-07 09:23:00:761,1,428,1,0,1260,5510,428,0 84,0,2024-09-07 09:23:01:843,103345,0.6,103359,0.8,206073,0.5,276304,2.25 84,1,2024-09-07 09:23:01:040,751012,751012,0,0,352461800148,3682030446,743311,6658,1043,367,391967,0 84,2,2024-09-07 09:23:00:578,541126,541096,30,0,28238629,0,5971 84,3,2024-09-07 09:23:01:142,1,428,1,0,908,6407,428,0 85,0,2024-09-07 09:23:01:140,101128,0.5,101088,0.7,214629,0.5,277616,2.25 85,1,2024-09-07 09:23:00:569,749169,749169,0,0,351695678929,3710304190,738355,8894,1920,381,392006,0 85,2,2024-09-07 09:23:00:888,540497,540497,0,0,26177995,0,3656 85,3,2024-09-07 09:23:00:692,1,428,4,0,789,5238,428,0 86,0,2024-09-07 09:23:00:902,110542,0.7,113733,0.8,217711,0.7,295380,2.25 86,1,2024-09-07 09:23:00:851,751272,751272,0,0,352465989892,3691032835,742599,7232,1441,366,391961,0 86,2,2024-09-07 09:23:00:889,539514,539513,1,0,28324150,0,5004 86,3,2024-09-07 09:23:00:594,1,428,3,0,308,6072,428,0 87,0,2024-09-07 09:23:01:320,110652,1.0,110505,0.9,221138,1.3,295688,2.50 87,1,2024-09-07 09:23:00:554,750025,750025,0,0,351585660258,3685662084,740074,8357,1594,366,392076,0 87,2,2024-09-07 09:23:01:080,538317,538311,6,0,25031365,0,6323 87,3,2024-09-07 09:23:01:802,1,428,1,0,473,6748,428,0 88,0,2024-09-07 09:23:01:515,104762,0.4,105476,0.6,209887,0.4,279418,1.75 88,1,2024-09-07 09:23:00:578,749910,749910,0,0,352604766503,3693627408,740189,7714,2007,365,392084,0 88,2,2024-09-07 09:23:00:688,542009,542009,0,0,27330057,0,4465 88,3,2024-09-07 09:23:01:273,1,428,1,0,435,4818,428,0 89,0,2024-09-07 09:23:01:772,106943,0.4,103558,0.6,204936,0.4,278400,1.75 89,1,2024-09-07 09:23:00:573,749737,749737,0,0,351776959849,3697822317,740564,7746,1427,382,391866,0 89,2,2024-09-07 09:23:01:137,541362,541362,0,0,25664417,0,3173 89,3,2024-09-07 09:23:01:798,1,428,0,0,468,7512,428,0 90,0,2024-09-07 09:23:01:635,105536,0.5,108377,0.6,221184,0.4,289021,2.00 90,1,2024-09-07 09:23:00:589,750736,750736,0,0,352344813935,3688223019,743962,6262,512,380,391825,0 90,2,2024-09-07 09:23:01:407,540356,540356,0,0,27120641,0,3060 90,3,2024-09-07 09:23:00:941,1,428,1,0,322,5274,428,0 91,0,2024-09-07 09:23:00:946,110401,0.5,106863,0.7,223340,0.5,293313,1.75 91,1,2024-09-07 09:23:00:568,749924,749924,0,0,351794005327,3698400174,740668,7853,1403,381,392047,0 91,2,2024-09-07 09:23:01:339,538798,538798,0,0,23847845,0,2896 91,3,2024-09-07 09:23:00:599,1,428,2,0,216,4254,428,0 92,0,2024-09-07 09:23:01:467,108164,0.5,110858,0.6,211716,0.4,286316,1.75 92,1,2024-09-07 09:23:00:583,751786,751786,0,0,352461325064,3680230296,746253,4808,725,381,392136,0 92,2,2024-09-07 09:23:01:376,543935,543935,0,0,21522098,0,3259 92,3,2024-09-07 09:23:01:010,1,428,11,0,167,3786,428,0 93,0,2024-09-07 09:23:00:971,103459,0.4,106029,0.5,202270,0.3,273878,1.75 93,1,2024-09-07 09:23:00:813,751661,751661,0,0,353327436836,3688358426,743527,6751,1383,366,391776,0 93,2,2024-09-07 09:23:00:928,542142,542142,0,0,26143955,0,4845 93,3,2024-09-07 09:23:01:424,1,428,6,0,190,3823,428,0 94,0,2024-09-07 09:23:01:633,106192,0.3,107143,0.5,213749,0.3,284364,1.75 94,1,2024-09-07 09:23:00:565,752340,752340,0,0,353461119411,3686591567,747549,4581,210,381,391850,0 94,2,2024-09-07 09:23:00:764,541061,541061,0,0,21813602,0,2443 94,3,2024-09-07 09:23:01:692,1,428,1,0,576,5677,428,0 95,0,2024-09-07 09:23:01:351,109930,0.4,109735,0.5,220047,0.3,293029,1.75 95,1,2024-09-07 09:23:00:874,752777,752777,0,0,354103766823,3680105436,746728,5612,437,365,391786,0 95,2,2024-09-07 09:23:01:025,539250,539250,0,0,21553870,0,3308 95,3,2024-09-07 09:23:01:715,1,428,2,0,718,6220,428,0 96,0,2024-09-07 09:23:01:059,109790,0.4,110229,0.5,219706,0.3,291320,1.75 96,1,2024-09-07 09:23:01:605,751852,751852,0,0,352596559199,3679614508,746304,4664,884,384,391955,0 96,2,2024-09-07 09:23:01:269,543321,543321,0,0,22601912,0,4180 96,3,2024-09-07 09:23:01:144,1,428,1,0,411,4789,428,0 97,0,2024-09-07 09:23:01:342,103336,0.3,102897,0.5,206489,0.2,274628,1.50 97,1,2024-09-07 09:23:00:765,753218,753218,0,0,353698473754,3670059181,748068,4218,932,367,392140,0 97,2,2024-09-07 09:23:00:615,542574,542574,0,0,21700953,0,3679 97,3,2024-09-07 09:23:00:574,1,428,2,0,242,5114,428,0 98,0,2024-09-07 09:23:01:728,105034,0.3,105125,0.4,210744,0.2,280633,1.50 98,1,2024-09-07 09:23:00:572,752173,752173,0,0,353421914310,3682565205,747214,4167,792,382,391997,0 98,2,2024-09-07 09:23:00:768,541435,541435,0,0,21891398,0,4336 98,3,2024-09-07 09:23:00:714,1,428,1,0,840,7162,428,0 99,0,2024-09-07 09:23:01:452,111159,0.3,111808,0.5,222102,0.3,296557,1.75 99,1,2024-09-07 09:23:01:729,752789,752789,0,0,352783976971,3671962276,747843,4109,837,381,392069,0 99,2,2024-09-07 09:23:01:420,542178,542178,0,0,25719035,0,4276 99,3,2024-09-07 09:23:00:586,1,428,2,0,606,4705,428,0 100,0,2024-09-07 09:23:01:482,110345,0.9,110672,1.0,220452,1.0,294926,2.50 100,1,2024-09-07 09:23:00:570,748384,748384,0,0,350979037011,3706798183,737654,8683,2047,381,391989,0 100,2,2024-09-07 09:23:01:819,538368,538357,11,0,25196551,0,5417 100,3,2024-09-07 09:23:01:734,1,428,1,0,559,6898,428,0 101,0,2024-09-07 09:23:01:723,106042,0.8,103179,1.0,202780,0.7,277647,2.25 101,1,2024-09-07 09:23:00:557,748866,748866,0,0,351428821240,3696314168,738176,8566,2124,368,391847,0 101,2,2024-09-07 09:23:01:756,538372,538372,0,0,30132921,0,4871 101,3,2024-09-07 09:23:00:946,1,428,1,0,579,5883,428,0 102,0,2024-09-07 09:23:00:974,102037,0.6,105254,0.7,213251,0.5,279683,2.00 102,1,2024-09-07 09:23:01:142,749289,749289,0,0,351960512041,3697989203,739410,8117,1762,369,391891,0 102,2,2024-09-07 09:23:01:741,542175,542121,54,0,24303109,0,6768 102,3,2024-09-07 09:23:01:613,1,428,42,0,466,4754,428,0 103,0,2024-09-07 09:23:01:613,113193,0.6,113172,0.7,213238,0.6,293516,2.00 103,1,2024-09-07 09:23:01:663,748424,748424,0,0,351421718933,3710990319,736426,8932,3066,381,391829,0 103,2,2024-09-07 09:23:00:582,540358,540358,0,0,26908042,0,3766 103,3,2024-09-07 09:23:00:771,1,428,1,0,916,4783,428,0 104,0,2024-09-07 09:23:01:050,109577,0.8,109948,1.0,218840,0.8,293744,2.50 104,1,2024-09-07 09:23:01:604,750773,750773,0,0,352335142776,3700313066,739931,8978,1864,365,392168,0 104,2,2024-09-07 09:23:01:667,536921,536921,0,0,25627516,0,3941 104,3,2024-09-07 09:23:01:432,1,428,3,0,1245,8547,428,0 105,0,2024-09-07 09:23:01:042,104530,0.8,101785,1.0,213335,0.9,280474,2.50 105,1,2024-09-07 09:23:00:575,751647,751647,0,0,353166117171,3699651120,742203,8017,1427,366,392009,0 105,2,2024-09-07 09:23:01:339,540956,540956,0,0,25510641,0,3509 105,3,2024-09-07 09:23:01:308,1,428,1,0,399,6589,428,0 106,0,2024-09-07 09:23:00:957,99797,0.7,102266,0.9,209429,0.7,273970,2.50 106,1,2024-09-07 09:23:01:768,750200,750200,0,0,352305203791,3698563953,739175,9578,1447,369,391914,0 106,2,2024-09-07 09:23:00:774,541541,541541,0,0,25013643,0,2920 106,3,2024-09-07 09:23:00:683,1,428,2,0,470,5483,428,0 107,0,2024-09-07 09:23:01:132,107715,0.9,107970,0.9,215589,1.1,287927,2.25 107,1,2024-09-07 09:23:00:586,749234,749234,0,0,351201226935,3701246863,739062,9119,1053,381,392234,0 107,2,2024-09-07 09:23:01:298,540067,540066,1,0,25953672,0,5024 107,3,2024-09-07 09:23:01:755,1,428,1,0,370,6441,428,0 108,0,2024-09-07 09:23:01:801,109367,0.5,110179,0.6,219070,0.5,291990,1.75 108,1,2024-09-07 09:23:01:301,751775,751775,0,0,353352487644,3684573589,745634,5396,745,368,391857,0 108,2,2024-09-07 09:23:01:758,537167,537167,0,0,24217580,0,4246 108,3,2024-09-07 09:23:01:341,1,428,1,0,749,7982,428,0 109,0,2024-09-07 09:23:01:749,108889,0.4,108079,0.6,216162,0.4,288790,1.75 109,1,2024-09-07 09:23:00:605,749203,749203,0,0,352374200036,3698645790,741805,6213,1185,382,392132,0 109,2,2024-09-07 09:23:00:942,540929,540929,0,0,23811211,0,3617 109,3,2024-09-07 09:23:01:140,1,428,5,0,379,4995,428,0 110,0,2024-09-07 09:23:01:764,102469,0.3,99859,0.5,209163,0.3,273046,1.75 110,1,2024-09-07 09:23:01:688,753222,753222,0,0,353415196254,3668276515,747832,4212,1178,369,392045,0 110,2,2024-09-07 09:23:01:305,542573,542573,0,0,22712792,0,4067 110,3,2024-09-07 09:23:00:706,1,428,5,0,722,6106,428,0 111,0,2024-09-07 09:23:01:412,106016,0.4,105213,0.5,211114,0.3,282003,1.75 111,1,2024-09-07 09:23:01:009,753161,753161,0,0,354555633591,3676668125,749276,3530,355,380,391690,0 111,2,2024-09-07 09:23:01:115,540371,540371,0,0,22439958,0,4823 111,3,2024-09-07 09:23:00:921,1,428,0,0,379,5058,428,0 112,0,2024-09-07 09:23:00:924,111176,0.3,110854,0.4,221909,0.2,294531,1.50 112,1,2024-09-07 09:23:00:827,753274,753274,0,0,352878921702,3662924090,748559,4102,613,380,391624,0 112,2,2024-09-07 09:23:01:134,540407,540406,1,0,21704235,0,5036 112,3,2024-09-07 09:23:00:595,1,428,3,0,282,4239,428,0 113,0,2024-09-07 09:23:00:885,110184,0.3,110270,0.5,220941,0.3,293894,1.75 113,1,2024-09-07 09:23:01:690,755069,755069,0,0,354727120234,3659510448,751179,3301,589,366,391661,0 113,2,2024-09-07 09:23:01:307,543659,543659,0,0,20030494,0,3813 113,3,2024-09-07 09:23:00:684,1,428,1,0,340,4736,428,0 114,0,2024-09-07 09:23:00:894,104282,0.3,104910,0.4,208582,0.2,278952,1.75 114,1,2024-09-07 09:23:00:716,752701,752701,0,0,352988698982,3667896332,746417,4668,1616,381,391565,0 114,2,2024-09-07 09:23:00:872,543012,543011,1,0,21111330,0,5069 114,3,2024-09-07 09:23:01:287,1,428,1,0,395,3660,428,0 115,0,2024-09-07 09:23:00:558,105084,0.2,105649,0.4,210698,0.2,280115,1.50 115,1,2024-09-07 09:23:00:571,753305,753305,0,0,353568376090,3675625319,746814,5224,1267,382,391757,0 115,2,2024-09-07 09:23:01:125,543207,543207,0,0,20936633,0,4382 115,3,2024-09-07 09:23:01:003,1,428,0,0,159,2398,428,0 116,0,2024-09-07 09:23:01:718,110303,0.7,110388,0.8,221212,0.7,296396,2.00 116,1,2024-09-07 09:23:00:828,749226,749226,0,0,351914032816,3706961853,740618,6283,2325,380,392089,0 116,2,2024-09-07 09:23:01:756,540774,540774,0,0,26654202,0,4128 116,3,2024-09-07 09:23:00:914,1,428,0,0,415,5061,428,0 117,0,2024-09-07 09:23:00:960,111099,0.8,110469,0.8,221507,1.0,295918,2.00 117,1,2024-09-07 09:23:01:589,750498,750498,0,0,351550571995,3678654441,742746,6837,915,369,392033,0 117,2,2024-09-07 09:23:01:124,541738,541738,0,0,22775779,0,4303 117,3,2024-09-07 09:23:01:068,1,428,11,0,490,6527,428,0 118,0,2024-09-07 09:23:01:809,101786,0.5,104403,0.7,213130,0.4,278384,2.00 118,1,2024-09-07 09:23:00:600,750586,750586,0,0,351507014751,3698564828,738677,8910,2999,366,391907,0 118,2,2024-09-07 09:23:01:586,541177,541177,0,0,25111670,0,2842 118,3,2024-09-07 09:23:01:787,1,428,1,0,248,5049,428,0 119,0,2024-09-07 09:23:01:349,103773,0.5,104117,0.7,208144,0.5,276782,2.00 119,1,2024-09-07 09:23:00:556,750918,750918,0,0,352795984747,3691323571,742435,7367,1116,367,391780,0 119,2,2024-09-07 09:23:01:264,543038,543038,0,0,23783479,0,4174 119,3,2024-09-07 09:23:01:331,1,428,2,0,1358,8469,428,0 120,0,2024-09-07 09:23:01:566,107989,0.6,107873,0.8,216475,0.6,289200,2.25 120,1,2024-09-07 09:23:00:870,751100,751100,0,0,351653809390,3690568055,742729,7601,770,368,391961,0 120,2,2024-09-07 09:23:00:780,541323,541322,1,0,26982479,0,5281 120,3,2024-09-07 09:23:01:297,1,428,1,0,279,5351,428,0 121,0,2024-09-07 09:23:01:711,109711,1.5,109423,1.1,219601,2.0,292569,2.50 121,1,2024-09-07 09:23:01:674,751283,751283,0,0,352392788052,3683171336,744536,6287,460,367,391840,0 121,2,2024-09-07 09:23:01:129,536757,536757,0,0,25782656,0,4127 121,3,2024-09-07 09:23:00:728,1,428,8,0,269,4763,428,0 122,0,2024-09-07 09:23:01:799,106740,0.8,104130,0.9,218354,0.8,286113,2.00 122,1,2024-09-07 09:23:00:888,749766,749766,0,0,351391429049,3687857694,739326,8837,1603,366,392130,0 122,2,2024-09-07 09:23:01:334,542809,542736,73,0,28826844,0,5989 122,3,2024-09-07 09:23:00:647,1,428,1,0,512,7713,428,0 123,0,2024-09-07 09:23:00:963,102321,0.6,99893,0.7,208988,0.6,273250,2.00 123,1,2024-09-07 09:23:00:565,749784,749784,0,0,351680877362,3705714678,736237,11104,2443,369,392039,0 123,2,2024-09-07 09:23:01:023,540635,540634,1,0,24329078,0,5215 123,3,2024-09-07 09:23:01:132,1,428,4,0,478,5048,428,0 124,0,2024-09-07 09:23:00:932,109884,0.3,109857,0.5,207040,0.3,285004,1.75 124,1,2024-09-07 09:23:01:029,752728,752728,0,0,353061062470,3669474569,747450,4229,1049,367,392178,0 124,2,2024-09-07 09:23:01:010,542413,542360,53,0,22028289,0,6487 124,3,2024-09-07 09:23:00:763,1,428,5,0,490,4140,428,0 125,0,2024-09-07 09:23:01:437,109836,0.4,109655,0.6,220276,0.4,293061,1.75 125,1,2024-09-07 09:23:00:878,751192,751192,0,0,352826330968,3683912508,745282,5239,671,382,391702,0 125,2,2024-09-07 09:23:01:117,540273,540273,0,0,22736931,0,4534 125,3,2024-09-07 09:23:01:128,1,428,1,0,709,5381,428,0 126,0,2024-09-07 09:23:01:433,109683,0.4,112966,0.6,216123,0.4,292021,1.75 126,1,2024-09-07 09:23:00:553,753491,753491,0,0,353901421598,3665198876,749488,3709,294,365,391987,0 126,2,2024-09-07 09:23:00:612,543645,543645,0,0,23517075,0,4539 126,3,2024-09-07 09:23:00:923,1,428,2,0,268,5271,428,0 127,0,2024-09-07 09:23:01:612,103231,0.3,103498,0.5,206733,0.2,274763,1.50 127,1,2024-09-07 09:23:00:571,752682,752682,0,0,352201087542,3663639419,745340,6120,1222,364,392187,0 127,2,2024-09-07 09:23:00:648,541324,541324,0,0,21254615,0,3897 127,3,2024-09-07 09:23:01:268,1,428,1,0,968,4619,428,0 128,0,2024-09-07 09:23:01:544,105566,0.3,105848,0.4,211207,0.2,281015,1.50 128,1,2024-09-07 09:23:01:605,752279,752279,0,0,353784878627,3672624707,747370,4476,433,367,392031,0 128,2,2024-09-07 09:23:01:387,542337,542337,0,0,20684212,0,3171 128,3,2024-09-07 09:23:00:779,1,428,1,0,1082,6744,428,0 129,0,2024-09-07 09:23:01:003,112046,0.3,111517,0.5,223448,0.3,296918,1.75 129,1,2024-09-07 09:23:00:566,749831,749831,0,0,351900832206,3688162636,742160,6001,1670,379,391962,0 129,2,2024-09-07 09:23:00:686,542210,542210,0,0,22064884,0,4031 129,3,2024-09-07 09:23:00:691,1,428,4,0,506,5860,428,0 130,0,2024-09-07 09:23:01:745,111390,0.5,110892,0.6,223064,0.5,296290,1.75 130,1,2024-09-07 09:23:00:591,752848,752848,0,0,353376657843,3672110178,748812,3697,339,381,391825,0 130,2,2024-09-07 09:23:01:154,541958,541958,0,0,22495118,0,4067 130,3,2024-09-07 09:23:01:305,1,428,14,0,960,6740,428,0 131,0,2024-09-07 09:23:02:008,103677,0.3,104167,0.5,209303,0.3,277589,1.75 131,1,2024-09-07 09:23:01:826,752316,752316,0,0,352738298233,3684678814,746353,4915,1048,381,391865,0 131,2,2024-09-07 09:23:00:570,544283,544283,0,0,20730249,0,3979 131,3,2024-09-07 09:23:01:701,1,428,1,0,392,4814,428,0 132,0,2024-09-07 09:23:01:442,104858,0.4,105825,0.6,210493,0.4,280532,1.75 132,1,2024-09-07 09:23:00:578,748664,748664,0,0,351569894944,3702631450,737531,9027,2106,381,392532,0 132,2,2024-09-07 09:23:00:708,541281,541264,17,0,27165933,0,6451 132,3,2024-09-07 09:23:01:693,1,428,5,0,804,7574,428,0 133,0,2024-09-07 09:23:01:591,106815,0.4,109333,0.6,224144,0.4,291830,1.75 133,1,2024-09-07 09:23:00:585,748885,748885,0,0,351608192450,3706637830,738341,9029,1515,383,391914,0 133,2,2024-09-07 09:23:01:096,540926,540876,50,0,28531631,0,6861 133,3,2024-09-07 09:23:01:304,1,428,26,0,479,4539,428,0 134,0,2024-09-07 09:23:00:966,111116,0.6,110761,0.7,221644,0.6,296115,2.25 134,1,2024-09-07 09:23:00:617,750245,750245,0,0,351971350810,3691962061,740448,7762,2035,366,391718,0 134,2,2024-09-07 09:23:01:755,536601,536577,24,0,26122029,0,6207 134,3,2024-09-07 09:23:00:758,1,428,17,0,739,5457,428,0 135,0,2024-09-07 09:23:01:147,102381,0.8,102393,0.8,217326,0.9,279978,2.25 135,1,2024-09-07 09:23:01:603,750302,750302,0,0,352710782959,3710376115,740343,8588,1371,380,391805,0 135,2,2024-09-07 09:23:00:697,542376,542376,0,0,25522988,0,3981 135,3,2024-09-07 09:23:01:024,1,428,11,0,299,3742,428,0 136,0,2024-09-07 09:23:01:635,103803,0.5,103910,0.7,206798,0.4,276211,2.00 136,1,2024-09-07 09:23:01:446,750932,750932,0,0,352455507674,3696059750,742363,7607,962,381,391685,0 136,2,2024-09-07 09:23:01:165,543774,543774,0,0,24324145,0,3506 136,3,2024-09-07 09:23:01:106,1,428,3,0,637,4948,428,0 137,0,2024-09-07 09:23:00:935,111174,0.6,108254,0.7,212440,0.6,288944,2.00 137,1,2024-09-07 09:23:00:575,749353,749353,0,0,351852917871,3691996484,737801,9193,2359,366,391898,0 137,2,2024-09-07 09:23:01:717,540507,540507,0,0,26989186,0,3185 137,3,2024-09-07 09:23:00:770,1,428,2,0,484,5285,428,0 138,0,2024-09-07 09:23:01:747,109173,1.0,109153,1.0,219076,1.3,290801,2.25 138,1,2024-09-07 09:23:01:687,750733,750733,0,0,352572063290,3697321417,740492,8519,1722,368,391954,0 138,2,2024-09-07 09:23:00:602,537747,537747,0,0,24428866,0,4988 138,3,2024-09-07 09:23:00:612,1,428,1,0,1160,5666,428,0 139,0,2024-09-07 09:23:01:375,107397,1.5,107450,1.1,215379,2.2,287277,2.50 139,1,2024-09-07 09:23:00:572,746706,746706,0,0,350425608636,3723540047,732260,11140,3306,380,392058,0 139,2,2024-09-07 09:23:00:698,538848,538848,0,0,28196800,0,3097 139,3,2024-09-07 09:23:01:663,1,428,1,0,432,5011,428,0 140,0,2024-09-07 09:23:01:591,103031,0.3,102502,0.5,205752,0.2,273418,1.75 140,1,2024-09-07 09:23:01:536,754680,754680,0,0,354007147929,3649473286,751115,3124,441,365,391606,0 140,2,2024-09-07 09:23:00:687,543365,543364,1,0,21464839,0,5036 140,3,2024-09-07 09:23:00:790,1,428,2,0,297,3578,428,0 141,0,2024-09-07 09:23:01:699,105853,0.3,108661,0.5,207620,0.2,281860,1.75 141,1,2024-09-07 09:23:00:867,753124,753124,0,0,353961244939,3676210890,747438,4729,957,379,391614,0 141,2,2024-09-07 09:23:01:686,541621,541621,0,0,21205328,0,3360 141,3,2024-09-07 09:23:01:050,1,428,1,0,391,4925,428,0 142,0,2024-09-07 09:23:01:314,111351,0.3,110690,0.5,221378,0.3,295147,1.75 142,1,2024-09-07 09:23:00:583,752274,752274,0,0,352865224480,3677605363,747584,4292,398,382,392102,0 142,2,2024-09-07 09:23:01:299,540063,540031,32,0,23321705,0,6028 142,3,2024-09-07 09:23:01:750,1,428,2,0,484,4819,428,0 143,0,2024-09-07 09:23:01:391,110276,0.5,110503,0.6,221122,0.5,293947,1.75 143,1,2024-09-07 09:23:00:557,753549,753549,0,0,353916637172,3672770794,748505,4500,544,367,391705,0 143,2,2024-09-07 09:23:00:781,542039,542039,0,0,22331394,0,3123 143,3,2024-09-07 09:23:01:140,1,428,3,0,462,5316,428,0 144,0,2024-09-07 09:23:01:491,100767,0.6,103557,0.8,211035,0.5,276836,2.00 144,1,2024-09-07 09:23:00:569,749449,749449,0,0,350812674066,3683183019,741735,5880,1834,381,391649,0 144,2,2024-09-07 09:23:01:755,542671,542671,0,0,21207077,0,3673 144,3,2024-09-07 09:23:01:739,1,428,2,0,249,4368,428,0 145,0,2024-09-07 09:23:01:397,101585,0.5,101580,0.7,215430,0.5,277336,2.25 145,1,2024-09-07 09:23:00:559,749373,749373,0,0,351417560168,3696223883,739740,7971,1662,382,391759,0 145,2,2024-09-07 09:23:01:429,539651,539570,81,0,26256343,0,7814 145,3,2024-09-07 09:23:00:902,1,428,9,0,622,6159,428,0 146,0,2024-09-07 09:23:01:614,110630,0.6,110121,0.8,221802,0.6,294950,2.25 146,1,2024-09-07 09:23:01:607,750843,750843,0,0,351599304318,3691410004,739831,8892,2120,367,391770,0 146,2,2024-09-07 09:23:01:713,540091,540091,0,0,24965075,0,3290 146,3,2024-09-07 09:23:01:282,1,428,1,0,1520,8043,428,0 147,0,2024-09-07 09:23:01:709,110950,0.7,110908,0.8,220997,0.7,295538,2.25 147,1,2024-09-07 09:23:01:377,753609,753609,0,0,353254606265,3673849759,746971,5793,845,368,391791,0 147,2,2024-09-07 09:23:01:024,539244,539244,0,0,22351292,0,2968 147,3,2024-09-07 09:23:00:913,1,428,1,0,1626,7398,428,0 0,0,2024-09-07 09:23:11:725,106007,0.6,105974,0.7,224797,0.7,290827,2.00 0,1,2024-09-07 09:23:10:810,752591,752591,0,0,352937942519,3693370909,747140,5063,388,369,391896,0 0,2,2024-09-07 09:23:11:067,544716,544716,0,0,21836137,0,4480 0,3,2024-09-07 09:23:10:990,1,429,2,0,431,6362,429,0 1,0,2024-09-07 09:23:11:817,110160,1.2,109411,1.1,219546,1.7,293829,2.25 1,1,2024-09-07 09:23:10:557,752142,752142,0,0,352220941505,3686414159,745713,5103,1326,370,391859,0 1,2,2024-09-07 09:23:10:640,538245,538245,0,0,22104584,0,3380 1,3,2024-09-07 09:23:11:308,1,429,2,0,268,5233,429,0 2,0,2024-09-07 09:23:11:572,107064,0.6,107284,0.8,213754,0.7,284980,2.00 2,1,2024-09-07 09:23:10:866,754691,754691,0,0,353667201573,3670667507,751404,2992,295,380,391745,0 2,2,2024-09-07 09:23:11:266,544532,544532,0,0,20745360,0,3594 2,3,2024-09-07 09:23:10:690,1,429,0,0,357,4167,429,0 3,0,2024-09-07 09:23:11:750,103112,0.4,102818,0.6,205524,0.3,273875,2.00 3,1,2024-09-07 09:23:11:620,753295,753295,0,0,353219077658,3678282687,747074,5531,690,379,391716,0 3,2,2024-09-07 09:23:11:142,544289,544266,23,0,21917574,0,5851 3,3,2024-09-07 09:23:11:761,1,429,3,0,207,2839,429,0 4,0,2024-09-07 09:23:11:888,103861,0.4,106722,0.5,217678,0.3,285336,1.75 4,1,2024-09-07 09:23:10:603,750736,750736,0,0,351794832370,3717916966,738919,9309,2508,370,391992,0 4,2,2024-09-07 09:23:11:031,540964,540964,0,0,25089913,0,4528 4,3,2024-09-07 09:23:11:028,1,429,1,0,448,5579,429,0 5,0,2024-09-07 09:23:11:375,109852,0.4,110482,0.6,220358,0.4,292586,1.75 5,1,2024-09-07 09:23:10:759,751793,751793,0,0,352559269789,3711311105,741363,8272,2158,367,392005,0 5,2,2024-09-07 09:23:11:833,537391,537391,0,0,24912544,0,3582 5,3,2024-09-07 09:23:11:738,1,429,8,0,457,6010,429,0 6,0,2024-09-07 09:23:10:915,109963,0.5,109552,0.6,219034,0.4,291831,2.00 6,1,2024-09-07 09:23:10:751,752751,752751,0,0,353640753170,3695284178,744541,6817,1393,379,391694,0 6,2,2024-09-07 09:23:11:123,543366,543348,18,0,24877023,0,5535 6,3,2024-09-07 09:23:11:276,1,429,1,0,710,5450,429,0 7,0,2024-09-07 09:23:11:529,102621,0.5,103350,0.6,205810,0.4,273549,1.75 7,1,2024-09-07 09:23:10:859,752063,752063,0,0,353287694083,3705583255,742292,8307,1464,382,391747,0 7,2,2024-09-07 09:23:10:780,544326,544326,0,0,24159832,0,4791 7,3,2024-09-07 09:23:10:856,1,429,1,0,552,4963,429,0 8,0,2024-09-07 09:23:11:337,105804,0.3,105538,0.5,211434,0.3,281999,1.75 8,1,2024-09-07 09:23:11:020,750741,750741,0,0,353127536179,3712834809,739128,8982,2631,366,392853,0 8,2,2024-09-07 09:23:10:799,537919,537919,0,0,28287434,0,3250 8,3,2024-09-07 09:23:10:588,1,429,10,0,538,7068,429,0 9,0,2024-09-07 09:23:11:204,111491,0.4,108230,0.5,226541,0.3,296630,1.75 9,1,2024-09-07 09:23:10:560,750545,750545,0,0,352359596227,3711171827,739482,8642,2421,369,392001,0 9,2,2024-09-07 09:23:11:105,541258,541258,0,0,25203990,0,3360 9,3,2024-09-07 09:23:11:763,1,429,2,0,496,6095,429,0 10,0,2024-09-07 09:23:11:601,110847,0.4,110279,0.5,221538,0.3,294982,1.75 10,1,2024-09-07 09:23:10:587,752189,752189,0,0,352867708896,3698786848,741805,8761,1623,381,391741,0 10,2,2024-09-07 09:23:10:762,541797,541797,0,0,27653002,0,4264 10,3,2024-09-07 09:23:10:871,1,429,18,0,649,4598,429,0 11,0,2024-09-07 09:23:11:013,103975,0.4,100855,0.6,210948,0.4,278446,1.75 11,1,2024-09-07 09:23:10:572,752604,752604,0,0,353471746505,3707987701,741276,8702,2626,383,391756,0 11,2,2024-09-07 09:23:11:123,542542,542542,0,0,24384826,0,4130 11,3,2024-09-07 09:23:11:298,1,429,2,0,843,6217,429,0 12,0,2024-09-07 09:23:10:941,105831,0.4,105930,0.5,211927,0.3,281265,1.75 12,1,2024-09-07 09:23:10:936,752673,752673,0,0,352556921106,3674698911,747114,5057,502,370,391870,0 12,2,2024-09-07 09:23:11:548,543090,543090,0,0,23479659,0,3469 12,3,2024-09-07 09:23:11:060,1,429,0,0,386,6351,429,0 13,0,2024-09-07 09:23:11:390,110890,0.4,110460,0.6,220569,0.4,294306,1.75 13,1,2024-09-07 09:23:11:812,751419,751419,0,0,352447977841,3704731897,743802,5985,1632,382,391740,0 13,2,2024-09-07 09:23:10:692,544953,544953,0,0,21798083,0,3287 13,3,2024-09-07 09:23:11:762,1,429,1,0,522,6276,429,0 14,0,2024-09-07 09:23:10:561,110834,0.5,111915,0.6,221334,0.4,295632,2.00 14,1,2024-09-07 09:23:11:561,756645,756645,0,0,355076524416,3677339510,750425,5511,709,364,391673,0 14,2,2024-09-07 09:23:10:768,539903,539873,30,0,23749229,0,6104 14,3,2024-09-07 09:23:11:122,1,429,2,0,1168,4733,429,0 15,0,2024-09-07 09:23:11:554,105626,0.4,105546,0.6,211336,0.4,281191,2.00 15,1,2024-09-07 09:23:11:609,753827,753827,0,0,353178837390,3678610583,747602,5046,1179,381,391619,0 15,2,2024-09-07 09:23:11:005,545510,545510,0,0,19499137,0,3622 15,3,2024-09-07 09:23:11:405,1,429,1,0,1126,6957,429,0 16,0,2024-09-07 09:23:10:954,103791,0.5,104172,0.7,207750,0.5,276484,2.00 16,1,2024-09-07 09:23:10:571,753521,753521,0,0,353884308208,3699738674,746918,5490,1113,370,391917,0 16,2,2024-09-07 09:23:11:447,543918,543918,0,0,23456918,0,4719 16,3,2024-09-07 09:23:11:149,1,429,16,0,317,5412,429,0 17,0,2024-09-07 09:23:11:773,111642,0.6,108958,0.8,213043,0.6,289798,2.00 17,1,2024-09-07 09:23:10:570,751901,751901,0,0,352502841110,3698285136,744255,6132,1514,368,392075,0 17,2,2024-09-07 09:23:11:666,545079,545079,0,0,23244249,0,3779 17,3,2024-09-07 09:23:10:574,1,429,4,0,518,6828,429,0 18,0,2024-09-07 09:23:10:944,108617,0.7,109157,0.9,217513,0.8,290587,2.25 18,1,2024-09-07 09:23:11:638,755022,755022,0,0,354403005301,3672403530,751022,3621,379,367,391725,0 18,2,2024-09-07 09:23:11:762,541543,541543,0,0,20568540,0,3541 18,3,2024-09-07 09:23:10:905,1,429,1,0,163,3288,429,0 19,0,2024-09-07 09:23:11:538,107768,0.6,108339,0.8,214906,0.6,285903,2.25 19,1,2024-09-07 09:23:10:612,755316,755316,0,0,354261861123,3674336332,749368,5027,921,367,391777,0 19,2,2024-09-07 09:23:11:762,546520,546520,0,0,19547846,0,3988 19,3,2024-09-07 09:23:11:137,1,429,1,0,524,3456,429,0 20,0,2024-09-07 09:23:11:352,102713,0.4,102585,0.6,205224,0.4,273317,2.00 20,1,2024-09-07 09:23:10:576,752638,752638,0,0,353444667251,3696654120,745927,5910,801,369,391922,0 20,2,2024-09-07 09:23:10:936,543198,543198,0,0,23527615,0,3721 20,3,2024-09-07 09:23:10:590,1,429,8,0,414,6258,429,0 21,0,2024-09-07 09:23:11:142,106000,0.5,106259,0.6,211985,0.4,281836,2.00 21,1,2024-09-07 09:23:12:168,750631,750631,0,0,352603108878,3717239719,739671,8481,2479,368,392016,0 21,2,2024-09-07 09:23:11:080,539550,539530,20,0,28585005,0,5617 21,3,2024-09-07 09:23:11:405,1,429,233,0,713,5728,429,0 22,0,2024-09-07 09:23:11:719,110577,0.5,110904,0.7,221657,0.5,293536,2.00 22,1,2024-09-07 09:23:11:029,751975,751975,0,0,352920581287,3708546687,740821,8927,2227,382,391667,0 22,2,2024-09-07 09:23:10:765,540163,540137,26,0,22743587,0,6328 22,3,2024-09-07 09:23:11:065,1,429,3,0,228,3513,429,0 23,0,2024-09-07 09:23:11:370,110052,0.5,109319,0.7,219250,0.5,292137,2.25 23,1,2024-09-07 09:23:11:007,752936,752936,0,0,353503208297,3712097268,739827,9055,4054,365,391690,0 23,2,2024-09-07 09:23:11:092,544706,544706,0,0,22298982,0,3773 23,3,2024-09-07 09:23:11:760,1,429,0,0,720,5571,429,0 24,0,2024-09-07 09:23:10:838,104840,0.4,104319,0.5,209726,0.3,278513,1.75 24,1,2024-09-07 09:23:10:585,752053,752053,0,0,352423607486,3694126312,744050,6410,1593,367,392269,0 24,2,2024-09-07 09:23:11:068,542613,542613,0,0,26655050,0,3607 24,3,2024-09-07 09:23:11:686,1,429,1,0,468,5821,429,0 25,0,2024-09-07 09:23:11:366,108150,0.4,105316,0.6,206606,0.3,281689,1.75 25,1,2024-09-07 09:23:10:557,751422,751422,0,0,352997115501,3719643973,739406,9767,2249,371,391928,0 25,2,2024-09-07 09:23:11:609,540743,540743,0,0,27290591,0,3978 25,3,2024-09-07 09:23:11:000,1,429,0,0,532,4847,429,0 26,0,2024-09-07 09:23:11:732,111033,0.4,108370,0.6,227360,0.4,296113,2.00 26,1,2024-09-07 09:23:11:543,753585,753585,0,0,353267349131,3698213839,742955,9046,1584,380,391748,0 26,2,2024-09-07 09:23:10:860,542995,542995,0,0,27420384,0,2809 26,3,2024-09-07 09:23:11:715,1,429,9,0,796,5168,429,0 27,0,2024-09-07 09:23:11:729,111325,0.5,111408,0.6,221746,0.4,296149,2.25 27,1,2024-09-07 09:23:11:676,754532,754532,0,0,354240408783,3689978476,747265,6366,901,381,391626,0 27,2,2024-09-07 09:23:10:869,538349,538284,65,0,24978466,0,5699 27,3,2024-09-07 09:23:11:015,1,429,1,0,564,4115,429,0 28,0,2024-09-07 09:23:11:386,105228,0.4,104803,0.6,210353,0.3,280177,1.75 28,1,2024-09-07 09:23:10:798,753832,753832,0,0,353861437257,3693180037,746644,5489,1699,383,391698,0 28,2,2024-09-07 09:23:11:764,543455,543455,0,0,22702274,0,2915 28,3,2024-09-07 09:23:11:776,1,429,1,0,502,4686,429,0 29,0,2024-09-07 09:23:11:393,107299,0.3,104493,0.5,205009,0.3,279532,1.75 29,1,2024-09-07 09:23:11:565,756657,756657,0,0,355063540906,3673715336,751791,4131,735,368,391809,0 29,2,2024-09-07 09:23:10:860,543437,543437,0,0,21514658,0,4986 29,3,2024-09-07 09:23:10:971,1,429,7,0,459,5028,429,0 30,0,2024-09-07 09:23:11:457,108813,0.5,105717,0.7,221353,0.4,289819,2.00 30,1,2024-09-07 09:23:10:571,755058,755058,0,0,354485506584,3681482369,748989,5303,766,381,391672,0 30,2,2024-09-07 09:23:11:273,543834,543834,0,0,21065116,0,4192 30,3,2024-09-07 09:23:10:586,1,429,1,0,519,4183,429,0 31,0,2024-09-07 09:23:11:758,109741,0.5,110261,0.7,220020,0.5,293817,2.00 31,1,2024-09-07 09:23:10:564,758705,758705,0,0,356603851406,3654560745,755689,2430,586,356,391712,0 31,2,2024-09-07 09:23:11:278,538843,538843,0,0,22623467,0,3525 31,3,2024-09-07 09:23:11:724,1,429,0,0,220,3762,429,0 32,0,2024-09-07 09:23:11:422,107434,0.3,108180,0.5,215267,0.3,286659,1.75 32,1,2024-09-07 09:23:10:806,754852,754852,0,0,353682938546,3679397870,749736,4435,681,381,391646,0 32,2,2024-09-07 09:23:10:943,545349,545349,0,0,20476329,0,3922 32,3,2024-09-07 09:23:11:015,1,429,1,0,304,3391,429,0 33,0,2024-09-07 09:23:11:503,103128,0.3,102703,0.4,206078,0.2,274362,1.50 33,1,2024-09-07 09:23:10:580,755733,755733,0,0,354519748195,3676643494,749220,5408,1105,368,391730,0 33,2,2024-09-07 09:23:10:758,544545,544510,35,0,22879578,0,7012 33,3,2024-09-07 09:23:10:901,1,429,0,0,329,4142,429,0 34,0,2024-09-07 09:23:10:931,107286,0.3,110304,0.4,210957,0.2,284570,1.75 34,1,2024-09-07 09:23:11:050,756915,756915,0,0,356048046218,3660744133,755173,1730,12,367,391562,0 34,2,2024-09-07 09:23:10:775,543740,543740,0,0,21185654,0,4562 34,3,2024-09-07 09:23:11:689,1,429,2,0,299,3241,429,0 35,0,2024-09-07 09:23:10:867,109287,0.4,109864,0.5,220718,0.3,293260,1.75 35,1,2024-09-07 09:23:11:066,755062,755062,0,0,354173468774,3671035955,750521,3683,858,382,391769,0 35,2,2024-09-07 09:23:11:584,540380,540380,0,0,22810958,0,4055 35,3,2024-09-07 09:23:10:907,1,429,2,0,418,4511,429,0 36,0,2024-09-07 09:23:11:523,110013,0.5,109905,0.7,219915,0.4,292157,2.00 36,1,2024-09-07 09:23:10:589,753938,753938,0,0,353699411648,3693713843,744290,7648,2000,366,391759,0 36,2,2024-09-07 09:23:11:751,544464,544464,0,0,24154516,0,3875 36,3,2024-09-07 09:23:10:868,1,429,0,0,416,6079,429,0 37,0,2024-09-07 09:23:11:371,102713,0.4,102986,0.6,205664,0.4,273818,2.00 37,1,2024-09-07 09:23:10:570,753605,753598,0,7,353557996039,3692101187,744779,6543,2276,365,391770,0 37,2,2024-09-07 09:23:11:144,541765,541750,15,0,23997888,0,5815 37,3,2024-09-07 09:23:11:769,1,429,1,0,888,6365,429,0 38,0,2024-09-07 09:23:11:445,105039,0.4,101975,0.6,213268,0.4,279594,2.00 38,1,2024-09-07 09:23:11:609,754854,754854,0,0,354372059245,3704117533,744109,8584,2161,368,391821,0 38,2,2024-09-07 09:23:10:762,541859,541812,47,0,23757129,0,6710 38,3,2024-09-07 09:23:10:997,1,429,1,0,689,5465,429,0 39,0,2024-09-07 09:23:11:759,113771,0.6,111291,0.7,217029,0.6,295621,2.00 39,1,2024-09-07 09:23:10:720,752662,752662,0,0,353935726196,3710403474,740221,9750,2691,365,391658,0 39,2,2024-09-07 09:23:11:423,542534,542534,0,0,22640478,0,3391 39,3,2024-09-07 09:23:10:714,1,429,9,0,324,4882,429,0 40,0,2024-09-07 09:23:11:488,109705,0.8,110284,1.0,220001,0.9,293585,2.75 40,1,2024-09-07 09:23:10:576,753319,753319,0,0,353169477852,3698690033,742500,8775,2044,368,391668,0 40,2,2024-09-07 09:23:11:308,541308,541307,1,0,26183675,0,5137 40,3,2024-09-07 09:23:11:149,1,429,142,0,1028,5959,429,0 41,0,2024-09-07 09:23:11:029,103603,1.3,105899,1.2,201975,2.0,275079,3.00 41,1,2024-09-07 09:23:10:775,752157,752157,0,0,352939397255,3691515630,742974,8143,1040,369,391742,0 41,2,2024-09-07 09:23:10:758,541449,541449,0,0,25085319,0,4277 41,3,2024-09-07 09:23:11:676,1,429,8,0,366,4451,429,0 42,0,2024-09-07 09:23:11:483,104477,0.8,104623,0.9,209199,0.8,277044,2.50 42,1,2024-09-07 09:23:11:439,750459,750459,0,0,352370740530,3702671422,738494,9934,2031,380,391675,0 42,2,2024-09-07 09:23:11:141,542027,542027,0,0,25295055,0,3790 42,3,2024-09-07 09:23:11:010,1,429,1,0,892,4264,429,0 43,0,2024-09-07 09:23:10:923,109005,0.7,106020,0.9,222093,0.8,291704,2.25 43,1,2024-09-07 09:23:10:587,753805,753805,0,0,354053668332,3699200808,743425,8641,1739,366,391696,0 43,2,2024-09-07 09:23:11:739,543492,543492,0,0,24917676,0,3812 43,3,2024-09-07 09:23:11:750,1,429,2,0,548,5699,429,0 44,0,2024-09-07 09:23:10:871,110949,0.5,111191,0.7,222772,0.4,296215,2.00 44,1,2024-09-07 09:23:10:564,755355,755355,0,0,353576755063,3655468262,748861,5176,1318,356,391809,0 44,2,2024-09-07 09:23:11:266,538811,538811,0,0,20809006,0,4344 44,3,2024-09-07 09:23:11:096,1,429,1,0,817,5041,429,0 45,0,2024-09-07 09:23:11:771,104533,0.5,102058,0.7,214074,0.5,281677,2.00 45,1,2024-09-07 09:23:11:012,754352,754352,0,0,354197214836,3680524648,748693,5086,573,382,391917,0 45,2,2024-09-07 09:23:11:267,544795,544795,0,0,21152894,0,3596 45,3,2024-09-07 09:23:10:934,1,429,1,0,271,3686,429,0 46,0,2024-09-07 09:23:10:962,103233,0.5,103130,0.7,206639,0.4,274204,2.00 46,1,2024-09-07 09:23:10:575,755914,755914,0,0,354707400105,3663759018,751245,4078,591,366,391709,0 46,2,2024-09-07 09:23:10:595,544639,544639,0,0,21324690,0,4443 46,3,2024-09-07 09:23:11:140,1,429,1,0,908,5414,429,0 47,0,2024-09-07 09:23:11:104,108644,0.4,108873,0.6,218047,0.4,289001,1.75 47,1,2024-09-07 09:23:10:571,756851,756851,0,0,354507570113,3663839732,751994,4019,838,366,391641,0 47,2,2024-09-07 09:23:10:908,545639,545639,0,0,20930933,0,4477 47,3,2024-09-07 09:23:11:119,1,429,1,0,600,5244,429,0 48,0,2024-09-07 09:23:11:498,110269,0.3,110135,0.5,219416,0.2,292662,1.75 48,1,2024-09-07 09:23:11:029,754641,754641,0,0,354401682721,3680880359,749930,4255,456,384,391710,0 48,2,2024-09-07 09:23:10:699,539274,539274,0,0,19575979,0,3411 48,3,2024-09-07 09:23:10:756,1,429,1,0,339,3457,429,0 49,0,2024-09-07 09:23:11:710,111155,0.4,109270,0.5,211976,0.3,289850,1.75 49,1,2024-09-07 09:23:11:030,754464,754464,0,0,353271444035,3675656110,749309,3949,1206,382,391809,0 49,2,2024-09-07 09:23:11:797,544964,544964,0,0,21637402,0,4426 49,3,2024-09-07 09:23:11:416,1,429,2,0,992,5145,429,0 50,0,2024-09-07 09:23:11:512,103277,0.3,101619,0.4,205271,0.2,273009,1.75 50,1,2024-09-07 09:23:11:011,756969,756969,0,0,354869569078,3669102941,752039,4356,574,368,391565,0 50,2,2024-09-07 09:23:11:066,543996,543996,0,0,19887157,0,4490 50,3,2024-09-07 09:23:11:295,1,429,0,0,567,4343,429,0 51,0,2024-09-07 09:23:11:688,108964,0.3,106479,0.5,207734,0.2,283423,1.75 51,1,2024-09-07 09:23:11:680,756867,756867,0,0,355809672879,3669200104,752914,2904,1049,365,391706,0 51,2,2024-09-07 09:23:11:320,542956,542956,0,0,18868386,0,3337 51,3,2024-09-07 09:23:11:028,1,429,1,0,678,3500,429,0 52,0,2024-09-07 09:23:11:412,110955,0.5,110900,0.7,221970,0.5,294436,2.00 52,1,2024-09-07 09:23:10:586,753623,753623,0,0,353341018704,3696269098,743283,8987,1353,368,391722,0 52,2,2024-09-07 09:23:11:758,538085,538047,38,0,25269743,0,6742 52,3,2024-09-07 09:23:10:682,1,429,5,0,1782,5842,429,0 53,0,2024-09-07 09:23:11:732,109563,0.7,106412,0.8,222520,0.7,291961,2.25 53,1,2024-09-07 09:23:10:781,752093,752093,0,0,353388076170,3706233014,740173,8960,2960,367,391702,0 53,2,2024-09-07 09:23:11:299,543612,543611,1,0,22898124,0,5455 53,3,2024-09-07 09:23:10:697,1,429,0,0,308,3808,429,0 54,0,2024-09-07 09:23:11:615,102792,0.6,103032,0.7,205142,0.4,274431,2.25 54,1,2024-09-07 09:23:10:580,754216,754216,0,0,354382976024,3683648605,746727,6248,1241,366,391659,0 54,2,2024-09-07 09:23:10:865,543391,543359,32,0,26252436,0,6397 54,3,2024-09-07 09:23:10:774,1,429,1,0,676,6238,429,0 55,0,2024-09-07 09:23:11:760,101804,0.5,105130,0.7,212703,0.4,277447,2.25 55,1,2024-09-07 09:23:10:769,754654,754654,0,0,354039477831,3678445706,747022,6539,1093,365,391731,0 55,2,2024-09-07 09:23:10:752,541748,541692,56,0,25172736,0,7239 55,3,2024-09-07 09:23:10:684,1,429,1,0,304,4343,429,0 56,0,2024-09-07 09:23:11:569,113396,1.3,106995,1.2,220395,1.8,295350,2.75 56,1,2024-09-07 09:23:10:580,750076,750076,0,0,352787008003,3725095421,738558,9145,2373,381,391867,0 56,2,2024-09-07 09:23:11:308,542837,542837,0,0,24744332,0,3567 56,3,2024-09-07 09:23:11:059,1,429,1,0,705,5241,429,0 57,0,2024-09-07 09:23:10:943,109534,1.7,109299,1.3,219261,2.3,293995,3.25 57,1,2024-09-07 09:23:10:992,752859,752859,0,0,352757315542,3696223843,744252,7521,1086,366,392032,0 57,2,2024-09-07 09:23:11:330,540630,540630,0,0,26731559,0,3317 57,3,2024-09-07 09:23:11:744,1,429,0,0,455,4981,429,0 58,0,2024-09-07 09:23:10:574,103506,0.8,100505,1.0,210313,0.9,275665,2.50 58,1,2024-09-07 09:23:10:583,753169,753166,0,3,354443484191,3704322096,743214,8663,1289,367,391603,3 58,2,2024-09-07 09:23:11:072,542738,542738,0,0,24762522,0,2902 58,3,2024-09-07 09:23:11:072,1,429,5,0,1043,4597,429,0 59,0,2024-09-07 09:23:11:744,104175,0.7,103799,0.9,207368,0.7,275798,2.50 59,1,2024-09-07 09:23:10:808,752874,752874,0,0,353812236274,3705452444,742654,8411,1809,369,391653,0 59,2,2024-09-07 09:23:10:587,542703,542703,0,0,24744807,0,3727 59,3,2024-09-07 09:23:11:744,1,429,1,0,1015,5686,429,0 60,0,2024-09-07 09:23:11:716,108953,0.5,109017,0.7,218761,0.5,290693,1.75 60,1,2024-09-07 09:23:10:776,755160,755160,0,0,354635869046,3680497122,750017,4423,720,370,392031,0 60,2,2024-09-07 09:23:11:141,543869,543869,0,0,22943320,0,3811 60,3,2024-09-07 09:23:11:266,1,429,2,0,409,4989,429,0 61,0,2024-09-07 09:23:11:510,109906,0.8,110444,0.8,219925,0.8,293532,2.00 61,1,2024-09-07 09:23:10:770,753397,753397,0,0,352694337625,3695039681,745088,6878,1431,382,392127,0 61,2,2024-09-07 09:23:11:149,538749,538682,67,0,23228521,0,6411 61,3,2024-09-07 09:23:11:687,1,429,4,0,479,5753,429,0 62,0,2024-09-07 09:23:11:709,107923,0.5,110574,0.7,211079,0.5,286395,2.00 62,1,2024-09-07 09:23:11:115,757859,757853,0,6,355591626421,3666469275,754037,3555,261,365,391975,6 62,2,2024-09-07 09:23:11:644,542794,542793,1,0,23444502,0,5555 62,3,2024-09-07 09:23:11:148,1,429,1,0,482,3734,429,0 63,0,2024-09-07 09:23:11:467,103157,0.4,103098,0.5,206609,0.3,274655,1.75 63,1,2024-09-07 09:23:10:806,755441,755435,0,6,354110045202,3676659731,750462,4240,733,381,391800,6 63,2,2024-09-07 09:23:10:768,544260,544260,0,0,21393933,0,4369 63,3,2024-09-07 09:23:11:737,1,429,1,0,667,4415,429,0 64,0,2024-09-07 09:23:11:596,106711,0.5,106801,0.6,213052,0.5,283840,1.75 64,1,2024-09-07 09:23:10:757,754248,754248,0,0,354097034971,3689403039,747569,4976,1703,370,391783,0 64,2,2024-09-07 09:23:11:142,546460,546441,19,0,21266395,0,6121 64,3,2024-09-07 09:23:11:141,1,429,14,0,265,4201,429,0 65,0,2024-09-07 09:23:11:674,109088,0.7,109323,0.8,218358,0.8,290827,2.25 65,1,2024-09-07 09:23:10:866,752546,752546,0,0,352344818254,3682562482,747435,4571,540,381,391901,0 65,2,2024-09-07 09:23:11:693,540227,540227,0,0,24806134,0,3367 65,3,2024-09-07 09:23:11:684,1,429,1,0,782,5067,429,0 66,0,2024-09-07 09:23:11:768,109481,0.5,109314,0.7,218419,0.5,290508,2.25 66,1,2024-09-07 09:23:11:295,754789,754789,0,0,354078343562,3688980778,749291,4973,525,380,391743,0 66,2,2024-09-07 09:23:11:145,545826,545826,0,0,21713412,0,4956 66,3,2024-09-07 09:23:11:079,1,429,1,0,291,3721,429,0 67,0,2024-09-07 09:23:11:422,103401,0.4,103125,0.6,206772,0.4,274721,2.00 67,1,2024-09-07 09:23:10:772,754631,754630,0,1,354263012840,3690021354,749278,4560,792,380,391787,1 67,2,2024-09-07 09:23:10:590,545831,545831,0,0,20867630,0,3622 67,3,2024-09-07 09:23:11:750,1,429,1,0,392,4237,429,0 68,0,2024-09-07 09:23:10:566,105753,0.5,105716,0.7,210451,0.5,281689,2.00 68,1,2024-09-07 09:23:10:595,751851,751851,0,0,353089828571,3713390771,741796,7109,2946,381,391953,0 68,2,2024-09-07 09:23:11:060,539714,539614,100,0,27867868,0,8578 68,3,2024-09-07 09:23:10:734,1,429,13,0,417,4958,429,0 69,0,2024-09-07 09:23:11:725,110612,0.8,111423,0.8,221824,0.9,294162,2.25 69,1,2024-09-07 09:23:11:017,751460,751460,0,0,352087689892,3710482046,741687,7503,2270,384,391994,0 69,2,2024-09-07 09:23:11:738,541364,541335,29,0,29347160,0,6912 69,3,2024-09-07 09:23:10:765,1,429,1,0,698,6612,429,0 70,0,2024-09-07 09:23:11:534,109653,1.1,109945,1.1,221132,1.0,292962,2.50 70,1,2024-09-07 09:23:10:824,755052,755052,0,0,354802326312,3681880796,749072,5319,661,366,391725,0 70,2,2024-09-07 09:23:11:326,541975,541975,0,0,24556740,0,4323 70,3,2024-09-07 09:23:10:748,1,429,1,0,854,4986,429,0 71,0,2024-09-07 09:23:11:359,103128,0.8,102890,0.9,206681,0.9,276344,2.50 71,1,2024-09-07 09:23:11:600,753769,753769,0,0,353615655364,3688390036,745328,7638,803,368,391738,0 71,2,2024-09-07 09:23:11:078,542736,542736,0,0,24604797,0,4352 71,3,2024-09-07 09:23:11:750,1,429,3,0,644,5573,429,0 72,0,2024-09-07 09:23:11:026,108623,0.5,106239,0.7,207038,0.5,281639,2.00 72,1,2024-09-07 09:23:11:032,752415,752415,0,0,353258678395,3705970086,741548,8857,2010,369,391819,0 72,2,2024-09-07 09:23:11:762,541890,541890,0,0,26924746,0,3983 72,3,2024-09-07 09:23:11:761,1,429,3,0,564,6409,429,0 73,0,2024-09-07 09:23:11:121,107272,0.4,109877,0.6,224724,0.4,292717,2.00 73,1,2024-09-07 09:23:10:782,754042,754042,0,0,353459984944,3671895456,748700,4933,409,367,391858,0 73,2,2024-09-07 09:23:11:751,542772,542772,0,0,26303369,0,3701 73,3,2024-09-07 09:23:10:968,1,429,2,0,486,5726,429,0 74,0,2024-09-07 09:23:11:329,111892,0.5,114394,0.7,218033,0.5,296559,2.25 74,1,2024-09-07 09:23:10:639,752848,752848,0,0,353139881248,3686813920,744882,6371,1595,381,391762,0 74,2,2024-09-07 09:23:11:005,538968,538968,0,0,24078699,0,4253 74,3,2024-09-07 09:23:11:456,1,429,2,0,522,5558,429,0 75,0,2024-09-07 09:23:11:764,106318,0.5,105657,0.7,211837,0.5,282817,2.25 75,1,2024-09-07 09:23:11:592,754126,754126,0,0,353856945011,3690425638,747281,6017,828,380,391739,0 75,2,2024-09-07 09:23:11:350,542429,542429,0,0,26786861,0,4766 75,3,2024-09-07 09:23:11:068,1,429,16,0,702,5729,429,0 76,0,2024-09-07 09:23:10:591,103325,0.5,102577,0.7,205808,0.5,275033,2.25 76,1,2024-09-07 09:23:10:817,753695,753695,0,0,353414411358,3686876328,748267,4603,825,382,391692,0 76,2,2024-09-07 09:23:11:072,546350,546349,1,0,23412188,0,5144 76,3,2024-09-07 09:23:11:149,1,429,2,0,175,3902,429,0 77,0,2024-09-07 09:23:11:711,107983,0.5,108384,0.7,216588,0.5,287913,2.00 77,1,2024-09-07 09:23:10:843,754234,754234,0,0,353490948028,3688636240,748415,5313,506,381,391869,0 77,2,2024-09-07 09:23:11:286,543152,543152,0,0,22884080,0,3890 77,3,2024-09-07 09:23:11:096,1,429,1,0,401,4777,429,0 78,0,2024-09-07 09:23:11:742,110083,0.5,109468,0.7,219898,0.4,291268,2.00 78,1,2024-09-07 09:23:10:619,754317,754317,0,0,353403059369,3681443797,746451,6299,1567,367,391670,0 78,2,2024-09-07 09:23:11:404,539899,539886,13,0,21511134,0,8313 78,3,2024-09-07 09:23:11:137,1,429,1,0,181,3717,429,0 79,0,2024-09-07 09:23:11:344,104618,0.4,107070,0.6,219354,0.4,284847,2.25 79,1,2024-09-07 09:23:10:572,756014,756014,0,0,353537085271,3664959854,749442,5263,1309,367,391682,0 79,2,2024-09-07 09:23:11:075,545450,545450,0,0,21184667,0,4195 79,3,2024-09-07 09:23:10:750,1,429,0,0,418,5400,429,0 80,0,2024-09-07 09:23:11:098,102722,0.5,105611,0.6,202150,0.4,272773,2.00 80,1,2024-09-07 09:23:11:625,754009,754009,0,0,354047549202,3688000917,748452,5135,422,368,392269,0 80,2,2024-09-07 09:23:11:102,545945,545945,0,0,21461120,0,4433 80,3,2024-09-07 09:23:10:575,1,429,1,0,190,4987,429,0 81,0,2024-09-07 09:23:11:562,106095,0.5,108670,0.7,207272,0.5,282047,2.00 81,1,2024-09-07 09:23:11:664,753016,753016,0,0,353468050081,3693449052,747008,5467,541,382,391879,0 81,2,2024-09-07 09:23:11:139,541077,541014,63,0,23815822,0,5932 81,3,2024-09-07 09:23:11:122,1,429,0,0,719,5119,429,0 82,0,2024-09-07 09:23:11:532,110350,0.5,110531,0.7,221775,0.5,293825,2.00 82,1,2024-09-07 09:23:10:591,755349,755345,0,4,354780884826,3690514342,750648,3878,819,381,391768,4 82,2,2024-09-07 09:23:11:691,542711,542711,0,0,20252988,0,4484 82,3,2024-09-07 09:23:11:751,1,429,3,0,363,4596,429,0 83,0,2024-09-07 09:23:11:572,110249,0.6,110253,0.8,219971,0.7,292144,2.25 83,1,2024-09-07 09:23:10:551,753146,753146,0,0,352721512467,3678998246,747618,5078,450,382,391709,0 83,2,2024-09-07 09:23:10:768,543559,543559,0,0,20983148,0,3393 83,3,2024-09-07 09:23:10:763,1,429,0,0,1260,5510,429,0 84,0,2024-09-07 09:23:12:069,103628,0.6,103629,0.8,206600,0.5,276814,2.25 84,1,2024-09-07 09:23:11:062,752800,752800,0,0,353079006554,3688368637,745099,6658,1043,367,391967,0 84,2,2024-09-07 09:23:10:586,542612,542582,30,0,28270446,0,5971 84,3,2024-09-07 09:23:11:145,1,429,11,0,908,6418,429,0 85,0,2024-09-07 09:23:11:027,101470,0.5,101437,0.7,215281,0.5,278766,2.25 85,1,2024-09-07 09:23:10:560,750899,750899,0,0,352502812971,3718561677,740085,8894,1920,381,392006,0 85,2,2024-09-07 09:23:10:872,541588,541588,0,0,26193084,0,3656 85,3,2024-09-07 09:23:10:686,1,429,3,0,789,5241,429,0 86,0,2024-09-07 09:23:10:890,110885,0.7,114120,0.8,218438,0.7,295712,2.25 86,1,2024-09-07 09:23:10:832,753053,753053,0,0,353190255790,3698461279,744379,7233,1441,366,391961,0 86,2,2024-09-07 09:23:10:870,540652,540651,1,0,28344885,0,5004 86,3,2024-09-07 09:23:10:586,1,429,2,0,308,6074,429,0 87,0,2024-09-07 09:23:11:322,110964,1.0,110811,0.9,221717,1.3,296460,2.50 87,1,2024-09-07 09:23:10:559,751757,751757,0,0,352406367411,3694047648,741806,8357,1594,366,392076,0 87,2,2024-09-07 09:23:11:072,539658,539652,6,0,25049511,0,6323 87,3,2024-09-07 09:23:11:795,1,429,1,0,473,6749,429,0 88,0,2024-09-07 09:23:11:461,104931,0.4,105653,0.6,210241,0.4,279986,1.75 88,1,2024-09-07 09:23:10:574,751660,751660,0,0,353406500467,3701886773,741938,7714,2008,365,392084,0 88,2,2024-09-07 09:23:10:690,543372,543372,0,0,27406160,0,4465 88,3,2024-09-07 09:23:11:269,1,429,6,0,435,4824,429,0 89,0,2024-09-07 09:23:11:866,107304,0.4,103906,0.6,205594,0.4,279651,1.75 89,1,2024-09-07 09:23:10:550,751576,751576,0,0,352615531288,3706492960,742403,7746,1427,382,391866,0 89,2,2024-09-07 09:23:11:140,542588,542588,0,0,25800520,0,3173 89,3,2024-09-07 09:23:11:802,1,429,16,0,468,7528,429,0 90,0,2024-09-07 09:23:11:626,105873,0.5,108711,0.6,221833,0.4,289724,2.00 90,1,2024-09-07 09:23:10:620,752528,752528,0,0,353330159466,3698462980,745754,6262,512,380,391825,0 90,2,2024-09-07 09:23:11:406,541793,541793,0,0,27150771,0,3060 90,3,2024-09-07 09:23:10:929,1,429,29,0,322,5303,429,0 91,0,2024-09-07 09:23:10:970,110651,0.5,107123,0.7,223848,0.5,294259,1.75 91,1,2024-09-07 09:23:10:569,751595,751595,0,0,352724652640,3708008099,742337,7854,1404,381,392047,0 91,2,2024-09-07 09:23:11:336,539854,539854,0,0,23879127,0,2896 91,3,2024-09-07 09:23:10:604,1,429,12,0,216,4266,429,0 92,0,2024-09-07 09:23:11:484,108314,0.5,111010,0.6,211990,0.4,286780,1.75 92,1,2024-09-07 09:23:10:581,753512,753512,0,0,353123744794,3687025117,747979,4808,725,381,392136,0 92,2,2024-09-07 09:23:11:356,545174,545174,0,0,21542845,0,3259 92,3,2024-09-07 09:23:11:021,1,429,1,0,167,3787,429,0 93,0,2024-09-07 09:23:10:991,103690,0.4,106242,0.5,202670,0.3,274763,1.75 93,1,2024-09-07 09:23:11:058,753442,753442,0,0,354060964887,3695834821,745307,6752,1383,366,391776,0 93,2,2024-09-07 09:23:10:934,543672,543672,0,0,26166982,0,4845 93,3,2024-09-07 09:23:11:406,1,429,1,0,190,3824,429,0 94,0,2024-09-07 09:23:11:614,106672,0.3,107615,0.5,214696,0.3,285568,1.75 94,1,2024-09-07 09:23:10:572,754160,754160,0,0,354150275540,3693704020,749369,4581,210,381,391850,0 94,2,2024-09-07 09:23:10:769,542568,542568,0,0,21840936,0,2443 94,3,2024-09-07 09:23:11:690,1,429,1,0,576,5678,429,0 95,0,2024-09-07 09:23:11:368,110038,0.4,109851,0.5,220287,0.3,293355,1.75 95,1,2024-09-07 09:23:10:855,754560,754560,0,0,354840942530,3687806390,748509,5614,437,365,391786,0 95,2,2024-09-07 09:23:11:023,539921,539921,0,0,21562971,0,3308 95,3,2024-09-07 09:23:11:723,1,429,19,0,718,6239,429,0 96,0,2024-09-07 09:23:11:067,109912,0.4,110332,0.5,219911,0.3,291657,1.75 96,1,2024-09-07 09:23:11:609,753648,753648,0,0,353255850878,3686377071,748100,4664,884,384,391955,0 96,2,2024-09-07 09:23:11:269,544944,544944,0,0,22614141,0,4180 96,3,2024-09-07 09:23:11:163,1,429,16,0,411,4805,429,0 97,0,2024-09-07 09:23:11:332,103455,0.3,103015,0.5,206722,0.2,274632,1.50 97,1,2024-09-07 09:23:10:781,754978,754978,0,0,354472873138,3677957646,749828,4218,932,367,392140,0 97,2,2024-09-07 09:23:10:610,544054,544054,0,0,21713810,0,3679 97,3,2024-09-07 09:23:10:574,1,429,1,0,242,5115,429,0 98,0,2024-09-07 09:23:11:699,105417,0.3,105516,0.4,211594,0.2,281543,1.50 98,1,2024-09-07 09:23:10:574,753948,753948,0,0,354157750105,3690091482,748989,4167,792,382,391997,0 98,2,2024-09-07 09:23:10:771,542605,542605,0,0,21901368,0,4336 98,3,2024-09-07 09:23:10:701,1,429,1,0,840,7163,429,0 99,0,2024-09-07 09:23:11:563,111243,0.3,111946,0.5,222303,0.3,296801,1.75 99,1,2024-09-07 09:23:11:731,754456,754456,0,0,353513946646,3679425861,749509,4110,837,381,392069,0 99,2,2024-09-07 09:23:11:434,543181,543181,0,0,25727838,0,4276 99,3,2024-09-07 09:23:10:589,1,429,4,0,606,4709,429,0 100,0,2024-09-07 09:23:11:478,110622,0.9,110932,1.0,220975,1.0,295532,2.50 100,1,2024-09-07 09:23:10:558,750121,750121,0,0,351833188400,3715524414,739391,8683,2047,381,391989,0 100,2,2024-09-07 09:23:11:817,539932,539921,11,0,25218493,0,5417 100,3,2024-09-07 09:23:11:733,1,429,2,0,559,6900,429,0 101,0,2024-09-07 09:23:11:713,106296,0.8,103444,1.0,203295,0.7,278540,2.25 101,1,2024-09-07 09:23:10:570,750704,750704,0,0,352553968325,3707714745,740014,8566,2124,368,391847,0 101,2,2024-09-07 09:23:11:764,539681,539681,0,0,30149439,0,4871 101,3,2024-09-07 09:23:10:976,1,429,3,0,579,5886,429,0 102,0,2024-09-07 09:23:11:004,102412,0.6,105616,0.7,214023,0.5,280427,2.00 102,1,2024-09-07 09:23:11:155,751044,751044,0,0,352893699661,3707480428,741165,8117,1762,369,391891,0 102,2,2024-09-07 09:23:11:747,543332,543278,54,0,24316227,0,6768 102,3,2024-09-07 09:23:11:614,1,429,3,0,466,4757,429,0 103,0,2024-09-07 09:23:11:620,113616,0.6,113599,0.7,214001,0.6,295018,2.00 103,1,2024-09-07 09:23:11:628,750264,750264,0,0,352326768771,3720286204,738264,8934,3066,381,391829,0 103,2,2024-09-07 09:23:10:589,541692,541692,0,0,26921550,0,3766 103,3,2024-09-07 09:23:10:771,1,429,2,0,916,4785,429,0 104,0,2024-09-07 09:23:11:109,109860,0.8,110288,1.0,219564,0.8,294556,2.50 104,1,2024-09-07 09:23:11:617,752484,752484,0,0,353078132359,3708059292,741641,8979,1864,365,392168,0 104,2,2024-09-07 09:23:11:668,538176,538176,0,0,25654067,0,3941 104,3,2024-09-07 09:23:11:422,1,429,1,0,1245,8548,429,0 105,0,2024-09-07 09:23:11:050,104699,0.8,101956,1.0,213676,0.9,280876,2.50 105,1,2024-09-07 09:23:10:610,753407,753407,0,0,353751589216,3705729762,743963,8017,1427,366,392009,0 105,2,2024-09-07 09:23:11:333,542179,542179,0,0,25552824,0,3509 105,3,2024-09-07 09:23:11:307,1,429,1,0,399,6590,429,0 106,0,2024-09-07 09:23:10:953,100072,0.7,102615,0.9,210021,0.7,274563,2.50 106,1,2024-09-07 09:23:11:769,751961,751961,0,0,353052456853,3706435065,740920,9594,1447,369,391914,0 106,2,2024-09-07 09:23:10:772,542922,542922,0,0,25050990,0,2920 106,3,2024-09-07 09:23:10:680,1,429,1,0,470,5484,429,0 107,0,2024-09-07 09:23:11:145,108119,0.9,108414,0.9,216466,1.1,288922,2.25 107,1,2024-09-07 09:23:10:590,750927,750927,0,0,352185222809,3711799466,740699,9175,1053,381,392234,0 107,2,2024-09-07 09:23:11:291,541539,541538,1,0,25992451,0,5024 107,3,2024-09-07 09:23:11:761,1,429,5,0,370,6446,429,0 108,0,2024-09-07 09:23:11:841,109521,0.5,110325,0.6,219380,0.5,292460,1.75 108,1,2024-09-07 09:23:11:326,753593,753593,0,0,354012761442,3691334585,747452,5396,745,368,391857,0 108,2,2024-09-07 09:23:11:778,537975,537975,0,0,24226828,0,4246 108,3,2024-09-07 09:23:11:334,1,429,1,0,749,7983,429,0 109,0,2024-09-07 09:23:11:778,109013,0.4,108172,0.6,216395,0.4,289018,1.75 109,1,2024-09-07 09:23:10:588,751002,751002,0,0,353047462433,3705578259,743602,6215,1185,382,392132,0 109,2,2024-09-07 09:23:10:925,542319,542319,0,0,23842245,0,3617 109,3,2024-09-07 09:23:11:145,1,429,0,0,379,4995,429,0 110,0,2024-09-07 09:23:11:753,102522,0.3,99913,0.5,209296,0.3,273364,1.75 110,1,2024-09-07 09:23:11:644,754992,754992,0,0,354402982778,3678297766,749601,4213,1178,369,392045,0 110,2,2024-09-07 09:23:11:320,544049,544049,0,0,22735645,0,4067 110,3,2024-09-07 09:23:10:690,1,429,0,0,722,6106,429,0 111,0,2024-09-07 09:23:11:415,106453,0.4,105637,0.5,211951,0.3,283344,1.75 111,1,2024-09-07 09:23:11:000,754849,754849,0,0,355460283071,3685877580,750963,3531,355,380,391690,0 111,2,2024-09-07 09:23:11:119,541756,541756,0,0,22454820,0,4823 111,3,2024-09-07 09:23:10:920,1,429,1,0,379,5059,429,0 112,0,2024-09-07 09:23:10:912,111285,0.3,110959,0.4,222100,0.2,294840,1.50 112,1,2024-09-07 09:23:10:828,754996,754996,0,0,353588562945,3670160968,750280,4103,613,380,391624,0 112,2,2024-09-07 09:23:11:139,541227,541226,1,0,21709379,0,5036 112,3,2024-09-07 09:23:10:597,1,429,1,0,282,4240,429,0 113,0,2024-09-07 09:23:10:869,110346,0.3,110426,0.5,221283,0.3,294151,1.75 113,1,2024-09-07 09:23:11:685,756832,756832,0,0,355618995150,3668555364,752942,3301,589,366,391661,0 113,2,2024-09-07 09:23:11:307,545215,545215,0,0,20040107,0,3813 113,3,2024-09-07 09:23:10:684,1,429,1,0,340,4737,429,0 114,0,2024-09-07 09:23:10:874,104552,0.3,105169,0.4,209121,0.2,279460,1.75 114,1,2024-09-07 09:23:10:716,754433,754433,0,0,353939765009,3677532931,748149,4668,1616,381,391565,0 114,2,2024-09-07 09:23:10:877,544516,544515,1,0,21121199,0,5069 114,3,2024-09-07 09:23:11:281,1,429,2,0,395,3662,429,0 115,0,2024-09-07 09:23:10:553,105442,0.2,105986,0.4,211397,0.2,281249,1.50 115,1,2024-09-07 09:23:10:571,755071,755071,0,0,354368648568,3683762164,748580,5224,1267,382,391757,0 115,2,2024-09-07 09:23:11:137,544375,544375,0,0,20943711,0,4382 115,3,2024-09-07 09:23:11:007,1,429,1,0,159,2399,429,0 116,0,2024-09-07 09:23:11:701,110673,0.7,110776,0.8,221872,0.7,296746,2.00 116,1,2024-09-07 09:23:10:819,751040,751040,0,0,352914069481,3717139626,742431,6284,2325,380,392089,0 116,2,2024-09-07 09:23:11:752,541997,541997,0,0,26668162,0,4128 116,3,2024-09-07 09:23:10:912,1,429,1,0,415,5062,429,0 117,0,2024-09-07 09:23:10:993,111406,0.8,110803,0.8,222143,0.9,296776,2.00 117,1,2024-09-07 09:23:11:591,752239,752239,0,0,352466195043,3687943073,744487,6837,915,369,392033,0 117,2,2024-09-07 09:23:11:126,543198,543198,0,0,22818660,0,4303 117,3,2024-09-07 09:23:11:059,1,429,0,0,490,6527,429,0 118,0,2024-09-07 09:23:11:780,101961,0.5,104586,0.7,213537,0.4,278991,2.00 118,1,2024-09-07 09:23:10:598,752295,752295,0,0,352362167460,3707280146,740385,8911,2999,366,391907,0 118,2,2024-09-07 09:23:11:593,542619,542619,0,0,25133925,0,2842 118,3,2024-09-07 09:23:11:770,1,429,40,0,248,5089,429,0 119,0,2024-09-07 09:23:11:366,104107,0.5,104443,0.7,208849,0.5,278045,2.00 119,1,2024-09-07 09:23:10:583,752671,752671,0,0,353604596393,3699588457,744188,7367,1116,367,391780,0 119,2,2024-09-07 09:23:11:271,544191,544191,0,0,23800732,0,4174 119,3,2024-09-07 09:23:11:334,1,429,1,0,1358,8470,429,0 120,0,2024-09-07 09:23:11:593,108323,0.6,108172,0.8,217185,0.6,289915,2.25 120,1,2024-09-07 09:23:10:879,752857,752857,0,0,352688648646,3701148123,744484,7603,770,368,391961,0 120,2,2024-09-07 09:23:10:773,542651,542650,1,0,27020453,0,5281 120,3,2024-09-07 09:23:11:299,1,429,3,0,279,5354,429,0 121,0,2024-09-07 09:23:11:706,109970,1.5,109685,1.1,220119,2.0,293517,2.50 121,1,2024-09-07 09:23:11:660,753051,753051,0,0,353266555055,3692104029,746304,6287,460,367,391840,0 121,2,2024-09-07 09:23:11:129,537876,537876,0,0,25800918,0,4127 121,3,2024-09-07 09:23:10:738,1,429,12,0,269,4775,429,0 122,0,2024-09-07 09:23:11:814,106865,0.8,104253,0.9,218624,0.8,286593,2.00 122,1,2024-09-07 09:23:10:868,751552,751552,0,0,352301191214,3697186334,741112,8837,1603,366,392130,0 122,2,2024-09-07 09:23:11:333,544048,543975,73,0,28879437,0,5989 122,3,2024-09-07 09:23:10:600,1,429,21,0,512,7734,429,0 123,0,2024-09-07 09:23:10:963,102540,0.6,100064,0.7,209407,0.6,274135,2.00 123,1,2024-09-07 09:23:10:566,751477,751477,0,0,352550416132,3714772604,737929,11105,2443,369,392039,0 123,2,2024-09-07 09:23:11:021,542071,542070,1,0,24370758,0,5215 123,3,2024-09-07 09:23:11:134,1,429,2,0,478,5050,429,0 124,0,2024-09-07 09:23:10:938,110325,0.3,110349,0.5,207924,0.3,286198,1.75 124,1,2024-09-07 09:23:11:029,754463,754463,0,0,353896511419,3677953733,749185,4229,1049,367,392178,0 124,2,2024-09-07 09:23:11:009,543769,543716,53,0,22059043,0,6487 124,3,2024-09-07 09:23:10:763,1,429,0,0,490,4140,429,0 125,0,2024-09-07 09:23:11:456,109937,0.4,109765,0.6,220502,0.4,293397,1.75 125,1,2024-09-07 09:23:10:861,753007,753007,0,0,353701352543,3692865368,747096,5240,671,382,391702,0 125,2,2024-09-07 09:23:11:122,540917,540917,0,0,22750626,0,4534 125,3,2024-09-07 09:23:11:136,1,429,1,0,709,5382,429,0 126,0,2024-09-07 09:23:11:433,109788,0.4,113081,0.6,216326,0.4,292335,1.75 126,1,2024-09-07 09:23:10:556,755260,755260,0,0,354560443956,3671915758,751257,3709,294,365,391987,0 126,2,2024-09-07 09:23:10:611,545153,545153,0,0,23539480,0,4539 126,3,2024-09-07 09:23:10:909,1,429,5,0,268,5276,429,0 127,0,2024-09-07 09:23:11:593,103329,0.3,103608,0.5,206947,0.2,274766,1.50 127,1,2024-09-07 09:23:10:579,754432,754432,0,0,352814823778,3669939930,747090,6120,1222,364,392187,0 127,2,2024-09-07 09:23:10:639,542848,542848,0,0,21275321,0,3897 127,3,2024-09-07 09:23:11:267,1,429,1,0,968,4620,429,0 128,0,2024-09-07 09:23:11:656,105935,0.3,106275,0.4,212033,0.2,281950,1.50 128,1,2024-09-07 09:23:11:637,754111,754111,0,0,354811208291,3683015119,749202,4476,433,367,392031,0 128,2,2024-09-07 09:23:11:382,543519,543519,0,0,20693991,0,3171 128,3,2024-09-07 09:23:10:770,1,429,1,0,1082,6745,429,0 129,0,2024-09-07 09:23:10:999,112151,0.3,111615,0.5,223658,0.3,297162,1.75 129,1,2024-09-07 09:23:10:572,751610,751610,0,0,352668934950,3696007435,743939,6001,1670,379,391962,0 129,2,2024-09-07 09:23:10:702,543172,543172,0,0,22072528,0,4031 129,3,2024-09-07 09:23:10:690,1,429,2,0,506,5862,429,0 130,0,2024-09-07 09:23:11:736,111622,0.5,111172,0.6,223589,0.5,296854,1.75 130,1,2024-09-07 09:23:10:588,754576,754576,0,0,353993070700,3678440907,750540,3697,339,381,391825,0 130,2,2024-09-07 09:23:11:132,543479,543479,0,0,22507355,0,4067 130,3,2024-09-07 09:23:11:294,1,429,1,0,960,6741,429,0 131,0,2024-09-07 09:23:11:929,103911,0.3,104448,0.5,209871,0.3,278448,1.75 131,1,2024-09-07 09:23:11:832,754068,754068,0,0,353735910078,3694813864,748105,4915,1048,381,391865,0 131,2,2024-09-07 09:23:10:572,545600,545600,0,0,20742339,0,3979 131,3,2024-09-07 09:23:11:697,1,429,5,0,392,4819,429,0 132,0,2024-09-07 09:23:11:427,105210,0.4,106218,0.6,211250,0.4,281206,1.75 132,1,2024-09-07 09:23:10:577,750393,750393,0,0,352489069885,3712009430,739258,9027,2108,381,392532,0 132,2,2024-09-07 09:23:10:699,542360,542343,17,0,27186959,0,6451 132,3,2024-09-07 09:23:11:700,1,429,2,0,804,7576,429,0 133,0,2024-09-07 09:23:11:559,107195,0.4,109730,0.6,224967,0.4,293337,1.75 133,1,2024-09-07 09:23:10:592,750618,750618,0,0,352548276754,3716230672,740073,9030,1515,383,391914,0 133,2,2024-09-07 09:23:11:088,542280,542230,50,0,28563544,0,6861 133,3,2024-09-07 09:23:11:308,1,429,11,0,479,4550,429,0 134,0,2024-09-07 09:23:10:943,111459,0.6,111079,0.7,222307,0.6,296939,2.00 134,1,2024-09-07 09:23:10:604,752005,752005,0,0,352758458027,3700005647,742208,7762,2035,366,391718,0 134,2,2024-09-07 09:23:11:761,537892,537868,24,0,26137731,0,6207 134,3,2024-09-07 09:23:10:751,1,429,7,0,739,5464,429,0 135,0,2024-09-07 09:23:11:127,102550,0.8,102545,0.8,217692,0.8,280390,2.25 135,1,2024-09-07 09:23:11:593,752115,752115,0,0,353556262002,3719049105,742156,8588,1371,380,391805,0 135,2,2024-09-07 09:23:10:691,543676,543676,0,0,25545990,0,3981 135,3,2024-09-07 09:23:11:006,1,429,1,0,299,3743,429,0 136,0,2024-09-07 09:23:11:754,104069,0.5,104203,0.7,207392,0.4,276827,2.00 136,1,2024-09-07 09:23:11:444,752686,752686,0,0,353290146467,3704704116,744116,7607,963,381,391685,0 136,2,2024-09-07 09:23:11:143,545280,545280,0,0,24398032,0,3506 136,3,2024-09-07 09:23:11:107,1,429,2,0,637,4950,429,0 137,0,2024-09-07 09:23:10:929,111648,0.6,108677,0.7,213215,0.6,290040,2.00 137,1,2024-09-07 09:23:10:575,751088,751088,0,0,352770495670,3701356384,739536,9193,2359,366,391898,0 137,2,2024-09-07 09:23:11:707,541887,541887,0,0,27016157,0,3185 137,3,2024-09-07 09:23:10:772,1,429,1,0,484,5286,429,0 138,0,2024-09-07 09:23:11:771,109308,1.0,109289,1.0,219373,1.3,291219,2.25 138,1,2024-09-07 09:23:11:685,752353,752353,0,0,353405070057,3705885998,742111,8519,1723,368,391954,0 138,2,2024-09-07 09:23:10:608,538473,538473,0,0,24440647,0,4988 138,3,2024-09-07 09:23:10:618,1,429,29,0,1160,5695,429,0 139,0,2024-09-07 09:23:11:361,107508,1.5,107570,1.1,215585,2.2,287518,2.50 139,1,2024-09-07 09:23:10:583,748380,748380,0,0,351220647846,3732877424,733702,11310,3368,380,392058,0 139,2,2024-09-07 09:23:10:696,540294,540294,0,0,28291794,0,3097 139,3,2024-09-07 09:23:11:664,1,429,1,0,432,5012,429,0 140,0,2024-09-07 09:23:11:592,103089,0.3,102552,0.5,205874,0.2,273755,1.75 140,1,2024-09-07 09:23:11:536,756311,756311,0,0,354693673545,3656461321,752746,3124,441,365,391606,0 140,2,2024-09-07 09:23:10:687,544780,544779,1,0,21482918,0,5036 140,3,2024-09-07 09:23:10:774,1,429,1,0,297,3579,429,0 141,0,2024-09-07 09:23:11:717,106269,0.3,109068,0.5,208444,0.2,283157,1.50 141,1,2024-09-07 09:23:10:862,754965,754965,0,0,355000437104,3686786272,749279,4729,957,379,391614,0 141,2,2024-09-07 09:23:11:686,542931,542931,0,0,21225959,0,3360 141,3,2024-09-07 09:23:11:048,1,429,1,0,391,4926,429,0 142,0,2024-09-07 09:23:11:311,111450,0.3,110786,0.5,221584,0.3,295428,1.75 142,1,2024-09-07 09:23:10:587,754077,754077,0,0,353492766995,3684121082,749387,4292,398,382,392102,0 142,2,2024-09-07 09:23:11:307,540827,540795,32,0,23331000,0,6028 142,3,2024-09-07 09:23:11:760,1,429,3,0,484,4822,429,0 143,0,2024-09-07 09:23:11:370,110434,0.5,110681,0.6,221440,0.5,294201,1.75 143,1,2024-09-07 09:23:10:560,755346,755346,0,0,354804475633,3681791868,750302,4500,544,367,391705,0 143,2,2024-09-07 09:23:10:770,543647,543647,0,0,22354126,0,3123 143,3,2024-09-07 09:23:11:141,1,429,1,0,462,5317,429,0 144,0,2024-09-07 09:23:11:499,101058,0.6,103802,0.8,211599,0.5,277359,2.00 144,1,2024-09-07 09:23:10:573,751202,751202,0,0,351715844810,3692375127,743488,5880,1834,381,391649,0 144,2,2024-09-07 09:23:11:761,544213,544213,0,0,21220787,0,3673 144,3,2024-09-07 09:23:11:744,1,429,4,0,249,4372,429,0 145,0,2024-09-07 09:23:11:358,101934,0.5,101876,0.7,216105,0.5,278532,2.25 145,1,2024-09-07 09:23:10:555,751110,751110,0,0,352056380797,3702811663,741477,7971,1662,382,391759,0 145,2,2024-09-07 09:23:11:429,540815,540734,81,0,26269753,0,7814 145,3,2024-09-07 09:23:10:895,1,429,2,0,622,6161,429,0 146,0,2024-09-07 09:23:11:615,110972,0.6,110492,0.8,222506,0.6,295274,2.25 146,1,2024-09-07 09:23:11:614,752617,752617,0,0,352555746440,3701192632,741602,8895,2120,367,391770,0 146,2,2024-09-07 09:23:11:702,541230,541230,0,0,25014209,0,3290 146,3,2024-09-07 09:23:11:282,1,429,6,0,1520,8049,429,0 147,0,2024-09-07 09:23:11:727,111264,0.7,111202,0.8,221593,0.7,296314,2.25 147,1,2024-09-07 09:23:11:376,755291,755291,0,0,353985032822,3681284866,748653,5793,845,368,391791,0 147,2,2024-09-07 09:23:11:009,540613,540613,0,0,22369642,0,2968 147,3,2024-09-07 09:23:10:929,1,429,3,0,1626,7401,429,0 0,0,2024-09-07 09:23:21:750,106307,0.6,106247,0.7,225400,0.7,291535,2.00 0,1,2024-09-07 09:23:20:801,754352,754352,0,0,353897105805,3703119928,748900,5064,388,369,391896,0 0,2,2024-09-07 09:23:21:068,546074,546074,0,0,21853825,0,4480 0,3,2024-09-07 09:23:20:973,1,430,0,0,431,6362,430,0 1,0,2024-09-07 09:23:21:764,110439,1.2,109736,1.1,220142,1.7,294736,2.25 1,1,2024-09-07 09:23:20:557,753870,753870,0,0,353127102754,3695664824,747441,5103,1326,370,391859,0 1,2,2024-09-07 09:23:20:646,539549,539549,0,0,22118409,0,3380 1,3,2024-09-07 09:23:21:302,1,430,17,0,268,5250,430,0 2,0,2024-09-07 09:23:21:569,107226,0.6,107430,0.8,214088,0.7,285446,2.00 2,1,2024-09-07 09:23:20:859,756487,756487,0,0,354714735066,3681286735,753200,2992,295,380,391745,0 2,2,2024-09-07 09:23:21:265,545819,545819,0,0,20754034,0,3594 2,3,2024-09-07 09:23:20:691,1,430,0,0,357,4167,430,0 3,0,2024-09-07 09:23:21:745,103441,0.4,103122,0.6,206143,0.3,274775,2.00 3,1,2024-09-07 09:23:21:619,755015,755015,0,0,354093419881,3687154838,748794,5531,690,379,391716,0 3,2,2024-09-07 09:23:21:142,545809,545786,23,0,21932684,0,5851 3,3,2024-09-07 09:23:21:756,1,430,1,0,207,2840,430,0 4,0,2024-09-07 09:23:21:766,104362,0.4,107167,0.5,218617,0.3,286484,1.75 4,1,2024-09-07 09:23:20:597,752464,752464,0,0,352510158464,3725298019,740646,9310,2508,370,391992,0 4,2,2024-09-07 09:23:21:030,542429,542429,0,0,25109831,0,4528 4,3,2024-09-07 09:23:21:026,1,430,9,0,448,5588,430,0 5,0,2024-09-07 09:23:21:419,109958,0.4,110622,0.6,220568,0.4,292895,1.75 5,1,2024-09-07 09:23:20:785,753595,753595,0,0,353632657628,3722209622,743165,8272,2158,367,392005,0 5,2,2024-09-07 09:23:21:830,538055,538055,0,0,24920065,0,3582 5,3,2024-09-07 09:23:21:732,1,430,1,0,457,6011,430,0 6,0,2024-09-07 09:23:20:919,110101,0.5,109699,0.6,219252,0.4,292163,2.00 6,1,2024-09-07 09:23:20:748,754552,754552,0,0,354310498972,3702144549,746342,6817,1393,379,391694,0 6,2,2024-09-07 09:23:21:116,544894,544876,18,0,24895749,0,5535 6,3,2024-09-07 09:23:21:274,1,430,1,0,710,5451,430,0 7,0,2024-09-07 09:23:21:530,102658,0.5,103377,0.6,205872,0.4,273549,1.75 7,1,2024-09-07 09:23:20:850,753912,753912,0,0,353945480501,3712316940,744141,8307,1464,382,391747,0 7,2,2024-09-07 09:23:20:771,545849,545849,0,0,24182909,0,4791 7,3,2024-09-07 09:23:20:851,1,430,1,0,552,4964,430,0 8,0,2024-09-07 09:23:21:343,106195,0.3,105898,0.5,212221,0.3,282914,1.75 8,1,2024-09-07 09:23:21:016,752504,752504,0,0,353775925322,3719536983,740891,8982,2631,366,392853,0 8,2,2024-09-07 09:23:20:807,539109,539109,0,0,28339827,0,3250 8,3,2024-09-07 09:23:20:600,1,430,3,0,538,7071,430,0 9,0,2024-09-07 09:23:21:106,111592,0.4,108295,0.5,226718,0.3,296869,1.75 9,1,2024-09-07 09:23:20:568,752289,752289,0,0,353079336263,3718875371,741213,8655,2421,369,392001,0 9,2,2024-09-07 09:23:21:085,542218,542218,0,0,25219429,0,3360 9,3,2024-09-07 09:23:21:756,1,430,1,0,496,6096,430,0 10,0,2024-09-07 09:23:21:598,111069,0.4,110510,0.5,221993,0.3,295582,1.75 10,1,2024-09-07 09:23:20:583,753948,753948,0,0,353931624235,3709673703,743564,8761,1623,381,391741,0 10,2,2024-09-07 09:23:20:764,543345,543345,0,0,27715475,0,4264 10,3,2024-09-07 09:23:20:875,1,430,17,0,649,4615,430,0 11,0,2024-09-07 09:23:21:009,104267,0.4,101139,0.6,211566,0.4,279339,1.75 11,1,2024-09-07 09:23:20:571,754414,754414,0,0,354300974503,3716580339,743086,8702,2626,383,391756,0 11,2,2024-09-07 09:23:21:126,543808,543808,0,0,24408623,0,4130 11,3,2024-09-07 09:23:21:299,1,430,3,0,843,6220,430,0 12,0,2024-09-07 09:23:20:968,106151,0.4,106219,0.5,212516,0.3,281955,1.75 12,1,2024-09-07 09:23:20:946,754387,754387,0,0,353452710976,3683848056,748828,5057,502,370,391870,0 12,2,2024-09-07 09:23:21:572,544278,544278,0,0,23503867,0,3469 12,3,2024-09-07 09:23:21:059,1,430,0,0,386,6351,430,0 13,0,2024-09-07 09:23:21:341,111423,0.4,110979,0.6,221594,0.4,295851,1.75 13,1,2024-09-07 09:23:21:567,753170,753170,0,0,353264089976,3713129812,745551,5987,1632,382,391740,0 13,2,2024-09-07 09:23:20:609,546260,546260,0,0,21819291,0,3287 13,3,2024-09-07 09:23:21:762,1,430,1,0,522,6277,430,0 14,0,2024-09-07 09:23:20:561,111131,0.5,112216,0.6,221972,0.4,296470,2.00 14,1,2024-09-07 09:23:21:583,758428,758428,0,0,356096139804,3687645821,752207,5512,709,364,391673,0 14,2,2024-09-07 09:23:20:764,541269,541239,30,0,23767845,0,6104 14,3,2024-09-07 09:23:21:115,1,430,2,0,1168,4735,430,0 15,0,2024-09-07 09:23:21:562,105802,0.4,105706,0.6,211656,0.4,281599,2.00 15,1,2024-09-07 09:23:21:607,755519,755519,0,0,354211598395,3689078915,749294,5046,1179,381,391619,0 15,2,2024-09-07 09:23:21:000,546831,546831,0,0,19519250,0,3622 15,3,2024-09-07 09:23:21:404,1,430,0,0,1126,6957,430,0 16,0,2024-09-07 09:23:20:983,104048,0.5,104433,0.7,208278,0.5,277078,2.00 16,1,2024-09-07 09:23:20:569,755264,755264,0,0,355006742452,3711141095,748660,5491,1113,370,391917,0 16,2,2024-09-07 09:23:21:435,545242,545242,0,0,23470699,0,4719 16,3,2024-09-07 09:23:21:142,1,430,4,0,317,5416,430,0 17,0,2024-09-07 09:23:21:789,112042,0.6,109353,0.8,213833,0.6,290801,2.00 17,1,2024-09-07 09:23:20:568,753728,753728,0,0,353591028565,3709347642,746082,6132,1514,368,392075,0 17,2,2024-09-07 09:23:21:667,546374,546374,0,0,23256763,0,3779 17,3,2024-09-07 09:23:20:574,1,430,12,0,518,6840,430,0 18,0,2024-09-07 09:23:20:943,108776,0.7,109319,0.8,217830,0.8,291051,2.25 18,1,2024-09-07 09:23:21:639,756807,756807,0,0,355283957216,3681324970,752807,3621,379,367,391725,0 18,2,2024-09-07 09:23:21:754,542506,542506,0,0,20577196,0,3541 18,3,2024-09-07 09:23:20:896,1,430,0,0,163,3288,430,0 19,0,2024-09-07 09:23:21:543,107891,0.6,108436,0.8,215109,0.6,286164,2.25 19,1,2024-09-07 09:23:20:573,757036,757036,0,0,355069568351,3682533936,751088,5027,921,367,391777,0 19,2,2024-09-07 09:23:21:752,547924,547924,0,0,19557050,0,3988 19,3,2024-09-07 09:23:21:129,1,430,2,0,524,3458,430,0 20,0,2024-09-07 09:23:21:395,102792,0.4,102687,0.6,205394,0.4,273645,2.00 20,1,2024-09-07 09:23:20:567,754374,754374,0,0,354188428105,3704275340,747663,5910,801,369,391922,0 20,2,2024-09-07 09:23:20:944,544735,544735,0,0,23550272,0,3721 20,3,2024-09-07 09:23:20:589,1,430,7,0,414,6265,430,0 21,0,2024-09-07 09:23:21:130,106445,0.5,106697,0.6,212887,0.4,283150,1.75 21,1,2024-09-07 09:23:21:552,752386,752386,0,0,353335690140,3724883431,741424,8483,2479,368,392016,0 21,2,2024-09-07 09:23:21:069,540880,540860,20,0,28621224,0,5617 21,3,2024-09-07 09:23:21:414,1,430,1,0,713,5729,430,0 22,0,2024-09-07 09:23:21:716,110682,0.5,111022,0.7,221857,0.5,293829,2.00 22,1,2024-09-07 09:23:21:023,753716,753716,0,0,354023567025,3719774751,742562,8927,2227,382,391667,0 22,2,2024-09-07 09:23:20:760,540868,540842,26,0,22752554,0,6328 22,3,2024-09-07 09:23:21:072,1,430,1,0,228,3514,430,0 23,0,2024-09-07 09:23:21:368,110162,0.5,109452,0.7,219487,0.5,292405,2.25 23,1,2024-09-07 09:23:21:003,754665,754665,0,0,354287374943,3720046563,741556,9055,4054,365,391690,0 23,2,2024-09-07 09:23:21:092,546287,546287,0,0,22323239,0,3773 23,3,2024-09-07 09:23:21:753,1,430,4,0,720,5575,430,0 24,0,2024-09-07 09:23:20:839,105065,0.4,104535,0.5,210201,0.3,279014,1.75 24,1,2024-09-07 09:23:20:582,753773,753773,0,0,353228822274,3702423429,745770,6410,1593,367,392269,0 24,2,2024-09-07 09:23:21:069,544204,544204,0,0,26750739,0,3607 24,3,2024-09-07 09:23:21:688,1,430,3,0,468,5824,430,0 25,0,2024-09-07 09:23:21:350,108545,0.4,105706,0.6,207382,0.3,282837,1.75 25,1,2024-09-07 09:23:20:559,753259,753259,0,0,353872651869,3728754531,741241,9769,2249,371,391928,0 25,2,2024-09-07 09:23:21:605,541823,541823,0,0,27332320,0,3978 25,3,2024-09-07 09:23:21:003,1,430,0,0,532,4847,430,0 26,0,2024-09-07 09:23:21:721,111204,0.4,108551,0.6,227763,0.4,296399,2.00 26,1,2024-09-07 09:23:21:541,755429,755429,0,0,353945320955,3705156644,744799,9046,1584,380,391748,0 26,2,2024-09-07 09:23:20:861,544082,544082,0,0,27455700,0,2809 26,3,2024-09-07 09:23:21:715,1,430,4,0,796,5172,430,0 27,0,2024-09-07 09:23:21:724,111651,0.5,111712,0.6,222309,0.4,296942,2.25 27,1,2024-09-07 09:23:21:676,756232,756232,0,0,355312691626,3700867463,748965,6366,901,381,391626,0 27,2,2024-09-07 09:23:20:869,539855,539790,65,0,25041440,0,5699 27,3,2024-09-07 09:23:21:015,1,430,1,0,564,4116,430,0 28,0,2024-09-07 09:23:21:411,105435,0.4,104997,0.6,210747,0.3,280759,1.75 28,1,2024-09-07 09:23:20:802,755600,755600,0,0,354997853518,3704714331,748412,5489,1699,383,391698,0 28,2,2024-09-07 09:23:21:764,544810,544810,0,0,22720911,0,2915 28,3,2024-09-07 09:23:21:778,1,430,1,0,502,4687,430,0 29,0,2024-09-07 09:23:21:361,107753,0.3,104945,0.5,205815,0.3,280779,1.75 29,1,2024-09-07 09:23:21:568,758369,758369,0,0,355800107934,3681243371,753503,4131,735,368,391809,0 29,2,2024-09-07 09:23:20:862,544535,544535,0,0,21527331,0,4986 29,3,2024-09-07 09:23:20:963,1,430,2,0,459,5030,430,0 30,0,2024-09-07 09:23:21:470,109110,0.5,106011,0.7,222023,0.4,290537,2.00 30,1,2024-09-07 09:23:20:572,756855,756855,0,0,355202119432,3688811876,750786,5303,766,381,391672,0 30,2,2024-09-07 09:23:21:274,545167,545167,0,0,21084305,0,4192 30,3,2024-09-07 09:23:20:584,1,430,1,0,519,4184,430,0 31,0,2024-09-07 09:23:21:761,110064,0.5,110571,0.7,220650,0.5,294759,2.00 31,1,2024-09-07 09:23:20:565,760529,760529,0,0,357462655255,3663233202,757513,2430,586,356,391712,0 31,2,2024-09-07 09:23:21:275,540069,540069,0,0,22636921,0,3525 31,3,2024-09-07 09:23:21:706,1,430,2,0,220,3764,430,0 32,0,2024-09-07 09:23:21:416,107597,0.3,108343,0.5,215586,0.3,287145,1.75 32,1,2024-09-07 09:23:20:810,756644,756644,0,0,354588292867,3688604301,751528,4435,681,381,391646,0 32,2,2024-09-07 09:23:20:936,546688,546688,0,0,20486991,0,3922 32,3,2024-09-07 09:23:21:015,1,430,1,0,304,3392,430,0 33,0,2024-09-07 09:23:21:503,103425,0.3,103008,0.4,206676,0.2,275260,1.50 33,1,2024-09-07 09:23:20:575,757544,757544,0,0,355389667439,3685445614,751031,5408,1105,368,391730,0 33,2,2024-09-07 09:23:20:761,546096,546061,35,0,22894617,0,7012 33,3,2024-09-07 09:23:20:900,1,430,1,0,329,4143,430,0 34,0,2024-09-07 09:23:20:930,107736,0.3,110811,0.4,211835,0.2,285734,1.75 34,1,2024-09-07 09:23:21:043,758756,758756,0,0,356907334823,3669449976,757014,1730,12,367,391562,0 34,2,2024-09-07 09:23:20:766,545146,545146,0,0,21195820,0,4562 34,3,2024-09-07 09:23:21:687,1,430,1,0,299,3242,430,0 35,0,2024-09-07 09:23:20:860,109394,0.4,109993,0.5,220953,0.3,293596,1.75 35,1,2024-09-07 09:23:21:079,756790,756790,0,0,354861123425,3678045412,752249,3683,858,382,391769,0 35,2,2024-09-07 09:23:21:583,541052,541052,0,0,22816419,0,4055 35,3,2024-09-07 09:23:20:907,1,430,1,0,418,4512,430,0 36,0,2024-09-07 09:23:21:539,110129,0.5,110040,0.7,220135,0.4,292506,2.00 36,1,2024-09-07 09:23:20:583,755767,755767,0,0,354650521572,3703366925,746119,7648,2000,366,391759,0 36,2,2024-09-07 09:23:21:760,546024,546024,0,0,24168250,0,3875 36,3,2024-09-07 09:23:20:862,1,430,1,0,416,6080,430,0 37,0,2024-09-07 09:23:21:376,102739,0.4,103030,0.6,205736,0.4,273818,2.00 37,1,2024-09-07 09:23:20:570,755357,755350,0,7,354363083128,3700290607,746530,6544,2276,365,391770,0 37,2,2024-09-07 09:23:21:142,543353,543338,15,0,24047400,0,5815 37,3,2024-09-07 09:23:21:769,1,430,0,0,888,6365,430,0 38,0,2024-09-07 09:23:21:451,105424,0.4,102328,0.6,213966,0.4,280509,2.00 38,1,2024-09-07 09:23:21:604,756596,756596,0,0,355146839862,3711994313,745851,8584,2161,368,391821,0 38,2,2024-09-07 09:23:20:760,543031,542984,47,0,23771439,0,6710 38,3,2024-09-07 09:23:20:999,1,430,1,0,689,5466,430,0 39,0,2024-09-07 09:23:21:765,113865,0.6,111374,0.7,217220,0.6,295881,2.00 39,1,2024-09-07 09:23:20:720,754468,754468,0,0,354782538426,3718992616,742027,9750,2691,365,391658,0 39,2,2024-09-07 09:23:21:421,543503,543503,0,0,22650481,0,3391 39,3,2024-09-07 09:23:20:721,1,430,7,0,324,4889,430,0 40,0,2024-09-07 09:23:21:527,109935,0.8,110522,1.0,220470,0.9,294168,2.75 40,1,2024-09-07 09:23:20:596,755060,755060,0,0,353921736050,3706534578,744241,8775,2044,368,391668,0 40,2,2024-09-07 09:23:21:303,542854,542853,1,0,26243193,0,5137 40,3,2024-09-07 09:23:21:142,1,430,1,0,1028,5960,430,0 41,0,2024-09-07 09:23:21:023,103930,1.3,106223,1.2,202593,2.0,275932,3.00 41,1,2024-09-07 09:23:20:768,753980,753980,0,0,354052681744,3702987232,744797,8143,1040,369,391742,0 41,2,2024-09-07 09:23:20:759,542834,542834,0,0,25147645,0,4277 41,3,2024-09-07 09:23:21:681,1,430,4,0,366,4455,430,0 42,0,2024-09-07 09:23:21:482,104789,0.8,104917,0.9,209815,0.8,277745,2.50 42,1,2024-09-07 09:23:21:439,752132,752132,0,0,353034514484,3710136882,740050,10051,2031,380,391675,0 42,2,2024-09-07 09:23:21:135,543211,543211,0,0,25319948,0,3790 42,3,2024-09-07 09:23:21:011,1,430,1,0,892,4265,430,0 43,0,2024-09-07 09:23:20:918,109484,0.7,106511,0.9,223164,0.8,293146,2.25 43,1,2024-09-07 09:23:20:576,755594,755594,0,0,354910978532,3707989416,745214,8641,1739,366,391696,0 43,2,2024-09-07 09:23:21:736,544721,544721,0,0,24966414,0,3812 43,3,2024-09-07 09:23:21:749,1,430,1,0,548,5700,430,0 44,0,2024-09-07 09:23:20:859,111267,0.5,111508,0.7,223413,0.4,297034,2.00 44,1,2024-09-07 09:23:20:563,757092,757092,0,0,354609768928,3665871499,750598,5176,1318,356,391809,0 44,2,2024-09-07 09:23:21:267,540250,540250,0,0,20826206,0,4344 44,3,2024-09-07 09:23:21:102,1,430,1,0,817,5042,430,0 45,0,2024-09-07 09:23:21:780,104673,0.5,102208,0.7,214405,0.5,282101,2.00 45,1,2024-09-07 09:23:21:021,756118,756118,0,0,355055097515,3689270763,750459,5086,573,382,391917,0 45,2,2024-09-07 09:23:21:267,546101,546101,0,0,21167073,0,3596 45,3,2024-09-07 09:23:20:935,1,430,5,0,271,3691,430,0 46,0,2024-09-07 09:23:20:959,103506,0.5,103377,0.7,207138,0.4,274819,2.00 46,1,2024-09-07 09:23:20:575,757669,757669,0,0,355590782976,3672701238,752999,4079,591,366,391709,0 46,2,2024-09-07 09:23:20:602,546005,546005,0,0,21342700,0,4443 46,3,2024-09-07 09:23:21:131,1,430,1,0,908,5415,430,0 47,0,2024-09-07 09:23:21:103,109038,0.4,109277,0.6,218799,0.4,290017,1.75 47,1,2024-09-07 09:23:20:567,758583,758583,0,0,355388374046,3672793456,753726,4019,838,366,391641,0 47,2,2024-09-07 09:23:20:908,546916,546916,0,0,20958798,0,4477 47,3,2024-09-07 09:23:21:115,1,430,0,0,600,5244,430,0 48,0,2024-09-07 09:23:21:501,110435,0.3,110311,0.5,219773,0.2,293111,1.75 48,1,2024-09-07 09:23:21:022,756418,756418,0,0,355099454161,3688002346,751707,4255,456,384,391710,0 48,2,2024-09-07 09:23:20:699,540235,540235,0,0,19582953,0,3411 48,3,2024-09-07 09:23:20:754,1,430,1,0,339,3458,430,0 49,0,2024-09-07 09:23:21:731,111274,0.4,109370,0.5,212169,0.3,290097,1.75 49,1,2024-09-07 09:23:21:021,756205,756205,0,0,354177700017,3684873861,751050,3949,1206,382,391809,0 49,2,2024-09-07 09:23:21:797,546311,546311,0,0,21649561,0,4426 49,3,2024-09-07 09:23:21:646,1,430,1,0,992,5146,430,0 50,0,2024-09-07 09:23:21:510,103370,0.3,101722,0.4,205475,0.2,273336,1.75 50,1,2024-09-07 09:23:21:012,758750,758750,0,0,355716442212,3677687665,753820,4356,574,368,391565,0 50,2,2024-09-07 09:23:21:075,545405,545405,0,0,19897155,0,4490 50,3,2024-09-07 09:23:21:292,1,430,5,0,567,4348,430,0 51,0,2024-09-07 09:23:21:685,109407,0.3,106990,0.5,208686,0.2,284772,1.75 51,1,2024-09-07 09:23:21:683,758636,758636,0,0,356663018697,3677829059,754683,2904,1049,365,391706,0 51,2,2024-09-07 09:23:21:319,544389,544389,0,0,18882953,0,3337 51,3,2024-09-07 09:23:21:026,1,430,1,0,678,3501,430,0 52,0,2024-09-07 09:23:21:418,111049,0.5,111016,0.7,222157,0.5,294728,2.00 52,1,2024-09-07 09:23:20:586,755356,755356,0,0,354008533308,3703086368,745016,8987,1353,368,391722,0 52,2,2024-09-07 09:23:21:754,538874,538836,38,0,25279843,0,6742 52,3,2024-09-07 09:23:20:685,1,430,5,0,1782,5847,430,0 53,0,2024-09-07 09:23:21:754,109678,0.7,106538,0.8,222754,0.7,292208,2.25 53,1,2024-09-07 09:23:20:783,753836,753836,0,0,354515845666,3717637409,741916,8960,2960,367,391702,0 53,2,2024-09-07 09:23:21:300,545091,545090,1,0,22916890,0,5455 53,3,2024-09-07 09:23:20:697,1,430,1,0,308,3809,430,0 54,0,2024-09-07 09:23:21:614,103030,0.6,103241,0.7,205585,0.4,274937,2.25 54,1,2024-09-07 09:23:20:580,756022,756022,0,0,355252012950,3692471959,748533,6248,1241,366,391659,0 54,2,2024-09-07 09:23:20:871,544867,544835,32,0,26270430,0,6397 54,3,2024-09-07 09:23:20:766,1,430,1,0,676,6239,430,0 55,0,2024-09-07 09:23:21:760,102207,0.5,105563,0.7,213517,0.4,278682,2.25 55,1,2024-09-07 09:23:20:765,756426,756426,0,0,354911098654,3687272107,748794,6539,1093,365,391731,0 55,2,2024-09-07 09:23:20:729,542792,542736,56,0,25183360,0,7239 55,3,2024-09-07 09:23:20:679,1,430,1,0,304,4344,430,0 56,0,2024-09-07 09:23:21:644,113617,1.3,107165,1.2,220764,1.8,295661,2.75 56,1,2024-09-07 09:23:20:570,751856,751856,0,0,353555865710,3733180432,740338,9145,2373,381,391867,0 56,2,2024-09-07 09:23:21:308,543936,543936,0,0,24772857,0,3567 56,3,2024-09-07 09:23:21:059,1,430,1,0,705,5242,430,0 57,0,2024-09-07 09:23:20:954,109819,1.7,109610,1.3,219872,2.3,294773,3.25 57,1,2024-09-07 09:23:20:986,754626,754626,0,0,353568619996,3704579073,746019,7521,1086,366,392032,0 57,2,2024-09-07 09:23:21:348,542117,542117,0,0,26764373,0,3317 57,3,2024-09-07 09:23:21:739,1,430,1,0,455,4982,430,0 58,0,2024-09-07 09:23:20:567,103702,0.8,100705,1.0,210737,0.9,276279,2.50 58,1,2024-09-07 09:23:20:576,755023,755020,0,3,355230163983,3712375148,745068,8663,1289,367,391603,3 58,2,2024-09-07 09:23:21:072,543990,543990,0,0,24838974,0,2902 58,3,2024-09-07 09:23:21:069,1,430,3,0,1043,4600,430,0 59,0,2024-09-07 09:23:21:756,104585,0.7,104286,0.9,208240,0.7,277074,2.50 59,1,2024-09-07 09:23:20:807,754660,754660,0,0,354736044638,3714924518,744440,8411,1809,369,391653,0 59,2,2024-09-07 09:23:20:585,543748,543748,0,0,24767972,0,3727 59,3,2024-09-07 09:23:21:739,1,430,1,0,1015,5687,430,0 60,0,2024-09-07 09:23:21:723,109247,0.5,109294,0.7,219345,0.5,291407,1.75 60,1,2024-09-07 09:23:20:790,756893,756893,0,0,355526811679,3689551468,751750,4423,720,370,392031,0 60,2,2024-09-07 09:23:21:144,545239,545239,0,0,22964755,0,3811 60,3,2024-09-07 09:23:21:259,1,430,1,0,409,4990,430,0 61,0,2024-09-07 09:23:21:501,110216,0.8,110773,0.8,220568,0.8,294423,2.00 61,1,2024-09-07 09:23:20:774,755171,755171,0,0,353738760861,3705666689,746862,6878,1431,382,392127,0 61,2,2024-09-07 09:23:21:115,539885,539818,67,0,23244241,0,6411 61,3,2024-09-07 09:23:21:686,1,430,2,0,479,5755,430,0 62,0,2024-09-07 09:23:21:720,108086,0.5,110750,0.7,211430,0.5,286865,2.00 62,1,2024-09-07 09:23:21:114,759559,759553,0,6,356361769795,3674292318,755737,3555,261,365,391975,6 62,2,2024-09-07 09:23:21:644,543992,543991,1,0,23457511,0,5555 62,3,2024-09-07 09:23:21:142,1,430,1,0,482,3735,430,0 63,0,2024-09-07 09:23:21:457,103478,0.4,103393,0.5,207260,0.3,275601,1.75 63,1,2024-09-07 09:23:20:805,757225,757219,0,6,354951763086,3685244237,752246,4240,733,381,391800,6 63,2,2024-09-07 09:23:20:765,545705,545705,0,0,21416665,0,4369 63,3,2024-09-07 09:23:21:732,1,430,3,0,667,4418,430,0 64,0,2024-09-07 09:23:21:526,107196,0.5,107279,0.6,213951,0.5,285003,1.75 64,1,2024-09-07 09:23:20:750,756065,756065,0,0,354864050424,3697236164,749385,4977,1703,370,391783,0 64,2,2024-09-07 09:23:21:140,547897,547878,19,0,21278548,0,6121 64,3,2024-09-07 09:23:21:143,1,430,1,0,265,4202,430,0 65,0,2024-09-07 09:23:21:704,109209,0.7,109461,0.8,218599,0.8,291145,2.25 65,1,2024-09-07 09:23:20:859,754302,754302,0,0,353332268392,3692630348,749190,4572,540,381,391901,0 65,2,2024-09-07 09:23:21:701,540946,540946,0,0,24813103,0,3367 65,3,2024-09-07 09:23:21:687,1,430,0,0,782,5067,430,0 66,0,2024-09-07 09:23:21:790,109593,0.5,109430,0.7,218688,0.5,290848,2.25 66,1,2024-09-07 09:23:21:294,756558,756558,0,0,354844128556,3696776270,751060,4973,525,380,391743,0 66,2,2024-09-07 09:23:21:131,547270,547270,0,0,21726730,0,4956 66,3,2024-09-07 09:23:21:085,1,430,3,0,291,3724,430,0 67,0,2024-09-07 09:23:21:431,103443,0.4,103168,0.6,206834,0.4,274721,2.00 67,1,2024-09-07 09:23:20:765,756429,756428,0,1,355154227062,3699089541,751076,4560,792,380,391787,1 67,2,2024-09-07 09:23:20:583,547379,547379,0,0,20880374,0,3622 67,3,2024-09-07 09:23:21:750,1,430,1,0,392,4238,430,0 68,0,2024-09-07 09:23:20:565,106108,0.5,106100,0.7,211175,0.5,282632,2.00 68,1,2024-09-07 09:23:20:570,753648,753648,0,0,353808959720,3720779179,743593,7109,2946,381,391953,0 68,2,2024-09-07 09:23:21:045,540882,540782,100,0,27880443,0,8578 68,3,2024-09-07 09:23:20:730,1,430,1,0,417,4959,430,0 69,0,2024-09-07 09:23:21:735,110702,0.8,111510,0.8,222012,0.9,294394,2.25 69,1,2024-09-07 09:23:21:021,753184,753184,0,0,353017326502,3719973956,743411,7503,2270,384,391994,0 69,2,2024-09-07 09:23:21:733,542260,542231,29,0,29362134,0,6912 69,3,2024-09-07 09:23:20:763,1,430,0,0,698,6612,430,0 70,0,2024-09-07 09:23:21:541,109881,1.1,110178,1.1,221592,1.0,293561,2.50 70,1,2024-09-07 09:23:20:803,756881,756881,0,0,355511262494,3689114345,750901,5319,661,366,391725,0 70,2,2024-09-07 09:23:21:331,543529,543529,0,0,24582285,0,4323 70,3,2024-09-07 09:23:20:747,1,430,1,0,854,4987,430,0 71,0,2024-09-07 09:23:21:361,103431,0.8,103194,0.9,207318,0.9,277253,2.50 71,1,2024-09-07 09:23:21:599,755509,755509,0,0,354599984465,3698362227,747067,7639,803,368,391738,0 71,2,2024-09-07 09:23:21:071,544094,544094,0,0,24617813,0,4352 71,3,2024-09-07 09:23:21:751,1,430,1,0,644,5574,430,0 72,0,2024-09-07 09:23:21:048,108936,0.5,106506,0.7,207671,0.5,282323,2.00 72,1,2024-09-07 09:23:21:022,754079,754079,0,0,354165337227,3715283772,743212,8857,2010,369,391819,0 72,2,2024-09-07 09:23:21:764,543027,543027,0,0,26959539,0,3983 72,3,2024-09-07 09:23:21:754,1,430,17,0,564,6426,430,0 73,0,2024-09-07 09:23:21:108,107762,0.4,110389,0.6,225762,0.4,294232,2.00 73,1,2024-09-07 09:23:20:767,755824,755824,0,0,354391470220,3681611650,750482,4933,409,367,391858,0 73,2,2024-09-07 09:23:21:740,544164,544164,0,0,26358804,0,3701 73,3,2024-09-07 09:23:20:969,1,430,4,0,486,5730,430,0 74,0,2024-09-07 09:23:21:322,112185,0.5,114732,0.7,218650,0.5,297421,2.25 74,1,2024-09-07 09:23:20:635,754558,754558,0,0,354216458177,3697762430,746592,6371,1595,381,391762,0 74,2,2024-09-07 09:23:21:002,540314,540314,0,0,24124120,0,4253 74,3,2024-09-07 09:23:21:452,1,430,0,0,522,5558,430,0 75,0,2024-09-07 09:23:21:771,106458,0.5,105827,0.7,212144,0.5,283228,2.25 75,1,2024-09-07 09:23:21:585,755892,755892,0,0,354571872816,3697783748,749047,6017,828,380,391739,0 75,2,2024-09-07 09:23:21:363,543644,543644,0,0,26843289,0,4766 75,3,2024-09-07 09:23:21:068,1,430,1,0,702,5730,430,0 76,0,2024-09-07 09:23:20:605,103595,0.5,102799,0.7,206322,0.5,275655,2.25 76,1,2024-09-07 09:23:20:829,755465,755465,0,0,354554665324,3698460189,750036,4604,825,382,391692,0 76,2,2024-09-07 09:23:21:061,547696,547695,1,0,23429439,0,5144 76,3,2024-09-07 09:23:21:146,1,430,12,0,175,3914,430,0 77,0,2024-09-07 09:23:21:702,108333,0.5,108762,0.7,217387,0.5,288927,2.00 77,1,2024-09-07 09:23:20:830,756030,756030,0,0,354442438696,3698340231,750211,5313,506,381,391869,0 77,2,2024-09-07 09:23:21:287,544445,544445,0,0,22906550,0,3890 77,3,2024-09-07 09:23:21:094,1,430,3,0,401,4780,430,0 78,0,2024-09-07 09:23:21:723,110238,0.5,109625,0.7,220227,0.4,291744,2.00 78,1,2024-09-07 09:23:20:610,756107,756107,0,0,354294688684,3690526675,748241,6299,1567,367,391670,0 78,2,2024-09-07 09:23:21:405,540945,540932,13,0,21524837,0,8313 78,3,2024-09-07 09:23:21:135,1,430,0,0,181,3717,430,0 79,0,2024-09-07 09:23:21:353,104704,0.4,107177,0.6,219571,0.4,285098,2.25 79,1,2024-09-07 09:23:20:571,757813,757813,0,0,354376191344,3673487268,751241,5263,1309,367,391682,0 79,2,2024-09-07 09:23:21:069,546848,546848,0,0,21209428,0,4195 79,3,2024-09-07 09:23:20:749,1,430,1,0,418,5401,430,0 80,0,2024-09-07 09:23:21:083,102821,0.5,105707,0.6,202334,0.4,273096,2.00 80,1,2024-09-07 09:23:21:633,755717,755717,0,0,354815432995,3695835026,750160,5135,422,368,392269,0 80,2,2024-09-07 09:23:21:096,547302,547302,0,0,21475150,0,4433 80,3,2024-09-07 09:23:20:576,1,430,1,0,190,4988,430,0 81,0,2024-09-07 09:23:21:568,106554,0.5,109146,0.7,208259,0.5,283384,2.00 81,1,2024-09-07 09:23:21:674,754679,754679,0,0,354077211161,3699717111,748671,5467,541,382,391879,0 81,2,2024-09-07 09:23:21:125,542543,542480,63,0,23828318,0,5932 81,3,2024-09-07 09:23:21:122,1,430,15,0,719,5134,430,0 82,0,2024-09-07 09:23:21:532,110466,0.5,110634,0.7,221990,0.5,294119,2.00 82,1,2024-09-07 09:23:20:896,757154,757150,0,4,355382413161,3696678159,752453,3878,819,381,391768,4 82,2,2024-09-07 09:23:21:692,543410,543410,0,0,20258472,0,4484 82,3,2024-09-07 09:23:21:752,1,430,0,0,363,4596,430,0 83,0,2024-09-07 09:23:21:564,110363,0.6,110358,0.8,220191,0.7,292388,2.25 83,1,2024-09-07 09:23:20:554,754843,754843,0,0,353432526684,3686265093,749315,5078,450,382,391709,0 83,2,2024-09-07 09:23:20:769,545029,545029,0,0,20999596,0,3393 83,3,2024-09-07 09:23:20:749,1,430,1,0,1260,5511,430,0 84,0,2024-09-07 09:23:21:807,103857,0.6,103874,0.8,207039,0.5,277319,2.25 84,1,2024-09-07 09:23:21:042,754597,754597,0,0,354024777156,3698024538,746896,6658,1043,367,391967,0 84,2,2024-09-07 09:23:20:571,544213,544183,30,0,28298803,0,5971 84,3,2024-09-07 09:23:21:141,1,430,3,0,908,6421,430,0 85,0,2024-09-07 09:23:21:019,101868,0.5,101812,0.7,216056,0.5,279909,2.25 85,1,2024-09-07 09:23:20:559,752623,752623,0,0,353439767394,3728125325,741807,8896,1920,381,392006,0 85,2,2024-09-07 09:23:20:880,542622,542622,0,0,26205590,0,3656 85,3,2024-09-07 09:23:20:694,1,430,6,0,789,5247,430,0 86,0,2024-09-07 09:23:20:956,111080,0.7,114339,0.8,218853,0.7,296010,2.25 86,1,2024-09-07 09:23:20:839,754836,754836,0,0,354168169334,3708376145,746162,7233,1441,366,391961,0 86,2,2024-09-07 09:23:20:859,541709,541708,1,0,28355615,0,5004 86,3,2024-09-07 09:23:20:588,1,430,134,0,308,6208,430,0 87,0,2024-09-07 09:23:21:305,111249,1.0,111123,0.9,222311,1.3,297231,2.50 87,1,2024-09-07 09:23:20:559,753511,753511,0,0,353064356473,3700759911,743560,8357,1594,366,392076,0 87,2,2024-09-07 09:23:21:073,541216,541210,6,0,25071932,0,6323 87,3,2024-09-07 09:23:21:794,1,430,1,0,473,6750,430,0 88,0,2024-09-07 09:23:21:635,105107,0.4,105896,0.6,210637,0.4,280549,1.75 88,1,2024-09-07 09:23:20:571,753345,753345,0,0,354085828128,3708895811,743623,7714,2008,365,392084,0 88,2,2024-09-07 09:23:20:690,544654,544654,0,0,27459650,0,4465 88,3,2024-09-07 09:23:21:269,1,430,8,0,435,4832,430,0 89,0,2024-09-07 09:23:21:794,107761,0.4,104304,0.6,206406,0.4,280915,1.75 89,1,2024-09-07 09:23:20:568,753364,753364,0,0,353474837363,3715427314,744190,7747,1427,382,391866,0 89,2,2024-09-07 09:23:21:134,543722,543722,0,0,25829517,0,3173 89,3,2024-09-07 09:23:21:792,1,430,17,0,468,7545,430,0 90,0,2024-09-07 09:23:21:643,106141,0.5,109034,0.6,222455,0.4,290464,2.00 90,1,2024-09-07 09:23:20:603,754332,754332,0,0,354229321017,3707667531,747558,6262,512,380,391825,0 90,2,2024-09-07 09:23:21:418,543104,543104,0,0,27188344,0,3060 90,3,2024-09-07 09:23:20:935,1,430,11,0,322,5314,430,0 91,0,2024-09-07 09:23:21:038,110960,0.5,107428,0.7,224415,0.5,295133,1.75 91,1,2024-09-07 09:23:20:559,753398,753398,0,0,353354902588,3714661362,744139,7855,1404,381,392047,0 91,2,2024-09-07 09:23:21:331,541094,541094,0,0,23988453,0,2896 91,3,2024-09-07 09:23:20:598,1,430,2,0,216,4268,430,0 92,0,2024-09-07 09:23:21:467,108477,0.5,111153,0.6,212290,0.4,287263,1.75 92,1,2024-09-07 09:23:20:579,755348,755348,0,0,354176990356,3697796741,749814,4809,725,381,392136,0 92,2,2024-09-07 09:23:21:362,546344,546344,0,0,21561605,0,3259 92,3,2024-09-07 09:23:21:009,1,430,6,0,167,3793,430,0 93,0,2024-09-07 09:23:20:967,103984,0.4,106554,0.5,203279,0.3,275698,1.75 93,1,2024-09-07 09:23:20:821,755216,755216,0,0,354915907563,3704591201,747081,6752,1383,366,391776,0 93,2,2024-09-07 09:23:20:945,545183,545183,0,0,26201895,0,4845 93,3,2024-09-07 09:23:21:407,1,430,5,0,190,3829,430,0 94,0,2024-09-07 09:23:21:648,107145,0.3,108037,0.5,215594,0.3,286730,1.75 94,1,2024-09-07 09:23:20:570,755939,755939,0,0,354955485694,3701929926,751148,4581,210,381,391850,0 94,2,2024-09-07 09:23:20:768,543960,543960,0,0,21858106,0,2443 94,3,2024-09-07 09:23:21:688,1,430,15,0,576,5693,430,0 95,0,2024-09-07 09:23:21:574,110172,0.4,109974,0.5,220537,0.3,293664,1.75 95,1,2024-09-07 09:23:20:852,756383,756383,0,0,355664329113,3696240021,750330,5615,438,365,391786,0 95,2,2024-09-07 09:23:21:082,540636,540636,0,0,21573330,0,3308 95,3,2024-09-07 09:23:21:715,1,430,8,0,718,6247,430,0 96,0,2024-09-07 09:23:21:036,110035,0.4,110450,0.5,220160,0.3,291999,1.75 96,1,2024-09-07 09:23:21:589,755382,755382,0,0,353987867990,3693894539,749834,4664,884,384,391955,0 96,2,2024-09-07 09:23:21:326,546404,546404,0,0,22628578,0,4180 96,3,2024-09-07 09:23:21:142,1,430,1,0,411,4806,430,0 97,0,2024-09-07 09:23:21:363,103507,0.3,103055,0.5,206787,0.2,274632,1.50 97,1,2024-09-07 09:23:20:765,756741,756741,0,0,355269218484,3686056498,751591,4218,932,367,392140,0 97,2,2024-09-07 09:23:20:609,545666,545666,0,0,21729577,0,3679 97,3,2024-09-07 09:23:20:570,1,430,4,0,242,5119,430,0 98,0,2024-09-07 09:23:21:745,105776,0.3,105879,0.4,212367,0.2,282458,1.50 98,1,2024-09-07 09:23:20:571,755784,755784,0,0,355162371001,3700305353,750824,4168,792,382,391997,0 98,2,2024-09-07 09:23:20:769,543835,543835,0,0,21914414,0,4336 98,3,2024-09-07 09:23:20:701,1,430,10,0,840,7173,430,0 99,0,2024-09-07 09:23:21:466,111338,0.3,112045,0.5,222477,0.3,297041,1.75 99,1,2024-09-07 09:23:21:733,756214,756214,0,0,354410737550,3688561649,751267,4110,837,381,392069,0 99,2,2024-09-07 09:23:21:426,544104,544104,0,0,25735616,0,4276 99,3,2024-09-07 09:23:20:598,1,430,1,0,606,4710,430,0 100,0,2024-09-07 09:23:21:532,110858,0.8,111176,1.0,221472,1.0,296122,2.50 100,1,2024-09-07 09:23:20:550,751898,751898,0,0,352574415933,3723145655,741166,8683,2049,381,391989,0 100,2,2024-09-07 09:23:21:822,541473,541462,11,0,25238667,0,5417 100,3,2024-09-07 09:23:21:732,1,430,1,0,559,6901,430,0 101,0,2024-09-07 09:23:21:728,106618,0.8,103772,1.0,203903,0.7,279420,2.25 101,1,2024-09-07 09:23:20:553,752427,752427,0,0,353088528619,3713210726,741737,8566,2124,368,391847,0 101,2,2024-09-07 09:23:21:756,541111,541111,0,0,30170359,0,4871 101,3,2024-09-07 09:23:20:942,1,430,4,0,579,5890,430,0 102,0,2024-09-07 09:23:21:020,102705,0.6,105922,0.7,214654,0.5,281139,2.00 102,1,2024-09-07 09:23:21:148,752894,752894,0,0,353651021231,3715238842,743015,8117,1762,369,391891,0 102,2,2024-09-07 09:23:21:755,544531,544477,54,0,24329171,0,6768 102,3,2024-09-07 09:23:21:678,1,430,1,0,466,4758,430,0 103,0,2024-09-07 09:23:21:631,114098,0.6,114134,0.7,214997,0.5,296515,2.00 103,1,2024-09-07 09:23:21:646,751979,751979,0,0,353406903723,3731336264,739979,8934,3066,381,391829,0 103,2,2024-09-07 09:23:20:585,542977,542977,0,0,26942817,0,3766 103,3,2024-09-07 09:23:20:791,1,430,1,0,916,4786,430,0 104,0,2024-09-07 09:23:21:034,110198,0.8,110617,1.0,220201,0.8,295474,2.50 104,1,2024-09-07 09:23:21:610,754257,754257,0,0,353896187530,3716460201,743414,8979,1864,365,392168,0 104,2,2024-09-07 09:23:21:671,539446,539446,0,0,25690434,0,3941 104,3,2024-09-07 09:23:21:428,1,430,5,0,1245,8553,430,0 105,0,2024-09-07 09:23:21:105,104863,0.8,102105,1.0,213989,0.9,281285,2.50 105,1,2024-09-07 09:23:20:562,755183,755183,0,0,354749304607,3716048963,745737,8019,1427,366,392009,0 105,2,2024-09-07 09:23:21:337,543530,543530,0,0,25608193,0,3509 105,3,2024-09-07 09:23:21:314,1,430,1,0,399,6591,430,0 106,0,2024-09-07 09:23:20:960,100323,0.7,102844,0.9,210521,0.7,275281,2.25 106,1,2024-09-07 09:23:21:752,753792,753792,0,0,353694639570,3713170516,742738,9607,1447,369,391914,0 106,2,2024-09-07 09:23:20:755,544317,544317,0,0,25122431,0,2920 106,3,2024-09-07 09:23:20:685,1,430,5,0,470,5489,430,0 107,0,2024-09-07 09:23:21:194,108526,0.9,108815,0.9,217237,1.0,289989,2.25 107,1,2024-09-07 09:23:20:591,752709,752709,0,0,353235956379,3722613736,742481,9175,1053,381,392234,0 107,2,2024-09-07 09:23:21:299,542839,542838,1,0,26037555,0,5024 107,3,2024-09-07 09:23:21:759,1,430,35,0,370,6481,430,0 108,0,2024-09-07 09:23:21:764,109657,0.5,110480,0.6,219681,0.5,292905,1.75 108,1,2024-09-07 09:23:21:293,755415,755415,0,0,354802077501,3699414858,749273,5397,745,368,391857,0 108,2,2024-09-07 09:23:21:755,538944,538944,0,0,24243019,0,4246 108,3,2024-09-07 09:23:21:330,1,430,1,0,749,7984,430,0 109,0,2024-09-07 09:23:21:790,109124,0.4,108279,0.6,216587,0.4,289261,1.75 109,1,2024-09-07 09:23:20:584,752757,752757,0,0,353840457436,3713720327,745356,6216,1185,382,392132,0 109,2,2024-09-07 09:23:20:922,543719,543719,0,0,23865048,0,3617 109,3,2024-09-07 09:23:21:150,1,430,27,0,379,5022,430,0 110,0,2024-09-07 09:23:21:833,102616,0.3,99995,0.5,209503,0.3,273716,1.75 110,1,2024-09-07 09:23:21:647,756723,756723,0,0,355114852425,3685592249,751332,4213,1178,369,392045,0 110,2,2024-09-07 09:23:21:330,545594,545594,0,0,22760022,0,4067 110,3,2024-09-07 09:23:20:691,1,430,1,0,722,6107,430,0 111,0,2024-09-07 09:23:21:429,106926,0.4,106114,0.5,212868,0.3,284685,1.75 111,1,2024-09-07 09:23:21:016,756677,756677,0,0,356224805129,3693708662,752791,3531,355,380,391690,0 111,2,2024-09-07 09:23:21:116,543117,543117,0,0,22476027,0,4823 111,3,2024-09-07 09:23:20:913,1,430,1,0,379,5060,430,0 112,0,2024-09-07 09:23:20:910,111388,0.3,111062,0.4,222300,0.2,295139,1.50 112,1,2024-09-07 09:23:20:839,756717,756717,0,0,354279257963,3677219237,752001,4103,613,380,391624,0 112,2,2024-09-07 09:23:21:133,541921,541920,1,0,21716257,0,5036 112,3,2024-09-07 09:23:20:598,1,430,0,0,282,4240,430,0 113,0,2024-09-07 09:23:20:871,110459,0.3,110551,0.5,221515,0.3,294424,1.75 113,1,2024-09-07 09:23:21:685,758619,758619,0,0,356585735372,3678329271,754728,3302,589,366,391661,0 113,2,2024-09-07 09:23:21:303,546724,546724,0,0,20053706,0,3813 113,3,2024-09-07 09:23:20:685,1,430,1,0,340,4738,430,0 114,0,2024-09-07 09:23:20:874,104782,0.3,105379,0.4,209564,0.2,279958,1.75 114,1,2024-09-07 09:23:20:719,756216,756216,0,0,354825859564,3686517034,749932,4668,1616,381,391565,0 114,2,2024-09-07 09:23:20:875,546064,546063,1,0,21135172,0,5069 114,3,2024-09-07 09:23:21:286,1,430,1,0,395,3663,430,0 115,0,2024-09-07 09:23:20:558,105848,0.2,106376,0.4,212239,0.2,282425,1.50 115,1,2024-09-07 09:23:20:571,756813,756813,0,0,355034977627,3690560098,750322,5224,1267,382,391757,0 115,2,2024-09-07 09:23:21:125,545359,545359,0,0,20951830,0,4382 115,3,2024-09-07 09:23:21:002,1,430,1,0,159,2400,430,0 116,0,2024-09-07 09:23:21:706,110854,0.7,110993,0.8,222328,0.7,297033,2.00 116,1,2024-09-07 09:23:20:810,752831,752831,0,0,353613207206,3724338384,744222,6284,2325,380,392089,0 116,2,2024-09-07 09:23:21:752,543135,543135,0,0,26682330,0,4128 116,3,2024-09-07 09:23:20:912,1,430,2,0,415,5064,430,0 117,0,2024-09-07 09:23:20:976,111729,0.8,111105,0.8,222716,0.9,297564,2.00 117,1,2024-09-07 09:23:21:580,754001,754001,0,0,353198451961,3695440679,746249,6837,915,369,392033,0 117,2,2024-09-07 09:23:21:128,544712,544712,0,0,22844162,0,4303 117,3,2024-09-07 09:23:21:061,1,430,3,0,490,6530,430,0 118,0,2024-09-07 09:23:21:776,102160,0.5,104781,0.7,213977,0.4,279555,2.00 118,1,2024-09-07 09:23:20:585,754042,754042,0,0,353045855253,3714261965,742131,8912,2999,366,391907,0 118,2,2024-09-07 09:23:21:589,543963,543963,0,0,25156137,0,2842 118,3,2024-09-07 09:23:21:766,1,430,1,0,248,5090,430,0 119,0,2024-09-07 09:23:21:388,104518,0.5,104847,0.7,209685,0.5,279309,2.00 119,1,2024-09-07 09:23:20:556,754413,754413,0,0,354552217547,3709242639,745930,7367,1116,367,391780,0 119,2,2024-09-07 09:23:21:262,545315,545315,0,0,23815283,0,4174 119,3,2024-09-07 09:23:21:331,1,430,1,0,1358,8471,430,0 120,0,2024-09-07 09:23:21:572,108610,0.6,108479,0.8,217792,0.6,290655,2.25 120,1,2024-09-07 09:23:20:866,754471,754471,0,0,353436291932,3708892235,746097,7604,770,368,392144,0 120,2,2024-09-07 09:23:20:776,544031,544030,1,0,27063208,0,5281 120,3,2024-09-07 09:23:21:299,1,430,2,0,279,5356,430,0 121,0,2024-09-07 09:23:21:737,110285,1.5,110007,1.1,220750,2.0,294377,2.50 121,1,2024-09-07 09:23:21:687,754795,754795,0,0,354217364850,3701881499,748048,6287,460,367,391840,0 121,2,2024-09-07 09:23:21:131,539053,539053,0,0,25917438,0,4127 121,3,2024-09-07 09:23:20:744,1,430,4,0,269,4779,430,0 122,0,2024-09-07 09:23:21:793,107001,0.8,104410,0.9,218973,0.8,287074,2.00 122,1,2024-09-07 09:23:20:867,753323,753323,0,0,353234015319,3706762567,742882,8838,1603,366,392130,0 122,2,2024-09-07 09:23:21:320,545261,545188,73,0,28903026,0,5989 122,3,2024-09-07 09:23:20:600,1,430,2,0,512,7736,430,0 123,0,2024-09-07 09:23:20:957,102852,0.6,100341,0.7,209979,0.6,275003,2.00 123,1,2024-09-07 09:23:20:560,753180,753180,0,0,353226348807,3721833976,739632,11105,2443,369,392039,0 123,2,2024-09-07 09:23:21:024,543629,543628,1,0,24415262,0,5215 123,3,2024-09-07 09:23:21:135,1,430,2,0,478,5052,430,0 124,0,2024-09-07 09:23:20:921,110779,0.3,110808,0.5,208741,0.3,287349,1.75 124,1,2024-09-07 09:23:21:022,756280,756280,0,0,354658148300,3685727769,751002,4229,1049,367,392178,0 124,2,2024-09-07 09:23:21:009,545341,545288,53,0,22088497,0,6487 124,3,2024-09-07 09:23:20:757,1,430,5,0,490,4145,430,0 125,0,2024-09-07 09:23:21:477,110054,0.4,109872,0.6,220739,0.4,293720,1.75 125,1,2024-09-07 09:23:20:855,754692,754692,0,0,354386773973,3699914502,748779,5241,672,382,391702,0 125,2,2024-09-07 09:23:21:116,541697,541697,0,0,22760450,0,4534 125,3,2024-09-07 09:23:21:126,1,430,1,0,709,5383,430,0 126,0,2024-09-07 09:23:21:465,109911,0.4,113209,0.6,216563,0.4,292685,1.75 126,1,2024-09-07 09:23:20:554,757002,757002,0,0,355325445670,3679708665,752999,3709,294,365,391987,0 126,2,2024-09-07 09:23:20:612,546608,546608,0,0,23568107,0,4539 126,3,2024-09-07 09:23:20:907,1,430,1,0,268,5277,430,0 127,0,2024-09-07 09:23:21:587,103367,0.3,103644,0.5,207022,0.2,274766,1.50 127,1,2024-09-07 09:23:20:570,756150,756150,0,0,353686428061,3678787413,748808,6120,1222,364,392187,0 127,2,2024-09-07 09:23:20:636,544406,544406,0,0,21299002,0,3897 127,3,2024-09-07 09:23:21:266,1,430,1,0,968,4621,430,0 128,0,2024-09-07 09:23:21:549,106295,0.3,106630,0.4,212770,0.2,282882,1.50 128,1,2024-09-07 09:23:21:612,755849,755849,0,0,355591756635,3690963568,750939,4477,433,367,392031,0 128,2,2024-09-07 09:23:21:386,544751,544751,0,0,20704140,0,3171 128,3,2024-09-07 09:23:20:768,1,430,1,0,1082,6746,430,0 129,0,2024-09-07 09:23:21:026,112244,0.3,111694,0.5,223842,0.3,297418,1.75 129,1,2024-09-07 09:23:20:593,753393,753393,0,0,353415789217,3703646654,745721,6002,1670,379,391962,0 129,2,2024-09-07 09:23:20:688,544138,544138,0,0,22082659,0,4031 129,3,2024-09-07 09:23:20:689,1,430,2,0,506,5864,430,0 130,0,2024-09-07 09:23:21:715,111857,0.5,111401,0.6,224056,0.5,297449,1.75 130,1,2024-09-07 09:23:20:585,756395,756395,0,0,354872309070,3687389785,752359,3697,339,381,391825,0 130,2,2024-09-07 09:23:21:125,544982,544982,0,0,22518968,0,4067 130,3,2024-09-07 09:23:21:291,1,430,6,0,960,6747,430,0 131,0,2024-09-07 09:23:21:940,104250,0.3,104783,0.5,210523,0.3,279339,1.75 131,1,2024-09-07 09:23:21:823,755935,755935,0,0,354738957352,3705011543,749972,4915,1048,381,391865,0 131,2,2024-09-07 09:23:20:567,546995,546995,0,0,20754822,0,3979 131,3,2024-09-07 09:23:21:687,1,430,1,0,392,4820,430,0 132,0,2024-09-07 09:23:21:422,105515,0.4,106480,0.6,211874,0.4,281884,1.75 132,1,2024-09-07 09:23:20:576,752118,752118,0,0,353390898300,3721212313,740982,9027,2109,381,392532,0 132,2,2024-09-07 09:23:20:698,543536,543519,17,0,27200463,0,6451 132,3,2024-09-07 09:23:21:691,1,430,2,0,804,7578,430,0 133,0,2024-09-07 09:23:21:566,107666,0.4,110209,0.6,226048,0.4,294808,1.75 133,1,2024-09-07 09:23:20:635,752350,752350,0,0,353192676588,3722898529,741804,9031,1515,383,391914,0 133,2,2024-09-07 09:23:21:088,543524,543474,50,0,28579389,0,6861 133,3,2024-09-07 09:23:21:298,1,430,8,0,479,4558,430,0 134,0,2024-09-07 09:23:20:963,111803,0.6,111394,0.7,222994,0.6,297758,2.00 134,1,2024-09-07 09:23:20:584,753798,753798,0,0,353643166412,3708980630,744001,7762,2035,366,391718,0 134,2,2024-09-07 09:23:21:769,539208,539184,24,0,26157527,0,6207 134,3,2024-09-07 09:23:20:748,1,430,13,0,739,5477,430,0 135,0,2024-09-07 09:23:21:113,102716,0.8,102685,0.8,218031,0.8,280804,2.25 135,1,2024-09-07 09:23:21:592,753846,753846,0,0,354459796230,3728278110,743883,8592,1371,380,391805,0 135,2,2024-09-07 09:23:20:687,544965,544965,0,0,25565928,0,3981 135,3,2024-09-07 09:23:21:005,1,430,0,0,299,3743,430,0 136,0,2024-09-07 09:23:21:694,104326,0.5,104476,0.7,207931,0.4,277488,2.00 136,1,2024-09-07 09:23:21:486,754493,754493,0,0,354257401651,3714610646,745923,7607,963,381,391685,0 136,2,2024-09-07 09:23:21:147,546614,546614,0,0,24421430,0,3506 136,3,2024-09-07 09:23:21:106,1,430,4,0,637,4954,430,0 137,0,2024-09-07 09:23:20:952,112019,0.6,109076,0.7,214013,0.6,291075,2.00 137,1,2024-09-07 09:23:20:575,752772,752772,0,0,353435010287,3708231357,741218,9195,2359,366,391898,0 137,2,2024-09-07 09:23:21:706,543057,543057,0,0,27040293,0,3185 137,3,2024-09-07 09:23:20:771,1,430,3,0,484,5289,430,0 138,0,2024-09-07 09:23:21:762,109473,1.0,109477,1.0,219679,1.3,291672,2.25 138,1,2024-09-07 09:23:21:685,754123,754123,0,0,354120342877,3713268576,743881,8519,1723,368,391954,0 138,2,2024-09-07 09:23:20:586,539442,539442,0,0,24466029,0,4988 138,3,2024-09-07 09:23:20:612,1,430,2,0,1160,5697,430,0 139,0,2024-09-07 09:23:21:358,107606,1.5,107659,1.1,215787,2.2,287764,2.50 139,1,2024-09-07 09:23:20:570,750143,750143,0,0,351831211093,3739299596,735465,11310,3368,380,392058,0 139,2,2024-09-07 09:23:20:693,541685,541685,0,0,28378904,0,3097 139,3,2024-09-07 09:23:21:670,1,430,4,0,432,5016,430,0 140,0,2024-09-07 09:23:21:588,103180,0.3,102641,0.5,206073,0.2,274066,1.75 140,1,2024-09-07 09:23:21:537,758093,758093,0,0,355534394336,3664980688,754528,3124,441,365,391606,0 140,2,2024-09-07 09:23:20:687,546237,546236,1,0,21503065,0,5036 140,3,2024-09-07 09:23:20:777,1,430,3,0,297,3582,430,0 141,0,2024-09-07 09:23:21:698,106742,0.3,109581,0.5,209329,0.2,284461,1.50 141,1,2024-09-07 09:23:20:870,756698,756698,0,0,355913939999,3696067198,751012,4729,957,379,391614,0 141,2,2024-09-07 09:23:21:686,544320,544320,0,0,21243124,0,3360 141,3,2024-09-07 09:23:21:043,1,430,2,0,391,4928,430,0 142,0,2024-09-07 09:23:21:345,111565,0.3,110894,0.5,221786,0.3,295726,1.75 142,1,2024-09-07 09:23:20:584,755874,755874,0,0,354447562090,3693877770,751184,4292,398,382,392102,0 142,2,2024-09-07 09:23:21:308,541510,541478,32,0,23340681,0,6028 142,3,2024-09-07 09:23:21:752,1,430,1,0,484,4823,430,0 143,0,2024-09-07 09:23:21:381,110559,0.5,110799,0.6,221669,0.5,294458,1.75 143,1,2024-09-07 09:23:20:562,757022,757022,0,0,355506749229,3688936643,751978,4500,544,367,391705,0 143,2,2024-09-07 09:23:20:769,545129,545129,0,0,22374877,0,3123 143,3,2024-09-07 09:23:21:140,1,430,1,0,462,5318,430,0 144,0,2024-09-07 09:23:21:528,101251,0.6,104006,0.8,212048,0.5,277868,2.00 144,1,2024-09-07 09:23:20:566,752954,752954,0,0,352663752047,3702010810,745240,5880,1834,381,391649,0 144,2,2024-09-07 09:23:21:754,545791,545791,0,0,21233540,0,3673 144,3,2024-09-07 09:23:21:748,1,430,7,0,249,4379,430,0 145,0,2024-09-07 09:23:21:373,102290,0.5,102295,0.7,216976,0.5,279672,2.25 145,1,2024-09-07 09:23:20:558,752834,752834,0,0,352835836769,3710820143,743201,7971,1662,382,391759,0 145,2,2024-09-07 09:23:21:429,541824,541743,81,0,26281143,0,7814 145,3,2024-09-07 09:23:20:902,1,430,1,0,622,6162,430,0 146,0,2024-09-07 09:23:21:599,111184,0.6,110702,0.8,222958,0.6,295572,2.25 146,1,2024-09-07 09:23:21:780,754323,754323,0,0,353349676944,3709290910,743307,8896,2120,367,391770,0 146,2,2024-09-07 09:23:21:704,542317,542317,0,0,25041578,0,3290 146,3,2024-09-07 09:23:21:275,1,430,1,0,1520,8050,430,0 147,0,2024-09-07 09:23:21:708,111553,0.7,111490,0.8,222156,0.7,297098,2.25 147,1,2024-09-07 09:23:21:375,757063,757063,0,0,354684105034,3688428531,750425,5793,845,368,391791,0 147,2,2024-09-07 09:23:21:009,542117,542117,0,0,22396306,0,2968 147,3,2024-09-07 09:23:20:913,1,430,1,0,1626,7402,430,0 0,0,2024-09-07 09:23:31:720,106577,0.6,106493,0.7,225998,0.7,292232,2.00 0,1,2024-09-07 09:23:30:808,756155,756155,0,0,354773774415,3712069410,750703,5064,388,369,391896,0 0,2,2024-09-07 09:23:31:080,547364,547364,0,0,21867943,0,4480 0,3,2024-09-07 09:23:30:974,1,431,1,0,431,6363,431,0 1,0,2024-09-07 09:23:31:756,110751,1.2,110065,1.1,220823,1.7,295680,2.25 1,1,2024-09-07 09:23:30:569,755649,755649,0,0,354199390116,3706528300,749220,5103,1326,370,391859,0 1,2,2024-09-07 09:23:30:652,540809,540809,0,0,22129245,0,3380 1,3,2024-09-07 09:23:31:308,1,431,2,0,268,5252,431,0 2,0,2024-09-07 09:23:31:570,107404,0.6,107578,0.8,214443,0.7,285931,2.00 2,1,2024-09-07 09:23:30:860,758218,758218,0,0,355536176997,3689640910,754930,2993,295,380,391745,0 2,2,2024-09-07 09:23:31:275,547042,547042,0,0,20764161,0,3594 2,3,2024-09-07 09:23:30:697,1,431,0,0,357,4167,431,0 3,0,2024-09-07 09:23:31:745,103748,0.4,103453,0.6,206789,0.3,275721,2.00 3,1,2024-09-07 09:23:31:635,756788,756788,0,0,355003283888,3696387059,750567,5531,690,379,391716,0 3,2,2024-09-07 09:23:31:142,547364,547341,23,0,21946979,0,5851 3,3,2024-09-07 09:23:31:755,1,431,3,0,207,2843,431,0 4,0,2024-09-07 09:23:31:802,104806,0.4,107620,0.5,219523,0.3,287640,1.75 4,1,2024-09-07 09:23:30:617,754190,754190,0,0,353316267752,3733730006,742370,9312,2508,370,391992,0 4,2,2024-09-07 09:23:31:035,543762,543762,0,0,25136844,0,4528 4,3,2024-09-07 09:23:31:053,1,431,2,0,448,5590,431,0 5,0,2024-09-07 09:23:31:372,110067,0.4,110736,0.6,220827,0.4,293203,1.75 5,1,2024-09-07 09:23:30:760,755450,755450,0,0,354511801705,3731187454,745019,8273,2158,367,392005,0 5,2,2024-09-07 09:23:31:846,538853,538853,0,0,24938759,0,3582 5,3,2024-09-07 09:23:31:732,1,431,1,0,457,6012,431,0 6,0,2024-09-07 09:23:30:921,110214,0.5,109834,0.6,219525,0.4,292501,2.00 6,1,2024-09-07 09:23:30:752,756335,756335,0,0,355115021666,3710360890,748125,6817,1393,379,391694,0 6,2,2024-09-07 09:23:31:116,546396,546378,18,0,24917897,0,5535 6,3,2024-09-07 09:23:31:277,1,431,0,0,710,5451,431,0 7,0,2024-09-07 09:23:31:533,102664,0.5,103388,0.6,205897,0.4,273549,1.75 7,1,2024-09-07 09:23:30:850,755716,755716,0,0,354788628186,3720956061,745945,8307,1464,382,391747,0 7,2,2024-09-07 09:23:30:773,547299,547299,0,0,24216006,0,4791 7,3,2024-09-07 09:23:30:851,1,431,1,0,552,4965,431,0 8,0,2024-09-07 09:23:31:367,106515,0.3,106248,0.5,212928,0.3,283834,1.75 8,1,2024-09-07 09:23:31:020,754275,754275,0,0,354434895685,3726315929,742661,8983,2631,366,392853,0 8,2,2024-09-07 09:23:30:797,540431,540431,0,0,28373711,0,3250 8,3,2024-09-07 09:23:30:587,1,431,3,0,538,7074,431,0 9,0,2024-09-07 09:23:31:120,111670,0.4,108381,0.5,226903,0.3,297100,1.75 9,1,2024-09-07 09:23:30:562,754041,754041,0,0,353931508831,3727617628,742965,8655,2421,369,392001,0 9,2,2024-09-07 09:23:31:089,542948,542948,0,0,25237788,0,3360 9,3,2024-09-07 09:23:31:752,1,431,1,0,496,6097,431,0 10,0,2024-09-07 09:23:31:603,111273,0.4,110749,0.5,222454,0.3,296173,1.75 10,1,2024-09-07 09:23:30:589,755713,755713,0,0,354855761451,3719398774,745311,8779,1623,381,391741,0 10,2,2024-09-07 09:23:30:763,544901,544901,0,0,27755207,0,4264 10,3,2024-09-07 09:23:30:882,1,431,1,0,649,4616,431,0 11,0,2024-09-07 09:23:31:007,104585,0.4,101440,0.6,212205,0.4,280221,1.75 11,1,2024-09-07 09:23:30:572,756254,756254,0,0,355338559201,3727163480,744926,8702,2626,383,391756,0 11,2,2024-09-07 09:23:31:124,545277,545277,0,0,24447372,0,4130 11,3,2024-09-07 09:23:31:301,1,431,2,0,843,6222,431,0 12,0,2024-09-07 09:23:30:964,106428,0.4,106512,0.5,213066,0.3,282647,1.75 12,1,2024-09-07 09:23:30:958,756030,756030,0,0,354231645842,3691836063,750471,5057,502,370,391870,0 12,2,2024-09-07 09:23:31:548,545358,545358,0,0,23540218,0,3469 12,3,2024-09-07 09:23:31:075,1,431,5,0,386,6356,431,0 13,0,2024-09-07 09:23:31:354,111981,0.4,111490,0.6,222594,0.4,297380,1.75 13,1,2024-09-07 09:23:31:542,754893,754893,0,0,354165849847,3722342740,747274,5987,1632,382,391740,0 13,2,2024-09-07 09:23:30:606,547390,547390,0,0,21843088,0,3287 13,3,2024-09-07 09:23:31:762,1,431,0,0,522,6277,431,0 14,0,2024-09-07 09:23:30:562,111439,0.5,112522,0.6,222566,0.4,297268,2.00 14,1,2024-09-07 09:23:31:568,760217,760217,0,0,356774711006,3694554434,753996,5512,709,364,391673,0 14,2,2024-09-07 09:23:30:771,542674,542644,30,0,23788862,0,6104 14,3,2024-09-07 09:23:31:115,1,431,1,0,1168,4736,431,0 15,0,2024-09-07 09:23:31:558,105963,0.4,105853,0.6,211968,0.4,282028,2.00 15,1,2024-09-07 09:23:31:614,757365,757365,0,0,355236441836,3699495107,751140,5046,1179,381,391619,0 15,2,2024-09-07 09:23:31:000,548094,548094,0,0,19542317,0,3622 15,3,2024-09-07 09:23:31:405,1,431,1,0,1126,6958,431,0 16,0,2024-09-07 09:23:30:971,104279,0.5,104678,0.7,208764,0.5,277733,2.00 16,1,2024-09-07 09:23:30:572,757089,757089,0,0,355747370436,3718743080,750485,5491,1113,370,391917,0 16,2,2024-09-07 09:23:31:434,546405,546405,0,0,23481083,0,4719 16,3,2024-09-07 09:23:31:149,1,431,1,0,317,5417,431,0 17,0,2024-09-07 09:23:31:778,112450,0.6,109734,0.8,214612,0.6,291839,2.00 17,1,2024-09-07 09:23:30:578,755497,755497,0,0,354340059057,3716991910,747851,6132,1514,368,392075,0 17,2,2024-09-07 09:23:31:666,547789,547789,0,0,23269850,0,3779 17,3,2024-09-07 09:23:30:578,1,431,10,0,518,6850,431,0 18,0,2024-09-07 09:23:30:939,108928,0.7,109494,0.8,218194,0.8,291517,2.25 18,1,2024-09-07 09:23:31:646,758526,758526,0,0,355972353424,3688335049,754526,3621,379,367,391725,0 18,2,2024-09-07 09:23:31:755,543696,543696,0,0,20585255,0,3541 18,3,2024-09-07 09:23:30:896,1,431,1,0,163,3289,431,0 19,0,2024-09-07 09:23:31:543,107991,0.6,108521,0.8,215292,0.6,286396,2.25 19,1,2024-09-07 09:23:30:570,758817,758817,0,0,356007820335,3692036322,752869,5027,921,367,391777,0 19,2,2024-09-07 09:23:31:752,549144,549144,0,0,19566230,0,3988 19,3,2024-09-07 09:23:31:129,1,431,1,0,524,3459,431,0 20,0,2024-09-07 09:23:31:415,102929,0.4,102800,0.6,205611,0.4,273989,2.00 20,1,2024-09-07 09:23:30:604,756083,756083,0,0,354734439344,3709961098,749372,5910,801,369,391922,0 20,2,2024-09-07 09:23:30:935,546198,546198,0,0,23575020,0,3721 20,3,2024-09-07 09:23:30:603,1,431,4,0,414,6269,431,0 21,0,2024-09-07 09:23:31:178,106962,0.5,107196,0.6,213856,0.4,284494,1.75 21,1,2024-09-07 09:23:31:550,754227,754227,0,0,354258749261,3734282267,743263,8485,2479,368,392016,0 21,2,2024-09-07 09:23:31:067,542369,542349,20,0,28643359,0,5617 21,3,2024-09-07 09:23:31:408,1,431,0,0,713,5729,431,0 22,0,2024-09-07 09:23:31:715,110791,0.5,111137,0.7,222107,0.5,294149,2.00 22,1,2024-09-07 09:23:31:022,755495,755495,0,0,354831156751,3728007872,744341,8927,2227,382,391667,0 22,2,2024-09-07 09:23:30:760,541562,541536,26,0,22757894,0,6328 22,3,2024-09-07 09:23:31:065,1,431,1,0,228,3515,431,0 23,0,2024-09-07 09:23:31:368,110280,0.5,109573,0.7,219682,0.5,292662,2.25 23,1,2024-09-07 09:23:31:020,756388,756388,0,0,355156566717,3728886418,743279,9055,4054,365,391690,0 23,2,2024-09-07 09:23:31:092,547747,547747,0,0,22342926,0,3773 23,3,2024-09-07 09:23:31:755,1,431,5,0,720,5580,431,0 24,0,2024-09-07 09:23:30:847,105264,0.4,104742,0.5,210591,0.3,279501,1.75 24,1,2024-09-07 09:23:30:591,755583,755583,0,0,354064218884,3711064116,747580,6410,1593,367,392269,0 24,2,2024-09-07 09:23:31:072,545742,545742,0,0,26789285,0,3607 24,3,2024-09-07 09:23:31:693,1,431,5,0,468,5829,431,0 25,0,2024-09-07 09:23:31:356,108986,0.4,106125,0.6,208271,0.3,284001,1.75 25,1,2024-09-07 09:23:30:579,755059,755059,0,0,354640829207,3736657510,743041,9769,2249,371,391928,0 25,2,2024-09-07 09:23:31:616,542962,542962,0,0,27380884,0,3978 25,3,2024-09-07 09:23:31:013,1,431,36,0,532,4883,431,0 26,0,2024-09-07 09:23:31:719,111342,0.4,108689,0.6,228027,0.4,296687,2.00 26,1,2024-09-07 09:23:31:547,757185,757185,0,0,354770684320,3713627646,746555,9046,1584,380,391748,0 26,2,2024-09-07 09:23:30:860,545097,545097,0,0,27484760,0,2809 26,3,2024-09-07 09:23:31:712,1,431,1,0,796,5173,431,0 27,0,2024-09-07 09:23:31:721,111948,0.5,112003,0.6,222930,0.4,297728,2.25 27,1,2024-09-07 09:23:31:685,757994,757994,0,0,356090565929,3708812868,750726,6367,901,381,391626,0 27,2,2024-09-07 09:23:30:868,541403,541338,65,0,25079232,0,5699 27,3,2024-09-07 09:23:31:014,1,431,1,0,564,4117,431,0 28,0,2024-09-07 09:23:31:442,105647,0.4,105209,0.6,211164,0.3,281352,1.75 28,1,2024-09-07 09:23:30:837,757336,757336,0,0,355791244808,3712808326,750148,5489,1699,383,391698,0 28,2,2024-09-07 09:23:31:769,546165,546165,0,0,22763695,0,2915 28,3,2024-09-07 09:23:31:781,1,431,2,0,502,4689,431,0 29,0,2024-09-07 09:23:31:371,108266,0.3,105436,0.5,206697,0.3,282045,1.75 29,1,2024-09-07 09:23:31:564,760133,760133,0,0,356575473490,3689160228,755266,4132,735,368,391809,0 29,2,2024-09-07 09:23:30:861,545602,545602,0,0,21549899,0,4986 29,3,2024-09-07 09:23:30:980,1,431,4,0,459,5034,431,0 30,0,2024-09-07 09:23:31:456,109376,0.5,106296,0.6,222589,0.4,291282,2.00 30,1,2024-09-07 09:23:30:587,758733,758733,0,0,356403308865,3700974753,752664,5303,766,381,391672,0 30,2,2024-09-07 09:23:31:286,546525,546525,0,0,21105013,0,4192 30,3,2024-09-07 09:23:30:589,1,431,1,0,519,4185,431,0 31,0,2024-09-07 09:23:31:762,110425,0.5,110906,0.7,221298,0.5,295655,2.00 31,1,2024-09-07 09:23:30:633,762393,762393,0,0,358364081865,3672332842,759376,2431,586,356,391712,0 31,2,2024-09-07 09:23:31:275,541354,541354,0,0,22655070,0,3525 31,3,2024-09-07 09:23:31:706,1,431,1,0,220,3765,431,0 32,0,2024-09-07 09:23:31:419,107770,0.3,108511,0.5,215980,0.3,287630,1.75 32,1,2024-09-07 09:23:30:814,758429,758429,0,0,355573586884,3698618804,753313,4435,681,381,391646,0 32,2,2024-09-07 09:23:30:951,547833,547833,0,0,20496062,0,3922 32,3,2024-09-07 09:23:31:019,1,431,2,0,304,3394,431,0 33,0,2024-09-07 09:23:31:507,103751,0.3,103362,0.4,207290,0.2,276176,1.50 33,1,2024-09-07 09:23:30:580,759318,759318,0,0,356192147619,3693584938,752804,5409,1105,368,391730,0 33,2,2024-09-07 09:23:30:762,547549,547514,35,0,22905377,0,7012 33,3,2024-09-07 09:23:30:900,1,431,0,0,329,4143,431,0 34,0,2024-09-07 09:23:30:935,108189,0.3,111268,0.4,212704,0.2,286907,1.75 34,1,2024-09-07 09:23:31:054,760503,760503,0,0,357641456031,3676886248,758761,1730,12,367,391562,0 34,2,2024-09-07 09:23:30:767,546453,546453,0,0,21208786,0,4562 34,3,2024-09-07 09:23:31:692,1,431,0,0,299,3242,431,0 35,0,2024-09-07 09:23:30:863,109515,0.4,110110,0.5,221189,0.3,293906,1.75 35,1,2024-09-07 09:23:31:074,758546,758546,0,0,355667382386,3686248831,754005,3683,858,382,391769,0 35,2,2024-09-07 09:23:31:592,541878,541878,0,0,22822053,0,4055 35,3,2024-09-07 09:23:30:914,1,431,0,0,418,4512,431,0 36,0,2024-09-07 09:23:31:517,110257,0.5,110178,0.7,220368,0.4,292837,2.00 36,1,2024-09-07 09:23:30:584,757547,757547,0,0,355714611706,3714132873,747899,7648,2000,366,391759,0 36,2,2024-09-07 09:23:31:754,547529,547529,0,0,24190572,0,3875 36,3,2024-09-07 09:23:30:863,1,431,1,0,416,6081,431,0 37,0,2024-09-07 09:23:31:383,102758,0.4,103042,0.6,205762,0.4,273818,2.00 37,1,2024-09-07 09:23:30:595,757088,757081,0,7,354986232236,3706690812,748261,6544,2276,365,391770,0 37,2,2024-09-07 09:23:31:142,544801,544786,15,0,24068568,0,5815 37,3,2024-09-07 09:23:31:768,1,431,1,0,888,6366,431,0 38,0,2024-09-07 09:23:31:438,105805,0.4,102656,0.6,214660,0.3,281421,2.00 38,1,2024-09-07 09:23:31:613,758344,758344,0,0,356021018108,3720894302,747599,8584,2161,368,391821,0 38,2,2024-09-07 09:23:30:759,544468,544421,47,0,23795882,0,6710 38,3,2024-09-07 09:23:30:999,1,431,1,0,689,5467,431,0 39,0,2024-09-07 09:23:31:770,113954,0.6,111482,0.7,217383,0.6,296127,2.00 39,1,2024-09-07 09:23:30:716,756240,756240,0,0,355638507439,3727686442,743799,9750,2691,365,391658,0 39,2,2024-09-07 09:23:31:424,544418,544418,0,0,22661547,0,3391 39,3,2024-09-07 09:23:30:718,1,431,1,0,324,4890,431,0 40,0,2024-09-07 09:23:31:498,110177,0.8,110758,1.0,220899,0.9,294760,2.75 40,1,2024-09-07 09:23:30:598,756891,756891,0,0,354964000135,3717156487,746072,8775,2044,368,391668,0 40,2,2024-09-07 09:23:31:304,544329,544328,1,0,26294141,0,5137 40,3,2024-09-07 09:23:31:142,1,431,2,0,1028,5962,431,0 41,0,2024-09-07 09:23:31:027,104279,1.3,106538,1.2,203237,2.0,276813,3.00 41,1,2024-09-07 09:23:30:776,755767,755767,0,0,355102169449,3713696994,746584,8143,1040,369,391742,0 41,2,2024-09-07 09:23:30:774,544316,544316,0,0,25173311,0,4277 41,3,2024-09-07 09:23:31:683,1,431,5,0,366,4460,431,0 42,0,2024-09-07 09:23:31:475,105092,0.8,105176,0.9,210445,0.8,278443,2.50 42,1,2024-09-07 09:23:31:439,753879,753879,0,0,353993761078,3719923416,741797,10051,2031,380,391675,0 42,2,2024-09-07 09:23:31:133,544298,544298,0,0,25352678,0,3790 42,3,2024-09-07 09:23:31:018,1,431,1,0,892,4266,431,0 43,0,2024-09-07 09:23:30:926,110056,0.7,107028,0.9,224305,0.8,294705,2.25 43,1,2024-09-07 09:23:30:591,757341,757341,0,0,355578412095,3714807541,746961,8641,1739,366,391696,0 43,2,2024-09-07 09:23:31:739,545880,545880,0,0,24987792,0,3812 43,3,2024-09-07 09:23:31:749,1,431,0,0,548,5700,431,0 44,0,2024-09-07 09:23:30:911,111573,0.5,111809,0.7,224059,0.4,297872,2.00 44,1,2024-09-07 09:23:30:575,758924,758924,0,0,355382557610,3673706189,752429,5177,1318,356,391809,0 44,2,2024-09-07 09:23:31:272,541626,541626,0,0,20858232,0,4344 44,3,2024-09-07 09:23:31:094,1,431,2,0,817,5044,431,0 45,0,2024-09-07 09:23:31:758,104849,0.5,102357,0.7,214753,0.4,282490,2.00 45,1,2024-09-07 09:23:31:007,757897,757897,0,0,356000235134,3698896887,752238,5086,573,382,391917,0 45,2,2024-09-07 09:23:31:277,547566,547566,0,0,21186366,0,3596 45,3,2024-09-07 09:23:30:934,1,431,1,0,271,3692,431,0 46,0,2024-09-07 09:23:30:961,103744,0.5,103620,0.7,207583,0.4,275429,2.00 46,1,2024-09-07 09:23:30:585,759476,759476,0,0,356240502752,3679348117,754806,4079,591,366,391709,0 46,2,2024-09-07 09:23:30:600,547182,547182,0,0,21360916,0,4443 46,3,2024-09-07 09:23:31:131,1,431,1,0,908,5416,431,0 47,0,2024-09-07 09:23:31:103,109416,0.4,109680,0.6,219554,0.4,291037,1.75 47,1,2024-09-07 09:23:30:568,760283,760283,0,0,356111117144,3680135712,755426,4019,838,366,391641,0 47,2,2024-09-07 09:23:30:915,548148,548148,0,0,20977198,0,4477 47,3,2024-09-07 09:23:31:115,1,431,1,0,600,5245,431,0 48,0,2024-09-07 09:23:31:532,110601,0.3,110501,0.5,220140,0.2,293573,1.75 48,1,2024-09-07 09:23:31:023,758112,758112,0,0,355840553826,3695560759,753401,4255,456,384,391710,0 48,2,2024-09-07 09:23:30:706,541319,541319,0,0,19590566,0,3411 48,3,2024-09-07 09:23:30:752,1,431,1,0,339,3459,431,0 49,0,2024-09-07 09:23:31:745,111362,0.4,109475,0.5,212351,0.3,290341,1.75 49,1,2024-09-07 09:23:31:023,757915,757915,0,0,354992648339,3693174010,752760,3949,1206,382,391809,0 49,2,2024-09-07 09:23:31:798,547579,547579,0,0,21663956,0,4426 49,3,2024-09-07 09:23:31:424,1,431,1,0,992,5147,431,0 50,0,2024-09-07 09:23:31:515,103478,0.3,101839,0.4,205695,0.2,273663,1.75 50,1,2024-09-07 09:23:31:019,760550,760550,0,0,356636652815,3687001334,755620,4356,574,368,391565,0 50,2,2024-09-07 09:23:31:066,546880,546880,0,0,19906275,0,4490 50,3,2024-09-07 09:23:31:291,1,431,1,0,567,4349,431,0 51,0,2024-09-07 09:23:31:691,109859,0.3,107461,0.5,209661,0.2,286137,1.75 51,1,2024-09-07 09:23:31:694,760406,760406,0,0,357398516608,3685277244,756453,2904,1049,365,391706,0 51,2,2024-09-07 09:23:31:316,545758,545758,0,0,18892199,0,3337 51,3,2024-09-07 09:23:31:032,1,431,1,0,678,3502,431,0 52,0,2024-09-07 09:23:31:413,111159,0.5,111130,0.7,222407,0.5,295012,2.00 52,1,2024-09-07 09:23:30:577,757146,757146,0,0,355017227027,3713367631,746805,8988,1353,368,391722,0 52,2,2024-09-07 09:23:31:756,539628,539590,38,0,25290085,0,6742 52,3,2024-09-07 09:23:30:688,1,431,1,0,1782,5848,431,0 53,0,2024-09-07 09:23:31:768,109763,0.7,106646,0.8,222961,0.7,292465,2.25 53,1,2024-09-07 09:23:30:780,755638,755638,0,0,355453864913,3727241629,743718,8960,2960,367,391702,0 53,2,2024-09-07 09:23:31:302,546702,546701,1,0,22938128,0,5455 53,3,2024-09-07 09:23:30:705,1,431,1,0,308,3810,431,0 54,0,2024-09-07 09:23:31:621,103230,0.6,103442,0.7,205974,0.4,275459,2.25 54,1,2024-09-07 09:23:30:590,757784,757784,0,0,356059918147,3700708201,750294,6249,1241,366,391659,0 54,2,2024-09-07 09:23:30:865,546527,546495,32,0,26293602,0,6397 54,3,2024-09-07 09:23:30:768,1,431,4,0,676,6243,431,0 55,0,2024-09-07 09:23:31:761,102634,0.5,105959,0.7,214387,0.4,279854,2.25 55,1,2024-09-07 09:23:30:768,758186,758186,0,0,355746000066,3695742128,750554,6539,1093,365,391731,0 55,2,2024-09-07 09:23:30:729,543873,543817,56,0,25198322,0,7239 55,3,2024-09-07 09:23:30:678,1,431,9,0,304,4353,431,0 56,0,2024-09-07 09:23:31:569,113756,1.3,107320,1.2,221086,1.8,295801,2.75 56,1,2024-09-07 09:23:30:579,753623,753623,0,0,354249909168,3740362079,742105,9145,2373,381,391867,0 56,2,2024-09-07 09:23:31:303,544883,544883,0,0,24808873,0,3567 56,3,2024-09-07 09:23:31:068,1,431,5,0,705,5247,431,0 57,0,2024-09-07 09:23:30:953,110103,1.7,109876,1.3,220421,2.3,295173,3.25 57,1,2024-09-07 09:23:30:993,756356,756356,0,0,354325744533,3712308465,747749,7521,1086,366,392032,0 57,2,2024-09-07 09:23:31:315,543664,543664,0,0,26810682,0,3317 57,3,2024-09-07 09:23:31:741,1,431,2,0,455,4984,431,0 58,0,2024-09-07 09:23:30:558,103899,0.8,100909,0.9,211175,0.9,276625,2.50 58,1,2024-09-07 09:23:30:577,756706,756703,0,3,356041857086,3720724937,746751,8663,1289,367,391603,3 58,2,2024-09-07 09:23:31:076,545380,545380,0,0,24873602,0,2902 58,3,2024-09-07 09:23:31:071,1,431,19,0,1043,4619,431,0 59,0,2024-09-07 09:23:31:741,105019,0.7,104689,0.9,209056,0.7,277677,2.50 59,1,2024-09-07 09:23:30:810,756441,756441,0,0,355465303757,3722376534,746221,8411,1809,369,391653,0 59,2,2024-09-07 09:23:30:584,544810,544810,0,0,24814663,0,3727 59,3,2024-09-07 09:23:31:738,1,431,19,0,1015,5706,431,0 60,0,2024-09-07 09:23:31:711,109538,0.5,109555,0.7,219908,0.5,292091,1.75 60,1,2024-09-07 09:23:30:802,758723,758723,0,0,356576847051,3700295685,753580,4423,720,370,392031,0 60,2,2024-09-07 09:23:31:143,546509,546509,0,0,22985500,0,3811 60,3,2024-09-07 09:23:31:261,1,431,1,0,409,4991,431,0 61,0,2024-09-07 09:23:31:533,110560,0.8,111158,0.8,221256,0.8,295331,2.00 61,1,2024-09-07 09:23:30:787,756890,756890,0,0,354819226576,3716679420,748580,6879,1431,382,392127,0 61,2,2024-09-07 09:23:31:119,541132,541065,67,0,23264209,0,6411 61,3,2024-09-07 09:23:31:694,1,431,5,0,479,5760,431,0 62,0,2024-09-07 09:23:31:732,108230,0.5,110945,0.7,211767,0.5,287348,2.00 62,1,2024-09-07 09:23:31:112,761313,761307,0,6,357289082963,3683723557,757491,3555,261,365,391975,6 62,2,2024-09-07 09:23:31:653,545242,545241,1,0,23477468,0,5555 62,3,2024-09-07 09:23:31:149,1,431,8,0,482,3743,431,0 63,0,2024-09-07 09:23:31:463,103807,0.4,103706,0.5,207926,0.3,276524,1.75 63,1,2024-09-07 09:23:30:808,758977,758971,0,6,355733053940,3693248475,753998,4240,733,381,391800,6 63,2,2024-09-07 09:23:30:761,547177,547177,0,0,21442563,0,4369 63,3,2024-09-07 09:23:31:733,1,431,1,0,667,4419,431,0 64,0,2024-09-07 09:23:31:538,107632,0.5,107731,0.6,214851,0.4,286187,1.75 64,1,2024-09-07 09:23:30:756,757889,757889,0,0,355907329759,3707826146,751208,4978,1703,370,391783,0 64,2,2024-09-07 09:23:31:150,549284,549265,19,0,21291038,0,6121 64,3,2024-09-07 09:23:31:142,1,431,0,0,265,4202,431,0 65,0,2024-09-07 09:23:31:710,109350,0.7,109584,0.8,218879,0.8,291476,2.25 65,1,2024-09-07 09:23:30:878,756051,756051,0,0,354318506219,3702652344,750939,4572,540,381,391901,0 65,2,2024-09-07 09:23:31:698,541762,541762,0,0,24821302,0,3367 65,3,2024-09-07 09:23:31:688,1,431,1,0,782,5068,431,0 66,0,2024-09-07 09:23:31:763,109723,0.5,109558,0.7,218950,0.5,291183,2.25 66,1,2024-09-07 09:23:31:293,758357,758357,0,0,355734808764,3705832915,752859,4973,525,380,391743,0 66,2,2024-09-07 09:23:31:153,548709,548709,0,0,21741496,0,4956 66,3,2024-09-07 09:23:31:086,1,431,1,0,291,3725,431,0 67,0,2024-09-07 09:23:31:413,103462,0.4,103182,0.6,206871,0.4,274721,2.00 67,1,2024-09-07 09:23:30:771,758179,758178,0,1,355854803074,3706234055,752826,4560,792,380,391787,1 67,2,2024-09-07 09:23:30:602,548902,548902,0,0,20890952,0,3622 67,3,2024-09-07 09:23:31:753,1,431,1,0,392,4239,431,0 68,0,2024-09-07 09:23:30:590,106506,0.5,106446,0.7,211863,0.5,283602,2.00 68,1,2024-09-07 09:23:30:574,755412,755412,0,0,354675153598,3729664181,745357,7109,2946,381,391953,0 68,2,2024-09-07 09:23:31:057,542304,542204,100,0,27898838,0,8578 68,3,2024-09-07 09:23:30:728,1,431,2,0,417,4961,431,0 69,0,2024-09-07 09:23:31:748,110790,0.8,111602,0.8,222199,0.9,294631,2.25 69,1,2024-09-07 09:23:31:020,754985,754985,0,0,353857766116,3728599383,745212,7503,2270,384,391994,0 69,2,2024-09-07 09:23:31:737,543032,543003,29,0,29377234,0,6912 69,3,2024-09-07 09:23:30:760,1,431,6,0,698,6618,431,0 70,0,2024-09-07 09:23:31:532,110128,1.1,110413,1.1,222035,1.0,294135,2.50 70,1,2024-09-07 09:23:30:808,758648,758648,0,0,356324643793,3697407106,752668,5319,661,366,391725,0 70,2,2024-09-07 09:23:31:326,544925,544925,0,0,24600782,0,4323 70,3,2024-09-07 09:23:30:752,1,431,4,0,854,4991,431,0 71,0,2024-09-07 09:23:31:371,103719,0.8,103518,0.9,208011,0.8,278120,2.50 71,1,2024-09-07 09:23:31:598,757327,757327,0,0,355811758145,3710627905,748885,7639,803,368,391738,0 71,2,2024-09-07 09:23:31:067,545469,545469,0,0,24643420,0,4352 71,3,2024-09-07 09:23:31:760,1,431,2,0,644,5576,431,0 72,0,2024-09-07 09:23:31:051,109248,0.5,106808,0.7,208215,0.5,283044,2.00 72,1,2024-09-07 09:23:31:028,755874,755874,0,0,354984398259,3723698867,745007,8857,2010,369,391819,0 72,2,2024-09-07 09:23:31:758,544179,544179,0,0,26976237,0,3983 72,3,2024-09-07 09:23:31:761,1,431,4,0,564,6430,431,0 73,0,2024-09-07 09:23:31:111,108288,0.4,110931,0.6,226826,0.4,295766,2.00 73,1,2024-09-07 09:23:30:769,757596,757596,0,0,355386279850,3691742630,752254,4933,409,367,391858,0 73,2,2024-09-07 09:23:31:743,545292,545292,0,0,26396246,0,3701 73,3,2024-09-07 09:23:30:971,1,431,2,0,486,5732,431,0 74,0,2024-09-07 09:23:31:344,112485,0.5,115081,0.7,219296,0.5,298252,2.25 74,1,2024-09-07 09:23:30:644,756390,756390,0,0,355139218637,3707181979,748424,6371,1595,381,391762,0 74,2,2024-09-07 09:23:31:006,541776,541776,0,0,24149540,0,4253 74,3,2024-09-07 09:23:31:453,1,431,1,0,522,5559,431,0 75,0,2024-09-07 09:23:31:766,106613,0.5,106000,0.7,212459,0.5,283664,2.25 75,1,2024-09-07 09:23:31:598,757667,757667,0,0,355313479762,3705652882,750815,6024,828,380,391739,0 75,2,2024-09-07 09:23:31:350,544970,544970,0,0,26903914,0,4766 75,3,2024-09-07 09:23:31:073,1,431,3,0,702,5733,431,0 76,0,2024-09-07 09:23:30:589,103859,0.5,103053,0.7,206795,0.5,276295,2.25 76,1,2024-09-07 09:23:30:813,757270,757270,0,0,355385971143,3706996453,751841,4604,825,382,391692,0 76,2,2024-09-07 09:23:31:076,548843,548842,1,0,23449420,0,5144 76,3,2024-09-07 09:23:31:143,1,431,0,0,175,3914,431,0 77,0,2024-09-07 09:23:31:692,108728,0.5,109146,0.7,218180,0.5,289978,2.00 77,1,2024-09-07 09:23:30:838,757810,757810,0,0,355328438616,3707395254,751991,5313,506,381,391869,0 77,2,2024-09-07 09:23:31:281,545814,545814,0,0,22933220,0,3890 77,3,2024-09-07 09:23:31:100,1,431,3,0,401,4783,431,0 78,0,2024-09-07 09:23:31:759,110416,0.5,109812,0.7,220566,0.4,292216,2.00 78,1,2024-09-07 09:23:30:619,757876,757876,0,0,355302808920,3700824838,750010,6299,1567,367,391670,0 78,2,2024-09-07 09:23:31:406,542003,541990,13,0,21537023,0,8313 78,3,2024-09-07 09:23:31:134,1,431,1,0,181,3718,431,0 79,0,2024-09-07 09:23:31:374,104790,0.4,107271,0.6,219762,0.4,285359,2.25 79,1,2024-09-07 09:23:30:575,759551,759551,0,0,355098693373,3680855439,752979,5263,1309,367,391682,0 79,2,2024-09-07 09:23:31:073,548080,548080,0,0,21228884,0,4195 79,3,2024-09-07 09:23:30:761,1,431,10,0,418,5411,431,0 80,0,2024-09-07 09:23:31:093,102925,0.5,105817,0.6,202560,0.4,273428,2.00 80,1,2024-09-07 09:23:31:637,757513,757513,0,0,355621347846,3704028048,751956,5135,422,368,392269,0 80,2,2024-09-07 09:23:31:108,548701,548701,0,0,21490471,0,4433 80,3,2024-09-07 09:23:30:577,1,431,1,0,190,4989,431,0 81,0,2024-09-07 09:23:31:540,107012,0.5,109643,0.7,209196,0.5,284742,2.00 81,1,2024-09-07 09:23:31:664,756428,756428,0,0,354985118173,3708970056,750420,5467,541,382,391879,0 81,2,2024-09-07 09:23:31:142,544036,543973,63,0,23842361,0,5932 81,3,2024-09-07 09:23:31:119,1,431,1,0,719,5135,431,0 82,0,2024-09-07 09:23:31:577,110581,0.5,110737,0.7,222209,0.5,294409,2.00 82,1,2024-09-07 09:23:30:584,758946,758942,0,4,356093211046,3703956508,754245,3878,819,381,391768,4 82,2,2024-09-07 09:23:31:702,544133,544133,0,0,20263431,0,4484 82,3,2024-09-07 09:23:31:752,1,431,1,0,363,4597,431,0 83,0,2024-09-07 09:23:31:527,110468,0.6,110472,0.8,220381,0.7,292643,2.25 83,1,2024-09-07 09:23:30:555,756673,756673,0,0,354568825527,3697788494,751144,5079,450,382,391709,0 83,2,2024-09-07 09:23:30:775,546494,546494,0,0,21010298,0,3393 83,3,2024-09-07 09:23:30:751,1,431,1,0,1260,5512,431,0 84,0,2024-09-07 09:23:31:764,104061,0.6,104061,0.8,207450,0.5,277821,2.25 84,1,2024-09-07 09:23:31:055,756291,756291,0,0,354819615955,3706110768,748590,6658,1043,367,391967,0 84,2,2024-09-07 09:23:30:571,545696,545666,30,0,28325080,0,5971 84,3,2024-09-07 09:23:31:147,1,431,1,0,908,6422,431,0 85,0,2024-09-07 09:23:31:043,102267,0.5,102210,0.7,216928,0.5,281037,2.25 85,1,2024-09-07 09:23:30:568,754413,754413,0,0,354076729601,3734721937,743597,8896,1920,381,392006,0 85,2,2024-09-07 09:23:30:879,543768,543768,0,0,26225964,0,3656 85,3,2024-09-07 09:23:30:691,1,431,1,0,789,5248,431,0 86,0,2024-09-07 09:23:30:897,111262,0.7,114491,0.8,219159,0.7,296289,2.25 86,1,2024-09-07 09:23:30:833,756632,756632,0,0,355067275376,3717599309,747957,7234,1441,366,391961,0 86,2,2024-09-07 09:23:30:856,542730,542729,1,0,28371849,0,5004 86,3,2024-09-07 09:23:30:593,1,431,8,0,308,6216,431,0 87,0,2024-09-07 09:23:31:293,111576,1.0,111436,0.9,222950,1.3,298035,2.50 87,1,2024-09-07 09:23:30:555,755305,755305,0,0,354152521518,3711792157,745354,8357,1594,366,392076,0 87,2,2024-09-07 09:23:31:071,542775,542769,6,0,25098174,0,6323 87,3,2024-09-07 09:23:31:794,1,431,1,0,473,6751,431,0 88,0,2024-09-07 09:23:31:480,105308,0.4,106093,0.6,211065,0.4,281128,1.75 88,1,2024-09-07 09:23:30:578,755084,755084,0,0,354838910463,3716597584,745362,7714,2008,365,392084,0 88,2,2024-09-07 09:23:30:690,545938,545938,0,0,27534645,0,4465 88,3,2024-09-07 09:23:31:274,1,431,422,0,435,5254,431,0 89,0,2024-09-07 09:23:31:767,108220,0.4,104740,0.6,207317,0.4,282168,1.75 89,1,2024-09-07 09:23:30:551,755084,755084,0,0,354276794976,3723719451,745910,7747,1427,382,391866,0 89,2,2024-09-07 09:23:31:132,544917,544917,0,0,25859058,0,3173 89,3,2024-09-07 09:23:31:791,1,431,2,0,468,7547,431,0 90,0,2024-09-07 09:23:31:630,106402,0.5,109290,0.6,223019,0.4,291199,2.00 90,1,2024-09-07 09:23:30:612,756074,756074,0,0,354923673526,3714867249,749300,6262,512,380,391825,0 90,2,2024-09-07 09:23:31:424,544381,544381,0,0,27218172,0,3060 90,3,2024-09-07 09:23:30:930,1,431,0,0,322,5314,431,0 91,0,2024-09-07 09:23:30:977,111303,0.5,107736,0.7,225052,0.5,296071,1.75 91,1,2024-09-07 09:23:30:586,755174,755174,0,0,354153278603,3723017719,745914,7856,1404,381,392047,0 91,2,2024-09-07 09:23:31:331,542408,542408,0,0,24015541,0,2896 91,3,2024-09-07 09:23:30:599,1,431,2,0,216,4270,431,0 92,0,2024-09-07 09:23:31:473,108671,0.5,111337,0.6,212630,0.4,287742,1.75 92,1,2024-09-07 09:23:30:593,757175,757175,0,0,355098315192,3707209814,751640,4810,725,381,392136,0 92,2,2024-09-07 09:23:31:359,547458,547458,0,0,21580036,0,3259 92,3,2024-09-07 09:23:31:010,1,431,1,0,167,3794,431,0 93,0,2024-09-07 09:23:30:996,104301,0.4,106904,0.5,203958,0.3,276659,1.75 93,1,2024-09-07 09:23:30:816,756986,756986,0,0,355462360896,3710240108,748851,6752,1383,366,391776,0 93,2,2024-09-07 09:23:30:939,546801,546801,0,0,26232426,0,4845 93,3,2024-09-07 09:23:31:406,1,431,2,0,190,3831,431,0 94,0,2024-09-07 09:23:31:614,107552,0.3,108461,0.5,216507,0.3,287879,1.75 94,1,2024-09-07 09:23:30:598,757755,757755,0,0,355692863528,3709521808,752963,4582,210,381,391850,0 94,2,2024-09-07 09:23:30:762,545417,545417,0,0,21890652,0,2443 94,3,2024-09-07 09:23:31:692,1,431,1,0,576,5694,431,0 95,0,2024-09-07 09:23:31:349,110306,0.4,110086,0.5,220765,0.3,294002,1.75 95,1,2024-09-07 09:23:30:851,758220,758220,0,0,356486760526,3704756109,752167,5615,438,365,391786,0 95,2,2024-09-07 09:23:31:041,541448,541448,0,0,21586831,0,3308 95,3,2024-09-07 09:23:31:713,1,431,2,0,718,6249,431,0 96,0,2024-09-07 09:23:31:026,110167,0.4,110580,0.5,220411,0.3,292350,1.75 96,1,2024-09-07 09:23:31:583,757135,757135,0,0,354719714235,3701375842,751587,4664,884,384,391955,0 96,2,2024-09-07 09:23:31:271,547888,547888,0,0,22640737,0,4180 96,3,2024-09-07 09:23:31:140,1,431,1,0,411,4807,431,0 97,0,2024-09-07 09:23:31:326,103517,0.3,103069,0.5,206812,0.2,274632,1.50 97,1,2024-09-07 09:23:30:775,758501,758501,0,0,356168627498,3695177196,753351,4218,932,367,392140,0 97,2,2024-09-07 09:23:30:619,547155,547155,0,0,21743605,0,3679 97,3,2024-09-07 09:23:30:569,1,431,6,0,242,5125,431,0 98,0,2024-09-07 09:23:31:741,106128,0.3,106233,0.4,213064,0.2,283412,1.50 98,1,2024-09-07 09:23:30:570,757567,757567,0,0,355932402633,3708213551,752603,4172,792,382,391997,0 98,2,2024-09-07 09:23:30:774,545192,545192,0,0,21928013,0,4336 98,3,2024-09-07 09:23:30:707,1,431,6,0,840,7179,431,0 99,0,2024-09-07 09:23:31:465,111429,0.3,112138,0.5,222679,0.3,297266,1.75 99,1,2024-09-07 09:23:31:724,757939,757939,0,0,355236477186,3696994408,752991,4111,837,381,392069,0 99,2,2024-09-07 09:23:31:418,544944,544944,0,0,25744537,0,4276 99,3,2024-09-07 09:23:30:587,1,431,3,0,606,4713,431,0 100,0,2024-09-07 09:23:31:485,111104,0.8,111408,1.0,221937,1.0,296723,2.50 100,1,2024-09-07 09:23:30:565,753729,753729,0,0,353503658475,3732689152,742997,8683,2049,381,391989,0 100,2,2024-09-07 09:23:31:816,542952,542941,11,0,25267710,0,5417 100,3,2024-09-07 09:23:31:731,1,431,1,0,559,6902,431,0 101,0,2024-09-07 09:23:31:701,106922,0.8,104121,1.0,204505,0.7,280296,2.25 101,1,2024-09-07 09:23:30:557,754144,754144,0,0,354012415692,3722609152,743453,8567,2124,368,391847,0 101,2,2024-09-07 09:23:31:756,542552,542552,0,0,30194611,0,4871 101,3,2024-09-07 09:23:30:961,1,431,4,0,579,5894,431,0 102,0,2024-09-07 09:23:31:010,102969,0.6,106167,0.7,215192,0.5,281816,2.00 102,1,2024-09-07 09:23:31:144,754707,754707,0,0,354535107431,3724302670,744826,8118,1763,369,391891,0 102,2,2024-09-07 09:23:31:739,545752,545698,54,0,24345878,0,6768 102,3,2024-09-07 09:23:31:628,1,431,1,0,466,4759,431,0 103,0,2024-09-07 09:23:31:590,114669,0.6,114671,0.7,216150,0.5,298050,2.00 103,1,2024-09-07 09:23:31:646,753873,753873,0,0,354248916759,3740024739,741873,8934,3066,381,391829,0 103,2,2024-09-07 09:23:30:588,544107,544107,0,0,26957444,0,3766 103,3,2024-09-07 09:23:30:765,1,431,4,0,916,4790,431,0 104,0,2024-09-07 09:23:31:030,110536,0.8,110918,1.0,220846,0.8,296312,2.50 104,1,2024-09-07 09:23:31:599,756088,756088,0,0,354515072995,3722826863,745245,8979,1864,365,392168,0 104,2,2024-09-07 09:23:31:679,540894,540894,0,0,25722818,0,3941 104,3,2024-09-07 09:23:31:420,1,431,3,0,1245,8556,431,0 105,0,2024-09-07 09:23:31:057,105022,0.8,102239,1.0,214304,0.9,281687,2.50 105,1,2024-09-07 09:23:30:572,756928,756928,0,0,355708903774,3726097509,747480,8021,1427,366,392009,0 105,2,2024-09-07 09:23:31:331,544854,544854,0,0,25633899,0,3509 105,3,2024-09-07 09:23:31:316,1,431,1,0,399,6592,431,0 106,0,2024-09-07 09:23:30:957,100573,0.7,103093,0.9,211030,0.7,275888,2.25 106,1,2024-09-07 09:23:31:751,755599,755599,0,0,354380901713,3720276345,744545,9607,1447,369,391914,0 106,2,2024-09-07 09:23:30:764,545556,545556,0,0,25147333,0,2920 106,3,2024-09-07 09:23:30:687,1,431,10,0,470,5499,431,0 107,0,2024-09-07 09:23:31:133,108921,0.9,109211,0.9,218013,1.0,291034,2.25 107,1,2024-09-07 09:23:30:593,754403,754403,0,0,353965107470,3730263203,744175,9175,1053,381,392234,0 107,2,2024-09-07 09:23:31:291,544188,544187,1,0,26072607,0,5024 107,3,2024-09-07 09:23:31:756,1,431,11,0,370,6492,431,0 108,0,2024-09-07 09:23:31:827,109837,0.5,110653,0.6,220025,0.5,293377,1.75 108,1,2024-09-07 09:23:31:293,757265,757265,0,0,355862165193,3710191513,751123,5397,745,368,391857,0 108,2,2024-09-07 09:23:31:756,540101,540101,0,0,24256497,0,4246 108,3,2024-09-07 09:23:31:330,1,431,1,0,749,7985,431,0 109,0,2024-09-07 09:23:31:768,109239,0.4,108387,0.6,216783,0.4,289510,1.75 109,1,2024-09-07 09:23:30:589,754507,754507,0,0,354638813712,3721990147,747106,6216,1185,382,392132,0 109,2,2024-09-07 09:23:30:921,545064,545064,0,0,23895267,0,3617 109,3,2024-09-07 09:23:31:141,1,431,0,0,379,5022,431,0 110,0,2024-09-07 09:23:31:771,102739,0.3,100110,0.5,209739,0.3,274037,1.75 110,1,2024-09-07 09:23:31:643,758493,758493,0,0,356193341306,3696534125,753102,4213,1178,369,392045,0 110,2,2024-09-07 09:23:31:307,547121,547121,0,0,22777953,0,4067 110,3,2024-09-07 09:23:30:715,1,431,10,0,722,6117,431,0 111,0,2024-09-07 09:23:31:443,107406,0.4,106587,0.5,213876,0.3,286013,1.75 111,1,2024-09-07 09:23:31:000,758462,758462,0,0,357051700246,3702163466,754576,3531,355,380,391690,0 111,2,2024-09-07 09:23:31:116,544549,544549,0,0,22499953,0,4823 111,3,2024-09-07 09:23:30:915,1,431,1,0,379,5061,431,0 112,0,2024-09-07 09:23:30:922,111480,0.3,111164,0.4,222496,0.2,295406,1.50 112,1,2024-09-07 09:23:30:834,758459,758459,0,0,355243685957,3687007037,753743,4103,613,380,391624,0 112,2,2024-09-07 09:23:31:132,542610,542609,1,0,21720189,0,5036 112,3,2024-09-07 09:23:30:593,1,431,2,0,282,4242,431,0 113,0,2024-09-07 09:23:30:874,110571,0.3,110639,0.5,221758,0.3,294679,1.75 113,1,2024-09-07 09:23:31:689,760412,760412,0,0,357483600952,3687421169,756521,3302,589,366,391661,0 113,2,2024-09-07 09:23:31:310,548240,548240,0,0,20065590,0,3813 113,3,2024-09-07 09:23:30:700,1,431,7,0,340,4745,431,0 114,0,2024-09-07 09:23:30:873,104989,0.3,105584,0.4,209971,0.2,280455,1.75 114,1,2024-09-07 09:23:30:719,758008,758008,0,0,355601393398,3694404162,751724,4668,1616,381,391565,0 114,2,2024-09-07 09:23:30:875,547699,547698,1,0,21146719,0,5069 114,3,2024-09-07 09:23:31:278,1,431,1,0,395,3664,431,0 115,0,2024-09-07 09:23:30:575,106287,0.2,106807,0.4,213074,0.2,283582,1.50 115,1,2024-09-07 09:23:30:580,758585,758585,0,0,355947353701,3699812471,752094,5224,1267,382,391757,0 115,2,2024-09-07 09:23:31:125,546476,546476,0,0,20965529,0,4382 115,3,2024-09-07 09:23:31:005,1,431,0,0,159,2400,431,0 116,0,2024-09-07 09:23:31:715,111021,0.7,111137,0.8,222617,0.7,297339,2.00 116,1,2024-09-07 09:23:30:825,754575,754575,0,0,354431339366,3732722944,745964,6286,2325,380,392089,0 116,2,2024-09-07 09:23:31:774,544158,544158,0,0,26694973,0,4128 116,3,2024-09-07 09:23:30:915,1,431,3,0,415,5067,431,0 117,0,2024-09-07 09:23:30:975,112051,0.8,111403,0.8,223342,0.9,298355,2.00 117,1,2024-09-07 09:23:31:676,755780,755780,0,0,353993774593,3703555854,748028,6837,915,369,392033,0 117,2,2024-09-07 09:23:31:127,546249,546249,0,0,22875111,0,4303 117,3,2024-09-07 09:23:31:067,1,431,2,0,490,6532,431,0 118,0,2024-09-07 09:23:31:764,102365,0.5,104984,0.6,214435,0.4,280156,2.00 118,1,2024-09-07 09:23:30:591,755894,755894,0,0,353953866963,3723553315,743983,8912,2999,366,391907,0 118,2,2024-09-07 09:23:31:600,545212,545212,0,0,25172321,0,2842 118,3,2024-09-07 09:23:31:763,1,431,1,0,248,5091,431,0 119,0,2024-09-07 09:23:31:333,104982,0.5,105270,0.7,210617,0.5,280601,2.00 119,1,2024-09-07 09:23:30:562,756111,756111,0,0,355258564379,3716605304,747627,7368,1116,367,391780,0 119,2,2024-09-07 09:23:31:263,546474,546474,0,0,23831810,0,4174 119,3,2024-09-07 09:23:31:329,1,431,1,0,1358,8472,431,0 120,0,2024-09-07 09:23:31:573,108915,0.6,108753,0.8,218298,0.6,291403,2.25 120,1,2024-09-07 09:23:30:869,756338,756338,0,0,354455192047,3719371306,747963,7605,770,368,392144,0 120,2,2024-09-07 09:23:30:790,545350,545349,1,0,27125849,0,5281 120,3,2024-09-07 09:23:31:290,1,431,0,0,279,5356,431,0 121,0,2024-09-07 09:23:31:768,110630,1.5,110332,1.1,221397,2.0,295382,2.50 121,1,2024-09-07 09:23:31:716,756618,756618,0,0,355056218381,3710436379,749871,6287,460,367,391840,0 121,2,2024-09-07 09:23:31:132,540389,540389,0,0,25959117,0,4127 121,3,2024-09-07 09:23:30:733,1,431,21,0,269,4800,431,0 122,0,2024-09-07 09:23:31:777,107178,0.8,104575,0.9,219344,0.8,287577,2.00 122,1,2024-09-07 09:23:30:859,755013,755013,0,0,353940282194,3714041292,744571,8839,1603,366,392130,0 122,2,2024-09-07 09:23:31:322,546577,546504,73,0,28935096,0,5989 122,3,2024-09-07 09:23:30:623,1,431,9,0,512,7745,431,0 123,0,2024-09-07 09:23:30:967,103178,0.6,100645,0.7,210618,0.6,275966,2.00 123,1,2024-09-07 09:23:30:562,754895,754895,0,0,354167509925,3731445974,741347,11105,2443,369,392039,0 123,2,2024-09-07 09:23:31:025,545085,545084,1,0,24451368,0,5215 123,3,2024-09-07 09:23:31:135,1,431,5,0,478,5057,431,0 124,0,2024-09-07 09:23:30:934,111231,0.3,111254,0.5,209563,0.3,288503,1.75 124,1,2024-09-07 09:23:31:031,758058,758058,0,0,355469107782,3694050208,752779,4229,1050,367,392178,0 124,2,2024-09-07 09:23:31:014,546802,546749,53,0,22115943,0,6487 124,3,2024-09-07 09:23:30:758,1,431,4,0,490,4149,431,0 125,0,2024-09-07 09:23:31:443,110187,0.4,110025,0.6,220986,0.4,294045,1.75 125,1,2024-09-07 09:23:30:864,756495,756495,0,0,355270984413,3708970885,750582,5241,672,382,391702,0 125,2,2024-09-07 09:23:31:116,542455,542455,0,0,22771170,0,4534 125,3,2024-09-07 09:23:31:140,1,431,1,0,709,5384,431,0 126,0,2024-09-07 09:23:31:443,110054,0.4,113353,0.6,216798,0.4,293014,1.75 126,1,2024-09-07 09:23:30:613,758735,758735,0,0,356030723838,3686921092,754732,3709,294,365,391987,0 126,2,2024-09-07 09:23:30:622,548073,548073,0,0,23614890,0,4539 126,3,2024-09-07 09:23:30:914,1,431,1,0,268,5278,431,0 127,0,2024-09-07 09:23:31:591,103381,0.3,103661,0.5,207053,0.2,274766,1.50 127,1,2024-09-07 09:23:30:591,757915,757915,0,0,354440879314,3686508384,750573,6120,1222,364,392187,0 127,2,2024-09-07 09:23:30:637,545813,545813,0,0,21325073,0,3897 127,3,2024-09-07 09:23:31:268,1,431,2,0,968,4623,431,0 128,0,2024-09-07 09:23:31:539,106691,0.3,106967,0.4,213453,0.2,283800,1.50 128,1,2024-09-07 09:23:31:617,757646,757646,0,0,356360865205,3698794370,752736,4477,433,367,392031,0 128,2,2024-09-07 09:23:31:384,546100,546100,0,0,20718339,0,3171 128,3,2024-09-07 09:23:30:767,1,431,1,0,1082,6747,431,0 129,0,2024-09-07 09:23:31:003,112352,0.3,111802,0.5,224045,0.3,297660,1.75 129,1,2024-09-07 09:23:30:580,755204,755204,0,0,354416133369,3713810027,747532,6002,1670,379,391962,0 129,2,2024-09-07 09:23:30:690,544920,544920,0,0,22088478,0,4031 129,3,2024-09-07 09:23:30:698,1,431,0,0,506,5864,431,0 130,0,2024-09-07 09:23:31:740,112070,0.5,111615,0.6,224480,0.5,298050,1.75 130,1,2024-09-07 09:23:30:601,758081,758081,0,0,355729242469,3696150914,754045,3697,339,381,391825,0 130,2,2024-09-07 09:23:31:146,546578,546578,0,0,22533609,0,4067 130,3,2024-09-07 09:23:31:301,1,431,1,0,960,6748,431,0 131,0,2024-09-07 09:23:31:959,104578,0.3,105147,0.5,211159,0.3,280229,1.75 131,1,2024-09-07 09:23:31:826,757741,757741,0,0,355552783504,3713336576,751778,4915,1048,381,391865,0 131,2,2024-09-07 09:23:30:605,548397,548397,0,0,20766526,0,3979 131,3,2024-09-07 09:23:31:694,1,431,1,0,392,4821,431,0 132,0,2024-09-07 09:23:31:477,105802,0.4,106718,0.6,212449,0.4,282593,1.75 132,1,2024-09-07 09:23:30:578,753853,753853,0,0,354181747381,3729305119,742717,9027,2109,381,392532,0 132,2,2024-09-07 09:23:30:706,544672,544655,17,0,27224465,0,6451 132,3,2024-09-07 09:23:31:695,1,431,2,0,804,7580,431,0 133,0,2024-09-07 09:23:31:595,108177,0.4,110699,0.6,227144,0.4,296329,1.75 133,1,2024-09-07 09:23:30:589,754113,754113,0,0,354120993277,3732373973,743567,9031,1515,383,391914,0 133,2,2024-09-07 09:23:31:098,544760,544710,50,0,28597596,0,6861 133,3,2024-09-07 09:23:31:301,1,431,4,0,479,4562,431,0 134,0,2024-09-07 09:23:30:961,112109,0.6,111712,0.7,223628,0.6,298613,2.00 134,1,2024-09-07 09:23:30:605,755519,755519,0,0,354521710844,3717985115,745722,7762,2035,366,391718,0 134,2,2024-09-07 09:23:31:761,540563,540539,24,0,26174734,0,6207 134,3,2024-09-07 09:23:30:753,1,431,6,0,739,5483,431,0 135,0,2024-09-07 09:23:31:135,102895,0.8,102838,0.8,218333,0.8,281202,2.25 135,1,2024-09-07 09:23:31:586,755625,755625,0,0,355360541479,3737466740,745662,8592,1371,380,391805,0 135,2,2024-09-07 09:23:30:732,546355,546355,0,0,25589182,0,3981 135,3,2024-09-07 09:23:31:007,1,431,10,0,299,3753,431,0 136,0,2024-09-07 09:23:31:670,104568,0.5,104721,0.7,208459,0.4,278118,2.00 136,1,2024-09-07 09:23:31:453,756299,756299,0,0,354897272915,3721319538,747729,7607,963,381,391685,0 136,2,2024-09-07 09:23:31:148,547860,547860,0,0,24469980,0,3506 136,3,2024-09-07 09:23:31:115,1,431,4,0,637,4958,431,0 137,0,2024-09-07 09:23:30:966,112417,0.6,109470,0.7,214794,0.6,292098,2.00 137,1,2024-09-07 09:23:30:608,754507,754507,0,0,354030267025,3714362363,742951,9196,2360,366,391898,0 137,2,2024-09-07 09:23:31:705,544358,544358,0,0,27073651,0,3185 137,3,2024-09-07 09:23:30:773,1,431,5,0,484,5294,431,0 138,0,2024-09-07 09:23:31:758,109659,1.0,109646,1.0,219998,1.3,292146,2.25 138,1,2024-09-07 09:23:31:701,755884,755884,0,0,354997262419,3722259868,745642,8519,1723,368,391954,0 138,2,2024-09-07 09:23:30:597,540577,540577,0,0,24492942,0,4988 138,3,2024-09-07 09:23:30:621,1,431,15,0,1160,5712,431,0 139,0,2024-09-07 09:23:31:365,107695,1.5,107771,1.1,215973,2.2,288014,2.50 139,1,2024-09-07 09:23:30:577,751926,751926,0,0,352708872250,3748321099,737248,11310,3368,380,392058,0 139,2,2024-09-07 09:23:30:695,542906,542906,0,0,28417209,0,3097 139,3,2024-09-07 09:23:31:664,1,431,2,0,432,5018,431,0 140,0,2024-09-07 09:23:31:600,103293,0.3,102768,0.5,206326,0.2,274399,1.75 140,1,2024-09-07 09:23:31:547,759850,759850,0,0,356283819414,3672586030,756285,3124,441,365,391606,0 140,2,2024-09-07 09:23:30:695,547672,547671,1,0,21530768,0,5036 140,3,2024-09-07 09:23:30:768,1,431,1,0,297,3583,431,0 141,0,2024-09-07 09:23:31:698,107211,0.3,110077,0.5,210327,0.2,285788,1.50 141,1,2024-09-07 09:23:30:860,758464,758464,0,0,356828633661,3705350493,752778,4729,957,379,391614,0 141,2,2024-09-07 09:23:31:692,545725,545725,0,0,21270693,0,3360 141,3,2024-09-07 09:23:31:051,1,431,15,0,391,4943,431,0 142,0,2024-09-07 09:23:31:318,111654,0.3,111009,0.5,222028,0.3,296015,1.75 142,1,2024-09-07 09:23:30:594,757597,757597,0,0,355435753303,3703920072,752907,4292,398,382,392102,0 142,2,2024-09-07 09:23:31:299,542134,542102,32,0,23351574,0,6028 142,3,2024-09-07 09:23:31:757,1,431,4,0,484,4827,431,0 143,0,2024-09-07 09:23:31:401,110669,0.5,110897,0.6,221842,0.5,294712,1.75 143,1,2024-09-07 09:23:30:595,758744,758744,0,0,356296811012,3697002777,753700,4500,544,367,391705,0 143,2,2024-09-07 09:23:30:774,546745,546745,0,0,22397700,0,3123 143,3,2024-09-07 09:23:31:140,1,431,5,0,462,5323,431,0 144,0,2024-09-07 09:23:31:520,101453,0.6,104193,0.8,212469,0.5,278361,2.00 144,1,2024-09-07 09:23:30:581,754691,754691,0,0,353422246781,3709761736,746977,5880,1834,381,391649,0 144,2,2024-09-07 09:23:31:756,547314,547314,0,0,21246785,0,3673 144,3,2024-09-07 09:23:31:740,1,431,1,0,249,4380,431,0 145,0,2024-09-07 09:23:31:395,102718,0.5,102714,0.7,217925,0.5,280857,2.25 145,1,2024-09-07 09:23:30:602,754548,754548,0,0,353651550579,3719161853,744914,7972,1662,382,391759,0 145,2,2024-09-07 09:23:31:438,542870,542789,81,0,26295354,0,7814 145,3,2024-09-07 09:23:30:902,1,431,4,0,622,6166,431,0 146,0,2024-09-07 09:23:31:597,111339,0.6,110875,0.8,223238,0.6,295890,2.25 146,1,2024-09-07 09:23:31:585,755933,755933,0,0,354357748418,3719669840,744914,8899,2120,367,391770,0 146,2,2024-09-07 09:23:31:698,543382,543382,0,0,25061702,0,3290 146,3,2024-09-07 09:23:31:276,1,431,1,0,1520,8051,431,0 147,0,2024-09-07 09:23:31:720,111833,0.7,111783,0.8,222711,0.7,297828,2.25 147,1,2024-09-07 09:23:31:377,758790,758790,0,0,355769678388,3699441377,752149,5795,846,368,391791,0 147,2,2024-09-07 09:23:31:026,543675,543675,0,0,22425497,0,2968 147,3,2024-09-07 09:23:30:916,1,431,2,0,1626,7404,431,0 0,0,2024-09-07 09:23:41:718,106822,0.6,106759,0.7,226573,0.7,292933,2.00 0,1,2024-09-07 09:23:40:813,757956,757956,0,0,355583380116,3720357641,752503,5064,389,369,391896,0 0,2,2024-09-07 09:23:41:067,548635,548635,0,0,21882305,0,4480 0,3,2024-09-07 09:23:40:974,1,432,1,0,431,6364,432,0 1,0,2024-09-07 09:23:41:786,111092,1.2,110423,1.1,221503,1.6,296590,2.25 1,1,2024-09-07 09:23:40:652,757409,757409,0,0,354929815490,3713993456,750980,5103,1326,370,391859,0 1,2,2024-09-07 09:23:40:641,542041,542041,0,0,22142646,0,3380 1,3,2024-09-07 09:23:41:314,1,432,0,0,268,5252,432,0 2,0,2024-09-07 09:23:41:567,107583,0.6,107730,0.8,214786,0.6,286406,2.00 2,1,2024-09-07 09:23:40:869,760021,760021,0,0,356407263175,3698492779,756732,2994,295,380,391745,0 2,2,2024-09-07 09:23:41:265,548266,548266,0,0,20774085,0,3594 2,3,2024-09-07 09:23:40:691,1,432,0,0,357,4167,432,0 3,0,2024-09-07 09:23:41:747,104053,0.4,103779,0.6,207494,0.3,276620,2.00 3,1,2024-09-07 09:23:41:617,758581,758581,0,0,355780525189,3704331095,752360,5531,690,379,391716,0 3,2,2024-09-07 09:23:41:142,548675,548652,23,0,21958322,0,5851 3,3,2024-09-07 09:23:41:756,1,432,1,0,207,2844,432,0 4,0,2024-09-07 09:23:41:772,105258,0.4,108055,0.5,220411,0.3,288755,1.75 4,1,2024-09-07 09:23:40:592,755913,755913,0,0,354205156274,3742807283,744092,9313,2508,370,391992,0 4,2,2024-09-07 09:23:41:018,545073,545073,0,0,25170275,0,4528 4,3,2024-09-07 09:23:41:032,1,432,1,0,448,5591,432,0 5,0,2024-09-07 09:23:41:390,110177,0.4,110852,0.6,221064,0.4,293519,1.75 5,1,2024-09-07 09:23:40:764,757199,757199,0,0,355285026123,3739194542,746765,8274,2160,367,392005,0 5,2,2024-09-07 09:23:41:830,539793,539793,0,0,24957584,0,3582 5,3,2024-09-07 09:23:41:732,1,432,1,0,457,6013,432,0 6,0,2024-09-07 09:23:40:917,110322,0.5,109963,0.6,219758,0.4,292824,2.00 6,1,2024-09-07 09:23:40:765,758027,758027,0,0,355837227536,3717791867,749817,6817,1393,379,391694,0 6,2,2024-09-07 09:23:41:127,547761,547743,18,0,24931507,0,5535 6,3,2024-09-07 09:23:41:280,1,432,0,0,710,5451,432,0 7,0,2024-09-07 09:23:41:536,102673,0.5,103393,0.6,205918,0.4,273584,1.75 7,1,2024-09-07 09:23:40:850,757434,757434,0,0,355512800863,3728343450,747663,8307,1464,382,391747,0 7,2,2024-09-07 09:23:40:770,548781,548781,0,0,24244491,0,4791 7,3,2024-09-07 09:23:40:852,1,432,1,0,552,4966,432,0 8,0,2024-09-07 09:23:41:440,106850,0.3,106593,0.5,213668,0.3,284718,1.75 8,1,2024-09-07 09:23:41:022,756080,756080,0,0,355162042945,3733753700,744466,8983,2631,366,392853,0 8,2,2024-09-07 09:23:40:792,541861,541861,0,0,28398532,0,3250 8,3,2024-09-07 09:23:40:585,1,432,0,0,538,7074,432,0 9,0,2024-09-07 09:23:41:103,111749,0.4,108467,0.5,227081,0.3,297336,1.75 9,1,2024-09-07 09:23:40:555,755830,755830,0,0,354869663082,3737162872,744754,8655,2421,369,392001,0 9,2,2024-09-07 09:23:41:083,543706,543706,0,0,25247940,0,3360 9,3,2024-09-07 09:23:41:759,1,432,73,0,496,6170,432,0 10,0,2024-09-07 09:23:41:614,111496,0.4,110972,0.5,222878,0.3,296734,1.75 10,1,2024-09-07 09:23:40:583,757397,757397,0,0,355655122843,3727552557,746995,8779,1623,381,391741,0 10,2,2024-09-07 09:23:40:763,546358,546358,0,0,27789227,0,4264 10,3,2024-09-07 09:23:40:874,1,432,2,0,649,4618,432,0 11,0,2024-09-07 09:23:41:009,104884,0.4,101767,0.6,212857,0.4,281091,1.75 11,1,2024-09-07 09:23:40:571,757957,757957,0,0,356065717014,3734612657,746629,8702,2626,383,391756,0 11,2,2024-09-07 09:23:41:127,546863,546863,0,0,24470120,0,4130 11,3,2024-09-07 09:23:41:299,1,432,1,0,843,6223,432,0 12,0,2024-09-07 09:23:40:975,106689,0.4,106772,0.5,213602,0.3,283337,1.75 12,1,2024-09-07 09:23:40:940,757795,757795,0,0,355230511080,3702022125,752235,5058,502,370,391870,0 12,2,2024-09-07 09:23:41:541,546390,546390,0,0,23559339,0,3469 12,3,2024-09-07 09:23:41:060,1,432,1,0,386,6357,432,0 13,0,2024-09-07 09:23:41:367,112509,0.4,112043,0.6,223695,0.4,298827,1.75 13,1,2024-09-07 09:23:41:524,756666,756666,0,0,354944664075,3730370644,749047,5987,1632,382,391740,0 13,2,2024-09-07 09:23:40:594,548352,548352,0,0,21864402,0,3287 13,3,2024-09-07 09:23:41:761,1,432,2,0,522,6279,432,0 14,0,2024-09-07 09:23:40:562,111772,0.5,112825,0.6,223206,0.4,298116,2.00 14,1,2024-09-07 09:23:41:561,761979,761979,0,0,357520009615,3702132231,755757,5513,709,364,391673,0 14,2,2024-09-07 09:23:40:763,544202,544172,30,0,23809565,0,6104 14,3,2024-09-07 09:23:41:114,1,432,0,0,1168,4736,432,0 15,0,2024-09-07 09:23:41:576,106112,0.4,106001,0.6,212267,0.4,282458,2.00 15,1,2024-09-07 09:23:41:608,759088,759088,0,0,356431545474,3711663779,752863,5046,1179,381,391619,0 15,2,2024-09-07 09:23:41:000,549280,549280,0,0,19567889,0,3622 15,3,2024-09-07 09:23:41:429,1,432,1,0,1126,6959,432,0 16,0,2024-09-07 09:23:40:972,104506,0.5,104910,0.7,209198,0.5,278340,2.00 16,1,2024-09-07 09:23:40:581,758880,758880,0,0,356589344984,3727333730,752276,5491,1113,370,391917,0 16,2,2024-09-07 09:23:41:436,547508,547508,0,0,23492615,0,4719 16,3,2024-09-07 09:23:41:142,1,432,1,0,317,5418,432,0 17,0,2024-09-07 09:23:41:770,112877,0.6,110129,0.8,215336,0.6,292831,2.00 17,1,2024-09-07 09:23:40:580,757276,757276,0,0,355222650931,3725994929,749630,6132,1514,368,392075,0 17,2,2024-09-07 09:23:41:667,549247,549247,0,0,23283260,0,3779 17,3,2024-09-07 09:23:40:585,1,432,13,0,518,6863,432,0 18,0,2024-09-07 09:23:40:941,109117,0.7,109689,0.8,218526,0.8,292004,2.25 18,1,2024-09-07 09:23:41:638,760321,760321,0,0,356813344606,3696855937,756321,3621,379,367,391725,0 18,2,2024-09-07 09:23:41:760,544923,544923,0,0,20593269,0,3541 18,3,2024-09-07 09:23:40:902,1,432,1,0,163,3290,432,0 19,0,2024-09-07 09:23:41:542,108100,0.6,108614,0.8,215486,0.6,286686,2.25 19,1,2024-09-07 09:23:40:567,760553,760553,0,0,356796480933,3700030492,754605,5027,921,367,391777,0 19,2,2024-09-07 09:23:41:761,550475,550475,0,0,19575712,0,3988 19,3,2024-09-07 09:23:41:129,1,432,1,0,524,3460,432,0 20,0,2024-09-07 09:23:41:404,103054,0.4,102908,0.6,205839,0.4,274305,2.00 20,1,2024-09-07 09:23:40:587,757812,757812,0,0,355622424540,3719042081,751100,5911,801,369,391922,0 20,2,2024-09-07 09:23:40:944,547669,547669,0,0,23597549,0,3721 20,3,2024-09-07 09:23:40:593,1,432,1,0,414,6270,432,0 21,0,2024-09-07 09:23:41:139,107460,0.5,107682,0.6,214815,0.4,285800,1.75 21,1,2024-09-07 09:23:41:546,755983,755983,0,0,354987082301,3741746027,745017,8486,2480,368,392016,0 21,2,2024-09-07 09:23:41:073,543823,543803,20,0,28666198,0,5617 21,3,2024-09-07 09:23:41:461,1,432,8,0,713,5737,432,0 22,0,2024-09-07 09:23:41:731,110908,0.5,111260,0.7,222320,0.5,294460,2.00 22,1,2024-09-07 09:23:41:023,757185,757185,0,0,355594909011,3735807738,746031,8927,2227,382,391667,0 22,2,2024-09-07 09:23:40:759,542249,542223,26,0,22764718,0,6328 22,3,2024-09-07 09:23:41:066,1,432,11,0,228,3526,432,0 23,0,2024-09-07 09:23:41:369,110397,0.5,109668,0.7,219891,0.5,292902,2.25 23,1,2024-09-07 09:23:41:003,758053,758053,0,0,355846570235,3735900101,744944,9055,4054,365,391690,0 23,2,2024-09-07 09:23:41:092,549163,549163,0,0,22357137,0,3773 23,3,2024-09-07 09:23:41:760,1,432,1,0,720,5581,432,0 24,0,2024-09-07 09:23:40:863,105471,0.4,104915,0.5,210987,0.3,280022,1.75 24,1,2024-09-07 09:23:40:585,757337,757337,0,0,355158837816,3722216416,749334,6410,1593,367,392269,0 24,2,2024-09-07 09:23:41:074,547276,547276,0,0,26832595,0,3607 24,3,2024-09-07 09:23:41:700,1,432,20,0,468,5849,432,0 25,0,2024-09-07 09:23:41:391,109450,0.4,106534,0.6,209153,0.3,285177,1.75 25,1,2024-09-07 09:23:40:565,756823,756823,0,0,355256552641,3743019229,744805,9769,2249,371,391928,0 25,2,2024-09-07 09:23:41:606,544189,544189,0,0,27422296,0,3978 25,3,2024-09-07 09:23:41:001,1,432,0,0,532,4883,432,0 26,0,2024-09-07 09:23:41:719,111447,0.4,108797,0.6,228298,0.4,296974,2.00 26,1,2024-09-07 09:23:41:541,758544,758544,0,0,355576313199,3728156980,746913,9222,2409,380,391748,0 26,2,2024-09-07 09:23:40:865,546005,546005,0,0,27502747,0,2809 26,3,2024-09-07 09:23:41:711,1,432,4,0,796,5177,432,0 27,0,2024-09-07 09:23:41:725,112218,0.5,112292,0.6,223500,0.4,298497,2.25 27,1,2024-09-07 09:23:41:677,759683,759683,0,0,356950421843,3717629034,752415,6367,901,381,391626,0 27,2,2024-09-07 09:23:40:868,542977,542912,65,0,25119547,0,5699 27,3,2024-09-07 09:23:41:015,1,432,1,0,564,4118,432,0 28,0,2024-09-07 09:23:41:403,105848,0.4,105441,0.6,211609,0.3,281935,1.75 28,1,2024-09-07 09:23:40:806,759108,759108,0,0,356789047518,3722963530,751920,5489,1699,383,391698,0 28,2,2024-09-07 09:23:41:772,547573,547573,0,0,22782950,0,2915 28,3,2024-09-07 09:23:41:790,1,432,0,0,502,4689,432,0 29,0,2024-09-07 09:23:41:370,108702,0.3,105906,0.5,207632,0.3,283219,1.75 29,1,2024-09-07 09:23:41:561,761885,761885,0,0,357352002339,3697108771,757018,4132,735,368,391809,0 29,2,2024-09-07 09:23:40:861,546764,546764,0,0,21567734,0,4986 29,3,2024-09-07 09:23:40:968,1,432,1,0,459,5035,432,0 30,0,2024-09-07 09:23:41:457,109655,0.5,106600,0.6,223183,0.4,292155,2.00 30,1,2024-09-07 09:23:40:576,760519,760519,0,0,357292842562,3710015457,754450,5303,766,381,391672,0 30,2,2024-09-07 09:23:41:274,547728,547728,0,0,21119184,0,4192 30,3,2024-09-07 09:23:40:582,1,432,1,0,519,4186,432,0 31,0,2024-09-07 09:23:41:768,110744,0.5,111219,0.7,221968,0.5,296574,2.00 31,1,2024-09-07 09:23:40:571,764176,764176,0,0,359164423388,3680436883,761159,2431,586,356,391712,0 31,2,2024-09-07 09:23:41:279,542720,542720,0,0,22681140,0,3525 31,3,2024-09-07 09:23:41:709,1,432,7,0,220,3772,432,0 32,0,2024-09-07 09:23:41:515,107955,0.3,108685,0.5,216341,0.3,288101,1.75 32,1,2024-09-07 09:23:40:813,760133,760133,0,0,356231529426,3705346707,755016,4436,681,381,391646,0 32,2,2024-09-07 09:23:40:937,549186,549186,0,0,20506815,0,3922 32,3,2024-09-07 09:23:41:016,1,432,1,0,304,3395,432,0 33,0,2024-09-07 09:23:41:502,104070,0.3,103695,0.4,207967,0.2,277074,1.50 33,1,2024-09-07 09:23:40:576,761046,761046,0,0,357185721794,3703635201,754532,5409,1105,368,391730,0 33,2,2024-09-07 09:23:40:763,548954,548919,35,0,22915388,0,7012 33,3,2024-09-07 09:23:40:895,1,432,0,0,329,4143,432,0 34,0,2024-09-07 09:23:40:937,108635,0.3,111753,0.4,213523,0.2,288073,1.75 34,1,2024-09-07 09:23:41:049,762276,762276,0,0,358519395046,3685756475,760533,1731,12,367,391562,0 34,2,2024-09-07 09:23:40:773,547883,547883,0,0,21217980,0,4562 34,3,2024-09-07 09:23:41:690,1,432,9,0,299,3251,432,0 35,0,2024-09-07 09:23:40:857,109608,0.4,110218,0.5,221448,0.3,294226,1.75 35,1,2024-09-07 09:23:41:118,760278,760278,0,0,356523997944,3694948161,755736,3684,858,382,391769,0 35,2,2024-09-07 09:23:41:588,542892,542892,0,0,22829971,0,4055 35,3,2024-09-07 09:23:40:915,1,432,1,0,418,4513,432,0 36,0,2024-09-07 09:23:41:528,110405,0.5,110299,0.7,220650,0.4,293193,2.00 36,1,2024-09-07 09:23:40:591,759276,759276,0,0,356335153867,3720472728,749627,7649,2000,366,391759,0 36,2,2024-09-07 09:23:41:760,548909,548909,0,0,24211638,0,3875 36,3,2024-09-07 09:23:40:866,1,432,1,0,416,6082,432,0 37,0,2024-09-07 09:23:41:374,102762,0.4,103048,0.6,205775,0.4,273864,2.00 37,1,2024-09-07 09:23:40:586,758888,758881,0,7,355809694305,3715076383,750061,6544,2276,365,391770,0 37,2,2024-09-07 09:23:41:142,546238,546223,15,0,24086063,0,5815 37,3,2024-09-07 09:23:41:771,1,432,12,0,888,6378,432,0 38,0,2024-09-07 09:23:41:437,106168,0.4,102976,0.6,215428,0.3,282412,2.00 38,1,2024-09-07 09:23:41:605,760080,760080,0,0,356952396393,3730368256,749335,8584,2161,368,391821,0 38,2,2024-09-07 09:23:40:764,545937,545890,47,0,23813042,0,6710 38,3,2024-09-07 09:23:41:000,1,432,1,0,689,5468,432,0 39,0,2024-09-07 09:23:41:773,114074,0.6,111566,0.7,217582,0.6,296387,2.00 39,1,2024-09-07 09:23:40:717,758064,758064,0,0,356247711898,3733923633,745623,9750,2691,365,391658,0 39,2,2024-09-07 09:23:41:438,545063,545063,0,0,22667807,0,3391 39,3,2024-09-07 09:23:40:717,1,432,0,0,324,4890,432,0 40,0,2024-09-07 09:23:41:501,110384,0.8,110988,1.0,221364,0.9,295306,2.75 40,1,2024-09-07 09:23:40:576,758715,758715,0,0,355829131301,3725959270,747895,8776,2044,368,391668,0 40,2,2024-09-07 09:23:41:303,545795,545794,1,0,26313145,0,5137 40,3,2024-09-07 09:23:41:142,1,432,1,0,1028,5963,432,0 41,0,2024-09-07 09:23:41:048,104602,1.3,106898,1.2,203867,2.0,277685,3.00 41,1,2024-09-07 09:23:40:772,757513,757513,0,0,356112281548,3723937733,748330,8143,1040,369,391742,0 41,2,2024-09-07 09:23:40:764,545806,545806,0,0,25222888,0,4277 41,3,2024-09-07 09:23:41:676,1,432,0,0,366,4460,432,0 42,0,2024-09-07 09:23:41:480,105336,0.8,105441,0.9,211001,0.8,279130,2.50 42,1,2024-09-07 09:23:41:440,755662,755662,0,0,354858538614,3728724552,743579,10052,2031,380,391675,0 42,2,2024-09-07 09:23:41:136,545331,545331,0,0,25365041,0,3790 42,3,2024-09-07 09:23:41:009,1,432,1,0,892,4267,432,0 43,0,2024-09-07 09:23:40:918,110595,0.8,107606,0.9,225350,0.8,296201,2.25 43,1,2024-09-07 09:23:40:578,759165,759165,0,0,356503986906,3724234158,748785,8641,1739,366,391696,0 43,2,2024-09-07 09:23:41:737,546966,546966,0,0,25006300,0,3812 43,3,2024-09-07 09:23:41:755,1,432,0,0,548,5700,432,0 44,0,2024-09-07 09:23:40:861,111890,0.5,112107,0.6,224697,0.4,298679,2.00 44,1,2024-09-07 09:23:40:565,760736,760736,0,0,356468341944,3684652100,754241,5177,1318,356,391809,0 44,2,2024-09-07 09:23:41:267,543169,543169,0,0,20889876,0,4344 44,3,2024-09-07 09:23:41:095,1,432,0,0,817,5044,432,0 45,0,2024-09-07 09:23:41:814,105005,0.5,102500,0.7,215058,0.4,282908,2.00 45,1,2024-09-07 09:23:41:005,759682,759682,0,0,356940571652,3708482219,754023,5086,573,382,391917,0 45,2,2024-09-07 09:23:41:268,548731,548731,0,0,21215353,0,3596 45,3,2024-09-07 09:23:40:937,1,432,3,0,271,3695,432,0 46,0,2024-09-07 09:23:40:952,103992,0.5,103842,0.7,208065,0.4,276065,2.00 46,1,2024-09-07 09:23:40:577,761283,761283,0,0,357257683135,3689712058,756612,4079,592,366,391709,0 46,2,2024-09-07 09:23:40:603,548237,548237,0,0,21378099,0,4443 46,3,2024-09-07 09:23:41:135,1,432,1,0,908,5417,432,0 47,0,2024-09-07 09:23:41:114,109812,0.4,110075,0.6,220287,0.4,292043,1.75 47,1,2024-09-07 09:23:40:568,762124,762124,0,0,356906411782,3688211090,757267,4019,838,366,391641,0 47,2,2024-09-07 09:23:40:908,549405,549405,0,0,21000729,0,4477 47,3,2024-09-07 09:23:41:115,1,432,1,0,600,5246,432,0 48,0,2024-09-07 09:23:41:539,110762,0.3,110673,0.5,220459,0.2,294058,1.75 48,1,2024-09-07 09:23:41:038,759853,759853,0,0,356700705281,3704308500,755141,4256,456,384,391710,0 48,2,2024-09-07 09:23:40:701,542512,542512,0,0,19599858,0,3411 48,3,2024-09-07 09:23:40:763,1,432,10,0,339,3469,432,0 49,0,2024-09-07 09:23:41:729,111448,0.4,109578,0.5,212554,0.3,290615,1.75 49,1,2024-09-07 09:23:41:027,759697,759697,0,0,355907820184,3702475114,754541,3950,1206,382,391809,0 49,2,2024-09-07 09:23:41:797,548859,548859,0,0,21677292,0,4426 49,3,2024-09-07 09:23:41:429,1,432,28,0,992,5175,432,0 50,0,2024-09-07 09:23:41:511,103605,0.3,101963,0.4,205931,0.2,274044,1.75 50,1,2024-09-07 09:23:41:011,762330,762330,0,0,357508905022,3695822133,757399,4357,574,368,391565,0 50,2,2024-09-07 09:23:41:067,548358,548358,0,0,19915514,0,4490 50,3,2024-09-07 09:23:41:293,1,432,1,0,567,4350,432,0 51,0,2024-09-07 09:23:41:685,110342,0.3,107966,0.5,210577,0.2,287375,1.75 51,1,2024-09-07 09:23:41:706,762124,762124,0,0,358102005197,3692406896,758171,2904,1049,365,391706,0 51,2,2024-09-07 09:23:41:314,547199,547199,0,0,18902028,0,3337 51,3,2024-09-07 09:23:41:032,1,432,1,0,678,3503,432,0 52,0,2024-09-07 09:23:41:465,111267,0.5,111244,0.7,222634,0.5,295315,2.00 52,1,2024-09-07 09:23:40:610,758922,758922,0,0,355784465613,3721204167,748581,8988,1353,368,391722,0 52,2,2024-09-07 09:23:41:760,540319,540281,38,0,25297192,0,6742 52,3,2024-09-07 09:23:40:678,1,432,19,0,1782,5867,432,0 53,0,2024-09-07 09:23:41:742,109862,0.7,106730,0.8,223165,0.7,292732,2.25 53,1,2024-09-07 09:23:40:772,757337,757337,0,0,356232880932,3735172571,745416,8961,2960,367,391702,0 53,2,2024-09-07 09:23:41:300,548156,548155,1,0,22972047,0,5455 53,3,2024-09-07 09:23:40:700,1,432,1,0,308,3811,432,0 54,0,2024-09-07 09:23:41:616,103425,0.6,103655,0.7,206368,0.4,275877,2.25 54,1,2024-09-07 09:23:40:586,759593,759593,0,0,356714708580,3707386242,752102,6250,1241,366,391659,0 54,2,2024-09-07 09:23:40:864,548317,548285,32,0,26316191,0,6397 54,3,2024-09-07 09:23:40:763,1,432,2,0,676,6245,432,0 55,0,2024-09-07 09:23:41:770,103061,0.5,106396,0.7,215213,0.4,280986,2.25 55,1,2024-09-07 09:23:40:764,759990,759990,0,0,356313737615,3701584869,752358,6539,1093,365,391731,0 55,2,2024-09-07 09:23:40:737,545134,545078,56,0,25216153,0,7239 55,3,2024-09-07 09:23:40:684,1,432,1,0,304,4354,432,0 56,0,2024-09-07 09:23:41:590,113869,1.3,107443,1.2,221314,1.8,296015,2.75 56,1,2024-09-07 09:23:40:588,755401,755401,0,0,354988171570,3747964827,743882,9146,2373,381,391867,0 56,2,2024-09-07 09:23:41:310,545561,545439,122,0,25989574,0,7432 56,3,2024-09-07 09:23:41:062,1,432,0,0,705,5247,432,0 57,0,2024-09-07 09:23:40:944,110272,1.7,110047,1.3,220708,2.3,295212,3.25 57,1,2024-09-07 09:23:41:011,758104,758104,0,0,355141552445,3720645960,749497,7521,1086,366,392032,0 57,2,2024-09-07 09:23:41:322,545164,545164,0,0,26847439,0,3317 57,3,2024-09-07 09:23:41:741,1,432,2,0,455,4986,432,0 58,0,2024-09-07 09:23:40:598,104014,0.8,101049,1.0,211425,0.9,276771,2.50 58,1,2024-09-07 09:23:40:584,757431,757428,0,3,356836618770,3732491371,746985,8696,1747,367,391603,3 58,2,2024-09-07 09:23:41:072,546776,546776,0,0,24905351,0,2902 58,3,2024-09-07 09:23:41:083,1,432,11,0,1043,4630,432,0 59,0,2024-09-07 09:23:41:739,105198,0.7,104863,0.9,209460,0.7,277718,2.50 59,1,2024-09-07 09:23:40:818,758166,758166,0,0,356132237637,3729214318,747946,8411,1809,369,391653,0 59,2,2024-09-07 09:23:40:583,545985,545985,0,0,24830591,0,3727 59,3,2024-09-07 09:23:41:745,1,432,4,0,1015,5710,432,0 60,0,2024-09-07 09:23:41:731,109807,0.5,109824,0.7,220453,0.5,292833,1.75 60,1,2024-09-07 09:23:40:792,760550,760550,0,0,357482047872,3709540154,755407,4423,720,370,392031,0 60,2,2024-09-07 09:23:41:156,547802,547802,0,0,23019637,0,3811 60,3,2024-09-07 09:23:41:258,1,432,3,0,409,4994,432,0 61,0,2024-09-07 09:23:41:548,110898,0.7,111513,0.8,221915,0.8,296266,2.00 61,1,2024-09-07 09:23:40:773,758661,758661,0,0,355805469045,3726726778,750351,6879,1431,382,392127,0 61,2,2024-09-07 09:23:41:116,542477,542410,67,0,23283736,0,6411 61,3,2024-09-07 09:23:41:694,1,432,1,0,479,5761,432,0 62,0,2024-09-07 09:23:41:710,108420,0.5,111138,0.7,212108,0.5,287842,2.00 62,1,2024-09-07 09:23:41:115,763061,763055,0,6,358165800157,3692607219,759239,3555,261,365,391975,6 62,2,2024-09-07 09:23:41:645,546591,546590,1,0,23509287,0,5555 62,3,2024-09-07 09:23:41:143,1,432,12,0,482,3755,432,0 63,0,2024-09-07 09:23:41:460,104144,0.4,104038,0.5,208561,0.3,277441,1.75 63,1,2024-09-07 09:23:40:805,760727,760721,0,6,356820540451,3704347351,755748,4240,733,381,391800,6 63,2,2024-09-07 09:23:40:762,548558,548558,0,0,21460213,0,4369 63,3,2024-09-07 09:23:41:732,1,432,3,0,667,4422,432,0 64,0,2024-09-07 09:23:41:536,108028,0.5,108125,0.6,215686,0.4,287303,1.75 64,1,2024-09-07 09:23:40:764,759682,759682,0,0,356917699535,3718071647,753001,4978,1703,370,391783,0 64,2,2024-09-07 09:23:41:141,550630,550611,19,0,21302805,0,6121 64,3,2024-09-07 09:23:41:140,1,432,1,0,265,4203,432,0 65,0,2024-09-07 09:23:41:757,109481,0.7,109710,0.8,219125,0.8,291796,2.25 65,1,2024-09-07 09:23:40:866,757799,757799,0,0,355279439801,3712425412,752687,4572,540,381,391901,0 65,2,2024-09-07 09:23:41:694,542733,542733,0,0,24829525,0,3367 65,3,2024-09-07 09:23:41:694,1,432,28,0,782,5096,432,0 66,0,2024-09-07 09:23:41:768,109845,0.5,109691,0.7,219176,0.5,291502,2.25 66,1,2024-09-07 09:23:41:298,760107,760107,0,0,356553769258,3714193590,754608,4973,526,380,391743,0 66,2,2024-09-07 09:23:41:137,550023,550023,0,0,21753182,0,4956 66,3,2024-09-07 09:23:41:082,1,432,4,0,291,3729,432,0 67,0,2024-09-07 09:23:41:459,103465,0.4,103195,0.6,206887,0.4,274750,2.00 67,1,2024-09-07 09:23:40:769,759979,759978,0,1,356626975555,3714099788,754626,4560,792,380,391787,1 67,2,2024-09-07 09:23:40:583,550386,550386,0,0,20903186,0,3622 67,3,2024-09-07 09:23:41:755,1,432,5,0,392,4244,432,0 68,0,2024-09-07 09:23:40:571,106850,0.5,106782,0.7,212589,0.5,284527,2.00 68,1,2024-09-07 09:23:40:570,757213,757213,0,0,355597321683,3739076762,747158,7109,2946,381,391953,0 68,2,2024-09-07 09:23:41:050,543642,543542,100,0,27916761,0,8578 68,3,2024-09-07 09:23:40:731,1,432,0,0,417,4961,432,0 69,0,2024-09-07 09:23:41:772,110887,0.8,111696,0.8,222382,0.9,294875,2.25 69,1,2024-09-07 09:23:41:016,756728,756728,0,0,354429635672,3734530156,746955,7503,2270,384,391994,0 69,2,2024-09-07 09:23:41:736,543733,543704,29,0,29385643,0,6912 69,3,2024-09-07 09:23:40:767,1,432,11,0,698,6629,432,0 70,0,2024-09-07 09:23:41:536,110348,1.1,110637,1.1,222458,1.0,294719,2.50 70,1,2024-09-07 09:23:40:813,760467,760467,0,0,357188540102,3706179811,754487,5319,661,366,391725,0 70,2,2024-09-07 09:23:41:326,546459,546459,0,0,24631811,0,4323 70,3,2024-09-07 09:23:40:765,1,432,0,0,854,4991,432,0 71,0,2024-09-07 09:23:41:356,104069,0.8,103858,0.9,208632,0.8,279032,2.50 71,1,2024-09-07 09:23:41:596,759147,759147,0,0,356716795469,3719810990,750705,7639,803,368,391738,0 71,2,2024-09-07 09:23:41:067,546937,546937,0,0,24659917,0,4352 71,3,2024-09-07 09:23:41:760,1,432,1,0,644,5577,432,0 72,0,2024-09-07 09:23:41:053,109502,0.5,107090,0.7,208750,0.5,283726,2.00 72,1,2024-09-07 09:23:41:033,757726,757726,0,0,355870231154,3732786712,746858,8858,2010,369,391819,0 72,2,2024-09-07 09:23:41:768,545235,545235,0,0,26989395,0,3983 72,3,2024-09-07 09:23:41:761,1,432,17,0,564,6447,432,0 73,0,2024-09-07 09:23:41:133,108815,0.4,111489,0.6,227984,0.4,297220,2.00 73,1,2024-09-07 09:23:40:775,759334,759334,0,0,356061399105,3698662582,753992,4933,409,367,391858,0 73,2,2024-09-07 09:23:41:746,546310,546310,0,0,26413085,0,3701 73,3,2024-09-07 09:23:40:970,1,432,1,0,486,5733,432,0 74,0,2024-09-07 09:23:41:328,112814,0.5,115365,0.7,219906,0.5,299078,2.25 74,1,2024-09-07 09:23:40:636,758204,758204,0,0,356056605874,3716533173,750238,6371,1595,381,391762,0 74,2,2024-09-07 09:23:41:001,543251,543251,0,0,24179091,0,4253 74,3,2024-09-07 09:23:41:442,1,432,1,0,522,5560,432,0 75,0,2024-09-07 09:23:41:771,106766,0.5,106157,0.7,212744,0.5,284088,2.25 75,1,2024-09-07 09:23:41:591,759361,759361,0,0,356161007112,3714268878,752509,6024,828,380,391739,0 75,2,2024-09-07 09:23:41:352,546288,546288,0,0,26931179,0,4766 75,3,2024-09-07 09:23:41:070,1,432,1,0,702,5734,432,0 76,0,2024-09-07 09:23:40:622,104094,0.5,103300,0.7,207315,0.5,276911,2.25 76,1,2024-09-07 09:23:40:825,759052,759052,0,0,356244701414,3715791314,753623,4604,825,382,391692,0 76,2,2024-09-07 09:23:41:073,549917,549916,1,0,23475049,0,5144 76,3,2024-09-07 09:23:41:142,1,432,1,0,175,3915,432,0 77,0,2024-09-07 09:23:41:710,109122,0.5,109527,0.7,219039,0.5,291026,2.00 77,1,2024-09-07 09:23:40:824,759623,759623,0,0,356292496807,3717284662,753803,5314,506,381,391869,0 77,2,2024-09-07 09:23:41:281,547108,547108,0,0,22956928,0,3890 77,3,2024-09-07 09:23:41:094,1,432,1,0,401,4784,432,0 78,0,2024-09-07 09:23:41:722,110574,0.5,109970,0.7,220894,0.4,292716,2.00 78,1,2024-09-07 09:23:40:617,759682,759682,0,0,356103410771,3709000178,751816,6299,1567,367,391670,0 78,2,2024-09-07 09:23:41:405,543197,543184,13,0,21549621,0,8313 78,3,2024-09-07 09:23:41:136,1,432,1,0,181,3719,432,0 79,0,2024-09-07 09:23:41:351,104887,0.4,107374,0.6,219942,0.4,285612,2.25 79,1,2024-09-07 09:23:40:581,761273,761273,0,0,355930839880,3689338211,754701,5263,1309,367,391682,0 79,2,2024-09-07 09:23:41:071,549304,549304,0,0,21252392,0,4195 79,3,2024-09-07 09:23:40:763,1,432,1,0,418,5412,432,0 80,0,2024-09-07 09:23:41:094,103059,0.5,105952,0.6,202796,0.4,273750,2.00 80,1,2024-09-07 09:23:41:665,759315,759315,0,0,356561211014,3713562755,753758,5135,422,368,392269,0 80,2,2024-09-07 09:23:41:091,550193,550193,0,0,21502499,0,4433 80,3,2024-09-07 09:23:40:584,1,432,9,0,190,4998,432,0 81,0,2024-09-07 09:23:41:540,107518,0.5,110171,0.7,210146,0.5,286069,2.00 81,1,2024-09-07 09:23:41:651,758138,758138,0,0,355709660894,3716394660,752130,5467,541,382,391879,0 81,2,2024-09-07 09:23:41:131,545524,545461,63,0,23854937,0,5932 81,3,2024-09-07 09:23:41:129,1,432,1,0,719,5136,432,0 82,0,2024-09-07 09:23:41:532,110692,0.5,110846,0.7,222421,0.5,294694,2.00 82,1,2024-09-07 09:23:40:599,760664,760660,0,4,356780348217,3710980630,755962,3879,819,381,391768,4 82,2,2024-09-07 09:23:41:691,544798,544798,0,0,20268213,0,4484 82,3,2024-09-07 09:23:41:759,1,432,1,0,363,4598,432,0 83,0,2024-09-07 09:23:41:526,110586,0.6,110565,0.8,220556,0.7,292902,2.25 83,1,2024-09-07 09:23:40:552,758401,758401,0,0,355297471030,3705221450,752872,5079,450,382,391709,0 83,2,2024-09-07 09:23:40:764,547958,547958,0,0,21021658,0,3393 83,3,2024-09-07 09:23:40:763,1,432,1,0,1260,5513,432,0 84,0,2024-09-07 09:23:41:767,104247,0.6,104244,0.8,207861,0.5,278255,2.25 84,1,2024-09-07 09:23:41:056,758129,758129,0,0,355519452910,3713253057,750428,6658,1043,367,391967,0 84,2,2024-09-07 09:23:40:576,547147,547117,30,0,28348125,0,5971 84,3,2024-09-07 09:23:41:148,1,432,6,0,908,6428,432,0 85,0,2024-09-07 09:23:41:058,102665,0.5,102635,0.7,217887,0.5,282237,2.25 85,1,2024-09-07 09:23:40:561,756106,756106,0,0,354891818527,3743028896,745290,8896,1920,381,392006,0 85,2,2024-09-07 09:23:40:865,544990,544990,0,0,26250301,0,3656 85,3,2024-09-07 09:23:40:689,1,432,2,0,789,5250,432,0 86,0,2024-09-07 09:23:40:912,111374,0.7,114624,0.8,219410,0.7,296569,2.25 86,1,2024-09-07 09:23:40:831,758391,758391,0,0,356153992401,3728697344,749716,7234,1441,366,391961,0 86,2,2024-09-07 09:23:40:857,543703,543702,1,0,28387415,0,5004 86,3,2024-09-07 09:23:40:587,1,432,8,0,308,6224,432,0 87,0,2024-09-07 09:23:41:332,111884,1.0,111750,0.9,223516,1.3,298842,2.50 87,1,2024-09-07 09:23:40:556,757057,757057,0,0,355149810883,3721915335,747106,8357,1594,366,392076,0 87,2,2024-09-07 09:23:41:105,544321,544315,6,0,25115144,0,6323 87,3,2024-09-07 09:23:41:795,1,432,0,0,473,6751,432,0 88,0,2024-09-07 09:23:41:468,105529,0.4,106305,0.6,211515,0.4,281691,1.75 88,1,2024-09-07 09:23:40:573,756933,756933,0,0,355589478033,3724271204,747211,7714,2008,365,392084,0 88,2,2024-09-07 09:23:40:689,547337,547337,0,0,27556894,0,4465 88,3,2024-09-07 09:23:41:267,1,432,4,0,435,5258,432,0 89,0,2024-09-07 09:23:41:769,108653,0.4,105206,0.6,208232,0.4,283378,1.75 89,1,2024-09-07 09:23:40:555,756858,756858,0,0,355099228748,3732109582,747684,7747,1427,382,391866,0 89,2,2024-09-07 09:23:41:146,546086,546086,0,0,25901984,0,3173 89,3,2024-09-07 09:23:41:791,1,432,1,0,468,7548,432,0 90,0,2024-09-07 09:23:41:624,106678,0.5,109632,0.6,223630,0.4,291867,2.00 90,1,2024-09-07 09:23:40:666,757829,757829,0,0,355740245102,3723215536,751054,6263,512,380,391825,0 90,2,2024-09-07 09:23:41:435,545587,545587,0,0,27233311,0,3060 90,3,2024-09-07 09:23:40:960,1,432,19,0,322,5333,432,0 91,0,2024-09-07 09:23:40:982,111662,0.5,108032,0.7,225763,0.5,296940,1.75 91,1,2024-09-07 09:23:40:562,756870,756870,0,0,354939200217,3731054823,747610,7856,1404,381,392047,0 91,2,2024-09-07 09:23:41:332,543708,543708,0,0,24034308,0,2896 91,3,2024-09-07 09:23:40:614,1,432,1,0,216,4271,432,0 92,0,2024-09-07 09:23:41:539,108853,0.5,111544,0.6,212949,0.4,288216,1.75 92,1,2024-09-07 09:23:40:586,758971,758971,0,0,355918945253,3715638081,753435,4811,725,381,392136,0 92,2,2024-09-07 09:23:41:367,548670,548670,0,0,21605947,0,3259 92,3,2024-09-07 09:23:41:009,1,432,1,0,167,3795,432,0 93,0,2024-09-07 09:23:40:982,104673,0.4,107227,0.5,204658,0.3,277592,1.75 93,1,2024-09-07 09:23:40:839,758739,758739,0,0,356299890068,3718754377,750602,6753,1384,366,391776,0 93,2,2024-09-07 09:23:40:950,548160,548160,0,0,26261760,0,4845 93,3,2024-09-07 09:23:41:440,1,432,3,0,190,3834,432,0 94,0,2024-09-07 09:23:41:616,107973,0.3,108949,0.5,217410,0.3,289004,1.75 94,1,2024-09-07 09:23:40:586,759443,759443,0,0,356476983309,3717568493,754651,4582,210,381,391850,0 94,2,2024-09-07 09:23:40:776,546799,546799,0,0,21910463,0,2443 94,3,2024-09-07 09:23:41:691,1,432,3,0,576,5697,432,0 95,0,2024-09-07 09:23:41:363,110423,0.4,110198,0.5,221005,0.3,294311,1.75 95,1,2024-09-07 09:23:40:852,759995,759995,0,0,357304445904,3713085610,753942,5615,438,365,391786,0 95,2,2024-09-07 09:23:41:017,542342,542342,0,0,21598933,0,3308 95,3,2024-09-07 09:23:41:717,1,432,1,0,718,6250,432,0 96,0,2024-09-07 09:23:41:033,110281,0.4,110697,0.5,220650,0.3,292672,1.75 96,1,2024-09-07 09:23:41:587,758903,758903,0,0,355402525752,3708361147,753355,4664,884,384,391955,0 96,2,2024-09-07 09:23:41:268,549319,549319,0,0,22651501,0,4180 96,3,2024-09-07 09:23:41:166,1,432,10,0,411,4817,432,0 97,0,2024-09-07 09:23:41:324,103521,0.3,103075,0.5,206820,0.2,274634,1.50 97,1,2024-09-07 09:23:40:774,760260,760260,0,0,356916519626,3702761718,755110,4218,932,367,392140,0 97,2,2024-09-07 09:23:40:608,548619,548619,0,0,21754379,0,3679 97,3,2024-09-07 09:23:40:570,1,432,1,0,242,5126,432,0 98,0,2024-09-07 09:23:41:737,106447,0.3,106619,0.4,213760,0.2,284298,1.50 98,1,2024-09-07 09:23:40:589,759259,759259,0,0,356475197997,3713796410,754294,4173,792,382,391997,0 98,2,2024-09-07 09:23:40:775,546695,546695,0,0,21938586,0,4336 98,3,2024-09-07 09:23:40:707,1,432,25,0,840,7204,432,0 99,0,2024-09-07 09:23:41:568,111526,0.3,112236,0.5,222846,0.3,297519,1.75 99,1,2024-09-07 09:23:41:727,759761,759761,0,0,356430255578,3709129731,754813,4111,837,381,392069,0 99,2,2024-09-07 09:23:41:436,545674,545674,0,0,25750983,0,4276 99,3,2024-09-07 09:23:40:587,1,432,9,0,606,4722,432,0 100,0,2024-09-07 09:23:41:495,111318,0.8,111644,1.0,222384,1.0,297307,2.50 100,1,2024-09-07 09:23:40:560,755523,755523,0,0,354561328023,3743432865,744791,8683,2049,381,391989,0 100,2,2024-09-07 09:23:41:820,544540,544529,11,0,25290135,0,5417 100,3,2024-09-07 09:23:41:733,1,432,1,0,559,6903,432,0 101,0,2024-09-07 09:23:41:705,107255,0.8,104500,0.9,205134,0.7,281164,2.25 101,1,2024-09-07 09:23:40:560,755946,755946,0,0,354844227913,3731106420,745255,8567,2124,368,391847,0 101,2,2024-09-07 09:23:41:761,544000,544000,0,0,30219762,0,4871 101,3,2024-09-07 09:23:40:945,1,432,4,0,579,5898,432,0 102,0,2024-09-07 09:23:40:981,103232,0.6,106434,0.7,215707,0.5,282511,2.00 102,1,2024-09-07 09:23:41:149,756474,756474,0,0,355347077831,3732561072,746593,8118,1763,369,391891,0 102,2,2024-09-07 09:23:41:750,546818,546764,54,0,24358703,0,6768 102,3,2024-09-07 09:23:41:622,1,432,4,0,466,4763,432,0 103,0,2024-09-07 09:23:41:617,115238,0.5,115227,0.7,217237,0.5,299487,2.00 103,1,2024-09-07 09:23:41:631,755647,755647,0,0,355168384611,3749434942,743647,8934,3066,381,391829,0 103,2,2024-09-07 09:23:40:582,545149,545149,0,0,26970543,0,3766 103,3,2024-09-07 09:23:40:763,1,432,20,0,916,4810,432,0 104,0,2024-09-07 09:23:41:030,110850,0.8,111213,1.0,221488,0.8,297139,2.50 104,1,2024-09-07 09:23:41:599,757883,757883,0,0,355351523821,3731314698,747039,8980,1864,365,392168,0 104,2,2024-09-07 09:23:41:666,542471,542471,0,0,25747631,0,3941 104,3,2024-09-07 09:23:41:429,1,432,1,0,1245,8557,432,0 105,0,2024-09-07 09:23:41:029,105170,0.8,102391,1.0,214645,0.9,282064,2.50 105,1,2024-09-07 09:23:40:556,758655,758655,0,0,356683275026,3736012703,749206,8022,1427,366,392009,0 105,2,2024-09-07 09:23:41:323,546216,546216,0,0,25655190,0,3509 105,3,2024-09-07 09:23:41:306,1,432,1,0,399,6593,432,0 106,0,2024-09-07 09:23:40:988,100775,0.7,103328,0.9,211524,0.7,276493,2.25 106,1,2024-09-07 09:23:41:775,757376,757376,0,0,355270059553,3729351291,746321,9608,1447,369,391914,0 106,2,2024-09-07 09:23:40:759,546641,546641,0,0,25166142,0,2920 106,3,2024-09-07 09:23:40:678,1,432,1,0,470,5500,432,0 107,0,2024-09-07 09:23:41:113,109326,0.9,109587,0.9,218781,1.0,292057,2.25 107,1,2024-09-07 09:23:40:589,756133,756133,0,0,354764631325,3738423932,745905,9175,1053,381,392234,0 107,2,2024-09-07 09:23:41:296,545472,545471,1,0,26089745,0,5024 107,3,2024-09-07 09:23:41:813,1,432,21,0,370,6513,432,0 108,0,2024-09-07 09:23:41:790,109996,0.5,110823,0.6,220370,0.4,293818,1.75 108,1,2024-09-07 09:23:41:305,759044,759044,0,0,356643652425,3718181236,752901,5398,745,368,391857,0 108,2,2024-09-07 09:23:41:769,541341,541341,0,0,24278549,0,4246 108,3,2024-09-07 09:23:41:336,1,432,12,0,749,7997,432,0 109,0,2024-09-07 09:23:41:786,109332,0.4,108465,0.6,216977,0.4,289758,1.75 109,1,2024-09-07 09:23:40:583,756272,756272,0,0,355641309748,3732243287,748870,6217,1185,382,392132,0 109,2,2024-09-07 09:23:40:922,546217,546217,0,0,23921789,0,3617 109,3,2024-09-07 09:23:41:140,1,432,1,0,379,5023,432,0 110,0,2024-09-07 09:23:41:763,102858,0.3,100216,0.5,210007,0.3,274397,1.75 110,1,2024-09-07 09:23:41:655,760323,760323,0,0,357147333878,3706257830,754932,4213,1178,369,392045,0 110,2,2024-09-07 09:23:41:310,548578,548578,0,0,22808187,0,4067 110,3,2024-09-07 09:23:40:690,1,432,0,0,722,6117,432,0 111,0,2024-09-07 09:23:41:441,107915,0.4,107101,0.5,214851,0.3,287384,1.75 111,1,2024-09-07 09:23:41:001,760203,760203,0,0,357816578892,3709970913,756317,3531,355,380,391690,0 111,2,2024-09-07 09:23:41:117,546007,546007,0,0,22523783,0,4823 111,3,2024-09-07 09:23:40:913,1,432,1,0,379,5062,432,0 112,0,2024-09-07 09:23:40:913,111580,0.3,111277,0.4,222727,0.2,295699,1.50 112,1,2024-09-07 09:23:40:857,760245,760245,0,0,355932959439,3694061338,755529,4103,613,380,391624,0 112,2,2024-09-07 09:23:41:142,543320,543319,1,0,21725981,0,5036 112,3,2024-09-07 09:23:40:592,1,432,2,0,282,4244,432,0 113,0,2024-09-07 09:23:40:881,110681,0.3,110738,0.5,221981,0.3,294927,1.75 113,1,2024-09-07 09:23:41:706,762228,762228,0,0,358460973454,3697307625,758337,3302,589,366,391661,0 113,2,2024-09-07 09:23:41:310,549872,549872,0,0,20079182,0,3813 113,3,2024-09-07 09:23:40:684,1,432,1,0,340,4746,432,0 114,0,2024-09-07 09:23:40:877,105198,0.3,105778,0.4,210354,0.2,280952,1.75 114,1,2024-09-07 09:23:40:718,759858,759858,0,0,356538065159,3703909554,753573,4669,1616,381,391565,0 114,2,2024-09-07 09:23:40:876,549243,549242,1,0,21156798,0,5069 114,3,2024-09-07 09:23:41:279,1,432,5,0,395,3669,432,0 115,0,2024-09-07 09:23:40:556,106694,0.2,107254,0.4,213949,0.2,284721,1.50 115,1,2024-09-07 09:23:40:570,760474,760474,0,0,356966837287,3710162649,753980,5227,1267,382,391757,0 115,2,2024-09-07 09:23:41:128,547759,547759,0,0,20974638,0,4382 115,3,2024-09-07 09:23:41:002,1,432,1,0,159,2401,432,0 116,0,2024-09-07 09:23:41:700,111120,0.7,111252,0.8,222845,0.7,297627,2.00 116,1,2024-09-07 09:23:40:821,756371,756371,0,0,355277958608,3741380574,747760,6286,2325,380,392089,0 116,2,2024-09-07 09:23:41:756,545140,545140,0,0,26705644,0,4128 116,3,2024-09-07 09:23:40:912,1,432,1,0,415,5068,432,0 117,0,2024-09-07 09:23:40:976,112327,0.8,111703,0.8,223907,0.9,299127,2.00 117,1,2024-09-07 09:23:41:587,757554,757554,0,0,354937390597,3713166446,749802,6837,915,369,392033,0 117,2,2024-09-07 09:23:41:158,547813,547813,0,0,22894296,0,4303 117,3,2024-09-07 09:23:41:064,1,432,3,0,490,6535,432,0 118,0,2024-09-07 09:23:41:796,102572,0.5,105177,0.6,214925,0.4,280738,2.00 118,1,2024-09-07 09:23:40:590,757651,757651,0,0,354982560739,3734031310,745740,8912,2999,366,391907,0 118,2,2024-09-07 09:23:41:588,546628,546628,0,0,25186945,0,2842 118,3,2024-09-07 09:23:41:770,1,432,2,0,248,5093,432,0 119,0,2024-09-07 09:23:41:346,105447,0.5,105743,0.7,211559,0.5,281863,2.00 119,1,2024-09-07 09:23:40:622,757893,757893,0,0,356056537716,3724733798,749409,7368,1116,367,391780,0 119,2,2024-09-07 09:23:41:263,547648,547648,0,0,23848940,0,4174 119,3,2024-09-07 09:23:41:327,1,432,1,0,1358,8473,432,0 120,0,2024-09-07 09:23:41:546,109200,0.6,109027,0.8,218859,0.6,292095,2.25 120,1,2024-09-07 09:23:40:873,758132,758132,0,0,355213825045,3727095592,749757,7605,770,368,392144,0 120,2,2024-09-07 09:23:40:769,546619,546618,1,0,27145073,0,5281 120,3,2024-09-07 09:23:41:319,1,432,13,0,279,5369,432,0 121,0,2024-09-07 09:23:41:689,110995,1.5,110675,1.1,222083,2.0,296297,2.50 121,1,2024-09-07 09:23:41:664,758426,758426,0,0,355889317071,3718946296,751677,6288,461,367,391840,0 121,2,2024-09-07 09:23:41:128,541729,541729,0,0,25982410,0,4127 121,3,2024-09-07 09:23:40:730,1,432,3,0,269,4803,432,0 122,0,2024-09-07 09:23:41:791,107353,0.7,104763,0.9,219719,0.8,288054,2.00 122,1,2024-09-07 09:23:40:862,756790,756790,0,0,354753845530,3722313277,746346,8841,1603,366,392130,0 122,2,2024-09-07 09:23:41:319,547857,547784,73,0,28955945,0,5989 122,3,2024-09-07 09:23:40:594,1,432,7,0,512,7752,432,0 123,0,2024-09-07 09:23:41:021,103556,0.6,100967,0.7,211305,0.6,276846,2.00 123,1,2024-09-07 09:23:40:575,756665,756665,0,0,354932017689,3739249603,743116,11105,2444,369,392039,0 123,2,2024-09-07 09:23:41:019,546441,546440,1,0,24473320,0,5215 123,3,2024-09-07 09:23:41:139,1,432,18,0,478,5075,432,0 124,0,2024-09-07 09:23:40:921,111677,0.3,111634,0.5,210420,0.3,289668,1.75 124,1,2024-09-07 09:23:41:021,759895,759895,0,0,356158749969,3701097051,754616,4229,1050,367,392178,0 124,2,2024-09-07 09:23:41:010,548161,548108,53,0,22139063,0,6487 124,3,2024-09-07 09:23:40:768,1,432,1,0,490,4150,432,0 125,0,2024-09-07 09:23:41:499,110313,0.4,110148,0.6,221233,0.4,294344,1.75 125,1,2024-09-07 09:23:40:862,758331,758331,0,0,355880247064,3715234811,752417,5242,672,382,391702,0 125,2,2024-09-07 09:23:41:126,543416,543416,0,0,22784940,0,4534 125,3,2024-09-07 09:23:41:128,1,432,2,0,709,5386,432,0 126,0,2024-09-07 09:23:41:449,110178,0.4,113467,0.6,217049,0.4,293347,1.75 126,1,2024-09-07 09:23:40:573,760486,760486,0,0,356612085880,3692911833,756483,3709,294,365,391987,0 126,2,2024-09-07 09:23:40:611,549451,549451,0,0,23640237,0,4539 126,3,2024-09-07 09:23:40:910,1,432,11,0,268,5289,432,0 127,0,2024-09-07 09:23:41:658,103386,0.3,103667,0.5,207072,0.2,274779,1.50 127,1,2024-09-07 09:23:40:580,759633,759633,0,0,355296772184,3695230549,752291,6120,1222,364,392187,0 127,2,2024-09-07 09:23:40:653,547229,547229,0,0,21349244,0,3897 127,3,2024-09-07 09:23:41:267,1,432,1,0,968,4624,432,0 128,0,2024-09-07 09:23:41:542,106999,0.3,107332,0.4,214179,0.2,284737,1.50 128,1,2024-09-07 09:23:41:612,759378,759378,0,0,357383201540,3709141814,754468,4477,433,367,392031,0 128,2,2024-09-07 09:23:41:386,547656,547656,0,0,20729702,0,3171 128,3,2024-09-07 09:23:40:771,1,432,0,0,1082,6747,432,0 129,0,2024-09-07 09:23:40:998,112448,0.3,111912,0.5,224233,0.3,297936,1.75 129,1,2024-09-07 09:23:40:576,757020,757020,0,0,355165721496,3721453400,749346,6004,1670,379,391962,0 129,2,2024-09-07 09:23:40:685,545628,545628,0,0,22093595,0,4031 129,3,2024-09-07 09:23:40:688,1,432,1,0,506,5865,432,0 130,0,2024-09-07 09:23:41:835,112296,0.5,111834,0.6,224922,0.5,298607,1.75 130,1,2024-09-07 09:23:40:588,759822,759822,0,0,356505194688,3704069859,755786,3697,339,381,391825,0 130,2,2024-09-07 09:23:41:143,548125,548125,0,0,22546566,0,4067 130,3,2024-09-07 09:23:41:307,1,432,2,0,960,6750,432,0 131,0,2024-09-07 09:23:42:002,104929,0.3,105500,0.5,211814,0.3,281079,1.75 131,1,2024-09-07 09:23:41:821,759530,759530,0,0,356464600851,3722629318,753564,4917,1049,381,391865,0 131,2,2024-09-07 09:23:40:578,549763,549763,0,0,20778241,0,3979 131,3,2024-09-07 09:23:41:689,1,432,0,0,392,4821,432,0 132,0,2024-09-07 09:23:41:433,106059,0.4,106985,0.6,213006,0.4,283269,1.75 132,1,2024-09-07 09:23:40:578,755653,755653,0,0,355107621282,3738754775,744517,9027,2109,381,392532,0 132,2,2024-09-07 09:23:40:709,545767,545750,17,0,27237988,0,6451 132,3,2024-09-07 09:23:41:689,1,432,1,0,804,7581,432,0 133,0,2024-09-07 09:23:41:528,108712,0.4,111240,0.6,228279,0.4,297814,1.75 133,1,2024-09-07 09:23:40:607,755850,755850,0,0,354960302941,3740949574,745304,9031,1515,383,391914,0 133,2,2024-09-07 09:23:41:086,545715,545665,50,0,28611003,0,6861 133,3,2024-09-07 09:23:41:299,1,432,20,0,479,4582,432,0 134,0,2024-09-07 09:23:40:999,112421,0.6,112020,0.7,224290,0.6,299449,2.00 134,1,2024-09-07 09:23:40:643,757311,757311,0,0,355511310024,3728035431,747514,7762,2035,366,391718,0 134,2,2024-09-07 09:23:41:767,542100,542076,24,0,26192234,0,6207 134,3,2024-09-07 09:23:40:750,1,432,1,0,739,5484,432,0 135,0,2024-09-07 09:23:41:101,103048,0.8,102998,0.8,218652,0.8,281628,2.25 135,1,2024-09-07 09:23:41:587,757407,757407,0,0,356085452925,3744884151,747443,8593,1371,380,391805,0 135,2,2024-09-07 09:23:40:693,547684,547684,0,0,25607463,0,3981 135,3,2024-09-07 09:23:41:002,1,432,0,0,299,3753,432,0 136,0,2024-09-07 09:23:41:648,104799,0.5,104956,0.7,208921,0.4,278749,2.00 136,1,2024-09-07 09:23:41:443,758013,758013,0,0,355651127917,3729036817,749442,7607,964,381,391685,0 136,2,2024-09-07 09:23:41:138,548912,548912,0,0,24495170,0,3506 136,3,2024-09-07 09:23:41:107,1,432,116,0,637,5074,432,0 137,0,2024-09-07 09:23:40:924,112783,0.6,109888,0.7,215545,0.6,293115,2.00 137,1,2024-09-07 09:23:40:603,756233,756233,0,0,354893541197,3723122556,744676,9197,2360,366,391898,0 137,2,2024-09-07 09:23:41:716,545627,545627,0,0,27091509,0,3185 137,3,2024-09-07 09:23:40:773,1,432,3,0,484,5297,432,0 138,0,2024-09-07 09:23:41:759,109840,1.0,109810,1.0,220296,1.3,292622,2.25 138,1,2024-09-07 09:23:41:691,757633,757633,0,0,355856644447,3731011501,747391,8519,1723,368,391954,0 138,2,2024-09-07 09:23:40:585,541798,541798,0,0,24511675,0,4988 138,3,2024-09-07 09:23:40:616,1,432,3,0,1160,5715,432,0 139,0,2024-09-07 09:23:41:397,107780,1.5,107860,1.1,216157,2.2,288256,2.50 139,1,2024-09-07 09:23:40:691,753618,753618,0,0,353651587476,3757972284,738940,11310,3368,380,392058,0 139,2,2024-09-07 09:23:40:694,544108,544108,0,0,28441805,0,3097 139,3,2024-09-07 09:23:41:662,1,432,17,0,432,5035,432,0 140,0,2024-09-07 09:23:41:589,103407,0.3,102894,0.5,206574,0.2,274794,1.75 140,1,2024-09-07 09:23:41:537,761576,761576,0,0,357233974980,3682210194,758011,3124,441,365,391606,0 140,2,2024-09-07 09:23:40:689,549186,549185,1,0,21573313,0,5036 140,3,2024-09-07 09:23:40:772,1,432,8,0,297,3591,432,0 141,0,2024-09-07 09:23:41:697,107699,0.3,110589,0.5,211256,0.2,287095,1.50 141,1,2024-09-07 09:23:40:861,760200,760200,0,0,357391661159,3711138583,754513,4730,957,379,391614,0 141,2,2024-09-07 09:23:41:689,547168,547168,0,0,21300244,0,3360 141,3,2024-09-07 09:23:41:048,1,432,1,0,391,4944,432,0 142,0,2024-09-07 09:23:41:319,111766,0.3,111112,0.5,222227,0.3,296307,1.75 142,1,2024-09-07 09:23:40:589,759455,759455,0,0,356256132468,3712336370,754765,4292,398,382,392102,0 142,2,2024-09-07 09:23:41:298,542824,542792,32,0,23360742,0,6028 142,3,2024-09-07 09:23:41:746,1,432,1,0,484,4828,432,0 143,0,2024-09-07 09:23:41:369,110764,0.5,110981,0.6,222046,0.5,294971,1.75 143,1,2024-09-07 09:23:40:572,760571,760571,0,0,357248058195,3706659146,755527,4500,544,367,391705,0 143,2,2024-09-07 09:23:40:769,548316,548316,0,0,22419572,0,3123 143,3,2024-09-07 09:23:41:140,1,432,1,0,462,5324,432,0 144,0,2024-09-07 09:23:41:509,101647,0.6,104375,0.8,212834,0.5,278852,2.00 144,1,2024-09-07 09:23:40:577,756504,756504,0,0,354190980313,3717607595,748790,5880,1834,381,391649,0 144,2,2024-09-07 09:23:41:760,548878,548878,0,0,21259667,0,3673 144,3,2024-09-07 09:23:41:739,1,432,21,0,249,4401,432,0 145,0,2024-09-07 09:23:41:371,103146,0.5,103103,0.7,218841,0.5,282032,2.25 145,1,2024-09-07 09:23:40:559,756375,756375,0,0,354639649978,3729220383,746741,7972,1662,382,391759,0 145,2,2024-09-07 09:23:41:438,544098,544017,81,0,26311754,0,7814 145,3,2024-09-07 09:23:40:902,1,432,11,0,622,6177,432,0 146,0,2024-09-07 09:23:41:640,111470,0.6,111010,0.8,223460,0.6,296196,2.25 146,1,2024-09-07 09:23:41:603,756543,756543,0,0,355115709447,3729550307,745157,8961,2425,367,391770,0 146,2,2024-09-07 09:23:41:697,544361,544361,0,0,25074024,0,3290 146,3,2024-09-07 09:23:41:278,1,432,34,0,1520,8085,432,0 147,0,2024-09-07 09:23:41:708,112087,0.7,112043,0.8,223294,0.7,298620,2.25 147,1,2024-09-07 09:23:41:387,760579,760579,0,0,356461673043,3706564095,753938,5795,846,368,391791,0 147,2,2024-09-07 09:23:41:011,545218,545218,0,0,22451060,0,2968 147,3,2024-09-07 09:23:40:914,1,432,1,0,1626,7405,432,0 0,0,2024-09-07 09:23:51:734,107238,0.6,107124,0.7,227442,0.7,294439,2.00 0,1,2024-09-07 09:23:50:803,759699,759699,0,0,356361848102,3728297931,754246,5064,389,369,391896,0 0,2,2024-09-07 09:23:51:072,549726,549726,0,0,21893936,0,4480 0,3,2024-09-07 09:23:50:976,1,433,2,0,431,6366,433,0 1,0,2024-09-07 09:23:51:777,111434,1.2,110714,1.0,222143,1.6,297394,2.25 1,1,2024-09-07 09:23:50:557,759219,759219,0,0,355846309366,3723348457,752790,5103,1326,370,391859,0 1,2,2024-09-07 09:23:50:644,543479,543479,0,0,22159061,0,3380 1,3,2024-09-07 09:23:51:302,1,433,11,0,268,5263,433,0 2,0,2024-09-07 09:23:51:574,107742,0.6,107905,0.8,215129,0.6,286816,2.00 2,1,2024-09-07 09:23:50:861,761810,761810,0,0,357340045040,3707961460,758521,2994,295,380,391745,0 2,2,2024-09-07 09:23:51:266,549534,549534,0,0,20796652,0,3594 2,3,2024-09-07 09:23:50:697,1,433,1,0,357,4168,433,0 3,0,2024-09-07 09:23:51:747,104306,0.4,104112,0.6,208075,0.3,277235,2.00 3,1,2024-09-07 09:23:51:620,760362,760362,0,0,356714105940,3713845195,754141,5531,690,379,391716,0 3,2,2024-09-07 09:23:51:142,549786,549763,23,0,21968304,0,5851 3,3,2024-09-07 09:23:51:764,1,433,1,0,207,2845,433,0 4,0,2024-09-07 09:23:51:774,105637,0.4,108445,0.5,221290,0.3,289809,1.75 4,1,2024-09-07 09:23:50:655,757731,757731,0,0,354943245758,3750362606,745909,9314,2508,370,391992,0 4,2,2024-09-07 09:23:51:020,546403,546403,0,0,25182981,0,4528 4,3,2024-09-07 09:23:51:039,1,433,1,0,448,5592,433,0 5,0,2024-09-07 09:23:51:385,110312,0.4,110985,0.6,221320,0.4,293946,1.75 5,1,2024-09-07 09:23:50:755,758941,758941,0,0,356060871760,3747102253,748506,8275,2160,367,392005,0 5,2,2024-09-07 09:23:51:853,540874,540874,0,0,24967021,0,3582 5,3,2024-09-07 09:23:51:733,1,433,1,0,457,6014,433,0 6,0,2024-09-07 09:23:50:915,110435,0.5,110072,0.6,219993,0.4,293086,2.00 6,1,2024-09-07 09:23:50:746,759796,759796,0,0,356853892541,3728118055,751584,6819,1393,379,391702,0 6,2,2024-09-07 09:23:51:115,549152,549134,18,0,24943549,0,5535 6,3,2024-09-07 09:23:51:274,1,433,1,0,710,5452,433,0 7,0,2024-09-07 09:23:51:536,102739,0.5,103457,0.6,206041,0.4,273908,1.75 7,1,2024-09-07 09:23:50:854,759174,759174,0,0,356299676726,3736359489,749403,8307,1464,382,391747,0 7,2,2024-09-07 09:23:50:769,550145,550145,0,0,24253268,0,4791 7,3,2024-09-07 09:23:50:853,1,433,0,0,552,4966,433,0 8,0,2024-09-07 09:23:51:423,107262,0.3,106986,0.5,214464,0.3,286023,1.75 8,1,2024-09-07 09:23:51:034,757858,757858,0,0,356000053782,3742450136,746244,8983,2631,366,392853,0 8,2,2024-09-07 09:23:50:790,543397,543397,0,0,28444095,0,3250 8,3,2024-09-07 09:23:50:588,1,433,1,0,538,7075,433,0 9,0,2024-09-07 09:23:51:128,111840,0.4,108576,0.5,227266,0.3,297633,1.75 9,1,2024-09-07 09:23:50:554,757574,757574,0,0,355964877684,3748404024,746498,8655,2421,369,392001,0 9,2,2024-09-07 09:23:51:086,544308,544308,0,0,25259669,0,3360 9,3,2024-09-07 09:23:51:754,1,433,1,0,496,6171,433,0 10,0,2024-09-07 09:23:51:603,111645,0.4,111127,0.5,223201,0.3,296990,1.75 10,1,2024-09-07 09:23:50:583,759228,759228,0,0,356392966523,3735693964,748736,8869,1623,381,391741,0 10,2,2024-09-07 09:23:50:762,547878,547878,0,0,27833514,0,4264 10,3,2024-09-07 09:23:50:871,1,433,1,0,649,4619,433,0 11,0,2024-09-07 09:23:51:008,105174,0.4,102015,0.6,213416,0.4,281612,1.75 11,1,2024-09-07 09:23:50:571,759711,759711,0,0,357165372246,3745793137,748383,8702,2626,383,391756,0 11,2,2024-09-07 09:23:51:123,548373,548373,0,0,24515282,0,4130 11,3,2024-09-07 09:23:51:299,1,433,2,0,843,6225,433,0 12,0,2024-09-07 09:23:50:952,107029,0.4,107107,0.5,214275,0.3,284456,1.75 12,1,2024-09-07 09:23:50:939,759567,759567,0,0,356286973781,3713031735,754007,5058,502,370,391870,0 12,2,2024-09-07 09:23:51:545,547542,547542,0,0,23586527,0,3469 12,3,2024-09-07 09:23:51:059,1,433,1,0,386,6358,433,0 13,0,2024-09-07 09:23:51:347,112898,0.4,112443,0.6,224436,0.4,299273,1.75 13,1,2024-09-07 09:23:51:525,758369,758369,0,0,355507183040,3736263911,750750,5987,1632,382,391740,0 13,2,2024-09-07 09:23:50:602,549344,549344,0,0,21886908,0,3287 13,3,2024-09-07 09:23:51:763,1,433,0,0,522,6279,433,0 14,0,2024-09-07 09:23:50:563,112078,0.4,113133,0.6,223771,0.4,298892,2.00 14,1,2024-09-07 09:23:51:562,763729,763729,0,0,358374415625,3710800689,757507,5513,709,364,391673,0 14,2,2024-09-07 09:23:50:764,545754,545724,30,0,23845194,0,6104 14,3,2024-09-07 09:23:51:114,1,433,1,0,1168,4737,433,0 15,0,2024-09-07 09:23:51:571,106280,0.4,106189,0.6,212618,0.4,283034,2.00 15,1,2024-09-07 09:23:51:608,760848,760848,0,0,357305297386,3720692506,754623,5046,1179,381,391619,0 15,2,2024-09-07 09:23:50:998,550498,550498,0,0,19589332,0,3622 15,3,2024-09-07 09:23:51:407,1,433,2,0,1126,6961,433,0 16,0,2024-09-07 09:23:51:007,104828,0.5,105256,0.7,209866,0.5,279545,2.00 16,1,2024-09-07 09:23:50:563,760684,760684,0,0,357157199037,3733191329,754079,5492,1113,370,391917,0 16,2,2024-09-07 09:23:51:434,548722,548722,0,0,23506692,0,4719 16,3,2024-09-07 09:23:51:142,1,433,7,0,317,5425,433,0 17,0,2024-09-07 09:23:51:787,113205,0.6,110482,0.8,216007,0.6,293564,2.00 17,1,2024-09-07 09:23:50:581,759005,759005,0,0,356023050213,3734178752,751357,6134,1514,368,392075,0 17,2,2024-09-07 09:23:51:669,550625,550625,0,0,23298485,0,3779 17,3,2024-09-07 09:23:50:574,1,433,10,0,518,6873,433,0 18,0,2024-09-07 09:23:50:941,109357,0.7,109949,0.8,219057,0.8,292937,2.25 18,1,2024-09-07 09:23:51:638,762106,762106,0,0,357618537660,3705041969,758106,3621,379,367,391725,0 18,2,2024-09-07 09:23:51:760,546239,546239,0,0,20604889,0,3541 18,3,2024-09-07 09:23:50:898,1,433,2,0,163,3292,433,0 19,0,2024-09-07 09:23:51:557,108249,0.6,108749,0.8,215736,0.6,287158,2.25 19,1,2024-09-07 09:23:50:566,762351,762351,0,0,357764565812,3709821160,756403,5027,921,367,391777,0 19,2,2024-09-07 09:23:51:755,551639,551639,0,0,19587558,0,3988 19,3,2024-09-07 09:23:51:133,1,433,1,0,524,3461,433,0 20,0,2024-09-07 09:23:51:380,103279,0.4,103147,0.6,206245,0.4,275229,2.00 20,1,2024-09-07 09:23:50:574,759535,759535,0,0,356351630586,3726534871,752822,5912,801,369,391922,0 20,2,2024-09-07 09:23:50:940,549055,549055,0,0,23614378,0,3721 20,3,2024-09-07 09:23:50:589,1,433,1,0,414,6271,433,0 21,0,2024-09-07 09:23:51:129,107916,0.5,108124,0.6,215827,0.4,286960,1.75 21,1,2024-09-07 09:23:51:538,757734,757734,0,0,355807135612,3750129892,746768,8486,2480,368,392016,0 21,2,2024-09-07 09:23:51:071,545190,545170,20,0,28680965,0,5617 21,3,2024-09-07 09:23:51:404,1,433,1,0,713,5738,433,0 22,0,2024-09-07 09:23:51:719,111026,0.5,111374,0.7,222552,0.4,294765,2.00 22,1,2024-09-07 09:23:51:023,758978,758978,0,0,356459580427,3744600910,747824,8927,2227,382,391667,0 22,2,2024-09-07 09:23:50:760,543036,543010,26,0,22769124,0,6328 22,3,2024-09-07 09:23:51:071,1,433,1,0,228,3527,433,0 23,0,2024-09-07 09:23:51:367,110501,0.5,109782,0.7,220127,0.5,293253,2.25 23,1,2024-09-07 09:23:51:003,759832,759832,0,0,356675665317,3744288099,746723,9055,4054,365,391690,0 23,2,2024-09-07 09:23:51:098,550760,550760,0,0,22368720,0,3773 23,3,2024-09-07 09:23:51:753,1,433,0,0,720,5581,433,0 24,0,2024-09-07 09:23:50:838,105584,0.4,105023,0.5,211231,0.3,280075,1.75 24,1,2024-09-07 09:23:50:580,759166,759166,0,0,355911339072,3729940548,751163,6410,1593,367,392269,0 24,2,2024-09-07 09:23:51:072,548869,548869,0,0,26868487,0,3607 24,3,2024-09-07 09:23:51:688,1,433,1,0,468,5850,433,0 25,0,2024-09-07 09:23:51:343,109858,0.4,106946,0.6,209904,0.3,286120,1.75 25,1,2024-09-07 09:23:50:570,758619,758619,0,0,356246811077,3753136432,746600,9770,2249,371,391928,0 25,2,2024-09-07 09:23:51:606,545549,545549,0,0,27453872,0,3978 25,3,2024-09-07 09:23:50:999,1,433,2,0,532,4885,433,0 26,0,2024-09-07 09:23:51:722,111537,0.4,108893,0.6,228488,0.4,297200,2.00 26,1,2024-09-07 09:23:51:550,760303,760303,0,0,356434246743,3736944619,748671,9223,2409,380,391748,0 26,2,2024-09-07 09:23:50:859,546768,546768,0,0,27530506,0,2809 26,3,2024-09-07 09:23:51:712,1,433,7,0,796,5184,433,0 27,0,2024-09-07 09:23:51:723,112472,0.5,112552,0.6,223995,0.4,299080,2.25 27,1,2024-09-07 09:23:51:678,761455,761455,0,0,357723883325,3725543486,754187,6367,901,381,391626,0 27,2,2024-09-07 09:23:50:866,544431,544366,65,0,25183858,0,5699 27,3,2024-09-07 09:23:51:020,1,433,1,0,564,4119,433,0 28,0,2024-09-07 09:23:51:394,106119,0.4,105718,0.6,212152,0.3,282808,1.75 28,1,2024-09-07 09:23:50:860,760941,760941,0,0,357585002291,3731182733,753752,5490,1699,383,391698,0 28,2,2024-09-07 09:23:51:766,549082,549082,0,0,22835091,0,2915 28,3,2024-09-07 09:23:51:777,1,433,1,0,502,4690,433,0 29,0,2024-09-07 09:23:51:371,109090,0.3,106255,0.5,208356,0.3,283959,1.75 29,1,2024-09-07 09:23:51:569,763599,763599,0,0,358456272695,3708436098,758732,4132,735,368,391809,0 29,2,2024-09-07 09:23:50:862,547891,547891,0,0,21587097,0,4986 29,3,2024-09-07 09:23:50:970,1,433,1,0,459,5036,433,0 30,0,2024-09-07 09:23:51:461,110037,0.5,106991,0.6,223998,0.4,293599,2.00 30,1,2024-09-07 09:23:50:573,762292,762292,0,0,358371906680,3720990701,756223,5303,766,381,391672,0 30,2,2024-09-07 09:23:51:274,548926,548926,0,0,21153977,0,4192 30,3,2024-09-07 09:23:50:581,1,433,3,0,519,4189,433,0 31,0,2024-09-07 09:23:51:760,111060,0.5,111530,0.7,222617,0.5,297383,2.00 31,1,2024-09-07 09:23:50:564,765938,765938,0,0,359746674102,3686376484,762921,2431,586,356,391712,0 31,2,2024-09-07 09:23:51:275,544110,544110,0,0,22711283,0,3525 31,3,2024-09-07 09:23:51:706,1,433,1,0,220,3773,433,0 32,0,2024-09-07 09:23:51:415,108131,0.3,108869,0.5,216671,0.3,288493,1.75 32,1,2024-09-07 09:23:50:808,761943,761943,0,0,357083785497,3714057442,756826,4436,681,381,391646,0 32,2,2024-09-07 09:23:50:940,550541,550541,0,0,20526651,0,3922 32,3,2024-09-07 09:23:51:024,1,433,1,0,304,3396,433,0 33,0,2024-09-07 09:23:51:502,104318,0.3,104002,0.4,208536,0.2,277708,1.50 33,1,2024-09-07 09:23:50:575,762737,762737,0,0,358044176915,3712359025,756223,5409,1105,368,391730,0 33,2,2024-09-07 09:23:50:759,550142,550107,35,0,22926135,0,7012 33,3,2024-09-07 09:23:50:896,1,433,2,0,329,4145,433,0 34,0,2024-09-07 09:23:50:936,109076,0.3,112158,0.4,214385,0.2,289135,1.75 34,1,2024-09-07 09:23:51:044,764060,764060,0,0,359247332501,3693134775,762317,1731,12,367,391562,0 34,2,2024-09-07 09:23:50:765,549190,549190,0,0,21230862,0,4562 34,3,2024-09-07 09:23:51:690,1,433,4,0,299,3255,433,0 35,0,2024-09-07 09:23:50:863,109772,0.4,110379,0.5,221750,0.3,294684,1.75 35,1,2024-09-07 09:23:51:071,762031,762031,0,0,357321465678,3703063154,757489,3684,858,382,391769,0 35,2,2024-09-07 09:23:51:587,543973,543973,0,0,22843647,0,4055 35,3,2024-09-07 09:23:50:907,1,433,1,0,418,4514,433,0 36,0,2024-09-07 09:23:51:517,110502,0.5,110406,0.7,220882,0.4,293437,2.00 36,1,2024-09-07 09:23:50:583,761028,761028,0,0,356857737372,3725823125,751379,7649,2000,366,391759,0 36,2,2024-09-07 09:23:51:765,550198,550198,0,0,24220543,0,3875 36,3,2024-09-07 09:23:50:881,1,433,2,0,416,6084,433,0 37,0,2024-09-07 09:23:51:379,102821,0.4,103115,0.6,205886,0.4,274186,2.00 37,1,2024-09-07 09:23:50:575,760631,760624,0,7,356697041892,3724061693,751804,6544,2276,365,391770,0 37,2,2024-09-07 09:23:51:142,547688,547673,15,0,24100311,0,5815 37,3,2024-09-07 09:23:51:766,1,433,1,0,888,6379,433,0 38,0,2024-09-07 09:23:51:465,106606,0.4,103394,0.6,216283,0.3,283766,2.00 38,1,2024-09-07 09:23:51:605,761761,761761,0,0,357475439112,3735710828,751016,8584,2161,368,391821,0 38,2,2024-09-07 09:23:50:765,547391,547344,47,0,23823611,0,6710 38,3,2024-09-07 09:23:50:997,1,433,1,0,689,5469,433,0 39,0,2024-09-07 09:23:51:759,114175,0.6,111688,0.7,217794,0.6,296677,2.00 39,1,2024-09-07 09:23:50:724,759788,759788,0,0,357014549823,3741701676,747347,9750,2691,365,391658,0 39,2,2024-09-07 09:23:51:417,545751,545751,0,0,22672398,0,3391 39,3,2024-09-07 09:23:50:722,1,433,2,0,324,4892,433,0 40,0,2024-09-07 09:23:51:490,110548,0.8,111156,1.0,221693,0.9,295576,2.75 40,1,2024-09-07 09:23:50:576,760463,760463,0,0,356624715073,3734158095,749643,8776,2044,368,391668,0 40,2,2024-09-07 09:23:51:303,547358,547357,1,0,26373270,0,5137 40,3,2024-09-07 09:23:51:142,1,433,1,0,1028,5964,433,0 41,0,2024-09-07 09:23:51:063,104875,1.3,107187,1.2,204411,2.0,278192,3.00 41,1,2024-09-07 09:23:50:767,759287,759287,0,0,357016145344,3733182992,750104,8143,1040,369,391742,0 41,2,2024-09-07 09:23:50:759,547375,547375,0,0,25258199,0,4277 41,3,2024-09-07 09:23:51:675,1,433,3,0,366,4463,433,0 42,0,2024-09-07 09:23:51:473,105728,0.8,105773,0.9,211660,0.8,280286,2.50 42,1,2024-09-07 09:23:51:443,757474,757474,0,0,355589192403,3736283791,745390,10053,2031,380,391675,0 42,2,2024-09-07 09:23:51:132,546423,546423,0,0,25407501,0,3790 42,3,2024-09-07 09:23:51:009,1,433,2,0,892,4269,433,0 43,0,2024-09-07 09:23:50:922,110916,0.8,107986,0.9,226073,0.8,296512,2.25 43,1,2024-09-07 09:23:50:576,760944,760944,0,0,357424381063,3733666314,750564,8641,1739,366,391696,0 43,2,2024-09-07 09:23:51:741,547883,547883,0,0,25031016,0,3812 43,3,2024-09-07 09:23:51:764,1,433,2,0,548,5702,433,0 44,0,2024-09-07 09:23:50:883,112194,0.5,112411,0.6,225351,0.4,299463,2.00 44,1,2024-09-07 09:23:50:603,762454,762454,0,0,357194116328,3692102324,755959,5177,1318,356,391809,0 44,2,2024-09-07 09:23:51:268,544698,544698,0,0,20930220,0,4344 44,3,2024-09-07 09:23:51:093,1,433,1,0,817,5045,433,0 45,0,2024-09-07 09:23:51:781,105183,0.5,102679,0.7,215419,0.4,283485,2.00 45,1,2024-09-07 09:23:51:005,761435,761435,0,0,357705288281,3716409614,755775,5087,573,382,391917,0 45,2,2024-09-07 09:23:51:268,550006,550006,0,0,21252681,0,3596 45,3,2024-09-07 09:23:50:936,1,433,1,0,271,3696,433,0 46,0,2024-09-07 09:23:50:963,104353,0.5,104139,0.7,208761,0.4,277342,2.00 46,1,2024-09-07 09:23:50:579,763052,763052,0,0,358122073115,3698531449,758381,4079,592,366,391709,0 46,2,2024-09-07 09:23:50:593,549289,549289,0,0,21411393,0,4443 46,3,2024-09-07 09:23:51:131,1,433,1,0,908,5418,433,0 47,0,2024-09-07 09:23:51:102,110135,0.4,110390,0.6,220996,0.4,292783,1.75 47,1,2024-09-07 09:23:50:567,763910,763910,0,0,357924918744,3698531781,759053,4019,838,366,391641,0 47,2,2024-09-07 09:23:50:907,550813,550813,0,0,21020792,0,4477 47,3,2024-09-07 09:23:51:115,1,433,11,0,600,5257,433,0 48,0,2024-09-07 09:23:51:490,111006,0.3,110923,0.5,220979,0.2,294961,1.75 48,1,2024-09-07 09:23:51:036,761629,761629,0,0,357729665649,3714807476,756916,4257,456,384,391710,0 48,2,2024-09-07 09:23:50:699,543884,543884,0,0,19612892,0,3411 48,3,2024-09-07 09:23:50:752,1,433,1,0,339,3470,433,0 49,0,2024-09-07 09:23:51:723,111588,0.4,109693,0.5,212823,0.3,291093,1.75 49,1,2024-09-07 09:23:51:021,761496,761496,0,0,356884913190,3712449332,756340,3950,1206,382,391809,0 49,2,2024-09-07 09:23:51:796,550048,550048,0,0,21691487,0,4426 49,3,2024-09-07 09:23:51:416,1,433,7,0,992,5182,433,0 50,0,2024-09-07 09:23:51:512,103852,0.3,102190,0.4,206369,0.2,274953,1.75 50,1,2024-09-07 09:23:51:010,764106,764106,0,0,358322808207,3704069805,759175,4357,574,368,391565,0 50,2,2024-09-07 09:23:51:071,549762,549762,0,0,19926742,0,4490 50,3,2024-09-07 09:23:51:293,1,433,4,0,567,4354,433,0 51,0,2024-09-07 09:23:51:686,110771,0.3,108434,0.4,211478,0.2,288578,1.75 51,1,2024-09-07 09:23:51:686,763902,763902,0,0,359058077964,3702075128,759949,2904,1049,365,391706,0 51,2,2024-09-07 09:23:51:322,548626,548626,0,0,18913536,0,3337 51,3,2024-09-07 09:23:51:030,1,433,1,0,678,3504,433,0 52,0,2024-09-07 09:23:51:431,111393,0.5,111362,0.7,222849,0.5,295642,2.00 52,1,2024-09-07 09:23:50:575,760750,760750,0,0,356816407786,3731670007,750409,8988,1353,368,391722,0 52,2,2024-09-07 09:23:51:754,541150,541112,38,0,25303244,0,6742 52,3,2024-09-07 09:23:50:674,1,433,1,0,1782,5868,433,0 53,0,2024-09-07 09:23:51:758,109994,0.7,106835,0.8,223393,0.7,293052,2.25 53,1,2024-09-07 09:23:50:802,759090,759090,0,0,357174756851,3744716282,747169,8961,2960,367,391702,0 53,2,2024-09-07 09:23:51:300,549607,549606,1,0,22984152,0,5455 53,3,2024-09-07 09:23:50:711,1,433,9,0,308,3820,433,0 54,0,2024-09-07 09:23:51:614,103525,0.5,103759,0.7,206563,0.4,275877,2.25 54,1,2024-09-07 09:23:50:581,761424,761424,0,0,357527951651,3715631766,753933,6250,1241,366,391659,0 54,2,2024-09-07 09:23:50:869,549743,549711,32,0,26329435,0,6397 54,3,2024-09-07 09:23:50:763,1,433,1,0,676,6246,433,0 55,0,2024-09-07 09:23:51:762,103462,0.5,106808,0.7,216005,0.4,281883,2.25 55,1,2024-09-07 09:23:50:764,761738,761738,0,0,356978306125,3708327002,754106,6539,1093,365,391731,0 55,2,2024-09-07 09:23:50:733,546639,546583,56,0,25225280,0,7239 55,3,2024-09-07 09:23:50:688,1,433,0,0,304,4354,433,0 56,0,2024-09-07 09:23:51:557,113977,1.3,107540,1.2,221514,1.8,296290,2.75 56,1,2024-09-07 09:23:50:576,757158,757158,0,0,355760196821,3756022632,745639,9146,2373,381,391867,0 56,2,2024-09-07 09:23:51:321,546277,546155,122,0,26013476,0,7432 56,3,2024-09-07 09:23:51:059,1,433,1,0,705,5248,433,0 57,0,2024-09-07 09:23:50:962,110442,1.7,110289,1.3,221022,2.3,296209,3.25 57,1,2024-09-07 09:23:50:992,759915,759915,0,0,356024235119,3729638839,751307,7522,1086,366,392032,0 57,2,2024-09-07 09:23:51:322,546662,546662,0,0,26877624,0,3317 57,3,2024-09-07 09:23:51:742,1,433,2,0,455,4988,433,0 58,0,2024-09-07 09:23:50:555,104274,0.8,101278,1.0,211877,0.9,277875,2.50 58,1,2024-09-07 09:23:50:576,759811,759808,0,3,357634559029,3745041831,748692,8812,2304,367,391603,3 58,2,2024-09-07 09:23:51:072,548120,548120,0,0,24962255,0,2902 58,3,2024-09-07 09:23:51:071,1,433,1,0,1043,4631,433,0 59,0,2024-09-07 09:23:51:741,105376,0.7,105178,0.9,209748,0.7,279058,2.50 59,1,2024-09-07 09:23:50:808,759908,759908,0,0,356992393114,3738100928,749688,8411,1809,369,391653,0 59,2,2024-09-07 09:23:50:583,547115,547115,0,0,24854481,0,3727 59,3,2024-09-07 09:23:51:744,1,433,0,0,1015,5710,433,0 60,0,2024-09-07 09:23:51:707,110234,0.5,110238,0.7,221210,0.5,294326,1.75 60,1,2024-09-07 09:23:50:787,762301,762301,0,0,358360767901,3718530793,757158,4423,720,370,392031,0 60,2,2024-09-07 09:23:51:159,548978,548978,0,0,23036289,0,3811 60,3,2024-09-07 09:23:51:276,1,433,2,0,409,4996,433,0 61,0,2024-09-07 09:23:51:504,111181,0.7,111854,0.8,222555,0.8,297077,2.00 61,1,2024-09-07 09:23:50:770,760463,760463,0,0,356561751992,3734494018,752153,6879,1431,382,392127,0 61,2,2024-09-07 09:23:51:128,543815,543748,67,0,23315602,0,6411 61,3,2024-09-07 09:23:51:686,1,433,49,0,479,5810,433,0 62,0,2024-09-07 09:23:51:709,108606,0.5,111300,0.7,212418,0.5,288262,2.00 62,1,2024-09-07 09:23:51:111,764923,764917,0,6,359263626627,3703731785,761101,3555,261,365,391975,6 62,2,2024-09-07 09:23:51:645,547999,547998,1,0,23533560,0,5555 62,3,2024-09-07 09:23:51:143,1,433,0,0,482,3755,433,0 63,0,2024-09-07 09:23:51:451,104453,0.4,104318,0.5,209132,0.3,278041,1.75 63,1,2024-09-07 09:23:50:806,762511,762505,0,6,357602405082,3712407310,757532,4240,733,381,391800,6 63,2,2024-09-07 09:23:50:761,549703,549703,0,0,21501648,0,4369 63,3,2024-09-07 09:23:51:734,1,433,1,0,667,4423,433,0 64,0,2024-09-07 09:23:51:513,108430,0.5,108523,0.6,216531,0.4,288323,1.75 64,1,2024-09-07 09:23:50:772,761434,761434,0,0,357681612923,3725873850,754753,4978,1703,370,391783,0 64,2,2024-09-07 09:23:51:142,552026,552007,19,0,21318668,0,6121 64,3,2024-09-07 09:23:51:141,1,433,5,0,265,4208,433,0 65,0,2024-09-07 09:23:51:715,109627,0.7,109854,0.8,219419,0.8,292221,2.25 65,1,2024-09-07 09:23:50:860,759534,759534,0,0,356188665928,3721691031,754422,4572,540,381,391901,0 65,2,2024-09-07 09:23:51:695,543863,543863,0,0,24847622,0,3367 65,3,2024-09-07 09:23:51:686,1,433,0,0,782,5096,433,0 66,0,2024-09-07 09:23:51:781,109960,0.5,109806,0.7,219411,0.5,291758,2.25 66,1,2024-09-07 09:23:51:299,761808,761808,0,0,357503541036,3723858884,756309,4973,526,380,391743,0 66,2,2024-09-07 09:23:51:133,551340,551340,0,0,21772094,0,4956 66,3,2024-09-07 09:23:51:085,1,433,2,0,291,3731,433,0 67,0,2024-09-07 09:23:51:413,103519,0.4,103254,0.6,207009,0.4,275052,2.00 67,1,2024-09-07 09:23:50:765,761743,761742,0,1,357685957726,3724833302,756390,4560,792,380,391787,1 67,2,2024-09-07 09:23:50:592,551839,551839,0,0,20923446,0,3622 67,3,2024-09-07 09:23:51:764,1,433,1,0,392,4245,433,0 68,0,2024-09-07 09:23:50:641,107289,0.5,107201,0.7,213360,0.5,285797,2.00 68,1,2024-09-07 09:23:50:572,759039,759039,0,0,356429684834,3747599794,748984,7109,2946,381,391953,0 68,2,2024-09-07 09:23:51:044,545069,544969,100,0,27930125,0,8578 68,3,2024-09-07 09:23:50:740,1,433,12,0,417,4973,433,0 69,0,2024-09-07 09:23:51:722,110969,0.8,111793,0.8,222593,0.9,295161,2.25 69,1,2024-09-07 09:23:51:027,758462,758462,0,0,355101658338,3741423939,748688,7503,2271,384,391994,0 69,2,2024-09-07 09:23:51:736,544374,544345,29,0,29392387,0,6912 69,3,2024-09-07 09:23:50:767,1,433,1,0,698,6630,433,0 70,0,2024-09-07 09:23:51:555,110534,1.1,110813,1.1,222775,0.9,294967,2.50 70,1,2024-09-07 09:23:50:805,762233,762233,0,0,358008203578,3714493050,756253,5319,661,366,391725,0 70,2,2024-09-07 09:23:51:325,547979,547979,0,0,24646871,0,4323 70,3,2024-09-07 09:23:50:745,1,433,0,0,854,4991,433,0 71,0,2024-09-07 09:23:51:360,104345,0.8,104086,0.9,209196,0.8,279556,2.50 71,1,2024-09-07 09:23:51:596,760936,760936,0,0,357571433352,3728468111,752494,7639,803,368,391738,0 71,2,2024-09-07 09:23:51:071,548511,548511,0,0,24671389,0,4352 71,3,2024-09-07 09:23:51:764,1,433,1,0,644,5578,433,0 72,0,2024-09-07 09:23:51:033,109856,0.5,107397,0.7,209413,0.5,284877,2.00 72,1,2024-09-07 09:23:51:031,759508,759508,0,0,356597358643,3740316818,748640,8858,2010,369,391819,0 72,2,2024-09-07 09:23:51:755,546330,546330,0,0,27018398,0,3983 72,3,2024-09-07 09:23:51:755,1,433,0,0,564,6447,433,0 73,0,2024-09-07 09:23:51:108,109173,0.4,111844,0.6,228693,0.4,297546,2.00 73,1,2024-09-07 09:23:50:766,761143,761143,0,0,357091593936,3709114104,755800,4934,409,367,391858,0 73,2,2024-09-07 09:23:51:741,547301,547301,0,0,26426061,0,3701 73,3,2024-09-07 09:23:50:971,1,433,1,0,486,5734,433,0 74,0,2024-09-07 09:23:51:331,113118,0.5,115649,0.7,220472,0.5,299856,2.25 74,1,2024-09-07 09:23:50:636,759967,759967,0,0,356724987613,3723379305,752001,6371,1595,381,391762,0 74,2,2024-09-07 09:23:51:001,544772,544772,0,0,24209011,0,4253 74,3,2024-09-07 09:23:51:442,1,433,4,0,522,5564,433,0 75,0,2024-09-07 09:23:51:765,106947,0.5,106327,0.7,213084,0.5,284651,2.25 75,1,2024-09-07 09:23:51:588,761094,761094,0,0,356883710561,3721666662,754242,6024,828,380,391739,0 75,2,2024-09-07 09:23:51:350,547606,547606,0,0,26967929,0,4766 75,3,2024-09-07 09:23:51:070,1,433,1,0,702,5735,433,0 76,0,2024-09-07 09:23:50:711,104435,0.5,103616,0.7,207976,0.5,278111,2.25 76,1,2024-09-07 09:23:50:815,760908,760908,0,0,356984379189,3723491952,755478,4604,826,382,391692,0 76,2,2024-09-07 09:23:51:061,551096,551095,1,0,23501463,0,5144 76,3,2024-09-07 09:23:51:150,1,433,48,0,175,3963,433,0 77,0,2024-09-07 09:23:51:720,109459,0.5,109846,0.7,219717,0.5,291785,2.00 77,1,2024-09-07 09:23:50:828,761328,761328,0,0,356949831244,3724060869,755508,5314,506,381,391869,0 77,2,2024-09-07 09:23:51:292,548407,548407,0,0,23003060,0,3890 77,3,2024-09-07 09:23:51:104,1,433,36,0,401,4820,433,0 78,0,2024-09-07 09:23:51:720,110805,0.5,110243,0.7,221425,0.4,293613,2.00 78,1,2024-09-07 09:23:50:613,761532,761532,0,0,357139864525,3719628112,753666,6299,1567,367,391670,0 78,2,2024-09-07 09:23:51:417,544403,544390,13,0,21572019,0,8313 78,3,2024-09-07 09:23:51:136,1,433,2,0,181,3721,433,0 79,0,2024-09-07 09:23:51:343,105002,0.4,107498,0.6,220201,0.4,286067,2.25 79,1,2024-09-07 09:23:50:571,763017,763017,0,0,356669948431,3696918184,756445,5263,1309,367,391682,0 79,2,2024-09-07 09:23:51:071,550504,550504,0,0,21276301,0,4195 79,3,2024-09-07 09:23:50:748,1,433,3,0,418,5415,433,0 80,0,2024-09-07 09:23:51:087,103306,0.5,106173,0.6,203195,0.4,274645,2.00 80,1,2024-09-07 09:23:51:620,761034,761034,0,0,357385961476,3721965294,755476,5135,423,368,392269,0 80,2,2024-09-07 09:23:51:103,551643,551643,0,0,21521284,0,4433 80,3,2024-09-07 09:23:50:589,1,433,8,0,190,5006,433,0 81,0,2024-09-07 09:23:51:538,107995,0.5,110639,0.7,211107,0.5,287264,2.00 81,1,2024-09-07 09:23:51:657,759904,759904,0,0,356525768028,3724751538,753895,5468,541,382,391879,0 81,2,2024-09-07 09:23:51:130,547028,546965,63,0,23872157,0,5932 81,3,2024-09-07 09:23:51:118,1,433,0,0,719,5136,433,0 82,0,2024-09-07 09:23:51:542,110798,0.5,110936,0.7,222633,0.5,295009,2.00 82,1,2024-09-07 09:23:50:583,762344,762340,0,4,357591051445,3719220083,757642,3879,819,381,391768,4 82,2,2024-09-07 09:23:51:693,545627,545627,0,0,20276445,0,4484 82,3,2024-09-07 09:23:51:752,1,433,1,0,363,4599,433,0 83,0,2024-09-07 09:23:51:525,110709,0.6,110687,0.8,220770,0.7,293231,2.25 83,1,2024-09-07 09:23:50:550,760236,760236,0,0,356226739877,3714672775,754706,5080,450,382,391709,0 83,2,2024-09-07 09:23:50:763,549348,549348,0,0,21036726,0,3393 83,3,2024-09-07 09:23:50:749,1,433,4,0,1260,5517,433,0 84,0,2024-09-07 09:23:51:803,104355,0.6,104366,0.8,208050,0.5,278255,2.25 84,1,2024-09-07 09:23:51:064,759905,759905,0,0,356419492332,3722405047,752204,6658,1043,367,391967,0 84,2,2024-09-07 09:23:50:571,548672,548642,30,0,28362087,0,5971 84,3,2024-09-07 09:23:51:141,1,433,1,0,908,6429,433,0 85,0,2024-09-07 09:23:51:015,103039,0.5,102999,0.7,218737,0.5,283128,2.25 85,1,2024-09-07 09:23:50:569,757792,757792,0,0,355823890325,3752509781,746976,8896,1920,381,392006,0 85,2,2024-09-07 09:23:50:874,546426,546426,0,0,26262840,0,3656 85,3,2024-09-07 09:23:50:693,1,433,9,0,789,5259,433,0 86,0,2024-09-07 09:23:50:940,111474,0.7,114740,0.8,219631,0.7,296802,2.25 86,1,2024-09-07 09:23:50:831,760151,760151,0,0,356889029054,3736178709,751476,7234,1441,366,391961,0 86,2,2024-09-07 09:23:50:860,544481,544480,1,0,28394322,0,5004 86,3,2024-09-07 09:23:50:586,1,433,16,0,308,6240,433,0 87,0,2024-09-07 09:23:51:285,112149,1.0,111996,0.9,224052,1.3,299458,2.50 87,1,2024-09-07 09:23:50:553,758833,758833,0,0,355854276425,3729143161,748882,8357,1594,366,392076,0 87,2,2024-09-07 09:23:51:071,545836,545830,6,0,25128139,0,6323 87,3,2024-09-07 09:23:51:794,1,433,12,0,473,6763,433,0 88,0,2024-09-07 09:23:51:492,105762,0.4,106564,0.6,212063,0.4,282562,1.75 88,1,2024-09-07 09:23:50:571,758663,758663,0,0,356327659109,3731855966,748941,7714,2008,365,392084,0 88,2,2024-09-07 09:23:50:719,548840,548840,0,0,27598663,0,4465 88,3,2024-09-07 09:23:51:269,1,433,1,0,435,5259,433,0 89,0,2024-09-07 09:23:51:806,109062,0.4,105600,0.6,209010,0.4,284122,1.75 89,1,2024-09-07 09:23:50:613,758599,758599,0,0,355946140003,3740854925,749425,7747,1427,382,391866,0 89,2,2024-09-07 09:23:51:143,547298,547298,0,0,25969177,0,3173 89,3,2024-09-07 09:23:51:793,1,433,2,0,468,7550,433,0 90,0,2024-09-07 09:23:51:628,107105,0.5,110053,0.6,224487,0.4,293349,2.00 90,1,2024-09-07 09:23:50:660,759566,759566,0,0,356481411152,3730911226,752791,6263,512,380,391825,0 90,2,2024-09-07 09:23:51:416,546750,546750,0,0,27251555,0,3060 90,3,2024-09-07 09:23:50:932,1,433,4,0,322,5337,433,0 91,0,2024-09-07 09:23:50:932,111984,0.5,108368,0.7,226390,0.5,297777,1.75 91,1,2024-09-07 09:23:50:557,758651,758651,0,0,355981498462,3741736972,749391,7856,1404,381,392047,0 91,2,2024-09-07 09:23:51:342,545088,545088,0,0,24088875,0,2896 91,3,2024-09-07 09:23:50:599,1,433,1,0,216,4272,433,0 92,0,2024-09-07 09:23:51:461,109036,0.5,111727,0.6,213297,0.4,288630,1.75 92,1,2024-09-07 09:23:50:583,760693,760693,0,0,356474372415,3721463560,755156,4812,725,381,392136,0 92,2,2024-09-07 09:23:51:350,549984,549984,0,0,21640139,0,3259 92,3,2024-09-07 09:23:51:019,1,433,0,0,167,3795,433,0 93,0,2024-09-07 09:23:50:969,104995,0.4,107499,0.5,205268,0.3,278205,1.75 93,1,2024-09-07 09:23:50:810,760532,760532,0,0,356996952015,3725973729,752390,6757,1385,366,391776,0 93,2,2024-09-07 09:23:50:936,549311,549311,0,0,26291738,0,4845 93,3,2024-09-07 09:23:51:406,1,433,1,0,190,3835,433,0 94,0,2024-09-07 09:23:51:615,108381,0.3,109365,0.5,218222,0.3,290034,1.75 94,1,2024-09-07 09:23:50:566,761155,761155,0,0,357295608421,3725995073,756360,4585,210,381,391850,0 94,2,2024-09-07 09:23:50:760,548145,548145,0,0,21940942,0,2443 94,3,2024-09-07 09:23:51:697,1,433,0,0,576,5697,433,0 95,0,2024-09-07 09:23:51:365,110554,0.4,110329,0.5,221276,0.3,294758,1.75 95,1,2024-09-07 09:23:50:855,761768,761768,0,0,358048401459,3720709688,755715,5615,438,365,391786,0 95,2,2024-09-07 09:23:51:021,543555,543555,0,0,21622213,0,3308 95,3,2024-09-07 09:23:51:716,1,433,1,0,718,6251,433,0 96,0,2024-09-07 09:23:51:046,110372,0.4,110813,0.5,220892,0.3,292925,1.75 96,1,2024-09-07 09:23:51:591,760647,760647,0,0,356248416015,3717005192,755097,4666,884,384,391955,0 96,2,2024-09-07 09:23:51:268,550557,550557,0,0,22666672,0,4180 96,3,2024-09-07 09:23:51:143,1,433,5,0,411,4822,433,0 97,0,2024-09-07 09:23:51:390,103568,0.3,103132,0.5,206935,0.2,274943,1.50 97,1,2024-09-07 09:23:50:770,762000,762000,0,0,357741647155,3711176721,756848,4220,932,367,392140,0 97,2,2024-09-07 09:23:50:630,550153,550153,0,0,21784012,0,3679 97,3,2024-09-07 09:23:50:577,1,433,12,0,242,5138,433,0 98,0,2024-09-07 09:23:51:700,106889,0.3,107041,0.4,214579,0.2,285643,1.50 98,1,2024-09-07 09:23:50:570,760981,760981,0,0,357249219628,3721724524,756016,4173,792,382,391997,0 98,2,2024-09-07 09:23:50:768,548173,548173,0,0,21957693,0,4336 98,3,2024-09-07 09:23:50:698,1,433,1,0,840,7205,433,0 99,0,2024-09-07 09:23:51:459,111610,0.3,112316,0.5,223069,0.3,297822,1.75 99,1,2024-09-07 09:23:51:744,761544,761544,0,0,357018752738,3715181352,756595,4112,837,381,392069,0 99,2,2024-09-07 09:23:51:417,546349,546349,0,0,25758955,0,4276 99,3,2024-09-07 09:23:50:581,1,433,2,0,606,4724,433,0 100,0,2024-09-07 09:23:51:491,111497,0.8,111812,1.0,222732,1.0,297567,2.50 100,1,2024-09-07 09:23:50:569,757283,757283,0,0,355274701074,3750752729,746551,8683,2049,381,391989,0 100,2,2024-09-07 09:23:51:821,546101,546090,11,0,25303916,0,5417 100,3,2024-09-07 09:23:51:733,1,433,2,0,559,6905,433,0 101,0,2024-09-07 09:23:51:775,107539,0.8,104795,0.9,205658,0.6,281676,2.25 101,1,2024-09-07 09:23:50:567,757698,757698,0,0,355818108601,3740982877,747007,8567,2124,368,391847,0 101,2,2024-09-07 09:23:51:823,545607,545607,0,0,30233739,0,4871 101,3,2024-09-07 09:23:50:942,1,433,1,0,579,5899,433,0 102,0,2024-09-07 09:23:50:989,103584,0.6,106782,0.7,216396,0.5,283652,2.00 102,1,2024-09-07 09:23:51:145,758249,758249,0,0,356264468633,3741891988,748367,8118,1764,369,391891,0 102,2,2024-09-07 09:23:51:739,547991,547937,54,0,24369034,0,6768 102,3,2024-09-07 09:23:51:620,1,433,1,0,466,4764,433,0 103,0,2024-09-07 09:23:51:592,115616,0.5,115582,0.7,217992,0.5,299851,2.00 103,1,2024-09-07 09:23:51:625,757380,757380,0,0,355862871246,3756560961,745380,8934,3066,381,391829,0 103,2,2024-09-07 09:23:50:582,546084,546084,0,0,26980251,0,3766 103,3,2024-09-07 09:23:50:755,1,433,1,0,916,4811,433,0 104,0,2024-09-07 09:23:51:051,111149,0.8,111526,1.0,222073,0.8,297897,2.25 104,1,2024-09-07 09:23:51:610,759669,759669,0,0,356100739320,3738979263,748825,8980,1864,365,392168,0 104,2,2024-09-07 09:23:51:665,544039,544039,0,0,25799597,0,3941 104,3,2024-09-07 09:23:51:421,1,433,20,0,1245,8577,433,0 105,0,2024-09-07 09:23:51:083,105348,0.8,102574,1.0,215000,0.9,282618,2.50 105,1,2024-09-07 09:23:50:553,760465,760465,0,0,357515661440,3744603011,751016,8022,1427,366,392009,0 105,2,2024-09-07 09:23:51:323,547516,547516,0,0,25689378,0,3509 105,3,2024-09-07 09:23:51:314,1,433,2,0,399,6595,433,0 106,0,2024-09-07 09:23:51:084,101145,0.7,103655,0.8,212182,0.7,277703,2.25 106,1,2024-09-07 09:23:51:754,759136,759136,0,0,356282426272,3739691903,748081,9608,1447,369,391914,0 106,2,2024-09-07 09:23:50:772,547724,547724,0,0,25191051,0,2920 106,3,2024-09-07 09:23:50:681,1,433,1,0,470,5501,433,0 107,0,2024-09-07 09:23:51:174,109625,0.9,109903,0.9,219486,1.0,292797,2.25 107,1,2024-09-07 09:23:50:585,757945,757945,0,0,355654564360,3747594144,747717,9175,1053,381,392234,0 107,2,2024-09-07 09:23:51:311,546788,546787,1,0,26123068,0,5024 107,3,2024-09-07 09:23:51:755,1,433,9,0,370,6522,433,0 108,0,2024-09-07 09:23:51:857,110248,0.5,111090,0.6,220821,0.4,294700,1.75 108,1,2024-09-07 09:23:51:303,760795,760795,0,0,357550834500,3727428749,754652,5398,745,368,391857,0 108,2,2024-09-07 09:23:51:757,542738,542738,0,0,24307184,0,4246 108,3,2024-09-07 09:23:51:336,1,433,1,0,749,7998,433,0 109,0,2024-09-07 09:23:51:763,109454,0.4,108617,0.6,217248,0.4,290225,1.75 109,1,2024-09-07 09:23:50:583,758103,758103,0,0,356558283797,3741780739,750700,6217,1186,382,392132,0 109,2,2024-09-07 09:23:50:931,547484,547484,0,0,23975324,0,3617 109,3,2024-09-07 09:23:51:140,1,433,11,0,379,5034,433,0 110,0,2024-09-07 09:23:51:762,103080,0.3,100429,0.5,210443,0.3,275298,1.75 110,1,2024-09-07 09:23:51:648,762064,762064,0,0,358125271690,3716243067,756673,4213,1178,369,392045,0 110,2,2024-09-07 09:23:51:303,550030,550030,0,0,22832865,0,4067 110,3,2024-09-07 09:23:50:707,1,433,12,0,722,6129,433,0 111,0,2024-09-07 09:23:51:423,108407,0.4,107604,0.5,215771,0.3,288550,1.75 111,1,2024-09-07 09:23:50:999,761969,761969,0,0,358545649382,3717459416,758083,3531,355,380,391690,0 111,2,2024-09-07 09:23:51:115,547409,547409,0,0,22583152,0,4823 111,3,2024-09-07 09:23:50:913,1,433,9,0,379,5071,433,0 112,0,2024-09-07 09:23:50:928,111696,0.3,111386,0.4,223002,0.2,296022,1.50 112,1,2024-09-07 09:23:50:827,762008,762008,0,0,356795792004,3702861070,757292,4103,613,380,391624,0 112,2,2024-09-07 09:23:51:133,544161,544160,1,0,21734752,0,5036 112,3,2024-09-07 09:23:50:592,1,433,1,0,282,4245,433,0 113,0,2024-09-07 09:23:50:867,110797,0.3,110865,0.5,222191,0.3,295257,1.75 113,1,2024-09-07 09:23:51:692,764052,764052,0,0,359403834330,3706855795,760160,3303,589,366,391661,0 113,2,2024-09-07 09:23:51:310,551337,551337,0,0,20095302,0,3813 113,3,2024-09-07 09:23:50:687,1,433,1,0,340,4747,433,0 114,0,2024-09-07 09:23:50:880,105292,0.3,105889,0.4,210567,0.2,280955,1.75 114,1,2024-09-07 09:23:50:723,761590,761590,0,0,357323435156,3711900386,755305,4669,1616,381,391565,0 114,2,2024-09-07 09:23:50:873,550696,550695,1,0,21168666,0,5069 114,3,2024-09-07 09:23:51:278,1,433,1,0,395,3670,433,0 115,0,2024-09-07 09:23:50:563,107103,0.2,107646,0.4,214774,0.2,285656,1.50 115,1,2024-09-07 09:23:50:572,762242,762242,0,0,357714208336,3717796397,755748,5227,1267,382,391757,0 115,2,2024-09-07 09:23:51:124,549166,549166,0,0,20988566,0,4382 115,3,2024-09-07 09:23:51:002,1,433,1,0,159,2402,433,0 116,0,2024-09-07 09:23:51:729,111226,0.7,111339,0.8,223036,0.7,297877,2.00 116,1,2024-09-07 09:23:50:818,758178,758178,0,0,355930558029,3748084846,749566,6287,2325,380,392089,0 116,2,2024-09-07 09:23:51:767,545952,545952,0,0,26712674,0,4128 116,3,2024-09-07 09:23:50:915,1,433,1,0,415,5069,433,0 117,0,2024-09-07 09:23:50:982,112559,0.8,111973,0.8,224411,0.9,299733,2.00 117,1,2024-09-07 09:23:51:635,759290,759290,0,0,355665295400,3720590954,751538,6837,915,369,392033,0 117,2,2024-09-07 09:23:51:132,549268,549268,0,0,22908680,0,4303 117,3,2024-09-07 09:23:51:061,1,433,1,0,490,6536,433,0 118,0,2024-09-07 09:23:51:794,102842,0.5,105430,0.6,215467,0.4,281598,2.00 118,1,2024-09-07 09:23:50:590,759419,759419,0,0,355705900310,3741413513,747507,8913,2999,366,391907,0 118,2,2024-09-07 09:23:51:587,548072,548072,0,0,25200788,0,2842 118,3,2024-09-07 09:23:51:764,1,433,1,0,248,5094,433,0 119,0,2024-09-07 09:23:51:364,105857,0.5,106101,0.7,212326,0.5,282611,2.00 119,1,2024-09-07 09:23:50:556,759744,759744,0,0,356779316761,3732123086,751259,7369,1116,367,391780,0 119,2,2024-09-07 09:23:51:268,548792,548792,0,0,23857474,0,4174 119,3,2024-09-07 09:23:51:336,1,433,1,0,1358,8474,433,0 120,0,2024-09-07 09:23:51:586,109605,0.6,109470,0.8,219643,0.6,293604,2.25 120,1,2024-09-07 09:23:50:871,759938,759938,0,0,356115800381,3736327769,751562,7606,770,368,392144,0 120,2,2024-09-07 09:23:50:777,547743,547742,1,0,27180067,0,5281 120,3,2024-09-07 09:23:51:290,1,433,12,0,279,5381,433,0 121,0,2024-09-07 09:23:51:733,111318,1.5,111012,1.1,222724,2.0,297149,2.50 121,1,2024-09-07 09:23:51:687,760118,760118,0,0,356477656342,3725042529,753368,6289,461,367,391840,0 121,2,2024-09-07 09:23:51:134,543158,543158,0,0,26014324,0,4127 121,3,2024-09-07 09:23:50:765,1,433,1,0,269,4804,433,0 122,0,2024-09-07 09:23:51:769,107530,0.7,104925,0.9,220059,0.8,288483,2.00 122,1,2024-09-07 09:23:50:862,758592,758592,0,0,355767627186,3732623294,748148,8841,1603,366,392130,0 122,2,2024-09-07 09:23:51:320,549192,549119,73,0,29011919,0,5989 122,3,2024-09-07 09:23:50:600,1,433,11,0,512,7763,433,0 123,0,2024-09-07 09:23:51:012,103869,0.6,101252,0.7,211919,0.6,277547,2.00 123,1,2024-09-07 09:23:50:558,758387,758387,0,0,355768080118,3747944205,744838,11105,2444,369,392039,0 123,2,2024-09-07 09:23:51:024,547500,547499,1,0,24532229,0,5215 123,3,2024-09-07 09:23:51:132,1,433,1,0,478,5076,433,0 124,0,2024-09-07 09:23:50:953,112101,0.3,112036,0.5,211222,0.3,290695,1.75 124,1,2024-09-07 09:23:51:023,761630,761630,0,0,356734510364,3707012301,756350,4230,1050,367,392178,0 124,2,2024-09-07 09:23:51:010,549447,549394,53,0,22163907,0,6487 124,3,2024-09-07 09:23:50:758,1,433,5,0,490,4155,433,0 125,0,2024-09-07 09:23:51:434,110453,0.4,110294,0.6,221490,0.4,294813,1.75 125,1,2024-09-07 09:23:50:866,760075,760075,0,0,356674373393,3723383988,754161,5242,672,382,391702,0 125,2,2024-09-07 09:23:51:124,544579,544579,0,0,22803443,0,4534 125,3,2024-09-07 09:23:51:128,1,433,2,0,709,5388,433,0 126,0,2024-09-07 09:23:51:419,110282,0.4,113562,0.6,217280,0.4,293603,1.75 126,1,2024-09-07 09:23:50:557,762206,762206,0,0,357686006001,3703839818,758203,3709,294,365,391987,0 126,2,2024-09-07 09:23:50:620,550674,550674,0,0,23670552,0,4539 126,3,2024-09-07 09:23:50:907,1,433,1,0,268,5290,433,0 127,0,2024-09-07 09:23:51:599,103446,0.3,103714,0.5,207170,0.2,275098,1.50 127,1,2024-09-07 09:23:50:570,761354,761354,0,0,355907056375,3701551505,754010,6122,1222,364,392187,0 127,2,2024-09-07 09:23:50:637,548663,548663,0,0,21395588,0,3897 127,3,2024-09-07 09:23:51:273,1,433,1,0,968,4625,433,0 128,0,2024-09-07 09:23:51:535,107434,0.3,107728,0.4,215038,0.2,286076,1.50 128,1,2024-09-07 09:23:51:611,761147,761147,0,0,358208681899,3717538228,756237,4477,433,367,392031,0 128,2,2024-09-07 09:23:51:389,549145,549145,0,0,20745366,0,3171 128,3,2024-09-07 09:23:50:768,1,433,1,0,1082,6748,433,0 129,0,2024-09-07 09:23:51:000,112549,0.3,112002,0.5,224423,0.3,298235,1.75 129,1,2024-09-07 09:23:50:567,758823,758823,0,0,356016298938,3730135908,751148,6005,1670,379,391962,0 129,2,2024-09-07 09:23:50:690,546273,546273,0,0,22099571,0,4031 129,3,2024-09-07 09:23:50:702,1,433,1,0,506,5866,433,0 130,0,2024-09-07 09:23:51:720,112451,0.5,112021,0.6,225256,0.5,298873,1.75 130,1,2024-09-07 09:23:50:594,761575,761575,0,0,357503346833,3714228787,757538,3698,339,381,391825,0 130,2,2024-09-07 09:23:51:146,549597,549597,0,0,22563842,0,4067 130,3,2024-09-07 09:23:51:303,1,433,13,0,960,6763,433,0 131,0,2024-09-07 09:23:51:958,105188,0.3,105764,0.5,212341,0.3,281608,1.75 131,1,2024-09-07 09:23:51:823,761227,761227,0,0,357157787686,3729733175,755260,4918,1049,381,391865,0 131,2,2024-09-07 09:23:50:578,551312,551312,0,0,20801866,0,3979 131,3,2024-09-07 09:23:51:688,1,433,2,0,392,4823,433,0 132,0,2024-09-07 09:23:51:459,106400,0.4,107303,0.6,213698,0.4,284469,1.75 132,1,2024-09-07 09:23:50:583,757381,757381,0,0,355847145855,3746305497,746244,9028,2109,381,392532,0 132,2,2024-09-07 09:23:50:707,546868,546851,17,0,27246228,0,6451 132,3,2024-09-07 09:23:51:778,1,433,4,0,804,7585,433,0 133,0,2024-09-07 09:23:51:547,109083,0.4,111608,0.6,228964,0.4,298132,1.75 133,1,2024-09-07 09:23:50:584,757636,757636,0,0,355760378724,3749106722,747090,9031,1515,383,391914,0 133,2,2024-09-07 09:23:51:090,546809,546759,50,0,28619104,0,6861 133,3,2024-09-07 09:23:51:298,1,433,2,0,479,4584,433,0 134,0,2024-09-07 09:23:50:975,112775,0.6,112328,0.7,224866,0.6,300220,2.00 134,1,2024-09-07 09:23:50:589,759064,759064,0,0,356145706590,3734523840,749267,7762,2035,366,391718,0 134,2,2024-09-07 09:23:51:756,543628,543604,24,0,26205163,0,6207 134,3,2024-09-07 09:23:50:749,1,433,2,0,739,5486,433,0 135,0,2024-09-07 09:23:51:098,103225,0.7,103180,0.8,219019,0.8,282216,2.25 135,1,2024-09-07 09:23:51:590,759208,759208,0,0,356933935594,3753548565,749244,8593,1371,380,391805,0 135,2,2024-09-07 09:23:50:688,548967,548967,0,0,25620198,0,3981 135,3,2024-09-07 09:23:51:005,1,433,1,0,299,3754,433,0 136,0,2024-09-07 09:23:51:628,105153,0.5,105305,0.7,209622,0.4,279964,2.00 136,1,2024-09-07 09:23:51:447,759799,759799,0,0,356632778456,3739095721,751228,7607,964,381,391685,0 136,2,2024-09-07 09:23:51:149,550028,550028,0,0,24533009,0,3506 136,3,2024-09-07 09:23:51:107,1,433,3,0,637,5077,433,0 137,0,2024-09-07 09:23:50:949,113150,0.6,110224,0.7,216210,0.6,293855,2.00 137,1,2024-09-07 09:23:50:575,758030,758030,0,0,356072074436,3735168042,746473,9197,2360,366,391898,0 137,2,2024-09-07 09:23:51:720,546996,546996,0,0,27127319,0,3185 137,3,2024-09-07 09:23:50:776,1,433,8,0,484,5305,433,0 138,0,2024-09-07 09:23:51:806,110116,1.0,110080,1.0,220731,1.3,293524,2.25 138,1,2024-09-07 09:23:51:696,759339,759339,0,0,356690826165,3739562733,749096,8520,1723,368,391954,0 138,2,2024-09-07 09:23:50:585,543036,543036,0,0,24535012,0,4988 138,3,2024-09-07 09:23:50:621,1,433,2,0,1160,5717,433,0 139,0,2024-09-07 09:23:51:359,107910,1.5,107983,1.1,216424,2.2,288740,2.50 139,1,2024-09-07 09:23:50:572,755354,755354,0,0,354388117936,3765813984,740676,11310,3368,380,392058,0 139,2,2024-09-07 09:23:50:693,545348,545348,0,0,28515681,0,3097 139,3,2024-09-07 09:23:51:669,1,433,2,0,432,5037,433,0 140,0,2024-09-07 09:23:51:591,103638,0.3,103108,0.5,207022,0.2,275734,1.75 140,1,2024-09-07 09:23:51:536,763293,763293,0,0,358277438058,3692773376,759728,3124,441,365,391606,0 140,2,2024-09-07 09:23:50:692,550605,550604,1,0,21597397,0,5036 140,3,2024-09-07 09:23:50:767,1,433,2,0,297,3593,433,0 141,0,2024-09-07 09:23:51:701,108157,0.3,111087,0.5,212171,0.2,288249,1.50 141,1,2024-09-07 09:23:50:859,761997,761997,0,0,358283762263,3720269050,756310,4730,957,379,391614,0 141,2,2024-09-07 09:23:51:686,548533,548533,0,0,21362475,0,3360 141,3,2024-09-07 09:23:51:044,1,433,6,0,391,4950,433,0 142,0,2024-09-07 09:23:51:339,111877,0.3,111242,0.5,222478,0.3,296632,1.75 142,1,2024-09-07 09:23:50:594,761170,761170,0,0,357104827425,3721011834,756480,4292,398,382,392102,0 142,2,2024-09-07 09:23:51:308,543568,543536,32,0,23371476,0,6028 142,3,2024-09-07 09:23:51:755,1,433,2,0,484,4830,433,0 143,0,2024-09-07 09:23:51:390,110879,0.5,111110,0.6,222256,0.5,295310,1.75 143,1,2024-09-07 09:23:50:584,762353,762353,0,0,357998151160,3714428160,757309,4500,544,367,391705,0 143,2,2024-09-07 09:23:50:769,549773,549773,0,0,22463239,0,3123 143,3,2024-09-07 09:23:51:143,1,433,27,0,462,5351,433,0 144,0,2024-09-07 09:23:51:492,101756,0.6,104489,0.8,213060,0.5,278854,2.00 144,1,2024-09-07 09:23:50:565,758286,758286,0,0,355108509506,3726974818,750572,5880,1834,381,391649,0 144,2,2024-09-07 09:23:51:754,550337,550337,0,0,21277466,0,3673 144,3,2024-09-07 09:23:51:742,1,433,2,0,249,4403,433,0 145,0,2024-09-07 09:23:51:363,103519,0.5,103505,0.7,219660,0.4,282946,2.25 145,1,2024-09-07 09:23:50:568,758203,758203,0,0,355531622129,3738325180,748569,7972,1662,382,391759,0 145,2,2024-09-07 09:23:51:433,545440,545359,81,0,26325395,0,7814 145,3,2024-09-07 09:23:50:895,1,433,2,0,622,6179,433,0 146,0,2024-09-07 09:23:51:614,111589,0.6,111125,0.8,223642,0.6,296441,2.25 146,1,2024-09-07 09:23:51:591,758916,758916,0,0,355928473831,3743799396,746764,9036,3116,367,391770,0 146,2,2024-09-07 09:23:51:695,545165,545165,0,0,25091870,0,3290 146,3,2024-09-07 09:23:51:277,1,433,4,0,1520,8089,433,0 147,0,2024-09-07 09:23:51:694,112334,0.7,112296,0.8,223811,0.7,299191,2.25 147,1,2024-09-07 09:23:51:379,762301,762301,0,0,357164092288,3713921785,755660,5795,846,368,391791,0 147,2,2024-09-07 09:23:51:010,546723,546723,0,0,22495743,0,2968 147,3,2024-09-07 09:23:50:915,1,433,73,0,1626,7478,433,0 0,0,2024-09-07 09:24:01:758,107726,0.6,107656,0.7,228468,0.7,296000,2.00 0,1,2024-09-07 09:24:00:801,761424,761424,0,0,357309515041,3738204125,755961,5074,389,369,391896,0 0,2,2024-09-07 09:24:01:073,550795,550795,0,0,21907488,0,4480 0,3,2024-09-07 09:24:00:977,1,434,2,0,431,6368,434,0 1,0,2024-09-07 09:24:01:931,111753,1.2,111061,1.0,222789,1.6,298254,2.25 1,1,2024-09-07 09:24:00:557,760931,760931,0,0,356836566845,3733459901,754499,5104,1328,370,391859,0 1,2,2024-09-07 09:24:00:641,545009,545009,0,0,22180287,0,3380 1,3,2024-09-07 09:24:01:302,1,434,1,0,268,5264,434,0 2,0,2024-09-07 09:24:01:568,107917,0.6,108042,0.8,215445,0.6,287239,2.00 2,1,2024-09-07 09:24:00:862,763499,763499,0,0,358028360683,3715022129,760210,2994,295,380,391745,0 2,2,2024-09-07 09:24:01:275,550878,550878,0,0,20808031,0,3594 2,3,2024-09-07 09:24:00:693,1,434,1,0,357,4169,434,0 3,0,2024-09-07 09:24:01:771,104567,0.4,104370,0.6,208541,0.3,277863,2.00 3,1,2024-09-07 09:24:01:617,762145,762145,0,0,357623666448,3723086297,755924,5531,690,379,391716,0 3,2,2024-09-07 09:24:01:152,550865,550842,23,0,21981515,0,5851 3,3,2024-09-07 09:24:01:757,1,434,2,0,207,2847,434,0 4,0,2024-09-07 09:24:01:802,106042,0.4,108872,0.5,222095,0.3,290849,1.75 4,1,2024-09-07 09:24:00:595,759431,759431,0,0,355685440691,3757919960,747608,9315,2508,370,391992,0 4,2,2024-09-07 09:24:01:019,547721,547721,0,0,25193227,0,4528 4,3,2024-09-07 09:24:01:027,1,434,1,0,448,5593,434,0 5,0,2024-09-07 09:24:01:409,110478,0.4,111148,0.6,221662,0.4,294400,1.75 5,1,2024-09-07 09:24:00:754,760660,760660,0,0,356890749362,3755562303,750225,8275,2160,367,392005,0 5,2,2024-09-07 09:24:01:829,542120,542120,0,0,24976596,0,3582 5,3,2024-09-07 09:24:01:738,1,434,1,0,457,6015,434,0 6,0,2024-09-07 09:24:00:957,110515,0.5,110171,0.6,220191,0.4,293326,2.00 6,1,2024-09-07 09:24:00:749,761605,761605,0,0,357689517665,3736608994,753393,6819,1393,379,391702,0 6,2,2024-09-07 09:24:01:116,550357,550339,18,0,24952580,0,5535 6,3,2024-09-07 09:24:01:275,1,434,1,0,710,5453,434,0 7,0,2024-09-07 09:24:01:533,102839,0.5,103552,0.6,206257,0.4,274224,1.75 7,1,2024-09-07 09:24:00:906,760955,760955,0,0,357057633532,3744091242,751184,8307,1464,382,391747,0 7,2,2024-09-07 09:24:00:777,551514,551514,0,0,24261669,0,4791 7,3,2024-09-07 09:24:00:852,1,434,0,0,552,4966,434,0 8,0,2024-09-07 09:24:01:332,107692,0.3,107435,0.5,215406,0.3,287325,1.75 8,1,2024-09-07 09:24:01:016,759720,759720,0,0,357164046115,3754262765,748105,8984,2631,366,392853,0 8,2,2024-09-07 09:24:00:792,544949,544949,0,0,28472063,0,3250 8,3,2024-09-07 09:24:00:587,1,434,3,0,538,7078,434,0 9,0,2024-09-07 09:24:01:132,111926,0.4,108677,0.5,227492,0.3,297927,1.75 9,1,2024-09-07 09:24:00:551,759400,759400,0,0,356668483469,3755809514,748324,8655,2421,369,392001,0 9,2,2024-09-07 09:24:01:088,545009,545009,0,0,25271867,0,3360 9,3,2024-09-07 09:24:01:758,1,434,1,0,496,6172,434,0 10,0,2024-09-07 09:24:01:605,111760,0.4,111245,0.5,223432,0.3,297236,1.75 10,1,2024-09-07 09:24:00:583,761032,761032,0,0,357496493881,3746923842,750540,8869,1623,381,391741,0 10,2,2024-09-07 09:24:00:763,549257,549257,0,0,27897403,0,4264 10,3,2024-09-07 09:24:00:875,1,434,1,0,649,4620,434,0 11,0,2024-09-07 09:24:01:006,105385,0.4,102229,0.6,213891,0.4,282141,1.75 11,1,2024-09-07 09:24:00:573,761442,761442,0,0,358060726760,3754983593,750114,8702,2626,383,391756,0 11,2,2024-09-07 09:24:01:132,550017,550017,0,0,24552914,0,4130 11,3,2024-09-07 09:24:01:298,1,434,1,0,843,6226,434,0 12,0,2024-09-07 09:24:00:942,107440,0.4,107490,0.5,215067,0.3,285621,1.75 12,1,2024-09-07 09:24:00:940,761262,761262,0,0,357356516258,3723909317,755702,5058,502,370,391870,0 12,2,2024-09-07 09:24:01:543,548703,548703,0,0,23738391,0,3469 12,3,2024-09-07 09:24:01:060,1,434,1,0,386,6359,434,0 13,0,2024-09-07 09:24:01:347,113094,0.4,112650,0.6,224830,0.4,299564,1.75 13,1,2024-09-07 09:24:01:524,760081,760081,0,0,356305992103,3744491918,752460,5989,1632,382,391740,0 13,2,2024-09-07 09:24:00:597,550317,550317,0,0,21904962,0,3287 13,3,2024-09-07 09:24:01:768,1,434,6,0,522,6285,434,0 14,0,2024-09-07 09:24:00:562,112362,0.4,113443,0.6,224385,0.4,299640,2.00 14,1,2024-09-07 09:24:01:561,765463,765463,0,0,359093774895,3718133888,759241,5513,709,364,391673,0 14,2,2024-09-07 09:24:00:765,547265,547235,30,0,23885043,0,6104 14,3,2024-09-07 09:24:01:116,1,434,4,0,1168,4741,434,0 15,0,2024-09-07 09:24:01:555,106483,0.4,106372,0.6,213060,0.4,283593,2.00 15,1,2024-09-07 09:24:01:611,762612,762612,0,0,358090944872,3728901446,756387,5046,1179,381,391619,0 15,2,2024-09-07 09:24:00:999,551753,551753,0,0,19647393,0,3622 15,3,2024-09-07 09:24:01:405,1,434,9,0,1126,6970,434,0 16,0,2024-09-07 09:24:00:940,105262,0.5,105701,0.7,210734,0.5,280757,2.00 16,1,2024-09-07 09:24:00:585,762479,762479,0,0,357810813754,3739897301,755874,5492,1113,370,391917,0 16,2,2024-09-07 09:24:01:437,549917,549917,0,0,23520348,0,4719 16,3,2024-09-07 09:24:01:152,1,434,1,0,317,5426,434,0 17,0,2024-09-07 09:24:01:801,113474,0.6,110768,0.8,216602,0.6,294268,2.00 17,1,2024-09-07 09:24:00:571,760776,760776,0,0,356665218050,3741076544,753112,6150,1514,368,392075,0 17,2,2024-09-07 09:24:01:686,551813,551813,0,0,23317253,0,3779 17,3,2024-09-07 09:24:00:590,1,434,5,0,518,6878,434,0 18,0,2024-09-07 09:24:00:958,109674,0.7,110232,0.8,219687,0.8,293848,2.25 18,1,2024-09-07 09:24:01:637,763892,763892,0,0,358283986357,3711819666,759892,3621,379,367,391725,0 18,2,2024-09-07 09:24:01:759,547605,547605,0,0,20618771,0,3541 18,3,2024-09-07 09:24:00:902,1,434,0,0,163,3292,434,0 19,0,2024-09-07 09:24:01:543,108419,0.6,108910,0.8,216044,0.6,287656,2.25 19,1,2024-09-07 09:24:00:568,764121,764121,0,0,358515999383,3717457142,758173,5027,921,367,391777,0 19,2,2024-09-07 09:24:01:757,552909,552909,0,0,19601142,0,3988 19,3,2024-09-07 09:24:01:129,1,434,1,0,524,3462,434,0 20,0,2024-09-07 09:24:01:373,103585,0.4,103461,0.6,206806,0.4,276148,2.00 20,1,2024-09-07 09:24:00:577,761319,761319,0,0,357300008755,3736166360,754606,5912,801,369,391922,0 20,2,2024-09-07 09:24:00:928,550409,550409,0,0,23626153,0,3721 20,3,2024-09-07 09:24:00:593,1,434,1,0,414,6272,434,0 21,0,2024-09-07 09:24:01:131,108338,0.5,108570,0.6,216766,0.4,288131,1.75 21,1,2024-09-07 09:24:01:543,759556,759556,0,0,356734017896,3759560028,748589,8487,2480,368,392016,0 21,2,2024-09-07 09:24:01:075,546562,546542,20,0,28693039,0,5617 21,3,2024-09-07 09:24:01:415,1,434,10,0,713,5748,434,0 22,0,2024-09-07 09:24:01:717,111149,0.5,111496,0.7,222751,0.4,295096,2.00 22,1,2024-09-07 09:24:01:025,760750,760750,0,0,357290110425,3753044633,749596,8927,2227,382,391667,0 22,2,2024-09-07 09:24:00:760,543892,543866,26,0,22773661,0,6328 22,3,2024-09-07 09:24:01:066,1,434,1,0,228,3528,434,0 23,0,2024-09-07 09:24:01:376,110593,0.5,109906,0.7,220358,0.5,293583,2.25 23,1,2024-09-07 09:24:01:003,761653,761653,0,0,357809780928,3755739954,748544,9055,4054,365,391690,0 23,2,2024-09-07 09:24:01:091,552104,552104,0,0,22376785,0,3773 23,3,2024-09-07 09:24:01:758,1,434,1,0,720,5582,434,0 24,0,2024-09-07 09:24:00:827,105639,0.4,105062,0.5,211311,0.3,280075,1.75 24,1,2024-09-07 09:24:00:591,760885,760885,0,0,356594282939,3736946543,752881,6411,1593,367,392269,0 24,2,2024-09-07 09:24:01:070,550376,550376,0,0,26894715,0,3607 24,3,2024-09-07 09:24:01:698,1,434,1,0,468,5851,434,0 25,0,2024-09-07 09:24:01:362,110284,0.4,107326,0.6,210661,0.3,287112,1.75 25,1,2024-09-07 09:24:00:559,760370,760370,0,0,357010080954,3760947814,748351,9770,2249,371,391928,0 25,2,2024-09-07 09:24:01:627,547032,547032,0,0,27498970,0,3978 25,3,2024-09-07 09:24:01:000,1,434,5,0,532,4890,434,0 26,0,2024-09-07 09:24:01:728,111650,0.4,108994,0.6,228695,0.4,297450,2.00 26,1,2024-09-07 09:24:01:542,762103,762103,0,0,357386244591,3746663460,750470,9224,2409,380,391748,0 26,2,2024-09-07 09:24:00:861,547466,547466,0,0,27553026,0,2809 26,3,2024-09-07 09:24:01:718,1,434,0,0,796,5184,434,0 27,0,2024-09-07 09:24:01:731,112707,0.5,112794,0.6,224467,0.4,299663,2.25 27,1,2024-09-07 09:24:01:686,763246,763246,0,0,358685593244,3735325897,755978,6367,901,381,391626,0 27,2,2024-09-07 09:24:00:867,545871,545806,65,0,25207404,0,5699 27,3,2024-09-07 09:24:01:015,1,434,1,0,564,4120,434,0 28,0,2024-09-07 09:24:01:393,106418,0.4,106014,0.6,212753,0.3,283611,1.75 28,1,2024-09-07 09:24:00:798,762648,762648,0,0,358208794725,3737790133,755458,5491,1699,383,391698,0 28,2,2024-09-07 09:24:01:766,550526,550526,0,0,22868144,0,2915 28,3,2024-09-07 09:24:01:777,1,434,9,0,502,4699,434,0 29,0,2024-09-07 09:24:01:413,109414,0.3,106538,0.5,208947,0.3,284596,1.75 29,1,2024-09-07 09:24:01:565,765264,765264,0,0,359119365936,3715233483,760397,4132,735,368,391809,0 29,2,2024-09-07 09:24:00:862,548936,548936,0,0,21613298,0,4986 29,3,2024-09-07 09:24:00:968,1,434,1,0,459,5037,434,0 30,0,2024-09-07 09:24:01:459,110529,0.5,107483,0.7,225025,0.4,294716,2.00 30,1,2024-09-07 09:24:00:571,764069,764069,0,0,359137045877,3728826883,758000,5303,766,381,391672,0 30,2,2024-09-07 09:24:01:273,550017,550017,0,0,21183669,0,4192 30,3,2024-09-07 09:24:00:587,1,434,1,0,519,4190,434,0 31,0,2024-09-07 09:24:01:762,111387,0.5,111857,0.7,223297,0.5,298182,2.00 31,1,2024-09-07 09:24:00:565,767691,767691,0,0,360375583694,3692803634,764674,2431,586,356,391712,0 31,2,2024-09-07 09:24:01:274,545591,545591,0,0,22752360,0,3525 31,3,2024-09-07 09:24:01:708,1,434,12,0,220,3785,434,0 32,0,2024-09-07 09:24:01:443,108285,0.3,109039,0.5,217014,0.3,288908,1.75 32,1,2024-09-07 09:24:00:825,763768,763768,0,0,357981855042,3723194150,758651,4436,681,381,391646,0 32,2,2024-09-07 09:24:00:941,551882,551882,0,0,20537906,0,3922 32,3,2024-09-07 09:24:01:015,1,434,1,0,304,3397,434,0 33,0,2024-09-07 09:24:01:505,104571,0.3,104301,0.4,209044,0.2,278318,1.50 33,1,2024-09-07 09:24:00:588,764519,764519,0,0,358932984287,3721367594,758005,5409,1105,368,391730,0 33,2,2024-09-07 09:24:00:765,551191,551156,35,0,22937778,0,7012 33,3,2024-09-07 09:24:00:902,1,434,11,0,329,4156,434,0 34,0,2024-09-07 09:24:00:943,109453,0.3,112562,0.4,215144,0.2,290138,1.75 34,1,2024-09-07 09:24:01:047,765833,765833,0,0,360203133370,3702792753,764090,1731,12,367,391562,0 34,2,2024-09-07 09:24:00:768,550513,550513,0,0,21269219,0,4562 34,3,2024-09-07 09:24:01:694,1,434,2,0,299,3257,434,0 35,0,2024-09-07 09:24:00:858,109941,0.4,110546,0.5,222064,0.3,295145,1.75 35,1,2024-09-07 09:24:01:073,763791,763791,0,0,358355229604,3713548691,759249,3684,858,382,391769,0 35,2,2024-09-07 09:24:01:583,545157,545157,0,0,22857949,0,4055 35,3,2024-09-07 09:24:00:909,1,434,0,0,418,4514,434,0 36,0,2024-09-07 09:24:01:566,110595,0.5,110509,0.7,221056,0.4,293683,2.00 36,1,2024-09-07 09:24:00:622,762787,762787,0,0,357690450113,3734267402,753138,7649,2000,366,391759,0 36,2,2024-09-07 09:24:01:767,551342,551342,0,0,24228734,0,3875 36,3,2024-09-07 09:24:00:863,1,434,0,0,416,6084,434,0 37,0,2024-09-07 09:24:01:368,102909,0.4,103214,0.6,206103,0.4,274522,2.00 37,1,2024-09-07 09:24:00:773,762412,762405,0,7,357375983676,3730973168,753585,6544,2276,365,391770,0 37,2,2024-09-07 09:24:01:155,549161,549146,15,0,24112499,0,5815 37,3,2024-09-07 09:24:01:767,1,434,3,0,888,6382,434,0 38,0,2024-09-07 09:24:01:436,107077,0.4,103822,0.6,217277,0.3,285134,2.00 38,1,2024-09-07 09:24:01:610,763567,763567,0,0,358190971637,3742967445,752822,8584,2161,368,391821,0 38,2,2024-09-07 09:24:00:760,548834,548787,47,0,23835875,0,6710 38,3,2024-09-07 09:24:00:998,1,434,0,0,689,5469,434,0 39,0,2024-09-07 09:24:01:764,114286,0.6,111790,0.7,218007,0.6,296989,2.00 39,1,2024-09-07 09:24:00:718,761581,761581,0,0,357702595386,3748688153,749140,9750,2691,365,391658,0 39,2,2024-09-07 09:24:01:417,546465,546465,0,0,22676511,0,3391 39,3,2024-09-07 09:24:00:715,1,434,1,0,324,4893,434,0 40,0,2024-09-07 09:24:01:511,110670,0.8,111284,1.0,221927,0.9,295824,2.75 40,1,2024-09-07 09:24:00:652,762238,762238,0,0,357447795214,3742514664,751418,8776,2044,368,391668,0 40,2,2024-09-07 09:24:01:303,548812,548811,1,0,26430904,0,5137 40,3,2024-09-07 09:24:01:155,1,434,1,0,1028,5965,434,0 41,0,2024-09-07 09:24:01:029,105081,1.3,107407,1.2,204829,2.0,278733,3.00 41,1,2024-09-07 09:24:00:770,761044,761044,0,0,357848261822,3741700343,751861,8143,1040,369,391742,0 41,2,2024-09-07 09:24:00:760,548874,548874,0,0,25288669,0,4277 41,3,2024-09-07 09:24:01:677,1,434,1,0,366,4464,434,0 42,0,2024-09-07 09:24:01:472,106138,0.8,106160,0.9,212483,0.8,281458,2.50 42,1,2024-09-07 09:24:01:439,759279,759279,0,0,356656275691,3747141666,747195,10053,2031,380,391675,0 42,2,2024-09-07 09:24:01:133,547646,547646,0,0,25428824,0,3790 42,3,2024-09-07 09:24:01:009,1,434,3,0,892,4272,434,0 43,0,2024-09-07 09:24:00:918,111104,0.8,108184,0.9,226510,0.8,296792,2.25 43,1,2024-09-07 09:24:00:577,762655,762655,0,0,358303574128,3742597593,752275,8641,1739,366,391696,0 43,2,2024-09-07 09:24:01:748,548860,548860,0,0,25046688,0,3812 43,3,2024-09-07 09:24:01:757,1,434,27,0,548,5729,434,0 44,0,2024-09-07 09:24:00:910,112527,0.5,112716,0.6,225921,0.4,300245,2.00 44,1,2024-09-07 09:24:00:579,764238,764238,0,0,358144046423,3701709799,757742,5178,1318,356,391809,0 44,2,2024-09-07 09:24:01:275,546215,546215,0,0,20972563,0,4344 44,3,2024-09-07 09:24:01:101,1,434,24,0,817,5069,434,0 45,0,2024-09-07 09:24:01:773,105377,0.5,102891,0.7,215855,0.4,284106,2.00 45,1,2024-09-07 09:24:01:018,763224,763224,0,0,358794747578,3727475059,757564,5087,573,382,391917,0 45,2,2024-09-07 09:24:01:278,551351,551351,0,0,21292158,0,3596 45,3,2024-09-07 09:24:00:941,1,434,7,0,271,3703,434,0 46,0,2024-09-07 09:24:00:950,104776,0.5,104552,0.7,209585,0.4,278559,2.00 46,1,2024-09-07 09:24:00:577,764796,764796,0,0,359016704615,3707713765,760125,4079,592,366,391709,0 46,2,2024-09-07 09:24:00:597,550440,550440,0,0,21441377,0,4443 46,3,2024-09-07 09:24:01:132,1,434,1,0,908,5419,434,0 47,0,2024-09-07 09:24:01:103,110420,0.4,110660,0.6,221596,0.4,293484,1.75 47,1,2024-09-07 09:24:00:571,765696,765696,0,0,358937803826,3708830151,760839,4019,838,366,391641,0 47,2,2024-09-07 09:24:00:910,552061,552061,0,0,21053434,0,4477 47,3,2024-09-07 09:24:01:115,1,434,2,0,600,5259,434,0 48,0,2024-09-07 09:24:01:501,111318,0.3,111239,0.5,221608,0.2,295895,1.75 48,1,2024-09-07 09:24:01:022,763350,763350,0,0,358632355380,3723992260,758637,4257,456,384,391710,0 48,2,2024-09-07 09:24:00:708,545237,545237,0,0,19640065,0,3411 48,3,2024-09-07 09:24:00:752,1,434,0,0,339,3470,434,0 49,0,2024-09-07 09:24:01:737,111763,0.4,109865,0.5,213106,0.3,291586,1.75 49,1,2024-09-07 09:24:01:021,763177,763177,0,0,357718081048,3720931529,758021,3950,1206,382,391809,0 49,2,2024-09-07 09:24:01:797,551284,551284,0,0,21703377,0,4426 49,3,2024-09-07 09:24:01:420,1,434,4,0,992,5186,434,0 50,0,2024-09-07 09:24:01:526,104147,0.3,102499,0.4,207009,0.2,275906,1.75 50,1,2024-09-07 09:24:01:010,765840,765840,0,0,359001841520,3710979148,760909,4357,574,368,391565,0 50,2,2024-09-07 09:24:01:071,551145,551145,0,0,19939283,0,4490 50,3,2024-09-07 09:24:01:291,1,434,11,0,567,4365,434,0 51,0,2024-09-07 09:24:01:689,111207,0.3,108890,0.4,212423,0.2,289720,1.75 51,1,2024-09-07 09:24:01:686,765638,765638,0,0,359697913461,3708568480,761685,2904,1049,365,391706,0 51,2,2024-09-07 09:24:01:316,549936,549936,0,0,18922336,0,3337 51,3,2024-09-07 09:24:01:027,1,434,1,0,678,3505,434,0 52,0,2024-09-07 09:24:01:454,111520,0.5,111480,0.7,223081,0.5,295974,2.00 52,1,2024-09-07 09:24:00:588,762565,762565,0,0,357455656196,3738185392,752224,8988,1353,368,391722,0 52,2,2024-09-07 09:24:01:755,542089,542051,38,0,25308684,0,6742 52,3,2024-09-07 09:24:00:675,1,434,9,0,1782,5877,434,0 53,0,2024-09-07 09:24:01:749,110108,0.7,106958,0.8,223641,0.7,293383,2.25 53,1,2024-09-07 09:24:00:776,760811,760811,0,0,357916940756,3752263067,748890,8961,2960,367,391702,0 53,2,2024-09-07 09:24:01:298,550912,550911,1,0,22997551,0,5455 53,3,2024-09-07 09:24:00:697,1,434,6,0,308,3826,434,0 54,0,2024-09-07 09:24:01:627,103551,0.5,103791,0.7,206647,0.4,275877,2.25 54,1,2024-09-07 09:24:00:579,763155,763155,0,0,358252503767,3722988810,755664,6250,1241,366,391659,0 54,2,2024-09-07 09:24:00:864,551195,551163,32,0,26338772,0,6397 54,3,2024-09-07 09:24:00:764,1,434,1,0,676,6247,434,0 55,0,2024-09-07 09:24:01:762,103818,0.5,107200,0.7,216754,0.4,282790,2.25 55,1,2024-09-07 09:24:00:765,763477,763477,0,0,357505186916,3713722661,755841,6541,1095,365,391731,0 55,2,2024-09-07 09:24:00:729,548136,548080,56,0,25234108,0,7239 55,3,2024-09-07 09:24:00:681,1,434,1,0,304,4355,434,0 56,0,2024-09-07 09:24:01:578,114082,1.3,107641,1.2,221717,1.8,296540,2.75 56,1,2024-09-07 09:24:00:572,758953,758953,0,0,356513307042,3763748244,747434,9146,2373,381,391867,0 56,2,2024-09-07 09:24:01:303,546984,546862,122,0,26031416,0,7432 56,3,2024-09-07 09:24:01:062,1,434,1,0,705,5249,434,0 57,0,2024-09-07 09:24:00:938,110776,1.7,110521,1.3,221649,2.4,296801,3.25 57,1,2024-09-07 09:24:00:989,761705,761705,0,0,356882391939,3738352094,753097,7522,1086,366,392032,0 57,2,2024-09-07 09:24:01:315,548186,548186,0,0,26905042,0,3317 57,3,2024-09-07 09:24:01:738,1,434,1,0,455,4989,434,0 58,0,2024-09-07 09:24:00:557,104624,0.8,101591,1.0,212594,0.9,278764,2.50 58,1,2024-09-07 09:24:00:577,761694,761691,0,3,358559321653,3754462111,750575,8812,2304,367,391603,3 58,2,2024-09-07 09:24:01:072,549508,549508,0,0,24983129,0,2902 58,3,2024-09-07 09:24:01:069,1,434,1,0,1043,4632,434,0 59,0,2024-09-07 09:24:01:756,105810,0.7,105476,0.9,210796,0.8,279745,2.50 59,1,2024-09-07 09:24:00:811,761674,761674,0,0,357703401690,3745409240,751454,8411,1809,369,391653,0 59,2,2024-09-07 09:24:00:590,548182,548182,0,0,24876970,0,3727 59,3,2024-09-07 09:24:01:738,1,434,1,0,1015,5711,434,0 60,0,2024-09-07 09:24:01:754,110737,0.5,110753,0.7,222234,0.5,295835,1.75 60,1,2024-09-07 09:24:00:775,764120,764120,0,0,359305354207,3728283959,758976,4423,721,370,392031,0 60,2,2024-09-07 09:24:01:156,549953,549953,0,0,23053733,0,3811 60,3,2024-09-07 09:24:01:258,1,434,9,0,409,5005,434,0 61,0,2024-09-07 09:24:01:491,111496,0.7,112169,0.8,223199,0.8,297915,2.00 61,1,2024-09-07 09:24:00:774,761991,761991,0,0,357210824267,3741166253,753681,6879,1431,382,392127,0 61,2,2024-09-07 09:24:01:116,545331,545264,67,0,23347875,0,6411 61,3,2024-09-07 09:24:01:693,1,434,6,0,479,5816,434,0 62,0,2024-09-07 09:24:01:712,108755,0.5,111485,0.7,212723,0.5,288668,2.00 62,1,2024-09-07 09:24:01:121,766640,766634,0,6,359945185847,3710678622,762818,3555,261,365,391975,6 62,2,2024-09-07 09:24:01:646,549247,549246,1,0,23562102,0,5555 62,3,2024-09-07 09:24:01:151,1,434,1,0,482,3756,434,0 63,0,2024-09-07 09:24:01:494,104723,0.4,104582,0.5,209692,0.3,278650,1.75 63,1,2024-09-07 09:24:00:812,764347,764341,0,6,358448886690,3721036856,759368,4240,733,381,391800,6 63,2,2024-09-07 09:24:00:763,550765,550765,0,0,21523230,0,4369 63,3,2024-09-07 09:24:01:748,1,434,1,0,667,4424,434,0 64,0,2024-09-07 09:24:01:558,108830,0.5,108922,0.6,217317,0.4,289341,1.75 64,1,2024-09-07 09:24:00:752,763228,763228,0,0,358706165210,3736270000,756547,4978,1703,370,391783,0 64,2,2024-09-07 09:24:01:156,553416,553397,19,0,21333476,0,6121 64,3,2024-09-07 09:24:01:154,1,434,11,0,265,4219,434,0 65,0,2024-09-07 09:24:01:683,109791,0.7,110022,0.8,219761,0.8,292668,2.25 65,1,2024-09-07 09:24:00:862,761296,761296,0,0,356911566142,3729103846,756183,4573,540,381,391901,0 65,2,2024-09-07 09:24:01:701,545046,545046,0,0,24913556,0,3367 65,3,2024-09-07 09:24:01:682,1,434,1,0,782,5097,434,0 66,0,2024-09-07 09:24:01:763,110054,0.5,109887,0.7,219605,0.5,292012,2.25 66,1,2024-09-07 09:24:01:293,763506,763506,0,0,358156943598,3730536693,758007,4973,526,380,391743,0 66,2,2024-09-07 09:24:01:132,552612,552612,0,0,21792763,0,4956 66,3,2024-09-07 09:24:01:080,1,434,1,0,291,3732,434,0 67,0,2024-09-07 09:24:01:424,103622,0.4,103350,0.6,207215,0.4,275368,2.00 67,1,2024-09-07 09:24:00:769,763567,763566,0,1,358443331637,3732556859,758214,4560,792,380,391787,1 67,2,2024-09-07 09:24:00:588,553299,553299,0,0,20980762,0,3622 67,3,2024-09-07 09:24:01:757,1,434,1,0,392,4246,434,0 68,0,2024-09-07 09:24:00:600,107769,0.5,107670,0.7,214379,0.5,287107,2.00 68,1,2024-09-07 09:24:00:576,760798,760798,0,0,357016202104,3753656417,750743,7109,2946,381,391953,0 68,2,2024-09-07 09:24:01:047,546598,546498,100,0,27942732,0,8578 68,3,2024-09-07 09:24:00:727,1,434,1,0,417,4974,434,0 69,0,2024-09-07 09:24:01:727,111076,0.8,111893,0.8,222793,0.9,295449,2.25 69,1,2024-09-07 09:24:01:020,760213,760213,0,0,355875501664,3749358119,750439,7503,2271,384,391994,0 69,2,2024-09-07 09:24:01:739,545092,545063,29,0,29398933,0,6912 69,3,2024-09-07 09:24:00:766,1,434,11,0,698,6641,434,0 70,0,2024-09-07 09:24:01:533,110640,1.1,110907,1.1,223038,0.9,295212,2.50 70,1,2024-09-07 09:24:00:825,764012,764012,0,0,358778155565,3722321620,758031,5320,661,366,391725,0 70,2,2024-09-07 09:24:01:324,549395,549395,0,0,24655531,0,4323 70,3,2024-09-07 09:24:00:748,1,434,3,0,854,4994,434,0 71,0,2024-09-07 09:24:01:357,104585,0.7,104293,0.9,209662,0.8,280070,2.50 71,1,2024-09-07 09:24:01:611,762727,762727,0,0,358414340804,3737001277,754285,7639,803,368,391738,0 71,2,2024-09-07 09:24:01:066,549987,549987,0,0,24680825,0,4352 71,3,2024-09-07 09:24:01:754,1,434,1,0,644,5579,434,0 72,0,2024-09-07 09:24:01:024,110280,0.5,107797,0.7,210195,0.5,286054,2.00 72,1,2024-09-07 09:24:01:024,761277,761277,0,0,357309177573,3747645851,750409,8858,2010,369,391819,0 72,2,2024-09-07 09:24:01:755,547554,547554,0,0,27045212,0,3983 72,3,2024-09-07 09:24:01:758,1,434,7,0,564,6454,434,0 73,0,2024-09-07 09:24:01:167,109374,0.4,112053,0.6,229119,0.4,297838,2.00 73,1,2024-09-07 09:24:00:768,762868,762868,0,0,358044323658,3718803833,757525,4934,409,367,391858,0 73,2,2024-09-07 09:24:01:740,548237,548237,0,0,26444761,0,3701 73,3,2024-09-07 09:24:00:968,1,434,25,0,486,5759,434,0 74,0,2024-09-07 09:24:01:324,113403,0.5,115961,0.7,221029,0.5,300619,2.25 74,1,2024-09-07 09:24:00:638,761690,761690,0,0,357427069531,3730563929,753724,6371,1595,381,391762,0 74,2,2024-09-07 09:24:01:002,546288,546288,0,0,24242872,0,4253 74,3,2024-09-07 09:24:01:442,1,434,19,0,522,5583,434,0 75,0,2024-09-07 09:24:01:768,107146,0.5,106538,0.7,213511,0.5,285228,2.25 75,1,2024-09-07 09:24:01:585,762840,762840,0,0,357522769085,3728262953,755987,6025,828,380,391739,0 75,2,2024-09-07 09:24:01:353,548970,548970,0,0,27021243,0,4766 75,3,2024-09-07 09:24:01:067,1,434,1,0,702,5736,434,0 76,0,2024-09-07 09:24:00:628,104801,0.5,104042,0.7,208821,0.5,279373,2.25 76,1,2024-09-07 09:24:00:812,762711,762711,0,0,357700343275,3730933862,757281,4604,826,382,391692,0 76,2,2024-09-07 09:24:01:060,552167,552166,1,0,23525055,0,5144 76,3,2024-09-07 09:24:01:156,1,434,1,0,175,3964,434,0 77,0,2024-09-07 09:24:01:702,109730,0.5,110149,0.7,220267,0.5,292471,2.00 77,1,2024-09-07 09:24:00:824,763135,763135,0,0,357712493522,3731914202,757315,5314,506,381,391869,0 77,2,2024-09-07 09:24:01:281,549699,549699,0,0,23035024,0,3890 77,3,2024-09-07 09:24:01:096,1,434,1,0,401,4821,434,0 78,0,2024-09-07 09:24:01:718,111109,0.5,110536,0.7,222041,0.4,294513,2.00 78,1,2024-09-07 09:24:00:611,763313,763313,0,0,357934464101,3727785073,755446,6300,1567,367,391670,0 78,2,2024-09-07 09:24:01:405,545747,545734,13,0,21617282,0,8313 78,3,2024-09-07 09:24:01:142,1,434,1,0,181,3722,434,0 79,0,2024-09-07 09:24:01:351,105175,0.4,107645,0.6,220549,0.4,286565,2.25 79,1,2024-09-07 09:24:00:572,764818,764818,0,0,357512946738,3705522324,758246,5263,1309,367,391682,0 79,2,2024-09-07 09:24:01:068,551763,551763,0,0,21304909,0,4195 79,3,2024-09-07 09:24:00:751,1,434,74,0,418,5489,434,0 80,0,2024-09-07 09:24:01:079,103600,0.5,106483,0.6,203748,0.4,275547,2.00 80,1,2024-09-07 09:24:01:623,762866,762866,0,0,358098427651,3729262668,757308,5135,423,368,392269,0 80,2,2024-09-07 09:24:01:096,552996,552996,0,0,21536410,0,4433 80,3,2024-09-07 09:24:00:587,1,434,3,0,190,5009,434,0 81,0,2024-09-07 09:24:01:541,108475,0.5,111088,0.7,211957,0.5,288470,2.00 81,1,2024-09-07 09:24:01:649,761702,761702,0,0,357338311729,3733101070,755691,5470,541,382,391879,0 81,2,2024-09-07 09:24:01:125,548336,548273,63,0,23894586,0,5932 81,3,2024-09-07 09:24:01:121,1,434,1,0,719,5137,434,0 82,0,2024-09-07 09:24:01:531,110917,0.5,111062,0.7,222842,0.5,295343,2.00 82,1,2024-09-07 09:24:00:588,764234,764230,0,4,358547449673,3728944514,759532,3879,819,381,391768,4 82,2,2024-09-07 09:24:01:691,546597,546597,0,0,20285089,0,4484 82,3,2024-09-07 09:24:01:756,1,434,0,0,363,4599,434,0 83,0,2024-09-07 09:24:01:526,110830,0.6,110793,0.8,221033,0.7,293551,2.25 83,1,2024-09-07 09:24:00:550,761975,761975,0,0,357128545930,3723884562,756444,5081,450,382,391709,0 83,2,2024-09-07 09:24:00:764,550834,550834,0,0,21051820,0,3393 83,3,2024-09-07 09:24:00:751,1,434,4,0,1260,5521,434,0 84,0,2024-09-07 09:24:01:772,104398,0.6,104402,0.8,208119,0.5,278255,2.25 84,1,2024-09-07 09:24:01:040,761660,761660,0,0,357351198100,3731868899,753956,6661,1043,367,391967,0 84,2,2024-09-07 09:24:00:590,550108,550078,30,0,28374957,0,5971 84,3,2024-09-07 09:24:01:143,1,434,1,0,908,6430,434,0 85,0,2024-09-07 09:24:01:015,103374,0.5,103386,0.7,219509,0.5,284069,2.00 85,1,2024-09-07 09:24:00:573,759527,759527,0,0,356549917583,3759966068,748709,8898,1920,381,392006,0 85,2,2024-09-07 09:24:00:864,547772,547772,0,0,26273992,0,3656 85,3,2024-09-07 09:24:00:685,1,434,1,0,789,5260,434,0 86,0,2024-09-07 09:24:00:908,111576,0.7,114834,0.8,219800,0.7,297054,2.25 86,1,2024-09-07 09:24:00:824,761922,761922,0,0,357732972834,3744766619,753247,7234,1441,366,391961,0 86,2,2024-09-07 09:24:00:857,545143,545142,1,0,28399826,0,5004 86,3,2024-09-07 09:24:00:588,1,434,2,0,308,6242,434,0 87,0,2024-09-07 09:24:01:296,112416,1.0,112208,0.9,224523,1.3,300059,2.50 87,1,2024-09-07 09:24:00:569,760635,760635,0,0,356837412265,3739124282,750683,8358,1594,366,392076,0 87,2,2024-09-07 09:24:01:074,547348,547342,6,0,25142023,0,6323 87,3,2024-09-07 09:24:01:804,1,434,1,0,473,6764,434,0 88,0,2024-09-07 09:24:01:468,106065,0.4,106853,0.6,212749,0.4,283454,1.75 88,1,2024-09-07 09:24:00:570,760414,760414,0,0,356965804211,3738432476,750691,7715,2008,365,392084,0 88,2,2024-09-07 09:24:00:697,550339,550339,0,0,27650320,0,4465 88,3,2024-09-07 09:24:01:268,1,434,2,0,435,5261,434,0 89,0,2024-09-07 09:24:01:794,109358,0.4,105890,0.6,209615,0.4,284804,1.75 89,1,2024-09-07 09:24:00:558,760385,760385,0,0,356925827173,3750926496,751210,7748,1427,382,391866,0 89,2,2024-09-07 09:24:01:132,548312,548312,0,0,25987426,0,3173 89,3,2024-09-07 09:24:01:791,1,434,1,0,468,7551,434,0 90,0,2024-09-07 09:24:01:632,107580,0.5,110564,0.6,225499,0.4,294962,2.00 90,1,2024-09-07 09:24:00:591,761302,761302,0,0,357402149554,3740303308,754527,6263,512,380,391825,0 90,2,2024-09-07 09:24:01:406,547805,547805,0,0,27275109,0,3060 90,3,2024-09-07 09:24:00:931,1,434,2,0,322,5339,434,0 91,0,2024-09-07 09:24:00:936,112304,0.5,108661,0.7,227041,0.5,298656,1.75 91,1,2024-09-07 09:24:00:556,760394,760394,0,0,356821385277,3750408374,751133,7857,1404,381,392047,0 91,2,2024-09-07 09:24:01:331,546656,546656,0,0,24126113,0,2896 91,3,2024-09-07 09:24:00:603,1,434,0,0,216,4272,434,0 92,0,2024-09-07 09:24:01:464,109189,0.5,111877,0.6,213611,0.4,289022,1.75 92,1,2024-09-07 09:24:00:588,762483,762483,0,0,357372942995,3730699824,756945,4813,725,381,392136,0 92,2,2024-09-07 09:24:01:350,551305,551305,0,0,21670573,0,3259 92,3,2024-09-07 09:24:01:012,1,434,10,0,167,3805,434,0 93,0,2024-09-07 09:24:00:953,105265,0.4,107726,0.5,205753,0.3,278823,1.75 93,1,2024-09-07 09:24:00:812,762089,762089,0,0,357798526901,3734225741,753946,6758,1385,366,391776,0 93,2,2024-09-07 09:24:00:928,550465,550465,0,0,26321989,0,4845 93,3,2024-09-07 09:24:01:406,1,434,1,0,190,3836,434,0 94,0,2024-09-07 09:24:01:604,108811,0.3,109780,0.5,219024,0.3,291058,1.75 94,1,2024-09-07 09:24:00:564,762826,762826,0,0,357920137689,3732534917,758031,4585,210,381,391850,0 94,2,2024-09-07 09:24:00:762,549462,549462,0,0,21983270,0,2443 94,3,2024-09-07 09:24:01:691,1,434,1,0,576,5698,434,0 95,0,2024-09-07 09:24:01:352,110727,0.4,110494,0.5,221610,0.3,295204,1.75 95,1,2024-09-07 09:24:00:851,763525,763525,0,0,358926392290,3729648859,757471,5616,438,365,391786,0 95,2,2024-09-07 09:24:01:018,544804,544804,0,0,21686527,0,3308 95,3,2024-09-07 09:24:01:711,1,434,1,0,718,6252,434,0 96,0,2024-09-07 09:24:01:038,110473,0.4,110898,0.5,221113,0.3,293174,1.75 96,1,2024-09-07 09:24:01:583,762446,762446,0,0,356931200799,3724015712,756894,4667,885,384,391955,0 96,2,2024-09-07 09:24:01:274,551850,551850,0,0,22685474,0,4180 96,3,2024-09-07 09:24:01:151,1,434,3,0,411,4825,434,0 97,0,2024-09-07 09:24:01:315,103660,0.3,103242,0.5,207139,0.2,275270,1.50 97,1,2024-09-07 09:24:00:765,763739,763739,0,0,358661115575,3720529201,758586,4221,932,367,392140,0 97,2,2024-09-07 09:24:00:607,551642,551642,0,0,21801055,0,3679 97,3,2024-09-07 09:24:00:572,1,434,1,0,242,5139,434,0 98,0,2024-09-07 09:24:01:708,107363,0.3,107506,0.4,215569,0.2,286973,1.50 98,1,2024-09-07 09:24:00:570,762760,762760,0,0,358149695565,3730913382,757795,4173,792,382,391997,0 98,2,2024-09-07 09:24:00:769,549639,549639,0,0,21971994,0,4336 98,3,2024-09-07 09:24:00:699,1,434,4,0,840,7209,434,0 99,0,2024-09-07 09:24:01:448,111699,0.3,112416,0.5,223272,0.3,298107,1.75 99,1,2024-09-07 09:24:01:724,763365,763365,0,0,357816493694,3723351130,758416,4112,837,381,392069,0 99,2,2024-09-07 09:24:01:418,547124,547124,0,0,25771551,0,4276 99,3,2024-09-07 09:24:00:584,1,434,1,0,606,4725,434,0 100,0,2024-09-07 09:24:01:471,111600,0.8,111940,1.0,222992,1.0,297816,2.50 100,1,2024-09-07 09:24:00:665,759079,759079,0,0,356041911567,3758632921,748346,8684,2049,381,391989,0 100,2,2024-09-07 09:24:01:816,547676,547665,11,0,25318361,0,5417 100,3,2024-09-07 09:24:01:730,1,434,29,0,559,6934,434,0 101,0,2024-09-07 09:24:01:717,107774,0.8,105020,0.9,206112,0.6,282216,2.25 101,1,2024-09-07 09:24:00:552,759483,759483,0,0,356596467196,3748941855,748791,8568,2124,368,391847,0 101,2,2024-09-07 09:24:01:758,547178,547178,0,0,30247961,0,4871 101,3,2024-09-07 09:24:00:948,1,434,4,0,579,5903,434,0 102,0,2024-09-07 09:24:00:948,103977,0.6,107169,0.7,217200,0.5,284795,2.00 102,1,2024-09-07 09:24:01:152,759969,759969,0,0,357222257130,3751609727,750087,8118,1764,369,391891,0 102,2,2024-09-07 09:24:01:737,549334,549280,54,0,24379231,0,6768 102,3,2024-09-07 09:24:01:613,1,434,1,0,466,4765,434,0 103,0,2024-09-07 09:24:01:612,115829,0.5,115809,0.7,218344,0.5,300150,2.00 103,1,2024-09-07 09:24:01:625,759147,759147,0,0,356718819608,3765289999,747147,8934,3066,381,391829,0 103,2,2024-09-07 09:24:00:587,547095,547095,0,0,26987711,0,3766 103,3,2024-09-07 09:24:00:761,1,434,1,0,916,4812,434,0 104,0,2024-09-07 09:24:01:007,111461,0.8,111822,1.0,222681,0.8,298643,2.25 104,1,2024-09-07 09:24:01:621,761376,761376,0,0,356962719087,3747760181,750532,8980,1864,365,392168,0 104,2,2024-09-07 09:24:01:673,545447,545447,0,0,25827675,0,3941 104,3,2024-09-07 09:24:01:434,1,434,8,0,1245,8585,434,0 105,0,2024-09-07 09:24:01:035,105550,0.8,102774,1.0,215474,0.9,283205,2.50 105,1,2024-09-07 09:24:00:555,762117,762117,0,0,358179012325,3751405656,752668,8022,1427,366,392009,0 105,2,2024-09-07 09:24:01:323,548949,548949,0,0,25723715,0,3509 105,3,2024-09-07 09:24:01:308,1,434,2,0,399,6597,434,0 106,0,2024-09-07 09:24:00:942,101606,0.7,104060,0.8,213026,0.7,278966,2.25 106,1,2024-09-07 09:24:01:754,760852,760852,0,0,357091706315,3747990330,749796,9609,1447,369,391914,0 106,2,2024-09-07 09:24:00:768,548878,548878,0,0,25210982,0,2920 106,3,2024-09-07 09:24:00:679,1,434,19,0,470,5520,434,0 107,0,2024-09-07 09:24:01:109,109945,0.9,110181,0.9,220020,1.0,293492,2.25 107,1,2024-09-07 09:24:00:591,759742,759742,0,0,356414027092,3755381997,749514,9175,1053,381,392234,0 107,2,2024-09-07 09:24:01:297,548055,548054,1,0,26153300,0,5024 107,3,2024-09-07 09:24:01:764,1,434,11,0,370,6533,434,0 108,0,2024-09-07 09:24:01:804,110560,0.5,111393,0.6,221424,0.4,295653,1.75 108,1,2024-09-07 09:24:01:294,762551,762551,0,0,358394019640,3736099048,756408,5398,745,368,391857,0 108,2,2024-09-07 09:24:01:772,543973,543973,0,0,24351649,0,4246 108,3,2024-09-07 09:24:01:336,1,434,7,0,749,8005,434,0 109,0,2024-09-07 09:24:01:857,109600,0.4,108791,0.6,217549,0.4,290728,1.75 109,1,2024-09-07 09:24:00:592,759851,759851,0,0,357236158340,3749152959,752414,6250,1187,382,392132,0 109,2,2024-09-07 09:24:00:921,548808,548808,0,0,24013958,0,3617 109,3,2024-09-07 09:24:01:152,1,434,0,0,379,5034,434,0 110,0,2024-09-07 09:24:01:755,103383,0.3,100723,0.5,211045,0.3,276189,1.75 110,1,2024-09-07 09:24:01:648,763835,763835,0,0,359204062767,3727230764,758444,4213,1178,369,392045,0 110,2,2024-09-07 09:24:01:307,551281,551281,0,0,22885552,0,4067 110,3,2024-09-07 09:24:00:693,1,434,0,0,722,6129,434,0 111,0,2024-09-07 09:24:01:423,108841,0.4,108061,0.5,216667,0.3,289712,1.75 111,1,2024-09-07 09:24:01:000,763693,763693,0,0,359577495022,3728033976,759807,3531,355,380,391690,0 111,2,2024-09-07 09:24:01:117,548826,548826,0,0,22607825,0,4823 111,3,2024-09-07 09:24:00:915,1,434,1,0,379,5072,434,0 112,0,2024-09-07 09:24:00:946,111814,0.3,111521,0.4,223258,0.2,296333,1.50 112,1,2024-09-07 09:24:00:826,763714,763714,0,0,357589345278,3710951119,758998,4103,613,380,391624,0 112,2,2024-09-07 09:24:01:150,545085,545084,1,0,21742638,0,5036 112,3,2024-09-07 09:24:00:611,1,434,2,0,282,4247,434,0 113,0,2024-09-07 09:24:00:915,110931,0.3,110980,0.5,222446,0.3,295610,1.75 113,1,2024-09-07 09:24:01:693,765862,765862,0,0,360470412672,3717631155,761969,3304,589,366,391661,0 113,2,2024-09-07 09:24:01:303,552685,552685,0,0,20113584,0,3813 113,3,2024-09-07 09:24:00:692,1,434,5,0,340,4752,434,0 114,0,2024-09-07 09:24:00:874,105335,0.3,105929,0.4,210633,0.2,280955,1.75 114,1,2024-09-07 09:24:00:716,763453,763453,0,0,358508370125,3723900728,757168,4669,1616,381,391565,0 114,2,2024-09-07 09:24:00:875,552123,552122,1,0,21187661,0,5069 114,3,2024-09-07 09:24:01:278,1,434,1,0,395,3671,434,0 115,0,2024-09-07 09:24:00:580,107477,0.2,107981,0.4,215553,0.2,286545,1.50 115,1,2024-09-07 09:24:00:580,764000,764000,0,0,358562379494,3726454356,757505,5228,1267,382,391757,0 115,2,2024-09-07 09:24:01:132,550566,550566,0,0,21002079,0,4382 115,3,2024-09-07 09:24:01:003,1,434,0,0,159,2402,434,0 116,0,2024-09-07 09:24:01:705,111327,0.7,111439,0.8,223235,0.7,298119,2.00 116,1,2024-09-07 09:24:00:811,759886,759886,0,0,356859589859,3757597629,751271,6290,2325,380,392089,0 116,2,2024-09-07 09:24:01:757,546650,546650,0,0,26718798,0,4128 116,3,2024-09-07 09:24:00:915,1,434,1,0,415,5070,434,0 117,0,2024-09-07 09:24:00:962,112789,0.8,112212,0.8,224864,0.9,300332,2.00 117,1,2024-09-07 09:24:01:579,761146,761146,0,0,356726168954,3731361510,753391,6840,915,369,392033,0 117,2,2024-09-07 09:24:01:127,550780,550780,0,0,22919874,0,4303 117,3,2024-09-07 09:24:01:062,1,434,4,0,490,6540,434,0 118,0,2024-09-07 09:24:01:800,103166,0.5,105760,0.6,216099,0.4,282479,2.00 118,1,2024-09-07 09:24:00:595,761208,761208,0,0,356437937960,3748893033,749295,8914,2999,366,391907,0 118,2,2024-09-07 09:24:01:586,549559,549559,0,0,25214717,0,2842 118,3,2024-09-07 09:24:01:769,1,434,1,0,248,5095,434,0 119,0,2024-09-07 09:24:01:345,106184,0.5,106374,0.7,212901,0.5,283291,2.00 119,1,2024-09-07 09:24:00:547,761602,761602,0,0,357739741804,3741921283,753117,7369,1116,367,391780,0 119,2,2024-09-07 09:24:01:261,549926,549926,0,0,23866038,0,4174 119,3,2024-09-07 09:24:01:339,1,434,0,0,1358,8474,434,0 120,0,2024-09-07 09:24:01:548,110125,0.6,109992,0.8,220627,0.6,295122,2.25 120,1,2024-09-07 09:24:00:859,761743,761743,0,0,357076110732,3746133055,753367,7606,770,368,392144,0 120,2,2024-09-07 09:24:00:772,548733,548732,1,0,27206328,0,5281 120,3,2024-09-07 09:24:01:291,1,434,2,0,279,5383,434,0 121,0,2024-09-07 09:24:01:699,111660,1.4,111343,1.1,223320,2.0,298023,2.50 121,1,2024-09-07 09:24:01:658,761894,761894,0,0,357198340590,3732450295,755144,6289,461,367,391840,0 121,2,2024-09-07 09:24:01:126,544661,544661,0,0,26061266,0,4127 121,3,2024-09-07 09:24:00:730,1,434,1,0,269,4805,434,0 122,0,2024-09-07 09:24:01:808,107694,0.7,105079,0.9,220395,0.8,288886,2.00 122,1,2024-09-07 09:24:00:859,760299,760299,0,0,356772826945,3742807915,749855,8841,1603,366,392130,0 122,2,2024-09-07 09:24:01:318,550587,550514,73,0,29037034,0,5989 122,3,2024-09-07 09:24:00:594,1,434,3,0,512,7766,434,0 123,0,2024-09-07 09:24:00:949,104127,0.6,101510,0.7,212456,0.5,278186,2.00 123,1,2024-09-07 09:24:00:557,760160,760160,0,0,356778214396,3758205366,746611,11105,2444,369,392039,0 123,2,2024-09-07 09:24:01:018,548519,548518,1,0,24559926,0,5215 123,3,2024-09-07 09:24:01:132,1,434,2,0,478,5078,434,0 124,0,2024-09-07 09:24:00:935,112494,0.3,112481,0.5,212036,0.3,291730,1.75 124,1,2024-09-07 09:24:01:064,763399,763399,0,0,357681470651,3716691602,758119,4230,1050,367,392178,0 124,2,2024-09-07 09:24:01:017,550737,550684,53,0,22209378,0,6487 124,3,2024-09-07 09:24:00:768,1,434,0,0,490,4155,434,0 125,0,2024-09-07 09:24:01:425,110608,0.4,110467,0.6,221837,0.4,295254,1.75 125,1,2024-09-07 09:24:00:861,761737,761737,0,0,357412196725,3730956504,755823,5242,672,382,391702,0 125,2,2024-09-07 09:24:01:116,545761,545761,0,0,22856349,0,4534 125,3,2024-09-07 09:24:01:126,1,434,1,0,709,5389,434,0 126,0,2024-09-07 09:24:01:449,110379,0.4,113670,0.6,217485,0.4,293835,1.75 126,1,2024-09-07 09:24:00:556,763964,763964,0,0,358522071223,3712339182,759960,3710,294,365,391987,0 126,2,2024-09-07 09:24:00:610,551928,551928,0,0,23706864,0,4539 126,3,2024-09-07 09:24:00:909,1,434,1,0,268,5291,434,0 127,0,2024-09-07 09:24:01:598,103561,0.3,103814,0.5,207371,0.2,275423,1.50 127,1,2024-09-07 09:24:00:572,763134,763134,0,0,356839723939,3711265928,755788,6124,1222,364,392187,0 127,2,2024-09-07 09:24:00:651,550095,550095,0,0,21424892,0,3897 127,3,2024-09-07 09:24:01:268,1,434,1,0,968,4626,434,0 128,0,2024-09-07 09:24:01:522,107906,0.3,108206,0.4,215968,0.2,287443,1.50 128,1,2024-09-07 09:24:01:616,762909,762909,0,0,358993477317,3725782537,757997,4479,433,367,392031,0 128,2,2024-09-07 09:24:01:386,550609,550609,0,0,20761093,0,3171 128,3,2024-09-07 09:24:00:768,1,434,1,0,1082,6749,434,0 129,0,2024-09-07 09:24:01:006,112669,0.3,112088,0.5,224653,0.3,298528,1.75 129,1,2024-09-07 09:24:00:571,760588,760588,0,0,356736215265,3737512597,752912,6005,1671,379,391962,0 129,2,2024-09-07 09:24:00:686,546968,546968,0,0,22134837,0,4031 129,3,2024-09-07 09:24:00:692,1,434,1,0,506,5867,434,0 130,0,2024-09-07 09:24:01:851,112581,0.5,112144,0.6,225492,0.5,299124,1.75 130,1,2024-09-07 09:24:00:590,763327,763327,0,0,358474626956,3724100958,759289,3699,339,381,391825,0 130,2,2024-09-07 09:24:01:134,551116,551116,0,0,22626779,0,4067 130,3,2024-09-07 09:24:01:294,1,434,1,0,960,6764,434,0 131,0,2024-09-07 09:24:01:940,105433,0.3,105995,0.5,212769,0.3,282151,1.75 131,1,2024-09-07 09:24:01:835,763001,763001,0,0,357896065269,3737305656,757031,4921,1049,381,391865,0 131,2,2024-09-07 09:24:00:572,552765,552765,0,0,20824801,0,3979 131,3,2024-09-07 09:24:01:718,1,434,2,0,392,4825,434,0 132,0,2024-09-07 09:24:01:410,106820,0.4,107681,0.6,214491,0.4,285607,1.75 132,1,2024-09-07 09:24:00:594,759161,759161,0,0,356538708262,3753400341,748024,9028,2109,381,392532,0 132,2,2024-09-07 09:24:00:698,548162,548145,17,0,27256040,0,6451 132,3,2024-09-07 09:24:01:698,1,434,1,0,804,7586,434,0 133,0,2024-09-07 09:24:01:516,109272,0.4,111789,0.6,229356,0.4,298410,1.75 133,1,2024-09-07 09:24:00:592,759423,759423,0,0,356677182287,3758436786,748877,9031,1515,383,391914,0 133,2,2024-09-07 09:24:01:086,547828,547778,50,0,28625289,0,6861 133,3,2024-09-07 09:24:01:297,1,434,1,0,479,4585,434,0 134,0,2024-09-07 09:24:00:953,113032,0.6,112612,0.7,225507,0.6,301022,2.00 134,1,2024-09-07 09:24:00:638,760820,760820,0,0,356786385725,3741056431,751023,7762,2035,366,391718,0 134,2,2024-09-07 09:24:01:772,545295,545271,24,0,26218281,0,6207 134,3,2024-09-07 09:24:00:760,1,434,1,0,739,5487,434,0 135,0,2024-09-07 09:24:01:120,103413,0.7,103385,0.8,219433,0.8,282779,2.25 135,1,2024-09-07 09:24:01:604,760995,760995,0,0,357681584499,3761190553,751031,8593,1371,380,391805,0 135,2,2024-09-07 09:24:00:687,550228,550228,0,0,25630970,0,3981 135,3,2024-09-07 09:24:01:003,1,434,10,0,299,3764,434,0 136,0,2024-09-07 09:24:01:645,105595,0.5,105746,0.7,210480,0.4,281249,2.00 136,1,2024-09-07 09:24:01:441,761618,761618,0,0,357507159303,3748044996,753047,7607,964,381,391685,0 136,2,2024-09-07 09:24:01:133,551126,551126,0,0,24552172,0,3506 136,3,2024-09-07 09:24:01:108,1,434,1,0,637,5078,434,0 137,0,2024-09-07 09:24:00:938,113456,0.6,110517,0.7,216791,0.6,294582,2.00 137,1,2024-09-07 09:24:00:580,759849,759849,0,0,356894089216,3743515161,748292,9197,2360,366,391898,0 137,2,2024-09-07 09:24:01:717,548204,548204,0,0,27150100,0,3185 137,3,2024-09-07 09:24:00:777,1,434,1,0,484,5306,434,0 138,0,2024-09-07 09:24:01:781,110408,1.0,110343,1.0,221415,1.3,294415,2.25 138,1,2024-09-07 09:24:01:697,761175,761175,0,0,357456797118,3747438807,750932,8520,1723,368,391954,0 138,2,2024-09-07 09:24:00:590,544358,544358,0,0,24567442,0,4988 138,3,2024-09-07 09:24:00:612,1,434,3,0,1160,5720,434,0 139,0,2024-09-07 09:24:01:366,108061,1.5,108142,1.1,216744,2.2,289216,2.50 139,1,2024-09-07 09:24:00:579,757089,757089,0,0,355423609878,3776458378,742411,11310,3368,380,392058,0 139,2,2024-09-07 09:24:00:693,546624,546624,0,0,28556567,0,3097 139,3,2024-09-07 09:24:01:662,1,434,11,0,432,5048,434,0 140,0,2024-09-07 09:24:01:593,103930,0.3,103385,0.5,207657,0.2,276662,1.75 140,1,2024-09-07 09:24:01:540,765023,765023,0,0,358974485114,3699908918,761458,3124,441,365,391606,0 140,2,2024-09-07 09:24:00:687,551985,551984,1,0,21649178,0,5036 140,3,2024-09-07 09:24:00:769,1,434,1,0,297,3594,434,0 141,0,2024-09-07 09:24:01:704,108643,0.3,111592,0.5,213133,0.2,289400,1.50 141,1,2024-09-07 09:24:00:859,763800,763800,0,0,358819920569,3725846643,758113,4730,957,379,391614,0 141,2,2024-09-07 09:24:01:686,549894,549894,0,0,21425043,0,3360 141,3,2024-09-07 09:24:01:047,1,434,1,0,391,4951,434,0 142,0,2024-09-07 09:24:01:305,112002,0.3,111375,0.5,222701,0.3,296955,1.75 142,1,2024-09-07 09:24:00:602,762972,762972,0,0,358092923180,3731119208,758282,4292,398,382,392102,0 142,2,2024-09-07 09:24:01:299,544571,544539,32,0,23392836,0,6028 142,3,2024-09-07 09:24:01:751,1,434,1,0,484,4831,434,0 143,0,2024-09-07 09:24:01:376,110986,0.5,111234,0.6,222509,0.5,295632,1.75 143,1,2024-09-07 09:24:00:583,764120,764120,0,0,358778307964,3722490274,759075,4501,544,367,391705,0 143,2,2024-09-07 09:24:00:769,551212,551212,0,0,22517491,0,3123 143,3,2024-09-07 09:24:01:141,1,434,7,0,462,5358,434,0 144,0,2024-09-07 09:24:01:547,101805,0.6,104527,0.8,213125,0.5,278854,2.00 144,1,2024-09-07 09:24:00:573,760041,760041,0,0,356042510879,3736515863,752327,5880,1834,381,391649,0 144,2,2024-09-07 09:24:01:763,551795,551795,0,0,21296896,0,3673 144,3,2024-09-07 09:24:01:738,1,434,8,0,249,4411,434,0 145,0,2024-09-07 09:24:01:365,103884,0.5,103845,0.7,220460,0.4,283894,2.25 145,1,2024-09-07 09:24:00:556,759980,759980,0,0,356290973330,3746096011,750346,7972,1662,382,391759,0 145,2,2024-09-07 09:24:01:429,546832,546751,81,0,26338566,0,7814 145,3,2024-09-07 09:24:00:896,1,434,4,0,622,6183,434,0 146,0,2024-09-07 09:24:01:593,111694,0.6,111222,0.8,223838,0.6,296676,2.25 146,1,2024-09-07 09:24:01:590,760653,760653,0,0,356650489679,3751153222,748501,9036,3116,367,391770,0 146,2,2024-09-07 09:24:01:698,545794,545794,0,0,25101541,0,3290 146,3,2024-09-07 09:24:01:274,1,434,3,0,1520,8092,434,0 147,0,2024-09-07 09:24:01:742,112577,0.7,112539,0.8,224319,0.7,299766,2.25 147,1,2024-09-07 09:24:01:382,764051,764051,0,0,357978162642,3722317320,757410,5795,846,368,391791,0 147,2,2024-09-07 09:24:01:009,548224,548224,0,0,22564790,0,2968 147,3,2024-09-07 09:24:00:914,1,434,0,0,1626,7478,434,0 0,0,2024-09-07 09:24:11:731,108230,0.6,108200,0.7,229605,0.7,297540,2.00 0,1,2024-09-07 09:24:10:805,763185,763185,0,0,358091657926,3746215503,757719,5077,389,369,391896,0 0,2,2024-09-07 09:24:11:069,551854,551854,0,0,21919979,0,4480 0,3,2024-09-07 09:24:10:978,1,435,2,0,431,6370,435,0 1,0,2024-09-07 09:24:11:804,112071,1.1,111393,1.0,223398,1.6,299095,2.25 1,1,2024-09-07 09:24:10:578,762731,762731,0,0,357607179357,3741334573,756299,5104,1328,370,391859,0 1,2,2024-09-07 09:24:10:639,546503,546503,0,0,22198081,0,3380 1,3,2024-09-07 09:24:11:302,1,435,1,0,268,5265,435,0 2,0,2024-09-07 09:24:11:569,108084,0.6,108209,0.8,215776,0.6,287629,2.00 2,1,2024-09-07 09:24:10:858,765237,765237,0,0,359193701450,3726820361,761948,2994,295,380,391745,0 2,2,2024-09-07 09:24:11:266,552140,552140,0,0,20831070,0,3594 2,3,2024-09-07 09:24:10:697,1,435,1,0,357,4170,435,0 3,0,2024-09-07 09:24:11:760,104808,0.4,104591,0.6,209048,0.3,278478,2.00 3,1,2024-09-07 09:24:11:623,763937,763937,0,0,358361400706,3730622963,757715,5531,691,379,391716,0 3,2,2024-09-07 09:24:11:142,552048,552025,23,0,21991707,0,5851 3,3,2024-09-07 09:24:11:769,1,435,2,0,207,2849,435,0 4,0,2024-09-07 09:24:11:850,106410,0.4,109251,0.5,222877,0.3,291879,1.75 4,1,2024-09-07 09:24:10:607,761147,761147,0,0,356225819624,3763498618,749324,9315,2508,370,391992,0 4,2,2024-09-07 09:24:11:018,549030,549030,0,0,25203699,0,4528 4,3,2024-09-07 09:24:11:027,1,435,1,0,448,5594,435,0 5,0,2024-09-07 09:24:11:396,110643,0.4,111315,0.6,222007,0.4,294849,1.75 5,1,2024-09-07 09:24:10:764,762419,762419,0,0,357659780198,3763469858,751984,8275,2160,367,392005,0 5,2,2024-09-07 09:24:11:829,543404,543404,0,0,24987667,0,3582 5,3,2024-09-07 09:24:11:741,1,435,1,0,457,6016,435,0 6,0,2024-09-07 09:24:10:918,110615,0.5,110257,0.6,220411,0.4,293564,2.00 6,1,2024-09-07 09:24:10:755,763396,763396,0,0,358507269627,3744921734,755184,6819,1393,379,391702,0 6,2,2024-09-07 09:24:11:123,551544,551526,18,0,24960253,0,5535 6,3,2024-09-07 09:24:11:274,1,435,1,0,710,5454,435,0 7,0,2024-09-07 09:24:11:532,102965,0.5,103666,0.6,206472,0.4,274545,1.75 7,1,2024-09-07 09:24:10:851,762768,762768,0,0,358015227304,3753846198,752996,8308,1464,382,391747,0 7,2,2024-09-07 09:24:10:774,553065,553065,0,0,24282603,0,4791 7,3,2024-09-07 09:24:10:852,1,435,1,0,552,4967,435,0 8,0,2024-09-07 09:24:11:334,108159,0.3,107938,0.5,216392,0.3,288669,1.75 8,1,2024-09-07 09:24:11:026,761487,761487,0,0,357922080847,3761997850,749872,8984,2631,366,392853,0 8,2,2024-09-07 09:24:10:793,546390,546390,0,0,28504908,0,3250 8,3,2024-09-07 09:24:10:586,1,435,0,0,538,7078,435,0 9,0,2024-09-07 09:24:11:114,112039,0.4,108778,0.5,227706,0.3,298220,1.75 9,1,2024-09-07 09:24:10:553,761155,761155,0,0,357481554529,3764117427,750079,8655,2421,369,392001,0 9,2,2024-09-07 09:24:11:090,545845,545845,0,0,25290384,0,3360 9,3,2024-09-07 09:24:11:763,1,435,1,0,496,6173,435,0 10,0,2024-09-07 09:24:11:612,111852,0.4,111348,0.5,223643,0.3,297491,1.75 10,1,2024-09-07 09:24:10:585,762829,762829,0,0,358413320933,3756262987,752337,8869,1623,381,391741,0 10,2,2024-09-07 09:24:10:763,550678,550678,0,0,27934865,0,4264 10,3,2024-09-07 09:24:10:877,1,435,1,0,649,4621,435,0 11,0,2024-09-07 09:24:11:011,105606,0.4,102412,0.6,214304,0.4,282638,1.75 11,1,2024-09-07 09:24:10:571,763288,763288,0,0,358968650340,3764225709,751960,8702,2626,383,391756,0 11,2,2024-09-07 09:24:11:128,551501,551501,0,0,24576947,0,4130 11,3,2024-09-07 09:24:11:301,1,435,0,0,843,6226,435,0 12,0,2024-09-07 09:24:10:957,107850,0.4,107894,0.5,215884,0.3,286785,1.75 12,1,2024-09-07 09:24:10:935,763154,763154,0,0,358249431010,3733287551,757588,5064,502,370,391870,0 12,2,2024-09-07 09:24:11:546,550112,550112,0,0,23787434,0,3469 12,3,2024-09-07 09:24:11:059,1,435,1,0,386,6360,435,0 13,0,2024-09-07 09:24:11:335,113260,0.4,112795,0.6,225101,0.4,299858,1.75 13,1,2024-09-07 09:24:11:526,761817,761817,0,0,357400057522,3755708935,754196,5989,1632,382,391740,0 13,2,2024-09-07 09:24:10:618,551117,551117,0,0,21919911,0,3287 13,3,2024-09-07 09:24:11:766,1,435,2,0,522,6287,435,0 14,0,2024-09-07 09:24:10:563,112645,0.4,113743,0.6,224977,0.4,300383,2.00 14,1,2024-09-07 09:24:11:568,767194,767194,0,0,359877343460,3726165897,760972,5513,709,364,391673,0 14,2,2024-09-07 09:24:10:764,548758,548728,30,0,23919079,0,6104 14,3,2024-09-07 09:24:11:119,1,435,0,0,1168,4741,435,0 15,0,2024-09-07 09:24:11:555,106695,0.4,106576,0.6,213496,0.4,284168,2.00 15,1,2024-09-07 09:24:11:626,764261,764261,0,0,358892471543,3737142252,758036,5046,1179,381,391619,0 15,2,2024-09-07 09:24:10:998,553258,553258,0,0,19852813,0,3622 15,3,2024-09-07 09:24:11:405,1,435,1,0,1126,6971,435,0 16,0,2024-09-07 09:24:10:945,105687,0.5,106154,0.7,211623,0.5,281929,2.00 16,1,2024-09-07 09:24:10:585,764250,764250,0,0,358396219598,3745972993,757645,5492,1113,370,391917,0 16,2,2024-09-07 09:24:11:437,551050,551050,0,0,23538101,0,4719 16,3,2024-09-07 09:24:11:141,1,435,1,0,317,5427,435,0 17,0,2024-09-07 09:24:11:826,113762,0.6,111078,0.8,217191,0.6,295004,2.00 17,1,2024-09-07 09:24:10:601,762546,762546,0,0,357540138825,3750036860,754878,6152,1516,368,392075,0 17,2,2024-09-07 09:24:11:693,553025,553025,0,0,23328478,0,3779 17,3,2024-09-07 09:24:10:585,1,435,0,0,518,6878,435,0 18,0,2024-09-07 09:24:10:940,110024,0.7,110541,0.8,220362,0.8,294775,2.25 18,1,2024-09-07 09:24:11:646,765708,765708,0,0,359427441025,3723377578,761708,3621,379,367,391725,0 18,2,2024-09-07 09:24:11:754,549018,549018,0,0,20648357,0,3541 18,3,2024-09-07 09:24:10:896,1,435,5,0,163,3297,435,0 19,0,2024-09-07 09:24:11:543,108599,0.6,109085,0.8,216358,0.6,288133,2.25 19,1,2024-09-07 09:24:10:567,765899,765899,0,0,359385167873,3726264137,759950,5028,921,367,391777,0 19,2,2024-09-07 09:24:11:756,554328,554328,0,0,19612173,0,3988 19,3,2024-09-07 09:24:11:129,1,435,19,0,524,3481,435,0 20,0,2024-09-07 09:24:11:367,103902,0.4,103822,0.6,207466,0.4,277084,2.00 20,1,2024-09-07 09:24:10:571,763106,763106,0,0,357933468432,3742644078,756392,5913,801,369,391922,0 20,2,2024-09-07 09:24:10:931,551500,551500,0,0,23634617,0,3721 20,3,2024-09-07 09:24:10:597,1,435,4,0,414,6276,435,0 21,0,2024-09-07 09:24:11:165,108795,0.5,109005,0.6,217676,0.4,289285,1.75 21,1,2024-09-07 09:24:11:542,761277,761277,0,0,357486121381,3767225485,750310,8487,2480,368,392016,0 21,2,2024-09-07 09:24:11:108,547944,547924,20,0,28704230,0,5617 21,3,2024-09-07 09:24:11:410,1,435,6,0,713,5754,435,0 22,0,2024-09-07 09:24:11:721,111273,0.5,111626,0.7,223006,0.4,295429,2.00 22,1,2024-09-07 09:24:11:035,762490,762490,0,0,358213121917,3762423262,751336,8927,2227,382,391667,0 22,2,2024-09-07 09:24:10:760,545028,545002,26,0,22778714,0,6328 22,3,2024-09-07 09:24:11:079,1,435,1,0,228,3529,435,0 23,0,2024-09-07 09:24:11:368,110711,0.5,110032,0.7,220617,0.5,293918,2.25 23,1,2024-09-07 09:24:11:188,763478,763478,0,0,358734102149,3765091882,750368,9056,4054,365,391690,0 23,2,2024-09-07 09:24:11:093,553361,553361,0,0,22383532,0,3773 23,3,2024-09-07 09:24:11:754,1,435,7,0,720,5589,435,0 24,0,2024-09-07 09:24:10:868,105658,0.4,105083,0.5,211341,0.3,280075,1.75 24,1,2024-09-07 09:24:10:595,762723,762723,0,0,357347712759,3744667830,754719,6411,1593,367,392269,0 24,2,2024-09-07 09:24:11:070,551849,551849,0,0,26917125,0,3607 24,3,2024-09-07 09:24:11:691,1,435,1,0,468,5852,435,0 25,0,2024-09-07 09:24:11:382,110608,0.4,107704,0.6,211346,0.3,288002,1.75 25,1,2024-09-07 09:24:10:561,762136,762136,0,0,357815687477,3769207838,750115,9772,2249,371,391928,0 25,2,2024-09-07 09:24:11:611,548465,548465,0,0,27542334,0,3978 25,3,2024-09-07 09:24:11:002,1,435,7,0,532,4897,435,0 26,0,2024-09-07 09:24:11:740,111733,0.4,109075,0.6,228897,0.4,297688,2.00 26,1,2024-09-07 09:24:11:542,763852,763852,0,0,358226754497,3755222129,752219,9224,2409,380,391748,0 26,2,2024-09-07 09:24:10:860,548213,548213,0,0,27569547,0,2809 26,3,2024-09-07 09:24:11:712,1,435,2,0,796,5186,435,0 27,0,2024-09-07 09:24:11:723,112933,0.5,113047,0.6,224913,0.4,300253,2.25 27,1,2024-09-07 09:24:11:684,765105,765105,0,0,359533159177,3743975314,757836,6368,901,381,391626,0 27,2,2024-09-07 09:24:10:867,547317,547252,65,0,25231580,0,5699 27,3,2024-09-07 09:24:11:019,1,435,4,0,564,4124,435,0 28,0,2024-09-07 09:24:11:414,106731,0.4,106330,0.6,213381,0.3,284554,1.75 28,1,2024-09-07 09:24:10:816,764420,764420,0,0,358941359027,3745333028,757230,5491,1699,383,391698,0 28,2,2024-09-07 09:24:11:769,552139,552139,0,0,22902020,0,2915 28,3,2024-09-07 09:24:11:781,1,435,9,0,502,4708,435,0 29,0,2024-09-07 09:24:11:366,109652,0.3,106811,0.5,209503,0.3,285366,1.75 29,1,2024-09-07 09:24:11:562,767093,767093,0,0,360039102771,3724645163,762226,4132,735,368,391809,0 29,2,2024-09-07 09:24:10:862,550125,550125,0,0,21640876,0,4986 29,3,2024-09-07 09:24:10:971,1,435,4,0,459,5041,435,0 30,0,2024-09-07 09:24:11:473,111064,0.5,108005,0.7,226046,0.4,296388,2.00 30,1,2024-09-07 09:24:10:581,765797,765797,0,0,359850676676,3736174549,759728,5303,766,381,391672,0 30,2,2024-09-07 09:24:11:274,550976,550976,0,0,21214174,0,4192 30,3,2024-09-07 09:24:10:585,1,435,0,0,519,4190,435,0 31,0,2024-09-07 09:24:11:778,111711,0.5,112173,0.7,223903,0.5,299082,2.00 31,1,2024-09-07 09:24:10:578,769486,769486,0,0,361326069882,3702415621,766469,2431,586,356,391712,0 31,2,2024-09-07 09:24:11:275,547157,547157,0,0,22807276,0,3525 31,3,2024-09-07 09:24:11:706,1,435,0,0,220,3785,435,0 32,0,2024-09-07 09:24:11:465,108440,0.3,109191,0.5,217311,0.3,289318,1.75 32,1,2024-09-07 09:24:10:804,765569,765569,0,0,358764076141,3731197994,760452,4436,681,381,391646,0 32,2,2024-09-07 09:24:10:946,553175,553175,0,0,20557150,0,3922 32,3,2024-09-07 09:24:11:020,1,435,14,0,304,3411,435,0 33,0,2024-09-07 09:24:11:569,104796,0.3,104549,0.4,209527,0.2,278964,1.50 33,1,2024-09-07 09:24:10:586,766239,766239,0,0,359669946689,3728857783,759725,5409,1105,368,391730,0 33,2,2024-09-07 09:24:10:764,552346,552311,35,0,22948757,0,7012 33,3,2024-09-07 09:24:10:898,1,435,1,0,329,4157,435,0 34,0,2024-09-07 09:24:10:931,109844,0.3,112963,0.4,215930,0.2,291178,1.75 34,1,2024-09-07 09:24:11:047,767590,767590,0,0,360861629928,3709484210,765847,1731,12,367,391562,0 34,2,2024-09-07 09:24:10:766,551799,551799,0,0,21294767,0,4562 34,3,2024-09-07 09:24:11:691,1,435,9,0,299,3266,435,0 35,0,2024-09-07 09:24:10:860,110117,0.4,110735,0.5,222442,0.3,295617,1.75 35,1,2024-09-07 09:24:11:071,765533,765533,0,0,359318023009,3723320532,760991,3684,858,382,391769,0 35,2,2024-09-07 09:24:11:591,546374,546374,0,0,22883163,0,4055 35,3,2024-09-07 09:24:10:914,1,435,1,0,418,4515,435,0 36,0,2024-09-07 09:24:11:530,110694,0.5,110618,0.7,221252,0.4,293917,2.00 36,1,2024-09-07 09:24:10:583,764578,764578,0,0,358387432290,3741356382,754929,7649,2000,366,391759,0 36,2,2024-09-07 09:24:11:765,552667,552667,0,0,24236535,0,3875 36,3,2024-09-07 09:24:10:862,1,435,1,0,416,6085,435,0 37,0,2024-09-07 09:24:11:461,103031,0.4,103323,0.6,206329,0.4,274866,2.00 37,1,2024-09-07 09:24:10:578,764143,764136,0,7,358281508144,3740143464,755316,6544,2276,365,391770,0 37,2,2024-09-07 09:24:11:146,550620,550605,15,0,24124910,0,5815 37,3,2024-09-07 09:24:11:772,1,435,1,0,888,6383,435,0 38,0,2024-09-07 09:24:11:436,107520,0.4,104328,0.6,218241,0.3,286445,2.00 38,1,2024-09-07 09:24:11:606,765247,765247,0,0,359034295215,3751500887,754502,8584,2161,368,391821,0 38,2,2024-09-07 09:24:10:763,550280,550233,47,0,23867356,0,6710 38,3,2024-09-07 09:24:10:997,1,435,3,0,689,5472,435,0 39,0,2024-09-07 09:24:11:773,114412,0.6,111900,0.7,218214,0.6,297291,2.00 39,1,2024-09-07 09:24:10:718,763364,763364,0,0,358584548919,3757598911,750922,9751,2691,365,391658,0 39,2,2024-09-07 09:24:11:419,547246,547246,0,0,22681178,0,3391 39,3,2024-09-07 09:24:10:719,1,435,2,0,324,4895,435,0 40,0,2024-09-07 09:24:11:520,110762,0.8,111373,1.0,222149,0.9,296063,2.75 40,1,2024-09-07 09:24:10:578,764059,764059,0,0,358202729125,3750228673,753239,8776,2044,368,391668,0 40,2,2024-09-07 09:24:11:311,550245,550244,1,0,26465406,0,5137 40,3,2024-09-07 09:24:11:149,1,435,26,0,1028,5991,435,0 41,0,2024-09-07 09:24:11:043,105286,1.3,107593,1.2,205237,2.0,279249,3.00 41,1,2024-09-07 09:24:10:768,762930,762930,0,0,358742777855,3750831460,753746,8144,1040,369,391742,0 41,2,2024-09-07 09:24:10:759,550326,550326,0,0,25307640,0,4277 41,3,2024-09-07 09:24:11:677,1,435,1,0,366,4465,435,0 42,0,2024-09-07 09:24:11:477,106591,0.8,106605,0.9,213299,0.8,282633,2.50 42,1,2024-09-07 09:24:11:439,761058,761058,0,0,357465281966,3755453934,748974,10053,2031,380,391675,0 42,2,2024-09-07 09:24:11:133,549084,549084,0,0,25452124,0,3790 42,3,2024-09-07 09:24:11:009,1,435,1,0,892,4273,435,0 43,0,2024-09-07 09:24:10:918,111259,0.8,108322,0.9,226809,0.8,297078,2.25 43,1,2024-09-07 09:24:10:578,764381,764381,0,0,358940175556,3749095420,754000,8642,1739,366,391696,0 43,2,2024-09-07 09:24:11:738,549589,549589,0,0,25057786,0,3812 43,3,2024-09-07 09:24:11:749,1,435,1,0,548,5730,435,0 44,0,2024-09-07 09:24:10:872,112845,0.5,112989,0.6,226511,0.4,300982,2.00 44,1,2024-09-07 09:24:10:572,766049,766049,0,0,358947045846,3709983071,759552,5179,1318,356,391809,0 44,2,2024-09-07 09:24:11:279,547619,547619,0,0,21010317,0,4344 44,3,2024-09-07 09:24:11:097,1,435,5,0,817,5074,435,0 45,0,2024-09-07 09:24:11:774,105583,0.5,103105,0.7,216282,0.4,284687,2.00 45,1,2024-09-07 09:24:11:010,764979,764979,0,0,359471480394,3734512085,759319,5087,573,382,391917,0 45,2,2024-09-07 09:24:11:268,552753,552753,0,0,21324237,0,3596 45,3,2024-09-07 09:24:10:936,1,435,1,0,271,3704,435,0 46,0,2024-09-07 09:24:10:950,105223,0.5,104989,0.7,210448,0.4,279794,2.00 46,1,2024-09-07 09:24:10:587,766540,766540,0,0,359710457268,3714809001,761869,4079,592,366,391709,0 46,2,2024-09-07 09:24:10:604,551684,551684,0,0,21492688,0,4443 46,3,2024-09-07 09:24:11:131,1,435,1,0,908,5420,435,0 47,0,2024-09-07 09:24:11:106,110665,0.4,110950,0.6,222120,0.4,294201,1.75 47,1,2024-09-07 09:24:10:586,767362,767362,0,0,359596443609,3715901189,762487,4037,838,366,391641,0 47,2,2024-09-07 09:24:10:921,553248,553248,0,0,21090319,0,4477 47,3,2024-09-07 09:24:11:120,1,435,0,0,600,5259,435,0 48,0,2024-09-07 09:24:11:502,111646,0.3,111574,0.5,222283,0.2,296786,1.75 48,1,2024-09-07 09:24:11:029,765131,765131,0,0,359695652175,3734774037,760418,4257,456,384,391710,0 48,2,2024-09-07 09:24:10:699,546592,546592,0,0,19653774,0,3411 48,3,2024-09-07 09:24:10:753,1,435,1,0,339,3471,435,0 49,0,2024-09-07 09:24:11:729,111924,0.4,110037,0.5,213425,0.3,292043,1.75 49,1,2024-09-07 09:24:11:030,764982,764982,0,0,358686557065,3730800075,759826,3950,1206,382,391809,0 49,2,2024-09-07 09:24:11:797,552639,552639,0,0,21718243,0,4426 49,3,2024-09-07 09:24:11:416,1,435,17,0,992,5203,435,0 50,0,2024-09-07 09:24:11:525,104446,0.3,102844,0.4,207681,0.2,276841,1.75 50,1,2024-09-07 09:24:11:009,767629,767629,0,0,360063039211,3721721194,762698,4357,574,368,391565,0 50,2,2024-09-07 09:24:11:069,552345,552345,0,0,19950123,0,4490 50,3,2024-09-07 09:24:11:293,1,435,0,0,567,4365,435,0 51,0,2024-09-07 09:24:11:687,111650,0.3,109331,0.4,213260,0.2,290870,1.75 51,1,2024-09-07 09:24:11:683,767422,767422,0,0,360527111853,3716964938,763469,2904,1049,365,391706,0 51,2,2024-09-07 09:24:11:329,551126,551126,0,0,18934077,0,3337 51,3,2024-09-07 09:24:11:028,1,435,1,0,678,3506,435,0 52,0,2024-09-07 09:24:11:417,111646,0.5,111594,0.7,223310,0.5,296307,2.00 52,1,2024-09-07 09:24:10:596,764342,764342,0,0,358112022684,3744866144,754001,8988,1353,368,391722,0 52,2,2024-09-07 09:24:11:764,543242,543204,38,0,25314460,0,6742 52,3,2024-09-07 09:24:10:676,1,435,5,0,1782,5882,435,0 53,0,2024-09-07 09:24:11:754,110236,0.7,107071,0.8,223892,0.7,293694,2.25 53,1,2024-09-07 09:24:10:774,762529,762529,0,0,358682273402,3760030006,750608,8961,2960,367,391702,0 53,2,2024-09-07 09:24:11:301,552229,552228,1,0,23006111,0,5455 53,3,2024-09-07 09:24:10:697,1,435,1,0,308,3827,435,0 54,0,2024-09-07 09:24:11:632,103560,0.5,103808,0.7,206675,0.4,275877,2.25 54,1,2024-09-07 09:24:10:584,764870,764870,0,0,359128082283,3731845774,757379,6250,1241,366,391659,0 54,2,2024-09-07 09:24:10:866,552703,552671,32,0,26347676,0,6397 54,3,2024-09-07 09:24:10:764,1,435,5,0,676,6252,435,0 55,0,2024-09-07 09:24:11:775,104142,0.5,107546,0.7,217512,0.4,283735,2.25 55,1,2024-09-07 09:24:10:764,765239,765239,0,0,358342280103,3722201027,757603,6541,1095,365,391731,0 55,2,2024-09-07 09:24:10:729,549572,549516,56,0,25242216,0,7239 55,3,2024-09-07 09:24:10:677,1,435,1,0,304,4356,435,0 56,0,2024-09-07 09:24:11:567,114169,1.3,107733,1.2,221909,1.8,296779,2.75 56,1,2024-09-07 09:24:10:589,760697,760697,0,0,357522782728,3774107729,749178,9146,2373,381,391867,0 56,2,2024-09-07 09:24:11:303,547684,547562,122,0,26045875,0,7432 56,3,2024-09-07 09:24:11:066,1,435,1,0,705,5250,435,0 57,0,2024-09-07 09:24:10:959,110999,1.7,110728,1.3,222104,2.4,297376,3.25 57,1,2024-09-07 09:24:10:987,763406,763406,0,0,357631886563,3746031848,754795,7524,1087,366,392032,0 57,2,2024-09-07 09:24:11:318,549775,549775,0,0,26942167,0,3317 57,3,2024-09-07 09:24:11:747,1,435,6,0,455,4995,435,0 58,0,2024-09-07 09:24:10:559,104958,0.8,101899,1.0,213289,0.9,279658,2.50 58,1,2024-09-07 09:24:10:586,763438,763435,0,3,359387768504,3762882017,752318,8813,2304,367,391603,3 58,2,2024-09-07 09:24:11:071,551106,551106,0,0,25037428,0,2902 58,3,2024-09-07 09:24:11:070,1,435,28,0,1043,4660,435,0 59,0,2024-09-07 09:24:11:738,106080,0.7,105746,0.9,211332,0.8,280456,2.50 59,1,2024-09-07 09:24:10:804,763505,763505,0,0,358488484252,3753481737,753285,8411,1809,369,391653,0 59,2,2024-09-07 09:24:10:586,549312,549312,0,0,24893868,0,3727 59,3,2024-09-07 09:24:11:741,1,435,51,0,1015,5762,435,0 60,0,2024-09-07 09:24:11:725,111275,0.5,111270,0.7,223326,0.5,297291,1.75 60,1,2024-09-07 09:24:10:779,765902,765902,0,0,360287688165,3738444971,760758,4423,721,370,392031,0 60,2,2024-09-07 09:24:11:150,550993,550993,0,0,23149852,0,3811 60,3,2024-09-07 09:24:11:259,1,435,1,0,409,5006,435,0 61,0,2024-09-07 09:24:11:527,111787,0.7,112488,0.8,223860,0.8,298748,2.00 61,1,2024-09-07 09:24:10:770,763851,763851,0,0,358033684051,3749822592,755534,6886,1431,382,392127,0 61,2,2024-09-07 09:24:11:116,546973,546906,67,0,23382825,0,6411 61,3,2024-09-07 09:24:11:697,1,435,1,0,479,5817,435,0 62,0,2024-09-07 09:24:11:730,108905,0.5,111641,0.7,213051,0.5,289069,2.00 62,1,2024-09-07 09:24:11:119,768412,768406,0,6,360763281727,3719021683,764590,3555,261,365,391975,6 62,2,2024-09-07 09:24:11:643,550504,550503,1,0,23612271,0,5555 62,3,2024-09-07 09:24:11:151,1,435,8,0,482,3764,435,0 63,0,2024-09-07 09:24:11:450,104979,0.4,104822,0.5,210192,0.3,279271,1.75 63,1,2024-09-07 09:24:10:808,766151,766145,0,6,359502689403,3731810554,761171,4241,733,381,391800,6 63,2,2024-09-07 09:24:10:764,551879,551879,0,0,21541576,0,4369 63,3,2024-09-07 09:24:11:741,1,435,1,0,667,4425,435,0 64,0,2024-09-07 09:24:11:521,109249,0.5,109326,0.6,218083,0.4,290357,1.75 64,1,2024-09-07 09:24:10:762,765042,765042,0,0,359474841094,3744095342,758361,4978,1703,370,391783,0 64,2,2024-09-07 09:24:11:146,554634,554615,19,0,21350003,0,6121 64,3,2024-09-07 09:24:11:145,1,435,0,0,265,4219,435,0 65,0,2024-09-07 09:24:11:717,109953,0.7,110181,0.8,220127,0.8,293103,2.25 65,1,2024-09-07 09:24:10:876,763047,763047,0,0,357688901743,3737075460,757933,4574,540,381,391901,0 65,2,2024-09-07 09:24:11:706,546326,546326,0,0,24933217,0,3367 65,3,2024-09-07 09:24:11:683,1,435,0,0,782,5097,435,0 66,0,2024-09-07 09:24:11:778,110171,0.5,109980,0.7,219780,0.5,292262,2.25 66,1,2024-09-07 09:24:11:293,765286,765286,0,0,358811807902,3737238324,759787,4973,526,380,391743,0 66,2,2024-09-07 09:24:11:132,553832,553832,0,0,21811030,0,4956 66,3,2024-09-07 09:24:11:081,1,435,1,0,291,3733,435,0 67,0,2024-09-07 09:24:11:413,103744,0.4,103472,0.6,207454,0.4,275712,2.00 67,1,2024-09-07 09:24:10:766,765387,765386,0,1,359199757367,3740284628,760034,4560,792,380,391787,1 67,2,2024-09-07 09:24:10:585,554724,554724,0,0,20995100,0,3622 67,3,2024-09-07 09:24:11:763,1,435,0,0,392,4246,435,0 68,0,2024-09-07 09:24:10:579,108233,0.5,108173,0.7,215385,0.5,288492,2.00 68,1,2024-09-07 09:24:10:575,762528,762528,0,0,357631606476,3759990956,752472,7110,2946,381,391953,0 68,2,2024-09-07 09:24:11:049,548025,547925,100,0,27953240,0,8578 68,3,2024-09-07 09:24:10:728,1,435,1,0,417,4975,435,0 69,0,2024-09-07 09:24:11:767,111204,0.8,111997,0.8,223015,0.9,295754,2.25 69,1,2024-09-07 09:24:11:020,761967,761967,0,0,356660441676,3757388701,752193,7503,2271,384,391994,0 69,2,2024-09-07 09:24:11:738,545874,545845,29,0,29404938,0,6912 69,3,2024-09-07 09:24:10:761,1,435,0,0,698,6641,435,0 70,0,2024-09-07 09:24:11:533,110742,1.1,111008,1.1,223241,0.9,295481,2.50 70,1,2024-09-07 09:24:10:804,765763,765763,0,0,359652638152,3731172528,759782,5320,661,366,391725,0 70,2,2024-09-07 09:24:11:331,550831,550831,0,0,24663906,0,4323 70,3,2024-09-07 09:24:10:746,1,435,1,0,854,4995,435,0 71,0,2024-09-07 09:24:11:358,104814,0.7,104499,0.9,210090,0.8,280574,2.50 71,1,2024-09-07 09:24:11:625,764521,764521,0,0,359318572712,3746149904,756079,7639,803,368,391738,0 71,2,2024-09-07 09:24:11:069,551427,551427,0,0,24689436,0,4352 71,3,2024-09-07 09:24:11:763,1,435,0,0,644,5579,435,0 72,0,2024-09-07 09:24:11:041,110683,0.5,108192,0.7,211059,0.5,287182,2.00 72,1,2024-09-07 09:24:11:027,763005,763005,0,0,358288900580,3757695000,752137,8858,2010,369,391819,0 72,2,2024-09-07 09:24:11:764,548883,548883,0,0,27070100,0,3983 72,3,2024-09-07 09:24:11:772,1,435,72,0,564,6526,435,0 73,0,2024-09-07 09:24:11:133,109528,0.4,112225,0.6,229419,0.4,298126,2.00 73,1,2024-09-07 09:24:10:780,764589,764589,0,0,358960124233,3728094143,759246,4934,409,367,391858,0 73,2,2024-09-07 09:24:11:743,549019,549019,0,0,26457171,0,3701 73,3,2024-09-07 09:24:10:999,1,435,2,0,486,5761,435,0 74,0,2024-09-07 09:24:11:328,113691,0.5,116265,0.7,221594,0.5,301408,2.25 74,1,2024-09-07 09:24:10:635,763483,763483,0,0,358407959542,3740551730,755515,6373,1595,381,391762,0 74,2,2024-09-07 09:24:11:002,547782,547782,0,0,24264739,0,4253 74,3,2024-09-07 09:24:11:442,1,435,1,0,522,5584,435,0 75,0,2024-09-07 09:24:11:763,107357,0.5,106721,0.7,213956,0.5,285820,2.25 75,1,2024-09-07 09:24:11:591,764575,764575,0,0,358510318806,3738292546,757722,6025,828,380,391739,0 75,2,2024-09-07 09:24:11:354,550331,550331,0,0,27052820,0,4766 75,3,2024-09-07 09:24:11:068,1,435,3,0,702,5739,435,0 76,0,2024-09-07 09:24:10:589,105274,0.5,104490,0.7,209714,0.5,280651,2.25 76,1,2024-09-07 09:24:10:804,764428,764428,0,0,358568177700,3739839189,758997,4605,826,382,391692,0 76,2,2024-09-07 09:24:11:069,553337,553336,1,0,23585606,0,5144 76,3,2024-09-07 09:24:11:149,1,435,1,0,175,3965,435,0 77,0,2024-09-07 09:24:11:725,109996,0.5,110385,0.7,220909,0.5,293175,2.00 77,1,2024-09-07 09:24:10:827,764861,764861,0,0,358539090574,3740726097,759029,5326,506,381,391869,0 77,2,2024-09-07 09:24:11:296,550885,550885,0,0,23086119,0,3890 77,3,2024-09-07 09:24:11:098,1,435,1,0,401,4822,435,0 78,0,2024-09-07 09:24:11:718,111449,0.5,110881,0.6,222732,0.4,295426,2.00 78,1,2024-09-07 09:24:10:613,765094,765094,0,0,358913823018,3737869967,757227,6300,1567,367,391670,0 78,2,2024-09-07 09:24:11:404,547087,547074,13,0,21794309,0,8313 78,3,2024-09-07 09:24:11:133,1,435,1,0,181,3723,435,0 79,0,2024-09-07 09:24:11:347,105349,0.4,107832,0.6,220880,0.4,287028,2.25 79,1,2024-09-07 09:24:10:571,766496,766496,0,0,358378938019,3714741853,759902,5285,1309,367,391682,0 79,2,2024-09-07 09:24:11:069,553089,553089,0,0,21331498,0,4195 79,3,2024-09-07 09:24:10:750,1,435,1,0,418,5490,435,0 80,0,2024-09-07 09:24:11:082,103926,0.5,106823,0.6,204380,0.4,276491,2.00 80,1,2024-09-07 09:24:11:629,764584,764584,0,0,358841990720,3736856037,759026,5135,423,368,392269,0 80,2,2024-09-07 09:24:11:093,554147,554147,0,0,21547478,0,4433 80,3,2024-09-07 09:24:10:586,1,435,1,0,190,5010,435,0 81,0,2024-09-07 09:24:11:566,108935,0.5,111570,0.7,212830,0.5,289561,2.00 81,1,2024-09-07 09:24:11:655,763409,763409,0,0,358269086935,3742580406,757398,5470,541,382,391879,0 81,2,2024-09-07 09:24:11:125,549618,549555,63,0,23907664,0,5932 81,3,2024-09-07 09:24:11:117,1,435,1,0,719,5138,435,0 82,0,2024-09-07 09:24:11:532,111035,0.5,111192,0.7,223080,0.5,295660,2.00 82,1,2024-09-07 09:24:10:583,766001,765997,0,4,359323845334,3736870022,761298,3880,819,381,391768,4 82,2,2024-09-07 09:24:11:697,547659,547659,0,0,20294864,0,4484 82,3,2024-09-07 09:24:11:755,1,435,1,0,363,4600,435,0 83,0,2024-09-07 09:24:11:535,110961,0.6,110930,0.8,221254,0.7,293893,2.25 83,1,2024-09-07 09:24:10:553,763792,763792,0,0,358065130586,3733423334,758261,5081,450,382,391709,0 83,2,2024-09-07 09:24:10:764,552200,552200,0,0,21066339,0,3393 83,3,2024-09-07 09:24:10:754,1,435,1,0,1260,5522,435,0 84,0,2024-09-07 09:24:11:764,104409,0.6,104415,0.8,208140,0.5,278255,2.25 84,1,2024-09-07 09:24:11:044,763461,763461,0,0,358084309184,3739351525,755757,6661,1043,367,391967,0 84,2,2024-09-07 09:24:10:596,551671,551641,30,0,28387883,0,5971 84,3,2024-09-07 09:24:11:152,1,435,1,0,908,6431,435,0 85,0,2024-09-07 09:24:11:006,103724,0.5,103772,0.7,220199,0.5,285020,2.00 85,1,2024-09-07 09:24:10:584,761296,761296,0,0,357452819512,3769215332,750478,8898,1920,381,392006,0 85,2,2024-09-07 09:24:10:865,549267,549267,0,0,26285685,0,3656 85,3,2024-09-07 09:24:10:691,1,435,2,0,789,5262,435,0 86,0,2024-09-07 09:24:10:881,111677,0.7,114957,0.8,219966,0.7,297284,2.25 86,1,2024-09-07 09:24:10:829,763729,763729,0,0,358267001580,3750226524,755054,7234,1441,366,391961,0 86,2,2024-09-07 09:24:10:855,545798,545797,1,0,28405081,0,5004 86,3,2024-09-07 09:24:10:587,1,435,0,0,308,6242,435,0 87,0,2024-09-07 09:24:11:289,112640,1.0,112429,0.9,224958,1.3,300667,2.50 87,1,2024-09-07 09:24:10:560,762405,762405,0,0,357735435208,3748222488,752453,8358,1594,366,392076,0 87,2,2024-09-07 09:24:11:069,548825,548819,6,0,25153265,0,6323 87,3,2024-09-07 09:24:11:795,1,435,1,0,473,6765,435,0 88,0,2024-09-07 09:24:11:449,106389,0.4,107199,0.6,213389,0.4,284349,1.75 88,1,2024-09-07 09:24:10:571,762174,762174,0,0,357572580615,3744697317,752450,7716,2008,365,392084,0 88,2,2024-09-07 09:24:10:693,552041,552041,0,0,27672709,0,4465 88,3,2024-09-07 09:24:11:266,1,435,6,0,435,5267,435,0 89,0,2024-09-07 09:24:11:798,109643,0.4,106170,0.6,210125,0.4,285487,1.75 89,1,2024-09-07 09:24:10:578,762180,762180,0,0,357806581221,3760014261,753005,7748,1427,382,391866,0 89,2,2024-09-07 09:24:11:136,549506,549506,0,0,26009151,0,3173 89,3,2024-09-07 09:24:11:792,1,435,25,0,468,7576,435,0 90,0,2024-09-07 09:24:11:633,108166,0.5,111101,0.6,226559,0.4,296436,2.00 90,1,2024-09-07 09:24:10:594,763036,763036,0,0,358305179720,3749526728,756261,6263,512,380,391825,0 90,2,2024-09-07 09:24:11:416,548813,548813,0,0,27294224,0,3060 90,3,2024-09-07 09:24:10:930,1,435,1,0,322,5340,435,0 91,0,2024-09-07 09:24:10:928,112622,0.5,108968,0.7,227680,0.5,299510,1.75 91,1,2024-09-07 09:24:10:576,762142,762142,0,0,357621848603,3758742523,752880,7858,1404,381,392047,0 91,2,2024-09-07 09:24:11:335,548165,548165,0,0,24152830,0,2896 91,3,2024-09-07 09:24:10:603,1,435,0,0,216,4272,435,0 92,0,2024-09-07 09:24:11:451,109332,0.4,112045,0.6,213889,0.4,289423,1.75 92,1,2024-09-07 09:24:10:585,764178,764178,0,0,358212153503,3739308625,758640,4813,725,381,392136,0 92,2,2024-09-07 09:24:11:369,552684,552684,0,0,21708858,0,3259 92,3,2024-09-07 09:24:11:009,1,435,1,0,167,3806,435,0 93,0,2024-09-07 09:24:10:958,105512,0.4,107961,0.5,206231,0.3,279432,1.75 93,1,2024-09-07 09:24:10:812,763959,763959,0,0,358636922320,3743385235,755793,6781,1385,366,391776,0 93,2,2024-09-07 09:24:10:928,551544,551544,0,0,26376174,0,4845 93,3,2024-09-07 09:24:11:406,1,435,0,0,190,3836,435,0 94,0,2024-09-07 09:24:11:610,109206,0.3,110177,0.5,219779,0.3,292075,1.75 94,1,2024-09-07 09:24:10:567,764521,764521,0,0,358761403615,3741275422,759725,4586,210,381,391850,0 94,2,2024-09-07 09:24:10:761,550899,550899,0,0,22076895,0,2443 94,3,2024-09-07 09:24:11:691,1,435,1,0,576,5699,435,0 95,0,2024-09-07 09:24:11:388,110900,0.4,110684,0.5,221935,0.3,295663,1.75 95,1,2024-09-07 09:24:10:853,765374,765374,0,0,359909979122,3739656399,759320,5616,438,365,391786,0 95,2,2024-09-07 09:24:11:020,546034,546034,0,0,21792573,0,3308 95,3,2024-09-07 09:24:11:709,1,435,1,0,718,6253,435,0 96,0,2024-09-07 09:24:11:047,110572,0.4,110989,0.5,221313,0.3,293424,1.75 96,1,2024-09-07 09:24:11:590,764291,764291,0,0,357761454414,3732500543,758739,4667,885,384,391955,0 96,2,2024-09-07 09:24:11:268,553120,553120,0,0,22699433,0,4180 96,3,2024-09-07 09:24:11:152,1,435,4,0,411,4829,435,0 97,0,2024-09-07 09:24:11:322,103795,0.3,103367,0.5,207411,0.2,275607,1.50 97,1,2024-09-07 09:24:10:807,765467,765467,0,0,359589742050,3729943936,760313,4222,932,367,392140,0 97,2,2024-09-07 09:24:10:607,553054,553054,0,0,21815829,0,3679 97,3,2024-09-07 09:24:10:617,1,435,7,0,242,5146,435,0 98,0,2024-09-07 09:24:11:726,107833,0.3,107963,0.4,216556,0.2,288353,1.50 98,1,2024-09-07 09:24:10:579,764593,764593,0,0,358836205083,3737956558,759628,4173,792,382,391997,0 98,2,2024-09-07 09:24:10:770,551011,551011,0,0,21989832,0,4336 98,3,2024-09-07 09:24:10:699,1,435,1,0,840,7210,435,0 99,0,2024-09-07 09:24:11:445,111817,0.3,112516,0.5,223472,0.3,298430,1.75 99,1,2024-09-07 09:24:11:724,765071,765071,0,0,358521092065,3730577253,760122,4112,837,381,392069,0 99,2,2024-09-07 09:24:11:418,547951,547951,0,0,25781196,0,4276 99,3,2024-09-07 09:24:10:584,1,435,1,0,606,4726,435,0 100,0,2024-09-07 09:24:11:474,111702,0.8,112042,1.0,223234,1.0,298070,2.50 100,1,2024-09-07 09:24:10:565,760794,760794,0,0,357122151400,3769600224,750058,8686,2050,381,391989,0 100,2,2024-09-07 09:24:11:817,549143,549132,11,0,25331225,0,5417 100,3,2024-09-07 09:24:11:737,1,435,1,0,559,6935,435,0 101,0,2024-09-07 09:24:11:717,108017,0.8,105240,0.9,206522,0.6,282734,2.25 101,1,2024-09-07 09:24:10:557,761294,761294,0,0,357359982857,3756707115,750601,8569,2124,368,391847,0 101,2,2024-09-07 09:24:11:755,548700,548700,0,0,30258993,0,4871 101,3,2024-09-07 09:24:10:943,1,435,1,0,579,5904,435,0 102,0,2024-09-07 09:24:10:971,104358,0.6,107571,0.7,218079,0.5,285965,2.00 102,1,2024-09-07 09:24:11:151,761802,761802,0,0,358011291246,3759676155,751919,8119,1764,369,391891,0 102,2,2024-09-07 09:24:11:742,550667,550613,54,0,24392167,0,6768 102,3,2024-09-07 09:24:11:623,1,435,0,0,466,4765,435,0 103,0,2024-09-07 09:24:11:590,115991,0.5,115989,0.7,218625,0.5,300449,2.00 103,1,2024-09-07 09:24:11:628,760943,760943,0,0,357331764280,3771593215,748943,8934,3066,381,391829,0 103,2,2024-09-07 09:24:10:586,547881,547881,0,0,26993110,0,3766 103,3,2024-09-07 09:24:10:762,1,435,2,0,916,4814,435,0 104,0,2024-09-07 09:24:11:008,111759,0.8,112143,1.0,223279,0.7,299406,2.25 104,1,2024-09-07 09:24:11:615,763183,763183,0,0,357809391029,3756441406,752339,8980,1864,365,392168,0 104,2,2024-09-07 09:24:11:686,546973,546973,0,0,25853834,0,3941 104,3,2024-09-07 09:24:11:416,1,435,4,0,1245,8589,435,0 105,0,2024-09-07 09:24:11:082,105748,0.8,102989,1.0,215931,0.8,283772,2.50 105,1,2024-09-07 09:24:10:579,763927,763927,0,0,358989488617,3759672327,754477,8022,1428,366,392009,0 105,2,2024-09-07 09:24:11:321,550515,550515,0,0,25772002,0,3509 105,3,2024-09-07 09:24:11:305,1,435,1,0,399,6598,435,0 106,0,2024-09-07 09:24:10:945,102051,0.7,104515,0.8,213963,0.7,280218,2.25 106,1,2024-09-07 09:24:11:772,762656,762656,0,0,358043978414,3757687049,751600,9609,1447,369,391914,0 106,2,2024-09-07 09:24:10:760,549973,549973,0,0,25230884,0,2920 106,3,2024-09-07 09:24:10:678,1,435,3,0,470,5523,435,0 107,0,2024-09-07 09:24:11:103,110236,0.9,110453,0.9,220597,1.0,294205,2.25 107,1,2024-09-07 09:24:10:588,761452,761452,0,0,357445583363,3765886944,751224,9175,1053,381,392234,0 107,2,2024-09-07 09:24:11:296,549181,549180,1,0,26180171,0,5024 107,3,2024-09-07 09:24:11:769,1,435,1,0,370,6534,435,0 108,0,2024-09-07 09:24:11:777,110916,0.5,111723,0.6,222110,0.4,296604,1.75 108,1,2024-09-07 09:24:11:298,764331,764331,0,0,359200537547,3744479950,758186,5400,745,368,391857,0 108,2,2024-09-07 09:24:11:770,545484,545484,0,0,24387928,0,4246 108,3,2024-09-07 09:24:11:342,1,435,22,0,749,8027,435,0 109,0,2024-09-07 09:24:11:804,109772,0.4,108979,0.6,217890,0.4,291215,1.75 109,1,2024-09-07 09:24:10:587,761615,761615,0,0,357899429225,3756114561,754177,6251,1187,382,392132,0 109,2,2024-09-07 09:24:10:922,550194,550194,0,0,24040612,0,3617 109,3,2024-09-07 09:24:11:141,1,435,17,0,379,5051,435,0 110,0,2024-09-07 09:24:11:812,103684,0.3,101025,0.5,211703,0.3,277097,1.75 110,1,2024-09-07 09:24:11:646,765593,765593,0,0,359781414456,3733197734,760202,4213,1178,369,392045,0 110,2,2024-09-07 09:24:11:317,552445,552445,0,0,22989209,0,4067 110,3,2024-09-07 09:24:10:697,1,435,1,0,722,6130,435,0 111,0,2024-09-07 09:24:11:412,109257,0.4,108470,0.5,217590,0.3,290811,1.75 111,1,2024-09-07 09:24:11:000,765463,765463,0,0,360384345131,3736755389,761574,3534,355,380,391690,0 111,2,2024-09-07 09:24:11:119,550114,550114,0,0,22645414,0,4823 111,3,2024-09-07 09:24:10:913,1,435,0,0,379,5072,435,0 112,0,2024-09-07 09:24:10:952,111935,0.3,111644,0.4,223504,0.2,296669,1.50 112,1,2024-09-07 09:24:10:827,765468,765468,0,0,358235862886,3717573624,760752,4103,613,380,391624,0 112,2,2024-09-07 09:24:11:139,546245,546244,1,0,21753039,0,5036 112,3,2024-09-07 09:24:10:595,1,435,0,0,282,4247,435,0 113,0,2024-09-07 09:24:10:881,111069,0.3,111088,0.5,222698,0.3,295957,1.75 113,1,2024-09-07 09:24:11:697,767637,767637,0,0,361237108736,3725414793,763744,3304,589,366,391661,0 113,2,2024-09-07 09:24:11:316,553916,553916,0,0,20135927,0,3813 113,3,2024-09-07 09:24:10:697,1,435,0,0,340,4752,435,0 114,0,2024-09-07 09:24:10:876,105353,0.3,105940,0.4,210667,0.2,280955,1.75 114,1,2024-09-07 09:24:10:718,765220,765220,0,0,359262745106,3731581182,758935,4669,1616,381,391565,0 114,2,2024-09-07 09:24:10:880,553585,553584,1,0,21199791,0,5069 114,3,2024-09-07 09:24:11:278,1,435,1,0,395,3672,435,0 115,0,2024-09-07 09:24:10:571,107846,0.2,108331,0.4,216273,0.2,287438,1.50 115,1,2024-09-07 09:24:10:596,765778,765778,0,0,359225314909,3733228696,759282,5229,1267,382,391757,0 115,2,2024-09-07 09:24:11:125,552069,552069,0,0,21021034,0,4382 115,3,2024-09-07 09:24:11:002,1,435,2,0,159,2404,435,0 116,0,2024-09-07 09:24:11:701,111413,0.7,111526,0.8,223413,0.7,298368,2.00 116,1,2024-09-07 09:24:10:807,761596,761596,0,0,357593934668,3765102396,752981,6290,2325,380,392089,0 116,2,2024-09-07 09:24:11:750,547312,547312,0,0,26723467,0,4128 116,3,2024-09-07 09:24:10:912,1,435,1,0,415,5071,435,0 117,0,2024-09-07 09:24:10:974,113045,0.8,112437,0.8,225343,0.9,300921,2.00 117,1,2024-09-07 09:24:11:583,762892,762892,0,0,357436997285,3738613315,755137,6840,915,369,392033,0 117,2,2024-09-07 09:24:11:118,552343,552343,0,0,22931525,0,4303 117,3,2024-09-07 09:24:11:067,1,435,1,0,490,6541,435,0 118,0,2024-09-07 09:24:11:763,103483,0.5,106109,0.6,216724,0.4,283367,2.00 118,1,2024-09-07 09:24:10:606,762936,762936,0,0,357229461819,3756925467,751021,8915,3000,366,391907,0 118,2,2024-09-07 09:24:11:591,551191,551191,0,0,25226290,0,2842 118,3,2024-09-07 09:24:11:767,1,435,1,0,248,5096,435,0 119,0,2024-09-07 09:24:11:358,106463,0.5,106655,0.7,213433,0.5,283981,2.00 119,1,2024-09-07 09:24:10:553,763332,763332,0,0,358399934063,3748651890,754847,7369,1116,367,391780,0 119,2,2024-09-07 09:24:11:261,551060,551060,0,0,23874050,0,4174 119,3,2024-09-07 09:24:11:327,1,435,1,0,1358,8475,435,0 120,0,2024-09-07 09:24:11:549,110717,0.6,110513,0.8,221756,0.6,296640,2.25 120,1,2024-09-07 09:24:10:861,763455,763455,0,0,357817206804,3753714572,755079,7606,770,368,392144,0 120,2,2024-09-07 09:24:10:777,549800,549799,1,0,27224997,0,5281 120,3,2024-09-07 09:24:11:296,1,435,3,0,279,5386,435,0 121,0,2024-09-07 09:24:11:699,112020,1.4,111699,1.1,223926,2.0,298803,2.50 121,1,2024-09-07 09:24:11:655,763628,763628,0,0,357978261059,3740404560,756878,6289,461,367,391840,0 121,2,2024-09-07 09:24:11:125,546261,546261,0,0,26090997,0,4127 121,3,2024-09-07 09:24:10:745,1,435,4,0,269,4809,435,0 122,0,2024-09-07 09:24:11:798,107844,0.7,105244,0.9,220712,0.8,289284,2.00 122,1,2024-09-07 09:24:10:858,762058,762058,0,0,357555782170,3750814191,751614,8841,1603,366,392130,0 122,2,2024-09-07 09:24:11:317,551801,551728,73,0,29064665,0,5989 122,3,2024-09-07 09:24:10:604,1,435,23,0,512,7789,435,0 123,0,2024-09-07 09:24:10:953,104379,0.6,101722,0.7,212944,0.5,278789,2.00 123,1,2024-09-07 09:24:10:559,761873,761873,0,0,357671379969,3767279157,748324,11105,2444,369,392039,0 123,2,2024-09-07 09:24:11:020,549676,549675,1,0,24578971,0,5215 123,3,2024-09-07 09:24:11:134,1,435,3,0,478,5081,435,0 124,0,2024-09-07 09:24:10:935,112852,0.3,112910,0.5,212771,0.3,292769,1.75 124,1,2024-09-07 09:24:11:030,765146,765146,0,0,358355204902,3723731096,759865,4231,1050,367,392178,0 124,2,2024-09-07 09:24:11:010,552057,552004,53,0,22256953,0,6487 124,3,2024-09-07 09:24:10:763,1,435,2,0,490,4157,435,0 125,0,2024-09-07 09:24:11:449,110805,0.4,110623,0.6,222211,0.4,295693,1.75 125,1,2024-09-07 09:24:10:858,763464,763464,0,0,358548932082,3742698913,757547,5245,672,382,391702,0 125,2,2024-09-07 09:24:11:122,547055,547055,0,0,22930555,0,4534 125,3,2024-09-07 09:24:11:127,1,435,26,0,709,5415,435,0 126,0,2024-09-07 09:24:11:415,110485,0.4,113771,0.6,217665,0.4,294077,1.75 126,1,2024-09-07 09:24:10:899,765728,765728,0,0,359396443860,3721339158,761722,3712,294,365,391987,0 126,2,2024-09-07 09:24:10:616,553115,553115,0,0,23747985,0,4539 126,3,2024-09-07 09:24:10:909,1,435,3,0,268,5294,435,0 127,0,2024-09-07 09:24:11:608,103656,0.3,103949,0.5,207586,0.2,275739,1.50 127,1,2024-09-07 09:24:10:570,764852,764852,0,0,357723015475,3720330427,757506,6124,1222,364,392187,0 127,2,2024-09-07 09:24:10:637,551558,551558,0,0,21477308,0,3897 127,3,2024-09-07 09:24:11:267,1,435,1,0,968,4627,435,0 128,0,2024-09-07 09:24:11:522,108385,0.3,108696,0.4,216952,0.2,288808,1.50 128,1,2024-09-07 09:24:11:608,764699,764699,0,0,359772430948,3733761845,759786,4480,433,367,392031,0 128,2,2024-09-07 09:24:11:382,552042,552042,0,0,20777121,0,3171 128,3,2024-09-07 09:24:10:768,1,435,3,0,1082,6752,435,0 129,0,2024-09-07 09:24:10:995,112782,0.3,112196,0.5,224890,0.3,298818,1.75 129,1,2024-09-07 09:24:10:566,762374,762374,0,0,357565044354,3745972172,754698,6005,1671,379,391962,0 129,2,2024-09-07 09:24:10:691,547741,547741,0,0,22146094,0,4031 129,3,2024-09-07 09:24:10:691,1,435,2,0,506,5869,435,0 130,0,2024-09-07 09:24:11:803,112688,0.5,112254,0.6,225697,0.5,299372,1.75 130,1,2024-09-07 09:24:10:587,765007,765007,0,0,359158104083,3731099538,760969,3699,339,381,391825,0 130,2,2024-09-07 09:24:11:138,552561,552561,0,0,22658725,0,4067 130,3,2024-09-07 09:24:11:291,1,435,1,0,960,6765,435,0 131,0,2024-09-07 09:24:11:941,105638,0.3,106204,0.5,213180,0.3,282662,1.75 131,1,2024-09-07 09:24:11:826,764781,764781,0,0,358700401382,3745518478,758811,4921,1049,381,391865,0 131,2,2024-09-07 09:24:10:577,554196,554196,0,0,20842985,0,3979 131,3,2024-09-07 09:24:11:695,1,435,0,0,392,4825,435,0 132,0,2024-09-07 09:24:11:444,107267,0.4,108119,0.6,215334,0.4,286818,1.75 132,1,2024-09-07 09:24:10:586,760902,760902,0,0,357267762737,3760847743,749765,9028,2109,381,392532,0 132,2,2024-09-07 09:24:10:701,549589,549572,17,0,27265490,0,6451 132,3,2024-09-07 09:24:11:695,1,435,0,0,804,7586,435,0 133,0,2024-09-07 09:24:11:515,109411,0.4,111937,0.6,229638,0.4,298719,1.75 133,1,2024-09-07 09:24:10:587,761225,761225,0,0,357323298744,3765078876,750679,9031,1515,383,391914,0 133,2,2024-09-07 09:24:11:094,548602,548552,50,0,28630453,0,6861 133,3,2024-09-07 09:24:11:303,1,435,1,0,479,4586,435,0 134,0,2024-09-07 09:24:10:996,113337,0.6,112902,0.7,226083,0.6,301791,2.00 134,1,2024-09-07 09:24:10:590,762591,762591,0,0,357753191999,3750861891,752794,7762,2035,366,391718,0 134,2,2024-09-07 09:24:11:757,546801,546777,24,0,26230764,0,6207 134,3,2024-09-07 09:24:10:763,1,435,4,0,739,5491,435,0 135,0,2024-09-07 09:24:11:132,103603,0.7,103600,0.8,219868,0.8,283330,2.25 135,1,2024-09-07 09:24:11:592,762730,762730,0,0,358381458449,3768357172,752764,8595,1371,380,391805,0 135,2,2024-09-07 09:24:10:691,551590,551590,0,0,25641363,0,3981 135,3,2024-09-07 09:24:11:002,1,435,1,0,299,3765,435,0 136,0,2024-09-07 09:24:11:629,106030,0.5,106224,0.7,211390,0.4,282507,2.00 136,1,2024-09-07 09:24:11:450,763445,763445,0,0,358521699631,3758369403,754874,7607,964,381,391685,0 136,2,2024-09-07 09:24:11:141,552258,552258,0,0,24567594,0,3506 136,3,2024-09-07 09:24:11:108,1,435,3,0,637,5081,435,0 137,0,2024-09-07 09:24:10:942,113746,0.6,110798,0.7,217353,0.6,295309,2.00 137,1,2024-09-07 09:24:10:579,761596,761596,0,0,357666640530,3751447376,750036,9200,2360,366,391898,0 137,2,2024-09-07 09:24:11:706,549314,549314,0,0,27165866,0,3185 137,3,2024-09-07 09:24:10:769,1,435,1,0,484,5307,435,0 138,0,2024-09-07 09:24:11:756,110714,1.0,110672,1.0,222127,1.3,295353,2.25 138,1,2024-09-07 09:24:11:796,762950,762950,0,0,358395965449,3757024399,752707,8520,1723,368,391954,0 138,2,2024-09-07 09:24:10:587,545776,545776,0,0,24601427,0,4988 138,3,2024-09-07 09:24:10:615,1,435,1,0,1160,5721,435,0 139,0,2024-09-07 09:24:11:362,108226,1.5,108306,1.1,217102,2.2,289698,2.50 139,1,2024-09-07 09:24:10:571,758829,758829,0,0,356230045060,3784741612,744151,11310,3368,380,392058,0 139,2,2024-09-07 09:24:10:699,547972,547972,0,0,28592790,0,3097 139,3,2024-09-07 09:24:11:666,1,435,5,0,432,5053,435,0 140,0,2024-09-07 09:24:11:596,104251,0.3,103707,0.5,208275,0.2,277577,1.75 140,1,2024-09-07 09:24:11:539,766848,766848,0,0,359849532080,3708822290,763283,3124,441,365,391606,0 140,2,2024-09-07 09:24:10:733,553129,553128,1,0,21671115,0,5036 140,3,2024-09-07 09:24:10:767,1,435,0,0,297,3594,435,0 141,0,2024-09-07 09:24:11:702,109099,0.3,112043,0.5,213991,0.2,290540,1.50 141,1,2024-09-07 09:24:10:863,765532,765532,0,0,359660027344,3734403596,759845,4730,957,379,391614,0 141,2,2024-09-07 09:24:11:692,551231,551231,0,0,21458219,0,3360 141,3,2024-09-07 09:24:11:045,1,435,1,0,391,4952,435,0 142,0,2024-09-07 09:24:11:329,112106,0.3,111497,0.5,222928,0.3,297275,1.75 142,1,2024-09-07 09:24:10:605,764698,764698,0,0,358842247423,3738898032,760007,4293,398,382,392102,0 142,2,2024-09-07 09:24:11:308,545618,545586,32,0,23413116,0,6028 142,3,2024-09-07 09:24:11:751,1,435,28,0,484,4859,435,0 143,0,2024-09-07 09:24:11:381,111126,0.5,111365,0.6,222775,0.5,295967,1.75 143,1,2024-09-07 09:24:10:562,765822,765822,0,0,359405078703,3728928574,760776,4502,544,367,391705,0 143,2,2024-09-07 09:24:10:769,552449,552449,0,0,22709943,0,3123 143,3,2024-09-07 09:24:11:155,1,435,24,0,462,5382,435,0 144,0,2024-09-07 09:24:11:491,101824,0.6,104549,0.8,213158,0.5,278854,2.00 144,1,2024-09-07 09:24:10:566,761773,761773,0,0,356899400543,3745281842,754059,5880,1834,381,391649,0 144,2,2024-09-07 09:24:11:764,553335,553335,0,0,21316283,0,3673 144,3,2024-09-07 09:24:11:741,1,435,2,0,249,4413,435,0 145,0,2024-09-07 09:24:11:371,104192,0.5,104197,0.7,221177,0.4,284794,2.25 145,1,2024-09-07 09:24:10:552,761759,761759,0,0,357078595947,3754158831,752125,7972,1662,382,391759,0 145,2,2024-09-07 09:24:11:430,548355,548274,81,0,26349902,0,7814 145,3,2024-09-07 09:24:10:896,1,435,18,0,622,6201,435,0 146,0,2024-09-07 09:24:11:592,111795,0.6,111301,0.8,224008,0.6,296912,2.25 146,1,2024-09-07 09:24:11:592,762352,762352,0,0,357483322790,3759675586,750200,9036,3116,367,391770,0 146,2,2024-09-07 09:24:11:695,546428,546428,0,0,25111195,0,3290 146,3,2024-09-07 09:24:11:275,1,435,1,0,1520,8093,435,0 147,0,2024-09-07 09:24:11:703,112794,0.7,112748,0.8,224770,0.7,300378,2.25 147,1,2024-09-07 09:24:11:372,765791,765791,0,0,358855150924,3731796448,759073,5871,847,368,391791,0 147,2,2024-09-07 09:24:11:010,549762,549762,0,0,22606688,0,2968 147,3,2024-09-07 09:24:10:922,1,435,4,0,1626,7482,435,0 0,0,2024-09-07 09:24:21:756,108766,0.6,108726,0.7,230787,0.6,298980,2.00 0,1,2024-09-07 09:24:20:801,764923,764923,0,0,358826102110,3753747029,759457,5077,389,369,391896,0 0,2,2024-09-07 09:24:21:067,552787,552787,0,0,21929028,0,4480 0,3,2024-09-07 09:24:20:982,1,436,9,0,431,6379,436,0 1,0,2024-09-07 09:24:21:912,112395,1.1,111699,1.0,224033,1.6,299879,2.25 1,1,2024-09-07 09:24:20:819,764485,764485,0,0,358471362049,3750148906,758053,5104,1328,370,391859,0 1,2,2024-09-07 09:24:20:639,548024,548024,0,0,22217864,0,3380 1,3,2024-09-07 09:24:21:342,1,436,25,0,268,5290,436,0 2,0,2024-09-07 09:24:21:567,108233,0.6,108362,0.8,216057,0.6,288025,2.00 2,1,2024-09-07 09:24:20:859,766980,766980,0,0,360087614419,3735933695,763691,2994,295,380,391745,0 2,2,2024-09-07 09:24:21:273,553511,553511,0,0,20847451,0,3594 2,3,2024-09-07 09:24:20:692,1,436,3,0,357,4173,436,0 3,0,2024-09-07 09:24:21:767,105090,0.4,104812,0.6,209500,0.3,279152,2.00 3,1,2024-09-07 09:24:21:618,765626,765626,0,0,359070819999,3737860620,759404,5531,691,379,391716,0 3,2,2024-09-07 09:24:21:148,553184,553161,23,0,22011500,0,5851 3,3,2024-09-07 09:24:21:755,1,436,2,0,207,2851,436,0 4,0,2024-09-07 09:24:21:820,106771,0.4,109668,0.5,223691,0.3,292924,1.75 4,1,2024-09-07 09:24:20:595,762811,762811,0,0,357194666451,3773346293,750988,9315,2508,370,391992,0 4,2,2024-09-07 09:24:21:048,550292,550292,0,0,25214395,0,4528 4,3,2024-09-07 09:24:21:062,1,436,10,0,448,5604,436,0 5,0,2024-09-07 09:24:21:418,110794,0.4,111509,0.6,222360,0.4,295297,1.75 5,1,2024-09-07 09:24:20:765,764158,764158,0,0,358393139621,3770959651,753723,8275,2160,367,392005,0 5,2,2024-09-07 09:24:21:842,544686,544686,0,0,25000724,0,3582 5,3,2024-09-07 09:24:21:761,1,436,9,0,457,6025,436,0 6,0,2024-09-07 09:24:20:920,110694,0.5,110332,0.6,220587,0.4,293814,2.00 6,1,2024-09-07 09:24:20:750,765222,765222,0,0,359241988283,3752413825,757010,6819,1393,379,391702,0 6,2,2024-09-07 09:24:21:129,552907,552889,18,0,24971485,0,5535 6,3,2024-09-07 09:24:21:282,1,436,1,0,710,5455,436,0 7,0,2024-09-07 09:24:21:533,103091,0.4,103806,0.6,206728,0.4,274937,1.75 7,1,2024-09-07 09:24:20:851,764577,764577,0,0,358756221070,3761403560,754805,8308,1464,382,391747,0 7,2,2024-09-07 09:24:20:770,554416,554416,0,0,24290806,0,4791 7,3,2024-09-07 09:24:20:853,1,436,2,0,552,4969,436,0 8,0,2024-09-07 09:24:21:487,108700,0.3,108433,0.5,217475,0.3,290018,1.75 8,1,2024-09-07 09:24:21:373,763231,763231,0,0,358853352411,3771585071,751615,8985,2631,366,392853,0 8,2,2024-09-07 09:24:20:804,547720,547720,0,0,28534770,0,3250 8,3,2024-09-07 09:24:20:584,1,436,24,0,538,7102,436,0 9,0,2024-09-07 09:24:21:181,112140,0.4,108883,0.5,227934,0.3,298520,1.75 9,1,2024-09-07 09:24:20:583,762914,762914,0,0,358246985933,3771957683,751837,8656,2421,369,392001,0 9,2,2024-09-07 09:24:21:095,546820,546820,0,0,25322517,0,3360 9,3,2024-09-07 09:24:21:756,1,436,1,0,496,6174,436,0 10,0,2024-09-07 09:24:21:608,111970,0.4,111446,0.5,223862,0.3,297767,1.75 10,1,2024-09-07 09:24:20:583,764618,764618,0,0,359218759046,3764513300,754126,8869,1623,381,391741,0 10,2,2024-09-07 09:24:20:765,551986,551986,0,0,27975797,0,4264 10,3,2024-09-07 09:24:20:883,1,436,9,0,649,4630,436,0 11,0,2024-09-07 09:24:21:013,105800,0.4,102603,0.6,214685,0.4,283158,1.75 11,1,2024-09-07 09:24:20:580,765111,765111,0,0,359681677383,3771578596,753783,8702,2626,383,391756,0 11,2,2024-09-07 09:24:21:133,552999,552999,0,0,24618078,0,4130 11,3,2024-09-07 09:24:21:298,1,436,1,0,843,6227,436,0 12,0,2024-09-07 09:24:21:307,108294,0.4,108330,0.5,216731,0.3,287988,1.75 12,1,2024-09-07 09:24:21:205,764923,764923,0,0,359080542580,3741850571,759356,5065,502,370,391870,0 12,2,2024-09-07 09:24:21:541,551520,551520,0,0,23836614,0,3469 12,3,2024-09-07 09:24:21:063,1,436,1,0,386,6361,436,0 13,0,2024-09-07 09:24:21:335,113406,0.4,112914,0.6,225339,0.4,300158,1.75 13,1,2024-09-07 09:24:21:549,763534,763534,0,0,358179075152,3763851550,755912,5990,1632,382,391740,0 13,2,2024-09-07 09:24:20:595,551802,551802,0,0,21936789,0,3287 13,3,2024-09-07 09:24:21:766,1,436,1,0,522,6288,436,0 14,0,2024-09-07 09:24:20:568,112923,0.4,114064,0.6,225567,0.4,301187,1.75 14,1,2024-09-07 09:24:21:584,768917,768917,0,0,360679832811,3734333318,762695,5513,709,364,391673,0 14,2,2024-09-07 09:24:20:765,550229,550199,30,0,23973578,0,6104 14,3,2024-09-07 09:24:21:126,1,436,0,0,1168,4741,436,0 15,0,2024-09-07 09:24:21:554,106921,0.4,106810,0.6,213946,0.4,284756,2.00 15,1,2024-09-07 09:24:21:617,766090,766090,0,0,359714944595,3745689125,759865,5046,1179,381,391619,0 15,2,2024-09-07 09:24:21:021,554787,554787,0,0,19905815,0,3622 15,3,2024-09-07 09:24:21:405,1,436,2,0,1126,6973,436,0 16,0,2024-09-07 09:24:21:154,106127,0.5,106603,0.7,212540,0.5,283151,2.00 16,1,2024-09-07 09:24:20:577,765935,765935,0,0,359214202083,3754324852,759330,5492,1113,370,391917,0 16,2,2024-09-07 09:24:21:441,552157,552157,0,0,23558907,0,4719 16,3,2024-09-07 09:24:21:149,1,436,1,0,317,5428,436,0 17,0,2024-09-07 09:24:21:830,114008,0.6,111335,0.8,217735,0.6,295709,2.00 17,1,2024-09-07 09:24:20:580,764314,764314,0,0,358332095537,3758181864,756645,6152,1517,368,392075,0 17,2,2024-09-07 09:24:21:682,554063,554063,0,0,23348178,0,3779 17,3,2024-09-07 09:24:20:579,1,436,1,0,518,6879,436,0 18,0,2024-09-07 09:24:20:952,110378,0.7,110899,0.8,221035,0.8,295741,2.25 18,1,2024-09-07 09:24:21:639,767488,767488,0,0,360308207716,3732403117,763487,3622,379,367,391725,0 18,2,2024-09-07 09:24:21:756,550527,550527,0,0,20683177,0,3541 18,3,2024-09-07 09:24:20:896,1,436,1,0,163,3298,436,0 19,0,2024-09-07 09:24:21:539,108805,0.6,109270,0.8,216711,0.6,288586,2.25 19,1,2024-09-07 09:24:20:571,767635,767635,0,0,360322970543,3735776069,761685,5029,921,367,391777,0 19,2,2024-09-07 09:24:21:755,555678,555678,0,0,19626529,0,3988 19,3,2024-09-07 09:24:21:140,1,436,0,0,524,3481,436,0 20,0,2024-09-07 09:24:21:411,104216,0.4,104157,0.6,208178,0.4,277991,2.00 20,1,2024-09-07 09:24:20:593,764778,764778,0,0,358669378367,3750151188,758063,5914,801,369,391922,0 20,2,2024-09-07 09:24:20:970,552578,552578,0,0,23645141,0,3721 20,3,2024-09-07 09:24:20:623,1,436,2,0,414,6278,436,0 21,0,2024-09-07 09:24:21:191,109213,0.4,109438,0.6,218545,0.4,290454,1.75 21,1,2024-09-07 09:24:21:548,763037,763037,0,0,358426528685,3776801263,752070,8487,2480,368,392016,0 21,2,2024-09-07 09:24:21:108,549278,549258,20,0,28716799,0,5617 21,3,2024-09-07 09:24:21:428,1,436,15,0,713,5769,436,0 22,0,2024-09-07 09:24:21:727,111416,0.5,111753,0.7,223249,0.4,295761,2.00 22,1,2024-09-07 09:24:21:034,764243,764243,0,0,358989469159,3770342547,753089,8927,2227,382,391667,0 22,2,2024-09-07 09:24:20:760,546234,546208,26,0,22784419,0,6328 22,3,2024-09-07 09:24:21:071,1,436,9,0,228,3538,436,0 23,0,2024-09-07 09:24:21:375,110830,0.5,110147,0.7,220866,0.5,294245,2.25 23,1,2024-09-07 09:24:21:029,765244,765244,0,0,359641971833,3774283676,752134,9056,4054,365,391690,0 23,2,2024-09-07 09:24:21:100,554619,554619,0,0,22391946,0,3773 23,3,2024-09-07 09:24:21:755,1,436,1,0,720,5590,436,0 24,0,2024-09-07 09:24:20:918,105668,0.4,105090,0.5,211360,0.3,280075,1.75 24,1,2024-09-07 09:24:20:838,764526,764526,0,0,358021108528,3751621907,756522,6411,1593,367,392269,0 24,2,2024-09-07 09:24:21:080,553299,553299,0,0,26950975,0,3607 24,3,2024-09-07 09:24:21:685,1,436,11,0,468,5863,436,0 25,0,2024-09-07 09:24:21:419,110972,0.4,108026,0.6,211976,0.3,288916,1.75 25,1,2024-09-07 09:24:20:580,763940,763940,0,0,358546679963,3776785116,751917,9772,2251,371,391928,0 25,2,2024-09-07 09:24:21:616,549912,549912,0,0,27590734,0,3978 25,3,2024-09-07 09:24:21:008,1,436,4,0,532,4901,436,0 26,0,2024-09-07 09:24:21:724,111810,0.4,109161,0.6,229091,0.4,297915,2.00 26,1,2024-09-07 09:24:21:540,765651,765651,0,0,359035255619,3763503836,754018,9224,2409,380,391748,0 26,2,2024-09-07 09:24:20:861,548880,548880,0,0,27582203,0,2809 26,3,2024-09-07 09:24:21:717,1,436,14,0,796,5200,436,0 27,0,2024-09-07 09:24:21:731,113157,0.5,113275,0.6,225343,0.4,300806,2.25 27,1,2024-09-07 09:24:21:682,766872,766872,0,0,360248745306,3751317589,759603,6368,901,381,391626,0 27,2,2024-09-07 09:24:20:869,548835,548770,65,0,25268086,0,5699 27,3,2024-09-07 09:24:21:028,1,436,1,0,564,4125,436,0 28,0,2024-09-07 09:24:21:403,107041,0.4,106685,0.6,214020,0.3,285401,1.75 28,1,2024-09-07 09:24:20:797,766190,766190,0,0,359889737983,3755025085,758998,5493,1699,382,391698,0 28,2,2024-09-07 09:24:21:767,553649,553649,0,0,22964386,0,2915 28,3,2024-09-07 09:24:21:779,1,436,30,0,502,4738,436,0 29,0,2024-09-07 09:24:21:371,109909,0.3,107092,0.5,210045,0.3,286092,1.75 29,1,2024-09-07 09:24:21:562,768873,768873,0,0,360907103880,3733485734,764006,4132,735,368,391809,0 29,2,2024-09-07 09:24:20:861,551224,551224,0,0,21684556,0,4986 29,3,2024-09-07 09:24:20:977,1,436,1,0,459,5042,436,0 30,0,2024-09-07 09:24:21:464,111609,0.5,108528,0.7,227169,0.5,297979,2.00 30,1,2024-09-07 09:24:20:574,767555,767555,0,0,360667645587,3744529614,761486,5303,766,381,391672,0 30,2,2024-09-07 09:24:21:290,551892,551892,0,0,21240783,0,4192 30,3,2024-09-07 09:24:20:582,1,436,63,0,519,4253,436,0 31,0,2024-09-07 09:24:21:773,112015,0.5,112489,0.7,224542,0.5,299900,2.00 31,1,2024-09-07 09:24:20:565,771219,771219,0,0,361806442408,3707338866,768201,2432,586,356,391712,0 31,2,2024-09-07 09:24:21:281,548656,548656,0,0,22906407,0,3525 31,3,2024-09-07 09:24:21:711,1,436,10,0,220,3795,436,0 32,0,2024-09-07 09:24:21:427,108594,0.3,109341,0.5,217630,0.3,289730,1.75 32,1,2024-09-07 09:24:20:814,767278,767278,0,0,359537473294,3739108769,762161,4436,681,381,391646,0 32,2,2024-09-07 09:24:20:947,554531,554531,0,0,20576977,0,3922 32,3,2024-09-07 09:24:21:049,1,436,4,0,304,3415,436,0 33,0,2024-09-07 09:24:21:500,105029,0.3,104777,0.4,210013,0.2,279623,1.50 33,1,2024-09-07 09:24:20:594,767879,767879,0,0,360436168973,3736656469,761365,5409,1105,368,391730,0 33,2,2024-09-07 09:24:20:775,553539,553504,35,0,22960940,0,7012 33,3,2024-09-07 09:24:20:895,1,436,1,0,329,4158,436,0 34,0,2024-09-07 09:24:20:961,110260,0.3,113358,0.4,216697,0.2,292190,1.75 34,1,2024-09-07 09:24:21:050,769332,769332,0,0,361620725429,3717178746,767589,1731,12,367,391562,0 34,2,2024-09-07 09:24:20:767,553117,553117,0,0,21309650,0,4562 34,3,2024-09-07 09:24:21:690,1,436,1,0,299,3267,436,0 35,0,2024-09-07 09:24:20:862,110283,0.4,110926,0.5,222785,0.3,296068,1.75 35,1,2024-09-07 09:24:21:072,767242,767242,0,0,360129362268,3731597818,762700,3684,858,382,391769,0 35,2,2024-09-07 09:24:21:584,547667,547667,0,0,22918198,0,4055 35,3,2024-09-07 09:24:20:908,1,436,9,0,418,4524,436,0 36,0,2024-09-07 09:24:21:524,110779,0.5,110708,0.7,221440,0.4,294168,2.00 36,1,2024-09-07 09:24:20:584,766384,766384,0,0,359379570888,3751394828,756735,7649,2000,366,391759,0 36,2,2024-09-07 09:24:21:762,554011,554011,0,0,24246630,0,3875 36,3,2024-09-07 09:24:20:866,1,436,18,0,416,6103,436,0 37,0,2024-09-07 09:24:21:398,103148,0.4,103448,0.6,206572,0.4,275313,2.00 37,1,2024-09-07 09:24:20:580,765865,765858,0,7,358983448234,3747280022,757038,6544,2276,365,391770,0 37,2,2024-09-07 09:24:21:146,551928,551913,15,0,24134171,0,5815 37,3,2024-09-07 09:24:21:767,1,436,7,0,888,6390,436,0 38,0,2024-09-07 09:24:21:451,107951,0.4,104832,0.6,219197,0.3,287753,2.00 38,1,2024-09-07 09:24:21:614,766961,766961,0,0,359721274143,3758493098,756215,8585,2161,368,391821,0 38,2,2024-09-07 09:24:20:760,551606,551559,47,0,23874410,0,6710 38,3,2024-09-07 09:24:21:039,1,436,1,0,689,5473,436,0 39,0,2024-09-07 09:24:21:770,114535,0.6,112012,0.7,218449,0.6,297593,2.00 39,1,2024-09-07 09:24:20:717,765147,765147,0,0,359554102688,3767396444,752705,9751,2691,365,391658,0 39,2,2024-09-07 09:24:21:417,548155,548155,0,0,22687275,0,3391 39,3,2024-09-07 09:24:20:715,1,436,10,0,324,4905,436,0 40,0,2024-09-07 09:24:21:502,110854,0.8,111470,1.0,222355,0.9,296314,2.75 40,1,2024-09-07 09:24:20:585,765829,765829,0,0,359091646254,3759263211,755009,8776,2044,368,391668,0 40,2,2024-09-07 09:24:21:302,551534,551533,1,0,26491270,0,5137 40,3,2024-09-07 09:24:21:163,1,436,1,0,1028,5992,436,0 41,0,2024-09-07 09:24:21:035,105486,1.3,107782,1.2,205628,1.9,279743,3.00 41,1,2024-09-07 09:24:20:770,764613,764613,0,0,359370417456,3757238910,755429,8144,1040,369,391742,0 41,2,2024-09-07 09:24:20:759,551728,551728,0,0,25349271,0,4277 41,3,2024-09-07 09:24:21:691,1,436,2,0,366,4467,436,0 42,0,2024-09-07 09:24:21:498,107060,0.7,107031,0.9,214141,0.8,283784,2.50 42,1,2024-09-07 09:24:21:456,762701,762701,0,0,358299021185,3763938183,750617,10053,2031,380,391675,0 42,2,2024-09-07 09:24:21:141,550508,550508,0,0,25479217,0,3790 42,3,2024-09-07 09:24:21:015,1,436,1,0,892,4274,436,0 43,0,2024-09-07 09:24:20:921,111371,0.8,108441,0.9,227046,0.8,297375,2.25 43,1,2024-09-07 09:24:20:582,766154,766154,0,0,359808093188,3758013224,755773,8642,1739,366,391696,0 43,2,2024-09-07 09:24:21:756,550329,550329,0,0,25067594,0,3812 43,3,2024-09-07 09:24:21:749,1,436,18,0,548,5748,436,0 44,0,2024-09-07 09:24:20:860,113131,0.5,113298,0.6,227083,0.4,301806,2.00 44,1,2024-09-07 09:24:20:634,767770,767770,0,0,359878718234,3719451766,761273,5179,1318,356,391809,0 44,2,2024-09-07 09:24:21:497,549157,549157,0,0,21057705,0,4344 44,3,2024-09-07 09:24:21:100,1,436,1,0,817,5075,436,0 45,0,2024-09-07 09:24:21:818,105809,0.5,103308,0.7,216700,0.4,285274,2.00 45,1,2024-09-07 09:24:21:007,766819,766819,0,0,360414796176,3744202502,761159,5087,573,382,391917,0 45,2,2024-09-07 09:24:21:291,554263,554263,0,0,21379476,0,3596 45,3,2024-09-07 09:24:20:960,1,436,1,0,271,3705,436,0 46,0,2024-09-07 09:24:20:958,105702,0.4,105443,0.7,211365,0.4,281096,2.00 46,1,2024-09-07 09:24:20:581,768334,768334,0,0,360446878409,3722319975,763663,4079,592,366,391709,0 46,2,2024-09-07 09:24:20:597,552761,552761,0,0,21528254,0,4443 46,3,2024-09-07 09:24:21:137,1,436,5,0,908,5425,436,0 47,0,2024-09-07 09:24:21:105,110947,0.4,111230,0.6,222662,0.4,294932,1.75 47,1,2024-09-07 09:24:20:579,769200,769200,0,0,360428050193,3724403545,764325,4037,838,366,391641,0 47,2,2024-09-07 09:24:20:908,554302,554302,0,0,21111033,0,4477 47,3,2024-09-07 09:24:21:126,1,436,2,0,600,5261,436,0 48,0,2024-09-07 09:24:21:510,111981,0.3,111927,0.5,222958,0.2,297672,1.75 48,1,2024-09-07 09:24:21:031,766852,766852,0,0,360369747058,3741663965,762139,4257,456,384,391710,0 48,2,2024-09-07 09:24:20:698,547987,547987,0,0,19669766,0,3411 48,3,2024-09-07 09:24:20:757,1,436,1,0,339,3472,436,0 49,0,2024-09-07 09:24:22:009,112112,0.4,110218,0.5,213791,0.3,292539,1.75 49,1,2024-09-07 09:24:21:075,766734,766734,0,0,359790177989,3742002679,761578,3950,1206,382,391809,0 49,2,2024-09-07 09:24:21:807,553920,553920,0,0,21731578,0,4426 49,3,2024-09-07 09:24:21:417,1,436,12,0,992,5215,436,0 50,0,2024-09-07 09:24:21:508,104786,0.3,103180,0.4,208357,0.2,277724,1.75 50,1,2024-09-07 09:24:21:010,769347,769347,0,0,360885450103,3730076731,764416,4357,574,368,391565,0 50,2,2024-09-07 09:24:21:072,553450,553450,0,0,19960248,0,4490 50,3,2024-09-07 09:24:21:295,1,436,1,0,567,4366,436,0 51,0,2024-09-07 09:24:21:692,112078,0.3,109784,0.4,214107,0.2,292026,1.75 51,1,2024-09-07 09:24:21:680,769213,769213,0,0,361375781984,3725576138,765259,2905,1049,365,391706,0 51,2,2024-09-07 09:24:21:316,552529,552529,0,0,18953975,0,3337 51,3,2024-09-07 09:24:21:033,1,436,1,0,678,3507,436,0 52,0,2024-09-07 09:24:21:441,111767,0.5,111719,0.7,223551,0.5,296626,2.00 52,1,2024-09-07 09:24:20:576,766109,766109,0,0,358835402148,3752230585,755768,8988,1353,368,391722,0 52,2,2024-09-07 09:24:21:763,544503,544465,38,0,25322601,0,6742 52,3,2024-09-07 09:24:20:684,1,436,1,0,1782,5883,436,0 53,0,2024-09-07 09:24:21:744,110367,0.7,107191,0.8,224139,0.7,294041,2.25 53,1,2024-09-07 09:24:20:775,764246,764246,0,0,359579994295,3769127457,752325,8961,2960,367,391702,0 53,2,2024-09-07 09:24:21:300,553429,553428,1,0,23017710,0,5455 53,3,2024-09-07 09:24:20:697,1,436,2,0,308,3829,436,0 54,0,2024-09-07 09:24:21:616,103571,0.5,103814,0.7,206690,0.4,275946,2.25 54,1,2024-09-07 09:24:20:585,766560,766560,0,0,359991618015,3740598609,759068,6251,1241,366,391659,0 54,2,2024-09-07 09:24:20:867,554130,554098,32,0,26358817,0,6397 54,3,2024-09-07 09:24:20:766,1,436,1,0,676,6253,436,0 55,0,2024-09-07 09:24:21:771,104499,0.5,107876,0.7,218204,0.4,284677,2.25 55,1,2024-09-07 09:24:20:766,766961,766961,0,0,359352867593,3732410859,759325,6541,1095,365,391731,0 55,2,2024-09-07 09:24:20:729,551031,550975,56,0,25251786,0,7239 55,3,2024-09-07 09:24:20:677,1,436,1,0,304,4357,436,0 56,0,2024-09-07 09:24:21:641,114273,1.3,107823,1.2,222098,1.8,297025,2.75 56,1,2024-09-07 09:24:20:571,762485,762485,0,0,358379814746,3782929109,750966,9146,2373,381,391867,0 56,2,2024-09-07 09:24:21:319,548384,548262,122,0,26057753,0,7432 56,3,2024-09-07 09:24:21:073,1,436,1,0,705,5251,436,0 57,0,2024-09-07 09:24:20:996,111235,1.7,110935,1.3,222547,2.4,297970,3.25 57,1,2024-09-07 09:24:20:987,765176,765176,0,0,358255966816,3752535286,756565,7524,1087,366,392032,0 57,2,2024-09-07 09:24:21:316,551237,551237,0,0,26971453,0,3317 57,3,2024-09-07 09:24:21:755,1,436,0,0,455,4995,436,0 58,0,2024-09-07 09:24:20:568,105290,0.8,102210,1.0,213963,0.9,280541,2.50 58,1,2024-09-07 09:24:20:587,765173,765170,0,3,360321540509,3772423068,754052,8814,2304,367,391603,3 58,2,2024-09-07 09:24:21:071,552707,552707,0,0,25063740,0,2902 58,3,2024-09-07 09:24:21:071,1,436,1,0,1043,4661,436,0 59,0,2024-09-07 09:24:21:757,106360,0.7,105982,0.9,211850,0.8,281158,2.50 59,1,2024-09-07 09:24:20:804,765233,765233,0,0,359400402049,3762745098,755012,8412,1809,369,391653,0 59,2,2024-09-07 09:24:20:583,550566,550566,0,0,24926723,0,3727 59,3,2024-09-07 09:24:21:750,1,436,6,0,1015,5768,436,0 60,0,2024-09-07 09:24:21:725,111849,0.5,111814,0.7,224417,0.5,298822,1.75 60,1,2024-09-07 09:24:20:778,767582,767582,0,0,360852293149,3744440061,762437,4424,721,370,392031,0 60,2,2024-09-07 09:24:21:154,551979,551979,0,0,23170152,0,3811 60,3,2024-09-07 09:24:21:286,1,436,5,0,409,5011,436,0 61,0,2024-09-07 09:24:21:552,112099,0.7,112802,0.8,224463,0.8,299594,2.00 61,1,2024-09-07 09:24:20:773,765566,765566,0,0,358944904021,3759201692,757248,6887,1431,382,392127,0 61,2,2024-09-07 09:24:21:121,548527,548460,67,0,23453613,0,6411 61,3,2024-09-07 09:24:21:700,1,436,2,0,479,5819,436,0 62,0,2024-09-07 09:24:21:715,109062,0.5,111801,0.7,213367,0.5,289494,2.00 62,1,2024-09-07 09:24:21:111,770224,770218,0,6,361593349020,3727480108,766402,3555,261,365,391975,6 62,2,2024-09-07 09:24:21:665,551897,551896,1,0,23690412,0,5555 62,3,2024-09-07 09:24:21:153,1,436,1,0,482,3765,436,0 63,0,2024-09-07 09:24:21:463,105221,0.4,105056,0.5,210696,0.3,279903,1.75 63,1,2024-09-07 09:24:20:813,767947,767941,0,6,360247745141,3739479306,762967,4241,733,381,391800,6 63,2,2024-09-07 09:24:20:771,553040,553040,0,0,21571854,0,4369 63,3,2024-09-07 09:24:21:754,1,436,7,0,667,4432,436,0 64,0,2024-09-07 09:24:21:535,109667,0.5,109765,0.6,218871,0.4,291391,1.75 64,1,2024-09-07 09:24:20:750,766877,766877,0,0,360389987277,3753411729,760195,4979,1703,370,391783,0 64,2,2024-09-07 09:24:21:153,555898,555879,19,0,21366838,0,6121 64,3,2024-09-07 09:24:21:165,1,436,1,0,265,4220,436,0 65,0,2024-09-07 09:24:21:694,110121,0.7,110362,0.8,220465,0.8,293575,2.25 65,1,2024-09-07 09:24:20:863,764803,764803,0,0,358433716438,3744713705,759689,4574,540,381,391901,0 65,2,2024-09-07 09:24:21:695,547639,547639,0,0,24962370,0,3367 65,3,2024-09-07 09:24:21:683,1,436,228,0,782,5325,436,0 66,0,2024-09-07 09:24:21:771,110261,0.5,110071,0.7,219988,0.5,292538,2.25 66,1,2024-09-07 09:24:21:293,767074,767074,0,0,359655917444,3745852760,761575,4973,526,380,391743,0 66,2,2024-09-07 09:24:21:135,555069,555069,0,0,21830255,0,4956 66,3,2024-09-07 09:24:21:083,1,436,1,0,291,3734,436,0 67,0,2024-09-07 09:24:21:436,103856,0.4,103605,0.6,207718,0.4,276074,2.00 67,1,2024-09-07 09:24:20:766,767235,767234,0,1,359996922954,3748429632,761882,4560,792,380,391787,1 67,2,2024-09-07 09:24:20:592,555981,555981,0,0,21008469,0,3622 67,3,2024-09-07 09:24:21:763,1,436,1,0,392,4247,436,0 68,0,2024-09-07 09:24:20:603,108721,0.5,108718,0.7,216360,0.5,289849,2.00 68,1,2024-09-07 09:24:20:580,764328,764328,0,0,358385186266,3767694026,754271,7111,2946,381,391953,0 68,2,2024-09-07 09:24:21:086,549289,549189,100,0,27963401,0,8578 68,3,2024-09-07 09:24:20:730,1,436,1,0,417,4976,436,0 69,0,2024-09-07 09:24:21:753,111325,0.8,112087,0.8,223224,0.9,296061,2.25 69,1,2024-09-07 09:24:21:063,763659,763659,0,0,357505982879,3766022310,753884,7504,2271,384,391994,0 69,2,2024-09-07 09:24:21:747,546869,546840,29,0,29413176,0,6912 69,3,2024-09-07 09:24:20:767,1,436,1,0,698,6642,436,0 70,0,2024-09-07 09:24:21:533,110835,1.1,111101,1.1,223427,0.9,295751,2.50 70,1,2024-09-07 09:24:20:801,767457,767457,0,0,360407585554,3738824638,761476,5320,661,366,391725,0 70,2,2024-09-07 09:24:21:325,552217,552217,0,0,24673374,0,4323 70,3,2024-09-07 09:24:20:750,1,436,1,0,854,4996,436,0 71,0,2024-09-07 09:24:21:357,104999,0.7,104683,0.9,210505,0.8,281067,2.50 71,1,2024-09-07 09:24:21:599,766318,766318,0,0,360274919218,3755823558,757876,7639,803,368,391738,0 71,2,2024-09-07 09:24:21:072,552877,552877,0,0,24700559,0,4352 71,3,2024-09-07 09:24:21:763,1,436,1,0,644,5580,436,0 72,0,2024-09-07 09:24:21:077,111161,0.5,108659,0.7,211918,0.5,288322,2.00 72,1,2024-09-07 09:24:21:062,764712,764712,0,0,359103852874,3766110525,753843,8859,2010,369,391819,0 72,2,2024-09-07 09:24:21:763,550365,550365,0,0,27097555,0,3983 72,3,2024-09-07 09:24:21:755,1,436,1,0,564,6527,436,0 73,0,2024-09-07 09:24:21:156,109637,0.4,112342,0.6,229703,0.4,298416,2.00 73,1,2024-09-07 09:24:20:777,766411,766411,0,0,359853292432,3737174492,761068,4934,409,367,391858,0 73,2,2024-09-07 09:24:21:756,549766,549766,0,0,26478159,0,3701 73,3,2024-09-07 09:24:20:996,1,436,4,0,486,5765,436,0 74,0,2024-09-07 09:24:21:322,113987,0.5,116564,0.7,222159,0.5,302167,2.25 74,1,2024-09-07 09:24:20:635,765280,765280,0,0,359265526740,3749312566,757312,6373,1595,381,391762,0 74,2,2024-09-07 09:24:21:008,549402,549402,0,0,24310862,0,4253 74,3,2024-09-07 09:24:21:445,1,436,4,0,522,5588,436,0 75,0,2024-09-07 09:24:21:769,107602,0.5,106927,0.7,214390,0.5,286469,2.25 75,1,2024-09-07 09:24:21:588,766363,766363,0,0,359723592003,3750753472,759510,6025,828,380,391739,0 75,2,2024-09-07 09:24:21:350,551891,551891,0,0,27104716,0,4766 75,3,2024-09-07 09:24:21:071,1,436,2,0,702,5741,436,0 76,0,2024-09-07 09:24:20:629,105693,0.5,104937,0.7,210634,0.5,281876,2.25 76,1,2024-09-07 09:24:20:809,766176,766176,0,0,359351464057,3747940187,760745,4605,826,382,391692,0 76,2,2024-09-07 09:24:21:079,554418,554417,1,0,23613110,0,5144 76,3,2024-09-07 09:24:21:152,1,436,11,0,175,3976,436,0 77,0,2024-09-07 09:24:21:721,110266,0.5,110644,0.7,221446,0.5,293911,2.00 77,1,2024-09-07 09:24:20:825,766582,766582,0,0,359537245392,3750927073,760750,5326,506,381,391869,0 77,2,2024-09-07 09:24:21:292,552032,552032,0,0,23118211,0,3890 77,3,2024-09-07 09:24:21:117,1,436,24,0,401,4846,436,0 78,0,2024-09-07 09:24:21:732,111783,0.5,111212,0.6,223379,0.4,296363,2.00 78,1,2024-09-07 09:24:20:610,766906,766906,0,0,359862058601,3747637951,759039,6300,1567,367,391670,0 78,2,2024-09-07 09:24:21:409,548728,548715,13,0,21840510,0,8313 78,3,2024-09-07 09:24:21:142,1,436,8,0,181,3731,436,0 79,0,2024-09-07 09:24:21:344,105506,0.4,108007,0.6,221201,0.4,287504,2.25 79,1,2024-09-07 09:24:20:573,768229,768229,0,0,359335325345,3724463228,761635,5285,1309,367,391682,0 79,2,2024-09-07 09:24:21:072,554378,554378,0,0,21359572,0,4195 79,3,2024-09-07 09:24:20:758,1,436,1,0,418,5491,436,0 80,0,2024-09-07 09:24:21:192,104249,0.5,107150,0.6,205024,0.4,277427,2.00 80,1,2024-09-07 09:24:21:628,766238,766238,0,0,359671549969,3745337395,760680,5135,423,368,392269,0 80,2,2024-09-07 09:24:21:096,555302,555302,0,0,21564496,0,4433 80,3,2024-09-07 09:24:20:576,1,436,1,0,190,5011,436,0 81,0,2024-09-07 09:24:21:608,109361,0.5,112024,0.7,213693,0.5,290735,2.00 81,1,2024-09-07 09:24:21:668,765200,765200,0,0,359093712502,3750998819,759189,5470,541,382,391879,0 81,2,2024-09-07 09:24:21:126,551074,551011,63,0,23926572,0,5932 81,3,2024-09-07 09:24:21:122,1,436,16,0,719,5154,436,0 82,0,2024-09-07 09:24:21:542,111154,0.5,111321,0.7,223330,0.5,295994,2.00 82,1,2024-09-07 09:24:20:588,767791,767787,0,4,360120664649,3745013705,763088,3880,819,381,391768,4 82,2,2024-09-07 09:24:21:696,548923,548923,0,0,20309919,0,4484 82,3,2024-09-07 09:24:21:752,1,436,0,0,363,4600,436,0 83,0,2024-09-07 09:24:21:534,111075,0.6,111061,0.8,221500,0.7,294230,2.25 83,1,2024-09-07 09:24:20:559,765542,765542,0,0,358920315326,3742151725,760011,5081,450,382,391709,0 83,2,2024-09-07 09:24:20:767,553333,553333,0,0,21080388,0,3393 83,3,2024-09-07 09:24:20:752,1,436,1,0,1260,5523,436,0 84,0,2024-09-07 09:24:21:790,104418,0.6,104421,0.8,208159,0.5,278301,2.25 84,1,2024-09-07 09:24:21:052,765235,765235,0,0,359066026106,3749342042,757529,6663,1043,367,391967,0 84,2,2024-09-07 09:24:20:580,553134,553104,30,0,28403970,0,5971 84,3,2024-09-07 09:24:21:156,1,436,5,0,908,6436,436,0 85,0,2024-09-07 09:24:21:031,104060,0.5,104095,0.7,220937,0.5,285954,2.00 85,1,2024-09-07 09:24:20:562,762975,762975,0,0,358254527176,3777410558,752157,8898,1920,381,392006,0 85,2,2024-09-07 09:24:20:878,550654,550654,0,0,26298094,0,3656 85,3,2024-09-07 09:24:20:687,1,436,9,0,789,5271,436,0 86,0,2024-09-07 09:24:20:906,111773,0.7,115052,0.8,220143,0.7,297537,2.25 86,1,2024-09-07 09:24:20:826,765413,765413,0,0,359197959325,3759653384,756738,7234,1441,366,391961,0 86,2,2024-09-07 09:24:20:854,546536,546535,1,0,28410219,0,5004 86,3,2024-09-07 09:24:20:587,1,436,8,0,308,6250,436,0 87,0,2024-09-07 09:24:21:320,112871,1.0,112644,0.9,225382,1.3,301246,2.50 87,1,2024-09-07 09:24:20:559,764103,764103,0,0,358444895356,3755429872,754151,8358,1594,366,392076,0 87,2,2024-09-07 09:24:21:078,550292,550286,6,0,25164801,0,6323 87,3,2024-09-07 09:24:21:805,1,436,9,0,473,6774,436,0 88,0,2024-09-07 09:24:21:498,106688,0.4,107541,0.6,214083,0.4,285231,1.75 88,1,2024-09-07 09:24:20:576,763884,763884,0,0,358472392906,3753849365,754160,7716,2008,365,392084,0 88,2,2024-09-07 09:24:20:688,553518,553518,0,0,27706404,0,4465 88,3,2024-09-07 09:24:21:281,1,436,4,0,435,5271,436,0 89,0,2024-09-07 09:24:21:802,109909,0.4,106456,0.6,210654,0.4,286204,1.75 89,1,2024-09-07 09:24:20:555,763891,763891,0,0,358470697339,3766909581,754715,7749,1427,382,391866,0 89,2,2024-09-07 09:24:21:206,550813,550813,0,0,26033047,0,3173 89,3,2024-09-07 09:24:21:815,1,436,1,0,468,7577,436,0 90,0,2024-09-07 09:24:21:631,108690,0.5,111647,0.6,227705,0.4,297909,2.00 90,1,2024-09-07 09:24:20:596,764833,764833,0,0,359096679746,3757649228,758056,6264,513,380,391825,0 90,2,2024-09-07 09:24:21:428,549745,549745,0,0,27338624,0,3060 90,3,2024-09-07 09:24:20:930,1,436,1,0,322,5341,436,0 91,0,2024-09-07 09:24:20:940,112934,0.5,109287,0.7,228334,0.5,300352,1.75 91,1,2024-09-07 09:24:20:560,763904,763904,0,0,358304095485,3765800473,754641,7859,1404,381,392047,0 91,2,2024-09-07 09:24:21:335,549710,549710,0,0,24174878,0,2896 91,3,2024-09-07 09:24:20:601,1,436,14,0,216,4286,436,0 92,0,2024-09-07 09:24:21:485,109480,0.4,112184,0.6,214181,0.4,289824,1.75 92,1,2024-09-07 09:24:20:582,766065,766065,0,0,358968849110,3747144340,760526,4814,725,381,392136,0 92,2,2024-09-07 09:24:21:353,554022,554022,0,0,21744248,0,3259 92,3,2024-09-07 09:24:21:017,1,436,1,0,167,3807,436,0 93,0,2024-09-07 09:24:20:995,105759,0.4,108231,0.5,206630,0.3,280057,1.75 93,1,2024-09-07 09:24:20:823,765737,765737,0,0,359558597644,3752979092,757571,6781,1385,366,391776,0 93,2,2024-09-07 09:24:20:942,552670,552670,0,0,26452215,0,4845 93,3,2024-09-07 09:24:21:427,1,436,1,0,190,3837,436,0 94,0,2024-09-07 09:24:21:617,109599,0.3,110570,0.5,220583,0.3,293073,1.75 94,1,2024-09-07 09:24:20:566,766138,766138,0,0,359404170900,3748016573,761342,4586,210,381,391850,0 94,2,2024-09-07 09:24:20:766,552192,552192,0,0,22109138,0,2443 94,3,2024-09-07 09:24:21:697,1,436,79,0,576,5778,436,0 95,0,2024-09-07 09:24:21:430,111081,0.4,110863,0.5,222281,0.3,296112,1.75 95,1,2024-09-07 09:24:20:860,767135,767135,0,0,360603550125,3746785137,761079,5618,438,365,391786,0 95,2,2024-09-07 09:24:21:029,547282,547282,0,0,21831337,0,3308 95,3,2024-09-07 09:24:21:732,1,436,2,0,718,6255,436,0 96,0,2024-09-07 09:24:21:116,110680,0.4,111067,0.5,221477,0.3,293682,1.75 96,1,2024-09-07 09:24:21:589,766083,766083,0,0,358396338353,3739068570,760529,4669,885,384,391955,0 96,2,2024-09-07 09:24:21:290,554488,554488,0,0,22716031,0,4180 96,3,2024-09-07 09:24:21:160,1,436,4,0,411,4833,436,0 97,0,2024-09-07 09:24:21:323,103910,0.3,103476,0.5,207659,0.2,275941,1.50 97,1,2024-09-07 09:24:20:770,767283,767283,0,0,360326645843,3737465502,762129,4222,932,367,392140,0 97,2,2024-09-07 09:24:20:610,554527,554527,0,0,21841384,0,3679 97,3,2024-09-07 09:24:20:570,1,436,3,0,242,5149,436,0 98,0,2024-09-07 09:24:21:709,108318,0.3,108468,0.4,217582,0.2,289692,1.50 98,1,2024-09-07 09:24:20:574,766398,766398,0,0,359604747306,3745810038,761433,4173,792,382,391997,0 98,2,2024-09-07 09:24:20:769,552354,552354,0,0,22005385,0,4336 98,3,2024-09-07 09:24:20:698,1,436,1,0,840,7211,436,0 99,0,2024-09-07 09:24:21:499,111936,0.3,112633,0.5,223690,0.3,298722,1.75 99,1,2024-09-07 09:24:21:724,766888,766888,0,0,359422377692,3739752577,761939,4112,837,381,392069,0 99,2,2024-09-07 09:24:21:436,548930,548930,0,0,25806652,0,4276 99,3,2024-09-07 09:24:20:583,1,436,1,0,606,4727,436,0 100,0,2024-09-07 09:24:21:556,111789,0.8,112141,1.0,223418,1.0,298335,2.50 100,1,2024-09-07 09:24:20:547,762601,762601,0,0,357776314610,3776355200,751864,8687,2050,381,391989,0 100,2,2024-09-07 09:24:21:825,550457,550446,11,0,25342564,0,5417 100,3,2024-09-07 09:24:21:747,1,436,2,0,559,6937,436,0 101,0,2024-09-07 09:24:21:758,108228,0.8,105467,0.9,206887,0.6,283266,2.25 101,1,2024-09-07 09:24:20:561,763139,763139,0,0,358150911235,3764761084,752446,8569,2124,368,391847,0 101,2,2024-09-07 09:24:21:763,550178,550178,0,0,30276161,0,4871 101,3,2024-09-07 09:24:20:983,1,436,2,0,579,5906,436,0 102,0,2024-09-07 09:24:20:949,104750,0.6,108005,0.7,218993,0.5,287125,2.00 102,1,2024-09-07 09:24:21:288,763543,763543,0,0,358951111643,3769204928,753660,8119,1764,369,391891,0 102,2,2024-09-07 09:24:21:753,552117,552063,54,0,24405809,0,6768 102,3,2024-09-07 09:24:21:617,1,436,4,0,466,4769,436,0 103,0,2024-09-07 09:24:21:639,116146,0.5,116093,0.7,218862,0.5,300756,2.00 103,1,2024-09-07 09:24:21:657,762831,762831,0,0,358305921135,3781500204,750831,8934,3066,381,391829,0 103,2,2024-09-07 09:24:20:585,548573,548573,0,0,26998009,0,3766 103,3,2024-09-07 09:24:20:755,1,436,1,0,916,4815,436,0 104,0,2024-09-07 09:24:21:095,112070,0.8,112454,1.0,223868,0.7,300166,2.25 104,1,2024-09-07 09:24:21:618,764935,764935,0,0,358881097260,3767354527,754089,8982,1864,365,392168,0 104,2,2024-09-07 09:24:21:677,548551,548551,0,0,25884516,0,3941 104,3,2024-09-07 09:24:21:420,1,436,1,0,1245,8590,436,0 105,0,2024-09-07 09:24:21:064,105973,0.8,103230,1.0,216389,0.8,284358,2.50 105,1,2024-09-07 09:24:20:560,765758,765758,0,0,359724280407,3767203398,756308,8022,1428,366,392009,0 105,2,2024-09-07 09:24:21:348,551986,551986,0,0,25814371,0,3509 105,3,2024-09-07 09:24:21:307,1,436,1,0,399,6599,436,0 106,0,2024-09-07 09:24:20:957,102526,0.7,105042,0.8,214885,0.7,281412,2.25 106,1,2024-09-07 09:24:21:758,764482,764482,0,0,358898638123,3766393992,753425,9610,1447,369,391914,0 106,2,2024-09-07 09:24:20:758,551004,551004,0,0,25244568,0,2920 106,3,2024-09-07 09:24:20:683,1,436,8,0,470,5531,436,0 107,0,2024-09-07 09:24:21:113,110467,0.9,110738,0.9,221165,1.0,294922,2.25 107,1,2024-09-07 09:24:20:596,763184,763184,0,0,358223478059,3773906748,752956,9175,1053,381,392234,0 107,2,2024-09-07 09:24:21:297,550267,550266,1,0,26197774,0,5024 107,3,2024-09-07 09:24:21:763,1,436,0,0,370,6534,436,0 108,0,2024-09-07 09:24:21:853,111257,0.5,112060,0.6,222795,0.4,297527,1.75 108,1,2024-09-07 09:24:21:294,766102,766102,0,0,360144617264,3754235835,759956,5401,745,368,391857,0 108,2,2024-09-07 09:24:21:767,547010,547010,0,0,24451212,0,4246 108,3,2024-09-07 09:24:21:347,1,436,0,0,749,8027,436,0 109,0,2024-09-07 09:24:21:788,109944,0.4,109148,0.6,218265,0.4,291708,1.75 109,1,2024-09-07 09:24:20:583,763279,763279,0,0,358449438334,3761954953,755840,6252,1187,382,392132,0 109,2,2024-09-07 09:24:20:934,551469,551469,0,0,24094718,0,3617 109,3,2024-09-07 09:24:21:201,1,436,2,0,379,5053,436,0 110,0,2024-09-07 09:24:21:766,104007,0.3,101347,0.5,212424,0.3,278017,1.75 110,1,2024-09-07 09:24:21:657,767348,767348,0,0,360563123763,3741282614,761956,4214,1178,369,392045,0 110,2,2024-09-07 09:24:21:306,553549,553549,0,0,23040037,0,4067 110,3,2024-09-07 09:24:20:696,1,436,8,0,722,6138,436,0 111,0,2024-09-07 09:24:21:440,109694,0.4,108894,0.5,218449,0.3,291921,1.75 111,1,2024-09-07 09:24:21:007,767279,767279,0,0,361122215313,3744343529,763390,3534,355,380,391690,0 111,2,2024-09-07 09:24:21:121,551475,551475,0,0,22671452,0,4823 111,3,2024-09-07 09:24:20:913,1,436,1,0,379,5073,436,0 112,0,2024-09-07 09:24:20:926,112072,0.3,111763,0.4,223745,0.2,296996,1.50 112,1,2024-09-07 09:24:20:825,767262,767262,0,0,359120878486,3726577069,762545,4104,613,380,391624,0 112,2,2024-09-07 09:24:21:143,547431,547430,1,0,21763059,0,5036 112,3,2024-09-07 09:24:20:591,1,436,4,0,282,4251,436,0 113,0,2024-09-07 09:24:20:883,111183,0.3,111199,0.5,222947,0.3,296270,1.75 113,1,2024-09-07 09:24:21:688,769394,769394,0,0,361913701013,3732302281,765501,3304,589,366,391661,0 113,2,2024-09-07 09:24:21:306,555205,555205,0,0,20149638,0,3813 113,3,2024-09-07 09:24:20:687,1,436,2,0,340,4754,436,0 114,0,2024-09-07 09:24:20:878,105357,0.3,105952,0.4,210688,0.2,280969,1.75 114,1,2024-09-07 09:24:20:717,766977,766977,0,0,360265053110,3741765288,760692,4669,1616,381,391565,0 114,2,2024-09-07 09:24:20:873,555010,555009,1,0,21219895,0,5069 114,3,2024-09-07 09:24:21:291,1,436,6,0,395,3678,436,0 115,0,2024-09-07 09:24:20:611,108205,0.2,108678,0.4,216988,0.2,288403,1.50 115,1,2024-09-07 09:24:20:582,767550,767550,0,0,359901636515,3740138341,761054,5229,1267,382,391757,0 115,2,2024-09-07 09:24:21:126,553508,553508,0,0,21039040,0,4382 115,3,2024-09-07 09:24:21:039,1,436,0,0,159,2404,436,0 116,0,2024-09-07 09:24:21:713,111490,0.7,111616,0.8,223599,0.7,298606,2.00 116,1,2024-09-07 09:24:20:803,763335,763335,0,0,358582650771,3775168148,754719,6290,2326,380,392089,0 116,2,2024-09-07 09:24:21:756,548056,548056,0,0,26729342,0,4128 116,3,2024-09-07 09:24:20:929,1,436,1,0,415,5072,436,0 117,0,2024-09-07 09:24:20:962,113263,0.8,112667,0.8,225773,0.9,301539,2.00 117,1,2024-09-07 09:24:21:586,764715,764715,0,0,358266672192,3747070479,756960,6840,915,369,392033,0 117,2,2024-09-07 09:24:21:125,553799,553799,0,0,22942723,0,4303 117,3,2024-09-07 09:24:21:067,1,436,3,0,490,6544,436,0 118,0,2024-09-07 09:24:21:781,103784,0.5,106461,0.6,217414,0.4,284240,2.00 118,1,2024-09-07 09:24:20:592,764694,764694,0,0,357916676055,3763925957,752779,8915,3000,366,391907,0 118,2,2024-09-07 09:24:21:591,552771,552771,0,0,25238516,0,2842 118,3,2024-09-07 09:24:21:774,1,436,0,0,248,5096,436,0 119,0,2024-09-07 09:24:21:344,106735,0.5,106913,0.7,213971,0.5,284686,2.00 119,1,2024-09-07 09:24:20:586,765077,765077,0,0,359248482809,3757264232,756591,7370,1116,367,391780,0 119,2,2024-09-07 09:24:21:274,552222,552222,0,0,23882476,0,4174 119,3,2024-09-07 09:24:21:329,1,436,1,0,1358,8476,436,0 120,0,2024-09-07 09:24:21:549,111345,0.6,111051,0.8,222882,0.6,298186,2.25 120,1,2024-09-07 09:24:20:858,765274,765274,0,0,358457591183,3760331593,756898,7606,770,368,392144,0 120,2,2024-09-07 09:24:20:771,550733,550732,1,0,27242000,0,5281 120,3,2024-09-07 09:24:21:296,1,436,11,0,279,5397,436,0 121,0,2024-09-07 09:24:21:718,112339,1.4,112007,1.1,224557,1.9,299684,2.50 121,1,2024-09-07 09:24:21:662,765397,765397,0,0,358700903780,3747820931,758646,6290,461,367,391840,0 121,2,2024-09-07 09:24:21:137,547741,547741,0,0,26126174,0,4127 121,3,2024-09-07 09:24:20:728,1,436,4,0,269,4813,436,0 122,0,2024-09-07 09:24:21:787,108005,0.7,105390,0.9,221017,0.8,289699,2.00 122,1,2024-09-07 09:24:20:859,763829,763829,0,0,358407369803,3759482293,753385,8841,1603,366,392130,0 122,2,2024-09-07 09:24:21:323,553234,553161,73,0,29097969,0,5989 122,3,2024-09-07 09:24:20:595,1,436,3,0,512,7792,436,0 123,0,2024-09-07 09:24:21:005,104619,0.6,101943,0.7,213444,0.5,279419,2.00 123,1,2024-09-07 09:24:20:580,763676,763676,0,0,358591897582,3776667777,750127,11105,2444,369,392039,0 123,2,2024-09-07 09:24:21:049,550867,550866,1,0,24596333,0,5215 123,3,2024-09-07 09:24:21:136,1,436,1,0,478,5082,436,0 124,0,2024-09-07 09:24:20:949,113230,0.3,113330,0.5,213555,0.3,293776,1.75 124,1,2024-09-07 09:24:21:065,766916,766916,0,0,359331551587,3733758590,761635,4231,1050,367,392178,0 124,2,2024-09-07 09:24:21:016,553316,553263,53,0,22284278,0,6487 124,3,2024-09-07 09:24:20:759,1,436,1,0,490,4158,436,0 125,0,2024-09-07 09:24:21:425,110952,0.4,110787,0.6,222554,0.4,296156,1.75 125,1,2024-09-07 09:24:20:863,765228,765228,0,0,359473840507,3752180106,759311,5245,672,382,391702,0 125,2,2024-09-07 09:24:21:235,548514,548514,0,0,22970234,0,4534 125,3,2024-09-07 09:24:21:231,1,436,7,0,709,5422,436,0 126,0,2024-09-07 09:24:21:445,110592,0.4,113869,0.6,217839,0.4,294313,1.75 126,1,2024-09-07 09:24:20:553,767474,767474,0,0,360223970039,3729871680,763468,3712,294,365,391987,0 126,2,2024-09-07 09:24:20:618,554320,554320,0,0,23829353,0,4539 126,3,2024-09-07 09:24:20:921,1,436,2,0,268,5296,436,0 127,0,2024-09-07 09:24:21:601,103780,0.3,104056,0.5,207828,0.2,276074,1.50 127,1,2024-09-07 09:24:20:580,766548,766548,0,0,358531446575,3728672237,759202,6124,1222,364,392187,0 127,2,2024-09-07 09:24:20:652,552891,552891,0,0,21509270,0,3897 127,3,2024-09-07 09:24:21:279,1,436,18,0,968,4645,436,0 128,0,2024-09-07 09:24:21:589,108893,0.3,109219,0.4,217930,0.2,290111,1.50 128,1,2024-09-07 09:24:21:617,766466,766466,0,0,360289535457,3739096229,761552,4481,433,367,392031,0 128,2,2024-09-07 09:24:21:398,553409,553409,0,0,20793017,0,3171 128,3,2024-09-07 09:24:20:771,1,436,4,0,1082,6756,436,0 129,0,2024-09-07 09:24:21:062,112889,0.3,112314,0.5,225092,0.3,299101,1.75 129,1,2024-09-07 09:24:20:583,764206,764206,0,0,358619470669,3756744493,756529,6006,1671,379,391962,0 129,2,2024-09-07 09:24:20:689,548704,548704,0,0,22158685,0,4031 129,3,2024-09-07 09:24:20:689,1,436,7,0,506,5876,436,0 130,0,2024-09-07 09:24:21:724,112791,0.5,112348,0.6,225881,0.5,299635,1.75 130,1,2024-09-07 09:24:20:584,766834,766834,0,0,359982834418,3739500851,762795,3700,339,381,391825,0 130,2,2024-09-07 09:24:21:139,553888,553888,0,0,22674268,0,4067 130,3,2024-09-07 09:24:21:302,1,436,5,0,960,6770,436,0 131,0,2024-09-07 09:24:21:990,105843,0.3,106401,0.5,213582,0.3,283157,1.75 131,1,2024-09-07 09:24:21:822,766476,766476,0,0,359329799677,3751977218,760505,4922,1049,381,391865,0 131,2,2024-09-07 09:24:20:575,555704,555704,0,0,20861020,0,3979 131,3,2024-09-07 09:24:21:690,1,436,5,0,392,4830,436,0 132,0,2024-09-07 09:24:21:421,107680,0.4,108575,0.6,216207,0.4,288007,1.75 132,1,2024-09-07 09:24:20:582,762693,762693,0,0,358066375147,3769006281,751556,9028,2109,381,392532,0 132,2,2024-09-07 09:24:20:699,551021,551004,17,0,27279559,0,6451 132,3,2024-09-07 09:24:21:690,1,436,1,0,804,7587,436,0 133,0,2024-09-07 09:24:21:578,109526,0.4,112036,0.6,229892,0.4,298990,1.75 133,1,2024-09-07 09:24:20:609,763004,763004,0,0,358248997802,3774524223,752456,9033,1515,383,391914,0 133,2,2024-09-07 09:24:21:091,549279,549229,50,0,28635971,0,6861 133,3,2024-09-07 09:24:21:299,1,436,2,0,479,4588,436,0 134,0,2024-09-07 09:24:20:972,113652,0.6,113215,0.7,226668,0.6,302608,2.00 134,1,2024-09-07 09:24:20:599,764319,764319,0,0,358537314317,3758828631,754522,7762,2035,366,391718,0 134,2,2024-09-07 09:24:21:765,548411,548387,24,0,26244644,0,6207 134,3,2024-09-07 09:24:20:755,1,436,2,0,739,5493,436,0 135,0,2024-09-07 09:24:21:114,103822,0.7,103830,0.8,220320,0.8,283927,2.00 135,1,2024-09-07 09:24:21:591,764478,764478,0,0,359124399536,3775955223,754512,8595,1371,380,391805,0 135,2,2024-09-07 09:24:20:687,553092,553092,0,0,25653311,0,3981 135,3,2024-09-07 09:24:21:048,1,436,1,0,299,3766,436,0 136,0,2024-09-07 09:24:21:665,106496,0.5,106671,0.7,212344,0.4,283755,2.00 136,1,2024-09-07 09:24:21:458,765246,765246,0,0,359394741043,3767309107,756675,7607,964,381,391685,0 136,2,2024-09-07 09:24:21:140,553345,553345,0,0,24597329,0,3506 136,3,2024-09-07 09:24:21:109,1,436,6,0,637,5087,436,0 137,0,2024-09-07 09:24:20:935,114038,0.6,111088,0.7,217921,0.6,295997,2.00 137,1,2024-09-07 09:24:20:591,763376,763376,0,0,358680988889,3761758439,751816,9200,2360,366,391898,0 137,2,2024-09-07 09:24:21:717,550381,550381,0,0,27186005,0,3185 137,3,2024-09-07 09:24:20:770,1,436,10,0,484,5317,436,0 138,0,2024-09-07 09:24:21:791,111068,1.0,111008,1.0,222852,1.3,296279,2.25 138,1,2024-09-07 09:24:21:708,764687,764687,0,0,359277248922,3766016719,754444,8520,1723,368,391954,0 138,2,2024-09-07 09:24:20:591,547243,547243,0,0,24627705,0,4988 138,3,2024-09-07 09:24:20:617,1,436,14,0,1160,5735,436,0 139,0,2024-09-07 09:24:21:413,108401,1.5,108500,1.1,217465,2.2,290196,2.50 139,1,2024-09-07 09:24:20:576,760592,760592,0,0,356972906352,3792418679,745914,11310,3368,380,392058,0 139,2,2024-09-07 09:24:20:692,549276,549276,0,0,28649328,0,3097 139,3,2024-09-07 09:24:21:685,1,436,13,0,432,5066,436,0 140,0,2024-09-07 09:24:21:609,104601,0.3,104041,0.5,208970,0.2,278477,1.75 140,1,2024-09-07 09:24:21:536,768621,768621,0,0,360889436007,3719332889,765056,3124,441,365,391606,0 140,2,2024-09-07 09:24:20:687,554276,554275,1,0,21714388,0,5036 140,3,2024-09-07 09:24:20:770,1,436,10,0,297,3604,436,0 141,0,2024-09-07 09:24:21:718,109496,0.3,112473,0.5,214818,0.2,291670,1.50 141,1,2024-09-07 09:24:20:867,767327,767327,0,0,360563242379,3743612955,761639,4731,957,379,391614,0 141,2,2024-09-07 09:24:21:689,552482,552482,0,0,21504017,0,3360 141,3,2024-09-07 09:24:21:053,1,436,2,0,391,4954,436,0 142,0,2024-09-07 09:24:21:329,112232,0.3,111604,0.5,223175,0.3,297597,1.75 142,1,2024-09-07 09:24:20:587,766440,766440,0,0,359874380597,3749484071,761749,4293,398,382,392102,0 142,2,2024-09-07 09:24:21:309,546830,546798,32,0,23447923,0,6028 142,3,2024-09-07 09:24:21:749,1,436,9,0,484,4868,436,0 143,0,2024-09-07 09:24:21:400,111249,0.5,111469,0.6,222998,0.5,296299,1.75 143,1,2024-09-07 09:24:20:569,767621,767621,0,0,360109900627,3736202275,762575,4502,544,367,391705,0 143,2,2024-09-07 09:24:20:769,553689,553689,0,0,22741170,0,3123 143,3,2024-09-07 09:24:21:143,1,436,2,0,462,5384,436,0 144,0,2024-09-07 09:24:21:511,101829,0.6,104554,0.8,213169,0.5,278859,2.00 144,1,2024-09-07 09:24:20:581,763561,763561,0,0,357766543648,3754133230,755847,5880,1834,381,391649,0 144,2,2024-09-07 09:24:21:766,554823,554823,0,0,21336892,0,3673 144,3,2024-09-07 09:24:21:746,1,436,0,0,249,4413,436,0 145,0,2024-09-07 09:24:21:412,104548,0.5,104540,0.7,221954,0.4,285721,2.25 145,1,2024-09-07 09:24:20:568,763455,763455,0,0,357853562931,3762105374,753820,7973,1662,382,391759,0 145,2,2024-09-07 09:24:21:455,549847,549766,81,0,26362919,0,7814 145,3,2024-09-07 09:24:20:895,1,436,14,0,622,6215,436,0 146,0,2024-09-07 09:24:21:602,111896,0.6,111392,0.8,224174,0.6,297150,2.25 146,1,2024-09-07 09:24:21:596,764083,764083,0,0,358520401366,3770236152,751931,9036,3116,367,391770,0 146,2,2024-09-07 09:24:21:709,547101,547101,0,0,25123715,0,3290 146,3,2024-09-07 09:24:21:282,1,436,1,0,1520,8094,436,0 147,0,2024-09-07 09:24:21:738,113027,0.7,112969,0.8,225188,0.7,300941,2.25 147,1,2024-09-07 09:24:21:378,767535,767535,0,0,359694386192,3740446949,760817,5871,847,368,391791,0 147,2,2024-09-07 09:24:21:017,551277,551277,0,0,22715474,0,2968 147,3,2024-09-07 09:24:20:913,1,436,2,0,1626,7484,436,0 0,0,2024-09-07 09:24:31:717,109122,0.6,109095,0.7,231535,0.6,299393,2.00 0,1,2024-09-07 09:24:30:805,766785,766785,0,0,359817222032,3764023954,761317,5079,389,369,391896,0 0,2,2024-09-07 09:24:31:067,553617,553617,0,0,21943824,0,4480 0,3,2024-09-07 09:24:30:975,1,437,2,0,431,6381,437,0 1,0,2024-09-07 09:24:31:775,112675,1.1,112012,1.0,224657,1.6,300724,2.25 1,1,2024-09-07 09:24:30:594,766213,766213,0,0,359429706435,3760095589,759780,5105,1328,370,391859,0 1,2,2024-09-07 09:24:30:650,549704,549704,0,0,22252911,0,3380 1,3,2024-09-07 09:24:31:304,1,437,1,0,268,5291,437,0 2,0,2024-09-07 09:24:31:566,108441,0.6,108550,0.8,216428,0.6,288605,2.00 2,1,2024-09-07 09:24:30:860,768781,768781,0,0,360811417028,3743402139,765492,2994,295,380,391745,0 2,2,2024-09-07 09:24:31:266,554913,554913,0,0,20871702,0,3594 2,3,2024-09-07 09:24:30:689,1,437,2,0,357,4175,437,0 3,0,2024-09-07 09:24:31:751,105421,0.4,105164,0.6,210185,0.3,280417,2.00 3,1,2024-09-07 09:24:31:621,767335,767335,0,0,359924038378,3746619838,761113,5531,691,379,391716,0 3,2,2024-09-07 09:24:31:142,554357,554334,23,0,22034959,0,5851 3,3,2024-09-07 09:24:31:755,1,437,0,0,207,2851,437,0 4,0,2024-09-07 09:24:31:783,107103,0.4,109974,0.5,224359,0.3,293648,1.75 4,1,2024-09-07 09:24:30:594,764562,764562,0,0,358046547900,3782030570,752739,9315,2508,370,391992,0 4,2,2024-09-07 09:24:31:025,551431,551431,0,0,25226303,0,4528 4,3,2024-09-07 09:24:31:034,1,437,0,0,448,5604,437,0 5,0,2024-09-07 09:24:31:371,111010,0.4,111751,0.6,222806,0.4,296209,1.75 5,1,2024-09-07 09:24:30:764,765869,765869,0,0,359033488839,3777514751,755434,8275,2160,367,392005,0 5,2,2024-09-07 09:24:31:836,546013,546013,0,0,25011504,0,3582 5,3,2024-09-07 09:24:31:738,1,437,19,0,457,6044,437,0 6,0,2024-09-07 09:24:30:917,110825,0.5,110465,0.6,220837,0.4,294297,2.00 6,1,2024-09-07 09:24:30:759,767058,767058,0,0,360255205139,3762692365,758846,6819,1393,379,391702,0 6,2,2024-09-07 09:24:31:120,554360,554342,18,0,24983368,0,5535 6,3,2024-09-07 09:24:31:275,1,437,1,0,710,5456,437,0 7,0,2024-09-07 09:24:31:534,103305,0.4,104036,0.6,207154,0.4,275849,1.75 7,1,2024-09-07 09:24:30:851,766360,766360,0,0,359465488373,3768641756,756588,8308,1464,382,391747,0 7,2,2024-09-07 09:24:30:770,555558,555558,0,0,24297151,0,4791 7,3,2024-09-07 09:24:30:851,1,437,1,0,552,4970,437,0 8,0,2024-09-07 09:24:31:374,109179,0.3,108885,0.5,218429,0.3,291171,1.75 8,1,2024-09-07 09:24:31:017,764995,764995,0,0,359499817632,3778264246,753376,8986,2633,366,392853,0 8,2,2024-09-07 09:24:30:802,549142,549142,0,0,28558661,0,3250 8,3,2024-09-07 09:24:30:592,1,437,0,0,538,7102,437,0 9,0,2024-09-07 09:24:31:121,112254,0.4,109005,0.5,228165,0.3,298830,1.75 9,1,2024-09-07 09:24:30:580,764719,764719,0,0,359391803857,3783558359,753642,8656,2421,369,392001,0 9,2,2024-09-07 09:24:31:083,547954,547954,0,0,25341262,0,3360 9,3,2024-09-07 09:24:31:757,1,437,1,0,496,6175,437,0 10,0,2024-09-07 09:24:31:599,112094,0.4,111573,0.5,224104,0.3,298101,1.75 10,1,2024-09-07 09:24:30:590,766403,766403,0,0,360044296642,3772939805,755911,8869,1623,381,391741,0 10,2,2024-09-07 09:24:30:763,553297,553297,0,0,27996849,0,4264 10,3,2024-09-07 09:24:30:871,1,437,1,0,649,4631,437,0 11,0,2024-09-07 09:24:31:017,105899,0.4,102698,0.6,214917,0.4,283158,1.75 11,1,2024-09-07 09:24:30:578,766892,766892,0,0,360611305068,3781064736,755563,8702,2627,383,391756,0 11,2,2024-09-07 09:24:31:123,554452,554452,0,0,24647738,0,4130 11,3,2024-09-07 09:24:31:301,1,437,1,0,843,6228,437,0 12,0,2024-09-07 09:24:30:947,108664,0.4,108742,0.5,217457,0.3,288899,1.75 12,1,2024-09-07 09:24:31:071,766758,766758,0,0,359846930790,3749777115,761191,5065,502,370,391870,0 12,2,2024-09-07 09:24:31:541,553011,553011,0,0,23865517,0,3469 12,3,2024-09-07 09:24:31:063,1,437,1,0,386,6362,437,0 13,0,2024-09-07 09:24:31:338,113501,0.4,113019,0.6,225538,0.4,300397,1.75 13,1,2024-09-07 09:24:31:530,765294,765294,0,0,358939105285,3771739995,757670,5992,1632,382,391740,0 13,2,2024-09-07 09:24:30:594,552478,552478,0,0,21953066,0,3287 13,3,2024-09-07 09:24:31:773,1,437,1,0,522,6289,437,0 14,0,2024-09-07 09:24:30:564,113212,0.4,114334,0.6,226085,0.4,301768,1.75 14,1,2024-09-07 09:24:31:561,770673,770673,0,0,361548167394,3743175028,764451,5513,709,364,391673,0 14,2,2024-09-07 09:24:30:764,551774,551744,30,0,24032920,0,6104 14,3,2024-09-07 09:24:31:125,1,437,0,0,1168,4741,437,0 15,0,2024-09-07 09:24:31:555,107204,0.4,107078,0.6,214481,0.4,285627,2.00 15,1,2024-09-07 09:24:31:622,767881,767881,0,0,360544458144,3754171310,761656,5046,1179,381,391619,0 15,2,2024-09-07 09:24:31:002,556236,556236,0,0,19962976,0,3622 15,3,2024-09-07 09:24:31:405,1,437,7,0,1126,6980,437,0 16,0,2024-09-07 09:24:30:987,106501,0.5,107004,0.7,213301,0.5,283924,2.00 16,1,2024-09-07 09:24:30:576,767737,767737,0,0,360178338767,3764216549,761132,5492,1113,370,391917,0 16,2,2024-09-07 09:24:31:465,553282,553282,0,0,23581481,0,4719 16,3,2024-09-07 09:24:31:145,1,437,3,0,317,5431,437,0 17,0,2024-09-07 09:24:31:806,114393,0.6,111727,0.8,218537,0.6,297213,2.00 17,1,2024-09-07 09:24:30:590,766037,766037,0,0,359010528946,3765192105,758367,6153,1517,368,392075,0 17,2,2024-09-07 09:24:31:681,555117,555117,0,0,23363743,0,3779 17,3,2024-09-07 09:24:30:576,1,437,7,0,518,6886,437,0 18,0,2024-09-07 09:24:30:972,110737,0.7,111214,0.8,221707,0.8,296575,2.25 18,1,2024-09-07 09:24:31:649,769297,769297,0,0,360969691961,3739180732,765295,3623,379,367,391725,0 18,2,2024-09-07 09:24:31:761,552026,552026,0,0,20713868,0,3541 18,3,2024-09-07 09:24:30:909,1,437,10,0,163,3308,437,0 19,0,2024-09-07 09:24:31:545,108972,0.6,109444,0.8,217067,0.6,288994,2.25 19,1,2024-09-07 09:24:30:570,769428,769428,0,0,361359644443,3746301979,763478,5029,921,367,391777,0 19,2,2024-09-07 09:24:31:757,556909,556909,0,0,19667134,0,3988 19,3,2024-09-07 09:24:31:129,1,437,1,0,524,3482,437,0 20,0,2024-09-07 09:24:31:344,104505,0.4,104446,0.6,208736,0.4,278595,2.00 20,1,2024-09-07 09:24:30:577,766569,766569,0,0,359556975225,3759208305,759854,5914,801,369,391922,0 20,2,2024-09-07 09:24:30:929,553705,553705,0,0,23657478,0,3721 20,3,2024-09-07 09:24:30:593,1,437,2,0,414,6280,437,0 21,0,2024-09-07 09:24:31:134,109642,0.4,109827,0.6,219338,0.4,291479,1.75 21,1,2024-09-07 09:24:31:548,764850,764850,0,0,359338718636,3786079926,753883,8487,2480,368,392016,0 21,2,2024-09-07 09:24:31:072,550619,550599,20,0,28730544,0,5617 21,3,2024-09-07 09:24:31:412,1,437,1,0,713,5770,437,0 22,0,2024-09-07 09:24:31:722,111559,0.5,111909,0.7,223535,0.4,296203,2.00 22,1,2024-09-07 09:24:31:023,766032,766032,0,0,359690389938,3777521348,754877,8928,2227,382,391667,0 22,2,2024-09-07 09:24:30:760,547563,547537,26,0,22793655,0,6328 22,3,2024-09-07 09:24:31:066,1,437,1,0,228,3539,437,0 23,0,2024-09-07 09:24:31:368,110939,0.5,110262,0.7,221084,0.5,294495,2.25 23,1,2024-09-07 09:24:31:016,767043,767043,0,0,360399932777,3781979573,753933,9056,4054,365,391690,0 23,2,2024-09-07 09:24:31:097,555868,555868,0,0,22399773,0,3773 23,3,2024-09-07 09:24:31:761,1,437,1,0,720,5591,437,0 24,0,2024-09-07 09:24:30:853,105711,0.4,105130,0.5,211462,0.3,280364,1.75 24,1,2024-09-07 09:24:30:594,766246,766246,0,0,358718839215,3758777222,758240,6412,1594,367,392269,0 24,2,2024-09-07 09:24:31:070,554795,554795,0,0,26971908,0,3607 24,3,2024-09-07 09:24:31:691,1,437,1,0,468,5864,437,0 25,0,2024-09-07 09:24:31:355,111381,0.4,108426,0.6,212803,0.3,290173,1.75 25,1,2024-09-07 09:24:30:580,765718,765718,0,0,359229019808,3783797199,753693,9774,2251,371,391928,0 25,2,2024-09-07 09:24:31:638,551320,551320,0,0,27618906,0,3978 25,3,2024-09-07 09:24:31:004,1,437,1,0,532,4902,437,0 26,0,2024-09-07 09:24:31:724,111903,0.4,109258,0.6,229318,0.4,298198,2.00 26,1,2024-09-07 09:24:31:541,767503,767503,0,0,359881530524,3772135541,755870,9224,2409,380,391748,0 26,2,2024-09-07 09:24:30:862,549676,549676,0,0,27594402,0,2809 26,3,2024-09-07 09:24:31:715,1,437,1,0,796,5201,437,0 27,0,2024-09-07 09:24:31:723,113307,0.5,113442,0.6,225664,0.4,301051,2.25 27,1,2024-09-07 09:24:31:684,768651,768651,0,0,361214171363,3761126362,761382,6368,901,381,391626,0 27,2,2024-09-07 09:24:30:867,550180,550115,65,0,25287587,0,5699 27,3,2024-09-07 09:24:31:016,1,437,1,0,564,4126,437,0 28,0,2024-09-07 09:24:31:398,107296,0.4,106946,0.6,214581,0.3,285935,1.75 28,1,2024-09-07 09:24:30:808,767946,767946,0,0,360693077328,3763259804,760754,5493,1699,382,391698,0 28,2,2024-09-07 09:24:31:774,555103,555103,0,0,23005645,0,2915 28,3,2024-09-07 09:24:31:782,1,437,1,0,502,4739,437,0 29,0,2024-09-07 09:24:31:425,110266,0.3,107418,0.5,210715,0.3,287242,1.75 29,1,2024-09-07 09:24:31:568,770642,770642,0,0,362052341425,3745154609,765775,4132,735,368,391809,0 29,2,2024-09-07 09:24:30:861,552566,552566,0,0,21717159,0,4986 29,3,2024-09-07 09:24:30:964,1,437,10,0,459,5052,437,0 30,0,2024-09-07 09:24:31:467,111946,0.5,108834,0.7,227858,0.5,298297,2.00 30,1,2024-09-07 09:24:30:576,769328,769328,0,0,361548888955,3753545627,763259,5303,766,381,391672,0 30,2,2024-09-07 09:24:31:275,552695,552695,0,0,21258144,0,4192 30,3,2024-09-07 09:24:30:586,1,437,1,0,519,4254,437,0 31,0,2024-09-07 09:24:31:780,112308,0.5,112799,0.7,225111,0.5,300657,2.00 31,1,2024-09-07 09:24:30:569,772868,772868,0,0,362734443564,3716711927,769850,2432,586,356,391712,0 31,2,2024-09-07 09:24:31:274,550145,550145,0,0,22944778,0,3525 31,3,2024-09-07 09:24:31:705,1,437,1,0,220,3796,437,0 32,0,2024-09-07 09:24:31:420,108809,0.3,109523,0.5,217974,0.3,290311,1.50 32,1,2024-09-07 09:24:30:809,769021,769021,0,0,360660560855,3750535838,763904,4436,681,381,391646,0 32,2,2024-09-07 09:24:30:949,556071,556071,0,0,20610555,0,3922 32,3,2024-09-07 09:24:31:016,1,437,6,0,304,3421,437,0 33,0,2024-09-07 09:24:31:507,105392,0.3,105152,0.4,210697,0.2,280849,1.50 33,1,2024-09-07 09:24:30:576,769682,769682,0,0,361447889287,3746939416,763167,5410,1105,368,391730,0 33,2,2024-09-07 09:24:30:765,554702,554667,35,0,22983698,0,7012 33,3,2024-09-07 09:24:30:896,1,437,0,0,329,4158,437,0 34,0,2024-09-07 09:24:30:937,110609,0.3,113692,0.4,217387,0.2,292897,1.75 34,1,2024-09-07 09:24:31:058,771021,771021,0,0,362512546765,3726218538,769278,1731,12,367,391562,0 34,2,2024-09-07 09:24:30:773,554305,554305,0,0,21327442,0,4562 34,3,2024-09-07 09:24:31:693,1,437,1,0,299,3268,437,0 35,0,2024-09-07 09:24:30:858,110538,0.4,111158,0.5,223272,0.3,296967,1.75 35,1,2024-09-07 09:24:31:070,768921,768921,0,0,361115306708,3741600952,764379,3684,858,382,391769,0 35,2,2024-09-07 09:24:31:584,549063,549063,0,0,22957729,0,4055 35,3,2024-09-07 09:24:30:910,1,437,1,0,418,4525,437,0 36,0,2024-09-07 09:24:31:518,110930,0.5,110855,0.7,221692,0.4,294682,2.00 36,1,2024-09-07 09:24:30:586,768167,768167,0,0,360206434839,3759767727,758518,7649,2000,366,391759,0 36,2,2024-09-07 09:24:31:776,555326,555326,0,0,24255025,0,3875 36,3,2024-09-07 09:24:30:863,1,437,1,0,416,6104,437,0 37,0,2024-09-07 09:24:31:387,103366,0.4,103700,0.6,207049,0.4,276229,2.00 37,1,2024-09-07 09:24:30:577,767679,767672,0,7,359858983814,3756160384,758852,6544,2276,365,391770,0 37,2,2024-09-07 09:24:31:148,553086,553071,15,0,24143844,0,5815 37,3,2024-09-07 09:24:31:773,1,437,2,0,888,6392,437,0 38,0,2024-09-07 09:24:31:439,108406,0.4,105276,0.6,220169,0.3,288888,2.00 38,1,2024-09-07 09:24:31:604,768718,768718,0,0,360546838962,3766877259,757970,8587,2161,368,391821,0 38,2,2024-09-07 09:24:30:763,552903,552856,47,0,23885057,0,6710 38,3,2024-09-07 09:24:31:004,1,437,2,0,689,5475,437,0 39,0,2024-09-07 09:24:31:788,114656,0.6,112145,0.7,218675,0.6,297933,2.00 39,1,2024-09-07 09:24:30:723,766909,766909,0,0,360306174700,3775043695,754467,9751,2691,365,391658,0 39,2,2024-09-07 09:24:31:427,549207,549207,0,0,22695515,0,3391 39,3,2024-09-07 09:24:30:722,1,437,0,0,324,4905,437,0 40,0,2024-09-07 09:24:31:490,110961,0.8,111584,1.0,222585,0.9,296668,2.75 40,1,2024-09-07 09:24:30:576,767694,767694,0,0,359868001872,3767197689,756873,8777,2044,368,391668,0 40,2,2024-09-07 09:24:31:303,552864,552863,1,0,26517960,0,5137 40,3,2024-09-07 09:24:31:142,1,437,2,0,1028,5994,437,0 41,0,2024-09-07 09:24:31:023,105598,1.3,107883,1.2,205829,1.9,279747,3.00 41,1,2024-09-07 09:24:30:769,766396,766396,0,0,360123465821,3764909584,757212,8144,1040,369,391742,0 41,2,2024-09-07 09:24:30:759,553254,553254,0,0,25370695,0,4277 41,3,2024-09-07 09:24:31:678,1,437,0,0,366,4467,437,0 42,0,2024-09-07 09:24:31:481,107453,0.7,107407,0.9,214950,0.8,284750,2.50 42,1,2024-09-07 09:24:31:444,764548,764548,0,0,359427383884,3775366991,752463,10054,2031,380,391675,0 42,2,2024-09-07 09:24:31:133,552128,552128,0,0,25496609,0,3790 42,3,2024-09-07 09:24:31:009,1,437,0,0,892,4274,437,0 43,0,2024-09-07 09:24:30:918,111478,0.7,108550,0.9,227278,0.8,297623,2.25 43,1,2024-09-07 09:24:30:577,767910,767910,0,0,360578921435,3765840815,757529,8642,1739,366,391696,0 43,2,2024-09-07 09:24:31:743,551054,551054,0,0,25075508,0,3812 43,3,2024-09-07 09:24:31:755,1,437,2,0,548,5750,437,0 44,0,2024-09-07 09:24:30:942,113411,0.5,113553,0.6,227597,0.4,302379,2.00 44,1,2024-09-07 09:24:30:570,769490,769490,0,0,360675881637,3727639697,762991,5181,1318,356,391809,0 44,2,2024-09-07 09:24:31:269,550555,550555,0,0,21107599,0,4344 44,3,2024-09-07 09:24:31:101,1,437,13,0,817,5088,437,0 45,0,2024-09-07 09:24:31:781,106092,0.5,103580,0.7,217290,0.4,286164,2.00 45,1,2024-09-07 09:24:31:004,768602,768602,0,0,361204724268,3752303550,762942,5087,573,382,391917,0 45,2,2024-09-07 09:24:31:268,555842,555842,0,0,21421661,0,3596 45,3,2024-09-07 09:24:30:940,1,437,9,0,271,3714,437,0 46,0,2024-09-07 09:24:30:955,106081,0.4,105820,0.7,212127,0.4,281767,2.00 46,1,2024-09-07 09:24:30:578,770134,770134,0,0,361209519563,3730129298,765463,4079,592,366,391709,0 46,2,2024-09-07 09:24:30:593,553798,553798,0,0,21562489,0,4443 46,3,2024-09-07 09:24:31:132,1,437,4,0,908,5429,437,0 47,0,2024-09-07 09:24:31:108,111344,0.4,111607,0.6,223483,0.4,296370,1.75 47,1,2024-09-07 09:24:30:578,770997,770997,0,0,361224459059,3732529665,766122,4037,838,366,391641,0 47,2,2024-09-07 09:24:30:911,555315,555315,0,0,21139509,0,4477 47,3,2024-09-07 09:24:31:126,1,437,1,0,600,5262,437,0 48,0,2024-09-07 09:24:31:489,112326,0.3,112278,0.5,223663,0.2,298520,1.75 48,1,2024-09-07 09:24:31:025,768602,768602,0,0,361287048850,3751007428,763889,4257,456,384,391710,0 48,2,2024-09-07 09:24:30:705,549591,549591,0,0,19692434,0,3411 48,3,2024-09-07 09:24:30:755,1,437,2,0,339,3474,437,0 49,0,2024-09-07 09:24:31:712,112277,0.4,110404,0.5,214080,0.3,292955,1.75 49,1,2024-09-07 09:24:31:025,768507,768507,0,0,360568354862,3749990387,763351,3950,1206,382,391809,0 49,2,2024-09-07 09:24:31:804,555215,555215,0,0,21750937,0,4426 49,3,2024-09-07 09:24:31:416,1,437,0,0,992,5215,437,0 50,0,2024-09-07 09:24:31:507,105079,0.3,103472,0.4,208911,0.2,278355,1.75 50,1,2024-09-07 09:24:31:010,771119,771119,0,0,361664407591,3738047879,766188,4357,574,368,391565,0 50,2,2024-09-07 09:24:31:070,554572,554572,0,0,19980527,0,4490 50,3,2024-09-07 09:24:31:291,1,437,2,0,567,4368,437,0 51,0,2024-09-07 09:24:31:686,112458,0.3,110172,0.4,214883,0.2,293059,1.75 51,1,2024-09-07 09:24:31:680,770965,770965,0,0,362355967750,3735497055,767010,2906,1049,365,391706,0 51,2,2024-09-07 09:24:31:319,553758,553758,0,0,18976327,0,3337 51,3,2024-09-07 09:24:31:027,1,437,1,0,678,3508,437,0 52,0,2024-09-07 09:24:31:416,111913,0.5,111863,0.7,223836,0.5,297110,2.00 52,1,2024-09-07 09:24:30:578,767959,767959,0,0,359907383563,3763066803,757618,8988,1353,368,391722,0 52,2,2024-09-07 09:24:31:781,545893,545855,38,0,25332616,0,6742 52,3,2024-09-07 09:24:30:682,1,437,1,0,1782,5884,437,0 53,0,2024-09-07 09:24:31:736,110480,0.7,107285,0.8,224380,0.7,294278,2.25 53,1,2024-09-07 09:24:30:771,765979,765979,0,0,360303646162,3776486948,754058,8961,2960,367,391702,0 53,2,2024-09-07 09:24:31:302,554716,554715,1,0,23026406,0,5455 53,3,2024-09-07 09:24:30:697,1,437,3,0,308,3832,437,0 54,0,2024-09-07 09:24:31:628,103623,0.5,103872,0.7,206806,0.4,276276,2.25 54,1,2024-09-07 09:24:30:579,768278,768278,0,0,360758122952,3748358155,760786,6251,1241,366,391659,0 54,2,2024-09-07 09:24:30:867,555652,555620,32,0,26372229,0,6397 54,3,2024-09-07 09:24:30:764,1,437,1,0,676,6254,437,0 55,0,2024-09-07 09:24:31:789,104900,0.5,108317,0.7,219128,0.4,285998,2.25 55,1,2024-09-07 09:24:30:764,768694,768694,0,0,360222531427,3741216028,761058,6541,1095,365,391731,0 55,2,2024-09-07 09:24:30:735,552486,552430,56,0,25261295,0,7239 55,3,2024-09-07 09:24:30:681,1,437,1,0,304,4358,437,0 56,0,2024-09-07 09:24:31:605,114369,1.3,107920,1.2,222285,1.8,297321,2.75 56,1,2024-09-07 09:24:30:577,764293,764293,0,0,359238795859,3791721811,752773,9146,2374,381,391867,0 56,2,2024-09-07 09:24:31:307,549221,549099,122,0,26066311,0,7432 56,3,2024-09-07 09:24:31:062,1,437,0,0,705,5251,437,0 57,0,2024-09-07 09:24:30:941,111432,1.7,111100,1.3,222875,2.4,298219,3.25 57,1,2024-09-07 09:24:30:986,766957,766957,0,0,359055774309,3760668879,758346,7524,1087,366,392032,0 57,2,2024-09-07 09:24:31:319,552713,552713,0,0,26997878,0,3317 57,3,2024-09-07 09:24:31:747,1,437,1,0,455,4996,437,0 58,0,2024-09-07 09:24:30:568,105549,0.8,102473,1.0,214497,0.9,281057,2.50 58,1,2024-09-07 09:24:30:576,766981,766978,0,3,361150034595,3780835926,755859,8815,2304,367,391603,3 58,2,2024-09-07 09:24:31:075,554220,554220,0,0,25086254,0,2902 58,3,2024-09-07 09:24:31:068,1,437,1,0,1043,4662,437,0 59,0,2024-09-07 09:24:31:755,106722,0.7,106310,0.9,212603,0.7,282329,2.50 59,1,2024-09-07 09:24:30:804,766987,766987,0,0,360094599041,3769834504,756766,8412,1809,369,391653,0 59,2,2024-09-07 09:24:30:584,551881,551881,0,0,24944907,0,3727 59,3,2024-09-07 09:24:31:747,1,437,3,0,1015,5771,437,0 60,0,2024-09-07 09:24:31:713,112200,0.5,112141,0.7,225104,0.5,299141,1.75 60,1,2024-09-07 09:24:30:774,769401,769401,0,0,361734513558,3753441026,764255,4425,721,370,392031,0 60,2,2024-09-07 09:24:31:146,552814,552814,0,0,23182362,0,3811 60,3,2024-09-07 09:24:31:267,1,437,21,0,409,5032,437,0 61,0,2024-09-07 09:24:31:497,112396,0.7,113114,0.8,225079,0.8,300415,2.00 61,1,2024-09-07 09:24:30:771,767317,767317,0,0,359588980315,3765891470,758999,6887,1431,382,392127,0 61,2,2024-09-07 09:24:31:119,550048,549981,67,0,23482205,0,6411 61,3,2024-09-07 09:24:31:692,1,437,0,0,479,5819,437,0 62,0,2024-09-07 09:24:31:713,109237,0.5,111999,0.7,213742,0.5,290080,2.00 62,1,2024-09-07 09:24:31:111,771963,771957,0,6,362551104176,3737204519,768141,3555,261,365,391975,6 62,2,2024-09-07 09:24:31:644,553371,553370,1,0,23735922,0,5555 62,3,2024-09-07 09:24:31:143,1,437,1,0,482,3766,437,0 63,0,2024-09-07 09:24:31:485,105570,0.4,105399,0.5,211422,0.3,281168,1.75 63,1,2024-09-07 09:24:30:821,769698,769692,0,6,361166642594,3748898806,764718,4241,733,381,391800,6 63,2,2024-09-07 09:24:30:763,554172,554172,0,0,21599236,0,4369 63,3,2024-09-07 09:24:31:736,1,437,3,0,667,4435,437,0 64,0,2024-09-07 09:24:31:534,110012,0.5,110093,0.6,219503,0.4,292103,1.75 64,1,2024-09-07 09:24:30:751,768600,768600,0,0,361223528781,3761933266,761918,4979,1703,370,391783,0 64,2,2024-09-07 09:24:31:141,557052,557033,19,0,21393776,0,6121 64,3,2024-09-07 09:24:31:140,1,437,1,0,265,4221,437,0 65,0,2024-09-07 09:24:31:717,110380,0.7,110600,0.8,220979,0.8,294498,2.25 65,1,2024-09-07 09:24:30:865,766578,766578,0,0,359324058620,3753843279,761464,4574,540,381,391901,0 65,2,2024-09-07 09:24:31:695,549009,549009,0,0,24991319,0,3367 65,3,2024-09-07 09:24:31:684,1,437,1,0,782,5326,437,0 66,0,2024-09-07 09:24:31:780,110406,0.5,110193,0.7,220284,0.5,293041,2.25 66,1,2024-09-07 09:24:31:293,768828,768828,0,0,360500472633,3754508716,763329,4973,526,380,391743,0 66,2,2024-09-07 09:24:31:132,556400,556400,0,0,21877131,0,4956 66,3,2024-09-07 09:24:31:080,1,437,3,0,291,3737,437,0 67,0,2024-09-07 09:24:31:413,104061,0.4,103848,0.6,208175,0.4,276945,2.00 67,1,2024-09-07 09:24:30:769,769007,769006,0,1,361003563004,3758682278,763654,4560,792,380,391787,1 67,2,2024-09-07 09:24:30:587,557132,557132,0,0,21035214,0,3622 67,3,2024-09-07 09:24:31:781,1,437,13,0,392,4260,437,0 68,0,2024-09-07 09:24:30:574,109224,0.5,109185,0.7,217308,0.5,290981,2.00 68,1,2024-09-07 09:24:30:582,766099,766099,0,0,359326921234,3777283873,756042,7111,2946,381,391953,0 68,2,2024-09-07 09:24:31:061,550618,550518,100,0,27975341,0,8578 68,3,2024-09-07 09:24:30:732,1,437,1,0,417,4977,437,0 69,0,2024-09-07 09:24:31:760,111454,0.8,112203,0.8,223469,0.9,296389,2.25 69,1,2024-09-07 09:24:31:021,765401,765401,0,0,358179787396,3772927972,755626,7504,2271,384,391994,0 69,2,2024-09-07 09:24:31:744,547980,547951,29,0,29424269,0,6912 69,3,2024-09-07 09:24:30:768,1,437,1,0,698,6643,437,0 70,0,2024-09-07 09:24:31:538,110940,1.1,111214,1.1,223629,0.9,296084,2.50 70,1,2024-09-07 09:24:30:804,769168,769168,0,0,360979876345,3744658641,763187,5320,661,366,391725,0 70,2,2024-09-07 09:24:31:324,553564,553564,0,0,24683016,0,4323 70,3,2024-09-07 09:24:30:747,1,437,12,0,854,5008,437,0 71,0,2024-09-07 09:24:31:376,105117,0.7,104785,0.9,210707,0.8,281071,2.50 71,1,2024-09-07 09:24:31:595,768026,768026,0,0,360984036554,3763018075,759584,7639,803,368,391738,0 71,2,2024-09-07 09:24:31:067,554352,554352,0,0,24714486,0,4352 71,3,2024-09-07 09:24:31:781,1,437,34,0,644,5614,437,0 72,0,2024-09-07 09:24:31:055,111574,0.5,109028,0.7,212682,0.5,289243,2.00 72,1,2024-09-07 09:24:31:038,766431,766431,0,0,359688863221,3772111291,755562,8859,2010,369,391819,0 72,2,2024-09-07 09:24:31:783,551833,551833,0,0,27117107,0,3983 72,3,2024-09-07 09:24:31:762,1,437,1,0,564,6528,437,0 73,0,2024-09-07 09:24:31:124,109756,0.4,112464,0.6,229925,0.4,298650,2.00 73,1,2024-09-07 09:24:30:769,768162,768162,0,0,360624705041,3745073506,762819,4934,409,367,391858,0 73,2,2024-09-07 09:24:31:748,550424,550424,0,0,26487459,0,3701 73,3,2024-09-07 09:24:30:981,1,437,1,0,486,5766,437,0 74,0,2024-09-07 09:24:31:345,114261,0.5,116847,0.7,222683,0.5,302748,2.25 74,1,2024-09-07 09:24:30:641,767085,767085,0,0,360275794633,3759576257,759117,6373,1595,381,391762,0 74,2,2024-09-07 09:24:31:003,550890,550890,0,0,24327648,0,4253 74,3,2024-09-07 09:24:31:453,1,437,1,0,522,5589,437,0 75,0,2024-09-07 09:24:31:764,107930,0.5,107198,0.7,215003,0.5,287352,2.25 75,1,2024-09-07 09:24:31:586,768088,768088,0,0,360524728055,3758946130,761235,6025,828,380,391739,0 75,2,2024-09-07 09:24:31:355,553492,553492,0,0,27132601,0,4766 75,3,2024-09-07 09:24:31:067,1,437,3,0,702,5744,437,0 76,0,2024-09-07 09:24:30:587,106061,0.5,105293,0.7,211436,0.5,282586,2.25 76,1,2024-09-07 09:24:30:805,767969,767969,0,0,360074465005,3755451721,762538,4605,826,382,391692,0 76,2,2024-09-07 09:24:31:063,555499,555498,1,0,23640839,0,5144 76,3,2024-09-07 09:24:31:142,1,437,62,0,175,4038,437,0 77,0,2024-09-07 09:24:31:751,110685,0.5,111053,0.7,222231,0.5,295386,2.00 77,1,2024-09-07 09:24:30:827,768427,768427,0,0,360402500274,3759807553,762595,5326,506,381,391869,0 77,2,2024-09-07 09:24:31:281,553031,553031,0,0,23157105,0,3890 77,3,2024-09-07 09:24:31:095,1,437,1,0,401,4847,437,0 78,0,2024-09-07 09:24:31:762,112066,0.5,111501,0.6,224094,0.4,297201,2.00 78,1,2024-09-07 09:24:30:614,768729,768729,0,0,360737406790,3756605957,760862,6300,1567,367,391670,0 78,2,2024-09-07 09:24:31:424,550223,550210,13,0,21868429,0,8313 78,3,2024-09-07 09:24:31:136,1,437,2,0,181,3733,437,0 79,0,2024-09-07 09:24:31:344,105677,0.4,108184,0.6,221521,0.4,287894,2.25 79,1,2024-09-07 09:24:30:573,770017,770017,0,0,360226004405,3733522126,763423,5285,1309,367,391682,0 79,2,2024-09-07 09:24:31:067,555610,555610,0,0,21387297,0,4195 79,3,2024-09-07 09:24:30:749,1,437,1,0,418,5492,437,0 80,0,2024-09-07 09:24:31:095,104552,0.5,107435,0.6,205579,0.4,278028,2.00 80,1,2024-09-07 09:24:31:620,768105,768105,0,0,360694990551,3755986261,762547,5135,423,368,392269,0 80,2,2024-09-07 09:24:31:103,556567,556567,0,0,21586938,0,4433 80,3,2024-09-07 09:24:30:581,1,437,9,0,190,5020,437,0 81,0,2024-09-07 09:24:31:584,109769,0.5,112418,0.7,214530,0.5,291744,2.00 81,1,2024-09-07 09:24:31:659,766958,766958,0,0,359824724410,3758610154,760947,5470,541,382,391879,0 81,2,2024-09-07 09:24:31:137,552476,552413,63,0,23964605,0,5932 81,3,2024-09-07 09:24:31:120,1,437,12,0,719,5166,437,0 82,0,2024-09-07 09:24:31:531,111286,0.5,111477,0.7,223610,0.5,296454,2.00 82,1,2024-09-07 09:24:30:588,769602,769598,0,4,360915693615,3753176809,764899,3880,819,381,391768,4 82,2,2024-09-07 09:24:31:696,550237,550237,0,0,20332246,0,4484 82,3,2024-09-07 09:24:31:757,1,437,2,0,363,4602,437,0 83,0,2024-09-07 09:24:31:523,111181,0.6,111174,0.8,221738,0.7,294482,2.25 83,1,2024-09-07 09:24:30:550,767414,767414,0,0,359898442419,3752201334,761883,5081,450,382,391709,0 83,2,2024-09-07 09:24:30:764,554625,554625,0,0,21113479,0,3393 83,3,2024-09-07 09:24:30:749,1,437,1,0,1260,5524,437,0 84,0,2024-09-07 09:24:31:781,104475,0.6,104478,0.8,208270,0.5,278597,2.25 84,1,2024-09-07 09:24:31:046,766972,766972,0,0,359761167198,3756418307,759266,6663,1043,367,391967,0 84,2,2024-09-07 09:24:30:575,554619,554589,30,0,28417598,0,5971 84,3,2024-09-07 09:24:31:141,1,437,1,0,908,6437,437,0 85,0,2024-09-07 09:24:31:016,104472,0.5,104490,0.7,221781,0.5,287297,2.00 85,1,2024-09-07 09:24:30:579,764705,764705,0,0,358991054435,3785023182,753887,8898,1920,381,392006,0 85,2,2024-09-07 09:24:30:865,552037,552037,0,0,26311898,0,3656 85,3,2024-09-07 09:24:30:685,1,437,1,0,789,5272,437,0 86,0,2024-09-07 09:24:30:902,111875,0.7,115145,0.8,220347,0.7,297827,2.25 86,1,2024-09-07 09:24:31:018,767202,767202,0,0,360019004872,3768012490,758525,7236,1441,366,391961,0 86,2,2024-09-07 09:24:30:882,547329,547328,1,0,28416806,0,5004 86,3,2024-09-07 09:24:30:586,1,437,3,0,308,6253,437,0 87,0,2024-09-07 09:24:31:293,113037,1.0,112808,0.9,225741,1.3,301509,2.50 87,1,2024-09-07 09:24:30:559,765876,765876,0,0,359146309869,3762601258,755922,8360,1594,366,392076,0 87,2,2024-09-07 09:24:31:079,551764,551758,6,0,25179171,0,6323 87,3,2024-09-07 09:24:31:801,1,437,2,0,473,6776,437,0 88,0,2024-09-07 09:24:31:490,106981,0.4,107804,0.6,214629,0.4,285778,1.75 88,1,2024-09-07 09:24:30:583,765604,765604,0,0,359173096931,3761023514,755880,7716,2008,365,392084,0 88,2,2024-09-07 09:24:30:690,555036,555036,0,0,27744033,0,4465 88,3,2024-09-07 09:24:31:274,1,437,12,0,435,5283,437,0 89,0,2024-09-07 09:24:31:875,110287,0.4,106764,0.6,211281,0.4,287340,1.75 89,1,2024-09-07 09:24:30:550,765578,765578,0,0,359086328373,3773345810,756400,7751,1427,382,391866,0 89,2,2024-09-07 09:24:31:132,552256,552256,0,0,26057089,0,3173 89,3,2024-09-07 09:24:31:809,1,437,1,0,468,7578,437,0 90,0,2024-09-07 09:24:31:703,109031,0.5,111998,0.6,228417,0.4,298207,2.00 90,1,2024-09-07 09:24:30:681,766563,766563,0,0,360081417192,3767693828,759785,6265,513,380,391825,0 90,2,2024-09-07 09:24:31:410,550529,550529,0,0,27348366,0,3060 90,3,2024-09-07 09:24:30:930,1,437,1,0,322,5342,437,0 91,0,2024-09-07 09:24:30:958,113254,0.5,109582,0.7,228948,0.5,301141,1.75 91,1,2024-09-07 09:24:30:562,765641,765641,0,0,359146287037,3774428117,756378,7859,1404,381,392047,0 91,2,2024-09-07 09:24:31:411,551228,551228,0,0,24195759,0,2896 91,3,2024-09-07 09:24:30:615,1,437,0,0,216,4286,437,0 92,0,2024-09-07 09:24:31:461,109653,0.4,112372,0.6,214520,0.4,290418,1.75 92,1,2024-09-07 09:24:30:581,767841,767841,0,0,359894998351,3756747430,762298,4817,726,381,392136,0 92,2,2024-09-07 09:24:31:351,555458,555458,0,0,21772218,0,3259 92,3,2024-09-07 09:24:31:015,1,437,25,0,167,3832,437,0 93,0,2024-09-07 09:24:30:967,106100,0.4,108587,0.5,207255,0.3,281278,1.75 93,1,2024-09-07 09:24:30:816,767489,767489,0,0,360345863318,3761079168,759323,6781,1385,366,391776,0 93,2,2024-09-07 09:24:30:927,553804,553804,0,0,26496281,0,4845 93,3,2024-09-07 09:24:31:407,1,437,11,0,190,3848,437,0 94,0,2024-09-07 09:24:31:635,109931,0.3,110916,0.5,221252,0.3,293786,1.75 94,1,2024-09-07 09:24:30:581,767789,767789,0,0,360388852317,3758077483,762993,4586,210,381,391850,0 94,2,2024-09-07 09:24:30:769,553392,553392,0,0,22142579,0,2443 94,3,2024-09-07 09:24:31:712,1,437,4,0,576,5782,437,0 95,0,2024-09-07 09:24:31:436,111320,0.4,111104,0.5,222748,0.3,297022,1.75 95,1,2024-09-07 09:24:30:851,768971,768971,0,0,361239131133,3753408291,762914,5619,438,365,391786,0 95,2,2024-09-07 09:24:31:016,548619,548619,0,0,21864258,0,3308 95,3,2024-09-07 09:24:31:717,1,437,31,0,718,6286,437,0 96,0,2024-09-07 09:24:31:026,110814,0.4,111191,0.5,221744,0.3,294150,1.75 96,1,2024-09-07 09:24:31:597,767852,767852,0,0,359201795378,3747349252,762298,4669,885,384,391955,0 96,2,2024-09-07 09:24:31:268,555819,555819,0,0,22756029,0,4180 96,3,2024-09-07 09:24:31:140,1,437,6,0,411,4839,437,0 97,0,2024-09-07 09:24:31:317,104123,0.3,103681,0.5,208114,0.2,276835,1.50 97,1,2024-09-07 09:24:30:876,769045,769045,0,0,361161331999,3746053595,763888,4224,933,367,392140,0 97,2,2024-09-07 09:24:30:607,555687,555687,0,0,21861799,0,3679 97,3,2024-09-07 09:24:30:594,1,437,0,0,242,5149,437,0 98,0,2024-09-07 09:24:31:756,108791,0.3,108927,0.4,218476,0.2,290874,1.50 98,1,2024-09-07 09:24:30:576,768181,768181,0,0,360531245615,3755285545,763215,4174,792,382,391997,0 98,2,2024-09-07 09:24:30:768,553671,553671,0,0,22030660,0,4336 98,3,2024-09-07 09:24:30:705,1,437,2,0,840,7213,437,0 99,0,2024-09-07 09:24:31:476,112047,0.3,112736,0.5,223929,0.3,299035,1.75 99,1,2024-09-07 09:24:31:730,768716,768716,0,0,360594894055,3751713673,763766,4113,837,381,392069,0 99,2,2024-09-07 09:24:31:416,550082,550082,0,0,25833270,0,4276 99,3,2024-09-07 09:24:30:585,1,437,1,0,606,4728,437,0 100,0,2024-09-07 09:24:31:523,111903,0.8,112253,1.0,223639,1.0,298665,2.50 100,1,2024-09-07 09:24:30:552,764358,764358,0,0,358621472554,3785019557,753619,8689,2050,381,391989,0 100,2,2024-09-07 09:24:31:817,551671,551660,11,0,25357251,0,5417 100,3,2024-09-07 09:24:31:738,1,437,4,0,559,6941,437,0 101,0,2024-09-07 09:24:31:736,108331,0.8,105574,0.9,207110,0.6,283268,2.25 101,1,2024-09-07 09:24:30:556,764926,764926,0,0,358902676475,3772449329,754233,8569,2124,368,391847,0 101,2,2024-09-07 09:24:31:770,551630,551630,0,0,30291960,0,4871 101,3,2024-09-07 09:24:30:950,1,437,3,0,579,5909,437,0 102,0,2024-09-07 09:24:30:962,105088,0.6,108415,0.7,219802,0.5,288033,2.00 102,1,2024-09-07 09:24:31:171,765262,765262,0,0,359977931397,3779704049,755379,8119,1764,369,391891,0 102,2,2024-09-07 09:24:31:753,553482,553428,54,0,24419818,0,6768 102,3,2024-09-07 09:24:31:636,1,437,6,0,466,4775,437,0 103,0,2024-09-07 09:24:31:643,116266,0.5,116206,0.7,219061,0.5,300988,2.00 103,1,2024-09-07 09:24:31:643,764524,764524,0,0,359112163903,3789760331,752524,8934,3066,381,391829,0 103,2,2024-09-07 09:24:30:597,549244,549244,0,0,27004629,0,3766 103,3,2024-09-07 09:24:30:779,1,437,10,0,916,4825,437,0 104,0,2024-09-07 09:24:31:015,112337,0.8,112718,1.0,224380,0.7,300786,2.25 104,1,2024-09-07 09:24:31:598,766705,766705,0,0,359740751089,3776166786,755855,8986,1864,365,392168,0 104,2,2024-09-07 09:24:31:672,550157,550157,0,0,25909776,0,3941 104,3,2024-09-07 09:24:31:419,1,437,1,0,1245,8591,437,0 105,0,2024-09-07 09:24:31:047,106251,0.8,103466,1.0,216973,0.8,285238,2.50 105,1,2024-09-07 09:24:30:586,767581,767581,0,0,360548035552,3775696434,758130,8023,1428,366,392009,0 105,2,2024-09-07 09:24:31:322,553510,553510,0,0,25843414,0,3509 105,3,2024-09-07 09:24:31:304,1,437,1,0,399,6600,437,0 106,0,2024-09-07 09:24:30:951,102911,0.7,105394,0.8,215661,0.7,282155,2.25 106,1,2024-09-07 09:24:31:758,766226,766226,0,0,359906674144,3776649151,755169,9610,1447,369,391914,0 106,2,2024-09-07 09:24:30:767,552143,552143,0,0,25262834,0,2920 106,3,2024-09-07 09:24:30:738,1,437,1,0,470,5532,437,0 107,0,2024-09-07 09:24:31:170,110873,0.9,111155,0.9,221963,1.0,296422,2.25 107,1,2024-09-07 09:24:30:665,764901,764901,0,0,358836586988,3780275506,754672,9176,1053,381,392234,0 107,2,2024-09-07 09:24:31:296,551357,551356,1,0,26213458,0,5024 107,3,2024-09-07 09:24:31:758,1,437,3,0,370,6537,437,0 108,0,2024-09-07 09:24:31:794,111608,0.5,112374,0.6,223455,0.4,298368,1.75 108,1,2024-09-07 09:24:31:293,767932,767932,0,0,361126489046,3764275671,761786,5401,745,368,391857,0 108,2,2024-09-07 09:24:31:785,548468,548468,0,0,24480881,0,4246 108,3,2024-09-07 09:24:31:334,1,437,0,0,749,8027,437,0 109,0,2024-09-07 09:24:31:757,110109,0.4,109347,0.6,218610,0.4,292119,1.75 109,1,2024-09-07 09:24:30:594,765116,765116,0,0,359187255125,3769698799,757677,6252,1187,382,392132,0 109,2,2024-09-07 09:24:30:934,552679,552679,0,0,24117710,0,3617 109,3,2024-09-07 09:24:31:140,1,437,11,0,379,5064,437,0 110,0,2024-09-07 09:24:31:782,104296,0.3,101647,0.5,213018,0.3,278669,1.75 110,1,2024-09-07 09:24:31:660,769077,769077,0,0,361252829497,3748379811,763685,4214,1178,369,392045,0 110,2,2024-09-07 09:24:31:307,554701,554701,0,0,23068810,0,4067 110,3,2024-09-07 09:24:30:697,1,437,70,0,722,6208,437,0 111,0,2024-09-07 09:24:31:414,110107,0.4,109341,0.5,219305,0.3,292975,1.75 111,1,2024-09-07 09:24:31:021,769008,769008,0,0,361937157673,3752653012,765118,3535,355,380,391690,0 111,2,2024-09-07 09:24:31:120,552749,552749,0,0,22720333,0,4823 111,3,2024-09-07 09:24:30:913,1,437,2,0,379,5075,437,0 112,0,2024-09-07 09:24:30:915,112201,0.3,111888,0.4,224042,0.2,297471,1.50 112,1,2024-09-07 09:24:30:829,768963,768963,0,0,359872836243,3734385348,764246,4104,613,380,391624,0 112,2,2024-09-07 09:24:31:134,548699,548698,1,0,21783270,0,5036 112,3,2024-09-07 09:24:30:592,1,437,1,0,282,4252,437,0 113,0,2024-09-07 09:24:30:870,111294,0.3,111321,0.5,223148,0.3,296502,1.75 113,1,2024-09-07 09:24:31:693,771218,771218,0,0,362827792466,3741606708,767325,3304,589,366,391661,0 113,2,2024-09-07 09:24:31:303,556475,556475,0,0,20169932,0,3813 113,3,2024-09-07 09:24:30:684,1,437,1,0,340,4755,437,0 114,0,2024-09-07 09:24:30:883,105433,0.3,106035,0.4,210805,0.2,281284,1.75 114,1,2024-09-07 09:24:30:716,768772,768772,0,0,361074401397,3750023074,762487,4669,1616,381,391565,0 114,2,2024-09-07 09:24:30:872,556320,556319,1,0,21236940,0,5069 114,3,2024-09-07 09:24:31:278,1,437,12,0,395,3690,437,0 115,0,2024-09-07 09:24:30:559,108589,0.2,109087,0.4,217869,0.2,289729,1.50 115,1,2024-09-07 09:24:30:576,769307,769307,0,0,360728842469,3748565595,762811,5229,1267,382,391757,0 115,2,2024-09-07 09:24:31:129,554928,554928,0,0,21057200,0,4382 115,3,2024-09-07 09:24:31:013,1,437,1,0,159,2405,437,0 116,0,2024-09-07 09:24:31:717,111583,0.7,111724,0.8,223821,0.7,298899,2.00 116,1,2024-09-07 09:24:30:823,765143,765143,0,0,359559031377,3785123501,756527,6290,2326,380,392089,0 116,2,2024-09-07 09:24:31:757,548887,548887,0,0,26737472,0,4128 116,3,2024-09-07 09:24:30:929,1,437,8,0,415,5080,437,0 117,0,2024-09-07 09:24:30:955,113452,0.8,112855,0.8,226112,0.9,301814,2.00 117,1,2024-09-07 09:24:31:581,766449,766449,0,0,359024289005,3754839197,758693,6841,915,369,392033,0 117,2,2024-09-07 09:24:31:128,555245,555245,0,0,22957577,0,4303 117,3,2024-09-07 09:24:31:063,1,437,0,0,490,6544,437,0 118,0,2024-09-07 09:24:31:788,104028,0.5,106728,0.6,218006,0.4,284745,2.00 118,1,2024-09-07 09:24:30:590,766404,766404,0,0,358659254401,3771510944,754488,8916,3000,366,391907,0 118,2,2024-09-07 09:24:31:589,554302,554302,0,0,25253522,0,2842 118,3,2024-09-07 09:24:31:783,1,437,15,0,248,5111,437,0 119,0,2024-09-07 09:24:31:419,107081,0.5,107270,0.7,214642,0.5,285887,2.00 119,1,2024-09-07 09:24:30:613,766896,766896,0,0,360055973497,3765583979,758406,7372,1118,367,391857,0 119,2,2024-09-07 09:24:31:297,553625,553625,0,0,23894680,0,4174 119,3,2024-09-07 09:24:31:332,1,437,7,0,1358,8483,437,0 120,0,2024-09-07 09:24:31:547,111740,0.6,111451,0.8,223602,0.6,298519,2.25 120,1,2024-09-07 09:24:30:864,767053,767053,0,0,359375426060,3769753420,758676,7607,770,368,392144,0 120,2,2024-09-07 09:24:30:770,551492,551491,1,0,27251475,0,5281 120,3,2024-09-07 09:24:31:290,1,437,0,0,279,5397,437,0 121,0,2024-09-07 09:24:31:722,112641,1.4,112279,1.1,225158,1.9,300426,2.50 121,1,2024-09-07 09:24:31:657,767139,767139,0,0,359507713665,3756141362,760387,6290,462,367,391840,0 121,2,2024-09-07 09:24:31:129,549290,549290,0,0,26155797,0,4127 121,3,2024-09-07 09:24:30:727,1,437,2,0,269,4815,437,0 122,0,2024-09-07 09:24:31:771,108211,0.7,105547,0.9,221391,0.8,290304,2.00 122,1,2024-09-07 09:24:30:860,765673,765673,0,0,359272574938,3768318591,755227,8842,1604,366,392130,0 122,2,2024-09-07 09:24:31:319,554755,554682,73,0,29120775,0,5989 122,3,2024-09-07 09:24:30:602,1,437,2,0,512,7794,437,0 123,0,2024-09-07 09:24:30:950,104939,0.6,102254,0.7,214119,0.5,280662,2.00 123,1,2024-09-07 09:24:30:569,765381,765381,0,0,359617390664,3787097336,751831,11106,2444,369,392039,0 123,2,2024-09-07 09:24:31:022,551980,551979,1,0,24615527,0,5215 123,3,2024-09-07 09:24:31:138,1,437,52,0,478,5134,437,0 124,0,2024-09-07 09:24:30:949,113550,0.3,113662,0.5,214236,0.3,294509,1.75 124,1,2024-09-07 09:24:31:024,768665,768665,0,0,360446032066,3745045159,763384,4231,1050,367,392178,0 124,2,2024-09-07 09:24:31:014,554477,554424,53,0,22304979,0,6487 124,3,2024-09-07 09:24:30:767,1,437,16,0,490,4174,437,0 125,0,2024-09-07 09:24:31:466,111207,0.4,111060,0.6,223054,0.4,297060,1.75 125,1,2024-09-07 09:24:30:855,767042,767042,0,0,360382870696,3761517902,761124,5246,672,382,391702,0 125,2,2024-09-07 09:24:31:120,549885,549885,0,0,23011165,0,4534 125,3,2024-09-07 09:24:31:135,1,437,1,0,709,5423,437,0 126,0,2024-09-07 09:24:31:420,110751,0.4,114017,0.6,218083,0.4,294795,1.75 126,1,2024-09-07 09:24:30:553,769241,769241,0,0,361043486453,3738239821,765235,3712,294,365,391987,0 126,2,2024-09-07 09:24:30:613,555801,555801,0,0,23865799,0,4539 126,3,2024-09-07 09:24:30:908,1,437,17,0,268,5313,437,0 127,0,2024-09-07 09:24:31:614,103982,0.3,104299,0.5,208291,0.2,276995,1.50 127,1,2024-09-07 09:24:30:578,768267,768267,0,0,359717790068,3740750795,760921,6124,1222,364,392187,0 127,2,2024-09-07 09:24:30:645,554068,554068,0,0,21536762,0,3897 127,3,2024-09-07 09:24:31:267,1,437,0,0,968,4645,437,0 128,0,2024-09-07 09:24:31:543,109381,0.3,109694,0.4,218906,0.2,291247,1.50 128,1,2024-09-07 09:24:31:641,768160,768160,0,0,360900236781,3745355445,763246,4481,433,367,392031,0 128,2,2024-09-07 09:24:31:387,554683,554683,0,0,20827536,0,3171 128,3,2024-09-07 09:24:30:768,1,437,3,0,1082,6759,437,0 129,0,2024-09-07 09:24:31:002,112998,0.3,112421,0.5,225310,0.3,299405,1.75 129,1,2024-09-07 09:24:30:570,766021,766021,0,0,359637599613,3767195508,758344,6006,1671,379,391962,0 129,2,2024-09-07 09:24:30:686,549789,549789,0,0,22185720,0,4031 129,3,2024-09-07 09:24:30:687,1,437,126,0,506,6002,437,0 130,0,2024-09-07 09:24:31:714,112920,0.5,112460,0.6,226093,0.5,299967,1.75 130,1,2024-09-07 09:24:30:594,768598,768598,0,0,360748517062,3747435422,764559,3700,339,381,391825,0 130,2,2024-09-07 09:24:31:135,555142,555142,0,0,22699133,0,4067 130,3,2024-09-07 09:24:31:291,1,437,1,0,960,6771,437,0 131,0,2024-09-07 09:24:31:944,105945,0.3,106494,0.5,213785,0.3,283158,1.75 131,1,2024-09-07 09:24:31:820,768274,768274,0,0,360402719410,3762893535,762302,4922,1050,381,391865,0 131,2,2024-09-07 09:24:30:576,557081,557081,0,0,20916099,0,3979 131,3,2024-09-07 09:24:31:696,1,437,7,0,392,4837,437,0 132,0,2024-09-07 09:24:31:430,108093,0.4,108992,0.6,217012,0.4,288898,1.75 132,1,2024-09-07 09:24:30:579,764440,764440,0,0,358908611312,3777583618,753303,9028,2109,381,392532,0 132,2,2024-09-07 09:24:30:698,552546,552529,17,0,27294013,0,6451 132,3,2024-09-07 09:24:31:693,1,437,2,0,804,7589,437,0 133,0,2024-09-07 09:24:31:536,109638,0.4,112138,0.6,230118,0.4,299233,1.75 133,1,2024-09-07 09:24:30:605,764766,764766,0,0,359301783830,3785220205,754218,9033,1515,383,391914,0 133,2,2024-09-07 09:24:31:094,549938,549888,50,0,28640920,0,6861 133,3,2024-09-07 09:24:31:299,1,437,7,0,479,4595,437,0 134,0,2024-09-07 09:24:30:941,113891,0.6,113473,0.7,227201,0.6,303201,2.00 134,1,2024-09-07 09:24:30:594,766072,766072,0,0,359315550081,3766752177,756274,7763,2035,366,391718,0 134,2,2024-09-07 09:24:31:766,549882,549858,24,0,26257261,0,6207 134,3,2024-09-07 09:24:30:761,1,437,1,0,739,5494,437,0 135,0,2024-09-07 09:24:31:117,104061,0.7,104056,0.8,220888,0.8,284805,2.00 135,1,2024-09-07 09:24:31:612,766205,766205,0,0,359925716197,3784129322,756239,8595,1371,380,391805,0 135,2,2024-09-07 09:24:30:698,554571,554571,0,0,25673836,0,3981 135,3,2024-09-07 09:24:31:013,1,437,0,0,299,3766,437,0 136,0,2024-09-07 09:24:31:631,106859,0.5,107059,0.7,213105,0.4,284458,2.00 136,1,2024-09-07 09:24:31:452,767092,767092,0,0,360117181669,3774748028,758520,7608,964,381,391685,0 136,2,2024-09-07 09:24:31:133,554425,554425,0,0,24611782,0,3506 136,3,2024-09-07 09:24:31:111,1,437,3,0,637,5090,437,0 137,0,2024-09-07 09:24:30:962,114432,0.6,111481,0.7,218713,0.6,297464,2.00 137,1,2024-09-07 09:24:30:584,765071,765071,0,0,359541527825,3770485111,753511,9200,2360,366,391898,0 137,2,2024-09-07 09:24:31:707,551352,551352,0,0,27200069,0,3185 137,3,2024-09-07 09:24:30:770,1,437,1,0,484,5318,437,0 138,0,2024-09-07 09:24:31:747,111419,1.0,111332,1.0,223540,1.3,297159,2.25 138,1,2024-09-07 09:24:31:692,766501,766501,0,0,360220192185,3775602898,756258,8520,1723,368,391954,0 138,2,2024-09-07 09:24:30:593,548815,548815,0,0,24655882,0,4988 138,3,2024-09-07 09:24:30:616,1,437,1,0,1160,5736,437,0 139,0,2024-09-07 09:24:31:391,108558,1.5,108659,1.1,217797,2.2,290590,2.50 139,1,2024-09-07 09:24:30:577,762387,762387,0,0,357836851975,3801260774,747709,11310,3368,380,392058,0 139,2,2024-09-07 09:24:30:700,550559,550559,0,0,28674366,0,3097 139,3,2024-09-07 09:24:31:670,1,437,1,0,432,5067,437,0 140,0,2024-09-07 09:24:31:590,104912,0.3,104354,0.5,209513,0.2,279100,1.75 140,1,2024-09-07 09:24:31:536,770322,770322,0,0,361828575039,3728893505,766757,3124,441,365,391606,0 140,2,2024-09-07 09:24:30:686,555361,555360,1,0,21749004,0,5036 140,3,2024-09-07 09:24:30:768,1,437,1,0,297,3605,437,0 141,0,2024-09-07 09:24:31:698,109915,0.3,112876,0.5,215573,0.2,292673,1.50 141,1,2024-09-07 09:24:30:862,769176,769176,0,0,361390224692,3752101040,763486,4733,957,379,391614,0 141,2,2024-09-07 09:24:31:686,553746,553746,0,0,21528337,0,3360 141,3,2024-09-07 09:24:31:046,1,437,1,0,391,4955,437,0 142,0,2024-09-07 09:24:31:334,112356,0.3,111752,0.5,223456,0.3,298044,1.75 142,1,2024-09-07 09:24:30:599,768198,768198,0,0,360642367761,3757418607,763506,4293,399,382,392102,0 142,2,2024-09-07 09:24:31:301,548188,548156,32,0,23491578,0,6028 142,3,2024-09-07 09:24:31:747,1,437,19,0,484,4887,437,0 143,0,2024-09-07 09:24:31:447,111363,0.5,111576,0.6,223216,0.5,296553,1.75 143,1,2024-09-07 09:24:30:583,769301,769301,0,0,360971336896,3744991432,764255,4502,544,367,391705,0 143,2,2024-09-07 09:24:30:770,554919,554919,0,0,22766474,0,3123 143,3,2024-09-07 09:24:31:142,1,437,5,0,462,5389,437,0 144,0,2024-09-07 09:24:31:493,101892,0.6,104609,0.8,213284,0.5,279181,2.00 144,1,2024-09-07 09:24:30:591,765267,765267,0,0,358448286457,3761199194,757553,5880,1834,381,391649,0 144,2,2024-09-07 09:24:31:781,556214,556214,0,0,21367632,0,3673 144,3,2024-09-07 09:24:31:747,1,437,1,0,249,4414,437,0 145,0,2024-09-07 09:24:31:370,104928,0.5,104946,0.7,222815,0.4,287065,2.25 145,1,2024-09-07 09:24:30:557,765233,765233,0,0,358806758373,3771803271,755598,7973,1662,382,391759,0 145,2,2024-09-07 09:24:31:428,551226,551145,81,0,26374820,0,7814 145,3,2024-09-07 09:24:30:908,1,437,1,0,622,6216,437,0 146,0,2024-09-07 09:24:31:616,112002,0.6,111496,0.8,224380,0.6,297435,2.25 146,1,2024-09-07 09:24:31:601,765816,765816,0,0,359324040541,3778390814,753664,9036,3116,367,391770,0 146,2,2024-09-07 09:24:31:696,547934,547934,0,0,25135348,0,3290 146,3,2024-09-07 09:24:31:274,1,437,11,0,1520,8105,437,0 147,0,2024-09-07 09:24:31:701,113183,0.7,113124,0.8,225514,0.7,301195,2.25 147,1,2024-09-07 09:24:31:381,769350,769350,0,0,360496744539,3748633059,762632,5871,847,368,391791,0 147,2,2024-09-07 09:24:31:119,552742,552742,0,0,22740172,0,2968 147,3,2024-09-07 09:24:30:912,1,437,15,0,1626,7499,437,0 0,0,2024-09-07 09:24:41:742,109330,0.6,109284,0.7,231981,0.6,299672,2.00 0,1,2024-09-07 09:24:40:801,768425,768425,0,0,360598378058,3772098237,762957,5079,389,369,391896,0 0,2,2024-09-07 09:24:41:067,554254,554254,0,0,21956688,0,4480 0,3,2024-09-07 09:24:40:974,1,438,1,0,431,6382,438,0 1,0,2024-09-07 09:24:41:749,112973,1.1,112333,1.0,225247,1.6,301501,2.25 1,1,2024-09-07 09:24:40:577,767964,767964,0,0,360058531633,3766673974,761531,5105,1328,370,391859,0 1,2,2024-09-07 09:24:40:661,551206,551206,0,0,22277051,0,3380 1,3,2024-09-07 09:24:41:302,1,438,1,0,268,5292,438,0 2,0,2024-09-07 09:24:41:575,108628,0.6,108769,0.8,216839,0.6,289205,2.00 2,1,2024-09-07 09:24:40:861,770522,770522,0,0,361783776102,3753286686,767233,2994,295,380,391745,0 2,2,2024-09-07 09:24:41:269,556517,556517,0,0,20892183,0,3594 2,3,2024-09-07 09:24:40:692,1,438,31,0,357,4206,438,0 3,0,2024-09-07 09:24:41:760,105823,0.4,105593,0.6,211060,0.3,281658,2.00 3,1,2024-09-07 09:24:41:617,769123,769123,0,0,360687474061,3754483931,762901,5531,691,379,391716,0 3,2,2024-09-07 09:24:41:142,555437,555414,23,0,22050182,0,5851 3,3,2024-09-07 09:24:41:752,1,438,2,0,207,2853,438,0 4,0,2024-09-07 09:24:41:763,107371,0.4,110278,0.5,224968,0.3,294342,1.75 4,1,2024-09-07 09:24:40:606,766309,766309,0,0,358865453163,3790387137,754486,9315,2508,370,391992,0 4,2,2024-09-07 09:24:41:031,552508,552508,0,0,25239048,0,4528 4,3,2024-09-07 09:24:41:031,1,438,7,0,448,5611,438,0 5,0,2024-09-07 09:24:41:385,111299,0.4,112034,0.6,223415,0.4,297123,1.75 5,1,2024-09-07 09:24:40:769,767658,767658,0,0,359767739339,3785004390,757222,8276,2160,367,392005,0 5,2,2024-09-07 09:24:41:854,547584,547584,0,0,25030784,0,3582 5,3,2024-09-07 09:24:41:735,1,438,0,0,457,6044,438,0 6,0,2024-09-07 09:24:40:921,110988,0.5,110606,0.6,221142,0.4,294786,2.00 6,1,2024-09-07 09:24:40:828,768839,768839,0,0,360897533659,3769258585,760627,6819,1393,379,391702,0 6,2,2024-09-07 09:24:41:127,555655,555637,18,0,24993895,0,5535 6,3,2024-09-07 09:24:41:277,1,438,9,0,710,5465,438,0 7,0,2024-09-07 09:24:41:540,103627,0.4,104345,0.6,207771,0.4,276756,1.75 7,1,2024-09-07 09:24:40:852,768207,768207,0,0,360452527079,3778662367,758435,8308,1464,382,391747,0 7,2,2024-09-07 09:24:40:769,556637,556637,0,0,24305203,0,4791 7,3,2024-09-07 09:24:40:852,1,438,1,0,552,4971,438,0 8,0,2024-09-07 09:24:41:410,109597,0.3,109335,0.5,219297,0.3,292307,1.75 8,1,2024-09-07 09:24:41:038,766816,766816,0,0,360438843147,3787799900,755196,8987,2633,366,392853,0 8,2,2024-09-07 09:24:40:794,550506,550506,0,0,28576939,0,3250 8,3,2024-09-07 09:24:40:595,1,438,1,0,538,7103,438,0 9,0,2024-09-07 09:24:41:149,112355,0.4,109147,0.5,228396,0.3,299148,1.75 9,1,2024-09-07 09:24:40:569,766579,766579,0,0,360463035058,3794477302,755502,8656,2421,369,392001,0 9,2,2024-09-07 09:24:41:102,549126,549126,0,0,25355772,0,3360 9,3,2024-09-07 09:24:41:756,1,438,1,0,496,6176,438,0 10,0,2024-09-07 09:24:41:608,112208,0.4,111710,0.5,224318,0.3,298432,1.75 10,1,2024-09-07 09:24:40:589,768143,768143,0,0,360647342142,3779134921,757650,8869,1624,381,391741,0 10,2,2024-09-07 09:24:40:762,554493,554493,0,0,28017025,0,4264 10,3,2024-09-07 09:24:40:887,1,438,0,0,649,4631,438,0 11,0,2024-09-07 09:24:41:019,105938,0.4,102741,0.6,214997,0.4,283158,1.75 11,1,2024-09-07 09:24:40:571,768696,768696,0,0,361328072550,3788386318,757367,8702,2627,383,391756,0 11,2,2024-09-07 09:24:41:128,556011,556011,0,0,24666664,0,4130 11,3,2024-09-07 09:24:41:298,1,438,3,0,843,6231,438,0 12,0,2024-09-07 09:24:40:951,109032,0.4,109123,0.5,218168,0.3,289836,1.75 12,1,2024-09-07 09:24:40:955,768608,768608,0,0,360849326138,3760102817,763040,5066,502,370,391870,0 12,2,2024-09-07 09:24:41:565,554410,554410,0,0,23957829,0,3469 12,3,2024-09-07 09:24:41:064,1,438,1,0,386,6363,438,0 13,0,2024-09-07 09:24:41:374,113596,0.4,113118,0.6,225714,0.4,300632,1.75 13,1,2024-09-07 09:24:41:533,767009,767009,0,0,359785505428,3780489589,759385,5992,1632,382,391740,0 13,2,2024-09-07 09:24:40:622,553209,553209,0,0,21973016,0,3287 13,3,2024-09-07 09:24:41:761,1,438,1,0,522,6290,438,0 14,0,2024-09-07 09:24:40:563,113440,0.4,114552,0.6,226543,0.4,302353,1.75 14,1,2024-09-07 09:24:41:562,772466,772466,0,0,362447137310,3752321583,766244,5513,709,364,391673,0 14,2,2024-09-07 09:24:40:763,553313,553283,30,0,24076953,0,6104 14,3,2024-09-07 09:24:41:121,1,438,1,0,1168,4742,438,0 15,0,2024-09-07 09:24:41:561,107529,0.4,107400,0.6,215136,0.4,286518,2.00 15,1,2024-09-07 09:24:41:611,769702,769702,0,0,361468765960,3763657966,763477,5046,1179,381,391619,0 15,2,2024-09-07 09:24:41:001,557696,557696,0,0,20041709,0,3622 15,3,2024-09-07 09:24:41:405,1,438,1,0,1126,6981,438,0 16,0,2024-09-07 09:24:40:973,106798,0.5,107326,0.7,213875,0.5,284643,2.00 16,1,2024-09-07 09:24:40:565,769491,769491,0,0,361099423888,3773611751,762885,5492,1114,370,391917,0 16,2,2024-09-07 09:24:41:434,554486,554486,0,0,23607130,0,4719 16,3,2024-09-07 09:24:41:145,1,438,1,0,317,5432,438,0 17,0,2024-09-07 09:24:41:762,114916,0.6,112296,0.8,219475,0.6,298680,2.00 17,1,2024-09-07 09:24:40:576,767623,767623,0,0,359858886695,3773865264,759953,6153,1517,368,392075,0 17,2,2024-09-07 09:24:41:666,556046,556046,0,0,23387266,0,3779 17,3,2024-09-07 09:24:40:584,1,438,10,0,518,6896,438,0 18,0,2024-09-07 09:24:40:953,111049,0.7,111566,0.8,222402,0.8,297413,2.25 18,1,2024-09-07 09:24:41:641,771005,771005,0,0,361648091322,3746107224,767003,3623,379,367,391725,0 18,2,2024-09-07 09:24:41:755,553498,553498,0,0,20732228,0,3541 18,3,2024-09-07 09:24:40:908,1,438,0,0,163,3308,438,0 19,0,2024-09-07 09:24:41:539,109121,0.6,109612,0.8,217398,0.6,289391,2.25 19,1,2024-09-07 09:24:40:565,771124,771124,0,0,361997596090,3752831486,765174,5029,921,367,391777,0 19,2,2024-09-07 09:24:41:752,558284,558284,0,0,19689562,0,3988 19,3,2024-09-07 09:24:41:131,1,438,3,0,524,3485,438,0 20,0,2024-09-07 09:24:41:387,104775,0.4,104731,0.6,209281,0.4,279262,2.00 20,1,2024-09-07 09:24:40:568,768301,768301,0,0,360345141227,3767252458,761586,5914,801,369,391922,0 20,2,2024-09-07 09:24:40:945,554918,554918,0,0,23673500,0,3721 20,3,2024-09-07 09:24:40:589,1,438,26,0,414,6306,438,0 21,0,2024-09-07 09:24:41:224,110069,0.4,110221,0.6,220126,0.4,292509,1.75 21,1,2024-09-07 09:24:41:564,766628,766628,0,0,360226286831,3795178225,755660,8488,2480,368,392016,0 21,2,2024-09-07 09:24:41:070,551965,551945,20,0,28745563,0,5617 21,3,2024-09-07 09:24:41:422,1,438,12,0,713,5782,438,0 22,0,2024-09-07 09:24:41:724,111716,0.5,112063,0.7,223896,0.4,296680,2.00 22,1,2024-09-07 09:24:41:041,767804,767804,0,0,360781002940,3788575057,756649,8928,2227,382,391667,0 22,2,2024-09-07 09:24:40:766,548838,548812,26,0,22802323,0,6328 22,3,2024-09-07 09:24:41:066,1,438,2,0,228,3541,438,0 23,0,2024-09-07 09:24:41:374,111033,0.5,110354,0.7,221295,0.5,294736,2.25 23,1,2024-09-07 09:24:41:003,768820,768820,0,0,361489343670,3792984381,755710,9056,4054,365,391690,0 23,2,2024-09-07 09:24:41:091,557202,557202,0,0,22409776,0,3773 23,3,2024-09-07 09:24:41:754,1,438,1,0,720,5592,438,0 24,0,2024-09-07 09:24:40:827,105814,0.4,105238,0.5,211652,0.3,280697,1.75 24,1,2024-09-07 09:24:40:580,768015,768015,0,0,359369496084,3765449261,760009,6412,1594,367,392269,0 24,2,2024-09-07 09:24:41:080,556077,556077,0,0,27009868,0,3607 24,3,2024-09-07 09:24:41:691,1,438,6,0,468,5870,438,0 25,0,2024-09-07 09:24:41:372,111847,0.4,108956,0.6,213719,0.3,291514,1.75 25,1,2024-09-07 09:24:40:634,767486,767486,0,0,359989541678,3791672835,755457,9778,2251,371,391928,0 25,2,2024-09-07 09:24:41:628,552623,552623,0,0,27640650,0,3978 25,3,2024-09-07 09:24:41:000,1,438,1,0,532,4903,438,0 26,0,2024-09-07 09:24:41:721,111996,0.4,109353,0.6,229533,0.4,298485,2.00 26,1,2024-09-07 09:24:41:541,769264,769264,0,0,360797829906,3781426816,757631,9224,2409,380,391748,0 26,2,2024-09-07 09:24:40:868,550699,550699,0,0,27607136,0,2809 26,3,2024-09-07 09:24:41:712,1,438,0,0,796,5201,438,0 27,0,2024-09-07 09:24:41:728,113418,0.5,113555,0.6,225899,0.4,301295,2.25 27,1,2024-09-07 09:24:41:677,770470,770470,0,0,362038019017,3769505211,763201,6368,901,381,391626,0 27,2,2024-09-07 09:24:40:867,551578,551513,65,0,25301403,0,5699 27,3,2024-09-07 09:24:41:016,1,438,2,0,564,4128,438,0 28,0,2024-09-07 09:24:41:391,107513,0.4,107149,0.6,215010,0.3,286449,1.75 28,1,2024-09-07 09:24:40:801,769685,769685,0,0,361424077485,3770821001,762493,5493,1699,382,391698,0 28,2,2024-09-07 09:24:41:763,556527,556527,0,0,23106327,0,2915 28,3,2024-09-07 09:24:41:777,1,438,1,0,502,4740,438,0 29,0,2024-09-07 09:24:41:364,110685,0.3,107819,0.5,211533,0.3,288476,1.75 29,1,2024-09-07 09:24:41:565,772398,772398,0,0,362612578135,3750937141,767531,4132,735,368,391809,0 29,2,2024-09-07 09:24:40:874,553988,553988,0,0,21768121,0,4986 29,3,2024-09-07 09:24:40:963,1,438,1,0,459,5053,438,0 30,0,2024-09-07 09:24:41:457,112147,0.5,109024,0.7,228294,0.5,298594,2.00 30,1,2024-09-07 09:24:40:571,771141,771141,0,0,362403919798,3762341214,765072,5303,766,381,391672,0 30,2,2024-09-07 09:24:41:290,553419,553419,0,0,21267389,0,4192 30,3,2024-09-07 09:24:40:595,1,438,2,0,519,4256,438,0 31,0,2024-09-07 09:24:41:769,112593,0.5,113062,0.7,225709,0.5,301400,2.00 31,1,2024-09-07 09:24:40:563,774448,774448,0,0,363549209721,3725032623,771430,2432,586,356,391712,0 31,2,2024-09-07 09:24:41:276,551540,551540,0,0,22973432,0,3525 31,3,2024-09-07 09:24:41:710,1,438,1,0,220,3797,438,0 32,0,2024-09-07 09:24:41:422,109023,0.3,109709,0.5,218357,0.2,290916,1.50 32,1,2024-09-07 09:24:40:816,770790,770790,0,0,361464564214,3758804365,765671,4438,681,381,391646,0 32,2,2024-09-07 09:24:40:951,557584,557584,0,0,20633915,0,3922 32,3,2024-09-07 09:24:41:015,1,438,1,0,304,3422,438,0 33,0,2024-09-07 09:24:41:496,105807,0.3,105614,0.4,211553,0.2,282079,1.50 33,1,2024-09-07 09:24:40:590,771400,771400,0,0,362107893842,3753651311,764885,5410,1105,368,391730,0 33,2,2024-09-07 09:24:40:762,555700,555665,35,0,22994384,0,7012 33,3,2024-09-07 09:24:40:898,1,438,0,0,329,4158,438,0 34,0,2024-09-07 09:24:40:937,110921,0.3,114019,0.4,218003,0.2,293600,1.75 34,1,2024-09-07 09:24:41:044,772707,772707,0,0,363240805064,3733609495,770963,1732,12,367,391562,0 34,2,2024-09-07 09:24:40:770,555370,555370,0,0,21348278,0,4562 34,3,2024-09-07 09:24:41:690,1,438,2,0,299,3270,438,0 35,0,2024-09-07 09:24:40:864,110846,0.4,111473,0.5,223849,0.3,297880,1.75 35,1,2024-09-07 09:24:41:067,770687,770687,0,0,362079672649,3751405996,766144,3685,858,382,391769,0 35,2,2024-09-07 09:24:41:589,550536,550536,0,0,22987480,0,4055 35,3,2024-09-07 09:24:40:907,1,438,1,0,418,4526,438,0 36,0,2024-09-07 09:24:41:539,111132,0.5,111016,0.7,222048,0.4,295177,2.00 36,1,2024-09-07 09:24:40:591,769958,769958,0,0,361297768139,3770813030,760309,7649,2000,366,391759,0 36,2,2024-09-07 09:24:41:758,556615,556615,0,0,24266305,0,3875 36,3,2024-09-07 09:24:40:868,1,438,3,0,416,6107,438,0 37,0,2024-09-07 09:24:41:369,103681,0.4,104005,0.6,207653,0.4,277134,2.00 37,1,2024-09-07 09:24:40:594,769485,769478,0,7,360355067454,3761243571,760658,6544,2276,365,391770,0 37,2,2024-09-07 09:24:41:142,554177,554162,15,0,24152421,0,5815 37,3,2024-09-07 09:24:41:765,1,438,0,0,888,6392,438,0 38,0,2024-09-07 09:24:41:448,108854,0.4,105732,0.6,221105,0.3,290052,2.00 38,1,2024-09-07 09:24:41:604,770393,770393,0,0,361281417262,3774331726,759645,8587,2161,368,391821,0 38,2,2024-09-07 09:24:40:762,554225,554178,47,0,23894825,0,6710 38,3,2024-09-07 09:24:41:001,1,438,1,0,689,5476,438,0 39,0,2024-09-07 09:24:41:760,114769,0.6,112265,0.7,218916,0.6,298254,2.00 39,1,2024-09-07 09:24:40:723,768684,768684,0,0,360869638153,3780801416,756241,9752,2691,365,391658,0 39,2,2024-09-07 09:24:41:421,550433,550433,0,0,22705152,0,3391 39,3,2024-09-07 09:24:40:724,1,438,1,0,324,4906,438,0 40,0,2024-09-07 09:24:41:504,111081,0.8,111695,1.0,222797,0.9,297007,2.75 40,1,2024-09-07 09:24:40:583,769436,769436,0,0,360516721666,3773814569,758615,8777,2044,368,391668,0 40,2,2024-09-07 09:24:41:303,554142,554141,1,0,26533854,0,5137 40,3,2024-09-07 09:24:41:148,1,438,1,0,1028,5995,438,0 41,0,2024-09-07 09:24:41:027,105640,1.3,107929,1.2,205899,1.9,279747,3.00 41,1,2024-09-07 09:24:40:775,768146,768146,0,0,360967234767,3773469985,758962,8144,1040,369,391742,0 41,2,2024-09-07 09:24:40:760,554873,554873,0,0,25389241,0,4277 41,3,2024-09-07 09:24:41:679,1,438,3,0,366,4470,438,0 42,0,2024-09-07 09:24:41:476,107832,0.7,107761,0.9,215646,0.8,285667,2.50 42,1,2024-09-07 09:24:41:439,766322,766322,0,0,360121797406,3782458133,754237,10054,2031,380,391675,0 42,2,2024-09-07 09:24:41:134,553613,553613,0,0,25517134,0,3790 42,3,2024-09-07 09:24:41:012,1,438,1,0,892,4275,438,0 43,0,2024-09-07 09:24:40:916,111563,0.7,108646,0.9,227480,0.8,297865,2.25 43,1,2024-09-07 09:24:40:577,769732,769732,0,0,361602171415,3776197103,759351,8642,1739,366,391696,0 43,2,2024-09-07 09:24:41:736,551814,551814,0,0,25085267,0,3812 43,3,2024-09-07 09:24:41:757,1,438,1,0,548,5751,438,0 44,0,2024-09-07 09:24:40:875,113647,0.5,113797,0.6,228092,0.4,302989,2.00 44,1,2024-09-07 09:24:40:568,771194,771194,0,0,361933303247,3740337341,764695,5181,1318,356,391809,0 44,2,2024-09-07 09:24:41:269,551898,551898,0,0,21161173,0,4344 44,3,2024-09-07 09:24:41:093,1,438,2,0,817,5090,438,0 45,0,2024-09-07 09:24:41:777,106391,0.5,103876,0.7,217942,0.4,287068,2.00 45,1,2024-09-07 09:24:41:005,770348,770348,0,0,361926137560,3759715351,764688,5087,573,382,391917,0 45,2,2024-09-07 09:24:41:277,557426,557426,0,0,21459160,0,3596 45,3,2024-09-07 09:24:40:944,1,438,0,0,271,3714,438,0 46,0,2024-09-07 09:24:40:991,106395,0.4,106111,0.7,212731,0.4,282469,2.00 46,1,2024-09-07 09:24:40:576,771837,771837,0,0,361927673219,3737533906,767166,4079,592,366,391709,0 46,2,2024-09-07 09:24:40:600,554925,554925,0,0,21581127,0,4443 46,3,2024-09-07 09:24:41:135,1,438,1,0,908,5430,438,0 47,0,2024-09-07 09:24:41:110,111887,0.4,112108,0.6,224421,0.4,297880,1.75 47,1,2024-09-07 09:24:40:569,772774,772774,0,0,362175769642,3742206515,767899,4037,838,366,391641,0 47,2,2024-09-07 09:24:40:909,556320,556320,0,0,21162396,0,4477 47,3,2024-09-07 09:24:41:122,1,438,1,0,600,5263,438,0 48,0,2024-09-07 09:24:41:520,112634,0.3,112624,0.5,224299,0.2,299342,1.50 48,1,2024-09-07 09:24:41:034,770462,770462,0,0,362351726453,3761834392,765749,4257,456,384,391710,0 48,2,2024-09-07 09:24:40:699,551091,551091,0,0,19722056,0,3411 48,3,2024-09-07 09:24:40:754,1,438,0,0,339,3474,438,0 49,0,2024-09-07 09:24:41:728,112446,0.4,110541,0.5,214374,0.3,293364,1.75 49,1,2024-09-07 09:24:41:023,770253,770253,0,0,361196206886,3756473881,765095,3951,1207,382,391809,0 49,2,2024-09-07 09:24:41:798,556690,556690,0,0,21771992,0,4426 49,3,2024-09-07 09:24:41:420,1,438,1,0,992,5216,438,0 50,0,2024-09-07 09:24:41:529,105333,0.3,103733,0.4,209453,0.2,278965,1.75 50,1,2024-09-07 09:24:41:012,772854,772854,0,0,362398858157,3745536374,767923,4357,574,368,391565,0 50,2,2024-09-07 09:24:41:067,555796,555796,0,0,19996209,0,4490 50,3,2024-09-07 09:24:41:292,1,438,1,0,567,4369,438,0 51,0,2024-09-07 09:24:41:687,112843,0.3,110558,0.4,215669,0.2,294089,1.75 51,1,2024-09-07 09:24:41:680,772805,772805,0,0,363154638916,3743780771,768850,2906,1049,365,391706,0 51,2,2024-09-07 09:24:41:316,554966,554966,0,0,18988293,0,3337 51,3,2024-09-07 09:24:41:030,1,438,0,0,678,3508,438,0 52,0,2024-09-07 09:24:41:481,112079,0.5,111989,0.7,224155,0.5,297576,2.00 52,1,2024-09-07 09:24:40:590,769708,769708,0,0,360643423877,3770564875,759367,8988,1353,368,391722,0 52,2,2024-09-07 09:24:41:765,547282,547244,38,0,25343465,0,6742 52,3,2024-09-07 09:24:40:677,1,438,33,0,1782,5917,438,0 53,0,2024-09-07 09:24:41:749,110566,0.7,107375,0.8,224588,0.7,294522,2.25 53,1,2024-09-07 09:24:40:792,767705,767705,0,0,361131672262,3784902540,755784,8961,2960,367,391702,0 53,2,2024-09-07 09:24:41:297,556015,556014,1,0,23040202,0,5455 53,3,2024-09-07 09:24:40:698,1,438,135,0,308,3967,438,0 54,0,2024-09-07 09:24:41:629,103714,0.5,103985,0.7,207030,0.4,276594,2.25 54,1,2024-09-07 09:24:40:580,770080,770080,0,0,361489160330,3755785885,762588,6251,1241,366,391659,0 54,2,2024-09-07 09:24:40:865,556987,556955,32,0,26386523,0,6397 54,3,2024-09-07 09:24:40:762,1,438,2,0,676,6256,438,0 55,0,2024-09-07 09:24:41:760,105376,0.5,108768,0.7,220116,0.4,287364,2.25 55,1,2024-09-07 09:24:40:764,770424,770424,0,0,361126757572,3750357259,762788,6541,1095,365,391731,0 55,2,2024-09-07 09:24:40:728,553731,553675,56,0,25269792,0,7239 55,3,2024-09-07 09:24:40:677,1,438,0,0,304,4358,438,0 56,0,2024-09-07 09:24:41:560,114464,1.3,108026,1.2,222497,1.8,297602,2.75 56,1,2024-09-07 09:24:40:570,766075,766075,0,0,360040168892,3799927074,754554,9147,2374,381,391867,0 56,2,2024-09-07 09:24:41:314,550102,549980,122,0,26081482,0,7432 56,3,2024-09-07 09:24:41:073,1,438,5,0,705,5256,438,0 57,0,2024-09-07 09:24:40:942,111530,1.7,111204,1.3,223140,2.3,298464,3.25 57,1,2024-09-07 09:24:40:987,768784,768784,0,0,359731579349,3767598390,760173,7524,1087,366,392032,0 57,2,2024-09-07 09:24:41:319,554137,554137,0,0,27014868,0,3317 57,3,2024-09-07 09:24:41:738,1,438,1,0,455,4997,438,0 58,0,2024-09-07 09:24:40:563,105783,0.8,102671,0.9,214956,0.9,281565,2.50 58,1,2024-09-07 09:24:40:576,768697,768694,0,3,361753980703,3786994329,757575,8815,2304,367,391603,3 58,2,2024-09-07 09:24:41:070,555739,555739,0,0,25108767,0,2902 58,3,2024-09-07 09:24:41:069,1,438,1,0,1043,4663,438,0 59,0,2024-09-07 09:24:41:740,107136,0.7,106712,0.9,213394,0.7,283479,2.50 59,1,2024-09-07 09:24:40:953,768670,768670,0,0,360883485829,3777853176,758448,8413,1809,369,391653,0 59,2,2024-09-07 09:24:40:600,553267,553267,0,0,24957100,0,3727 59,3,2024-09-07 09:24:41:738,1,438,1,0,1015,5772,438,0 60,0,2024-09-07 09:24:41:728,112408,0.5,112348,0.7,225496,0.5,299449,1.75 60,1,2024-09-07 09:24:40:785,771120,771120,0,0,362657593366,3762828060,765973,4426,721,370,392031,0 60,2,2024-09-07 09:24:41:144,553534,553534,0,0,23205223,0,3811 60,3,2024-09-07 09:24:41:262,1,438,5,0,409,5037,438,0 61,0,2024-09-07 09:24:41:498,112681,0.7,113385,0.8,225654,0.8,301195,2.00 61,1,2024-09-07 09:24:40:775,769056,769056,0,0,360464601853,3774863582,760738,6887,1431,382,392127,0 61,2,2024-09-07 09:24:41:124,551603,551536,67,0,23529174,0,6411 61,3,2024-09-07 09:24:41:710,1,438,4,0,479,5823,438,0 62,0,2024-09-07 09:24:41:706,109443,0.5,112241,0.7,214182,0.5,290683,2.00 62,1,2024-09-07 09:24:41:110,773755,773749,0,6,363341493079,3745299594,769933,3555,261,365,391975,6 62,2,2024-09-07 09:24:41:644,554872,554871,1,0,23774941,0,5555 62,3,2024-09-07 09:24:41:143,1,438,1,0,482,3767,438,0 63,0,2024-09-07 09:24:41:462,105957,0.4,105826,0.5,212314,0.3,282396,1.75 63,1,2024-09-07 09:24:40:822,771400,771394,0,6,361967400935,3757095502,766420,4241,733,381,391800,6 63,2,2024-09-07 09:24:40:762,555303,555303,0,0,21622147,0,4369 63,3,2024-09-07 09:24:41:737,1,438,1,0,667,4436,438,0 64,0,2024-09-07 09:24:41:532,110320,0.5,110383,0.6,220059,0.4,292805,1.75 64,1,2024-09-07 09:24:40:752,770333,770333,0,0,362016919846,3770007741,763651,4979,1703,370,391783,0 64,2,2024-09-07 09:24:41:144,558183,558164,19,0,21405616,0,6121 64,3,2024-09-07 09:24:41:145,1,438,8,0,265,4229,438,0 65,0,2024-09-07 09:24:41:692,110686,0.7,110921,0.8,221612,0.8,295424,2.25 65,1,2024-09-07 09:24:40:859,768318,768318,0,0,360381053339,3764639843,763204,4574,540,381,391901,0 65,2,2024-09-07 09:24:41:703,550599,550599,0,0,25016314,0,3367 65,3,2024-09-07 09:24:41:683,1,438,1,0,782,5327,438,0 66,0,2024-09-07 09:24:41:763,110570,0.5,110354,0.7,220607,0.5,293548,2.25 66,1,2024-09-07 09:24:41:293,770629,770629,0,0,361236371675,3762048762,765130,4973,526,380,391743,0 66,2,2024-09-07 09:24:41:133,557764,557764,0,0,21901148,0,4956 66,3,2024-09-07 09:24:41:081,1,438,1,0,291,3738,438,0 67,0,2024-09-07 09:24:41:421,104366,0.4,104167,0.6,208726,0.4,277882,2.00 67,1,2024-09-07 09:24:40:767,770710,770709,0,1,361729370794,3766142356,765357,4560,792,380,391787,1 67,2,2024-09-07 09:24:40:605,558251,558251,0,0,21053150,0,3622 67,3,2024-09-07 09:24:41:750,1,438,3,0,392,4263,438,0 68,0,2024-09-07 09:24:40:571,109678,0.5,109652,0.7,218173,0.5,292183,2.00 68,1,2024-09-07 09:24:40:572,767773,767773,0,0,360287934078,3787126980,757715,7112,2946,381,391953,0 68,2,2024-09-07 09:24:41:050,552034,551934,100,0,27991161,0,8578 68,3,2024-09-07 09:24:40:732,1,438,1,0,417,4978,438,0 69,0,2024-09-07 09:24:41:735,111566,0.8,112322,0.8,223702,0.9,296712,2.25 69,1,2024-09-07 09:24:41:019,767155,767155,0,0,359022899763,3781543110,757380,7504,2271,384,391994,0 69,2,2024-09-07 09:24:41:734,549180,549151,29,0,29435441,0,6912 69,3,2024-09-07 09:24:40:760,1,438,1,0,698,6644,438,0 70,0,2024-09-07 09:24:41:541,111047,1.1,111321,1.1,223871,0.9,296402,2.50 70,1,2024-09-07 09:24:40:802,770921,770921,0,0,362132816326,3756299538,764940,5320,661,366,391725,0 70,2,2024-09-07 09:24:41:325,554807,554807,0,0,24691653,0,4323 70,3,2024-09-07 09:24:40:753,1,438,1,0,854,5009,438,0 71,0,2024-09-07 09:24:41:358,105157,0.7,104831,0.9,210764,0.8,281071,2.50 71,1,2024-09-07 09:24:41:603,769821,769821,0,0,361452603087,3767824683,761379,7639,803,368,391738,0 71,2,2024-09-07 09:24:41:067,555851,555851,0,0,24727603,0,4352 71,3,2024-09-07 09:24:41:750,1,438,1,0,644,5615,438,0 72,0,2024-09-07 09:24:41:058,111927,0.5,109436,0.7,213403,0.5,290172,2.00 72,1,2024-09-07 09:24:41:025,768167,768167,0,0,360284597585,3778195683,757298,8859,2010,369,391819,0 72,2,2024-09-07 09:24:41:761,553349,553349,0,0,27132102,0,3983 72,3,2024-09-07 09:24:41:754,1,438,66,0,564,6594,438,0 73,0,2024-09-07 09:24:41:101,109835,0.4,112570,0.6,230108,0.4,298881,2.00 73,1,2024-09-07 09:24:40:770,770010,770010,0,0,361455920965,3753532945,764667,4934,409,367,391858,0 73,2,2024-09-07 09:24:41:739,551167,551167,0,0,26496250,0,3701 73,3,2024-09-07 09:24:40:973,1,438,0,0,486,5766,438,0 74,0,2024-09-07 09:24:41:334,114500,0.5,117086,0.7,223131,0.5,303312,2.25 74,1,2024-09-07 09:24:40:635,768879,768879,0,0,361054249891,3767536324,760910,6374,1595,381,391762,0 74,2,2024-09-07 09:24:41:001,552381,552381,0,0,24350804,0,4253 74,3,2024-09-07 09:24:41:444,1,438,0,0,522,5589,438,0 75,0,2024-09-07 09:24:41:773,108203,0.5,107525,0.7,215626,0.5,288201,2.25 75,1,2024-09-07 09:24:41:588,769865,769865,0,0,361604255792,3769876362,763012,6025,828,380,391739,0 75,2,2024-09-07 09:24:41:373,555020,555020,0,0,27161756,0,4766 75,3,2024-09-07 09:24:41:066,1,438,1,0,702,5745,438,0 76,0,2024-09-07 09:24:40:627,106381,0.5,105597,0.7,212059,0.5,283279,2.25 76,1,2024-09-07 09:24:40:822,769720,769720,0,0,360930364545,3764317839,764289,4605,826,382,391692,0 76,2,2024-09-07 09:24:41:061,556719,556718,1,0,23670392,0,5144 76,3,2024-09-07 09:24:41:143,1,438,0,0,175,4038,438,0 77,0,2024-09-07 09:24:41:703,111211,0.5,111544,0.7,223263,0.5,296879,2.00 77,1,2024-09-07 09:24:40:824,770129,770129,0,0,361101632255,3767099468,764296,5326,507,381,391869,0 77,2,2024-09-07 09:24:41:287,553915,553915,0,0,23194474,0,3890 77,3,2024-09-07 09:24:41:093,1,438,1,0,401,4848,438,0 78,0,2024-09-07 09:24:41:731,112388,0.5,111812,0.6,224686,0.4,298046,2.00 78,1,2024-09-07 09:24:40:610,770467,770467,0,0,361536545724,3764784696,762600,6300,1567,367,391670,0 78,2,2024-09-07 09:24:41:405,551906,551893,13,0,21911036,0,8313 78,3,2024-09-07 09:24:41:133,1,438,1,0,181,3734,438,0 79,0,2024-09-07 09:24:41:353,105844,0.4,108332,0.6,221829,0.4,288286,2.25 79,1,2024-09-07 09:24:40:575,771497,771497,0,0,361166119273,3743093313,764902,5286,1309,367,391682,0 79,2,2024-09-07 09:24:41:067,556864,556864,0,0,21423576,0,4195 79,3,2024-09-07 09:24:40:760,1,438,1,0,418,5493,438,0 80,0,2024-09-07 09:24:41:084,104778,0.5,107689,0.6,206089,0.4,278651,2.00 80,1,2024-09-07 09:24:41:618,769832,769832,0,0,361463846042,3763865884,764274,5135,423,368,392269,0 80,2,2024-09-07 09:24:41:092,557806,557806,0,0,21607955,0,4433 80,3,2024-09-07 09:24:40:575,1,438,2,0,190,5022,438,0 81,0,2024-09-07 09:24:41:555,110182,0.5,112805,0.7,215349,0.5,292788,2.00 81,1,2024-09-07 09:24:41:655,768814,768814,0,0,360728469429,3767858202,762802,5471,541,382,391879,0 81,2,2024-09-07 09:24:41:128,553763,553700,63,0,23984832,0,5932 81,3,2024-09-07 09:24:41:123,1,438,0,0,719,5166,438,0 82,0,2024-09-07 09:24:41:531,111452,0.5,111640,0.7,223928,0.5,296922,2.00 82,1,2024-09-07 09:24:40:595,771350,771346,0,4,361551784256,3759754659,766647,3880,819,381,391768,4 82,2,2024-09-07 09:24:41:691,551564,551564,0,0,20367074,0,4484 82,3,2024-09-07 09:24:41:752,1,438,1,0,363,4603,438,0 83,0,2024-09-07 09:24:41:528,111265,0.6,111271,0.8,221940,0.7,294731,2.25 83,1,2024-09-07 09:24:40:553,769236,769236,0,0,360631266121,3759695815,763705,5081,450,382,391709,0 83,2,2024-09-07 09:24:40:764,556005,556005,0,0,21134030,0,3393 83,3,2024-09-07 09:24:40:758,1,438,30,0,1260,5554,438,0 84,0,2024-09-07 09:24:41:780,104566,0.6,104576,0.8,208471,0.5,278906,2.25 84,1,2024-09-07 09:24:41:050,768770,768770,0,0,360661625217,3765560010,761064,6663,1043,367,391967,0 84,2,2024-09-07 09:24:40:581,555897,555867,30,0,28432262,0,5971 84,3,2024-09-07 09:24:41:144,1,438,1,0,908,6438,438,0 85,0,2024-09-07 09:24:41:003,104934,0.5,104918,0.7,222742,0.5,288616,2.00 85,1,2024-09-07 09:24:40:572,766515,766515,0,0,360127415017,3796568087,755696,8899,1920,381,392006,0 85,2,2024-09-07 09:24:40:865,553419,553419,0,0,26323694,0,3656 85,3,2024-09-07 09:24:40:693,1,438,3,0,789,5275,438,0 86,0,2024-09-07 09:24:40:914,111972,0.7,115269,0.8,220559,0.7,298110,2.25 86,1,2024-09-07 09:24:40:842,768969,768969,0,0,360814360881,3776151328,760288,7238,1443,366,391961,0 86,2,2024-09-07 09:24:40:858,548344,548343,1,0,28426657,0,5004 86,3,2024-09-07 09:24:40:589,1,438,1,0,308,6254,438,0 87,0,2024-09-07 09:24:41:318,113168,1.0,112938,0.9,225978,1.3,301770,2.50 87,1,2024-09-07 09:24:40:550,767675,767675,0,0,360093174651,3772213575,757720,8361,1594,366,392076,0 87,2,2024-09-07 09:24:41:069,553169,553163,6,0,25191815,0,6323 87,3,2024-09-07 09:24:41:808,1,438,1,0,473,6777,438,0 88,0,2024-09-07 09:24:41:448,107202,0.4,108017,0.6,215069,0.4,286271,1.75 88,1,2024-09-07 09:24:40:573,767350,767350,0,0,359953988762,3768967435,757626,7716,2008,365,392084,0 88,2,2024-09-07 09:24:40:696,556527,556527,0,0,27760914,0,4465 88,3,2024-09-07 09:24:41:276,1,438,1,0,435,5284,438,0 89,0,2024-09-07 09:24:41:775,110685,0.4,107152,0.6,212036,0.4,288520,1.75 89,1,2024-09-07 09:24:40:550,767344,767344,0,0,360101624392,3783710568,758164,7753,1427,382,391866,0 89,2,2024-09-07 09:24:41:132,553718,553718,0,0,26074538,0,3173 89,3,2024-09-07 09:24:41:794,1,438,1,0,468,7579,438,0 90,0,2024-09-07 09:24:41:631,109221,0.5,112207,0.6,228868,0.4,298503,2.00 90,1,2024-09-07 09:24:40:590,768389,768389,0,0,360992605922,3776979701,761611,6265,513,380,391825,0 90,2,2024-09-07 09:24:41:414,551230,551230,0,0,27355953,0,3060 90,3,2024-09-07 09:24:40:930,1,438,1,0,322,5343,438,0 91,0,2024-09-07 09:24:40:937,113538,0.5,109860,0.7,229563,0.5,301903,1.75 91,1,2024-09-07 09:24:40:560,767390,767390,0,0,360109075328,3784238086,758127,7859,1404,381,392047,0 91,2,2024-09-07 09:24:41:335,552725,552725,0,0,24224735,0,2896 91,3,2024-09-07 09:24:40:598,1,438,2,0,216,4288,438,0 92,0,2024-09-07 09:24:41:477,109861,0.4,112600,0.6,214984,0.4,291019,1.75 92,1,2024-09-07 09:24:40:585,769628,769628,0,0,360669682196,3764773515,764085,4817,726,381,392136,0 92,2,2024-09-07 09:24:41:363,557032,557032,0,0,21818469,0,3259 92,3,2024-09-07 09:24:41:012,1,438,1,0,167,3833,438,0 93,0,2024-09-07 09:24:40:986,106498,0.3,109034,0.5,208045,0.3,282481,1.75 93,1,2024-09-07 09:24:40:811,769215,769215,0,0,361001244398,3767889516,761049,6781,1385,366,391776,0 93,2,2024-09-07 09:24:40:958,554848,554848,0,0,26574919,0,4845 93,3,2024-09-07 09:24:41:410,1,438,4,0,190,3852,438,0 94,0,2024-09-07 09:24:41:623,110222,0.3,111210,0.5,221865,0.3,294506,1.75 94,1,2024-09-07 09:24:40:563,769519,769519,0,0,361386031959,3768308478,764723,4586,210,381,391850,0 94,2,2024-09-07 09:24:40:760,554487,554487,0,0,22171682,0,2443 94,3,2024-09-07 09:24:41:707,1,438,5,0,576,5787,438,0 95,0,2024-09-07 09:24:41:566,111638,0.4,111412,0.5,223397,0.3,297966,1.75 95,1,2024-09-07 09:24:40:881,770401,770401,0,0,362052562898,3761808604,764340,5623,438,365,391786,0 95,2,2024-09-07 09:24:41:033,550180,550180,0,0,21913924,0,3308 95,3,2024-09-07 09:24:41:719,1,438,1,0,718,6287,438,0 96,0,2024-09-07 09:24:41:026,110976,0.4,111362,0.5,222060,0.3,294631,1.75 96,1,2024-09-07 09:24:41:594,769585,769585,0,0,360144398732,3756950021,764031,4669,885,384,391955,0 96,2,2024-09-07 09:24:41:269,557178,557178,0,0,22781177,0,4180 96,3,2024-09-07 09:24:41:143,1,438,12,0,411,4851,438,0 97,0,2024-09-07 09:24:41:312,104399,0.3,103963,0.5,208681,0.2,277729,1.50 97,1,2024-09-07 09:24:40:767,770662,770662,0,0,361872295059,3753339996,765504,4225,933,367,392140,0 97,2,2024-09-07 09:24:40:607,556746,556746,0,0,21877582,0,3679 97,3,2024-09-07 09:24:40:568,1,438,4,0,242,5153,438,0 98,0,2024-09-07 09:24:41:720,109280,0.3,109362,0.4,219398,0.2,292003,1.50 98,1,2024-09-07 09:24:40:570,769881,769881,0,0,361234628495,3762551947,764914,4175,792,382,391997,0 98,2,2024-09-07 09:24:40:786,555017,555017,0,0,22053571,0,4336 98,3,2024-09-07 09:24:40:705,1,438,0,0,840,7213,438,0 99,0,2024-09-07 09:24:41:478,112169,0.3,112849,0.5,224183,0.3,299354,1.75 99,1,2024-09-07 09:24:41:737,770482,770482,0,0,361384453629,3759786822,765532,4113,837,381,392069,0 99,2,2024-09-07 09:24:41:424,551277,551277,0,0,25855425,0,4276 99,3,2024-09-07 09:24:40:595,1,438,1,0,606,4729,438,0 100,0,2024-09-07 09:24:41:491,112017,0.8,112383,1.0,223877,1.0,299002,2.50 100,1,2024-09-07 09:24:40:561,766153,766153,0,0,359446478285,3793456957,755414,8689,2050,381,391989,0 100,2,2024-09-07 09:24:41:831,552823,552812,11,0,25368862,0,5417 100,3,2024-09-07 09:24:41:739,1,438,1,0,559,6942,438,0 101,0,2024-09-07 09:24:41:719,108382,0.8,105617,0.9,207167,0.6,283268,2.25 101,1,2024-09-07 09:24:40:641,766696,766696,0,0,359689603536,3780456118,756001,8571,2124,368,391847,0 101,2,2024-09-07 09:24:41:757,553122,553122,0,0,30309657,0,4871 101,3,2024-09-07 09:24:40:942,1,438,0,0,579,5909,438,0 102,0,2024-09-07 09:24:40:970,105461,0.6,108744,0.7,220540,0.5,288945,2.00 102,1,2024-09-07 09:24:41:147,767131,767131,0,0,360673394018,3786834768,757248,8119,1764,369,391891,0 102,2,2024-09-07 09:24:41:740,554964,554910,54,0,24432590,0,6768 102,3,2024-09-07 09:24:41:619,1,438,7,0,466,4782,438,0 103,0,2024-09-07 09:24:41:611,116352,0.5,116313,0.7,219266,0.5,301223,2.00 103,1,2024-09-07 09:24:41:627,766360,766360,0,0,359986448307,3798724139,754359,8935,3066,381,391829,0 103,2,2024-09-07 09:24:40:582,549917,549917,0,0,27010430,0,3766 103,3,2024-09-07 09:24:40:766,1,438,5,0,916,4830,438,0 104,0,2024-09-07 09:24:41:002,112596,0.8,112933,1.0,224869,0.7,301381,2.25 104,1,2024-09-07 09:24:41:607,768528,768528,0,0,360438776678,3783330242,757676,8988,1864,365,392168,0 104,2,2024-09-07 09:24:41:666,551745,551745,0,0,25926894,0,3941 104,3,2024-09-07 09:24:41:422,1,438,1,0,1245,8592,438,0 105,0,2024-09-07 09:24:41:045,106553,0.8,103791,1.0,217577,0.8,286125,2.50 105,1,2024-09-07 09:24:40:554,769447,769447,0,0,361432146397,3784695891,759995,8024,1428,366,392009,0 105,2,2024-09-07 09:24:41:324,555084,555084,0,0,25865500,0,3509 105,3,2024-09-07 09:24:41:304,1,438,1,0,399,6601,438,0 106,0,2024-09-07 09:24:41:000,103198,0.7,105665,0.8,216322,0.7,282863,2.25 106,1,2024-09-07 09:24:41:774,768011,768011,0,0,361011165251,3787855824,756952,9612,1447,369,391914,0 106,2,2024-09-07 09:24:40:760,553454,553454,0,0,25279061,0,2920 106,3,2024-09-07 09:24:40:695,1,438,1,0,470,5533,438,0 107,0,2024-09-07 09:24:41:155,111372,0.9,111652,0.9,223038,1.0,297926,2.25 107,1,2024-09-07 09:24:40:602,766692,766692,0,0,359548442319,3787578939,756463,9176,1053,381,392234,0 107,2,2024-09-07 09:24:41:314,552278,552277,1,0,26223356,0,5024 107,3,2024-09-07 09:24:41:754,1,438,1,0,370,6538,438,0 108,0,2024-09-07 09:24:41:781,111949,0.5,112717,0.6,224109,0.4,299210,1.75 108,1,2024-09-07 09:24:41:294,769691,769691,0,0,361718105503,3770435787,763545,5401,745,368,391857,0 108,2,2024-09-07 09:24:41:755,550020,550020,0,0,24570207,0,4246 108,3,2024-09-07 09:24:41:336,1,438,1,0,749,8028,438,0 109,0,2024-09-07 09:24:41:746,110262,0.4,109491,0.6,218916,0.4,292512,1.75 109,1,2024-09-07 09:24:40:598,766870,766870,0,0,360079790094,3778979577,759429,6254,1187,382,392132,0 109,2,2024-09-07 09:24:40:927,553999,553999,0,0,24161751,0,3617 109,3,2024-09-07 09:24:41:140,1,438,0,0,379,5064,438,0 110,0,2024-09-07 09:24:41:781,104545,0.3,101886,0.5,213499,0.3,279290,1.75 110,1,2024-09-07 09:24:41:644,770873,770873,0,0,362037922980,3756433060,765481,4214,1178,369,392045,0 110,2,2024-09-07 09:24:41:310,555849,555849,0,0,23254906,0,4067 110,3,2024-09-07 09:24:40:694,1,438,14,0,722,6222,438,0 111,0,2024-09-07 09:24:41:412,110481,0.4,109752,0.5,220107,0.3,294024,1.75 111,1,2024-09-07 09:24:41:000,770769,770769,0,0,362782894714,3761327268,766879,3535,355,380,391690,0 111,2,2024-09-07 09:24:41:119,553981,553981,0,0,22753454,0,4823 111,3,2024-09-07 09:24:40:920,1,438,3,0,379,5078,438,0 112,0,2024-09-07 09:24:40:911,112345,0.3,112032,0.4,224338,0.2,297931,1.50 112,1,2024-09-07 09:24:40:825,770748,770748,0,0,360982012495,3745630987,766031,4104,613,380,391624,0 112,2,2024-09-07 09:24:41:135,549957,549956,1,0,21800514,0,5036 112,3,2024-09-07 09:24:40:593,1,438,5,0,282,4257,438,0 113,0,2024-09-07 09:24:40:883,111380,0.3,111431,0.5,223340,0.3,296740,1.75 113,1,2024-09-07 09:24:41:687,772977,772977,0,0,363698339932,3750431967,769084,3304,589,366,391661,0 113,2,2024-09-07 09:24:41:303,557771,557771,0,0,20183522,0,3813 113,3,2024-09-07 09:24:40:692,1,438,1,0,340,4756,438,0 114,0,2024-09-07 09:24:40:874,105529,0.3,106126,0.4,211000,0.2,281619,1.75 114,1,2024-09-07 09:24:40:728,770582,770582,0,0,361819319963,3757623092,764297,4669,1616,381,391565,0 114,2,2024-09-07 09:24:40:875,557620,557619,1,0,21259812,0,5069 114,3,2024-09-07 09:24:41:279,1,438,0,0,395,3690,438,0 115,0,2024-09-07 09:24:40:556,109046,0.2,109547,0.4,218802,0.2,291120,1.50 115,1,2024-09-07 09:24:40:571,771066,771066,0,0,361466023996,3756089484,764570,5229,1267,382,391757,0 115,2,2024-09-07 09:24:41:128,556254,556254,0,0,21078592,0,4382 115,3,2024-09-07 09:24:41:001,1,438,4,0,159,2409,438,0 116,0,2024-09-07 09:24:41:715,111698,0.7,111822,0.8,224034,0.7,299203,2.00 116,1,2024-09-07 09:24:40:824,766899,766899,0,0,360296490917,3792674841,758282,6290,2327,380,392089,0 116,2,2024-09-07 09:24:41:752,549834,549834,0,0,26745173,0,4128 116,3,2024-09-07 09:24:40:912,1,438,1,0,415,5081,438,0 117,0,2024-09-07 09:24:40:958,113580,0.8,112964,0.8,226352,0.9,302073,2.00 117,1,2024-09-07 09:24:41:596,768192,768192,0,0,359558637881,3760310486,760435,6842,915,369,392033,0 117,2,2024-09-07 09:24:41:124,556658,556658,0,0,22970287,0,4303 117,3,2024-09-07 09:24:41:060,1,438,1,0,490,6545,438,0 118,0,2024-09-07 09:24:41:883,104240,0.5,106945,0.6,218417,0.4,285257,2.00 118,1,2024-09-07 09:24:40:594,768124,768124,0,0,359605063492,3781121068,756208,8916,3000,366,391907,0 118,2,2024-09-07 09:24:41:591,555769,555769,0,0,25269795,0,2842 118,3,2024-09-07 09:24:41:766,1,438,2,0,248,5113,438,0 119,0,2024-09-07 09:24:41:607,107465,0.5,107662,0.7,215387,0.5,287053,2.00 119,1,2024-09-07 09:24:40:554,768640,768640,0,0,360997812096,3775157011,760150,7372,1118,367,391857,0 119,2,2024-09-07 09:24:41:309,555104,555104,0,0,23909026,0,4174 119,3,2024-09-07 09:24:41:325,1,438,3,0,1358,8486,438,0 120,0,2024-09-07 09:24:41:561,111949,0.6,111653,0.8,224020,0.6,298808,2.25 120,1,2024-09-07 09:24:40:858,768745,768745,0,0,360212808370,3778246437,760368,7607,770,368,392144,0 120,2,2024-09-07 09:24:40:770,552220,552219,1,0,27258337,0,5281 120,3,2024-09-07 09:24:41:293,1,438,1,0,279,5398,438,0 121,0,2024-09-07 09:24:41:691,112940,1.4,112585,1.1,225745,1.9,301193,2.50 121,1,2024-09-07 09:24:41:827,768891,768891,0,0,360094361798,3762155750,762139,6290,462,367,391840,0 121,2,2024-09-07 09:24:41:125,550912,550912,0,0,26187583,0,4127 121,3,2024-09-07 09:24:40:728,1,438,1,0,269,4816,438,0 122,0,2024-09-07 09:24:41:768,108397,0.7,105753,0.9,221844,0.8,290877,2.00 122,1,2024-09-07 09:24:40:860,767448,767448,0,0,360016057441,3775904288,757002,8842,1604,366,392130,0 122,2,2024-09-07 09:24:41:318,556283,556210,73,0,29139520,0,5989 122,3,2024-09-07 09:24:40:596,1,438,5,0,512,7799,438,0 123,0,2024-09-07 09:24:40:958,105340,0.6,102670,0.7,214990,0.5,281895,2.00 123,1,2024-09-07 09:24:40:558,767119,767119,0,0,360398663525,3795049086,753569,11106,2444,369,392039,0 123,2,2024-09-07 09:24:41:020,552976,552975,1,0,24625683,0,5215 123,3,2024-09-07 09:24:41:135,1,438,2,0,478,5136,438,0 124,0,2024-09-07 09:24:40:921,113852,0.3,113955,0.5,214796,0.3,295230,1.75 124,1,2024-09-07 09:24:41:022,770368,770368,0,0,361215090197,3752925084,765087,4231,1050,367,392178,0 124,2,2024-09-07 09:24:41:021,555454,555401,53,0,22323133,0,6487 124,3,2024-09-07 09:24:40:778,1,438,19,0,490,4193,438,0 125,0,2024-09-07 09:24:41:420,111494,0.4,111401,0.6,223682,0.4,297974,1.75 125,1,2024-09-07 09:24:40:858,768843,768843,0,0,361221069286,3770159567,762925,5246,672,382,391702,0 125,2,2024-09-07 09:24:41:128,551426,551426,0,0,23073225,0,4534 125,3,2024-09-07 09:24:41:125,1,438,4,0,709,5427,438,0 126,0,2024-09-07 09:24:41:472,110922,0.4,114196,0.6,218429,0.4,295298,1.75 126,1,2024-09-07 09:24:40:580,771066,771066,0,0,362102010645,3748974380,767058,3714,294,365,391987,0 126,2,2024-09-07 09:24:40:629,557125,557125,0,0,23899287,0,4539 126,3,2024-09-07 09:24:40:906,1,438,4,0,268,5317,438,0 127,0,2024-09-07 09:24:41:605,104305,0.3,104607,0.5,208912,0.2,277951,1.50 127,1,2024-09-07 09:24:40:569,769942,769942,0,0,360543176892,3749147824,762596,6124,1222,364,392187,0 127,2,2024-09-07 09:24:40:638,555182,555182,0,0,21571028,0,3897 127,3,2024-09-07 09:24:41:271,1,438,1,0,968,4646,438,0 128,0,2024-09-07 09:24:41:557,109842,0.3,110150,0.4,219818,0.2,292404,1.50 128,1,2024-09-07 09:24:41:615,769880,769880,0,0,361680437159,3753326380,764965,4482,433,367,392031,0 128,2,2024-09-07 09:24:41:396,556045,556045,0,0,20861135,0,3171 128,3,2024-09-07 09:24:40:767,1,438,9,0,1082,6768,438,0 129,0,2024-09-07 09:24:41:004,113119,0.3,112548,0.5,225556,0.3,299732,1.75 129,1,2024-09-07 09:24:40:568,767899,767899,0,0,360775140120,3778749311,760221,6007,1671,379,391962,0 129,2,2024-09-07 09:24:40:697,550975,550975,0,0,22207361,0,4031 129,3,2024-09-07 09:24:40:695,1,438,5,0,506,6007,438,0 130,0,2024-09-07 09:24:41:768,113035,0.5,112580,0.6,226333,0.5,300317,1.75 130,1,2024-09-07 09:24:40:594,770456,770456,0,0,361604501530,3756167348,766417,3700,339,381,391825,0 130,2,2024-09-07 09:24:41:127,556321,556321,0,0,22737909,0,4067 130,3,2024-09-07 09:24:41:295,1,438,24,0,960,6795,438,0 131,0,2024-09-07 09:24:41:942,105988,0.3,106525,0.5,213864,0.3,283158,1.75 131,1,2024-09-07 09:24:41:835,770003,770003,0,0,361100002167,3770097300,764030,4923,1050,381,391865,0 131,2,2024-09-07 09:24:40:566,558506,558506,0,0,20936582,0,3979 131,3,2024-09-07 09:24:41:690,1,438,16,0,392,4853,438,0 132,0,2024-09-07 09:24:41:443,108489,0.4,109374,0.6,217765,0.4,289806,1.75 132,1,2024-09-07 09:24:40:600,766254,766254,0,0,359731113143,3785973074,755116,9028,2110,381,392532,0 132,2,2024-09-07 09:24:40:699,554071,554054,17,0,27310996,0,6451 132,3,2024-09-07 09:24:41:690,1,438,2,0,804,7591,438,0 133,0,2024-09-07 09:24:41:556,109734,0.4,112229,0.6,230309,0.4,299470,1.75 133,1,2024-09-07 09:24:40:600,766517,766517,0,0,360115918167,3793538971,755969,9033,1515,383,391914,0 133,2,2024-09-07 09:24:41:105,550619,550569,50,0,28646925,0,6861 133,3,2024-09-07 09:24:41:298,1,438,1,0,479,4596,438,0 134,0,2024-09-07 09:24:40:958,114126,0.6,113721,0.7,227666,0.6,303791,2.00 134,1,2024-09-07 09:24:40:616,767827,767827,0,0,360056391021,3774302865,758029,7763,2035,366,391718,0 134,2,2024-09-07 09:24:41:756,551423,551399,24,0,26271017,0,6207 134,3,2024-09-07 09:24:40:751,1,438,1,0,739,5495,438,0 135,0,2024-09-07 09:24:41:099,104351,0.7,104373,0.8,221561,0.8,285683,2.00 135,1,2024-09-07 09:24:41:590,768049,768049,0,0,360868153509,3793725816,758083,8595,1371,380,391805,0 135,2,2024-09-07 09:24:40:704,556177,556177,0,0,25690354,0,3981 135,3,2024-09-07 09:24:41:003,1,438,0,0,299,3766,438,0 136,0,2024-09-07 09:24:41:621,107174,0.5,107355,0.7,213693,0.4,285144,2.00 136,1,2024-09-07 09:24:41:448,768781,768781,0,0,360762712096,3781357314,760209,7608,964,381,391685,0 136,2,2024-09-07 09:24:41:146,555735,555735,0,0,24631936,0,3506 136,3,2024-09-07 09:24:41:109,1,438,5,0,637,5095,438,0 137,0,2024-09-07 09:24:40:931,114976,0.6,111984,0.7,219694,0.6,298992,2.00 137,1,2024-09-07 09:24:40:582,766921,766921,0,0,360400221143,3779205085,755361,9200,2360,366,391898,0 137,2,2024-09-07 09:24:41:708,552285,552285,0,0,27209294,0,3185 137,3,2024-09-07 09:24:40:775,1,438,17,0,484,5335,438,0 138,0,2024-09-07 09:24:41:740,111764,1.0,111634,1.0,224208,1.3,297958,2.25 138,1,2024-09-07 09:24:41:685,768195,768195,0,0,361083080834,3784427604,757949,8522,1724,368,391954,0 138,2,2024-09-07 09:24:40:608,550240,550240,0,0,24673054,0,4988 138,3,2024-09-07 09:24:40:624,1,438,9,0,1160,5745,438,0 139,0,2024-09-07 09:24:41:373,108722,1.5,108817,1.1,218126,2.2,290992,2.50 139,1,2024-09-07 09:24:40:578,764172,764172,0,0,358571606001,3808778283,749494,11310,3368,380,392058,0 139,2,2024-09-07 09:24:40:692,551901,551901,0,0,28693773,0,3097 139,3,2024-09-07 09:24:41:662,1,438,2,0,432,5069,438,0 140,0,2024-09-07 09:24:41:590,105150,0.3,104570,0.5,210041,0.2,279688,1.75 140,1,2024-09-07 09:24:41:553,772149,772149,0,0,362883542225,3739616928,768584,3124,441,365,391606,0 140,2,2024-09-07 09:24:40:689,556508,556507,1,0,21775674,0,5036 140,3,2024-09-07 09:24:40:767,1,438,0,0,297,3605,438,0 141,0,2024-09-07 09:24:41:698,110308,0.3,113272,0.5,216362,0.2,293710,1.50 141,1,2024-09-07 09:24:40:859,770977,770977,0,0,362273535054,3761190102,765287,4733,957,379,391614,0 141,2,2024-09-07 09:24:41:690,554977,554977,0,0,21659586,0,3360 141,3,2024-09-07 09:24:41:043,1,438,1,0,391,4956,438,0 142,0,2024-09-07 09:24:41:322,112516,0.3,111910,0.5,223771,0.3,298498,1.75 142,1,2024-09-07 09:24:40:590,769943,769943,0,0,361818577619,3769382514,765251,4293,399,382,392102,0 142,2,2024-09-07 09:24:41:301,549502,549470,32,0,23519423,0,6028 142,3,2024-09-07 09:24:41:747,1,438,7,0,484,4894,438,0 143,0,2024-09-07 09:24:41:370,111476,0.5,111673,0.6,223425,0.5,296836,1.75 143,1,2024-09-07 09:24:40:562,771077,771077,0,0,361943795202,3754933192,766031,4502,544,367,391705,0 143,2,2024-09-07 09:24:40:770,556090,556090,0,0,22860278,0,3123 143,3,2024-09-07 09:24:41:142,1,438,2,0,462,5391,438,0 144,0,2024-09-07 09:24:41:497,101969,0.6,104724,0.8,213490,0.5,279496,2.00 144,1,2024-09-07 09:24:40:570,767004,767004,0,0,359162958903,3768523263,759290,5880,1834,381,391649,0 144,2,2024-09-07 09:24:41:755,557421,557421,0,0,21387208,0,3673 144,3,2024-09-07 09:24:41:743,1,438,31,0,249,4445,438,0 145,0,2024-09-07 09:24:41:377,105375,0.5,105380,0.7,223773,0.4,288427,2.25 145,1,2024-09-07 09:24:40:551,767008,767008,0,0,359538642009,3779293352,757372,7974,1662,382,391759,0 145,2,2024-09-07 09:24:41:435,552565,552484,81,0,26387090,0,7814 145,3,2024-09-07 09:24:40:894,1,438,1,0,622,6217,438,0 146,0,2024-09-07 09:24:41:605,112098,0.6,111594,0.8,224597,0.6,297729,2.25 146,1,2024-09-07 09:24:41:591,767572,767572,0,0,360278325723,3788069676,755420,9036,3116,367,391770,0 146,2,2024-09-07 09:24:41:703,548921,548921,0,0,25144873,0,3290 146,3,2024-09-07 09:24:41:277,1,438,1,0,1520,8106,438,0 147,0,2024-09-07 09:24:41:701,113310,0.7,113230,0.8,225783,0.7,301446,2.25 147,1,2024-09-07 09:24:41:373,771058,771058,0,0,361460481771,3758438286,764340,5871,847,368,391791,0 147,2,2024-09-07 09:24:41:012,554055,554055,0,0,22778578,0,2968 147,3,2024-09-07 09:24:40:919,1,438,2,0,1626,7501,438,0 0,0,2024-09-07 09:24:51:764,109468,0.6,109420,0.7,232278,0.6,299957,2.00 0,1,2024-09-07 09:24:50:813,770163,770163,0,0,361490328347,3781183654,764695,5079,389,369,391896,0 0,2,2024-09-07 09:24:51:068,554981,554981,0,0,21967186,0,4480 0,3,2024-09-07 09:24:50:983,1,439,16,0,431,6398,439,0 1,0,2024-09-07 09:24:51:817,113271,1.1,112631,1.0,225826,1.6,302281,2.25 1,1,2024-09-07 09:24:50:568,769743,769743,0,0,361128297692,3777557365,763308,5107,1328,370,391859,0 1,2,2024-09-07 09:24:50:642,552685,552685,0,0,22305874,0,3380 1,3,2024-09-07 09:24:51:318,1,439,3,0,268,5295,439,0 2,0,2024-09-07 09:24:51:569,108852,0.6,108982,0.7,217244,0.6,289775,2.00 2,1,2024-09-07 09:24:50:889,772260,772260,0,0,362543618181,3761048275,768970,2995,295,380,391745,0 2,2,2024-09-07 09:24:51:279,558031,558031,0,0,20911809,0,3594 2,3,2024-09-07 09:24:50:692,1,439,8,0,357,4214,439,0 3,0,2024-09-07 09:24:51:760,106258,0.4,106036,0.6,211972,0.3,282856,2.00 3,1,2024-09-07 09:24:51:633,770887,770887,0,0,361521322987,3763033417,764665,5531,691,379,391716,0 3,2,2024-09-07 09:24:51:149,556556,556533,23,0,22063113,0,5851 3,3,2024-09-07 09:24:51:761,1,439,1,0,207,2854,439,0 4,0,2024-09-07 09:24:51:810,107630,0.4,110548,0.5,225573,0.3,295044,1.75 4,1,2024-09-07 09:24:50:591,768057,768057,0,0,359772322920,3799603602,756234,9315,2508,370,391992,0 4,2,2024-09-07 09:24:51:044,553593,553593,0,0,25249273,0,4528 4,3,2024-09-07 09:24:51:030,1,439,6,0,448,5617,439,0 5,0,2024-09-07 09:24:51:383,111627,0.4,112352,0.6,224082,0.4,298034,1.75 5,1,2024-09-07 09:24:50:781,769422,769422,0,0,360625271996,3793740442,758986,8276,2160,367,392005,0 5,2,2024-09-07 09:24:51:856,549125,549125,0,0,25051771,0,3582 5,3,2024-09-07 09:24:51:735,1,439,7,0,457,6051,439,0 6,0,2024-09-07 09:24:50:916,111180,0.4,110785,0.6,221491,0.4,295268,2.00 6,1,2024-09-07 09:24:50:746,770630,770630,0,0,361605502023,3776484380,762418,6819,1393,379,391702,0 6,2,2024-09-07 09:24:51:129,556835,556817,18,0,25006657,0,5535 6,3,2024-09-07 09:24:51:278,1,439,1,0,710,5466,439,0 7,0,2024-09-07 09:24:51:560,103955,0.4,104696,0.6,208447,0.4,277739,1.75 7,1,2024-09-07 09:24:50:873,769951,769951,0,0,361430431453,3788587820,760178,8309,1464,382,391747,0 7,2,2024-09-07 09:24:50:774,557767,557767,0,0,24312331,0,4791 7,3,2024-09-07 09:24:50:886,1,439,2,0,552,4973,439,0 8,0,2024-09-07 09:24:51:380,110035,0.3,109822,0.5,220138,0.3,293419,1.75 8,1,2024-09-07 09:24:51:059,768602,768602,0,0,361133671431,3794932046,756981,8988,2633,366,392853,0 8,2,2024-09-07 09:24:50:798,551816,551816,0,0,28596461,0,3250 8,3,2024-09-07 09:24:50:599,1,439,1,0,538,7104,439,0 9,0,2024-09-07 09:24:51:150,112475,0.4,109253,0.5,228641,0.3,299472,1.75 9,1,2024-09-07 09:24:50:551,768306,768306,0,0,361171284277,3801722975,757229,8656,2421,369,392001,0 9,2,2024-09-07 09:24:51:087,550376,550376,0,0,25371797,0,3360 9,3,2024-09-07 09:24:51:755,1,439,0,0,496,6176,439,0 10,0,2024-09-07 09:24:51:603,112330,0.4,111835,0.5,224557,0.3,298771,1.75 10,1,2024-09-07 09:24:50:599,769977,769977,0,0,361556180452,3788373942,759484,8869,1624,381,391741,0 10,2,2024-09-07 09:24:50:773,555730,555730,0,0,28056854,0,4264 10,3,2024-09-07 09:24:50:874,1,439,1,0,649,4632,439,0 11,0,2024-09-07 09:24:51:019,105950,0.4,102755,0.6,215017,0.4,283158,1.75 11,1,2024-09-07 09:24:50:570,770434,770434,0,0,362100437463,3796255541,759105,8702,2627,383,391756,0 11,2,2024-09-07 09:24:51:129,557406,557406,0,0,24678915,0,4130 11,3,2024-09-07 09:24:51:321,1,439,1,0,843,6232,439,0 12,0,2024-09-07 09:24:50:987,109420,0.4,109472,0.5,218908,0.3,290774,1.75 12,1,2024-09-07 09:24:50:943,770397,770397,0,0,361612896668,3767948366,764829,5066,502,370,391870,0 12,2,2024-09-07 09:24:51:574,555820,555820,0,0,23994607,0,3469 12,3,2024-09-07 09:24:51:074,1,439,15,0,386,6378,439,0 13,0,2024-09-07 09:24:51:385,113689,0.4,113218,0.6,225920,0.4,300888,1.75 13,1,2024-09-07 09:24:51:543,768805,768805,0,0,360443075680,3787286062,761181,5992,1632,382,391740,0 13,2,2024-09-07 09:24:50:606,553976,553976,0,0,21988866,0,3287 13,3,2024-09-07 09:24:51:771,1,439,35,0,522,6325,439,0 14,0,2024-09-07 09:24:50:600,113692,0.4,114763,0.6,227005,0.4,302958,1.75 14,1,2024-09-07 09:24:51:560,774270,774270,0,0,363219972634,3760206088,768048,5513,709,364,391673,0 14,2,2024-09-07 09:24:50:764,554660,554630,30,0,24098507,0,6104 14,3,2024-09-07 09:24:51:122,1,439,2,0,1168,4744,439,0 15,0,2024-09-07 09:24:51:570,107846,0.4,107699,0.6,215793,0.4,287404,2.00 15,1,2024-09-07 09:24:51:614,771509,771509,0,0,362299011284,3772201655,765284,5046,1179,381,391619,0 15,2,2024-09-07 09:24:51:003,559238,559238,0,0,20075958,0,3622 15,3,2024-09-07 09:24:51:406,1,439,3,0,1126,6984,439,0 16,0,2024-09-07 09:24:51:082,107070,0.5,107594,0.7,214406,0.5,285337,2.00 16,1,2024-09-07 09:24:50:572,771220,771220,0,0,361797189236,3780807015,764614,5492,1114,370,391917,0 16,2,2024-09-07 09:24:51:471,555848,555848,0,0,23630130,0,4719 16,3,2024-09-07 09:24:51:156,1,439,18,0,317,5450,439,0 17,0,2024-09-07 09:24:51:981,115482,0.6,112832,0.7,220564,0.6,300174,2.00 17,1,2024-09-07 09:24:50:582,769509,769509,0,0,360768250220,3783238435,761838,6154,1517,368,392075,0 17,2,2024-09-07 09:24:51:686,556821,556821,0,0,23405034,0,3779 17,3,2024-09-07 09:24:50:585,1,439,5,0,518,6901,439,0 18,0,2024-09-07 09:24:50:950,111348,0.7,111882,0.8,223036,0.7,298261,2.25 18,1,2024-09-07 09:24:51:645,772768,772768,0,0,362877321293,3758523621,768766,3623,379,367,391725,0 18,2,2024-09-07 09:24:51:768,554969,554969,0,0,20758533,0,3541 18,3,2024-09-07 09:24:50:902,1,439,1,0,163,3309,439,0 19,0,2024-09-07 09:24:51:551,109270,0.6,109758,0.8,217728,0.6,289804,2.25 19,1,2024-09-07 09:24:50:566,772887,772887,0,0,362938000067,3762374994,766937,5029,921,367,391777,0 19,2,2024-09-07 09:24:51:752,559689,559689,0,0,19710355,0,3988 19,3,2024-09-07 09:24:51:148,1,439,0,0,524,3485,439,0 20,0,2024-09-07 09:24:51:491,105021,0.4,104972,0.6,209778,0.4,279894,2.00 20,1,2024-09-07 09:24:50:571,769997,769997,0,0,361079180325,3774739915,763282,5914,801,369,391922,0 20,2,2024-09-07 09:24:50:978,556174,556174,0,0,23685566,0,3721 20,3,2024-09-07 09:24:50:615,1,439,9,0,414,6315,439,0 21,0,2024-09-07 09:24:51:159,110421,0.4,110586,0.6,220933,0.4,293523,1.75 21,1,2024-09-07 09:24:51:558,768460,768460,0,0,360943497436,3802552367,757492,8488,2480,368,392016,0 21,2,2024-09-07 09:24:51:304,553151,553131,20,0,28761099,0,5617 21,3,2024-09-07 09:24:51:424,1,439,1,0,713,5783,439,0 22,0,2024-09-07 09:24:51:725,111876,0.5,112239,0.7,224210,0.4,297157,2.00 22,1,2024-09-07 09:24:51:031,769640,769640,0,0,361679299159,3797703931,758485,8928,2227,382,391667,0 22,2,2024-09-07 09:24:50:765,550214,550188,26,0,22812132,0,6328 22,3,2024-09-07 09:24:51:073,1,439,7,0,228,3548,439,0 23,0,2024-09-07 09:24:51:373,111150,0.5,110462,0.7,221501,0.5,294974,2.25 23,1,2024-09-07 09:24:51:013,770652,770652,0,0,362324422727,3801481776,757542,9056,4054,365,391690,0 23,2,2024-09-07 09:24:51:102,558487,558487,0,0,22419225,0,3773 23,3,2024-09-07 09:24:51:761,1,439,1,0,720,5593,439,0 24,0,2024-09-07 09:24:50:825,105924,0.4,105370,0.5,211883,0.3,281016,1.75 24,1,2024-09-07 09:24:50:583,769802,769802,0,0,360199457257,3773926992,761796,6412,1594,367,392269,0 24,2,2024-09-07 09:24:51:097,557251,557251,0,0,27057550,0,3607 24,3,2024-09-07 09:24:51:692,1,439,1,0,468,5871,439,0 25,0,2024-09-07 09:24:51:404,112367,0.4,109439,0.6,214722,0.3,292835,1.75 25,1,2024-09-07 09:24:50:566,769232,769232,0,0,360745846419,3799399799,757203,9778,2251,371,391928,0 25,2,2024-09-07 09:24:51:609,554041,554041,0,0,27683367,0,3978 25,3,2024-09-07 09:24:51:032,1,439,7,0,532,4910,439,0 26,0,2024-09-07 09:24:51:740,112115,0.4,109467,0.6,229769,0.4,298788,2.00 26,1,2024-09-07 09:24:51:552,771038,771038,0,0,361535798111,3788972692,759404,9225,2409,380,391748,0 26,2,2024-09-07 09:24:50:887,551779,551779,0,0,27625571,0,2809 26,3,2024-09-07 09:24:51:720,1,439,0,0,796,5201,439,0 27,0,2024-09-07 09:24:51:729,113513,0.5,113676,0.6,226122,0.4,301576,2.25 27,1,2024-09-07 09:24:51:723,772268,772268,0,0,362705879940,3776358019,764999,6368,901,381,391626,0 27,2,2024-09-07 09:24:50:874,552869,552804,65,0,25318969,0,5699 27,3,2024-09-07 09:24:51:026,1,439,1,0,564,4129,439,0 28,0,2024-09-07 09:24:51:411,107720,0.4,107370,0.6,215450,0.3,286988,1.75 28,1,2024-09-07 09:24:50:809,771505,771505,0,0,362341837963,3780230122,764313,5493,1699,382,391698,0 28,2,2024-09-07 09:24:51:763,557995,557995,0,0,23131490,0,2915 28,3,2024-09-07 09:24:51:779,1,439,12,0,502,4752,439,0 29,0,2024-09-07 09:24:51:417,111162,0.3,108257,0.5,212414,0.3,289640,1.75 29,1,2024-09-07 09:24:51:574,774161,774161,0,0,363514686761,3760130371,769294,4132,735,368,391809,0 29,2,2024-09-07 09:24:50:917,555457,555457,0,0,21794486,0,4986 29,3,2024-09-07 09:24:50:972,1,439,1,0,459,5054,439,0 30,0,2024-09-07 09:24:51:468,112298,0.5,109171,0.7,228588,0.5,298879,2.00 30,1,2024-09-07 09:24:50:571,772880,772880,0,0,363184915475,3770333488,766811,5303,766,381,391672,0 30,2,2024-09-07 09:24:51:279,554101,554101,0,0,21283205,0,4192 30,3,2024-09-07 09:24:50:589,1,439,1,0,519,4257,439,0 31,0,2024-09-07 09:24:51:771,112885,0.5,113334,0.7,226335,0.5,302222,2.00 31,1,2024-09-07 09:24:50:566,776401,776401,0,0,364413846523,3734384151,773295,2520,586,356,391712,0 31,2,2024-09-07 09:24:51:279,552994,552994,0,0,23009668,0,3525 31,3,2024-09-07 09:24:51:709,1,439,1,0,220,3798,439,0 32,0,2024-09-07 09:24:51:443,109246,0.3,109958,0.5,218796,0.2,291506,1.50 32,1,2024-09-07 09:24:50:816,772530,772530,0,0,362249356214,3766835056,767410,4438,682,381,391646,0 32,2,2024-09-07 09:24:50:945,559096,559096,0,0,20656954,0,3922 32,3,2024-09-07 09:24:51:049,1,439,0,0,304,3422,439,0 33,0,2024-09-07 09:24:51:529,106272,0.3,106057,0.4,212425,0.2,283339,1.50 33,1,2024-09-07 09:24:50:590,773222,773222,0,0,362833051472,3761015284,766707,5410,1105,368,391730,0 33,2,2024-09-07 09:24:50:765,556724,556689,35,0,23008360,0,7012 33,3,2024-09-07 09:24:50:902,1,439,4,0,329,4162,439,0 34,0,2024-09-07 09:24:50:959,111206,0.3,114291,0.4,218553,0.2,294337,1.75 34,1,2024-09-07 09:24:51:048,774431,774431,0,0,364267194622,3743998000,772687,1732,12,367,391562,0 34,2,2024-09-07 09:24:50:770,556437,556437,0,0,21368178,0,4562 34,3,2024-09-07 09:24:51:694,1,439,0,0,299,3270,439,0 35,0,2024-09-07 09:24:50:893,111181,0.3,111777,0.5,224533,0.3,298863,1.75 35,1,2024-09-07 09:24:51:070,772415,772415,0,0,362717444818,3757923367,767872,3685,858,382,391769,0 35,2,2024-09-07 09:24:51:591,552134,552134,0,0,23058077,0,4055 35,3,2024-09-07 09:24:50:920,1,439,1,0,418,4527,439,0 36,0,2024-09-07 09:24:51:543,111312,0.5,111175,0.7,222365,0.4,295668,2.00 36,1,2024-09-07 09:24:50:583,771694,771694,0,0,362033487647,3778284130,762045,7649,2000,366,391759,0 36,2,2024-09-07 09:24:51:752,557848,557848,0,0,24277024,0,3875 36,3,2024-09-07 09:24:50:891,1,439,1,0,416,6108,439,0 37,0,2024-09-07 09:24:51:398,104035,0.4,104335,0.6,208332,0.4,278097,2.00 37,1,2024-09-07 09:24:50:574,771182,771175,0,7,361192588337,3769735860,762354,6545,2276,365,391770,0 37,2,2024-09-07 09:24:51:153,555279,555264,15,0,24161667,0,5815 37,3,2024-09-07 09:24:51:767,1,439,0,0,888,6392,439,0 38,0,2024-09-07 09:24:51:439,109279,0.4,106163,0.6,221929,0.3,291234,2.00 38,1,2024-09-07 09:24:51:609,772140,772140,0,0,362237820283,3784009713,761392,8587,2161,368,391821,0 38,2,2024-09-07 09:24:50:764,555531,555484,47,0,23904982,0,6710 38,3,2024-09-07 09:24:51:052,1,439,1,0,689,5477,439,0 39,0,2024-09-07 09:24:51:760,114884,0.6,112379,0.7,219121,0.6,298580,2.00 39,1,2024-09-07 09:24:50:796,770451,770451,0,0,361620415908,3788414990,758008,9752,2691,365,391658,0 39,2,2024-09-07 09:24:51:431,551719,551719,0,0,22716045,0,3391 39,3,2024-09-07 09:24:50:720,1,439,1,0,324,4907,439,0 40,0,2024-09-07 09:24:51:505,111212,0.8,111849,1.0,223043,0.9,297333,2.75 40,1,2024-09-07 09:24:50:592,771167,771167,0,0,361506456888,3783872643,760346,8777,2044,368,391668,0 40,2,2024-09-07 09:24:51:308,555389,555388,1,0,26558041,0,5137 40,3,2024-09-07 09:24:51:153,1,439,0,0,1028,5995,439,0 41,0,2024-09-07 09:24:51:047,105650,1.3,107949,1.2,205930,1.9,279747,3.00 41,1,2024-09-07 09:24:50:817,769855,769855,0,0,361829172158,3782193869,760671,8144,1040,369,391742,0 41,2,2024-09-07 09:24:50:772,556356,556356,0,0,25402599,0,4277 41,3,2024-09-07 09:24:51:677,1,439,1,0,366,4471,439,0 42,0,2024-09-07 09:24:51:507,108197,0.7,108133,0.9,216378,0.8,286589,2.50 42,1,2024-09-07 09:24:51:441,768139,768139,0,0,360692077782,3788363514,756053,10055,2031,380,391675,0 42,2,2024-09-07 09:24:51:147,554885,554885,0,0,25531419,0,3790 42,3,2024-09-07 09:24:51:026,1,439,0,0,892,4275,439,0 43,0,2024-09-07 09:24:50:984,111663,0.7,108746,0.9,227668,0.8,298113,2.25 43,1,2024-09-07 09:24:50:575,771555,771555,0,0,362611182947,3786468950,761173,8643,1739,366,391696,0 43,2,2024-09-07 09:24:51:736,552628,552628,0,0,25093096,0,3812 43,3,2024-09-07 09:24:51:755,1,439,1,0,548,5752,439,0 44,0,2024-09-07 09:24:50:892,113880,0.5,114034,0.6,228535,0.4,303581,2.00 44,1,2024-09-07 09:24:50:563,773043,773043,0,0,362792596948,3749144697,766542,5183,1318,356,391809,0 44,2,2024-09-07 09:24:51:297,553318,553318,0,0,21188584,0,4344 44,3,2024-09-07 09:24:51:101,1,439,5,0,817,5095,439,0 45,0,2024-09-07 09:24:51:782,106704,0.5,104203,0.7,218662,0.4,287980,2.00 45,1,2024-09-07 09:24:51:015,772101,772101,0,0,362786859437,3768656648,766440,5088,573,382,391917,0 45,2,2024-09-07 09:24:51:291,558892,558892,0,0,21486577,0,3596 45,3,2024-09-07 09:24:50:949,1,439,9,0,271,3723,439,0 46,0,2024-09-07 09:24:50:972,106684,0.4,106372,0.7,213295,0.4,283169,2.00 46,1,2024-09-07 09:24:50:575,773699,773699,0,0,362829095487,3747150144,768983,4124,592,366,391709,0 46,2,2024-09-07 09:24:50:608,556316,556316,0,0,21616010,0,4443 46,3,2024-09-07 09:24:51:147,1,439,54,0,908,5484,439,0 47,0,2024-09-07 09:24:51:120,112428,0.4,112632,0.6,225456,0.4,299401,1.75 47,1,2024-09-07 09:24:50:592,774566,774566,0,0,362848918653,3749061328,769691,4037,838,366,391641,0 47,2,2024-09-07 09:24:50:978,557085,557085,0,0,21180397,0,4477 47,3,2024-09-07 09:24:51:124,1,439,1,0,600,5264,439,0 48,0,2024-09-07 09:24:51:502,112945,0.3,112957,0.5,224931,0.2,300165,1.50 48,1,2024-09-07 09:24:51:023,772260,772260,0,0,363442798079,3772918392,767547,4257,456,384,391710,0 48,2,2024-09-07 09:24:50:714,552567,552567,0,0,19740034,0,3411 48,3,2024-09-07 09:24:50:792,1,439,1,0,339,3475,439,0 49,0,2024-09-07 09:24:51:740,112604,0.4,110704,0.5,214666,0.3,293775,1.75 49,1,2024-09-07 09:24:51:027,772054,772054,0,0,362064783379,3765412105,766896,3951,1207,382,391809,0 49,2,2024-09-07 09:24:51:801,558253,558253,0,0,21804658,0,4426 49,3,2024-09-07 09:24:51:427,1,439,2,0,992,5218,439,0 50,0,2024-09-07 09:24:51:565,105592,0.3,103988,0.4,209938,0.2,279602,1.75 50,1,2024-09-07 09:24:51:031,774569,774569,0,0,363105260436,3752712754,769638,4357,574,368,391565,0 50,2,2024-09-07 09:24:51:070,557013,557013,0,0,20011872,0,4490 50,3,2024-09-07 09:24:51:292,1,439,0,0,567,4369,439,0 51,0,2024-09-07 09:24:51:688,113253,0.3,110960,0.4,216400,0.2,295149,1.75 51,1,2024-09-07 09:24:51:680,774625,774625,0,0,364265819397,3754996843,770670,2906,1049,365,391706,0 51,2,2024-09-07 09:24:51:322,556133,556133,0,0,19003955,0,3337 51,3,2024-09-07 09:24:51:030,1,439,2,0,678,3510,439,0 52,0,2024-09-07 09:24:51:456,112246,0.5,112142,0.7,224491,0.5,298029,2.00 52,1,2024-09-07 09:24:50:575,771419,771419,0,0,361574171460,3780007359,761078,8988,1353,368,391722,0 52,2,2024-09-07 09:24:51:754,548618,548580,38,0,25354679,0,6742 52,3,2024-09-07 09:24:50:683,1,439,1,0,1782,5918,439,0 53,0,2024-09-07 09:24:51:776,110646,0.7,107464,0.8,224772,0.7,294760,2.25 53,1,2024-09-07 09:24:50:816,769481,769481,0,0,361885882802,3792582040,757560,8961,2960,367,391702,0 53,2,2024-09-07 09:24:51:323,557414,557413,1,0,23052414,0,5455 53,3,2024-09-07 09:24:50:712,1,439,8,0,308,3975,439,0 54,0,2024-09-07 09:24:51:624,103841,0.5,104103,0.7,207257,0.4,276905,2.25 54,1,2024-09-07 09:24:50:580,771816,771816,0,0,362297026367,3763988231,764324,6251,1241,366,391659,0 54,2,2024-09-07 09:24:50:886,558166,558134,32,0,26397772,0,6397 54,3,2024-09-07 09:24:50:763,1,439,0,0,676,6256,439,0 55,0,2024-09-07 09:24:51:768,105879,0.5,109228,0.7,221165,0.4,288711,2.25 55,1,2024-09-07 09:24:50:765,772219,772219,0,0,361953519578,3758740416,764583,6541,1095,365,391731,0 55,2,2024-09-07 09:24:50:765,555115,555059,56,0,25283268,0,7239 55,3,2024-09-07 09:24:50:676,1,439,1,0,304,4359,439,0 56,0,2024-09-07 09:24:51:587,114582,1.3,108115,1.2,222701,1.8,297891,2.75 56,1,2024-09-07 09:24:50:596,767891,767891,0,0,360816374249,3807891981,756370,9147,2374,381,391867,0 56,2,2024-09-07 09:24:51:308,551227,551105,122,0,26096120,0,7432 56,3,2024-09-07 09:24:51:070,1,439,11,0,705,5267,439,0 57,0,2024-09-07 09:24:50:958,111632,1.7,111303,1.3,223357,2.3,298721,3.25 57,1,2024-09-07 09:24:51:007,770529,770529,0,0,360516227025,3775604875,761918,7524,1087,366,392032,0 57,2,2024-09-07 09:24:51:321,555386,555386,0,0,27029311,0,3317 57,3,2024-09-07 09:24:51:745,1,439,9,0,455,5006,439,0 58,0,2024-09-07 09:24:50:557,105996,0.8,102851,0.9,215366,0.9,282083,2.50 58,1,2024-09-07 09:24:50:574,770501,770498,0,3,362532059211,3794905128,759379,8815,2304,367,391603,3 58,2,2024-09-07 09:24:51:077,557195,557195,0,0,25126485,0,2902 58,3,2024-09-07 09:24:51:074,1,439,3,0,1043,4666,439,0 59,0,2024-09-07 09:24:51:744,107556,0.7,107127,0.9,214175,0.7,284642,2.50 59,1,2024-09-07 09:24:50:822,770444,770444,0,0,361520081815,3784386031,760222,8413,1809,369,391653,0 59,2,2024-09-07 09:24:50:583,554772,554772,0,0,24975913,0,3727 59,3,2024-09-07 09:24:51:745,1,439,0,0,1015,5772,439,0 60,0,2024-09-07 09:24:51:746,112538,0.5,112473,0.7,225798,0.5,299741,1.75 60,1,2024-09-07 09:24:50:787,772886,772886,0,0,363456363177,3771023003,767738,4427,721,370,392031,0 60,2,2024-09-07 09:24:51:146,554149,554149,0,0,23215655,0,3811 60,3,2024-09-07 09:24:51:274,1,439,1,0,409,5038,439,0 61,0,2024-09-07 09:24:51:505,112964,0.7,113681,0.8,226238,0.8,301957,2.00 61,1,2024-09-07 09:24:50:778,770937,770937,0,0,361607161488,3786557189,762619,6887,1431,382,392127,0 61,2,2024-09-07 09:24:51:120,553091,553024,67,0,23560640,0,6411 61,3,2024-09-07 09:24:51:687,1,439,1,0,479,5824,439,0 62,0,2024-09-07 09:24:51:730,109649,0.5,112462,0.7,214601,0.5,291278,2.00 62,1,2024-09-07 09:24:51:125,775505,775499,0,6,364090971881,3752955425,771683,3555,261,365,391975,6 62,2,2024-09-07 09:24:51:670,556360,556359,1,0,23815988,0,5555 62,3,2024-09-07 09:24:51:145,1,439,4,0,482,3771,439,0 63,0,2024-09-07 09:24:51:505,106427,0.4,106288,0.5,213182,0.3,283671,1.75 63,1,2024-09-07 09:24:50:817,773182,773176,0,6,362972299204,3767336354,768202,4241,733,381,391800,6 63,2,2024-09-07 09:24:50:763,556475,556475,0,0,21644992,0,4369 63,3,2024-09-07 09:24:51:735,1,439,3,0,667,4439,439,0 64,0,2024-09-07 09:24:51:530,110580,0.5,110664,0.6,220558,0.4,293523,1.75 64,1,2024-09-07 09:24:50:793,772130,772130,0,0,363034463594,3780368567,765448,4979,1703,370,391783,0 64,2,2024-09-07 09:24:51:167,559308,559289,19,0,21419410,0,6121 64,3,2024-09-07 09:24:51:145,1,439,6,0,265,4235,439,0 65,0,2024-09-07 09:24:51:720,111016,0.7,111258,0.8,222276,0.8,296324,2.25 65,1,2024-09-07 09:24:50:917,770154,770154,0,0,361195110221,3773021073,765040,4574,540,381,391901,0 65,2,2024-09-07 09:24:51:701,552203,552203,0,0,25059610,0,3367 65,3,2024-09-07 09:24:51:690,1,439,13,0,782,5340,439,0 66,0,2024-09-07 09:24:51:770,110740,0.5,110523,0.7,220939,0.5,294038,2.25 66,1,2024-09-07 09:24:51:330,772386,772386,0,0,361961255267,3769469687,766887,4973,526,380,391743,0 66,2,2024-09-07 09:24:51:142,559135,559135,0,0,21926924,0,4956 66,3,2024-09-07 09:24:51:092,1,439,0,0,291,3738,439,0 67,0,2024-09-07 09:24:51:423,104690,0.4,104451,0.6,209453,0.4,278808,2.00 67,1,2024-09-07 09:24:50:773,772491,772490,0,1,362527689217,3774293748,767138,4560,792,380,391787,1 67,2,2024-09-07 09:24:50:583,559415,559415,0,0,21075106,0,3622 67,3,2024-09-07 09:24:51:751,1,439,1,0,392,4264,439,0 68,0,2024-09-07 09:24:50:600,110092,0.5,110111,0.7,219019,0.5,293319,2.00 68,1,2024-09-07 09:24:50:582,769536,769536,0,0,361367002140,3798099297,759478,7112,2946,381,391953,0 68,2,2024-09-07 09:24:51:052,553310,553210,100,0,28001940,0,8578 68,3,2024-09-07 09:24:50:749,1,439,4,0,417,4982,439,0 69,0,2024-09-07 09:24:51:735,111680,0.8,112443,0.8,223953,0.9,297027,2.25 69,1,2024-09-07 09:24:51:022,768903,768903,0,0,360036511005,3791844356,759128,7504,2271,384,391994,0 69,2,2024-09-07 09:24:51:737,550484,550455,29,0,29450118,0,6912 69,3,2024-09-07 09:24:50:772,1,439,1,0,698,6645,439,0 70,0,2024-09-07 09:24:51:551,111179,1.0,111438,1.1,224126,0.9,296724,2.50 70,1,2024-09-07 09:24:50:809,772701,772701,0,0,363072298925,3765830606,766720,5320,661,366,391725,0 70,2,2024-09-07 09:24:51:429,556017,556017,0,0,24702428,0,4323 70,3,2024-09-07 09:24:50:745,1,439,11,0,854,5020,439,0 71,0,2024-09-07 09:24:51:358,105169,0.7,104840,0.9,210788,0.8,281071,2.50 71,1,2024-09-07 09:24:51:596,771605,771605,0,0,362350788113,3776939717,763163,7639,803,368,391738,0 71,2,2024-09-07 09:24:51:078,557317,557317,0,0,24739278,0,4352 71,3,2024-09-07 09:24:51:763,1,439,1,0,644,5616,439,0 72,0,2024-09-07 09:24:51:058,112294,0.5,109847,0.7,214092,0.5,291076,2.00 72,1,2024-09-07 09:24:51:031,769895,769895,0,0,361262790948,3788116392,759026,8859,2010,369,391819,0 72,2,2024-09-07 09:24:51:755,554686,554686,0,0,27147568,0,3983 72,3,2024-09-07 09:24:51:761,1,439,1,0,564,6595,439,0 73,0,2024-09-07 09:24:51:128,109924,0.4,112656,0.6,230282,0.4,299122,2.00 73,1,2024-09-07 09:24:50:784,771842,771842,0,0,362331250976,3762420919,766499,4934,409,367,391858,0 73,2,2024-09-07 09:24:51:754,552020,552020,0,0,26506014,0,3701 73,3,2024-09-07 09:24:50:987,1,439,1,0,486,5767,439,0 74,0,2024-09-07 09:24:51:338,114734,0.5,117288,0.7,223582,0.5,303883,2.25 74,1,2024-09-07 09:24:50:643,770678,770678,0,0,362196900670,3779106106,762709,6374,1595,381,391762,0 74,2,2024-09-07 09:24:51:009,553770,553770,0,0,24369027,0,4253 74,3,2024-09-07 09:24:51:450,1,439,3,0,522,5592,439,0 75,0,2024-09-07 09:24:51:772,108512,0.5,107868,0.7,216319,0.5,289078,2.25 75,1,2024-09-07 09:24:51:591,771678,771678,0,0,362270225098,3776676950,764825,6025,828,380,391739,0 75,2,2024-09-07 09:24:51:357,556592,556592,0,0,27188146,0,4766 75,3,2024-09-07 09:24:51:067,1,439,1,0,702,5746,439,0 76,0,2024-09-07 09:24:50:610,106660,0.5,105874,0.7,212572,0.5,283948,2.25 76,1,2024-09-07 09:24:50:809,771389,771389,0,0,361722383229,3772510373,765958,4605,826,382,391692,0 76,2,2024-09-07 09:24:51:072,558052,558051,1,0,23711841,0,5144 76,3,2024-09-07 09:24:51:153,1,439,4,0,175,4042,439,0 77,0,2024-09-07 09:24:51:702,111760,0.5,112081,0.7,224334,0.5,298382,2.00 77,1,2024-09-07 09:24:50:833,771885,771885,0,0,361890166024,3775291579,766048,5330,507,381,391869,0 77,2,2024-09-07 09:24:51:320,554719,554719,0,0,23209226,0,3890 77,3,2024-09-07 09:24:51:101,1,439,1,0,401,4849,439,0 78,0,2024-09-07 09:24:51:726,112706,0.5,112121,0.6,225303,0.4,298902,2.00 78,1,2024-09-07 09:24:50:611,772192,772192,0,0,362224859164,3771879823,764324,6301,1567,367,391670,0 78,2,2024-09-07 09:24:51:435,553428,553415,13,0,21955879,0,8313 78,3,2024-09-07 09:24:51:142,1,439,5,0,181,3739,439,0 79,0,2024-09-07 09:24:51:361,105978,0.4,108485,0.6,222162,0.4,288697,2.25 79,1,2024-09-07 09:24:50:572,773484,773484,0,0,362300726589,3754935363,766856,5319,1309,367,391682,0 79,2,2024-09-07 09:24:51:078,558330,558330,0,0,21449484,0,4195 79,3,2024-09-07 09:24:50:754,1,439,1,0,418,5494,439,0 80,0,2024-09-07 09:24:51:075,105036,0.5,107938,0.6,206583,0.4,279291,2.00 80,1,2024-09-07 09:24:51:629,771628,771628,0,0,362177906087,3771215825,766070,5135,423,368,392269,0 80,2,2024-09-07 09:24:51:100,558948,558948,0,0,21637707,0,4433 80,3,2024-09-07 09:24:50:576,1,439,1,0,190,5023,439,0 81,0,2024-09-07 09:24:51:641,110577,0.5,113180,0.7,216116,0.5,293858,2.00 81,1,2024-09-07 09:24:51:675,770551,770551,0,0,361641609042,3777215018,764539,5471,541,382,391879,0 81,2,2024-09-07 09:24:51:136,554949,554886,63,0,24005846,0,5932 81,3,2024-09-07 09:24:51:127,1,439,92,0,719,5258,439,0 82,0,2024-09-07 09:24:51:539,111629,0.5,111779,0.7,224275,0.5,297392,2.00 82,1,2024-09-07 09:24:50:590,773156,773152,0,4,362403395488,3768470316,768453,3880,819,381,391768,4 82,2,2024-09-07 09:24:51:691,552984,552984,0,0,20388150,0,4484 82,3,2024-09-07 09:24:51:752,1,439,1,0,363,4604,439,0 83,0,2024-09-07 09:24:51:559,111361,0.6,111361,0.8,222157,0.7,294989,2.25 83,1,2024-09-07 09:24:50:572,770962,770962,0,0,361241571174,3766073757,765430,5082,450,382,391709,0 83,2,2024-09-07 09:24:50:764,557427,557427,0,0,21157992,0,3393 83,3,2024-09-07 09:24:50:757,1,439,21,0,1260,5575,439,0 84,0,2024-09-07 09:24:51:804,104677,0.6,104680,0.8,208708,0.5,279249,2.25 84,1,2024-09-07 09:24:51:093,770650,770650,0,0,361646246218,3775571091,762943,6664,1043,367,391967,0 84,2,2024-09-07 09:24:50:581,557063,557033,30,0,28446252,0,5971 84,3,2024-09-07 09:24:51:146,1,439,1,0,908,6439,439,0 85,0,2024-09-07 09:24:51:074,105392,0.5,105404,0.7,223736,0.5,289952,2.00 85,1,2024-09-07 09:24:50:566,768341,768341,0,0,360794117646,3803429697,757522,8899,1920,381,392006,0 85,2,2024-09-07 09:24:50:875,554715,554715,0,0,26336641,0,3656 85,3,2024-09-07 09:24:50:713,1,439,1,0,789,5276,439,0 86,0,2024-09-07 09:24:50:889,112081,0.7,115384,0.8,220756,0.7,298402,2.25 86,1,2024-09-07 09:24:50:835,770797,770797,0,0,361463854920,3782789940,762116,7238,1443,366,391961,0 86,2,2024-09-07 09:24:50:872,549527,549526,1,0,28437048,0,5004 86,3,2024-09-07 09:24:50:599,1,439,0,0,308,6254,439,0 87,0,2024-09-07 09:24:51:317,113274,1.0,113038,0.9,226174,1.3,302011,2.50 87,1,2024-09-07 09:24:50:556,769387,769387,0,0,360922173873,3780629281,759431,8362,1594,366,392076,0 87,2,2024-09-07 09:24:51:076,554493,554487,6,0,25204089,0,6323 87,3,2024-09-07 09:24:51:802,1,439,4,0,473,6781,439,0 88,0,2024-09-07 09:24:51:450,107392,0.4,108209,0.6,215505,0.4,286806,1.75 88,1,2024-09-07 09:24:50:610,769108,769108,0,0,360972737672,3779283983,759384,7716,2008,365,392084,0 88,2,2024-09-07 09:24:50:713,557975,557975,0,0,27777711,0,4465 88,3,2024-09-07 09:24:51:287,1,439,1,0,435,5285,439,0 89,0,2024-09-07 09:24:51:775,111080,0.4,107576,0.6,212854,0.4,289680,1.75 89,1,2024-09-07 09:24:50:558,769161,769161,0,0,360854313521,3791444765,759979,7755,1427,382,391866,0 89,2,2024-09-07 09:24:51:138,555162,555162,0,0,26094158,0,3173 89,3,2024-09-07 09:24:51:797,1,439,1,0,468,7580,439,0 90,0,2024-09-07 09:24:51:618,109364,0.5,112354,0.6,229176,0.4,298787,2.00 90,1,2024-09-07 09:24:50:601,770107,770107,0,0,361904399681,3786293758,763329,6265,513,380,391825,0 90,2,2024-09-07 09:24:51:435,551936,551936,0,0,27363829,0,3060 90,3,2024-09-07 09:24:50:931,1,439,10,0,322,5353,439,0 91,0,2024-09-07 09:24:50:986,113833,0.5,110117,0.7,230178,0.5,302667,1.75 91,1,2024-09-07 09:24:50:572,769107,769107,0,0,361148117732,3794831767,759843,7860,1404,381,392047,0 91,2,2024-09-07 09:24:51:350,554195,554195,0,0,24246736,0,2896 91,3,2024-09-07 09:24:50:604,1,439,1,0,216,4289,439,0 92,0,2024-09-07 09:24:51:465,110068,0.4,112822,0.6,215387,0.4,291608,1.75 92,1,2024-09-07 09:24:50:590,771400,771400,0,0,361711041346,3775547328,765857,4817,726,381,392136,0 92,2,2024-09-07 09:24:51:353,558618,558618,0,0,21849106,0,3259 92,3,2024-09-07 09:24:51:030,1,439,1,0,167,3834,439,0 93,0,2024-09-07 09:24:50:960,106953,0.3,109461,0.5,208902,0.3,283714,1.75 93,1,2024-09-07 09:24:50:809,770975,770975,0,0,361735787202,3775437341,762808,6781,1386,366,391776,0 93,2,2024-09-07 09:24:50:943,555928,555928,0,0,26601592,0,4845 93,3,2024-09-07 09:24:51:406,1,439,55,0,190,3907,439,0 94,0,2024-09-07 09:24:51:635,110498,0.3,111475,0.5,222463,0.3,295191,1.75 94,1,2024-09-07 09:24:50:580,771287,771287,0,0,362022595681,3775005196,766490,4587,210,381,391850,0 94,2,2024-09-07 09:24:50:789,555566,555566,0,0,22197237,0,2443 94,3,2024-09-07 09:24:51:695,1,439,0,0,576,5787,439,0 95,0,2024-09-07 09:24:51:360,111982,0.4,111761,0.5,224066,0.3,298887,1.75 95,1,2024-09-07 09:24:50:893,772303,772303,0,0,362895146027,3770995970,766156,5709,438,365,391786,0 95,2,2024-09-07 09:24:51:049,551685,551685,0,0,21959267,0,3308 95,3,2024-09-07 09:24:51:710,1,439,1,0,718,6288,439,0 96,0,2024-09-07 09:24:51:065,111167,0.4,111513,0.5,222414,0.3,295094,1.75 96,1,2024-09-07 09:24:51:593,771306,771306,0,0,360962518930,3765290956,765752,4669,885,384,391955,0 96,2,2024-09-07 09:24:51:267,558528,558528,0,0,22804445,0,4180 96,3,2024-09-07 09:24:51:146,1,439,2,0,411,4853,439,0 97,0,2024-09-07 09:24:51:320,104725,0.3,104305,0.4,209353,0.2,278694,1.50 97,1,2024-09-07 09:24:50:892,772416,772416,0,0,362679043924,3761570123,767258,4225,933,367,392140,0 97,2,2024-09-07 09:24:50:634,557892,557892,0,0,21893412,0,3679 97,3,2024-09-07 09:24:50:581,1,439,88,0,242,5241,439,0 98,0,2024-09-07 09:24:51:718,109691,0.3,109808,0.4,220278,0.2,293144,1.50 98,1,2024-09-07 09:24:50:572,771699,771699,0,0,362172258797,3772166539,766731,4176,792,382,391997,0 98,2,2024-09-07 09:24:50:773,556297,556297,0,0,22082304,0,4336 98,3,2024-09-07 09:24:50:700,1,439,1,0,840,7214,439,0 99,0,2024-09-07 09:24:51:496,112291,0.3,112969,0.5,224422,0.3,299676,1.75 99,1,2024-09-07 09:24:51:723,772266,772266,0,0,362198380819,3768108683,767316,4113,837,381,392069,0 99,2,2024-09-07 09:24:51:426,552566,552566,0,0,25881807,0,4276 99,3,2024-09-07 09:24:50:581,1,439,21,0,606,4750,439,0 100,0,2024-09-07 09:24:51:501,112150,0.8,112519,1.0,224133,1.0,299336,2.50 100,1,2024-09-07 09:24:50:552,767989,767989,0,0,360244731697,3801719020,757247,8690,2052,381,391989,0 100,2,2024-09-07 09:24:51:835,553896,553885,11,0,25380479,0,5417 100,3,2024-09-07 09:24:51:736,1,439,10,0,559,6952,439,0 101,0,2024-09-07 09:24:51:721,108401,0.8,105637,0.9,207196,0.6,283268,2.25 101,1,2024-09-07 09:24:50:846,768448,768448,0,0,360570430486,3789399584,757753,8571,2124,368,391847,0 101,2,2024-09-07 09:24:51:755,554599,554599,0,0,30325834,0,4871 101,3,2024-09-07 09:24:50:967,1,439,3,0,579,5912,439,0 102,0,2024-09-07 09:24:50:947,105822,0.6,109097,0.7,221242,0.5,289872,2.00 102,1,2024-09-07 09:24:51:154,768882,768882,0,0,361546802398,3795710589,758999,8119,1764,369,391891,0 102,2,2024-09-07 09:24:51:747,556388,556334,54,0,24445648,0,6768 102,3,2024-09-07 09:24:51:624,1,439,1,0,466,4783,439,0 103,0,2024-09-07 09:24:51:682,116452,0.5,116409,0.7,219435,0.5,301450,2.00 103,1,2024-09-07 09:24:51:634,768122,768122,0,0,360686517929,3805914147,756120,8936,3066,381,391829,0 103,2,2024-09-07 09:24:50:583,550776,550776,0,0,27019617,0,3766 103,3,2024-09-07 09:24:50:762,1,439,1,0,916,4831,439,0 104,0,2024-09-07 09:24:51:039,112797,0.8,113181,1.0,225325,0.7,301966,2.25 104,1,2024-09-07 09:24:51:600,770351,770351,0,0,361537431279,3794501792,759499,8988,1864,365,392168,0 104,2,2024-09-07 09:24:51:666,553118,553118,0,0,25953610,0,3941 104,3,2024-09-07 09:24:51:427,1,439,1,0,1245,8593,439,0 105,0,2024-09-07 09:24:51:065,106859,0.8,104110,1.0,218207,0.8,287028,2.50 105,1,2024-09-07 09:24:50:559,771314,771314,0,0,362093814843,3791483547,761861,8024,1429,366,392009,0 105,2,2024-09-07 09:24:51:331,556579,556579,0,0,25885842,0,3509 105,3,2024-09-07 09:24:51:320,1,439,1,0,399,6602,439,0 106,0,2024-09-07 09:24:50:969,103486,0.6,105945,0.8,216901,0.7,283537,2.25 106,1,2024-09-07 09:24:51:756,769759,769759,0,0,361669003029,3794573134,758699,9613,1447,369,391914,0 106,2,2024-09-07 09:24:50:767,554835,554835,0,0,25296535,0,2920 106,3,2024-09-07 09:24:50:699,1,439,3,0,470,5536,439,0 107,0,2024-09-07 09:24:51:119,111871,0.9,112164,0.9,224115,1.0,299446,2.25 107,1,2024-09-07 09:24:50:636,768440,768440,0,0,360356086057,3795876307,758209,9178,1053,381,392234,0 107,2,2024-09-07 09:24:51:299,553134,553133,1,0,26233945,0,5024 107,3,2024-09-07 09:24:51:765,1,439,1,0,370,6539,439,0 108,0,2024-09-07 09:24:51:834,112238,0.5,113049,0.6,224719,0.4,300019,1.75 108,1,2024-09-07 09:24:51:314,771523,771523,0,0,362650909779,3779965365,765375,5403,745,368,391857,0 108,2,2024-09-07 09:24:51:754,551528,551528,0,0,24614603,0,4246 108,3,2024-09-07 09:24:51:332,1,439,14,0,749,8042,439,0 109,0,2024-09-07 09:24:51:809,110423,0.4,109657,0.6,219253,0.4,292927,1.75 109,1,2024-09-07 09:24:50:591,768595,768595,0,0,361119166021,3789678814,761154,6254,1187,382,392132,0 109,2,2024-09-07 09:24:50:978,555437,555437,0,0,24197974,0,3617 109,3,2024-09-07 09:24:51:156,1,439,9,0,379,5073,439,0 110,0,2024-09-07 09:24:51:768,104797,0.3,102101,0.5,213964,0.3,279899,1.75 110,1,2024-09-07 09:24:51:661,772584,772584,0,0,362743248110,3763644787,767191,4215,1178,369,392045,0 110,2,2024-09-07 09:24:51:321,556994,556994,0,0,23286252,0,4067 110,3,2024-09-07 09:24:50:699,1,439,0,0,722,6222,439,0 111,0,2024-09-07 09:24:51:413,110836,0.4,110167,0.5,220879,0.3,295092,1.75 111,1,2024-09-07 09:24:51:031,772634,772634,0,0,363569730909,3769376317,768744,3535,355,380,391690,0 111,2,2024-09-07 09:24:51:125,555146,555146,0,0,22794521,0,4823 111,3,2024-09-07 09:24:50:930,1,439,0,0,379,5078,439,0 112,0,2024-09-07 09:24:50:957,112525,0.3,112196,0.4,224674,0.2,298378,1.50 112,1,2024-09-07 09:24:50:838,772516,772516,0,0,362129348210,3757276861,767799,4104,613,380,391624,0 112,2,2024-09-07 09:24:51:149,551412,551411,1,0,21829999,0,5036 112,3,2024-09-07 09:24:50:597,1,439,8,0,282,4265,439,0 113,0,2024-09-07 09:24:50:921,111475,0.3,111529,0.5,223538,0.3,296986,1.75 113,1,2024-09-07 09:24:51:697,774743,774743,0,0,364389939234,3757475964,770850,3304,589,366,391661,0 113,2,2024-09-07 09:24:51:321,559105,559105,0,0,20199923,0,3813 113,3,2024-09-07 09:24:50:712,1,439,1,0,340,4757,439,0 114,0,2024-09-07 09:24:50:876,105655,0.3,106222,0.4,211221,0.2,281931,1.75 114,1,2024-09-07 09:24:50:720,772324,772324,0,0,362786022676,3767437877,766039,4669,1616,381,391565,0 114,2,2024-09-07 09:24:50:877,558849,558848,1,0,21273731,0,5069 114,3,2024-09-07 09:24:51:283,1,439,5,0,395,3695,439,0 115,0,2024-09-07 09:24:50:557,109490,0.2,110026,0.4,219811,0.2,292440,1.50 115,1,2024-09-07 09:24:50:573,772778,772778,0,0,362437176167,3765978416,766282,5229,1267,382,391757,0 115,2,2024-09-07 09:24:51:140,557522,557522,0,0,21099361,0,4382 115,3,2024-09-07 09:24:51:009,1,439,1,0,159,2410,439,0 116,0,2024-09-07 09:24:51:704,111817,0.7,111940,0.8,224255,0.7,299500,2.00 116,1,2024-09-07 09:24:50:809,768664,768664,0,0,361404776994,3803950302,760046,6291,2327,380,392089,0 116,2,2024-09-07 09:24:51:757,550916,550916,0,0,26755182,0,4128 116,3,2024-09-07 09:24:50:931,1,439,1,0,415,5082,439,0 117,0,2024-09-07 09:24:50:974,113693,0.8,113050,0.8,226570,0.9,302334,2.00 117,1,2024-09-07 09:24:51:577,769971,769971,0,0,360574906929,3770621472,762212,6843,916,369,392033,0 117,2,2024-09-07 09:24:51:121,558044,558044,0,0,22985850,0,4303 117,3,2024-09-07 09:24:51:067,1,439,1,0,490,6546,439,0 118,0,2024-09-07 09:24:51:816,104427,0.5,107138,0.6,218865,0.4,285773,2.00 118,1,2024-09-07 09:24:50:590,769912,769912,0,0,360446371378,3789690140,757995,8917,3000,366,391907,0 118,2,2024-09-07 09:24:51:599,557265,557265,0,0,25284032,0,2842 118,3,2024-09-07 09:24:51:764,1,439,3,0,248,5116,439,0 119,0,2024-09-07 09:24:51:369,107879,0.5,108077,0.7,216215,0.5,288161,2.00 119,1,2024-09-07 09:24:50:552,770467,770467,0,0,361951119913,3784888878,761976,7373,1118,367,391857,0 119,2,2024-09-07 09:24:51:300,556587,556587,0,0,23924093,0,4174 119,3,2024-09-07 09:24:51:326,1,439,1,0,1358,8487,439,0 120,0,2024-09-07 09:24:51:545,112107,0.6,111786,0.8,224300,0.6,299105,2.25 120,1,2024-09-07 09:24:50:886,770583,770583,0,0,360815382439,3784439452,762204,7609,770,368,392144,0 120,2,2024-09-07 09:24:50:776,552947,552946,1,0,27267444,0,5281 120,3,2024-09-07 09:24:51:290,1,439,1,0,279,5399,439,0 121,0,2024-09-07 09:24:51:690,113241,1.4,112877,1.1,226347,1.9,301963,2.50 121,1,2024-09-07 09:24:51:658,770611,770611,0,0,361142271203,3772828300,763859,6290,462,367,391840,0 121,2,2024-09-07 09:24:51:134,552391,552391,0,0,26209709,0,4127 121,3,2024-09-07 09:24:50:735,1,439,1,0,269,4817,439,0 122,0,2024-09-07 09:24:51:760,108629,0.7,105945,0.9,222255,0.8,291463,2.00 122,1,2024-09-07 09:24:50:873,769243,769243,0,0,360668303292,3782566262,758797,8842,1604,366,392130,0 122,2,2024-09-07 09:24:51:323,557723,557650,73,0,29155259,0,5989 122,3,2024-09-07 09:24:50:607,1,439,3,0,512,7802,439,0 123,0,2024-09-07 09:24:50:962,105784,0.5,103080,0.7,215940,0.5,283160,2.00 123,1,2024-09-07 09:24:50:558,768871,768871,0,0,361382138643,3805033297,755320,11107,2444,369,392039,0 123,2,2024-09-07 09:24:51:027,554074,554073,1,0,24637727,0,5215 123,3,2024-09-07 09:24:51:147,1,439,3,0,478,5139,439,0 124,0,2024-09-07 09:24:50:990,114100,0.3,114245,0.5,215323,0.3,295948,1.75 124,1,2024-09-07 09:24:51:022,772149,772149,0,0,362177282168,3762804860,766866,4232,1051,367,392178,0 124,2,2024-09-07 09:24:51:027,556452,556399,53,0,22340312,0,6487 124,3,2024-09-07 09:24:50:794,1,439,3,0,490,4196,439,0 125,0,2024-09-07 09:24:51:481,111827,0.4,111726,0.6,224305,0.4,298883,1.75 125,1,2024-09-07 09:24:50:870,770600,770600,0,0,362092285284,3779144869,764682,5246,672,382,391702,0 125,2,2024-09-07 09:24:51:129,552951,552951,0,0,23122183,0,4534 125,3,2024-09-07 09:24:51:134,1,439,2,0,709,5429,439,0 126,0,2024-09-07 09:24:51:426,111116,0.4,114349,0.6,218768,0.4,295775,1.75 126,1,2024-09-07 09:24:50:551,772828,772828,0,0,362924217586,3757325592,768819,3715,294,365,391987,0 126,2,2024-09-07 09:24:50:616,558314,558314,0,0,23949041,0,4539 126,3,2024-09-07 09:24:50:942,1,439,0,0,268,5317,439,0 127,0,2024-09-07 09:24:51:610,104644,0.3,104964,0.5,209559,0.2,278837,1.50 127,1,2024-09-07 09:24:50:568,771749,771749,0,0,361389597787,3757863548,764403,6124,1222,364,392187,0 127,2,2024-09-07 09:24:50:643,556271,556271,0,0,21602539,0,3897 127,3,2024-09-07 09:24:51:293,1,439,3,0,968,4649,439,0 128,0,2024-09-07 09:24:51:558,110308,0.3,110546,0.4,220636,0.2,293596,1.50 128,1,2024-09-07 09:24:51:607,771572,771572,0,0,362474333666,3761423058,766657,4482,433,367,392031,0 128,2,2024-09-07 09:24:51:396,557355,557355,0,0,20879759,0,3171 128,3,2024-09-07 09:24:50:772,1,439,0,0,1082,6768,439,0 129,0,2024-09-07 09:24:51:015,113239,0.3,112669,0.5,225785,0.3,300050,1.75 129,1,2024-09-07 09:24:50:570,769727,769727,0,0,361528122715,3786494244,762049,6007,1671,379,391962,0 129,2,2024-09-07 09:24:50:708,552317,552317,0,0,22228302,0,4031 129,3,2024-09-07 09:24:50:704,1,439,0,0,506,6007,439,0 130,0,2024-09-07 09:24:51:726,113156,0.5,112696,0.6,226595,0.5,300646,1.75 130,1,2024-09-07 09:24:50:592,772212,772212,0,0,362173174786,3762021220,768173,3700,339,381,391825,0 130,2,2024-09-07 09:24:51:135,557542,557542,0,0,22759047,0,4067 130,3,2024-09-07 09:24:51:305,1,439,0,0,960,6795,439,0 131,0,2024-09-07 09:24:51:959,106002,0.3,106538,0.5,213893,0.3,283158,1.75 131,1,2024-09-07 09:24:51:820,771803,771803,0,0,362041300771,3779687086,765830,4923,1050,381,391865,0 131,2,2024-09-07 09:24:50:581,559913,559913,0,0,20955924,0,3979 131,3,2024-09-07 09:24:51:695,1,439,0,0,392,4853,439,0 132,0,2024-09-07 09:24:51:432,108835,0.4,109719,0.6,218516,0.4,290776,1.75 132,1,2024-09-07 09:24:50:582,768011,768011,0,0,360574301165,3794573014,756873,9028,2110,381,392532,0 132,2,2024-09-07 09:24:50:701,555476,555459,17,0,27324207,0,6451 132,3,2024-09-07 09:24:51:702,1,439,2,0,804,7593,439,0 133,0,2024-09-07 09:24:51:553,109821,0.4,112319,0.6,230486,0.4,299711,1.75 133,1,2024-09-07 09:24:50:583,768290,768290,0,0,361207221530,3804685695,757740,9035,1515,383,391914,0 133,2,2024-09-07 09:24:51:090,551443,551393,50,0,28653793,0,6861 133,3,2024-09-07 09:24:51:311,1,439,18,0,479,4614,439,0 134,0,2024-09-07 09:24:50:959,114333,0.6,113934,0.7,228091,0.6,304399,2.00 134,1,2024-09-07 09:24:50:584,769568,769568,0,0,360760342265,3781495956,759769,7763,2036,366,391718,0 134,2,2024-09-07 09:24:51:767,552884,552860,24,0,26282237,0,6207 134,3,2024-09-07 09:24:50:764,1,439,1,0,739,5496,439,0 135,0,2024-09-07 09:24:51:126,104658,0.7,104685,0.8,222257,0.8,286554,2.00 135,1,2024-09-07 09:24:51:604,769761,769761,0,0,361823988590,3803459150,759795,8595,1371,380,391805,0 135,2,2024-09-07 09:24:50:720,557650,557650,0,0,25704604,0,3981 135,3,2024-09-07 09:24:51:009,1,439,2,0,299,3768,439,0 136,0,2024-09-07 09:24:51:621,107461,0.5,107649,0.7,214221,0.4,285814,2.00 136,1,2024-09-07 09:24:51:463,770597,770597,0,0,361710218391,3790995437,762025,7608,964,381,391685,0 136,2,2024-09-07 09:24:51:147,557059,557059,0,0,24672034,0,3506 136,3,2024-09-07 09:24:51:106,1,439,1,0,637,5096,439,0 137,0,2024-09-07 09:24:51:008,115559,0.6,112560,0.7,220769,0.6,300490,2.00 137,1,2024-09-07 09:24:50:599,768739,768739,0,0,361111541641,3786461601,757179,9200,2360,366,391898,0 137,2,2024-09-07 09:24:51:717,552996,552996,0,0,27218721,0,3185 137,3,2024-09-07 09:24:50:773,1,439,3,0,484,5338,439,0 138,0,2024-09-07 09:24:51:749,112069,1.0,111960,1.0,224831,1.3,298767,2.25 138,1,2024-09-07 09:24:51:702,769929,769929,0,0,361920200322,3792912745,759683,8522,1724,368,391954,0 138,2,2024-09-07 09:24:50:585,551708,551708,0,0,24721635,0,4988 138,3,2024-09-07 09:24:50:614,1,439,1,0,1160,5746,439,0 139,0,2024-09-07 09:24:51:405,108894,1.5,108981,1.1,218421,2.2,291411,2.50 139,1,2024-09-07 09:24:50:582,765924,765924,0,0,359235394233,3815587909,751246,11310,3368,380,392058,0 139,2,2024-09-07 09:24:50:692,553341,553341,0,0,28722625,0,3097 139,3,2024-09-07 09:24:51:671,1,439,5,0,432,5074,439,0 140,0,2024-09-07 09:24:51:601,105410,0.3,104822,0.5,210509,0.2,280333,1.75 140,1,2024-09-07 09:24:51:545,773929,773929,0,0,363800641328,3748908778,770364,3124,441,365,391606,0 140,2,2024-09-07 09:24:50:701,557697,557696,1,0,21800504,0,5036 140,3,2024-09-07 09:24:50:772,1,439,1,0,297,3606,439,0 141,0,2024-09-07 09:24:51:711,110692,0.3,113675,0.5,217141,0.2,294714,1.50 141,1,2024-09-07 09:24:50:862,772745,772745,0,0,363274370646,3771473907,767054,4734,957,379,391614,0 141,2,2024-09-07 09:24:51:689,556015,556015,0,0,21677829,0,3360 141,3,2024-09-07 09:24:51:051,1,439,1,0,391,4957,439,0 142,0,2024-09-07 09:24:51:343,112663,0.3,112086,0.5,224155,0.3,298937,1.75 142,1,2024-09-07 09:24:50:587,771750,771750,0,0,362832918925,3779749414,767056,4295,399,382,392102,0 142,2,2024-09-07 09:24:51:315,550914,550882,32,0,23560236,0,6028 142,3,2024-09-07 09:24:51:752,1,439,9,0,484,4903,439,0 143,0,2024-09-07 09:24:51:407,111567,0.5,111770,0.6,223617,0.4,297087,1.75 143,1,2024-09-07 09:24:50:564,772886,772886,0,0,362893986876,3764621920,767839,4503,544,367,391705,0 143,2,2024-09-07 09:24:50:791,557478,557478,0,0,22897213,0,3123 143,3,2024-09-07 09:24:51:148,1,439,12,0,462,5403,439,0 144,0,2024-09-07 09:24:51:505,102090,0.6,104830,0.8,213715,0.5,279817,2.00 144,1,2024-09-07 09:24:50:565,768783,768783,0,0,360054160067,3777663504,761069,5880,1834,381,391649,0 144,2,2024-09-07 09:24:51:754,558551,558551,0,0,21403868,0,3673 144,3,2024-09-07 09:24:51:744,1,439,21,0,249,4466,439,0 145,0,2024-09-07 09:24:51:373,105879,0.5,105884,0.7,224789,0.4,289778,2.25 145,1,2024-09-07 09:24:50:552,768840,768840,0,0,360715995213,3791270371,759204,7974,1662,382,391759,0 145,2,2024-09-07 09:24:51:466,553790,553709,81,0,26398043,0,7814 145,3,2024-09-07 09:24:50:922,1,439,0,0,622,6217,439,0 146,0,2024-09-07 09:24:51:613,112214,0.6,111721,0.8,224797,0.6,298051,2.25 146,1,2024-09-07 09:24:51:594,769388,769388,0,0,361474127475,3800180575,757236,9036,3116,367,391770,0 146,2,2024-09-07 09:24:51:704,550074,550074,0,0,25158371,0,3290 146,3,2024-09-07 09:24:51:278,1,439,3,0,1520,8109,439,0 147,0,2024-09-07 09:24:51:707,113413,0.7,113353,0.8,225947,0.7,301693,2.25 147,1,2024-09-07 09:24:51:374,772891,772891,0,0,362358980889,3767622877,766172,5872,847,368,391791,0 147,2,2024-09-07 09:24:51:021,555331,555331,0,0,22804053,0,2968 147,3,2024-09-07 09:24:50:942,1,439,2,0,1626,7503,439,0 0,0,2024-09-07 09:25:01:718,109580,0.6,109531,0.7,232549,0.6,300255,2.00 0,1,2024-09-07 09:25:00:805,771883,771883,0,0,362231325370,3788950680,766413,5081,389,369,391896,0 0,2,2024-09-07 09:25:01:069,555662,555662,0,0,21983746,0,4480 0,3,2024-09-07 09:25:00:988,1,440,22,0,431,6420,440,0 1,0,2024-09-07 09:25:01:754,113561,1.1,112897,1.0,226371,1.6,303010,2.25 1,1,2024-09-07 09:25:00:572,771493,771493,0,0,361869451203,3785223148,765057,5107,1329,370,391859,0 1,2,2024-09-07 09:25:00:654,554292,554292,0,0,22336791,0,3380 1,3,2024-09-07 09:25:01:306,1,440,22,0,268,5317,440,0 2,0,2024-09-07 09:25:01:572,109079,0.6,109193,0.7,217699,0.6,290346,2.00 2,1,2024-09-07 09:25:00:871,774012,774012,0,0,363299936658,3768818105,770722,2995,295,380,391745,0 2,2,2024-09-07 09:25:01:273,559573,559573,0,0,20965237,0,3594 2,3,2024-09-07 09:25:00:690,1,440,2,0,357,4216,440,0 3,0,2024-09-07 09:25:01:744,106720,0.4,106473,0.6,212890,0.3,284016,2.00 3,1,2024-09-07 09:25:01:622,772578,772578,0,0,362275777975,3770772404,766356,5531,691,379,391716,0 3,2,2024-09-07 09:25:01:159,557727,557704,23,0,22104153,0,5851 3,3,2024-09-07 09:25:01:759,1,440,1,0,207,2855,440,0 4,0,2024-09-07 09:25:01:856,107918,0.4,110835,0.5,226124,0.3,295732,1.75 4,1,2024-09-07 09:25:00:611,769787,769787,0,0,360680748743,3808942170,757964,9315,2508,370,391992,0 4,2,2024-09-07 09:25:01:024,554608,554608,0,0,25262071,0,4528 4,3,2024-09-07 09:25:01:033,1,440,19,0,448,5636,440,0 5,0,2024-09-07 09:25:01:428,111985,0.4,112687,0.6,224777,0.4,298958,1.75 5,1,2024-09-07 09:25:00:765,771086,771086,0,0,361321991593,3800926943,760650,8276,2160,367,392005,0 5,2,2024-09-07 09:25:01:870,550662,550662,0,0,25071837,0,3582 5,3,2024-09-07 09:25:01:736,1,440,3,0,457,6054,440,0 6,0,2024-09-07 09:25:00:924,111367,0.4,110931,0.6,221840,0.4,295732,2.00 6,1,2024-09-07 09:25:00:747,772450,772450,0,0,362484659038,3785425928,764238,6819,1393,379,391702,0 6,2,2024-09-07 09:25:01:116,558139,558121,18,0,25017864,0,5535 6,3,2024-09-07 09:25:01:280,1,440,2,0,710,5468,440,0 7,0,2024-09-07 09:25:01:533,104259,0.4,105039,0.6,209101,0.4,278585,1.75 7,1,2024-09-07 09:25:00:876,771714,771714,0,0,362090182923,3795363570,761940,8310,1464,382,391747,0 7,2,2024-09-07 09:25:00:778,558992,558992,0,0,24324174,0,4791 7,3,2024-09-07 09:25:00:851,1,440,1,0,552,4974,440,0 8,0,2024-09-07 09:25:01:329,110483,0.3,110273,0.5,220991,0.3,294626,1.75 8,1,2024-09-07 09:25:01:017,770434,770434,0,0,361817782202,3801988422,758812,8989,2633,366,392853,0 8,2,2024-09-07 09:25:00:819,553104,553104,0,0,28616772,0,3250 8,3,2024-09-07 09:25:00:589,1,440,4,0,538,7108,440,0 9,0,2024-09-07 09:25:01:183,112586,0.4,109363,0.5,228881,0.3,299794,1.75 9,1,2024-09-07 09:25:00:549,770117,770117,0,0,361867611913,3808943812,759040,8656,2421,369,392001,0 9,2,2024-09-07 09:25:01:103,551765,551765,0,0,25397714,0,3360 9,3,2024-09-07 09:25:01:784,1,440,38,0,496,6214,440,0 10,0,2024-09-07 09:25:01:610,112451,0.4,111968,0.5,224814,0.3,299113,1.75 10,1,2024-09-07 09:25:00:593,771740,771740,0,0,362181497821,3794781847,761247,8869,1624,381,391741,0 10,2,2024-09-07 09:25:00:761,557077,557077,0,0,28074750,0,4264 10,3,2024-09-07 09:25:00:876,1,440,0,0,649,4632,440,0 11,0,2024-09-07 09:25:01:005,105958,0.4,102761,0.6,215032,0.4,283158,1.75 11,1,2024-09-07 09:25:00:579,772282,772282,0,0,362944103918,3804841134,760953,8702,2627,383,391756,0 11,2,2024-09-07 09:25:01:126,558777,558777,0,0,24692166,0,4130 11,3,2024-09-07 09:25:01:315,1,440,1,0,843,6233,440,0 12,0,2024-09-07 09:25:00:960,109773,0.4,109812,0.5,219628,0.3,291678,1.75 12,1,2024-09-07 09:25:00:959,772128,772128,0,0,362507729309,3777197843,766559,5066,503,370,391870,0 12,2,2024-09-07 09:25:01:543,557092,557092,0,0,24035011,0,3469 12,3,2024-09-07 09:25:01:062,1,440,1,0,386,6379,440,0 13,0,2024-09-07 09:25:01:330,113784,0.4,113311,0.6,226097,0.4,301114,1.75 13,1,2024-09-07 09:25:01:535,770508,770508,0,0,361045251709,3793714036,762879,5995,1634,382,391740,0 13,2,2024-09-07 09:25:00:599,554957,554957,0,0,22016564,0,3287 13,3,2024-09-07 09:25:01:772,1,440,11,0,522,6336,440,0 14,0,2024-09-07 09:25:00:568,113923,0.4,114991,0.6,227434,0.4,303544,1.75 14,1,2024-09-07 09:25:01:565,775991,775991,0,0,364019772325,3768409306,769769,5513,709,364,391673,0 14,2,2024-09-07 09:25:00:764,556055,556025,30,0,24121909,0,6104 14,3,2024-09-07 09:25:01:131,1,440,0,0,1168,4744,440,0 15,0,2024-09-07 09:25:01:554,108151,0.4,108023,0.6,216477,0.4,288280,2.00 15,1,2024-09-07 09:25:01:608,773252,773252,0,0,363065415912,3780292892,767025,5048,1179,381,391619,0 15,2,2024-09-07 09:25:01:003,560688,560688,0,0,20102282,0,3622 15,3,2024-09-07 09:25:01:410,1,440,0,0,1126,6984,440,0 16,0,2024-09-07 09:25:01:043,107334,0.5,107874,0.7,214931,0.5,286038,2.00 16,1,2024-09-07 09:25:00:573,772953,772953,0,0,362354993379,3786731659,766344,5494,1115,370,391917,0 16,2,2024-09-07 09:25:01:466,557292,557292,0,0,23663161,0,4719 16,3,2024-09-07 09:25:01:153,1,440,3,0,317,5453,440,0 17,0,2024-09-07 09:25:01:934,116042,0.6,113386,0.8,221648,0.6,301758,2.00 17,1,2024-09-07 09:25:00:602,771291,771291,0,0,361349151550,3789392074,763619,6154,1518,368,392075,0 17,2,2024-09-07 09:25:01:683,557540,557540,0,0,23419522,0,3779 17,3,2024-09-07 09:25:00:619,1,440,36,0,518,6937,440,0 18,0,2024-09-07 09:25:00:956,111692,0.7,112186,0.8,223664,0.7,299066,2.25 18,1,2024-09-07 09:25:01:639,774488,774488,0,0,363586962406,3765757469,770486,3623,379,367,391725,0 18,2,2024-09-07 09:25:01:784,556493,556493,0,0,20780268,0,3541 18,3,2024-09-07 09:25:00:901,1,440,2,0,163,3311,440,0 19,0,2024-09-07 09:25:01:539,109423,0.6,109921,0.8,218021,0.6,290235,2.25 19,1,2024-09-07 09:25:00:583,774612,774612,0,0,363532486458,3768472469,768662,5029,921,367,391777,0 19,2,2024-09-07 09:25:01:752,561221,561221,0,0,19738639,0,3988 19,3,2024-09-07 09:25:01:140,1,440,1,0,524,3486,440,0 20,0,2024-09-07 09:25:01:395,105234,0.4,105220,0.6,210267,0.4,280521,2.00 20,1,2024-09-07 09:25:00:578,771795,771795,0,0,362058748328,3784768599,765079,5915,801,369,391922,0 20,2,2024-09-07 09:25:00:931,557263,557263,0,0,23700044,0,3721 20,3,2024-09-07 09:25:00:603,1,440,1,0,414,6316,440,0 21,0,2024-09-07 09:25:01:195,110769,0.4,110954,0.6,221719,0.4,294580,1.75 21,1,2024-09-07 09:25:01:541,770230,770230,0,0,361677394713,3810090991,759260,8489,2481,368,392016,0 21,2,2024-09-07 09:25:01:069,554237,554217,20,0,28774601,0,5617 21,3,2024-09-07 09:25:01:404,1,440,1,0,713,5784,440,0 22,0,2024-09-07 09:25:01:738,112065,0.5,112410,0.7,224564,0.4,297676,2.00 22,1,2024-09-07 09:25:01:024,771405,771405,0,0,362561587550,3806673833,760250,8928,2227,382,391667,0 22,2,2024-09-07 09:25:00:764,551725,551699,26,0,22825217,0,6328 22,3,2024-09-07 09:25:01:066,1,440,8,0,228,3556,440,0 23,0,2024-09-07 09:25:01:395,111246,0.5,110564,0.7,221691,0.5,295233,2.25 23,1,2024-09-07 09:25:01:006,772416,772416,0,0,363132761866,3809703013,759306,9056,4054,365,391690,0 23,2,2024-09-07 09:25:01:094,559806,559806,0,0,22428916,0,3773 23,3,2024-09-07 09:25:01:757,1,440,1,0,720,5594,440,0 24,0,2024-09-07 09:25:00:874,106045,0.4,105477,0.5,212137,0.3,281348,1.75 24,1,2024-09-07 09:25:00:594,771551,771551,0,0,361340111356,3785548581,763544,6413,1594,367,392269,0 24,2,2024-09-07 09:25:01:071,558232,558232,0,0,27081116,0,3607 24,3,2024-09-07 09:25:01:686,1,440,6,0,468,5877,440,0 25,0,2024-09-07 09:25:01:420,112869,0.4,109920,0.6,215683,0.3,294157,1.75 25,1,2024-09-07 09:25:00:572,770988,770988,0,0,361686868131,3809031099,758959,9778,2251,371,391928,0 25,2,2024-09-07 09:25:01:608,555340,555340,0,0,27705409,0,3978 25,3,2024-09-07 09:25:01:001,1,440,1,0,532,4911,440,0 26,0,2024-09-07 09:25:01:731,112239,0.4,109551,0.6,230009,0.4,299093,2.00 26,1,2024-09-07 09:25:01:541,772820,772820,0,0,362446382694,3798216242,761186,9225,2409,380,391748,0 26,2,2024-09-07 09:25:00:871,552949,552949,0,0,27641219,0,2809 26,3,2024-09-07 09:25:01:713,1,440,2,0,796,5203,440,0 27,0,2024-09-07 09:25:01:721,113610,0.5,113793,0.6,226322,0.4,301838,2.25 27,1,2024-09-07 09:25:01:675,774029,774029,0,0,363646092945,3785906889,766759,6369,901,381,391626,0 27,2,2024-09-07 09:25:00:870,554113,554048,65,0,25330899,0,5699 27,3,2024-09-07 09:25:01:015,1,440,3,0,564,4132,440,0 28,0,2024-09-07 09:25:01:402,107898,0.4,107585,0.6,215845,0.3,287464,1.75 28,1,2024-09-07 09:25:00:807,773135,773135,0,0,363269472984,3789799798,765942,5494,1699,382,391698,0 28,2,2024-09-07 09:25:01:784,559466,559466,0,0,23158164,0,2915 28,3,2024-09-07 09:25:01:800,1,440,1,0,502,4753,440,0 29,0,2024-09-07 09:25:01:376,111620,0.3,108691,0.5,213273,0.3,290833,1.75 29,1,2024-09-07 09:25:01:575,775905,775905,0,0,364133660051,3766516314,771037,4133,735,368,391809,0 29,2,2024-09-07 09:25:00:874,556913,556913,0,0,21883462,0,4986 29,3,2024-09-07 09:25:00:973,1,440,0,0,459,5054,440,0 30,0,2024-09-07 09:25:01:459,112430,0.5,109304,0.7,228817,0.5,299177,2.00 30,1,2024-09-07 09:25:00:578,774660,774660,0,0,364048615302,3779178916,768591,5303,766,381,391672,0 30,2,2024-09-07 09:25:01:276,554826,554826,0,0,21307553,0,4192 30,3,2024-09-07 09:25:00:586,1,440,5,0,519,4262,440,0 31,0,2024-09-07 09:25:01:766,113184,0.5,113604,0.7,226949,0.5,303003,2.00 31,1,2024-09-07 09:25:00:578,778166,778166,0,0,365218857414,3742492958,775060,2520,586,356,391712,0 31,2,2024-09-07 09:25:01:280,554505,554505,0,0,23036488,0,3525 31,3,2024-09-07 09:25:01:706,1,440,1,0,220,3799,440,0 32,0,2024-09-07 09:25:01:420,109460,0.3,110169,0.5,219206,0.2,292114,1.50 32,1,2024-09-07 09:25:00:807,774296,774296,0,0,363347887521,3777981602,769176,4438,682,381,391646,0 32,2,2024-09-07 09:25:00:955,560578,560578,0,0,20675149,0,3922 32,3,2024-09-07 09:25:01:021,1,440,5,0,304,3427,440,0 33,0,2024-09-07 09:25:01:493,106742,0.3,106480,0.4,213436,0.2,284583,1.50 33,1,2024-09-07 09:25:00:579,774986,774986,0,0,363860590272,3771443620,768471,5410,1105,368,391730,0 33,2,2024-09-07 09:25:00:761,558010,557975,35,0,23032720,0,7012 33,3,2024-09-07 09:25:00:899,1,440,0,0,329,4162,440,0 34,0,2024-09-07 09:25:00:937,111496,0.3,114579,0.4,219113,0.2,295063,1.75 34,1,2024-09-07 09:25:01:052,776188,776188,0,0,365145706541,3752905618,774444,1732,12,367,391562,0 34,2,2024-09-07 09:25:00:995,557349,557349,0,0,21378582,0,4562 34,3,2024-09-07 09:25:01:694,1,440,3,0,299,3273,440,0 35,0,2024-09-07 09:25:00:864,111511,0.3,112112,0.5,225236,0.3,299797,1.75 35,1,2024-09-07 09:25:01:067,774109,774109,0,0,363553615193,3766445579,769566,3685,858,382,391769,0 35,2,2024-09-07 09:25:01:589,553556,553556,0,0,23087457,0,4055 35,3,2024-09-07 09:25:00:916,1,440,0,0,418,4527,440,0 36,0,2024-09-07 09:25:01:519,111496,0.5,111353,0.7,222701,0.4,296169,2.00 36,1,2024-09-07 09:25:00:641,773497,773497,0,0,362787019250,3785937589,763848,7649,2000,366,391759,0 36,2,2024-09-07 09:25:01:759,559173,559173,0,0,24288227,0,3875 36,3,2024-09-07 09:25:00:875,1,440,1,0,416,6109,440,0 37,0,2024-09-07 09:25:01:418,104381,0.4,104667,0.6,208979,0.4,278966,2.00 37,1,2024-09-07 09:25:00:578,772894,772887,0,7,361967875494,3777605310,764066,6545,2276,365,391770,0 37,2,2024-09-07 09:25:01:150,556443,556428,15,0,24171500,0,5815 37,3,2024-09-07 09:25:01:783,1,440,0,0,888,6392,440,0 38,0,2024-09-07 09:25:01:436,109740,0.4,106568,0.6,222859,0.3,292386,2.00 38,1,2024-09-07 09:25:01:605,773924,773924,0,0,363157737468,3793326805,763176,8587,2161,368,391821,0 38,2,2024-09-07 09:25:00:783,556887,556840,47,0,23915981,0,6710 38,3,2024-09-07 09:25:01:005,1,440,1,0,689,5478,440,0 39,0,2024-09-07 09:25:01:764,115002,0.6,112496,0.7,219349,0.6,298921,2.00 39,1,2024-09-07 09:25:00:737,772218,772218,0,0,362519247532,3797527455,759774,9753,2691,365,391658,0 39,2,2024-09-07 09:25:01:421,553037,553037,0,0,22732207,0,3391 39,3,2024-09-07 09:25:00:721,1,440,23,0,324,4930,440,0 40,0,2024-09-07 09:25:01:497,111338,0.8,111984,1.0,223280,0.9,297671,2.75 40,1,2024-09-07 09:25:00:593,772961,772961,0,0,362364259955,3792610338,762140,8777,2044,368,391668,0 40,2,2024-09-07 09:25:01:311,556617,556616,1,0,26577550,0,5137 40,3,2024-09-07 09:25:01:142,1,440,4,0,1028,5999,440,0 41,0,2024-09-07 09:25:01:030,105659,1.3,107956,1.2,205950,1.9,279764,3.00 41,1,2024-09-07 09:25:00:786,771585,771585,0,0,362774017292,3791770925,762401,8144,1040,369,391742,0 41,2,2024-09-07 09:25:00:761,557678,557678,0,0,25426221,0,4277 41,3,2024-09-07 09:25:01:676,1,440,1,0,366,4472,440,0 42,0,2024-09-07 09:25:01:473,108560,0.7,108471,0.9,217079,0.8,287570,2.50 42,1,2024-09-07 09:25:01:439,769946,769946,0,0,361799636664,3799611013,757860,10055,2031,380,391675,0 42,2,2024-09-07 09:25:01:140,556142,556142,0,0,25547089,0,3790 42,3,2024-09-07 09:25:01:014,1,440,8,0,892,4283,440,0 43,0,2024-09-07 09:25:00:925,111748,0.7,108831,0.9,227869,0.8,298363,2.25 43,1,2024-09-07 09:25:00:592,773312,773312,0,0,363481383864,3795266807,762930,8643,1739,366,391696,0 43,2,2024-09-07 09:25:01:737,553611,553611,0,0,25111131,0,3812 43,3,2024-09-07 09:25:01:751,1,440,3,0,548,5755,440,0 44,0,2024-09-07 09:25:00:876,114078,0.5,114243,0.6,229011,0.4,304139,2.00 44,1,2024-09-07 09:25:00:584,774793,774793,0,0,363601668255,3757415981,768292,5183,1318,356,391809,0 44,2,2024-09-07 09:25:01:275,554613,554613,0,0,21247171,0,4344 44,3,2024-09-07 09:25:01:094,1,440,1,0,817,5096,440,0 45,0,2024-09-07 09:25:01:765,107026,0.5,104512,0.7,219332,0.4,288834,2.00 45,1,2024-09-07 09:25:01:011,773850,773850,0,0,363556548613,3776568902,768189,5088,573,382,391917,0 45,2,2024-09-07 09:25:01:273,560367,560367,0,0,21512841,0,3596 45,3,2024-09-07 09:25:00:934,1,440,1,0,271,3724,440,0 46,0,2024-09-07 09:25:00:958,106954,0.4,106630,0.7,213840,0.4,283862,2.00 46,1,2024-09-07 09:25:00:579,775458,775458,0,0,363616404390,3755157417,770742,4124,592,366,391709,0 46,2,2024-09-07 09:25:00:610,557688,557688,0,0,21639209,0,4443 46,3,2024-09-07 09:25:01:132,1,440,1,0,908,5485,440,0 47,0,2024-09-07 09:25:01:109,112983,0.4,113195,0.6,226543,0.4,300857,1.75 47,1,2024-09-07 09:25:00:566,776297,776297,0,0,363572565048,3756431304,771422,4037,838,366,391641,0 47,2,2024-09-07 09:25:00:916,557800,557800,0,0,21195013,0,4477 47,3,2024-09-07 09:25:01:134,1,440,4,0,600,5268,440,0 48,0,2024-09-07 09:25:01:492,113272,0.3,113298,0.4,225590,0.2,301035,1.50 48,1,2024-09-07 09:25:01:022,774085,774085,0,0,364378073011,3782441782,769372,4257,456,384,391710,0 48,2,2024-09-07 09:25:00:702,554111,554111,0,0,19778520,0,3411 48,3,2024-09-07 09:25:00:761,1,440,1,0,339,3476,440,0 49,0,2024-09-07 09:25:01:729,112776,0.4,110873,0.5,214982,0.3,294179,1.75 49,1,2024-09-07 09:25:01:022,773880,773880,0,0,363118629391,3776169459,768722,3951,1207,382,391809,0 49,2,2024-09-07 09:25:01:805,559783,559783,0,0,21841658,0,4426 49,3,2024-09-07 09:25:01:445,1,440,14,0,992,5232,440,0 50,0,2024-09-07 09:25:01:514,105832,0.3,104212,0.4,210393,0.2,280277,1.75 50,1,2024-09-07 09:25:01:010,776389,776389,0,0,364049307992,3762358134,771458,4357,574,368,391565,0 50,2,2024-09-07 09:25:01:067,558050,558050,0,0,20022433,0,4490 50,3,2024-09-07 09:25:01:305,1,440,3,0,567,4372,440,0 51,0,2024-09-07 09:25:01:683,113626,0.3,111321,0.4,217132,0.2,296115,1.75 51,1,2024-09-07 09:25:01:680,776389,776389,0,0,365008071758,3762546883,772434,2906,1049,365,391706,0 51,2,2024-09-07 09:25:01:316,557152,557152,0,0,19021064,0,3337 51,3,2024-09-07 09:25:01:027,1,440,1,0,678,3511,440,0 52,0,2024-09-07 09:25:01:422,112420,0.5,112317,0.7,224806,0.5,298508,2.00 52,1,2024-09-07 09:25:00:578,773153,773153,0,0,362225178673,3786636989,762812,8988,1353,368,391722,0 52,2,2024-09-07 09:25:01:755,550196,550158,38,0,25368114,0,6742 52,3,2024-09-07 09:25:00:681,1,440,1,0,1782,5919,440,0 53,0,2024-09-07 09:25:01:732,110739,0.7,107556,0.8,224979,0.7,295012,2.25 53,1,2024-09-07 09:25:00:776,771205,771205,0,0,362767181342,3801511607,759283,8962,2960,367,391702,0 53,2,2024-09-07 09:25:01:306,558704,558703,1,0,23064323,0,5455 53,3,2024-09-07 09:25:00:702,1,440,1,0,308,3976,440,0 54,0,2024-09-07 09:25:01:615,103943,0.5,104217,0.7,207500,0.4,277298,2.25 54,1,2024-09-07 09:25:00:588,773510,773510,0,0,363130212662,3772427765,766018,6251,1241,366,391659,0 54,2,2024-09-07 09:25:00:876,559191,559159,32,0,26404512,0,6397 54,3,2024-09-07 09:25:00:763,1,440,2,0,676,6258,440,0 55,0,2024-09-07 09:25:01:762,106355,0.5,109734,0.7,222175,0.4,290013,2.25 55,1,2024-09-07 09:25:00:765,773983,773983,0,0,362752490453,3766834251,766347,6541,1095,365,391731,0 55,2,2024-09-07 09:25:00:741,556457,556401,56,0,25292985,0,7239 55,3,2024-09-07 09:25:00:681,1,440,1,0,304,4360,440,0 56,0,2024-09-07 09:25:01:553,114691,1.3,108229,1.2,222931,1.8,298189,2.75 56,1,2024-09-07 09:25:00:579,769584,769584,0,0,361613350033,3816041446,758062,9148,2374,381,391867,0 56,2,2024-09-07 09:25:01:307,552527,552405,122,0,26113711,0,7432 56,3,2024-09-07 09:25:01:061,1,440,11,0,705,5278,440,0 57,0,2024-09-07 09:25:00:936,111731,1.7,111394,1.3,223565,2.3,298977,3.25 57,1,2024-09-07 09:25:00:989,772209,772209,0,0,361257631258,3783143978,763598,7524,1087,366,392032,0 57,2,2024-09-07 09:25:01:316,556653,556653,0,0,27050019,0,3317 57,3,2024-09-07 09:25:01:749,1,440,3,0,455,5009,440,0 58,0,2024-09-07 09:25:00:589,106168,0.8,103070,0.9,215759,0.9,282582,2.50 58,1,2024-09-07 09:25:00:579,772235,772232,0,3,363266305559,3802385485,761113,8815,2304,367,391603,3 58,2,2024-09-07 09:25:01:070,558698,558698,0,0,25141864,0,2902 58,3,2024-09-07 09:25:01:068,1,440,0,0,1043,4666,440,0 59,0,2024-09-07 09:25:01:747,108011,0.7,107575,0.9,215018,0.7,285780,2.50 59,1,2024-09-07 09:25:00:822,772184,772184,0,0,362284550247,3792145853,761962,8413,1809,369,391653,0 59,2,2024-09-07 09:25:00:595,556360,556360,0,0,24991078,0,3727 59,3,2024-09-07 09:25:01:738,1,440,0,0,1015,5772,440,0 60,0,2024-09-07 09:25:01:795,112674,0.5,112621,0.7,226038,0.5,300035,1.75 60,1,2024-09-07 09:25:00:777,774678,774678,0,0,364424747384,3781088612,769526,4430,722,370,392031,0 60,2,2024-09-07 09:25:01:143,554921,554921,0,0,23242844,0,3811 60,3,2024-09-07 09:25:01:268,1,440,14,0,409,5052,440,0 61,0,2024-09-07 09:25:01:571,113268,0.7,113962,0.8,226850,0.7,302746,2.00 61,1,2024-09-07 09:25:01:008,772750,772750,0,0,362613016850,3796897347,764429,6890,1431,382,392127,0 61,2,2024-09-07 09:25:01:128,554588,554521,67,0,23628393,0,6411 61,3,2024-09-07 09:25:01:706,1,440,1,0,479,5825,440,0 62,0,2024-09-07 09:25:01:709,109902,0.5,112652,0.7,215056,0.5,291918,2.00 62,1,2024-09-07 09:25:01:117,777272,777266,0,6,364999678577,3762189528,773450,3555,261,365,391975,6 62,2,2024-09-07 09:25:01:647,557793,557792,1,0,23902956,0,5555 62,3,2024-09-07 09:25:01:144,1,440,3,0,482,3774,440,0 63,0,2024-09-07 09:25:01:454,106892,0.4,106762,0.5,214121,0.3,284888,1.75 63,1,2024-09-07 09:25:00:805,774940,774934,0,6,363772305523,3775724568,769952,4249,733,381,391800,6 63,2,2024-09-07 09:25:00:764,557591,557591,0,0,21669543,0,4369 63,3,2024-09-07 09:25:01:738,1,440,2,0,667,4441,440,0 64,0,2024-09-07 09:25:01:529,110851,0.5,110954,0.6,221135,0.4,294264,1.75 64,1,2024-09-07 09:25:00:750,773857,773857,0,0,363871260914,3788926532,767175,4979,1703,370,391783,0 64,2,2024-09-07 09:25:01:143,560275,560256,19,0,21431429,0,6121 64,3,2024-09-07 09:25:01:142,1,440,1,0,265,4236,440,0 65,0,2024-09-07 09:25:01:704,111324,0.7,111603,0.8,222909,0.8,297229,2.25 65,1,2024-09-07 09:25:00:880,771931,771931,0,0,362210576830,3783404959,766817,4574,540,381,391901,0 65,2,2024-09-07 09:25:01:695,553656,553656,0,0,25113932,0,3367 65,3,2024-09-07 09:25:01:682,1,440,83,0,782,5423,440,0 66,0,2024-09-07 09:25:01:782,110911,0.5,110701,0.7,221307,0.5,294520,2.25 66,1,2024-09-07 09:25:01:314,774100,774100,0,0,362785563384,3777892861,768601,4973,526,380,391743,0 66,2,2024-09-07 09:25:01:133,560486,560486,0,0,21947909,0,4956 66,3,2024-09-07 09:25:01:079,1,440,7,0,291,3745,440,0 67,0,2024-09-07 09:25:01:420,104986,0.4,104776,0.6,210129,0.4,279727,2.00 67,1,2024-09-07 09:25:00:770,774227,774226,0,1,363478969157,3784110344,768873,4561,792,380,391787,1 67,2,2024-09-07 09:25:00:588,560644,560644,0,0,21096893,0,3622 67,3,2024-09-07 09:25:01:755,1,440,0,0,392,4264,440,0 68,0,2024-09-07 09:25:00:584,110548,0.5,110513,0.7,219900,0.5,294535,2.00 68,1,2024-09-07 09:25:00:579,771339,771339,0,0,362032534096,3804935241,761280,7113,2946,381,391953,0 68,2,2024-09-07 09:25:01:046,554576,554476,100,0,28013925,0,8578 68,3,2024-09-07 09:25:00:742,1,440,8,0,417,4990,440,0 69,0,2024-09-07 09:25:01:797,111793,0.8,112554,0.8,224196,0.9,297361,2.25 69,1,2024-09-07 09:25:01:050,770656,770656,0,0,361065969342,3802323146,760881,7504,2271,384,391994,0 69,2,2024-09-07 09:25:01:762,551912,551883,29,0,29468034,0,6912 69,3,2024-09-07 09:25:00:763,1,440,9,0,698,6654,440,0 70,0,2024-09-07 09:25:01:549,111313,1.0,111546,1.1,224383,0.9,297037,2.50 70,1,2024-09-07 09:25:00:801,774442,774442,0,0,364144147245,3776664250,768461,5320,661,366,391725,0 70,2,2024-09-07 09:25:01:325,557392,557392,0,0,24716894,0,4323 70,3,2024-09-07 09:25:00:748,1,440,1,0,854,5021,440,0 71,0,2024-09-07 09:25:01:365,105177,0.7,104851,0.9,210802,0.8,281075,2.50 71,1,2024-09-07 09:25:01:597,773371,773371,0,0,363074855135,3784287493,764929,7639,803,368,391738,0 71,2,2024-09-07 09:25:01:069,558613,558613,0,0,24748310,0,4352 71,3,2024-09-07 09:25:01:755,1,440,1,0,644,5617,440,0 72,0,2024-09-07 09:25:01:060,112645,0.5,110204,0.7,214803,0.4,291992,2.00 72,1,2024-09-07 09:25:01:028,771677,771677,0,0,362317233072,3798865018,760807,8860,2010,369,391819,0 72,2,2024-09-07 09:25:01:771,555974,555974,0,0,27162875,0,3983 72,3,2024-09-07 09:25:01:761,1,440,3,0,564,6598,440,0 73,0,2024-09-07 09:25:01:126,110006,0.4,112744,0.6,230470,0.4,299357,2.00 73,1,2024-09-07 09:25:00:776,773566,773566,0,0,363101485951,3770242173,768223,4934,409,367,391858,0 73,2,2024-09-07 09:25:01:748,552945,552945,0,0,26517608,0,3701 73,3,2024-09-07 09:25:00:973,1,440,2,0,486,5769,440,0 74,0,2024-09-07 09:25:01:339,114947,0.5,117499,0.7,224038,0.5,304464,2.25 74,1,2024-09-07 09:25:00:655,772472,772472,0,0,363130276171,3788605499,764503,6374,1595,381,391762,0 74,2,2024-09-07 09:25:01:002,555164,555164,0,0,24380396,0,4253 74,3,2024-09-07 09:25:01:442,1,440,1,0,522,5593,440,0 75,0,2024-09-07 09:25:01:767,108851,0.5,108179,0.7,216952,0.5,289884,2.25 75,1,2024-09-07 09:25:01:589,773472,773472,0,0,363011249913,3784239264,766619,6025,828,380,391739,0 75,2,2024-09-07 09:25:01:353,558067,558067,0,0,27214687,0,4766 75,3,2024-09-07 09:25:01:068,1,440,0,0,702,5746,440,0 76,0,2024-09-07 09:25:00:600,106928,0.5,106126,0.7,213091,0.5,284618,2.25 76,1,2024-09-07 09:25:00:820,773195,773195,0,0,362450213720,3780026460,767764,4605,826,382,391692,0 76,2,2024-09-07 09:25:01:069,559516,559515,1,0,23756641,0,5144 76,3,2024-09-07 09:25:01:142,1,440,2,0,175,4044,440,0 77,0,2024-09-07 09:25:01:801,112322,0.5,112658,0.7,225477,0.5,299831,2.00 77,1,2024-09-07 09:25:00:839,773630,773630,0,0,362784243285,3784445132,767793,5330,507,381,391869,0 77,2,2024-09-07 09:25:01:293,555342,555342,0,0,23218039,0,3890 77,3,2024-09-07 09:25:01:104,1,440,6,0,401,4855,440,0 78,0,2024-09-07 09:25:01:716,113046,0.5,112442,0.6,225887,0.4,299725,2.00 78,1,2024-09-07 09:25:00:621,774019,774019,0,0,362957673213,3779710728,766149,6301,1569,367,391670,0 78,2,2024-09-07 09:25:01:406,554904,554891,13,0,21989159,0,8313 78,3,2024-09-07 09:25:01:142,1,440,1,0,181,3740,440,0 79,0,2024-09-07 09:25:01:370,106139,0.4,108649,0.6,222460,0.4,289129,2.25 79,1,2024-09-07 09:25:00:578,775290,775290,0,0,363120493522,3763272552,768662,5319,1309,367,391682,0 79,2,2024-09-07 09:25:01:069,559901,559901,0,0,21482300,0,4195 79,3,2024-09-07 09:25:00:749,1,440,1,0,418,5495,440,0 80,0,2024-09-07 09:25:01:084,105280,0.5,108190,0.6,207035,0.4,279921,2.00 80,1,2024-09-07 09:25:01:627,773397,773397,0,0,363014250258,3779759290,767839,5135,423,368,392269,0 80,2,2024-09-07 09:25:01:095,559934,559934,0,0,21654355,0,4433 80,3,2024-09-07 09:25:00:578,1,440,1,0,190,5024,440,0 81,0,2024-09-07 09:25:01:577,110938,0.5,113620,0.7,216861,0.5,294867,2.00 81,1,2024-09-07 09:25:01:657,772353,772353,0,0,362409996639,3785150721,766339,5473,541,382,391879,0 81,2,2024-09-07 09:25:01:129,555999,555936,63,0,24031236,0,5932 81,3,2024-09-07 09:25:01:117,1,440,4,0,719,5262,440,0 82,0,2024-09-07 09:25:01:540,111794,0.5,111959,0.7,224639,0.5,297894,2.00 82,1,2024-09-07 09:25:00:589,774915,774911,0,4,363183645354,3776501407,770212,3880,819,381,391768,4 82,2,2024-09-07 09:25:01:694,554486,554486,0,0,20425537,0,4484 82,3,2024-09-07 09:25:01:754,1,440,1,0,363,4605,440,0 83,0,2024-09-07 09:25:01:557,111447,0.6,111459,0.8,222342,0.7,295248,2.25 83,1,2024-09-07 09:25:00:561,772768,772768,0,0,362048374289,3774426368,767236,5082,450,382,391709,0 83,2,2024-09-07 09:25:00:773,558797,558797,0,0,21178218,0,3393 83,3,2024-09-07 09:25:00:751,1,440,4,0,1260,5579,440,0 84,0,2024-09-07 09:25:01:855,104807,0.6,104796,0.8,208956,0.5,279681,2.25 84,1,2024-09-07 09:25:01:041,772439,772439,0,0,362379912816,3783085969,764731,6664,1044,367,391967,0 84,2,2024-09-07 09:25:00:579,558093,558063,30,0,28460760,0,5971 84,3,2024-09-07 09:25:01:142,1,440,2,0,908,6441,440,0 85,0,2024-09-07 09:25:01:040,105850,0.5,105882,0.7,224754,0.5,291276,2.00 85,1,2024-09-07 09:25:00:571,770096,770096,0,0,361558836784,3811270008,759276,8900,1920,381,392006,0 85,2,2024-09-07 09:25:00:878,556007,556007,0,0,26351435,0,3656 85,3,2024-09-07 09:25:00:692,1,440,1,0,789,5277,440,0 86,0,2024-09-07 09:25:00:892,112179,0.7,115507,0.8,220973,0.7,298691,2.25 86,1,2024-09-07 09:25:00:832,772527,772527,0,0,362473575754,3793015374,763845,7239,1443,366,391961,0 86,2,2024-09-07 09:25:00:865,550726,550725,1,0,28449902,0,5004 86,3,2024-09-07 09:25:00:641,1,440,0,0,308,6254,440,0 87,0,2024-09-07 09:25:01:284,113367,1.0,113131,0.9,226358,1.3,302245,2.50 87,1,2024-09-07 09:25:00:558,771155,771155,0,0,361591730497,3787479358,761199,8362,1594,366,392076,0 87,2,2024-09-07 09:25:01:077,555760,555754,6,0,25217109,0,6323 87,3,2024-09-07 09:25:01:816,1,440,4,0,473,6785,440,0 88,0,2024-09-07 09:25:01:501,107574,0.4,108392,0.6,215936,0.4,287323,1.75 88,1,2024-09-07 09:25:00:583,770915,770915,0,0,361827719986,3787958848,761191,7716,2008,365,392084,0 88,2,2024-09-07 09:25:00:690,559481,559481,0,0,27794135,0,4465 88,3,2024-09-07 09:25:01:276,1,440,2,0,435,5287,440,0 89,0,2024-09-07 09:25:01:886,111519,0.4,107994,0.6,213718,0.4,290883,1.75 89,1,2024-09-07 09:25:00:550,770877,770877,0,0,361948839958,3802561970,761694,7755,1428,382,391866,0 89,2,2024-09-07 09:25:01:133,556645,556645,0,0,26112556,0,3173 89,3,2024-09-07 09:25:01:802,1,440,1,0,468,7581,440,0 90,0,2024-09-07 09:25:01:613,109472,0.5,112464,0.6,229427,0.4,299072,2.00 90,1,2024-09-07 09:25:00:640,771875,771875,0,0,362517347790,3792582249,765097,6265,513,380,391825,0 90,2,2024-09-07 09:25:01:411,552630,552630,0,0,27370952,0,3060 90,3,2024-09-07 09:25:00:930,1,440,1,0,322,5354,440,0 91,0,2024-09-07 09:25:00:965,114119,0.5,110422,0.7,230788,0.5,303455,1.75 91,1,2024-09-07 09:25:00:572,770783,770783,0,0,361872663223,3802251960,761519,7860,1404,381,392047,0 91,2,2024-09-07 09:25:01:335,555765,555765,0,0,24261330,0,2896 91,3,2024-09-07 09:25:00:599,1,440,0,0,216,4289,440,0 92,0,2024-09-07 09:25:01:458,110286,0.4,113049,0.6,215865,0.4,292213,1.75 92,1,2024-09-07 09:25:00:579,773126,773126,0,0,362512224321,3783755357,767583,4817,726,381,392136,0 92,2,2024-09-07 09:25:01:366,560058,560058,0,0,21948743,0,3259 92,3,2024-09-07 09:25:01:009,1,440,1,0,167,3835,440,0 93,0,2024-09-07 09:25:00:989,107379,0.3,109929,0.5,209796,0.3,284978,1.75 93,1,2024-09-07 09:25:00:805,772730,772730,0,0,362508166145,3783437169,764563,6781,1386,366,391776,0 93,2,2024-09-07 09:25:00:929,557214,557214,0,0,26633320,0,4845 93,3,2024-09-07 09:25:01:416,1,440,3,0,190,3910,440,0 94,0,2024-09-07 09:25:01:600,110779,0.3,111754,0.5,222990,0.3,295922,1.75 94,1,2024-09-07 09:25:00:580,773071,773071,0,0,363017014453,3785148846,768273,4588,210,381,391850,0 94,2,2024-09-07 09:25:00:761,556552,556552,0,0,22211383,0,2443 94,3,2024-09-07 09:25:01:693,1,440,2,0,576,5789,440,0 95,0,2024-09-07 09:25:01:352,112328,0.4,112107,0.5,224766,0.3,299788,1.75 95,1,2024-09-07 09:25:00:852,773995,773995,0,0,363635950572,3778612630,767847,5710,438,365,391786,0 95,2,2024-09-07 09:25:01:021,553167,553167,0,0,22028748,0,3308 95,3,2024-09-07 09:25:01:709,1,440,1,0,718,6289,440,0 96,0,2024-09-07 09:25:01:036,111315,0.4,111673,0.5,222780,0.3,295579,1.75 96,1,2024-09-07 09:25:01:589,773104,773104,0,0,361610455446,3771995015,767549,4669,886,384,391955,0 96,2,2024-09-07 09:25:01:273,559921,559921,0,0,22843911,0,4180 96,3,2024-09-07 09:25:01:143,1,440,5,0,411,4858,440,0 97,0,2024-09-07 09:25:01:330,105063,0.3,104609,0.4,210080,0.2,279622,1.50 97,1,2024-09-07 09:25:00:997,774146,774146,0,0,363430056758,3769258182,768988,4225,933,367,392140,0 97,2,2024-09-07 09:25:00:607,559119,559119,0,0,21949380,0,3679 97,3,2024-09-07 09:25:00:577,1,440,13,0,242,5254,440,0 98,0,2024-09-07 09:25:01:700,110120,0.3,110238,0.4,221166,0.2,294311,1.50 98,1,2024-09-07 09:25:00:578,773469,773469,0,0,363187883758,3782495785,768500,4177,792,382,391997,0 98,2,2024-09-07 09:25:00:787,557602,557602,0,0,22102488,0,4336 98,3,2024-09-07 09:25:00:703,1,440,20,0,840,7234,440,0 99,0,2024-09-07 09:25:01:476,112404,0.3,113085,0.5,224670,0.3,299980,1.75 99,1,2024-09-07 09:25:01:731,774033,774033,0,0,363151611813,3777962910,769083,4113,837,381,392069,0 99,2,2024-09-07 09:25:01:423,553866,553866,0,0,25906312,0,4276 99,3,2024-09-07 09:25:00:591,1,440,4,0,606,4754,440,0 100,0,2024-09-07 09:25:01:494,112277,0.8,112644,1.0,224373,1.0,299674,2.50 100,1,2024-09-07 09:25:00:548,769748,769748,0,0,361251885622,3811982230,759006,8690,2052,378,391989,0 100,2,2024-09-07 09:25:01:823,555186,555175,11,0,25395458,0,5417 100,3,2024-09-07 09:25:01:743,1,440,1,0,559,6953,440,0 101,0,2024-09-07 09:25:01:730,108408,0.8,105643,0.9,207205,0.6,283269,2.25 101,1,2024-09-07 09:25:00:559,770304,770304,0,0,361543060709,3799317818,759606,8574,2124,368,391847,0 101,2,2024-09-07 09:25:01:761,555907,555907,0,0,30338489,0,4871 101,3,2024-09-07 09:25:00:942,1,440,4,0,579,5916,440,0 102,0,2024-09-07 09:25:00:943,106159,0.6,109440,0.7,221960,0.5,290770,2.00 102,1,2024-09-07 09:25:01:146,770660,770660,0,0,362299898028,3803385801,760777,8119,1764,369,391891,0 102,2,2024-09-07 09:25:01:738,557686,557632,54,0,24459799,0,6768 102,3,2024-09-07 09:25:01:615,1,440,1,0,466,4784,440,0 103,0,2024-09-07 09:25:01:602,116556,0.5,116501,0.7,219604,0.5,301678,2.00 103,1,2024-09-07 09:25:01:630,769957,769957,0,0,361572699208,3814943789,757955,8936,3066,381,391829,0 103,2,2024-09-07 09:25:00:591,551780,551780,0,0,27028909,0,3766 103,3,2024-09-07 09:25:00:761,1,440,1,0,916,4832,440,0 104,0,2024-09-07 09:25:01:084,113031,0.8,113412,1.0,225784,0.7,302581,2.25 104,1,2024-09-07 09:25:01:610,772107,772107,0,0,362274537523,3802024780,761255,8988,1864,365,392168,0 104,2,2024-09-07 09:25:01:674,554504,554504,0,0,25969523,0,3941 104,3,2024-09-07 09:25:01:429,1,440,2,0,1245,8595,440,0 105,0,2024-09-07 09:25:01:036,107192,0.8,104445,1.0,218892,0.8,287937,2.50 105,1,2024-09-07 09:25:00:555,773078,773078,0,0,362979412561,3800509557,763625,8024,1429,366,392009,0 105,2,2024-09-07 09:25:01:321,558052,558052,0,0,25903497,0,3509 105,3,2024-09-07 09:25:01:306,1,440,0,0,399,6602,440,0 106,0,2024-09-07 09:25:00:962,103742,0.6,106206,0.8,217421,0.7,284211,2.25 106,1,2024-09-07 09:25:01:752,771530,771530,0,0,362481634582,3802835705,760469,9614,1447,369,391914,0 106,2,2024-09-07 09:25:00:762,556286,556286,0,0,25313177,0,2920 106,3,2024-09-07 09:25:00:684,1,440,2,0,470,5538,440,0 107,0,2024-09-07 09:25:01:113,112426,0.9,112722,0.9,225225,1.0,300948,2.25 107,1,2024-09-07 09:25:00:593,770180,770180,0,0,361106803621,3803557878,759949,9178,1053,381,392234,0 107,2,2024-09-07 09:25:01:296,553790,553789,1,0,26241517,0,5024 107,3,2024-09-07 09:25:01:760,1,440,1,0,370,6540,440,0 108,0,2024-09-07 09:25:01:848,112521,0.5,113369,0.6,225375,0.4,300888,1.75 108,1,2024-09-07 09:25:01:295,773226,773226,0,0,363319717827,3787247241,767049,5432,745,368,391857,0 108,2,2024-09-07 09:25:01:768,552970,552970,0,0,24680588,0,4246 108,3,2024-09-07 09:25:01:334,1,440,1,0,749,8043,440,0 109,0,2024-09-07 09:25:01:843,110586,0.4,109799,0.6,219560,0.4,293364,1.75 109,1,2024-09-07 09:25:00:632,770302,770302,0,0,361843131656,3797214577,762859,6256,1187,382,392132,0 109,2,2024-09-07 09:25:00:952,556962,556962,0,0,24250422,0,3617 109,3,2024-09-07 09:25:01:160,1,440,16,0,379,5089,440,0 110,0,2024-09-07 09:25:01:817,105068,0.3,102340,0.5,214489,0.3,280523,1.75 110,1,2024-09-07 09:25:01:648,774379,774379,0,0,363701887609,3773417453,768986,4215,1178,369,392045,0 110,2,2024-09-07 09:25:01:303,558031,558031,0,0,23305972,0,4067 110,3,2024-09-07 09:25:00:690,1,440,2,0,722,6224,440,0 111,0,2024-09-07 09:25:01:425,111225,0.4,110541,0.5,221647,0.3,296145,1.75 111,1,2024-09-07 09:25:01:004,774442,774442,0,0,364461524501,3778524407,770551,3536,355,380,391690,0 111,2,2024-09-07 09:25:01:117,556201,556201,0,0,22809062,0,4823 111,3,2024-09-07 09:25:00:916,1,440,1,0,379,5079,440,0 112,0,2024-09-07 09:25:00:908,112706,0.3,112362,0.4,224998,0.2,298826,1.50 112,1,2024-09-07 09:25:00:829,774257,774257,0,0,362922852512,3765490847,769540,4104,613,380,391624,0 112,2,2024-09-07 09:25:01:135,552854,552853,1,0,21855642,0,5036 112,3,2024-09-07 09:25:00:649,1,440,1,0,282,4266,440,0 113,0,2024-09-07 09:25:00:880,111565,0.3,111615,0.5,223710,0.3,297226,1.75 113,1,2024-09-07 09:25:01:700,776541,776541,0,0,365375233439,3767466070,772648,3304,589,366,391661,0 113,2,2024-09-07 09:25:01:305,560499,560499,0,0,20224091,0,3813 113,3,2024-09-07 09:25:00:683,1,440,25,0,340,4782,440,0 114,0,2024-09-07 09:25:00:888,105757,0.3,106341,0.4,211464,0.2,282272,1.75 114,1,2024-09-07 09:25:00:718,774151,774151,0,0,363570041079,3775525897,767865,4670,1616,381,391565,0 114,2,2024-09-07 09:25:00:877,559943,559942,1,0,21288902,0,5069 114,3,2024-09-07 09:25:01:290,1,440,7,0,395,3702,440,0 115,0,2024-09-07 09:25:00:554,109979,0.2,110535,0.4,220801,0.2,293765,1.50 115,1,2024-09-07 09:25:00:572,774578,774578,0,0,363224914899,3774017891,768082,5229,1267,382,391757,0 115,2,2024-09-07 09:25:01:132,558896,558896,0,0,21122943,0,4382 115,3,2024-09-07 09:25:01:004,1,440,1,0,159,2411,440,0 116,0,2024-09-07 09:25:01:735,111946,0.7,112047,0.8,224487,0.7,299822,2.00 116,1,2024-09-07 09:25:00:806,770465,770465,0,0,362227258969,3812345342,761846,6292,2327,380,392089,0 116,2,2024-09-07 09:25:01:757,552126,552126,0,0,26768021,0,4128 116,3,2024-09-07 09:25:00:915,1,440,1,0,415,5083,440,0 117,0,2024-09-07 09:25:00:958,113791,0.8,113144,0.8,226781,0.9,302577,2.00 117,1,2024-09-07 09:25:01:583,771749,771749,0,0,361437056773,3779375748,763989,6844,916,369,392033,0 117,2,2024-09-07 09:25:01:128,559323,559323,0,0,22997894,0,4303 117,3,2024-09-07 09:25:01:061,1,440,1,0,490,6547,440,0 118,0,2024-09-07 09:25:01:793,104624,0.5,107340,0.6,219232,0.4,286281,2.00 118,1,2024-09-07 09:25:00:597,771641,771641,0,0,361137334225,3796753293,759723,8918,3000,366,391907,0 118,2,2024-09-07 09:25:01:591,558718,558718,0,0,25299528,0,2842 118,3,2024-09-07 09:25:01:783,1,440,1,0,248,5117,440,0 119,0,2024-09-07 09:25:01:343,108333,0.5,108524,0.7,217080,0.5,289403,2.00 119,1,2024-09-07 09:25:00:568,772203,772203,0,0,362776916888,3793284479,763712,7373,1118,367,391857,0 119,2,2024-09-07 09:25:01:262,558071,558071,0,0,23938105,0,4174 119,3,2024-09-07 09:25:01:325,1,440,2,0,1358,8489,440,0 120,0,2024-09-07 09:25:01:572,112228,0.6,111903,0.8,224555,0.6,299410,2.25 120,1,2024-09-07 09:25:00:872,772249,772249,0,0,361767890692,3794099452,763869,7610,770,368,392144,0 120,2,2024-09-07 09:25:00:779,553603,553602,1,0,27274793,0,5281 120,3,2024-09-07 09:25:01:298,1,440,5,0,279,5404,440,0 121,0,2024-09-07 09:25:01:719,113487,1.4,113196,1.1,226964,1.9,302740,2.50 121,1,2024-09-07 09:25:01:654,772346,772346,0,0,361992177968,3781471575,765592,6292,462,367,391840,0 121,2,2024-09-07 09:25:01:133,553943,553943,0,0,26228472,0,4127 121,3,2024-09-07 09:25:00:736,1,440,4,0,269,4821,440,0 122,0,2024-09-07 09:25:01:782,108830,0.7,106136,0.8,222662,0.8,292036,2.00 122,1,2024-09-07 09:25:00:862,770971,770971,0,0,361481292556,3790834859,760524,8843,1604,366,392130,0 122,2,2024-09-07 09:25:01:324,559284,559211,73,0,29174254,0,5989 122,3,2024-09-07 09:25:00:603,1,440,2,0,512,7804,440,0 123,0,2024-09-07 09:25:00:964,106258,0.5,103508,0.7,216860,0.5,284301,2.00 123,1,2024-09-07 09:25:00:561,770652,770652,0,0,362073697234,3812076012,757100,11108,2444,369,392039,0 123,2,2024-09-07 09:25:01:018,555350,555349,1,0,24650179,0,5215 123,3,2024-09-07 09:25:01:149,1,440,1,0,478,5140,440,0 124,0,2024-09-07 09:25:00:936,114415,0.3,114519,0.5,215823,0.3,296667,1.75 124,1,2024-09-07 09:25:01:022,773967,773967,0,0,363214405910,3773327618,768683,4233,1051,367,392178,0 124,2,2024-09-07 09:25:01:013,557371,557318,53,0,22356068,0,6487 124,3,2024-09-07 09:25:00:777,1,440,2,0,490,4198,440,0 125,0,2024-09-07 09:25:01:438,112184,0.4,112071,0.6,224932,0.4,299773,1.75 125,1,2024-09-07 09:25:00:874,772319,772319,0,0,363032079886,3788751361,766401,5246,672,382,391702,0 125,2,2024-09-07 09:25:01:116,554483,554483,0,0,23160189,0,4534 125,3,2024-09-07 09:25:01:133,1,440,6,0,709,5435,440,0 126,0,2024-09-07 09:25:01:431,111298,0.4,114531,0.6,219124,0.4,296266,1.75 126,1,2024-09-07 09:25:00:566,774660,774660,0,0,363973400972,3767980602,770651,3715,294,365,391987,0 126,2,2024-09-07 09:25:00:884,559628,559628,0,0,23982200,0,4539 126,3,2024-09-07 09:25:00:914,1,440,1,0,268,5318,440,0 127,0,2024-09-07 09:25:01:601,104982,0.3,105311,0.5,210241,0.2,279768,1.50 127,1,2024-09-07 09:25:00:578,773508,773508,0,0,362348429838,3767643621,766162,6124,1222,364,392187,0 127,2,2024-09-07 09:25:00:667,557392,557392,0,0,21639597,0,3897 127,3,2024-09-07 09:25:01:267,1,440,5,0,968,4654,440,0 128,0,2024-09-07 09:25:01:536,110749,0.3,110918,0.4,221492,0.2,294756,1.50 128,1,2024-09-07 09:25:01:611,773344,773344,0,0,363263898952,3769509917,768429,4482,433,367,392031,0 128,2,2024-09-07 09:25:01:382,558586,558586,0,0,20900698,0,3171 128,3,2024-09-07 09:25:00:777,1,440,2,0,1082,6770,440,0 129,0,2024-09-07 09:25:01:008,113365,0.3,112798,0.5,226027,0.3,300358,1.75 129,1,2024-09-07 09:25:00:586,771514,771514,0,0,362261364583,3794156758,763836,6007,1671,379,391962,0 129,2,2024-09-07 09:25:00:688,553637,553637,0,0,22257551,0,4031 129,3,2024-09-07 09:25:00:693,1,440,2,0,506,6009,440,0 130,0,2024-09-07 09:25:01:758,113269,0.5,112801,0.6,226858,0.5,300972,1.75 130,1,2024-09-07 09:25:00:588,774008,774008,0,0,363343425973,3773899293,769969,3700,339,381,391825,0 130,2,2024-09-07 09:25:01:126,558833,558833,0,0,22788250,0,4067 130,3,2024-09-07 09:25:01:292,1,440,1,0,960,6796,440,0 131,0,2024-09-07 09:25:01:935,106011,0.3,106549,0.5,213911,0.3,283178,1.75 131,1,2024-09-07 09:25:01:820,773578,773578,0,0,362893457898,3788461488,767605,4923,1050,381,391865,0 131,2,2024-09-07 09:25:00:577,561223,561223,0,0,20982396,0,3979 131,3,2024-09-07 09:25:01:693,1,440,2,0,392,4855,440,0 132,0,2024-09-07 09:25:01:435,109195,0.4,110082,0.6,219217,0.4,291685,1.75 132,1,2024-09-07 09:25:00:580,769786,769786,0,0,361510060086,3804122539,758647,9029,2110,381,392532,0 132,2,2024-09-07 09:25:00:702,556765,556748,17,0,27336811,0,6451 132,3,2024-09-07 09:25:01:697,1,440,1,0,804,7594,440,0 133,0,2024-09-07 09:25:01:530,109893,0.4,112432,0.6,230672,0.4,299946,1.75 133,1,2024-09-07 09:25:00:589,770036,770036,0,0,361906842893,3811869767,759486,9035,1515,383,391914,0 133,2,2024-09-07 09:25:01:096,552462,552412,50,0,28662283,0,6861 133,3,2024-09-07 09:25:01:298,1,440,1,0,479,4615,440,0 134,0,2024-09-07 09:25:00:943,114532,0.6,114153,0.7,228581,0.6,304977,2.00 134,1,2024-09-07 09:25:00:587,771301,771301,0,0,361668749541,3790724068,761501,7764,2036,366,391718,0 134,2,2024-09-07 09:25:01:762,554164,554140,24,0,26294876,0,6207 134,3,2024-09-07 09:25:00:759,1,440,1,0,739,5497,440,0 135,0,2024-09-07 09:25:01:124,104987,0.7,105018,0.8,222923,0.8,287477,2.00 135,1,2024-09-07 09:25:01:590,771552,771552,0,0,362539019591,3810786732,761586,8595,1371,380,391805,0 135,2,2024-09-07 09:25:00:689,559124,559124,0,0,25723563,0,3981 135,3,2024-09-07 09:25:01:005,1,440,1,0,299,3769,440,0 136,0,2024-09-07 09:25:01:648,107733,0.5,107938,0.7,214765,0.4,286496,2.00 136,1,2024-09-07 09:25:01:444,772420,772420,0,0,362591592619,3800011868,763847,7609,964,381,391685,0 136,2,2024-09-07 09:25:01:167,558533,558533,0,0,24692541,0,3506 136,3,2024-09-07 09:25:01:106,1,440,2,0,637,5098,440,0 137,0,2024-09-07 09:25:00:955,116160,0.6,113114,0.7,221871,0.6,302057,2.00 137,1,2024-09-07 09:25:00:581,770500,770500,0,0,362106658231,3796559450,758940,9200,2360,366,391898,0 137,2,2024-09-07 09:25:01:720,553715,553715,0,0,27227359,0,3185 137,3,2024-09-07 09:25:00:777,1,440,2,0,484,5340,440,0 138,0,2024-09-07 09:25:01:752,112396,1.0,112277,1.0,225442,1.3,299600,2.25 138,1,2024-09-07 09:25:01:695,771696,771696,0,0,362587940869,3799705775,761450,8522,1724,368,391954,0 138,2,2024-09-07 09:25:00:598,553311,553311,0,0,24739764,0,4988 138,3,2024-09-07 09:25:00:877,1,440,0,0,1160,5746,440,0 139,0,2024-09-07 09:25:01:377,109061,1.5,109146,1.1,218725,2.2,291818,2.50 139,1,2024-09-07 09:25:00:579,767747,767747,0,0,360189505322,3825408033,753069,11310,3368,380,392058,0 139,2,2024-09-07 09:25:00:692,554894,554894,0,0,28746267,0,3097 139,3,2024-09-07 09:25:01:666,1,440,1,0,432,5075,440,0 140,0,2024-09-07 09:25:01:588,105667,0.3,105072,0.5,210958,0.2,280985,1.75 140,1,2024-09-07 09:25:01:536,775793,775793,0,0,364812136910,3759324171,772228,3124,441,365,391606,0 140,2,2024-09-07 09:25:00:690,558911,558910,1,0,21821521,0,5036 140,3,2024-09-07 09:25:00:777,1,440,33,0,297,3639,440,0 141,0,2024-09-07 09:25:01:709,111080,0.3,114067,0.5,217900,0.2,295698,1.50 141,1,2024-09-07 09:25:00:865,774525,774525,0,0,364128698940,3780186672,768834,4734,957,379,391614,0 141,2,2024-09-07 09:25:01:695,557178,557178,0,0,21695688,0,3360 141,3,2024-09-07 09:25:01:043,1,440,1,0,391,4958,440,0 142,0,2024-09-07 09:25:01:361,112825,0.3,112258,0.5,224494,0.3,299411,1.75 142,1,2024-09-07 09:25:00:591,773564,773564,0,0,363412172110,3785769785,768870,4295,399,382,392102,0 142,2,2024-09-07 09:25:01:312,552483,552451,32,0,23596138,0,6028 142,3,2024-09-07 09:25:01:755,1,440,6,0,484,4909,440,0 143,0,2024-09-07 09:25:01:398,111667,0.5,111879,0.6,223798,0.4,297331,1.75 143,1,2024-09-07 09:25:00:615,774581,774581,0,0,363548992856,3771369170,769533,4504,544,367,391705,0 143,2,2024-09-07 09:25:01:000,558827,558827,0,0,22929197,0,3123 143,3,2024-09-07 09:25:01:152,1,440,3,0,462,5406,440,0 144,0,2024-09-07 09:25:01:497,102212,0.6,104965,0.8,213960,0.5,280149,2.00 144,1,2024-09-07 09:25:00:578,770534,770534,0,0,360981419130,3787114111,762820,5880,1834,381,391649,0 144,2,2024-09-07 09:25:01:756,559761,559761,0,0,21430279,0,3673 144,3,2024-09-07 09:25:01:742,1,440,0,0,249,4466,440,0 145,0,2024-09-07 09:25:01:365,106345,0.5,106391,0.7,225769,0.4,291119,2.25 145,1,2024-09-07 09:25:00:558,770559,770559,0,0,361577121627,3800042047,760923,7974,1662,382,391759,0 145,2,2024-09-07 09:25:01:430,555097,555016,81,0,26410638,0,7814 145,3,2024-09-07 09:25:00:901,1,440,0,0,622,6217,440,0 146,0,2024-09-07 09:25:01:610,112351,0.6,111835,0.8,225023,0.6,298339,2.25 146,1,2024-09-07 09:25:01:598,771215,771215,0,0,362525785883,3810841580,759061,9037,3117,367,391770,0 146,2,2024-09-07 09:25:01:696,551303,551303,0,0,25172527,0,3290 146,3,2024-09-07 09:25:01:281,1,440,1,0,1520,8110,440,0 147,0,2024-09-07 09:25:01:750,113500,0.7,113445,0.8,226136,0.7,301954,2.25 147,1,2024-09-07 09:25:01:377,774683,774683,0,0,363283213800,3777023331,767964,5872,847,368,391791,0 147,2,2024-09-07 09:25:01:009,556444,556444,0,0,22832920,0,2968 147,3,2024-09-07 09:25:00:921,1,440,1,0,1626,7504,440,0 0,0,2024-09-07 09:25:11:715,109689,0.6,109622,0.7,232771,0.6,300506,2.00 0,1,2024-09-07 09:25:10:802,773589,773589,0,0,363116505137,3798223872,768119,5081,389,369,391896,0 0,2,2024-09-07 09:25:11:076,556428,556428,0,0,22021182,0,4480 0,3,2024-09-07 09:25:10:974,1,441,2,0,431,6422,441,0 1,0,2024-09-07 09:25:11:770,113824,1.1,113164,1.0,226884,1.6,303616,2.25 1,1,2024-09-07 09:25:10:569,773177,773177,0,0,362669669133,3793547295,766740,5108,1329,370,391859,0 1,2,2024-09-07 09:25:10:649,555670,555670,0,0,22377103,0,3380 1,3,2024-09-07 09:25:11:318,1,441,8,0,268,5325,441,0 2,0,2024-09-07 09:25:11:584,109350,0.6,109456,0.7,218220,0.6,291238,2.00 2,1,2024-09-07 09:25:10:858,775770,775770,0,0,364143698108,3777566431,772480,2995,295,380,391745,0 2,2,2024-09-07 09:25:11:269,561161,561161,0,0,20999236,0,3594 2,3,2024-09-07 09:25:10:692,1,441,1,0,357,4217,441,0 3,0,2024-09-07 09:25:11:764,107096,0.4,106819,0.6,213656,0.3,284708,2.00 3,1,2024-09-07 09:25:11:619,774280,774280,0,0,362896189008,3777230578,768058,5531,691,379,391716,0 3,2,2024-09-07 09:25:11:144,559021,558998,23,0,22151788,0,5851 3,3,2024-09-07 09:25:11:754,1,441,2,0,207,2857,441,0 4,0,2024-09-07 09:25:11:771,108285,0.4,111264,0.5,227024,0.3,297054,1.75 4,1,2024-09-07 09:25:10:591,771555,771555,0,0,361494059190,3817339940,759729,9318,2508,370,391992,0 4,2,2024-09-07 09:25:11:017,555336,555336,0,0,25270855,0,4528 4,3,2024-09-07 09:25:11:027,1,441,3,0,448,5639,441,0 5,0,2024-09-07 09:25:11:371,112322,0.4,113028,0.6,225452,0.4,299759,1.75 5,1,2024-09-07 09:25:10:759,772810,772810,0,0,362297866794,3810881128,762373,8277,2160,367,392005,0 5,2,2024-09-07 09:25:11:829,552250,552250,0,0,25092967,0,3582 5,3,2024-09-07 09:25:11:734,1,441,5,0,457,6059,441,0 6,0,2024-09-07 09:25:10:920,111511,0.4,111085,0.6,222168,0.4,296114,2.00 6,1,2024-09-07 09:25:10:748,774227,774227,0,0,363303313691,3793784150,766015,6819,1393,379,391702,0 6,2,2024-09-07 09:25:11:118,559663,559645,18,0,25033721,0,5535 6,3,2024-09-07 09:25:11:277,1,441,0,0,710,5468,441,0 7,0,2024-09-07 09:25:11:532,104545,0.4,105332,0.6,209693,0.4,279162,1.75 7,1,2024-09-07 09:25:10:854,773390,773390,0,0,362759449840,3802220293,763616,8310,1464,382,391747,0 7,2,2024-09-07 09:25:10:773,560085,560085,0,0,24336782,0,4791 7,3,2024-09-07 09:25:10:853,1,441,1,0,552,4975,441,0 8,0,2024-09-07 09:25:11:341,110898,0.3,110680,0.5,221837,0.3,295681,1.75 8,1,2024-09-07 09:25:11:027,772198,772198,0,0,362512435464,3809084562,760575,8990,2633,366,392853,0 8,2,2024-09-07 09:25:10:793,554186,554186,0,0,28628015,0,3250 8,3,2024-09-07 09:25:10:593,1,441,1,0,538,7109,441,0 9,0,2024-09-07 09:25:11:109,112726,0.4,109487,0.5,229163,0.3,300254,1.75 9,1,2024-09-07 09:25:10:552,771867,771867,0,0,362725543471,3817678549,760787,8659,2421,369,392001,0 9,2,2024-09-07 09:25:11:082,553085,553085,0,0,25410920,0,3360 9,3,2024-09-07 09:25:11:753,1,441,2,0,496,6216,441,0 10,0,2024-09-07 09:25:11:605,112558,0.4,112073,0.5,225027,0.3,299358,1.75 10,1,2024-09-07 09:25:10:582,773543,773543,0,0,362967447502,3802795310,763050,8869,1624,381,391741,0 10,2,2024-09-07 09:25:10:762,558391,558391,0,0,28088788,0,4264 10,3,2024-09-07 09:25:10:875,1,441,1,0,649,4633,441,0 11,0,2024-09-07 09:25:11:009,106017,0.4,102816,0.6,215138,0.4,283466,1.75 11,1,2024-09-07 09:25:10:577,774081,774081,0,0,363724605640,3812781383,762752,8702,2627,383,391756,0 11,2,2024-09-07 09:25:11:127,559905,559905,0,0,24700976,0,4130 11,3,2024-09-07 09:25:11:302,1,441,13,0,843,6246,441,0 12,0,2024-09-07 09:25:10:998,110164,0.4,110207,0.5,220469,0.3,292961,1.75 12,1,2024-09-07 09:25:10:937,773962,773962,0,0,363525358040,3787626224,768392,5067,503,370,391870,0 12,2,2024-09-07 09:25:11:552,558428,558428,0,0,24060969,0,3469 12,3,2024-09-07 09:25:11:076,1,441,0,0,386,6379,441,0 13,0,2024-09-07 09:25:11:329,113873,0.4,113396,0.6,226280,0.4,301398,1.75 13,1,2024-09-07 09:25:11:559,772262,772262,0,0,361921746437,3802689460,764632,5996,1634,382,391740,0 13,2,2024-09-07 09:25:10:597,556019,556019,0,0,22036007,0,3287 13,3,2024-09-07 09:25:11:762,1,441,2,0,522,6338,441,0 14,0,2024-09-07 09:25:10:574,114102,0.4,115153,0.6,227776,0.4,303798,1.75 14,1,2024-09-07 09:25:11:569,777800,777800,0,0,364819384862,3776542526,771578,5513,709,364,391673,0 14,2,2024-09-07 09:25:10:764,557338,557308,30,0,24158414,0,6104 14,3,2024-09-07 09:25:11:118,1,441,1,0,1168,4745,441,0 15,0,2024-09-07 09:25:11:559,108393,0.4,108277,0.6,217005,0.4,288763,2.00 15,1,2024-09-07 09:25:11:610,775059,775059,0,0,364015935447,3790001030,768832,5048,1179,381,391619,0 15,2,2024-09-07 09:25:10:998,562186,562186,0,0,20124976,0,3622 15,3,2024-09-07 09:25:11:413,1,441,1,0,1126,6985,441,0 16,0,2024-09-07 09:25:10:958,107649,0.5,108178,0.7,215558,0.5,287127,2.00 16,1,2024-09-07 09:25:10:566,774713,774713,0,0,363167198340,3795159012,768103,5495,1115,370,391917,0 16,2,2024-09-07 09:25:11:446,558750,558750,0,0,23692834,0,4719 16,3,2024-09-07 09:25:11:142,1,441,2,0,317,5455,441,0 17,0,2024-09-07 09:25:11:796,116389,0.6,113791,0.8,222357,0.6,302089,2.00 17,1,2024-09-07 09:25:10:596,773013,773013,0,0,362064090125,3796873404,765341,6154,1518,368,392075,0 17,2,2024-09-07 09:25:11:679,558257,558257,0,0,23446444,0,3779 17,3,2024-09-07 09:25:10:581,1,441,1,0,518,6938,441,0 18,0,2024-09-07 09:25:10:941,112021,0.7,112496,0.8,224266,0.7,299854,2.25 18,1,2024-09-07 09:25:11:639,776177,776177,0,0,364463766983,3774684812,772174,3623,380,367,391725,0 18,2,2024-09-07 09:25:11:755,557939,557939,0,0,20820554,0,3541 18,3,2024-09-07 09:25:10:904,1,441,0,0,163,3311,441,0 19,0,2024-09-07 09:25:11:545,109607,0.6,110095,0.8,218403,0.6,290801,2.25 19,1,2024-09-07 09:25:10:575,776395,776395,0,0,364309721631,3776433458,770445,5029,921,367,391777,0 19,2,2024-09-07 09:25:11:754,562881,562881,0,0,19783209,0,3988 19,3,2024-09-07 09:25:11:130,1,441,4,0,524,3490,441,0 20,0,2024-09-07 09:25:11:448,105562,0.4,105575,0.6,210928,0.4,281758,2.00 20,1,2024-09-07 09:25:10:587,773559,773559,0,0,362949725887,3793856396,766843,5915,801,369,391922,0 20,2,2024-09-07 09:25:10:936,558357,558357,0,0,23718210,0,3721 20,3,2024-09-07 09:25:10:589,1,441,1,0,414,6317,441,0 21,0,2024-09-07 09:25:11:135,111110,0.4,111308,0.6,222367,0.4,295334,1.75 21,1,2024-09-07 09:25:11:588,771975,771975,0,0,362547780001,3818988412,761005,8489,2481,368,392016,0 21,2,2024-09-07 09:25:11:078,555268,555248,20,0,28791506,0,5617 21,3,2024-09-07 09:25:11:413,1,441,7,0,713,5791,441,0 22,0,2024-09-07 09:25:11:718,112321,0.5,112679,0.7,225072,0.4,298589,2.00 22,1,2024-09-07 09:25:11:024,773234,773234,0,0,363414472447,3815357691,762079,8928,2227,382,391667,0 22,2,2024-09-07 09:25:10:760,553363,553337,26,0,22840185,0,6328 22,3,2024-09-07 09:25:11:075,1,441,10,0,228,3566,441,0 23,0,2024-09-07 09:25:11:373,111377,0.5,110714,0.7,221947,0.5,295695,2.25 23,1,2024-09-07 09:25:11:003,774165,774165,0,0,363867220806,3817187941,761055,9056,4054,365,391690,0 23,2,2024-09-07 09:25:11:094,561064,561064,0,0,22443918,0,3773 23,3,2024-09-07 09:25:11:754,1,441,1,0,720,5595,441,0 24,0,2024-09-07 09:25:10:861,106282,0.4,105674,0.5,212543,0.3,282153,1.75 24,1,2024-09-07 09:25:10:588,773332,773332,0,0,362154936257,3793857127,765324,6414,1594,367,392269,0 24,2,2024-09-07 09:25:11:069,559451,559451,0,0,27094614,0,3607 24,3,2024-09-07 09:25:11:691,1,441,1,0,468,5878,441,0 25,0,2024-09-07 09:25:11:341,113339,0.4,110415,0.6,216608,0.3,295345,1.75 25,1,2024-09-07 09:25:10:564,772775,772775,0,0,362843758163,3820788778,760746,9778,2251,371,391928,0 25,2,2024-09-07 09:25:11:606,556668,556668,0,0,27726047,0,3978 25,3,2024-09-07 09:25:11:005,1,441,10,0,532,4921,441,0 26,0,2024-09-07 09:25:11:731,112347,0.4,109671,0.6,230233,0.4,299410,2.00 26,1,2024-09-07 09:25:11:548,774638,774638,0,0,363154044004,3805429932,763004,9225,2409,380,391748,0 26,2,2024-09-07 09:25:10:866,554288,554288,0,0,27663796,0,2809 26,3,2024-09-07 09:25:11:713,1,441,0,0,796,5203,441,0 27,0,2024-09-07 09:25:11:742,113736,0.5,113904,0.6,226554,0.4,302197,2.25 27,1,2024-09-07 09:25:11:675,775843,775843,0,0,364695747501,3796541863,768573,6369,901,381,391626,0 27,2,2024-09-07 09:25:10:873,555321,555256,65,0,25342560,0,5699 27,3,2024-09-07 09:25:11:015,1,441,0,0,564,4132,441,0 28,0,2024-09-07 09:25:11:388,108005,0.4,107692,0.6,216052,0.3,287465,1.75 28,1,2024-09-07 09:25:10:802,775007,775007,0,0,364167073689,3799126296,767812,5496,1699,382,391698,0 28,2,2024-09-07 09:25:11:764,560919,560919,0,0,23185441,0,2915 28,3,2024-09-07 09:25:11:784,1,441,3,0,502,4756,441,0 29,0,2024-09-07 09:25:11:363,112040,0.3,109107,0.5,213992,0.3,291749,1.75 29,1,2024-09-07 09:25:11:566,777649,777649,0,0,364990414180,3775236114,772781,4133,735,368,391809,0 29,2,2024-09-07 09:25:10:867,558279,558279,0,0,21902514,0,4986 29,3,2024-09-07 09:25:10:963,1,441,2,0,459,5056,441,0 30,0,2024-09-07 09:25:11:460,112532,0.5,109411,0.7,229040,0.5,299425,2.00 30,1,2024-09-07 09:25:10:578,776474,776474,0,0,364865708387,3787534845,770405,5303,766,381,391672,0 30,2,2024-09-07 09:25:11:285,555720,555720,0,0,21322339,0,4192 30,3,2024-09-07 09:25:10:584,1,441,1,0,519,4263,441,0 31,0,2024-09-07 09:25:11:759,113438,0.5,113865,0.7,227458,0.5,303601,2.00 31,1,2024-09-07 09:25:10:569,779932,779932,0,0,365921235212,3749708668,776826,2520,586,356,391712,0 31,2,2024-09-07 09:25:11:278,555948,555948,0,0,23089752,0,3525 31,3,2024-09-07 09:25:11:707,1,441,1,0,220,3800,441,0 32,0,2024-09-07 09:25:11:426,109752,0.3,110433,0.5,219746,0.2,292969,1.50 32,1,2024-09-07 09:25:10:814,776146,776146,0,0,364408279230,3788838056,771025,4439,682,381,391646,0 32,2,2024-09-07 09:25:10:942,562087,562087,0,0,20733273,0,3922 32,3,2024-09-07 09:25:11:017,1,441,7,0,304,3434,441,0 33,0,2024-09-07 09:25:11:499,107132,0.3,106859,0.4,214180,0.2,285260,1.50 33,1,2024-09-07 09:25:10:582,776801,776801,0,0,364944327682,3782446557,770286,5410,1105,368,391730,0 33,2,2024-09-07 09:25:10:759,559451,559416,35,0,23060478,0,7012 33,3,2024-09-07 09:25:10:903,1,441,1,0,329,4163,441,0 34,0,2024-09-07 09:25:10:949,111905,0.3,115028,0.4,219835,0.2,296567,1.75 34,1,2024-09-07 09:25:11:044,777995,777995,0,0,365917803220,3760754216,776251,1732,12,367,391562,0 34,2,2024-09-07 09:25:10:765,558023,558023,0,0,21392304,0,4562 34,3,2024-09-07 09:25:11:689,1,441,1,0,299,3274,441,0 35,0,2024-09-07 09:25:10:867,111859,0.3,112447,0.5,225920,0.3,300650,1.75 35,1,2024-09-07 09:25:11:067,775812,775812,0,0,364197350273,3773217577,771269,3685,858,382,391769,0 35,2,2024-09-07 09:25:11:585,554935,554935,0,0,23118692,0,4055 35,3,2024-09-07 09:25:10:914,1,441,1,0,418,4528,441,0 36,0,2024-09-07 09:25:11:518,111653,0.5,111518,0.7,223019,0.4,296587,2.00 36,1,2024-09-07 09:25:10:586,775290,775290,0,0,363636565248,3794598396,765641,7649,2000,366,391759,0 36,2,2024-09-07 09:25:11:751,560762,560762,0,0,24309595,0,3875 36,3,2024-09-07 09:25:10:868,1,441,1,0,416,6110,441,0 37,0,2024-09-07 09:25:11:415,104645,0.4,104953,0.6,209534,0.4,279593,2.00 37,1,2024-09-07 09:25:10:576,774643,774636,0,7,362803740113,3786089283,765814,6546,2276,365,391770,0 37,2,2024-09-07 09:25:11:149,557615,557600,15,0,24184128,0,5815 37,3,2024-09-07 09:25:11:770,1,441,1,0,888,6393,441,0 38,0,2024-09-07 09:25:11:439,110145,0.4,106953,0.6,223717,0.3,293427,2.00 38,1,2024-09-07 09:25:11:606,775703,775703,0,0,364033425226,3802191847,764955,8587,2161,368,391821,0 38,2,2024-09-07 09:25:10:766,558085,558038,47,0,23931334,0,6710 38,3,2024-09-07 09:25:10:998,1,441,0,0,689,5478,441,0 39,0,2024-09-07 09:25:11:763,115147,0.6,112622,0.7,219637,0.6,299379,2.00 39,1,2024-09-07 09:25:10:716,773986,773986,0,0,363322444932,3805707146,761541,9754,2691,365,391658,0 39,2,2024-09-07 09:25:11:421,554386,554386,0,0,22750352,0,3391 39,3,2024-09-07 09:25:10:718,1,441,1,0,324,4931,441,0 40,0,2024-09-07 09:25:11:521,111448,0.8,112084,1.0,223497,0.9,297923,2.75 40,1,2024-09-07 09:25:10:577,774821,774821,0,0,363038131891,3799475252,763999,8778,2044,368,391668,0 40,2,2024-09-07 09:25:11:302,558001,558000,1,0,26588907,0,5137 40,3,2024-09-07 09:25:11:150,1,441,7,0,1028,6006,441,0 41,0,2024-09-07 09:25:11:060,105703,1.3,108018,1.2,206057,1.9,280084,3.00 41,1,2024-09-07 09:25:10:768,773413,773413,0,0,363457236320,3798719912,764229,8144,1040,369,391742,0 41,2,2024-09-07 09:25:10:758,558820,558820,0,0,25434423,0,4277 41,3,2024-09-07 09:25:11:676,1,441,14,0,366,4486,441,0 42,0,2024-09-07 09:25:11:479,108987,0.7,108880,0.9,217882,0.7,288888,2.50 42,1,2024-09-07 09:25:11:443,771752,771752,0,0,362712152083,3808882296,759666,10055,2031,380,391675,0 42,2,2024-09-07 09:25:11:141,557493,557493,0,0,25555719,0,3790 42,3,2024-09-07 09:25:11:014,1,441,1,0,892,4284,441,0 43,0,2024-09-07 09:25:10:920,111860,0.7,108927,0.9,228066,0.8,298672,2.25 43,1,2024-09-07 09:25:10:582,775086,775086,0,0,364335832328,3803910246,764704,8643,1739,366,391696,0 43,2,2024-09-07 09:25:11:739,554740,554740,0,0,25127632,0,3812 43,3,2024-09-07 09:25:11:753,1,441,1,0,548,5756,441,0 44,0,2024-09-07 09:25:10:862,114247,0.5,114381,0.6,229340,0.4,304416,2.00 44,1,2024-09-07 09:25:10:567,776562,776562,0,0,364441134867,3765926468,770061,5183,1318,356,391809,0 44,2,2024-09-07 09:25:11:270,555825,555825,0,0,21263861,0,4344 44,3,2024-09-07 09:25:11:112,1,441,20,0,817,5116,441,0 45,0,2024-09-07 09:25:11:768,107291,0.5,104787,0.7,219860,0.4,289365,2.00 45,1,2024-09-07 09:25:11:005,775616,775616,0,0,364578036723,3786967880,769954,5088,574,382,391917,0 45,2,2024-09-07 09:25:11:275,561917,561917,0,0,21533569,0,3596 45,3,2024-09-07 09:25:10:940,1,441,1,0,271,3725,441,0 46,0,2024-09-07 09:25:10:950,107326,0.4,106975,0.6,214530,0.4,285023,2.00 46,1,2024-09-07 09:25:10:582,777264,777264,0,0,364714631151,3766299081,772548,4124,592,366,391709,0 46,2,2024-09-07 09:25:10:593,559172,559172,0,0,21661871,0,4443 46,3,2024-09-07 09:25:11:141,1,441,4,0,908,5489,441,0 47,0,2024-09-07 09:25:11:118,113338,0.4,113570,0.6,227226,0.4,301161,1.75 47,1,2024-09-07 09:25:10:567,778103,778103,0,0,364471711190,3765563995,773227,4038,838,366,391641,0 47,2,2024-09-07 09:25:10:910,558515,558515,0,0,21205462,0,4477 47,3,2024-09-07 09:25:11:118,1,441,6,0,600,5274,441,0 48,0,2024-09-07 09:25:11:491,113572,0.3,113609,0.4,226169,0.2,301847,1.50 48,1,2024-09-07 09:25:11:027,775916,775916,0,0,365203562024,3790877143,771203,4257,456,384,391710,0 48,2,2024-09-07 09:25:10:718,555627,555627,0,0,19816787,0,3411 48,3,2024-09-07 09:25:10:753,1,441,2,0,339,3478,441,0 49,0,2024-09-07 09:25:11:729,112956,0.4,111066,0.5,215379,0.3,294740,1.75 49,1,2024-09-07 09:25:11:030,775639,775639,0,0,363918861980,3784479612,770481,3951,1207,382,391809,0 49,2,2024-09-07 09:25:11:813,561317,561317,0,0,21882705,0,4426 49,3,2024-09-07 09:25:11:420,1,441,10,0,992,5242,441,0 50,0,2024-09-07 09:25:11:516,106209,0.3,104590,0.4,211072,0.2,281503,1.75 50,1,2024-09-07 09:25:11:016,778114,778114,0,0,364959745259,3771741457,773182,4358,574,368,391565,0 50,2,2024-09-07 09:25:11:067,559176,559176,0,0,20055779,0,4490 50,3,2024-09-07 09:25:11:291,1,441,0,0,567,4372,441,0 51,0,2024-09-07 09:25:11:683,113970,0.3,111660,0.4,217776,0.2,296785,1.75 51,1,2024-09-07 09:25:11:680,778078,778078,0,0,365762908905,3770253614,774123,2906,1049,365,391706,0 51,2,2024-09-07 09:25:11:322,558233,558233,0,0,19055294,0,3337 51,3,2024-09-07 09:25:11:034,1,441,18,0,678,3529,441,0 52,0,2024-09-07 09:25:11:467,112649,0.5,112569,0.7,225316,0.5,299445,2.00 52,1,2024-09-07 09:25:10:582,774931,774931,0,0,362969407114,3794195834,764590,8988,1353,368,391722,0 52,2,2024-09-07 09:25:11:775,551640,551602,38,0,25383924,0,6742 52,3,2024-09-07 09:25:10:678,1,441,0,0,1782,5919,441,0 53,0,2024-09-07 09:25:11:736,110882,0.7,107689,0.8,225247,0.7,295466,2.25 53,1,2024-09-07 09:25:10:771,772981,772981,0,0,363595233334,3809930214,761059,8962,2960,367,391702,0 53,2,2024-09-07 09:25:11:302,560009,560008,1,0,23077436,0,5455 53,3,2024-09-07 09:25:10:704,1,441,1,0,308,3977,441,0 54,0,2024-09-07 09:25:11:617,104179,0.5,104474,0.7,207946,0.4,278204,2.25 54,1,2024-09-07 09:25:10:591,775286,775286,0,0,364000595252,3781315338,767794,6251,1241,366,391659,0 54,2,2024-09-07 09:25:10:869,560198,560166,32,0,26420918,0,6397 54,3,2024-09-07 09:25:10:763,1,441,1,0,676,6259,441,0 55,0,2024-09-07 09:25:11:764,106796,0.5,110187,0.7,223103,0.4,291193,2.25 55,1,2024-09-07 09:25:10:764,775747,775747,0,0,363612318017,3775559017,768110,6542,1095,365,391731,0 55,2,2024-09-07 09:25:10:739,557748,557692,56,0,25314522,0,7239 55,3,2024-09-07 09:25:10:687,1,441,1,0,304,4361,441,0 56,0,2024-09-07 09:25:11:572,114798,1.3,108339,1.2,223160,1.8,298515,2.75 56,1,2024-09-07 09:25:10:585,771375,771375,0,0,362522241971,3825297161,759853,9148,2374,381,391867,0 56,2,2024-09-07 09:25:11:313,553764,553642,122,0,26124062,0,7432 56,3,2024-09-07 09:25:11:075,1,441,2,0,705,5280,441,0 57,0,2024-09-07 09:25:10:959,111836,1.7,111501,1.3,223783,2.3,299288,3.25 57,1,2024-09-07 09:25:10:989,773888,773888,0,0,361919195418,3789886687,765277,7524,1087,366,392032,0 57,2,2024-09-07 09:25:11:319,557914,557914,0,0,27064505,0,3317 57,3,2024-09-07 09:25:11:738,1,441,1,0,455,5010,441,0 58,0,2024-09-07 09:25:10:554,106257,0.8,103210,0.9,215958,0.9,282590,2.50 58,1,2024-09-07 09:25:10:576,774023,774020,0,3,364007751840,3809930698,762901,8815,2304,367,391603,3 58,2,2024-09-07 09:25:11:073,560238,560238,0,0,25154722,0,2902 58,3,2024-09-07 09:25:11:075,1,441,3,0,1043,4669,441,0 59,0,2024-09-07 09:25:11:762,108399,0.7,107946,0.9,215792,0.7,286722,2.50 59,1,2024-09-07 09:25:10:808,773966,773966,0,0,363202825429,3801455159,763744,8413,1809,369,391653,0 59,2,2024-09-07 09:25:10:583,557769,557769,0,0,25002330,0,3727 59,3,2024-09-07 09:25:11:739,1,441,2,0,1015,5774,441,0 60,0,2024-09-07 09:25:11:704,112760,0.5,112713,0.7,226259,0.5,300270,1.75 60,1,2024-09-07 09:25:10:789,776489,776489,0,0,365183697335,3788857892,771337,4430,722,370,392031,0 60,2,2024-09-07 09:25:11:143,555704,555704,0,0,23252809,0,3811 60,3,2024-09-07 09:25:11:258,1,441,0,0,409,5052,441,0 61,0,2024-09-07 09:25:11:501,113543,0.7,114200,0.8,227368,0.7,303334,2.00 61,1,2024-09-07 09:25:10:789,774537,774537,0,0,363555597597,3806600025,766215,6891,1431,382,392127,0 61,2,2024-09-07 09:25:11:118,555962,555895,67,0,23648787,0,6411 61,3,2024-09-07 09:25:11:690,1,441,3,0,479,5828,441,0 62,0,2024-09-07 09:25:11:711,110176,0.5,112918,0.7,215561,0.5,292801,2.00 62,1,2024-09-07 09:25:11:122,779032,779026,0,6,365921079653,3771538631,775210,3555,261,365,391975,6 62,2,2024-09-07 09:25:11:644,559374,559373,1,0,23930302,0,5555 62,3,2024-09-07 09:25:11:149,1,441,9,0,482,3783,441,0 63,0,2024-09-07 09:25:11:461,107243,0.4,107121,0.5,214872,0.3,285618,1.75 63,1,2024-09-07 09:25:10:810,776728,776722,0,6,364789810882,3786062998,771740,4249,733,381,391800,6 63,2,2024-09-07 09:25:10:762,558965,558965,0,0,21694440,0,4369 63,3,2024-09-07 09:25:11:739,1,441,3,0,667,4444,441,0 64,0,2024-09-07 09:25:11:575,111236,0.5,111340,0.6,221938,0.4,295700,1.75 64,1,2024-09-07 09:25:10:761,775610,775610,0,0,364660071668,3797073646,768928,4979,1703,370,391783,0 64,2,2024-09-07 09:25:11:148,561073,561054,19,0,21444103,0,6121 64,3,2024-09-07 09:25:11:141,1,441,1,0,265,4237,441,0 65,0,2024-09-07 09:25:11:685,111653,0.7,111930,0.8,223557,0.8,298079,2.25 65,1,2024-09-07 09:25:10:874,773666,773666,0,0,363009001573,3791659226,768552,4574,540,381,391901,0 65,2,2024-09-07 09:25:11:692,555120,555120,0,0,25169248,0,3367 65,3,2024-09-07 09:25:11:686,1,441,10,0,782,5433,441,0 66,0,2024-09-07 09:25:11:764,111078,0.5,110848,0.7,221622,0.5,294938,2.25 66,1,2024-09-07 09:25:11:296,775833,775833,0,0,363724801486,3787596526,770334,4973,526,380,391743,0 66,2,2024-09-07 09:25:11:139,561966,561966,0,0,21997810,0,4956 66,3,2024-09-07 09:25:11:078,1,441,1,0,291,3746,441,0 67,0,2024-09-07 09:25:11:421,105289,0.4,105053,0.6,210722,0.4,280326,2.00 67,1,2024-09-07 09:25:10:766,776064,776063,0,1,364318039965,3792822747,770705,4564,794,380,391787,1 67,2,2024-09-07 09:25:10:582,561784,561784,0,0,21130737,0,3622 67,3,2024-09-07 09:25:11:753,1,441,0,0,392,4264,441,0 68,0,2024-09-07 09:25:10:607,110976,0.5,110923,0.7,220727,0.5,295512,2.00 68,1,2024-09-07 09:25:10:577,773133,773133,0,0,362727572377,3812085459,763073,7114,2946,381,391953,0 68,2,2024-09-07 09:25:11:056,555823,555723,100,0,28046432,0,8578 68,3,2024-09-07 09:25:10:728,1,441,1,0,417,4991,441,0 69,0,2024-09-07 09:25:11:745,111939,0.8,112677,0.8,224500,0.9,297807,2.25 69,1,2024-09-07 09:25:11:027,772395,772395,0,0,361804943213,3809900532,762620,7504,2271,384,391994,0 69,2,2024-09-07 09:25:11:732,553268,553239,29,0,29490400,0,6912 69,3,2024-09-07 09:25:10:762,1,441,6,0,698,6660,441,0 70,0,2024-09-07 09:25:11:531,111420,1.0,111645,1.1,224604,0.9,297284,2.50 70,1,2024-09-07 09:25:10:803,776263,776263,0,0,365132525614,3786681875,770282,5320,661,366,391725,0 70,2,2024-09-07 09:25:11:324,558798,558798,0,0,24734172,0,4323 70,3,2024-09-07 09:25:10:746,1,441,1,0,854,5022,441,0 71,0,2024-09-07 09:25:11:364,105233,0.7,104916,0.9,210922,0.8,281387,2.50 71,1,2024-09-07 09:25:11:604,775223,775223,0,0,363969697298,3793396414,766781,7639,803,368,391738,0 71,2,2024-09-07 09:25:11:068,559727,559727,0,0,24764558,0,4352 71,3,2024-09-07 09:25:11:751,1,441,1,0,644,5618,441,0 72,0,2024-09-07 09:25:11:044,113078,0.5,110626,0.7,215611,0.4,293343,2.00 72,1,2024-09-07 09:25:11:035,773372,773372,0,0,363013731811,3805953418,762502,8860,2010,369,391819,0 72,2,2024-09-07 09:25:11:769,557294,557294,0,0,27173970,0,3983 72,3,2024-09-07 09:25:11:754,1,441,3,0,564,6601,441,0 73,0,2024-09-07 09:25:11:117,110109,0.4,112857,0.6,230663,0.4,299643,2.00 73,1,2024-09-07 09:25:10:776,775303,775303,0,0,363792417868,3777277543,769960,4934,409,367,391858,0 73,2,2024-09-07 09:25:11:741,554071,554071,0,0,26526529,0,3701 73,3,2024-09-07 09:25:10:972,1,441,5,0,486,5774,441,0 74,0,2024-09-07 09:25:11:329,115096,0.5,117660,0.7,224324,0.5,304708,2.25 74,1,2024-09-07 09:25:10:634,774280,774280,0,0,364129612825,3798745220,766311,6374,1595,381,391762,0 74,2,2024-09-07 09:25:11:002,556438,556438,0,0,24391587,0,4253 74,3,2024-09-07 09:25:11:444,1,441,4,0,522,5597,441,0 75,0,2024-09-07 09:25:11:805,109103,0.5,108427,0.7,217528,0.4,290402,2.25 75,1,2024-09-07 09:25:11:589,775231,775231,0,0,363877414157,3793042622,768378,6025,828,380,391739,0 75,2,2024-09-07 09:25:11:349,559568,559568,0,0,27233348,0,4766 75,3,2024-09-07 09:25:11:075,1,441,1,0,702,5747,441,0 76,0,2024-09-07 09:25:10:619,107265,0.5,106446,0.7,213739,0.5,285753,2.25 76,1,2024-09-07 09:25:10:833,774922,774922,0,0,363241261474,3788155032,769491,4605,826,382,391692,0 76,2,2024-09-07 09:25:11:061,560979,560978,1,0,23783025,0,5144 76,3,2024-09-07 09:25:11:150,1,441,9,0,175,4053,441,0 77,0,2024-09-07 09:25:11:771,112676,0.5,113020,0.7,226182,0.5,300187,2.00 77,1,2024-09-07 09:25:10:827,775432,775432,0,0,363648970681,3793318632,769595,5330,507,381,391869,0 77,2,2024-09-07 09:25:11:288,556028,556028,0,0,23236930,0,3890 77,3,2024-09-07 09:25:11:108,1,441,10,0,401,4865,441,0 78,0,2024-09-07 09:25:11:724,113369,0.5,112763,0.6,226453,0.4,300519,2.00 78,1,2024-09-07 09:25:10:609,775845,775845,0,0,363626351949,3786569257,767975,6301,1569,367,391670,0 78,2,2024-09-07 09:25:11:411,556423,556410,13,0,22021357,0,8313 78,3,2024-09-07 09:25:11:141,1,441,8,0,181,3748,441,0 79,0,2024-09-07 09:25:11:353,106307,0.4,108847,0.6,222858,0.4,289751,2.25 79,1,2024-09-07 09:25:10:572,777110,777110,0,0,363946400250,3771652732,770482,5319,1309,367,391682,0 79,2,2024-09-07 09:25:11:068,561407,561407,0,0,21527891,0,4195 79,3,2024-09-07 09:25:10:749,1,441,1,0,418,5496,441,0 80,0,2024-09-07 09:25:11:104,105615,0.5,108547,0.6,207737,0.4,281176,2.00 80,1,2024-09-07 09:25:11:625,775169,775169,0,0,363875659898,3788716193,769611,5135,423,368,392269,0 80,2,2024-09-07 09:25:11:104,561017,561017,0,0,21677614,0,4433 80,3,2024-09-07 09:25:10:581,1,441,1,0,190,5025,441,0 81,0,2024-09-07 09:25:11:556,111258,0.5,113935,0.7,217478,0.5,295594,2.00 81,1,2024-09-07 09:25:11:660,774070,774070,0,0,363083649228,3792188812,768055,5474,541,382,391879,0 81,2,2024-09-07 09:25:11:141,557027,556964,63,0,24060939,0,5932 81,3,2024-09-07 09:25:11:131,1,441,2,0,719,5264,441,0 82,0,2024-09-07 09:25:11:539,112030,0.5,112210,0.7,225147,0.5,298790,2.00 82,1,2024-09-07 09:25:10:583,776606,776602,0,4,363907555487,3784023121,771903,3880,819,381,391768,4 82,2,2024-09-07 09:25:11:691,556040,556040,0,0,20458123,0,4484 82,3,2024-09-07 09:25:11:753,1,441,0,0,363,4605,441,0 83,0,2024-09-07 09:25:11:548,111593,0.6,111596,0.8,222616,0.7,295722,2.25 83,1,2024-09-07 09:25:10:563,774518,774518,0,0,363101679527,3785160084,768986,5082,450,382,391709,0 83,2,2024-09-07 09:25:10:764,560011,560011,0,0,21211012,0,3393 83,3,2024-09-07 09:25:10:749,1,441,1,0,1260,5580,441,0 84,0,2024-09-07 09:25:11:782,105038,0.6,105021,0.8,209371,0.5,280571,2.25 84,1,2024-09-07 09:25:11:040,774218,774218,0,0,363386775678,3793326436,766510,6664,1044,367,391967,0 84,2,2024-09-07 09:25:10:584,559218,559188,30,0,28475935,0,5971 84,3,2024-09-07 09:25:11:149,1,441,1,0,908,6442,441,0 85,0,2024-09-07 09:25:11:011,106356,0.5,106324,0.7,225726,0.5,292429,2.00 85,1,2024-09-07 09:25:10:584,771865,771865,0,0,362066872377,3816580962,761044,8901,1920,381,392006,0 85,2,2024-09-07 09:25:10:869,557372,557372,0,0,26377838,0,3656 85,3,2024-09-07 09:25:10:690,1,441,1,0,789,5278,441,0 86,0,2024-09-07 09:25:10:897,112272,0.7,115634,0.8,221188,0.7,299023,2.25 86,1,2024-09-07 09:25:10:835,774315,774315,0,0,363360494692,3802034892,765633,7239,1443,366,391961,0 86,2,2024-09-07 09:25:10:855,552025,552024,1,0,28468821,0,5004 86,3,2024-09-07 09:25:10:588,1,441,2,0,308,6256,441,0 87,0,2024-09-07 09:25:11:307,113453,1.0,113246,0.9,226535,1.3,302570,2.50 87,1,2024-09-07 09:25:10:553,772928,772928,0,0,362299610333,3794756402,762968,8366,1594,366,392076,0 87,2,2024-09-07 09:25:11:111,556980,556974,6,0,25234136,0,6323 87,3,2024-09-07 09:25:11:822,1,441,10,0,473,6795,441,0 88,0,2024-09-07 09:25:11:486,107683,0.4,108504,0.6,216160,0.4,287327,1.75 88,1,2024-09-07 09:25:10:581,772749,772749,0,0,362742014375,3797262141,763024,7717,2008,365,392084,0 88,2,2024-09-07 09:25:10:692,560894,560894,0,0,27806979,0,4465 88,3,2024-09-07 09:25:11:269,1,441,1,0,435,5288,441,0 89,0,2024-09-07 09:25:11:768,111950,0.4,108397,0.6,214500,0.4,291774,1.75 89,1,2024-09-07 09:25:10:578,772613,772613,0,0,362685429165,3810132184,763428,7756,1429,382,391866,0 89,2,2024-09-07 09:25:11:144,557987,557987,0,0,26126537,0,3173 89,3,2024-09-07 09:25:11:790,1,441,1,0,468,7582,441,0 90,0,2024-09-07 09:25:11:629,109599,0.5,112587,0.6,229634,0.4,299308,2.00 90,1,2024-09-07 09:25:10:590,773550,773550,0,0,363328817741,3800856095,766772,6265,513,380,391825,0 90,2,2024-09-07 09:25:11:410,553426,553426,0,0,27377790,0,3060 90,3,2024-09-07 09:25:10:938,1,441,2,0,322,5356,441,0 91,0,2024-09-07 09:25:10:941,114407,0.5,110715,0.7,231286,0.5,304054,1.75 91,1,2024-09-07 09:25:10:562,772508,772508,0,0,362755814715,3811264395,763242,7862,1404,381,392047,0 91,2,2024-09-07 09:25:11:337,557162,557162,0,0,24273422,0,2896 91,3,2024-09-07 09:25:10:598,1,441,1,0,216,4290,441,0 92,0,2024-09-07 09:25:11:461,110566,0.4,113309,0.6,216400,0.4,293116,1.75 92,1,2024-09-07 09:25:10:585,774858,774858,0,0,363533525753,3794243356,769315,4817,726,381,392136,0 92,2,2024-09-07 09:25:11:363,561589,561589,0,0,21974980,0,3259 92,3,2024-09-07 09:25:11:012,1,441,0,0,167,3835,441,0 93,0,2024-09-07 09:25:10:953,107703,0.3,110325,0.5,210570,0.3,285685,1.75 93,1,2024-09-07 09:25:10:808,774509,774509,0,0,363296662989,3791478651,766342,6781,1386,366,391776,0 93,2,2024-09-07 09:25:10:935,558593,558593,0,0,26675864,0,4845 93,3,2024-09-07 09:25:11:420,1,441,1,0,190,3911,441,0 94,0,2024-09-07 09:25:11:644,111190,0.3,112138,0.5,223789,0.3,297378,1.75 94,1,2024-09-07 09:25:10:573,774822,774822,0,0,363740574361,3792582100,770024,4588,210,381,391850,0 94,2,2024-09-07 09:25:10:775,557310,557310,0,0,22225819,0,2443 94,3,2024-09-07 09:25:11:690,1,441,12,0,576,5801,441,0 95,0,2024-09-07 09:25:11:410,112650,0.4,112434,0.5,225450,0.3,300634,1.75 95,1,2024-09-07 09:25:10:868,775762,775762,0,0,364329753536,3785695587,769614,5710,438,365,391786,0 95,2,2024-09-07 09:25:11:015,554671,554671,0,0,22070940,0,3308 95,3,2024-09-07 09:25:11:773,1,441,1,0,718,6290,441,0 96,0,2024-09-07 09:25:11:052,111487,0.4,111848,0.5,223093,0.3,295968,1.75 96,1,2024-09-07 09:25:11:589,774913,774913,0,0,362672678396,3782828399,769357,4670,886,384,391955,0 96,2,2024-09-07 09:25:11:269,561349,561349,0,0,22878871,0,4180 96,3,2024-09-07 09:25:11:143,1,441,1,0,411,4859,441,0 97,0,2024-09-07 09:25:11:317,105352,0.3,104928,0.4,210663,0.2,280259,1.50 97,1,2024-09-07 09:25:10:762,775849,775849,0,0,364326471603,3778434624,770691,4225,933,367,392140,0 97,2,2024-09-07 09:25:10:616,560211,560211,0,0,21979566,0,3679 97,3,2024-09-07 09:25:10:578,1,441,1,0,242,5255,441,0 98,0,2024-09-07 09:25:11:716,110485,0.3,110684,0.4,222032,0.2,295344,1.50 98,1,2024-09-07 09:25:10:577,775310,775310,0,0,364070461114,3791562126,770341,4177,792,382,391997,0 98,2,2024-09-07 09:25:10:773,558744,558744,0,0,22136656,0,4336 98,3,2024-09-07 09:25:10:704,1,441,6,0,840,7240,441,0 99,0,2024-09-07 09:25:11:517,112543,0.3,113216,0.5,224961,0.3,300416,1.75 99,1,2024-09-07 09:25:11:735,775835,775835,0,0,364029396689,3787044274,770885,4113,837,381,392069,0 99,2,2024-09-07 09:25:11:430,555248,555248,0,0,25955044,0,4276 99,3,2024-09-07 09:25:10:584,1,441,1,0,606,4755,441,0 100,0,2024-09-07 09:25:11:487,112389,0.8,112754,1.0,224593,1.0,299908,2.50 100,1,2024-09-07 09:25:10:577,771583,771583,0,0,362282204916,3822504226,760841,8690,2052,378,391989,0 100,2,2024-09-07 09:25:11:817,556579,556568,11,0,25418262,0,5417 100,3,2024-09-07 09:25:11:735,1,441,1,0,559,6954,441,0 101,0,2024-09-07 09:25:11:697,108457,0.8,105710,0.9,207297,0.6,283599,2.25 101,1,2024-09-07 09:25:10:566,772055,772055,0,0,362315637571,3807232770,761356,8575,2124,368,391847,0 101,2,2024-09-07 09:25:11:756,557029,557029,0,0,30359362,0,4871 101,3,2024-09-07 09:25:10:944,1,441,1,0,579,5917,441,0 102,0,2024-09-07 09:25:10:967,106554,0.6,109834,0.7,222762,0.5,292082,2.00 102,1,2024-09-07 09:25:11:146,772365,772365,0,0,362930106821,3809847289,762482,8119,1764,369,391891,0 102,2,2024-09-07 09:25:11:752,559040,558986,54,0,24484193,0,6768 102,3,2024-09-07 09:25:11:622,1,441,1,0,466,4785,441,0 103,0,2024-09-07 09:25:11:595,116660,0.5,116597,0.7,219783,0.5,301967,2.00 103,1,2024-09-07 09:25:11:630,771777,771777,0,0,362303805533,3822453268,759773,8938,3066,381,391829,0 103,2,2024-09-07 09:25:10:587,552791,552791,0,0,27048991,0,3766 103,3,2024-09-07 09:25:10:757,1,441,1,0,916,4833,441,0 104,0,2024-09-07 09:25:11:022,113209,0.8,113590,1.0,226109,0.7,302858,2.25 104,1,2024-09-07 09:25:11:605,773857,773857,0,0,363133105505,3810739605,763005,8988,1864,365,392168,0 104,2,2024-09-07 09:25:11:668,555751,555751,0,0,25981726,0,3941 104,3,2024-09-07 09:25:11:432,1,441,7,0,1245,8602,441,0 105,0,2024-09-07 09:25:11:058,107465,0.8,104694,1.0,219484,0.8,288497,2.50 105,1,2024-09-07 09:25:10:563,774905,774905,0,0,363946085648,3810349718,765450,8026,1429,366,392009,0 105,2,2024-09-07 09:25:11:323,559534,559534,0,0,25927968,0,3509 105,3,2024-09-07 09:25:11:318,1,441,1,0,399,6603,441,0 106,0,2024-09-07 09:25:10:953,104052,0.6,106537,0.8,218058,0.7,285331,2.25 106,1,2024-09-07 09:25:11:752,773387,773387,0,0,363413491563,3812291120,762326,9614,1447,369,391914,0 106,2,2024-09-07 09:25:10:764,557774,557774,0,0,25327057,0,2920 106,3,2024-09-07 09:25:10:689,1,441,4,0,470,5542,441,0 107,0,2024-09-07 09:25:11:166,112808,0.9,113075,0.9,225977,1.0,301270,2.25 107,1,2024-09-07 09:25:10:586,771970,771970,0,0,361913082007,3811797640,761737,9180,1053,381,392234,0 107,2,2024-09-07 09:25:11:313,554454,554453,1,0,26246965,0,5024 107,3,2024-09-07 09:25:11:766,1,441,6,0,370,6546,441,0 108,0,2024-09-07 09:25:11:808,112828,0.5,113676,0.6,225972,0.4,301703,1.75 108,1,2024-09-07 09:25:11:302,774976,774976,0,0,364156407970,3795793849,768799,5432,745,368,391857,0 108,2,2024-09-07 09:25:11:761,554525,554525,0,0,24735092,0,4246 108,3,2024-09-07 09:25:11:330,1,441,0,0,749,8043,441,0 109,0,2024-09-07 09:25:11:754,110769,0.4,109979,0.6,219906,0.4,293953,1.75 109,1,2024-09-07 09:25:10:586,772033,772033,0,0,362827511432,3807307799,764589,6257,1187,382,392132,0 109,2,2024-09-07 09:25:10:922,558567,558567,0,0,24280500,0,3617 109,3,2024-09-07 09:25:11:145,1,441,0,0,379,5089,441,0 110,0,2024-09-07 09:25:11:754,105409,0.3,102686,0.5,215125,0.3,281771,1.75 110,1,2024-09-07 09:25:11:644,776113,776113,0,0,364311843831,3779674663,770720,4215,1178,369,392045,0 110,2,2024-09-07 09:25:11:319,559255,559255,0,0,23326795,0,4067 110,3,2024-09-07 09:25:10:698,1,441,2,0,722,6226,441,0 111,0,2024-09-07 09:25:11:422,111540,0.4,110841,0.5,222338,0.3,296820,1.75 111,1,2024-09-07 09:25:11:006,776204,776204,0,0,365372425615,3787799763,772313,3536,355,380,391690,0 111,2,2024-09-07 09:25:11:117,557183,557183,0,0,22822486,0,4823 111,3,2024-09-07 09:25:10:913,1,441,1,0,379,5080,441,0 112,0,2024-09-07 09:25:10:913,112971,0.3,112592,0.4,225491,0.2,299744,1.50 112,1,2024-09-07 09:25:10:831,776033,776033,0,0,363920333165,3775650862,771316,4104,613,380,391624,0 112,2,2024-09-07 09:25:11:148,554429,554428,1,0,21916834,0,5036 112,3,2024-09-07 09:25:10:596,1,441,0,0,282,4266,441,0 113,0,2024-09-07 09:25:10:878,111687,0.3,111754,0.5,223988,0.3,297705,1.75 113,1,2024-09-07 09:25:11:685,778344,778344,0,0,366319999628,3777083072,774451,3304,589,366,391661,0 113,2,2024-09-07 09:25:11:318,561776,561776,0,0,20256373,0,3813 113,3,2024-09-07 09:25:10:686,1,441,19,0,340,4801,441,0 114,0,2024-09-07 09:25:10:875,105956,0.3,106585,0.4,211903,0.2,283142,1.75 114,1,2024-09-07 09:25:10:716,775943,775943,0,0,364378914125,3783843983,769657,4670,1616,381,391565,0 114,2,2024-09-07 09:25:10:876,561028,561027,1,0,21317402,0,5069 114,3,2024-09-07 09:25:11:279,1,441,0,0,395,3702,441,0 115,0,2024-09-07 09:25:10:574,110464,0.2,111026,0.4,221805,0.2,294939,1.50 115,1,2024-09-07 09:25:10:591,776299,776299,0,0,363976878933,3781870313,769803,5229,1267,382,391757,0 115,2,2024-09-07 09:25:11:127,560168,560168,0,0,21150627,0,4382 115,3,2024-09-07 09:25:11:003,1,441,3,0,159,2414,441,0 116,0,2024-09-07 09:25:11:703,112071,0.7,112151,0.8,224715,0.7,300146,2.00 116,1,2024-09-07 09:25:10:805,772197,772197,0,0,362962540542,3819900932,763578,6292,2327,380,392089,0 116,2,2024-09-07 09:25:11:752,553428,553428,0,0,26791798,0,4128 116,3,2024-09-07 09:25:10:912,1,441,1,0,415,5084,441,0 117,0,2024-09-07 09:25:10:957,113901,0.8,113257,0.8,226979,0.9,302941,2.00 117,1,2024-09-07 09:25:11:602,773448,773448,0,0,362009851082,3785265376,765687,6845,916,369,392033,0 117,2,2024-09-07 09:25:11:155,560496,560496,0,0,23039363,0,4303 117,3,2024-09-07 09:25:11:069,1,441,2,0,490,6549,441,0 118,0,2024-09-07 09:25:11:778,104729,0.5,107450,0.6,219459,0.4,286283,2.00 118,1,2024-09-07 09:25:10:585,773280,773280,0,0,361688648936,3802458239,761361,8918,3001,366,391907,0 118,2,2024-09-07 09:25:11:595,560206,560206,0,0,25320917,0,2842 118,3,2024-09-07 09:25:11:772,1,441,4,0,248,5121,441,0 119,0,2024-09-07 09:25:11:375,108753,0.5,108926,0.7,217847,0.5,290353,2.00 119,1,2024-09-07 09:25:10:584,773997,773997,0,0,363608192496,3801739958,765506,7373,1118,367,391857,0 119,2,2024-09-07 09:25:11:278,559471,559471,0,0,23961377,0,4174 119,3,2024-09-07 09:25:11:329,1,441,6,0,1358,8495,441,0 120,0,2024-09-07 09:25:11:565,112344,0.6,112013,0.8,224760,0.6,299670,2.25 120,1,2024-09-07 09:25:10:874,774074,774074,0,0,362823968880,3804816595,765694,7610,770,368,392144,0 120,2,2024-09-07 09:25:10:769,554384,554383,1,0,27283550,0,5281 120,3,2024-09-07 09:25:11:293,1,441,0,0,279,5404,441,0 121,0,2024-09-07 09:25:11:688,113769,1.4,113458,1.1,227458,1.9,303302,2.50 121,1,2024-09-07 09:25:11:661,774099,774099,0,0,362809357407,3789798120,767344,6293,462,367,391840,0 121,2,2024-09-07 09:25:11:125,555432,555432,0,0,26247495,0,4127 121,3,2024-09-07 09:25:10:737,1,441,5,0,269,4826,441,0 122,0,2024-09-07 09:25:11:764,109115,0.7,106392,0.8,223197,0.8,292887,2.00 122,1,2024-09-07 09:25:10:866,772739,772739,0,0,362421711004,3800359552,762292,8843,1604,366,392130,0 122,2,2024-09-07 09:25:11:322,560787,560714,73,0,29188764,0,5989 122,3,2024-09-07 09:25:10:596,1,441,1,0,512,7805,441,0 123,0,2024-09-07 09:25:10:985,106639,0.5,103835,0.7,217692,0.5,285103,2.00 123,1,2024-09-07 09:25:10:569,772481,772481,0,0,363074205779,3822218050,758929,11108,2444,369,392039,0 123,2,2024-09-07 09:25:11:023,556816,556815,1,0,24660750,0,5215 123,3,2024-09-07 09:25:11:138,1,441,0,0,478,5140,441,0 124,0,2024-09-07 09:25:10:920,114858,0.3,114924,0.5,216587,0.3,298134,1.75 124,1,2024-09-07 09:25:11:039,775691,775691,0,0,363909945258,3780482574,770406,4234,1051,367,392178,0 124,2,2024-09-07 09:25:11:012,558152,558099,53,0,22367440,0,6487 124,3,2024-09-07 09:25:10:758,1,441,1,0,490,4199,441,0 125,0,2024-09-07 09:25:11:439,112535,0.4,112403,0.6,225586,0.4,300619,1.75 125,1,2024-09-07 09:25:10:854,774119,774119,0,0,364199415812,3800627833,768201,5246,672,382,391702,0 125,2,2024-09-07 09:25:11:118,555998,555998,0,0,23186627,0,4534 125,3,2024-09-07 09:25:11:140,1,441,1,0,709,5436,441,0 126,0,2024-09-07 09:25:11:492,111468,0.4,114688,0.6,219450,0.4,296688,1.75 126,1,2024-09-07 09:25:10:563,776445,776445,0,0,365081213817,3779200005,772436,3715,294,365,391987,0 126,2,2024-09-07 09:25:10:612,561046,561046,0,0,24005674,0,4539 126,3,2024-09-07 09:25:10:909,1,441,4,0,268,5322,441,0 127,0,2024-09-07 09:25:11:607,105287,0.3,105582,0.5,210848,0.2,280390,1.50 127,1,2024-09-07 09:25:10:576,775306,775306,0,0,363262574756,3776951915,767960,6124,1222,364,392187,0 127,2,2024-09-07 09:25:10:638,558600,558600,0,0,21662497,0,3897 127,3,2024-09-07 09:25:11:271,1,441,1,0,968,4655,441,0 128,0,2024-09-07 09:25:11:563,111178,0.3,111292,0.4,222308,0.2,295772,1.50 128,1,2024-09-07 09:25:11:616,775060,775060,0,0,364062028946,3777779180,770144,4483,433,367,392031,0 128,2,2024-09-07 09:25:11:388,559753,559753,0,0,20920241,0,3171 128,3,2024-09-07 09:25:10:773,1,441,1,0,1082,6771,441,0 129,0,2024-09-07 09:25:10:996,113484,0.3,112952,0.5,226326,0.3,300819,1.75 129,1,2024-09-07 09:25:10:569,773259,773259,0,0,363059029978,3802573172,765580,6008,1671,379,391962,0 129,2,2024-09-07 09:25:10:690,555005,555005,0,0,22289645,0,4031 129,3,2024-09-07 09:25:10:697,1,441,2,0,506,6011,441,0 130,0,2024-09-07 09:25:11:722,113364,0.5,112906,0.6,227105,0.5,301230,1.75 130,1,2024-09-07 09:25:10:588,775771,775771,0,0,363967743484,3780337850,771732,3700,339,381,391825,0 130,2,2024-09-07 09:25:11:142,560197,560197,0,0,22819694,0,4067 130,3,2024-09-07 09:25:11:291,1,441,1,0,960,6797,441,0 131,0,2024-09-07 09:25:11:930,106065,0.3,106611,0.5,214030,0.3,283484,1.75 131,1,2024-09-07 09:25:11:980,775336,775336,0,0,363481877360,3794572849,769363,4923,1050,381,391865,0 131,2,2024-09-07 09:25:10:572,562365,562365,0,0,21034189,0,3979 131,3,2024-09-07 09:25:11:689,1,441,37,0,392,4892,441,0 132,0,2024-09-07 09:25:11:420,109597,0.4,110498,0.6,220079,0.3,293002,1.75 132,1,2024-09-07 09:25:10:587,771450,771450,0,0,362368134080,3812939073,760311,9029,2110,381,392532,0 132,2,2024-09-07 09:25:10:705,558009,557992,17,0,27352145,0,6451 132,3,2024-09-07 09:25:11:689,1,441,10,0,804,7604,441,0 133,0,2024-09-07 09:25:11:551,109982,0.4,112539,0.6,230886,0.4,300239,1.75 133,1,2024-09-07 09:25:10:591,771802,771802,0,0,362800479906,3821015740,761251,9036,1515,383,391914,0 133,2,2024-09-07 09:25:11:094,553626,553576,50,0,28681023,0,6861 133,3,2024-09-07 09:25:11:318,1,441,2,0,479,4617,441,0 134,0,2024-09-07 09:25:10:954,114704,0.6,114339,0.7,228907,0.6,305228,2.00 134,1,2024-09-07 09:25:10:588,773053,773053,0,0,362583116984,3800049177,763253,7764,2036,366,391718,0 134,2,2024-09-07 09:25:11:756,555437,555413,24,0,26309018,0,6207 134,3,2024-09-07 09:25:10:750,1,441,7,0,739,5504,441,0 135,0,2024-09-07 09:25:11:106,105276,0.7,105271,0.8,223500,0.8,287980,2.00 135,1,2024-09-07 09:25:11:588,773293,773293,0,0,363357321137,3819165768,763327,8595,1371,380,391805,0 135,2,2024-09-07 09:25:10:689,560512,560512,0,0,25745062,0,3981 135,3,2024-09-07 09:25:11:005,1,441,1,0,299,3770,441,0 136,0,2024-09-07 09:25:11:656,108121,0.5,108298,0.7,215397,0.4,287652,2.00 136,1,2024-09-07 09:25:11:442,774250,774250,0,0,363346394352,3807738945,765676,7610,964,381,391685,0 136,2,2024-09-07 09:25:11:149,560065,560065,0,0,24705487,0,3506 136,3,2024-09-07 09:25:11:116,1,441,1,0,637,5099,441,0 137,0,2024-09-07 09:25:10:926,116535,0.6,113530,0.7,222643,0.6,302436,2.00 137,1,2024-09-07 09:25:10:577,772221,772221,0,0,362898864410,3804610745,760661,9200,2360,366,391898,0 137,2,2024-09-07 09:25:11:715,554387,554387,0,0,27232240,0,3185 137,3,2024-09-07 09:25:10:769,1,441,1,0,484,5341,441,0 138,0,2024-09-07 09:25:11:738,112686,1.0,112582,1.0,226058,1.2,300377,2.25 138,1,2024-09-07 09:25:11:685,773423,773423,0,0,363487644611,3808824470,763177,8522,1724,368,391954,0 138,2,2024-09-07 09:25:10:585,554853,554853,0,0,24752372,0,4988 138,3,2024-09-07 09:25:10:611,1,441,2,0,1160,5748,441,0 139,0,2024-09-07 09:25:11:412,109226,1.5,109323,1.1,219138,2.2,292382,2.50 139,1,2024-09-07 09:25:10:579,769495,769495,0,0,360982369042,3833516650,754817,11310,3368,380,392058,0 139,2,2024-09-07 09:25:10:696,556562,556562,0,0,28763599,0,3097 139,3,2024-09-07 09:25:11:661,1,441,1,0,432,5076,441,0 140,0,2024-09-07 09:25:11:589,106043,0.3,105441,0.5,211671,0.2,282283,1.75 140,1,2024-09-07 09:25:11:536,777489,777489,0,0,365637671720,3767694709,773924,3124,441,365,391606,0 140,2,2024-09-07 09:25:10:688,560074,560073,1,0,21863570,0,5036 140,3,2024-09-07 09:25:10:773,1,441,1,0,297,3640,441,0 141,0,2024-09-07 09:25:11:698,111430,0.3,114456,0.5,218565,0.2,296416,1.50 141,1,2024-09-07 09:25:10:859,776295,776295,0,0,364953514257,3788667990,770604,4734,957,379,391614,0 141,2,2024-09-07 09:25:11:686,558241,558241,0,0,21711391,0,3360 141,3,2024-09-07 09:25:11:056,1,441,5,0,391,4963,441,0 142,0,2024-09-07 09:25:11:343,113095,0.3,112529,0.5,225016,0.3,300344,1.75 142,1,2024-09-07 09:25:10:594,775340,775340,0,0,364075395693,3792609248,770646,4295,399,382,392102,0 142,2,2024-09-07 09:25:11:323,554020,553988,32,0,23634741,0,6028 142,3,2024-09-07 09:25:11:749,1,441,10,0,484,4919,441,0 143,0,2024-09-07 09:25:11:409,111787,0.5,112007,0.6,224052,0.4,297791,1.75 143,1,2024-09-07 09:25:10:567,776408,776408,0,0,364443413098,3780487357,771359,4505,544,367,391705,0 143,2,2024-09-07 09:25:10:772,559996,559996,0,0,22949699,0,3123 143,3,2024-09-07 09:25:11:140,1,441,1,0,462,5407,441,0 144,0,2024-09-07 09:25:11:516,102424,0.6,105185,0.8,214410,0.5,281054,2.00 144,1,2024-09-07 09:25:10:584,772257,772257,0,0,361906955383,3796593165,764543,5880,1834,381,391649,0 144,2,2024-09-07 09:25:11:761,560810,560810,0,0,21455720,0,3673 144,3,2024-09-07 09:25:11:740,1,441,1,0,249,4467,441,0 145,0,2024-09-07 09:25:11:368,106810,0.5,106841,0.7,226714,0.4,292277,2.00 145,1,2024-09-07 09:25:10:553,772378,772378,0,0,362400288755,3808447881,762742,7974,1662,382,391759,0 145,2,2024-09-07 09:25:11:432,556419,556338,81,0,26431839,0,7814 145,3,2024-09-07 09:25:10:903,1,441,1,0,622,6218,441,0 146,0,2024-09-07 09:25:11:623,112445,0.6,111937,0.8,225247,0.6,298666,2.25 146,1,2024-09-07 09:25:11:586,773052,773052,0,0,363206367580,3817776883,760898,9037,3117,367,391770,0 146,2,2024-09-07 09:25:11:695,552547,552547,0,0,25183883,0,3290 146,3,2024-09-07 09:25:11:277,1,441,0,0,1520,8110,441,0 147,0,2024-09-07 09:25:11:698,113615,0.7,113564,0.8,226360,0.7,302267,2.25 147,1,2024-09-07 09:25:11:391,776449,776449,0,0,364154712814,3785902260,769729,5873,847,368,391791,0 147,2,2024-09-07 09:25:11:026,557709,557709,0,0,22854273,0,2968 147,3,2024-09-07 09:25:10:923,1,441,56,0,1626,7560,441,0 0,0,2024-09-07 09:25:21:745,109796,0.6,109722,0.7,232959,0.6,300745,2.00 0,1,2024-09-07 09:25:20:813,775368,775368,0,0,363844747781,3805807958,769898,5081,389,369,391896,0 0,2,2024-09-07 09:25:21:080,557300,557300,0,0,22060014,0,4480 0,3,2024-09-07 09:25:21:003,1,442,7,0,431,6429,442,0 1,0,2024-09-07 09:25:21:756,114080,1.1,113423,1.0,227365,1.6,304167,2.25 1,1,2024-09-07 09:25:20:571,774937,774937,0,0,363365942289,3801264024,768422,5186,1329,370,391859,0 1,2,2024-09-07 09:25:20:640,557030,557030,0,0,22436283,0,3380 1,3,2024-09-07 09:25:21:303,1,442,1,0,268,5326,442,0 2,0,2024-09-07 09:25:21:569,109668,0.6,109746,0.7,218870,0.6,292112,2.00 2,1,2024-09-07 09:25:20:874,777525,777525,0,0,365105335032,3787461303,774234,2996,295,380,391745,0 2,2,2024-09-07 09:25:21:267,562597,562597,0,0,21061355,0,3594 2,3,2024-09-07 09:25:20:697,1,442,1,0,357,4218,442,0 3,0,2024-09-07 09:25:21:742,107407,0.4,107110,0.6,214234,0.3,285390,2.00 3,1,2024-09-07 09:25:21:620,776108,776108,0,0,363963963249,3788159006,769886,5531,691,379,391716,0 3,2,2024-09-07 09:25:21:158,560483,560460,23,0,22181337,0,5851 3,3,2024-09-07 09:25:21:753,1,442,1,0,207,2858,442,0 4,0,2024-09-07 09:25:21:795,108787,0.4,111726,0.5,228011,0.3,298637,1.75 4,1,2024-09-07 09:25:20:630,773297,773297,0,0,362421068896,3826829903,761470,9319,2508,370,391992,0 4,2,2024-09-07 09:25:21:024,556023,556023,0,0,25280952,0,4528 4,3,2024-09-07 09:25:21:037,1,442,11,0,448,5650,442,0 5,0,2024-09-07 09:25:21:386,112638,0.4,113329,0.6,226154,0.4,300658,1.75 5,1,2024-09-07 09:25:20:805,774575,774575,0,0,363264779781,3820734201,764138,8277,2160,367,392005,0 5,2,2024-09-07 09:25:21:833,553815,553815,0,0,25127144,0,3582 5,3,2024-09-07 09:25:21:744,1,442,1,0,457,6060,442,0 6,0,2024-09-07 09:25:20:929,111648,0.4,111256,0.6,222481,0.4,296519,2.00 6,1,2024-09-07 09:25:20:749,776006,776006,0,0,364056308934,3801478827,767794,6819,1393,379,391702,0 6,2,2024-09-07 09:25:21:117,561202,561184,18,0,25050402,0,5535 6,3,2024-09-07 09:25:21:275,1,442,3,0,710,5471,442,0 7,0,2024-09-07 09:25:21:537,104799,0.4,105566,0.6,210181,0.4,279798,1.75 7,1,2024-09-07 09:25:20:880,775250,775250,0,0,363626502743,3811401409,765461,8325,1464,382,391747,0 7,2,2024-09-07 09:25:20:777,561132,561132,0,0,24355662,0,4791 7,3,2024-09-07 09:25:20:873,1,442,1,0,552,4976,442,0 8,0,2024-09-07 09:25:21:370,111278,0.3,111067,0.5,222577,0.3,296746,1.75 8,1,2024-09-07 09:25:21:038,773945,773945,0,0,363384845092,3818031019,762322,8990,2633,366,392853,0 8,2,2024-09-07 09:25:20:797,555285,555285,0,0,28643661,0,3250 8,3,2024-09-07 09:25:20:585,1,442,2,0,538,7111,442,0 9,0,2024-09-07 09:25:21:160,112891,0.4,109647,0.5,229505,0.3,300705,1.75 9,1,2024-09-07 09:25:20:561,773645,773645,0,0,363461394330,3825212320,762565,8659,2421,369,392001,0 9,2,2024-09-07 09:25:21:089,554755,554755,0,0,25427462,0,3360 9,3,2024-09-07 09:25:21:752,1,442,1,0,496,6217,442,0 10,0,2024-09-07 09:25:21:603,112654,0.4,112162,0.5,225235,0.3,299597,1.75 10,1,2024-09-07 09:25:20:585,775377,775377,0,0,363828065296,3811539355,764884,8869,1624,381,391741,0 10,2,2024-09-07 09:25:20:767,559733,559733,0,0,28099723,0,4264 10,3,2024-09-07 09:25:20:877,1,442,1,0,649,4634,442,0 11,0,2024-09-07 09:25:21:016,106117,0.4,102927,0.6,215353,0.4,283787,1.75 11,1,2024-09-07 09:25:20:579,775878,775878,0,0,364576406593,3821447932,764549,8702,2627,383,391756,0 11,2,2024-09-07 09:25:21:125,560969,560969,0,0,24707013,0,4130 11,3,2024-09-07 09:25:21:301,1,442,1,0,843,6247,442,0 12,0,2024-09-07 09:25:21:059,110612,0.4,110668,0.5,221474,0.3,294293,1.75 12,1,2024-09-07 09:25:20:957,775760,775760,0,0,364070726938,3793382188,770189,5068,503,370,391870,0 12,2,2024-09-07 09:25:21:550,559757,559757,0,0,24084582,0,3469 12,3,2024-09-07 09:25:21:065,1,442,6,0,386,6385,442,0 13,0,2024-09-07 09:25:21:348,113989,0.4,113505,0.6,226500,0.4,301685,1.75 13,1,2024-09-07 09:25:21:565,774007,774007,0,0,362947093117,3813177080,766375,5998,1634,382,391740,0 13,2,2024-09-07 09:25:20:628,557238,557238,0,0,22064833,0,3287 13,3,2024-09-07 09:25:21:763,1,442,12,0,522,6350,442,0 14,0,2024-09-07 09:25:20:571,114238,0.4,115287,0.6,227991,0.4,304063,1.75 14,1,2024-09-07 09:25:21:566,779506,779506,0,0,365508146014,3783585239,773284,5513,709,364,391673,0 14,2,2024-09-07 09:25:20:772,558526,558496,30,0,24186891,0,6104 14,3,2024-09-07 09:25:21:117,1,442,1,0,1168,4746,442,0 15,0,2024-09-07 09:25:21:554,108609,0.4,108500,0.6,217463,0.4,289292,2.00 15,1,2024-09-07 09:25:21:611,776858,776858,0,0,364713814826,3797136938,770631,5048,1179,381,391619,0 15,2,2024-09-07 09:25:21:006,563690,563690,0,0,20155853,0,3622 15,3,2024-09-07 09:25:21:405,1,442,12,0,1126,6997,442,0 16,0,2024-09-07 09:25:21:045,108079,0.5,108583,0.7,216342,0.5,288260,2.00 16,1,2024-09-07 09:25:20:572,776469,776469,0,0,363903491129,3802806079,769859,5495,1115,370,391917,0 16,2,2024-09-07 09:25:21:470,560148,560148,0,0,23763950,0,4719 16,3,2024-09-07 09:25:21:143,1,442,4,0,317,5459,442,0 17,0,2024-09-07 09:25:21:816,116585,0.6,114006,0.8,222799,0.6,302369,2.00 17,1,2024-09-07 09:25:20:570,774815,774815,0,0,362987148598,3806512084,767143,6154,1518,368,392075,0 17,2,2024-09-07 09:25:21:686,559005,559005,0,0,23466929,0,3779 17,3,2024-09-07 09:25:20:578,1,442,13,0,518,6951,442,0 18,0,2024-09-07 09:25:20:943,112321,0.7,112766,0.8,224874,0.7,300571,2.25 18,1,2024-09-07 09:25:21:638,777873,777873,0,0,365235394987,3782563231,773870,3623,380,367,391725,0 18,2,2024-09-07 09:25:21:755,559412,559412,0,0,20847548,0,3541 18,3,2024-09-07 09:25:20:906,1,442,1,0,163,3312,442,0 19,0,2024-09-07 09:25:21:541,109806,0.6,110292,0.8,218824,0.6,291382,2.25 19,1,2024-09-07 09:25:20:569,778134,778134,0,0,365100238903,3784509150,772184,5029,921,367,391777,0 19,2,2024-09-07 09:25:21:753,564451,564451,0,0,19853258,0,3988 19,3,2024-09-07 09:25:21:128,1,442,7,0,524,3497,442,0 20,0,2024-09-07 09:25:21:425,105983,0.4,105996,0.6,211738,0.4,282995,2.00 20,1,2024-09-07 09:25:20:630,775324,775324,0,0,363894706105,3803573475,768605,5918,801,369,391922,0 20,2,2024-09-07 09:25:20:952,559578,559578,0,0,23740423,0,3721 20,3,2024-09-07 09:25:20:603,1,442,16,0,414,6333,442,0 21,0,2024-09-07 09:25:21:163,111400,0.4,111556,0.6,222995,0.4,296036,1.75 21,1,2024-09-07 09:25:21:568,773741,773741,0,0,363466933882,3828432427,762771,8489,2481,368,392016,0 21,2,2024-09-07 09:25:21:067,556262,556242,20,0,28808138,0,5617 21,3,2024-09-07 09:25:21:418,1,442,1,0,713,5792,442,0 22,0,2024-09-07 09:25:21:716,112639,0.5,113011,0.7,225662,0.4,299492,2.00 22,1,2024-09-07 09:25:21:022,774994,774994,0,0,364188706511,3823258384,763839,8928,2227,382,391667,0 22,2,2024-09-07 09:25:20:788,554799,554773,26,0,22863296,0,6328 22,3,2024-09-07 09:25:21:065,1,442,0,0,228,3566,442,0 23,0,2024-09-07 09:25:21:370,111536,0.5,110866,0.7,222293,0.5,296155,2.25 23,1,2024-09-07 09:25:21:012,775915,775915,0,0,364565560069,3824288738,762805,9056,4054,365,391690,0 23,2,2024-09-07 09:25:21:091,562447,562447,0,0,22460394,0,3773 23,3,2024-09-07 09:25:21:754,1,442,1,0,720,5596,442,0 24,0,2024-09-07 09:25:20:865,106591,0.4,105979,0.5,213112,0.3,283062,1.75 24,1,2024-09-07 09:25:20:593,775053,775053,0,0,362953089605,3802027945,767045,6414,1594,367,392269,0 24,2,2024-09-07 09:25:21:071,560682,560682,0,0,27110711,0,3607 24,3,2024-09-07 09:25:21:686,1,442,4,0,468,5882,442,0 25,0,2024-09-07 09:25:21:365,113832,0.4,110874,0.5,217486,0.3,296434,1.75 25,1,2024-09-07 09:25:20:564,774558,774558,0,0,363665242368,3829213427,762528,9779,2251,371,391928,0 25,2,2024-09-07 09:25:21:630,557918,557918,0,0,27746285,0,3978 25,3,2024-09-07 09:25:21:007,1,442,10,0,532,4931,442,0 26,0,2024-09-07 09:25:21:721,112448,0.4,109782,0.6,230515,0.4,299728,2.00 26,1,2024-09-07 09:25:21:548,776446,776446,0,0,364052490877,3814551121,764812,9225,2409,380,391748,0 26,2,2024-09-07 09:25:20:874,555659,555659,0,0,27684627,0,2809 26,3,2024-09-07 09:25:21:714,1,442,1,0,796,5204,442,0 27,0,2024-09-07 09:25:21:731,113858,0.5,114023,0.6,226803,0.4,302512,2.25 27,1,2024-09-07 09:25:21:690,777644,777644,0,0,365516315117,3804888523,770373,6369,902,381,391626,0 27,2,2024-09-07 09:25:20:874,556693,556628,65,0,25351252,0,5699 27,3,2024-09-07 09:25:21:019,1,442,0,0,564,4132,442,0 28,0,2024-09-07 09:25:21:390,108045,0.4,107737,0.6,216111,0.3,287465,1.75 28,1,2024-09-07 09:25:20:813,776754,776754,0,0,364920877719,3806860736,769559,5496,1699,382,391698,0 28,2,2024-09-07 09:25:21:765,562214,562214,0,0,23206006,0,2915 28,3,2024-09-07 09:25:21:776,1,442,1,0,502,4757,442,0 29,0,2024-09-07 09:25:21:374,112412,0.3,109465,0.5,214715,0.3,292647,1.75 29,1,2024-09-07 09:25:21:566,779417,779417,0,0,365723627861,3782711655,774549,4133,735,368,391809,0 29,2,2024-09-07 09:25:20:873,559633,559633,0,0,21923718,0,4986 29,3,2024-09-07 09:25:20:966,1,442,1,0,459,5057,442,0 30,0,2024-09-07 09:25:21:463,112613,0.5,109496,0.7,229242,0.5,299643,2.00 30,1,2024-09-07 09:25:20:576,778143,778143,0,0,365442165152,3793429216,772074,5303,766,381,391672,0 30,2,2024-09-07 09:25:21:276,556675,556675,0,0,21338644,0,4192 30,3,2024-09-07 09:25:20:581,1,442,0,0,519,4263,442,0 31,0,2024-09-07 09:25:21:763,113670,0.5,114082,0.7,227919,0.5,304190,2.00 31,1,2024-09-07 09:25:20:574,781733,781733,0,0,366605876344,3756630337,778627,2520,586,356,391712,0 31,2,2024-09-07 09:25:21:290,557256,557256,0,0,23119511,0,3525 31,3,2024-09-07 09:25:21:707,1,442,1,0,220,3801,442,0 32,0,2024-09-07 09:25:21:423,110056,0.3,110731,0.5,220355,0.2,293859,1.50 32,1,2024-09-07 09:25:20:811,777933,777933,0,0,365154367285,3796540160,772812,4439,682,381,391646,0 32,2,2024-09-07 09:25:20:947,563482,563482,0,0,20784820,0,3922 32,3,2024-09-07 09:25:21:014,1,442,1,0,304,3435,442,0 33,0,2024-09-07 09:25:21:501,107435,0.3,107154,0.4,214773,0.2,285942,1.50 33,1,2024-09-07 09:25:20:580,778579,778579,0,0,365683759708,3790035844,772064,5410,1105,368,391730,0 33,2,2024-09-07 09:25:20:767,560908,560873,35,0,23094607,0,7012 33,3,2024-09-07 09:25:20:910,1,442,1,0,329,4164,442,0 34,0,2024-09-07 09:25:20:942,112436,0.3,115510,0.4,220806,0.2,298090,1.75 34,1,2024-09-07 09:25:21:044,779879,779879,0,0,366778833207,3769510913,778135,1732,12,367,391562,0 34,2,2024-09-07 09:25:20:771,558718,558718,0,0,21407914,0,4562 34,3,2024-09-07 09:25:21:697,1,442,8,0,299,3282,442,0 35,0,2024-09-07 09:25:20:917,112178,0.3,112739,0.5,226534,0.3,301520,1.75 35,1,2024-09-07 09:25:21:068,777568,777568,0,0,364805434202,3779785354,772988,3722,858,382,391769,0 35,2,2024-09-07 09:25:21:587,556447,556447,0,0,23172143,0,4055 35,3,2024-09-07 09:25:20:912,1,442,1,0,418,4529,442,0 36,0,2024-09-07 09:25:21:525,111825,0.5,111681,0.7,223357,0.4,296985,2.00 36,1,2024-09-07 09:25:20:589,777007,777007,0,0,364434752608,3802690909,767358,7649,2000,366,391759,0 36,2,2024-09-07 09:25:21:751,562386,562386,0,0,24334391,0,3875 36,3,2024-09-07 09:25:20:909,1,442,2,0,416,6112,442,0 37,0,2024-09-07 09:25:21:405,104923,0.4,105223,0.6,210044,0.4,280210,2.00 37,1,2024-09-07 09:25:20:575,776363,776356,0,7,363562638511,3793803168,767533,6547,2276,365,391770,0 37,2,2024-09-07 09:25:21:156,558786,558771,15,0,24200374,0,5815 37,3,2024-09-07 09:25:21:768,1,442,213,0,888,6606,442,0 38,0,2024-09-07 09:25:21:446,110569,0.4,107336,0.6,224533,0.3,294453,2.00 38,1,2024-09-07 09:25:21:613,777456,777456,0,0,364617062322,3808172199,766708,8587,2161,368,391821,0 38,2,2024-09-07 09:25:20:767,559191,559144,47,0,23943587,0,6710 38,3,2024-09-07 09:25:21:002,1,442,0,0,689,5478,442,0 39,0,2024-09-07 09:25:21:760,115320,0.6,112785,0.7,219964,0.6,299826,2.00 39,1,2024-09-07 09:25:20:715,775849,775849,0,0,364270161972,3815327751,763404,9754,2691,365,391658,0 39,2,2024-09-07 09:25:21:419,555953,555953,0,0,22773339,0,3391 39,3,2024-09-07 09:25:20:717,1,442,33,0,324,4964,442,0 40,0,2024-09-07 09:25:21:489,111561,0.8,112178,1.0,223709,0.9,298165,2.75 40,1,2024-09-07 09:25:20:585,776694,776694,0,0,364003500917,3809249749,765872,8778,2044,368,391668,0 40,2,2024-09-07 09:25:21:303,559370,559369,1,0,26598466,0,5137 40,3,2024-09-07 09:25:21:146,1,442,4,0,1028,6010,442,0 41,0,2024-09-07 09:25:21:032,105807,1.3,108115,1.2,206241,1.9,280413,3.00 41,1,2024-09-07 09:25:20:772,775188,775188,0,0,364188480267,3806145259,766004,8144,1040,369,391742,0 41,2,2024-09-07 09:25:20:768,559820,559820,0,0,25440911,0,4277 41,3,2024-09-07 09:25:21:676,1,442,1,0,366,4487,442,0 42,0,2024-09-07 09:25:21:476,109467,0.7,109391,0.9,218845,0.7,290269,2.50 42,1,2024-09-07 09:25:21:440,773543,773543,0,0,363869100332,3820597881,761457,10055,2031,380,391675,0 42,2,2024-09-07 09:25:21:137,558783,558783,0,0,25565576,0,3790 42,3,2024-09-07 09:25:21:011,1,442,0,0,892,4284,442,0 43,0,2024-09-07 09:25:20:919,111971,0.7,109029,0.9,228262,0.8,298963,2.25 43,1,2024-09-07 09:25:20:577,776873,776873,0,0,365137637747,3812041625,766491,8643,1739,366,391696,0 43,2,2024-09-07 09:25:21:735,555915,555915,0,0,25135990,0,3812 43,3,2024-09-07 09:25:21:749,1,442,2,0,548,5758,442,0 44,0,2024-09-07 09:25:20:893,114366,0.5,114516,0.6,229587,0.4,304679,2.00 44,1,2024-09-07 09:25:20:577,778256,778256,0,0,365298726214,3774618294,771754,5184,1318,356,391809,0 44,2,2024-09-07 09:25:21:267,557120,557120,0,0,21290877,0,4344 44,3,2024-09-07 09:25:21:097,1,442,6,0,817,5122,442,0 45,0,2024-09-07 09:25:21:767,107508,0.5,105020,0.7,220269,0.4,289892,2.00 45,1,2024-09-07 09:25:21:012,777428,777428,0,0,365369324408,3795047774,771766,5088,574,382,391917,0 45,2,2024-09-07 09:25:21:270,563385,563385,0,0,21558606,0,3596 45,3,2024-09-07 09:25:20:945,1,442,0,0,271,3725,442,0 46,0,2024-09-07 09:25:20:954,107689,0.4,107394,0.6,215339,0.4,286164,2.00 46,1,2024-09-07 09:25:20:576,779053,779053,0,0,365663625655,3775894532,774337,4124,592,366,391709,0 46,2,2024-09-07 09:25:20:593,560596,560596,0,0,21697325,0,4443 46,3,2024-09-07 09:25:21:136,1,442,1,0,908,5490,442,0 47,0,2024-09-07 09:25:21:104,113522,0.4,113791,0.6,227583,0.4,301448,1.75 47,1,2024-09-07 09:25:20:576,779814,779814,0,0,365064747386,3771630805,774937,4039,838,366,391641,0 47,2,2024-09-07 09:25:20:919,559216,559216,0,0,21212267,0,4477 47,3,2024-09-07 09:25:21:116,1,442,1,0,600,5275,442,0 48,0,2024-09-07 09:25:21:500,113885,0.3,113918,0.4,226764,0.2,302663,1.50 48,1,2024-09-07 09:25:21:022,777646,777646,0,0,366066972097,3799822564,772933,4257,456,384,391710,0 48,2,2024-09-07 09:25:20:717,557091,557091,0,0,19859257,0,3411 48,3,2024-09-07 09:25:20:763,1,442,28,0,339,3506,442,0 49,0,2024-09-07 09:25:21:739,113178,0.4,111265,0.5,215793,0.3,295336,1.75 49,1,2024-09-07 09:25:21:022,777358,777358,0,0,364640906596,3791890569,772200,3951,1207,382,391809,0 49,2,2024-09-07 09:25:21:800,562849,562849,0,0,21930343,0,4426 49,3,2024-09-07 09:25:21:418,1,442,10,0,992,5252,442,0 50,0,2024-09-07 09:25:21:505,106660,0.3,105002,0.4,211994,0.2,282826,1.75 50,1,2024-09-07 09:25:21:015,779863,779863,0,0,365839014484,3780718625,774931,4358,574,368,391565,0 50,2,2024-09-07 09:25:21:066,560361,560361,0,0,20083943,0,4490 50,3,2024-09-07 09:25:21:305,1,442,3,0,567,4375,442,0 51,0,2024-09-07 09:25:21:685,114237,0.3,111966,0.4,218319,0.2,297520,1.75 51,1,2024-09-07 09:25:21:685,779915,779915,0,0,366427856672,3777100217,775960,2906,1049,365,391706,0 51,2,2024-09-07 09:25:21:316,559202,559202,0,0,19080310,0,3337 51,3,2024-09-07 09:25:21:027,1,442,1,0,678,3530,442,0 52,0,2024-09-07 09:25:21:458,112990,0.5,112888,0.7,225944,0.5,300342,2.00 52,1,2024-09-07 09:25:20:576,776684,776684,0,0,363590532180,3800561439,766342,8989,1353,368,391722,0 52,2,2024-09-07 09:25:21:761,553195,553157,38,0,25401663,0,6742 52,3,2024-09-07 09:25:20:678,1,442,1,0,1782,5920,442,0 53,0,2024-09-07 09:25:21:732,111050,0.6,107851,0.8,225567,0.7,295966,2.25 53,1,2024-09-07 09:25:20:776,774750,774750,0,0,364374254543,3817866133,762827,8963,2960,367,391702,0 53,2,2024-09-07 09:25:21:302,561377,561376,1,0,23103401,0,5455 53,3,2024-09-07 09:25:20:715,1,442,7,0,308,3984,442,0 54,0,2024-09-07 09:25:21:626,104461,0.5,104759,0.7,208513,0.4,279099,2.25 54,1,2024-09-07 09:25:20:582,777001,777001,0,0,365025555508,3791684286,769509,6251,1241,366,391659,0 54,2,2024-09-07 09:25:20:910,561345,561313,32,0,26438241,0,6397 54,3,2024-09-07 09:25:20:767,1,442,4,0,676,6263,442,0 55,0,2024-09-07 09:25:21:760,107219,0.5,110656,0.7,224063,0.4,292315,2.25 55,1,2024-09-07 09:25:20:777,777537,777537,0,0,364288021189,3782433720,769900,6542,1095,365,391731,0 55,2,2024-09-07 09:25:20:729,559008,558952,56,0,25331728,0,7239 55,3,2024-09-07 09:25:20:681,1,442,0,0,304,4361,442,0 56,0,2024-09-07 09:25:21:556,114907,1.3,108455,1.2,223395,1.8,298849,2.75 56,1,2024-09-07 09:25:20:597,773118,773118,0,0,363464947253,3834886237,761596,9148,2374,381,391867,0 56,2,2024-09-07 09:25:21:305,555082,554960,122,0,26136238,0,7432 56,3,2024-09-07 09:25:21:073,1,442,3,0,705,5283,442,0 57,0,2024-09-07 09:25:21:022,111965,1.7,111627,1.3,224061,2.3,299628,3.25 57,1,2024-09-07 09:25:21:010,775634,775634,0,0,362811795537,3798934928,767023,7524,1087,366,392032,0 57,2,2024-09-07 09:25:21:316,559234,559234,0,0,27076756,0,3317 57,3,2024-09-07 09:25:21:747,1,442,1,0,455,5011,442,0 58,0,2024-09-07 09:25:20:568,106306,0.8,103246,0.9,216057,0.9,282590,2.50 58,1,2024-09-07 09:25:20:622,775814,775811,0,3,364891161102,3818872802,764692,8815,2304,367,391603,3 58,2,2024-09-07 09:25:21:071,561556,561556,0,0,25163911,0,2902 58,3,2024-09-07 09:25:21:068,1,442,1,0,1043,4670,442,0 59,0,2024-09-07 09:25:21:750,108759,0.7,108318,0.9,216511,0.7,287654,2.50 59,1,2024-09-07 09:25:20:816,775730,775730,0,0,363979363923,3809385906,765507,8414,1809,369,391653,0 59,2,2024-09-07 09:25:20:595,559056,559056,0,0,25010937,0,3727 59,3,2024-09-07 09:25:21:742,1,442,1,0,1015,5775,442,0 60,0,2024-09-07 09:25:21:725,112853,0.5,112803,0.7,226445,0.5,300523,1.75 60,1,2024-09-07 09:25:20:783,778280,778280,0,0,365909857580,3796265581,773128,4430,722,370,392031,0 60,2,2024-09-07 09:25:21:157,556602,556602,0,0,23271992,0,3811 60,3,2024-09-07 09:25:21:264,1,442,6,0,409,5058,442,0 61,0,2024-09-07 09:25:21:538,113774,0.7,114444,0.8,227847,0.7,303926,2.00 61,1,2024-09-07 09:25:20:800,776280,776280,0,0,364414225546,3815381180,767958,6891,1431,382,392127,0 61,2,2024-09-07 09:25:21:118,557383,557316,67,0,23678109,0,6411 61,3,2024-09-07 09:25:21:699,1,442,10,0,479,5838,442,0 62,0,2024-09-07 09:25:21:715,110479,0.5,113264,0.7,216158,0.5,293704,2.00 62,1,2024-09-07 09:25:21:122,780758,780752,0,6,366806869164,3780572728,776936,3555,261,365,391975,6 62,2,2024-09-07 09:25:21:649,560883,560882,1,0,23956766,0,5555 62,3,2024-09-07 09:25:21:143,1,442,5,0,482,3788,442,0 63,0,2024-09-07 09:25:21:464,107544,0.4,107396,0.5,215445,0.3,286303,1.75 63,1,2024-09-07 09:25:20:816,778467,778461,0,6,365321490740,3791556659,773479,4249,733,381,391800,6 63,2,2024-09-07 09:25:20:777,560372,560372,0,0,21726711,0,4369 63,3,2024-09-07 09:25:21:734,1,442,0,0,667,4444,442,0 64,0,2024-09-07 09:25:21:534,111710,0.5,111841,0.6,222979,0.4,297197,1.75 64,1,2024-09-07 09:25:20:784,777407,777407,0,0,365419689509,3804878480,770725,4979,1703,370,391783,0 64,2,2024-09-07 09:25:21:143,561783,561764,19,0,21462139,0,6121 64,3,2024-09-07 09:25:21:140,1,442,1,0,265,4238,442,0 65,0,2024-09-07 09:25:21:697,111951,0.7,112260,0.8,224178,0.8,298898,2.25 65,1,2024-09-07 09:25:20:874,775421,775421,0,0,363653811040,3798414311,770306,4575,540,381,391901,0 65,2,2024-09-07 09:25:21:696,556655,556655,0,0,25261494,0,3367 65,3,2024-09-07 09:25:21:686,1,442,1,0,782,5434,442,0 66,0,2024-09-07 09:25:21:767,111249,0.5,111022,0.7,221959,0.5,295356,2.25 66,1,2024-09-07 09:25:21:303,777607,777607,0,0,364559754754,3796203791,772107,4974,526,380,391743,0 66,2,2024-09-07 09:25:21:135,563386,563386,0,0,22113819,0,4956 66,3,2024-09-07 09:25:21:086,1,442,3,0,291,3749,442,0 67,0,2024-09-07 09:25:21:414,105520,0.4,105308,0.6,211236,0.4,280948,2.00 67,1,2024-09-07 09:25:20:767,777893,777892,0,1,365317913374,3803046735,772534,4564,794,380,391787,1 67,2,2024-09-07 09:25:20:585,562855,562855,0,0,21174937,0,3622 67,3,2024-09-07 09:25:21:750,1,442,1,0,392,4265,442,0 68,0,2024-09-07 09:25:20:567,111371,0.5,111326,0.7,221530,0.5,296591,2.00 68,1,2024-09-07 09:25:20:576,774913,774913,0,0,363376490145,3818764072,764852,7115,2946,381,391953,0 68,2,2024-09-07 09:25:21:044,556819,556719,100,0,28055736,0,8578 68,3,2024-09-07 09:25:20:729,1,442,5,0,417,4996,442,0 69,0,2024-09-07 09:25:21:850,112112,0.8,112854,0.8,224836,0.8,298246,2.25 69,1,2024-09-07 09:25:21:022,774155,774155,0,0,362777243643,3819802480,764380,7504,2271,384,391994,0 69,2,2024-09-07 09:25:21:741,554812,554783,29,0,29520577,0,6912 69,3,2024-09-07 09:25:20:769,1,442,31,0,698,6691,442,0 70,0,2024-09-07 09:25:21:530,111517,1.0,111745,1.1,224813,0.9,297530,2.50 70,1,2024-09-07 09:25:20:816,778082,778082,0,0,365970355626,3795231974,772101,5320,661,366,391725,0 70,2,2024-09-07 09:25:21:326,560157,560157,0,0,24751509,0,4323 70,3,2024-09-07 09:25:20:754,1,442,2,0,854,5024,442,0 71,0,2024-09-07 09:25:21:360,105324,0.7,105015,0.9,211099,0.8,281691,2.50 71,1,2024-09-07 09:25:21:597,776909,776909,0,0,364560788293,3799420338,768467,7639,803,368,391738,0 71,2,2024-09-07 09:25:21:066,560748,560748,0,0,24779183,0,4352 71,3,2024-09-07 09:25:21:750,1,442,2,0,644,5620,442,0 72,0,2024-09-07 09:25:21:054,113530,0.5,111067,0.7,216544,0.4,294688,2.00 72,1,2024-09-07 09:25:21:022,775153,775153,0,0,363715491113,3813118944,764283,8860,2010,369,391819,0 72,2,2024-09-07 09:25:21:771,558674,558674,0,0,27183712,0,3983 72,3,2024-09-07 09:25:21:759,1,442,1,0,564,6602,442,0 73,0,2024-09-07 09:25:21:137,110204,0.4,112970,0.6,230892,0.4,299939,2.00 73,1,2024-09-07 09:25:20:789,777050,777050,0,0,364612811711,3785593867,771707,4934,409,367,391858,0 73,2,2024-09-07 09:25:21:747,555364,555364,0,0,26541142,0,3701 73,3,2024-09-07 09:25:20:972,1,442,1,0,486,5775,442,0 74,0,2024-09-07 09:25:21:340,115198,0.5,117808,0.7,224567,0.5,304962,2.25 74,1,2024-09-07 09:25:20:644,776059,776059,0,0,364829809487,3805905042,768090,6374,1595,381,391762,0 74,2,2024-09-07 09:25:21:007,557602,557602,0,0,24399814,0,4253 74,3,2024-09-07 09:25:21:445,1,442,1,0,522,5598,442,0 75,0,2024-09-07 09:25:21:771,109346,0.5,108635,0.7,217989,0.4,290932,2.25 75,1,2024-09-07 09:25:21:586,777001,777001,0,0,364793128946,3802338016,770148,6025,828,380,391739,0 75,2,2024-09-07 09:25:21:351,561114,561114,0,0,27251517,0,4766 75,3,2024-09-07 09:25:21:068,1,442,3,0,702,5750,442,0 76,0,2024-09-07 09:25:20:598,107677,0.5,106842,0.7,214540,0.5,286891,2.25 76,1,2024-09-07 09:25:20:811,776750,776750,0,0,363963203951,3795557611,771319,4605,826,382,391692,0 76,2,2024-09-07 09:25:21:061,562466,562465,1,0,23810808,0,5144 76,3,2024-09-07 09:25:21:152,1,442,15,0,175,4068,442,0 77,0,2024-09-07 09:25:21:741,112878,0.5,113218,0.7,226608,0.5,300463,2.00 77,1,2024-09-07 09:25:20:849,777224,777224,0,0,364448785064,3801587625,771385,5332,507,381,391869,0 77,2,2024-09-07 09:25:21:301,556753,556753,0,0,23248458,0,3890 77,3,2024-09-07 09:25:21:117,1,442,1,0,401,4866,442,0 78,0,2024-09-07 09:25:21:722,113659,0.5,113089,0.6,227056,0.4,301291,2.00 78,1,2024-09-07 09:25:20:610,777699,777699,0,0,364473354611,3795185218,769829,6301,1569,367,391670,0 78,2,2024-09-07 09:25:21:405,557939,557926,13,0,22043543,0,8313 78,3,2024-09-07 09:25:21:136,1,442,2,0,181,3750,442,0 79,0,2024-09-07 09:25:21:354,106496,0.4,109059,0.6,223293,0.4,290334,2.25 79,1,2024-09-07 09:25:20:571,778760,778760,0,0,364695315362,3779283089,772132,5319,1309,367,391682,0 79,2,2024-09-07 09:25:21:067,562931,562931,0,0,21550521,0,4195 79,3,2024-09-07 09:25:20:750,1,442,2,0,418,5498,442,0 80,0,2024-09-07 09:25:21:088,106063,0.5,108988,0.6,208576,0.4,282363,2.00 80,1,2024-09-07 09:25:21:633,776929,776929,0,0,364753891637,3797758919,771371,5135,423,368,392269,0 80,2,2024-09-07 09:25:21:091,562224,562224,0,0,21720349,0,4433 80,3,2024-09-07 09:25:20:575,1,442,3,0,190,5028,442,0 81,0,2024-09-07 09:25:21:537,111540,0.5,114225,0.7,218105,0.5,296271,2.00 81,1,2024-09-07 09:25:21:654,775670,775670,0,0,363700548166,3798701513,769655,5474,541,382,391879,0 81,2,2024-09-07 09:25:21:137,557957,557894,63,0,24079135,0,5932 81,3,2024-09-07 09:25:21:117,1,442,1,0,719,5265,442,0 82,0,2024-09-07 09:25:21:543,112359,0.5,112531,0.7,225808,0.5,299679,2.00 82,1,2024-09-07 09:25:20:585,778284,778280,0,4,364692569245,3792162102,773581,3880,819,381,391768,4 82,2,2024-09-07 09:25:21:692,557512,557512,0,0,20512375,0,4484 82,3,2024-09-07 09:25:21:752,1,442,1,0,363,4606,442,0 83,0,2024-09-07 09:25:21:525,111782,0.6,111772,0.8,222951,0.7,296207,2.25 83,1,2024-09-07 09:25:20:560,776228,776228,0,0,364041443087,3795044126,770695,5083,450,382,391709,0 83,2,2024-09-07 09:25:20:767,561337,561337,0,0,21253089,0,3393 83,3,2024-09-07 09:25:20:757,1,442,49,0,1260,5629,442,0 84,0,2024-09-07 09:25:21:786,105331,0.6,105297,0.8,209929,0.5,281480,2.25 84,1,2024-09-07 09:25:21:053,775984,775984,0,0,364400732434,3803695319,768276,6664,1044,367,391967,0 84,2,2024-09-07 09:25:20:574,560456,560426,30,0,28498483,0,5971 84,3,2024-09-07 09:25:21:145,1,442,3,0,908,6445,442,0 85,0,2024-09-07 09:25:21:021,106814,0.5,106759,0.7,226655,0.5,293631,2.00 85,1,2024-09-07 09:25:20:561,773718,773718,0,0,363112983125,3827229493,762897,8901,1920,381,392006,0 85,2,2024-09-07 09:25:20:879,558566,558566,0,0,26392056,0,3656 85,3,2024-09-07 09:25:20:692,1,442,0,0,789,5278,442,0 86,0,2024-09-07 09:25:20:921,112382,0.7,115771,0.8,221452,0.7,299342,2.25 86,1,2024-09-07 09:25:20:825,776038,776038,0,0,364245516849,3811049631,767354,7241,1443,366,391961,0 86,2,2024-09-07 09:25:20:882,553296,553295,1,0,28488985,0,5004 86,3,2024-09-07 09:25:20:587,1,442,0,0,308,6256,442,0 87,0,2024-09-07 09:25:21:292,113559,1.0,113371,0.9,226784,1.3,302929,2.50 87,1,2024-09-07 09:25:20:556,774666,774666,0,0,363261748763,3804531248,764706,8366,1594,366,392076,0 87,2,2024-09-07 09:25:21:083,558257,558251,6,0,25256822,0,6323 87,3,2024-09-07 09:25:21:798,1,442,1,0,473,6796,442,0 88,0,2024-09-07 09:25:21:488,107713,0.4,108537,0.6,216251,0.4,287327,1.75 88,1,2024-09-07 09:25:20:579,774555,774555,0,0,363643080596,3806395708,764830,7717,2008,365,392084,0 88,2,2024-09-07 09:25:20:695,562327,562327,0,0,27818814,0,4465 88,3,2024-09-07 09:25:21:272,1,442,4,0,435,5292,442,0 89,0,2024-09-07 09:25:21:814,112327,0.4,108751,0.6,215291,0.4,292707,1.75 89,1,2024-09-07 09:25:20:562,774301,774301,0,0,363438779657,3817829999,765116,7756,1429,382,391866,0 89,2,2024-09-07 09:25:21:138,559228,559228,0,0,26137191,0,3173 89,3,2024-09-07 09:25:21:817,1,442,1,0,468,7583,442,0 90,0,2024-09-07 09:25:21:647,109684,0.5,112692,0.6,229821,0.4,299539,2.00 90,1,2024-09-07 09:25:20:595,775282,775282,0,0,364195029702,3809702432,768504,6265,513,380,391825,0 90,2,2024-09-07 09:25:21:412,554366,554366,0,0,27386895,0,3060 90,3,2024-09-07 09:25:20:939,1,442,3,0,322,5359,442,0 91,0,2024-09-07 09:25:20:960,114645,0.5,110975,0.7,231752,0.5,304634,1.75 91,1,2024-09-07 09:25:20:587,774227,774227,0,0,363463916353,3818549181,764960,7862,1405,381,392047,0 91,2,2024-09-07 09:25:21:332,558613,558613,0,0,24285938,0,2896 91,3,2024-09-07 09:25:20:626,1,442,4,0,216,4294,442,0 92,0,2024-09-07 09:25:21:494,110874,0.4,113641,0.6,217024,0.4,293986,1.75 92,1,2024-09-07 09:25:20:587,776631,776631,0,0,364338459710,3802486059,771087,4818,726,381,392136,0 92,2,2024-09-07 09:25:21:353,563058,563058,0,0,22003773,0,3259 92,3,2024-09-07 09:25:21:018,1,442,2,0,167,3837,442,0 93,0,2024-09-07 09:25:20:971,108006,0.3,110635,0.5,211164,0.3,286374,1.75 93,1,2024-09-07 09:25:20:807,776234,776234,0,0,364055473608,3799224153,768065,6783,1386,366,391776,0 93,2,2024-09-07 09:25:20:944,560005,560005,0,0,26708779,0,4845 93,3,2024-09-07 09:25:21:418,1,442,9,0,190,3920,442,0 94,0,2024-09-07 09:25:21:639,111688,0.3,112649,0.5,224833,0.3,298764,1.75 94,1,2024-09-07 09:25:20:577,776501,776501,0,0,364533481569,3800780610,771701,4590,210,381,391850,0 94,2,2024-09-07 09:25:20:770,558017,558017,0,0,22237453,0,2443 94,3,2024-09-07 09:25:21:696,1,442,0,0,576,5801,442,0 95,0,2024-09-07 09:25:21:419,112948,0.4,112734,0.5,226128,0.3,301470,1.75 95,1,2024-09-07 09:25:20:916,777569,777569,0,0,365123470337,3793811904,771421,5710,438,365,391786,0 95,2,2024-09-07 09:25:21:019,556247,556247,0,0,22115924,0,3308 95,3,2024-09-07 09:25:21:710,1,442,4,0,718,6294,442,0 96,0,2024-09-07 09:25:21:032,111663,0.4,112017,0.5,223431,0.3,296370,1.75 96,1,2024-09-07 09:25:21:588,776626,776626,0,0,363467910609,3791164098,771059,4681,886,384,391955,0 96,2,2024-09-07 09:25:21:272,562792,562792,0,0,22921188,0,4180 96,3,2024-09-07 09:25:21:155,1,442,6,0,411,4865,442,0 97,0,2024-09-07 09:25:21:316,105619,0.3,105172,0.4,211178,0.2,280878,1.50 97,1,2024-09-07 09:25:20:798,777624,777624,0,0,365066952728,3786077186,772462,4228,934,367,392140,0 97,2,2024-09-07 09:25:20:607,561183,561183,0,0,22064007,0,3679 97,3,2024-09-07 09:25:20:575,1,442,73,0,242,5328,442,0 98,0,2024-09-07 09:25:21:691,110879,0.3,111116,0.4,222817,0.2,296384,1.50 98,1,2024-09-07 09:25:20:583,777065,777065,0,0,365018177372,3801422494,772094,4179,792,382,391997,0 98,2,2024-09-07 09:25:20:780,559683,559683,0,0,22202114,0,4336 98,3,2024-09-07 09:25:20:715,1,442,3,0,840,7243,442,0 99,0,2024-09-07 09:25:21:463,112706,0.3,113386,0.5,225270,0.3,300863,1.75 99,1,2024-09-07 09:25:21:727,777583,777583,0,0,364944315333,3796431511,772633,4113,837,381,392069,0 99,2,2024-09-07 09:25:21:420,556725,556725,0,0,26015011,0,4276 99,3,2024-09-07 09:25:20:595,1,442,2,0,606,4757,442,0 100,0,2024-09-07 09:25:21:475,112482,0.8,112853,1.0,224775,0.9,300144,2.50 100,1,2024-09-07 09:25:20:570,773364,773364,0,0,363327514525,3833157603,762622,8690,2052,378,391989,0 100,2,2024-09-07 09:25:21:819,557829,557818,11,0,25432476,0,5417 100,3,2024-09-07 09:25:21:743,1,442,1,0,559,6955,442,0 101,0,2024-09-07 09:25:21:714,108565,0.8,105803,0.9,207473,0.6,283917,2.25 101,1,2024-09-07 09:25:20:565,773805,773805,0,0,363345014222,3817719648,763105,8576,2124,368,391847,0 101,2,2024-09-07 09:25:21:756,558004,558004,0,0,30373375,0,4871 101,3,2024-09-07 09:25:20:964,1,442,2,0,579,5919,442,0 102,0,2024-09-07 09:25:20:975,107026,0.6,110297,0.7,223755,0.5,293381,2.00 102,1,2024-09-07 09:25:21:142,774221,774221,0,0,363777355791,3818555630,764338,8119,1764,369,391891,0 102,2,2024-09-07 09:25:21:737,560443,560389,54,0,24514944,0,6768 102,3,2024-09-07 09:25:21:640,1,442,1,0,466,4786,442,0 103,0,2024-09-07 09:25:21:604,116775,0.5,116725,0.7,220010,0.5,302272,2.00 103,1,2024-09-07 09:25:21:628,773599,773599,0,0,363265932482,3832293995,761595,8938,3066,381,391829,0 103,2,2024-09-07 09:25:20:587,554044,554044,0,0,27065438,0,3766 103,3,2024-09-07 09:25:20:767,1,442,1,0,916,4834,442,0 104,0,2024-09-07 09:25:21:105,113338,0.8,113702,0.9,226353,0.7,303118,2.25 104,1,2024-09-07 09:25:21:604,775610,775610,0,0,363893395736,3818484439,764757,8989,1864,365,392168,0 104,2,2024-09-07 09:25:21:667,556971,556971,0,0,25992682,0,3941 104,3,2024-09-07 09:25:21:428,1,442,4,0,1245,8606,442,0 105,0,2024-09-07 09:25:21:044,107688,0.8,104876,1.0,219948,0.8,289019,2.50 105,1,2024-09-07 09:25:20:563,776676,776676,0,0,364761668773,3818629201,767221,8026,1429,366,392009,0 105,2,2024-09-07 09:25:21:337,561123,561123,0,0,25943984,0,3509 105,3,2024-09-07 09:25:21:305,1,442,4,0,399,6607,442,0 106,0,2024-09-07 09:25:20:948,104424,0.6,106920,0.8,218869,0.7,286459,2.25 106,1,2024-09-07 09:25:21:751,775221,775221,0,0,364201459346,3820339657,764159,9615,1447,369,391914,0 106,2,2024-09-07 09:25:20:768,559265,559265,0,0,25341236,0,2920 106,3,2024-09-07 09:25:20:683,1,442,3,0,470,5545,442,0 107,0,2024-09-07 09:25:21:102,112989,0.9,113247,0.9,226354,1.0,301559,2.25 107,1,2024-09-07 09:25:20:592,773739,773739,0,0,362759753861,3820461678,763504,9182,1053,381,392234,0 107,2,2024-09-07 09:25:21:291,555166,555165,1,0,26253245,0,5024 107,3,2024-09-07 09:25:21:755,1,442,1,0,370,6547,442,0 108,0,2024-09-07 09:25:21:820,113115,0.5,113974,0.6,226591,0.4,302469,1.75 108,1,2024-09-07 09:25:21:393,776762,776762,0,0,364968195511,3804136044,770585,5432,745,367,391857,0 108,2,2024-09-07 09:25:21:764,556068,556068,0,0,24768826,0,4246 108,3,2024-09-07 09:25:21:356,1,442,2,0,749,8045,442,0 109,0,2024-09-07 09:25:21:901,110962,0.4,110160,0.6,220314,0.4,294550,1.75 109,1,2024-09-07 09:25:20:603,773816,773816,0,0,363711468818,3816461269,766372,6257,1187,382,392132,0 109,2,2024-09-07 09:25:20:956,560034,560034,0,0,24305805,0,3617 109,3,2024-09-07 09:25:21:146,1,442,11,0,379,5100,442,0 110,0,2024-09-07 09:25:21:783,105830,0.3,103090,0.5,215992,0.3,283016,1.75 110,1,2024-09-07 09:25:21:653,777855,777855,0,0,365182459394,3788606490,772459,4217,1179,369,392045,0 110,2,2024-09-07 09:25:21:308,560482,560482,0,0,23346729,0,4067 110,3,2024-09-07 09:25:20:697,1,442,7,0,722,6233,442,0 111,0,2024-09-07 09:25:21:415,111812,0.4,111138,0.5,222944,0.3,297532,1.75 111,1,2024-09-07 09:25:21:009,777985,777985,0,0,366265679905,3796898150,774094,3536,355,380,391690,0 111,2,2024-09-07 09:25:21:117,558191,558191,0,0,22836058,0,4823 111,3,2024-09-07 09:25:20:916,1,442,1,0,379,5081,442,0 112,0,2024-09-07 09:25:20:918,113272,0.3,112908,0.4,226083,0.2,300680,1.50 112,1,2024-09-07 09:25:20:853,777765,777765,0,0,364830080232,3785047922,773048,4104,613,380,391624,0 112,2,2024-09-07 09:25:21:135,555906,555905,1,0,21958682,0,5036 112,3,2024-09-07 09:25:20:623,1,442,2,0,282,4268,442,0 113,0,2024-09-07 09:25:20:886,111856,0.3,111916,0.5,224314,0.3,298176,1.75 113,1,2024-09-07 09:25:21:686,780109,780109,0,0,367142517272,3785460264,776215,3305,589,366,391661,0 113,2,2024-09-07 09:25:21:333,563101,563101,0,0,20293648,0,3813 113,3,2024-09-07 09:25:20:691,1,442,0,0,340,4801,442,0 114,0,2024-09-07 09:25:20:884,106232,0.3,106908,0.4,212474,0.2,284083,1.75 114,1,2024-09-07 09:25:20:727,777785,777785,0,0,365127429894,3791658075,771499,4670,1616,381,391565,0 114,2,2024-09-07 09:25:20:882,562219,562218,1,0,21343530,0,5069 114,3,2024-09-07 09:25:21:278,1,442,1,0,395,3703,442,0 115,0,2024-09-07 09:25:20:557,110932,0.2,111513,0.4,222684,0.2,296121,1.50 115,1,2024-09-07 09:25:20:578,778089,778089,0,0,364981188564,3792150540,771593,5229,1267,382,391757,0 115,2,2024-09-07 09:25:21:125,561391,561391,0,0,21202255,0,4382 115,3,2024-09-07 09:25:21:011,1,442,23,0,159,2437,442,0 116,0,2024-09-07 09:25:21:863,112181,0.7,112257,0.8,224958,0.7,300460,2.00 116,1,2024-09-07 09:25:20:814,774002,774002,0,0,363949327192,3829944078,765383,6292,2327,380,392089,0 116,2,2024-09-07 09:25:21:751,554642,554642,0,0,26815368,0,4128 116,3,2024-09-07 09:25:20:923,1,442,1,0,415,5085,442,0 117,0,2024-09-07 09:25:20:975,114012,0.8,113379,0.8,227221,0.9,303281,2.00 117,1,2024-09-07 09:25:21:664,775241,775241,0,0,362895978462,3794311907,767478,6847,916,369,392033,0 117,2,2024-09-07 09:25:21:129,561719,561719,0,0,23066054,0,4303 117,3,2024-09-07 09:25:21:066,1,442,2,0,490,6551,442,0 118,0,2024-09-07 09:25:21:767,104765,0.5,107483,0.6,219538,0.4,286283,2.00 118,1,2024-09-07 09:25:20:603,774965,774965,0,0,362341173118,3809184237,763046,8918,3001,366,391907,0 118,2,2024-09-07 09:25:21:588,561474,561474,0,0,25343171,0,2842 118,3,2024-09-07 09:25:21:765,1,442,0,0,248,5121,442,0 119,0,2024-09-07 09:25:21:342,109078,0.5,109261,0.7,218601,0.5,291263,2.00 119,1,2024-09-07 09:25:20:552,775737,775737,0,0,364204396783,3807911457,767244,7375,1118,367,391857,0 119,2,2024-09-07 09:25:21:261,560778,560778,0,0,23982210,0,4174 119,3,2024-09-07 09:25:21:334,1,442,2,0,1358,8497,442,0 120,0,2024-09-07 09:25:21:573,112437,0.6,112091,0.8,224924,0.6,299899,2.25 120,1,2024-09-07 09:25:20:896,775908,775908,0,0,363736184248,3814074687,767528,7610,770,368,392144,0 120,2,2024-09-07 09:25:20:771,555310,555309,1,0,27291403,0,5281 120,3,2024-09-07 09:25:21:301,1,442,1,0,279,5405,442,0 121,0,2024-09-07 09:25:21:734,113994,1.4,113694,1.1,227934,1.9,303886,2.50 121,1,2024-09-07 09:25:21:658,775917,775917,0,0,363762566936,3799471197,769161,6294,462,367,391840,0 121,2,2024-09-07 09:25:21:129,556906,556906,0,0,26260197,0,4127 121,3,2024-09-07 09:25:20:738,1,442,4,0,269,4830,442,0 122,0,2024-09-07 09:25:21:779,109430,0.7,106706,0.8,223778,0.8,293763,2.00 122,1,2024-09-07 09:25:20:873,774569,774569,0,0,363586935725,3812141694,764122,8843,1604,366,392130,0 122,2,2024-09-07 09:25:21:326,562345,562272,73,0,29203478,0,5989 122,3,2024-09-07 09:25:20:604,1,442,4,0,512,7809,442,0 123,0,2024-09-07 09:25:20:958,106942,0.5,104133,0.7,218299,0.5,285805,2.00 123,1,2024-09-07 09:25:20:560,774261,774261,0,0,363835850226,3829979042,760709,11108,2444,369,392039,0 123,2,2024-09-07 09:25:21:019,558172,558171,1,0,24671794,0,5215 123,3,2024-09-07 09:25:21:134,1,442,1,0,478,5141,442,0 124,0,2024-09-07 09:25:20:940,115384,0.3,115464,0.5,217543,0.3,299660,1.75 124,1,2024-09-07 09:25:21:050,777382,777382,0,0,364775064170,3789375389,772096,4235,1051,367,392178,0 124,2,2024-09-07 09:25:21:015,558865,558812,53,0,22382807,0,6487 124,3,2024-09-07 09:25:20:758,1,442,3,0,490,4202,442,0 125,0,2024-09-07 09:25:21:449,112881,0.4,112731,0.6,226180,0.4,301479,1.75 125,1,2024-09-07 09:25:20:895,775854,775854,0,0,365051247379,3809346638,769935,5246,673,382,391702,0 125,2,2024-09-07 09:25:21:117,557446,557446,0,0,23213077,0,4534 125,3,2024-09-07 09:25:21:136,1,442,7,0,709,5443,442,0 126,0,2024-09-07 09:25:21:447,111625,0.4,114829,0.6,219743,0.4,297111,1.75 126,1,2024-09-07 09:25:20:558,778188,778188,0,0,365761307391,3786145278,774179,3715,294,365,391987,0 126,2,2024-09-07 09:25:20:610,562618,562618,0,0,24026853,0,4539 126,3,2024-09-07 09:25:20:912,1,442,1,0,268,5323,442,0 127,0,2024-09-07 09:25:21:596,105541,0.3,105825,0.5,211362,0.2,281046,1.50 127,1,2024-09-07 09:25:20:575,777082,777082,0,0,364148932640,3785972164,769736,6124,1222,364,392187,0 127,2,2024-09-07 09:25:20:641,559643,559643,0,0,21685631,0,3897 127,3,2024-09-07 09:25:21:272,1,442,1,0,968,4656,442,0 128,0,2024-09-07 09:25:21:619,111594,0.3,111718,0.4,223111,0.2,296829,1.50 128,1,2024-09-07 09:25:21:718,776841,776841,0,0,365034497960,3787734672,771925,4483,433,367,392031,0 128,2,2024-09-07 09:25:21:383,560774,560774,0,0,20947311,0,3171 128,3,2024-09-07 09:25:20:771,1,442,20,0,1082,6791,442,0 129,0,2024-09-07 09:25:21:096,113640,0.3,113114,0.5,226607,0.3,301287,1.75 129,1,2024-09-07 09:25:20:578,775001,775001,0,0,363856347892,3811146484,767292,6038,1671,379,391962,0 129,2,2024-09-07 09:25:20:695,556399,556399,0,0,22342237,0,4031 129,3,2024-09-07 09:25:20:694,1,442,1,0,506,6012,442,0 130,0,2024-09-07 09:25:21:725,113450,0.5,112985,0.6,227313,0.5,301483,1.75 130,1,2024-09-07 09:25:20:595,777472,777472,0,0,364686850208,3787837133,773433,3700,339,381,391825,0 130,2,2024-09-07 09:25:21:125,561534,561534,0,0,22871187,0,4067 130,3,2024-09-07 09:25:21:301,1,442,1,0,960,6798,442,0 131,0,2024-09-07 09:25:21:930,106180,0.3,106704,0.5,214238,0.3,283813,1.75 131,1,2024-09-07 09:25:21:823,777070,777070,0,0,364144218947,3801399904,771097,4923,1050,381,391865,0 131,2,2024-09-07 09:25:20:582,563510,563510,0,0,21068518,0,3979 131,3,2024-09-07 09:25:21:693,1,442,1,0,392,4893,442,0 132,0,2024-09-07 09:25:21:605,110088,0.4,110986,0.6,221019,0.3,294349,1.75 132,1,2024-09-07 09:25:20:579,773227,773227,0,0,363248950829,3821935087,762088,9029,2110,381,392532,0 132,2,2024-09-07 09:25:20:703,559447,559430,17,0,27373052,0,6451 132,3,2024-09-07 09:25:21:697,1,442,11,0,804,7615,442,0 133,0,2024-09-07 09:25:21:610,110058,0.4,112654,0.6,231101,0.4,300519,1.75 133,1,2024-09-07 09:25:20:600,773566,773566,0,0,363521615133,3828477991,763015,9036,1515,383,391914,0 133,2,2024-09-07 09:25:21:089,554914,554864,50,0,28700462,0,6861 133,3,2024-09-07 09:25:21:301,1,442,2,0,479,4619,442,0 134,0,2024-09-07 09:25:20:955,114823,0.6,114450,0.7,229160,0.6,305495,2.00 134,1,2024-09-07 09:25:20:593,774802,774802,0,0,363527203906,3809643754,765002,7764,2036,366,391718,0 134,2,2024-09-07 09:25:21:764,556642,556618,24,0,26329347,0,6207 134,3,2024-09-07 09:25:20:749,1,442,68,0,739,5572,442,0 135,0,2024-09-07 09:25:21:103,105494,0.7,105485,0.8,223951,0.8,288487,2.00 135,1,2024-09-07 09:25:21:590,775119,775119,0,0,364170736816,3827493365,765152,8596,1371,380,391805,0 135,2,2024-09-07 09:25:20:691,562052,562052,0,0,25769198,0,3981 135,3,2024-09-07 09:25:21:010,1,442,0,0,299,3770,442,0 136,0,2024-09-07 09:25:21:638,108529,0.5,108679,0.7,216220,0.4,288815,2.00 136,1,2024-09-07 09:25:21:460,776072,776072,0,0,364259960499,3817035036,767498,7610,964,381,391685,0 136,2,2024-09-07 09:25:21:143,561414,561414,0,0,24717144,0,3506 136,3,2024-09-07 09:25:21:128,1,442,3,0,637,5102,442,0 137,0,2024-09-07 09:25:20:960,116756,0.6,113719,0.7,223055,0.6,302732,2.00 137,1,2024-09-07 09:25:20:588,773987,773987,0,0,363678448997,3812538980,762427,9200,2360,366,391898,0 137,2,2024-09-07 09:25:21:708,555108,555108,0,0,27238901,0,3185 137,3,2024-09-07 09:25:20:779,1,442,1,0,484,5342,442,0 138,0,2024-09-07 09:25:21:841,113005,1.0,112888,1.0,226608,1.2,301170,2.25 138,1,2024-09-07 09:25:21:698,775194,775194,0,0,364410307695,3818178075,764948,8522,1724,368,391954,0 138,2,2024-09-07 09:25:20:624,556387,556387,0,0,24765114,0,4988 138,3,2024-09-07 09:25:20:617,1,442,2,0,1160,5750,442,0 139,0,2024-09-07 09:25:21:380,109412,1.5,109525,1.1,219553,2.2,292976,2.50 139,1,2024-09-07 09:25:20:583,771274,771274,0,0,361933662982,3843209223,756595,11310,3369,380,392058,0 139,2,2024-09-07 09:25:20:698,558106,558106,0,0,28782114,0,3097 139,3,2024-09-07 09:25:21:667,1,442,1,0,432,5077,442,0 140,0,2024-09-07 09:25:21:589,106493,0.3,105866,0.5,212505,0.2,283564,1.75 140,1,2024-09-07 09:25:21:537,779284,779284,0,0,366637053927,3777805585,775718,3125,441,365,391606,0 140,2,2024-09-07 09:25:20:692,561250,561249,1,0,21889760,0,5036 140,3,2024-09-07 09:25:20:771,1,442,5,0,297,3645,442,0 141,0,2024-09-07 09:25:21:703,111717,0.3,114722,0.5,219168,0.2,297134,1.50 141,1,2024-09-07 09:25:20:865,778124,778124,0,0,365993856212,3799269728,772432,4735,957,379,391614,0 141,2,2024-09-07 09:25:21:695,559236,559236,0,0,21723709,0,3360 141,3,2024-09-07 09:25:21:048,1,442,7,0,391,4970,442,0 142,0,2024-09-07 09:25:21:346,113417,0.3,112854,0.5,225673,0.3,301269,1.75 142,1,2024-09-07 09:25:20:593,777109,777109,0,0,364877236202,3800827415,772414,4296,399,382,392102,0 142,2,2024-09-07 09:25:21:308,555514,555482,32,0,23666107,0,6028 142,3,2024-09-07 09:25:21:747,1,442,1,0,484,4920,442,0 143,0,2024-09-07 09:25:21:388,111942,0.5,112163,0.6,224379,0.4,298265,1.75 143,1,2024-09-07 09:25:20:567,778071,778071,0,0,365306299760,3789261902,773019,4507,545,367,391705,0 143,2,2024-09-07 09:25:20:777,561275,561275,0,0,22978060,0,3123 143,3,2024-09-07 09:25:21:203,1,442,11,0,462,5418,442,0 144,0,2024-09-07 09:25:21:498,102737,0.5,105473,0.8,215029,0.5,282019,2.00 144,1,2024-09-07 09:25:20:589,774027,774027,0,0,362756094697,3805362454,766312,5881,1834,381,391649,0 144,2,2024-09-07 09:25:21:757,561996,561996,0,0,21484244,0,3673 144,3,2024-09-07 09:25:21:742,1,442,6,0,249,4473,442,0 145,0,2024-09-07 09:25:21:364,107224,0.5,107275,0.7,227614,0.4,293450,2.00 145,1,2024-09-07 09:25:20:560,774134,774134,0,0,363031343213,3814974353,764496,7976,1662,382,391759,0 145,2,2024-09-07 09:25:21:433,557739,557658,81,0,26448187,0,7814 145,3,2024-09-07 09:25:20:906,1,442,4,0,622,6222,442,0 146,0,2024-09-07 09:25:21:653,112568,0.6,112046,0.8,225500,0.6,298976,2.25 146,1,2024-09-07 09:25:21:612,774804,774804,0,0,363823004095,3824057205,762649,9038,3117,367,391770,0 146,2,2024-09-07 09:25:21:698,553900,553900,0,0,25194445,0,3290 146,3,2024-09-07 09:25:21:278,1,442,2,0,1520,8112,442,0 147,0,2024-09-07 09:25:21:711,113722,0.7,113678,0.8,226607,0.7,302589,2.25 147,1,2024-09-07 09:25:21:372,778153,778153,0,0,364977530056,3794267724,771433,5873,847,368,391791,0 147,2,2024-09-07 09:25:21:011,558938,558938,0,0,22873230,0,2968 147,3,2024-09-07 09:25:20:920,1,442,1,0,1626,7561,442,0 0,0,2024-09-07 09:25:31:747,109891,0.6,109833,0.7,233134,0.6,300995,2.00 0,1,2024-09-07 09:25:30:824,777026,777026,0,0,364603976020,3813783513,771552,5085,389,369,391896,0 0,2,2024-09-07 09:25:31:095,558311,558311,0,0,22096580,0,4480 0,3,2024-09-07 09:25:30:982,1,443,11,0,431,6440,443,0 1,0,2024-09-07 09:25:31:768,114304,1.1,113667,1.0,227837,1.6,304744,2.25 1,1,2024-09-07 09:25:30:562,776645,776645,0,0,364551570161,3813371628,770130,5186,1329,370,391859,0 1,2,2024-09-07 09:25:30:639,558343,558343,0,0,22473988,0,3380 1,3,2024-09-07 09:25:31:321,1,443,107,0,268,5433,443,0 2,0,2024-09-07 09:25:31:565,110006,0.6,110072,0.7,219509,0.6,292979,2.00 2,1,2024-09-07 09:25:30:872,779236,779236,0,0,365926112030,3795911266,775945,2996,295,380,391745,0 2,2,2024-09-07 09:25:31:275,564082,564082,0,0,21089335,0,3594 2,3,2024-09-07 09:25:30:694,1,443,5,0,357,4223,443,0 3,0,2024-09-07 09:25:31:758,107675,0.4,107377,0.6,214782,0.3,286071,2.00 3,1,2024-09-07 09:25:31:618,777817,777817,0,0,364733017103,3796107288,771595,5531,691,379,391716,0 3,2,2024-09-07 09:25:31:150,561999,561976,23,0,22212867,0,5851 3,3,2024-09-07 09:25:31:757,1,443,81,0,207,2939,443,0 4,0,2024-09-07 09:25:31:977,109309,0.4,112294,0.5,229136,0.3,300174,1.75 4,1,2024-09-07 09:25:30:610,774967,774967,0,0,363113654239,3834015440,763140,9319,2508,370,391992,0 4,2,2024-09-07 09:25:31:025,556611,556611,0,0,25290544,0,4528 4,3,2024-09-07 09:25:31:044,1,443,8,0,448,5658,443,0 5,0,2024-09-07 09:25:31:386,112962,0.4,113620,0.6,226782,0.4,301530,1.75 5,1,2024-09-07 09:25:30:783,776361,776361,0,0,364144146061,3829726907,765923,8278,2160,367,392005,0 5,2,2024-09-07 09:25:31:845,555340,555340,0,0,25149315,0,3582 5,3,2024-09-07 09:25:31:732,1,443,19,0,457,6079,443,0 6,0,2024-09-07 09:25:30:926,111807,0.4,111410,0.6,222805,0.4,296924,2.00 6,1,2024-09-07 09:25:30:747,777763,777763,0,0,364909382131,3810166471,769551,6819,1393,379,391702,0 6,2,2024-09-07 09:25:31:145,562728,562710,18,0,25067811,0,5535 6,3,2024-09-07 09:25:31:275,1,443,5,0,710,5476,443,0 7,0,2024-09-07 09:25:31:545,105033,0.4,105812,0.6,210705,0.4,280454,1.75 7,1,2024-09-07 09:25:30:851,777009,777009,0,0,364539408771,3820711094,767220,8325,1464,382,391747,0 7,2,2024-09-07 09:25:30:785,562230,562230,0,0,24370174,0,4791 7,3,2024-09-07 09:25:30:861,1,443,1,0,552,4977,443,0 8,0,2024-09-07 09:25:31:442,111681,0.3,111465,0.5,223354,0.3,297776,1.75 8,1,2024-09-07 09:25:31:031,775710,775710,0,0,364229700469,3826645652,764085,8992,2633,366,392853,0 8,2,2024-09-07 09:25:30:798,556376,556376,0,0,28654809,0,3250 8,3,2024-09-07 09:25:30:587,1,443,0,0,538,7111,443,0 9,0,2024-09-07 09:25:31:212,113069,0.4,109836,0.5,229847,0.3,301163,1.75 9,1,2024-09-07 09:25:30:562,775396,775396,0,0,364179011876,3832595771,764314,8661,2421,369,392001,0 9,2,2024-09-07 09:25:31:110,556404,556404,0,0,25442494,0,3360 9,3,2024-09-07 09:25:31:868,1,443,3,0,496,6220,443,0 10,0,2024-09-07 09:25:31:601,112737,0.4,112253,0.5,225421,0.3,299840,1.75 10,1,2024-09-07 09:25:30:590,777138,777138,0,0,364573474536,3819144582,766645,8869,1624,381,391741,0 10,2,2024-09-07 09:25:30:764,560984,560984,0,0,28109613,0,4264 10,3,2024-09-07 09:25:30:878,1,443,1,0,649,4635,443,0 11,0,2024-09-07 09:25:31:049,106245,0.4,103049,0.6,215561,0.4,284128,1.75 11,1,2024-09-07 09:25:30:577,777621,777621,0,0,365360313382,3829423634,766292,8702,2627,383,391756,0 11,2,2024-09-07 09:25:31:150,562097,562097,0,0,24714519,0,4130 11,3,2024-09-07 09:25:31:303,1,443,0,0,843,6247,443,0 12,0,2024-09-07 09:25:31:004,111101,0.4,111180,0.5,222458,0.3,295566,1.75 12,1,2024-09-07 09:25:30:937,777468,777468,0,0,364958311510,3802464533,771895,5070,503,370,391870,0 12,2,2024-09-07 09:25:31:546,560955,560955,0,0,24119174,0,3469 12,3,2024-09-07 09:25:31:077,1,443,1,0,386,6386,443,0 13,0,2024-09-07 09:25:31:463,114088,0.4,113605,0.6,226701,0.4,301956,1.75 13,1,2024-09-07 09:25:31:539,775790,775790,0,0,363957313755,3823538213,768158,5998,1634,382,391740,0 13,2,2024-09-07 09:25:30:597,558577,558577,0,0,22092073,0,3287 13,3,2024-09-07 09:25:31:869,1,443,36,0,522,6386,443,0 14,0,2024-09-07 09:25:30:578,114340,0.4,115397,0.6,228217,0.4,304280,1.75 14,1,2024-09-07 09:25:31:570,781243,781243,0,0,366422014177,3792830251,775021,5513,709,364,391673,0 14,2,2024-09-07 09:25:30:764,559839,559809,30,0,24239054,0,6104 14,3,2024-09-07 09:25:31:115,1,443,0,0,1168,4746,443,0 15,0,2024-09-07 09:25:31:555,108817,0.4,108681,0.6,217864,0.4,289798,2.00 15,1,2024-09-07 09:25:31:616,778627,778627,0,0,365663435505,3806821359,772400,5048,1179,381,391619,0 15,2,2024-09-07 09:25:31:020,565289,565289,0,0,20178261,0,3622 15,3,2024-09-07 09:25:31:416,1,443,8,0,1126,7005,443,0 16,0,2024-09-07 09:25:30:974,108466,0.5,109012,0.7,217226,0.4,289367,2.00 16,1,2024-09-07 09:25:30:575,778252,778252,0,0,364612256676,3810216846,771642,5495,1115,370,391917,0 16,2,2024-09-07 09:25:31:436,561415,561415,0,0,23802578,0,4719 16,3,2024-09-07 09:25:31:163,1,443,2,0,317,5461,443,0 17,0,2024-09-07 09:25:31:927,116721,0.6,114149,0.8,223093,0.6,302664,2.00 17,1,2024-09-07 09:25:30:578,776556,776556,0,0,364222152164,3819130449,768884,6154,1518,368,392075,0 17,2,2024-09-07 09:25:31:674,559823,559823,0,0,23486491,0,3779 17,3,2024-09-07 09:25:30:586,1,443,1,0,518,6952,443,0 18,0,2024-09-07 09:25:30:947,112615,0.7,113054,0.8,225461,0.7,301361,2.25 18,1,2024-09-07 09:25:31:639,779695,779695,0,0,366059188538,3791033497,775691,3624,380,367,391725,0 18,2,2024-09-07 09:25:31:873,560951,560951,0,0,20892063,0,3541 18,3,2024-09-07 09:25:30:903,1,443,0,0,163,3312,443,0 19,0,2024-09-07 09:25:31:546,110013,0.6,110513,0.8,219266,0.6,291969,2.25 19,1,2024-09-07 09:25:30:575,779914,779914,0,0,366105232397,3794725993,773964,5029,921,367,391777,0 19,2,2024-09-07 09:25:31:849,565915,565915,0,0,19892862,0,3988 19,3,2024-09-07 09:25:31:137,1,443,1,0,524,3498,443,0 20,0,2024-09-07 09:25:31:391,106415,0.4,106447,0.6,212643,0.4,284232,2.00 20,1,2024-09-07 09:25:30:599,777106,777106,0,0,364911562742,3813907179,770385,5920,801,369,391922,0 20,2,2024-09-07 09:25:30:941,560980,560980,0,0,23765172,0,3721 20,3,2024-09-07 09:25:30:603,1,443,2,0,414,6335,443,0 21,0,2024-09-07 09:25:31:201,111682,0.4,111801,0.6,223547,0.4,296721,1.75 21,1,2024-09-07 09:25:31:540,775534,775534,0,0,364205459008,3836061389,764563,8490,2481,368,392016,0 21,2,2024-09-07 09:25:31:075,556993,556973,20,0,28817564,0,5617 21,3,2024-09-07 09:25:31:417,1,443,55,0,713,5847,443,0 22,0,2024-09-07 09:25:31:756,112969,0.5,113391,0.7,226327,0.4,300410,2.00 22,1,2024-09-07 09:25:31:055,776768,776768,0,0,364755329611,3829097970,765613,8928,2227,382,391667,0 22,2,2024-09-07 09:25:30:775,556273,556247,26,0,22880925,0,6328 22,3,2024-09-07 09:25:31:078,1,443,7,0,228,3573,443,0 23,0,2024-09-07 09:25:31:414,111702,0.5,111052,0.7,222665,0.5,296642,2.25 23,1,2024-09-07 09:25:31:003,777676,777676,0,0,365334528790,3832122845,764566,9056,4054,365,391690,0 23,2,2024-09-07 09:25:31:092,563757,563757,0,0,22479395,0,3773 23,3,2024-09-07 09:25:31:872,1,443,0,0,720,5596,443,0 24,0,2024-09-07 09:25:30:873,106904,0.4,106324,0.5,213773,0.3,283981,1.75 24,1,2024-09-07 09:25:30:604,776853,776853,0,0,363855124220,3811208531,768845,6414,1594,367,392269,0 24,2,2024-09-07 09:25:31:086,561807,561807,0,0,27121373,0,3607 24,3,2024-09-07 09:25:31:696,1,443,9,0,468,5891,443,0 25,0,2024-09-07 09:25:31:427,114311,0.4,111309,0.5,218318,0.3,297674,1.75 25,1,2024-09-07 09:25:30:576,776272,776272,0,0,364459707341,3837316470,764242,9779,2251,371,391928,0 25,2,2024-09-07 09:25:31:619,559103,559103,0,0,27761329,0,3978 25,3,2024-09-07 09:25:31:019,1,443,1,0,532,4932,443,0 26,0,2024-09-07 09:25:31:735,112561,0.4,109915,0.6,230743,0.4,300042,2.00 26,1,2024-09-07 09:25:31:542,778278,778278,0,0,364878872672,3822947722,766644,9225,2409,380,391748,0 26,2,2024-09-07 09:25:30:867,557100,557100,0,0,27697390,0,2809 26,3,2024-09-07 09:25:31:722,1,443,1,0,796,5205,443,0 27,0,2024-09-07 09:25:31:733,113977,0.5,114129,0.6,227063,0.4,302846,2.25 27,1,2024-09-07 09:25:31:676,779327,779327,0,0,366263254864,3812500178,772056,6369,902,381,391626,0 27,2,2024-09-07 09:25:30:867,558029,557964,65,0,25359409,0,5699 27,3,2024-09-07 09:25:31:019,1,443,1,0,564,4133,443,0 28,0,2024-09-07 09:25:31:404,108061,0.4,107751,0.6,216139,0.3,287465,1.75 28,1,2024-09-07 09:25:30:801,778561,778561,0,0,365776659268,3815603014,771366,5496,1699,382,391698,0 28,2,2024-09-07 09:25:31:873,563396,563396,0,0,23229394,0,2915 28,3,2024-09-07 09:25:31:870,1,443,1,0,502,4758,443,0 29,0,2024-09-07 09:25:31:387,112740,0.3,109826,0.5,215451,0.2,293589,1.75 29,1,2024-09-07 09:25:31:567,781100,781100,0,0,366548295563,3791145201,776232,4133,735,368,391809,0 29,2,2024-09-07 09:25:30:867,560973,560973,0,0,21952049,0,4986 29,3,2024-09-07 09:25:30:968,1,443,66,0,459,5123,443,0 30,0,2024-09-07 09:25:31:469,112723,0.5,109568,0.7,229435,0.5,299888,2.00 30,1,2024-09-07 09:25:30:572,779731,779731,0,0,366404267085,3803205012,773662,5303,766,381,391672,0 30,2,2024-09-07 09:25:31:278,557741,557741,0,0,21354346,0,4192 30,3,2024-09-07 09:25:30:596,1,443,1,0,519,4264,443,0 31,0,2024-09-07 09:25:31:759,113889,0.5,114294,0.7,228398,0.5,304784,2.00 31,1,2024-09-07 09:25:30:563,783495,783495,0,0,367648617143,3767117619,780389,2520,586,356,391712,0 31,2,2024-09-07 09:25:31:276,558546,558546,0,0,23136018,0,3525 31,3,2024-09-07 09:25:31:711,1,443,1,0,220,3802,443,0 32,0,2024-09-07 09:25:31:432,110363,0.3,111020,0.5,220992,0.2,294746,1.50 32,1,2024-09-07 09:25:30:804,779553,779553,0,0,365929611740,3804475048,774432,4439,682,381,391646,0 32,2,2024-09-07 09:25:30:941,564888,564888,0,0,20808725,0,3922 32,3,2024-09-07 09:25:31:030,1,443,0,0,304,3435,443,0 33,0,2024-09-07 09:25:31:506,107745,0.3,107438,0.4,215291,0.2,286644,1.50 33,1,2024-09-07 09:25:30:586,780371,780371,0,0,366688890611,3800260912,773856,5410,1105,368,391730,0 33,2,2024-09-07 09:25:30:763,562463,562428,35,0,23142090,0,7012 33,3,2024-09-07 09:25:30:903,1,443,0,0,329,4164,443,0 34,0,2024-09-07 09:25:30:935,113001,0.3,116067,0.4,221893,0.2,299585,1.75 34,1,2024-09-07 09:25:31:054,781684,781684,0,0,367751446954,3779356318,779940,1732,12,367,391562,0 34,2,2024-09-07 09:25:30:786,559462,559462,0,0,21421509,0,4562 34,3,2024-09-07 09:25:31:705,1,443,1,0,299,3283,443,0 35,0,2024-09-07 09:25:30:873,112494,0.3,113065,0.5,227154,0.3,302338,1.75 35,1,2024-09-07 09:25:31:074,779262,779262,0,0,365793707665,3789832184,774682,3722,858,382,391769,0 35,2,2024-09-07 09:25:31:587,557949,557949,0,0,23234586,0,4055 35,3,2024-09-07 09:25:30:913,1,443,1,0,418,4530,443,0 36,0,2024-09-07 09:25:31:526,111992,0.5,111835,0.7,223647,0.4,297393,2.00 36,1,2024-09-07 09:25:30:589,778825,778825,0,0,365189233644,3810354732,769176,7649,2000,366,391759,0 36,2,2024-09-07 09:25:31:756,564018,564018,0,0,24357176,0,3875 36,3,2024-09-07 09:25:30:871,1,443,1,0,416,6113,443,0 37,0,2024-09-07 09:25:31:539,105169,0.4,105460,0.6,210511,0.4,280862,2.00 37,1,2024-09-07 09:25:30:584,778168,778161,0,7,364516374569,3803495904,769338,6547,2276,365,391770,0 37,2,2024-09-07 09:25:31:156,559879,559864,15,0,24212073,0,5815 37,3,2024-09-07 09:25:31:864,1,443,4,0,888,6610,443,0 38,0,2024-09-07 09:25:31:436,110951,0.4,107683,0.6,225317,0.3,295479,2.00 38,1,2024-09-07 09:25:31:607,779226,779226,0,0,365781883616,3819935444,768478,8587,2161,368,391821,0 38,2,2024-09-07 09:25:30:792,560269,560222,47,0,23958726,0,6710 38,3,2024-09-07 09:25:31:008,1,443,2,0,689,5480,443,0 39,0,2024-09-07 09:25:31:855,115492,0.6,112986,0.7,220317,0.6,300291,2.00 39,1,2024-09-07 09:25:30:716,777658,777658,0,0,365273915537,3825480388,765213,9754,2691,365,391658,0 39,2,2024-09-07 09:25:31:421,557586,557586,0,0,22798954,0,3391 39,3,2024-09-07 09:25:30:727,1,443,2,0,324,4966,443,0 40,0,2024-09-07 09:25:31:521,111665,0.8,112266,1.0,223923,0.9,298417,2.75 40,1,2024-09-07 09:25:30:590,778399,778399,0,0,364928560536,3818608896,767577,8778,2044,368,391668,0 40,2,2024-09-07 09:25:31:312,560571,560570,1,0,26608550,0,5137 40,3,2024-09-07 09:25:31:157,1,443,0,0,1028,6010,443,0 41,0,2024-09-07 09:25:31:047,105921,1.3,108258,1.2,206490,1.9,280733,3.00 41,1,2024-09-07 09:25:30:770,776960,776960,0,0,364854090281,3812915227,767776,8144,1040,369,391742,0 41,2,2024-09-07 09:25:30:763,560951,560951,0,0,25447850,0,4277 41,3,2024-09-07 09:25:31:684,1,443,2,0,366,4489,443,0 42,0,2024-09-07 09:25:31:477,109925,0.7,109909,0.9,219841,0.7,291606,2.50 42,1,2024-09-07 09:25:31:441,775316,775316,0,0,364571966767,3827762922,763229,10056,2031,380,391675,0 42,2,2024-09-07 09:25:31:141,560082,560082,0,0,25575415,0,3790 42,3,2024-09-07 09:25:31:014,1,443,1,0,892,4285,443,0 43,0,2024-09-07 09:25:30:921,112096,0.7,109129,0.9,228493,0.8,299274,2.25 43,1,2024-09-07 09:25:30:581,778689,778689,0,0,365876636017,3819536039,768307,8643,1739,366,391696,0 43,2,2024-09-07 09:25:31:739,557211,557211,0,0,25144148,0,3812 43,3,2024-09-07 09:25:31:874,1,443,1,0,548,5759,443,0 44,0,2024-09-07 09:25:30:862,114475,0.5,114618,0.6,229796,0.4,304937,2.00 44,1,2024-09-07 09:25:30:563,779974,779974,0,0,366046862904,3782192556,773472,5184,1318,356,391809,0 44,2,2024-09-07 09:25:31:276,558264,558264,0,0,21311019,0,4344 44,3,2024-09-07 09:25:31:101,1,443,0,0,817,5122,443,0 45,0,2024-09-07 09:25:31:769,107726,0.5,105206,0.7,220660,0.4,290424,2.00 45,1,2024-09-07 09:25:31:022,779208,779208,0,0,366378349966,3805300266,773546,5088,574,382,391917,0 45,2,2024-09-07 09:25:31:276,564823,564823,0,0,21584494,0,3596 45,3,2024-09-07 09:25:30:943,1,443,41,0,271,3766,443,0 46,0,2024-09-07 09:25:30:952,108109,0.4,107825,0.6,216205,0.4,287253,2.00 46,1,2024-09-07 09:25:30:581,780813,780813,0,0,366491197452,3784327868,776097,4124,592,366,391709,0 46,2,2024-09-07 09:25:30:598,561949,561949,0,0,21716496,0,4443 46,3,2024-09-07 09:25:31:135,1,443,1,0,908,5491,443,0 47,0,2024-09-07 09:25:31:108,113640,0.4,113937,0.6,227852,0.4,301736,1.75 47,1,2024-09-07 09:25:30:582,781586,781586,0,0,365872982398,3779933433,776709,4039,838,366,391641,0 47,2,2024-09-07 09:25:30:914,560058,560058,0,0,21225388,0,4477 47,3,2024-09-07 09:25:31:124,1,443,0,0,600,5275,443,0 48,0,2024-09-07 09:25:31:508,114187,0.3,114216,0.4,227368,0.2,303426,1.50 48,1,2024-09-07 09:25:31:025,779462,779462,0,0,366841885646,3807833650,774749,4257,456,384,391710,0 48,2,2024-09-07 09:25:30:707,558560,558560,0,0,19897724,0,3411 48,3,2024-09-07 09:25:30:762,1,443,0,0,339,3506,443,0 49,0,2024-09-07 09:25:31:747,113388,0.4,111482,0.5,216202,0.3,295913,1.75 49,1,2024-09-07 09:25:31:025,779152,779152,0,0,365891918332,3804637435,773994,3951,1207,382,391809,0 49,2,2024-09-07 09:25:31:845,564401,564401,0,0,21968697,0,4426 49,3,2024-09-07 09:25:31:424,1,443,10,0,992,5262,443,0 50,0,2024-09-07 09:25:31:507,107121,0.3,105469,0.4,212890,0.2,284076,1.75 50,1,2024-09-07 09:25:31:014,781601,781601,0,0,366707648337,3789548186,776669,4358,574,368,391565,0 50,2,2024-09-07 09:25:31:080,561754,561754,0,0,20114573,0,4490 50,3,2024-09-07 09:25:31:294,1,443,1,0,567,4376,443,0 51,0,2024-09-07 09:25:31:688,114499,0.3,112252,0.4,218869,0.2,298244,1.75 51,1,2024-09-07 09:25:31:680,781713,781713,0,0,367326028914,3786272006,777758,2906,1049,365,391706,0 51,2,2024-09-07 09:25:31:318,559980,559980,0,0,19100568,0,3337 51,3,2024-09-07 09:25:31:030,1,443,0,0,678,3530,443,0 52,0,2024-09-07 09:25:31:432,113331,0.5,113212,0.7,226610,0.5,301291,2.00 52,1,2024-09-07 09:25:30:577,778433,778433,0,0,364602889641,3810805947,768091,8989,1353,368,391722,0 52,2,2024-09-07 09:25:31:867,554767,554729,38,0,25419048,0,6742 52,3,2024-09-07 09:25:30:675,1,443,1,0,1782,5921,443,0 53,0,2024-09-07 09:25:31:743,111239,0.6,107996,0.8,225901,0.7,296463,2.25 53,1,2024-09-07 09:25:30:770,776548,776548,0,0,365158790633,3825866461,764625,8963,2960,367,391702,0 53,2,2024-09-07 09:25:31:309,562909,562908,1,0,23119820,0,5455 53,3,2024-09-07 09:25:30:703,1,443,1,0,308,3985,443,0 54,0,2024-09-07 09:25:31:617,104796,0.5,105080,0.7,209227,0.4,280012,2.25 54,1,2024-09-07 09:25:30:583,778799,778799,0,0,366003722062,3801622252,771306,6252,1241,366,391659,0 54,2,2024-09-07 09:25:30:871,562535,562503,32,0,26464442,0,6397 54,3,2024-09-07 09:25:30:763,1,443,1,0,676,6264,443,0 55,0,2024-09-07 09:25:31:762,107623,0.5,111088,0.7,225000,0.4,293417,2.25 55,1,2024-09-07 09:25:30:766,779326,779326,0,0,365259504674,3792265004,771689,6542,1095,365,391731,0 55,2,2024-09-07 09:25:30:740,560165,560109,56,0,25341446,0,7239 55,3,2024-09-07 09:25:30:685,1,443,1,0,304,4362,443,0 56,0,2024-09-07 09:25:31:566,115029,1.3,108570,1.2,223630,1.8,299176,2.75 56,1,2024-09-07 09:25:30:578,774950,774950,0,0,364176804349,3842195229,763428,9148,2374,381,391867,0 56,2,2024-09-07 09:25:31:316,556419,556297,122,0,26148297,0,7432 56,3,2024-09-07 09:25:31:077,1,443,1,0,705,5284,443,0 57,0,2024-09-07 09:25:30:949,112082,1.7,111750,1.3,224333,2.3,299970,3.25 57,1,2024-09-07 09:25:30:987,777342,777342,0,0,363757388436,3808537034,768731,7524,1087,366,392032,0 57,2,2024-09-07 09:25:31:317,560546,560546,0,0,27087290,0,3317 57,3,2024-09-07 09:25:31:745,1,443,0,0,455,5011,443,0 58,0,2024-09-07 09:25:30:566,106319,0.8,103261,0.9,216096,0.9,282590,2.50 58,1,2024-09-07 09:25:30:577,777603,777600,0,3,365800333736,3828077675,766481,8815,2304,367,391603,3 58,2,2024-09-07 09:25:31:078,562646,562646,0,0,25172070,0,2902 58,3,2024-09-07 09:25:31:078,1,443,1,0,1043,4671,443,0 59,0,2024-09-07 09:25:31:776,109155,0.7,108690,0.9,217212,0.7,288617,2.50 59,1,2024-09-07 09:25:30:806,777478,777478,0,0,364943569255,3819171700,767255,8414,1809,369,391653,0 59,2,2024-09-07 09:25:30:583,560364,560364,0,0,25021401,0,3727 59,3,2024-09-07 09:25:31:741,1,443,1,0,1015,5776,443,0 60,0,2024-09-07 09:25:31:822,112944,0.5,112888,0.7,226616,0.5,300775,1.75 60,1,2024-09-07 09:25:30:790,780030,780030,0,0,366739506013,3804727902,774878,4430,722,370,392031,0 60,2,2024-09-07 09:25:31:168,557621,557621,0,0,23284911,0,3811 60,3,2024-09-07 09:25:31:266,1,443,6,0,409,5064,443,0 61,0,2024-09-07 09:25:31:516,114011,0.7,114638,0.8,228304,0.7,304521,2.00 61,1,2024-09-07 09:25:30:786,778005,778005,0,0,365236465057,3823855986,769683,6891,1431,382,392127,0 61,2,2024-09-07 09:25:31:153,558697,558630,67,0,23710513,0,6411 61,3,2024-09-07 09:25:31:693,1,443,2,0,479,5840,443,0 62,0,2024-09-07 09:25:31:724,110816,0.5,113614,0.7,216829,0.5,294594,2.00 62,1,2024-09-07 09:25:31:145,782522,782516,0,6,367351747859,3786186953,778700,3555,261,365,391975,6 62,2,2024-09-07 09:25:31:648,562367,562366,1,0,23982619,0,5555 62,3,2024-09-07 09:25:31:159,1,443,0,0,482,3788,443,0 63,0,2024-09-07 09:25:31:464,107825,0.4,107662,0.5,216025,0.3,286967,1.75 63,1,2024-09-07 09:25:30:806,780184,780178,0,6,366123549377,3799722962,775195,4250,733,381,391800,6 63,2,2024-09-07 09:25:30:776,561842,561842,0,0,21762248,0,4369 63,3,2024-09-07 09:25:31:744,1,443,11,0,667,4455,443,0 64,0,2024-09-07 09:25:31:527,112294,0.5,112362,0.6,224050,0.4,298743,1.75 64,1,2024-09-07 09:25:30:752,779179,779179,0,0,366144967585,3812371112,772496,4980,1703,370,391783,0 64,2,2024-09-07 09:25:31:143,562429,562410,19,0,21479490,0,6121 64,3,2024-09-07 09:25:31:143,1,443,1,0,265,4239,443,0 65,0,2024-09-07 09:25:31:700,112270,0.7,112574,0.8,224810,0.8,299756,2.25 65,1,2024-09-07 09:25:30:867,777267,777267,0,0,364486164916,3807023656,772152,4575,540,381,391901,0 65,2,2024-09-07 09:25:31:693,558072,558072,0,0,25311855,0,3367 65,3,2024-09-07 09:25:31:683,1,443,1,0,782,5435,443,0 66,0,2024-09-07 09:25:31:776,111430,0.5,111166,0.7,222280,0.5,295765,2.25 66,1,2024-09-07 09:25:31:295,779332,779332,0,0,365414182231,3804944969,773832,4974,526,380,391743,0 66,2,2024-09-07 09:25:31:144,564921,564921,0,0,22155454,0,4956 66,3,2024-09-07 09:25:31:079,1,443,0,0,291,3749,443,0 67,0,2024-09-07 09:25:31:440,105764,0.4,105580,0.6,211736,0.4,281566,2.00 67,1,2024-09-07 09:25:30:766,779658,779657,0,1,366205589422,3812097512,774299,4564,794,380,391787,1 67,2,2024-09-07 09:25:30:583,564040,564040,0,0,21212150,0,3622 67,3,2024-09-07 09:25:31:751,1,443,9,0,392,4274,443,0 68,0,2024-09-07 09:25:30:584,111745,0.5,111740,0.7,222332,0.5,297591,2.00 68,1,2024-09-07 09:25:30:594,776719,776719,0,0,364293657143,3828136693,766657,7116,2946,381,391953,0 68,2,2024-09-07 09:25:31:055,557910,557810,100,0,28068069,0,8578 68,3,2024-09-07 09:25:30:743,1,443,8,0,417,5004,443,0 69,0,2024-09-07 09:25:31:770,112311,0.8,113055,0.8,225175,0.8,298708,2.25 69,1,2024-09-07 09:25:31:039,775901,775901,0,0,363606264586,3828313679,766126,7504,2271,384,391994,0 69,2,2024-09-07 09:25:31:748,556479,556450,29,0,29561792,0,6912 69,3,2024-09-07 09:25:30:763,1,443,1,0,698,6692,443,0 70,0,2024-09-07 09:25:31:540,111616,1.0,111820,1.1,225009,0.9,297766,2.50 70,1,2024-09-07 09:25:30:807,779874,779874,0,0,366980959392,3805461867,773893,5320,661,366,391725,0 70,2,2024-09-07 09:25:31:331,561445,561445,0,0,24765523,0,4323 70,3,2024-09-07 09:25:30:752,1,443,2,0,854,5026,443,0 71,0,2024-09-07 09:25:31:365,105448,0.7,105132,0.9,211331,0.8,282014,2.50 71,1,2024-09-07 09:25:31:598,778635,778635,0,0,365165358068,3805580521,770193,7639,803,368,391738,0 71,2,2024-09-07 09:25:31:079,561942,561942,0,0,24791454,0,4352 71,3,2024-09-07 09:25:31:749,1,443,1,0,644,5621,443,0 72,0,2024-09-07 09:25:31:024,114017,0.5,111567,0.7,217462,0.4,295984,2.00 72,1,2024-09-07 09:25:31:122,776971,776971,0,0,364674025805,3822845468,766101,8860,2010,369,391819,0 72,2,2024-09-07 09:25:31:754,560024,560024,0,0,27193002,0,3983 72,3,2024-09-07 09:25:31:875,1,443,0,0,564,6602,443,0 73,0,2024-09-07 09:25:31:159,110301,0.4,113074,0.6,231112,0.4,300228,2.00 73,1,2024-09-07 09:25:30:786,778804,778804,0,0,365491826112,3794516298,773460,4935,409,367,391858,0 73,2,2024-09-07 09:25:31:747,556678,556678,0,0,26554139,0,3701 73,3,2024-09-07 09:25:30:982,1,443,1,0,486,5776,443,0 74,0,2024-09-07 09:25:31:348,115303,0.5,117911,0.7,224798,0.5,305218,2.25 74,1,2024-09-07 09:25:30:636,777828,777828,0,0,365677433096,3814522271,769859,6374,1595,381,391762,0 74,2,2024-09-07 09:25:31:022,558871,558871,0,0,24407899,0,4253 74,3,2024-09-07 09:25:31:442,1,443,7,0,522,5605,443,0 75,0,2024-09-07 09:25:31:872,109574,0.5,108860,0.7,218431,0.4,291466,2.25 75,1,2024-09-07 09:25:31:590,778773,778773,0,0,365487937832,3809430885,771920,6025,828,380,391739,0 75,2,2024-09-07 09:25:31:372,562726,562726,0,0,27266178,0,4766 75,3,2024-09-07 09:25:31:077,1,443,1,0,702,5751,443,0 76,0,2024-09-07 09:25:30:632,108071,0.5,107275,0.7,215369,0.5,288038,2.25 76,1,2024-09-07 09:25:30:805,778564,778564,0,0,364714032849,3803246050,773133,4605,826,382,391692,0 76,2,2024-09-07 09:25:31:079,563877,563876,1,0,23845243,0,5144 76,3,2024-09-07 09:25:31:150,1,443,2,0,175,4070,443,0 77,0,2024-09-07 09:25:31:700,113011,0.5,113361,0.7,226894,0.5,300749,2.00 77,1,2024-09-07 09:25:30:843,778896,778896,0,0,365419429708,3811496080,773057,5332,507,381,391869,0 77,2,2024-09-07 09:25:31:295,557563,557563,0,0,23260361,0,3890 77,3,2024-09-07 09:25:31:109,1,443,0,0,401,4866,443,0 78,0,2024-09-07 09:25:31:730,113961,0.5,113389,0.6,227646,0.4,302050,2.00 78,1,2024-09-07 09:25:30:632,779447,779447,0,0,365148722222,3802199019,771577,6301,1569,367,391670,0 78,2,2024-09-07 09:25:31:425,559389,559376,13,0,22067660,0,8313 78,3,2024-09-07 09:25:31:140,1,443,1,0,181,3751,443,0 79,0,2024-09-07 09:25:31:371,106702,0.4,109274,0.6,223745,0.4,290928,2.25 79,1,2024-09-07 09:25:30:571,780409,780409,0,0,365574196692,3788233011,773781,5319,1309,367,391682,0 79,2,2024-09-07 09:25:31:083,564441,564441,0,0,21578447,0,4195 79,3,2024-09-07 09:25:30:774,1,443,1,0,418,5499,443,0 80,0,2024-09-07 09:25:31:089,106519,0.5,109490,0.6,209451,0.4,283649,2.00 80,1,2024-09-07 09:25:31:619,778703,778703,0,0,365555234911,3805988102,773144,5136,423,368,392269,0 80,2,2024-09-07 09:25:31:097,563600,563600,0,0,21763630,0,4433 80,3,2024-09-07 09:25:30:590,1,443,30,0,190,5058,443,0 81,0,2024-09-07 09:25:31:588,111824,0.5,114527,0.7,218661,0.5,297008,2.00 81,1,2024-09-07 09:25:31:671,777553,777553,0,0,364789956294,3810015029,771537,5475,541,382,391879,0 81,2,2024-09-07 09:25:31:137,558753,558690,63,0,24101369,0,5932 81,3,2024-09-07 09:25:31:125,1,443,3,0,719,5268,443,0 82,0,2024-09-07 09:25:31:540,112680,0.5,112867,0.7,226452,0.5,300629,2.00 82,1,2024-09-07 09:25:30:584,780101,780097,0,4,365682302789,3802346484,775398,3880,819,381,391768,4 82,2,2024-09-07 09:25:31:691,558894,558894,0,0,20534405,0,4484 82,3,2024-09-07 09:25:31:874,1,443,3,0,363,4609,443,0 83,0,2024-09-07 09:25:31:523,111967,0.6,111952,0.8,223283,0.7,296718,2.25 83,1,2024-09-07 09:25:30:559,777928,777928,0,0,364826373671,3803083822,772395,5083,450,382,391709,0 83,2,2024-09-07 09:25:30:769,562823,562823,0,0,21285441,0,3393 83,3,2024-09-07 09:25:30:788,1,443,9,0,1260,5638,443,0 84,0,2024-09-07 09:25:31:950,105662,0.6,105606,0.8,210543,0.5,282438,2.25 84,1,2024-09-07 09:25:31:040,777749,777749,0,0,365238547417,3812292098,770041,6664,1044,367,391967,0 84,2,2024-09-07 09:25:30:591,561473,561443,30,0,28509705,0,5971 84,3,2024-09-07 09:25:31:143,1,443,6,0,908,6451,443,0 85,0,2024-09-07 09:25:31:078,107253,0.5,107177,0.7,227538,0.5,294846,2.00 85,1,2024-09-07 09:25:30:580,775443,775443,0,0,364114064255,3837448782,764621,8902,1920,381,392006,0 85,2,2024-09-07 09:25:30:872,559762,559762,0,0,26406917,0,3656 85,3,2024-09-07 09:25:30:693,1,443,3,0,789,5281,443,0 86,0,2024-09-07 09:25:30:881,112516,0.7,115888,0.8,221690,0.7,299658,2.25 86,1,2024-09-07 09:25:30:833,777862,777862,0,0,365075056846,3819502897,769177,7242,1443,366,391961,0 86,2,2024-09-07 09:25:30:865,554747,554746,1,0,28504545,0,5004 86,3,2024-09-07 09:25:30:590,1,443,1,0,308,6257,443,0 87,0,2024-09-07 09:25:31:308,113691,1.0,113481,0.9,227007,1.3,303264,2.50 87,1,2024-09-07 09:25:30:558,776484,776484,0,0,364077388009,3812832062,766524,8366,1594,366,392076,0 87,2,2024-09-07 09:25:31:069,559611,559605,6,0,25274296,0,6323 87,3,2024-09-07 09:25:31:844,1,443,2,0,473,6798,443,0 88,0,2024-09-07 09:25:31:461,107726,0.4,108552,0.6,216281,0.4,287327,1.75 88,1,2024-09-07 09:25:30:581,776284,776284,0,0,364551460896,3815606237,766556,7719,2009,365,392084,0 88,2,2024-09-07 09:25:30:691,563524,563524,0,0,27829571,0,4465 88,3,2024-09-07 09:25:31:277,1,443,8,0,435,5300,443,0 89,0,2024-09-07 09:25:31:889,112685,0.4,109093,0.6,215962,0.4,293626,1.75 89,1,2024-09-07 09:25:30:579,776132,776132,0,0,364454326391,3828182585,766946,7756,1430,382,391866,0 89,2,2024-09-07 09:25:31:136,560560,560560,0,0,26148732,0,3173 89,3,2024-09-07 09:25:31:844,1,443,1,0,468,7584,443,0 90,0,2024-09-07 09:25:31:629,109760,0.5,112786,0.6,230014,0.4,299772,2.00 90,1,2024-09-07 09:25:30:597,777069,777069,0,0,364956063682,3817461497,770291,6265,513,380,391825,0 90,2,2024-09-07 09:25:31:420,555484,555484,0,0,27394035,0,3060 90,3,2024-09-07 09:25:30:932,1,443,1,0,322,5360,443,0 91,0,2024-09-07 09:25:30:943,114874,0.5,111174,0.7,232230,0.5,305220,1.75 91,1,2024-09-07 09:25:30:560,776032,776032,0,0,364409478742,3828163932,766765,7862,1405,381,392047,0 91,2,2024-09-07 09:25:31:337,559830,559830,0,0,24296177,0,2896 91,3,2024-09-07 09:25:30:600,1,443,3,0,216,4297,443,0 92,0,2024-09-07 09:25:31:482,111181,0.4,113983,0.6,217591,0.4,294866,1.75 92,1,2024-09-07 09:25:30:590,778360,778360,0,0,365010266327,3809396946,772816,4818,726,381,392136,0 92,2,2024-09-07 09:25:31:366,564487,564487,0,0,22028958,0,3259 92,3,2024-09-07 09:25:31:093,1,443,2,0,167,3839,443,0 93,0,2024-09-07 09:25:31:043,108286,0.3,110910,0.5,211721,0.3,287082,1.75 93,1,2024-09-07 09:25:30:851,777942,777942,0,0,364933381189,3808162771,769773,6783,1386,366,391776,0 93,2,2024-09-07 09:25:30:941,561547,561547,0,0,26742760,0,4845 93,3,2024-09-07 09:25:31:423,1,443,1,0,190,3921,443,0 94,0,2024-09-07 09:25:31:603,112245,0.3,113234,0.5,225937,0.3,300366,1.75 94,1,2024-09-07 09:25:30:563,778342,778342,0,0,365432838640,3809974188,773542,4590,210,381,391850,0 94,2,2024-09-07 09:25:30:764,558682,558682,0,0,22246838,0,2443 94,3,2024-09-07 09:25:31:688,1,443,17,0,576,5818,443,0 95,0,2024-09-07 09:25:31:379,113268,0.4,113041,0.5,226768,0.3,302322,1.75 95,1,2024-09-07 09:25:30:870,779344,779344,0,0,366077326293,3803498800,773195,5711,438,365,391786,0 95,2,2024-09-07 09:25:31:020,557649,557649,0,0,22139418,0,3308 95,3,2024-09-07 09:25:31:709,1,443,0,0,718,6294,443,0 96,0,2024-09-07 09:25:31:064,111830,0.4,112185,0.5,223741,0.3,296782,1.75 96,1,2024-09-07 09:25:31:587,778368,778368,0,0,364469585200,3801420774,772800,4682,886,384,391955,0 96,2,2024-09-07 09:25:31:281,564277,564277,0,0,22980931,0,4180 96,3,2024-09-07 09:25:31:144,1,443,62,0,411,4927,443,0 97,0,2024-09-07 09:25:31:329,105849,0.3,105419,0.4,211641,0.2,281507,1.50 97,1,2024-09-07 09:25:30:791,779349,779349,0,0,366089590745,3796545502,774187,4228,934,367,392140,0 97,2,2024-09-07 09:25:30:619,562288,562288,0,0,22104121,0,3679 97,3,2024-09-07 09:25:30:574,1,443,4,0,242,5332,443,0 98,0,2024-09-07 09:25:31:765,111280,0.3,111491,0.4,223593,0.2,297381,1.50 98,1,2024-09-07 09:25:30:572,778763,778763,0,0,365854558896,3810050679,773791,4180,792,382,391997,0 98,2,2024-09-07 09:25:30:770,560700,560700,0,0,22220269,0,4336 98,3,2024-09-07 09:25:30:707,1,443,2,0,840,7245,443,0 99,0,2024-09-07 09:25:31:462,112863,0.3,113570,0.5,225612,0.3,301308,1.75 99,1,2024-09-07 09:25:31:729,779278,779278,0,0,365665257180,3803859543,774328,4113,837,381,392069,0 99,2,2024-09-07 09:25:31:418,558316,558316,0,0,26070403,0,4276 99,3,2024-09-07 09:25:30:583,1,443,1,0,606,4758,443,0 100,0,2024-09-07 09:25:31:488,112584,0.8,112955,1.0,224980,0.9,300380,2.50 100,1,2024-09-07 09:25:30:549,775134,775134,0,0,364376565026,3843855647,764392,8690,2052,378,391989,0 100,2,2024-09-07 09:25:31:847,559078,559067,11,0,25449183,0,5417 100,3,2024-09-07 09:25:31:734,1,443,2,0,559,6957,443,0 101,0,2024-09-07 09:25:31:716,108691,0.8,105930,0.9,207706,0.6,284244,2.25 101,1,2024-09-07 09:25:30:862,775544,775544,0,0,364128098869,3825770674,764842,8578,2124,368,391847,0 101,2,2024-09-07 09:25:31:924,559074,559074,0,0,30388189,0,4871 101,3,2024-09-07 09:25:31:005,1,443,1,0,579,5920,443,0 102,0,2024-09-07 09:25:30:944,107511,0.6,110795,0.7,224773,0.5,294755,2.00 102,1,2024-09-07 09:25:31:161,775983,775983,0,0,364434239160,3825277999,766100,8119,1764,369,391891,0 102,2,2024-09-07 09:25:31:748,561684,561630,54,0,24531632,0,6768 102,3,2024-09-07 09:25:31:615,1,443,4,0,466,4790,443,0 103,0,2024-09-07 09:25:31:609,116880,0.5,116836,0.7,220188,0.5,302575,2.00 103,1,2024-09-07 09:25:31:628,775401,775401,0,0,364126446142,3841104428,763396,8939,3066,381,391829,0 103,2,2024-09-07 09:25:30:587,555366,555366,0,0,27084135,0,3766 103,3,2024-09-07 09:25:30:762,1,443,13,0,916,4847,443,0 104,0,2024-09-07 09:25:31:031,113459,0.8,113803,0.9,226537,0.7,303381,2.25 104,1,2024-09-07 09:25:31:606,777321,777321,0,0,364551538520,3825198088,766467,8990,1864,365,392168,0 104,2,2024-09-07 09:25:31:669,558146,558146,0,0,26005592,0,3941 104,3,2024-09-07 09:25:31:418,1,443,5,0,1245,8611,443,0 105,0,2024-09-07 09:25:31:065,107897,0.8,105096,1.0,220337,0.8,289550,2.50 105,1,2024-09-07 09:25:30:561,778466,778466,0,0,365423510952,3825428932,769010,8027,1429,366,392009,0 105,2,2024-09-07 09:25:31:337,562600,562600,0,0,25958993,0,3509 105,3,2024-09-07 09:25:31:308,1,443,1,0,399,6608,443,0 106,0,2024-09-07 09:25:31:022,104833,0.6,107373,0.8,219724,0.6,287638,2.25 106,1,2024-09-07 09:25:31:775,776970,776970,0,0,364921433095,3827661785,765908,9615,1447,369,391914,0 106,2,2024-09-07 09:25:30:768,560697,560697,0,0,25352230,0,2920 106,3,2024-09-07 09:25:30:685,1,443,1,0,470,5546,443,0 107,0,2024-09-07 09:25:31:107,113152,0.9,113389,0.9,226646,1.0,301854,2.25 107,1,2024-09-07 09:25:30:600,775496,775496,0,0,363839927271,3831491415,765260,9183,1053,381,392234,0 107,2,2024-09-07 09:25:31:291,555963,555962,1,0,26263161,0,5024 107,3,2024-09-07 09:25:31:760,1,443,1,0,370,6548,443,0 108,0,2024-09-07 09:25:31:926,113436,0.5,114239,0.6,227190,0.4,303253,1.75 108,1,2024-09-07 09:25:31:319,778497,778497,0,0,366168328674,3816311298,772320,5432,745,367,391857,0 108,2,2024-09-07 09:25:31:882,557529,557529,0,0,24792608,0,4246 108,3,2024-09-07 09:25:31:336,1,443,1,0,749,8046,443,0 109,0,2024-09-07 09:25:31:761,111178,0.4,110369,0.6,220740,0.4,295144,1.75 109,1,2024-09-07 09:25:30:603,775565,775565,0,0,364603105075,3825671927,768121,6257,1187,382,392132,0 109,2,2024-09-07 09:25:30:932,561536,561536,0,0,24347848,0,3617 109,3,2024-09-07 09:25:31:151,1,443,5,0,379,5105,443,0 110,0,2024-09-07 09:25:31:791,106238,0.3,103515,0.5,216946,0.3,284265,1.75 110,1,2024-09-07 09:25:31:671,779572,779572,0,0,366218993836,3799112375,774176,4217,1179,369,392045,0 110,2,2024-09-07 09:25:31:309,561886,561886,0,0,23375990,0,4067 110,3,2024-09-07 09:25:30:693,1,443,1,0,722,6234,443,0 111,0,2024-09-07 09:25:31:439,112105,0.4,111419,0.5,223514,0.3,298244,1.75 111,1,2024-09-07 09:25:31:016,779737,779737,0,0,367077593139,3805161495,775846,3536,355,380,391690,0 111,2,2024-09-07 09:25:31:145,558926,558926,0,0,22846567,0,4823 111,3,2024-09-07 09:25:30:919,1,443,83,0,379,5164,443,0 112,0,2024-09-07 09:25:30:919,113630,0.3,113231,0.4,226768,0.2,301581,1.50 112,1,2024-09-07 09:25:30:825,779496,779496,0,0,365661519212,3793642323,774778,4105,613,380,391624,0 112,2,2024-09-07 09:25:31:137,557452,557451,1,0,22003873,0,5036 112,3,2024-09-07 09:25:30:596,1,443,13,0,282,4281,443,0 113,0,2024-09-07 09:25:30:876,112041,0.3,112090,0.5,224667,0.3,298639,1.75 113,1,2024-09-07 09:25:31:685,781865,781865,0,0,368291914083,3797094486,777971,3305,589,366,391661,0 113,2,2024-09-07 09:25:31:312,564543,564543,0,0,20359024,0,3813 113,3,2024-09-07 09:25:30:692,1,443,1,0,340,4802,443,0 114,0,2024-09-07 09:25:30:880,106581,0.3,107216,0.4,213152,0.2,284997,1.75 114,1,2024-09-07 09:25:30:716,779526,779526,0,0,366000723761,3800741133,773235,4675,1616,381,391565,0 114,2,2024-09-07 09:25:30:878,563394,563393,1,0,21393984,0,5069 114,3,2024-09-07 09:25:31:294,1,443,11,0,395,3714,443,0 115,0,2024-09-07 09:25:30:563,111385,0.2,111939,0.4,223525,0.2,297226,1.50 115,1,2024-09-07 09:25:30:572,779864,779864,0,0,365931890175,3801845938,773368,5229,1267,382,391757,0 115,2,2024-09-07 09:25:31:136,562482,562482,0,0,21228832,0,4382 115,3,2024-09-07 09:25:31:007,1,443,8,0,159,2445,443,0 116,0,2024-09-07 09:25:31:729,112305,0.7,112379,0.8,225191,0.7,300800,2.00 116,1,2024-09-07 09:25:30:827,775817,775817,0,0,364770299562,3838363710,767198,6292,2327,380,392089,0 116,2,2024-09-07 09:25:31:753,556030,556030,0,0,26840304,0,4128 116,3,2024-09-07 09:25:30:918,1,443,1,0,415,5086,443,0 117,0,2024-09-07 09:25:31:058,114165,0.8,113511,0.8,227450,0.9,303617,2.00 117,1,2024-09-07 09:25:31:578,776998,776998,0,0,363747420411,3803014762,769235,6847,916,369,392033,0 117,2,2024-09-07 09:25:31:153,563057,563057,0,0,23081565,0,4303 117,3,2024-09-07 09:25:31:073,1,443,23,0,490,6574,443,0 118,0,2024-09-07 09:25:31:879,104781,0.5,107491,0.6,219575,0.4,286283,2.00 118,1,2024-09-07 09:25:30:586,776779,776779,0,0,363359112133,3819508034,764860,8918,3001,366,391907,0 118,2,2024-09-07 09:25:31:589,562674,562674,0,0,25356278,0,2842 118,3,2024-09-07 09:25:31:852,1,443,0,0,248,5121,443,0 119,0,2024-09-07 09:25:31:345,109410,0.5,109625,0.7,219304,0.4,292208,2.00 119,1,2024-09-07 09:25:30:552,777494,777494,0,0,365029514171,3816325271,769001,7375,1118,367,391857,0 119,2,2024-09-07 09:25:31:264,562223,562223,0,0,23997181,0,4174 119,3,2024-09-07 09:25:31:337,1,443,0,0,1358,8497,443,0 120,0,2024-09-07 09:25:31:602,112530,0.6,112185,0.8,225110,0.6,300124,2.25 120,1,2024-09-07 09:25:30:868,777682,777682,0,0,364674942702,3823626411,769302,7610,770,368,392144,0 120,2,2024-09-07 09:25:30:770,556464,556463,1,0,27301542,0,5281 120,3,2024-09-07 09:25:31:295,1,443,7,0,279,5412,443,0 121,0,2024-09-07 09:25:31:712,114235,1.4,113914,1.1,228367,1.9,304457,2.50 121,1,2024-09-07 09:25:31:677,777758,777758,0,0,364386669314,3805871398,771002,6294,462,367,391840,0 121,2,2024-09-07 09:25:31:128,558166,558166,0,0,26271494,0,4127 121,3,2024-09-07 09:25:30:746,1,443,8,0,269,4838,443,0 122,0,2024-09-07 09:25:31:883,109744,0.7,107006,0.8,224472,0.8,294608,2.00 122,1,2024-09-07 09:25:30:865,776316,776316,0,0,364495906772,3821340434,765869,8843,1604,366,392130,0 122,2,2024-09-07 09:25:31:324,563885,563812,73,0,29221354,0,5989 122,3,2024-09-07 09:25:30:609,1,443,2,0,512,7811,443,0 123,0,2024-09-07 09:25:30:963,107196,0.5,104392,0.7,218890,0.5,286475,2.00 123,1,2024-09-07 09:25:30:561,776083,776083,0,0,364564645189,3837398728,762530,11109,2444,369,392039,0 123,2,2024-09-07 09:25:31:039,559681,559680,1,0,24682978,0,5215 123,3,2024-09-07 09:25:31:155,1,443,9,0,478,5150,443,0 124,0,2024-09-07 09:25:30:933,115961,0.3,116013,0.5,218635,0.3,301147,1.75 124,1,2024-09-07 09:25:31:039,779124,779124,0,0,365730403456,3799142924,773837,4236,1051,367,392178,0 124,2,2024-09-07 09:25:31:015,559493,559440,53,0,22392871,0,6487 124,3,2024-09-07 09:25:30:785,1,443,28,0,490,4230,443,0 125,0,2024-09-07 09:25:31:442,113173,0.4,113024,0.6,226799,0.4,302276,1.75 125,1,2024-09-07 09:25:30:880,777650,777650,0,0,366008767835,3819114590,771731,5246,673,382,391702,0 125,2,2024-09-07 09:25:31:134,559112,559112,0,0,23243722,0,4534 125,3,2024-09-07 09:25:31:134,1,443,1,0,709,5444,443,0 126,0,2024-09-07 09:25:31:424,111779,0.4,114991,0.6,220068,0.4,297539,1.75 126,1,2024-09-07 09:25:30:559,779972,779972,0,0,366437234558,3793049657,775963,3715,294,365,391987,0 126,2,2024-09-07 09:25:30:616,564259,564259,0,0,24067952,0,4539 126,3,2024-09-07 09:25:30:911,1,443,2,0,268,5325,443,0 127,0,2024-09-07 09:25:31:599,105776,0.3,106064,0.5,211849,0.2,281655,1.50 127,1,2024-09-07 09:25:30:578,778946,778946,0,0,365049069536,3795120055,771599,6125,1222,364,392187,0 127,2,2024-09-07 09:25:30:645,560686,560686,0,0,21701191,0,3897 127,3,2024-09-07 09:25:31:274,1,443,0,0,968,4656,443,0 128,0,2024-09-07 09:25:31:530,111995,0.3,112068,0.4,223902,0.2,297879,1.50 128,1,2024-09-07 09:25:31:605,778587,778587,0,0,365874817191,3796351318,773671,4483,433,367,392031,0 128,2,2024-09-07 09:25:31:387,561893,561893,0,0,20980856,0,3171 128,3,2024-09-07 09:25:30:773,1,443,41,0,1082,6832,443,0 129,0,2024-09-07 09:25:31:022,113826,0.3,113260,0.5,226934,0.3,301756,1.75 129,1,2024-09-07 09:25:30:574,776838,776838,0,0,364815102956,3820999134,769128,6039,1671,379,391962,0 129,2,2024-09-07 09:25:30:689,557849,557849,0,0,22385602,0,4031 129,3,2024-09-07 09:25:30:689,1,443,1,0,506,6013,443,0 130,0,2024-09-07 09:25:31:717,113543,0.5,113082,0.6,227521,0.5,301740,1.75 130,1,2024-09-07 09:25:30:599,779280,779280,0,0,365541451342,3796550543,775241,3700,339,381,391825,0 130,2,2024-09-07 09:25:31:136,562802,562802,0,0,22907122,0,4067 130,3,2024-09-07 09:25:31:294,1,443,2,0,960,6800,443,0 131,0,2024-09-07 09:25:31:948,106302,0.3,106820,0.5,214425,0.3,284158,1.75 131,1,2024-09-07 09:25:31:845,778830,778830,0,0,365040315587,3810629229,772857,4923,1050,381,391865,0 131,2,2024-09-07 09:25:30:572,564645,564645,0,0,21089471,0,3979 131,3,2024-09-07 09:25:31:688,1,443,1,0,392,4894,443,0 132,0,2024-09-07 09:25:31:412,110565,0.4,111506,0.6,222011,0.3,295686,1.75 132,1,2024-09-07 09:25:30:597,774961,774961,0,0,364042458830,3830065061,763820,9031,2110,381,392532,0 132,2,2024-09-07 09:25:30:715,560751,560734,17,0,27388995,0,6451 132,3,2024-09-07 09:25:31:695,1,443,2,0,804,7617,443,0 133,0,2024-09-07 09:25:31:521,110157,0.4,112753,0.6,231325,0.4,300829,1.75 133,1,2024-09-07 09:25:30:584,775285,775285,0,0,364301806930,3836486943,764734,9036,1515,383,391914,0 133,2,2024-09-07 09:25:31:087,556264,556214,50,0,28716877,0,6861 133,3,2024-09-07 09:25:31:312,1,443,2,0,479,4621,443,0 134,0,2024-09-07 09:25:30:946,114910,0.6,114542,0.7,229369,0.6,305748,2.00 134,1,2024-09-07 09:25:30:606,776563,776563,0,0,364510150728,3819624969,766762,7765,2036,366,391718,0 134,2,2024-09-07 09:25:31:873,557804,557780,24,0,26346411,0,6207 134,3,2024-09-07 09:25:30:761,1,443,1,0,739,5573,443,0 135,0,2024-09-07 09:25:31:169,105688,0.7,105692,0.8,224358,0.8,288990,2.00 135,1,2024-09-07 09:25:31:589,776863,776863,0,0,364878544967,3834743995,766896,8596,1371,380,391805,0 135,2,2024-09-07 09:25:30:690,563504,563504,0,0,25785774,0,3981 135,3,2024-09-07 09:25:31:003,1,443,1,0,299,3771,443,0 136,0,2024-09-07 09:25:31:622,108927,0.5,109094,0.7,217062,0.4,289990,2.00 136,1,2024-09-07 09:25:31:446,777792,777792,0,0,365138533425,3825980316,769218,7610,964,381,391685,0 136,2,2024-09-07 09:25:31:141,562724,562724,0,0,24732119,0,3506 136,3,2024-09-07 09:25:31:132,1,443,1,0,637,5103,443,0 137,0,2024-09-07 09:25:30:945,116903,0.6,113851,0.7,223367,0.6,303021,2.00 137,1,2024-09-07 09:25:30:587,775739,775739,0,0,364360329416,3819525822,764179,9200,2360,366,391898,0 137,2,2024-09-07 09:25:31:712,555965,555965,0,0,27245192,0,3185 137,3,2024-09-07 09:25:30:770,1,443,0,0,484,5342,443,0 138,0,2024-09-07 09:25:31:742,113297,1.0,113199,1.0,227228,1.2,301923,2.25 138,1,2024-09-07 09:25:31:685,776946,776946,0,0,365203224793,3826232816,766699,8523,1724,368,391954,0 138,2,2024-09-07 09:25:30:597,557766,557766,0,0,24777035,0,4988 138,3,2024-09-07 09:25:30:628,1,443,14,0,1160,5764,443,0 139,0,2024-09-07 09:25:31:367,109641,1.5,109735,1.1,219956,2.2,293574,2.50 139,1,2024-09-07 09:25:30:578,773045,773045,0,0,362591537653,3850018878,758365,11311,3369,380,392058,0 139,2,2024-09-07 09:25:30:694,559641,559641,0,0,28803500,0,3097 139,3,2024-09-07 09:25:31:670,1,443,2,0,432,5079,443,0 140,0,2024-09-07 09:25:31:591,106958,0.3,106316,0.5,213386,0.2,284828,1.75 140,1,2024-09-07 09:25:31:546,780955,780955,0,0,367404359516,3785643666,777388,3126,441,365,391606,0 140,2,2024-09-07 09:25:30:693,562667,562666,1,0,21928640,0,5036 140,3,2024-09-07 09:25:30:769,1,443,1,0,297,3646,443,0 141,0,2024-09-07 09:25:31:698,112021,0.3,114980,0.5,219688,0.2,297832,1.50 141,1,2024-09-07 09:25:30:868,779892,779892,0,0,366785919198,3807335615,774200,4735,957,379,391614,0 141,2,2024-09-07 09:25:31:687,559951,559951,0,0,21732634,0,3360 141,3,2024-09-07 09:25:31:054,1,443,0,0,391,4970,443,0 142,0,2024-09-07 09:25:31:345,113758,0.3,113176,0.5,226382,0.3,302161,1.75 142,1,2024-09-07 09:25:30:590,778816,778816,0,0,365618289798,3808447127,774120,4297,399,382,392102,0 142,2,2024-09-07 09:25:31:312,557031,556999,32,0,23702953,0,6028 142,3,2024-09-07 09:25:31:747,1,443,1,0,484,4921,443,0 143,0,2024-09-07 09:25:31:379,112094,0.5,112329,0.6,224722,0.4,298764,1.75 143,1,2024-09-07 09:25:30:560,779880,779880,0,0,365999150557,3796435405,774828,4507,545,367,391705,0 143,2,2024-09-07 09:25:30:785,562796,562796,0,0,23003878,0,3123 143,3,2024-09-07 09:25:31:140,1,443,0,0,462,5418,443,0 144,0,2024-09-07 09:25:31:532,103051,0.5,105803,0.8,215717,0.5,282990,2.00 144,1,2024-09-07 09:25:30:572,775775,775775,0,0,363622622401,3814258911,768058,5883,1834,381,391649,0 144,2,2024-09-07 09:25:31:877,563119,563119,0,0,21509933,0,3673 144,3,2024-09-07 09:25:31:746,1,443,2,0,249,4475,443,0 145,0,2024-09-07 09:25:31:365,107651,0.5,107679,0.7,228545,0.4,294564,2.00 145,1,2024-09-07 09:25:30:560,775833,775833,0,0,363853171442,3823333569,766195,7976,1662,382,391759,0 145,2,2024-09-07 09:25:31:433,558831,558750,81,0,26459980,0,7814 145,3,2024-09-07 09:25:30:903,1,443,2,0,622,6224,443,0 146,0,2024-09-07 09:25:31:597,112701,0.6,112162,0.8,225749,0.6,299295,2.25 146,1,2024-09-07 09:25:31:587,776556,776556,0,0,364642121199,3832366452,764401,9038,3117,367,391770,0 146,2,2024-09-07 09:25:31:695,555395,555395,0,0,25205542,0,3290 146,3,2024-09-07 09:25:31:275,1,443,1,0,1520,8113,443,0 147,0,2024-09-07 09:25:31:697,113867,0.7,113808,0.8,226872,0.7,302946,2.25 147,1,2024-09-07 09:25:31:385,779854,779854,0,0,365522312819,3799849852,773134,5873,847,368,391791,0 147,2,2024-09-07 09:25:31:030,560253,560253,0,0,22910014,0,2968 147,3,2024-09-07 09:25:30:918,1,443,8,0,1626,7569,443,0 0,0,2024-09-07 09:25:41:757,109976,0.6,109936,0.7,233310,0.6,301241,2.00 0,1,2024-09-07 09:25:40:826,778822,778822,0,0,365420955367,3822209719,773348,5085,389,369,391896,0 0,2,2024-09-07 09:25:41:115,559578,559578,0,0,22134509,0,4480 0,3,2024-09-07 09:25:40:979,1,444,6,0,431,6446,444,0 1,0,2024-09-07 09:25:41:797,114542,1.1,113882,1.0,228281,1.6,305373,2.25 1,1,2024-09-07 09:25:40:594,778379,778379,0,0,365369553731,3821820505,771864,5186,1329,370,391859,0 1,2,2024-09-07 09:25:40:671,559569,559569,0,0,22502803,0,3380 1,3,2024-09-07 09:25:41:310,1,444,1,0,268,5434,444,0 2,0,2024-09-07 09:25:41:568,110329,0.6,110377,0.7,220156,0.6,293839,2.00 2,1,2024-09-07 09:25:40:865,780998,780998,0,0,366603900118,3802956090,777707,2996,295,380,391745,0 2,2,2024-09-07 09:25:41:282,565625,565625,0,0,21118526,0,3594 2,3,2024-09-07 09:25:40:695,1,444,1,0,357,4224,444,0 3,0,2024-09-07 09:25:41:750,107952,0.4,107637,0.6,215334,0.3,286807,2.00 3,1,2024-09-07 09:25:41:618,779597,779597,0,0,365515045951,3804363973,773356,5550,691,379,391716,0 3,2,2024-09-07 09:25:41:143,563545,563522,23,0,22249428,0,5851 3,3,2024-09-07 09:25:41:754,1,444,1,0,207,2940,444,0 4,0,2024-09-07 09:25:41:868,109825,0.4,112849,0.5,230207,0.3,301624,1.75 4,1,2024-09-07 09:25:40:602,776660,776660,0,0,364180330264,3844883878,764832,9320,2508,370,391992,0 4,2,2024-09-07 09:25:41:021,557295,557295,0,0,25300986,0,4528 4,3,2024-09-07 09:25:41:026,1,444,8,0,448,5666,444,0 5,0,2024-09-07 09:25:41:379,113258,0.4,113950,0.6,227430,0.4,302313,1.75 5,1,2024-09-07 09:25:40:762,778160,778160,0,0,365123090276,3839686747,767722,8278,2160,367,392005,0 5,2,2024-09-07 09:25:41:853,556929,556929,0,0,25174524,0,3582 5,3,2024-09-07 09:25:41:735,1,444,1,0,457,6080,444,0 6,0,2024-09-07 09:25:40:924,111956,0.4,111567,0.6,223098,0.4,297337,2.00 6,1,2024-09-07 09:25:40:758,779531,779531,0,0,365899835435,3820247057,771317,6821,1393,379,391702,0 6,2,2024-09-07 09:25:41:116,564245,564227,18,0,25093586,0,5535 6,3,2024-09-07 09:25:41:282,1,444,24,0,710,5500,444,0 7,0,2024-09-07 09:25:41:565,105277,0.4,106062,0.6,211224,0.4,281056,1.75 7,1,2024-09-07 09:25:40:855,778794,778794,0,0,365472283150,3830239820,769004,8326,1464,382,391747,0 7,2,2024-09-07 09:25:40:780,563508,563508,0,0,24387080,0,4791 7,3,2024-09-07 09:25:40:863,1,444,1,0,552,4978,444,0 8,0,2024-09-07 09:25:41:332,112073,0.3,111866,0.5,224127,0.3,298771,1.75 8,1,2024-09-07 09:25:41:019,777471,777471,0,0,365243681720,3836926091,765846,8992,2633,366,392853,0 8,2,2024-09-07 09:25:40:803,557330,557330,0,0,28663456,0,3250 8,3,2024-09-07 09:25:40:599,1,444,1,0,538,7112,444,0 9,0,2024-09-07 09:25:41:135,113214,0.4,110018,0.5,230181,0.3,301587,1.75 9,1,2024-09-07 09:25:40:553,777071,777071,0,0,364774627558,3838714723,765989,8661,2421,369,392001,0 9,2,2024-09-07 09:25:41:101,557911,557911,0,0,25460592,0,3360 9,3,2024-09-07 09:25:41:769,1,444,14,0,496,6234,444,0 10,0,2024-09-07 09:25:41:605,112831,0.4,112333,0.5,225609,0.3,300106,1.75 10,1,2024-09-07 09:25:40:586,778895,778895,0,0,365335796562,3826900144,768402,8869,1624,381,391741,0 10,2,2024-09-07 09:25:40:765,562445,562445,0,0,28121946,0,4264 10,3,2024-09-07 09:25:40:872,1,444,0,0,649,4635,444,0 11,0,2024-09-07 09:25:41:007,106379,0.4,103196,0.6,215812,0.4,284445,1.75 11,1,2024-09-07 09:25:40:576,779349,779349,0,0,366386889065,3839830109,768020,8702,2627,383,391756,0 11,2,2024-09-07 09:25:41:127,563377,563377,0,0,24721883,0,4130 11,3,2024-09-07 09:25:41:298,1,444,1,0,843,6248,444,0 12,0,2024-09-07 09:25:40:949,111641,0.4,111662,0.5,223452,0.3,296905,1.75 12,1,2024-09-07 09:25:40:939,779193,779193,0,0,365672143298,3809810495,773620,5070,503,370,391870,0 12,2,2024-09-07 09:25:41:543,562167,562167,0,0,24137196,0,3469 12,3,2024-09-07 09:25:41:088,1,444,11,0,386,6397,444,0 13,0,2024-09-07 09:25:41:367,114196,0.4,113723,0.6,226909,0.4,302249,1.75 13,1,2024-09-07 09:25:41:524,777481,777481,0,0,364820711875,3832427432,769848,5999,1634,382,391740,0 13,2,2024-09-07 09:25:40:625,559852,559852,0,0,22115464,0,3287 13,3,2024-09-07 09:25:41:762,1,444,1,0,522,6387,444,0 14,0,2024-09-07 09:25:40:566,114446,0.4,115515,0.6,228402,0.4,304543,1.75 14,1,2024-09-07 09:25:41:565,783059,783059,0,0,367248054159,3801219292,776837,5513,709,364,391673,0 14,2,2024-09-07 09:25:40:781,561145,561115,30,0,24263028,0,6104 14,3,2024-09-07 09:25:41:117,1,444,0,0,1168,4746,444,0 15,0,2024-09-07 09:25:41:554,109003,0.4,108884,0.6,218262,0.4,290276,2.00 15,1,2024-09-07 09:25:41:667,780397,780397,0,0,366452025359,3814899825,774170,5048,1179,381,391619,0 15,2,2024-09-07 09:25:41:001,566656,566656,0,0,20199505,0,3622 15,3,2024-09-07 09:25:41:410,1,444,0,0,1126,7005,444,0 16,0,2024-09-07 09:25:41:003,108878,0.5,109472,0.7,218075,0.5,290461,2.00 16,1,2024-09-07 09:25:40:570,780055,780055,0,0,365689985637,3821237111,773444,5496,1115,370,391917,0 16,2,2024-09-07 09:25:41:436,562720,562720,0,0,23863175,0,4719 16,3,2024-09-07 09:25:41:142,1,444,1,0,317,5462,444,0 17,0,2024-09-07 09:25:41:831,116848,0.6,114257,0.7,223315,0.6,302966,2.00 17,1,2024-09-07 09:25:40:594,778396,778396,0,0,365283411611,3830058277,770723,6155,1518,368,392075,0 17,2,2024-09-07 09:25:41:903,560890,560890,0,0,23511660,0,3779 17,3,2024-09-07 09:25:40:592,1,444,2,0,518,6954,444,0 18,0,2024-09-07 09:25:40:948,112860,0.7,113357,0.8,226027,0.7,302073,2.25 18,1,2024-09-07 09:25:41:641,781499,781499,0,0,366853473605,3799126271,777495,3624,380,367,391725,0 18,2,2024-09-07 09:25:41:755,562327,562327,0,0,20927630,0,3541 18,3,2024-09-07 09:25:40:896,1,444,4,0,163,3316,444,0 19,0,2024-09-07 09:25:41:548,110218,0.6,110724,0.8,219716,0.6,292593,2.25 19,1,2024-09-07 09:25:40:567,781677,781677,0,0,366927859886,3803139451,775727,5029,921,367,391777,0 19,2,2024-09-07 09:25:41:756,567316,567316,0,0,19936633,0,3988 19,3,2024-09-07 09:25:41:129,1,444,1,0,524,3499,444,0 20,0,2024-09-07 09:25:41:349,106912,0.4,106926,0.6,213577,0.4,285539,2.00 20,1,2024-09-07 09:25:40:628,778890,778890,0,0,365726574656,3822227273,772168,5921,801,369,391922,0 20,2,2024-09-07 09:25:40:931,562365,562365,0,0,23790788,0,3721 20,3,2024-09-07 09:25:40:623,1,444,4,0,414,6339,444,0 21,0,2024-09-07 09:25:41:223,111948,0.4,112071,0.6,224102,0.4,297439,1.75 21,1,2024-09-07 09:25:41:536,777281,777281,0,0,364988441862,3844056101,766309,8491,2481,368,392016,0 21,2,2024-09-07 09:25:41:110,557695,557675,20,0,28828598,0,5617 21,3,2024-09-07 09:25:41:416,1,444,47,0,713,5894,444,0 22,0,2024-09-07 09:25:41:719,113298,0.5,113739,0.7,227001,0.4,301342,2.00 22,1,2024-09-07 09:25:41:023,778535,778535,0,0,365558020612,3837300677,767380,8928,2227,382,391667,0 22,2,2024-09-07 09:25:40:760,557862,557836,26,0,22906050,0,6328 22,3,2024-09-07 09:25:41:073,1,444,0,0,228,3573,444,0 23,0,2024-09-07 09:25:41:370,111875,0.5,111245,0.7,223019,0.5,297098,2.25 23,1,2024-09-07 09:25:41:003,779419,779419,0,0,366208725585,3841165028,766309,9056,4054,365,391690,0 23,2,2024-09-07 09:25:41:095,565380,565380,0,0,22507120,0,3773 23,3,2024-09-07 09:25:41:754,1,444,2,0,720,5598,444,0 24,0,2024-09-07 09:25:40:855,107253,0.4,106655,0.5,214476,0.3,284907,1.75 24,1,2024-09-07 09:25:40:609,778554,778554,0,0,364589629066,3818695898,770545,6414,1595,367,392269,0 24,2,2024-09-07 09:25:41:096,562814,562814,0,0,27130633,0,3607 24,3,2024-09-07 09:25:41:710,1,444,2,0,468,5893,444,0 25,0,2024-09-07 09:25:41:350,114742,0.4,111703,0.5,219191,0.3,298795,1.75 25,1,2024-09-07 09:25:40:590,778046,778046,0,0,365249114243,3845360914,766016,9779,2251,371,391928,0 25,2,2024-09-07 09:25:41:617,560199,560199,0,0,27775006,0,3978 25,3,2024-09-07 09:25:41:001,1,444,2,0,532,4934,444,0 26,0,2024-09-07 09:25:41:721,112685,0.4,110046,0.6,231009,0.4,300359,2.00 26,1,2024-09-07 09:25:41:541,780047,780047,0,0,365703336842,3831323309,768413,9225,2409,380,391748,0 26,2,2024-09-07 09:25:40:865,558521,558521,0,0,27719054,0,2809 26,3,2024-09-07 09:25:41:713,1,444,7,0,796,5212,444,0 27,0,2024-09-07 09:25:41:744,114107,0.5,114238,0.6,227329,0.4,303164,2.25 27,1,2024-09-07 09:25:41:683,781088,781088,0,0,367214132704,3822143582,773817,6369,902,381,391626,0 27,2,2024-09-07 09:25:40:878,559326,559261,65,0,25367861,0,5699 27,3,2024-09-07 09:25:41:015,1,444,1,0,564,4134,444,0 28,0,2024-09-07 09:25:41:423,108069,0.4,107759,0.6,216155,0.3,287485,1.75 28,1,2024-09-07 09:25:40:818,780299,780299,0,0,366522571278,3823230456,773104,5496,1699,382,391698,0 28,2,2024-09-07 09:25:41:777,564416,564416,0,0,23246278,0,2915 28,3,2024-09-07 09:25:41:783,1,444,3,0,502,4761,444,0 29,0,2024-09-07 09:25:41:362,113111,0.3,110161,0.5,216108,0.2,294532,1.75 29,1,2024-09-07 09:25:41:572,782841,782841,0,0,367633758305,3802177496,777973,4133,735,368,391809,0 29,2,2024-09-07 09:25:40:864,562336,562336,0,0,21969915,0,4986 29,3,2024-09-07 09:25:40:967,1,444,1,0,459,5124,444,0 30,0,2024-09-07 09:25:41:455,112822,0.5,109657,0.7,229619,0.5,300131,2.00 30,1,2024-09-07 09:25:40:576,781522,781522,0,0,367232726765,3811765442,775452,5303,767,381,391672,0 30,2,2024-09-07 09:25:41:275,559025,559025,0,0,21380538,0,4192 30,3,2024-09-07 09:25:40:593,1,444,1,0,519,4265,444,0 31,0,2024-09-07 09:25:41:763,114139,0.5,114534,0.7,228839,0.5,305350,2.00 31,1,2024-09-07 09:25:40:568,785265,785265,0,0,368531439748,3776041923,782159,2520,586,356,391712,0 31,2,2024-09-07 09:25:41:282,559694,559694,0,0,23152202,0,3525 31,3,2024-09-07 09:25:41:713,1,444,1,0,220,3803,444,0 32,0,2024-09-07 09:25:41:427,110674,0.3,111342,0.5,221650,0.2,295644,1.50 32,1,2024-09-07 09:25:40:812,781266,781266,0,0,366748766652,3812910222,776145,4439,682,381,391646,0 32,2,2024-09-07 09:25:40:938,566316,566316,0,0,20866002,0,3922 32,3,2024-09-07 09:25:41:015,1,444,0,0,304,3435,444,0 33,0,2024-09-07 09:25:41:493,108021,0.3,107718,0.4,215834,0.2,287318,1.50 33,1,2024-09-07 09:25:40:590,782110,782110,0,0,367435307341,3807936706,775595,5410,1105,368,391730,0 33,2,2024-09-07 09:25:40:761,563953,563918,35,0,23166957,0,7012 33,3,2024-09-07 09:25:40:898,1,444,1,0,329,4165,444,0 34,0,2024-09-07 09:25:40:945,113566,0.3,116626,0.4,222966,0.2,301092,1.75 34,1,2024-09-07 09:25:41:046,783434,783434,0,0,368332292962,3785384189,781689,1733,12,367,391562,0 34,2,2024-09-07 09:25:40:766,560221,560221,0,0,21437606,0,4562 34,3,2024-09-07 09:25:41:703,1,444,0,0,299,3283,444,0 35,0,2024-09-07 09:25:40:864,112823,0.3,113384,0.5,227799,0.3,303171,1.75 35,1,2024-09-07 09:25:41:094,781037,781037,0,0,366719300484,3799356468,776457,3722,858,382,391769,0 35,2,2024-09-07 09:25:41:587,559432,559432,0,0,23287224,0,4055 35,3,2024-09-07 09:25:40:920,1,444,0,0,418,4530,444,0 36,0,2024-09-07 09:25:41:534,112147,0.5,111989,0.7,223963,0.4,297821,2.00 36,1,2024-09-07 09:25:40:598,780555,780555,0,0,366122573779,3819859873,770906,7649,2000,366,391759,0 36,2,2024-09-07 09:25:41:759,565540,565540,0,0,24376768,0,3875 36,3,2024-09-07 09:25:40:863,1,444,1,0,416,6114,444,0 37,0,2024-09-07 09:25:41:405,105418,0.4,105676,0.6,210994,0.4,281535,2.00 37,1,2024-09-07 09:25:40:581,779934,779927,0,7,365280461645,3811341798,771103,6548,2276,365,391770,0 37,2,2024-09-07 09:25:41:155,561107,561092,15,0,24230870,0,5815 37,3,2024-09-07 09:25:41:769,1,444,1,0,888,6611,444,0 38,0,2024-09-07 09:25:41:437,111330,0.4,108045,0.6,226098,0.3,296515,2.00 38,1,2024-09-07 09:25:41:605,780926,780926,0,0,366308350963,3825324268,770177,8588,2161,368,391821,0 38,2,2024-09-07 09:25:40:761,561243,561196,47,0,23972403,0,6710 38,3,2024-09-07 09:25:40:999,1,444,11,0,689,5491,444,0 39,0,2024-09-07 09:25:41:760,115672,0.6,113168,0.7,220687,0.6,300815,2.00 39,1,2024-09-07 09:25:40:723,779436,779436,0,0,366342116588,3836296750,766991,9754,2691,365,391658,0 39,2,2024-09-07 09:25:41:417,559108,559108,0,0,22819633,0,3391 39,3,2024-09-07 09:25:40:714,1,444,10,0,324,4976,444,0 40,0,2024-09-07 09:25:41:518,111774,0.8,112374,1.0,224128,0.9,298675,2.75 40,1,2024-09-07 09:25:40:590,780148,780148,0,0,365706408116,3826493105,769326,8778,2044,368,391668,0 40,2,2024-09-07 09:25:41:305,561886,561885,1,0,26617802,0,5137 40,3,2024-09-07 09:25:41:142,1,444,10,0,1028,6020,444,0 41,0,2024-09-07 09:25:41:040,106029,1.3,108388,1.2,206692,1.9,281062,3.00 41,1,2024-09-07 09:25:40:768,778695,778695,0,0,365705355223,3821536973,769511,8144,1040,369,391742,0 41,2,2024-09-07 09:25:40:776,562088,562088,0,0,25458309,0,4277 41,3,2024-09-07 09:25:41:679,1,444,21,0,366,4510,444,0 42,0,2024-09-07 09:25:41:476,110410,0.7,110367,0.9,220856,0.7,292915,2.50 42,1,2024-09-07 09:25:41:443,777063,777063,0,0,365155231789,3833737461,764975,10057,2031,380,391675,0 42,2,2024-09-07 09:25:41:138,561422,561422,0,0,25584929,0,3790 42,3,2024-09-07 09:25:41:009,1,444,0,0,892,4285,444,0 43,0,2024-09-07 09:25:40:924,112238,0.7,109226,0.9,228722,0.8,299569,2.25 43,1,2024-09-07 09:25:40:582,780462,780462,0,0,366574600575,3826638715,770080,8643,1739,366,391696,0 43,2,2024-09-07 09:25:41:735,558529,558529,0,0,25155077,0,3812 43,3,2024-09-07 09:25:41:750,1,444,0,0,548,5759,444,0 44,0,2024-09-07 09:25:40:861,114572,0.5,114717,0.6,229972,0.4,305199,2.00 44,1,2024-09-07 09:25:40:568,781797,781797,0,0,366880635125,3790644523,775295,5184,1318,356,391809,0 44,2,2024-09-07 09:25:41:269,559546,559546,0,0,21331782,0,4344 44,3,2024-09-07 09:25:41:107,1,444,0,0,817,5122,444,0 45,0,2024-09-07 09:25:41:796,107910,0.5,105386,0.7,221071,0.4,290900,2.00 45,1,2024-09-07 09:25:41:005,780958,780958,0,0,367263039933,3814297157,775296,5088,574,382,391917,0 45,2,2024-09-07 09:25:41:271,566121,566121,0,0,21604344,0,3596 45,3,2024-09-07 09:25:40:939,1,444,0,0,271,3766,444,0 46,0,2024-09-07 09:25:40:950,108549,0.4,108216,0.6,217043,0.4,288513,2.00 46,1,2024-09-07 09:25:40:583,782575,782575,0,0,367392508331,3793477637,777859,4124,592,366,391709,0 46,2,2024-09-07 09:25:40:595,563264,563264,0,0,21743470,0,4443 46,3,2024-09-07 09:25:41:132,1,444,1,0,908,5492,444,0 47,0,2024-09-07 09:25:41:115,113766,0.4,114067,0.6,228089,0.4,302028,1.75 47,1,2024-09-07 09:25:40:572,783313,783313,0,0,366722692674,3788566074,778436,4039,838,366,391641,0 47,2,2024-09-07 09:25:40:925,561001,561001,0,0,21244125,0,4477 47,3,2024-09-07 09:25:41:115,1,444,35,0,600,5310,444,0 48,0,2024-09-07 09:25:41:518,114497,0.3,114487,0.4,228007,0.2,304169,1.50 48,1,2024-09-07 09:25:41:023,781327,781327,0,0,367822757988,3817836977,776613,4258,456,384,391710,0 48,2,2024-09-07 09:25:40:712,559982,559982,0,0,19925792,0,3411 48,3,2024-09-07 09:25:40:760,1,444,12,0,339,3518,444,0 49,0,2024-09-07 09:25:41:719,113616,0.3,111695,0.5,216623,0.3,296505,1.75 49,1,2024-09-07 09:25:41:021,780864,780864,0,0,366659927341,3812693209,775706,3951,1207,382,391809,0 49,2,2024-09-07 09:25:41:802,565820,565820,0,0,22012822,0,4426 49,3,2024-09-07 09:25:41:415,1,444,1,0,992,5263,444,0 50,0,2024-09-07 09:25:41:507,107593,0.3,105966,0.4,213796,0.2,285287,1.75 50,1,2024-09-07 09:25:41:010,783340,783340,0,0,367558968430,3798299873,778408,4358,574,368,391565,0 50,2,2024-09-07 09:25:41:066,563143,563143,0,0,20169152,0,4490 50,3,2024-09-07 09:25:41:296,1,444,4,0,567,4380,444,0 51,0,2024-09-07 09:25:41:717,114775,0.3,112546,0.4,219444,0.2,299075,1.75 51,1,2024-09-07 09:25:41:727,783448,783448,0,0,368136507517,3794697231,779491,2908,1049,365,391706,0 51,2,2024-09-07 09:25:41:320,560660,560660,0,0,19122010,0,3337 51,3,2024-09-07 09:25:41:027,1,444,1,0,678,3531,444,0 52,0,2024-09-07 09:25:41:413,113679,0.5,113531,0.7,227255,0.5,302222,2.00 52,1,2024-09-07 09:25:40:591,780226,780226,0,0,365479084173,3819696214,769884,8989,1353,368,391722,0 52,2,2024-09-07 09:25:41:758,556193,556155,38,0,25445508,0,6742 52,3,2024-09-07 09:25:40:681,1,444,0,0,1782,5921,444,0 53,0,2024-09-07 09:25:41:746,111419,0.6,108217,0.8,226294,0.7,296969,2.25 53,1,2024-09-07 09:25:40:809,778319,778319,0,0,366081044547,3835251797,766395,8964,2960,367,391702,0 53,2,2024-09-07 09:25:41:305,564469,564468,1,0,23144479,0,5455 53,3,2024-09-07 09:25:40:702,1,444,1,0,308,3986,444,0 54,0,2024-09-07 09:25:41:616,105130,0.5,105436,0.7,209864,0.4,280919,2.25 54,1,2024-09-07 09:25:40:583,780564,780564,0,0,367120868170,3812912282,773071,6252,1241,366,391659,0 54,2,2024-09-07 09:25:40:873,563610,563578,32,0,26479219,0,6397 54,3,2024-09-07 09:25:40:780,1,444,1,0,676,6265,444,0 55,0,2024-09-07 09:25:41:774,108086,0.5,111501,0.7,225907,0.4,294609,2.25 55,1,2024-09-07 09:25:40:781,781084,781084,0,0,366006603300,3799856753,773447,6542,1095,365,391731,0 55,2,2024-09-07 09:25:40:729,561229,561173,56,0,25353458,0,7239 55,3,2024-09-07 09:25:40:676,1,444,1,0,304,4363,444,0 56,0,2024-09-07 09:25:41:575,115153,1.3,108696,1.2,223871,1.8,299505,2.75 56,1,2024-09-07 09:25:40:581,776728,776728,0,0,365054837973,3851150583,765206,9148,2374,381,391867,0 56,2,2024-09-07 09:25:41:313,557829,557707,122,0,26159877,0,7432 56,3,2024-09-07 09:25:41:073,1,444,1,0,705,5285,444,0 57,0,2024-09-07 09:25:40:978,112205,1.7,111870,1.3,224552,2.3,300311,3.25 57,1,2024-09-07 09:25:40:987,779097,779097,0,0,364604523446,3817129961,770485,7524,1088,366,392032,0 57,2,2024-09-07 09:25:41:335,561964,561964,0,0,27100469,0,3317 57,3,2024-09-07 09:25:41:754,1,444,1,0,455,5012,444,0 58,0,2024-09-07 09:25:40:561,106321,0.8,103264,0.9,216113,0.9,282590,2.50 58,1,2024-09-07 09:25:40:592,779441,779438,0,3,366778103780,3837987395,768319,8815,2304,367,391603,3 58,2,2024-09-07 09:25:41:088,563764,563764,0,0,25179265,0,2902 58,3,2024-09-07 09:25:41:073,1,444,1,0,1043,4672,444,0 59,0,2024-09-07 09:25:41:740,109525,0.7,109034,0.9,217895,0.7,289539,2.50 59,1,2024-09-07 09:25:40:804,779231,779231,0,0,365914436017,3829004423,769008,8414,1809,369,391653,0 59,2,2024-09-07 09:25:40:595,561765,561765,0,0,25035066,0,3727 59,3,2024-09-07 09:25:41:744,1,444,1,0,1015,5777,444,0 60,0,2024-09-07 09:25:41:710,113038,0.5,112990,0.7,226798,0.5,301018,1.75 60,1,2024-09-07 09:25:40:780,781809,781809,0,0,367466234528,3812167560,776657,4430,722,370,392031,0 60,2,2024-09-07 09:25:41:161,558952,558952,0,0,23318771,0,3811 60,3,2024-09-07 09:25:41:265,1,444,15,0,409,5079,444,0 61,0,2024-09-07 09:25:41:509,114270,0.7,114851,0.8,228776,0.7,305117,2.00 61,1,2024-09-07 09:25:40:777,779758,779758,0,0,366157997381,3833301127,771436,6891,1431,382,392127,0 61,2,2024-09-07 09:25:41:125,559877,559810,67,0,23737090,0,6411 61,3,2024-09-07 09:25:41:687,1,444,11,0,479,5851,444,0 62,0,2024-09-07 09:25:41:713,111138,0.5,113974,0.7,217466,0.5,295454,2.00 62,1,2024-09-07 09:25:41:132,784184,784178,0,6,368136603438,3794186285,780362,3555,261,365,391975,6 62,2,2024-09-07 09:25:41:644,563782,563781,1,0,24005760,0,5555 62,3,2024-09-07 09:25:41:143,1,444,3,0,482,3791,444,0 63,0,2024-09-07 09:25:41:461,108093,0.4,107922,0.5,216576,0.3,287647,1.75 63,1,2024-09-07 09:25:40:804,781931,781925,0,6,366920262638,3807880040,776942,4250,733,381,391800,6 63,2,2024-09-07 09:25:40:765,563295,563295,0,0,21785143,0,4369 63,3,2024-09-07 09:25:41:742,1,444,0,0,667,4455,444,0 64,0,2024-09-07 09:25:41:576,112814,0.5,112932,0.6,225162,0.5,300248,1.75 64,1,2024-09-07 09:25:40:780,780926,780926,0,0,366956058504,3820694470,774243,4980,1703,370,391783,0 64,2,2024-09-07 09:25:41:153,563138,563119,19,0,21501889,0,6121 64,3,2024-09-07 09:25:41:143,1,444,2,0,265,4241,444,0 65,0,2024-09-07 09:25:41:686,112604,0.7,112899,0.8,225420,0.8,300583,2.25 65,1,2024-09-07 09:25:40:870,779092,779092,0,0,365414225468,3816593581,773977,4575,540,381,391901,0 65,2,2024-09-07 09:25:41:708,559571,559571,0,0,25363977,0,3367 65,3,2024-09-07 09:25:41:684,1,444,0,0,782,5435,444,0 66,0,2024-09-07 09:25:41:771,111590,0.5,111314,0.7,222620,0.5,296192,2.25 66,1,2024-09-07 09:25:41:297,781061,781061,0,0,366180718646,3812881571,775561,4974,526,380,391743,0 66,2,2024-09-07 09:25:41:131,566420,566420,0,0,22211175,0,4956 66,3,2024-09-07 09:25:41:089,1,444,1,0,291,3750,444,0 67,0,2024-09-07 09:25:41:412,105989,0.4,105846,0.6,212257,0.4,282166,2.00 67,1,2024-09-07 09:25:40:781,781425,781424,0,1,367032709039,3820661059,776066,4564,794,380,391787,1 67,2,2024-09-07 09:25:40:650,565234,565234,0,0,21242707,0,3622 67,3,2024-09-07 09:25:41:761,1,444,49,0,392,4323,444,0 68,0,2024-09-07 09:25:40:561,112137,0.5,112112,0.7,223109,0.5,298609,2.00 68,1,2024-09-07 09:25:40:576,778484,778484,0,0,365143708890,3836821295,768422,7116,2946,381,391953,0 68,2,2024-09-07 09:25:41:049,558855,558755,100,0,28078866,0,8578 68,3,2024-09-07 09:25:40:741,1,444,8,0,417,5012,444,0 69,0,2024-09-07 09:25:41:732,112485,0.8,113221,0.8,225487,0.8,299174,2.25 69,1,2024-09-07 09:25:41:019,777646,777646,0,0,364529169651,3837732006,767871,7504,2271,384,391994,0 69,2,2024-09-07 09:25:41:739,558001,557972,29,0,29588184,0,6912 69,3,2024-09-07 09:25:40:782,1,444,1,0,698,6693,444,0 70,0,2024-09-07 09:25:41:536,111700,1.0,111919,1.1,225210,0.9,298022,2.50 70,1,2024-09-07 09:25:40:802,781682,781682,0,0,367761347082,3813393053,775700,5321,661,366,391725,0 70,2,2024-09-07 09:25:41:327,562757,562757,0,0,24785008,0,4323 70,3,2024-09-07 09:25:40:761,1,444,1,0,854,5027,444,0 71,0,2024-09-07 09:25:41:362,105550,0.7,105267,0.9,211571,0.8,282339,2.50 71,1,2024-09-07 09:25:41:616,780384,780384,0,0,366054525451,3814599977,771942,7639,803,368,391738,0 71,2,2024-09-07 09:25:41:074,563082,563082,0,0,24807973,0,4352 71,3,2024-09-07 09:25:41:750,1,444,1,0,644,5622,444,0 72,0,2024-09-07 09:25:41:075,114544,0.5,112026,0.7,218465,0.4,297306,2.00 72,1,2024-09-07 09:25:41:034,778719,778719,0,0,365489758044,3831186770,767849,8860,2010,369,391819,0 72,2,2024-09-07 09:25:41:777,561260,561260,0,0,27203462,0,3983 72,3,2024-09-07 09:25:41:763,1,444,1,0,564,6603,444,0 73,0,2024-09-07 09:25:41:138,110420,0.4,113168,0.6,231320,0.4,300517,2.00 73,1,2024-09-07 09:25:40:794,780622,780622,0,0,366436110896,3804078136,775277,4936,409,367,391858,0 73,2,2024-09-07 09:25:41:741,558016,558016,0,0,26565740,0,3701 73,3,2024-09-07 09:25:40:969,1,444,10,0,486,5786,444,0 74,0,2024-09-07 09:25:41:324,115408,0.5,118011,0.7,224982,0.5,305474,2.25 74,1,2024-09-07 09:25:40:671,779583,779583,0,0,366552989345,3823437136,771614,6374,1595,381,391762,0 74,2,2024-09-07 09:25:41:002,560136,560136,0,0,24417686,0,4253 74,3,2024-09-07 09:25:41:443,1,444,0,0,522,5605,444,0 75,0,2024-09-07 09:25:41:784,109763,0.5,109029,0.7,218811,0.4,291933,2.25 75,1,2024-09-07 09:25:41:599,780576,780576,0,0,366353928608,3818224196,773723,6025,828,380,391739,0 75,2,2024-09-07 09:25:41:350,564079,564079,0,0,27278396,0,4766 75,3,2024-09-07 09:25:41:072,1,444,1,0,702,5752,444,0 76,0,2024-09-07 09:25:40:606,108522,0.5,107713,0.7,216244,0.5,289254,2.25 76,1,2024-09-07 09:25:40:823,780354,780354,0,0,365421713960,3810558887,774922,4606,826,382,391692,0 76,2,2024-09-07 09:25:41:081,565167,565166,1,0,23869105,0,5144 76,3,2024-09-07 09:25:41:143,1,444,1,0,175,4071,444,0 77,0,2024-09-07 09:25:41:890,113126,0.5,113483,0.7,227127,0.5,301053,2.00 77,1,2024-09-07 09:25:40:839,780669,780669,0,0,366235598847,3819857444,774830,5332,507,381,391869,0 77,2,2024-09-07 09:25:41:306,558536,558536,0,0,23299868,0,3890 77,3,2024-09-07 09:25:41:116,1,444,2,0,401,4868,444,0 78,0,2024-09-07 09:25:41:817,114269,0.5,113703,0.6,228213,0.4,302775,2.00 78,1,2024-09-07 09:25:40:625,781255,781255,0,0,365917197306,3810055143,773385,6301,1569,367,391670,0 78,2,2024-09-07 09:25:41:404,560736,560723,13,0,22091492,0,8313 78,3,2024-09-07 09:25:41:137,1,444,1,0,181,3752,444,0 79,0,2024-09-07 09:25:41:372,106929,0.4,109458,0.6,224151,0.4,291516,2.25 79,1,2024-09-07 09:25:40:576,782310,782310,0,0,366433159529,3797174215,775682,5319,1309,367,391682,0 79,2,2024-09-07 09:25:41:074,565850,565850,0,0,21601382,0,4195 79,3,2024-09-07 09:25:40:760,1,444,1,0,418,5500,444,0 80,0,2024-09-07 09:25:41:118,106941,0.5,109986,0.6,210322,0.4,284907,2.00 80,1,2024-09-07 09:25:41:628,780392,780392,0,0,366183839745,3812527853,774833,5136,423,368,392269,0 80,2,2024-09-07 09:25:41:098,565064,565064,0,0,21811442,0,4433 80,3,2024-09-07 09:25:40:593,1,444,6,0,190,5064,444,0 81,0,2024-09-07 09:25:41:563,112110,0.5,114784,0.7,219226,0.5,297746,2.00 81,1,2024-09-07 09:25:41:658,779343,779343,0,0,365676243607,3819140740,773327,5475,541,382,391879,0 81,2,2024-09-07 09:25:41:130,559464,559401,63,0,24119410,0,5932 81,3,2024-09-07 09:25:41:127,1,444,0,0,719,5268,444,0 82,0,2024-09-07 09:25:41:530,113055,0.5,113223,0.7,227170,0.5,301530,2.00 82,1,2024-09-07 09:25:40:593,781796,781792,0,4,366489073067,3810605953,777093,3880,819,381,391768,4 82,2,2024-09-07 09:25:41:697,560421,560421,0,0,20588860,0,4484 82,3,2024-09-07 09:25:41:752,1,444,1,0,363,4610,444,0 83,0,2024-09-07 09:25:41:527,112151,0.6,112128,0.8,223633,0.7,297172,2.25 83,1,2024-09-07 09:25:40:574,779766,779766,0,0,365763322088,3812701310,774233,5083,450,382,391709,0 83,2,2024-09-07 09:25:40:788,564416,564416,0,0,21326315,0,3393 83,3,2024-09-07 09:25:40:749,1,444,5,0,1260,5643,444,0 84,0,2024-09-07 09:25:41:767,105993,0.6,105940,0.8,211212,0.5,283326,2.25 84,1,2024-09-07 09:25:41:042,779502,779502,0,0,365960096335,3819671768,771794,6664,1044,367,391967,0 84,2,2024-09-07 09:25:40:574,562452,562422,30,0,28527025,0,5971 84,3,2024-09-07 09:25:41:141,1,444,2,0,908,6453,444,0 85,0,2024-09-07 09:25:41:003,107714,0.5,107623,0.7,228400,0.5,295998,2.00 85,1,2024-09-07 09:25:40:568,777200,777200,0,0,364898620585,3845520091,766378,8902,1920,381,392006,0 85,2,2024-09-07 09:25:40:865,560832,560832,0,0,26442320,0,3656 85,3,2024-09-07 09:25:40:686,1,444,1,0,789,5282,444,0 86,0,2024-09-07 09:25:40:975,112630,0.7,116010,0.8,221918,0.7,299980,2.25 86,1,2024-09-07 09:25:40:851,779672,779672,0,0,366099358995,3829928188,770986,7243,1443,366,391961,0 86,2,2024-09-07 09:25:40:893,556202,556201,1,0,28525416,0,5004 86,3,2024-09-07 09:25:40:610,1,444,8,0,308,6265,444,0 87,0,2024-09-07 09:25:41:315,113815,1.0,113590,0.9,227264,1.3,303596,2.50 87,1,2024-09-07 09:25:40:558,778257,778257,0,0,364906586050,3821292170,768297,8366,1594,366,392076,0 87,2,2024-09-07 09:25:41:096,560945,560939,6,0,25298650,0,6323 87,3,2024-09-07 09:25:41:806,1,444,6,0,473,6804,444,0 88,0,2024-09-07 09:25:41:541,107732,0.4,108558,0.6,216292,0.4,287327,1.75 88,1,2024-09-07 09:25:40:577,778141,778141,0,0,365254013214,3822792560,768413,7719,2009,365,392084,0 88,2,2024-09-07 09:25:40:704,564535,564535,0,0,27839581,0,4465 88,3,2024-09-07 09:25:41:267,1,444,40,0,435,5340,444,0 89,0,2024-09-07 09:25:41:767,113010,0.4,109422,0.6,216637,0.4,294542,1.75 89,1,2024-09-07 09:25:40:550,777869,777869,0,0,365085129806,3834662220,768683,7756,1430,382,391866,0 89,2,2024-09-07 09:25:41:133,561830,561830,0,0,26160405,0,3173 89,3,2024-09-07 09:25:42:025,1,444,0,0,468,7584,444,0 90,0,2024-09-07 09:25:41:623,109836,0.5,112876,0.6,230198,0.4,300010,2.00 90,1,2024-09-07 09:25:40:595,778837,778837,0,0,366099320658,3829066234,772059,6265,513,380,391825,0 90,2,2024-09-07 09:25:41:409,556646,556646,0,0,27403503,0,3060 90,3,2024-09-07 09:25:40:938,1,444,1,0,322,5361,444,0 91,0,2024-09-07 09:25:41:010,115129,0.5,111384,0.7,232702,0.5,305814,1.75 91,1,2024-09-07 09:25:40:569,777756,777756,0,0,365522225758,3839445568,768488,7863,1405,381,392047,0 91,2,2024-09-07 09:25:41:332,561058,561058,0,0,24306817,0,2896 91,3,2024-09-07 09:25:40:619,1,444,4,0,216,4301,444,0 92,0,2024-09-07 09:25:41:473,111516,0.4,114300,0.6,218256,0.4,295719,1.75 92,1,2024-09-07 09:25:40:598,780150,780150,0,0,365742399232,3816941408,774603,4821,726,381,392136,0 92,2,2024-09-07 09:25:41:358,565968,565968,0,0,22067209,0,3259 92,3,2024-09-07 09:25:41:009,1,444,0,0,167,3839,444,0 93,0,2024-09-07 09:25:40:958,108563,0.3,111191,0.5,212244,0.3,287770,1.75 93,1,2024-09-07 09:25:40:824,779741,779741,0,0,365734498460,3816385981,771571,6784,1386,366,391776,0 93,2,2024-09-07 09:25:40:934,562908,562908,0,0,26770316,0,4845 93,3,2024-09-07 09:25:41:411,1,444,52,0,190,3973,444,0 94,0,2024-09-07 09:25:41:621,112821,0.3,113766,0.5,227097,0.3,301835,1.75 94,1,2024-09-07 09:25:40:570,780107,780107,0,0,366215842003,3818059919,775307,4590,210,381,391850,0 94,2,2024-09-07 09:25:40:762,559489,559489,0,0,22259148,0,2443 94,3,2024-09-07 09:25:41:695,1,444,1,0,576,5819,444,0 95,0,2024-09-07 09:25:41:533,113587,0.4,113383,0.5,227407,0.3,303180,1.75 95,1,2024-09-07 09:25:40:864,781208,781208,0,0,367106737064,3813947424,775059,5711,438,365,391786,0 95,2,2024-09-07 09:25:41:019,559132,559132,0,0,22167004,0,3308 95,3,2024-09-07 09:25:41:726,1,444,2,0,718,6296,444,0 96,0,2024-09-07 09:25:41:030,111990,0.4,112341,0.5,224049,0.3,297180,1.75 96,1,2024-09-07 09:25:41:583,780042,780042,0,0,365122217299,3808191363,774474,4682,886,384,391955,0 96,2,2024-09-07 09:25:41:279,565795,565795,0,0,23048308,0,4180 96,3,2024-09-07 09:25:41:149,1,444,9,0,411,4936,444,0 97,0,2024-09-07 09:25:41:368,106113,0.3,105673,0.4,212120,0.2,282128,1.50 97,1,2024-09-07 09:25:40:781,781048,781048,0,0,366914332998,3805017662,775886,4228,934,367,392140,0 97,2,2024-09-07 09:25:40:643,563595,563595,0,0,22147982,0,3679 97,3,2024-09-07 09:25:40:594,1,444,1,0,242,5333,444,0 98,0,2024-09-07 09:25:41:751,111619,0.3,111850,0.4,224357,0.2,298391,1.50 98,1,2024-09-07 09:25:40:577,780534,780534,0,0,366448319076,3816259540,775561,4181,792,382,391997,0 98,2,2024-09-07 09:25:40:778,561644,561644,0,0,22237720,0,4336 98,3,2024-09-07 09:25:40:712,1,444,6,0,840,7251,444,0 99,0,2024-09-07 09:25:41:480,113017,0.3,113732,0.5,225978,0.3,301752,1.75 99,1,2024-09-07 09:25:41:743,781020,781020,0,0,366441093241,3811879428,776069,4114,837,381,392069,0 99,2,2024-09-07 09:25:41:431,559743,559743,0,0,26133257,0,4276 99,3,2024-09-07 09:25:40:594,1,444,10,0,606,4768,444,0 100,0,2024-09-07 09:25:41:484,112680,0.8,113053,1.0,225136,0.9,300623,2.50 100,1,2024-09-07 09:25:40:550,776886,776886,0,0,365368145574,3853997182,766143,8691,2052,378,391989,0 100,2,2024-09-07 09:25:41:817,560528,560517,11,0,25470096,0,5417 100,3,2024-09-07 09:25:41:737,1,444,2,0,559,6959,444,0 101,0,2024-09-07 09:25:41:708,108808,0.8,106069,0.9,207961,0.6,284577,2.25 101,1,2024-09-07 09:25:40:550,777342,777342,0,0,364892188314,3833648830,766640,8578,2124,368,391847,0 101,2,2024-09-07 09:25:41:766,560341,560341,0,0,30410854,0,4871 101,3,2024-09-07 09:25:40:944,1,444,2,0,579,5922,444,0 102,0,2024-09-07 09:25:40:957,108003,0.5,111289,0.7,225785,0.5,296072,2.00 102,1,2024-09-07 09:25:41:143,777748,777748,0,0,365291426076,3834040871,767865,8119,1764,369,391891,0 102,2,2024-09-07 09:25:41:738,562990,562936,54,0,24562537,0,6768 102,3,2024-09-07 09:25:41:632,1,444,15,0,466,4805,444,0 103,0,2024-09-07 09:25:41:646,116971,0.5,116941,0.7,220405,0.5,302857,2.00 103,1,2024-09-07 09:25:41:626,777155,777155,0,0,364941962996,3849514204,765150,8939,3066,381,391829,0 103,2,2024-09-07 09:25:40:593,556659,556659,0,0,27103242,0,3766 103,3,2024-09-07 09:25:40:778,1,444,2,0,916,4849,444,0 104,0,2024-09-07 09:25:41:022,113555,0.7,113894,0.9,226733,0.7,303631,2.25 104,1,2024-09-07 09:25:41:599,779009,779009,0,0,365306782672,3832885520,768155,8990,1864,365,392168,0 104,2,2024-09-07 09:25:41:666,559368,559368,0,0,26016746,0,3941 104,3,2024-09-07 09:25:41:422,1,444,1,0,1245,8612,444,0 105,0,2024-09-07 09:25:41:030,108105,0.8,105267,1.0,220741,0.8,290062,2.50 105,1,2024-09-07 09:25:40:555,780220,780220,0,0,366240410515,3833731528,770764,8027,1429,366,392009,0 105,2,2024-09-07 09:25:41:322,563910,563910,0,0,25971782,0,3509 105,3,2024-09-07 09:25:41:304,1,444,2,0,399,6610,444,0 106,0,2024-09-07 09:25:40:997,105255,0.6,107808,0.8,220567,0.6,288758,2.25 106,1,2024-09-07 09:25:41:752,778845,778845,0,0,365874351033,3837328901,767783,9615,1447,369,391914,0 106,2,2024-09-07 09:25:40:758,562138,562138,0,0,25364217,0,2920 106,3,2024-09-07 09:25:40:677,1,444,2,0,470,5548,444,0 107,0,2024-09-07 09:25:41:125,113273,0.8,113516,0.9,226879,1.0,302146,2.25 107,1,2024-09-07 09:25:40:628,777237,777237,0,0,364703299848,3840295104,767000,9183,1054,381,392234,0 107,2,2024-09-07 09:25:41:301,556949,556948,1,0,26270964,0,5024 107,3,2024-09-07 09:25:41:766,1,444,1,0,370,6549,444,0 108,0,2024-09-07 09:25:41:850,113734,0.5,114529,0.6,227808,0.4,304008,1.75 108,1,2024-09-07 09:25:41:301,780346,780346,0,0,367000791487,3824842007,774167,5434,745,367,391857,0 108,2,2024-09-07 09:25:41:763,558931,558931,0,0,24815565,0,4246 108,3,2024-09-07 09:25:41:332,1,444,11,0,749,8057,444,0 109,0,2024-09-07 09:25:41:801,111399,0.4,110573,0.6,221199,0.4,295727,1.75 109,1,2024-09-07 09:25:40:584,777243,777243,0,0,365337903058,3833227240,769799,6257,1187,382,392132,0 109,2,2024-09-07 09:25:40:925,562968,562968,0,0,24372407,0,3617 109,3,2024-09-07 09:25:41:140,1,444,2,0,379,5107,444,0 110,0,2024-09-07 09:25:41:775,106746,0.3,103971,0.5,217880,0.3,285539,1.75 110,1,2024-09-07 09:25:41:656,781281,781281,0,0,367078157336,3807850715,775885,4217,1179,369,392045,0 110,2,2024-09-07 09:25:41:307,563314,563314,0,0,23401532,0,4067 110,3,2024-09-07 09:25:40:695,1,444,7,0,722,6241,444,0 111,0,2024-09-07 09:25:41:428,112413,0.4,111691,0.5,224051,0.3,298978,1.75 111,1,2024-09-07 09:25:41:003,781494,781494,0,0,368141339729,3815953633,777603,3536,355,380,391690,0 111,2,2024-09-07 09:25:41:117,559726,559726,0,0,22858273,0,4823 111,3,2024-09-07 09:25:40:914,1,444,1,0,379,5165,444,0 112,0,2024-09-07 09:25:40:928,113987,0.3,113567,0.4,227449,0.2,302520,1.50 112,1,2024-09-07 09:25:40:828,781257,781257,0,0,366387224469,3801154152,776539,4105,613,380,391624,0 112,2,2024-09-07 09:25:41:134,558934,558933,1,0,22082970,0,5036 112,3,2024-09-07 09:25:40:598,1,444,1,0,282,4282,444,0 113,0,2024-09-07 09:25:40:874,112209,0.3,112280,0.5,225009,0.3,299105,1.75 113,1,2024-09-07 09:25:41:685,783674,783674,0,0,369186008592,3806241311,779780,3305,589,366,391661,0 113,2,2024-09-07 09:25:41:305,566032,566032,0,0,20384494,0,3813 113,3,2024-09-07 09:25:40:696,1,444,1,0,340,4803,444,0 114,0,2024-09-07 09:25:40:877,106938,0.3,107566,0.4,213817,0.2,285941,1.75 114,1,2024-09-07 09:25:40:750,781331,781331,0,0,366953502233,3810459125,775040,4675,1616,381,391565,0 114,2,2024-09-07 09:25:40:878,564596,564595,1,0,21420122,0,5069 114,3,2024-09-07 09:25:41:281,1,444,1,0,395,3715,444,0 115,0,2024-09-07 09:25:40:554,111855,0.2,112398,0.4,224429,0.2,298409,1.50 115,1,2024-09-07 09:25:40:576,781600,781600,0,0,366857290267,3811287036,775104,5229,1267,382,391757,0 115,2,2024-09-07 09:25:41:132,563583,563583,0,0,21270126,0,4382 115,3,2024-09-07 09:25:41:002,1,444,1,0,159,2446,444,0 116,0,2024-09-07 09:25:41:711,112426,0.7,112486,0.8,225425,0.7,301133,2.00 116,1,2024-09-07 09:25:40:818,777591,777591,0,0,365481300811,3845689879,768971,6293,2327,380,392089,0 116,2,2024-09-07 09:25:41:751,557504,557504,0,0,26864376,0,4128 116,3,2024-09-07 09:25:40:919,1,444,9,0,415,5095,444,0 117,0,2024-09-07 09:25:40:967,114297,0.8,113635,0.8,227698,0.9,303943,2.00 117,1,2024-09-07 09:25:41:583,778685,778685,0,0,364384224310,3809579281,770922,6847,916,369,392033,0 117,2,2024-09-07 09:25:41:129,564443,564443,0,0,23098972,0,4303 117,3,2024-09-07 09:25:41:060,1,444,0,0,490,6574,444,0 118,0,2024-09-07 09:25:41:794,104787,0.5,107497,0.6,219591,0.4,286289,2.00 118,1,2024-09-07 09:25:40:591,778520,778520,0,0,364606054388,3832142973,766601,8918,3001,366,391907,0 118,2,2024-09-07 09:25:41:607,563642,563642,0,0,25369961,0,2842 118,3,2024-09-07 09:25:41:766,1,444,0,0,248,5121,444,0 119,0,2024-09-07 09:25:41:365,109788,0.5,109989,0.7,219996,0.4,293109,2.00 119,1,2024-09-07 09:25:40:589,779269,779269,0,0,365809851973,3824337829,770776,7375,1118,367,391857,0 119,2,2024-09-07 09:25:41:287,563634,563634,0,0,24019831,0,4174 119,3,2024-09-07 09:25:41:329,1,444,29,0,1358,8526,444,0 120,0,2024-09-07 09:25:41:558,112622,0.6,112284,0.8,225285,0.6,300359,2.25 120,1,2024-09-07 09:25:40:869,779462,779462,0,0,365337436836,3830394669,771082,7610,770,368,392144,0 120,2,2024-09-07 09:25:40:777,557646,557645,1,0,27312127,0,5281 120,3,2024-09-07 09:25:41:290,1,444,0,0,279,5412,444,0 121,0,2024-09-07 09:25:41:700,114488,1.4,114138,1.1,228821,1.9,305085,2.50 121,1,2024-09-07 09:25:41:661,779481,779481,0,0,365233460654,3814465281,772725,6294,462,367,391840,0 121,2,2024-09-07 09:25:41:128,559486,559486,0,0,26283282,0,4127 121,3,2024-09-07 09:25:40:728,1,444,2,0,269,4840,444,0 122,0,2024-09-07 09:25:41:807,110059,0.7,107303,0.8,225114,0.8,295460,2.00 122,1,2024-09-07 09:25:40:859,778133,778133,0,0,365629335406,3832830248,767685,8844,1604,366,392130,0 122,2,2024-09-07 09:25:41:328,565309,565236,73,0,29235236,0,5989 122,3,2024-09-07 09:25:40:598,1,444,2,0,512,7813,444,0 123,0,2024-09-07 09:25:40:984,107467,0.5,104657,0.7,219454,0.5,287164,2.00 123,1,2024-09-07 09:25:40:567,777855,777855,0,0,365559789516,3847481275,764302,11109,2444,369,392039,0 123,2,2024-09-07 09:25:41:018,561070,561069,1,0,24693339,0,5215 123,3,2024-09-07 09:25:41:132,1,444,1,0,478,5151,444,0 124,0,2024-09-07 09:25:40:929,116573,0.3,116594,0.5,219674,0.3,302711,1.75 124,1,2024-09-07 09:25:41:022,780952,780952,0,0,366519440277,3807238816,775664,4237,1051,367,392178,0 124,2,2024-09-07 09:25:41:010,560239,560186,53,0,22403482,0,6487 124,3,2024-09-07 09:25:40:761,1,444,2,0,490,4232,444,0 125,0,2024-09-07 09:25:41:440,113492,0.4,113311,0.6,227431,0.4,303094,1.75 125,1,2024-09-07 09:25:40:867,779467,779467,0,0,366829796627,3827583570,773548,5246,673,382,391702,0 125,2,2024-09-07 09:25:41:115,560571,560571,0,0,23269304,0,4534 125,3,2024-09-07 09:25:41:126,1,444,1,0,709,5445,444,0 126,0,2024-09-07 09:25:41:451,111940,0.4,115142,0.6,220363,0.4,297934,1.75 126,1,2024-09-07 09:25:40:551,781707,781707,0,0,367296704064,3801771670,777698,3715,294,365,391987,0 126,2,2024-09-07 09:25:40:624,565715,565715,0,0,24095925,0,4539 126,3,2024-09-07 09:25:40:914,1,444,1,0,268,5326,444,0 127,0,2024-09-07 09:25:41:606,106019,0.3,106302,0.5,212335,0.2,282300,1.50 127,1,2024-09-07 09:25:40:576,780688,780688,0,0,365918776554,3804020510,773338,6128,1222,364,392187,0 127,2,2024-09-07 09:25:40:645,561953,561953,0,0,21729056,0,3897 127,3,2024-09-07 09:25:41:283,1,444,1,0,968,4657,444,0 128,0,2024-09-07 09:25:41:523,112385,0.3,112465,0.4,224680,0.2,298916,1.50 128,1,2024-09-07 09:25:41:605,780253,780253,0,0,366619014900,3804030534,775337,4483,433,367,392031,0 128,2,2024-09-07 09:25:41:383,562878,562878,0,0,20998230,0,3171 128,3,2024-09-07 09:25:40:767,1,444,8,0,1082,6840,444,0 129,0,2024-09-07 09:25:40:990,114003,0.3,113442,0.5,227285,0.3,302205,1.75 129,1,2024-09-07 09:25:40:571,778615,778615,0,0,365520169141,3828380098,770900,6043,1672,379,391962,0 129,2,2024-09-07 09:25:40:690,559363,559363,0,0,22429262,0,4031 129,3,2024-09-07 09:25:40:693,1,444,1,0,506,6014,444,0 130,0,2024-09-07 09:25:41:761,113652,0.5,113188,0.6,227712,0.5,301995,1.75 130,1,2024-09-07 09:25:40:594,781077,781077,0,0,366462938290,3805949571,777038,3700,339,381,391825,0 130,2,2024-09-07 09:25:41:128,564091,564091,0,0,22954977,0,4067 130,3,2024-09-07 09:25:41:298,1,444,2,0,960,6802,444,0 131,0,2024-09-07 09:25:41:927,106435,0.3,106957,0.5,214659,0.3,284505,1.75 131,1,2024-09-07 09:25:41:830,780589,780589,0,0,365643911160,3816915044,774616,4923,1050,381,391865,0 131,2,2024-09-07 09:25:40:575,565841,565841,0,0,21124309,0,3979 131,3,2024-09-07 09:25:41:707,1,444,1,0,392,4895,444,0 132,0,2024-09-07 09:25:41:422,111076,0.4,111989,0.6,222996,0.3,297044,1.75 132,1,2024-09-07 09:25:40:583,776752,776752,0,0,364740266192,3837246761,765611,9031,2110,381,392532,0 132,2,2024-09-07 09:25:40:712,561999,561982,17,0,27415346,0,6451 132,3,2024-09-07 09:25:41:706,1,444,1,0,804,7618,444,0 133,0,2024-09-07 09:25:41:519,110246,0.4,112835,0.6,231548,0.4,301129,1.75 133,1,2024-09-07 09:25:40:595,777042,777042,0,0,365191147340,3845582573,766491,9036,1515,383,391914,0 133,2,2024-09-07 09:25:41:111,557691,557641,50,0,28731626,0,6861 133,3,2024-09-07 09:25:41:297,1,444,1,0,479,4622,444,0 134,0,2024-09-07 09:25:40:952,115030,0.6,114621,0.7,229575,0.6,306002,2.00 134,1,2024-09-07 09:25:40:608,778331,778331,0,0,365535513151,3830079915,768529,7766,2036,366,391718,0 134,2,2024-09-07 09:25:41:755,559222,559198,24,0,26374730,0,6207 134,3,2024-09-07 09:25:40:759,1,444,1,0,739,5574,444,0 135,0,2024-09-07 09:25:41:106,105877,0.7,105882,0.8,224786,0.8,289516,2.00 135,1,2024-09-07 09:25:41:601,778655,778655,0,0,365853427390,3844732710,768688,8596,1371,380,391805,0 135,2,2024-09-07 09:25:40:705,564918,564918,0,0,25807935,0,3981 135,3,2024-09-07 09:25:41:002,1,444,1,0,299,3772,444,0 136,0,2024-09-07 09:25:41:622,109380,0.5,109557,0.7,217917,0.4,291136,2.00 136,1,2024-09-07 09:25:41:443,779602,779602,0,0,366015636706,3834916956,771027,7611,964,381,391685,0 136,2,2024-09-07 09:25:41:138,564072,564072,0,0,24742759,0,3506 136,3,2024-09-07 09:25:41:110,1,444,1,0,637,5104,444,0 137,0,2024-09-07 09:25:40:950,117068,0.6,113998,0.7,223620,0.6,303320,2.00 137,1,2024-09-07 09:25:40:583,777592,777592,0,0,365330133382,3829335503,766032,9200,2360,366,391898,0 137,2,2024-09-07 09:25:41:707,556960,556960,0,0,27251455,0,3185 137,3,2024-09-07 09:25:40:770,1,444,0,0,484,5342,444,0 138,0,2024-09-07 09:25:41:750,113572,1.0,113492,1.0,227820,1.2,302675,2.25 138,1,2024-09-07 09:25:41:699,778629,778629,0,0,366274039981,3837056164,768382,8523,1724,368,391954,0 138,2,2024-09-07 09:25:40:599,559202,559202,0,0,24789209,0,4988 138,3,2024-09-07 09:25:40:610,1,444,2,0,1160,5766,444,0 139,0,2024-09-07 09:25:41:376,109845,1.5,109954,1.1,220413,2.2,294155,2.50 139,1,2024-09-07 09:25:40:576,774776,774776,0,0,363533414775,3859640211,760096,11311,3369,380,392058,0 139,2,2024-09-07 09:25:40:696,561109,561109,0,0,28820071,0,3097 139,3,2024-09-07 09:25:41:665,1,444,1,0,432,5080,444,0 140,0,2024-09-07 09:25:41:592,107391,0.3,106783,0.5,214305,0.2,286001,1.75 140,1,2024-09-07 09:25:41:536,782734,782734,0,0,368272707000,3794502976,779167,3126,441,365,391606,0 140,2,2024-09-07 09:25:40:687,564224,564223,1,0,21947136,0,5036 140,3,2024-09-07 09:25:40:767,1,444,0,0,297,3646,444,0 141,0,2024-09-07 09:25:41:702,112332,0.3,115244,0.5,220241,0.2,298661,1.50 141,1,2024-09-07 09:25:40:865,781690,781690,0,0,367795629606,3817615988,775998,4735,957,379,391614,0 141,2,2024-09-07 09:25:41:688,560672,560672,0,0,21741359,0,3360 141,3,2024-09-07 09:25:41:045,1,444,1,0,391,4971,444,0 142,0,2024-09-07 09:25:41:353,114082,0.3,113498,0.5,227083,0.3,303095,1.75 142,1,2024-09-07 09:25:40:595,780613,780613,0,0,366369403281,3816151839,775917,4297,399,382,392102,0 142,2,2024-09-07 09:25:41:327,558483,558451,32,0,23741518,0,6028 142,3,2024-09-07 09:25:41:746,1,444,1,0,484,4922,444,0 143,0,2024-09-07 09:25:41:374,112260,0.5,112489,0.6,225076,0.4,299244,1.75 143,1,2024-09-07 09:25:40:564,781634,781634,0,0,366965248667,3806283847,776582,4507,545,367,391705,0 143,2,2024-09-07 09:25:40:777,564429,564429,0,0,23035816,0,3123 143,3,2024-09-07 09:25:41:144,1,444,1,0,462,5419,444,0 144,0,2024-09-07 09:25:41:496,103397,0.5,106136,0.8,216424,0.5,283903,2.00 144,1,2024-09-07 09:25:40:577,777478,777478,0,0,364444893886,3823136030,769701,5943,1834,381,391649,0 144,2,2024-09-07 09:25:41:759,564179,564179,0,0,21536158,0,3673 144,3,2024-09-07 09:25:41:748,1,444,2,0,249,4477,444,0 145,0,2024-09-07 09:25:41:384,108115,0.5,108116,0.7,229439,0.4,295750,2.00 145,1,2024-09-07 09:25:40:554,777642,777642,0,0,364610314770,3831080383,768003,7977,1662,382,391759,0 145,2,2024-09-07 09:25:41:434,559865,559784,81,0,26475488,0,7814 145,3,2024-09-07 09:25:40:898,1,444,4,0,622,6228,444,0 146,0,2024-09-07 09:25:41:613,112833,0.6,112282,0.8,226006,0.6,299621,2.25 146,1,2024-09-07 09:25:41:588,778325,778325,0,0,365500272689,3841062797,766170,9038,3117,367,391770,0 146,2,2024-09-07 09:25:41:720,556905,556905,0,0,25219557,0,3290 146,3,2024-09-07 09:25:41:281,1,444,0,0,1520,8113,444,0 147,0,2024-09-07 09:25:41:712,114002,0.7,113926,0.8,227124,0.7,303299,2.25 147,1,2024-09-07 09:25:41:384,781595,781595,0,0,366388333155,3808704340,774875,5873,847,368,391791,0 147,2,2024-09-07 09:25:41:010,561549,561549,0,0,22928690,0,2968 147,3,2024-09-07 09:25:40:919,1,444,2,0,1626,7571,444,0 0,0,2024-09-07 09:25:51:727,110083,0.6,110023,0.7,233520,0.6,301522,2.00 0,1,2024-09-07 09:25:50:803,780544,780544,0,0,366342966578,3831643221,775070,5085,389,369,391896,0 0,2,2024-09-07 09:25:51:069,560845,560845,0,0,22166966,0,4480 0,3,2024-09-07 09:25:50:976,1,445,1,0,431,6447,445,0 1,0,2024-09-07 09:25:51:768,114702,1.1,114059,1.0,228617,1.5,305614,2.25 1,1,2024-09-07 09:25:50:559,780186,780186,0,0,366022122664,3828686699,773671,5186,1329,370,391859,0 1,2,2024-09-07 09:25:50:645,560877,560877,0,0,22537211,0,3380 1,3,2024-09-07 09:25:51:305,1,445,2,0,268,5436,445,0 2,0,2024-09-07 09:25:51:566,110585,0.6,110647,0.7,220650,0.6,294334,2.00 2,1,2024-09-07 09:25:50:863,782835,782835,0,0,367522894028,3812510590,779544,2996,295,380,391745,0 2,2,2024-09-07 09:25:51:271,567192,567192,0,0,21141117,0,3594 2,3,2024-09-07 09:25:50:692,1,445,1,0,357,4225,445,0 3,0,2024-09-07 09:25:51:746,108288,0.4,107973,0.6,216035,0.3,287991,2.00 3,1,2024-09-07 09:25:51:618,781321,781321,0,0,366387946391,3813312113,775080,5550,691,379,391716,0 3,2,2024-09-07 09:25:51:142,564937,564914,23,0,22272164,0,5851 3,3,2024-09-07 09:25:51:759,1,445,1,0,207,2941,445,0 4,0,2024-09-07 09:25:51:810,110187,0.4,113250,0.5,230951,0.3,302037,1.75 4,1,2024-09-07 09:25:50:596,778464,778464,0,0,364953309508,3853054309,766636,9320,2508,370,391992,0 4,2,2024-09-07 09:25:51:024,558137,558137,0,0,25320440,0,4528 4,3,2024-09-07 09:25:51:045,1,445,3,0,448,5669,445,0 5,0,2024-09-07 09:25:51:378,113584,0.4,114208,0.6,228005,0.4,303148,1.75 5,1,2024-09-07 09:25:50:758,779951,779951,0,0,366101430416,3849684081,769513,8278,2160,367,392005,0 5,2,2024-09-07 09:25:51:830,558411,558411,0,0,25220218,0,3582 5,3,2024-09-07 09:25:51:736,1,445,1,0,457,6081,445,0 6,0,2024-09-07 09:25:50:918,112136,0.4,111737,0.6,223446,0.4,297899,2.00 6,1,2024-09-07 09:25:50:745,781340,781340,0,0,366747587785,3828909708,773126,6821,1393,379,391702,0 6,2,2024-09-07 09:25:51:116,565817,565799,18,0,25128918,0,5535 6,3,2024-09-07 09:25:51:276,1,445,1,0,710,5501,445,0 7,0,2024-09-07 09:25:51:545,105630,0.4,106440,0.6,211938,0.4,282399,1.75 7,1,2024-09-07 09:25:50:863,780519,780519,0,0,366363230475,3839437223,770728,8327,1464,382,391747,0 7,2,2024-09-07 09:25:50:770,564809,564809,0,0,24403875,0,4791 7,3,2024-09-07 09:25:50:856,1,445,0,0,552,4978,445,0 8,0,2024-09-07 09:25:51:341,112433,0.3,112222,0.5,224852,0.3,299533,1.75 8,1,2024-09-07 09:25:51:016,779233,779233,0,0,366001169363,3844657990,767605,8995,2633,366,392853,0 8,2,2024-09-07 09:25:50:791,558171,558171,0,0,28670549,0,3250 8,3,2024-09-07 09:25:50:585,1,445,1,0,538,7113,445,0 9,0,2024-09-07 09:25:51:135,113430,0.4,110261,0.5,230660,0.3,302460,1.75 9,1,2024-09-07 09:25:50:552,778839,778839,0,0,365760750893,3848740216,767756,8662,2421,369,392001,0 9,2,2024-09-07 09:25:51:088,559566,559566,0,0,25480909,0,3360 9,3,2024-09-07 09:25:51:767,1,445,0,0,496,6234,445,0 10,0,2024-09-07 09:25:51:603,112963,0.4,112484,0.5,225888,0.3,300575,1.75 10,1,2024-09-07 09:25:50:585,780565,780565,0,0,366150547905,3835179350,770072,8869,1624,381,391741,0 10,2,2024-09-07 09:25:50:766,563960,563960,0,0,28143044,0,4264 10,3,2024-09-07 09:25:50:871,1,445,8,0,649,4643,445,0 11,0,2024-09-07 09:25:51:006,106626,0.4,103410,0.6,216268,0.4,285326,1.75 11,1,2024-09-07 09:25:50:580,781125,781125,0,0,367183300081,3847960102,769796,8702,2627,383,391756,0 11,2,2024-09-07 09:25:51:123,564524,564524,0,0,24729999,0,4130 11,3,2024-09-07 09:25:51:300,1,445,1,0,843,6249,445,0 12,0,2024-09-07 09:25:50:961,112100,0.4,112145,0.5,224454,0.3,298128,1.75 12,1,2024-09-07 09:25:50:933,780973,780973,0,0,366726716320,3820582667,775398,5072,503,370,391960,0 12,2,2024-09-07 09:25:51:563,563267,563267,0,0,24149520,0,3469 12,3,2024-09-07 09:25:51:076,1,445,20,0,386,6417,445,0 13,0,2024-09-07 09:25:51:334,114316,0.4,113853,0.6,227144,0.4,302574,1.75 13,1,2024-09-07 09:25:51:525,779291,779291,0,0,365689443449,3841343105,771658,5999,1634,382,391740,0 13,2,2024-09-07 09:25:50:595,561165,561165,0,0,22134270,0,3287 13,3,2024-09-07 09:25:51:772,1,445,9,0,522,6396,445,0 14,0,2024-09-07 09:25:50:560,114575,0.4,115611,0.6,228618,0.4,304878,1.75 14,1,2024-09-07 09:25:51:565,784764,784764,0,0,368255186753,3811405923,778540,5515,709,364,391673,0 14,2,2024-09-07 09:25:50:764,562461,562431,30,0,24274367,0,6104 14,3,2024-09-07 09:25:51:115,1,445,2,0,1168,4748,445,0 15,0,2024-09-07 09:25:51:555,109084,0.4,108984,0.6,218485,0.4,290278,2.00 15,1,2024-09-07 09:25:51:608,782116,782116,0,0,367052171899,3821055880,775889,5048,1179,381,391619,0 15,2,2024-09-07 09:25:50:999,567786,567786,0,0,20212081,0,3622 15,3,2024-09-07 09:25:51:405,1,445,3,0,1126,7008,445,0 16,0,2024-09-07 09:25:50:946,109264,0.5,109881,0.7,218908,0.5,291477,2.00 16,1,2024-09-07 09:25:50:569,781763,781763,0,0,366464451307,3829313192,775151,5497,1115,370,391917,0 16,2,2024-09-07 09:25:51:437,564075,564075,0,0,23894811,0,4719 16,3,2024-09-07 09:25:51:152,1,445,0,0,317,5462,445,0 17,0,2024-09-07 09:25:51:770,116961,0.6,114356,0.7,223534,0.6,303241,2.00 17,1,2024-09-07 09:25:50:584,780212,780212,0,0,366203455972,3839494421,772538,6155,1519,368,392075,0 17,2,2024-09-07 09:25:51:670,561928,561928,0,0,23535423,0,3779 17,3,2024-09-07 09:25:50:584,1,445,2,0,518,6956,445,0 18,0,2024-09-07 09:25:50:940,113108,0.7,113631,0.8,226548,0.7,302665,2.25 18,1,2024-09-07 09:25:51:638,783306,783306,0,0,367838061298,3809104568,779302,3624,380,367,391725,0 18,2,2024-09-07 09:25:51:771,563569,563569,0,0,20952049,0,3541 18,3,2024-09-07 09:25:50:901,1,445,1,0,163,3317,445,0 19,0,2024-09-07 09:25:51:538,110498,0.6,111015,0.8,220264,0.6,293504,2.25 19,1,2024-09-07 09:25:50:566,783414,783414,0,0,368034093257,3814433842,777464,5029,921,367,391777,0 19,2,2024-09-07 09:25:51:752,568736,568736,0,0,19991427,0,3988 19,3,2024-09-07 09:25:51:129,1,445,298,0,524,3797,445,0 20,0,2024-09-07 09:25:51:344,107297,0.4,107299,0.6,214357,0.4,286233,2.00 20,1,2024-09-07 09:25:50:569,780629,780629,0,0,366615602072,3831323445,773907,5921,801,369,391922,0 20,2,2024-09-07 09:25:50:928,563788,563788,0,0,23818605,0,3721 20,3,2024-09-07 09:25:50:599,1,445,0,0,414,6339,445,0 21,0,2024-09-07 09:25:51:139,112355,0.4,112456,0.6,224917,0.4,298905,1.75 21,1,2024-09-07 09:25:51:541,779056,779056,0,0,365938734283,3853865736,768084,8491,2481,368,392016,0 21,2,2024-09-07 09:25:51:067,558391,558371,20,0,28839367,0,5617 21,3,2024-09-07 09:25:51:404,1,445,15,0,713,5909,445,0 22,0,2024-09-07 09:25:51:719,113635,0.5,114082,0.7,227658,0.4,302187,2.00 22,1,2024-09-07 09:25:51:023,780316,780316,0,0,366401170056,3845973527,769161,8928,2227,382,391667,0 22,2,2024-09-07 09:25:50:759,559257,559231,26,0,22963937,0,6328 22,3,2024-09-07 09:25:51:066,1,445,1,0,228,3574,445,0 23,0,2024-09-07 09:25:51:375,112014,0.5,111448,0.7,223348,0.5,297515,2.25 23,1,2024-09-07 09:25:51:003,781141,781141,0,0,367040377942,3849632226,768030,9057,4054,365,391690,0 23,2,2024-09-07 09:25:51:095,566904,566904,0,0,22531850,0,3773 23,3,2024-09-07 09:25:51:768,1,445,5,0,720,5603,445,0 24,0,2024-09-07 09:25:50:913,107563,0.4,106964,0.5,215062,0.3,285582,1.75 24,1,2024-09-07 09:25:50:589,780361,780361,0,0,365518685508,3828176529,772351,6415,1595,367,392269,0 24,2,2024-09-07 09:25:51:085,564049,564049,0,0,27143648,0,3607 24,3,2024-09-07 09:25:51:686,1,445,1,0,468,5894,445,0 25,0,2024-09-07 09:25:51:346,115178,0.4,112112,0.5,219989,0.3,299869,1.75 25,1,2024-09-07 09:25:50:558,779773,779773,0,0,366285273134,3855888751,767743,9779,2251,371,391928,0 25,2,2024-09-07 09:25:51:607,561216,561216,0,0,27789117,0,3978 25,3,2024-09-07 09:25:51:000,1,445,0,0,532,4934,445,0 26,0,2024-09-07 09:25:51:727,112821,0.4,110185,0.6,231289,0.4,300827,2.00 26,1,2024-09-07 09:25:51:545,781874,781874,0,0,366617285284,3840605544,770240,9225,2409,380,391748,0 26,2,2024-09-07 09:25:50:862,559989,559989,0,0,27735761,0,2809 26,3,2024-09-07 09:25:51:718,1,445,1,0,796,5213,445,0 27,0,2024-09-07 09:25:51:724,114218,0.5,114334,0.6,227530,0.4,303397,2.25 27,1,2024-09-07 09:25:51:676,782860,782860,0,0,368048127109,3830611072,775589,6369,902,381,391626,0 27,2,2024-09-07 09:25:50:869,560603,560538,65,0,25377449,0,5699 27,3,2024-09-07 09:25:51:014,1,445,2,0,564,4136,445,0 28,0,2024-09-07 09:25:51:393,108132,0.4,107816,0.6,216261,0.3,287814,1.75 28,1,2024-09-07 09:25:50:811,782056,782056,0,0,367296388091,3831140332,774861,5496,1699,382,391698,0 28,2,2024-09-07 09:25:51:772,565560,565560,0,0,23257573,0,2915 28,3,2024-09-07 09:25:51:779,1,445,1,0,502,4762,445,0 29,0,2024-09-07 09:25:51:392,113569,0.3,110572,0.5,216899,0.2,295882,1.75 29,1,2024-09-07 09:25:51:572,784526,784526,0,0,368458200370,3810547602,779656,4135,735,368,391809,0 29,2,2024-09-07 09:25:50:867,563648,563648,0,0,21984340,0,4986 29,3,2024-09-07 09:25:50:969,1,445,1,0,459,5125,445,0 30,0,2024-09-07 09:25:51:460,112915,0.5,109751,0.7,229835,0.5,300435,2.00 30,1,2024-09-07 09:25:50:577,783352,783352,0,0,368065762853,3820245165,777281,5304,767,381,391672,0 30,2,2024-09-07 09:25:51:280,560260,560260,0,0,21393883,0,4192 30,3,2024-09-07 09:25:50:581,1,445,1,0,519,4266,445,0 31,0,2024-09-07 09:25:51:757,114316,0.5,114697,0.7,229143,0.5,305592,2.00 31,1,2024-09-07 09:25:50:564,787022,787022,0,0,369384050770,3784616340,783916,2520,586,356,391712,0 31,2,2024-09-07 09:25:51:285,560889,560889,0,0,23163705,0,3525 31,3,2024-09-07 09:25:51:710,1,445,1,0,220,3804,445,0 32,0,2024-09-07 09:25:51:418,110945,0.3,111597,0.5,222186,0.2,296164,1.50 32,1,2024-09-07 09:25:50:804,783045,783045,0,0,367399883545,3819851989,777923,4440,682,381,391646,0 32,2,2024-09-07 09:25:50:943,567830,567830,0,0,20903918,0,3922 32,3,2024-09-07 09:25:51:014,1,445,0,0,304,3435,445,0 33,0,2024-09-07 09:25:51:496,108365,0.3,108057,0.4,216580,0.2,288487,1.50 33,1,2024-09-07 09:25:50:582,783904,783904,0,0,368370942509,3817411097,777389,5410,1105,368,391730,0 33,2,2024-09-07 09:25:50:759,565351,565316,35,0,23191849,0,7012 33,3,2024-09-07 09:25:50:896,1,445,7,0,329,4172,445,0 34,0,2024-09-07 09:25:50:931,113920,0.3,116986,0.4,223659,0.2,301402,1.75 34,1,2024-09-07 09:25:51:048,785154,785154,0,0,369458984104,3796771657,783409,1733,12,367,391562,0 34,2,2024-09-07 09:25:50:766,561061,561061,0,0,21456521,0,4562 34,3,2024-09-07 09:25:51:693,1,445,5,0,299,3288,445,0 35,0,2024-09-07 09:25:50:861,113106,0.3,113689,0.5,228472,0.3,303945,1.75 35,1,2024-09-07 09:25:51:067,782744,782744,0,0,367801057975,3810342668,778164,3722,858,382,391769,0 35,2,2024-09-07 09:25:51:583,560901,560901,0,0,23333617,0,4055 35,3,2024-09-07 09:25:50:907,1,445,6,0,418,4536,445,0 36,0,2024-09-07 09:25:51:535,112334,0.5,112172,0.6,224307,0.4,298399,2.00 36,1,2024-09-07 09:25:50:586,782296,782296,0,0,366934383715,3828165549,772646,7649,2001,366,391759,0 36,2,2024-09-07 09:25:51:752,567009,567009,0,0,24421491,0,3875 36,3,2024-09-07 09:25:50:863,1,445,1,0,416,6115,445,0 37,0,2024-09-07 09:25:51:370,105752,0.4,106021,0.6,211642,0.4,282804,2.00 37,1,2024-09-07 09:25:50:568,781656,781649,0,7,366062682442,3819339277,772825,6548,2276,365,391770,0 37,2,2024-09-07 09:25:51:148,562366,562351,15,0,24265106,0,5815 37,3,2024-09-07 09:25:51:771,1,445,1,0,888,6612,445,0 38,0,2024-09-07 09:25:51:436,111651,0.4,108369,0.6,226807,0.3,297234,2.00 38,1,2024-09-07 09:25:51:611,782686,782686,0,0,366934303557,3831714754,771936,8589,2161,368,391821,0 38,2,2024-09-07 09:25:50:759,562048,562001,47,0,23981857,0,6710 38,3,2024-09-07 09:25:50:997,1,445,1,0,689,5492,445,0 39,0,2024-09-07 09:25:51:764,115912,0.6,113429,0.7,221181,0.6,301728,2.00 39,1,2024-09-07 09:25:50:716,781302,781302,0,0,367232694648,3845529532,768857,9754,2691,365,391658,0 39,2,2024-09-07 09:25:51:418,560623,560623,0,0,22851463,0,3391 39,3,2024-09-07 09:25:50:713,1,445,1,0,324,4977,445,0 40,0,2024-09-07 09:25:51:492,111934,0.8,112507,1.0,224376,0.9,299176,2.75 40,1,2024-09-07 09:25:50:588,781944,781944,0,0,366495535209,3834535295,771122,8778,2044,368,391668,0 40,2,2024-09-07 09:25:51:306,563364,563363,1,0,26632345,0,5137 40,3,2024-09-07 09:25:51:149,1,445,2,0,1028,6022,445,0 41,0,2024-09-07 09:25:51:034,106268,1.2,108609,1.2,207127,1.9,281961,3.00 41,1,2024-09-07 09:25:50:788,780436,780436,0,0,366447533760,3829080206,771252,8144,1040,369,391742,0 41,2,2024-09-07 09:25:50:759,563256,563256,0,0,25480095,0,4277 41,3,2024-09-07 09:25:51:676,1,445,4,0,366,4514,445,0 42,0,2024-09-07 09:25:51:477,110894,0.7,110826,0.9,221806,0.7,294062,2.50 42,1,2024-09-07 09:25:51:439,778875,778875,0,0,366211617023,3844445597,766787,10057,2031,380,391675,0 42,2,2024-09-07 09:25:51:133,562613,562613,0,0,25592814,0,3790 42,3,2024-09-07 09:25:51:008,1,445,1,0,892,4286,445,0 43,0,2024-09-07 09:25:50:918,112351,0.7,109337,0.9,228945,0.8,299918,2.25 43,1,2024-09-07 09:25:50:580,782268,782268,0,0,367468000626,3835688490,771886,8643,1739,366,391696,0 43,2,2024-09-07 09:25:51:737,559963,559963,0,0,25165101,0,3812 43,3,2024-09-07 09:25:51:751,1,445,2,0,548,5761,445,0 44,0,2024-09-07 09:25:50:874,114688,0.5,114836,0.6,230181,0.4,305509,2.00 44,1,2024-09-07 09:25:50:563,783549,783549,0,0,367675214451,3798666124,777047,5184,1318,356,391809,0 44,2,2024-09-07 09:25:51:281,560881,560881,0,0,21349429,0,4344 44,3,2024-09-07 09:25:51:095,1,445,0,0,817,5122,445,0 45,0,2024-09-07 09:25:51:768,108026,0.5,105465,0.7,221292,0.4,290902,2.00 45,1,2024-09-07 09:25:51:005,782720,782720,0,0,367869119591,3820525056,777058,5088,574,382,391917,0 45,2,2024-09-07 09:25:51:281,567301,567301,0,0,21616835,0,3596 45,3,2024-09-07 09:25:50:941,1,445,7,0,271,3773,445,0 46,0,2024-09-07 09:25:50:949,108963,0.4,108587,0.6,217856,0.4,289443,2.00 46,1,2024-09-07 09:25:50:585,784402,784402,0,0,368099544166,3800656875,779685,4125,592,366,391709,0 46,2,2024-09-07 09:25:50:594,564627,564627,0,0,21754905,0,4443 46,3,2024-09-07 09:25:51:135,1,445,4,0,908,5496,445,0 47,0,2024-09-07 09:25:51:103,113865,0.4,114166,0.6,228315,0.4,302263,1.75 47,1,2024-09-07 09:25:50:567,785124,785124,0,0,367844274229,3799874806,780247,4039,838,366,391641,0 47,2,2024-09-07 09:25:50:908,562071,562071,0,0,21257124,0,4477 47,3,2024-09-07 09:25:51:116,1,445,1,0,600,5311,445,0 48,0,2024-09-07 09:25:51:531,114776,0.3,114749,0.4,228478,0.2,304762,1.50 48,1,2024-09-07 09:25:51:023,783168,783168,0,0,368548096570,3825295648,778454,4258,456,384,391710,0 48,2,2024-09-07 09:25:50:706,561337,561337,0,0,19958922,0,3411 48,3,2024-09-07 09:25:50:753,1,445,2,0,339,3520,445,0 49,0,2024-09-07 09:25:51:720,113868,0.3,111966,0.5,217130,0.3,297374,1.75 49,1,2024-09-07 09:25:51:023,782654,782654,0,0,367395381130,3820282754,777496,3951,1207,382,391809,0 49,2,2024-09-07 09:25:51:801,567196,567196,0,0,22042472,0,4426 49,3,2024-09-07 09:25:51:417,1,445,1,0,992,5264,445,0 50,0,2024-09-07 09:25:51:506,107937,0.3,106347,0.4,214480,0.2,285922,1.75 50,1,2024-09-07 09:25:51:010,785061,785061,0,0,368578649693,3808699540,780129,4358,574,368,391565,0 50,2,2024-09-07 09:25:51:069,564594,564594,0,0,20191395,0,4490 50,3,2024-09-07 09:25:51:291,1,445,1,0,567,4381,445,0 51,0,2024-09-07 09:25:51:684,115181,0.3,112973,0.4,220235,0.2,300441,1.75 51,1,2024-09-07 09:25:51:680,785287,785287,0,0,369214474240,3805623317,781329,2909,1049,365,391706,0 51,2,2024-09-07 09:25:51:319,561384,561384,0,0,19130149,0,3337 51,3,2024-09-07 09:25:51:027,1,445,17,0,678,3548,445,0 52,0,2024-09-07 09:25:51:419,114006,0.5,113844,0.7,227944,0.5,303054,2.00 52,1,2024-09-07 09:25:50:583,782003,782003,0,0,366439193908,3829477801,771661,8989,1353,368,391722,0 52,2,2024-09-07 09:25:51:783,557705,557667,38,0,25471226,0,6742 52,3,2024-09-07 09:25:50:686,1,445,7,0,1782,5928,445,0 53,0,2024-09-07 09:25:51:728,111594,0.6,108374,0.8,226631,0.7,297365,2.25 53,1,2024-09-07 09:25:50:773,780066,780066,0,0,367010865301,3844836479,768142,8964,2960,367,391702,0 53,2,2024-09-07 09:25:51:301,566025,566024,1,0,23206158,0,5455 53,3,2024-09-07 09:25:50:705,1,445,1,0,308,3987,445,0 54,0,2024-09-07 09:25:51:620,105445,0.5,105723,0.7,210430,0.4,281557,2.25 54,1,2024-09-07 09:25:50:580,782322,782322,0,0,367786742865,3819695474,774829,6252,1241,366,391659,0 54,2,2024-09-07 09:25:50:865,564715,564683,32,0,26496140,0,6397 54,3,2024-09-07 09:25:50:763,1,445,28,0,676,6293,445,0 55,0,2024-09-07 09:25:51:784,108502,0.5,111921,0.7,226789,0.4,295717,2.25 55,1,2024-09-07 09:25:50:764,782828,782828,0,0,367001841074,3809961217,775191,6542,1095,365,391731,0 55,2,2024-09-07 09:25:50:730,562305,562249,56,0,25374957,0,7239 55,3,2024-09-07 09:25:50:675,1,445,2,0,304,4365,445,0 56,0,2024-09-07 09:25:51:565,115312,1.3,108836,1.2,224186,1.8,299977,2.75 56,1,2024-09-07 09:25:50:579,778493,778493,0,0,365780781929,3858593546,766971,9148,2374,381,391867,0 56,2,2024-09-07 09:25:51:318,559426,559304,122,0,26178854,0,7432 56,3,2024-09-07 09:25:51:060,1,445,2,0,705,5287,445,0 57,0,2024-09-07 09:25:50:961,112304,1.7,111994,1.3,224747,2.3,300543,3.25 57,1,2024-09-07 09:25:50:985,780885,780885,0,0,365370985970,3824913877,772273,7524,1088,366,392032,0 57,2,2024-09-07 09:25:51:320,563074,563074,0,0,27111471,0,3317 57,3,2024-09-07 09:25:51:738,1,445,7,0,455,5019,445,0 58,0,2024-09-07 09:25:50:562,106382,0.8,103301,0.9,216212,0.9,282937,2.50 58,1,2024-09-07 09:25:50:578,781187,781184,0,3,367679572204,3847109919,770065,8815,2304,367,391603,3 58,2,2024-09-07 09:25:51:075,564914,564914,0,0,25188046,0,2902 58,3,2024-09-07 09:25:51:072,1,445,1,0,1043,4673,445,0 59,0,2024-09-07 09:25:51:744,109908,0.7,109465,0.9,218725,0.7,290848,2.50 59,1,2024-09-07 09:25:50:804,781005,781005,0,0,366519768265,3835178571,770781,8415,1809,369,391653,0 59,2,2024-09-07 09:25:50:586,563060,563060,0,0,25044005,0,3727 59,3,2024-09-07 09:25:51:736,1,445,1,0,1015,5778,445,0 60,0,2024-09-07 09:25:51:722,113131,0.5,113107,0.7,226985,0.5,301298,1.75 60,1,2024-09-07 09:25:50:771,783521,783521,0,0,368081440998,3818472201,778369,4430,722,370,392031,0 60,2,2024-09-07 09:25:51:147,560187,560187,0,0,23332838,0,3811 60,3,2024-09-07 09:25:51:261,1,445,2,0,409,5081,445,0 61,0,2024-09-07 09:25:51:504,114419,0.7,115028,0.8,229102,0.7,305390,2.00 61,1,2024-09-07 09:25:50:787,781498,781498,0,0,366906734635,3840962221,773176,6891,1431,382,392127,0 61,2,2024-09-07 09:25:51:116,561102,561035,67,0,23750924,0,6411 61,3,2024-09-07 09:25:51:690,1,445,1,0,479,5852,445,0 62,0,2024-09-07 09:25:51:714,111405,0.5,114248,0.7,218002,0.5,295968,2.00 62,1,2024-09-07 09:25:51:116,786061,786055,0,6,369180654488,3804780264,782239,3555,261,365,391975,6 62,2,2024-09-07 09:25:51:652,565223,565222,1,0,24021306,0,5555 62,3,2024-09-07 09:25:51:149,1,445,0,0,482,3791,445,0 63,0,2024-09-07 09:25:51:454,108448,0.4,108276,0.5,217261,0.3,288825,1.75 63,1,2024-09-07 09:25:50:875,783737,783731,0,6,367923880003,3818067483,778748,4250,733,381,391800,6 63,2,2024-09-07 09:25:50:760,564621,564621,0,0,21796461,0,4369 63,3,2024-09-07 09:25:51:736,1,445,4,0,667,4459,445,0 64,0,2024-09-07 09:25:51:519,113172,0.5,113264,0.6,225866,0.5,300603,1.75 64,1,2024-09-07 09:25:50:752,782670,782670,0,0,367710722145,3828527101,775987,4980,1703,370,391783,0 64,2,2024-09-07 09:25:51:142,563961,563942,19,0,21517648,0,6121 64,3,2024-09-07 09:25:51:141,1,445,1,0,265,4242,445,0 65,0,2024-09-07 09:25:51:686,112912,0.7,113195,0.8,225974,0.8,301392,2.25 65,1,2024-09-07 09:25:50:869,780844,780844,0,0,366107375984,3823779310,775729,4575,540,381,391901,0 65,2,2024-09-07 09:25:51:693,561014,561014,0,0,25408215,0,3367 65,3,2024-09-07 09:25:51:683,1,445,1,0,782,5436,445,0 66,0,2024-09-07 09:25:51:770,111756,0.5,111502,0.7,222986,0.5,296767,2.25 66,1,2024-09-07 09:25:51:296,782829,782829,0,0,366930094868,3820597186,777329,4974,526,380,391743,0 66,2,2024-09-07 09:25:51:133,567913,567913,0,0,22267333,0,4956 66,3,2024-09-07 09:25:51:079,1,445,4,0,291,3754,445,0 67,0,2024-09-07 09:25:51:422,106354,0.4,106180,0.6,212942,0.4,283461,2.00 67,1,2024-09-07 09:25:50:767,783105,783104,0,1,367789594779,3828521468,777746,4564,794,380,391787,1 67,2,2024-09-07 09:25:50:591,566543,566543,0,0,21267789,0,3622 67,3,2024-09-07 09:25:51:750,1,445,1,0,392,4324,445,0 68,0,2024-09-07 09:25:50:573,112495,0.5,112475,0.7,223838,0.5,299360,2.00 68,1,2024-09-07 09:25:50:577,780212,780212,0,0,365876783175,3844343351,770149,7117,2946,381,391953,0 68,2,2024-09-07 09:25:51:057,559638,559538,100,0,28091683,0,8578 68,3,2024-09-07 09:25:50:729,1,445,3,0,417,5015,445,0 69,0,2024-09-07 09:25:51:729,112716,0.8,113456,0.8,225997,0.8,300113,2.25 69,1,2024-09-07 09:25:51:023,779433,779433,0,0,365209782170,3844838661,769658,7504,2271,384,391994,0 69,2,2024-09-07 09:25:51:742,559499,559470,29,0,29628302,0,6912 69,3,2024-09-07 09:25:50:762,1,445,2,0,698,6695,445,0 70,0,2024-09-07 09:25:51:531,111831,1.0,112054,1.1,225483,0.9,298480,2.50 70,1,2024-09-07 09:25:50:802,783450,783450,0,0,368638499428,3822367064,777468,5321,661,366,391725,0 70,2,2024-09-07 09:25:51:326,564135,564135,0,0,24809460,0,4323 70,3,2024-09-07 09:25:50:744,1,445,1,0,854,5028,445,0 71,0,2024-09-07 09:25:51:390,105777,0.7,105476,0.9,212020,0.8,283255,2.50 71,1,2024-09-07 09:25:51:596,782172,782172,0,0,366713676038,3821375186,773730,7639,803,368,391738,0 71,2,2024-09-07 09:25:51:069,564272,564272,0,0,24824588,0,4352 71,3,2024-09-07 09:25:51:750,1,445,7,0,644,5629,445,0 72,0,2024-09-07 09:25:51:028,115013,0.5,112533,0.7,219398,0.4,298451,2.00 72,1,2024-09-07 09:25:51:023,780466,780466,0,0,366455648751,3840978824,769596,8860,2010,369,391819,0 72,2,2024-09-07 09:25:51:755,562310,562310,0,0,27217113,0,3983 72,3,2024-09-07 09:25:51:768,1,445,0,0,564,6603,445,0 73,0,2024-09-07 09:25:51:108,110527,0.4,113298,0.6,231556,0.4,300837,2.00 73,1,2024-09-07 09:25:50:778,782399,782399,0,0,367362589431,3813480133,777054,4936,409,367,391858,0 73,2,2024-09-07 09:25:51:744,559438,559438,0,0,26580238,0,3701 73,3,2024-09-07 09:25:50:972,1,445,1,0,486,5787,445,0 74,0,2024-09-07 09:25:51:332,115505,0.5,118137,0.7,225203,0.5,305791,2.25 74,1,2024-09-07 09:25:50:636,781390,781390,0,0,367368945687,3831746942,773421,6374,1595,381,391762,0 74,2,2024-09-07 09:25:51:002,561507,561507,0,0,24431068,0,4253 74,3,2024-09-07 09:25:51:444,1,445,1,0,522,5606,445,0 75,0,2024-09-07 09:25:51:791,109875,0.5,109127,0.7,219001,0.4,291933,2.25 75,1,2024-09-07 09:25:51:584,782315,782315,0,0,367026964411,3825092786,775462,6025,828,380,391739,0 75,2,2024-09-07 09:25:51:351,565251,565251,0,0,27296448,0,4766 75,3,2024-09-07 09:25:51:069,1,445,1,0,702,5753,445,0 76,0,2024-09-07 09:25:50:643,108924,0.5,108108,0.7,217056,0.5,290160,2.25 76,1,2024-09-07 09:25:50:804,782104,782104,0,0,366147803958,3818001639,776672,4606,826,382,391692,0 76,2,2024-09-07 09:25:51:062,566423,566422,1,0,23881184,0,5144 76,3,2024-09-07 09:25:51:149,1,445,20,0,175,4091,445,0 77,0,2024-09-07 09:25:51:711,113212,0.5,113585,0.7,227370,0.5,301297,2.00 77,1,2024-09-07 09:25:50:828,782499,782499,0,0,367107961691,3828791082,776659,5333,507,381,391869,0 77,2,2024-09-07 09:25:51:293,559626,559626,0,0,23310978,0,3890 77,3,2024-09-07 09:25:51:097,1,445,1,0,401,4869,445,0 78,0,2024-09-07 09:25:51:721,114535,0.5,113932,0.6,228701,0.4,303363,2.00 78,1,2024-09-07 09:25:50:610,782991,782991,0,0,366761857639,3818650623,775121,6301,1569,367,391670,0 78,2,2024-09-07 09:25:51:418,562032,562019,13,0,22104161,0,8313 78,3,2024-09-07 09:25:51:141,1,445,1,0,181,3753,445,0 79,0,2024-09-07 09:25:51:348,107183,0.4,109744,0.6,224697,0.4,292384,2.25 79,1,2024-09-07 09:25:50:571,784065,784065,0,0,367190202109,3804856343,777436,5320,1309,367,391682,0 79,2,2024-09-07 09:25:51:068,567359,567359,0,0,21619183,0,4195 79,3,2024-09-07 09:25:50:749,1,445,1,0,418,5501,445,0 80,0,2024-09-07 09:25:51:240,107314,0.5,110380,0.6,211099,0.4,285626,2.00 80,1,2024-09-07 09:25:51:629,782101,782101,0,0,366969850184,3820607360,776542,5136,423,368,392269,0 80,2,2024-09-07 09:25:51:112,566507,566507,0,0,21844100,0,4433 80,3,2024-09-07 09:25:50:592,1,445,8,0,190,5072,445,0 81,0,2024-09-07 09:25:51:544,112511,0.5,115210,0.7,219997,0.5,299190,2.00 81,1,2024-09-07 09:25:51:654,781064,781064,0,0,366330975960,3825924100,775048,5475,541,382,391879,0 81,2,2024-09-07 09:25:51:135,560085,560022,63,0,24130350,0,5932 81,3,2024-09-07 09:25:51:121,1,445,2,0,719,5270,445,0 82,0,2024-09-07 09:25:51:531,113383,0.5,113551,0.7,227836,0.5,302376,2.00 82,1,2024-09-07 09:25:50:595,783407,783403,0,4,367353888294,3819460647,778703,3881,819,381,391768,4 82,2,2024-09-07 09:25:51:691,561913,561913,0,0,20619454,0,4484 82,3,2024-09-07 09:25:51:752,1,445,1,0,363,4611,445,0 83,0,2024-09-07 09:25:51:520,112309,0.6,112300,0.8,223956,0.7,297569,2.25 83,1,2024-09-07 09:25:50:551,781471,781471,0,0,366820149188,3823469661,775938,5083,450,382,391709,0 83,2,2024-09-07 09:25:50:764,565947,565947,0,0,21356531,0,3393 83,3,2024-09-07 09:25:50:748,1,445,2,0,1260,5645,445,0 84,0,2024-09-07 09:25:51:786,106289,0.6,106236,0.8,211772,0.5,283950,2.25 84,1,2024-09-07 09:25:51:041,781270,781270,0,0,366915255917,3829448753,773561,6665,1044,367,391967,0 84,2,2024-09-07 09:25:50:581,563497,563467,30,0,28542318,0,5971 84,3,2024-09-07 09:25:51:146,1,445,4,0,908,6457,445,0 85,0,2024-09-07 09:25:51:051,108137,0.5,107987,0.7,229244,0.5,297046,2.00 85,1,2024-09-07 09:25:50:571,778902,778902,0,0,365626572214,3853131955,768079,8902,1921,381,392006,0 85,2,2024-09-07 09:25:50:872,561830,561830,0,0,26455362,0,3656 85,3,2024-09-07 09:25:50:686,1,445,1,0,789,5283,445,0 86,0,2024-09-07 09:25:50:879,112781,0.6,116164,0.8,222204,0.7,300443,2.25 86,1,2024-09-07 09:25:50:826,781414,781414,0,0,366674815949,3835896775,772728,7243,1443,366,391961,0 86,2,2024-09-07 09:25:50:863,557815,557814,1,0,28554055,0,5004 86,3,2024-09-07 09:25:50:588,1,445,1,0,308,6266,445,0 87,0,2024-09-07 09:25:51:285,113925,1.0,113711,0.9,227476,1.3,303847,2.50 87,1,2024-09-07 09:25:50:574,779987,779987,0,0,365966253278,3832090193,770026,8367,1594,366,392076,0 87,2,2024-09-07 09:25:51:071,562213,562207,6,0,25329170,0,6323 87,3,2024-09-07 09:25:51:795,1,445,2,0,473,6806,445,0 88,0,2024-09-07 09:25:51:500,107781,0.4,108603,0.6,216420,0.4,287636,1.75 88,1,2024-09-07 09:25:50:568,779890,779890,0,0,366051608375,3830907211,770161,7720,2009,365,392084,0 88,2,2024-09-07 09:25:50:688,565607,565607,0,0,27849213,0,4465 88,3,2024-09-07 09:25:51:278,1,445,1,0,435,5341,445,0 89,0,2024-09-07 09:25:51:779,113393,0.4,109837,0.6,217423,0.4,295831,1.75 89,1,2024-09-07 09:25:50:560,779656,779656,0,0,366158100347,3845598607,770470,7756,1430,382,391866,0 89,2,2024-09-07 09:25:51:135,563167,563167,0,0,26171944,0,3173 89,3,2024-09-07 09:25:51:792,1,445,1,0,468,7585,445,0 90,0,2024-09-07 09:25:51:656,109925,0.5,112983,0.6,230397,0.4,300301,2.00 90,1,2024-09-07 09:25:50:598,780627,780627,0,0,366766546155,3835917947,773849,6265,513,380,391825,0 90,2,2024-09-07 09:25:51:408,557924,557924,0,0,27414563,0,3060 90,3,2024-09-07 09:25:50:936,1,445,10,0,322,5371,445,0 91,0,2024-09-07 09:25:50:956,115298,0.5,111551,0.7,233032,0.5,306096,1.75 91,1,2024-09-07 09:25:50:556,779480,779480,0,0,366263526936,3847012756,770212,7863,1405,381,392047,0 91,2,2024-09-07 09:25:51:340,562398,562398,0,0,24318149,0,2896 91,3,2024-09-07 09:25:50:599,1,445,1,0,216,4302,445,0 92,0,2024-09-07 09:25:51:455,111816,0.4,114609,0.6,218787,0.4,296245,1.75 92,1,2024-09-07 09:25:50:585,781977,781977,0,0,366556699184,3825311234,776430,4821,726,381,392136,0 92,2,2024-09-07 09:25:51:354,567474,567474,0,0,22089196,0,3259 92,3,2024-09-07 09:25:51:010,1,445,4,0,167,3843,445,0 93,0,2024-09-07 09:25:50:965,108902,0.3,111559,0.5,212909,0.3,288888,1.75 93,1,2024-09-07 09:25:50:805,781480,781480,0,0,366420530368,3823368108,773310,6784,1386,366,391776,0 93,2,2024-09-07 09:25:50:931,564292,564292,0,0,26790869,0,4845 93,3,2024-09-07 09:25:51:407,1,445,1,0,190,3974,445,0 94,0,2024-09-07 09:25:51:630,113179,0.3,114150,0.5,227768,0.3,302164,1.75 94,1,2024-09-07 09:25:50:572,781840,781840,0,0,367163219267,3827721293,777039,4591,210,381,391850,0 94,2,2024-09-07 09:25:50:760,560338,560338,0,0,22268440,0,2443 94,3,2024-09-07 09:25:51:688,1,445,1,0,576,5820,445,0 95,0,2024-09-07 09:25:51:372,113853,0.4,113694,0.5,228032,0.3,303951,1.75 95,1,2024-09-07 09:25:50:857,783051,783051,0,0,368017645236,3823221285,776902,5711,438,365,391786,0 95,2,2024-09-07 09:25:51:022,560562,560562,0,0,22195443,0,3308 95,3,2024-09-07 09:25:51:709,1,445,1,0,718,6297,445,0 96,0,2024-09-07 09:25:51:035,112183,0.4,112530,0.5,224407,0.3,297775,1.75 96,1,2024-09-07 09:25:51:592,781721,781721,0,0,366019208494,3817359491,776153,4682,886,384,391955,0 96,2,2024-09-07 09:25:51:268,567227,567227,0,0,23072477,0,4180 96,3,2024-09-07 09:25:51:141,1,445,1,0,411,4937,445,0 97,0,2024-09-07 09:25:51:315,106433,0.3,106008,0.4,212761,0.2,283355,1.50 97,1,2024-09-07 09:25:50:763,782863,782863,0,0,367546092573,3811545808,777698,4231,934,367,392140,0 97,2,2024-09-07 09:25:50:609,564879,564879,0,0,22206944,0,3679 97,3,2024-09-07 09:25:50:569,1,445,7,0,242,5340,445,0 98,0,2024-09-07 09:25:51:702,111937,0.3,112210,0.4,225058,0.2,299079,1.50 98,1,2024-09-07 09:25:50:578,782215,782215,0,0,367154857807,3823578550,777242,4181,792,382,391997,0 98,2,2024-09-07 09:25:50:769,562445,562445,0,0,22251003,0,4336 98,3,2024-09-07 09:25:50:705,1,445,1,0,840,7252,445,0 99,0,2024-09-07 09:25:51:480,113278,0.3,113966,0.5,226463,0.3,302638,1.75 99,1,2024-09-07 09:25:51:725,782767,782767,0,0,367111712741,3818790591,777815,4115,837,381,392069,0 99,2,2024-09-07 09:25:51:418,561223,561223,0,0,26178214,0,4276 99,3,2024-09-07 09:25:50:581,1,445,5,0,606,4773,445,0 100,0,2024-09-07 09:25:51:507,112814,0.8,113184,1.0,225412,0.9,301092,2.50 100,1,2024-09-07 09:25:50:551,778675,778675,0,0,366240457652,3863014432,767932,8691,2052,378,391989,0 100,2,2024-09-07 09:25:51:819,562022,562011,11,0,25493819,0,5417 100,3,2024-09-07 09:25:51:734,1,445,2,0,559,6961,445,0 101,0,2024-09-07 09:25:51:813,109047,0.8,106286,0.9,208376,0.6,285471,2.25 101,1,2024-09-07 09:25:50:552,779143,779143,0,0,365676012717,3841701126,768440,8579,2124,368,391847,0 101,2,2024-09-07 09:25:51:775,561469,561469,0,0,30430042,0,4871 101,3,2024-09-07 09:25:50:942,1,445,6,0,579,5928,445,0 102,0,2024-09-07 09:25:50:964,108468,0.5,111768,0.7,226788,0.5,297226,2.00 102,1,2024-09-07 09:25:51:156,779470,779470,0,0,366057686132,3841895094,769586,8120,1764,369,391891,0 102,2,2024-09-07 09:25:51:737,564175,564121,54,0,24587179,0,6768 102,3,2024-09-07 09:25:51:613,1,445,3,0,466,4808,445,0 103,0,2024-09-07 09:25:51:611,117081,0.5,117047,0.7,220619,0.5,303177,2.00 103,1,2024-09-07 09:25:51:644,778985,778985,0,0,365819090520,3858502444,766980,8939,3066,381,391829,0 103,2,2024-09-07 09:25:50:586,558082,558082,0,0,27124850,0,3766 103,3,2024-09-07 09:25:50:759,1,445,2,0,916,4851,445,0 104,0,2024-09-07 09:25:51:045,113655,0.7,114010,0.9,226954,0.7,303966,2.25 104,1,2024-09-07 09:25:51:610,780741,780741,0,0,366093398207,3840891517,769887,8990,1864,365,392168,0 104,2,2024-09-07 09:25:51:670,560673,560673,0,0,26028959,0,3941 104,3,2024-09-07 09:25:51:417,1,445,5,0,1245,8617,445,0 105,0,2024-09-07 09:25:51:054,108243,0.8,105376,1.0,220966,0.8,290108,2.50 105,1,2024-09-07 09:25:50:554,782007,782007,0,0,367139893473,3842847428,772551,8027,1429,366,392009,0 105,2,2024-09-07 09:25:51:353,565138,565138,0,0,25984292,0,3509 105,3,2024-09-07 09:25:51:306,1,445,1,0,399,6611,445,0 106,0,2024-09-07 09:25:51:011,105650,0.6,108220,0.8,221338,0.6,289708,2.25 106,1,2024-09-07 09:25:51:752,780600,780600,0,0,366570597121,3844422962,769538,9615,1447,369,391914,0 106,2,2024-09-07 09:25:50:758,563358,563358,0,0,25379427,0,2920 106,3,2024-09-07 09:25:50:685,1,445,1,0,470,5549,445,0 107,0,2024-09-07 09:25:51:121,113372,0.8,113646,0.9,227108,1.0,302389,2.25 107,1,2024-09-07 09:25:50:591,779014,779014,0,0,365630661309,3849747872,768777,9183,1054,381,392234,0 107,2,2024-09-07 09:25:51:301,558028,558027,1,0,26280656,0,5024 107,3,2024-09-07 09:25:51:772,1,445,126,0,370,6675,445,0 108,0,2024-09-07 09:25:51:806,113974,0.5,114806,0.6,228307,0.4,304583,1.75 108,1,2024-09-07 09:25:51:296,782101,782101,0,0,367662634145,3831626105,775921,5435,745,367,391857,0 108,2,2024-09-07 09:25:51:767,560232,560232,0,0,24834553,0,4246 108,3,2024-09-07 09:25:51:335,1,445,1,0,749,8058,445,0 109,0,2024-09-07 09:25:51:834,111655,0.4,110809,0.6,221757,0.3,296613,1.75 109,1,2024-09-07 09:25:50:586,779090,779090,0,0,366235895302,3842411617,771646,6257,1187,382,392132,0 109,2,2024-09-07 09:25:50:923,564479,564479,0,0,24392192,0,3617 109,3,2024-09-07 09:25:51:143,1,445,5,0,379,5112,445,0 110,0,2024-09-07 09:25:51:808,107133,0.3,104298,0.5,218619,0.3,286234,1.75 110,1,2024-09-07 09:25:51:643,783112,783112,0,0,367850874127,3815706138,777715,4218,1179,369,392045,0 110,2,2024-09-07 09:25:51:309,564924,564924,0,0,23424431,0,4067 110,3,2024-09-07 09:25:50:693,1,445,2,0,722,6243,445,0 111,0,2024-09-07 09:25:51:425,112861,0.4,112119,0.5,224827,0.3,300437,1.75 111,1,2024-09-07 09:25:51:000,783272,783272,0,0,368989667332,3824593075,779381,3536,355,380,391690,0 111,2,2024-09-07 09:25:51:116,560375,560375,0,0,22864263,0,4823 111,3,2024-09-07 09:25:50:916,1,445,5,0,379,5170,445,0 112,0,2024-09-07 09:25:50:948,114319,0.3,113850,0.4,228137,0.2,303355,1.50 112,1,2024-09-07 09:25:50:827,783044,783044,0,0,367252906289,3810040375,778325,4106,613,380,391624,0 112,2,2024-09-07 09:25:51:133,560416,560415,1,0,22109820,0,5036 112,3,2024-09-07 09:25:50:593,1,445,0,0,282,4282,445,0 113,0,2024-09-07 09:25:50:872,112376,0.3,112444,0.5,225388,0.3,299518,1.75 113,1,2024-09-07 09:25:51:685,785500,785500,0,0,370215248043,3816749110,781606,3305,589,366,391661,0 113,2,2024-09-07 09:25:51:303,567599,567599,0,0,20425134,0,3813 113,3,2024-09-07 09:25:50:697,1,445,71,0,340,4874,445,0 114,0,2024-09-07 09:25:50:875,107235,0.3,107830,0.4,214359,0.2,286603,1.75 114,1,2024-09-07 09:25:50:715,783061,783061,0,0,367682302483,3817963067,776770,4675,1616,381,391565,0 114,2,2024-09-07 09:25:50:877,565699,565698,1,0,21440350,0,5069 114,3,2024-09-07 09:25:51:279,1,445,1,0,395,3716,445,0 115,0,2024-09-07 09:25:50:554,112276,0.2,112772,0.4,225202,0.2,299472,1.50 115,1,2024-09-07 09:25:50:570,783402,783402,0,0,367562218659,3818576328,776906,5229,1267,382,391757,0 115,2,2024-09-07 09:25:51:126,564624,564624,0,0,21303787,0,4382 115,3,2024-09-07 09:25:51:002,1,445,0,0,159,2446,445,0 116,0,2024-09-07 09:25:51:754,112584,0.7,112623,0.8,225712,0.7,301585,2.00 116,1,2024-09-07 09:25:50:804,779369,779369,0,0,366325225238,3854482947,770747,6294,2328,380,392089,0 116,2,2024-09-07 09:25:51:754,559020,559020,0,0,26913463,0,4128 116,3,2024-09-07 09:25:50:917,1,445,44,0,415,5139,445,0 117,0,2024-09-07 09:25:50:959,114398,0.8,113740,0.8,227932,0.9,304190,2.00 117,1,2024-09-07 09:25:51:584,780396,780396,0,0,365282744598,3818734344,772633,6847,916,369,392033,0 117,2,2024-09-07 09:25:51:127,565702,565702,0,0,23124627,0,4303 117,3,2024-09-07 09:25:51:066,1,445,10,0,490,6584,445,0 118,0,2024-09-07 09:25:51:784,104843,0.5,107554,0.6,219719,0.4,286609,2.00 118,1,2024-09-07 09:25:50:599,780189,780189,0,0,365300692956,3839342871,768270,8918,3001,366,391907,0 118,2,2024-09-07 09:25:51:596,564709,564709,0,0,25409043,0,2842 118,3,2024-09-07 09:25:51:769,1,445,2,0,248,5123,445,0 119,0,2024-09-07 09:25:51:463,110202,0.5,110398,0.7,220806,0.4,294448,2.00 119,1,2024-09-07 09:25:50:548,781083,781083,0,0,366417023502,3830592620,772590,7375,1118,367,391857,0 119,2,2024-09-07 09:25:51:273,564930,564930,0,0,24071399,0,4174 119,3,2024-09-07 09:25:51:332,1,445,0,0,1358,8526,445,0 120,0,2024-09-07 09:25:51:634,112721,0.6,112400,0.8,225509,0.6,300680,2.25 120,1,2024-09-07 09:25:50:863,781267,781267,0,0,365998319401,3837159818,772887,7610,770,368,392144,0 120,2,2024-09-07 09:25:50:770,558935,558934,1,0,27326793,0,5281 120,3,2024-09-07 09:25:51:291,1,445,6,0,279,5418,445,0 121,0,2024-09-07 09:25:51:704,114656,1.4,114306,1.1,229134,1.9,305335,2.50 121,1,2024-09-07 09:25:51:659,781249,781249,0,0,366174104252,3823998181,774493,6294,462,367,391840,0 121,2,2024-09-07 09:25:51:135,560779,560779,0,0,26301551,0,4127 121,3,2024-09-07 09:25:50:731,1,445,2,0,269,4842,445,0 122,0,2024-09-07 09:25:51:761,110319,0.7,107583,0.8,225659,0.8,295956,2.00 122,1,2024-09-07 09:25:50:862,779891,779891,0,0,366368639814,3840336275,769443,8844,1604,366,392130,0 122,2,2024-09-07 09:25:51:397,566792,566719,73,0,29248329,0,5989 122,3,2024-09-07 09:25:50:594,1,445,54,0,512,7867,445,0 123,0,2024-09-07 09:25:51:001,107761,0.5,105003,0.7,220111,0.5,288298,2.00 123,1,2024-09-07 09:25:50:559,779648,779648,0,0,366627818005,3858288243,766095,11109,2444,369,392039,0 123,2,2024-09-07 09:25:51:023,562452,562451,1,0,24706948,0,5215 123,3,2024-09-07 09:25:51:150,1,445,1,0,478,5152,445,0 124,0,2024-09-07 09:25:50:920,116956,0.3,116989,0.5,220417,0.3,303094,1.75 124,1,2024-09-07 09:25:51:023,782780,782780,0,0,367284315717,3815014603,777492,4237,1051,367,392178,0 124,2,2024-09-07 09:25:51:023,561068,561015,53,0,22410874,0,6487 124,3,2024-09-07 09:25:50:757,1,445,1,0,490,4233,445,0 125,0,2024-09-07 09:25:51:431,113784,0.4,113628,0.6,228044,0.4,303857,1.75 125,1,2024-09-07 09:25:50:863,781241,781241,0,0,367637403405,3835879542,775322,5246,673,382,391702,0 125,2,2024-09-07 09:25:51:117,562042,562042,0,0,23284883,0,4534 125,3,2024-09-07 09:25:51:126,1,445,1,0,709,5446,445,0 126,0,2024-09-07 09:25:51:425,112117,0.4,115334,0.6,220699,0.4,298515,1.75 126,1,2024-09-07 09:25:50:560,783457,783457,0,0,367920937193,3808121105,779448,3715,294,365,391987,0 126,2,2024-09-07 09:25:50:624,567224,567224,0,0,24114489,0,4539 126,3,2024-09-07 09:25:50:916,1,445,5,0,268,5331,445,0 127,0,2024-09-07 09:25:51:625,106367,0.3,106635,0.5,213011,0.2,283552,1.50 127,1,2024-09-07 09:25:50:568,782431,782431,0,0,367031613908,3815277981,775080,6129,1222,364,392187,0 127,2,2024-09-07 09:25:50:645,563361,563361,0,0,21747533,0,3897 127,3,2024-09-07 09:25:51:277,1,445,1,0,968,4658,445,0 128,0,2024-09-07 09:25:51:532,112746,0.3,112794,0.4,225345,0.2,299662,1.50 128,1,2024-09-07 09:25:51:613,782008,782008,0,0,367426008515,3812332101,777092,4483,433,367,392031,0 128,2,2024-09-07 09:25:51:382,563656,563656,0,0,21008229,0,3171 128,3,2024-09-07 09:25:50:766,1,445,2,0,1082,6842,445,0 129,0,2024-09-07 09:25:50:992,114255,0.3,113694,0.5,227754,0.3,303099,1.75 129,1,2024-09-07 09:25:50:568,780331,780331,0,0,366074582743,3834163203,772616,6043,1672,379,391962,0 129,2,2024-09-07 09:25:50:686,560828,560828,0,0,22467145,0,4031 129,3,2024-09-07 09:25:50:693,1,445,0,0,506,6014,445,0 130,0,2024-09-07 09:25:51:723,113800,0.5,113331,0.6,227967,0.5,302456,1.75 130,1,2024-09-07 09:25:50:586,782883,782883,0,0,367306658246,3814590599,778844,3700,339,381,391825,0 130,2,2024-09-07 09:25:51:138,565537,565537,0,0,22991058,0,4067 130,3,2024-09-07 09:25:51:291,1,445,0,0,960,6802,445,0 131,0,2024-09-07 09:25:51:965,106649,0.3,107164,0.5,215144,0.3,285368,1.75 131,1,2024-09-07 09:25:51:824,782293,782293,0,0,366502309535,3825711396,776316,4927,1050,381,391865,0 131,2,2024-09-07 09:25:50:570,566897,566897,0,0,21144351,0,3979 131,3,2024-09-07 09:25:51:694,1,445,1,0,392,4896,445,0 132,0,2024-09-07 09:25:51:411,111546,0.4,112485,0.6,223947,0.3,298203,1.75 132,1,2024-09-07 09:25:50:577,778495,778495,0,0,365545318093,3845499888,767354,9031,2110,381,392532,0 132,2,2024-09-07 09:25:50:706,563188,563171,17,0,27433196,0,6451 132,3,2024-09-07 09:25:51:688,1,445,33,0,804,7651,445,0 133,0,2024-09-07 09:25:51:531,110357,0.4,112944,0.6,231795,0.4,301441,1.75 133,1,2024-09-07 09:25:50:586,778785,778785,0,0,365897433166,3852997545,768234,9036,1515,383,391914,0 133,2,2024-09-07 09:25:51:088,559111,559061,50,0,28755265,0,6861 133,3,2024-09-07 09:25:51:311,1,445,7,0,479,4629,445,0 134,0,2024-09-07 09:25:50:951,115148,0.6,114739,0.7,229792,0.6,306337,2.00 134,1,2024-09-07 09:25:50:585,780109,780109,0,0,366320292349,3838101370,770307,7766,2036,366,391718,0 134,2,2024-09-07 09:25:51:769,560576,560552,24,0,26400319,0,6207 134,3,2024-09-07 09:25:50:771,1,445,5,0,739,5579,445,0 135,0,2024-09-07 09:25:51:103,105989,0.7,105984,0.8,225024,0.8,289523,2.00 135,1,2024-09-07 09:25:51:586,780345,780345,0,0,366532919942,3851853910,770378,8596,1371,380,391805,0 135,2,2024-09-07 09:25:50:694,566088,566088,0,0,25825406,0,3981 135,3,2024-09-07 09:25:51:006,1,445,1,0,299,3773,445,0 136,0,2024-09-07 09:25:51:641,109752,0.5,109945,0.7,218746,0.4,292052,2.00 136,1,2024-09-07 09:25:51:442,781324,781324,0,0,366564830100,3840572737,772749,7611,964,381,391685,0 136,2,2024-09-07 09:25:51:135,565544,565544,0,0,24753310,0,3506 136,3,2024-09-07 09:25:51:105,1,445,1,0,637,5105,445,0 137,0,2024-09-07 09:25:50:929,117185,0.6,114102,0.7,223835,0.6,303585,2.00 137,1,2024-09-07 09:25:50:584,779452,779452,0,0,366277469906,3838939588,767891,9201,2360,366,391898,0 137,2,2024-09-07 09:25:51:745,558129,558129,0,0,27261863,0,3185 137,3,2024-09-07 09:25:50:769,1,445,2,0,484,5344,445,0 138,0,2024-09-07 09:25:51:749,113826,1.0,113749,1.0,228366,1.2,303257,2.25 138,1,2024-09-07 09:25:51:700,780421,780421,0,0,367281669080,3847244326,770174,8523,1724,368,391954,0 138,2,2024-09-07 09:25:50:586,560451,560451,0,0,24799752,0,4988 138,3,2024-09-07 09:25:50:610,1,445,1,0,1160,5767,445,0 139,0,2024-09-07 09:25:51:374,110131,1.5,110204,1.1,220951,2.2,295068,2.50 139,1,2024-09-07 09:25:50:570,776551,776551,0,0,364523826644,3869710705,761871,11311,3369,380,392058,0 139,2,2024-09-07 09:25:50:694,562569,562569,0,0,28837927,0,3097 139,3,2024-09-07 09:25:51:667,1,445,1,0,432,5081,445,0 140,0,2024-09-07 09:25:51:589,107765,0.3,107173,0.5,215051,0.2,286680,1.75 140,1,2024-09-07 09:25:51:542,784457,784457,0,0,368874662779,3800620685,780888,3127,442,365,391606,0 140,2,2024-09-07 09:25:50:687,565735,565734,1,0,21960828,0,5036 140,3,2024-09-07 09:25:50:767,1,445,1,0,297,3647,445,0 141,0,2024-09-07 09:25:51:699,112752,0.3,115701,0.5,221023,0.2,300163,1.50 141,1,2024-09-07 09:25:50:869,783489,783489,0,0,368665904710,3826480860,777797,4735,957,379,391614,0 141,2,2024-09-07 09:25:51:690,561364,561364,0,0,21747316,0,3360 141,3,2024-09-07 09:25:51:047,1,445,5,0,391,4976,445,0 142,0,2024-09-07 09:25:51:317,114382,0.3,113838,0.5,227753,0.3,303918,1.75 142,1,2024-09-07 09:25:50:586,782322,782322,0,0,367040623439,3823041284,777626,4297,399,382,392102,0 142,2,2024-09-07 09:25:51:307,560114,560082,32,0,23764178,0,6028 142,3,2024-09-07 09:25:51:747,1,445,2,0,484,4924,445,0 143,0,2024-09-07 09:25:51:379,112429,0.5,112638,0.6,225447,0.4,299632,1.75 143,1,2024-09-07 09:25:50:556,783387,783387,0,0,367866055137,3815408158,778335,4507,545,367,391705,0 143,2,2024-09-07 09:25:50:768,566047,566047,0,0,23057052,0,3123 143,3,2024-09-07 09:25:51:141,1,445,1,0,462,5420,445,0 144,0,2024-09-07 09:25:51:498,103690,0.5,106416,0.8,217039,0.5,284462,2.00 144,1,2024-09-07 09:25:50:604,779313,779313,0,0,365447181754,3833473071,771534,5944,1835,381,391649,0 144,2,2024-09-07 09:25:51:754,565232,565232,0,0,21562086,0,3673 144,3,2024-09-07 09:25:51:747,1,445,0,0,249,4477,445,0 145,0,2024-09-07 09:25:51:365,108522,0.5,108555,0.7,230322,0.4,296791,2.00 145,1,2024-09-07 09:25:50:554,779355,779355,0,0,365462278323,3839791330,769715,7978,1662,382,391759,0 145,2,2024-09-07 09:25:51:435,560917,560836,81,0,26490250,0,7814 145,3,2024-09-07 09:25:50:897,1,445,31,0,622,6259,445,0 146,0,2024-09-07 09:25:51:592,112969,0.6,112437,0.8,226301,0.6,300078,2.25 146,1,2024-09-07 09:25:51:603,780033,780033,0,0,366314951851,3849343542,767877,9039,3117,367,391770,0 146,2,2024-09-07 09:25:51:695,558420,558420,0,0,25232576,0,3290 146,3,2024-09-07 09:25:51:281,1,445,0,0,1520,8113,445,0 147,0,2024-09-07 09:25:51:707,114130,0.7,114039,0.8,227342,0.7,303554,2.25 147,1,2024-09-07 09:25:51:376,783397,783397,0,0,367344136406,3818402626,776677,5873,847,368,391791,0 147,2,2024-09-07 09:25:51:010,562853,562853,0,0,22942114,0,2968 147,3,2024-09-07 09:25:50:915,1,445,1,0,1626,7572,445,0 0,0,2024-09-07 09:26:01:715,110197,0.6,110109,0.7,233736,0.6,301818,2.00 0,1,2024-09-07 09:26:00:801,782185,782185,0,0,367025896346,3838699720,776711,5085,389,369,391896,0 0,2,2024-09-07 09:26:01:082,562274,562274,0,0,22226750,0,4480 0,3,2024-09-07 09:26:00:990,1,446,114,0,431,6561,446,0 1,0,2024-09-07 09:26:01:804,114809,1.1,114169,1.0,228868,1.5,305874,2.25 1,1,2024-09-07 09:26:00:571,782004,782004,0,0,366919399420,3837870293,775489,5186,1329,370,391859,0 1,2,2024-09-07 09:26:00:639,562319,562319,0,0,22575683,0,3380 1,3,2024-09-07 09:26:01:308,1,446,2,0,268,5438,446,0 2,0,2024-09-07 09:26:01:566,110835,0.6,110889,0.7,221085,0.6,294842,2.00 2,1,2024-09-07 09:26:00:859,784614,784614,0,0,368533563225,3822811873,781323,2996,295,380,391745,0 2,2,2024-09-07 09:26:01:270,568511,568511,0,0,21179360,0,3594 2,3,2024-09-07 09:26:00:691,1,446,1,0,357,4226,446,0 3,0,2024-09-07 09:26:01:744,108673,0.4,108369,0.6,216830,0.3,289178,2.00 3,1,2024-09-07 09:26:01:619,783057,783057,0,0,367146919100,3821134000,776816,5550,691,379,391716,0 3,2,2024-09-07 09:26:01:145,566122,566099,23,0,22314301,0,5851 3,3,2024-09-07 09:26:01:752,1,446,13,0,207,2954,446,0 4,0,2024-09-07 09:26:01:777,110397,0.4,113440,0.5,231375,0.3,302321,1.75 4,1,2024-09-07 09:26:00:595,780218,780218,0,0,365924025613,3862963618,768388,9322,2508,370,391992,0 4,2,2024-09-07 09:26:01:026,559053,559053,0,0,25340011,0,4528 4,3,2024-09-07 09:26:01:036,1,446,2,0,448,5671,446,0 5,0,2024-09-07 09:26:01:430,113891,0.4,114485,0.6,228610,0.4,303947,1.75 5,1,2024-09-07 09:26:00:755,781702,781702,0,0,366952092095,3858474469,771264,8278,2160,367,392005,0 5,2,2024-09-07 09:26:01:844,559826,559826,0,0,25246529,0,3582 5,3,2024-09-07 09:26:01:734,1,446,2,0,457,6083,446,0 6,0,2024-09-07 09:26:00:929,112340,0.4,111947,0.6,223865,0.4,298468,2.00 6,1,2024-09-07 09:26:00:756,783062,783062,0,0,367399106950,3835687694,774848,6821,1393,379,391702,0 6,2,2024-09-07 09:26:01:116,567315,567297,18,0,25155890,0,5535 6,3,2024-09-07 09:26:01:275,1,446,0,0,710,5501,446,0 7,0,2024-09-07 09:26:01:555,106064,0.4,106906,0.6,212792,0.4,283626,1.75 7,1,2024-09-07 09:26:00:851,782295,782295,0,0,367346521259,3849502649,772504,8327,1464,382,391747,0 7,2,2024-09-07 09:26:00:771,566155,566155,0,0,24432665,0,4791 7,3,2024-09-07 09:26:00:851,1,446,2,0,552,4980,446,0 8,0,2024-09-07 09:26:01:580,112722,0.3,112498,0.5,225446,0.3,300294,1.75 8,1,2024-09-07 09:26:01:033,780979,780979,0,0,366755400425,3852356877,769350,8996,2633,366,392853,0 8,2,2024-09-07 09:26:00:793,558832,558832,0,0,28678952,0,3250 8,3,2024-09-07 09:26:00:597,1,446,2,0,538,7115,446,0 9,0,2024-09-07 09:26:01:126,113709,0.4,110567,0.5,231286,0.3,303369,1.75 9,1,2024-09-07 09:26:00:550,780656,780656,0,0,366793852201,3859260254,769572,8663,2421,369,392001,0 9,2,2024-09-07 09:26:01:094,561112,561112,0,0,25501054,0,3360 9,3,2024-09-07 09:26:01:752,1,446,4,0,496,6238,446,0 10,0,2024-09-07 09:26:01:603,113136,0.4,112656,0.5,226208,0.3,301066,1.75 10,1,2024-09-07 09:26:00:583,782383,782383,0,0,367040290434,3844244630,771890,8869,1624,381,391741,0 10,2,2024-09-07 09:26:00:762,565569,565569,0,0,28160112,0,4264 10,3,2024-09-07 09:26:00:871,1,446,1,0,649,4644,446,0 11,0,2024-09-07 09:26:01:022,106946,0.4,103693,0.6,216864,0.4,286240,1.75 11,1,2024-09-07 09:26:00:572,782923,782923,0,0,367846940736,3854784003,771594,8702,2627,383,391756,0 11,2,2024-09-07 09:26:01:124,565564,565564,0,0,24737528,0,4130 11,3,2024-09-07 09:26:01:303,1,446,1,0,843,6250,446,0 12,0,2024-09-07 09:26:00:965,112562,0.4,112569,0.5,225312,0.3,299318,1.75 12,1,2024-09-07 09:26:00:939,782836,782836,0,0,367616225018,3829653913,777261,5072,503,370,391960,0 12,2,2024-09-07 09:26:01:542,564330,564330,0,0,24160886,0,3469 12,3,2024-09-07 09:26:01:062,1,446,2,0,386,6419,446,0 13,0,2024-09-07 09:26:01:410,114426,0.4,113978,0.6,227364,0.4,302897,1.75 13,1,2024-09-07 09:26:01:524,781044,781044,0,0,366707390924,3851721159,773409,6001,1634,382,391740,0 13,2,2024-09-07 09:26:00:605,562639,562639,0,0,22157800,0,3287 13,3,2024-09-07 09:26:01:773,1,446,29,0,522,6425,446,0 14,0,2024-09-07 09:26:00:563,114709,0.4,115711,0.6,228875,0.4,305208,1.75 14,1,2024-09-07 09:26:01:561,786502,786502,0,0,369143757486,3820391831,780278,5515,709,364,391673,0 14,2,2024-09-07 09:26:00:764,563778,563748,30,0,24285554,0,6104 14,3,2024-09-07 09:26:01:115,1,446,1,0,1168,4749,446,0 15,0,2024-09-07 09:26:01:581,109122,0.4,109022,0.6,218561,0.4,290278,2.00 15,1,2024-09-07 09:26:01:608,783875,783875,0,0,367760702802,3828281887,777648,5048,1179,381,391619,0 15,2,2024-09-07 09:26:01:025,568809,568809,0,0,20223154,0,3622 15,3,2024-09-07 09:26:01:408,1,446,1,0,1126,7009,446,0 16,0,2024-09-07 09:26:00:958,109625,0.5,110255,0.7,219676,0.4,292461,2.00 16,1,2024-09-07 09:26:00:574,783582,783582,0,0,367332039468,3838240739,776970,5497,1115,370,391917,0 16,2,2024-09-07 09:26:01:433,565302,565302,0,0,23919311,0,4719 16,3,2024-09-07 09:26:01:143,1,446,1,0,317,5463,446,0 17,0,2024-09-07 09:26:01:776,117069,0.6,114439,0.7,223750,0.6,303490,2.00 17,1,2024-09-07 09:26:00:582,782015,782015,0,0,367166317644,3849379765,774340,6156,1519,368,392075,0 17,2,2024-09-07 09:26:01:667,563153,563153,0,0,23570872,0,3779 17,3,2024-09-07 09:26:00:585,1,446,4,0,518,6960,446,0 18,0,2024-09-07 09:26:00:960,113328,0.7,113868,0.8,227018,0.7,303302,2.25 18,1,2024-09-07 09:26:01:638,785038,785038,0,0,368575616395,3816691978,781034,3624,380,367,391725,0 18,2,2024-09-07 09:26:01:756,564798,564798,0,0,20980707,0,3541 18,3,2024-09-07 09:26:00:895,1,446,0,0,163,3317,446,0 19,0,2024-09-07 09:26:01:557,110817,0.6,111354,0.8,220852,0.6,294397,2.25 19,1,2024-09-07 09:26:00:581,785193,785193,0,0,369091316150,3825567110,779215,5057,921,367,391777,0 19,2,2024-09-07 09:26:01:751,570249,570249,0,0,20059817,0,3988 19,3,2024-09-07 09:26:01:133,1,446,0,0,524,3797,446,0 20,0,2024-09-07 09:26:01:404,107633,0.4,107626,0.6,214959,0.4,286932,2.00 20,1,2024-09-07 09:26:00:583,782327,782327,0,0,367668281829,3842041820,775605,5921,801,369,391922,0 20,2,2024-09-07 09:26:00:939,565258,565258,0,0,23848756,0,3721 20,3,2024-09-07 09:26:00:615,1,446,5,0,414,6344,446,0 21,0,2024-09-07 09:26:01:184,112853,0.4,112957,0.6,225900,0.4,300405,1.75 21,1,2024-09-07 09:26:01:541,780925,780925,0,0,366717349138,3861969407,769951,8493,2481,368,392016,0 21,2,2024-09-07 09:26:01:067,559075,559055,20,0,28854758,0,5617 21,3,2024-09-07 09:26:01:405,1,446,4,0,713,5913,446,0 22,0,2024-09-07 09:26:01:723,113925,0.5,114383,0.7,228300,0.4,303025,2.00 22,1,2024-09-07 09:26:01:022,782142,782142,0,0,367237150018,3854545014,770987,8928,2227,382,391667,0 22,2,2024-09-07 09:26:00:760,560702,560676,26,0,23023835,0,6328 22,3,2024-09-07 09:26:01:073,1,446,1,0,228,3575,446,0 23,0,2024-09-07 09:26:01:372,112179,0.5,111615,0.7,223687,0.5,297929,2.25 23,1,2024-09-07 09:26:01:009,782848,782848,0,0,367832527783,3857689699,769737,9057,4054,365,391690,0 23,2,2024-09-07 09:26:01:091,568502,568502,0,0,22558285,0,3773 23,3,2024-09-07 09:26:01:755,1,446,4,0,720,5607,446,0 24,0,2024-09-07 09:26:00:847,107820,0.4,107231,0.5,215588,0.3,286185,1.75 24,1,2024-09-07 09:26:00:591,782146,782146,0,0,366348319547,3836650173,774136,6415,1595,367,392269,0 24,2,2024-09-07 09:26:01:083,565319,565319,0,0,27164774,0,3607 24,3,2024-09-07 09:26:01:708,1,446,18,0,468,5912,446,0 25,0,2024-09-07 09:26:01:405,115566,0.4,112526,0.5,220791,0.3,300873,1.75 25,1,2024-09-07 09:26:00:587,781516,781516,0,0,367054566008,3863728272,769486,9779,2251,371,391928,0 25,2,2024-09-07 09:26:01:606,562266,562266,0,0,27802007,0,3978 25,3,2024-09-07 09:26:01:051,1,446,4,0,532,4938,446,0 26,0,2024-09-07 09:26:01:720,112981,0.4,110362,0.6,231610,0.4,301285,2.00 26,1,2024-09-07 09:26:01:541,783699,783699,0,0,367434527467,3848911297,772065,9225,2409,380,391748,0 26,2,2024-09-07 09:26:00:861,561541,561541,0,0,27755107,0,2809 26,3,2024-09-07 09:26:01:712,1,446,2,0,796,5215,446,0 27,0,2024-09-07 09:26:01:729,114314,0.5,114425,0.6,227714,0.4,303644,2.25 27,1,2024-09-07 09:26:01:678,784638,784638,0,0,368909664030,3839358057,777367,6369,902,381,391626,0 27,2,2024-09-07 09:26:00:868,561944,561879,65,0,25392143,0,5699 27,3,2024-09-07 09:26:01:016,1,446,0,0,564,4136,446,0 28,0,2024-09-07 09:26:01:391,108215,0.4,107907,0.6,216466,0.3,288135,1.75 28,1,2024-09-07 09:26:00:797,783933,783933,0,0,368157473961,3839939284,776738,5496,1699,382,391698,0 28,2,2024-09-07 09:26:01:772,566783,566783,0,0,23268511,0,2915 28,3,2024-09-07 09:26:01:775,1,446,1,0,502,4763,446,0 29,0,2024-09-07 09:26:01:363,114072,0.3,111081,0.5,217851,0.2,297243,1.75 29,1,2024-09-07 09:26:01:561,786205,786205,0,0,369146119761,3817538463,781335,4135,735,368,391809,0 29,2,2024-09-07 09:26:00:861,564890,564890,0,0,21997459,0,4986 29,3,2024-09-07 09:26:00:980,1,446,1,0,459,5126,446,0 30,0,2024-09-07 09:26:01:461,113027,0.5,109852,0.7,230065,0.5,300724,2.00 30,1,2024-09-07 09:26:00:572,785118,785118,0,0,368821757538,3827955461,779047,5304,767,381,391672,0 30,2,2024-09-07 09:26:01:273,561611,561611,0,0,21406947,0,4192 30,3,2024-09-07 09:26:00:581,1,446,134,0,519,4400,446,0 31,0,2024-09-07 09:26:01:763,114439,0.5,114807,0.7,229378,0.5,305862,2.00 31,1,2024-09-07 09:26:00:564,788873,788873,0,0,370367208702,3794500660,785767,2520,586,356,391712,0 31,2,2024-09-07 09:26:01:276,562190,562190,0,0,23176753,0,3525 31,3,2024-09-07 09:26:01:706,1,446,1,0,220,3805,446,0 32,0,2024-09-07 09:26:01:420,111183,0.3,111801,0.5,222602,0.2,296690,1.50 32,1,2024-09-07 09:26:00:804,784798,784798,0,0,368143535384,3827496007,779676,4440,682,381,391646,0 32,2,2024-09-07 09:26:00:938,569155,569155,0,0,20932763,0,3922 32,3,2024-09-07 09:26:01:015,1,446,0,0,304,3435,446,0 33,0,2024-09-07 09:26:01:497,108768,0.2,108446,0.4,217389,0.2,289600,1.50 33,1,2024-09-07 09:26:00:575,785709,785709,0,0,369340295991,3827310523,779194,5410,1105,368,391730,0 33,2,2024-09-07 09:26:00:761,566670,566635,35,0,23232273,0,7012 33,3,2024-09-07 09:26:00:895,1,446,1,0,329,4173,446,0 34,0,2024-09-07 09:26:00:930,114140,0.3,117207,0.4,224048,0.2,301683,1.75 34,1,2024-09-07 09:26:01:051,786901,786901,0,0,370247693931,3804792776,785155,1734,12,367,391562,0 34,2,2024-09-07 09:26:00:768,562066,562066,0,0,21478959,0,4562 34,3,2024-09-07 09:26:01:691,1,446,6,0,299,3294,446,0 35,0,2024-09-07 09:26:00:859,113398,0.3,113969,0.5,229046,0.3,304733,1.75 35,1,2024-09-07 09:26:01:067,784438,784438,0,0,368725399905,3819892404,779858,3722,858,382,391769,0 35,2,2024-09-07 09:26:01:582,562327,562327,0,0,23389534,0,4055 35,3,2024-09-07 09:26:00:907,1,446,1,0,418,4537,446,0 36,0,2024-09-07 09:26:01:519,112543,0.5,112362,0.6,224734,0.4,298980,2.00 36,1,2024-09-07 09:26:00:583,784124,784124,0,0,367846348048,3837453557,774472,7651,2001,366,391759,0 36,2,2024-09-07 09:26:01:751,568289,568289,0,0,24442505,0,3875 36,3,2024-09-07 09:26:00:863,1,446,1,0,416,6116,446,0 37,0,2024-09-07 09:26:01:372,106173,0.4,106433,0.6,212462,0.4,284057,2.00 37,1,2024-09-07 09:26:00:573,783423,783416,0,7,366946222002,3828324641,774590,6550,2276,365,391770,0 37,2,2024-09-07 09:26:01:144,563738,563723,15,0,24287359,0,5815 37,3,2024-09-07 09:26:01:772,1,446,0,0,888,6612,446,0 38,0,2024-09-07 09:26:01:437,111927,0.4,108626,0.6,227370,0.3,297922,2.00 38,1,2024-09-07 09:26:01:604,784388,784388,0,0,367663965504,3839121982,773638,8589,2161,368,391821,0 38,2,2024-09-07 09:26:00:760,562784,562737,47,0,23999542,0,6710 38,3,2024-09-07 09:26:01:002,1,446,1,0,689,5493,446,0 39,0,2024-09-07 09:26:01:763,116227,0.6,113750,0.7,221804,0.6,302629,2.00 39,1,2024-09-07 09:26:00:719,783155,783155,0,0,367962608155,3853014787,770710,9754,2691,365,391658,0 39,2,2024-09-07 09:26:01:419,562225,562225,0,0,22887093,0,3391 39,3,2024-09-07 09:26:00:713,1,446,1,0,324,4978,446,0 40,0,2024-09-07 09:26:01:491,112120,0.8,112667,1.0,224706,0.9,299651,2.75 40,1,2024-09-07 09:26:00:576,783725,783725,0,0,367399768645,3843700058,772903,8778,2044,368,391668,0 40,2,2024-09-07 09:26:01:304,564885,564884,1,0,26650255,0,5137 40,3,2024-09-07 09:26:01:142,1,446,1,0,1028,6023,446,0 41,0,2024-09-07 09:26:01:039,106529,1.2,108883,1.2,207730,1.9,282903,3.00 41,1,2024-09-07 09:26:00:774,782153,782153,0,0,367237041504,3837092484,772969,8144,1040,369,391742,0 41,2,2024-09-07 09:26:00:759,564363,564363,0,0,25490423,0,4277 41,3,2024-09-07 09:26:01:676,1,446,5,0,366,4519,446,0 42,0,2024-09-07 09:26:01:475,111393,0.7,111305,0.9,222669,0.7,295269,2.50 42,1,2024-09-07 09:26:01:438,780675,780675,0,0,367134017360,3853825384,768587,10057,2031,380,391675,0 42,2,2024-09-07 09:26:01:133,563817,563817,0,0,25601565,0,3790 42,3,2024-09-07 09:26:01:025,1,446,0,0,892,4286,446,0 43,0,2024-09-07 09:26:00:916,112475,0.7,109461,0.9,229186,0.8,300243,2.25 43,1,2024-09-07 09:26:00:576,784049,784049,0,0,368206044277,3843201775,773667,8643,1739,366,391696,0 43,2,2024-09-07 09:26:01:736,561497,561497,0,0,25179505,0,3812 43,3,2024-09-07 09:26:01:751,1,446,1,0,548,5762,446,0 44,0,2024-09-07 09:26:00:863,114816,0.5,114955,0.6,230399,0.4,305851,2.00 44,1,2024-09-07 09:26:00:562,785332,785332,0,0,368421594383,3806212264,778830,5184,1318,356,391809,0 44,2,2024-09-07 09:26:01:269,562168,562168,0,0,21363231,0,4344 44,3,2024-09-07 09:26:01:092,1,446,1,0,817,5123,446,0 45,0,2024-09-07 09:26:01:757,108059,0.5,105500,0.7,221360,0.4,290902,2.00 45,1,2024-09-07 09:26:01:034,784491,784491,0,0,368870257752,3830684782,778829,5088,574,382,391917,0 45,2,2024-09-07 09:26:01:271,568460,568460,0,0,21627050,0,3596 45,3,2024-09-07 09:26:00:938,1,446,13,0,271,3786,446,0 46,0,2024-09-07 09:26:00:953,109330,0.4,108962,0.6,218576,0.4,290399,2.00 46,1,2024-09-07 09:26:00:581,786215,786215,0,0,369046125011,3810222723,781498,4125,592,366,391709,0 46,2,2024-09-07 09:26:00:593,565979,565979,0,0,21771484,0,4443 46,3,2024-09-07 09:26:01:131,1,446,5,0,908,5501,446,0 47,0,2024-09-07 09:26:01:117,113961,0.4,114255,0.6,228493,0.4,302497,1.75 47,1,2024-09-07 09:26:00:573,786956,786956,0,0,368727129663,3808809881,782079,4039,838,366,391641,0 47,2,2024-09-07 09:26:00:908,563323,563323,0,0,21268231,0,4477 47,3,2024-09-07 09:26:01:114,1,446,1,0,600,5312,446,0 48,0,2024-09-07 09:26:01:491,115007,0.3,114972,0.4,228900,0.2,305357,1.50 48,1,2024-09-07 09:26:01:024,784925,784925,0,0,369328337704,3833370183,780211,4258,456,384,391710,0 48,2,2024-09-07 09:26:00:699,562526,562526,0,0,20020722,0,3411 48,3,2024-09-07 09:26:00:754,1,446,1,0,339,3521,446,0 49,0,2024-09-07 09:26:01:720,114177,0.3,112273,0.5,217757,0.3,298278,1.75 49,1,2024-09-07 09:26:01:023,784383,784383,0,0,368148480847,3828050186,779225,3951,1207,382,391809,0 49,2,2024-09-07 09:26:01:797,568589,568589,0,0,22141236,0,4426 49,3,2024-09-07 09:26:01:418,1,446,1,0,992,5265,446,0 50,0,2024-09-07 09:26:01:521,108272,0.3,106651,0.4,215078,0.2,286665,1.75 50,1,2024-09-07 09:26:01:102,786788,786788,0,0,369520188607,3818397876,781856,4358,574,368,391565,0 50,2,2024-09-07 09:26:01:074,566107,566107,0,0,20232307,0,4490 50,3,2024-09-07 09:26:01:303,1,446,1,0,567,4382,446,0 51,0,2024-09-07 09:26:01:683,115718,0.3,113466,0.4,221191,0.2,302120,1.75 51,1,2024-09-07 09:26:01:679,787109,787109,0,0,370064276624,3814350433,783151,2909,1049,365,391706,0 51,2,2024-09-07 09:26:01:326,562068,562068,0,0,19144068,0,3337 51,3,2024-09-07 09:26:01:036,1,446,3,0,678,3551,446,0 52,0,2024-09-07 09:26:01:424,114351,0.5,114149,0.7,228584,0.5,303908,2.00 52,1,2024-09-07 09:26:00:575,783709,783709,0,0,367360197225,3838826829,773367,8989,1353,368,391722,0 52,2,2024-09-07 09:26:01:756,559152,559114,38,0,25501450,0,6742 52,3,2024-09-07 09:26:00:675,1,446,8,0,1782,5936,446,0 53,0,2024-09-07 09:26:01:752,111735,0.6,108513,0.8,226983,0.7,297783,2.25 53,1,2024-09-07 09:26:00:771,781831,781831,0,0,367926407842,3854167705,769907,8964,2960,367,391702,0 53,2,2024-09-07 09:26:01:304,567531,567530,1,0,23242021,0,5455 53,3,2024-09-07 09:26:00:698,1,446,1,0,308,3988,446,0 54,0,2024-09-07 09:26:01:614,105700,0.5,105973,0.7,210942,0.4,282154,2.25 54,1,2024-09-07 09:26:00:580,784105,784105,0,0,368330720968,3825276974,776612,6252,1241,366,391659,0 54,2,2024-09-07 09:26:00:865,566009,565977,32,0,26531512,0,6397 54,3,2024-09-07 09:26:00:764,1,446,5,0,676,6298,446,0 55,0,2024-09-07 09:26:01:761,108864,0.5,112303,0.7,227585,0.4,296679,2.25 55,1,2024-09-07 09:26:00:768,784631,784631,0,0,367944379321,3819635033,776994,6542,1095,365,391731,0 55,2,2024-09-07 09:26:00:729,563257,563201,56,0,25400193,0,7239 55,3,2024-09-07 09:26:00:677,1,446,1,0,304,4366,446,0 56,0,2024-09-07 09:26:01:620,115484,1.3,108954,1.1,224512,1.8,300426,2.75 56,1,2024-09-07 09:26:00:572,780235,780235,0,0,366743827617,3868408437,768713,9148,2374,381,391867,0 56,2,2024-09-07 09:26:01:304,561004,560882,122,0,26197853,0,7432 56,3,2024-09-07 09:26:01:069,1,446,18,0,705,5305,446,0 57,0,2024-09-07 09:26:01:000,112401,1.7,112106,1.3,224953,2.3,300784,3.25 57,1,2024-09-07 09:26:01:002,782661,782661,0,0,366239964505,3833752723,774049,7524,1088,366,392032,0 57,2,2024-09-07 09:26:01:346,564430,564430,0,0,27126645,0,3317 57,3,2024-09-07 09:26:01:738,1,446,9,0,455,5028,446,0 58,0,2024-09-07 09:26:00:563,106479,0.8,103386,0.9,216409,0.9,283260,2.50 58,1,2024-09-07 09:26:00:575,782944,782941,0,3,368573315344,3856166275,771822,8815,2304,367,391603,3 58,2,2024-09-07 09:26:01:082,566167,566167,0,0,25201724,0,2902 58,3,2024-09-07 09:26:01:072,1,446,2,0,1043,4675,446,0 59,0,2024-09-07 09:26:01:740,110390,0.7,109958,0.9,219758,0.7,292154,2.50 59,1,2024-09-07 09:26:00:804,782748,782748,0,0,367341059099,3843508461,772522,8416,1810,369,391653,0 59,2,2024-09-07 09:26:00:592,564334,564334,0,0,25054961,0,3727 59,3,2024-09-07 09:26:01:737,1,446,1,0,1015,5779,446,0 60,0,2024-09-07 09:26:01:705,113246,0.5,113218,0.7,227212,0.5,301575,1.75 60,1,2024-09-07 09:26:00:776,785263,785263,0,0,368950829542,3827307911,780111,4430,722,370,392031,0 60,2,2024-09-07 09:26:01:144,561466,561466,0,0,23345076,0,3811 60,3,2024-09-07 09:26:01:265,1,446,17,0,409,5098,446,0 61,0,2024-09-07 09:26:01:498,114536,0.7,115159,0.8,229371,0.7,305642,2.00 61,1,2024-09-07 09:26:00:777,783222,783222,0,0,367776792471,3849833615,774900,6891,1431,382,392127,0 61,2,2024-09-07 09:26:01:119,562513,562446,67,0,23767240,0,6411 61,3,2024-09-07 09:26:01:698,1,446,0,0,479,5852,446,0 62,0,2024-09-07 09:26:01:708,111636,0.5,114467,0.7,218421,0.5,296478,2.00 62,1,2024-09-07 09:26:01:113,787863,787857,0,6,370034864343,3813428198,784041,3555,261,365,391975,6 62,2,2024-09-07 09:26:01:644,566550,566549,1,0,24033482,0,5555 62,3,2024-09-07 09:26:01:143,1,446,1,0,482,3792,446,0 63,0,2024-09-07 09:26:01:463,108837,0.4,108694,0.5,218021,0.3,289966,1.75 63,1,2024-09-07 09:26:00:804,785451,785445,0,6,368494565663,3823919972,780462,4250,733,381,391800,6 63,2,2024-09-07 09:26:00:760,565910,565910,0,0,21815227,0,4369 63,3,2024-09-07 09:26:01:732,1,446,1,0,667,4460,446,0 64,0,2024-09-07 09:26:01:523,113355,0.5,113474,0.6,226287,0.5,300883,1.75 64,1,2024-09-07 09:26:00:752,784524,784524,0,0,368848379308,3840107970,777840,4981,1703,370,391783,0 64,2,2024-09-07 09:26:01:144,564928,564909,19,0,21542631,0,6121 64,3,2024-09-07 09:26:01:143,1,446,3,0,265,4245,446,0 65,0,2024-09-07 09:26:01:693,113239,0.7,113483,0.8,226606,0.8,302188,2.00 65,1,2024-09-07 09:26:00:861,782592,782592,0,0,366964728119,3832584516,777477,4575,540,381,391901,0 65,2,2024-09-07 09:26:01:698,562341,562341,0,0,25494706,0,3367 65,3,2024-09-07 09:26:01:685,1,446,0,0,782,5436,446,0 66,0,2024-09-07 09:26:01:773,111955,0.5,111700,0.7,223425,0.5,297360,2.25 66,1,2024-09-07 09:26:01:362,784731,784731,0,0,367865517468,3830200058,779231,4974,526,380,391743,0 66,2,2024-09-07 09:26:01:132,569448,569448,0,0,22323310,0,4956 66,3,2024-09-07 09:26:01:082,1,446,3,0,291,3757,446,0 67,0,2024-09-07 09:26:01:429,106758,0.4,106604,0.6,213798,0.4,284730,2.00 67,1,2024-09-07 09:26:00:767,784926,784925,0,1,368722699255,3838127607,779567,4564,794,380,391787,1 67,2,2024-09-07 09:26:00:589,568093,568093,0,0,21309688,0,3622 67,3,2024-09-07 09:26:01:750,1,446,1,0,392,4325,446,0 68,0,2024-09-07 09:26:00:591,112787,0.5,112783,0.7,224456,0.5,300079,2.00 68,1,2024-09-07 09:26:00:572,781926,781926,0,0,366704020110,3852877366,771862,7118,2946,381,391953,0 68,2,2024-09-07 09:26:01:051,560318,560218,100,0,28109503,0,8578 68,3,2024-09-07 09:26:00:728,1,446,1,0,417,5016,446,0 69,0,2024-09-07 09:26:01:731,113044,0.7,113767,0.8,226616,0.8,301044,2.25 69,1,2024-09-07 09:26:01:022,781225,781225,0,0,366097039443,3854005308,771450,7504,2271,384,391994,0 69,2,2024-09-07 09:26:01:734,560970,560941,29,0,29719399,0,6912 69,3,2024-09-07 09:26:00:762,1,446,3,0,698,6698,446,0 70,0,2024-09-07 09:26:01:550,111976,1.0,112212,1.1,225824,0.9,298970,2.50 70,1,2024-09-07 09:26:00:801,785165,785165,0,0,369192574025,3828031264,779183,5321,661,366,391725,0 70,2,2024-09-07 09:26:01:325,565746,565746,0,0,24850281,0,4323 70,3,2024-09-07 09:26:00:749,1,446,1,0,854,5029,446,0 71,0,2024-09-07 09:26:01:380,106084,0.7,105776,0.9,212586,0.8,284227,2.50 71,1,2024-09-07 09:26:01:596,783896,783896,0,0,367428809473,3828695677,775454,7639,803,368,391738,0 71,2,2024-09-07 09:26:01:074,565281,565281,0,0,24839109,0,4352 71,3,2024-09-07 09:26:01:751,1,446,43,0,644,5672,446,0 72,0,2024-09-07 09:26:01:113,115501,0.5,112988,0.7,220234,0.4,299648,2.00 72,1,2024-09-07 09:26:01:026,782242,782242,0,0,367193807038,3848501388,771372,8860,2010,369,391819,0 72,2,2024-09-07 09:26:01:764,563422,563422,0,0,27225339,0,3983 72,3,2024-09-07 09:26:01:759,1,446,4,0,564,6607,446,0 73,0,2024-09-07 09:26:01:195,110643,0.4,113420,0.6,231790,0.4,301156,2.00 73,1,2024-09-07 09:26:00:779,784118,784118,0,0,368139439546,3821389712,778773,4936,409,367,391858,0 73,2,2024-09-07 09:26:01:743,560925,560925,0,0,26606675,0,3701 73,3,2024-09-07 09:26:01:010,1,446,1,0,486,5788,446,0 74,0,2024-09-07 09:26:01:358,115629,0.5,118262,0.7,225426,0.5,306141,2.25 74,1,2024-09-07 09:26:00:637,783204,783204,0,0,368301693073,3841230961,775233,6376,1595,381,391762,0 74,2,2024-09-07 09:26:01:012,562815,562815,0,0,24442885,0,4253 74,3,2024-09-07 09:26:01:454,1,446,1,0,522,5607,446,0 75,0,2024-09-07 09:26:01:768,109908,0.5,109166,0.7,219074,0.4,291933,2.25 75,1,2024-09-07 09:26:01:584,784079,784079,0,0,367911051416,3834063890,777225,6026,828,380,391739,0 75,2,2024-09-07 09:26:01:360,566390,566390,0,0,27307926,0,4766 75,3,2024-09-07 09:26:01:070,1,446,1,0,702,5754,446,0 76,0,2024-09-07 09:26:00:665,109300,0.5,108472,0.7,217739,0.4,291111,2.25 76,1,2024-09-07 09:26:00:819,783786,783786,0,0,366926143423,3825968921,778353,4607,826,382,391692,0 76,2,2024-09-07 09:26:01:069,567759,567758,1,0,23898960,0,5144 76,3,2024-09-07 09:26:01:142,1,446,1,0,175,4092,446,0 77,0,2024-09-07 09:26:01:697,113313,0.5,113678,0.7,227556,0.5,301529,2.00 77,1,2024-09-07 09:26:00:825,784251,784251,0,0,368034687428,3838248242,778411,5333,507,381,391869,0 77,2,2024-09-07 09:26:01:301,560839,560839,0,0,23323483,0,3890 77,3,2024-09-07 09:26:01:098,1,446,1,0,401,4870,446,0 78,0,2024-09-07 09:26:01:716,114768,0.5,114149,0.6,229196,0.4,303948,2.00 78,1,2024-09-07 09:26:00:613,784781,784781,0,0,367629372178,3827468472,776911,6301,1569,367,391670,0 78,2,2024-09-07 09:26:01:409,563298,563285,13,0,22116339,0,8313 78,3,2024-09-07 09:26:01:134,1,446,1,0,181,3754,446,0 79,0,2024-09-07 09:26:01:369,107491,0.4,110046,0.6,225333,0.4,293280,2.25 79,1,2024-09-07 09:26:00:580,785835,785835,0,0,368097037971,3814029616,779206,5320,1309,367,391682,0 79,2,2024-09-07 09:26:01:081,568800,568800,0,0,21630530,0,4195 79,3,2024-09-07 09:26:00:750,1,446,4,0,418,5505,446,0 80,0,2024-09-07 09:26:01:083,107649,0.5,110713,0.6,211670,0.4,286284,2.00 80,1,2024-09-07 09:26:01:619,783681,783681,0,0,367963442100,3830841027,778121,5137,423,368,392269,0 80,2,2024-09-07 09:26:01:091,567971,567971,0,0,21878028,0,4433 80,3,2024-09-07 09:26:00:574,1,446,3,0,190,5075,446,0 81,0,2024-09-07 09:26:01:573,112996,0.5,115751,0.7,221023,0.5,300735,2.00 81,1,2024-09-07 09:26:01:659,782864,782864,0,0,367227031486,3835199326,776848,5475,541,382,391879,0 81,2,2024-09-07 09:26:01:125,560769,560706,63,0,24146786,0,5932 81,3,2024-09-07 09:26:01:124,1,446,1,0,719,5271,446,0 82,0,2024-09-07 09:26:01:537,113692,0.5,113886,0.7,228474,0.5,303206,2.00 82,1,2024-09-07 09:26:00:597,785322,785318,0,4,368207991587,3828359999,780618,3881,819,381,391768,4 82,2,2024-09-07 09:26:01:698,563472,563472,0,0,20662252,0,4484 82,3,2024-09-07 09:26:01:754,1,446,1,0,363,4612,446,0 83,0,2024-09-07 09:26:01:523,112459,0.6,112461,0.8,224268,0.7,297970,2.25 83,1,2024-09-07 09:26:00:550,783286,783286,0,0,367609053739,3831618901,777753,5083,450,382,391709,0 83,2,2024-09-07 09:26:00:767,567411,567411,0,0,21382230,0,3393 83,3,2024-09-07 09:26:00:754,1,446,1,0,1260,5646,446,0 84,0,2024-09-07 09:26:01:884,106547,0.6,106512,0.8,212263,0.5,284613,2.25 84,1,2024-09-07 09:26:01:062,783058,783058,0,0,367753962123,3838058194,775349,6665,1044,367,391967,0 84,2,2024-09-07 09:26:00:583,564701,564671,30,0,28571122,0,5971 84,3,2024-09-07 09:26:01:144,1,446,66,0,908,6523,446,0 85,0,2024-09-07 09:26:01:003,108474,0.5,108393,0.7,230049,0.5,298071,2.00 85,1,2024-09-07 09:26:00:560,780741,780741,0,0,366508607960,3862227735,769918,8902,1921,381,392006,0 85,2,2024-09-07 09:26:00:865,562887,562887,0,0,26477536,0,3656 85,3,2024-09-07 09:26:00:689,1,446,1,0,789,5284,446,0 86,0,2024-09-07 09:26:00:928,112934,0.6,116323,0.8,222507,0.7,300900,2.25 86,1,2024-09-07 09:26:00:825,783208,783208,0,0,367634833733,3845674400,774522,7243,1443,366,391961,0 86,2,2024-09-07 09:26:00:857,559394,559393,1,0,28586343,0,5004 86,3,2024-09-07 09:26:00:586,1,446,1,0,308,6267,446,0 87,0,2024-09-07 09:26:01:286,114019,1.0,113799,0.9,227676,1.3,304099,2.50 87,1,2024-09-07 09:26:00:557,781731,781731,0,0,366961232891,3842225097,771770,8367,1594,366,392076,0 87,2,2024-09-07 09:26:01:070,563558,563552,6,0,25350628,0,6323 87,3,2024-09-07 09:26:01:795,1,446,12,0,473,6818,446,0 88,0,2024-09-07 09:26:01:474,107896,0.4,108711,0.6,216620,0.4,287969,1.75 88,1,2024-09-07 09:26:00:574,781690,781690,0,0,366923328505,3839762346,771960,7721,2009,365,392084,0 88,2,2024-09-07 09:26:00:689,566806,566806,0,0,27861004,0,4465 88,3,2024-09-07 09:26:01:268,1,446,1,0,435,5342,446,0 89,0,2024-09-07 09:26:01:768,113907,0.4,110320,0.6,218388,0.4,297177,1.75 89,1,2024-09-07 09:26:00:549,781374,781374,0,0,367022728236,3854438601,772187,7757,1430,382,391866,0 89,2,2024-09-07 09:26:01:143,564463,564463,0,0,26184589,0,3173 89,3,2024-09-07 09:26:01:795,1,446,1,0,468,7586,446,0 90,0,2024-09-07 09:26:01:681,110023,0.5,113100,0.6,230603,0.4,300584,2.00 90,1,2024-09-07 09:26:00:590,782444,782444,0,0,367832557240,3846770362,775666,6265,513,380,391825,0 90,2,2024-09-07 09:26:01:413,559245,559245,0,0,27430858,0,3060 90,3,2024-09-07 09:26:00:930,1,446,7,0,322,5378,446,0 91,0,2024-09-07 09:26:00:928,115410,0.5,111673,0.6,233276,0.5,306347,1.75 91,1,2024-09-07 09:26:00:570,781290,781290,0,0,367177280612,3856349580,772022,7863,1405,381,392047,0 91,2,2024-09-07 09:26:01:344,563610,563610,0,0,24334504,0,2896 91,3,2024-09-07 09:26:00:604,1,446,1,0,216,4303,446,0 92,0,2024-09-07 09:26:01:491,112028,0.4,114822,0.6,219205,0.4,296757,1.75 92,1,2024-09-07 09:26:00:580,783769,783769,0,0,367395127764,3833873336,778221,4822,726,381,392136,0 92,2,2024-09-07 09:26:01:356,568851,568851,0,0,22109055,0,3259 92,3,2024-09-07 09:26:01:025,1,446,2,0,167,3845,446,0 93,0,2024-09-07 09:26:00:956,109282,0.3,111974,0.5,213696,0.3,290037,1.75 93,1,2024-09-07 09:26:00:808,783224,783224,0,0,367456605897,3833876455,775053,6785,1386,366,391776,0 93,2,2024-09-07 09:26:00:930,565652,565652,0,0,26812725,0,4845 93,3,2024-09-07 09:26:01:413,1,446,14,0,190,3988,446,0 94,0,2024-09-07 09:26:01:656,113408,0.3,114335,0.5,228189,0.3,302465,1.75 94,1,2024-09-07 09:26:00:569,783678,783678,0,0,368076532305,3837078590,778877,4591,210,381,391850,0 94,2,2024-09-07 09:26:00:768,561350,561350,0,0,22278893,0,2443 94,3,2024-09-07 09:26:01:698,1,446,13,0,576,5833,446,0 95,0,2024-09-07 09:26:01:389,114165,0.4,114017,0.5,228620,0.3,304748,1.75 95,1,2024-09-07 09:26:00:850,784802,784802,0,0,368843283349,3831604195,778653,5711,438,365,391786,0 95,2,2024-09-07 09:26:01:023,561977,561977,0,0,22218661,0,3308 95,3,2024-09-07 09:26:01:714,1,446,2,0,718,6299,446,0 96,0,2024-09-07 09:26:01:033,112388,0.4,112723,0.5,224796,0.3,298340,1.75 96,1,2024-09-07 09:26:01:589,783438,783438,0,0,367029372185,3827749362,777870,4682,886,384,391955,0 96,2,2024-09-07 09:26:01:313,568728,568728,0,0,23115665,0,4180 96,3,2024-09-07 09:26:01:149,1,446,2,0,411,4939,446,0 97,0,2024-09-07 09:26:01:317,106842,0.3,106425,0.4,213595,0.2,284449,1.50 97,1,2024-09-07 09:26:00:772,784617,784617,0,0,368386190927,3820137932,779451,4231,935,367,392140,0 97,2,2024-09-07 09:26:00:632,566341,566341,0,0,22246311,0,3679 97,3,2024-09-07 09:26:00:581,1,446,3,0,242,5343,446,0 98,0,2024-09-07 09:26:01:705,112205,0.3,112514,0.4,225668,0.2,299738,1.50 98,1,2024-09-07 09:26:00:580,783994,783994,0,0,367888397274,3831317637,779019,4183,792,382,391997,0 98,2,2024-09-07 09:26:00:769,563212,563212,0,0,22263376,0,4336 98,3,2024-09-07 09:26:00:698,1,446,2,0,840,7254,446,0 99,0,2024-09-07 09:26:01:483,113595,0.3,114278,0.5,227093,0.3,303530,1.75 99,1,2024-09-07 09:26:01:733,784559,784559,0,0,367927125916,3827298001,779607,4115,837,381,392069,0 99,2,2024-09-07 09:26:01:420,562863,562863,0,0,26236143,0,4276 99,3,2024-09-07 09:26:00:584,1,446,1,0,606,4774,446,0 100,0,2024-09-07 09:26:01:498,112974,0.8,113360,1.0,225737,0.9,301583,2.50 100,1,2024-09-07 09:26:00:549,780461,780461,0,0,367079214859,3871717541,769718,8691,2052,378,391989,0 100,2,2024-09-07 09:26:01:819,563680,563669,11,0,25552454,0,5417 100,3,2024-09-07 09:26:01:734,1,446,1,0,559,6962,446,0 101,0,2024-09-07 09:26:01:707,109375,0.8,106582,0.9,208907,0.6,286383,2.25 101,1,2024-09-07 09:26:00:600,780844,780844,0,0,366407273043,3849202500,770141,8579,2124,368,391847,0 101,2,2024-09-07 09:26:01:756,562474,562474,0,0,30460960,0,4871 101,3,2024-09-07 09:26:00:946,1,446,49,0,579,5977,446,0 102,0,2024-09-07 09:26:00:985,108897,0.5,112189,0.7,227693,0.5,298341,2.00 102,1,2024-09-07 09:26:01:145,781225,781225,0,0,366856198629,3850066970,771341,8120,1764,369,391891,0 102,2,2024-09-07 09:26:01:745,565279,565225,54,0,24605452,0,6768 102,3,2024-09-07 09:26:01:652,1,446,3,0,466,4811,446,0 103,0,2024-09-07 09:26:01:651,117203,0.5,117175,0.7,220829,0.5,303495,2.00 103,1,2024-09-07 09:26:01:634,780766,780766,0,0,366571357565,3866262093,768761,8939,3066,381,391829,0 103,2,2024-09-07 09:26:00:583,559556,559556,0,0,27165749,0,3766 103,3,2024-09-07 09:26:00:760,1,446,1,0,916,4852,446,0 104,0,2024-09-07 09:26:01:009,113784,0.7,114120,0.9,227208,0.7,304291,2.25 104,1,2024-09-07 09:26:01:639,782479,782479,0,0,367006437158,3850158003,771625,8990,1864,365,392168,0 104,2,2024-09-07 09:26:01:680,562021,562021,0,0,26043081,0,3941 104,3,2024-09-07 09:26:01:427,1,446,0,0,1245,8617,446,0 105,0,2024-09-07 09:26:01:030,108289,0.8,105413,1.0,221040,0.8,290108,2.50 105,1,2024-09-07 09:26:00:557,783775,783775,0,0,367931773470,3850922210,774318,8028,1429,366,392009,0 105,2,2024-09-07 09:26:01:344,566191,566191,0,0,25993284,0,3509 105,3,2024-09-07 09:26:01:375,1,446,1,0,399,6612,446,0 106,0,2024-09-07 09:26:00:936,106007,0.6,108550,0.8,222090,0.6,290644,2.25 106,1,2024-09-07 09:26:01:755,782426,782426,0,0,367192331697,3850776188,771364,9615,1447,369,391914,0 106,2,2024-09-07 09:26:00:756,564749,564749,0,0,25392571,0,2920 106,3,2024-09-07 09:26:00:677,1,446,3,0,470,5552,446,0 107,0,2024-09-07 09:26:01:102,113483,0.8,113737,0.9,227272,0.9,302642,2.25 107,1,2024-09-07 09:26:00:585,780810,780810,0,0,366583075085,3859460081,770573,9183,1054,381,392234,0 107,2,2024-09-07 09:26:01:295,559198,559197,1,0,26297062,0,5024 107,3,2024-09-07 09:26:01:764,1,446,1,0,370,6676,446,0 108,0,2024-09-07 09:26:01:807,114187,0.5,115049,0.6,228778,0.4,305213,1.75 108,1,2024-09-07 09:26:01:304,783907,783907,0,0,368417772342,3839401094,777725,5437,745,367,391857,0 108,2,2024-09-07 09:26:01:771,561406,561406,0,0,24850151,0,4246 108,3,2024-09-07 09:26:01:340,1,446,1,0,749,8059,446,0 109,0,2024-09-07 09:26:01:823,111972,0.4,111094,0.6,222399,0.3,297514,1.75 109,1,2024-09-07 09:26:00:603,780854,780854,0,0,367230621763,3852571991,773410,6257,1187,382,392132,0 109,2,2024-09-07 09:26:00:939,566042,566042,0,0,24417687,0,3617 109,3,2024-09-07 09:26:01:146,1,446,9,0,379,5121,446,0 110,0,2024-09-07 09:26:01:746,107463,0.3,104608,0.5,219242,0.3,286914,1.75 110,1,2024-09-07 09:26:01:643,784904,784904,0,0,368530535711,3822673041,779507,4218,1179,369,392045,0 110,2,2024-09-07 09:26:01:362,566384,566384,0,0,23448742,0,4067 110,3,2024-09-07 09:26:00:691,1,446,1,0,722,6244,446,0 111,0,2024-09-07 09:26:01:413,113419,0.4,112655,0.5,225792,0.3,301974,1.75 111,1,2024-09-07 09:26:01:026,785084,785084,0,0,370116577104,3836010457,781193,3536,355,380,391690,0 111,2,2024-09-07 09:26:01:116,561029,561029,0,0,22871637,0,4823 111,3,2024-09-07 09:26:00:917,1,446,4,0,379,5174,446,0 112,0,2024-09-07 09:26:00:914,114641,0.3,114161,0.4,228784,0.2,304191,1.50 112,1,2024-09-07 09:26:00:824,784811,784811,0,0,368068736171,3818396387,780092,4106,613,380,391624,0 112,2,2024-09-07 09:26:01:133,561892,561891,1,0,22158729,0,5036 112,3,2024-09-07 09:26:00:596,1,446,7,0,282,4289,446,0 113,0,2024-09-07 09:26:00:870,112548,0.3,112611,0.5,225714,0.3,299926,1.75 113,1,2024-09-07 09:26:01:686,787288,787288,0,0,371103559055,3825948754,783394,3305,589,366,391661,0 113,2,2024-09-07 09:26:01:315,569113,569113,0,0,20463165,0,3813 113,3,2024-09-07 09:26:00:688,1,446,0,0,340,4874,446,0 114,0,2024-09-07 09:26:00:889,107482,0.3,108092,0.4,214879,0.2,287216,1.75 114,1,2024-09-07 09:26:00:718,784801,784801,0,0,368376151579,3825199061,778510,4675,1616,381,391565,0 114,2,2024-09-07 09:26:00:873,566844,566843,1,0,21464177,0,5069 114,3,2024-09-07 09:26:01:281,1,446,1,0,395,3717,446,0 115,0,2024-09-07 09:26:00:553,112704,0.2,113187,0.4,225987,0.2,300447,1.50 115,1,2024-09-07 09:26:00:573,785217,785217,0,0,368434405812,3827515725,778721,5229,1267,382,391757,0 115,2,2024-09-07 09:26:01:124,565624,565624,0,0,21320615,0,4382 115,3,2024-09-07 09:26:01:007,1,446,1,0,159,2447,446,0 116,0,2024-09-07 09:26:01:727,112739,0.7,112781,0.8,226034,0.7,302048,2.00 116,1,2024-09-07 09:26:00:831,781114,781114,0,0,367263081129,3864172076,772489,6297,2328,380,392089,0 116,2,2024-09-07 09:26:01:755,560532,560532,0,0,26943821,0,4128 116,3,2024-09-07 09:26:00:917,1,446,1,0,415,5140,446,0 117,0,2024-09-07 09:26:00:970,114491,0.8,113854,0.8,228122,0.9,304453,2.00 117,1,2024-09-07 09:26:01:591,782172,782172,0,0,366242954157,3828504213,774407,6849,916,369,392033,0 117,2,2024-09-07 09:26:01:122,567028,567028,0,0,23166214,0,4303 117,3,2024-09-07 09:26:01:061,1,446,1,0,490,6585,446,0 118,0,2024-09-07 09:26:01:809,104932,0.5,107654,0.6,219895,0.4,286942,2.00 118,1,2024-09-07 09:26:00:585,781915,781915,0,0,366108192212,3847588136,769995,8919,3001,366,391907,0 118,2,2024-09-07 09:26:01:595,565839,565839,0,0,25432128,0,2842 118,3,2024-09-07 09:26:01:771,1,446,0,0,248,5123,446,0 119,0,2024-09-07 09:26:01:371,110661,0.5,110855,0.7,221762,0.4,295792,2.00 119,1,2024-09-07 09:26:00:549,782866,782866,0,0,367355532279,3840184344,774372,7376,1118,367,391857,0 119,2,2024-09-07 09:26:01:263,566125,566125,0,0,24095695,0,4174 119,3,2024-09-07 09:26:01:344,1,446,26,0,1358,8552,446,0 120,0,2024-09-07 09:26:01:554,112822,0.6,112500,0.8,225748,0.6,300974,2.25 120,1,2024-09-07 09:26:00:860,783027,783027,0,0,366824885074,3845570396,774647,7610,770,368,392144,0 120,2,2024-09-07 09:26:00:770,560313,560312,1,0,27342721,0,5281 120,3,2024-09-07 09:26:01:306,1,446,1,0,279,5419,446,0 121,0,2024-09-07 09:26:01:745,114773,1.4,114427,1.1,229369,1.9,305581,2.50 121,1,2024-09-07 09:26:01:662,782935,782935,0,0,367062794970,3833024637,776179,6294,462,367,391840,0 121,2,2024-09-07 09:26:01:128,562028,562028,0,0,26313466,0,4127 121,3,2024-09-07 09:26:00:728,1,446,8,0,269,4850,446,0 122,0,2024-09-07 09:26:01:844,110547,0.7,107792,0.8,226113,0.8,296483,2.00 122,1,2024-09-07 09:26:00:859,781651,781651,0,0,367118764573,3847977748,771203,8844,1604,366,392130,0 122,2,2024-09-07 09:26:01:330,568184,568111,73,0,29261392,0,5989 122,3,2024-09-07 09:26:00:598,1,446,1,0,512,7868,446,0 123,0,2024-09-07 09:26:01:003,108161,0.5,105383,0.7,220936,0.5,289483,2.00 123,1,2024-09-07 09:26:00:559,781415,781415,0,0,367318064858,3865342459,767862,11109,2444,369,392039,0 123,2,2024-09-07 09:26:01:023,563823,563822,1,0,24720290,0,5215 123,3,2024-09-07 09:26:01:143,1,446,4,0,478,5156,446,0 124,0,2024-09-07 09:26:00:929,117184,0.3,117197,0.5,220801,0.3,303372,1.75 124,1,2024-09-07 09:26:01:026,784554,784554,0,0,368278259205,3825100443,779266,4237,1051,367,392178,0 124,2,2024-09-07 09:26:01:025,562063,562010,53,0,22422061,0,6487 124,3,2024-09-07 09:26:00:757,1,446,2,0,490,4235,446,0 125,0,2024-09-07 09:26:01:436,114080,0.4,113941,0.6,228638,0.4,304647,1.75 125,1,2024-09-07 09:26:00:855,783035,783035,0,0,368543368404,3845109125,777116,5246,673,382,391702,0 125,2,2024-09-07 09:26:01:115,563366,563366,0,0,23301210,0,4534 125,3,2024-09-07 09:26:01:127,1,446,3,0,709,5449,446,0 126,0,2024-09-07 09:26:01:444,112335,0.4,115534,0.6,221107,0.4,299101,1.75 126,1,2024-09-07 09:26:00:551,785233,785233,0,0,368810462048,3817137164,781222,3717,294,365,391987,0 126,2,2024-09-07 09:26:00:609,568646,568646,0,0,24131688,0,4539 126,3,2024-09-07 09:26:00:909,1,446,1,0,268,5332,446,0 127,0,2024-09-07 09:26:01:607,106791,0.3,107059,0.5,213813,0.2,284815,1.50 127,1,2024-09-07 09:26:00:575,784249,784249,0,0,367885301024,3823974479,776898,6129,1222,364,392187,0 127,2,2024-09-07 09:26:00:646,564773,564773,0,0,21765169,0,3897 127,3,2024-09-07 09:26:01:268,1,446,1,0,968,4659,446,0 128,0,2024-09-07 09:26:01:523,113059,0.3,113048,0.4,225943,0.2,300381,1.50 128,1,2024-09-07 09:26:01:605,783712,783712,0,0,368086931748,3819122037,778796,4483,433,367,392031,0 128,2,2024-09-07 09:26:01:381,564377,564377,0,0,21026061,0,3171 128,3,2024-09-07 09:26:00:768,1,446,2,0,1082,6844,446,0 129,0,2024-09-07 09:26:01:064,114600,0.3,114017,0.5,228332,0.3,304040,1.75 129,1,2024-09-07 09:26:00:570,782105,782105,0,0,366955171090,3843224753,774389,6044,1672,379,391962,0 129,2,2024-09-07 09:26:00:689,562324,562324,0,0,22509018,0,4031 129,3,2024-09-07 09:26:00:688,1,446,399,0,506,6413,446,0 130,0,2024-09-07 09:26:01:721,113971,0.5,113497,0.6,228320,0.5,302927,1.75 130,1,2024-09-07 09:26:00:605,784707,784707,0,0,368261537508,3824364261,780668,3700,339,381,391825,0 130,2,2024-09-07 09:26:01:135,567022,567022,0,0,23035437,0,4067 130,3,2024-09-07 09:26:01:303,1,446,3,0,960,6805,446,0 131,0,2024-09-07 09:26:02:003,106979,0.3,107453,0.5,215727,0.3,286324,1.75 131,1,2024-09-07 09:26:01:833,784109,784109,0,0,367111821157,3832063146,778131,4927,1051,381,391865,0 131,2,2024-09-07 09:26:00:572,567991,567991,0,0,21170580,0,3979 131,3,2024-09-07 09:26:01:698,1,446,2,0,392,4898,446,0 132,0,2024-09-07 09:26:01:413,112013,0.4,112927,0.6,224843,0.3,299340,1.75 132,1,2024-09-07 09:26:00:576,780176,780176,0,0,366469653333,3854998782,769033,9032,2111,381,392532,0 132,2,2024-09-07 09:26:00:699,564197,564180,17,0,27450722,0,6451 132,3,2024-09-07 09:26:01:693,1,446,10,0,804,7661,446,0 133,0,2024-09-07 09:26:01:522,110486,0.4,113057,0.6,232052,0.4,301760,1.75 133,1,2024-09-07 09:26:00:587,780548,780548,0,0,366670286972,3860950883,769997,9036,1515,383,391914,0 133,2,2024-09-07 09:26:01:111,560501,560451,50,0,28782252,0,6861 133,3,2024-09-07 09:26:01:381,1,446,1,0,479,4630,446,0 134,0,2024-09-07 09:26:00:944,115255,0.6,114849,0.7,230030,0.6,306649,2.00 134,1,2024-09-07 09:26:00:587,781869,781869,0,0,367178091750,3846877693,772066,7766,2037,366,391718,0 134,2,2024-09-07 09:26:01:781,561963,561939,24,0,26422053,0,6207 134,3,2024-09-07 09:26:00:752,1,446,1,0,739,5580,446,0 135,0,2024-09-07 09:26:01:099,106033,0.7,106022,0.8,225087,0.8,289523,2.00 135,1,2024-09-07 09:26:01:585,782082,782082,0,0,367437671735,3861211438,772107,8599,1376,380,391805,0 135,2,2024-09-07 09:26:00:688,567209,567209,0,0,25845639,0,3981 135,3,2024-09-07 09:26:01:011,1,446,0,0,299,3773,446,0 136,0,2024-09-07 09:26:01:632,110102,0.5,110332,0.7,219498,0.4,292966,2.00 136,1,2024-09-07 09:26:01:446,783083,783083,0,0,367347006054,3848578270,774506,7613,964,381,391685,0 136,2,2024-09-07 09:26:01:132,566841,566841,0,0,24765906,0,3506 136,3,2024-09-07 09:26:01:106,1,446,2,0,637,5107,446,0 137,0,2024-09-07 09:26:00:934,117279,0.6,114209,0.7,224011,0.6,303820,2.00 137,1,2024-09-07 09:26:00:576,781269,781269,0,0,367149329896,3847783620,769708,9201,2360,366,391898,0 137,2,2024-09-07 09:26:01:709,559396,559396,0,0,27272381,0,3185 137,3,2024-09-07 09:26:00:770,1,446,1,0,484,5345,446,0 138,0,2024-09-07 09:26:01:779,114059,1.0,113951,1.0,228856,1.2,303825,2.25 138,1,2024-09-07 09:26:01:722,782165,782165,0,0,367984828864,3854407999,771918,8523,1724,368,391954,0 138,2,2024-09-07 09:26:00:586,561627,561627,0,0,24811043,0,4988 138,3,2024-09-07 09:26:00:613,1,446,1,0,1160,5768,446,0 139,0,2024-09-07 09:26:01:410,110421,1.5,110509,1.1,221526,2.2,295939,2.50 139,1,2024-09-07 09:26:00:575,778303,778303,0,0,365351707626,3878180828,763623,11311,3369,380,392058,0 139,2,2024-09-07 09:26:00:692,564096,564096,0,0,28855812,0,3097 139,3,2024-09-07 09:26:01:665,1,446,1,0,432,5082,446,0 140,0,2024-09-07 09:26:01:606,108092,0.3,107473,0.5,215644,0.2,287352,1.75 140,1,2024-09-07 09:26:01:536,786302,786302,0,0,369658225371,3808565693,782733,3127,442,365,391606,0 140,2,2024-09-07 09:26:00:688,567221,567220,1,0,21976387,0,5036 140,3,2024-09-07 09:26:00:767,1,446,3,0,297,3650,446,0 141,0,2024-09-07 09:26:01:700,113242,0.3,116263,0.5,221982,0.2,301655,1.50 141,1,2024-09-07 09:26:00:859,785326,785326,0,0,369642853205,3836397740,779634,4735,957,379,391614,0 141,2,2024-09-07 09:26:01:695,562054,562054,0,0,21753387,0,3360 141,3,2024-09-07 09:26:01:051,1,446,1,0,391,4977,446,0 142,0,2024-09-07 09:26:01:310,114703,0.3,114146,0.5,228421,0.3,304784,1.75 142,1,2024-09-07 09:26:00:593,784089,784089,0,0,368009182386,3832911947,779392,4298,399,382,392102,0 142,2,2024-09-07 09:26:01:324,561651,561619,32,0,23780826,0,6028 142,3,2024-09-07 09:26:01:746,1,446,4,0,484,4928,446,0 143,0,2024-09-07 09:26:01:389,112581,0.5,112807,0.6,225762,0.4,300040,1.75 143,1,2024-09-07 09:26:00:570,785162,785162,0,0,368610124451,3823005704,780110,4507,545,367,391705,0 143,2,2024-09-07 09:26:00:769,567619,567619,0,0,23079214,0,3123 143,3,2024-09-07 09:26:01:144,1,446,3,0,462,5423,446,0 144,0,2024-09-07 09:26:01:509,103941,0.5,106684,0.8,217560,0.5,285141,2.00 144,1,2024-09-07 09:26:00:576,781008,781008,0,0,366394055282,3843324071,773229,5944,1835,381,391649,0 144,2,2024-09-07 09:26:01:756,566484,566484,0,0,21591079,0,3673 144,3,2024-09-07 09:26:01:739,1,446,2,0,249,4479,446,0 145,0,2024-09-07 09:26:01:453,108915,0.5,108929,0.7,231147,0.4,297811,2.00 145,1,2024-09-07 09:26:00:552,781044,781044,0,0,366471407525,3850098519,771404,7978,1662,382,391759,0 145,2,2024-09-07 09:26:01:438,561859,561778,81,0,26508688,0,7814 145,3,2024-09-07 09:26:00:896,1,446,13,0,622,6272,446,0 146,0,2024-09-07 09:26:01:595,113121,0.6,112618,0.7,226584,0.6,300533,2.25 146,1,2024-09-07 09:26:01:585,781854,781854,0,0,367452906654,3860936514,769698,9039,3117,367,391770,0 146,2,2024-09-07 09:26:01:702,560034,560034,0,0,25249376,0,3290 146,3,2024-09-07 09:26:01:303,1,446,1,0,1520,8114,446,0 147,0,2024-09-07 09:26:01:697,114236,0.7,114128,0.8,227525,0.7,303809,2.25 147,1,2024-09-07 09:26:01:375,785116,785116,0,0,368247177132,3827605346,778396,5873,847,368,391791,0 147,2,2024-09-07 09:26:01:011,564221,564221,0,0,22958736,0,2968 147,3,2024-09-07 09:26:00:918,1,446,2,0,1626,7574,446,0 0,0,2024-09-07 09:26:11:710,110301,0.6,110219,0.7,233942,0.6,302112,2.00 0,1,2024-09-07 09:26:10:800,783957,783957,0,0,367965578095,3848520245,778480,5087,390,369,391896,0 0,2,2024-09-07 09:26:11:067,563604,563604,0,0,22269729,0,4480 0,3,2024-09-07 09:26:10:973,1,447,3,0,431,6564,447,0 1,0,2024-09-07 09:26:11:773,114893,1.1,114292,1.0,229101,1.5,306141,2.25 1,1,2024-09-07 09:26:10:588,783815,783815,0,0,367822441563,3847212615,777298,5188,1329,370,391859,0 1,2,2024-09-07 09:26:10:639,563676,563676,0,0,22637635,0,3380 1,3,2024-09-07 09:26:11:302,1,447,1,0,268,5439,447,0 2,0,2024-09-07 09:26:11:571,111043,0.6,111081,0.7,221491,0.6,295360,2.00 2,1,2024-09-07 09:26:10:859,786396,786396,0,0,369517227894,3832877448,783105,2996,295,380,391745,0 2,2,2024-09-07 09:26:11:273,569708,569708,0,0,21239165,0,3594 2,3,2024-09-07 09:26:10:692,1,447,11,0,357,4237,447,0 3,0,2024-09-07 09:26:11:750,109081,0.4,108794,0.6,217734,0.3,290343,2.00 3,1,2024-09-07 09:26:11:619,784818,784818,0,0,368169313466,3831571010,778577,5550,691,379,391716,0 3,2,2024-09-07 09:26:11:142,567436,567413,23,0,22358243,0,5851 3,3,2024-09-07 09:26:11:752,1,447,2,0,207,2956,447,0 4,0,2024-09-07 09:26:11:765,110537,0.4,113586,0.5,231635,0.3,302612,1.75 4,1,2024-09-07 09:26:10:593,781973,781973,0,0,366791176733,3872016118,770143,9322,2508,370,391992,0 4,2,2024-09-07 09:26:11:030,560156,560156,0,0,25367957,0,4528 4,3,2024-09-07 09:26:11:035,1,447,9,0,448,5680,447,0 5,0,2024-09-07 09:26:11:396,114186,0.4,114770,0.6,229181,0.4,304728,1.75 5,1,2024-09-07 09:26:10:814,783500,783500,0,0,367893221911,3868073119,773062,8278,2160,367,392005,0 5,2,2024-09-07 09:26:11:829,561082,561082,0,0,25269247,0,3582 5,3,2024-09-07 09:26:11:732,1,447,8,0,457,6091,447,0 6,0,2024-09-07 09:26:10:919,112557,0.4,112159,0.6,224285,0.4,299055,2.00 6,1,2024-09-07 09:26:10:746,784896,784896,0,0,368399548984,3845868384,776682,6821,1393,379,391702,0 6,2,2024-09-07 09:26:11:116,568748,568730,18,0,25197360,0,5535 6,3,2024-09-07 09:26:11:276,1,447,6,0,710,5507,447,0 7,0,2024-09-07 09:26:11:534,106500,0.4,107376,0.6,213695,0.4,284846,1.75 7,1,2024-09-07 09:26:10:860,784069,784069,0,0,368138416686,3857611401,774278,8327,1464,382,391747,0 7,2,2024-09-07 09:26:10:769,567643,567643,0,0,24459541,0,4791 7,3,2024-09-07 09:26:10:859,1,447,1,0,552,4981,447,0 8,0,2024-09-07 09:26:11:334,112989,0.3,112809,0.5,226010,0.3,300986,1.75 8,1,2024-09-07 09:26:11:028,782855,782855,0,0,367693526331,3861921103,771226,8996,2633,366,392853,0 8,2,2024-09-07 09:26:10:792,559508,559508,0,0,28685796,0,3250 8,3,2024-09-07 09:26:10:592,1,447,1,0,538,7116,447,0 9,0,2024-09-07 09:26:11:119,114001,0.4,110903,0.5,231952,0.3,304310,1.75 9,1,2024-09-07 09:26:10:552,782386,782386,0,0,367873233194,3870232252,771302,8663,2421,369,392001,0 9,2,2024-09-07 09:26:11:100,562600,562600,0,0,25517792,0,3360 9,3,2024-09-07 09:26:11:752,1,447,1,0,496,6239,447,0 10,0,2024-09-07 09:26:11:601,113321,0.4,112844,0.5,226552,0.3,301537,1.75 10,1,2024-09-07 09:26:10:583,784241,784241,0,0,368041182569,3854405465,773747,8870,1624,381,391741,0 10,2,2024-09-07 09:26:10:762,567184,567184,0,0,28175900,0,4264 10,3,2024-09-07 09:26:10:873,1,447,0,0,649,4644,447,0 11,0,2024-09-07 09:26:11:008,107314,0.4,104013,0.6,217533,0.4,287205,1.75 11,1,2024-09-07 09:26:10:575,784700,784700,0,0,368754115073,3864012122,773371,8702,2627,383,391756,0 11,2,2024-09-07 09:26:11:123,566750,566750,0,0,24746145,0,4130 11,3,2024-09-07 09:26:11:301,1,447,4,0,843,6254,447,0 12,0,2024-09-07 09:26:10:945,112951,0.3,113008,0.5,226206,0.3,300505,1.75 12,1,2024-09-07 09:26:10:932,784584,784584,0,0,368628554896,3839953204,779008,5073,503,370,391960,0 12,2,2024-09-07 09:26:11:552,565398,565398,0,0,24172951,0,3469 12,3,2024-09-07 09:26:11:059,1,447,1,0,386,6420,447,0 13,0,2024-09-07 09:26:11:358,114535,0.4,114100,0.6,227586,0.4,303259,1.75 13,1,2024-09-07 09:26:11:523,782767,782767,0,0,367416450791,3859028878,775132,6001,1634,382,391740,0 13,2,2024-09-07 09:26:10:594,564183,564183,0,0,22176899,0,3287 13,3,2024-09-07 09:26:11:765,1,447,1,0,522,6426,447,0 14,0,2024-09-07 09:26:10:561,114829,0.4,115815,0.6,229133,0.4,305524,1.75 14,1,2024-09-07 09:26:11:562,788311,788311,0,0,369963103854,3828702723,782087,5515,709,364,391673,0 14,2,2024-09-07 09:26:10:764,565072,565042,30,0,24300472,0,6104 14,3,2024-09-07 09:26:11:114,1,447,1,0,1168,4750,447,0 15,0,2024-09-07 09:26:11:553,109138,0.4,109035,0.6,218587,0.4,290278,2.00 15,1,2024-09-07 09:26:11:622,785641,785641,0,0,368545924618,3836296802,779414,5048,1179,381,391619,0 15,2,2024-09-07 09:26:10:998,569989,569989,0,0,20234928,0,3622 15,3,2024-09-07 09:26:11:405,1,447,1,0,1126,7010,447,0 16,0,2024-09-07 09:26:10:967,109939,0.5,110622,0.7,220388,0.4,293337,2.00 16,1,2024-09-07 09:26:10:575,785376,785376,0,0,368108299440,3846245524,778764,5497,1115,370,391917,0 16,2,2024-09-07 09:26:11:476,566621,566621,0,0,23949111,0,4719 16,3,2024-09-07 09:26:11:152,1,447,17,0,317,5480,447,0 17,0,2024-09-07 09:26:11:772,117164,0.6,114528,0.7,223926,0.6,303735,2.00 17,1,2024-09-07 09:26:10:574,783762,783762,0,0,368147803696,3859645370,776081,6162,1519,368,392075,0 17,2,2024-09-07 09:26:11:668,564520,564520,0,0,23601925,0,3779 17,3,2024-09-07 09:26:10:575,1,447,2,0,518,6962,447,0 18,0,2024-09-07 09:26:10:940,113560,0.7,114101,0.8,227482,0.7,303870,2.25 18,1,2024-09-07 09:26:11:638,786833,786833,0,0,369259743480,3823823689,782829,3624,380,367,391725,0 18,2,2024-09-07 09:26:11:754,566009,566009,0,0,21011601,0,3541 18,3,2024-09-07 09:26:10:903,1,447,39,0,163,3356,447,0 19,0,2024-09-07 09:26:11:547,111131,0.6,111715,0.8,221526,0.6,295230,2.25 19,1,2024-09-07 09:26:10:568,786950,786950,0,0,369843392758,3833287552,780972,5057,921,367,391777,0 19,2,2024-09-07 09:26:11:752,571778,571778,0,0,20112318,0,3988 19,3,2024-09-07 09:26:11:129,1,447,1,0,524,3798,447,0 20,0,2024-09-07 09:26:11:343,107910,0.4,107877,0.6,215540,0.4,287592,2.00 20,1,2024-09-07 09:26:10:578,784100,784100,0,0,368431799368,3849885548,777378,5921,801,369,391922,0 20,2,2024-09-07 09:26:10:927,566634,566634,0,0,23872565,0,3721 20,3,2024-09-07 09:26:10:591,1,447,222,0,414,6566,447,0 21,0,2024-09-07 09:26:11:128,113403,0.4,113499,0.6,226954,0.4,301901,1.75 21,1,2024-09-07 09:26:11:536,782655,782655,0,0,367470186041,3869687916,771680,8494,2481,368,392016,0 21,2,2024-09-07 09:26:11:067,559957,559937,20,0,28866701,0,5617 21,3,2024-09-07 09:26:11:410,1,447,1,0,713,5914,447,0 22,0,2024-09-07 09:26:11:742,114252,0.5,114694,0.7,228911,0.4,303868,2.00 22,1,2024-09-07 09:26:11:023,783874,783874,0,0,368037708649,3862955989,772715,8932,2227,382,391667,0 22,2,2024-09-07 09:26:10:760,562133,562107,26,0,23055667,0,6328 22,3,2024-09-07 09:26:11:066,1,447,10,0,228,3585,447,0 23,0,2024-09-07 09:26:11:368,112343,0.5,111756,0.7,224020,0.5,298363,2.25 23,1,2024-09-07 09:26:11:003,784644,784644,0,0,368705079907,3866625968,771533,9057,4054,365,391690,0 23,2,2024-09-07 09:26:11:092,569976,569976,0,0,22576196,0,3773 23,3,2024-09-07 09:26:11:754,1,447,6,0,720,5613,447,0 24,0,2024-09-07 09:26:10:852,108044,0.4,107478,0.5,216070,0.3,286812,1.75 24,1,2024-09-07 09:26:10:593,783822,783822,0,0,367210402082,3845455698,775810,6417,1595,367,392269,0 24,2,2024-09-07 09:26:11:070,566766,566766,0,0,27181947,0,3607 24,3,2024-09-07 09:26:11:686,1,447,1,0,468,5913,447,0 25,0,2024-09-07 09:26:11:349,115983,0.4,112923,0.5,221557,0.3,301918,1.75 25,1,2024-09-07 09:26:10:559,783274,783274,0,0,368096685874,3874310083,771244,9779,2251,371,391928,0 25,2,2024-09-07 09:26:11:622,562993,562993,0,0,27813045,0,3978 25,3,2024-09-07 09:26:11:000,1,447,1,0,532,4939,447,0 26,0,2024-09-07 09:26:11:721,113161,0.4,110521,0.6,231973,0.4,301734,2.00 26,1,2024-09-07 09:26:11:541,785443,785443,0,0,368206520426,3856771351,773809,9225,2409,380,391748,0 26,2,2024-09-07 09:26:10:862,563148,563148,0,0,27774908,0,2809 26,3,2024-09-07 09:26:11:712,1,447,2,0,796,5217,447,0 27,0,2024-09-07 09:26:11:725,114405,0.5,114520,0.6,227899,0.4,303889,2.25 27,1,2024-09-07 09:26:11:676,786467,786467,0,0,369916996583,3849569707,779196,6369,902,381,391626,0 27,2,2024-09-07 09:26:10:866,563421,563356,65,0,25404252,0,5699 27,3,2024-09-07 09:26:11:015,1,447,1,0,564,4137,447,0 28,0,2024-09-07 09:26:11:390,108333,0.4,108011,0.6,216686,0.3,288450,1.75 28,1,2024-09-07 09:26:10:796,785697,785697,0,0,369105549538,3849572872,778502,5496,1699,382,391698,0 28,2,2024-09-07 09:26:11:764,567855,567855,0,0,23282610,0,2915 28,3,2024-09-07 09:26:11:776,1,447,1,0,502,4764,447,0 29,0,2024-09-07 09:26:11:355,114583,0.3,111606,0.5,218854,0.2,298589,1.75 29,1,2024-09-07 09:26:11:563,788070,788070,0,0,370007367260,3826269971,783200,4135,735,368,391809,0 29,2,2024-09-07 09:26:10:862,565971,565971,0,0,22006818,0,4986 29,3,2024-09-07 09:26:10:964,1,447,1,0,459,5127,447,0 30,0,2024-09-07 09:26:11:457,113122,0.5,109948,0.7,230302,0.5,301011,2.00 30,1,2024-09-07 09:26:10:576,786873,786873,0,0,369901483894,3838892083,780802,5304,767,381,391672,0 30,2,2024-09-07 09:26:11:274,563025,563025,0,0,21421326,0,4192 30,3,2024-09-07 09:26:10:581,1,447,1,0,519,4401,447,0 31,0,2024-09-07 09:26:11:758,114535,0.5,114907,0.7,229598,0.5,306107,2.00 31,1,2024-09-07 09:26:10:568,790695,790695,0,0,371073747202,3801618560,787589,2520,586,356,391712,0 31,2,2024-09-07 09:26:11:274,563440,563440,0,0,23192378,0,3525 31,3,2024-09-07 09:26:11:706,1,447,1,0,220,3806,447,0 32,0,2024-09-07 09:26:11:416,111382,0.3,111977,0.5,223003,0.2,297171,1.50 32,1,2024-09-07 09:26:10:803,786589,786589,0,0,369074497557,3837048893,781466,4441,682,381,391646,0 32,2,2024-09-07 09:26:10:934,570315,570315,0,0,20967805,0,3922 32,3,2024-09-07 09:26:11:015,1,447,2,0,304,3437,447,0 33,0,2024-09-07 09:26:11:497,109201,0.2,108852,0.4,218201,0.2,290793,1.50 33,1,2024-09-07 09:26:10:575,787399,787399,0,0,370042693510,3834480368,780884,5410,1105,368,391730,0 33,2,2024-09-07 09:26:10:761,567994,567959,35,0,23283141,0,7012 33,3,2024-09-07 09:26:10:898,1,447,1,0,329,4174,447,0 34,0,2024-09-07 09:26:10:934,114298,0.3,117351,0.4,224333,0.2,301971,1.75 34,1,2024-09-07 09:26:11:044,788590,788590,0,0,370924272695,3811730738,786844,1734,12,367,391562,0 34,2,2024-09-07 09:26:10:767,563206,563206,0,0,21507957,0,4562 34,3,2024-09-07 09:26:11:688,1,447,2,0,299,3296,447,0 35,0,2024-09-07 09:26:10:860,113669,0.3,114257,0.5,229648,0.3,305532,1.75 35,1,2024-09-07 09:26:11:067,786278,786278,0,0,369554018075,3828397102,781698,3722,858,382,391769,0 35,2,2024-09-07 09:26:11:586,563555,563555,0,0,23439210,0,4055 35,3,2024-09-07 09:26:10:908,1,447,1,0,418,4538,447,0 36,0,2024-09-07 09:26:11:559,112756,0.5,112559,0.6,225137,0.4,299554,2.00 36,1,2024-09-07 09:26:10:593,785924,785924,0,0,368514787028,3844300162,776272,7651,2001,366,391759,0 36,2,2024-09-07 09:26:11:752,569755,569755,0,0,24467770,0,3875 36,3,2024-09-07 09:26:10:862,1,447,1,0,416,6117,447,0 37,0,2024-09-07 09:26:11:371,106652,0.4,106862,0.6,213372,0.4,285110,2.00 37,1,2024-09-07 09:26:10:572,785118,785111,0,7,367961190585,3838689950,776284,6550,2277,365,391770,0 37,2,2024-09-07 09:26:11:153,565264,565249,15,0,24339362,0,5815 37,3,2024-09-07 09:26:11:766,1,447,1,0,888,6613,447,0 38,0,2024-09-07 09:26:11:436,112215,0.4,108872,0.6,227941,0.3,298615,2.00 38,1,2024-09-07 09:26:11:605,786123,786123,0,0,368602135731,3848655510,775373,8589,2161,368,391821,0 38,2,2024-09-07 09:26:10:760,563515,563468,47,0,24007793,0,6710 38,3,2024-09-07 09:26:10:997,1,447,2,0,689,5495,447,0 39,0,2024-09-07 09:26:11:759,116558,0.6,114081,0.7,222420,0.6,303557,2.00 39,1,2024-09-07 09:26:10:719,784997,784997,0,0,368770244178,3861285237,772552,9754,2691,365,391658,0 39,2,2024-09-07 09:26:11:418,563727,563727,0,0,22939817,0,3391 39,3,2024-09-07 09:26:10:713,1,447,1,0,324,4979,447,0 40,0,2024-09-07 09:26:11:496,112281,0.8,112837,1.0,225074,0.9,300153,2.75 40,1,2024-09-07 09:26:10:576,785495,785495,0,0,368174037305,3851551337,774673,8778,2044,368,391668,0 40,2,2024-09-07 09:26:11:303,566411,566410,1,0,26662937,0,5137 40,3,2024-09-07 09:26:11:150,1,447,1,0,1028,6024,447,0 41,0,2024-09-07 09:26:11:022,106863,1.2,109221,1.2,208369,1.9,283810,3.00 41,1,2024-09-07 09:26:10:768,783900,783900,0,0,368242164373,3847267485,774716,8144,1040,369,391742,0 41,2,2024-09-07 09:26:10:761,565398,565398,0,0,25498626,0,4277 41,3,2024-09-07 09:26:11:676,1,447,1,0,366,4520,447,0 42,0,2024-09-07 09:26:11:477,111847,0.7,111709,0.9,223567,0.7,296400,2.50 42,1,2024-09-07 09:26:11:439,782387,782387,0,0,367803067688,3860650258,770299,10057,2031,380,391675,0 42,2,2024-09-07 09:26:11:133,564882,564882,0,0,25609313,0,3790 42,3,2024-09-07 09:26:11:008,1,447,11,0,892,4297,447,0 43,0,2024-09-07 09:26:10:916,112600,0.7,109565,0.9,229440,0.8,300566,2.25 43,1,2024-09-07 09:26:10:576,785796,785796,0,0,369171789303,3852959863,775414,8643,1739,366,391696,0 43,2,2024-09-07 09:26:11:744,563064,563064,0,0,25191922,0,3812 43,3,2024-09-07 09:26:11:752,1,447,1,0,548,5763,447,0 44,0,2024-09-07 09:26:10:898,114949,0.5,115084,0.6,230632,0.4,306185,2.00 44,1,2024-09-07 09:26:10:568,787067,787067,0,0,369210780147,3814175451,780565,5184,1318,356,391809,0 44,2,2024-09-07 09:26:11:268,563507,563507,0,0,21377275,0,4344 44,3,2024-09-07 09:26:11:093,1,447,0,0,817,5123,447,0 45,0,2024-09-07 09:26:11:757,108073,0.5,105513,0.7,221389,0.4,290902,2.00 45,1,2024-09-07 09:26:11:007,786287,786287,0,0,369676753291,3838935652,780625,5088,574,382,391917,0 45,2,2024-09-07 09:26:11:269,569586,569586,0,0,21637395,0,3596 45,3,2024-09-07 09:26:10:937,1,447,1,0,271,3787,447,0 46,0,2024-09-07 09:26:10:948,109694,0.4,109330,0.6,219308,0.4,291283,2.00 46,1,2024-09-07 09:26:10:575,787975,787975,0,0,369835141525,3818214925,783258,4125,592,366,391709,0 46,2,2024-09-07 09:26:10:593,567399,567399,0,0,21786395,0,4443 46,3,2024-09-07 09:26:11:131,1,447,1,0,908,5502,447,0 47,0,2024-09-07 09:26:11:101,114055,0.4,114334,0.6,228693,0.4,302749,1.75 47,1,2024-09-07 09:26:10:568,788780,788780,0,0,369513153196,3816785176,783903,4039,838,366,391641,0 47,2,2024-09-07 09:26:10:908,564600,564600,0,0,21284049,0,4477 47,3,2024-09-07 09:26:11:114,1,447,1,0,600,5313,447,0 48,0,2024-09-07 09:26:11:510,115255,0.3,115234,0.4,229341,0.2,305944,1.50 48,1,2024-09-07 09:26:11:022,786713,786713,0,0,370119201623,3841483231,781999,4258,456,384,391710,0 48,2,2024-09-07 09:26:10:699,563721,563721,0,0,20055645,0,3411 48,3,2024-09-07 09:26:10:752,1,447,1,0,339,3522,447,0 49,0,2024-09-07 09:26:11:711,114498,0.3,112609,0.5,218365,0.3,299131,1.75 49,1,2024-09-07 09:26:11:021,786118,786118,0,0,368987564558,3836797459,780960,3951,1207,382,391809,0 49,2,2024-09-07 09:26:11:796,570180,570180,0,0,22194509,0,4426 49,3,2024-09-07 09:26:11:427,1,447,1,0,992,5266,447,0 50,0,2024-09-07 09:26:11:505,108571,0.3,106903,0.4,215670,0.2,287379,1.75 50,1,2024-09-07 09:26:11:010,788532,788532,0,0,370284753436,3826422554,783599,4359,574,368,391565,0 50,2,2024-09-07 09:26:11:067,567441,567441,0,0,20254746,0,4490 50,3,2024-09-07 09:26:11:292,1,447,1,0,567,4383,447,0 51,0,2024-09-07 09:26:11:685,116259,0.3,113963,0.4,222240,0.2,303612,1.75 51,1,2024-09-07 09:26:11:681,788891,788891,0,0,370853996562,3822405454,784933,2909,1049,365,391706,0 51,2,2024-09-07 09:26:11:321,562893,562893,0,0,19156425,0,3337 51,3,2024-09-07 09:26:11:027,1,447,33,0,678,3584,447,0 52,0,2024-09-07 09:26:11:413,114652,0.5,114455,0.7,229231,0.5,304728,2.00 52,1,2024-09-07 09:26:10:591,785536,785536,0,0,368428478992,3849727376,775194,8989,1353,368,391722,0 52,2,2024-09-07 09:26:11:757,560599,560561,38,0,25521463,0,6742 52,3,2024-09-07 09:26:10:676,1,447,2,0,1782,5938,447,0 53,0,2024-09-07 09:26:11:738,111887,0.6,108672,0.8,227352,0.7,298182,2.25 53,1,2024-09-07 09:26:10:770,783624,783624,0,0,368924072866,3864348918,771699,8965,2960,367,391702,0 53,2,2024-09-07 09:26:11:301,568999,568998,1,0,23262123,0,5455 53,3,2024-09-07 09:26:10:698,1,447,4,0,308,3992,447,0 54,0,2024-09-07 09:26:11:632,105913,0.5,106235,0.7,211389,0.4,282780,2.25 54,1,2024-09-07 09:26:10:580,785844,785844,0,0,369100518702,3833127244,778351,6252,1241,366,391659,0 54,2,2024-09-07 09:26:10:864,567393,567361,32,0,26551548,0,6397 54,3,2024-09-07 09:26:10:762,1,447,1,0,676,6299,447,0 55,0,2024-09-07 09:26:11:759,109221,0.5,112663,0.7,228402,0.4,297688,2.25 55,1,2024-09-07 09:26:10:764,786446,786446,0,0,368537234976,3825692593,778809,6542,1095,365,391731,0 55,2,2024-09-07 09:26:10:730,564026,563970,56,0,25414019,0,7239 55,3,2024-09-07 09:26:10:674,1,447,1,0,304,4367,447,0 56,0,2024-09-07 09:26:11:568,115648,1.3,109126,1.1,224813,1.8,300885,2.75 56,1,2024-09-07 09:26:10:571,781967,781967,0,0,367720557660,3878340647,770445,9148,2374,381,391867,0 56,2,2024-09-07 09:26:11:303,562545,562423,122,0,26213159,0,7432 56,3,2024-09-07 09:26:11:065,1,447,0,0,705,5305,447,0 57,0,2024-09-07 09:26:10:948,112503,1.7,112219,1.3,225159,2.3,301031,3.25 57,1,2024-09-07 09:26:10:987,784397,784397,0,0,367183161944,3843304521,775784,7525,1088,366,392032,0 57,2,2024-09-07 09:26:11:320,565979,565979,0,0,27140275,0,3317 57,3,2024-09-07 09:26:11:739,1,447,1,0,455,5029,447,0 58,0,2024-09-07 09:26:10:559,106591,0.8,103490,0.9,216664,0.9,283577,2.50 58,1,2024-09-07 09:26:10:576,784834,784831,0,3,369448713072,3865034580,773712,8815,2304,367,391603,3 58,2,2024-09-07 09:26:11:075,567302,567302,0,0,25212834,0,2902 58,3,2024-09-07 09:26:11:068,1,447,1,0,1043,4676,447,0 59,0,2024-09-07 09:26:11:744,110892,0.7,110457,0.9,220748,0.7,293508,2.50 59,1,2024-09-07 09:26:10:806,784536,784536,0,0,368219213975,3852395208,774310,8416,1810,369,391653,0 59,2,2024-09-07 09:26:10:583,565619,565619,0,0,25063898,0,3727 59,3,2024-09-07 09:26:11:738,1,447,0,0,1015,5779,447,0 60,0,2024-09-07 09:26:11:725,113358,0.5,113325,0.7,227427,0.5,301866,1.75 60,1,2024-09-07 09:26:10:772,787117,787117,0,0,369891311810,3836834628,781965,4430,722,370,392031,0 60,2,2024-09-07 09:26:11:141,562853,562853,0,0,23359397,0,3811 60,3,2024-09-07 09:26:11:258,1,447,2,0,409,5100,447,0 61,0,2024-09-07 09:26:11:522,114627,0.7,115267,0.8,229597,0.7,305912,2.00 61,1,2024-09-07 09:26:10:778,784961,784961,0,0,368743979774,3859660206,776639,6891,1431,382,392127,0 61,2,2024-09-07 09:26:11:116,563867,563800,67,0,23782657,0,6411 61,3,2024-09-07 09:26:11:692,1,447,1,0,479,5853,447,0 62,0,2024-09-07 09:26:11:710,111856,0.5,114659,0.7,218856,0.5,296984,2.00 62,1,2024-09-07 09:26:11:111,789712,789706,0,6,371027802135,3823471846,785890,3555,261,365,391975,6 62,2,2024-09-07 09:26:11:656,567631,567630,1,0,24045066,0,5555 62,3,2024-09-07 09:26:11:150,1,447,1,0,482,3793,447,0 63,0,2024-09-07 09:26:11:467,109280,0.4,109138,0.5,218865,0.3,291139,1.75 63,1,2024-09-07 09:26:10:803,787242,787236,0,6,369425021197,3833366295,782253,4250,733,381,391800,6 63,2,2024-09-07 09:26:10:762,567251,567251,0,0,21829836,0,4369 63,3,2024-09-07 09:26:11:738,1,447,1,0,667,4461,447,0 64,0,2024-09-07 09:26:11:534,113498,0.5,113634,0.6,226612,0.5,301189,1.75 64,1,2024-09-07 09:26:10:751,786310,786310,0,0,369592997047,3847710522,779626,4981,1703,370,391783,0 64,2,2024-09-07 09:26:11:140,566021,566002,19,0,21567810,0,6121 64,3,2024-09-07 09:26:11:140,1,447,1,0,265,4246,447,0 65,0,2024-09-07 09:26:11:679,113541,0.7,113737,0.8,227184,0.8,302971,2.00 65,1,2024-09-07 09:26:10:860,784363,784363,0,0,368085376746,3843985520,779248,4575,540,381,391901,0 65,2,2024-09-07 09:26:11:692,563562,563562,0,0,25571523,0,3367 65,3,2024-09-07 09:26:11:689,1,447,0,0,782,5436,447,0 66,0,2024-09-07 09:26:11:765,112154,0.5,111912,0.7,223865,0.5,297938,2.25 66,1,2024-09-07 09:26:11:294,786484,786484,0,0,368579387043,3837519334,780984,4974,526,380,391743,0 66,2,2024-09-07 09:26:11:132,570755,570755,0,0,22391840,0,4956 66,3,2024-09-07 09:26:11:085,1,447,0,0,291,3757,447,0 67,0,2024-09-07 09:26:11:423,107208,0.4,107030,0.6,214733,0.4,285933,2.00 67,1,2024-09-07 09:26:10:766,786683,786682,0,1,369730828603,3848556201,781324,4564,794,380,391787,1 67,2,2024-09-07 09:26:10:583,569415,569415,0,0,21352461,0,3622 67,3,2024-09-07 09:26:11:751,1,447,2,0,392,4327,447,0 68,0,2024-09-07 09:26:10:559,113082,0.5,113064,0.7,225013,0.5,300769,2.00 68,1,2024-09-07 09:26:10:578,783740,783740,0,0,367505442581,3861135484,773676,7118,2946,381,391953,0 68,2,2024-09-07 09:26:11:047,561027,560927,100,0,28120507,0,8578 68,3,2024-09-07 09:26:10:730,1,447,2,0,417,5018,447,0 69,0,2024-09-07 09:26:11:754,113375,0.7,114087,0.8,227296,0.8,301970,2.25 69,1,2024-09-07 09:26:11:025,782908,782908,0,0,367138877427,3864611654,773133,7504,2271,384,391994,0 69,2,2024-09-07 09:26:11:739,562446,562417,29,0,29760116,0,6912 69,3,2024-09-07 09:26:10:760,1,447,32,0,698,6730,447,0 70,0,2024-09-07 09:26:11:549,112162,1.0,112416,1.1,226170,0.9,299474,2.50 70,1,2024-09-07 09:26:10:805,786852,786852,0,0,369914784564,3835483273,780870,5321,661,366,391725,0 70,2,2024-09-07 09:26:11:325,567265,567265,0,0,24892556,0,4323 70,3,2024-09-07 09:26:10:745,1,447,1,0,854,5030,447,0 71,0,2024-09-07 09:26:11:361,106389,0.7,106075,0.9,213217,0.8,285105,2.50 71,1,2024-09-07 09:26:11:603,785606,785606,0,0,368228723996,3836830886,777164,7639,803,368,391738,0 71,2,2024-09-07 09:26:11:082,566395,566395,0,0,24859928,0,4352 71,3,2024-09-07 09:26:11:765,1,447,6,0,644,5678,447,0 72,0,2024-09-07 09:26:11:023,115981,0.5,113434,0.7,221140,0.4,300801,2.00 72,1,2024-09-07 09:26:11:023,783963,783963,0,0,368077920848,3857476689,773093,8860,2010,369,391819,0 72,2,2024-09-07 09:26:11:755,564382,564382,0,0,27231692,0,3983 72,3,2024-09-07 09:26:11:753,1,447,1,0,564,6608,447,0 73,0,2024-09-07 09:26:11:129,110749,0.4,113547,0.6,232020,0.4,301478,2.00 73,1,2024-09-07 09:26:10:766,785929,785929,0,0,369096950131,3831099070,780584,4936,409,367,391858,0 73,2,2024-09-07 09:26:11:744,562529,562529,0,0,26619192,0,3701 73,3,2024-09-07 09:26:10:976,1,447,7,0,486,5795,447,0 74,0,2024-09-07 09:26:11:322,115745,0.5,118394,0.7,225671,0.5,306476,2.25 74,1,2024-09-07 09:26:10:642,784952,784952,0,0,369074188610,3849104419,776981,6376,1595,381,391762,0 74,2,2024-09-07 09:26:11:001,564106,564106,0,0,24453461,0,4253 74,3,2024-09-07 09:26:11:446,1,447,5,0,522,5612,447,0 75,0,2024-09-07 09:26:11:765,109917,0.5,109184,0.7,219104,0.4,291933,2.25 75,1,2024-09-07 09:26:11:587,785772,785772,0,0,368562007899,3840712511,778918,6026,828,380,391739,0 75,2,2024-09-07 09:26:11:350,567597,567597,0,0,27320759,0,4766 75,3,2024-09-07 09:26:11:067,1,447,1,0,702,5755,447,0 76,0,2024-09-07 09:26:10:618,109675,0.5,108833,0.7,218482,0.4,292057,2.25 76,1,2024-09-07 09:26:10:804,785458,785458,0,0,367731064323,3834233192,780025,4607,826,382,391692,0 76,2,2024-09-07 09:26:11:066,569069,569068,1,0,23914897,0,5144 76,3,2024-09-07 09:26:11:152,1,447,11,0,175,4103,447,0 77,0,2024-09-07 09:26:11:705,113405,0.5,113776,0.7,227719,0.5,301767,2.00 77,1,2024-09-07 09:26:10:823,786039,786039,0,0,368986359241,3847934213,780199,5333,507,381,391869,0 77,2,2024-09-07 09:26:11:289,562170,562170,0,0,23337418,0,3890 77,3,2024-09-07 09:26:11:093,1,447,1,0,401,4871,447,0 78,0,2024-09-07 09:26:11:717,114987,0.5,114400,0.6,229637,0.4,304504,2.00 78,1,2024-09-07 09:26:10:615,786543,786543,0,0,368375404717,3835034933,778672,6302,1569,367,391670,0 78,2,2024-09-07 09:26:11:405,564556,564543,13,0,22128425,0,8313 78,3,2024-09-07 09:26:11:133,1,447,1,0,181,3755,447,0 79,0,2024-09-07 09:26:11:347,107800,0.4,110378,0.6,225996,0.3,294151,2.25 79,1,2024-09-07 09:26:10:582,787629,787629,0,0,369175752521,3824927595,781000,5320,1309,367,391682,0 79,2,2024-09-07 09:26:11:090,570272,570272,0,0,21646541,0,4195 79,3,2024-09-07 09:26:10:750,1,447,1,0,418,5506,447,0 80,0,2024-09-07 09:26:11:075,107957,0.5,111012,0.6,212209,0.4,287019,2.00 80,1,2024-09-07 09:26:11:619,785608,785608,0,0,368766897728,3839427979,780015,5170,423,368,392269,0 80,2,2024-09-07 09:26:11:091,569407,569407,0,0,21946795,0,4433 80,3,2024-09-07 09:26:10:579,1,447,5,0,190,5080,447,0 81,0,2024-09-07 09:26:11:582,113533,0.5,116302,0.7,222032,0.5,302158,2.00 81,1,2024-09-07 09:26:11:650,784597,784597,0,0,368086109382,3844058180,778581,5475,541,382,391879,0 81,2,2024-09-07 09:26:11:132,561585,561522,63,0,24167872,0,5932 81,3,2024-09-07 09:26:11:117,1,447,8,0,719,5279,447,0 82,0,2024-09-07 09:26:11:530,114009,0.5,114179,0.7,229092,0.5,304038,2.00 82,1,2024-09-07 09:26:10:583,787064,787060,0,4,369023890415,3836770816,782360,3881,819,381,391768,4 82,2,2024-09-07 09:26:11:695,564884,564884,0,0,20689536,0,4484 82,3,2024-09-07 09:26:11:753,1,447,1,0,363,4613,447,0 83,0,2024-09-07 09:26:11:520,112640,0.6,112619,0.8,224579,0.7,298357,2.25 83,1,2024-09-07 09:26:10:551,785078,785078,0,0,368410766584,3839840482,779545,5083,450,382,391709,0 83,2,2024-09-07 09:26:10:763,568966,568966,0,0,21438029,0,3393 83,3,2024-09-07 09:26:10:751,1,447,3,0,1260,5649,447,0 84,0,2024-09-07 09:26:11:845,106787,0.6,106760,0.8,212761,0.5,285221,2.25 84,1,2024-09-07 09:26:11:040,784776,784776,0,0,368498842967,3845662385,777066,6666,1044,367,391967,0 84,2,2024-09-07 09:26:10:578,566041,566011,30,0,28594787,0,5971 84,3,2024-09-07 09:26:11:168,1,447,17,0,908,6540,447,0 85,0,2024-09-07 09:26:11:077,108832,0.5,108771,0.7,230853,0.5,298995,2.00 85,1,2024-09-07 09:26:10:584,782517,782517,0,0,367231483321,3869674310,771694,8902,1921,381,392006,0 85,2,2024-09-07 09:26:10:863,563624,563624,0,0,26488980,0,3656 85,3,2024-09-07 09:26:10:686,1,447,1,0,789,5285,447,0 86,0,2024-09-07 09:26:10:975,113085,0.6,116484,0.8,222835,0.7,301345,2.25 86,1,2024-09-07 09:26:10:846,784911,784911,0,0,368539047263,3854898737,776224,7244,1443,366,391961,0 86,2,2024-09-07 09:26:10:924,560978,560977,1,0,28615215,0,5004 86,3,2024-09-07 09:26:10:595,1,447,3,0,308,6270,447,0 87,0,2024-09-07 09:26:11:289,114128,1.0,113897,0.9,227897,1.3,304348,2.50 87,1,2024-09-07 09:26:10:560,783536,783536,0,0,367885733544,3851640846,773573,8369,1594,366,392076,0 87,2,2024-09-07 09:26:11:068,565091,565085,6,0,25383107,0,6323 87,3,2024-09-07 09:26:11:793,1,447,1,0,473,6819,447,0 88,0,2024-09-07 09:26:11:442,108006,0.4,108801,0.6,216838,0.4,288304,1.75 88,1,2024-09-07 09:26:10:569,783422,783422,0,0,367815371270,3848798153,773692,7721,2009,365,392084,0 88,2,2024-09-07 09:26:10:688,567944,567944,0,0,27871093,0,4465 88,3,2024-09-07 09:26:11:278,1,447,2,0,435,5344,447,0 89,0,2024-09-07 09:26:11:798,114434,0.4,110824,0.6,219339,0.4,298538,1.75 89,1,2024-09-07 09:26:10:563,783092,783092,0,0,367844088315,3862843551,773903,7759,1430,382,391866,0 89,2,2024-09-07 09:26:11:140,565705,565705,0,0,26195246,0,3173 89,3,2024-09-07 09:26:11:805,1,447,1,0,468,7587,447,0 90,0,2024-09-07 09:26:11:617,110146,0.5,113207,0.6,230816,0.4,300883,2.00 90,1,2024-09-07 09:26:10:594,784181,784181,0,0,368651638609,3855116884,777402,6265,514,380,391825,0 90,2,2024-09-07 09:26:11:406,560660,560660,0,0,27442868,0,3060 90,3,2024-09-07 09:26:10:931,1,447,1,0,322,5379,447,0 91,0,2024-09-07 09:26:11:015,115521,0.5,111780,0.6,233469,0.5,306602,1.75 91,1,2024-09-07 09:26:10:556,783051,783051,0,0,367994164486,3864690347,773783,7863,1405,381,392047,0 91,2,2024-09-07 09:26:11:333,564905,564905,0,0,24346483,0,2896 91,3,2024-09-07 09:26:10:604,1,447,16,0,216,4319,447,0 92,0,2024-09-07 09:26:11:565,112239,0.4,115068,0.6,219615,0.4,297262,1.75 92,1,2024-09-07 09:26:10:594,785583,785583,0,0,368270022960,3842797557,780034,4823,726,381,392136,0 92,2,2024-09-07 09:26:11:359,570031,570031,0,0,22123190,0,3259 92,3,2024-09-07 09:26:11:011,1,447,18,0,167,3863,447,0 93,0,2024-09-07 09:26:11:035,109679,0.3,112377,0.5,214557,0.3,291224,1.75 93,1,2024-09-07 09:26:10:807,784993,784993,0,0,368369229780,3843145099,776822,6785,1386,366,391776,0 93,2,2024-09-07 09:26:10:930,566895,566895,0,0,26828291,0,4845 93,3,2024-09-07 09:26:11:415,1,447,1,0,190,3989,447,0 94,0,2024-09-07 09:26:11:617,113573,0.3,114490,0.5,228471,0.3,302747,1.75 94,1,2024-09-07 09:26:10:695,785400,785400,0,0,368850102191,3845011812,780598,4592,210,381,391850,0 94,2,2024-09-07 09:26:10:768,562408,562408,0,0,22289925,0,2443 94,3,2024-09-07 09:26:11:688,1,447,1,0,576,5834,447,0 95,0,2024-09-07 09:26:11:371,114458,0.4,114331,0.5,229228,0.3,305536,1.75 95,1,2024-09-07 09:26:10:853,786566,786566,0,0,369718306039,3840472496,780417,5711,438,365,391786,0 95,2,2024-09-07 09:26:11:017,563300,563300,0,0,22231457,0,3308 95,3,2024-09-07 09:26:11:709,1,447,0,0,718,6299,447,0 96,0,2024-09-07 09:26:11:025,112594,0.4,112957,0.5,225251,0.3,298920,1.75 96,1,2024-09-07 09:26:11:595,785246,785246,0,0,367899034832,3836699316,779677,4682,887,384,391955,0 96,2,2024-09-07 09:26:11:270,570090,570090,0,0,23150450,0,4180 96,3,2024-09-07 09:26:11:140,1,447,1,0,411,4940,447,0 97,0,2024-09-07 09:26:11:325,107247,0.3,106853,0.4,214502,0.2,285800,1.50 97,1,2024-09-07 09:26:10:766,786348,786348,0,0,369557168998,3832124295,781181,4232,935,367,392140,0 97,2,2024-09-07 09:26:10:607,567849,567849,0,0,22277732,0,3679 97,3,2024-09-07 09:26:10:576,1,447,1,0,242,5344,447,0 98,0,2024-09-07 09:26:11:700,112489,0.3,112802,0.4,226219,0.2,300498,1.50 98,1,2024-09-07 09:26:10:576,785781,785781,0,0,368631260166,3838975621,780805,4184,792,382,391997,0 98,2,2024-09-07 09:26:10:769,563943,563943,0,0,22280453,0,4336 98,3,2024-09-07 09:26:10:699,1,447,21,0,840,7275,447,0 99,0,2024-09-07 09:26:11:475,113923,0.3,114590,0.5,227751,0.3,304491,1.75 99,1,2024-09-07 09:26:11:723,786246,786246,0,0,368527806943,3833591658,781291,4118,837,381,392069,0 99,2,2024-09-07 09:26:11:429,564328,564328,0,0,26291722,0,4276 99,3,2024-09-07 09:26:10:581,1,447,3,0,606,4777,447,0 100,0,2024-09-07 09:26:11:468,113128,0.8,113536,1.0,226119,0.9,302080,2.50 100,1,2024-09-07 09:26:10:552,782234,782234,0,0,367847920140,3879620770,771490,8692,2052,378,391989,0 100,2,2024-09-07 09:26:11:818,565203,565192,11,0,25592632,0,5417 100,3,2024-09-07 09:26:11:738,1,447,12,0,559,6974,447,0 101,0,2024-09-07 09:26:11:723,109685,0.8,106895,0.9,209551,0.6,287308,2.25 101,1,2024-09-07 09:26:10:564,782599,782599,0,0,367213754451,3857505293,771896,8579,2124,368,391847,0 101,2,2024-09-07 09:26:11:762,563611,563611,0,0,30484185,0,4871 101,3,2024-09-07 09:26:10:943,1,447,15,0,579,5992,447,0 102,0,2024-09-07 09:26:10:981,109316,0.5,112619,0.7,228616,0.5,299489,2.00 102,1,2024-09-07 09:26:11:152,782939,782939,0,0,367640111715,3858104915,773054,8121,1764,369,391891,0 102,2,2024-09-07 09:26:11:746,566296,566242,54,0,24633166,0,6768 102,3,2024-09-07 09:26:11:614,1,447,1,0,466,4812,447,0 103,0,2024-09-07 09:26:11:602,117329,0.5,117281,0.7,221058,0.5,303831,2.00 103,1,2024-09-07 09:26:11:678,782524,782524,0,0,367421716030,3874965030,770519,8939,3066,381,391829,0 103,2,2024-09-07 09:26:10:582,561041,561041,0,0,27196554,0,3766 103,3,2024-09-07 09:26:10:769,1,447,6,0,916,4858,447,0 104,0,2024-09-07 09:26:11:047,113916,0.7,114243,0.9,227451,0.7,304626,2.25 104,1,2024-09-07 09:26:11:621,784272,784272,0,0,367734925717,3857591605,773416,8992,1864,365,392168,0 104,2,2024-09-07 09:26:11:668,563300,563300,0,0,26055149,0,3941 104,3,2024-09-07 09:26:11:417,1,447,3,0,1245,8620,447,0 105,0,2024-09-07 09:26:11:056,108305,0.8,105434,1.0,221090,0.8,290108,2.50 105,1,2024-09-07 09:26:10:554,785557,785557,0,0,368886964429,3860589151,776100,8028,1429,366,392009,0 105,2,2024-09-07 09:26:11:323,567301,567301,0,0,26003510,0,3509 105,3,2024-09-07 09:26:11:315,1,447,2,0,399,6614,447,0 106,0,2024-09-07 09:26:11:052,106340,0.6,108879,0.8,222832,0.6,291587,2.25 106,1,2024-09-07 09:26:11:753,784251,784251,0,0,368044546550,3859486427,773187,9616,1448,369,391914,0 106,2,2024-09-07 09:26:10:797,566084,566084,0,0,25403310,0,2920 106,3,2024-09-07 09:26:10:681,1,447,9,0,470,5561,447,0 107,0,2024-09-07 09:26:11:117,113582,0.8,113837,0.9,227450,0.9,302872,2.25 107,1,2024-09-07 09:26:10:596,782528,782528,0,0,367336749830,3867188167,772290,9184,1054,381,392234,0 107,2,2024-09-07 09:26:11:290,560464,560463,1,0,26308852,0,5024 107,3,2024-09-07 09:26:11:756,1,447,3,0,370,6679,447,0 108,0,2024-09-07 09:26:11:769,114398,0.5,115272,0.6,229227,0.4,305812,1.75 108,1,2024-09-07 09:26:11:293,785713,785713,0,0,369474567021,3850150640,779530,5438,745,367,391857,0 108,2,2024-09-07 09:26:11:757,562555,562555,0,0,24864179,0,4246 108,3,2024-09-07 09:26:11:330,1,447,27,0,749,8086,447,0 109,0,2024-09-07 09:26:11:746,112294,0.4,111419,0.6,223000,0.3,298375,1.75 109,1,2024-09-07 09:26:10:582,782665,782665,0,0,368184041435,3862344457,775220,6258,1187,382,392132,0 109,2,2024-09-07 09:26:10:920,567571,567571,0,0,24439949,0,3617 109,3,2024-09-07 09:26:11:140,1,447,1,0,379,5122,447,0 110,0,2024-09-07 09:26:11:746,107737,0.3,104878,0.5,219849,0.3,287599,1.75 110,1,2024-09-07 09:26:11:644,786660,786660,0,0,369132614292,3828814164,781263,4218,1179,369,392045,0 110,2,2024-09-07 09:26:11:302,567748,567748,0,0,23462346,0,4067 110,3,2024-09-07 09:26:10:701,1,447,6,0,722,6250,447,0 111,0,2024-09-07 09:26:11:412,113929,0.4,113225,0.5,226872,0.3,303462,1.75 111,1,2024-09-07 09:26:11:000,786886,786886,0,0,370976930815,3844761036,782995,3536,355,380,391690,0 111,2,2024-09-07 09:26:11:115,561878,561878,0,0,22883650,0,4823 111,3,2024-09-07 09:26:10:913,1,447,0,0,379,5174,447,0 112,0,2024-09-07 09:26:10:909,114959,0.3,114496,0.4,229421,0.2,305017,1.50 112,1,2024-09-07 09:26:10:824,786602,786602,0,0,369146253435,3829398331,781883,4106,613,380,391624,0 112,2,2024-09-07 09:26:11:135,563269,563268,1,0,22215793,0,5036 112,3,2024-09-07 09:26:10:592,1,447,1,0,282,4290,447,0 113,0,2024-09-07 09:26:10:883,112712,0.3,112765,0.5,226019,0.3,300337,1.75 113,1,2024-09-07 09:26:11:687,789108,789108,0,0,371824368486,3833473529,785214,3305,589,366,391661,0 113,2,2024-09-07 09:26:11:310,570496,570496,0,0,20502521,0,3813 113,3,2024-09-07 09:26:10:684,1,447,1,0,340,4875,447,0 114,0,2024-09-07 09:26:10:876,107709,0.3,108309,0.4,215336,0.2,287834,1.75 114,1,2024-09-07 09:26:10:717,786526,786526,0,0,369250704641,3834253537,780233,4677,1616,381,391565,0 114,2,2024-09-07 09:26:10:874,568240,568239,1,0,21529298,0,5069 114,3,2024-09-07 09:26:11:277,1,447,0,0,395,3717,447,0 115,0,2024-09-07 09:26:10:568,113111,0.2,113575,0.4,226788,0.2,301489,1.50 115,1,2024-09-07 09:26:10:578,787034,787034,0,0,369446764541,3837871227,780537,5230,1267,382,391757,0 115,2,2024-09-07 09:26:11:125,566493,566493,0,0,21337703,0,4382 115,3,2024-09-07 09:26:11:006,1,447,5,0,159,2452,447,0 116,0,2024-09-07 09:26:11:726,112924,0.7,112964,0.8,226398,0.7,302504,2.00 116,1,2024-09-07 09:26:10:821,782926,782926,0,0,368096502268,3872704127,774301,6297,2328,380,392089,0 116,2,2024-09-07 09:26:11:757,562057,562057,0,0,27017761,0,4128 116,3,2024-09-07 09:26:10:913,1,447,3,0,415,5143,447,0 117,0,2024-09-07 09:26:11:092,114577,0.8,113949,0.8,228312,0.9,304707,2.00 117,1,2024-09-07 09:26:11:589,783910,783910,0,0,367081048086,3837079416,776145,6849,916,369,392033,0 117,2,2024-09-07 09:26:11:118,568439,568439,0,0,23190692,0,4303 117,3,2024-09-07 09:26:11:072,1,447,2,0,490,6587,447,0 118,0,2024-09-07 09:26:11:765,105039,0.5,107762,0.6,220128,0.4,287294,2.00 118,1,2024-09-07 09:26:10:599,783714,783714,0,0,367025512503,3856930277,771794,8919,3001,366,391907,0 118,2,2024-09-07 09:26:11:598,567083,567083,0,0,25454272,0,2842 118,3,2024-09-07 09:26:11:764,1,447,1,0,248,5124,447,0 119,0,2024-09-07 09:26:11:420,111079,0.5,111317,0.7,222772,0.4,297130,2.00 119,1,2024-09-07 09:26:10:555,784723,784723,0,0,368295142591,3849799707,776228,7377,1118,367,391857,0 119,2,2024-09-07 09:26:11:414,567222,567222,0,0,24111150,0,4174 119,3,2024-09-07 09:26:11:333,1,447,9,0,1358,8561,447,0 120,0,2024-09-07 09:26:11:555,112938,0.6,112598,0.8,225946,0.6,301283,2.25 120,1,2024-09-07 09:26:10:863,784770,784770,0,0,367947405484,3856917877,776389,7611,770,368,392144,0 120,2,2024-09-07 09:26:10:769,561750,561749,1,0,27353852,0,5281 120,3,2024-09-07 09:26:11:311,1,447,15,0,279,5434,447,0 121,0,2024-09-07 09:26:11:687,114883,1.4,114537,1.1,229559,1.9,305848,2.50 121,1,2024-09-07 09:26:11:668,784597,784597,0,0,368119663743,3843697518,777841,6294,462,367,391840,0 121,2,2024-09-07 09:26:11:125,563411,563411,0,0,26331927,0,4127 121,3,2024-09-07 09:26:10:736,1,447,0,0,269,4850,447,0 122,0,2024-09-07 09:26:11:771,110724,0.7,107977,0.8,226528,0.8,296998,2.00 122,1,2024-09-07 09:26:10:864,783436,783436,0,0,367994051544,3856895832,772986,8846,1604,366,392130,0 122,2,2024-09-07 09:26:11:329,569367,569294,73,0,29276332,0,5989 122,3,2024-09-07 09:26:10:595,1,447,14,0,512,7882,447,0 123,0,2024-09-07 09:26:10:964,108561,0.5,105805,0.7,221817,0.5,290614,2.00 123,1,2024-09-07 09:26:10:559,783213,783213,0,0,368215195701,3874451516,769660,11109,2444,369,392039,0 123,2,2024-09-07 09:26:11:018,565210,565209,1,0,24732283,0,5215 123,3,2024-09-07 09:26:11:132,1,447,1,0,478,5157,447,0 124,0,2024-09-07 09:26:10:928,117321,0.3,117330,0.5,221077,0.3,303680,1.75 124,1,2024-09-07 09:26:11:022,786421,786421,0,0,369285199050,3835319392,781132,4238,1051,367,392178,0 124,2,2024-09-07 09:26:11:008,563184,563131,53,0,22431949,0,6487 124,3,2024-09-07 09:26:10:758,1,447,2,0,490,4237,447,0 125,0,2024-09-07 09:26:11:431,114363,0.4,114251,0.6,229193,0.4,305436,1.75 125,1,2024-09-07 09:26:10:857,784827,784827,0,0,369357886642,3853410757,778908,5246,673,382,391702,0 125,2,2024-09-07 09:26:11:115,564698,564698,0,0,23313396,0,4534 125,3,2024-09-07 09:26:11:126,1,447,1,0,709,5450,447,0 126,0,2024-09-07 09:26:11:418,112530,0.4,115763,0.6,221532,0.4,299670,1.75 126,1,2024-09-07 09:26:10:593,786957,786957,0,0,369447884283,3823631508,782946,3717,294,365,391987,0 126,2,2024-09-07 09:26:10:613,570088,570088,0,0,24146855,0,4539 126,3,2024-09-07 09:26:10:907,1,447,1,0,268,5333,447,0 127,0,2024-09-07 09:26:11:595,107278,0.3,107537,0.5,214705,0.2,286054,1.50 127,1,2024-09-07 09:26:10:595,785982,785982,0,0,368508371221,3830345109,778631,6129,1222,364,392187,0 127,2,2024-09-07 09:26:10:690,566238,566238,0,0,21785426,0,3897 127,3,2024-09-07 09:26:11:279,1,447,6,0,968,4665,447,0 128,0,2024-09-07 09:26:11:524,113329,0.3,113311,0.4,226530,0.2,301073,1.50 128,1,2024-09-07 09:26:11:605,785427,785427,0,0,368760197370,3826057706,780510,4484,433,367,392031,0 128,2,2024-09-07 09:26:11:384,565092,565092,0,0,21040901,0,3171 128,3,2024-09-07 09:26:10:767,1,447,1,0,1082,6845,447,0 129,0,2024-09-07 09:26:10:995,114941,0.3,114355,0.5,229003,0.3,304959,1.75 129,1,2024-09-07 09:26:10:578,783805,783805,0,0,368018805624,3854067401,776089,6044,1672,379,391962,0 129,2,2024-09-07 09:26:10:686,563919,563919,0,0,22569703,0,4031 129,3,2024-09-07 09:26:10:692,1,447,2,0,506,6415,447,0 130,0,2024-09-07 09:26:11:747,114146,0.5,113664,0.6,228628,0.5,303399,1.75 130,1,2024-09-07 09:26:10:582,786454,786454,0,0,369149684351,3833518341,782415,3700,339,381,391825,0 130,2,2024-09-07 09:26:11:126,568558,568558,0,0,23076546,0,4067 130,3,2024-09-07 09:26:11:292,1,447,2,0,960,6807,447,0 131,0,2024-09-07 09:26:12:021,107305,0.3,107776,0.5,216361,0.3,287205,1.75 131,1,2024-09-07 09:26:11:821,785828,785828,0,0,367919172899,3840360638,779849,4928,1051,381,391865,0 131,2,2024-09-07 09:26:10:569,569024,569024,0,0,21189935,0,3979 131,3,2024-09-07 09:26:11:693,1,447,1,0,392,4899,447,0 132,0,2024-09-07 09:26:11:409,112428,0.4,113367,0.6,225737,0.3,300433,1.75 132,1,2024-09-07 09:26:10:581,781914,781914,0,0,367412705869,3864636404,770770,9033,2111,381,392532,0 132,2,2024-09-07 09:26:10:698,565333,565316,17,0,27465766,0,6451 132,3,2024-09-07 09:26:11:692,1,447,1,0,804,7662,447,0 133,0,2024-09-07 09:26:11:526,110608,0.4,113177,0.6,232291,0.4,302070,1.75 133,1,2024-09-07 09:26:10:591,782332,782332,0,0,367684334456,3871323070,771781,9036,1515,383,391914,0 133,2,2024-09-07 09:26:11:085,562027,561977,50,0,28811207,0,6861 133,3,2024-09-07 09:26:11:299,1,447,0,0,479,4630,447,0 134,0,2024-09-07 09:26:10:950,115372,0.6,114967,0.7,230291,0.6,306965,2.00 134,1,2024-09-07 09:26:10:596,783684,783684,0,0,368195121348,3857222573,773881,7766,2037,366,391718,0 134,2,2024-09-07 09:26:11:756,563239,563215,24,0,26459350,0,6207 134,3,2024-09-07 09:26:10:760,1,447,1,0,739,5581,447,0 135,0,2024-09-07 09:26:11:099,106055,0.7,106047,0.8,225115,0.8,289523,2.00 135,1,2024-09-07 09:26:11:585,783867,783867,0,0,368323422692,3870259142,773892,8599,1376,380,391805,0 135,2,2024-09-07 09:26:10:687,568374,568374,0,0,25860992,0,3981 135,3,2024-09-07 09:26:11:002,1,447,2,0,299,3775,447,0 136,0,2024-09-07 09:26:11:628,110449,0.5,110656,0.7,220200,0.4,293891,2.00 136,1,2024-09-07 09:26:11:447,784856,784856,0,0,367962385337,3854895986,776279,7613,964,381,391685,0 136,2,2024-09-07 09:26:11:132,568264,568264,0,0,24779695,0,3506 136,3,2024-09-07 09:26:11:105,1,447,1,0,637,5108,447,0 137,0,2024-09-07 09:26:10:932,117370,0.6,114289,0.7,224196,0.6,304063,2.00 137,1,2024-09-07 09:26:10:575,782964,782964,0,0,367762488265,3854018501,771403,9201,2360,366,391898,0 137,2,2024-09-07 09:26:11:716,560692,560692,0,0,27283027,0,3185 137,3,2024-09-07 09:26:10:772,1,447,2,0,484,5347,447,0 138,0,2024-09-07 09:26:11:740,114275,1.0,114185,1.0,229318,1.2,304424,2.25 138,1,2024-09-07 09:26:11:700,784022,784022,0,0,368776895978,3862456378,773775,8523,1724,368,391954,0 138,2,2024-09-07 09:26:10:594,562828,562828,0,0,24822550,0,4988 138,3,2024-09-07 09:26:10:613,1,447,4,0,1160,5772,447,0 139,0,2024-09-07 09:26:11:395,110734,1.5,110827,1.1,222154,2.2,296813,2.50 139,1,2024-09-07 09:26:10:601,780014,780014,0,0,366163653004,3886495171,765331,11314,3369,380,392058,0 139,2,2024-09-07 09:26:10:726,565654,565654,0,0,28882073,0,3097 139,3,2024-09-07 09:26:11:664,1,447,2,0,432,5084,447,0 140,0,2024-09-07 09:26:11:600,108363,0.3,107748,0.5,216188,0.2,288074,1.75 140,1,2024-09-07 09:26:11:536,788118,788118,0,0,370729828798,3819385507,784549,3127,442,365,391606,0 140,2,2024-09-07 09:26:10:686,568662,568661,1,0,21994076,0,5036 140,3,2024-09-07 09:26:10:766,1,447,1,0,297,3651,447,0 141,0,2024-09-07 09:26:11:697,113757,0.3,116800,0.5,223077,0.2,303169,1.50 141,1,2024-09-07 09:26:10:860,787149,787149,0,0,370349870391,3843605986,781457,4735,957,379,391614,0 141,2,2024-09-07 09:26:11:687,562861,562861,0,0,21760576,0,3360 141,3,2024-09-07 09:26:11:043,1,447,1,0,391,4978,447,0 142,0,2024-09-07 09:26:11:314,115056,0.3,114462,0.5,229033,0.3,305620,1.75 142,1,2024-09-07 09:26:10:587,785799,785799,0,0,368772261442,3840687760,781102,4298,399,382,392102,0 142,2,2024-09-07 09:26:11:301,563213,563181,32,0,23797336,0,6028 142,3,2024-09-07 09:26:11:753,1,447,17,0,484,4945,447,0 143,0,2024-09-07 09:26:11:368,112737,0.5,112959,0.6,226078,0.4,300440,1.75 143,1,2024-09-07 09:26:10:556,786930,786930,0,0,369473956730,3831761415,781878,4507,545,367,391705,0 143,2,2024-09-07 09:26:10:769,569104,569104,0,0,23094918,0,3123 143,3,2024-09-07 09:26:11:140,1,447,0,0,462,5423,447,0 144,0,2024-09-07 09:26:11:498,104153,0.5,106924,0.8,218090,0.5,285756,2.00 144,1,2024-09-07 09:26:10:568,782782,782782,0,0,367491141457,3854522562,775003,5944,1835,381,391649,0 144,2,2024-09-07 09:26:11:755,567970,567970,0,0,21639034,0,3673 144,3,2024-09-07 09:26:11:739,1,447,1,0,249,4480,447,0 145,0,2024-09-07 09:26:11:387,109255,0.5,109312,0.7,231968,0.4,298829,2.00 145,1,2024-09-07 09:26:10:552,782818,782818,0,0,367495171242,3860531634,773178,7978,1662,382,391759,0 145,2,2024-09-07 09:26:11:432,562617,562536,81,0,26523306,0,7814 145,3,2024-09-07 09:26:10:895,1,447,2,0,622,6274,447,0 146,0,2024-09-07 09:26:11:598,113278,0.6,112784,0.7,226896,0.6,301010,2.25 146,1,2024-09-07 09:26:11:586,783742,783742,0,0,368405667579,3870580572,771586,9039,3117,367,391770,0 146,2,2024-09-07 09:26:11:701,561540,561540,0,0,25263694,0,3290 146,3,2024-09-07 09:26:11:277,1,447,2,0,1520,8116,447,0 147,0,2024-09-07 09:26:11:718,114322,0.7,114232,0.8,227714,0.7,304056,2.25 147,1,2024-09-07 09:26:11:373,786833,786833,0,0,369159756159,3836845594,780113,5873,847,368,391791,0 147,2,2024-09-07 09:26:11:009,565654,565654,0,0,22975580,0,2968 147,3,2024-09-07 09:26:10:913,1,447,6,0,1626,7580,447,0 0,0,2024-09-07 09:26:21:757,110409,0.6,110325,0.7,234178,0.6,302400,2.00 0,1,2024-09-07 09:26:20:801,785687,785687,0,0,368752192287,3856666700,780209,5088,390,369,391896,0 0,2,2024-09-07 09:26:21:067,565064,565064,0,0,22306175,0,4480 0,3,2024-09-07 09:26:20:985,1,448,1,0,431,6565,448,0 1,0,2024-09-07 09:26:21:765,115003,1.1,114381,1.0,229312,1.5,306388,2.25 1,1,2024-09-07 09:26:20:564,785565,785565,0,0,368702959928,3856370790,779046,5189,1330,370,391859,0 1,2,2024-09-07 09:26:20:639,565031,565031,0,0,22668834,0,3380 1,3,2024-09-07 09:26:21:321,1,448,1,0,268,5440,448,0 2,0,2024-09-07 09:26:21:572,111232,0.6,111280,0.7,221862,0.6,295895,2.00 2,1,2024-09-07 09:26:20:860,788205,788205,0,0,370426236644,3842169788,784913,2997,295,380,391745,0 2,2,2024-09-07 09:26:21:266,570734,570734,0,0,21261364,0,3594 2,3,2024-09-07 09:26:20:695,1,448,1,0,357,4238,448,0 3,0,2024-09-07 09:26:21:743,109495,0.4,109201,0.6,218570,0.3,291554,2.00 3,1,2024-09-07 09:26:21:629,786572,786572,0,0,368851241566,3838594449,780328,5551,693,379,391716,0 3,2,2024-09-07 09:26:21:142,568747,568724,23,0,22382300,0,5851 3,3,2024-09-07 09:26:21:757,1,448,1,0,207,2957,448,0 4,0,2024-09-07 09:26:21:836,110663,0.4,113708,0.5,231865,0.3,302888,1.75 4,1,2024-09-07 09:26:20:591,783701,783701,0,0,367340321451,3877719421,771871,9322,2508,370,391992,0 4,2,2024-09-07 09:26:21:023,561285,561285,0,0,25394545,0,4528 4,3,2024-09-07 09:26:21:027,1,448,1,0,448,5681,448,0 5,0,2024-09-07 09:26:21:368,114470,0.4,115076,0.6,229770,0.4,305501,1.75 5,1,2024-09-07 09:26:20:755,785299,785299,0,0,368911453906,3878498655,774861,8278,2160,367,392005,0 5,2,2024-09-07 09:26:21:839,562272,562272,0,0,25299918,0,3582 5,3,2024-09-07 09:26:21:734,1,448,1,0,457,6092,448,0 6,0,2024-09-07 09:26:20:916,112770,0.4,112357,0.6,224685,0.4,299653,2.00 6,1,2024-09-07 09:26:20:750,786765,786765,0,0,369259585975,3854654315,778550,6822,1393,379,391702,0 6,2,2024-09-07 09:26:21:118,570208,570190,18,0,25226713,0,5535 6,3,2024-09-07 09:26:21:285,1,448,4,0,710,5511,448,0 7,0,2024-09-07 09:26:21:538,106953,0.4,107849,0.6,214661,0.4,286082,1.75 7,1,2024-09-07 09:26:20:853,785874,785874,0,0,368984706906,3866297494,776083,8327,1464,382,391747,0 7,2,2024-09-07 09:26:20:773,569131,569131,0,0,24479081,0,4791 7,3,2024-09-07 09:26:20:853,1,448,1,0,552,4982,448,0 8,0,2024-09-07 09:26:21:330,113245,0.3,113073,0.5,226500,0.3,301695,1.75 8,1,2024-09-07 09:26:21:017,784680,784680,0,0,368571632600,3870829222,773050,8997,2633,366,392853,0 8,2,2024-09-07 09:26:20:790,560244,560244,0,0,28694178,0,3250 8,3,2024-09-07 09:26:20:596,1,448,8,0,538,7124,448,0 9,0,2024-09-07 09:26:21:112,114309,0.4,111220,0.5,232673,0.3,305230,1.75 9,1,2024-09-07 09:26:20:550,784169,784169,0,0,368498132169,3876633820,773085,8663,2421,369,392001,0 9,2,2024-09-07 09:26:21:086,564105,564105,0,0,25534131,0,3360 9,3,2024-09-07 09:26:21:755,1,448,3,0,496,6242,448,0 10,0,2024-09-07 09:26:21:607,113495,0.4,113017,0.5,226898,0.3,302007,1.75 10,1,2024-09-07 09:26:20:584,786020,786020,0,0,368796555983,3862104962,775526,8870,1624,381,391741,0 10,2,2024-09-07 09:26:20:762,568610,568610,0,0,28192456,0,4264 10,3,2024-09-07 09:26:20:873,1,448,4,0,649,4648,448,0 11,0,2024-09-07 09:26:21:012,107663,0.4,104317,0.6,218162,0.4,288096,1.75 11,1,2024-09-07 09:26:20:573,786544,786544,0,0,369606215854,3872684445,775215,8702,2627,383,391756,0 11,2,2024-09-07 09:26:21:124,568001,568001,0,0,24755197,0,4130 11,3,2024-09-07 09:26:21:298,1,448,3,0,843,6257,448,0 12,0,2024-09-07 09:26:20:988,113422,0.3,113439,0.5,227062,0.3,301672,1.75 12,1,2024-09-07 09:26:20:941,786306,786306,0,0,369290262730,3846729212,780730,5073,503,370,391960,0 12,2,2024-09-07 09:26:21:595,566409,566409,0,0,24182555,0,3469 12,3,2024-09-07 09:26:21:058,1,448,1,0,386,6421,448,0 13,0,2024-09-07 09:26:21:341,114638,0.4,114221,0.6,227827,0.4,303574,1.75 13,1,2024-09-07 09:26:21:527,784511,784511,0,0,368212233005,3867190054,776876,6001,1634,382,391740,0 13,2,2024-09-07 09:26:20:599,565722,565722,0,0,22197401,0,3287 13,3,2024-09-07 09:26:21:762,1,448,0,0,522,6426,448,0 14,0,2024-09-07 09:26:20:561,114953,0.4,115931,0.6,229411,0.4,305902,1.75 14,1,2024-09-07 09:26:21:560,790068,790068,0,0,370522188804,3834415516,783842,5516,710,364,391673,0 14,2,2024-09-07 09:26:20:764,566449,566419,30,0,24315070,0,6104 14,3,2024-09-07 09:26:21:121,1,448,1,0,1168,4751,448,0 15,0,2024-09-07 09:26:21:552,109144,0.4,109043,0.6,218604,0.4,290316,2.00 15,1,2024-09-07 09:26:21:608,787435,787435,0,0,369337666997,3844371680,781208,5048,1179,381,391619,0 15,2,2024-09-07 09:26:20:998,571146,571146,0,0,20245234,0,3622 15,3,2024-09-07 09:26:21:417,1,448,0,0,1126,7010,448,0 16,0,2024-09-07 09:26:20:946,110273,0.5,110969,0.7,221124,0.4,294297,2.00 16,1,2024-09-07 09:26:20:569,787093,787093,0,0,369065787580,3856101674,780480,5498,1115,370,391917,0 16,2,2024-09-07 09:26:21:438,567896,567896,0,0,23984157,0,4719 16,3,2024-09-07 09:26:21:147,1,448,8,0,317,5488,448,0 17,0,2024-09-07 09:26:21:774,117272,0.6,114617,0.7,224076,0.6,303971,2.00 17,1,2024-09-07 09:26:20:570,785469,785469,0,0,368928826011,3867675136,777788,6162,1519,368,392075,0 17,2,2024-09-07 09:26:21:677,565946,565946,0,0,23657965,0,3779 17,3,2024-09-07 09:26:20:573,1,448,8,0,518,6970,448,0 18,0,2024-09-07 09:26:20:939,113802,0.7,114308,0.8,227929,0.7,304453,2.25 18,1,2024-09-07 09:26:21:654,788630,788630,0,0,370117740740,3832612913,784625,3625,380,367,391725,0 18,2,2024-09-07 09:26:21:779,567236,567236,0,0,21037184,0,3541 18,3,2024-09-07 09:26:20:899,1,448,1,0,163,3357,448,0 19,0,2024-09-07 09:26:21:552,111476,0.6,112041,0.8,222238,0.6,296142,2.25 19,1,2024-09-07 09:26:20:566,788759,788759,0,0,370832072916,3843466008,782781,5057,921,367,391777,0 19,2,2024-09-07 09:26:21:756,573032,573032,0,0,20151290,0,3988 19,3,2024-09-07 09:26:21:129,1,448,0,0,524,3798,448,0 20,0,2024-09-07 09:26:21:344,108177,0.4,108170,0.6,216072,0.4,288329,2.00 20,1,2024-09-07 09:26:20:567,785931,785931,0,0,369266457743,3858413420,779209,5921,801,369,391922,0 20,2,2024-09-07 09:26:20:929,567976,567976,0,0,23893468,0,3721 20,3,2024-09-07 09:26:20:589,1,448,22,0,414,6588,448,0 21,0,2024-09-07 09:26:21:140,113981,0.4,114051,0.6,228050,0.4,303431,1.75 21,1,2024-09-07 09:26:21:538,784388,784388,0,0,368401263861,3879279275,773412,8495,2481,368,392016,0 21,2,2024-09-07 09:26:21:066,560885,560865,20,0,28885080,0,5617 21,3,2024-09-07 09:26:21:407,1,448,17,0,713,5931,448,0 22,0,2024-09-07 09:26:21:717,114533,0.5,115001,0.7,229586,0.4,304707,2.00 22,1,2024-09-07 09:26:21:023,785657,785657,0,0,368965729555,3872473457,774498,8932,2227,382,391667,0 22,2,2024-09-07 09:26:20:762,563546,563520,26,0,23109438,0,6328 22,3,2024-09-07 09:26:21:068,1,448,1,0,228,3586,448,0 23,0,2024-09-07 09:26:21:367,112506,0.5,111898,0.7,224344,0.5,298773,2.25 23,1,2024-09-07 09:26:21:009,786398,786398,0,0,369494740538,3874679718,773287,9057,4054,365,391690,0 23,2,2024-09-07 09:26:21:094,571457,571457,0,0,22606139,0,3773 23,3,2024-09-07 09:26:21:765,1,448,1,0,720,5614,448,0 24,0,2024-09-07 09:26:20:837,108318,0.4,107718,0.5,216522,0.3,287444,1.75 24,1,2024-09-07 09:26:20:581,785485,785485,0,0,367952578602,3853020451,777472,6418,1595,367,392269,0 24,2,2024-09-07 09:26:21:069,568199,568199,0,0,27197164,0,3607 24,3,2024-09-07 09:26:21:689,1,448,1,0,468,5914,448,0 25,0,2024-09-07 09:26:21:380,116393,0.4,113325,0.5,222297,0.3,302867,1.75 25,1,2024-09-07 09:26:20:565,785028,785028,0,0,368791524080,3881394371,772996,9781,2251,371,391928,0 25,2,2024-09-07 09:26:21:613,563697,563697,0,0,27822325,0,3978 25,3,2024-09-07 09:26:21:009,1,448,1,0,532,4940,448,0 26,0,2024-09-07 09:26:21:732,113323,0.4,110687,0.6,232375,0.4,302194,2.00 26,1,2024-09-07 09:26:21:540,787264,787264,0,0,369143511799,3866292894,775630,9225,2409,380,391748,0 26,2,2024-09-07 09:26:20:862,564740,564740,0,0,27793076,0,2809 26,3,2024-09-07 09:26:21:716,1,448,0,0,796,5217,448,0 27,0,2024-09-07 09:26:21:735,114493,0.5,114606,0.6,228097,0.4,304176,2.25 27,1,2024-09-07 09:26:21:686,788196,788196,0,0,370643594388,3856962880,780925,6369,902,381,391626,0 27,2,2024-09-07 09:26:20:871,564928,564863,65,0,25416617,0,5699 27,3,2024-09-07 09:26:21:016,1,448,5,0,564,4142,448,0 28,0,2024-09-07 09:26:21:396,108439,0.4,108171,0.6,216933,0.3,288817,1.75 28,1,2024-09-07 09:26:20:801,787484,787484,0,0,369942789239,3858100621,780287,5498,1699,382,391698,0 28,2,2024-09-07 09:26:21:770,568929,568929,0,0,23295450,0,2915 28,3,2024-09-07 09:26:21:783,1,448,0,0,502,4764,448,0 29,0,2024-09-07 09:26:21:410,115114,0.3,112143,0.5,219801,0.2,299922,1.75 29,1,2024-09-07 09:26:21:560,789841,789841,0,0,370949627469,3835815922,784971,4135,735,368,391809,0 29,2,2024-09-07 09:26:20:868,567034,567034,0,0,22019077,0,4986 29,3,2024-09-07 09:26:20:977,1,448,15,0,459,5142,448,0 30,0,2024-09-07 09:26:21:463,113233,0.5,110047,0.7,230512,0.5,301298,2.00 30,1,2024-09-07 09:26:20:571,788619,788619,0,0,371040323322,3850416346,782548,5304,767,381,391672,0 30,2,2024-09-07 09:26:21:282,564531,564531,0,0,21440900,0,4192 30,3,2024-09-07 09:26:20:581,1,448,3,0,519,4404,448,0 31,0,2024-09-07 09:26:21:764,114638,0.5,115002,0.7,229798,0.4,306365,2.00 31,1,2024-09-07 09:26:20:564,792413,792413,0,0,371699829786,3807938163,789307,2520,586,356,391712,0 31,2,2024-09-07 09:26:21:275,564751,564751,0,0,23210791,0,3525 31,3,2024-09-07 09:26:21:710,1,448,1,0,220,3807,448,0 32,0,2024-09-07 09:26:21:475,111577,0.3,112178,0.5,223371,0.2,297650,1.50 32,1,2024-09-07 09:26:20:804,788377,788377,0,0,370008837017,3846605378,783254,4441,682,381,391646,0 32,2,2024-09-07 09:26:20:936,571355,571355,0,0,21008356,0,3922 32,3,2024-09-07 09:26:21:026,1,448,3,0,304,3440,448,0 33,0,2024-09-07 09:26:21:506,109666,0.2,109298,0.4,219068,0.2,291971,1.50 33,1,2024-09-07 09:26:20:580,789130,789130,0,0,370873038316,3842951905,782613,5412,1105,368,391730,0 33,2,2024-09-07 09:26:20:762,569328,569293,35,0,23337186,0,7012 33,3,2024-09-07 09:26:20:899,1,448,3,0,329,4177,448,0 34,0,2024-09-07 09:26:20:932,114409,0.3,117481,0.4,224576,0.2,302260,1.75 34,1,2024-09-07 09:26:21:047,790343,790343,0,0,371819207464,3820793204,788597,1734,12,367,391562,0 34,2,2024-09-07 09:26:20:765,564453,564453,0,0,21535289,0,4562 34,3,2024-09-07 09:26:21:695,1,448,0,0,299,3296,448,0 35,0,2024-09-07 09:26:20:865,113978,0.3,114586,0.5,230228,0.3,306328,1.75 35,1,2024-09-07 09:26:21:066,788082,788082,0,0,370315324771,3836252919,783501,3722,859,382,391769,0 35,2,2024-09-07 09:26:21:583,564655,564655,0,0,23478360,0,4055 35,3,2024-09-07 09:26:20:907,1,448,2,0,418,4540,448,0 36,0,2024-09-07 09:26:21:529,112986,0.5,112773,0.6,225581,0.4,300145,2.00 36,1,2024-09-07 09:26:20:585,787723,787723,0,0,369191824004,3851254413,778071,7651,2001,366,391759,0 36,2,2024-09-07 09:26:21:753,571258,571258,0,0,24492915,0,3875 36,3,2024-09-07 09:26:20:866,1,448,30,0,416,6147,448,0 37,0,2024-09-07 09:26:21:390,107119,0.4,107303,0.6,214307,0.4,286407,2.00 37,1,2024-09-07 09:26:20:569,786962,786955,0,7,368948004700,3848784543,778128,6550,2277,365,391770,0 37,2,2024-09-07 09:26:21:142,566843,566828,15,0,24366041,0,5815 37,3,2024-09-07 09:26:21:782,1,448,12,0,888,6625,448,0 38,0,2024-09-07 09:26:21:443,112487,0.4,109169,0.6,228478,0.3,299365,2.00 38,1,2024-09-07 09:26:21:612,787896,787896,0,0,369456736870,3857314733,777144,8591,2161,368,391821,0 38,2,2024-09-07 09:26:20:762,564182,564135,47,0,24018421,0,6710 38,3,2024-09-07 09:26:20:997,1,448,0,0,689,5495,448,0 39,0,2024-09-07 09:26:21:764,116895,0.6,114432,0.7,223086,0.6,304492,2.00 39,1,2024-09-07 09:26:20:716,786849,786849,0,0,369609355718,3869859376,774403,9755,2691,365,391658,0 39,2,2024-09-07 09:26:21:418,565231,565231,0,0,22963518,0,3391 39,3,2024-09-07 09:26:20:713,1,448,6,0,324,4985,448,0 40,0,2024-09-07 09:26:21:501,112435,0.8,113019,1.0,225413,0.9,300608,2.75 40,1,2024-09-07 09:26:20:585,787273,787273,0,0,368934701173,3859300622,776450,8779,2044,368,391668,0 40,2,2024-09-07 09:26:21:311,567987,567986,1,0,26678431,0,5137 40,3,2024-09-07 09:26:21:141,1,448,6,0,1028,6030,448,0 41,0,2024-09-07 09:26:21:044,107168,1.2,109558,1.2,208996,1.9,284723,3.00 41,1,2024-09-07 09:26:20:766,785722,785722,0,0,369009966181,3855060163,776538,8144,1040,369,391742,0 41,2,2024-09-07 09:26:20:773,566624,566624,0,0,25509515,0,4277 41,3,2024-09-07 09:26:21:679,1,448,7,0,366,4527,448,0 42,0,2024-09-07 09:26:21:480,112274,0.7,112118,0.9,224474,0.7,297545,2.50 42,1,2024-09-07 09:26:21:445,784177,784177,0,0,368465461730,3867420771,772089,10057,2031,380,391675,0 42,2,2024-09-07 09:26:21:134,565914,565914,0,0,25618043,0,3790 42,3,2024-09-07 09:26:21:025,1,448,0,0,892,4297,448,0 43,0,2024-09-07 09:26:20:928,112719,0.7,109690,0.9,229693,0.8,300886,2.25 43,1,2024-09-07 09:26:20:581,787566,787566,0,0,370035912930,3861699031,777184,8643,1739,366,391696,0 43,2,2024-09-07 09:26:21:736,564531,564531,0,0,25204070,0,3812 43,3,2024-09-07 09:26:21:752,1,448,15,0,548,5778,448,0 44,0,2024-09-07 09:26:20:861,115065,0.5,115206,0.6,230872,0.4,306513,2.00 44,1,2024-09-07 09:26:20:565,788882,788882,0,0,370044248453,3822609061,782380,5184,1318,356,391809,0 44,2,2024-09-07 09:26:21:272,564831,564831,0,0,21390124,0,4344 44,3,2024-09-07 09:26:21:093,1,448,5,0,817,5128,448,0 45,0,2024-09-07 09:26:21:763,108080,0.5,105517,0.7,221406,0.4,290926,2.00 45,1,2024-09-07 09:26:21:016,788019,788019,0,0,370555100996,3847864572,782357,5088,574,382,391917,0 45,2,2024-09-07 09:26:21:269,570689,570689,0,0,21646955,0,3596 45,3,2024-09-07 09:26:20:940,1,448,1,0,271,3788,448,0 46,0,2024-09-07 09:26:20:961,110056,0.4,109657,0.6,219977,0.4,292250,2.00 46,1,2024-09-07 09:26:20:581,789818,789818,0,0,370529986653,3825287372,785101,4125,592,366,391709,0 46,2,2024-09-07 09:26:20:593,568760,568760,0,0,21797585,0,4443 46,3,2024-09-07 09:26:21:131,1,448,1,0,908,5503,448,0 47,0,2024-09-07 09:26:21:118,114162,0.4,114421,0.6,228877,0.4,303003,1.75 47,1,2024-09-07 09:26:20:567,790525,790525,0,0,370193023129,3823689643,785648,4039,838,366,391641,0 47,2,2024-09-07 09:26:20:908,565938,565938,0,0,21295257,0,4477 47,3,2024-09-07 09:26:21:119,1,448,0,0,600,5313,448,0 48,0,2024-09-07 09:26:21:530,115474,0.3,115461,0.4,229766,0.2,306493,1.50 48,1,2024-09-07 09:26:21:025,788436,788436,0,0,370778133474,3848268296,783721,4259,456,384,391710,0 48,2,2024-09-07 09:26:20:858,564944,564944,0,0,20075893,0,3411 48,3,2024-09-07 09:26:20:756,1,448,3,0,339,3525,448,0 49,0,2024-09-07 09:26:21:761,114822,0.3,112919,0.5,219021,0.3,300009,1.75 49,1,2024-09-07 09:26:21:025,787929,787929,0,0,369773072188,3844866405,782771,3951,1207,382,391809,0 49,2,2024-09-07 09:26:21:803,571450,571450,0,0,22221341,0,4426 49,3,2024-09-07 09:26:21:417,1,448,2,0,992,5268,448,0 50,0,2024-09-07 09:26:21:510,108870,0.3,107153,0.4,216200,0.2,288136,1.75 50,1,2024-09-07 09:26:21:017,790335,790335,0,0,371283418461,3836656317,785402,4359,574,368,391565,0 50,2,2024-09-07 09:26:21:066,568739,568739,0,0,20278221,0,4490 50,3,2024-09-07 09:26:21:298,1,448,1,0,567,4384,448,0 51,0,2024-09-07 09:26:21:684,116802,0.3,114541,0.4,223336,0.2,304981,1.75 51,1,2024-09-07 09:26:21:689,790587,790587,0,0,371730703767,3831316020,786628,2910,1049,365,391706,0 51,2,2024-09-07 09:26:21:321,563827,563827,0,0,19171555,0,3337 51,3,2024-09-07 09:26:21:037,1,448,0,0,678,3584,448,0 52,0,2024-09-07 09:26:21:429,114961,0.5,114782,0.7,229842,0.5,305576,2.00 52,1,2024-09-07 09:26:20:581,787298,787298,0,0,369209236043,3857690408,776956,8989,1353,368,391722,0 52,2,2024-09-07 09:26:21:757,561980,561942,38,0,25545584,0,6742 52,3,2024-09-07 09:26:20:673,1,448,1,0,1782,5939,448,0 53,0,2024-09-07 09:26:21:742,112042,0.6,108834,0.8,227671,0.7,298588,2.25 53,1,2024-09-07 09:26:20:775,785397,785397,0,0,369617883351,3871483598,773472,8965,2960,367,391702,0 53,2,2024-09-07 09:26:21:299,570518,570517,1,0,23292430,0,5455 53,3,2024-09-07 09:26:20:697,1,448,13,0,308,4005,448,0 54,0,2024-09-07 09:26:21:626,106155,0.5,106495,0.7,211838,0.4,283403,2.25 54,1,2024-09-07 09:26:20:581,787642,787642,0,0,370154997248,3843862260,780149,6252,1241,366,391659,0 54,2,2024-09-07 09:26:20:871,569023,568991,32,0,26582621,0,6397 54,3,2024-09-07 09:26:20:763,1,448,1,0,676,6300,448,0 55,0,2024-09-07 09:26:21:765,109601,0.5,113017,0.7,229210,0.4,298663,2.25 55,1,2024-09-07 09:26:20:769,788228,788228,0,0,369434943052,3834826161,780590,6543,1095,365,391731,0 55,2,2024-09-07 09:26:20:729,564779,564723,56,0,25427704,0,7239 55,3,2024-09-07 09:26:20:674,1,448,3,0,304,4370,448,0 56,0,2024-09-07 09:26:21:596,115831,1.3,109290,1.1,225166,1.8,301381,2.75 56,1,2024-09-07 09:26:20:571,783736,783736,0,0,368297226936,3884267351,772214,9148,2374,381,391867,0 56,2,2024-09-07 09:26:21:315,564063,563941,122,0,26227395,0,7432 56,3,2024-09-07 09:26:21:058,1,448,2,0,705,5307,448,0 57,0,2024-09-07 09:26:20:973,112592,1.7,112307,1.3,225364,2.3,301282,3.25 57,1,2024-09-07 09:26:20:994,786142,786142,0,0,368081425842,3852396095,777529,7525,1088,366,392032,0 57,2,2024-09-07 09:26:21:327,567464,567464,0,0,27158439,0,3317 57,3,2024-09-07 09:26:21:748,1,448,8,0,455,5037,448,0 58,0,2024-09-07 09:26:20:595,106692,0.8,103611,0.9,216908,0.9,283907,2.50 58,1,2024-09-07 09:26:20:580,786661,786658,0,3,370095915075,3871630580,775539,8815,2304,367,391603,3 58,2,2024-09-07 09:26:21:071,568332,568332,0,0,25221138,0,2902 58,3,2024-09-07 09:26:21:068,1,448,3,0,1043,4679,448,0 59,0,2024-09-07 09:26:21:778,111434,0.7,110963,0.9,221700,0.7,294885,2.50 59,1,2024-09-07 09:26:20:804,786283,786283,0,0,369046234020,3860782710,776057,8416,1810,369,391653,0 59,2,2024-09-07 09:26:20:588,566602,566602,0,0,25073189,0,3727 59,3,2024-09-07 09:26:21:741,1,448,2,0,1015,5781,448,0 60,0,2024-09-07 09:26:21:725,113480,0.5,113439,0.7,227636,0.5,302161,1.75 60,1,2024-09-07 09:26:20:786,788828,788828,0,0,370649876851,3844527912,783676,4430,722,370,392031,0 60,2,2024-09-07 09:26:21:140,564313,564313,0,0,23374076,0,3811 60,3,2024-09-07 09:26:21:268,1,448,2,0,409,5102,448,0 61,0,2024-09-07 09:26:21:569,114717,0.7,115373,0.8,229800,0.7,306159,2.00 61,1,2024-09-07 09:26:20:776,786682,786682,0,0,369624383415,3868630932,778360,6891,1431,382,392127,0 61,2,2024-09-07 09:26:21:121,565300,565233,67,0,23801242,0,6411 61,3,2024-09-07 09:26:21:690,1,448,1,0,479,5854,448,0 62,0,2024-09-07 09:26:21:735,112040,0.5,114838,0.7,219277,0.5,297455,2.00 62,1,2024-09-07 09:26:21:117,791483,791477,0,6,371996933676,3833267217,787661,3555,261,365,391975,6 62,2,2024-09-07 09:26:21:644,568660,568659,1,0,24054190,0,5555 62,3,2024-09-07 09:26:21:142,1,448,13,0,482,3806,448,0 63,0,2024-09-07 09:26:21:503,109690,0.4,109529,0.5,219726,0.3,292299,1.75 63,1,2024-09-07 09:26:20:804,789035,789029,0,6,370383481963,3843108266,784046,4250,733,381,391800,6 63,2,2024-09-07 09:26:20:762,568507,568507,0,0,21845923,0,4369 63,3,2024-09-07 09:26:21:750,1,448,8,0,667,4469,448,0 64,0,2024-09-07 09:26:21:519,113626,0.5,113742,0.6,226811,0.5,301485,1.75 64,1,2024-09-07 09:26:20:756,788117,788117,0,0,370586032623,3857825635,781433,4981,1703,370,391783,0 64,2,2024-09-07 09:26:21:149,567251,567232,19,0,21596683,0,6121 64,3,2024-09-07 09:26:21:143,1,448,2,0,265,4248,448,0 65,0,2024-09-07 09:26:21:718,113843,0.7,114048,0.8,227762,0.8,303767,2.00 65,1,2024-09-07 09:26:20:861,786203,786203,0,0,369218754201,3855759775,781085,4578,540,381,391901,0 65,2,2024-09-07 09:26:21:706,564766,564766,0,0,25636672,0,3367 65,3,2024-09-07 09:26:21:686,1,448,11,0,782,5447,448,0 66,0,2024-09-07 09:26:21:775,112376,0.5,112118,0.7,224304,0.5,298530,2.25 66,1,2024-09-07 09:26:21:299,788201,788201,0,0,369375556582,3845695749,782701,4974,526,380,391743,0 66,2,2024-09-07 09:26:21:132,572184,572184,0,0,22442744,0,4956 66,3,2024-09-07 09:26:21:079,1,448,1,0,291,3758,448,0 67,0,2024-09-07 09:26:21:430,107653,0.4,107502,0.6,215643,0.4,287174,2.00 67,1,2024-09-07 09:26:20:765,788472,788471,0,1,370462176277,3856090034,783113,4564,794,380,391787,1 67,2,2024-09-07 09:26:20:584,570890,570890,0,0,21388896,0,3622 67,3,2024-09-07 09:26:21:759,1,448,8,0,392,4335,448,0 68,0,2024-09-07 09:26:20:569,113372,0.5,113331,0.7,225563,0.5,301522,2.00 68,1,2024-09-07 09:26:20:570,785534,785534,0,0,368274894669,3869007819,775470,7118,2946,381,391953,0 68,2,2024-09-07 09:26:21:047,561745,561645,100,0,28131085,0,8578 68,3,2024-09-07 09:26:20:732,1,448,1,0,417,5019,448,0 69,0,2024-09-07 09:26:21:761,113720,0.7,114446,0.8,227982,0.8,302897,2.25 69,1,2024-09-07 09:26:21:027,784689,784689,0,0,368151259432,3874938843,774914,7504,2271,384,391994,0 69,2,2024-09-07 09:26:21:970,563961,563932,29,0,29791921,0,6912 69,3,2024-09-07 09:26:20:762,1,448,12,0,698,6742,448,0 70,0,2024-09-07 09:26:21:545,112323,1.0,112597,1.1,226525,0.9,299950,2.50 70,1,2024-09-07 09:26:20:801,788684,788684,0,0,370644653625,3842928498,782702,5321,661,366,391725,0 70,2,2024-09-07 09:26:21:329,568774,568774,0,0,24913074,0,4323 70,3,2024-09-07 09:26:20:746,1,448,1,0,854,5031,448,0 71,0,2024-09-07 09:26:21:360,106724,0.7,106410,0.9,213884,0.8,286010,2.50 71,1,2024-09-07 09:26:21:599,787347,787347,0,0,369120879821,3845883624,778905,7639,803,368,391738,0 71,2,2024-09-07 09:26:21:067,567708,567708,0,0,24881926,0,4352 71,3,2024-09-07 09:26:21:761,1,448,2,0,644,5680,448,0 72,0,2024-09-07 09:26:21:042,116434,0.5,113888,0.7,222054,0.4,301965,2.00 72,1,2024-09-07 09:26:21:023,785704,785704,0,0,368943283543,3866248821,774834,8860,2010,369,391819,0 72,2,2024-09-07 09:26:21:763,565304,565304,0,0,27241073,0,3983 72,3,2024-09-07 09:26:21:758,1,448,0,0,564,6608,448,0 73,0,2024-09-07 09:26:21:164,110878,0.4,113654,0.6,232259,0.4,301783,2.00 73,1,2024-09-07 09:26:20:775,787671,787671,0,0,369981897356,3840064126,782326,4936,409,367,391858,0 73,2,2024-09-07 09:26:21:742,564052,564052,0,0,26633119,0,3701 73,3,2024-09-07 09:26:20:973,1,448,2,0,486,5797,448,0 74,0,2024-09-07 09:26:21:326,115885,0.5,118522,0.7,225920,0.5,306815,2.25 74,1,2024-09-07 09:26:20:634,786762,786762,0,0,369769552011,3856206109,778791,6376,1595,381,391762,0 74,2,2024-09-07 09:26:21:004,565456,565456,0,0,24464085,0,4253 74,3,2024-09-07 09:26:21:449,1,448,0,0,522,5612,448,0 75,0,2024-09-07 09:26:21:773,109929,0.5,109193,0.7,219125,0.4,291974,2.25 75,1,2024-09-07 09:26:21:591,787504,787504,0,0,369267134277,3847893056,780650,6026,828,380,391739,0 75,2,2024-09-07 09:26:21:349,568752,568752,0,0,27336539,0,4766 75,3,2024-09-07 09:26:21:071,1,448,15,0,702,5770,448,0 76,0,2024-09-07 09:26:20:665,110023,0.5,109190,0.7,219156,0.4,293009,2.25 76,1,2024-09-07 09:26:20:810,787164,787164,0,0,368506879820,3842163155,781731,4607,826,382,391692,0 76,2,2024-09-07 09:26:21:061,570290,570289,1,0,23924410,0,5144 76,3,2024-09-07 09:26:21:148,1,448,12,0,175,4115,448,0 77,0,2024-09-07 09:26:21:717,113484,0.5,113873,0.7,227892,0.5,302011,2.00 77,1,2024-09-07 09:26:20:827,787852,787852,0,0,369897399169,3857220453,782012,5333,507,381,391869,0 77,2,2024-09-07 09:26:21:285,563532,563532,0,0,23356090,0,3890 77,3,2024-09-07 09:26:21:110,1,448,2,0,401,4873,448,0 78,0,2024-09-07 09:26:21:721,115186,0.5,114614,0.6,230061,0.4,305025,2.00 78,1,2024-09-07 09:26:20:624,788316,788316,0,0,369374740797,3845151573,780445,6302,1569,367,391670,0 78,2,2024-09-07 09:26:21:416,565783,565770,13,0,22142846,0,8313 78,3,2024-09-07 09:26:21:137,1,448,1,0,181,3756,448,0 79,0,2024-09-07 09:26:21:345,108122,0.4,110715,0.6,226670,0.3,295023,2.25 79,1,2024-09-07 09:26:20:571,789389,789389,0,0,369989768448,3833171989,782760,5320,1309,367,391682,0 79,2,2024-09-07 09:26:21:068,571628,571628,0,0,21661261,0,4195 79,3,2024-09-07 09:26:20:749,1,448,1,0,418,5507,448,0 80,0,2024-09-07 09:26:21:120,108193,0.5,111284,0.6,212742,0.4,287692,2.00 80,1,2024-09-07 09:26:21:624,787374,787374,0,0,369585965717,3847813181,781781,5170,423,368,392269,0 80,2,2024-09-07 09:26:21:102,570831,570831,0,0,21983452,0,4433 80,3,2024-09-07 09:26:20:581,1,448,4,0,190,5084,448,0 81,0,2024-09-07 09:26:21:555,114090,0.5,116851,0.7,223069,0.5,303695,2.00 81,1,2024-09-07 09:26:21:650,786295,786295,0,0,368870259949,3852125920,780279,5475,541,382,391879,0 81,2,2024-09-07 09:26:21:140,562546,562483,63,0,24192910,0,5932 81,3,2024-09-07 09:26:21:121,1,448,19,0,719,5298,448,0 82,0,2024-09-07 09:26:21:539,114356,0.5,114479,0.7,229728,0.5,304875,2.00 82,1,2024-09-07 09:26:20:585,788792,788788,0,4,369665533215,3843375923,784088,3881,819,381,391768,4 82,2,2024-09-07 09:26:21:697,566276,566276,0,0,20726153,0,4484 82,3,2024-09-07 09:26:21:757,1,448,1,0,363,4614,448,0 83,0,2024-09-07 09:26:21:521,112795,0.6,112781,0.8,224940,0.7,298763,2.25 83,1,2024-09-07 09:26:20:551,786797,786797,0,0,369232784506,3848252613,781264,5083,450,382,391709,0 83,2,2024-09-07 09:26:20:764,570458,570458,0,0,21463996,0,3393 83,3,2024-09-07 09:26:20:749,1,448,1,0,1260,5650,448,0 84,0,2024-09-07 09:26:21:780,107048,0.6,107018,0.8,213274,0.5,285842,2.25 84,1,2024-09-07 09:26:21:082,786529,786529,0,0,369296747407,3853804022,778819,6666,1044,367,391967,0 84,2,2024-09-07 09:26:20:571,567417,567387,30,0,28636414,0,5971 84,3,2024-09-07 09:26:21:142,1,448,2,0,908,6542,448,0 85,0,2024-09-07 09:26:21:018,109213,0.5,109128,0.7,231646,0.5,300100,2.00 85,1,2024-09-07 09:26:20:575,784311,784311,0,0,368197489378,3879595436,773487,8903,1921,381,392006,0 85,2,2024-09-07 09:26:20:881,564327,564327,0,0,26501763,0,3656 85,3,2024-09-07 09:26:20:686,1,448,1,0,789,5286,448,0 86,0,2024-09-07 09:26:20:917,113243,0.6,116646,0.8,223185,0.7,301784,2.25 86,1,2024-09-07 09:26:20:844,786675,786675,0,0,369452996341,3864286337,777987,7245,1443,366,391961,0 86,2,2024-09-07 09:26:20:984,562431,562430,1,0,28645432,0,5004 86,3,2024-09-07 09:26:20:586,1,448,12,0,308,6282,448,0 87,0,2024-09-07 09:26:21:313,114217,1.0,113998,0.9,228069,1.3,304593,2.50 87,1,2024-09-07 09:26:20:590,785334,785334,0,0,368760630986,3860549523,775371,8369,1594,366,392076,0 87,2,2024-09-07 09:26:21:069,566568,566562,6,0,25419622,0,6323 87,3,2024-09-07 09:26:21:794,1,448,5,0,473,6824,448,0 88,0,2024-09-07 09:26:21:450,108132,0.4,108909,0.6,217079,0.4,288634,1.75 88,1,2024-09-07 09:26:20:573,785197,785197,0,0,368499728684,3855765366,775467,7721,2009,365,392084,0 88,2,2024-09-07 09:26:20:688,568998,568998,0,0,27883343,0,4465 88,3,2024-09-07 09:26:21:267,1,448,1,0,435,5345,448,0 89,0,2024-09-07 09:26:21:810,114980,0.4,111321,0.6,220294,0.3,299855,1.75 89,1,2024-09-07 09:26:20:564,784826,784826,0,0,368806455911,3872649539,775637,7759,1430,382,391866,0 89,2,2024-09-07 09:26:21:131,566839,566839,0,0,26205690,0,3173 89,3,2024-09-07 09:26:21:802,1,448,3,0,468,7590,448,0 90,0,2024-09-07 09:26:21:647,110246,0.5,113338,0.6,231049,0.4,301184,2.00 90,1,2024-09-07 09:26:20:594,785950,785950,0,0,369410467643,3862862365,779171,6265,514,380,391825,0 90,2,2024-09-07 09:26:21:423,562105,562105,0,0,27455792,0,3060 90,3,2024-09-07 09:26:20:939,1,448,1,0,322,5380,448,0 91,0,2024-09-07 09:26:20:971,115616,0.5,111883,0.6,233674,0.5,306860,1.75 91,1,2024-09-07 09:26:20:579,784772,784772,0,0,369114201001,3876051551,775504,7863,1405,381,392047,0 91,2,2024-09-07 09:26:21:331,566199,566199,0,0,24358378,0,2896 91,3,2024-09-07 09:26:20:598,1,448,3,0,216,4322,448,0 92,0,2024-09-07 09:26:21:458,112448,0.4,115253,0.6,220019,0.4,297774,1.75 92,1,2024-09-07 09:26:20:581,787321,787321,0,0,369167262209,3851950246,781772,4823,726,381,392136,0 92,2,2024-09-07 09:26:21:356,571153,571153,0,0,22134134,0,3259 92,3,2024-09-07 09:26:21:009,1,448,1,0,167,3864,448,0 93,0,2024-09-07 09:26:20:970,110130,0.3,112853,0.5,215443,0.3,292428,1.75 93,1,2024-09-07 09:26:20:805,786720,786720,0,0,369272832217,3852338086,778549,6785,1386,366,391776,0 93,2,2024-09-07 09:26:20:932,568308,568308,0,0,26848326,0,4845 93,3,2024-09-07 09:26:21:417,1,448,0,0,190,3989,448,0 94,0,2024-09-07 09:26:21:634,113689,0.3,114613,0.5,228704,0.3,303035,1.75 94,1,2024-09-07 09:26:20:582,787204,787204,0,0,369698676419,3853671075,782402,4592,210,381,391850,0 94,2,2024-09-07 09:26:20:766,563660,563660,0,0,22301867,0,2443 94,3,2024-09-07 09:26:21:687,1,448,1,0,576,5835,448,0 95,0,2024-09-07 09:26:21:380,114716,0.4,114610,0.5,229794,0.3,306307,1.75 95,1,2024-09-07 09:26:20:861,788376,788376,0,0,370625634174,3849688949,782226,5712,438,365,391786,0 95,2,2024-09-07 09:26:21:025,564480,564480,0,0,22244041,0,3308 95,3,2024-09-07 09:26:21:710,1,448,1,0,718,6300,448,0 96,0,2024-09-07 09:26:21:068,112817,0.4,113168,0.5,225710,0.3,299486,1.75 96,1,2024-09-07 09:26:21:590,786976,786976,0,0,369001696772,3848058969,781406,4682,888,384,391955,0 96,2,2024-09-07 09:26:21:273,571577,571577,0,0,23189531,0,4180 96,3,2024-09-07 09:26:21:141,1,448,14,0,411,4954,448,0 97,0,2024-09-07 09:26:21:332,107746,0.3,107311,0.4,215434,0.2,287027,1.50 97,1,2024-09-07 09:26:20:763,788126,788126,0,0,370501413736,3841765724,782958,4233,935,367,392140,0 97,2,2024-09-07 09:26:20:607,569269,569269,0,0,22316082,0,3679 97,3,2024-09-07 09:26:20:571,1,448,2,0,242,5346,448,0 98,0,2024-09-07 09:26:21:711,112726,0.3,113054,0.4,226758,0.2,301231,1.50 98,1,2024-09-07 09:26:20:574,787564,787564,0,0,369693856193,3849870113,782587,4185,792,382,391997,0 98,2,2024-09-07 09:26:20:773,564647,564647,0,0,22295454,0,4336 98,3,2024-09-07 09:26:20:698,1,448,1,0,840,7276,448,0 99,0,2024-09-07 09:26:21:498,114249,0.3,114903,0.5,228398,0.3,305415,1.75 99,1,2024-09-07 09:26:21:727,788031,788031,0,0,369211955202,3840719808,783076,4118,837,381,392069,0 99,2,2024-09-07 09:26:21:434,565746,565746,0,0,26338749,0,4276 99,3,2024-09-07 09:26:20:587,1,448,2,0,606,4779,448,0 100,0,2024-09-07 09:26:21:467,113316,0.8,113688,1.0,226478,0.9,302565,2.50 100,1,2024-09-07 09:26:20:548,784090,784090,0,0,368477837170,3886205042,773346,8692,2052,378,391989,0 100,2,2024-09-07 09:26:21:816,566625,566614,11,0,25622780,0,5417 100,3,2024-09-07 09:26:21:971,1,448,2,0,559,6976,448,0 101,0,2024-09-07 09:26:21:708,110036,0.8,107271,0.9,210273,0.6,288219,2.25 101,1,2024-09-07 09:26:20:558,784404,784404,0,0,368170874505,3867333915,773700,8579,2125,368,391847,0 101,2,2024-09-07 09:26:21:759,564845,564845,0,0,30502475,0,4871 101,3,2024-09-07 09:26:20:954,1,448,4,0,579,5996,448,0 102,0,2024-09-07 09:26:20:975,109730,0.5,113074,0.7,229492,0.5,300625,2.00 102,1,2024-09-07 09:26:21:149,784697,784697,0,0,368480332398,3866694349,774811,8122,1764,369,391891,0 102,2,2024-09-07 09:26:21:751,567226,567172,54,0,24648437,0,6768 102,3,2024-09-07 09:26:21:629,1,448,3,0,466,4815,448,0 103,0,2024-09-07 09:26:21:621,117466,0.5,117388,0.7,221285,0.5,304152,2.00 103,1,2024-09-07 09:26:21:635,784276,784276,0,0,368039543247,3881341990,772271,8939,3066,381,391829,0 103,2,2024-09-07 09:26:20:587,562665,562665,0,0,27231823,0,3766 103,3,2024-09-07 09:26:20:755,1,448,4,0,916,4862,448,0 104,0,2024-09-07 09:26:21:008,114048,0.7,114376,0.9,227708,0.7,304970,2.25 104,1,2024-09-07 09:26:21:608,785965,785965,0,0,368520092211,3865570692,775109,8992,1864,365,392168,0 104,2,2024-09-07 09:26:21:671,564636,564636,0,0,26069249,0,3941 104,3,2024-09-07 09:26:21:417,1,448,1,0,1245,8621,448,0 105,0,2024-09-07 09:26:21:031,108313,0.8,105443,1.0,221101,0.8,290108,2.50 105,1,2024-09-07 09:26:20:554,787376,787376,0,0,369709881807,3868958974,777919,8028,1429,366,392009,0 105,2,2024-09-07 09:26:21:322,568450,568450,0,0,26015704,0,3509 105,3,2024-09-07 09:26:21:310,1,448,1,0,399,6615,448,0 106,0,2024-09-07 09:26:21:015,106695,0.6,109232,0.8,223587,0.6,292534,2.25 106,1,2024-09-07 09:26:21:753,786041,786041,0,0,368715060197,3866322450,774976,9617,1448,368,391914,0 106,2,2024-09-07 09:26:20:763,567455,567455,0,0,25416568,0,2920 106,3,2024-09-07 09:26:20:686,1,448,10,0,470,5571,448,0 107,0,2024-09-07 09:26:21:106,113672,0.8,113925,0.9,227614,0.9,303107,2.25 107,1,2024-09-07 09:26:20:614,784339,784339,0,0,368186337915,3875866785,774101,9184,1054,381,392234,0 107,2,2024-09-07 09:26:21:304,561837,561836,1,0,26320563,0,5024 107,3,2024-09-07 09:26:21:763,1,448,5,0,370,6684,448,0 108,0,2024-09-07 09:26:21:857,114634,0.5,115485,0.6,229639,0.4,306421,1.75 108,1,2024-09-07 09:26:21:299,787483,787483,0,0,370323047684,3858768241,781299,5439,745,367,391857,0 108,2,2024-09-07 09:26:21:769,563847,563847,0,0,24878809,0,4246 108,3,2024-09-07 09:26:21:335,1,448,3,0,749,8089,448,0 109,0,2024-09-07 09:26:21:747,112612,0.4,111751,0.6,223658,0.3,299254,1.75 109,1,2024-09-07 09:26:20:585,784351,784351,0,0,368901751408,3869721790,776904,6260,1187,382,392132,0 109,2,2024-09-07 09:26:20:923,568863,568863,0,0,24456420,0,3617 109,3,2024-09-07 09:26:21:140,1,448,1,0,379,5123,448,0 110,0,2024-09-07 09:26:21:801,108005,0.3,105152,0.5,220427,0.3,288341,1.75 110,1,2024-09-07 09:26:21:649,788395,788395,0,0,369854698107,3836160167,782998,4218,1179,369,392045,0 110,2,2024-09-07 09:26:21:327,569123,569123,0,0,23476491,0,4067 110,3,2024-09-07 09:26:20:694,1,448,3,0,722,6253,448,0 111,0,2024-09-07 09:26:21:430,114525,0.4,113752,0.5,227938,0.3,304961,1.75 111,1,2024-09-07 09:26:21:006,788685,788685,0,0,371660380982,3851741297,784794,3536,355,380,391690,0 111,2,2024-09-07 09:26:21:121,562863,562863,0,0,22893669,0,4823 111,3,2024-09-07 09:26:20:914,1,448,0,0,379,5174,448,0 112,0,2024-09-07 09:26:20:912,115300,0.3,114817,0.4,230059,0.2,305850,1.50 112,1,2024-09-07 09:26:20:824,788326,788326,0,0,369883956606,3836997947,783607,4106,613,380,391624,0 112,2,2024-09-07 09:26:21:133,564661,564660,1,0,22262191,0,5036 112,3,2024-09-07 09:26:20:592,1,448,3,0,282,4293,448,0 113,0,2024-09-07 09:26:20:871,112885,0.3,112907,0.5,226346,0.3,300754,1.75 113,1,2024-09-07 09:26:21:694,790866,790866,0,0,372526139618,3840723335,786970,3307,589,366,391661,0 113,2,2024-09-07 09:26:21:303,571893,571893,0,0,20535702,0,3813 113,3,2024-09-07 09:26:20:684,1,448,6,0,340,4881,448,0 114,0,2024-09-07 09:26:20:880,107914,0.3,108558,0.4,215815,0.2,288445,1.75 114,1,2024-09-07 09:26:20:717,788340,788340,0,0,370016029500,3842109345,782047,4677,1616,381,391565,0 114,2,2024-09-07 09:26:20:882,569699,569698,1,0,21565900,0,5069 114,3,2024-09-07 09:26:21:284,1,448,1,0,395,3718,448,0 115,0,2024-09-07 09:26:20:558,113526,0.2,113974,0.4,227551,0.2,302522,1.50 115,1,2024-09-07 09:26:20:571,788802,788802,0,0,369996217564,3843598713,782305,5230,1267,382,391757,0 115,2,2024-09-07 09:26:21:125,567181,567181,0,0,21349457,0,4382 115,3,2024-09-07 09:26:21:008,1,448,1,0,159,2453,448,0 116,0,2024-09-07 09:26:21:709,113111,0.7,113121,0.8,226720,0.7,302964,2.00 116,1,2024-09-07 09:26:20:803,784679,784679,0,0,368959884615,3881590692,776053,6298,2328,380,392089,0 116,2,2024-09-07 09:26:21:762,563623,563623,0,0,27053879,0,4128 116,3,2024-09-07 09:26:20:914,1,448,4,0,415,5147,448,0 117,0,2024-09-07 09:26:20:962,114675,0.8,114032,0.8,228496,0.9,304940,2.00 117,1,2024-09-07 09:26:21:578,785693,785693,0,0,367912542888,3845563518,777927,6850,916,369,392033,0 117,2,2024-09-07 09:26:21:119,570004,570004,0,0,23220976,0,4303 117,3,2024-09-07 09:26:21:059,1,448,3,0,490,6590,448,0 118,0,2024-09-07 09:26:21:778,105156,0.5,107892,0.6,220388,0.4,287607,2.00 118,1,2024-09-07 09:26:20:585,785395,785395,0,0,367831576201,3865139056,773475,8919,3001,366,391907,0 118,2,2024-09-07 09:26:21:592,568144,568144,0,0,25467134,0,2842 118,3,2024-09-07 09:26:21:769,1,448,4,0,248,5128,448,0 119,0,2024-09-07 09:26:21:342,111564,0.5,111806,0.7,223808,0.4,298435,2.00 119,1,2024-09-07 09:26:20:573,786500,786500,0,0,369034252436,3857373845,778005,7377,1118,367,391857,0 119,2,2024-09-07 09:26:21:265,568230,568230,0,0,24125291,0,4174 119,3,2024-09-07 09:26:21:327,1,448,2,0,1358,8563,448,0 120,0,2024-09-07 09:26:21:553,113059,0.6,112730,0.8,226165,0.6,301585,2.25 120,1,2024-09-07 09:26:20:860,786538,786538,0,0,368541205682,3863008262,778156,7612,770,368,392144,0 120,2,2024-09-07 09:26:20:773,563332,563331,1,0,27368907,0,5281 120,3,2024-09-07 09:26:21:299,1,448,22,0,279,5456,448,0 121,0,2024-09-07 09:26:21:688,114975,1.4,114643,1.1,229781,1.9,306117,2.50 121,1,2024-09-07 09:26:21:677,786515,786515,0,0,369049350245,3853124933,779759,6294,462,367,391840,0 121,2,2024-09-07 09:26:21:125,564693,564693,0,0,26344469,0,4127 121,3,2024-09-07 09:26:20:731,1,448,1,0,269,4851,448,0 122,0,2024-09-07 09:26:21:768,110901,0.7,108155,0.8,226905,0.8,297514,2.00 122,1,2024-09-07 09:26:20:869,785243,785243,0,0,368944165043,3866549464,774793,8846,1604,366,392130,0 122,2,2024-09-07 09:26:21:321,570501,570428,73,0,29286303,0,5989 122,3,2024-09-07 09:26:20:603,1,448,14,0,512,7896,448,0 123,0,2024-09-07 09:26:20:960,108981,0.5,106246,0.7,222724,0.5,291765,2.00 123,1,2024-09-07 09:26:20:564,785000,785000,0,0,369168606703,3884111611,771447,11109,2444,369,392039,0 123,2,2024-09-07 09:26:21:024,566565,566564,1,0,24745069,0,5215 123,3,2024-09-07 09:26:21:132,1,448,1,0,478,5158,448,0 124,0,2024-09-07 09:26:20:918,117447,0.3,117450,0.5,221330,0.3,303966,1.75 124,1,2024-09-07 09:26:21:023,788201,788201,0,0,370009564650,3842680761,782912,4238,1051,367,392178,0 124,2,2024-09-07 09:26:21:010,564392,564339,53,0,22443011,0,6487 124,3,2024-09-07 09:26:20:761,1,448,0,0,490,4237,448,0 125,0,2024-09-07 09:26:21:435,114637,0.4,114522,0.6,229746,0.4,306210,1.75 125,1,2024-09-07 09:26:20:854,786597,786597,0,0,369912044379,3859139722,780678,5246,673,382,391702,0 125,2,2024-09-07 09:26:21:126,565910,565910,0,0,23328804,0,4534 125,3,2024-09-07 09:26:21:133,1,448,2,0,709,5452,448,0 126,0,2024-09-07 09:26:21:451,112767,0.4,115980,0.6,221947,0.4,300265,1.75 126,1,2024-09-07 09:26:20:553,788742,788742,0,0,370460468751,3833881935,784731,3717,294,365,391987,0 126,2,2024-09-07 09:26:20:613,571533,571533,0,0,24163263,0,4539 126,3,2024-09-07 09:26:20:907,1,448,1,0,268,5334,448,0 127,0,2024-09-07 09:26:21:623,107756,0.3,107961,0.5,215630,0.2,287270,1.50 127,1,2024-09-07 09:26:20:570,787766,787766,0,0,369439803436,3839800694,780415,6129,1222,364,392187,0 127,2,2024-09-07 09:26:20:638,567754,567754,0,0,21801122,0,3897 127,3,2024-09-07 09:26:21:273,1,448,2,0,968,4667,448,0 128,0,2024-09-07 09:26:21:541,113594,0.3,113587,0.4,227081,0.2,301826,1.50 128,1,2024-09-07 09:26:21:613,787103,787103,0,0,369497652188,3833627229,782186,4484,433,367,392031,0 128,2,2024-09-07 09:26:21:386,565828,565828,0,0,21053031,0,3171 128,3,2024-09-07 09:26:20:768,1,448,0,0,1082,6845,448,0 129,0,2024-09-07 09:26:20:991,115286,0.3,114705,0.5,229699,0.3,305914,1.75 129,1,2024-09-07 09:26:20:567,785598,785598,0,0,368854040962,3862765407,777880,6046,1672,379,391962,0 129,2,2024-09-07 09:26:20:685,565426,565426,0,0,22621782,0,4031 129,3,2024-09-07 09:26:20:691,1,448,2,0,506,6417,448,0 130,0,2024-09-07 09:26:21:733,114334,0.5,113846,0.6,228994,0.5,303892,1.75 130,1,2024-09-07 09:26:20:582,788204,788204,0,0,370112539319,3843456597,784165,3700,339,381,391825,0 130,2,2024-09-07 09:26:21:126,570087,570087,0,0,23108816,0,4067 130,3,2024-09-07 09:26:21:298,1,448,1,0,960,6808,448,0 131,0,2024-09-07 09:26:21:943,107651,0.3,108110,0.5,217043,0.3,288098,1.75 131,1,2024-09-07 09:26:21:835,787568,787568,0,0,368887944098,3850336973,781589,4928,1051,381,391865,0 131,2,2024-09-07 09:26:20:573,570220,570220,0,0,21219856,0,3979 131,3,2024-09-07 09:26:21:717,1,448,1,0,392,4900,448,0 132,0,2024-09-07 09:26:21:410,112878,0.4,113818,0.6,226543,0.3,301562,1.75 132,1,2024-09-07 09:26:20:580,783644,783644,0,0,368015041245,3870913009,772500,9033,2111,381,392532,0 132,2,2024-09-07 09:26:20:698,566270,566253,17,0,27482849,0,6451 132,3,2024-09-07 09:26:21:699,1,448,39,0,804,7701,448,0 133,0,2024-09-07 09:26:21:520,110738,0.4,113312,0.6,232549,0.4,302400,1.75 133,1,2024-09-07 09:26:20:585,784146,784146,0,0,368586653202,3880658045,773595,9036,1515,383,391914,0 133,2,2024-09-07 09:26:21:093,563766,563716,50,0,28839752,0,6861 133,3,2024-09-07 09:26:21:302,1,448,2,0,479,4632,448,0 134,0,2024-09-07 09:26:20:938,115485,0.6,115093,0.7,230522,0.6,307290,2.00 134,1,2024-09-07 09:26:20:584,785551,785551,0,0,368898857264,3864446896,775747,7767,2037,366,391718,0 134,2,2024-09-07 09:26:21:769,564567,564543,24,0,26494652,0,6207 134,3,2024-09-07 09:26:20:749,1,448,1,0,739,5582,448,0 135,0,2024-09-07 09:26:21:104,106058,0.7,106051,0.8,225122,0.8,289523,2.00 135,1,2024-09-07 09:26:21:596,785641,785641,0,0,369058024772,3877814346,775666,8599,1376,380,391805,0 135,2,2024-09-07 09:26:20:687,569553,569553,0,0,25885037,0,3981 135,3,2024-09-07 09:26:21:002,1,448,1,0,299,3776,448,0 136,0,2024-09-07 09:26:21:638,110820,0.5,111036,0.7,220863,0.4,294821,2.00 136,1,2024-09-07 09:26:21:451,786588,786588,0,0,368697432169,3862395651,778011,7613,964,381,391685,0 136,2,2024-09-07 09:26:21:134,569554,569554,0,0,24791321,0,3506 136,3,2024-09-07 09:26:21:116,1,448,5,0,637,5113,448,0 137,0,2024-09-07 09:26:20:923,117465,0.6,114389,0.7,224389,0.6,304315,2.00 137,1,2024-09-07 09:26:20:580,784740,784740,0,0,368508556334,3861636151,773179,9201,2360,366,391898,0 137,2,2024-09-07 09:26:21:721,562024,562024,0,0,27298394,0,3185 137,3,2024-09-07 09:26:20:772,1,448,1,0,484,5348,448,0 138,0,2024-09-07 09:26:21:972,114479,1.0,114394,1.0,229763,1.2,304997,2.25 138,1,2024-09-07 09:26:21:690,785849,785849,0,0,369409769586,3868929480,775602,8523,1724,368,391954,0 138,2,2024-09-07 09:26:20:586,564169,564169,0,0,24837851,0,4988 138,3,2024-09-07 09:26:20:615,1,448,5,0,1160,5777,448,0 139,0,2024-09-07 09:26:21:384,111065,1.5,111162,1.1,222867,2.2,297699,2.50 139,1,2024-09-07 09:26:20:571,781792,781792,0,0,367022158811,3895267807,767109,11314,3369,380,392058,0 139,2,2024-09-07 09:26:20:692,566966,566966,0,0,28897692,0,3097 139,3,2024-09-07 09:26:21:674,1,448,1,0,432,5085,448,0 140,0,2024-09-07 09:26:21:593,108651,0.3,108009,0.4,216765,0.2,288849,1.75 140,1,2024-09-07 09:26:21:538,789908,789908,0,0,371519648573,3827376634,786339,3127,442,365,391606,0 140,2,2024-09-07 09:26:20:685,569950,569949,1,0,22006029,0,5036 140,3,2024-09-07 09:26:20:772,1,448,2,0,297,3653,448,0 141,0,2024-09-07 09:26:21:719,114295,0.3,117355,0.5,224146,0.2,304549,1.50 141,1,2024-09-07 09:26:20:873,788908,788908,0,0,371152383633,3851765791,783216,4735,957,379,391614,0 141,2,2024-09-07 09:26:21:699,563830,563830,0,0,21768394,0,3360 141,3,2024-09-07 09:26:21:046,1,448,2,0,391,4980,448,0 142,0,2024-09-07 09:26:21:314,115400,0.3,114781,0.5,229647,0.3,306462,1.75 142,1,2024-09-07 09:26:20:585,787649,787649,0,0,369573810122,3848866716,782951,4299,399,382,392102,0 142,2,2024-09-07 09:26:21:303,564605,564573,32,0,23812713,0,6028 142,3,2024-09-07 09:26:21:751,1,448,10,0,484,4955,448,0 143,0,2024-09-07 09:26:21:375,112905,0.5,113111,0.6,226391,0.4,300861,1.75 143,1,2024-09-07 09:26:20:565,788746,788746,0,0,370403674114,3841180975,783694,4507,545,367,391705,0 143,2,2024-09-07 09:26:20:773,570512,570512,0,0,23118011,0,3123 143,3,2024-09-07 09:26:21:141,1,448,1,0,462,5424,448,0 144,0,2024-09-07 09:26:21:501,104367,0.5,107198,0.8,218592,0.5,286367,2.00 144,1,2024-09-07 09:26:20:568,784545,784545,0,0,368216591784,3862002400,776766,5944,1835,381,391649,0 144,2,2024-09-07 09:26:21:762,569381,569381,0,0,21670335,0,3673 144,3,2024-09-07 09:26:21:747,1,448,37,0,249,4517,448,0 145,0,2024-09-07 09:26:21:389,109630,0.5,109663,0.7,232808,0.4,299862,2.00 145,1,2024-09-07 09:26:20:552,784590,784590,0,0,368205694338,3867952639,774950,7978,1662,382,391759,0 145,2,2024-09-07 09:26:21:447,563256,563175,81,0,26534645,0,7814 145,3,2024-09-07 09:26:20:895,1,448,1,0,622,6275,448,0 146,0,2024-09-07 09:26:21:590,113480,0.6,112964,0.7,227221,0.6,301495,2.25 146,1,2024-09-07 09:26:21:593,785592,785592,0,0,369359215062,3880238042,773436,9039,3117,367,391770,0 146,2,2024-09-07 09:26:21:698,563025,563025,0,0,25278269,0,3290 146,3,2024-09-07 09:26:21:281,1,448,0,0,1520,8116,448,0 147,0,2024-09-07 09:26:21:772,114424,0.7,114318,0.8,227900,0.7,304294,2.25 147,1,2024-09-07 09:26:21:379,788535,788535,0,0,370358642776,3848948742,781815,5873,847,368,391791,0 147,2,2024-09-07 09:26:21:017,567138,567138,0,0,22994342,0,2968 147,3,2024-09-07 09:26:20:913,1,448,1,0,1626,7581,448,0 0,0,2024-09-07 09:26:31:724,110507,0.6,110419,0.7,234404,0.6,302709,2.00 0,1,2024-09-07 09:26:30:801,787490,787490,0,0,369815165672,3867474086,782012,5088,390,369,391896,0 0,2,2024-09-07 09:26:31:080,566690,566690,0,0,22358272,0,4480 0,3,2024-09-07 09:26:30:981,1,449,2,0,431,6567,449,0 1,0,2024-09-07 09:26:31:787,115096,1.1,114525,1.0,229527,1.5,306702,2.25 1,1,2024-09-07 09:26:30:557,787283,787283,0,0,369290353407,3862436398,780764,5189,1330,370,391859,0 1,2,2024-09-07 09:26:30:643,566229,566229,0,0,22687098,0,3380 1,3,2024-09-07 09:26:31:305,1,449,2,0,268,5442,449,0 2,0,2024-09-07 09:26:31:573,111343,0.6,111373,0.7,222064,0.6,295904,2.00 2,1,2024-09-07 09:26:30:869,790000,790000,0,0,371200980855,3850072680,786708,2997,295,380,391745,0 2,2,2024-09-07 09:26:31:266,571824,571824,0,0,21273856,0,3594 2,3,2024-09-07 09:26:30:690,1,449,0,0,357,4238,449,0 3,0,2024-09-07 09:26:31:748,109894,0.4,109585,0.6,219323,0.3,292515,2.00 3,1,2024-09-07 09:26:31:621,788306,788306,0,0,369586460605,3846116339,782062,5551,693,379,391716,0 3,2,2024-09-07 09:26:31:146,570219,570196,23,0,22404642,0,5851 3,3,2024-09-07 09:26:31:753,1,449,1,0,207,2958,449,0 4,0,2024-09-07 09:26:31:766,110745,0.4,113827,0.5,232089,0.3,303112,1.75 4,1,2024-09-07 09:26:30:591,785423,785423,0,0,368128111307,3885880189,773593,9322,2508,370,391992,0 4,2,2024-09-07 09:26:31:019,562650,562650,0,0,25428483,0,4528 4,3,2024-09-07 09:26:31:028,1,449,9,0,448,5690,449,0 5,0,2024-09-07 09:26:31:379,114738,0.4,115348,0.6,230293,0.4,306095,1.75 5,1,2024-09-07 09:26:30:758,787062,787062,0,0,369912774521,3888788544,776624,8278,2160,367,392005,0 5,2,2024-09-07 09:26:31:841,563440,563440,0,0,25353804,0,3582 5,3,2024-09-07 09:26:31:732,1,449,1,0,457,6093,449,0 6,0,2024-09-07 09:26:30:916,113056,0.4,112617,0.6,225200,0.4,300517,2.00 6,1,2024-09-07 09:26:30:750,788588,788588,0,0,370086545024,3863150604,780372,6823,1393,379,391702,0 6,2,2024-09-07 09:26:31:129,571706,571688,18,0,25276878,0,5535 6,3,2024-09-07 09:26:31:273,1,449,1,0,710,5512,449,0 7,0,2024-09-07 09:26:31:537,107339,0.4,108214,0.6,215406,0.4,286781,1.75 7,1,2024-09-07 09:26:30:850,787663,787663,0,0,369797285807,3874739196,777870,8328,1465,382,391747,0 7,2,2024-09-07 09:26:30:769,570323,570323,0,0,24526414,0,4791 7,3,2024-09-07 09:26:30:851,1,449,1,0,552,4983,449,0 8,0,2024-09-07 09:26:31:348,113621,0.3,113454,0.5,227273,0.3,303147,1.75 8,1,2024-09-07 09:26:31:028,786465,786465,0,0,369402018753,3879301005,774835,8997,2633,366,392853,0 8,2,2024-09-07 09:26:30:793,561057,561057,0,0,28707777,0,3250 8,3,2024-09-07 09:26:30:589,1,449,0,0,538,7124,449,0 9,0,2024-09-07 09:26:31:135,114654,0.4,111553,0.5,233330,0.3,306068,1.75 9,1,2024-09-07 09:26:30:551,785890,785890,0,0,369436061889,3886191593,774806,8663,2421,369,392001,0 9,2,2024-09-07 09:26:31:116,565517,565517,0,0,25560732,0,3360 9,3,2024-09-07 09:26:31:764,1,449,15,0,496,6257,449,0 10,0,2024-09-07 09:26:31:604,113661,0.4,113166,0.5,227253,0.3,302430,1.75 10,1,2024-09-07 09:26:30:586,787812,787812,0,0,369505553018,3869332620,777317,8871,1624,381,391741,0 10,2,2024-09-07 09:26:30:762,570130,570130,0,0,28218849,0,4264 10,3,2024-09-07 09:26:30:878,1,449,1,0,649,4649,449,0 11,0,2024-09-07 09:26:31:007,107908,0.4,104600,0.6,218766,0.4,288698,1.75 11,1,2024-09-07 09:26:30:571,788276,788276,0,0,370233094023,3879097316,776946,8703,2627,383,391756,0 11,2,2024-09-07 09:26:31:125,569356,569356,0,0,24773874,0,4130 11,3,2024-09-07 09:26:31:310,1,449,1,0,843,6258,449,0 12,0,2024-09-07 09:26:31:037,113880,0.3,113862,0.5,227878,0.3,302738,1.75 12,1,2024-09-07 09:26:30:955,788099,788099,0,0,370120530971,3855201434,782522,5074,503,370,391960,0 12,2,2024-09-07 09:26:31:576,567155,567155,0,0,24188693,0,3469 12,3,2024-09-07 09:26:31:066,1,449,17,0,386,6438,449,0 13,0,2024-09-07 09:26:31:379,114787,0.4,114334,0.6,228140,0.4,304026,1.75 13,1,2024-09-07 09:26:31:530,786284,786284,0,0,368969294204,3874974269,778648,6002,1634,382,391740,0 13,2,2024-09-07 09:26:30:599,567219,567219,0,0,22215614,0,3287 13,3,2024-09-07 09:26:31:762,1,449,3,0,522,6429,449,0 14,0,2024-09-07 09:26:30:560,115058,0.4,116045,0.6,229645,0.4,306152,1.75 14,1,2024-09-07 09:26:31:561,791874,791874,0,0,371632669714,3845604294,785648,5516,710,364,391673,0 14,2,2024-09-07 09:26:30:764,567827,567797,30,0,24323694,0,6104 14,3,2024-09-07 09:26:31:119,1,449,1,0,1168,4752,449,0 15,0,2024-09-07 09:26:31:568,109200,0.4,109093,0.6,218730,0.4,290640,2.00 15,1,2024-09-07 09:26:31:608,789181,789181,0,0,370110700606,3852248567,782953,5049,1179,381,391619,0 15,2,2024-09-07 09:26:31:002,572285,572285,0,0,20253230,0,3622 15,3,2024-09-07 09:26:31:406,1,449,0,0,1126,7010,449,0 16,0,2024-09-07 09:26:30:985,110673,0.5,111384,0.7,221944,0.4,295542,2.00 16,1,2024-09-07 09:26:30:581,788874,788874,0,0,369873965936,3864435523,782260,5499,1115,370,391917,0 16,2,2024-09-07 09:26:31:484,569017,569017,0,0,24018005,0,4719 16,3,2024-09-07 09:26:31:167,1,449,11,0,317,5499,449,0 17,0,2024-09-07 09:26:31:778,117389,0.6,114718,0.7,224270,0.6,304262,2.00 17,1,2024-09-07 09:26:30:598,787199,787199,0,0,369877598863,3877437007,779517,6162,1520,368,392075,0 17,2,2024-09-07 09:26:31:666,567287,567287,0,0,23682036,0,3779 17,3,2024-09-07 09:26:30:583,1,449,1,0,518,6971,449,0 18,0,2024-09-07 09:26:30:943,113947,0.7,114482,0.8,228269,0.7,304703,2.25 18,1,2024-09-07 09:26:31:648,790389,790389,0,0,370917098947,3840720996,786384,3625,380,367,391725,0 18,2,2024-09-07 09:26:31:758,568665,568665,0,0,21053123,0,3541 18,3,2024-09-07 09:26:30:899,1,449,3,0,163,3360,449,0 19,0,2024-09-07 09:26:31:542,111746,0.6,112305,0.8,222748,0.6,296656,2.25 19,1,2024-09-07 09:26:30:566,790514,790514,0,0,371741569926,3852737235,784536,5057,921,367,391777,0 19,2,2024-09-07 09:26:31:751,574211,574211,0,0,20168700,0,3988 19,3,2024-09-07 09:26:31:134,1,449,1,0,524,3799,449,0 20,0,2024-09-07 09:26:31:384,108502,0.4,108501,0.6,216705,0.4,289446,2.00 20,1,2024-09-07 09:26:30:583,787775,787775,0,0,370211193678,3868095654,781053,5921,801,369,391922,0 20,2,2024-09-07 09:26:30:930,569247,569247,0,0,23956045,0,3721 20,3,2024-09-07 09:26:30:589,1,449,7,0,414,6595,449,0 21,0,2024-09-07 09:26:31:135,114351,0.4,114406,0.6,228844,0.4,303764,1.75 21,1,2024-09-07 09:26:31:543,786143,786143,0,0,369467649239,3890507506,775163,8499,2481,368,392016,0 21,2,2024-09-07 09:26:31:084,561962,561942,20,0,28925906,0,5617 21,3,2024-09-07 09:26:31:408,1,449,21,0,713,5952,449,0 22,0,2024-09-07 09:26:31:716,114837,0.5,115285,0.7,230238,0.4,305506,2.00 22,1,2024-09-07 09:26:31:024,787270,787270,0,0,369659183154,3879614132,776110,8933,2227,382,391667,0 22,2,2024-09-07 09:26:30:761,564826,564800,26,0,23147194,0,6328 22,3,2024-09-07 09:26:31:078,1,449,1,0,228,3587,449,0 23,0,2024-09-07 09:26:31:369,112711,0.5,112078,0.7,224690,0.5,299355,2.25 23,1,2024-09-07 09:26:31:007,788186,788186,0,0,370392618232,3883961219,775075,9057,4054,365,391690,0 23,2,2024-09-07 09:26:31:101,572964,572964,0,0,22635704,0,3773 23,3,2024-09-07 09:26:31:761,1,449,1,0,720,5615,449,0 24,0,2024-09-07 09:26:30:834,108653,0.4,108018,0.5,217175,0.3,288580,1.75 24,1,2024-09-07 09:26:30:581,787223,787223,0,0,368699532176,3860661979,779210,6418,1595,367,392269,0 24,2,2024-09-07 09:26:31:083,569603,569603,0,0,27222608,0,3607 24,3,2024-09-07 09:26:31:687,1,449,1,0,468,5915,449,0 25,0,2024-09-07 09:26:31:363,116709,0.4,113640,0.5,222935,0.3,303648,1.75 25,1,2024-09-07 09:26:30:568,786855,786855,0,0,369700092404,3890681529,774822,9782,2251,371,391928,0 25,2,2024-09-07 09:26:31:614,564382,564382,0,0,27834719,0,3978 25,3,2024-09-07 09:26:31:006,1,449,1,0,532,4941,449,0 26,0,2024-09-07 09:26:31:732,113572,0.4,110937,0.6,232896,0.4,303107,1.75 26,1,2024-09-07 09:26:31:560,789072,789072,0,0,370182537034,3876851431,777438,9225,2409,380,391748,0 26,2,2024-09-07 09:26:30:869,566235,566235,0,0,27829870,0,2809 26,3,2024-09-07 09:26:31:713,1,449,1,0,796,5218,449,0 27,0,2024-09-07 09:26:31:724,114634,0.5,114731,0.6,228363,0.4,304667,2.25 27,1,2024-09-07 09:26:31:680,789940,789940,0,0,371344633961,3864129462,782669,6369,902,381,391626,0 27,2,2024-09-07 09:26:30:867,566571,566506,65,0,25434817,0,5699 27,3,2024-09-07 09:26:31:019,1,449,3,0,564,4145,449,0 28,0,2024-09-07 09:26:31:394,108653,0.4,108366,0.6,217396,0.3,289726,1.75 28,1,2024-09-07 09:26:30:797,789256,789256,0,0,370796475211,3866787651,782059,5498,1699,382,391698,0 28,2,2024-09-07 09:26:31:772,570110,570110,0,0,23304286,0,2915 28,3,2024-09-07 09:26:31:779,1,449,1,0,502,4765,449,0 29,0,2024-09-07 09:26:31:376,115626,0.3,112614,0.5,220751,0.2,301093,1.75 29,1,2024-09-07 09:26:31:566,791658,791658,0,0,371711999970,3843585721,786787,4136,735,368,391809,0 29,2,2024-09-07 09:26:30:873,567994,567994,0,0,22025914,0,4986 29,3,2024-09-07 09:26:30:967,1,449,0,0,459,5142,449,0 30,0,2024-09-07 09:26:31:457,113350,0.5,110164,0.7,230731,0.5,301604,2.00 30,1,2024-09-07 09:26:30:580,790357,790357,0,0,371767036040,3857824857,784285,5305,767,381,391672,0 30,2,2024-09-07 09:26:31:274,565971,565971,0,0,21451966,0,4192 30,3,2024-09-07 09:26:30:581,1,449,1,0,519,4405,449,0 31,0,2024-09-07 09:26:31:763,114744,0.5,115127,0.7,230033,0.4,306717,2.00 31,1,2024-09-07 09:26:30:564,794144,794144,0,0,372513443611,3816128114,791038,2520,586,356,391712,0 31,2,2024-09-07 09:26:31:275,566099,566099,0,0,23221061,0,3525 31,3,2024-09-07 09:26:31:709,1,449,0,0,220,3807,449,0 32,0,2024-09-07 09:26:31:437,111678,0.3,112269,0.5,223583,0.2,297650,1.50 32,1,2024-09-07 09:26:30:805,790125,790125,0,0,370956429915,3856252387,785001,4442,682,381,391646,0 32,2,2024-09-07 09:26:30:935,572411,572411,0,0,21023368,0,3922 32,3,2024-09-07 09:26:31:015,1,449,6,0,304,3446,449,0 33,0,2024-09-07 09:26:31:549,110072,0.2,109684,0.4,219843,0.2,292885,1.50 33,1,2024-09-07 09:26:30:592,790848,790848,0,0,371521187057,3849604160,784331,5412,1105,368,391730,0 33,2,2024-09-07 09:26:30:764,570739,570704,35,0,23359144,0,7012 33,3,2024-09-07 09:26:30:895,1,449,6,0,329,4183,449,0 34,0,2024-09-07 09:26:30:937,114526,0.3,117593,0.4,224779,0.2,302499,1.75 34,1,2024-09-07 09:26:31:108,792017,792017,0,0,372557929462,3828297628,790271,1734,12,367,391562,0 34,2,2024-09-07 09:26:30:766,565835,565835,0,0,21554661,0,4562 34,3,2024-09-07 09:26:31:691,1,449,2,0,299,3298,449,0 35,0,2024-09-07 09:26:30:861,114236,0.3,114849,0.5,230779,0.3,306926,1.75 35,1,2024-09-07 09:26:31:073,789834,789834,0,0,371308063549,3846337741,785253,3722,859,382,391769,0 35,2,2024-09-07 09:26:31:589,565926,565926,0,0,23503088,0,4055 35,3,2024-09-07 09:26:30:908,1,449,1,0,418,4541,449,0 36,0,2024-09-07 09:26:31:531,113243,0.5,113020,0.6,226127,0.4,301003,2.00 36,1,2024-09-07 09:26:30:585,789523,789523,0,0,370014768949,3859787860,779867,7654,2002,366,391759,0 36,2,2024-09-07 09:26:31:758,572737,572737,0,0,24566127,0,3875 36,3,2024-09-07 09:26:30:872,1,449,13,0,416,6160,449,0 37,0,2024-09-07 09:26:31:429,107455,0.4,107661,0.6,215034,0.4,287136,2.00 37,1,2024-09-07 09:26:30:592,788700,788693,0,7,369848217466,3858003503,779866,6550,2277,365,391770,0 37,2,2024-09-07 09:26:31:152,568227,568212,15,0,24512267,0,5815 37,3,2024-09-07 09:26:31:780,1,449,1,0,888,6626,449,0 38,0,2024-09-07 09:26:31:490,112919,0.4,109606,0.6,229320,0.3,300819,2.00 38,1,2024-09-07 09:26:31:611,789623,789623,0,0,370248371612,3865456321,778871,8591,2161,368,391821,0 38,2,2024-09-07 09:26:30:760,564983,564936,47,0,24041698,0,6710 38,3,2024-09-07 09:26:31:012,1,449,1,0,689,5496,449,0 39,0,2024-09-07 09:26:31:770,117243,0.6,114784,0.7,223687,0.6,305323,2.00 39,1,2024-09-07 09:26:30:722,788532,788532,0,0,370240246274,3876453116,776086,9755,2691,365,391658,0 39,2,2024-09-07 09:26:31:422,566635,566635,0,0,23034900,0,3391 39,3,2024-09-07 09:26:30:724,1,449,2,0,324,4987,449,0 40,0,2024-09-07 09:26:31:492,112612,0.8,113188,1.0,225777,0.9,301005,2.75 40,1,2024-09-07 09:26:30:582,789049,789049,0,0,369732488620,3867439806,778226,8779,2044,368,391668,0 40,2,2024-09-07 09:26:31:311,569454,569453,1,0,26707567,0,5137 40,3,2024-09-07 09:26:31:148,1,449,2,0,1028,6032,449,0 41,0,2024-09-07 09:26:31:033,107462,1.2,109885,1.2,209552,1.8,285355,2.75 41,1,2024-09-07 09:26:30:771,787500,787500,0,0,370016205374,3865262808,778316,8144,1040,369,391742,0 41,2,2024-09-07 09:26:30:761,567879,567879,0,0,25528658,0,4277 41,3,2024-09-07 09:26:31:680,1,449,0,0,366,4527,449,0 42,0,2024-09-07 09:26:31:493,112711,0.7,112524,0.9,225302,0.7,298590,2.50 42,1,2024-09-07 09:26:31:453,785978,785978,0,0,369272377894,3875639923,773890,10057,2031,380,391675,0 42,2,2024-09-07 09:26:31:134,566755,566755,0,0,25625780,0,3790 42,3,2024-09-07 09:26:31:009,1,449,0,0,892,4297,449,0 43,0,2024-09-07 09:26:30:922,112850,0.7,109834,0.9,229945,0.8,301335,2.25 43,1,2024-09-07 09:26:30:586,789284,789284,0,0,370692098398,3868385886,778902,8643,1739,366,391696,0 43,2,2024-09-07 09:26:31:736,565921,565921,0,0,25222977,0,3812 43,3,2024-09-07 09:26:31:749,1,449,1,0,548,5779,449,0 44,0,2024-09-07 09:26:30:873,115174,0.5,115322,0.6,231109,0.4,306762,2.00 44,1,2024-09-07 09:26:30:564,790658,790658,0,0,370815935236,3830380100,784156,5184,1318,356,391809,0 44,2,2024-09-07 09:26:31:267,566189,566189,0,0,21404151,0,4344 44,3,2024-09-07 09:26:31:095,1,449,1,0,817,5129,449,0 45,0,2024-09-07 09:26:31:757,108143,0.5,105572,0.7,221521,0.4,291241,2.00 45,1,2024-09-07 09:26:31:019,789907,789907,0,0,371342519154,3855914508,784245,5088,574,382,391917,0 45,2,2024-09-07 09:26:31:269,571797,571797,0,0,21661344,0,3596 45,3,2024-09-07 09:26:30:941,1,449,1,0,271,3789,449,0 46,0,2024-09-07 09:26:30:953,110482,0.4,110079,0.6,220852,0.4,293603,2.00 46,1,2024-09-07 09:26:30:639,791591,791591,0,0,371281822852,3832898421,786874,4125,592,366,391709,0 46,2,2024-09-07 09:26:30:601,569974,569974,0,0,21806073,0,4443 46,3,2024-09-07 09:26:31:132,1,449,1,0,908,5504,449,0 47,0,2024-09-07 09:26:31:114,114271,0.4,114521,0.6,229090,0.4,303289,1.75 47,1,2024-09-07 09:26:30:579,792294,792294,0,0,370956528073,3831424985,787417,4039,838,366,391641,0 47,2,2024-09-07 09:26:30:915,567338,567338,0,0,21305030,0,4477 47,3,2024-09-07 09:26:31:119,1,449,1,0,600,5314,449,0 48,0,2024-09-07 09:26:31:522,115651,0.3,115636,0.4,230099,0.2,306756,1.50 48,1,2024-09-07 09:26:31:023,790255,790255,0,0,371642762526,3857110971,785540,4259,456,384,391710,0 48,2,2024-09-07 09:26:30:700,566328,566328,0,0,20095287,0,3411 48,3,2024-09-07 09:26:30:752,1,449,1,0,339,3526,449,0 49,0,2024-09-07 09:26:31:732,115074,0.3,113190,0.5,219560,0.3,300499,1.75 49,1,2024-09-07 09:26:31:021,789646,789646,0,0,370704315020,3854331153,784488,3951,1207,382,391809,0 49,2,2024-09-07 09:26:31:805,572696,572696,0,0,22238065,0,4426 49,3,2024-09-07 09:26:31:433,1,449,1,0,992,5269,449,0 50,0,2024-09-07 09:26:31:522,109209,0.3,107569,0.4,216910,0.2,289343,1.75 50,1,2024-09-07 09:26:31:022,792165,792165,0,0,372303762212,3847035887,787232,4359,574,368,391565,0 50,2,2024-09-07 09:26:31:077,570101,570101,0,0,20299174,0,4490 50,3,2024-09-07 09:26:31:291,1,449,0,0,567,4384,449,0 51,0,2024-09-07 09:26:31:688,117130,0.3,114905,0.4,223968,0.2,305279,1.75 51,1,2024-09-07 09:26:31:681,792381,792381,0,0,372472475669,3838852086,788422,2910,1049,365,391706,0 51,2,2024-09-07 09:26:31:318,564999,564999,0,0,19184006,0,3337 51,3,2024-09-07 09:26:31:030,1,449,4,0,678,3588,449,0 52,0,2024-09-07 09:26:31:426,115259,0.5,115078,0.7,230428,0.5,306337,2.00 52,1,2024-09-07 09:26:30:574,788843,788843,0,0,370090342311,3866878679,778492,8998,1353,368,391722,0 52,2,2024-09-07 09:26:31:791,563152,563114,38,0,25570758,0,6742 52,3,2024-09-07 09:26:30:679,1,449,5,0,1782,5944,449,0 53,0,2024-09-07 09:26:31:756,112227,0.6,109003,0.8,228054,0.7,299167,2.25 53,1,2024-09-07 09:26:30:771,787182,787182,0,0,370251683097,3878299694,775248,8974,2960,367,391702,0 53,2,2024-09-07 09:26:31:298,571887,571886,1,0,23341984,0,5455 53,3,2024-09-07 09:26:30:702,1,449,87,0,308,4092,449,0 54,0,2024-09-07 09:26:31:628,106454,0.5,106833,0.7,212516,0.4,284704,2.25 54,1,2024-09-07 09:26:30:586,789406,789406,0,0,370872486040,3851175753,781913,6252,1241,366,391659,0 54,2,2024-09-07 09:26:30:869,570553,570521,32,0,26633979,0,6397 54,3,2024-09-07 09:26:30:763,1,449,1,0,676,6301,449,0 55,0,2024-09-07 09:26:31:760,109898,0.5,113348,0.6,229930,0.4,299346,2.25 55,1,2024-09-07 09:26:30:774,789923,789923,0,0,370161042590,3842216726,782285,6543,1095,365,391731,0 55,2,2024-09-07 09:26:30:736,565510,565454,56,0,25458299,0,7239 55,3,2024-09-07 09:26:30:677,1,449,1,0,304,4371,449,0 56,0,2024-09-07 09:26:31:644,116090,1.3,109509,1.1,225665,1.8,302301,2.75 56,1,2024-09-07 09:26:30:580,785561,785561,0,0,369222221585,3893761418,774034,9153,2374,381,391867,0 56,2,2024-09-07 09:26:31:306,565545,565423,122,0,26249706,0,7432 56,3,2024-09-07 09:26:31:059,1,449,5,0,705,5312,449,0 57,0,2024-09-07 09:26:30:974,112745,1.7,112452,1.3,225627,2.3,301781,3.25 57,1,2024-09-07 09:26:31:006,787858,787858,0,0,368794942672,3859684959,779245,7525,1088,366,392032,0 57,2,2024-09-07 09:26:31:329,569050,569050,0,0,27184745,0,3317 57,3,2024-09-07 09:26:31:749,1,449,1,0,455,5038,449,0 58,0,2024-09-07 09:26:30:556,106898,0.8,103820,0.9,217325,0.9,284775,2.50 58,1,2024-09-07 09:26:30:577,788423,788420,0,3,371079645303,3881606869,777301,8815,2304,367,391603,3 58,2,2024-09-07 09:26:31:079,569500,569500,0,0,25235819,0,2902 58,3,2024-09-07 09:26:31:075,1,449,0,0,1043,4679,449,0 59,0,2024-09-07 09:26:31:754,111897,0.7,111435,0.9,222657,0.7,296067,2.50 59,1,2024-09-07 09:26:30:805,788056,788056,0,0,369803979968,3868493830,777830,8416,1810,369,391653,0 59,2,2024-09-07 09:26:30:589,567672,567672,0,0,25084507,0,3727 59,3,2024-09-07 09:26:31:740,1,449,11,0,1015,5792,449,0 60,0,2024-09-07 09:26:31:730,113600,0.5,113547,0.7,227867,0.5,302479,1.75 60,1,2024-09-07 09:26:30:774,790556,790556,0,0,371122539177,3849374564,785404,4430,722,370,392031,0 60,2,2024-09-07 09:26:31:154,565880,565880,0,0,23385402,0,3811 60,3,2024-09-07 09:26:31:259,1,449,31,0,409,5133,449,0 61,0,2024-09-07 09:26:31:515,114837,0.7,115485,0.8,230046,0.7,306482,2.00 61,1,2024-09-07 09:26:30:774,788477,788477,0,0,370393401710,3876508148,780154,6891,1432,382,392127,0 61,2,2024-09-07 09:26:31:125,566489,566422,67,0,23810245,0,6411 61,3,2024-09-07 09:26:31:688,1,449,1,0,479,5855,449,0 62,0,2024-09-07 09:26:31:718,112134,0.5,114933,0.7,219495,0.5,297455,2.00 62,1,2024-09-07 09:26:31:112,793194,793188,0,6,372616693079,3839564899,789372,3555,261,365,391975,6 62,2,2024-09-07 09:26:31:645,569769,569768,1,0,24063212,0,5555 62,3,2024-09-07 09:26:31:147,1,449,1,0,482,3807,449,0 63,0,2024-09-07 09:26:31:471,110044,0.4,109907,0.5,220539,0.3,293200,1.75 63,1,2024-09-07 09:26:30:804,790783,790777,0,6,371392439899,3853328408,785794,4250,733,381,391800,6 63,2,2024-09-07 09:26:30:762,569818,569818,0,0,21853717,0,4369 63,3,2024-09-07 09:26:31:735,1,449,1,0,667,4470,449,0 64,0,2024-09-07 09:26:31:535,113736,0.5,113847,0.6,227034,0.5,301728,1.75 64,1,2024-09-07 09:26:30:775,789903,789903,0,0,371539242864,3867516685,783219,4981,1703,370,391783,0 64,2,2024-09-07 09:26:31:152,568535,568516,19,0,21634929,0,6121 64,3,2024-09-07 09:26:31:171,1,449,4,0,265,4252,449,0 65,0,2024-09-07 09:26:31:691,114083,0.7,114316,0.8,228300,0.8,304372,2.00 65,1,2024-09-07 09:26:30:869,788047,788047,0,0,370183176710,3865661617,782929,4578,540,381,391901,0 65,2,2024-09-07 09:26:31:706,565996,565996,0,0,25655071,0,3367 65,3,2024-09-07 09:26:31:690,1,449,5,0,782,5452,449,0 66,0,2024-09-07 09:26:31:789,112649,0.5,112415,0.7,224868,0.5,299436,2.25 66,1,2024-09-07 09:26:31:316,789957,789957,0,0,370172941790,3853827719,784457,4974,526,380,391743,0 66,2,2024-09-07 09:26:31:140,573663,573663,0,0,22465812,0,4956 66,3,2024-09-07 09:26:31:100,1,449,19,0,291,3777,449,0 67,0,2024-09-07 09:26:31:411,108063,0.4,107840,0.6,216385,0.4,287863,2.00 67,1,2024-09-07 09:26:30:766,790260,790259,0,1,371187609137,3863525469,784901,4564,794,380,391787,1 67,2,2024-09-07 09:26:30:589,572275,572275,0,0,21413460,0,3622 67,3,2024-09-07 09:26:31:753,1,449,25,0,392,4360,449,0 68,0,2024-09-07 09:26:30:643,113784,0.5,113718,0.7,226394,0.5,302668,2.00 68,1,2024-09-07 09:26:30:586,787284,787284,0,0,369208868530,3878579241,777219,7119,2946,381,391953,0 68,2,2024-09-07 09:26:31:070,562552,562452,100,0,28166373,0,8578 68,3,2024-09-07 09:26:30:737,1,449,2,0,417,5021,449,0 69,0,2024-09-07 09:26:31:761,114016,0.7,114765,0.8,228658,0.8,303747,2.25 69,1,2024-09-07 09:26:31:038,786418,786418,0,0,369162662877,3885311769,776643,7504,2271,384,391994,0 69,2,2024-09-07 09:26:31:732,565269,565240,29,0,29912760,0,6912 69,3,2024-09-07 09:26:30:776,1,449,14,0,698,6756,449,0 70,0,2024-09-07 09:26:31:556,112472,1.0,112768,1.1,226856,0.9,300366,2.50 70,1,2024-09-07 09:26:30:801,790493,790493,0,0,371500784336,3851672811,784511,5321,661,366,391725,0 70,2,2024-09-07 09:26:31:330,570347,570347,0,0,24977076,0,4323 70,3,2024-09-07 09:26:30:748,1,449,8,0,854,5039,449,0 71,0,2024-09-07 09:26:31:375,106997,0.7,106714,0.9,214457,0.8,286641,2.50 71,1,2024-09-07 09:26:31:597,789032,789032,0,0,370088141286,3855752631,780589,7640,803,368,391738,0 71,2,2024-09-07 09:26:31:076,568991,568991,0,0,24901785,0,4352 71,3,2024-09-07 09:26:31:757,1,449,0,0,644,5680,449,0 72,0,2024-09-07 09:26:31:143,116848,0.5,114312,0.7,222859,0.4,303006,2.00 72,1,2024-09-07 09:26:31:023,787499,787499,0,0,369844866217,3875444902,776629,8860,2010,369,391819,0 72,2,2024-09-07 09:26:31:761,566091,566091,0,0,27251827,0,3983 72,3,2024-09-07 09:26:31:761,1,449,1,0,564,6609,449,0 73,0,2024-09-07 09:26:31:126,111019,0.4,113793,0.6,232544,0.4,302241,2.00 73,1,2024-09-07 09:26:30:766,789472,789472,0,0,370739830284,3847775585,784127,4936,409,367,391858,0 73,2,2024-09-07 09:26:31:740,565572,565572,0,0,26658626,0,3701 73,3,2024-09-07 09:26:30:984,1,449,1,0,486,5798,449,0 74,0,2024-09-07 09:26:31:325,115998,0.5,118642,0.7,226125,0.5,307073,2.25 74,1,2024-09-07 09:26:30:640,788583,788583,0,0,370568584512,3864339962,780612,6376,1595,381,391762,0 74,2,2024-09-07 09:26:31:006,566847,566847,0,0,24487891,0,4253 74,3,2024-09-07 09:26:31:449,1,449,1,0,522,5613,449,0 75,0,2024-09-07 09:26:31:771,109983,0.5,109257,0.7,219255,0.4,292287,2.25 75,1,2024-09-07 09:26:31:588,789249,789249,0,0,370244802334,3857798148,782394,6027,828,380,391739,0 75,2,2024-09-07 09:26:31:353,569915,569915,0,0,27353429,0,4766 75,3,2024-09-07 09:26:31:069,1,449,1,0,702,5771,449,0 76,0,2024-09-07 09:26:30:583,110435,0.5,109626,0.7,220024,0.4,294341,2.25 76,1,2024-09-07 09:26:30:809,788916,788916,0,0,369454568755,3851800937,783483,4607,826,382,391692,0 76,2,2024-09-07 09:26:31:071,571445,571444,1,0,23932984,0,5144 76,3,2024-09-07 09:26:31:166,1,449,8,0,175,4123,449,0 77,0,2024-09-07 09:26:31:717,113590,0.5,113960,0.7,228071,0.5,302301,2.00 77,1,2024-09-07 09:26:30:825,789545,789545,0,0,370476371865,3863165242,783705,5333,507,381,391869,0 77,2,2024-09-07 09:26:31:281,564870,564870,0,0,23369745,0,3890 77,3,2024-09-07 09:26:31:099,1,449,1,0,401,4874,449,0 78,0,2024-09-07 09:26:31:717,115353,0.5,114775,0.6,230403,0.4,305282,2.00 78,1,2024-09-07 09:26:30:612,790137,790137,0,0,370176775138,3853272581,782266,6302,1569,367,391670,0 78,2,2024-09-07 09:26:31:405,567113,567100,13,0,22152174,0,8313 78,3,2024-09-07 09:26:31:132,1,449,2,0,181,3758,449,0 79,0,2024-09-07 09:26:31:350,108379,0.4,110986,0.6,227218,0.3,295528,2.25 79,1,2024-09-07 09:26:30:581,791155,791155,0,0,370835022604,3841726031,784526,5320,1309,367,391682,0 79,2,2024-09-07 09:26:31:068,572756,572756,0,0,21668475,0,4195 79,3,2024-09-07 09:26:30:748,1,449,1,0,418,5508,449,0 80,0,2024-09-07 09:26:31:180,108520,0.5,111628,0.6,213394,0.4,288851,2.00 80,1,2024-09-07 09:26:31:640,789166,789166,0,0,370516209568,3857302489,783573,5170,423,368,392269,0 80,2,2024-09-07 09:26:31:102,572149,572149,0,0,22001402,0,4433 80,3,2024-09-07 09:26:30:579,1,449,1,0,190,5085,449,0 81,0,2024-09-07 09:26:31:576,114437,0.5,117192,0.7,223775,0.5,304045,2.00 81,1,2024-09-07 09:26:31:650,788022,788022,0,0,369775325308,3861402456,782006,5475,541,382,391879,0 81,2,2024-09-07 09:26:31:136,563688,563625,63,0,24217053,0,5932 81,3,2024-09-07 09:26:31:119,1,449,1,0,719,5299,449,0 82,0,2024-09-07 09:26:31:576,114665,0.5,114807,0.7,230312,0.5,305662,2.00 82,1,2024-09-07 09:26:30:585,790610,790606,0,4,370717179024,3854105397,785906,3881,819,381,391768,4 82,2,2024-09-07 09:26:31:695,567492,567492,0,0,20741026,0,4484 82,3,2024-09-07 09:26:31:753,1,449,1,0,363,4615,449,0 83,0,2024-09-07 09:26:31:534,112989,0.6,112979,0.8,225315,0.7,299357,2.25 83,1,2024-09-07 09:26:30:551,788578,788578,0,0,370101518857,3857113620,783045,5083,450,382,391709,0 83,2,2024-09-07 09:26:30:764,571978,571978,0,0,21489062,0,3393 83,3,2024-09-07 09:26:30:748,1,449,0,0,1260,5650,449,0 84,0,2024-09-07 09:26:31:771,107415,0.6,107379,0.8,213965,0.5,286952,2.25 84,1,2024-09-07 09:26:31:038,788267,788267,0,0,370262018137,3863738976,780557,6666,1044,367,391967,0 84,2,2024-09-07 09:26:30:571,568738,568708,30,0,28683957,0,5971 84,3,2024-09-07 09:26:31:149,1,449,6,0,908,6548,449,0 85,0,2024-09-07 09:26:31:013,109547,0.5,109475,0.7,232312,0.5,300856,2.00 85,1,2024-09-07 09:26:30:559,786009,786009,0,0,368994571940,3887792657,775183,8905,1921,381,392006,0 85,2,2024-09-07 09:26:30:864,564966,564966,0,0,26523329,0,3656 85,3,2024-09-07 09:26:30:685,1,449,1,0,789,5287,449,0 86,0,2024-09-07 09:26:30:907,113471,0.6,116918,0.8,223674,0.7,302676,2.25 86,1,2024-09-07 09:26:30:865,788399,788399,0,0,370362842405,3873657532,779710,7246,1443,366,391961,0 86,2,2024-09-07 09:26:30:874,563934,563933,1,0,28755428,0,5004 86,3,2024-09-07 09:26:30:601,1,449,12,0,308,6294,449,0 87,0,2024-09-07 09:26:31:316,114357,1.0,114119,0.9,228352,1.3,305069,2.50 87,1,2024-09-07 09:26:30:551,787130,787130,0,0,369659626643,3869924481,777166,8369,1595,366,392076,0 87,2,2024-09-07 09:26:31:088,568101,568095,6,0,25462256,0,6323 87,3,2024-09-07 09:26:31:794,1,449,2,0,473,6826,449,0 88,0,2024-09-07 09:26:31:448,108332,0.4,109128,0.6,217501,0.4,289550,1.75 88,1,2024-09-07 09:26:30:574,786914,786914,0,0,369148570304,3862392624,777184,7721,2009,365,392084,0 88,2,2024-09-07 09:26:30:688,570151,570151,0,0,27899074,0,4465 88,3,2024-09-07 09:26:31:270,1,449,2,0,435,5347,449,0 89,0,2024-09-07 09:26:31:772,115453,0.4,111748,0.6,221237,0.3,301070,1.75 89,1,2024-09-07 09:26:30:550,786614,786614,0,0,369463794280,3879411754,777425,7759,1430,382,391866,0 89,2,2024-09-07 09:26:31:137,567824,567824,0,0,26225241,0,3173 89,3,2024-09-07 09:26:31:792,1,449,23,0,468,7613,449,0 90,0,2024-09-07 09:26:31:667,110373,0.5,113458,0.6,231251,0.4,301501,2.00 90,1,2024-09-07 09:26:30:600,787755,787755,0,0,370288973322,3871826294,780974,6266,515,380,391825,0 90,2,2024-09-07 09:26:31:410,563643,563643,0,0,27479584,0,3060 90,3,2024-09-07 09:26:30:937,1,449,14,0,322,5394,449,0 91,0,2024-09-07 09:26:31:004,115728,0.5,111987,0.6,233900,0.5,307175,1.75 91,1,2024-09-07 09:26:30:556,786519,786519,0,0,369953222703,3884779339,777250,7864,1405,381,392047,0 91,2,2024-09-07 09:26:31:332,567491,567491,0,0,24382574,0,2896 91,3,2024-09-07 09:26:30:599,1,449,4,0,216,4326,449,0 92,0,2024-09-07 09:26:31:464,112553,0.4,115357,0.6,220237,0.4,297784,1.75 92,1,2024-09-07 09:26:30:585,789113,789113,0,0,370038827516,3860846284,783564,4823,726,381,392136,0 92,2,2024-09-07 09:26:31:350,572228,572228,0,0,22142199,0,3259 92,3,2024-09-07 09:26:31:009,1,449,1,0,167,3865,449,0 93,0,2024-09-07 09:26:30:956,110541,0.3,113268,0.5,216239,0.3,293359,1.75 93,1,2024-09-07 09:26:30:805,788512,788512,0,0,370383409814,3863562906,780341,6785,1386,366,391776,0 93,2,2024-09-07 09:26:30:931,569613,569613,0,0,26865103,0,4845 93,3,2024-09-07 09:26:31:421,1,449,1,0,190,3990,449,0 94,0,2024-09-07 09:26:31:652,113783,0.3,114714,0.5,228895,0.3,303271,1.75 94,1,2024-09-07 09:26:30:563,788974,788974,0,0,370398565147,3860837029,784171,4593,210,381,391850,0 94,2,2024-09-07 09:26:30:763,564935,564935,0,0,22312323,0,2443 94,3,2024-09-07 09:26:31:689,1,449,1,0,576,5836,449,0 95,0,2024-09-07 09:26:31:376,114974,0.4,114873,0.5,230356,0.3,306888,1.75 95,1,2024-09-07 09:26:30:856,790168,790168,0,0,371504187090,3858598498,784018,5712,438,365,391786,0 95,2,2024-09-07 09:26:31:017,565661,565661,0,0,22253245,0,3308 95,3,2024-09-07 09:26:31:735,1,449,2,0,718,6302,449,0 96,0,2024-09-07 09:26:31:022,113082,0.4,113417,0.5,226284,0.3,300339,1.75 96,1,2024-09-07 09:26:31:586,788675,788675,0,0,369803461681,3856258729,783103,4683,889,384,391955,0 96,2,2024-09-07 09:26:31:269,573072,573072,0,0,23210269,0,4180 96,3,2024-09-07 09:26:31:148,1,449,1,0,411,4955,449,0 97,0,2024-09-07 09:26:31:331,108102,0.3,107695,0.4,216208,0.2,287700,1.50 97,1,2024-09-07 09:26:30:763,789889,789889,0,0,371386985037,3850745973,784721,4233,935,367,392140,0 97,2,2024-09-07 09:26:30:634,570558,570558,0,0,22346396,0,3679 97,3,2024-09-07 09:26:30:569,1,449,3,0,242,5349,449,0 98,0,2024-09-07 09:26:31:728,113118,0.3,113477,0.4,227590,0.2,302720,1.50 98,1,2024-09-07 09:26:30:574,789323,789323,0,0,370483998588,3857984260,784346,4185,792,382,391997,0 98,2,2024-09-07 09:26:30:769,565457,565457,0,0,22307438,0,4336 98,3,2024-09-07 09:26:30:705,1,449,1,0,840,7277,449,0 99,0,2024-09-07 09:26:31:533,114592,0.3,115213,0.5,229076,0.3,306275,1.75 99,1,2024-09-07 09:26:31:723,789755,789755,0,0,369821238335,3847057416,784800,4118,837,381,392069,0 99,2,2024-09-07 09:26:31:436,567109,567109,0,0,26368070,0,4276 99,3,2024-09-07 09:26:30:602,1,449,8,0,606,4787,449,0 100,0,2024-09-07 09:26:31:493,113494,0.8,113849,1.0,226829,0.9,302973,2.50 100,1,2024-09-07 09:26:30:551,785744,785744,0,0,369306056148,3894705852,775000,8692,2052,378,391989,0 100,2,2024-09-07 09:26:31:816,567891,567880,11,0,25690338,0,5417 100,3,2024-09-07 09:26:31:731,1,449,7,0,559,6983,449,0 101,0,2024-09-07 09:26:31:717,110347,0.8,107545,0.9,210829,0.6,288812,2.25 101,1,2024-09-07 09:26:30:565,786210,786210,0,0,369044871267,3876238065,775506,8579,2125,368,391847,0 101,2,2024-09-07 09:26:31:760,566263,566263,0,0,30533501,0,4871 101,3,2024-09-07 09:26:30:944,1,449,1,0,579,5997,449,0 102,0,2024-09-07 09:26:30:961,110100,0.5,113501,0.7,230323,0.5,301659,2.00 102,1,2024-09-07 09:26:31:149,786395,786395,0,0,369020417386,3872603991,776506,8125,1764,369,391891,0 102,2,2024-09-07 09:26:31:740,568014,567960,54,0,24667951,0,6768 102,3,2024-09-07 09:26:31:631,1,449,34,0,466,4849,449,0 103,0,2024-09-07 09:26:31:600,117584,0.5,117529,0.7,221568,0.5,304583,2.00 103,1,2024-09-07 09:26:31:640,785925,785925,0,0,368775903928,3889323004,773891,8968,3066,381,391862,0 103,2,2024-09-07 09:26:30:583,564017,564017,0,0,27304043,0,3766 103,3,2024-09-07 09:26:30:760,1,449,5,0,916,4867,449,0 104,0,2024-09-07 09:26:31:050,114178,0.7,114494,0.9,227910,0.7,305217,2.25 104,1,2024-09-07 09:26:31:612,787719,787719,0,0,369479790607,3875304857,776863,8992,1864,365,392168,0 104,2,2024-09-07 09:26:31:666,566045,566045,0,0,26088109,0,3941 104,3,2024-09-07 09:26:31:421,1,449,3,0,1245,8624,449,0 105,0,2024-09-07 09:26:31:044,108358,0.8,105496,1.0,221234,0.8,290402,2.50 105,1,2024-09-07 09:26:30:566,789137,789137,0,0,370595004694,3877974157,779680,8028,1429,366,392009,0 105,2,2024-09-07 09:26:31:323,569599,569599,0,0,26033665,0,3509 105,3,2024-09-07 09:26:31:305,1,449,1,0,399,6616,449,0 106,0,2024-09-07 09:26:31:015,107063,0.6,109632,0.8,224403,0.6,293821,2.25 106,1,2024-09-07 09:26:31:754,787836,787836,0,0,369587767692,3875240648,776769,9619,1448,368,391914,0 106,2,2024-09-07 09:26:30:762,568561,568561,0,0,25433799,0,2920 106,3,2024-09-07 09:26:30:677,1,449,1,0,470,5572,449,0 107,0,2024-09-07 09:26:31:134,113764,0.8,114033,0.9,227809,0.9,303399,2.25 107,1,2024-09-07 09:26:30:595,786127,786127,0,0,369043734171,3884683619,775889,9184,1054,381,392234,0 107,2,2024-09-07 09:26:31:308,563286,563285,1,0,26340436,0,5024 107,3,2024-09-07 09:26:31:775,1,449,12,0,370,6696,449,0 108,0,2024-09-07 09:26:31:784,114779,0.5,115658,0.6,229959,0.4,306713,1.75 108,1,2024-09-07 09:26:31:296,789257,789257,0,0,371304116395,3868734748,783073,5439,745,367,391857,0 108,2,2024-09-07 09:26:31:763,565279,565279,0,0,24894493,0,4246 108,3,2024-09-07 09:26:31:360,1,449,4,0,749,8093,449,0 109,0,2024-09-07 09:26:31:749,112867,0.4,112010,0.6,224206,0.3,299785,1.75 109,1,2024-09-07 09:26:30:586,786126,786126,0,0,369804771769,3878932676,778679,6260,1187,382,392132,0 109,2,2024-09-07 09:26:30:921,569990,569990,0,0,24466734,0,3617 109,3,2024-09-07 09:26:31:148,1,449,1,0,379,5124,449,0 110,0,2024-09-07 09:26:31:790,108364,0.3,105470,0.5,221161,0.3,289526,1.75 110,1,2024-09-07 09:26:31:646,790101,790101,0,0,370454890745,3842281227,784704,4218,1179,369,392045,0 110,2,2024-09-07 09:26:31:312,570399,570399,0,0,23486933,0,4067 110,3,2024-09-07 09:26:30:706,1,449,20,0,722,6273,449,0 111,0,2024-09-07 09:26:31:413,114866,0.4,114070,0.5,228621,0.3,305277,1.75 111,1,2024-09-07 09:26:31:044,790504,790504,0,0,372342254553,3858701957,786612,3537,355,380,391690,0 111,2,2024-09-07 09:26:31:119,563913,563913,0,0,22902228,0,4823 111,3,2024-09-07 09:26:30:913,1,449,1,0,379,5175,449,0 112,0,2024-09-07 09:26:30:916,115608,0.3,115131,0.4,230670,0.2,306615,1.50 112,1,2024-09-07 09:26:30:842,790055,790055,0,0,370705865220,3845374772,785335,4107,613,380,391624,0 112,2,2024-09-07 09:26:31:147,565860,565859,1,0,22277408,0,5036 112,3,2024-09-07 09:26:30:598,1,449,5,0,282,4298,449,0 113,0,2024-09-07 09:26:30:883,113048,0.3,113077,0.5,226702,0.3,301348,1.75 113,1,2024-09-07 09:26:31:688,792633,792633,0,0,372968436653,3845274012,788737,3307,589,366,391661,0 113,2,2024-09-07 09:26:31:310,573396,573396,0,0,20556456,0,3813 113,3,2024-09-07 09:26:30:684,1,449,1,0,340,4882,449,0 114,0,2024-09-07 09:26:30:874,108261,0.3,108885,0.4,216528,0.2,289712,1.75 114,1,2024-09-07 09:26:30:715,790104,790104,0,0,370802008373,3850206806,783811,4677,1616,381,391565,0 114,2,2024-09-07 09:26:30:879,571200,571199,1,0,21587418,0,5069 114,3,2024-09-07 09:26:31:278,1,449,5,0,395,3723,449,0 115,0,2024-09-07 09:26:30:577,113854,0.2,114318,0.4,228207,0.2,303240,1.50 115,1,2024-09-07 09:26:30:581,790546,790546,0,0,370750386724,3851356737,784048,5230,1268,382,391757,0 115,2,2024-09-07 09:26:31:125,567934,567934,0,0,21359733,0,4382 115,3,2024-09-07 09:26:31:005,1,449,0,0,159,2453,449,0 116,0,2024-09-07 09:26:31:747,113370,0.7,113358,0.8,227219,0.7,303923,2.00 116,1,2024-09-07 09:26:30:804,786400,786400,0,0,369734715002,3889578806,777774,6298,2328,380,392089,0 116,2,2024-09-07 09:26:31:759,565055,565055,0,0,27096998,0,4128 116,3,2024-09-07 09:26:30:914,1,449,1,0,415,5148,449,0 117,0,2024-09-07 09:26:30:954,114809,0.8,114167,0.8,228758,0.9,305412,2.00 117,1,2024-09-07 09:26:31:586,787459,787459,0,0,368872536511,3855565505,779692,6851,916,369,392033,0 117,2,2024-09-07 09:26:31:117,571507,571507,0,0,23249019,0,4303 117,3,2024-09-07 09:26:31:069,1,449,3,0,490,6593,449,0 118,0,2024-09-07 09:26:31:892,105383,0.5,108101,0.6,220843,0.4,288510,2.00 118,1,2024-09-07 09:26:30:614,787179,787179,0,0,368822919229,3875385985,775259,8919,3001,366,391907,0 118,2,2024-09-07 09:26:31:614,569189,569189,0,0,25524871,0,2842 118,3,2024-09-07 09:26:31:765,1,449,0,0,248,5128,449,0 119,0,2024-09-07 09:26:31:366,112024,0.5,112317,0.7,224720,0.4,299596,2.00 119,1,2024-09-07 09:26:30:548,788286,788286,0,0,369867899055,3865947208,779789,7379,1118,367,391857,0 119,2,2024-09-07 09:26:31:261,569200,569200,0,0,24161987,0,4174 119,3,2024-09-07 09:26:31:349,1,449,3,0,1358,8566,449,0 120,0,2024-09-07 09:26:31:595,113163,0.6,112864,0.8,226397,0.6,301914,2.25 120,1,2024-09-07 09:26:30:862,788232,788232,0,0,369409330652,3871846759,779850,7612,770,368,392144,0 120,2,2024-09-07 09:26:30:770,564789,564788,1,0,27386031,0,5281 120,3,2024-09-07 09:26:31:291,1,449,12,0,279,5468,449,0 121,0,2024-09-07 09:26:31:708,115083,1.4,114758,1.1,230006,1.9,306443,2.50 121,1,2024-09-07 09:26:31:655,788279,788279,0,0,369865083328,3861411923,781523,6294,462,367,391840,0 121,2,2024-09-07 09:26:31:139,565990,565990,0,0,26360836,0,4127 121,3,2024-09-07 09:26:30:735,1,449,4,0,269,4855,449,0 122,0,2024-09-07 09:26:31:801,111010,0.7,108243,0.8,227106,0.8,297520,2.00 122,1,2024-09-07 09:26:30:859,787047,787047,0,0,369580603319,3873061628,776596,8847,1604,366,392130,0 122,2,2024-09-07 09:26:31:333,571625,571552,73,0,29299734,0,5989 122,3,2024-09-07 09:26:30:603,1,449,1,0,512,7897,449,0 123,0,2024-09-07 09:26:30:964,109377,0.5,106685,0.7,223602,0.5,292718,2.00 123,1,2024-09-07 09:26:30:578,786887,786887,0,0,370043201508,3893025381,773334,11109,2444,369,392039,0 123,2,2024-09-07 09:26:31:019,567934,567933,1,0,24764121,0,5215 123,3,2024-09-07 09:26:31:144,1,449,1,0,478,5159,449,0 124,0,2024-09-07 09:26:30:936,117557,0.3,117571,0.5,221536,0.3,304223,1.75 124,1,2024-09-07 09:26:31:029,789952,789952,0,0,370862642760,3851342502,784663,4238,1051,367,392178,0 124,2,2024-09-07 09:26:31:019,565687,565634,53,0,22452206,0,6487 124,3,2024-09-07 09:26:30:759,1,449,1,0,490,4238,449,0 125,0,2024-09-07 09:26:31:435,114901,0.4,114757,0.6,230251,0.4,306787,1.75 125,1,2024-09-07 09:26:30:869,788395,788395,0,0,370572801662,3865908248,782476,5246,673,382,391702,0 125,2,2024-09-07 09:26:31:127,567131,567131,0,0,23337333,0,4534 125,3,2024-09-07 09:26:31:148,1,449,1,0,709,5453,449,0 126,0,2024-09-07 09:26:31:426,113051,0.4,116256,0.6,222482,0.4,301141,1.75 126,1,2024-09-07 09:26:30:565,790553,790553,0,0,371336857099,3842760581,786542,3717,294,365,391987,0 126,2,2024-09-07 09:26:30:619,572881,572881,0,0,24174926,0,4539 126,3,2024-09-07 09:26:30:914,1,449,1,0,268,5335,449,0 127,0,2024-09-07 09:26:31:599,108119,0.3,108335,0.5,216381,0.2,287958,1.50 127,1,2024-09-07 09:26:30:586,789550,789550,0,0,370364601377,3849170750,782197,6131,1222,364,392187,0 127,2,2024-09-07 09:26:30:640,569246,569246,0,0,21813566,0,3897 127,3,2024-09-07 09:26:31:266,1,449,1,0,968,4668,449,0 128,0,2024-09-07 09:26:31:535,114052,0.3,114014,0.4,227890,0.2,303367,1.50 128,1,2024-09-07 09:26:31:612,788819,788819,0,0,370320818523,3842015548,783902,4484,433,367,392031,0 128,2,2024-09-07 09:26:31:383,566562,566562,0,0,21061701,0,3171 128,3,2024-09-07 09:26:30:767,1,449,0,0,1082,6845,449,0 129,0,2024-09-07 09:26:30:997,115630,0.3,115052,0.5,230361,0.3,306740,1.75 129,1,2024-09-07 09:26:30:573,787345,787345,0,0,369567749452,3870153984,779627,6046,1672,379,391962,0 129,2,2024-09-07 09:26:30:688,566872,566872,0,0,22641743,0,4031 129,3,2024-09-07 09:26:30:688,1,449,1,0,506,6418,449,0 130,0,2024-09-07 09:26:31:716,114504,0.5,114014,0.6,229327,0.5,304330,1.75 130,1,2024-09-07 09:26:30:591,789998,789998,0,0,370994931622,3852457096,785958,3701,339,381,391825,0 130,2,2024-09-07 09:26:31:134,571592,571592,0,0,23134721,0,4067 130,3,2024-09-07 09:26:31:295,1,449,6,0,960,6814,449,0 131,0,2024-09-07 09:26:32:064,107941,0.3,108398,0.5,217619,0.3,288760,1.75 131,1,2024-09-07 09:26:31:842,789335,789335,0,0,369804539937,3859690967,783355,4929,1051,381,391865,0 131,2,2024-09-07 09:26:30:574,571590,571590,0,0,21237513,0,3979 131,3,2024-09-07 09:26:31:688,1,449,1,0,392,4901,449,0 132,0,2024-09-07 09:26:31:430,113291,0.4,114242,0.6,227355,0.3,302630,1.75 132,1,2024-09-07 09:26:30:584,785290,785290,0,0,369278683982,3883871422,774146,9033,2111,381,392532,0 132,2,2024-09-07 09:26:30:703,567066,567049,17,0,27500026,0,6451 132,3,2024-09-07 09:26:31:690,1,449,1,0,804,7702,449,0 133,0,2024-09-07 09:26:31:536,110906,0.4,113479,0.6,232876,0.4,302851,1.75 133,1,2024-09-07 09:26:30:589,785844,785844,0,0,369076070589,3885791270,775289,9040,1515,383,391914,0 133,2,2024-09-07 09:26:31:090,565245,565195,50,0,28888229,0,6861 133,3,2024-09-07 09:26:31:297,1,449,6,0,479,4638,449,0 134,0,2024-09-07 09:26:30:956,115588,0.6,115203,0.7,230752,0.6,307540,2.00 134,1,2024-09-07 09:26:30:590,787254,787254,0,0,369495516046,3870690782,777449,7768,2037,366,391718,0 134,2,2024-09-07 09:26:31:760,566032,566008,24,0,26534705,0,6207 134,3,2024-09-07 09:26:30:748,1,449,4,0,739,5586,449,0 135,0,2024-09-07 09:26:31:118,106112,0.7,106104,0.8,225235,0.8,289841,2.00 135,1,2024-09-07 09:26:31:586,787389,787389,0,0,369919094954,3886708143,777414,8599,1376,380,391805,0 135,2,2024-09-07 09:26:30:695,570657,570657,0,0,25910842,0,3981 135,3,2024-09-07 09:26:31:007,1,449,9,0,299,3785,449,0 136,0,2024-09-07 09:26:31:622,111221,0.5,111488,0.7,221719,0.4,296163,2.00 136,1,2024-09-07 09:26:31:467,788369,788369,0,0,369519489341,3870784777,779792,7613,964,381,391685,0 136,2,2024-09-07 09:26:31:135,570743,570743,0,0,24804067,0,3506 136,3,2024-09-07 09:26:31:107,1,449,15,0,637,5128,449,0 137,0,2024-09-07 09:26:30:948,117550,0.6,114496,0.7,224556,0.5,304631,2.00 137,1,2024-09-07 09:26:30:588,786463,786463,0,0,369212347619,3868852407,774901,9202,2360,366,391898,0 137,2,2024-09-07 09:26:31:704,563397,563397,0,0,27317291,0,3185 137,3,2024-09-07 09:26:30:769,1,449,1,0,484,5349,449,0 138,0,2024-09-07 09:26:31:743,114654,1.0,114553,1.0,230092,1.2,305230,2.25 138,1,2024-09-07 09:26:31:688,787599,787599,0,0,370082090285,3875784945,777352,8523,1724,368,391954,0 138,2,2024-09-07 09:26:30:594,565521,565521,0,0,24856370,0,4988 138,3,2024-09-07 09:26:30:626,1,449,17,0,1160,5794,449,0 139,0,2024-09-07 09:26:31:367,111330,1.5,111433,1.1,223431,2.1,298260,2.50 139,1,2024-09-07 09:26:30:597,783611,783611,0,0,367994827304,3905199107,768928,11314,3369,380,392058,0 139,2,2024-09-07 09:26:30:696,568130,568130,0,0,28919761,0,3097 139,3,2024-09-07 09:26:31:664,1,449,5,0,432,5090,449,0 140,0,2024-09-07 09:26:31:590,108982,0.3,108332,0.4,217439,0.2,290001,1.75 140,1,2024-09-07 09:26:31:540,791730,791730,0,0,372464313637,3836965808,788161,3127,442,365,391606,0 140,2,2024-09-07 09:26:30:689,571233,571232,1,0,22018626,0,5036 140,3,2024-09-07 09:26:30:767,1,449,5,0,297,3658,449,0 141,0,2024-09-07 09:26:31:700,114639,0.3,117705,0.5,224836,0.2,304848,1.50 141,1,2024-09-07 09:26:30:859,790666,790666,0,0,371987743941,3860254125,784974,4735,957,379,391614,0 141,2,2024-09-07 09:26:31:688,565003,565003,0,0,21774994,0,3360 141,3,2024-09-07 09:26:31:043,1,449,1,0,391,4981,449,0 142,0,2024-09-07 09:26:31:311,115717,0.3,115087,0.5,230227,0.3,307222,1.75 142,1,2024-09-07 09:26:30:586,789406,789406,0,0,370580905014,3859113853,784708,4299,399,382,392102,0 142,2,2024-09-07 09:26:31:311,565822,565790,32,0,23822508,0,6028 142,3,2024-09-07 09:26:31:747,1,449,0,0,484,4955,449,0 143,0,2024-09-07 09:26:31:373,113096,0.5,113286,0.6,226747,0.4,301448,1.75 143,1,2024-09-07 09:26:30:557,790551,790551,0,0,371255125983,3849830607,785498,4508,545,367,391705,0 143,2,2024-09-07 09:26:30:768,572045,572045,0,0,23131649,0,3123 143,3,2024-09-07 09:26:31:146,1,449,3,0,462,5427,449,0 144,0,2024-09-07 09:26:31:513,104670,0.5,107541,0.8,219268,0.5,287586,2.00 144,1,2024-09-07 09:26:30:590,786224,786224,0,0,369122049540,3871258667,778445,5944,1835,381,391649,0 144,2,2024-09-07 09:26:31:768,570843,570843,0,0,21693769,0,3673 144,3,2024-09-07 09:26:31:739,1,449,1,0,249,4518,449,0 145,0,2024-09-07 09:26:31:371,109970,0.5,109979,0.7,233471,0.4,300588,2.00 145,1,2024-09-07 09:26:30:555,786346,786346,0,0,369003105344,3876136983,776705,7979,1662,382,391759,0 145,2,2024-09-07 09:26:31:436,563899,563818,81,0,26553219,0,7814 145,3,2024-09-07 09:26:30:895,1,449,1,0,622,6276,449,0 146,0,2024-09-07 09:26:31:601,113722,0.6,113185,0.7,227701,0.6,302412,2.25 146,1,2024-09-07 09:26:31:597,787407,787407,0,0,370201575661,3888801148,775251,9039,3117,367,391770,0 146,2,2024-09-07 09:26:31:704,564450,564450,0,0,25300744,0,3290 146,3,2024-09-07 09:26:31:273,1,449,1,0,1520,8117,449,0 147,0,2024-09-07 09:26:31:700,114578,0.7,114442,0.8,228173,0.7,304805,2.25 147,1,2024-09-07 09:26:31:374,790355,790355,0,0,371113398675,3856621012,783635,5873,847,368,391791,0 147,2,2024-09-07 09:26:31:011,568683,568683,0,0,23010003,0,2968 147,3,2024-09-07 09:26:30:914,1,449,0,0,1626,7581,449,0 0,0,2024-09-07 09:26:41:821,110624,0.6,110546,0.7,234662,0.6,303044,2.00 0,1,2024-09-07 09:26:40:809,789258,789258,0,0,370685647147,3876339245,783780,5088,390,369,391896,0 0,2,2024-09-07 09:26:41:085,568248,568248,0,0,22384072,0,4480 0,3,2024-09-07 09:26:40:982,1,450,81,0,431,6648,450,0 1,0,2024-09-07 09:26:41:753,115213,1.1,114644,1.0,229764,1.5,307043,2.25 1,1,2024-09-07 09:26:40:570,789046,789046,0,0,370053868649,3870231910,782527,5189,1330,370,391859,0 1,2,2024-09-07 09:26:40:647,567640,567640,0,0,22709985,0,3380 1,3,2024-09-07 09:26:41:304,1,450,10,0,268,5452,450,0 2,0,2024-09-07 09:26:41:589,111373,0.6,111416,0.7,222130,0.6,295904,2.00 2,1,2024-09-07 09:26:40:865,791704,791704,0,0,372018445217,3858419821,788412,2997,295,380,391745,0 2,2,2024-09-07 09:26:41:278,573066,573066,0,0,21294760,0,3594 2,3,2024-09-07 09:26:40:690,1,450,1,0,357,4239,450,0 3,0,2024-09-07 09:26:41:748,110269,0.4,109935,0.6,220060,0.3,293451,1.75 3,1,2024-09-07 09:26:41:618,790046,790046,0,0,370534914607,3855755478,783802,5551,693,379,391716,0 3,2,2024-09-07 09:26:41:147,571590,571567,23,0,22417782,0,5851 3,3,2024-09-07 09:26:41:753,1,450,0,0,207,2958,450,0 4,0,2024-09-07 09:26:41:848,110835,0.4,113916,0.5,232306,0.3,303348,1.75 4,1,2024-09-07 09:26:40:597,787280,787280,0,0,369203111701,3897220999,775450,9322,2508,370,391992,0 4,2,2024-09-07 09:26:41:022,564051,564051,0,0,25464633,0,4528 4,3,2024-09-07 09:26:41:037,1,450,2,0,448,5692,450,0 5,0,2024-09-07 09:26:41:465,114978,0.4,115606,0.6,230798,0.4,306702,1.75 5,1,2024-09-07 09:26:40:764,788600,788600,0,0,370689009623,3896776568,778162,8278,2160,367,392005,0 5,2,2024-09-07 09:26:41:840,564709,564709,0,0,25403256,0,3582 5,3,2024-09-07 09:26:41:765,1,450,41,0,457,6134,450,0 6,0,2024-09-07 09:26:40:916,113359,0.4,112943,0.6,225793,0.4,301388,2.00 6,1,2024-09-07 09:26:40:749,790362,790362,0,0,370997696276,3872450797,782146,6823,1393,379,391702,0 6,2,2024-09-07 09:26:41:126,572968,572950,18,0,25348593,0,5535 6,3,2024-09-07 09:26:41:277,1,450,5,0,710,5517,450,0 7,0,2024-09-07 09:26:41:536,107604,0.4,108499,0.6,216002,0.4,287413,1.75 7,1,2024-09-07 09:26:40:857,789467,789467,0,0,370787659402,3884918192,779674,8328,1465,382,391747,0 7,2,2024-09-07 09:26:40:777,571749,571749,0,0,24602458,0,4791 7,3,2024-09-07 09:26:40:861,1,450,32,0,552,5015,450,0 8,0,2024-09-07 09:26:41:340,114151,0.3,113927,0.5,228281,0.3,304628,1.75 8,1,2024-09-07 09:26:41:028,788216,788216,0,0,370122760414,3886685498,776586,8997,2633,366,392853,0 8,2,2024-09-07 09:26:40:826,562048,562048,0,0,28724652,0,3250 8,3,2024-09-07 09:26:40:586,1,450,4,0,538,7128,450,0 9,0,2024-09-07 09:26:41:401,114948,0.4,111861,0.5,233981,0.3,306897,1.75 9,1,2024-09-07 09:26:40:563,787706,787706,0,0,370161083000,3893642817,776622,8663,2421,369,392001,0 9,2,2024-09-07 09:26:41:090,566903,566903,0,0,25607365,0,3360 9,3,2024-09-07 09:26:41:762,1,450,8,0,496,6265,450,0 10,0,2024-09-07 09:26:41:610,113827,0.4,113318,0.5,227558,0.3,302866,1.75 10,1,2024-09-07 09:26:40:583,789525,789525,0,0,370271754239,3877201815,779030,8871,1624,381,391741,0 10,2,2024-09-07 09:26:40:767,571595,571595,0,0,28250584,0,4264 10,3,2024-09-07 09:26:40:875,1,450,1,0,649,4650,450,0 11,0,2024-09-07 09:26:41:021,108152,0.4,104844,0.6,219310,0.4,289289,1.75 11,1,2024-09-07 09:26:40:572,790129,790129,0,0,371018363438,3887297679,778799,8703,2627,383,391756,0 11,2,2024-09-07 09:26:41:124,570771,570771,0,0,24788372,0,4130 11,3,2024-09-07 09:26:41:298,1,450,1,0,843,6259,450,0 12,0,2024-09-07 09:26:40:948,114244,0.3,114262,0.5,228703,0.3,303801,1.75 12,1,2024-09-07 09:26:40:933,789858,789858,0,0,371079396417,3864920992,784281,5074,503,370,391960,0 12,2,2024-09-07 09:26:41:547,567820,567820,0,0,24193972,0,3469 12,3,2024-09-07 09:26:41:062,1,450,5,0,386,6443,450,0 13,0,2024-09-07 09:26:41:362,114926,0.4,114496,0.6,228467,0.4,304477,1.75 13,1,2024-09-07 09:26:41:547,788036,788036,0,0,370262913513,3888112937,780400,6002,1634,382,391740,0 13,2,2024-09-07 09:26:40:608,568839,568839,0,0,22230452,0,3287 13,3,2024-09-07 09:26:41:771,1,450,10,0,522,6439,450,0 14,0,2024-09-07 09:26:40:573,115153,0.4,116134,0.6,229848,0.4,306403,1.75 14,1,2024-09-07 09:26:41:570,793622,793622,0,0,372430422919,3853669049,787396,5516,710,364,391673,0 14,2,2024-09-07 09:26:40:778,569387,569357,30,0,24334106,0,6104 14,3,2024-09-07 09:26:41:122,1,450,1,0,1168,4753,450,0 15,0,2024-09-07 09:26:41:576,109304,0.4,109198,0.6,218907,0.4,290969,2.00 15,1,2024-09-07 09:26:41:615,790903,790903,0,0,370867479285,3859964874,784675,5049,1179,381,391619,0 15,2,2024-09-07 09:26:40:999,573312,573312,0,0,20261285,0,3622 15,3,2024-09-07 09:26:41:408,1,450,76,0,1126,7086,450,0 16,0,2024-09-07 09:26:40:950,111126,0.5,111860,0.7,222869,0.4,296805,2.00 16,1,2024-09-07 09:26:40:576,790602,790602,0,0,370483241574,3870738375,783988,5499,1115,370,391917,0 16,2,2024-09-07 09:26:41:455,570070,570070,0,0,24031593,0,4719 16,3,2024-09-07 09:26:41:169,1,450,7,0,317,5506,450,0 17,0,2024-09-07 09:26:41:853,117492,0.6,114824,0.7,224495,0.6,304556,2.00 17,1,2024-09-07 09:26:40:571,789005,789005,0,0,370840467088,3887229501,781323,6162,1520,368,392075,0 17,2,2024-09-07 09:26:41:668,568789,568789,0,0,23701714,0,3779 17,3,2024-09-07 09:26:40:574,1,450,6,0,518,6977,450,0 18,0,2024-09-07 09:26:40:947,114071,0.7,114600,0.8,228517,0.7,304973,2.25 18,1,2024-09-07 09:26:41:639,792277,792277,0,0,371936611320,3851065244,788272,3625,380,367,391725,0 18,2,2024-09-07 09:26:41:755,569993,569993,0,0,21085669,0,3541 18,3,2024-09-07 09:26:40:897,1,450,0,0,163,3360,450,0 19,0,2024-09-07 09:26:41:545,111978,0.6,112518,0.8,223214,0.6,297208,2.25 19,1,2024-09-07 09:26:40:569,792286,792286,0,0,372489058463,3860343336,786308,5057,921,367,391777,0 19,2,2024-09-07 09:26:41:766,575170,575170,0,0,20178694,0,3988 19,3,2024-09-07 09:26:41:131,1,450,1,0,524,3800,450,0 20,0,2024-09-07 09:26:41:397,108883,0.4,108910,0.6,217535,0.4,290557,2.00 20,1,2024-09-07 09:26:40:580,789559,789559,0,0,371096711826,3877547807,782816,5941,802,369,391922,0 20,2,2024-09-07 09:26:40:944,570610,570610,0,0,24011509,0,3721 20,3,2024-09-07 09:26:40:591,1,450,0,0,414,6595,450,0 21,0,2024-09-07 09:26:41:180,114548,0.4,114638,0.6,229264,0.4,304063,1.75 21,1,2024-09-07 09:26:41:567,787905,787905,0,0,370494483068,3901119939,776924,8500,2481,368,392016,0 21,2,2024-09-07 09:26:41:100,563130,563110,20,0,28975453,0,5617 21,3,2024-09-07 09:26:41:408,1,450,9,0,713,5961,450,0 22,0,2024-09-07 09:26:41:724,115138,0.5,115583,0.7,230871,0.4,306275,2.00 22,1,2024-09-07 09:26:41:024,789163,789163,0,0,370454135224,3888082381,777994,8942,2227,382,391667,0 22,2,2024-09-07 09:26:40:759,565987,565961,26,0,23184253,0,6328 22,3,2024-09-07 09:26:41:080,1,450,2,0,228,3589,450,0 23,0,2024-09-07 09:26:41:407,112926,0.5,112286,0.7,225130,0.5,299949,2.25 23,1,2024-09-07 09:26:41:014,789773,789773,0,0,371244080617,3892698334,776662,9057,4054,365,391690,0 23,2,2024-09-07 09:26:41:112,574478,574478,0,0,22705683,0,3773 23,3,2024-09-07 09:26:41:766,1,450,52,0,720,5667,450,0 24,0,2024-09-07 09:26:40:899,109105,0.4,108389,0.5,217969,0.3,289763,1.75 24,1,2024-09-07 09:26:40:585,788977,788977,0,0,369574815353,3869624038,780962,6420,1595,367,392269,0 24,2,2024-09-07 09:26:41:072,571018,571018,0,0,27242645,0,3607 24,3,2024-09-07 09:26:41:692,1,450,1,0,468,5916,450,0 25,0,2024-09-07 09:26:41:353,116990,0.4,113919,0.5,223543,0.3,304326,1.75 25,1,2024-09-07 09:26:40:565,788721,788721,0,0,370639506062,3900283461,776688,9782,2251,371,391928,0 25,2,2024-09-07 09:26:41:637,565129,565129,0,0,27861756,0,3978 25,3,2024-09-07 09:26:41:001,1,450,19,0,532,4960,450,0 26,0,2024-09-07 09:26:41:719,113866,0.4,111236,0.6,233545,0.4,304015,1.75 26,1,2024-09-07 09:26:41:550,790855,790855,0,0,370803434093,3883309946,779219,9227,2409,380,391748,0 26,2,2024-09-07 09:26:40:864,567653,567653,0,0,27866604,0,2809 26,3,2024-09-07 09:26:41:718,1,450,9,0,796,5227,450,0 27,0,2024-09-07 09:26:41:733,114803,0.5,114882,0.6,228678,0.4,305137,2.25 27,1,2024-09-07 09:26:41:677,791731,791731,0,0,372164730591,3872482175,784460,6369,902,381,391626,0 27,2,2024-09-07 09:26:40:878,568106,568041,65,0,25464029,0,5699 27,3,2024-09-07 09:26:41:022,1,450,7,0,564,4152,450,0 28,0,2024-09-07 09:26:41:395,108984,0.4,108668,0.6,217952,0.3,290632,1.75 28,1,2024-09-07 09:26:40:820,791053,791053,0,0,371738025563,3876357972,783856,5498,1699,382,391698,0 28,2,2024-09-07 09:26:41:764,571452,571452,0,0,23314837,0,2915 28,3,2024-09-07 09:26:41:781,1,450,4,0,502,4769,450,0 29,0,2024-09-07 09:26:41:365,116044,0.3,113073,0.5,221627,0.2,302241,1.75 29,1,2024-09-07 09:26:41:568,793427,793427,0,0,372569314025,3852269720,788555,4137,735,368,391809,0 29,2,2024-09-07 09:26:40:865,568998,568998,0,0,22032750,0,4986 29,3,2024-09-07 09:26:40:963,1,450,8,0,459,5150,450,0 30,0,2024-09-07 09:26:41:470,113479,0.5,110275,0.7,230960,0.5,301917,2.00 30,1,2024-09-07 09:26:40:579,792132,792132,0,0,372665044701,3866934100,786060,5305,767,381,391672,0 30,2,2024-09-07 09:26:41:278,567480,567480,0,0,21463954,0,4192 30,3,2024-09-07 09:26:40:582,1,450,29,0,519,4434,450,0 31,0,2024-09-07 09:26:41:773,114868,0.5,115240,0.7,230277,0.4,307048,2.00 31,1,2024-09-07 09:26:40:576,795884,795884,0,0,373309980021,3824145144,792778,2520,586,356,391712,0 31,2,2024-09-07 09:26:41:278,567371,567371,0,0,23233247,0,3525 31,3,2024-09-07 09:26:41:706,1,450,2,0,220,3809,450,0 32,0,2024-09-07 09:26:41:429,111713,0.3,112312,0.5,223654,0.2,297650,1.50 32,1,2024-09-07 09:26:40:807,791980,791980,0,0,372007785966,3866924015,786856,4442,682,381,391646,0 32,2,2024-09-07 09:26:40:934,573576,573576,0,0,21040284,0,3922 32,3,2024-09-07 09:26:41:021,1,450,0,0,304,3446,450,0 33,0,2024-09-07 09:26:41:517,110423,0.2,110064,0.4,220576,0.2,293825,1.50 33,1,2024-09-07 09:26:40:576,792609,792609,0,0,372430705174,3858826756,786092,5412,1105,368,391730,0 33,2,2024-09-07 09:26:40:758,571955,571920,35,0,23371626,0,7012 33,3,2024-09-07 09:26:40:895,1,450,1,0,329,4184,450,0 34,0,2024-09-07 09:26:40:928,114603,0.3,117695,0.4,224981,0.2,302724,1.75 34,1,2024-09-07 09:26:41:048,793820,793820,0,0,373395886412,3836809619,792071,1737,12,367,391562,0 34,2,2024-09-07 09:26:40:766,567162,567162,0,0,21567728,0,4562 34,3,2024-09-07 09:26:41:688,1,450,2,0,299,3300,450,0 35,0,2024-09-07 09:26:40:880,114497,0.3,115091,0.5,231243,0.3,307510,1.75 35,1,2024-09-07 09:26:41:070,791684,791684,0,0,372146334437,3854914806,787103,3722,859,382,391769,0 35,2,2024-09-07 09:26:41:608,567134,567134,0,0,23520319,0,4055 35,3,2024-09-07 09:26:40:907,1,450,1,0,418,4542,450,0 36,0,2024-09-07 09:26:41:582,113569,0.5,113337,0.6,226738,0.4,301884,2.00 36,1,2024-09-07 09:26:40:583,791277,791277,0,0,370861421294,3868684013,781603,7672,2002,366,391759,0 36,2,2024-09-07 09:26:41:754,574106,574106,0,0,24609417,0,3875 36,3,2024-09-07 09:26:40:864,1,450,11,0,416,6171,450,0 37,0,2024-09-07 09:26:41:376,107773,0.4,107968,0.6,215641,0.4,287704,2.00 37,1,2024-09-07 09:26:40:575,790450,790443,0,7,370428608488,3864002222,781615,6551,2277,365,391770,0 37,2,2024-09-07 09:26:41:148,569577,569562,15,0,24560699,0,5815 37,3,2024-09-07 09:26:41:766,1,450,9,0,888,6635,450,0 38,0,2024-09-07 09:26:41:462,113426,0.4,110119,0.6,230353,0.3,302259,2.00 38,1,2024-09-07 09:26:41:605,791400,791400,0,0,371164127600,3874925661,780648,8591,2161,368,391821,0 38,2,2024-09-07 09:26:40:765,565830,565783,47,0,24081769,0,6710 38,3,2024-09-07 09:26:41:008,1,450,7,0,689,5503,450,0 39,0,2024-09-07 09:26:41:760,117572,0.6,115099,0.7,224301,0.6,306053,2.00 39,1,2024-09-07 09:26:40:716,790280,790280,0,0,371103110624,3885348661,777834,9755,2691,365,391658,0 39,2,2024-09-07 09:26:41:445,567998,567998,0,0,23075790,0,3391 39,3,2024-09-07 09:26:40:713,1,450,1,0,324,4988,450,0 40,0,2024-09-07 09:26:41:494,112752,0.8,113355,1.0,226068,0.9,301399,2.75 40,1,2024-09-07 09:26:40:587,790824,790824,0,0,370623763159,3876525372,780001,8779,2044,368,391668,0 40,2,2024-09-07 09:26:41:304,570946,570945,1,0,26728554,0,5137 40,3,2024-09-07 09:26:41:146,1,450,1,0,1028,6033,450,0 41,0,2024-09-07 09:26:41:036,107731,1.2,110145,1.2,210042,1.8,285990,2.75 41,1,2024-09-07 09:26:40:775,789272,789272,0,0,370841206576,3873627088,780088,8144,1040,369,391742,0 41,2,2024-09-07 09:26:40:760,569362,569362,0,0,25566489,0,4277 41,3,2024-09-07 09:26:41:689,1,450,12,0,366,4539,450,0 42,0,2024-09-07 09:26:41:539,113109,0.7,112914,0.9,226095,0.7,299615,2.50 42,1,2024-09-07 09:26:41:565,787712,787712,0,0,370031680388,3883423491,775623,10058,2031,380,391675,0 42,2,2024-09-07 09:26:41:137,567371,567371,0,0,25633324,0,3790 42,3,2024-09-07 09:26:41:009,1,450,1,0,892,4298,450,0 43,0,2024-09-07 09:26:40:916,113009,0.7,109998,0.9,230276,0.8,301792,2.25 43,1,2024-09-07 09:26:40:576,791127,791127,0,0,371595473389,3877553617,780745,8643,1739,366,391696,0 43,2,2024-09-07 09:26:41:741,567507,567507,0,0,25251124,0,3812 43,3,2024-09-07 09:26:41:749,1,450,1,0,548,5780,450,0 44,0,2024-09-07 09:26:40:863,115265,0.5,115416,0.6,231274,0.4,307018,2.00 44,1,2024-09-07 09:26:40:573,792363,792363,0,0,371623169124,3838530373,785861,5184,1318,356,391809,0 44,2,2024-09-07 09:26:41:284,567843,567843,0,0,21416472,0,4344 44,3,2024-09-07 09:26:41:101,1,450,1,0,817,5130,450,0 45,0,2024-09-07 09:26:41:763,108235,0.5,105665,0.7,221717,0.4,291589,2.00 45,1,2024-09-07 09:26:41:012,791745,791745,0,0,372082365866,3863484191,786083,5088,574,382,391917,0 45,2,2024-09-07 09:26:41:285,572856,572856,0,0,21670317,0,3596 45,3,2024-09-07 09:26:40:945,1,450,0,0,271,3789,450,0 46,0,2024-09-07 09:26:40:952,110947,0.4,110587,0.6,221778,0.4,294935,2.00 46,1,2024-09-07 09:26:40:575,793345,793345,0,0,372231662295,3842490568,788628,4125,592,366,391709,0 46,2,2024-09-07 09:26:40:593,571154,571154,0,0,21813316,0,4443 46,3,2024-09-07 09:26:41:136,1,450,1,0,908,5505,450,0 47,0,2024-09-07 09:26:41:113,114370,0.4,114622,0.6,229299,0.4,303584,1.75 47,1,2024-09-07 09:26:40:567,794021,794021,0,0,371578642719,3837745483,789144,4039,838,366,391641,0 47,2,2024-09-07 09:26:40:908,568814,568814,0,0,21316425,0,4477 47,3,2024-09-07 09:26:41:122,1,450,2,0,600,5316,450,0 48,0,2024-09-07 09:26:41:520,115772,0.3,115761,0.4,230345,0.2,307005,1.50 48,1,2024-09-07 09:26:41:038,792003,792003,0,0,372395539596,3864820310,787288,4259,456,384,391710,0 48,2,2024-09-07 09:26:40:699,567623,567623,0,0,20111099,0,3411 48,3,2024-09-07 09:26:40:756,1,450,21,0,339,3547,450,0 49,0,2024-09-07 09:26:41:727,115310,0.3,113403,0.5,219996,0.3,300996,1.75 49,1,2024-09-07 09:26:41:023,791384,791384,0,0,371509172804,3862573934,786225,3952,1207,382,391809,0 49,2,2024-09-07 09:26:41:814,573770,573770,0,0,22252158,0,4426 49,3,2024-09-07 09:26:41:448,1,450,1,0,992,5270,450,0 50,0,2024-09-07 09:26:41:517,109602,0.3,107973,0.4,217723,0.2,290503,1.75 50,1,2024-09-07 09:26:41:014,793854,793854,0,0,373280974792,3856913133,788921,4359,574,368,391565,0 50,2,2024-09-07 09:26:41:071,571404,571404,0,0,20315347,0,4490 50,3,2024-09-07 09:26:41:296,1,450,39,0,567,4423,450,0 51,0,2024-09-07 09:26:41:699,117327,0.3,115123,0.4,224362,0.2,305588,1.75 51,1,2024-09-07 09:26:41:690,794109,794109,0,0,373452203981,3848785436,790150,2910,1049,365,391706,0 51,2,2024-09-07 09:26:41:339,566293,566293,0,0,19202631,0,3337 51,3,2024-09-07 09:26:41:027,1,450,2,0,678,3590,450,0 52,0,2024-09-07 09:26:41:421,115536,0.5,115375,0.7,231007,0.5,307092,2.00 52,1,2024-09-07 09:26:40:582,790732,790732,0,0,371164541501,3878882918,780210,9113,1409,368,391722,0 52,2,2024-09-07 09:26:41:772,564263,564225,38,0,25638530,0,6742 52,3,2024-09-07 09:26:40:674,1,450,1,0,1782,5945,450,0 53,0,2024-09-07 09:26:41:732,112445,0.6,109191,0.8,228473,0.7,299749,2.25 53,1,2024-09-07 09:26:40:779,788955,788955,0,0,371146662350,3887520273,777020,8974,2961,367,391702,0 53,2,2024-09-07 09:26:41:306,573360,573359,1,0,23367193,0,5455 53,3,2024-09-07 09:26:40:697,1,450,83,0,308,4175,450,0 54,0,2024-09-07 09:26:41:638,106854,0.5,107282,0.7,213358,0.4,285765,2.25 54,1,2024-09-07 09:26:40:601,791110,791110,0,0,371560131420,3858360523,783617,6252,1241,366,391659,0 54,2,2024-09-07 09:26:40:864,571926,571894,32,0,26724987,0,6397 54,3,2024-09-07 09:26:40:777,1,450,2,0,676,6303,450,0 55,0,2024-09-07 09:26:41:761,110161,0.5,113643,0.6,230496,0.4,300054,2.25 55,1,2024-09-07 09:26:40:777,791752,791752,0,0,371171167094,3852657294,784114,6543,1095,365,391731,0 55,2,2024-09-07 09:26:40:729,566306,566250,56,0,25495188,0,7239 55,3,2024-09-07 09:26:40:674,1,450,1,0,304,4372,450,0 56,0,2024-09-07 09:26:41:561,116415,1.3,109807,1.1,226330,1.8,303163,2.75 56,1,2024-09-07 09:26:40:570,787350,787350,0,0,370024216343,3901975121,775823,9153,2374,381,391867,0 56,2,2024-09-07 09:26:41:304,567014,566892,122,0,26279682,0,7432 56,3,2024-09-07 09:26:41:082,1,450,5,0,705,5317,450,0 57,0,2024-09-07 09:26:40:975,112898,1.7,112615,1.3,225975,2.3,302257,3.25 57,1,2024-09-07 09:26:40:994,789663,789663,0,0,369736432233,3869276317,781050,7525,1088,366,392032,0 57,2,2024-09-07 09:26:41:340,570619,570619,0,0,27207518,0,3317 57,3,2024-09-07 09:26:41:741,1,450,6,0,455,5044,450,0 58,0,2024-09-07 09:26:40:577,107205,0.8,104158,0.9,217941,0.9,285700,2.50 58,1,2024-09-07 09:26:40:585,790142,790139,0,3,371793127599,3888920537,779020,8815,2304,367,391603,3 58,2,2024-09-07 09:26:41:089,570709,570709,0,0,25253041,0,2902 58,3,2024-09-07 09:26:41:071,1,450,5,0,1043,4684,450,0 59,0,2024-09-07 09:26:41:751,112346,0.7,111844,0.9,223529,0.7,297216,2.50 59,1,2024-09-07 09:26:40:813,789812,789812,0,0,370814631095,3878804743,779586,8416,1810,369,391653,0 59,2,2024-09-07 09:26:40:592,568594,568594,0,0,25094538,0,3727 59,3,2024-09-07 09:26:41:741,1,450,1,0,1015,5793,450,0 60,0,2024-09-07 09:26:41:722,113712,0.5,113650,0.7,228098,0.5,302793,1.75 60,1,2024-09-07 09:26:40:777,792341,792341,0,0,371866210585,3856944606,787189,4430,722,370,392031,0 60,2,2024-09-07 09:26:41:142,567438,567438,0,0,23397462,0,3811 60,3,2024-09-07 09:26:41:278,1,450,0,0,409,5133,450,0 61,0,2024-09-07 09:26:41:519,114954,0.7,115608,0.8,230270,0.7,306818,2.00 61,1,2024-09-07 09:26:40:787,790252,790252,0,0,371288092123,3885612903,781929,6891,1432,382,392127,0 61,2,2024-09-07 09:26:41:131,567876,567809,67,0,23822923,0,6411 61,3,2024-09-07 09:26:41:695,1,450,8,0,479,5863,450,0 62,0,2024-09-07 09:26:41:717,112171,0.5,114966,0.7,219581,0.5,297455,2.00 62,1,2024-09-07 09:26:41:113,795015,795009,0,6,373483699248,3848328767,791193,3555,261,365,391975,6 62,2,2024-09-07 09:26:41:649,570952,570951,1,0,24072157,0,5555 62,3,2024-09-07 09:26:41:146,1,450,1,0,482,3808,450,0 63,0,2024-09-07 09:26:41:464,110426,0.4,110290,0.5,221243,0.3,294112,1.75 63,1,2024-09-07 09:26:40:809,792505,792499,0,6,371964645042,3859181544,787516,4250,733,381,391800,6 63,2,2024-09-07 09:26:40:768,571087,571087,0,0,21862983,0,4369 63,3,2024-09-07 09:26:41:742,1,450,0,0,667,4470,450,0 64,0,2024-09-07 09:26:41:520,113840,0.5,113953,0.6,227241,0.5,301980,1.75 64,1,2024-09-07 09:26:40:750,791692,791692,0,0,372282735863,3875103255,785008,4981,1703,370,391783,0 64,2,2024-09-07 09:26:41:146,569888,569869,19,0,21653781,0,6121 64,3,2024-09-07 09:26:41:147,1,450,48,0,265,4300,450,0 65,0,2024-09-07 09:26:41:717,114298,0.7,114538,0.8,228748,0.7,304957,2.00 65,1,2024-09-07 09:26:40:890,789847,789847,0,0,371026153267,3874269224,784729,4578,540,381,391901,0 65,2,2024-09-07 09:26:41:701,567215,567215,0,0,25679023,0,3367 65,3,2024-09-07 09:26:41:696,1,450,8,0,782,5460,450,0 66,0,2024-09-07 09:26:41:788,112946,0.5,112752,0.7,225507,0.5,300306,2.25 66,1,2024-09-07 09:26:41:297,791770,791770,0,0,371190695876,3864179590,786270,4974,526,380,391743,0 66,2,2024-09-07 09:26:41:136,575181,575181,0,0,22491779,0,4956 66,3,2024-09-07 09:26:41:080,1,450,1,0,291,3778,450,0 67,0,2024-09-07 09:26:41:416,108362,0.4,108159,0.6,216986,0.4,288579,2.00 67,1,2024-09-07 09:26:40:778,792042,792041,0,1,372003965908,3871856730,786683,4564,794,380,391787,1 67,2,2024-09-07 09:26:40:582,573751,573751,0,0,21440960,0,3622 67,3,2024-09-07 09:26:41:755,1,450,1,0,392,4361,450,0 68,0,2024-09-07 09:26:40:590,114287,0.5,114264,0.7,227387,0.5,304367,2.00 68,1,2024-09-07 09:26:40:571,788991,788991,0,0,369955802675,3886339690,778926,7119,2946,381,391953,0 68,2,2024-09-07 09:26:41:048,563503,563403,100,0,28193369,0,8578 68,3,2024-09-07 09:26:40:745,1,450,1,0,417,5022,450,0 69,0,2024-09-07 09:26:41:745,114341,0.7,115099,0.8,229305,0.8,304610,2.25 69,1,2024-09-07 09:26:41:027,788192,788192,0,0,370026766826,3894224367,778416,7505,2271,384,391994,0 69,2,2024-09-07 09:26:41:741,566590,566561,29,0,29982469,0,6912 69,3,2024-09-07 09:26:40:765,1,450,1,0,698,6757,450,0 70,0,2024-09-07 09:26:41:538,112624,1.0,112944,1.1,227173,0.9,300769,2.50 70,1,2024-09-07 09:26:40:807,792209,792209,0,0,372354207255,3860369784,786227,5321,661,366,391725,0 70,2,2024-09-07 09:26:41:330,571807,571807,0,0,25038586,0,4323 70,3,2024-09-07 09:26:40:747,1,450,0,0,854,5039,450,0 71,0,2024-09-07 09:26:41:407,107251,0.7,107001,0.9,214994,0.8,287274,2.50 71,1,2024-09-07 09:26:41:604,790656,790656,0,0,370898144780,3864132700,782212,7641,803,368,391738,0 71,2,2024-09-07 09:26:41:071,570425,570425,0,0,24948762,0,4352 71,3,2024-09-07 09:26:41:753,1,450,1,0,644,5681,450,0 72,0,2024-09-07 09:26:41:028,117216,0.5,114700,0.7,223615,0.4,304048,2.00 72,1,2024-09-07 09:26:41:021,789303,789303,0,0,370738571894,3884536186,778433,8860,2010,369,391819,0 72,2,2024-09-07 09:26:41:777,566867,566867,0,0,27263084,0,3983 72,3,2024-09-07 09:26:41:756,1,450,3,0,564,6612,450,0 73,0,2024-09-07 09:26:41:126,111178,0.4,113969,0.6,232895,0.4,302681,2.00 73,1,2024-09-07 09:26:40:778,791299,791299,0,0,371674396782,3857261529,785952,4937,410,367,391858,0 73,2,2024-09-07 09:26:41:744,567074,567074,0,0,26730879,0,3701 73,3,2024-09-07 09:26:40:974,1,450,2,0,486,5800,450,0 74,0,2024-09-07 09:26:41:323,116085,0.5,118742,0.7,226311,0.5,307305,2.25 74,1,2024-09-07 09:26:40:638,790359,790359,0,0,371123070040,3870036563,782388,6376,1595,381,391762,0 74,2,2024-09-07 09:26:41:012,568393,568393,0,0,24518379,0,4253 74,3,2024-09-07 09:26:41:446,1,450,1,0,522,5614,450,0 75,0,2024-09-07 09:26:41:763,110081,0.5,109349,0.7,219465,0.4,292590,2.25 75,1,2024-09-07 09:26:41:592,791043,791043,0,0,371182555066,3867322538,784188,6027,828,380,391739,0 75,2,2024-09-07 09:26:41:400,570978,570978,0,0,27381540,0,4766 75,3,2024-09-07 09:26:41:074,1,450,1,0,702,5772,450,0 76,0,2024-09-07 09:26:40:602,110898,0.5,110087,0.7,220934,0.4,295673,2.25 76,1,2024-09-07 09:26:40:819,790692,790692,0,0,370456963479,3861997965,785258,4608,826,382,391692,0 76,2,2024-09-07 09:26:41:068,572588,572587,1,0,23942719,0,5144 76,3,2024-09-07 09:26:41:146,1,450,1,0,175,4124,450,0 77,0,2024-09-07 09:26:41:699,113697,0.5,114065,0.7,228305,0.5,302602,2.00 77,1,2024-09-07 09:26:40:827,791276,791276,0,0,371470288697,3873259844,785436,5333,507,381,391869,0 77,2,2024-09-07 09:26:41:286,566380,566380,0,0,23382411,0,3890 77,3,2024-09-07 09:26:41:104,1,450,35,0,401,4909,450,0 78,0,2024-09-07 09:26:41:716,115455,0.5,114903,0.6,230634,0.4,305530,2.00 78,1,2024-09-07 09:26:40:610,791886,791886,0,0,370816875346,3859796040,784015,6302,1569,367,391670,0 78,2,2024-09-07 09:26:41:409,568474,568461,13,0,22164348,0,8313 78,3,2024-09-07 09:26:41:143,1,450,3,0,181,3761,450,0 79,0,2024-09-07 09:26:41:368,108603,0.4,111217,0.6,227684,0.3,296040,2.25 79,1,2024-09-07 09:26:40:575,792920,792920,0,0,371609193559,3849570757,786291,5320,1309,367,391682,0 79,2,2024-09-07 09:26:41:078,573781,573781,0,0,21675461,0,4195 79,3,2024-09-07 09:26:40:749,1,450,0,0,418,5508,450,0 80,0,2024-09-07 09:26:41:115,108901,0.5,112008,0.6,214202,0.4,290044,2.00 80,1,2024-09-07 09:26:41:625,790886,790886,0,0,371265516095,3864954108,785291,5172,423,368,392269,0 80,2,2024-09-07 09:26:41:109,573457,573457,0,0,22018622,0,4433 80,3,2024-09-07 09:26:40:575,1,450,3,0,190,5088,450,0 81,0,2024-09-07 09:26:41:551,114641,0.5,117411,0.7,224140,0.5,304333,2.00 81,1,2024-09-07 09:26:41:668,789780,789780,0,0,370316360376,3867020432,783764,5475,541,382,391879,0 81,2,2024-09-07 09:26:41:132,564867,564804,63,0,24234033,0,5932 81,3,2024-09-07 09:26:41:132,1,450,8,0,719,5307,450,0 82,0,2024-09-07 09:26:41:537,114974,0.5,115105,0.7,230870,0.5,306459,2.00 82,1,2024-09-07 09:26:40:583,792419,792415,0,4,371637708689,3863463132,787715,3881,819,381,391768,4 82,2,2024-09-07 09:26:41:691,568646,568646,0,0,20755337,0,4484 82,3,2024-09-07 09:26:41:766,1,450,1,0,363,4616,450,0 83,0,2024-09-07 09:26:41:530,113204,0.6,113191,0.8,225714,0.7,299940,2.25 83,1,2024-09-07 09:26:40:557,790284,790284,0,0,370759002023,3863857030,784751,5083,450,382,391709,0 83,2,2024-09-07 09:26:40:767,573413,573413,0,0,21504675,0,3393 83,3,2024-09-07 09:26:40:753,1,450,1,0,1260,5651,450,0 84,0,2024-09-07 09:26:41:814,107867,0.6,107835,0.8,214863,0.5,288233,2.25 84,1,2024-09-07 09:26:41:040,790007,790007,0,0,370866395647,3869981703,782297,6666,1044,367,391967,0 84,2,2024-09-07 09:26:40:579,570217,570187,30,0,28731478,0,5971 84,3,2024-09-07 09:26:41:147,1,450,72,0,908,6620,450,0 85,0,2024-09-07 09:26:41:015,109823,0.5,109774,0.7,232929,0.5,301575,2.00 85,1,2024-09-07 09:26:40:560,787676,787676,0,0,369813281323,3896304293,776850,8905,1921,381,392006,0 85,2,2024-09-07 09:26:40:868,565696,565696,0,0,26548739,0,3656 85,3,2024-09-07 09:26:40:686,1,450,5,0,789,5292,450,0 86,0,2024-09-07 09:26:40:895,113762,0.6,117241,0.8,224312,0.7,303620,2.25 86,1,2024-09-07 09:26:40:823,790109,790109,0,0,371369129280,3884131982,781420,7246,1443,366,391961,0 86,2,2024-09-07 09:26:40:857,565428,565427,1,0,28832991,0,5004 86,3,2024-09-07 09:26:40:590,1,450,36,0,308,6330,450,0 87,0,2024-09-07 09:26:41:288,114527,1.0,114273,0.9,228668,1.3,305542,2.50 87,1,2024-09-07 09:26:40:550,788912,788912,0,0,370467223009,3878255864,778948,8369,1595,366,392076,0 87,2,2024-09-07 09:26:41:086,569692,569686,6,0,25531598,0,6323 87,3,2024-09-07 09:26:41:809,1,450,39,0,473,6865,450,0 88,0,2024-09-07 09:26:41:450,108646,0.4,109426,0.6,218136,0.4,290501,1.75 88,1,2024-09-07 09:26:40:574,788613,788613,0,0,369718900795,3868278459,778883,7721,2009,365,392084,0 88,2,2024-09-07 09:26:40:690,571377,571377,0,0,27915067,0,4465 88,3,2024-09-07 09:26:41:270,1,450,8,0,435,5355,450,0 89,0,2024-09-07 09:26:41:774,115928,0.4,112202,0.6,222073,0.3,302192,1.75 89,1,2024-09-07 09:26:40:562,788309,788309,0,0,370403751273,3889053230,779120,7759,1430,382,391866,0 89,2,2024-09-07 09:26:41:137,568806,568806,0,0,26237760,0,3173 89,3,2024-09-07 09:26:41:798,1,450,7,0,468,7620,450,0 90,0,2024-09-07 09:26:41:659,110502,0.5,113582,0.6,231475,0.4,301810,2.00 90,1,2024-09-07 09:26:40:590,789622,789622,0,0,371201604910,3881157248,782838,6269,515,380,391825,0 90,2,2024-09-07 09:26:41:421,565178,565178,0,0,27529783,0,3060 90,3,2024-09-07 09:26:40:930,1,450,1,0,322,5395,450,0 91,0,2024-09-07 09:26:40:928,115845,0.5,112099,0.6,234156,0.5,307508,1.75 91,1,2024-09-07 09:26:40:559,788256,788256,0,0,370723813362,3892709781,778986,7865,1405,381,392047,0 91,2,2024-09-07 09:26:41:353,568823,568823,0,0,24416156,0,2896 91,3,2024-09-07 09:26:40:609,1,450,1,0,216,4327,450,0 92,0,2024-09-07 09:26:41:465,112605,0.4,115402,0.6,220331,0.4,297784,1.75 92,1,2024-09-07 09:26:40:580,790952,790952,0,0,371096049893,3871585432,785403,4823,726,381,392136,0 92,2,2024-09-07 09:26:41:366,573492,573492,0,0,22156314,0,3259 92,3,2024-09-07 09:26:41:010,1,450,45,0,167,3910,450,0 93,0,2024-09-07 09:26:40:955,110886,0.3,113668,0.5,216967,0.3,294242,1.75 93,1,2024-09-07 09:26:40:813,790294,790294,0,0,371213023068,3871989140,782123,6785,1386,366,391776,0 93,2,2024-09-07 09:26:40:928,570952,570952,0,0,26877749,0,4845 93,3,2024-09-07 09:26:41:408,1,450,0,0,190,3990,450,0 94,0,2024-09-07 09:26:41:627,113875,0.3,114810,0.5,229101,0.3,303498,1.75 94,1,2024-09-07 09:26:40:580,790723,790723,0,0,371336103671,3870405080,785918,4595,210,381,391850,0 94,2,2024-09-07 09:26:40:778,566256,566256,0,0,22322976,0,2443 94,3,2024-09-07 09:26:41:690,1,450,3,0,576,5839,450,0 95,0,2024-09-07 09:26:41:352,115226,0.4,115094,0.5,230787,0.3,307478,1.75 95,1,2024-09-07 09:26:40:862,791954,791954,0,0,372430306815,3867988503,785804,5712,438,365,391786,0 95,2,2024-09-07 09:26:41:022,566907,566907,0,0,22263159,0,3308 95,3,2024-09-07 09:26:41:709,1,450,1,0,718,6303,450,0 96,0,2024-09-07 09:26:41:078,113377,0.4,113696,0.5,226873,0.3,301229,1.75 96,1,2024-09-07 09:26:41:604,790400,790400,0,0,370610445589,3864488600,784827,4684,889,384,391955,0 96,2,2024-09-07 09:26:41:285,574433,574433,0,0,23225388,0,4180 96,3,2024-09-07 09:26:41:151,1,450,10,0,411,4965,450,0 97,0,2024-09-07 09:26:41:333,108409,0.3,108001,0.4,216806,0.2,288390,1.50 97,1,2024-09-07 09:26:40:780,791693,791693,0,0,372169013180,3858720408,786525,4233,935,367,392140,0 97,2,2024-09-07 09:26:40:608,571853,571853,0,0,22366276,0,3679 97,3,2024-09-07 09:26:40:581,1,450,1,0,242,5350,450,0 98,0,2024-09-07 09:26:41:698,113610,0.3,113972,0.4,228585,0.2,304236,1.50 98,1,2024-09-07 09:26:40:570,791078,791078,0,0,371283625809,3866183115,786100,4186,792,382,391997,0 98,2,2024-09-07 09:26:40:777,566405,566405,0,0,22319567,0,4336 98,3,2024-09-07 09:26:40:710,1,450,5,0,840,7282,450,0 99,0,2024-09-07 09:26:41:468,114902,0.3,115538,0.5,229739,0.3,307093,1.75 99,1,2024-09-07 09:26:41:729,791455,791455,0,0,370599399380,3855013036,786500,4118,837,381,392069,0 99,2,2024-09-07 09:26:41:447,568592,568592,0,0,26392299,0,4276 99,3,2024-09-07 09:26:40:581,1,450,3,0,606,4790,450,0 100,0,2024-09-07 09:26:41:474,113663,0.8,114001,1.0,227141,0.9,303367,2.50 100,1,2024-09-07 09:26:40:552,787608,787608,0,0,370187180970,3903967849,776864,8692,2052,378,391989,0 100,2,2024-09-07 09:26:41:825,569379,569368,11,0,25770832,0,5417 100,3,2024-09-07 09:26:41:731,1,450,0,0,559,6983,450,0 101,0,2024-09-07 09:26:41:727,110643,0.7,107786,0.9,211323,0.6,289458,2.25 101,1,2024-09-07 09:26:40:557,787941,787941,0,0,369746955593,3883581153,777236,8580,2125,368,391847,0 101,2,2024-09-07 09:26:41:766,567732,567732,0,0,30599130,0,4871 101,3,2024-09-07 09:26:40:948,1,450,1,0,579,5998,450,0 102,0,2024-09-07 09:26:40:939,110501,0.5,113886,0.7,231093,0.5,302662,2.00 102,1,2024-09-07 09:26:41:148,788117,788117,0,0,369746063795,3880138945,778227,8125,1765,369,391891,0 102,2,2024-09-07 09:26:41:743,568686,568632,54,0,24692968,0,6768 102,3,2024-09-07 09:26:41:616,1,450,0,0,466,4849,450,0 103,0,2024-09-07 09:26:41:594,117750,0.5,117685,0.7,221878,0.5,305052,2.00 103,1,2024-09-07 09:26:41:629,787616,787616,0,0,369649382038,3898476737,775575,8975,3066,381,391862,0 103,2,2024-09-07 09:26:40:582,565581,565581,0,0,27428036,0,3766 103,3,2024-09-07 09:26:40:758,1,450,3,0,916,4870,450,0 104,0,2024-09-07 09:26:41:019,114289,0.7,114579,0.9,228096,0.7,305477,2.25 104,1,2024-09-07 09:26:41:623,789462,789462,0,0,370316513610,3884073064,778605,8993,1864,365,392168,0 104,2,2024-09-07 09:26:41:675,567551,567551,0,0,26160907,0,3941 104,3,2024-09-07 09:26:41:444,1,450,43,0,1245,8667,450,0 105,0,2024-09-07 09:26:41:049,108452,0.8,105599,1.0,221432,0.8,290722,2.50 105,1,2024-09-07 09:26:40:558,790977,790977,0,0,371535962372,3887576640,781520,8028,1429,366,392009,0 105,2,2024-09-07 09:26:41:321,570694,570694,0,0,26051893,0,3509 105,3,2024-09-07 09:26:41:304,1,450,8,0,399,6624,450,0 106,0,2024-09-07 09:26:40:950,107516,0.6,110082,0.8,225336,0.6,295120,2.25 106,1,2024-09-07 09:26:41:753,789558,789558,0,0,370542180846,3884927071,778491,9619,1448,368,391914,0 106,2,2024-09-07 09:26:40:760,569564,569564,0,0,25448590,0,2920 106,3,2024-09-07 09:26:40:681,1,450,2,0,470,5574,450,0 107,0,2024-09-07 09:26:41:111,113887,0.8,114139,0.9,228022,0.9,303697,2.25 107,1,2024-09-07 09:26:40:592,787961,787961,0,0,370152106791,3896011529,777722,9185,1054,381,392234,0 107,2,2024-09-07 09:26:41:295,564737,564736,1,0,26373750,0,5024 107,3,2024-09-07 09:26:41:759,1,450,0,0,370,6696,450,0 108,0,2024-09-07 09:26:41:780,114899,0.5,115788,0.6,230201,0.4,306965,1.75 108,1,2024-09-07 09:26:41:298,791059,791059,0,0,372125317738,3877093586,784875,5439,745,367,391857,0 108,2,2024-09-07 09:26:41:782,566552,566552,0,0,24907764,0,4246 108,3,2024-09-07 09:26:41:331,1,450,5,0,749,8098,450,0 109,0,2024-09-07 09:26:41:788,113092,0.4,112193,0.6,224633,0.3,300281,1.75 109,1,2024-09-07 09:26:40:596,787900,787900,0,0,370741194262,3888473623,780452,6261,1187,382,392132,0 109,2,2024-09-07 09:26:40:923,570998,570998,0,0,24474659,0,3617 109,3,2024-09-07 09:26:41:154,1,450,2,0,379,5126,450,0 110,0,2024-09-07 09:26:41:852,108764,0.3,105902,0.5,221888,0.3,290671,1.75 110,1,2024-09-07 09:26:41:665,791857,791857,0,0,371169734092,3849560697,786460,4218,1179,369,392045,0 110,2,2024-09-07 09:26:41:312,571771,571771,0,0,23497571,0,4067 110,3,2024-09-07 09:26:40:690,1,450,3,0,722,6276,450,0 111,0,2024-09-07 09:26:41:420,115068,0.4,114279,0.5,229010,0.3,305566,1.75 111,1,2024-09-07 09:26:41:009,792276,792276,0,0,373331977718,3868746830,788384,3537,355,380,391690,0 111,2,2024-09-07 09:26:41:124,565120,565120,0,0,22910172,0,4823 111,3,2024-09-07 09:26:40:913,1,450,0,0,379,5175,450,0 112,0,2024-09-07 09:26:40:912,115941,0.3,115404,0.4,231270,0.2,307395,1.50 112,1,2024-09-07 09:26:40:828,791770,791770,0,0,371762111180,3856107953,787049,4108,613,380,391624,0 112,2,2024-09-07 09:26:41:137,567071,567070,1,0,22294526,0,5036 112,3,2024-09-07 09:26:40:608,1,450,0,0,282,4298,450,0 113,0,2024-09-07 09:26:40:876,113294,0.3,113288,0.5,227091,0.3,301934,1.75 113,1,2024-09-07 09:26:41:694,794402,794402,0,0,373669156615,3852396965,790506,3307,589,366,391661,0 113,2,2024-09-07 09:26:41:305,574863,574863,0,0,20576220,0,3813 113,3,2024-09-07 09:26:40:685,1,450,2,0,340,4884,450,0 114,0,2024-09-07 09:26:40:885,108673,0.3,109386,0.4,217352,0.2,290982,1.75 114,1,2024-09-07 09:26:40:744,791950,791950,0,0,371781393037,3860150065,785657,4677,1616,381,391565,0 114,2,2024-09-07 09:26:40:875,572646,572645,1,0,21605895,0,5069 114,3,2024-09-07 09:26:41:285,1,450,18,0,395,3741,450,0 115,0,2024-09-07 09:26:40:553,114135,0.2,114602,0.4,228746,0.2,303956,1.50 115,1,2024-09-07 09:26:40:571,792252,792252,0,0,371545149618,3859446391,785754,5230,1268,382,391757,0 115,2,2024-09-07 09:26:41:127,568640,568640,0,0,21365780,0,4382 115,3,2024-09-07 09:26:41:009,1,450,41,0,159,2494,450,0 116,0,2024-09-07 09:26:41:767,113683,0.7,113694,0.8,227820,0.7,304883,2.00 116,1,2024-09-07 09:26:40:804,788242,788242,0,0,370522994394,3897763211,779614,6299,2329,380,392089,0 116,2,2024-09-07 09:26:41:765,566635,566635,0,0,27158130,0,4128 116,3,2024-09-07 09:26:40:912,1,450,1,0,415,5149,450,0 117,0,2024-09-07 09:26:41:015,114950,0.8,114328,0.8,229078,0.9,305899,2.00 117,1,2024-09-07 09:26:41:578,789280,789280,0,0,370000765373,3867360368,781513,6851,916,369,392033,0 117,2,2024-09-07 09:26:41:133,572956,572956,0,0,23303841,0,4303 117,3,2024-09-07 09:26:41:103,1,450,1,0,490,6594,450,0 118,0,2024-09-07 09:26:41:783,105642,0.5,108429,0.6,221453,0.4,289439,2.00 118,1,2024-09-07 09:26:40:584,788881,788881,0,0,369433269408,3881755503,776959,8921,3001,366,391907,0 118,2,2024-09-07 09:26:41:599,570520,570520,0,0,25584667,0,2842 118,3,2024-09-07 09:26:41:772,1,450,1,0,248,5129,450,0 119,0,2024-09-07 09:26:41:354,112493,0.5,112755,0.7,225596,0.4,300701,2.00 119,1,2024-09-07 09:26:40:553,790034,790034,0,0,370781554612,3875379257,781537,7379,1118,367,391857,0 119,2,2024-09-07 09:26:41:262,570064,570064,0,0,24200367,0,4174 119,3,2024-09-07 09:26:41:328,1,450,6,0,1358,8572,450,0 120,0,2024-09-07 09:26:41:556,113285,0.6,112976,0.8,226621,0.6,302232,2.25 120,1,2024-09-07 09:26:40:865,790085,790085,0,0,370267433802,3880791797,781701,7614,770,368,392144,0 120,2,2024-09-07 09:26:40:781,566361,566360,1,0,27430436,0,5281 120,3,2024-09-07 09:26:41:293,1,450,8,0,279,5476,450,0 121,0,2024-09-07 09:26:41:719,115198,1.4,114869,1.1,230246,1.9,306779,2.50 121,1,2024-09-07 09:26:41:658,790107,790107,0,0,371173529431,3874674175,783351,6294,462,367,391840,0 121,2,2024-09-07 09:26:41:127,567266,567266,0,0,26384573,0,4127 121,3,2024-09-07 09:26:40:727,1,450,4,0,269,4859,450,0 122,0,2024-09-07 09:26:41:767,111051,0.7,108290,0.8,227203,0.8,297520,2.00 122,1,2024-09-07 09:26:40:887,788782,788782,0,0,370587917458,3883301152,778331,8847,1604,366,392130,0 122,2,2024-09-07 09:26:41:340,572807,572734,73,0,29335151,0,5989 122,3,2024-09-07 09:26:40:595,1,450,9,0,512,7906,450,0 123,0,2024-09-07 09:26:40:956,109723,0.5,107029,0.7,224285,0.5,293648,2.00 123,1,2024-09-07 09:26:40:557,788676,788676,0,0,370646647056,3899277493,775123,11109,2444,369,392039,0 123,2,2024-09-07 09:26:41:022,569116,569115,1,0,24786761,0,5215 123,3,2024-09-07 09:26:41:132,1,450,0,0,478,5159,450,0 124,0,2024-09-07 09:26:40:923,117655,0.3,117675,0.5,221721,0.3,304473,1.75 124,1,2024-09-07 09:26:41:023,791700,791700,0,0,371666588332,3859503214,786411,4238,1051,367,392178,0 124,2,2024-09-07 09:26:41:011,567007,566954,53,0,22462779,0,6487 124,3,2024-09-07 09:26:40:766,1,450,1,0,490,4239,450,0 125,0,2024-09-07 09:26:41:428,115138,0.4,114999,0.6,230720,0.4,307374,1.75 125,1,2024-09-07 09:26:40:858,790208,790208,0,0,371323277954,3873573019,784289,5246,673,382,391702,0 125,2,2024-09-07 09:26:41:117,568455,568455,0,0,23349944,0,4534 125,3,2024-09-07 09:26:41:130,1,450,3,0,709,5456,450,0 126,0,2024-09-07 09:26:41:449,113370,0.4,116585,0.6,223078,0.4,302071,1.75 126,1,2024-09-07 09:26:40:551,792318,792318,0,0,372342642038,3852922589,788307,3717,294,365,391987,0 126,2,2024-09-07 09:26:40:610,574207,574207,0,0,24185115,0,4539 126,3,2024-09-07 09:26:40:908,1,450,1,0,268,5336,450,0 127,0,2024-09-07 09:26:41:612,108427,0.3,108663,0.5,216996,0.2,288662,1.50 127,1,2024-09-07 09:26:40:569,791292,791292,0,0,371223012514,3857880337,783939,6131,1222,364,392187,0 127,2,2024-09-07 09:26:40:640,570599,570599,0,0,21824115,0,3897 127,3,2024-09-07 09:26:41:282,1,450,1,0,968,4669,450,0 128,0,2024-09-07 09:26:41:574,114516,0.3,114538,0.4,228933,0.2,304885,1.50 128,1,2024-09-07 09:26:41:610,790539,790539,0,0,371049722936,3849461397,785622,4484,433,367,392031,0 128,2,2024-09-07 09:26:41:393,567526,567526,0,0,21074010,0,3171 128,3,2024-09-07 09:26:40:773,1,450,10,0,1082,6855,450,0 129,0,2024-09-07 09:26:40:996,115907,0.3,115383,0.5,231007,0.3,307577,1.75 129,1,2024-09-07 09:26:40:579,789092,789092,0,0,370273645207,3877408031,781373,6047,1672,379,391962,0 129,2,2024-09-07 09:26:40:691,568280,568280,0,0,22658959,0,4031 129,3,2024-09-07 09:26:40:688,1,450,1,0,506,6419,450,0 130,0,2024-09-07 09:26:41:717,114677,0.5,114170,0.6,229671,0.5,304722,1.75 130,1,2024-09-07 09:26:40:583,791774,791774,0,0,372025818750,3862974264,787733,3702,339,381,391825,0 130,2,2024-09-07 09:26:41:132,572954,572954,0,0,23158054,0,4067 130,3,2024-09-07 09:26:41:303,1,450,8,0,960,6822,450,0 131,0,2024-09-07 09:26:41:925,108207,0.3,108635,0.5,218095,0.3,289396,1.75 131,1,2024-09-07 09:26:41:840,791135,791135,0,0,370792066503,3869759622,785155,4929,1051,381,391865,0 131,2,2024-09-07 09:26:40:568,573077,573077,0,0,21268345,0,3979 131,3,2024-09-07 09:26:41:692,1,450,3,0,392,4904,450,0 132,0,2024-09-07 09:26:41:417,113643,0.4,114647,0.6,228140,0.3,303645,1.75 132,1,2024-09-07 09:26:40:576,787214,787214,0,0,370280495584,3894424902,776060,9043,2111,381,392532,0 132,2,2024-09-07 09:26:40:698,567879,567862,17,0,27519352,0,6451 132,3,2024-09-07 09:26:41:694,1,450,3,0,804,7705,450,0 133,0,2024-09-07 09:26:41:545,111069,0.4,113638,0.6,233193,0.4,303303,1.75 133,1,2024-09-07 09:26:40:589,787573,787573,0,0,370206035146,3897307955,777017,9041,1515,383,391914,0 133,2,2024-09-07 09:26:41:102,566842,566792,50,0,28942169,0,6861 133,3,2024-09-07 09:26:41:306,1,450,7,0,479,4645,450,0 134,0,2024-09-07 09:26:40:942,115691,0.6,115289,0.7,230948,0.6,307792,2.00 134,1,2024-09-07 09:26:40:584,789009,789009,0,0,370147905782,3877419485,779204,7768,2037,366,391718,0 134,2,2024-09-07 09:26:41:766,567612,567588,24,0,26614534,0,6207 134,3,2024-09-07 09:26:40:750,1,450,4,0,739,5590,450,0 135,0,2024-09-07 09:26:41:159,106199,0.7,106217,0.8,225439,0.8,290160,2.00 135,1,2024-09-07 09:26:41:593,789170,789170,0,0,370934592318,3897140853,779194,8600,1376,380,391805,0 135,2,2024-09-07 09:26:40:694,571740,571740,0,0,25951565,0,3981 135,3,2024-09-07 09:26:41:011,1,450,67,0,299,3852,450,0 136,0,2024-09-07 09:26:41:642,111728,0.5,111947,0.7,222733,0.4,297526,2.00 136,1,2024-09-07 09:26:41:451,790199,790199,0,0,370463235701,3880403153,781621,7614,964,381,391685,0 136,2,2024-09-07 09:26:41:137,571829,571829,0,0,24817631,0,3506 136,3,2024-09-07 09:26:41:122,1,450,2,0,637,5130,450,0 137,0,2024-09-07 09:26:40:934,117656,0.6,114596,0.7,224782,0.5,304939,2.00 137,1,2024-09-07 09:26:40:578,788228,788228,0,0,369991722367,3877018517,776666,9202,2360,366,391898,0 137,2,2024-09-07 09:26:41:706,565002,565002,0,0,27342333,0,3185 137,3,2024-09-07 09:26:40:776,1,450,2,0,484,5351,450,0 138,0,2024-09-07 09:26:41:748,114774,1.0,114670,1.0,230307,1.2,305483,2.25 138,1,2024-09-07 09:26:41:689,789358,789358,0,0,371032857423,3885435656,779111,8523,1724,368,391954,0 138,2,2024-09-07 09:26:40:588,566878,566878,0,0,24876729,0,4988 138,3,2024-09-07 09:26:40:612,1,450,1,0,1160,5795,450,0 139,0,2024-09-07 09:26:41:388,111537,1.5,111635,1.1,223912,2.1,298768,2.50 139,1,2024-09-07 09:26:40:581,785378,785378,0,0,368910339394,3914557193,770695,11314,3369,380,392058,0 139,2,2024-09-07 09:26:40:692,569225,569225,0,0,28938172,0,3097 139,3,2024-09-07 09:26:41:682,1,450,2,0,432,5092,450,0 140,0,2024-09-07 09:26:41:590,109420,0.3,108761,0.4,218270,0.2,291154,1.75 140,1,2024-09-07 09:26:41:540,793458,793458,0,0,373329728041,3845706521,789889,3127,442,365,391606,0 140,2,2024-09-07 09:26:40:690,572535,572534,1,0,22026593,0,5036 140,3,2024-09-07 09:26:40:776,1,450,1,0,297,3659,450,0 141,0,2024-09-07 09:26:41:701,114840,0.3,117916,0.5,225197,0.2,305140,1.50 141,1,2024-09-07 09:26:40:873,792546,792546,0,0,372949971930,3870014772,786854,4735,957,379,391614,0 141,2,2024-09-07 09:26:41:691,566266,566266,0,0,21782893,0,3360 141,3,2024-09-07 09:26:41:052,1,450,2,0,391,4983,450,0 142,0,2024-09-07 09:26:41:315,116033,0.3,115361,0.5,230822,0.3,308010,1.75 142,1,2024-09-07 09:26:40:585,791137,791137,0,0,371338681059,3866859508,786439,4299,399,382,392102,0 142,2,2024-09-07 09:26:41:304,567105,567073,32,0,23833091,0,6028 142,3,2024-09-07 09:26:41:748,1,450,0,0,484,4955,450,0 143,0,2024-09-07 09:26:41:392,113286,0.5,113536,0.6,227151,0.4,302008,1.75 143,1,2024-09-07 09:26:40:558,792310,792310,0,0,371841008605,3855803665,787257,4508,545,367,391705,0 143,2,2024-09-07 09:26:40:779,573562,573562,0,0,23144185,0,3123 143,3,2024-09-07 09:26:41:147,1,450,2,0,462,5429,450,0 144,0,2024-09-07 09:26:41:507,105056,0.5,107952,0.8,220115,0.5,288768,2.00 144,1,2024-09-07 09:26:40:571,788017,788017,0,0,370080104115,3881061670,780237,5944,1836,381,391649,0 144,2,2024-09-07 09:26:41:781,572290,572290,0,0,21710436,0,3673 144,3,2024-09-07 09:26:41:743,1,450,1,0,249,4519,450,0 145,0,2024-09-07 09:26:41:387,110268,0.5,110253,0.7,234124,0.4,301327,2.00 145,1,2024-09-07 09:26:40:563,788148,788148,0,0,370122305352,3887562773,778507,7979,1662,382,391759,0 145,2,2024-09-07 09:26:41:452,564581,564500,81,0,26571290,0,7814 145,3,2024-09-07 09:26:40:895,1,450,1,0,622,6277,450,0 146,0,2024-09-07 09:26:41:619,114014,0.6,113502,0.7,228332,0.6,303340,2.25 146,1,2024-09-07 09:26:41:609,789239,789239,0,0,370836629468,3895330981,777082,9039,3118,367,391770,0 146,2,2024-09-07 09:26:41:699,565943,565943,0,0,25331973,0,3290 146,3,2024-09-07 09:26:41:286,1,450,2,0,1520,8119,450,0 147,0,2024-09-07 09:26:41:709,114724,0.7,114610,0.8,228503,0.7,305292,2.25 147,1,2024-09-07 09:26:41:383,792161,792161,0,0,372036862583,3865978280,785440,5873,848,368,391791,0 147,2,2024-09-07 09:26:41:029,570260,570260,0,0,23022203,0,2968 147,3,2024-09-07 09:26:40:920,1,450,13,0,1626,7594,450,0 0,0,2024-09-07 09:26:51:784,110738,0.6,110654,0.7,234908,0.6,303352,2.00 0,1,2024-09-07 09:26:50:839,790870,790870,0,0,371617696748,3885960013,785392,5088,390,369,391896,0 0,2,2024-09-07 09:26:51:086,569587,569587,0,0,22429479,0,4480 0,3,2024-09-07 09:26:50:986,1,451,5,0,431,6653,451,0 1,0,2024-09-07 09:26:51:797,115329,1.1,114773,1.0,230008,1.5,307371,2.25 1,1,2024-09-07 09:26:50:571,790810,790810,0,0,370766911007,3877950213,784267,5213,1330,370,391859,0 1,2,2024-09-07 09:26:50:651,569066,569066,0,0,22759451,0,3380 1,3,2024-09-07 09:26:51:313,1,451,10,0,268,5462,451,0 2,0,2024-09-07 09:26:51:579,111386,0.6,111430,0.7,222170,0.6,295904,2.00 2,1,2024-09-07 09:26:50:859,793423,793423,0,0,372802264258,3866642923,790131,2997,295,380,391745,0 2,2,2024-09-07 09:26:51:269,574205,574205,0,0,21339548,0,3594 2,3,2024-09-07 09:26:50:702,1,451,0,0,357,4239,451,0 3,0,2024-09-07 09:26:51:744,110620,0.4,110291,0.6,220734,0.3,294364,1.75 3,1,2024-09-07 09:26:51:619,791633,791633,0,0,371300225097,3863688386,785389,5551,693,379,391716,0 3,2,2024-09-07 09:26:51:142,572578,572555,23,0,22466476,0,5851 3,3,2024-09-07 09:26:51:755,1,451,1,0,207,2959,451,0 4,0,2024-09-07 09:26:51:865,110919,0.4,114010,0.5,232485,0.3,303574,1.75 4,1,2024-09-07 09:26:50:652,788286,788286,0,0,370082216847,3907114153,776234,9467,2585,370,391992,0 4,2,2024-09-07 09:26:51:039,565264,565264,0,0,25563288,0,4528 4,3,2024-09-07 09:26:51:043,1,451,40,0,448,5732,451,0 5,0,2024-09-07 09:26:51:447,115248,0.4,115825,0.6,231248,0.4,307318,1.75 5,1,2024-09-07 09:26:50:796,790500,790500,0,0,371580007998,3906680019,779991,8349,2160,367,392005,0 5,2,2024-09-07 09:26:51:846,565983,565983,0,0,25491332,0,3582 5,3,2024-09-07 09:26:51:775,1,451,9,0,457,6143,451,0 6,0,2024-09-07 09:26:50:942,113668,0.4,113298,0.6,226402,0.4,302295,2.00 6,1,2024-09-07 09:26:50:761,791846,791846,0,0,371605824913,3879133823,783607,6846,1393,379,391702,0 6,2,2024-09-07 09:26:51:131,574206,574188,18,0,25418791,0,5535 6,3,2024-09-07 09:26:51:278,1,451,92,0,710,5609,451,0 7,0,2024-09-07 09:26:51:560,107871,0.4,108768,0.6,216553,0.4,288114,1.75 7,1,2024-09-07 09:26:50:856,791123,791123,0,0,371539147467,3893187837,781297,8361,1465,382,391747,0 7,2,2024-09-07 09:26:50:771,573045,573045,0,0,24667397,0,4791 7,3,2024-09-07 09:26:50:855,1,451,12,0,552,5027,451,0 8,0,2024-09-07 09:26:51:395,114670,0.3,114465,0.5,229385,0.3,306122,1.75 8,1,2024-09-07 09:26:51:037,789979,789979,0,0,370906415513,3895479780,778287,9059,2633,366,392853,0 8,2,2024-09-07 09:26:50:798,563034,563034,0,0,28787795,0,3250 8,3,2024-09-07 09:26:50:586,1,451,2,0,538,7130,451,0 9,0,2024-09-07 09:26:51:136,115242,0.4,112197,0.5,234647,0.3,307748,1.75 9,1,2024-09-07 09:26:50:579,789411,789411,0,0,371145337275,3903818812,778327,8663,2421,369,392001,0 9,2,2024-09-07 09:26:51:096,568152,568152,0,0,25727073,0,3360 9,3,2024-09-07 09:26:51:777,1,451,16,0,496,6281,451,0 10,0,2024-09-07 09:26:51:618,114005,0.4,113478,0.5,227895,0.3,303292,1.75 10,1,2024-09-07 09:26:50:593,791367,791367,0,0,371110631512,3886190694,780849,8894,1624,381,391741,0 10,2,2024-09-07 09:26:50:768,573133,573133,0,0,28376733,0,4264 10,3,2024-09-07 09:26:50:873,1,451,43,0,649,4693,451,0 11,0,2024-09-07 09:26:51:020,108386,0.4,105059,0.6,219789,0.4,289895,1.75 11,1,2024-09-07 09:26:50:574,791949,791949,0,0,372014515011,3897642151,780618,8704,2627,383,391756,0 11,2,2024-09-07 09:26:51:123,572202,572202,0,0,24862101,0,4130 11,3,2024-09-07 09:26:51:306,1,451,9,0,843,6268,451,0 12,0,2024-09-07 09:26:51:242,114665,0.3,114661,0.5,229463,0.3,304802,1.75 12,1,2024-09-07 09:26:50:948,791627,791627,0,0,372051969020,3874889898,786049,5075,503,370,391960,0 12,2,2024-09-07 09:26:51:615,568471,568471,0,0,24210455,0,3469 12,3,2024-09-07 09:26:51:083,1,451,1,0,386,6444,451,0 13,0,2024-09-07 09:26:51:340,115104,0.4,114652,0.6,228786,0.4,304918,1.75 13,1,2024-09-07 09:26:51:568,789808,789808,0,0,371458359574,3900398948,782171,6003,1634,382,391740,0 13,2,2024-09-07 09:26:50:607,570330,570330,0,0,22265367,0,3287 13,3,2024-09-07 09:26:51:765,1,451,7,0,522,6446,451,0 14,0,2024-09-07 09:26:50:561,115246,0.4,116232,0.6,230045,0.4,306644,1.75 14,1,2024-09-07 09:26:51:569,795351,795351,0,0,373334385355,3862863189,789125,5516,710,364,391673,0 14,2,2024-09-07 09:26:50:768,570834,570804,30,0,24381285,0,6104 14,3,2024-09-07 09:26:51:122,1,451,10,0,1168,4763,451,0 15,0,2024-09-07 09:26:51:575,109408,0.4,109319,0.6,219115,0.4,291276,2.00 15,1,2024-09-07 09:26:51:621,792694,792694,0,0,371760424084,3869207148,786465,5050,1179,381,391619,0 15,2,2024-09-07 09:26:51:003,574420,574420,0,0,20282582,0,3622 15,3,2024-09-07 09:26:51:410,1,451,2,0,1126,7088,451,0 16,0,2024-09-07 09:26:50:980,111583,0.5,112368,0.7,223834,0.4,298089,2.00 16,1,2024-09-07 09:26:50:569,792245,792245,0,0,371483985574,3881083224,785630,5500,1115,370,391917,0 16,2,2024-09-07 09:26:51:435,571143,571143,0,0,24078925,0,4719 16,3,2024-09-07 09:26:51:142,1,451,0,0,317,5506,451,0 17,0,2024-09-07 09:26:51:816,117597,0.6,114925,0.7,224707,0.6,304838,2.00 17,1,2024-09-07 09:26:50:573,790658,790658,0,0,371589888722,3895152275,782974,6164,1520,368,392075,0 17,2,2024-09-07 09:26:51:666,570389,570389,0,0,23776284,0,3779 17,3,2024-09-07 09:26:50:580,1,451,14,0,518,6991,451,0 18,0,2024-09-07 09:26:50:946,114177,0.7,114699,0.8,228732,0.7,305223,2.25 18,1,2024-09-07 09:26:51:638,794049,794049,0,0,372729905371,3859434955,790044,3625,380,367,391725,0 18,2,2024-09-07 09:26:51:754,571231,571231,0,0,21153432,0,3541 18,3,2024-09-07 09:26:50:896,1,451,1059,0,1059,4419,451,0 19,0,2024-09-07 09:26:51:556,112196,0.6,112714,0.8,223626,0.6,297737,2.25 19,1,2024-09-07 09:26:50:567,794129,794129,0,0,373425281877,3870597484,788082,5126,921,367,391777,0 19,2,2024-09-07 09:26:51:758,576101,576101,0,0,20289779,0,3988 19,3,2024-09-07 09:26:51:130,1,451,2,0,524,3802,451,0 20,0,2024-09-07 09:26:51:347,109283,0.4,109314,0.6,218390,0.4,291476,2.00 20,1,2024-09-07 09:26:50:591,791334,791334,0,0,372096839389,3888095932,784589,5943,802,369,391922,0 20,2,2024-09-07 09:26:50:936,571908,571908,0,0,24123604,0,3721 20,3,2024-09-07 09:26:50:597,1,451,10,0,414,6605,451,0 21,0,2024-09-07 09:26:51:155,114694,0.4,114756,0.6,229592,0.4,304355,1.75 21,1,2024-09-07 09:26:51:556,789503,789503,0,0,371355154576,3911154384,778303,8701,2499,368,392016,0 21,2,2024-09-07 09:26:51:099,564350,564330,20,0,29075112,0,5617 21,3,2024-09-07 09:26:51:410,1,451,8,0,713,5969,451,0 22,0,2024-09-07 09:26:51:720,115434,0.5,115904,0.7,231448,0.4,306919,2.00 22,1,2024-09-07 09:26:51:022,790905,790905,0,0,371388571419,3898197074,779703,8975,2227,382,391667,0 22,2,2024-09-07 09:26:50:760,567241,567215,26,0,23272096,0,6328 22,3,2024-09-07 09:26:51:067,1,451,1,0,228,3590,451,0 23,0,2024-09-07 09:26:51:376,113152,0.5,112535,0.7,225574,0.5,300499,2.25 23,1,2024-09-07 09:26:51:006,791578,791578,0,0,371978697954,3900613217,778434,9090,4054,365,391690,0 23,2,2024-09-07 09:26:51:094,576050,576050,0,0,22799645,0,3773 23,3,2024-09-07 09:26:51:763,1,451,82,0,720,5749,451,0 24,0,2024-09-07 09:26:50:864,109602,0.4,108848,0.5,218855,0.3,290891,1.75 24,1,2024-09-07 09:26:50:581,790695,790695,0,0,370226989942,3876464626,782679,6421,1595,367,392269,0 24,2,2024-09-07 09:26:51:121,572374,572374,0,0,27319995,0,3607 24,3,2024-09-07 09:26:51:705,1,451,13,0,468,5929,451,0 25,0,2024-09-07 09:26:51:417,117289,0.4,114217,0.5,224095,0.3,305035,1.75 25,1,2024-09-07 09:26:50:573,790373,790373,0,0,371434740663,3908737915,778334,9788,2251,371,391928,0 25,2,2024-09-07 09:26:51:608,565895,565895,0,0,27889074,0,3978 25,3,2024-09-07 09:26:51:223,1,451,10,0,532,4970,451,0 26,0,2024-09-07 09:26:51:736,114187,0.4,111579,0.6,234265,0.4,304974,1.75 26,1,2024-09-07 09:26:51:553,792589,792589,0,0,371591451533,3891675826,780947,9233,2409,380,391748,0 26,2,2024-09-07 09:26:50:863,569135,569135,0,0,27971658,0,2809 26,3,2024-09-07 09:26:51:712,1,451,36,0,796,5263,451,0 27,0,2024-09-07 09:26:51:725,114954,0.5,115098,0.6,229033,0.4,305589,2.25 27,1,2024-09-07 09:26:51:701,793512,793512,0,0,373105625531,3882254905,786241,6369,902,381,391626,0 27,2,2024-09-07 09:26:50:867,569556,569491,65,0,25569768,0,5699 27,3,2024-09-07 09:26:51:021,1,451,5,0,564,4157,451,0 28,0,2024-09-07 09:26:51:388,109327,0.4,108990,0.6,218580,0.3,291551,1.75 28,1,2024-09-07 09:26:50:844,792802,792802,0,0,372584805709,3885001284,785605,5498,1699,382,391698,0 28,2,2024-09-07 09:26:51:775,572793,572793,0,0,23346407,0,2915 28,3,2024-09-07 09:26:51:794,1,451,1,0,502,4770,451,0 29,0,2024-09-07 09:26:51:369,116462,0.3,113498,0.5,222506,0.2,303418,1.75 29,1,2024-09-07 09:26:51:583,795190,795190,0,0,373164693992,3858395275,790318,4137,735,368,391809,0 29,2,2024-09-07 09:26:50:862,569814,569814,0,0,22053782,0,4986 29,3,2024-09-07 09:26:50:996,1,451,8,0,459,5158,451,0 30,0,2024-09-07 09:26:51:453,113584,0.5,110398,0.7,231219,0.5,302241,2.00 30,1,2024-09-07 09:26:50:575,793798,793798,0,0,373620222135,3876720641,787724,5307,767,381,391672,0 30,2,2024-09-07 09:26:51:283,568997,568997,0,0,21496820,0,4192 30,3,2024-09-07 09:26:50:581,1,451,1,0,519,4435,451,0 31,0,2024-09-07 09:26:51:759,114995,0.5,115397,0.7,230537,0.4,307390,2.00 31,1,2024-09-07 09:26:50:567,797621,797621,0,0,373969553958,3830829901,794515,2520,586,356,391712,0 31,2,2024-09-07 09:26:51:278,568832,568832,0,0,23265511,0,3525 31,3,2024-09-07 09:26:51:706,1,451,53,0,220,3862,451,0 32,0,2024-09-07 09:26:51:428,111728,0.3,112323,0.5,223686,0.2,297650,1.50 32,1,2024-09-07 09:26:50:838,793684,793684,0,0,372896956706,3876129305,788560,4442,682,381,391646,0 32,2,2024-09-07 09:26:50:942,574671,574671,0,0,21086013,0,3922 32,3,2024-09-07 09:26:51:021,1,451,1,0,304,3447,451,0 33,0,2024-09-07 09:26:51:499,110760,0.2,110377,0.4,221245,0.2,294756,1.50 33,1,2024-09-07 09:26:50:578,794390,794390,0,0,373449022738,3869195647,787873,5412,1105,368,391730,0 33,2,2024-09-07 09:26:50:767,573067,573032,35,0,23411261,0,7012 33,3,2024-09-07 09:26:50:895,1,451,13,0,329,4197,451,0 34,0,2024-09-07 09:26:50:930,114706,0.3,117807,0.4,225175,0.2,302961,1.75 34,1,2024-09-07 09:26:51:045,795525,795525,0,0,374363039861,3846631283,793775,1738,12,367,391562,0 34,2,2024-09-07 09:26:50:766,568575,568575,0,0,21643299,0,4562 34,3,2024-09-07 09:26:51:700,1,451,2,0,299,3302,451,0 35,0,2024-09-07 09:26:50:861,114706,0.3,115333,0.5,231706,0.3,308069,1.75 35,1,2024-09-07 09:26:51:070,793361,793361,0,0,372850498725,3862209633,788780,3722,859,382,391769,0 35,2,2024-09-07 09:26:51:589,568423,568423,0,0,23599589,0,4055 35,3,2024-09-07 09:26:50:908,1,451,2,0,418,4544,451,0 36,0,2024-09-07 09:26:51:514,113869,0.4,113626,0.6,227364,0.4,302761,2.00 36,1,2024-09-07 09:26:50:600,792953,792953,0,0,371712481830,3878022060,783185,7766,2002,366,391759,0 36,2,2024-09-07 09:26:51:752,575199,575199,0,0,24696212,0,3875 36,3,2024-09-07 09:26:50:863,1,451,11,0,416,6182,451,0 37,0,2024-09-07 09:26:51:382,108059,0.4,108249,0.6,216237,0.4,288373,2.00 37,1,2024-09-07 09:26:50:569,792069,792062,0,7,371323567663,3873181135,783234,6551,2277,365,391770,0 37,2,2024-09-07 09:26:51:144,570896,570881,15,0,24653763,0,5815 37,3,2024-09-07 09:26:51:774,1,451,8,0,888,6643,451,0 38,0,2024-09-07 09:26:51:435,113929,0.4,110602,0.6,231312,0.3,303287,2.00 38,1,2024-09-07 09:26:51:610,793031,793031,0,0,371804290460,3882593792,782073,8750,2208,368,391821,0 38,2,2024-09-07 09:26:50:760,566945,566898,47,0,24278058,0,6710 38,3,2024-09-07 09:26:51:006,1,451,1,0,689,5504,451,0 39,0,2024-09-07 09:26:51:763,117894,0.6,115424,0.7,224893,0.6,306940,2.00 39,1,2024-09-07 09:26:50:719,791613,791613,0,0,371770219656,3892722141,779063,9858,2692,365,391658,0 39,2,2024-09-07 09:26:51:426,569197,569197,0,0,23128231,0,3391 39,3,2024-09-07 09:26:50:718,1,451,0,0,324,4988,451,0 40,0,2024-09-07 09:26:51:510,112900,0.8,113485,1.0,226378,0.9,301805,2.75 40,1,2024-09-07 09:26:50:578,792576,792576,0,0,371660196668,3887099715,781753,8779,2044,368,391668,0 40,2,2024-09-07 09:26:51:308,572404,572403,1,0,26802322,0,5137 40,3,2024-09-07 09:26:51:143,1,451,0,0,1028,6033,451,0 41,0,2024-09-07 09:26:51:032,107983,1.2,110399,1.2,210514,1.8,286609,2.75 41,1,2024-09-07 09:26:50:783,791009,791009,0,0,371671979816,3882249514,781825,8144,1040,369,391742,0 41,2,2024-09-07 09:26:50:767,570886,570886,0,0,25626139,0,4277 41,3,2024-09-07 09:26:51:678,1,451,12,0,366,4551,451,0 42,0,2024-09-07 09:26:51:476,113493,0.7,113289,0.9,226904,0.7,300594,2.50 42,1,2024-09-07 09:26:51:452,789442,789442,0,0,370847326056,3892128213,777346,10065,2031,380,391675,0 42,2,2024-09-07 09:26:51:135,568052,568052,0,0,25670211,0,3790 42,3,2024-09-07 09:26:51:021,1,451,2,0,892,4300,451,0 43,0,2024-09-07 09:26:50:916,113152,0.7,110160,0.9,230655,0.8,302260,2.25 43,1,2024-09-07 09:26:50:577,792924,792924,0,0,372492260697,3886793673,782542,8643,1739,366,391696,0 43,2,2024-09-07 09:26:51:736,569028,569028,0,0,25316970,0,3812 43,3,2024-09-07 09:26:51:776,1,451,7,0,548,5787,451,0 44,0,2024-09-07 09:26:50:916,115387,0.5,115491,0.6,231444,0.4,307263,2.00 44,1,2024-09-07 09:26:50:571,794143,794143,0,0,372580751886,3848229896,787641,5184,1318,356,391809,0 44,2,2024-09-07 09:26:51:269,569335,569335,0,0,21445111,0,4344 44,3,2024-09-07 09:26:51:093,1,451,56,0,817,5186,451,0 45,0,2024-09-07 09:26:51:760,108344,0.5,105779,0.7,221964,0.4,291916,2.00 45,1,2024-09-07 09:26:51:006,793531,793531,0,0,372921067829,3872255146,787869,5088,574,382,391917,0 45,2,2024-09-07 09:26:51:270,574050,574050,0,0,21693251,0,3596 45,3,2024-09-07 09:26:50:934,1,451,11,0,271,3800,451,0 46,0,2024-09-07 09:26:50:965,111461,0.4,111047,0.6,222756,0.4,296255,2.00 46,1,2024-09-07 09:26:50:577,795165,795165,0,0,373046103185,3850772163,790448,4125,592,366,391709,0 46,2,2024-09-07 09:26:50:595,572111,572111,0,0,21836636,0,4443 46,3,2024-09-07 09:26:51:134,1,451,1,0,908,5506,451,0 47,0,2024-09-07 09:26:51:100,114477,0.4,114725,0.6,229528,0.4,303878,1.75 47,1,2024-09-07 09:26:50:568,795745,795745,0,0,372719510211,3849298185,790868,4039,838,366,391641,0 47,2,2024-09-07 09:26:50:911,570462,570462,0,0,21346840,0,4477 47,3,2024-09-07 09:26:51:119,1,451,31,0,600,5347,451,0 48,0,2024-09-07 09:26:51:537,115868,0.3,115857,0.4,230586,0.2,307250,1.50 48,1,2024-09-07 09:26:51:041,793807,793807,0,0,373264801502,3873944992,789091,4259,457,384,391710,0 48,2,2024-09-07 09:26:50:698,568891,568891,0,0,20142080,0,3411 48,3,2024-09-07 09:26:50:768,1,451,0,0,339,3547,451,0 49,0,2024-09-07 09:26:51:712,115512,0.3,113608,0.5,220391,0.3,301522,1.75 49,1,2024-09-07 09:26:51:038,793136,793136,0,0,372113565821,3868874723,787977,3952,1207,382,391809,0 49,2,2024-09-07 09:26:51:796,574707,574707,0,0,22290092,0,4426 49,3,2024-09-07 09:26:51:425,1,451,8,0,992,5278,451,0 50,0,2024-09-07 09:26:51:511,110009,0.3,108383,0.4,218582,0.2,291646,1.75 50,1,2024-09-07 09:26:51:010,795564,795564,0,0,374091077588,3865913978,790541,4449,574,368,391565,0 50,2,2024-09-07 09:26:51:069,572769,572769,0,0,20367959,0,4490 50,3,2024-09-07 09:26:51:293,1,451,1,0,567,4424,451,0 51,0,2024-09-07 09:26:51:684,117503,0.3,115255,0.4,224657,0.2,305894,1.75 51,1,2024-09-07 09:26:51:680,795758,795758,0,0,374215107096,3856737385,791799,2910,1049,365,391706,0 51,2,2024-09-07 09:26:51:318,567616,567616,0,0,19250351,0,3337 51,3,2024-09-07 09:26:51:028,1,451,1,0,678,3591,451,0 52,0,2024-09-07 09:26:51:426,115836,0.5,115669,0.7,231595,0.5,307871,2.00 52,1,2024-09-07 09:26:50:587,792413,792413,0,0,371977360282,3887641946,781871,9133,1409,368,391722,0 52,2,2024-09-07 09:26:51:754,565456,565418,38,0,25740325,0,6742 52,3,2024-09-07 09:26:50:676,1,451,2,0,1782,5947,451,0 53,0,2024-09-07 09:26:51:740,112645,0.6,109394,0.8,228928,0.7,300381,2.25 53,1,2024-09-07 09:26:50:786,790620,790620,0,0,371914056133,3896250874,778528,9131,2961,367,391702,0 53,2,2024-09-07 09:26:51:299,574858,574857,1,0,23528783,0,5455 53,3,2024-09-07 09:26:50:698,1,451,8,0,308,4183,451,0 54,0,2024-09-07 09:26:51:617,107300,0.5,107739,0.7,214218,0.4,286976,2.25 54,1,2024-09-07 09:26:50:580,792787,792787,0,0,372389500168,3867053420,785292,6254,1241,366,391659,0 54,2,2024-09-07 09:26:50:865,573303,573271,32,0,26820848,0,6397 54,3,2024-09-07 09:26:50:768,1,451,0,0,676,6303,451,0 55,0,2024-09-07 09:26:51:761,110444,0.5,113936,0.6,231060,0.4,300780,2.25 55,1,2024-09-07 09:26:50:765,793237,793237,0,0,371901887202,3861397275,785332,6736,1169,365,391731,0 55,2,2024-09-07 09:26:50:732,567103,567047,56,0,25577713,0,7239 55,3,2024-09-07 09:26:50:675,1,451,5,0,304,4377,451,0 56,0,2024-09-07 09:26:51:560,116792,1.3,110125,1.1,227034,1.8,304033,2.75 56,1,2024-09-07 09:26:50:572,789061,789061,0,0,371003440742,3912078700,777533,9154,2374,381,391867,0 56,2,2024-09-07 09:26:51:314,568448,568326,122,0,26355541,0,7432 56,3,2024-09-07 09:26:51:067,1,451,1,0,705,5318,451,0 57,0,2024-09-07 09:26:50:934,113084,1.6,112793,1.3,226313,2.3,302727,3.25 57,1,2024-09-07 09:26:50:997,791288,791288,0,0,370669481653,3878981572,782674,7526,1088,366,392032,0 57,2,2024-09-07 09:26:51:320,572051,572051,0,0,27262331,0,3317 57,3,2024-09-07 09:26:51:740,1,451,20,0,455,5064,451,0 58,0,2024-09-07 09:26:50:561,107536,0.8,104485,0.9,218547,0.9,286547,2.50 58,1,2024-09-07 09:26:50:579,791910,791907,0,3,372387597184,3895098244,780788,8815,2304,367,391603,3 58,2,2024-09-07 09:26:51:076,572060,572060,0,0,25376908,0,2902 58,3,2024-09-07 09:26:51:069,1,451,5,0,1043,4689,451,0 59,0,2024-09-07 09:26:51:747,112762,0.7,112275,0.9,224413,0.7,297823,2.50 59,1,2024-09-07 09:26:50:839,791318,791318,0,0,371870238613,3889894639,781075,8432,1811,369,391653,0 59,2,2024-09-07 09:26:50:589,569429,569429,0,0,25126007,0,3727 59,3,2024-09-07 09:26:51:745,1,451,84,0,1015,5877,451,0 60,0,2024-09-07 09:26:51:764,113850,0.5,113754,0.7,228357,0.5,303107,1.75 60,1,2024-09-07 09:26:50:912,794157,794157,0,0,372810923261,3866540831,789003,4432,722,370,392031,0 60,2,2024-09-07 09:26:51:144,568975,568975,0,0,23440952,0,3811 60,3,2024-09-07 09:26:51:258,1,451,3,0,409,5136,451,0 61,0,2024-09-07 09:26:51:499,115062,0.7,115745,0.8,230526,0.7,307134,2.00 61,1,2024-09-07 09:26:50:777,792018,792018,0,0,372036645687,3893315372,783695,6891,1432,382,392127,0 61,2,2024-09-07 09:26:51:123,569292,569225,67,0,23884163,0,6411 61,3,2024-09-07 09:26:51:695,1,451,8,0,479,5871,451,0 62,0,2024-09-07 09:26:51:722,112189,0.5,114974,0.7,219607,0.5,297455,2.00 62,1,2024-09-07 09:26:51:120,796701,796695,0,6,374412906956,3857748480,792879,3555,261,365,391975,6 62,2,2024-09-07 09:26:51:644,572143,572142,1,0,24102534,0,5555 62,3,2024-09-07 09:26:51:144,1,451,0,0,482,3808,451,0 63,0,2024-09-07 09:26:51:451,110754,0.3,110654,0.5,221918,0.3,295043,1.75 63,1,2024-09-07 09:26:50:838,794274,794268,0,6,372857850508,3868312781,789285,4250,733,381,391800,6 63,2,2024-09-07 09:26:50:767,572176,572176,0,0,21885608,0,4369 63,3,2024-09-07 09:26:51:732,1,451,1,0,667,4471,451,0 64,0,2024-09-07 09:26:51:511,113930,0.5,114037,0.6,227430,0.5,302229,1.75 64,1,2024-09-07 09:26:50:758,793372,793372,0,0,373098923159,3883590457,786688,4981,1703,370,391783,0 64,2,2024-09-07 09:26:51:141,571205,571186,19,0,21725425,0,6121 64,3,2024-09-07 09:26:51:140,1,451,0,0,265,4300,451,0 65,0,2024-09-07 09:26:51:714,114527,0.7,114778,0.8,229222,0.7,305518,2.00 65,1,2024-09-07 09:26:50:859,791638,791638,0,0,372005870988,3884420390,786520,4578,540,381,391901,0 65,2,2024-09-07 09:26:51:709,568418,568418,0,0,25745318,0,3367 65,3,2024-09-07 09:26:51:704,1,451,16,0,782,5476,451,0 66,0,2024-09-07 09:26:51:769,113265,0.5,113073,0.7,226193,0.5,301181,2.25 66,1,2024-09-07 09:26:51:293,793511,793511,0,0,371920264673,3871765110,788011,4974,526,380,391743,0 66,2,2024-09-07 09:26:51:135,576304,576304,0,0,22608558,0,4956 66,3,2024-09-07 09:26:51:081,1,451,0,0,291,3778,451,0 67,0,2024-09-07 09:26:51:428,108623,0.4,108441,0.6,217547,0.4,289291,2.00 67,1,2024-09-07 09:26:50:768,793677,793676,0,1,372770095536,3879917307,788318,4564,794,380,391787,1 67,2,2024-09-07 09:26:50:588,575087,575087,0,0,21473080,0,3622 67,3,2024-09-07 09:26:51:753,1,451,1,0,392,4362,451,0 68,0,2024-09-07 09:26:50:592,114797,0.5,114827,0.7,228416,0.5,305531,2.00 68,1,2024-09-07 09:26:50:582,790635,790635,0,0,370728078732,3894503709,780568,7120,2947,381,391953,0 68,2,2024-09-07 09:26:51:050,564526,564426,100,0,28258274,0,8578 68,3,2024-09-07 09:26:50:737,1,451,165,0,417,5187,451,0 69,0,2024-09-07 09:26:51:765,114631,0.7,115402,0.8,229914,0.8,305407,2.25 69,1,2024-09-07 09:26:51:030,789907,789907,0,0,370815911319,3902789949,780100,7536,2271,384,391994,0 69,2,2024-09-07 09:26:51:733,567820,567791,29,0,30121255,0,6912 69,3,2024-09-07 09:26:50:768,1,451,8,0,698,6765,451,0 70,0,2024-09-07 09:26:51:580,112805,1.0,113118,1.1,227489,0.9,301186,2.50 70,1,2024-09-07 09:26:50:838,793968,793968,0,0,373057146952,3867777177,787986,5321,661,366,391725,0 70,2,2024-09-07 09:26:51:327,573134,573134,0,0,25119308,0,4323 70,3,2024-09-07 09:26:50:765,1,451,136,0,854,5175,451,0 71,0,2024-09-07 09:26:51:358,107500,0.7,107247,0.9,215509,0.8,287904,2.50 71,1,2024-09-07 09:26:51:606,792415,792415,0,0,371649581863,3872086984,783969,7643,803,368,391738,0 71,2,2024-09-07 09:26:51:075,571673,571673,0,0,25099866,0,4352 71,3,2024-09-07 09:26:51:752,1,451,1,0,644,5682,451,0 72,0,2024-09-07 09:26:51:031,117633,0.5,115089,0.7,224355,0.4,305079,2.00 72,1,2024-09-07 09:26:51:022,791055,791055,0,0,371470237464,3892320545,780182,8863,2010,369,391819,0 72,2,2024-09-07 09:26:51:763,567483,567483,0,0,27278929,0,3983 72,3,2024-09-07 09:26:51:765,1,451,9,0,564,6621,451,0 73,0,2024-09-07 09:26:51:119,111335,0.4,114127,0.6,233204,0.4,303114,2.00 73,1,2024-09-07 09:26:50:769,793073,793073,0,0,372568241447,3866391443,787726,4937,410,367,391858,0 73,2,2024-09-07 09:26:51:747,568517,568517,0,0,26792709,0,3701 73,3,2024-09-07 09:26:50:989,1,451,12,0,486,5812,451,0 74,0,2024-09-07 09:26:51:326,116197,0.5,118848,0.7,226506,0.5,307559,2.25 74,1,2024-09-07 09:26:50:642,792123,792123,0,0,372129415709,3880344924,784152,6376,1595,381,391762,0 74,2,2024-09-07 09:26:51:007,569887,569887,0,0,24579141,0,4253 74,3,2024-09-07 09:26:51:457,1,451,1,0,522,5615,451,0 75,0,2024-09-07 09:26:51:781,110196,0.5,109464,0.7,219664,0.4,292918,2.25 75,1,2024-09-07 09:26:51:585,792678,792678,0,0,371968286690,3875713801,785800,6050,828,380,391739,0 75,2,2024-09-07 09:26:51:350,572033,572033,0,0,27466874,0,4766 75,3,2024-09-07 09:26:51:073,1,451,1,0,702,5773,451,0 76,0,2024-09-07 09:26:50:611,111364,0.5,110532,0.7,222003,0.4,296997,2.25 76,1,2024-09-07 09:26:50:851,792414,792414,0,0,371315444230,3870907715,786978,4608,828,382,391692,0 76,2,2024-09-07 09:26:51:069,573637,573636,1,0,23959704,0,5144 76,3,2024-09-07 09:26:51:147,1,451,41,0,175,4165,451,0 77,0,2024-09-07 09:26:51:723,113805,0.5,114187,0.7,228536,0.5,302880,2.00 77,1,2024-09-07 09:26:50:849,792987,792987,0,0,372158742551,3880418400,787145,5335,507,381,391869,0 77,2,2024-09-07 09:26:51:511,567950,567950,0,0,23429721,0,3890 77,3,2024-09-07 09:26:51:101,1,451,8,0,401,4917,451,0 78,0,2024-09-07 09:26:51:722,115564,0.5,114984,0.6,230830,0.4,305774,2.00 78,1,2024-09-07 09:26:50:613,793690,793690,0,0,371567866528,3867523555,785819,6302,1569,367,391670,0 78,2,2024-09-07 09:26:51:420,569723,569710,13,0,22196995,0,8313 78,3,2024-09-07 09:26:51:134,1,451,2,0,181,3763,451,0 79,0,2024-09-07 09:26:51:350,108796,0.4,111431,0.6,228131,0.3,296549,2.25 79,1,2024-09-07 09:26:50:580,794687,794687,0,0,372416245404,3857988922,788058,5320,1309,367,391682,0 79,2,2024-09-07 09:26:51:068,574929,574929,0,0,21700783,0,4195 79,3,2024-09-07 09:26:50:760,1,451,1,0,418,5509,451,0 80,0,2024-09-07 09:26:51:110,109310,0.5,112455,0.6,215065,0.4,291209,2.00 80,1,2024-09-07 09:26:51:621,792653,792653,0,0,371998760835,3872738571,787056,5174,423,368,392269,0 80,2,2024-09-07 09:26:51:109,574742,574742,0,0,22131989,0,4433 80,3,2024-09-07 09:26:50:582,1,451,14,0,190,5102,451,0 81,0,2024-09-07 09:26:51:645,114791,0.5,117553,0.7,224428,0.5,304634,2.00 81,1,2024-09-07 09:26:51:654,791503,791503,0,0,371212684708,3876559992,785478,5484,541,382,391879,0 81,2,2024-09-07 09:26:51:126,566175,566112,63,0,24301403,0,5932 81,3,2024-09-07 09:26:51:125,1,451,9,0,719,5316,451,0 82,0,2024-09-07 09:26:51:532,115293,0.5,115397,0.7,231445,0.5,307232,2.00 82,1,2024-09-07 09:26:50:613,794039,794035,0,4,372559515141,3873054513,789335,3881,819,381,391768,4 82,2,2024-09-07 09:26:51:692,569914,569914,0,0,20819278,0,4484 82,3,2024-09-07 09:26:51:758,1,451,0,0,363,4616,451,0 83,0,2024-09-07 09:26:51:553,113426,0.6,113386,0.8,226144,0.7,300548,2.25 83,1,2024-09-07 09:26:50:558,792053,792053,0,0,371563843774,3872184743,786520,5083,450,382,391709,0 83,2,2024-09-07 09:26:50:766,574837,574837,0,0,21593900,0,3393 83,3,2024-09-07 09:26:50:757,1,451,2,0,1260,5653,451,0 84,0,2024-09-07 09:26:51:772,108311,0.6,108238,0.8,215725,0.5,289171,2.25 84,1,2024-09-07 09:26:51:043,791677,791677,0,0,371648487219,3878490848,783888,6744,1045,367,391967,0 84,2,2024-09-07 09:26:50:579,571561,571531,30,0,28827897,0,5971 84,3,2024-09-07 09:26:51:143,1,451,1,0,908,6621,451,0 85,0,2024-09-07 09:26:51:060,110091,0.5,110049,0.7,233492,0.5,302250,2.00 85,1,2024-09-07 09:26:50:570,789362,789362,0,0,370734614519,3905880746,778536,8905,1921,381,392006,0 85,2,2024-09-07 09:26:50:865,566484,566484,0,0,26605184,0,3656 85,3,2024-09-07 09:26:50:704,1,451,12,0,789,5304,451,0 86,0,2024-09-07 09:26:50:883,114116,0.6,117584,0.8,224975,0.7,304363,2.25 86,1,2024-09-07 09:26:50:841,791672,791672,0,0,371959032436,3890313004,782982,7247,1443,366,391961,0 86,2,2024-09-07 09:26:50:858,566921,566920,1,0,28958109,0,5004 86,3,2024-09-07 09:26:50:593,1,451,37,0,308,6367,451,0 87,0,2024-09-07 09:26:51:289,114681,1.0,114458,0.9,229029,1.3,305977,2.50 87,1,2024-09-07 09:26:50:574,790645,790645,0,0,371520270720,3889132941,780681,8369,1595,366,392076,0 87,2,2024-09-07 09:26:51:070,571186,571180,6,0,25656096,0,6323 87,3,2024-09-07 09:26:51:796,1,451,1,0,473,6866,451,0 88,0,2024-09-07 09:26:51:447,108970,0.4,109754,0.6,218787,0.4,291408,1.75 88,1,2024-09-07 09:26:50:570,790326,790326,0,0,370764276062,3878922977,780596,7721,2009,365,392084,0 88,2,2024-09-07 09:26:50:689,572658,572658,0,0,28018511,0,4465 88,3,2024-09-07 09:26:51:280,1,451,0,0,435,5355,451,0 89,0,2024-09-07 09:26:51:765,116353,0.4,112653,0.6,222910,0.3,303335,1.75 89,1,2024-09-07 09:26:50:557,790070,790070,0,0,371106757700,3896404900,780880,7760,1430,382,391866,0 89,2,2024-09-07 09:26:51:135,569606,569606,0,0,26270646,0,3173 89,3,2024-09-07 09:26:51:794,1,451,7,0,468,7627,451,0 90,0,2024-09-07 09:26:51:621,110619,0.5,113700,0.6,231723,0.4,302148,2.00 90,1,2024-09-07 09:26:50:597,791352,791352,0,0,372050407511,3889977461,784568,6269,515,380,391825,0 90,2,2024-09-07 09:26:51:417,566539,566539,0,0,27630193,0,3060 90,3,2024-09-07 09:26:50:935,1,451,1,0,322,5396,451,0 91,0,2024-09-07 09:26:50:952,115972,0.5,112226,0.6,234405,0.5,307841,1.75 91,1,2024-09-07 09:26:50:571,790086,790086,0,0,371497882304,3900937184,780816,7865,1405,381,392047,0 91,2,2024-09-07 09:26:51:331,570228,570228,0,0,24467149,0,2896 91,3,2024-09-07 09:26:50:598,1,451,84,0,216,4411,451,0 92,0,2024-09-07 09:26:51:446,112612,0.4,115418,0.6,220354,0.4,297784,1.75 92,1,2024-09-07 09:26:50:583,792640,792640,0,0,371679280880,3877636264,787091,4823,726,381,392136,0 92,2,2024-09-07 09:26:51:350,574696,574696,0,0,22191185,0,3259 92,3,2024-09-07 09:26:51:010,1,451,45,0,167,3955,451,0 93,0,2024-09-07 09:26:50:970,111245,0.3,113999,0.5,217698,0.3,295130,1.75 93,1,2024-09-07 09:26:50:839,792103,792103,0,0,371967274630,3879730053,783932,6785,1386,366,391776,0 93,2,2024-09-07 09:26:50:929,572051,572051,0,0,26910182,0,4845 93,3,2024-09-07 09:26:51:416,1,451,8,0,190,3998,451,0 94,0,2024-09-07 09:26:51:625,113961,0.3,114888,0.5,229303,0.3,303736,1.75 94,1,2024-09-07 09:26:50:688,792442,792442,0,0,371923900902,3876522159,787637,4595,210,381,391850,0 94,2,2024-09-07 09:26:50:789,567707,567707,0,0,22349342,0,2443 94,3,2024-09-07 09:26:51:688,1,451,5,0,576,5844,451,0 95,0,2024-09-07 09:26:51:342,115430,0.4,115320,0.5,231236,0.3,308051,1.75 95,1,2024-09-07 09:26:50:858,793658,793658,0,0,373201741931,3875872277,787508,5712,438,365,391786,0 95,2,2024-09-07 09:26:51:021,568284,568284,0,0,22301178,0,3308 95,3,2024-09-07 09:26:51:711,1,451,1,0,718,6304,451,0 96,0,2024-09-07 09:26:51:036,113716,0.4,113991,0.5,227512,0.3,302088,1.75 96,1,2024-09-07 09:26:51:584,791909,791909,0,0,371525196268,3873876535,786336,4684,889,384,391955,0 96,2,2024-09-07 09:26:51:270,575566,575566,0,0,23346404,0,4180 96,3,2024-09-07 09:26:51:142,1,451,1,0,411,4966,451,0 97,0,2024-09-07 09:26:51:316,108671,0.3,108260,0.4,217351,0.2,289077,1.50 97,1,2024-09-07 09:26:50:765,793389,793389,0,0,373006397088,3867297354,788220,4234,935,367,392140,0 97,2,2024-09-07 09:26:50:608,573145,573145,0,0,22431107,0,3679 97,3,2024-09-07 09:26:50:574,1,451,9,0,242,5359,451,0 98,0,2024-09-07 09:26:51:693,114156,0.3,114490,0.4,229671,0.2,305559,1.50 98,1,2024-09-07 09:26:50:578,792811,792811,0,0,372035558304,3874424393,787776,4243,792,382,391997,0 98,2,2024-09-07 09:26:50:770,567513,567513,0,0,22364251,0,4336 98,3,2024-09-07 09:26:50:724,1,451,2,0,840,7284,451,0 99,0,2024-09-07 09:26:51:460,115244,0.3,115824,0.5,230384,0.3,307931,1.75 99,1,2024-09-07 09:26:51:723,793260,793260,0,0,371648060232,3866021010,788295,4128,837,381,392069,0 99,2,2024-09-07 09:26:51:432,569905,569905,0,0,26489370,0,4276 99,3,2024-09-07 09:26:50:581,1,451,3,0,606,4793,451,0 100,0,2024-09-07 09:26:51:469,113825,0.8,114153,0.9,227451,0.9,303773,2.50 100,1,2024-09-07 09:26:50:559,789290,789290,0,0,370778743100,3910280974,778546,8692,2052,378,391989,0 100,2,2024-09-07 09:26:51:817,570802,570791,11,0,25977800,0,5417 100,3,2024-09-07 09:26:51:731,1,451,18,0,559,7001,451,0 101,0,2024-09-07 09:26:51:710,110888,0.7,108032,0.9,211792,0.6,290079,2.25 101,1,2024-09-07 09:26:50:554,789622,789622,0,0,370790994185,3894476655,778915,8582,2125,368,391847,0 101,2,2024-09-07 09:26:51:764,569132,569132,0,0,30715283,0,4871 101,3,2024-09-07 09:26:50:958,1,451,1250,0,1250,7248,451,0 102,0,2024-09-07 09:26:50:980,110868,0.5,114274,0.7,231894,0.5,303673,2.00 102,1,2024-09-07 09:26:51:359,789879,789879,0,0,370846550488,3891811257,779985,8129,1765,369,391891,0 102,2,2024-09-07 09:26:51:741,569367,569313,54,0,24778892,0,6768 102,3,2024-09-07 09:26:51:621,1,451,0,0,466,4849,451,0 103,0,2024-09-07 09:26:51:593,117927,0.5,117868,0.7,222188,0.5,305524,2.00 103,1,2024-09-07 09:26:51:625,789396,789396,0,0,370739413127,3910421873,777250,9080,3066,381,391862,0 103,2,2024-09-07 09:26:50:587,567095,567095,0,0,27539875,0,3766 103,3,2024-09-07 09:26:50:757,1,451,0,0,916,4870,451,0 104,0,2024-09-07 09:26:51:011,114378,0.7,114695,0.9,228281,0.7,305715,2.25 104,1,2024-09-07 09:26:51:840,791276,791276,0,0,371159024764,3892854114,780419,8993,1864,365,392168,0 104,2,2024-09-07 09:26:51:671,569049,569049,0,0,26265495,0,3941 104,3,2024-09-07 09:26:51:427,1,451,6,0,1245,8673,451,0 105,0,2024-09-07 09:26:51:091,108564,0.8,105707,1.0,221651,0.8,291049,2.50 105,1,2024-09-07 09:26:50:555,792782,792782,0,0,372390026274,3896543455,783325,8028,1429,366,392009,0 105,2,2024-09-07 09:26:51:322,571723,571723,0,0,26111380,0,3509 105,3,2024-09-07 09:26:51:308,1,451,9,0,399,6633,451,0 106,0,2024-09-07 09:26:50:975,107968,0.6,110569,0.8,226334,0.6,296306,2.25 106,1,2024-09-07 09:26:51:758,791331,791331,0,0,371442896891,3894399094,780263,9619,1449,368,391914,0 106,2,2024-09-07 09:26:50:758,570552,570552,0,0,25487866,0,2920 106,3,2024-09-07 09:26:50:691,1,451,2,0,470,5576,451,0 107,0,2024-09-07 09:26:51:101,113973,0.8,114238,0.9,228256,0.9,303992,2.25 107,1,2024-09-07 09:26:50:600,789723,789723,0,0,371044919994,3905234840,779484,9185,1054,381,392234,0 107,2,2024-09-07 09:26:51:293,566195,566194,1,0,26440301,0,5024 107,3,2024-09-07 09:26:51:754,1,451,0,0,370,6696,451,0 108,0,2024-09-07 09:26:51:815,115014,0.5,115885,0.6,230396,0.4,307215,1.75 108,1,2024-09-07 09:26:51:296,792815,792815,0,0,373061086130,3886646600,786631,5439,745,367,391857,0 108,2,2024-09-07 09:26:51:806,567800,567800,0,0,24944237,0,4246 108,3,2024-09-07 09:26:51:331,1,451,2,0,749,8100,451,0 109,0,2024-09-07 09:26:51:801,113278,0.4,112408,0.6,225051,0.3,300785,1.75 109,1,2024-09-07 09:26:50:598,789628,789628,0,0,371534095773,3896663029,782179,6262,1187,382,392132,0 109,2,2024-09-07 09:26:50:940,572173,572173,0,0,24499462,0,3617 109,3,2024-09-07 09:26:51:145,1,451,14,0,379,5140,451,0 110,0,2024-09-07 09:26:51:776,109161,0.3,106328,0.5,222770,0.3,291812,1.75 110,1,2024-09-07 09:26:51:652,793548,793548,0,0,372059952109,3858750711,788151,4218,1179,369,392045,0 110,2,2024-09-07 09:26:51:337,573047,573047,0,0,23533853,0,4067 110,3,2024-09-07 09:26:50:690,1,451,4,0,722,6280,451,0 111,0,2024-09-07 09:26:51:471,115225,0.4,114423,0.5,229306,0.3,305854,1.75 111,1,2024-09-07 09:26:51:003,794187,794187,0,0,374368613240,3879329948,790295,3537,355,380,391690,0 111,2,2024-09-07 09:26:51:119,566329,566329,0,0,22946792,0,4823 111,3,2024-09-07 09:26:50:914,1,451,22,0,379,5197,451,0 112,0,2024-09-07 09:26:50:924,116231,0.3,115711,0.4,231845,0.2,308178,1.50 112,1,2024-09-07 09:26:50:865,793386,793386,0,0,372663416951,3865592394,788654,4119,613,380,391624,0 112,2,2024-09-07 09:26:51:137,568293,568292,1,0,22337955,0,5036 112,3,2024-09-07 09:26:50:592,1,451,1,0,282,4299,451,0 113,0,2024-09-07 09:26:50:873,113519,0.3,113504,0.5,227511,0.3,302486,1.75 113,1,2024-09-07 09:26:51:688,796109,796109,0,0,374503575404,3861019699,792213,3307,589,366,391661,0 113,2,2024-09-07 09:26:51:308,576198,576198,0,0,20622669,0,3813 113,3,2024-09-07 09:26:50:684,1,451,8,0,340,4892,451,0 114,0,2024-09-07 09:26:50:874,109151,0.3,109842,0.4,218229,0.2,292279,1.75 114,1,2024-09-07 09:26:50:720,793672,793672,0,0,372588847820,3868741636,787366,4690,1616,381,391565,0 114,2,2024-09-07 09:26:50:873,574057,574056,1,0,21645041,0,5069 114,3,2024-09-07 09:26:51:283,1,451,4,0,395,3745,451,0 115,0,2024-09-07 09:26:50:562,114420,0.2,114870,0.4,229273,0.2,304680,1.50 115,1,2024-09-07 09:26:50:575,793925,793925,0,0,372455621167,3868870825,787427,5230,1268,382,391757,0 115,2,2024-09-07 09:26:51:125,569461,569461,0,0,21389659,0,4382 115,3,2024-09-07 09:26:51:010,1,451,0,0,159,2494,451,0 116,0,2024-09-07 09:26:51:702,114025,0.7,114006,0.8,228493,0.7,305714,2.00 116,1,2024-09-07 09:26:50:838,789944,789944,0,0,371352818197,3907484642,781127,6472,2345,380,392089,0 116,2,2024-09-07 09:26:51:764,568022,568022,0,0,27316235,0,4128 116,3,2024-09-07 09:26:50:914,1,451,19,0,415,5168,451,0 117,0,2024-09-07 09:26:50:949,115101,0.8,114501,0.8,229419,0.9,306349,2.00 117,1,2024-09-07 09:26:51:808,791042,791042,0,0,370938016234,3877402280,783242,6884,916,369,392033,0 117,2,2024-09-07 09:26:51:120,574457,574457,0,0,23496565,0,4303 117,3,2024-09-07 09:26:51:086,1,451,7,0,490,6601,451,0 118,0,2024-09-07 09:26:51:786,105933,0.5,108772,0.6,222139,0.4,290288,2.00 118,1,2024-09-07 09:26:50:599,790537,790537,0,0,370094163940,3888717995,778614,8922,3001,366,391907,0 118,2,2024-09-07 09:26:51:587,571880,571880,0,0,25656540,0,2842 118,3,2024-09-07 09:26:51:763,1,451,0,0,248,5129,451,0 119,0,2024-09-07 09:26:51:342,112928,0.5,113202,0.7,226422,0.4,301478,2.00 119,1,2024-09-07 09:26:50:560,791559,791559,0,0,371566948456,3883714984,783034,7406,1119,367,391857,0 119,2,2024-09-07 09:26:51:264,570907,570907,0,0,24248651,0,4174 119,3,2024-09-07 09:26:51:326,1,451,7,0,1358,8579,451,0 120,0,2024-09-07 09:26:51:550,113400,0.6,113081,0.8,226844,0.6,302538,2.25 120,1,2024-09-07 09:26:50:858,791728,791728,0,0,370878111206,3887302944,783336,7622,770,368,392144,0 120,2,2024-09-07 09:26:50:770,567975,567974,1,0,27490866,0,5281 120,3,2024-09-07 09:26:51:293,1,451,1,0,279,5477,451,0 121,0,2024-09-07 09:26:51:733,115318,1.4,114999,1.1,230496,1.9,307105,2.50 121,1,2024-09-07 09:26:51:656,791839,791839,0,0,372290283928,3886130393,785083,6294,462,367,391840,0 121,2,2024-09-07 09:26:51:125,568780,568780,0,0,26486981,0,4127 121,3,2024-09-07 09:26:50:733,1,451,14,0,269,4873,451,0 122,0,2024-09-07 09:26:51:775,111069,0.7,108306,0.8,227235,0.8,297520,2.00 122,1,2024-09-07 09:26:50:859,790516,790516,0,0,371716313163,3895180551,780061,8851,1604,366,392130,0 122,2,2024-09-07 09:26:51:319,573828,573755,73,0,29413664,0,5989 122,3,2024-09-07 09:26:50:594,1,451,2,0,512,7908,451,0 123,0,2024-09-07 09:26:50:966,110085,0.5,107342,0.7,224993,0.5,294569,2.00 123,1,2024-09-07 09:26:50:558,790426,790426,0,0,371453393729,3907588393,776871,11111,2444,369,392039,0 123,2,2024-09-07 09:26:51:036,570271,570270,1,0,24860571,0,5215 123,3,2024-09-07 09:26:51:133,1,451,3,0,478,5162,451,0 124,0,2024-09-07 09:26:50:925,117747,0.3,117776,0.5,221915,0.3,304711,1.75 124,1,2024-09-07 09:26:51:039,793491,793491,0,0,372488630514,3867897311,788201,4239,1051,367,392178,0 124,2,2024-09-07 09:26:51:010,568394,568341,53,0,22496181,0,6487 124,3,2024-09-07 09:26:50:758,1,451,7,0,490,4246,451,0 125,0,2024-09-07 09:26:51:430,115356,0.4,115212,0.6,231186,0.4,307953,1.75 125,1,2024-09-07 09:26:50:856,791937,791937,0,0,372205811550,3882744511,786018,5246,673,382,391702,0 125,2,2024-09-07 09:26:51:121,569785,569785,0,0,23379855,0,4534 125,3,2024-09-07 09:26:51:126,1,451,8,0,709,5464,451,0 126,0,2024-09-07 09:26:51:417,113696,0.4,116920,0.6,223694,0.4,302931,1.75 126,1,2024-09-07 09:26:50:553,794077,794077,0,0,373276636310,3862436445,790066,3717,294,365,391987,0 126,2,2024-09-07 09:26:50:610,575370,575370,0,0,24265482,0,4539 126,3,2024-09-07 09:26:50:910,1,451,1,0,268,5337,451,0 127,0,2024-09-07 09:26:51:838,108685,0.3,108937,0.5,217561,0.2,289338,1.50 127,1,2024-09-07 09:26:50:569,793107,793107,0,0,372173081480,3867543556,785753,6131,1223,364,392187,0 127,2,2024-09-07 09:26:50:651,571925,571925,0,0,21864394,0,3897 127,3,2024-09-07 09:26:51:269,1,451,8,0,968,4677,451,0 128,0,2024-09-07 09:26:51:532,115083,0.3,115072,0.4,230002,0.2,306375,1.50 128,1,2024-09-07 09:26:51:829,792131,792131,0,0,372052207608,3859720024,787213,4485,433,367,392031,0 128,2,2024-09-07 09:26:51:396,568681,568681,0,0,21124395,0,3171 128,3,2024-09-07 09:26:50:778,1,451,4,0,1082,6859,451,0 129,0,2024-09-07 09:26:51:001,116224,0.3,115704,0.5,231645,0.3,308403,1.75 129,1,2024-09-07 09:26:50:574,790758,790758,0,0,371118169910,3886320012,783027,6059,1672,379,391962,0 129,2,2024-09-07 09:26:50:689,569588,569588,0,0,22706325,0,4031 129,3,2024-09-07 09:26:50:726,1,451,11,0,506,6430,451,0 130,0,2024-09-07 09:26:51:713,114825,0.5,114345,0.6,229996,0.5,305138,1.75 130,1,2024-09-07 09:26:50:596,793439,793439,0,0,372529506581,3868558580,789398,3702,339,381,391825,0 130,2,2024-09-07 09:26:51:125,574352,574352,0,0,23242066,0,4067 130,3,2024-09-07 09:26:51:293,1,451,1,0,960,6823,451,0 131,0,2024-09-07 09:26:51:943,108461,0.3,108863,0.5,218572,0.3,290003,1.75 131,1,2024-09-07 09:26:51:819,792897,792897,0,0,371696115077,3879038113,786915,4930,1052,381,391865,0 131,2,2024-09-07 09:26:50:568,574515,574515,0,0,21324721,0,3979 131,3,2024-09-07 09:26:51:700,1,451,0,0,392,4904,451,0 132,0,2024-09-07 09:26:51:440,114023,0.4,115039,0.6,228912,0.3,304661,1.75 132,1,2024-09-07 09:26:50:609,788910,788910,0,0,371148061785,3903838619,777690,9109,2111,381,392532,0 132,2,2024-09-07 09:26:50:721,568573,568556,17,0,27611649,0,6451 132,3,2024-09-07 09:26:51:700,1,451,21,0,804,7726,451,0 133,0,2024-09-07 09:26:51:607,111244,0.4,113815,0.6,233541,0.4,303767,1.75 133,1,2024-09-07 09:26:50:595,789285,789285,0,0,371090108563,3906754407,778713,9057,1515,383,391914,0 133,2,2024-09-07 09:26:51:094,568238,568188,50,0,29025808,0,6861 133,3,2024-09-07 09:26:51:308,1,451,8,0,479,4653,451,0 134,0,2024-09-07 09:26:51:175,115782,0.6,115383,0.7,231123,0.6,308037,2.00 134,1,2024-09-07 09:26:50:589,790747,790747,0,0,370761752883,3883996647,780940,7770,2037,366,391718,0 134,2,2024-09-07 09:26:51:764,569107,569083,24,0,26714537,0,6207 134,3,2024-09-07 09:26:50:757,1,451,2,0,739,5592,451,0 135,0,2024-09-07 09:26:51:101,106323,0.7,106329,0.8,225660,0.8,290484,2.00 135,1,2024-09-07 09:26:51:586,790923,790923,0,0,371879803804,3906972479,780947,8600,1376,380,391805,0 135,2,2024-09-07 09:26:50:688,572796,572796,0,0,26017147,0,3981 135,3,2024-09-07 09:26:51:006,1,451,3,0,299,3855,451,0 136,0,2024-09-07 09:26:51:656,112246,0.5,112458,0.7,223738,0.4,298826,2.00 136,1,2024-09-07 09:26:51:458,791994,791994,0,0,371391100368,3890084197,783414,7616,964,381,391685,0 136,2,2024-09-07 09:26:51:135,572881,572881,0,0,24853983,0,3506 136,3,2024-09-07 09:26:51:119,1,451,1,0,637,5131,451,0 137,0,2024-09-07 09:26:50:959,117752,0.6,114702,0.7,224996,0.5,305226,2.00 137,1,2024-09-07 09:26:50:579,789839,789839,0,0,370981242816,3887189377,778275,9204,2360,366,391898,0 137,2,2024-09-07 09:26:51:716,566533,566533,0,0,27389144,0,3185 137,3,2024-09-07 09:26:50:769,1,451,15,0,484,5366,451,0 138,0,2024-09-07 09:26:51:739,114853,1.0,114785,1.0,230535,1.2,305718,2.25 138,1,2024-09-07 09:26:51:693,791116,791116,0,0,371898668700,3894493906,780869,8523,1724,368,391954,0 138,2,2024-09-07 09:26:50:586,568092,568092,0,0,24937766,0,4988 138,3,2024-09-07 09:26:50:610,1,451,1,0,1160,5796,451,0 139,0,2024-09-07 09:26:51:363,111737,1.5,111849,1.1,224295,2.1,299298,2.50 139,1,2024-09-07 09:26:50:572,787165,787165,0,0,369876439829,3924730112,772482,11314,3369,380,392058,0 139,2,2024-09-07 09:26:50:699,570221,570221,0,0,29027597,0,3097 139,3,2024-09-07 09:26:51:663,1,451,1,0,432,5093,451,0 140,0,2024-09-07 09:26:51:596,109848,0.3,109176,0.4,219107,0.2,292316,1.75 140,1,2024-09-07 09:26:51:553,795265,795265,0,0,374475957193,3857330356,791695,3128,442,365,391606,0 140,2,2024-09-07 09:26:50:689,573904,573903,1,0,22051968,0,5036 140,3,2024-09-07 09:26:50:778,1,451,0,0,297,3659,451,0 141,0,2024-09-07 09:26:51:698,114982,0.3,118060,0.4,225465,0.2,305429,1.50 141,1,2024-09-07 09:26:50:860,794404,794404,0,0,373797987696,3878658984,788711,4736,957,379,391614,0 141,2,2024-09-07 09:26:51:687,567557,567557,0,0,21827875,0,3360 141,3,2024-09-07 09:26:51:043,1,451,12,0,391,4995,451,0 142,0,2024-09-07 09:26:51:328,116327,0.3,115631,0.5,231393,0.3,308783,1.75 142,1,2024-09-07 09:26:50:600,792892,792892,0,0,372138751692,3875080186,788193,4299,400,382,392102,0 142,2,2024-09-07 09:26:51:299,568357,568325,32,0,23866308,0,6028 142,3,2024-09-07 09:26:51:763,1,451,0,0,484,4955,451,0 143,0,2024-09-07 09:26:51:377,113493,0.5,113727,0.6,227584,0.4,302581,1.75 143,1,2024-09-07 09:26:50:558,794079,794079,0,0,373019030934,3867807900,789024,4509,546,367,391705,0 143,2,2024-09-07 09:26:50:773,574977,574977,0,0,23180098,0,3123 143,3,2024-09-07 09:26:51:153,1,451,1,0,462,5430,451,0 144,0,2024-09-07 09:26:51:496,105457,0.5,108430,0.8,221062,0.5,289924,2.00 144,1,2024-09-07 09:26:50:568,789803,789803,0,0,371229680111,3892939012,782023,5944,1836,381,391649,0 144,2,2024-09-07 09:26:51:755,573436,573436,0,0,21769254,0,3673 144,3,2024-09-07 09:26:51:754,1,451,0,0,249,4519,451,0 145,0,2024-09-07 09:26:51:360,110541,0.5,110525,0.7,234645,0.4,302046,2.00 145,1,2024-09-07 09:26:50:554,789599,789599,0,0,370872323347,3896457125,779724,8195,1680,382,391759,0 145,2,2024-09-07 09:26:51:441,565401,565320,81,0,26667902,0,7814 145,3,2024-09-07 09:26:50:903,1,451,7,0,622,6284,451,0 146,0,2024-09-07 09:26:51:615,114360,0.6,113833,0.7,228983,0.6,304165,2.25 146,1,2024-09-07 09:26:51:605,790924,790924,0,0,371596370008,3903825388,778650,9156,3118,367,391770,0 146,2,2024-09-07 09:26:51:706,567244,567244,0,0,25405756,0,3290 146,3,2024-09-07 09:26:51:284,1,451,0,0,1520,8119,451,0 147,0,2024-09-07 09:26:51:699,114922,0.7,114786,0.8,228847,0.7,305767,2.25 147,1,2024-09-07 09:26:51:381,793915,793915,0,0,373218914690,3878080441,787193,5873,849,368,391791,0 147,2,2024-09-07 09:26:51:023,571820,571820,0,0,23062235,0,2968 147,3,2024-09-07 09:26:50:938,1,451,12,0,1626,7606,451,0 0,0,2024-09-07 09:27:01:712,110853,0.6,110781,0.7,235159,0.6,303669,2.00 0,1,2024-09-07 09:27:00:823,792669,792669,0,0,372317234854,3893482197,787171,5108,390,369,391896,0 0,2,2024-09-07 09:27:01:068,571020,571020,0,0,22667684,0,4480 0,3,2024-09-07 09:27:00:977,1,452,18,0,431,6671,452,0 1,0,2024-09-07 09:27:01:758,115450,1.1,114877,1.0,230227,1.5,307699,2.25 1,1,2024-09-07 09:27:00:557,792574,792574,0,0,371724656988,3887834256,786031,5213,1330,370,391859,0 1,2,2024-09-07 09:27:00:639,570524,570524,0,0,22801647,0,3380 1,3,2024-09-07 09:27:01:304,1,452,15,0,268,5477,452,0 2,0,2024-09-07 09:27:01:586,111392,0.6,111440,0.7,222185,0.6,295906,2.00 2,1,2024-09-07 09:27:00:860,795169,795169,0,0,373766888792,3876547534,791876,2998,295,380,391745,0 2,2,2024-09-07 09:27:01:268,575291,575291,0,0,21389143,0,3594 2,3,2024-09-07 09:27:00:691,1,452,1,0,357,4240,452,0 3,0,2024-09-07 09:27:01:747,110944,0.4,110653,0.6,221427,0.3,295296,1.75 3,1,2024-09-07 09:27:01:622,793605,793605,0,0,372343354221,3875235403,787247,5664,694,379,391716,0 3,2,2024-09-07 09:27:01:146,573753,573730,23,0,22627884,0,5851 3,3,2024-09-07 09:27:01:757,1,452,16,0,207,2975,452,0 4,0,2024-09-07 09:27:01:764,111021,0.4,114083,0.5,232655,0.3,303815,1.75 4,1,2024-09-07 09:27:00:596,790479,790479,0,0,371214242721,3921771573,777966,9590,2923,370,391992,0 4,2,2024-09-07 09:27:01:017,566670,566670,0,0,25840780,0,4528 4,3,2024-09-07 09:27:01:038,1,452,211,0,448,5943,452,0 5,0,2024-09-07 09:27:01:390,115472,0.4,116040,0.6,231659,0.4,307912,1.75 5,1,2024-09-07 09:27:00:756,792240,792240,0,0,372430066784,3916037829,781694,8386,2160,367,392005,0 5,2,2024-09-07 09:27:01:829,567195,567195,0,0,25809661,0,3582 5,3,2024-09-07 09:27:01:732,1,452,8,0,457,6151,452,0 6,0,2024-09-07 09:27:00:927,113983,0.4,113624,0.6,227043,0.4,303193,2.00 6,1,2024-09-07 09:27:00:751,793506,793506,0,0,372346113111,3887714373,785121,6961,1424,379,391702,0 6,2,2024-09-07 09:27:01:118,575287,575269,18,0,25495696,0,5535 6,3,2024-09-07 09:27:01:274,1,452,1,0,710,5610,452,0 7,0,2024-09-07 09:27:01:536,108144,0.4,109036,0.6,217112,0.4,288819,1.75 7,1,2024-09-07 09:27:00:854,792935,792935,0,0,372240358907,3900993131,783094,8376,1465,382,391747,0 7,2,2024-09-07 09:27:00:771,574377,574377,0,0,24892129,0,4791 7,3,2024-09-07 09:27:00:851,1,452,2,0,552,5029,452,0 8,0,2024-09-07 09:27:01:347,115208,0.3,115011,0.5,230519,0.3,307533,1.75 8,1,2024-09-07 09:27:01:015,791713,791713,0,0,371749510290,3904249757,780021,9059,2633,366,392853,0 8,2,2024-09-07 09:27:00:789,564211,564211,0,0,28864457,0,3250 8,3,2024-09-07 09:27:00:585,1,452,4,0,538,7134,452,0 9,0,2024-09-07 09:27:01:129,115530,0.4,112490,0.5,235307,0.3,308625,1.75 9,1,2024-09-07 09:27:00:552,791072,791072,0,0,372035336374,3913011188,779988,8663,2421,369,392001,0 9,2,2024-09-07 09:27:01:116,569318,569318,0,0,25777928,0,3360 9,3,2024-09-07 09:27:01:754,1,452,14,0,496,6295,452,0 10,0,2024-09-07 09:27:01:606,114158,0.4,113640,0.5,228205,0.3,303741,1.75 10,1,2024-09-07 09:27:00:583,793052,793052,0,0,371765150100,3893042303,782534,8894,1624,381,391741,0 10,2,2024-09-07 09:27:00:762,574452,574452,0,0,28497447,0,4264 10,3,2024-09-07 09:27:00:871,1,452,0,0,649,4693,452,0 11,0,2024-09-07 09:27:01:013,108627,0.4,105292,0.6,220279,0.3,290502,1.75 11,1,2024-09-07 09:27:00:583,793746,793746,0,0,372993797068,3907746265,782415,8704,2627,383,391756,0 11,2,2024-09-07 09:27:01:123,573558,573558,0,0,24947119,0,4130 11,3,2024-09-07 09:27:01:298,1,452,8,0,843,6276,452,0 12,0,2024-09-07 09:27:00:979,115035,0.3,115034,0.5,230215,0.3,305866,1.75 12,1,2024-09-07 09:27:00:933,793415,793415,0,0,372949984480,3884342484,787825,5087,503,370,391960,0 12,2,2024-09-07 09:27:01:541,569160,569160,0,0,24232867,0,3469 12,3,2024-09-07 09:27:01:059,1,452,13,0,386,6457,452,0 13,0,2024-09-07 09:27:01:362,115272,0.4,114824,0.6,229142,0.4,305370,1.75 13,1,2024-09-07 09:27:01:524,791568,791568,0,0,372170004220,3907760862,783931,6003,1634,382,391740,0 13,2,2024-09-07 09:27:00:595,571761,571761,0,0,22296632,0,3287 13,3,2024-09-07 09:27:01:762,1,452,13,0,522,6459,452,0 14,0,2024-09-07 09:27:00:559,115345,0.4,116331,0.6,230240,0.4,306893,1.75 14,1,2024-09-07 09:27:01:562,797188,797188,0,0,374137394429,3871022869,790961,5516,711,364,391673,0 14,2,2024-09-07 09:27:00:765,572367,572337,30,0,24451475,0,6104 14,3,2024-09-07 09:27:01:122,1,452,2,0,1168,4765,452,0 15,0,2024-09-07 09:27:01:580,109540,0.4,109441,0.6,219348,0.4,291633,2.00 15,1,2024-09-07 09:27:01:612,794373,794373,0,0,372734765309,3879191229,788144,5050,1179,381,391619,0 15,2,2024-09-07 09:27:00:998,575689,575689,0,0,20310622,0,3622 15,3,2024-09-07 09:27:01:406,1,452,1,0,1126,7089,452,0 16,0,2024-09-07 09:27:00:946,112078,0.5,112895,0.7,224810,0.4,299346,2.00 16,1,2024-09-07 09:27:00:564,793974,793974,0,0,372435440691,3891822894,787121,5737,1116,370,391917,0 16,2,2024-09-07 09:27:01:434,572083,572083,0,0,24165153,0,4719 16,3,2024-09-07 09:27:01:166,1,452,15,0,317,5521,452,0 17,0,2024-09-07 09:27:01:764,117717,0.6,115044,0.7,224919,0.6,305131,2.00 17,1,2024-09-07 09:27:00:570,792351,792351,0,0,372577311524,3905615303,784663,6168,1520,368,392075,0 17,2,2024-09-07 09:27:01:666,571542,571542,0,0,23868480,0,3779 17,3,2024-09-07 09:27:00:574,1,452,8,0,518,6999,452,0 18,0,2024-09-07 09:27:00:947,114281,0.7,114804,0.8,228912,0.7,305458,2.25 18,1,2024-09-07 09:27:01:638,795484,795484,0,0,373392897803,3866435117,791477,3627,380,367,391725,0 18,2,2024-09-07 09:27:01:755,572523,572523,0,0,21211554,0,3541 18,3,2024-09-07 09:27:00:896,1,452,2,0,1059,4421,452,0 19,0,2024-09-07 09:27:01:548,112401,0.6,112881,0.8,223987,0.6,298184,2.25 19,1,2024-09-07 09:27:00:566,795870,795870,0,0,374171435260,3878288738,789823,5126,921,367,391777,0 19,2,2024-09-07 09:27:01:751,577367,577367,0,0,20407359,0,3988 19,3,2024-09-07 09:27:01:129,1,452,72,0,524,3874,452,0 20,0,2024-09-07 09:27:01:354,109700,0.4,109729,0.6,219185,0.4,292646,2.00 20,1,2024-09-07 09:27:00:567,793058,793058,0,0,372812832356,3896190705,786231,6025,802,369,391922,0 20,2,2024-09-07 09:27:00:927,573156,573156,0,0,24223365,0,3721 20,3,2024-09-07 09:27:00:590,1,452,271,0,414,6876,452,0 21,0,2024-09-07 09:27:01:135,114807,0.4,114883,0.6,229853,0.4,304666,1.75 21,1,2024-09-07 09:27:01:548,791082,791082,0,0,371967289674,3920898329,779260,8996,2826,368,392016,0 21,2,2024-09-07 09:27:01:073,565643,565623,20,0,29276479,0,5617 21,3,2024-09-07 09:27:01:407,1,452,8,0,713,5977,452,0 22,0,2024-09-07 09:27:01:722,115711,0.5,116171,0.7,232002,0.4,307680,2.00 22,1,2024-09-07 09:27:01:039,792366,792366,0,0,372126370142,3906620145,781085,9029,2252,382,391675,0 22,2,2024-09-07 09:27:00:760,568513,568487,26,0,23417948,0,6328 22,3,2024-09-07 09:27:01:068,1,452,2,0,228,3592,452,0 23,0,2024-09-07 09:27:01:371,113372,0.5,112749,0.7,225988,0.5,301092,2.25 23,1,2024-09-07 09:27:01:003,793266,793266,0,0,372971572051,3911261702,780084,9127,4055,365,391690,0 23,2,2024-09-07 09:27:01:091,577282,577282,0,0,22857541,0,3773 23,3,2024-09-07 09:27:01:753,1,452,1,0,720,5750,452,0 24,0,2024-09-07 09:27:00:828,110043,0.4,109294,0.5,219777,0.3,292217,1.75 24,1,2024-09-07 09:27:00:580,792419,792419,0,0,371163999775,3886142199,784403,6421,1595,367,392269,0 24,2,2024-09-07 09:27:01:073,573663,573663,0,0,27417520,0,3607 24,3,2024-09-07 09:27:01:686,1,452,1,0,468,5930,452,0 25,0,2024-09-07 09:27:01:345,117575,0.4,114493,0.5,224616,0.3,305773,1.75 25,1,2024-09-07 09:27:00:561,792020,792020,0,0,372359735893,3918789622,779951,9818,2251,371,391928,0 25,2,2024-09-07 09:27:01:610,566953,566953,0,0,27944511,0,3978 25,3,2024-09-07 09:27:01:000,1,452,13,0,532,4983,452,0 26,0,2024-09-07 09:27:01:729,114543,0.4,111934,0.6,234958,0.4,305886,1.75 26,1,2024-09-07 09:27:01:541,794345,794345,0,0,372311383148,3899240958,782703,9233,2409,380,391748,0 26,2,2024-09-07 09:27:00:862,570459,570459,0,0,28052377,0,2809 26,3,2024-09-07 09:27:01:717,1,452,2,0,796,5265,452,0 27,0,2024-09-07 09:27:01:730,115137,0.5,115290,0.6,229375,0.4,306061,2.25 27,1,2024-09-07 09:27:01:679,795312,795312,0,0,373884292613,3890402702,788041,6369,902,381,391626,0 27,2,2024-09-07 09:27:00:872,570982,570917,65,0,25658415,0,5699 27,3,2024-09-07 09:27:01:015,1,452,0,0,564,4157,452,0 28,0,2024-09-07 09:27:01:414,109660,0.4,109332,0.6,219273,0.3,292446,1.75 28,1,2024-09-07 09:27:00:812,794552,794552,0,0,373326908654,3892801177,787354,5499,1699,382,391698,0 28,2,2024-09-07 09:27:01:764,574185,574185,0,0,23390064,0,2915 28,3,2024-09-07 09:27:01:780,1,452,1,0,502,4771,452,0 29,0,2024-09-07 09:27:01:361,116914,0.3,113940,0.5,223355,0.2,304612,1.75 29,1,2024-09-07 09:27:01:563,796939,796939,0,0,373679392516,3863732657,792067,4137,735,368,391809,0 29,2,2024-09-07 09:27:00:861,570458,570458,0,0,22084037,0,4986 29,3,2024-09-07 09:27:00:963,1,452,19,0,459,5177,452,0 30,0,2024-09-07 09:27:01:456,113725,0.5,110519,0.7,231456,0.5,302591,2.00 30,1,2024-09-07 09:27:00:573,795688,795688,0,0,374415042851,3884924066,789614,5307,767,381,391672,0 30,2,2024-09-07 09:27:01:273,570461,570461,0,0,21564417,0,4192 30,3,2024-09-07 09:27:00:581,1,452,1,0,519,4436,452,0 31,0,2024-09-07 09:27:01:814,115125,0.5,115511,0.7,230778,0.4,307718,2.00 31,1,2024-09-07 09:27:00:564,799395,799395,0,0,374944382632,3840697193,796289,2520,586,356,391712,0 31,2,2024-09-07 09:27:01:275,570257,570257,0,0,23336684,0,3525 31,3,2024-09-07 09:27:01:706,1,452,74,0,220,3936,452,0 32,0,2024-09-07 09:27:01:416,111736,0.3,112333,0.5,223704,0.2,297663,1.50 32,1,2024-09-07 09:27:00:805,795445,795445,0,0,373563976901,3883187301,790321,4442,682,381,391646,0 32,2,2024-09-07 09:27:00:935,575682,575682,0,0,21167056,0,3922 32,3,2024-09-07 09:27:01:015,1,452,19,0,304,3466,452,0 33,0,2024-09-07 09:27:01:490,111104,0.2,110740,0.4,221925,0.2,295707,1.50 33,1,2024-09-07 09:27:00:575,796132,796132,0,0,374170588566,3876789026,789615,5412,1105,368,391730,0 33,2,2024-09-07 09:27:00:761,574086,574051,35,0,23441610,0,7012 33,3,2024-09-07 09:27:00:895,1,452,2,0,329,4199,452,0 34,0,2024-09-07 09:27:00:932,114806,0.3,117905,0.4,225351,0.2,303204,1.75 34,1,2024-09-07 09:27:01:049,797289,797289,0,0,375138792593,3854871620,795506,1771,12,367,391562,0 34,2,2024-09-07 09:27:00:772,570063,570063,0,0,21707146,0,4562 34,3,2024-09-07 09:27:01:688,1,452,1,0,299,3303,452,0 35,0,2024-09-07 09:27:00:860,114927,0.3,115585,0.5,232157,0.3,308678,1.75 35,1,2024-09-07 09:27:01:067,795158,795158,0,0,373832406529,3872324118,790577,3722,859,382,391769,0 35,2,2024-09-07 09:27:01:586,569823,569823,0,0,23652879,0,4055 35,3,2024-09-07 09:27:00:907,1,452,5,0,418,4549,452,0 36,0,2024-09-07 09:27:01:526,114182,0.4,113981,0.6,228042,0.4,303620,2.00 36,1,2024-09-07 09:27:00:586,794539,794539,0,0,372632496475,3888171905,784690,7847,2002,366,391759,0 36,2,2024-09-07 09:27:01:753,576298,576298,0,0,24792402,0,3875 36,3,2024-09-07 09:27:00:866,1,452,41,0,416,6223,452,0 37,0,2024-09-07 09:27:01:383,108329,0.4,108515,0.6,216777,0.4,289058,2.00 37,1,2024-09-07 09:27:00:573,793980,793973,0,7,372165031161,3882388297,785117,6579,2277,365,391770,0 37,2,2024-09-07 09:27:01:142,572194,572179,15,0,24826827,0,5815 37,3,2024-09-07 09:27:01:766,1,452,12,0,888,6655,452,0 38,0,2024-09-07 09:27:01:439,114356,0.4,111099,0.6,232251,0.4,304533,2.00 38,1,2024-09-07 09:27:01:604,794633,794633,0,0,372621795333,3892315677,783421,8922,2290,368,391821,0 38,2,2024-09-07 09:27:00:765,568103,568056,47,0,24400633,0,6710 38,3,2024-09-07 09:27:00:998,1,452,48,0,689,5552,452,0 39,0,2024-09-07 09:27:01:761,118235,0.6,115733,0.7,225537,0.6,307790,2.00 39,1,2024-09-07 09:27:00:716,793496,793496,0,0,372491636272,3903806210,780338,10063,3095,365,391658,0 39,2,2024-09-07 09:27:01:420,570395,570395,0,0,23197429,0,3391 39,3,2024-09-07 09:27:00:713,1,452,1,0,324,4989,452,0 40,0,2024-09-07 09:27:01:490,113031,0.8,113630,1.0,226681,0.9,302210,2.75 40,1,2024-09-07 09:27:00:580,794418,794418,0,0,372680976724,3897659065,783595,8779,2044,368,391668,0 40,2,2024-09-07 09:27:01:304,573868,573867,1,0,26909487,0,5137 40,3,2024-09-07 09:27:01:145,1,452,119,0,1028,6152,452,0 41,0,2024-09-07 09:27:01:023,108238,1.2,110660,1.2,210977,1.8,287275,2.75 41,1,2024-09-07 09:27:00:783,792692,792692,0,0,372377391691,3889684507,783508,8144,1040,369,391742,0 41,2,2024-09-07 09:27:00:760,572363,572363,0,0,25699930,0,4277 41,3,2024-09-07 09:27:01:676,1,452,12,0,366,4563,452,0 42,0,2024-09-07 09:27:01:480,113871,0.7,113676,0.9,227679,0.7,301625,2.50 42,1,2024-09-07 09:27:01:438,791205,791205,0,0,371881282598,3902836996,779109,10065,2031,380,391675,0 42,2,2024-09-07 09:27:01:137,568785,568785,0,0,25707788,0,3790 42,3,2024-09-07 09:27:01:011,1,452,8,0,892,4308,452,0 43,0,2024-09-07 09:27:00:926,113320,0.7,110339,0.9,230982,0.8,302712,2.25 43,1,2024-09-07 09:27:00:582,794668,794668,0,0,373184450847,3894179362,784285,8644,1739,366,391696,0 43,2,2024-09-07 09:27:01:735,570461,570461,0,0,25481581,0,3812 43,3,2024-09-07 09:27:01:749,1,452,0,0,548,5787,452,0 44,0,2024-09-07 09:27:00:863,115471,0.5,115604,0.6,231633,0.4,307513,2.00 44,1,2024-09-07 09:27:00:563,795900,795900,0,0,373143814342,3854033197,789398,5184,1318,356,391809,0 44,2,2024-09-07 09:27:01:271,570878,570878,0,0,21490356,0,4344 44,3,2024-09-07 09:27:01:094,1,452,6,0,817,5192,452,0 45,0,2024-09-07 09:27:01:764,108473,0.5,105908,0.7,222223,0.4,292275,2.00 45,1,2024-09-07 09:27:01:004,795274,795274,0,0,373719682188,3880415752,789612,5088,574,382,391917,0 45,2,2024-09-07 09:27:01:271,575267,575267,0,0,21725624,0,3596 45,3,2024-09-07 09:27:00:942,1,452,9,0,271,3809,452,0 46,0,2024-09-07 09:27:00:950,111925,0.4,111510,0.6,223779,0.4,297563,2.00 46,1,2024-09-07 09:27:00:575,796903,796903,0,0,373903483315,3859509411,792186,4125,592,366,391709,0 46,2,2024-09-07 09:27:00:595,573071,573071,0,0,21867909,0,4443 46,3,2024-09-07 09:27:01:136,1,452,14,0,908,5520,452,0 47,0,2024-09-07 09:27:01:101,114606,0.4,114851,0.6,229741,0.4,304176,1.75 47,1,2024-09-07 09:27:00:567,797523,797523,0,0,373537839411,3857644784,792646,4039,838,366,391641,0 47,2,2024-09-07 09:27:00:908,571923,571923,0,0,21391341,0,4477 47,3,2024-09-07 09:27:01:115,1,452,7,0,600,5354,452,0 48,0,2024-09-07 09:27:01:517,115965,0.3,115945,0.4,230777,0.2,307500,1.50 48,1,2024-09-07 09:27:01:023,795527,795527,0,0,374032290327,3881953887,790811,4259,457,384,391710,0 48,2,2024-09-07 09:27:00:703,570291,570291,0,0,20322453,0,3411 48,3,2024-09-07 09:27:00:756,1,452,12,0,339,3559,452,0 49,0,2024-09-07 09:27:01:714,115707,0.3,113798,0.5,220761,0.3,302017,1.75 49,1,2024-09-07 09:27:01:023,794828,794828,0,0,372968812871,3877814178,789668,3953,1207,382,391809,0 49,2,2024-09-07 09:27:01:797,576043,576043,0,0,22457204,0,4426 49,3,2024-09-07 09:27:01:416,1,452,8,0,992,5286,452,0 50,0,2024-09-07 09:27:01:507,110458,0.3,108800,0.4,219448,0.2,292760,1.75 50,1,2024-09-07 09:27:01:010,797264,797264,0,0,374862855902,3874201533,792224,4466,574,368,391565,0 50,2,2024-09-07 09:27:01:068,574067,574067,0,0,20427230,0,4490 50,3,2024-09-07 09:27:01:298,1,452,2,0,567,4426,452,0 51,0,2024-09-07 09:27:01:696,117625,0.3,115361,0.4,224885,0.2,306202,1.75 51,1,2024-09-07 09:27:01:691,797634,797634,0,0,375234320705,3867968790,793523,3062,1049,365,391706,0 51,2,2024-09-07 09:27:01:317,568946,568946,0,0,19278878,0,3337 51,3,2024-09-07 09:27:01:027,1,452,1,0,678,3592,452,0 52,0,2024-09-07 09:27:01:445,116137,0.5,115964,0.7,232214,0.5,308636,2.00 52,1,2024-09-07 09:27:00:579,794027,794027,0,0,372730113242,3896486127,783259,9324,1444,368,391722,0 52,2,2024-09-07 09:27:01:755,566650,566612,38,0,25943606,0,6742 52,3,2024-09-07 09:27:00:682,1,452,1,0,1782,5948,452,0 53,0,2024-09-07 09:27:01:728,112868,0.6,109606,0.8,229344,0.7,300931,2.25 53,1,2024-09-07 09:27:00:775,792382,792382,0,0,372570958126,3903800706,780196,9225,2961,367,391702,0 53,2,2024-09-07 09:27:01:299,576144,576143,1,0,23653320,0,5455 53,3,2024-09-07 09:27:00:702,1,452,12,0,308,4195,452,0 54,0,2024-09-07 09:27:01:616,107760,0.5,108193,0.7,215201,0.4,288309,2.25 54,1,2024-09-07 09:27:00:579,794140,794140,0,0,373164579649,3875326761,786579,6320,1241,366,391659,0 54,2,2024-09-07 09:27:00:873,574506,574474,32,0,26903747,0,6397 54,3,2024-09-07 09:27:00:762,1,452,4,0,676,6307,452,0 55,0,2024-09-07 09:27:01:760,110730,0.5,114224,0.6,231611,0.4,301481,2.25 55,1,2024-09-07 09:27:00:763,795056,795056,0,0,372899215880,3872735623,786931,6946,1179,365,391731,0 55,2,2024-09-07 09:27:00:729,568105,568049,56,0,25758052,0,7239 55,3,2024-09-07 09:27:00:676,1,452,1,0,304,4378,452,0 56,0,2024-09-07 09:27:01:585,117148,1.3,110457,1.1,227706,1.7,304926,2.75 56,1,2024-09-07 09:27:00:573,790892,790892,0,0,372049576331,3922969470,779364,9154,2374,381,391867,0 56,2,2024-09-07 09:27:01:316,569804,569682,122,0,26421399,0,7432 56,3,2024-09-07 09:27:01:064,1,452,32,0,705,5350,452,0 57,0,2024-09-07 09:27:00:941,113268,1.6,113015,1.3,226653,2.3,303197,3.25 57,1,2024-09-07 09:27:00:990,793096,793096,0,0,371631082555,3889770317,784397,7611,1088,366,392032,0 57,2,2024-09-07 09:27:01:316,573592,573592,0,0,27353435,0,3317 57,3,2024-09-07 09:27:01:749,1,452,13,0,455,5077,452,0 58,0,2024-09-07 09:27:00:556,107851,0.8,104844,0.9,219238,0.9,287445,2.50 58,1,2024-09-07 09:27:00:575,793590,793587,0,3,373256711209,3904304729,782468,8815,2304,367,391603,3 58,2,2024-09-07 09:27:01:073,573455,573455,0,0,25425815,0,2902 58,3,2024-09-07 09:27:01:068,1,452,149,0,1043,4838,452,0 59,0,2024-09-07 09:27:01:786,113185,0.7,112700,0.9,225220,0.7,298988,2.50 59,1,2024-09-07 09:27:00:805,793141,793141,0,0,372900472576,3901267303,782757,8573,1811,369,391653,0 59,2,2024-09-07 09:27:00:583,570106,570106,0,0,25141696,0,3727 59,3,2024-09-07 09:27:01:740,1,452,7,0,1015,5884,452,0 60,0,2024-09-07 09:27:01:732,113978,0.5,113860,0.7,228567,0.5,303436,1.75 60,1,2024-09-07 09:27:00:774,795879,795879,0,0,373531153568,3873963917,790725,4432,722,370,392031,0 60,2,2024-09-07 09:27:01:141,570572,570572,0,0,23487004,0,3811 60,3,2024-09-07 09:27:01:258,1,452,33,0,409,5169,452,0 61,0,2024-09-07 09:27:01:511,115207,0.7,115863,0.8,230770,0.7,307455,2.00 61,1,2024-09-07 09:27:00:774,793757,793757,0,0,372724621740,3900516256,785434,6891,1432,382,392127,0 61,2,2024-09-07 09:27:01:118,570798,570731,67,0,23932560,0,6411 61,3,2024-09-07 09:27:01:687,1,452,11,0,479,5882,452,0 62,0,2024-09-07 09:27:01:725,112197,0.5,114983,0.7,219622,0.5,297497,2.00 62,1,2024-09-07 09:27:01:117,798558,798552,0,6,375371784431,3867536634,794736,3555,261,365,391975,6 62,2,2024-09-07 09:27:01:648,573165,573164,1,0,24133129,0,5555 62,3,2024-09-07 09:27:01:149,1,452,1,0,482,3809,452,0 63,0,2024-09-07 09:27:01:487,111125,0.3,111024,0.5,222659,0.3,295990,1.75 63,1,2024-09-07 09:27:00:805,796044,796038,0,6,373624302909,3876170702,791055,4250,733,381,391800,6 63,2,2024-09-07 09:27:00:761,573136,573136,0,0,21909415,0,4369 63,3,2024-09-07 09:27:01:732,1,452,1,0,667,4472,452,0 64,0,2024-09-07 09:27:01:515,114004,0.5,114123,0.6,227602,0.5,302426,1.75 64,1,2024-09-07 09:27:00:753,795209,795209,0,0,373969004818,3893125127,788434,5072,1703,370,391783,0 64,2,2024-09-07 09:27:01:148,572727,572708,19,0,21854892,0,6121 64,3,2024-09-07 09:27:01:140,1,452,7,0,265,4307,452,0 65,0,2024-09-07 09:27:01:689,114738,0.7,115030,0.8,229654,0.7,306050,2.00 65,1,2024-09-07 09:27:00:864,793365,793365,0,0,372921890405,3893911535,788247,4578,540,381,391901,0 65,2,2024-09-07 09:27:01:700,569819,569819,0,0,25894654,0,3367 65,3,2024-09-07 09:27:01:683,1,452,30,0,782,5506,452,0 66,0,2024-09-07 09:27:01:775,113566,0.5,113391,0.7,226827,0.5,301836,2.25 66,1,2024-09-07 09:27:01:295,795282,795282,0,0,372935484242,3882183020,789781,4975,526,380,391743,0 66,2,2024-09-07 09:27:01:136,577224,577224,0,0,22677636,0,4956 66,3,2024-09-07 09:27:01:082,1,452,93,0,291,3871,452,0 67,0,2024-09-07 09:27:01:414,108919,0.4,108708,0.6,218059,0.4,289953,2.00 67,1,2024-09-07 09:27:00:773,795482,795481,0,1,373668177742,3889948871,789962,4725,794,380,391787,1 67,2,2024-09-07 09:27:00:583,576228,576228,0,0,21624037,0,3622 67,3,2024-09-07 09:27:01:753,1,452,1,0,392,4363,452,0 68,0,2024-09-07 09:27:00:567,115253,0.5,115307,0.7,229325,0.5,306802,2.00 68,1,2024-09-07 09:27:00:573,792383,792383,0,0,371826606732,3906175964,782286,7150,2947,381,391953,0 68,2,2024-09-07 09:27:01:051,565669,565569,100,0,28364210,0,8578 68,3,2024-09-07 09:27:00:732,1,452,138,0,417,5325,452,0 69,0,2024-09-07 09:27:01:743,114943,0.7,115717,0.8,230498,0.8,306128,2.25 69,1,2024-09-07 09:27:01:017,791444,791444,0,0,371780822930,3913088569,781624,7549,2271,384,391994,0 69,2,2024-09-07 09:27:01:732,568990,568961,29,0,30223822,0,6912 69,3,2024-09-07 09:27:00:765,1,452,15,0,698,6780,452,0 70,0,2024-09-07 09:27:01:532,112963,1.0,113281,1.1,227801,0.9,301594,2.50 70,1,2024-09-07 09:27:00:801,795687,795687,0,0,373851158340,3876618423,789582,5421,684,366,391725,0 70,2,2024-09-07 09:27:01:325,574580,574580,0,0,25326637,0,4323 70,3,2024-09-07 09:27:00:750,1,452,4,0,854,5179,452,0 71,0,2024-09-07 09:27:01:415,107738,0.7,107472,0.9,216032,0.8,288511,2.50 71,1,2024-09-07 09:27:01:596,794198,794198,0,0,372554940066,3881786461,785752,7643,803,368,391738,0 71,2,2024-09-07 09:27:01:079,573152,573152,0,0,25411992,0,4352 71,3,2024-09-07 09:27:01:752,1,452,1,0,644,5683,452,0 72,0,2024-09-07 09:27:01:036,118017,0.5,115471,0.7,225070,0.4,306115,2.00 72,1,2024-09-07 09:27:01:023,792868,792868,0,0,372477115090,3902851479,781995,8863,2010,369,391819,0 72,2,2024-09-07 09:27:01:757,568198,568198,0,0,27305965,0,3983 72,3,2024-09-07 09:27:01:758,1,452,0,0,564,6621,452,0 73,0,2024-09-07 09:27:01:097,111497,0.4,114311,0.6,233566,0.4,303560,2.00 73,1,2024-09-07 09:27:00:773,794835,794835,0,0,373432313505,3875597278,789428,4997,410,367,391858,0 73,2,2024-09-07 09:27:01:739,570013,570013,0,0,26864380,0,3701 73,3,2024-09-07 09:27:00:977,1,452,12,0,486,5824,452,0 74,0,2024-09-07 09:27:01:323,116290,0.5,118943,0.7,226721,0.5,307808,2.25 74,1,2024-09-07 09:27:00:660,793796,793796,0,0,372864191405,3888087583,785825,6376,1595,381,391762,0 74,2,2024-09-07 09:27:01:002,571470,571470,0,0,24709870,0,4253 74,3,2024-09-07 09:27:01:461,1,452,7,0,522,5622,452,0 75,0,2024-09-07 09:27:01:771,110314,0.5,109594,0.7,219943,0.4,293288,2.25 75,1,2024-09-07 09:27:01:587,794415,794415,0,0,372758488221,3884027110,787537,6050,828,380,391739,0 75,2,2024-09-07 09:27:01:350,573215,573215,0,0,27526226,0,4766 75,3,2024-09-07 09:27:01:067,1,452,1,0,702,5774,452,0 76,0,2024-09-07 09:27:00:613,111851,0.5,111042,0.7,222947,0.4,298323,2.25 76,1,2024-09-07 09:27:00:805,794130,794130,0,0,372058996675,3878626163,788693,4609,828,382,391692,0 76,2,2024-09-07 09:27:01:070,574647,574646,1,0,23975990,0,5144 76,3,2024-09-07 09:27:01:141,1,452,14,0,175,4179,452,0 77,0,2024-09-07 09:27:01:736,113907,0.5,114302,0.7,228783,0.5,303166,2.00 77,1,2024-09-07 09:27:00:830,794773,794773,0,0,373109095652,3890266021,788931,5335,507,381,391869,0 77,2,2024-09-07 09:27:01:289,569492,569492,0,0,23461405,0,3890 77,3,2024-09-07 09:27:01:094,1,452,14,0,401,4931,452,0 78,0,2024-09-07 09:27:01:716,115673,0.4,115082,0.6,231014,0.4,306028,2.00 78,1,2024-09-07 09:27:00:610,795393,795393,0,0,372250219303,3874714364,787522,6302,1569,367,391670,0 78,2,2024-09-07 09:27:01:407,571100,571087,13,0,22230573,0,8313 78,3,2024-09-07 09:27:01:133,1,452,1,0,181,3764,452,0 79,0,2024-09-07 09:27:01:349,108991,0.4,111594,0.6,228536,0.3,297010,2.25 79,1,2024-09-07 09:27:00:573,796397,796397,0,0,373257779250,3866592393,789768,5320,1309,367,391682,0 79,2,2024-09-07 09:27:01:068,576050,576050,0,0,21734639,0,4195 79,3,2024-09-07 09:27:00:749,1,452,1,0,418,5510,452,0 80,0,2024-09-07 09:27:01:115,109739,0.5,112889,0.6,215955,0.4,292377,2.00 80,1,2024-09-07 09:27:01:644,794402,794402,0,0,372763219350,3880661960,788805,5174,423,368,392269,0 80,2,2024-09-07 09:27:01:101,575932,575932,0,0,22160070,0,4433 80,3,2024-09-07 09:27:00:575,1,452,9,0,190,5111,452,0 81,0,2024-09-07 09:27:01:575,114916,0.5,117654,0.7,224656,0.5,304940,2.00 81,1,2024-09-07 09:27:01:659,793270,793270,0,0,371986458991,3884693253,787245,5484,541,382,391879,0 81,2,2024-09-07 09:27:01:131,567507,567444,63,0,24372286,0,5932 81,3,2024-09-07 09:27:01:117,1,452,13,0,719,5329,452,0 82,0,2024-09-07 09:27:01:533,115591,0.5,115683,0.7,232006,0.5,307979,2.00 82,1,2024-09-07 09:27:00:583,795862,795858,0,4,373631912137,3884594208,791134,3905,819,381,391768,4 82,2,2024-09-07 09:27:01:690,571244,571244,0,0,20907683,0,4484 82,3,2024-09-07 09:27:01:751,1,452,13,0,363,4629,452,0 83,0,2024-09-07 09:27:01:525,113644,0.6,113598,0.8,226600,0.6,301148,2.25 83,1,2024-09-07 09:27:00:559,793783,793783,0,0,372542868412,3882266720,788250,5083,450,382,391709,0 83,2,2024-09-07 09:27:00:770,575960,575960,0,0,21658047,0,3393 83,3,2024-09-07 09:27:00:749,1,452,1,0,1260,5654,452,0 84,0,2024-09-07 09:27:01:769,108725,0.6,108675,0.8,216485,0.5,290054,2.25 84,1,2024-09-07 09:27:01:038,793302,793302,0,0,372424462481,3887187611,785449,6808,1045,367,391967,0 84,2,2024-09-07 09:27:00:573,572894,572864,30,0,28998762,0,5971 84,3,2024-09-07 09:27:01:144,1,452,5,0,908,6626,452,0 85,0,2024-09-07 09:27:01:011,110347,0.5,110323,0.7,234074,0.4,302891,2.00 85,1,2024-09-07 09:27:00:561,791172,791172,0,0,371432153031,3913660322,780328,8923,1921,381,392006,0 85,2,2024-09-07 09:27:00:865,567481,567481,0,0,26645657,0,3656 85,3,2024-09-07 09:27:00:686,1,452,15,0,789,5319,452,0 86,0,2024-09-07 09:27:00:884,114468,0.6,117918,0.8,225635,0.7,305225,2.25 86,1,2024-09-07 09:27:00:826,793515,793515,0,0,372781571864,3899208448,784825,7247,1443,366,391961,0 86,2,2024-09-07 09:27:00:857,568256,568255,1,0,29122464,0,5004 86,3,2024-09-07 09:27:00:589,1,452,254,0,308,6621,452,0 87,0,2024-09-07 09:27:01:340,114874,0.9,114651,0.9,229415,1.3,306444,2.50 87,1,2024-09-07 09:27:00:555,792440,792440,0,0,372498347233,3899278687,782476,8369,1595,366,392076,0 87,2,2024-09-07 09:27:01:067,572533,572527,6,0,25857587,0,6323 87,3,2024-09-07 09:27:01:794,1,452,9,0,473,6875,452,0 88,0,2024-09-07 09:27:01:464,109307,0.4,110080,0.6,219458,0.4,292366,1.75 88,1,2024-09-07 09:27:00:576,792015,792015,0,0,371867370973,3890480716,782284,7722,2009,365,392084,0 88,2,2024-09-07 09:27:00:692,574007,574007,0,0,28104107,0,4465 88,3,2024-09-07 09:27:01:270,1,452,2,0,435,5357,452,0 89,0,2024-09-07 09:27:01:784,116785,0.4,113095,0.6,223752,0.3,304483,1.75 89,1,2024-09-07 09:27:00:554,791858,791858,0,0,372031618310,3905955585,782668,7760,1430,382,391866,0 89,2,2024-09-07 09:27:01:131,570354,570354,0,0,26292496,0,3173 89,3,2024-09-07 09:27:01:803,1,452,15,0,468,7642,452,0 90,0,2024-09-07 09:27:01:633,110717,0.5,113823,0.6,231979,0.4,302448,2.00 90,1,2024-09-07 09:27:00:590,793168,793168,0,0,372759408023,3897406102,786384,6269,515,380,391825,0 90,2,2024-09-07 09:27:01:405,568091,568091,0,0,27723182,0,3060 90,3,2024-09-07 09:27:00:939,1,452,11,0,322,5407,452,0 91,0,2024-09-07 09:27:00:957,116072,0.5,112340,0.6,234655,0.5,308175,1.75 91,1,2024-09-07 09:27:00:566,791799,791799,0,0,372285119063,3909198033,782529,7865,1405,381,392047,0 91,2,2024-09-07 09:27:01:331,571810,571810,0,0,24543972,0,2896 91,3,2024-09-07 09:27:00:598,1,452,1,0,216,4412,452,0 92,0,2024-09-07 09:27:01:454,112620,0.4,115429,0.6,220368,0.4,297784,1.75 92,1,2024-09-07 09:27:00:580,794424,794424,0,0,372555866330,3886659384,788875,4823,726,381,392136,0 92,2,2024-09-07 09:27:01:350,575725,575725,0,0,22216495,0,3259 92,3,2024-09-07 09:27:01:010,1,452,1,0,167,3956,452,0 93,0,2024-09-07 09:27:01:000,111569,0.3,114373,0.5,218351,0.3,296078,1.75 93,1,2024-09-07 09:27:00:805,793826,793826,0,0,372937510199,3889718094,785654,6786,1386,366,391776,0 93,2,2024-09-07 09:27:00:928,573180,573180,0,0,26943641,0,4845 93,3,2024-09-07 09:27:01:406,1,452,8,0,190,4006,452,0 94,0,2024-09-07 09:27:01:617,114056,0.3,114987,0.5,229503,0.3,303985,1.75 94,1,2024-09-07 09:27:00:565,794164,794164,0,0,372795008515,3885486363,789359,4595,210,381,391850,0 94,2,2024-09-07 09:27:00:762,569081,569081,0,0,22376837,0,2443 94,3,2024-09-07 09:27:01:689,1,452,0,0,576,5844,452,0 95,0,2024-09-07 09:27:01:366,115672,0.4,115542,0.5,231667,0.3,308643,1.75 95,1,2024-09-07 09:27:00:854,795462,795462,0,0,373839986792,3882451241,789312,5712,438,365,391786,0 95,2,2024-09-07 09:27:01:025,569560,569560,0,0,22344390,0,3308 95,3,2024-09-07 09:27:01:709,1,452,6,0,718,6310,452,0 96,0,2024-09-07 09:27:01:033,114045,0.4,114335,0.5,228183,0.3,302976,1.75 96,1,2024-09-07 09:27:01:587,793473,793473,0,0,372386536096,3883445897,787830,4754,889,384,391955,0 96,2,2024-09-07 09:27:01:291,576665,576665,0,0,23398244,0,4180 96,3,2024-09-07 09:27:01:140,1,452,0,0,411,4966,452,0 97,0,2024-09-07 09:27:01:346,108964,0.3,108515,0.4,217904,0.2,289781,1.50 97,1,2024-09-07 09:27:00:769,795168,795168,0,0,373836643538,3876027113,789999,4234,935,367,392140,0 97,2,2024-09-07 09:27:00:607,574420,574420,0,0,22586716,0,3679 97,3,2024-09-07 09:27:00:572,1,452,7,0,242,5366,452,0 98,0,2024-09-07 09:27:01:699,114711,0.3,115066,0.4,230787,0.2,307161,1.50 98,1,2024-09-07 09:27:00:583,794556,794556,0,0,372908708156,3883806887,789486,4278,792,382,391997,0 98,2,2024-09-07 09:27:00:773,568715,568715,0,0,22437977,0,4336 98,3,2024-09-07 09:27:00:713,1,452,0,0,840,7284,452,0 99,0,2024-09-07 09:27:01:483,115564,0.3,116156,0.5,231028,0.3,308770,1.75 99,1,2024-09-07 09:27:01:723,795045,795045,0,0,372383552009,3873977385,790064,4144,837,381,392069,0 99,2,2024-09-07 09:27:01:423,571098,571098,0,0,26559859,0,4276 99,3,2024-09-07 09:27:00:581,1,452,5,0,606,4798,452,0 100,0,2024-09-07 09:27:01:484,113961,0.8,114324,0.9,227751,0.9,304187,2.50 100,1,2024-09-07 09:27:00:559,790835,790835,0,0,371461960718,3920202581,779496,9033,2306,378,391989,0 100,2,2024-09-07 09:27:01:829,572352,572341,11,0,26070051,0,5417 100,3,2024-09-07 09:27:01:735,1,452,1,0,559,7002,452,0 101,0,2024-09-07 09:27:01:720,111140,0.7,108260,0.9,212275,0.6,290608,2.25 101,1,2024-09-07 09:27:00:554,791381,791381,0,0,371498376811,3902365024,780633,8623,2125,368,391847,0 101,2,2024-09-07 09:27:01:763,570578,570578,0,0,30971220,0,4871 101,3,2024-09-07 09:27:00:943,1,452,8,0,1250,7256,452,0 102,0,2024-09-07 09:27:01:054,111246,0.5,114669,0.7,232703,0.5,304698,2.00 102,1,2024-09-07 09:27:01:150,791436,791436,0,0,371688204396,3900806095,781537,8134,1765,369,391891,0 102,2,2024-09-07 09:27:01:762,570099,570045,54,0,24805400,0,6768 102,3,2024-09-07 09:27:01:621,1,452,1,0,466,4850,452,0 103,0,2024-09-07 09:27:01:631,118099,0.5,118049,0.7,222523,0.5,305953,2.00 103,1,2024-09-07 09:27:01:625,790890,790890,0,0,371636810558,3921085900,778449,9319,3122,381,391862,0 103,2,2024-09-07 09:27:00:582,568532,568532,0,0,27732922,0,3766 103,3,2024-09-07 09:27:00:756,1,452,6,0,916,4876,452,0 104,0,2024-09-07 09:27:01:006,114461,0.7,114785,0.9,228459,0.7,305949,2.25 104,1,2024-09-07 09:27:01:633,792922,792922,0,0,372062927303,3902411363,782056,9002,1864,365,392168,0 104,2,2024-09-07 09:27:01:676,570566,570566,0,0,26334645,0,3941 104,3,2024-09-07 09:27:01:416,1,452,1,0,1245,8674,452,0 105,0,2024-09-07 09:27:01:034,108678,0.8,105845,1.0,221896,0.8,291370,2.50 105,1,2024-09-07 09:27:00:554,794556,794556,0,0,373072947959,3904105232,785063,8064,1429,366,392009,0 105,2,2024-09-07 09:27:01:321,572973,572973,0,0,26192616,0,3509 105,3,2024-09-07 09:27:01:304,1,452,8,0,399,6641,452,0 106,0,2024-09-07 09:27:01:025,108455,0.6,111063,0.8,227350,0.6,297786,2.25 106,1,2024-09-07 09:27:01:762,793047,793047,0,0,372166158198,3901921486,781979,9619,1449,368,391914,0 106,2,2024-09-07 09:27:00:785,571455,571455,0,0,25515449,0,2920 106,3,2024-09-07 09:27:00:678,1,452,1,0,470,5577,452,0 107,0,2024-09-07 09:27:01:153,114080,0.8,114326,0.9,228489,0.9,304290,2.25 107,1,2024-09-07 09:27:00:591,791449,791449,0,0,371786792060,3912963095,781210,9185,1054,381,392234,0 107,2,2024-09-07 09:27:01:298,567719,567718,1,0,26513424,0,5024 107,3,2024-09-07 09:27:01:771,1,452,5,0,370,6701,452,0 108,0,2024-09-07 09:27:01:765,115120,0.5,115995,0.6,230581,0.4,307467,1.75 108,1,2024-09-07 09:27:01:295,794666,794666,0,0,373849517081,3894834103,788482,5439,745,367,391857,0 108,2,2024-09-07 09:27:01:757,569160,569160,0,0,24989336,0,4246 108,3,2024-09-07 09:27:01:330,1,452,1,0,749,8101,452,0 109,0,2024-09-07 09:27:01:772,113476,0.4,112621,0.6,225436,0.3,301285,1.75 109,1,2024-09-07 09:27:00:583,791362,791362,0,0,372357364140,3905181020,783910,6265,1187,382,392132,0 109,2,2024-09-07 09:27:00:923,573266,573266,0,0,24536691,0,3617 109,3,2024-09-07 09:27:01:148,1,452,41,0,379,5181,452,0 110,0,2024-09-07 09:27:01:751,109609,0.3,106723,0.5,223599,0.3,292976,1.75 110,1,2024-09-07 09:27:01:654,795290,795290,0,0,372996469470,3868297472,789893,4218,1179,369,392045,0 110,2,2024-09-07 09:27:01:316,574319,574319,0,0,23574147,0,4067 110,3,2024-09-07 09:27:00:702,1,452,125,0,722,6405,452,0 111,0,2024-09-07 09:27:01:427,115326,0.3,114535,0.5,229523,0.3,306151,1.75 111,1,2024-09-07 09:27:00:999,795934,795934,0,0,375068028910,3886823251,792002,3577,355,380,391690,0 111,2,2024-09-07 09:27:01:116,567665,567665,0,0,22974680,0,4823 111,3,2024-09-07 09:27:00:913,1,452,1,0,379,5198,452,0 112,0,2024-09-07 09:27:00:911,116533,0.3,115999,0.4,232434,0.2,308940,1.50 112,1,2024-09-07 09:27:00:833,795171,795171,0,0,373514506710,3874458762,790439,4119,613,380,391624,0 112,2,2024-09-07 09:27:01:148,569769,569768,1,0,22508202,0,5036 112,3,2024-09-07 09:27:00:594,1,452,7,0,282,4306,452,0 113,0,2024-09-07 09:27:00:893,113754,0.3,113737,0.5,227958,0.3,303072,1.75 113,1,2024-09-07 09:27:01:685,797900,797900,0,0,375281508165,3869120547,794004,3307,589,366,391661,0 113,2,2024-09-07 09:27:01:304,577524,577524,0,0,20687668,0,3813 113,3,2024-09-07 09:27:00:684,1,452,10,0,340,4902,452,0 114,0,2024-09-07 09:27:00:875,109560,0.3,110308,0.4,219185,0.2,293489,1.75 114,1,2024-09-07 09:27:00:720,795371,795371,0,0,373427733722,3877516865,789065,4690,1616,381,391565,0 114,2,2024-09-07 09:27:00:872,575326,575325,1,0,21711165,0,5069 114,3,2024-09-07 09:27:01:283,1,452,0,0,395,3745,452,0 115,0,2024-09-07 09:27:00:558,114682,0.2,115131,0.4,229830,0.2,305361,1.50 115,1,2024-09-07 09:27:00:573,795681,795681,0,0,373433614290,3878946253,789183,5230,1268,382,391757,0 115,2,2024-09-07 09:27:01:130,570403,570403,0,0,21428666,0,4382 115,3,2024-09-07 09:27:01:002,1,452,1,0,159,2495,452,0 116,0,2024-09-07 09:27:01:715,114389,0.7,114334,0.8,229139,0.7,306587,2.00 116,1,2024-09-07 09:27:00:804,791361,791361,0,0,371977791614,3914778934,782427,6588,2346,380,392089,0 116,2,2024-09-07 09:27:01:758,569377,569377,0,0,27452251,0,4128 116,3,2024-09-07 09:27:00:912,1,452,14,0,415,5182,452,0 117,0,2024-09-07 09:27:00:954,115256,0.8,114671,0.8,229764,0.9,306830,2.00 117,1,2024-09-07 09:27:01:596,792703,792703,0,0,371704050288,3885533173,784900,6887,916,369,392033,0 117,2,2024-09-07 09:27:01:120,575921,575921,0,0,23688771,0,4303 117,3,2024-09-07 09:27:01:059,1,452,7,0,490,6608,452,0 118,0,2024-09-07 09:27:01:763,106274,0.5,109122,0.6,222799,0.4,291128,2.00 118,1,2024-09-07 09:27:00:590,792153,792153,0,0,370914537268,3897528965,780226,8926,3001,366,391907,0 118,2,2024-09-07 09:27:01:587,573323,573323,0,0,25839609,0,2842 118,3,2024-09-07 09:27:01:764,1,452,9,0,248,5138,452,0 119,0,2024-09-07 09:27:01:349,113337,0.5,113634,0.7,227188,0.4,302478,2.00 119,1,2024-09-07 09:27:00:547,793342,793342,0,0,372581280623,3895298277,784622,7593,1127,367,391857,0 119,2,2024-09-07 09:27:01:286,571586,571586,0,0,24276451,0,4174 119,3,2024-09-07 09:27:01:326,1,452,190,0,1358,8769,452,0 120,0,2024-09-07 09:27:01:569,113517,0.6,113179,0.8,227089,0.6,302870,2.25 120,1,2024-09-07 09:27:00:859,793429,793429,0,0,371715677964,3896032347,785037,7622,770,368,392144,0 120,2,2024-09-07 09:27:00:774,569496,569495,1,0,27580535,0,5281 120,3,2024-09-07 09:27:01:299,1,452,4,0,279,5481,452,0 121,0,2024-09-07 09:27:01:694,115433,1.4,115122,1.1,230746,1.9,307429,2.50 121,1,2024-09-07 09:27:01:683,793642,793642,0,0,373134471571,3894885572,786886,6294,462,367,391840,0 121,2,2024-09-07 09:27:01:131,570335,570335,0,0,26565390,0,4127 121,3,2024-09-07 09:27:00:737,1,452,12,0,269,4885,452,0 122,0,2024-09-07 09:27:01:793,111077,0.7,108314,0.8,227250,0.8,297520,2.00 122,1,2024-09-07 09:27:00:869,792300,792300,0,0,372484881139,3903418758,781840,8856,1604,366,392130,0 122,2,2024-09-07 09:27:01:323,574923,574850,73,0,29487430,0,5989 122,3,2024-09-07 09:27:00:597,1,452,2,0,512,7910,452,0 123,0,2024-09-07 09:27:00:951,110426,0.5,107670,0.7,225686,0.5,295444,2.00 123,1,2024-09-07 09:27:00:558,792212,792212,0,0,372143018703,3914889046,778656,11112,2444,369,392039,0 123,2,2024-09-07 09:27:01:017,571215,571214,1,0,24905353,0,5215 123,3,2024-09-07 09:27:01:140,1,452,8,0,478,5170,452,0 124,0,2024-09-07 09:27:00:917,117829,0.3,117871,0.5,222110,0.3,304958,1.75 124,1,2024-09-07 09:27:01:024,795256,795256,0,0,373205741063,3875242419,789966,4239,1051,367,392178,0 124,2,2024-09-07 09:27:01:011,569925,569872,53,0,22561254,0,6487 124,3,2024-09-07 09:27:00:762,1,452,2,0,490,4248,452,0 125,0,2024-09-07 09:27:01:436,115575,0.4,115424,0.6,231620,0.4,308543,1.75 125,1,2024-09-07 09:27:00:855,793674,793674,0,0,372895121755,3889914667,787755,5246,673,382,391702,0 125,2,2024-09-07 09:27:01:131,571174,571174,0,0,23418135,0,4534 125,3,2024-09-07 09:27:01:129,1,452,36,0,709,5500,452,0 126,0,2024-09-07 09:27:01:415,114032,0.4,117253,0.6,224326,0.4,303804,1.75 126,1,2024-09-07 09:27:00:555,795868,795868,0,0,374299938762,3872950391,791857,3717,294,365,391987,0 126,2,2024-09-07 09:27:00:615,576429,576429,0,0,24304506,0,4539 126,3,2024-09-07 09:27:00:914,1,452,12,0,268,5349,452,0 127,0,2024-09-07 09:27:01:614,108924,0.3,109202,0.5,218083,0.2,290035,1.50 127,1,2024-09-07 09:27:00:578,794872,794872,0,0,372814187417,3874106463,787518,6131,1223,364,392187,0 127,2,2024-09-07 09:27:00:639,573294,573294,0,0,21890535,0,3897 127,3,2024-09-07 09:27:01:271,1,452,1,0,968,4678,452,0 128,0,2024-09-07 09:27:01:541,115625,0.3,115627,0.4,231176,0.2,307875,1.50 128,1,2024-09-07 09:27:01:612,793888,793888,0,0,372815631212,3867910560,788959,4496,433,367,392031,0 128,2,2024-09-07 09:27:01:383,569935,569935,0,0,21157613,0,3171 128,3,2024-09-07 09:27:00:772,1,452,4,0,1082,6863,452,0 129,0,2024-09-07 09:27:01:024,116538,0.3,116005,0.5,232258,0.3,309224,1.75 129,1,2024-09-07 09:27:00:567,792545,792545,0,0,371651634983,3892266657,784773,6100,1672,379,391962,0 129,2,2024-09-07 09:27:00:692,570724,570724,0,0,22787428,0,4031 129,3,2024-09-07 09:27:00:687,1,452,7,0,506,6437,452,0 130,0,2024-09-07 09:27:01:723,114982,0.5,114491,0.6,230274,0.5,305561,1.75 130,1,2024-09-07 09:27:00:583,795206,795206,0,0,373269527214,3876907918,791070,3797,339,381,391825,0 130,2,2024-09-07 09:27:01:129,575819,575819,0,0,23336474,0,4067 130,3,2024-09-07 09:27:01:304,1,452,6,0,960,6829,452,0 131,0,2024-09-07 09:27:01:962,108711,0.3,109110,0.5,219081,0.3,290654,1.75 131,1,2024-09-07 09:27:01:821,794696,794696,0,0,372778551178,3890187420,788712,4932,1052,381,391865,0 131,2,2024-09-07 09:27:00:573,575945,575945,0,0,21364252,0,3979 131,3,2024-09-07 09:27:01:689,1,452,1,0,392,4905,452,0 132,0,2024-09-07 09:27:01:445,114400,0.4,115418,0.6,229677,0.3,305511,1.75 132,1,2024-09-07 09:27:00:577,790541,790541,0,0,371741957248,3910809297,779194,9236,2111,381,392532,0 132,2,2024-09-07 09:27:00:704,569367,569350,17,0,27674723,0,6451 132,3,2024-09-07 09:27:01:688,1,452,6,0,804,7732,452,0 133,0,2024-09-07 09:27:01:522,111418,0.4,114008,0.6,233915,0.4,304234,1.75 133,1,2024-09-07 09:27:00:585,791013,791013,0,0,372191687346,3918631108,780377,9121,1515,383,391914,0 133,2,2024-09-07 09:27:01:091,569670,569620,50,0,29134099,0,6861 133,3,2024-09-07 09:27:01:297,1,452,16,0,479,4669,452,0 134,0,2024-09-07 09:27:00:959,115875,0.6,115479,0.7,231293,0.6,308242,2.00 134,1,2024-09-07 09:27:00:597,792457,792457,0,0,371431213562,3891851457,782520,7900,2037,366,391718,0 134,2,2024-09-07 09:27:01:755,570588,570564,24,0,26894940,0,6207 134,3,2024-09-07 09:27:00:749,1,452,15,0,739,5607,452,0 135,0,2024-09-07 09:27:01:118,106450,0.7,106446,0.8,225891,0.8,290778,2.00 135,1,2024-09-07 09:27:01:587,792736,792736,0,0,372756104298,3916251159,782759,8601,1376,380,391805,0 135,2,2024-09-07 09:27:00:688,574008,574008,0,0,26135643,0,3981 135,3,2024-09-07 09:27:01:002,1,452,0,0,299,3855,452,0 136,0,2024-09-07 09:27:01:633,112766,0.5,112977,0.7,224717,0.4,300196,2.00 136,1,2024-09-07 09:27:01:444,793806,793806,0,0,372155364263,3897986397,785226,7616,964,381,391685,0 136,2,2024-09-07 09:27:01:140,573848,573848,0,0,24894872,0,3506 136,3,2024-09-07 09:27:01:109,1,452,4,0,637,5135,452,0 137,0,2024-09-07 09:27:00:932,117876,0.6,114824,0.7,225212,0.5,305526,2.00 137,1,2024-09-07 09:27:00:575,791752,791752,0,0,371945197687,3897519480,780149,9243,2360,366,391898,0 137,2,2024-09-07 09:27:01:710,568069,568069,0,0,27530508,0,3185 137,3,2024-09-07 09:27:00:771,1,452,9,0,484,5375,452,0 138,0,2024-09-07 09:27:01:740,114952,1.0,114879,1.0,230733,1.2,305976,2.25 138,1,2024-09-07 09:27:01:692,792879,792879,0,0,372766038483,3903612552,782632,8523,1724,368,391954,0 138,2,2024-09-07 09:27:00:591,569395,569395,0,0,25010337,0,4988 138,3,2024-09-07 09:27:00:611,1,452,2,0,1160,5798,452,0 139,0,2024-09-07 09:27:01:378,111934,1.4,112077,1.1,224713,2.1,299826,2.50 139,1,2024-09-07 09:27:00:573,788864,788864,0,0,370715087049,3933558001,774178,11316,3370,380,392058,0 139,2,2024-09-07 09:27:00:693,571352,571352,0,0,29128137,0,3097 139,3,2024-09-07 09:27:01:662,1,452,0,0,432,5093,452,0 140,0,2024-09-07 09:27:01:591,110290,0.3,109623,0.4,219963,0.2,293435,1.75 140,1,2024-09-07 09:27:01:536,797100,797100,0,0,375371236856,3866490678,793530,3128,442,365,391606,0 140,2,2024-09-07 09:27:00:691,575170,575169,1,0,22098257,0,5036 140,3,2024-09-07 09:27:00:770,1,452,3,0,297,3662,452,0 141,0,2024-09-07 09:27:01:700,115099,0.3,118196,0.4,225710,0.2,305734,1.50 141,1,2024-09-07 09:27:00:859,796205,796205,0,0,374731695750,3888195935,790512,4736,957,379,391614,0 141,2,2024-09-07 09:27:01:686,568906,568906,0,0,21871323,0,3360 141,3,2024-09-07 09:27:01:047,1,452,15,0,391,5010,452,0 142,0,2024-09-07 09:27:01:332,116627,0.3,115935,0.5,231961,0.3,309531,1.75 142,1,2024-09-07 09:27:00:584,794685,794685,0,0,372759305548,3881519858,789985,4299,401,382,392102,0 142,2,2024-09-07 09:27:01:312,569644,569612,32,0,23914993,0,6028 142,3,2024-09-07 09:27:01:747,1,452,1,0,484,4956,452,0 143,0,2024-09-07 09:27:01:390,113703,0.4,113926,0.6,228030,0.4,303175,1.75 143,1,2024-09-07 09:27:00:620,795947,795947,0,0,373877980615,3876731555,790891,4510,546,367,391705,0 143,2,2024-09-07 09:27:00:771,576345,576345,0,0,23235087,0,3123 143,3,2024-09-07 09:27:01:148,1,452,0,0,462,5430,452,0 144,0,2024-09-07 09:27:01:491,105930,0.5,108846,0.8,221978,0.5,291055,2.00 144,1,2024-09-07 09:27:00:572,791575,791575,0,0,371996002756,3901474565,783688,6051,1836,381,391649,0 144,2,2024-09-07 09:27:01:756,574859,574859,0,0,21899165,0,3673 144,3,2024-09-07 09:27:01:740,1,452,9,0,249,4528,452,0 145,0,2024-09-07 09:27:01:414,110819,0.5,110802,0.7,235204,0.4,302745,2.00 145,1,2024-09-07 09:27:00:562,791405,791405,0,0,371712650906,3906003300,781401,8290,1714,382,391759,0 145,2,2024-09-07 09:27:01:437,566356,566275,81,0,26789064,0,7814 145,3,2024-09-07 09:27:00:899,1,452,10,0,622,6294,452,0 146,0,2024-09-07 09:27:01:594,114698,0.6,114157,0.7,229677,0.6,305156,2.25 146,1,2024-09-07 09:27:01:587,792587,792587,0,0,372233574804,3911383354,780099,9328,3160,367,391770,0 146,2,2024-09-07 09:27:01:694,568651,568651,0,0,25479279,0,3290 146,3,2024-09-07 09:27:01:273,1,452,9,0,1520,8128,452,0 147,0,2024-09-07 09:27:01:704,115109,0.7,114942,0.8,229201,0.7,306261,2.25 147,1,2024-09-07 09:27:01:372,795676,795676,0,0,374076840773,3886804313,788954,5873,849,368,391791,0 147,2,2024-09-07 09:27:01:009,573249,573249,0,0,23125104,0,2968 147,3,2024-09-07 09:27:00:912,1,452,87,0,1626,7693,452,0 0,0,2024-09-07 09:27:11:718,110991,0.6,110913,0.7,235441,0.6,304106,2.00 0,1,2024-09-07 09:27:10:814,794356,794356,0,0,373156312944,3902153070,788858,5108,390,369,391896,0 0,2,2024-09-07 09:27:11:071,572457,572457,0,0,23023867,0,4480 0,3,2024-09-07 09:27:10:985,1,453,4,0,431,6675,453,0 1,0,2024-09-07 09:27:11:770,115565,1.1,114989,1.0,230464,1.5,307956,2.25 1,1,2024-09-07 09:27:10:558,794315,794315,0,0,372686523192,3897967557,787758,5227,1330,370,391859,0 1,2,2024-09-07 09:27:10:646,572009,572009,0,0,22841265,0,3380 1,3,2024-09-07 09:27:11:305,1,453,8,0,268,5485,453,0 2,0,2024-09-07 09:27:11:567,111447,0.6,111491,0.7,222303,0.6,296223,2.00 2,1,2024-09-07 09:27:10:859,797011,797011,0,0,374560170392,3884859658,793717,2999,295,380,391745,0 2,2,2024-09-07 09:27:11:267,576363,576363,0,0,21412509,0,3594 2,3,2024-09-07 09:27:10:690,1,453,1,0,357,4241,453,0 3,0,2024-09-07 09:27:11:748,111340,0.4,111058,0.6,222271,0.3,296614,1.75 3,1,2024-09-07 09:27:11:621,795318,795318,0,0,373220537653,3884416315,788960,5664,694,379,391716,0 3,2,2024-09-07 09:27:11:148,574826,574803,23,0,22669497,0,5851 3,3,2024-09-07 09:27:11:753,1,453,0,0,207,2975,453,0 4,0,2024-09-07 09:27:11:769,111118,0.4,114189,0.5,232846,0.3,304102,1.75 4,1,2024-09-07 09:27:10:591,792144,792144,0,0,372051617222,3930727661,779631,9590,2923,370,391992,0 4,2,2024-09-07 09:27:11:018,568153,568153,0,0,26049280,0,4528 4,3,2024-09-07 09:27:11:047,1,453,1,0,448,5944,453,0 5,0,2024-09-07 09:27:11:474,115613,0.4,116243,0.6,232029,0.4,308194,1.75 5,1,2024-09-07 09:27:10:777,793825,793825,0,0,373225187294,3925032156,783132,8518,2175,367,392005,0 5,2,2024-09-07 09:27:11:838,568437,568437,0,0,25998223,0,3582 5,3,2024-09-07 09:27:11:731,1,453,8,0,457,6159,453,0 6,0,2024-09-07 09:27:10:929,114248,0.4,113895,0.6,227598,0.4,303729,2.00 6,1,2024-09-07 09:27:10:751,795426,795426,0,0,373227269532,3897719474,786926,7076,1424,379,391702,0 6,2,2024-09-07 09:27:11:121,576413,576395,18,0,25584524,0,5535 6,3,2024-09-07 09:27:11:274,1,453,191,0,710,5801,453,0 7,0,2024-09-07 09:27:11:537,108478,0.4,109378,0.6,217781,0.4,289958,1.75 7,1,2024-09-07 09:27:10:851,794448,794448,0,0,372922140295,3908856214,784495,8488,1465,382,391747,0 7,2,2024-09-07 09:27:10:786,575680,575680,0,0,25024505,0,4791 7,3,2024-09-07 09:27:10:851,1,453,1,0,552,5030,453,0 8,0,2024-09-07 09:27:11:363,115594,0.3,115384,0.5,231285,0.3,308056,1.75 8,1,2024-09-07 09:27:11:016,793495,793495,0,0,372460399993,3911772299,781799,9063,2633,366,392853,0 8,2,2024-09-07 09:27:10:791,565561,565561,0,0,28929228,0,3250 8,3,2024-09-07 09:27:10:591,1,453,29,0,538,7163,453,0 9,0,2024-09-07 09:27:11:105,115846,0.4,112763,0.5,235918,0.3,309393,1.75 9,1,2024-09-07 09:27:10:558,792895,792895,0,0,372856671179,3922503219,781663,8811,2421,369,392001,0 9,2,2024-09-07 09:27:11:103,570434,570434,0,0,25876917,0,3360 9,3,2024-09-07 09:27:11:752,1,453,14,0,496,6309,453,0 10,0,2024-09-07 09:27:11:614,114365,0.4,113827,0.5,228599,0.3,304342,1.75 10,1,2024-09-07 09:27:10:583,794874,794874,0,0,372692593182,3903028325,784335,8915,1624,381,391741,0 10,2,2024-09-07 09:27:10:768,575865,575865,0,0,28737404,0,4264 10,3,2024-09-07 09:27:10:877,1,453,1,0,649,4694,453,0 11,0,2024-09-07 09:27:11:019,108960,0.4,105671,0.6,220958,0.4,291722,1.75 11,1,2024-09-07 09:27:10:571,795407,795407,0,0,374025768866,3918398826,784076,8704,2627,383,391756,0 11,2,2024-09-07 09:27:11:123,574876,574876,0,0,25102462,0,4130 11,3,2024-09-07 09:27:11:301,1,453,0,0,843,6276,453,0 12,0,2024-09-07 09:27:10:993,115394,0.3,115375,0.5,230883,0.3,306606,1.75 12,1,2024-09-07 09:27:10:944,795195,795195,0,0,373994645551,3895147879,789605,5087,503,370,391960,0 12,2,2024-09-07 09:27:11:541,570072,570072,0,0,24270804,0,3469 12,3,2024-09-07 09:27:11:063,1,453,5,0,386,6462,453,0 13,0,2024-09-07 09:27:11:334,115511,0.4,115083,0.6,229628,0.4,306285,1.75 13,1,2024-09-07 09:27:11:530,793400,793400,0,0,373014959813,3916608494,785763,6003,1634,382,391740,0 13,2,2024-09-07 09:27:10:600,573250,573250,0,0,22340337,0,3287 13,3,2024-09-07 09:27:11:762,1,453,8,0,522,6467,453,0 14,0,2024-09-07 09:27:10:564,115463,0.4,116441,0.6,230515,0.4,307380,1.75 14,1,2024-09-07 09:27:11:561,798986,798986,0,0,374812318377,3877923241,792759,5516,711,364,391673,0 14,2,2024-09-07 09:27:10:768,573775,573745,30,0,24502492,0,6104 14,3,2024-09-07 09:27:11:119,1,453,0,0,1168,4765,453,0 15,0,2024-09-07 09:27:11:554,109766,0.4,109666,0.6,219792,0.4,292571,2.00 15,1,2024-09-07 09:27:11:608,796137,796137,0,0,373517087850,3887275018,789908,5050,1179,381,391619,0 15,2,2024-09-07 09:27:11:003,577103,577103,0,0,20348540,0,3622 15,3,2024-09-07 09:27:11:405,1,453,2,0,1126,7091,453,0 16,0,2024-09-07 09:27:10:933,112592,0.5,113401,0.7,225758,0.4,300624,2.00 16,1,2024-09-07 09:27:10:574,795634,795634,0,0,372906627974,3896902453,788780,5737,1117,370,391917,0 16,2,2024-09-07 09:27:11:441,572817,572817,0,0,24189175,0,4719 16,3,2024-09-07 09:27:11:144,1,453,1,0,317,5522,453,0 17,0,2024-09-07 09:27:11:758,117841,0.6,115157,0.7,225146,0.6,305477,2.00 17,1,2024-09-07 09:27:10:575,794224,794224,0,0,373458853101,3916864664,786111,6400,1713,368,392075,0 17,2,2024-09-07 09:27:11:666,573091,573090,1,0,24640311,0,5050 17,3,2024-09-07 09:27:10:587,1,453,11,0,518,7010,453,0 18,0,2024-09-07 09:27:10:953,114388,0.7,114914,0.8,229146,0.7,305786,2.25 18,1,2024-09-07 09:27:11:638,797509,797509,0,0,374129835109,3875268655,793235,3836,438,367,391725,0 18,2,2024-09-07 09:27:11:755,574015,574015,0,0,21308351,0,3541 18,3,2024-09-07 09:27:10:895,1,453,1,0,1059,4422,453,0 19,0,2024-09-07 09:27:11:546,112508,0.6,112991,0.8,224169,0.6,298184,2.25 19,1,2024-09-07 09:27:10:574,797641,797641,0,0,375055136674,3887786508,791573,5146,922,367,391777,0 19,2,2024-09-07 09:27:11:751,578465,578465,0,0,20441331,0,3988 19,3,2024-09-07 09:27:11:132,1,453,1,0,524,3875,453,0 20,0,2024-09-07 09:27:11:370,110083,0.4,110101,0.6,219996,0.4,293789,2.00 20,1,2024-09-07 09:27:10:569,794613,794613,0,0,373715761568,3905647107,787785,6026,802,369,391922,0 20,2,2024-09-07 09:27:10:933,574236,574236,0,0,24278373,0,3721 20,3,2024-09-07 09:27:10:589,1,453,2,0,414,6878,453,0 21,0,2024-09-07 09:27:11:148,114909,0.4,114987,0.6,230084,0.4,304939,1.75 21,1,2024-09-07 09:27:11:542,792778,792778,0,0,372758198323,3929949511,780838,9109,2831,368,392016,0 21,2,2024-09-07 09:27:11:066,566951,566931,20,0,29416660,0,5617 21,3,2024-09-07 09:27:11:407,1,453,11,0,713,5988,453,0 22,0,2024-09-07 09:27:11:717,116001,0.5,116437,0.7,232521,0.4,308370,2.00 22,1,2024-09-07 09:27:11:033,793949,793949,0,0,372867169501,3915722049,782370,9281,2298,382,391675,0 22,2,2024-09-07 09:27:10:769,569796,569770,26,0,23596446,0,6328 22,3,2024-09-07 09:27:11:065,1,453,1,0,228,3593,453,0 23,0,2024-09-07 09:27:11:369,113640,0.5,113058,0.7,226545,0.5,301868,2.25 23,1,2024-09-07 09:27:11:003,795065,795065,0,0,373696252510,3919437166,781817,9193,4055,365,391690,0 23,2,2024-09-07 09:27:11:094,578428,578428,0,0,22963543,0,3773 23,3,2024-09-07 09:27:11:754,1,453,7,0,720,5757,453,0 24,0,2024-09-07 09:27:10:858,110438,0.4,109684,0.5,220530,0.3,293121,1.75 24,1,2024-09-07 09:27:10:581,794139,794139,0,0,372057543513,3895476062,786121,6423,1595,367,392269,0 24,2,2024-09-07 09:27:11:070,574954,574954,0,0,27467689,0,3607 24,3,2024-09-07 09:27:11:700,1,453,9,0,468,5939,453,0 25,0,2024-09-07 09:27:11:346,117956,0.4,114894,0.5,225313,0.3,307026,1.75 25,1,2024-09-07 09:27:10:558,793890,793890,0,0,373107948754,3927150526,781749,9890,2251,371,391928,0 25,2,2024-09-07 09:27:11:606,568094,568094,0,0,28046596,0,3978 25,3,2024-09-07 09:27:11:000,1,453,12,0,532,4995,453,0 26,0,2024-09-07 09:27:11:721,114849,0.4,112255,0.6,235581,0.4,306717,1.75 26,1,2024-09-07 09:27:11:542,796094,796094,0,0,373004860923,3906667350,784452,9233,2409,380,391748,0 26,2,2024-09-07 09:27:10:861,571680,571680,0,0,28146692,0,2809 26,3,2024-09-07 09:27:11:712,1,453,1,0,796,5266,453,0 27,0,2024-09-07 09:27:11:724,115285,0.5,115460,0.6,229703,0.4,306469,2.25 27,1,2024-09-07 09:27:11:679,796906,796906,0,0,374731284146,3899523601,789633,6371,902,381,391626,0 27,2,2024-09-07 09:27:10:867,572494,572429,65,0,25741871,0,5699 27,3,2024-09-07 09:27:11:017,1,453,1,0,564,4158,453,0 28,0,2024-09-07 09:27:11:392,109941,0.4,109619,0.6,219837,0.3,293073,1.75 28,1,2024-09-07 09:27:10:805,796299,796299,0,0,374265037741,3902443675,789099,5501,1699,382,391698,0 28,2,2024-09-07 09:27:11:769,575606,575606,0,0,23426930,0,2915 28,3,2024-09-07 09:27:11:779,1,453,2,0,502,4773,453,0 29,0,2024-09-07 09:27:11:360,117351,0.3,114378,0.5,224126,0.2,305599,1.75 29,1,2024-09-07 09:27:11:561,798610,798610,0,0,374674225580,3874052001,793738,4137,735,368,391809,0 29,2,2024-09-07 09:27:10:863,571175,571175,0,0,22106614,0,4986 29,3,2024-09-07 09:27:10:976,1,453,22,0,459,5199,453,0 30,0,2024-09-07 09:27:11:463,113843,0.5,110665,0.7,231723,0.5,303053,2.00 30,1,2024-09-07 09:27:10:574,797432,797432,0,0,375251475714,3893452249,791358,5307,767,381,391672,0 30,2,2024-09-07 09:27:11:274,571962,571962,0,0,21626871,0,4192 30,3,2024-09-07 09:27:10:581,1,453,11,0,519,4447,453,0 31,0,2024-09-07 09:27:11:762,115237,0.5,115639,0.7,231038,0.4,307969,2.00 31,1,2024-09-07 09:27:10:569,801119,801119,0,0,375571789793,3847126942,798013,2520,586,356,391712,0 31,2,2024-09-07 09:27:11:280,571726,571726,0,0,23373097,0,3525 31,3,2024-09-07 09:27:11:706,1,453,1,0,220,3937,453,0 32,0,2024-09-07 09:27:11:428,111779,0.3,112386,0.5,223823,0.2,297977,1.50 32,1,2024-09-07 09:27:10:826,797204,797204,0,0,374417056834,3891985835,792080,4442,682,381,391646,0 32,2,2024-09-07 09:27:10:944,576739,576739,0,0,21189567,0,3922 32,3,2024-09-07 09:27:11:019,1,453,1,0,304,3467,453,0 33,0,2024-09-07 09:27:11:495,111502,0.2,111166,0.4,222708,0.2,297018,1.50 33,1,2024-09-07 09:27:10:577,797954,797954,0,0,375157013381,3886891836,791437,5412,1105,368,391730,0 33,2,2024-09-07 09:27:10:761,575090,575055,35,0,23460864,0,7012 33,3,2024-09-07 09:27:10:894,1,453,19,0,329,4218,453,0 34,0,2024-09-07 09:27:10:933,114916,0.3,117996,0.4,225553,0.2,303508,1.75 34,1,2024-09-07 09:27:11:047,798998,798998,0,0,375771801282,3861365689,797215,1771,12,367,391562,0 34,2,2024-09-07 09:27:10:769,571587,571587,0,0,21745698,0,4562 34,3,2024-09-07 09:27:11:688,1,453,1,0,299,3304,453,0 35,0,2024-09-07 09:27:10:865,115093,0.3,115752,0.5,232489,0.3,308941,1.75 35,1,2024-09-07 09:27:11:070,796938,796938,0,0,374717819088,3881406585,792357,3722,859,382,391769,0 35,2,2024-09-07 09:27:11:583,571064,571064,0,0,23691974,0,4055 35,3,2024-09-07 09:27:10:906,1,453,1,0,418,4550,453,0 36,0,2024-09-07 09:27:11:544,114440,0.4,114267,0.6,228593,0.4,304172,2.00 36,1,2024-09-07 09:27:10:590,796361,796361,0,0,373215136594,3894512905,786501,7858,2002,366,391759,0 36,2,2024-09-07 09:27:11:750,577393,577393,0,0,24901872,0,3875 36,3,2024-09-07 09:27:10:862,1,453,1,0,416,6224,453,0 37,0,2024-09-07 09:27:11:393,108676,0.4,108876,0.6,217461,0.4,290102,2.00 37,1,2024-09-07 09:27:10:574,795713,795706,0,7,372991364929,3891850091,786695,6733,2278,365,391770,0 37,2,2024-09-07 09:27:11:147,573538,573523,15,0,24932430,0,5815 37,3,2024-09-07 09:27:11:767,1,453,16,0,888,6671,453,0 38,0,2024-09-07 09:27:11:454,114747,0.4,111367,0.6,233071,0.4,305264,2.00 38,1,2024-09-07 09:27:11:605,796264,796264,0,0,373393415153,3901546057,784806,9152,2306,368,391821,0 38,2,2024-09-07 09:27:10:764,569415,569368,47,0,24535921,0,6710 38,3,2024-09-07 09:27:10:997,1,453,2,0,689,5554,453,0 39,0,2024-09-07 09:27:11:759,118566,0.6,116046,0.7,226150,0.6,308634,2.00 39,1,2024-09-07 09:27:10:716,795071,795071,0,0,373234678476,3911950836,781872,10104,3095,365,391658,0 39,2,2024-09-07 09:27:11:420,571689,571689,0,0,23284652,0,3391 39,3,2024-09-07 09:27:10:715,1,453,1,0,324,4990,453,0 40,0,2024-09-07 09:27:11:510,113195,0.8,113833,1.0,227028,0.9,302738,2.75 40,1,2024-09-07 09:27:10:576,796146,796146,0,0,373513688608,3906738959,785250,8852,2044,368,391668,0 40,2,2024-09-07 09:27:11:306,575307,575306,1,0,27082831,0,5137 40,3,2024-09-07 09:27:11:147,1,453,1,0,1028,6153,453,0 41,0,2024-09-07 09:27:11:024,108595,1.2,111035,1.2,211637,1.8,288116,2.75 41,1,2024-09-07 09:27:10:770,794596,794596,0,0,373288608783,3899537071,785372,8184,1040,369,391742,0 41,2,2024-09-07 09:27:10:762,573650,573650,0,0,25893330,0,4277 41,3,2024-09-07 09:27:11:685,1,453,11,0,366,4574,453,0 42,0,2024-09-07 09:27:11:497,114219,0.7,113989,0.9,228331,0.7,302267,2.50 42,1,2024-09-07 09:27:11:446,792838,792838,0,0,372749865390,3912123513,780733,10074,2031,380,391675,0 42,2,2024-09-07 09:27:11:136,569623,569623,0,0,25767885,0,3790 42,3,2024-09-07 09:27:11:009,1,453,1,0,892,4309,453,0 43,0,2024-09-07 09:27:10:917,113554,0.7,110578,0.9,231486,0.8,303498,2.25 43,1,2024-09-07 09:27:10:576,796221,796221,0,0,374154116516,3904434483,785834,8648,1739,366,391696,0 43,2,2024-09-07 09:27:11:736,571725,571725,0,0,25565868,0,3812 43,3,2024-09-07 09:27:11:748,1,453,1,0,548,5788,453,0 44,0,2024-09-07 09:27:10:874,115585,0.5,115742,0.6,231900,0.4,307991,2.00 44,1,2024-09-07 09:27:10:573,797667,797667,0,0,373807031286,3860863512,791165,5184,1318,356,391809,0 44,2,2024-09-07 09:27:11:269,572395,572395,0,0,21536043,0,4344 44,3,2024-09-07 09:27:11:095,1,453,5,0,817,5197,453,0 45,0,2024-09-07 09:27:11:829,108714,0.5,106129,0.7,222740,0.4,293180,2.00 45,1,2024-09-07 09:27:11:020,797014,797014,0,0,374615121282,3889635266,791351,5089,574,382,391917,0 45,2,2024-09-07 09:27:11:268,576702,576702,0,0,21765743,0,3596 45,3,2024-09-07 09:27:10:939,1,453,8,0,271,3817,453,0 46,0,2024-09-07 09:27:10:960,112461,0.4,111978,0.6,224727,0.4,298775,2.00 46,1,2024-09-07 09:27:10:574,798609,798609,0,0,374812540543,3868759539,793892,4125,592,366,391709,0 46,2,2024-09-07 09:27:10:593,573837,573837,0,0,21895923,0,4443 46,3,2024-09-07 09:27:11:136,1,453,8,0,908,5528,453,0 47,0,2024-09-07 09:27:11:103,114715,0.4,114966,0.6,229985,0.4,304488,1.75 47,1,2024-09-07 09:27:10:575,799317,799317,0,0,374280444597,3865269280,794440,4039,838,366,391641,0 47,2,2024-09-07 09:27:10:907,573582,573582,0,0,21446366,0,4477 47,3,2024-09-07 09:27:11:124,1,453,3,0,600,5357,453,0 48,0,2024-09-07 09:27:11:530,116078,0.3,116029,0.4,231013,0.2,307825,1.50 48,1,2024-09-07 09:27:11:022,797330,797330,0,0,374739580136,3889410256,792613,4260,457,384,391710,0 48,2,2024-09-07 09:27:10:702,571719,571719,0,0,20378011,0,3411 48,3,2024-09-07 09:27:10:755,1,453,5,0,339,3564,453,0 49,0,2024-09-07 09:27:11:719,115814,0.3,113905,0.5,220956,0.3,302019,1.75 49,1,2024-09-07 09:27:11:022,796673,796673,0,0,373935168741,3887693652,791513,3953,1207,382,391809,0 49,2,2024-09-07 09:27:11:801,577183,577183,0,0,22503671,0,4426 49,3,2024-09-07 09:27:11:425,1,453,12,0,992,5298,453,0 50,0,2024-09-07 09:27:11:514,110857,0.3,109215,0.4,220213,0.2,293672,1.75 50,1,2024-09-07 09:27:11:016,799087,799087,0,0,375909105282,3885100835,794045,4468,574,368,391565,0 50,2,2024-09-07 09:27:11:069,575243,575243,0,0,20465937,0,4490 50,3,2024-09-07 09:27:11:294,1,453,0,0,567,4426,453,0 51,0,2024-09-07 09:27:11:685,117731,0.3,115455,0.4,225093,0.2,306440,1.75 51,1,2024-09-07 09:27:11:681,799363,799363,0,0,376158952776,3877481099,795251,3063,1049,365,391706,0 51,2,2024-09-07 09:27:11:315,570369,570369,0,0,19339113,0,3337 51,3,2024-09-07 09:27:11:036,1,453,1,0,678,3593,453,0 52,0,2024-09-07 09:27:11:430,116381,0.5,116247,0.7,232738,0.5,309246,2.00 52,1,2024-09-07 09:27:10:575,795795,795795,0,0,373465730023,3904950657,784971,9380,1444,368,391722,0 52,2,2024-09-07 09:27:11:755,568101,568063,38,0,26066821,0,6742 52,3,2024-09-07 09:27:10:684,1,453,4,0,1782,5952,453,0 53,0,2024-09-07 09:27:11:790,113128,0.6,109852,0.8,229890,0.7,301830,2.25 53,1,2024-09-07 09:27:10:774,794056,794056,0,0,373416136819,3912528805,781870,9225,2961,367,391702,0 53,2,2024-09-07 09:27:11:302,577266,577265,1,0,23732350,0,5455 53,3,2024-09-07 09:27:10:702,1,453,100,0,308,4295,453,0 54,0,2024-09-07 09:27:11:615,108106,0.6,108548,0.7,215931,0.4,288977,2.25 54,1,2024-09-07 09:27:10:579,796094,796094,0,0,373840741151,3883695579,788238,6582,1274,366,391659,0 54,2,2024-09-07 09:27:10:865,575814,575782,32,0,27014881,0,6397 54,3,2024-09-07 09:27:10:767,1,453,30,0,676,6337,453,0 55,0,2024-09-07 09:27:11:759,111101,0.5,114592,0.7,232254,0.4,302201,2.25 55,1,2024-09-07 09:27:10:768,796770,796770,0,0,373727189382,3881699424,788621,6969,1180,365,391731,0 55,2,2024-09-07 09:27:10:734,569106,569050,56,0,25889058,0,7239 55,3,2024-09-07 09:27:10:674,1,453,7,0,304,4385,453,0 56,0,2024-09-07 09:27:11:646,117504,1.3,110776,1.1,228337,1.7,305937,2.75 56,1,2024-09-07 09:27:10:574,792662,792662,0,0,372795187988,3931062886,781134,9154,2374,381,391867,0 56,2,2024-09-07 09:27:11:304,571092,570970,122,0,26508613,0,7432 56,3,2024-09-07 09:27:11:065,1,453,1,0,705,5351,453,0 57,0,2024-09-07 09:27:11:046,113437,1.6,113183,1.3,226982,2.3,303639,3.25 57,1,2024-09-07 09:27:11:003,794842,794842,0,0,372299147243,3896810817,786143,7611,1088,366,392032,0 57,2,2024-09-07 09:27:11:340,575032,575032,0,0,27506647,0,3317 57,3,2024-09-07 09:27:11:740,1,453,15,0,455,5092,453,0 58,0,2024-09-07 09:27:10:561,108122,0.8,105133,0.9,219829,0.9,288179,2.50 58,1,2024-09-07 09:27:10:574,795394,795391,0,3,374073001179,3913068990,784270,8817,2304,367,391603,3 58,2,2024-09-07 09:27:11:069,574865,574865,0,0,25548902,0,2902 58,3,2024-09-07 09:27:11:069,1,453,1,0,1043,4839,453,0 59,0,2024-09-07 09:27:11:778,113613,0.7,113099,0.9,226032,0.7,300370,2.50 59,1,2024-09-07 09:27:10:808,794860,794860,0,0,373753723563,3910998091,784332,8717,1811,369,391653,0 59,2,2024-09-07 09:27:10:590,570765,570765,0,0,25178643,0,3727 59,3,2024-09-07 09:27:11:745,1,453,15,0,1015,5899,453,0 60,0,2024-09-07 09:27:11:727,114129,0.5,114000,0.7,228853,0.5,303907,1.75 60,1,2024-09-07 09:27:10:792,797586,797586,0,0,374233232067,3881270967,792432,4432,722,370,392031,0 60,2,2024-09-07 09:27:11:155,572020,572020,0,0,23548250,0,3811 60,3,2024-09-07 09:27:11:266,1,453,1,0,409,5170,453,0 61,0,2024-09-07 09:27:11:519,115320,0.7,115976,0.8,230978,0.7,307727,2.00 61,1,2024-09-07 09:27:10:792,795410,795410,0,0,373283176625,3906449331,787087,6891,1432,382,392127,0 61,2,2024-09-07 09:27:11:128,572320,572253,67,0,23970715,0,6411 61,3,2024-09-07 09:27:11:687,1,453,7,0,479,5889,453,0 62,0,2024-09-07 09:27:11:714,112258,0.5,115055,0.7,219732,0.5,297825,2.00 62,1,2024-09-07 09:27:11:119,800191,800185,0,6,376133025779,3875570584,796365,3558,262,365,391975,6 62,2,2024-09-07 09:27:11:647,574328,574327,1,0,24166795,0,5555 62,3,2024-09-07 09:27:11:144,1,453,8,0,482,3817,453,0 63,0,2024-09-07 09:27:11:461,111561,0.3,111464,0.5,223420,0.3,297336,1.75 63,1,2024-09-07 09:27:10:805,797834,797828,0,6,374277865206,3882938392,792845,4250,733,381,391800,6 63,2,2024-09-07 09:27:10:767,574221,574221,0,0,21944625,0,4369 63,3,2024-09-07 09:27:11:732,1,453,2,0,667,4474,453,0 64,0,2024-09-07 09:27:11:512,114116,0.5,114229,0.6,227786,0.5,302778,1.75 64,1,2024-09-07 09:27:10:757,797022,797022,0,0,374781223482,3901511119,790247,5072,1703,370,391783,0 64,2,2024-09-07 09:27:11:149,574337,574318,19,0,21911559,0,6121 64,3,2024-09-07 09:27:11:144,1,453,0,0,265,4307,453,0 65,0,2024-09-07 09:27:11:740,114914,0.7,115198,0.8,229993,0.7,306363,2.00 65,1,2024-09-07 09:27:10:859,795171,795171,0,0,373864781858,3903664964,790053,4578,540,381,391901,0 65,2,2024-09-07 09:27:11:701,571154,571154,0,0,25937540,0,3367 65,3,2024-09-07 09:27:11:686,1,453,22,0,782,5528,453,0 66,0,2024-09-07 09:27:11:765,113818,0.5,113656,0.7,227347,0.5,302549,2.25 66,1,2024-09-07 09:27:11:295,797077,797077,0,0,373731259325,3890475037,791575,4976,526,380,391743,0 66,2,2024-09-07 09:27:11:136,578304,578301,3,0,23401877,0,5455 66,3,2024-09-07 09:27:11:092,1,453,2,0,291,3873,453,0 67,0,2024-09-07 09:27:11:423,109278,0.4,109075,0.6,218793,0.4,291172,2.00 67,1,2024-09-07 09:27:10:770,797295,797294,0,1,374336655489,3896939583,791775,4725,794,380,391787,1 67,2,2024-09-07 09:27:10:583,577490,577475,15,0,22499395,0,6205 67,3,2024-09-07 09:27:11:762,1,453,2,0,392,4365,453,0 68,0,2024-09-07 09:27:10:571,115663,0.5,115625,0.7,230177,0.5,307783,2.00 68,1,2024-09-07 09:27:10:576,794042,794042,0,0,372611491104,3915220972,783762,7332,2948,381,391953,0 68,2,2024-09-07 09:27:11:046,566949,566849,100,0,28486507,0,8578 68,3,2024-09-07 09:27:10:728,1,453,3,0,417,5328,453,0 69,0,2024-09-07 09:27:11:771,115253,0.7,116030,0.8,231120,0.8,306893,2.25 69,1,2024-09-07 09:27:11:022,793178,793178,0,0,372507870378,3921595502,783244,7663,2271,384,391994,0 69,2,2024-09-07 09:27:11:734,570153,570124,29,0,30399317,0,6912 69,3,2024-09-07 09:27:10:761,1,453,204,0,698,6984,453,0 70,0,2024-09-07 09:27:11:537,113149,1.0,113468,1.1,228200,0.9,302116,2.50 70,1,2024-09-07 09:27:10:808,797264,797264,0,0,374456360662,3883199629,791152,5428,684,366,391725,0 70,2,2024-09-07 09:27:11:325,576092,576092,0,0,25478640,0,4323 70,3,2024-09-07 09:27:10:751,1,453,1,0,854,5180,453,0 71,0,2024-09-07 09:27:11:403,108066,0.7,107820,0.9,216632,0.8,289183,2.50 71,1,2024-09-07 09:27:11:601,795869,795869,0,0,373510911282,3892389060,787279,7774,816,368,391738,0 71,2,2024-09-07 09:27:11:073,574609,574609,0,0,25604928,0,4352 71,3,2024-09-07 09:27:11:750,1,453,1,0,644,5684,453,0 72,0,2024-09-07 09:27:11:048,118381,0.5,115796,0.7,225713,0.4,306825,2.00 72,1,2024-09-07 09:27:11:038,794602,794602,0,0,373155950811,3910077641,783729,8863,2010,369,391819,0 72,2,2024-09-07 09:27:11:757,568973,568973,0,0,27399915,0,3983 72,3,2024-09-07 09:27:11:755,1,453,34,0,564,6655,453,0 73,0,2024-09-07 09:27:11:117,111731,0.4,114558,0.6,234072,0.4,304456,2.00 73,1,2024-09-07 09:27:10:779,796559,796559,0,0,374219283692,3883861515,791152,4997,410,367,391858,0 73,2,2024-09-07 09:27:11:748,571361,571361,0,0,26998214,0,3701 73,3,2024-09-07 09:27:10:970,1,453,7,0,486,5831,453,0 74,0,2024-09-07 09:27:11:324,116409,0.5,119077,0.7,226995,0.5,308285,2.25 74,1,2024-09-07 09:27:10:636,795702,795702,0,0,373669376499,3896631041,787731,6376,1595,381,391762,0 74,2,2024-09-07 09:27:11:002,572947,572947,0,0,24788646,0,4253 74,3,2024-09-07 09:27:11:443,1,453,1,0,522,5623,453,0 75,0,2024-09-07 09:27:11:769,110530,0.5,109811,0.7,220407,0.4,294167,2.25 75,1,2024-09-07 09:27:11:586,796052,796052,0,0,373360345014,3890587670,789173,6051,828,380,391739,0 75,2,2024-09-07 09:27:11:349,574525,574525,0,0,27648049,0,4766 75,3,2024-09-07 09:27:11:066,1,453,1,0,702,5775,453,0 76,0,2024-09-07 09:27:10:590,112317,0.5,111514,0.7,223901,0.4,299484,2.25 76,1,2024-09-07 09:27:10:823,795883,795883,0,0,373010686937,3888385034,790445,4610,828,382,391692,0 76,2,2024-09-07 09:27:11:061,575466,575465,1,0,23999028,0,5144 76,3,2024-09-07 09:27:11:144,1,453,4,0,175,4183,453,0 77,0,2024-09-07 09:27:11:709,114009,0.5,114429,0.7,229018,0.5,303483,2.00 77,1,2024-09-07 09:27:10:830,796514,796514,0,0,373786492349,3897247956,790672,5335,507,381,391869,0 77,2,2024-09-07 09:27:11:281,570953,570953,0,0,23505939,0,3890 77,3,2024-09-07 09:27:11:106,1,453,8,0,401,4939,453,0 78,0,2024-09-07 09:27:11:720,115786,0.4,115178,0.6,231241,0.4,306379,2.00 78,1,2024-09-07 09:27:10:621,797238,797238,0,0,373026369601,3882728022,789367,6302,1569,367,391670,0 78,2,2024-09-07 09:27:11:410,572578,572565,13,0,22268959,0,8313 78,3,2024-09-07 09:27:11:136,1,453,2,0,181,3766,453,0 79,0,2024-09-07 09:27:11:353,109083,0.4,111686,0.6,228758,0.3,297014,2.25 79,1,2024-09-07 09:27:10:574,798185,798185,0,0,374173754205,3876129432,791548,5328,1309,367,391682,0 79,2,2024-09-07 09:27:11:070,577088,577088,0,0,21768953,0,4195 79,3,2024-09-07 09:27:10:753,1,453,1,0,418,5511,453,0 80,0,2024-09-07 09:27:11:076,110141,0.5,113313,0.6,216763,0.4,293279,2.00 80,1,2024-09-07 09:27:11:624,796030,796030,0,0,373513560150,3888359049,790433,5174,423,368,392269,0 80,2,2024-09-07 09:27:11:093,577021,577021,0,0,22211606,0,4433 80,3,2024-09-07 09:27:10:576,1,453,1,0,190,5112,453,0 81,0,2024-09-07 09:27:11:621,115019,0.5,117758,0.7,224876,0.5,305181,2.00 81,1,2024-09-07 09:27:11:676,795072,795072,0,0,373004939860,3895202037,789046,5485,541,382,391879,0 81,2,2024-09-07 09:27:11:127,568849,568786,63,0,24424633,0,5932 81,3,2024-09-07 09:27:11:125,1,453,12,0,719,5341,453,0 82,0,2024-09-07 09:27:11:534,115842,0.5,115946,0.7,232500,0.5,308563,2.00 82,1,2024-09-07 09:27:10:590,797635,797631,0,4,374521925173,3894055181,792886,3926,819,381,391768,4 82,2,2024-09-07 09:27:11:695,572421,572421,0,0,20937207,0,4484 82,3,2024-09-07 09:27:11:752,1,453,5,0,363,4634,453,0 83,0,2024-09-07 09:27:11:525,113935,0.6,113890,0.8,227123,0.6,301998,2.25 83,1,2024-09-07 09:27:10:558,795495,795495,0,0,373269673845,3889800149,789961,5084,450,382,391709,0 83,2,2024-09-07 09:27:10:765,577023,576998,25,0,22515893,0,5612 83,3,2024-09-07 09:27:10:753,1,453,11,0,1260,5665,453,0 84,0,2024-09-07 09:27:11:796,109102,0.6,109060,0.8,217320,0.5,291292,2.25 84,1,2024-09-07 09:27:11:041,795020,795020,0,0,373236215341,3896457619,787035,6939,1046,367,391967,0 84,2,2024-09-07 09:27:10:574,574200,574170,30,0,29111794,0,5971 84,3,2024-09-07 09:27:11:155,1,453,1,0,908,6627,453,0 85,0,2024-09-07 09:27:11:090,110716,0.5,110665,0.7,234763,0.5,303961,2.00 85,1,2024-09-07 09:27:10:572,792594,792594,0,0,372224118616,3922381797,781717,8956,1921,381,392006,0 85,2,2024-09-07 09:27:10:870,568527,568527,0,0,26747071,0,3656 85,3,2024-09-07 09:27:10:698,1,453,9,0,789,5328,453,0 86,0,2024-09-07 09:27:10:926,114813,0.7,118250,0.8,226271,0.7,306184,2.25 86,1,2024-09-07 09:27:10:962,795194,795194,0,0,373555832742,3908121486,786350,7382,1462,366,391961,0 86,2,2024-09-07 09:27:10:862,569379,569378,1,0,29253682,0,5004 86,3,2024-09-07 09:27:10:602,1,453,2,0,308,6623,453,0 87,0,2024-09-07 09:27:11:289,115056,0.9,114809,0.9,229733,1.3,306862,2.50 87,1,2024-09-07 09:27:10:563,793854,793854,0,0,373343034028,3908985393,783714,8527,1613,366,392076,0 87,2,2024-09-07 09:27:11:073,573980,573974,6,0,26096324,0,6323 87,3,2024-09-07 09:27:11:802,1,453,20,0,473,6895,453,0 88,0,2024-09-07 09:27:11:512,109588,0.4,110374,0.6,220068,0.4,293014,1.75 88,1,2024-09-07 09:27:10:575,793782,793782,0,0,372730510377,3899462469,784045,7728,2009,365,392084,0 88,2,2024-09-07 09:27:10:691,575645,575645,0,0,28270152,0,4465 88,3,2024-09-07 09:27:11:267,1,453,16,0,435,5373,453,0 89,0,2024-09-07 09:27:11:785,117212,0.4,113519,0.6,224590,0.3,305503,1.75 89,1,2024-09-07 09:27:10:568,793605,793605,0,0,372720506667,3913401485,784412,7763,1430,382,391866,0 89,2,2024-09-07 09:27:11:140,571007,571007,0,0,26327641,0,3173 89,3,2024-09-07 09:27:11:791,1,453,9,0,468,7651,453,0 90,0,2024-09-07 09:27:11:616,110846,0.5,113957,0.6,232270,0.4,302877,2.00 90,1,2024-09-07 09:27:10:590,794897,794897,0,0,373515441226,3905416331,788113,6269,515,380,391825,0 90,2,2024-09-07 09:27:11:407,569673,569673,0,0,27957679,0,3060 90,3,2024-09-07 09:27:10:936,1,453,17,0,322,5424,453,0 91,0,2024-09-07 09:27:10:986,116175,0.5,112440,0.6,234899,0.5,308434,1.75 91,1,2024-09-07 09:27:10:559,793303,793303,0,0,372817472301,3915015239,784028,7869,1406,381,392047,0 91,2,2024-09-07 09:27:11:331,573363,573363,0,0,24657353,0,2896 91,3,2024-09-07 09:27:10:598,1,453,1,0,216,4413,453,0 92,0,2024-09-07 09:27:11:451,112680,0.4,115497,0.6,220472,0.4,298108,1.75 92,1,2024-09-07 09:27:10:592,796164,796164,0,0,373319614229,3894538387,790613,4825,726,381,392136,0 92,2,2024-09-07 09:27:11:350,576876,576876,0,0,22252285,0,3259 92,3,2024-09-07 09:27:11:010,1,453,4,0,167,3960,453,0 93,0,2024-09-07 09:27:10:971,111963,0.3,114807,0.5,219125,0.3,297409,1.75 93,1,2024-09-07 09:27:10:825,795531,795531,0,0,373815292563,3898922982,787359,6786,1386,366,391776,0 93,2,2024-09-07 09:27:10:940,574178,574178,0,0,26979850,0,4845 93,3,2024-09-07 09:27:11:411,1,453,8,0,190,4014,453,0 94,0,2024-09-07 09:27:11:615,114155,0.3,115078,0.5,229699,0.3,304308,1.75 94,1,2024-09-07 09:27:10:569,795881,795881,0,0,373477459644,3892540134,791076,4595,210,381,391850,0 94,2,2024-09-07 09:27:10:762,570652,570652,0,0,22420481,0,2443 94,3,2024-09-07 09:27:11:688,1,453,4,0,576,5848,453,0 95,0,2024-09-07 09:27:11:413,115826,0.4,115702,0.5,232006,0.3,308913,1.75 95,1,2024-09-07 09:27:10:851,797218,797218,0,0,374602816016,3890290680,791067,5713,438,365,391786,0 95,2,2024-09-07 09:27:11:018,570809,570809,0,0,22379701,0,3308 95,3,2024-09-07 09:27:11:713,1,453,7,0,718,6317,453,0 96,0,2024-09-07 09:27:11:088,114322,0.4,114591,0.5,228704,0.3,303514,1.75 96,1,2024-09-07 09:27:11:585,795477,795477,0,0,373198629577,3892737184,789622,4950,905,384,391955,0 96,2,2024-09-07 09:27:11:270,577720,577720,0,0,23424175,0,4180 96,3,2024-09-07 09:27:11:147,1,453,2,0,411,4968,453,0 97,0,2024-09-07 09:27:11:334,109327,0.3,108864,0.4,218561,0.2,290893,1.50 97,1,2024-09-07 09:27:10:766,796943,796943,0,0,374647262483,3884519497,791770,4238,935,367,392140,0 97,2,2024-09-07 09:27:10:607,575632,575632,0,0,22620448,0,3679 97,3,2024-09-07 09:27:10:578,1,453,7,0,242,5373,453,0 98,0,2024-09-07 09:27:11:700,115050,0.3,115427,0.4,231459,0.2,307493,1.50 98,1,2024-09-07 09:27:10:577,796310,796310,0,0,373531675171,3890306562,791239,4279,792,382,391997,0 98,2,2024-09-07 09:27:10:778,569944,569944,0,0,22469658,0,4336 98,3,2024-09-07 09:27:10:701,1,453,2,0,840,7286,453,0 99,0,2024-09-07 09:27:11:450,115854,0.3,116456,0.5,231640,0.3,309521,1.75 99,1,2024-09-07 09:27:11:723,796804,796804,0,0,373279611808,3883180571,791821,4146,837,381,392069,0 99,2,2024-09-07 09:27:11:429,572267,572267,0,0,26587219,0,4276 99,3,2024-09-07 09:27:10:581,1,453,1,0,606,4799,453,0 100,0,2024-09-07 09:27:11:604,114151,0.8,114495,0.9,228093,0.9,304757,2.50 100,1,2024-09-07 09:27:10:555,792374,792374,0,0,372205360539,3928242626,781031,9037,2306,378,391989,0 100,2,2024-09-07 09:27:11:822,573795,573784,11,0,26205196,0,5417 100,3,2024-09-07 09:27:11:739,1,453,7,0,559,7009,453,0 101,0,2024-09-07 09:27:11:736,111458,0.7,108590,0.9,212853,0.6,291433,2.25 101,1,2024-09-07 09:27:10:564,792901,792901,0,0,372270292819,3912385683,781719,8915,2267,368,391847,0 101,2,2024-09-07 09:27:11:760,571928,571928,0,0,31111206,0,4871 101,3,2024-09-07 09:27:10:959,1,453,15,0,1250,7271,453,0 102,0,2024-09-07 09:27:11:071,111564,0.5,115000,0.7,233423,0.5,305368,2.00 102,1,2024-09-07 09:27:11:145,793220,793220,0,0,372430498594,3909133711,783221,8234,1765,369,391891,0 102,2,2024-09-07 09:27:11:738,570891,570837,54,0,24850095,0,6768 102,3,2024-09-07 09:27:11:613,1,453,4,0,466,4854,453,0 103,0,2024-09-07 09:27:11:593,118363,0.5,118321,0.7,223009,0.5,306677,2.00 103,1,2024-09-07 09:27:11:625,792476,792476,0,0,372332334501,3929143299,779883,9466,3127,381,391862,0 103,2,2024-09-07 09:27:10:587,569892,569892,0,0,27901537,0,3766 103,3,2024-09-07 09:27:10:757,1,453,11,0,916,4887,453,0 104,0,2024-09-07 09:27:11:008,114583,0.7,114900,0.9,228747,0.7,306394,2.25 104,1,2024-09-07 09:27:11:599,794639,794639,0,0,372785205016,3909999994,783773,9002,1864,365,392168,0 104,2,2024-09-07 09:27:11:666,572054,572054,0,0,26457473,0,3941 104,3,2024-09-07 09:27:11:422,1,453,4,0,1245,8678,453,0 105,0,2024-09-07 09:27:11:097,108884,0.8,106061,1.0,222319,0.8,292238,2.50 105,1,2024-09-07 09:27:10:554,796281,796281,0,0,373719837567,3910814118,786788,8064,1429,366,392009,0 105,2,2024-09-07 09:27:11:322,574234,574234,0,0,26280909,0,3509 105,3,2024-09-07 09:27:11:308,1,453,7,0,399,6648,453,0 106,0,2024-09-07 09:27:10:956,108923,0.6,111565,0.8,228254,0.6,298987,2.25 106,1,2024-09-07 09:27:11:751,794803,794803,0,0,373067772797,3911415429,783735,9619,1449,368,391914,0 106,2,2024-09-07 09:27:10:761,572202,572202,0,0,25551966,0,2920 106,3,2024-09-07 09:27:10:677,1,453,1,0,470,5578,453,0 107,0,2024-09-07 09:27:11:169,114197,0.8,114454,0.9,228716,0.9,304610,2.25 107,1,2024-09-07 09:27:10:619,792975,792975,0,0,372569913065,3921529376,782701,9220,1054,381,392234,0 107,2,2024-09-07 09:27:11:291,569279,569278,1,0,26576807,0,5024 107,3,2024-09-07 09:27:11:764,1,453,10,0,370,6711,453,0 108,0,2024-09-07 09:27:11:778,115197,0.5,116119,0.6,230781,0.4,307791,1.75 108,1,2024-09-07 09:27:11:323,796360,796360,0,0,374551188494,3902093602,790176,5439,745,367,391857,0 108,2,2024-09-07 09:27:11:755,570731,570731,0,0,25047568,0,4246 108,3,2024-09-07 09:27:11:332,1,453,1,0,749,8102,453,0 109,0,2024-09-07 09:27:11:751,113580,0.4,112725,0.6,225650,0.3,301290,1.75 109,1,2024-09-07 09:27:10:604,793110,793110,0,0,373168032586,3913681481,785658,6265,1187,382,392132,0 109,2,2024-09-07 09:27:10:922,574377,574377,0,0,24561181,0,3617 109,3,2024-09-07 09:27:11:142,1,453,13,0,379,5194,453,0 110,0,2024-09-07 09:27:11:783,110016,0.3,107087,0.5,224421,0.3,293918,1.75 110,1,2024-09-07 09:27:11:647,797050,797050,0,0,373749987870,3876018188,791652,4219,1179,369,392045,0 110,2,2024-09-07 09:27:11:305,575501,575501,0,0,23603308,0,4067 110,3,2024-09-07 09:27:10:696,1,453,8,0,722,6413,453,0 111,0,2024-09-07 09:27:11:425,115441,0.3,114640,0.5,229724,0.3,306399,1.75 111,1,2024-09-07 09:27:11:002,797776,797776,0,0,375920738661,3895669094,793844,3577,355,380,391690,0 111,2,2024-09-07 09:27:11:127,569095,569095,0,0,23011091,0,4823 111,3,2024-09-07 09:27:10:922,1,453,0,0,379,5198,453,0 112,0,2024-09-07 09:27:10:917,116809,0.3,116262,0.4,232967,0.2,309532,1.50 112,1,2024-09-07 09:27:10:830,796865,796865,0,0,374196992025,3881651191,792133,4119,613,380,391624,0 112,2,2024-09-07 09:27:11:133,571102,571101,1,0,22562099,0,5036 112,3,2024-09-07 09:27:10:597,1,453,17,0,282,4323,453,0 113,0,2024-09-07 09:27:10:916,114013,0.3,113985,0.5,228460,0.3,303996,1.75 113,1,2024-09-07 09:27:11:685,799670,799670,0,0,376002232907,3876486102,795774,3307,589,366,391661,0 113,2,2024-09-07 09:27:11:310,578659,578659,0,0,20734390,0,3813 113,3,2024-09-07 09:27:10:687,1,453,20,0,340,4922,453,0 114,0,2024-09-07 09:27:10:874,109930,0.3,110689,0.4,219941,0.2,294235,1.75 114,1,2024-09-07 09:27:10:717,797141,797141,0,0,374176359231,3885265424,790835,4690,1616,381,391565,0 114,2,2024-09-07 09:27:10:872,576590,576589,1,0,21745971,0,5069 114,3,2024-09-07 09:27:11:278,1,453,1,0,395,3746,453,0 115,0,2024-09-07 09:27:10:562,115078,0.2,115529,0.4,230593,0.2,306844,1.50 115,1,2024-09-07 09:27:10:574,797413,797413,0,0,374035498113,3885281773,790915,5230,1268,382,391757,0 115,2,2024-09-07 09:27:11:125,571473,571473,0,0,21473737,0,4382 115,3,2024-09-07 09:27:11:002,1,453,3,0,159,2498,453,0 116,0,2024-09-07 09:27:11:827,114763,0.7,114670,0.8,229833,0.7,307550,2.00 116,1,2024-09-07 09:27:10:807,793080,793080,0,0,373296257487,3929729237,783874,6816,2390,380,392089,0 116,2,2024-09-07 09:27:11:759,570602,570602,0,0,27555236,0,4128 116,3,2024-09-07 09:27:10:911,1,453,15,0,415,5197,453,0 117,0,2024-09-07 09:27:11:054,115450,0.8,114843,0.8,230127,0.9,307267,2.00 117,1,2024-09-07 09:27:11:582,794496,794496,0,0,372668831149,3895741341,786693,6887,916,369,392033,0 117,2,2024-09-07 09:27:11:126,577239,577239,0,0,23820260,0,4303 117,3,2024-09-07 09:27:11:065,1,453,7,0,490,6615,453,0 118,0,2024-09-07 09:27:11:781,106545,0.5,109397,0.6,223379,0.4,291896,2.00 118,1,2024-09-07 09:27:10:621,793874,793874,0,0,371701058239,3906545957,781818,9036,3020,366,391907,0 118,2,2024-09-07 09:27:11:586,574729,574729,0,0,25934110,0,2842 118,3,2024-09-07 09:27:11:766,1,453,31,0,248,5169,453,0 119,0,2024-09-07 09:27:11:359,113735,0.5,114039,0.7,228043,0.5,303807,2.00 119,1,2024-09-07 09:27:10:559,795048,795048,0,0,373388481821,3904986117,786059,7798,1191,367,391857,0 119,2,2024-09-07 09:27:11:270,572266,572266,0,0,24322820,0,4174 119,3,2024-09-07 09:27:11:328,1,453,7,0,1358,8776,453,0 120,0,2024-09-07 09:27:11:610,113648,0.6,113322,0.8,227379,0.6,303308,2.25 120,1,2024-09-07 09:27:10:859,795160,795160,0,0,372379643841,3903090402,786765,7625,770,368,392144,0 120,2,2024-09-07 09:27:10:792,570916,570915,1,0,27634491,0,5281 120,3,2024-09-07 09:27:11:290,1,453,7,0,279,5488,453,0 121,0,2024-09-07 09:27:11:717,115550,1.4,115237,1.1,230975,1.9,307709,2.50 121,1,2024-09-07 09:27:11:657,795379,795379,0,0,373948635289,3903477118,788618,6299,462,367,391840,0 121,2,2024-09-07 09:27:11:125,571820,571820,0,0,26690462,0,4127 121,3,2024-09-07 09:27:10:727,1,453,22,0,269,4907,453,0 122,0,2024-09-07 09:27:11:827,111117,0.7,108370,0.8,227359,0.8,297844,2.00 122,1,2024-09-07 09:27:10:859,794061,794061,0,0,373392733879,3912906538,783600,8856,1605,366,392130,0 122,2,2024-09-07 09:27:11:319,576016,575943,73,0,29651036,0,5989 122,3,2024-09-07 09:27:10:596,1,453,1,0,512,7911,453,0 123,0,2024-09-07 09:27:10:958,110874,0.5,108067,0.7,226569,0.5,296650,2.00 123,1,2024-09-07 09:27:10:572,793842,793842,0,0,373071506645,3925158086,780172,11226,2444,369,392039,0 123,2,2024-09-07 09:27:11:022,572264,572263,1,0,24982356,0,5215 123,3,2024-09-07 09:27:11:135,1,453,2,0,478,5172,453,0 124,0,2024-09-07 09:27:10:926,117934,0.3,117993,0.5,222322,0.3,305259,1.75 124,1,2024-09-07 09:27:11:022,797101,797101,0,0,374002627433,3883482975,791811,4239,1051,367,392178,0 124,2,2024-09-07 09:27:11:010,571445,571392,53,0,22603813,0,6487 124,3,2024-09-07 09:27:10:763,1,453,8,0,490,4256,453,0 125,0,2024-09-07 09:27:11:427,115759,0.4,115604,0.6,231962,0.4,308799,1.75 125,1,2024-09-07 09:27:10:855,795368,795368,0,0,373535028969,3896529793,789447,5248,673,382,391702,0 125,2,2024-09-07 09:27:11:125,572480,572480,0,0,23445539,0,4534 125,3,2024-09-07 09:27:11:134,1,453,14,0,709,5514,453,0 126,0,2024-09-07 09:27:11:435,114310,0.4,117539,0.6,224828,0.4,304297,1.75 126,1,2024-09-07 09:27:10:552,797641,797641,0,0,375065355569,3880809030,793628,3719,294,365,391987,0 126,2,2024-09-07 09:27:10:622,577526,577526,0,0,24337616,0,4539 126,3,2024-09-07 09:27:10:907,1,453,1,0,268,5350,453,0 127,0,2024-09-07 09:27:11:596,109262,0.3,109542,0.5,218750,0.2,291280,1.50 127,1,2024-09-07 09:27:10:575,796630,796630,0,0,373601799678,3882135670,789276,6131,1223,364,392187,0 127,2,2024-09-07 09:27:10:644,574541,574541,0,0,21944429,0,3897 127,3,2024-09-07 09:27:11:267,1,453,6,0,968,4684,453,0 128,0,2024-09-07 09:27:11:539,115975,0.3,115989,0.4,231860,0.2,308198,1.50 128,1,2024-09-07 09:27:11:607,795645,795645,0,0,373674559203,3876705058,790716,4496,433,367,392031,0 128,2,2024-09-07 09:27:11:384,571238,571238,0,0,21207801,0,3171 128,3,2024-09-07 09:27:10:767,1,453,42,0,1082,6905,453,0 129,0,2024-09-07 09:27:11:013,116852,0.3,116303,0.5,232847,0.3,309992,1.50 129,1,2024-09-07 09:27:10:574,794316,794316,0,0,372413970688,3900157504,786544,6100,1672,379,391962,0 129,2,2024-09-07 09:27:10:698,571844,571840,4,0,23524029,0,5335 129,3,2024-09-07 09:27:10:697,1,453,8,0,506,6445,453,0 130,0,2024-09-07 09:27:11:716,115160,0.5,114671,0.6,230648,0.5,306115,1.75 130,1,2024-09-07 09:27:10:591,796977,796977,0,0,374294125911,3887428539,792841,3797,339,381,391825,0 130,2,2024-09-07 09:27:11:126,577270,577270,0,0,23415965,0,4067 130,3,2024-09-07 09:27:11:291,1,453,1,0,960,6830,453,0 131,0,2024-09-07 09:27:11:932,109054,0.3,109430,0.5,219718,0.3,291881,1.75 131,1,2024-09-07 09:27:11:829,796455,796455,0,0,373497926568,3897730094,790470,4933,1052,381,391865,0 131,2,2024-09-07 09:27:10:574,577390,577390,0,0,21417308,0,3979 131,3,2024-09-07 09:27:11:699,1,453,2,0,392,4907,453,0 132,0,2024-09-07 09:27:11:416,114689,0.4,115743,0.6,230340,0.3,306385,1.75 132,1,2024-09-07 09:27:10:577,792376,792376,0,0,372673136270,3920801396,781025,9240,2111,381,392532,0 132,2,2024-09-07 09:27:10:703,570151,570134,17,0,27746220,0,6451 132,3,2024-09-07 09:27:11:688,1,453,3,0,804,7735,453,0 133,0,2024-09-07 09:27:11:526,111673,0.4,114288,0.6,234405,0.4,305080,1.75 133,1,2024-09-07 09:27:10:595,792694,792694,0,0,373094173326,3929268653,781787,9385,1522,383,391914,0 133,2,2024-09-07 09:27:11:094,571137,571087,50,0,29239485,0,6861 133,3,2024-09-07 09:27:11:301,1,453,15,0,479,4684,453,0 134,0,2024-09-07 09:27:10:948,116016,0.6,115601,0.7,231543,0.6,308722,2.00 134,1,2024-09-07 09:27:10:590,794186,794186,0,0,371991851026,3897716095,784249,7900,2037,366,391718,0 134,2,2024-09-07 09:27:11:803,571985,571961,24,0,27033419,0,6207 134,3,2024-09-07 09:27:10:766,1,453,5,0,739,5612,453,0 135,0,2024-09-07 09:27:11:162,106668,0.7,106649,0.8,226338,0.8,291453,2.00 135,1,2024-09-07 09:27:11:585,794403,794403,0,0,373506073699,3924303760,784425,8602,1376,380,391805,0 135,2,2024-09-07 09:27:10:687,575333,575333,0,0,26231023,0,3981 135,3,2024-09-07 09:27:11:007,1,453,22,0,299,3877,453,0 136,0,2024-09-07 09:27:11:615,113252,0.5,113412,0.7,225684,0.4,301332,2.00 136,1,2024-09-07 09:27:11:446,795548,795548,0,0,372762293950,3904462858,786968,7616,964,381,391685,0 136,2,2024-09-07 09:27:11:137,574684,574684,0,0,24923534,0,3506 136,3,2024-09-07 09:27:11:113,1,453,1,0,637,5136,453,0 137,0,2024-09-07 09:27:10:945,117992,0.6,114939,0.7,225434,0.5,305839,2.00 137,1,2024-09-07 09:27:10:598,793371,793371,0,0,372798128615,3906645755,781743,9268,2360,366,391898,0 137,2,2024-09-07 09:27:11:707,569508,569508,0,0,27616656,0,3185 137,3,2024-09-07 09:27:10:775,1,453,48,0,484,5423,453,0 138,0,2024-09-07 09:27:11:895,115056,1.0,114989,1.0,230950,1.2,306300,2.25 138,1,2024-09-07 09:27:11:685,794419,794419,0,0,373745872861,3914161298,784076,8619,1724,368,391954,0 138,2,2024-09-07 09:27:10:589,570985,570985,0,0,25113047,0,4988 138,3,2024-09-07 09:27:10:620,1,453,5,0,1160,5803,453,0 139,0,2024-09-07 09:27:11:369,112038,1.4,112189,1.1,224956,2.1,299843,2.50 139,1,2024-09-07 09:27:10:575,790741,790741,0,0,371863214104,3946138767,775918,11453,3370,380,392058,0 139,2,2024-09-07 09:27:10:703,572547,572547,0,0,29212792,0,3097 139,3,2024-09-07 09:27:11:663,1,453,104,0,432,5197,453,0 140,0,2024-09-07 09:27:11:588,110680,0.3,110041,0.4,220725,0.2,294349,1.50 140,1,2024-09-07 09:27:11:540,798941,798941,0,0,376280849576,3875835731,795371,3128,442,365,391606,0 140,2,2024-09-07 09:27:10:695,576278,576277,1,0,22125378,0,5036 140,3,2024-09-07 09:27:10:767,1,453,19,0,297,3681,453,0 141,0,2024-09-07 09:27:11:699,115210,0.3,118306,0.4,225915,0.2,305974,1.50 141,1,2024-09-07 09:27:10:859,797975,797975,0,0,375471101249,3895827338,792282,4736,957,379,391614,0 141,2,2024-09-07 09:27:11:686,570332,570332,0,0,21919705,0,3360 141,3,2024-09-07 09:27:11:045,1,453,26,0,391,5036,453,0 142,0,2024-09-07 09:27:11:318,116875,0.3,116181,0.5,232490,0.3,310118,1.75 142,1,2024-09-07 09:27:10:602,796478,796478,0,0,373546571568,3889632361,791778,4299,401,382,392102,0 142,2,2024-09-07 09:27:11:302,570998,570966,32,0,23968354,0,6028 142,3,2024-09-07 09:27:11:748,1,453,2,0,484,4958,453,0 143,0,2024-09-07 09:27:11:383,113964,0.4,114172,0.6,228574,0.4,304031,1.75 143,1,2024-09-07 09:27:10:560,797670,797670,0,0,374615454723,3884277747,792614,4510,546,367,391705,0 143,2,2024-09-07 09:27:10:774,577569,577569,0,0,23259714,0,3123 143,3,2024-09-07 09:27:11:144,1,453,0,0,462,5430,453,0 144,0,2024-09-07 09:27:11:511,106299,0.6,109235,0.8,222765,0.5,291930,2.00 144,1,2024-09-07 09:27:10:572,793420,793420,0,0,372787332678,3909706043,785532,6052,1836,381,391649,0 144,2,2024-09-07 09:27:11:756,576111,576111,0,0,21926516,0,3673 144,3,2024-09-07 09:27:11:741,1,453,2,0,249,4530,453,0 145,0,2024-09-07 09:27:11:384,111177,0.5,111180,0.7,236020,0.4,303934,2.00 145,1,2024-09-07 09:27:10:558,792948,792948,0,0,372446375964,3914709743,782718,8478,1752,382,391759,0 145,2,2024-09-07 09:27:11:433,567611,567530,81,0,26915558,0,7814 145,3,2024-09-07 09:27:10:894,1,453,20,0,622,6314,453,0 146,0,2024-09-07 09:27:11:595,115028,0.6,114478,0.7,230349,0.6,305906,2.25 146,1,2024-09-07 09:27:11:585,794339,794339,0,0,372775684239,3917247731,781850,9329,3160,367,391770,0 146,2,2024-09-07 09:27:11:698,569931,569931,0,0,25554068,0,3290 146,3,2024-09-07 09:27:11:274,1,453,29,0,1520,8157,453,0 147,0,2024-09-07 09:27:11:698,115284,0.7,115105,0.8,229544,0.7,306678,2.25 147,1,2024-09-07 09:27:11:378,797471,797471,0,0,374805915147,3894297880,790748,5874,849,368,391791,0 147,2,2024-09-07 09:27:11:009,574737,574737,0,0,23155263,0,2968 147,3,2024-09-07 09:27:10:920,1,453,4,0,1626,7697,453,0 0,0,2024-09-07 09:27:21:723,111147,0.6,111069,0.7,235745,0.6,304563,2.00 0,1,2024-09-07 09:27:21:625,795948,795948,0,0,374239707168,3913909355,790342,5213,393,369,391896,0 0,2,2024-09-07 09:27:21:066,573843,573843,0,0,23054795,0,4480 0,3,2024-09-07 09:27:20:974,1,454,2,0,431,6677,454,0 1,0,2024-09-07 09:27:21:761,115650,1.1,115104,1.0,230665,1.5,308177,2.25 1,1,2024-09-07 09:27:20:564,796051,796051,0,0,373434494146,3905707658,789494,5227,1330,370,391859,0 1,2,2024-09-07 09:27:20:655,573589,573589,0,0,22876976,0,3380 1,3,2024-09-07 09:27:21:315,1,454,12,0,268,5497,454,0 2,0,2024-09-07 09:27:21:573,111537,0.6,111578,0.7,222499,0.6,296558,2.00 2,1,2024-09-07 09:27:20:860,798767,798767,0,0,375327634874,3892795320,795473,2999,295,380,391745,0 2,2,2024-09-07 09:27:21:266,577610,577610,0,0,21449954,0,3594 2,3,2024-09-07 09:27:20:692,1,454,5,0,357,4246,454,0 3,0,2024-09-07 09:27:21:771,111819,0.4,111510,0.6,223212,0.3,297917,1.75 3,1,2024-09-07 09:27:21:649,796996,796996,0,0,374007488306,3892546981,790638,5664,694,379,391716,0 3,2,2024-09-07 09:27:21:142,575770,575747,23,0,22693886,0,5851 3,3,2024-09-07 09:27:21:758,1,454,1,0,207,2976,454,0 4,0,2024-09-07 09:27:21:812,111210,0.4,114322,0.5,233053,0.3,304391,1.75 4,1,2024-09-07 09:27:20:595,793853,793853,0,0,372949362064,3941707651,780999,9816,3038,370,391992,0 4,2,2024-09-07 09:27:21:020,569765,569765,0,0,26220379,0,4528 4,3,2024-09-07 09:27:21:027,1,454,6,0,448,5950,454,0 5,0,2024-09-07 09:27:21:367,115737,0.4,116359,0.6,232269,0.4,308456,1.75 5,1,2024-09-07 09:27:20:759,795470,795470,0,0,374124824814,3935995357,784432,8737,2301,367,392005,0 5,2,2024-09-07 09:27:21:837,569643,569643,0,0,26125947,0,3582 5,3,2024-09-07 09:27:21:734,1,454,11,0,457,6170,454,0 6,0,2024-09-07 09:27:20:919,114500,0.4,114141,0.6,228056,0.4,304250,2.00 6,1,2024-09-07 09:27:20:748,797243,797243,0,0,373858372550,3904448036,788743,7076,1424,379,391702,0 6,2,2024-09-07 09:27:21:123,577509,577491,18,0,25699136,0,5535 6,3,2024-09-07 09:27:21:275,1,454,1,0,710,5802,454,0 7,0,2024-09-07 09:27:21:546,108883,0.4,109805,0.6,218618,0.4,291125,1.75 7,1,2024-09-07 09:27:20:850,796095,796095,0,0,373617434889,3917932584,785727,8824,1544,382,391747,0 7,2,2024-09-07 09:27:20:771,576929,576929,0,0,25135103,0,4791 7,3,2024-09-07 09:27:20:851,1,454,1,0,552,5031,454,0 8,0,2024-09-07 09:27:21:331,115835,0.3,115584,0.5,231683,0.3,308347,1.75 8,1,2024-09-07 09:27:21:016,795223,795223,0,0,373266122072,3920291516,783523,9067,2633,366,392853,0 8,2,2024-09-07 09:27:20:794,566765,566765,0,0,29116652,0,3250 8,3,2024-09-07 09:27:20:589,1,454,8,0,538,7171,454,0 9,0,2024-09-07 09:27:21:116,116187,0.4,113040,0.5,236517,0.3,310200,1.75 9,1,2024-09-07 09:27:20:564,794706,794706,0,0,373741324627,3931811625,783472,8813,2421,369,392001,0 9,2,2024-09-07 09:27:21:103,571751,571751,0,0,25978172,0,3360 9,3,2024-09-07 09:27:21:756,1,454,11,0,496,6320,454,0 10,0,2024-09-07 09:27:21:603,114564,0.4,114031,0.5,229024,0.3,304910,1.75 10,1,2024-09-07 09:27:20:594,796665,796665,0,0,373425883830,3910845856,786126,8915,1624,381,391741,0 10,2,2024-09-07 09:27:20:783,577220,577220,0,0,28899185,0,4264 10,3,2024-09-07 09:27:20:873,1,454,177,0,649,4871,454,0 11,0,2024-09-07 09:27:21:007,109377,0.4,106093,0.6,221776,0.4,292998,1.75 11,1,2024-09-07 09:27:20:594,797244,797244,0,0,374778983648,3926611696,785876,8741,2627,383,391756,0 11,2,2024-09-07 09:27:21:128,576155,576155,0,0,25150508,0,4130 11,3,2024-09-07 09:27:21:301,1,454,30,0,843,6306,454,0 12,0,2024-09-07 09:27:20:989,115690,0.3,115653,0.5,231449,0.3,307334,1.75 12,1,2024-09-07 09:27:20:932,797029,797029,0,0,374882777606,3904243527,791437,5089,503,370,391960,0 12,2,2024-09-07 09:27:21:551,570998,570998,0,0,24288028,0,3469 12,3,2024-09-07 09:27:21:059,1,454,1,0,386,6463,454,0 13,0,2024-09-07 09:27:21:327,115856,0.4,115429,0.6,230244,0.4,307196,1.75 13,1,2024-09-07 09:27:21:539,795168,795168,0,0,373695645055,3923817126,787529,6005,1634,382,391740,0 13,2,2024-09-07 09:27:20:594,574680,574680,0,0,22376998,0,3287 13,3,2024-09-07 09:27:21:768,1,454,11,0,522,6478,454,0 14,0,2024-09-07 09:27:20:567,115647,0.4,116601,0.6,230842,0.4,307852,1.75 14,1,2024-09-07 09:27:21:565,800721,800721,0,0,375655751245,3886544820,794493,5517,711,364,391673,0 14,2,2024-09-07 09:27:20:772,575274,575244,30,0,24537653,0,6104 14,3,2024-09-07 09:27:21:120,1,454,1,0,1168,4766,454,0 15,0,2024-09-07 09:27:21:567,110076,0.4,109967,0.6,220403,0.4,293448,2.00 15,1,2024-09-07 09:27:21:607,797831,797831,0,0,374329607954,3895629269,791602,5050,1179,381,391619,0 15,2,2024-09-07 09:27:20:998,578523,578523,0,0,20388248,0,3622 15,3,2024-09-07 09:27:21:409,1,454,4,0,1126,7095,454,0 16,0,2024-09-07 09:27:20:926,113024,0.5,113812,0.7,226649,0.4,301774,2.00 16,1,2024-09-07 09:27:20:563,797427,797427,0,0,373770853452,3905910248,790572,5738,1117,370,391917,0 16,2,2024-09-07 09:27:21:433,573598,573598,0,0,24204317,0,4719 16,3,2024-09-07 09:27:21:160,1,454,4,0,317,5526,454,0 17,0,2024-09-07 09:27:21:785,118003,0.6,115261,0.7,225389,0.6,305811,2.00 17,1,2024-09-07 09:27:20:586,795983,795983,0,0,374328084395,3925851741,787870,6400,1713,368,392075,0 17,2,2024-09-07 09:27:21:669,574611,574610,1,0,24715526,0,5050 17,3,2024-09-07 09:27:20:589,1,454,8,0,518,7018,454,0 18,0,2024-09-07 09:27:20:942,114495,0.7,115024,0.8,229395,0.7,306111,2.25 18,1,2024-09-07 09:27:21:639,799200,799200,0,0,375072819159,3885001255,794926,3836,438,367,391725,0 18,2,2024-09-07 09:27:21:760,575574,575574,0,0,21347979,0,3541 18,3,2024-09-07 09:27:20:896,1,454,1,0,1059,4423,454,0 19,0,2024-09-07 09:27:21:554,112566,0.6,113019,0.8,224228,0.6,298184,2.25 19,1,2024-09-07 09:27:20:594,799404,799404,0,0,375905348232,3896517528,793336,5146,922,367,391777,0 19,2,2024-09-07 09:27:21:756,579607,579607,0,0,20479303,0,3988 19,3,2024-09-07 09:27:21:129,1,454,3,0,524,3878,454,0 20,0,2024-09-07 09:27:21:345,110458,0.4,110474,0.6,220767,0.4,294581,2.00 20,1,2024-09-07 09:27:20:595,796506,796506,0,0,374567401077,3915281356,789612,6092,802,369,391922,0 20,2,2024-09-07 09:27:20:927,575267,575267,0,0,24365919,0,3721 20,3,2024-09-07 09:27:20:590,1,454,468,0,468,7346,454,0 21,0,2024-09-07 09:27:21:165,115002,0.4,115081,0.6,230286,0.4,305186,1.75 21,1,2024-09-07 09:27:21:552,794404,794404,0,0,373293927171,3936433302,782350,9223,2831,368,392016,0 21,2,2024-09-07 09:27:21:066,568261,568241,20,0,29531256,0,5617 21,3,2024-09-07 09:27:21:404,1,454,13,0,713,6001,454,0 22,0,2024-09-07 09:27:21:726,116241,0.5,116670,0.7,232976,0.4,308946,2.00 22,1,2024-09-07 09:27:21:023,795437,795437,0,0,373429068108,3924029331,783357,9538,2542,382,391675,0 22,2,2024-09-07 09:27:20:762,571114,571088,26,0,23657852,0,6328 22,3,2024-09-07 09:27:21:065,1,454,13,0,228,3606,454,0 23,0,2024-09-07 09:27:21:370,113940,0.5,113394,0.7,227169,0.5,302912,2.25 23,1,2024-09-07 09:27:21:005,796728,796728,0,0,374456242381,3928396698,783255,9359,4114,365,391690,0 23,2,2024-09-07 09:27:21:100,579471,579471,0,0,23072055,0,3773 23,3,2024-09-07 09:27:21:757,1,454,3,0,720,5760,454,0 24,0,2024-09-07 09:27:20:812,110754,0.4,109992,0.5,221158,0.3,293787,1.75 24,1,2024-09-07 09:27:20:594,795823,795823,0,0,373018484209,3905383191,787804,6424,1595,367,392269,0 24,2,2024-09-07 09:27:21:067,576282,576282,0,0,27576975,0,3607 24,3,2024-09-07 09:27:21:691,1,454,14,0,468,5953,454,0 25,0,2024-09-07 09:27:21:345,118445,0.4,115363,0.5,226245,0.3,308514,1.75 25,1,2024-09-07 09:27:20:564,795637,795637,0,0,373791755298,3934718345,783440,9946,2251,371,391928,0 25,2,2024-09-07 09:27:21:631,569250,569250,0,0,28121998,0,3978 25,3,2024-09-07 09:27:21:005,1,454,8,0,532,5003,454,0 26,0,2024-09-07 09:27:21:728,115184,0.4,112579,0.6,236271,0.4,307525,1.75 26,1,2024-09-07 09:27:21:541,797749,797749,0,0,373842344872,3915625331,786087,9253,2409,380,391748,0 26,2,2024-09-07 09:27:20:862,572915,572915,0,0,28277638,0,2809 26,3,2024-09-07 09:27:21:718,1,454,2,0,796,5268,454,0 27,0,2024-09-07 09:27:21:733,115470,0.5,115626,0.6,230025,0.4,306869,2.25 27,1,2024-09-07 09:27:21:685,798756,798756,0,0,375411468001,3906903301,791477,6377,902,381,391626,0 27,2,2024-09-07 09:27:20:866,573965,573900,65,0,25808513,0,5699 27,3,2024-09-07 09:27:21:015,1,454,6,0,564,4164,454,0 28,0,2024-09-07 09:27:21:404,110208,0.4,109856,0.6,220367,0.3,293727,1.75 28,1,2024-09-07 09:27:20:800,798067,798067,0,0,375148564692,3911488563,790867,5501,1699,382,391698,0 28,2,2024-09-07 09:27:21:764,577066,577066,0,0,23480355,0,2915 28,3,2024-09-07 09:27:21:776,1,454,6,0,502,4779,454,0 29,0,2024-09-07 09:27:21:378,117709,0.3,114741,0.5,224906,0.2,306615,1.75 29,1,2024-09-07 09:27:21:560,800370,800370,0,0,375496763870,3882628874,795497,4138,735,368,391809,0 29,2,2024-09-07 09:27:20:862,571998,571998,0,0,22122600,0,4986 29,3,2024-09-07 09:27:20:967,1,454,14,0,459,5213,454,0 30,0,2024-09-07 09:27:21:459,114029,0.5,110813,0.7,232075,0.5,303502,2.00 30,1,2024-09-07 09:27:20:585,799239,799239,0,0,376148199090,3902639951,793164,5308,767,381,391672,0 30,2,2024-09-07 09:27:21:275,573334,573334,0,0,21689061,0,4192 30,3,2024-09-07 09:27:20:593,1,454,0,0,519,4447,454,0 31,0,2024-09-07 09:27:21:763,115329,0.5,115742,0.7,231232,0.4,308231,2.00 31,1,2024-09-07 09:27:20:580,802900,802900,0,0,376210564350,3853638170,799794,2520,586,356,391712,0 31,2,2024-09-07 09:27:21:277,573200,573200,0,0,23417971,0,3525 31,3,2024-09-07 09:27:21:707,1,454,0,0,220,3937,454,0 32,0,2024-09-07 09:27:21:418,111863,0.3,112492,0.5,224029,0.2,298317,1.50 32,1,2024-09-07 09:27:20:811,798955,798955,0,0,375175234492,3900049592,793827,4446,682,381,391646,0 32,2,2024-09-07 09:27:20:936,577973,577973,0,0,21249469,0,3922 32,3,2024-09-07 09:27:21:015,1,454,33,0,304,3500,454,0 33,0,2024-09-07 09:27:21:498,111976,0.2,111673,0.4,223656,0.2,298366,1.50 33,1,2024-09-07 09:27:20:584,799576,799576,0,0,375947001442,3895029567,793059,5412,1105,368,391730,0 33,2,2024-09-07 09:27:20:773,576044,576009,35,0,23497556,0,7012 33,3,2024-09-07 09:27:20:905,1,454,35,0,329,4253,454,0 34,0,2024-09-07 09:27:20:957,115010,0.3,118104,0.4,225758,0.2,303805,1.75 34,1,2024-09-07 09:27:21:044,800776,800776,0,0,376715577115,3871111053,798993,1771,12,367,391562,0 34,2,2024-09-07 09:27:20:769,573096,573096,0,0,21808639,0,4562 34,3,2024-09-07 09:27:21:694,1,454,28,0,299,3332,454,0 35,0,2024-09-07 09:27:20:858,115207,0.3,115862,0.5,232751,0.3,309201,1.75 35,1,2024-09-07 09:27:21:066,798707,798707,0,0,375631366383,3890902020,794126,3722,859,382,391769,0 35,2,2024-09-07 09:27:21:582,572406,572406,0,0,23730217,0,4055 35,3,2024-09-07 09:27:20:912,1,454,1,0,418,4551,454,0 36,0,2024-09-07 09:27:21:535,114671,0.4,114481,0.6,229053,0.4,304693,2.00 36,1,2024-09-07 09:27:20:592,797997,797997,0,0,374070154060,3903963241,788079,7916,2002,366,391759,0 36,2,2024-09-07 09:27:21:758,578537,578537,0,0,24969087,0,3875 36,3,2024-09-07 09:27:20:867,1,454,90,0,416,6314,454,0 37,0,2024-09-07 09:27:21:425,109085,0.4,109262,0.6,218315,0.4,291401,2.00 37,1,2024-09-07 09:27:20:592,796892,796885,0,7,373860148206,3901458418,787711,6895,2279,365,391770,0 37,2,2024-09-07 09:27:21:163,574602,574587,15,0,25045362,0,5815 37,3,2024-09-07 09:27:21:768,1,454,9,0,888,6680,454,0 38,0,2024-09-07 09:27:21:446,114955,0.5,111551,0.6,233434,0.4,305552,2.00 38,1,2024-09-07 09:27:21:605,797878,797878,0,0,374390781482,3912301739,786353,9219,2306,368,391821,0 38,2,2024-09-07 09:27:20:760,570786,570739,47,0,24637419,0,6710 38,3,2024-09-07 09:27:20:997,1,454,3,0,689,5557,454,0 39,0,2024-09-07 09:27:21:764,118866,0.6,116336,0.7,226721,0.6,309361,2.00 39,1,2024-09-07 09:27:20:724,796838,796838,0,0,374128815607,3922543040,783335,10336,3167,365,391658,0 39,2,2024-09-07 09:27:21:423,572855,572855,0,0,23353831,0,3391 39,3,2024-09-07 09:27:20:719,1,454,6,0,324,4996,454,0 40,0,2024-09-07 09:27:21:493,113386,0.8,114067,1.0,227470,0.9,303357,2.75 40,1,2024-09-07 09:27:20:582,797857,797857,0,0,374264004892,3914518002,786960,8853,2044,368,391668,0 40,2,2024-09-07 09:27:21:306,576533,576532,1,0,27152769,0,5137 40,3,2024-09-07 09:27:21:143,1,454,1,0,1028,6154,454,0 41,0,2024-09-07 09:27:21:026,108984,1.2,111471,1.2,212422,1.8,289309,3.00 41,1,2024-09-07 09:27:20:773,796295,796295,0,0,374014467530,3907343576,787071,8184,1040,369,391742,0 41,2,2024-09-07 09:27:20:768,574953,574953,0,0,26006222,0,4277 41,3,2024-09-07 09:27:21:676,1,454,11,0,366,4585,454,0 42,0,2024-09-07 09:27:21:473,114513,0.7,114309,0.9,228949,0.7,303077,2.50 42,1,2024-09-07 09:27:21:450,794608,794608,0,0,373621553293,3921615320,782446,10131,2031,380,391675,0 42,2,2024-09-07 09:27:21:133,570547,570547,0,0,25874455,0,3790 42,3,2024-09-07 09:27:21:009,1,454,4,0,892,4313,454,0 43,0,2024-09-07 09:27:20:919,113869,0.7,110854,0.9,232120,0.8,304516,2.25 43,1,2024-09-07 09:27:20:590,798142,798142,0,0,374815524971,3911971398,787695,8708,1739,366,391696,0 43,2,2024-09-07 09:27:21:746,573262,573262,0,0,25650023,0,3812 43,3,2024-09-07 09:27:21:749,1,454,571,0,571,6359,454,0 44,0,2024-09-07 09:27:20:861,115737,0.5,115907,0.6,232205,0.4,308456,2.00 44,1,2024-09-07 09:27:20:572,799389,799389,0,0,374691645868,3869899823,792887,5184,1318,356,391809,0 44,2,2024-09-07 09:27:21:267,573742,573742,0,0,21564223,0,4344 44,3,2024-09-07 09:27:21:107,1,454,1,0,817,5198,454,0 45,0,2024-09-07 09:27:21:767,109000,0.5,106416,0.7,223359,0.4,294056,2.00 45,1,2024-09-07 09:27:21:006,798706,798706,0,0,375532035285,3899012834,793043,5089,574,382,391917,0 45,2,2024-09-07 09:27:21:277,578087,578087,0,0,21792384,0,3596 45,3,2024-09-07 09:27:20:939,1,454,15,0,271,3832,454,0 46,0,2024-09-07 09:27:20:950,112914,0.4,112434,0.6,225614,0.4,299878,2.00 46,1,2024-09-07 09:27:20:592,800387,800387,0,0,375677209009,3877606629,795669,4126,592,366,391709,0 46,2,2024-09-07 09:27:20:594,574521,574521,0,0,21912625,0,4443 46,3,2024-09-07 09:27:21:131,1,454,1,0,908,5529,454,0 47,0,2024-09-07 09:27:21:101,114840,0.4,115085,0.6,230232,0.4,304791,1.75 47,1,2024-09-07 09:27:20:595,801094,801094,0,0,375171358217,3874381396,796217,4039,838,366,391641,0 47,2,2024-09-07 09:27:20:913,575071,575071,0,0,21494107,0,4477 47,3,2024-09-07 09:27:21:120,1,454,1,0,600,5358,454,0 48,0,2024-09-07 09:27:21:488,116200,0.3,116156,0.4,231284,0.2,308156,1.50 48,1,2024-09-07 09:27:21:025,799107,799107,0,0,375498417478,3897240327,794390,4260,457,384,391710,0 48,2,2024-09-07 09:27:20:699,573125,573125,0,0,20415559,0,3411 48,3,2024-09-07 09:27:20:756,1,454,0,0,339,3564,454,0 49,0,2024-09-07 09:27:21:722,115858,0.3,113930,0.5,221036,0.3,302019,1.75 49,1,2024-09-07 09:27:21:024,798412,798412,0,0,374594607234,3894579507,793252,3953,1207,382,391809,0 49,2,2024-09-07 09:27:21:805,578143,578143,0,0,22526503,0,4426 49,3,2024-09-07 09:27:21:423,1,454,14,0,992,5312,454,0 50,0,2024-09-07 09:27:21:530,111201,0.3,109594,0.4,220904,0.2,294579,1.75 50,1,2024-09-07 09:27:21:010,800853,800853,0,0,376876026146,3895071457,795811,4468,574,368,391565,0 50,2,2024-09-07 09:27:21:069,576301,576301,0,0,20493067,0,4490 50,3,2024-09-07 09:27:21:290,1,454,52,0,567,4478,454,0 51,0,2024-09-07 09:27:21:683,117853,0.3,115544,0.4,225265,0.2,306680,1.75 51,1,2024-09-07 09:27:21:680,801194,801194,0,0,376881030110,3885080340,797078,3067,1049,365,391706,0 51,2,2024-09-07 09:27:21:317,571932,571932,0,0,19388448,0,3337 51,3,2024-09-07 09:27:21:027,1,454,1,0,678,3594,454,0 52,0,2024-09-07 09:27:21:430,116630,0.5,116483,0.7,233208,0.5,309824,2.00 52,1,2024-09-07 09:27:20:586,797456,797456,0,0,374219176252,3912953277,786630,9382,1444,368,391722,0 52,2,2024-09-07 09:27:21:755,569483,569445,38,0,26230275,0,6742 52,3,2024-09-07 09:27:20:679,1,454,3,0,1782,5955,454,0 53,0,2024-09-07 09:27:21:744,113440,0.6,110147,0.8,230491,0.7,302673,2.25 53,1,2024-09-07 09:27:20:771,795261,795261,0,0,374236443916,3921755053,782919,9368,2974,367,391702,0 53,2,2024-09-07 09:27:21:298,578317,578316,1,0,23834961,0,5455 53,3,2024-09-07 09:27:20:697,1,454,9,0,308,4304,454,0 54,0,2024-09-07 09:27:21:634,108411,0.6,108850,0.7,216542,0.4,289744,2.25 54,1,2024-09-07 09:27:20:590,797711,797711,0,0,374640070017,3893341566,789562,6874,1275,366,391659,0 54,2,2024-09-07 09:27:20:864,577191,577159,32,0,27075301,0,6397 54,3,2024-09-07 09:27:20:772,1,454,15,0,676,6352,454,0 55,0,2024-09-07 09:27:21:763,111520,0.5,115024,0.7,233114,0.4,303636,2.25 55,1,2024-09-07 09:27:20:770,798512,798512,0,0,374438804505,3889311972,790356,6976,1180,365,391731,0 55,2,2024-09-07 09:27:20:729,570375,570319,56,0,26033876,0,7239 55,3,2024-09-07 09:27:20:676,1,454,35,0,304,4420,454,0 56,0,2024-09-07 09:27:21:559,117848,1.3,111085,1.1,228997,1.7,306735,2.75 56,1,2024-09-07 09:27:20:594,794242,794242,0,0,373778105903,3941362952,782713,9155,2374,381,391867,0 56,2,2024-09-07 09:27:21:303,572337,572215,122,0,26607643,0,7432 56,3,2024-09-07 09:27:21:059,1,454,1,0,705,5352,454,0 57,0,2024-09-07 09:27:20:950,113583,1.6,113344,1.3,227293,2.3,304060,3.25 57,1,2024-09-07 09:27:20:989,796577,796577,0,0,372996182734,3904179658,787878,7611,1088,366,392032,0 57,2,2024-09-07 09:27:21:333,576622,576622,0,0,27585116,0,3317 57,3,2024-09-07 09:27:21:745,1,454,148,0,455,5240,454,0 58,0,2024-09-07 09:27:20:554,108386,0.8,105405,0.9,220339,0.9,288794,2.50 58,1,2024-09-07 09:27:20:594,796991,796988,0,3,374969461123,3922421759,785859,8825,2304,367,391603,3 58,2,2024-09-07 09:27:21:070,576150,576150,0,0,25645508,0,2902 58,3,2024-09-07 09:27:21:067,1,454,13,0,1043,4852,454,0 59,0,2024-09-07 09:27:21:746,114015,0.7,113518,0.9,226875,0.7,301487,2.50 59,1,2024-09-07 09:27:20:806,796733,796733,0,0,374658738405,3920639637,786205,8717,1811,369,391653,0 59,2,2024-09-07 09:27:20:588,571488,571488,0,0,25202058,0,3727 59,3,2024-09-07 09:27:21:745,1,454,1,0,1015,5900,454,0 60,0,2024-09-07 09:27:21:733,114290,0.5,114208,0.7,229202,0.5,304345,1.75 60,1,2024-09-07 09:27:20:772,799361,799361,0,0,375072390324,3889875416,794207,4432,722,370,392031,0 60,2,2024-09-07 09:27:21:142,573512,573512,0,0,23583645,0,3811 60,3,2024-09-07 09:27:21:258,1,454,1,0,409,5171,454,0 61,0,2024-09-07 09:27:21:501,115419,0.7,116073,0.8,231189,0.7,307980,2.00 61,1,2024-09-07 09:27:20:788,797127,797127,0,0,373996208344,3913875906,788804,6891,1432,382,392127,0 61,2,2024-09-07 09:27:21:121,573849,573782,67,0,23999568,0,6411 61,3,2024-09-07 09:27:21:692,1,454,8,0,479,5897,454,0 62,0,2024-09-07 09:27:21:714,112350,0.5,115149,0.7,219926,0.5,298159,2.00 62,1,2024-09-07 09:27:21:120,801980,801974,0,6,376747581021,3881900621,798154,3558,262,365,391975,6 62,2,2024-09-07 09:27:21:648,575508,575507,1,0,24190980,0,5555 62,3,2024-09-07 09:27:21:143,1,454,11,0,482,3828,454,0 63,0,2024-09-07 09:27:21:497,112062,0.3,111931,0.5,224337,0.3,298648,1.75 63,1,2024-09-07 09:27:20:804,799550,799544,0,6,375132948640,3891805165,794561,4250,733,381,391800,6 63,2,2024-09-07 09:27:20:768,575191,575191,0,0,21964366,0,4369 63,3,2024-09-07 09:27:21:734,1,454,167,0,667,4641,454,0 64,0,2024-09-07 09:27:21:529,114222,0.5,114352,0.6,228003,0.5,303059,1.75 64,1,2024-09-07 09:27:20:752,798802,798802,0,0,375497944280,3908927012,792027,5072,1703,370,391783,0 64,2,2024-09-07 09:27:21:143,575774,575755,19,0,21944615,0,6121 64,3,2024-09-07 09:27:21:142,1,454,1,0,265,4308,454,0 65,0,2024-09-07 09:27:21:691,115048,0.7,115335,0.8,230246,0.7,306619,2.00 65,1,2024-09-07 09:27:20:864,796928,796928,0,0,374441198021,3909770382,791810,4578,540,381,391901,0 65,2,2024-09-07 09:27:21:693,572501,572501,0,0,25976181,0,3367 65,3,2024-09-07 09:27:21:685,1,454,8,0,782,5536,454,0 66,0,2024-09-07 09:27:21:762,114026,0.5,113880,0.7,227756,0.5,303102,2.25 66,1,2024-09-07 09:27:21:293,798824,798824,0,0,374553223346,3898968443,793322,4976,526,380,391743,0 66,2,2024-09-07 09:27:21:132,579447,579444,3,0,23470220,0,5455 66,3,2024-09-07 09:27:21:092,1,454,30,0,291,3903,454,0 67,0,2024-09-07 09:27:21:419,109670,0.4,109482,0.6,219579,0.4,292335,2.00 67,1,2024-09-07 09:27:20:769,799035,799034,0,1,374979198437,3903584868,793515,4725,794,380,391787,1 67,2,2024-09-07 09:27:20:595,578762,578747,15,0,22537094,0,6205 67,3,2024-09-07 09:27:21:753,1,454,2,0,392,4367,454,0 68,0,2024-09-07 09:27:20:585,115878,0.5,115822,0.7,230592,0.5,308080,2.00 68,1,2024-09-07 09:27:20:595,795528,795528,0,0,373313687986,3922918406,785241,7339,2948,381,391953,0 68,2,2024-09-07 09:27:21:052,568271,568171,100,0,28614584,0,8578 68,3,2024-09-07 09:27:20:728,1,454,13,0,417,5341,454,0 69,0,2024-09-07 09:27:21:740,115533,0.7,116303,0.8,231736,0.8,307714,2.25 69,1,2024-09-07 09:27:21:023,794963,794963,0,0,373502730020,3932142255,785028,7664,2271,384,391994,0 69,2,2024-09-07 09:27:21:735,571349,571320,29,0,30462501,0,6912 69,3,2024-09-07 09:27:20:769,1,454,17,0,698,7001,454,0 70,0,2024-09-07 09:27:21:549,113346,1.0,113676,1.1,228604,0.9,302738,2.50 70,1,2024-09-07 09:27:20:801,799039,799039,0,0,375142558117,3891698785,792682,5669,688,366,391725,0 70,2,2024-09-07 09:27:21:323,577250,577250,0,0,25714337,0,4323 70,3,2024-09-07 09:27:20:747,1,454,1,0,854,5181,454,0 71,0,2024-09-07 09:27:21:365,108430,0.8,108191,0.9,217394,0.8,290442,2.50 71,1,2024-09-07 09:27:21:596,797394,797394,0,0,374426224153,3902518728,788690,7888,816,368,391738,0 71,2,2024-09-07 09:27:21:065,575949,575949,0,0,25714976,0,4352 71,3,2024-09-07 09:27:21:753,1,454,1,0,644,5685,454,0 72,0,2024-09-07 09:27:21:021,118698,0.5,116095,0.7,226292,0.4,307527,2.00 72,1,2024-09-07 09:27:21:022,796256,796256,0,0,373988804834,3919370169,785318,8928,2010,369,391819,0 72,2,2024-09-07 09:27:21:760,569963,569963,0,0,27440309,0,3983 72,3,2024-09-07 09:27:21:762,1,454,1,0,564,6656,454,0 73,0,2024-09-07 09:27:21:127,112008,0.4,114876,0.6,234717,0.4,305369,2.00 73,1,2024-09-07 09:27:20:780,798250,798250,0,0,375012348771,3892073178,792843,4997,410,367,391858,0 73,2,2024-09-07 09:27:21:746,572777,572777,0,0,27082985,0,3701 73,3,2024-09-07 09:27:20:970,1,454,8,0,486,5839,454,0 74,0,2024-09-07 09:27:21:324,116573,0.5,119226,0.7,227332,0.5,308753,2.25 74,1,2024-09-07 09:27:20:637,797422,797422,0,0,374409907772,3904292972,789451,6376,1595,381,391762,0 74,2,2024-09-07 09:27:21:012,574544,574544,0,0,24914420,0,4253 74,3,2024-09-07 09:27:21:449,1,454,86,0,522,5709,454,0 75,0,2024-09-07 09:27:21:765,110819,0.5,110086,0.7,220972,0.4,295075,2.25 75,1,2024-09-07 09:27:21:585,797977,797977,0,0,374093814655,3898500661,791086,6063,828,380,391739,0 75,2,2024-09-07 09:27:21:351,576001,576001,0,0,27785728,0,4766 75,3,2024-09-07 09:27:21:068,1,454,1,0,702,5776,454,0 76,0,2024-09-07 09:27:20:578,112754,0.5,111960,0.7,224859,0.4,300657,2.25 76,1,2024-09-07 09:27:20:810,797641,797641,0,0,373761687164,3896148691,792203,4610,828,382,391692,0 76,2,2024-09-07 09:27:21:061,576134,576133,1,0,24026998,0,5144 76,3,2024-09-07 09:27:21:142,1,454,15,0,175,4198,454,0 77,0,2024-09-07 09:27:21:695,114133,0.5,114539,0.7,229276,0.5,303823,2.00 77,1,2024-09-07 09:27:20:824,798295,798295,0,0,374655973595,3906176325,792453,5335,507,381,391869,0 77,2,2024-09-07 09:27:21:281,572405,572405,0,0,23565736,0,3890 77,3,2024-09-07 09:27:21:097,1,454,9,0,401,4948,454,0 78,0,2024-09-07 09:27:21:717,115909,0.4,115281,0.6,231486,0.4,306718,2.00 78,1,2024-09-07 09:27:20:610,798987,798987,0,0,373997073757,3892655742,791116,6302,1569,367,391670,0 78,2,2024-09-07 09:27:21:411,574127,574114,13,0,22340029,0,8313 78,3,2024-09-07 09:27:21:133,1,454,161,0,181,3927,454,0 79,0,2024-09-07 09:27:21:359,109116,0.4,111722,0.6,228836,0.3,297014,2.25 79,1,2024-09-07 09:27:20:585,799958,799958,0,0,375000767099,3884630674,793320,5329,1309,367,391682,0 79,2,2024-09-07 09:27:21:066,578160,578160,0,0,21799955,0,4195 79,3,2024-09-07 09:27:20:749,1,454,1,0,418,5512,454,0 80,0,2024-09-07 09:27:21:079,110508,0.5,113716,0.6,217475,0.4,294195,2.00 80,1,2024-09-07 09:27:21:624,797808,797808,0,0,374383914943,3897436504,792211,5174,423,368,392269,0 80,2,2024-09-07 09:27:21:102,578013,578013,0,0,22233137,0,4433 80,3,2024-09-07 09:27:20:579,1,454,1,0,190,5113,454,0 81,0,2024-09-07 09:27:21:543,115106,0.5,117855,0.7,225050,0.5,305417,2.00 81,1,2024-09-07 09:27:21:665,796847,796847,0,0,373841474842,3903898024,790821,5485,541,382,391879,0 81,2,2024-09-07 09:27:21:129,570424,570361,63,0,24462204,0,5932 81,3,2024-09-07 09:27:21:121,1,454,15,0,719,5356,454,0 82,0,2024-09-07 09:27:21:541,116095,0.5,116200,0.7,233002,0.5,309152,2.00 82,1,2024-09-07 09:27:20:591,799425,799421,0,4,375340001931,3902434332,794676,3926,819,381,391768,4 82,2,2024-09-07 09:27:21:692,573762,573762,0,0,20986268,0,4484 82,3,2024-09-07 09:27:21:754,1,454,1,0,363,4635,454,0 83,0,2024-09-07 09:27:21:526,114270,0.6,114188,0.8,227765,0.6,302921,2.25 83,1,2024-09-07 09:27:20:551,797217,797217,0,0,374183681530,3899227150,791683,5084,450,382,391709,0 83,2,2024-09-07 09:27:20:772,578129,578104,25,0,22566488,0,5612 83,3,2024-09-07 09:27:20:748,1,454,1,0,1260,5666,454,0 84,0,2024-09-07 09:27:21:789,109403,0.6,109370,0.8,217957,0.5,291981,2.25 84,1,2024-09-07 09:27:21:040,796711,796711,0,0,373910890254,3903842364,788722,6942,1047,367,391967,0 84,2,2024-09-07 09:27:20:571,575442,575412,30,0,29181422,0,5971 84,3,2024-09-07 09:27:21:152,1,454,44,0,908,6671,454,0 85,0,2024-09-07 09:27:21:022,111097,0.5,111112,0.7,235581,0.5,305151,2.25 85,1,2024-09-07 09:27:20:563,794520,794520,0,0,373120546278,3932834286,783418,9129,1973,381,392006,0 85,2,2024-09-07 09:27:20:880,569812,569812,0,0,26828218,0,3656 85,3,2024-09-07 09:27:20:694,1,454,10,0,789,5338,454,0 86,0,2024-09-07 09:27:20:887,115155,0.7,118589,0.8,226889,0.7,307119,2.25 86,1,2024-09-07 09:27:20:829,796526,796526,0,0,374331325157,3916537687,787641,7423,1462,366,391961,0 86,2,2024-09-07 09:27:20:854,570733,570732,1,0,29630331,0,5004 86,3,2024-09-07 09:27:20:593,1,454,1,0,308,6624,454,0 87,0,2024-09-07 09:27:21:285,115206,0.9,114968,0.9,230047,1.3,307254,2.50 87,1,2024-09-07 09:27:20:573,795817,795817,0,0,374050525406,3917032475,785525,8676,1616,366,392076,0 87,2,2024-09-07 09:27:21:066,575428,575422,6,0,26223582,0,6323 87,3,2024-09-07 09:27:21:795,1,454,1,0,473,6896,454,0 88,0,2024-09-07 09:27:21:459,109839,0.4,110612,0.6,220581,0.4,293615,1.75 88,1,2024-09-07 09:27:20:592,795409,795409,0,0,373520550187,3907670296,785669,7731,2009,365,392084,0 88,2,2024-09-07 09:27:20:690,577011,577011,0,0,28342644,0,4465 88,3,2024-09-07 09:27:21:268,1,454,4,0,435,5377,454,0 89,0,2024-09-07 09:27:21:780,117615,0.4,113906,0.6,225376,0.3,306504,1.75 89,1,2024-09-07 09:27:20:552,795351,795351,0,0,373948354745,3925985370,786158,7763,1430,382,391866,0 89,2,2024-09-07 09:27:21:134,571752,571752,0,0,26384786,0,3173 89,3,2024-09-07 09:27:21:806,1,454,13,0,468,7664,454,0 90,0,2024-09-07 09:27:21:616,110999,0.4,114134,0.6,232581,0.4,303351,2.00 90,1,2024-09-07 09:27:20:600,796567,796567,0,0,374569180185,3916388705,789781,6270,516,380,391825,0 90,2,2024-09-07 09:27:21:405,571042,571042,0,0,28061850,0,3060 90,3,2024-09-07 09:27:20:941,1,454,1,0,322,5425,454,0 91,0,2024-09-07 09:27:20:937,116267,0.5,112526,0.6,235084,0.5,308681,1.75 91,1,2024-09-07 09:27:20:564,795216,795216,0,0,373652655847,3924412533,785806,8004,1406,381,392047,0 91,2,2024-09-07 09:27:21:331,574860,574860,0,0,24762922,0,2896 91,3,2024-09-07 09:27:20:598,1,454,1,0,216,4414,454,0 92,0,2024-09-07 09:27:21:484,112769,0.4,115611,0.6,220667,0.4,298434,1.75 92,1,2024-09-07 09:27:20:595,797950,797950,0,0,374200053665,3903554814,792399,4825,726,381,392136,0 92,2,2024-09-07 09:27:21:350,578148,578148,0,0,22301413,0,3259 92,3,2024-09-07 09:27:21:009,1,454,77,0,167,4037,454,0 93,0,2024-09-07 09:27:20:982,112424,0.3,115301,0.5,220037,0.3,298770,1.75 93,1,2024-09-07 09:27:20:813,797279,797279,0,0,374443570828,3905433367,789107,6786,1386,366,391776,0 93,2,2024-09-07 09:27:20:935,575034,575034,0,0,27003266,0,4845 93,3,2024-09-07 09:27:21:423,1,454,9,0,190,4023,454,0 94,0,2024-09-07 09:27:21:607,114276,0.3,115177,0.5,229929,0.3,304594,1.75 94,1,2024-09-07 09:27:20:573,797557,797557,0,0,374273520913,3900689572,792752,4595,210,381,391850,0 94,2,2024-09-07 09:27:20:768,572193,572193,0,0,22466044,0,2443 94,3,2024-09-07 09:27:21:689,1,454,3,0,576,5851,454,0 95,0,2024-09-07 09:27:21:349,115940,0.4,115808,0.5,232238,0.3,309148,1.75 95,1,2024-09-07 09:27:20:851,799013,799013,0,0,375302471187,3897515845,792862,5713,438,365,391786,0 95,2,2024-09-07 09:27:21:016,572200,572200,0,0,22416929,0,3308 95,3,2024-09-07 09:27:21:710,1,454,3,0,718,6320,454,0 96,0,2024-09-07 09:27:21:025,114546,0.4,114803,0.5,229166,0.3,304029,1.75 96,1,2024-09-07 09:27:21:584,797248,797248,0,0,374027844418,3901423152,791379,4964,905,384,391955,0 96,2,2024-09-07 09:27:21:284,578865,578865,0,0,23493241,0,4180 96,3,2024-09-07 09:27:21:160,1,454,15,0,411,4983,454,0 97,0,2024-09-07 09:27:21:314,109735,0.3,109247,0.4,219318,0.2,292077,1.50 97,1,2024-09-07 09:27:20:771,798644,798644,0,0,375508786183,3893383098,793471,4238,935,367,392140,0 97,2,2024-09-07 09:27:20:608,576916,576916,0,0,22682631,0,3679 97,3,2024-09-07 09:27:20:594,1,454,8,0,242,5381,454,0 98,0,2024-09-07 09:27:21:698,115252,0.3,115648,0.4,231852,0.2,307778,1.50 98,1,2024-09-07 09:27:20:588,798076,798076,0,0,374514309783,3900500906,793002,4282,792,382,391997,0 98,2,2024-09-07 09:27:20:773,571277,571277,0,0,22496615,0,4336 98,3,2024-09-07 09:27:20:698,1,454,4,0,840,7290,454,0 99,0,2024-09-07 09:27:21:453,116163,0.3,116741,0.5,232239,0.3,310327,1.75 99,1,2024-09-07 09:27:21:724,798481,798481,0,0,374049697594,3891178011,793498,4146,837,380,392069,0 99,2,2024-09-07 09:27:21:428,573519,573519,0,0,26649369,0,4276 99,3,2024-09-07 09:27:20:590,1,454,1,0,606,4800,454,0 100,0,2024-09-07 09:27:21:481,114347,0.8,114705,0.9,228524,0.9,305339,2.50 100,1,2024-09-07 09:27:20:565,794024,794024,0,0,372778827520,3935686621,782409,9213,2402,378,391989,0 100,2,2024-09-07 09:27:21:820,575003,574992,11,0,26357393,0,5417 100,3,2024-09-07 09:27:21:740,1,454,331,0,559,7340,454,0 101,0,2024-09-07 09:27:21:738,111819,0.8,108982,0.9,213586,0.6,292384,2.25 101,1,2024-09-07 09:27:20:550,794718,794718,0,0,373043123931,3920874658,783530,8921,2267,368,391847,0 101,2,2024-09-07 09:27:21:764,573204,573204,0,0,31256313,0,4871 101,3,2024-09-07 09:27:20:956,1,454,20,0,1250,7291,454,0 102,0,2024-09-07 09:27:20:949,111879,0.5,115307,0.7,234017,0.5,306050,2.00 102,1,2024-09-07 09:27:21:143,794942,794942,0,0,373285751920,3918638703,784830,8347,1765,369,391891,0 102,2,2024-09-07 09:27:21:746,571922,571868,54,0,24929631,0,6768 102,3,2024-09-07 09:27:21:619,1,454,59,0,466,4913,454,0 103,0,2024-09-07 09:27:21:592,118677,0.5,118654,0.7,223608,0.5,307466,2.00 103,1,2024-09-07 09:27:21:625,794327,794327,0,0,373043743647,3937975712,781488,9651,3188,381,391862,0 103,2,2024-09-07 09:27:20:589,571227,571227,0,0,28027537,0,3766 103,3,2024-09-07 09:27:20:756,1,454,298,0,916,5185,454,0 104,0,2024-09-07 09:27:21:028,114740,0.7,115046,0.9,229083,0.7,306862,2.25 104,1,2024-09-07 09:27:21:622,796454,796454,0,0,373579917729,3918510873,785588,9002,1864,365,392168,0 104,2,2024-09-07 09:27:21:678,573419,573419,0,0,26547737,0,3941 104,3,2024-09-07 09:27:21:426,1,454,1,0,1245,8679,454,0 105,0,2024-09-07 09:27:21:066,109212,0.8,106382,1.0,222948,0.8,293155,2.50 105,1,2024-09-07 09:27:20:563,797986,797986,0,0,374432995386,3918260021,788492,8065,1429,366,392009,0 105,2,2024-09-07 09:27:21:323,575668,575668,0,0,26348131,0,3509 105,3,2024-09-07 09:27:21:355,1,454,8,0,399,6656,454,0 106,0,2024-09-07 09:27:20:953,109329,0.6,112032,0.8,229170,0.6,299983,2.25 106,1,2024-09-07 09:27:21:766,796524,796524,0,0,373829117986,3919390237,785456,9619,1449,368,391914,0 106,2,2024-09-07 09:27:20:760,572838,572838,0,0,25575529,0,2920 106,3,2024-09-07 09:27:20:678,1,454,318,0,470,5896,454,0 107,0,2024-09-07 09:27:21:108,114334,0.8,114569,0.9,228932,0.9,304934,2.25 107,1,2024-09-07 09:27:20:595,794794,794794,0,0,373374166681,3931103971,784251,9483,1060,381,392234,0 107,2,2024-09-07 09:27:21:292,570852,570851,1,0,26660434,0,5024 107,3,2024-09-07 09:27:21:773,1,454,17,0,370,6728,454,0 108,0,2024-09-07 09:27:21:773,115310,0.5,116226,0.6,231029,0.4,308125,1.75 108,1,2024-09-07 09:27:21:298,798047,798047,0,0,375330741512,3910081767,791863,5439,745,367,391857,0 108,2,2024-09-07 09:27:21:758,572259,572259,0,0,25089228,0,4246 108,3,2024-09-07 09:27:21:329,1,454,5,0,749,8107,454,0 109,0,2024-09-07 09:27:21:755,113624,0.4,112768,0.6,225747,0.3,301290,1.75 109,1,2024-09-07 09:27:20:593,794894,794894,0,0,373901884733,3921229900,787442,6265,1187,382,392132,0 109,2,2024-09-07 09:27:20:921,575444,575444,0,0,24597929,0,3617 109,3,2024-09-07 09:27:21:142,1,454,10,0,379,5204,454,0 110,0,2024-09-07 09:27:21:762,110369,0.3,107432,0.5,225144,0.3,294833,1.75 110,1,2024-09-07 09:27:21:656,798834,798834,0,0,374551605485,3884202582,793436,4219,1179,369,392045,0 110,2,2024-09-07 09:27:21:316,576524,576524,0,0,23624844,0,4067 110,3,2024-09-07 09:27:20:692,1,454,15,0,722,6428,454,0 111,0,2024-09-07 09:27:21:411,115537,0.3,114748,0.5,229917,0.3,306645,1.75 111,1,2024-09-07 09:27:21:000,799628,799628,0,0,376772651002,3904429157,795696,3577,355,380,391690,0 111,2,2024-09-07 09:27:21:121,570536,570536,0,0,23040857,0,4823 111,3,2024-09-07 09:27:20:913,1,454,28,0,379,5226,454,0 112,0,2024-09-07 09:27:20:913,117024,0.3,116490,0.4,233442,0.2,310113,1.50 112,1,2024-09-07 09:27:20:824,798588,798588,0,0,375189034158,3891791947,793856,4119,613,380,391624,0 112,2,2024-09-07 09:27:21:140,572463,572462,1,0,22607631,0,5036 112,3,2024-09-07 09:27:20:595,1,454,1,0,282,4324,454,0 113,0,2024-09-07 09:27:20:867,114330,0.3,114283,0.5,229050,0.2,304884,1.75 113,1,2024-09-07 09:27:21:685,801403,801403,0,0,376851740336,3885193373,797507,3307,589,366,391661,0 113,2,2024-09-07 09:27:21:311,579734,579734,0,0,20765166,0,3813 113,3,2024-09-07 09:27:20:684,1,454,10,0,340,4932,454,0 114,0,2024-09-07 09:27:20:875,110238,0.3,110992,0.4,220546,0.2,294944,1.75 114,1,2024-09-07 09:27:20:719,798984,798984,0,0,375129512451,3895009909,792678,4690,1616,381,391565,0 114,2,2024-09-07 09:27:20:876,578070,578069,1,0,21787609,0,5069 114,3,2024-09-07 09:27:21:279,1,454,1,0,395,3747,454,0 115,0,2024-09-07 09:27:20:557,115597,0.2,116054,0.4,231624,0.2,308311,1.50 115,1,2024-09-07 09:27:20:585,799150,799150,0,0,374890829359,3894061000,792652,5230,1268,382,391757,0 115,2,2024-09-07 09:27:21:128,572631,572631,0,0,21508130,0,4382 115,3,2024-09-07 09:27:21:004,1,454,2,0,159,2500,454,0 116,0,2024-09-07 09:27:21:698,115073,0.7,114958,0.8,230527,0.7,308389,2.00 116,1,2024-09-07 09:27:20:804,794932,794932,0,0,374209212510,3939702133,785663,6879,2390,380,392089,0 116,2,2024-09-07 09:27:21:758,571803,571803,0,0,27626543,0,4128 116,3,2024-09-07 09:27:20:916,1,454,1,0,415,5198,454,0 117,0,2024-09-07 09:27:21:328,115595,0.8,115020,0.8,230454,0.9,307695,2.00 117,1,2024-09-07 09:27:21:578,796011,796011,0,0,373406656002,3903525094,788197,6898,916,369,392033,0 117,2,2024-09-07 09:27:21:187,578582,578582,0,0,23915051,0,4303 117,3,2024-09-07 09:27:21:063,1,454,19,0,490,6634,454,0 118,0,2024-09-07 09:27:21:807,106803,0.5,109633,0.6,223879,0.4,292518,2.00 118,1,2024-09-07 09:27:20:595,795617,795617,0,0,372598260365,3916060019,783559,9038,3020,366,391907,0 118,2,2024-09-07 09:27:21:585,576142,576142,0,0,26082778,0,2842 118,3,2024-09-07 09:27:21:776,1,454,4,0,248,5173,454,0 119,0,2024-09-07 09:27:21:331,114128,0.5,114447,0.7,228862,0.5,304849,2.00 119,1,2024-09-07 09:27:20:549,796672,796672,0,0,374321206027,3915911408,787456,7956,1260,367,391857,0 119,2,2024-09-07 09:27:21:263,572966,572966,0,0,24392933,0,4174 119,3,2024-09-07 09:27:21:328,1,454,1,0,1358,8777,454,0 120,0,2024-09-07 09:27:21:569,113843,0.6,113496,0.8,227722,0.6,303770,2.25 120,1,2024-09-07 09:27:20:882,796850,796850,0,0,373269189965,3912375922,788455,7625,770,368,392144,0 120,2,2024-09-07 09:27:20:802,572260,572259,1,0,27795743,0,5281 120,3,2024-09-07 09:27:21:290,1,454,9,0,279,5497,454,0 121,0,2024-09-07 09:27:21:702,115665,1.4,115332,1.1,231193,1.9,307966,2.50 121,1,2024-09-07 09:27:21:660,797169,797169,0,0,374949684433,3913809088,790406,6301,462,367,391840,0 121,2,2024-09-07 09:27:21:133,573322,573322,0,0,26817925,0,4127 121,3,2024-09-07 09:27:20:732,1,454,12,0,269,4919,454,0 122,0,2024-09-07 09:27:21:762,111236,0.7,108464,0.8,227573,0.8,298187,2.00 122,1,2024-09-07 09:27:20:860,795740,795740,0,0,374293187462,3922721175,785203,8932,1605,366,392130,0 122,2,2024-09-07 09:27:21:424,577222,577149,73,0,29701265,0,5989 122,3,2024-09-07 09:27:20:595,1,454,1,0,512,7912,454,0 123,0,2024-09-07 09:27:20:950,111353,0.5,108540,0.7,227489,0.5,297893,2.00 123,1,2024-09-07 09:27:20:561,795580,795580,0,0,373875564630,3933581235,781910,11226,2444,369,392039,0 123,2,2024-09-07 09:27:21:020,573247,573246,1,0,25054876,0,5215 123,3,2024-09-07 09:27:21:132,1,454,9,0,478,5181,454,0 124,0,2024-09-07 09:27:20:940,118061,0.3,118101,0.5,222534,0.3,305554,1.75 124,1,2024-09-07 09:27:21:022,798887,798887,0,0,375066348113,3894347469,793597,4239,1051,367,392178,0 124,2,2024-09-07 09:27:21:009,572891,572838,53,0,22660724,0,6487 124,3,2024-09-07 09:27:20:766,1,454,12,0,490,4268,454,0 125,0,2024-09-07 09:27:21:511,115884,0.4,115720,0.6,232238,0.4,309065,1.75 125,1,2024-09-07 09:27:20:856,797119,797119,0,0,374345821536,3904939386,791198,5248,673,382,391813,0 125,2,2024-09-07 09:27:21:121,573754,573754,0,0,23513889,0,4534 125,3,2024-09-07 09:27:21:128,1,454,8,0,709,5522,454,0 126,0,2024-09-07 09:27:21:430,114532,0.4,117772,0.6,225262,0.4,304805,1.75 126,1,2024-09-07 09:27:20:551,799391,799391,0,0,376031746942,3890695427,795378,3719,294,365,391987,0 126,2,2024-09-07 09:27:20:610,578639,578639,0,0,24373488,0,4539 126,3,2024-09-07 09:27:20:917,1,454,1,0,268,5351,454,0 127,0,2024-09-07 09:27:21:595,109648,0.3,109971,0.5,219570,0.2,292472,1.50 127,1,2024-09-07 09:27:20:591,798395,798395,0,0,374332405074,3889624377,791041,6131,1223,364,392187,0 127,2,2024-09-07 09:27:20:638,575793,575793,0,0,21966197,0,3897 127,3,2024-09-07 09:27:21:267,1,454,1,0,968,4685,454,0 128,0,2024-09-07 09:27:21:534,116177,0.3,116208,0.4,232259,0.2,308487,1.50 128,1,2024-09-07 09:27:21:608,797352,797352,0,0,374512853931,3885455424,792423,4496,433,367,392031,0 128,2,2024-09-07 09:27:21:387,572576,572576,0,0,21263322,0,3171 128,3,2024-09-07 09:27:20:770,1,454,8,0,1082,6913,454,0 129,0,2024-09-07 09:27:21:002,117131,0.3,116581,0.5,233432,0.3,310762,1.50 129,1,2024-09-07 09:27:20:594,796074,796074,0,0,373168316710,3908316746,788297,6104,1673,379,391962,0 129,2,2024-09-07 09:27:20:695,573218,573214,4,0,23555698,0,5335 129,3,2024-09-07 09:27:20:688,1,454,12,0,506,6457,454,0 130,0,2024-09-07 09:27:21:723,115358,0.5,114909,0.6,231009,0.5,306699,1.75 130,1,2024-09-07 09:27:20:595,798683,798683,0,0,374962665136,3894318033,794546,3798,339,381,391825,0 130,2,2024-09-07 09:27:21:137,578477,578477,0,0,23445784,0,4067 130,3,2024-09-07 09:27:21:291,1,454,5,0,960,6835,454,0 131,0,2024-09-07 09:27:21:947,109402,0.3,109842,0.5,220531,0.3,293102,1.75 131,1,2024-09-07 09:27:21:827,798198,798198,0,0,374201066215,3905075397,792213,4933,1052,381,391865,0 131,2,2024-09-07 09:27:20:591,578563,578563,0,0,21447300,0,3979 131,3,2024-09-07 09:27:21:689,1,454,1,0,392,4908,454,0 132,0,2024-09-07 09:27:21:454,114990,0.4,116048,0.6,230903,0.3,307099,1.75 132,1,2024-09-07 09:27:20:590,794116,794116,0,0,373564028940,3930349914,782747,9258,2111,381,392532,0 132,2,2024-09-07 09:27:20:698,571022,571005,17,0,27789929,0,6451 132,3,2024-09-07 09:27:21:689,1,454,7,0,804,7742,454,0 133,0,2024-09-07 09:27:21:526,111962,0.4,114626,0.6,235078,0.4,306062,1.75 133,1,2024-09-07 09:27:20:589,794291,794291,0,0,373633141954,3935282271,783361,9408,1522,383,391914,0 133,2,2024-09-07 09:27:21:090,572520,572470,50,0,29384332,0,6861 133,3,2024-09-07 09:27:21:297,1,454,30,0,479,4714,454,0 134,0,2024-09-07 09:27:21:047,116203,0.6,115755,0.7,231877,0.6,309197,2.00 134,1,2024-09-07 09:27:20:590,795937,795937,0,0,372855242034,3906784073,786000,7900,2037,366,391718,0 134,2,2024-09-07 09:27:21:763,573521,573497,24,0,27164457,0,6207 134,3,2024-09-07 09:27:20:749,1,454,6,0,739,5618,454,0 135,0,2024-09-07 09:27:21:100,106975,0.7,106943,0.8,226972,0.8,292413,2.25 135,1,2024-09-07 09:27:21:584,796221,796221,0,0,374331309250,3933124972,786243,8602,1376,380,391805,0 135,2,2024-09-07 09:27:20:692,576704,576704,0,0,26443474,0,3981 135,3,2024-09-07 09:27:21:005,1,454,22,0,299,3899,454,0 136,0,2024-09-07 09:27:21:671,113738,0.5,113851,0.7,226581,0.4,302436,2.00 136,1,2024-09-07 09:27:21:445,797255,797255,0,0,373466033552,3912129486,788636,7655,964,381,391685,0 136,2,2024-09-07 09:27:21:133,575303,575303,0,0,24945539,0,3506 136,3,2024-09-07 09:27:21:114,1,454,4,0,637,5140,454,0 137,0,2024-09-07 09:27:20:961,118114,0.6,115068,0.7,225646,0.5,306151,2.00 137,1,2024-09-07 09:27:20:579,795301,795301,0,0,373616122571,3915478633,783608,9333,2360,366,391898,0 137,2,2024-09-07 09:27:21:716,571031,571031,0,0,27741296,0,3185 137,3,2024-09-07 09:27:20:771,1,454,12,0,484,5435,454,0 138,0,2024-09-07 09:27:21:766,115168,1.0,115116,1.0,231189,1.2,306636,2.25 138,1,2024-09-07 09:27:21:689,796304,796304,0,0,374358419659,3920719129,785961,8619,1724,368,391954,0 138,2,2024-09-07 09:27:20:589,572512,572512,0,0,25243054,0,4988 138,3,2024-09-07 09:27:20:609,1,454,51,0,1160,5854,454,0 139,0,2024-09-07 09:27:21:373,112079,1.4,112232,1.1,225022,2.1,299843,2.50 139,1,2024-09-07 09:27:20:601,792383,792383,0,0,372404087557,3952039676,777560,11453,3370,380,392058,0 139,2,2024-09-07 09:27:20:695,573654,573654,0,0,29277544,0,3097 139,3,2024-09-07 09:27:21:670,1,454,1,0,432,5198,454,0 140,0,2024-09-07 09:27:21:607,111059,0.3,110391,0.4,221400,0.2,295285,1.50 140,1,2024-09-07 09:27:21:540,800687,800687,0,0,377239780436,3885558856,797117,3128,442,365,391606,0 140,2,2024-09-07 09:27:20:686,577311,577310,1,0,22153236,0,5036 140,3,2024-09-07 09:27:20:772,1,454,4,0,297,3685,454,0 141,0,2024-09-07 09:27:21:697,115319,0.3,118396,0.4,226109,0.2,306225,1.50 141,1,2024-09-07 09:27:20:860,799707,799707,0,0,376185025653,3903217839,794014,4736,957,379,391614,0 141,2,2024-09-07 09:27:21:693,571910,571910,0,0,21954470,0,3360 141,3,2024-09-07 09:27:21:043,1,454,13,0,391,5049,454,0 142,0,2024-09-07 09:27:21:370,117093,0.3,116431,0.5,232986,0.3,310715,1.75 142,1,2024-09-07 09:27:20:588,798223,798223,0,0,374442456631,3898969222,793519,4302,402,382,392102,0 142,2,2024-09-07 09:27:21:309,572323,572291,32,0,24019754,0,6028 142,3,2024-09-07 09:27:21:747,1,454,2,0,484,4960,454,0 143,0,2024-09-07 09:27:21:411,114276,0.4,114499,0.6,229169,0.4,304907,1.75 143,1,2024-09-07 09:27:20:564,799467,799467,0,0,375667880703,3895032205,794411,4510,546,367,391705,0 143,2,2024-09-07 09:27:20:777,578706,578706,0,0,23299087,0,3123 143,3,2024-09-07 09:27:21:146,1,454,5,0,462,5435,454,0 144,0,2024-09-07 09:27:21:496,106609,0.6,109536,0.8,223359,0.5,292621,2.00 144,1,2024-09-07 09:27:20:571,795253,795253,0,0,373693936624,3919470930,787304,6112,1837,381,391649,0 144,2,2024-09-07 09:27:21:758,577509,577509,0,0,21956265,0,3673 144,3,2024-09-07 09:27:21:745,1,454,0,0,249,4530,454,0 145,0,2024-09-07 09:27:21:371,111567,0.5,111653,0.7,236884,0.4,305059,2.25 145,1,2024-09-07 09:27:20:572,794943,794943,0,0,373316550137,3925363430,784448,8634,1861,382,391759,0 145,2,2024-09-07 09:27:21:435,568747,568666,81,0,27092983,0,7814 145,3,2024-09-07 09:27:20:905,1,454,17,0,622,6331,454,0 146,0,2024-09-07 09:27:21:607,115339,0.6,114771,0.7,230931,0.6,306843,2.25 146,1,2024-09-07 09:27:21:652,795865,795865,0,0,373618847121,3926470141,783284,9421,3160,367,391770,0 146,2,2024-09-07 09:27:21:698,571145,571145,0,0,25617402,0,3290 146,3,2024-09-07 09:27:21:278,1,454,2,0,1520,8159,454,0 147,0,2024-09-07 09:27:21:699,115432,0.7,115255,0.8,229844,0.7,307063,2.25 147,1,2024-09-07 09:27:21:373,799304,799304,0,0,375666247313,3903111149,792581,5874,849,368,391791,0 147,2,2024-09-07 09:27:21:014,576315,576315,0,0,23199057,0,2968 147,3,2024-09-07 09:27:20:914,1,454,2,0,1626,7699,454,0 0,0,2024-09-07 09:27:31:716,111327,0.6,111241,0.7,236052,0.6,305030,2.00 0,1,2024-09-07 09:27:30:804,797838,797838,0,0,374990892622,3922602373,792051,5339,448,369,391896,0 0,2,2024-09-07 09:27:31:073,575238,575238,0,0,23120553,0,4480 0,3,2024-09-07 09:27:30:975,1,455,11,0,431,6688,455,0 1,0,2024-09-07 09:27:31:784,115750,1.1,115204,1.0,230850,1.5,308430,2.25 1,1,2024-09-07 09:27:30:567,797797,797797,0,0,374331362725,3914920605,791240,5227,1330,370,391859,0 1,2,2024-09-07 09:27:30:656,574993,574993,0,0,22926978,0,3380 1,3,2024-09-07 09:27:31:308,1,455,14,0,268,5511,455,0 2,0,2024-09-07 09:27:31:565,111645,0.6,111695,0.7,222742,0.6,296874,2.00 2,1,2024-09-07 09:27:30:859,800431,800431,0,0,376063356688,3900674270,797110,3026,295,380,391745,0 2,2,2024-09-07 09:27:31:266,578906,578906,0,0,21539023,0,3594 2,3,2024-09-07 09:27:30:690,1,455,0,0,357,4246,455,0 3,0,2024-09-07 09:27:31:766,112335,0.4,112008,0.6,224207,0.3,299319,1.75 3,1,2024-09-07 09:27:31:622,798795,798795,0,0,375225611681,3905109511,792437,5664,694,379,391716,0 3,2,2024-09-07 09:27:31:142,576494,576471,23,0,22722891,0,5851 3,3,2024-09-07 09:27:31:758,1,455,1,0,207,2977,455,0 4,0,2024-09-07 09:27:31:797,111332,0.4,114428,0.5,233283,0.3,304692,1.75 4,1,2024-09-07 09:27:30:641,795286,795286,0,0,373766465210,3951274293,782176,10025,3085,370,391992,0 4,2,2024-09-07 09:27:31:018,571232,571232,0,0,26347111,0,4528 4,3,2024-09-07 09:27:31:030,1,455,0,0,448,5950,455,0 5,0,2024-09-07 09:27:31:378,115839,0.4,116466,0.6,232459,0.4,308715,1.75 5,1,2024-09-07 09:27:30:757,797057,797057,0,0,374862788694,3944329704,785918,8838,2301,367,392005,0 5,2,2024-09-07 09:27:31:841,571119,571119,0,0,26239821,0,3582 5,3,2024-09-07 09:27:31:732,1,455,9,0,457,6179,455,0 6,0,2024-09-07 09:27:30:917,114703,0.4,114360,0.6,228436,0.4,304760,2.00 6,1,2024-09-07 09:27:30:746,798962,798962,0,0,374708743122,3913595384,790454,7083,1425,379,391702,0 6,2,2024-09-07 09:27:31:130,578617,578599,18,0,25799545,0,5535 6,3,2024-09-07 09:27:31:274,1,455,1,0,710,5803,455,0 7,0,2024-09-07 09:27:31:539,109303,0.5,110221,0.6,219452,0.4,292067,1.75 7,1,2024-09-07 09:27:30:850,797633,797633,0,0,374465190419,3926983216,787246,8843,1544,382,391747,0 7,2,2024-09-07 09:27:30:770,578041,578041,0,0,25191014,0,4791 7,3,2024-09-07 09:27:30:851,1,455,10,0,552,5041,455,0 8,0,2024-09-07 09:27:31:384,115986,0.3,115743,0.5,231988,0.3,308631,1.75 8,1,2024-09-07 09:27:31:016,797018,797018,0,0,374201672739,3929921077,785317,9068,2633,366,392853,0 8,2,2024-09-07 09:27:31:014,568176,568176,0,0,29380128,0,3250 8,3,2024-09-07 09:27:30:593,1,455,9,0,538,7180,455,0 9,0,2024-09-07 09:27:31:199,116455,0.4,113325,0.5,237086,0.3,310987,1.75 9,1,2024-09-07 09:27:30:580,796400,796400,0,0,374369666092,3938511786,785162,8817,2421,369,392001,0 9,2,2024-09-07 09:27:31:085,573024,573024,0,0,26386432,0,3360 9,3,2024-09-07 09:27:31:760,1,455,18,0,496,6338,455,0 10,0,2024-09-07 09:27:31:612,114780,0.4,114250,0.5,229496,0.3,305461,1.75 10,1,2024-09-07 09:27:30:583,798025,798025,0,0,374202831736,3919317404,787371,9022,1632,381,391741,0 10,2,2024-09-07 09:27:30:764,578342,578342,0,0,29001242,0,4264 10,3,2024-09-07 09:27:30:873,1,455,65,0,649,4936,455,0 11,0,2024-09-07 09:27:31:010,109818,0.4,106547,0.6,222717,0.4,294235,1.75 11,1,2024-09-07 09:27:30:571,798985,798985,0,0,375586188190,3935025264,787617,8741,2627,383,391756,0 11,2,2024-09-07 09:27:31:137,577452,577452,0,0,25427688,0,4130 11,3,2024-09-07 09:27:31:298,1,455,1,0,843,6307,455,0 12,0,2024-09-07 09:27:30:961,115952,0.3,115933,0.5,231980,0.3,308049,1.75 12,1,2024-09-07 09:27:30:951,798833,798833,0,0,375758133147,3913326884,793240,5090,503,370,391960,0 12,2,2024-09-07 09:27:31:541,572151,572151,0,0,24317365,0,3469 12,3,2024-09-07 09:27:31:060,1,455,1,0,386,6464,455,0 13,0,2024-09-07 09:27:31:380,116155,0.4,115764,0.6,230924,0.4,308120,1.75 13,1,2024-09-07 09:27:31:553,796876,796876,0,0,374559072601,3932803518,789237,6005,1634,382,391740,0 13,2,2024-09-07 09:27:30:600,575959,575959,0,0,22410702,0,3287 13,3,2024-09-07 09:27:31:766,1,455,9,0,522,6487,455,0 14,0,2024-09-07 09:27:30:562,115834,0.4,116758,0.6,231189,0.4,308300,1.75 14,1,2024-09-07 09:27:31:562,802310,802310,0,0,376600134612,3896273349,796082,5517,711,364,391673,0 14,2,2024-09-07 09:27:30:767,576798,576768,30,0,24577318,0,6104 14,3,2024-09-07 09:27:31:115,1,455,1,0,1168,4767,455,0 15,0,2024-09-07 09:27:31:560,110415,0.4,110301,0.6,221066,0.4,294371,2.00 15,1,2024-09-07 09:27:31:613,799477,799477,0,0,375125700173,3903853996,793247,5051,1179,381,391619,0 15,2,2024-09-07 09:27:30:998,580006,580006,0,0,20428755,0,3622 15,3,2024-09-07 09:27:31:405,1,455,2,0,1126,7097,455,0 16,0,2024-09-07 09:27:30:946,113518,0.5,114275,0.7,227562,0.4,303028,2.00 16,1,2024-09-07 09:27:30:563,799139,799139,0,0,374622471089,3914630429,792282,5740,1117,370,391917,0 16,2,2024-09-07 09:27:31:434,574239,574239,0,0,24253871,0,4719 16,3,2024-09-07 09:27:31:143,1,455,5,0,317,5531,455,0 17,0,2024-09-07 09:27:31:809,118108,0.6,115380,0.7,225656,0.6,306117,2.00 17,1,2024-09-07 09:27:30:571,797757,797757,0,0,375099344432,3933922898,789644,6400,1713,368,392075,0 17,2,2024-09-07 09:27:31:666,576020,576019,1,0,24777154,0,5050 17,3,2024-09-07 09:27:30:575,1,455,26,0,518,7044,455,0 18,0,2024-09-07 09:27:30:940,114615,0.7,115162,0.8,229654,0.7,306462,2.25 18,1,2024-09-07 09:27:31:641,800851,800851,0,0,376112686718,3895639270,796577,3836,438,367,391725,0 18,2,2024-09-07 09:27:31:778,576984,576984,0,0,21438450,0,3541 18,3,2024-09-07 09:27:30:896,1,455,8,0,1059,4431,455,0 19,0,2024-09-07 09:27:31:553,112577,0.6,113043,0.8,224249,0.6,298184,2.25 19,1,2024-09-07 09:27:30:566,801218,801218,0,0,376617526445,3903830081,795150,5146,922,367,391777,0 19,2,2024-09-07 09:27:31:752,580619,580619,0,0,20540495,0,3988 19,3,2024-09-07 09:27:31:134,1,455,2,0,524,3880,455,0 20,0,2024-09-07 09:27:31:392,110833,0.5,110838,0.6,221473,0.4,295556,2.00 20,1,2024-09-07 09:27:30:568,798175,798175,0,0,375581412446,3925877766,791281,6092,802,369,391922,0 20,2,2024-09-07 09:27:30:961,576322,576322,0,0,24486231,0,3721 20,3,2024-09-07 09:27:30:597,1,455,56,0,468,7402,455,0 21,0,2024-09-07 09:27:31:128,115108,0.4,115166,0.6,230452,0.4,305429,1.75 21,1,2024-09-07 09:27:31:540,796033,796033,0,0,373918125853,3943478198,783912,9290,2831,368,392016,0 21,2,2024-09-07 09:27:31:073,569852,569832,20,0,29749675,0,5617 21,3,2024-09-07 09:27:31:404,1,455,12,0,713,6013,455,0 22,0,2024-09-07 09:27:31:718,116466,0.5,116908,0.7,233411,0.4,309530,2.00 22,1,2024-09-07 09:27:31:023,797462,797462,0,0,374247304187,3936034628,784859,9720,2883,382,391675,0 22,2,2024-09-07 09:27:30:761,572366,572340,26,0,23895444,0,6328 22,3,2024-09-07 09:27:31:066,1,455,6,0,228,3612,455,0 23,0,2024-09-07 09:27:31:372,114237,0.5,113738,0.7,227843,0.5,303788,2.25 23,1,2024-09-07 09:27:31:003,798499,798499,0,0,375515016393,3939464465,785024,9361,4114,365,391690,0 23,2,2024-09-07 09:27:31:109,580574,580574,0,0,23116998,0,3773 23,3,2024-09-07 09:27:31:761,1,455,20,0,720,5780,455,0 24,0,2024-09-07 09:27:30:820,110999,0.4,110267,0.5,221727,0.3,294452,1.75 24,1,2024-09-07 09:27:30:585,797616,797616,0,0,373868419407,3914872548,789521,6500,1595,367,392269,0 24,2,2024-09-07 09:27:31:073,577548,577548,0,0,27702193,0,3607 24,3,2024-09-07 09:27:31:688,1,455,1,0,468,5954,455,0 25,0,2024-09-07 09:27:31:410,118991,0.4,115934,0.6,227238,0.3,310013,1.75 25,1,2024-09-07 09:27:30:570,797326,797326,0,0,374857785563,3945856131,785129,9946,2251,371,391928,0 25,2,2024-09-07 09:27:31:614,570531,570531,0,0,28237727,0,3978 25,3,2024-09-07 09:27:31:008,1,455,8,0,532,5011,455,0 26,0,2024-09-07 09:27:31:741,115504,0.4,112883,0.6,236914,0.4,308393,1.75 26,1,2024-09-07 09:27:31:542,799367,799367,0,0,374745435214,3926066996,787528,9430,2409,380,391748,0 26,2,2024-09-07 09:27:30:862,574092,574092,0,0,28423399,0,2809 26,3,2024-09-07 09:27:31:714,1,455,33,0,796,5301,455,0 27,0,2024-09-07 09:27:31:787,115638,0.5,115777,0.6,230355,0.4,307279,2.25 27,1,2024-09-07 09:27:31:694,800512,800512,0,0,375974927706,3912942811,793233,6377,902,381,391626,0 27,2,2024-09-07 09:27:30:873,575408,575343,65,0,25864746,0,5699 27,3,2024-09-07 09:27:31:015,1,455,1,0,564,4165,455,0 28,0,2024-09-07 09:27:31:389,110440,0.4,110098,0.6,220835,0.3,294345,1.75 28,1,2024-09-07 09:27:30:803,799901,799901,0,0,376294415394,3923162204,792701,5501,1699,382,391698,0 28,2,2024-09-07 09:27:31:764,578449,578449,0,0,23511384,0,2915 28,3,2024-09-07 09:27:31:783,1,455,0,0,502,4779,455,0 29,0,2024-09-07 09:27:31:371,118110,0.3,115130,0.5,225671,0.2,307638,1.75 29,1,2024-09-07 09:27:31:561,802143,802143,0,0,376112422579,3888945452,797270,4138,735,368,391809,0 29,2,2024-09-07 09:27:30:861,572777,572777,0,0,22142457,0,4986 29,3,2024-09-07 09:27:30:977,1,455,8,0,459,5221,455,0 30,0,2024-09-07 09:27:31:465,114178,0.5,110987,0.7,232414,0.5,303936,2.00 30,1,2024-09-07 09:27:30:572,801065,801065,0,0,377009237451,3911486749,794990,5308,767,381,391672,0 30,2,2024-09-07 09:27:31:274,574900,574900,0,0,21747572,0,4192 30,3,2024-09-07 09:27:30:581,1,455,1,0,519,4448,455,0 31,0,2024-09-07 09:27:31:760,115419,0.5,115852,0.7,231434,0.4,308481,2.00 31,1,2024-09-07 09:27:30:564,804633,804633,0,0,376955646171,3861210568,801527,2520,586,356,391712,0 31,2,2024-09-07 09:27:31:276,574666,574666,0,0,23475794,0,3525 31,3,2024-09-07 09:27:31:707,1,455,0,0,220,3937,455,0 32,0,2024-09-07 09:27:31:419,111973,0.3,112604,0.5,224242,0.2,298641,1.50 32,1,2024-09-07 09:27:30:806,800690,800690,0,0,376045519205,3909218910,795552,4456,682,381,391646,0 32,2,2024-09-07 09:27:30:935,579374,579374,0,0,21318796,0,3922 32,3,2024-09-07 09:27:31:017,1,455,1,0,304,3501,455,0 33,0,2024-09-07 09:27:31:492,112488,0.2,112183,0.4,224517,0.2,299676,1.50 33,1,2024-09-07 09:27:30:575,801446,801446,0,0,376841872073,3904263894,794929,5412,1105,368,391730,0 33,2,2024-09-07 09:27:30:759,576796,576761,35,0,23536421,0,7012 33,3,2024-09-07 09:27:30:896,1,455,12,0,329,4265,455,0 34,0,2024-09-07 09:27:30:960,115119,0.3,118220,0.4,225970,0.2,304105,1.75 34,1,2024-09-07 09:27:31:045,802658,802658,0,0,377649136520,3880608488,800874,1772,12,367,391562,0 34,2,2024-09-07 09:27:30:767,574621,574621,0,0,21878462,0,4562 34,3,2024-09-07 09:27:31:688,1,455,1,0,299,3333,455,0 35,0,2024-09-07 09:27:30:858,115309,0.3,115981,0.5,232960,0.3,309462,1.75 35,1,2024-09-07 09:27:31:067,800428,800428,0,0,376345362023,3898244099,795847,3722,859,382,391769,0 35,2,2024-09-07 09:27:31:586,573710,573710,0,0,23812232,0,4055 35,3,2024-09-07 09:27:30:909,1,455,1,0,418,4552,455,0 36,0,2024-09-07 09:27:31:542,114903,0.4,114686,0.6,229465,0.4,305199,2.00 36,1,2024-09-07 09:27:30:584,799657,799657,0,0,375102305562,3915670365,789573,8077,2007,366,391759,0 36,2,2024-09-07 09:27:31:751,579669,579669,0,0,25167830,0,3875 36,3,2024-09-07 09:27:30:863,1,455,1,0,416,6315,455,0 37,0,2024-09-07 09:27:31:374,109520,0.4,109699,0.6,219187,0.4,292561,2.00 37,1,2024-09-07 09:27:30:570,799010,799003,0,7,374892665052,3913762819,789454,7188,2361,365,391770,0 37,2,2024-09-07 09:27:31:144,575673,575658,15,0,25266965,0,5815 37,3,2024-09-07 09:27:31:771,1,455,13,0,888,6693,455,0 38,0,2024-09-07 09:27:31:435,115095,0.5,111697,0.6,233711,0.4,305856,2.00 38,1,2024-09-07 09:27:31:608,799537,799537,0,0,375291575194,3922837749,787799,9342,2396,368,391821,0 38,2,2024-09-07 09:27:30:760,572098,572051,47,0,24740464,0,6710 38,3,2024-09-07 09:27:30:997,1,455,1,0,689,5558,455,0 39,0,2024-09-07 09:27:31:766,119172,0.6,116628,0.7,227335,0.5,310136,2.00 39,1,2024-09-07 09:27:30:719,798561,798561,0,0,374920358801,3931869039,784842,10552,3167,365,391658,0 39,2,2024-09-07 09:27:31:425,574301,574301,0,0,23527484,0,3391 39,3,2024-09-07 09:27:30:713,1,455,2,0,324,4998,455,0 40,0,2024-09-07 09:27:31:551,113590,0.8,114303,1.0,227912,0.8,303933,2.75 40,1,2024-09-07 09:27:30:576,799419,799419,0,0,374893783181,3921094346,788522,8853,2044,368,391668,0 40,2,2024-09-07 09:27:31:303,577507,577506,1,0,27349040,0,5137 40,3,2024-09-07 09:27:31:142,1,455,2,0,1028,6156,455,0 41,0,2024-09-07 09:27:31:032,109456,1.3,111927,1.2,213315,1.9,290898,3.00 41,1,2024-09-07 09:27:30:769,797934,797934,0,0,374825987006,3917359875,788324,8454,1156,369,391742,0 41,2,2024-09-07 09:27:30:762,576253,576253,0,0,26174132,0,4277 41,3,2024-09-07 09:27:31:676,1,455,15,0,366,4600,455,0 42,0,2024-09-07 09:27:31:494,114776,0.7,114558,0.9,229509,0.7,303804,2.50 42,1,2024-09-07 09:27:31:447,796343,796343,0,0,374317609686,3930356667,783817,10409,2117,380,391675,0 42,2,2024-09-07 09:27:31:148,571602,571602,0,0,25991896,0,3790 42,3,2024-09-07 09:27:31:009,1,455,17,0,892,4330,455,0 43,0,2024-09-07 09:27:30:917,114205,0.7,111186,0.9,232758,0.8,305448,2.25 43,1,2024-09-07 09:27:30:576,799618,799618,0,0,375694503910,3922345184,788888,8952,1778,366,391696,0 43,2,2024-09-07 09:27:31:736,574492,574492,0,0,25717587,0,3812 43,3,2024-09-07 09:27:31:749,1,455,1,0,571,6360,455,0 44,0,2024-09-07 09:27:30:865,115914,0.5,116081,0.6,232555,0.4,308933,2.00 44,1,2024-09-07 09:27:30:563,801109,801109,0,0,375773586271,3880916530,794607,5184,1318,356,391809,0 44,2,2024-09-07 09:27:31:267,575176,575176,0,0,21633968,0,4344 44,3,2024-09-07 09:27:31:099,1,455,1,0,817,5199,455,0 45,0,2024-09-07 09:27:31:777,109315,0.5,106724,0.7,224022,0.4,294971,2.00 45,1,2024-09-07 09:27:31:007,800493,800493,0,0,376557464918,3909481737,794830,5089,574,382,391917,0 45,2,2024-09-07 09:27:31:271,579588,579588,0,0,21832127,0,3596 45,3,2024-09-07 09:27:30:952,1,455,8,0,271,3840,455,0 46,0,2024-09-07 09:27:30:948,113367,0.4,112889,0.6,226491,0.4,301046,2.00 46,1,2024-09-07 09:27:30:575,802195,802195,0,0,376616228320,3887342052,797476,4127,592,366,391709,0 46,2,2024-09-07 09:27:30:605,575264,575264,0,0,21926911,0,4443 46,3,2024-09-07 09:27:31:137,1,455,2,0,908,5531,455,0 47,0,2024-09-07 09:27:31:105,114964,0.4,115210,0.6,230458,0.4,305129,1.75 47,1,2024-09-07 09:27:30:567,802901,802901,0,0,376273347987,3885548769,798024,4039,838,366,391641,0 47,2,2024-09-07 09:27:30:909,576638,576638,0,0,21542837,0,4477 47,3,2024-09-07 09:27:31:115,1,455,3,0,600,5361,455,0 48,0,2024-09-07 09:27:31:513,116332,0.3,116275,0.4,231517,0.2,308499,1.50 48,1,2024-09-07 09:27:31:028,800939,800939,0,0,376407337920,3906724765,796222,4260,457,384,391710,0 48,2,2024-09-07 09:27:30:702,574619,574619,0,0,20452203,0,3411 48,3,2024-09-07 09:27:30:756,1,455,1,0,339,3565,455,0 49,0,2024-09-07 09:27:31:711,115868,0.3,113949,0.5,221057,0.3,302019,1.75 49,1,2024-09-07 09:27:31:033,800148,800148,0,0,375465581375,3903794198,794987,3954,1207,382,391809,0 49,2,2024-09-07 09:27:31:799,579303,579303,0,0,22676490,0,4426 49,3,2024-09-07 09:27:31:425,1,455,11,0,992,5323,455,0 50,0,2024-09-07 09:27:31:506,111536,0.3,109979,0.4,221610,0.2,295495,1.75 50,1,2024-09-07 09:27:31:010,802663,802663,0,0,377977220665,3906219138,797621,4468,574,368,391565,0 50,2,2024-09-07 09:27:31:067,577333,577333,0,0,20558997,0,4490 50,3,2024-09-07 09:27:31:291,1,455,1,0,567,4479,455,0 51,0,2024-09-07 09:27:31:684,117962,0.3,115639,0.4,225451,0.2,306931,1.75 51,1,2024-09-07 09:27:31:682,803034,803034,0,0,377611233535,3892580043,798918,3067,1049,365,391706,0 51,2,2024-09-07 09:27:31:316,573416,573416,0,0,19428941,0,3337 51,3,2024-09-07 09:27:31:027,1,455,4,0,678,3598,455,0 52,0,2024-09-07 09:27:31:422,116850,0.5,116698,0.7,233657,0.5,310400,2.00 52,1,2024-09-07 09:27:30:575,799214,799214,0,0,374999089881,3922003512,788246,9524,1444,368,391722,0 52,2,2024-09-07 09:27:31:773,570624,570586,38,0,26369666,0,6742 52,3,2024-09-07 09:27:30:681,1,455,0,0,1782,5955,455,0 53,0,2024-09-07 09:27:31:731,113789,0.6,110450,0.8,231143,0.7,303553,2.25 53,1,2024-09-07 09:27:30:771,797143,797143,0,0,375243270734,3937662563,783882,9734,3527,367,391968,0 53,2,2024-09-07 09:27:31:301,579400,579399,1,0,24035110,0,5455 53,3,2024-09-07 09:27:30:702,1,455,13,0,308,4317,455,0 54,0,2024-09-07 09:27:31:621,108715,0.6,109104,0.7,217081,0.4,290384,2.25 54,1,2024-09-07 09:27:30:581,799302,799302,0,0,375332909654,3902285047,790757,7151,1394,366,391659,0 54,2,2024-09-07 09:27:30:865,578501,578469,32,0,27187877,0,6397 54,3,2024-09-07 09:27:30:766,1,455,8,0,676,6360,455,0 55,0,2024-09-07 09:27:31:771,111916,0.5,115473,0.7,233596,0.4,304591,2.25 55,1,2024-09-07 09:27:30:767,800245,800245,0,0,375234760451,3897754168,792089,6976,1180,365,391731,0 55,2,2024-09-07 09:27:30:729,571561,571505,56,0,26098374,0,7239 55,3,2024-09-07 09:27:30:681,1,455,0,0,304,4420,455,0 56,0,2024-09-07 09:27:31:573,118175,1.3,111388,1.1,229613,1.7,307610,2.75 56,1,2024-09-07 09:27:30:573,796140,796140,0,0,374579545749,3949778104,784607,9159,2374,381,391867,0 56,2,2024-09-07 09:27:31:303,573474,573352,122,0,26651732,0,7432 56,3,2024-09-07 09:27:31:060,1,455,1,0,705,5353,455,0 57,0,2024-09-07 09:27:30:958,113747,1.6,113509,1.3,227620,2.3,304469,3.25 57,1,2024-09-07 09:27:30:996,797973,797973,0,0,374016129804,3916526531,788805,7952,1216,366,392032,0 57,2,2024-09-07 09:27:31:341,578067,578067,0,0,27682054,0,3317 57,3,2024-09-07 09:27:31:745,1,455,12,0,455,5252,455,0 58,0,2024-09-07 09:27:30:556,108609,0.8,105627,0.9,220839,0.9,289410,2.50 58,1,2024-09-07 09:27:30:575,798819,798816,0,3,375775716227,3931098057,787683,8829,2304,367,391603,3 58,2,2024-09-07 09:27:31:076,577529,577529,0,0,25739227,0,2902 58,3,2024-09-07 09:27:31:068,1,455,15,0,1043,4867,455,0 59,0,2024-09-07 09:27:31:742,114405,0.7,113930,0.9,227678,0.7,302491,2.50 59,1,2024-09-07 09:27:30:803,798120,798120,0,0,375368173744,3928471287,787479,8809,1832,369,391653,0 59,2,2024-09-07 09:27:30:608,572249,572249,0,0,25389401,0,3727 59,3,2024-09-07 09:27:31:737,1,455,4,0,1015,5904,455,0 60,0,2024-09-07 09:27:31:717,114460,0.5,114408,0.7,229528,0.5,304789,1.75 60,1,2024-09-07 09:27:30:772,801123,801123,0,0,376109369457,3900459906,795969,4432,722,370,392031,0 60,2,2024-09-07 09:27:31:168,574903,574903,0,0,23664312,0,3811 60,3,2024-09-07 09:27:31:264,1,455,2,0,409,5173,455,0 61,0,2024-09-07 09:27:31:546,115514,0.7,116165,0.8,231384,0.7,308246,2.00 61,1,2024-09-07 09:27:30:772,798695,798695,0,0,374858705257,3922716341,790368,6894,1433,382,392127,0 61,2,2024-09-07 09:27:31:118,575396,575329,67,0,24094543,0,6411 61,3,2024-09-07 09:27:31:688,1,455,8,0,479,5905,455,0 62,0,2024-09-07 09:27:31:720,112470,0.5,115258,0.7,220134,0.5,298479,2.00 62,1,2024-09-07 09:27:31:121,803704,803698,0,6,377480356619,3889496472,799878,3558,262,365,391975,6 62,2,2024-09-07 09:27:31:658,576932,576931,1,0,24232840,0,5555 62,3,2024-09-07 09:27:31:143,1,455,10,0,482,3838,455,0 63,0,2024-09-07 09:27:31:462,112552,0.3,112422,0.5,225393,0.3,299979,1.75 63,1,2024-09-07 09:27:30:803,801224,801218,0,6,375969995948,3900475134,796235,4250,733,381,391800,6 63,2,2024-09-07 09:27:30:762,575970,575970,0,0,21982034,0,4369 63,3,2024-09-07 09:27:31:741,1,455,0,0,667,4641,455,0 64,0,2024-09-07 09:27:31:519,114348,0.5,114461,0.6,228204,0.5,303361,1.75 64,1,2024-09-07 09:27:30:760,800593,800593,0,0,376488874874,3919200984,793817,5072,1704,370,391783,0 64,2,2024-09-07 09:27:31:142,577344,577325,19,0,22050199,0,6121 64,3,2024-09-07 09:27:31:141,1,455,0,0,265,4308,455,0 65,0,2024-09-07 09:27:31:677,115154,0.7,115439,0.8,230439,0.7,306880,2.00 65,1,2024-09-07 09:27:30:865,798677,798677,0,0,375374494300,3919389409,793558,4579,540,381,391901,0 65,2,2024-09-07 09:27:31:693,574019,574019,0,0,26081783,0,3367 65,3,2024-09-07 09:27:31:683,1,455,11,0,782,5547,455,0 66,0,2024-09-07 09:27:31:764,114229,0.5,114102,0.7,228144,0.5,303623,2.25 66,1,2024-09-07 09:27:31:302,800613,800613,0,0,375485972552,3908539185,795110,4977,526,380,391743,0 66,2,2024-09-07 09:27:31:136,580660,580657,3,0,23513538,0,5455 66,3,2024-09-07 09:27:31:084,1,455,4,0,291,3907,455,0 67,0,2024-09-07 09:27:31:427,110087,0.4,109899,0.6,220433,0.4,293478,2.00 67,1,2024-09-07 09:27:30:767,800769,800768,0,1,375730148373,3911418446,795249,4725,794,380,391787,1 67,2,2024-09-07 09:27:30:581,579909,579894,15,0,22634420,0,6205 67,3,2024-09-07 09:27:31:750,1,455,1,0,392,4368,455,0 68,0,2024-09-07 09:27:30:561,116032,0.5,115992,0.7,230897,0.5,308359,2.00 68,1,2024-09-07 09:27:30:579,797331,797331,0,0,374235660977,3935112376,786510,7619,3202,381,391953,0 68,2,2024-09-07 09:27:31:054,569667,569567,100,0,28742741,0,8578 68,3,2024-09-07 09:27:30:729,1,455,2,0,417,5343,455,0 69,0,2024-09-07 09:27:31:773,115838,0.7,116584,0.8,232297,0.8,308508,2.25 69,1,2024-09-07 09:27:31:070,796713,796713,0,0,374274501198,3941184965,786572,7868,2273,384,391994,0 69,2,2024-09-07 09:27:31:733,572679,572650,29,0,30584214,0,6912 69,3,2024-09-07 09:27:30:760,1,455,11,0,698,7012,455,0 70,0,2024-09-07 09:27:31:534,113546,1.0,113890,1.1,229011,0.9,303311,2.50 70,1,2024-09-07 09:27:30:801,800732,800732,0,0,376123428870,3902613387,794251,5781,700,366,391725,0 70,2,2024-09-07 09:27:31:327,578373,578373,0,0,25917222,0,4323 70,3,2024-09-07 09:27:30:745,1,455,1,0,854,5182,455,0 71,0,2024-09-07 09:27:31:367,108830,0.8,108628,1.0,218253,0.9,291828,2.75 71,1,2024-09-07 09:27:31:607,798912,798912,0,0,374992284315,3911170378,789681,8186,1045,368,391738,0 71,2,2024-09-07 09:27:31:067,577245,577245,0,0,25865954,0,4352 71,3,2024-09-07 09:27:31:750,1,455,19,0,644,5704,455,0 72,0,2024-09-07 09:27:31:069,119014,0.5,116361,0.6,226831,0.4,308254,2.00 72,1,2024-09-07 09:27:31:021,798009,798009,0,0,374735393760,3927757839,786990,9009,2010,369,391819,0 72,2,2024-09-07 09:27:31:762,570949,570949,0,0,27617267,0,3983 72,3,2024-09-07 09:27:31:760,1,455,7,0,564,6663,455,0 73,0,2024-09-07 09:27:31:100,112353,0.4,115208,0.6,235444,0.4,306221,2.00 73,1,2024-09-07 09:27:30:781,800050,800050,0,0,375685528029,3899095638,794642,4998,410,367,391858,0 73,2,2024-09-07 09:27:31:746,574053,574053,0,0,27174292,0,3701 73,3,2024-09-07 09:27:30:985,1,455,9,0,486,5848,455,0 74,0,2024-09-07 09:27:31:321,116751,0.5,119400,0.7,227678,0.5,309243,2.25 74,1,2024-09-07 09:27:30:651,799233,799233,0,0,375538015506,3916910457,791037,6584,1612,381,391762,0 74,2,2024-09-07 09:27:31:001,575870,575870,0,0,25010231,0,4253 74,3,2024-09-07 09:27:31:449,1,455,1,0,522,5710,455,0 75,0,2024-09-07 09:27:31:767,111167,0.5,110413,0.7,221613,0.4,296083,2.25 75,1,2024-09-07 09:27:31:585,799758,799758,0,0,374814307185,3906052970,792866,6064,828,380,391739,0 75,2,2024-09-07 09:27:31:354,577380,577380,0,0,27965171,0,4766 75,3,2024-09-07 09:27:31:067,1,455,1,0,702,5777,455,0 76,0,2024-09-07 09:27:30:620,113199,0.5,112416,0.7,225752,0.4,301862,2.25 76,1,2024-09-07 09:27:30:806,799424,799424,0,0,374746530230,3906357275,793986,4610,828,382,391692,0 76,2,2024-09-07 09:27:31:217,576789,576788,1,0,24039002,0,5144 76,3,2024-09-07 09:27:31:163,1,455,14,0,175,4212,455,0 77,0,2024-09-07 09:27:31:691,114252,0.5,114649,0.7,229500,0.5,304157,2.00 77,1,2024-09-07 09:27:30:830,800041,800041,0,0,375534819278,3915201909,794199,5335,507,381,391869,0 77,2,2024-09-07 09:27:31:288,573918,573918,0,0,23595223,0,3890 77,3,2024-09-07 09:27:31:096,1,455,8,0,401,4956,455,0 78,0,2024-09-07 09:27:31:716,116043,0.4,115404,0.6,231754,0.4,307030,2.00 78,1,2024-09-07 09:27:30:612,800713,800713,0,0,374881374271,3901857153,792842,6302,1569,367,391670,0 78,2,2024-09-07 09:27:31:404,575684,575671,13,0,22385456,0,8313 78,3,2024-09-07 09:27:31:135,1,455,7,0,181,3934,455,0 79,0,2024-09-07 09:27:31:366,109134,0.4,111732,0.6,228857,0.3,297014,2.25 79,1,2024-09-07 09:27:30:572,801646,801646,0,0,375881115510,3893646142,795008,5329,1309,367,391682,0 79,2,2024-09-07 09:27:31:074,579252,579252,0,0,21837442,0,4195 79,3,2024-09-07 09:27:30:761,1,455,9,0,418,5521,455,0 80,0,2024-09-07 09:27:31:104,110879,0.5,114099,0.6,218189,0.4,295165,2.00 80,1,2024-09-07 09:27:31:622,799482,799482,0,0,375234934197,3906543373,793858,5201,423,368,392269,0 80,2,2024-09-07 09:27:31:092,579079,579079,0,0,22288102,0,4433 80,3,2024-09-07 09:27:30:575,1,455,35,0,190,5148,455,0 81,0,2024-09-07 09:27:31:550,115190,0.5,117943,0.7,225219,0.5,305650,2.00 81,1,2024-09-07 09:27:31:654,798619,798619,0,0,374630668106,3912192334,792592,5486,541,382,391879,0 81,2,2024-09-07 09:27:31:142,572034,571971,63,0,24576488,0,5932 81,3,2024-09-07 09:27:31:117,1,455,12,0,719,5368,455,0 82,0,2024-09-07 09:27:31:544,116304,0.5,116437,0.7,233441,0.5,309720,2.00 82,1,2024-09-07 09:27:30:583,801166,801162,0,4,376360338018,3912982265,796417,3926,819,381,391768,4 82,2,2024-09-07 09:27:31:691,575105,575105,0,0,21035513,0,4484 82,3,2024-09-07 09:27:31:761,1,455,0,0,363,4635,455,0 83,0,2024-09-07 09:27:31:528,114578,0.6,114510,0.8,228389,0.6,303823,2.25 83,1,2024-09-07 09:27:30:555,798960,798960,0,0,374912451074,3907008560,793426,5084,450,382,391709,0 83,2,2024-09-07 09:27:30:768,579199,579174,25,0,22607680,0,5612 83,3,2024-09-07 09:27:30:755,1,455,1,0,1260,5667,455,0 84,0,2024-09-07 09:27:31:791,109686,0.6,109652,0.8,218516,0.5,292668,2.25 84,1,2024-09-07 09:27:31:041,798461,798461,0,0,374645731895,3912113936,790362,7052,1047,367,391967,0 84,2,2024-09-07 09:27:30:571,576742,576712,30,0,29291209,0,5971 84,3,2024-09-07 09:27:31:149,1,455,1,0,908,6672,455,0 85,0,2024-09-07 09:27:31:006,111478,0.5,111495,0.7,236153,0.5,306261,2.25 85,1,2024-09-07 09:27:30:574,796242,796242,0,0,373950618689,3941591030,785140,9129,1973,381,392006,0 85,2,2024-09-07 09:27:30:865,571150,571150,0,0,26964017,0,3656 85,3,2024-09-07 09:27:30:685,1,455,7,0,789,5345,455,0 86,0,2024-09-07 09:27:30:960,115489,0.7,118919,0.8,227520,0.7,307818,2.25 86,1,2024-09-07 09:27:30:831,798189,798189,0,0,375307429120,3927802236,789053,7648,1488,366,391961,0 86,2,2024-09-07 09:27:30:853,571808,571807,1,0,29734961,0,5004 86,3,2024-09-07 09:27:30:585,1,455,5,0,308,6629,455,0 87,0,2024-09-07 09:27:31:284,115356,0.9,115136,0.9,230355,1.2,307667,2.50 87,1,2024-09-07 09:27:30:551,797496,797496,0,0,374890063544,3926766814,786993,8884,1619,366,392076,0 87,2,2024-09-07 09:27:31:073,576923,576917,6,0,26385456,0,6323 87,3,2024-09-07 09:27:31:799,1,455,1,0,473,6897,455,0 88,0,2024-09-07 09:27:31:459,110089,0.4,110856,0.6,221102,0.4,294252,1.75 88,1,2024-09-07 09:27:30:578,797175,797175,0,0,374305132525,3916804878,787172,7962,2041,365,392084,0 88,2,2024-09-07 09:27:30:689,578378,578378,0,0,28481564,0,4465 88,3,2024-09-07 09:27:31:270,1,455,1,0,435,5378,455,0 89,0,2024-09-07 09:27:31:787,118023,0.4,114297,0.6,226128,0.3,307550,1.75 89,1,2024-09-07 09:27:30:554,797045,797045,0,0,374754613149,3935278290,787736,7879,1430,382,391866,0 89,2,2024-09-07 09:27:31:140,572562,572562,0,0,26416578,0,3173 89,3,2024-09-07 09:27:31:796,1,455,9,0,468,7673,455,0 90,0,2024-09-07 09:27:31:631,111169,0.4,114302,0.6,232930,0.4,303801,2.00 90,1,2024-09-07 09:27:30:589,798280,798280,0,0,375460324880,3925794690,791476,6288,516,380,391825,0 90,2,2024-09-07 09:27:31:410,572353,572353,0,0,28469069,0,3608 90,3,2024-09-07 09:27:30:941,1,455,1,0,322,5426,455,0 91,0,2024-09-07 09:27:30:958,116358,0.5,112628,0.6,235299,0.5,308927,1.75 91,1,2024-09-07 09:27:30:558,796896,796896,0,0,374456108192,3934459926,787097,8247,1552,381,392047,0 91,2,2024-09-07 09:27:31:337,576166,576166,0,0,25076058,0,3526 91,3,2024-09-07 09:27:30:601,1,455,8,0,216,4422,455,0 92,0,2024-09-07 09:27:31:477,112885,0.4,115725,0.6,220870,0.4,298760,1.75 92,1,2024-09-07 09:27:30:585,799707,799707,0,0,375038385991,3912160864,794156,4825,726,381,392136,0 92,2,2024-09-07 09:27:31:358,579535,579535,0,0,22351232,0,3259 92,3,2024-09-07 09:27:31:009,1,455,1,0,167,4038,455,0 93,0,2024-09-07 09:27:30:972,112948,0.3,115766,0.5,221084,0.3,300079,1.75 93,1,2024-09-07 09:27:30:813,798992,798992,0,0,375230661373,3913533967,790819,6787,1386,366,391776,0 93,2,2024-09-07 09:27:30:931,575838,575838,0,0,27026810,0,4845 93,3,2024-09-07 09:27:31:408,1,455,8,0,190,4031,455,0 94,0,2024-09-07 09:27:31:628,114382,0.3,115280,0.5,230127,0.3,304901,1.75 94,1,2024-09-07 09:27:30:563,799299,799299,0,0,375262304186,3910843933,794494,4595,210,381,391850,0 94,2,2024-09-07 09:27:30:761,573575,573575,0,0,22509106,0,2443 94,3,2024-09-07 09:27:31:689,1,455,2,0,576,5853,455,0 95,0,2024-09-07 09:27:31:346,116068,0.4,115919,0.5,232445,0.3,309401,1.75 95,1,2024-09-07 09:27:30:851,800810,800810,0,0,376224556072,3906919230,794659,5713,438,365,391786,0 95,2,2024-09-07 09:27:31:018,573656,573656,0,0,22512392,0,3308 95,3,2024-09-07 09:27:31:710,1,455,1,0,718,6321,455,0 96,0,2024-09-07 09:27:31:057,114736,0.4,114998,0.5,229599,0.3,304532,1.75 96,1,2024-09-07 09:27:31:588,799026,799026,0,0,374792211292,3909403927,793156,4965,905,384,391955,0 96,2,2024-09-07 09:27:31:270,579989,579989,0,0,23521611,0,4180 96,3,2024-09-07 09:27:31:140,1,455,11,0,411,4994,455,0 97,0,2024-09-07 09:27:31:316,110162,0.3,109676,0.4,220200,0.2,293249,1.50 97,1,2024-09-07 09:27:30:768,800301,800301,0,0,376597895759,3904718156,795128,4238,935,367,392140,0 97,2,2024-09-07 09:27:30:641,578128,578128,0,0,22721495,0,3679 97,3,2024-09-07 09:27:30:569,1,455,9,0,242,5390,455,0 98,0,2024-09-07 09:27:31:714,115378,0.3,115780,0.4,232119,0.2,308054,1.50 98,1,2024-09-07 09:27:30:570,799834,799834,0,0,375494991298,3910675144,794760,4282,792,382,391997,0 98,2,2024-09-07 09:27:30:780,572698,572698,0,0,22585649,0,4336 98,3,2024-09-07 09:27:30:701,1,455,1,0,840,7291,455,0 99,0,2024-09-07 09:27:31:489,116481,0.3,117030,0.5,232842,0.3,311134,1.75 99,1,2024-09-07 09:27:31:724,800222,800222,0,0,374927405738,3900174041,795239,4146,837,380,392069,0 99,2,2024-09-07 09:27:31:435,574938,574938,0,0,26728478,0,4276 99,3,2024-09-07 09:27:30:583,1,455,1,0,606,4801,455,0 100,0,2024-09-07 09:27:31:534,114525,0.8,114914,0.9,228920,0.9,305896,2.50 100,1,2024-09-07 09:27:30:557,795272,795272,0,0,373188216991,3941103800,783437,9405,2430,378,391989,0 100,2,2024-09-07 09:27:31:818,576126,576115,11,0,26466108,0,5417 100,3,2024-09-07 09:27:31:736,1,455,3,0,559,7343,455,0 101,0,2024-09-07 09:27:31:842,112187,0.9,109366,1.0,214371,0.7,293373,2.25 101,1,2024-09-07 09:27:30:557,796422,796422,0,0,373859311483,3929332239,785232,8922,2268,368,391847,0 101,2,2024-09-07 09:27:31:785,574399,574399,0,0,31397995,0,4871 101,3,2024-09-07 09:27:30:942,1,455,9,0,1250,7300,455,0 102,0,2024-09-07 09:27:30:991,112136,0.5,115601,0.7,234617,0.5,306812,2.00 102,1,2024-09-07 09:27:31:142,796589,796589,0,0,374143913915,3928787664,786210,8573,1806,369,391891,0 102,2,2024-09-07 09:27:31:737,572987,572933,54,0,25000036,0,6768 102,3,2024-09-07 09:27:31:613,1,455,2,0,466,4915,455,0 103,0,2024-09-07 09:27:31:604,119035,0.5,119002,0.7,224267,0.5,308485,2.00 103,1,2024-09-07 09:27:31:625,795876,795876,0,0,373744045693,3945979842,782929,9757,3190,381,391862,0 103,2,2024-09-07 09:27:30:582,572504,572504,0,0,28119213,0,3766 103,3,2024-09-07 09:27:30:755,1,455,24,0,916,5209,455,0 104,0,2024-09-07 09:27:31:005,114903,0.7,115236,0.9,229446,0.7,307364,2.25 104,1,2024-09-07 09:27:31:601,798201,798201,0,0,374486422043,3928158236,787323,9014,1864,365,392168,0 104,2,2024-09-07 09:27:31:681,574779,574779,0,0,26610483,0,3941 104,3,2024-09-07 09:27:31:420,1,455,0,0,1245,8679,455,0 105,0,2024-09-07 09:27:31:060,109546,0.8,106687,1.0,223584,0.8,294086,2.50 105,1,2024-09-07 09:27:30:554,799649,799649,0,0,375119127177,3925761452,790150,8070,1429,366,392009,0 105,2,2024-09-07 09:27:31:336,577151,577151,0,0,26450961,0,3509 105,3,2024-09-07 09:27:31:309,1,455,8,0,399,6664,455,0 106,0,2024-09-07 09:27:30:988,109733,0.6,112483,0.8,230075,0.6,301114,2.25 106,1,2024-09-07 09:27:31:750,798275,798275,0,0,374837245511,3931374795,786863,9855,1557,368,391914,0 106,2,2024-09-07 09:27:30:756,573547,573547,0,0,25608559,0,2920 106,3,2024-09-07 09:27:30:680,1,455,64,0,470,5960,455,0 107,0,2024-09-07 09:27:31:098,114460,0.8,114703,0.9,229199,0.9,305267,2.25 107,1,2024-09-07 09:27:30:588,796522,796522,0,0,374169037168,3939923308,785916,9546,1060,381,392234,0 107,2,2024-09-07 09:27:31:291,572295,572294,1,0,26850757,0,5024 107,3,2024-09-07 09:27:31:762,1,455,1,0,370,6729,455,0 108,0,2024-09-07 09:27:31:814,115419,0.5,116359,0.6,231269,0.4,308456,1.75 108,1,2024-09-07 09:27:31:293,799917,799917,0,0,376161319967,3918669229,793733,5439,745,367,391857,0 108,2,2024-09-07 09:27:31:765,573829,573829,0,0,25135816,0,4246 108,3,2024-09-07 09:27:31:330,1,455,5,0,749,8112,455,0 109,0,2024-09-07 09:27:31:811,113635,0.4,112785,0.6,225778,0.3,301290,1.75 109,1,2024-09-07 09:27:30:583,796664,796664,0,0,374864046950,3931118009,789211,6265,1188,382,392132,0 109,2,2024-09-07 09:27:30:921,576470,576470,0,0,24631572,0,3617 109,3,2024-09-07 09:27:31:148,1,455,14,0,379,5218,455,0 110,0,2024-09-07 09:27:31:770,110753,0.3,107770,0.5,225884,0.3,295736,1.75 110,1,2024-09-07 09:27:31:650,800667,800667,0,0,375529814425,3894305129,795269,4219,1179,369,392045,0 110,2,2024-09-07 09:27:31:303,577608,577608,0,0,23649121,0,4067 110,3,2024-09-07 09:27:30:692,1,455,2,0,722,6430,455,0 111,0,2024-09-07 09:27:31:427,115629,0.3,114839,0.5,230100,0.3,306885,1.75 111,1,2024-09-07 09:27:31:004,801383,801383,0,0,377490962489,3911839450,797451,3577,355,380,391690,0 111,2,2024-09-07 09:27:31:117,572128,572128,0,0,23080342,0,4823 111,3,2024-09-07 09:27:30:913,1,455,5,0,379,5231,455,0 112,0,2024-09-07 09:27:30:921,117252,0.3,116712,0.4,233880,0.2,310698,1.50 112,1,2024-09-07 09:27:30:839,800266,800266,0,0,375992407083,3900157192,795534,4119,613,380,391624,0 112,2,2024-09-07 09:27:31:149,573746,573745,1,0,22648749,0,5036 112,3,2024-09-07 09:27:30:595,1,455,1,0,282,4325,455,0 113,0,2024-09-07 09:27:30:886,114694,0.3,114613,0.5,229658,0.2,305773,1.75 113,1,2024-09-07 09:27:31:689,803203,803203,0,0,377518319328,3892062282,799307,3307,589,366,391661,0 113,2,2024-09-07 09:27:31:303,580815,580815,0,0,20816230,0,3813 113,3,2024-09-07 09:27:30:691,1,455,8,0,340,4940,455,0 114,0,2024-09-07 09:27:30:895,110504,0.3,111277,0.4,221084,0.2,295637,1.75 114,1,2024-09-07 09:27:30:719,800734,800734,0,0,375880709570,3902748028,794428,4690,1616,381,391565,0 114,2,2024-09-07 09:27:30:893,579416,579415,1,0,21819681,0,5069 114,3,2024-09-07 09:27:31:279,1,455,1,0,395,3748,455,0 115,0,2024-09-07 09:27:30:552,116107,0.3,116586,0.4,232669,0.2,309546,1.50 115,1,2024-09-07 09:27:30:571,800892,800892,0,0,375726184438,3902611378,794394,5230,1268,382,391757,0 115,2,2024-09-07 09:27:31:136,573885,573885,0,0,21577957,0,4382 115,3,2024-09-07 09:27:31:007,1,455,2,0,159,2502,455,0 116,0,2024-09-07 09:27:31:742,115389,0.7,115260,0.8,231156,0.7,309278,2.00 116,1,2024-09-07 09:27:30:809,796475,796475,0,0,374847889905,3946770321,787177,6908,2390,380,392089,0 116,2,2024-09-07 09:27:31:767,573027,573027,0,0,27743869,0,4128 116,3,2024-09-07 09:27:30:915,1,455,20,0,415,5218,455,0 117,0,2024-09-07 09:27:30:954,115737,0.8,115178,0.8,230749,0.9,308109,2.00 117,1,2024-09-07 09:27:31:604,797804,797804,0,0,374294436775,3914080124,789671,7154,979,369,392033,0 117,2,2024-09-07 09:27:31:118,580016,580016,0,0,24099058,0,4303 117,3,2024-09-07 09:27:31:060,1,455,8,0,490,6642,455,0 118,0,2024-09-07 09:27:31:783,107070,0.5,109882,0.6,224368,0.4,293177,2.00 118,1,2024-09-07 09:27:30:585,797281,797281,0,0,373425167286,3924981796,785206,9055,3020,366,391907,0 118,2,2024-09-07 09:27:31:592,577512,577512,0,0,26300611,0,2842 118,3,2024-09-07 09:27:31:768,1,455,27,0,248,5200,455,0 119,0,2024-09-07 09:27:31:360,114502,0.5,114797,0.7,229635,0.5,305806,2.00 119,1,2024-09-07 09:27:30:547,798335,798335,0,0,375260167445,3926115699,789052,8023,1260,367,391857,0 119,2,2024-09-07 09:27:31:261,573803,573803,0,0,24452595,0,4174 119,3,2024-09-07 09:27:31:331,1,455,17,0,1358,8794,455,0 120,0,2024-09-07 09:27:31:608,114010,0.6,113669,0.8,228092,0.6,304245,2.25 120,1,2024-09-07 09:27:30:860,798519,798519,0,0,374135948696,3921504408,790124,7625,770,368,392144,0 120,2,2024-09-07 09:27:30:769,573576,573575,1,0,28286425,0,5281 120,3,2024-09-07 09:27:31:297,1,455,0,0,279,5497,455,0 121,0,2024-09-07 09:27:31:717,115757,1.4,115435,1.1,231369,1.8,308202,2.50 121,1,2024-09-07 09:27:31:673,798885,798885,0,0,375722507576,3922140697,792084,6339,462,367,391840,0 121,2,2024-09-07 09:27:31:126,574660,574660,0,0,26913629,0,4127 121,3,2024-09-07 09:27:30:733,1,455,40,0,269,4959,455,0 122,0,2024-09-07 09:27:31:797,111359,0.7,108584,0.8,227828,0.8,298524,2.00 122,1,2024-09-07 09:27:30:860,797422,797422,0,0,374909121122,3929478965,786881,8936,1605,366,392130,0 122,2,2024-09-07 09:27:31:328,578471,578398,73,0,29882703,0,5989 122,3,2024-09-07 09:27:30:593,1,455,49,0,512,7961,455,0 123,0,2024-09-07 09:27:30:975,111813,0.5,108971,0.7,228502,0.5,298907,2.00 123,1,2024-09-07 09:27:30:560,797262,797262,0,0,374640213382,3941612219,783592,11226,2444,369,392039,0 123,2,2024-09-07 09:27:31:019,573965,573964,1,0,25099063,0,5215 123,3,2024-09-07 09:27:31:135,1,455,2,0,478,5183,455,0 124,0,2024-09-07 09:27:30:918,118158,0.3,118215,0.5,222738,0.3,305859,1.75 124,1,2024-09-07 09:27:31:022,800653,800653,0,0,375867455020,3902560658,795362,4240,1051,367,392178,0 124,2,2024-09-07 09:27:31:011,574435,574382,53,0,22704644,0,6487 124,3,2024-09-07 09:27:30:758,1,455,5,0,490,4273,455,0 125,0,2024-09-07 09:27:31:435,116003,0.4,115831,0.6,232442,0.4,309328,1.75 125,1,2024-09-07 09:27:30:856,798884,798884,0,0,375167280260,3913361642,792963,5248,673,382,391813,0 125,2,2024-09-07 09:27:31:117,575241,575241,0,0,23552548,0,4534 125,3,2024-09-07 09:27:31:142,1,455,9,0,709,5531,455,0 126,0,2024-09-07 09:27:31:447,114714,0.4,117988,0.6,225678,0.4,305314,1.75 126,1,2024-09-07 09:27:30:566,801160,801160,0,0,377085316339,3901843082,797100,3766,294,365,391987,0 126,2,2024-09-07 09:27:30:610,579857,579857,0,0,24465759,0,4539 126,3,2024-09-07 09:27:30:909,1,455,3,0,268,5354,455,0 127,0,2024-09-07 09:27:31:628,110094,0.3,110399,0.5,220437,0.2,293625,1.50 127,1,2024-09-07 09:27:30:654,800207,800207,0,0,375482059168,3901258377,792851,6133,1223,364,392187,0 127,2,2024-09-07 09:27:30:653,576935,576935,0,0,22023844,0,3897 127,3,2024-09-07 09:27:31:317,1,455,35,0,968,4720,455,0 128,0,2024-09-07 09:27:31:623,116301,0.3,116370,0.4,232528,0.2,308777,1.50 128,1,2024-09-07 09:27:31:617,799134,799134,0,0,375358839386,3894246108,794205,4496,433,367,392031,0 128,2,2024-09-07 09:27:31:383,573863,573863,0,0,21338981,0,3171 128,3,2024-09-07 09:27:30:767,1,455,1,0,1082,6914,455,0 129,0,2024-09-07 09:27:31:002,117417,0.3,116877,0.5,234032,0.3,311523,1.50 129,1,2024-09-07 09:27:30:567,797903,797903,0,0,374246112655,3919532630,790124,6105,1674,379,391962,0 129,2,2024-09-07 09:27:30:692,574600,574596,4,0,23633135,0,5335 129,3,2024-09-07 09:27:30:688,1,455,43,0,506,6500,455,0 130,0,2024-09-07 09:27:31:721,115564,0.5,115119,0.6,231433,0.5,307301,1.75 130,1,2024-09-07 09:27:30:589,800462,800462,0,0,375825190000,3903193387,796325,3798,339,381,391825,0 130,2,2024-09-07 09:27:31:141,579592,579592,0,0,23502153,0,4067 130,3,2024-09-07 09:27:31:294,1,455,2,0,960,6837,455,0 131,0,2024-09-07 09:27:31:978,109828,0.3,110302,0.5,221444,0.3,294377,1.75 131,1,2024-09-07 09:27:31:846,799938,799938,0,0,375020456277,3913497024,793953,4933,1052,381,391865,0 131,2,2024-09-07 09:27:30:592,579822,579822,0,0,21527020,0,3979 131,3,2024-09-07 09:27:31:707,1,455,41,0,392,4949,455,0 132,0,2024-09-07 09:27:31:417,115260,0.4,116331,0.6,231439,0.3,307777,1.75 132,1,2024-09-07 09:27:30:576,795758,795758,0,0,374435882490,3940492979,784107,9519,2132,381,392532,0 132,2,2024-09-07 09:27:30:714,572114,572097,17,0,27879825,0,6451 132,3,2024-09-07 09:27:31:689,1,455,1,0,804,7743,455,0 133,0,2024-09-07 09:27:31:587,112253,0.4,114936,0.6,235785,0.4,306907,1.75 133,1,2024-09-07 09:27:30:586,796143,796143,0,0,374331591575,3943075826,785210,9411,1522,383,391914,0 133,2,2024-09-07 09:27:31:087,573836,573786,50,0,29471792,0,6861 133,3,2024-09-07 09:27:31:301,1,455,7,0,479,4721,455,0 134,0,2024-09-07 09:27:30:968,116366,0.6,115947,0.7,232222,0.6,309659,2.00 134,1,2024-09-07 09:27:30:633,797690,797690,0,0,373783868647,3916896923,787753,7900,2037,366,391718,0 134,2,2024-09-07 09:27:31:761,574914,574890,24,0,27448726,0,6207 134,3,2024-09-07 09:27:30:761,1,455,0,0,739,5618,455,0 135,0,2024-09-07 09:27:31:144,107276,0.7,107271,0.8,227648,0.8,293315,2.25 135,1,2024-09-07 09:27:31:586,797846,797846,0,0,375302768631,3943704486,787841,8629,1376,380,391805,0 135,2,2024-09-07 09:27:30:693,578153,578153,0,0,26576653,0,3981 135,3,2024-09-07 09:27:31:022,1,455,57,0,299,3956,455,0 136,0,2024-09-07 09:27:31:648,114173,0.5,114281,0.7,227496,0.4,303653,2.00 136,1,2024-09-07 09:27:31:459,798850,798850,0,0,374142279018,3919681360,790183,7703,964,381,391685,0 136,2,2024-09-07 09:27:31:137,576037,576037,0,0,24988948,0,3506 136,3,2024-09-07 09:27:31:109,1,455,24,0,637,5164,455,0 137,0,2024-09-07 09:27:30:943,118231,0.6,115188,0.7,225901,0.5,306450,2.00 137,1,2024-09-07 09:27:30:575,797048,797048,0,0,374674193725,3927512287,785071,9599,2378,366,391898,0 137,2,2024-09-07 09:27:31:707,572453,572453,0,0,27885976,0,3185 137,3,2024-09-07 09:27:30:769,1,455,8,0,484,5443,455,0 138,0,2024-09-07 09:27:31:771,115294,1.0,115250,1.0,231435,1.2,306982,2.25 138,1,2024-09-07 09:27:31:685,798014,798014,0,0,375083332971,3928977163,787491,8798,1725,368,391954,0 138,2,2024-09-07 09:27:30:586,574094,574094,0,0,25334712,0,4988 138,3,2024-09-07 09:27:30:611,1,455,49,0,1160,5903,455,0 139,0,2024-09-07 09:27:31:411,112096,1.4,112247,1.1,225052,2.1,299843,2.50 139,1,2024-09-07 09:27:30:572,794245,794245,0,0,373302880945,3961462529,779420,11455,3370,380,392058,0 139,2,2024-09-07 09:27:30:704,574796,574796,0,0,29328912,0,3097 139,3,2024-09-07 09:27:31:676,1,455,1,0,432,5199,455,0 140,0,2024-09-07 09:27:31:590,111402,0.3,110741,0.4,222115,0.2,296190,1.50 140,1,2024-09-07 09:27:31:539,802463,802463,0,0,378302802395,3896371240,798893,3128,442,365,391606,0 140,2,2024-09-07 09:27:30:689,578363,578362,1,0,22191296,0,5036 140,3,2024-09-07 09:27:30:768,1,455,2,0,297,3687,455,0 141,0,2024-09-07 09:27:31:702,115410,0.3,118472,0.4,226289,0.2,306470,1.50 141,1,2024-09-07 09:27:30:858,801429,801429,0,0,377113749311,3912706681,795736,4736,957,379,391614,0 141,2,2024-09-07 09:27:31:686,573475,573475,0,0,21995868,0,3360 141,3,2024-09-07 09:27:31:053,1,455,8,0,391,5057,455,0 142,0,2024-09-07 09:27:31:329,117327,0.3,116654,0.5,233445,0.3,311327,1.75 142,1,2024-09-07 09:27:30:585,799991,799991,0,0,375216276339,3906946757,795287,4302,402,382,392102,0 142,2,2024-09-07 09:27:31:301,573563,573531,32,0,24076493,0,6028 142,3,2024-09-07 09:27:31:746,1,455,1,0,484,4961,455,0 143,0,2024-09-07 09:27:31:380,114620,0.4,114805,0.6,229842,0.4,305804,1.75 143,1,2024-09-07 09:27:30:558,801243,801243,0,0,376231792769,3901046841,796187,4510,546,367,391705,0 143,2,2024-09-07 09:27:30:772,579817,579817,0,0,23333271,0,3123 143,3,2024-09-07 09:27:31:141,1,455,5,0,462,5440,455,0 144,0,2024-09-07 09:27:31:574,106852,0.6,109800,0.8,223889,0.5,293338,2.00 144,1,2024-09-07 09:27:30:587,797000,797000,0,0,374674425162,3929612429,789051,6112,1837,381,391649,0 144,2,2024-09-07 09:27:31:758,578785,578785,0,0,21998707,0,3673 144,3,2024-09-07 09:27:31:744,1,455,1,0,249,4531,455,0 145,0,2024-09-07 09:27:31:430,111946,0.5,112067,0.7,237362,0.4,306161,2.25 145,1,2024-09-07 09:27:30:565,796565,796565,0,0,374294704937,3935769754,786066,8637,1862,382,391759,0 145,2,2024-09-07 09:27:31:460,570048,569967,81,0,27164167,0,7814 145,3,2024-09-07 09:27:30:900,1,455,14,0,622,6345,455,0 146,0,2024-09-07 09:27:31:601,115649,0.6,115090,0.7,231572,0.6,307680,2.25 146,1,2024-09-07 09:27:31:600,797457,797457,0,0,374362780708,3937416848,784230,9700,3527,367,391770,0 146,2,2024-09-07 09:27:31:726,572345,572345,0,0,25652853,0,3290 146,3,2024-09-07 09:27:31:283,1,455,8,0,1520,8167,455,0 147,0,2024-09-07 09:27:31:718,115583,0.7,115405,0.8,230152,0.6,307459,2.25 147,1,2024-09-07 09:27:31:489,800999,800999,0,0,376467534601,3911442054,794276,5874,849,368,391791,0 147,2,2024-09-07 09:27:31:010,577811,577811,0,0,23255961,0,2968 147,3,2024-09-07 09:27:30:916,1,455,2,0,1626,7701,455,0 0,0,2024-09-07 09:27:41:756,111487,0.6,111388,0.7,236433,0.6,305500,2.00 0,1,2024-09-07 09:27:40:803,799617,799617,0,0,376149053626,3934630636,793829,5340,448,369,391896,0 0,2,2024-09-07 09:27:41:080,576567,576567,0,0,23195309,0,4480 0,3,2024-09-07 09:27:40:981,1,456,27,0,431,6715,456,0 1,0,2024-09-07 09:27:41:777,115843,1.1,115278,1.0,231059,1.5,308678,2.00 1,1,2024-09-07 09:27:40:559,799510,799510,0,0,375133380520,3923249548,792952,5228,1330,370,391859,0 1,2,2024-09-07 09:27:40:639,576496,576496,0,0,22966984,0,3380 1,3,2024-09-07 09:27:41:302,1,456,19,0,268,5530,456,0 2,0,2024-09-07 09:27:41:593,111770,0.6,111836,0.7,223011,0.6,297217,2.00 2,1,2024-09-07 09:27:40:862,802198,802198,0,0,376893206770,3909357536,798876,3027,295,380,391745,0 2,2,2024-09-07 09:27:41:269,580330,580330,0,0,21583643,0,3594 2,3,2024-09-07 09:27:40:690,1,456,13,0,357,4259,456,0 3,0,2024-09-07 09:27:41:745,112866,0.4,112520,0.6,225214,0.3,300616,1.75 3,1,2024-09-07 09:27:41:626,800638,800638,0,0,376208102620,3915281270,794280,5664,694,379,391716,0 3,2,2024-09-07 09:27:41:149,577228,577205,23,0,22739332,0,5851 3,3,2024-09-07 09:27:41:754,1,456,1,0,207,2978,456,0 4,0,2024-09-07 09:27:41:774,111448,0.4,114540,0.5,233487,0.3,304997,1.75 4,1,2024-09-07 09:27:40:592,797177,797177,0,0,374734712570,3962267136,783928,10164,3085,370,391992,0 4,2,2024-09-07 09:27:41:018,572724,572724,0,0,26455786,0,4528 4,3,2024-09-07 09:27:41:027,1,456,1,0,448,5951,456,0 5,0,2024-09-07 09:27:41:420,115951,0.4,116557,0.6,232647,0.4,308975,1.75 5,1,2024-09-07 09:27:40:762,798862,798862,0,0,375563349276,3952614095,787517,9014,2331,367,392005,0 5,2,2024-09-07 09:27:41:849,572576,572576,0,0,26404864,0,3582 5,3,2024-09-07 09:27:41:741,1,456,15,0,457,6194,456,0 6,0,2024-09-07 09:27:40:937,114914,0.4,114544,0.6,228829,0.4,305297,2.00 6,1,2024-09-07 09:27:40:746,800700,800700,0,0,375553774924,3922591501,792192,7083,1425,379,391702,0 6,2,2024-09-07 09:27:41:123,579661,579643,18,0,25841829,0,5535 6,3,2024-09-07 09:27:41:275,1,456,1,0,710,5804,456,0 7,0,2024-09-07 09:27:41:544,109706,0.5,110614,0.6,220285,0.4,293433,2.00 7,1,2024-09-07 09:27:40:850,799261,799261,0,0,375197310208,3938813168,788176,9101,1984,382,391747,0 7,2,2024-09-07 09:27:40:770,579060,579060,0,0,25255548,0,4791 7,3,2024-09-07 09:27:40:851,1,456,283,0,552,5324,456,0 8,0,2024-09-07 09:27:41:338,116104,0.3,115860,0.5,232211,0.3,308915,1.75 8,1,2024-09-07 09:27:41:018,798754,798754,0,0,375085227313,3940330957,786809,9222,2723,366,392853,0 8,2,2024-09-07 09:27:40:789,569693,569693,0,0,29483263,0,3250 8,3,2024-09-07 09:27:40:592,1,456,2,0,538,7182,456,0 9,0,2024-09-07 09:27:41:112,116714,0.4,113592,0.5,237684,0.3,311699,1.75 9,1,2024-09-07 09:27:40:552,798137,798137,0,0,375200265736,3947828274,786813,8903,2421,369,392001,0 9,2,2024-09-07 09:27:41:083,574341,574341,0,0,26484367,0,3360 9,3,2024-09-07 09:27:41:761,1,456,10,0,496,6348,456,0 10,0,2024-09-07 09:27:41:623,115006,0.4,114472,0.5,229963,0.3,305837,1.75 10,1,2024-09-07 09:27:40:590,800013,800013,0,0,374950847093,3928259231,789131,9125,1757,381,391741,0 10,2,2024-09-07 09:27:40:762,579447,579447,0,0,29096301,0,4264 10,3,2024-09-07 09:27:40:872,1,456,1,0,649,4937,456,0 11,0,2024-09-07 09:27:41:030,110279,0.4,107016,0.6,223697,0.4,295343,1.75 11,1,2024-09-07 09:27:40:776,800673,800673,0,0,376287854229,3942619989,789304,8742,2627,383,391756,0 11,2,2024-09-07 09:27:41:126,578753,578753,0,0,25467989,0,4130 11,3,2024-09-07 09:27:41:299,1,456,3,0,843,6310,456,0 12,0,2024-09-07 09:27:40:956,116272,0.3,116215,0.5,232506,0.3,308765,1.75 12,1,2024-09-07 09:27:40:935,800617,800617,0,0,376423998471,3920644383,794990,5124,503,370,391960,0 12,2,2024-09-07 09:27:41:546,573274,573274,0,0,24358313,0,3469 12,3,2024-09-07 09:27:41:067,1,456,32,0,386,6496,456,0 13,0,2024-09-07 09:27:41:366,116502,0.4,116127,0.6,231621,0.4,309056,1.75 13,1,2024-09-07 09:27:41:544,798633,798633,0,0,375680010725,3944343296,790993,6006,1634,382,391740,0 13,2,2024-09-07 09:27:40:601,577262,577262,0,0,22449383,0,3287 13,3,2024-09-07 09:27:41:777,1,456,17,0,522,6504,456,0 14,0,2024-09-07 09:27:40:567,116018,0.4,116938,0.6,231531,0.4,308843,1.75 14,1,2024-09-07 09:27:41:561,804195,804195,0,0,377453505437,3905164876,797961,5523,711,364,391673,0 14,2,2024-09-07 09:27:40:764,578236,578206,30,0,24612672,0,6104 14,3,2024-09-07 09:27:41:116,1,456,3,0,1168,4770,456,0 15,0,2024-09-07 09:27:41:552,110767,0.4,110634,0.6,221732,0.3,295289,2.00 15,1,2024-09-07 09:27:41:611,801239,801239,0,0,375925218228,3912079554,795009,5051,1179,381,391619,0 15,2,2024-09-07 09:27:40:997,581440,581440,0,0,20483936,0,3622 15,3,2024-09-07 09:27:41:422,1,456,1,0,1126,7098,456,0 16,0,2024-09-07 09:27:40:953,113971,0.5,114721,0.7,228433,0.4,304214,2.00 16,1,2024-09-07 09:27:40:566,800865,800865,0,0,375467561281,3923390886,794008,5740,1117,370,391917,0 16,2,2024-09-07 09:27:41:439,574977,574977,0,0,24307049,0,4719 16,3,2024-09-07 09:27:41:148,1,456,1,0,317,5532,456,0 17,0,2024-09-07 09:27:41:804,118230,0.6,115485,0.7,225891,0.6,306447,2.00 17,1,2024-09-07 09:27:40:697,799365,799365,0,0,375762932583,3940884430,791251,6401,1713,368,392075,0 17,2,2024-09-07 09:27:41:673,577512,577511,1,0,24904720,0,5050 17,3,2024-09-07 09:27:40:578,1,456,185,0,518,7229,456,0 18,0,2024-09-07 09:27:40:941,114749,0.7,115281,0.8,229916,0.7,306783,2.25 18,1,2024-09-07 09:27:41:645,802731,802731,0,0,376992447254,3905111606,798436,3857,438,367,391725,0 18,2,2024-09-07 09:27:41:757,578542,578542,0,0,21498813,0,3541 18,3,2024-09-07 09:27:40:897,1,456,4,0,1059,4435,456,0 19,0,2024-09-07 09:27:41:543,112585,0.6,113048,0.8,224266,0.6,298219,2.25 19,1,2024-09-07 09:27:40:583,802999,802999,0,0,377318258143,3911038302,796930,5147,922,367,391777,0 19,2,2024-09-07 09:27:41:757,581897,581897,0,0,20611160,0,3988 19,3,2024-09-07 09:27:41:129,1,456,0,0,524,3880,456,0 20,0,2024-09-07 09:27:41:516,111205,0.5,111196,0.6,222194,0.4,296528,2.00 20,1,2024-09-07 09:27:40:576,799980,799980,0,0,376513908978,3935851460,793081,6097,802,369,391922,0 20,2,2024-09-07 09:27:40:928,577200,577200,0,0,24538680,0,3721 20,3,2024-09-07 09:27:40:593,1,456,26,0,468,7428,456,0 21,0,2024-09-07 09:27:41:138,115209,0.4,115256,0.6,230644,0.4,305679,1.75 21,1,2024-09-07 09:27:41:555,797445,797445,0,0,374533164601,3950410114,785224,9386,2835,368,392016,0 21,2,2024-09-07 09:27:41:070,571393,571373,20,0,29934244,0,5617 21,3,2024-09-07 09:27:41:415,1,456,9,0,713,6022,456,0 22,0,2024-09-07 09:27:41:749,116666,0.5,117105,0.7,233813,0.4,310060,2.00 22,1,2024-09-07 09:27:41:032,798975,798975,0,0,375018414667,3944160127,786367,9725,2883,382,391675,0 22,2,2024-09-07 09:27:40:760,573758,573732,26,0,24089244,0,6328 22,3,2024-09-07 09:27:41:069,1,456,7,0,228,3619,456,0 23,0,2024-09-07 09:27:41:378,114579,0.5,114061,0.7,228455,0.5,304703,2.25 23,1,2024-09-07 09:27:41:004,800066,800066,0,0,376246071259,3947728743,786504,9448,4114,365,391690,0 23,2,2024-09-07 09:27:41:092,581656,581656,0,0,23269900,0,3773 23,3,2024-09-07 09:27:41:754,1,456,19,0,720,5799,456,0 24,0,2024-09-07 09:27:40:831,111277,0.4,110534,0.5,222242,0.3,295112,1.75 24,1,2024-09-07 09:27:40:587,799257,799257,0,0,374627530575,3923451407,791079,6582,1596,367,392269,0 24,2,2024-09-07 09:27:41:069,578810,578810,0,0,27747730,0,3607 24,3,2024-09-07 09:27:41:691,1,456,1,0,468,5955,456,0 25,0,2024-09-07 09:27:41:403,119507,0.4,116429,0.6,228266,0.4,311489,1.75 25,1,2024-09-07 09:27:40:559,798961,798961,0,0,375611528815,3954204260,786715,9995,2251,371,391928,0 25,2,2024-09-07 09:27:41:618,571757,571757,0,0,28387176,0,3978 25,3,2024-09-07 09:27:41:019,1,456,10,0,532,5021,456,0 26,0,2024-09-07 09:27:41:728,115822,0.4,113228,0.6,237545,0.4,309238,2.00 26,1,2024-09-07 09:27:41:550,801266,801266,0,0,375462374412,3934067229,789404,9453,2409,380,391748,0 26,2,2024-09-07 09:27:40:863,575427,575427,0,0,28522449,0,2809 26,3,2024-09-07 09:27:41:716,1,456,2,0,796,5303,456,0 27,0,2024-09-07 09:27:41:727,115780,0.5,115938,0.6,230693,0.4,307664,2.25 27,1,2024-09-07 09:27:41:676,802281,802281,0,0,376742512237,3921038518,794994,6385,902,381,391626,0 27,2,2024-09-07 09:27:40:876,576677,576612,65,0,25943356,0,5699 27,3,2024-09-07 09:27:41:015,1,456,1,0,564,4166,456,0 28,0,2024-09-07 09:27:41:414,110684,0.4,110309,0.6,221376,0.3,294944,1.75 28,1,2024-09-07 09:27:40:807,801713,801713,0,0,377144941092,3931984748,794513,5501,1699,382,391698,0 28,2,2024-09-07 09:27:41:766,579749,579749,0,0,23540106,0,2915 28,3,2024-09-07 09:27:41:776,1,456,3,0,502,4782,456,0 29,0,2024-09-07 09:27:41:358,118515,0.3,115531,0.5,226433,0.2,308653,1.75 29,1,2024-09-07 09:27:41:562,803953,803953,0,0,377191138696,3899916809,799080,4138,735,368,391809,0 29,2,2024-09-07 09:27:40:864,573745,573745,0,0,22181967,0,4986 29,3,2024-09-07 09:27:40:967,1,456,16,0,459,5237,456,0 30,0,2024-09-07 09:27:41:463,114353,0.5,111155,0.7,232782,0.5,304449,2.00 30,1,2024-09-07 09:27:40:576,802806,802806,0,0,377686775367,3918580046,796731,5308,767,381,391672,0 30,2,2024-09-07 09:27:41:279,576310,576310,0,0,21781292,0,4192 30,3,2024-09-07 09:27:40:583,1,456,2,0,519,4450,456,0 31,0,2024-09-07 09:27:41:763,115511,0.5,115952,0.7,231610,0.4,308743,2.00 31,1,2024-09-07 09:27:40:568,806375,806375,0,0,377937327622,3871208615,803269,2520,586,356,391712,0 31,2,2024-09-07 09:27:41:279,576116,576116,0,0,23519890,0,3525 31,3,2024-09-07 09:27:41:715,1,456,8,0,220,3945,456,0 32,0,2024-09-07 09:27:41:447,112092,0.3,112715,0.5,224481,0.2,298996,1.50 32,1,2024-09-07 09:27:40:809,802387,802387,0,0,376932981015,3918247363,797249,4456,682,381,391646,0 32,2,2024-09-07 09:27:40:935,580820,580820,0,0,21362414,0,3922 32,3,2024-09-07 09:27:41:016,1,456,4,0,304,3505,456,0 33,0,2024-09-07 09:27:41:495,112984,0.3,112679,0.4,225494,0.2,301011,1.50 33,1,2024-09-07 09:27:40:591,803192,803192,0,0,377874492756,3914787115,796675,5412,1105,368,391730,0 33,2,2024-09-07 09:27:40:759,577470,577435,35,0,23562989,0,7012 33,3,2024-09-07 09:27:40:897,1,456,8,0,329,4273,456,0 34,0,2024-09-07 09:27:40:937,115224,0.3,118340,0.4,226196,0.2,304409,1.75 34,1,2024-09-07 09:27:41:046,804425,804425,0,0,378372330732,3888008723,802641,1772,12,367,391562,0 34,2,2024-09-07 09:27:40:766,576199,576199,0,0,21950361,0,4562 34,3,2024-09-07 09:27:41:687,1,456,1,0,299,3334,456,0 35,0,2024-09-07 09:27:40:857,115409,0.3,116066,0.5,233139,0.3,309731,1.75 35,1,2024-09-07 09:27:41:068,802165,802165,0,0,377118536104,3906266145,797584,3722,859,382,391769,0 35,2,2024-09-07 09:27:41:583,575312,575312,0,0,23916057,0,4055 35,3,2024-09-07 09:27:40:907,1,456,4,0,418,4556,456,0 36,0,2024-09-07 09:27:41:523,115081,0.4,114880,0.6,229836,0.4,305701,2.00 36,1,2024-09-07 09:27:40:610,801445,801445,0,0,376165517557,3927296676,791251,8187,2007,366,391759,0 36,2,2024-09-07 09:27:41:754,580714,580714,0,0,25245010,0,3875 36,3,2024-09-07 09:27:40:864,1,456,0,0,416,6315,456,0 37,0,2024-09-07 09:27:41:437,109958,0.5,110127,0.6,220059,0.4,293566,2.00 37,1,2024-09-07 09:27:40:579,800513,800506,0,7,375655542073,3923592706,790557,7416,2533,365,391770,0 37,2,2024-09-07 09:27:41:145,576818,576803,15,0,25345259,0,5815 37,3,2024-09-07 09:27:41:772,1,456,21,0,888,6714,456,0 38,0,2024-09-07 09:27:41:446,115226,0.5,111805,0.6,233962,0.4,306153,2.00 38,1,2024-09-07 09:27:41:605,801164,801164,0,0,376361430723,3934589163,789281,9483,2400,368,391821,0 38,2,2024-09-07 09:27:40:764,573522,573475,47,0,24833741,0,6710 38,3,2024-09-07 09:27:40:997,1,456,5,0,689,5563,456,0 39,0,2024-09-07 09:27:41:762,119499,0.6,116940,0.7,227883,0.5,310907,2.00 39,1,2024-09-07 09:27:40:717,800262,800262,0,0,375749692522,3941082675,786446,10649,3167,365,391658,0 39,2,2024-09-07 09:27:41:427,575535,575535,0,0,23602566,0,3391 39,3,2024-09-07 09:27:40:714,1,456,11,0,324,5009,456,0 40,0,2024-09-07 09:27:41:498,113799,0.8,114502,1.0,228338,0.8,304452,2.75 40,1,2024-09-07 09:27:40:675,801290,801290,0,0,375740842823,3930945829,790216,8972,2102,368,391668,0 40,2,2024-09-07 09:27:41:314,578580,578579,1,0,27417148,0,5137 40,3,2024-09-07 09:27:41:156,1,456,0,0,1028,6156,456,0 41,0,2024-09-07 09:27:41:026,109892,1.3,112399,1.2,214179,1.9,291780,3.00 41,1,2024-09-07 09:27:40:768,799472,799472,0,0,375726251112,3927555929,789700,8616,1156,369,391742,0 41,2,2024-09-07 09:27:40:759,577500,577500,0,0,26256604,0,4277 41,3,2024-09-07 09:27:41:676,1,456,14,0,366,4614,456,0 42,0,2024-09-07 09:27:41:479,115050,0.7,114791,0.9,230067,0.7,304358,2.50 42,1,2024-09-07 09:27:41:459,798050,798050,0,0,375276515029,3940386659,785524,10409,2117,380,391675,0 42,2,2024-09-07 09:27:41:149,572796,572796,0,0,26050837,0,3790 42,3,2024-09-07 09:27:41:009,1,456,1,0,892,4331,456,0 43,0,2024-09-07 09:27:40:916,114533,0.7,111529,0.9,233384,0.8,306161,2.25 43,1,2024-09-07 09:27:40:576,801526,801526,0,0,376546819446,3931768928,790699,9049,1778,366,391696,0 43,2,2024-09-07 09:27:41:738,575669,575669,0,0,25779442,0,3812 43,3,2024-09-07 09:27:41:749,1,456,1,0,571,6361,456,0 44,0,2024-09-07 09:27:40:858,116101,0.5,116263,0.6,232898,0.4,309415,2.00 44,1,2024-09-07 09:27:40:568,802932,802932,0,0,376509175293,3888497260,796430,5184,1318,356,391809,0 44,2,2024-09-07 09:27:41:269,576612,576612,0,0,21683348,0,4344 44,3,2024-09-07 09:27:41:094,1,456,2,0,817,5201,456,0 45,0,2024-09-07 09:27:41:777,109643,0.5,107027,0.7,224701,0.4,295877,2.00 45,1,2024-09-07 09:27:41:010,802277,802277,0,0,377297943550,3917116165,796614,5089,574,382,391917,0 45,2,2024-09-07 09:27:41:277,581146,581146,0,0,21866934,0,3596 45,3,2024-09-07 09:27:40:945,1,456,8,0,271,3848,456,0 46,0,2024-09-07 09:27:40:953,113816,0.4,113278,0.6,227361,0.4,302164,2.00 46,1,2024-09-07 09:27:40:640,803961,803961,0,0,377669517379,3898011225,799242,4127,592,366,391709,0 46,2,2024-09-07 09:27:40:594,575915,575915,0,0,21938375,0,4443 46,3,2024-09-07 09:27:41:149,1,456,1,0,908,5532,456,0 47,0,2024-09-07 09:27:41:102,115068,0.4,115336,0.6,230690,0.4,305462,1.75 47,1,2024-09-07 09:27:40:568,804705,804705,0,0,377220629126,3895354456,799828,4039,838,366,391641,0 47,2,2024-09-07 09:27:40:908,578138,578138,0,0,21596288,0,4477 47,3,2024-09-07 09:27:41:114,1,456,1,0,600,5362,456,0 48,0,2024-09-07 09:27:41:583,116452,0.3,116387,0.4,231786,0.2,308819,1.50 48,1,2024-09-07 09:27:41:027,802643,802643,0,0,376951031106,3912487075,797926,4260,457,384,391710,0 48,2,2024-09-07 09:27:40:699,576093,576093,0,0,20507699,0,3411 48,3,2024-09-07 09:27:40:755,1,456,38,0,339,3603,456,0 49,0,2024-09-07 09:27:41:730,115874,0.3,113959,0.5,221076,0.3,302037,1.75 49,1,2024-09-07 09:27:41:021,801937,801937,0,0,376170817579,3911080470,796776,3954,1207,382,391809,0 49,2,2024-09-07 09:27:41:804,580518,580518,0,0,22732272,0,4426 49,3,2024-09-07 09:27:41:422,1,456,8,0,992,5331,456,0 50,0,2024-09-07 09:27:41:516,111912,0.3,110303,0.4,222287,0.2,296460,1.75 50,1,2024-09-07 09:27:41:010,804421,804421,0,0,378544856509,3912105556,799378,4469,574,368,391565,0 50,2,2024-09-07 09:27:41:067,578280,578280,0,0,20586746,0,4490 50,3,2024-09-07 09:27:41:291,1,456,1,0,567,4480,456,0 51,0,2024-09-07 09:27:41:683,118049,0.3,115742,0.4,225639,0.2,307161,1.75 51,1,2024-09-07 09:27:41:680,804827,804827,0,0,378487023885,3901482900,800711,3067,1049,365,391706,0 51,2,2024-09-07 09:27:41:323,574927,574927,0,0,19512875,0,3337 51,3,2024-09-07 09:27:41:027,1,456,5,0,678,3603,456,0 52,0,2024-09-07 09:27:41:419,117097,0.5,116907,0.7,234106,0.5,310990,2.00 52,1,2024-09-07 09:27:40:586,800875,800875,0,0,375860597309,3931220453,789894,9537,1444,368,391722,0 52,2,2024-09-07 09:27:41:758,572078,572040,38,0,26481650,0,6742 52,3,2024-09-07 09:27:40:683,1,456,30,0,1782,5985,456,0 53,0,2024-09-07 09:27:41:728,114128,0.6,110760,0.8,231816,0.7,304498,2.25 53,1,2024-09-07 09:27:40:771,798830,798830,0,0,376225859535,3947879312,785568,9735,3527,367,391968,0 53,2,2024-09-07 09:27:41:301,580591,580590,1,0,24123329,0,5455 53,3,2024-09-07 09:27:40:697,1,456,13,0,308,4330,456,0 54,0,2024-09-07 09:27:41:617,108971,0.6,109390,0.7,217641,0.4,291201,2.25 54,1,2024-09-07 09:27:40:584,800868,800868,0,0,376156348291,3911197126,792281,7193,1394,366,391659,0 54,2,2024-09-07 09:27:40:864,579882,579850,32,0,27303900,0,6397 54,3,2024-09-07 09:27:40:765,1,456,7,0,676,6367,456,0 55,0,2024-09-07 09:27:41:759,112345,0.5,115936,0.7,234600,0.5,306154,2.25 55,1,2024-09-07 09:27:40:764,801952,801952,0,0,376131182600,3907378433,793787,6985,1180,365,391731,0 55,2,2024-09-07 09:27:40:728,572908,572852,56,0,26188449,0,7239 55,3,2024-09-07 09:27:40:678,1,456,2,0,304,4422,456,0 56,0,2024-09-07 09:27:41:612,118517,1.3,111690,1.1,230239,1.7,308407,2.75 56,1,2024-09-07 09:27:40:667,797955,797955,0,0,375378607738,3958302017,786418,9163,2374,381,391867,0 56,2,2024-09-07 09:27:41:310,574746,574624,122,0,26749543,0,7432 56,3,2024-09-07 09:27:41:060,1,456,21,0,705,5374,456,0 57,0,2024-09-07 09:27:40:950,113916,1.6,113679,1.3,227935,2.3,304874,3.25 57,1,2024-09-07 09:27:40:993,800012,800012,0,0,374925144381,3926551000,790711,8085,1216,366,392032,0 57,2,2024-09-07 09:27:41:320,579409,579409,0,0,27726675,0,3317 57,3,2024-09-07 09:27:41:744,1,456,12,0,455,5264,456,0 58,0,2024-09-07 09:27:40:559,108826,0.8,105845,0.9,221315,0.9,290039,2.50 58,1,2024-09-07 09:27:40:577,800550,800547,0,3,376473511687,3938681750,789413,8830,2304,367,391603,3 58,2,2024-09-07 09:27:41:075,578915,578915,0,0,25828796,0,2902 58,3,2024-09-07 09:27:41:068,1,456,2,0,1043,4869,456,0 59,0,2024-09-07 09:27:41:745,114815,0.7,114292,0.9,228466,0.7,303500,2.50 59,1,2024-09-07 09:27:40:808,799970,799970,0,0,376009456821,3937717519,788807,9120,2043,369,391653,0 59,2,2024-09-07 09:27:40:583,573282,573282,0,0,25471317,0,3727 59,3,2024-09-07 09:27:41:741,1,456,3,0,1015,5907,456,0 60,0,2024-09-07 09:27:41:725,114620,0.5,114558,0.7,229884,0.5,305238,1.75 60,1,2024-09-07 09:27:40:777,802956,802956,0,0,377037932197,3910027776,797801,4433,722,370,392031,0 60,2,2024-09-07 09:27:41:162,576198,576198,0,0,23706176,0,3811 60,3,2024-09-07 09:27:41:272,1,456,1,0,409,5174,456,0 61,0,2024-09-07 09:27:41:511,115617,0.7,116261,0.8,231567,0.7,308487,2.00 61,1,2024-09-07 09:27:40:770,800684,800684,0,0,375937645598,3934023370,792337,6914,1433,382,392127,0 61,2,2024-09-07 09:27:41:123,576840,576773,67,0,24218139,0,6411 61,3,2024-09-07 09:27:41:687,1,456,9,0,479,5914,456,0 62,0,2024-09-07 09:27:41:730,112566,0.5,115382,0.7,220381,0.5,298853,2.00 62,1,2024-09-07 09:27:41:110,805441,805435,0,6,378374270036,3898684654,801615,3558,262,365,391975,6 62,2,2024-09-07 09:27:41:650,578442,578441,1,0,24281498,0,5555 62,3,2024-09-07 09:27:41:148,1,456,1,0,482,3839,456,0 63,0,2024-09-07 09:27:41:465,113109,0.4,112915,0.5,226422,0.3,301299,1.75 63,1,2024-09-07 09:27:40:804,803094,803088,0,6,377161236631,3912613318,798105,4250,733,381,391800,6 63,2,2024-09-07 09:27:40:766,576643,576643,0,0,22019405,0,4369 63,3,2024-09-07 09:27:41:750,1,456,19,0,667,4660,456,0 64,0,2024-09-07 09:27:41:535,114459,0.5,114573,0.6,228436,0.5,303665,1.75 64,1,2024-09-07 09:27:40:751,802413,802413,0,0,377098935319,3925552416,795637,5072,1704,370,391783,0 64,2,2024-09-07 09:27:41:170,578874,578855,19,0,22100899,0,6121 64,3,2024-09-07 09:27:41:141,1,456,1,0,265,4309,456,0 65,0,2024-09-07 09:27:41:700,115258,0.7,115530,0.8,230665,0.7,307143,2.00 65,1,2024-09-07 09:27:40:864,800391,800391,0,0,376118136893,3927066929,795272,4579,540,381,391901,0 65,2,2024-09-07 09:27:41:701,575452,575452,0,0,26199206,0,3367 65,3,2024-09-07 09:27:41:685,1,456,24,0,782,5571,456,0 66,0,2024-09-07 09:27:41:773,114467,0.5,114267,0.7,228509,0.5,304091,2.00 66,1,2024-09-07 09:27:41:296,802362,802362,0,0,376447097165,3918347674,796859,4977,526,380,391743,0 66,2,2024-09-07 09:27:41:138,581689,581686,3,0,23547469,0,5455 66,3,2024-09-07 09:27:41:088,1,456,4,0,291,3911,456,0 67,0,2024-09-07 09:27:41:433,110471,0.4,110332,0.6,221315,0.4,294595,2.00 67,1,2024-09-07 09:27:40:766,802505,802504,0,1,376424922836,3918652455,796985,4725,794,380,391787,1 67,2,2024-09-07 09:27:40:584,580959,580944,15,0,22680423,0,6205 67,3,2024-09-07 09:27:41:759,1,456,1,0,392,4369,456,0 68,0,2024-09-07 09:27:40:595,116162,0.5,116124,0.7,231159,0.5,308649,2.00 68,1,2024-09-07 09:27:40:576,799077,799077,0,0,375036695030,3943711684,788246,7629,3202,381,391953,0 68,2,2024-09-07 09:27:41:050,571105,571005,100,0,28881686,0,8578 68,3,2024-09-07 09:27:40:727,1,456,13,0,417,5356,456,0 69,0,2024-09-07 09:27:41:771,116118,0.7,116829,0.8,232911,0.8,309228,2.25 69,1,2024-09-07 09:27:41:017,798579,798579,0,0,375179145741,3951303403,788325,7981,2273,384,391994,0 69,2,2024-09-07 09:27:41:733,573996,573967,29,0,30653998,0,6912 69,3,2024-09-07 09:27:40:764,1,456,8,0,698,7020,456,0 70,0,2024-09-07 09:27:41:537,113743,1.0,114096,1.0,229462,0.9,303852,2.50 70,1,2024-09-07 09:27:40:803,802398,802398,0,0,376879347110,3910534177,795917,5781,700,366,391725,0 70,2,2024-09-07 09:27:41:331,579486,579486,0,0,25978424,0,4323 70,3,2024-09-07 09:27:40:756,1,456,32,0,854,5214,456,0 71,0,2024-09-07 09:27:41:357,109289,0.9,109076,1.0,219219,1.1,293074,2.75 71,1,2024-09-07 09:27:41:618,800740,800740,0,0,376070363187,3922329345,791509,8186,1045,368,391738,0 71,2,2024-09-07 09:27:41:067,578453,578453,0,0,25956688,0,4352 71,3,2024-09-07 09:27:41:754,1,456,1,0,644,5705,456,0 72,0,2024-09-07 09:27:41:031,119277,0.5,116654,0.7,227364,0.4,308993,2.00 72,1,2024-09-07 09:27:41:022,799679,799679,0,0,375676229981,3938032598,788563,9106,2010,369,391819,0 72,2,2024-09-07 09:27:41:770,572227,572227,0,0,27681512,0,3983 72,3,2024-09-07 09:27:41:757,1,456,4,0,564,6667,456,0 73,0,2024-09-07 09:27:41:112,112667,0.4,115537,0.6,236170,0.4,307157,2.00 73,1,2024-09-07 09:27:40:775,801482,801482,0,0,376511357968,3907744621,796056,5016,410,367,391858,0 73,2,2024-09-07 09:27:41:743,575220,575220,0,0,27236207,0,3701 73,3,2024-09-07 09:27:40:994,1,456,8,0,486,5856,456,0 74,0,2024-09-07 09:27:41:325,116933,0.5,119588,0.7,228018,0.5,309729,2.25 74,1,2024-09-07 09:27:40:657,801018,801018,0,0,376470173288,3926573091,792822,6584,1612,381,391762,0 74,2,2024-09-07 09:27:41:003,577348,577348,0,0,25085332,0,4253 74,3,2024-09-07 09:27:41:452,1,456,10,0,522,5720,456,0 75,0,2024-09-07 09:27:41:766,111512,0.5,110768,0.7,222309,0.4,296954,2.25 75,1,2024-09-07 09:27:41:585,801438,801438,0,0,375486077330,3913137404,794546,6064,828,380,391739,0 75,2,2024-09-07 09:27:41:358,578929,578929,0,0,28039944,0,4766 75,3,2024-09-07 09:27:41:073,1,456,5,0,702,5782,456,0 76,0,2024-09-07 09:27:40:584,113641,0.5,112836,0.7,226608,0.4,302986,2.25 76,1,2024-09-07 09:27:40:811,801050,801050,0,0,375544457582,3914589792,795612,4610,828,382,391692,0 76,2,2024-09-07 09:27:41:061,577591,577590,1,0,24088110,0,5144 76,3,2024-09-07 09:27:41:152,1,456,9,0,175,4221,456,0 77,0,2024-09-07 09:27:41:701,114384,0.5,114770,0.7,229752,0.5,304502,2.00 77,1,2024-09-07 09:27:40:827,801779,801779,0,0,376483551558,3924929092,795937,5335,507,381,391869,0 77,2,2024-09-07 09:27:41:285,575426,575426,0,0,23675972,0,3890 77,3,2024-09-07 09:27:41:093,1,456,10,0,401,4966,456,0 78,0,2024-09-07 09:27:41:721,116171,0.4,115522,0.6,231998,0.4,307366,2.00 78,1,2024-09-07 09:27:40:617,802554,802554,0,0,375919418156,3912593227,794683,6302,1569,367,391670,0 78,2,2024-09-07 09:27:41:410,577171,577158,13,0,22450634,0,8313 78,3,2024-09-07 09:27:41:155,1,456,0,0,181,3934,456,0 79,0,2024-09-07 09:27:41:346,109144,0.4,111741,0.6,228870,0.3,297055,2.25 79,1,2024-09-07 09:27:40:574,803419,803419,0,0,376686004572,3902070652,796780,5330,1309,367,391682,0 79,2,2024-09-07 09:27:41:072,580515,580515,0,0,21859110,0,4195 79,3,2024-09-07 09:27:40:749,1,456,0,0,418,5521,456,0 80,0,2024-09-07 09:27:41:097,111246,0.5,114483,0.6,218921,0.4,296106,2.00 80,1,2024-09-07 09:27:41:630,801250,801250,0,0,376107898387,3915728650,795626,5201,423,368,392269,0 80,2,2024-09-07 09:27:41:096,580055,580055,0,0,22349444,0,4433 80,3,2024-09-07 09:27:40:576,1,456,2,0,190,5150,456,0 81,0,2024-09-07 09:27:41:535,115279,0.5,118052,0.7,225377,0.5,305889,2.00 81,1,2024-09-07 09:27:41:652,800354,800354,0,0,375745216262,3923563003,794326,5487,541,382,391879,0 81,2,2024-09-07 09:27:41:125,573518,573455,63,0,24680203,0,5932 81,3,2024-09-07 09:27:41:122,1,456,12,0,719,5380,456,0 82,0,2024-09-07 09:27:41:549,116501,0.5,116657,0.7,233871,0.5,310250,2.00 82,1,2024-09-07 09:27:40:593,802844,802840,0,4,376882321040,3918443066,798094,3927,819,381,391768,4 82,2,2024-09-07 09:27:41:699,576439,576439,0,0,21071450,0,4484 82,3,2024-09-07 09:27:41:754,1,456,2,0,363,4637,456,0 83,0,2024-09-07 09:27:41:533,114920,0.6,114858,0.7,229022,0.6,304711,2.25 83,1,2024-09-07 09:27:40:551,800695,800695,0,0,375733968407,3915521725,795160,5085,450,382,391709,0 83,2,2024-09-07 09:27:40:779,580192,580167,25,0,22655865,0,5612 83,3,2024-09-07 09:27:40:751,1,456,41,0,1260,5708,456,0 84,0,2024-09-07 09:27:41:768,109925,0.6,109895,0.8,219052,0.5,293263,2.25 84,1,2024-09-07 09:27:41:046,800085,800085,0,0,375285113758,3919220192,791970,7068,1047,367,391967,0 84,2,2024-09-07 09:27:40:596,577894,577864,30,0,29396589,0,5971 84,3,2024-09-07 09:27:41:151,1,456,53,0,908,6725,456,0 85,0,2024-09-07 09:27:41:004,111930,0.6,111904,0.8,237214,0.5,307481,2.25 85,1,2024-09-07 09:27:40:569,798017,798017,0,0,374927192846,3952498208,786834,9210,1973,381,392006,0 85,2,2024-09-07 09:27:40:864,572349,572349,0,0,27048323,0,3656 85,3,2024-09-07 09:27:40:686,1,456,8,0,789,5353,456,0 86,0,2024-09-07 09:27:40:882,115790,0.7,119228,0.8,228113,0.7,308684,2.25 86,1,2024-09-07 09:27:40:825,800123,800123,0,0,376240095682,3938989045,790668,7899,1556,366,391961,0 86,2,2024-09-07 09:27:40:853,573107,573106,1,0,29894882,0,5004 86,3,2024-09-07 09:27:40:586,1,456,3,0,308,6632,456,0 87,0,2024-09-07 09:27:41:287,115495,0.9,115289,0.9,230659,1.2,308025,2.50 87,1,2024-09-07 09:27:40:553,799249,799249,0,0,375773195225,3936138036,788746,8884,1619,366,392076,0 87,2,2024-09-07 09:27:41:073,578308,578302,6,0,26482588,0,6323 87,3,2024-09-07 09:27:41:796,1,456,10,0,473,6907,456,0 88,0,2024-09-07 09:27:41:442,110303,0.4,111092,0.6,221585,0.4,294851,1.75 88,1,2024-09-07 09:27:40:569,798914,798914,0,0,375291261377,3927327359,788870,8003,2041,365,392084,0 88,2,2024-09-07 09:27:40:689,579704,579704,0,0,28636829,0,4465 88,3,2024-09-07 09:27:41:267,1,456,0,0,435,5378,456,0 89,0,2024-09-07 09:27:41:798,118396,0.4,114694,0.6,226866,0.3,308598,1.75 89,1,2024-09-07 09:27:40:555,798743,798743,0,0,375632263747,3944724582,789426,7887,1430,382,391866,0 89,2,2024-09-07 09:27:41:149,573569,573569,0,0,26502246,0,3173 89,3,2024-09-07 09:27:41:801,1,456,12,0,468,7685,456,0 90,0,2024-09-07 09:27:41:618,111371,0.4,114466,0.6,233291,0.4,304245,2.00 90,1,2024-09-07 09:27:40:591,800144,800144,0,0,376166016648,3933458884,793336,6292,516,380,391825,0 90,2,2024-09-07 09:27:41:413,573712,573712,0,0,28596031,0,3608 90,3,2024-09-07 09:27:40:938,1,456,1,0,322,5427,456,0 91,0,2024-09-07 09:27:40:933,116454,0.5,112705,0.6,235492,0.5,309187,1.75 91,1,2024-09-07 09:27:40:555,798613,798613,0,0,375201187767,3942572735,788797,8264,1552,381,392047,0 91,2,2024-09-07 09:27:41:331,577675,577675,0,0,25177720,0,3526 91,3,2024-09-07 09:27:40:598,1,456,1,0,216,4423,456,0 92,0,2024-09-07 09:27:41:454,113005,0.4,115846,0.6,221110,0.4,299080,1.75 92,1,2024-09-07 09:27:40:592,801511,801511,0,0,375810728123,3920177065,795960,4825,726,381,392136,0 92,2,2024-09-07 09:27:41:351,581017,581017,0,0,22423158,0,3259 92,3,2024-09-07 09:27:41:009,1,456,12,0,167,4050,456,0 93,0,2024-09-07 09:27:40:960,113434,0.3,116264,0.5,222096,0.3,301431,1.75 93,1,2024-09-07 09:27:40:811,800844,800844,0,0,376027777876,3921831576,792669,6788,1387,366,391776,0 93,2,2024-09-07 09:27:40:935,576572,576572,0,0,27044791,0,4845 93,3,2024-09-07 09:27:41:409,1,456,7,0,190,4038,456,0 94,0,2024-09-07 09:27:41:644,114488,0.3,115374,0.5,230351,0.3,305185,1.75 94,1,2024-09-07 09:27:40:568,801087,801087,0,0,376214067369,3920749493,796282,4595,210,381,391850,0 94,2,2024-09-07 09:27:40:763,575103,575103,0,0,22601686,0,2443 94,3,2024-09-07 09:27:41:691,1,456,36,0,576,5889,456,0 95,0,2024-09-07 09:27:41:362,116175,0.4,116012,0.5,232657,0.3,309671,1.75 95,1,2024-09-07 09:27:40:851,802549,802549,0,0,376982020509,3914701489,796396,5715,438,365,391786,0 95,2,2024-09-07 09:27:41:018,575158,575158,0,0,22547460,0,3308 95,3,2024-09-07 09:27:41:717,1,456,2,0,718,6323,456,0 96,0,2024-09-07 09:27:41:063,114931,0.4,115197,0.5,230017,0.3,305052,1.75 96,1,2024-09-07 09:27:41:584,800776,800776,0,0,375795000381,3919846723,794904,4967,905,384,391955,0 96,2,2024-09-07 09:27:41:270,580967,580967,0,0,23552887,0,4180 96,3,2024-09-07 09:27:41:148,1,456,38,0,411,5032,456,0 97,0,2024-09-07 09:27:41:330,110559,0.3,110139,0.4,221066,0.2,294317,1.50 97,1,2024-09-07 09:27:40:763,802026,802026,0,0,377594385219,3914922675,796852,4239,935,367,392140,0 97,2,2024-09-07 09:27:40:643,579264,579264,0,0,22747276,0,3679 97,3,2024-09-07 09:27:40:591,1,456,13,0,242,5403,456,0 98,0,2024-09-07 09:27:41:693,115509,0.3,115897,0.4,232359,0.2,308333,1.50 98,1,2024-09-07 09:27:40:574,801661,801661,0,0,376393789280,3919891230,796586,4282,793,382,391997,0 98,2,2024-09-07 09:27:40:770,574121,574121,0,0,22634698,0,4336 98,3,2024-09-07 09:27:40:698,1,456,3,0,840,7294,456,0 99,0,2024-09-07 09:27:41:445,116750,0.3,117349,0.5,233385,0.3,311899,1.75 99,1,2024-09-07 09:27:41:729,801969,801969,0,0,375921866392,3910507018,796985,4147,837,380,392069,0 99,2,2024-09-07 09:27:41:425,576164,576164,0,0,26831052,0,4276 99,3,2024-09-07 09:27:40:585,1,456,4,0,606,4805,456,0 100,0,2024-09-07 09:27:41:458,114734,0.8,115135,0.9,229342,0.9,306487,2.50 100,1,2024-09-07 09:27:40:579,797180,797180,0,0,374021654732,3954375189,784562,9669,2949,378,391989,0 100,2,2024-09-07 09:27:41:837,577223,577212,11,0,26585423,0,5417 100,3,2024-09-07 09:27:41:736,1,456,3,0,559,7346,456,0 101,0,2024-09-07 09:27:41:697,112601,1.0,109750,1.0,214982,0.8,294425,2.25 101,1,2024-09-07 09:27:40:568,797962,797962,0,0,374634382133,3938706373,786517,9078,2367,368,391847,0 101,2,2024-09-07 09:27:41:758,575743,575743,0,0,31477792,0,4871 101,3,2024-09-07 09:27:40:946,1,456,8,0,1250,7308,456,0 102,0,2024-09-07 09:27:40:964,112403,0.5,115889,0.7,235163,0.5,307585,2.00 102,1,2024-09-07 09:27:41:151,798410,798410,0,0,375207585722,3941407772,787677,8844,1889,369,391891,0 102,2,2024-09-07 09:27:41:738,574160,574106,54,0,25096895,0,6768 102,3,2024-09-07 09:27:41:627,1,456,13,0,466,4928,456,0 103,0,2024-09-07 09:27:41:589,119347,0.6,119334,0.7,224903,0.5,309493,2.00 103,1,2024-09-07 09:27:41:626,797705,797705,0,0,374555436278,3954834433,784721,9794,3190,381,391862,0 103,2,2024-09-07 09:27:40:587,573697,573697,0,0,28190354,0,3766 103,3,2024-09-07 09:27:40:755,1,456,2,0,916,5211,456,0 104,0,2024-09-07 09:27:41:034,115090,0.7,115425,0.9,229797,0.7,307845,2.25 104,1,2024-09-07 09:27:41:611,799848,799848,0,0,375429483413,3937856033,788970,9014,1864,365,392168,0 104,2,2024-09-07 09:27:41:669,576303,576303,0,0,26685856,0,3941 104,3,2024-09-07 09:27:41:426,1,456,4,0,1245,8683,456,0 105,0,2024-09-07 09:27:41:026,109898,0.8,106995,1.0,224236,0.8,294964,2.50 105,1,2024-09-07 09:27:40:697,801497,801497,0,0,375905553271,3934446308,791952,8115,1430,366,392009,0 105,2,2024-09-07 09:27:41:322,578527,578527,0,0,26626963,0,3509 105,3,2024-09-07 09:27:41:310,1,456,8,0,399,6672,456,0 106,0,2024-09-07 09:27:40:975,110145,0.6,112920,0.8,230998,0.7,302223,2.25 106,1,2024-09-07 09:27:41:750,800030,800030,0,0,375528607581,3938979858,788568,9905,1557,368,391914,0 106,2,2024-09-07 09:27:40:756,574227,574227,0,0,25633932,0,2920 106,3,2024-09-07 09:27:40:678,1,456,1,0,470,5961,456,0 107,0,2024-09-07 09:27:41:105,114570,0.8,114828,0.9,229411,0.9,305584,2.25 107,1,2024-09-07 09:27:40:586,798230,798230,0,0,374952682352,3948720633,787479,9691,1060,381,392234,0 107,2,2024-09-07 09:27:41:298,573728,573727,1,0,27023519,0,5024 107,3,2024-09-07 09:27:41:757,1,456,2,0,370,6731,456,0 108,0,2024-09-07 09:27:41:807,115560,0.5,116489,0.6,231524,0.4,308802,1.75 108,1,2024-09-07 09:27:41:293,801631,801631,0,0,376732780265,3924676923,795447,5439,745,367,391857,0 108,2,2024-09-07 09:27:41:766,575336,575336,0,0,25199300,0,4246 108,3,2024-09-07 09:27:41:331,1,456,18,0,749,8130,456,0 109,0,2024-09-07 09:27:41:761,113639,0.4,112791,0.6,225796,0.3,301290,1.75 109,1,2024-09-07 09:27:40:603,798460,798460,0,0,375779498071,3940646204,791007,6265,1188,382,392132,0 109,2,2024-09-07 09:27:40:924,577715,577715,0,0,24675549,0,3617 109,3,2024-09-07 09:27:41:141,1,456,10,0,379,5228,456,0 110,0,2024-09-07 09:27:41:782,111104,0.3,108102,0.5,226603,0.3,296679,1.75 110,1,2024-09-07 09:27:41:644,802362,802362,0,0,376294335896,3902140795,796963,4220,1179,369,392045,0 110,2,2024-09-07 09:27:41:312,578515,578515,0,0,23673315,0,4067 110,3,2024-09-07 09:27:40:691,1,456,4,0,722,6434,456,0 111,0,2024-09-07 09:27:41:414,115732,0.3,114921,0.5,230322,0.3,307117,1.75 111,1,2024-09-07 09:27:41:002,803171,803171,0,0,378423339688,3921387613,799239,3577,355,380,391690,0 111,2,2024-09-07 09:27:41:116,573688,573688,0,0,23134654,0,4823 111,3,2024-09-07 09:27:40:914,1,456,1,0,379,5232,456,0 112,0,2024-09-07 09:27:40:915,117508,0.3,116907,0.4,234317,0.2,311258,1.50 112,1,2024-09-07 09:27:40:825,802089,802089,0,0,377106786395,3911671950,797357,4119,613,380,391624,0 112,2,2024-09-07 09:27:41:137,574996,574995,1,0,22740403,0,5036 112,3,2024-09-07 09:27:40:593,1,456,1,0,282,4326,456,0 113,0,2024-09-07 09:27:40:897,115030,0.3,114923,0.5,230308,0.2,306660,1.75 113,1,2024-09-07 09:27:41:685,804951,804951,0,0,378279612535,3899905761,801055,3307,589,366,391661,0 113,2,2024-09-07 09:27:41:303,581953,581953,0,0,20871558,0,3813 113,3,2024-09-07 09:27:40:686,1,456,14,0,340,4954,456,0 114,0,2024-09-07 09:27:40:912,110779,0.3,111546,0.4,221628,0.2,296351,1.75 114,1,2024-09-07 09:27:40:717,802484,802484,0,0,376690715701,3911403748,796156,4712,1616,381,391565,0 114,2,2024-09-07 09:27:40:881,580711,580710,1,0,21883330,0,5069 114,3,2024-09-07 09:27:41:279,1,456,37,0,395,3785,456,0 115,0,2024-09-07 09:27:40:554,116690,0.3,117151,0.4,233774,0.2,311253,1.50 115,1,2024-09-07 09:27:40:574,802615,802615,0,0,376587491873,3911424621,796117,5230,1268,382,391757,0 115,2,2024-09-07 09:27:41:126,575165,575165,0,0,21603139,0,4382 115,3,2024-09-07 09:27:41:001,1,456,1,0,159,2503,456,0 116,0,2024-09-07 09:27:41:702,115722,0.7,115562,0.8,231752,0.7,310091,2.00 116,1,2024-09-07 09:27:40:810,798361,798361,0,0,375733515243,3957044073,788865,7095,2401,380,392089,0 116,2,2024-09-07 09:27:41:753,574315,574315,0,0,27838222,0,4128 116,3,2024-09-07 09:27:40:922,1,456,2,0,415,5220,456,0 117,0,2024-09-07 09:27:41:001,115901,0.8,115328,0.8,231059,0.9,308500,2.00 117,1,2024-09-07 09:27:41:591,799577,799577,0,0,375019842760,3922268064,791390,7208,979,369,392033,0 117,2,2024-09-07 09:27:41:124,581269,581269,0,0,24319602,0,4303 117,3,2024-09-07 09:27:41:064,1,456,14,0,490,6656,456,0 118,0,2024-09-07 09:27:41:784,107283,0.5,110137,0.6,224858,0.4,293817,2.00 118,1,2024-09-07 09:27:40:592,799039,799039,0,0,374467766633,3935897825,786963,9056,3020,366,391907,0 118,2,2024-09-07 09:27:41:587,578818,578818,0,0,26424295,0,2842 118,3,2024-09-07 09:27:41:765,1,456,6,0,248,5206,456,0 119,0,2024-09-07 09:27:41:334,114921,0.6,115202,0.7,230411,0.5,306862,2.00 119,1,2024-09-07 09:27:40:553,799852,799852,0,0,376015099111,3934290288,790544,8048,1260,367,391857,0 119,2,2024-09-07 09:27:41:261,574801,574801,0,0,24548002,0,4174 119,3,2024-09-07 09:27:41:325,1,456,23,0,1358,8817,456,0 120,0,2024-09-07 09:27:41:542,114165,0.6,113835,0.8,228442,0.6,304703,2.25 120,1,2024-09-07 09:27:40:859,800155,800155,0,0,375029910504,3930825983,791759,7626,770,368,392144,0 120,2,2024-09-07 09:27:40:771,574940,574939,1,0,28393742,0,5281 120,3,2024-09-07 09:27:41:290,1,456,5,0,279,5502,456,0 121,0,2024-09-07 09:27:41:686,115857,1.4,115525,1.1,231559,1.8,308434,2.50 121,1,2024-09-07 09:27:41:657,800652,800652,0,0,376644960757,3931667007,793851,6339,462,367,391840,0 121,2,2024-09-07 09:27:41:126,576173,576173,0,0,26988217,0,4127 121,3,2024-09-07 09:27:40:728,1,456,11,0,269,4970,456,0 122,0,2024-09-07 09:27:41:782,111494,0.7,108716,0.8,228065,0.8,298855,2.00 122,1,2024-09-07 09:27:40:863,799174,799174,0,0,375643678570,3937382594,788616,8952,1606,366,392130,0 122,2,2024-09-07 09:27:41:320,579921,579848,73,0,29982789,0,5989 122,3,2024-09-07 09:27:40:594,1,456,14,0,512,7975,456,0 123,0,2024-09-07 09:27:40:957,112282,0.6,109448,0.7,229410,0.6,300530,2.00 123,1,2024-09-07 09:27:40:559,799109,799109,0,0,375488293366,3950778488,785438,11227,2444,369,392039,0 123,2,2024-09-07 09:27:41:027,574767,574766,1,0,25179771,0,5215 123,3,2024-09-07 09:27:41:134,1,456,52,0,478,5235,456,0 124,0,2024-09-07 09:27:40:918,118275,0.3,118313,0.5,222937,0.3,306144,1.75 124,1,2024-09-07 09:27:41:031,802382,802382,0,0,376687492274,3910960760,797091,4240,1051,367,392178,0 124,2,2024-09-07 09:27:41:009,575903,575850,53,0,22732952,0,6487 124,3,2024-09-07 09:27:40:758,1,456,2,0,490,4275,456,0 125,0,2024-09-07 09:27:41:422,116104,0.4,115928,0.6,232644,0.4,309586,1.75 125,1,2024-09-07 09:27:40:857,800679,800679,0,0,376071717013,3922693722,794758,5248,673,382,391813,0 125,2,2024-09-07 09:27:41:123,576711,576711,0,0,23603321,0,4534 125,3,2024-09-07 09:27:41:136,1,456,15,0,709,5546,456,0 126,0,2024-09-07 09:27:41:428,114911,0.4,118190,0.6,226048,0.4,305821,1.75 126,1,2024-09-07 09:27:40:551,802960,802960,0,0,377820744326,3909386918,798900,3766,294,365,391987,0 126,2,2024-09-07 09:27:40:614,580971,580971,0,0,24498803,0,4539 126,3,2024-09-07 09:27:40:918,1,456,1,0,268,5355,456,0 127,0,2024-09-07 09:27:41:594,110524,0.3,110814,0.5,221327,0.2,294796,1.50 127,1,2024-09-07 09:27:40:569,801979,801979,0,0,376426171130,3910912541,794622,6134,1223,364,392187,0 127,2,2024-09-07 09:27:40:645,577985,577985,0,0,22042643,0,3897 127,3,2024-09-07 09:27:41:268,1,456,3,0,968,4723,456,0 128,0,2024-09-07 09:27:41:542,116421,0.3,116489,0.4,232767,0.2,309070,1.50 128,1,2024-09-07 09:27:41:611,800873,800873,0,0,376607801672,3907031470,795944,4496,433,367,392031,0 128,2,2024-09-07 09:27:41:393,575321,575321,0,0,21448843,0,3171 128,3,2024-09-07 09:27:40:769,1,456,40,0,1082,6954,456,0 129,0,2024-09-07 09:27:41:012,117679,0.3,117185,0.5,234634,0.3,312310,1.50 129,1,2024-09-07 09:27:40:589,799618,799618,0,0,374920168835,3926536841,791839,6105,1674,379,391962,0 129,2,2024-09-07 09:27:40:687,575948,575944,4,0,23682005,0,5335 129,3,2024-09-07 09:27:40:693,1,456,12,0,506,6512,456,0 130,0,2024-09-07 09:27:41:727,115786,0.5,115325,0.6,231858,0.5,307900,1.75 130,1,2024-09-07 09:27:40:590,802245,802245,0,0,376682478366,3912048281,798108,3798,339,381,391825,0 130,2,2024-09-07 09:27:41:126,580659,580659,0,0,23539479,0,4067 130,3,2024-09-07 09:27:41:291,1,456,5,0,960,6842,456,0 131,0,2024-09-07 09:27:41:938,110302,0.3,110765,0.5,222407,0.3,295553,1.75 131,1,2024-09-07 09:27:41:823,801690,801690,0,0,376021939596,3924078170,795704,4934,1052,381,391865,0 131,2,2024-09-07 09:27:40:567,581108,581108,0,0,21564899,0,3979 131,3,2024-09-07 09:27:41:695,1,456,6,0,392,4955,456,0 132,0,2024-09-07 09:27:41:411,115511,0.4,116607,0.6,231997,0.3,308472,1.75 132,1,2024-09-07 09:27:40:594,797457,797457,0,0,375092694555,3947974954,785740,9585,2132,381,392532,0 132,2,2024-09-07 09:27:40:708,573267,573250,17,0,28016150,0,6451 132,3,2024-09-07 09:27:41:690,1,456,1,0,804,7744,456,0 133,0,2024-09-07 09:27:41:522,112612,0.4,115257,0.6,236443,0.4,307796,1.75 133,1,2024-09-07 09:27:40:585,797492,797492,0,0,375225176634,3952759681,786527,9440,1525,383,391914,0 133,2,2024-09-07 09:27:41:086,575000,574950,50,0,29552655,0,6861 133,3,2024-09-07 09:27:41:301,1,456,7,0,479,4728,456,0 134,0,2024-09-07 09:27:40:941,116534,0.6,116143,0.7,232604,0.6,310079,2.00 134,1,2024-09-07 09:27:40:586,799272,799272,0,0,374607270974,3926404869,789126,8078,2068,366,391718,0 134,2,2024-09-07 09:27:41:762,576300,576276,24,0,27524127,0,6207 134,3,2024-09-07 09:27:40:755,1,456,1,0,739,5619,456,0 135,0,2024-09-07 09:27:41:096,107607,0.7,107565,0.8,228364,0.8,294209,2.25 135,1,2024-09-07 09:27:41:626,799439,799439,0,0,375956897740,3951533251,789242,8819,1378,380,391805,0 135,2,2024-09-07 09:27:40:688,579523,579523,0,0,26727263,0,3981 135,3,2024-09-07 09:27:41:002,1,456,1,0,299,3957,456,0 136,0,2024-09-07 09:27:41:620,114618,0.5,114685,0.7,228391,0.4,304804,2.00 136,1,2024-09-07 09:27:41:440,800741,800741,0,0,374753061696,3926361634,792068,7709,964,381,391685,0 136,2,2024-09-07 09:27:41:149,576805,576805,0,0,25010712,0,3506 136,3,2024-09-07 09:27:41:110,1,456,11,0,637,5175,456,0 137,0,2024-09-07 09:27:40:930,118345,0.6,115303,0.7,226107,0.5,306774,2.00 137,1,2024-09-07 09:27:40:575,798819,798819,0,0,375462747823,3935743256,786842,9599,2378,366,391898,0 137,2,2024-09-07 09:27:41:713,573958,573958,0,0,27962854,0,3185 137,3,2024-09-07 09:27:40:769,1,456,8,0,484,5451,456,0 138,0,2024-09-07 09:27:41:778,115435,1.0,115393,0.9,231691,1.2,307312,2.25 138,1,2024-09-07 09:27:41:739,799819,799819,0,0,375821109482,3936659948,789296,8798,1725,368,391954,0 138,2,2024-09-07 09:27:40:586,575526,575526,0,0,25444418,0,4988 138,3,2024-09-07 09:27:40:614,1,456,102,0,1160,6005,456,0 139,0,2024-09-07 09:27:41:357,112103,1.4,112251,1.1,225068,2.1,299843,2.50 139,1,2024-09-07 09:27:40:579,795918,795918,0,0,374115836861,3970244710,781090,11458,3370,380,392058,0 139,2,2024-09-07 09:27:40:693,576034,576034,0,0,29430964,0,3097 139,3,2024-09-07 09:27:41:666,1,456,1,0,432,5200,456,0 140,0,2024-09-07 09:27:41:589,111795,0.3,111095,0.4,222772,0.2,297043,1.50 140,1,2024-09-07 09:27:41:539,804306,804306,0,0,378920953907,3902776902,800736,3128,442,365,391606,0 140,2,2024-09-07 09:27:40:696,579354,579353,1,0,22220434,0,5036 140,3,2024-09-07 09:27:40:767,1,456,1,0,297,3688,456,0 141,0,2024-09-07 09:27:41:698,115516,0.3,118569,0.4,226486,0.2,306710,1.50 141,1,2024-09-07 09:27:40:863,803181,803181,0,0,377854379363,3920441463,797488,4736,957,379,391614,0 141,2,2024-09-07 09:27:41:685,574876,574876,0,0,22027861,0,3360 141,3,2024-09-07 09:27:41:050,1,456,8,0,391,5065,456,0 142,0,2024-09-07 09:27:41:321,117547,0.3,116856,0.5,233866,0.3,311892,1.75 142,1,2024-09-07 09:27:40:587,801678,801678,0,0,376059785298,3915573979,796972,4304,402,382,392102,0 142,2,2024-09-07 09:27:41:299,574838,574806,32,0,24101526,0,6028 142,3,2024-09-07 09:27:41:748,1,456,1,0,484,4962,456,0 143,0,2024-09-07 09:27:41:377,114947,0.4,115125,0.6,230477,0.4,306696,1.75 143,1,2024-09-07 09:27:40:559,803010,803010,0,0,376922237066,3908092173,797954,4510,546,367,391705,0 143,2,2024-09-07 09:27:40:769,580985,580985,0,0,23367718,0,3123 143,3,2024-09-07 09:27:41:149,1,456,1,0,462,5441,456,0 144,0,2024-09-07 09:27:41:530,107138,0.6,110062,0.8,224417,0.5,293994,2.00 144,1,2024-09-07 09:27:40:584,798762,798762,0,0,375433059229,3937519106,790813,6112,1837,381,391657,0 144,2,2024-09-07 09:27:41:763,580054,580054,0,0,22028803,0,3673 144,3,2024-09-07 09:27:41:744,1,456,0,0,249,4531,456,0 145,0,2024-09-07 09:27:41:356,112381,0.5,112545,0.8,238744,0.5,307712,2.25 145,1,2024-09-07 09:27:40:552,798420,798420,0,0,375629128819,3950254399,787827,8729,1864,382,391759,0 145,2,2024-09-07 09:27:41:431,571338,571257,81,0,27252375,0,7814 145,3,2024-09-07 09:27:40:897,1,456,8,0,622,6353,456,0 146,0,2024-09-07 09:27:41:595,115984,0.6,115407,0.7,232202,0.6,308489,2.25 146,1,2024-09-07 09:27:41:587,799215,799215,0,0,375035343858,3944524147,785988,9700,3527,367,391770,0 146,2,2024-09-07 09:27:41:696,573649,573649,0,0,25747446,0,3290 146,3,2024-09-07 09:27:41:282,1,456,1,0,1520,8168,456,0 147,0,2024-09-07 09:27:41:710,115758,0.7,115566,0.8,230447,0.6,307857,2.25 147,1,2024-09-07 09:27:41:381,802821,802821,0,0,377289632321,3920080831,796093,5879,849,368,391791,0 147,2,2024-09-07 09:27:41:015,579114,579114,0,0,23324926,0,2968 147,3,2024-09-07 09:27:40:922,1,456,3,0,1626,7704,456,0 0,0,2024-09-07 09:27:51:724,111682,0.6,111638,0.7,236914,0.6,306369,2.00 0,1,2024-09-07 09:27:50:809,801294,801294,0,0,376943435666,3943195078,795492,5354,448,369,391896,0 0,2,2024-09-07 09:27:51:071,577788,577788,0,0,23244185,0,4480 0,3,2024-09-07 09:27:50:985,1,457,0,0,431,6715,457,0 1,0,2024-09-07 09:27:51:835,115966,1.1,115414,1.0,231296,1.5,309147,2.00 1,1,2024-09-07 09:27:50:574,801283,801283,0,0,376054291796,3932842441,794724,5229,1330,370,391859,0 1,2,2024-09-07 09:27:50:658,577954,577954,0,0,23046731,0,3380 1,3,2024-09-07 09:27:51:308,1,457,11,0,268,5541,457,0 2,0,2024-09-07 09:27:51:566,111978,0.6,112050,0.7,223487,0.6,298135,2.00 2,1,2024-09-07 09:27:50:859,803942,803942,0,0,377869581908,3919437925,800619,3028,295,380,391745,0 2,2,2024-09-07 09:27:51:273,581830,581830,0,0,21631003,0,3594 2,3,2024-09-07 09:27:50:690,1,457,1,0,357,4260,457,0 3,0,2024-09-07 09:27:51:747,113331,0.4,113000,0.6,226193,0.3,301663,2.00 3,1,2024-09-07 09:27:51:621,802405,802405,0,0,376885775158,3922334651,796047,5664,694,379,391716,0 3,2,2024-09-07 09:27:51:142,577885,577862,23,0,22766481,0,5851 3,3,2024-09-07 09:27:51:763,1,457,1,0,207,2979,457,0 4,0,2024-09-07 09:27:51:780,111543,0.4,114663,0.5,233746,0.3,305309,1.75 4,1,2024-09-07 09:27:50:596,798862,798862,0,0,375329624180,3968992923,785583,10194,3085,370,391992,0 4,2,2024-09-07 09:27:51:018,574060,574060,0,0,26747344,0,4528 4,3,2024-09-07 09:27:51:027,1,457,3,0,448,5954,457,0 5,0,2024-09-07 09:27:51:383,116068,0.4,116651,0.6,232881,0.4,309311,1.75 5,1,2024-09-07 09:27:50:757,800343,800343,0,0,376334261763,3961544932,788788,9222,2333,367,392005,0 5,2,2024-09-07 09:27:51:844,574183,574183,0,0,26621452,0,3582 5,3,2024-09-07 09:27:51:740,1,457,8,0,457,6202,457,0 6,0,2024-09-07 09:27:50:930,115028,0.4,114644,0.6,229038,0.4,305298,2.00 6,1,2024-09-07 09:27:50:746,802401,802401,0,0,376260421839,3930145059,793893,7083,1425,379,391702,0 6,2,2024-09-07 09:27:51:117,580906,580888,18,0,25930575,0,5535 6,3,2024-09-07 09:27:51:274,1,457,6,0,710,5810,457,0 7,0,2024-09-07 09:27:51:530,110110,0.5,111012,0.6,221111,0.4,294362,2.00 7,1,2024-09-07 09:27:50:850,800869,800869,0,0,376178367678,3949314673,789765,9120,1984,382,391747,0 7,2,2024-09-07 09:27:50:771,580058,580058,0,0,25330854,0,4791 7,3,2024-09-07 09:27:50:851,1,457,1,0,552,5325,457,0 8,0,2024-09-07 09:27:51:434,116189,0.3,115970,0.5,232422,0.3,309184,1.75 8,1,2024-09-07 09:27:51:016,800394,800394,0,0,375900173123,3949754078,788279,9342,2773,366,392853,0 8,2,2024-09-07 09:27:50:791,571131,571131,0,0,29644799,0,3250 8,3,2024-09-07 09:27:50:585,1,457,96,0,538,7278,457,0 9,0,2024-09-07 09:27:51:113,116982,0.4,113842,0.5,238205,0.3,312385,1.75 9,1,2024-09-07 09:27:50:549,799844,799844,0,0,376100614896,3957481088,788503,8919,2422,369,392001,0 9,2,2024-09-07 09:27:51:095,575582,575582,0,0,26585486,0,3360 9,3,2024-09-07 09:27:51:759,1,457,9,0,496,6357,457,0 10,0,2024-09-07 09:27:51:598,115269,0.4,114775,0.5,230506,0.3,306936,1.75 10,1,2024-09-07 09:27:50:583,801800,801800,0,0,375896279573,3938540125,790824,9219,1757,381,391741,0 10,2,2024-09-07 09:27:50:762,580536,580536,0,0,29163835,0,4264 10,3,2024-09-07 09:27:50:878,1,457,1,0,649,4938,457,0 11,0,2024-09-07 09:27:51:042,110652,0.4,107386,0.6,224472,0.4,296232,1.75 11,1,2024-09-07 09:27:50:571,802397,802397,0,0,376998242244,3950487205,790939,8831,2627,383,391756,0 11,2,2024-09-07 09:27:51:123,579935,579935,0,0,25587362,0,4130 11,3,2024-09-07 09:27:51:305,1,457,1,0,843,6311,457,0 12,0,2024-09-07 09:27:50:970,116625,0.3,116570,0.5,233235,0.3,309963,1.75 12,1,2024-09-07 09:27:50:933,802341,802341,0,0,377286622752,3929584491,796714,5124,503,370,391960,0 12,2,2024-09-07 09:27:51:545,574566,574566,0,0,24432940,0,3469 12,3,2024-09-07 09:27:51:059,1,457,6,0,386,6502,457,0 13,0,2024-09-07 09:27:51:346,116823,0.4,116465,0.6,232271,0.4,309900,1.75 13,1,2024-09-07 09:27:51:524,800375,800375,0,0,376515665359,3953057805,792735,6006,1634,382,391740,0 13,2,2024-09-07 09:27:50:595,578533,578533,0,0,22501497,0,3287 13,3,2024-09-07 09:27:51:763,1,457,12,0,522,6516,457,0 14,0,2024-09-07 09:27:50:571,116198,0.4,117110,0.6,231876,0.4,309219,1.75 14,1,2024-09-07 09:27:51:561,805991,805991,0,0,378045324247,3911330953,799757,5523,711,364,391673,0 14,2,2024-09-07 09:27:50:764,579795,579765,30,0,24666548,0,6104 14,3,2024-09-07 09:27:51:114,1,457,113,0,1168,4883,457,0 15,0,2024-09-07 09:27:51:556,111057,0.4,110936,0.6,222331,0.3,295871,2.00 15,1,2024-09-07 09:27:51:608,803035,803035,0,0,377057731125,3923886310,796805,5051,1179,381,391619,0 15,2,2024-09-07 09:27:51:008,582877,582877,0,0,20565520,0,3622 15,3,2024-09-07 09:27:51:412,1,457,1,0,1126,7099,457,0 16,0,2024-09-07 09:27:50:927,114372,0.5,115121,0.7,229288,0.4,305291,2.00 16,1,2024-09-07 09:27:50:562,802669,802669,0,0,376407532924,3933130714,795811,5741,1117,370,391917,0 16,2,2024-09-07 09:27:51:434,575763,575763,0,0,24357408,0,4719 16,3,2024-09-07 09:27:51:145,1,457,14,0,317,5546,457,0 17,0,2024-09-07 09:27:51:842,118391,0.6,115633,0.7,226180,0.6,306898,2.00 17,1,2024-09-07 09:27:50:567,801113,801113,0,0,376665911854,3950679179,792979,6421,1713,368,392075,0 17,2,2024-09-07 09:27:51:667,578953,578952,1,0,24965271,0,5050 17,3,2024-09-07 09:27:50:574,1,457,8,0,518,7237,457,0 18,0,2024-09-07 09:27:50:940,114868,0.7,115396,0.8,230159,0.7,307038,2.25 18,1,2024-09-07 09:27:51:641,804492,804492,0,0,378197928397,3917356611,800197,3857,438,367,391725,0 18,2,2024-09-07 09:27:51:760,580087,580087,0,0,21527248,0,3541 18,3,2024-09-07 09:27:50:902,1,457,3,0,1059,4438,457,0 19,0,2024-09-07 09:27:51:549,112642,0.6,113113,0.8,224392,0.6,298557,2.25 19,1,2024-09-07 09:27:50:565,804826,804826,0,0,378184493017,3919915257,798757,5147,922,367,391777,0 19,2,2024-09-07 09:27:51:751,583263,583263,0,0,20705912,0,3988 19,3,2024-09-07 09:27:51:129,1,457,1,0,524,3881,457,0 20,0,2024-09-07 09:27:51:476,111620,0.5,111610,0.6,222958,0.4,297726,2.00 20,1,2024-09-07 09:27:50:566,801203,801203,0,0,377126772735,3942756671,794225,6161,817,369,391922,0 20,2,2024-09-07 09:27:50:928,578036,578036,0,0,24671553,0,3721 20,3,2024-09-07 09:27:50:589,1,457,1,0,468,7429,457,0 21,0,2024-09-07 09:27:51:133,115295,0.4,115350,0.6,230873,0.4,305959,1.75 21,1,2024-09-07 09:27:51:539,799285,799285,0,0,375535435435,3962627421,786747,9563,2975,368,392016,0 21,2,2024-09-07 09:27:51:068,572766,572746,20,0,30086541,0,5617 21,3,2024-09-07 09:27:51:403,1,457,9,0,713,6031,457,0 22,0,2024-09-07 09:27:51:718,116811,0.5,117290,0.7,234141,0.4,310308,2.00 22,1,2024-09-07 09:27:51:023,800900,800900,0,0,375809749969,3953214986,788157,9860,2883,382,391675,0 22,2,2024-09-07 09:27:50:760,575210,575184,26,0,24223587,0,6328 22,3,2024-09-07 09:27:51:067,1,457,7,0,228,3626,457,0 23,0,2024-09-07 09:27:51:367,114854,0.5,114334,0.7,228953,0.5,305197,2.25 23,1,2024-09-07 09:27:51:005,801768,801768,0,0,377220540221,3958434597,788088,9561,4119,365,391690,0 23,2,2024-09-07 09:27:51:098,582848,582848,0,0,23350856,0,3773 23,3,2024-09-07 09:27:51:756,1,457,2,0,720,5801,457,0 24,0,2024-09-07 09:27:50:862,111606,0.4,110873,0.5,222881,0.3,296119,1.75 24,1,2024-09-07 09:27:50:587,801020,801020,0,0,375296865641,3931068185,792767,6657,1596,367,392269,0 24,2,2024-09-07 09:27:51:068,579956,579956,0,0,27901932,0,3607 24,3,2024-09-07 09:27:51:686,1,457,10,0,468,5965,457,0 25,0,2024-09-07 09:27:51:476,119921,0.4,116804,0.6,229065,0.4,312218,1.75 25,1,2024-09-07 09:27:50:558,800854,800854,0,0,376319357479,3961939562,788597,10006,2251,371,391928,0 25,2,2024-09-07 09:27:51:613,573075,573075,0,0,28487241,0,3978 25,3,2024-09-07 09:27:51:006,1,457,8,0,532,5029,457,0 26,0,2024-09-07 09:27:51:729,116128,0.4,113518,0.6,238137,0.4,310013,2.00 26,1,2024-09-07 09:27:51:541,802904,802904,0,0,376163718496,3941693197,790993,9502,2409,380,391748,0 26,2,2024-09-07 09:27:50:866,576661,576661,0,0,28695880,0,2809 26,3,2024-09-07 09:27:51:715,1,457,3,0,796,5306,457,0 27,0,2024-09-07 09:27:51:727,115945,0.5,116137,0.6,231046,0.4,308263,2.25 27,1,2024-09-07 09:27:51:682,803864,803864,0,0,377535722626,3930352301,796393,6547,924,381,391626,0 27,2,2024-09-07 09:27:50:866,577829,577764,65,0,26083250,0,5699 27,3,2024-09-07 09:27:51:015,1,457,1,0,564,4167,457,0 28,0,2024-09-07 09:27:51:401,111049,0.4,110659,0.6,222074,0.3,296150,1.75 28,1,2024-09-07 09:27:50:798,803492,803492,0,0,378199416046,3943102190,796289,5504,1699,382,391698,0 28,2,2024-09-07 09:27:51:764,581072,581072,0,0,23583843,0,2915 28,3,2024-09-07 09:27:51:776,1,457,1,0,502,4783,457,0 29,0,2024-09-07 09:27:51:367,118838,0.3,115849,0.5,227097,0.2,309359,1.75 29,1,2024-09-07 09:27:51:570,805687,805687,0,0,378238607567,3910685781,800813,4139,735,368,391809,0 29,2,2024-09-07 09:27:50:861,574810,574810,0,0,22216426,0,4986 29,3,2024-09-07 09:27:50:963,1,457,13,0,459,5250,457,0 30,0,2024-09-07 09:27:51:512,114607,0.5,111393,0.7,233300,0.5,305294,2.00 30,1,2024-09-07 09:27:50:571,804501,804501,0,0,378380654331,3926017356,798408,5326,767,381,391672,0 30,2,2024-09-07 09:27:51:274,577602,577602,0,0,21849676,0,4192 30,3,2024-09-07 09:27:50:586,1,457,10,0,519,4460,457,0 31,0,2024-09-07 09:27:51:779,115643,0.5,116108,0.7,231871,0.4,309218,2.00 31,1,2024-09-07 09:27:50:568,808039,808039,0,0,378666746630,3878735397,804933,2520,586,356,391712,0 31,2,2024-09-07 09:27:51:275,577533,577533,0,0,23597959,0,3525 31,3,2024-09-07 09:27:51:706,1,457,8,0,220,3953,457,0 32,0,2024-09-07 09:27:51:422,112315,0.3,112931,0.5,224986,0.2,299904,1.50 32,1,2024-09-07 09:27:50:805,804164,804164,0,0,377794080774,3927168732,799026,4456,682,381,391646,0 32,2,2024-09-07 09:27:50:935,582386,582386,0,0,21430061,0,3922 32,3,2024-09-07 09:27:51:015,1,457,1,0,304,3506,457,0 33,0,2024-09-07 09:27:51:497,113454,0.3,113084,0.4,226396,0.2,302136,1.50 33,1,2024-09-07 09:27:50:575,804940,804940,0,0,378640685585,3922685685,798423,5412,1105,368,391730,0 33,2,2024-09-07 09:27:50:760,578184,578149,35,0,23582981,0,7012 33,3,2024-09-07 09:27:50:900,1,457,21,0,329,4294,457,0 34,0,2024-09-07 09:27:50:940,115331,0.3,118466,0.4,226398,0.2,304712,1.75 34,1,2024-09-07 09:27:51:044,806244,806244,0,0,379157329299,3896073044,804460,1772,12,367,391562,0 34,2,2024-09-07 09:27:50:768,577632,577632,0,0,22002066,0,4562 34,3,2024-09-07 09:27:51:688,1,457,2,0,299,3336,457,0 35,0,2024-09-07 09:27:50:859,115519,0.3,116162,0.5,233367,0.3,310059,1.75 35,1,2024-09-07 09:27:51:067,803978,803978,0,0,377988943834,3915329067,799397,3722,859,382,391769,0 35,2,2024-09-07 09:27:51:588,576811,576811,0,0,23971126,0,4055 35,3,2024-09-07 09:27:50:907,1,457,1,0,418,4557,457,0 36,0,2024-09-07 09:27:51:517,115176,0.4,115008,0.6,230045,0.4,305706,2.00 36,1,2024-09-07 09:27:50:583,803167,803167,0,0,377196278291,3938528243,792904,8254,2009,366,391759,0 36,2,2024-09-07 09:27:51:758,581701,581701,0,0,25373940,0,3875 36,3,2024-09-07 09:27:50:869,1,457,7,0,416,6322,457,0 37,0,2024-09-07 09:27:51:423,110383,0.5,110520,0.6,220870,0.4,294817,2.00 37,1,2024-09-07 09:27:50:569,801885,801878,0,7,376675138342,3934548663,791866,7479,2533,365,391770,0 37,2,2024-09-07 09:27:51:142,577820,577805,15,0,25438616,0,5815 37,3,2024-09-07 09:27:51:778,1,457,12,0,888,6726,457,0 38,0,2024-09-07 09:27:51:448,115335,0.5,111885,0.6,234175,0.4,306414,2.00 38,1,2024-09-07 09:27:51:608,803014,803014,0,0,377170911016,3943873135,790934,9602,2478,368,391821,0 38,2,2024-09-07 09:27:50:760,575042,574995,47,0,25009602,0,6710 38,3,2024-09-07 09:27:50:996,1,457,314,0,689,5877,457,0 39,0,2024-09-07 09:27:51:775,119744,0.6,117206,0.7,228429,0.6,311541,2.00 39,1,2024-09-07 09:27:50:719,801974,801974,0,0,376411891243,3948065086,788157,10650,3167,365,391658,0 39,2,2024-09-07 09:27:51:416,576794,576794,0,0,23735899,0,3391 39,3,2024-09-07 09:27:50:716,1,457,1,0,324,5010,457,0 40,0,2024-09-07 09:27:51:491,114068,0.8,114768,1.0,228866,0.8,305349,2.75 40,1,2024-09-07 09:27:50:588,803111,803111,0,0,376314533134,3937120393,792037,8972,2102,368,391668,0 40,2,2024-09-07 09:27:51:310,579620,579619,1,0,27570348,0,5137 40,3,2024-09-07 09:27:51:143,1,457,1,0,1028,6157,457,0 41,0,2024-09-07 09:27:51:024,110270,1.3,112757,1.2,214968,1.9,292928,3.25 41,1,2024-09-07 09:27:50:768,801010,801010,0,0,376699578113,3938087810,791171,8682,1157,369,391742,0 41,2,2024-09-07 09:27:50:758,578706,578706,0,0,26459558,0,4277 41,3,2024-09-07 09:27:51:678,1,457,14,0,366,4628,457,0 42,0,2024-09-07 09:27:51:479,115355,0.7,115164,0.9,230638,0.7,305101,2.50 42,1,2024-09-07 09:27:51:443,799549,799549,0,0,375972307848,3948109188,786987,10445,2117,380,391675,0 42,2,2024-09-07 09:27:51:139,574112,574112,0,0,26138124,0,3790 42,3,2024-09-07 09:27:51:015,1,457,13,0,892,4344,457,0 43,0,2024-09-07 09:27:50:930,114831,0.7,111874,0.9,234080,0.8,307079,2.25 43,1,2024-09-07 09:27:50:576,802952,802952,0,0,377266661438,3939825301,792022,9150,1780,366,391696,0 43,2,2024-09-07 09:27:51:736,576890,576890,0,0,25893461,0,3812 43,3,2024-09-07 09:27:51:751,1,457,28,0,571,6389,457,0 44,0,2024-09-07 09:27:50:865,116283,0.4,116439,0.6,233221,0.4,309811,2.00 44,1,2024-09-07 09:27:50:563,804625,804625,0,0,377293564651,3896645277,798123,5184,1318,356,391809,0 44,2,2024-09-07 09:27:51:272,578051,578051,0,0,21737799,0,4344 44,3,2024-09-07 09:27:51:096,1,457,1,0,817,5202,457,0 45,0,2024-09-07 09:27:51:826,109930,0.5,107313,0.7,225238,0.4,296478,2.00 45,1,2024-09-07 09:27:51:014,803985,803985,0,0,378131408627,3925862440,798322,5089,574,382,391917,0 45,2,2024-09-07 09:27:51:272,582471,582471,0,0,21930006,0,3596 45,3,2024-09-07 09:27:50:937,1,457,7,0,271,3855,457,0 46,0,2024-09-07 09:27:50:952,114225,0.4,113696,0.6,228193,0.4,303153,2.00 46,1,2024-09-07 09:27:50:595,805774,805774,0,0,378490608350,3906439242,801055,4127,592,366,391709,0 46,2,2024-09-07 09:27:50:593,576691,576691,0,0,21965329,0,4443 46,3,2024-09-07 09:27:51:137,1,457,26,0,908,5558,457,0 47,0,2024-09-07 09:27:51:121,115202,0.4,115484,0.6,230969,0.4,305924,1.75 47,1,2024-09-07 09:27:50:567,806484,806484,0,0,378146310462,3905003744,801606,4040,838,366,391641,0 47,2,2024-09-07 09:27:50:909,579483,579483,0,0,21731605,0,4477 47,3,2024-09-07 09:27:51:115,1,457,0,0,600,5362,457,0 48,0,2024-09-07 09:27:51:506,116539,0.3,116488,0.4,231987,0.2,309063,1.50 48,1,2024-09-07 09:27:51:031,804390,804390,0,0,377657120138,3919843808,799673,4260,457,384,391710,0 48,2,2024-09-07 09:27:50:699,577650,577650,0,0,20561939,0,3411 48,3,2024-09-07 09:27:50:753,1,457,1,0,339,3604,457,0 49,0,2024-09-07 09:27:51:713,115920,0.3,114026,0.5,221184,0.3,302345,1.75 49,1,2024-09-07 09:27:51:030,803736,803736,0,0,377278233898,3922511376,798575,3954,1207,382,391809,0 49,2,2024-09-07 09:27:51:798,581909,581909,0,0,22773849,0,4426 49,3,2024-09-07 09:27:51:416,1,457,8,0,992,5339,457,0 50,0,2024-09-07 09:27:51:507,112303,0.3,110725,0.4,223146,0.2,297727,1.75 50,1,2024-09-07 09:27:51:009,806216,806216,0,0,379544717523,3922358088,801173,4469,574,368,391565,0 50,2,2024-09-07 09:27:51:067,579123,579123,0,0,20602958,0,4490 50,3,2024-09-07 09:27:51:291,1,457,3,0,567,4483,457,0 51,0,2024-09-07 09:27:51:685,118154,0.3,115840,0.4,225852,0.2,307474,1.75 51,1,2024-09-07 09:27:51:680,806613,806613,0,0,379407678622,3910894233,802497,3067,1049,365,391706,0 51,2,2024-09-07 09:27:51:329,576391,576391,0,0,19652099,0,3337 51,3,2024-09-07 09:27:51:031,1,457,7,0,678,3610,457,0 52,0,2024-09-07 09:27:51:420,117274,0.5,117082,0.7,234431,0.5,311266,2.00 52,1,2024-09-07 09:27:50:576,802551,802551,0,0,376663380088,3940508625,791430,9677,1444,368,391722,0 52,2,2024-09-07 09:27:51:758,573520,573482,38,0,26623361,0,6742 52,3,2024-09-07 09:27:50:674,1,457,4,0,1782,5989,457,0 53,0,2024-09-07 09:27:51:737,114405,0.6,111012,0.8,232322,0.7,305037,2.25 53,1,2024-09-07 09:27:50:771,800461,800461,0,0,377034197549,3956497812,787184,9750,3527,367,391968,0 53,2,2024-09-07 09:27:51:325,581711,581710,1,0,24175623,0,5455 53,3,2024-09-07 09:27:50:697,1,457,15,0,308,4345,457,0 54,0,2024-09-07 09:27:51:619,109311,0.6,109744,0.7,218332,0.4,292378,2.25 54,1,2024-09-07 09:27:50:580,802700,802700,0,0,376908008709,3919204458,794105,7201,1394,366,391659,0 54,2,2024-09-07 09:27:50:864,580911,580879,32,0,27593043,0,6397 54,3,2024-09-07 09:27:50:764,1,457,1,0,676,6368,457,0 55,0,2024-09-07 09:27:51:768,112733,0.6,116241,0.7,235641,0.5,306655,2.25 55,1,2024-09-07 09:27:50:769,803616,803616,0,0,377078339561,3917947716,795318,7116,1182,365,391731,0 55,2,2024-09-07 09:27:50:732,574242,574186,56,0,26306978,0,7239 55,3,2024-09-07 09:27:50:677,1,457,23,0,304,4445,457,0 56,0,2024-09-07 09:27:51:550,118833,1.3,111994,1.1,230831,1.7,309196,2.75 56,1,2024-09-07 09:27:50:571,799613,799613,0,0,376000368081,3965178851,788061,9178,2374,381,391867,0 56,2,2024-09-07 09:27:51:303,575802,575680,122,0,26825323,0,7432 56,3,2024-09-07 09:27:51:063,1,457,0,0,705,5374,457,0 57,0,2024-09-07 09:27:50:958,114068,1.6,113861,1.3,228302,2.2,305422,3.25 57,1,2024-09-07 09:27:50:987,801631,801631,0,0,375790605135,3936000320,792281,8133,1217,366,392032,0 57,2,2024-09-07 09:27:51:334,580474,580474,0,0,27855712,0,3317 57,3,2024-09-07 09:27:51:742,1,457,15,0,455,5279,457,0 58,0,2024-09-07 09:27:50:554,109177,0.8,106165,0.9,222007,0.9,291115,2.50 58,1,2024-09-07 09:27:50:574,802247,802244,0,3,377206027281,3946333997,791110,8830,2304,367,391603,3 58,2,2024-09-07 09:27:51:070,580182,580182,0,0,26024975,0,2902 58,3,2024-09-07 09:27:51:068,1,457,1,0,1043,4870,457,0 59,0,2024-09-07 09:27:51:804,115153,0.7,114653,0.9,229120,0.7,304080,2.50 59,1,2024-09-07 09:27:50:804,801442,801442,0,0,376634648746,3945706562,789955,9375,2112,369,391653,0 59,2,2024-09-07 09:27:50:583,574228,574228,0,0,25527532,0,3727 59,3,2024-09-07 09:27:51:741,1,457,6,0,1015,5913,457,0 60,0,2024-09-07 09:27:51:742,114885,0.5,114821,0.7,230368,0.5,306124,1.75 60,1,2024-09-07 09:27:50:785,804745,804745,0,0,378028181884,3920183561,799590,4433,722,370,392031,0 60,2,2024-09-07 09:27:51:146,577449,577449,0,0,23748842,0,3811 60,3,2024-09-07 09:27:51:263,1,457,18,0,409,5192,457,0 61,0,2024-09-07 09:27:51:522,115738,0.7,116393,0.8,231826,0.7,308941,2.00 61,1,2024-09-07 09:27:50:912,802341,802341,0,0,376866357305,3943548013,793994,6914,1433,382,392127,0 61,2,2024-09-07 09:27:51:116,578354,578287,67,0,24263793,0,6411 61,3,2024-09-07 09:27:51:687,1,457,17,0,479,5931,457,0 62,0,2024-09-07 09:27:51:705,112831,0.5,115595,0.7,220798,0.5,299800,2.00 62,1,2024-09-07 09:27:51:116,807170,807164,0,6,379229111060,3907493509,803343,3559,262,365,391975,6 62,2,2024-09-07 09:27:51:643,579994,579993,1,0,24389747,0,5555 62,3,2024-09-07 09:27:51:142,1,457,1,0,482,3840,457,0 63,0,2024-09-07 09:27:51:453,113586,0.4,113371,0.5,227343,0.3,302445,1.75 63,1,2024-09-07 09:27:50:809,804860,804854,0,6,377838899889,3919766644,799871,4250,733,381,391800,6 63,2,2024-09-07 09:27:50:761,577342,577342,0,0,22048871,0,4369 63,3,2024-09-07 09:27:51:733,1,457,1,0,667,4661,457,0 64,0,2024-09-07 09:27:51:542,114561,0.5,114683,0.6,228690,0.5,303971,1.75 64,1,2024-09-07 09:27:50:750,804134,804134,0,0,377956295329,3934404484,797358,5072,1704,370,391783,0 64,2,2024-09-07 09:27:51:143,580383,580364,19,0,22158136,0,6121 64,3,2024-09-07 09:27:51:140,1,457,1,0,265,4310,457,0 65,0,2024-09-07 09:27:51:746,115359,0.7,115640,0.8,230911,0.7,307497,2.00 65,1,2024-09-07 09:27:50:858,802179,802179,0,0,377009911919,3936270629,797059,4580,540,381,391901,0 65,2,2024-09-07 09:27:51:692,576925,576925,0,0,26241808,0,3367 65,3,2024-09-07 09:27:51:691,1,457,14,0,782,5585,457,0 66,0,2024-09-07 09:27:51:764,114562,0.5,114361,0.7,228703,0.5,304091,2.00 66,1,2024-09-07 09:27:51:293,804167,804167,0,0,377357959147,3927720503,798663,4978,526,380,391743,0 66,2,2024-09-07 09:27:51:131,582744,582741,3,0,23616127,0,5455 66,3,2024-09-07 09:27:51:080,1,457,1,0,291,3912,457,0 67,0,2024-09-07 09:27:51:413,110843,0.4,110747,0.6,222082,0.4,295529,2.00 67,1,2024-09-07 09:27:50:766,804231,804230,0,1,377034779693,3925093647,798711,4725,794,380,391787,1 67,2,2024-09-07 09:27:50:583,581950,581935,15,0,22720830,0,6205 67,3,2024-09-07 09:27:51:757,1,457,17,0,392,4386,457,0 68,0,2024-09-07 09:27:50:570,116256,0.5,116221,0.7,231382,0.5,308899,2.00 68,1,2024-09-07 09:27:50:574,800462,800462,0,0,375864738378,3953199937,789477,7780,3205,381,391953,0 68,2,2024-09-07 09:27:51:056,572721,572621,100,0,28993795,0,8578 68,3,2024-09-07 09:27:50:733,1,457,109,0,417,5465,457,0 69,0,2024-09-07 09:27:51:723,116377,0.7,117097,0.8,233466,0.8,309867,2.25 69,1,2024-09-07 09:27:51:016,800297,800297,0,0,375955164056,3960067369,789937,8086,2274,384,391994,0 69,2,2024-09-07 09:27:51:735,575191,575162,29,0,30891611,0,6912 69,3,2024-09-07 09:27:50:766,1,457,8,0,698,7028,457,0 70,0,2024-09-07 09:27:51:602,113997,1.0,114357,1.0,230077,0.9,304784,2.50 70,1,2024-09-07 09:27:50:804,804218,804218,0,0,377722411556,3919454968,797737,5781,700,366,391725,0 70,2,2024-09-07 09:27:51:336,580622,580622,0,0,26043153,0,4323 70,3,2024-09-07 09:27:50:745,1,457,1,0,854,5215,457,0 71,0,2024-09-07 09:27:51:365,109639,0.9,109464,1.0,220005,1.1,293942,2.75 71,1,2024-09-07 09:27:51:595,802246,802246,0,0,376890022368,3930748538,793015,8186,1045,368,391738,0 71,2,2024-09-07 09:27:51:067,579747,579747,0,0,26212764,0,4352 71,3,2024-09-07 09:27:51:763,1,457,8,0,644,5713,457,0 72,0,2024-09-07 09:27:51:050,119631,0.5,117032,0.7,228080,0.4,309987,2.00 72,1,2024-09-07 09:27:51:039,801405,801405,0,0,376605056873,3948340985,790135,9252,2018,369,391819,0 72,2,2024-09-07 09:27:51:763,573541,573541,0,0,27791847,0,3983 72,3,2024-09-07 09:27:51:760,1,457,1,0,564,6668,457,0 73,0,2024-09-07 09:27:51:129,113006,0.4,115897,0.6,236869,0.4,308035,2.00 73,1,2024-09-07 09:27:50:785,803485,803485,0,0,377258267438,3916629942,797864,5201,420,367,391858,0 73,2,2024-09-07 09:27:51:783,576398,576398,0,0,27398653,0,3701 73,3,2024-09-07 09:27:50:970,1,457,12,0,486,5868,457,0 74,0,2024-09-07 09:27:51:327,117081,0.5,119760,0.7,228364,0.5,310120,2.25 74,1,2024-09-07 09:27:50:658,802813,802813,0,0,377263652376,3934998332,794606,6595,1612,381,391762,0 74,2,2024-09-07 09:27:51:005,578761,578761,0,0,25173557,0,4253 74,3,2024-09-07 09:27:51:445,1,457,1,0,522,5721,457,0 75,0,2024-09-07 09:27:51:765,111792,0.5,111068,0.7,222849,0.4,297580,2.25 75,1,2024-09-07 09:27:51:589,803214,803214,0,0,376227412822,3921084739,796320,6066,828,380,391739,0 75,2,2024-09-07 09:27:51:353,580106,580106,0,0,28192533,0,4766 75,3,2024-09-07 09:27:51:068,1,457,1,0,702,5783,457,0 76,0,2024-09-07 09:27:50:585,114085,0.5,113237,0.7,227432,0.4,304045,2.25 76,1,2024-09-07 09:27:50:813,802781,802781,0,0,376124654708,3920784110,797343,4610,828,382,391692,0 76,2,2024-09-07 09:27:51:065,578435,578434,1,0,24120507,0,5144 76,3,2024-09-07 09:27:51:142,1,457,8,0,175,4229,457,0 77,0,2024-09-07 09:27:51:698,114529,0.5,114909,0.7,230069,0.5,304957,2.00 77,1,2024-09-07 09:27:50:830,803488,803488,0,0,377458485895,3935077102,797646,5335,507,381,391869,0 77,2,2024-09-07 09:27:51:283,576789,576789,0,0,23738052,0,3890 77,3,2024-09-07 09:27:51:098,1,457,8,0,401,4974,457,0 78,0,2024-09-07 09:27:51:716,116280,0.4,115630,0.6,232194,0.4,307609,2.00 78,1,2024-09-07 09:27:50:613,804297,804297,0,0,376622295103,3919830113,796426,6302,1569,367,391670,0 78,2,2024-09-07 09:27:51:414,578686,578673,13,0,22513359,0,8313 78,3,2024-09-07 09:27:51:133,1,457,3,0,181,3937,457,0 79,0,2024-09-07 09:27:51:349,109208,0.4,111796,0.6,228984,0.3,297383,2.25 79,1,2024-09-07 09:27:50:578,805193,805193,0,0,377631619595,3911853253,798554,5330,1309,367,391682,0 79,2,2024-09-07 09:27:51:067,581925,581925,0,0,21910421,0,4195 79,3,2024-09-07 09:27:50:749,1,457,28,0,418,5549,457,0 80,0,2024-09-07 09:27:51:091,111674,0.5,114926,0.6,219800,0.4,297424,2.00 80,1,2024-09-07 09:27:51:624,802970,802970,0,0,376890536322,3923807532,797346,5201,423,368,392269,0 80,2,2024-09-07 09:27:51:099,580864,580864,0,0,22364448,0,4433 80,3,2024-09-07 09:27:50:574,1,457,3,0,190,5153,457,0 81,0,2024-09-07 09:27:51:534,115382,0.5,118156,0.7,225565,0.5,306183,2.00 81,1,2024-09-07 09:27:51:661,802109,802109,0,0,376690788432,3933253128,796081,5487,541,382,391879,0 81,2,2024-09-07 09:27:51:139,575006,574943,63,0,24716457,0,5932 81,3,2024-09-07 09:27:51:117,1,457,12,0,719,5392,457,0 82,0,2024-09-07 09:27:51:530,116661,0.5,116829,0.7,234189,0.5,310516,2.00 82,1,2024-09-07 09:27:50:583,804629,804625,0,4,377511488724,3924972897,799879,3927,819,381,391768,4 82,2,2024-09-07 09:27:51:693,577880,577880,0,0,21160384,0,4484 82,3,2024-09-07 09:27:51:753,1,457,1,0,363,4638,457,0 83,0,2024-09-07 09:27:51:575,115185,0.6,115111,0.7,229568,0.6,305256,2.25 83,1,2024-09-07 09:27:50:551,802445,802445,0,0,376648225558,3925560063,796765,5230,450,382,391709,0 83,2,2024-09-07 09:27:50:763,581348,581323,25,0,22694073,0,5612 83,3,2024-09-07 09:27:50:749,1,457,110,0,1260,5818,457,0 84,0,2024-09-07 09:27:51:774,110210,0.7,110214,0.8,219614,0.6,293929,2.25 84,1,2024-09-07 09:27:51:040,801733,801733,0,0,376198377628,3928818108,793616,7070,1047,367,391967,0 84,2,2024-09-07 09:27:50:586,579071,579041,30,0,29455028,0,5971 84,3,2024-09-07 09:27:51:165,1,457,2,0,908,6727,457,0 85,0,2024-09-07 09:27:51:016,112223,0.6,112213,0.8,237703,0.5,308473,2.25 85,1,2024-09-07 09:27:50:559,799605,799605,0,0,375605480356,3959543715,788422,9210,1973,381,392006,0 85,2,2024-09-07 09:27:50:864,573714,573714,0,0,27298094,0,3656 85,3,2024-09-07 09:27:50:685,1,457,18,0,789,5371,457,0 86,0,2024-09-07 09:27:50:886,116106,0.7,119561,0.8,228734,0.7,309444,2.25 86,1,2024-09-07 09:27:50:824,801904,801904,0,0,377111259162,3949492373,792158,8112,1634,366,391961,0 86,2,2024-09-07 09:27:50:854,574494,574493,1,0,30007716,0,5004 86,3,2024-09-07 09:27:50:588,1,457,3,0,308,6635,457,0 87,0,2024-09-07 09:27:51:295,115680,0.9,115467,0.9,231025,1.2,308619,2.50 87,1,2024-09-07 09:27:50:567,800952,800952,0,0,376443885951,3943693205,790344,8989,1619,366,392076,0 87,2,2024-09-07 09:27:51:067,579430,579424,6,0,26536128,0,6323 87,3,2024-09-07 09:27:51:794,1,457,14,0,473,6921,457,0 88,0,2024-09-07 09:27:51:443,110638,0.4,111428,0.6,222256,0.4,295970,1.75 88,1,2024-09-07 09:27:50:569,800707,800707,0,0,375999770617,3934974400,790658,8008,2041,365,392084,0 88,2,2024-09-07 09:27:50:688,580978,580978,0,0,28738781,0,4465 88,3,2024-09-07 09:27:51:270,1,457,1,0,435,5379,457,0 89,0,2024-09-07 09:27:51:778,118785,0.4,115003,0.6,227494,0.3,309324,1.75 89,1,2024-09-07 09:27:50:549,800367,800367,0,0,376373338923,3953149325,790944,7993,1430,382,391866,0 89,2,2024-09-07 09:27:51:139,574595,574595,0,0,26582815,0,3173 89,3,2024-09-07 09:27:51:796,1,457,7,0,468,7692,457,0 90,0,2024-09-07 09:27:51:622,111590,0.4,114695,0.6,233809,0.4,305154,2.00 90,1,2024-09-07 09:27:50:594,801805,801805,0,0,377137448395,3943826355,794956,6333,516,380,391825,0 90,2,2024-09-07 09:27:51:443,574976,574976,0,0,28719592,0,3608 90,3,2024-09-07 09:27:50:939,1,457,1,0,322,5428,457,0 91,0,2024-09-07 09:27:50:932,116595,0.5,112837,0.6,235753,0.5,309634,1.75 91,1,2024-09-07 09:27:50:556,800167,800167,0,0,376104349901,3952171473,790345,8270,1552,381,392047,0 91,2,2024-09-07 09:27:51:337,579035,579035,0,0,25279217,0,3526 91,3,2024-09-07 09:27:50:598,1,457,1,0,216,4424,457,0 92,0,2024-09-07 09:27:51:439,113188,0.4,116080,0.6,221534,0.4,299981,1.75 92,1,2024-09-07 09:27:50:585,803246,803246,0,0,376423512288,3926869603,797691,4828,727,381,392136,0 92,2,2024-09-07 09:27:51:354,582519,582519,0,0,22479683,0,3259 92,3,2024-09-07 09:27:51:013,1,457,1,0,167,4051,457,0 93,0,2024-09-07 09:27:50:977,113898,0.3,116696,0.5,222991,0.3,302533,1.75 93,1,2024-09-07 09:27:50:829,802644,802644,0,0,376896393973,3930950899,794469,6788,1387,366,391776,0 93,2,2024-09-07 09:27:50:931,577205,577205,0,0,27072301,0,4845 93,3,2024-09-07 09:27:51:417,1,457,27,0,190,4065,457,0 94,0,2024-09-07 09:27:51:641,114626,0.3,115481,0.5,230558,0.3,305490,1.75 94,1,2024-09-07 09:27:50:585,802946,802946,0,0,376969905673,3928608131,798140,4596,210,381,391850,0 94,2,2024-09-07 09:27:50:764,576691,576691,0,0,22657138,0,2443 94,3,2024-09-07 09:27:51:688,1,457,2,0,576,5891,457,0 95,0,2024-09-07 09:27:51:374,116279,0.4,116120,0.5,232853,0.3,310026,1.75 95,1,2024-09-07 09:27:50:851,804299,804299,0,0,377869465355,3923886663,798143,5718,438,365,391786,0 95,2,2024-09-07 09:27:51:022,576733,576733,0,0,22622927,0,3308 95,3,2024-09-07 09:27:51:711,1,457,4,0,718,6327,457,0 96,0,2024-09-07 09:27:51:048,115048,0.4,115291,0.5,230253,0.3,305077,1.75 96,1,2024-09-07 09:27:51:584,802521,802521,0,0,376520936209,3927360331,796649,4967,905,384,391955,0 96,2,2024-09-07 09:27:51:268,582045,582045,0,0,23591976,0,4180 96,3,2024-09-07 09:27:51:140,1,457,15,0,411,5047,457,0 97,0,2024-09-07 09:27:51:337,110969,0.3,110519,0.4,221835,0.2,295262,1.50 97,1,2024-09-07 09:27:50:767,803996,803996,0,0,378556939713,3924958477,798815,4246,935,367,392140,0 97,2,2024-09-07 09:27:50:635,580308,580308,0,0,22776918,0,3679 97,3,2024-09-07 09:27:50:574,1,457,25,0,242,5428,457,0 98,0,2024-09-07 09:27:51:719,115619,0.3,115993,0.4,232574,0.2,308575,1.50 98,1,2024-09-07 09:27:50:570,803491,803491,0,0,377337548948,3929643004,798414,4284,793,382,391997,0 98,2,2024-09-07 09:27:50:770,575749,575749,0,0,22705428,0,4336 98,3,2024-09-07 09:27:50:711,1,457,4,0,840,7298,457,0 99,0,2024-09-07 09:27:51:458,117014,0.3,117631,0.5,233911,0.3,312493,1.75 99,1,2024-09-07 09:27:51:754,803705,803705,0,0,376738813131,3918966723,798721,4147,837,380,392069,0 99,2,2024-09-07 09:27:51:418,577449,577449,0,0,26883660,0,4276 99,3,2024-09-07 09:27:50:582,1,457,1,0,606,4806,457,0 100,0,2024-09-07 09:27:51:479,114974,0.8,115388,0.9,229870,0.9,307354,2.50 100,1,2024-09-07 09:27:50:578,798884,798884,0,0,374845643704,3963677566,786139,9796,2949,378,391989,0 100,2,2024-09-07 09:27:51:830,578267,578256,11,0,26818796,0,5417 100,3,2024-09-07 09:27:51:734,1,457,1,0,559,7347,457,0 101,0,2024-09-07 09:27:51:716,113051,1.1,110130,1.0,215936,0.9,295637,2.25 101,1,2024-09-07 09:27:50:555,799515,799515,0,0,375400945062,3947345189,787951,9197,2367,368,391847,0 101,2,2024-09-07 09:27:51:757,577026,577026,0,0,31543903,0,4871 101,3,2024-09-07 09:27:50:946,1,457,9,0,1250,7317,457,0 102,0,2024-09-07 09:27:51:012,112739,0.5,116278,0.7,235855,0.5,308612,2.00 102,1,2024-09-07 09:27:51:142,800086,800086,0,0,375895512387,3949560336,789157,8990,1939,369,391891,0 102,2,2024-09-07 09:27:51:742,575429,575375,54,0,25229202,0,6768 102,3,2024-09-07 09:27:51:621,1,457,23,0,466,4951,457,0 103,0,2024-09-07 09:27:51:627,119712,0.6,119710,0.7,225546,0.6,310391,2.00 103,1,2024-09-07 09:27:51:625,799245,799245,0,0,375448253241,3964284481,786249,9806,3190,381,391862,0 103,2,2024-09-07 09:27:50:586,574935,574935,0,0,28254224,0,3766 103,3,2024-09-07 09:27:50:757,1,457,13,0,916,5224,457,0 104,0,2024-09-07 09:27:51:022,115240,0.7,115605,0.9,230126,0.7,308256,2.25 104,1,2024-09-07 09:27:51:607,801640,801640,0,0,376294798224,3948122339,790472,9274,1894,365,392168,0 104,2,2024-09-07 09:27:51:667,577612,577612,0,0,26942167,0,3941 104,3,2024-09-07 09:27:51:415,1,457,71,0,1245,8754,457,0 105,0,2024-09-07 09:27:51:084,110197,0.8,107301,1.0,224849,0.8,295622,2.50 105,1,2024-09-07 09:27:50:557,803020,803020,0,0,376578781501,3943609481,793009,8413,1598,366,392009,0 105,2,2024-09-07 09:27:51:342,579705,579705,0,0,26822480,0,3509 105,3,2024-09-07 09:27:51:336,1,457,19,0,399,6691,457,0 106,0,2024-09-07 09:27:50:965,110497,0.7,113311,0.8,231850,0.7,303413,2.25 106,1,2024-09-07 09:27:51:750,801680,801680,0,0,376231230038,3946696567,790202,9921,1557,368,391914,0 106,2,2024-09-07 09:27:50:756,575024,575024,0,0,25743299,0,2920 106,3,2024-09-07 09:27:50:681,1,457,3,0,470,5964,457,0 107,0,2024-09-07 09:27:51:170,114729,0.8,114956,0.9,229704,0.9,306031,2.25 107,1,2024-09-07 09:27:50:593,800001,800001,0,0,375806811424,3957816050,789249,9691,1061,381,392234,0 107,2,2024-09-07 09:27:51:303,574949,574948,1,0,27116802,0,5024 107,3,2024-09-07 09:27:51:767,1,457,5,0,370,6736,457,0 108,0,2024-09-07 09:27:51:917,115680,0.5,116582,0.6,231750,0.4,309045,1.75 108,1,2024-09-07 09:27:51:301,803294,803294,0,0,377412788540,3931818121,797109,5440,745,367,391857,0 108,2,2024-09-07 09:27:51:759,576856,576856,0,0,25278875,0,4246 108,3,2024-09-07 09:27:51:334,1,457,668,0,749,8798,457,0 109,0,2024-09-07 09:27:51:926,113696,0.4,112851,0.6,225904,0.3,301614,1.75 109,1,2024-09-07 09:27:50:587,800138,800138,0,0,376401286789,3947433122,792681,6269,1188,382,392132,0 109,2,2024-09-07 09:27:50:921,579051,579051,0,0,24741743,0,3617 109,3,2024-09-07 09:27:51:152,1,457,14,0,379,5242,457,0 110,0,2024-09-07 09:27:51:774,111510,0.3,108571,0.5,227418,0.3,298030,1.75 110,1,2024-09-07 09:27:51:656,804083,804083,0,0,377129712665,3910859753,798683,4221,1179,369,392045,0 110,2,2024-09-07 09:27:51:303,579366,579366,0,0,23721153,0,4067 110,3,2024-09-07 09:27:50:689,1,457,1,0,722,6435,457,0 111,0,2024-09-07 09:27:51:417,115825,0.3,115014,0.5,230524,0.3,307414,1.75 111,1,2024-09-07 09:27:51:007,805013,805013,0,0,379459779756,3932189634,801081,3577,355,380,391690,0 111,2,2024-09-07 09:27:51:124,575195,575195,0,0,23202499,0,4823 111,3,2024-09-07 09:27:50:927,1,457,1,0,379,5233,457,0 112,0,2024-09-07 09:27:50:911,117661,0.3,117055,0.4,234666,0.2,311559,1.50 112,1,2024-09-07 09:27:50:825,803868,803868,0,0,377886025528,3919698839,799136,4119,613,380,391624,0 112,2,2024-09-07 09:27:51:133,576479,576478,1,0,22861363,0,5036 112,3,2024-09-07 09:27:50:592,1,457,7,0,282,4333,457,0 113,0,2024-09-07 09:27:50:875,115302,0.3,115210,0.5,230831,0.2,307218,1.75 113,1,2024-09-07 09:27:51:686,806620,806620,0,0,378818137090,3905511637,802724,3307,589,366,391661,0 113,2,2024-09-07 09:27:51:302,583081,583081,0,0,20915731,0,3813 113,3,2024-09-07 09:27:50:685,1,457,14,0,340,4968,457,0 114,0,2024-09-07 09:27:50:876,111089,0.3,111870,0.4,222346,0.2,297540,1.75 114,1,2024-09-07 09:27:50:716,804126,804126,0,0,377511624837,3919929205,797796,4714,1616,381,391565,0 114,2,2024-09-07 09:27:50:873,581993,581992,1,0,21906902,0,5069 114,3,2024-09-07 09:27:51:279,1,457,0,0,395,3785,457,0 115,0,2024-09-07 09:27:50:559,117055,0.3,117521,0.4,234476,0.2,311696,1.50 115,1,2024-09-07 09:27:50:576,804402,804402,0,0,377475821098,3920640557,797904,5230,1268,382,391757,0 115,2,2024-09-07 09:27:51:125,576551,576551,0,0,21674448,0,4382 115,3,2024-09-07 09:27:51:003,1,457,56,0,159,2559,457,0 116,0,2024-09-07 09:27:51:719,116031,0.7,115865,0.8,232377,0.7,310820,2.00 116,1,2024-09-07 09:27:50:817,800110,800110,0,0,376489721442,3965524303,790551,7158,2401,380,392089,0 116,2,2024-09-07 09:27:51:753,575590,575590,0,0,28092584,0,4128 116,3,2024-09-07 09:27:50:913,1,457,1,0,415,5221,457,0 117,0,2024-09-07 09:27:50:957,116083,0.8,115502,0.8,231440,0.9,309029,2.00 117,1,2024-09-07 09:27:51:578,801156,801156,0,0,375949681179,3932523305,792891,7286,979,369,392033,0 117,2,2024-09-07 09:27:51:118,582380,582380,0,0,24387797,0,4303 117,3,2024-09-07 09:27:51:059,1,457,12,0,490,6668,457,0 118,0,2024-09-07 09:27:51:776,107609,0.5,110516,0.6,225522,0.4,294732,2.00 118,1,2024-09-07 09:27:50:587,800734,800734,0,0,375274142099,3944718240,788599,9115,3020,366,391907,0 118,2,2024-09-07 09:27:51:595,580042,580042,0,0,26499749,0,2842 118,3,2024-09-07 09:27:51:778,1,457,7,0,248,5213,457,0 119,0,2024-09-07 09:27:51:363,115268,0.6,115556,0.7,231078,0.5,307586,2.00 119,1,2024-09-07 09:27:50:558,801696,801696,0,0,376679070904,3943302516,791900,8445,1351,367,391857,0 119,2,2024-09-07 09:27:51:262,575801,575801,0,0,24624396,0,4174 119,3,2024-09-07 09:27:51:331,1,457,11,0,1358,8828,457,0 120,0,2024-09-07 09:27:51:555,114416,0.6,114088,0.8,228916,0.6,305413,2.25 120,1,2024-09-07 09:27:50:858,801979,801979,0,0,375924898684,3940682962,793538,7671,770,368,392144,0 120,2,2024-09-07 09:27:50:770,576192,576191,1,0,28596176,0,5281 120,3,2024-09-07 09:27:51:290,1,457,7,0,279,5509,457,0 121,0,2024-09-07 09:27:51:746,115996,1.4,115646,1.1,231815,1.8,308843,2.50 121,1,2024-09-07 09:27:51:657,802405,802405,0,0,377547409152,3941258728,795596,6347,462,367,391840,0 121,2,2024-09-07 09:27:51:125,577515,577515,0,0,27053552,0,4127 121,3,2024-09-07 09:27:50:728,1,457,18,0,269,4988,457,0 122,0,2024-09-07 09:27:51:817,111730,0.7,108943,0.8,228502,0.8,299598,2.00 122,1,2024-09-07 09:27:50:859,800786,800786,0,0,376480766429,3947004745,790046,9061,1679,366,392130,0 122,2,2024-09-07 09:27:51:322,581186,581113,73,0,30081511,0,5989 122,3,2024-09-07 09:27:50:603,1,457,46,0,512,8021,457,0 123,0,2024-09-07 09:27:50:954,112710,0.6,109839,0.7,230169,0.6,301337,2.00 123,1,2024-09-07 09:27:50:558,800781,800781,0,0,376189407361,3958149929,787109,11228,2444,369,392039,0 123,2,2024-09-07 09:27:51:021,575369,575368,1,0,25217440,0,5215 123,3,2024-09-07 09:27:51:136,1,457,7,0,478,5242,457,0 124,0,2024-09-07 09:27:50:933,118397,0.3,118429,0.5,223160,0.3,306468,1.75 124,1,2024-09-07 09:27:51:030,804087,804087,0,0,377516470577,3919584640,798796,4240,1051,367,392178,0 124,2,2024-09-07 09:27:51:008,577338,577285,53,0,22790675,0,6487 124,3,2024-09-07 09:27:50:765,1,457,23,0,490,4298,457,0 125,0,2024-09-07 09:27:51:465,116233,0.4,116026,0.6,232872,0.4,309943,1.75 125,1,2024-09-07 09:27:50:855,802409,802409,0,0,376901399832,3931312430,796488,5248,673,382,391813,0 125,2,2024-09-07 09:27:51:116,578188,578188,0,0,23695445,0,4534 125,3,2024-09-07 09:27:51:130,1,457,13,0,709,5559,457,0 126,0,2024-09-07 09:27:51:433,115012,0.4,118308,0.6,226265,0.4,305824,1.75 126,1,2024-09-07 09:27:50:552,804676,804676,0,0,378518831376,3916679829,800616,3766,294,365,391987,0 126,2,2024-09-07 09:27:50:614,582152,582152,0,0,24536287,0,4539 126,3,2024-09-07 09:27:50:914,1,457,1,0,268,5356,457,0 127,0,2024-09-07 09:27:51:647,110934,0.3,111206,0.5,222130,0.2,295713,1.50 127,1,2024-09-07 09:27:50:572,803726,803726,0,0,377287591372,3919964106,796352,6151,1223,364,392187,0 127,2,2024-09-07 09:27:50:637,578991,578991,0,0,22077028,0,3897 127,3,2024-09-07 09:27:51:273,1,457,1,0,968,4724,457,0 128,0,2024-09-07 09:27:51:586,116538,0.3,116609,0.4,232978,0.2,309322,1.50 128,1,2024-09-07 09:27:51:608,802636,802636,0,0,377657201243,3917753993,797707,4496,433,367,392031,0 128,2,2024-09-07 09:27:51:383,576906,576906,0,0,21508658,0,3171 128,3,2024-09-07 09:27:50:767,1,457,1,0,1082,6955,457,0 129,0,2024-09-07 09:27:50:995,117961,0.3,117430,0.5,235174,0.3,312888,1.50 129,1,2024-09-07 09:27:50:567,801358,801358,0,0,375623280722,3933836177,793579,6105,1674,379,391962,0 129,2,2024-09-07 09:27:50:686,577195,577191,4,0,23729373,0,5335 129,3,2024-09-07 09:27:50:688,1,457,13,0,506,6525,457,0 130,0,2024-09-07 09:27:51:750,116057,0.5,115595,0.6,232427,0.5,308786,1.75 130,1,2024-09-07 09:27:50:585,803982,803982,0,0,377524318443,3920789904,799844,3799,339,381,391825,0 130,2,2024-09-07 09:27:51:138,581776,581776,0,0,23602133,0,4067 130,3,2024-09-07 09:27:51:291,1,457,1,0,960,6843,457,0 131,0,2024-09-07 09:27:51:927,110715,0.3,111125,0.5,223183,0.3,296238,1.75 131,1,2024-09-07 09:27:51:841,803393,803393,0,0,376916370490,3933275574,797407,4934,1052,381,391865,0 131,2,2024-09-07 09:27:50:568,582436,582436,0,0,21602198,0,3979 131,3,2024-09-07 09:27:51:688,1,457,4,0,392,4959,457,0 132,0,2024-09-07 09:27:51:491,115911,0.4,117013,0.6,232755,0.4,309962,1.75 132,1,2024-09-07 09:27:50:576,799250,799250,0,0,375877431159,3956450307,787533,9585,2132,381,392532,0 132,2,2024-09-07 09:27:50:706,574399,574382,17,0,28096881,0,6451 132,3,2024-09-07 09:27:51:698,1,457,1,0,804,7745,457,0 133,0,2024-09-07 09:27:51:552,112970,0.4,115604,0.6,237101,0.4,308766,2.00 133,1,2024-09-07 09:27:50:584,799503,799503,0,0,375935607322,3961631718,788282,9606,1615,383,391914,0 133,2,2024-09-07 09:27:51:092,576226,576176,50,0,29623081,0,6861 133,3,2024-09-07 09:27:51:302,1,457,7,0,479,4735,457,0 134,0,2024-09-07 09:27:50:946,116709,0.6,116312,0.7,232914,0.6,310582,2.00 134,1,2024-09-07 09:27:50:585,800909,800909,0,0,375463680457,3935477799,790762,8079,2068,366,391718,0 134,2,2024-09-07 09:27:51:758,577776,577752,24,0,27679784,0,6207 134,3,2024-09-07 09:27:50:750,1,457,1,0,739,5620,457,0 135,0,2024-09-07 09:27:51:111,107900,0.7,107852,0.8,228980,0.8,295086,2.25 135,1,2024-09-07 09:27:51:592,801201,801201,0,0,376655286579,3959861720,790848,8975,1378,380,391805,0 135,2,2024-09-07 09:27:50:687,580923,580923,0,0,26981363,0,3981 135,3,2024-09-07 09:27:51:002,1,457,63,0,299,4020,457,0 136,0,2024-09-07 09:27:51:645,114988,0.5,115098,0.7,229192,0.4,305793,2.00 136,1,2024-09-07 09:27:51:446,802418,802418,0,0,375697086305,3936889626,793543,7901,974,381,391685,0 136,2,2024-09-07 09:27:51:139,577653,577653,0,0,25050587,0,3506 136,3,2024-09-07 09:27:51:110,1,457,1,0,637,5176,457,0 137,0,2024-09-07 09:27:50:927,118499,0.6,115437,0.7,226375,0.5,307222,2.00 137,1,2024-09-07 09:27:50:574,800541,800541,0,0,376036845584,3942383501,788442,9713,2386,366,391898,0 137,2,2024-09-07 09:27:51:711,575397,575397,0,0,28043950,0,3185 137,3,2024-09-07 09:27:50:769,1,457,8,0,484,5459,457,0 138,0,2024-09-07 09:27:51:750,115527,1.0,115499,0.9,231908,1.2,307560,2.25 138,1,2024-09-07 09:27:51:691,801472,801472,0,0,376423786649,3943453209,790876,8871,1725,368,391954,0 138,2,2024-09-07 09:27:50:585,576895,576895,0,0,25651708,0,4988 138,3,2024-09-07 09:27:50:633,1,457,18,0,1160,6023,457,0 139,0,2024-09-07 09:27:51:370,112171,1.4,112304,1.1,225164,2.1,300161,2.50 139,1,2024-09-07 09:27:50:579,797699,797699,0,0,374859381999,3979302338,782604,11653,3442,380,392058,0 139,2,2024-09-07 09:27:50:692,577428,577428,0,0,29511931,0,3097 139,3,2024-09-07 09:27:51:667,1,457,4,0,432,5204,457,0 140,0,2024-09-07 09:27:51:587,112206,0.3,111523,0.4,223635,0.2,298387,1.75 140,1,2024-09-07 09:27:51:536,806015,806015,0,0,379682524493,3910714713,802445,3128,442,365,391606,0 140,2,2024-09-07 09:27:50:690,580141,580140,1,0,22251572,0,5036 140,3,2024-09-07 09:27:50:767,1,457,2,0,297,3690,457,0 141,0,2024-09-07 09:27:51:698,115616,0.3,118688,0.4,226673,0.2,307009,1.50 141,1,2024-09-07 09:27:50:860,805009,805009,0,0,378703638481,3929302051,799316,4736,957,379,391614,0 141,2,2024-09-07 09:27:51:686,576403,576403,0,0,22112225,0,3360 141,3,2024-09-07 09:27:51:043,1,457,8,0,391,5073,457,0 142,0,2024-09-07 09:27:51:441,117711,0.3,117016,0.5,234213,0.3,312154,1.75 142,1,2024-09-07 09:27:50:584,803478,803478,0,0,376857796529,3923914114,798772,4304,402,382,392102,0 142,2,2024-09-07 09:27:51:320,576323,576291,32,0,24148323,0,6028 142,3,2024-09-07 09:27:51:785,1,457,1,0,484,4963,457,0 143,0,2024-09-07 09:27:51:401,115207,0.4,115400,0.6,231035,0.4,307221,1.75 143,1,2024-09-07 09:27:50:556,804790,804790,0,0,377645471136,3915746093,799731,4513,546,367,391705,0 143,2,2024-09-07 09:27:50:775,582089,582089,0,0,23407991,0,3123 143,3,2024-09-07 09:27:51:146,1,457,27,0,462,5468,457,0 144,0,2024-09-07 09:27:51:498,107469,0.6,110389,0.8,225074,0.5,295208,2.00 144,1,2024-09-07 09:27:50:568,800581,800581,0,0,376390801406,3947473620,792631,6113,1837,381,391657,0 144,2,2024-09-07 09:27:51:758,581208,581208,0,0,22128021,0,3673 144,3,2024-09-07 09:27:51:753,1,457,3,0,249,4534,457,0 145,0,2024-09-07 09:27:51:401,112799,0.6,112874,0.8,239591,0.5,308561,2.25 145,1,2024-09-07 09:27:50:555,800176,800176,0,0,376049467598,3955087377,789574,8738,1864,382,391759,0 145,2,2024-09-07 09:27:51:429,572609,572528,81,0,27346825,0,7814 145,3,2024-09-07 09:27:50:913,1,457,12,0,622,6365,457,0 146,0,2024-09-07 09:27:51:596,116291,0.6,115721,0.7,232848,0.6,309319,2.25 146,1,2024-09-07 09:27:51:595,800850,800850,0,0,375768719750,3952489524,787613,9710,3527,367,391770,0 146,2,2024-09-07 09:27:51:706,574910,574910,0,0,25836401,0,3290 146,3,2024-09-07 09:27:51:274,1,457,1,0,1520,8169,457,0 147,0,2024-09-07 09:27:51:699,115943,0.7,115756,0.8,230801,0.6,308457,2.25 147,1,2024-09-07 09:27:51:382,804580,804580,0,0,378149610876,3928970959,797852,5879,849,368,391791,0 147,2,2024-09-07 09:27:51:013,580297,580297,0,0,23363410,0,2968 147,3,2024-09-07 09:27:50:915,1,457,1,0,1626,7705,457,0 0,0,2024-09-07 09:28:01:735,111971,0.6,111956,0.7,237528,0.6,307334,2.00 0,1,2024-09-07 09:28:00:805,803102,803102,0,0,377647208766,3950587823,797284,5370,448,369,391896,0 0,2,2024-09-07 09:28:01:070,578942,578942,0,0,23265067,0,4480 0,3,2024-09-07 09:28:00:980,1,458,1,0,431,6716,458,0 1,0,2024-09-07 09:28:01:793,116138,1.1,115590,1.0,231646,1.5,309649,2.00 1,1,2024-09-07 09:28:00:565,803061,803061,0,0,376816661983,3940754698,796502,5229,1330,370,391859,0 1,2,2024-09-07 09:28:00:639,579410,579410,0,0,23098279,0,3380 1,3,2024-09-07 09:28:01:305,1,458,3,0,268,5544,458,0 2,0,2024-09-07 09:28:01:572,112272,0.6,112351,0.7,224121,0.6,299067,2.00 2,1,2024-09-07 09:28:00:860,805755,805755,0,0,378729451182,3928263856,802432,3028,295,380,391745,0 2,2,2024-09-07 09:28:01:265,583358,583358,0,0,21682807,0,3594 2,3,2024-09-07 09:28:00:691,1,458,1,0,357,4261,458,0 3,0,2024-09-07 09:28:01:742,113803,0.4,113468,0.6,227132,0.3,302922,2.00 3,1,2024-09-07 09:28:01:621,804203,804203,0,0,377755097294,3931264589,797845,5664,694,379,391716,0 3,2,2024-09-07 09:28:01:142,578609,578586,23,0,22792061,0,5851 3,3,2024-09-07 09:28:01:760,1,458,1,0,207,2980,458,0 4,0,2024-09-07 09:28:01:817,111665,0.4,114787,0.5,234001,0.3,305639,1.75 4,1,2024-09-07 09:28:00:642,800643,800643,0,0,376011335415,3976791964,787289,10269,3085,370,391992,0 4,2,2024-09-07 09:28:01:022,575556,575556,0,0,26797615,0,4528 4,3,2024-09-07 09:28:01:040,1,458,1,0,448,5955,458,0 5,0,2024-09-07 09:28:01:389,116190,0.4,116760,0.6,233105,0.4,309632,1.75 5,1,2024-09-07 09:28:00:756,802263,802263,0,0,377081816452,3970147844,790548,9352,2363,367,392005,0 5,2,2024-09-07 09:28:01:839,575694,575694,0,0,26787394,0,3582 5,3,2024-09-07 09:28:01:734,1,458,13,0,457,6215,458,0 6,0,2024-09-07 09:28:00:916,115073,0.4,114687,0.6,229127,0.4,305298,2.00 6,1,2024-09-07 09:28:00:755,804282,804282,0,0,377056940066,3938983930,795712,7145,1425,379,391702,0 6,2,2024-09-07 09:28:01:121,582123,582105,18,0,25980445,0,5535 6,3,2024-09-07 09:28:01:274,1,458,8,0,710,5818,458,0 7,0,2024-09-07 09:28:01:532,110447,0.5,111406,0.6,221866,0.4,295330,2.00 7,1,2024-09-07 09:28:00:853,802751,802751,0,0,377113775206,3959137381,791612,9155,1984,382,391747,0 7,2,2024-09-07 09:28:00:770,580984,580984,0,0,25384390,0,4791 7,3,2024-09-07 09:28:00:853,1,458,1,0,552,5326,458,0 8,0,2024-09-07 09:28:01:353,116290,0.3,116075,0.5,232612,0.3,309431,1.75 8,1,2024-09-07 09:28:01:021,802132,802132,0,0,376879739076,3959909022,790017,9342,2773,366,392853,0 8,2,2024-09-07 09:28:00:796,572594,572594,0,0,29821438,0,3250 8,3,2024-09-07 09:28:00:603,1,458,1,0,538,7279,458,0 9,0,2024-09-07 09:28:01:112,117242,0.4,114050,0.5,238705,0.3,312984,1.75 9,1,2024-09-07 09:28:00:578,801564,801564,0,0,376944372537,3966883273,790097,9045,2422,369,392001,0 9,2,2024-09-07 09:28:01:084,576920,576920,0,0,26713811,0,3360 9,3,2024-09-07 09:28:01:770,1,458,9,0,496,6366,458,0 10,0,2024-09-07 09:28:01:604,115571,0.4,115103,0.5,231104,0.3,307824,1.75 10,1,2024-09-07 09:28:00:583,803566,803566,0,0,376648592502,3946455775,792590,9219,1757,381,391741,0 10,2,2024-09-07 09:28:00:762,581737,581737,0,0,29406495,0,4264 10,3,2024-09-07 09:28:00:872,1,458,1,0,649,4939,458,0 11,0,2024-09-07 09:28:01:012,110955,0.4,107692,0.6,225080,0.4,296942,1.75 11,1,2024-09-07 09:28:00:573,804206,804206,0,0,377647908478,3957523964,792723,8856,2627,383,391756,0 11,2,2024-09-07 09:28:01:123,581218,581218,0,0,25913939,0,4130 11,3,2024-09-07 09:28:01:299,1,458,3,0,843,6314,458,0 12,0,2024-09-07 09:28:01:069,117135,0.4,117047,0.5,234208,0.3,311591,1.75 12,1,2024-09-07 09:28:00:938,804038,804038,0,0,377971652344,3936845701,798405,5130,503,370,391960,0 12,2,2024-09-07 09:28:01:544,575907,575907,0,0,24605589,0,3469 12,3,2024-09-07 09:28:01:066,1,458,0,0,386,6502,458,0 13,0,2024-09-07 09:28:01:356,117161,0.4,116801,0.6,232895,0.4,310781,1.75 13,1,2024-09-07 09:28:01:534,802172,802172,0,0,377192327559,3960278710,794532,6006,1634,382,391740,0 13,2,2024-09-07 09:28:00:602,579782,579782,0,0,22543215,0,3287 13,3,2024-09-07 09:28:01:761,1,458,8,0,522,6524,458,0 14,0,2024-09-07 09:28:00:564,116365,0.4,117283,0.6,232180,0.4,309638,1.75 14,1,2024-09-07 09:28:01:576,807747,807747,0,0,379168850402,3923235235,801489,5547,711,364,391673,0 14,2,2024-09-07 09:28:00:770,581105,581075,30,0,24723285,0,6104 14,3,2024-09-07 09:28:01:118,1,458,6,0,1168,4889,458,0 15,0,2024-09-07 09:28:01:555,111334,0.4,111215,0.6,222829,0.3,296517,2.00 15,1,2024-09-07 09:28:01:630,804826,804826,0,0,377812241310,3931826120,798596,5051,1179,381,391619,0 15,2,2024-09-07 09:28:01:000,584231,584231,0,0,20633431,0,3622 15,3,2024-09-07 09:28:01:410,1,458,0,0,1126,7099,458,0 16,0,2024-09-07 09:28:00:937,114847,0.5,115525,0.7,230072,0.4,306298,2.00 16,1,2024-09-07 09:28:00:563,804442,804442,0,0,377340708393,3942761207,797583,5742,1117,370,391917,0 16,2,2024-09-07 09:28:01:448,576758,576758,0,0,24373594,0,4719 16,3,2024-09-07 09:28:01:144,1,458,0,0,317,5546,458,0 17,0,2024-09-07 09:28:01:774,118560,0.6,115783,0.7,226488,0.6,307361,2.00 17,1,2024-09-07 09:28:00:570,802965,802965,0,0,377362035827,3958160070,794829,6423,1713,368,392075,0 17,2,2024-09-07 09:28:01:668,580294,580293,1,0,25014345,0,5050 17,3,2024-09-07 09:28:00:581,1,458,12,0,518,7249,458,0 18,0,2024-09-07 09:28:00:951,114962,0.7,115480,0.8,230365,0.7,307288,2.25 18,1,2024-09-07 09:28:01:645,806231,806231,0,0,378995185050,3925483684,801936,3857,438,367,391725,0 18,2,2024-09-07 09:28:01:757,581588,581588,0,0,21592017,0,3541 18,3,2024-09-07 09:28:00:897,1,458,1,0,1059,4439,458,0 19,0,2024-09-07 09:28:01:540,112750,0.6,113218,0.8,224621,0.6,298885,2.25 19,1,2024-09-07 09:28:00:566,806584,806584,0,0,379226793994,3930468409,800515,5147,922,367,391777,0 19,2,2024-09-07 09:28:01:764,584850,584850,0,0,20745029,0,3988 19,3,2024-09-07 09:28:01:129,1,458,1,0,524,3882,458,0 20,0,2024-09-07 09:28:01:345,112083,0.5,112048,0.6,223916,0.4,299227,2.00 20,1,2024-09-07 09:28:00:571,803182,803182,0,0,377852439776,3954074694,795518,6368,1296,369,391922,0 20,2,2024-09-07 09:28:00:928,578668,578668,0,0,24718825,0,3721 20,3,2024-09-07 09:28:00:589,1,458,2,0,468,7431,458,0 21,0,2024-09-07 09:28:01:142,115403,0.4,115469,0.6,231083,0.4,306260,1.75 21,1,2024-09-07 09:28:01:567,801125,801125,0,0,376169074999,3969979560,788479,9671,2975,368,392016,0 21,2,2024-09-07 09:28:01:067,574285,574265,20,0,30158149,0,5617 21,3,2024-09-07 09:28:01:428,1,458,28,0,713,6059,458,0 22,0,2024-09-07 09:28:01:728,116937,0.5,117395,0.7,234391,0.4,310571,2.00 22,1,2024-09-07 09:28:01:023,802633,802633,0,0,376571924089,3961189755,789889,9861,2883,382,391675,0 22,2,2024-09-07 09:28:00:760,576880,576854,26,0,24334982,0,6328 22,3,2024-09-07 09:28:01:066,1,458,1,0,228,3627,458,0 23,0,2024-09-07 09:28:01:378,115059,0.5,114577,0.7,229410,0.5,305701,2.25 23,1,2024-09-07 09:28:01:041,803638,803638,0,0,377926500107,3966570473,789797,9688,4153,365,391690,0 23,2,2024-09-07 09:28:01:093,583900,583900,0,0,23440715,0,3773 23,3,2024-09-07 09:28:01:772,1,458,1,0,720,5802,458,0 24,0,2024-09-07 09:28:00:843,112030,0.4,111307,0.5,223709,0.3,297259,1.75 24,1,2024-09-07 09:28:00:583,802795,802795,0,0,376077017081,3939079167,794541,6658,1596,367,392269,0 24,2,2024-09-07 09:28:01:069,581066,581066,0,0,27970889,0,3607 24,3,2024-09-07 09:28:01:688,1,458,3,0,468,5968,458,0 25,0,2024-09-07 09:28:01:434,120174,0.4,116992,0.6,229465,0.4,312467,1.75 25,1,2024-09-07 09:28:00:558,802022,802022,0,0,377108073877,3970925444,789601,10125,2296,371,391928,0 25,2,2024-09-07 09:28:01:619,574452,574452,0,0,28620702,0,3978 25,3,2024-09-07 09:28:01:008,1,458,10,0,532,5039,458,0 26,0,2024-09-07 09:28:01:731,116419,0.4,113789,0.6,238735,0.4,310776,2.00 26,1,2024-09-07 09:28:01:547,804686,804686,0,0,377042513069,3951116460,792760,9517,2409,380,391748,0 26,2,2024-09-07 09:28:00:861,578005,578005,0,0,28889336,0,2809 26,3,2024-09-07 09:28:01:724,1,458,1,0,796,5307,458,0 27,0,2024-09-07 09:28:01:730,116168,0.5,116348,0.6,231469,0.4,308844,2.25 27,1,2024-09-07 09:28:01:686,805654,805654,0,0,378326974623,3938941292,798181,6549,924,381,391626,0 27,2,2024-09-07 09:28:00:867,578838,578773,65,0,26233199,0,5699 27,3,2024-09-07 09:28:01:020,1,458,1,0,564,4168,458,0 28,0,2024-09-07 09:28:01:401,111479,0.4,111086,0.6,222965,0.3,297466,1.75 28,1,2024-09-07 09:28:00:802,805190,805190,0,0,378747737517,3948997381,797987,5504,1699,382,391698,0 28,2,2024-09-07 09:28:01:764,582435,582435,0,0,23643845,0,2915 28,3,2024-09-07 09:28:01:785,1,458,16,0,502,4799,458,0 29,0,2024-09-07 09:28:01:366,119118,0.3,116172,0.5,227650,0.2,310096,1.75 29,1,2024-09-07 09:28:01:565,807501,807501,0,0,379100909597,3919677098,802627,4139,735,368,391809,0 29,2,2024-09-07 09:28:00:860,576023,576023,0,0,22288018,0,4986 29,3,2024-09-07 09:28:00:965,1,458,1,0,459,5251,458,0 30,0,2024-09-07 09:28:01:466,114917,0.5,111717,0.7,233937,0.5,306302,2.00 30,1,2024-09-07 09:28:00:573,806202,806202,0,0,379135576994,3933880892,800109,5326,767,381,391672,0 30,2,2024-09-07 09:28:01:275,578848,578848,0,0,21916838,0,4192 30,3,2024-09-07 09:28:00:581,1,458,1,0,519,4461,458,0 31,0,2024-09-07 09:28:01:762,115794,0.5,116257,0.7,232190,0.4,309702,2.00 31,1,2024-09-07 09:28:00:573,809919,809919,0,0,379687311606,3889114027,806813,2520,586,356,391712,0 31,2,2024-09-07 09:28:01:278,578989,578989,0,0,23735242,0,3525 31,3,2024-09-07 09:28:01:712,1,458,1,0,220,3954,458,0 32,0,2024-09-07 09:28:01:432,112629,0.3,113243,0.5,225549,0.2,300841,1.50 32,1,2024-09-07 09:28:00:811,805895,805895,0,0,378505534537,3934503902,800757,4456,682,381,391646,0 32,2,2024-09-07 09:28:00:935,583781,583781,0,0,21490572,0,3922 32,3,2024-09-07 09:28:01:020,1,458,1,0,304,3507,458,0 33,0,2024-09-07 09:28:01:502,113900,0.3,113526,0.4,227279,0.2,303295,1.50 33,1,2024-09-07 09:28:00:574,806706,806706,0,0,379409549061,3930618837,800188,5413,1105,368,391730,0 33,2,2024-09-07 09:28:00:759,578992,578957,35,0,23591813,0,7012 33,3,2024-09-07 09:28:00:902,1,458,2,0,329,4296,458,0 34,0,2024-09-07 09:28:00:942,115448,0.3,118579,0.4,226654,0.2,305041,1.75 34,1,2024-09-07 09:28:01:052,808038,808038,0,0,380066894227,3905349349,806254,1772,12,367,391562,0 34,2,2024-09-07 09:28:00:771,579091,579091,0,0,22021891,0,4562 34,3,2024-09-07 09:28:01:688,1,458,1,0,299,3337,458,0 35,0,2024-09-07 09:28:00:858,115623,0.3,116276,0.5,233624,0.3,310413,1.75 35,1,2024-09-07 09:28:01:067,805766,805766,0,0,378736430308,3922988305,801185,3722,859,382,391769,0 35,2,2024-09-07 09:28:01:595,578338,578338,0,0,24002711,0,4055 35,3,2024-09-07 09:28:00:907,1,458,1,0,418,4558,458,0 36,0,2024-09-07 09:28:01:521,115213,0.4,115046,0.6,230116,0.4,305706,2.00 36,1,2024-09-07 09:28:00:582,805040,805040,0,0,378033792632,3947323328,794777,8254,2009,366,391759,0 36,2,2024-09-07 09:28:01:764,582947,582947,0,0,25425636,0,3875 36,3,2024-09-07 09:28:00:863,1,458,31,0,416,6353,458,0 37,0,2024-09-07 09:28:01:387,110733,0.5,110887,0.6,221572,0.4,295827,2.00 37,1,2024-09-07 09:28:00:573,804025,804018,0,7,377756882061,3946760598,793769,7694,2555,365,391770,0 37,2,2024-09-07 09:28:01:144,578819,578804,15,0,25486304,0,5815 37,3,2024-09-07 09:28:01:766,1,458,5,0,888,6731,458,0 38,0,2024-09-07 09:28:01:463,115422,0.5,111975,0.6,234344,0.4,306649,2.00 38,1,2024-09-07 09:28:01:612,804769,804769,0,0,378104871759,3953839545,792652,9639,2478,368,391821,0 38,2,2024-09-07 09:28:00:760,576506,576459,47,0,25144408,0,6710 38,3,2024-09-07 09:28:00:997,1,458,1,0,689,5878,458,0 39,0,2024-09-07 09:28:01:761,119980,0.6,117426,0.7,228885,0.5,312151,2.00 39,1,2024-09-07 09:28:00:715,803766,803766,0,0,377159380327,3956685743,789803,10773,3190,365,391658,0 39,2,2024-09-07 09:28:01:417,578117,578117,0,0,23797608,0,3391 39,3,2024-09-07 09:28:00:712,1,458,1,0,324,5011,458,0 40,0,2024-09-07 09:28:01:529,114383,0.8,115116,1.0,229503,0.8,306279,2.75 40,1,2024-09-07 09:28:00:584,804866,804866,0,0,377102927833,3945423745,793789,8975,2102,368,391668,0 40,2,2024-09-07 09:28:01:308,580810,580809,1,0,27634216,0,5137 40,3,2024-09-07 09:28:01:143,1,458,1,0,1028,6158,458,0 41,0,2024-09-07 09:28:01:027,110601,1.3,113058,1.2,215592,1.9,293624,3.25 41,1,2024-09-07 09:28:00:770,802779,802779,0,0,377638593165,3952635277,792111,8960,1708,369,391742,0 41,2,2024-09-07 09:28:00:759,580006,580006,0,0,26736903,0,4277 41,3,2024-09-07 09:28:01:682,1,458,20,0,366,4648,458,0 42,0,2024-09-07 09:28:01:492,115678,0.7,115517,0.9,231050,0.7,306100,2.50 42,1,2024-09-07 09:28:01:443,801351,801351,0,0,376719651822,3957018790,788561,10652,2138,380,391675,0 42,2,2024-09-07 09:28:01:136,575365,575365,0,0,26191049,0,3790 42,3,2024-09-07 09:28:01:009,1,458,1,0,892,4345,458,0 43,0,2024-09-07 09:28:00:927,115153,0.7,112184,0.9,234721,0.8,308030,2.25 43,1,2024-09-07 09:28:00:576,804610,804610,0,0,378042318072,3949099235,793366,9422,1822,366,391696,0 43,2,2024-09-07 09:28:01:735,578146,578146,0,0,25946786,0,3812 43,3,2024-09-07 09:28:01:754,1,458,1,0,571,6390,458,0 44,0,2024-09-07 09:28:00:878,116447,0.4,116590,0.6,233544,0.4,310226,2.00 44,1,2024-09-07 09:28:00:574,806409,806409,0,0,378268391006,3906554541,799907,5184,1318,356,391809,0 44,2,2024-09-07 09:28:01:268,579433,579433,0,0,21788428,0,4344 44,3,2024-09-07 09:28:01:100,1,458,10,0,817,5212,458,0 45,0,2024-09-07 09:28:01:782,110202,0.5,107555,0.7,225766,0.4,297105,2.00 45,1,2024-09-07 09:28:01:005,805548,805548,0,0,378855287438,3933366711,799885,5089,574,382,391917,0 45,2,2024-09-07 09:28:01:273,583768,583768,0,0,21970363,0,3596 45,3,2024-09-07 09:28:00:934,1,458,5,0,271,3860,458,0 46,0,2024-09-07 09:28:00:963,114644,0.4,114065,0.6,228984,0.4,304229,2.00 46,1,2024-09-07 09:28:00:575,807566,807566,0,0,379238319109,3914206177,802846,4128,592,366,391709,0 46,2,2024-09-07 09:28:00:594,577705,577705,0,0,21991274,0,4443 46,3,2024-09-07 09:28:01:132,1,458,1,0,908,5559,458,0 47,0,2024-09-07 09:28:01:106,115357,0.4,115649,0.6,231307,0.4,306380,1.75 47,1,2024-09-07 09:28:00:566,808087,808087,0,0,378884829922,3912807287,803206,4042,839,366,391641,0 47,2,2024-09-07 09:28:00:908,580803,580803,0,0,21818539,0,4477 47,3,2024-09-07 09:28:01:117,1,458,3,0,600,5365,458,0 48,0,2024-09-07 09:28:01:522,116636,0.3,116590,0.4,232188,0.2,309288,1.50 48,1,2024-09-07 09:28:01:032,806152,806152,0,0,378659148151,3930136120,801435,4260,457,384,391710,0 48,2,2024-09-07 09:28:00:699,579191,579191,0,0,20585055,0,3411 48,3,2024-09-07 09:28:00:754,1,458,0,0,339,3604,458,0 49,0,2024-09-07 09:28:01:721,116026,0.3,114132,0.5,221397,0.3,302675,1.75 49,1,2024-09-07 09:28:01:024,805426,805426,0,0,378058512632,3930465642,800265,3954,1207,382,391809,0 49,2,2024-09-07 09:28:01:799,583245,583245,0,0,22842823,0,4426 49,3,2024-09-07 09:28:01:416,1,458,2,0,992,5341,458,0 50,0,2024-09-07 09:28:01:517,112792,0.3,111207,0.4,224082,0.2,299070,1.75 50,1,2024-09-07 09:28:01:010,807973,807973,0,0,380085975836,3927932437,802930,4469,574,368,391565,0 50,2,2024-09-07 09:28:01:068,579770,579770,0,0,20612178,0,4490 50,3,2024-09-07 09:28:01:291,1,458,1,0,567,4484,458,0 51,0,2024-09-07 09:28:01:692,118271,0.3,115933,0.4,226060,0.2,307782,1.75 51,1,2024-09-07 09:28:01:690,808389,808389,0,0,380191920373,3918860294,804273,3067,1049,365,391706,0 51,2,2024-09-07 09:28:01:319,577953,577953,0,0,19683311,0,3337 51,3,2024-09-07 09:28:01:034,1,458,1,0,678,3611,458,0 52,0,2024-09-07 09:28:01:465,117416,0.5,117179,0.7,234680,0.5,311510,2.00 52,1,2024-09-07 09:28:00:574,804346,804346,0,0,377372110445,3948609178,793125,9777,1444,368,391722,0 52,2,2024-09-07 09:28:01:764,574967,574929,38,0,26835514,0,6742 52,3,2024-09-07 09:28:00:674,1,458,1,0,1782,5990,458,0 53,0,2024-09-07 09:28:01:737,114623,0.6,111231,0.8,232770,0.7,305562,2.25 53,1,2024-09-07 09:28:00:770,802308,802308,0,0,377827073926,3965171613,788981,9800,3527,367,391968,0 53,2,2024-09-07 09:28:01:304,582744,582743,1,0,24222341,0,5455 53,3,2024-09-07 09:28:00:700,1,458,2,0,308,4347,458,0 54,0,2024-09-07 09:28:01:615,109705,0.6,110132,0.7,219169,0.4,293564,2.25 54,1,2024-09-07 09:28:00:580,804436,804436,0,0,377759645230,3927937661,795841,7201,1394,366,391659,0 54,2,2024-09-07 09:28:00:865,581953,581921,32,0,27657206,0,6397 54,3,2024-09-07 09:28:00:769,1,458,0,0,676,6368,458,0 55,0,2024-09-07 09:28:01:765,112887,0.6,116414,0.7,235972,0.5,306962,2.25 55,1,2024-09-07 09:28:00:773,805455,805455,0,0,377965110670,3927283937,797157,7116,1182,365,391731,0 55,2,2024-09-07 09:28:00:729,575731,575675,56,0,26368167,0,7239 55,3,2024-09-07 09:28:00:674,1,458,1,0,304,4446,458,0 56,0,2024-09-07 09:28:01:555,119130,1.2,112269,1.1,231475,1.7,310010,2.75 56,1,2024-09-07 09:28:00:576,801412,801412,0,0,376803023545,3974359844,789739,9298,2375,381,391867,0 56,2,2024-09-07 09:28:01:306,577275,577153,122,0,27185462,0,7432 56,3,2024-09-07 09:28:01:060,1,458,14,0,705,5388,458,0 57,0,2024-09-07 09:28:00:942,114252,1.6,114069,1.3,228742,2.2,306001,3.25 57,1,2024-09-07 09:28:00:988,803231,803231,0,0,376387969690,3944752998,793352,8404,1475,366,392032,0 57,2,2024-09-07 09:28:01:323,581640,581640,0,0,27952294,0,3317 57,3,2024-09-07 09:28:01:745,1,458,9,0,455,5288,458,0 58,0,2024-09-07 09:28:00:575,109594,0.8,106525,0.9,222763,0.9,291907,2.50 58,1,2024-09-07 09:28:00:578,804072,804069,0,3,378045120381,3955375945,792931,8834,2304,367,391603,3 58,2,2024-09-07 09:28:01:083,581489,581489,0,0,26158256,0,2902 58,3,2024-09-07 09:28:01:068,1,458,13,0,1043,4883,458,0 59,0,2024-09-07 09:28:01:737,115467,0.7,114941,0.9,229704,0.7,304892,2.50 59,1,2024-09-07 09:28:00:822,803310,803310,0,0,377397362118,3954612270,791624,9565,2121,369,391653,0 59,2,2024-09-07 09:28:00:589,575499,575499,0,0,25818404,0,3727 59,3,2024-09-07 09:28:01:736,1,458,1,0,1015,5914,458,0 60,0,2024-09-07 09:28:01:724,115188,0.5,115139,0.7,231034,0.5,307057,1.75 60,1,2024-09-07 09:28:00:776,806533,806533,0,0,379027373487,3930650273,801373,4437,723,370,392031,0 60,2,2024-09-07 09:28:01:144,578660,578660,0,0,23795945,0,3811 60,3,2024-09-07 09:28:01:267,1,458,307,0,409,5499,458,0 61,0,2024-09-07 09:28:01:525,115894,0.7,116553,0.8,232150,0.7,309441,2.00 61,1,2024-09-07 09:28:00:791,804245,804245,0,0,378000286309,3955519809,795884,6927,1434,382,392127,0 61,2,2024-09-07 09:28:01:131,579857,579790,67,0,24344900,0,6411 61,3,2024-09-07 09:28:01:696,1,458,171,0,479,6102,458,0 62,0,2024-09-07 09:28:01:712,113134,0.5,115938,0.7,221358,0.5,300701,2.00 62,1,2024-09-07 09:28:01:111,808907,808901,0,6,380148227139,3917135873,805061,3578,262,365,391975,6 62,2,2024-09-07 09:28:01:649,581399,581398,1,0,24450246,0,5555 62,3,2024-09-07 09:28:01:144,1,458,1,0,482,3841,458,0 63,0,2024-09-07 09:28:01:460,114037,0.4,113834,0.5,228222,0.3,303634,1.75 63,1,2024-09-07 09:28:00:807,806562,806556,0,6,378879168326,3930389068,801573,4250,733,381,391800,6 63,2,2024-09-07 09:28:00:761,578086,578086,0,0,22076243,0,4369 63,3,2024-09-07 09:28:01:741,1,458,9,0,667,4670,458,0 64,0,2024-09-07 09:28:01:575,114695,0.5,114782,0.6,228931,0.5,304279,1.75 64,1,2024-09-07 09:28:00:752,805939,805939,0,0,378633009761,3941462174,799163,5072,1704,370,391783,0 64,2,2024-09-07 09:28:01:142,581754,581735,19,0,22195021,0,6121 64,3,2024-09-07 09:28:01:142,1,458,2,0,265,4312,458,0 65,0,2024-09-07 09:28:01:705,115484,0.7,115766,0.8,231148,0.7,307824,2.00 65,1,2024-09-07 09:28:00:862,803939,803939,0,0,377772653067,3944247241,798819,4580,540,381,391901,0 65,2,2024-09-07 09:28:01:699,578454,578454,0,0,26268462,0,3367 65,3,2024-09-07 09:28:01:696,1,458,8,0,782,5593,458,0 66,0,2024-09-07 09:28:01:790,114595,0.5,114398,0.7,228779,0.5,304091,2.00 66,1,2024-09-07 09:28:01:293,805918,805918,0,0,378449252712,3938825455,800414,4978,526,380,391743,0 66,2,2024-09-07 09:28:01:132,583983,583980,3,0,23688509,0,5455 66,3,2024-09-07 09:28:01:082,1,458,1,0,291,3913,458,0 67,0,2024-09-07 09:28:01:431,111220,0.4,111142,0.6,222840,0.4,296438,2.00 67,1,2024-09-07 09:28:00:770,805952,805951,0,1,377965994999,3934572690,800432,4725,794,380,391787,1 67,2,2024-09-07 09:28:00:583,582930,582915,15,0,22762951,0,6205 67,3,2024-09-07 09:28:01:753,1,458,1,0,392,4387,458,0 68,0,2024-09-07 09:28:00:613,116373,0.5,116296,0.7,231574,0.5,309144,2.00 68,1,2024-09-07 09:28:00:588,802480,802480,0,0,376662765178,3963051849,791141,8004,3335,381,391953,0 68,2,2024-09-07 09:28:01:052,574229,574129,100,0,29088544,0,8578 68,3,2024-09-07 09:28:00:729,1,458,8,0,417,5473,458,0 69,0,2024-09-07 09:28:01:738,116616,0.7,117329,0.8,233958,0.8,310453,2.25 69,1,2024-09-07 09:28:01:017,802064,802064,0,0,376900838132,3969927246,791704,8086,2274,384,391994,0 69,2,2024-09-07 09:28:01:742,576522,576493,29,0,31006013,0,6912 69,3,2024-09-07 09:28:00:761,1,458,8,0,698,7036,458,0 70,0,2024-09-07 09:28:01:537,114316,1.0,114689,1.0,230739,0.8,305676,2.50 70,1,2024-09-07 09:28:00:800,805999,805999,0,0,378443512886,3927223203,799512,5787,700,366,391725,0 70,2,2024-09-07 09:28:01:336,581719,581719,0,0,26158772,0,4323 70,3,2024-09-07 09:28:00:753,1,458,10,0,854,5225,458,0 71,0,2024-09-07 09:28:01:376,109929,0.9,109773,1.0,220644,1.2,294623,2.75 71,1,2024-09-07 09:28:01:598,804192,804192,0,0,377589735325,3939197540,794663,8449,1080,368,391738,0 71,2,2024-09-07 09:28:01:067,580952,580952,0,0,26257473,0,4352 71,3,2024-09-07 09:28:01:760,1,458,2,0,644,5715,458,0 72,0,2024-09-07 09:28:01:050,120107,0.5,117545,0.7,229032,0.4,311667,2.00 72,1,2024-09-07 09:28:01:021,803140,803140,0,0,377328218968,3956112597,791865,9257,2018,369,391819,0 72,2,2024-09-07 09:28:01:776,574848,574848,0,0,27853666,0,3983 72,3,2024-09-07 09:28:01:767,1,458,6,0,564,6674,458,0 73,0,2024-09-07 09:28:01:099,113300,0.4,116209,0.6,237507,0.4,308791,2.00 73,1,2024-09-07 09:28:00:772,805227,805227,0,0,378283318706,3927127298,799605,5202,420,367,391858,0 73,2,2024-09-07 09:28:01:750,577554,577554,0,0,27548752,0,3701 73,3,2024-09-07 09:28:00:969,1,458,8,0,486,5876,458,0 74,0,2024-09-07 09:28:01:328,117230,0.5,119926,0.7,228678,0.5,310522,2.25 74,1,2024-09-07 09:28:00:639,804503,804503,0,0,378207532508,3945162389,796257,6634,1612,381,391762,0 74,2,2024-09-07 09:28:01:005,580047,580047,0,0,25248417,0,4253 74,3,2024-09-07 09:28:01:442,1,458,8,0,522,5729,458,0 75,0,2024-09-07 09:28:01:782,112054,0.5,111311,0.7,223353,0.4,298194,2.25 75,1,2024-09-07 09:28:01:594,804861,804861,0,0,377097906866,3930627794,797856,6176,829,380,391739,0 75,2,2024-09-07 09:28:01:349,581522,581522,0,0,28511558,0,4766 75,3,2024-09-07 09:28:01:066,1,458,1,0,702,5784,458,0 76,0,2024-09-07 09:28:00:603,114464,0.5,113638,0.7,228232,0.4,305035,2.25 76,1,2024-09-07 09:28:00:810,804531,804531,0,0,376964689679,3929380864,799093,4610,828,382,391692,0 76,2,2024-09-07 09:28:01:070,579423,579422,1,0,24184755,0,5144 76,3,2024-09-07 09:28:01:143,1,458,2,0,175,4231,458,0 77,0,2024-09-07 09:28:01:721,114691,0.5,115064,0.7,230405,0.5,305404,2.00 77,1,2024-09-07 09:28:01:061,805301,805301,0,0,378499173451,3945829909,799458,5336,507,381,391869,0 77,2,2024-09-07 09:28:01:281,578150,578150,0,0,23816390,0,3890 77,3,2024-09-07 09:28:01:101,1,458,12,0,401,4986,458,0 78,0,2024-09-07 09:28:01:718,116374,0.4,115723,0.6,232389,0.4,307849,2.00 78,1,2024-09-07 09:28:00:611,806089,806089,0,0,377301445987,3926948196,798218,6302,1569,367,391670,0 78,2,2024-09-07 09:28:01:417,580098,580085,13,0,22558174,0,8313 78,3,2024-09-07 09:28:01:133,1,458,1,0,181,3938,458,0 79,0,2024-09-07 09:28:01:349,109314,0.4,111891,0.6,229161,0.3,297721,2.25 79,1,2024-09-07 09:28:00:576,806920,806920,0,0,378399204828,3919950268,800266,5345,1309,367,391682,0 79,2,2024-09-07 09:28:01:068,583304,583304,0,0,21955750,0,4195 79,3,2024-09-07 09:28:00:752,1,458,8,0,418,5557,458,0 80,0,2024-09-07 09:28:01:088,112123,0.5,115421,0.6,220690,0.4,298784,2.00 80,1,2024-09-07 09:28:01:626,804749,804749,0,0,377713671771,3932264556,799124,5202,423,368,392269,0 80,2,2024-09-07 09:28:01:094,581496,581496,0,0,22389868,0,4433 80,3,2024-09-07 09:28:00:585,1,458,7,0,190,5160,458,0 81,0,2024-09-07 09:28:01:553,115472,0.5,118270,0.7,225798,0.5,306485,2.00 81,1,2024-09-07 09:28:01:650,803866,803866,0,0,377600947989,3942586978,797838,5487,541,382,391879,0 81,2,2024-09-07 09:28:01:133,576544,576481,63,0,24766722,0,5932 81,3,2024-09-07 09:28:01:130,1,458,2,0,719,5394,458,0 82,0,2024-09-07 09:28:01:766,116769,0.5,116942,0.7,234414,0.5,310776,2.00 82,1,2024-09-07 09:28:00:596,806420,806416,0,4,378416568180,3934440043,801670,3927,819,381,391768,4 82,2,2024-09-07 09:28:01:697,579339,579339,0,0,21184973,0,4484 82,3,2024-09-07 09:28:01:772,1,458,2,0,363,4640,458,0 83,0,2024-09-07 09:28:01:537,115413,0.6,115324,0.7,229999,0.6,305789,2.25 83,1,2024-09-07 09:28:00:551,804257,804257,0,0,377452619266,3933904649,798577,5230,450,382,391709,0 83,2,2024-09-07 09:28:00:770,582436,582411,25,0,22742978,0,5612 83,3,2024-09-07 09:28:00:752,1,458,4,0,1260,5822,458,0 84,0,2024-09-07 09:28:01:789,110595,0.7,110601,0.9,220464,0.6,295409,2.25 84,1,2024-09-07 09:28:01:046,803506,803506,0,0,376946397437,3937281293,795243,7210,1053,367,391967,0 84,2,2024-09-07 09:28:00:572,580195,580165,30,0,29552241,0,5971 84,3,2024-09-07 09:28:01:143,1,458,17,0,908,6744,458,0 85,0,2024-09-07 09:28:01:038,112516,0.6,112424,0.8,238617,0.6,308781,2.25 85,1,2024-09-07 09:28:00:561,801430,801430,0,0,376326628773,3968818545,789834,9505,2091,381,392006,0 85,2,2024-09-07 09:28:00:871,575188,575188,0,0,27396992,0,3656 85,3,2024-09-07 09:28:00:688,1,458,1,0,789,5372,458,0 86,0,2024-09-07 09:28:00:886,116462,0.7,119875,0.8,229326,0.7,310405,2.25 86,1,2024-09-07 09:28:00:831,803756,803756,0,0,378103142881,3959783150,794010,8112,1634,366,391961,0 86,2,2024-09-07 09:28:00:862,575924,575923,1,0,30103725,0,5004 86,3,2024-09-07 09:28:00:602,1,458,2,0,308,6637,458,0 87,0,2024-09-07 09:28:01:291,115907,0.9,115680,0.9,231406,1.2,309235,2.50 87,1,2024-09-07 09:28:00:599,802711,802711,0,0,377202374033,3951493079,792103,8989,1619,366,392076,0 87,2,2024-09-07 09:28:01:078,580580,580574,6,0,26585407,0,6323 87,3,2024-09-07 09:28:01:795,1,458,2,0,473,6923,458,0 88,0,2024-09-07 09:28:01:486,111041,0.4,111851,0.6,223163,0.4,297323,1.75 88,1,2024-09-07 09:28:00:579,802401,802401,0,0,377011885868,3945758036,792328,8032,2041,365,392084,0 88,2,2024-09-07 09:28:00:689,582288,582288,0,0,28962857,0,4465 88,3,2024-09-07 09:28:01:267,1,458,10,0,435,5389,458,0 89,0,2024-09-07 09:28:01:810,119086,0.4,115308,0.6,228081,0.3,310037,1.75 89,1,2024-09-07 09:28:00:552,802120,802120,0,0,377270857773,3963783085,792384,8265,1471,382,391866,0 89,2,2024-09-07 09:28:01:133,575733,575733,0,0,26711136,0,3173 89,3,2024-09-07 09:28:01:795,1,458,2,0,468,7694,458,0 90,0,2024-09-07 09:28:01:706,111918,0.4,115038,0.6,234408,0.4,306017,2.00 90,1,2024-09-07 09:28:00:616,803674,803674,0,0,377981292422,3953023431,796804,6354,516,380,391825,0 90,2,2024-09-07 09:28:01:429,576170,576170,0,0,28825318,0,3608 90,3,2024-09-07 09:28:00:933,1,458,1,0,322,5429,458,0 91,0,2024-09-07 09:28:01:000,116767,0.5,112988,0.6,236091,0.5,310100,1.75 91,1,2024-09-07 09:28:00:560,801918,801918,0,0,376939522661,3962446228,791774,8504,1640,381,392047,0 91,2,2024-09-07 09:28:01:340,580490,580490,0,0,25378354,0,3526 91,3,2024-09-07 09:28:00:613,1,458,1,0,216,4425,458,0 92,0,2024-09-07 09:28:01:481,113523,0.4,116389,0.6,222149,0.4,300912,1.75 92,1,2024-09-07 09:28:00:587,804959,804959,0,0,377063579577,3933794154,799400,4832,727,381,392136,0 92,2,2024-09-07 09:28:01:364,584001,584001,0,0,22524108,0,3259 92,3,2024-09-07 09:28:01:016,1,458,5,0,167,4056,458,0 93,0,2024-09-07 09:28:00:953,114340,0.3,117167,0.5,223909,0.3,303773,1.75 93,1,2024-09-07 09:28:00:810,804423,804423,0,0,377813708500,3940617278,796243,6792,1388,366,391776,0 93,2,2024-09-07 09:28:00:932,577887,577887,0,0,27096038,0,4845 93,3,2024-09-07 09:28:01:421,1,458,2,0,190,4067,458,0 94,0,2024-09-07 09:28:01:641,114736,0.3,115616,0.5,230805,0.3,305820,1.75 94,1,2024-09-07 09:28:00:595,804685,804685,0,0,378220765657,3941480539,799879,4596,210,381,391850,0 94,2,2024-09-07 09:28:00:772,578150,578150,0,0,22730246,0,2443 94,3,2024-09-07 09:28:01:708,1,458,1,0,576,5892,458,0 95,0,2024-09-07 09:28:01:354,116397,0.4,116236,0.5,233090,0.3,310358,1.75 95,1,2024-09-07 09:28:00:851,806126,806126,0,0,378510767807,3930495661,799970,5718,438,365,391786,0 95,2,2024-09-07 09:28:01:037,578296,578296,0,0,22682505,0,3308 95,3,2024-09-07 09:28:01:718,1,458,0,0,718,6327,458,0 96,0,2024-09-07 09:28:01:027,115082,0.4,115340,0.5,230344,0.3,305077,1.75 96,1,2024-09-07 09:28:01:624,804282,804282,0,0,377289755233,3935338062,798408,4969,905,384,391955,0 96,2,2024-09-07 09:28:01:273,583323,583323,0,0,23661228,0,4180 96,3,2024-09-07 09:28:01:143,1,458,4,0,411,5051,458,0 97,0,2024-09-07 09:28:01:320,111329,0.3,110888,0.4,222594,0.2,296216,1.50 97,1,2024-09-07 09:28:00:774,805715,805715,0,0,379230222732,3932006381,800533,4247,935,367,392140,0 97,2,2024-09-07 09:28:00:611,581275,581275,0,0,22798076,0,3679 97,3,2024-09-07 09:28:00:571,1,458,5,0,242,5433,458,0 98,0,2024-09-07 09:28:01:920,115712,0.3,116082,0.4,232741,0.2,308822,1.50 98,1,2024-09-07 09:28:00:572,805287,805287,0,0,378263293306,3939099708,800210,4284,793,382,391997,0 98,2,2024-09-07 09:28:00:772,577335,577335,0,0,22760924,0,4336 98,3,2024-09-07 09:28:00:699,1,458,3,0,840,7301,458,0 99,0,2024-09-07 09:28:01:465,117247,0.3,117868,0.5,234384,0.3,313089,1.75 99,1,2024-09-07 09:28:01:737,805469,805469,0,0,377497267039,3926872393,800482,4150,837,380,392069,0 99,2,2024-09-07 09:28:01:424,578891,578891,0,0,26993795,0,4276 99,3,2024-09-07 09:28:00:606,1,458,14,0,606,4820,458,0 100,0,2024-09-07 09:28:01:511,115285,0.8,115703,0.9,230471,0.9,308246,2.50 100,1,2024-09-07 09:28:00:551,800664,800664,0,0,375758453394,3973342461,787896,9819,2949,378,391989,0 100,2,2024-09-07 09:28:01:819,579509,579498,11,0,26871552,0,5417 100,3,2024-09-07 09:28:01:732,1,458,0,0,559,7347,458,0 101,0,2024-09-07 09:28:01:715,113393,1.1,110442,1.0,216508,1.0,296330,2.25 101,1,2024-09-07 09:28:00:560,801468,801468,0,0,376166814302,3955746906,789809,9292,2367,368,391847,0 101,2,2024-09-07 09:28:01:776,578349,578349,0,0,31671186,0,4871 101,3,2024-09-07 09:28:00:942,1,458,25,0,1250,7342,458,0 102,0,2024-09-07 09:28:01:012,113168,0.6,116744,0.7,236761,0.5,309837,2.00 102,1,2024-09-07 09:28:01:153,801823,801823,0,0,376880117440,3959924515,790868,9014,1941,369,391891,0 102,2,2024-09-07 09:28:01:747,576747,576693,54,0,25271241,0,6768 102,3,2024-09-07 09:28:01:616,1,458,2,0,466,4953,458,0 103,0,2024-09-07 09:28:01:628,120008,0.6,120045,0.7,226174,0.6,311250,2.00 103,1,2024-09-07 09:28:01:626,801191,801191,0,0,376400063585,3974476614,788149,9852,3190,381,391862,0 103,2,2024-09-07 09:28:00:590,576120,576120,0,0,28335864,0,3766 103,3,2024-09-07 09:28:00:761,1,458,0,0,916,5224,458,0 104,0,2024-09-07 09:28:01:014,115400,0.7,115762,0.9,230469,0.7,308666,2.25 104,1,2024-09-07 09:28:01:617,803450,803450,0,0,377414343289,3960339434,792213,9338,1899,365,392168,0 104,2,2024-09-07 09:28:01:673,578829,578829,0,0,27086243,0,3941 104,3,2024-09-07 09:28:01:423,1,458,9,0,1245,8763,458,0 105,0,2024-09-07 09:28:01:026,110453,0.7,107560,1.0,225368,0.8,296223,2.50 105,1,2024-09-07 09:28:00:555,804840,804840,0,0,377248521302,3951309362,794772,8470,1598,366,392009,0 105,2,2024-09-07 09:28:01:323,581089,581089,0,0,27105436,0,3509 105,3,2024-09-07 09:28:01:304,1,458,3,0,399,6694,458,0 106,0,2024-09-07 09:28:01:012,110898,0.7,113675,0.8,232670,0.7,304431,2.25 106,1,2024-09-07 09:28:01:761,803455,803455,0,0,376991362618,3955884817,791765,10052,1638,368,391914,0 106,2,2024-09-07 09:28:00:756,575908,575908,0,0,25773835,0,2920 106,3,2024-09-07 09:28:00:695,1,458,6,0,470,5970,458,0 107,0,2024-09-07 09:28:01:116,114884,0.8,115111,0.9,230051,0.9,306480,2.25 107,1,2024-09-07 09:28:00:616,801776,801776,0,0,376437148156,3964480713,791023,9692,1061,381,392234,0 107,2,2024-09-07 09:28:01:296,576417,576416,1,0,27344930,0,5024 107,3,2024-09-07 09:28:01:763,1,458,0,0,370,6736,458,0 108,0,2024-09-07 09:28:01:807,115768,0.5,116666,0.6,231941,0.4,309297,1.75 108,1,2024-09-07 09:28:01:295,804967,804967,0,0,378171216665,3939786444,798782,5440,745,367,391857,0 108,2,2024-09-07 09:28:01:775,578389,578389,0,0,25344629,0,4246 108,3,2024-09-07 09:28:01:332,1,458,0,0,749,8798,458,0 109,0,2024-09-07 09:28:01:777,113795,0.4,112935,0.6,226083,0.3,301942,1.75 109,1,2024-09-07 09:28:00:593,801941,801941,0,0,377359476082,3957554860,794479,6274,1188,382,392132,0 109,2,2024-09-07 09:28:00:921,580380,580380,0,0,24818243,0,3617 109,3,2024-09-07 09:28:01:142,1,458,19,0,379,5261,458,0 110,0,2024-09-07 09:28:01:757,111984,0.3,109013,0.5,228370,0.3,299396,1.75 110,1,2024-09-07 09:28:01:649,805892,805892,0,0,377882003050,3918680139,800492,4221,1179,369,392045,0 110,2,2024-09-07 09:28:01:305,580037,580037,0,0,23786211,0,4067 110,3,2024-09-07 09:28:00:690,1,458,5,0,722,6440,458,0 111,0,2024-09-07 09:28:01:425,115927,0.3,115128,0.5,230754,0.3,307704,1.75 111,1,2024-09-07 09:28:01:001,806836,806836,0,0,380362139921,3941428434,802904,3577,355,380,391690,0 111,2,2024-09-07 09:28:01:118,576625,576625,0,0,23271238,0,4823 111,3,2024-09-07 09:28:00:918,1,458,2,0,379,5235,458,0 112,0,2024-09-07 09:28:00:915,117787,0.3,117160,0.4,234901,0.2,311814,1.50 112,1,2024-09-07 09:28:00:824,805630,805630,0,0,378607359808,3927105089,800898,4119,613,380,391624,0 112,2,2024-09-07 09:28:01:140,578015,578014,1,0,22892610,0,5036 112,3,2024-09-07 09:28:00:595,1,458,3,0,282,4336,458,0 113,0,2024-09-07 09:28:00:867,115506,0.3,115451,0.5,231278,0.2,307734,1.75 113,1,2024-09-07 09:28:01:686,808290,808290,0,0,379809867917,3915598273,804394,3307,589,366,391661,0 113,2,2024-09-07 09:28:01:305,584201,584201,0,0,20942774,0,3813 113,3,2024-09-07 09:28:00:685,1,458,24,0,340,4992,458,0 114,0,2024-09-07 09:28:00:874,111501,0.3,112298,0.4,223074,0.2,298721,1.75 114,1,2024-09-07 09:28:00:716,806007,806007,0,0,378381614052,3929025621,799671,4720,1616,381,391565,0 114,2,2024-09-07 09:28:00:873,583124,583123,1,0,21962812,0,5069 114,3,2024-09-07 09:28:01:278,1,458,8,0,395,3793,458,0 115,0,2024-09-07 09:28:00:572,117289,0.3,117696,0.4,234916,0.2,311988,1.50 115,1,2024-09-07 09:28:00:573,806138,806138,0,0,378286235718,3928995187,799640,5230,1268,382,391757,0 115,2,2024-09-07 09:28:01:130,578065,578065,0,0,21725724,0,4382 115,3,2024-09-07 09:28:01:003,1,458,0,0,159,2559,458,0 116,0,2024-09-07 09:28:01:710,116305,0.7,116150,0.8,233022,0.7,311610,2.00 116,1,2024-09-07 09:28:00:804,801862,801862,0,0,377183279580,3973117008,792296,7165,2401,380,392089,0 116,2,2024-09-07 09:28:01:764,576830,576830,0,0,28186027,0,4128 116,3,2024-09-07 09:28:00:931,1,458,1,0,415,5222,458,0 117,0,2024-09-07 09:28:00:970,116278,0.8,115714,0.8,231855,0.9,309603,2.00 117,1,2024-09-07 09:28:01:600,803072,803072,0,0,376744775426,3941213977,794740,7353,979,369,392033,0 117,2,2024-09-07 09:28:01:120,583473,583473,0,0,24432766,0,4303 117,3,2024-09-07 09:28:01:060,1,458,5,0,490,6673,458,0 118,0,2024-09-07 09:28:01:810,108018,0.5,110926,0.7,226430,0.4,296223,2.00 118,1,2024-09-07 09:28:00:629,802393,802393,0,0,376124960024,3953665658,790257,9116,3020,366,391907,0 118,2,2024-09-07 09:28:01:597,581292,581292,0,0,26566187,0,2842 118,3,2024-09-07 09:28:01:772,1,458,5,0,248,5218,458,0 119,0,2024-09-07 09:28:01:402,115561,0.6,115842,0.7,231684,0.5,308291,2.00 119,1,2024-09-07 09:28:00:598,803496,803496,0,0,377555774142,3952977001,793580,8565,1351,367,391857,0 119,2,2024-09-07 09:28:01:274,576902,576902,0,0,24718291,0,4174 119,3,2024-09-07 09:28:01:328,1,458,26,0,1358,8854,458,0 120,0,2024-09-07 09:28:01:618,114706,0.6,114394,0.8,229526,0.6,306251,2.25 120,1,2024-09-07 09:28:00:861,803695,803695,0,0,376747070134,3949233446,795254,7671,770,368,392144,0 120,2,2024-09-07 09:28:00:772,577322,577321,1,0,28805248,0,5281 120,3,2024-09-07 09:28:01:290,1,458,1,0,279,5510,458,0 121,0,2024-09-07 09:28:01:700,116154,1.4,115809,1.1,232152,1.8,309374,2.50 121,1,2024-09-07 09:28:01:658,804102,804102,0,0,378346774457,3950017262,797246,6394,462,367,391840,0 121,2,2024-09-07 09:28:01:130,578918,578918,0,0,27132266,0,4127 121,3,2024-09-07 09:28:00:727,1,458,79,0,269,5067,458,0 122,0,2024-09-07 09:28:02:199,112041,0.7,109245,0.8,229109,0.8,300473,2.00 122,1,2024-09-07 09:28:00:865,802477,802477,0,0,377492196016,3957638350,791737,9061,1679,366,392130,0 122,2,2024-09-07 09:28:01:345,582756,582683,73,0,30486646,0,5989 122,3,2024-09-07 09:28:00:594,1,458,23,0,512,8044,458,0 123,0,2024-09-07 09:28:01:008,112999,0.6,110162,0.7,230568,0.6,302148,2.00 123,1,2024-09-07 09:28:00:560,802449,802449,0,0,376861932877,3965600630,788746,11258,2445,369,392039,0 123,2,2024-09-07 09:28:01:021,576073,576072,1,0,25346182,0,5215 123,3,2024-09-07 09:28:01:150,1,458,1,0,478,5243,458,0 124,0,2024-09-07 09:28:01:069,118518,0.3,118540,0.5,223389,0.3,306772,1.75 124,1,2024-09-07 09:28:01:026,805700,805700,0,0,378247195405,3927279911,800401,4248,1051,367,392178,0 124,2,2024-09-07 09:28:01:034,578847,578794,53,0,22843430,0,6487 124,3,2024-09-07 09:28:00:761,1,458,11,0,490,4309,458,0 125,0,2024-09-07 09:28:01:533,116354,0.4,116162,0.6,233101,0.4,310297,1.75 125,1,2024-09-07 09:28:00:886,804103,804103,0,0,377649862165,3939037546,798180,5250,673,382,391813,0 125,2,2024-09-07 09:28:01:121,579808,579808,0,0,23762731,0,4534 125,3,2024-09-07 09:28:01:135,1,458,4,0,709,5563,458,0 126,0,2024-09-07 09:28:01:486,115044,0.4,118348,0.6,226352,0.4,305824,1.75 126,1,2024-09-07 09:28:00:555,806506,806506,0,0,379177012700,3923523261,802446,3766,294,365,391987,0 126,2,2024-09-07 09:28:00:610,583354,583354,0,0,24568181,0,4539 126,3,2024-09-07 09:28:00:908,1,458,1,0,268,5357,458,0 127,0,2024-09-07 09:28:01:702,111307,0.3,111627,0.5,222822,0.2,296631,1.50 127,1,2024-09-07 09:28:00:574,805458,805458,0,0,378291401057,3930400376,798083,6152,1223,364,392187,0 127,2,2024-09-07 09:28:00:638,579899,579899,0,0,22118005,0,3897 127,3,2024-09-07 09:28:01:701,1,458,44,0,968,4768,458,0 128,0,2024-09-07 09:28:01:533,116630,0.3,116698,0.4,233159,0.2,309574,1.50 128,1,2024-09-07 09:28:01:609,804407,804407,0,0,378477655253,3926331044,799478,4496,433,367,392031,0 128,2,2024-09-07 09:28:01:396,578351,578351,0,0,21542407,0,3171 128,3,2024-09-07 09:28:00:769,1,458,1,0,1082,6956,458,0 129,0,2024-09-07 09:28:01:066,118194,0.3,117688,0.5,235666,0.3,313460,1.50 129,1,2024-09-07 09:28:00:577,803020,803020,0,0,376254407552,3940540555,795241,6105,1674,379,391962,0 129,2,2024-09-07 09:28:00:694,578671,578667,4,0,23781780,0,5335 129,3,2024-09-07 09:28:00:691,1,458,5,0,506,6530,458,0 130,0,2024-09-07 09:28:01:956,116375,0.5,115928,0.6,233025,0.5,309660,1.75 130,1,2024-09-07 09:28:00:594,805836,805836,0,0,378277995969,3928784708,801697,3800,339,381,391825,0 130,2,2024-09-07 09:28:01:138,582918,582918,0,0,23629020,0,4067 130,3,2024-09-07 09:28:01:292,1,458,18,0,960,6861,458,0 131,0,2024-09-07 09:28:01:955,111010,0.3,111419,0.5,223771,0.3,296940,1.75 131,1,2024-09-07 09:28:01:820,805213,805213,0,0,377861917904,3942982379,799226,4935,1052,381,391865,0 131,2,2024-09-07 09:28:00:593,583732,583732,0,0,21622744,0,3979 131,3,2024-09-07 09:28:01:706,1,458,4,0,392,4963,458,0 132,0,2024-09-07 09:28:01:461,116382,0.4,117531,0.6,233722,0.4,311456,1.75 132,1,2024-09-07 09:28:00:584,801002,801002,0,0,376662108216,3964701683,789284,9586,2132,381,392532,0 132,2,2024-09-07 09:28:00:699,575889,575872,17,0,28198177,0,6451 132,3,2024-09-07 09:28:01:697,1,458,3,0,804,7748,458,0 133,0,2024-09-07 09:28:01:526,113293,0.4,115895,0.6,237749,0.4,309590,2.00 133,1,2024-09-07 09:28:00:596,801275,801275,0,0,376787904229,3970925377,790001,9659,1615,383,391914,0 133,2,2024-09-07 09:28:01:089,577531,577481,50,0,29675902,0,6861 133,3,2024-09-07 09:28:01:304,1,458,7,0,479,4742,458,0 134,0,2024-09-07 09:28:01:013,116854,0.6,116468,0.7,233266,0.6,310961,2.00 134,1,2024-09-07 09:28:00:720,802738,802738,0,0,376291551711,3944353947,792576,8094,2068,366,391718,0 134,2,2024-09-07 09:28:01:775,579152,579128,24,0,27730578,0,6207 134,3,2024-09-07 09:28:00:750,1,458,19,0,739,5639,458,0 135,0,2024-09-07 09:28:01:131,108132,0.7,108078,0.8,229503,0.8,295700,2.25 135,1,2024-09-07 09:28:01:599,803011,803011,0,0,377457717205,3968324071,792657,8976,1378,380,391805,0 135,2,2024-09-07 09:28:00:687,582281,582281,0,0,27056690,0,3981 135,3,2024-09-07 09:28:01:007,1,458,1,0,299,4021,458,0 136,0,2024-09-07 09:28:01:664,115350,0.5,115490,0.7,230024,0.4,306823,2.00 136,1,2024-09-07 09:28:01:449,804131,804131,0,0,376611668173,3946451165,795256,7901,974,381,391685,0 136,2,2024-09-07 09:28:01:144,578549,578549,0,0,25142589,0,3506 136,3,2024-09-07 09:28:01:108,1,458,3,0,637,5179,458,0 137,0,2024-09-07 09:28:01:086,118656,0.6,115611,0.7,226680,0.5,307690,2.00 137,1,2024-09-07 09:28:00:596,801880,801880,0,0,376912894143,3952807316,789471,9873,2536,366,391898,0 137,2,2024-09-07 09:28:01:709,576617,576617,0,0,28215554,0,3185 137,3,2024-09-07 09:28:00:794,1,458,92,0,484,5551,458,0 138,0,2024-09-07 09:28:01:973,115622,1.0,115605,0.9,232129,1.2,307824,2.25 138,1,2024-09-07 09:28:01:723,803264,803264,0,0,377137771732,3951307229,792609,8930,1725,368,391954,0 138,2,2024-09-07 09:28:00:598,578287,578287,0,0,25995291,0,4988 138,3,2024-09-07 09:28:00:616,1,458,1200,0,1200,7223,458,0 139,0,2024-09-07 09:28:01:365,112258,1.4,112386,1.1,225337,2.1,300492,2.50 139,1,2024-09-07 09:28:00:586,799313,799313,0,0,375505660088,3986558687,784155,11716,3442,380,392058,0 139,2,2024-09-07 09:28:00:696,578726,578726,0,0,29675614,0,3097 139,3,2024-09-07 09:28:01:663,1,458,1,0,432,5205,458,0 140,0,2024-09-07 09:28:01:595,112694,0.3,112010,0.4,224589,0.2,299772,1.75 140,1,2024-09-07 09:28:01:544,807863,807863,0,0,380436883371,3918754130,804282,3139,442,365,391606,0 140,2,2024-09-07 09:28:00:689,580870,580869,1,0,22276264,0,5036 140,3,2024-09-07 09:28:00:770,1,458,23,0,297,3713,458,0 141,0,2024-09-07 09:28:01:704,115727,0.3,118800,0.4,226884,0.2,307298,1.50 141,1,2024-09-07 09:28:00:861,806784,806784,0,0,379522350860,3938368081,800998,4829,957,379,391614,0 141,2,2024-09-07 09:28:01:687,577952,577952,0,0,22210755,0,3360 141,3,2024-09-07 09:28:01:050,1,458,11,0,391,5084,458,0 142,0,2024-09-07 09:28:01:365,117844,0.3,117144,0.5,234458,0.3,312410,1.75 142,1,2024-09-07 09:28:00:583,805185,805185,0,0,377722418938,3932910638,800479,4304,402,382,392102,0 142,2,2024-09-07 09:28:01:303,577821,577789,32,0,24216691,0,6028 142,3,2024-09-07 09:28:01:753,1,458,1,0,484,4964,458,0 143,0,2024-09-07 09:28:01:412,115430,0.4,115623,0.6,231476,0.4,307735,1.75 143,1,2024-09-07 09:28:00:561,806579,806579,0,0,378520848898,3925022377,801501,4532,546,367,391705,0 143,2,2024-09-07 09:28:00:783,583132,583132,0,0,23442485,0,3123 143,3,2024-09-07 09:28:01:157,1,458,6,0,462,5474,458,0 144,0,2024-09-07 09:28:01:674,107836,0.6,110795,0.8,225920,0.5,296363,2.00 144,1,2024-09-07 09:28:00:575,802428,802428,0,0,377068776438,3954536262,794477,6114,1837,381,391657,0 144,2,2024-09-07 09:28:01:782,582283,582283,0,0,22145587,0,3673 144,3,2024-09-07 09:28:01:760,1,458,1,0,249,4535,458,0 145,0,2024-09-07 09:28:01:557,113074,0.6,113085,0.8,239932,0.5,308850,2.25 145,1,2024-09-07 09:28:00:560,801847,801847,0,0,376726283756,3962743074,791158,8822,1867,382,391759,0 145,2,2024-09-07 09:28:01:447,574038,573957,81,0,27385458,0,7814 145,3,2024-09-07 09:28:00:902,1,458,1,0,622,6366,458,0 146,0,2024-09-07 09:28:01:666,116590,0.6,116032,0.7,233486,0.6,310076,2.25 146,1,2024-09-07 09:28:01:597,802694,802694,0,0,376601985430,3961490526,789416,9751,3527,367,391770,0 146,2,2024-09-07 09:28:01:704,576167,576167,0,0,25917827,0,3290 146,3,2024-09-07 09:28:01:288,1,458,6,0,1520,8175,458,0 147,0,2024-09-07 09:28:01:749,116149,0.7,115954,0.8,231212,0.6,309043,2.25 147,1,2024-09-07 09:28:01:381,806321,806321,0,0,379222261102,3939856847,799592,5880,849,368,391791,0 147,2,2024-09-07 09:28:01:011,581313,581313,0,0,23404563,0,2968 147,3,2024-09-07 09:28:00:914,1,458,11,0,1626,7716,458,0 0,0,2024-09-07 09:28:11:711,112293,0.6,112262,0.7,238187,0.6,308249,2.00 0,1,2024-09-07 09:28:10:811,804886,804886,0,0,378454070591,3958889815,799067,5371,448,369,391896,0 0,2,2024-09-07 09:28:11:070,580273,580273,0,0,23292304,0,4480 0,3,2024-09-07 09:28:10:976,1,459,1,0,431,6717,459,0 1,0,2024-09-07 09:28:11:779,116316,1.1,115760,1.0,231985,1.5,310135,2.00 1,1,2024-09-07 09:28:10:560,804860,804860,0,0,377780320646,3950593464,798301,5229,1330,370,391859,0 1,2,2024-09-07 09:28:10:646,580892,580892,0,0,23127609,0,3380 1,3,2024-09-07 09:28:11:312,1,459,6,0,268,5550,459,0 2,0,2024-09-07 09:28:11:565,112656,0.6,112658,0.7,224824,0.6,299986,2.00 2,1,2024-09-07 09:28:10:862,807524,807524,0,0,379542273737,3936560580,804201,3028,295,380,391745,0 2,2,2024-09-07 09:28:11:265,584719,584719,0,0,21698616,0,3594 2,3,2024-09-07 09:28:10:690,1,459,1,0,357,4262,459,0 3,0,2024-09-07 09:28:11:749,114260,0.4,113942,0.6,227983,0.3,304073,2.00 3,1,2024-09-07 09:28:11:623,806004,806004,0,0,378571048970,3939585953,799646,5664,694,379,391716,0 3,2,2024-09-07 09:28:11:148,579418,579395,23,0,22801871,0,5851 3,3,2024-09-07 09:28:11:752,1,459,1,0,207,2981,459,0 4,0,2024-09-07 09:28:11:788,111783,0.4,114899,0.5,234224,0.3,305947,1.75 4,1,2024-09-07 09:28:10:598,802406,802406,0,0,376867552955,3985718607,789052,10269,3085,370,391992,0 4,2,2024-09-07 09:28:11:017,577000,577000,0,0,26824190,0,4528 4,3,2024-09-07 09:28:11:027,1,459,4,0,448,5959,459,0 5,0,2024-09-07 09:28:11:373,116314,0.4,116883,0.6,233355,0.4,309978,1.75 5,1,2024-09-07 09:28:10:757,804040,804040,0,0,377790152016,3977494642,792325,9352,2363,367,392005,0 5,2,2024-09-07 09:28:11:829,577147,577147,0,0,26833019,0,3582 5,3,2024-09-07 09:28:11:731,1,459,10,0,457,6225,459,0 6,0,2024-09-07 09:28:10:925,115084,0.4,114701,0.6,229147,0.4,305298,2.00 6,1,2024-09-07 09:28:10:746,806046,806046,0,0,377870095465,3947268910,797476,7145,1425,379,391702,0 6,2,2024-09-07 09:28:11:116,583500,583482,18,0,26021790,0,5535 6,3,2024-09-07 09:28:11:274,1,459,2,0,710,5820,459,0 7,0,2024-09-07 09:28:11:557,110799,0.5,111745,0.6,222534,0.4,296279,2.00 7,1,2024-09-07 09:28:10:853,804537,804537,0,0,378049474128,3968678259,793398,9155,1984,382,391747,0 7,2,2024-09-07 09:28:10:770,581705,581705,0,0,25395275,0,4791 7,3,2024-09-07 09:28:10:852,1,459,0,0,552,5326,459,0 8,0,2024-09-07 09:28:11:371,116388,0.3,116161,0.5,232807,0.3,309679,1.75 8,1,2024-09-07 09:28:11:044,803851,803851,0,0,377744470526,3968837116,791736,9342,2773,366,392853,0 8,2,2024-09-07 09:28:10:814,573966,573966,0,0,30013421,0,3250 8,3,2024-09-07 09:28:10:590,1,459,13,0,538,7292,459,0 9,0,2024-09-07 09:28:11:119,117481,0.4,114245,0.5,239162,0.3,313584,1.75 9,1,2024-09-07 09:28:10:555,803249,803249,0,0,377773937813,3976015364,791722,9104,2423,369,392001,0 9,2,2024-09-07 09:28:11:085,578420,578420,0,0,26761209,0,3360 9,3,2024-09-07 09:28:11:760,1,459,2,0,496,6368,459,0 10,0,2024-09-07 09:28:11:601,115882,0.4,115407,0.5,231715,0.3,308727,1.75 10,1,2024-09-07 09:28:10:584,805175,805175,0,0,377637943424,3956648117,794199,9219,1757,381,391741,0 10,2,2024-09-07 09:28:10:761,582855,582855,0,0,29584748,0,4264 10,3,2024-09-07 09:28:10:870,1,459,32,0,649,4971,459,0 11,0,2024-09-07 09:28:11:010,111242,0.4,107955,0.6,225634,0.4,297649,1.75 11,1,2024-09-07 09:28:10:571,805887,805887,0,0,378366653419,3965000166,794404,8856,2627,383,391756,0 11,2,2024-09-07 09:28:11:123,582350,582350,0,0,25958738,0,4130 11,3,2024-09-07 09:28:11:298,1,459,1,0,843,6315,459,0 12,0,2024-09-07 09:28:10:936,117668,0.4,117606,0.5,235297,0.3,313190,1.75 12,1,2024-09-07 09:28:10:933,805877,805877,0,0,378944537127,3946915163,800232,5142,503,370,391960,0 12,2,2024-09-07 09:28:11:543,577330,577330,0,0,24630506,0,3469 12,3,2024-09-07 09:28:11:065,1,459,11,0,386,6513,459,0 13,0,2024-09-07 09:28:11:441,117493,0.4,117090,0.6,233520,0.4,311638,1.75 13,1,2024-09-07 09:28:11:551,804004,804004,0,0,378182969337,3970439005,796363,6007,1634,382,391740,0 13,2,2024-09-07 09:28:10:599,581061,581061,0,0,22565138,0,3287 13,3,2024-09-07 09:28:11:762,1,459,1,0,522,6525,459,0 14,0,2024-09-07 09:28:10:565,116522,0.4,117453,0.6,232490,0.4,310082,1.75 14,1,2024-09-07 09:28:11:561,809522,809522,0,0,379763430178,3929294660,803264,5547,711,364,391673,0 14,2,2024-09-07 09:28:10:766,582304,582274,30,0,24746626,0,6104 14,3,2024-09-07 09:28:11:117,1,459,2,0,1168,4891,459,0 15,0,2024-09-07 09:28:11:558,111594,0.4,111443,0.6,223327,0.3,297134,2.00 15,1,2024-09-07 09:28:11:608,806605,806605,0,0,378785591566,3941709324,800375,5051,1179,381,391619,0 15,2,2024-09-07 09:28:11:003,585463,585463,0,0,20651883,0,3622 15,3,2024-09-07 09:28:11:405,1,459,1,0,1126,7100,459,0 16,0,2024-09-07 09:28:10:936,115221,0.5,115938,0.7,230853,0.4,307309,2.00 16,1,2024-09-07 09:28:10:567,806235,806235,0,0,378098428755,3950523634,799376,5742,1117,370,391917,0 16,2,2024-09-07 09:28:11:434,577863,577863,0,0,24388061,0,4719 16,3,2024-09-07 09:28:11:153,1,459,1,0,317,5547,459,0 17,0,2024-09-07 09:28:11:795,118735,0.6,115951,0.7,226805,0.6,307808,2.00 17,1,2024-09-07 09:28:10:569,804804,804804,0,0,378232596011,3967063913,796666,6424,1714,368,392075,0 17,2,2024-09-07 09:28:11:666,581678,581677,1,0,25040925,0,5050 17,3,2024-09-07 09:28:10:574,1,459,1,0,518,7250,459,0 18,0,2024-09-07 09:28:10:948,115071,0.7,115565,0.8,230546,0.7,307540,2.25 18,1,2024-09-07 09:28:11:638,807946,807946,0,0,379966286408,3935315661,803651,3857,438,367,391725,0 18,2,2024-09-07 09:28:11:760,583009,583009,0,0,21606349,0,3541 18,3,2024-09-07 09:28:10:904,1,459,1,0,1059,4440,459,0 19,0,2024-09-07 09:28:11:538,112877,0.6,113321,0.8,224835,0.6,299227,2.25 19,1,2024-09-07 09:28:10:569,808366,808366,0,0,379953859945,3937867054,802297,5147,922,367,391777,0 19,2,2024-09-07 09:28:11:760,586233,586233,0,0,20764253,0,3988 19,3,2024-09-07 09:28:11:129,1,459,2,0,524,3884,459,0 20,0,2024-09-07 09:28:11:372,112588,0.5,112543,0.6,224928,0.4,300580,2.00 20,1,2024-09-07 09:28:10:575,804956,804956,0,0,378885932703,3964647218,797292,6368,1296,369,391922,0 20,2,2024-09-07 09:28:10:928,579363,579363,0,0,24730113,0,3721 20,3,2024-09-07 09:28:10:593,1,459,152,0,468,7583,459,0 21,0,2024-09-07 09:28:11:134,115508,0.4,115577,0.6,231304,0.4,306555,1.75 21,1,2024-09-07 09:28:11:551,802852,802852,0,0,377158402662,3980076293,790201,9676,2975,368,392016,0 21,2,2024-09-07 09:28:11:069,575836,575816,20,0,30204416,0,5617 21,3,2024-09-07 09:28:11:413,1,459,3,0,713,6062,459,0 22,0,2024-09-07 09:28:11:717,117042,0.5,117486,0.7,234603,0.4,310818,2.00 22,1,2024-09-07 09:28:11:024,804352,804352,0,0,377342836977,3969079023,791608,9861,2883,382,391675,0 22,2,2024-09-07 09:28:10:759,578425,578399,26,0,24369944,0,6328 22,3,2024-09-07 09:28:11:068,1,459,5,0,228,3632,459,0 23,0,2024-09-07 09:28:11:367,115262,0.5,114784,0.7,229806,0.5,306206,2.25 23,1,2024-09-07 09:28:11:003,805460,805460,0,0,378618227772,3973727768,791619,9688,4153,365,391690,0 23,2,2024-09-07 09:28:11:092,585018,585018,0,0,23456363,0,3773 23,3,2024-09-07 09:28:11:755,1,459,2,0,720,5804,459,0 24,0,2024-09-07 09:28:10:845,112458,0.4,111759,0.5,224547,0.3,298514,1.75 24,1,2024-09-07 09:28:10:580,804601,804601,0,0,376758592018,3946277797,796346,6659,1596,367,392269,0 24,2,2024-09-07 09:28:11:071,582101,582101,0,0,28039071,0,3607 24,3,2024-09-07 09:28:11:687,1,459,1,0,468,5969,459,0 25,0,2024-09-07 09:28:11:380,120292,0.4,117135,0.6,229778,0.4,312778,1.75 25,1,2024-09-07 09:28:10:560,804023,804023,0,0,377982278887,3983011574,791100,10335,2588,371,391928,0 25,2,2024-09-07 09:28:11:610,575914,575914,0,0,28740677,0,3978 25,3,2024-09-07 09:28:11:003,1,459,5,0,532,5044,459,0 26,0,2024-09-07 09:28:11:721,116694,0.4,114085,0.6,239353,0.4,311523,2.00 26,1,2024-09-07 09:28:11:543,806390,806390,0,0,377786177763,3959334194,794404,9577,2409,380,391748,0 26,2,2024-09-07 09:28:10:869,579255,579255,0,0,29232890,0,4078 26,3,2024-09-07 09:28:11:712,1,459,30,0,796,5337,459,0 27,0,2024-09-07 09:28:11:724,116351,0.5,116542,0.6,231920,0.4,309434,2.25 27,1,2024-09-07 09:28:11:677,807423,807423,0,0,379405135501,3950262081,799948,6551,924,381,391626,0 27,2,2024-09-07 09:28:10:870,579908,579843,65,0,26279881,0,5699 27,3,2024-09-07 09:28:11:014,1,459,1,0,564,4169,459,0 28,0,2024-09-07 09:28:11:407,111968,0.4,111515,0.6,223853,0.3,298729,1.75 28,1,2024-09-07 09:28:10:796,807022,807022,0,0,379621762180,3957942167,799818,5505,1699,382,391698,0 28,2,2024-09-07 09:28:11:769,583734,583734,0,0,23658549,0,2915 28,3,2024-09-07 09:28:11:795,1,459,2,0,502,4801,459,0 29,0,2024-09-07 09:28:11:365,119395,0.3,116457,0.5,228146,0.2,310799,1.75 29,1,2024-09-07 09:28:11:571,809264,809264,0,0,379977124812,3928563342,804390,4139,735,368,391809,0 29,2,2024-09-07 09:28:10:862,577379,577379,0,0,22307428,0,4986 29,3,2024-09-07 09:28:10:963,1,459,1,0,459,5252,459,0 30,0,2024-09-07 09:28:11:462,115230,0.5,112057,0.7,234580,0.5,307226,2.00 30,1,2024-09-07 09:28:10:571,808051,808051,0,0,379779975093,3940547297,801957,5327,767,381,391672,0 30,2,2024-09-07 09:28:11:283,579995,579995,0,0,21936877,0,4192 30,3,2024-09-07 09:28:10:581,1,459,1,0,519,4462,459,0 31,0,2024-09-07 09:28:11:761,115981,0.5,116444,0.7,232534,0.4,310179,2.00 31,1,2024-09-07 09:28:10:574,811670,811670,0,0,380672600622,3899063692,808564,2520,586,356,391712,0 31,2,2024-09-07 09:28:11:283,580402,580402,0,0,23764935,0,3525 31,3,2024-09-07 09:28:11:706,1,459,1,0,220,3955,459,0 32,0,2024-09-07 09:28:11:433,112980,0.3,113573,0.5,226156,0.2,301747,1.50 32,1,2024-09-07 09:28:10:804,807634,807634,0,0,379229772998,3941893095,802496,4456,682,381,391646,0 32,2,2024-09-07 09:28:10:937,585134,585134,0,0,21514466,0,3922 32,3,2024-09-07 09:28:11:014,1,459,2,0,304,3509,459,0 33,0,2024-09-07 09:28:11:533,114380,0.3,113974,0.4,228177,0.2,304469,1.50 33,1,2024-09-07 09:28:10:577,808423,808423,0,0,379909029866,3935739402,801905,5413,1105,368,391730,0 33,2,2024-09-07 09:28:10:767,579761,579726,35,0,23599188,0,7012 33,3,2024-09-07 09:28:10:903,1,459,6,0,329,4302,459,0 34,0,2024-09-07 09:28:10:930,115583,0.3,118704,0.4,226892,0.2,305352,1.75 34,1,2024-09-07 09:28:11:044,809900,809900,0,0,381070582269,3915512635,808116,1772,12,367,391562,0 34,2,2024-09-07 09:28:10:773,580496,580496,0,0,22042583,0,4562 34,3,2024-09-07 09:28:11:688,1,459,1,0,299,3338,459,0 35,0,2024-09-07 09:28:10:858,115756,0.3,116405,0.5,233857,0.3,310754,1.75 35,1,2024-09-07 09:28:11:069,807629,807629,0,0,379672298079,3932497306,803048,3722,859,382,391769,0 35,2,2024-09-07 09:28:11:583,579878,579878,0,0,24035596,0,4055 35,3,2024-09-07 09:28:10:912,1,459,1,0,418,4559,459,0 36,0,2024-09-07 09:28:11:517,115228,0.4,115059,0.6,230145,0.4,305706,2.00 36,1,2024-09-07 09:28:10:583,806798,806798,0,0,378884213172,3955957283,796535,8254,2009,366,391759,0 36,2,2024-09-07 09:28:11:757,584275,584275,0,0,25462165,0,3875 36,3,2024-09-07 09:28:10:877,1,459,13,0,416,6366,459,0 37,0,2024-09-07 09:28:11:371,111104,0.5,111231,0.6,222271,0.4,296780,2.00 37,1,2024-09-07 09:28:10:569,805685,805678,0,7,378327909785,3952620263,795429,7694,2555,365,391770,0 37,2,2024-09-07 09:28:11:142,579569,579554,15,0,25495776,0,5815 37,3,2024-09-07 09:28:11:777,1,459,15,0,888,6746,459,0 38,0,2024-09-07 09:28:11:440,115519,0.5,112064,0.6,234533,0.4,306873,2.00 38,1,2024-09-07 09:28:11:606,806518,806518,0,0,378870221947,3961627382,794401,9639,2478,368,391821,0 38,2,2024-09-07 09:28:10:759,578028,577981,47,0,25175322,0,6710 38,3,2024-09-07 09:28:11:001,1,459,1,0,689,5879,459,0 39,0,2024-09-07 09:28:11:779,120225,0.6,117642,0.7,229323,0.5,312758,2.00 39,1,2024-09-07 09:28:10:719,805533,805533,0,0,377872461017,3963985681,791570,10773,3190,365,391658,0 39,2,2024-09-07 09:28:11:422,579602,579602,0,0,23823970,0,3391 39,3,2024-09-07 09:28:10:715,1,459,0,0,324,5011,459,0 40,0,2024-09-07 09:28:11:493,114715,0.8,115416,1.0,230104,0.8,307152,2.75 40,1,2024-09-07 09:28:10:577,806604,806604,0,0,378043786245,3955287591,795511,8991,2102,368,391668,0 40,2,2024-09-07 09:28:11:306,581938,581937,1,0,27767618,0,5137 40,3,2024-09-07 09:28:11:147,1,459,1,0,1028,6159,459,0 41,0,2024-09-07 09:28:11:038,110880,1.3,113387,1.2,216132,1.9,294298,3.00 41,1,2024-09-07 09:28:10:768,804577,804577,0,0,378643265865,3963364085,793897,8972,1708,369,391742,0 41,2,2024-09-07 09:28:10:759,581112,581112,0,0,26775053,0,4277 41,3,2024-09-07 09:28:11:676,1,459,1,0,366,4649,459,0 42,0,2024-09-07 09:28:11:482,116183,0.8,116031,0.9,232382,0.8,307761,2.50 42,1,2024-09-07 09:28:11:438,803154,803154,0,0,377701644778,3967258370,790363,10653,2138,380,391675,0 42,2,2024-09-07 09:28:11:133,576670,576670,0,0,26241777,0,3790 42,3,2024-09-07 09:28:11:013,1,459,1,0,892,4346,459,0 43,0,2024-09-07 09:28:10:922,115439,0.7,112492,0.9,235406,0.8,308809,2.25 43,1,2024-09-07 09:28:10:583,806612,806612,0,0,378869888043,3958695397,795121,9615,1876,366,391696,0 43,2,2024-09-07 09:28:11:735,579561,579561,0,0,26028586,0,3812 43,3,2024-09-07 09:28:11:760,1,459,64,0,571,6454,459,0 44,0,2024-09-07 09:28:10:865,116591,0.4,116758,0.6,233871,0.4,310632,2.00 44,1,2024-09-07 09:28:10:577,808226,808226,0,0,378896653345,3912944187,801724,5184,1318,356,391809,0 44,2,2024-09-07 09:28:11:267,580584,580584,0,0,21814656,0,4344 44,3,2024-09-07 09:28:11:093,1,459,2,0,817,5214,459,0 45,0,2024-09-07 09:28:11:772,110447,0.5,107810,0.7,226245,0.4,297749,2.00 45,1,2024-09-07 09:28:11:005,807478,807478,0,0,379671143494,3942036622,801780,5124,574,382,391917,0 45,2,2024-09-07 09:28:11:268,585108,585108,0,0,21992166,0,3596 45,3,2024-09-07 09:28:10:934,1,459,1,0,271,3861,459,0 46,0,2024-09-07 09:28:10:948,115007,0.4,114482,0.6,229740,0.4,305262,2.00 46,1,2024-09-07 09:28:10:575,809352,809352,0,0,380120340545,3923169440,804632,4128,592,366,391709,0 46,2,2024-09-07 09:28:10:593,578792,578792,0,0,22010967,0,4443 46,3,2024-09-07 09:28:11:131,1,459,1,0,908,5560,459,0 47,0,2024-09-07 09:28:11:105,115503,0.4,115791,0.6,231666,0.4,306841,1.75 47,1,2024-09-07 09:28:10:568,810005,810005,0,0,379605770147,3920291553,805124,4042,839,366,391641,0 47,2,2024-09-07 09:28:10:913,582196,582196,0,0,21836261,0,4477 47,3,2024-09-07 09:28:11:115,1,459,1,0,600,5366,459,0 48,0,2024-09-07 09:28:11:494,116720,0.3,116693,0.4,232394,0.2,309526,1.50 48,1,2024-09-07 09:28:11:039,807960,807960,0,0,379532257465,3939020844,803243,4260,457,384,391710,0 48,2,2024-09-07 09:28:10:700,580686,580686,0,0,20605621,0,3411 48,3,2024-09-07 09:28:10:754,1,459,1,0,339,3605,459,0 49,0,2024-09-07 09:28:11:714,116154,0.3,114255,0.5,221625,0.3,302997,1.75 49,1,2024-09-07 09:28:11:020,807168,807168,0,0,379057172083,3940693158,802006,3955,1207,382,391809,0 49,2,2024-09-07 09:28:11:799,584726,584726,0,0,22866243,0,4426 49,3,2024-09-07 09:28:11:421,1,459,1,0,992,5342,459,0 50,0,2024-09-07 09:28:11:513,113305,0.3,111722,0.4,225116,0.2,300390,1.75 50,1,2024-09-07 09:28:11:013,809695,809695,0,0,380895605850,3936139185,804652,4469,574,368,391565,0 50,2,2024-09-07 09:28:11:068,580482,580482,0,0,20619667,0,4490 50,3,2024-09-07 09:28:11:292,1,459,0,0,567,4484,459,0 51,0,2024-09-07 09:28:11:683,118366,0.3,116039,0.4,226259,0.2,308086,1.75 51,1,2024-09-07 09:28:11:684,810179,810179,0,0,381340010259,3930485007,806063,3067,1049,365,391706,0 51,2,2024-09-07 09:28:11:316,579488,579488,0,0,19703744,0,3337 51,3,2024-09-07 09:28:11:027,1,459,3,0,678,3614,459,0 52,0,2024-09-07 09:28:11:419,117508,0.5,117276,0.7,234890,0.5,311761,2.00 52,1,2024-09-07 09:28:10:585,806105,806105,0,0,378282111657,3957895432,794884,9777,1444,368,391722,0 52,2,2024-09-07 09:28:11:755,576495,576457,38,0,26888057,0,6742 52,3,2024-09-07 09:28:10:674,1,459,2,0,1782,5992,459,0 53,0,2024-09-07 09:28:11:732,114803,0.6,111415,0.8,233209,0.7,306085,2.25 53,1,2024-09-07 09:28:10:774,804144,804144,0,0,378743277348,3974475107,790817,9800,3527,367,391968,0 53,2,2024-09-07 09:28:11:298,583754,583753,1,0,24247863,0,5455 53,3,2024-09-07 09:28:10:699,1,459,129,0,308,4476,459,0 54,0,2024-09-07 09:28:11:617,110145,0.6,110560,0.7,220059,0.4,294732,2.25 54,1,2024-09-07 09:28:10:583,806126,806126,0,0,378440374774,3934892974,797530,7201,1395,366,391659,0 54,2,2024-09-07 09:28:10:869,583015,582983,32,0,27673003,0,6397 54,3,2024-09-07 09:28:10:765,1,459,1,0,676,6369,459,0 55,0,2024-09-07 09:28:11:763,113032,0.6,116548,0.7,236230,0.5,307273,2.25 55,1,2024-09-07 09:28:10:763,807114,807114,0,0,378671956543,3934515759,798816,7116,1182,365,391731,0 55,2,2024-09-07 09:28:10:729,577222,577166,56,0,26415405,0,7239 55,3,2024-09-07 09:28:10:674,1,459,8,0,304,4454,459,0 56,0,2024-09-07 09:28:11:588,119413,1.2,112570,1.1,232107,1.7,310776,2.75 56,1,2024-09-07 09:28:10:570,803157,803157,0,0,377613603796,3982816277,791483,9299,2375,381,391867,0 56,2,2024-09-07 09:28:11:305,578607,578485,122,0,27287819,0,7432 56,3,2024-09-07 09:28:11:070,1,459,42,0,705,5430,459,0 57,0,2024-09-07 09:28:10:961,114480,1.6,114282,1.3,229156,2.2,306570,3.25 57,1,2024-09-07 09:28:10:988,804935,804935,0,0,377239589276,3953838334,795040,8420,1475,366,392032,0 57,2,2024-09-07 09:28:11:319,582635,582635,0,0,28027851,0,3317 57,3,2024-09-07 09:28:11:743,1,459,5,0,455,5293,459,0 58,0,2024-09-07 09:28:10:558,110060,0.9,106986,1.0,223710,1.0,293621,2.50 58,1,2024-09-07 09:28:10:575,805778,805775,0,3,379000001038,3966193698,794427,9028,2320,367,391603,3 58,2,2024-09-07 09:28:11:071,582789,582789,0,0,26261107,0,2902 58,3,2024-09-07 09:28:11:068,1,459,1,0,1043,4884,459,0 59,0,2024-09-07 09:28:11:759,115721,0.7,115234,0.9,230258,0.7,305599,2.50 59,1,2024-09-07 09:28:10:812,805166,805166,0,0,378360591830,3964681736,793480,9565,2121,369,391653,0 59,2,2024-09-07 09:28:10:583,576781,576781,0,0,25859257,0,3727 59,3,2024-09-07 09:28:11:743,1,459,109,0,1015,6023,459,0 60,0,2024-09-07 09:28:11:792,115503,0.5,115492,0.7,231700,0.5,307999,1.75 60,1,2024-09-07 09:28:10:772,808261,808261,0,0,379989192097,3940535420,803101,4437,723,370,392031,0 60,2,2024-09-07 09:28:11:153,579803,579803,0,0,23825002,0,3811 60,3,2024-09-07 09:28:11:258,1,459,287,0,409,5786,459,0 61,0,2024-09-07 09:28:11:549,116061,0.7,116709,0.8,232470,0.7,309914,2.00 61,1,2024-09-07 09:28:10:770,806090,806090,0,0,378782851500,3963529859,797729,6927,1434,382,392127,0 61,2,2024-09-07 09:28:11:120,581317,581250,67,0,24388773,0,6411 61,3,2024-09-07 09:28:11:687,1,459,0,0,479,6102,459,0 62,0,2024-09-07 09:28:11:711,113440,0.5,116264,0.7,222008,0.5,301593,2.00 62,1,2024-09-07 09:28:11:111,810702,810696,0,6,381057158232,3926403547,806856,3578,262,365,391975,6 62,2,2024-09-07 09:28:11:644,582716,582715,1,0,24464132,0,5555 62,3,2024-09-07 09:28:11:146,1,459,4,0,482,3845,459,0 63,0,2024-09-07 09:28:11:457,114467,0.4,114292,0.5,229084,0.3,304775,1.75 63,1,2024-09-07 09:28:10:804,808373,808367,0,6,379749923053,3939284848,803384,4250,733,381,391800,6 63,2,2024-09-07 09:28:10:765,578906,578906,0,0,22089855,0,4369 63,3,2024-09-07 09:28:11:732,1,459,12,0,667,4682,459,0 64,0,2024-09-07 09:28:11:567,114808,0.5,114905,0.6,229162,0.5,304611,1.75 64,1,2024-09-07 09:28:10:750,807733,807733,0,0,379289869027,3948182655,800957,5072,1704,370,391783,0 64,2,2024-09-07 09:28:11:149,583146,583127,19,0,22221001,0,6121 64,3,2024-09-07 09:28:11:143,1,459,1,0,265,4313,459,0 65,0,2024-09-07 09:28:11:681,115616,0.7,115876,0.8,231400,0.7,308164,2.00 65,1,2024-09-07 09:28:10:862,805697,805697,0,0,378510049965,3951812645,800576,4581,540,381,391901,0 65,2,2024-09-07 09:28:11:694,579906,579906,0,0,26299005,0,3367 65,3,2024-09-07 09:28:11:683,1,459,5,0,782,5598,459,0 66,0,2024-09-07 09:28:11:768,114603,0.5,114402,0.7,228814,0.5,304091,2.00 66,1,2024-09-07 09:28:11:304,807658,807658,0,0,379170232485,3946186496,802154,4978,526,380,391743,0 66,2,2024-09-07 09:28:11:132,585425,585422,3,0,23717069,0,5455 66,3,2024-09-07 09:28:11:079,1,459,1,0,291,3914,459,0 67,0,2024-09-07 09:28:11:434,111598,0.4,111480,0.6,223498,0.4,297378,2.00 67,1,2024-09-07 09:28:10:772,807675,807674,0,1,378894859691,3944033459,802155,4725,794,380,391787,1 67,2,2024-09-07 09:28:10:585,583731,583716,15,0,22772397,0,6205 67,3,2024-09-07 09:28:11:756,1,459,1,0,392,4388,459,0 68,0,2024-09-07 09:28:10:567,116476,0.5,116405,0.7,231738,0.5,309387,2.00 68,1,2024-09-07 09:28:10:577,804212,804212,0,0,377195239643,3968557937,792872,8005,3335,381,391953,0 68,2,2024-09-07 09:28:11:052,575639,575539,100,0,29122163,0,8578 68,3,2024-09-07 09:28:10:737,1,459,0,0,417,5473,459,0 69,0,2024-09-07 09:28:11:744,116820,0.7,117553,0.8,234407,0.8,311079,2.25 69,1,2024-09-07 09:28:11:021,803748,803748,0,0,377618585375,3977295619,793387,8087,2274,384,391994,0 69,2,2024-09-07 09:28:11:733,578005,577976,29,0,31030169,0,6912 69,3,2024-09-07 09:28:10:760,1,459,8,0,698,7044,459,0 70,0,2024-09-07 09:28:11:537,114620,1.0,115032,1.0,231399,0.8,306562,2.50 70,1,2024-09-07 09:28:10:803,807808,807808,0,0,379291632643,3935841684,801321,5787,700,366,391725,0 70,2,2024-09-07 09:28:11:324,582860,582860,0,0,26176644,0,4323 70,3,2024-09-07 09:28:10:746,1,459,2,0,854,5227,459,0 71,0,2024-09-07 09:28:11:391,110214,0.9,110102,1.0,221198,1.2,295340,2.75 71,1,2024-09-07 09:28:11:599,805971,805971,0,0,378248663812,3945900863,796442,8449,1080,368,391738,0 71,2,2024-09-07 09:28:11:080,582159,582159,0,0,26300703,0,4352 71,3,2024-09-07 09:28:11:754,1,459,1,0,644,5716,459,0 72,0,2024-09-07 09:28:11:030,120667,0.5,118041,0.7,230020,0.5,312910,2.00 72,1,2024-09-07 09:28:11:024,804863,804863,0,0,378108695382,3964333441,793586,9258,2019,369,391819,0 72,2,2024-09-07 09:28:11:773,576292,576292,0,0,27926617,0,3983 72,3,2024-09-07 09:28:11:757,1,459,17,0,564,6691,459,0 73,0,2024-09-07 09:28:11:121,113583,0.4,116506,0.6,238186,0.4,309698,2.00 73,1,2024-09-07 09:28:10:766,807036,807036,0,0,379149351095,3936091881,801414,5202,420,367,391858,0 73,2,2024-09-07 09:28:11:757,579015,579015,0,0,27666843,0,3701 73,3,2024-09-07 09:28:10:969,1,459,1,0,486,5877,459,0 74,0,2024-09-07 09:28:11:322,117378,0.5,120096,0.7,228984,0.5,310961,2.25 74,1,2024-09-07 09:28:10:636,806237,806237,0,0,379085729255,3954198103,797991,6634,1612,381,391762,0 74,2,2024-09-07 09:28:11:003,581235,581235,0,0,25327178,0,4253 74,3,2024-09-07 09:28:11:442,1,459,2,0,522,5731,459,0 75,0,2024-09-07 09:28:11:768,112293,0.5,111543,0.7,223807,0.4,298862,2.25 75,1,2024-09-07 09:28:11:592,806763,806763,0,0,378161779414,3941760466,799738,6195,830,380,391739,0 75,2,2024-09-07 09:28:11:356,582786,582786,0,0,28598916,0,4766 75,3,2024-09-07 09:28:11:076,1,459,1,0,702,5785,459,0 76,0,2024-09-07 09:28:10:673,114829,0.5,114033,0.7,229047,0.4,306059,2.25 76,1,2024-09-07 09:28:10:820,806257,806257,0,0,377809830661,3938025905,800819,4610,828,382,391692,0 76,2,2024-09-07 09:28:11:064,580489,580488,1,0,24201452,0,5144 76,3,2024-09-07 09:28:11:177,1,459,10,0,175,4241,459,0 77,0,2024-09-07 09:28:11:694,114833,0.5,115221,0.7,230733,0.5,305837,2.00 77,1,2024-09-07 09:28:10:838,807186,807186,0,0,379341097744,3954539121,801342,5336,508,381,391869,0 77,2,2024-09-07 09:28:11:286,579443,579443,0,0,23836490,0,3890 77,3,2024-09-07 09:28:11:094,1,459,6,0,401,4992,459,0 78,0,2024-09-07 09:28:11:717,116454,0.4,115821,0.6,232609,0.4,308101,2.00 78,1,2024-09-07 09:28:10:610,807829,807829,0,0,378085540443,3935125859,799953,6307,1569,367,391670,0 78,2,2024-09-07 09:28:11:405,581589,581576,13,0,22588591,0,8313 78,3,2024-09-07 09:28:11:133,1,459,0,0,181,3938,459,0 79,0,2024-09-07 09:28:11:366,109416,0.4,111987,0.6,229380,0.3,298045,2.25 79,1,2024-09-07 09:28:10:571,808689,808689,0,0,379341009668,3929523645,802035,5345,1309,367,391682,0 79,2,2024-09-07 09:28:11:068,584827,584827,0,0,21976393,0,4195 79,3,2024-09-07 09:28:10:749,1,459,1,0,418,5558,459,0 80,0,2024-09-07 09:28:11:092,112600,0.5,115903,0.6,221636,0.4,300140,2.00 80,1,2024-09-07 09:28:11:647,806523,806523,0,0,378576033594,3941041342,800898,5202,423,368,392269,0 80,2,2024-09-07 09:28:11:091,582210,582210,0,0,22398693,0,4433 80,3,2024-09-07 09:28:10:576,1,459,25,0,190,5185,459,0 81,0,2024-09-07 09:28:11:564,115589,0.5,118357,0.7,225998,0.5,306782,2.00 81,1,2024-09-07 09:28:11:664,805584,805584,0,0,378399644284,3950809075,799556,5487,541,382,391879,0 81,2,2024-09-07 09:28:11:125,578031,577968,63,0,24798322,0,5932 81,3,2024-09-07 09:28:11:119,1,459,2,0,719,5396,459,0 82,0,2024-09-07 09:28:11:537,116865,0.5,117049,0.7,234613,0.5,311022,2.00 82,1,2024-09-07 09:28:10:599,808156,808152,0,4,379265792722,3943099969,803406,3927,819,381,391768,4 82,2,2024-09-07 09:28:11:691,580932,580932,0,0,21204930,0,4484 82,3,2024-09-07 09:28:11:752,1,459,1,0,363,4641,459,0 83,0,2024-09-07 09:28:11:541,115619,0.6,115539,0.7,230375,0.6,306284,2.25 83,1,2024-09-07 09:28:10:551,806040,806040,0,0,378330452162,3942854764,800359,5231,450,382,391709,0 83,2,2024-09-07 09:28:10:765,583415,583390,25,0,22759170,0,5612 83,3,2024-09-07 09:28:10:748,1,459,3,0,1260,5825,459,0 84,0,2024-09-07 09:28:11:797,111020,0.7,110993,0.9,221347,0.6,296742,2.25 84,1,2024-09-07 09:28:11:041,805359,805359,0,0,377829249536,3946362462,797096,7210,1053,367,391967,0 84,2,2024-09-07 09:28:10:578,581259,581229,30,0,29570923,0,5971 84,3,2024-09-07 09:28:11:188,1,459,2,0,908,6746,459,0 85,0,2024-09-07 09:28:11:003,112625,0.6,112564,0.8,238852,0.6,309082,2.25 85,1,2024-09-07 09:28:10:568,803239,803239,0,0,377185280742,3977725345,791640,9508,2091,381,392006,0 85,2,2024-09-07 09:28:10:869,576743,576743,0,0,27426068,0,3656 85,3,2024-09-07 09:28:10:686,1,459,17,0,789,5389,459,0 86,0,2024-09-07 09:28:10:908,116747,0.7,120150,0.8,229894,0.7,311155,2.25 86,1,2024-09-07 09:28:10:828,805541,805541,0,0,378888171593,3967863345,795793,8114,1634,366,391961,0 86,2,2024-09-07 09:28:10:856,577188,577187,1,0,30134586,0,5004 86,3,2024-09-07 09:28:10:586,1,459,1,0,308,6638,459,0 87,0,2024-09-07 09:28:11:289,116137,0.9,115893,0.9,231829,1.2,309814,2.50 87,1,2024-09-07 09:28:10:557,804502,804502,0,0,378108640560,3960786951,793894,8989,1619,366,392076,0 87,2,2024-09-07 09:28:11:081,581734,581728,6,0,26605241,0,6323 87,3,2024-09-07 09:28:11:797,1,459,1,0,473,6924,459,0 88,0,2024-09-07 09:28:11:444,111547,0.4,112298,0.6,224095,0.4,298604,1.75 88,1,2024-09-07 09:28:10:635,804137,804137,0,0,377932760420,3955339550,794064,8032,2041,365,392084,0 88,2,2024-09-07 09:28:10:694,583539,583539,0,0,29054367,0,4465 88,3,2024-09-07 09:28:11:271,1,459,0,0,435,5389,459,0 89,0,2024-09-07 09:28:11:785,119338,0.4,115598,0.6,228632,0.3,310775,1.75 89,1,2024-09-07 09:28:10:569,803849,803849,0,0,377915193954,3970764824,794111,8267,1471,382,391866,0 89,2,2024-09-07 09:28:11:140,576959,576959,0,0,26802519,0,3173 89,3,2024-09-07 09:28:11:796,1,459,6,0,468,7700,459,0 90,0,2024-09-07 09:28:11:621,112261,0.4,115362,0.6,235111,0.4,307016,2.00 90,1,2024-09-07 09:28:10:610,805349,805349,0,0,378636667784,3960075111,798478,6355,516,380,391825,0 90,2,2024-09-07 09:28:11:422,577359,577359,0,0,29110396,0,3608 90,3,2024-09-07 09:28:10:930,1,459,13,0,322,5442,459,0 91,0,2024-09-07 09:28:10:951,116952,0.5,113170,0.6,236454,0.5,310604,1.75 91,1,2024-09-07 09:28:10:567,803609,803609,0,0,377604245035,3969405647,793463,8506,1640,381,392047,0 91,2,2024-09-07 09:28:11:331,581792,581792,0,0,25667481,0,3526 91,3,2024-09-07 09:28:10:599,1,459,12,0,216,4437,459,0 92,0,2024-09-07 09:28:11:446,113865,0.4,116759,0.6,222782,0.4,301849,1.75 92,1,2024-09-07 09:28:10:619,806818,806818,0,0,377950066357,3943036884,801249,4842,727,381,392136,0 92,2,2024-09-07 09:28:11:368,585425,585425,0,0,22553474,0,3259 92,3,2024-09-07 09:28:11:012,1,459,3,0,167,4059,459,0 93,0,2024-09-07 09:28:10:953,114790,0.4,117607,0.5,224794,0.3,304905,1.75 93,1,2024-09-07 09:28:10:808,806216,806216,0,0,378744228484,3950139238,798035,6793,1388,366,391776,0 93,2,2024-09-07 09:28:10:928,578682,578682,0,0,27110999,0,4845 93,3,2024-09-07 09:28:11:417,1,459,3,0,190,4070,459,0 94,0,2024-09-07 09:28:11:716,114843,0.3,115740,0.5,231066,0.3,306135,1.75 94,1,2024-09-07 09:28:10:568,806435,806435,0,0,379145193199,3950929732,801629,4596,210,381,391850,0 94,2,2024-09-07 09:28:10:767,579563,579563,0,0,22751674,0,2443 94,3,2024-09-07 09:28:11:700,1,459,11,0,576,5903,459,0 95,0,2024-09-07 09:28:11:391,116523,0.4,116361,0.5,233362,0.3,310685,1.75 95,1,2024-09-07 09:28:10:854,807878,807878,0,0,379101481301,3936629468,801721,5719,438,365,391786,0 95,2,2024-09-07 09:28:11:052,579665,579665,0,0,22707407,0,3308 95,3,2024-09-07 09:28:11:711,1,459,58,0,718,6385,459,0 96,0,2024-09-07 09:28:11:027,115101,0.4,115352,0.5,230367,0.3,305077,1.75 96,1,2024-09-07 09:28:11:586,806047,806047,0,0,378128048932,3943929582,800172,4970,905,384,391955,0 96,2,2024-09-07 09:28:11:279,584729,584729,0,0,23680678,0,4180 96,3,2024-09-07 09:28:11:146,1,459,1,0,411,5052,459,0 97,0,2024-09-07 09:28:11:360,111686,0.3,111242,0.4,223299,0.2,297164,1.50 97,1,2024-09-07 09:28:10:820,807503,807503,0,0,380295531016,3942839820,802321,4247,935,367,392140,0 97,2,2024-09-07 09:28:10:614,582116,582116,0,0,22809885,0,3679 97,3,2024-09-07 09:28:10:573,1,459,9,0,242,5442,459,0 98,0,2024-09-07 09:28:11:730,115800,0.3,116191,0.4,232951,0.2,309073,1.50 98,1,2024-09-07 09:28:10:569,807089,807089,0,0,379085780628,3947577123,802012,4284,793,382,391997,0 98,2,2024-09-07 09:28:10:773,578843,578843,0,0,22780944,0,4336 98,3,2024-09-07 09:28:10:699,1,459,1,0,840,7302,459,0 99,0,2024-09-07 09:28:11:455,117485,0.3,118080,0.5,234804,0.3,313666,1.75 99,1,2024-09-07 09:28:11:724,807280,807280,0,0,378383356412,3935931467,802292,4151,837,380,392069,0 99,2,2024-09-07 09:28:11:428,580390,580390,0,0,27022671,0,4276 99,3,2024-09-07 09:28:10:582,1,459,21,0,606,4841,459,0 100,0,2024-09-07 09:28:11:460,115607,0.8,116004,0.9,231163,0.9,309120,2.50 100,1,2024-09-07 09:28:10:549,802476,802476,0,0,376830564394,3984411651,789705,9822,2949,378,391989,0 100,2,2024-09-07 09:28:11:828,580699,580688,11,0,26893855,0,5417 100,3,2024-09-07 09:28:11:731,1,459,2,0,559,7349,459,0 101,0,2024-09-07 09:28:11:751,113671,1.1,110754,1.0,217030,1.0,297015,2.25 101,1,2024-09-07 09:28:10:550,803302,803302,0,0,377063486849,3964944251,791642,9293,2367,368,391847,0 101,2,2024-09-07 09:28:11:761,579493,579493,0,0,31698941,0,4871 101,3,2024-09-07 09:28:10:942,1,459,2,0,1250,7344,459,0 102,0,2024-09-07 09:28:10:979,113712,0.6,117275,0.7,237864,0.6,311779,2.00 102,1,2024-09-07 09:28:11:152,803565,803565,0,0,377605372854,3967371832,792609,9015,1941,369,391891,0 102,2,2024-09-07 09:28:11:749,578106,578052,54,0,25303748,0,6768 102,3,2024-09-07 09:28:11:614,1,459,2,0,466,4955,459,0 103,0,2024-09-07 09:28:11:620,120349,0.6,120349,0.7,226805,0.6,312113,2.00 103,1,2024-09-07 09:28:11:628,802936,802936,0,0,377256651374,3983296229,789892,9852,3192,381,391862,0 103,2,2024-09-07 09:28:10:588,577547,577547,0,0,28360524,0,3766 103,3,2024-09-07 09:28:10:756,1,459,18,0,916,5242,459,0 104,0,2024-09-07 09:28:11:006,115577,0.7,115940,0.9,230765,0.7,309090,2.25 104,1,2024-09-07 09:28:11:600,805206,805206,0,0,378332882069,3970092434,793966,9340,1900,365,392168,0 104,2,2024-09-07 09:28:11:667,579923,579923,0,0,27131415,0,3941 104,3,2024-09-07 09:28:11:416,1,459,2,0,1245,8765,459,0 105,0,2024-09-07 09:28:11:179,110702,0.7,107785,1.0,225887,0.8,296850,2.50 105,1,2024-09-07 09:28:10:570,806583,806583,0,0,378218849532,3961289593,796514,8471,1598,366,392009,0 105,2,2024-09-07 09:28:11:322,582442,582442,0,0,27195257,0,3509 105,3,2024-09-07 09:28:11:308,1,459,112,0,399,6806,459,0 106,0,2024-09-07 09:28:10:946,111285,0.7,114063,0.8,233489,0.7,305519,2.25 106,1,2024-09-07 09:28:11:753,805206,805206,0,0,377781990939,3964248535,793514,10053,1639,368,391914,0 106,2,2024-09-07 09:28:10:760,577006,577006,0,0,25830907,0,2920 106,3,2024-09-07 09:28:10:686,1,459,21,0,470,5991,459,0 107,0,2024-09-07 09:28:11:135,115074,0.8,115290,0.9,230377,0.9,306943,2.25 107,1,2024-09-07 09:28:10:598,803514,803514,0,0,377415273078,3975467333,792569,9873,1072,381,392234,0 107,2,2024-09-07 09:28:11:293,577617,577616,1,0,27572671,0,5024 107,3,2024-09-07 09:28:11:755,1,459,6,0,370,6742,459,0 108,0,2024-09-07 09:28:11:801,115847,0.5,116751,0.6,232144,0.4,309533,1.75 108,1,2024-09-07 09:28:11:300,806779,806779,0,0,378947804421,3947862254,800593,5441,745,367,391857,0 108,2,2024-09-07 09:28:11:755,579804,579804,0,0,25379427,0,4246 108,3,2024-09-07 09:28:11:340,1,459,2,0,749,8800,459,0 109,0,2024-09-07 09:28:11:796,113908,0.4,113041,0.6,226310,0.3,302278,1.75 109,1,2024-09-07 09:28:10:585,803807,803807,0,0,378150309237,3965709061,796345,6274,1188,382,392132,0 109,2,2024-09-07 09:28:10:929,581845,581845,0,0,24863374,0,3617 109,3,2024-09-07 09:28:11:146,1,459,20,0,379,5281,459,0 110,0,2024-09-07 09:28:11:806,112487,0.3,109495,0.5,229354,0.3,300753,1.75 110,1,2024-09-07 09:28:11:659,807645,807645,0,0,378595851028,3925973590,802245,4221,1179,369,392045,0 110,2,2024-09-07 09:28:11:307,580702,580702,0,0,23796587,0,4067 110,3,2024-09-07 09:28:10:701,1,459,0,0,722,6440,459,0 111,0,2024-09-07 09:28:11:418,116036,0.3,115226,0.5,230967,0.3,307990,1.75 111,1,2024-09-07 09:28:11:007,808595,808595,0,0,381090443654,3948891514,804663,3577,355,380,391690,0 111,2,2024-09-07 09:28:11:119,578165,578165,0,0,23291136,0,4823 111,3,2024-09-07 09:28:10:914,1,459,1,0,379,5236,459,0 112,0,2024-09-07 09:28:10:949,117878,0.3,117277,0.4,235103,0.2,312062,1.50 112,1,2024-09-07 09:28:10:839,807345,807345,0,0,379418283248,3935358195,802613,4119,613,380,391624,0 112,2,2024-09-07 09:28:11:133,579648,579647,1,0,22914352,0,5036 112,3,2024-09-07 09:28:10:593,1,459,2,0,282,4338,459,0 113,0,2024-09-07 09:28:10:879,115709,0.3,115647,0.5,231696,0.2,308250,1.75 113,1,2024-09-07 09:28:11:691,810056,810056,0,0,380702602596,3924630428,806160,3307,589,366,391661,0 113,2,2024-09-07 09:28:11:303,585297,585297,0,0,20953214,0,3813 113,3,2024-09-07 09:28:10:684,1,459,15,0,340,5007,459,0 114,0,2024-09-07 09:28:10:879,111943,0.3,112751,0.4,223973,0.2,299874,1.75 114,1,2024-09-07 09:28:10:715,807777,807777,0,0,379659903594,3941964107,801441,4720,1616,381,391565,0 114,2,2024-09-07 09:28:10:876,584194,584193,1,0,21979003,0,5069 114,3,2024-09-07 09:28:11:280,1,459,1,0,395,3794,459,0 115,0,2024-09-07 09:28:10:556,117438,0.3,117862,0.4,235218,0.2,312292,1.50 115,1,2024-09-07 09:28:10:574,807953,807953,0,0,379219771813,3938474593,801455,5230,1268,382,391757,0 115,2,2024-09-07 09:28:11:125,579573,579573,0,0,21744248,0,4382 115,3,2024-09-07 09:28:11:003,1,459,8,0,159,2567,459,0 116,0,2024-09-07 09:28:11:746,116582,0.7,116440,0.8,233611,0.7,312375,2.00 116,1,2024-09-07 09:28:10:804,803639,803639,0,0,377971572408,3981358333,794072,7166,2401,380,392089,0 116,2,2024-09-07 09:28:11:761,578095,578095,0,0,28215810,0,4128 116,3,2024-09-07 09:28:10:914,1,459,1,0,415,5223,459,0 117,0,2024-09-07 09:28:10:958,116487,0.8,115927,0.8,232296,0.9,310216,2.00 117,1,2024-09-07 09:28:11:585,804945,804945,0,0,377675459153,3950781448,796613,7353,979,369,392033,0 117,2,2024-09-07 09:28:11:119,584552,584552,0,0,24468970,0,4303 117,3,2024-09-07 09:28:11:066,1,459,0,0,490,6673,459,0 118,0,2024-09-07 09:28:11:772,108495,0.5,111355,0.7,227351,0.5,297491,2.00 118,1,2024-09-07 09:28:10:585,804198,804198,0,0,377070695506,3963391153,792062,9116,3020,366,391907,0 118,2,2024-09-07 09:28:11:594,582588,582588,0,0,26600718,0,2842 118,3,2024-09-07 09:28:11:767,1,459,2,0,248,5220,459,0 119,0,2024-09-07 09:28:11:383,115846,0.6,116115,0.7,232245,0.5,309027,2.00 119,1,2024-09-07 09:28:10:552,805250,805250,0,0,378474029087,3962361076,795333,8566,1351,367,391857,0 119,2,2024-09-07 09:28:11:271,578186,578186,0,0,24747663,0,4174 119,3,2024-09-07 09:28:11:351,1,459,13,0,1358,8867,459,0 120,0,2024-09-07 09:28:11:556,115002,0.6,114718,0.8,230221,0.6,307490,2.25 120,1,2024-09-07 09:28:10:874,805396,805396,0,0,377667202099,3958902978,796955,7671,770,368,392144,0 120,2,2024-09-07 09:28:10:770,578399,578398,1,0,29207625,0,5281 120,3,2024-09-07 09:28:11:291,1,459,4,0,279,5514,459,0 121,0,2024-09-07 09:28:11:717,116342,1.3,116011,1.1,232522,1.8,309859,2.50 121,1,2024-09-07 09:28:11:664,805870,805870,0,0,379048544975,3957964553,798934,6474,462,367,391840,0 121,2,2024-09-07 09:28:11:126,580322,580322,0,0,27195984,0,4127 121,3,2024-09-07 09:28:10:727,1,459,66,0,269,5133,459,0 122,0,2024-09-07 09:28:11:767,112369,0.7,109582,0.8,229773,0.8,301636,2.00 122,1,2024-09-07 09:28:10:862,804346,804346,0,0,378369072044,3966840259,793605,9062,1679,366,392130,0 122,2,2024-09-07 09:28:11:326,584124,584051,73,0,30662745,0,5989 122,3,2024-09-07 09:28:10:598,1,459,3,0,512,8047,459,0 123,0,2024-09-07 09:28:10:992,113429,0.6,110533,0.8,231674,0.6,303378,2.00 123,1,2024-09-07 09:28:10:574,804215,804215,0,0,377664861798,3973965554,790510,11259,2446,369,392039,0 123,2,2024-09-07 09:28:11:023,576934,576933,1,0,25376556,0,5215 123,3,2024-09-07 09:28:11:165,1,459,1,0,478,5244,459,0 124,0,2024-09-07 09:28:10:924,118636,0.3,118657,0.5,223634,0.3,307087,1.75 124,1,2024-09-07 09:28:11:026,807676,807676,0,0,379025546445,3935544483,802336,4287,1053,367,392178,0 124,2,2024-09-07 09:28:11:013,580257,580204,53,0,22877736,0,6487 124,3,2024-09-07 09:28:10:758,1,459,3,0,490,4312,459,0 125,0,2024-09-07 09:28:11:443,116488,0.4,116269,0.6,233348,0.4,310628,1.75 125,1,2024-09-07 09:28:10:855,805837,805837,0,0,378237807824,3945147755,799913,5251,673,382,391813,0 125,2,2024-09-07 09:28:11:123,581330,581330,0,0,23801329,0,4534 125,3,2024-09-07 09:28:11:127,1,459,1,0,709,5564,459,0 126,0,2024-09-07 09:28:11:425,115054,0.4,118362,0.6,226390,0.4,305824,1.75 126,1,2024-09-07 09:28:10:559,808275,808275,0,0,380041848389,3932365117,804214,3767,294,365,391987,0 126,2,2024-09-07 09:28:10:613,584644,584644,0,0,24595412,0,4539 126,3,2024-09-07 09:28:10:913,1,459,1,0,268,5358,459,0 127,0,2024-09-07 09:28:11:608,111639,0.3,111998,0.5,223529,0.2,297538,1.50 127,1,2024-09-07 09:28:10:577,807245,807245,0,0,379316227882,3940862571,799867,6154,1224,364,392187,0 127,2,2024-09-07 09:28:10:640,580697,580697,0,0,22137637,0,3897 127,3,2024-09-07 09:28:11:280,1,459,2,0,968,4770,459,0 128,0,2024-09-07 09:28:11:530,116729,0.3,116800,0.4,233327,0.2,309823,1.50 128,1,2024-09-07 09:28:11:605,806309,806309,0,0,379227529660,3934009968,801380,4496,433,367,392031,0 128,2,2024-09-07 09:28:11:383,579767,579767,0,0,21560402,0,3171 128,3,2024-09-07 09:28:10:777,1,459,2,0,1082,6958,459,0 129,0,2024-09-07 09:28:11:005,118433,0.3,117918,0.5,236105,0.3,314062,1.50 129,1,2024-09-07 09:28:10:574,804748,804748,0,0,377104980060,3949273328,796969,6105,1674,379,391962,0 129,2,2024-09-07 09:28:10:687,580148,580144,4,0,23803421,0,5335 129,3,2024-09-07 09:28:10:688,1,459,19,0,506,6549,459,0 130,0,2024-09-07 09:28:11:719,116703,0.5,116244,0.6,233698,0.5,310563,1.75 130,1,2024-09-07 09:28:10:592,807647,807647,0,0,379205916736,3938356094,803508,3800,339,381,391825,0 130,2,2024-09-07 09:28:11:125,584015,584015,0,0,23642759,0,4067 130,3,2024-09-07 09:28:11:291,1,459,0,0,960,6861,459,0 131,0,2024-09-07 09:28:11:928,111306,0.3,111692,0.5,224255,0.3,297609,1.75 131,1,2024-09-07 09:28:11:821,806927,806927,0,0,378446241739,3949022342,800939,4935,1053,381,391865,0 131,2,2024-09-07 09:28:10:567,584921,584921,0,0,21636686,0,3979 131,3,2024-09-07 09:28:11:689,1,459,1,0,392,4964,459,0 132,0,2024-09-07 09:28:11:427,116974,0.4,118075,0.6,234895,0.4,313030,1.75 132,1,2024-09-07 09:28:10:578,802830,802830,0,0,377658490579,3974879013,791111,9587,2132,381,392532,0 132,2,2024-09-07 09:28:10:698,577298,577281,17,0,28226039,0,6451 132,3,2024-09-07 09:28:11:688,1,459,2,0,804,7750,459,0 133,0,2024-09-07 09:28:11:566,113573,0.4,116223,0.6,238378,0.4,310404,1.75 133,1,2024-09-07 09:28:10:582,803022,803022,0,0,377477414006,3978063537,791747,9660,1615,383,391914,0 133,2,2024-09-07 09:28:11:095,578930,578880,50,0,29705024,0,6861 133,3,2024-09-07 09:28:11:300,1,459,1,0,479,4743,459,0 134,0,2024-09-07 09:28:10:960,117010,0.6,116629,0.7,233561,0.6,311367,2.00 134,1,2024-09-07 09:28:10:586,804408,804408,0,0,377061207072,3952244797,794243,8097,2068,366,391718,0 134,2,2024-09-07 09:28:11:756,580357,580333,24,0,27750729,0,6207 134,3,2024-09-07 09:28:10:750,1,459,3,0,739,5642,459,0 135,0,2024-09-07 09:28:11:100,108347,0.7,108328,0.8,229999,0.8,296304,2.25 135,1,2024-09-07 09:28:11:592,804808,804808,0,0,378098135803,3975031535,794454,8976,1378,380,391805,0 135,2,2024-09-07 09:28:10:687,583562,583562,0,0,27092931,0,3981 135,3,2024-09-07 09:28:11:013,1,459,7,0,299,4028,459,0 136,0,2024-09-07 09:28:11:627,115745,0.5,115914,0.7,230798,0.4,307868,2.00 136,1,2024-09-07 09:28:11:441,805886,805886,0,0,377504837030,3955780828,797010,7902,974,381,391685,0 136,2,2024-09-07 09:28:11:131,579582,579582,0,0,25189186,0,3506 136,3,2024-09-07 09:28:11:106,1,459,1,0,637,5180,459,0 137,0,2024-09-07 09:28:10:925,118835,0.6,115789,0.7,227024,0.5,308159,2.00 137,1,2024-09-07 09:28:10:577,803873,803873,0,0,377732531658,3962256084,791273,9940,2660,366,391898,0 137,2,2024-09-07 09:28:11:704,577970,577970,0,0,28473192,0,3185 137,3,2024-09-07 09:28:10:772,1,459,28,0,484,5579,459,0 138,0,2024-09-07 09:28:11:741,115730,1.0,115691,0.9,232291,1.2,308065,2.25 138,1,2024-09-07 09:28:11:690,805083,805083,0,0,378247013354,3962888770,794428,8930,1725,368,391954,0 138,2,2024-09-07 09:28:10:590,579623,579623,0,0,26083492,0,4988 138,3,2024-09-07 09:28:10:613,1,459,7,0,1200,7230,459,0 139,0,2024-09-07 09:28:11:362,112387,1.4,112508,1.1,225556,2.1,300806,2.50 139,1,2024-09-07 09:28:10:574,801082,801082,0,0,376320373433,3995454347,785885,11755,3442,380,392058,0 139,2,2024-09-07 09:28:10:710,580106,580106,0,0,29752265,0,3097 139,3,2024-09-07 09:28:11:663,1,459,5,0,432,5210,459,0 140,0,2024-09-07 09:28:11:588,113187,0.3,112516,0.5,225636,0.2,301050,1.75 140,1,2024-09-07 09:28:11:540,809617,809617,0,0,381269756807,3927183427,806036,3139,442,365,391606,0 140,2,2024-09-07 09:28:10:687,581573,581572,1,0,22285742,0,5036 140,3,2024-09-07 09:28:10:767,1,459,1,0,297,3714,459,0 141,0,2024-09-07 09:28:11:701,115837,0.3,118899,0.4,227094,0.2,307615,1.50 141,1,2024-09-07 09:28:10:870,808588,808588,0,0,380497194469,3948288285,802802,4829,957,379,391614,0 141,2,2024-09-07 09:28:11:686,579400,579400,0,0,22233361,0,3360 141,3,2024-09-07 09:28:11:044,1,459,0,0,391,5084,459,0 142,0,2024-09-07 09:28:11:307,117938,0.3,117250,0.5,234674,0.3,312659,1.75 142,1,2024-09-07 09:28:10:590,806988,806988,0,0,378675209814,3942736026,802282,4304,402,382,392102,0 142,2,2024-09-07 09:28:11:300,579378,579346,32,0,24255968,0,6028 142,3,2024-09-07 09:28:11:746,1,459,13,0,484,4977,459,0 143,0,2024-09-07 09:28:11:367,115633,0.4,115802,0.6,231902,0.4,308231,1.75 143,1,2024-09-07 09:28:10:557,808330,808330,0,0,379731201531,3937435294,803249,4535,546,367,391705,0 143,2,2024-09-07 09:28:10:770,584212,584212,0,0,23461719,0,3123 143,3,2024-09-07 09:28:11:147,1,459,1,0,462,5475,459,0 144,0,2024-09-07 09:28:11:520,108277,0.6,111211,0.8,226803,0.5,297583,2.00 144,1,2024-09-07 09:28:10:571,804219,804219,0,0,377926309271,3963333106,796267,6114,1838,381,391657,0 144,2,2024-09-07 09:28:11:755,583331,583331,0,0,22159022,0,3673 144,3,2024-09-07 09:28:11:743,1,459,0,0,249,4535,459,0 145,0,2024-09-07 09:28:11:442,113209,0.6,113216,0.8,240207,0.5,309138,2.25 145,1,2024-09-07 09:28:10:600,803640,803640,0,0,377473439597,3970594893,792950,8823,1867,382,391759,0 145,2,2024-09-07 09:28:11:428,575657,575576,81,0,27418731,0,7814 145,3,2024-09-07 09:28:10:905,1,459,3,0,622,6369,459,0 146,0,2024-09-07 09:28:11:601,116876,0.6,116345,0.7,234090,0.6,310853,2.25 146,1,2024-09-07 09:28:11:594,804547,804547,0,0,377460953988,3970433407,791268,9752,3527,367,391770,0 146,2,2024-09-07 09:28:11:694,577550,577550,0,0,26039950,0,3290 146,3,2024-09-07 09:28:11:281,1,459,1,0,1520,8176,459,0 147,0,2024-09-07 09:28:11:699,116369,0.6,116163,0.8,231628,0.6,309631,2.25 147,1,2024-09-07 09:28:11:372,808131,808131,0,0,380005680626,3947939024,801401,5881,849,368,391791,0 147,2,2024-09-07 09:28:11:014,582432,582432,0,0,23424281,0,2968 147,3,2024-09-07 09:28:10:913,1,459,5,0,1626,7721,459,0 0,0,2024-09-07 09:28:21:740,112624,0.6,112600,0.7,238942,0.6,309161,2.00 0,1,2024-09-07 09:28:20:806,806670,806670,0,0,379420887500,3968763766,800851,5371,448,369,391896,0 0,2,2024-09-07 09:28:21:072,581525,581525,0,0,23327026,0,4480 0,3,2024-09-07 09:28:20:981,1,460,8,0,431,6725,460,0 1,0,2024-09-07 09:28:21:805,116482,1.1,115927,1.0,232314,1.5,310591,2.00 1,1,2024-09-07 09:28:20:564,806617,806617,0,0,378662435264,3959595026,800058,5229,1330,370,391859,0 1,2,2024-09-07 09:28:20:651,582280,582280,0,0,23151962,0,3380 1,3,2024-09-07 09:28:21:305,1,460,48,0,268,5598,460,0 2,0,2024-09-07 09:28:21:575,113026,0.6,113016,0.7,225504,0.6,300884,2.00 2,1,2024-09-07 09:28:20:859,809316,809316,0,0,380374191101,3945126496,805993,3028,295,380,391745,0 2,2,2024-09-07 09:28:21:265,586131,586131,0,0,21727084,0,3594 2,3,2024-09-07 09:28:20:690,1,460,1,0,357,4263,460,0 3,0,2024-09-07 09:28:21:745,114649,0.4,114383,0.6,228881,0.3,305192,2.00 3,1,2024-09-07 09:28:21:617,807684,807684,0,0,379329461494,3947318386,801326,5664,694,379,391716,0 3,2,2024-09-07 09:28:21:142,580383,580360,23,0,22813685,0,5851 3,3,2024-09-07 09:28:21:755,1,460,1,0,207,2982,460,0 4,0,2024-09-07 09:28:21:913,111907,0.4,115013,0.5,234489,0.3,306259,1.75 4,1,2024-09-07 09:28:20:594,804126,804126,0,0,377782121410,3995163623,790772,10269,3085,370,391992,0 4,2,2024-09-07 09:28:21:023,578322,578322,0,0,26884410,0,4528 4,3,2024-09-07 09:28:21:027,1,460,6,0,448,5965,460,0 5,0,2024-09-07 09:28:21:424,116469,0.4,117017,0.6,233607,0.4,310331,1.75 5,1,2024-09-07 09:28:20:760,805817,805817,0,0,378713486150,3987174369,794091,9363,2363,367,392005,0 5,2,2024-09-07 09:28:21:838,578608,578608,0,0,26896401,0,3582 5,3,2024-09-07 09:28:21:732,1,460,92,0,457,6317,460,0 6,0,2024-09-07 09:28:20:919,115090,0.4,114708,0.6,229171,0.4,305299,2.00 6,1,2024-09-07 09:28:20:746,807817,807817,0,0,378675199815,3955563367,799246,7145,1426,379,391702,0 6,2,2024-09-07 09:28:21:115,584958,584940,18,0,26089009,0,5535 6,3,2024-09-07 09:28:21:274,1,460,3,0,710,5823,460,0 7,0,2024-09-07 09:28:21:535,111170,0.5,112089,0.6,223261,0.4,297177,2.00 7,1,2024-09-07 09:28:20:859,806121,806121,0,0,378643159232,3974973052,794982,9155,1984,382,391747,0 7,2,2024-09-07 09:28:20:770,582366,582366,0,0,25415717,0,4791 7,3,2024-09-07 09:28:20:858,1,460,0,0,552,5326,460,0 8,0,2024-09-07 09:28:21:345,116476,0.3,116266,0.5,232991,0.3,309908,1.75 8,1,2024-09-07 09:28:21:025,805726,805726,0,0,378387712107,3975694713,793611,9342,2773,366,392853,0 8,2,2024-09-07 09:28:20:804,575404,575404,0,0,30096623,0,3250 8,3,2024-09-07 09:28:20:585,1,460,1,0,538,7293,460,0 9,0,2024-09-07 09:28:21:118,117706,0.4,114446,0.5,239603,0.3,314176,1.75 9,1,2024-09-07 09:28:20:571,804859,804859,0,0,378516510981,3984379250,793205,9231,2423,369,392001,0 9,2,2024-09-07 09:28:21:103,579893,579893,0,0,26837121,0,3360 9,3,2024-09-07 09:28:21:759,1,460,133,0,496,6501,460,0 10,0,2024-09-07 09:28:21:604,116177,0.3,115724,0.5,232405,0.3,309575,1.75 10,1,2024-09-07 09:28:20:599,807063,807063,0,0,378737764523,3967971331,796080,9226,1757,381,391741,0 10,2,2024-09-07 09:28:20:766,583933,583933,0,0,29628037,0,4264 10,3,2024-09-07 09:28:20:880,1,460,6,0,649,4977,460,0 11,0,2024-09-07 09:28:21:013,111542,0.4,108228,0.6,226177,0.4,298370,1.75 11,1,2024-09-07 09:28:20:571,807721,807721,0,0,379375513221,3975306035,796238,8856,2627,383,391756,0 11,2,2024-09-07 09:28:21:123,583340,583340,0,0,26018293,0,4130 11,3,2024-09-07 09:28:21:301,1,460,28,0,843,6343,460,0 12,0,2024-09-07 09:28:20:941,118234,0.4,118191,0.5,236358,0.3,314579,1.75 12,1,2024-09-07 09:28:20:933,807672,807672,0,0,379643045379,3954110197,802027,5142,503,370,391960,0 12,2,2024-09-07 09:28:21:560,578742,578742,0,0,24669787,0,3469 12,3,2024-09-07 09:28:21:063,1,460,1,0,386,6514,460,0 13,0,2024-09-07 09:28:21:392,117791,0.4,117399,0.6,234143,0.4,312317,1.75 13,1,2024-09-07 09:28:21:528,805759,805759,0,0,378930195631,3978244085,798117,6008,1634,382,391740,0 13,2,2024-09-07 09:28:20:604,582277,582277,0,0,22614663,0,3287 13,3,2024-09-07 09:28:21:768,1,460,12,0,522,6537,460,0 14,0,2024-09-07 09:28:20:575,116664,0.4,117618,0.6,232806,0.4,310452,1.75 14,1,2024-09-07 09:28:21:561,811289,811289,0,0,380622780041,3938085928,805030,5548,711,364,391673,0 14,2,2024-09-07 09:28:20:766,583337,583307,30,0,24770441,0,6104 14,3,2024-09-07 09:28:21:116,1,460,1,0,1168,4892,460,0 15,0,2024-09-07 09:28:21:556,111839,0.4,111649,0.6,223797,0.3,297753,2.00 15,1,2024-09-07 09:28:21:607,808345,808345,0,0,379540879054,3949469704,802115,5051,1179,381,391619,0 15,2,2024-09-07 09:28:21:013,586766,586766,0,0,20697441,0,3622 15,3,2024-09-07 09:28:21:405,1,460,3,0,1126,7103,460,0 16,0,2024-09-07 09:28:20:939,115572,0.5,116333,0.7,231599,0.4,308262,2.00 16,1,2024-09-07 09:28:20:581,807981,807981,0,0,378979197723,3959551301,801121,5743,1117,370,391917,0 16,2,2024-09-07 09:28:21:437,578965,578965,0,0,24406246,0,4719 16,3,2024-09-07 09:28:21:146,1,460,1,0,317,5548,460,0 17,0,2024-09-07 09:28:21:802,118926,0.6,116111,0.7,227126,0.6,308272,2.00 17,1,2024-09-07 09:28:20:582,806559,806559,0,0,379102043739,3975977757,798421,6424,1714,368,392075,0 17,2,2024-09-07 09:28:21:668,582926,582925,1,0,25068673,0,5050 17,3,2024-09-07 09:28:20:582,1,460,6,0,518,7256,460,0 18,0,2024-09-07 09:28:20:937,115180,0.7,115652,0.8,230716,0.7,307828,2.25 18,1,2024-09-07 09:28:21:650,809743,809743,0,0,380760612279,3943379140,805448,3857,438,367,391725,0 18,2,2024-09-07 09:28:21:758,584508,584508,0,0,21652664,0,3541 18,3,2024-09-07 09:28:20:896,1,460,2,0,1059,4442,460,0 19,0,2024-09-07 09:28:21:545,113004,0.6,113459,0.8,225083,0.6,299644,2.25 19,1,2024-09-07 09:28:20:571,810131,810131,0,0,380906432013,3947526071,804062,5147,922,367,391777,0 19,2,2024-09-07 09:28:21:758,587771,587771,0,0,20798435,0,3988 19,3,2024-09-07 09:28:21:129,1,460,1,0,524,3885,460,0 20,0,2024-09-07 09:28:21:403,113080,0.5,113045,0.6,225918,0.4,301869,2.00 20,1,2024-09-07 09:28:20:572,806782,806782,0,0,379759840955,3973646644,799118,6368,1296,369,391922,0 20,2,2024-09-07 09:28:20:933,580088,580088,0,0,24768370,0,3721 20,3,2024-09-07 09:28:20:592,1,460,37,0,468,7620,460,0 21,0,2024-09-07 09:28:21:160,115626,0.4,115703,0.6,231514,0.4,306841,1.75 21,1,2024-09-07 09:28:21:538,804572,804572,0,0,377846964009,3987207479,791921,9676,2975,368,392016,0 21,2,2024-09-07 09:28:21:068,577285,577265,20,0,30273592,0,5617 21,3,2024-09-07 09:28:21:403,1,460,23,0,713,6085,460,0 22,0,2024-09-07 09:28:21:724,117163,0.5,117582,0.7,234818,0.4,311072,2.00 22,1,2024-09-07 09:28:21:030,806152,806152,0,0,378117368774,3977165930,793408,9861,2883,382,391675,0 22,2,2024-09-07 09:28:20:760,579962,579936,26,0,24418089,0,6328 22,3,2024-09-07 09:28:21:067,1,460,15,0,228,3647,460,0 23,0,2024-09-07 09:28:21:370,115473,0.5,114974,0.7,230201,0.5,306739,2.25 23,1,2024-09-07 09:28:21:013,807213,807213,0,0,379469966053,3982437042,793372,9688,4153,365,391690,0 23,2,2024-09-07 09:28:21:098,586251,586251,0,0,23517818,0,3773 23,3,2024-09-07 09:28:21:763,1,460,11,0,720,5815,460,0 24,0,2024-09-07 09:28:20:814,112867,0.4,112184,0.5,225402,0.3,299677,1.75 24,1,2024-09-07 09:28:20:607,806320,806320,0,0,377952540823,3958595442,798065,6659,1596,367,392269,0 24,2,2024-09-07 09:28:21:090,583055,583055,0,0,28087582,0,3607 24,3,2024-09-07 09:28:21:699,1,460,89,0,468,6058,460,0 25,0,2024-09-07 09:28:21:422,120425,0.4,117259,0.6,230012,0.4,313063,1.75 25,1,2024-09-07 09:28:20:584,805766,805766,0,0,378814334378,3991689161,792843,10335,2588,371,391928,0 25,2,2024-09-07 09:28:21:635,577388,577388,0,0,28826077,0,3978 25,3,2024-09-07 09:28:21:021,1,460,35,0,532,5079,460,0 26,0,2024-09-07 09:28:21:722,116984,0.4,114373,0.6,239985,0.4,312317,1.75 26,1,2024-09-07 09:28:21:541,808084,808084,0,0,378517792790,3966873673,796098,9577,2409,380,391748,0 26,2,2024-09-07 09:28:20:861,580593,580593,0,0,29328332,0,4078 26,3,2024-09-07 09:28:21:713,1,460,4,0,796,5341,460,0 27,0,2024-09-07 09:28:21:724,116575,0.5,116775,0.6,232349,0.4,310035,2.25 27,1,2024-09-07 09:28:21:677,809222,809222,0,0,380452276657,3960980987,801747,6551,924,381,391626,0 27,2,2024-09-07 09:28:20:870,581001,580936,65,0,26340757,0,5699 27,3,2024-09-07 09:28:21:015,1,460,0,0,564,4169,460,0 28,0,2024-09-07 09:28:21:394,112395,0.4,111969,0.6,224752,0.3,299956,1.75 28,1,2024-09-07 09:28:20:797,808877,808877,0,0,380372783165,3965699376,801673,5505,1699,382,391698,0 28,2,2024-09-07 09:28:21:771,584966,584966,0,0,23698544,0,2915 28,3,2024-09-07 09:28:21:776,1,460,1,0,502,4802,460,0 29,0,2024-09-07 09:28:21:356,119669,0.3,116765,0.5,228698,0.2,311543,1.75 29,1,2024-09-07 09:28:21:565,810988,810988,0,0,380872586115,3937700373,806114,4139,735,368,391809,0 29,2,2024-09-07 09:28:20:861,578728,578728,0,0,22341817,0,4986 29,3,2024-09-07 09:28:20:969,1,460,12,0,459,5264,460,0 30,0,2024-09-07 09:28:21:476,115598,0.5,112386,0.7,235254,0.5,308093,2.00 30,1,2024-09-07 09:28:20:582,809877,809877,0,0,380687080040,3949766179,803783,5327,767,381,391672,0 30,2,2024-09-07 09:28:21:274,581259,581259,0,0,21961336,0,4192 30,3,2024-09-07 09:28:20:584,1,460,0,0,519,4462,460,0 31,0,2024-09-07 09:28:21:762,116151,0.5,116615,0.7,232861,0.4,310656,2.00 31,1,2024-09-07 09:28:20:565,813468,813468,0,0,381469776418,3907155645,810362,2520,586,356,391712,0 31,2,2024-09-07 09:28:21:280,581701,581701,0,0,23790114,0,3525 31,3,2024-09-07 09:28:21:706,1,460,1,0,220,3956,460,0 32,0,2024-09-07 09:28:21:427,113296,0.3,113906,0.5,226864,0.2,302622,1.50 32,1,2024-09-07 09:28:20:804,809396,809396,0,0,380228923349,3952066378,804258,4456,682,381,391646,0 32,2,2024-09-07 09:28:20:934,586536,586536,0,0,21531232,0,3922 32,3,2024-09-07 09:28:21:016,1,460,1,0,304,3510,460,0 33,0,2024-09-07 09:28:21:494,114844,0.3,114395,0.4,229032,0.2,305614,1.50 33,1,2024-09-07 09:28:20:575,810144,810144,0,0,380735488441,3944117860,803626,5413,1105,368,391730,0 33,2,2024-09-07 09:28:20:765,580596,580561,35,0,23608772,0,7012 33,3,2024-09-07 09:28:20:895,1,460,8,0,329,4310,460,0 34,0,2024-09-07 09:28:20:938,115718,0.3,118821,0.4,227115,0.2,305660,1.75 34,1,2024-09-07 09:28:21:050,811697,811697,0,0,381955890739,3924487555,809913,1772,12,367,391562,0 34,2,2024-09-07 09:28:20:766,581998,581998,0,0,22082031,0,4562 34,3,2024-09-07 09:28:21:698,1,460,1,0,299,3339,460,0 35,0,2024-09-07 09:28:20:859,115900,0.3,116538,0.5,234110,0.3,311113,1.75 35,1,2024-09-07 09:28:21:067,809503,809503,0,0,380701782220,3942942427,804922,3722,859,382,391769,0 35,2,2024-09-07 09:28:21:592,581302,581302,0,0,24065371,0,4055 35,3,2024-09-07 09:28:20:908,1,460,0,0,418,4559,460,0 36,0,2024-09-07 09:28:21:523,115232,0.4,115066,0.6,230159,0.4,305716,2.00 36,1,2024-09-07 09:28:20:591,808537,808537,0,0,379690609880,3964255085,798273,8255,2009,366,391759,0 36,2,2024-09-07 09:28:21:756,585638,585638,0,0,25507640,0,3875 36,3,2024-09-07 09:28:20:863,1,460,36,0,416,6402,460,0 37,0,2024-09-07 09:28:21:373,111476,0.5,111574,0.6,222958,0.4,297529,2.00 37,1,2024-09-07 09:28:20:570,807459,807452,0,7,379060352898,3960124971,797203,7694,2555,365,391770,0 37,2,2024-09-07 09:28:21:154,580276,580261,15,0,25506847,0,5815 37,3,2024-09-07 09:28:21:767,1,460,8,0,888,6754,460,0 38,0,2024-09-07 09:28:21:442,115630,0.5,112148,0.6,234712,0.4,307101,2.00 38,1,2024-09-07 09:28:21:616,808294,808294,0,0,379469479143,3967843454,796177,9639,2478,368,391821,0 38,2,2024-09-07 09:28:20:760,579507,579460,47,0,25210511,0,6710 38,3,2024-09-07 09:28:21:012,1,460,1,0,689,5880,460,0 39,0,2024-09-07 09:28:21:764,120464,0.6,117883,0.7,229740,0.5,313302,2.00 39,1,2024-09-07 09:28:20:718,807234,807234,0,0,378581688165,3971600614,793249,10794,3191,365,391658,0 39,2,2024-09-07 09:28:21:422,581074,581074,0,0,23898965,0,3391 39,3,2024-09-07 09:28:20:713,1,460,13,0,324,5024,460,0 40,0,2024-09-07 09:28:21:488,115031,0.8,115748,1.0,230771,0.8,307988,2.75 40,1,2024-09-07 09:28:20:585,808305,808305,0,0,378930374803,3964682989,797187,9016,2102,368,391668,0 40,2,2024-09-07 09:28:21:305,583037,583036,1,0,27835119,0,5137 40,3,2024-09-07 09:28:21:152,1,460,1,0,1028,6160,460,0 41,0,2024-09-07 09:28:21:023,111170,1.3,113656,1.2,216626,1.9,294935,3.00 41,1,2024-09-07 09:28:20:775,806378,806378,0,0,379562935575,3972759501,795698,8972,1708,369,391742,0 41,2,2024-09-07 09:28:20:759,582164,582164,0,0,26827818,0,4277 41,3,2024-09-07 09:28:21:690,1,460,12,0,366,4661,460,0 42,0,2024-09-07 09:28:21:481,116677,0.8,116576,1.0,233357,0.9,309039,2.75 42,1,2024-09-07 09:28:21:441,804915,804915,0,0,378574504391,3976435161,792114,10663,2138,380,391675,0 42,2,2024-09-07 09:28:21:136,578235,578235,0,0,26325607,0,3790 42,3,2024-09-07 09:28:21:013,1,460,19,0,892,4365,460,0 43,0,2024-09-07 09:28:20:920,115744,0.7,112808,0.9,236009,0.8,309644,2.25 43,1,2024-09-07 09:28:20:577,808291,808291,0,0,379559755578,3965998198,796786,9629,1876,366,391696,0 43,2,2024-09-07 09:28:21:742,580827,580827,0,0,26077428,0,3812 43,3,2024-09-07 09:28:21:755,1,460,203,0,571,6657,460,0 44,0,2024-09-07 09:28:20:886,116757,0.4,116904,0.6,234185,0.4,311057,2.00 44,1,2024-09-07 09:28:20:564,809907,809907,0,0,379811858933,3922190308,803405,5184,1318,356,391809,0 44,2,2024-09-07 09:28:21:269,581630,581630,0,0,21836761,0,4344 44,3,2024-09-07 09:28:21:094,1,460,0,0,817,5214,460,0 45,0,2024-09-07 09:28:21:762,110713,0.5,108035,0.7,226754,0.4,298383,2.00 45,1,2024-09-07 09:28:21:006,809201,809201,0,0,380478592245,3950315124,803503,5124,574,382,391917,0 45,2,2024-09-07 09:28:21:268,586382,586382,0,0,22032248,0,3596 45,3,2024-09-07 09:28:20:934,1,460,7,0,271,3868,460,0 46,0,2024-09-07 09:28:20:947,115387,0.4,114826,0.6,230541,0.4,306279,2.00 46,1,2024-09-07 09:28:20:575,811141,811141,0,0,380948485144,3931565049,806421,4128,592,366,391709,0 46,2,2024-09-07 09:28:20:594,579980,579980,0,0,22065188,0,4443 46,3,2024-09-07 09:28:21:131,1,460,6,0,908,5566,460,0 47,0,2024-09-07 09:28:21:104,115667,0.4,115970,0.6,231977,0.4,307290,1.75 47,1,2024-09-07 09:28:20:571,811746,811746,0,0,380297478738,3927457311,806863,4044,839,366,391641,0 47,2,2024-09-07 09:28:20:916,583453,583453,0,0,21856077,0,4477 47,3,2024-09-07 09:28:21:116,1,460,1,0,600,5367,460,0 48,0,2024-09-07 09:28:21:489,116798,0.3,116772,0.4,232598,0.2,309782,1.50 48,1,2024-09-07 09:28:21:022,809694,809694,0,0,380440497738,3948308323,804977,4260,457,384,391710,0 48,2,2024-09-07 09:28:20:701,582261,582261,0,0,20637431,0,3411 48,3,2024-09-07 09:28:20:756,1,460,2,0,339,3607,460,0 49,0,2024-09-07 09:28:21:714,116260,0.3,114372,0.5,221880,0.3,303382,1.75 49,1,2024-09-07 09:28:21:022,808918,808918,0,0,379902156530,3949296281,803756,3955,1207,382,391809,0 49,2,2024-09-07 09:28:21:795,586144,586144,0,0,22895267,0,4426 49,3,2024-09-07 09:28:21:433,1,460,22,0,992,5364,460,0 50,0,2024-09-07 09:28:21:514,113790,0.3,112269,0.4,226106,0.2,301715,1.75 50,1,2024-09-07 09:28:21:021,811485,811485,0,0,381885383179,3946158749,806442,4469,574,368,391565,0 50,2,2024-09-07 09:28:21:071,581206,581206,0,0,20627657,0,4490 50,3,2024-09-07 09:28:21:296,1,460,27,0,567,4511,460,0 51,0,2024-09-07 09:28:21:702,118479,0.3,116156,0.4,226464,0.2,308400,1.75 51,1,2024-09-07 09:28:21:685,811918,811918,0,0,382166756267,3938905881,807801,3068,1049,365,391706,0 51,2,2024-09-07 09:28:21:318,581015,581015,0,0,19735363,0,3337 51,3,2024-09-07 09:28:21:028,1,460,2,0,678,3616,460,0 52,0,2024-09-07 09:28:21:416,117612,0.5,117376,0.7,235091,0.5,312019,2.00 52,1,2024-09-07 09:28:20:575,807872,807872,0,0,379226978152,3967724472,796651,9777,1444,368,391722,0 52,2,2024-09-07 09:28:21:756,578061,578023,38,0,26941403,0,6742 52,3,2024-09-07 09:28:20:680,1,460,1,0,1782,5993,460,0 53,0,2024-09-07 09:28:21:748,114998,0.6,111617,0.8,233621,0.7,306568,2.25 53,1,2024-09-07 09:28:20:771,805863,805863,0,0,379448410008,3981718557,792536,9800,3527,367,391968,0 53,2,2024-09-07 09:28:21:306,585048,585047,1,0,24327667,0,5455 53,3,2024-09-07 09:28:20:698,1,460,9,0,308,4485,460,0 54,0,2024-09-07 09:28:21:631,110618,0.6,110963,0.7,220909,0.4,295915,2.25 54,1,2024-09-07 09:28:20:582,807910,807910,0,0,379322159026,3943863835,799313,7202,1395,366,391659,0 54,2,2024-09-07 09:28:20:865,583939,583907,32,0,27702954,0,6397 54,3,2024-09-07 09:28:20:765,1,460,35,0,676,6404,460,0 55,0,2024-09-07 09:28:21:763,113146,0.6,116667,0.7,236468,0.5,307565,2.25 55,1,2024-09-07 09:28:20:766,808737,808737,0,0,379734897749,3945352194,800439,7116,1182,365,391731,0 55,2,2024-09-07 09:28:20:734,578700,578644,56,0,26444632,0,7239 55,3,2024-09-07 09:28:20:677,1,460,0,0,304,4454,460,0 56,0,2024-09-07 09:28:21:580,119711,1.2,112883,1.1,232701,1.7,311581,2.75 56,1,2024-09-07 09:28:20:589,804941,804941,0,0,378577076157,3993003600,793266,9300,2375,381,391867,0 56,2,2024-09-07 09:28:21:318,579948,579826,122,0,27352030,0,7432 56,3,2024-09-07 09:28:21:070,1,460,3,0,705,5433,460,0 57,0,2024-09-07 09:28:20:944,114716,1.6,114493,1.3,229597,2.2,307126,3.25 57,1,2024-09-07 09:28:20:989,806663,806663,0,0,378148559409,3963270315,796767,8421,1475,366,392032,0 57,2,2024-09-07 09:28:21:317,583765,583765,0,0,28100481,0,3317 57,3,2024-09-07 09:28:21:746,1,460,2,0,455,5295,460,0 58,0,2024-09-07 09:28:20:554,110564,0.9,107437,1.0,224657,1.0,294672,2.50 58,1,2024-09-07 09:28:20:579,807501,807498,0,3,379601407253,3972438441,796150,9028,2320,367,391603,3 58,2,2024-09-07 09:28:21:081,584107,584107,0,0,26315898,0,2902 58,3,2024-09-07 09:28:21:070,1,460,1,0,1043,4885,460,0 59,0,2024-09-07 09:28:21:754,116001,0.7,115521,0.9,230829,0.7,306105,2.50 59,1,2024-09-07 09:28:20:815,806872,806872,0,0,379399646530,3975333042,795186,9565,2121,369,391653,0 59,2,2024-09-07 09:28:20:582,578096,578096,0,0,25916439,0,3727 59,3,2024-09-07 09:28:21:744,1,460,9,0,1015,6032,460,0 60,0,2024-09-07 09:28:21:759,115862,0.5,115836,0.7,232382,0.5,308931,1.75 60,1,2024-09-07 09:28:20:777,810070,810070,0,0,380692264614,3947841692,804909,4438,723,370,392031,0 60,2,2024-09-07 09:28:21:141,581086,581086,0,0,23860097,0,3811 60,3,2024-09-07 09:28:21:259,1,460,3,0,409,5789,460,0 61,0,2024-09-07 09:28:21:551,116230,0.7,116912,0.8,232839,0.7,310406,2.00 61,1,2024-09-07 09:28:20:782,807835,807835,0,0,379659297655,3972640388,799473,6928,1434,382,392127,0 61,2,2024-09-07 09:28:21:121,582751,582684,67,0,24435095,0,6411 61,3,2024-09-07 09:28:21:692,1,460,13,0,479,6115,460,0 62,0,2024-09-07 09:28:21:712,113760,0.5,116632,0.7,222700,0.5,302473,2.00 62,1,2024-09-07 09:28:21:111,812398,812392,0,6,381721452313,3933266392,808552,3578,262,365,391975,6 62,2,2024-09-07 09:28:21:650,584059,584058,1,0,24503346,0,5555 62,3,2024-09-07 09:28:21:143,1,460,1,0,482,3846,460,0 63,0,2024-09-07 09:28:21:470,114887,0.4,114710,0.5,229991,0.3,305919,1.75 63,1,2024-09-07 09:28:20:805,810122,810116,0,6,380417952844,3946176868,805133,4250,733,381,391800,6 63,2,2024-09-07 09:28:20:765,579875,579875,0,0,22126820,0,4369 63,3,2024-09-07 09:28:21:733,1,460,2,0,667,4684,460,0 64,0,2024-09-07 09:28:21:549,114923,0.5,115031,0.6,229404,0.4,304934,1.75 64,1,2024-09-07 09:28:20:760,809470,809470,0,0,379765064207,3953107943,802692,5074,1704,370,391783,0 64,2,2024-09-07 09:28:21:141,584564,584545,19,0,22239736,0,6121 64,3,2024-09-07 09:28:21:144,1,460,0,0,265,4313,460,0 65,0,2024-09-07 09:28:21:728,115740,0.7,116030,0.8,231653,0.7,308490,2.00 65,1,2024-09-07 09:28:20:864,807447,807447,0,0,379167241803,3958591993,802324,4582,541,381,391901,0 65,2,2024-09-07 09:28:21:709,581399,581399,0,0,26356528,0,3367 65,3,2024-09-07 09:28:21:692,1,460,9,0,782,5607,460,0 66,0,2024-09-07 09:28:21:770,114612,0.5,114412,0.7,228825,0.5,304106,2.00 66,1,2024-09-07 09:28:21:298,809475,809475,0,0,379876379396,3953488132,803970,4979,526,380,391743,0 66,2,2024-09-07 09:28:21:132,586789,586786,3,0,23750022,0,5455 66,3,2024-09-07 09:28:21:081,1,460,6,0,291,3920,460,0 67,0,2024-09-07 09:28:21:418,111958,0.4,111854,0.6,224186,0.4,298304,2.00 67,1,2024-09-07 09:28:20:766,809389,809388,0,1,379651404714,3951765085,803869,4725,794,380,391787,1 67,2,2024-09-07 09:28:20:583,584447,584432,15,0,22779806,0,6205 67,3,2024-09-07 09:28:21:756,1,460,2,0,392,4390,460,0 68,0,2024-09-07 09:28:20:567,116576,0.5,116497,0.7,231927,0.5,309625,2.00 68,1,2024-09-07 09:28:20:573,805890,805890,0,0,377960329236,3976475158,794549,8006,3335,381,391953,0 68,2,2024-09-07 09:28:21:046,577148,577048,100,0,29199038,0,8578 68,3,2024-09-07 09:28:20:733,1,460,13,0,417,5486,460,0 69,0,2024-09-07 09:28:21:756,117048,0.7,117799,0.8,234849,0.8,311654,2.25 69,1,2024-09-07 09:28:21:031,805528,805528,0,0,378534303159,3986698319,795167,8087,2274,384,391994,0 69,2,2024-09-07 09:28:21:736,579410,579381,29,0,31090917,0,6912 69,3,2024-09-07 09:28:20:760,1,460,7,0,698,7051,460,0 70,0,2024-09-07 09:28:21:531,114979,1.0,115366,1.0,232030,0.8,307448,2.50 70,1,2024-09-07 09:28:20:807,809523,809523,0,0,380495618401,3948146951,803034,5788,701,366,391725,0 70,2,2024-09-07 09:28:21:335,583881,583881,0,0,26209138,0,4323 70,3,2024-09-07 09:28:20:745,1,460,107,0,854,5334,460,0 71,0,2024-09-07 09:28:21:364,110472,0.9,110355,1.0,221722,1.2,296051,2.75 71,1,2024-09-07 09:28:21:596,807751,807751,0,0,379133263849,3954932217,798222,8449,1080,368,391738,0 71,2,2024-09-07 09:28:21:071,583213,583213,0,0,26330356,0,4352 71,3,2024-09-07 09:28:21:758,1,460,0,0,644,5716,460,0 72,0,2024-09-07 09:28:21:051,121232,0.5,118533,0.7,231066,0.5,314735,2.00 72,1,2024-09-07 09:28:21:030,806598,806598,0,0,378787066837,3971455584,795320,9259,2019,369,391819,0 72,2,2024-09-07 09:28:21:756,577743,577743,0,0,28044410,0,3983 72,3,2024-09-07 09:28:21:758,1,460,17,0,564,6708,460,0 73,0,2024-09-07 09:28:21:120,113883,0.4,116832,0.6,238810,0.4,310525,2.00 73,1,2024-09-07 09:28:20:766,808770,808770,0,0,380061948687,3945793904,803122,5228,420,367,391858,0 73,2,2024-09-07 09:28:21:747,580314,580314,0,0,27737707,0,3701 73,3,2024-09-07 09:28:20:977,1,460,12,0,486,5889,460,0 74,0,2024-09-07 09:28:21:326,117527,0.5,120278,0.7,229330,0.5,311366,2.25 74,1,2024-09-07 09:28:20:636,808021,808021,0,0,379777038957,3961372641,799775,6634,1612,381,391762,0 74,2,2024-09-07 09:28:21:012,582259,582259,0,0,25357459,0,4253 74,3,2024-09-07 09:28:21:442,1,460,6,0,522,5737,460,0 75,0,2024-09-07 09:28:21:786,112533,0.5,111774,0.7,224261,0.4,299436,2.25 75,1,2024-09-07 09:28:21:592,808477,808477,0,0,379186757322,3952239758,801452,6195,830,380,391739,0 75,2,2024-09-07 09:28:21:357,584104,584104,0,0,28693699,0,4766 75,3,2024-09-07 09:28:21:069,1,460,1,0,702,5786,460,0 76,0,2024-09-07 09:28:20:607,115202,0.5,114455,0.7,229829,0.4,307096,2.00 76,1,2024-09-07 09:28:20:825,807982,807982,0,0,378615270939,3946349171,802544,4610,828,382,391692,0 76,2,2024-09-07 09:28:21:064,581739,581738,1,0,24257642,0,5144 76,3,2024-09-07 09:28:21:141,1,460,1,0,175,4242,460,0 77,0,2024-09-07 09:28:21:728,115008,0.5,115388,0.7,231081,0.5,306270,2.00 77,1,2024-09-07 09:28:20:828,808971,808971,0,0,380304310038,3964423970,803127,5336,508,381,391869,0 77,2,2024-09-07 09:28:21:283,580678,580678,0,0,23887677,0,3890 77,3,2024-09-07 09:28:21:097,1,460,19,0,401,5011,460,0 78,0,2024-09-07 09:28:21:719,116568,0.4,115919,0.6,232783,0.4,308384,2.00 78,1,2024-09-07 09:28:20:614,809409,809409,0,0,378803101039,3942728990,801533,6307,1569,367,391670,0 78,2,2024-09-07 09:28:21:406,582988,582975,13,0,22616554,0,8313 78,3,2024-09-07 09:28:21:135,1,460,1,0,181,3939,460,0 79,0,2024-09-07 09:28:21:347,109539,0.4,112113,0.6,229640,0.3,298398,2.25 79,1,2024-09-07 09:28:20:570,810583,810583,0,0,380343844359,3939708500,803928,5346,1309,367,391682,0 79,2,2024-09-07 09:28:21:070,586303,586303,0,0,22008400,0,4195 79,3,2024-09-07 09:28:20:754,1,460,14,0,418,5572,460,0 80,0,2024-09-07 09:28:21:099,113063,0.5,116384,0.6,222594,0.4,301478,2.00 80,1,2024-09-07 09:28:21:636,808257,808257,0,0,379306517121,3948508144,802631,5203,423,368,392269,0 80,2,2024-09-07 09:28:21:093,582936,582936,0,0,22412685,0,4433 80,3,2024-09-07 09:28:20:584,1,460,8,0,190,5193,460,0 81,0,2024-09-07 09:28:21:557,115717,0.5,118454,0.7,226222,0.5,307073,2.00 81,1,2024-09-07 09:28:21:654,807350,807350,0,0,379115481985,3958192482,801322,5487,541,382,391879,0 81,2,2024-09-07 09:28:21:128,579522,579459,63,0,24835400,0,5932 81,3,2024-09-07 09:28:21:118,1,460,9,0,719,5405,460,0 82,0,2024-09-07 09:28:21:533,116969,0.5,117156,0.7,234806,0.5,311282,2.00 82,1,2024-09-07 09:28:20:583,809957,809953,0,4,380399389016,3954639538,805207,3927,819,381,391768,4 82,2,2024-09-07 09:28:21:691,582410,582410,0,0,21231113,0,4484 82,3,2024-09-07 09:28:21:755,1,460,0,0,363,4641,460,0 83,0,2024-09-07 09:28:21:522,115807,0.6,115736,0.7,230749,0.6,306766,2.25 83,1,2024-09-07 09:28:20:551,807840,807840,0,0,379145774013,3951175144,802159,5231,450,382,391709,0 83,2,2024-09-07 09:28:20:766,584641,584616,25,0,22777577,0,5612 83,3,2024-09-07 09:28:20:757,1,460,1,0,1260,5826,460,0 84,0,2024-09-07 09:28:21:788,111424,0.7,111448,0.9,222205,0.6,297828,2.25 84,1,2024-09-07 09:28:21:041,807032,807032,0,0,378536435557,3953738620,798769,7210,1053,367,391967,0 84,2,2024-09-07 09:28:20:574,582175,582145,30,0,29594757,0,5971 84,3,2024-09-07 09:28:21:141,1,460,0,0,908,6746,460,0 85,0,2024-09-07 09:28:21:007,112741,0.6,112665,0.8,239074,0.6,309356,2.25 85,1,2024-09-07 09:28:20:568,805020,805020,0,0,378212964394,3988265825,793419,9510,2091,381,392006,0 85,2,2024-09-07 09:28:20:883,578260,578260,0,0,27521884,0,3656 85,3,2024-09-07 09:28:20:689,1,460,8,0,789,5397,460,0 86,0,2024-09-07 09:28:20:882,117039,0.7,120442,0.8,230471,0.7,311905,2.25 86,1,2024-09-07 09:28:20:825,807239,807239,0,0,379583205465,3975022751,797490,8115,1634,366,391961,0 86,2,2024-09-07 09:28:20:858,578506,578505,1,0,30180227,0,5004 86,3,2024-09-07 09:28:20:597,1,460,5,0,308,6643,460,0 87,0,2024-09-07 09:28:21:316,116365,0.9,116105,0.9,232277,1.2,310397,2.50 87,1,2024-09-07 09:28:20:581,806094,806094,0,0,378747239570,3967559419,795483,8992,1619,366,392076,0 87,2,2024-09-07 09:28:21:069,582774,582768,6,0,26663759,0,6323 87,3,2024-09-07 09:28:21:794,1,460,0,0,473,6924,460,0 88,0,2024-09-07 09:28:21:459,112036,0.4,112737,0.6,225039,0.4,299849,1.75 88,1,2024-09-07 09:28:20:571,805851,805851,0,0,378580393202,3962121428,795778,8032,2041,365,392084,0 88,2,2024-09-07 09:28:20:688,584784,584784,0,0,29114058,0,4465 88,3,2024-09-07 09:28:21:270,1,460,20,0,435,5409,460,0 89,0,2024-09-07 09:28:21:766,119587,0.4,115852,0.6,229160,0.3,311489,1.75 89,1,2024-09-07 09:28:20:572,805633,805633,0,0,378623647303,3978292122,795894,8268,1471,382,391866,0 89,2,2024-09-07 09:28:21:132,578282,578282,0,0,26882810,0,3173 89,3,2024-09-07 09:28:21:790,1,460,34,0,468,7734,460,0 90,0,2024-09-07 09:28:21:649,112570,0.4,115676,0.6,235800,0.4,307872,2.00 90,1,2024-09-07 09:28:20:613,807141,807141,0,0,379502425905,3969188422,800269,6356,516,380,391825,0 90,2,2024-09-07 09:28:21:421,578508,578508,0,0,29187813,0,3608 90,3,2024-09-07 09:28:20:950,1,460,69,0,322,5511,460,0 91,0,2024-09-07 09:28:20:945,117128,0.5,113356,0.6,236797,0.5,311090,1.75 91,1,2024-09-07 09:28:20:591,805310,805310,0,0,378447013902,3978124642,795163,8507,1640,381,392047,0 91,2,2024-09-07 09:28:21:332,583071,583071,0,0,25750113,0,3526 91,3,2024-09-07 09:28:20:613,1,460,5,0,216,4442,460,0 92,0,2024-09-07 09:28:21:462,114233,0.4,117048,0.6,223454,0.4,302723,1.75 92,1,2024-09-07 09:28:20:590,808628,808628,0,0,378770225292,3951464900,803058,4843,727,381,392136,0 92,2,2024-09-07 09:28:21:358,586616,586616,0,0,22575756,0,3259 92,3,2024-09-07 09:28:21:014,1,460,4,0,167,4063,460,0 93,0,2024-09-07 09:28:20:957,115170,0.4,118085,0.5,225623,0.3,306058,1.75 93,1,2024-09-07 09:28:20:805,808115,808115,0,0,379601758650,3958937194,799934,6793,1388,366,391776,0 93,2,2024-09-07 09:28:20:928,579619,579619,0,0,27145521,0,4845 93,3,2024-09-07 09:28:21:418,1,460,7,0,190,4077,460,0 94,0,2024-09-07 09:28:21:631,114964,0.3,115850,0.5,231341,0.3,306433,1.75 94,1,2024-09-07 09:28:20:564,808205,808205,0,0,380085054668,3960615819,803399,4596,210,381,391850,0 94,2,2024-09-07 09:28:20:761,580895,580895,0,0,22778626,0,2443 94,3,2024-09-07 09:28:21:690,1,460,1,0,576,5904,460,0 95,0,2024-09-07 09:28:21:364,116643,0.4,116492,0.5,233612,0.3,310990,1.75 95,1,2024-09-07 09:28:20:863,809600,809600,0,0,379863829153,3944452916,803443,5719,438,365,391786,0 95,2,2024-09-07 09:28:21:018,581200,581200,0,0,22755701,0,3308 95,3,2024-09-07 09:28:21:710,1,460,1,0,718,6386,460,0 96,0,2024-09-07 09:28:21:031,115107,0.4,115355,0.5,230376,0.3,305077,1.75 96,1,2024-09-07 09:28:21:607,807798,807798,0,0,378932755300,3952149945,801922,4971,905,384,391955,0 96,2,2024-09-07 09:28:21:279,586196,586196,0,0,23700315,0,4180 96,3,2024-09-07 09:28:21:140,1,460,1,0,411,5053,460,0 97,0,2024-09-07 09:28:21:372,112056,0.3,111590,0.4,224032,0.2,298106,1.50 97,1,2024-09-07 09:28:20:766,809325,809325,0,0,381067053983,3950695380,804143,4247,935,367,392140,0 97,2,2024-09-07 09:28:20:608,582880,582880,0,0,22819354,0,3679 97,3,2024-09-07 09:28:20:570,1,460,12,0,242,5454,460,0 98,0,2024-09-07 09:28:21:701,115895,0.3,116283,0.4,233113,0.2,309311,1.50 98,1,2024-09-07 09:28:20:571,808834,808834,0,0,379794431510,3954850036,803757,4284,793,382,391997,0 98,2,2024-09-07 09:28:20:769,580364,580364,0,0,22811147,0,4336 98,3,2024-09-07 09:28:20:699,1,460,1,0,840,7303,460,0 99,0,2024-09-07 09:28:21:447,117686,0.3,118286,0.5,235235,0.3,314247,1.75 99,1,2024-09-07 09:28:21:725,808993,808993,0,0,379235527654,3944637617,804004,4152,837,380,392069,0 99,2,2024-09-07 09:28:21:423,581854,581854,0,0,27077832,0,4276 99,3,2024-09-07 09:28:20:582,1,460,49,0,606,4890,460,0 100,0,2024-09-07 09:28:21:472,115931,0.8,116328,0.9,231828,0.9,310030,2.50 100,1,2024-09-07 09:28:20:575,804237,804237,0,0,377987932752,3996589091,791424,9864,2949,378,391989,0 100,2,2024-09-07 09:28:21:817,581740,581729,11,0,26940128,0,5417 100,3,2024-09-07 09:28:21:731,1,460,1,0,559,7350,460,0 101,0,2024-09-07 09:28:21:704,113910,1.1,111042,1.0,217507,1.0,297623,2.25 101,1,2024-09-07 09:28:20:572,805054,805054,0,0,378157879559,3976134749,793394,9293,2367,368,391847,0 101,2,2024-09-07 09:28:21:756,580443,580443,0,0,31726343,0,4871 101,3,2024-09-07 09:28:20:947,1,460,21,0,1250,7365,460,0 102,0,2024-09-07 09:28:20:997,114251,0.6,117806,0.7,239025,0.6,313197,2.00 102,1,2024-09-07 09:28:21:142,805272,805272,0,0,378200610771,3973690361,794315,9016,1941,369,391891,0 102,2,2024-09-07 09:28:21:741,579624,579570,54,0,25415269,0,6768 102,3,2024-09-07 09:28:21:615,1,460,15,0,466,4970,460,0 103,0,2024-09-07 09:28:21:609,120684,0.6,120666,0.7,227386,0.6,312948,2.00 103,1,2024-09-07 09:28:21:640,804646,804646,0,0,378143627803,3992470267,791600,9854,3192,381,391862,0 103,2,2024-09-07 09:28:20:586,578882,578882,0,0,28407082,0,3766 103,3,2024-09-07 09:28:20:765,1,460,115,0,916,5357,460,0 104,0,2024-09-07 09:28:21:060,115738,0.7,116082,0.9,231096,0.7,309492,2.25 104,1,2024-09-07 09:28:21:599,806963,806963,0,0,379000456158,3977023469,795723,9340,1900,365,392168,0 104,2,2024-09-07 09:28:21:666,580890,580890,0,0,27190596,0,3941 104,3,2024-09-07 09:28:21:420,1,460,2,0,1245,8767,460,0 105,0,2024-09-07 09:28:21:038,110912,0.7,108007,1.0,226355,0.8,297452,2.50 105,1,2024-09-07 09:28:20:559,808296,808296,0,0,379073151408,3970118563,798227,8471,1598,366,392009,0 105,2,2024-09-07 09:28:21:337,583683,583683,0,0,27286538,0,3509 105,3,2024-09-07 09:28:21:305,1,460,1,0,399,6807,460,0 106,0,2024-09-07 09:28:20:935,111656,0.7,114421,0.8,234293,0.7,306531,2.25 106,1,2024-09-07 09:28:21:750,806956,806956,0,0,378780349926,3974518458,795264,10053,1639,368,391914,0 106,2,2024-09-07 09:28:20:755,578257,578257,0,0,25867014,0,2920 106,3,2024-09-07 09:28:20:680,1,460,5,0,470,5996,460,0 107,0,2024-09-07 09:28:21:101,115232,0.8,115475,0.9,230719,0.9,307389,2.00 107,1,2024-09-07 09:28:20:586,805277,805277,0,0,378387262787,3985465575,794332,9873,1072,381,392234,0 107,2,2024-09-07 09:28:21:305,578926,578925,1,0,27639296,0,5024 107,3,2024-09-07 09:28:21:758,1,460,25,0,370,6767,460,0 108,0,2024-09-07 09:28:21:851,115941,0.5,116849,0.6,232352,0.4,309781,1.75 108,1,2024-09-07 09:28:21:305,808544,808544,0,0,379653493954,3955179428,802358,5441,745,367,391857,0 108,2,2024-09-07 09:28:21:756,581243,581243,0,0,25454593,0,4246 108,3,2024-09-07 09:28:21:377,1,460,16,0,749,8816,460,0 109,0,2024-09-07 09:28:21:820,114014,0.4,113173,0.6,226559,0.3,302632,1.75 109,1,2024-09-07 09:28:20:681,805502,805502,0,0,378941585084,3973886586,798040,6274,1188,382,392132,0 109,2,2024-09-07 09:28:20:921,583321,583321,0,0,24903136,0,3617 109,3,2024-09-07 09:28:21:144,1,460,29,0,379,5310,460,0 110,0,2024-09-07 09:28:21:761,112998,0.3,109968,0.5,230439,0.3,302106,1.75 110,1,2024-09-07 09:28:21:661,809375,809375,0,0,379535715657,3935633780,803974,4221,1180,369,392045,0 110,2,2024-09-07 09:28:21:305,581352,581352,0,0,23825179,0,4067 110,3,2024-09-07 09:28:20:692,1,460,2,0,722,6442,460,0 111,0,2024-09-07 09:28:21:412,116127,0.3,115330,0.5,231190,0.3,308282,1.75 111,1,2024-09-07 09:28:21:013,810313,810313,0,0,381871751018,3956933312,806381,3577,355,380,391690,0 111,2,2024-09-07 09:28:21:115,579672,579672,0,0,23338876,0,4823 111,3,2024-09-07 09:28:20:914,1,460,2,0,379,5238,460,0 112,0,2024-09-07 09:28:20:940,117967,0.3,117394,0.4,235298,0.2,312308,1.50 112,1,2024-09-07 09:28:20:835,809110,809110,0,0,379972717388,3941119127,804378,4119,613,380,391624,0 112,2,2024-09-07 09:28:21:138,581229,581228,1,0,22946351,0,5036 112,3,2024-09-07 09:28:20:603,1,460,226,0,282,4564,460,0 113,0,2024-09-07 09:28:20:870,115888,0.3,115876,0.5,232094,0.2,308762,1.75 113,1,2024-09-07 09:28:21:697,811841,811841,0,0,381603504736,3933761755,807945,3307,589,366,391661,0 113,2,2024-09-07 09:28:21:302,586729,586729,0,0,20974882,0,3813 113,3,2024-09-07 09:28:20:686,1,460,5,0,340,5012,460,0 114,0,2024-09-07 09:28:20:877,112372,0.3,113170,0.4,224876,0.2,301041,1.75 114,1,2024-09-07 09:28:20:716,809520,809520,0,0,380251533899,3948058046,803184,4720,1616,381,391565,0 114,2,2024-09-07 09:28:20:873,585155,585154,1,0,21996005,0,5069 114,3,2024-09-07 09:28:21:287,1,460,0,0,395,3794,460,0 115,0,2024-09-07 09:28:20:555,117578,0.3,117992,0.4,235469,0.2,312580,1.50 115,1,2024-09-07 09:28:20:571,809714,809714,0,0,380136053028,3947843299,803215,5231,1268,382,391757,0 115,2,2024-09-07 09:28:21:125,581127,581127,0,0,21795579,0,4382 115,3,2024-09-07 09:28:21:012,1,460,0,0,159,2567,460,0 116,0,2024-09-07 09:28:21:733,116880,0.7,116734,0.8,234175,0.7,313144,2.00 116,1,2024-09-07 09:28:20:803,805452,805452,0,0,378720951172,3989111669,795884,7167,2401,380,392089,0 116,2,2024-09-07 09:28:21:758,579419,579419,0,0,28270217,0,4128 116,3,2024-09-07 09:28:20:913,1,460,1,0,415,5224,460,0 117,0,2024-09-07 09:28:20:975,116717,0.8,116158,0.8,232731,0.9,310788,2.00 117,1,2024-09-07 09:28:21:613,806644,806644,0,0,378421544578,3958681581,798309,7356,979,369,392033,0 117,2,2024-09-07 09:28:21:122,585671,585671,0,0,24523171,0,4303 117,3,2024-09-07 09:28:21:070,1,460,0,0,490,6673,460,0 118,0,2024-09-07 09:28:21:771,108932,0.5,111835,0.7,228260,0.5,298743,2.00 118,1,2024-09-07 09:28:20:585,805976,805976,0,0,378042434654,3973398068,793839,9117,3020,366,392054,0 118,2,2024-09-07 09:28:21:614,583801,583801,0,0,26652820,0,2842 118,3,2024-09-07 09:28:21:767,1,460,7,0,248,5227,460,0 119,0,2024-09-07 09:28:21:352,116113,0.6,116353,0.7,232769,0.5,309733,2.00 119,1,2024-09-07 09:28:20:560,806954,806954,0,0,379226169900,3970338586,797024,8579,1351,367,391857,0 119,2,2024-09-07 09:28:21:265,579480,579480,0,0,24812058,0,4174 119,3,2024-09-07 09:28:21:349,1,460,2,0,1358,8869,460,0 120,0,2024-09-07 09:28:21:556,115352,0.6,115066,0.8,230959,0.7,308404,2.25 120,1,2024-09-07 09:28:20:859,807218,807218,0,0,378524103369,3967813205,798777,7671,770,368,392144,0 120,2,2024-09-07 09:28:20:789,579643,579642,1,0,29265119,0,5281 120,3,2024-09-07 09:28:21:290,1,460,126,0,279,5640,460,0 121,0,2024-09-07 09:28:21:698,116509,1.3,116188,1.1,232892,1.8,310358,2.50 121,1,2024-09-07 09:28:21:662,807662,807662,0,0,379790954441,3965909795,800725,6475,462,367,391840,0 121,2,2024-09-07 09:28:21:126,581714,581714,0,0,27276969,0,4127 121,3,2024-09-07 09:28:20:727,1,460,17,0,269,5150,460,0 122,0,2024-09-07 09:28:21:843,112750,0.7,109897,0.8,230451,0.8,302558,2.00 122,1,2024-09-07 09:28:20:861,806145,806145,0,0,379202146396,3975437367,795403,9063,1679,366,392130,0 122,2,2024-09-07 09:28:21:323,585414,585341,73,0,30737498,0,5989 122,3,2024-09-07 09:28:20:602,1,460,36,0,512,8083,460,0 123,0,2024-09-07 09:28:20:963,113889,0.6,110926,0.8,232535,0.7,304777,2.00 123,1,2024-09-07 09:28:20:570,805937,805937,0,0,378564900793,3983325716,792232,11259,2446,369,392039,0 123,2,2024-09-07 09:28:21:026,577862,577861,1,0,25491435,0,5215 123,3,2024-09-07 09:28:21:131,1,460,15,0,478,5259,460,0 124,0,2024-09-07 09:28:20:925,118781,0.3,118788,0.5,223879,0.3,307404,1.75 124,1,2024-09-07 09:28:21:022,809518,809518,0,0,379939069277,3944827373,804178,4287,1053,367,392178,0 124,2,2024-09-07 09:28:21:013,581637,581584,53,0,22904941,0,6487 124,3,2024-09-07 09:28:20:758,1,460,3,0,490,4315,460,0 125,0,2024-09-07 09:28:21:424,116625,0.4,116373,0.6,233611,0.4,310982,1.75 125,1,2024-09-07 09:28:20:863,807618,807618,0,0,379105660932,3954033838,801693,5252,673,382,391813,0 125,2,2024-09-07 09:28:21:126,582795,582795,0,0,23835505,0,4534 125,3,2024-09-07 09:28:21:126,1,460,0,0,709,5564,460,0 126,0,2024-09-07 09:28:21:426,115061,0.4,118366,0.6,226409,0.4,305827,1.75 126,1,2024-09-07 09:28:20:551,810047,810047,0,0,380629619943,3938416863,805986,3767,294,365,391987,0 126,2,2024-09-07 09:28:20:617,586076,586076,0,0,24624184,0,4539 126,3,2024-09-07 09:28:20:912,1,460,1,0,268,5359,460,0 127,0,2024-09-07 09:28:21:598,111982,0.3,112372,0.4,224215,0.2,298457,1.50 127,1,2024-09-07 09:28:20:575,809108,809108,0,0,380075627092,3948668460,801730,6154,1224,364,392187,0 127,2,2024-09-07 09:28:20:637,581377,581377,0,0,22156059,0,3897 127,3,2024-09-07 09:28:21:281,1,460,1,0,968,4771,460,0 128,0,2024-09-07 09:28:21:522,116822,0.3,116888,0.4,233494,0.2,310068,1.50 128,1,2024-09-07 09:28:21:618,808112,808112,0,0,380193336476,3943867800,803181,4498,433,367,392031,0 128,2,2024-09-07 09:28:21:383,581258,581258,0,0,21589887,0,3171 128,3,2024-09-07 09:28:20:766,1,460,13,0,1082,6971,460,0 129,0,2024-09-07 09:28:20:998,118662,0.3,118129,0.5,236569,0.3,314660,1.50 129,1,2024-09-07 09:28:20:572,806484,806484,0,0,377985026595,3958267970,798705,6105,1674,379,391962,0 129,2,2024-09-07 09:28:20:689,581680,581676,4,0,23838586,0,5335 129,3,2024-09-07 09:28:20:691,1,460,17,0,506,6566,460,0 130,0,2024-09-07 09:28:21:722,117007,0.5,116556,0.6,234384,0.5,311464,1.75 130,1,2024-09-07 09:28:20:587,809431,809431,0,0,380084553219,3947326712,805292,3800,339,381,391825,0 130,2,2024-09-07 09:28:21:131,585080,585080,0,0,23668864,0,4067 130,3,2024-09-07 09:28:21:301,1,460,4,0,960,6865,460,0 131,0,2024-09-07 09:28:21:949,111596,0.3,111979,0.5,224794,0.3,298361,1.75 131,1,2024-09-07 09:28:21:827,808606,808606,0,0,379101193446,3955750953,802616,4937,1053,381,391865,0 131,2,2024-09-07 09:28:20:571,585954,585954,0,0,21650597,0,3979 131,3,2024-09-07 09:28:21:689,1,460,6,0,392,4970,460,0 132,0,2024-09-07 09:28:21:413,117511,0.4,118590,0.6,236046,0.4,314494,1.75 132,1,2024-09-07 09:28:20:576,804589,804589,0,0,378483005807,3983414510,792870,9587,2132,381,392532,0 132,2,2024-09-07 09:28:20:721,578860,578843,17,0,28313261,0,6451 132,3,2024-09-07 09:28:21:689,1,460,1,0,804,7751,460,0 133,0,2024-09-07 09:28:21:519,113860,0.4,116533,0.6,239030,0.4,311247,1.75 133,1,2024-09-07 09:28:20:586,804803,804803,0,0,378262912422,3986291247,793526,9662,1615,383,391914,0 133,2,2024-09-07 09:28:21:094,580289,580239,50,0,29749622,0,6861 133,3,2024-09-07 09:28:21:302,1,460,23,0,479,4766,460,0 134,0,2024-09-07 09:28:20:941,117179,0.6,116795,0.7,233876,0.6,311792,2.00 134,1,2024-09-07 09:28:20:587,806208,806208,0,0,378035802385,3962240281,796042,8098,2068,366,391718,0 134,2,2024-09-07 09:28:21:760,581361,581337,24,0,27779851,0,6207 134,3,2024-09-07 09:28:20:754,1,460,53,0,739,5695,460,0 135,0,2024-09-07 09:28:21:101,108576,0.7,108558,0.8,230490,0.8,296927,2.25 135,1,2024-09-07 09:28:21:612,806545,806545,0,0,378884836675,3983317181,796191,8976,1378,380,391805,0 135,2,2024-09-07 09:28:20:687,584859,584859,0,0,27157571,0,3981 135,3,2024-09-07 09:28:21:007,1,460,1,0,299,4029,460,0 136,0,2024-09-07 09:28:21:617,116131,0.5,116298,0.7,231615,0.4,308782,2.00 136,1,2024-09-07 09:28:21:441,807671,807671,0,0,378431525907,3965461799,798795,7902,974,381,391685,0 136,2,2024-09-07 09:28:21:136,580727,580727,0,0,25303135,0,3506 136,3,2024-09-07 09:28:21:106,1,460,9,0,637,5189,460,0 137,0,2024-09-07 09:28:20:963,119019,0.6,115952,0.7,227335,0.5,308599,2.00 137,1,2024-09-07 09:28:20:587,805585,805585,0,0,378747805989,3972845611,792973,9951,2661,366,391898,0 137,2,2024-09-07 09:28:21:705,579121,579121,0,0,28544426,0,3185 137,3,2024-09-07 09:28:20:770,1,460,8,0,484,5587,460,0 138,0,2024-09-07 09:28:21:807,115819,0.9,115769,0.9,232474,1.2,308295,2.25 138,1,2024-09-07 09:28:21:718,806862,806862,0,0,379044772214,3971094792,796206,8930,1726,368,391954,0 138,2,2024-09-07 09:28:20:597,581052,581052,0,0,26159010,0,4988 138,3,2024-09-07 09:28:20:631,1,460,9,0,1200,7239,460,0 139,0,2024-09-07 09:28:21:387,112515,1.4,112637,1.1,225793,2.1,301122,2.50 139,1,2024-09-07 09:28:20:574,802733,802733,0,0,376926211491,4002189649,787511,11780,3442,380,392058,0 139,2,2024-09-07 09:28:20:695,581472,581472,0,0,29798956,0,3097 139,3,2024-09-07 09:28:21:670,1,460,139,0,432,5349,460,0 140,0,2024-09-07 09:28:21:605,113636,0.3,112995,0.5,226673,0.2,302488,1.75 140,1,2024-09-07 09:28:21:537,811385,811385,0,0,382253476714,3937217803,807804,3139,442,365,391606,0 140,2,2024-09-07 09:28:20:687,582229,582228,1,0,22305295,0,5036 140,3,2024-09-07 09:28:20:774,1,460,9,0,297,3723,460,0 141,0,2024-09-07 09:28:21:711,115972,0.3,119010,0.4,227332,0.2,307922,1.50 141,1,2024-09-07 09:28:20:859,810365,810365,0,0,381259447680,3956093294,804579,4829,957,379,391614,0 141,2,2024-09-07 09:28:21:698,580838,580838,0,0,22266865,0,3360 141,3,2024-09-07 09:28:21:045,1,460,1,0,391,5085,460,0 142,0,2024-09-07 09:28:21:323,118050,0.3,117351,0.5,234867,0.3,312920,1.75 142,1,2024-09-07 09:28:20:590,808693,808693,0,0,379291995666,3949132115,803987,4304,402,382,392102,0 142,2,2024-09-07 09:28:21:302,580936,580904,32,0,24343896,0,6028 142,3,2024-09-07 09:28:21:746,1,460,63,0,484,5040,460,0 143,0,2024-09-07 09:28:21:375,115832,0.4,115974,0.6,232303,0.4,308747,1.75 143,1,2024-09-07 09:28:20:562,810072,810072,0,0,380402623896,3944278991,804991,4535,546,367,391705,0 143,2,2024-09-07 09:28:20:774,585484,585484,0,0,23484891,0,3123 143,3,2024-09-07 09:28:21:140,1,460,2,0,462,5477,460,0 144,0,2024-09-07 09:28:21:507,108700,0.6,111655,0.8,227663,0.5,298649,2.00 144,1,2024-09-07 09:28:20:582,805987,805987,0,0,378689774422,3971146760,798032,6117,1838,381,391657,0 144,2,2024-09-07 09:28:21:792,584308,584308,0,0,22176904,0,3673 144,3,2024-09-07 09:28:21:742,1,460,4,0,249,4539,460,0 145,0,2024-09-07 09:28:21:379,113335,0.6,113332,0.8,240445,0.5,309430,2.25 145,1,2024-09-07 09:28:20:553,805387,805387,0,0,378456916829,3980649908,794697,8823,1867,382,391759,0 145,2,2024-09-07 09:28:21:437,577185,577104,81,0,27537034,0,7814 145,3,2024-09-07 09:28:20:895,1,460,8,0,622,6377,460,0 146,0,2024-09-07 09:28:21:595,117162,0.6,116620,0.7,234658,0.6,311595,2.25 146,1,2024-09-07 09:28:21:591,806304,806304,0,0,378176705980,3977867681,793025,9752,3527,367,391770,0 146,2,2024-09-07 09:28:21:698,578850,578850,0,0,26098545,0,3290 146,3,2024-09-07 09:28:21:297,1,460,19,0,1520,8195,460,0 147,0,2024-09-07 09:28:21:744,116592,0.6,116369,0.8,232073,0.6,310215,2.25 147,1,2024-09-07 09:28:21:375,809813,809813,0,0,380848533844,3956499274,803083,5881,849,368,391791,0 147,2,2024-09-07 09:28:21:010,583517,583517,0,0,23455168,0,2968 147,3,2024-09-07 09:28:20:917,1,460,53,0,1626,7774,460,0 0,0,2024-09-07 09:28:31:767,112980,0.6,112933,0.7,239609,0.6,309934,2.00 0,1,2024-09-07 09:28:30:801,808442,808442,0,0,380110655164,3976055803,802614,5379,449,369,391896,0 0,2,2024-09-07 09:28:31:068,582975,582975,0,0,23379037,0,4480 0,3,2024-09-07 09:28:30:973,1,461,3,0,431,6728,461,0 1,0,2024-09-07 09:28:31:788,116652,1.1,116090,1.0,232622,1.5,310977,2.00 1,1,2024-09-07 09:28:30:562,808407,808407,0,0,379598035215,3969182273,801847,5230,1330,370,391859,0 1,2,2024-09-07 09:28:30:650,583401,583401,0,0,23180910,0,3380 1,3,2024-09-07 09:28:31:302,1,461,10,0,268,5608,461,0 2,0,2024-09-07 09:28:31:567,113329,0.6,113281,0.7,226082,0.6,301513,2.00 2,1,2024-09-07 09:28:30:859,811137,811137,0,0,381223958699,3953819461,807814,3028,295,380,391745,0 2,2,2024-09-07 09:28:31:266,587404,587404,0,0,21764856,0,3594 2,3,2024-09-07 09:28:30:691,1,461,1,0,357,4264,461,0 3,0,2024-09-07 09:28:31:749,115050,0.4,114781,0.6,229713,0.3,306240,2.00 3,1,2024-09-07 09:28:31:622,809463,809463,0,0,380046663402,3954820773,803105,5664,694,379,391716,0 3,2,2024-09-07 09:28:31:142,581520,581497,23,0,22840647,0,5851 3,3,2024-09-07 09:28:31:758,1,461,0,0,207,2982,461,0 4,0,2024-09-07 09:28:31:816,112036,0.4,115150,0.5,234794,0.3,306737,1.75 4,1,2024-09-07 09:28:30:591,805985,805985,0,0,378760301642,4005444168,792625,10275,3085,370,391992,0 4,2,2024-09-07 09:28:31:023,579590,579590,0,0,26954750,0,4528 4,3,2024-09-07 09:28:31:026,1,461,1,0,448,5966,461,0 5,0,2024-09-07 09:28:31:448,116594,0.4,117145,0.6,233851,0.4,310596,1.75 5,1,2024-09-07 09:28:30:765,807581,807581,0,0,379817871377,3998702375,795852,9366,2363,367,392005,0 5,2,2024-09-07 09:28:31:828,580008,580008,0,0,26953116,0,3582 5,3,2024-09-07 09:28:31:736,1,461,8,0,457,6325,461,0 6,0,2024-09-07 09:28:30:917,115158,0.4,114761,0.6,229294,0.4,305620,2.00 6,1,2024-09-07 09:28:30:754,809554,809554,0,0,379456923547,3963775132,800975,7153,1426,379,391702,0 6,2,2024-09-07 09:28:31:120,586413,586395,18,0,26278942,0,5535 6,3,2024-09-07 09:28:31:274,1,461,4,0,710,5827,461,0 7,0,2024-09-07 09:28:31:537,111624,0.5,112509,0.6,224126,0.4,298524,2.00 7,1,2024-09-07 09:28:30:850,808024,808024,0,0,379434699231,3983248027,796885,9155,1984,382,391747,0 7,2,2024-09-07 09:28:30:770,583015,583015,0,0,25450115,0,4791 7,3,2024-09-07 09:28:30:854,1,461,1,0,552,5327,461,0 8,0,2024-09-07 09:28:31:351,116554,0.3,116367,0.5,233193,0.3,310218,1.75 8,1,2024-09-07 09:28:31:024,807426,807426,0,0,379383014202,3986205748,795293,9359,2774,366,392853,0 8,2,2024-09-07 09:28:30:795,576898,576898,0,0,30196743,0,3250 8,3,2024-09-07 09:28:30:588,1,461,32,0,538,7325,461,0 9,0,2024-09-07 09:28:31:133,117898,0.4,114593,0.5,239960,0.3,314458,1.75 9,1,2024-09-07 09:28:30:563,806529,806529,0,0,379082806713,3991016664,794784,9322,2423,369,392001,0 9,2,2024-09-07 09:28:31:090,581372,581372,0,0,26923593,0,3360 9,3,2024-09-07 09:28:31:752,1,461,11,0,496,6512,461,0 10,0,2024-09-07 09:28:31:604,116466,0.3,115977,0.5,232895,0.3,310089,1.75 10,1,2024-09-07 09:28:30:583,808759,808759,0,0,379573286322,3976573859,797776,9226,1757,381,391741,0 10,2,2024-09-07 09:28:30:762,585059,585059,0,0,29695026,0,4264 10,3,2024-09-07 09:28:30:874,1,461,18,0,649,4995,461,0 11,0,2024-09-07 09:28:31:020,111883,0.4,108542,0.6,226892,0.4,299510,1.75 11,1,2024-09-07 09:28:30:582,809351,809351,0,0,380268638645,3984762198,797853,8871,2627,383,391756,0 11,2,2024-09-07 09:28:31:123,584372,584372,0,0,26064664,0,4130 11,3,2024-09-07 09:28:31:299,1,461,3,0,843,6346,461,0 12,0,2024-09-07 09:28:30:951,118631,0.4,118579,0.5,237131,0.3,315118,1.75 12,1,2024-09-07 09:28:30:936,809386,809386,0,0,380326896897,3961515566,803737,5146,503,370,391960,0 12,2,2024-09-07 09:28:31:543,580168,580168,0,0,24774357,0,3469 12,3,2024-09-07 09:28:31:059,1,461,1,0,386,6515,461,0 13,0,2024-09-07 09:28:31:324,118078,0.4,117726,0.6,234786,0.4,313252,1.75 13,1,2024-09-07 09:28:31:523,807375,807375,0,0,380080553255,3990055752,799733,6008,1634,382,391740,0 13,2,2024-09-07 09:28:30:595,583527,583527,0,0,22663531,0,3287 13,3,2024-09-07 09:28:31:780,1,461,15,0,522,6552,461,0 14,0,2024-09-07 09:28:30:583,116868,0.4,117815,0.6,233169,0.4,311048,1.75 14,1,2024-09-07 09:28:31:565,812773,812773,0,0,381585528770,3947912261,806513,5548,712,364,391673,0 14,2,2024-09-07 09:28:30:763,584406,584376,30,0,24801227,0,6104 14,3,2024-09-07 09:28:31:119,1,461,3,0,1168,4895,461,0 15,0,2024-09-07 09:28:31:553,112186,0.4,111985,0.6,224514,0.4,299054,2.00 15,1,2024-09-07 09:28:31:608,810119,810119,0,0,380277073524,3957130431,803889,5051,1179,381,391619,0 15,2,2024-09-07 09:28:31:001,588140,588140,0,0,20766964,0,3622 15,3,2024-09-07 09:28:31:409,1,461,6,0,1126,7109,461,0 16,0,2024-09-07 09:28:31:029,115943,0.5,116658,0.7,232284,0.4,309031,2.00 16,1,2024-09-07 09:28:30:646,809743,809743,0,0,379692020441,3966900838,802882,5744,1117,370,391917,0 16,2,2024-09-07 09:28:31:438,580287,580287,0,0,24439458,0,4719 16,3,2024-09-07 09:28:31:142,1,461,1,0,317,5549,461,0 17,0,2024-09-07 09:28:31:813,119194,0.6,116335,0.7,227616,0.6,309206,2.00 17,1,2024-09-07 09:28:30:572,808293,808293,0,0,380078758572,3985971316,800155,6424,1714,368,392075,0 17,2,2024-09-07 09:28:31:670,584276,584275,1,0,25106270,0,5050 17,3,2024-09-07 09:28:30:577,1,461,7,0,518,7263,461,0 18,0,2024-09-07 09:28:30:940,115303,0.7,115765,0.8,230974,0.7,308308,2.25 18,1,2024-09-07 09:28:31:645,811493,811493,0,0,381531351239,3951256619,807198,3857,438,367,391725,0 18,2,2024-09-07 09:28:31:762,585917,585917,0,0,21683390,0,3541 18,3,2024-09-07 09:28:30:898,1,461,1,0,1059,4443,461,0 19,0,2024-09-07 09:28:31:538,113253,0.6,113687,0.8,225526,0.6,300577,2.25 19,1,2024-09-07 09:28:30:567,811909,811909,0,0,381660598447,3955231596,805840,5147,922,367,391777,0 19,2,2024-09-07 09:28:31:752,589048,589048,0,0,20827675,0,3988 19,3,2024-09-07 09:28:31:131,1,461,1,0,524,3886,461,0 20,0,2024-09-07 09:28:31:374,113541,0.5,113504,0.6,226860,0.4,303065,2.00 20,1,2024-09-07 09:28:30:572,808220,808220,0,0,380611930232,3982795187,800515,6409,1296,369,391922,0 20,2,2024-09-07 09:28:30:932,580861,580861,0,0,24799424,0,3721 20,3,2024-09-07 09:28:30:589,1,461,8,0,468,7628,461,0 21,0,2024-09-07 09:28:31:130,115738,0.4,115819,0.6,231751,0.4,307172,1.75 21,1,2024-09-07 09:28:31:535,806260,806260,0,0,378742767219,3996539225,793609,9676,2975,368,392016,0 21,2,2024-09-07 09:28:31:071,578659,578639,20,0,30339617,0,5617 21,3,2024-09-07 09:28:31:410,1,461,15,0,713,6100,461,0 22,0,2024-09-07 09:28:31:718,117282,0.5,117694,0.7,235042,0.4,311397,2.00 22,1,2024-09-07 09:28:31:023,807879,807879,0,0,378865292147,3985072253,795135,9861,2883,382,391675,0 22,2,2024-09-07 09:28:30:763,581365,581339,26,0,24477988,0,6328 22,3,2024-09-07 09:28:31:070,1,461,0,0,228,3647,461,0 23,0,2024-09-07 09:28:31:373,115603,0.5,115066,0.7,230385,0.5,306742,2.25 23,1,2024-09-07 09:28:31:002,809034,809034,0,0,380360951773,3991917741,795170,9711,4153,365,391690,0 23,2,2024-09-07 09:28:31:092,587721,587721,0,0,23551829,0,3773 23,3,2024-09-07 09:28:31:772,1,461,1,0,720,5816,461,0 24,0,2024-09-07 09:28:30:863,113261,0.4,112577,0.5,226256,0.3,300647,1.75 24,1,2024-09-07 09:28:30:581,808015,808015,0,0,378794860102,3967831701,799703,6716,1596,367,392269,0 24,2,2024-09-07 09:28:31:071,583817,583817,0,0,28153639,0,3607 24,3,2024-09-07 09:28:31:691,1,461,1,0,468,6059,461,0 25,0,2024-09-07 09:28:31:354,120537,0.4,117384,0.6,230219,0.4,313310,1.75 25,1,2024-09-07 09:28:30:561,807453,807453,0,0,379667088288,4000978354,794521,10344,2588,371,391928,0 25,2,2024-09-07 09:28:31:606,578770,578770,0,0,29016049,0,3978 25,3,2024-09-07 09:28:31:010,1,461,7,0,532,5086,461,0 26,0,2024-09-07 09:28:31:725,117248,0.4,114614,0.6,240564,0.4,312954,1.75 26,1,2024-09-07 09:28:31:541,809651,809651,0,0,379275028457,3975519369,797532,9709,2410,380,391748,0 26,2,2024-09-07 09:28:30:861,582105,582105,0,0,29496110,0,4078 26,3,2024-09-07 09:28:31:712,1,461,3,0,796,5344,461,0 27,0,2024-09-07 09:28:31:751,116850,0.5,117058,0.6,232905,0.4,310897,2.25 27,1,2024-09-07 09:28:31:678,811009,811009,0,0,381261765730,3969538231,803534,6551,924,381,391626,0 27,2,2024-09-07 09:28:30:875,582142,582077,65,0,26462237,0,5699 27,3,2024-09-07 09:28:31:017,1,461,51,0,564,4220,461,0 28,0,2024-09-07 09:28:31:395,112749,0.4,112313,0.6,225504,0.3,300607,1.75 28,1,2024-09-07 09:28:30:810,810623,810623,0,0,381244864653,3974917346,803399,5525,1699,382,391698,0 28,2,2024-09-07 09:28:31:767,586051,586051,0,0,23761665,0,2915 28,3,2024-09-07 09:28:31:785,1,461,1,0,502,4803,461,0 29,0,2024-09-07 09:28:31:357,120068,0.3,117161,0.5,229500,0.2,312946,1.75 29,1,2024-09-07 09:28:31:568,812755,812755,0,0,381772589758,3946982767,807881,4139,735,368,391809,0 29,2,2024-09-07 09:28:30:861,580080,580080,0,0,22407869,0,4986 29,3,2024-09-07 09:28:30:968,1,461,8,0,459,5272,461,0 30,0,2024-09-07 09:28:31:462,115934,0.5,112688,0.7,235900,0.5,308946,2.00 30,1,2024-09-07 09:28:30:578,811629,811629,0,0,381551027015,3958741791,805535,5327,767,380,391672,0 30,2,2024-09-07 09:28:31:282,582606,582606,0,0,22112246,0,4192 30,3,2024-09-07 09:28:30:588,1,461,2,0,519,4464,461,0 31,0,2024-09-07 09:28:31:759,116326,0.5,116782,0.7,233170,0.4,311037,2.00 31,1,2024-09-07 09:28:30:564,815158,815158,0,0,382240937977,3915077271,812052,2520,586,356,391712,0 31,2,2024-09-07 09:28:31:277,582864,582864,0,0,23851995,0,3525 31,3,2024-09-07 09:28:31:713,1,461,1,0,220,3957,461,0 32,0,2024-09-07 09:28:31:417,113579,0.3,114199,0.5,227499,0.2,303252,1.50 32,1,2024-09-07 09:28:30:806,811129,811129,0,0,381070329084,3960701245,805991,4456,682,381,391646,0 32,2,2024-09-07 09:28:30:936,587853,587853,0,0,21567659,0,3922 32,3,2024-09-07 09:28:31:015,1,461,0,0,304,3510,461,0 33,0,2024-09-07 09:28:31:492,115238,0.2,114803,0.4,229870,0.2,306637,1.50 33,1,2024-09-07 09:28:30:584,811878,811878,0,0,381664223064,3953686939,805360,5413,1105,368,391730,0 33,2,2024-09-07 09:28:30:762,581823,581788,35,0,23647173,0,7012 33,3,2024-09-07 09:28:30:899,1,461,20,0,329,4330,461,0 34,0,2024-09-07 09:28:30:942,115849,0.3,118945,0.4,227385,0.2,306105,1.75 34,1,2024-09-07 09:28:31:044,813518,813518,0,0,383036129650,3935393881,811733,1773,12,367,391562,0 34,2,2024-09-07 09:28:30:765,583218,583218,0,0,22125336,0,4562 34,3,2024-09-07 09:28:31:691,1,461,10,0,299,3349,461,0 35,0,2024-09-07 09:28:30:874,116004,0.3,116637,0.5,234299,0.3,311361,1.75 35,1,2024-09-07 09:28:31:069,811251,811251,0,0,381456631898,3950656544,806670,3722,859,382,391769,0 35,2,2024-09-07 09:28:31:587,582903,582903,0,0,24097198,0,4055 35,3,2024-09-07 09:28:30:908,1,461,0,0,418,4559,461,0 36,0,2024-09-07 09:28:31:521,115283,0.4,115146,0.6,230293,0.4,306051,2.00 36,1,2024-09-07 09:28:30:588,810295,810295,0,0,380582287263,3973478157,800030,8256,2009,366,391759,0 36,2,2024-09-07 09:28:31:751,587036,587036,0,0,25567739,0,3875 36,3,2024-09-07 09:28:30:874,1,461,1,0,416,6403,461,0 37,0,2024-09-07 09:28:31:372,111884,0.5,111984,0.6,223805,0.4,298909,2.00 37,1,2024-09-07 09:28:30:579,809220,809213,0,7,379815597078,3968144534,798964,7694,2555,365,391770,0 37,2,2024-09-07 09:28:31:142,580955,580940,15,0,25552893,0,5815 37,3,2024-09-07 09:28:31:772,1,461,8,0,888,6762,461,0 38,0,2024-09-07 09:28:31:435,115721,0.5,112265,0.6,234926,0.4,307389,2.00 38,1,2024-09-07 09:28:31:607,810005,810005,0,0,380113075414,3975030917,797858,9668,2479,368,391821,0 38,2,2024-09-07 09:28:30:763,581014,580967,47,0,25314290,0,6710 38,3,2024-09-07 09:28:31:000,1,461,1,0,689,5881,461,0 39,0,2024-09-07 09:28:31:761,120629,0.6,118034,0.7,230072,0.5,313570,2.00 39,1,2024-09-07 09:28:30:716,808996,808996,0,0,379319244786,3979500139,794989,10816,3191,365,391658,0 39,2,2024-09-07 09:28:31:417,582606,582606,0,0,24028058,0,3391 39,3,2024-09-07 09:28:30:713,1,461,33,0,324,5057,461,0 40,0,2024-09-07 09:28:31:498,115302,0.8,116044,1.0,231319,0.8,308483,2.75 40,1,2024-09-07 09:28:30:578,810158,810158,0,0,379828863444,3974020087,799040,9016,2102,368,391668,0 40,2,2024-09-07 09:28:31:303,584122,584121,1,0,27998252,0,5137 40,3,2024-09-07 09:28:31:142,1,461,3,0,1028,6163,461,0 41,0,2024-09-07 09:28:31:094,111506,1.3,114008,1.2,217251,1.9,296113,3.00 41,1,2024-09-07 09:28:30:772,808044,808044,0,0,380653925463,3984174156,797360,8976,1708,369,391742,0 41,2,2024-09-07 09:28:30:766,583228,583228,0,0,27052295,0,4277 41,3,2024-09-07 09:28:31:681,1,461,12,0,366,4673,461,0 42,0,2024-09-07 09:28:31:472,117064,0.8,116890,1.0,234086,0.9,309800,2.75 42,1,2024-09-07 09:28:31:439,806516,806516,0,0,379223546631,3984236684,793497,10873,2146,380,391675,0 42,2,2024-09-07 09:28:31:133,579702,579702,0,0,26510829,0,3790 42,3,2024-09-07 09:28:31:008,1,461,20,0,892,4385,461,0 43,0,2024-09-07 09:28:30:919,116048,0.7,113070,0.9,236615,0.8,310520,2.25 43,1,2024-09-07 09:28:30:578,809797,809797,0,0,380380535476,3975784946,798060,9770,1967,366,391696,0 43,2,2024-09-07 09:28:31:745,581909,581909,0,0,26180183,0,3812 43,3,2024-09-07 09:28:31:748,1,461,19,0,571,6676,461,0 44,0,2024-09-07 09:28:30:861,116928,0.4,117079,0.6,234566,0.4,311581,2.00 44,1,2024-09-07 09:28:30:567,811616,811616,0,0,380762128015,3932325821,805051,5247,1318,356,391809,0 44,2,2024-09-07 09:28:31:267,582756,582756,0,0,21946793,0,4344 44,3,2024-09-07 09:28:31:093,1,461,1,0,817,5215,461,0 45,0,2024-09-07 09:28:31:755,111044,0.5,108407,0.7,227466,0.4,299529,2.00 45,1,2024-09-07 09:28:31:005,810877,810877,0,0,381300474083,3960495641,804768,5422,687,382,391917,0 45,2,2024-09-07 09:28:31:269,587753,587753,0,0,22145847,0,3596 45,3,2024-09-07 09:28:30:934,1,461,11,0,271,3879,461,0 46,0,2024-09-07 09:28:30:952,115740,0.4,115137,0.6,231235,0.3,306955,2.00 46,1,2024-09-07 09:28:30:578,812877,812877,0,0,381756556310,3939916077,808157,4128,592,366,391709,0 46,2,2024-09-07 09:28:30:593,581195,581195,0,0,22118444,0,4443 46,3,2024-09-07 09:28:31:131,1,461,7,0,908,5573,461,0 47,0,2024-09-07 09:28:31:101,115904,0.4,116229,0.6,232511,0.4,308146,1.75 47,1,2024-09-07 09:28:30:568,813484,813484,0,0,381172023370,3936879612,808570,4075,839,366,391641,0 47,2,2024-09-07 09:28:30:909,584683,584683,0,0,21940771,0,4477 47,3,2024-09-07 09:28:31:119,1,461,1,0,600,5368,461,0 48,0,2024-09-07 09:28:31:495,116950,0.3,116906,0.4,232856,0.2,310263,1.50 48,1,2024-09-07 09:28:31:025,811451,811451,0,0,381296376985,3957066556,806734,4260,457,384,391710,0 48,2,2024-09-07 09:28:30:699,583783,583783,0,0,20682463,0,3411 48,3,2024-09-07 09:28:30:753,1,461,7,0,339,3614,461,0 49,0,2024-09-07 09:28:31:726,116456,0.3,114615,0.5,222339,0.3,304268,1.75 49,1,2024-09-07 09:28:31:025,810749,810749,0,0,380680124243,3957253332,805587,3955,1207,382,391809,0 49,2,2024-09-07 09:28:31:798,587513,587513,0,0,22941053,0,4426 49,3,2024-09-07 09:28:31:424,1,461,16,0,992,5380,461,0 50,0,2024-09-07 09:28:31:521,114247,0.3,112713,0.4,227022,0.2,302896,1.75 50,1,2024-09-07 09:28:31:049,813289,813289,0,0,382655777337,3954103157,808246,4469,574,368,391565,0 50,2,2024-09-07 09:28:31:070,581970,581970,0,0,20647292,0,4490 50,3,2024-09-07 09:28:31:293,1,461,27,0,567,4538,461,0 51,0,2024-09-07 09:28:31:705,118626,0.3,116268,0.4,226671,0.2,308715,1.75 51,1,2024-09-07 09:28:31:688,813675,813675,0,0,383115684993,3948592465,809558,3068,1049,365,391706,0 51,2,2024-09-07 09:28:31:316,582321,582321,0,0,19794796,0,3337 51,3,2024-09-07 09:28:31:026,1,461,1,0,678,3617,461,0 52,0,2024-09-07 09:28:31:417,117719,0.5,117487,0.7,235300,0.5,312351,2.00 52,1,2024-09-07 09:28:30:585,809551,809551,0,0,380192733784,3977848032,798326,9781,1444,368,391722,0 52,2,2024-09-07 09:28:31:762,579582,579544,38,0,26990991,0,6742 52,3,2024-09-07 09:28:30:674,1,461,1,0,1782,5994,461,0 53,0,2024-09-07 09:28:31:734,115112,0.6,111707,0.8,233827,0.7,306571,2.25 53,1,2024-09-07 09:28:30:771,807679,807679,0,0,380360827241,3991102069,794352,9800,3527,367,391968,0 53,2,2024-09-07 09:28:31:311,586361,586360,1,0,24354463,0,5455 53,3,2024-09-07 09:28:30:697,1,461,16,0,308,4501,461,0 54,0,2024-09-07 09:28:31:619,111013,0.6,111346,0.7,221704,0.4,296792,2.25 54,1,2024-09-07 09:28:30:583,809594,809594,0,0,380060577667,3951680600,800997,7202,1395,366,391659,0 54,2,2024-09-07 09:28:30:875,584762,584730,32,0,27724593,0,6397 54,3,2024-09-07 09:28:30:762,1,461,5,0,676,6409,461,0 55,0,2024-09-07 09:28:31:761,113249,0.6,116778,0.7,236651,0.5,307812,2.25 55,1,2024-09-07 09:28:30:764,810671,810671,0,0,380672510427,3955267434,802345,7144,1182,365,391731,0 55,2,2024-09-07 09:28:30:729,580095,580039,56,0,26608048,0,7239 55,3,2024-09-07 09:28:30:674,1,461,20,0,304,4474,461,0 56,0,2024-09-07 09:28:31:553,119990,1.2,113137,1.1,233293,1.7,312177,2.75 56,1,2024-09-07 09:28:30:578,806564,806564,0,0,379318985623,4000904581,794889,9300,2375,381,391867,0 56,2,2024-09-07 09:28:31:303,581520,581398,122,0,27450659,0,7432 56,3,2024-09-07 09:28:31:062,1,461,11,0,705,5444,461,0 57,0,2024-09-07 09:28:30:935,114964,1.6,114763,1.3,230124,2.2,307905,3.25 57,1,2024-09-07 09:28:30:987,808372,808372,0,0,379014883564,3972481835,798475,8421,1476,366,392032,0 57,2,2024-09-07 09:28:31:319,584792,584792,0,0,28162296,0,3317 57,3,2024-09-07 09:28:31:744,1,461,6,0,455,5301,461,0 58,0,2024-09-07 09:28:30:561,110957,0.9,107826,1.0,225393,1.1,295630,2.50 58,1,2024-09-07 09:28:30:578,809252,809249,0,3,380503078062,3981825548,797901,9028,2320,367,391603,3 58,2,2024-09-07 09:28:31:070,585205,585205,0,0,26415436,0,2902 58,3,2024-09-07 09:28:31:070,1,461,0,0,1043,4885,461,0 59,0,2024-09-07 09:28:31:750,116384,0.7,115952,0.9,231550,0.7,307220,2.75 59,1,2024-09-07 09:28:30:804,808713,808713,0,0,380374737369,3986259303,796904,9674,2135,369,391653,0 59,2,2024-09-07 09:28:30:584,579556,579556,0,0,25991312,0,3727 59,3,2024-09-07 09:28:31:737,1,461,2,0,1015,6034,461,0 60,0,2024-09-07 09:28:31:716,116199,0.5,116164,0.7,233053,0.5,309783,1.75 60,1,2024-09-07 09:28:30:791,811758,811758,0,0,381649433685,3958045104,806556,4479,723,370,392031,0 60,2,2024-09-07 09:28:31:141,582412,582412,0,0,23985272,0,3811 60,3,2024-09-07 09:28:31:258,1,461,1,0,409,5790,461,0 61,0,2024-09-07 09:28:31:492,116391,0.7,117078,0.8,233170,0.7,310818,2.00 61,1,2024-09-07 09:28:30:772,809610,809610,0,0,380488605202,3981530414,801237,6939,1434,382,392127,0 61,2,2024-09-07 09:28:31:120,583895,583828,67,0,24472732,0,6411 61,3,2024-09-07 09:28:31:693,1,461,11,0,479,6126,461,0 62,0,2024-09-07 09:28:31:718,114027,0.5,116933,0.7,223285,0.5,303117,2.00 62,1,2024-09-07 09:28:31:111,814211,814205,0,6,382755675629,3943827941,810365,3578,262,365,391975,6 62,2,2024-09-07 09:28:31:648,585315,585314,1,0,24585632,0,5555 62,3,2024-09-07 09:28:31:143,1,461,33,0,482,3879,461,0 63,0,2024-09-07 09:28:31:471,115308,0.4,115090,0.5,230806,0.3,306944,1.75 63,1,2024-09-07 09:28:30:815,811769,811763,0,6,381181993149,3954071214,806780,4250,733,381,391800,6 63,2,2024-09-07 09:28:30:762,580978,580978,0,0,22178702,0,4369 63,3,2024-09-07 09:28:31:732,1,461,2,0,667,4686,461,0 64,0,2024-09-07 09:28:31:508,115059,0.5,115172,0.6,229695,0.4,305353,1.75 64,1,2024-09-07 09:28:30:756,811169,811169,0,0,380533084253,3960947994,804391,5074,1704,370,391783,0 64,2,2024-09-07 09:28:31:141,585890,585871,19,0,22279654,0,6121 64,3,2024-09-07 09:28:31:140,1,461,2,0,265,4315,461,0 65,0,2024-09-07 09:28:31:723,115832,0.7,116145,0.8,231878,0.7,308729,2.00 65,1,2024-09-07 09:28:30:859,809162,809162,0,0,379849170942,3965875746,804031,4590,541,381,391901,0 65,2,2024-09-07 09:28:31:699,582839,582839,0,0,26411442,0,3367 65,3,2024-09-07 09:28:31:683,1,461,1,0,782,5608,461,0 66,0,2024-09-07 09:28:31:773,114667,0.5,114485,0.7,228950,0.5,304430,2.00 66,1,2024-09-07 09:28:31:294,811263,811263,0,0,380563489698,3960535513,805758,4979,526,380,391743,0 66,2,2024-09-07 09:28:31:132,588361,588358,3,0,23800662,0,5455 66,3,2024-09-07 09:28:31:079,1,461,1,0,291,3921,461,0 67,0,2024-09-07 09:28:31:416,112390,0.4,112265,0.6,225069,0.4,299646,2.00 67,1,2024-09-07 09:28:30:767,811085,811084,0,1,380420155042,3959660611,805565,4725,794,380,391787,1 67,2,2024-09-07 09:28:30:581,585155,585140,15,0,22796801,0,6205 67,3,2024-09-07 09:28:31:750,1,461,1,0,392,4391,461,0 68,0,2024-09-07 09:28:30:588,116668,0.5,116604,0.7,232135,0.5,309909,2.00 68,1,2024-09-07 09:28:30:579,807581,807581,0,0,378567092151,3982838831,796239,8006,3336,381,391953,0 68,2,2024-09-07 09:28:31:044,578628,578528,100,0,29329838,0,8578 68,3,2024-09-07 09:28:30:732,1,461,0,0,417,5486,461,0 69,0,2024-09-07 09:28:31:761,117206,0.7,118002,0.8,235157,0.8,311903,2.25 69,1,2024-09-07 09:28:31:023,807340,807340,0,0,379152056825,3993153851,796979,8087,2274,384,391994,0 69,2,2024-09-07 09:28:31:738,581032,581003,29,0,31212553,0,6912 69,3,2024-09-07 09:28:30:764,1,461,8,0,698,7059,461,0 70,0,2024-09-07 09:28:31:529,115219,0.9,115623,1.0,232554,0.8,307962,2.50 70,1,2024-09-07 09:28:30:801,811234,811234,0,0,381220476942,3955559676,804745,5788,701,366,391725,0 70,2,2024-09-07 09:28:31:329,584947,584947,0,0,26251861,0,4323 70,3,2024-09-07 09:28:30:745,1,461,0,0,854,5334,461,0 71,0,2024-09-07 09:28:31:365,110775,0.9,110691,1.0,222426,1.1,297226,2.75 71,1,2024-09-07 09:28:31:601,809331,809331,0,0,380061855739,3964618129,799800,8451,1080,368,391738,0 71,2,2024-09-07 09:28:31:070,584334,584334,0,0,26371200,0,4352 71,3,2024-09-07 09:28:31:758,1,461,1,0,644,5717,461,0 72,0,2024-09-07 09:28:31:088,121620,0.5,118908,0.7,231840,0.5,315303,2.00 72,1,2024-09-07 09:28:31:028,808352,808352,0,0,379613949592,3980074925,797074,9259,2019,369,391819,0 72,2,2024-09-07 09:28:31:762,579325,579325,0,0,28315084,0,3983 72,3,2024-09-07 09:28:31:760,1,461,2,0,564,6710,461,0 73,0,2024-09-07 09:28:31:098,114158,0.4,117150,0.6,239453,0.4,311319,2.00 73,1,2024-09-07 09:28:30:766,810512,810512,0,0,381000133448,3955590741,804863,5229,420,367,391858,0 73,2,2024-09-07 09:28:31:748,581616,581616,0,0,27835033,0,3701 73,3,2024-09-07 09:28:30:969,1,461,7,0,486,5896,461,0 74,0,2024-09-07 09:28:31:322,117731,0.5,120488,0.7,229682,0.5,311963,2.25 74,1,2024-09-07 09:28:30:635,809787,809787,0,0,380526035880,3969135950,801541,6634,1612,381,391762,0 74,2,2024-09-07 09:28:31:002,583383,583383,0,0,25416292,0,4253 74,3,2024-09-07 09:28:31:442,1,461,1,0,522,5738,461,0 75,0,2024-09-07 09:28:31:778,112896,0.5,112121,0.7,224917,0.4,300650,2.25 75,1,2024-09-07 09:28:31:587,810236,810236,0,0,379825004672,3959360107,803200,6206,830,380,391739,0 75,2,2024-09-07 09:28:31:358,585469,585469,0,0,28783989,0,4766 75,3,2024-09-07 09:28:31:069,1,461,1,0,702,5787,461,0 76,0,2024-09-07 09:28:30:592,115539,0.5,114807,0.7,230505,0.4,307800,2.00 76,1,2024-09-07 09:28:30:818,809532,809532,0,0,379535068299,3955975661,804093,4611,828,382,391692,0 76,2,2024-09-07 09:28:31:060,582958,582957,1,0,24304946,0,5144 76,3,2024-09-07 09:28:31:145,1,461,47,0,175,4289,461,0 77,0,2024-09-07 09:28:31:698,115283,0.5,115632,0.7,231560,0.5,307229,2.00 77,1,2024-09-07 09:28:30:834,810713,810713,0,0,381072738698,3972402329,804868,5337,508,381,391869,0 77,2,2024-09-07 09:28:31:285,581950,581950,0,0,23938361,0,3890 77,3,2024-09-07 09:28:31:097,1,461,8,0,401,5019,461,0 78,0,2024-09-07 09:28:31:719,116692,0.4,116058,0.6,233069,0.4,308870,2.00 78,1,2024-09-07 09:28:30:619,811278,811278,0,0,379551128752,3951232551,803269,6440,1569,367,391670,0 78,2,2024-09-07 09:28:31:409,584573,584560,13,0,22744620,0,8313 78,3,2024-09-07 09:28:31:149,1,461,12,0,181,3951,461,0 79,0,2024-09-07 09:28:31:344,109776,0.4,112327,0.6,230090,0.3,299342,2.25 79,1,2024-09-07 09:28:30:578,812361,812361,0,0,381031684059,3947032476,805704,5348,1309,367,391682,0 79,2,2024-09-07 09:28:31:074,587601,587601,0,0,22113957,0,4195 79,3,2024-09-07 09:28:30:756,1,461,7,0,418,5579,461,0 80,0,2024-09-07 09:28:31:094,113556,0.5,116848,0.6,223511,0.4,302609,2.00 80,1,2024-09-07 09:28:31:622,809964,809964,0,0,380167896315,3957443706,804336,5205,423,368,392269,0 80,2,2024-09-07 09:28:31:093,583776,583776,0,0,22437642,0,4433 80,3,2024-09-07 09:28:30:578,1,461,0,0,190,5193,461,0 81,0,2024-09-07 09:28:31:542,115845,0.5,118555,0.7,226418,0.5,307403,2.00 81,1,2024-09-07 09:28:31:658,809096,809096,0,0,380262839194,3969986747,803068,5487,541,382,391879,0 81,2,2024-09-07 09:28:31:125,580952,580889,63,0,24879560,0,5932 81,3,2024-09-07 09:28:31:120,1,461,8,0,719,5413,461,0 82,0,2024-09-07 09:28:31:550,117079,0.5,117269,0.7,235024,0.5,311617,2.00 82,1,2024-09-07 09:28:30:582,811659,811655,0,4,381136512099,3962216293,806909,3927,819,381,391768,4 82,2,2024-09-07 09:28:31:691,583831,583831,0,0,21261511,0,4484 82,3,2024-09-07 09:28:31:752,1,461,42,0,363,4683,461,0 83,0,2024-09-07 09:28:31:522,115911,0.6,115826,0.7,230953,0.6,306767,2.25 83,1,2024-09-07 09:28:30:559,809593,809593,0,0,379867607680,3958662799,803912,5231,450,382,391709,0 83,2,2024-09-07 09:28:30:763,585956,585931,25,0,22812708,0,5612 83,3,2024-09-07 09:28:30:756,1,461,5,0,1260,5831,461,0 84,0,2024-09-07 09:28:31:850,111812,0.7,111854,0.9,223011,0.6,298885,2.25 84,1,2024-09-07 09:28:31:048,808927,808927,0,0,379495350373,3963857384,800663,7211,1053,367,391967,0 84,2,2024-09-07 09:28:30:579,582994,582964,30,0,29616722,0,5971 84,3,2024-09-07 09:28:31:144,1,461,12,0,908,6758,461,0 85,0,2024-09-07 09:28:31:004,112852,0.6,112752,0.8,239294,0.6,309595,2.25 85,1,2024-09-07 09:28:30:567,806737,806737,0,0,379275385965,3999624299,795096,9550,2091,381,392006,0 85,2,2024-09-07 09:28:30:879,579751,579751,0,0,27601733,0,3656 85,3,2024-09-07 09:28:30:826,1,461,10,0,789,5407,461,0 86,0,2024-09-07 09:28:30:931,117298,0.7,120751,0.8,230970,0.7,312521,2.25 86,1,2024-09-07 09:28:30:825,808999,808999,0,0,380369367925,3983171248,799250,8115,1634,366,391961,0 86,2,2024-09-07 09:28:30:861,579971,579970,1,0,30348946,0,5004 86,3,2024-09-07 09:28:30:589,1,461,1,0,308,6644,461,0 87,0,2024-09-07 09:28:31:297,116646,0.9,116365,0.9,232825,1.2,311272,2.50 87,1,2024-09-07 09:28:30:558,807841,807841,0,0,379466995110,3975280240,797228,8994,1619,366,392076,0 87,2,2024-09-07 09:28:31:074,583892,583886,6,0,26751177,0,6323 87,3,2024-09-07 09:28:31:798,1,461,85,0,473,7009,461,0 88,0,2024-09-07 09:28:31:441,112436,0.4,113109,0.6,225749,0.4,300543,1.75 88,1,2024-09-07 09:28:30:581,807684,807684,0,0,379537779649,3972392311,797586,8057,2041,365,392084,0 88,2,2024-09-07 09:28:30:691,585952,585952,0,0,29289457,0,4465 88,3,2024-09-07 09:28:31:267,1,461,1,0,435,5410,461,0 89,0,2024-09-07 09:28:31:795,119987,0.4,116222,0.6,229950,0.3,312959,1.75 89,1,2024-09-07 09:28:30:767,807366,807366,0,0,379205842274,3984450412,797627,8268,1471,382,391866,0 89,2,2024-09-07 09:28:31:133,579693,579693,0,0,26984818,0,3173 89,3,2024-09-07 09:28:31:796,1,461,8,0,468,7742,461,0 90,0,2024-09-07 09:28:31:615,112876,0.4,115980,0.6,236446,0.4,308699,2.00 90,1,2024-09-07 09:28:30:594,808911,808911,0,0,380159855062,3976231033,802028,6367,516,380,391825,0 90,2,2024-09-07 09:28:31:412,579792,579792,0,0,29281267,0,3608 90,3,2024-09-07 09:28:30:930,1,461,3,0,322,5514,461,0 91,0,2024-09-07 09:28:30:926,117288,0.5,113501,0.6,237135,0.5,311508,1.75 91,1,2024-09-07 09:28:30:563,807020,807020,0,0,379295955532,3987182785,796859,8521,1640,381,392047,0 91,2,2024-09-07 09:28:31:332,584216,584216,0,0,25845588,0,3526 91,3,2024-09-07 09:28:30:601,1,461,0,0,216,4442,461,0 92,0,2024-09-07 09:28:31:443,114556,0.4,117337,0.6,224037,0.4,303357,1.75 92,1,2024-09-07 09:28:30:580,810259,810259,0,0,379559523143,3959755410,804689,4843,727,381,392136,0 92,2,2024-09-07 09:28:31:350,587899,587899,0,0,22642871,0,3259 92,3,2024-09-07 09:28:31:010,1,461,2,0,167,4065,461,0 93,0,2024-09-07 09:28:30:964,115586,0.4,118544,0.5,226452,0.3,307087,1.75 93,1,2024-09-07 09:28:30:820,809875,809875,0,0,380541342723,3969002157,801677,6810,1388,366,391776,0 93,2,2024-09-07 09:28:30:927,580731,580731,0,0,27185159,0,4845 93,3,2024-09-07 09:28:31:408,1,461,8,0,190,4085,461,0 94,0,2024-09-07 09:28:31:602,115113,0.3,116004,0.5,231635,0.3,306868,1.75 94,1,2024-09-07 09:28:30:566,809995,809995,0,0,381135166440,3971740691,805153,4632,210,381,391850,0 94,2,2024-09-07 09:28:30:763,582187,582187,0,0,22851869,0,2443 94,3,2024-09-07 09:28:31:693,1,461,1,0,576,5905,461,0 95,0,2024-09-07 09:28:31:358,116763,0.4,116606,0.5,233835,0.3,311233,1.75 95,1,2024-09-07 09:28:30:851,811377,811377,0,0,380656485545,3952707145,805220,5719,438,365,391786,0 95,2,2024-09-07 09:28:31:016,582580,582580,0,0,22881600,0,3308 95,3,2024-09-07 09:28:31:713,1,461,1,0,718,6387,461,0 96,0,2024-09-07 09:28:31:030,115169,0.4,115410,0.5,230490,0.3,305406,1.75 96,1,2024-09-07 09:28:31:594,809597,809597,0,0,379852595094,3961564135,803720,4971,906,384,391955,0 96,2,2024-09-07 09:28:31:267,587644,587644,0,0,23748271,0,4180 96,3,2024-09-07 09:28:31:140,1,461,7,0,411,5060,461,0 97,0,2024-09-07 09:28:31:313,112480,0.3,112000,0.4,224885,0.2,299369,1.50 97,1,2024-09-07 09:28:30:774,811078,811078,0,0,381951198308,3959684653,805896,4247,935,367,392140,0 97,2,2024-09-07 09:28:30:612,583564,583564,0,0,22835192,0,3679 97,3,2024-09-07 09:28:30:578,1,461,9,0,242,5463,461,0 98,0,2024-09-07 09:28:31:709,115982,0.3,116370,0.4,233303,0.2,309598,1.50 98,1,2024-09-07 09:28:30:576,810608,810608,0,0,380392588776,3961031091,805531,4284,793,382,391997,0 98,2,2024-09-07 09:28:30:769,581704,581704,0,0,22837245,0,4336 98,3,2024-09-07 09:28:30:697,1,461,1,0,840,7304,461,0 99,0,2024-09-07 09:28:31:488,117842,0.3,118450,0.5,235611,0.3,314515,1.75 99,1,2024-09-07 09:28:31:738,810763,810763,0,0,379959294882,3952125697,805774,4152,837,380,392069,0 99,2,2024-09-07 09:28:31:431,583452,583452,0,0,27175904,0,4276 99,3,2024-09-07 09:28:30:581,1,461,2,0,606,4892,461,0 100,0,2024-09-07 09:28:31:481,116225,0.8,116572,0.9,232380,0.9,310570,2.50 100,1,2024-09-07 09:28:30:553,805974,805974,0,0,378747862976,4004511825,793161,9864,2949,378,391989,0 100,2,2024-09-07 09:28:31:824,582797,582786,11,0,26968933,0,5417 100,3,2024-09-07 09:28:31:743,1,461,3,0,559,7353,461,0 101,0,2024-09-07 09:28:31:707,114259,1.1,111391,1.0,218218,1.0,298842,2.25 101,1,2024-09-07 09:28:30:558,806826,806826,0,0,378901774106,3983790366,795166,9293,2367,368,391847,0 101,2,2024-09-07 09:28:31:773,581360,581360,0,0,31752648,0,4871 101,3,2024-09-07 09:28:30:942,1,461,8,0,1250,7373,461,0 102,0,2024-09-07 09:28:30:963,114629,0.6,118163,0.7,239754,0.6,313828,2.00 102,1,2024-09-07 09:28:31:142,806926,806926,0,0,378970190137,3982126692,795905,9080,1941,369,391891,0 102,2,2024-09-07 09:28:31:737,581175,581121,54,0,25465711,0,6768 102,3,2024-09-07 09:28:31:613,1,461,13,0,466,4983,461,0 103,0,2024-09-07 09:28:31:607,121008,0.6,120985,0.7,227961,0.6,313766,2.00 103,1,2024-09-07 09:28:31:626,806471,806471,0,0,379047286833,4001875254,793425,9854,3192,381,391862,0 103,2,2024-09-07 09:28:30:587,580147,580147,0,0,28446656,0,3766 103,3,2024-09-07 09:28:30:761,1,461,12,0,916,5369,461,0 104,0,2024-09-07 09:28:31:032,115908,0.7,116274,0.9,231461,0.7,310053,2.25 104,1,2024-09-07 09:28:31:599,808719,808719,0,0,379670061932,3984314634,797479,9340,1900,365,392168,0 104,2,2024-09-07 09:28:31:669,581979,581979,0,0,27260752,0,3941 104,3,2024-09-07 09:28:31:416,1,461,1,0,1245,8768,461,0 105,0,2024-09-07 09:28:31:031,111240,0.8,108317,1.0,226998,0.8,298396,2.50 105,1,2024-09-07 09:28:30:556,809947,809947,0,0,380122146451,3981108472,799878,8471,1598,366,392009,0 105,2,2024-09-07 09:28:31:331,584980,584980,0,0,27360212,0,3509 105,3,2024-09-07 09:28:31:304,1,461,12,0,399,6819,461,0 106,0,2024-09-07 09:28:30:948,111999,0.7,114762,0.8,235003,0.7,307259,2.25 106,1,2024-09-07 09:28:31:759,808729,808729,0,0,379746031126,3984485882,797037,10053,1639,368,391914,0 106,2,2024-09-07 09:28:30:756,579526,579526,0,0,25958753,0,2920 106,3,2024-09-07 09:28:30:684,1,461,28,0,470,6024,461,0 107,0,2024-09-07 09:28:31:101,115457,0.8,115706,0.9,231244,0.9,308240,2.25 107,1,2024-09-07 09:28:30:601,806978,806978,0,0,379263039590,3994809898,796027,9879,1072,381,392234,0 107,2,2024-09-07 09:28:31:304,580215,580214,1,0,27742891,0,5024 107,3,2024-09-07 09:28:31:766,1,461,1,0,370,6768,461,0 108,0,2024-09-07 09:28:31:811,116066,0.5,116973,0.6,232623,0.4,310270,1.75 108,1,2024-09-07 09:28:31:293,810261,810261,0,0,380402338643,3962937765,804075,5441,745,367,391857,0 108,2,2024-09-07 09:28:31:767,582643,582643,0,0,25546465,0,4246 108,3,2024-09-07 09:28:31:330,1,461,1,0,749,8817,461,0 109,0,2024-09-07 09:28:31:766,114189,0.4,113393,0.6,226999,0.3,303551,1.75 109,1,2024-09-07 09:28:30:586,807271,807271,0,0,379863460738,3983693040,799788,6295,1188,382,392132,0 109,2,2024-09-07 09:28:30:921,584713,584713,0,0,24972632,0,3617 109,3,2024-09-07 09:28:31:140,1,461,19,0,379,5329,461,0 110,0,2024-09-07 09:28:31:751,113458,0.3,110404,0.5,231374,0.3,303291,1.75 110,1,2024-09-07 09:28:31:654,811187,811187,0,0,380340058759,3943924598,805786,4221,1180,369,392045,0 110,2,2024-09-07 09:28:31:304,582167,582167,0,0,23854237,0,4067 110,3,2024-09-07 09:28:30:689,1,461,46,0,722,6488,461,0 111,0,2024-09-07 09:28:31:418,116235,0.3,115449,0.5,231457,0.3,308607,1.75 111,1,2024-09-07 09:28:31:001,812142,812142,0,0,382693469733,3965603009,808209,3577,356,380,391690,0 111,2,2024-09-07 09:28:31:120,581234,581234,0,0,23441856,0,4823 111,3,2024-09-07 09:28:30:912,1,461,1,0,379,5239,461,0 112,0,2024-09-07 09:28:30:929,118078,0.3,117520,0.4,235512,0.2,312620,1.50 112,1,2024-09-07 09:28:30:833,810809,810809,0,0,380614811379,3947777035,806077,4119,613,380,391624,0 112,2,2024-09-07 09:28:31:133,582645,582644,1,0,23012639,0,5036 112,3,2024-09-07 09:28:30:596,1,461,11,0,282,4575,461,0 113,0,2024-09-07 09:28:30:866,115996,0.3,115985,0.5,232313,0.2,308765,1.75 113,1,2024-09-07 09:28:31:685,813542,813542,0,0,382472425173,3942759706,809645,3307,590,366,391661,0 113,2,2024-09-07 09:28:31:303,588171,588171,0,0,21018276,0,3813 113,3,2024-09-07 09:28:30:687,1,461,3,0,340,5015,461,0 114,0,2024-09-07 09:28:30:881,112752,0.3,113562,0.4,225644,0.2,301969,1.75 114,1,2024-09-07 09:28:30:716,811285,811285,0,0,381201307656,3957778838,804949,4720,1616,381,391565,0 114,2,2024-09-07 09:28:30:877,585958,585957,1,0,22006963,0,5069 114,3,2024-09-07 09:28:31:280,1,461,0,0,395,3794,461,0 115,0,2024-09-07 09:28:30:556,117691,0.3,118111,0.4,235673,0.2,312810,1.50 115,1,2024-09-07 09:28:30:578,811433,811433,0,0,381108790239,3957838058,804934,5231,1268,382,391757,0 115,2,2024-09-07 09:28:31:125,582630,582630,0,0,21839215,0,4382 115,3,2024-09-07 09:28:31:002,1,461,0,0,159,2567,461,0 116,0,2024-09-07 09:28:31:711,117165,0.7,117035,0.8,234727,0.7,313733,2.00 116,1,2024-09-07 09:28:30:822,807132,807132,0,0,379329920914,3995437792,797562,7169,2401,380,392089,0 116,2,2024-09-07 09:28:31:751,580795,580795,0,0,28369097,0,4128 116,3,2024-09-07 09:28:30:914,1,461,1,0,415,5225,461,0 117,0,2024-09-07 09:28:31:003,116980,0.8,116421,0.8,233226,0.9,311557,2.00 117,1,2024-09-07 09:28:31:582,808418,808418,0,0,379506988310,3970038547,800073,7366,979,369,392033,0 117,2,2024-09-07 09:28:31:124,586719,586719,0,0,24608581,0,4303 117,3,2024-09-07 09:28:31:063,1,461,9,0,490,6682,461,0 118,0,2024-09-07 09:28:31:773,109268,0.5,112209,0.7,228997,0.5,299419,2.00 118,1,2024-09-07 09:28:30:586,807632,807632,0,0,378843281171,3981739667,795495,9117,3020,366,392054,0 118,2,2024-09-07 09:28:31:586,584985,584985,0,0,26697310,0,2842 118,3,2024-09-07 09:28:31:772,1,461,1,0,248,5228,461,0 119,0,2024-09-07 09:28:31:334,116482,0.6,116744,0.7,233494,0.5,310663,2.00 119,1,2024-09-07 09:28:30:556,808585,808585,0,0,379782802672,3976152678,798655,8579,1351,367,391857,0 119,2,2024-09-07 09:28:31:261,580852,580852,0,0,24868516,0,4174 119,3,2024-09-07 09:28:31:327,1,461,3,0,1358,8872,461,0 120,0,2024-09-07 09:28:31:545,115687,0.6,115378,0.8,231590,0.7,309243,2.25 120,1,2024-09-07 09:28:30:884,808989,808989,0,0,379565201174,3979063538,800504,7715,770,368,392144,0 120,2,2024-09-07 09:28:30:770,581018,581017,1,0,29333532,0,5281 120,3,2024-09-07 09:28:31:290,1,461,118,0,279,5758,461,0 121,0,2024-09-07 09:28:31:692,116694,1.3,116360,1.1,233205,1.8,310753,2.50 121,1,2024-09-07 09:28:31:661,809259,809259,0,0,380344536485,3972162294,802261,6536,462,367,391840,0 121,2,2024-09-07 09:28:31:129,582783,582783,0,0,27339431,0,4127 121,3,2024-09-07 09:28:30:727,1,461,229,0,269,5379,461,0 122,0,2024-09-07 09:28:31:761,113076,0.7,110172,0.8,231044,0.8,303149,2.00 122,1,2024-09-07 09:28:30:863,807873,807873,0,0,380150270914,3985553114,797129,9065,1679,366,392130,0 122,2,2024-09-07 09:28:31:319,586727,586654,73,0,30829867,0,5989 122,3,2024-09-07 09:28:30:600,1,461,1,0,512,8084,461,0 123,0,2024-09-07 09:28:30:979,114317,0.7,111335,0.8,233326,0.7,305698,2.00 123,1,2024-09-07 09:28:30:565,807599,807599,0,0,379231550224,3990310117,793894,11259,2446,369,392039,0 123,2,2024-09-07 09:28:31:023,578982,578981,1,0,25634583,0,5215 123,3,2024-09-07 09:28:31:134,1,461,12,0,478,5271,461,0 124,0,2024-09-07 09:28:30:915,118921,0.3,118925,0.5,224165,0.3,307856,1.75 124,1,2024-09-07 09:28:31:023,811252,811252,0,0,380736936427,3953546899,805887,4312,1053,367,392178,0 124,2,2024-09-07 09:28:31:010,582878,582825,53,0,22995808,0,6487 124,3,2024-09-07 09:28:30:762,1,461,0,0,490,4315,461,0 125,0,2024-09-07 09:28:31:430,116726,0.4,116492,0.6,233856,0.4,311214,1.75 125,1,2024-09-07 09:28:30:858,809378,809378,0,0,380157231256,3964987957,803452,5252,674,382,391813,0 125,2,2024-09-07 09:28:31:120,584290,584290,0,0,23886220,0,4534 125,3,2024-09-07 09:28:31:131,1,461,13,0,709,5577,461,0 126,0,2024-09-07 09:28:31:417,115115,0.4,118427,0.6,226525,0.4,306139,1.75 126,1,2024-09-07 09:28:30:561,811807,811807,0,0,381461510080,3946979068,807746,3767,294,365,391987,0 126,2,2024-09-07 09:28:30:610,587602,587602,0,0,24687379,0,4539 126,3,2024-09-07 09:28:30:910,1,461,48,0,268,5407,461,0 127,0,2024-09-07 09:28:31:592,112421,0.3,112816,0.4,225036,0.2,299797,1.50 127,1,2024-09-07 09:28:30:601,810862,810862,0,0,380895962100,3958065225,803315,6310,1237,364,392187,0 127,2,2024-09-07 09:28:30:638,582041,582041,0,0,22179897,0,3897 127,3,2024-09-07 09:28:31:266,1,461,5,0,968,4776,461,0 128,0,2024-09-07 09:28:31:626,116922,0.3,116983,0.4,233696,0.2,310370,1.50 128,1,2024-09-07 09:28:31:605,809837,809837,0,0,381083717833,3952966583,804905,4499,433,367,392031,0 128,2,2024-09-07 09:28:31:382,582788,582788,0,0,21661461,0,3171 128,3,2024-09-07 09:28:30:769,1,461,104,0,1082,7075,461,0 129,0,2024-09-07 09:28:31:007,118853,0.3,118299,0.5,236893,0.3,314919,1.50 129,1,2024-09-07 09:28:30:567,808168,808168,0,0,378780905037,3966511696,800389,6105,1674,379,391962,0 129,2,2024-09-07 09:28:30:686,583299,583295,4,0,23883817,0,5335 129,3,2024-09-07 09:28:30:690,1,461,16,0,506,6582,461,0 130,0,2024-09-07 09:28:31:717,117330,0.5,116823,0.6,234913,0.5,311993,1.75 130,1,2024-09-07 09:28:30:585,811156,811156,0,0,380817855504,3954858863,807017,3800,339,381,391825,0 130,2,2024-09-07 09:28:31:128,586119,586119,0,0,23691978,0,4067 130,3,2024-09-07 09:28:31:291,1,461,6,0,960,6871,461,0 131,0,2024-09-07 09:28:31:975,111944,0.3,112358,0.5,225438,0.3,299508,1.75 131,1,2024-09-07 09:28:31:823,810396,810396,0,0,380184140570,3966772488,804406,4937,1053,381,391865,0 131,2,2024-09-07 09:28:30:574,587070,587070,0,0,21679730,0,3979 131,3,2024-09-07 09:28:31:691,1,461,2,0,392,4972,461,0 132,0,2024-09-07 09:28:31:420,117894,0.4,118936,0.6,236728,0.4,314809,1.75 132,1,2024-09-07 09:28:30:578,806241,806241,0,0,379432399342,3993222525,794521,9588,2132,381,392532,0 132,2,2024-09-07 09:28:30:698,580452,580435,17,0,28413818,0,6451 132,3,2024-09-07 09:28:31:693,1,461,12,0,804,7763,461,0 133,0,2024-09-07 09:28:31:552,114141,0.4,116828,0.6,239606,0.4,312025,1.75 133,1,2024-09-07 09:28:30:584,806544,806544,0,0,379095370892,3994869657,795267,9662,1615,383,391914,0 133,2,2024-09-07 09:28:31:088,581524,581474,50,0,29810707,0,6861 133,3,2024-09-07 09:28:31:299,1,461,19,0,479,4785,461,0 134,0,2024-09-07 09:28:30:947,117365,0.6,116978,0.7,234277,0.6,312308,2.00 134,1,2024-09-07 09:28:30:586,807862,807862,0,0,378980853390,3972192684,797693,8101,2068,366,391718,0 134,2,2024-09-07 09:28:31:772,582434,582410,24,0,27816116,0,6207 134,3,2024-09-07 09:28:30:774,1,461,10,0,739,5705,461,0 135,0,2024-09-07 09:28:31:125,108916,0.7,108896,0.8,231195,0.8,298133,2.25 135,1,2024-09-07 09:28:31:587,808219,808219,0,0,379754241329,3992376453,797863,8978,1378,380,391805,0 135,2,2024-09-07 09:28:30:713,586142,586142,0,0,27224069,0,3981 135,3,2024-09-07 09:28:31:002,1,461,5,0,299,4034,461,0 136,0,2024-09-07 09:28:31:677,116468,0.5,116623,0.7,232258,0.4,309537,2.00 136,1,2024-09-07 09:28:31:441,809323,809323,0,0,379252240503,3974025330,800447,7902,974,381,391685,0 136,2,2024-09-07 09:28:31:133,582039,582039,0,0,25504194,0,3506 136,3,2024-09-07 09:28:31:106,1,461,3,0,637,5192,461,0 137,0,2024-09-07 09:28:30:922,119272,0.6,116209,0.7,227826,0.5,309376,2.00 137,1,2024-09-07 09:28:30:580,807365,807365,0,0,379696912970,3983040782,794688,10016,2661,366,391898,0 137,2,2024-09-07 09:28:31:714,580358,580358,0,0,28685437,0,3185 137,3,2024-09-07 09:28:30:771,1,461,12,0,484,5599,461,0 138,0,2024-09-07 09:28:31:740,115952,0.9,115904,0.9,232749,1.2,308757,2.25 138,1,2024-09-07 09:28:31:694,808552,808552,0,0,379976646061,3981346685,797817,9008,1727,368,391954,0 138,2,2024-09-07 09:28:30:588,582419,582419,0,0,26298955,0,4988 138,3,2024-09-07 09:28:30:627,1,461,2,0,1200,7241,461,0 139,0,2024-09-07 09:28:31:368,112737,1.4,112852,1.1,226217,2.1,301913,2.50 139,1,2024-09-07 09:28:30:593,804545,804545,0,0,377749939303,4011065655,789294,11809,3442,380,392058,0 139,2,2024-09-07 09:28:30:712,582795,582795,0,0,29916222,0,3097 139,3,2024-09-07 09:28:31:663,1,461,123,0,432,5472,461,0 140,0,2024-09-07 09:28:31:591,114103,0.3,113468,0.5,227596,0.2,303604,1.75 140,1,2024-09-07 09:28:31:536,813027,813027,0,0,382942323234,3944922107,809416,3169,442,365,391606,0 140,2,2024-09-07 09:28:30:688,583072,583071,1,0,22336231,0,5036 140,3,2024-09-07 09:28:30:767,1,461,7,0,297,3730,461,0 141,0,2024-09-07 09:28:31:697,116085,0.3,119115,0.4,227529,0.2,308256,1.50 141,1,2024-09-07 09:28:30:859,812057,812057,0,0,381986632581,3964156717,806202,4898,957,379,391614,0 141,2,2024-09-07 09:28:31:694,582119,582119,0,0,22414856,0,3360 141,3,2024-09-07 09:28:31:043,1,461,8,0,391,5093,461,0 142,0,2024-09-07 09:28:31:315,118168,0.3,117448,0.5,235091,0.3,313266,1.75 142,1,2024-09-07 09:28:30:597,810437,810437,0,0,380044149409,3957002065,805730,4305,402,382,392102,0 142,2,2024-09-07 09:28:31:301,582424,582392,32,0,24414629,0,6028 142,3,2024-09-07 09:28:31:746,1,461,1,0,484,5041,461,0 143,0,2024-09-07 09:28:31:375,115951,0.4,116097,0.6,232508,0.4,308759,1.75 143,1,2024-09-07 09:28:30:558,811764,811764,0,0,381056931103,3951135254,806683,4535,546,367,391705,0 143,2,2024-09-07 09:28:30:770,586810,586810,0,0,23595341,0,3123 143,3,2024-09-07 09:28:31:140,1,461,205,0,462,5682,461,0 144,0,2024-09-07 09:28:31:507,109090,0.6,112039,0.8,228487,0.5,299587,2.00 144,1,2024-09-07 09:28:30:579,807733,807733,0,0,379600500798,3980506396,799775,6119,1839,381,391733,0 144,2,2024-09-07 09:28:31:762,585058,585058,0,0,22193889,0,3673 144,3,2024-09-07 09:28:31:744,1,461,1,0,249,4540,461,0 145,0,2024-09-07 09:28:31:369,113436,0.6,113432,0.8,240649,0.5,309670,2.25 145,1,2024-09-07 09:28:30:560,807098,807098,0,0,379172991689,3988122933,796407,8824,1867,382,391759,0 145,2,2024-09-07 09:28:31:445,578744,578663,81,0,27604782,0,7814 145,3,2024-09-07 09:28:30:897,1,461,18,0,622,6395,461,0 146,0,2024-09-07 09:28:31:623,117420,0.6,116903,0.7,235194,0.6,312179,2.25 146,1,2024-09-07 09:28:31:588,808125,808125,0,0,379007262225,3986479884,794845,9753,3527,367,391770,0 146,2,2024-09-07 09:28:31:705,580350,580350,0,0,26207556,0,3290 146,3,2024-09-07 09:28:31:274,1,461,2,0,1520,8197,461,0 147,0,2024-09-07 09:28:31:700,116880,0.6,116601,0.8,232615,0.6,311096,2.25 147,1,2024-09-07 09:28:31:373,811610,811610,0,0,381573804117,3964267835,804880,5881,849,368,391791,0 147,2,2024-09-07 09:28:31:011,584628,584628,0,0,23512024,0,2968 147,3,2024-09-07 09:28:30:914,1,461,9,0,1626,7783,461,0 0,0,2024-09-07 09:28:41:737,113281,0.6,113254,0.7,240279,0.6,310843,2.00 0,1,2024-09-07 09:28:40:823,810183,810183,0,0,380898809393,3984243708,804355,5379,449,369,391896,0 0,2,2024-09-07 09:28:41:070,584226,584226,0,0,23408870,0,4480 0,3,2024-09-07 09:28:40:989,1,462,1,0,431,6729,462,0 1,0,2024-09-07 09:28:41:814,116806,1.1,116247,1.0,232937,1.5,311397,2.00 1,1,2024-09-07 09:28:40:567,810173,810173,0,0,380267740173,3976105540,803613,5230,1330,370,391859,0 1,2,2024-09-07 09:28:40:643,584462,584462,0,0,23224195,0,3380 1,3,2024-09-07 09:28:41:314,1,462,12,0,268,5620,462,0 2,0,2024-09-07 09:28:41:571,113607,0.6,113544,0.7,226636,0.6,302152,2.00 2,1,2024-09-07 09:28:40:859,812816,812816,0,0,381891854972,3960822182,809490,3031,295,380,391745,0 2,2,2024-09-07 09:28:41:265,588844,588844,0,0,21843670,0,3594 2,3,2024-09-07 09:28:40:703,1,462,0,0,357,4264,462,0 3,0,2024-09-07 09:28:41:754,115451,0.4,115197,0.6,230530,0.3,307279,2.00 3,1,2024-09-07 09:28:41:623,811169,811169,0,0,380896761298,3963676753,804811,5664,694,379,391716,0 3,2,2024-09-07 09:28:41:143,582743,582720,23,0,22885384,0,5851 3,3,2024-09-07 09:28:41:751,1,462,1,0,207,2983,462,0 4,0,2024-09-07 09:28:41:810,112191,0.4,115304,0.5,235080,0.3,307185,1.75 4,1,2024-09-07 09:28:40:601,807600,807600,0,0,379532811136,4013621552,794238,10277,3085,370,391992,0 4,2,2024-09-07 09:28:41:018,580832,580832,0,0,26994567,0,4528 4,3,2024-09-07 09:28:41:027,1,462,19,0,448,5985,462,0 5,0,2024-09-07 09:28:41:447,116690,0.4,117228,0.6,234038,0.4,310863,1.75 5,1,2024-09-07 09:28:40:762,809339,809339,0,0,380759087063,4008736999,797576,9399,2364,367,392005,0 5,2,2024-09-07 09:28:41:829,581434,581434,0,0,27125197,0,3582 5,3,2024-09-07 09:28:41:743,1,462,16,0,457,6341,462,0 6,0,2024-09-07 09:28:40:918,115245,0.4,114849,0.6,229499,0.4,305958,2.00 6,1,2024-09-07 09:28:40:751,811409,811409,0,0,380361995726,3973134369,802830,7153,1426,379,391702,0 6,2,2024-09-07 09:28:41:117,587839,587821,18,0,26358853,0,5535 6,3,2024-09-07 09:28:41:275,1,462,1,0,710,5828,462,0 7,0,2024-09-07 09:28:41:529,112130,0.5,112975,0.6,225059,0.4,299847,2.00 7,1,2024-09-07 09:28:40:861,809785,809785,0,0,380335508524,3992926412,798605,9196,1984,382,391747,0 7,2,2024-09-07 09:28:40:772,583733,583733,0,0,25475265,0,4791 7,3,2024-09-07 09:28:40:859,1,462,227,0,552,5554,462,0 8,0,2024-09-07 09:28:41:341,116668,0.3,116471,0.5,233443,0.3,310521,1.75 8,1,2024-09-07 09:28:41:018,809182,809182,0,0,380238849819,3995015831,797049,9359,2774,366,392853,0 8,2,2024-09-07 09:28:40:818,578251,578251,0,0,30408514,0,3250 8,3,2024-09-07 09:28:40:600,1,462,14,0,538,7339,462,0 9,0,2024-09-07 09:28:41:153,118030,0.4,114720,0.5,240239,0.3,314715,1.75 9,1,2024-09-07 09:28:40:552,808241,808241,0,0,379789015854,3999541260,796224,9578,2439,369,392001,0 9,2,2024-09-07 09:28:41:099,582707,582707,0,0,27623828,0,4615 9,3,2024-09-07 09:28:41:758,1,462,21,0,496,6533,462,0 10,0,2024-09-07 09:28:41:600,116668,0.3,116217,0.5,233321,0.3,310595,1.75 10,1,2024-09-07 09:28:40:589,810547,810547,0,0,380373687704,3985296932,799553,9237,1757,381,391741,0 10,2,2024-09-07 09:28:40:769,586335,586335,0,0,29848327,0,4264 10,3,2024-09-07 09:28:40:875,1,462,1,0,649,4996,462,0 11,0,2024-09-07 09:28:41:023,112274,0.4,108912,0.6,227726,0.4,300698,1.75 11,1,2024-09-07 09:28:40:585,810587,810587,0,0,380929912891,3992728963,798865,9075,2647,383,391756,0 11,2,2024-09-07 09:28:41:126,585228,585228,0,0,26373466,0,4130 11,3,2024-09-07 09:28:41:308,1,462,20,0,843,6366,462,0 12,0,2024-09-07 09:28:40:992,118819,0.4,118769,0.5,237554,0.3,315412,1.75 12,1,2024-09-07 09:28:40:942,811044,811044,0,0,381320382655,3972471775,805242,5298,504,370,391960,0 12,2,2024-09-07 09:28:41:567,581661,581661,0,0,25356615,0,4390 12,3,2024-09-07 09:28:41:064,1,462,37,0,386,6552,462,0 13,0,2024-09-07 09:28:41:383,118398,0.4,118013,0.6,235392,0.4,314025,1.75 13,1,2024-09-07 09:28:41:552,809137,809137,0,0,380666565193,3998074154,801060,6363,1714,382,391740,0 13,2,2024-09-07 09:28:40:619,584800,584800,0,0,23002918,0,3287 13,3,2024-09-07 09:28:41:765,1,462,12,0,522,6564,462,0 14,0,2024-09-07 09:28:40:567,117067,0.4,118001,0.6,233585,0.4,311604,1.75 14,1,2024-09-07 09:28:41:561,814665,814665,0,0,382406095777,3957355459,808240,5713,712,364,391673,0 14,2,2024-09-07 09:28:40:781,585631,585601,30,0,24840034,0,6104 14,3,2024-09-07 09:28:41:123,1,462,2,0,1168,4897,462,0 15,0,2024-09-07 09:28:41:595,112645,0.4,112392,0.6,225380,0.4,300261,2.00 15,1,2024-09-07 09:28:41:609,811745,811745,0,0,381249826723,3967072831,805515,5051,1179,381,391619,0 15,2,2024-09-07 09:28:40:998,589383,589383,0,0,20879199,0,3622 15,3,2024-09-07 09:28:41:406,1,462,0,0,1126,7109,462,0 16,0,2024-09-07 09:28:40:961,116226,0.5,116964,0.7,232893,0.4,309704,2.00 16,1,2024-09-07 09:28:40:589,811502,811502,0,0,380547562928,3975788875,804640,5745,1117,370,391917,0 16,2,2024-09-07 09:28:41:440,581601,581601,0,0,24495632,0,4719 16,3,2024-09-07 09:28:41:142,1,462,12,0,317,5561,462,0 17,0,2024-09-07 09:28:41:774,119523,0.6,116637,0.7,228240,0.6,310122,2.00 17,1,2024-09-07 09:28:40:585,809971,809971,0,0,380793260384,3993824529,801768,6489,1714,368,392075,0 17,2,2024-09-07 09:28:41:666,585519,585518,1,0,25134458,0,5050 17,3,2024-09-07 09:28:40:596,1,462,10,0,518,7273,462,0 18,0,2024-09-07 09:28:40:950,115468,0.7,115935,0.8,231317,0.7,308792,2.25 18,1,2024-09-07 09:28:41:638,813307,813307,0,0,382232925220,3958457423,809012,3857,438,367,391725,0 18,2,2024-09-07 09:28:41:764,587191,587191,0,0,21739209,0,3541 18,3,2024-09-07 09:28:40:896,1,462,0,0,1059,4443,462,0 19,0,2024-09-07 09:28:41:543,113569,0.6,113972,0.8,226104,0.6,301477,2.25 19,1,2024-09-07 09:28:40:579,813675,813675,0,0,382335392854,3962320645,807606,5147,922,367,391777,0 19,2,2024-09-07 09:28:41:753,590321,590321,0,0,20862584,0,3988 19,3,2024-09-07 09:28:41:129,1,462,0,0,524,3886,462,0 20,0,2024-09-07 09:28:41:462,113987,0.5,113954,0.6,227765,0.4,304205,2.00 20,1,2024-09-07 09:28:40:568,810186,810186,0,0,381373624245,3991494852,802314,6576,1296,369,391922,0 20,2,2024-09-07 09:28:40:932,581870,581870,0,0,24833544,0,3721 20,3,2024-09-07 09:28:40:589,1,462,3,0,468,7631,462,0 21,0,2024-09-07 09:28:41:132,115848,0.4,115950,0.6,231978,0.4,307522,1.75 21,1,2024-09-07 09:28:41:538,808141,808141,0,0,379614773636,4005529912,795489,9677,2975,368,392016,0 21,2,2024-09-07 09:28:41:071,579945,579925,20,0,30433426,0,5617 21,3,2024-09-07 09:28:41:451,1,462,8,0,713,6108,462,0 22,0,2024-09-07 09:28:41:718,117411,0.5,117827,0.7,235300,0.4,311726,2.00 22,1,2024-09-07 09:28:41:023,809503,809503,0,0,379755235157,3995561351,796432,10134,2937,382,391675,0 22,2,2024-09-07 09:28:40:766,582724,582698,26,0,24524544,0,6328 22,3,2024-09-07 09:28:41:069,1,462,17,0,228,3664,462,0 23,0,2024-09-07 09:28:41:378,115627,0.5,115104,0.7,230475,0.5,306742,2.25 23,1,2024-09-07 09:28:41:005,810816,810816,0,0,381439763771,4003184867,796951,9712,4153,365,391690,0 23,2,2024-09-07 09:28:41:092,589043,589043,0,0,23742654,0,3773 23,3,2024-09-07 09:28:41:758,1,462,1,0,720,5817,462,0 24,0,2024-09-07 09:28:40:832,113613,0.4,112934,0.5,226957,0.3,301575,1.75 24,1,2024-09-07 09:28:40:584,809733,809733,0,0,379498347547,3975566016,801385,6752,1596,367,392269,0 24,2,2024-09-07 09:28:41:073,584504,584504,0,0,28199123,0,3607 24,3,2024-09-07 09:28:41:686,1,462,15,0,468,6074,462,0 25,0,2024-09-07 09:28:41:446,120623,0.4,117467,0.6,230397,0.4,313545,1.75 25,1,2024-09-07 09:28:40:580,808940,808940,0,0,380393804774,4009620156,795767,10547,2626,371,391928,0 25,2,2024-09-07 09:28:41:613,580228,580228,0,0,29233223,0,3978 25,3,2024-09-07 09:28:41:008,1,462,74,0,532,5160,462,0 26,0,2024-09-07 09:28:41:723,117452,0.4,114846,0.6,240985,0.4,313535,1.75 26,1,2024-09-07 09:28:41:548,811523,811523,0,0,379931668724,3982639669,799346,9765,2412,380,391748,0 26,2,2024-09-07 09:28:40:861,583347,583347,0,0,30291446,0,4689 26,3,2024-09-07 09:28:41:716,1,462,1,0,796,5345,462,0 27,0,2024-09-07 09:28:41:723,117147,0.5,117365,0.6,233544,0.4,311709,2.25 27,1,2024-09-07 09:28:41:679,812251,812251,0,0,382095647135,3978204473,804754,6573,924,381,391626,0 27,2,2024-09-07 09:28:40:867,583108,583043,65,0,27013593,0,5699 27,3,2024-09-07 09:28:41:015,1,462,3,0,564,4223,462,0 28,0,2024-09-07 09:28:41:389,113068,0.4,112616,0.6,226163,0.3,301316,1.75 28,1,2024-09-07 09:28:40:803,812333,812333,0,0,382005220375,3983253534,805012,5621,1700,382,391698,0 28,2,2024-09-07 09:28:41:764,587107,587107,0,0,23815320,0,2915 28,3,2024-09-07 09:28:41:781,1,462,6,0,502,4809,462,0 29,0,2024-09-07 09:28:41:376,120514,0.3,117641,0.5,230246,0.3,313945,1.75 29,1,2024-09-07 09:28:41:563,814493,814493,0,0,382497489432,3954467451,809619,4139,735,368,391809,0 29,2,2024-09-07 09:28:40:865,581534,581534,0,0,22604772,0,4986 29,3,2024-09-07 09:28:40:970,1,462,28,0,459,5300,462,0 30,0,2024-09-07 09:28:41:454,116238,0.5,113003,0.7,236554,0.5,309771,2.00 30,1,2024-09-07 09:28:40:573,813248,813248,0,0,382274404496,3966793240,807103,5378,767,380,391672,0 30,2,2024-09-07 09:28:41:283,583954,583954,0,0,22259974,0,4192 30,3,2024-09-07 09:28:40:594,1,462,1,0,519,4465,462,0 31,0,2024-09-07 09:28:41:765,116464,0.5,116943,0.7,233491,0.4,311435,2.00 31,1,2024-09-07 09:28:40:572,816858,816858,0,0,383149252462,3924713562,813746,2526,586,356,391712,0 31,2,2024-09-07 09:28:41:274,583868,583868,0,0,23889146,0,3525 31,3,2024-09-07 09:28:41:715,1,462,1,0,220,3958,462,0 32,0,2024-09-07 09:28:41:423,113823,0.3,114469,0.5,227990,0.2,303850,1.50 32,1,2024-09-07 09:28:40:807,812868,812868,0,0,381920093822,3969463088,807730,4456,682,381,391646,0 32,2,2024-09-07 09:28:40:935,589143,589143,0,0,21591447,0,3922 32,3,2024-09-07 09:28:41:015,1,462,1,0,304,3511,462,0 33,0,2024-09-07 09:28:41:500,115651,0.2,115221,0.4,230637,0.2,307652,1.50 33,1,2024-09-07 09:28:40:590,813643,813643,0,0,382458719780,3961793911,807125,5413,1105,368,391730,0 33,2,2024-09-07 09:28:40:769,583018,582983,35,0,23684327,0,7012 33,3,2024-09-07 09:28:40:894,1,462,12,0,329,4342,462,0 34,0,2024-09-07 09:28:40:928,116002,0.3,119091,0.4,227702,0.2,306545,1.75 34,1,2024-09-07 09:28:41:045,815259,815259,0,0,383742977420,3942593280,813474,1773,12,367,391562,0 34,2,2024-09-07 09:28:40:770,584411,584411,0,0,22163088,0,4562 34,3,2024-09-07 09:28:41:688,1,462,1,0,299,3350,462,0 35,0,2024-09-07 09:28:40:867,116096,0.3,116714,0.5,234488,0.3,311594,1.75 35,1,2024-09-07 09:28:41:070,812985,812985,0,0,382265667531,3958957848,808404,3722,859,382,391769,0 35,2,2024-09-07 09:28:41:589,584458,584458,0,0,24174870,0,4055 35,3,2024-09-07 09:28:40:909,1,462,21,0,418,4580,462,0 36,0,2024-09-07 09:28:41:521,115375,0.4,115250,0.6,230492,0.4,306385,2.00 36,1,2024-09-07 09:28:40:591,812147,812147,0,0,381369590518,3981680523,801882,8256,2009,366,391759,0 36,2,2024-09-07 09:28:41:759,588464,588464,0,0,25628691,0,3875 36,3,2024-09-07 09:28:40:863,1,462,1,0,416,6404,462,0 37,0,2024-09-07 09:28:41:371,112335,0.5,112476,0.6,224781,0.4,300286,2.00 37,1,2024-09-07 09:28:40:572,810934,810927,0,7,380647690195,3976656141,800678,7694,2555,365,391770,0 37,2,2024-09-07 09:28:41:148,581702,581687,15,0,25589771,0,5815 37,3,2024-09-07 09:28:41:767,1,462,15,0,888,6777,462,0 38,0,2024-09-07 09:28:41:446,115828,0.5,112376,0.6,235141,0.4,307696,2.00 38,1,2024-09-07 09:28:41:607,811437,811437,0,0,380747550742,3981621055,799290,9668,2479,368,391821,0 38,2,2024-09-07 09:28:40:760,582472,582425,47,0,25341282,0,6710 38,3,2024-09-07 09:28:40:997,1,462,1,0,689,5882,462,0 39,0,2024-09-07 09:28:41:771,120760,0.6,118163,0.7,230287,0.5,313842,2.00 39,1,2024-09-07 09:28:40:722,810745,810745,0,0,380219795023,3988884692,796735,10819,3191,365,391658,0 39,2,2024-09-07 09:28:41:423,584141,584141,0,0,24133524,0,3391 39,3,2024-09-07 09:28:40:716,1,462,0,0,324,5057,462,0 40,0,2024-09-07 09:28:41:491,115512,0.8,116272,0.9,231757,0.8,308982,2.75 40,1,2024-09-07 09:28:40:591,811802,811802,0,0,380633699510,3982752759,800632,9068,2102,368,391668,0 40,2,2024-09-07 09:28:41:303,585275,585274,1,0,28667384,0,5137 40,3,2024-09-07 09:28:41:157,1,462,44,0,1028,6207,462,0 41,0,2024-09-07 09:28:41:022,111935,1.2,114420,1.2,218064,1.8,297249,3.00 41,1,2024-09-07 09:28:40:773,809897,809897,0,0,381637360673,3995001106,799137,9052,1708,369,391742,0 41,2,2024-09-07 09:28:40:759,584185,584185,0,0,27142849,0,4277 41,3,2024-09-07 09:28:41:678,1,462,8,0,366,4681,462,0 42,0,2024-09-07 09:28:41:499,117225,0.9,117104,1.0,234454,1.0,310114,2.75 42,1,2024-09-07 09:28:41:440,807255,807255,0,0,380114520616,3994708959,793970,11017,2268,380,391675,0 42,2,2024-09-07 09:28:41:134,581152,581152,0,0,26705605,0,3790 42,3,2024-09-07 09:28:41:009,1,462,2,0,892,4387,462,0 43,0,2024-09-07 09:28:40:920,116337,0.7,113360,0.9,237217,0.8,311292,2.25 43,1,2024-09-07 09:28:40:580,811749,811749,0,0,381330682174,3986028225,799919,9863,1967,366,391696,0 43,2,2024-09-07 09:28:41:746,583381,583381,0,0,26348310,0,3812 43,3,2024-09-07 09:28:41:752,1,462,1,0,571,6677,462,0 44,0,2024-09-07 09:28:40:874,117120,0.4,117290,0.6,234995,0.4,312197,2.00 44,1,2024-09-07 09:28:40:568,813227,813227,0,0,381591344630,3940834545,806662,5247,1318,356,391809,0 44,2,2024-09-07 09:28:41:267,583948,583948,0,0,22074901,0,4344 44,3,2024-09-07 09:28:41:093,1,462,1,0,817,5216,462,0 45,0,2024-09-07 09:28:41:763,111406,0.5,108769,0.7,228382,0.4,300825,2.00 45,1,2024-09-07 09:28:41:005,812337,812337,0,0,381992377847,3968507068,806066,5583,688,382,391917,0 45,2,2024-09-07 09:28:41:275,588908,588908,0,0,22386543,0,3596 45,3,2024-09-07 09:28:40:934,1,462,19,0,271,3898,462,0 46,0,2024-09-07 09:28:40:959,116047,0.4,115425,0.6,231773,0.3,307693,2.00 46,1,2024-09-07 09:28:40:579,814603,814603,0,0,382607754382,3949410921,809703,4307,593,366,391709,0 46,2,2024-09-07 09:28:40:602,582431,582431,0,0,22196167,0,4443 46,3,2024-09-07 09:28:41:131,1,462,6,0,908,5579,462,0 47,0,2024-09-07 09:28:41:116,116198,0.4,116521,0.6,233142,0.4,309131,1.75 47,1,2024-09-07 09:28:40:568,815253,815253,0,0,382080157648,3946224197,810339,4075,839,366,391641,0 47,2,2024-09-07 09:28:40:908,585971,585971,0,0,22054230,0,4477 47,3,2024-09-07 09:28:41:116,1,462,20,0,600,5388,462,0 48,0,2024-09-07 09:28:41:494,117107,0.3,117061,0.4,233185,0.2,310738,1.50 48,1,2024-09-07 09:28:41:022,813169,813169,0,0,382124819600,3966011128,808425,4287,457,384,391710,0 48,2,2024-09-07 09:28:40:699,585052,585052,0,0,20714759,0,3411 48,3,2024-09-07 09:28:40:760,1,462,2,0,339,3616,462,0 49,0,2024-09-07 09:28:41:752,116798,0.3,114899,0.5,222850,0.3,305205,1.75 49,1,2024-09-07 09:28:41:021,812533,812533,0,0,381589279188,3966662770,807371,3955,1207,382,391809,0 49,2,2024-09-07 09:28:41:798,588736,588736,0,0,22965445,0,4426 49,3,2024-09-07 09:28:41:426,1,462,20,0,992,5400,462,0 50,0,2024-09-07 09:28:41:520,114709,0.3,113145,0.4,227951,0.2,304078,1.75 50,1,2024-09-07 09:28:41:010,815043,815043,0,0,383536694056,3963108694,810000,4469,574,368,391565,0 50,2,2024-09-07 09:28:41:069,582911,582911,0,0,20667281,0,4490 50,3,2024-09-07 09:28:41:292,1,462,19,0,567,4557,462,0 51,0,2024-09-07 09:28:41:682,118749,0.3,116377,0.4,226896,0.2,309023,1.75 51,1,2024-09-07 09:28:41:680,815503,815503,0,0,384003896229,3957652819,811386,3068,1049,365,391706,0 51,2,2024-09-07 09:28:41:316,583762,583762,0,0,19869781,0,3337 51,3,2024-09-07 09:28:41:028,1,462,3,0,678,3620,462,0 52,0,2024-09-07 09:28:41:445,117836,0.5,117609,0.7,235523,0.5,312679,2.00 52,1,2024-09-07 09:28:40:584,811370,811370,0,0,381147362696,3987897726,800141,9785,1444,368,391722,0 52,2,2024-09-07 09:28:41:770,581092,581054,38,0,27139255,0,6742 52,3,2024-09-07 09:28:40:679,1,462,0,0,1782,5994,462,0 53,0,2024-09-07 09:28:41:763,115160,0.6,111738,0.8,233896,0.7,306571,2.25 53,1,2024-09-07 09:28:40:771,809474,809474,0,0,381222542416,4000013693,796145,9802,3527,367,391968,0 53,2,2024-09-07 09:28:41:298,587771,587770,1,0,24397839,0,5455 53,3,2024-09-07 09:28:40:697,1,462,7,0,308,4508,462,0 54,0,2024-09-07 09:28:41:639,111387,0.6,111712,0.7,222445,0.4,297739,2.25 54,1,2024-09-07 09:28:40:588,811361,811361,0,0,380969463298,3961014778,802764,7202,1395,366,391659,0 54,2,2024-09-07 09:28:40:867,585399,585367,32,0,27741913,0,6397 54,3,2024-09-07 09:28:40:780,1,462,2,0,676,6411,462,0 55,0,2024-09-07 09:28:41:773,113353,0.6,116876,0.7,236863,0.5,308048,2.25 55,1,2024-09-07 09:28:40:770,812309,812309,0,0,381527462055,3964254723,803982,7145,1182,365,391731,0 55,2,2024-09-07 09:28:40:731,581561,581505,56,0,26652181,0,7239 55,3,2024-09-07 09:28:40:679,1,462,5,0,304,4479,462,0 56,0,2024-09-07 09:28:41:565,120246,1.2,113357,1.1,233741,1.7,312771,2.75 56,1,2024-09-07 09:28:40:592,808191,808191,0,0,380196228378,4011906258,796090,9562,2539,381,391867,0 56,2,2024-09-07 09:28:41:303,582925,582803,122,0,27577021,0,7432 56,3,2024-09-07 09:28:41:058,1,462,178,0,705,5622,462,0 57,0,2024-09-07 09:28:40:952,115245,1.6,115064,1.3,230732,2.2,308868,3.25 57,1,2024-09-07 09:28:40:990,809384,809384,0,0,380347156264,3986048908,799459,8446,1479,366,392032,0 57,2,2024-09-07 09:28:41:328,585730,585730,0,0,28611841,0,4317 57,3,2024-09-07 09:28:41:738,1,462,8,0,455,5309,462,0 58,0,2024-09-07 09:28:40:562,111255,0.9,108123,1.0,225979,1.1,296327,2.50 58,1,2024-09-07 09:28:40:580,810440,810437,0,3,381303365339,3990346154,799004,9102,2331,367,391603,3 58,2,2024-09-07 09:28:41:095,586257,586257,0,0,26558490,0,2902 58,3,2024-09-07 09:28:41:069,1,462,1,0,1043,4886,462,0 59,0,2024-09-07 09:28:41:752,116875,0.7,116441,0.9,232511,0.8,308670,2.75 59,1,2024-09-07 09:28:40:828,810224,810224,0,0,381049082794,3994146733,798301,9785,2138,369,391653,0 59,2,2024-09-07 09:28:40:588,580990,580990,0,0,26061414,0,3727 59,3,2024-09-07 09:28:41:747,1,462,175,0,1015,6209,462,0 60,0,2024-09-07 09:28:41:715,116497,0.5,116484,0.7,233665,0.5,310614,1.75 60,1,2024-09-07 09:28:40:772,813602,813602,0,0,382621205274,3968250394,808386,4493,723,370,392031,0 60,2,2024-09-07 09:28:41:144,583738,583738,0,0,24136452,0,3811 60,3,2024-09-07 09:28:41:264,1,462,54,0,409,5844,462,0 61,0,2024-09-07 09:28:41:491,116563,0.7,117235,0.8,233491,0.7,311220,2.00 61,1,2024-09-07 09:28:40:770,811201,811201,0,0,381150080120,3989882548,802534,7220,1447,382,392127,0 61,2,2024-09-07 09:28:41:117,584949,584882,67,0,24623211,0,6411 61,3,2024-09-07 09:28:41:692,1,462,21,0,479,6147,462,0 62,0,2024-09-07 09:28:41:740,114265,0.5,117217,0.7,223824,0.5,303731,2.00 62,1,2024-09-07 09:28:41:113,815984,815978,0,6,383402324894,3950486694,812138,3578,262,365,391975,6 62,2,2024-09-07 09:28:41:644,586534,586533,1,0,24678486,0,5555 62,3,2024-09-07 09:28:41:143,1,462,0,0,482,3879,462,0 63,0,2024-09-07 09:28:41:457,115698,0.4,115488,0.5,231615,0.3,307878,1.75 63,1,2024-09-07 09:28:40:815,813564,813558,0,6,382211260290,3965431321,808426,4399,733,381,391800,6 63,2,2024-09-07 09:28:40:762,582211,582211,0,0,22432623,0,4369 63,3,2024-09-07 09:28:41:744,1,462,0,0,667,4686,462,0 64,0,2024-09-07 09:28:41:523,115221,0.5,115354,0.6,229995,0.4,305828,1.75 64,1,2024-09-07 09:28:40:751,812817,812817,0,0,381222739752,3968328097,806029,5084,1704,370,391783,0 64,2,2024-09-07 09:28:41:146,587021,587002,19,0,22301432,0,6121 64,3,2024-09-07 09:28:41:144,1,462,3,0,265,4318,462,0 65,0,2024-09-07 09:28:41:708,115929,0.7,116242,0.8,232058,0.7,308982,2.00 65,1,2024-09-07 09:28:40:860,810842,810842,0,0,380632396260,3973928382,805708,4593,541,381,391901,0 65,2,2024-09-07 09:28:41:701,584289,584289,0,0,26453715,0,3367 65,3,2024-09-07 09:28:41:687,1,462,8,0,782,5616,462,0 66,0,2024-09-07 09:28:41:841,114773,0.5,114587,0.7,229133,0.5,304773,2.00 66,1,2024-09-07 09:28:41:295,813053,813053,0,0,381470827871,3969813248,807548,4979,526,380,391743,0 66,2,2024-09-07 09:28:41:142,589773,589770,3,0,23900756,0,5455 66,3,2024-09-07 09:28:41:079,1,462,1,0,291,3922,462,0 67,0,2024-09-07 09:28:41:465,112878,0.4,112714,0.6,226026,0.4,300994,2.00 67,1,2024-09-07 09:28:40:782,812827,812826,0,1,381238600737,3968131915,807307,4725,794,380,391787,1 67,2,2024-09-07 09:28:40:590,585860,585845,15,0,22813517,0,6205 67,3,2024-09-07 09:28:41:758,1,462,3,0,392,4394,462,0 68,0,2024-09-07 09:28:40:574,116785,0.5,116698,0.7,232359,0.5,310205,2.00 68,1,2024-09-07 09:28:40:585,809293,809293,0,0,379142326498,3989189433,797951,8006,3336,381,391953,0 68,2,2024-09-07 09:28:41:045,580033,579933,100,0,29469563,0,8578 68,3,2024-09-07 09:28:40:737,1,462,6,0,417,5492,462,0 69,0,2024-09-07 09:28:41:773,117325,0.7,118120,0.8,235374,0.8,312136,2.25 69,1,2024-09-07 09:28:41:022,809102,809102,0,0,380094237852,4003033560,798736,8092,2274,384,391994,0 69,2,2024-09-07 09:28:41:757,582495,582466,29,0,31472808,0,6912 69,3,2024-09-07 09:28:40:776,1,462,14,0,698,7073,462,0 70,0,2024-09-07 09:28:41:539,115428,0.9,115850,1.0,233018,0.8,308465,2.50 70,1,2024-09-07 09:28:40:810,812914,812914,0,0,382077124119,3964332941,806425,5788,701,366,391725,0 70,2,2024-09-07 09:28:41:327,586132,586132,0,0,26307687,0,4323 70,3,2024-09-07 09:28:40:760,1,462,1,0,854,5335,462,0 71,0,2024-09-07 09:28:41:362,111173,0.9,111102,1.0,223235,1.1,298365,2.75 71,1,2024-09-07 09:28:41:614,811262,811262,0,0,381019911493,3974887903,801680,8502,1080,368,391738,0 71,2,2024-09-07 09:28:41:070,585259,585259,0,0,26399186,0,4352 71,3,2024-09-07 09:28:41:750,1,462,1,0,644,5718,462,0 72,0,2024-09-07 09:28:41:025,121834,0.6,119127,0.7,232253,0.5,315585,2.00 72,1,2024-09-07 09:28:41:021,809838,809838,0,0,380319747074,3988610881,798292,9507,2039,369,391819,0 72,2,2024-09-07 09:28:41:759,580788,580788,0,0,28405839,0,3983 72,3,2024-09-07 09:28:41:758,1,462,14,0,564,6724,462,0 73,0,2024-09-07 09:28:41:107,114456,0.4,117456,0.6,240074,0.4,312085,2.00 73,1,2024-09-07 09:28:40:768,812185,812185,0,0,381701288489,3963693464,806365,5398,422,367,391858,0 73,2,2024-09-07 09:28:41:742,582713,582713,0,0,28491704,0,4291 73,3,2024-09-07 09:28:40:972,1,462,20,0,486,5916,462,0 74,0,2024-09-07 09:28:41:352,117956,0.5,120676,0.7,230116,0.5,312563,2.25 74,1,2024-09-07 09:28:40:637,811023,811023,0,0,381205843712,3977143709,802594,6785,1644,381,391762,0 74,2,2024-09-07 09:28:41:005,584580,584580,0,0,25543872,0,4253 74,3,2024-09-07 09:28:41:446,1,462,11,0,522,5749,462,0 75,0,2024-09-07 09:28:41:777,113300,0.5,112510,0.7,225734,0.4,301852,2.25 75,1,2024-09-07 09:28:41:591,811981,811981,0,0,380644497332,3968010751,804934,6217,830,380,391739,0 75,2,2024-09-07 09:28:41:351,586678,586678,0,0,29079122,0,4766 75,3,2024-09-07 09:28:41:072,1,462,4,0,702,5791,462,0 76,0,2024-09-07 09:28:40:597,115827,0.5,115120,0.7,231080,0.4,308497,2.00 76,1,2024-09-07 09:28:40:824,811481,811481,0,0,380623918868,3967590310,806010,4643,828,382,391692,0 76,2,2024-09-07 09:28:41:063,584271,584270,1,0,24368747,0,5144 76,3,2024-09-07 09:28:41:144,1,462,4,0,175,4293,462,0 77,0,2024-09-07 09:28:41:699,115603,0.5,115920,0.7,232163,0.5,308136,2.00 77,1,2024-09-07 09:28:40:828,812454,812454,0,0,381745147945,3979865503,806552,5394,508,381,391869,0 77,2,2024-09-07 09:28:41:289,583218,583218,0,0,24181007,0,3890 77,3,2024-09-07 09:28:41:094,1,462,12,0,401,5031,462,0 78,0,2024-09-07 09:28:41:731,116862,0.4,116221,0.6,233409,0.4,309371,2.00 78,1,2024-09-07 09:28:40:620,812943,812943,0,0,380247603833,3958437802,804934,6440,1569,367,391670,0 78,2,2024-09-07 09:28:41:422,585892,585879,13,0,22832488,0,8313 78,3,2024-09-07 09:28:41:139,1,462,25,0,181,3976,462,0 79,0,2024-09-07 09:28:41:349,110045,0.4,112635,0.6,230681,0.3,300259,2.25 79,1,2024-09-07 09:28:40:573,814063,814063,0,0,381966618688,3956661808,807406,5348,1309,367,391682,0 79,2,2024-09-07 09:28:41:073,588866,588866,0,0,22156213,0,4195 79,3,2024-09-07 09:28:40:757,1,462,249,0,418,5828,462,0 80,0,2024-09-07 09:28:41:080,114046,0.5,117305,0.6,224363,0.4,303756,2.00 80,1,2024-09-07 09:28:41:626,811738,811738,0,0,380868931586,3964780958,806110,5205,423,368,392269,0 80,2,2024-09-07 09:28:41:097,584734,584734,0,0,22460974,0,4433 80,3,2024-09-07 09:28:40:584,1,462,11,0,190,5204,462,0 81,0,2024-09-07 09:28:41:537,115958,0.5,118677,0.7,226637,0.5,307726,2.00 81,1,2024-09-07 09:28:41:650,810882,810882,0,0,381177949746,3979441606,804854,5487,541,382,391879,0 81,2,2024-09-07 09:28:41:133,582259,582196,63,0,24962668,0,5932 81,3,2024-09-07 09:28:41:117,1,462,8,0,719,5421,462,0 82,0,2024-09-07 09:28:41:538,117200,0.5,117382,0.7,235262,0.5,311936,2.00 82,1,2024-09-07 09:28:40:589,813410,813406,0,4,381838781307,3969462559,808660,3927,819,381,391768,4 82,2,2024-09-07 09:28:41:693,585372,585372,0,0,21293649,0,4484 82,3,2024-09-07 09:28:41:752,1,462,0,0,363,4683,462,0 83,0,2024-09-07 09:28:41:536,115959,0.6,115868,0.7,231034,0.6,306767,2.25 83,1,2024-09-07 09:28:40:551,811322,811322,0,0,380785598011,3968051917,805641,5231,450,382,391709,0 83,2,2024-09-07 09:28:40:772,587587,587562,25,0,22951215,0,5612 83,3,2024-09-07 09:28:40:750,1,462,1,0,1260,5832,462,0 84,0,2024-09-07 09:28:41:782,112165,0.7,112211,0.9,223690,0.6,299762,2.25 84,1,2024-09-07 09:28:41:041,810653,810653,0,0,380058139543,3970031120,802374,7226,1053,367,391967,0 84,2,2024-09-07 09:28:40:584,583674,583644,30,0,29664420,0,5971 84,3,2024-09-07 09:28:41:141,1,462,8,0,908,6766,462,0 85,0,2024-09-07 09:28:41:028,112938,0.6,112845,0.8,239471,0.6,309830,2.25 85,1,2024-09-07 09:28:40:568,808520,808520,0,0,380183595752,4009142592,796879,9550,2091,381,392006,0 85,2,2024-09-07 09:28:40:865,581232,581232,0,0,27793284,0,3656 85,3,2024-09-07 09:28:40:716,1,462,8,0,789,5415,462,0 86,0,2024-09-07 09:28:40:877,117513,0.7,120995,0.8,231423,0.7,313085,2.25 86,1,2024-09-07 09:28:40:834,810852,810852,0,0,381187889421,3991702975,801103,8115,1634,366,391961,0 86,2,2024-09-07 09:28:40:857,581376,581375,1,0,30404552,0,5004 86,3,2024-09-07 09:28:40:602,1,462,2,0,308,6646,462,0 87,0,2024-09-07 09:28:41:295,116939,0.9,116658,0.9,233433,1.2,312117,2.50 87,1,2024-09-07 09:28:40:550,809512,809512,0,0,380504223701,3987048146,798610,9244,1658,366,392076,0 87,2,2024-09-07 09:28:41:066,584999,584993,6,0,26778834,0,6323 87,3,2024-09-07 09:28:41:795,1,462,1,0,473,7010,462,0 88,0,2024-09-07 09:28:41:444,112742,0.4,113386,0.6,226364,0.4,301198,1.75 88,1,2024-09-07 09:28:40:576,808878,808878,0,0,380326327105,3981095359,798658,8178,2042,365,392084,0 88,2,2024-09-07 09:28:40:719,587001,587001,0,0,29334395,0,4465 88,3,2024-09-07 09:28:41:274,1,462,38,0,435,5448,462,0 89,0,2024-09-07 09:28:41:781,120530,0.4,116733,0.6,230891,0.4,314470,1.75 89,1,2024-09-07 09:28:40:560,808956,808956,0,0,380027152360,3993265110,799196,8289,1471,382,391866,0 89,2,2024-09-07 09:28:41:139,581154,581154,0,0,27055756,0,3173 89,3,2024-09-07 09:28:41:791,1,462,11,0,468,7753,462,0 90,0,2024-09-07 09:28:41:645,113170,0.4,116276,0.6,237068,0.4,309552,2.00 90,1,2024-09-07 09:28:40:591,810483,810483,0,0,380837836880,3984243299,803369,6597,517,380,391825,0 90,2,2024-09-07 09:28:41:422,581185,581185,0,0,29336071,0,3608 90,3,2024-09-07 09:28:40:939,1,462,10,0,322,5524,462,0 91,0,2024-09-07 09:28:40:930,117446,0.5,113655,0.6,237448,0.5,311924,1.75 91,1,2024-09-07 09:28:40:560,808773,808773,0,0,380373714300,3998406334,798610,8523,1640,381,392047,0 91,2,2024-09-07 09:28:41:348,585311,585311,0,0,25925327,0,3526 91,3,2024-09-07 09:28:40:607,1,462,2,0,216,4444,462,0 92,0,2024-09-07 09:28:41:452,114842,0.4,117603,0.6,224512,0.4,304023,1.75 92,1,2024-09-07 09:28:40:588,811428,811428,0,0,380246748487,3967308592,805780,4918,730,381,392136,0 92,2,2024-09-07 09:28:41:353,589274,589274,0,0,22772843,0,3259 92,3,2024-09-07 09:28:41:009,1,462,1,0,167,4066,462,0 93,0,2024-09-07 09:28:40:993,115995,0.4,118991,0.5,227205,0.3,308151,1.75 93,1,2024-09-07 09:28:40:814,811646,811646,0,0,381373625247,3977533437,803448,6810,1388,366,391776,0 93,2,2024-09-07 09:28:40:933,581978,581978,0,0,27254735,0,4845 93,3,2024-09-07 09:28:41:405,1,462,9,0,190,4094,462,0 94,0,2024-09-07 09:28:41:622,115286,0.3,116156,0.5,231968,0.3,307375,1.75 94,1,2024-09-07 09:28:40:566,811754,811754,0,0,382209198756,3982947905,806912,4632,210,381,391850,0 94,2,2024-09-07 09:28:40:774,583310,583310,0,0,22950508,0,2443 94,3,2024-09-07 09:28:41:693,1,462,134,0,576,6039,462,0 95,0,2024-09-07 09:28:41:374,116870,0.4,116704,0.5,234014,0.3,311482,1.75 95,1,2024-09-07 09:28:40:861,813198,813198,0,0,381536583890,3961900850,807041,5719,438,365,391786,0 95,2,2024-09-07 09:28:41:030,584057,584057,0,0,22937054,0,3308 95,3,2024-09-07 09:28:41:715,1,462,2,0,718,6389,462,0 96,0,2024-09-07 09:28:41:029,115282,0.4,115487,0.5,230706,0.3,305765,1.75 96,1,2024-09-07 09:28:41:598,811383,811383,0,0,380539930599,3968749665,805505,4972,906,384,391955,0 96,2,2024-09-07 09:28:41:274,589164,589164,0,0,23787276,0,4180 96,3,2024-09-07 09:28:41:141,1,462,6,0,411,5066,462,0 97,0,2024-09-07 09:28:41:312,112949,0.3,112476,0.4,225830,0.2,300710,1.50 97,1,2024-09-07 09:28:40:814,812856,812856,0,0,382681296292,3967176053,807671,4249,936,367,392140,0 97,2,2024-09-07 09:28:40:618,584303,584303,0,0,22850245,0,3679 97,3,2024-09-07 09:28:40:578,1,462,9,0,242,5472,462,0 98,0,2024-09-07 09:28:41:738,116084,0.3,116465,0.4,233545,0.2,309896,1.50 98,1,2024-09-07 09:28:40:584,812428,812428,0,0,381470456307,3972114943,807351,4284,793,382,391997,0 98,2,2024-09-07 09:28:40:780,583302,583302,0,0,22960980,0,4336 98,3,2024-09-07 09:28:40:699,1,462,0,0,840,7304,462,0 99,0,2024-09-07 09:28:41:522,117968,0.3,118549,0.5,235872,0.3,314759,1.75 99,1,2024-09-07 09:28:41:732,812489,812489,0,0,380871084536,3961538597,807500,4152,837,380,392069,0 99,2,2024-09-07 09:28:41:424,584982,584982,0,0,27223901,0,4276 99,3,2024-09-07 09:28:40:588,1,462,2,0,606,4894,462,0 100,0,2024-09-07 09:28:41:479,116454,0.8,116799,0.9,232823,0.9,311152,2.50 100,1,2024-09-07 09:28:40:549,807756,807756,0,0,379335540615,4010745072,794943,9864,2949,378,391989,0 100,2,2024-09-07 09:28:41:817,583987,583976,11,0,27027129,0,5417 100,3,2024-09-07 09:28:41:735,1,462,17,0,559,7370,462,0 101,0,2024-09-07 09:28:41:712,114677,1.1,111772,1.0,218993,1.0,299950,2.25 101,1,2024-09-07 09:28:40:553,808540,808540,0,0,379885718387,3993933612,796880,9293,2367,368,391847,0 101,2,2024-09-07 09:28:41:767,582365,582365,0,0,31842238,0,4871 101,3,2024-09-07 09:28:40:962,1,462,20,0,1250,7393,462,0 102,0,2024-09-07 09:28:40:942,114838,0.6,118388,0.8,240168,0.6,314125,2.00 102,1,2024-09-07 09:28:41:143,808614,808614,0,0,379624007747,3989227149,797560,9113,1941,369,391891,0 102,2,2024-09-07 09:28:41:747,582665,582611,54,0,25570865,0,6768 102,3,2024-09-07 09:28:41:613,1,462,2,0,466,4985,462,0 103,0,2024-09-07 09:28:41:618,121317,0.6,121308,0.7,228526,0.6,314543,2.00 103,1,2024-09-07 09:28:41:630,808178,808178,0,0,379682885507,4008578309,795131,9855,3192,381,391862,0 103,2,2024-09-07 09:28:40:589,581555,581555,0,0,28513755,0,3766 103,3,2024-09-07 09:28:40:760,1,462,10,0,916,5379,462,0 104,0,2024-09-07 09:28:41:022,116139,0.7,116452,0.9,231864,0.7,310620,2.25 104,1,2024-09-07 09:28:41:617,809549,809549,0,0,380672144345,3995164737,798185,9409,1955,365,392168,0 104,2,2024-09-07 09:28:41:678,582954,582954,0,0,27391837,0,3941 104,3,2024-09-07 09:28:41:420,1,462,46,0,1245,8814,462,0 105,0,2024-09-07 09:28:41:025,111657,0.8,108709,1.0,227850,0.8,299856,2.50 105,1,2024-09-07 09:28:40:563,811801,811801,0,0,380815068868,3989052807,801635,8568,1598,366,392009,0 105,2,2024-09-07 09:28:41:323,586228,586228,0,0,27416371,0,3509 105,3,2024-09-07 09:28:41:304,1,462,18,0,399,6837,462,0 106,0,2024-09-07 09:28:40:948,112253,0.7,115041,0.8,235595,0.7,307973,2.25 106,1,2024-09-07 09:28:41:759,810412,810412,0,0,380250633173,3989799807,798720,10053,1639,368,391914,0 106,2,2024-09-07 09:28:40:769,580804,580804,0,0,26027016,0,2920 106,3,2024-09-07 09:28:40:681,1,462,0,0,470,6024,462,0 107,0,2024-09-07 09:28:41:116,115781,0.8,116049,0.9,231869,0.9,309189,2.25 107,1,2024-09-07 09:28:40:588,808689,808689,0,0,380354377942,4006493266,797710,9907,1072,381,392234,0 107,2,2024-09-07 09:28:41:297,581297,581296,1,0,28261460,0,5024 107,3,2024-09-07 09:28:41:763,1,462,14,0,370,6782,462,0 108,0,2024-09-07 09:28:41:775,116211,0.5,117151,0.6,232909,0.4,310744,1.75 108,1,2024-09-07 09:28:41:319,811956,811956,0,0,381011652081,3970295842,805552,5656,748,367,391857,0 108,2,2024-09-07 09:28:41:764,583859,583859,0,0,25631895,0,4246 108,3,2024-09-07 09:28:41:342,1,462,2,0,749,8819,462,0 109,0,2024-09-07 09:28:41:763,114507,0.4,113678,0.6,227602,0.3,304492,1.75 109,1,2024-09-07 09:28:40:616,808897,808897,0,0,380815675086,3994247286,801302,6387,1208,382,392132,0 109,2,2024-09-07 09:28:40:928,586055,586055,0,0,25036021,0,3617 109,3,2024-09-07 09:28:41:140,1,462,12,0,379,5341,462,0 110,0,2024-09-07 09:28:41:759,113912,0.3,110837,0.5,232277,0.3,304453,1.75 110,1,2024-09-07 09:28:41:650,812857,812857,0,0,381408645757,3955725986,807286,4366,1205,369,392045,0 110,2,2024-09-07 09:28:41:319,583062,583062,0,0,23914046,0,4067 110,3,2024-09-07 09:28:40:715,1,462,2,0,722,6490,462,0 111,0,2024-09-07 09:28:41:414,116350,0.3,115574,0.5,231729,0.3,308918,1.75 111,1,2024-09-07 09:28:41:000,813895,813895,0,0,383531585840,3974223896,809962,3577,356,380,391690,0 111,2,2024-09-07 09:28:41:120,582491,582491,0,0,23642675,0,4823 111,3,2024-09-07 09:28:40:920,1,462,5,0,379,5244,462,0 112,0,2024-09-07 09:28:40:915,118205,0.3,117633,0.4,235754,0.2,312961,1.50 112,1,2024-09-07 09:28:40:833,812581,812581,0,0,381523482003,3957079679,807849,4119,613,380,391624,0 112,2,2024-09-07 09:28:41:133,584090,584089,1,0,23062787,0,5036 112,3,2024-09-07 09:28:40:592,1,462,1,0,282,4576,462,0 113,0,2024-09-07 09:28:40:867,116039,0.3,116031,0.5,232402,0.2,308765,1.75 113,1,2024-09-07 09:28:41:699,815330,815330,0,0,383383479707,3952005242,811433,3307,590,366,391661,0 113,2,2024-09-07 09:28:41:303,589609,589609,0,0,21046222,0,3813 113,3,2024-09-07 09:28:40:686,1,462,5,0,340,5020,462,0 114,0,2024-09-07 09:28:40:874,113138,0.3,113939,0.4,226329,0.2,302944,1.75 114,1,2024-09-07 09:28:40:722,813118,813118,0,0,382011841561,3966216905,806782,4720,1616,381,391565,0 114,2,2024-09-07 09:28:40:877,586626,586625,1,0,22015979,0,5069 114,3,2024-09-07 09:28:41:283,1,462,1,0,395,3795,462,0 115,0,2024-09-07 09:28:40:557,117796,0.3,118209,0.4,235875,0.2,313045,1.50 115,1,2024-09-07 09:28:40:572,813203,813203,0,0,382147503166,3968541414,806704,5231,1268,382,391757,0 115,2,2024-09-07 09:28:41:126,584131,584131,0,0,21956888,0,4382 115,3,2024-09-07 09:28:41:004,1,462,1,0,159,2568,462,0 116,0,2024-09-07 09:28:41:700,117392,0.7,117267,0.8,235215,0.7,314323,2.00 116,1,2024-09-07 09:28:40:812,808894,808894,0,0,380289056152,4005619108,799314,7179,2401,380,392089,0 116,2,2024-09-07 09:28:41:761,582351,582351,0,0,28434773,0,4128 116,3,2024-09-07 09:28:40:911,1,462,2,0,415,5227,462,0 117,0,2024-09-07 09:28:40:973,117250,0.7,116733,0.8,233851,0.8,312497,2.00 117,1,2024-09-07 09:28:41:584,810171,810171,0,0,380259171309,3977845404,801826,7366,979,369,392033,0 117,2,2024-09-07 09:28:41:118,587718,587718,0,0,24750976,0,4303 117,3,2024-09-07 09:28:41:062,1,462,8,0,490,6690,462,0 118,0,2024-09-07 09:28:41:802,109540,0.5,112494,0.7,229620,0.5,300127,2.00 118,1,2024-09-07 09:28:40:592,809529,809529,0,0,379928526775,3992961775,797392,9117,3020,366,392054,0 118,2,2024-09-07 09:28:41:603,585940,585940,0,0,26720424,0,2842 118,3,2024-09-07 09:28:41:765,1,462,11,0,248,5239,462,0 119,0,2024-09-07 09:28:41:363,116933,0.6,117185,0.7,234437,0.5,312282,2.00 119,1,2024-09-07 09:28:40:550,810245,810245,0,0,380466078102,3984461746,800028,8821,1396,367,391857,0 119,2,2024-09-07 09:28:41:266,582347,582347,0,0,24927846,0,4174 119,3,2024-09-07 09:28:41:346,1,462,16,0,1358,8888,462,0 120,0,2024-09-07 09:28:41:543,115984,0.6,115705,0.8,232280,0.7,310060,2.25 120,1,2024-09-07 09:28:40:858,810739,810739,0,0,380296154436,3986817272,802254,7715,770,368,392144,0 120,2,2024-09-07 09:28:40:782,582290,582289,1,0,29443319,0,5281 120,3,2024-09-07 09:28:41:291,1,462,6,0,279,5764,462,0 121,0,2024-09-07 09:28:41:698,116845,1.3,116505,1.1,233501,1.8,311163,2.50 121,1,2024-09-07 09:28:41:655,811089,811089,0,0,381236536160,3982209849,803872,6752,465,367,391840,0 121,2,2024-09-07 09:28:41:129,583812,583812,0,0,27847582,0,4157 121,3,2024-09-07 09:28:40:729,1,462,8,0,269,5387,462,0 122,0,2024-09-07 09:28:41:837,113327,0.7,110421,0.8,231594,0.8,303802,2.00 122,1,2024-09-07 09:28:40:858,809560,809560,0,0,381052144473,3996248101,798514,9346,1700,366,392130,0 122,2,2024-09-07 09:28:41:321,588080,588007,73,0,30926296,0,5989 122,3,2024-09-07 09:28:40:598,1,462,9,0,512,8093,462,0 123,0,2024-09-07 09:28:40:985,114715,0.7,111703,0.8,234137,0.8,306876,2.00 123,1,2024-09-07 09:28:40:630,809338,809338,0,0,380055408320,3998965835,795633,11259,2446,369,392039,0 123,2,2024-09-07 09:28:41:019,580138,580137,1,0,26001693,0,5215 123,3,2024-09-07 09:28:41:146,1,462,2,0,478,5273,462,0 124,0,2024-09-07 09:28:41:041,119078,0.3,119087,0.5,224467,0.3,308275,1.75 124,1,2024-09-07 09:28:41:028,813016,813016,0,0,381770891530,3964749781,807619,4344,1053,367,392178,0 124,2,2024-09-07 09:28:41:009,584034,583981,53,0,23414446,0,6487 124,3,2024-09-07 09:28:40:760,1,462,8,0,490,4323,462,0 125,0,2024-09-07 09:28:41:427,116811,0.4,116601,0.6,234020,0.4,311448,1.75 125,1,2024-09-07 09:28:40:862,811175,811175,0,0,380992609815,3973823074,805249,5252,674,382,391813,0 125,2,2024-09-07 09:28:41:117,585703,585703,0,0,24035930,0,4534 125,3,2024-09-07 09:28:41:126,1,462,8,0,709,5585,462,0 126,0,2024-09-07 09:28:41:484,115205,0.4,118551,0.6,226720,0.4,306472,1.75 126,1,2024-09-07 09:28:40:712,813508,813508,0,0,382341514548,3956574798,809355,3859,294,365,391987,0 126,2,2024-09-07 09:28:40:632,589105,589105,0,0,24781599,0,4539 126,3,2024-09-07 09:28:40:911,1,462,5,0,268,5412,462,0 127,0,2024-09-07 09:28:41:629,112905,0.3,113295,0.5,225999,0.2,301098,1.50 127,1,2024-09-07 09:28:40:575,812381,812381,0,0,381557882242,3965394194,804772,6372,1237,364,392187,0 127,2,2024-09-07 09:28:40:645,582742,582742,0,0,22225519,0,3897 127,3,2024-09-07 09:28:41:276,1,462,6,0,968,4782,462,0 128,0,2024-09-07 09:28:41:526,117030,0.3,117088,0.4,233910,0.2,310642,1.50 128,1,2024-09-07 09:28:41:617,811674,811674,0,0,381845653721,3960771361,806742,4499,433,367,392031,0 128,2,2024-09-07 09:28:41:396,584213,584213,0,0,21699790,0,3171 128,3,2024-09-07 09:28:40:772,1,462,2,0,1082,7077,462,0 129,0,2024-09-07 09:28:41:009,118958,0.3,118410,0.5,237141,0.3,315166,1.50 129,1,2024-09-07 09:28:40:569,809881,809881,0,0,379469257771,3973694905,802102,6105,1674,379,391962,0 129,2,2024-09-07 09:28:40:717,584845,584841,4,0,23929549,0,5335 129,3,2024-09-07 09:28:40:697,1,462,8,0,506,6590,462,0 130,0,2024-09-07 09:28:41:745,117543,0.5,117044,0.6,235311,0.5,312510,1.75 130,1,2024-09-07 09:28:40:597,812957,812957,0,0,381644130938,3963330961,808818,3800,339,381,391825,0 130,2,2024-09-07 09:28:41:129,587369,587369,0,0,23732391,0,4067 130,3,2024-09-07 09:28:41:292,1,462,9,0,960,6880,462,0 131,0,2024-09-07 09:28:41:938,112344,0.3,112739,0.5,226173,0.3,300635,1.50 131,1,2024-09-07 09:28:41:820,812233,812233,0,0,381120145756,3976329366,806242,4937,1054,381,391865,0 131,2,2024-09-07 09:28:40:575,588037,588037,0,0,21715319,0,3979 131,3,2024-09-07 09:28:41:690,1,462,1,0,392,4973,462,0 132,0,2024-09-07 09:28:41:409,118100,0.4,119166,0.6,237119,0.4,315097,1.75 132,1,2024-09-07 09:28:40:588,808186,808186,0,0,380332197529,4002629342,796464,9590,2132,381,392532,0 132,2,2024-09-07 09:28:40:698,581893,581876,17,0,28509744,0,6451 132,3,2024-09-07 09:28:41:692,1,462,1,0,804,7764,462,0 133,0,2024-09-07 09:28:41:526,114428,0.4,117160,0.6,240210,0.4,312820,1.75 133,1,2024-09-07 09:28:40:588,808340,808340,0,0,379995081895,4004158506,797061,9664,1615,383,391914,0 133,2,2024-09-07 09:28:41:088,582977,582927,50,0,29882725,0,6861 133,3,2024-09-07 09:28:41:311,1,462,12,0,479,4797,462,0 134,0,2024-09-07 09:28:40:941,117581,0.6,117185,0.7,234675,0.6,312904,2.00 134,1,2024-09-07 09:28:40:613,809700,809700,0,0,380063716476,3983485040,799526,8106,2068,366,391718,0 134,2,2024-09-07 09:28:41:769,583674,583650,24,0,27853971,0,6207 134,3,2024-09-07 09:28:40:757,1,462,1,0,739,5706,462,0 135,0,2024-09-07 09:28:41:154,109345,0.7,109307,0.8,232092,0.8,299194,2.25 135,1,2024-09-07 09:28:41:594,809951,809951,0,0,380820028903,4003586120,799595,8978,1378,380,391805,0 135,2,2024-09-07 09:28:40:723,587623,587623,0,0,27315009,0,3981 135,3,2024-09-07 09:28:41:007,1,462,2,0,299,4036,462,0 136,0,2024-09-07 09:28:41:629,116758,0.5,116915,0.7,232848,0.4,310273,2.00 136,1,2024-09-07 09:28:41:455,811035,811035,0,0,380047004513,3984133091,801746,8159,1130,381,391685,0 136,2,2024-09-07 09:28:41:134,583305,583305,0,0,25644147,0,3506 136,3,2024-09-07 09:28:41:110,1,462,74,0,637,5266,462,0 137,0,2024-09-07 09:28:40:938,119630,0.6,116522,0.7,228490,0.5,310419,2.00 137,1,2024-09-07 09:28:40:587,809051,809051,0,0,380413366447,3991362164,796147,10236,2668,366,391898,0 137,2,2024-09-07 09:28:41:710,581624,581624,0,0,28843623,0,3185 137,3,2024-09-07 09:28:40:771,1,462,9,0,484,5608,462,0 138,0,2024-09-07 09:28:41:765,116121,0.9,116059,0.9,233076,1.2,309242,2.25 138,1,2024-09-07 09:28:41:720,810071,810071,0,0,380882025862,3991438344,799198,9137,1736,368,391954,0 138,2,2024-09-07 09:28:40:602,583712,583712,0,0,26900228,0,4988 138,3,2024-09-07 09:28:40:640,1,462,183,0,1200,7424,462,0 139,0,2024-09-07 09:28:41:393,113012,1.4,113137,1.1,226769,2.1,302886,2.50 139,1,2024-09-07 09:28:40:581,806291,806291,0,0,378455025218,4018917387,790949,11900,3442,380,392058,0 139,2,2024-09-07 09:28:40:722,584047,584047,0,0,29963506,0,3097 139,3,2024-09-07 09:28:41:668,1,462,8,0,432,5480,462,0 140,0,2024-09-07 09:28:41:586,114580,0.3,113908,0.5,228473,0.2,304725,1.75 140,1,2024-09-07 09:28:41:536,814830,814830,0,0,383803880677,3954909154,810948,3421,461,365,391606,0 140,2,2024-09-07 09:28:40:687,584056,584055,1,0,22362888,0,5036 140,3,2024-09-07 09:28:40:771,1,462,1,0,297,3731,462,0 141,0,2024-09-07 09:28:41:701,116200,0.3,119217,0.4,227762,0.2,308584,1.50 141,1,2024-09-07 09:28:40:859,813754,813754,0,0,382601759393,3971370169,807794,5003,957,379,391614,0 141,2,2024-09-07 09:28:41:692,583416,583416,0,0,22607649,0,3360 141,3,2024-09-07 09:28:41:048,1,462,8,0,391,5101,462,0 142,0,2024-09-07 09:28:41:332,118283,0.3,117563,0.5,235325,0.3,313588,1.75 142,1,2024-09-07 09:28:40:627,811892,811892,0,0,380743541421,3964525202,807176,4313,403,382,392102,0 142,2,2024-09-07 09:28:41:299,583904,583872,32,0,24531438,0,6028 142,3,2024-09-07 09:28:41:753,1,462,0,0,484,5041,462,0 143,0,2024-09-07 09:28:41:387,115993,0.4,116131,0.6,232596,0.4,308759,1.75 143,1,2024-09-07 09:28:40:713,813357,813357,0,0,381832721523,3959822270,808145,4666,546,367,391705,0 143,2,2024-09-07 09:28:40:772,588287,588287,0,0,23756051,0,3123 143,3,2024-09-07 09:28:41:143,1,462,408,0,462,6090,462,0 144,0,2024-09-07 09:28:41:541,109432,0.6,112411,0.8,229205,0.5,300536,2.00 144,1,2024-09-07 09:28:40:570,809586,809586,0,0,380528363082,3990077767,801627,6120,1839,381,391733,0 144,2,2024-09-07 09:28:41:766,585734,585734,0,0,22209575,0,3673 144,3,2024-09-07 09:28:41:761,1,462,1,0,249,4541,462,0 145,0,2024-09-07 09:28:41:413,113539,0.6,113530,0.8,240856,0.5,309928,2.25 145,1,2024-09-07 09:28:40:552,808763,808763,0,0,379943015450,3996237951,798072,8824,1867,382,391759,0 145,2,2024-09-07 09:28:41:439,580225,580144,81,0,27658267,0,7814 145,3,2024-09-07 09:28:40:898,1,462,8,0,622,6403,462,0 146,0,2024-09-07 09:28:41:603,117661,0.6,117156,0.7,235709,0.6,312791,2.25 146,1,2024-09-07 09:28:41:600,809778,809778,0,0,379895824341,3995643062,796498,9753,3527,367,391770,0 146,2,2024-09-07 09:28:41:701,581752,581752,0,0,26311171,0,3290 146,3,2024-09-07 09:28:41:283,1,462,15,0,1520,8212,462,0 147,0,2024-09-07 09:28:41:709,117164,0.6,116891,0.8,233237,0.6,311999,2.25 147,1,2024-09-07 09:28:41:379,813411,813411,0,0,382299710680,3971911476,806681,5881,849,368,391791,0 147,2,2024-09-07 09:28:41:009,585622,585622,0,0,23719197,0,2968 147,3,2024-09-07 09:28:40:912,1,462,1,0,1626,7784,462,0 0,0,2024-09-07 09:28:51:773,113582,0.6,113561,0.7,240964,0.6,311668,2.00 0,1,2024-09-07 09:28:50:815,812076,812076,0,0,381770690612,3993303630,806248,5379,449,369,391896,0 0,2,2024-09-07 09:28:51:080,585570,585570,0,0,23478693,0,4480 0,3,2024-09-07 09:28:50:974,1,463,9,0,431,6738,463,0 1,0,2024-09-07 09:28:51:785,116983,1.1,116417,1.0,233230,1.5,311803,2.00 1,1,2024-09-07 09:28:50:559,812008,812008,0,0,380920984286,3982942722,805447,5231,1330,370,391859,0 1,2,2024-09-07 09:28:50:649,585672,585672,0,0,23259495,0,3380 1,3,2024-09-07 09:28:51:313,1,463,269,0,269,5889,463,0 2,0,2024-09-07 09:28:51:592,113841,0.6,113778,0.7,227107,0.6,302761,2.00 2,1,2024-09-07 09:28:50:867,814525,814525,0,0,382648592918,3968683270,811199,3031,295,380,391745,0 2,2,2024-09-07 09:28:51:270,590143,590143,0,0,21866436,0,3594 2,3,2024-09-07 09:28:50:692,1,463,7,0,357,4271,463,0 3,0,2024-09-07 09:28:51:745,115845,0.4,115579,0.6,231379,0.3,308187,2.00 3,1,2024-09-07 09:28:51:621,812973,812973,0,0,381854798209,3973500449,806615,5664,694,379,391716,0 3,2,2024-09-07 09:28:51:143,584027,584004,23,0,22908972,0,5851 3,3,2024-09-07 09:28:51:751,1,463,1,0,207,2984,463,0 4,0,2024-09-07 09:28:51:775,112344,0.4,115470,0.5,235434,0.3,307633,1.75 4,1,2024-09-07 09:28:50:600,809418,809418,0,0,380317371493,4023699989,795585,10599,3234,370,391992,0 4,2,2024-09-07 09:28:51:030,582096,582096,0,0,27120796,0,4528 4,3,2024-09-07 09:28:51:033,1,463,105,0,448,6090,463,0 5,0,2024-09-07 09:28:51:432,116799,0.4,117329,0.6,234234,0.4,311095,1.75 5,1,2024-09-07 09:28:50:758,811097,811097,0,0,381535957510,4016972385,799334,9399,2364,367,392005,0 5,2,2024-09-07 09:28:51:832,582893,582893,0,0,27210634,0,3582 5,3,2024-09-07 09:28:51:742,1,463,21,0,457,6362,463,0 6,0,2024-09-07 09:28:50:937,115380,0.4,114972,0.6,229723,0.4,306294,2.00 6,1,2024-09-07 09:28:50:747,813216,813216,0,0,381227665163,3982146322,804637,7153,1426,379,391702,0 6,2,2024-09-07 09:28:51:137,589242,589224,18,0,26495008,0,5535 6,3,2024-09-07 09:28:51:275,1,463,2,0,710,5830,463,0 7,0,2024-09-07 09:28:51:544,112631,0.5,113484,0.6,226035,0.4,301182,2.00 7,1,2024-09-07 09:28:50:856,811569,811569,0,0,381168242879,4001575952,800389,9196,1984,382,391747,0 7,2,2024-09-07 09:28:50:770,584531,584531,0,0,25508228,0,4791 7,3,2024-09-07 09:28:50:855,1,463,1,0,552,5555,463,0 8,0,2024-09-07 09:28:51:337,116768,0.3,116565,0.5,233662,0.3,310827,1.75 8,1,2024-09-07 09:28:51:035,810921,810921,0,0,381043534930,4004295067,798643,9503,2775,366,392853,0 8,2,2024-09-07 09:28:50:816,579604,579604,0,0,30492644,0,3250 8,3,2024-09-07 09:28:50:600,1,463,15,0,538,7354,463,0 9,0,2024-09-07 09:28:51:144,118132,0.4,114829,0.5,240462,0.3,314965,1.75 9,1,2024-09-07 09:28:50:550,809947,809947,0,0,380649447480,4009048785,797836,9671,2440,369,392001,0 9,2,2024-09-07 09:28:51:122,584150,584150,0,0,27782834,0,4615 9,3,2024-09-07 09:28:51:766,1,463,8,0,496,6541,463,0 10,0,2024-09-07 09:28:51:607,116862,0.3,116427,0.5,233770,0.3,311107,1.75 10,1,2024-09-07 09:28:50:589,812349,812349,0,0,381151424877,3993546039,801355,9237,1757,381,391741,0 10,2,2024-09-07 09:28:50:762,587565,587565,0,0,30020350,0,4264 10,3,2024-09-07 09:28:50:881,1,463,0,0,649,4996,463,0 11,0,2024-09-07 09:28:51:046,112711,0.4,109315,0.6,228570,0.4,301795,1.75 11,1,2024-09-07 09:28:50:575,812733,812733,0,0,381791913906,4004476844,800459,9307,2967,383,391756,0 11,2,2024-09-07 09:28:51:131,586018,586018,0,0,26444724,0,4130 11,3,2024-09-07 09:28:51:309,1,463,1,0,843,6367,463,0 12,0,2024-09-07 09:28:51:320,118984,0.4,118922,0.5,237865,0.3,315711,1.75 12,1,2024-09-07 09:28:51:142,812713,812713,0,0,382107955468,3980761716,806910,5299,504,370,391960,0 12,2,2024-09-07 09:28:51:556,583152,583152,0,0,25475922,0,4390 12,3,2024-09-07 09:28:51:071,1,463,13,0,386,6565,463,0 13,0,2024-09-07 09:28:51:339,118685,0.4,118319,0.6,235948,0.4,314831,1.75 13,1,2024-09-07 09:28:51:526,810845,810845,0,0,381381432668,4005749419,802759,6372,1714,382,391740,0 13,2,2024-09-07 09:28:50:599,586103,586103,0,0,23065282,0,3287 13,3,2024-09-07 09:28:51:767,1,463,18,0,522,6582,463,0 14,0,2024-09-07 09:28:50:563,117283,0.4,118209,0.6,234032,0.4,312186,1.75 14,1,2024-09-07 09:28:51:563,816460,816460,0,0,383363837792,3967220458,810035,5713,712,364,391673,0 14,2,2024-09-07 09:28:50:764,586752,586722,30,0,24892323,0,6104 14,3,2024-09-07 09:28:51:117,1,463,0,0,1168,4897,463,0 15,0,2024-09-07 09:28:51:553,113077,0.4,112807,0.6,226292,0.4,301582,2.00 15,1,2024-09-07 09:28:51:612,813567,813567,0,0,382054213187,3975464042,807336,5052,1179,381,391619,0 15,2,2024-09-07 09:28:51:005,590466,590466,0,0,20928516,0,3622 15,3,2024-09-07 09:28:51:407,1,463,3,0,1126,7112,463,0 16,0,2024-09-07 09:28:51:019,116510,0.5,117225,0.7,233453,0.4,310426,2.00 16,1,2024-09-07 09:28:50:570,813196,813196,0,0,381313183125,3983776375,806332,5747,1117,370,391917,0 16,2,2024-09-07 09:28:51:445,583004,583004,0,0,24549718,0,4719 16,3,2024-09-07 09:28:51:141,1,463,2,0,317,5563,463,0 17,0,2024-09-07 09:28:51:802,119870,0.6,116963,0.7,228914,0.6,311046,2.00 17,1,2024-09-07 09:28:50:574,811785,811785,0,0,381738281864,4003733508,803580,6491,1714,368,392075,0 17,2,2024-09-07 09:28:51:687,586859,586858,1,0,25199377,0,5050 17,3,2024-09-07 09:28:50:584,1,463,13,0,518,7286,463,0 18,0,2024-09-07 09:28:50:958,115612,0.7,116110,0.8,231648,0.7,309248,2.25 18,1,2024-09-07 09:28:51:643,815068,815068,0,0,383050970819,3966816037,810773,3857,438,367,391725,0 18,2,2024-09-07 09:28:51:755,588297,588297,0,0,21790236,0,3541 18,3,2024-09-07 09:28:50:896,1,463,1,0,1059,4444,463,0 19,0,2024-09-07 09:28:51:574,113878,0.6,114339,0.8,226800,0.6,302388,2.00 19,1,2024-09-07 09:28:50:568,815551,815551,0,0,383213626206,3971421268,809482,5147,922,367,391777,0 19,2,2024-09-07 09:28:51:754,591647,591647,0,0,20890007,0,3988 19,3,2024-09-07 09:28:51:142,1,463,1,0,524,3887,463,0 20,0,2024-09-07 09:28:51:421,114424,0.5,114409,0.6,228652,0.4,305339,2.00 20,1,2024-09-07 09:28:50:573,811893,811893,0,0,382251748931,4001172612,803935,6662,1296,369,391922,0 20,2,2024-09-07 09:28:50:959,582987,582987,0,0,24922745,0,3721 20,3,2024-09-07 09:28:50:597,1,463,2,0,468,7633,463,0 21,0,2024-09-07 09:28:51:166,115979,0.4,116062,0.6,232203,0.4,307850,1.75 21,1,2024-09-07 09:28:51:544,809816,809816,0,0,380401451597,4013717106,797164,9677,2975,368,392016,0 21,2,2024-09-07 09:28:51:070,581124,581104,20,0,30483347,0,5617 21,3,2024-09-07 09:28:51:414,1,463,16,0,713,6124,463,0 22,0,2024-09-07 09:28:51:727,117529,0.5,117953,0.7,235572,0.4,312074,2.00 22,1,2024-09-07 09:28:51:033,811267,811267,0,0,380676012014,4005125957,798196,10134,2937,382,391675,0 22,2,2024-09-07 09:28:50:759,584050,584024,26,0,24940143,0,6328 22,3,2024-09-07 09:28:51:071,1,463,1,0,228,3665,463,0 23,0,2024-09-07 09:28:51:381,115645,0.5,115116,0.7,230499,0.5,306742,2.25 23,1,2024-09-07 09:28:51:030,812582,812582,0,0,382294498725,4012042428,798717,9712,4153,365,391690,0 23,2,2024-09-07 09:28:51:091,590544,590544,0,0,23804759,0,3773 23,3,2024-09-07 09:28:51:754,1,463,6,0,720,5823,463,0 24,0,2024-09-07 09:28:50:831,113972,0.4,113296,0.5,227642,0.3,302488,1.75 24,1,2024-09-07 09:28:50:582,811141,811141,0,0,380315391932,3984364183,802773,6768,1600,367,392269,0 24,2,2024-09-07 09:28:51:072,585228,585228,0,0,28266526,0,3607 24,3,2024-09-07 09:28:51:695,1,463,33,0,468,6107,463,0 25,0,2024-09-07 09:28:51:446,120722,0.4,117573,0.6,230594,0.4,313778,1.75 25,1,2024-09-07 09:28:50:558,810518,810518,0,0,381250897393,4019388909,797230,10623,2665,371,391928,0 25,2,2024-09-07 09:28:51:614,581748,581748,0,0,29456068,0,3978 25,3,2024-09-07 09:28:51:038,1,463,8,0,532,5168,463,0 26,0,2024-09-07 09:28:51:725,117696,0.4,115076,0.6,241452,0.4,314133,1.75 26,1,2024-09-07 09:28:51:541,813309,813309,0,0,380668207242,3990511331,801132,9765,2412,380,391748,0 26,2,2024-09-07 09:28:50:865,584805,584805,0,0,30618553,0,4689 26,3,2024-09-07 09:28:51:713,1,463,1,0,796,5346,463,0 27,0,2024-09-07 09:28:51:731,117458,0.5,117699,0.6,234156,0.4,312636,2.25 27,1,2024-09-07 09:28:51:680,814378,814378,0,0,382880165645,3989248037,806273,6843,1262,381,391626,0 27,2,2024-09-07 09:28:50:872,584071,584006,65,0,27150035,0,5699 27,3,2024-09-07 09:28:51:029,1,463,23,0,564,4246,463,0 28,0,2024-09-07 09:28:51:394,113333,0.4,112889,0.6,226733,0.3,302031,1.75 28,1,2024-09-07 09:28:50:816,813975,813975,0,0,382945812613,3993187650,806651,5624,1700,382,391698,0 28,2,2024-09-07 09:28:51:773,588133,588133,0,0,23858563,0,2915 28,3,2024-09-07 09:28:51:780,1,463,1,0,502,4810,463,0 29,0,2024-09-07 09:28:51:380,120952,0.3,118087,0.5,231240,0.3,315530,1.75 29,1,2024-09-07 09:28:51:567,816271,816271,0,0,383341668237,3963492017,811393,4143,735,368,391809,0 29,2,2024-09-07 09:28:50:865,583182,583182,0,0,22729698,0,4986 29,3,2024-09-07 09:28:50:963,1,463,19,0,459,5319,463,0 30,0,2024-09-07 09:28:51:458,116541,0.5,113314,0.7,237191,0.5,310586,2.00 30,1,2024-09-07 09:28:50:571,814992,814992,0,0,383127981289,3977123994,808523,5620,849,380,391672,0 30,2,2024-09-07 09:28:51:274,585208,585208,0,0,22334096,0,4192 30,3,2024-09-07 09:28:50:584,1,463,1,0,519,4466,463,0 31,0,2024-09-07 09:28:51:762,116631,0.5,117103,0.7,233803,0.4,311855,2.00 31,1,2024-09-07 09:28:50:580,818595,818595,0,0,384063772622,3934045556,815483,2526,586,356,391712,0 31,2,2024-09-07 09:28:51:283,585037,585037,0,0,23988969,0,3525 31,3,2024-09-07 09:28:51:706,1,463,27,0,220,3985,463,0 32,0,2024-09-07 09:28:51:421,114082,0.3,114686,0.5,228465,0.2,304516,1.50 32,1,2024-09-07 09:28:50:812,814624,814624,0,0,382789653414,3978463646,809485,4457,682,381,391646,0 32,2,2024-09-07 09:28:50:938,590527,590527,0,0,21642493,0,3922 32,3,2024-09-07 09:28:51:028,1,463,1,0,304,3512,463,0 33,0,2024-09-07 09:28:51:507,116042,0.2,115613,0.4,231371,0.2,308699,1.50 33,1,2024-09-07 09:28:50:575,815372,815372,0,0,383361751353,3970966260,808854,5413,1105,368,391730,0 33,2,2024-09-07 09:28:50:762,584339,584304,35,0,23719681,0,7012 33,3,2024-09-07 09:28:50:910,1,463,8,0,329,4350,463,0 34,0,2024-09-07 09:28:50:930,116164,0.3,119272,0.4,228018,0.2,306999,1.75 34,1,2024-09-07 09:28:51:050,817050,817050,0,0,384634759697,3951668634,815265,1773,12,367,391562,0 34,2,2024-09-07 09:28:50:768,585624,585624,0,0,22198823,0,4562 34,3,2024-09-07 09:28:51:693,1,463,13,0,299,3363,463,0 35,0,2024-09-07 09:28:50:863,116194,0.3,116799,0.5,234664,0.3,311847,1.75 35,1,2024-09-07 09:28:51:081,814819,814819,0,0,383382205779,3970348841,810238,3722,859,382,391769,0 35,2,2024-09-07 09:28:51:596,585832,585832,0,0,24225717,0,4055 35,3,2024-09-07 09:28:50:925,1,463,2,0,418,4582,463,0 36,0,2024-09-07 09:28:51:530,115495,0.4,115371,0.6,230735,0.4,306696,2.00 36,1,2024-09-07 09:28:50:583,813890,813890,0,0,382205291619,3990367131,803625,8256,2009,366,391759,0 36,2,2024-09-07 09:28:51:756,589971,589971,0,0,25689676,0,3875 36,3,2024-09-07 09:28:50:866,1,463,2,0,416,6406,463,0 37,0,2024-09-07 09:28:51:408,112810,0.5,112931,0.6,225750,0.4,301511,2.00 37,1,2024-09-07 09:28:50:568,812764,812757,0,7,381407027435,3984623590,802508,7694,2555,365,391770,0 37,2,2024-09-07 09:28:51:154,582552,582537,15,0,25633329,0,5815 37,3,2024-09-07 09:28:51:772,1,463,12,0,888,6789,463,0 38,0,2024-09-07 09:28:51:437,115948,0.5,112490,0.6,235339,0.4,308006,2.00 38,1,2024-09-07 09:28:51:607,813393,813393,0,0,381993631855,3995390006,801034,9878,2481,368,391821,0 38,2,2024-09-07 09:28:50:763,583802,583755,47,0,25418445,0,6710 38,3,2024-09-07 09:28:51:032,1,463,23,0,689,5905,463,0 39,0,2024-09-07 09:28:51:768,120876,0.6,118271,0.7,230500,0.5,314118,2.00 39,1,2024-09-07 09:28:50:718,812465,812465,0,0,381157682950,3998706795,798455,10819,3191,365,391658,0 39,2,2024-09-07 09:28:51:420,585619,585619,0,0,24180617,0,3391 39,3,2024-09-07 09:28:50:713,1,463,1,0,324,5058,463,0 40,0,2024-09-07 09:28:51:495,115722,0.8,116457,0.9,232173,0.8,309549,2.75 40,1,2024-09-07 09:28:50:576,813591,813591,0,0,381328357314,3991778049,802074,9350,2167,368,391668,0 40,2,2024-09-07 09:28:51:312,586593,586592,1,0,28772189,0,5137 40,3,2024-09-07 09:28:51:142,1,463,0,0,1028,6207,463,0 41,0,2024-09-07 09:28:51:036,112340,1.3,114863,1.2,218885,1.9,298367,3.00 41,1,2024-09-07 09:28:50:775,811726,811726,0,0,382403965322,4003280338,800950,9068,1708,369,391742,0 41,2,2024-09-07 09:28:50:767,584917,584917,0,0,27248536,0,4277 41,3,2024-09-07 09:28:51:684,1,463,36,0,366,4717,463,0 42,0,2024-09-07 09:28:51:475,117366,0.9,117230,1.0,234728,1.0,310429,2.75 42,1,2024-09-07 09:28:51:438,809611,809611,0,0,380985402468,4009167379,795453,11313,2845,380,391675,0 42,2,2024-09-07 09:28:51:143,582607,582607,0,0,26986974,0,3790 42,3,2024-09-07 09:28:51:033,1,463,2,0,892,4389,463,0 43,0,2024-09-07 09:28:50:923,116614,0.7,113645,0.9,237726,0.8,312079,2.25 43,1,2024-09-07 09:28:50:576,813364,813364,0,0,381943696767,3992831173,801489,9908,1967,366,391696,0 43,2,2024-09-07 09:28:51:738,584840,584840,0,0,26542197,0,3812 43,3,2024-09-07 09:28:51:757,1,463,9,0,571,6686,463,0 44,0,2024-09-07 09:28:50:862,117339,0.4,117487,0.6,235455,0.4,312783,2.00 44,1,2024-09-07 09:28:50:566,815012,815012,0,0,382337422288,3948987198,808407,5287,1318,356,391809,0 44,2,2024-09-07 09:28:51:267,585104,585104,0,0,22136103,0,4344 44,3,2024-09-07 09:28:51:108,1,463,30,0,817,5246,463,0 45,0,2024-09-07 09:28:51:761,111863,0.5,109250,0.7,229298,0.4,301952,2.00 45,1,2024-09-07 09:28:51:012,814226,814226,0,0,383101537212,3980249089,807888,5650,688,382,391917,0 45,2,2024-09-07 09:28:51:271,590038,590038,0,0,22453491,0,3596 45,3,2024-09-07 09:28:50:935,1,463,11,0,271,3909,463,0 46,0,2024-09-07 09:28:50:950,116316,0.4,115729,0.6,232313,0.3,308377,2.00 46,1,2024-09-07 09:28:50:585,816416,816416,0,0,383533650710,3959000285,811516,4307,593,366,391709,0 46,2,2024-09-07 09:28:50:594,583703,583703,0,0,22415741,0,4443 46,3,2024-09-07 09:28:51:130,1,463,28,0,908,5607,463,0 47,0,2024-09-07 09:28:51:132,116523,0.4,116846,0.6,233814,0.4,310035,1.75 47,1,2024-09-07 09:28:50:567,816959,816959,0,0,382976698556,3955468607,812044,4076,839,366,391641,0 47,2,2024-09-07 09:28:50:924,587365,587365,0,0,22130208,0,4477 47,3,2024-09-07 09:28:51:143,1,463,56,0,600,5444,463,0 48,0,2024-09-07 09:28:51:499,117289,0.3,117256,0.4,233529,0.2,311223,1.50 48,1,2024-09-07 09:28:51:033,814934,814934,0,0,383062784676,3975924638,810190,4287,457,384,391710,0 48,2,2024-09-07 09:28:50:700,586321,586321,0,0,20741259,0,3411 48,3,2024-09-07 09:28:50:763,1,463,2,0,339,3618,463,0 49,0,2024-09-07 09:28:51:717,117128,0.3,115212,0.5,223468,0.3,306130,1.75 49,1,2024-09-07 09:28:51:021,814233,814233,0,0,382482038862,3975873127,809071,3955,1207,382,391809,0 49,2,2024-09-07 09:28:51:807,590122,590122,0,0,23040059,0,4426 49,3,2024-09-07 09:28:51:419,1,463,12,0,992,5412,463,0 50,0,2024-09-07 09:28:51:517,115181,0.3,113545,0.4,228809,0.2,305232,1.75 50,1,2024-09-07 09:28:51:012,816825,816825,0,0,384466077135,3972738116,811782,4469,574,368,391565,0 50,2,2024-09-07 09:28:51:071,583977,583977,0,0,20698115,0,4490 50,3,2024-09-07 09:28:51:308,1,463,1,0,567,4558,463,0 51,0,2024-09-07 09:28:51:706,118876,0.3,116495,0.4,227134,0.2,309332,1.75 51,1,2024-09-07 09:28:51:690,817344,817344,0,0,384792272401,3965734830,813227,3068,1049,365,391706,0 51,2,2024-09-07 09:28:51:328,585036,585036,0,0,19909444,0,3337 51,3,2024-09-07 09:28:51:035,1,463,1,0,678,3621,463,0 52,0,2024-09-07 09:28:51:425,117977,0.5,117744,0.7,235763,0.5,313015,2.00 52,1,2024-09-07 09:28:50:575,813157,813157,0,0,381846767091,3995152824,801928,9785,1444,368,391722,0 52,2,2024-09-07 09:28:51:755,582602,582564,38,0,27209286,0,6742 52,3,2024-09-07 09:28:50:683,1,463,68,0,1782,6062,463,0 53,0,2024-09-07 09:28:51:733,115173,0.6,111754,0.8,233920,0.7,306571,2.25 53,1,2024-09-07 09:28:50:774,811265,811265,0,0,382303886537,4011127477,797936,9802,3527,367,391968,0 53,2,2024-09-07 09:28:51:308,589321,589320,1,0,24476446,0,5455 53,3,2024-09-07 09:28:50:699,1,463,9,0,308,4517,463,0 54,0,2024-09-07 09:28:51:613,111719,0.6,112082,0.7,223154,0.4,298653,2.25 54,1,2024-09-07 09:28:50:583,813037,813037,0,0,381769374339,3969171618,804440,7202,1395,366,391659,0 54,2,2024-09-07 09:28:50:867,586086,586054,32,0,27794302,0,6397 54,3,2024-09-07 09:28:50:763,1,463,1,0,676,6412,463,0 55,0,2024-09-07 09:28:51:759,113442,0.6,116970,0.7,237061,0.5,308313,2.25 55,1,2024-09-07 09:28:50:763,814168,814168,0,0,382470594530,3974411541,805840,7146,1182,365,391731,0 55,2,2024-09-07 09:28:50:729,583128,583072,56,0,26717159,0,7239 55,3,2024-09-07 09:28:50:683,1,463,3,0,304,4482,463,0 56,0,2024-09-07 09:28:51:557,120508,1.2,113577,1.1,234185,1.7,313325,2.75 56,1,2024-09-07 09:28:50:569,810000,810000,0,0,381049359462,4021663170,797783,9665,2552,381,391867,0 56,2,2024-09-07 09:28:51:302,584400,584278,122,0,27738883,0,7432 56,3,2024-09-07 09:28:51:069,1,463,2,0,705,5624,463,0 57,0,2024-09-07 09:28:50:967,115544,1.6,115364,1.3,231397,2.2,309669,3.25 57,1,2024-09-07 09:28:50:986,811604,811604,0,0,381196455255,3999391349,800851,8688,2065,366,392032,0 57,2,2024-09-07 09:28:51:330,586823,586823,0,0,28706895,0,4317 57,3,2024-09-07 09:28:51:746,1,463,9,0,455,5318,463,0 58,0,2024-09-07 09:28:50:666,111538,0.9,108376,1.0,226527,1.1,297023,2.50 58,1,2024-09-07 09:28:50:586,812306,812303,0,3,381964382256,4001421178,800100,9435,2768,367,391603,3 58,2,2024-09-07 09:28:51:070,587286,587286,0,0,26607187,0,2902 58,3,2024-09-07 09:28:51:071,1,463,1,0,1043,4887,463,0 59,0,2024-09-07 09:28:51:768,117267,0.8,116908,0.9,233243,0.8,309653,2.75 59,1,2024-09-07 09:28:50:804,812063,812063,0,0,382163585692,4007214698,799851,9975,2237,369,391653,0 59,2,2024-09-07 09:28:50:582,582420,582420,0,0,26309794,0,3727 59,3,2024-09-07 09:28:51:744,1,463,8,0,1015,6217,463,0 60,0,2024-09-07 09:28:51:721,116784,0.5,116860,0.7,234281,0.5,311473,1.75 60,1,2024-09-07 09:28:50:776,815512,815512,0,0,383642054216,3978975440,810295,4494,723,370,392031,0 60,2,2024-09-07 09:28:51:145,585034,585034,0,0,24268623,0,3811 60,3,2024-09-07 09:28:51:258,1,463,38,0,409,5882,463,0 61,0,2024-09-07 09:28:51:511,116723,0.7,117402,0.8,233830,0.7,311645,2.00 61,1,2024-09-07 09:28:50:775,812971,812971,0,0,381983439773,3998810580,804304,7220,1447,382,392127,0 61,2,2024-09-07 09:28:51:144,585896,585829,67,0,24728019,0,6411 61,3,2024-09-07 09:28:51:687,1,463,8,0,479,6155,463,0 62,0,2024-09-07 09:28:51:715,114493,0.5,117509,0.7,224305,0.5,304289,2.00 62,1,2024-09-07 09:28:51:215,817616,817610,0,6,384289076502,3959645540,813770,3578,262,365,391975,6 62,2,2024-09-07 09:28:51:646,587810,587809,1,0,24783459,0,5555 62,3,2024-09-07 09:28:51:147,1,463,12,0,482,3891,463,0 63,0,2024-09-07 09:28:51:453,116071,0.4,115886,0.5,232364,0.3,308984,1.75 63,1,2024-09-07 09:28:50:812,815166,815160,0,6,382999270610,3973660868,810028,4399,733,381,391800,6 63,2,2024-09-07 09:28:50:763,583502,583502,0,0,22528314,0,4369 63,3,2024-09-07 09:28:51:737,1,463,5,0,667,4691,463,0 64,0,2024-09-07 09:28:51:517,115395,0.5,115509,0.6,230338,0.4,306292,1.75 64,1,2024-09-07 09:28:50:750,814762,814762,0,0,381999742673,3976441718,807963,5095,1704,370,391783,0 64,2,2024-09-07 09:28:51:156,588333,588314,19,0,22344537,0,6121 64,3,2024-09-07 09:28:51:144,1,463,2,0,265,4320,463,0 65,0,2024-09-07 09:28:51:698,116024,0.7,116324,0.8,232252,0.7,309237,2.00 65,1,2024-09-07 09:28:50:867,812654,812654,0,0,381604325431,3983940110,807520,4593,541,381,391901,0 65,2,2024-09-07 09:28:51:706,585736,585736,0,0,26518447,0,3367 65,3,2024-09-07 09:28:51:690,1,463,21,0,782,5637,463,0 66,0,2024-09-07 09:28:51:793,114910,0.5,114701,0.7,229370,0.5,305113,2.00 66,1,2024-09-07 09:28:51:300,814799,814799,0,0,382234077065,3977693077,809293,4980,526,380,391743,0 66,2,2024-09-07 09:28:51:131,591181,591178,3,0,23947546,0,5455 66,3,2024-09-07 09:28:51:079,1,463,1,0,291,3923,463,0 67,0,2024-09-07 09:28:51:445,113373,0.4,113196,0.6,227002,0.4,302359,2.00 67,1,2024-09-07 09:28:50:766,814571,814570,0,1,382128253180,3977285229,809051,4725,794,380,391787,1 67,2,2024-09-07 09:28:50:589,586663,586648,15,0,22835851,0,6205 67,3,2024-09-07 09:28:51:751,1,463,1,0,392,4395,463,0 68,0,2024-09-07 09:28:50:560,116895,0.5,116788,0.7,232556,0.5,310489,2.00 68,1,2024-09-07 09:28:50:571,811018,811018,0,0,380121342438,3999340686,799676,8006,3336,381,391953,0 68,2,2024-09-07 09:28:51:046,581268,581168,100,0,29557274,0,8578 68,3,2024-09-07 09:28:50:729,1,463,1,0,417,5493,463,0 69,0,2024-09-07 09:28:51:780,117448,0.7,118214,0.8,235566,0.8,312402,2.25 69,1,2024-09-07 09:28:51:034,810886,810886,0,0,380879255519,4011377254,800520,8092,2274,384,391994,0 69,2,2024-09-07 09:28:51:752,583903,583874,29,0,31565247,0,6912 69,3,2024-09-07 09:28:50:764,1,463,8,0,698,7081,463,0 70,0,2024-09-07 09:28:51:591,115637,0.9,116038,1.0,233436,0.8,308994,2.50 70,1,2024-09-07 09:28:50:803,814745,814745,0,0,382911195609,3973012477,808256,5788,701,366,391725,0 70,2,2024-09-07 09:28:51:335,587589,587589,0,0,26543029,0,4323 70,3,2024-09-07 09:28:50:746,1,463,1,0,854,5336,463,0 71,0,2024-09-07 09:28:51:397,111596,0.9,111506,1.0,224114,1.1,299438,2.50 71,1,2024-09-07 09:28:51:607,812972,812972,0,0,381876784179,3983714726,803390,8502,1080,368,391738,0 71,2,2024-09-07 09:28:51:079,586099,586099,0,0,26418140,0,4352 71,3,2024-09-07 09:28:51:751,1,463,1,0,644,5719,463,0 72,0,2024-09-07 09:28:51:084,121967,0.6,119290,0.7,232549,0.5,315891,2.00 72,1,2024-09-07 09:28:51:028,811571,811571,0,0,381085879316,3997672128,799788,9700,2083,369,391819,0 72,2,2024-09-07 09:28:51:757,582275,582275,0,0,28533770,0,3983 72,3,2024-09-07 09:28:51:755,1,463,1,0,564,6725,463,0 73,0,2024-09-07 09:28:51:148,114720,0.4,117748,0.6,240611,0.4,312828,2.00 73,1,2024-09-07 09:28:50:779,813841,813841,0,0,382368095124,3970803653,808020,5399,422,367,391858,0 73,2,2024-09-07 09:28:51:757,584123,584123,0,0,28604946,0,4291 73,3,2024-09-07 09:28:50:969,1,463,8,0,486,5924,463,0 74,0,2024-09-07 09:28:51:324,118213,0.5,120910,0.7,230534,0.5,313147,2.25 74,1,2024-09-07 09:28:50:641,812823,812823,0,0,381916655133,3986459420,803897,7179,1747,381,391762,0 74,2,2024-09-07 09:28:51:007,585701,585701,0,0,25613862,0,4253 74,3,2024-09-07 09:28:51:444,1,463,3,0,522,5752,463,0 75,0,2024-09-07 09:28:51:770,113750,0.5,112953,0.7,226658,0.4,303063,2.25 75,1,2024-09-07 09:28:51:585,813659,813659,0,0,381614463780,3978343526,806577,6252,830,380,391739,0 75,2,2024-09-07 09:28:51:350,587804,587804,0,0,29171385,0,4766 75,3,2024-09-07 09:28:51:071,1,463,8,0,702,5799,463,0 76,0,2024-09-07 09:28:50:579,116109,0.5,115415,0.7,231629,0.4,309210,2.00 76,1,2024-09-07 09:28:50:820,813279,813279,0,0,381607615670,3977927236,807801,4650,828,382,391692,0 76,2,2024-09-07 09:28:51:070,585679,585678,1,0,24503206,0,5144 76,3,2024-09-07 09:28:51:155,1,463,7,0,175,4300,463,0 77,0,2024-09-07 09:28:51:733,115907,0.5,116255,0.7,232844,0.5,308969,2.00 77,1,2024-09-07 09:28:50:836,814209,814209,0,0,382575341760,3989223547,808197,5504,508,381,391869,0 77,2,2024-09-07 09:28:51:286,584556,584556,0,0,24278988,0,3890 77,3,2024-09-07 09:28:51:108,1,463,8,0,401,5039,463,0 78,0,2024-09-07 09:28:51:719,117035,0.4,116403,0.6,233747,0.4,309860,2.00 78,1,2024-09-07 09:28:50:617,814635,814635,0,0,380983688702,3966698697,806552,6514,1569,367,391670,0 78,2,2024-09-07 09:28:51:405,587040,587027,13,0,22916487,0,8313 78,3,2024-09-07 09:28:51:137,1,463,10,0,181,3986,463,0 79,0,2024-09-07 09:28:51:382,110360,0.4,112947,0.6,231376,0.3,301132,2.25 79,1,2024-09-07 09:28:50:572,815827,815827,0,0,382664734824,3964179211,809165,5353,1309,367,391682,0 79,2,2024-09-07 09:28:51:077,590189,590189,0,0,22276517,0,4195 79,3,2024-09-07 09:28:50:749,1,463,5,0,418,5833,463,0 80,0,2024-09-07 09:28:51:082,114507,0.5,117793,0.6,225271,0.4,304876,2.00 80,1,2024-09-07 09:28:51:623,813507,813507,0,0,381889607976,3975268637,807879,5205,423,368,392269,0 80,2,2024-09-07 09:28:51:094,585823,585823,0,0,22511261,0,4433 80,3,2024-09-07 09:28:50:574,1,463,1,0,190,5205,463,0 81,0,2024-09-07 09:28:51:556,116092,0.5,118793,0.7,226897,0.5,308063,2.00 81,1,2024-09-07 09:28:51:695,812755,812755,0,0,382101380253,3988978129,806727,5487,541,382,391879,0 81,2,2024-09-07 09:28:51:147,583561,583498,63,0,25011605,0,5932 81,3,2024-09-07 09:28:51:117,1,463,23,0,719,5444,463,0 82,0,2024-09-07 09:28:51:545,117338,0.5,117537,0.7,235510,0.5,312271,2.00 82,1,2024-09-07 09:28:50:583,815112,815108,0,4,382538080533,3976675347,810362,3927,819,381,391768,4 82,2,2024-09-07 09:28:51:703,586785,586785,0,0,21335824,0,4484 82,3,2024-09-07 09:28:51:753,1,463,0,0,363,4683,463,0 83,0,2024-09-07 09:28:51:524,115977,0.6,115873,0.7,231061,0.6,306767,2.25 83,1,2024-09-07 09:28:50:551,813030,813030,0,0,381457085860,3975044540,807349,5231,450,382,391709,0 83,2,2024-09-07 09:28:50:764,589033,589008,25,0,22994260,0,5612 83,3,2024-09-07 09:28:50:749,1,463,15,0,1260,5847,463,0 84,0,2024-09-07 09:28:51:781,112510,0.7,112564,0.9,224398,0.6,300583,2.25 84,1,2024-09-07 09:28:51:064,812422,812422,0,0,380935601537,3979043237,804143,7226,1053,367,391967,0 84,2,2024-09-07 09:28:50:572,584366,584336,30,0,29693335,0,5971 84,3,2024-09-07 09:28:51:154,1,463,25,0,908,6791,463,0 85,0,2024-09-07 09:28:51:038,113029,0.6,112939,0.8,239658,0.6,310066,2.25 85,1,2024-09-07 09:28:50:559,810289,810289,0,0,380930950516,4017043588,798646,9552,2091,381,392006,0 85,2,2024-09-07 09:28:50:882,582699,582699,0,0,27917802,0,3656 85,3,2024-09-07 09:28:50:691,1,463,9,0,789,5424,463,0 86,0,2024-09-07 09:28:50:890,117722,0.7,121224,0.8,231841,0.7,313699,2.25 86,1,2024-09-07 09:28:50:836,812571,812571,0,0,382195346867,4002245612,802821,8116,1634,366,391961,0 86,2,2024-09-07 09:28:50:855,582930,582929,1,0,30536460,0,5004 86,3,2024-09-07 09:28:50:596,1,463,2,0,308,6648,463,0 87,0,2024-09-07 09:28:51:299,117251,0.9,116973,0.9,234034,1.2,312988,2.50 87,1,2024-09-07 09:28:50:553,811191,811191,0,0,381271198667,3995098472,800289,9244,1658,366,392076,0 87,2,2024-09-07 09:28:51:096,586108,586102,6,0,26839519,0,6323 87,3,2024-09-07 09:28:51:801,1,463,39,0,473,7049,463,0 88,0,2024-09-07 09:28:51:440,112979,0.4,113666,0.6,226920,0.4,301871,1.75 88,1,2024-09-07 09:28:50:581,811080,811080,0,0,381173043500,3991713475,800427,8432,2221,365,392084,0 88,2,2024-09-07 09:28:50:721,587997,587997,0,0,29434285,0,4465 88,3,2024-09-07 09:28:51:267,1,463,1,0,435,5449,463,0 89,0,2024-09-07 09:28:51:776,121023,0.4,117278,0.6,231859,0.4,315821,1.75 89,1,2024-09-07 09:28:50:552,810782,810782,0,0,381002747976,4004402372,800822,8488,1472,382,391866,0 89,2,2024-09-07 09:28:51:142,582538,582538,0,0,27167542,0,3173 89,3,2024-09-07 09:28:51:796,1,463,90,0,468,7843,463,0 90,0,2024-09-07 09:28:51:620,113500,0.4,116574,0.6,237738,0.4,310363,2.00 90,1,2024-09-07 09:28:50:592,812262,812262,0,0,381798026980,3996297090,804687,6925,650,380,391825,0 90,2,2024-09-07 09:28:51:408,582493,582493,0,0,29441558,0,3608 90,3,2024-09-07 09:28:50:931,1,463,1,0,322,5525,463,0 91,0,2024-09-07 09:28:50:957,117609,0.5,113814,0.6,237796,0.5,312320,1.75 91,1,2024-09-07 09:28:50:556,810535,810535,0,0,381278940887,4008052482,800367,8528,1640,381,392047,0 91,2,2024-09-07 09:28:51:334,586531,586531,0,0,26108700,0,3526 91,3,2024-09-07 09:28:50:604,1,463,168,0,216,4612,463,0 92,0,2024-09-07 09:28:51:449,115115,0.4,117858,0.6,224938,0.4,304636,1.75 92,1,2024-09-07 09:28:50:581,813505,813505,0,0,381229267680,3980536201,807258,5176,1071,381,392136,0 92,2,2024-09-07 09:28:51:350,590608,590608,0,0,22982576,0,3259 92,3,2024-09-07 09:28:51:028,1,463,0,0,167,4066,463,0 93,0,2024-09-07 09:28:50:964,116403,0.4,119411,0.5,227966,0.3,309187,1.75 93,1,2024-09-07 09:28:50:807,813427,813427,0,0,382363171847,3987989376,805211,6828,1388,366,391776,0 93,2,2024-09-07 09:28:50:928,583241,583241,0,0,27329060,0,4845 93,3,2024-09-07 09:28:51:408,1,463,8,0,190,4102,463,0 94,0,2024-09-07 09:28:51:626,115462,0.3,116328,0.5,232259,0.3,307845,1.75 94,1,2024-09-07 09:28:50:570,813450,813450,0,0,382953380871,3990899855,808598,4642,210,381,391850,0 94,2,2024-09-07 09:28:50:762,584589,584589,0,0,23024483,0,2443 94,3,2024-09-07 09:28:51:702,1,463,8,0,576,6047,463,0 95,0,2024-09-07 09:28:51:348,116967,0.4,116804,0.5,234214,0.3,311732,1.75 95,1,2024-09-07 09:28:50:856,814738,814738,0,0,382336420333,3970317216,808580,5720,438,365,391786,0 95,2,2024-09-07 09:28:51:035,585450,585450,0,0,22997637,0,3308 95,3,2024-09-07 09:28:51:710,1,463,25,0,718,6414,463,0 96,0,2024-09-07 09:28:51:036,115381,0.4,115597,0.5,230944,0.3,306096,1.75 96,1,2024-09-07 09:28:51:585,813079,813079,0,0,381549971661,3979132138,807201,4972,906,384,391955,0 96,2,2024-09-07 09:28:51:272,590414,590414,0,0,23833004,0,4180 96,3,2024-09-07 09:28:51:142,1,463,1,0,411,5067,463,0 97,0,2024-09-07 09:28:51:327,113458,0.3,112970,0.4,226802,0.2,302062,1.50 97,1,2024-09-07 09:28:50:782,814630,814630,0,0,383343166615,3973943558,809445,4249,936,367,392140,0 97,2,2024-09-07 09:28:50:610,585055,585055,0,0,22865976,0,3679 97,3,2024-09-07 09:28:50:574,1,463,32,0,242,5504,463,0 98,0,2024-09-07 09:28:51:709,116198,0.3,116576,0.4,233743,0.2,310188,1.50 98,1,2024-09-07 09:28:50:571,814220,814220,0,0,382294406501,3980547298,809143,4284,793,382,391997,0 98,2,2024-09-07 09:28:50:777,584721,584721,0,0,22992092,0,4336 98,3,2024-09-07 09:28:50:703,1,463,2,0,840,7306,463,0 99,0,2024-09-07 09:28:51:456,118079,0.3,118656,0.5,236070,0.3,315017,1.75 99,1,2024-09-07 09:28:51:748,814298,814298,0,0,382060954779,3973615356,809308,4153,837,380,392069,0 99,2,2024-09-07 09:28:51:417,586485,586485,0,0,27322632,0,4276 99,3,2024-09-07 09:28:50:581,1,463,1,0,606,4895,463,0 100,0,2024-09-07 09:28:51:483,116653,0.8,117038,0.9,233241,0.9,311643,2.50 100,1,2024-09-07 09:28:50:553,809445,809445,0,0,380076775788,4018895368,796582,9914,2949,378,391989,0 100,2,2024-09-07 09:28:51:823,585498,585487,11,0,27083632,0,5417 100,3,2024-09-07 09:28:51:746,1,463,11,0,559,7381,463,0 101,0,2024-09-07 09:28:51:747,115131,1.1,112183,1.0,219817,0.9,301225,2.25 101,1,2024-09-07 09:28:50:579,810376,810376,0,0,380772672171,4003151200,798714,9295,2367,368,391847,0 101,2,2024-09-07 09:28:51:756,583045,583045,0,0,31905687,0,4871 101,3,2024-09-07 09:28:50:950,1,463,8,0,1250,7401,463,0 102,0,2024-09-07 09:28:50:950,114977,0.6,118534,0.8,240486,0.6,314415,2.00 102,1,2024-09-07 09:28:51:142,810332,810332,0,0,380556135664,3998911580,799278,9113,1941,369,391891,0 102,2,2024-09-07 09:28:51:743,584116,584062,54,0,25637447,0,6768 102,3,2024-09-07 09:28:51:613,1,463,7,0,466,4992,463,0 103,0,2024-09-07 09:28:51:612,121600,0.6,121623,0.7,229059,0.6,315326,2.00 103,1,2024-09-07 09:28:51:627,809873,809873,0,0,380679017906,4019117622,796809,9872,3192,381,391862,0 103,2,2024-09-07 09:28:50:586,582995,582995,0,0,28544116,0,3766 103,3,2024-09-07 09:28:50:759,1,463,1,0,916,5380,463,0 104,0,2024-09-07 09:28:51:044,116346,0.7,116677,0.9,232276,0.7,311185,2.25 104,1,2024-09-07 09:28:51:611,811857,811857,0,0,381655542888,4010643081,799514,9800,2543,365,392168,0 104,2,2024-09-07 09:28:51:671,584156,584156,0,0,27542610,0,3941 104,3,2024-09-07 09:28:51:416,1,463,1,0,1245,8815,463,0 105,0,2024-09-07 09:28:51:053,112072,0.8,109133,1.0,228798,0.9,301114,2.50 105,1,2024-09-07 09:28:50:555,813475,813475,0,0,381669225161,3998690811,803146,8727,1602,366,392009,0 105,2,2024-09-07 09:28:51:327,587271,587271,0,0,27491909,0,3509 105,3,2024-09-07 09:28:51:317,1,463,9,0,399,6846,463,0 106,0,2024-09-07 09:28:51:007,112533,0.7,115337,0.8,236143,0.7,308634,2.25 106,1,2024-09-07 09:28:51:765,812093,812093,0,0,380902988924,3997361281,800287,10167,1639,368,391914,0 106,2,2024-09-07 09:28:50:757,582198,582198,0,0,26124473,0,2920 106,3,2024-09-07 09:28:50:683,1,463,17,0,470,6041,463,0 107,0,2024-09-07 09:28:51:120,116108,0.8,116379,0.9,232517,0.9,310101,2.25 107,1,2024-09-07 09:28:50:608,810515,810515,0,0,381229084577,4016005624,799515,9927,1073,381,392234,0 107,2,2024-09-07 09:28:51:311,582565,582564,1,0,28419017,0,5024 107,3,2024-09-07 09:28:51:767,1,463,1,0,370,6783,463,0 108,0,2024-09-07 09:28:51:848,116379,0.5,117324,0.6,233248,0.4,311236,1.75 108,1,2024-09-07 09:28:51:299,813663,813663,0,0,381708762706,3977704642,807257,5657,749,367,391857,0 108,2,2024-09-07 09:28:51:755,584953,584953,0,0,25682672,0,4246 108,3,2024-09-07 09:28:51:330,1,463,4,0,749,8823,463,0 109,0,2024-09-07 09:28:51:776,114834,0.4,113970,0.6,228270,0.3,305357,1.75 109,1,2024-09-07 09:28:50:599,810733,810733,0,0,381464699356,4001229049,803137,6388,1208,382,392132,0 109,2,2024-09-07 09:28:50:927,587312,587312,0,0,25143127,0,3617 109,3,2024-09-07 09:28:51:143,1,463,15,0,379,5356,463,0 110,0,2024-09-07 09:28:51:800,114326,0.3,111257,0.5,233147,0.3,305594,1.75 110,1,2024-09-07 09:28:51:696,814602,814602,0,0,382194840090,3964022294,809030,4367,1205,369,392045,0 110,2,2024-09-07 09:28:51:302,584137,584137,0,0,23989488,0,4067 110,3,2024-09-07 09:28:50:692,1,463,5,0,722,6495,463,0 111,0,2024-09-07 09:28:51:436,116458,0.3,115678,0.5,231994,0.3,309235,1.75 111,1,2024-09-07 09:28:51:000,815744,815744,0,0,384442997259,3983788148,811811,3577,356,380,391690,0 111,2,2024-09-07 09:28:51:143,583687,583687,0,0,23736350,0,4823 111,3,2024-09-07 09:28:50:919,1,463,281,0,379,5525,463,0 112,0,2024-09-07 09:28:50:930,118347,0.3,117756,0.4,236012,0.2,313312,1.50 112,1,2024-09-07 09:28:50:825,814325,814325,0,0,382303703290,3965147475,809593,4119,613,380,391624,0 112,2,2024-09-07 09:28:51:138,585490,585489,1,0,23129923,0,5036 112,3,2024-09-07 09:28:50:599,1,463,2,0,282,4578,463,0 113,0,2024-09-07 09:28:50:881,116053,0.3,116046,0.5,232431,0.2,308765,1.75 113,1,2024-09-07 09:28:51:685,817077,817077,0,0,384110469080,3959461463,813180,3307,590,366,391661,0 113,2,2024-09-07 09:28:51:302,591079,591079,0,0,21088290,0,3813 113,3,2024-09-07 09:28:50:689,1,463,2,0,340,5022,463,0 114,0,2024-09-07 09:28:50:878,113491,0.3,114288,0.4,227010,0.2,303845,1.75 114,1,2024-09-07 09:28:50:720,814922,814922,0,0,382857684418,3975013933,808586,4720,1616,381,391565,0 114,2,2024-09-07 09:28:50:885,587295,587294,1,0,22033339,0,5069 114,3,2024-09-07 09:28:51:286,1,463,1,0,395,3796,463,0 115,0,2024-09-07 09:28:50:556,117889,0.3,118294,0.4,236035,0.2,313284,1.50 115,1,2024-09-07 09:28:50:570,814925,814925,0,0,383028782929,3977917983,808386,5271,1268,382,391757,0 115,2,2024-09-07 09:28:51:126,585488,585488,0,0,22016502,0,4382 115,3,2024-09-07 09:28:51:027,1,463,0,0,159,2568,463,0 116,0,2024-09-07 09:28:51:700,117628,0.7,117491,0.8,235730,0.7,314895,2.00 116,1,2024-09-07 09:28:50:810,810683,810683,0,0,381215951189,4015453363,801097,7185,2401,380,392089,0 116,2,2024-09-07 09:28:51:754,583881,583881,0,0,28517812,0,4128 116,3,2024-09-07 09:28:50:926,1,463,6,0,415,5233,463,0 117,0,2024-09-07 09:28:50:967,117576,0.7,117045,0.8,234440,0.8,313330,2.00 117,1,2024-09-07 09:28:51:581,811916,811916,0,0,381157110345,3987417985,803569,7367,980,369,392033,0 117,2,2024-09-07 09:28:51:143,588885,588885,0,0,24781310,0,4303 117,3,2024-09-07 09:28:51:069,1,463,7,0,490,6697,463,0 118,0,2024-09-07 09:28:51:814,109792,0.5,112752,0.7,230178,0.5,300812,2.00 118,1,2024-09-07 09:28:50:610,811243,811243,0,0,380826929922,4002564628,799105,9118,3020,366,392054,0 118,2,2024-09-07 09:28:51:600,586963,586963,0,0,27000166,0,2842 118,3,2024-09-07 09:28:51:766,1,463,0,0,248,5239,463,0 119,0,2024-09-07 09:28:51:334,117444,0.6,117723,0.7,235484,0.6,313825,2.00 119,1,2024-09-07 09:28:50:549,811994,811994,0,0,381177410472,3991901082,801776,8822,1396,367,391857,0 119,2,2024-09-07 09:28:51:261,583798,583798,0,0,24976287,0,4174 119,3,2024-09-07 09:28:51:326,1,463,1,0,1358,8889,463,0 120,0,2024-09-07 09:28:51:552,116300,0.6,116023,0.8,232924,0.7,310727,2.25 120,1,2024-09-07 09:28:50:863,812421,812421,0,0,381298304593,3997638111,803898,7753,770,368,392144,0 120,2,2024-09-07 09:28:50:787,583483,583482,1,0,29567866,0,5281 120,3,2024-09-07 09:28:51:301,1,463,3,0,279,5767,463,0 121,0,2024-09-07 09:28:51:685,117021,1.3,116646,1.1,233802,1.8,311560,2.50 121,1,2024-09-07 09:28:51:691,812693,812693,0,0,382072989902,3991202945,805461,6767,465,367,391840,0 121,2,2024-09-07 09:28:51:129,584909,584909,0,0,27959399,0,4157 121,3,2024-09-07 09:28:50:732,1,463,12,0,269,5399,463,0 122,0,2024-09-07 09:28:51:768,113581,0.7,110653,0.8,232079,0.8,304391,2.00 122,1,2024-09-07 09:28:50:866,811286,811286,0,0,381840779701,4004539041,800239,9347,1700,366,392130,0 122,2,2024-09-07 09:28:51:321,589361,589288,73,0,31098655,0,5989 122,3,2024-09-07 09:28:50:604,1,463,5,0,512,8098,463,0 123,0,2024-09-07 09:28:51:007,115086,0.7,112036,0.8,234818,0.8,307651,2.00 123,1,2024-09-07 09:28:50:564,811162,811162,0,0,381028917891,4009698199,797377,11339,2446,369,392039,0 123,2,2024-09-07 09:28:51:028,581404,581403,1,0,26084457,0,5215 123,3,2024-09-07 09:28:51:146,1,463,13,0,478,5286,463,0 124,0,2024-09-07 09:28:50:999,119269,0.3,119274,0.5,224766,0.3,308741,1.75 124,1,2024-09-07 09:28:51:030,814779,814779,0,0,382528335591,3972755216,809382,4344,1053,367,392178,0 124,2,2024-09-07 09:28:51:029,585294,585241,53,0,23477615,0,6487 124,3,2024-09-07 09:28:50:764,1,463,36,0,490,4359,463,0 125,0,2024-09-07 09:28:51:429,116902,0.4,116694,0.6,234217,0.4,311694,1.75 125,1,2024-09-07 09:28:50:856,812864,812864,0,0,381734651105,3981738579,806937,5253,674,382,391813,0 125,2,2024-09-07 09:28:51:137,587127,587127,0,0,24140933,0,4534 125,3,2024-09-07 09:28:51:143,1,463,9,0,709,5594,463,0 126,0,2024-09-07 09:28:51:423,115335,0.4,118660,0.6,226934,0.4,306810,1.75 126,1,2024-09-07 09:28:50:552,815260,815260,0,0,383184018354,3965308796,811107,3859,294,365,391987,0 126,2,2024-09-07 09:28:50:626,590441,590441,0,0,24834827,0,4539 126,3,2024-09-07 09:28:50:912,1,463,1,0,268,5413,463,0 127,0,2024-09-07 09:28:51:609,113362,0.3,113804,0.5,226983,0.2,302468,1.50 127,1,2024-09-07 09:28:50:570,814413,814413,0,0,382231486150,3972801530,806774,6402,1237,364,392187,0 127,2,2024-09-07 09:28:50:650,583613,583613,0,0,22270001,0,3897 127,3,2024-09-07 09:28:51:272,1,463,14,0,968,4796,463,0 128,0,2024-09-07 09:28:51:570,117119,0.3,117210,0.4,234142,0.2,310939,1.50 128,1,2024-09-07 09:28:51:614,813428,813428,0,0,382536204396,3967839021,808496,4499,433,367,392031,0 128,2,2024-09-07 09:28:51:394,585643,585643,0,0,21729196,0,3171 128,3,2024-09-07 09:28:50:767,1,463,4,0,1082,7081,463,0 129,0,2024-09-07 09:28:51:011,119049,0.3,118517,0.5,237357,0.3,315426,1.50 129,1,2024-09-07 09:28:50:566,811654,811654,0,0,380545267734,3984861907,803872,6108,1674,379,391962,0 129,2,2024-09-07 09:28:50:692,586297,586293,4,0,23973539,0,5335 129,3,2024-09-07 09:28:50:693,1,463,11,0,506,6601,463,0 130,0,2024-09-07 09:28:51:715,117736,0.5,117241,0.6,235682,0.5,313027,1.75 130,1,2024-09-07 09:28:50:644,814776,814776,0,0,382500495520,3972223477,810637,3800,339,381,391825,0 130,2,2024-09-07 09:28:51:128,588744,588744,0,0,23784907,0,4067 130,3,2024-09-07 09:28:51:308,1,463,1,0,960,6881,463,0 131,0,2024-09-07 09:28:51:935,112744,0.3,113168,0.5,227042,0.3,301811,1.50 131,1,2024-09-07 09:28:51:822,814050,814050,0,0,381795915476,3983313210,808059,4937,1054,381,391865,0 131,2,2024-09-07 09:28:50:567,588931,588931,0,0,21750166,0,3979 131,3,2024-09-07 09:28:51:690,1,463,1,0,392,4974,463,0 132,0,2024-09-07 09:28:51:427,118239,0.4,119298,0.6,237394,0.4,315392,1.75 132,1,2024-09-07 09:28:50:577,809892,809892,0,0,381255857101,4012386149,798170,9590,2132,381,392532,0 132,2,2024-09-07 09:28:50:702,583290,583273,17,0,28625905,0,6451 132,3,2024-09-07 09:28:51:690,1,463,161,0,804,7925,463,0 133,0,2024-09-07 09:28:51:563,114721,0.4,117448,0.6,240805,0.4,313567,1.75 133,1,2024-09-07 09:28:50:586,810182,810182,0,0,380849412373,4013314797,798893,9674,1615,383,391914,0 133,2,2024-09-07 09:28:51:101,584501,584451,50,0,29933124,0,6861 133,3,2024-09-07 09:28:51:298,1,463,7,0,479,4804,463,0 134,0,2024-09-07 09:28:51:030,117791,0.6,117402,0.7,235102,0.6,313445,2.00 134,1,2024-09-07 09:28:50:592,811403,811403,0,0,380931608127,3992467160,801227,8107,2069,366,391718,0 134,2,2024-09-07 09:28:51:756,584822,584798,24,0,27943146,0,6207 134,3,2024-09-07 09:28:50:749,1,463,2,0,739,5708,463,0 135,0,2024-09-07 09:28:51:122,109795,0.7,109782,0.8,233000,0.8,300311,2.25 135,1,2024-09-07 09:28:51:600,811728,811728,0,0,381878109108,4014523427,801372,8978,1378,380,391805,0 135,2,2024-09-07 09:28:50:689,588833,588833,0,0,27412927,0,3981 135,3,2024-09-07 09:28:51:029,1,463,0,0,299,4036,463,0 136,0,2024-09-07 09:28:51:632,117006,0.5,117213,0.7,233409,0.4,311013,2.00 136,1,2024-09-07 09:28:51:442,812736,812736,0,0,380671729506,3990877116,803429,8169,1138,381,391685,0 136,2,2024-09-07 09:28:51:142,584671,584671,0,0,25813235,0,3506 136,3,2024-09-07 09:28:51:106,1,463,107,0,637,5373,463,0 137,0,2024-09-07 09:28:51:024,119984,0.6,116867,0.7,229166,0.5,311314,2.00 137,1,2024-09-07 09:28:50:575,810799,810799,0,0,381106921959,3998652196,797894,10237,2668,366,391898,0 137,2,2024-09-07 09:28:51:729,582988,582988,0,0,29003814,0,3185 137,3,2024-09-07 09:28:50:779,1,463,9,0,484,5617,463,0 138,0,2024-09-07 09:28:51:749,116278,0.9,116251,0.9,233400,1.2,309705,2.25 138,1,2024-09-07 09:28:51:685,811897,811897,0,0,381831707668,4002269628,800858,9228,1811,368,391954,0 138,2,2024-09-07 09:28:50:597,584862,584862,0,0,27049575,0,4988 138,3,2024-09-07 09:28:50:611,1,463,3,0,1200,7427,463,0 139,0,2024-09-07 09:28:51:363,113312,1.4,113473,1.1,227411,2.1,303779,2.50 139,1,2024-09-07 09:28:50:572,808007,808007,0,0,379230132903,4027016020,792665,11900,3442,380,392058,0 139,2,2024-09-07 09:28:50:727,585439,585439,0,0,30066647,0,3097 139,3,2024-09-07 09:28:51:673,1,463,1,0,432,5481,463,0 140,0,2024-09-07 09:28:51:588,115014,0.3,114336,0.5,229309,0.2,305966,1.75 140,1,2024-09-07 09:28:51:535,816560,816560,0,0,384508972271,3962321434,812678,3421,461,365,391606,0 140,2,2024-09-07 09:28:50:689,585136,585135,1,0,22402902,0,5036 140,3,2024-09-07 09:28:50:769,1,463,152,0,297,3883,463,0 141,0,2024-09-07 09:28:51:697,116324,0.3,119354,0.4,227987,0.2,308896,1.50 141,1,2024-09-07 09:28:50:859,815366,815366,0,0,383237935002,3978093293,809405,5004,957,379,391614,0 141,2,2024-09-07 09:28:51:687,584706,584706,0,0,22763622,0,3360 141,3,2024-09-07 09:28:51:043,1,463,8,0,391,5109,463,0 142,0,2024-09-07 09:28:51:320,118408,0.3,117671,0.5,235550,0.3,313931,1.75 142,1,2024-09-07 09:28:50:607,813751,813751,0,0,381500362028,3972935926,808949,4399,403,382,392102,0 142,2,2024-09-07 09:28:51:312,585348,585316,32,0,24571593,0,6028 142,3,2024-09-07 09:28:51:753,1,463,5,0,484,5046,463,0 143,0,2024-09-07 09:28:51:369,116009,0.4,116144,0.6,232622,0.4,308759,1.75 143,1,2024-09-07 09:28:50:565,814952,814952,0,0,382881095425,3971524733,809498,4905,549,367,391705,0 143,2,2024-09-07 09:28:50:770,589673,589673,0,0,23814350,0,3123 143,3,2024-09-07 09:28:51:151,1,463,5,0,462,6095,463,0 144,0,2024-09-07 09:28:51:492,109760,0.6,112774,0.8,229949,0.5,301390,2.00 144,1,2024-09-07 09:28:50:566,811407,811407,0,0,381517651023,4000332209,803448,6120,1839,381,391733,0 144,2,2024-09-07 09:28:51:754,586445,586445,0,0,22226499,0,3673 144,3,2024-09-07 09:28:51:747,1,463,0,0,249,4541,463,0 145,0,2024-09-07 09:28:51:357,113626,0.6,113619,0.8,241038,0.5,310151,2.25 145,1,2024-09-07 09:28:50:563,810551,810551,0,0,380773078208,4005244724,799799,8884,1868,382,391759,0 145,2,2024-09-07 09:28:51:429,581719,581638,81,0,27732313,0,7814 145,3,2024-09-07 09:28:50:907,1,463,17,0,622,6420,463,0 146,0,2024-09-07 09:28:51:597,117882,0.6,117389,0.7,236145,0.6,313383,2.25 146,1,2024-09-07 09:28:51:590,811645,811645,0,0,380808275201,4005104474,798364,9753,3528,367,391770,0 146,2,2024-09-07 09:28:51:699,583232,583232,0,0,26530176,0,3290 146,3,2024-09-07 09:28:51:277,1,463,6,0,1520,8218,463,0 147,0,2024-09-07 09:28:51:714,117500,0.6,117214,0.8,233893,0.6,312830,2.25 147,1,2024-09-07 09:28:51:376,814910,814910,0,0,383258116739,3982285539,808147,5914,849,368,391791,0 147,2,2024-09-07 09:28:51:009,586700,586700,0,0,23836817,0,2968 147,3,2024-09-07 09:28:50:916,1,463,2,0,1626,7786,463,0 0,0,2024-09-07 09:29:01:719,113900,0.6,113908,0.7,241617,0.6,312508,2.00 0,1,2024-09-07 09:29:00:816,813754,813754,0,0,382519311575,4001081258,807926,5379,449,369,391896,0 0,2,2024-09-07 09:29:01:074,586964,586964,0,0,23526650,0,4480 0,3,2024-09-07 09:29:00:980,1,464,6,0,431,6744,464,0 1,0,2024-09-07 09:29:01:766,117125,1.1,116572,1.0,233564,1.5,312226,2.00 1,1,2024-09-07 09:29:00:566,813726,813726,0,0,381644183829,3990785192,807162,5234,1330,370,391859,0 1,2,2024-09-07 09:29:00:640,586851,586851,0,0,23292307,0,3380 1,3,2024-09-07 09:29:01:308,1,464,7,0,269,5896,464,0 2,0,2024-09-07 09:29:01:566,114102,0.6,114013,0.7,227602,0.6,303370,2.00 2,1,2024-09-07 09:29:00:866,816375,816375,0,0,383570347944,3978326924,813048,3032,295,380,391745,0 2,2,2024-09-07 09:29:01:266,591404,591404,0,0,21956464,0,3594 2,3,2024-09-07 09:29:00:695,1,464,0,0,357,4271,464,0 3,0,2024-09-07 09:29:01:744,116243,0.4,115982,0.6,232115,0.3,309261,2.00 3,1,2024-09-07 09:29:01:625,814669,814669,0,0,382841977124,3983573209,808311,5664,694,379,391716,0 3,2,2024-09-07 09:29:01:142,585386,585363,23,0,22947108,0,5851 3,3,2024-09-07 09:29:01:757,1,464,5,0,207,2989,464,0 4,0,2024-09-07 09:29:01:760,112498,0.4,115648,0.5,235758,0.3,308093,1.75 4,1,2024-09-07 09:29:00:592,811238,811238,0,0,381043974360,4031544078,797404,10600,3234,370,391992,0 4,2,2024-09-07 09:29:01:110,583371,583371,0,0,27191671,0,4528 4,3,2024-09-07 09:29:01:038,1,464,130,0,448,6220,464,0 5,0,2024-09-07 09:29:01:505,116884,0.4,117425,0.6,234405,0.4,311340,1.75 5,1,2024-09-07 09:29:00:790,812833,812833,0,0,382336839590,4025364349,801070,9399,2364,367,392005,0 5,2,2024-09-07 09:29:01:829,584206,584206,0,0,27289242,0,3582 5,3,2024-09-07 09:29:01:732,1,464,12,0,457,6374,464,0 6,0,2024-09-07 09:29:00:931,115489,0.4,115087,0.6,229966,0.4,306652,2.00 6,1,2024-09-07 09:29:00:759,815027,815027,0,0,382027000961,3990478802,806448,7153,1426,379,391702,0 6,2,2024-09-07 09:29:01:118,590566,590548,18,0,26536007,0,5535 6,3,2024-09-07 09:29:01:275,1,464,18,0,710,5848,464,0 7,0,2024-09-07 09:29:01:550,113133,0.5,113988,0.6,226996,0.4,302410,2.00 7,1,2024-09-07 09:29:00:850,813273,813273,0,0,381799832176,4008167166,802093,9196,1984,382,391747,0 7,2,2024-09-07 09:29:00:788,585523,585523,0,0,25570984,0,4791 7,3,2024-09-07 09:29:00:853,1,464,0,0,552,5555,464,0 8,0,2024-09-07 09:29:01:322,116878,0.3,116686,0.5,233899,0.3,311122,1.75 8,1,2024-09-07 09:29:01:040,812561,812561,0,0,381743260924,4012418598,800176,9607,2778,366,392853,0 8,2,2024-09-07 09:29:00:793,580989,580989,0,0,30605631,0,3250 8,3,2024-09-07 09:29:00:596,1,464,9,0,538,7363,464,0 9,0,2024-09-07 09:29:01:217,118248,0.4,114934,0.5,240654,0.3,315223,1.75 9,1,2024-09-07 09:29:00:571,811512,811512,0,0,381484135122,4018288022,799349,9713,2450,369,392001,0 9,2,2024-09-07 09:29:01:087,585550,585550,0,0,27951115,0,4615 9,3,2024-09-07 09:29:01:757,1,464,16,0,496,6557,464,0 10,0,2024-09-07 09:29:01:601,117047,0.3,116624,0.5,234147,0.3,311546,1.75 10,1,2024-09-07 09:29:00:583,813858,813858,0,0,381835877658,4001059295,802852,9249,1757,381,391741,0 10,2,2024-09-07 09:29:00:764,589023,589023,0,0,30176849,0,4264 10,3,2024-09-07 09:29:00:871,1,464,26,0,649,5022,464,0 11,0,2024-09-07 09:29:01:010,113125,0.4,109715,0.6,229459,0.4,302988,1.75 11,1,2024-09-07 09:29:00:570,814182,814182,0,0,382488239292,4011968787,801881,9326,2975,383,391756,0 11,2,2024-09-07 09:29:01:139,586698,586698,0,0,26492580,0,4130 11,3,2024-09-07 09:29:01:298,1,464,1,0,843,6368,464,0 12,0,2024-09-07 09:29:01:015,119123,0.4,119050,0.5,238122,0.3,316001,1.75 12,1,2024-09-07 09:29:00:940,814182,814182,0,0,382688115281,3987210491,808369,5309,504,370,391960,0 12,2,2024-09-07 09:29:01:568,584736,584736,0,0,25596423,0,4390 12,3,2024-09-07 09:29:01:074,1,464,14,0,386,6579,464,0 13,0,2024-09-07 09:29:01:362,118963,0.4,118621,0.6,236503,0.4,315586,1.75 13,1,2024-09-07 09:29:01:546,812532,812532,0,0,382271247409,4015942118,804318,6500,1714,382,391740,0 13,2,2024-09-07 09:29:00:600,587573,587573,0,0,23189415,0,3287 13,3,2024-09-07 09:29:01:778,1,464,12,0,522,6594,464,0 14,0,2024-09-07 09:29:00:561,117516,0.4,118422,0.6,234466,0.4,312767,1.75 14,1,2024-09-07 09:29:01:561,818283,818283,0,0,384142593852,3975372547,811857,5714,712,364,391673,0 14,2,2024-09-07 09:29:00:769,587767,587737,30,0,24950150,0,6104 14,3,2024-09-07 09:29:01:117,1,464,25,0,1168,4922,464,0 15,0,2024-09-07 09:29:01:569,113569,0.4,113300,0.6,227198,0.4,302786,2.00 15,1,2024-09-07 09:29:01:619,815067,815067,0,0,383154752394,3987043643,808831,5057,1179,381,391619,0 15,2,2024-09-07 09:29:01:004,591524,591524,0,0,20978036,0,3622 15,3,2024-09-07 09:29:01:418,1,464,1,0,1126,7113,464,0 16,0,2024-09-07 09:29:01:081,116761,0.5,117469,0.7,234028,0.4,311092,2.00 16,1,2024-09-07 09:29:00:577,814987,814987,0,0,382372893566,3994782480,808120,5749,1118,370,391917,0 16,2,2024-09-07 09:29:01:450,584431,584431,0,0,24617530,0,4719 16,3,2024-09-07 09:29:01:142,1,464,6,0,317,5569,464,0 17,0,2024-09-07 09:29:01:767,120207,0.6,117291,0.7,229593,0.6,311905,2.00 17,1,2024-09-07 09:29:00:570,813436,813436,0,0,382202885502,4008690174,805231,6491,1714,368,392075,0 17,2,2024-09-07 09:29:01:670,588162,588161,1,0,25243629,0,5050 17,3,2024-09-07 09:29:00:578,1,464,10,0,518,7296,464,0 18,0,2024-09-07 09:29:00:957,115795,0.7,116301,0.8,232015,0.7,309700,2.25 18,1,2024-09-07 09:29:01:644,816881,816881,0,0,383941356187,3976077698,812586,3857,438,367,391725,0 18,2,2024-09-07 09:29:01:755,589379,589379,0,0,21809190,0,3541 18,3,2024-09-07 09:29:00:896,1,464,1,0,1059,4445,464,0 19,0,2024-09-07 09:29:01:569,114263,0.6,114661,0.8,227507,0.6,303237,2.00 19,1,2024-09-07 09:29:00:566,817335,817335,0,0,384009194946,3979645118,811266,5147,922,367,391777,0 19,2,2024-09-07 09:29:01:752,593027,593027,0,0,20986373,0,3988 19,3,2024-09-07 09:29:01:129,1,464,1,0,524,3888,464,0 20,0,2024-09-07 09:29:01:393,114830,0.5,114836,0.6,229578,0.4,306454,2.00 20,1,2024-09-07 09:29:00:567,813633,813633,0,0,383037191702,4009667111,805667,6668,1298,369,391922,0 20,2,2024-09-07 09:29:00:963,584236,584236,0,0,24982705,0,3721 20,3,2024-09-07 09:29:00:590,1,464,62,0,468,7695,464,0 21,0,2024-09-07 09:29:01:169,116093,0.4,116201,0.6,232457,0.4,308171,1.75 21,1,2024-09-07 09:29:01:554,811593,811593,0,0,381154993014,4021689349,798939,9679,2975,368,392016,0 21,2,2024-09-07 09:29:01:078,582398,582378,20,0,30540737,0,5617 21,3,2024-09-07 09:29:01:411,1,464,8,0,713,6132,464,0 22,0,2024-09-07 09:29:01:721,117664,0.5,118084,0.7,235808,0.4,312387,2.00 22,1,2024-09-07 09:29:01:031,812957,812957,0,0,381411921364,4012780740,799886,10134,2937,382,391675,0 22,2,2024-09-07 09:29:00:769,585459,585433,26,0,24987607,0,6328 22,3,2024-09-07 09:29:01:073,1,464,41,0,228,3706,464,0 23,0,2024-09-07 09:29:01:367,115646,0.5,115124,0.7,230513,0.5,306751,2.25 23,1,2024-09-07 09:29:01:012,814364,814364,0,0,382956479038,4018970099,800499,9712,4153,365,391690,0 23,2,2024-09-07 09:29:01:097,591916,591916,0,0,23853564,0,3773 23,3,2024-09-07 09:29:01:754,1,464,14,0,720,5837,464,0 24,0,2024-09-07 09:29:00:882,114351,0.4,113629,0.5,228355,0.3,303463,1.75 24,1,2024-09-07 09:29:00:598,813150,813150,0,0,381033712854,3992709932,804657,6834,1659,367,392269,0 24,2,2024-09-07 09:29:01:078,585930,585930,0,0,28379794,0,3607 24,3,2024-09-07 09:29:01:686,1,464,124,0,468,6231,464,0 25,0,2024-09-07 09:29:01:348,120809,0.4,117657,0.6,230795,0.4,314033,1.75 25,1,2024-09-07 09:29:00:558,812415,812415,0,0,382090925598,4029726147,798776,10934,2705,371,391928,0 25,2,2024-09-07 09:29:01:613,583143,583143,0,0,29669342,0,3978 25,3,2024-09-07 09:29:01:018,1,464,10,0,532,5178,464,0 26,0,2024-09-07 09:29:01:721,117919,0.4,115290,0.6,241912,0.4,314709,1.75 26,1,2024-09-07 09:29:01:554,814998,814998,0,0,381672769364,4001429217,802685,9900,2413,380,391748,0 26,2,2024-09-07 09:29:00:871,586257,586257,0,0,30808649,0,4689 26,3,2024-09-07 09:29:01:712,1,464,2,0,796,5348,464,0 27,0,2024-09-07 09:29:01:724,117786,0.5,118053,0.6,234794,0.4,313538,2.25 27,1,2024-09-07 09:29:01:677,816124,816124,0,0,383667688850,3997607598,808019,6843,1262,381,391626,0 27,2,2024-09-07 09:29:00:867,585478,585413,65,0,27234331,0,5699 27,3,2024-09-07 09:29:01:021,1,464,3,0,564,4249,464,0 28,0,2024-09-07 09:29:01:422,113614,0.4,113138,0.6,227273,0.3,302708,1.75 28,1,2024-09-07 09:29:00:797,815769,815769,0,0,383523017221,3999541582,808443,5626,1700,382,391698,0 28,2,2024-09-07 09:29:01:767,589117,589117,0,0,23907792,0,2915 28,3,2024-09-07 09:29:01:778,1,464,0,0,502,4810,464,0 29,0,2024-09-07 09:29:01:357,121451,0.3,118527,0.6,231862,0.3,316840,1.75 29,1,2024-09-07 09:29:01:561,817983,817983,0,0,384240834181,3973349064,813030,4218,735,368,391809,0 29,2,2024-09-07 09:29:00:866,584707,584707,0,0,22811866,0,4986 29,3,2024-09-07 09:29:00:963,1,464,12,0,459,5331,464,0 30,0,2024-09-07 09:29:01:456,116879,0.5,113634,0.7,237828,0.5,311406,2.00 30,1,2024-09-07 09:29:00:571,816624,816624,0,0,383886552201,3985036864,810155,5620,849,380,391672,0 30,2,2024-09-07 09:29:01:277,586634,586634,0,0,22396196,0,4192 30,3,2024-09-07 09:29:00:582,1,464,0,0,519,4466,464,0 31,0,2024-09-07 09:29:01:761,116788,0.5,117252,0.7,234121,0.4,312260,2.00 31,1,2024-09-07 09:29:00:564,820306,820306,0,0,384965781459,3943459654,817192,2528,586,356,391712,0 31,2,2024-09-07 09:29:01:274,586237,586237,0,0,24075307,0,3525 31,3,2024-09-07 09:29:01:706,1,464,1,0,220,3986,464,0 32,0,2024-09-07 09:29:01:425,114321,0.3,114931,0.5,228941,0.2,305182,1.50 32,1,2024-09-07 09:29:00:808,816339,816339,0,0,383462715462,3985380023,811200,4457,682,381,391646,0 32,2,2024-09-07 09:29:00:936,591719,591719,0,0,21685628,0,3922 32,3,2024-09-07 09:29:01:021,1,464,110,0,304,3622,464,0 33,0,2024-09-07 09:29:01:509,116423,0.2,116007,0.4,232207,0.2,309720,1.50 33,1,2024-09-07 09:29:00:574,817138,817138,0,0,384138435754,3978934154,810620,5413,1105,368,391730,0 33,2,2024-09-07 09:29:00:759,585546,585511,35,0,23795779,0,7012 33,3,2024-09-07 09:29:00:903,1,464,18,0,329,4368,464,0 34,0,2024-09-07 09:29:00:932,116353,0.3,119426,0.4,228387,0.2,307447,1.75 34,1,2024-09-07 09:29:01:059,818744,818744,0,0,385366534632,3960105699,816752,1974,18,367,391562,0 34,2,2024-09-07 09:29:00:768,586873,586873,0,0,22261341,0,4562 34,3,2024-09-07 09:29:01:688,1,464,320,0,320,3683,464,0 35,0,2024-09-07 09:29:00:859,116297,0.3,116898,0.5,234851,0.3,312088,1.75 35,1,2024-09-07 09:29:01:074,816470,816470,0,0,384085330710,3977670747,811889,3722,859,382,391769,0 35,2,2024-09-07 09:29:01:582,587114,587114,0,0,24321629,0,4055 35,3,2024-09-07 09:29:00:907,1,464,1,0,418,4583,464,0 36,0,2024-09-07 09:29:01:541,115623,0.4,115500,0.6,230958,0.4,307018,2.00 36,1,2024-09-07 09:29:00:583,815595,815595,0,0,382871350348,3997352662,805330,8256,2009,366,391759,0 36,2,2024-09-07 09:29:01:752,591211,591211,0,0,25765442,0,3875 36,3,2024-09-07 09:29:00:866,1,464,1,0,416,6407,464,0 37,0,2024-09-07 09:29:01:428,113326,0.5,113485,0.6,226742,0.4,302931,2.00 37,1,2024-09-07 09:29:00:569,814505,814498,0,7,382308571749,3993961716,804249,7694,2555,365,391770,0 37,2,2024-09-07 09:29:01:148,583493,583478,15,0,25691665,0,5815 37,3,2024-09-07 09:29:01:773,1,464,14,0,888,6803,464,0 38,0,2024-09-07 09:29:01:441,116057,0.5,112595,0.6,235544,0.4,308294,2.00 38,1,2024-09-07 09:29:01:608,815132,815132,0,0,383027153034,4006053512,802773,9878,2481,368,391821,0 38,2,2024-09-07 09:29:00:762,585208,585161,47,0,25482316,0,6710 38,3,2024-09-07 09:29:01:003,1,464,0,0,689,5905,464,0 39,0,2024-09-07 09:29:01:774,120998,0.6,118373,0.7,230664,0.5,314366,2.00 39,1,2024-09-07 09:29:00:720,814206,814206,0,0,381782588956,4005523702,800192,10823,3191,365,391658,0 39,2,2024-09-07 09:29:01:418,587062,587062,0,0,24248001,0,3391 39,3,2024-09-07 09:29:00:725,1,464,2,0,324,5060,464,0 40,0,2024-09-07 09:29:01:491,115929,0.8,116655,0.9,232564,0.8,310028,2.75 40,1,2024-09-07 09:29:00:575,815344,815344,0,0,382138933116,4000500707,803812,9365,2167,368,391668,0 40,2,2024-09-07 09:29:01:303,587984,587983,1,0,28913468,0,5137 40,3,2024-09-07 09:29:01:149,1,464,3,0,1028,6210,464,0 41,0,2024-09-07 09:29:01:035,112776,1.3,115304,1.2,219761,1.9,299554,3.00 41,1,2024-09-07 09:29:00:778,813452,813452,0,0,383050784593,4010191190,802663,9081,1708,369,391742,0 41,2,2024-09-07 09:29:00:763,585652,585652,0,0,27303587,0,4277 41,3,2024-09-07 09:29:01:682,1,464,41,0,366,4758,464,0 42,0,2024-09-07 09:29:01:492,117482,0.9,117353,1.0,234986,1.0,310726,2.75 42,1,2024-09-07 09:29:01:445,811450,811450,0,0,381820498041,4018070665,797273,11332,2845,380,391675,0 42,2,2024-09-07 09:29:01:134,584043,584043,0,0,27228525,0,3790 42,3,2024-09-07 09:29:01:021,1,464,23,0,892,4412,464,0 43,0,2024-09-07 09:29:00:923,116924,0.7,113928,0.9,238350,0.8,312841,2.25 43,1,2024-09-07 09:29:00:576,815091,815091,0,0,382693097541,4000799626,803215,9909,1967,366,391696,0 43,2,2024-09-07 09:29:01:736,586316,586316,0,0,26729531,0,3812 43,3,2024-09-07 09:29:01:751,1,464,3,0,571,6689,464,0 44,0,2024-09-07 09:29:00:857,117567,0.4,117704,0.6,235891,0.4,313299,2.00 44,1,2024-09-07 09:29:00:564,816708,816708,0,0,383316408493,3959300145,810080,5310,1318,356,391809,0 44,2,2024-09-07 09:29:01:266,586093,586093,0,0,22181965,0,4344 44,3,2024-09-07 09:29:01:093,1,464,29,0,817,5275,464,0 45,0,2024-09-07 09:29:01:760,112290,0.5,109731,0.7,230189,0.4,302557,2.00 45,1,2024-09-07 09:29:01:014,816063,816063,0,0,383941634729,3988987012,809725,5650,688,382,391917,0 45,2,2024-09-07 09:29:01:277,591053,591053,0,0,22495911,0,3596 45,3,2024-09-07 09:29:00:934,1,464,8,0,271,3917,464,0 46,0,2024-09-07 09:29:00:951,116629,0.4,116023,0.6,232816,0.3,309031,2.00 46,1,2024-09-07 09:29:00:580,818074,818074,0,0,384318852893,3967404292,813160,4321,593,366,391709,0 46,2,2024-09-07 09:29:00:593,585167,585167,0,0,22508768,0,4443 46,3,2024-09-07 09:29:01:132,1,464,3,0,908,5610,464,0 47,0,2024-09-07 09:29:01:150,116845,0.4,117149,0.6,234528,0.4,310616,1.75 47,1,2024-09-07 09:29:00:571,818630,818630,0,0,383751841042,3963828036,813682,4109,839,366,391641,0 47,2,2024-09-07 09:29:00:917,588731,588731,0,0,22208142,0,4477 47,3,2024-09-07 09:29:01:121,1,464,10,0,600,5454,464,0 48,0,2024-09-07 09:29:01:498,117468,0.3,117454,0.4,233886,0.2,311666,1.50 48,1,2024-09-07 09:29:01:024,816701,816701,0,0,383900027543,3984533262,811957,4287,457,384,391710,0 48,2,2024-09-07 09:29:00:699,587398,587398,0,0,20838132,0,3411 48,3,2024-09-07 09:29:00:754,1,464,78,0,339,3696,464,0 49,0,2024-09-07 09:29:01:713,117492,0.3,115524,0.5,224151,0.3,307032,1.75 49,1,2024-09-07 09:29:01:024,816002,816002,0,0,383293455634,3984418443,810840,3955,1207,382,391809,0 49,2,2024-09-07 09:29:01:797,591383,591383,0,0,23077849,0,4426 49,3,2024-09-07 09:29:01:416,1,464,7,0,992,5419,464,0 50,0,2024-09-07 09:29:01:506,115629,0.3,113993,0.4,229717,0.2,306380,1.75 50,1,2024-09-07 09:29:01:022,818611,818611,0,0,385284350138,3981106275,813568,4469,574,368,391565,0 50,2,2024-09-07 09:29:01:074,585163,585163,0,0,20724608,0,4490 50,3,2024-09-07 09:29:01:293,1,464,0,0,567,4558,464,0 51,0,2024-09-07 09:29:01:689,119008,0.3,116622,0.4,227369,0.2,309663,1.75 51,1,2024-09-07 09:29:01:683,819115,819115,0,0,385904046185,3977065805,814998,3068,1049,365,391706,0 51,2,2024-09-07 09:29:01:316,586222,586222,0,0,19951704,0,3337 51,3,2024-09-07 09:29:01:044,1,464,3,0,678,3624,464,0 52,0,2024-09-07 09:29:01:421,118106,0.5,117877,0.7,236029,0.5,313342,2.00 52,1,2024-09-07 09:29:00:574,814925,814925,0,0,382636872197,4003357908,803695,9786,1444,368,391722,0 52,2,2024-09-07 09:29:01:755,584043,584005,38,0,27268548,0,6742 52,3,2024-09-07 09:29:00:674,1,464,1,0,1782,6063,464,0 53,0,2024-09-07 09:29:01:743,115176,0.6,111764,0.8,233938,0.7,306596,2.25 53,1,2024-09-07 09:29:00:775,812976,812976,0,0,383052400201,4018925971,799647,9802,3527,367,391968,0 53,2,2024-09-07 09:29:01:298,590780,590779,1,0,24620277,0,5455 53,3,2024-09-07 09:29:00:709,1,464,17,0,308,4534,464,0 54,0,2024-09-07 09:29:01:640,112086,0.6,112430,0.7,223855,0.4,299539,2.25 54,1,2024-09-07 09:29:00:591,814885,814885,0,0,382661212581,3978431144,806288,7202,1395,366,391659,0 54,2,2024-09-07 09:29:00:867,586830,586798,32,0,27831999,0,6397 54,3,2024-09-07 09:29:00:763,1,464,2,0,676,6414,464,0 55,0,2024-09-07 09:29:01:780,113525,0.6,117062,0.7,237276,0.5,308560,2.25 55,1,2024-09-07 09:29:00:768,815883,815883,0,0,383387331535,3983782509,807555,7146,1182,365,391731,0 55,2,2024-09-07 09:29:00:728,584584,584528,56,0,26822812,0,7239 55,3,2024-09-07 09:29:00:674,1,464,1,0,304,4483,464,0 56,0,2024-09-07 09:29:01:557,120729,1.2,113816,1.1,234628,1.7,313928,2.75 56,1,2024-09-07 09:29:00:573,811810,811810,0,0,381885729980,4030848626,799573,9685,2552,381,391867,0 56,2,2024-09-07 09:29:01:302,585979,585857,122,0,27842757,0,7432 56,3,2024-09-07 09:29:01:059,1,464,7,0,705,5631,464,0 57,0,2024-09-07 09:29:00:963,115875,1.6,115709,1.3,232016,2.2,310593,3.25 57,1,2024-09-07 09:29:00:988,813362,813362,0,0,382226168637,4010132268,802609,8688,2065,366,392032,0 57,2,2024-09-07 09:29:01:315,588060,588060,0,0,28795967,0,4317 57,3,2024-09-07 09:29:01:738,1,464,8,0,455,5326,464,0 58,0,2024-09-07 09:29:00:571,111785,0.9,108631,1.0,227054,1.1,297699,2.50 58,1,2024-09-07 09:29:00:574,814163,814160,0,3,382808623005,4010483389,801946,9446,2768,367,391603,3 58,2,2024-09-07 09:29:01:078,588245,588245,0,0,26656453,0,2902 58,3,2024-09-07 09:29:01:073,1,464,1,0,1043,4888,464,0 59,0,2024-09-07 09:29:01:776,117725,0.8,117333,0.9,234347,0.9,310985,2.75 59,1,2024-09-07 09:29:00:811,813820,813820,0,0,383051477797,4016803030,801587,9996,2237,369,391653,0 59,2,2024-09-07 09:29:00:599,583904,583904,0,0,26433414,0,3727 59,3,2024-09-07 09:29:01:737,1,464,1,0,1015,6218,464,0 60,0,2024-09-07 09:29:01:705,117119,0.5,117166,0.7,234932,0.5,312308,1.75 60,1,2024-09-07 09:29:00:777,817313,817313,0,0,384384048452,3986781734,812096,4494,723,370,392031,0 60,2,2024-09-07 09:29:01:148,586373,586373,0,0,24336795,0,3811 60,3,2024-09-07 09:29:01:264,1,464,1,0,409,5883,464,0 61,0,2024-09-07 09:29:01:504,116871,0.7,117577,0.8,234141,0.7,312038,2.00 61,1,2024-09-07 09:29:00:797,814679,814679,0,0,382800570263,4007464702,806012,7220,1447,382,392127,0 61,2,2024-09-07 09:29:01:121,587179,587112,67,0,24841934,0,6411 61,3,2024-09-07 09:29:01:687,1,464,8,0,479,6163,464,0 62,0,2024-09-07 09:29:01:707,114760,0.5,117727,0.7,224783,0.5,305059,2.00 62,1,2024-09-07 09:29:01:110,819537,819531,0,6,385106710527,3968354029,815686,3583,262,365,391975,6 62,2,2024-09-07 09:29:01:644,589048,589047,1,0,24881086,0,5555 62,3,2024-09-07 09:29:01:148,1,464,1,0,482,3892,464,0 63,0,2024-09-07 09:29:01:482,116488,0.4,116243,0.5,233155,0.3,310016,1.75 63,1,2024-09-07 09:29:00:818,817021,817015,0,6,383941762109,3983874113,811823,4459,733,381,391800,6 63,2,2024-09-07 09:29:00:769,584811,584811,0,0,22588559,0,4369 63,3,2024-09-07 09:29:01:732,1,464,1,0,667,4692,464,0 64,0,2024-09-07 09:29:01:538,115568,0.5,115688,0.6,230678,0.4,306731,1.75 64,1,2024-09-07 09:29:00:773,816516,816516,0,0,382760687143,3984293526,809716,5096,1704,370,391783,0 64,2,2024-09-07 09:29:01:149,589626,589607,19,0,22390912,0,6121 64,3,2024-09-07 09:29:01:148,1,464,1,0,265,4321,464,0 65,0,2024-09-07 09:29:01:672,116111,0.7,116407,0.8,232408,0.7,309468,2.00 65,1,2024-09-07 09:29:00:871,814339,814339,0,0,382399990975,3992341126,809205,4593,541,381,391901,0 65,2,2024-09-07 09:29:01:695,586993,586993,0,0,26583193,0,3367 65,3,2024-09-07 09:29:01:690,1,464,13,0,782,5650,464,0 66,0,2024-09-07 09:29:01:769,115043,0.5,114826,0.7,229601,0.5,305465,2.00 66,1,2024-09-07 09:29:01:293,816534,816534,0,0,383113273295,3986901095,811028,4980,526,380,391743,0 66,2,2024-09-07 09:29:01:131,592518,592515,3,0,24092567,0,5455 66,3,2024-09-07 09:29:01:090,1,464,11,0,291,3934,464,0 67,0,2024-09-07 09:29:01:421,113904,0.4,113623,0.6,227965,0.4,303669,2.00 67,1,2024-09-07 09:29:00:768,816330,816329,0,1,382987189276,3986140203,810810,4725,794,380,391787,1 67,2,2024-09-07 09:29:00:583,587575,587560,15,0,22861803,0,6205 67,3,2024-09-07 09:29:01:751,1,464,38,0,392,4433,464,0 68,0,2024-09-07 09:29:00:593,116997,0.5,116890,0.7,232790,0.5,310789,2.00 68,1,2024-09-07 09:29:00:679,812793,812793,0,0,380932274867,4007854271,801450,8007,3336,381,391953,0 68,2,2024-09-07 09:29:01:046,582623,582523,100,0,29623396,0,8578 68,3,2024-09-07 09:29:00:727,1,464,1,0,417,5494,464,0 69,0,2024-09-07 09:29:01:738,117535,0.7,118308,0.8,235776,0.8,312651,2.25 69,1,2024-09-07 09:29:01:054,812548,812548,0,0,381542719977,4018438785,802182,8092,2274,384,391994,0 69,2,2024-09-07 09:29:01:733,585533,585504,29,0,31708094,0,6912 69,3,2024-09-07 09:29:00:769,1,464,12,0,698,7093,464,0 70,0,2024-09-07 09:29:01:545,115823,0.9,116235,1.0,233820,0.8,309509,2.50 70,1,2024-09-07 09:29:00:807,816486,816486,0,0,383679330491,3980948694,809997,5788,701,366,391725,0 70,2,2024-09-07 09:29:01:339,588995,588995,0,0,26609935,0,4323 70,3,2024-09-07 09:29:00:751,1,464,1,0,854,5337,464,0 71,0,2024-09-07 09:29:01:380,112064,0.9,111933,1.0,225012,1.1,300602,2.50 71,1,2024-09-07 09:29:01:599,814667,814667,0,0,382888265299,3994095390,805084,8502,1081,368,391738,0 71,2,2024-09-07 09:29:01:074,586760,586760,0,0,26438742,0,4352 71,3,2024-09-07 09:29:01:755,1,464,3,0,644,5722,464,0 72,0,2024-09-07 09:29:01:073,122098,0.6,119400,0.7,232786,0.5,316185,2.00 72,1,2024-09-07 09:29:01:030,813365,813365,0,0,382020863921,4007536164,801574,9708,2083,369,391819,0 72,2,2024-09-07 09:29:01:778,583746,583746,0,0,28652336,0,3983 72,3,2024-09-07 09:29:01:756,1,464,2,0,564,6727,464,0 73,0,2024-09-07 09:29:01:115,115024,0.4,118033,0.6,241178,0.4,313660,2.00 73,1,2024-09-07 09:29:00:775,815525,815525,0,0,382979859694,3977722783,809651,5452,422,367,391858,0 73,2,2024-09-07 09:29:01:749,585580,585580,0,0,28705442,0,4291 73,3,2024-09-07 09:29:00:977,1,464,22,0,486,5946,464,0 74,0,2024-09-07 09:29:01:336,118435,0.5,121119,0.7,230953,0.5,313734,2.25 74,1,2024-09-07 09:29:00:639,814679,814679,0,0,382678286418,3995120488,805620,7293,1766,381,391762,0 74,2,2024-09-07 09:29:01:012,586715,586715,0,0,25667003,0,4253 74,3,2024-09-07 09:29:01:445,1,464,1,0,522,5753,464,0 75,0,2024-09-07 09:29:01:770,114205,0.5,113379,0.7,227608,0.5,304386,2.25 75,1,2024-09-07 09:29:01:589,815437,815437,0,0,382451970879,3987231332,808349,6258,830,380,391739,0 75,2,2024-09-07 09:29:01:362,588882,588882,0,0,29236703,0,4766 75,3,2024-09-07 09:29:01:069,1,464,5,0,702,5804,464,0 76,0,2024-09-07 09:29:00:606,116381,0.5,115678,0.7,232129,0.4,309950,2.00 76,1,2024-09-07 09:29:00:822,814875,814875,0,0,382305619718,3985338177,809394,4653,828,382,391692,0 76,2,2024-09-07 09:29:01:074,587105,587104,1,0,24623973,0,5144 76,3,2024-09-07 09:29:01:145,1,464,13,0,175,4313,464,0 77,0,2024-09-07 09:29:01:693,116239,0.5,116595,0.7,233523,0.5,309932,2.00 77,1,2024-09-07 09:29:00:861,816005,816005,0,0,383204986019,3995996496,809993,5504,508,381,391869,0 77,2,2024-09-07 09:29:01:286,585838,585838,0,0,24377893,0,3890 77,3,2024-09-07 09:29:01:099,1,464,13,0,401,5052,464,0 78,0,2024-09-07 09:29:01:717,117197,0.4,116564,0.6,234111,0.4,310342,2.00 78,1,2024-09-07 09:29:00:613,816331,816331,0,0,381905191957,3976364661,808248,6514,1569,367,391670,0 78,2,2024-09-07 09:29:01:415,588119,588106,13,0,23007198,0,8313 78,3,2024-09-07 09:29:01:133,1,464,1,0,181,3987,464,0 79,0,2024-09-07 09:29:01:347,110657,0.4,113312,0.6,232038,0.3,302058,2.00 79,1,2024-09-07 09:29:00:571,817553,817553,0,0,383294357836,3970744847,810891,5353,1309,367,391682,0 79,2,2024-09-07 09:29:01:079,591591,591591,0,0,22350672,0,4195 79,3,2024-09-07 09:29:00:763,1,464,1,0,418,5834,464,0 80,0,2024-09-07 09:29:01:109,114935,0.5,118253,0.6,226127,0.4,306035,2.00 80,1,2024-09-07 09:29:01:620,815242,815242,0,0,382809911188,3985042387,809614,5205,423,368,392269,0 80,2,2024-09-07 09:29:01:105,587068,587068,0,0,22549858,0,4433 80,3,2024-09-07 09:29:00:580,1,464,14,0,190,5219,464,0 81,0,2024-09-07 09:29:01:544,116224,0.5,118932,0.7,227124,0.5,308375,2.00 81,1,2024-09-07 09:29:01:655,814343,814343,0,0,382683889031,3995282766,808302,5500,541,382,391879,0 81,2,2024-09-07 09:29:01:133,584695,584632,63,0,25058629,0,5932 81,3,2024-09-07 09:29:01:120,1,464,16,0,719,5460,464,0 82,0,2024-09-07 09:29:01:532,117448,0.5,117681,0.7,235757,0.5,312593,2.00 82,1,2024-09-07 09:29:00:583,816898,816894,0,4,383356873978,3985237226,812148,3927,819,381,391768,4 82,2,2024-09-07 09:29:01:690,588242,588242,0,0,21374044,0,4484 82,3,2024-09-07 09:29:01:753,1,464,1,0,363,4684,464,0 83,0,2024-09-07 09:29:01:525,115982,0.6,115880,0.7,231075,0.6,306780,2.25 83,1,2024-09-07 09:29:00:550,814798,814798,0,0,382279691294,3983464963,809117,5231,450,382,391709,0 83,2,2024-09-07 09:29:00:769,590523,590498,25,0,23045369,0,5612 83,3,2024-09-07 09:29:00:752,1,464,3,0,1260,5850,464,0 84,0,2024-09-07 09:29:01:767,112863,0.7,112944,0.9,225105,0.6,301611,2.25 84,1,2024-09-07 09:29:01:046,814203,814203,0,0,381688357825,3986967401,805923,7227,1053,367,391967,0 84,2,2024-09-07 09:29:00:572,584971,584941,30,0,29725650,0,5971 84,3,2024-09-07 09:29:01:148,1,464,1,0,908,6792,464,0 85,0,2024-09-07 09:29:01:014,113109,0.6,113020,0.8,239859,0.6,310310,2.25 85,1,2024-09-07 09:29:00:559,811924,811924,0,0,381662080795,4024980749,800280,9553,2091,381,392006,0 85,2,2024-09-07 09:29:00:865,584125,584125,0,0,28015567,0,3656 85,3,2024-09-07 09:29:00:696,1,464,9,0,789,5433,464,0 86,0,2024-09-07 09:29:00:877,117948,0.7,121439,0.8,232287,0.7,314314,2.25 86,1,2024-09-07 09:29:00:826,814323,814323,0,0,382938785277,4010006236,804572,8117,1634,366,391961,0 86,2,2024-09-07 09:29:00:862,584390,584389,1,0,30643985,0,5004 86,3,2024-09-07 09:29:00:588,1,464,159,0,308,6807,464,0 87,0,2024-09-07 09:29:01:291,117591,0.9,117285,0.9,234690,1.2,313877,2.50 87,1,2024-09-07 09:29:00:553,812986,812986,0,0,381996790772,4002648642,802084,9244,1658,366,392076,0 87,2,2024-09-07 09:29:01:070,587208,587202,6,0,26926802,0,6323 87,3,2024-09-07 09:29:01:800,1,464,101,0,473,7150,464,0 88,0,2024-09-07 09:29:01:439,113222,0.4,113922,0.6,227503,0.4,302543,1.75 88,1,2024-09-07 09:29:00:569,812863,812863,0,0,382037891629,4000726061,802209,8433,2221,365,392084,0 88,2,2024-09-07 09:29:00:688,588997,588997,0,0,29555470,0,4465 88,3,2024-09-07 09:29:01:267,1,464,277,0,435,5726,464,0 89,0,2024-09-07 09:29:01:772,121599,0.4,117777,0.6,232811,0.4,317382,1.75 89,1,2024-09-07 09:29:00:552,812514,812514,0,0,381860963123,4013503966,802554,8488,1472,382,391866,0 89,2,2024-09-07 09:29:01:132,584040,584040,0,0,27366597,0,3173 89,3,2024-09-07 09:29:01:791,1,464,16,0,468,7859,464,0 90,0,2024-09-07 09:29:01:662,113798,0.4,116882,0.6,238352,0.4,311219,2.00 90,1,2024-09-07 09:29:00:596,814011,814011,0,0,382578754706,4004547724,806436,6925,650,380,391825,0 90,2,2024-09-07 09:29:01:412,583786,583786,0,0,29500405,0,3608 90,3,2024-09-07 09:29:00:936,1,464,2,0,322,5527,464,0 91,0,2024-09-07 09:29:00:973,117770,0.5,113957,0.6,238104,0.5,312723,1.75 91,1,2024-09-07 09:29:00:561,812146,812146,0,0,382142284791,4017632022,801878,8627,1641,381,392047,0 91,2,2024-09-07 09:29:01:331,587663,587663,0,0,26190892,0,3526 91,3,2024-09-07 09:29:00:597,1,464,6,0,216,4618,464,0 92,0,2024-09-07 09:29:01:456,115378,0.4,118104,0.6,225380,0.4,305257,1.75 92,1,2024-09-07 09:29:00:580,815204,815204,0,0,381984671029,3988456945,808957,5176,1071,381,392136,0 92,2,2024-09-07 09:29:01:353,591785,591785,0,0,23058820,0,3259 92,3,2024-09-07 09:29:01:019,1,464,56,0,167,4122,464,0 93,0,2024-09-07 09:29:00:969,116838,0.4,119772,0.5,228673,0.3,310218,1.75 93,1,2024-09-07 09:29:00:812,815253,815253,0,0,383074803658,3995436224,807036,6829,1388,366,391776,0 93,2,2024-09-07 09:29:00:931,584543,584543,0,0,27462267,0,4845 93,3,2024-09-07 09:29:01:409,1,464,8,0,190,4110,464,0 94,0,2024-09-07 09:29:01:608,115638,0.3,116510,0.5,232583,0.3,308295,1.75 94,1,2024-09-07 09:29:00:592,815215,815215,0,0,383558832961,3997447015,810363,4642,210,381,391850,0 94,2,2024-09-07 09:29:00:773,585803,585803,0,0,23131952,0,2443 94,3,2024-09-07 09:29:01:688,1,464,1,0,576,6048,464,0 95,0,2024-09-07 09:29:01:371,117094,0.4,116894,0.5,234413,0.3,312003,1.75 95,1,2024-09-07 09:29:00:853,816632,816632,0,0,383117771879,3978775760,810429,5765,438,365,391786,0 95,2,2024-09-07 09:29:01:024,586662,586662,0,0,23074700,0,3308 95,3,2024-09-07 09:29:01:711,1,464,26,0,718,6440,464,0 96,0,2024-09-07 09:29:01:035,115485,0.4,115711,0.5,231180,0.3,306415,1.75 96,1,2024-09-07 09:29:01:594,814812,814812,0,0,382580026425,3989648140,808932,4973,907,384,391955,0 96,2,2024-09-07 09:29:01:268,591718,591718,0,0,23859517,0,4180 96,3,2024-09-07 09:29:01:146,1,464,3,0,411,5070,464,0 97,0,2024-09-07 09:29:01:312,113950,0.3,113441,0.4,227788,0.2,303398,1.50 97,1,2024-09-07 09:29:00:768,816308,816308,0,0,384364333167,3984445733,811123,4249,936,367,392140,0 97,2,2024-09-07 09:29:00:608,585980,585980,0,0,22948685,0,3679 97,3,2024-09-07 09:29:00:569,1,464,8,0,242,5512,464,0 98,0,2024-09-07 09:29:01:700,116303,0.3,116704,0.4,233930,0.2,310481,1.50 98,1,2024-09-07 09:29:00:571,816090,816090,0,0,383109750530,3988927949,811013,4284,793,382,391997,0 98,2,2024-09-07 09:29:00:770,586105,586105,0,0,23026909,0,4336 98,3,2024-09-07 09:29:00:706,1,464,0,0,840,7306,464,0 99,0,2024-09-07 09:29:01:452,118159,0.3,118744,0.5,236268,0.3,315258,1.75 99,1,2024-09-07 09:29:01:724,816067,816067,0,0,382904569710,3982513731,811067,4163,837,380,392069,0 99,2,2024-09-07 09:29:01:420,588010,588010,0,0,27394454,0,4276 99,3,2024-09-07 09:29:00:581,1,464,2,0,606,4897,464,0 100,0,2024-09-07 09:29:01:473,116854,0.8,117246,0.9,233646,0.9,312166,2.50 100,1,2024-09-07 09:29:00:555,811171,811171,0,0,381122388312,4029980087,798265,9957,2949,378,391989,0 100,2,2024-09-07 09:29:01:817,586917,586906,11,0,27140606,0,5417 100,3,2024-09-07 09:29:01:731,1,464,1,0,559,7382,464,0 101,0,2024-09-07 09:29:01:705,115581,1.1,112599,1.0,220661,0.9,302368,2.25 101,1,2024-09-07 09:29:00:556,812095,812095,0,0,381642861265,4012649709,800413,9315,2367,368,391847,0 101,2,2024-09-07 09:29:01:756,583683,583683,0,0,31948850,0,4871 101,3,2024-09-07 09:29:00:944,1,464,9,0,1250,7410,464,0 102,0,2024-09-07 09:29:00:941,115106,0.6,118652,0.8,240757,0.6,314707,2.00 102,1,2024-09-07 09:29:01:147,812097,812097,0,0,381519979624,4008747864,801041,9115,1941,369,391891,0 102,2,2024-09-07 09:29:01:740,585580,585526,54,0,25730774,0,6768 102,3,2024-09-07 09:29:01:617,1,464,36,0,466,5028,464,0 103,0,2024-09-07 09:29:01:645,121877,0.6,121907,0.7,229667,0.5,316111,2.00 103,1,2024-09-07 09:29:01:637,811668,811668,0,0,381403610359,4026967112,798593,9883,3192,381,391862,0 103,2,2024-09-07 09:29:00:592,584522,584522,0,0,28643517,0,3766 103,3,2024-09-07 09:29:00:765,1,464,2,0,916,5382,464,0 104,0,2024-09-07 09:29:01:011,116549,0.7,116902,0.9,232724,0.7,311781,2.25 104,1,2024-09-07 09:29:01:611,813564,813564,0,0,382402498988,4018682002,801218,9803,2543,365,392168,0 104,2,2024-09-07 09:29:01:670,585221,585221,0,0,27593997,0,3941 104,3,2024-09-07 09:29:01:431,1,464,9,0,1245,8824,464,0 105,0,2024-09-07 09:29:01:031,112522,0.8,109595,1.0,229677,0.9,302012,2.75 105,1,2024-09-07 09:29:00:553,815179,815179,0,0,382414554318,4006417997,804848,8729,1602,366,392009,0 105,2,2024-09-07 09:29:01:322,588276,588276,0,0,27536127,0,3509 105,3,2024-09-07 09:29:01:304,1,464,8,0,399,6854,464,0 106,0,2024-09-07 09:29:00:942,112783,0.7,115580,0.8,236736,0.7,309325,2.25 106,1,2024-09-07 09:29:01:755,813683,813683,0,0,381783854647,4006798465,801856,10187,1640,368,391914,0 106,2,2024-09-07 09:29:00:763,583679,583679,0,0,26259002,0,2920 106,3,2024-09-07 09:29:00:677,1,464,1,0,470,6042,464,0 107,0,2024-09-07 09:29:01:105,116461,0.8,116713,0.9,233133,0.9,310993,2.25 107,1,2024-09-07 09:29:00:588,812241,812241,0,0,382101519032,4025404669,801201,9965,1075,381,392234,0 107,2,2024-09-07 09:29:01:295,583884,583883,1,0,28501920,0,5024 107,3,2024-09-07 09:29:01:755,1,464,1,0,370,6784,464,0 108,0,2024-09-07 09:29:01:766,116567,0.5,117512,0.6,233614,0.4,311716,1.75 108,1,2024-09-07 09:29:01:293,815310,815310,0,0,382417697283,3985270613,808902,5658,750,367,391857,0 108,2,2024-09-07 09:29:01:755,585986,585986,0,0,25760782,0,4246 108,3,2024-09-07 09:29:01:330,1,464,7,0,749,8830,464,0 109,0,2024-09-07 09:29:01:747,115172,0.4,114290,0.6,228917,0.3,306284,1.75 109,1,2024-09-07 09:29:00:599,812465,812465,0,0,382065514095,4007580703,804869,6388,1208,382,392132,0 109,2,2024-09-07 09:29:00:921,588662,588662,0,0,25275373,0,3617 109,3,2024-09-07 09:29:01:149,1,464,15,0,379,5371,464,0 110,0,2024-09-07 09:29:01:770,114746,0.3,111689,0.5,233964,0.3,306772,1.75 110,1,2024-09-07 09:29:01:650,816287,816287,0,0,383058608328,3973262957,810713,4369,1205,369,392045,0 110,2,2024-09-07 09:29:01:309,585359,585359,0,0,24072783,0,4067 110,3,2024-09-07 09:29:00:711,1,464,34,0,722,6529,464,0 111,0,2024-09-07 09:29:01:414,116574,0.3,115812,0.5,232230,0.3,309552,1.75 111,1,2024-09-07 09:29:01:004,817530,817530,0,0,385081068680,3990513792,813597,3577,356,380,391690,0 111,2,2024-09-07 09:29:01:118,584894,584894,0,0,23826977,0,4823 111,3,2024-09-07 09:29:00:914,1,464,37,0,379,5562,464,0 112,0,2024-09-07 09:29:00:917,118474,0.3,117874,0.4,236256,0.2,313626,1.50 112,1,2024-09-07 09:29:00:834,816139,816139,0,0,383153048417,3973838927,811406,4120,613,380,391624,0 112,2,2024-09-07 09:29:01:133,587093,587092,1,0,23240618,0,5036 112,3,2024-09-07 09:29:00:592,1,464,6,0,282,4584,464,0 113,0,2024-09-07 09:29:00:881,116059,0.3,116052,0.5,232448,0.2,308765,1.75 113,1,2024-09-07 09:29:01:688,818804,818804,0,0,384943879869,3967992506,814907,3307,590,366,391661,0 113,2,2024-09-07 09:29:01:303,592514,592514,0,0,21127783,0,3813 113,3,2024-09-07 09:29:00:684,1,464,8,0,340,5030,464,0 114,0,2024-09-07 09:29:00:873,113826,0.3,114639,0.4,227743,0.2,304770,1.75 114,1,2024-09-07 09:29:00:738,816800,816800,0,0,383693344630,3983564932,810464,4720,1616,381,391565,0 114,2,2024-09-07 09:29:00:873,587998,587997,1,0,22054821,0,5069 114,3,2024-09-07 09:29:01:277,1,464,2,0,395,3798,464,0 115,0,2024-09-07 09:29:00:562,117978,0.3,118393,0.4,236237,0.2,313535,1.50 115,1,2024-09-07 09:29:00:571,816728,816728,0,0,384045339898,3988515728,810171,5289,1268,382,391757,0 115,2,2024-09-07 09:29:01:136,586915,586915,0,0,22068398,0,4382 115,3,2024-09-07 09:29:01:012,1,464,1,0,159,2569,464,0 116,0,2024-09-07 09:29:01:721,117841,0.7,117736,0.8,236175,0.7,315473,2.00 116,1,2024-09-07 09:29:00:819,812437,812437,0,0,382096971118,4025002050,802819,7216,2402,380,392089,0 116,2,2024-09-07 09:29:01:752,585247,585247,0,0,28560322,0,4128 116,3,2024-09-07 09:29:00:915,1,464,2,0,415,5235,464,0 117,0,2024-09-07 09:29:00:950,117887,0.7,117331,0.8,235096,0.8,314216,2.00 117,1,2024-09-07 09:29:01:585,813601,813601,0,0,381842065928,3994516628,805254,7367,980,369,392033,0 117,2,2024-09-07 09:29:01:122,590107,590107,0,0,24842502,0,4303 117,3,2024-09-07 09:29:01:059,1,464,8,0,490,6705,464,0 118,0,2024-09-07 09:29:01:773,110064,0.5,113003,0.7,230721,0.5,301471,2.00 118,1,2024-09-07 09:29:00:611,812929,812929,0,0,381804934257,4012671247,800791,9118,3020,366,392054,0 118,2,2024-09-07 09:29:01:589,587871,587871,0,0,27023763,0,2842 118,3,2024-09-07 09:29:01:769,1,464,3,0,248,5242,464,0 119,0,2024-09-07 09:29:01:354,117917,0.6,118206,0.8,236401,0.6,315205,2.00 119,1,2024-09-07 09:29:00:553,813724,813724,0,0,381865006029,3999011507,803506,8822,1396,367,391857,0 119,2,2024-09-07 09:29:01:265,585389,585389,0,0,25052644,0,4174 119,3,2024-09-07 09:29:01:331,1,464,2,0,1358,8891,464,0 120,0,2024-09-07 09:29:01:555,116591,0.6,116337,0.8,233577,0.7,311614,2.25 120,1,2024-09-07 09:29:00:863,813808,813808,0,0,381904427590,4004131525,805273,7765,770,368,392144,0 120,2,2024-09-07 09:29:00:770,584845,584844,1,0,29739877,0,5281 120,3,2024-09-07 09:29:01:290,1,464,27,0,279,5794,464,0 121,0,2024-09-07 09:29:01:694,117196,1.3,116781,1.1,234120,1.8,311985,2.50 121,1,2024-09-07 09:29:01:663,814422,814422,0,0,382920516550,4001593476,806811,7060,551,367,391840,0 121,2,2024-09-07 09:29:01:134,586011,586011,0,0,28067867,0,4157 121,3,2024-09-07 09:29:00:733,1,464,8,0,269,5407,464,0 122,0,2024-09-07 09:29:01:886,113827,0.7,110903,0.8,232516,0.8,305037,2.00 122,1,2024-09-07 09:29:00:863,813017,813017,0,0,382974490489,4016722602,801899,9414,1704,366,392130,0 122,2,2024-09-07 09:29:01:323,590625,590552,73,0,31169882,0,5989 122,3,2024-09-07 09:29:00:594,1,464,136,0,512,8234,464,0 123,0,2024-09-07 09:29:00:971,115414,0.8,112368,0.8,235511,0.8,308377,2.00 123,1,2024-09-07 09:29:00:558,812873,812873,0,0,381812785085,4018293428,799025,11402,2446,369,392039,0 123,2,2024-09-07 09:29:01:026,582599,582598,1,0,26215121,0,5215 123,3,2024-09-07 09:29:01:132,1,464,1,0,478,5287,464,0 124,0,2024-09-07 09:29:00:950,119445,0.3,119441,0.5,225095,0.3,309186,1.75 124,1,2024-09-07 09:29:01:026,816546,816546,0,0,383215563665,3980250378,811131,4362,1053,367,392178,0 124,2,2024-09-07 09:29:01:017,586527,586474,53,0,23588185,0,6487 124,3,2024-09-07 09:29:00:757,1,464,355,0,490,4714,464,0 125,0,2024-09-07 09:29:01:420,117010,0.4,116799,0.6,234402,0.4,311938,1.75 125,1,2024-09-07 09:29:00:870,814590,814590,0,0,382783919171,3992687226,808654,5262,674,382,391813,0 125,2,2024-09-07 09:29:01:118,588510,588510,0,0,24209764,0,4534 125,3,2024-09-07 09:29:01:127,1,464,10,0,709,5604,464,0 126,0,2024-09-07 09:29:01:425,115456,0.4,118792,0.6,227180,0.4,307136,1.75 126,1,2024-09-07 09:29:00:557,816978,816978,0,0,384075945883,3974831361,812810,3874,294,365,391987,0 126,2,2024-09-07 09:29:00:611,591668,591668,0,0,24895991,0,4539 126,3,2024-09-07 09:29:00:916,1,464,4,0,268,5417,464,0 127,0,2024-09-07 09:29:01:610,113865,0.3,114327,0.5,227992,0.2,303732,1.50 127,1,2024-09-07 09:29:00:586,816076,816076,0,0,383114912074,3982086109,808437,6402,1237,364,392187,0 127,2,2024-09-07 09:29:00:643,584587,584587,0,0,22307622,0,3897 127,3,2024-09-07 09:29:01:267,1,464,38,0,968,4834,464,0 128,0,2024-09-07 09:29:01:525,117222,0.3,117321,0.4,234347,0.2,311233,1.50 128,1,2024-09-07 09:29:01:612,815110,815110,0,0,383427721418,3977011154,810178,4499,433,367,392031,0 128,2,2024-09-07 09:29:01:385,587024,587024,0,0,21758195,0,3171 128,3,2024-09-07 09:29:00:772,1,464,9,0,1082,7090,464,0 129,0,2024-09-07 09:29:00:993,119152,0.3,118631,0.5,237544,0.3,315667,1.50 129,1,2024-09-07 09:29:00:568,813416,813416,0,0,381256636032,3992272747,805634,6108,1674,379,391962,0 129,2,2024-09-07 09:29:00:696,587752,587748,4,0,24043119,0,5335 129,3,2024-09-07 09:29:00:696,1,464,249,0,506,6850,464,0 130,0,2024-09-07 09:29:01:741,117917,0.5,117431,0.6,236080,0.5,313521,1.75 130,1,2024-09-07 09:29:00:583,816492,816492,0,0,383368319834,3981111551,812353,3800,339,381,391825,0 130,2,2024-09-07 09:29:01:127,590244,590244,0,0,23831335,0,4067 130,3,2024-09-07 09:29:01:292,1,464,8,0,960,6889,464,0 131,0,2024-09-07 09:29:01:924,113203,0.3,113575,0.5,227936,0.3,303010,1.50 131,1,2024-09-07 09:29:01:828,815756,815756,0,0,382677011722,3992390643,809764,4938,1054,381,391865,0 131,2,2024-09-07 09:29:00:567,589598,589598,0,0,21768756,0,3979 131,3,2024-09-07 09:29:01:696,1,464,31,0,392,5005,464,0 132,0,2024-09-07 09:29:01:424,118362,0.4,119447,0.6,237626,0.4,315687,1.75 132,1,2024-09-07 09:29:00:596,811681,811681,0,0,382222483822,4022495553,799959,9590,2132,381,392532,0 132,2,2024-09-07 09:29:00:702,584750,584733,17,0,28729685,0,6451 132,3,2024-09-07 09:29:01:695,1,464,13,0,804,7938,464,0 133,0,2024-09-07 09:29:01:531,115013,0.4,117753,0.6,241392,0.4,314364,1.75 133,1,2024-09-07 09:29:00:599,811932,811932,0,0,381596074799,4021220917,800642,9674,1616,383,391914,0 133,2,2024-09-07 09:29:01:094,586013,585963,50,0,30004302,0,6861 133,3,2024-09-07 09:29:01:298,1,464,8,0,479,4812,464,0 134,0,2024-09-07 09:29:00:943,118003,0.6,117638,0.7,235539,0.5,314045,2.00 134,1,2024-09-07 09:29:00:591,813247,813247,0,0,381866923457,4002211082,803070,8108,2069,366,391718,0 134,2,2024-09-07 09:29:01:766,585905,585881,24,0,27996488,0,6207 134,3,2024-09-07 09:29:00:758,1,464,1,0,739,5709,464,0 135,0,2024-09-07 09:29:01:097,110233,0.7,110237,0.8,233954,0.8,301785,2.25 135,1,2024-09-07 09:29:01:595,813459,813459,0,0,382844428502,4024474135,803103,8978,1378,380,391805,0 135,2,2024-09-07 09:29:00:697,589880,589880,0,0,27468260,0,3981 135,3,2024-09-07 09:29:01:012,1,464,1,0,299,4037,464,0 136,0,2024-09-07 09:29:01:625,117287,0.5,117480,0.7,233941,0.4,311734,2.00 136,1,2024-09-07 09:29:01:449,814485,814485,0,0,381441865230,3999016195,805177,8170,1138,381,391685,0 136,2,2024-09-07 09:29:01:133,586066,586066,0,0,25993417,0,3506 136,3,2024-09-07 09:29:01:106,1,464,2,0,637,5375,464,0 137,0,2024-09-07 09:29:01:022,120374,0.6,117230,0.7,229885,0.5,312264,2.00 137,1,2024-09-07 09:29:00:582,812492,812492,0,0,381656460860,4004530050,799586,10238,2668,366,391898,0 137,2,2024-09-07 09:29:01:706,584199,584199,0,0,29229694,0,3185 137,3,2024-09-07 09:29:00:772,1,464,74,0,484,5691,464,0 138,0,2024-09-07 09:29:01:765,116456,0.9,116423,0.9,233720,1.2,310199,2.25 138,1,2024-09-07 09:29:01:685,813573,813573,0,0,382703548792,4011979304,802421,9341,1811,368,391954,0 138,2,2024-09-07 09:29:00:586,585931,585931,0,0,27175727,0,4988 138,3,2024-09-07 09:29:00:611,1,464,1,0,1200,7428,464,0 139,0,2024-09-07 09:29:01:370,113654,1.4,113811,1.1,228113,2.1,304743,2.50 139,1,2024-09-07 09:29:00:575,809755,809755,0,0,379866779428,4033932192,794401,11909,3445,380,392058,0 139,2,2024-09-07 09:29:00:704,586774,586774,0,0,30217702,0,3097 139,3,2024-09-07 09:29:01:672,1,464,5,0,432,5486,464,0 140,0,2024-09-07 09:29:01:589,115404,0.3,114725,0.5,230213,0.2,307088,1.75 140,1,2024-09-07 09:29:01:554,818389,818389,0,0,385226890404,3969753790,814507,3421,461,365,391606,0 140,2,2024-09-07 09:29:00:696,586316,586315,1,0,22449801,0,5036 140,3,2024-09-07 09:29:00:777,1,464,7,0,297,3890,464,0 141,0,2024-09-07 09:29:01:718,116447,0.3,119482,0.4,228212,0.2,309231,1.50 141,1,2024-09-07 09:29:00:866,817254,817254,0,0,383971312272,3985765771,811293,5004,957,379,391614,0 141,2,2024-09-07 09:29:01:686,585912,585912,0,0,22836375,0,3360 141,3,2024-09-07 09:29:01:045,1,464,26,0,391,5135,464,0 142,0,2024-09-07 09:29:01:307,118531,0.3,117799,0.5,235781,0.3,314276,1.75 142,1,2024-09-07 09:29:00:591,815535,815535,0,0,382401922272,3982424559,810733,4399,403,382,392102,0 142,2,2024-09-07 09:29:01:299,586751,586719,32,0,24633627,0,6028 142,3,2024-09-07 09:29:01:746,1,464,51,0,484,5097,464,0 143,0,2024-09-07 09:29:01:391,116016,0.4,116148,0.6,232638,0.4,308759,1.75 143,1,2024-09-07 09:29:00:556,816871,816871,0,0,383851575674,3981734607,811400,4922,549,367,391705,0 143,2,2024-09-07 09:29:00:775,591092,591092,0,0,23911339,0,3123 143,3,2024-09-07 09:29:01:140,1,464,2,0,462,6097,464,0 144,0,2024-09-07 09:29:01:526,110092,0.6,113086,0.8,230671,0.5,302356,2.00 144,1,2024-09-07 09:29:00:566,813014,813014,0,0,382176229793,4007361602,805055,6120,1839,381,391733,0 144,2,2024-09-07 09:29:01:756,587121,587121,0,0,22248133,0,3673 144,3,2024-09-07 09:29:01:744,1,464,4,0,249,4545,464,0 145,0,2024-09-07 09:29:01:366,113709,0.6,113710,0.8,241216,0.5,310399,2.25 145,1,2024-09-07 09:29:00:552,812186,812186,0,0,381491475585,4013011577,801430,8888,1868,382,391759,0 145,2,2024-09-07 09:29:01:430,583085,583004,81,0,27869532,0,7814 145,3,2024-09-07 09:29:00:896,1,464,8,0,622,6428,464,0 146,0,2024-09-07 09:29:01:606,118098,0.6,117622,0.7,236607,0.6,313980,2.25 146,1,2024-09-07 09:29:01:590,813468,813468,0,0,381781172598,4015169532,800187,9753,3528,367,391770,0 146,2,2024-09-07 09:29:01:696,584646,584646,0,0,26611007,0,3290 146,3,2024-09-07 09:29:01:275,1,464,2,0,1520,8220,464,0 147,0,2024-09-07 09:29:01:701,117836,0.6,117550,0.8,234533,0.6,313744,2.25 147,1,2024-09-07 09:29:01:384,816756,816756,0,0,384007271269,3990817561,809928,5979,849,368,391791,0 147,2,2024-09-07 09:29:01:013,587938,587938,0,0,23901194,0,2968 147,3,2024-09-07 09:29:00:914,1,464,1,0,1626,7787,464,0 0,0,2024-09-07 09:29:11:754,114209,0.6,114202,0.7,242301,0.6,313312,2.00 0,1,2024-09-07 09:29:10:801,815324,815324,0,0,383428970718,4011952345,809150,5658,516,369,391896,0 0,2,2024-09-07 09:29:11:073,588326,588326,0,0,23762822,0,4480 0,3,2024-09-07 09:29:10:995,1,465,7,0,431,6751,465,0 1,0,2024-09-07 09:29:11:780,117289,1.1,116737,1.0,233945,1.5,312776,2.00 1,1,2024-09-07 09:29:10:558,815133,815133,0,0,382637568955,4001975802,808404,5399,1330,370,391859,0 1,2,2024-09-07 09:29:10:646,587952,587952,0,0,23396629,0,3380 1,3,2024-09-07 09:29:11:307,1,465,12,0,269,5908,465,0 2,0,2024-09-07 09:29:11:579,114401,0.6,114352,0.7,228301,0.6,304615,2.00 2,1,2024-09-07 09:29:10:869,818120,818120,0,0,384453755438,3987555856,814790,3035,295,380,391745,0 2,2,2024-09-07 09:29:11:270,592600,592600,0,0,21980730,0,3594 2,3,2024-09-07 09:29:10:690,1,465,27,0,357,4298,465,0 3,0,2024-09-07 09:29:11:755,116541,0.4,116284,0.6,232725,0.3,310033,2.00 3,1,2024-09-07 09:29:11:621,816409,816409,0,0,383868670368,3995007997,809876,5838,695,379,391716,0 3,2,2024-09-07 09:29:11:162,586848,586825,23,0,23017635,0,5851 3,3,2024-09-07 09:29:11:753,1,465,0,0,207,2989,465,0 4,0,2024-09-07 09:29:11:808,112745,0.4,115905,0.5,236222,0.3,308974,1.75 4,1,2024-09-07 09:29:10:600,812992,812992,0,0,382073800703,4042385223,799154,10604,3234,370,391992,0 4,2,2024-09-07 09:29:11:037,584750,584750,0,0,27239613,0,4528 4,3,2024-09-07 09:29:11:030,1,465,6,0,448,6226,465,0 5,0,2024-09-07 09:29:11:429,117011,0.4,117558,0.6,234662,0.4,311809,1.75 5,1,2024-09-07 09:29:10:755,814599,814599,0,0,383096928963,4033246548,802836,9399,2364,367,392005,0 5,2,2024-09-07 09:29:11:833,585189,585189,0,0,27359965,0,3582 5,3,2024-09-07 09:29:11:739,1,465,8,0,457,6382,465,0 6,0,2024-09-07 09:29:10:918,115722,0.4,115312,0.6,230394,0.4,307587,2.00 6,1,2024-09-07 09:29:10:749,816785,816785,0,0,382777145606,3998346689,808206,7153,1426,379,391702,0 6,2,2024-09-07 09:29:11:127,591799,591781,18,0,26612247,0,5535 6,3,2024-09-07 09:29:11:274,1,465,88,0,710,5936,465,0 7,0,2024-09-07 09:29:11:538,113567,0.5,114464,0.6,227909,0.4,303578,2.00 7,1,2024-09-07 09:29:10:861,815043,815043,0,0,382510632869,4015794944,803841,9218,1984,382,391747,0 7,2,2024-09-07 09:29:10:778,586611,586611,0,0,25699445,0,4791 7,3,2024-09-07 09:29:10:878,1,465,8,0,552,5563,465,0 8,0,2024-09-07 09:29:11:368,116968,0.3,116785,0.5,234117,0.3,311432,1.75 8,1,2024-09-07 09:29:11:029,814120,814120,0,0,382504144945,4020342384,801734,9608,2778,366,392853,0 8,2,2024-09-07 09:29:10:790,582310,582310,0,0,30658019,0,3250 8,3,2024-09-07 09:29:10:585,1,465,13,0,538,7376,465,0 9,0,2024-09-07 09:29:11:100,118349,0.4,115050,0.5,240892,0.3,315548,1.75 9,1,2024-09-07 09:29:10:551,813302,813302,0,0,382057821392,4025090211,800974,9861,2467,369,392001,0 9,2,2024-09-07 09:29:11:083,586931,586931,0,0,28040472,0,4615 9,3,2024-09-07 09:29:11:754,1,465,15,0,496,6572,465,0 10,0,2024-09-07 09:29:11:605,117135,0.3,116706,0.5,234354,0.3,311546,1.75 10,1,2024-09-07 09:29:10:583,815649,815649,0,0,382576048621,4010729811,804247,9463,1939,381,391741,0 10,2,2024-09-07 09:29:10:762,590345,590345,0,0,30280472,0,4264 10,3,2024-09-07 09:29:10:871,1,465,0,0,649,5022,465,0 11,0,2024-09-07 09:29:11:017,113545,0.4,110063,0.6,230342,0.4,303926,1.75 11,1,2024-09-07 09:29:10:572,816048,816048,0,0,383169102702,4020511469,803472,9470,3106,383,391756,0 11,2,2024-09-07 09:29:11:135,587405,587405,0,0,26543436,0,4130 11,3,2024-09-07 09:29:11:301,1,465,1,0,843,6369,465,0 12,0,2024-09-07 09:29:10:954,119238,0.4,119176,0.5,238339,0.3,316254,1.75 12,1,2024-09-07 09:29:10:944,816185,816185,0,0,383423166485,3996874419,810002,5581,602,370,391960,0 12,2,2024-09-07 09:29:11:546,586203,586203,0,0,25742951,0,4390 12,3,2024-09-07 09:29:11:063,1,465,0,0,386,6579,465,0 13,0,2024-09-07 09:29:11:355,119235,0.4,118858,0.6,237057,0.4,316235,1.75 13,1,2024-09-07 09:29:11:530,814283,814283,0,0,383021172651,4024143473,806042,6527,1714,382,391740,0 13,2,2024-09-07 09:29:10:596,589155,589155,0,0,23312328,0,3287 13,3,2024-09-07 09:29:11:767,1,465,8,0,522,6602,465,0 14,0,2024-09-07 09:29:10:563,117754,0.4,118693,0.6,235028,0.4,313612,1.75 14,1,2024-09-07 09:29:11:570,819948,819948,0,0,384989626635,3984238860,813522,5714,712,364,391673,0 14,2,2024-09-07 09:29:10:770,588808,588778,30,0,25051677,0,6104 14,3,2024-09-07 09:29:11:132,1,465,2,0,1168,4924,465,0 15,0,2024-09-07 09:29:11:554,113915,0.4,113690,0.6,227919,0.4,303501,2.00 15,1,2024-09-07 09:29:11:615,817024,817024,0,0,384320681555,3999680368,810703,5142,1179,381,391619,0 15,2,2024-09-07 09:29:10:998,592543,592543,0,0,21044584,0,3622 15,3,2024-09-07 09:29:11:406,1,465,0,0,1126,7113,465,0 16,0,2024-09-07 09:29:10:945,117117,0.5,117824,0.7,234765,0.4,312014,2.00 16,1,2024-09-07 09:29:10:569,816762,816762,0,0,383262923740,4003968100,809895,5749,1118,370,391917,0 16,2,2024-09-07 09:29:11:434,585936,585936,0,0,24682747,0,4719 16,3,2024-09-07 09:29:11:149,1,465,358,0,358,5927,465,0 17,0,2024-09-07 09:29:11:781,120547,0.6,117626,0.7,230211,0.6,312800,2.00 17,1,2024-09-07 09:29:10:573,815307,815307,0,0,383032477430,4017726073,807078,6515,1714,368,392075,0 17,2,2024-09-07 09:29:11:677,589402,589401,1,0,25428720,0,5050 17,3,2024-09-07 09:29:10:576,1,465,8,0,518,7304,465,0 18,0,2024-09-07 09:29:10:945,115961,0.7,116473,0.8,232342,0.7,310125,2.25 18,1,2024-09-07 09:29:11:638,818623,818623,0,0,384590150946,3982801099,814328,3857,438,367,391725,0 18,2,2024-09-07 09:29:11:754,590476,590476,0,0,21898595,0,3541 18,3,2024-09-07 09:29:10:896,1,465,1,0,1059,4446,465,0 19,0,2024-09-07 09:29:11:549,114550,0.6,114947,0.8,228086,0.6,303949,2.00 19,1,2024-09-07 09:29:10:572,818649,818649,0,0,384913675276,3989327113,812513,5199,937,367,391777,0 19,2,2024-09-07 09:29:11:752,594284,594284,0,0,21078671,0,3988 19,3,2024-09-07 09:29:11:130,1,465,2,0,524,3890,465,0 20,0,2024-09-07 09:29:11:402,115271,0.5,115277,0.6,230406,0.4,307462,2.00 20,1,2024-09-07 09:29:10:584,815412,815412,0,0,383835942633,4018195988,807420,6694,1298,369,391922,0 20,2,2024-09-07 09:29:10:932,585463,585463,0,0,25043389,0,3721 20,3,2024-09-07 09:29:10:595,1,465,14,0,468,7709,465,0 21,0,2024-09-07 09:29:11:183,116235,0.4,116342,0.6,232715,0.4,308620,1.75 21,1,2024-09-07 09:29:11:546,813374,813374,0,0,382291677357,4033875945,800627,9772,2975,368,392016,0 21,2,2024-09-07 09:29:11:109,583631,583611,20,0,30607704,0,5617 21,3,2024-09-07 09:29:11:422,1,465,9,0,713,6141,465,0 22,0,2024-09-07 09:29:11:725,117758,0.5,118202,0.7,236026,0.4,312621,2.00 22,1,2024-09-07 09:29:11:027,814847,814847,0,0,382178909851,4020868017,801768,10142,2937,382,391675,0 22,2,2024-09-07 09:29:10:763,587008,586982,26,0,25227215,0,6328 22,3,2024-09-07 09:29:11:066,1,465,15,0,228,3721,465,0 23,0,2024-09-07 09:29:11:372,115713,0.5,115180,0.7,230632,0.5,307100,2.25 23,1,2024-09-07 09:29:11:004,816093,816093,0,0,383775148056,4027350058,802228,9712,4153,365,391690,0 23,2,2024-09-07 09:29:11:092,593305,593305,0,0,23921866,0,3773 23,3,2024-09-07 09:29:11:754,1,465,1,0,720,5838,465,0 24,0,2024-09-07 09:29:10:938,114760,0.4,114094,0.5,229161,0.3,304717,1.75 24,1,2024-09-07 09:29:10:582,814905,814905,0,0,381928653218,4001924688,806412,6834,1659,367,392269,0 24,2,2024-09-07 09:29:11:080,586761,586761,0,0,28430252,0,3607 24,3,2024-09-07 09:29:11:694,1,465,23,0,468,6254,465,0 25,0,2024-09-07 09:29:11:368,120917,0.4,117773,0.6,230981,0.4,314335,1.75 25,1,2024-09-07 09:29:10:581,814148,814148,0,0,382777222764,4037333658,800469,10974,2705,371,391928,0 25,2,2024-09-07 09:29:11:605,584588,584588,0,0,29744605,0,3978 25,3,2024-09-07 09:29:11:003,1,465,16,0,532,5194,465,0 26,0,2024-09-07 09:29:11:728,118072,0.4,115458,0.6,242266,0.4,314967,1.75 26,1,2024-09-07 09:29:11:550,816792,816792,0,0,382285467044,4007872476,804479,9900,2413,380,391748,0 26,2,2024-09-07 09:29:10:868,587709,587709,0,0,31041527,0,4689 26,3,2024-09-07 09:29:11:721,1,465,1,0,796,5349,465,0 27,0,2024-09-07 09:29:11:729,118058,0.5,118303,0.6,235284,0.4,314066,2.25 27,1,2024-09-07 09:29:11:682,817842,817842,0,0,384663349114,4007800152,809737,6843,1262,381,391626,0 27,2,2024-09-07 09:29:10:869,586854,586789,65,0,27320291,0,5699 27,3,2024-09-07 09:29:11:021,1,465,0,0,564,4249,465,0 28,0,2024-09-07 09:29:11:387,113996,0.4,113491,0.6,227936,0.3,303835,1.75 28,1,2024-09-07 09:29:10:797,817445,817445,0,0,384297102142,4007924824,810118,5627,1700,382,391698,0 28,2,2024-09-07 09:29:11:765,589900,589900,0,0,23979597,0,2915 28,3,2024-09-07 09:29:11:780,1,465,3,0,502,4813,465,0 29,0,2024-09-07 09:29:11:382,121832,0.4,118799,0.6,232891,0.3,317683,1.75 29,1,2024-09-07 09:29:11:596,819713,819713,0,0,385132630661,3982942921,814754,4224,735,368,391809,0 29,2,2024-09-07 09:29:10:868,586163,586163,0,0,22946580,0,4986 29,3,2024-09-07 09:29:10:964,1,465,12,0,459,5343,465,0 30,0,2024-09-07 09:29:11:481,117177,0.5,113930,0.7,238496,0.5,312139,2.00 30,1,2024-09-07 09:29:10:572,818399,818399,0,0,384751529769,3994552401,811856,5694,849,380,391672,0 30,2,2024-09-07 09:29:11:274,587938,587938,0,0,22569481,0,4192 30,3,2024-09-07 09:29:10:582,1,465,9,0,519,4475,465,0 31,0,2024-09-07 09:29:11:779,116957,0.5,117422,0.7,234458,0.4,312840,2.00 31,1,2024-09-07 09:29:10:564,821591,821591,0,0,385790436144,3952427690,818355,2646,590,356,391712,0 31,2,2024-09-07 09:29:11:291,587401,587401,0,0,24143900,0,3525 31,3,2024-09-07 09:29:11:715,1,465,1,0,220,3987,465,0 32,0,2024-09-07 09:29:11:421,114708,0.3,115259,0.5,229660,0.2,306356,1.50 32,1,2024-09-07 09:29:10:805,818031,818031,0,0,384272324419,3993909151,812892,4457,682,381,391646,0 32,2,2024-09-07 09:29:10:938,592781,592781,0,0,21876859,0,3922 32,3,2024-09-07 09:29:11:035,1,465,1,0,304,3623,465,0 33,0,2024-09-07 09:29:11:491,116800,0.2,116334,0.4,232888,0.2,310360,1.50 33,1,2024-09-07 09:29:10:575,818821,818821,0,0,384938559322,3987796217,812180,5536,1105,368,391730,0 33,2,2024-09-07 09:29:10:761,586980,586945,35,0,23861249,0,7012 33,3,2024-09-07 09:29:10:901,1,465,12,0,329,4380,465,0 34,0,2024-09-07 09:29:10:937,116588,0.3,119665,0.4,228879,0.2,308344,1.75 34,1,2024-09-07 09:29:11:044,820571,820571,0,0,386323238074,3969980057,818579,1974,18,367,391562,0 34,2,2024-09-07 09:29:10:768,588255,588255,0,0,22408227,0,4562 34,3,2024-09-07 09:29:11:691,1,465,1,0,320,3684,465,0 35,0,2024-09-07 09:29:10:858,116413,0.3,117034,0.5,235129,0.3,312559,1.75 35,1,2024-09-07 09:29:11:067,818248,818248,0,0,384862809108,3985895359,813664,3725,859,382,391769,0 35,2,2024-09-07 09:29:11:591,588223,588223,0,0,24379317,0,4055 35,3,2024-09-07 09:29:10:907,1,465,2,0,418,4585,465,0 36,0,2024-09-07 09:29:11:519,115841,0.4,115730,0.6,231399,0.4,307970,2.00 36,1,2024-09-07 09:29:10:583,817485,817485,0,0,383749144785,4006426491,807220,8256,2009,366,391759,0 36,2,2024-09-07 09:29:11:752,592409,592409,0,0,25805980,0,3875 36,3,2024-09-07 09:29:10:868,1,465,0,0,416,6407,465,0 37,0,2024-09-07 09:29:11:386,113783,0.5,113960,0.6,227695,0.4,304239,2.00 37,1,2024-09-07 09:29:10:572,816262,816255,0,7,383275518036,4004075882,806006,7694,2555,365,391770,0 37,2,2024-09-07 09:29:11:170,584580,584565,15,0,25775702,0,5815 37,3,2024-09-07 09:29:11:766,1,465,10,0,888,6813,465,0 38,0,2024-09-07 09:29:11:440,116167,0.5,112708,0.6,235798,0.4,308645,2.00 38,1,2024-09-07 09:29:11:605,816919,816919,0,0,384093333213,4016979450,804560,9878,2481,368,391821,0 38,2,2024-09-07 09:29:10:763,586498,586451,47,0,25509388,0,6710 38,3,2024-09-07 09:29:10:997,1,465,4,0,689,5909,465,0 39,0,2024-09-07 09:29:11:762,121113,0.6,118475,0.7,230879,0.5,314720,2.00 39,1,2024-09-07 09:29:10:720,815946,815946,0,0,382683710066,4014966522,801931,10824,3191,365,391658,0 39,2,2024-09-07 09:29:11:419,588446,588446,0,0,24311545,0,3391 39,3,2024-09-07 09:29:10:718,1,465,17,0,324,5077,465,0 40,0,2024-09-07 09:29:11:496,116026,0.8,116746,0.9,232785,0.8,310034,2.75 40,1,2024-09-07 09:29:10:581,817047,817047,0,0,382920757629,4008699812,805515,9365,2167,368,391668,0 40,2,2024-09-07 09:29:11:303,589371,589370,1,0,29114358,0,5137 40,3,2024-09-07 09:29:11:158,1,465,1,0,1028,6211,465,0 41,0,2024-09-07 09:29:11:031,113166,1.3,115672,1.2,220536,1.9,300493,3.00 41,1,2024-09-07 09:29:10:773,815206,815206,0,0,383885778275,4019137152,804411,9087,1708,369,391742,0 41,2,2024-09-07 09:29:10:761,586349,586349,0,0,27351033,0,4277 41,3,2024-09-07 09:29:11:682,1,465,22,0,366,4780,465,0 42,0,2024-09-07 09:29:11:479,117575,0.9,117444,1.0,235193,1.0,310963,2.75 42,1,2024-09-07 09:29:11:440,813202,813202,0,0,382619930949,4026514379,799020,11337,2845,380,391675,0 42,2,2024-09-07 09:29:11:149,585468,585468,0,0,27310089,0,3790 42,3,2024-09-07 09:29:11:010,1,465,9,0,892,4421,465,0 43,0,2024-09-07 09:29:10:926,117195,0.7,114207,0.9,238836,0.8,313419,2.25 43,1,2024-09-07 09:29:10:576,816860,816860,0,0,383557176057,4010011208,804959,9934,1967,366,391696,0 43,2,2024-09-07 09:29:11:735,587769,587769,0,0,26825684,0,3812 43,3,2024-09-07 09:29:11:751,1,465,2,0,571,6691,465,0 44,0,2024-09-07 09:29:10:896,117827,0.4,117967,0.6,236460,0.4,314231,2.00 44,1,2024-09-07 09:29:10:563,818281,818281,0,0,384231885208,3969023982,811646,5316,1319,356,391809,0 44,2,2024-09-07 09:29:11:276,587207,587207,0,0,22235185,0,4344 44,3,2024-09-07 09:29:11:094,1,465,6,0,817,5281,465,0 45,0,2024-09-07 09:29:11:756,112691,0.5,110053,0.7,230943,0.4,303556,2.00 45,1,2024-09-07 09:29:11:014,817798,817798,0,0,384741246244,3997685345,811434,5676,688,382,391917,0 45,2,2024-09-07 09:29:11:274,592108,592108,0,0,22594445,0,3596 45,3,2024-09-07 09:29:10:934,1,465,21,0,271,3938,465,0 46,0,2024-09-07 09:29:10:956,116997,0.4,116388,0.6,233546,0.4,310218,2.00 46,1,2024-09-07 09:29:10:582,819770,819770,0,0,384917248157,3973876704,814856,4321,593,366,391709,0 46,2,2024-09-07 09:29:10:600,586582,586582,0,0,22673086,0,4443 46,3,2024-09-07 09:29:11:133,1,465,1,0,908,5611,465,0 47,0,2024-09-07 09:29:11:102,117165,0.4,117446,0.6,235158,0.4,311750,1.75 47,1,2024-09-07 09:29:10:572,820301,820301,0,0,384783476441,3974803130,815316,4146,839,366,391641,0 47,2,2024-09-07 09:29:10:913,590073,590073,0,0,22374645,0,4477 47,3,2024-09-07 09:29:11:169,1,465,11,0,600,5465,465,0 48,0,2024-09-07 09:29:11:489,117634,0.3,117622,0.4,234224,0.2,312070,1.50 48,1,2024-09-07 09:29:11:022,818439,818439,0,0,384774564811,3993737329,813695,4287,457,384,391710,0 48,2,2024-09-07 09:29:10:702,588574,588574,0,0,20889163,0,3411 48,3,2024-09-07 09:29:10:753,1,465,1,0,339,3697,465,0 49,0,2024-09-07 09:29:11:751,117782,0.3,115817,0.5,224725,0.3,307651,1.75 49,1,2024-09-07 09:29:11:025,817601,817601,0,0,383989311342,3991600307,812439,3955,1207,382,391809,0 49,2,2024-09-07 09:29:11:805,592660,592660,0,0,23255527,0,4426 49,3,2024-09-07 09:29:11:417,1,465,15,0,992,5434,465,0 50,0,2024-09-07 09:29:11:510,116073,0.3,114417,0.4,230571,0.2,307415,1.75 50,1,2024-09-07 09:29:11:014,820287,820287,0,0,386158900321,3990292085,815240,4473,574,368,391565,0 50,2,2024-09-07 09:29:11:067,586483,586483,0,0,20778737,0,4490 50,3,2024-09-07 09:29:11:292,1,465,1,0,567,4559,465,0 51,0,2024-09-07 09:29:11:693,119182,0.3,116776,0.4,227649,0.2,310115,1.75 51,1,2024-09-07 09:29:11:680,820846,820846,0,0,386512244978,3984005576,816603,3194,1049,365,391706,0 51,2,2024-09-07 09:29:11:318,587420,587420,0,0,20022936,0,3337 51,3,2024-09-07 09:29:11:029,1,465,0,0,678,3624,465,0 52,0,2024-09-07 09:29:11:411,118199,0.5,117980,0.7,236269,0.5,313594,2.00 52,1,2024-09-07 09:29:10:575,816621,816621,0,0,383430974114,4011789107,805375,9802,1444,368,391722,0 52,2,2024-09-07 09:29:11:758,585450,585412,38,0,27316268,0,6742 52,3,2024-09-07 09:29:10:674,1,465,1,0,1782,6064,465,0 53,0,2024-09-07 09:29:11:730,115232,0.6,111824,0.8,234076,0.7,306919,2.25 53,1,2024-09-07 09:29:10:773,814763,814763,0,0,383704888085,4025662427,801434,9802,3527,367,391968,0 53,2,2024-09-07 09:29:11:297,592035,592034,1,0,24678194,0,5455 53,3,2024-09-07 09:29:10:698,1,465,49,0,308,4583,465,0 54,0,2024-09-07 09:29:11:617,112518,0.5,112856,0.7,224695,0.4,300908,2.25 54,1,2024-09-07 09:29:10:581,816598,816598,0,0,383428855732,3986360335,808001,7202,1395,366,391659,0 54,2,2024-09-07 09:29:10:868,587638,587606,32,0,27898617,0,6397 54,3,2024-09-07 09:29:10:764,1,465,15,0,676,6429,465,0 55,0,2024-09-07 09:29:11:763,113636,0.6,117174,0.7,237467,0.5,308868,2.25 55,1,2024-09-07 09:29:10:764,817761,817761,0,0,384284532984,3993397587,809421,7158,1182,365,391731,0 55,2,2024-09-07 09:29:10:733,585993,585937,56,0,26893410,0,7239 55,3,2024-09-07 09:29:10:674,1,465,1,0,304,4484,465,0 56,0,2024-09-07 09:29:11:559,120902,1.2,113967,1.1,234929,1.6,314233,2.75 56,1,2024-09-07 09:29:10:577,813522,813522,0,0,382601635184,4038718727,801277,9693,2552,381,391867,0 56,2,2024-09-07 09:29:11:306,587213,587091,122,0,28268854,0,7432 56,3,2024-09-07 09:29:11:069,1,465,41,0,705,5672,465,0 57,0,2024-09-07 09:29:10:989,116142,1.6,116010,1.3,232577,2.2,311165,3.25 57,1,2024-09-07 09:29:10:995,815095,815095,0,0,382861993381,4016876166,804342,8688,2065,366,392032,0 57,2,2024-09-07 09:29:11:319,589355,589355,0,0,28895088,0,4317 57,3,2024-09-07 09:29:11:744,1,465,18,0,455,5344,465,0 58,0,2024-09-07 09:29:10:587,112107,0.9,108965,1.0,227738,1.1,298857,2.50 58,1,2024-09-07 09:29:10:575,815798,815795,0,3,383501143870,4017991239,803551,9476,2768,367,391603,3 58,2,2024-09-07 09:29:11:073,588977,588977,0,0,26735999,0,2902 58,3,2024-09-07 09:29:11:074,1,465,24,0,1043,4912,465,0 59,0,2024-09-07 09:29:11:755,118136,0.8,117676,1.0,235010,0.9,311969,2.75 59,1,2024-09-07 09:29:10:814,815591,815591,0,0,383889072968,4025632664,803357,9997,2237,369,391653,0 59,2,2024-09-07 09:29:10:582,585379,585379,0,0,26515561,0,3727 59,3,2024-09-07 09:29:11:740,1,465,42,0,1015,6260,465,0 60,0,2024-09-07 09:29:11:715,117450,0.5,117483,0.7,235526,0.5,312978,1.75 60,1,2024-09-07 09:29:10:788,818969,818969,0,0,385105607320,3996285280,813338,4761,870,370,392031,0 60,2,2024-09-07 09:29:11:141,587840,587840,0,0,24487191,0,3811 60,3,2024-09-07 09:29:11:258,1,465,1,0,409,5884,465,0 61,0,2024-09-07 09:29:11:501,117071,0.7,117779,0.8,234512,0.7,312593,2.00 61,1,2024-09-07 09:29:10:778,816419,816419,0,0,383706409755,4018201593,807414,7494,1511,382,392127,0 61,2,2024-09-07 09:29:11:117,588270,588203,67,0,24978875,0,6411 61,3,2024-09-07 09:29:11:699,1,465,11,0,479,6174,465,0 62,0,2024-09-07 09:29:11:706,115095,0.5,118037,0.7,225431,0.5,306052,2.00 62,1,2024-09-07 09:29:11:111,821281,821275,0,6,386139559005,3979358527,817406,3607,262,365,391975,6 62,2,2024-09-07 09:29:11:644,590111,590110,1,0,24986761,0,5555 62,3,2024-09-07 09:29:11:155,1,465,0,0,482,3892,465,0 63,0,2024-09-07 09:29:11:468,116804,0.4,116580,0.5,233807,0.3,310703,1.75 63,1,2024-09-07 09:29:10:804,818535,818529,0,6,384641394083,3991253727,813336,4460,733,381,391800,6 63,2,2024-09-07 09:29:10:766,586223,586223,0,0,22760507,0,4369 63,3,2024-09-07 09:29:11:735,1,465,13,0,667,4705,465,0 64,0,2024-09-07 09:29:11:534,115831,0.5,115928,0.6,231218,0.4,307659,1.75 64,1,2024-09-07 09:29:10:750,818198,818198,0,0,383687362159,3994542414,811285,5208,1705,370,391783,0 64,2,2024-09-07 09:29:11:150,590933,590914,19,0,22477377,0,6121 64,3,2024-09-07 09:29:11:179,1,465,2,0,265,4323,465,0 65,0,2024-09-07 09:29:11:686,116237,0.7,116546,0.8,232684,0.7,309920,2.00 65,1,2024-09-07 09:29:10:872,816183,816183,0,0,383185036488,4000869399,811016,4626,541,381,391901,0 65,2,2024-09-07 09:29:11:704,588110,588110,0,0,26862607,0,3367 65,3,2024-09-07 09:29:11:695,1,465,22,0,782,5672,465,0 66,0,2024-09-07 09:29:11:763,115288,0.5,115061,0.7,230076,0.5,306373,2.00 66,1,2024-09-07 09:29:11:293,818258,818258,0,0,383770245129,3993927433,812752,4980,526,380,391743,0 66,2,2024-09-07 09:29:11:134,593675,593672,3,0,24411788,0,5455 66,3,2024-09-07 09:29:11:080,1,465,62,0,291,3996,465,0 67,0,2024-09-07 09:29:11:426,114376,0.4,114067,0.6,228940,0.4,304807,2.00 67,1,2024-09-07 09:29:10:766,818014,818013,0,1,383937521486,3996352103,812459,4760,794,380,391787,1 67,2,2024-09-07 09:29:10:582,588680,588665,15,0,22903697,0,6205 67,3,2024-09-07 09:29:11:758,1,465,1,0,392,4434,465,0 68,0,2024-09-07 09:29:10:566,117113,0.5,117007,0.7,233010,0.5,311104,2.00 68,1,2024-09-07 09:29:10:577,814525,814525,0,0,381704961968,4015894419,803182,8007,3336,381,391953,0 68,2,2024-09-07 09:29:11:117,583838,583738,100,0,29696602,0,8578 68,3,2024-09-07 09:29:10:740,1,465,94,0,417,5588,465,0 69,0,2024-09-07 09:29:11:784,117643,0.7,118429,0.8,236015,0.8,312980,2.25 69,1,2024-09-07 09:29:11:016,814345,814345,0,0,382422919515,4027614946,803979,8092,2274,384,391994,0 69,2,2024-09-07 09:29:11:742,586894,586865,29,0,31753218,0,6912 69,3,2024-09-07 09:29:10:767,1,465,9,0,698,7102,465,0 70,0,2024-09-07 09:29:11:552,115930,0.9,116330,1.0,234021,0.8,309510,2.50 70,1,2024-09-07 09:29:10:801,818263,818263,0,0,384541913607,3989807609,811774,5788,701,366,391725,0 70,2,2024-09-07 09:29:11:328,590321,590321,0,0,26649562,0,4323 70,3,2024-09-07 09:29:10:755,1,465,10,0,854,5347,465,0 71,0,2024-09-07 09:29:11:366,112441,0.9,112360,1.0,225793,1.1,301603,2.50 71,1,2024-09-07 09:29:11:596,816570,816570,0,0,383867856513,4004523298,806945,8544,1081,368,391738,0 71,2,2024-09-07 09:29:11:073,587412,587412,0,0,26472713,0,4352 71,3,2024-09-07 09:29:11:758,1,465,2,0,644,5724,465,0 72,0,2024-09-07 09:29:11:042,122211,0.6,119512,0.7,233017,0.5,316424,2.00 72,1,2024-09-07 09:29:11:031,815143,815143,0,0,382948648692,4017557561,803283,9777,2083,369,391819,0 72,2,2024-09-07 09:29:11:756,585208,585208,0,0,28857107,0,3983 72,3,2024-09-07 09:29:11:756,1,465,22,0,564,6749,465,0 73,0,2024-09-07 09:29:11:149,115299,0.4,118289,0.6,241701,0.4,314248,2.00 73,1,2024-09-07 09:29:10:814,817267,817267,0,0,383785920285,3986469444,811354,5491,422,367,391858,0 73,2,2024-09-07 09:29:11:740,587182,587182,0,0,28869203,0,4291 73,3,2024-09-07 09:29:10:992,1,465,10,0,486,5956,465,0 74,0,2024-09-07 09:29:11:341,118694,0.5,121407,0.7,231467,0.5,314593,2.25 74,1,2024-09-07 09:29:10:635,816452,816452,0,0,383635351004,4005497105,807387,7299,1766,381,391762,0 74,2,2024-09-07 09:29:11:002,587784,587784,0,0,25710617,0,4253 74,3,2024-09-07 09:29:11:450,1,465,3,0,522,5756,465,0 75,0,2024-09-07 09:29:11:764,114580,0.5,113768,0.7,228366,0.5,305137,2.25 75,1,2024-09-07 09:29:11:591,817116,817116,0,0,383231402969,3996505804,809769,6507,840,380,391739,0 75,2,2024-09-07 09:29:11:353,589927,589927,0,0,29310711,0,4766 75,3,2024-09-07 09:29:11:072,1,465,1,0,702,5805,465,0 76,0,2024-09-07 09:29:10:590,116728,0.5,116033,0.7,232798,0.4,311326,2.25 76,1,2024-09-07 09:29:10:806,816590,816590,0,0,383037986253,3993833851,810937,4825,828,382,391692,0 76,2,2024-09-07 09:29:11:067,588607,588606,1,0,24776534,0,5144 76,3,2024-09-07 09:29:11:149,1,465,4,0,175,4317,465,0 77,0,2024-09-07 09:29:11:710,116580,0.5,116925,0.7,234205,0.5,310801,2.00 77,1,2024-09-07 09:29:10:837,817609,817609,0,0,383781805427,4002490301,811517,5584,508,381,391869,0 77,2,2024-09-07 09:29:11:293,587095,587095,0,0,24550632,0,3890 77,3,2024-09-07 09:29:11:094,1,465,9,0,401,5061,465,0 78,0,2024-09-07 09:29:11:724,117364,0.4,116715,0.6,234465,0.4,310767,2.00 78,1,2024-09-07 09:29:10:610,817537,817537,0,0,382866376145,3987340383,809183,6669,1685,367,391670,0 78,2,2024-09-07 09:29:11:404,589220,589207,13,0,23138562,0,8313 78,3,2024-09-07 09:29:11:148,1,465,1,0,181,3988,465,0 79,0,2024-09-07 09:29:11:383,110930,0.4,113635,0.6,232637,0.3,302677,2.00 79,1,2024-09-07 09:29:10:571,819080,819080,0,0,384076011106,3979106876,812416,5355,1309,367,391682,0 79,2,2024-09-07 09:29:11:069,592864,592864,0,0,22447834,0,4195 79,3,2024-09-07 09:29:10:749,1,465,1,0,418,5835,465,0 80,0,2024-09-07 09:29:11:120,115387,0.5,118649,0.6,226937,0.4,307043,2.00 80,1,2024-09-07 09:29:11:633,816858,816858,0,0,383593840051,3993247898,811226,5209,423,368,392269,0 80,2,2024-09-07 09:29:11:095,588259,588259,0,0,22624152,0,4433 80,3,2024-09-07 09:29:10:575,1,465,0,0,190,5219,465,0 81,0,2024-09-07 09:29:11:563,116358,0.5,119069,0.7,227399,0.5,308843,2.00 81,1,2024-09-07 09:29:11:650,816163,816163,0,0,383522555252,4004980385,809980,5629,554,382,391879,0 81,2,2024-09-07 09:29:11:182,585961,585898,63,0,25203227,0,5932 81,3,2024-09-07 09:29:11:132,1,465,8,0,719,5468,465,0 82,0,2024-09-07 09:29:11:531,117542,0.5,117794,0.7,235965,0.5,312843,2.00 82,1,2024-09-07 09:29:10:583,818622,818618,0,4,384042100928,3992452421,813872,3927,819,381,391768,4 82,2,2024-09-07 09:29:11:699,589714,589714,0,0,21427326,0,4484 82,3,2024-09-07 09:29:11:753,1,465,1,0,363,4685,465,0 83,0,2024-09-07 09:29:11:520,116041,0.6,115947,0.7,231203,0.6,307115,2.25 83,1,2024-09-07 09:29:10:550,816539,816539,0,0,383205409075,3993055764,810858,5231,450,382,391709,0 83,2,2024-09-07 09:29:10:763,591794,591769,25,0,23102681,0,5612 83,3,2024-09-07 09:29:10:749,1,465,10,0,1260,5860,465,0 84,0,2024-09-07 09:29:11:765,113270,0.7,113351,0.9,225976,0.6,302913,2.25 84,1,2024-09-07 09:29:11:044,815917,815917,0,0,382421932411,3996098209,807266,7514,1137,367,391967,0 84,2,2024-09-07 09:29:10:573,585742,585712,30,0,29812111,0,5971 84,3,2024-09-07 09:29:11:155,1,465,17,0,908,6809,465,0 85,0,2024-09-07 09:29:11:004,113218,0.6,113123,0.8,240057,0.6,310599,2.25 85,1,2024-09-07 09:29:10:559,813695,813695,0,0,382267512413,4033321137,801621,9930,2144,381,392006,0 85,2,2024-09-07 09:29:10:869,585503,585503,0,0,28301582,0,3656 85,3,2024-09-07 09:29:10:687,1,465,18,0,789,5451,465,0 86,0,2024-09-07 09:29:10:910,118123,0.7,121607,0.8,232618,0.7,314583,2.25 86,1,2024-09-07 09:29:10:852,816135,816135,0,0,383607910502,4016997988,806384,8117,1634,366,391961,0 86,2,2024-09-07 09:29:10:857,585850,585849,1,0,30779581,0,5004 86,3,2024-09-07 09:29:10:586,1,465,5,0,308,6812,465,0 87,0,2024-09-07 09:29:11:291,117880,0.9,117543,0.9,235192,1.2,314378,2.25 87,1,2024-09-07 09:29:10:557,814725,814725,0,0,382771671425,4010734023,803823,9244,1658,366,392076,0 87,2,2024-09-07 09:29:11:073,588732,588726,6,0,27044153,0,6323 87,3,2024-09-07 09:29:11:805,1,465,0,0,473,7150,465,0 88,0,2024-09-07 09:29:11:449,113561,0.4,114238,0.6,228240,0.4,303696,1.75 88,1,2024-09-07 09:29:10:572,814571,814571,0,0,382701495585,4008181775,803795,8550,2226,365,392084,0 88,2,2024-09-07 09:29:10:688,589675,589675,0,0,29598645,0,4465 88,3,2024-09-07 09:29:11:271,1,465,2,0,435,5728,465,0 89,0,2024-09-07 09:29:11:774,121955,0.4,118164,0.6,233565,0.4,317773,1.75 89,1,2024-09-07 09:29:10:554,814273,814273,0,0,383037742659,4025732792,804310,8491,1472,382,391866,0 89,2,2024-09-07 09:29:11:162,585477,585477,0,0,27466979,0,3173 89,3,2024-09-07 09:29:11:792,1,465,8,0,468,7867,465,0 90,0,2024-09-07 09:29:11:663,114087,0.4,117213,0.6,238948,0.4,312013,2.00 90,1,2024-09-07 09:29:10:978,815780,815780,0,0,383466688785,4013998253,808203,6927,650,380,391825,0 90,2,2024-09-07 09:29:11:416,585257,585257,0,0,29640613,0,3608 90,3,2024-09-07 09:29:10:933,1,465,2,0,322,5529,465,0 91,0,2024-09-07 09:29:10:957,117957,0.5,114101,0.6,238459,0.5,313327,1.75 91,1,2024-09-07 09:29:10:568,814013,814013,0,0,383083817229,4027788837,803707,8665,1641,381,392047,0 91,2,2024-09-07 09:29:11:342,588750,588750,0,0,26241313,0,3526 91,3,2024-09-07 09:29:10:600,1,465,1,0,216,4619,465,0 92,0,2024-09-07 09:29:11:467,115725,0.4,118449,0.6,226020,0.4,306441,1.75 92,1,2024-09-07 09:29:10:587,816977,816977,0,0,382693020139,3996739172,810610,5296,1071,381,392136,0 92,2,2024-09-07 09:29:11:353,592857,592857,0,0,23323647,0,3259 92,3,2024-09-07 09:29:11:021,1,465,34,0,167,4156,465,0 93,0,2024-09-07 09:29:10:955,117199,0.4,120132,0.5,229362,0.3,310952,1.75 93,1,2024-09-07 09:29:10:815,816635,816635,0,0,383926220973,4004469402,808404,6842,1389,366,391776,0 93,2,2024-09-07 09:29:10:932,586009,586009,0,0,27643711,0,4845 93,3,2024-09-07 09:29:11:406,1,465,14,0,190,4124,465,0 94,0,2024-09-07 09:29:11:641,115868,0.3,116746,0.5,233077,0.3,309078,1.75 94,1,2024-09-07 09:29:10:587,816792,816792,0,0,384473616375,4008062735,811683,4896,213,381,391850,0 94,2,2024-09-07 09:29:10:765,587145,587145,0,0,23222673,0,2443 94,3,2024-09-07 09:29:11:708,1,465,5,0,576,6053,465,0 95,0,2024-09-07 09:29:11:344,117239,0.4,117006,0.5,234679,0.3,312496,1.75 95,1,2024-09-07 09:29:10:973,818397,818397,0,0,384050644009,3988880332,812182,5777,438,365,391786,0 95,2,2024-09-07 09:29:11:027,587827,587827,0,0,23157539,0,3308 95,3,2024-09-07 09:29:11:716,1,465,219,0,718,6659,465,0 96,0,2024-09-07 09:29:11:045,115698,0.4,115915,0.5,231615,0.3,307311,1.75 96,1,2024-09-07 09:29:11:595,816615,816615,0,0,383444264755,3998921314,810698,5010,907,384,391955,0 96,2,2024-09-07 09:29:11:272,593054,593054,0,0,23918609,0,4180 96,3,2024-09-07 09:29:11:140,1,465,2,0,411,5072,465,0 97,0,2024-09-07 09:29:11:315,114452,0.3,113925,0.4,228711,0.2,304492,1.50 97,1,2024-09-07 09:29:10:765,818116,818116,0,0,385174387379,3992892474,812931,4249,936,367,392140,0 97,2,2024-09-07 09:29:10:607,586976,586976,0,0,23034514,0,3679 97,3,2024-09-07 09:29:10:580,1,465,12,0,242,5524,465,0 98,0,2024-09-07 09:29:11:707,116412,0.3,116831,0.4,234145,0.2,310780,1.50 98,1,2024-09-07 09:29:10:572,817745,817745,0,0,384016790212,3998288834,812666,4286,793,381,391997,0 98,2,2024-09-07 09:29:10:785,587324,587324,0,0,23168236,0,4336 98,3,2024-09-07 09:29:10:699,1,465,106,0,840,7412,465,0 99,0,2024-09-07 09:29:11:458,118251,0.3,118874,0.5,236479,0.3,315602,1.75 99,1,2024-09-07 09:29:11:727,817797,817797,0,0,383838738947,3992297112,812796,4164,837,380,392069,0 99,2,2024-09-07 09:29:11:430,589388,589388,0,0,27488572,0,4276 99,3,2024-09-07 09:29:10:591,1,465,1,0,606,4898,465,0 100,0,2024-09-07 09:29:11:459,116966,0.8,117343,0.9,233849,0.9,312168,2.50 100,1,2024-09-07 09:29:10:548,812986,812986,0,0,382137218239,4040699298,800078,9959,2949,378,391989,0 100,2,2024-09-07 09:29:11:826,588286,588275,11,0,27223263,0,5417 100,3,2024-09-07 09:29:11:735,1,465,3,0,559,7385,465,0 101,0,2024-09-07 09:29:11:749,115958,1.1,112986,1.0,221421,0.9,303302,2.25 101,1,2024-09-07 09:29:10:557,813892,813892,0,0,382499750792,4021520433,802210,9315,2367,368,391847,0 101,2,2024-09-07 09:29:11:756,584375,584375,0,0,31965447,0,4871 101,3,2024-09-07 09:29:10:943,1,465,12,0,1250,7422,465,0 102,0,2024-09-07 09:29:10:954,115219,0.6,118766,0.8,240974,0.6,314938,2.00 102,1,2024-09-07 09:29:11:155,813782,813782,0,0,382523840459,4019062552,802725,9116,1941,369,391891,0 102,2,2024-09-07 09:29:11:736,587021,586967,54,0,25872244,0,6768 102,3,2024-09-07 09:29:11:621,1,465,26,0,466,5054,465,0 103,0,2024-09-07 09:29:11:592,122165,0.6,122158,0.7,230199,0.5,316710,2.00 103,1,2024-09-07 09:29:11:660,813404,813404,0,0,382271264941,4036050908,800324,9888,3192,381,391862,0 103,2,2024-09-07 09:29:10:596,586109,586109,0,0,28720003,0,3766 103,3,2024-09-07 09:29:10:760,1,465,2,0,916,5384,465,0 104,0,2024-09-07 09:29:11:015,116799,0.7,117171,0.9,233241,0.7,312384,2.25 104,1,2024-09-07 09:29:11:605,815347,815347,0,0,383060600346,4026415014,802791,10011,2545,365,392168,0 104,2,2024-09-07 09:29:11:675,586237,586237,0,0,27723700,0,3941 104,3,2024-09-07 09:29:11:423,1,465,1,0,1245,8825,465,0 105,0,2024-09-07 09:29:11:035,112902,0.9,109988,1.0,230534,1.0,303088,2.75 105,1,2024-09-07 09:29:10:557,816590,816590,0,0,383357560913,4017167662,806053,8935,1602,366,392009,0 105,2,2024-09-07 09:29:11:322,589351,589351,0,0,27582357,0,3509 105,3,2024-09-07 09:29:11:304,1,465,8,0,399,6862,465,0 106,0,2024-09-07 09:29:11:035,113149,0.7,115961,0.8,237445,0.7,310075,2.25 106,1,2024-09-07 09:29:11:753,815608,815608,0,0,382697121702,4017218717,803647,10320,1641,368,391914,0 106,2,2024-09-07 09:29:10:759,585093,585093,0,0,26362548,0,2920 106,3,2024-09-07 09:29:10:677,1,465,1,0,470,6043,465,0 107,0,2024-09-07 09:29:11:100,116794,0.8,117050,0.9,233795,0.9,311748,2.25 107,1,2024-09-07 09:29:10:597,813659,813659,0,0,382882660287,4033687054,802613,9971,1075,381,392234,0 107,2,2024-09-07 09:29:11:290,585175,585174,1,0,28555066,0,5024 107,3,2024-09-07 09:29:11:766,1,465,0,0,370,6784,465,0 108,0,2024-09-07 09:29:11:790,116716,0.5,117705,0.6,233948,0.4,312137,1.75 108,1,2024-09-07 09:29:11:294,816925,816925,0,0,383283098342,3995215747,810336,5838,751,367,391857,0 108,2,2024-09-07 09:29:11:783,587061,587061,0,0,25834060,0,4246 108,3,2024-09-07 09:29:11:340,1,465,1,0,749,8831,465,0 109,0,2024-09-07 09:29:11:763,115476,0.4,114580,0.6,229478,0.3,306907,1.75 109,1,2024-09-07 09:29:10:588,814192,814192,0,0,382786469587,4015254082,806587,6396,1209,382,392132,0 109,2,2024-09-07 09:29:10:930,589911,589911,0,0,25410686,0,3617 109,3,2024-09-07 09:29:11:169,1,465,8,0,379,5379,465,0 110,0,2024-09-07 09:29:11:822,115162,0.4,112088,0.5,234754,0.3,307792,1.75 110,1,2024-09-07 09:29:11:651,817920,817920,0,0,383767059564,3980908924,812331,4384,1205,369,392045,0 110,2,2024-09-07 09:29:11:310,586678,586678,0,0,24162987,0,4067 110,3,2024-09-07 09:29:10:690,1,465,20,0,722,6549,465,0 111,0,2024-09-07 09:29:11:414,116700,0.3,115969,0.5,232517,0.3,310011,1.75 111,1,2024-09-07 09:29:11:002,819262,819262,0,0,385732675243,3997501640,815325,3581,356,380,391690,0 111,2,2024-09-07 09:29:11:170,586020,586020,0,0,24023477,0,4823 111,3,2024-09-07 09:29:10:923,1,465,1,0,379,5563,465,0 112,0,2024-09-07 09:29:10:933,118573,0.3,117975,0.4,236503,0.2,313886,1.50 112,1,2024-09-07 09:29:10:833,817760,817760,0,0,384001847647,3982673388,813026,4121,613,380,391624,0 112,2,2024-09-07 09:29:11:133,588598,588597,1,0,23299740,0,5036 112,3,2024-09-07 09:29:10:598,1,465,1,0,282,4585,465,0 113,0,2024-09-07 09:29:10:890,116126,0.3,116114,0.5,232563,0.2,309074,1.75 113,1,2024-09-07 09:29:11:692,820542,820542,0,0,385557738450,3974728369,816645,3307,590,366,391661,0 113,2,2024-09-07 09:29:11:310,593909,593909,0,0,21181359,0,3813 113,3,2024-09-07 09:29:10:684,1,465,8,0,340,5038,465,0 114,0,2024-09-07 09:29:10:883,114273,0.3,115073,0.4,228646,0.2,306100,1.75 114,1,2024-09-07 09:29:10:718,818472,818472,0,0,384340374319,3990340239,812135,4721,1616,381,391565,0 114,2,2024-09-07 09:29:10:879,588739,588738,1,0,22098233,0,5069 114,3,2024-09-07 09:29:11:279,1,465,2,0,395,3800,465,0 115,0,2024-09-07 09:29:10:563,118065,0.3,118482,0.4,236413,0.2,313822,1.50 115,1,2024-09-07 09:29:10:572,818484,818484,0,0,384897982693,3997318117,811927,5289,1268,382,391757,0 115,2,2024-09-07 09:29:11:128,588339,588339,0,0,22179910,0,4382 115,3,2024-09-07 09:29:11:002,1,465,31,0,159,2600,465,0 116,0,2024-09-07 09:29:11:705,118002,0.7,117899,0.8,236507,0.6,315734,2.00 116,1,2024-09-07 09:29:10:803,814214,814214,0,0,382914925244,4033621049,804596,7216,2402,380,392089,0 116,2,2024-09-07 09:29:11:752,586727,586727,0,0,28838985,0,4128 116,3,2024-09-07 09:29:10:911,1,465,4,0,415,5239,465,0 117,0,2024-09-07 09:29:10:961,118138,0.7,117615,0.8,235657,0.8,314785,2.00 117,1,2024-09-07 09:29:11:597,815370,815370,0,0,382674785321,4003149695,807023,7367,980,369,392033,0 117,2,2024-09-07 09:29:11:170,591456,591456,0,0,24965393,0,4303 117,3,2024-09-07 09:29:11:064,1,465,7,0,490,6712,465,0 118,0,2024-09-07 09:29:11:877,110394,0.5,113326,0.7,231419,0.5,302596,2.00 118,1,2024-09-07 09:29:10:590,814718,814718,0,0,382510901100,4020554882,802534,9164,3020,366,392054,0 118,2,2024-09-07 09:29:11:588,588673,588673,0,0,27098711,0,2842 118,3,2024-09-07 09:29:11:774,1,465,222,0,248,5464,465,0 119,0,2024-09-07 09:29:11:346,118340,0.7,118551,0.8,237188,0.7,315989,2.00 119,1,2024-09-07 09:29:10:568,815407,815407,0,0,382867700104,4009336163,805188,8823,1396,367,391857,0 119,2,2024-09-07 09:29:11:262,586909,586909,0,0,25188280,0,4174 119,3,2024-09-07 09:29:11:325,1,465,4,0,1358,8895,465,0 120,0,2024-09-07 09:29:11:614,116888,0.7,116661,0.8,234209,0.7,312540,2.25 120,1,2024-09-07 09:29:10:858,815738,815738,0,0,382964644712,4015729444,807048,7918,772,368,392144,0 120,2,2024-09-07 09:29:10:772,586281,586280,1,0,29817099,0,5281 120,3,2024-09-07 09:29:11:294,1,465,1,0,279,5795,465,0 121,0,2024-09-07 09:29:11:690,117380,1.3,116989,1.1,234468,1.8,312565,2.50 121,1,2024-09-07 09:29:11:660,816236,816236,0,0,383739941456,4010471224,808615,7069,552,367,391840,0 121,2,2024-09-07 09:29:11:133,587096,587096,0,0,28157051,0,4157 121,3,2024-09-07 09:29:10:731,1,465,8,0,269,5415,465,0 122,0,2024-09-07 09:29:11:766,114135,0.7,111220,0.9,233172,0.8,306217,2.00 122,1,2024-09-07 09:29:10:858,814726,814726,0,0,383761779369,4025011918,803607,9415,1704,366,392130,0 122,2,2024-09-07 09:29:11:320,591803,591730,73,0,31362954,0,5989 122,3,2024-09-07 09:29:10:593,1,465,2,0,512,8236,465,0 123,0,2024-09-07 09:29:10:972,115790,0.8,112656,0.8,236278,0.9,309452,2.25 123,1,2024-09-07 09:29:10:563,814652,814652,0,0,382814079009,4028833989,800804,11402,2446,369,392039,0 123,2,2024-09-07 09:29:11:021,584098,584097,1,0,26287653,0,5215 123,3,2024-09-07 09:29:11:170,1,465,189,0,478,5476,465,0 124,0,2024-09-07 09:29:10:928,119670,0.3,119701,0.5,225581,0.3,310004,1.75 124,1,2024-09-07 09:29:11:022,818032,818032,0,0,383748641279,3986806721,812370,4605,1057,367,392178,0 124,2,2024-09-07 09:29:11:028,587822,587769,53,0,23704108,0,6487 124,3,2024-09-07 09:29:10:762,1,465,11,0,490,4725,465,0 125,0,2024-09-07 09:29:11:421,117143,0.4,116943,0.6,234680,0.4,312390,1.75 125,1,2024-09-07 09:29:10:865,816212,816212,0,0,383594580574,4001138140,810276,5262,674,382,391813,0 125,2,2024-09-07 09:29:11:127,589634,589634,0,0,24337999,0,4534 125,3,2024-09-07 09:29:11:169,1,465,8,0,709,5612,465,0 126,0,2024-09-07 09:29:11:474,115698,0.4,119048,0.6,227617,0.4,307963,1.75 126,1,2024-09-07 09:29:10:556,818790,818790,0,0,384924442455,3983949349,814617,3879,294,365,391987,0 126,2,2024-09-07 09:29:10:622,592928,592928,0,0,24978620,0,4539 126,3,2024-09-07 09:29:10:913,1,465,1,0,268,5418,465,0 127,0,2024-09-07 09:29:11:620,114354,0.3,114807,0.5,228906,0.2,304682,1.50 127,1,2024-09-07 09:29:10:574,817745,817745,0,0,383821910446,3989681451,810105,6403,1237,364,392187,0 127,2,2024-09-07 09:29:10:636,585677,585677,0,0,22376923,0,3897 127,3,2024-09-07 09:29:11:271,1,465,5,0,968,4839,465,0 128,0,2024-09-07 09:29:11:629,117338,0.3,117445,0.4,234594,0.2,311547,1.50 128,1,2024-09-07 09:29:11:607,816847,816847,0,0,384233737104,3985536290,811915,4499,433,367,392031,0 128,2,2024-09-07 09:29:11:388,588449,588449,0,0,21833716,0,3171 128,3,2024-09-07 09:29:10:770,1,465,13,0,1082,7103,465,0 129,0,2024-09-07 09:29:10:990,119256,0.3,118738,0.5,237790,0.3,315989,1.50 129,1,2024-09-07 09:29:10:572,815075,815075,0,0,382161131791,4001750736,807293,6108,1674,379,391962,0 129,2,2024-09-07 09:29:10:686,589206,589202,4,0,24118503,0,5335 129,3,2024-09-07 09:29:10:692,1,465,12,0,506,6862,465,0 130,0,2024-09-07 09:29:11:750,118019,0.5,117541,0.6,236293,0.5,313533,1.75 130,1,2024-09-07 09:29:10:582,818224,818224,0,0,384066526757,3989185957,814036,3849,339,381,391825,0 130,2,2024-09-07 09:29:11:127,591782,591782,0,0,23896427,0,4067 130,3,2024-09-07 09:29:11:291,1,465,5,0,960,6894,465,0 131,0,2024-09-07 09:29:11:927,113641,0.3,113978,0.5,228706,0.3,303982,1.50 131,1,2024-09-07 09:29:11:829,817443,817443,0,0,383370245269,4000009982,811381,5008,1054,381,391865,0 131,2,2024-09-07 09:29:10:572,590217,590217,0,0,21827973,0,3979 131,3,2024-09-07 09:29:11:700,1,465,3,0,392,5008,465,0 132,0,2024-09-07 09:29:11:413,118464,0.4,119556,0.6,237843,0.4,315931,1.75 132,1,2024-09-07 09:29:10:576,813442,813442,0,0,382985389064,4030453450,801720,9590,2132,381,392532,0 132,2,2024-09-07 09:29:10:698,586353,586336,17,0,28884701,0,6451 132,3,2024-09-07 09:29:11:689,1,465,4,0,804,7942,465,0 133,0,2024-09-07 09:29:11:516,115260,0.4,118012,0.6,241924,0.4,314923,1.75 133,1,2024-09-07 09:29:10:583,813686,813686,0,0,382560075657,4031484323,802391,9679,1616,383,391914,0 133,2,2024-09-07 09:29:11:089,587407,587357,50,0,30046974,0,6861 133,3,2024-09-07 09:29:11:297,1,465,10,0,479,4822,465,0 134,0,2024-09-07 09:29:10:959,118245,0.6,117893,0.7,236082,0.5,314983,2.00 134,1,2024-09-07 09:29:10:587,814980,814980,0,0,382603572880,4009914888,804803,8108,2069,366,391718,0 134,2,2024-09-07 09:29:11:757,587037,587013,24,0,28065493,0,6207 134,3,2024-09-07 09:29:10:756,1,465,3,0,739,5712,465,0 135,0,2024-09-07 09:29:11:096,110599,0.7,110606,0.8,234773,0.8,302614,2.25 135,1,2024-09-07 09:29:11:588,815163,815163,0,0,383441235139,4030761863,804807,8978,1378,380,391805,0 135,2,2024-09-07 09:29:10:687,590846,590846,0,0,27502140,0,3981 135,3,2024-09-07 09:29:11:003,1,465,1,0,299,4038,465,0 136,0,2024-09-07 09:29:11:625,117632,0.5,117878,0.7,234557,0.4,313145,2.00 136,1,2024-09-07 09:29:11:466,816140,816140,0,0,382317183550,4008128305,806832,8170,1138,381,391685,0 136,2,2024-09-07 09:29:11:149,587608,587608,0,0,26103502,0,3506 136,3,2024-09-07 09:29:11:109,1,465,24,0,637,5399,465,0 137,0,2024-09-07 09:29:10:958,120725,0.6,117568,0.7,230484,0.6,313152,2.00 137,1,2024-09-07 09:29:10:575,814294,814294,0,0,382397532567,4012495966,801385,10241,2668,366,391898,0 137,2,2024-09-07 09:29:11:710,585495,585495,0,0,29274397,0,3185 137,3,2024-09-07 09:29:10:772,1,465,12,0,484,5703,465,0 138,0,2024-09-07 09:29:11:747,116602,0.9,116610,0.9,234072,1.2,310618,2.25 138,1,2024-09-07 09:29:11:697,815419,815419,0,0,383324182687,4019285445,804187,9412,1820,368,391954,0 138,2,2024-09-07 09:29:10:588,587066,587066,0,0,27208553,0,4988 138,3,2024-09-07 09:29:10:610,1,465,1,0,1200,7429,465,0 139,0,2024-09-07 09:29:11:368,113959,1.4,114101,1.1,228701,2.1,305436,2.50 139,1,2024-09-07 09:29:10:575,811432,811432,0,0,380853223304,4044679350,795973,12014,3445,380,392058,0 139,2,2024-09-07 09:29:10:697,588045,588045,0,0,30337045,0,3097 139,3,2024-09-07 09:29:11:671,1,465,8,0,432,5494,465,0 140,0,2024-09-07 09:29:11:587,115846,0.3,115124,0.5,230997,0.2,308075,1.75 140,1,2024-09-07 09:29:11:540,820137,820137,0,0,386138393029,3979671943,816151,3525,461,365,391606,0 140,2,2024-09-07 09:29:10:696,587569,587568,1,0,22540417,0,5036 140,3,2024-09-07 09:29:10:772,1,465,1,0,297,3891,465,0 141,0,2024-09-07 09:29:11:717,116598,0.3,119639,0.4,228484,0.2,309684,1.50 141,1,2024-09-07 09:29:10:859,818742,818742,0,0,384855320381,3996476702,812468,5189,1085,379,391614,0 141,2,2024-09-07 09:29:11:692,587093,587093,0,0,23004596,0,3360 141,3,2024-09-07 09:29:11:049,1,465,8,0,391,5143,465,0 142,0,2024-09-07 09:29:11:308,118627,0.3,117912,0.5,236029,0.3,314519,1.75 142,1,2024-09-07 09:29:10:584,817282,817282,0,0,383128484444,3990436360,812478,4401,403,382,392102,0 142,2,2024-09-07 09:29:11:299,588147,588115,32,0,24758576,0,6028 142,3,2024-09-07 09:29:11:746,1,465,6,0,484,5103,465,0 143,0,2024-09-07 09:29:11:370,116080,0.4,116211,0.6,232755,0.4,309073,1.75 143,1,2024-09-07 09:29:10:556,818511,818511,0,0,384809249480,3992055299,813006,4956,549,367,391705,0 143,2,2024-09-07 09:29:10:771,592431,592431,0,0,24011463,0,3123 143,3,2024-09-07 09:29:11:151,1,465,8,0,462,6105,465,0 144,0,2024-09-07 09:29:11:529,110494,0.6,113541,0.8,231506,0.5,303710,2.00 144,1,2024-09-07 09:29:10:572,814870,814870,0,0,382774118798,4014487349,806767,6264,1839,381,391733,0 144,2,2024-09-07 09:29:11:755,587900,587900,0,0,22268803,0,3673 144,3,2024-09-07 09:29:11:757,1,465,5,0,249,4550,465,0 145,0,2024-09-07 09:29:11:375,113801,0.6,113804,0.8,241394,0.5,310667,2.25 145,1,2024-09-07 09:29:10:552,813792,813792,0,0,382077316841,4019401688,803035,8889,1868,382,391759,0 145,2,2024-09-07 09:29:11:449,584525,584444,81,0,27936131,0,7814 145,3,2024-09-07 09:29:10:896,1,465,13,0,622,6441,465,0 146,0,2024-09-07 09:29:11:603,118251,0.6,117795,0.7,236935,0.6,314228,2.25 146,1,2024-09-07 09:29:11:591,815129,815129,0,0,382387338145,4021552951,801848,9753,3528,367,391770,0 146,2,2024-09-07 09:29:11:702,586119,586119,0,0,26919002,0,3290 146,3,2024-09-07 09:29:11:276,1,465,1,0,1520,8221,465,0 147,0,2024-09-07 09:29:11:754,118085,0.6,117844,0.8,235056,0.6,314243,2.25 147,1,2024-09-07 09:29:11:376,818293,818293,0,0,384853422662,3999811673,811444,6000,849,368,391791,0 147,2,2024-09-07 09:29:11:010,589288,589288,0,0,24076285,0,2968 147,3,2024-09-07 09:29:10:924,1,465,0,0,1626,7787,465,0 0,0,2024-09-07 09:29:21:714,114501,0.6,114500,0.7,242902,0.6,314056,2.00 0,1,2024-09-07 09:29:20:813,817038,817038,0,0,384076323000,4020825288,810409,5970,659,369,391896,0 0,2,2024-09-07 09:29:21:067,589926,589926,0,0,23819682,0,4480 0,3,2024-09-07 09:29:20:978,1,466,13,0,431,6764,466,0 1,0,2024-09-07 09:29:21:774,117468,1.1,116961,1.0,234341,1.5,313332,2.00 1,1,2024-09-07 09:29:20:564,816975,816975,0,0,383664538809,4013356028,810123,5521,1331,370,391859,0 1,2,2024-09-07 09:29:20:646,589024,589024,0,0,23453343,0,3380 1,3,2024-09-07 09:29:21:310,1,466,12,0,269,5920,466,0 2,0,2024-09-07 09:29:21:567,114853,0.6,114769,0.7,229216,0.6,305887,2.00 2,1,2024-09-07 09:29:20:861,819913,819913,0,0,385327829697,3997201306,816542,3076,295,380,391745,0 2,2,2024-09-07 09:29:21:266,593580,593580,0,0,22033793,0,3594 2,3,2024-09-07 09:29:20:691,1,466,3,0,357,4301,466,0 3,0,2024-09-07 09:29:21:749,116851,0.4,116553,0.6,233347,0.3,310729,2.00 3,1,2024-09-07 09:29:21:618,818147,818147,0,0,384455316789,4001177059,811614,5838,695,379,391716,0 3,2,2024-09-07 09:29:21:152,588259,588236,23,0,23138283,0,5851 3,3,2024-09-07 09:29:21:752,1,466,1,0,207,2990,466,0 4,0,2024-09-07 09:29:21:781,113026,0.4,116230,0.5,236818,0.3,309876,1.75 4,1,2024-09-07 09:29:20:607,814693,814693,0,0,382923052028,4051491572,800840,10619,3234,370,391992,0 4,2,2024-09-07 09:29:21:022,586024,586024,0,0,27354359,0,4528 4,3,2024-09-07 09:29:21:027,1,466,45,0,448,6271,466,0 5,0,2024-09-07 09:29:21:382,117163,0.4,117726,0.6,234993,0.4,312269,1.75 5,1,2024-09-07 09:29:20:755,816415,816415,0,0,384113715377,4043711043,804652,9399,2364,367,392005,0 5,2,2024-09-07 09:29:21:829,586221,586221,0,0,27654511,0,3582 5,3,2024-09-07 09:29:21:737,1,466,12,0,457,6394,466,0 6,0,2024-09-07 09:29:20:916,116031,0.4,115596,0.6,230981,0.4,308502,2.00 6,1,2024-09-07 09:29:20:746,818611,818611,0,0,383774102154,4008571917,810032,7153,1426,379,391702,0 6,2,2024-09-07 09:29:21:118,593044,593026,18,0,26645472,0,5535 6,3,2024-09-07 09:29:21:275,1,466,1,0,710,5937,466,0 7,0,2024-09-07 09:29:21:545,114020,0.5,114904,0.6,228793,0.4,304689,2.00 7,1,2024-09-07 09:29:20:851,816739,816739,0,0,383247101146,4023480822,805537,9218,1984,382,391747,0 7,2,2024-09-07 09:29:20:770,587756,587756,0,0,25740401,0,4791 7,3,2024-09-07 09:29:20:853,1,466,10,0,552,5573,466,0 8,0,2024-09-07 09:29:21:325,117083,0.3,116898,0.5,234336,0.3,311747,1.75 8,1,2024-09-07 09:29:21:026,816146,816146,0,0,383449674294,4031119970,803557,9810,2779,366,392853,0 8,2,2024-09-07 09:29:20:803,583512,583512,0,0,30701028,0,3250 8,3,2024-09-07 09:29:20:589,1,466,2,0,538,7378,466,0 9,0,2024-09-07 09:29:21:124,118464,0.4,115179,0.5,241117,0.3,315884,1.75 9,1,2024-09-07 09:29:20:570,814966,814966,0,0,382937725842,4034378870,802637,9862,2467,369,392001,0 9,2,2024-09-07 09:29:21:083,588332,588332,0,0,28109683,0,4615 9,3,2024-09-07 09:29:21:754,1,466,17,0,496,6589,466,0 10,0,2024-09-07 09:29:21:604,117181,0.3,116752,0.5,234431,0.3,311546,1.75 10,1,2024-09-07 09:29:20:588,817530,817530,0,0,383341486533,4018837025,806128,9463,1939,381,391741,0 10,2,2024-09-07 09:29:20:761,591802,591802,0,0,30408277,0,4264 10,3,2024-09-07 09:29:20:871,1,466,1,0,649,5023,466,0 11,0,2024-09-07 09:29:21:010,113906,0.4,110440,0.6,231045,0.4,304838,1.75 11,1,2024-09-07 09:29:20:854,817738,817738,0,0,383990786572,4029648558,805042,9578,3118,383,391756,0 11,2,2024-09-07 09:29:21:129,588100,588100,0,0,26569492,0,4130 11,3,2024-09-07 09:29:21:299,1,466,2,0,843,6371,466,0 12,0,2024-09-07 09:29:20:984,119332,0.4,119269,0.5,238535,0.3,316508,1.75 12,1,2024-09-07 09:29:20:940,817859,817859,0,0,384428592382,4007621026,811673,5584,602,370,391960,0 12,2,2024-09-07 09:29:21:547,587707,587707,0,0,25958380,0,4390 12,3,2024-09-07 09:29:21:074,1,466,11,0,386,6590,466,0 13,0,2024-09-07 09:29:21:370,119493,0.4,119097,0.6,237529,0.4,316841,1.75 13,1,2024-09-07 09:29:21:546,815965,815965,0,0,383480137582,4029673256,807662,6589,1714,382,391740,0 13,2,2024-09-07 09:29:20:598,590662,590662,0,0,23529081,0,3287 13,3,2024-09-07 09:29:21:763,1,466,8,0,522,6610,466,0 14,0,2024-09-07 09:29:20:561,118070,0.4,118980,0.6,235652,0.4,314582,1.75 14,1,2024-09-07 09:29:21:563,821687,821687,0,0,385777226547,3992573939,815257,5718,712,364,391673,0 14,2,2024-09-07 09:29:20:766,590164,590134,30,0,25146643,0,6104 14,3,2024-09-07 09:29:21:115,1,466,1,0,1168,4925,466,0 15,0,2024-09-07 09:29:21:565,114174,0.4,113996,0.6,228557,0.4,304186,2.00 15,1,2024-09-07 09:29:21:608,818525,818525,0,0,384991683721,4009282773,811653,5417,1455,381,391619,0 15,2,2024-09-07 09:29:20:998,593415,593415,0,0,21098260,0,3622 15,3,2024-09-07 09:29:21:407,1,466,0,0,1126,7113,466,0 16,0,2024-09-07 09:29:20:957,117587,0.5,118301,0.7,235674,0.5,313566,2.00 16,1,2024-09-07 09:29:20:569,818385,818385,0,0,384013983867,4011764062,811518,5749,1118,370,391917,0 16,2,2024-09-07 09:29:21:438,587456,587456,0,0,24764930,0,4719 16,3,2024-09-07 09:29:21:142,1,466,1,0,358,5928,466,0 17,0,2024-09-07 09:29:21:791,120842,0.6,117970,0.7,230816,0.6,313555,2.00 17,1,2024-09-07 09:29:20:809,817030,817030,0,0,383853974914,4026632466,808760,6556,1714,368,392075,0 17,2,2024-09-07 09:29:21:668,590745,590744,1,0,25521545,0,5050 17,3,2024-09-07 09:29:20:581,1,466,11,0,518,7315,466,0 18,0,2024-09-07 09:29:20:947,116132,0.7,116639,0.8,232616,0.7,310527,2.25 18,1,2024-09-07 09:29:21:643,820421,820421,0,0,385321582583,3990610439,816125,3858,438,367,391725,0 18,2,2024-09-07 09:29:21:755,591597,591597,0,0,21947039,0,3541 18,3,2024-09-07 09:29:20:897,1,466,12,0,1059,4458,466,0 19,0,2024-09-07 09:29:21:550,114820,0.6,115200,0.8,228591,0.6,304527,2.00 19,1,2024-09-07 09:29:20:571,820634,820634,0,0,385878479135,4000869317,814142,5411,1081,367,391777,0 19,2,2024-09-07 09:29:21:765,595524,595524,0,0,21132620,0,3988 19,3,2024-09-07 09:29:21:129,1,466,3,0,524,3893,466,0 20,0,2024-09-07 09:29:21:379,115641,0.5,115667,0.6,231184,0.4,308576,2.00 20,1,2024-09-07 09:29:20:574,817223,817223,0,0,384652720579,4026733561,809231,6694,1298,369,391922,0 20,2,2024-09-07 09:29:20:932,586849,586849,0,0,25110429,0,3721 20,3,2024-09-07 09:29:20:590,1,466,0,0,468,7709,466,0 21,0,2024-09-07 09:29:21:126,116394,0.4,116504,0.6,233020,0.4,309081,1.75 21,1,2024-09-07 09:29:21:769,814992,814992,0,0,382903006430,4040486236,802245,9772,2975,368,392016,0 21,2,2024-09-07 09:29:21:067,584988,584968,20,0,30653873,0,5617 21,3,2024-09-07 09:29:21:411,1,466,47,0,713,6188,466,0 22,0,2024-09-07 09:29:21:717,117848,0.5,118296,0.7,236193,0.4,312877,2.00 22,1,2024-09-07 09:29:21:023,816627,816627,0,0,383094932344,4030457658,803542,10148,2937,382,391675,0 22,2,2024-09-07 09:29:20:761,588351,588325,26,0,25455191,0,6328 22,3,2024-09-07 09:29:21:065,1,466,2,0,228,3723,466,0 23,0,2024-09-07 09:29:21:405,115805,0.5,115260,0.7,230853,0.5,307439,2.25 23,1,2024-09-07 09:29:21:028,817778,817778,0,0,384516691857,4036385044,803558,10007,4213,365,391690,0 23,2,2024-09-07 09:29:21:094,594592,594592,0,0,23965734,0,3773 23,3,2024-09-07 09:29:21:755,1,466,2,0,720,5840,466,0 24,0,2024-09-07 09:29:20:897,115273,0.4,114529,0.5,230096,0.3,305994,1.75 24,1,2024-09-07 09:29:20:589,816624,816624,0,0,382858471471,4011477360,808129,6835,1660,367,392269,0 24,2,2024-09-07 09:29:21:072,587654,587654,0,0,28464987,0,3607 24,3,2024-09-07 09:29:21:692,1,466,1,0,468,6255,466,0 25,0,2024-09-07 09:29:21:344,121024,0.4,117894,0.6,231203,0.4,314626,1.75 25,1,2024-09-07 09:29:20:568,815943,815943,0,0,383457305915,4044455254,802263,10975,2705,371,391928,0 25,2,2024-09-07 09:29:21:617,585895,585895,0,0,29886476,0,3978 25,3,2024-09-07 09:29:21:003,1,466,8,0,532,5202,466,0 26,0,2024-09-07 09:29:21:732,118187,0.4,115584,0.6,242483,0.4,315219,1.75 26,1,2024-09-07 09:29:21:544,818626,818626,0,0,383207022674,4017572431,806311,9902,2413,380,391748,0 26,2,2024-09-07 09:29:20:861,589016,589016,0,0,31261081,0,4689 26,3,2024-09-07 09:29:21:714,1,466,5,0,796,5354,466,0 27,0,2024-09-07 09:29:21:722,118272,0.5,118523,0.6,235723,0.4,314593,2.25 27,1,2024-09-07 09:29:21:675,819541,819541,0,0,385496320358,4017017416,811335,6944,1262,381,391626,0 27,2,2024-09-07 09:29:20:869,588215,588150,65,0,27376539,0,5699 27,3,2024-09-07 09:29:21:021,1,466,1,0,564,4250,466,0 28,0,2024-09-07 09:29:21:427,114443,0.4,113936,0.6,228738,0.3,305111,1.75 28,1,2024-09-07 09:29:20:800,819146,819146,0,0,385047563805,4015897492,811812,5634,1700,382,391698,0 28,2,2024-09-07 09:29:21:764,590615,590615,0,0,24079172,0,2915 28,3,2024-09-07 09:29:21:787,1,466,1,0,502,4814,466,0 29,0,2024-09-07 09:29:21:369,122030,0.4,118986,0.6,233214,0.3,317983,1.75 29,1,2024-09-07 09:29:21:567,821237,821237,0,0,386073003890,3992971590,816218,4284,735,368,391809,0 29,2,2024-09-07 09:29:20:870,587583,587583,0,0,23002896,0,4986 29,3,2024-09-07 09:29:20:965,1,466,9,0,459,5352,466,0 30,0,2024-09-07 09:29:21:459,117485,0.5,114223,0.7,239125,0.5,313000,2.00 30,1,2024-09-07 09:29:20:571,820075,820075,0,0,385499261292,4002435761,813522,5704,849,380,391672,0 30,2,2024-09-07 09:29:21:282,589385,589385,0,0,22681036,0,4192 30,3,2024-09-07 09:29:20:586,1,466,2,0,519,4477,466,0 31,0,2024-09-07 09:29:21:762,117169,0.5,117648,0.7,234880,0.4,313414,2.00 31,1,2024-09-07 09:29:20:567,823695,823695,0,0,386735625524,3964341809,819996,2963,736,356,391712,0 31,2,2024-09-07 09:29:21:274,588342,588342,0,0,24274641,0,3525 31,3,2024-09-07 09:29:21:709,1,466,10,0,220,3997,466,0 32,0,2024-09-07 09:29:21:461,115113,0.3,115704,0.5,230603,0.2,307579,1.50 32,1,2024-09-07 09:29:20:810,819835,819835,0,0,385094025872,4002772233,814688,4465,682,381,391646,0 32,2,2024-09-07 09:29:20:941,593848,593848,0,0,21937748,0,3922 32,3,2024-09-07 09:29:21:020,1,466,2,0,304,3625,466,0 33,0,2024-09-07 09:29:21:503,117121,0.2,116628,0.4,233510,0.2,311003,1.50 33,1,2024-09-07 09:29:20:582,820587,820587,0,0,385718144813,3996381028,813910,5572,1105,368,391730,0 33,2,2024-09-07 09:29:20:763,588328,588293,35,0,24022816,0,7012 33,3,2024-09-07 09:29:20:898,1,466,8,0,329,4388,466,0 34,0,2024-09-07 09:29:20:930,116902,0.3,120006,0.4,229462,0.2,309220,1.75 34,1,2024-09-07 09:29:21:044,822312,822312,0,0,387123686634,3978336612,820320,1974,18,367,391562,0 34,2,2024-09-07 09:29:20:766,589576,589576,0,0,22485424,0,4562 34,3,2024-09-07 09:29:21:693,1,466,2,0,320,3686,466,0 35,0,2024-09-07 09:29:20:869,116565,0.3,117195,0.5,235442,0.3,313041,1.75 35,1,2024-09-07 09:29:21:067,820019,820019,0,0,385637063797,3994084544,815434,3726,859,382,391769,0 35,2,2024-09-07 09:29:21:585,589302,589302,0,0,24443864,0,4055 35,3,2024-09-07 09:29:20:907,1,466,2,0,418,4587,466,0 36,0,2024-09-07 09:29:21:525,116143,0.4,116018,0.6,232023,0.4,308896,2.00 36,1,2024-09-07 09:29:20:588,819295,819295,0,0,384510337594,4014273328,809030,8256,2009,366,391759,0 36,2,2024-09-07 09:29:21:751,593873,593873,0,0,25843003,0,3875 36,3,2024-09-07 09:29:20:863,1,466,3,0,416,6410,466,0 37,0,2024-09-07 09:29:21:384,114227,0.5,114409,0.6,228596,0.4,305320,2.00 37,1,2024-09-07 09:29:20:572,818053,818046,0,7,384167126254,4013390658,807797,7694,2555,365,391770,0 37,2,2024-09-07 09:29:21:142,585718,585703,15,0,25920929,0,5815 37,3,2024-09-07 09:29:21:772,1,466,13,0,888,6826,466,0 38,0,2024-09-07 09:29:21:442,116284,0.5,112833,0.6,236033,0.4,308959,2.00 38,1,2024-09-07 09:29:21:605,818702,818702,0,0,385128815794,4027655341,806343,9878,2481,368,391821,0 38,2,2024-09-07 09:29:20:762,587550,587503,47,0,25540309,0,6710 38,3,2024-09-07 09:29:20:997,1,466,2,0,689,5911,466,0 39,0,2024-09-07 09:29:21:768,121258,0.6,118590,0.7,231098,0.5,315065,2.00 39,1,2024-09-07 09:29:20:717,817782,817782,0,0,383416575856,4022605148,803766,10825,3191,365,391658,0 39,2,2024-09-07 09:29:21:421,590046,590046,0,0,24361803,0,3391 39,3,2024-09-07 09:29:20:717,1,466,2,0,324,5079,466,0 40,0,2024-09-07 09:29:21:495,116065,0.8,116789,0.9,232843,0.8,310034,2.75 40,1,2024-09-07 09:29:20:580,818675,818675,0,0,383762074762,4017301509,807143,9365,2167,368,391668,0 40,2,2024-09-07 09:29:21:306,590861,590860,1,0,29197088,0,5137 40,3,2024-09-07 09:29:21:142,1,466,1,0,1028,6212,466,0 41,0,2024-09-07 09:29:21:063,113519,1.3,116049,1.2,221269,1.8,301403,3.00 41,1,2024-09-07 09:29:20:777,816915,816915,0,0,384483915840,4025694037,806087,9120,1708,369,391742,0 41,2,2024-09-07 09:29:20:758,587078,587078,0,0,27384483,0,4277 41,3,2024-09-07 09:29:21:676,1,466,23,0,366,4803,466,0 42,0,2024-09-07 09:29:21:478,117680,0.9,117530,1.0,235375,1.0,311220,2.75 42,1,2024-09-07 09:29:21:439,814824,814824,0,0,383553694595,4036275278,800640,11339,2845,380,391675,0 42,2,2024-09-07 09:29:21:138,586951,586951,0,0,27440972,0,3790 42,3,2024-09-07 09:29:21:009,1,466,7,0,892,4428,466,0 43,0,2024-09-07 09:29:20:914,117421,0.7,114434,0.9,239311,0.8,313982,2.25 43,1,2024-09-07 09:29:20:811,818667,818667,0,0,384517124555,4020069730,806757,9943,1967,366,391696,0 43,2,2024-09-07 09:29:21:737,589307,589307,0,0,27180911,0,3812 43,3,2024-09-07 09:29:21:752,1,466,3,0,571,6694,466,0 44,0,2024-09-07 09:29:20:903,118166,0.4,118278,0.6,237061,0.4,315082,2.00 44,1,2024-09-07 09:29:20:569,820118,820118,0,0,385295318515,3981580680,813147,5501,1470,356,391809,0 44,2,2024-09-07 09:29:21:272,588359,588359,0,0,22360383,0,4344 44,3,2024-09-07 09:29:21:093,1,466,3,0,817,5284,466,0 45,0,2024-09-07 09:29:21:774,112965,0.5,110303,0.7,231547,0.4,304256,2.00 45,1,2024-09-07 09:29:21:006,819466,819466,0,0,385733543391,4009134573,812814,5953,699,382,391917,0 45,2,2024-09-07 09:29:21:274,593078,593078,0,0,22653675,0,3596 45,3,2024-09-07 09:29:20:953,1,466,14,0,271,3952,466,0 46,0,2024-09-07 09:29:20:950,117363,0.4,116832,0.6,234246,0.4,311194,2.00 46,1,2024-09-07 09:29:20:855,821514,821514,0,0,385762935391,3983805382,816354,4536,624,366,391709,0 46,2,2024-09-07 09:29:20:598,588059,588059,0,0,22754859,0,4443 46,3,2024-09-07 09:29:21:131,1,466,9,0,908,5620,466,0 47,0,2024-09-07 09:29:21:102,117495,0.4,117784,0.6,235781,0.4,312435,1.75 47,1,2024-09-07 09:29:20:567,822090,822090,0,0,385470480593,3982071533,817101,4150,839,366,391641,0 47,2,2024-09-07 09:29:20:908,591355,591355,0,0,22551606,0,4477 47,3,2024-09-07 09:29:21:115,1,466,14,0,600,5479,466,0 48,0,2024-09-07 09:29:21:496,117784,0.3,117788,0.4,234529,0.2,312485,1.50 48,1,2024-09-07 09:29:21:022,820160,820160,0,0,385666843039,4003045644,815415,4288,457,384,391710,0 48,2,2024-09-07 09:29:20:699,589630,589630,0,0,20945084,0,3411 48,3,2024-09-07 09:29:20:754,1,466,1,0,339,3698,466,0 49,0,2024-09-07 09:29:21:711,118076,0.3,116102,0.5,225201,0.3,308258,1.75 49,1,2024-09-07 09:29:21:021,819559,819559,0,0,384879779465,4000963107,814388,3964,1207,382,391809,0 49,2,2024-09-07 09:29:21:809,593897,593897,0,0,23347335,0,4426 49,3,2024-09-07 09:29:21:418,1,466,13,0,992,5447,466,0 50,0,2024-09-07 09:29:21:515,116454,0.3,114833,0.4,231401,0.2,308429,1.75 50,1,2024-09-07 09:29:21:010,822170,822170,0,0,387008332812,3999232850,817111,4485,574,368,391565,0 50,2,2024-09-07 09:29:21:066,587807,587807,0,0,20920652,0,4490 50,3,2024-09-07 09:29:21:305,1,466,3,0,567,4562,466,0 51,0,2024-09-07 09:29:21:703,119355,0.3,116945,0.4,227952,0.2,310571,1.75 51,1,2024-09-07 09:29:21:690,822354,822354,0,0,387461265029,3993840049,818107,3197,1050,365,391706,0 51,2,2024-09-07 09:29:21:316,588721,588721,0,0,20075199,0,3337 51,3,2024-09-07 09:29:21:027,1,466,1,0,678,3625,466,0 52,0,2024-09-07 09:29:21:425,118289,0.5,118083,0.7,236469,0.5,313835,2.00 52,1,2024-09-07 09:29:20:577,818385,818385,0,0,384062536408,4018388383,807139,9802,1444,368,391722,0 52,2,2024-09-07 09:29:21:755,586771,586733,38,0,27440869,0,6742 52,3,2024-09-07 09:29:20:674,1,466,0,0,1782,6064,466,0 53,0,2024-09-07 09:29:21:732,115320,0.6,111949,0.8,234250,0.7,307239,2.25 53,1,2024-09-07 09:29:20:771,816459,816459,0,0,384694450269,4037047389,802833,10036,3590,367,391968,0 53,2,2024-09-07 09:29:21:305,593292,593291,1,0,24772475,0,5455 53,3,2024-09-07 09:29:20:699,1,466,12,0,308,4595,466,0 54,0,2024-09-07 09:29:21:629,113000,0.5,113316,0.7,225660,0.4,302243,2.25 54,1,2024-09-07 09:29:20:580,818287,818287,0,0,384490246388,3997173467,809690,7202,1395,366,391659,0 54,2,2024-09-07 09:29:20:868,588550,588518,32,0,27919291,0,6397 54,3,2024-09-07 09:29:20:765,1,466,3,0,676,6432,466,0 55,0,2024-09-07 09:29:21:766,113730,0.6,117285,0.7,237686,0.5,309162,2.25 55,1,2024-09-07 09:29:20:764,819434,819434,0,0,385097475094,4002875081,810860,7367,1207,365,391731,0 55,2,2024-09-07 09:29:20:729,587317,587261,56,0,27036960,0,7239 55,3,2024-09-07 09:29:20:674,1,466,4,0,304,4488,466,0 56,0,2024-09-07 09:29:21:583,121027,1.2,114078,1.1,235148,1.6,314482,2.75 56,1,2024-09-07 09:29:20:571,815202,815202,0,0,383355361051,4046782811,802957,9693,2552,381,391867,0 56,2,2024-09-07 09:29:21:306,588561,588439,122,0,28334458,0,7432 56,3,2024-09-07 09:29:21:059,1,466,6,0,705,5678,466,0 57,0,2024-09-07 09:29:20:951,116364,1.6,116239,1.3,233021,2.2,311664,3.25 57,1,2024-09-07 09:29:20:994,816881,816881,0,0,383480631225,4023558238,806096,8720,2065,366,392032,0 57,2,2024-09-07 09:29:21:315,590742,590742,0,0,28966803,0,4317 57,3,2024-09-07 09:29:21:741,1,466,10,0,455,5354,466,0 58,0,2024-09-07 09:29:20:554,112498,0.9,109361,1.0,228554,1.1,300046,2.50 58,1,2024-09-07 09:29:20:582,817665,817662,0,3,384378481125,4027283679,805390,9504,2768,367,391603,3 58,2,2024-09-07 09:29:21:072,589781,589781,0,0,26947918,0,3483 58,3,2024-09-07 09:29:21:072,1,466,2,0,1043,4914,466,0 59,0,2024-09-07 09:29:21:754,118367,0.9,117871,1.0,235371,1.0,312275,2.75 59,1,2024-09-07 09:29:20:810,817363,817363,0,0,384589730337,4032886659,805129,9997,2237,369,391653,0 59,2,2024-09-07 09:29:20:588,586922,586922,0,0,26569652,0,3727 59,3,2024-09-07 09:29:21:736,1,466,1,0,1015,6261,466,0 60,0,2024-09-07 09:29:21:737,117760,0.5,117805,0.7,236083,0.5,313866,1.75 60,1,2024-09-07 09:29:20:782,820472,820472,0,0,385836074339,4004686966,814743,4842,887,370,392031,0 60,2,2024-09-07 09:29:21:145,589369,589369,0,0,24637907,0,3811 60,3,2024-09-07 09:29:21:266,1,466,3,0,409,5887,466,0 61,0,2024-09-07 09:29:21:498,117282,0.7,117990,0.8,234946,0.7,313202,2.00 61,1,2024-09-07 09:29:20:773,818079,818079,0,0,384450856377,4026993249,808851,7698,1530,382,392127,0 61,2,2024-09-07 09:29:21:117,589254,589187,67,0,25035692,0,6411 61,3,2024-09-07 09:29:21:698,1,466,21,0,479,6195,466,0 62,0,2024-09-07 09:29:21:715,115482,0.5,118428,0.7,226145,0.5,306962,2.00 62,1,2024-09-07 09:29:21:111,823064,823058,0,6,387023515104,3989043856,819131,3664,263,365,391975,6 62,2,2024-09-07 09:29:21:644,591228,591227,1,0,25057931,0,5555 62,3,2024-09-07 09:29:21:143,1,466,11,0,482,3903,466,0 63,0,2024-09-07 09:29:21:451,117109,0.4,116901,0.5,234371,0.3,311450,1.75 63,1,2024-09-07 09:29:20:814,820446,820440,0,6,385488991861,4000681920,815230,4477,733,381,391800,6 63,2,2024-09-07 09:29:20:761,587677,587677,0,0,22923097,0,4369 63,3,2024-09-07 09:29:21:741,1,466,346,0,667,5051,466,0 64,0,2024-09-07 09:29:21:513,116144,0.5,116212,0.6,231867,0.4,308553,1.75 64,1,2024-09-07 09:29:20:758,819890,819890,0,0,384517730938,4003354609,812976,5209,1705,370,391783,0 64,2,2024-09-07 09:29:21:148,592224,592205,19,0,22538369,0,6121 64,3,2024-09-07 09:29:21:140,1,466,0,0,265,4323,466,0 65,0,2024-09-07 09:29:21:794,116388,0.7,116697,0.8,233027,0.7,310384,2.00 65,1,2024-09-07 09:29:20:889,817936,817936,0,0,384145231645,4011075476,812743,4652,541,381,391901,0 65,2,2024-09-07 09:29:21:693,589080,589080,0,0,26919742,0,3367 65,3,2024-09-07 09:29:21:683,1,466,20,0,782,5692,466,0 66,0,2024-09-07 09:29:21:775,115607,0.5,115362,0.7,230663,0.5,307267,2.25 66,1,2024-09-07 09:29:21:294,819949,819949,0,0,384641129684,4002871795,814443,4980,526,380,391743,0 66,2,2024-09-07 09:29:21:132,595047,595044,3,0,24547443,0,5455 66,3,2024-09-07 09:29:21:080,1,466,33,0,291,4029,466,0 67,0,2024-09-07 09:29:21:419,114822,0.4,114494,0.6,229857,0.4,305730,2.00 67,1,2024-09-07 09:29:20:766,819779,819778,0,1,384560429928,4003063883,814224,4760,794,380,391787,1 67,2,2024-09-07 09:29:20:588,589860,589845,15,0,23100601,0,6205 67,3,2024-09-07 09:29:21:750,1,466,87,0,392,4521,466,0 68,0,2024-09-07 09:29:20:587,117249,0.5,117113,0.7,233265,0.5,311422,2.00 68,1,2024-09-07 09:29:20:581,816354,816354,0,0,382888193390,4028023506,805011,8007,3336,381,391953,0 68,2,2024-09-07 09:29:21:044,585034,584934,100,0,29849875,0,8578 68,3,2024-09-07 09:29:20:731,1,466,1,0,417,5589,466,0 69,0,2024-09-07 09:29:21:751,117773,0.7,118550,0.8,236260,0.8,313320,2.25 69,1,2024-09-07 09:29:21:021,816065,816065,0,0,383123790081,4035230029,805673,8118,2274,384,391994,0 69,2,2024-09-07 09:29:21:749,588361,588332,29,0,31855673,0,6912 69,3,2024-09-07 09:29:20:762,1,466,15,0,698,7117,466,0 70,0,2024-09-07 09:29:21:543,115974,0.9,116373,1.0,234104,0.8,309510,2.50 70,1,2024-09-07 09:29:20:813,820058,820058,0,0,385409298928,3998810045,813569,5788,701,366,391725,0 70,2,2024-09-07 09:29:21:336,591903,591903,0,0,26720816,0,4323 70,3,2024-09-07 09:29:20:747,1,466,1,0,854,5348,466,0 71,0,2024-09-07 09:29:21:381,112792,0.9,112719,1.0,226488,1.1,302513,2.50 71,1,2024-09-07 09:29:21:600,818371,818371,0,0,384589479754,4012040821,808746,8544,1081,368,391738,0 71,2,2024-09-07 09:29:21:081,588131,588131,0,0,26497139,0,4352 71,3,2024-09-07 09:29:21:751,1,466,9,0,644,5733,466,0 72,0,2024-09-07 09:29:21:026,122314,0.6,119622,0.7,233200,0.5,316674,2.00 72,1,2024-09-07 09:29:21:021,816622,816622,0,0,383834845478,4026757553,804755,9784,2083,369,391819,0 72,2,2024-09-07 09:29:21:761,586621,586621,0,0,28925295,0,3983 72,3,2024-09-07 09:29:21:775,1,466,11,0,564,6760,466,0 73,0,2024-09-07 09:29:21:110,115515,0.4,118507,0.6,242187,0.4,314840,2.00 73,1,2024-09-07 09:29:20:771,818945,818945,0,0,384735074908,3996427076,813030,5493,422,367,391858,0 73,2,2024-09-07 09:29:21:739,588655,588655,0,0,28942999,0,4291 73,3,2024-09-07 09:29:20:971,1,466,8,0,486,5964,466,0 74,0,2024-09-07 09:29:21:429,119007,0.5,121731,0.7,232097,0.5,315507,2.25 74,1,2024-09-07 09:29:20:635,818100,818100,0,0,384541045946,4014778287,809035,7299,1766,381,391762,0 74,2,2024-09-07 09:29:21:009,589053,589053,0,0,25761318,0,4253 74,3,2024-09-07 09:29:21:449,1,466,11,0,522,5767,466,0 75,0,2024-09-07 09:29:21:767,114871,0.5,114053,0.7,228991,0.5,305777,2.25 75,1,2024-09-07 09:29:21:594,818890,818890,0,0,384193193823,4006509918,811518,6531,841,380,391739,0 75,2,2024-09-07 09:29:21:351,590887,590887,0,0,29397929,0,4766 75,3,2024-09-07 09:29:21:067,1,466,12,0,702,5817,466,0 76,0,2024-09-07 09:29:20:590,117168,0.5,116497,0.7,233656,0.4,312510,2.25 76,1,2024-09-07 09:29:20:818,818366,818366,0,0,384066168885,4004685083,812710,4828,828,382,391692,0 76,2,2024-09-07 09:29:21:067,590038,590037,1,0,24875619,0,5144 76,3,2024-09-07 09:29:21:142,1,466,19,0,175,4336,466,0 77,0,2024-09-07 09:29:21:737,116875,0.5,117292,0.7,234870,0.5,311599,2.00 77,1,2024-09-07 09:29:20:829,819401,819401,0,0,384512296654,4010655296,813279,5614,508,381,391869,0 77,2,2024-09-07 09:29:21:282,588446,588446,0,0,24695960,0,3890 77,3,2024-09-07 09:29:21:112,1,466,10,0,401,5071,466,0 78,0,2024-09-07 09:29:21:797,117545,0.4,116901,0.6,234748,0.4,311196,2.00 78,1,2024-09-07 09:29:20:621,818895,818895,0,0,383621656937,3997436728,810123,6836,1936,367,391670,0 78,2,2024-09-07 09:29:21:415,590404,590391,13,0,23191772,0,8313 78,3,2024-09-07 09:29:21:133,1,466,9,0,181,3997,466,0 79,0,2024-09-07 09:29:21:349,111191,0.4,113908,0.6,233115,0.3,303294,2.00 79,1,2024-09-07 09:29:20:574,821012,821012,0,0,384979627187,3989053294,814204,5499,1309,367,391682,0 79,2,2024-09-07 09:29:21:072,594172,594172,0,0,22545951,0,4195 79,3,2024-09-07 09:29:20:749,1,466,1,0,418,5836,466,0 80,0,2024-09-07 09:29:21:160,115774,0.5,119058,0.6,227701,0.4,308023,2.00 80,1,2024-09-07 09:29:21:642,818666,818666,0,0,384585526579,4003835710,812995,5248,423,368,392269,0 80,2,2024-09-07 09:29:21:094,589537,589537,0,0,22695367,0,4433 80,3,2024-09-07 09:29:20:577,1,466,1,0,190,5220,466,0 81,0,2024-09-07 09:29:21:545,116516,0.5,119234,0.7,227731,0.5,309273,2.00 81,1,2024-09-07 09:29:21:668,817748,817748,0,0,384288604823,4013122079,811562,5632,554,382,391879,0 81,2,2024-09-07 09:29:21:125,587124,587061,63,0,25253766,0,5932 81,3,2024-09-07 09:29:21:117,1,466,8,0,719,5476,466,0 82,0,2024-09-07 09:29:21:558,117650,0.5,117915,0.7,236159,0.5,313080,2.00 82,1,2024-09-07 09:29:20:590,820329,820325,0,4,384732247423,3999654968,815579,3927,819,381,391768,4 82,2,2024-09-07 09:29:21:698,591022,591022,0,0,21464379,0,4484 82,3,2024-09-07 09:29:21:755,1,466,16,0,363,4701,466,0 83,0,2024-09-07 09:29:21:529,116135,0.6,116040,0.7,231408,0.6,307444,2.25 83,1,2024-09-07 09:29:20:558,818252,818252,0,0,384271206467,4004177862,812564,5238,450,382,391709,0 83,2,2024-09-07 09:29:20:778,593138,593113,25,0,23201221,0,5612 83,3,2024-09-07 09:29:20:749,1,466,26,0,1260,5886,466,0 84,0,2024-09-07 09:29:21:772,113715,0.7,113801,0.9,226944,0.6,304049,2.25 84,1,2024-09-07 09:29:21:040,817658,817658,0,0,383203468097,4004147459,809007,7514,1137,367,391967,0 84,2,2024-09-07 09:29:20:571,586780,586750,30,0,29834940,0,5971 84,3,2024-09-07 09:29:21:141,1,466,96,0,908,6905,466,0 85,0,2024-09-07 09:29:21:009,113318,0.6,113226,0.8,240252,0.6,310890,2.25 85,1,2024-09-07 09:29:20:569,815394,815394,0,0,383028989737,4041352719,803319,9931,2144,381,392006,0 85,2,2024-09-07 09:29:20:869,586842,586842,0,0,28360593,0,3656 85,3,2024-09-07 09:29:20:688,1,466,10,0,789,5461,466,0 86,0,2024-09-07 09:29:20:934,118236,0.7,121731,0.8,232847,0.7,314823,2.25 86,1,2024-09-07 09:29:20:847,817673,817673,0,0,384388295049,4025063744,807922,8117,1634,366,391961,0 86,2,2024-09-07 09:29:20:869,587209,587208,1,0,30875238,0,5004 86,3,2024-09-07 09:29:20:594,1,466,0,0,308,6812,466,0 87,0,2024-09-07 09:29:21:352,118134,0.9,117782,0.9,235610,1.2,314876,2.25 87,1,2024-09-07 09:29:20:562,816465,816465,0,0,383349031566,4017298410,805462,9345,1658,366,392076,0 87,2,2024-09-07 09:29:21:067,590040,590034,6,0,27111014,0,6323 87,3,2024-09-07 09:29:21:798,1,466,2,0,473,7152,466,0 88,0,2024-09-07 09:29:21:439,113945,0.4,114657,0.6,229023,0.4,304849,1.75 88,1,2024-09-07 09:29:20:573,816280,816280,0,0,383454348004,4015974959,805504,8550,2226,365,392084,0 88,2,2024-09-07 09:29:20:701,590396,590396,0,0,29649115,0,4465 88,3,2024-09-07 09:29:21:271,1,466,1,0,435,5729,466,0 89,0,2024-09-07 09:29:21:782,122131,0.5,118363,0.6,233959,0.4,318052,1.75 89,1,2024-09-07 09:29:20:553,815944,815944,0,0,383887633395,4034752854,805977,8495,1472,382,391866,0 89,2,2024-09-07 09:29:21:132,586892,586892,0,0,27610084,0,3173 89,3,2024-09-07 09:29:21:790,1,466,17,0,468,7884,466,0 90,0,2024-09-07 09:29:21:619,114375,0.4,117519,0.6,239557,0.4,312758,2.00 90,1,2024-09-07 09:29:20:599,817559,817559,0,0,384101160190,4020617622,809981,6927,651,380,391825,0 90,2,2024-09-07 09:29:21:407,586727,586727,0,0,29773932,0,3608 90,3,2024-09-07 09:29:20:931,1,466,94,0,322,5623,466,0 91,0,2024-09-07 09:29:20:937,118159,0.5,114307,0.6,238877,0.5,313876,1.75 91,1,2024-09-07 09:29:20:565,815857,815857,0,0,383785906444,4035040789,805551,8665,1641,381,392047,0 91,2,2024-09-07 09:29:21:331,589803,589803,0,0,26300764,0,3526 91,3,2024-09-07 09:29:20:598,1,466,11,0,216,4630,466,0 92,0,2024-09-07 09:29:21:440,116164,0.4,118896,0.6,226836,0.4,307646,1.75 92,1,2024-09-07 09:29:20:588,818676,818676,0,0,383234684036,4002803060,812300,5305,1071,381,392136,0 92,2,2024-09-07 09:29:21:351,593799,593799,0,0,23394107,0,3259 92,3,2024-09-07 09:29:21:013,1,466,1,0,167,4157,466,0 93,0,2024-09-07 09:29:20:952,117493,0.4,120426,0.5,229971,0.3,311657,1.75 93,1,2024-09-07 09:29:20:812,818505,818505,0,0,384556418698,4012887639,809908,7059,1538,366,391776,0 93,2,2024-09-07 09:29:20:928,587464,587464,0,0,27784349,0,4845 93,3,2024-09-07 09:29:21:413,1,466,7,0,190,4131,466,0 94,0,2024-09-07 09:29:21:631,116150,0.3,117025,0.5,233679,0.3,309953,1.75 94,1,2024-09-07 09:29:20:567,818562,818562,0,0,385284162382,4017273641,813313,5033,216,381,391850,0 94,2,2024-09-07 09:29:20:762,588434,588434,0,0,23346259,0,2443 94,3,2024-09-07 09:29:21:688,1,466,30,0,576,6083,466,0 95,0,2024-09-07 09:29:21:373,117411,0.3,117185,0.5,234992,0.3,312975,1.75 95,1,2024-09-07 09:29:20:871,819952,819952,0,0,385083718579,3999826156,813701,5813,438,365,391786,0 95,2,2024-09-07 09:29:21:017,588869,588869,0,0,23223151,0,3308 95,3,2024-09-07 09:29:21:709,1,466,0,0,718,6659,466,0 96,0,2024-09-07 09:29:21:056,115997,0.4,116228,0.5,232210,0.3,308241,1.75 96,1,2024-09-07 09:29:21:583,818395,818395,0,0,384395303075,4008757311,812477,5011,907,384,391955,0 96,2,2024-09-07 09:29:21:290,594270,594270,0,0,24004073,0,4180 96,3,2024-09-07 09:29:21:146,1,466,1,0,411,5073,466,0 97,0,2024-09-07 09:29:21:325,114904,0.3,114377,0.4,229617,0.2,305693,1.50 97,1,2024-09-07 09:29:20:764,819861,819861,0,0,385883325170,4000277764,814675,4250,936,367,392140,0 97,2,2024-09-07 09:29:20:623,588168,588168,0,0,23146304,0,3679 97,3,2024-09-07 09:29:20:574,1,466,9,0,242,5533,466,0 98,0,2024-09-07 09:29:21:693,116539,0.3,116973,0.4,234374,0.2,311086,1.50 98,1,2024-09-07 09:29:20:578,819606,819606,0,0,384946137671,4008220471,814511,4302,793,381,391997,0 98,2,2024-09-07 09:29:20:769,588469,588469,0,0,23254687,0,4336 98,3,2024-09-07 09:29:20:707,1,466,10,0,840,7422,466,0 99,0,2024-09-07 09:29:21:467,118382,0.3,119002,0.5,236715,0.3,315924,1.75 99,1,2024-09-07 09:29:21:723,819571,819571,0,0,384542390073,4000090518,814505,4228,838,380,392069,0 99,2,2024-09-07 09:29:21:417,590866,590866,0,0,27545077,0,4276 99,3,2024-09-07 09:29:20:589,1,466,2,0,606,4900,466,0 100,0,2024-09-07 09:29:21:461,117006,0.8,117376,0.9,233937,0.9,312168,2.50 100,1,2024-09-07 09:29:20:572,814663,814663,0,0,383001176858,4050289686,801673,10041,2949,378,391989,0 100,2,2024-09-07 09:29:21:839,589645,589634,11,0,27384535,0,5417 100,3,2024-09-07 09:29:21:739,1,466,33,0,559,7418,466,0 101,0,2024-09-07 09:29:21:765,116320,1.0,113350,1.0,222106,0.9,304152,2.25 101,1,2024-09-07 09:29:20:554,815673,815673,0,0,383320457380,4030124047,803979,9327,2367,368,391847,0 101,2,2024-09-07 09:29:21:767,585147,585147,0,0,31986514,0,4871 101,3,2024-09-07 09:29:20:942,1,466,13,0,1250,7435,466,0 102,0,2024-09-07 09:29:20:971,115297,0.6,118854,0.7,241177,0.6,315178,2.00 102,1,2024-09-07 09:29:21:145,815492,815492,0,0,383414905876,4029667133,804067,9431,1994,369,391891,0 102,2,2024-09-07 09:29:21:738,588567,588513,54,0,25918124,0,6768 102,3,2024-09-07 09:29:21:613,1,466,0,0,466,5054,466,0 103,0,2024-09-07 09:29:21:606,122386,0.6,122426,0.7,230673,0.5,317285,2.00 103,1,2024-09-07 09:29:21:627,815088,815088,0,0,382999335503,4044431683,801838,10057,3193,381,391862,0 103,2,2024-09-07 09:29:20:581,587601,587601,0,0,28835285,0,3766 103,3,2024-09-07 09:29:20:756,1,466,1,0,916,5385,466,0 104,0,2024-09-07 09:29:21:020,117127,0.7,117479,0.9,233822,0.7,313509,2.25 104,1,2024-09-07 09:29:21:615,817161,817161,0,0,383692341273,4033575848,804558,10058,2545,365,392168,0 104,2,2024-09-07 09:29:21:669,587516,587516,0,0,27782514,0,3941 104,3,2024-09-07 09:29:21:418,1,466,1,0,1245,8826,466,0 105,0,2024-09-07 09:29:21:032,113194,0.9,110315,1.1,231152,1.0,303801,2.75 105,1,2024-09-07 09:29:20:556,818526,818526,0,0,384390197604,4029141427,807741,9135,1650,366,392009,0 105,2,2024-09-07 09:29:21:322,590310,590310,0,0,27635359,0,3509 105,3,2024-09-07 09:29:21:305,1,466,8,0,399,6870,466,0 106,0,2024-09-07 09:29:20:940,113641,0.7,116402,0.8,238357,0.7,311508,2.50 106,1,2024-09-07 09:29:21:752,817285,817285,0,0,383486672322,4025425985,805324,10320,1641,368,391914,0 106,2,2024-09-07 09:29:20:756,586748,586748,0,0,26502012,0,2920 106,3,2024-09-07 09:29:20:677,1,466,26,0,470,6069,466,0 107,0,2024-09-07 09:29:21:106,117119,0.8,117329,0.9,234463,0.9,312696,2.25 107,1,2024-09-07 09:29:20:609,815676,815676,0,0,383775146688,4043961794,804417,10162,1097,381,392234,0 107,2,2024-09-07 09:29:21:311,586525,586524,1,0,28702508,0,5024 107,3,2024-09-07 09:29:21:756,1,466,1,0,370,6785,466,0 108,0,2024-09-07 09:29:21:775,116884,0.5,117851,0.6,234230,0.4,312528,1.75 108,1,2024-09-07 09:29:21:306,818545,818545,0,0,383888599502,4001905455,811943,5851,751,367,391857,0 108,2,2024-09-07 09:29:21:755,588189,588189,0,0,25921116,0,4246 108,3,2024-09-07 09:29:21:339,1,466,1,0,749,8832,466,0 109,0,2024-09-07 09:29:21:753,115770,0.4,114865,0.6,229982,0.3,307543,1.75 109,1,2024-09-07 09:29:20:594,815968,815968,0,0,383538202032,4023304150,808353,6406,1209,382,392132,0 109,2,2024-09-07 09:29:20:929,591079,591079,0,0,25539501,0,3617 109,3,2024-09-07 09:29:21:148,1,466,17,0,379,5396,466,0 110,0,2024-09-07 09:29:21:754,115584,0.4,112470,0.5,235568,0.3,308848,1.75 110,1,2024-09-07 09:29:21:653,819755,819755,0,0,384735221224,3991354733,814151,4399,1205,369,392045,0 110,2,2024-09-07 09:29:21:308,587834,587834,0,0,24335748,0,4067 110,3,2024-09-07 09:29:20:699,1,466,16,0,722,6565,466,0 111,0,2024-09-07 09:29:21:418,116860,0.3,116154,0.5,232839,0.3,310469,1.75 111,1,2024-09-07 09:29:21:004,820981,820981,0,0,386672014709,4008131673,816827,3798,356,380,391690,0 111,2,2024-09-07 09:29:21:117,587271,587271,0,0,24135283,0,4823 111,3,2024-09-07 09:29:20:921,1,466,0,0,379,5563,466,0 112,0,2024-09-07 09:29:20:913,118666,0.3,118080,0.4,236709,0.2,314127,1.50 112,1,2024-09-07 09:29:20:825,819723,819723,0,0,384798009151,3991324484,814943,4166,614,380,391624,0 112,2,2024-09-07 09:29:21:132,589890,589889,1,0,23347650,0,5036 112,3,2024-09-07 09:29:20:596,1,466,6,0,282,4591,466,0 113,0,2024-09-07 09:29:20:866,116226,0.3,116220,0.5,232778,0.2,309403,1.75 113,1,2024-09-07 09:29:21:685,822263,822263,0,0,386387239828,3983231933,818366,3307,590,366,391661,0 113,2,2024-09-07 09:29:21:306,595203,595203,0,0,21234849,0,3813 113,3,2024-09-07 09:29:20:698,1,466,15,0,340,5053,466,0 114,0,2024-09-07 09:29:20:876,114692,0.3,115525,0.4,229588,0.2,307447,1.75 114,1,2024-09-07 09:29:20:717,820283,820283,0,0,384991778012,3997196050,813946,4721,1616,381,391565,0 114,2,2024-09-07 09:29:20:873,589740,589739,1,0,22165352,0,5069 114,3,2024-09-07 09:29:21:282,1,466,6,0,395,3806,466,0 115,0,2024-09-07 09:29:20:555,118154,0.3,118582,0.4,236602,0.2,314111,1.50 115,1,2024-09-07 09:29:20:572,820249,820249,0,0,385798065908,4006726045,813692,5289,1268,382,391757,0 115,2,2024-09-07 09:29:21:129,589768,589768,0,0,22247941,0,4382 115,3,2024-09-07 09:29:21:006,1,466,2,0,159,2602,466,0 116,0,2024-09-07 09:29:21:718,118122,0.7,118012,0.8,236758,0.6,315987,2.00 116,1,2024-09-07 09:29:20:840,815832,815832,0,0,384079692073,4046056495,806156,7274,2402,380,392089,0 116,2,2024-09-07 09:29:21:751,588024,588024,0,0,28906914,0,4128 116,3,2024-09-07 09:29:20:912,1,466,321,0,415,5560,466,0 117,0,2024-09-07 09:29:20:979,118386,0.7,117797,0.8,236133,0.8,315328,2.00 117,1,2024-09-07 09:29:21:582,817206,817206,0,0,383340736634,4011334905,808567,7643,996,369,392033,0 117,2,2024-09-07 09:29:21:118,592709,592709,0,0,25332688,0,4303 117,3,2024-09-07 09:29:21:058,1,466,8,0,490,6720,466,0 118,0,2024-09-07 09:29:21:770,110776,0.5,113730,0.7,232231,0.5,303724,2.00 118,1,2024-09-07 09:29:20:591,816427,816427,0,0,383159048184,4027677806,804237,9170,3020,366,392054,0 118,2,2024-09-07 09:29:21:585,589371,589371,0,0,27155446,0,2842 118,3,2024-09-07 09:29:21:773,1,466,10,0,248,5474,466,0 119,0,2024-09-07 09:29:21:341,118531,0.7,118767,0.8,237577,0.7,316289,2.00 119,1,2024-09-07 09:29:20:594,817143,817143,0,0,384047632760,4021632820,806924,8823,1396,367,391857,0 119,2,2024-09-07 09:29:21:262,588368,588368,0,0,25237083,0,4174 119,3,2024-09-07 09:29:21:325,1,466,3,0,1358,8898,466,0 120,0,2024-09-07 09:29:21:563,117185,0.7,116951,0.8,234760,0.7,313295,2.25 120,1,2024-09-07 09:29:20:860,817591,817591,0,0,383734328463,4024080834,808851,7967,773,368,392144,0 120,2,2024-09-07 09:29:20:770,587731,587730,1,0,29965985,0,5281 120,3,2024-09-07 09:29:21:290,1,466,31,0,279,5826,466,0 121,0,2024-09-07 09:29:21:718,117596,1.3,117189,1.1,234838,1.8,313160,2.50 121,1,2024-09-07 09:29:21:665,817978,817978,0,0,384409136180,4017437545,810357,7069,552,367,391840,0 121,2,2024-09-07 09:29:21:125,588038,588038,0,0,28204999,0,4157 121,3,2024-09-07 09:29:20:727,1,466,13,0,269,5428,466,0 122,0,2024-09-07 09:29:21:762,114519,0.7,111588,0.9,233982,0.8,307211,2.00 122,1,2024-09-07 09:29:20:860,816456,816456,0,0,384589826075,4034171890,805273,9479,1704,366,392130,0 122,2,2024-09-07 09:29:21:331,592876,592803,73,0,31410142,0,5989 122,3,2024-09-07 09:29:20:598,1,466,33,0,512,8269,466,0 123,0,2024-09-07 09:29:21:025,116079,0.8,112970,0.8,236815,0.9,310153,2.25 123,1,2024-09-07 09:29:20:574,816158,816158,0,0,383543033375,4036810531,802244,11468,2446,369,392039,0 123,2,2024-09-07 09:29:21:029,585629,585628,1,0,26376154,0,5215 123,3,2024-09-07 09:29:21:139,1,466,5,0,478,5481,466,0 124,0,2024-09-07 09:29:20:926,119992,0.3,120011,0.5,226143,0.3,310883,1.75 124,1,2024-09-07 09:29:21:021,819853,819853,0,0,384851459197,3999107112,814013,4783,1057,367,392178,0 124,2,2024-09-07 09:29:21:013,589095,589042,53,0,23906924,0,6487 124,3,2024-09-07 09:29:20:767,1,466,3,0,490,4728,466,0 125,0,2024-09-07 09:29:21:417,117326,0.4,117121,0.6,235033,0.4,312870,1.75 125,1,2024-09-07 09:29:20:874,818017,818017,0,0,384325813244,4009587016,811989,5354,674,382,391813,0 125,2,2024-09-07 09:29:21:115,590620,590620,0,0,24585412,0,4534 125,3,2024-09-07 09:29:21:133,1,466,8,0,709,5620,466,0 126,0,2024-09-07 09:29:21:425,115945,0.4,119376,0.6,228237,0.4,308847,1.75 126,1,2024-09-07 09:29:20:556,820550,820550,0,0,385876477981,3993881817,816375,3880,295,365,391987,0 126,2,2024-09-07 09:29:20:617,594188,594188,0,0,25051394,0,4539 126,3,2024-09-07 09:29:20:906,1,466,9,0,268,5427,466,0 127,0,2024-09-07 09:29:21:615,114796,0.3,115195,0.5,229762,0.2,305892,1.50 127,1,2024-09-07 09:29:20:573,819364,819364,0,0,384882332205,4001360261,811586,6541,1237,364,392187,0 127,2,2024-09-07 09:29:20:639,586857,586857,0,0,22679798,0,3897 127,3,2024-09-07 09:29:21:274,1,466,8,0,968,4847,466,0 128,0,2024-09-07 09:29:21:525,117461,0.3,117550,0.4,234828,0.2,311862,1.50 128,1,2024-09-07 09:29:21:606,818762,818762,0,0,385155282549,3995046768,813830,4499,433,367,392031,0 128,2,2024-09-07 09:29:21:383,589652,589652,0,0,21889716,0,3171 128,3,2024-09-07 09:29:20:779,1,466,4,0,1082,7107,466,0 129,0,2024-09-07 09:29:20:995,119373,0.3,118839,0.5,238022,0.3,316340,1.50 129,1,2024-09-07 09:29:20:585,816774,816774,0,0,382842236855,4009437712,808928,6172,1674,379,391962,0 129,2,2024-09-07 09:29:20:689,590806,590802,4,0,24195977,0,5335 129,3,2024-09-07 09:29:20:690,1,466,9,0,506,6871,466,0 130,0,2024-09-07 09:29:21:713,118057,0.5,117577,0.6,236380,0.5,313533,1.75 130,1,2024-09-07 09:29:20:590,819949,819949,0,0,384938085881,3998430266,815761,3849,339,381,391825,0 130,2,2024-09-07 09:29:21:127,593287,593287,0,0,23967275,0,4067 130,3,2024-09-07 09:29:21:333,1,466,0,0,960,6894,466,0 131,0,2024-09-07 09:29:21:954,114001,0.3,114334,0.5,229400,0.3,304884,1.50 131,1,2024-09-07 09:29:21:840,819150,819150,0,0,384106786989,4007626238,813088,5008,1054,381,391865,0 131,2,2024-09-07 09:29:20:605,590924,590924,0,0,21851719,0,3979 131,3,2024-09-07 09:29:21:698,1,466,13,0,392,5021,466,0 132,0,2024-09-07 09:29:21:420,118565,0.4,119649,0.6,238034,0.4,316166,1.75 132,1,2024-09-07 09:29:20:815,815244,815244,0,0,383843332377,4039575762,803503,9609,2132,381,392532,0 132,2,2024-09-07 09:29:20:702,587721,587704,17,0,29095063,0,6451 132,3,2024-09-07 09:29:21:689,1,466,1,0,804,7943,466,0 133,0,2024-09-07 09:29:21:534,115491,0.4,118270,0.6,242413,0.4,315557,1.75 133,1,2024-09-07 09:29:20:581,815398,815398,0,0,383260769554,4039604289,803920,9858,1620,383,391914,0 133,2,2024-09-07 09:29:21:093,589122,589072,50,0,30120695,0,6861 133,3,2024-09-07 09:29:21:304,1,466,8,0,479,4830,466,0 134,0,2024-09-07 09:29:20:982,118521,0.6,118194,0.7,236681,0.5,315884,2.00 134,1,2024-09-07 09:29:20:590,816718,816718,0,0,383507635854,4019473097,806536,8113,2069,366,391718,0 134,2,2024-09-07 09:29:21:756,588178,588154,24,0,28109099,0,6207 134,3,2024-09-07 09:29:20:750,1,466,8,0,739,5720,466,0 135,0,2024-09-07 09:29:21:107,110889,0.7,110895,0.8,235403,0.8,303301,2.25 135,1,2024-09-07 09:29:21:585,816929,816929,0,0,384392252612,4040551119,806573,8978,1378,380,391805,0 135,2,2024-09-07 09:29:20:692,591749,591749,0,0,27542204,0,3981 135,3,2024-09-07 09:29:21:006,1,466,1,0,299,4039,466,0 136,0,2024-09-07 09:29:21:623,118119,0.5,118362,0.7,235537,0.4,314621,2.00 136,1,2024-09-07 09:29:21:443,817874,817874,0,0,383275325568,4018300963,808538,8198,1138,381,391685,0 136,2,2024-09-07 09:29:21:134,589067,589067,0,0,26196190,0,3506 136,3,2024-09-07 09:29:21:108,1,466,1,0,637,5400,466,0 137,0,2024-09-07 09:29:20:943,121030,0.6,117903,0.7,231127,0.6,313974,2.00 137,1,2024-09-07 09:29:20:580,816075,816075,0,0,383231677512,4021203418,803166,10241,2668,366,391898,0 137,2,2024-09-07 09:29:21:710,586792,586792,0,0,29348792,0,3185 137,3,2024-09-07 09:29:20:769,1,466,15,0,484,5718,466,0 138,0,2024-09-07 09:29:21:759,116745,0.9,116756,0.9,234399,1.2,311006,2.25 138,1,2024-09-07 09:29:21:687,817154,817154,0,0,383974709971,4026429172,805900,9434,1820,368,391954,0 138,2,2024-09-07 09:29:20:588,588201,588201,0,0,27282261,0,4988 138,3,2024-09-07 09:29:20:620,1,466,39,0,1200,7468,466,0 139,0,2024-09-07 09:29:21:413,114212,1.4,114380,1.1,229203,2.1,306054,2.50 139,1,2024-09-07 09:29:20:588,813062,813062,0,0,381646986684,4053396302,797580,12037,3445,380,392058,0 139,2,2024-09-07 09:29:20:694,589198,589198,0,0,30414733,0,3097 139,3,2024-09-07 09:29:21:664,1,466,1,0,432,5495,466,0 140,0,2024-09-07 09:29:21:588,116270,0.3,115480,0.5,231746,0.2,309208,1.75 140,1,2024-09-07 09:29:21:536,821940,821940,0,0,387023122223,3989117085,817936,3543,461,365,391606,0 140,2,2024-09-07 09:29:20:689,588944,588943,1,0,22630467,0,5036 140,3,2024-09-07 09:29:20:767,1,466,1,0,297,3892,466,0 141,0,2024-09-07 09:29:21:696,116784,0.3,119785,0.4,228801,0.2,310152,1.50 141,1,2024-09-07 09:29:20:864,820597,820597,0,0,385481627322,4003885262,814125,5387,1085,379,391614,0 141,2,2024-09-07 09:29:21:686,588341,588341,0,0,23269282,0,3360 141,3,2024-09-07 09:29:21:043,1,466,8,0,391,5151,466,0 142,0,2024-09-07 09:29:21:308,118702,0.3,118015,0.5,236187,0.3,314782,1.75 142,1,2024-09-07 09:29:20:606,818893,818893,0,0,384043609363,4001035402,813857,4588,448,382,392102,0 142,2,2024-09-07 09:29:21:306,589372,589340,32,0,25055361,0,6028 142,3,2024-09-07 09:29:21:760,1,466,12,0,484,5115,466,0 143,0,2024-09-07 09:29:21:375,116162,0.4,116292,0.6,232976,0.4,309379,1.75 143,1,2024-09-07 09:29:20:564,820278,820278,0,0,385649473847,4001436941,814630,5099,549,367,391705,0 143,2,2024-09-07 09:29:20:775,593773,593773,0,0,24132196,0,3123 143,3,2024-09-07 09:29:21:140,1,466,5,0,462,6110,466,0 144,0,2024-09-07 09:29:21:540,110939,0.6,114004,0.8,232464,0.5,304989,2.00 144,1,2024-09-07 09:29:20:572,816562,816562,0,0,383502022880,4022449167,808457,6266,1839,381,391733,0 144,2,2024-09-07 09:29:21:755,588949,588949,0,0,22314456,0,3673 144,3,2024-09-07 09:29:21:739,1,466,1,0,249,4551,466,0 145,0,2024-09-07 09:29:21:373,113913,0.6,113898,0.8,241609,0.5,310973,2.25 145,1,2024-09-07 09:29:20:558,815507,815507,0,0,383004708462,4029022193,804750,8889,1868,382,391759,0 145,2,2024-09-07 09:29:21:432,585810,585729,81,0,28018913,0,7814 145,3,2024-09-07 09:29:20:897,1,466,10,0,622,6451,466,0 146,0,2024-09-07 09:29:21:605,118378,0.6,117928,0.7,237157,0.6,314465,2.25 146,1,2024-09-07 09:29:21:602,816909,816909,0,0,383340414411,4031390937,803628,9753,3528,367,391770,0 146,2,2024-09-07 09:29:21:702,587551,587551,0,0,27000545,0,3290 146,3,2024-09-07 09:29:21:282,1,466,8,0,1520,8229,466,0 147,0,2024-09-07 09:29:21:701,118281,0.6,118061,0.8,235465,0.6,314751,2.25 147,1,2024-09-07 09:29:21:381,820075,820075,0,0,385651506058,4008351251,813218,6008,849,368,391791,0 147,2,2024-09-07 09:29:21:010,590658,590658,0,0,24187585,0,2968 147,3,2024-09-07 09:29:20:913,1,466,1,0,1626,7788,466,0 0,0,2024-09-07 09:29:31:780,114796,0.6,114809,0.7,243506,0.6,314847,2.00 0,1,2024-09-07 09:29:30:800,818708,818708,0,0,385048507324,4031065312,812078,5971,659,369,391896,0 0,2,2024-09-07 09:29:31:090,591485,591485,0,0,23883172,0,4480 0,3,2024-09-07 09:29:30:985,1,467,9,0,431,6773,467,0 1,0,2024-09-07 09:29:31:775,117677,1.1,117162,1.0,234750,1.5,313911,2.00 1,1,2024-09-07 09:29:30:597,818801,818801,0,0,384298476810,4020258948,811912,5558,1331,370,391859,0 1,2,2024-09-07 09:29:30:667,590119,590119,0,0,23512488,0,3380 1,3,2024-09-07 09:29:31:310,1,467,8,0,269,5928,467,0 2,0,2024-09-07 09:29:31:566,115265,0.6,115240,0.7,230114,0.6,307105,2.00 2,1,2024-09-07 09:29:30:862,821692,821692,0,0,386083472044,4005127649,818321,3076,295,380,391745,0 2,2,2024-09-07 09:29:31:287,594636,594636,0,0,22110976,0,3594 2,3,2024-09-07 09:29:30:702,1,467,1,0,357,4302,467,0 3,0,2024-09-07 09:29:31:755,117089,0.4,116848,0.6,233897,0.3,311457,2.00 3,1,2024-09-07 09:29:31:618,819903,819903,0,0,385354796613,4010577648,813370,5838,695,379,391716,0 3,2,2024-09-07 09:29:31:152,589766,589743,23,0,23230608,0,5851 3,3,2024-09-07 09:29:31:771,1,467,2,0,207,2992,467,0 4,0,2024-09-07 09:29:31:871,113373,0.4,116551,0.5,237524,0.3,310857,1.75 4,1,2024-09-07 09:29:30:596,816488,816488,0,0,383899594191,4062646479,802380,10860,3248,370,391992,0 4,2,2024-09-07 09:29:31:020,587331,587331,0,0,27447751,0,4528 4,3,2024-09-07 09:29:31:032,1,467,2,0,448,6273,467,0 5,0,2024-09-07 09:29:31:414,117325,0.4,117880,0.6,235335,0.4,312788,1.75 5,1,2024-09-07 09:29:30:763,818181,818181,0,0,384852217371,4051667582,806417,9400,2364,367,392005,0 5,2,2024-09-07 09:29:31:845,587337,587337,0,0,27724961,0,3582 5,3,2024-09-07 09:29:31:742,1,467,18,0,457,6412,467,0 6,0,2024-09-07 09:29:30:914,116364,0.4,115913,0.6,231638,0.4,309440,2.00 6,1,2024-09-07 09:29:30:751,820400,820400,0,0,384401808118,4015061955,811821,7153,1426,379,391702,0 6,2,2024-09-07 09:29:31:117,594339,594321,18,0,26697861,0,5535 6,3,2024-09-07 09:29:31:286,1,467,2,0,710,5939,467,0 7,0,2024-09-07 09:29:31:542,114491,0.5,115352,0.6,229676,0.4,305941,2.00 7,1,2024-09-07 09:29:30:855,818491,818491,0,0,383908596561,4030389252,807289,9218,1984,382,391747,0 7,2,2024-09-07 09:29:30:773,589084,589084,0,0,25766817,0,4791 7,3,2024-09-07 09:29:30:856,1,467,1,0,552,5574,467,0 8,0,2024-09-07 09:29:31:356,117194,0.3,116993,0.5,234591,0.3,312056,1.75 8,1,2024-09-07 09:29:31:028,817771,817771,0,0,384065335186,4037758349,805168,9824,2779,366,392853,0 8,2,2024-09-07 09:29:30:801,584699,584699,0,0,30738229,0,3250 8,3,2024-09-07 09:29:30:593,1,467,59,0,538,7437,467,0 9,0,2024-09-07 09:29:31:192,118584,0.4,115301,0.5,241395,0.3,316207,1.75 9,1,2024-09-07 09:29:30:556,816715,816715,0,0,383550281921,4040906630,804386,9862,2467,369,392001,0 9,2,2024-09-07 09:29:31:112,589638,589638,0,0,28166532,0,4615 9,3,2024-09-07 09:29:31:776,1,467,9,0,496,6598,467,0 10,0,2024-09-07 09:29:31:599,117198,0.3,116761,0.5,234456,0.3,311546,1.75 10,1,2024-09-07 09:29:30:584,819248,819248,0,0,384019903659,4026019241,807846,9463,1939,381,391741,0 10,2,2024-09-07 09:29:30:764,593148,593148,0,0,30586010,0,4264 10,3,2024-09-07 09:29:30:878,1,467,2,0,649,5025,467,0 11,0,2024-09-07 09:29:31:014,114282,0.4,110789,0.6,231756,0.4,305750,1.75 11,1,2024-09-07 09:29:30:571,819416,819416,0,0,384616628777,4036767926,806667,9630,3119,383,391756,0 11,2,2024-09-07 09:29:31:132,588883,588883,0,0,26597355,0,4130 11,3,2024-09-07 09:29:31:324,1,467,1,0,843,6372,467,0 12,0,2024-09-07 09:29:30:951,119392,0.4,119365,0.5,238706,0.3,316738,1.75 12,1,2024-09-07 09:29:31:020,819604,819604,0,0,385346698140,4018528064,813131,5820,653,370,391960,0 12,2,2024-09-07 09:29:31:546,589071,589071,0,0,26045699,0,4390 12,3,2024-09-07 09:29:31:059,1,467,17,0,386,6607,467,0 13,0,2024-09-07 09:29:31:363,119718,0.4,119321,0.6,237989,0.4,317428,1.75 13,1,2024-09-07 09:29:31:548,817671,817671,0,0,384514387775,4040323111,809365,6591,1715,382,391740,0 13,2,2024-09-07 09:29:30:596,592107,592107,0,0,23693574,0,3287 13,3,2024-09-07 09:29:31:773,1,467,22,0,522,6632,467,0 14,0,2024-09-07 09:29:30:562,118393,0.4,119315,0.6,236348,0.4,315421,1.75 14,1,2024-09-07 09:29:31:562,823371,823371,0,0,386818576478,4003635208,816913,5745,713,364,391673,0 14,2,2024-09-07 09:29:30:765,591491,591461,30,0,25294068,0,6104 14,3,2024-09-07 09:29:31:120,1,467,0,0,1168,4925,467,0 15,0,2024-09-07 09:29:31:583,114458,0.4,114248,0.6,229088,0.4,304856,2.00 15,1,2024-09-07 09:29:31:609,820368,820368,0,0,386041458241,4020441134,813493,5420,1455,381,391619,0 15,2,2024-09-07 09:29:31:010,594185,594185,0,0,21126123,0,3622 15,3,2024-09-07 09:29:31:416,1,467,1,0,1126,7114,467,0 16,0,2024-09-07 09:29:30:943,117988,0.5,118805,0.7,236517,0.5,314747,2.00 16,1,2024-09-07 09:29:30:563,820190,820190,0,0,384919853304,4021353288,813319,5753,1118,370,391917,0 16,2,2024-09-07 09:29:31:465,589000,589000,0,0,24888342,0,4719 16,3,2024-09-07 09:29:31:145,1,467,1,0,358,5929,467,0 17,0,2024-09-07 09:29:31:823,121166,0.6,118266,0.7,231440,0.6,314487,2.00 17,1,2024-09-07 09:29:30:576,818637,818637,0,0,384463512147,4033303569,810351,6572,1714,368,392075,0 17,2,2024-09-07 09:29:31:693,592213,592212,1,0,25660664,0,5050 17,3,2024-09-07 09:29:30:578,1,467,18,0,518,7333,467,0 18,0,2024-09-07 09:29:30:939,116274,0.7,116779,0.8,232943,0.7,310933,2.25 18,1,2024-09-07 09:29:31:644,822159,822159,0,0,386281917407,4000817523,817833,3888,438,367,391725,0 18,2,2024-09-07 09:29:31:779,592734,592734,0,0,21985970,0,3541 18,3,2024-09-07 09:29:30:896,1,467,1,0,1059,4459,467,0 19,0,2024-09-07 09:29:31:545,115043,0.6,115424,0.8,229046,0.6,305187,2.00 19,1,2024-09-07 09:29:30:569,822489,822489,0,0,386833432550,4011006769,815965,5442,1082,367,391777,0 19,2,2024-09-07 09:29:31:772,596752,596752,0,0,21216257,0,3988 19,3,2024-09-07 09:29:31:139,1,467,29,0,524,3922,467,0 20,0,2024-09-07 09:29:31:411,116071,0.5,116079,0.6,231988,0.4,309613,2.00 20,1,2024-09-07 09:29:30:571,819030,819030,0,0,385505305809,4035556446,811037,6695,1298,369,391922,0 20,2,2024-09-07 09:29:30:938,588257,588257,0,0,25174841,0,3721 20,3,2024-09-07 09:29:30:597,1,467,13,0,468,7722,467,0 21,0,2024-09-07 09:29:31:179,116589,0.4,116663,0.6,233363,0.4,309545,1.75 21,1,2024-09-07 09:29:31:549,816839,816839,0,0,383877047918,4050649584,804091,9773,2975,368,392016,0 21,2,2024-09-07 09:29:31:084,586227,586207,20,0,30728575,0,5617 21,3,2024-09-07 09:29:31:411,1,467,1,0,713,6189,467,0 22,0,2024-09-07 09:29:31:727,117961,0.5,118382,0.7,236393,0.4,313128,2.00 22,1,2024-09-07 09:29:31:032,818388,818388,0,0,383934104114,4039954599,805135,10309,2944,382,391675,0 22,2,2024-09-07 09:29:30:763,589476,589450,26,0,25483947,0,6328 22,3,2024-09-07 09:29:31:074,1,467,1,0,228,3724,467,0 23,0,2024-09-07 09:29:31:373,115925,0.5,115369,0.7,231078,0.5,307776,2.25 23,1,2024-09-07 09:29:31:003,819534,819534,0,0,385423936087,4045607468,805314,10007,4213,365,391690,0 23,2,2024-09-07 09:29:31:096,595825,595825,0,0,24060673,0,3773 23,3,2024-09-07 09:29:31:755,1,467,0,0,720,5840,467,0 24,0,2024-09-07 09:29:30:884,115776,0.4,115016,0.5,231067,0.3,307351,1.75 24,1,2024-09-07 09:29:30:606,818360,818360,0,0,383716026974,4020273145,809865,6835,1660,367,392269,0 24,2,2024-09-07 09:29:31:075,588826,588826,0,0,28510128,0,3607 24,3,2024-09-07 09:29:31:693,1,467,15,0,468,6270,467,0 25,0,2024-09-07 09:29:31:419,121124,0.4,118007,0.6,231437,0.4,314928,1.75 25,1,2024-09-07 09:29:30:559,817638,817638,0,0,384158505532,4051819297,803958,10975,2705,371,391928,0 25,2,2024-09-07 09:29:31:626,587224,587224,0,0,29980086,0,3978 25,3,2024-09-07 09:29:31:002,1,467,5,0,532,5207,467,0 26,0,2024-09-07 09:29:31:722,118272,0.4,115682,0.6,242657,0.4,315463,1.75 26,1,2024-09-07 09:29:31:547,820397,820397,0,0,384234999508,4028106937,808082,9902,2413,380,391748,0 26,2,2024-09-07 09:29:30:861,590491,590491,0,0,31335864,0,4689 26,3,2024-09-07 09:29:31:713,1,467,1,0,796,5355,467,0 27,0,2024-09-07 09:29:31:729,118475,0.5,118727,0.6,236154,0.4,315098,2.25 27,1,2024-09-07 09:29:31:681,821363,821363,0,0,386126652668,4023962384,813117,6984,1262,381,391626,0 27,2,2024-09-07 09:29:30:870,589591,589526,65,0,27445847,0,5699 27,3,2024-09-07 09:29:31:016,1,467,1,0,564,4251,467,0 28,0,2024-09-07 09:29:31:389,114880,0.4,114354,0.6,229595,0.3,306177,1.75 28,1,2024-09-07 09:29:30:809,820702,820702,0,0,385863873040,4024715976,813364,5638,1700,382,391698,0 28,2,2024-09-07 09:29:31:780,591296,591296,0,0,24105464,0,2915 28,3,2024-09-07 09:29:31:786,1,467,1,0,502,4815,467,0 29,0,2024-09-07 09:29:31:370,122183,0.4,119123,0.6,233486,0.3,318267,1.75 29,1,2024-09-07 09:29:31:569,823169,823169,0,0,386807247184,4001020846,818067,4343,759,368,391809,0 29,2,2024-09-07 09:29:30:862,589079,589079,0,0,23163855,0,4986 29,3,2024-09-07 09:29:30:982,1,467,21,0,459,5373,467,0 30,0,2024-09-07 09:29:31:482,117789,0.5,114525,0.7,239692,0.5,313715,2.00 30,1,2024-09-07 09:29:30:571,821894,821894,0,0,386400735003,4012060881,815314,5731,849,380,391672,0 30,2,2024-09-07 09:29:31:287,590896,590896,0,0,22758081,0,4192 30,3,2024-09-07 09:29:30:586,1,467,8,0,519,4485,467,0 31,0,2024-09-07 09:29:31:778,117375,0.5,117855,0.7,235353,0.4,314007,2.00 31,1,2024-09-07 09:29:30:569,825486,825486,0,0,387808802633,3975738840,821755,2995,736,356,391712,0 31,2,2024-09-07 09:29:31:287,589369,589369,0,0,24355324,0,3525 31,3,2024-09-07 09:29:31:721,1,467,1,0,220,3998,467,0 32,0,2024-09-07 09:29:31:429,115563,0.3,116158,0.5,231489,0.2,308757,1.75 32,1,2024-09-07 09:29:30:804,821575,821575,0,0,385875532349,4010945757,816427,4466,682,381,391646,0 32,2,2024-09-07 09:29:30:936,594825,594825,0,0,22064373,0,3922 32,3,2024-09-07 09:29:31:029,1,467,80,0,304,3705,467,0 33,0,2024-09-07 09:29:31:509,117396,0.3,116929,0.4,234066,0.2,311816,1.50 33,1,2024-09-07 09:29:30:576,822340,822340,0,0,386711696984,4006636937,815663,5572,1105,368,391730,0 33,2,2024-09-07 09:29:30:759,589906,589871,35,0,24189191,0,7012 33,3,2024-09-07 09:29:30:895,1,467,8,0,329,4396,467,0 34,0,2024-09-07 09:29:30:931,117203,0.3,120394,0.4,230108,0.2,310104,1.75 34,1,2024-09-07 09:29:31:055,824086,824086,0,0,387799498160,3985309466,822094,1974,18,367,391562,0 34,2,2024-09-07 09:29:30:774,590832,590832,0,0,22545564,0,4562 34,3,2024-09-07 09:29:31:699,1,467,3,0,320,3689,467,0 35,0,2024-09-07 09:29:30:872,116743,0.3,117368,0.5,235785,0.3,313522,1.75 35,1,2024-09-07 09:29:31:074,821394,821394,0,0,386368490303,4001890496,816773,3762,859,382,391769,0 35,2,2024-09-07 09:29:31:587,590298,590298,0,0,24502313,0,4055 35,3,2024-09-07 09:29:30:907,1,467,3,0,418,4590,467,0 36,0,2024-09-07 09:29:31:522,116461,0.4,116379,0.6,232677,0.4,309793,2.00 36,1,2024-09-07 09:29:30:649,821076,821076,0,0,385312037827,4022662548,810811,8256,2009,366,391759,0 36,2,2024-09-07 09:29:31:756,595187,595187,0,0,25875972,0,3875 36,3,2024-09-07 09:29:30:869,1,467,8,0,416,6418,467,0 37,0,2024-09-07 09:29:31:373,114687,0.5,114871,0.6,229534,0.4,306482,2.00 37,1,2024-09-07 09:29:30:571,819798,819791,0,7,385111880868,4023062354,809540,7696,2555,365,391770,0 37,2,2024-09-07 09:29:31:167,587081,587066,15,0,25974793,0,5815 37,3,2024-09-07 09:29:31:771,1,467,8,0,888,6834,467,0 38,0,2024-09-07 09:29:31:445,116397,0.5,112940,0.6,236268,0.4,309279,2.00 38,1,2024-09-07 09:29:31:609,820512,820512,0,0,386147523813,4038008961,808153,9878,2481,368,391821,0 38,2,2024-09-07 09:29:30:773,588904,588857,47,0,25653587,0,6710 38,3,2024-09-07 09:29:31:001,1,467,1,0,689,5912,467,0 39,0,2024-09-07 09:29:31:765,121378,0.6,118702,0.7,231334,0.5,315393,2.00 39,1,2024-09-07 09:29:30:737,819554,819554,0,0,384047428198,4029160764,805538,10825,3191,365,391658,0 39,2,2024-09-07 09:29:31:419,591431,591431,0,0,24419743,0,3391 39,3,2024-09-07 09:29:30:719,1,467,1,0,324,5080,467,0 40,0,2024-09-07 09:29:31:491,116075,0.8,116805,0.9,232876,0.8,310034,2.75 40,1,2024-09-07 09:29:30:576,820491,820491,0,0,384685661087,4027434366,808861,9463,2167,368,391668,0 40,2,2024-09-07 09:29:31:307,592273,592272,1,0,29252672,0,5137 40,3,2024-09-07 09:29:31:148,1,467,31,0,1028,6243,467,0 41,0,2024-09-07 09:29:31:027,113851,1.3,116408,1.2,221966,1.8,302347,3.00 41,1,2024-09-07 09:29:30:771,818734,818734,0,0,385243247862,4033746748,807904,9122,1708,369,391742,0 41,2,2024-09-07 09:29:30:758,587870,587870,0,0,27486619,0,4277 41,3,2024-09-07 09:29:31:679,1,467,58,0,366,4861,467,0 42,0,2024-09-07 09:29:31:490,117795,0.9,117612,1.0,235571,1.0,311505,2.75 42,1,2024-09-07 09:29:31:452,816725,816725,0,0,384276855238,4044164194,802528,11352,2845,380,391675,0 42,2,2024-09-07 09:29:31:137,588414,588414,0,0,27478697,0,3790 42,3,2024-09-07 09:29:31:017,1,467,29,0,892,4457,467,0 43,0,2024-09-07 09:29:30:933,117647,0.7,114648,0.9,239766,0.7,314574,2.25 43,1,2024-09-07 09:29:30:587,820318,820318,0,0,385241509679,4027638158,808408,9943,1967,366,391696,0 43,2,2024-09-07 09:29:31:736,590698,590698,0,0,27248823,0,3812 43,3,2024-09-07 09:29:31:771,1,467,56,0,571,6750,467,0 44,0,2024-09-07 09:29:30:886,118510,0.4,118574,0.6,237683,0.4,315994,2.00 44,1,2024-09-07 09:29:30:569,821659,821659,0,0,386288384212,3993107261,814380,5730,1549,356,391809,0 44,2,2024-09-07 09:29:31:280,589783,589783,0,0,22451197,0,4344 44,3,2024-09-07 09:29:31:094,1,467,1,0,817,5285,467,0 45,0,2024-09-07 09:29:31:779,113249,0.5,110601,0.7,232105,0.4,304948,2.00 45,1,2024-09-07 09:29:31:004,821256,821256,0,0,386541629018,4017541589,814604,5953,699,382,391917,0 45,2,2024-09-07 09:29:31:276,593786,593786,0,0,22706151,0,3596 45,3,2024-09-07 09:29:30:934,1,467,10,0,271,3962,467,0 46,0,2024-09-07 09:29:31:009,117700,0.4,117233,0.7,234942,0.4,312123,2.00 46,1,2024-09-07 09:29:30:576,822853,822853,0,0,386448443955,3991455561,817638,4589,626,366,391709,0 46,2,2024-09-07 09:29:30:596,589513,589513,0,0,22910859,0,4443 46,3,2024-09-07 09:29:31:148,1,467,1,0,908,5621,467,0 47,0,2024-09-07 09:29:31:102,117805,0.4,118089,0.6,236403,0.4,313454,2.00 47,1,2024-09-07 09:29:30:572,823807,823807,0,0,386468101743,3992502078,818818,4150,839,366,391641,0 47,2,2024-09-07 09:29:30:908,592787,592787,0,0,22749289,0,4477 47,3,2024-09-07 09:29:31:120,1,467,22,0,600,5501,467,0 48,0,2024-09-07 09:29:31:502,117950,0.3,117934,0.4,234842,0.2,312886,1.50 48,1,2024-09-07 09:29:31:028,821976,821976,0,0,386597834439,4012759989,817231,4288,457,384,391710,0 48,2,2024-09-07 09:29:30:713,590791,590791,0,0,20991135,0,3411 48,3,2024-09-07 09:29:30:755,1,467,1,0,339,3699,467,0 49,0,2024-09-07 09:29:31:725,118330,0.3,116320,0.5,225699,0.3,308917,1.75 49,1,2024-09-07 09:29:31:029,821248,821248,0,0,385681458765,4009496849,816071,3970,1207,382,391809,0 49,2,2024-09-07 09:29:31:801,595052,595052,0,0,23387866,0,4426 49,3,2024-09-07 09:29:31:418,1,467,1,0,992,5448,467,0 50,0,2024-09-07 09:29:31:517,116838,0.3,115245,0.4,232153,0.2,309459,1.75 50,1,2024-09-07 09:29:31:009,823988,823988,0,0,387747079527,4006967774,818929,4485,574,368,391565,0 50,2,2024-09-07 09:29:31:075,589183,589183,0,0,21015943,0,4490 50,3,2024-09-07 09:29:31:304,1,467,7,0,567,4569,467,0 51,0,2024-09-07 09:29:31:687,119529,0.3,117114,0.4,228276,0.2,311057,1.75 51,1,2024-09-07 09:29:31:680,824437,824437,0,0,388409661848,4004352339,820039,3347,1051,365,391706,0 51,2,2024-09-07 09:29:31:330,590052,590052,0,0,20174766,0,3337 51,3,2024-09-07 09:29:31:036,1,467,1,0,678,3626,467,0 52,0,2024-09-07 09:29:31:420,118401,0.5,118173,0.7,236631,0.5,314086,2.00 52,1,2024-09-07 09:29:30:576,820208,820208,0,0,384827964934,4026268471,808962,9802,1444,368,391722,0 52,2,2024-09-07 09:29:31:761,587885,587847,38,0,27527504,0,6742 52,3,2024-09-07 09:29:30:679,1,467,0,0,1782,6064,467,0 53,0,2024-09-07 09:29:31:734,115426,0.6,112070,0.8,234476,0.7,307580,2.25 53,1,2024-09-07 09:29:30:771,818242,818242,0,0,385556998865,4045908584,804616,10036,3590,367,391968,0 53,2,2024-09-07 09:29:31:299,594625,594624,1,0,24804772,0,5455 53,3,2024-09-07 09:29:30:701,1,467,43,0,308,4638,467,0 54,0,2024-09-07 09:29:31:639,113530,0.5,113823,0.7,226677,0.4,303591,2.25 54,1,2024-09-07 09:29:30:595,820154,820154,0,0,385719262750,4009925386,811547,7211,1396,366,391659,0 54,2,2024-09-07 09:29:30:870,589699,589667,32,0,27942819,0,6397 54,3,2024-09-07 09:29:30:763,1,467,13,0,676,6445,467,0 55,0,2024-09-07 09:29:31:768,113833,0.6,117408,0.7,237871,0.5,309459,2.25 55,1,2024-09-07 09:29:30:764,821181,821181,0,0,385854637657,4010768330,812607,7367,1207,365,391731,0 55,2,2024-09-07 09:29:30:739,588657,588601,56,0,27105362,0,7239 55,3,2024-09-07 09:29:30:677,1,467,1,0,304,4489,467,0 56,0,2024-09-07 09:29:31:553,121123,1.2,114191,1.1,235343,1.6,314741,2.75 56,1,2024-09-07 09:29:30:571,817000,817000,0,0,384266804394,4056336442,804755,9693,2552,381,391867,0 56,2,2024-09-07 09:29:31:303,590095,589973,122,0,28395779,0,7432 56,3,2024-09-07 09:29:31:074,1,467,2,0,705,5680,467,0 57,0,2024-09-07 09:29:30:969,116581,1.6,116434,1.3,233426,2.2,312201,3.25 57,1,2024-09-07 09:29:31:008,818683,818683,0,0,384595491186,4035049837,807898,8720,2065,366,392032,0 57,2,2024-09-07 09:29:31:322,592202,592202,0,0,29034888,0,4317 57,3,2024-09-07 09:29:31:750,1,467,9,0,455,5363,467,0 58,0,2024-09-07 09:29:30:555,112943,0.9,109757,1.0,229406,1.1,301264,2.50 58,1,2024-09-07 09:29:30:577,819279,819276,0,3,384917319760,4032995759,807004,9504,2768,367,391603,3 58,2,2024-09-07 09:29:31:074,590427,590427,0,0,26969280,0,3483 58,3,2024-09-07 09:29:31:074,1,467,5,0,1043,4919,467,0 59,0,2024-09-07 09:29:31:765,118496,0.9,118009,1.0,235644,1.0,312537,2.75 59,1,2024-09-07 09:29:30:813,819109,819109,0,0,385527728559,4042681496,806875,9997,2237,369,391653,0 59,2,2024-09-07 09:29:30:596,588318,588318,0,0,26624789,0,3727 59,3,2024-09-07 09:29:31:742,1,467,1,0,1015,6262,467,0 60,0,2024-09-07 09:29:31:775,118031,0.5,118115,0.7,236657,0.5,314621,1.75 60,1,2024-09-07 09:29:30:828,822350,822350,0,0,386482027113,4012138087,816509,4912,929,370,392031,0 60,2,2024-09-07 09:29:31:151,590788,590788,0,0,24818851,0,3811 60,3,2024-09-07 09:29:31:295,1,467,37,0,409,5924,467,0 61,0,2024-09-07 09:29:31:494,117508,0.7,118209,0.8,235367,0.7,313767,2.00 61,1,2024-09-07 09:29:30:778,819759,819759,0,0,385295660860,4036849748,810356,7828,1575,382,392127,0 61,2,2024-09-07 09:29:31:118,590321,590254,67,0,25078492,0,6411 61,3,2024-09-07 09:29:31:687,1,467,11,0,479,6206,467,0 62,0,2024-09-07 09:29:31:731,115900,0.5,118840,0.7,226959,0.5,308313,2.00 62,1,2024-09-07 09:29:31:111,824838,824832,0,6,387774766408,3996897669,820905,3664,263,365,391975,6 62,2,2024-09-07 09:29:31:655,592283,592282,1,0,25139006,0,5555 62,3,2024-09-07 09:29:31:144,1,467,9,0,482,3912,467,0 63,0,2024-09-07 09:29:31:462,117407,0.4,117195,0.5,234950,0.3,312199,1.75 63,1,2024-09-07 09:29:30:804,822172,822166,0,6,386339336102,4010344176,816819,4613,734,381,391800,6 63,2,2024-09-07 09:29:30:763,589243,589243,0,0,23114981,0,4369 63,3,2024-09-07 09:29:31:742,1,467,1,0,667,5052,467,0 64,0,2024-09-07 09:29:31:532,116460,0.5,116549,0.6,232526,0.4,309454,1.75 64,1,2024-09-07 09:29:30:777,821705,821705,0,0,385517954577,4013693381,814790,5210,1705,370,391783,0 64,2,2024-09-07 09:29:31:168,593445,593426,19,0,22624458,0,6121 64,3,2024-09-07 09:29:31:171,1,467,9,0,265,4332,467,0 65,0,2024-09-07 09:29:31:671,116559,0.7,116860,0.8,233338,0.7,310851,2.00 65,1,2024-09-07 09:29:30:878,819613,819613,0,0,385141569338,4021934534,814373,4699,541,381,391901,0 65,2,2024-09-07 09:29:31:714,590242,590242,0,0,27037510,0,3367 65,3,2024-09-07 09:29:31:689,1,467,16,0,782,5708,467,0 66,0,2024-09-07 09:29:31:773,115930,0.5,115695,0.7,231302,0.5,308199,2.25 66,1,2024-09-07 09:29:31:320,821655,821655,0,0,385556267789,4012511934,816149,4980,526,380,391743,0 66,2,2024-09-07 09:29:31:144,596397,596394,3,0,24652156,0,5455 66,3,2024-09-07 09:29:31:079,1,467,1,0,291,4030,467,0 67,0,2024-09-07 09:29:31:417,115230,0.4,114948,0.6,230790,0.4,307105,2.00 67,1,2024-09-07 09:29:30:774,821449,821448,0,1,385249835609,4010676887,815801,4853,794,380,391787,1 67,2,2024-09-07 09:29:30:584,591060,591045,15,0,23158299,0,6205 67,3,2024-09-07 09:29:31:760,1,467,1,0,392,4522,467,0 68,0,2024-09-07 09:29:30:608,117361,0.5,117234,0.7,233468,0.5,311748,2.00 68,1,2024-09-07 09:29:30:588,818102,818102,0,0,383655459209,4036019084,806758,8008,3336,381,391953,0 68,2,2024-09-07 09:29:31:044,586204,586104,100,0,29962635,0,8578 68,3,2024-09-07 09:29:30:740,1,467,3,0,417,5592,467,0 69,0,2024-09-07 09:29:31:762,117882,0.7,118658,0.8,236495,0.8,313660,2.25 69,1,2024-09-07 09:29:31:022,817895,817895,0,0,383868134219,4043023475,807503,8118,2274,384,391994,0 69,2,2024-09-07 09:29:31:753,589819,589790,29,0,31896052,0,6912 69,3,2024-09-07 09:29:30:759,1,467,7,0,698,7124,467,0 70,0,2024-09-07 09:29:31:543,115992,0.9,116382,1.0,234130,0.8,309510,2.50 70,1,2024-09-07 09:29:30:813,821799,821799,0,0,386292523194,4007839247,815310,5788,701,366,391725,0 70,2,2024-09-07 09:29:31:331,593318,593318,0,0,26787127,0,4323 70,3,2024-09-07 09:29:30:749,1,467,0,0,854,5348,467,0 71,0,2024-09-07 09:29:31:367,113168,0.9,113078,1.0,227225,1.1,303417,2.50 71,1,2024-09-07 09:29:31:599,820121,820121,0,0,385538214177,4022009854,810486,8554,1081,368,391738,0 71,2,2024-09-07 09:29:31:085,588980,588980,0,0,26549097,0,4352 71,3,2024-09-07 09:29:31:755,1,467,8,0,644,5741,467,0 72,0,2024-09-07 09:29:31:031,122414,0.6,119702,0.7,233366,0.5,316919,2.00 72,1,2024-09-07 09:29:31:027,818580,818580,0,0,384608587273,4035491317,806626,9871,2083,369,391819,0 72,2,2024-09-07 09:29:31:768,588115,588115,0,0,29002347,0,3983 72,3,2024-09-07 09:29:31:770,1,467,5,0,564,6765,467,0 73,0,2024-09-07 09:29:31:102,115741,0.4,118709,0.6,242638,0.4,315420,2.00 73,1,2024-09-07 09:29:30:777,820819,820819,0,0,385526452684,4004920929,814885,5512,422,367,391858,0 73,2,2024-09-07 09:29:31:752,590154,590154,0,0,29037928,0,4291 73,3,2024-09-07 09:29:30:972,1,467,14,0,486,5978,467,0 74,0,2024-09-07 09:29:31:362,119325,0.5,122063,0.7,232734,0.5,316388,2.25 74,1,2024-09-07 09:29:30:649,820065,820065,0,0,385371162754,4023627994,810984,7315,1766,381,391762,0 74,2,2024-09-07 09:29:31:009,590499,590499,0,0,25820166,0,4253 74,3,2024-09-07 09:29:31:451,1,467,7,0,522,5774,467,0 75,0,2024-09-07 09:29:31:776,115135,0.5,114324,0.7,229571,0.5,306538,2.25 75,1,2024-09-07 09:29:31:595,820656,820656,0,0,385190247393,4016877724,813284,6531,841,380,391739,0 75,2,2024-09-07 09:29:31:354,591604,591604,0,0,29439155,0,4766 75,3,2024-09-07 09:29:31:074,1,467,2,0,702,5819,467,0 76,0,2024-09-07 09:29:30:615,117492,0.5,116908,0.7,234169,0.5,313574,2.25 76,1,2024-09-07 09:29:30:807,820063,820063,0,0,384881289435,4013323745,814407,4828,828,382,391692,0 76,2,2024-09-07 09:29:31:065,591533,591532,1,0,24975117,0,5144 76,3,2024-09-07 09:29:31:149,1,467,227,0,227,4563,467,0 77,0,2024-09-07 09:29:31:692,117193,0.5,117604,0.7,235490,0.5,312457,2.00 77,1,2024-09-07 09:29:30:835,821132,821132,0,0,385394208900,4020061523,815008,5616,508,381,391869,0 77,2,2024-09-07 09:29:31:289,589784,589784,0,0,24832194,0,3890 77,3,2024-09-07 09:29:31:102,1,467,1,0,401,5072,467,0 78,0,2024-09-07 09:29:31:719,117718,0.4,117043,0.6,235072,0.4,311603,2.00 78,1,2024-09-07 09:29:30:611,821030,821030,0,0,384362314414,4007960830,811679,7125,2226,367,391670,0 78,2,2024-09-07 09:29:31:416,591459,591446,13,0,23274759,0,8313 78,3,2024-09-07 09:29:31:141,1,467,0,0,181,3997,467,0 79,0,2024-09-07 09:29:31:351,111439,0.4,114176,0.6,233586,0.3,303909,2.00 79,1,2024-09-07 09:29:30:573,822763,822763,0,0,385837933105,3998552111,815917,5537,1309,367,391682,0 79,2,2024-09-07 09:29:31:074,595306,595306,0,0,22711301,0,4195 79,3,2024-09-07 09:29:30:760,1,467,0,0,418,5836,467,0 80,0,2024-09-07 09:29:31:090,116176,0.5,119455,0.6,228479,0.4,309091,2.00 80,1,2024-09-07 09:29:31:624,820332,820332,0,0,385329795764,4011704025,814661,5248,423,368,392269,0 80,2,2024-09-07 09:29:31:101,590794,590794,0,0,22766203,0,4433 80,3,2024-09-07 09:29:30:576,1,467,6,0,190,5226,467,0 81,0,2024-09-07 09:29:31:541,116673,0.5,119419,0.7,228062,0.5,309742,2.00 81,1,2024-09-07 09:29:31:656,819722,819722,0,0,385055248384,4021700206,813498,5670,554,382,391879,0 81,2,2024-09-07 09:29:31:133,588545,588482,63,0,25358051,0,5932 81,3,2024-09-07 09:29:31:122,1,467,4,0,719,5480,467,0 82,0,2024-09-07 09:29:31:540,117740,0.5,118011,0.7,236361,0.5,313323,2.00 82,1,2024-09-07 09:29:30:587,822059,822055,0,4,385547583455,4008096440,817309,3927,819,381,391768,4 82,2,2024-09-07 09:29:31:695,592145,592145,0,0,21498992,0,4484 82,3,2024-09-07 09:29:31:755,1,467,0,0,363,4701,467,0 83,0,2024-09-07 09:29:31:530,116248,0.6,116147,0.7,231644,0.6,307782,2.25 83,1,2024-09-07 09:29:30:580,820008,820008,0,0,384969680941,4011993862,814267,5291,450,382,391709,0 83,2,2024-09-07 09:29:30:764,594435,594410,25,0,23292869,0,5612 83,3,2024-09-07 09:29:30:760,1,467,0,0,1260,5886,467,0 84,0,2024-09-07 09:29:31:911,114211,0.7,114313,0.9,227926,0.6,305614,2.25 84,1,2024-09-07 09:29:31:040,819290,819290,0,0,383966144934,4012213118,810636,7517,1137,367,391967,0 84,2,2024-09-07 09:29:30:571,587866,587836,30,0,29864107,0,5971 84,3,2024-09-07 09:29:31:156,1,467,1,0,908,6906,467,0 85,0,2024-09-07 09:29:31:018,113423,0.6,113321,0.8,240469,0.6,311190,2.25 85,1,2024-09-07 09:29:30:563,817181,817181,0,0,383847657322,4050173779,805092,9945,2144,381,392006,0 85,2,2024-09-07 09:29:30:875,588116,588116,0,0,28420880,0,3656 85,3,2024-09-07 09:29:30:697,1,467,1,0,789,5462,467,0 86,0,2024-09-07 09:29:30:882,118357,0.7,121837,0.8,233066,0.7,315076,2.25 86,1,2024-09-07 09:29:30:824,819591,819591,0,0,385214356312,4033776499,809837,8120,1634,366,391961,0 86,2,2024-09-07 09:29:30:855,588742,588741,1,0,30978594,0,5004 86,3,2024-09-07 09:29:30:589,1,467,5,0,308,6817,467,0 87,0,2024-09-07 09:29:31:294,118355,0.9,117979,0.9,236022,1.2,315389,2.25 87,1,2024-09-07 09:29:30:559,818344,818344,0,0,384110207339,4025130641,807340,9346,1658,366,392076,0 87,2,2024-09-07 09:29:31:075,591579,591573,6,0,27150777,0,6323 87,3,2024-09-07 09:29:31:807,1,467,4,0,473,7156,467,0 88,0,2024-09-07 09:29:31:526,114361,0.4,115112,0.6,229828,0.4,305962,1.75 88,1,2024-09-07 09:29:30:578,817957,817957,0,0,384146782845,4023805466,807034,8697,2226,365,392084,0 88,2,2024-09-07 09:29:30:693,591075,591075,0,0,29682878,0,4465 88,3,2024-09-07 09:29:31:275,1,467,1,0,435,5730,467,0 89,0,2024-09-07 09:29:31:820,122274,0.5,118507,0.6,234254,0.4,318362,1.75 89,1,2024-09-07 09:29:30:560,817746,817746,0,0,384665077901,4042965012,807779,8495,1472,382,391866,0 89,2,2024-09-07 09:29:31:136,588380,588380,0,0,27799037,0,3173 89,3,2024-09-07 09:29:31:801,1,467,8,0,468,7892,467,0 90,0,2024-09-07 09:29:31:645,114660,0.4,117805,0.6,240160,0.4,313503,2.00 90,1,2024-09-07 09:29:30:590,819346,819346,0,0,384942410385,4029398628,811768,6927,651,380,391825,0 90,2,2024-09-07 09:29:31:418,588259,588259,0,0,29839000,0,3608 90,3,2024-09-07 09:29:30:933,1,467,12,0,322,5635,467,0 91,0,2024-09-07 09:29:30:925,118368,0.5,114504,0.6,239299,0.5,314444,1.75 91,1,2024-09-07 09:29:30:564,817656,817656,0,0,384550547514,4043017135,807348,8666,1642,381,392047,0 91,2,2024-09-07 09:29:31:349,590901,590901,0,0,26367715,0,3526 91,3,2024-09-07 09:29:30:607,1,467,9,0,216,4639,467,0 92,0,2024-09-07 09:29:31:497,116612,0.5,119334,0.6,227741,0.4,308838,1.75 92,1,2024-09-07 09:29:30:580,820345,820345,0,0,384167133881,4013436495,813805,5469,1071,381,392136,0 92,2,2024-09-07 09:29:31:360,594915,594915,0,0,23526788,0,3259 92,3,2024-09-07 09:29:31:020,1,467,0,0,167,4157,467,0 93,0,2024-09-07 09:29:30:956,117732,0.4,120715,0.5,230480,0.3,312356,1.75 93,1,2024-09-07 09:29:30:812,820262,820262,0,0,385296018848,4021983894,811339,7330,1593,366,391776,0 93,2,2024-09-07 09:29:30:931,588930,588930,0,0,27933528,0,4845 93,3,2024-09-07 09:29:31:415,1,467,2,0,190,4133,467,0 94,0,2024-09-07 09:29:31:632,116501,0.3,117331,0.5,234361,0.3,310934,1.75 94,1,2024-09-07 09:29:30:562,820347,820347,0,0,386052278628,4025716880,815092,5039,216,381,391850,0 94,2,2024-09-07 09:29:30:763,589669,589669,0,0,23453910,0,2443 94,3,2024-09-07 09:29:31:688,1,467,2,0,576,6085,467,0 95,0,2024-09-07 09:29:31:352,117585,0.3,117354,0.5,235343,0.3,313456,1.75 95,1,2024-09-07 09:29:30:861,821808,821808,0,0,386077630861,4010836660,815388,5942,478,365,391786,0 95,2,2024-09-07 09:29:31:019,590075,590075,0,0,23313138,0,3308 95,3,2024-09-07 09:29:31:720,1,467,8,0,718,6667,467,0 96,0,2024-09-07 09:29:31:042,116345,0.4,116580,0.5,232851,0.3,309153,1.75 96,1,2024-09-07 09:29:31:588,820130,820130,0,0,384994279507,4015296360,814210,5013,907,384,391955,0 96,2,2024-09-07 09:29:31:271,595634,595634,0,0,24131032,0,4180 96,3,2024-09-07 09:29:31:144,1,467,10,0,411,5083,467,0 97,0,2024-09-07 09:29:31:352,115326,0.3,114814,0.4,230499,0.2,306865,1.50 97,1,2024-09-07 09:29:30:770,821597,821597,0,0,386604545679,4007875285,816409,4252,936,367,392140,0 97,2,2024-09-07 09:29:30:622,589509,589509,0,0,23233895,0,3679 97,3,2024-09-07 09:29:30:570,1,467,11,0,242,5544,467,0 98,0,2024-09-07 09:29:31:776,116664,0.3,117084,0.4,234595,0.2,311391,1.50 98,1,2024-09-07 09:29:30:570,821333,821333,0,0,385742492842,4016441394,816236,4304,793,381,391997,0 98,2,2024-09-07 09:29:30:774,589620,589620,0,0,23342304,0,4336 98,3,2024-09-07 09:29:30:707,1,467,29,0,840,7451,467,0 99,0,2024-09-07 09:29:31:494,118486,0.3,119118,0.5,236940,0.3,316277,1.75 99,1,2024-09-07 09:29:31:727,821281,821281,0,0,385378634655,4009145476,816138,4304,839,380,392069,0 99,2,2024-09-07 09:29:31:455,592302,592302,0,0,27666429,0,4276 99,3,2024-09-07 09:29:30:594,1,467,11,0,606,4911,467,0 100,0,2024-09-07 09:29:31:488,117026,0.8,117391,0.9,233957,0.9,312168,2.50 100,1,2024-09-07 09:29:30:548,816440,816440,0,0,383824723191,4058995106,803448,10043,2949,378,391989,0 100,2,2024-09-07 09:29:31:818,591028,591017,11,0,27414914,0,5417 100,3,2024-09-07 09:29:31:733,1,467,1,0,559,7419,467,0 101,0,2024-09-07 09:29:31:868,116656,1.0,113723,1.0,222822,0.9,305116,2.25 101,1,2024-09-07 09:29:30:556,817463,817463,0,0,383984836476,4037194088,805768,9328,2367,368,391847,0 101,2,2024-09-07 09:29:31:769,585893,585893,0,0,32019468,0,4871 101,3,2024-09-07 09:29:30:957,1,467,6,0,1250,7441,467,0 102,0,2024-09-07 09:29:30:981,115375,0.6,118954,0.7,241352,0.6,315401,2.00 102,1,2024-09-07 09:29:31:150,817274,817274,0,0,384207441608,4037931647,805847,9431,1996,369,391891,0 102,2,2024-09-07 09:29:31:744,589987,589933,54,0,25959798,0,6768 102,3,2024-09-07 09:29:31:616,1,467,3,0,466,5057,467,0 103,0,2024-09-07 09:29:31:609,122622,0.5,122663,0.7,231111,0.5,317910,2.00 103,1,2024-09-07 09:29:31:635,816772,816772,0,0,383838436621,4053503485,803464,10115,3193,381,391862,0 103,2,2024-09-07 09:29:30:589,589092,589092,0,0,28873900,0,3766 103,3,2024-09-07 09:29:30:760,1,467,1,0,916,5386,467,0 104,0,2024-09-07 09:29:31:029,117488,0.7,117813,0.9,234461,0.7,314398,2.25 104,1,2024-09-07 09:29:31:608,818818,818818,0,0,384334008482,4040563529,806214,10059,2545,365,392168,0 104,2,2024-09-07 09:29:31:674,588912,588912,0,0,27839217,0,3941 104,3,2024-09-07 09:29:31:416,1,467,6,0,1245,8832,467,0 105,0,2024-09-07 09:29:31:033,113451,0.9,110587,1.1,231719,1.0,304485,2.75 105,1,2024-09-07 09:29:30:595,820155,820155,0,0,385038654596,4036370051,809315,9189,1651,366,392009,0 105,2,2024-09-07 09:29:31:355,591043,591043,0,0,27675768,0,3509 105,3,2024-09-07 09:29:31:304,1,467,14,0,399,6884,467,0 106,0,2024-09-07 09:29:30:951,114064,0.7,116832,0.9,239163,0.7,312570,2.50 106,1,2024-09-07 09:29:31:766,819056,819056,0,0,384283693836,4033795664,807094,10321,1641,368,391914,0 106,2,2024-09-07 09:29:30:765,588248,588248,0,0,26560509,0,2920 106,3,2024-09-07 09:29:30:698,1,467,0,0,470,6069,467,0 107,0,2024-09-07 09:29:31:107,117434,0.8,117631,0.9,235129,0.9,313550,2.25 107,1,2024-09-07 09:29:30:587,817474,817474,0,0,384463448602,4051107742,806215,10162,1097,381,392234,0 107,2,2024-09-07 09:29:31:325,587985,587984,1,0,28766099,0,5024 107,3,2024-09-07 09:29:31:764,1,467,2,0,370,6787,467,0 108,0,2024-09-07 09:29:31:781,117047,0.5,117994,0.6,234556,0.4,312947,1.75 108,1,2024-09-07 09:29:31:296,820284,820284,0,0,384816658777,4013521483,813242,6208,834,367,391857,0 108,2,2024-09-07 09:29:31:771,589243,589243,0,0,26101890,0,4246 108,3,2024-09-07 09:29:31:347,1,467,455,0,749,9287,467,0 109,0,2024-09-07 09:29:31:762,116000,0.4,115106,0.6,230497,0.3,308176,1.75 109,1,2024-09-07 09:29:30:594,817735,817735,0,0,384371453381,4032378478,810112,6414,1209,382,392132,0 109,2,2024-09-07 09:29:30:921,592331,592331,0,0,25617143,0,3617 109,3,2024-09-07 09:29:31:163,1,467,8,0,379,5404,467,0 110,0,2024-09-07 09:29:31:777,115972,0.4,112851,0.5,236354,0.3,309847,1.75 110,1,2024-09-07 09:29:31:649,821506,821506,0,0,385730378445,4002032213,815869,4432,1205,369,392045,0 110,2,2024-09-07 09:29:31:319,589291,589291,0,0,24460044,0,4067 110,3,2024-09-07 09:29:30:697,1,467,9,0,722,6574,467,0 111,0,2024-09-07 09:29:31:422,117014,0.3,116319,0.5,233173,0.3,310909,1.75 111,1,2024-09-07 09:29:31:006,822713,822713,0,0,387453109062,4016461006,818547,3810,356,380,391690,0 111,2,2024-09-07 09:29:31:118,588634,588634,0,0,24209576,0,4823 111,3,2024-09-07 09:29:30:913,1,467,13,0,379,5576,467,0 112,0,2024-09-07 09:29:30:921,118757,0.3,118173,0.4,236905,0.2,314383,1.50 112,1,2024-09-07 09:29:30:825,821479,821479,0,0,385799723984,4001916031,816685,4180,614,380,391624,0 112,2,2024-09-07 09:29:31:144,591028,591027,1,0,23461196,0,5036 112,3,2024-09-07 09:29:30:597,1,467,1,0,282,4592,467,0 113,0,2024-09-07 09:29:30:951,116336,0.3,116334,0.5,233011,0.2,309726,1.75 113,1,2024-09-07 09:29:31:697,823998,823998,0,0,387328869884,3993319350,820046,3362,590,366,391661,0 113,2,2024-09-07 09:29:31:331,596471,596471,0,0,21300191,0,3813 113,3,2024-09-07 09:29:30:692,1,467,8,0,340,5061,467,0 114,0,2024-09-07 09:29:30:877,115209,0.3,116038,0.4,230555,0.2,308776,1.75 114,1,2024-09-07 09:29:30:720,822095,822095,0,0,385892141974,4006972347,815701,4778,1616,381,391565,0 114,2,2024-09-07 09:29:30:873,590809,590808,1,0,22207900,0,5069 114,3,2024-09-07 09:29:31:286,1,467,1,0,395,3807,467,0 115,0,2024-09-07 09:29:30:554,118262,0.3,118688,0.4,236841,0.2,314399,1.50 115,1,2024-09-07 09:29:30:571,822004,822004,0,0,386587194003,4015073177,815444,5292,1268,382,391757,0 115,2,2024-09-07 09:29:31:133,591092,591092,0,0,22369079,0,4382 115,3,2024-09-07 09:29:31:010,1,467,0,0,159,2602,467,0 116,0,2024-09-07 09:29:31:751,118217,0.7,118107,0.8,236972,0.6,316240,2.00 116,1,2024-09-07 09:29:30:809,817770,817770,0,0,384871963914,4054687371,808090,7278,2402,380,392089,0 116,2,2024-09-07 09:29:31:805,589534,589534,0,0,28971124,0,4128 116,3,2024-09-07 09:29:30:914,1,467,1,0,415,5561,467,0 117,0,2024-09-07 09:29:30:971,118592,0.7,118010,0.8,236528,0.8,315832,2.00 117,1,2024-09-07 09:29:31:591,818898,818898,0,0,384141555851,4019670771,810259,7643,996,369,392033,0 117,2,2024-09-07 09:29:31:146,594190,594190,0,0,25438526,0,4303 117,3,2024-09-07 09:29:31:074,1,467,10,0,490,6730,467,0 118,0,2024-09-07 09:29:31:794,111194,0.5,114179,0.7,233073,0.5,304894,2.00 118,1,2024-09-07 09:29:30:596,818156,818156,0,0,383822083745,4034993911,805889,9247,3020,366,392054,0 118,2,2024-09-07 09:29:31:592,590079,590079,0,0,27174430,0,2842 118,3,2024-09-07 09:29:31:767,1,467,1,0,248,5475,467,0 119,0,2024-09-07 09:29:31:335,118678,0.7,118912,0.8,237831,0.7,316566,2.00 119,1,2024-09-07 09:29:30:556,819008,819008,0,0,384715936449,4028666399,808789,8823,1396,367,391857,0 119,2,2024-09-07 09:29:31:266,590003,590003,0,0,25277806,0,4174 119,3,2024-09-07 09:29:31:329,1,467,2,0,1358,8900,467,0 120,0,2024-09-07 09:29:31:643,117465,0.7,117240,0.8,235328,0.7,314110,2.25 120,1,2024-09-07 09:29:30:881,819300,819300,0,0,384479745418,4032377296,810522,8004,774,368,392144,0 120,2,2024-09-07 09:29:30:819,589213,589212,1,0,30117123,0,5281 120,3,2024-09-07 09:29:31:302,1,467,1,0,279,5827,467,0 121,0,2024-09-07 09:29:31:786,117823,1.3,117400,1.1,235239,1.8,313749,2.25 121,1,2024-09-07 09:29:31:682,819745,819745,0,0,385152047118,4025291068,812121,7071,553,367,391840,0 121,2,2024-09-07 09:29:31:145,589149,589149,0,0,28270106,0,4157 121,3,2024-09-07 09:29:30:726,1,467,16,0,269,5444,467,0 122,0,2024-09-07 09:29:31:787,114927,0.8,112020,0.9,234812,0.8,308532,2.25 122,1,2024-09-07 09:29:30:888,818278,818278,0,0,385118538019,4039874161,807074,9499,1705,366,392130,0 122,2,2024-09-07 09:29:31:319,593965,593892,73,0,31443123,0,5989 122,3,2024-09-07 09:29:30:595,1,467,1,0,512,8270,467,0 123,0,2024-09-07 09:29:30:961,116358,0.8,113285,0.8,237370,0.9,310878,2.25 123,1,2024-09-07 09:29:30:566,818101,818101,0,0,384510923912,4047100008,804124,11531,2446,369,392039,0 123,2,2024-09-07 09:29:31:050,587151,587150,1,0,26433655,0,5215 123,3,2024-09-07 09:29:31:168,1,467,1,0,478,5482,467,0 124,0,2024-09-07 09:29:30:958,120324,0.3,120336,0.5,226755,0.3,311895,1.75 124,1,2024-09-07 09:29:31:035,821578,821578,0,0,385608711529,4007236562,815738,4783,1057,367,392178,0 124,2,2024-09-07 09:29:31:010,590316,590263,53,0,24097297,0,6487 124,3,2024-09-07 09:29:30:758,1,467,5,0,490,4733,467,0 125,0,2024-09-07 09:29:31:445,117504,0.4,117293,0.6,235393,0.4,313405,1.75 125,1,2024-09-07 09:29:30:906,819842,819842,0,0,385190046337,4018867798,813799,5369,674,382,391813,0 125,2,2024-09-07 09:29:31:120,591707,591707,0,0,24669901,0,4534 125,3,2024-09-07 09:29:31:130,1,467,8,0,709,5628,467,0 126,0,2024-09-07 09:29:31:427,116250,0.4,119678,0.6,228874,0.4,309806,1.75 126,1,2024-09-07 09:29:30:556,822281,822281,0,0,386795495709,4004186645,817903,4082,296,365,391987,0 126,2,2024-09-07 09:29:30:621,595454,595454,0,0,25134269,0,4539 126,3,2024-09-07 09:29:30:907,1,467,8,0,268,5435,467,0 127,0,2024-09-07 09:29:31:758,115254,0.3,115631,0.5,230578,0.3,306930,1.75 127,1,2024-09-07 09:29:30:570,821079,821079,0,0,385666075490,4011596330,812886,6814,1379,364,392187,0 127,2,2024-09-07 09:29:30:639,588073,588073,0,0,22803853,0,3897 127,3,2024-09-07 09:29:31:277,1,467,6,0,968,4853,467,0 128,0,2024-09-07 09:29:31:580,117593,0.3,117667,0.4,235057,0.2,312163,1.50 128,1,2024-09-07 09:29:31:617,820459,820459,0,0,386174756550,4005840385,815523,4503,433,367,392031,0 128,2,2024-09-07 09:29:31:387,590838,590838,0,0,21973594,0,3171 128,3,2024-09-07 09:29:30:771,1,467,4,0,1082,7111,467,0 129,0,2024-09-07 09:29:31:028,119509,0.3,118967,0.5,238305,0.3,316657,1.50 129,1,2024-09-07 09:29:30:571,818435,818435,0,0,383529790085,4017216306,810524,6237,1674,379,391962,0 129,2,2024-09-07 09:29:30:711,592122,592118,4,0,24275163,0,5335 129,3,2024-09-07 09:29:30:702,1,467,8,0,506,6879,467,0 130,0,2024-09-07 09:29:31:738,118071,0.5,117592,0.6,236408,0.5,313533,1.75 130,1,2024-09-07 09:29:30:583,821670,821670,0,0,385906214442,4008467036,817481,3850,339,381,391825,0 130,2,2024-09-07 09:29:31:154,594644,594644,0,0,24029552,0,4067 130,3,2024-09-07 09:29:31:298,1,467,2,0,960,6896,467,0 131,0,2024-09-07 09:29:32:018,114349,0.3,114721,0.5,230101,0.3,305761,1.50 131,1,2024-09-07 09:29:31:834,820880,820880,0,0,384861691319,4015687033,814807,5019,1054,381,391865,0 131,2,2024-09-07 09:29:30:566,591748,591748,0,0,21883993,0,3979 131,3,2024-09-07 09:29:31:688,1,467,7,0,392,5028,467,0 132,0,2024-09-07 09:29:31:508,118670,0.4,119739,0.6,238211,0.4,316406,1.75 132,1,2024-09-07 09:29:30:599,817108,817108,0,0,384713688713,4048560414,805367,9609,2132,381,392532,0 132,2,2024-09-07 09:29:30:718,589171,589154,17,0,29162487,0,6451 132,3,2024-09-07 09:29:31:688,1,467,1,0,804,7944,467,0 133,0,2024-09-07 09:29:31:522,115714,0.4,118504,0.6,242876,0.4,316119,1.75 133,1,2024-09-07 09:29:30:584,817117,817117,0,0,384120365310,4048872535,805629,9867,1621,383,391914,0 133,2,2024-09-07 09:29:31:095,590563,590513,50,0,30199266,0,6861 133,3,2024-09-07 09:29:31:297,1,467,0,0,479,4830,467,0 134,0,2024-09-07 09:29:30:946,118825,0.6,118521,0.7,237291,0.5,316742,2.00 134,1,2024-09-07 09:29:30:584,818488,818488,0,0,384313301894,4027778912,808306,8113,2069,366,391718,0 134,2,2024-09-07 09:29:31:761,589559,589535,24,0,28315956,0,6207 134,3,2024-09-07 09:29:30:750,1,467,1,0,739,5721,467,0 135,0,2024-09-07 09:29:31:160,111124,0.7,111172,0.8,235951,0.8,303975,2.25 135,1,2024-09-07 09:29:31:596,818698,818698,0,0,385175503656,4048734760,808342,8978,1378,380,391805,0 135,2,2024-09-07 09:29:30:692,592573,592573,0,0,27580462,0,3981 135,3,2024-09-07 09:29:31:009,1,467,0,0,299,4039,467,0 136,0,2024-09-07 09:29:31:644,118652,0.5,118880,0.7,236650,0.5,316038,2.00 136,1,2024-09-07 09:29:31:474,819671,819671,0,0,384053882797,4026506704,810335,8198,1138,381,391685,0 136,2,2024-09-07 09:29:31:145,590578,590578,0,0,26299834,0,3506 136,3,2024-09-07 09:29:31:119,1,467,16,0,637,5416,467,0 137,0,2024-09-07 09:29:31:008,121358,0.6,118234,0.7,231739,0.6,314776,2.00 137,1,2024-09-07 09:29:30:577,817898,817898,0,0,384094133398,4030038781,804989,10241,2668,366,391898,0 137,2,2024-09-07 09:29:31:705,588256,588256,0,0,29559058,0,3185 137,3,2024-09-07 09:29:30:772,1,467,325,0,484,6043,467,0 138,0,2024-09-07 09:29:31:765,116905,0.9,116899,0.9,234710,1.2,311414,2.25 138,1,2024-09-07 09:29:31:691,818846,818846,0,0,384733894887,4034702969,807543,9483,1820,368,391954,0 138,2,2024-09-07 09:29:30:594,589249,589249,0,0,27330176,0,4988 138,3,2024-09-07 09:29:30:612,1,467,1,0,1200,7469,467,0 139,0,2024-09-07 09:29:31:409,114454,1.4,114627,1.1,229730,2.1,306677,2.50 139,1,2024-09-07 09:29:30:572,814881,814881,0,0,382296244277,4060857489,799327,12108,3446,380,392058,0 139,2,2024-09-07 09:29:30:692,590369,590369,0,0,30517938,0,3097 139,3,2024-09-07 09:29:31:675,1,467,34,0,432,5529,467,0 140,0,2024-09-07 09:29:31:591,116689,0.3,115865,0.5,232552,0.2,310120,1.75 140,1,2024-09-07 09:29:31:535,823680,823680,0,0,387695212205,3996090017,819675,3544,461,365,391606,0 140,2,2024-09-07 09:29:30:687,590214,590213,1,0,22871367,0,5036 140,3,2024-09-07 09:29:30:767,1,467,192,0,297,4084,467,0 141,0,2024-09-07 09:29:31:711,116952,0.3,119944,0.4,229117,0.2,310616,1.50 141,1,2024-09-07 09:29:30:879,822083,822083,0,0,386270235398,4012727030,815541,5457,1085,379,391614,0 141,2,2024-09-07 09:29:31:687,589779,589779,0,0,23427282,0,3360 141,3,2024-09-07 09:29:31:045,1,467,8,0,391,5159,467,0 142,0,2024-09-07 09:29:31:329,118802,0.3,118113,0.5,236382,0.3,315044,1.75 142,1,2024-09-07 09:29:30:589,820664,820664,0,0,385005466759,4011171000,815627,4589,448,382,392102,0 142,2,2024-09-07 09:29:31:324,590531,590499,32,0,25161883,0,6028 142,3,2024-09-07 09:29:31:748,1,467,6,0,484,5121,467,0 143,0,2024-09-07 09:29:31:379,116274,0.4,116422,0.6,233224,0.4,309720,1.75 143,1,2024-09-07 09:29:30:561,822042,822042,0,0,386611994625,4011511143,816393,5100,549,367,391705,0 143,2,2024-09-07 09:29:30:774,595060,595060,0,0,24283814,0,3123 143,3,2024-09-07 09:29:31:144,1,467,1,0,462,6111,467,0 144,0,2024-09-07 09:29:31:520,111420,0.6,114509,0.8,233457,0.5,306220,2.00 144,1,2024-09-07 09:29:30:567,818211,818211,0,0,384303645606,4031821248,809855,6458,1898,381,391733,0 144,2,2024-09-07 09:29:31:760,590133,590133,0,0,22368345,0,3673 144,3,2024-09-07 09:29:31:752,1,467,1,0,249,4552,467,0 145,0,2024-09-07 09:29:31:393,114039,0.6,114021,0.8,241840,0.5,311268,2.25 145,1,2024-09-07 09:29:30:563,817376,817376,0,0,383780043445,4037208431,806618,8890,1868,382,391759,0 145,2,2024-09-07 09:29:31:445,587097,587016,81,0,28052896,0,7814 145,3,2024-09-07 09:29:30:897,1,467,11,0,622,6462,467,0 146,0,2024-09-07 09:29:31:600,118473,0.6,118040,0.7,237368,0.6,314723,2.25 146,1,2024-09-07 09:29:31:592,818764,818764,0,0,384431185978,4042704722,805483,9753,3528,367,391770,0 146,2,2024-09-07 09:29:31:703,588994,588994,0,0,27063616,0,3290 146,3,2024-09-07 09:29:31:286,1,467,8,0,1520,8237,467,0 147,0,2024-09-07 09:29:31:718,118466,0.6,118271,0.8,235888,0.6,315280,2.25 147,1,2024-09-07 09:29:31:382,821845,821845,0,0,386378810287,4016124278,814983,6013,849,368,391791,0 147,2,2024-09-07 09:29:31:020,592042,592042,0,0,24254810,0,2968 147,3,2024-09-07 09:29:30:916,1,467,245,0,1626,8033,467,0 0,0,2024-09-07 09:29:41:715,115060,0.6,115075,0.7,244090,0.6,315638,2.00 0,1,2024-09-07 09:29:40:801,820345,820345,0,0,385928068314,4040720774,813621,6065,659,369,391896,0 0,2,2024-09-07 09:29:41:069,592917,592917,0,0,23932473,0,4480 0,3,2024-09-07 09:29:40:977,1,468,4,0,431,6777,468,0 1,0,2024-09-07 09:29:41:809,117893,1.1,117391,1.0,235192,1.5,314507,2.00 1,1,2024-09-07 09:29:40:590,820597,820597,0,0,385206032251,4029620192,813706,5560,1331,370,391859,0 1,2,2024-09-07 09:29:40:643,591402,591402,0,0,23564836,0,3380 1,3,2024-09-07 09:29:41:302,1,468,8,0,269,5936,468,0 2,0,2024-09-07 09:29:41:569,115743,0.6,115702,0.7,231068,0.6,308373,2.00 2,1,2024-09-07 09:29:40:859,823373,823373,0,0,386866684230,4013390187,820001,3077,295,380,391745,0 2,2,2024-09-07 09:29:41:266,595663,595663,0,0,22157533,0,3594 2,3,2024-09-07 09:29:40:691,1,468,1,0,357,4303,468,0 3,0,2024-09-07 09:29:41:742,117395,0.4,117136,0.6,234478,0.3,312217,2.00 3,1,2024-09-07 09:29:41:625,821709,821709,0,0,386335464110,4020754683,815176,5838,695,379,391716,0 3,2,2024-09-07 09:29:41:145,591257,591234,23,0,23283599,0,5851 3,3,2024-09-07 09:29:41:751,1,468,1,0,207,2993,468,0 4,0,2024-09-07 09:29:41:803,113685,0.4,116888,0.5,238245,0.3,311785,1.75 4,1,2024-09-07 09:29:40:597,818248,818248,0,0,384757828098,4071436382,804139,10861,3248,370,391992,0 4,2,2024-09-07 09:29:41:025,588602,588602,0,0,27467287,0,4528 4,3,2024-09-07 09:29:41:026,1,468,11,0,448,6284,468,0 5,0,2024-09-07 09:29:41:376,117499,0.4,118065,0.6,235718,0.4,313265,1.75 5,1,2024-09-07 09:29:40:776,819937,819937,0,0,386104175909,4064404052,808171,9402,2364,367,392005,0 5,2,2024-09-07 09:29:41:844,588624,588624,0,0,27742275,0,3582 5,3,2024-09-07 09:29:41:733,1,468,8,0,457,6420,468,0 6,0,2024-09-07 09:29:40:916,116682,0.4,116232,0.6,232300,0.4,310347,2.00 6,1,2024-09-07 09:29:40:774,822127,822127,0,0,385175431314,4022978385,813547,7154,1426,379,391702,0 6,2,2024-09-07 09:29:41:126,595575,595557,18,0,26710479,0,5535 6,3,2024-09-07 09:29:41:287,1,468,21,0,710,5960,468,0 7,0,2024-09-07 09:29:41:540,114978,0.5,115831,0.6,230548,0.4,307089,2.00 7,1,2024-09-07 09:29:40:852,820211,820211,0,0,384868390925,4040153247,809009,9218,1984,382,391747,0 7,2,2024-09-07 09:29:40:769,590383,590383,0,0,25815479,0,4791 7,3,2024-09-07 09:29:40:852,1,468,2,0,552,5576,468,0 8,0,2024-09-07 09:29:41:404,117316,0.3,117098,0.5,234835,0.3,312381,1.75 8,1,2024-09-07 09:29:41:019,819470,819470,0,0,385029406240,4047721911,806865,9826,2779,366,392853,0 8,2,2024-09-07 09:29:40:793,585917,585917,0,0,30797875,0,3250 8,3,2024-09-07 09:29:40:817,1,468,6,0,538,7443,468,0 9,0,2024-09-07 09:29:41:111,118703,0.4,115401,0.5,241638,0.3,316527,1.75 9,1,2024-09-07 09:29:40:551,818371,818371,0,0,384496978350,4050701743,806040,9863,2468,369,392001,0 9,2,2024-09-07 09:29:41:086,591008,591008,0,0,28216820,0,4615 9,3,2024-09-07 09:29:41:761,1,468,12,0,496,6610,468,0 10,0,2024-09-07 09:29:41:603,117210,0.3,116770,0.5,234469,0.3,311592,1.75 10,1,2024-09-07 09:29:40:590,820926,820926,0,0,384883032354,4034860798,809524,9463,1939,381,391741,0 10,2,2024-09-07 09:29:40:767,594472,594472,0,0,30656565,0,4264 10,3,2024-09-07 09:29:40:876,1,468,7,0,649,5032,468,0 11,0,2024-09-07 09:29:41:009,114591,0.4,111138,0.6,232490,0.4,306664,1.75 11,1,2024-09-07 09:29:40:579,821112,821112,0,0,385374876266,4044764915,808363,9630,3119,383,391756,0 11,2,2024-09-07 09:29:41:127,589754,589754,0,0,26643263,0,4130 11,3,2024-09-07 09:29:41:298,1,468,93,0,843,6465,468,0 12,0,2024-09-07 09:29:40:952,119485,0.4,119455,0.5,238888,0.3,316976,1.75 12,1,2024-09-07 09:29:40:942,821372,821372,0,0,386068145056,4026386539,814847,5872,653,370,391960,0 12,2,2024-09-07 09:29:41:548,590433,590433,0,0,26132601,0,4390 12,3,2024-09-07 09:29:41:059,1,468,0,0,386,6607,468,0 13,0,2024-09-07 09:29:41:386,119917,0.4,119557,0.6,238430,0.4,318016,1.75 13,1,2024-09-07 09:29:41:531,819406,819406,0,0,385473307979,4050298803,811100,6591,1715,382,391740,0 13,2,2024-09-07 09:29:40:611,593567,593567,0,0,23761632,0,3287 13,3,2024-09-07 09:29:41:770,1,468,22,0,522,6654,468,0 14,0,2024-09-07 09:29:40:627,118724,0.4,119655,0.6,237049,0.4,316368,1.75 14,1,2024-09-07 09:29:41:565,825182,825182,0,0,387676900687,4012604442,818724,5745,713,364,391673,0 14,2,2024-09-07 09:29:40:767,592705,592675,30,0,25349170,0,6104 14,3,2024-09-07 09:29:41:116,1,468,24,0,1168,4949,468,0 15,0,2024-09-07 09:29:41:566,114731,0.4,114495,0.6,229652,0.4,305603,2.00 15,1,2024-09-07 09:29:41:609,822048,822048,0,0,386856265399,4029194432,815149,5444,1455,381,391619,0 15,2,2024-09-07 09:29:41:003,594882,594882,0,0,21149674,0,3622 15,3,2024-09-07 09:29:41:410,1,468,1,0,1126,7115,468,0 16,0,2024-09-07 09:29:40:944,118459,0.5,119269,0.7,237548,0.5,316269,2.00 16,1,2024-09-07 09:29:40:586,821938,821938,0,0,385829938371,4030836727,815067,5753,1118,370,391917,0 16,2,2024-09-07 09:29:41:440,590536,590536,0,0,24945339,0,4719 16,3,2024-09-07 09:29:41:143,1,468,1,0,358,5930,468,0 17,0,2024-09-07 09:29:41:847,121483,0.6,118596,0.7,232057,0.6,315315,2.00 17,1,2024-09-07 09:29:40:570,820419,820419,0,0,385282163060,4042384873,812087,6618,1714,368,392075,0 17,2,2024-09-07 09:29:41:672,593782,593781,1,0,25727916,0,5050 17,3,2024-09-07 09:29:40:575,1,468,16,0,518,7349,468,0 18,0,2024-09-07 09:29:40:940,116445,0.7,116939,0.8,233236,0.7,311323,2.25 18,1,2024-09-07 09:29:41:647,823950,823950,0,0,387198511735,4010218646,819624,3888,438,367,391725,0 18,2,2024-09-07 09:29:41:758,593785,593785,0,0,22018917,0,3541 18,3,2024-09-07 09:29:40:896,1,468,2,0,1059,4461,468,0 19,0,2024-09-07 09:29:41:544,115281,0.6,115638,0.8,229582,0.6,305864,2.00 19,1,2024-09-07 09:29:40:570,824210,824210,0,0,387586598289,4018769260,817686,5442,1082,367,391777,0 19,2,2024-09-07 09:29:41:751,597764,597764,0,0,21244189,0,3988 19,3,2024-09-07 09:29:41:137,1,468,1,0,524,3923,468,0 20,0,2024-09-07 09:29:41:378,116446,0.5,116485,0.6,232764,0.4,310622,2.00 20,1,2024-09-07 09:29:40:587,820836,820836,0,0,386489724236,4045616721,812841,6697,1298,369,391922,0 20,2,2024-09-07 09:29:40:930,589754,589754,0,0,25197370,0,3721 20,3,2024-09-07 09:29:40:589,1,468,1,0,468,7723,468,0 21,0,2024-09-07 09:29:41:173,116758,0.4,116811,0.6,233719,0.4,310010,1.75 21,1,2024-09-07 09:29:41:543,818594,818594,0,0,384652634505,4058560808,805846,9773,2975,368,392016,0 21,2,2024-09-07 09:29:41:105,587538,587518,20,0,30744025,0,5617 21,3,2024-09-07 09:29:41:404,1,468,12,0,713,6201,468,0 22,0,2024-09-07 09:29:41:726,118058,0.5,118470,0.7,236555,0.4,313387,2.00 22,1,2024-09-07 09:29:41:023,820166,820166,0,0,384795724892,4048732250,806913,10309,2944,382,391675,0 22,2,2024-09-07 09:29:40:761,590521,590495,26,0,25495495,0,6328 22,3,2024-09-07 09:29:41:066,1,468,1,0,228,3725,468,0 23,0,2024-09-07 09:29:41:387,116065,0.5,115486,0.7,231322,0.5,308103,2.25 23,1,2024-09-07 09:29:41:004,821323,821323,0,0,386436411338,4055891856,807103,10007,4213,365,391690,0 23,2,2024-09-07 09:29:41:093,597207,597207,0,0,24074767,0,3773 23,3,2024-09-07 09:29:41:757,1,468,0,0,720,5840,468,0 24,0,2024-09-07 09:29:40:821,116272,0.4,115524,0.5,232093,0.4,308655,1.75 24,1,2024-09-07 09:29:40:587,820110,820110,0,0,384654719991,4030041000,811614,6835,1661,367,392269,0 24,2,2024-09-07 09:29:41:073,590110,590110,0,0,28622531,0,3607 24,3,2024-09-07 09:29:41:697,1,468,32,0,468,6302,468,0 25,0,2024-09-07 09:29:41:392,121228,0.4,118118,0.6,231650,0.4,315216,1.75 25,1,2024-09-07 09:29:40:568,819301,819301,0,0,384833890050,4058995077,805621,10975,2705,371,391928,0 25,2,2024-09-07 09:29:41:623,588406,588406,0,0,30097225,0,3978 25,3,2024-09-07 09:29:41:016,1,468,11,0,532,5218,468,0 26,0,2024-09-07 09:29:41:722,118376,0.4,115786,0.6,242885,0.4,315714,1.75 26,1,2024-09-07 09:29:41:544,822150,822150,0,0,384983551604,4035833019,809835,9902,2413,380,391748,0 26,2,2024-09-07 09:29:40:862,591923,591923,0,0,31467759,0,4689 26,3,2024-09-07 09:29:41:714,1,468,1,0,796,5356,468,0 27,0,2024-09-07 09:29:41:725,118683,0.5,118953,0.6,236545,0.4,315564,2.25 27,1,2024-09-07 09:29:41:679,823091,823091,0,0,386858806418,4031648542,814845,6984,1262,381,391626,0 27,2,2024-09-07 09:29:40:867,591065,591000,65,0,27512925,0,5699 27,3,2024-09-07 09:29:41:019,1,468,9,0,564,4260,468,0 28,0,2024-09-07 09:29:41:411,115349,0.4,114750,0.6,230537,0.3,307406,2.00 28,1,2024-09-07 09:29:40:800,822611,822611,0,0,386718280013,4034184758,815205,5706,1700,382,391698,0 28,2,2024-09-07 09:29:41:769,591985,591985,0,0,24131616,0,2915 28,3,2024-09-07 09:29:41:780,1,468,0,0,502,4815,468,0 29,0,2024-09-07 09:29:41:374,122326,0.4,119253,0.6,233734,0.3,318568,1.75 29,1,2024-09-07 09:29:41:576,824915,824915,0,0,387635397345,4009868226,819762,4394,759,368,391809,0 29,2,2024-09-07 09:29:40:872,590518,590518,0,0,23315023,0,4986 29,3,2024-09-07 09:29:40:965,1,468,8,0,459,5381,468,0 30,0,2024-09-07 09:29:41:475,118090,0.5,114788,0.7,240247,0.5,314525,2.00 30,1,2024-09-07 09:29:40:579,823704,823704,0,0,387408556444,4022480240,817124,5731,849,380,391672,0 30,2,2024-09-07 09:29:41:273,592370,592370,0,0,22823353,0,4192 30,3,2024-09-07 09:29:40:581,1,468,266,0,519,4751,468,0 31,0,2024-09-07 09:29:41:760,117625,0.5,118071,0.7,235793,0.4,314643,2.00 31,1,2024-09-07 09:29:40:576,827257,827257,0,0,388497431203,3982812608,823526,2995,736,356,391712,0 31,2,2024-09-07 09:29:41:275,590646,590646,0,0,24415537,0,3525 31,3,2024-09-07 09:29:41:710,1,468,0,0,220,3998,468,0 32,0,2024-09-07 09:29:41:419,116040,0.3,116604,0.5,232403,0.3,310150,1.75 32,1,2024-09-07 09:29:40:803,823247,823247,0,0,386732695257,4019881508,818098,4467,682,381,391646,0 32,2,2024-09-07 09:29:40:942,595772,595772,0,0,22112308,0,3922 32,3,2024-09-07 09:29:41:016,1,468,7,0,304,3712,468,0 33,0,2024-09-07 09:29:41:496,117671,0.3,117209,0.4,234638,0.2,312574,1.50 33,1,2024-09-07 09:29:40:584,824044,824044,0,0,387338879034,4013174387,817367,5572,1105,368,391730,0 33,2,2024-09-07 09:29:40:767,591419,591384,35,0,24243053,0,7012 33,3,2024-09-07 09:29:40:903,1,468,11,0,329,4407,468,0 34,0,2024-09-07 09:29:40:930,117527,0.3,120743,0.4,230798,0.2,311017,1.75 34,1,2024-09-07 09:29:41:044,825884,825884,0,0,388519127911,3992826232,823892,1974,18,367,391562,0 34,2,2024-09-07 09:29:40:767,592371,592371,0,0,22577997,0,4562 34,3,2024-09-07 09:29:41:690,1,468,1,0,320,3690,468,0 35,0,2024-09-07 09:29:40:860,116911,0.3,117535,0.5,236154,0.3,314012,1.75 35,1,2024-09-07 09:29:41:072,823380,823380,0,0,387179417569,4011123616,818590,3919,871,382,391769,0 35,2,2024-09-07 09:29:41:583,591352,591352,0,0,24565749,0,4055 35,3,2024-09-07 09:29:40:908,1,468,21,0,418,4611,468,0 36,0,2024-09-07 09:29:41:522,116787,0.4,116698,0.6,233349,0.4,310687,2.00 36,1,2024-09-07 09:29:40:590,822862,822862,0,0,386037430878,4030044750,812597,8256,2009,366,391759,0 36,2,2024-09-07 09:29:41:751,596476,596476,0,0,25890810,0,3875 36,3,2024-09-07 09:29:40:863,1,468,7,0,416,6425,468,0 37,0,2024-09-07 09:29:41:381,115131,0.5,115305,0.6,230424,0.4,307679,2.00 37,1,2024-09-07 09:29:40:572,821531,821524,0,7,385718580368,4029252824,811273,7696,2555,365,391770,0 37,2,2024-09-07 09:29:41:144,588464,588449,15,0,26002564,0,5815 37,3,2024-09-07 09:29:41:768,1,468,1,0,888,6835,468,0 38,0,2024-09-07 09:29:41:458,116531,0.5,113054,0.6,236527,0.4,309620,2.00 38,1,2024-09-07 09:29:41:610,822218,822218,0,0,387066845250,4047314997,809859,9878,2481,368,391821,0 38,2,2024-09-07 09:29:40:761,590182,590135,47,0,25665990,0,6710 38,3,2024-09-07 09:29:41:002,1,468,0,0,689,5912,468,0 39,0,2024-09-07 09:29:41:763,121513,0.6,118810,0.7,231578,0.5,315709,2.00 39,1,2024-09-07 09:29:40:715,821361,821361,0,0,384897453608,4037787639,807345,10825,3191,365,391658,0 39,2,2024-09-07 09:29:41:420,592734,592734,0,0,24467276,0,3391 39,3,2024-09-07 09:29:40:712,1,468,0,0,324,5080,468,0 40,0,2024-09-07 09:29:41:489,116079,0.8,116811,0.9,232899,0.8,310051,2.75 40,1,2024-09-07 09:29:40:584,822312,822312,0,0,385319946971,4034491646,810640,9504,2168,368,391668,0 40,2,2024-09-07 09:29:41:306,593535,593534,1,0,29337403,0,5137 40,3,2024-09-07 09:29:41:143,1,468,0,0,1028,6243,468,0 41,0,2024-09-07 09:29:41:031,114219,1.3,116745,1.2,222635,1.8,303290,3.00 41,1,2024-09-07 09:29:40:767,820575,820575,0,0,386076192651,4042271001,809744,9123,1708,369,391742,0 41,2,2024-09-07 09:29:40:772,588842,588842,0,0,27562561,0,4277 41,3,2024-09-07 09:29:41:678,1,468,5,0,366,4866,468,0 42,0,2024-09-07 09:29:41:483,117903,0.8,117681,1.0,235747,1.0,311746,2.75 42,1,2024-09-07 09:29:41:454,818470,818470,0,0,384951048088,4051165454,804273,11352,2845,380,391675,0 42,2,2024-09-07 09:29:41:135,589797,589797,0,0,27535740,0,3790 42,3,2024-09-07 09:29:41:011,1,468,3,0,892,4460,468,0 43,0,2024-09-07 09:29:40:917,117878,0.7,114842,0.9,240204,0.7,315160,2.25 43,1,2024-09-07 09:29:40:582,821992,821992,0,0,386086695753,4036286975,810082,9943,1967,366,391696,0 43,2,2024-09-07 09:29:41:736,592256,592256,0,0,27306236,0,3812 43,3,2024-09-07 09:29:41:749,1,468,1,0,571,6751,468,0 44,0,2024-09-07 09:29:40:864,118851,0.5,118912,0.6,238377,0.4,316898,2.00 44,1,2024-09-07 09:29:40:568,823582,823582,0,0,387083649484,4001472384,816277,5756,1549,356,391809,0 44,2,2024-09-07 09:29:41:267,591173,591173,0,0,22568108,0,4344 44,3,2024-09-07 09:29:41:093,1,468,1,0,817,5286,468,0 45,0,2024-09-07 09:29:41:778,113526,0.5,110848,0.7,232646,0.4,305641,2.00 45,1,2024-09-07 09:29:41:005,823003,823003,0,0,387433824314,4026953776,816348,5956,699,382,391917,0 45,2,2024-09-07 09:29:41:269,594461,594461,0,0,22747156,0,3596 45,3,2024-09-07 09:29:40:934,1,468,6,0,271,3968,468,0 46,0,2024-09-07 09:29:40:950,118169,0.5,117674,0.7,235991,0.4,313848,2.00 46,1,2024-09-07 09:29:40:576,825005,825005,0,0,387230581101,4000956555,819443,4832,730,366,391709,0 46,2,2024-09-07 09:29:40:594,591106,591106,0,0,23012884,0,4443 46,3,2024-09-07 09:29:41:131,1,468,8,0,908,5629,468,0 47,0,2024-09-07 09:29:41:102,118103,0.4,118434,0.6,237015,0.4,314339,2.00 47,1,2024-09-07 09:29:40:571,825528,825528,0,0,387326493436,4001349942,820539,4150,839,366,391641,0 47,2,2024-09-07 09:29:40:911,594323,594323,0,0,22833209,0,4477 47,3,2024-09-07 09:29:41:115,1,468,3,0,600,5504,468,0 48,0,2024-09-07 09:29:41:488,118112,0.3,118093,0.4,235153,0.2,313289,1.50 48,1,2024-09-07 09:29:41:022,823665,823665,0,0,387437118955,4021480046,818920,4288,457,384,391710,0 48,2,2024-09-07 09:29:40:698,591809,591809,0,0,21052086,0,3411 48,3,2024-09-07 09:29:40:766,1,468,1,0,339,3700,468,0 49,0,2024-09-07 09:29:41:718,118586,0.3,116551,0.5,226166,0.3,309571,1.75 49,1,2024-09-07 09:29:41:028,822979,822979,0,0,386362069855,4016548110,817802,3970,1207,382,391809,0 49,2,2024-09-07 09:29:41:797,596124,596124,0,0,23424709,0,4426 49,3,2024-09-07 09:29:41:419,1,468,8,0,992,5456,468,0 50,0,2024-09-07 09:29:41:511,117214,0.3,115639,0.4,232900,0.2,310456,1.75 50,1,2024-09-07 09:29:41:012,825665,825665,0,0,388347257756,4013216695,820603,4487,575,368,391565,0 50,2,2024-09-07 09:29:41:067,590694,590694,0,0,21071499,0,4490 50,3,2024-09-07 09:29:41:291,1,468,617,0,617,5186,468,0 51,0,2024-09-07 09:29:41:694,119689,0.3,117274,0.4,228573,0.2,311542,1.75 51,1,2024-09-07 09:29:41:680,826241,826241,0,0,389146020917,4012013351,821842,3348,1051,365,391706,0 51,2,2024-09-07 09:29:41:322,591477,591477,0,0,20247340,0,3337 51,3,2024-09-07 09:29:41:027,1,468,33,0,678,3659,468,0 52,0,2024-09-07 09:29:41:423,118503,0.5,118255,0.7,236830,0.5,314347,2.00 52,1,2024-09-07 09:29:40:575,822001,822001,0,0,385769285152,4035801994,810755,9802,1444,368,391722,0 52,2,2024-09-07 09:29:41:759,588952,588914,38,0,27541823,0,6742 52,3,2024-09-07 09:29:40:673,1,468,1,0,1782,6065,468,0 53,0,2024-09-07 09:29:41:738,115540,0.6,112192,0.8,234741,0.7,307993,2.25 53,1,2024-09-07 09:29:40:776,819986,819986,0,0,386168978242,4052139278,806360,10036,3590,367,391968,0 53,2,2024-09-07 09:29:41:298,595970,595969,1,0,24816222,0,5455 53,3,2024-09-07 09:29:40:697,1,468,3,0,308,4641,468,0 54,0,2024-09-07 09:29:41:620,114033,0.5,114310,0.7,227661,0.4,304933,2.25 54,1,2024-09-07 09:29:40:591,821982,821982,0,0,386666608350,4019523544,813375,7211,1396,366,391659,0 54,2,2024-09-07 09:29:40:866,590899,590867,32,0,27954460,0,6397 54,3,2024-09-07 09:29:40:766,1,468,2,0,676,6447,468,0 55,0,2024-09-07 09:29:41:789,113945,0.6,117524,0.7,238101,0.5,309752,2.25 55,1,2024-09-07 09:29:40:769,822992,822992,0,0,386862355046,4020986174,814418,7367,1207,365,391731,0 55,2,2024-09-07 09:29:40:745,589872,589816,56,0,27115700,0,7239 55,3,2024-09-07 09:29:40:673,1,468,1,0,304,4490,468,0 56,0,2024-09-07 09:29:41:606,121224,1.2,114275,1.1,235540,1.6,315003,2.75 56,1,2024-09-07 09:29:40:596,818810,818810,0,0,385020978939,4064153911,806565,9693,2552,381,391867,0 56,2,2024-09-07 09:29:41:308,591592,591470,122,0,28477419,0,7432 56,3,2024-09-07 09:29:41:060,1,468,1,0,705,5681,468,0 57,0,2024-09-07 09:29:40:948,116777,1.6,116618,1.3,233844,2.1,312702,3.00 57,1,2024-09-07 09:29:40:992,820475,820475,0,0,385543989536,4044864484,809690,8720,2065,366,392032,0 57,2,2024-09-07 09:29:41:319,593601,593601,0,0,29090122,0,4317 57,3,2024-09-07 09:29:41:738,1,468,18,0,455,5381,468,0 58,0,2024-09-07 09:29:40:567,113360,0.9,110150,1.0,230272,1.1,302425,2.50 58,1,2024-09-07 09:29:40:578,821163,821160,0,3,385805948324,4042374894,808888,9504,2768,367,391603,3 58,2,2024-09-07 09:29:41:078,591133,591133,0,0,27003034,0,3483 58,3,2024-09-07 09:29:41:073,1,468,2,0,1043,4921,468,0 59,0,2024-09-07 09:29:41:747,118606,0.9,118134,1.0,235895,1.0,312847,2.75 59,1,2024-09-07 09:29:40:806,820852,820852,0,0,386322778852,4050991244,808617,9998,2237,369,391653,0 59,2,2024-09-07 09:29:40:587,589814,589814,0,0,26674676,0,3727 59,3,2024-09-07 09:29:41:738,1,468,5,0,1015,6267,468,0 60,0,2024-09-07 09:29:41:747,118332,0.5,118410,0.7,237258,0.5,315402,1.75 60,1,2024-09-07 09:29:40:792,824087,824087,0,0,387442781883,4022010042,818246,4912,929,370,392031,0 60,2,2024-09-07 09:29:41:155,592348,592348,0,0,24905680,0,3811 60,3,2024-09-07 09:29:41:258,1,468,2,0,409,5926,468,0 61,0,2024-09-07 09:29:41:514,117729,0.7,118419,0.8,235767,0.7,314366,2.00 61,1,2024-09-07 09:29:40:769,821586,821586,0,0,385998158919,4044171767,812182,7829,1575,382,392127,0 61,2,2024-09-07 09:29:41:137,591700,591633,67,0,25192545,0,6411 61,3,2024-09-07 09:29:41:689,1,468,1,0,479,6207,468,0 62,0,2024-09-07 09:29:41:762,116385,0.6,119294,0.7,227842,0.5,309905,2.00 62,1,2024-09-07 09:29:41:112,826626,826620,0,6,388425687562,4003758147,822693,3664,263,365,391975,6 62,2,2024-09-07 09:29:41:648,593171,593170,1,0,25173423,0,5555 62,3,2024-09-07 09:29:41:142,1,468,1,0,482,3913,468,0 63,0,2024-09-07 09:29:41:459,117687,0.4,117433,0.5,235502,0.3,312921,1.75 63,1,2024-09-07 09:29:40:803,823861,823855,0,6,387117603770,4018454555,818508,4613,734,381,391800,6 63,2,2024-09-07 09:29:40:762,590725,590725,0,0,23184662,0,4369 63,3,2024-09-07 09:29:41:733,1,468,6,0,667,5058,468,0 64,0,2024-09-07 09:29:41:522,116812,0.5,116876,0.6,233202,0.4,310367,1.75 64,1,2024-09-07 09:29:40:765,823381,823381,0,0,386075912772,4019484947,816466,5210,1705,370,391783,0 64,2,2024-09-07 09:29:41:148,594823,594804,19,0,22678553,0,6121 64,3,2024-09-07 09:29:41:156,1,468,0,0,265,4332,468,0 65,0,2024-09-07 09:29:41:671,116717,0.7,117037,0.8,233700,0.7,311337,2.00 65,1,2024-09-07 09:29:40:858,821325,821325,0,0,385760649743,4028397119,816085,4699,541,381,391901,0 65,2,2024-09-07 09:29:41:692,591437,591437,0,0,27106952,0,3367 65,3,2024-09-07 09:29:41:693,1,468,27,0,782,5735,468,0 66,0,2024-09-07 09:29:41:770,116275,0.5,116060,0.7,231986,0.5,309150,2.00 66,1,2024-09-07 09:29:41:304,823439,823439,0,0,386621954404,4023491235,817933,4980,526,380,391743,0 66,2,2024-09-07 09:29:41:132,597599,597596,3,0,24686608,0,5455 66,3,2024-09-07 09:29:41:084,1,468,2,0,291,4032,468,0 67,0,2024-09-07 09:29:41:413,115638,0.5,115368,0.6,231659,0.4,308267,2.00 67,1,2024-09-07 09:29:40:767,823158,823157,0,1,385992244972,4018420022,817510,4853,794,380,391787,1 67,2,2024-09-07 09:29:40:590,592390,592375,15,0,23197937,0,6205 67,3,2024-09-07 09:29:41:751,1,468,1,0,392,4523,468,0 68,0,2024-09-07 09:29:40:580,117500,0.5,117347,0.7,233713,0.5,312062,2.00 68,1,2024-09-07 09:29:40:573,819861,819861,0,0,384662263446,4046261058,808517,8008,3336,381,391953,0 68,2,2024-09-07 09:29:41:044,587472,587372,100,0,29978605,0,8578 68,3,2024-09-07 09:29:40:743,1,468,0,0,417,5592,468,0 69,0,2024-09-07 09:29:41:728,117998,0.7,118788,0.8,236741,0.8,313996,2.25 69,1,2024-09-07 09:29:41:017,819739,819739,0,0,384761661581,4052145197,809347,8118,2274,384,391994,0 69,2,2024-09-07 09:29:41:736,591201,591172,29,0,31914310,0,6912 69,3,2024-09-07 09:29:40:766,1,468,3,0,698,7127,468,0 70,0,2024-09-07 09:29:41:535,115998,0.9,116384,1.0,234141,0.8,309516,2.50 70,1,2024-09-07 09:29:40:801,823668,823668,0,0,387304787546,4018123866,817178,5789,701,366,391725,0 70,2,2024-09-07 09:29:41:328,594623,594623,0,0,26800919,0,4323 70,3,2024-09-07 09:29:40:766,1,468,1,0,854,5349,468,0 71,0,2024-09-07 09:29:41:364,113526,0.9,113436,1.0,227902,1.1,304441,2.50 71,1,2024-09-07 09:29:41:601,821825,821825,0,0,386270474907,4029444196,812190,8554,1081,368,391738,0 71,2,2024-09-07 09:29:41:072,589967,589967,0,0,26558487,0,4352 71,3,2024-09-07 09:29:41:750,1,468,0,0,644,5741,468,0 72,0,2024-09-07 09:29:41:023,122515,0.6,119798,0.7,233540,0.5,317153,2.00 72,1,2024-09-07 09:29:41:021,820335,820335,0,0,385427473315,4043929434,808380,9872,2083,369,391819,0 72,2,2024-09-07 09:29:41:761,589381,589381,0,0,29048895,0,3983 72,3,2024-09-07 09:29:41:762,1,468,1,0,564,6766,468,0 73,0,2024-09-07 09:29:41:121,115972,0.4,118939,0.6,243087,0.4,316048,2.00 73,1,2024-09-07 09:29:40:767,822657,822657,0,0,386336189638,4013267225,816723,5512,422,367,391858,0 73,2,2024-09-07 09:29:41:738,591582,591582,0,0,29086207,0,4291 73,3,2024-09-07 09:29:40:978,1,468,13,0,486,5991,468,0 74,0,2024-09-07 09:29:41:328,119682,0.5,122425,0.7,233351,0.5,317271,2.25 74,1,2024-09-07 09:29:40:634,821849,821849,0,0,386221431326,4032391683,812768,7315,1766,381,391762,0 74,2,2024-09-07 09:29:41:012,591837,591837,0,0,25868883,0,4253 74,3,2024-09-07 09:29:41:447,1,468,19,0,522,5793,468,0 75,0,2024-09-07 09:29:41:792,115376,0.5,114584,0.7,230093,0.5,307279,2.25 75,1,2024-09-07 09:29:41:589,822507,822507,0,0,386081184933,4026166301,815133,6533,841,380,391739,0 75,2,2024-09-07 09:29:41:361,592288,592288,0,0,29468849,0,4766 75,3,2024-09-07 09:29:41:067,1,468,18,0,702,5837,468,0 76,0,2024-09-07 09:29:40:584,117929,0.5,117333,0.7,235503,0.5,315079,2.25 76,1,2024-09-07 09:29:40:819,821776,821776,0,0,385634219269,4021223624,816120,4828,828,382,391692,0 76,2,2024-09-07 09:29:41:061,593000,592999,1,0,25040981,0,5144 76,3,2024-09-07 09:29:41:146,1,468,5,0,227,4568,468,0 77,0,2024-09-07 09:29:41:691,117534,0.5,117922,0.7,236165,0.5,313318,2.00 77,1,2024-09-07 09:29:40:829,822857,822857,0,0,386050425967,4027073086,816728,5621,508,381,391869,0 77,2,2024-09-07 09:29:41:284,591210,591210,0,0,25030435,0,3890 77,3,2024-09-07 09:29:41:103,1,468,13,0,401,5085,468,0 78,0,2024-09-07 09:29:41:720,117884,0.4,117177,0.6,235369,0.4,312060,2.00 78,1,2024-09-07 09:29:40:609,822731,822731,0,0,385244340313,4017054960,813380,7125,2226,367,391670,0 78,2,2024-09-07 09:29:41:404,592495,592482,13,0,23322789,0,8313 78,3,2024-09-07 09:29:41:133,1,468,14,0,181,4011,468,0 79,0,2024-09-07 09:29:41:376,111671,0.4,114407,0.6,234058,0.3,304546,2.00 79,1,2024-09-07 09:29:40:583,824433,824433,0,0,386808591187,4009490122,817364,5730,1339,367,391682,0 79,2,2024-09-07 09:29:41:074,596353,596353,0,0,22742344,0,4195 79,3,2024-09-07 09:29:40:757,1,468,1,0,418,5837,468,0 80,0,2024-09-07 09:29:41:081,116546,0.5,119831,0.6,229207,0.4,310074,2.00 80,1,2024-09-07 09:29:41:622,822104,822104,0,0,386161345715,4020305728,816433,5248,423,368,392269,0 80,2,2024-09-07 09:29:41:091,592167,592167,0,0,22801221,0,4433 80,3,2024-09-07 09:29:40:587,1,468,28,0,190,5254,468,0 81,0,2024-09-07 09:29:41:551,116833,0.5,119611,0.7,228415,0.5,310158,2.00 81,1,2024-09-07 09:29:41:651,821316,821316,0,0,385701468557,4028384358,815092,5670,554,382,391879,0 81,2,2024-09-07 09:29:41:130,589806,589743,63,0,25464833,0,5932 81,3,2024-09-07 09:29:41:137,1,468,3,0,719,5483,468,0 82,0,2024-09-07 09:29:41:532,117831,0.5,118114,0.7,236555,0.5,313576,2.00 82,1,2024-09-07 09:29:40:590,823745,823741,0,4,386465702922,4017599035,818995,3927,819,381,391768,4 82,2,2024-09-07 09:29:41:691,593154,593154,0,0,21552401,0,4484 82,3,2024-09-07 09:29:41:752,1,468,0,0,363,4701,468,0 83,0,2024-09-07 09:29:41:528,116371,0.6,116261,0.7,231905,0.6,308111,2.25 83,1,2024-09-07 09:29:40:552,821750,821750,0,0,385849549238,4021055984,816009,5291,450,382,391709,0 83,2,2024-09-07 09:29:40:766,595753,595728,25,0,23337012,0,5612 83,3,2024-09-07 09:29:40:757,1,468,1,0,1260,5887,468,0 84,0,2024-09-07 09:29:41:779,114723,0.7,114799,0.9,228931,0.6,306953,2.25 84,1,2024-09-07 09:29:41:038,820998,820998,0,0,384727866801,4019969175,812343,7518,1137,367,391967,0 84,2,2024-09-07 09:29:40:580,589053,589023,30,0,29877393,0,5971 84,3,2024-09-07 09:29:41:147,1,468,0,0,908,6906,468,0 85,0,2024-09-07 09:29:41:018,113536,0.6,113423,0.8,240686,0.6,311485,2.25 85,1,2024-09-07 09:29:40:567,818957,818957,0,0,384988709049,4061756840,806868,9945,2144,381,392006,0 85,2,2024-09-07 09:29:40:868,589272,589272,0,0,28434271,0,3656 85,3,2024-09-07 09:29:40:693,1,468,5,0,789,5467,468,0 86,0,2024-09-07 09:29:40:878,118468,0.7,121934,0.8,233266,0.7,315326,2.25 86,1,2024-09-07 09:29:40:825,821353,821353,0,0,386140749505,4043219862,811598,8120,1635,366,391961,0 86,2,2024-09-07 09:29:40:860,590332,590331,1,0,31005967,0,5004 86,3,2024-09-07 09:29:40:588,1,468,1,0,308,6818,468,0 87,0,2024-09-07 09:29:41:288,118553,0.9,118194,0.9,236399,1.2,315892,2.25 87,1,2024-09-07 09:29:40:551,820113,820113,0,0,384976106100,4033954260,809109,9346,1658,366,392076,0 87,2,2024-09-07 09:29:41:069,593167,593161,6,0,27174613,0,6323 87,3,2024-09-07 09:29:41:795,1,468,4,0,473,7160,468,0 88,0,2024-09-07 09:29:41:595,114818,0.4,115523,0.6,230733,0.4,307143,1.75 88,1,2024-09-07 09:29:40:576,819691,819691,0,0,384902104068,4031610490,808768,8697,2226,365,392084,0 88,2,2024-09-07 09:29:40:711,591800,591800,0,0,29718752,0,4465 88,3,2024-09-07 09:29:41:284,1,468,8,0,435,5738,468,0 89,0,2024-09-07 09:29:41:786,122403,0.5,118631,0.6,234489,0.4,318652,1.75 89,1,2024-09-07 09:29:40:558,819359,819359,0,0,385287702978,4050088191,809321,8563,1475,382,391866,0 89,2,2024-09-07 09:29:41:135,589848,589848,0,0,27963715,0,3173 89,3,2024-09-07 09:29:41:793,1,468,9,0,468,7901,468,0 90,0,2024-09-07 09:29:41:712,114954,0.4,118099,0.6,240771,0.4,314354,2.00 90,1,2024-09-07 09:29:40:591,821043,821043,0,0,385717678691,4037461033,813464,6928,651,380,391825,0 90,2,2024-09-07 09:29:41:417,589758,589758,0,0,29979406,0,3608 90,3,2024-09-07 09:29:40:930,1,468,1,0,322,5636,468,0 91,0,2024-09-07 09:29:40:957,118564,0.5,114720,0.6,239747,0.5,315026,1.75 91,1,2024-09-07 09:29:40:558,819374,819374,0,0,385376732757,4051621768,809065,8666,1643,381,392047,0 91,2,2024-09-07 09:29:41:344,592118,592118,0,0,26414333,0,3526 91,3,2024-09-07 09:29:40:607,1,468,2,0,216,4641,468,0 92,0,2024-09-07 09:29:41:474,117114,0.5,119819,0.6,228648,0.5,310196,1.75 92,1,2024-09-07 09:29:40:596,822059,822059,0,0,384883154265,4021266422,815514,5473,1072,381,392136,0 92,2,2024-09-07 09:29:41:363,595780,595780,0,0,23622223,0,3259 92,3,2024-09-07 09:29:41:014,1,468,19,0,167,4176,468,0 93,0,2024-09-07 09:29:40:966,118043,0.4,120986,0.5,230975,0.3,313092,1.75 93,1,2024-09-07 09:29:40:807,821942,821942,0,0,386248373964,4032094518,813009,7339,1594,366,391776,0 93,2,2024-09-07 09:29:40:929,590387,590387,0,0,28134595,0,4845 93,3,2024-09-07 09:29:41:409,1,468,4,0,190,4137,468,0 94,0,2024-09-07 09:29:41:638,116826,0.3,117665,0.5,235001,0.3,311833,1.75 94,1,2024-09-07 09:29:40:572,822118,822118,0,0,387062934610,4036407701,816854,5048,216,381,391850,0 94,2,2024-09-07 09:29:40:767,590962,590962,0,0,23540423,0,2443 94,3,2024-09-07 09:29:41:690,1,468,1,0,576,6086,468,0 95,0,2024-09-07 09:29:41:586,117765,0.3,117516,0.5,235709,0.3,313958,1.75 95,1,2024-09-07 09:29:40:853,823338,823338,0,0,386961123232,4020288192,816865,5994,479,365,391786,0 95,2,2024-09-07 09:29:41:016,591190,591190,0,0,23366898,0,3308 95,3,2024-09-07 09:29:41:732,1,468,21,0,718,6688,468,0 96,0,2024-09-07 09:29:41:022,116670,0.4,116929,0.5,233528,0.3,310058,1.75 96,1,2024-09-07 09:29:41:591,821882,821882,0,0,385728692557,4023003462,815962,5013,907,384,391955,0 96,2,2024-09-07 09:29:41:274,596843,596843,0,0,24209405,0,4180 96,3,2024-09-07 09:29:41:145,1,468,2,0,411,5085,468,0 97,0,2024-09-07 09:29:41:376,115796,0.3,115263,0.4,231404,0.2,308023,1.50 97,1,2024-09-07 09:29:40:765,823264,823264,0,0,387575197667,4017875598,818075,4253,936,367,392140,0 97,2,2024-09-07 09:29:40:609,590783,590783,0,0,23312010,0,3679 97,3,2024-09-07 09:29:40:573,1,468,115,0,242,5659,468,0 98,0,2024-09-07 09:29:41:703,116809,0.3,117206,0.4,234847,0.2,311745,1.50 98,1,2024-09-07 09:29:40:572,823155,823155,0,0,386548036542,4024962191,818055,4307,793,381,391997,0 98,2,2024-09-07 09:29:40:770,590909,590909,0,0,23388248,0,4336 98,3,2024-09-07 09:29:40:697,1,468,1,0,840,7452,468,0 99,0,2024-09-07 09:29:41:449,118623,0.3,119257,0.5,237167,0.3,316618,1.75 99,1,2024-09-07 09:29:41:724,823061,823061,0,0,386337544843,4019076676,817918,4304,839,380,392069,0 99,2,2024-09-07 09:29:41:420,593566,593566,0,0,27736576,0,4276 99,3,2024-09-07 09:29:40:589,1,468,2,0,606,4913,468,0 100,0,2024-09-07 09:29:41:556,117033,0.8,117396,0.9,233966,0.9,312183,2.50 100,1,2024-09-07 09:29:40:553,818247,818247,0,0,384675800261,4067703541,805254,10044,2949,378,391989,0 100,2,2024-09-07 09:29:41:823,592319,592308,11,0,27431422,0,5417 100,3,2024-09-07 09:29:41:733,1,468,5,0,559,7424,468,0 101,0,2024-09-07 09:29:41:771,117009,1.0,114066,1.0,223475,0.9,306063,2.25 101,1,2024-09-07 09:29:40:551,819140,819140,0,0,384867782916,4046263563,807444,9329,2367,368,391847,0 101,2,2024-09-07 09:29:41:769,586920,586920,0,0,32033060,0,4871 101,3,2024-09-07 09:29:40:948,1,468,2,0,1250,7443,468,0 102,0,2024-09-07 09:29:40:965,115464,0.6,119034,0.7,241546,0.6,315623,2.00 102,1,2024-09-07 09:29:41:144,818991,818991,0,0,384935824009,4045365961,807564,9431,1996,369,391891,0 102,2,2024-09-07 09:29:41:738,591308,591254,54,0,25975453,0,6768 102,3,2024-09-07 09:29:41:630,1,468,7,0,466,5064,468,0 103,0,2024-09-07 09:29:41:671,122854,0.5,122894,0.7,231575,0.5,318481,2.00 103,1,2024-09-07 09:29:41:636,818564,818564,0,0,384735560008,4062735969,805255,10115,3194,381,391862,0 103,2,2024-09-07 09:29:40:590,590483,590483,0,0,28908261,0,3766 103,3,2024-09-07 09:29:40:758,1,468,2,0,916,5388,468,0 104,0,2024-09-07 09:29:41:226,117789,0.7,118145,0.9,235112,0.7,315274,2.25 104,1,2024-09-07 09:29:41:599,820436,820436,0,0,384926021202,4047123787,807787,10104,2545,365,392168,0 104,2,2024-09-07 09:29:41:680,590427,590427,0,0,27960859,0,3941 104,3,2024-09-07 09:29:41:417,1,468,13,0,1245,8845,468,0 105,0,2024-09-07 09:29:41:037,113701,0.9,110840,1.1,232228,1.0,305167,2.75 105,1,2024-09-07 09:29:40:572,822002,822002,0,0,385876182135,4045196594,811160,9191,1651,366,392009,0 105,2,2024-09-07 09:29:41:328,591701,591701,0,0,27692251,0,3509 105,3,2024-09-07 09:29:41:316,1,468,6,0,399,6890,468,0 106,0,2024-09-07 09:29:40:974,114514,0.7,117247,0.9,240308,0.8,314068,2.50 106,1,2024-09-07 09:29:41:753,820835,820835,0,0,385105027556,4042558546,808873,10321,1641,368,391914,0 106,2,2024-09-07 09:29:40:756,589682,589682,0,0,26622265,0,2920 106,3,2024-09-07 09:29:40:678,1,468,2,0,470,6071,468,0 107,0,2024-09-07 09:29:41:125,117743,0.8,117923,0.9,235774,0.9,314370,2.25 107,1,2024-09-07 09:29:40:593,819175,819175,0,0,385123765387,4058396871,807896,10182,1097,381,392234,0 107,2,2024-09-07 09:29:41:296,589511,589510,1,0,28932521,0,5024 107,3,2024-09-07 09:29:41:758,1,468,0,0,370,6787,468,0 108,0,2024-09-07 09:29:41:779,117196,0.4,118135,0.6,234874,0.4,313345,1.75 108,1,2024-09-07 09:29:41:310,821863,821863,0,0,385628858489,4022041491,814818,6211,834,367,391857,0 108,2,2024-09-07 09:29:41:759,590285,590285,0,0,26155203,0,4246 108,3,2024-09-07 09:29:41:355,1,468,25,0,749,9312,468,0 109,0,2024-09-07 09:29:41:771,116234,0.4,115334,0.6,230950,0.3,308736,1.75 109,1,2024-09-07 09:29:40:587,819519,819519,0,0,385277692599,4041986205,811892,6418,1209,382,392132,0 109,2,2024-09-07 09:29:40:939,593413,593413,0,0,25669383,0,3617 109,3,2024-09-07 09:29:41:144,1,468,10,0,379,5414,468,0 110,0,2024-09-07 09:29:41:763,116360,0.4,113199,0.5,237111,0.3,310892,1.75 110,1,2024-09-07 09:29:41:646,823309,823309,0,0,386520066116,4010273841,817672,4432,1205,369,392045,0 110,2,2024-09-07 09:29:41:306,590735,590735,0,0,24552107,0,4067 110,3,2024-09-07 09:29:40:699,1,468,12,0,722,6586,468,0 111,0,2024-09-07 09:29:41:432,117197,0.3,116495,0.5,233505,0.3,311389,1.75 111,1,2024-09-07 09:29:41:007,824417,824417,0,0,388095515372,4023130445,820251,3810,356,380,391690,0 111,2,2024-09-07 09:29:41:116,589910,589910,0,0,24371168,0,4823 111,3,2024-09-07 09:29:40:913,1,468,4,0,379,5580,468,0 112,0,2024-09-07 09:29:40:956,118864,0.3,118274,0.4,237103,0.2,314644,1.50 112,1,2024-09-07 09:29:40:824,823288,823288,0,0,386569191843,4009914278,818494,4180,614,380,391624,0 112,2,2024-09-07 09:29:41:132,592086,592085,1,0,23496049,0,5036 112,3,2024-09-07 09:29:40:613,1,468,2,0,282,4594,468,0 113,0,2024-09-07 09:29:40:870,116443,0.3,116448,0.5,233254,0.2,310042,1.75 113,1,2024-09-07 09:29:41:694,825705,825705,0,0,388131867576,4001581511,821753,3362,590,366,391661,0 113,2,2024-09-07 09:29:41:306,597874,597874,0,0,21342583,0,3813 113,3,2024-09-07 09:29:40:683,1,468,9,0,340,5070,468,0 114,0,2024-09-07 09:29:40:874,115729,0.3,116528,0.4,231578,0.2,310130,1.75 114,1,2024-09-07 09:29:40:715,823895,823895,0,0,386611029371,4014391176,817501,4778,1616,381,391565,0 114,2,2024-09-07 09:29:40:883,592016,592015,1,0,22280108,0,5069 114,3,2024-09-07 09:29:41:283,1,468,68,0,395,3875,468,0 115,0,2024-09-07 09:29:40:559,118368,0.3,118790,0.4,237067,0.2,314688,1.50 115,1,2024-09-07 09:29:40:579,823812,823812,0,0,387335076054,4022791469,817252,5292,1268,382,391757,0 115,2,2024-09-07 09:29:41:127,592286,592286,0,0,22410390,0,4382 115,3,2024-09-07 09:29:41:006,1,468,1,0,159,2603,468,0 116,0,2024-09-07 09:29:41:716,118324,0.7,118199,0.8,237155,0.6,316494,2.00 116,1,2024-09-07 09:29:40:805,819574,819574,0,0,385446940433,4060674689,809894,7278,2402,380,392089,0 116,2,2024-09-07 09:29:41:759,591065,591065,0,0,28987916,0,4128 116,3,2024-09-07 09:29:40:913,1,468,4,0,415,5565,468,0 117,0,2024-09-07 09:29:40:958,118789,0.7,118200,0.8,236922,0.8,316346,2.00 117,1,2024-09-07 09:29:41:580,820686,820686,0,0,384774872922,4026170560,812047,7643,996,369,392033,0 117,2,2024-09-07 09:29:41:120,595671,595671,0,0,25459752,0,4303 117,3,2024-09-07 09:29:41:064,1,468,2,0,490,6732,468,0 118,0,2024-09-07 09:29:41:774,111615,0.5,114606,0.7,233944,0.5,306075,2.00 118,1,2024-09-07 09:29:40:590,819957,819957,0,0,384985699136,4046768744,807690,9247,3020,366,392054,0 118,2,2024-09-07 09:29:41:588,590795,590795,0,0,27183222,0,2842 118,3,2024-09-07 09:29:41:768,1,468,1,0,248,5476,468,0 119,0,2024-09-07 09:29:41:362,118795,0.7,119048,0.8,238090,0.7,316851,2.00 119,1,2024-09-07 09:29:40:551,820747,820747,0,0,385723511738,4038888720,810528,8823,1396,367,391857,0 119,2,2024-09-07 09:29:41:264,591516,591516,0,0,25309043,0,4174 119,3,2024-09-07 09:29:41:327,1,468,0,0,1358,8900,468,0 120,0,2024-09-07 09:29:41:579,117728,0.7,117518,0.8,235927,0.7,314879,2.25 120,1,2024-09-07 09:29:40:858,821078,821078,0,0,385136339879,4039344026,812298,8006,774,368,392144,0 120,2,2024-09-07 09:29:40:777,590739,590738,1,0,30206387,0,5281 120,3,2024-09-07 09:29:41:297,1,468,9,0,279,5836,468,0 121,0,2024-09-07 09:29:41:752,118063,1.3,117622,1.1,235658,1.8,314359,2.25 121,1,2024-09-07 09:29:41:658,821417,821417,0,0,385949980242,4033776892,813792,7072,553,367,391840,0 121,2,2024-09-07 09:29:41:137,590382,590382,0,0,28319442,0,4157 121,3,2024-09-07 09:29:40:734,1,468,1,0,269,5445,468,0 122,0,2024-09-07 09:29:41:773,115401,0.8,112442,0.9,235774,0.9,310044,2.25 122,1,2024-09-07 09:29:40:860,820120,820120,0,0,385984471285,4048760672,808915,9500,1705,366,392130,0 122,2,2024-09-07 09:29:41:320,594890,594817,73,0,31476057,0,5989 122,3,2024-09-07 09:29:40:596,1,468,2,0,512,8272,468,0 123,0,2024-09-07 09:29:40:976,116646,0.8,113566,0.8,237924,0.9,311592,2.25 123,1,2024-09-07 09:29:40:572,819858,819858,0,0,385352329222,4055832194,805881,11531,2446,369,392039,0 123,2,2024-09-07 09:29:41:020,588583,588582,1,0,26544574,0,5215 123,3,2024-09-07 09:29:41:136,1,468,5,0,478,5487,468,0 124,0,2024-09-07 09:29:40:966,120688,0.3,120646,0.5,227425,0.3,312817,1.75 124,1,2024-09-07 09:29:41:026,823271,823271,0,0,386147203977,4012954102,817431,4783,1057,367,392178,0 124,2,2024-09-07 09:29:41:016,591515,591462,53,0,24177344,0,6487 124,3,2024-09-07 09:29:40:758,1,468,1,0,490,4734,468,0 125,0,2024-09-07 09:29:41:463,117663,0.4,117471,0.6,235764,0.4,313911,1.75 125,1,2024-09-07 09:29:40:861,821482,821482,0,0,385857333042,4025947276,815438,5370,674,382,391813,0 125,2,2024-09-07 09:29:41:119,592889,592889,0,0,24782365,0,4534 125,3,2024-09-07 09:29:41:127,1,468,13,0,709,5641,468,0 126,0,2024-09-07 09:29:41:443,116582,0.4,120008,0.6,229550,0.4,310708,1.75 126,1,2024-09-07 09:29:40:597,824012,824012,0,0,387448719940,4010977489,819634,4082,296,365,391987,0 126,2,2024-09-07 09:29:40:641,596726,596726,0,0,25205920,0,4539 126,3,2024-09-07 09:29:40:919,1,468,23,0,268,5458,468,0 127,0,2024-09-07 09:29:41:621,115674,0.3,116078,0.5,231449,0.3,307993,1.75 127,1,2024-09-07 09:29:40:613,822888,822888,0,0,386546136991,4020822424,814694,6815,1379,364,392187,0 127,2,2024-09-07 09:29:40:646,589428,589428,0,0,22924875,0,3897 127,3,2024-09-07 09:29:41:267,1,468,1,0,968,4854,468,0 128,0,2024-09-07 09:29:41:544,117713,0.3,117807,0.4,235274,0.2,312484,1.50 128,1,2024-09-07 09:29:41:605,822189,822189,0,0,386927941128,4013629837,817252,4503,434,367,392031,0 128,2,2024-09-07 09:29:41:400,592061,592061,0,0,22017793,0,3171 128,3,2024-09-07 09:29:40:766,1,468,36,0,1082,7147,468,0 129,0,2024-09-07 09:29:40:994,119614,0.3,119094,0.5,238581,0.3,316992,1.50 129,1,2024-09-07 09:29:40:576,820169,820169,0,0,384525495866,4027666013,812258,6237,1674,379,391962,0 129,2,2024-09-07 09:29:40:686,593470,593466,4,0,24315011,0,5335 129,3,2024-09-07 09:29:40:693,1,468,5,0,506,6884,468,0 130,0,2024-09-07 09:29:41:721,118076,0.5,117595,0.6,236426,0.5,313541,1.75 130,1,2024-09-07 09:29:40:585,823456,823456,0,0,386977046171,4019568919,819266,3851,339,381,391825,0 130,2,2024-09-07 09:29:41:126,595857,595857,0,0,24061380,0,4067 130,3,2024-09-07 09:29:41:291,1,468,18,0,960,6914,468,0 131,0,2024-09-07 09:29:41:934,114674,0.3,115076,0.5,230799,0.3,306748,1.50 131,1,2024-09-07 09:29:41:820,822606,822606,0,0,385657322549,4023950155,816533,5019,1054,381,391865,0 131,2,2024-09-07 09:29:40:572,592598,592598,0,0,21931574,0,3979 131,3,2024-09-07 09:29:41:701,1,468,1,0,392,5029,468,0 132,0,2024-09-07 09:29:41:450,118762,0.4,119847,0.6,238387,0.4,316633,1.75 132,1,2024-09-07 09:29:40:593,818913,818913,0,0,385577154067,4057385914,807172,9609,2132,381,392532,0 132,2,2024-09-07 09:29:40:698,590610,590593,17,0,29177746,0,6451 132,3,2024-09-07 09:29:41:692,1,468,4,0,804,7948,468,0 133,0,2024-09-07 09:29:41:586,115909,0.4,118732,0.6,243353,0.4,316725,1.75 133,1,2024-09-07 09:29:40:585,818918,818918,0,0,384779406208,4055685756,807430,9867,1621,383,391914,0 133,2,2024-09-07 09:29:41:091,591986,591936,50,0,30212378,0,6861 133,3,2024-09-07 09:29:41:297,1,468,22,0,479,4852,468,0 134,0,2024-09-07 09:29:40:953,119132,0.5,118863,0.7,237954,0.5,317634,2.00 134,1,2024-09-07 09:29:40:586,820227,820227,0,0,385161325436,4036404037,810045,8113,2069,366,391718,0 134,2,2024-09-07 09:29:41:758,590949,590925,24,0,28334126,0,6207 134,3,2024-09-07 09:29:40:757,1,468,1,0,739,5722,468,0 135,0,2024-09-07 09:29:41:101,111392,0.7,111429,0.8,236482,0.8,304656,2.25 135,1,2024-09-07 09:29:41:586,820466,820466,0,0,386065350567,4057805312,810110,8978,1378,380,391805,0 135,2,2024-09-07 09:29:40:693,593248,593248,0,0,27587024,0,3981 135,3,2024-09-07 09:29:41:006,1,468,3,0,299,4042,468,0 136,0,2024-09-07 09:29:41:639,119209,0.5,119393,0.7,237760,0.5,317648,2.00 136,1,2024-09-07 09:29:41:456,821444,821444,0,0,384633371121,4032579039,812108,8198,1138,381,391685,0 136,2,2024-09-07 09:29:41:141,592097,592097,0,0,26393856,0,3506 136,3,2024-09-07 09:29:41:106,1,468,3,0,637,5419,468,0 137,0,2024-09-07 09:29:40:935,121695,0.6,118558,0.7,232377,0.6,315689,2.00 137,1,2024-09-07 09:29:40:584,819601,819601,0,0,384857327677,4037878138,806692,10241,2668,366,391898,0 137,2,2024-09-07 09:29:41:713,589838,589838,0,0,29609027,0,3185 137,3,2024-09-07 09:29:40:769,1,468,2,0,484,6045,468,0 138,0,2024-09-07 09:29:41:743,117055,0.9,117071,0.9,235035,1.2,311840,2.25 138,1,2024-09-07 09:29:41:685,820672,820672,0,0,385471061268,4042389168,809369,9483,1820,368,391954,0 138,2,2024-09-07 09:29:40:589,590302,590302,0,0,27381595,0,4988 138,3,2024-09-07 09:29:40:617,1,468,1,0,1200,7470,468,0 139,0,2024-09-07 09:29:41:372,114697,1.4,114888,1.1,230211,2.1,307297,2.50 139,1,2024-09-07 09:29:40:571,816646,816646,0,0,383595464672,4074218748,801089,12110,3447,380,392058,0 139,2,2024-09-07 09:29:40:693,591474,591474,0,0,30561911,0,3097 139,3,2024-09-07 09:29:41:684,1,468,1,0,432,5530,468,0 140,0,2024-09-07 09:29:41:592,117084,0.3,116243,0.5,233329,0.2,311168,1.75 140,1,2024-09-07 09:29:41:539,825492,825492,0,0,388604574584,4005331279,821487,3544,461,365,391606,0 140,2,2024-09-07 09:29:40:686,591620,591619,1,0,22957160,0,5036 140,3,2024-09-07 09:29:40:766,1,468,1,0,297,4085,468,0 141,0,2024-09-07 09:29:41:698,117108,0.3,120122,0.4,229470,0.2,311108,1.50 141,1,2024-09-07 09:29:40:859,823958,823958,0,0,387083225165,4021866380,817303,5570,1085,379,391614,0 141,2,2024-09-07 09:29:41:690,591057,591057,0,0,23512897,0,3360 141,3,2024-09-07 09:29:41:044,1,468,8,0,391,5167,468,0 142,0,2024-09-07 09:29:41:317,118915,0.3,118209,0.5,236582,0.3,315314,1.75 142,1,2024-09-07 09:29:40:600,822234,822234,0,0,386142502354,4023171655,817172,4614,448,382,392102,0 142,2,2024-09-07 09:29:41:302,591588,591556,32,0,25244496,0,6028 142,3,2024-09-07 09:29:41:746,1,468,12,0,484,5133,468,0 143,0,2024-09-07 09:29:41:444,116401,0.4,116561,0.6,233467,0.4,310040,1.75 143,1,2024-09-07 09:29:40:556,823863,823863,0,0,387399342013,4019677630,818213,5101,549,367,391705,0 143,2,2024-09-07 09:29:40:768,596451,596451,0,0,24415402,0,3123 143,3,2024-09-07 09:29:41:143,1,468,1,0,462,6112,468,0 144,0,2024-09-07 09:29:41:504,111904,0.6,115021,0.8,234471,0.5,307610,2.00 144,1,2024-09-07 09:29:40:565,819898,819898,0,0,384858634957,4037838684,811526,6474,1898,381,391733,0 144,2,2024-09-07 09:29:41:760,591441,591441,0,0,22429320,0,3673 144,3,2024-09-07 09:29:41:742,1,468,1,0,249,4553,468,0 145,0,2024-09-07 09:29:41:368,114151,0.6,114143,0.8,242085,0.5,311545,2.25 145,1,2024-09-07 09:29:40:552,819083,819083,0,0,384599509758,4045555028,808325,8890,1868,382,391759,0 145,2,2024-09-07 09:29:41:431,588332,588251,81,0,28068274,0,7814 145,3,2024-09-07 09:29:40:896,1,468,3,0,622,6465,468,0 146,0,2024-09-07 09:29:41:617,118567,0.6,118150,0.7,237550,0.6,314963,2.25 146,1,2024-09-07 09:29:41:587,820618,820618,0,0,385120608297,4049911041,807337,9753,3528,367,391770,0 146,2,2024-09-07 09:29:41:699,590487,590487,0,0,27135237,0,3290 146,3,2024-09-07 09:29:41:278,1,468,4,0,1520,8241,468,0 147,0,2024-09-07 09:29:41:701,118669,0.6,118505,0.8,236264,0.6,315781,2.25 147,1,2024-09-07 09:29:41:389,823593,823593,0,0,387156126001,4024289468,816721,6023,849,368,391791,0 147,2,2024-09-07 09:29:41:011,593378,593378,0,0,24402267,0,2968 147,3,2024-09-07 09:29:40:919,1,468,0,0,1626,8033,468,0 0,0,2024-09-07 09:29:51:743,115302,0.6,115329,0.7,244653,0.6,316234,2.00 0,1,2024-09-07 09:29:50:800,822031,822031,0,0,386587347478,4047845503,815289,6083,659,369,391896,0 0,2,2024-09-07 09:29:51:072,594385,594385,0,0,23997429,0,4480 0,3,2024-09-07 09:29:50:977,1,469,2,0,431,6779,469,0 1,0,2024-09-07 09:29:51:805,118182,1.1,117662,1.0,235703,1.5,315375,2.00 1,1,2024-09-07 09:29:50:557,822331,822331,0,0,386039731239,4038390467,815440,5560,1331,370,391859,0 1,2,2024-09-07 09:29:50:640,592840,592840,0,0,23635361,0,3380 1,3,2024-09-07 09:29:51:312,1,469,18,0,269,5954,469,0 2,0,2024-09-07 09:29:51:573,116074,0.6,116071,0.7,231792,0.6,309087,2.00 2,1,2024-09-07 09:29:50:859,825141,825141,0,0,387624170461,4021243104,821769,3077,295,380,391745,0 2,2,2024-09-07 09:29:51:270,596428,596428,0,0,22254636,0,3594 2,3,2024-09-07 09:29:50:690,1,469,1,0,357,4304,469,0 3,0,2024-09-07 09:29:51:750,117772,0.4,117514,0.6,235203,0.3,313199,2.00 3,1,2024-09-07 09:29:51:619,823414,823414,0,0,386907421292,4026946814,816881,5838,695,379,391716,0 3,2,2024-09-07 09:29:51:142,592665,592642,23,0,23349901,0,5851 3,3,2024-09-07 09:29:51:755,1,469,1,0,207,2994,469,0 4,0,2024-09-07 09:29:51:775,114032,0.4,117212,0.5,238866,0.3,312664,1.75 4,1,2024-09-07 09:29:50:594,820018,820018,0,0,385550862491,4079637759,805908,10862,3248,370,391992,0 4,2,2024-09-07 09:29:51:017,590098,590098,0,0,27525128,0,4528 4,3,2024-09-07 09:29:51:027,1,469,9,0,448,6293,469,0 5,0,2024-09-07 09:29:51:385,117672,0.4,118261,0.6,236041,0.4,313667,1.75 5,1,2024-09-07 09:29:50:773,821792,821792,0,0,386975122854,4073340342,810026,9402,2364,367,392005,0 5,2,2024-09-07 09:29:51:838,589706,589706,0,0,27765582,0,3582 5,3,2024-09-07 09:29:51:732,1,469,9,0,457,6429,469,0 6,0,2024-09-07 09:29:50:924,116961,0.4,116516,0.6,232926,0.4,310976,1.75 6,1,2024-09-07 09:29:50:747,823867,823867,0,0,385945610692,4030898607,815287,7154,1426,379,391702,0 6,2,2024-09-07 09:29:51:124,596800,596782,18,0,26737802,0,5535 6,3,2024-09-07 09:29:51:274,1,469,7,0,710,5967,469,0 7,0,2024-09-07 09:29:51:538,115376,0.5,116282,0.6,231342,0.4,308112,2.00 7,1,2024-09-07 09:29:50:858,821892,821892,0,0,385660731048,4048265388,810690,9218,1984,382,391747,0 7,2,2024-09-07 09:29:50:774,591741,591741,0,0,25841644,0,4791 7,3,2024-09-07 09:29:50:858,1,469,1,0,552,5577,469,0 8,0,2024-09-07 09:29:51:328,117459,0.3,117225,0.5,235116,0.3,312822,1.75 8,1,2024-09-07 09:29:51:053,821177,821177,0,0,385767893732,4055283937,808570,9828,2779,366,392853,0 8,2,2024-09-07 09:29:50:791,587204,587204,0,0,30836073,0,3250 8,3,2024-09-07 09:29:50:587,1,469,41,0,538,7484,469,0 9,0,2024-09-07 09:29:51:114,118805,0.4,115536,0.5,241856,0.3,316781,1.75 9,1,2024-09-07 09:29:50:557,820185,820185,0,0,385403302872,4060050469,807854,9863,2468,369,392001,0 9,2,2024-09-07 09:29:51:110,592149,592149,0,0,28248559,0,4615 9,3,2024-09-07 09:29:51:767,1,469,29,0,496,6639,469,0 10,0,2024-09-07 09:29:51:601,117274,0.3,116837,0.5,234592,0.3,311916,1.75 10,1,2024-09-07 09:29:50:583,822750,822750,0,0,385927919406,4045491690,811348,9463,1939,381,391741,0 10,2,2024-09-07 09:29:50:771,595812,595812,0,0,30693835,0,4264 10,3,2024-09-07 09:29:50:872,1,469,0,0,649,5032,469,0 11,0,2024-09-07 09:29:51:006,114999,0.4,111561,0.6,233325,0.4,307992,1.75 11,1,2024-09-07 09:29:50:570,822874,822874,0,0,386362614810,4054813154,810125,9630,3119,383,391756,0 11,2,2024-09-07 09:29:51:133,590862,590862,0,0,26658677,0,4130 11,3,2024-09-07 09:29:51:297,1,469,6,0,843,6471,469,0 12,0,2024-09-07 09:29:50:966,119586,0.4,119545,0.5,239063,0.3,317273,1.75 12,1,2024-09-07 09:29:50:950,823052,823052,0,0,386661028774,4032841159,816526,5873,653,370,391960,0 12,2,2024-09-07 09:29:51:561,591653,591653,0,0,26188834,0,4390 12,3,2024-09-07 09:29:51:063,1,469,5,0,386,6612,469,0 13,0,2024-09-07 09:29:51:340,120099,0.4,119705,0.6,238768,0.4,318277,1.75 13,1,2024-09-07 09:29:51:524,821241,821241,0,0,386407665573,4060047816,812935,6591,1715,382,391740,0 13,2,2024-09-07 09:29:50:595,594939,594939,0,0,23815693,0,3287 13,3,2024-09-07 09:29:51:762,1,469,9,0,522,6663,469,0 14,0,2024-09-07 09:29:50:564,118971,0.4,119925,0.6,237535,0.4,316878,1.75 14,1,2024-09-07 09:29:51:561,826983,826983,0,0,388596951499,4022404700,820499,5771,713,364,391673,0 14,2,2024-09-07 09:29:50:772,594241,594211,30,0,25434466,0,6104 14,3,2024-09-07 09:29:51:118,1,469,1,0,1168,4950,469,0 15,0,2024-09-07 09:29:51:575,115025,0.4,114820,0.6,230323,0.4,306725,2.00 15,1,2024-09-07 09:29:51:608,823775,823775,0,0,387362588280,4034531953,816876,5444,1455,381,391619,0 15,2,2024-09-07 09:29:50:999,595631,595631,0,0,21169870,0,3622 15,3,2024-09-07 09:29:51:413,1,469,1,0,1126,7116,469,0 16,0,2024-09-07 09:29:50:978,118932,0.5,119668,0.7,238398,0.5,317291,2.00 16,1,2024-09-07 09:29:50:590,823714,823714,0,0,386679005212,4039773716,816843,5753,1118,370,391917,0 16,2,2024-09-07 09:29:51:440,591998,591998,0,0,25042623,0,4719 16,3,2024-09-07 09:29:51:145,1,469,10,0,358,5940,469,0 17,0,2024-09-07 09:29:51:763,121815,0.6,118894,0.7,232698,0.6,316096,2.00 17,1,2024-09-07 09:29:50:568,822102,822102,0,0,386097967282,4050916089,813770,6618,1714,368,392075,0 17,2,2024-09-07 09:29:51:669,595304,595303,1,0,25878284,0,5050 17,3,2024-09-07 09:29:50:574,1,469,2,0,518,7351,469,0 18,0,2024-09-07 09:29:50:946,116641,0.7,117125,0.8,233642,0.7,311957,2.25 18,1,2024-09-07 09:29:51:640,825743,825743,0,0,387775850960,4016334398,821417,3888,438,367,391725,0 18,2,2024-09-07 09:29:51:758,594877,594877,0,0,22240129,0,3541 18,3,2024-09-07 09:29:50:900,1,469,1,0,1059,4462,469,0 19,0,2024-09-07 09:29:51:551,115626,0.6,115980,0.8,230272,0.6,307115,2.00 19,1,2024-09-07 09:29:50:566,825970,825970,0,0,388321833472,4026384196,819446,5442,1082,367,391777,0 19,2,2024-09-07 09:29:51:752,598747,598747,0,0,21289862,0,3988 19,3,2024-09-07 09:29:51:135,1,469,1,0,524,3924,469,0 20,0,2024-09-07 09:29:51:365,116769,0.5,116839,0.6,233395,0.4,311327,2.00 20,1,2024-09-07 09:29:50:566,822629,822629,0,0,387241093304,4053366439,814634,6697,1298,369,391922,0 20,2,2024-09-07 09:29:50:930,591309,591309,0,0,25246683,0,3721 20,3,2024-09-07 09:29:50:590,1,469,3,0,468,7726,469,0 21,0,2024-09-07 09:29:51:171,117050,0.4,117062,0.6,234167,0.4,310925,1.75 21,1,2024-09-07 09:29:51:562,820343,820343,0,0,385387950586,4066142392,807593,9775,2975,368,392016,0 21,2,2024-09-07 09:29:51:080,588817,588797,20,0,30782830,0,5617 21,3,2024-09-07 09:29:51:417,1,469,9,0,713,6210,469,0 22,0,2024-09-07 09:29:51:719,118183,0.5,118605,0.7,236816,0.4,313857,2.00 22,1,2024-09-07 09:29:51:023,821875,821875,0,0,385605809766,4057009332,808622,10309,2944,382,391675,0 22,2,2024-09-07 09:29:50:760,591703,591677,26,0,25531361,0,6328 22,3,2024-09-07 09:29:51:071,1,469,5,0,228,3730,469,0 23,0,2024-09-07 09:29:51:374,116259,0.5,115703,0.7,231760,0.5,309071,2.25 23,1,2024-09-07 09:29:51:016,823129,823129,0,0,387105455935,4062793844,808909,10007,4213,365,391690,0 23,2,2024-09-07 09:29:51:093,598545,598545,0,0,24099962,0,3773 23,3,2024-09-07 09:29:51:763,1,469,61,0,720,5901,469,0 24,0,2024-09-07 09:29:50:826,116781,0.4,115990,0.5,233044,0.4,309926,1.75 24,1,2024-09-07 09:29:50:586,821847,821847,0,0,385297213163,4036652938,813351,6835,1661,367,392269,0 24,2,2024-09-07 09:29:51:085,591332,591332,0,0,28665519,0,3607 24,3,2024-09-07 09:29:51:693,1,469,1,0,468,6303,469,0 25,0,2024-09-07 09:29:51:374,121314,0.4,118232,0.6,231880,0.4,315532,1.75 25,1,2024-09-07 09:29:50:558,821034,821034,0,0,385647757933,4067373548,807354,10975,2705,371,391928,0 25,2,2024-09-07 09:29:51:616,589572,589572,0,0,30162340,0,3978 25,3,2024-09-07 09:29:51:016,1,469,10,0,532,5228,469,0 26,0,2024-09-07 09:29:51:719,118482,0.4,115910,0.6,243066,0.4,316043,1.75 26,1,2024-09-07 09:29:51:540,823887,823887,0,0,385839427617,4044599181,811572,9902,2413,380,391748,0 26,2,2024-09-07 09:29:50:862,593429,593429,0,0,31517519,0,4689 26,3,2024-09-07 09:29:51:712,1,469,1,0,796,5357,469,0 27,0,2024-09-07 09:29:51:728,118783,0.5,119044,0.6,236736,0.4,315568,2.25 27,1,2024-09-07 09:29:51:682,824837,824837,0,0,387741807144,4040677407,816591,6984,1262,381,391626,0 27,2,2024-09-07 09:29:50:870,592499,592434,65,0,27547153,0,5699 27,3,2024-09-07 09:29:51:016,1,469,1,0,564,4261,469,0 28,0,2024-09-07 09:29:51:437,115746,0.4,115143,0.6,231307,0.3,308330,2.00 28,1,2024-09-07 09:29:50:803,824354,824354,0,0,387399342386,4041251474,816948,5706,1700,382,391698,0 28,2,2024-09-07 09:29:51:764,592776,592776,0,0,24160763,0,2915 28,3,2024-09-07 09:29:51:776,1,469,0,0,502,4815,469,0 29,0,2024-09-07 09:29:51:362,122426,0.4,119345,0.6,233912,0.3,318810,1.75 29,1,2024-09-07 09:29:51:571,826766,826766,0,0,388660175311,4020420800,821613,4394,759,368,391809,0 29,2,2024-09-07 09:29:50:867,591861,591861,0,0,23492592,0,4986 29,3,2024-09-07 09:29:50:965,1,469,2,0,459,5383,469,0 30,0,2024-09-07 09:29:51:457,118381,0.5,115034,0.7,240764,0.5,315129,2.00 30,1,2024-09-07 09:29:50:571,825466,825466,0,0,388112645276,4029898827,818886,5731,849,380,391672,0 30,2,2024-09-07 09:29:51:274,593850,593850,0,0,22888353,0,4192 30,3,2024-09-07 09:29:50:582,1,469,1,0,519,4752,469,0 31,0,2024-09-07 09:29:51:764,117902,0.5,118374,0.7,236324,0.4,315502,2.00 31,1,2024-09-07 09:29:50:576,828770,828770,0,0,389345373262,3992224049,824923,3110,737,356,391712,0 31,2,2024-09-07 09:29:51:274,592014,592014,0,0,24478666,0,3525 31,3,2024-09-07 09:29:51:713,1,469,0,0,220,3998,469,0 32,0,2024-09-07 09:29:51:414,116419,0.3,116988,0.5,233124,0.3,310868,1.75 32,1,2024-09-07 09:29:50:810,825024,825024,0,0,387582312177,4028568838,819875,4467,682,381,391646,0 32,2,2024-09-07 09:29:50:942,596576,596576,0,0,22128319,0,3922 32,3,2024-09-07 09:29:51:019,1,469,1,0,304,3713,469,0 33,0,2024-09-07 09:29:51:498,118065,0.3,117617,0.4,235377,0.2,314080,1.50 33,1,2024-09-07 09:29:50:682,825879,825879,0,0,388251246375,4022498999,819202,5572,1105,368,391730,0 33,2,2024-09-07 09:29:50:759,592934,592899,35,0,24298715,0,7012 33,3,2024-09-07 09:29:50:895,1,469,114,0,329,4521,469,0 34,0,2024-09-07 09:29:50:932,117869,0.3,121081,0.4,231475,0.2,311872,1.75 34,1,2024-09-07 09:29:51:045,827623,827623,0,0,389345004591,4001456882,825631,1974,18,367,391562,0 34,2,2024-09-07 09:29:50:775,593737,593737,0,0,22650088,0,4562 34,3,2024-09-07 09:29:51:689,1,469,78,0,320,3768,469,0 35,0,2024-09-07 09:29:50:860,117097,0.3,117712,0.5,236491,0.3,314425,1.75 35,1,2024-09-07 09:29:51:071,825106,825106,0,0,387932482765,4018974856,820315,3920,871,382,391769,0 35,2,2024-09-07 09:29:51:582,592431,592431,0,0,24702476,0,4055 35,3,2024-09-07 09:29:50:909,1,469,12,0,418,4623,469,0 36,0,2024-09-07 09:29:51:518,117102,0.4,116986,0.6,233950,0.4,311326,2.00 36,1,2024-09-07 09:29:50:582,824705,824705,0,0,386796390665,4037805656,814440,8256,2009,366,391759,0 36,2,2024-09-07 09:29:51:758,597593,597593,0,0,25911100,0,3875 36,3,2024-09-07 09:29:50:863,1,469,1,0,416,6426,469,0 37,0,2024-09-07 09:29:51:377,115570,0.5,115738,0.6,231310,0.4,308534,2.00 37,1,2024-09-07 09:29:50:570,823295,823288,0,7,386540919235,4037645598,813037,7696,2555,365,391770,0 37,2,2024-09-07 09:29:51:144,589916,589901,15,0,26029678,0,5815 37,3,2024-09-07 09:29:51:766,1,469,3,0,888,6838,469,0 38,0,2024-09-07 09:29:51:446,116670,0.4,113197,0.6,236850,0.4,310126,2.00 38,1,2024-09-07 09:29:51:605,824050,824050,0,0,387770858042,4054519936,811690,9879,2481,368,391821,0 38,2,2024-09-07 09:29:50:760,591531,591484,47,0,25692649,0,6710 38,3,2024-09-07 09:29:50:999,1,469,2,0,689,5914,469,0 39,0,2024-09-07 09:29:51:760,121620,0.6,118912,0.7,231811,0.5,315947,2.00 39,1,2024-09-07 09:29:50:715,823052,823052,0,0,385795786843,4046974170,809036,10825,3191,365,391658,0 39,2,2024-09-07 09:29:51:418,593912,593912,0,0,24489229,0,3391 39,3,2024-09-07 09:29:50:715,1,469,2,0,324,5082,469,0 40,0,2024-09-07 09:29:51:491,116140,0.8,116882,0.9,233011,0.8,310387,2.75 40,1,2024-09-07 09:29:50:576,824042,824042,0,0,386281819164,4044256654,812370,9504,2168,368,391668,0 40,2,2024-09-07 09:29:51:302,594868,594867,1,0,29365978,0,5137 40,3,2024-09-07 09:29:51:152,1,469,1,0,1028,6244,469,0 41,0,2024-09-07 09:29:51:028,114656,1.2,117178,1.2,223451,1.8,304644,3.00 41,1,2024-09-07 09:29:50:773,822397,822397,0,0,386928867395,4050934944,811566,9123,1708,369,391742,0 41,2,2024-09-07 09:29:50:766,589899,589899,0,0,27580930,0,4277 41,3,2024-09-07 09:29:51:682,1,469,12,0,366,4878,469,0 42,0,2024-09-07 09:29:51:473,118006,0.8,117783,1.0,235941,1.0,312048,2.75 42,1,2024-09-07 09:29:51:439,820272,820272,0,0,386007123666,4061898876,806075,11352,2845,380,391675,0 42,2,2024-09-07 09:29:51:135,591094,591094,0,0,27579777,0,3790 42,3,2024-09-07 09:29:51:019,1,469,1,0,892,4461,469,0 43,0,2024-09-07 09:29:50:919,118045,0.7,115007,0.9,240528,0.7,315414,2.25 43,1,2024-09-07 09:29:50:575,823838,823838,0,0,386990805785,4045567569,811928,9943,1967,366,391696,0 43,2,2024-09-07 09:29:51:735,593707,593707,0,0,27328479,0,3812 43,3,2024-09-07 09:29:51:763,1,469,10,0,571,6761,469,0 44,0,2024-09-07 09:29:50:864,119114,0.5,119166,0.6,238951,0.4,317411,2.00 44,1,2024-09-07 09:29:50:569,825284,825284,0,0,387993930443,4010857028,817979,5756,1549,356,391809,0 44,2,2024-09-07 09:29:51:267,592555,592555,0,0,22633483,0,4344 44,3,2024-09-07 09:29:51:106,1,469,1,0,817,5287,469,0 45,0,2024-09-07 09:29:51:777,113874,0.5,111194,0.7,233364,0.4,306819,2.00 45,1,2024-09-07 09:29:51:015,824759,824759,0,0,388015858963,4032978348,818104,5956,699,382,391917,0 45,2,2024-09-07 09:29:51:270,595173,595173,0,0,22770387,0,3596 45,3,2024-09-07 09:29:50:937,1,469,36,0,271,4004,469,0 46,0,2024-09-07 09:29:50:954,118618,0.5,118005,0.7,236924,0.5,314598,2.00 46,1,2024-09-07 09:29:50:575,826758,826758,0,0,387973860189,4008691243,821196,4832,730,366,391709,0 46,2,2024-09-07 09:29:50:593,592602,592602,0,0,23081602,0,4443 46,3,2024-09-07 09:29:51:132,1,469,9,0,908,5638,469,0 47,0,2024-09-07 09:29:51:113,118399,0.4,118740,0.6,237635,0.4,315123,2.00 47,1,2024-09-07 09:29:50:567,827269,827269,0,0,388275616593,4011391289,822269,4161,839,366,391641,0 47,2,2024-09-07 09:29:50:914,595856,595856,0,0,22948509,0,4477 47,3,2024-09-07 09:29:51:118,1,469,1,0,600,5505,469,0 48,0,2024-09-07 09:29:51:491,118296,0.3,118299,0.4,235504,0.2,313880,1.50 48,1,2024-09-07 09:29:51:022,825439,825439,0,0,388044753446,4028063233,820691,4291,457,384,391710,0 48,2,2024-09-07 09:29:50:703,592900,592900,0,0,21128253,0,3411 48,3,2024-09-07 09:29:50:758,1,469,2,0,339,3702,469,0 49,0,2024-09-07 09:29:51:714,118942,0.3,116882,0.5,226849,0.3,310807,1.75 49,1,2024-09-07 09:29:51:022,824644,824644,0,0,387119508563,4024414968,819467,3970,1207,382,391809,0 49,2,2024-09-07 09:29:51:801,597170,597170,0,0,23461743,0,4426 49,3,2024-09-07 09:29:51:426,1,469,15,0,992,5471,469,0 50,0,2024-09-07 09:29:51:506,117576,0.3,115962,0.4,233576,0.2,311170,1.75 50,1,2024-09-07 09:29:51:020,827546,827546,0,0,389066690067,4020844129,822473,4498,575,368,391565,0 50,2,2024-09-07 09:29:51:071,592173,592173,0,0,21280784,0,4490 50,3,2024-09-07 09:29:51:292,1,469,1,0,617,5187,469,0 51,0,2024-09-07 09:29:51:688,119948,0.3,117536,0.4,229070,0.2,312474,1.75 51,1,2024-09-07 09:29:51:682,827978,827978,0,0,389891369706,4019792314,823579,3348,1051,365,391706,0 51,2,2024-09-07 09:29:51:316,592755,592755,0,0,20290281,0,3337 51,3,2024-09-07 09:29:51:027,1,469,1,0,678,3660,469,0 52,0,2024-09-07 09:29:51:413,118626,0.5,118380,0.7,237080,0.5,314838,2.00 52,1,2024-09-07 09:29:50:575,823699,823699,0,0,386629356842,4044515922,812453,9802,1444,368,391722,0 52,2,2024-09-07 09:29:51:767,590094,590056,38,0,27578643,0,6742 52,3,2024-09-07 09:29:50:674,1,469,1,0,1782,6066,469,0 53,0,2024-09-07 09:29:51:750,115788,0.6,112408,0.8,235188,0.7,308876,2.25 53,1,2024-09-07 09:29:50:773,821777,821777,0,0,386923300654,4059835840,808151,10036,3590,367,391968,0 53,2,2024-09-07 09:29:51:298,597271,597270,1,0,24850336,0,5455 53,3,2024-09-07 09:29:50:699,1,469,12,0,308,4653,469,0 54,0,2024-09-07 09:29:51:621,114504,0.5,114783,0.7,228607,0.4,305989,2.25 54,1,2024-09-07 09:29:50:581,823723,823723,0,0,387595851350,4029071255,815116,7211,1396,366,391659,0 54,2,2024-09-07 09:29:50:865,592232,592200,32,0,27975008,0,6397 54,3,2024-09-07 09:29:50:771,1,469,3,0,676,6450,469,0 55,0,2024-09-07 09:29:51:795,114061,0.6,117647,0.7,238344,0.5,310079,2.25 55,1,2024-09-07 09:29:50:775,824857,824857,0,0,387655547286,4029077078,816283,7367,1207,365,391731,0 55,2,2024-09-07 09:29:50:729,591069,591013,56,0,27147307,0,7239 55,3,2024-09-07 09:29:50:674,1,469,2,0,304,4492,469,0 56,0,2024-09-07 09:29:51:555,121327,1.2,114366,1.1,235761,1.6,315345,2.75 56,1,2024-09-07 09:29:50:573,820589,820589,0,0,385827355718,4072480036,808343,9694,2552,381,391867,0 56,2,2024-09-07 09:29:51:304,593177,593055,122,0,28517412,0,7432 56,3,2024-09-07 09:29:51:073,1,469,5,0,705,5686,469,0 57,0,2024-09-07 09:29:50:947,116885,1.6,116746,1.3,234078,2.1,312713,3.00 57,1,2024-09-07 09:29:50:990,822221,822221,0,0,386288229564,4052510840,811436,8720,2065,366,392032,0 57,2,2024-09-07 09:29:51:318,594938,594938,0,0,29126410,0,4317 57,3,2024-09-07 09:29:51:751,1,469,1,0,455,5382,469,0 58,0,2024-09-07 09:29:50:569,113746,0.9,110547,1.0,231093,1.1,303339,2.50 58,1,2024-09-07 09:29:50:575,822911,822908,0,3,386763638648,4052119743,810636,9504,2768,367,391603,3 58,2,2024-09-07 09:29:51:084,591935,591935,0,0,27015606,0,3483 58,3,2024-09-07 09:29:51:073,1,469,84,0,1043,5005,469,0 59,0,2024-09-07 09:29:51:748,118733,0.9,118237,1.0,236115,1.0,313088,2.75 59,1,2024-09-07 09:29:50:811,822742,822742,0,0,387005682716,4057982675,810507,9998,2237,369,391653,0 59,2,2024-09-07 09:29:50:582,591231,591231,0,0,26698698,0,3727 59,3,2024-09-07 09:29:51:737,1,469,9,0,1015,6276,469,0 60,0,2024-09-07 09:29:51:710,118604,0.5,118697,0.7,237800,0.5,316020,1.75 60,1,2024-09-07 09:29:50:775,825902,825902,0,0,388140612718,4029228077,820061,4912,929,370,392031,0 60,2,2024-09-07 09:29:51:166,593847,593847,0,0,24992848,0,3811 60,3,2024-09-07 09:29:51:267,1,469,1,0,409,5927,469,0 61,0,2024-09-07 09:29:51:490,117999,0.7,118663,0.8,236301,0.7,315246,2.00 61,1,2024-09-07 09:29:50:774,823248,823248,0,0,386760823283,4052265348,813838,7834,1576,382,392127,0 61,2,2024-09-07 09:29:51:131,593009,592942,67,0,25236049,0,6411 61,3,2024-09-07 09:29:51:696,1,469,103,0,479,6310,469,0 62,0,2024-09-07 09:29:51:718,116740,0.6,119706,0.7,228610,0.6,310638,2.00 62,1,2024-09-07 09:29:51:128,828396,828390,0,6,389367876761,4013390297,824463,3664,263,365,391975,6 62,2,2024-09-07 09:29:51:644,593925,593924,1,0,25196968,0,5555 62,3,2024-09-07 09:29:51:146,1,469,3,0,482,3916,469,0 63,0,2024-09-07 09:29:51:457,118090,0.4,117812,0.5,236241,0.3,314197,1.75 63,1,2024-09-07 09:29:50:809,825649,825643,0,6,387914263961,4026684966,820296,4613,734,381,391800,6 63,2,2024-09-07 09:29:50:763,592167,592167,0,0,23347669,0,4369 63,3,2024-09-07 09:29:51:732,1,469,2,0,667,5060,469,0 64,0,2024-09-07 09:29:51:555,117108,0.5,117178,0.6,233817,0.4,311182,1.75 64,1,2024-09-07 09:29:50:750,824964,824964,0,0,387028991394,4029368128,818049,5210,1705,370,391783,0 64,2,2024-09-07 09:29:51:142,596332,596313,19,0,22756341,0,6121 64,3,2024-09-07 09:29:51:141,1,469,17,0,265,4349,469,0 65,0,2024-09-07 09:29:51:687,116867,0.7,117199,0.8,234066,0.7,311741,2.00 65,1,2024-09-07 09:29:50:863,823137,823137,0,0,386554760220,4036757751,817897,4699,541,381,391901,0 65,2,2024-09-07 09:29:51:694,592611,592611,0,0,27162621,0,3367 65,3,2024-09-07 09:29:51:683,1,469,8,0,782,5743,469,0 66,0,2024-09-07 09:29:51:777,116554,0.5,116356,0.7,232558,0.5,309776,2.00 66,1,2024-09-07 09:29:51:292,825180,825180,0,0,387475593684,4032364990,819674,4980,526,380,391743,0 66,2,2024-09-07 09:29:51:136,598750,598747,3,0,24804943,0,5455 66,3,2024-09-07 09:29:51:086,1,469,93,0,291,4125,469,0 67,0,2024-09-07 09:29:51:415,116051,0.5,115761,0.6,232495,0.4,309282,2.00 67,1,2024-09-07 09:29:50:775,824906,824905,0,1,386689456128,4025654604,819258,4853,794,380,391787,1 67,2,2024-09-07 09:29:50:582,593778,593763,15,0,23295390,0,6205 67,3,2024-09-07 09:29:51:757,1,469,1,0,392,4524,469,0 68,0,2024-09-07 09:29:50:583,117620,0.5,117505,0.7,233978,0.5,312492,2.00 68,1,2024-09-07 09:29:50:579,821571,821571,0,0,385659199440,4056474474,810227,8008,3336,381,391953,0 68,2,2024-09-07 09:29:51:047,588773,588673,100,0,30013824,0,8578 68,3,2024-09-07 09:29:50:733,1,469,1,0,417,5593,469,0 69,0,2024-09-07 09:29:51:746,118130,0.7,118903,0.8,236959,0.8,314244,2.25 69,1,2024-09-07 09:29:51:021,821521,821521,0,0,385730385927,4062040242,811129,8118,2274,384,391994,0 69,2,2024-09-07 09:29:51:763,592357,592328,29,0,31948784,0,6912 69,3,2024-09-07 09:29:50:760,1,469,12,0,698,7139,469,0 70,0,2024-09-07 09:29:51:529,116057,0.9,116442,1.0,234256,0.8,309818,2.50 70,1,2024-09-07 09:29:50:808,825434,825434,0,0,388115497184,4026368788,818944,5789,701,366,391725,0 70,2,2024-09-07 09:29:51:326,596043,596043,0,0,26861257,0,4323 70,3,2024-09-07 09:29:50:746,1,469,11,0,854,5360,469,0 71,0,2024-09-07 09:29:51:365,113976,0.8,113869,1.0,228689,1.0,305765,2.50 71,1,2024-09-07 09:29:51:608,823566,823566,0,0,387171235021,4038642429,813931,8554,1081,368,391738,0 71,2,2024-09-07 09:29:51:083,590988,590988,0,0,26579591,0,4352 71,3,2024-09-07 09:29:51:757,1,469,25,0,644,5766,469,0 72,0,2024-09-07 09:29:51:093,122618,0.6,119899,0.7,233760,0.5,317432,2.00 72,1,2024-09-07 09:29:51:029,822147,822147,0,0,386297918352,4052793953,810192,9872,2083,369,391819,0 72,2,2024-09-07 09:29:51:761,590689,590689,0,0,29074919,0,3983 72,3,2024-09-07 09:29:51:763,1,469,7,0,564,6773,469,0 73,0,2024-09-07 09:29:51:159,116149,0.4,119130,0.6,243396,0.4,316303,2.00 73,1,2024-09-07 09:29:50:777,824388,824388,0,0,387255746646,4022629238,818454,5512,422,367,391858,0 73,2,2024-09-07 09:29:51:743,593085,593085,0,0,29136112,0,4291 73,3,2024-09-07 09:29:50:971,1,469,16,0,486,6007,469,0 74,0,2024-09-07 09:29:51:327,119935,0.5,122693,0.7,233905,0.5,317818,2.25 74,1,2024-09-07 09:29:50:638,823595,823595,0,0,386938116448,4039773464,814514,7315,1766,381,391762,0 74,2,2024-09-07 09:29:51:002,593350,593350,0,0,25919906,0,4253 74,3,2024-09-07 09:29:51:443,1,469,1,0,522,5794,469,0 75,0,2024-09-07 09:29:51:769,115736,0.5,114932,0.7,230775,0.5,308407,2.25 75,1,2024-09-07 09:29:51:586,824346,824346,0,0,386841357218,4033964459,816972,6533,841,380,391739,0 75,2,2024-09-07 09:29:51:355,593041,593041,0,0,29497017,0,4766 75,3,2024-09-07 09:29:51:070,1,469,8,0,702,5845,469,0 76,0,2024-09-07 09:29:50:593,118389,0.5,117736,0.7,236303,0.5,316025,2.25 76,1,2024-09-07 09:29:50:807,823431,823431,0,0,386341613683,4028612366,817775,4828,828,382,391692,0 76,2,2024-09-07 09:29:51:064,594526,594525,1,0,25109028,0,5144 76,3,2024-09-07 09:29:51:145,1,469,1,0,227,4569,469,0 77,0,2024-09-07 09:29:51:694,117817,0.5,118205,0.7,236768,0.5,314104,2.00 77,1,2024-09-07 09:29:50:835,824580,824580,0,0,387021966574,4037109953,818451,5621,508,381,391869,0 77,2,2024-09-07 09:29:51:282,592697,592697,0,0,25198330,0,3890 77,3,2024-09-07 09:29:51:114,1,469,8,0,401,5093,469,0 78,0,2024-09-07 09:29:51:716,118067,0.4,117368,0.6,235739,0.4,312623,2.00 78,1,2024-09-07 09:29:50:619,824383,824383,0,0,386119491116,4026130265,815028,7128,2227,367,391670,0 78,2,2024-09-07 09:29:51:407,593577,593564,13,0,23425810,0,8313 78,3,2024-09-07 09:29:51:134,1,469,1,0,181,4012,469,0 79,0,2024-09-07 09:29:51:346,111993,0.4,114759,0.6,234774,0.3,305773,2.25 79,1,2024-09-07 09:29:50:571,826267,826267,0,0,387737197867,4019001698,819198,5730,1339,367,391682,0 79,2,2024-09-07 09:29:51:072,597384,597384,0,0,22836282,0,4195 79,3,2024-09-07 09:29:50:762,1,469,8,0,418,5845,469,0 80,0,2024-09-07 09:29:51:101,116887,0.5,120166,0.6,229812,0.4,310864,2.00 80,1,2024-09-07 09:29:51:634,823782,823782,0,0,387062076189,4030008938,818099,5260,423,368,392269,0 80,2,2024-09-07 09:29:51:092,593644,593644,0,0,22898210,0,4433 80,3,2024-09-07 09:29:50:577,1,469,1,0,190,5255,469,0 81,0,2024-09-07 09:29:51:550,117081,0.5,119883,0.7,228859,0.5,311070,2.00 81,1,2024-09-07 09:29:51:655,823171,823171,0,0,386558829355,4037739902,816923,5694,554,382,391879,0 81,2,2024-09-07 09:29:51:127,591153,591090,63,0,25529919,0,5932 81,3,2024-09-07 09:29:51:126,1,469,11,0,719,5494,469,0 82,0,2024-09-07 09:29:51:544,117990,0.5,118249,0.7,236807,0.5,314078,2.00 82,1,2024-09-07 09:29:50:593,825469,825465,0,4,387237762425,4025667938,820719,3927,819,381,391768,4 82,2,2024-09-07 09:29:51:690,594288,594288,0,0,21645286,0,4484 82,3,2024-09-07 09:29:51:753,1,469,67,0,363,4768,469,0 83,0,2024-09-07 09:29:51:527,116585,0.6,116491,0.7,232340,0.6,309025,2.25 83,1,2024-09-07 09:29:50:551,823491,823491,0,0,386839314237,4031678782,817696,5345,450,382,391709,0 83,2,2024-09-07 09:29:50:764,597053,597028,25,0,23410332,0,5612 83,3,2024-09-07 09:29:50:750,1,469,9,0,1260,5896,469,0 84,0,2024-09-07 09:29:51:776,115151,0.7,115220,0.9,229876,0.6,308043,2.25 84,1,2024-09-07 09:29:51:063,822782,822782,0,0,385783354540,4030719182,814127,7518,1137,367,391967,0 84,2,2024-09-07 09:29:50:570,590370,590340,30,0,29904114,0,5971 84,3,2024-09-07 09:29:51:154,1,469,0,0,908,6906,469,0 85,0,2024-09-07 09:29:51:005,113668,0.6,113545,0.8,240943,0.6,311809,2.25 85,1,2024-09-07 09:29:50:559,820647,820647,0,0,385809086363,4070234916,808557,9946,2144,381,392006,0 85,2,2024-09-07 09:29:50:872,590466,590466,0,0,28460158,0,3656 85,3,2024-09-07 09:29:50:688,1,469,14,0,789,5481,469,0 86,0,2024-09-07 09:29:50:885,118588,0.7,122040,0.8,233492,0.7,315667,2.25 86,1,2024-09-07 09:29:50:830,823091,823091,0,0,387097147476,4052928254,813335,8121,1635,366,391961,0 86,2,2024-09-07 09:29:50:861,591896,591895,1,0,31060890,0,5004 86,3,2024-09-07 09:29:50:586,1,469,20,0,308,6838,469,0 87,0,2024-09-07 09:29:51:340,118659,0.9,118313,0.9,236633,1.2,315906,2.25 87,1,2024-09-07 09:29:50:551,821863,821863,0,0,385830759927,4042753687,810858,9347,1658,366,392076,0 87,2,2024-09-07 09:29:51:086,594577,594571,6,0,27218675,0,6323 87,3,2024-09-07 09:29:51:800,1,469,0,0,473,7160,469,0 88,0,2024-09-07 09:29:51:457,115239,0.4,115914,0.6,231602,0.4,308116,1.75 88,1,2024-09-07 09:29:50:572,821430,821430,0,0,385780507602,4040702324,810502,8701,2227,365,392084,0 88,2,2024-09-07 09:29:50:690,592731,592731,0,0,29736363,0,4465 88,3,2024-09-07 09:29:51:278,1,469,1,0,435,5739,469,0 89,0,2024-09-07 09:29:51:777,122541,0.5,118753,0.6,234685,0.4,318903,1.75 89,1,2024-09-07 09:29:50:552,821207,821207,0,0,386142347910,4059036140,811159,8573,1475,382,391866,0 89,2,2024-09-07 09:29:51:141,591316,591316,0,0,27992198,0,3173 89,3,2024-09-07 09:29:51:796,1,469,1,0,468,7902,469,0 90,0,2024-09-07 09:29:51:658,115198,0.4,118374,0.6,241270,0.4,314946,2.00 90,1,2024-09-07 09:29:50:590,822927,822927,0,0,386408128459,4044619425,815348,6928,651,380,391825,0 90,2,2024-09-07 09:29:51:418,591239,591239,0,0,30009784,0,3608 90,3,2024-09-07 09:29:50:935,1,469,5,0,322,5641,469,0 91,0,2024-09-07 09:29:50:922,118817,0.5,114996,0.6,240303,0.5,315882,1.75 91,1,2024-09-07 09:29:50:566,821110,821110,0,0,386252485312,4060685584,810800,8667,1643,381,392047,0 91,2,2024-09-07 09:29:51:334,593448,593448,0,0,26447660,0,3526 91,3,2024-09-07 09:29:50:600,1,469,11,0,216,4652,469,0 92,0,2024-09-07 09:29:51:448,117465,0.5,120205,0.6,229402,0.5,310941,1.75 92,1,2024-09-07 09:29:50:580,823768,823768,0,0,385526130240,4028157559,817222,5474,1072,381,392136,0 92,2,2024-09-07 09:29:51:357,596543,596543,0,0,23649229,0,3259 92,3,2024-09-07 09:29:51:078,1,469,1,0,167,4177,469,0 93,0,2024-09-07 09:29:51:042,118434,0.4,121379,0.5,231727,0.3,314527,1.75 93,1,2024-09-07 09:29:50:806,823770,823770,0,0,387099718667,4041295495,814792,7383,1595,366,391776,0 93,2,2024-09-07 09:29:50:952,591967,591967,0,0,28246649,0,4845 93,3,2024-09-07 09:29:51:406,1,469,7,0,190,4144,469,0 94,0,2024-09-07 09:29:51:612,117144,0.3,117986,0.5,235686,0.3,312694,1.75 94,1,2024-09-07 09:29:50:563,823885,823885,0,0,387826984701,4044617008,818605,5064,216,381,391850,0 94,2,2024-09-07 09:29:50:771,592514,592514,0,0,23609013,0,2443 94,3,2024-09-07 09:29:51:693,1,469,28,0,576,6114,469,0 95,0,2024-09-07 09:29:51:360,117933,0.3,117694,0.5,236037,0.3,314378,1.75 95,1,2024-09-07 09:29:50:855,825255,825255,0,0,387768780735,4029236543,818702,6074,479,365,391786,0 95,2,2024-09-07 09:29:51:021,592315,592315,0,0,23449663,0,3308 95,3,2024-09-07 09:29:51:710,1,469,6,0,718,6694,469,0 96,0,2024-09-07 09:29:51:072,116975,0.4,117226,0.5,234146,0.3,310686,1.75 96,1,2024-09-07 09:29:51:601,823606,823606,0,0,386663915665,4032618773,817686,5013,907,384,391955,0 96,2,2024-09-07 09:29:51:277,597962,597962,0,0,24261249,0,4180 96,3,2024-09-07 09:29:51:153,1,469,23,0,411,5108,469,0 97,0,2024-09-07 09:29:51:331,116196,0.3,115654,0.4,232240,0.2,308997,1.50 97,1,2024-09-07 09:29:50:780,825088,825088,0,0,388282260824,4025595168,819899,4253,936,367,392140,0 97,2,2024-09-07 09:29:50:622,592136,592136,0,0,23379065,0,3679 97,3,2024-09-07 09:29:50:568,1,469,16,0,242,5675,469,0 98,0,2024-09-07 09:29:51:700,116964,0.3,117345,0.4,235154,0.2,312175,1.50 98,1,2024-09-07 09:29:50:570,824893,824893,0,0,387241082721,4032551775,819752,4347,794,381,391997,0 98,2,2024-09-07 09:29:50:774,592289,592289,0,0,23523956,0,4336 98,3,2024-09-07 09:29:50:701,1,469,3,0,840,7455,469,0 99,0,2024-09-07 09:29:51:451,118748,0.3,119386,0.5,237383,0.3,316855,1.75 99,1,2024-09-07 09:29:51:725,824554,824554,0,0,387093850584,4026966858,819406,4309,839,380,392069,0 99,2,2024-09-07 09:29:51:430,594718,594718,0,0,27914425,0,4276 99,3,2024-09-07 09:29:50:581,1,469,2,0,606,4915,469,0 100,0,2024-09-07 09:29:51:537,117098,0.8,117442,0.9,234101,0.9,312521,2.50 100,1,2024-09-07 09:29:50:566,819945,819945,0,0,385491180051,4076210865,806950,10046,2949,378,391989,0 100,2,2024-09-07 09:29:51:821,593633,593622,11,0,27473296,0,5417 100,3,2024-09-07 09:29:51:733,1,469,4,0,559,7428,469,0 101,0,2024-09-07 09:29:51:713,117424,1.0,114488,1.0,224297,0.9,307380,2.25 101,1,2024-09-07 09:29:50:560,820980,820980,0,0,385803736600,4055998320,809279,9334,2367,368,391847,0 101,2,2024-09-07 09:29:51:758,588009,588009,0,0,32057334,0,4871 101,3,2024-09-07 09:29:50:955,1,469,8,0,1250,7451,469,0 102,0,2024-09-07 09:29:50:951,115552,0.6,119132,0.7,241740,0.6,315911,2.00 102,1,2024-09-07 09:29:51:228,820738,820738,0,0,385670961750,4052917045,809311,9431,1996,369,391891,0 102,2,2024-09-07 09:29:51:741,592523,592469,54,0,25994203,0,6768 102,3,2024-09-07 09:29:51:617,1,469,1,0,466,5065,469,0 103,0,2024-09-07 09:29:51:602,123017,0.5,123060,0.7,231908,0.5,318779,2.00 103,1,2024-09-07 09:29:51:630,820289,820289,0,0,385441537495,4069992313,806979,10116,3194,381,391862,0 103,2,2024-09-07 09:29:50:582,591970,591970,0,0,28944706,0,3766 103,3,2024-09-07 09:29:50:758,1,469,2,0,916,5390,469,0 104,0,2024-09-07 09:29:51:069,118092,0.7,118419,0.9,235695,0.7,315861,2.25 104,1,2024-09-07 09:29:51:614,822221,822221,0,0,385936955665,4057529137,809571,10105,2545,365,392168,0 104,2,2024-09-07 09:29:51:713,591867,591867,0,0,27998990,0,3941 104,3,2024-09-07 09:29:51:434,1,469,16,0,1245,8861,469,0 105,0,2024-09-07 09:29:51:054,114047,0.9,111151,1.1,232916,1.0,306346,2.75 105,1,2024-09-07 09:29:50:558,823734,823734,0,0,386643640881,4053152819,812890,9192,1652,366,392009,0 105,2,2024-09-07 09:29:51:326,592372,592372,0,0,27703964,0,3509 105,3,2024-09-07 09:29:51:304,1,469,5,0,399,6895,469,0 106,0,2024-09-07 09:29:50:938,114942,0.8,117607,0.9,241148,0.8,314949,2.50 106,1,2024-09-07 09:29:51:750,822673,822673,0,0,385968097593,4051371804,810711,10321,1641,368,391914,0 106,2,2024-09-07 09:29:50:760,591247,591247,0,0,26657872,0,2920 106,3,2024-09-07 09:29:50:677,1,469,1,0,470,6072,469,0 107,0,2024-09-07 09:29:51:136,118053,0.8,118207,0.9,236321,0.9,315196,2.25 107,1,2024-09-07 09:29:50:586,820980,820980,0,0,385824026779,4065717486,809693,10189,1098,381,392234,0 107,2,2024-09-07 09:29:51:304,591067,591066,1,0,28986216,0,5024 107,3,2024-09-07 09:29:51:763,1,469,1,0,370,6788,469,0 108,0,2024-09-07 09:29:51:776,117402,0.4,118309,0.6,235193,0.4,313938,1.75 108,1,2024-09-07 09:29:51:300,823730,823730,0,0,386471603736,4031407847,816647,6248,835,367,391857,0 108,2,2024-09-07 09:29:51:756,591330,591330,0,0,26205677,0,4246 108,3,2024-09-07 09:29:51:339,1,469,14,0,749,9326,469,0 109,0,2024-09-07 09:29:51:839,116560,0.4,115703,0.6,231588,0.3,310029,1.75 109,1,2024-09-07 09:29:50:591,821258,821258,0,0,386063694082,4050731762,813528,6521,1209,382,392132,0 109,2,2024-09-07 09:29:50:927,594406,594406,0,0,25715597,0,3617 109,3,2024-09-07 09:29:51:161,1,469,1,0,379,5415,469,0 110,0,2024-09-07 09:29:51:751,116661,0.4,113557,0.5,237806,0.3,311624,1.75 110,1,2024-09-07 09:29:51:646,824983,824983,0,0,387179489306,4017077199,819346,4432,1205,369,392045,0 110,2,2024-09-07 09:29:51:303,592223,592223,0,0,24609097,0,4067 110,3,2024-09-07 09:29:50:698,1,469,26,0,722,6612,469,0 111,0,2024-09-07 09:29:51:420,117457,0.3,116724,0.5,234049,0.3,312329,1.75 111,1,2024-09-07 09:29:51:011,826211,826211,0,0,388905628805,4031443880,822045,3810,356,380,391690,0 111,2,2024-09-07 09:29:51:131,591257,591257,0,0,24440547,0,4823 111,3,2024-09-07 09:29:50:917,1,469,1,0,379,5581,469,0 112,0,2024-09-07 09:29:50:933,119009,0.3,118404,0.4,237376,0.2,315122,1.50 112,1,2024-09-07 09:29:50:828,825002,825002,0,0,387615421273,4020752409,820208,4180,614,380,391624,0 112,2,2024-09-07 09:29:51:135,593190,593189,1,0,23558497,0,5036 112,3,2024-09-07 09:29:50:592,1,469,50,0,282,4644,469,0 113,0,2024-09-07 09:29:50:892,116647,0.3,116678,0.5,233711,0.2,310929,1.75 113,1,2024-09-07 09:29:51:688,827439,827439,0,0,388982688165,4010350460,823487,3362,590,366,391661,0 113,2,2024-09-07 09:29:51:303,599066,599066,0,0,21495342,0,3813 113,3,2024-09-07 09:29:50:690,1,469,12,0,340,5082,469,0 114,0,2024-09-07 09:29:50:888,116209,0.3,117036,0.4,232551,0.2,311286,1.75 114,1,2024-09-07 09:29:50:716,825629,825629,0,0,387297290326,4021479985,819235,4778,1616,381,391565,0 114,2,2024-09-07 09:29:50:892,593251,593250,1,0,22326931,0,5069 114,3,2024-09-07 09:29:51:283,1,469,2,0,395,3877,469,0 115,0,2024-09-07 09:29:50:568,118469,0.3,118907,0.4,237308,0.2,315002,1.50 115,1,2024-09-07 09:29:50:572,825418,825418,0,0,387940564123,4029506584,818830,5320,1268,382,391757,0 115,2,2024-09-07 09:29:51:133,593632,593632,0,0,22500956,0,4382 115,3,2024-09-07 09:29:51:002,1,469,1,0,159,2604,469,0 116,0,2024-09-07 09:29:51:709,118421,0.7,118315,0.8,237371,0.6,316833,2.00 116,1,2024-09-07 09:29:50:807,821402,821402,0,0,386359320520,4070190085,811721,7279,2402,380,392089,0 116,2,2024-09-07 09:29:51:760,592504,592504,0,0,29026676,0,4128 116,3,2024-09-07 09:29:50:914,1,469,1,0,415,5566,469,0 117,0,2024-09-07 09:29:50:983,118915,0.7,118323,0.8,237161,0.8,316384,2.00 117,1,2024-09-07 09:29:51:586,822402,822402,0,0,385598918610,4034705054,813763,7643,996,369,392033,0 117,2,2024-09-07 09:29:51:122,597075,597075,0,0,25487001,0,4303 117,3,2024-09-07 09:29:51:063,1,469,12,0,490,6744,469,0 118,0,2024-09-07 09:29:51:768,111964,0.5,114993,0.7,234738,0.5,307000,2.00 118,1,2024-09-07 09:29:50:588,821741,821741,0,0,385819357923,4055271484,809474,9247,3020,366,392054,0 118,2,2024-09-07 09:29:51:588,591615,591615,0,0,27203808,0,2842 118,3,2024-09-07 09:29:51:764,1,469,1,0,248,5477,469,0 119,0,2024-09-07 09:29:51:337,118904,0.7,119159,0.8,238296,0.7,317075,2.00 119,1,2024-09-07 09:29:50:550,822555,822555,0,0,386507188775,4046908117,812336,8823,1396,367,391857,0 119,2,2024-09-07 09:29:51:265,592965,592965,0,0,25338226,0,4174 119,3,2024-09-07 09:29:51:326,1,469,1,0,1358,8901,469,0 120,0,2024-09-07 09:29:51:602,117956,0.7,117779,0.8,236444,0.7,315497,2.25 120,1,2024-09-07 09:29:50:881,822832,822832,0,0,385913131620,4047340431,814051,8007,774,368,392144,0 120,2,2024-09-07 09:29:50:778,592246,592245,1,0,30249313,0,5281 120,3,2024-09-07 09:29:51:292,1,469,0,0,279,5836,469,0 121,0,2024-09-07 09:29:51:695,118355,1.3,117878,1.1,236181,1.8,315240,2.25 121,1,2024-09-07 09:29:51:655,823242,823242,0,0,386809533017,4042638905,815616,7073,553,367,391840,0 121,2,2024-09-07 09:29:51:153,591892,591892,0,0,28366415,0,4157 121,3,2024-09-07 09:29:50:729,1,469,2,0,269,5447,469,0 122,0,2024-09-07 09:29:51:767,115774,0.8,112812,0.9,236529,0.9,310758,2.25 122,1,2024-09-07 09:29:50:872,821893,821893,0,0,386862806212,4057882852,810685,9503,1705,366,392130,0 122,2,2024-09-07 09:29:51:325,595629,595556,73,0,31502249,0,5989 122,3,2024-09-07 09:29:50:599,1,469,1,0,512,8273,469,0 123,0,2024-09-07 09:29:50:981,117042,0.8,113954,0.8,238750,0.9,313038,2.25 123,1,2024-09-07 09:29:50:558,821613,821613,0,0,386107012533,4063612955,807636,11531,2446,369,392039,0 123,2,2024-09-07 09:29:51:022,590090,590089,1,0,26585199,0,5215 123,3,2024-09-07 09:29:51:135,1,469,1,0,478,5488,469,0 124,0,2024-09-07 09:29:50:949,121042,0.3,120981,0.5,228049,0.3,313734,1.75 124,1,2024-09-07 09:29:51:021,824986,824986,0,0,387027931626,4022377244,819128,4801,1057,367,392178,0 124,2,2024-09-07 09:29:51:010,593059,593006,53,0,24340655,0,6487 124,3,2024-09-07 09:29:50:776,1,469,7,0,490,4741,469,0 125,0,2024-09-07 09:29:51:456,117817,0.4,117652,0.6,236124,0.4,314320,1.75 125,1,2024-09-07 09:29:50:855,823334,823334,0,0,386820362147,4035873457,817288,5372,674,382,391813,0 125,2,2024-09-07 09:29:51:121,593979,593979,0,0,24825210,0,4534 125,3,2024-09-07 09:29:51:131,1,469,7,0,709,5648,469,0 126,0,2024-09-07 09:29:51:446,116884,0.4,120299,0.6,230125,0.4,311433,1.75 126,1,2024-09-07 09:29:50:574,825845,825845,0,0,388282358746,4019574362,821467,4082,296,365,391987,0 126,2,2024-09-07 09:29:50:626,597979,597979,0,0,25262566,0,4539 126,3,2024-09-07 09:29:50:926,1,469,3,0,268,5461,469,0 127,0,2024-09-07 09:29:51:599,116100,0.3,116502,0.5,232292,0.3,309356,1.75 127,1,2024-09-07 09:29:50:569,824686,824686,0,0,387425853721,4029915584,816492,6815,1379,364,392187,0 127,2,2024-09-07 09:29:50:639,590809,590809,0,0,22966514,0,3897 127,3,2024-09-07 09:29:51:272,1,469,23,0,968,4877,469,0 128,0,2024-09-07 09:29:51:628,117854,0.3,117952,0.4,235586,0.2,312935,1.50 128,1,2024-09-07 09:29:51:605,823765,823765,0,0,387954876627,4024414864,818826,4505,434,367,392031,0 128,2,2024-09-07 09:29:51:393,593442,593442,0,0,22077722,0,3171 128,3,2024-09-07 09:29:50:773,1,469,1,0,1082,7148,469,0 129,0,2024-09-07 09:29:50:995,119734,0.3,119209,0.5,238820,0.3,317243,1.50 129,1,2024-09-07 09:29:50:567,821785,821785,0,0,385484402174,4037578780,813872,6238,1675,379,391962,0 129,2,2024-09-07 09:29:50:686,594672,594668,4,0,24349546,0,5335 129,3,2024-09-07 09:29:50:699,1,469,2,0,506,6886,469,0 130,0,2024-09-07 09:29:51:717,118146,0.5,117640,0.6,236538,0.5,313873,1.75 130,1,2024-09-07 09:29:50:589,825017,825017,0,0,387667835568,4026902364,820824,3854,339,381,391825,0 130,2,2024-09-07 09:29:51:143,597128,597128,0,0,24100781,0,4067 130,3,2024-09-07 09:29:51:294,1,469,0,0,960,6914,469,0 131,0,2024-09-07 09:29:51:929,115094,0.3,115503,0.5,231637,0.3,308086,1.75 131,1,2024-09-07 09:29:51:822,824316,824316,0,0,386567892543,4033483933,818243,5019,1054,381,391865,0 131,2,2024-09-07 09:29:50:566,593629,593629,0,0,22016601,0,3979 131,3,2024-09-07 09:29:51:689,1,469,2,0,392,5031,469,0 132,0,2024-09-07 09:29:51:522,118851,0.4,119943,0.6,238570,0.4,316938,1.75 132,1,2024-09-07 09:29:50:580,820710,820710,0,0,386532380163,4067212269,808969,9609,2132,381,392532,0 132,2,2024-09-07 09:29:50:707,591935,591918,17,0,29208681,0,6451 132,3,2024-09-07 09:29:51:690,1,469,4,0,804,7952,469,0 133,0,2024-09-07 09:29:51:541,116091,0.4,118891,0.6,243687,0.4,316986,1.75 133,1,2024-09-07 09:29:50:606,820603,820603,0,0,385722899319,4065395721,809115,9867,1621,383,391914,0 133,2,2024-09-07 09:29:51:099,593455,593405,50,0,30253356,0,6861 133,3,2024-09-07 09:29:51:299,1,469,12,0,479,4864,469,0 134,0,2024-09-07 09:29:50:936,119408,0.5,119151,0.7,238544,0.5,318179,2.00 134,1,2024-09-07 09:29:50:588,821942,821942,0,0,385834457450,4043309414,811760,8113,2069,366,391718,0 134,2,2024-09-07 09:29:51:758,592431,592407,24,0,28385184,0,6207 134,3,2024-09-07 09:29:50:750,1,469,6,0,739,5728,469,0 135,0,2024-09-07 09:29:51:097,111751,0.7,111748,0.8,237172,0.8,305809,2.25 135,1,2024-09-07 09:29:51:585,822163,822163,0,0,386949314972,4066904543,811807,8978,1378,380,391805,0 135,2,2024-09-07 09:29:50:687,593880,593880,0,0,27603979,0,3981 135,3,2024-09-07 09:29:51:008,1,469,2,0,299,4044,469,0 136,0,2024-09-07 09:29:51:657,119550,0.5,119753,0.7,238463,0.5,317941,2.00 136,1,2024-09-07 09:29:51:443,823197,823197,0,0,385358428350,4040048467,813861,8198,1138,381,391685,0 136,2,2024-09-07 09:29:51:135,593582,593582,0,0,26437688,0,3506 136,3,2024-09-07 09:29:51:126,1,469,1,0,637,5420,469,0 137,0,2024-09-07 09:29:50:927,122002,0.6,118880,0.7,232988,0.6,316453,2.00 137,1,2024-09-07 09:29:50:576,821385,821385,0,0,385586324681,4045335981,808476,10241,2668,366,391898,0 137,2,2024-09-07 09:29:51:706,591392,591392,0,0,29645612,0,3185 137,3,2024-09-07 09:29:50:781,1,469,13,0,484,6058,469,0 138,0,2024-09-07 09:29:51:766,117241,0.9,117252,0.9,235416,1.2,312417,2.25 138,1,2024-09-07 09:29:51:693,822487,822487,0,0,386401758855,4051882573,811184,9483,1820,368,391954,0 138,2,2024-09-07 09:29:50:588,591381,591381,0,0,27414906,0,4988 138,3,2024-09-07 09:29:50:617,1,469,2,0,1200,7472,469,0 139,0,2024-09-07 09:29:51:367,115049,1.4,115259,1.1,230793,2.1,308545,2.50 139,1,2024-09-07 09:29:50:571,818406,818406,0,0,384173609308,4080233079,802849,12110,3447,380,392058,0 139,2,2024-09-07 09:29:50:692,592401,592401,0,0,30577392,0,3097 139,3,2024-09-07 09:29:51:668,1,469,3,0,432,5533,469,0 140,0,2024-09-07 09:29:51:588,117419,0.3,116587,0.5,234005,0.2,311891,1.75 140,1,2024-09-07 09:29:51:536,827208,827208,0,0,389427585840,4013714514,823202,3545,461,365,391606,0 140,2,2024-09-07 09:29:50:688,593174,593173,1,0,23027848,0,5036 140,3,2024-09-07 09:29:50:772,1,469,0,0,297,4085,469,0 141,0,2024-09-07 09:29:51:698,117361,0.3,120406,0.4,229918,0.2,312008,1.50 141,1,2024-09-07 09:29:50:860,825720,825720,0,0,387809135137,4029868296,819032,5603,1085,379,391614,0 141,2,2024-09-07 09:29:51:690,592366,592366,0,0,23539830,0,3360 141,3,2024-09-07 09:29:51:044,1,469,1,0,391,5168,469,0 142,0,2024-09-07 09:29:51:330,119026,0.3,118332,0.5,236845,0.3,315777,1.75 142,1,2024-09-07 09:29:50:590,824138,824138,0,0,387122824666,4033434824,819071,4619,448,382,392102,0 142,2,2024-09-07 09:29:51:298,592721,592689,32,0,25279727,0,6028 142,3,2024-09-07 09:29:51:745,1,469,4,0,484,5137,469,0 143,0,2024-09-07 09:29:51:464,116600,0.4,116784,0.6,233908,0.4,310922,1.75 143,1,2024-09-07 09:29:50:555,825624,825624,0,0,388074056312,4026908977,819971,5104,549,367,391705,0 143,2,2024-09-07 09:29:50:774,597685,597685,0,0,24471834,0,3123 143,3,2024-09-07 09:29:51:146,1,469,21,0,462,6133,469,0 144,0,2024-09-07 09:29:51:505,112360,0.6,115485,0.8,235433,0.5,308768,2.00 144,1,2024-09-07 09:29:50:565,821700,821700,0,0,385450813502,4044384063,813302,6500,1898,381,391733,0 144,2,2024-09-07 09:29:51:759,592672,592672,0,0,22484770,0,3673 144,3,2024-09-07 09:29:51:746,1,469,1,0,249,4554,469,0 145,0,2024-09-07 09:29:51:366,114242,0.6,114245,0.8,242333,0.5,311858,2.25 145,1,2024-09-07 09:29:50:551,820787,820787,0,0,385411986402,4054001229,810028,8891,1868,382,391759,0 145,2,2024-09-07 09:29:51:429,589565,589484,81,0,28118262,0,7814 145,3,2024-09-07 09:29:50:904,1,469,8,0,622,6473,469,0 146,0,2024-09-07 09:29:51:633,118666,0.6,118240,0.7,237789,0.6,315295,2.25 146,1,2024-09-07 09:29:51:586,822319,822319,0,0,385954996473,4058537278,809035,9755,3529,367,391770,0 146,2,2024-09-07 09:29:51:742,591916,591916,0,0,27181778,0,3290 146,3,2024-09-07 09:29:51:273,1,469,9,0,1520,8250,469,0 147,0,2024-09-07 09:29:51:698,118772,0.6,118613,0.8,236467,0.6,315787,2.25 147,1,2024-09-07 09:29:51:389,825413,825413,0,0,387955402831,4032609721,818541,6023,849,368,391791,0 147,2,2024-09-07 09:29:51:019,594656,594656,0,0,24525436,0,2968 147,3,2024-09-07 09:29:50:917,1,469,4,0,1626,8037,469,0 0,0,2024-09-07 09:30:01:720,115526,0.6,115563,0.7,245122,0.6,316820,2.00 0,1,2024-09-07 09:30:00:802,823696,823696,0,0,387602864250,4058386916,816952,6084,660,369,391896,0 0,2,2024-09-07 09:30:01:067,595781,595781,0,0,24099950,0,4480 0,3,2024-09-07 09:30:00:974,1,470,1,0,431,6780,470,0 1,0,2024-09-07 09:30:01:781,118493,1.1,117967,1.0,236305,1.4,316250,2.00 1,1,2024-09-07 09:30:00:563,824073,824073,0,0,386866859350,4047526895,817153,5589,1331,370,391859,0 1,2,2024-09-07 09:30:00:648,594275,594275,0,0,23716629,0,3380 1,3,2024-09-07 09:30:01:306,1,470,7,0,269,5961,470,0 2,0,2024-09-07 09:30:01:566,116366,0.6,116344,0.7,232357,0.6,309803,2.00 2,1,2024-09-07 09:30:00:862,826891,826891,0,0,388460188805,4029976456,823519,3077,295,380,391745,0 2,2,2024-09-07 09:30:01:274,597157,597157,0,0,22324865,0,3594 2,3,2024-09-07 09:30:00:692,1,470,0,0,357,4304,470,0 3,0,2024-09-07 09:30:01:758,118144,0.4,117955,0.6,235767,0.3,314292,2.00 3,1,2024-09-07 09:30:01:618,825205,825205,0,0,387756268195,4036338867,818571,5939,695,379,391716,0 3,2,2024-09-07 09:30:01:159,594289,594266,23,0,23476370,0,5851 3,3,2024-09-07 09:30:01:761,1,470,0,0,207,2994,470,0 4,0,2024-09-07 09:30:01:802,114325,0.4,117530,0.5,239518,0.3,313407,1.75 4,1,2024-09-07 09:30:00:607,821705,821705,0,0,386592551480,4090486196,807593,10864,3248,370,391992,0 4,2,2024-09-07 09:30:01:021,591639,591639,0,0,27584191,0,4528 4,3,2024-09-07 09:30:01:027,1,470,1,0,448,6294,470,0 5,0,2024-09-07 09:30:01:388,117842,0.4,118425,0.6,236337,0.4,314082,1.75 5,1,2024-09-07 09:30:00:760,823559,823559,0,0,387618934023,4080084648,811791,9404,2364,367,392005,0 5,2,2024-09-07 09:30:01:839,590716,590716,0,0,27794397,0,3582 5,3,2024-09-07 09:30:01:787,1,470,8,0,457,6437,470,0 6,0,2024-09-07 09:30:00:930,117246,0.4,116762,0.6,233453,0.4,311603,1.75 6,1,2024-09-07 09:30:00:746,825662,825662,0,0,386741468620,4039309660,817080,7156,1426,379,391702,0 6,2,2024-09-07 09:30:01:116,597835,597817,18,0,26816468,0,5535 6,3,2024-09-07 09:30:01:276,1,470,1,0,710,5968,470,0 7,0,2024-09-07 09:30:01:531,115772,0.5,116645,0.6,232134,0.4,309171,2.00 7,1,2024-09-07 09:30:00:880,823683,823683,0,0,386510529760,4056993162,812481,9218,1984,382,391747,0 7,2,2024-09-07 09:30:00:770,593202,593202,0,0,25893808,0,4791 7,3,2024-09-07 09:30:00:852,1,470,4,0,552,5581,470,0 8,0,2024-09-07 09:30:01:336,117631,0.3,117361,0.5,235437,0.3,313295,1.75 8,1,2024-09-07 09:30:01:025,822964,822964,0,0,386467912881,4062482805,810357,9828,2779,366,392853,0 8,2,2024-09-07 09:30:00:796,588553,588553,0,0,30895189,0,3250 8,3,2024-09-07 09:30:00:586,1,470,5,0,538,7489,470,0 9,0,2024-09-07 09:30:01:102,118905,0.4,115643,0.5,242059,0.3,317028,1.75 9,1,2024-09-07 09:30:00:555,821941,821941,0,0,386141724708,4067686753,809610,9863,2468,369,392001,0 9,2,2024-09-07 09:30:01:084,593238,593238,0,0,28273624,0,4615 9,3,2024-09-07 09:30:01:796,1,470,13,0,496,6652,470,0 10,0,2024-09-07 09:30:01:602,117379,0.3,116933,0.5,234767,0.3,312240,1.75 10,1,2024-09-07 09:30:00:583,824583,824583,0,0,386704588335,4053422356,813180,9463,1940,381,391741,0 10,2,2024-09-07 09:30:00:769,597155,597155,0,0,30725429,0,4264 10,3,2024-09-07 09:30:00:871,1,470,1,0,649,5033,470,0 11,0,2024-09-07 09:30:01:008,115511,0.4,112042,0.6,234284,0.4,309345,1.75 11,1,2024-09-07 09:30:00:582,824721,824721,0,0,387414813298,4065521524,811972,9630,3119,383,391756,0 11,2,2024-09-07 09:30:01:128,592041,592041,0,0,26677162,0,4130 11,3,2024-09-07 09:30:01:303,1,470,5,0,843,6476,470,0 12,0,2024-09-07 09:30:00:960,119689,0.4,119647,0.5,239258,0.3,317564,1.75 12,1,2024-09-07 09:30:00:945,824726,824726,0,0,387302491103,4039725190,818198,5875,653,370,391960,0 12,2,2024-09-07 09:30:01:541,592800,592800,0,0,26263692,0,4390 12,3,2024-09-07 09:30:01:059,1,470,7,0,386,6619,470,0 13,0,2024-09-07 09:30:01:323,120235,0.4,119816,0.6,239025,0.4,318534,1.75 13,1,2024-09-07 09:30:01:524,823080,823080,0,0,387240800452,4068833388,814774,6591,1715,382,391740,0 13,2,2024-09-07 09:30:00:595,596509,596509,0,0,23926065,0,3287 13,3,2024-09-07 09:30:01:766,1,470,20,0,522,6683,470,0 14,0,2024-09-07 09:30:00:572,119197,0.4,120168,0.6,238005,0.4,317377,1.75 14,1,2024-09-07 09:30:01:570,828641,828641,0,0,389390508145,4030612169,822157,5771,713,364,391673,0 14,2,2024-09-07 09:30:00:764,595671,595641,30,0,25484102,0,6104 14,3,2024-09-07 09:30:01:120,1,470,25,0,1168,4975,470,0 15,0,2024-09-07 09:30:01:554,115421,0.4,115221,0.6,231125,0.4,307840,2.00 15,1,2024-09-07 09:30:01:618,825418,825418,0,0,388214302993,4043315350,818519,5444,1455,381,391619,0 15,2,2024-09-07 09:30:01:001,596296,596296,0,0,21219912,0,3622 15,3,2024-09-07 09:30:01:405,1,470,1,0,1126,7117,470,0 16,0,2024-09-07 09:30:00:948,119168,0.5,119873,0.7,238791,0.5,317600,2.00 16,1,2024-09-07 09:30:00:566,825106,825106,0,0,387604934186,4049498175,818228,5760,1118,370,391917,0 16,2,2024-09-07 09:30:01:438,593437,593437,0,0,25151433,0,4719 16,3,2024-09-07 09:30:01:168,1,470,10,0,358,5950,470,0 17,0,2024-09-07 09:30:01:802,122110,0.6,119194,0.7,233338,0.6,316855,2.00 17,1,2024-09-07 09:30:00:572,823835,823835,0,0,386603933683,4056921031,815405,6715,1715,368,392075,0 17,2,2024-09-07 09:30:01:667,596714,596713,1,0,25935997,0,5050 17,3,2024-09-07 09:30:00:573,1,470,4,0,518,7355,470,0 18,0,2024-09-07 09:30:00:940,116822,0.7,117349,0.8,234066,0.7,312514,2.25 18,1,2024-09-07 09:30:01:638,827570,827570,0,0,388762290283,4026596363,823244,3888,438,367,391725,0 18,2,2024-09-07 09:30:01:759,596129,596129,0,0,22435502,0,3541 18,3,2024-09-07 09:30:00:897,1,470,2,0,1059,4464,470,0 19,0,2024-09-07 09:30:01:543,116056,0.6,116423,0.8,231177,0.6,308232,2.00 19,1,2024-09-07 09:30:00:566,827677,827677,0,0,389160183041,4035179103,821152,5443,1082,367,391777,0 19,2,2024-09-07 09:30:01:758,599659,599659,0,0,21325639,0,3988 19,3,2024-09-07 09:30:01:133,1,470,1,0,524,3925,470,0 20,0,2024-09-07 09:30:01:348,117057,0.5,117135,0.6,233936,0.4,312020,2.00 20,1,2024-09-07 09:30:00:567,824338,824338,0,0,388083497846,4062079667,816342,6698,1298,369,391922,0 20,2,2024-09-07 09:30:00:928,592787,592787,0,0,25343453,0,3721 20,3,2024-09-07 09:30:00:589,1,470,6,0,468,7732,470,0 21,0,2024-09-07 09:30:01:128,117342,0.4,117367,0.6,234776,0.4,311851,1.75 21,1,2024-09-07 09:30:01:536,822014,822014,0,0,386256936196,4075047435,809263,9776,2975,368,392016,0 21,2,2024-09-07 09:30:01:067,590156,590136,20,0,30831026,0,5617 21,3,2024-09-07 09:30:01:404,1,470,8,0,713,6218,470,0 22,0,2024-09-07 09:30:01:722,118328,0.5,118787,0.7,237167,0.4,314311,2.00 22,1,2024-09-07 09:30:01:023,823701,823701,0,0,386514825258,4066772576,810416,10341,2944,382,391675,0 22,2,2024-09-07 09:30:00:760,592874,592848,26,0,25598693,0,6328 22,3,2024-09-07 09:30:01:066,1,470,1,0,228,3731,470,0 23,0,2024-09-07 09:30:01:374,116540,0.5,116019,0.7,232359,0.5,309951,2.25 23,1,2024-09-07 09:30:01:004,824898,824898,0,0,388002673692,4071957521,810678,10007,4213,365,391690,0 23,2,2024-09-07 09:30:01:093,599815,599815,0,0,24155155,0,3773 23,3,2024-09-07 09:30:01:789,1,470,1,0,720,5902,470,0 24,0,2024-09-07 09:30:00:833,117246,0.4,116377,0.5,233960,0.4,310996,1.75 24,1,2024-09-07 09:30:00:586,823562,823562,0,0,385818095337,4042059566,815066,6835,1661,367,392269,0 24,2,2024-09-07 09:30:01:069,592630,592630,0,0,28698369,0,3607 24,3,2024-09-07 09:30:01:687,1,470,9,0,468,6312,470,0 25,0,2024-09-07 09:30:01:355,121439,0.4,118367,0.6,232143,0.4,315835,1.75 25,1,2024-09-07 09:30:00:562,822737,822737,0,0,386489106487,4076078287,809057,10975,2705,371,391928,0 25,2,2024-09-07 09:30:01:611,590973,590973,0,0,30205358,0,3978 25,3,2024-09-07 09:30:01:003,1,470,7,0,532,5235,470,0 26,0,2024-09-07 09:30:01:723,118578,0.4,116030,0.6,243327,0.4,316378,1.75 26,1,2024-09-07 09:30:01:542,825654,825654,0,0,386665668570,4053115544,813339,9902,2413,380,391748,0 26,2,2024-09-07 09:30:00:863,594754,594754,0,0,31566117,0,4689 26,3,2024-09-07 09:30:01:716,1,470,0,0,796,5357,470,0 27,0,2024-09-07 09:30:01:729,118823,0.5,119075,0.6,236811,0.4,315568,2.25 27,1,2024-09-07 09:30:01:676,826572,826572,0,0,388650041622,4049906577,818326,6984,1262,381,391626,0 27,2,2024-09-07 09:30:00:882,593951,593886,65,0,27592967,0,5699 27,3,2024-09-07 09:30:01:019,1,470,0,0,564,4261,470,0 28,0,2024-09-07 09:30:01:387,116125,0.4,115510,0.6,232035,0.3,309227,2.00 28,1,2024-09-07 09:30:00:797,826127,826127,0,0,388153530593,4049178707,818719,5708,1700,382,391698,0 28,2,2024-09-07 09:30:01:795,593741,593741,0,0,24202743,0,2915 28,3,2024-09-07 09:30:01:777,1,470,23,0,502,4838,470,0 29,0,2024-09-07 09:30:01:355,122529,0.4,119436,0.6,234087,0.3,319054,1.75 29,1,2024-09-07 09:30:01:561,828561,828561,0,0,389469764956,4028979896,823403,4399,759,368,391809,0 29,2,2024-09-07 09:30:00:864,593314,593314,0,0,23540949,0,4986 29,3,2024-09-07 09:30:00:963,1,470,7,0,459,5390,470,0 30,0,2024-09-07 09:30:01:470,118606,0.5,115258,0.7,241222,0.5,315710,2.00 30,1,2024-09-07 09:30:00:573,827154,827154,0,0,388965225643,4038636049,820574,5731,849,380,391672,0 30,2,2024-09-07 09:30:01:276,595297,595297,0,0,22982697,0,4192 30,3,2024-09-07 09:30:00:581,1,470,1,0,519,4753,470,0 31,0,2024-09-07 09:30:01:757,118188,0.5,118669,0.7,236913,0.4,316368,2.00 31,1,2024-09-07 09:30:00:564,830652,830652,0,0,390202199801,4001302066,826760,3155,737,356,391712,0 31,2,2024-09-07 09:30:01:275,593355,593355,0,0,24636263,0,3525 31,3,2024-09-07 09:30:01:705,1,470,1,0,220,3999,470,0 32,0,2024-09-07 09:30:01:420,116699,0.3,117293,0.5,233713,0.3,311526,1.75 32,1,2024-09-07 09:30:00:806,826658,826658,0,0,388373245757,4036860980,821508,4468,682,381,391646,0 32,2,2024-09-07 09:30:00:946,597283,597283,0,0,22157050,0,3922 32,3,2024-09-07 09:30:01:021,1,470,10,0,304,3723,470,0 33,0,2024-09-07 09:30:01:491,118532,0.3,118163,0.4,236354,0.2,315533,1.50 33,1,2024-09-07 09:30:00:577,827673,827673,0,0,389192110767,4032246529,820995,5573,1105,368,391730,0 33,2,2024-09-07 09:30:00:760,594444,594409,35,0,24367391,0,7012 33,3,2024-09-07 09:30:00:897,1,470,8,0,329,4529,470,0 34,0,2024-09-07 09:30:00:941,118168,0.3,121404,0.4,232120,0.2,312721,1.75 34,1,2024-09-07 09:30:01:044,829465,829465,0,0,390510835652,4013354630,827473,1974,18,367,391562,0 34,2,2024-09-07 09:30:00:767,595214,595214,0,0,22739153,0,4562 34,3,2024-09-07 09:30:01:688,1,470,1,0,320,3769,470,0 35,0,2024-09-07 09:30:00:923,117244,0.3,117877,0.5,236814,0.3,314843,1.75 35,1,2024-09-07 09:30:01:068,826681,826681,0,0,388718120597,4027137346,821890,3920,871,382,391769,0 35,2,2024-09-07 09:30:01:583,593422,593422,0,0,24844711,0,4055 35,3,2024-09-07 09:30:00:922,1,470,1,0,418,4624,470,0 36,0,2024-09-07 09:30:01:516,117339,0.4,117260,0.6,234458,0.4,311959,2.00 36,1,2024-09-07 09:30:00:680,826387,826387,0,0,387600883553,4046144878,816122,8256,2009,366,391759,0 36,2,2024-09-07 09:30:01:750,598585,598585,0,0,26009271,0,3875 36,3,2024-09-07 09:30:00:885,1,470,13,0,416,6439,470,0 37,0,2024-09-07 09:30:01:370,115947,0.5,116102,0.6,232137,0.4,309696,2.00 37,1,2024-09-07 09:30:00:572,824973,824966,0,7,387496372263,4047535745,814715,7696,2555,365,391770,0 37,2,2024-09-07 09:30:01:147,591292,591277,15,0,26060462,0,5815 37,3,2024-09-07 09:30:01:794,1,470,11,0,888,6849,470,0 38,0,2024-09-07 09:30:01:440,116843,0.4,113346,0.6,237196,0.4,310570,2.00 38,1,2024-09-07 09:30:01:617,825786,825786,0,0,388659801518,4063603423,813426,9879,2481,368,391821,0 38,2,2024-09-07 09:30:00:764,592783,592736,47,0,25771604,0,6710 38,3,2024-09-07 09:30:01:000,1,470,1,0,689,5915,470,0 39,0,2024-09-07 09:30:01:779,121730,0.6,119002,0.7,232009,0.5,316188,2.00 39,1,2024-09-07 09:30:00:717,824857,824857,0,0,386627091179,4055650416,810841,10825,3191,365,391658,0 39,2,2024-09-07 09:30:01:418,594956,594956,0,0,24535161,0,3391 39,3,2024-09-07 09:30:00:713,1,470,2,0,324,5084,470,0 40,0,2024-09-07 09:30:01:494,116248,0.8,116960,0.9,233206,0.8,310724,2.75 40,1,2024-09-07 09:30:00:582,825848,825848,0,0,387208922104,4053694315,814176,9504,2168,368,391668,0 40,2,2024-09-07 09:30:01:306,596237,596236,1,0,29401363,0,5137 40,3,2024-09-07 09:30:01:162,1,470,1,0,1028,6245,470,0 41,0,2024-09-07 09:30:01:028,115112,1.2,117675,1.2,224409,1.8,306007,3.00 41,1,2024-09-07 09:30:00:768,824164,824164,0,0,387737110830,4059193188,813333,9123,1708,369,391742,0 41,2,2024-09-07 09:30:00:759,591062,591062,0,0,27618552,0,4277 41,3,2024-09-07 09:30:01:680,1,470,1,0,366,4879,470,0 42,0,2024-09-07 09:30:01:475,118132,0.8,117895,1.0,236134,1.0,312349,2.75 42,1,2024-09-07 09:30:01:439,822083,822083,0,0,386855067525,4070634208,807886,11352,2845,380,391675,0 42,2,2024-09-07 09:30:01:133,592276,592276,0,0,27601813,0,3790 42,3,2024-09-07 09:30:01:009,1,470,1,0,892,4462,470,0 43,0,2024-09-07 09:30:00:926,118173,0.7,115138,0.9,240784,0.7,315655,2.25 43,1,2024-09-07 09:30:00:577,825560,825560,0,0,387666827291,4052488342,813650,9943,1967,366,391696,0 43,2,2024-09-07 09:30:01:736,595200,595200,0,0,27383295,0,3812 43,3,2024-09-07 09:30:01:751,1,470,1,0,571,6762,470,0 44,0,2024-09-07 09:30:00:886,119363,0.5,119409,0.6,239393,0.4,317953,2.00 44,1,2024-09-07 09:30:00:566,826863,826863,0,0,388735058705,4018757069,819531,5783,1549,356,391809,0 44,2,2024-09-07 09:30:01:267,593887,593887,0,0,22720732,0,4344 44,3,2024-09-07 09:30:01:099,1,470,19,0,817,5306,470,0 45,0,2024-09-07 09:30:01:761,114270,0.5,111598,0.7,234267,0.4,307971,2.00 45,1,2024-09-07 09:30:01:013,826374,826374,0,0,388780206707,4041123652,819702,5973,699,382,391917,0 45,2,2024-09-07 09:30:01:273,595875,595875,0,0,22842441,0,3596 45,3,2024-09-07 09:30:00:942,1,470,12,0,271,4016,470,0 46,0,2024-09-07 09:30:00:956,118772,0.5,118192,0.7,237280,0.5,314884,2.00 46,1,2024-09-07 09:30:00:575,828580,828580,0,0,388883130770,4017988525,823017,4833,730,366,391709,0 46,2,2024-09-07 09:30:00:593,593991,593991,0,0,23149465,0,4443 46,3,2024-09-07 09:30:01:133,1,470,0,0,908,5638,470,0 47,0,2024-09-07 09:30:01:103,118711,0.4,119047,0.6,238272,0.4,315901,2.00 47,1,2024-09-07 09:30:00:567,829037,829037,0,0,389118794303,4019998787,824037,4161,839,366,391641,0 47,2,2024-09-07 09:30:00:920,597430,597430,0,0,23049845,0,4477 47,3,2024-09-07 09:30:01:116,1,470,0,0,600,5505,470,0 48,0,2024-09-07 09:30:01:520,118504,0.3,118516,0.4,235923,0.2,314456,1.50 48,1,2024-09-07 09:30:01:021,827150,827150,0,0,388830074218,4036615820,822377,4316,457,384,391710,0 48,2,2024-09-07 09:30:00:699,594098,594098,0,0,21458283,0,3411 48,3,2024-09-07 09:30:00:752,1,470,1,0,339,3703,470,0 49,0,2024-09-07 09:30:01:710,119383,0.3,117273,0.5,227714,0.3,311991,1.75 49,1,2024-09-07 09:30:01:020,826256,826256,0,0,388231229251,4036083002,821042,4007,1207,382,391809,0 49,2,2024-09-07 09:30:01:799,598208,598208,0,0,23510176,0,4426 49,3,2024-09-07 09:30:01:418,1,470,8,0,992,5479,470,0 50,0,2024-09-07 09:30:01:507,117863,0.3,116242,0.4,234138,0.2,311892,1.75 50,1,2024-09-07 09:30:01:009,829177,829177,0,0,389897313265,4029370234,824103,4499,575,368,391565,0 50,2,2024-09-07 09:30:01:067,593673,593673,0,0,21355594,0,4490 50,3,2024-09-07 09:30:01:295,1,470,3,0,617,5190,470,0 51,0,2024-09-07 09:30:01:689,120290,0.3,117874,0.4,229687,0.2,313396,1.75 51,1,2024-09-07 09:30:01:681,829855,829855,0,0,390652410751,4028049532,825438,3365,1052,365,391706,0 51,2,2024-09-07 09:30:01:317,594056,594056,0,0,20365941,0,3337 51,3,2024-09-07 09:30:01:027,1,470,1,0,678,3661,470,0 52,0,2024-09-07 09:30:01:411,118769,0.5,118578,0.7,237402,0.5,315301,2.00 52,1,2024-09-07 09:30:00:577,825444,825444,0,0,387513613701,4053731661,814193,9807,1444,368,391722,0 52,2,2024-09-07 09:30:01:790,591216,591178,38,0,27611001,0,6742 52,3,2024-09-07 09:30:00:674,1,470,1,0,1782,6067,470,0 53,0,2024-09-07 09:30:01:730,116120,0.6,112692,0.8,235783,0.7,309818,2.25 53,1,2024-09-07 09:30:00:777,823511,823511,0,0,387638593744,4067327456,809885,10036,3590,367,391968,0 53,2,2024-09-07 09:30:01:297,598482,598481,1,0,24963997,0,5455 53,3,2024-09-07 09:30:00:704,1,470,12,0,308,4665,470,0 54,0,2024-09-07 09:30:01:624,114946,0.5,115214,0.7,229470,0.4,307116,2.25 54,1,2024-09-07 09:30:00:580,825442,825442,0,0,388332114502,4036662559,816835,7211,1396,366,391659,0 54,2,2024-09-07 09:30:00:881,593504,593472,32,0,28005138,0,6397 54,3,2024-09-07 09:30:00:768,1,470,78,0,676,6528,470,0 55,0,2024-09-07 09:30:01:780,114165,0.6,117770,0.7,238593,0.5,310407,2.25 55,1,2024-09-07 09:30:00:769,826672,826672,0,0,388462094409,4037391265,818098,7367,1207,365,391731,0 55,2,2024-09-07 09:30:00:730,592358,592302,56,0,27194848,0,7239 55,3,2024-09-07 09:30:00:674,1,470,1,0,304,4493,470,0 56,0,2024-09-07 09:30:01:562,121446,1.2,114479,1.1,235996,1.6,315678,2.75 56,1,2024-09-07 09:30:00:578,822303,822303,0,0,386545647370,4079879199,810056,9695,2552,381,391867,0 56,2,2024-09-07 09:30:01:304,594453,594331,122,0,28553548,0,7432 56,3,2024-09-07 09:30:01:059,1,470,1,0,705,5687,470,0 57,0,2024-09-07 09:30:00:938,116926,1.5,116788,1.3,234169,2.1,312713,3.00 57,1,2024-09-07 09:30:00:992,824016,824016,0,0,386977830743,4059575113,813231,8720,2065,366,392032,0 57,2,2024-09-07 09:30:01:328,596249,596249,0,0,29162937,0,4317 57,3,2024-09-07 09:30:01:766,1,470,3,0,455,5385,470,0 58,0,2024-09-07 09:30:00:564,114106,0.9,110899,1.0,231836,1.1,304241,2.50 58,1,2024-09-07 09:30:00:575,824685,824682,0,3,387593457229,4060566818,812410,9504,2768,367,391603,3 58,2,2024-09-07 09:30:01:070,592864,592864,0,0,27035053,0,3483 58,3,2024-09-07 09:30:01:068,1,470,2,0,1043,5007,470,0 59,0,2024-09-07 09:30:01:783,118827,0.9,118342,1.0,236283,1.0,313333,2.75 59,1,2024-09-07 09:30:00:807,824507,824507,0,0,387813254319,4066285738,812272,9998,2237,369,391653,0 59,2,2024-09-07 09:30:00:587,592652,592652,0,0,26751570,0,3727 59,3,2024-09-07 09:30:01:800,1,470,1,0,1015,6277,470,0 60,0,2024-09-07 09:30:01:710,118832,0.5,118928,0.7,238259,0.5,316580,1.75 60,1,2024-09-07 09:30:00:781,827608,827608,0,0,388827300115,4036427731,821767,4912,929,370,392031,0 60,2,2024-09-07 09:30:01:151,595228,595228,0,0,25141453,0,3811 60,3,2024-09-07 09:30:01:262,1,470,12,0,409,5939,470,0 61,0,2024-09-07 09:30:01:513,118292,0.7,118966,0.8,236915,0.7,316107,2.00 61,1,2024-09-07 09:30:00:782,825036,825036,0,0,387717388104,4062417239,815623,7837,1576,382,392127,0 61,2,2024-09-07 09:30:01:128,594389,594322,67,0,25344703,0,6411 61,3,2024-09-07 09:30:01:687,1,470,8,0,479,6318,470,0 62,0,2024-09-07 09:30:01:736,117039,0.6,120001,0.7,229195,0.6,311306,2.00 62,1,2024-09-07 09:30:01:115,830119,830113,0,6,390086292984,4020849320,826186,3664,263,365,391975,6 62,2,2024-09-07 09:30:01:644,594566,594565,1,0,25221228,0,5555 62,3,2024-09-07 09:30:01:146,1,470,4,0,482,3920,470,0 63,0,2024-09-07 09:30:01:459,118583,0.4,118296,0.6,237221,0.3,315789,1.75 63,1,2024-09-07 09:30:00:804,827405,827399,0,6,388787527375,4035836080,822052,4613,734,381,391800,6 63,2,2024-09-07 09:30:00:766,593578,593578,0,0,23453163,0,4369 63,3,2024-09-07 09:30:01:790,1,470,1,0,667,5061,470,0 64,0,2024-09-07 09:30:01:535,117424,0.5,117508,0.6,234471,0.4,311938,1.75 64,1,2024-09-07 09:30:00:757,826929,826929,0,0,387955526324,4039282152,819992,5232,1705,370,391783,0 64,2,2024-09-07 09:30:01:151,597838,597819,19,0,22963805,0,6121 64,3,2024-09-07 09:30:01:146,1,470,7,0,265,4356,470,0 65,0,2024-09-07 09:30:01:726,117041,0.7,117387,0.8,234372,0.7,312136,2.00 65,1,2024-09-07 09:30:00:864,824904,824904,0,0,387494123004,4046648275,819660,4703,541,381,391901,0 65,2,2024-09-07 09:30:01:695,593617,593617,0,0,27237782,0,3367 65,3,2024-09-07 09:30:01:683,1,470,12,0,782,5755,470,0 66,0,2024-09-07 09:30:01:804,116820,0.5,116601,0.7,233050,0.5,310395,2.25 66,1,2024-09-07 09:30:01:296,826872,826872,0,0,388221122132,4040125763,821366,4980,526,380,391743,0 66,2,2024-09-07 09:30:01:134,599778,599775,3,0,24908912,0,5455 66,3,2024-09-07 09:30:01:083,1,470,5,0,291,4130,470,0 67,0,2024-09-07 09:30:01:420,116451,0.5,116160,0.6,233273,0.4,310223,2.00 67,1,2024-09-07 09:30:00:767,826601,826600,0,1,387354633352,4032683803,820951,4855,794,380,391787,1 67,2,2024-09-07 09:30:00:596,595182,595167,15,0,23389296,0,6205 67,3,2024-09-07 09:30:01:771,1,470,9,0,392,4533,470,0 68,0,2024-09-07 09:30:00:577,117793,0.5,117659,0.7,234298,0.5,312946,2.00 68,1,2024-09-07 09:30:00:579,823233,823233,0,0,386441150615,4064510452,811889,8008,3336,381,391953,0 68,2,2024-09-07 09:30:01:052,590126,590026,100,0,30061881,0,8578 68,3,2024-09-07 09:30:00:741,1,470,33,0,417,5626,470,0 69,0,2024-09-07 09:30:01:760,118217,0.7,118991,0.8,237153,0.8,314519,2.25 69,1,2024-09-07 09:30:01:020,823200,823200,0,0,386543511256,4071049004,812711,8215,2274,384,391994,0 69,2,2024-09-07 09:30:01:783,593542,593513,29,0,32049687,0,6912 69,3,2024-09-07 09:30:00:769,1,470,12,0,698,7151,470,0 70,0,2024-09-07 09:30:01:552,116154,0.9,116557,1.0,234453,0.8,310129,2.50 70,1,2024-09-07 09:30:00:805,827039,827039,0,0,389081038397,4036281748,820549,5789,701,366,391725,0 70,2,2024-09-07 09:30:01:326,597390,597390,0,0,26906236,0,4323 70,3,2024-09-07 09:30:00:757,1,470,5,0,854,5365,470,0 71,0,2024-09-07 09:30:01:360,114421,0.8,114337,1.0,229626,1.0,307072,2.50 71,1,2024-09-07 09:30:01:611,825340,825340,0,0,388037922716,4047502864,815705,8554,1081,368,391738,0 71,2,2024-09-07 09:30:01:067,592105,592105,0,0,26645642,0,4352 71,3,2024-09-07 09:30:01:749,1,470,5,0,644,5771,470,0 72,0,2024-09-07 09:30:01:106,122706,0.6,119996,0.7,233940,0.5,317723,2.00 72,1,2024-09-07 09:30:01:047,823943,823943,0,0,387027150894,4060264782,811988,9872,2083,369,391819,0 72,2,2024-09-07 09:30:01:767,592034,592034,0,0,29103708,0,3983 72,3,2024-09-07 09:30:01:789,1,470,7,0,564,6780,470,0 73,0,2024-09-07 09:30:01:102,116251,0.4,119279,0.6,243658,0.4,316554,2.00 73,1,2024-09-07 09:30:00:772,826134,826134,0,0,388178515904,4031995680,820200,5512,422,367,391858,0 73,2,2024-09-07 09:30:01:797,594585,594585,0,0,29179398,0,4291 73,3,2024-09-07 09:30:00:978,1,470,12,0,486,6019,470,0 74,0,2024-09-07 09:30:01:329,120147,0.5,122932,0.7,234355,0.5,318336,2.25 74,1,2024-09-07 09:30:00:635,825359,825359,0,0,387818820727,4048768522,816278,7315,1766,381,391762,0 74,2,2024-09-07 09:30:01:002,594818,594818,0,0,25950653,0,4253 74,3,2024-09-07 09:30:01:442,1,470,3,0,522,5797,470,0 75,0,2024-09-07 09:30:01:790,116131,0.5,115351,0.7,231566,0.5,309572,2.25 75,1,2024-09-07 09:30:01:585,826146,826146,0,0,387760691627,4043456547,818771,6534,841,380,391739,0 75,2,2024-09-07 09:30:01:356,593704,593704,0,0,29526019,0,4766 75,3,2024-09-07 09:30:01:072,1,470,12,0,702,5857,470,0 76,0,2024-09-07 09:30:00:605,118592,0.6,117932,0.7,236689,0.5,316304,2.25 76,1,2024-09-07 09:30:00:806,825059,825059,0,0,387263778038,4038701106,819366,4865,828,382,391692,0 76,2,2024-09-07 09:30:01:062,596027,596026,1,0,25213183,0,5144 76,3,2024-09-07 09:30:01:173,1,470,1,0,227,4570,470,0 77,0,2024-09-07 09:30:01:731,118127,0.5,118488,0.7,237385,0.5,314872,2.00 77,1,2024-09-07 09:30:00:826,826135,826135,0,0,387654107109,4043721819,820006,5621,508,381,391869,0 77,2,2024-09-07 09:30:01:285,594220,594220,0,0,25303491,0,3890 77,3,2024-09-07 09:30:01:094,1,470,18,0,401,5111,470,0 78,0,2024-09-07 09:30:01:765,118275,0.4,117566,0.6,236183,0.4,313155,2.00 78,1,2024-09-07 09:30:00:642,826009,826009,0,0,387185791171,4037680714,816593,7189,2227,367,391670,0 78,2,2024-09-07 09:30:01:406,594915,594902,13,0,23475180,0,8313 78,3,2024-09-07 09:30:01:133,1,470,1,0,181,4013,470,0 79,0,2024-09-07 09:30:01:359,112400,0.4,115169,0.6,235572,0.3,306985,2.25 79,1,2024-09-07 09:30:00:573,827910,827910,0,0,388396641910,4025821415,820840,5730,1340,367,391682,0 79,2,2024-09-07 09:30:01:068,598259,598259,0,0,22861822,0,4195 79,3,2024-09-07 09:30:00:750,1,470,72,0,418,5917,470,0 80,0,2024-09-07 09:30:01:094,117184,0.5,120468,0.6,230407,0.4,311588,2.00 80,1,2024-09-07 09:30:01:622,825593,825593,0,0,388039854872,4040212645,819908,5262,423,368,392269,0 80,2,2024-09-07 09:30:01:093,595218,595218,0,0,22961248,0,4433 80,3,2024-09-07 09:30:00:582,1,470,94,0,190,5349,470,0 81,0,2024-09-07 09:30:01:543,117399,0.5,120208,0.7,229457,0.5,312011,2.00 81,1,2024-09-07 09:30:01:653,824860,824860,0,0,387301838592,4045728792,818604,5702,554,382,391879,0 81,2,2024-09-07 09:30:01:130,592352,592289,63,0,25604586,0,5932 81,3,2024-09-07 09:30:01:117,1,470,12,0,719,5506,470,0 82,0,2024-09-07 09:30:01:622,118173,0.5,118415,0.7,237113,0.5,314568,2.00 82,1,2024-09-07 09:30:00:593,827136,827132,0,4,387917069606,4033070188,822373,3940,819,381,391768,4 82,2,2024-09-07 09:30:01:694,595394,595394,0,0,21689549,0,4484 82,3,2024-09-07 09:30:01:767,1,470,18,0,363,4786,470,0 83,0,2024-09-07 09:30:01:539,116891,0.6,116787,0.7,232967,0.6,309948,2.25 83,1,2024-09-07 09:30:00:561,825295,825295,0,0,387730823361,4041020281,819500,5345,450,382,391709,0 83,2,2024-09-07 09:30:00:772,598281,598256,25,0,23546115,0,5612 83,3,2024-09-07 09:30:00:751,1,470,3,0,1260,5899,470,0 84,0,2024-09-07 09:30:01:783,115581,0.7,115640,0.9,230832,0.6,309200,2.25 84,1,2024-09-07 09:30:01:065,824468,824468,0,0,386553103548,4038685738,815812,7519,1137,367,391967,0 84,2,2024-09-07 09:30:00:572,591745,591715,30,0,29954900,0,5971 84,3,2024-09-07 09:30:01:153,1,470,1,0,908,6907,470,0 85,0,2024-09-07 09:30:01:002,113788,0.6,113669,0.8,241212,0.6,312105,2.25 85,1,2024-09-07 09:30:00:564,822501,822501,0,0,386682320314,4079435385,810408,9948,2145,381,392006,0 85,2,2024-09-07 09:30:00:865,591807,591807,0,0,28550475,0,3656 85,3,2024-09-07 09:30:00:685,1,470,9,0,789,5490,470,0 86,0,2024-09-07 09:30:00:921,118709,0.7,122165,0.8,233723,0.7,315989,2.25 86,1,2024-09-07 09:30:00:865,824920,824920,0,0,387913453449,4061378741,815163,8122,1635,366,391961,0 86,2,2024-09-07 09:30:00:877,593187,593186,1,0,31097285,0,5004 86,3,2024-09-07 09:30:00:588,1,470,15,0,308,6853,470,0 87,0,2024-09-07 09:30:01:284,118697,0.9,118363,0.9,236694,1.2,315906,2.25 87,1,2024-09-07 09:30:00:561,823483,823483,0,0,386528586105,4049971959,812478,9347,1658,366,392076,0 87,2,2024-09-07 09:30:01:067,595822,595816,6,0,27307602,0,6323 87,3,2024-09-07 09:30:01:794,1,470,0,0,473,7160,470,0 88,0,2024-09-07 09:30:01:497,115595,0.4,116258,0.6,232280,0.4,309059,1.75 88,1,2024-09-07 09:30:00:576,823111,823111,0,0,386370874864,4046846172,812182,8702,2227,365,392084,0 88,2,2024-09-07 09:30:00:692,593685,593685,0,0,29760834,0,4465 88,3,2024-09-07 09:30:01:279,1,470,20,0,435,5759,470,0 89,0,2024-09-07 09:30:01:838,122659,0.5,118846,0.6,234880,0.4,319144,1.75 89,1,2024-09-07 09:30:00:559,822950,822950,0,0,387029701152,4068214830,812902,8573,1475,382,391866,0 89,2,2024-09-07 09:30:01:135,592660,592660,0,0,28048570,0,3173 89,3,2024-09-07 09:30:01:792,1,470,17,0,468,7919,470,0 90,0,2024-09-07 09:30:01:617,115430,0.4,118619,0.6,241728,0.4,315475,2.00 90,1,2024-09-07 09:30:00:589,824679,824679,0,0,387390319389,4054636068,817100,6928,651,380,391825,0 90,2,2024-09-07 09:30:01:406,592596,592596,0,0,30040385,0,3608 90,3,2024-09-07 09:30:00:930,1,470,1,0,322,5642,470,0 91,0,2024-09-07 09:30:00:944,119092,0.5,115295,0.6,240937,0.5,316770,1.75 91,1,2024-09-07 09:30:00:591,822881,822881,0,0,387346858945,4071857061,812571,8667,1643,381,392047,0 91,2,2024-09-07 09:30:01:331,594874,594874,0,0,26473742,0,3526 91,3,2024-09-07 09:30:00:600,1,470,3,0,216,4655,470,0 92,0,2024-09-07 09:30:01:489,117778,0.5,120526,0.6,229965,0.5,311654,1.75 92,1,2024-09-07 09:30:00:582,825492,825492,0,0,386347566151,4036799080,818945,5474,1073,381,392136,0 92,2,2024-09-07 09:30:01:354,597156,597156,0,0,23696422,0,3259 92,3,2024-09-07 09:30:01:023,1,470,19,0,167,4196,470,0 93,0,2024-09-07 09:30:00:999,118948,0.4,121886,0.5,232683,0.3,315707,1.75 93,1,2024-09-07 09:30:00:806,825563,825563,0,0,388101338922,4051605720,816584,7384,1595,366,391776,0 93,2,2024-09-07 09:30:00:929,593429,593429,0,0,28399559,0,4845 93,3,2024-09-07 09:30:01:409,1,470,8,0,190,4152,470,0 94,0,2024-09-07 09:30:01:603,117499,0.3,118285,0.5,236300,0.3,313589,1.75 94,1,2024-09-07 09:30:00:564,825611,825611,0,0,388655128760,4053199402,820331,5064,216,381,391850,0 94,2,2024-09-07 09:30:00:774,593966,593966,0,0,23711156,0,2443 94,3,2024-09-07 09:30:01:688,1,470,1,0,576,6115,470,0 95,0,2024-09-07 09:30:01:365,118096,0.3,117855,0.5,236358,0.3,314768,1.75 95,1,2024-09-07 09:30:00:855,826927,826927,0,0,388642405647,4038721369,820319,6128,480,365,391786,0 95,2,2024-09-07 09:30:01:020,593337,593337,0,0,23503645,0,3308 95,3,2024-09-07 09:30:01:719,1,470,24,0,718,6718,470,0 96,0,2024-09-07 09:30:01:026,117222,0.4,117506,0.5,234675,0.3,311290,1.75 96,1,2024-09-07 09:30:01:583,825153,825153,0,0,387423279373,4040522110,819233,5013,907,384,391955,0 96,2,2024-09-07 09:30:01:271,598974,598974,0,0,24397235,0,4180 96,3,2024-09-07 09:30:01:140,1,470,50,0,411,5158,470,0 97,0,2024-09-07 09:30:01:339,116615,0.3,116033,0.5,233053,0.2,309978,1.50 97,1,2024-09-07 09:30:00:777,826755,826755,0,0,389126253950,4034452430,821564,4255,936,367,392140,0 97,2,2024-09-07 09:30:00:615,593644,593644,0,0,23465199,0,3679 97,3,2024-09-07 09:30:00:574,1,470,8,0,242,5683,470,0 98,0,2024-09-07 09:30:01:699,117122,0.3,117481,0.4,235500,0.2,312646,1.50 98,1,2024-09-07 09:30:00:571,826590,826590,0,0,388025743797,4041251436,821364,4432,794,381,391997,0 98,2,2024-09-07 09:30:00:771,593589,593589,0,0,23607405,0,4336 98,3,2024-09-07 09:30:00:705,1,470,7,0,840,7462,470,0 99,0,2024-09-07 09:30:01:453,118850,0.3,119476,0.5,237579,0.3,317103,1.75 99,1,2024-09-07 09:30:01:723,826548,826548,0,0,387821771154,4035114293,821318,4391,839,380,392069,0 99,2,2024-09-07 09:30:01:419,595883,595883,0,0,28002059,0,4276 99,3,2024-09-07 09:30:00:583,1,470,9,0,606,4924,470,0 100,0,2024-09-07 09:30:01:486,117177,0.8,117542,0.9,234299,0.9,312849,2.50 100,1,2024-09-07 09:30:00:549,821718,821718,0,0,386299641811,4084916175,808713,10056,2949,378,391989,0 100,2,2024-09-07 09:30:01:837,594917,594906,11,0,27526334,0,5417 100,3,2024-09-07 09:30:01:732,1,470,4,0,559,7432,470,0 101,0,2024-09-07 09:30:01:756,117910,1.0,114957,1.0,225243,0.9,308668,2.25 101,1,2024-09-07 09:30:00:551,822744,822744,0,0,386614931069,4064363133,811043,9334,2367,368,391847,0 101,2,2024-09-07 09:30:01:777,589236,589236,0,0,32098212,0,4871 101,3,2024-09-07 09:30:00:950,1,470,12,0,1250,7463,470,0 102,0,2024-09-07 09:30:00:949,115643,0.6,119228,0.7,241949,0.6,316202,2.00 102,1,2024-09-07 09:30:01:148,822393,822393,0,0,386342729687,4060053549,810965,9432,1996,369,391891,0 102,2,2024-09-07 09:30:01:791,593747,593693,54,0,26046314,0,6768 102,3,2024-09-07 09:30:01:613,1,470,0,0,466,5065,470,0 103,0,2024-09-07 09:30:01:593,123141,0.5,123194,0.7,232134,0.5,319030,2.00 103,1,2024-09-07 09:30:01:626,822063,822063,0,0,386369308026,4079584590,808753,10116,3194,381,391862,0 103,2,2024-09-07 09:30:00:588,593361,593361,0,0,29008222,0,3766 103,3,2024-09-07 09:30:00:759,1,470,1,0,916,5391,470,0 104,0,2024-09-07 09:30:01:033,118313,0.7,118645,0.9,236161,0.7,316393,2.25 104,1,2024-09-07 09:30:01:604,823981,823981,0,0,386900437848,4067344832,811329,10106,2546,365,392168,0 104,2,2024-09-07 09:30:01:668,593287,593287,0,0,28032880,0,3941 104,3,2024-09-07 09:30:01:424,1,470,1,0,1245,8862,470,0 105,0,2024-09-07 09:30:01:043,114484,0.9,111518,1.1,233716,1.0,307524,2.75 105,1,2024-09-07 09:30:00:640,825457,825457,0,0,387321402400,4060117941,814613,9192,1652,366,392009,0 105,2,2024-09-07 09:30:01:322,593102,593102,0,0,27717614,0,3509 105,3,2024-09-07 09:30:01:303,1,470,26,0,399,6921,470,0 106,0,2024-09-07 09:30:00:938,115116,0.8,117810,0.9,241538,0.8,315238,2.50 106,1,2024-09-07 09:30:01:789,824487,824487,0,0,386759226566,4059529810,812525,10321,1641,368,391914,0 106,2,2024-09-07 09:30:00:761,592775,592775,0,0,26698355,0,2920 106,3,2024-09-07 09:30:00:677,1,470,5,0,470,6077,470,0 107,0,2024-09-07 09:30:01:103,118348,0.8,118516,0.9,236920,0.9,315976,2.25 107,1,2024-09-07 09:30:00:604,822745,822745,0,0,386648604875,4074222039,811458,10189,1098,381,392234,0 107,2,2024-09-07 09:30:01:293,592483,592482,1,0,29028341,0,5024 107,3,2024-09-07 09:30:01:790,1,470,1,0,370,6789,470,0 108,0,2024-09-07 09:30:01:797,117599,0.4,118525,0.6,235625,0.4,314500,1.75 108,1,2024-09-07 09:30:01:302,825386,825386,0,0,387102828500,4037954727,818303,6248,835,367,391857,0 108,2,2024-09-07 09:30:01:770,592656,592656,0,0,26341448,0,4246 108,3,2024-09-07 09:30:01:331,1,470,4,0,749,9330,470,0 109,0,2024-09-07 09:30:01:789,117000,0.4,116141,0.6,232415,0.3,311254,1.75 109,1,2024-09-07 09:30:00:607,823030,823030,0,0,386942940428,4059859819,815298,6522,1210,382,392132,0 109,2,2024-09-07 09:30:00:931,595335,595335,0,0,25758892,0,3617 109,3,2024-09-07 09:30:01:147,1,470,8,0,379,5423,470,0 110,0,2024-09-07 09:30:01:796,116945,0.4,113844,0.5,238430,0.3,312329,1.75 110,1,2024-09-07 09:30:01:643,826784,826784,0,0,388215623504,4028053038,821122,4457,1205,369,392045,0 110,2,2024-09-07 09:30:01:304,593825,593825,0,0,24688989,0,4067 110,3,2024-09-07 09:30:00:691,1,470,1,0,722,6613,470,0 111,0,2024-09-07 09:30:01:427,117779,0.3,117044,0.5,234646,0.3,313243,1.75 111,1,2024-09-07 09:30:01:019,827694,827694,0,0,389622974807,4039401266,823455,3883,356,380,391690,0 111,2,2024-09-07 09:30:01:129,592504,592504,0,0,24550408,0,4823 111,3,2024-09-07 09:30:00:923,1,470,4,0,379,5585,470,0 112,0,2024-09-07 09:30:00:926,119184,0.3,118577,0.4,237708,0.2,315601,1.50 112,1,2024-09-07 09:30:00:834,826813,826813,0,0,388412746849,4029312448,822018,4181,614,380,391624,0 112,2,2024-09-07 09:30:01:133,594210,594209,1,0,23611343,0,5036 112,3,2024-09-07 09:30:00:593,1,470,2,0,282,4646,470,0 113,0,2024-09-07 09:30:00:899,116924,0.3,116983,0.5,234298,0.2,311848,1.75 113,1,2024-09-07 09:30:01:685,829211,829211,0,0,389862428886,4019535566,825258,3363,590,366,391661,0 113,2,2024-09-07 09:30:01:303,600109,600109,0,0,21697491,0,3813 113,3,2024-09-07 09:30:00:691,1,470,10,0,340,5092,470,0 114,0,2024-09-07 09:30:00:875,116677,0.3,117456,0.4,233458,0.2,312457,1.75 114,1,2024-09-07 09:30:00:724,827301,827301,0,0,387955980031,4028589699,820906,4779,1616,381,391565,0 114,2,2024-09-07 09:30:00:873,594581,594580,1,0,22423708,0,5069 114,3,2024-09-07 09:30:01:279,1,470,1,0,395,3878,470,0 115,0,2024-09-07 09:30:00:553,118583,0.3,119030,0.4,237547,0.2,315311,1.50 115,1,2024-09-07 09:30:00:571,827252,827252,0,0,388611520443,4037065271,820615,5369,1268,382,391757,0 115,2,2024-09-07 09:30:01:128,594951,594951,0,0,22571951,0,4382 115,3,2024-09-07 09:30:01:002,1,470,1,0,159,2605,470,0 116,0,2024-09-07 09:30:01:696,118559,0.7,118434,0.8,237600,0.6,317168,2.00 116,1,2024-09-07 09:30:00:805,823114,823114,0,0,387085280379,4077791039,813431,7281,2402,380,392089,0 116,2,2024-09-07 09:30:01:766,593830,593830,0,0,29085805,0,4128 116,3,2024-09-07 09:30:00:912,1,470,15,0,415,5581,470,0 117,0,2024-09-07 09:30:00:961,118950,0.7,118370,0.8,237249,0.8,316384,2.00 117,1,2024-09-07 09:30:01:581,824107,824107,0,0,386322304643,4042218550,815466,7645,996,369,392033,0 117,2,2024-09-07 09:30:01:118,598340,598340,0,0,25610364,0,4303 117,3,2024-09-07 09:30:01:058,1,470,8,0,490,6752,470,0 118,0,2024-09-07 09:30:01:829,112315,0.5,115332,0.7,235507,0.4,307925,2.00 118,1,2024-09-07 09:30:00:598,823534,823534,0,0,386630389177,4063622522,811267,9247,3020,366,392054,0 118,2,2024-09-07 09:30:01:586,592609,592609,0,0,27233995,0,2842 118,3,2024-09-07 09:30:01:772,1,470,25,0,248,5502,470,0 119,0,2024-09-07 09:30:01:331,119008,0.7,119245,0.8,238474,0.7,317313,2.00 119,1,2024-09-07 09:30:00:727,824270,824270,0,0,387372727343,4056050237,814045,8829,1396,367,391857,0 119,2,2024-09-07 09:30:01:265,594323,594323,0,0,25424703,0,4174 119,3,2024-09-07 09:30:01:327,1,470,1,0,1358,8902,470,0 120,0,2024-09-07 09:30:01:553,118225,0.7,118024,0.8,236952,0.7,316095,2.25 120,1,2024-09-07 09:30:00:860,824652,824652,0,0,386668971833,4055093452,815870,8008,774,368,392144,0 120,2,2024-09-07 09:30:00:777,593711,593710,1,0,30311109,0,5281 120,3,2024-09-07 09:30:01:296,1,470,1,0,279,5837,470,0 121,0,2024-09-07 09:30:01:758,118662,1.3,118193,1.1,236829,1.8,316096,2.25 121,1,2024-09-07 09:30:01:661,824966,824966,0,0,387558898000,4050390722,817340,7073,553,367,391840,0 121,2,2024-09-07 09:30:01:147,593220,593220,0,0,28394778,0,4157 121,3,2024-09-07 09:30:00:733,1,470,12,0,269,5459,470,0 122,0,2024-09-07 09:30:01:794,116086,0.8,113093,0.9,237116,0.9,311435,2.25 122,1,2024-09-07 09:30:00:864,823655,823655,0,0,387662159781,4066077872,812447,9503,1705,366,392130,0 122,2,2024-09-07 09:30:01:319,596327,596254,73,0,31519598,0,5989 122,3,2024-09-07 09:30:00:597,1,470,44,0,512,8317,470,0 123,0,2024-09-07 09:30:00:968,117552,0.8,114428,0.8,239871,0.9,314539,2.25 123,1,2024-09-07 09:30:00:573,823438,823438,0,0,386890874666,4071696370,809459,11533,2446,369,392039,0 123,2,2024-09-07 09:30:01:019,591633,591632,1,0,26615260,0,5215 123,3,2024-09-07 09:30:01:133,1,470,1,0,478,5489,470,0 124,0,2024-09-07 09:30:00:940,121371,0.3,121323,0.5,228660,0.3,314576,1.75 124,1,2024-09-07 09:30:01:033,826748,826748,0,0,387844580591,4031087945,820874,4817,1057,367,392178,0 124,2,2024-09-07 09:30:01:011,594528,594475,53,0,24395081,0,6487 124,3,2024-09-07 09:30:00:759,1,470,1,0,490,4742,470,0 125,0,2024-09-07 09:30:01:442,117981,0.4,117813,0.6,236428,0.4,314708,1.75 125,1,2024-09-07 09:30:00:858,825102,825102,0,0,387706858814,4045402822,819034,5394,674,382,391813,0 125,2,2024-09-07 09:30:01:126,595015,595015,0,0,24884777,0,4534 125,3,2024-09-07 09:30:01:128,1,470,9,0,709,5657,470,0 126,0,2024-09-07 09:30:01:425,117138,0.4,120582,0.6,230633,0.4,312028,1.75 126,1,2024-09-07 09:30:00:551,827675,827675,0,0,389381834854,4031010300,823296,4083,296,365,391987,0 126,2,2024-09-07 09:30:00:624,599032,599032,0,0,25338856,0,4539 126,3,2024-09-07 09:30:00:922,1,470,1,0,268,5462,470,0 127,0,2024-09-07 09:30:01:592,116496,0.3,116928,0.5,233119,0.3,310317,1.75 127,1,2024-09-07 09:30:00:574,826362,826362,0,0,388409364683,4040746883,818076,6907,1379,364,392187,0 127,2,2024-09-07 09:30:00:639,592215,592215,0,0,23133652,0,3897 127,3,2024-09-07 09:30:01:267,1,470,6,0,968,4883,470,0 128,0,2024-09-07 09:30:01:556,118021,0.3,118119,0.4,235917,0.2,313392,1.50 128,1,2024-09-07 09:30:01:630,825747,825747,0,0,388769388906,4033251317,820750,4563,434,367,392031,0 128,2,2024-09-07 09:30:01:383,594746,594746,0,0,22324277,0,3171 128,3,2024-09-07 09:30:00:769,1,470,9,0,1082,7157,470,0 129,0,2024-09-07 09:30:01:022,119831,0.3,119307,0.5,239006,0.3,317484,1.50 129,1,2024-09-07 09:30:00:572,823638,823638,0,0,386218125213,4045636427,815679,6284,1675,379,391962,0 129,2,2024-09-07 09:30:00:686,595753,595749,4,0,24420328,0,5335 129,3,2024-09-07 09:30:00:690,1,470,1,0,506,6887,470,0 130,0,2024-09-07 09:30:01:714,118247,0.5,117731,0.6,236713,0.5,314203,1.75 130,1,2024-09-07 09:30:00:583,826817,826817,0,0,388479567715,4035553203,822611,3867,339,381,391825,0 130,2,2024-09-07 09:30:01:128,598360,598360,0,0,24157195,0,4067 130,3,2024-09-07 09:30:01:294,1,470,103,0,960,7017,470,0 131,0,2024-09-07 09:30:01:931,115594,0.3,115989,0.5,232605,0.3,309465,1.50 131,1,2024-09-07 09:30:01:829,825953,825953,0,0,387546378583,4043649394,819879,5020,1054,381,391865,0 131,2,2024-09-07 09:30:00:567,594761,594761,0,0,22182070,0,3979 131,3,2024-09-07 09:30:01:688,1,470,225,0,392,5256,470,0 132,0,2024-09-07 09:30:01:435,118952,0.4,120045,0.6,238765,0.4,317240,1.75 132,1,2024-09-07 09:30:00:579,822411,822411,0,0,387246774375,4074602271,810670,9609,2132,381,392532,0 132,2,2024-09-07 09:30:00:699,593146,593129,17,0,29264839,0,6451 132,3,2024-09-07 09:30:01:688,1,470,1,0,804,7953,470,0 133,0,2024-09-07 09:30:01:525,116205,0.4,118993,0.6,243945,0.4,317235,1.75 133,1,2024-09-07 09:30:00:589,822354,822354,0,0,386401169905,4072491335,810865,9868,1621,383,391914,0 133,2,2024-09-07 09:30:01:096,594896,594846,50,0,30299012,0,6861 133,3,2024-09-07 09:30:01:304,1,470,9,0,479,4873,470,0 134,0,2024-09-07 09:30:00:951,119633,0.5,119366,0.7,239017,0.5,318665,2.00 134,1,2024-09-07 09:30:00:588,823792,823792,0,0,386615341198,4051522184,813609,8114,2069,366,391718,0 134,2,2024-09-07 09:30:01:770,593890,593866,24,0,28434645,0,6207 134,3,2024-09-07 09:30:00:749,1,470,3,0,739,5731,470,0 135,0,2024-09-07 09:30:01:113,112152,0.7,112126,0.8,237965,0.8,306952,2.25 135,1,2024-09-07 09:30:01:585,823933,823933,0,0,387662513880,4074282296,813576,8979,1378,380,391805,0 135,2,2024-09-07 09:30:00:689,594646,594646,0,0,27663758,0,3981 135,3,2024-09-07 09:30:01:002,1,470,0,0,299,4044,470,0 136,0,2024-09-07 09:30:01:637,119708,0.5,119945,0.7,238854,0.5,318236,2.00 136,1,2024-09-07 09:30:01:460,824874,824874,0,0,386219682747,4048838606,815538,8198,1138,381,391685,0 136,2,2024-09-07 09:30:01:133,595121,595121,0,0,26475667,0,3506 136,3,2024-09-07 09:30:01:106,1,470,3,0,637,5423,470,0 137,0,2024-09-07 09:30:00:929,122303,0.6,119150,0.7,233620,0.6,317242,2.00 137,1,2024-09-07 09:30:00:575,823088,823088,0,0,386419984831,4053878363,810177,10243,2668,366,391898,0 137,2,2024-09-07 09:30:01:706,592938,592938,0,0,29677513,0,3185 137,3,2024-09-07 09:30:00:777,1,470,8,0,484,6066,470,0 138,0,2024-09-07 09:30:01:741,117447,0.9,117446,0.9,235830,1.2,313024,2.25 138,1,2024-09-07 09:30:01:687,824344,824344,0,0,387465776814,4062797987,813041,9483,1820,368,391954,0 138,2,2024-09-07 09:30:00:591,592627,592627,0,0,27436674,0,4988 138,3,2024-09-07 09:30:00:617,1,470,2,0,1200,7474,470,0 139,0,2024-09-07 09:30:01:362,115477,1.4,115683,1.1,231570,2.1,309727,2.50 139,1,2024-09-07 09:30:00:573,820120,820120,0,0,384955741337,4088235605,804563,12110,3447,380,392058,0 139,2,2024-09-07 09:30:00:698,593341,593341,0,0,30591598,0,3097 139,3,2024-09-07 09:30:01:663,1,470,23,0,432,5556,470,0 140,0,2024-09-07 09:30:01:599,117710,0.3,116870,0.5,234627,0.2,312606,1.75 140,1,2024-09-07 09:30:01:537,828870,828870,0,0,390218313761,4021944245,824864,3545,461,365,391606,0 140,2,2024-09-07 09:30:00:687,594726,594725,1,0,23142529,0,5036 140,3,2024-09-07 09:30:00:769,1,470,1,0,297,4086,470,0 141,0,2024-09-07 09:30:01:753,117651,0.3,120745,0.4,230566,0.2,312903,1.50 141,1,2024-09-07 09:30:00:865,827479,827479,0,0,388361698421,4036110041,820713,5681,1085,379,391614,0 141,2,2024-09-07 09:30:01:756,593666,593666,0,0,23635904,0,3360 141,3,2024-09-07 09:30:01:043,1,470,71,0,391,5239,470,0 142,0,2024-09-07 09:30:01:339,119188,0.3,118493,0.5,237153,0.3,316226,1.75 142,1,2024-09-07 09:30:00:618,825832,825832,0,0,387827417598,4040854938,820763,4620,449,382,392102,0 142,2,2024-09-07 09:30:01:304,593868,593836,32,0,25360300,0,6028 142,3,2024-09-07 09:30:01:782,1,470,8,0,484,5145,470,0 143,0,2024-09-07 09:30:01:380,116944,0.4,117076,0.6,234510,0.4,311895,1.75 143,1,2024-09-07 09:30:00:561,827376,827376,0,0,388854576441,4035107048,821723,5104,549,367,391705,0 143,2,2024-09-07 09:30:00:770,598932,598932,0,0,24546355,0,3123 143,3,2024-09-07 09:30:01:146,1,470,14,0,462,6147,470,0 144,0,2024-09-07 09:30:01:491,112814,0.6,115946,0.8,236393,0.5,309958,2.00 144,1,2024-09-07 09:30:00:588,823492,823492,0,0,386419861953,4054447993,815094,6500,1898,381,391733,0 144,2,2024-09-07 09:30:01:766,594033,594033,0,0,22600849,0,3673 144,3,2024-09-07 09:30:01:764,1,470,5,0,249,4559,470,0 145,0,2024-09-07 09:30:01:367,114361,0.6,114385,0.8,242594,0.5,312192,2.25 145,1,2024-09-07 09:30:00:556,822578,822578,0,0,386257554952,4062778956,811819,8891,1868,382,391759,0 145,2,2024-09-07 09:30:01:429,590802,590721,81,0,28156738,0,7814 145,3,2024-09-07 09:30:00:914,1,470,8,0,622,6481,470,0 146,0,2024-09-07 09:30:01:600,118798,0.6,118363,0.7,238024,0.6,315635,2.25 146,1,2024-09-07 09:30:01:585,824104,824104,0,0,386759772920,4066824978,810820,9755,3529,367,391770,0 146,2,2024-09-07 09:30:01:710,593358,593358,0,0,27220637,0,3290 146,3,2024-09-07 09:30:01:279,1,470,1,0,1520,8251,470,0 147,0,2024-09-07 09:30:01:694,118817,0.6,118656,0.8,236548,0.6,315787,2.25 147,1,2024-09-07 09:30:01:374,827019,827019,0,0,388802905324,4041530925,820146,6024,849,368,391791,0 147,2,2024-09-07 09:30:01:011,595949,595949,0,0,24579278,0,2968 147,3,2024-09-07 09:30:00:913,1,470,20,0,1626,8057,470,0 0,0,2024-09-07 09:30:11:822,115733,0.6,115783,0.7,245593,0.6,317388,2.00 0,1,2024-09-07 09:30:10:802,825612,825612,0,0,388348350155,4066765532,818745,6200,667,369,391896,0 0,2,2024-09-07 09:30:11:067,597255,597255,0,0,24185073,0,4480 0,3,2024-09-07 09:30:10:975,1,471,67,0,431,6847,471,0 1,0,2024-09-07 09:30:11:776,118813,1.1,118260,1.0,236910,1.4,317114,2.25 1,1,2024-09-07 09:30:10:582,825819,825819,0,0,387616962187,4055913141,818847,5641,1331,370,391859,0 1,2,2024-09-07 09:30:10:648,595805,595805,0,0,23820457,0,3380 1,3,2024-09-07 09:30:11:304,1,471,8,0,269,5969,471,0 2,0,2024-09-07 09:30:11:589,116637,0.6,116600,0.7,232913,0.6,310481,2.00 2,1,2024-09-07 09:30:10:863,828557,828557,0,0,389354414726,4039507639,825182,3080,295,380,391745,0 2,2,2024-09-07 09:30:11:268,597810,597810,0,0,22362151,0,3594 2,3,2024-09-07 09:30:10:690,1,471,1,0,357,4305,471,0 3,0,2024-09-07 09:30:11:743,118547,0.4,118378,0.6,236655,0.3,315324,2.00 3,1,2024-09-07 09:30:11:618,826984,826984,0,0,388677675514,4046242358,820326,5963,695,379,391716,0 3,2,2024-09-07 09:30:11:141,595626,595603,23,0,23569421,0,5851 3,3,2024-09-07 09:30:11:761,1,471,1,0,207,2995,471,0 4,0,2024-09-07 09:30:11:832,114620,0.4,117819,0.5,240177,0.3,314332,1.75 4,1,2024-09-07 09:30:10:620,823599,823599,0,0,387415203017,4099133532,809487,10864,3248,370,391992,0 4,2,2024-09-07 09:30:11:019,593131,593131,0,0,27652340,0,4528 4,3,2024-09-07 09:30:11:032,1,471,1,0,448,6295,471,0 5,0,2024-09-07 09:30:11:370,117990,0.4,118600,0.6,236630,0.4,314476,1.75 5,1,2024-09-07 09:30:10:768,825298,825298,0,0,388337964292,4087583053,813530,9404,2364,367,392005,0 5,2,2024-09-07 09:30:11:834,591825,591825,0,0,27828301,0,3582 5,3,2024-09-07 09:30:11:738,1,471,276,0,457,6713,471,0 6,0,2024-09-07 09:30:10:919,117468,0.4,117017,0.6,233980,0.4,312220,1.75 6,1,2024-09-07 09:30:10:759,827469,827469,0,0,387673096550,4049018443,818887,7156,1426,379,391702,0 6,2,2024-09-07 09:30:11:116,598931,598913,18,0,26851966,0,5535 6,3,2024-09-07 09:30:11:275,1,471,0,0,710,5968,471,0 7,0,2024-09-07 09:30:11:531,116138,0.5,117001,0.6,232866,0.4,310099,2.00 7,1,2024-09-07 09:30:10:855,825441,825441,0,0,387439673449,4066753638,814222,9235,1984,382,391747,0 7,2,2024-09-07 09:30:10:771,594647,594647,0,0,25972563,0,4791 7,3,2024-09-07 09:30:10:853,1,471,4,0,552,5585,471,0 8,0,2024-09-07 09:30:11:354,117796,0.3,117516,0.5,235749,0.3,313733,1.75 8,1,2024-09-07 09:30:11:017,824780,824780,0,0,387248033941,4070555148,812169,9832,2779,366,392853,0 8,2,2024-09-07 09:30:10:806,589819,589819,0,0,30948424,0,3250 8,3,2024-09-07 09:30:10:598,1,471,9,0,538,7498,471,0 9,0,2024-09-07 09:30:11:110,118984,0.4,115746,0.5,242272,0.3,317280,1.75 9,1,2024-09-07 09:30:10:590,823789,823789,0,0,386995162089,4076530255,811458,9863,2468,369,392001,0 9,2,2024-09-07 09:30:11:099,594367,594367,0,0,28307108,0,4615 9,3,2024-09-07 09:30:11:762,1,471,18,0,496,6670,471,0 10,0,2024-09-07 09:30:11:603,117483,0.3,117047,0.5,234994,0.3,312564,1.75 10,1,2024-09-07 09:30:10:583,826285,826285,0,0,387308473662,4059621741,814882,9463,1940,381,391741,0 10,2,2024-09-07 09:30:10:767,598445,598445,0,0,30771186,0,4264 10,3,2024-09-07 09:30:10:871,1,471,1,0,649,5034,471,0 11,0,2024-09-07 09:30:11:008,115985,0.4,112489,0.6,235281,0.4,310690,1.75 11,1,2024-09-07 09:30:10:571,826461,826461,0,0,388141366123,4073086249,813712,9630,3119,383,391756,0 11,2,2024-09-07 09:30:11:123,593338,593338,0,0,26764908,0,4130 11,3,2024-09-07 09:30:11:303,1,471,98,0,843,6574,471,0 12,0,2024-09-07 09:30:11:011,119795,0.4,119765,0.5,239454,0.3,317849,1.75 12,1,2024-09-07 09:30:10:964,826298,826298,0,0,387905067707,4047274475,819519,6122,657,370,391960,0 12,2,2024-09-07 09:30:11:541,594002,594002,0,0,26609678,0,4390 12,3,2024-09-07 09:30:11:069,1,471,0,0,386,6619,471,0 13,0,2024-09-07 09:30:11:359,120367,0.4,119918,0.6,239230,0.4,318778,1.75 13,1,2024-09-07 09:30:11:524,824046,824046,0,0,387849397641,4075945406,815543,6706,1797,382,391740,0 13,2,2024-09-07 09:30:10:608,597873,597873,0,0,24209638,0,3287 13,3,2024-09-07 09:30:11:823,1,471,31,0,522,6714,471,0 14,0,2024-09-07 09:30:10:562,119413,0.4,120384,0.6,238434,0.4,317890,1.75 14,1,2024-09-07 09:30:11:560,830476,830476,0,0,390320811043,4040673675,823961,5802,713,364,391673,0 14,2,2024-09-07 09:30:10:770,596983,596953,30,0,25619431,0,6104 14,3,2024-09-07 09:30:11:114,1,471,0,0,1168,4975,471,0 15,0,2024-09-07 09:30:11:552,115856,0.4,115655,0.6,231978,0.4,308994,2.00 15,1,2024-09-07 09:30:11:612,827239,827239,0,0,389010517140,4052015976,820292,5492,1455,381,391619,0 15,2,2024-09-07 09:30:10:998,597072,597072,0,0,21411768,0,3622 15,3,2024-09-07 09:30:11:414,1,471,54,0,1126,7171,471,0 16,0,2024-09-07 09:30:10:950,119300,0.5,120030,0.7,239074,0.5,317908,2.00 16,1,2024-09-07 09:30:10:683,827029,827029,0,0,388295414214,4057685511,819944,5956,1129,370,391917,0 16,2,2024-09-07 09:30:11:448,594986,594986,0,0,25295347,0,4719 16,3,2024-09-07 09:30:11:142,1,471,1,0,358,5951,471,0 17,0,2024-09-07 09:30:11:874,122430,0.6,119502,0.7,233899,0.6,317658,2.00 17,1,2024-09-07 09:30:10:585,825552,825552,0,0,387371260298,4065356212,817062,6774,1716,368,392075,0 17,2,2024-09-07 09:30:11:677,598172,598171,1,0,26040708,0,5050 17,3,2024-09-07 09:30:10:575,1,471,7,0,518,7362,471,0 18,0,2024-09-07 09:30:10:963,117032,0.7,117559,0.8,234518,0.7,313128,2.25 18,1,2024-09-07 09:30:11:644,829401,829401,0,0,389650402375,4035786383,825075,3888,438,367,391725,0 18,2,2024-09-07 09:30:11:760,597461,597461,0,0,22570945,0,3541 18,3,2024-09-07 09:30:10:899,1,471,33,0,1059,4497,471,0 19,0,2024-09-07 09:30:11:542,116524,0.6,116826,0.8,232103,0.6,309514,2.25 19,1,2024-09-07 09:30:10:584,829375,829375,0,0,390023288707,4044366633,822830,5463,1082,367,391777,0 19,2,2024-09-07 09:30:11:761,600487,600487,0,0,21364115,0,3988 19,3,2024-09-07 09:30:11:129,1,471,1,0,524,3926,471,0 20,0,2024-09-07 09:30:11:361,117326,0.5,117408,0.6,234471,0.4,312746,2.00 20,1,2024-09-07 09:30:10:579,826129,826129,0,0,388890529153,4070420094,818132,6699,1298,369,391922,0 20,2,2024-09-07 09:30:10:934,594305,594305,0,0,25411940,0,3721 20,3,2024-09-07 09:30:10:590,1,471,9,0,468,7741,471,0 21,0,2024-09-07 09:30:11:134,117670,0.4,117712,0.6,235443,0.4,312763,1.75 21,1,2024-09-07 09:30:11:536,823747,823747,0,0,387168291815,4084848119,810925,9847,2975,368,392016,0 21,2,2024-09-07 09:30:11:076,591665,591645,20,0,30890297,0,5617 21,3,2024-09-07 09:30:11:406,1,471,9,0,713,6227,471,0 22,0,2024-09-07 09:30:11:723,118492,0.5,118955,0.7,237535,0.4,314811,2.00 22,1,2024-09-07 09:30:11:026,825487,825487,0,0,387351705440,4075418419,812202,10341,2944,382,391675,0 22,2,2024-09-07 09:30:10:770,594007,593981,26,0,25630631,0,6328 22,3,2024-09-07 09:30:11:070,1,471,8,0,228,3739,471,0 23,0,2024-09-07 09:30:11:367,116864,0.5,116342,0.7,232985,0.5,310877,2.25 23,1,2024-09-07 09:30:11:012,826624,826624,0,0,388735218709,4079591356,812403,10008,4213,365,391690,0 23,2,2024-09-07 09:30:11:092,601094,601094,0,0,24194970,0,3773 23,3,2024-09-07 09:30:11:760,1,471,2,0,720,5904,471,0 24,0,2024-09-07 09:30:10:863,117693,0.4,116838,0.5,234870,0.4,312199,1.75 24,1,2024-09-07 09:30:10:584,825257,825257,0,0,386780261924,4051946592,816761,6835,1661,367,392269,0 24,2,2024-09-07 09:30:11:069,594048,594048,0,0,28780467,0,3607 24,3,2024-09-07 09:30:11:693,1,471,9,0,468,6321,471,0 25,0,2024-09-07 09:30:11:343,121556,0.4,118495,0.6,232369,0.4,316168,1.75 25,1,2024-09-07 09:30:10:558,824535,824535,0,0,387305498345,4084523166,810855,10975,2705,371,391928,0 25,2,2024-09-07 09:30:11:615,592358,592358,0,0,30249252,0,3978 25,3,2024-09-07 09:30:11:016,1,471,13,0,532,5248,471,0 26,0,2024-09-07 09:30:11:723,118697,0.4,116151,0.6,243564,0.4,316722,1.75 26,1,2024-09-07 09:30:11:541,827332,827332,0,0,387391244363,4060571774,815017,9902,2413,380,391748,0 26,2,2024-09-07 09:30:10:863,595916,595916,0,0,31611523,0,4689 26,3,2024-09-07 09:30:11:715,1,471,1,0,796,5358,471,0 27,0,2024-09-07 09:30:11:732,118833,0.5,119086,0.6,236835,0.4,315568,2.25 27,1,2024-09-07 09:30:11:680,828409,828409,0,0,389519109386,4058798973,820163,6984,1262,381,391626,0 27,2,2024-09-07 09:30:10:868,595303,595238,65,0,27615692,0,5699 27,3,2024-09-07 09:30:11:016,1,471,8,0,564,4269,471,0 28,0,2024-09-07 09:30:11:390,116465,0.4,115866,0.6,232723,0.3,310166,2.00 28,1,2024-09-07 09:30:10:802,827853,827853,0,0,389083347259,4059209303,820379,5774,1700,382,391698,0 28,2,2024-09-07 09:30:11:771,594835,594835,0,0,24245677,0,2915 28,3,2024-09-07 09:30:11:781,1,471,1,0,502,4839,471,0 29,0,2024-09-07 09:30:11:355,122625,0.4,119509,0.6,234281,0.3,319292,1.75 29,1,2024-09-07 09:30:11:561,830317,830317,0,0,390406634039,4039151134,825100,4458,759,368,391809,0 29,2,2024-09-07 09:30:10:863,594461,594461,0,0,23596095,0,4986 29,3,2024-09-07 09:30:10:964,1,471,8,0,459,5398,471,0 30,0,2024-09-07 09:30:11:463,118830,0.5,115487,0.7,241671,0.5,316297,2.00 30,1,2024-09-07 09:30:10:571,829045,829045,0,0,389910305860,4048519603,822465,5731,849,380,391672,0 30,2,2024-09-07 09:30:11:276,596627,596627,0,0,23163506,0,4192 30,3,2024-09-07 09:30:10:581,1,471,23,0,519,4776,471,0 31,0,2024-09-07 09:30:11:761,118518,0.5,118984,0.7,237580,0.4,317255,2.00 31,1,2024-09-07 09:30:10:570,832433,832433,0,0,391041428329,4009926429,828541,3155,737,356,391712,0 31,2,2024-09-07 09:30:11:275,594642,594642,0,0,25269110,0,4470 31,3,2024-09-07 09:30:11:706,1,471,31,0,220,4030,471,0 32,0,2024-09-07 09:30:11:421,116987,0.3,117547,0.5,234283,0.3,312202,1.75 32,1,2024-09-07 09:30:10:805,828454,828454,0,0,389248370089,4045995118,823293,4479,682,381,391646,0 32,2,2024-09-07 09:30:10:939,598025,598025,0,0,22196874,0,3922 32,3,2024-09-07 09:30:11:015,1,471,0,0,304,3723,471,0 33,0,2024-09-07 09:30:11:499,119060,0.3,118684,0.4,237428,0.2,317043,1.50 33,1,2024-09-07 09:30:10:582,829383,829383,0,0,390090161940,4041831491,822705,5573,1105,368,391730,0 33,2,2024-09-07 09:30:10:770,595936,595901,35,0,24482678,0,7012 33,3,2024-09-07 09:30:10:897,1,471,8,0,329,4537,471,0 34,0,2024-09-07 09:30:10:938,118470,0.3,121710,0.4,232719,0.2,313566,1.75 34,1,2024-09-07 09:30:11:044,831217,831217,0,0,391187006903,4020437158,829225,1974,18,367,391562,0 34,2,2024-09-07 09:30:10:778,596824,596824,0,0,22800379,0,4562 34,3,2024-09-07 09:30:11:692,1,471,3,0,320,3772,471,0 35,0,2024-09-07 09:30:10:861,117396,0.3,118033,0.5,237119,0.3,315267,1.75 35,1,2024-09-07 09:30:11:067,828400,828400,0,0,389805148836,4039983806,823306,4118,976,382,391769,0 35,2,2024-09-07 09:30:11:583,594577,594577,0,0,24949400,0,4055 35,3,2024-09-07 09:30:10:910,1,471,1,0,418,4625,471,0 36,0,2024-09-07 09:30:11:545,117602,0.4,117503,0.6,234944,0.4,312602,2.00 36,1,2024-09-07 09:30:10:583,828133,828133,0,0,388419269393,4054866357,817834,8290,2009,366,391759,0 36,2,2024-09-07 09:30:11:757,599566,599566,0,0,26041503,0,3875 36,3,2024-09-07 09:30:10:863,1,471,1,0,416,6440,471,0 37,0,2024-09-07 09:30:11:368,116325,0.5,116476,0.6,232914,0.4,310653,2.00 37,1,2024-09-07 09:30:10:570,826757,826750,0,7,388459403617,4057411404,816496,7699,2555,365,391770,0 37,2,2024-09-07 09:30:11:141,592864,592849,15,0,26107097,0,5815 37,3,2024-09-07 09:30:11:790,1,471,16,0,888,6865,471,0 38,0,2024-09-07 09:30:11:436,117007,0.4,113519,0.6,237576,0.4,311015,2.00 38,1,2024-09-07 09:30:11:612,827589,827589,0,0,389430609770,4071574506,815229,9879,2481,368,391821,0 38,2,2024-09-07 09:30:10:770,593964,593917,47,0,25809502,0,6710 38,3,2024-09-07 09:30:10:998,1,471,1,0,689,5916,471,0 39,0,2024-09-07 09:30:11:766,121825,0.6,119100,0.7,232184,0.5,316452,2.00 39,1,2024-09-07 09:30:10:724,826656,826656,0,0,387372562921,4063499221,812632,10833,3191,365,391658,0 39,2,2024-09-07 09:30:11:428,596037,596037,0,0,24570621,0,3391 39,3,2024-09-07 09:30:10:716,1,471,8,0,324,5092,471,0 40,0,2024-09-07 09:30:11:489,116350,0.8,117069,0.9,233445,0.8,311049,2.75 40,1,2024-09-07 09:30:10:576,827590,827590,0,0,387850300156,4060275548,815918,9504,2168,368,391668,0 40,2,2024-09-07 09:30:11:302,597543,597542,1,0,29439420,0,5137 40,3,2024-09-07 09:30:11:141,1,471,1,0,1028,6246,471,0 41,0,2024-09-07 09:30:11:024,115585,1.2,118183,1.1,225317,1.8,307336,3.00 41,1,2024-09-07 09:30:10:770,825941,825941,0,0,388482291215,4066978779,815110,9123,1708,369,391742,0 41,2,2024-09-07 09:30:10:765,592284,592284,0,0,27673137,0,4277 41,3,2024-09-07 09:30:11:676,1,471,14,0,366,4893,471,0 42,0,2024-09-07 09:30:11:486,118246,0.8,118000,1.0,236356,0.9,312636,2.75 42,1,2024-09-07 09:30:11:449,823849,823849,0,0,387446756731,4076773968,809652,11352,2845,380,391675,0 42,2,2024-09-07 09:30:11:132,593592,593592,0,0,27640538,0,3790 42,3,2024-09-07 09:30:11:009,1,471,1,0,892,4463,471,0 43,0,2024-09-07 09:30:10:924,118284,0.7,115249,0.9,241000,0.7,315911,2.25 43,1,2024-09-07 09:30:10:583,827324,827324,0,0,388520496328,4061204445,815413,9944,1967,366,391696,0 43,2,2024-09-07 09:30:11:756,596609,596609,0,0,27416803,0,3812 43,3,2024-09-07 09:30:11:759,1,471,1,0,571,6763,471,0 44,0,2024-09-07 09:30:10:863,119575,0.4,119610,0.6,239791,0.4,318477,2.00 44,1,2024-09-07 09:30:10:582,828863,828863,0,0,389477702327,4026682773,821489,5825,1549,356,391809,0 44,2,2024-09-07 09:30:11:276,595182,595182,0,0,23023877,0,4344 44,3,2024-09-07 09:30:11:095,1,471,59,0,817,5365,471,0 45,0,2024-09-07 09:30:11:765,114682,0.5,112002,0.7,235089,0.4,309148,2.00 45,1,2024-09-07 09:30:11:008,828113,828113,0,0,389770348282,4053447638,820948,6299,866,382,391917,0 45,2,2024-09-07 09:30:11:276,596681,596681,0,0,22885282,0,3596 45,3,2024-09-07 09:30:10:936,1,471,8,0,271,4024,471,0 46,0,2024-09-07 09:30:10:948,118904,0.5,118350,0.7,237560,0.5,315190,2.00 46,1,2024-09-07 09:30:10:575,830368,830368,0,0,389673372854,4026195265,824805,4833,730,366,391709,0 46,2,2024-09-07 09:30:10:594,595400,595400,0,0,23238315,0,4443 46,3,2024-09-07 09:30:11:131,1,471,60,0,908,5698,471,0 47,0,2024-09-07 09:30:11:126,119007,0.4,119331,0.6,238845,0.4,316692,2.00 47,1,2024-09-07 09:30:10:586,830764,830764,0,0,389792394401,4027392567,825735,4190,839,366,391641,0 47,2,2024-09-07 09:30:10:914,598849,598849,0,0,23231251,0,4477 47,3,2024-09-07 09:30:11:116,1,471,15,0,600,5520,471,0 48,0,2024-09-07 09:30:11:491,118713,0.3,118729,0.4,236322,0.2,315033,1.50 48,1,2024-09-07 09:30:11:021,828887,828887,0,0,389403609810,4042934157,824104,4326,457,384,391710,0 48,2,2024-09-07 09:30:10:699,595420,595420,0,0,21599478,0,3411 48,3,2024-09-07 09:30:10:755,1,471,1,0,339,3704,471,0 49,0,2024-09-07 09:30:11:732,119829,0.3,117755,0.5,228600,0.3,313213,1.75 49,1,2024-09-07 09:30:11:021,828058,828058,0,0,388936613458,4043784926,822816,4035,1207,382,391809,0 49,2,2024-09-07 09:30:11:803,599011,599011,0,0,23577490,0,4426 49,3,2024-09-07 09:30:11:421,1,471,11,0,992,5490,471,0 50,0,2024-09-07 09:30:11:508,118138,0.3,116504,0.4,234687,0.2,312589,1.75 50,1,2024-09-07 09:30:11:010,831069,831069,0,0,390770418046,4038511852,825990,4504,575,368,391565,0 50,2,2024-09-07 09:30:11:071,595222,595222,0,0,21476854,0,4490 50,3,2024-09-07 09:30:11:293,1,471,24,0,617,5214,471,0 51,0,2024-09-07 09:30:11:684,120652,0.3,118224,0.4,230351,0.2,314281,1.75 51,1,2024-09-07 09:30:11:684,831556,831556,0,0,391356986173,4035408789,827138,3366,1052,365,391706,0 51,2,2024-09-07 09:30:11:318,595400,595400,0,0,20450649,0,3337 51,3,2024-09-07 09:30:11:028,1,471,1,0,678,3662,471,0 52,0,2024-09-07 09:30:11:419,118964,0.5,118743,0.7,237776,0.5,315768,2.00 52,1,2024-09-07 09:30:10:582,827161,827161,0,0,388428800975,4063035428,815910,9807,1444,368,391722,0 52,2,2024-09-07 09:30:11:761,592335,592297,38,0,27649691,0,6742 52,3,2024-09-07 09:30:10:675,1,471,1,0,1782,6068,471,0 53,0,2024-09-07 09:30:11:737,116476,0.6,112976,0.8,236444,0.7,310790,2.25 53,1,2024-09-07 09:30:10:771,825313,825313,0,0,388399877984,4075427965,811674,10049,3590,367,391968,0 53,2,2024-09-07 09:30:11:298,599683,599682,1,0,25038108,0,5455 53,3,2024-09-07 09:30:10:702,1,471,8,0,308,4673,471,0 54,0,2024-09-07 09:30:11:614,115378,0.5,115666,0.7,230344,0.4,308365,2.25 54,1,2024-09-07 09:30:10:585,827235,827235,0,0,389233636641,4045906165,818628,7211,1396,366,391659,0 54,2,2024-09-07 09:30:10:865,594889,594857,32,0,28051669,0,6397 54,3,2024-09-07 09:30:10:766,1,471,3,0,676,6531,471,0 55,0,2024-09-07 09:30:11:766,114294,0.6,117903,0.7,238840,0.5,310744,2.25 55,1,2024-09-07 09:30:10:766,828430,828430,0,0,389165351633,4044792254,819854,7369,1207,365,391731,0 55,2,2024-09-07 09:30:10:730,593682,593626,56,0,27248484,0,7239 55,3,2024-09-07 09:30:10:674,1,471,0,0,304,4493,471,0 56,0,2024-09-07 09:30:11:558,121578,1.2,114597,1.1,236228,1.6,316021,2.75 56,1,2024-09-07 09:30:10:581,824104,824104,0,0,387489290618,4089529841,811856,9696,2552,381,391867,0 56,2,2024-09-07 09:30:11:304,595515,595393,122,0,28589945,0,7432 56,3,2024-09-07 09:30:11:059,1,471,1,0,705,5688,471,0 57,0,2024-09-07 09:30:10:953,116943,1.5,116799,1.3,234204,2.1,312713,3.00 57,1,2024-09-07 09:30:10:988,825691,825691,0,0,387955444802,4069653242,814906,8720,2065,366,392032,0 57,2,2024-09-07 09:30:11:317,597585,597585,0,0,29220398,0,4317 57,3,2024-09-07 09:30:11:741,1,471,8,0,455,5393,471,0 58,0,2024-09-07 09:30:10:559,114469,0.9,111216,1.0,232549,1.1,305149,2.50 58,1,2024-09-07 09:30:10:575,826412,826409,0,3,388392279963,4068904892,814137,9504,2768,367,391603,3 58,2,2024-09-07 09:30:11:071,593985,593985,0,0,27067439,0,3483 58,3,2024-09-07 09:30:11:084,1,471,2,0,1043,5009,471,0 59,0,2024-09-07 09:30:11:763,118916,0.9,118435,1.0,236472,1.0,313585,2.75 59,1,2024-09-07 09:30:10:819,826281,826281,0,0,388639871126,4074709181,814045,9999,2237,369,391653,0 59,2,2024-09-07 09:30:10:584,593960,593960,0,0,26780076,0,3727 59,3,2024-09-07 09:30:11:747,1,471,3,0,1015,6280,471,0 60,0,2024-09-07 09:30:11:752,119060,0.5,119194,0.7,238681,0.5,317183,1.75 60,1,2024-09-07 09:30:10:794,829379,829379,0,0,389892389404,4047401776,823538,4912,929,370,392031,0 60,2,2024-09-07 09:30:11:144,596601,596601,0,0,25237838,0,3811 60,3,2024-09-07 09:30:11:276,1,471,1,0,409,5940,471,0 61,0,2024-09-07 09:30:11:498,118624,0.7,119295,0.8,237519,0.7,317008,2.00 61,1,2024-09-07 09:30:10:782,826438,826438,0,0,388474076496,4070732535,816968,7894,1576,382,392127,0 61,2,2024-09-07 09:30:11:129,595654,595587,67,0,25861300,0,6411 61,3,2024-09-07 09:30:11:691,1,471,7,0,479,6325,471,0 62,0,2024-09-07 09:30:11:714,117344,0.6,120293,0.7,229700,0.6,312023,2.00 62,1,2024-09-07 09:30:11:110,831847,831841,0,6,390992633343,4030230363,827914,3664,263,365,391975,6 62,2,2024-09-07 09:30:11:644,595176,595175,1,0,25238307,0,5555 62,3,2024-09-07 09:30:11:143,1,471,1,0,482,3921,471,0 63,0,2024-09-07 09:30:11:459,119101,0.4,118816,0.6,238373,0.3,317427,1.75 63,1,2024-09-07 09:30:10:809,829104,829098,0,6,389524138901,4043532770,823750,4614,734,381,391800,6 63,2,2024-09-07 09:30:10:766,595207,595207,0,0,23619343,0,4369 63,3,2024-09-07 09:30:11:736,1,471,10,0,667,5071,471,0 64,0,2024-09-07 09:30:11:557,117733,0.5,117796,0.6,235122,0.4,312805,2.00 64,1,2024-09-07 09:30:10:756,828597,828597,0,0,388729674897,4048551015,821375,5509,1713,370,391783,0 64,2,2024-09-07 09:30:11:155,599398,599379,19,0,23080348,0,6121 64,3,2024-09-07 09:30:11:139,1,471,1,0,265,4357,471,0 65,0,2024-09-07 09:30:11:680,117197,0.7,117551,0.8,234680,0.7,312558,2.00 65,1,2024-09-07 09:30:10:863,826692,826692,0,0,388332913055,4055921159,821401,4750,541,381,391901,0 65,2,2024-09-07 09:30:11:702,594702,594702,0,0,27294410,0,3367 65,3,2024-09-07 09:30:11:682,1,471,12,0,782,5767,471,0 66,0,2024-09-07 09:30:11:772,117080,0.5,116819,0.7,233532,0.5,311027,2.25 66,1,2024-09-07 09:30:11:294,828604,828604,0,0,389117203340,4049559283,823097,4981,526,380,391743,0 66,2,2024-09-07 09:30:11:132,600792,600789,3,0,25002963,0,5455 66,3,2024-09-07 09:30:11:080,1,471,1,0,291,4131,471,0 67,0,2024-09-07 09:30:11:435,116852,0.5,116534,0.6,234087,0.4,311278,2.00 67,1,2024-09-07 09:30:10:770,828295,828294,0,1,388426493789,4043905198,822645,4855,794,380,391787,1 67,2,2024-09-07 09:30:10:584,596630,596615,15,0,23463887,0,6205 67,3,2024-09-07 09:30:11:756,1,471,1,0,392,4534,471,0 68,0,2024-09-07 09:30:10:562,117956,0.5,117818,0.7,234651,0.5,313395,2.00 68,1,2024-09-07 09:30:10:571,825068,825068,0,0,387008334260,4070547487,813724,8008,3336,381,391953,0 68,2,2024-09-07 09:30:11:048,591362,591262,100,0,30099324,0,8578 68,3,2024-09-07 09:30:10:741,1,471,1,0,417,5627,471,0 69,0,2024-09-07 09:30:11:729,118298,0.7,119085,0.8,237360,0.8,314778,2.25 69,1,2024-09-07 09:30:11:018,824931,824931,0,0,387457134622,4080501288,814441,8215,2275,384,391994,0 69,2,2024-09-07 09:30:11:752,594621,594592,29,0,32096817,0,6912 69,3,2024-09-07 09:30:10:770,1,471,12,0,698,7163,471,0 70,0,2024-09-07 09:30:11:538,116265,0.9,116689,1.0,234691,0.8,310458,2.50 70,1,2024-09-07 09:30:10:801,828896,828896,0,0,389890455981,4044672357,822406,5789,701,366,391725,0 70,2,2024-09-07 09:30:11:328,598769,598769,0,0,26947102,0,4323 70,3,2024-09-07 09:30:10:758,1,471,6,0,854,5371,471,0 71,0,2024-09-07 09:30:11:378,114913,0.8,114857,1.0,230601,1.0,308343,2.50 71,1,2024-09-07 09:30:11:596,827106,827106,0,0,388902754124,4056416853,817471,8554,1081,368,391738,0 71,2,2024-09-07 09:30:11:071,593283,593283,0,0,26682340,0,4352 71,3,2024-09-07 09:30:11:755,1,471,0,0,644,5771,471,0 72,0,2024-09-07 09:30:11:025,122831,0.6,120108,0.7,234161,0.5,318016,2.00 72,1,2024-09-07 09:30:11:021,825739,825739,0,0,387993360364,4070165253,813784,9872,2083,369,391819,0 72,2,2024-09-07 09:30:11:761,593232,593232,0,0,29131279,0,3983 72,3,2024-09-07 09:30:11:766,1,471,11,0,564,6791,471,0 73,0,2024-09-07 09:30:11:121,116350,0.4,119381,0.6,243873,0.4,316796,2.00 73,1,2024-09-07 09:30:10:793,827864,827864,0,0,388952588010,4039907729,821930,5512,422,367,391858,0 73,2,2024-09-07 09:30:11:741,595964,595964,0,0,29288761,0,4291 73,3,2024-09-07 09:30:10:976,1,471,8,0,486,6027,471,0 74,0,2024-09-07 09:30:11:338,120358,0.5,123155,0.7,234749,0.5,318857,2.25 74,1,2024-09-07 09:30:10:636,827141,827141,0,0,388548268947,4056227543,818059,7316,1766,381,391762,0 74,2,2024-09-07 09:30:11:010,596152,596152,0,0,25991998,0,4253 74,3,2024-09-07 09:30:11:456,1,471,1,0,522,5798,471,0 75,0,2024-09-07 09:30:11:773,116555,0.5,115804,0.7,232377,0.5,310651,2.25 75,1,2024-09-07 09:30:11:584,827661,827661,0,0,388773800723,4054350348,820190,6629,842,380,391739,0 75,2,2024-09-07 09:30:11:357,594557,594557,0,0,29544469,0,4766 75,3,2024-09-07 09:30:11:076,1,471,1,0,702,5858,471,0 76,0,2024-09-07 09:30:10:594,118716,0.6,118086,0.7,236925,0.5,316594,2.25 76,1,2024-09-07 09:30:10:811,826886,826886,0,0,388234879856,4048942405,821191,4867,828,382,391692,0 76,2,2024-09-07 09:30:11:061,597314,597311,3,0,25981958,0,5265 76,3,2024-09-07 09:30:11:141,1,471,1,0,227,4571,471,0 77,0,2024-09-07 09:30:11:726,118418,0.5,118780,0.7,237959,0.5,315655,2.00 77,1,2024-09-07 09:30:10:855,828118,828118,0,0,388658028322,4054430731,821929,5681,508,381,391869,0 77,2,2024-09-07 09:30:11:281,595635,595635,0,0,25356496,0,3890 77,3,2024-09-07 09:30:11:099,1,471,11,0,401,5122,471,0 78,0,2024-09-07 09:30:11:729,118481,0.4,117781,0.6,236639,0.4,313781,2.00 78,1,2024-09-07 09:30:10:609,828077,828077,0,0,388003114138,4046828535,818533,7315,2229,367,391670,0 78,2,2024-09-07 09:30:11:405,596308,596295,13,0,23537701,0,8313 78,3,2024-09-07 09:30:11:133,1,471,1,0,181,4014,471,0 79,0,2024-09-07 09:30:11:346,112848,0.4,115615,0.6,236502,0.3,308260,2.25 79,1,2024-09-07 09:30:10:573,829776,829776,0,0,389506598200,4037244054,822706,5730,1340,367,391682,0 79,2,2024-09-07 09:30:11:071,599040,599040,0,0,22913631,0,4195 79,3,2024-09-07 09:30:10:755,1,471,1,0,418,5918,471,0 80,0,2024-09-07 09:30:11:077,117434,0.5,120742,0.6,230950,0.4,312309,2.00 80,1,2024-09-07 09:30:11:639,827397,827397,0,0,388768167076,4048012687,821705,5269,423,368,392269,0 80,2,2024-09-07 09:30:11:091,596660,596660,0,0,23057005,0,4433 80,3,2024-09-07 09:30:10:584,1,471,1,0,190,5350,471,0 81,0,2024-09-07 09:30:11:534,117728,0.5,120545,0.7,230111,0.5,312931,2.00 81,1,2024-09-07 09:30:11:651,826638,826638,0,0,388128960982,4054726004,820360,5724,554,382,391879,0 81,2,2024-09-07 09:30:11:126,593783,593720,63,0,25736413,0,5932 81,3,2024-09-07 09:30:11:119,1,471,13,0,719,5519,471,0 82,0,2024-09-07 09:30:11:531,118367,0.5,118582,0.7,237452,0.5,315037,2.00 82,1,2024-09-07 09:30:10:589,828826,828822,0,4,388841633693,4043088229,824051,3952,819,381,391768,4 82,2,2024-09-07 09:30:11:689,596548,596548,0,0,21751912,0,4484 82,3,2024-09-07 09:30:11:755,1,471,2,0,363,4788,471,0 83,0,2024-09-07 09:30:11:533,117235,0.6,117127,0.7,233660,0.6,310829,2.25 83,1,2024-09-07 09:30:10:554,826952,826952,0,0,388493902282,4049133083,821156,5346,450,382,391709,0 83,2,2024-09-07 09:30:10:770,599365,599340,25,0,23608054,0,5612 83,3,2024-09-07 09:30:10:765,1,471,0,0,1260,5899,471,0 84,0,2024-09-07 09:30:11:782,116047,0.7,116064,0.9,231738,0.6,310385,2.25 84,1,2024-09-07 09:30:11:129,826241,826241,0,0,387517864694,4048538496,817585,7519,1137,367,391967,0 84,2,2024-09-07 09:30:10:582,593132,593102,30,0,29988825,0,5971 84,3,2024-09-07 09:30:11:142,1,471,1,0,908,6908,471,0 85,0,2024-09-07 09:30:11:002,113888,0.6,113783,0.8,241461,0.6,312409,2.25 85,1,2024-09-07 09:30:10:559,824249,824249,0,0,387552615400,4088372735,812156,9948,2145,381,392006,0 85,2,2024-09-07 09:30:10:865,593093,593093,0,0,28608796,0,3656 85,3,2024-09-07 09:30:10:690,1,471,12,0,789,5502,471,0 86,0,2024-09-07 09:30:10:885,118821,0.7,122288,0.8,233952,0.7,316321,2.25 86,1,2024-09-07 09:30:10:840,826682,826682,0,0,388884991243,4071362371,816925,8122,1635,366,391961,0 86,2,2024-09-07 09:30:10:859,594386,594385,1,0,31132015,0,5004 86,3,2024-09-07 09:30:10:587,1,471,20,0,308,6873,471,0 87,0,2024-09-07 09:30:11:288,118716,0.9,118382,0.9,236727,1.2,315906,2.25 87,1,2024-09-07 09:30:10:555,825217,825217,0,0,387321416879,4058122768,814212,9347,1658,366,392076,0 87,2,2024-09-07 09:30:11:067,597045,597039,6,0,27353624,0,6323 87,3,2024-09-07 09:30:11:799,1,471,100,0,473,7260,471,0 88,0,2024-09-07 09:30:11:441,115945,0.4,116587,0.6,232976,0.4,309963,1.75 88,1,2024-09-07 09:30:10:584,824909,824909,0,0,387283566879,4056349540,813980,8702,2227,365,392084,0 88,2,2024-09-07 09:30:10:699,594789,594789,0,0,29806617,0,4465 88,3,2024-09-07 09:30:11:269,1,471,2,0,435,5761,471,0 89,0,2024-09-07 09:30:11:768,122738,0.5,118935,0.6,235055,0.4,319388,1.75 89,1,2024-09-07 09:30:10:569,824769,824769,0,0,388077360023,4079006322,814721,8573,1475,382,391866,0 89,2,2024-09-07 09:30:11:132,594010,594010,0,0,28090299,0,3173 89,3,2024-09-07 09:30:11:791,1,471,8,0,468,7927,471,0 90,0,2024-09-07 09:30:11:625,115643,0.4,118852,0.6,242200,0.4,316142,2.00 90,1,2024-09-07 09:30:10:598,826555,826555,0,0,388239824809,4063376840,818975,6929,651,380,391825,0 90,2,2024-09-07 09:30:11:405,594164,594164,0,0,30120602,0,3608 90,3,2024-09-07 09:30:10:934,1,471,1,0,322,5643,471,0 91,0,2024-09-07 09:30:10:931,119429,0.5,115641,0.6,241606,0.5,317628,1.75 91,1,2024-09-07 09:30:10:559,824756,824756,0,0,388164570940,4080278730,814445,8668,1643,381,392047,0 91,2,2024-09-07 09:30:11:332,596463,596463,0,0,26522839,0,3526 91,3,2024-09-07 09:30:10:606,1,471,1,0,216,4656,471,0 92,0,2024-09-07 09:30:11:451,118069,0.5,120814,0.6,230498,0.5,312324,1.75 92,1,2024-09-07 09:30:10:582,827165,827165,0,0,387310250770,4046978313,820598,5494,1073,381,392136,0 92,2,2024-09-07 09:30:11:357,597900,597900,0,0,23735830,0,3259 92,3,2024-09-07 09:30:11:012,1,471,5,0,167,4201,471,0 93,0,2024-09-07 09:30:10:952,119452,0.4,122423,0.6,233646,0.3,317375,1.75 93,1,2024-09-07 09:30:10:816,827072,827072,0,0,388920268154,4060198681,818085,7392,1595,366,391776,0 93,2,2024-09-07 09:30:10:930,594719,594719,0,0,29104464,0,4913 93,3,2024-09-07 09:30:11:406,1,471,8,0,190,4160,471,0 94,0,2024-09-07 09:30:11:617,117804,0.3,118585,0.5,236896,0.3,314338,1.75 94,1,2024-09-07 09:30:10:582,827018,827018,0,0,389414221514,4061100784,821722,5080,216,381,391850,0 94,2,2024-09-07 09:30:10:770,595315,595287,28,0,24867312,0,6179 94,3,2024-09-07 09:30:11:692,1,471,1,0,576,6116,471,0 95,0,2024-09-07 09:30:11:342,118261,0.3,118004,0.5,236661,0.3,315174,1.75 95,1,2024-09-07 09:30:10:860,828710,828710,0,0,389313577459,4046384827,822027,6203,480,365,391786,0 95,2,2024-09-07 09:30:11:016,594372,594372,0,0,23663709,0,3308 95,3,2024-09-07 09:30:11:715,1,471,1,0,718,6719,471,0 96,0,2024-09-07 09:30:11:032,117468,0.4,117736,0.5,235150,0.3,311937,1.75 96,1,2024-09-07 09:30:11:587,826954,826954,0,0,388219705918,4049364818,821009,5038,907,384,391955,0 96,2,2024-09-07 09:30:11:280,599943,599943,0,0,24450272,0,4180 96,3,2024-09-07 09:30:11:140,1,471,1,0,411,5159,471,0 97,0,2024-09-07 09:30:11:344,116985,0.3,116441,0.5,233873,0.2,311029,1.50 97,1,2024-09-07 09:30:10:773,828510,828510,0,0,389917745707,4042885078,823314,4260,936,367,392140,0 97,2,2024-09-07 09:30:10:613,595304,595304,0,0,23540686,0,3679 97,3,2024-09-07 09:30:10:581,1,471,8,0,242,5691,471,0 98,0,2024-09-07 09:30:11:698,117297,0.3,117655,0.4,235823,0.2,313100,1.50 98,1,2024-09-07 09:30:10:570,828337,828337,0,0,389080426039,4052426200,823075,4468,794,381,391997,0 98,2,2024-09-07 09:30:10:787,594811,594811,0,0,23727399,0,4336 98,3,2024-09-07 09:30:10:712,1,471,2,0,840,7464,471,0 99,0,2024-09-07 09:30:11:445,118949,0.3,119581,0.5,237757,0.3,317351,1.75 99,1,2024-09-07 09:30:11:728,828214,828214,0,0,388745006659,4045334980,822906,4469,839,380,392069,0 99,2,2024-09-07 09:30:11:415,597071,597071,0,0,28087047,0,4276 99,3,2024-09-07 09:30:10:582,1,471,1,0,606,4925,471,0 100,0,2024-09-07 09:30:11:464,117310,0.8,117650,0.9,234539,0.9,313184,2.50 100,1,2024-09-07 09:30:10:549,823478,823478,0,0,387084658379,4092992718,810471,10058,2949,378,391989,0 100,2,2024-09-07 09:30:11:823,596186,596175,11,0,27575515,0,5417 100,3,2024-09-07 09:30:11:736,1,471,1,0,559,7433,471,0 101,0,2024-09-07 09:30:11:702,118418,1.0,115439,1.0,226246,0.8,310100,2.25 101,1,2024-09-07 09:30:10:552,824411,824411,0,0,387364239166,4072092942,812710,9334,2367,368,391847,0 101,2,2024-09-07 09:30:11:756,590521,590521,0,0,32144874,0,4871 101,3,2024-09-07 09:30:10:944,1,471,12,0,1250,7475,471,0 102,0,2024-09-07 09:30:10:946,115728,0.6,119332,0.7,242175,0.6,316519,2.00 102,1,2024-09-07 09:30:11:141,824135,824135,0,0,387246748591,4069275095,812707,9432,1996,369,391891,0 102,2,2024-09-07 09:30:11:757,594904,594850,54,0,26097752,0,6768 102,3,2024-09-07 09:30:11:616,1,471,2,0,466,5067,471,0 103,0,2024-09-07 09:30:11:589,123249,0.5,123326,0.7,232325,0.5,319289,2.00 103,1,2024-09-07 09:30:11:633,823843,823843,0,0,387021726738,4086333157,810533,10116,3194,381,391862,0 103,2,2024-09-07 09:30:10:582,594889,594889,0,0,29071960,0,3766 103,3,2024-09-07 09:30:10:765,1,471,23,0,916,5414,471,0 104,0,2024-09-07 09:30:11:008,118527,0.7,118852,0.9,236586,0.7,316901,2.25 104,1,2024-09-07 09:30:11:604,825792,825792,0,0,387753083477,4076093871,813140,10106,2546,365,392168,0 104,2,2024-09-07 09:30:11:671,594585,594585,0,0,28094273,0,3941 104,3,2024-09-07 09:30:11:428,1,471,1,0,1245,8863,471,0 105,0,2024-09-07 09:30:11:029,114950,0.9,111952,1.0,234581,1.0,308691,2.75 105,1,2024-09-07 09:30:10:561,827219,827219,0,0,388240890069,4069606331,816375,9192,1652,366,392009,0 105,2,2024-09-07 09:30:11:321,593838,593838,0,0,27735963,0,3509 105,3,2024-09-07 09:30:11:305,1,471,19,0,399,6940,471,0 106,0,2024-09-07 09:30:10:943,115251,0.8,117956,0.9,241834,0.8,315541,2.50 106,1,2024-09-07 09:30:11:766,826244,826244,0,0,387575898589,4067933366,814278,10324,1642,368,391914,0 106,2,2024-09-07 09:30:10:759,594208,594208,0,0,26731267,0,2920 106,3,2024-09-07 09:30:10:681,1,471,3,0,470,6080,471,0 107,0,2024-09-07 09:30:11:105,118624,0.8,118846,0.9,237508,0.9,316762,2.25 107,1,2024-09-07 09:30:10:585,824534,824534,0,0,387513461200,4083298605,813245,10190,1099,381,392234,0 107,2,2024-09-07 09:30:11:301,593929,593928,1,0,29090602,0,5024 107,3,2024-09-07 09:30:11:781,1,471,1,0,370,6790,471,0 108,0,2024-09-07 09:30:11:851,117813,0.4,118733,0.6,236083,0.4,315038,1.75 108,1,2024-09-07 09:30:11:304,827072,827072,0,0,388166787064,4049056795,819987,6250,835,367,391857,0 108,2,2024-09-07 09:30:11:755,594114,594114,0,0,26423608,0,4246 108,3,2024-09-07 09:30:11:333,1,471,10,0,749,9340,471,0 109,0,2024-09-07 09:30:11:875,117448,0.4,116601,0.6,233257,0.3,312315,1.75 109,1,2024-09-07 09:30:10:591,824446,824446,0,0,387784967761,4068714793,816670,6566,1210,382,392132,0 109,2,2024-09-07 09:30:10:926,596180,596180,0,0,25978452,0,3617 109,3,2024-09-07 09:30:11:143,1,471,630,0,630,6053,471,0 110,0,2024-09-07 09:30:11:756,117226,0.4,114110,0.5,239010,0.3,313038,1.75 110,1,2024-09-07 09:30:11:642,828461,828461,0,0,389076799831,4037919236,822561,4680,1220,369,392045,0 110,2,2024-09-07 09:30:11:304,595261,595261,0,0,24852803,0,4067 110,3,2024-09-07 09:30:10:707,1,471,24,0,722,6637,471,0 111,0,2024-09-07 09:30:11:420,118110,0.3,117397,0.5,235312,0.3,314107,1.75 111,1,2024-09-07 09:30:11:002,829518,829518,0,0,390540335133,4049372079,825235,3927,356,380,391690,0 111,2,2024-09-07 09:30:11:116,593979,593979,0,0,24631716,0,4823 111,3,2024-09-07 09:30:10:920,1,471,5,0,379,5590,471,0 112,0,2024-09-07 09:30:10:911,119340,0.3,118749,0.4,238084,0.2,316082,1.50 112,1,2024-09-07 09:30:10:834,828617,828617,0,0,389407410551,4040030508,823781,4222,614,380,391624,0 112,2,2024-09-07 09:30:11:133,595335,595334,1,0,23724596,0,5036 112,3,2024-09-07 09:30:10:593,1,471,106,0,282,4752,471,0 113,0,2024-09-07 09:30:10:870,117254,0.3,117353,0.5,234965,0.2,312750,1.75 113,1,2024-09-07 09:30:11:689,830977,830977,0,0,390698278153,4028345872,827006,3381,590,366,391661,0 113,2,2024-09-07 09:30:11:307,601312,601312,0,0,21788969,0,3813 113,3,2024-09-07 09:30:10:684,1,471,6,0,340,5098,471,0 114,0,2024-09-07 09:30:10:873,117123,0.3,117908,0.4,234329,0.2,313596,1.75 114,1,2024-09-07 09:30:10:718,829133,829133,0,0,388739545224,4036820641,822738,4779,1616,381,391565,0 114,2,2024-09-07 09:30:10:873,595874,595873,1,0,22565212,0,5069 114,3,2024-09-07 09:30:11:278,1,471,1,0,395,3879,471,0 115,0,2024-09-07 09:30:10:552,118701,0.3,119157,0.4,237791,0.2,315625,1.50 115,1,2024-09-07 09:30:10:582,828995,828995,0,0,389422922262,4045715970,822352,5375,1268,382,391757,0 115,2,2024-09-07 09:30:11:125,596303,596303,0,0,22699511,0,4382 115,3,2024-09-07 09:30:11:007,1,471,6,0,159,2611,471,0 116,0,2024-09-07 09:30:11:731,118692,0.7,118555,0.8,237851,0.6,317528,2.00 116,1,2024-09-07 09:30:10:819,824930,824930,0,0,388020647831,4087498007,815247,7281,2402,380,392089,0 116,2,2024-09-07 09:30:11:756,594954,594954,0,0,29145267,0,4128 116,3,2024-09-07 09:30:10:912,1,471,1,0,415,5582,471,0 117,0,2024-09-07 09:30:10:962,118960,0.7,118381,0.8,237281,0.8,316384,2.00 117,1,2024-09-07 09:30:11:579,825860,825860,0,0,387249181887,4051989381,817198,7666,996,369,392033,0 117,2,2024-09-07 09:30:11:117,599724,599724,0,0,25664089,0,4303 117,3,2024-09-07 09:30:11:071,1,471,8,0,490,6760,471,0 118,0,2024-09-07 09:30:11:775,112652,0.5,115697,0.7,236191,0.4,308818,2.00 118,1,2024-09-07 09:30:10:606,825275,825275,0,0,387520340499,4072832037,813008,9247,3020,366,392054,0 118,2,2024-09-07 09:30:11:587,593568,593568,0,0,27270357,0,2842 118,3,2024-09-07 09:30:11:773,1,471,1,0,248,5503,471,0 119,0,2024-09-07 09:30:11:330,119093,0.7,119348,0.8,238651,0.7,317539,2.00 119,1,2024-09-07 09:30:10:549,825999,825999,0,0,388007177488,4062651946,815774,8829,1396,367,391857,0 119,2,2024-09-07 09:30:11:269,595540,595540,0,0,25480452,0,4174 119,3,2024-09-07 09:30:11:330,1,471,1,0,1358,8903,471,0 120,0,2024-09-07 09:30:11:576,118455,0.6,118243,0.8,237404,0.7,316686,2.25 120,1,2024-09-07 09:30:10:864,826320,826320,0,0,387394464144,4062643099,817537,8009,774,368,392144,0 120,2,2024-09-07 09:30:10:824,595254,595253,1,0,30352853,0,5281 120,3,2024-09-07 09:30:11:293,1,471,1,0,279,5838,471,0 121,0,2024-09-07 09:30:11:748,119014,1.3,118507,1.1,237509,1.8,316892,2.25 121,1,2024-09-07 09:30:11:665,826604,826604,0,0,388297794495,4057990605,818978,7073,553,367,391840,0 121,2,2024-09-07 09:30:11:124,594588,594588,0,0,28449941,0,4157 121,3,2024-09-07 09:30:10:729,1,471,10,0,269,5469,471,0 122,0,2024-09-07 09:30:11:768,116378,0.8,113366,0.9,237682,0.9,312115,2.25 122,1,2024-09-07 09:30:10:859,825362,825362,0,0,388449891131,4074158507,814154,9503,1705,366,392130,0 122,2,2024-09-07 09:30:11:321,597071,596998,73,0,31541219,0,5989 122,3,2024-09-07 09:30:10:601,1,471,5,0,512,8322,471,0 123,0,2024-09-07 09:30:10:961,118048,0.8,114932,0.8,240917,0.9,315940,2.25 123,1,2024-09-07 09:30:10:566,825229,825229,0,0,387686048214,4079868957,811249,11534,2446,369,392039,0 123,2,2024-09-07 09:30:11:026,593088,593087,1,0,26741801,0,5215 123,3,2024-09-07 09:30:11:133,1,471,37,0,478,5526,471,0 124,0,2024-09-07 09:30:10:939,121682,0.3,121644,0.5,229327,0.3,315474,1.75 124,1,2024-09-07 09:30:11:026,828422,828422,0,0,388633905635,4040294927,822386,4978,1058,367,392178,0 124,2,2024-09-07 09:30:11:010,596124,596071,53,0,24467991,0,6487 124,3,2024-09-07 09:30:10:758,1,471,33,0,490,4775,471,0 125,0,2024-09-07 09:30:11:435,118135,0.4,117963,0.6,236757,0.4,315113,1.75 125,1,2024-09-07 09:30:10:863,826357,826357,0,0,388590164525,4055868686,819979,5575,803,382,391813,0 125,2,2024-09-07 09:30:11:116,596166,596166,0,0,24928538,0,4534 125,3,2024-09-07 09:30:11:126,1,471,29,0,709,5686,471,0 126,0,2024-09-07 09:30:11:430,117392,0.4,120825,0.6,231126,0.4,312669,1.75 126,1,2024-09-07 09:30:10:629,829373,829373,0,0,390205791459,4039634674,824994,4083,296,365,391987,0 126,2,2024-09-07 09:30:10:619,600082,600082,0,0,25400652,0,4539 126,3,2024-09-07 09:30:10:910,1,471,1,0,268,5463,471,0 127,0,2024-09-07 09:30:11:591,116892,0.3,117294,0.5,233965,0.3,311403,1.75 127,1,2024-09-07 09:30:10:599,828210,828210,0,0,389213247547,4049211582,819923,6908,1379,364,392187,0 127,2,2024-09-07 09:30:10:637,593493,593489,4,0,23871207,0,5305 127,3,2024-09-07 09:30:11:267,1,471,49,0,968,4932,471,0 128,0,2024-09-07 09:30:11:530,118209,0.3,118299,0.4,236236,0.2,313864,1.50 128,1,2024-09-07 09:30:11:613,827521,827521,0,0,389548397953,4041597317,822515,4572,434,367,392031,0 128,2,2024-09-07 09:30:11:387,596095,596095,0,0,22447153,0,3171 128,3,2024-09-07 09:30:10:770,1,471,66,0,1082,7223,471,0 129,0,2024-09-07 09:30:10:991,119957,0.3,119401,0.5,239194,0.3,317729,1.50 129,1,2024-09-07 09:30:10:589,825394,825394,0,0,387051120299,4054720749,817375,6344,1675,379,391962,0 129,2,2024-09-07 09:30:10:688,596796,596792,4,0,24487572,0,5335 129,3,2024-09-07 09:30:10:687,1,471,8,0,506,6895,471,0 130,0,2024-09-07 09:30:11:725,118359,0.5,117833,0.6,236928,0.5,314522,1.75 130,1,2024-09-07 09:30:10:583,828462,828462,0,0,389311957029,4044474298,824234,3889,339,381,391825,0 130,2,2024-09-07 09:30:11:125,599632,599632,0,0,24288735,0,4067 130,3,2024-09-07 09:30:11:292,1,471,1,0,960,7018,471,0 131,0,2024-09-07 09:30:11:937,116076,0.3,116454,0.5,233580,0.3,310795,1.50 131,1,2024-09-07 09:30:11:830,827889,827889,0,0,388400263331,4052840378,821794,5041,1054,381,391865,0 131,2,2024-09-07 09:30:10:570,595992,595992,0,0,22243252,0,3979 131,3,2024-09-07 09:30:11:692,1,471,10,0,392,5266,471,0 132,0,2024-09-07 09:30:11:428,119069,0.4,120157,0.6,238961,0.4,317543,1.75 132,1,2024-09-07 09:30:10:597,824293,824293,0,0,388156061422,4084027344,812552,9609,2132,381,392532,0 132,2,2024-09-07 09:30:10:697,594397,594380,17,0,29316208,0,6451 132,3,2024-09-07 09:30:11:692,1,471,1,0,804,7954,471,0 133,0,2024-09-07 09:30:11:531,116303,0.4,119111,0.6,244167,0.4,317509,1.75 133,1,2024-09-07 09:30:10:583,824059,824059,0,0,387107221649,4079836285,812570,9868,1621,383,391914,0 133,2,2024-09-07 09:30:11:091,596440,596390,50,0,30345182,0,6861 133,3,2024-09-07 09:30:11:307,1,471,14,0,479,4887,471,0 134,0,2024-09-07 09:30:10:962,119821,0.5,119553,0.7,239417,0.5,319185,2.00 134,1,2024-09-07 09:30:10:584,825501,825501,0,0,387273414579,4058336557,815317,8115,2069,366,391718,0 134,2,2024-09-07 09:30:11:756,595222,595198,24,0,28469312,0,6207 134,3,2024-09-07 09:30:10:760,1,471,21,0,739,5752,471,0 135,0,2024-09-07 09:30:11:126,112558,0.7,112506,0.8,238831,0.8,308135,2.25 135,1,2024-09-07 09:30:11:584,825645,825645,0,0,388355555197,4081494648,815288,8979,1378,380,391805,0 135,2,2024-09-07 09:30:10:688,595364,595364,0,0,27685714,0,3981 135,3,2024-09-07 09:30:11:006,1,471,1,0,299,4045,471,0 136,0,2024-09-07 09:30:11:667,119859,0.5,120093,0.7,239133,0.5,318544,2.00 136,1,2024-09-07 09:30:11:456,826752,826752,0,0,387302748359,4059886979,817416,8198,1138,381,391685,0 136,2,2024-09-07 09:30:11:133,596472,596472,0,0,26547263,0,3506 136,3,2024-09-07 09:30:11:106,1,471,1,0,637,5424,471,0 137,0,2024-09-07 09:30:10:947,122625,0.6,119433,0.7,234226,0.6,318027,2.00 137,1,2024-09-07 09:30:10:575,824794,824794,0,0,387074375609,4060823125,811876,10250,2668,366,391898,0 137,2,2024-09-07 09:30:11:707,594454,594454,0,0,29761513,0,3185 137,3,2024-09-07 09:30:10:781,1,471,8,0,484,6074,471,0 138,0,2024-09-07 09:30:11:740,117651,0.9,117708,0.9,236277,1.2,313611,2.25 138,1,2024-09-07 09:30:11:689,826089,826089,0,0,388542608675,4074071323,814773,9496,1820,368,391954,0 138,2,2024-09-07 09:30:10:586,593886,593886,0,0,27531665,0,4988 138,3,2024-09-07 09:30:10:616,1,471,11,0,1200,7485,471,0 139,0,2024-09-07 09:30:11:378,115921,1.4,116150,1.1,232478,2.0,310972,2.50 139,1,2024-09-07 09:30:10:583,821868,821868,0,0,385418038626,4093421457,806302,12119,3447,380,392058,0 139,2,2024-09-07 09:30:10:699,594134,594134,0,0,30621930,0,3097 139,3,2024-09-07 09:30:11:662,1,471,3,0,432,5559,471,0 140,0,2024-09-07 09:30:11:593,118003,0.3,117158,0.5,235173,0.2,313216,1.75 140,1,2024-09-07 09:30:11:536,830564,830564,0,0,390884955080,4028940092,826557,3546,461,365,391606,0 140,2,2024-09-07 09:30:10:694,596246,596245,1,0,23224059,0,5036 140,3,2024-09-07 09:30:10:770,1,471,6,0,297,4092,471,0 141,0,2024-09-07 09:30:11:700,117992,0.3,121109,0.4,231271,0.2,313795,1.50 141,1,2024-09-07 09:30:10:859,829198,829198,0,0,389286975412,4045651490,822430,5683,1085,379,391614,0 141,2,2024-09-07 09:30:11:688,594787,594776,11,0,24452337,0,5369 141,3,2024-09-07 09:30:11:042,1,471,8,0,391,5247,471,0 142,0,2024-09-07 09:30:11:319,119373,0.3,118676,0.5,237533,0.3,316689,1.75 142,1,2024-09-07 09:30:10:594,827380,827380,0,0,388453768056,4047927602,822213,4718,449,382,392102,0 142,2,2024-09-07 09:30:11:302,594952,594920,32,0,25447436,0,6028 142,3,2024-09-07 09:30:11:772,1,471,1,0,484,5146,471,0 143,0,2024-09-07 09:30:11:381,117271,0.4,117410,0.6,235193,0.4,312761,1.75 143,1,2024-09-07 09:30:10:587,828850,828850,0,0,389665620192,4043895678,823170,5130,550,367,391705,0 143,2,2024-09-07 09:30:10:772,600112,600112,0,0,24640533,0,3123 143,3,2024-09-07 09:30:11:139,1,471,2,0,462,6149,471,0 144,0,2024-09-07 09:30:11:496,113264,0.6,116354,0.8,237237,0.5,311173,2.00 144,1,2024-09-07 09:30:10:570,825099,825099,0,0,387047580025,4061363757,816652,6549,1898,381,391733,0 144,2,2024-09-07 09:30:11:755,595385,595385,0,0,22729790,0,3673 144,3,2024-09-07 09:30:11:741,1,471,200,0,249,4759,471,0 145,0,2024-09-07 09:30:11:381,114480,0.6,114520,0.8,242855,0.5,312531,2.25 145,1,2024-09-07 09:30:10:555,824337,824337,0,0,387118448084,4071620851,813578,8891,1868,382,391759,0 145,2,2024-09-07 09:30:11:436,592180,592099,81,0,28223502,0,7814 145,3,2024-09-07 09:30:10:896,1,471,13,0,622,6494,471,0 146,0,2024-09-07 09:30:11:640,118945,0.6,118494,0.7,238266,0.6,315953,2.25 146,1,2024-09-07 09:30:11:592,825767,825767,0,0,387414424353,4073885214,812452,9786,3529,367,391770,0 146,2,2024-09-07 09:30:11:707,594493,594493,0,0,27255937,0,3290 146,3,2024-09-07 09:30:11:274,1,471,3,0,1520,8254,471,0 147,0,2024-09-07 09:30:11:699,118830,0.6,118672,0.8,236575,0.6,315787,2.25 147,1,2024-09-07 09:30:11:372,828831,828831,0,0,389618994255,4050139470,821953,6029,849,368,391791,0 147,2,2024-09-07 09:30:11:010,597256,597256,0,0,24667768,0,2968 147,3,2024-09-07 09:30:10:913,1,471,3,0,1626,8060,471,0 0,0,2024-09-07 09:30:21:728,115948,0.6,116002,0.7,246031,0.6,317991,2.00 0,1,2024-09-07 09:30:20:801,827296,827296,0,0,389347436930,4077369801,820414,6215,667,369,391896,0 0,2,2024-09-07 09:30:21:067,598577,598577,0,0,24480167,0,4480 0,3,2024-09-07 09:30:20:973,1,472,1,0,431,6848,472,0 1,0,2024-09-07 09:30:21:758,119129,1.0,118615,1.0,237577,1.4,317996,2.00 1,1,2024-09-07 09:30:20:571,827404,827404,0,0,388244101856,4062975863,820380,5693,1331,370,391859,0 1,2,2024-09-07 09:30:20:639,597230,597230,0,0,23892422,0,3380 1,3,2024-09-07 09:30:21:310,1,472,9,0,269,5978,472,0 2,0,2024-09-07 09:30:21:571,116923,0.6,116881,0.7,233460,0.6,311169,2.00 2,1,2024-09-07 09:30:20:859,830192,830192,0,0,390130517438,4048041143,826781,3116,295,380,391745,0 2,2,2024-09-07 09:30:21:266,598597,598597,0,0,22404020,0,3594 2,3,2024-09-07 09:30:20:698,1,472,26,0,357,4331,472,0 3,0,2024-09-07 09:30:21:758,119051,0.4,118857,0.6,237853,0.4,317003,2.00 3,1,2024-09-07 09:30:21:620,828523,828523,0,0,389449772777,4054843373,821759,6069,695,379,391716,0 3,2,2024-09-07 09:30:21:142,597120,597097,23,0,23654896,0,5851 3,3,2024-09-07 09:30:21:758,1,472,4,0,207,2999,472,0 4,0,2024-09-07 09:30:21:778,114935,0.4,118115,0.5,240828,0.3,315145,1.75 4,1,2024-09-07 09:30:20:591,825428,825428,0,0,388088922552,4106152992,811316,10864,3248,370,391992,0 4,2,2024-09-07 09:30:21:020,594743,594743,0,0,27747321,0,4528 4,3,2024-09-07 09:30:21:031,1,472,1,0,448,6296,472,0 5,0,2024-09-07 09:30:21:372,118138,0.4,118776,0.6,236914,0.4,314880,1.75 5,1,2024-09-07 09:30:20:755,827015,827015,0,0,388831874772,4092740084,815246,9405,2364,367,392005,0 5,2,2024-09-07 09:30:21:829,593170,593170,0,0,27923767,0,3582 5,3,2024-09-07 09:30:21:732,1,472,8,0,457,6721,472,0 6,0,2024-09-07 09:30:20:938,117729,0.4,117259,0.6,234470,0.4,312844,1.75 6,1,2024-09-07 09:30:20:746,829179,829179,0,0,388290227491,4055443940,820597,7156,1426,379,391702,0 6,2,2024-09-07 09:30:21:116,599886,599868,18,0,26880076,0,5535 6,3,2024-09-07 09:30:21:278,1,472,0,0,710,5968,472,0 7,0,2024-09-07 09:30:21:530,116513,0.5,117404,0.6,233643,0.4,311148,2.00 7,1,2024-09-07 09:30:20:853,827204,827204,0,0,387972265822,4072456173,815984,9236,1984,382,391747,0 7,2,2024-09-07 09:30:20:769,596225,596225,0,0,26039918,0,4791 7,3,2024-09-07 09:30:20:854,1,472,4,0,552,5589,472,0 8,0,2024-09-07 09:30:21:344,117960,0.3,117685,0.5,236118,0.3,314203,1.75 8,1,2024-09-07 09:30:21:018,826486,826486,0,0,387901285033,4077372909,813874,9833,2779,366,392853,0 8,2,2024-09-07 09:30:20:790,591088,591088,0,0,31008972,0,3250 8,3,2024-09-07 09:30:20:588,1,472,1,0,538,7499,472,0 9,0,2024-09-07 09:30:21:107,119074,0.4,115827,0.5,242483,0.3,317534,1.75 9,1,2024-09-07 09:30:20:557,825496,825496,0,0,387605381768,4082903270,813164,9864,2468,369,392001,0 9,2,2024-09-07 09:30:21:091,595473,595473,0,0,28362771,0,4615 9,3,2024-09-07 09:30:21:752,1,472,12,0,496,6682,472,0 10,0,2024-09-07 09:30:21:600,117606,0.3,117167,0.5,235246,0.3,312972,1.75 10,1,2024-09-07 09:30:20:583,828084,828084,0,0,388199178193,4068864395,816680,9464,1940,381,391741,0 10,2,2024-09-07 09:30:20:775,599733,599733,0,0,30846744,0,4264 10,3,2024-09-07 09:30:20:875,1,472,2,0,649,5036,472,0 11,0,2024-09-07 09:30:21:008,116494,0.4,112970,0.6,236324,0.4,312014,1.75 11,1,2024-09-07 09:30:20:571,828158,828158,0,0,388989677746,4081790968,815409,9630,3119,383,391756,0 11,2,2024-09-07 09:30:21:123,594696,594696,0,0,26825855,0,4130 11,3,2024-09-07 09:30:21:298,1,472,4,0,843,6578,472,0 12,0,2024-09-07 09:30:20:964,119897,0.4,119885,0.5,239700,0.3,318140,1.75 12,1,2024-09-07 09:30:20:942,828179,828179,0,0,388839573867,4057273277,821393,6129,657,370,391960,0 12,2,2024-09-07 09:30:21:542,595294,595294,0,0,26748623,0,4390 12,3,2024-09-07 09:30:21:069,1,472,4,0,386,6623,472,0 13,0,2024-09-07 09:30:21:350,120468,0.4,120007,0.6,239435,0.4,319047,1.75 13,1,2024-09-07 09:30:21:524,826314,826314,0,0,388702836190,4087945797,817270,6893,2151,382,391740,0 13,2,2024-09-07 09:30:20:608,599148,599148,0,0,24443058,0,3287 13,3,2024-09-07 09:30:21:768,1,472,8,0,522,6722,472,0 14,0,2024-09-07 09:30:20:570,119600,0.4,120586,0.6,238810,0.4,318418,1.75 14,1,2024-09-07 09:30:21:587,832218,832218,0,0,391031178398,4048235139,825694,5811,713,364,391673,0 14,2,2024-09-07 09:30:20:774,598244,598214,30,0,25749181,0,6104 14,3,2024-09-07 09:30:21:114,1,472,2,0,1168,4977,472,0 15,0,2024-09-07 09:30:21:556,116311,0.4,116096,0.6,232889,0.4,310188,2.00 15,1,2024-09-07 09:30:21:608,829018,829018,0,0,389865650866,4060953934,822070,5493,1455,381,391619,0 15,2,2024-09-07 09:30:20:998,598045,598045,0,0,21469485,0,3622 15,3,2024-09-07 09:30:21:406,1,472,3,0,1126,7174,472,0 16,0,2024-09-07 09:30:20:946,119425,0.5,120166,0.7,239309,0.5,318215,2.00 16,1,2024-09-07 09:30:20:566,828748,828748,0,0,389083949990,4067588968,821333,6181,1234,370,391917,0 16,2,2024-09-07 09:30:21:434,596384,596384,0,0,25421965,0,4719 16,3,2024-09-07 09:30:21:142,1,472,2,0,358,5953,472,0 17,0,2024-09-07 09:30:21:768,122748,0.6,119784,0.7,234462,0.6,318436,2.00 17,1,2024-09-07 09:30:20:583,827352,827352,0,0,388278265542,4074927594,818848,6787,1717,368,392075,0 17,2,2024-09-07 09:30:21:669,599618,599617,1,0,26217975,0,5050 17,3,2024-09-07 09:30:20:582,1,472,7,0,518,7369,472,0 18,0,2024-09-07 09:30:20:942,117250,0.7,117774,0.8,234987,0.7,313661,2.25 18,1,2024-09-07 09:30:21:638,831103,831103,0,0,390603747936,4046029862,826741,3924,438,367,391725,0 18,2,2024-09-07 09:30:21:755,598780,598780,0,0,22919641,0,3541 18,3,2024-09-07 09:30:20:896,1,472,1,0,1059,4498,472,0 19,0,2024-09-07 09:30:21:541,116987,0.6,117265,0.8,233081,0.6,310756,2.25 19,1,2024-09-07 09:30:20:566,831121,831121,0,0,390754027415,4052173092,824553,5486,1082,367,391777,0 19,2,2024-09-07 09:30:21:752,601173,601173,0,0,21481293,0,3988 19,3,2024-09-07 09:30:21:129,1,472,16,0,524,3942,472,0 20,0,2024-09-07 09:30:21:346,117571,0.5,117691,0.6,234992,0.4,313455,2.00 20,1,2024-09-07 09:30:20:566,827923,827923,0,0,389938997390,4081132778,819925,6700,1298,369,391922,0 20,2,2024-09-07 09:30:20:931,595785,595785,0,0,25490124,0,3721 20,3,2024-09-07 09:30:20:594,1,472,8,0,468,7749,472,0 21,0,2024-09-07 09:30:21:132,118017,0.4,118062,0.6,236121,0.4,313690,1.75 21,1,2024-09-07 09:30:21:554,825553,825553,0,0,387917347660,4092737196,812731,9847,2975,368,392016,0 21,2,2024-09-07 09:30:21:067,593233,593213,20,0,30954044,0,5617 21,3,2024-09-07 09:30:21:408,1,472,10,0,713,6237,472,0 22,0,2024-09-07 09:30:21:724,118657,0.5,119131,0.7,237899,0.4,315275,2.00 22,1,2024-09-07 09:30:21:027,827241,827241,0,0,388212111471,4084265671,813956,10341,2944,382,391675,0 22,2,2024-09-07 09:30:20:774,595027,595001,26,0,25661571,0,6328 22,3,2024-09-07 09:30:21:066,1,472,11,0,228,3750,472,0 23,0,2024-09-07 09:30:21:369,117238,0.5,116662,0.7,233628,0.5,311824,2.25 23,1,2024-09-07 09:30:21:003,828452,828452,0,0,389609234693,4088572419,814230,10009,4213,365,391690,0 23,2,2024-09-07 09:30:21:092,602088,602088,0,0,24242235,0,3773 23,3,2024-09-07 09:30:21:754,1,472,1,0,720,5905,472,0 24,0,2024-09-07 09:30:20:846,118121,0.4,117301,0.5,235769,0.4,313414,1.75 24,1,2024-09-07 09:30:20:581,827104,827104,0,0,387689206802,4061354982,818608,6835,1661,367,392269,0 24,2,2024-09-07 09:30:21:069,595552,595552,0,0,28852658,0,3607 24,3,2024-09-07 09:30:21:687,1,472,2,0,468,6323,472,0 25,0,2024-09-07 09:30:21:341,121693,0.4,118609,0.6,232631,0.4,316499,1.75 25,1,2024-09-07 09:30:20:559,826235,826235,0,0,388108391672,4093019995,812555,10975,2705,371,391928,0 25,2,2024-09-07 09:30:21:617,593649,593649,0,0,30307239,0,3978 25,3,2024-09-07 09:30:21:001,1,472,15,0,532,5263,472,0 26,0,2024-09-07 09:30:21:722,118831,0.4,116279,0.6,243807,0.4,317067,1.75 26,1,2024-09-07 09:30:21:540,829110,829110,0,0,388295873257,4069830677,816794,9903,2413,380,391748,0 26,2,2024-09-07 09:30:20:861,596933,596933,0,0,31686058,0,4689 26,3,2024-09-07 09:30:21:711,1,472,67,0,796,5425,472,0 27,0,2024-09-07 09:30:21:724,118841,0.5,119096,0.6,236848,0.4,315592,2.25 27,1,2024-09-07 09:30:21:676,830126,830126,0,0,390602317451,4069842807,821880,6984,1262,381,391626,0 27,2,2024-09-07 09:30:20:869,596729,596664,65,0,27690311,0,5699 27,3,2024-09-07 09:30:21:017,1,472,1,0,564,4270,472,0 28,0,2024-09-07 09:30:21:403,116819,0.4,116216,0.6,233466,0.3,311043,1.75 28,1,2024-09-07 09:30:20:800,829518,829518,0,0,389905074351,4067961114,822032,5786,1700,382,391698,0 28,2,2024-09-07 09:30:21:769,596033,596033,0,0,24316358,0,2915 28,3,2024-09-07 09:30:21:776,1,472,20,0,502,4859,472,0 29,0,2024-09-07 09:30:21:356,122721,0.4,119598,0.6,234466,0.3,319555,1.75 29,1,2024-09-07 09:30:21:565,832053,832053,0,0,391090130597,4046964849,826767,4527,759,368,391809,0 29,2,2024-09-07 09:30:20:861,595695,595695,0,0,23667493,0,4986 29,3,2024-09-07 09:30:20:963,1,472,8,0,459,5406,472,0 30,0,2024-09-07 09:30:21:456,119094,0.5,115714,0.7,242099,0.5,316836,2.00 30,1,2024-09-07 09:30:20:571,830841,830841,0,0,390700466923,4057063648,824232,5760,849,380,391672,0 30,2,2024-09-07 09:30:21:277,598047,598047,0,0,23254619,0,4192 30,3,2024-09-07 09:30:20:585,1,472,8,0,519,4784,472,0 31,0,2024-09-07 09:30:21:808,118837,0.5,119285,0.7,238240,0.4,318139,2.00 31,1,2024-09-07 09:30:20:565,833718,833718,0,0,391756515325,4017564222,829797,3184,737,356,391712,0 31,2,2024-09-07 09:30:21:278,596037,596037,0,0,25600131,0,4470 31,3,2024-09-07 09:30:21:711,1,472,7,0,220,4037,472,0 32,0,2024-09-07 09:30:21:431,117252,0.3,117817,0.5,234838,0.3,312942,1.75 32,1,2024-09-07 09:30:20:804,830143,830143,0,0,390159213493,4055911201,824960,4501,682,381,391646,0 32,2,2024-09-07 09:30:20:935,598757,598757,0,0,22213636,0,3922 32,3,2024-09-07 09:30:21:018,1,472,0,0,304,3723,472,0 33,0,2024-09-07 09:30:21:505,119600,0.3,119207,0.4,238570,0.2,318529,1.50 33,1,2024-09-07 09:30:20:575,831208,831208,0,0,390916518840,4050481335,824529,5574,1105,368,391730,0 33,2,2024-09-07 09:30:20:760,597301,597266,35,0,24621120,0,7012 33,3,2024-09-07 09:30:20:895,1,472,8,0,329,4545,472,0 34,0,2024-09-07 09:30:20:930,118784,0.3,122035,0.4,233359,0.2,314387,1.75 34,1,2024-09-07 09:30:21:044,832886,832886,0,0,391858673804,4027760223,830846,2022,18,367,391562,0 34,2,2024-09-07 09:30:20:767,598274,598274,0,0,22908800,0,4562 34,3,2024-09-07 09:30:21:688,1,472,50,0,320,3822,472,0 35,0,2024-09-07 09:30:20:863,117532,0.3,118177,0.5,237432,0.3,315669,1.75 35,1,2024-09-07 09:30:21:070,830294,830294,0,0,390539261552,4048103742,825168,4150,976,382,391769,0 35,2,2024-09-07 09:30:21:583,595900,595900,0,0,25089866,0,4055 35,3,2024-09-07 09:30:20:909,1,472,1,0,418,4626,472,0 36,0,2024-09-07 09:30:21:518,117875,0.4,117733,0.6,235414,0.4,313270,2.00 36,1,2024-09-07 09:30:20:583,829864,829864,0,0,389007105802,4061004049,819564,8291,2009,366,391759,0 36,2,2024-09-07 09:30:21:752,600479,600479,0,0,26079412,0,3875 36,3,2024-09-07 09:30:20:868,1,472,133,0,416,6573,472,0 37,0,2024-09-07 09:30:21:367,116720,0.5,116805,0.6,233654,0.4,311606,2.00 37,1,2024-09-07 09:30:20:568,828464,828457,0,7,389370595422,4066771963,818203,7699,2555,365,391770,0 37,2,2024-09-07 09:30:21:142,594395,594380,15,0,26203582,0,5815 37,3,2024-09-07 09:30:21:766,1,472,15,0,888,6880,472,0 38,0,2024-09-07 09:30:21:437,117165,0.4,113681,0.6,237922,0.4,311430,2.00 38,1,2024-09-07 09:30:21:605,829306,829306,0,0,390515083877,4083080558,816890,9935,2481,368,391821,0 38,2,2024-09-07 09:30:20:767,595342,595295,47,0,25890947,0,6710 38,3,2024-09-07 09:30:20:996,1,472,2,0,689,5918,472,0 39,0,2024-09-07 09:30:21:762,121912,0.6,119190,0.7,232372,0.5,316737,2.00 39,1,2024-09-07 09:30:20:716,828441,828441,0,0,388257319777,4072574841,814417,10833,3191,365,391658,0 39,2,2024-09-07 09:30:21:416,597291,597291,0,0,24610476,0,3391 39,3,2024-09-07 09:30:20:713,1,472,1,0,324,5093,472,0 40,0,2024-09-07 09:30:21:488,116491,0.8,117184,0.9,233714,0.8,311395,2.75 40,1,2024-09-07 09:30:20:579,829227,829227,0,0,388417007781,4066234257,817553,9505,2169,368,391668,0 40,2,2024-09-07 09:30:21:302,598802,598801,1,0,29506133,0,5137 40,3,2024-09-07 09:30:21:142,1,472,0,0,1028,6246,472,0 41,0,2024-09-07 09:30:21:043,116068,1.2,118693,1.1,226328,1.7,308540,3.00 41,1,2024-09-07 09:30:20:776,827639,827639,0,0,389437330807,4076806382,816808,9123,1708,369,391742,0 41,2,2024-09-07 09:30:20:759,593625,593625,0,0,27765753,0,4277 41,3,2024-09-07 09:30:21:677,1,472,12,0,366,4905,472,0 42,0,2024-09-07 09:30:21:486,118343,0.8,118102,1.0,236572,0.9,312923,2.75 42,1,2024-09-07 09:30:21:496,825556,825556,0,0,388308111892,4085655052,811359,11352,2845,380,391675,0 42,2,2024-09-07 09:30:21:132,594823,594823,0,0,27692142,0,3790 42,3,2024-09-07 09:30:21:010,1,472,1,0,892,4464,472,0 43,0,2024-09-07 09:30:20:918,118369,0.7,115341,0.9,241218,0.7,316154,2.25 43,1,2024-09-07 09:30:20:576,829094,829094,0,0,389414688671,4070345311,817183,9944,1967,366,391696,0 43,2,2024-09-07 09:30:21:740,597873,597873,0,0,27487177,0,3812 43,3,2024-09-07 09:30:21:751,1,472,2,0,571,6765,472,0 44,0,2024-09-07 09:30:20:864,119778,0.4,119806,0.6,240161,0.4,319013,2.00 44,1,2024-09-07 09:30:20:570,830733,830733,0,0,390565338279,4037782102,823358,5826,1549,356,391809,0 44,2,2024-09-07 09:30:21:266,596450,596450,0,0,23093638,0,4344 44,3,2024-09-07 09:30:21:093,1,472,1,0,817,5366,472,0 45,0,2024-09-07 09:30:21:765,115117,0.5,112421,0.7,235931,0.4,310314,2.00 45,1,2024-09-07 09:30:21:010,829848,829848,0,0,390562706213,4061670838,822682,6300,866,382,391917,0 45,2,2024-09-07 09:30:21:269,597605,597605,0,0,23011944,0,3596 45,3,2024-09-07 09:30:20:934,1,472,8,0,271,4032,472,0 46,0,2024-09-07 09:30:20:965,119040,0.5,118473,0.7,237805,0.5,315489,2.00 46,1,2024-09-07 09:30:20:575,832183,832183,0,0,390487109366,4034623005,826620,4833,730,366,391709,0 46,2,2024-09-07 09:30:20:594,596665,596665,0,0,23364328,0,4443 46,3,2024-09-07 09:30:21:131,1,472,2,0,908,5700,472,0 47,0,2024-09-07 09:30:21:102,119292,0.4,119607,0.6,239484,0.4,317471,2.00 47,1,2024-09-07 09:30:20:567,832481,832481,0,0,390597204335,4035850991,827452,4190,839,366,391641,0 47,2,2024-09-07 09:30:20:910,600334,600334,0,0,23529673,0,4477 47,3,2024-09-07 09:30:21:115,1,472,1,0,600,5521,472,0 48,0,2024-09-07 09:30:21:490,118933,0.3,118943,0.4,236768,0.2,315633,1.50 48,1,2024-09-07 09:30:21:021,830675,830675,0,0,390302807619,4052318443,825892,4326,457,384,391710,0 48,2,2024-09-07 09:30:20:699,596757,596757,0,0,21774511,0,3411 48,3,2024-09-07 09:30:20:752,1,472,6,0,339,3710,472,0 49,0,2024-09-07 09:30:21:713,120285,0.4,118211,0.5,229559,0.3,314555,1.75 49,1,2024-09-07 09:30:21:023,829825,829825,0,0,389860088510,4053366582,824583,4035,1207,382,391809,0 49,2,2024-09-07 09:30:21:796,599647,599647,0,0,23615194,0,4426 49,3,2024-09-07 09:30:21:416,1,472,10,0,992,5500,472,0 50,0,2024-09-07 09:30:21:506,118392,0.3,116771,0.4,235200,0.2,313335,1.75 50,1,2024-09-07 09:30:21:011,832813,832813,0,0,391506185503,4046162724,827734,4504,575,368,391565,0 50,2,2024-09-07 09:30:21:067,596657,596657,0,0,21558579,0,4490 50,3,2024-09-07 09:30:21:292,1,472,1,0,617,5215,472,0 51,0,2024-09-07 09:30:21:685,120990,0.3,118582,0.4,231021,0.2,315256,1.75 51,1,2024-09-07 09:30:21:680,833194,833194,0,0,392113639564,4043651436,828760,3382,1052,365,391706,0 51,2,2024-09-07 09:30:21:319,596910,596910,0,0,20578025,0,3337 51,3,2024-09-07 09:30:21:031,1,472,1,0,678,3663,472,0 52,0,2024-09-07 09:30:21:414,119154,0.5,118936,0.7,238146,0.4,316229,2.00 52,1,2024-09-07 09:30:20:575,828953,828953,0,0,389267868697,4072237682,817649,9860,1444,368,391722,0 52,2,2024-09-07 09:30:21:755,593300,593262,38,0,27755100,0,6742 52,3,2024-09-07 09:30:20:674,1,472,2,0,1782,6070,472,0 53,0,2024-09-07 09:30:21:766,116791,0.6,113349,0.8,237188,0.7,311655,2.25 53,1,2024-09-07 09:30:20:772,827078,827078,0,0,389048685910,4082142882,813439,10049,3590,367,391968,0 53,2,2024-09-07 09:30:21:301,600725,600724,1,0,25072733,0,5455 53,3,2024-09-07 09:30:20:698,1,472,8,0,308,4681,472,0 54,0,2024-09-07 09:30:21:614,115819,0.5,116086,0.7,231188,0.4,309458,2.25 54,1,2024-09-07 09:30:20:580,829027,829027,0,0,390370332296,4057558454,820420,7211,1396,366,391659,0 54,2,2024-09-07 09:30:20:868,596347,596315,32,0,28150874,0,6397 54,3,2024-09-07 09:30:20:767,1,472,1,0,676,6532,472,0 55,0,2024-09-07 09:30:21:759,114413,0.6,118016,0.7,239080,0.5,311108,2.25 55,1,2024-09-07 09:30:20:767,830187,830187,0,0,389886402288,4052198985,821611,7369,1207,365,391731,0 55,2,2024-09-07 09:30:20:729,594973,594917,56,0,27277943,0,7239 55,3,2024-09-07 09:30:20:674,1,472,3,0,304,4496,472,0 56,0,2024-09-07 09:30:21:565,121713,1.2,114748,1.1,236480,1.6,316319,2.75 56,1,2024-09-07 09:30:20:572,825913,825913,0,0,388556498176,4100602992,813665,9696,2552,381,391867,0 56,2,2024-09-07 09:30:21:307,596715,596593,122,0,28622523,0,7432 56,3,2024-09-07 09:30:21:061,1,472,1,0,705,5689,472,0 57,0,2024-09-07 09:30:20:947,116949,1.5,116802,1.3,234210,2.1,312713,3.00 57,1,2024-09-07 09:30:20:985,827363,827363,0,0,388784178466,4078201531,816578,8720,2065,366,392032,0 57,2,2024-09-07 09:30:21:318,598901,598901,0,0,29275745,0,4317 57,3,2024-09-07 09:30:21:739,1,472,8,0,455,5401,472,0 58,0,2024-09-07 09:30:20:580,114825,0.9,111562,1.0,233226,1.1,306073,2.50 58,1,2024-09-07 09:30:20:579,828137,828134,0,3,389237558111,4077893652,815842,9524,2768,367,391603,3 58,2,2024-09-07 09:30:21:071,595160,595160,0,0,27103555,0,3483 58,3,2024-09-07 09:30:21:068,1,472,10,0,1043,5019,472,0 59,0,2024-09-07 09:30:21:747,119001,0.9,118521,1.0,236635,1.0,313828,2.75 59,1,2024-09-07 09:30:20:812,828015,828015,0,0,389415603105,4082778298,815779,9999,2237,369,391653,0 59,2,2024-09-07 09:30:20:583,595312,595312,0,0,26821109,0,3727 59,3,2024-09-07 09:30:21:739,1,472,3,0,1015,6283,472,0 60,0,2024-09-07 09:30:21:707,119269,0.5,119419,0.7,239109,0.5,317762,1.75 60,1,2024-09-07 09:30:20:777,831082,831082,0,0,390847824729,4057600603,825202,4951,929,370,392031,0 60,2,2024-09-07 09:30:21:142,597965,597965,0,0,25461961,0,3811 60,3,2024-09-07 09:30:21:259,1,472,1,0,409,5941,472,0 61,0,2024-09-07 09:30:21:528,118960,0.7,119644,0.8,238128,0.7,317844,2.00 61,1,2024-09-07 09:30:20:780,828456,828456,0,0,389200617783,4079022418,818815,8064,1577,382,392127,0 61,2,2024-09-07 09:30:21:119,597115,597048,67,0,26051763,0,6411 61,3,2024-09-07 09:30:21:686,1,472,11,0,479,6336,472,0 62,0,2024-09-07 09:30:21:706,117640,0.6,120576,0.7,230216,0.6,312632,2.00 62,1,2024-09-07 09:30:21:111,833650,833644,0,6,391681400458,4037514989,829717,3664,263,365,391975,6 62,2,2024-09-07 09:30:21:644,595842,595841,1,0,25278809,0,5555 62,3,2024-09-07 09:30:21:145,1,472,1,0,482,3922,472,0 63,0,2024-09-07 09:30:21:457,119578,0.4,119351,0.6,239347,0.3,318406,1.75 63,1,2024-09-07 09:30:20:804,830845,830839,0,6,390255650612,4051388934,825491,4614,734,381,391800,6 63,2,2024-09-07 09:30:20:767,596372,596372,0,0,23791659,0,4369 63,3,2024-09-07 09:30:21:740,1,472,0,0,667,5071,472,0 64,0,2024-09-07 09:30:21:512,118063,0.5,118134,0.6,235740,0.4,313594,2.00 64,1,2024-09-07 09:30:20:752,830336,830336,0,0,389966368066,4061486715,823083,5540,1713,370,391783,0 64,2,2024-09-07 09:30:21:141,600920,600901,19,0,23167684,0,6121 64,3,2024-09-07 09:30:21:140,1,472,5,0,265,4362,472,0 65,0,2024-09-07 09:30:21:707,117356,0.7,117687,0.8,234972,0.7,312970,2.00 65,1,2024-09-07 09:30:20:863,828316,828316,0,0,389093619913,4065495369,822623,5047,646,381,391901,0 65,2,2024-09-07 09:30:21:694,595955,595955,0,0,27438838,0,3367 65,3,2024-09-07 09:30:21:687,1,472,8,0,782,5775,472,0 66,0,2024-09-07 09:30:21:764,117335,0.5,117023,0.7,234045,0.5,311620,2.25 66,1,2024-09-07 09:30:21:294,830143,830143,0,0,389907012434,4058209503,824615,5002,526,380,391743,0 66,2,2024-09-07 09:30:21:132,601704,601701,3,0,25107771,0,5455 66,3,2024-09-07 09:30:21:086,1,472,8,0,291,4139,472,0 67,0,2024-09-07 09:30:21:412,117264,0.5,116930,0.6,234857,0.4,312295,2.00 67,1,2024-09-07 09:30:20:803,830050,830049,0,1,389334580770,4053323906,824400,4855,794,380,391787,1 67,2,2024-09-07 09:30:20:583,598121,598106,15,0,23671453,0,6205 67,3,2024-09-07 09:30:21:749,1,472,2,0,392,4536,472,0 68,0,2024-09-07 09:30:20:600,118107,0.5,117968,0.7,234963,0.5,313865,2.00 68,1,2024-09-07 09:30:20:574,826753,826753,0,0,387801975101,4078872575,815406,8011,3336,381,391953,0 68,2,2024-09-07 09:30:21:044,592751,592651,100,0,30278192,0,8578 68,3,2024-09-07 09:30:20:730,1,472,1,0,417,5628,472,0 69,0,2024-09-07 09:30:21:724,118394,0.7,119192,0.8,237559,0.8,315032,2.25 69,1,2024-09-07 09:30:21:027,826735,826735,0,0,388449354082,4090776166,816245,8215,2275,384,391994,0 69,2,2024-09-07 09:30:21:739,595806,595777,29,0,32126210,0,6912 69,3,2024-09-07 09:30:20:766,1,472,11,0,698,7174,472,0 70,0,2024-09-07 09:30:21:531,116382,0.9,116804,1.0,234939,0.8,310806,2.50 70,1,2024-09-07 09:30:20:801,830701,830701,0,0,390769702498,4053705354,824211,5789,701,366,391725,0 70,2,2024-09-07 09:30:21:327,600057,600057,0,0,27011064,0,4323 70,3,2024-09-07 09:30:20:746,1,472,8,0,854,5379,472,0 71,0,2024-09-07 09:30:21:365,115429,0.8,115362,1.0,231629,1.0,309734,2.50 71,1,2024-09-07 09:30:21:596,828813,828813,0,0,389748952396,4065230141,819176,8556,1081,368,391738,0 71,2,2024-09-07 09:30:21:069,594642,594642,0,0,26794440,0,4352 71,3,2024-09-07 09:30:21:751,1,472,12,0,644,5783,472,0 72,0,2024-09-07 09:30:21:032,122937,0.6,120211,0.7,234385,0.5,318324,2.00 72,1,2024-09-07 09:30:21:021,827544,827544,0,0,388951745776,4079992666,815588,9872,2084,369,391819,0 72,2,2024-09-07 09:30:21:755,594432,594432,0,0,29212817,0,3983 72,3,2024-09-07 09:30:21:767,1,472,17,0,564,6808,472,0 73,0,2024-09-07 09:30:21:100,116455,0.4,119491,0.6,244065,0.4,317061,2.00 73,1,2024-09-07 09:30:20:768,829687,829687,0,0,389777500981,4048437553,823753,5512,422,367,391858,0 73,2,2024-09-07 09:30:21:739,597279,597279,0,0,29364936,0,4291 73,3,2024-09-07 09:30:20:969,1,472,12,0,486,6039,472,0 74,0,2024-09-07 09:30:21:331,120564,0.5,123351,0.7,235129,0.5,319357,2.25 74,1,2024-09-07 09:30:20:638,828874,828874,0,0,389204549581,4063033185,819791,7317,1766,381,391762,0 74,2,2024-09-07 09:30:21:002,597477,597477,0,0,26047075,0,4253 74,3,2024-09-07 09:30:21:442,1,472,30,0,522,5828,472,0 75,0,2024-09-07 09:30:21:770,116981,0.5,116268,0.7,233225,0.5,311903,2.25 75,1,2024-09-07 09:30:21:585,829571,829571,0,0,389611845635,4063169642,822093,6636,842,380,391739,0 75,2,2024-09-07 09:30:21:354,595504,595504,0,0,29574554,0,4766 75,3,2024-09-07 09:30:21:067,1,472,1,0,702,5859,472,0 76,0,2024-09-07 09:30:20:598,118852,0.6,118196,0.7,237182,0.5,316893,2.25 76,1,2024-09-07 09:30:20:824,828540,828540,0,0,389154201223,4058788898,822818,4894,828,382,391692,0 76,2,2024-09-07 09:30:21:064,598534,598531,3,0,26103373,0,5265 76,3,2024-09-07 09:30:21:150,1,472,1,0,227,4572,472,0 77,0,2024-09-07 09:30:21:696,118700,0.5,119050,0.7,238545,0.5,316437,2.00 77,1,2024-09-07 09:30:20:826,829543,829543,0,0,389344207357,4061625830,823354,5681,508,381,391869,0 77,2,2024-09-07 09:30:21:281,597019,597019,0,0,25499640,0,3890 77,3,2024-09-07 09:30:21:094,1,472,8,0,401,5130,472,0 78,0,2024-09-07 09:30:21:729,118683,0.4,118012,0.6,237062,0.4,314441,2.00 78,1,2024-09-07 09:30:20:612,829798,829798,0,0,388691706669,4054031925,820253,7316,2229,367,391670,0 78,2,2024-09-07 09:30:21:411,597656,597643,13,0,23631359,0,8313 78,3,2024-09-07 09:30:21:133,1,472,2,0,181,4016,472,0 79,0,2024-09-07 09:30:21:346,113286,0.4,116063,0.6,237424,0.4,309473,2.25 79,1,2024-09-07 09:30:20:589,831478,831478,0,0,390357425919,4046949081,824194,5934,1350,367,391682,0 79,2,2024-09-07 09:30:21:068,599775,599775,0,0,22946794,0,4195 79,3,2024-09-07 09:30:20:750,1,472,16,0,418,5934,472,0 80,0,2024-09-07 09:30:21:080,117713,0.5,121028,0.6,231467,0.4,313019,2.00 80,1,2024-09-07 09:30:21:619,829114,829114,0,0,389554937789,4056343051,823419,5272,423,368,392269,0 80,2,2024-09-07 09:30:21:099,598209,598209,0,0,23173185,0,4433 80,3,2024-09-07 09:30:20:575,1,472,1,0,190,5351,472,0 81,0,2024-09-07 09:30:21:543,118077,0.5,120892,0.7,230790,0.5,313824,2.00 81,1,2024-09-07 09:30:21:657,828411,828411,0,0,388893572242,4063022258,822086,5771,554,382,391879,0 81,2,2024-09-07 09:30:21:125,595224,595161,63,0,26068335,0,5932 81,3,2024-09-07 09:30:21:117,1,472,8,0,719,5527,472,0 82,0,2024-09-07 09:30:21:530,118538,0.5,118770,0.7,237815,0.5,315516,2.00 82,1,2024-09-07 09:30:20:583,830435,830431,0,4,389644381829,4051745475,825651,3961,819,381,391768,4 82,2,2024-09-07 09:30:21:691,597588,597588,0,0,21844635,0,4484 82,3,2024-09-07 09:30:21:752,1,472,1,0,363,4789,472,0 83,0,2024-09-07 09:30:21:521,117542,0.6,117458,0.7,234355,0.6,311715,2.25 83,1,2024-09-07 09:30:20:557,828788,828788,0,0,389362046406,4058424553,822979,5359,450,382,391709,0 83,2,2024-09-07 09:30:20:767,600367,600342,25,0,23709993,0,5612 83,3,2024-09-07 09:30:20:757,1,472,1,0,1260,5900,472,0 84,0,2024-09-07 09:30:21:764,116497,0.7,116498,0.8,232625,0.6,311555,2.25 84,1,2024-09-07 09:30:21:045,828053,828053,0,0,388385067777,4057646428,819396,7520,1137,367,391967,0 84,2,2024-09-07 09:30:20:572,594624,594594,30,0,30076328,0,5971 84,3,2024-09-07 09:30:21:141,1,472,12,0,908,6920,472,0 85,0,2024-09-07 09:30:21:001,114008,0.6,113920,0.8,241718,0.6,312731,2.25 85,1,2024-09-07 09:30:20:560,825993,825993,0,0,388255198611,4095843301,813900,9948,2145,381,392006,0 85,2,2024-09-07 09:30:20:869,594324,594324,0,0,28689869,0,3656 85,3,2024-09-07 09:30:20:696,1,472,7,0,789,5509,472,0 86,0,2024-09-07 09:30:20:882,118958,0.7,122409,0.8,234223,0.7,316650,2.25 86,1,2024-09-07 09:30:20:827,828452,828452,0,0,389881432349,4081595581,818694,8123,1635,366,391961,0 86,2,2024-09-07 09:30:20:858,595438,595437,1,0,31248953,0,5004 86,3,2024-09-07 09:30:20:597,1,472,1,0,308,6874,472,0 87,0,2024-09-07 09:30:21:314,118721,0.9,118387,0.9,236739,1.2,315906,2.25 87,1,2024-09-07 09:30:20:640,826798,826798,0,0,388106795474,4066881576,815701,9439,1658,366,392076,0 87,2,2024-09-07 09:30:21:071,598445,598439,6,0,27484896,0,6323 87,3,2024-09-07 09:30:21:797,1,472,1,0,473,7261,472,0 88,0,2024-09-07 09:30:21:448,116302,0.4,116931,0.6,233589,0.4,310902,1.75 88,1,2024-09-07 09:30:20:569,826581,826581,0,0,388134712271,4065106900,815652,8702,2227,365,392084,0 88,2,2024-09-07 09:30:20:699,596014,596014,0,0,29853342,0,4465 88,3,2024-09-07 09:30:21:267,1,472,8,0,435,5769,472,0 89,0,2024-09-07 09:30:21:763,122834,0.5,119042,0.6,235239,0.4,319628,1.75 89,1,2024-09-07 09:30:20:549,826513,826513,0,0,388741590973,4086020277,816463,8575,1475,382,391866,0 89,2,2024-09-07 09:30:21:132,595299,595299,0,0,28169668,0,3173 89,3,2024-09-07 09:30:21:791,1,472,8,0,468,7935,472,0 90,0,2024-09-07 09:30:21:630,115857,0.4,119058,0.6,242624,0.4,316714,2.00 90,1,2024-09-07 09:30:20:590,828294,828294,0,0,389057971946,4071827818,820714,6929,651,380,391825,0 90,2,2024-09-07 09:30:21:406,595752,595752,0,0,30218626,0,3608 90,3,2024-09-07 09:30:20:933,1,472,3,0,322,5646,472,0 91,0,2024-09-07 09:30:20:973,119769,0.5,115963,0.6,242262,0.5,318538,1.75 91,1,2024-09-07 09:30:20:595,826536,826536,0,0,389032302136,4089230965,816225,8668,1643,381,392047,0 91,2,2024-09-07 09:30:21:331,597845,597845,0,0,26571774,0,3526 91,3,2024-09-07 09:30:20:616,1,472,21,0,216,4677,472,0 92,0,2024-09-07 09:30:21:461,118346,0.5,121095,0.6,231007,0.5,312981,1.75 92,1,2024-09-07 09:30:20:588,828921,828921,0,0,388349505792,4057864358,822351,5496,1074,381,392136,0 92,2,2024-09-07 09:30:21:357,598552,598552,0,0,23823778,0,3259 92,3,2024-09-07 09:30:21:009,1,472,1,0,167,4202,472,0 93,0,2024-09-07 09:30:21:027,119915,0.4,122953,0.6,234612,0.3,318783,1.75 93,1,2024-09-07 09:30:20:825,828857,828857,0,0,389843189012,4071366628,819512,7645,1700,366,391776,0 93,2,2024-09-07 09:30:20:945,596182,596182,0,0,29170314,0,4913 93,3,2024-09-07 09:30:21:417,1,472,13,0,190,4173,472,0 94,0,2024-09-07 09:30:21:637,118096,0.3,118878,0.5,237528,0.3,315200,1.75 94,1,2024-09-07 09:30:20:580,828909,828909,0,0,390296682586,4072328222,823150,5388,371,381,391850,0 94,2,2024-09-07 09:30:20:770,596631,596603,28,0,24990051,0,6179 94,3,2024-09-07 09:30:21:707,1,472,24,0,576,6140,472,0 95,0,2024-09-07 09:30:21:350,118426,0.3,118152,0.5,236971,0.3,315566,1.75 95,1,2024-09-07 09:30:20:867,830320,830320,0,0,390126949246,4055117927,823617,6223,480,365,391786,0 95,2,2024-09-07 09:30:21:018,595645,595645,0,0,23741090,0,3308 95,3,2024-09-07 09:30:21:711,1,472,111,0,718,6830,472,0 96,0,2024-09-07 09:30:21:036,117685,0.4,117988,0.5,235602,0.3,312546,1.75 96,1,2024-09-07 09:30:21:583,828786,828786,0,0,389240570420,4060242472,822826,5053,907,384,391955,0 96,2,2024-09-07 09:30:21:269,600833,600833,0,0,24624229,0,4180 96,3,2024-09-07 09:30:21:141,1,472,0,0,411,5159,472,0 97,0,2024-09-07 09:30:21:373,117319,0.3,116814,0.5,234686,0.2,312059,1.50 97,1,2024-09-07 09:30:20:776,830260,830260,0,0,390708151896,4051230463,825063,4261,936,367,392140,0 97,2,2024-09-07 09:30:20:607,596795,596795,0,0,23639855,0,3679 97,3,2024-09-07 09:30:20:570,1,472,13,0,242,5704,472,0 98,0,2024-09-07 09:30:21:730,117482,0.3,117831,0.4,236184,0.2,313567,1.50 98,1,2024-09-07 09:30:20:571,830060,830060,0,0,389933329947,4061300436,824797,4469,794,381,391997,0 98,2,2024-09-07 09:30:20:772,596134,596134,0,0,23781583,0,4336 98,3,2024-09-07 09:30:20:699,1,472,54,0,840,7518,472,0 99,0,2024-09-07 09:30:21:468,119038,0.3,119663,0.5,237971,0.3,317590,1.75 99,1,2024-09-07 09:30:21:727,829570,829570,0,0,389660499131,4054977748,824253,4478,839,380,392069,0 99,2,2024-09-07 09:30:21:417,598192,598192,0,0,28387241,0,4276 99,3,2024-09-07 09:30:20:581,1,472,6,0,606,4931,472,0 100,0,2024-09-07 09:30:21:461,117432,0.8,117761,0.9,234812,0.9,313514,2.50 100,1,2024-09-07 09:30:20:704,825074,825074,0,0,387930739519,4102093311,812038,10087,2949,378,391989,0 100,2,2024-09-07 09:30:21:817,597433,597422,11,0,27633369,0,5417 100,3,2024-09-07 09:30:21:739,1,472,1,0,559,7434,472,0 101,0,2024-09-07 09:30:21:705,118944,1.0,115969,1.0,227217,0.8,311388,2.25 101,1,2024-09-07 09:30:20:550,826058,826058,0,0,388004334820,4078774239,814357,9334,2367,368,391847,0 101,2,2024-09-07 09:30:21:762,591813,591813,0,0,32186175,0,4871 101,3,2024-09-07 09:30:20:944,1,472,13,0,1250,7488,472,0 102,0,2024-09-07 09:30:20:980,115840,0.6,119410,0.7,242407,0.6,316814,2.00 102,1,2024-09-07 09:30:21:142,825901,825901,0,0,388342037971,4080623680,814473,9432,1996,369,391891,0 102,2,2024-09-07 09:30:21:742,596176,596122,54,0,26152528,0,6768 102,3,2024-09-07 09:30:21:616,1,472,8,0,466,5075,472,0 103,0,2024-09-07 09:30:21:603,123351,0.5,123417,0.7,232515,0.5,319534,2.00 103,1,2024-09-07 09:30:21:625,825601,825601,0,0,387521129267,4091662909,812291,10116,3194,381,391862,0 103,2,2024-09-07 09:30:20:583,596186,596186,0,0,29128628,0,3766 103,3,2024-09-07 09:30:20:760,1,472,0,0,916,5414,472,0 104,0,2024-09-07 09:30:21:013,118712,0.7,119044,0.9,237017,0.7,317408,2.25 104,1,2024-09-07 09:30:21:604,827583,827583,0,0,388527384863,4084098329,814931,10106,2546,365,392168,0 104,2,2024-09-07 09:30:21:667,595936,595936,0,0,28138966,0,3941 104,3,2024-09-07 09:30:21:418,1,472,1,0,1245,8864,472,0 105,0,2024-09-07 09:30:21:034,115398,0.8,112375,1.0,235454,0.9,309850,2.75 105,1,2024-09-07 09:30:20:561,829029,829029,0,0,389168950473,4079236234,818183,9194,1652,366,392009,0 105,2,2024-09-07 09:30:21:343,594851,594851,0,0,27786271,0,3509 105,3,2024-09-07 09:30:21:310,1,472,17,0,399,6957,472,0 106,0,2024-09-07 09:30:21:022,115355,0.8,118099,0.9,242067,0.8,315822,2.50 106,1,2024-09-07 09:30:21:900,828051,828051,0,0,388418783209,4076697965,816084,10324,1643,368,391914,0 106,2,2024-09-07 09:30:20:756,595619,595619,0,0,26802633,0,2920 106,3,2024-09-07 09:30:20:677,1,472,6,0,470,6086,472,0 107,0,2024-09-07 09:30:21:111,118893,0.8,119138,0.9,238082,0.9,317564,2.25 107,1,2024-09-07 09:30:20:586,826352,826352,0,0,388308482469,4091636181,815063,10190,1099,381,392234,0 107,2,2024-09-07 09:30:21:297,595344,595343,1,0,29134389,0,5024 107,3,2024-09-07 09:30:21:759,1,472,5,0,370,6795,472,0 108,0,2024-09-07 09:30:21:766,118046,0.4,118948,0.6,236520,0.4,315681,1.75 108,1,2024-09-07 09:30:21:293,828827,828827,0,0,388973745432,4057525995,821742,6250,835,367,391857,0 108,2,2024-09-07 09:30:21:755,595536,595536,0,0,26600440,0,4246 108,3,2024-09-07 09:30:21:330,1,472,2,0,749,9342,472,0 109,0,2024-09-07 09:30:21:761,117902,0.4,116989,0.6,234117,0.3,313171,1.75 109,1,2024-09-07 09:30:20:583,826351,826351,0,0,388679998305,4079153974,818361,6780,1210,382,392132,0 109,2,2024-09-07 09:30:20:924,596811,596811,0,0,26017254,0,3617 109,3,2024-09-07 09:30:21:142,1,472,10,0,630,6063,472,0 110,0,2024-09-07 09:30:21:750,117491,0.4,114359,0.5,239590,0.3,313794,1.75 110,1,2024-09-07 09:30:21:669,830231,830231,0,0,390028223114,4047762303,824330,4681,1220,369,392045,0 110,2,2024-09-07 09:30:21:314,596767,596767,0,0,24972783,0,4067 110,3,2024-09-07 09:30:20:690,1,472,1,0,722,6638,472,0 111,0,2024-09-07 09:30:21:426,118444,0.3,117756,0.5,235982,0.3,315085,1.75 111,1,2024-09-07 09:30:20:999,831338,831338,0,0,391183298295,4056321901,827049,3933,356,380,391690,0 111,2,2024-09-07 09:30:21:116,595257,595257,0,0,24842653,0,4823 111,3,2024-09-07 09:30:20:914,1,472,1,0,379,5591,472,0 112,0,2024-09-07 09:30:20:910,119543,0.3,118938,0.4,238423,0.2,316568,1.50 112,1,2024-09-07 09:30:20:826,830299,830299,0,0,390455936864,4051883373,825237,4406,656,380,391624,0 112,2,2024-09-07 09:30:21:146,596347,596346,1,0,23786129,0,5036 112,3,2024-09-07 09:30:20:592,1,472,7,0,282,4759,472,0 113,0,2024-09-07 09:30:20:893,117603,0.3,117702,0.5,235645,0.2,313676,1.75 113,1,2024-09-07 09:30:21:687,832615,832615,0,0,391650989510,4038311367,828627,3398,590,366,391661,0 113,2,2024-09-07 09:30:21:307,602371,602371,0,0,21863532,0,3813 113,3,2024-09-07 09:30:20:690,1,472,6,0,340,5104,472,0 114,0,2024-09-07 09:30:20:873,117558,0.3,118338,0.4,235256,0.2,314802,1.75 114,1,2024-09-07 09:30:20:716,830853,830853,0,0,389900338559,4048856422,824458,4779,1616,381,391565,0 114,2,2024-09-07 09:30:20:877,597400,597399,1,0,22659504,0,5069 114,3,2024-09-07 09:30:21:278,1,472,1,0,395,3880,472,0 115,0,2024-09-07 09:30:20:553,118837,0.3,119290,0.4,238014,0.2,315944,1.50 115,1,2024-09-07 09:30:20:571,830698,830698,0,0,390103549692,4053369981,824010,5420,1268,382,391757,0 115,2,2024-09-07 09:30:21:125,597541,597541,0,0,22868502,0,4382 115,3,2024-09-07 09:30:21:002,1,472,14,0,159,2625,472,0 116,0,2024-09-07 09:30:21:695,118807,0.7,118681,0.8,238090,0.6,317843,2.00 116,1,2024-09-07 09:30:20:818,826674,826674,0,0,388572450653,4093304093,816991,7281,2402,380,392089,0 116,2,2024-09-07 09:30:21:750,595943,595943,0,0,29196913,0,4128 116,3,2024-09-07 09:30:20:913,1,472,109,0,415,5691,472,0 117,0,2024-09-07 09:30:20:976,118965,0.7,118388,0.8,237294,0.8,316384,2.00 117,1,2024-09-07 09:30:21:578,827644,827644,0,0,388160131982,4061329229,818981,7667,996,369,392033,0 117,2,2024-09-07 09:30:21:121,600965,600965,0,0,25738595,0,4303 117,3,2024-09-07 09:30:21:061,1,472,10,0,490,6770,472,0 118,0,2024-09-07 09:30:21:854,113001,0.5,116038,0.7,236909,0.4,309735,2.00 118,1,2024-09-07 09:30:20:594,827030,827030,0,0,388318889957,4081111518,814763,9247,3020,366,392054,0 118,2,2024-09-07 09:30:21:590,594835,594835,0,0,27544585,0,2842 118,3,2024-09-07 09:30:21:765,1,472,24,0,248,5527,472,0 119,0,2024-09-07 09:30:21:345,119165,0.7,119436,0.8,238846,0.7,317759,2.00 119,1,2024-09-07 09:30:20:553,827681,827681,0,0,388810614906,4071416308,817382,8903,1396,367,391857,0 119,2,2024-09-07 09:30:21:262,596713,596713,0,0,25516800,0,4174 119,3,2024-09-07 09:30:21:328,1,472,1,0,1358,8904,472,0 120,0,2024-09-07 09:30:21:558,118683,0.6,118486,0.8,237832,0.7,317279,2.25 120,1,2024-09-07 09:30:20:864,828136,828136,0,0,388363203043,4072695729,819352,8010,774,368,392144,0 120,2,2024-09-07 09:30:20:771,596654,596653,1,0,30426539,0,5281 120,3,2024-09-07 09:30:21:307,1,472,26,0,279,5864,472,0 121,0,2024-09-07 09:30:21:689,119313,1.3,118862,1.1,238143,1.8,317879,2.25 121,1,2024-09-07 09:30:21:667,828452,828452,0,0,389164731284,4066991178,820826,7073,553,367,391840,0 121,2,2024-09-07 09:30:21:126,595988,595988,0,0,28524573,0,4157 121,3,2024-09-07 09:30:20:727,1,472,32,0,269,5501,472,0 122,0,2024-09-07 09:30:21:764,116639,0.8,113607,0.9,238208,0.9,312799,2.25 122,1,2024-09-07 09:30:20:860,827140,827140,0,0,389040956578,4080376112,815932,9503,1705,366,392130,0 122,2,2024-09-07 09:30:21:318,597804,597731,73,0,31575169,0,5989 122,3,2024-09-07 09:30:20:594,1,472,1,0,512,8323,472,0 123,0,2024-09-07 09:30:20:966,118551,0.8,115467,0.9,241914,1.0,317029,2.25 123,1,2024-09-07 09:30:20:559,827046,827046,0,0,388519791622,4088485393,813066,11534,2446,369,392039,0 123,2,2024-09-07 09:30:21:019,594553,594552,1,0,26805708,0,5215 123,3,2024-09-07 09:30:21:135,1,472,1,0,478,5527,472,0 124,0,2024-09-07 09:30:20:985,122012,0.3,121980,0.5,229932,0.3,316314,1.75 124,1,2024-09-07 09:30:21:021,830010,830010,0,0,389270885572,4048099288,823703,5194,1113,367,392178,0 124,2,2024-09-07 09:30:21:020,597599,597546,53,0,24613292,0,6487 124,3,2024-09-07 09:30:20:771,1,472,3,0,490,4778,472,0 125,0,2024-09-07 09:30:21:439,118297,0.4,118112,0.6,237044,0.4,315524,1.75 125,1,2024-09-07 09:30:20:856,828455,828455,0,0,389398115098,4065719764,821801,5681,973,382,391813,0 125,2,2024-09-07 09:30:21:115,597561,597561,0,0,25011847,0,4534 125,3,2024-09-07 09:30:21:126,1,472,24,0,709,5710,472,0 126,0,2024-09-07 09:30:21:437,117637,0.4,121070,0.6,231590,0.4,313329,1.75 126,1,2024-09-07 09:30:20:557,831069,831069,0,0,390886126277,4047093167,826681,4092,296,365,391987,0 126,2,2024-09-07 09:30:20:610,601034,601034,0,0,25518279,0,4539 126,3,2024-09-07 09:30:20:911,1,472,4,0,268,5467,472,0 127,0,2024-09-07 09:30:21:590,117281,0.3,117697,0.5,234790,0.3,312415,1.75 127,1,2024-09-07 09:30:20:569,829891,829891,0,0,389845190526,4057394279,821223,7207,1461,364,392187,0 127,2,2024-09-07 09:30:20:637,594915,594911,4,0,24150521,0,5305 127,3,2024-09-07 09:30:21:267,1,472,7,0,968,4939,472,0 128,0,2024-09-07 09:30:21:535,118377,0.3,118452,0.4,236583,0.2,314310,1.50 128,1,2024-09-07 09:30:21:609,828820,828820,0,0,390522968609,4051855098,823783,4603,434,367,392031,0 128,2,2024-09-07 09:30:21:390,597477,597477,0,0,22529805,0,3171 128,3,2024-09-07 09:30:20:770,1,472,10,0,1082,7233,472,0 129,0,2024-09-07 09:30:21:002,120040,0.3,119493,0.5,239388,0.3,317970,1.50 129,1,2024-09-07 09:30:20:566,826926,826926,0,0,387978935152,4064784257,818878,6373,1675,379,391962,0 129,2,2024-09-07 09:30:20:697,598105,598101,4,0,24633189,0,5335 129,3,2024-09-07 09:30:20:696,1,472,14,0,506,6909,472,0 130,0,2024-09-07 09:30:21:743,118466,0.5,117951,0.6,237177,0.5,314863,1.75 130,1,2024-09-07 09:30:20:583,830271,830271,0,0,390017999243,4052975583,825785,4101,385,381,391825,0 130,2,2024-09-07 09:30:21:125,600928,600928,0,0,24383200,0,4067 130,3,2024-09-07 09:30:21:293,1,472,6,0,960,7024,472,0 131,0,2024-09-07 09:30:21:931,116598,0.3,116951,0.5,234604,0.3,312097,1.75 131,1,2024-09-07 09:30:21:820,829598,829598,0,0,389237428370,4061631653,823502,5042,1054,381,391865,0 131,2,2024-09-07 09:30:20:574,597284,597284,0,0,22286521,0,3979 131,3,2024-09-07 09:30:21:688,1,472,7,0,392,5273,472,0 132,0,2024-09-07 09:30:21:417,119176,0.4,120263,0.6,239177,0.4,317837,1.75 132,1,2024-09-07 09:30:20:577,826089,826089,0,0,388952611327,4092404156,814346,9611,2132,381,392532,0 132,2,2024-09-07 09:30:20:726,595685,595668,17,0,29398877,0,6451 132,3,2024-09-07 09:30:21:694,1,472,14,0,804,7968,472,0 133,0,2024-09-07 09:30:21:542,116403,0.4,119216,0.6,244384,0.4,317773,1.75 133,1,2024-09-07 09:30:20:585,825852,825852,0,0,388065097308,4089695273,814362,9869,1621,383,391914,0 133,2,2024-09-07 09:30:21:087,597835,597785,50,0,30507102,0,6861 133,3,2024-09-07 09:30:21:298,1,472,28,0,479,4915,472,0 134,0,2024-09-07 09:30:20:948,120007,0.5,119741,0.7,239825,0.5,319703,2.00 134,1,2024-09-07 09:30:20:587,827297,827297,0,0,388391707394,4069730374,817113,8115,2069,366,391718,0 134,2,2024-09-07 09:30:21:769,596609,596585,24,0,28544075,0,6207 134,3,2024-09-07 09:30:20:749,1,472,1,0,739,5753,472,0 135,0,2024-09-07 09:30:21:094,112969,0.7,112895,0.8,239751,0.8,309274,2.25 135,1,2024-09-07 09:30:21:585,827400,827400,0,0,389282433588,4091251902,817037,8985,1378,380,391805,0 135,2,2024-09-07 09:30:20:691,596323,596323,0,0,27709992,0,3981 135,3,2024-09-07 09:30:21:002,1,472,52,0,299,4097,472,0 136,0,2024-09-07 09:30:21:617,119996,0.5,120237,0.7,239400,0.5,318830,2.00 136,1,2024-09-07 09:30:21:440,828575,828575,0,0,388534775324,4072487693,819239,8198,1138,381,391685,0 136,2,2024-09-07 09:30:21:133,597813,597813,0,0,26607833,0,3506 136,3,2024-09-07 09:30:21:106,1,472,45,0,637,5469,472,0 137,0,2024-09-07 09:30:20:945,122931,0.6,119729,0.7,234793,0.5,318804,2.00 137,1,2024-09-07 09:30:20:575,826588,826588,0,0,388011031639,4070415711,813670,10250,2668,366,391898,0 137,2,2024-09-07 09:30:21:708,595990,595990,0,0,29848882,0,3185 137,3,2024-09-07 09:30:20:772,1,472,19,0,484,6093,472,0 138,0,2024-09-07 09:30:21:761,117873,0.9,117914,0.9,236701,1.2,314192,2.25 138,1,2024-09-07 09:30:21:696,827850,827850,0,0,389334666834,4082237435,816534,9496,1820,368,391954,0 138,2,2024-09-07 09:30:20:585,595269,595269,0,0,27595714,0,4988 138,3,2024-09-07 09:30:20:610,1,472,0,0,1200,7485,472,0 139,0,2024-09-07 09:30:21:475,116373,1.4,116602,1.1,233397,2.0,312233,2.50 139,1,2024-09-07 09:30:20:576,823611,823611,0,0,386203799781,4101586841,808045,12119,3447,380,392058,0 139,2,2024-09-07 09:30:20:692,594834,594834,0,0,30657306,0,3097 139,3,2024-09-07 09:30:21:667,1,472,6,0,432,5565,472,0 140,0,2024-09-07 09:30:21:590,118242,0.3,117405,0.5,235710,0.2,313967,1.75 140,1,2024-09-07 09:30:21:535,832395,832395,0,0,391719158091,4037962720,828347,3587,461,365,391606,0 140,2,2024-09-07 09:30:20:696,597716,597715,1,0,23344260,0,5036 140,3,2024-09-07 09:30:20:768,1,472,61,0,297,4153,472,0 141,0,2024-09-07 09:30:21:711,118345,0.3,121453,0.4,231909,0.2,314761,1.50 141,1,2024-09-07 09:30:20:859,830991,830991,0,0,390227560723,4055364460,824222,5684,1085,379,391614,0 141,2,2024-09-07 09:30:21:687,596373,596362,11,0,24554410,0,5369 141,3,2024-09-07 09:30:21:043,1,472,8,0,391,5255,472,0 142,0,2024-09-07 09:30:21:323,119546,0.3,118841,0.5,237902,0.3,317193,1.75 142,1,2024-09-07 09:30:20:584,829002,829002,0,0,389245196910,4057182926,823685,4865,452,382,392102,0 142,2,2024-09-07 09:30:21:298,595909,595877,32,0,25507508,0,6028 142,3,2024-09-07 09:30:21:754,1,472,11,0,484,5157,472,0 143,0,2024-09-07 09:30:21:374,117613,0.4,117759,0.6,235849,0.4,313693,1.75 143,1,2024-09-07 09:30:20:557,830808,830808,0,0,390432914953,4052441700,825079,5178,551,367,391705,0 143,2,2024-09-07 09:30:20:770,601185,601185,0,0,24701468,0,3123 143,3,2024-09-07 09:30:21:142,1,472,1,0,462,6150,472,0 144,0,2024-09-07 09:30:21:499,113709,0.6,116795,0.8,238082,0.5,312332,2.00 144,1,2024-09-07 09:30:20:565,826966,826966,0,0,388054971828,4072712967,818400,6667,1899,381,391733,0 144,2,2024-09-07 09:30:21:770,596890,596890,0,0,22876319,0,3673 144,3,2024-09-07 09:30:21:738,1,472,2,0,249,4761,472,0 145,0,2024-09-07 09:30:21:385,114604,0.6,114641,0.8,243072,0.5,312847,2.25 145,1,2024-09-07 09:30:20:560,826112,826112,0,0,387943441122,4080258780,815350,8894,1868,382,391759,0 145,2,2024-09-07 09:30:21:451,593507,593426,81,0,28283523,0,7814 145,3,2024-09-07 09:30:20:895,1,472,32,0,622,6526,472,0 146,0,2024-09-07 09:30:21:599,119062,0.6,118628,0.7,238504,0.6,316277,2.25 146,1,2024-09-07 09:30:21:585,827499,827499,0,0,388200567898,4082170412,814180,9790,3529,367,391770,0 146,2,2024-09-07 09:30:21:697,595649,595649,0,0,27282075,0,3290 146,3,2024-09-07 09:30:21:278,1,472,2,0,1520,8256,472,0 147,0,2024-09-07 09:30:21:697,118835,0.6,118676,0.8,236587,0.6,315801,2.25 147,1,2024-09-07 09:30:21:379,830610,830610,0,0,390423151778,4059174457,823648,6113,849,368,391791,0 147,2,2024-09-07 09:30:21:018,598495,598495,0,0,24742341,0,2968 147,3,2024-09-07 09:30:20:914,1,472,2,0,1626,8062,472,0 0,0,2024-09-07 09:30:31:734,116114,0.6,116168,0.7,246370,0.6,318264,2.00 0,1,2024-09-07 09:30:30:812,829104,829104,0,0,390265122656,4088962814,821707,6562,835,369,391896,0 0,2,2024-09-07 09:30:31:068,600033,600033,0,0,24598375,0,4480 0,3,2024-09-07 09:30:30:977,1,473,0,0,431,6848,473,0 1,0,2024-09-07 09:30:31:785,119397,1.0,118880,1.0,238175,1.4,318613,2.00 1,1,2024-09-07 09:30:30:578,829286,829286,0,0,388987526209,4071787586,822100,5830,1356,370,391859,0 1,2,2024-09-07 09:30:30:639,598547,598547,0,0,24008592,0,3380 1,3,2024-09-07 09:30:31:324,1,473,58,0,269,6036,473,0 2,0,2024-09-07 09:30:31:600,117272,0.6,117199,0.7,234210,0.6,312307,2.00 2,1,2024-09-07 09:30:30:926,831732,831732,0,0,391073338825,4061178040,827733,3333,666,380,391745,0 2,2,2024-09-07 09:30:31:266,599366,599366,0,0,22533177,0,3594 2,3,2024-09-07 09:30:30:700,1,473,7,0,357,4338,473,0 3,0,2024-09-07 09:30:31:760,119433,0.4,119171,0.6,238390,0.4,317719,2.00 3,1,2024-09-07 09:30:31:632,830290,830290,0,0,390210977997,4063222518,823470,6125,695,379,391716,0 3,2,2024-09-07 09:30:31:142,598602,598579,23,0,23786640,0,5851 3,3,2024-09-07 09:30:31:754,1,473,4,0,207,3003,473,0 4,0,2024-09-07 09:30:31:913,115239,0.4,118421,0.5,241440,0.3,315882,1.75 4,1,2024-09-07 09:30:30:598,827197,827197,0,0,388875902213,4115218764,812883,11055,3259,370,391992,0 4,2,2024-09-07 09:30:31:019,596152,596152,0,0,27926081,0,4528 4,3,2024-09-07 09:30:31:028,1,473,3,0,448,6299,473,0 5,0,2024-09-07 09:30:31:397,118301,0.4,118939,0.6,237271,0.4,315413,1.75 5,1,2024-09-07 09:30:30:755,828628,828628,0,0,389833103301,4103308886,816857,9407,2364,367,392005,0 5,2,2024-09-07 09:30:31:833,594494,594494,0,0,27980915,0,3582 5,3,2024-09-07 09:30:31:732,1,473,13,0,457,6734,473,0 6,0,2024-09-07 09:30:30:919,118050,0.4,117589,0.6,235139,0.4,313785,2.00 6,1,2024-09-07 09:30:30:752,830883,830883,0,0,389134809078,4064610822,822255,7202,1426,379,391702,0 6,2,2024-09-07 09:30:31:116,600635,600617,18,0,26930970,0,5535 6,3,2024-09-07 09:30:31:274,1,473,1,0,710,5969,473,0 7,0,2024-09-07 09:30:31:543,116821,0.5,117719,0.6,234287,0.4,311813,2.00 7,1,2024-09-07 09:30:30:864,828947,828947,0,0,388665521699,4079955163,817722,9241,1984,382,391747,0 7,2,2024-09-07 09:30:30:772,597768,597768,0,0,26182262,0,4791 7,3,2024-09-07 09:30:30:852,1,473,0,0,552,5589,473,0 8,0,2024-09-07 09:30:31:341,118208,0.3,117952,0.5,236607,0.3,315069,1.75 8,1,2024-09-07 09:30:31:033,828100,828100,0,0,388776518951,4086335901,815488,9833,2779,366,392853,0 8,2,2024-09-07 09:30:30:845,592559,592559,0,0,31057563,0,3250 8,3,2024-09-07 09:30:30:585,1,473,2,0,538,7501,473,0 9,0,2024-09-07 09:30:31:145,119198,0.4,115958,0.5,242747,0.3,317992,1.75 9,1,2024-09-07 09:30:30:561,827229,827229,0,0,388392018143,4091127526,814897,9864,2468,369,392001,0 9,2,2024-09-07 09:30:31:089,596627,596627,0,0,28404933,0,4615 9,3,2024-09-07 09:30:31:766,1,473,12,0,496,6694,473,0 10,0,2024-09-07 09:30:31:605,117865,0.3,117429,0.5,235717,0.3,313897,1.75 10,1,2024-09-07 09:30:30:583,829930,829930,0,0,389009339444,4077220219,818526,9464,1940,381,391741,0 10,2,2024-09-07 09:30:30:776,600797,600797,0,0,30888336,0,4264 10,3,2024-09-07 09:30:30:889,1,473,0,0,649,5036,473,0 11,0,2024-09-07 09:30:31:008,116979,0.4,113414,0.6,237320,0.4,313172,1.75 11,1,2024-09-07 09:30:30:579,829913,829913,0,0,389777147644,4090018971,817162,9631,3120,383,391756,0 11,2,2024-09-07 09:30:31:123,595941,595941,0,0,26876866,0,4130 11,3,2024-09-07 09:30:31:301,1,473,1,0,843,6579,473,0 12,0,2024-09-07 09:30:31:011,120019,0.4,120017,0.5,239952,0.3,318454,1.75 12,1,2024-09-07 09:30:30:945,829843,829843,0,0,389631803435,4065516851,823056,6130,657,370,391960,0 12,2,2024-09-07 09:30:31:542,596693,596693,0,0,26804277,0,4390 12,3,2024-09-07 09:30:31:074,1,473,2,0,386,6625,473,0 13,0,2024-09-07 09:30:31:362,120569,0.4,120092,0.6,239680,0.4,319390,1.75 13,1,2024-09-07 09:30:31:563,828007,828007,0,0,389608454436,4097416805,818963,6893,2151,382,391740,0 13,2,2024-09-07 09:30:30:597,600326,600326,0,0,24504024,0,3287 13,3,2024-09-07 09:30:31:768,1,473,18,0,522,6740,473,0 14,0,2024-09-07 09:30:30:566,119719,0.4,120693,0.6,239039,0.4,318458,1.75 14,1,2024-09-07 09:30:31:577,833943,833943,0,0,392020507767,4058498488,827417,5813,713,364,391673,0 14,2,2024-09-07 09:30:30:794,599570,599540,30,0,25864241,0,6104 14,3,2024-09-07 09:30:31:115,1,473,12,0,1168,4989,473,0 15,0,2024-09-07 09:30:31:564,116705,0.4,116454,0.6,233673,0.4,311145,2.00 15,1,2024-09-07 09:30:31:621,830767,830767,0,0,390694167651,4069970550,823753,5559,1455,381,391619,0 15,2,2024-09-07 09:30:30:999,599074,599074,0,0,21510775,0,3622 15,3,2024-09-07 09:30:31:409,1,473,9,0,1126,7183,473,0 16,0,2024-09-07 09:30:30:948,119528,0.5,120272,0.7,239520,0.5,318464,2.00 16,1,2024-09-07 09:30:30:567,830525,830525,0,0,389835577889,4075540207,823109,6182,1234,370,391917,0 16,2,2024-09-07 09:30:31:455,597549,597549,0,0,25588862,0,4719 16,3,2024-09-07 09:30:31:143,1,473,29,0,358,5982,473,0 17,0,2024-09-07 09:30:31:802,123035,0.6,120038,0.7,234967,0.6,319034,2.00 17,1,2024-09-07 09:30:30:570,829024,829024,0,0,389087838148,4083416905,820520,6787,1717,368,392075,0 17,2,2024-09-07 09:30:31:677,601015,601014,1,0,26432397,0,5050 17,3,2024-09-07 09:30:30:577,1,473,7,0,518,7376,473,0 18,0,2024-09-07 09:30:30:970,117509,0.7,118043,0.8,235502,0.7,314539,2.25 18,1,2024-09-07 09:30:31:640,832928,832928,0,0,391554976655,4056811033,828469,4021,438,367,391725,0 18,2,2024-09-07 09:30:31:759,600212,600212,0,0,23128065,0,3541 18,3,2024-09-07 09:30:30:896,1,473,1,0,1059,4499,473,0 19,0,2024-09-07 09:30:31:544,117374,0.6,117606,0.8,233847,0.6,311473,2.25 19,1,2024-09-07 09:30:30:566,832847,832847,0,0,391480805510,4060091987,826257,5508,1082,367,391777,0 19,2,2024-09-07 09:30:31:760,601968,601968,0,0,21517409,0,3988 19,3,2024-09-07 09:30:31:129,1,473,52,0,524,3994,473,0 20,0,2024-09-07 09:30:31:402,117954,0.5,118071,0.6,235730,0.4,314637,2.00 20,1,2024-09-07 09:30:30:570,829687,829687,0,0,390644895936,4088742808,821689,6700,1298,369,391922,0 20,2,2024-09-07 09:30:30:934,597101,597101,0,0,25690257,0,3721 20,3,2024-09-07 09:30:30:596,1,473,12,0,468,7761,473,0 21,0,2024-09-07 09:30:31:157,118328,0.4,118401,0.6,236733,0.4,314465,1.75 21,1,2024-09-07 09:30:31:539,827186,827186,0,0,388699563490,4101268058,814352,9859,2975,368,392016,0 21,2,2024-09-07 09:30:31:080,594700,594680,20,0,31059098,0,5617 21,3,2024-09-07 09:30:31:407,1,473,10,0,713,6247,473,0 22,0,2024-09-07 09:30:31:722,118834,0.5,119313,0.7,238236,0.4,315676,2.00 22,1,2024-09-07 09:30:31:023,828988,828988,0,0,389022874598,4093532274,815540,10488,2960,382,391675,0 22,2,2024-09-07 09:30:30:764,596175,596149,26,0,25712036,0,6328 22,3,2024-09-07 09:30:31:067,1,473,126,0,228,3876,473,0 23,0,2024-09-07 09:30:31:382,117524,0.5,116995,0.7,234214,0.5,312437,2.25 23,1,2024-09-07 09:30:31:003,830161,830161,0,0,390386524320,4096663421,815939,10009,4213,365,391690,0 23,2,2024-09-07 09:30:31:092,603136,603136,0,0,24311160,0,3773 23,3,2024-09-07 09:30:31:758,1,473,0,0,720,5905,473,0 24,0,2024-09-07 09:30:30:946,118514,0.4,117725,0.5,236592,0.4,314487,1.75 24,1,2024-09-07 09:30:30:600,828879,828879,0,0,388660688488,4071324333,820383,6835,1661,367,392269,0 24,2,2024-09-07 09:30:31:082,597090,597090,0,0,28922500,0,3607 24,3,2024-09-07 09:30:31:689,1,473,2,0,468,6325,473,0 25,0,2024-09-07 09:30:31:427,121840,0.4,118745,0.6,232913,0.4,316941,1.75 25,1,2024-09-07 09:30:30:583,827989,827989,0,0,388891948633,4101242101,814308,10976,2705,371,391928,0 25,2,2024-09-07 09:30:31:619,594976,594976,0,0,30403406,0,3978 25,3,2024-09-07 09:30:31:003,1,473,12,0,532,5275,473,0 26,0,2024-09-07 09:30:31:735,118937,0.4,116397,0.6,244008,0.4,317305,1.75 26,1,2024-09-07 09:30:31:542,830815,830815,0,0,388858468724,4075724181,818499,9903,2413,380,391748,0 26,2,2024-09-07 09:30:30:861,597961,597961,0,0,31754509,0,4689 26,3,2024-09-07 09:30:31:731,1,473,87,0,796,5512,473,0 27,0,2024-09-07 09:30:31:737,118920,0.4,119172,0.6,236966,0.4,315913,2.25 27,1,2024-09-07 09:30:31:682,831904,831904,0,0,391387631246,4077928783,823657,6985,1262,381,391626,0 27,2,2024-09-07 09:30:30:885,598098,598033,65,0,27750704,0,5699 27,3,2024-09-07 09:30:31:015,1,473,2,0,564,4272,473,0 28,0,2024-09-07 09:30:31:400,117241,0.4,116659,0.6,234319,0.3,312381,1.75 28,1,2024-09-07 09:30:30:812,831243,831243,0,0,390899114432,4078163317,823756,5787,1700,382,391698,0 28,2,2024-09-07 09:30:31:767,597341,597341,0,0,24426416,0,2915 28,3,2024-09-07 09:30:31:781,1,473,14,0,502,4873,473,0 29,0,2024-09-07 09:30:31:357,122823,0.4,119702,0.6,234688,0.3,319834,1.75 29,1,2024-09-07 09:30:31:592,833853,833853,0,0,392161941220,4057967607,828567,4527,759,368,391809,0 29,2,2024-09-07 09:30:30:866,596786,596786,0,0,23757537,0,4986 29,3,2024-09-07 09:30:30:963,1,473,12,0,459,5418,473,0 30,0,2024-09-07 09:30:31:466,119254,0.5,115858,0.7,242417,0.5,317077,2.00 30,1,2024-09-07 09:30:30:570,832580,832580,0,0,391644422007,4066821339,825971,5760,849,380,391672,0 30,2,2024-09-07 09:30:31:274,599491,599491,0,0,23351373,0,4192 30,3,2024-09-07 09:30:30:582,1,473,1,0,519,4785,473,0 31,0,2024-09-07 09:30:31:775,119083,0.5,119538,0.7,238753,0.4,318686,2.00 31,1,2024-09-07 09:30:30:572,835601,835601,0,0,392590902610,4027910915,831278,3522,801,356,391712,0 31,2,2024-09-07 09:30:31:277,597436,597436,0,0,25734297,0,4470 31,3,2024-09-07 09:30:31:710,1,473,46,0,220,4083,473,0 32,0,2024-09-07 09:30:31:415,117573,0.3,118160,0.5,235498,0.3,313932,1.75 32,1,2024-09-07 09:30:30:817,831901,831901,0,0,390958680649,4064807553,826667,4552,682,381,391646,0 32,2,2024-09-07 09:30:30:935,599545,599545,0,0,22248512,0,3922 32,3,2024-09-07 09:30:31:015,1,473,1,0,304,3724,473,0 33,0,2024-09-07 09:30:31:500,119952,0.3,119541,0.4,239281,0.2,318868,1.50 33,1,2024-09-07 09:30:30:578,832925,832925,0,0,391562279824,4057958680,826111,5687,1127,368,391730,0 33,2,2024-09-07 09:30:30:763,598652,598617,35,0,24771147,0,7012 33,3,2024-09-07 09:30:30:913,1,473,12,0,329,4557,473,0 34,0,2024-09-07 09:30:30:967,119101,0.3,122351,0.4,233931,0.2,315172,1.75 34,1,2024-09-07 09:30:31:049,834748,834748,0,0,392829347807,4038128237,832704,2024,20,367,391562,0 34,2,2024-09-07 09:30:30:766,599817,599817,0,0,23142286,0,4562 34,3,2024-09-07 09:30:31:690,1,473,0,0,320,3822,473,0 35,0,2024-09-07 09:30:30:860,117719,0.3,118359,0.5,237784,0.3,316233,1.75 35,1,2024-09-07 09:30:31:069,832092,832092,0,0,391352067795,4056501935,826966,4150,976,382,391769,0 35,2,2024-09-07 09:30:31:613,597086,597086,0,0,25224612,0,4055 35,3,2024-09-07 09:30:30:910,1,473,42,0,418,4668,473,0 36,0,2024-09-07 09:30:31:553,118213,0.4,118077,0.6,236073,0.4,314423,2.00 36,1,2024-09-07 09:30:30:583,831645,831645,0,0,389972327440,4070971759,821345,8291,2009,366,391759,0 36,2,2024-09-07 09:30:31:771,601246,601246,0,0,26122756,0,3875 36,3,2024-09-07 09:30:30:866,1,473,1,0,416,6574,473,0 37,0,2024-09-07 09:30:31:379,117056,0.5,117143,0.6,234332,0.4,312330,2.00 37,1,2024-09-07 09:30:30:569,830356,830349,0,7,390204486112,4075612403,820094,7700,2555,365,391770,0 37,2,2024-09-07 09:30:31:157,595787,595772,15,0,26294243,0,5815 37,3,2024-09-07 09:30:31:766,1,473,16,0,888,6896,473,0 38,0,2024-09-07 09:30:31:454,117434,0.4,113925,0.6,238415,0.4,312379,2.00 38,1,2024-09-07 09:30:31:622,830945,830945,0,0,391343416712,4092017693,818519,9945,2481,368,391821,0 38,2,2024-09-07 09:30:30:760,596737,596690,47,0,25967490,0,6710 38,3,2024-09-07 09:30:30:998,1,473,1,0,689,5919,473,0 39,0,2024-09-07 09:30:31:775,122064,0.6,119328,0.7,232653,0.5,317235,2.00 39,1,2024-09-07 09:30:30:716,830117,830117,0,0,389137137472,4081747710,816093,10833,3191,365,391658,0 39,2,2024-09-07 09:30:31:419,598353,598353,0,0,24897140,0,3391 39,3,2024-09-07 09:30:30:723,1,473,1,0,324,5094,473,0 40,0,2024-09-07 09:30:31:496,116734,0.8,117417,0.9,234147,0.8,312310,2.75 40,1,2024-09-07 09:30:30:583,831020,831020,0,0,389259275154,4074927757,819345,9506,2169,368,391668,0 40,2,2024-09-07 09:30:31:301,599945,599944,1,0,29530321,0,5137 40,3,2024-09-07 09:30:31:147,1,473,3,0,1028,6249,473,0 41,0,2024-09-07 09:30:31:022,116528,1.2,119216,1.1,227256,1.7,309792,3.00 41,1,2024-09-07 09:30:30:768,829519,829519,0,0,390247977069,4085313705,818688,9123,1708,369,391742,0 41,2,2024-09-07 09:30:30:763,594783,594783,0,0,27847396,0,4277 41,3,2024-09-07 09:30:31:683,1,473,12,0,366,4917,473,0 42,0,2024-09-07 09:30:31:480,118458,0.8,118209,1.0,236815,0.9,313242,2.75 42,1,2024-09-07 09:30:31:443,827299,827299,0,0,388907079974,4091976339,813102,11352,2845,380,391675,0 42,2,2024-09-07 09:30:31:143,596246,596246,0,0,27742998,0,3790 42,3,2024-09-07 09:30:31:009,1,473,1,0,892,4465,473,0 43,0,2024-09-07 09:30:30:922,118476,0.7,115447,0.9,241455,0.7,316490,2.25 43,1,2024-09-07 09:30:30:578,830800,830800,0,0,390305795362,4079762012,818868,9964,1968,366,391696,0 43,2,2024-09-07 09:30:31:768,599053,599053,0,0,27559875,0,3812 43,3,2024-09-07 09:30:31:753,1,473,18,0,571,6783,473,0 44,0,2024-09-07 09:30:30:859,119906,0.4,119929,0.6,240370,0.4,319025,2.00 44,1,2024-09-07 09:30:30:565,832280,832280,0,0,391256247324,4044898991,824904,5827,1549,356,391809,0 44,2,2024-09-07 09:30:31:267,597718,597718,0,0,23233947,0,4344 44,3,2024-09-07 09:30:31:097,1,473,1,0,817,5367,473,0 45,0,2024-09-07 09:30:31:764,115513,0.5,112810,0.7,236728,0.4,311198,2.00 45,1,2024-09-07 09:30:31:005,831631,831631,0,0,391438357667,4070862786,824462,6303,866,382,391917,0 45,2,2024-09-07 09:30:31:271,598691,598691,0,0,23071378,0,3596 45,3,2024-09-07 09:30:30:936,1,473,194,0,271,4226,473,0 46,0,2024-09-07 09:30:30:954,119153,0.5,118583,0.7,238046,0.5,315728,2.00 46,1,2024-09-07 09:30:30:578,833974,833974,0,0,391186797434,4041995560,828406,4838,730,366,391709,0 46,2,2024-09-07 09:30:30:592,598070,598070,0,0,23468265,0,4443 46,3,2024-09-07 09:30:31:134,1,473,6,0,908,5706,473,0 47,0,2024-09-07 09:30:31:113,119539,0.4,119875,0.6,240035,0.4,318036,2.00 47,1,2024-09-07 09:30:30:573,834167,834167,0,0,391368454934,4044238857,829106,4222,839,366,391641,0 47,2,2024-09-07 09:30:30:907,601740,601740,0,0,23654529,0,4477 47,3,2024-09-07 09:30:31:115,1,473,2,0,600,5523,473,0 48,0,2024-09-07 09:30:31:504,119216,0.3,119243,0.4,237290,0.2,316520,1.50 48,1,2024-09-07 09:30:31:022,832353,832353,0,0,391186975371,4061819926,827562,4334,457,384,391710,0 48,2,2024-09-07 09:30:30:699,598097,598097,0,0,21939570,0,3411 48,3,2024-09-07 09:30:30:754,1,473,53,0,339,3763,473,0 49,0,2024-09-07 09:30:31:713,120668,0.4,118583,0.5,230339,0.3,315258,1.75 49,1,2024-09-07 09:30:31:022,831630,831630,0,0,390922188937,4064588218,826388,4035,1207,382,391809,0 49,2,2024-09-07 09:30:31:801,600379,600379,0,0,23647509,0,4426 49,3,2024-09-07 09:30:31:416,1,473,8,0,992,5508,473,0 50,0,2024-09-07 09:30:31:509,118790,0.3,117156,0.4,235991,0.2,314711,1.75 50,1,2024-09-07 09:30:31:010,834502,834502,0,0,392358116787,4055725550,829349,4578,575,368,391565,0 50,2,2024-09-07 09:30:31:068,598084,598084,0,0,21785527,0,4490 50,3,2024-09-07 09:30:31:293,1,473,1,0,617,5216,473,0 51,0,2024-09-07 09:30:31:693,121295,0.3,118904,0.4,231663,0.2,316081,1.75 51,1,2024-09-07 09:30:31:681,835195,835195,0,0,393116427021,4054553611,830685,3458,1052,365,391706,0 51,2,2024-09-07 09:30:31:318,598358,598358,0,0,20725123,0,3337 51,3,2024-09-07 09:30:31:030,1,473,7,0,678,3670,473,0 52,0,2024-09-07 09:30:31:413,119304,0.5,119109,0.7,238496,0.4,316635,2.00 52,1,2024-09-07 09:30:30:578,830663,830663,0,0,390053875010,4080375247,819359,9860,1444,368,391722,0 52,2,2024-09-07 09:30:31:769,594330,594292,38,0,27825240,0,6742 52,3,2024-09-07 09:30:30:675,1,473,4,0,1782,6074,473,0 53,0,2024-09-07 09:30:31:761,117102,0.6,113637,0.8,237802,0.6,312266,2.25 53,1,2024-09-07 09:30:30:771,828771,828771,0,0,389768744071,4090166598,815033,10147,3591,367,391968,0 53,2,2024-09-07 09:30:31:301,601755,601754,1,0,25117551,0,5455 53,3,2024-09-07 09:30:30:698,1,473,9,0,308,4690,473,0 54,0,2024-09-07 09:30:31:628,116227,0.5,116496,0.7,232025,0.4,310492,2.25 54,1,2024-09-07 09:30:30:587,830783,830783,0,0,391330614980,4067581832,822174,7213,1396,366,391659,0 54,2,2024-09-07 09:30:30:870,597862,597830,32,0,28216765,0,6397 54,3,2024-09-07 09:30:30:765,1,473,1,0,676,6533,473,0 55,0,2024-09-07 09:30:31:775,114571,0.6,118137,0.7,239386,0.5,311549,2.25 55,1,2024-09-07 09:30:30:766,831932,831932,0,0,390797464204,4062321866,823215,7499,1218,365,391731,0 55,2,2024-09-07 09:30:30:728,596244,596188,56,0,27333907,0,7239 55,3,2024-09-07 09:30:30:679,1,473,1,0,304,4497,473,0 56,0,2024-09-07 09:30:31:559,121830,1.2,114874,1.1,236710,1.6,316590,2.75 56,1,2024-09-07 09:30:30:577,827606,827606,0,0,389272419351,4108098604,815357,9697,2552,381,391867,0 56,2,2024-09-07 09:30:31:306,597856,597734,122,0,28698027,0,7432 56,3,2024-09-07 09:30:31:063,1,473,4,0,705,5693,473,0 57,0,2024-09-07 09:30:30:984,117011,1.5,116873,1.3,234303,2.1,313032,3.00 57,1,2024-09-07 09:30:30:991,829123,829123,0,0,389400089402,4084642022,818337,8721,2065,366,392032,0 57,2,2024-09-07 09:30:31:316,600270,600270,0,0,29324153,0,4317 57,3,2024-09-07 09:30:31:747,1,473,21,0,455,5422,473,0 58,0,2024-09-07 09:30:30:556,115224,0.9,111938,1.0,234104,1.1,307420,2.50 58,1,2024-09-07 09:30:30:578,829958,829955,0,3,390092302486,4086657789,817663,9524,2768,367,391603,3 58,2,2024-09-07 09:30:31:073,596434,596434,0,0,27155704,0,3483 58,3,2024-09-07 09:30:31:071,1,473,2,0,1043,5021,473,0 59,0,2024-09-07 09:30:31:742,119088,0.9,118633,1.0,236854,1.0,314108,2.75 59,1,2024-09-07 09:30:30:815,829671,829671,0,0,390256752227,4091488125,817435,9999,2237,369,391653,0 59,2,2024-09-07 09:30:30:586,596678,596678,0,0,26850756,0,3727 59,3,2024-09-07 09:30:31:751,1,473,6,0,1015,6289,473,0 60,0,2024-09-07 09:30:31:784,119441,0.5,119568,0.7,239467,0.5,318033,1.75 60,1,2024-09-07 09:30:30:781,832803,832803,0,0,391540880596,4065086552,826886,4988,929,370,392031,0 60,2,2024-09-07 09:30:31:141,599342,599342,0,0,25531467,0,3811 60,3,2024-09-07 09:30:31:260,1,473,2,0,409,5943,473,0 61,0,2024-09-07 09:30:31:519,119247,0.7,119892,0.8,238661,0.7,318402,2.00 61,1,2024-09-07 09:30:30:771,830218,830218,0,0,390142610138,4088736603,820577,8064,1577,382,392127,0 61,2,2024-09-07 09:30:31:131,598419,598352,67,0,26168531,0,6411 61,3,2024-09-07 09:30:31:692,1,473,9,0,479,6345,473,0 62,0,2024-09-07 09:30:31:749,117968,0.6,120945,0.8,230898,0.6,313941,2.00 62,1,2024-09-07 09:30:31:111,835377,835371,0,6,392360771731,4044598982,831444,3664,263,365,391975,6 62,2,2024-09-07 09:30:31:658,596628,596627,1,0,25316799,0,5555 62,3,2024-09-07 09:30:31:143,1,473,1,0,482,3923,473,0 63,0,2024-09-07 09:30:31:458,119957,0.4,119687,0.6,240116,0.4,319278,1.75 63,1,2024-09-07 09:30:30:815,832544,832538,0,6,390999714176,4059184454,827190,4614,734,381,391800,6 63,2,2024-09-07 09:30:30:770,597912,597912,0,0,24202120,0,4369 63,3,2024-09-07 09:30:31:734,1,473,17,0,667,5088,473,0 64,0,2024-09-07 09:30:31:622,118357,0.5,118452,0.6,236346,0.4,314350,2.00 64,1,2024-09-07 09:30:30:750,832122,832122,0,0,390782437895,4070107026,824869,5540,1713,370,391783,0 64,2,2024-09-07 09:30:31:143,602319,602300,19,0,23366999,0,6121 64,3,2024-09-07 09:30:31:143,1,473,5,0,265,4367,473,0 65,0,2024-09-07 09:30:31:729,117530,0.7,117856,0.8,235334,0.7,313545,2.00 65,1,2024-09-07 09:30:30:872,830132,830132,0,0,389918547562,4074257217,824439,5047,646,381,391901,0 65,2,2024-09-07 09:30:31:702,597340,597340,0,0,27550695,0,3367 65,3,2024-09-07 09:30:31:914,1,473,7,0,782,5782,473,0 66,0,2024-09-07 09:30:31:776,117646,0.5,117340,0.7,234624,0.5,312316,2.25 66,1,2024-09-07 09:30:31:302,832015,832015,0,0,390686700059,4068414105,826031,5266,718,380,391743,0 66,2,2024-09-07 09:30:31:132,602574,602571,3,0,25166749,0,5455 66,3,2024-09-07 09:30:31:081,1,473,99,0,291,4238,473,0 67,0,2024-09-07 09:30:31:414,117610,0.5,117260,0.6,235464,0.4,313005,2.00 67,1,2024-09-07 09:30:30:775,831539,831538,0,1,390245568851,4063367196,825761,4981,796,380,391787,1 67,2,2024-09-07 09:30:30:583,599579,599564,15,0,23931345,0,6205 67,3,2024-09-07 09:30:31:768,1,473,1,0,392,4537,473,0 68,0,2024-09-07 09:30:30:571,118360,0.5,118213,0.7,235464,0.5,314655,2.00 68,1,2024-09-07 09:30:30:570,828445,828445,0,0,388655439615,4087964567,817093,8016,3336,381,391953,0 68,2,2024-09-07 09:30:31:051,594043,593943,100,0,30707078,0,8578 68,3,2024-09-07 09:30:30:733,1,473,11,0,417,5639,473,0 69,0,2024-09-07 09:30:31:749,118531,0.7,119311,0.8,237812,0.8,315484,2.25 69,1,2024-09-07 09:30:31:021,828458,828458,0,0,389350782211,4100443583,817963,8219,2276,384,391994,0 69,2,2024-09-07 09:30:31:739,596747,596718,29,0,32390762,0,6912 69,3,2024-09-07 09:30:30:765,1,473,8,0,698,7182,473,0 70,0,2024-09-07 09:30:31:559,116619,0.9,116989,1.0,235403,0.8,311629,2.50 70,1,2024-09-07 09:30:30:802,832391,832391,0,0,391530924341,4061638452,825901,5789,701,366,391725,0 70,2,2024-09-07 09:30:31:340,601085,601085,0,0,27114150,0,4323 70,3,2024-09-07 09:30:30:745,1,473,2,0,854,5381,473,0 71,0,2024-09-07 09:30:31:383,115929,0.8,115842,1.0,232599,1.0,310816,2.50 71,1,2024-09-07 09:30:31:599,830512,830512,0,0,390593006077,4075013940,820621,8807,1084,368,391738,0 71,2,2024-09-07 09:30:31:073,595902,595902,0,0,26857079,0,4352 71,3,2024-09-07 09:30:31:769,1,473,44,0,644,5827,473,0 72,0,2024-09-07 09:30:31:029,123072,0.6,120339,0.7,234629,0.5,318624,2.00 72,1,2024-09-07 09:30:31:023,829283,829283,0,0,389689475529,4087827728,817324,9875,2084,369,391819,0 72,2,2024-09-07 09:30:31:769,595866,595866,0,0,29290664,0,3983 72,3,2024-09-07 09:30:31:762,1,473,1,0,564,6809,473,0 73,0,2024-09-07 09:30:31:103,116557,0.4,119598,0.6,244279,0.4,317378,2.00 73,1,2024-09-07 09:30:30:773,831421,831421,0,0,390534724548,4056219195,825487,5512,422,367,391858,0 73,2,2024-09-07 09:30:31:769,598434,598434,0,0,29397328,0,4291 73,3,2024-09-07 09:30:30:969,1,473,8,0,486,6047,473,0 74,0,2024-09-07 09:30:31:325,120665,0.5,123461,0.7,235331,0.5,319359,2.25 74,1,2024-09-07 09:30:30:637,830637,830637,0,0,389877819518,4070009684,821554,7317,1766,381,391762,0 74,2,2024-09-07 09:30:31:002,598752,598752,0,0,26069430,0,4253 74,3,2024-09-07 09:30:31:442,1,473,1,0,522,5829,473,0 75,0,2024-09-07 09:30:31:776,117392,0.5,116684,0.7,234015,0.5,312833,2.25 75,1,2024-09-07 09:30:31:616,831357,831357,0,0,390276627597,4070119856,823879,6636,842,380,391739,0 75,2,2024-09-07 09:30:31:374,596528,596528,0,0,29673672,0,4766 75,3,2024-09-07 09:30:31:071,1,473,138,0,702,5997,473,0 76,0,2024-09-07 09:30:30:587,118960,0.6,118293,0.7,237409,0.5,317136,2.25 76,1,2024-09-07 09:30:30:843,830375,830375,0,0,390034455823,4068483574,824592,4955,828,382,391692,0 76,2,2024-09-07 09:30:31:071,599817,599814,3,0,26242746,0,5265 76,3,2024-09-07 09:30:31:147,1,473,0,0,227,4572,473,0 77,0,2024-09-07 09:30:31:705,118959,0.5,119300,0.7,239083,0.5,317007,2.00 77,1,2024-09-07 09:30:30:848,831420,831420,0,0,390144947822,4071362273,824947,5913,560,381,391869,0 77,2,2024-09-07 09:30:31:281,598337,598337,0,0,25559721,0,3890 77,3,2024-09-07 09:30:31:097,1,473,8,0,401,5138,473,0 78,0,2024-09-07 09:30:31:742,118959,0.4,118310,0.6,237592,0.4,315296,2.00 78,1,2024-09-07 09:30:30:613,831529,831529,0,0,389429364417,4061651016,821982,7318,2229,367,391670,0 78,2,2024-09-07 09:30:31:407,599005,598992,13,0,23762196,0,8313 78,3,2024-09-07 09:30:31:133,1,473,2,0,181,4018,473,0 79,0,2024-09-07 09:30:31:345,113589,0.4,116433,0.6,238134,0.4,310123,2.25 79,1,2024-09-07 09:30:30:571,833306,833306,0,0,391312787263,4056847810,826021,5935,1350,367,391682,0 79,2,2024-09-07 09:30:31:068,600399,600399,0,0,22967260,0,4195 79,3,2024-09-07 09:30:30:761,1,473,11,0,418,5945,473,0 80,0,2024-09-07 09:30:31:086,118047,0.5,121400,0.6,232118,0.4,314078,2.00 80,1,2024-09-07 09:30:31:623,830730,830730,0,0,390510316231,4066856826,824977,5330,423,368,392269,0 80,2,2024-09-07 09:30:31:092,599703,599703,0,0,23402938,0,4433 80,3,2024-09-07 09:30:30:584,1,473,95,0,190,5446,473,0 81,0,2024-09-07 09:30:31:603,118383,0.5,121248,0.7,231473,0.5,314712,2.00 81,1,2024-09-07 09:30:31:656,830050,830050,0,0,389952464366,4075368257,823423,6067,560,382,391879,0 81,2,2024-09-07 09:30:31:124,596590,596527,63,0,26208399,0,5932 81,3,2024-09-07 09:30:31:122,1,473,9,0,719,5536,473,0 82,0,2024-09-07 09:30:31:549,118716,0.5,118925,0.7,238128,0.5,315929,2.00 82,1,2024-09-07 09:30:30:601,832022,832018,0,4,390433736007,4060836171,827112,4087,819,381,391768,4 82,2,2024-09-07 09:30:31:694,598606,598606,0,0,21893405,0,4484 82,3,2024-09-07 09:30:31:753,1,473,0,0,363,4789,473,0 83,0,2024-09-07 09:30:31:527,117878,0.6,117750,0.7,234890,0.6,312365,2.25 83,1,2024-09-07 09:30:30:556,830302,830302,0,0,389957583340,4065035799,824467,5385,450,382,391709,0 83,2,2024-09-07 09:30:30:770,601300,601275,25,0,23756842,0,5612 83,3,2024-09-07 09:30:30:754,1,473,3,0,1260,5903,473,0 84,0,2024-09-07 09:30:31:789,116922,0.7,116924,0.8,233470,0.6,312480,2.25 84,1,2024-09-07 09:30:31:044,829726,829726,0,0,389385840857,4068388345,821025,7564,1137,367,391967,0 84,2,2024-09-07 09:30:30:580,596082,596052,30,0,30305638,0,5971 84,3,2024-09-07 09:30:31:141,1,473,1,0,908,6921,473,0 85,0,2024-09-07 09:30:31:000,114126,0.6,114051,0.8,242024,0.6,313154,2.25 85,1,2024-09-07 09:30:30:569,827726,827726,0,0,389208733660,4105767101,815633,9948,2145,381,392006,0 85,2,2024-09-07 09:30:30:874,595568,595568,0,0,29195577,0,4255 85,3,2024-09-07 09:30:30:688,1,473,13,0,789,5522,473,0 86,0,2024-09-07 09:30:30:905,119092,0.7,122527,0.8,234430,0.7,316890,2.25 86,1,2024-09-07 09:30:30:828,829857,829857,0,0,390647618518,4089999216,820045,8175,1637,366,391961,0 86,2,2024-09-07 09:30:30:856,596539,596538,1,0,31322751,0,5004 86,3,2024-09-07 09:30:30:595,1,473,1,0,308,6875,473,0 87,0,2024-09-07 09:30:31:287,118780,0.9,118435,0.9,236859,1.2,316236,2.25 87,1,2024-09-07 09:30:30:550,828673,828673,0,0,388789249860,4074581115,817480,9535,1658,366,392076,0 87,2,2024-09-07 09:30:31:069,599736,599730,6,0,27548850,0,6323 87,3,2024-09-07 09:30:31:795,1,473,2,0,473,7263,473,0 88,0,2024-09-07 09:30:31:436,116744,0.4,117350,0.6,234418,0.4,312232,1.75 88,1,2024-09-07 09:30:30:570,828410,828410,0,0,388822735663,4072231723,817481,8702,2227,365,392084,0 88,2,2024-09-07 09:30:30:691,597223,597223,0,0,29918299,0,4465 88,3,2024-09-07 09:30:31:270,1,473,97,0,435,5866,473,0 89,0,2024-09-07 09:30:31:770,122938,0.5,119126,0.6,235411,0.4,319915,1.75 89,1,2024-09-07 09:30:30:567,828221,828221,0,0,389652539114,4095464023,818171,8575,1475,382,391866,0 89,2,2024-09-07 09:30:31:139,596514,596514,0,0,28214088,0,3173 89,3,2024-09-07 09:30:31:792,1,473,12,0,468,7947,473,0 90,0,2024-09-07 09:30:31:642,116000,0.4,119209,0.6,242977,0.4,316961,2.00 90,1,2024-09-07 09:30:30:590,830099,830099,0,0,389781925280,4079308924,822518,6930,651,380,391825,0 90,2,2024-09-07 09:30:31:406,597183,597183,0,0,30271090,0,3608 90,3,2024-09-07 09:30:30:936,1,473,1,0,322,5647,473,0 91,0,2024-09-07 09:30:30:934,120031,0.5,116236,0.6,242873,0.5,319067,1.75 91,1,2024-09-07 09:30:30:562,828327,828327,0,0,390106875217,4100779893,817934,8749,1644,381,392047,0 91,2,2024-09-07 09:30:31:331,599317,599317,0,0,26627888,0,3526 91,3,2024-09-07 09:30:30:597,1,473,4,0,216,4681,473,0 92,0,2024-09-07 09:30:31:451,118714,0.5,121429,0.6,231703,0.5,314181,1.75 92,1,2024-09-07 09:30:30:582,830684,830684,0,0,389393759925,4068689504,824112,5498,1074,381,392136,0 92,2,2024-09-07 09:30:31:350,599421,599421,0,0,23871160,0,3259 92,3,2024-09-07 09:30:31:019,1,473,3,0,167,4205,473,0 93,0,2024-09-07 09:30:30:977,120335,0.4,123352,0.6,235421,0.4,319419,1.75 93,1,2024-09-07 09:30:30:806,830750,830750,0,0,390494543778,4078562272,821369,7681,1700,366,391776,0 93,2,2024-09-07 09:30:30:934,597607,597607,0,0,29261612,0,4913 93,3,2024-09-07 09:30:31:406,1,473,14,0,190,4187,473,0 94,0,2024-09-07 09:30:31:723,118434,0.3,119185,0.5,238151,0.3,316131,1.75 94,1,2024-09-07 09:30:30:566,830721,830721,0,0,391241534313,4082358346,824950,5400,371,381,391850,0 94,2,2024-09-07 09:30:30:763,598180,598152,28,0,25103938,0,6179 94,3,2024-09-07 09:30:31:690,1,473,8,0,576,6148,473,0 95,0,2024-09-07 09:30:31:348,118631,0.3,118313,0.5,237323,0.3,316156,1.75 95,1,2024-09-07 09:30:30:853,832270,832270,0,0,390853817145,4062812162,825552,6238,480,365,391786,0 95,2,2024-09-07 09:30:31:019,597037,597037,0,0,23816210,0,3308 95,3,2024-09-07 09:30:31:712,1,473,18,0,718,6848,473,0 96,0,2024-09-07 09:30:31:029,118056,0.4,118294,0.5,236304,0.3,313785,1.75 96,1,2024-09-07 09:30:31:614,830558,830558,0,0,390178644283,4069948661,824597,5054,907,384,391955,0 96,2,2024-09-07 09:30:31:271,601554,601554,0,0,24697183,0,4180 96,3,2024-09-07 09:30:31:140,1,473,10,0,411,5169,473,0 97,0,2024-09-07 09:30:31:327,117657,0.3,117121,0.5,235350,0.2,312783,1.50 97,1,2024-09-07 09:30:30:766,832072,832072,0,0,391740270646,4062114012,826873,4263,936,367,392140,0 97,2,2024-09-07 09:30:30:607,598374,598374,0,0,23776741,0,3679 97,3,2024-09-07 09:30:30:570,1,473,9,0,242,5713,473,0 98,0,2024-09-07 09:30:31:698,117733,0.3,118062,0.4,236691,0.2,314486,1.50 98,1,2024-09-07 09:30:30:570,831822,831822,0,0,390776543947,4070622490,826552,4476,794,381,391997,0 98,2,2024-09-07 09:30:30:771,597506,597506,0,0,23874425,0,4336 98,3,2024-09-07 09:30:30:700,1,473,1,0,840,7519,473,0 99,0,2024-09-07 09:30:31:568,119175,0.3,119818,0.5,238216,0.3,318052,1.75 99,1,2024-09-07 09:30:31:750,831573,831573,0,0,390443145298,4064606291,825972,4683,918,380,392069,0 99,2,2024-09-07 09:30:31:417,599214,599214,0,0,28588368,0,4276 99,3,2024-09-07 09:30:30:581,1,473,1,0,606,4932,473,0 100,0,2024-09-07 09:30:31:457,117674,0.8,118000,0.9,235275,0.9,314454,2.25 100,1,2024-09-07 09:30:30:549,826884,826884,0,0,388676450995,4110844819,813682,10222,2980,378,391989,0 100,2,2024-09-07 09:30:31:836,598591,598580,11,0,27687046,0,5417 100,3,2024-09-07 09:30:31:735,1,473,1,0,559,7435,473,0 101,0,2024-09-07 09:30:31:701,119453,1.0,116476,1.0,228136,0.8,312508,2.25 101,1,2024-09-07 09:30:30:559,827833,827833,0,0,388635977420,4085620789,816132,9334,2367,368,391847,0 101,2,2024-09-07 09:30:31:767,593246,593246,0,0,32287552,0,4871 101,3,2024-09-07 09:30:30:945,1,473,8,0,1250,7496,473,0 102,0,2024-09-07 09:30:30:943,115948,0.6,119529,0.7,242612,0.6,317119,2.00 102,1,2024-09-07 09:30:31:142,827642,827642,0,0,389142725499,4089307050,816197,9449,1996,369,391891,0 102,2,2024-09-07 09:30:31:747,597557,597503,54,0,26202008,0,6768 102,3,2024-09-07 09:30:31:627,1,473,2,0,466,5077,473,0 103,0,2024-09-07 09:30:31:630,123464,0.5,123530,0.7,232750,0.5,319872,2.00 103,1,2024-09-07 09:30:31:663,827262,827262,0,0,388282705689,4100337745,813818,10250,3194,381,391862,0 103,2,2024-09-07 09:30:30:583,597346,597346,0,0,29193480,0,3766 103,3,2024-09-07 09:30:30:759,1,473,3,0,916,5417,473,0 104,0,2024-09-07 09:30:31:002,118841,0.7,119157,0.9,237260,0.7,317473,2.25 104,1,2024-09-07 09:30:31:600,829279,829279,0,0,389394078950,4093013418,816627,10106,2546,365,392168,0 104,2,2024-09-07 09:30:31:672,597198,597198,0,0,28184606,0,3941 104,3,2024-09-07 09:30:31:417,1,473,2,0,1245,8866,473,0 105,0,2024-09-07 09:30:31:030,115782,0.8,112759,1.0,236287,0.9,310803,2.50 105,1,2024-09-07 09:30:30:560,830776,830776,0,0,389717666341,4084953670,819929,9194,1653,366,392009,0 105,2,2024-09-07 09:30:31:355,595898,595898,0,0,27830648,0,3509 105,3,2024-09-07 09:30:31:305,1,473,12,0,399,6969,473,0 106,0,2024-09-07 09:30:30:943,115448,0.8,118210,0.9,242289,0.8,316054,2.50 106,1,2024-09-07 09:30:31:757,829799,829799,0,0,389384204359,4086822684,817826,10330,1643,368,391914,0 106,2,2024-09-07 09:30:30:759,596889,596889,0,0,26840219,0,2920 106,3,2024-09-07 09:30:30:678,1,473,3,0,470,6089,473,0 107,0,2024-09-07 09:30:31:110,119158,0.8,119419,0.9,238584,0.9,318189,2.25 107,1,2024-09-07 09:30:30:598,828203,828203,0,0,389163824585,4100594276,816914,10190,1099,381,392234,0 107,2,2024-09-07 09:30:31:291,596800,596799,1,0,29173045,0,5024 107,3,2024-09-07 09:30:31:761,1,473,1,0,370,6796,473,0 108,0,2024-09-07 09:30:31:837,118311,0.4,119194,0.6,237066,0.4,316564,1.75 108,1,2024-09-07 09:30:31:324,830555,830555,0,0,389913410873,4067267576,823470,6250,835,367,391857,0 108,2,2024-09-07 09:30:31:786,596918,596918,0,0,26721463,0,4246 108,3,2024-09-07 09:30:31:349,1,473,27,0,749,9369,473,0 109,0,2024-09-07 09:30:31:790,118279,0.4,117348,0.6,234944,0.4,314301,1.75 109,1,2024-09-07 09:30:30:583,828043,828043,0,0,389591872627,4088743781,820052,6781,1210,382,392132,0 109,2,2024-09-07 09:30:30:937,597445,597445,0,0,26070725,0,3617 109,3,2024-09-07 09:30:31:208,1,473,9,0,630,6072,473,0 110,0,2024-09-07 09:30:31:771,117877,0.4,114711,0.6,240385,0.3,314935,1.75 110,1,2024-09-07 09:30:31:649,832080,832080,0,0,391007464827,4057785958,826179,4681,1220,369,392045,0 110,2,2024-09-07 09:30:31:309,598251,598251,0,0,25054035,0,4067 110,3,2024-09-07 09:30:30:696,1,473,2,0,722,6640,473,0 111,0,2024-09-07 09:30:31:458,118785,0.4,118114,0.5,236654,0.3,315950,1.75 111,1,2024-09-07 09:30:31:000,833045,833045,0,0,392126721279,4066193948,828751,3938,356,380,391690,0 111,2,2024-09-07 09:30:31:116,597015,597015,0,0,25170504,0,4823 111,3,2024-09-07 09:30:30:920,1,473,1,0,379,5592,473,0 112,0,2024-09-07 09:30:30:910,119719,0.3,119103,0.4,238793,0.2,316997,1.50 112,1,2024-09-07 09:30:30:828,831934,831934,0,0,391189920163,4059703309,826865,4413,656,380,391624,0 112,2,2024-09-07 09:30:31:133,597406,597405,1,0,23869779,0,5036 112,3,2024-09-07 09:30:30:595,1,473,46,0,282,4805,473,0 113,0,2024-09-07 09:30:30:876,117915,0.3,117975,0.5,236260,0.2,314308,1.75 113,1,2024-09-07 09:30:31:689,834454,834454,0,0,392451221953,4047164363,830410,3454,590,366,391661,0 113,2,2024-09-07 09:30:31:304,603415,603415,0,0,21936505,0,3813 113,3,2024-09-07 09:30:30:688,1,473,17,0,340,5121,473,0 114,0,2024-09-07 09:30:30:880,117969,0.3,118763,0.4,236152,0.2,315822,1.75 114,1,2024-09-07 09:30:30:717,832592,832592,0,0,390721996173,4058065648,826088,4888,1616,381,391565,0 114,2,2024-09-07 09:30:30:889,598948,598947,1,0,22806010,0,5069 114,3,2024-09-07 09:30:31:278,1,473,11,0,395,3891,473,0 115,0,2024-09-07 09:30:30:557,118966,0.3,119442,0.4,238300,0.2,316380,1.50 115,1,2024-09-07 09:30:30:577,832348,832348,0,0,390947489734,4062208310,825660,5420,1268,382,391757,0 115,2,2024-09-07 09:30:31:125,598798,598798,0,0,23071561,0,4382 115,3,2024-09-07 09:30:31:002,1,473,1,0,159,2626,473,0 116,0,2024-09-07 09:30:31:710,118925,0.7,118798,0.8,238310,0.6,318104,2.00 116,1,2024-09-07 09:30:30:816,828235,828235,0,0,389390646322,4102169172,818497,7336,2402,380,392089,0 116,2,2024-09-07 09:30:31:763,597042,597042,0,0,29278919,0,4128 116,3,2024-09-07 09:30:30:917,1,473,6,0,415,5697,473,0 117,0,2024-09-07 09:30:30:958,119013,0.7,118436,0.8,237396,0.8,316675,2.00 117,1,2024-09-07 09:30:31:757,829374,829374,0,0,388997628408,4070088295,820710,7668,996,369,392033,0 117,2,2024-09-07 09:30:31:118,602207,602207,0,0,25941338,0,4303 117,3,2024-09-07 09:30:31:061,1,473,7,0,490,6777,473,0 118,0,2024-09-07 09:30:31:778,113409,0.5,116442,0.7,237745,0.4,311108,2.00 118,1,2024-09-07 09:30:30:590,828696,828696,0,0,389170499575,4090713183,816289,9387,3020,366,392054,0 118,2,2024-09-07 09:30:31:618,596174,596174,0,0,27670716,0,2842 118,3,2024-09-07 09:30:31:777,1,473,1,0,248,5528,473,0 119,0,2024-09-07 09:30:31:348,119258,0.7,119527,0.8,239029,0.7,318053,2.00 119,1,2024-09-07 09:30:30:559,829364,829364,0,0,389543799205,4079264380,819058,8910,1396,367,391857,0 119,2,2024-09-07 09:30:31:260,597843,597843,0,0,25993326,0,4174 119,3,2024-09-07 09:30:31:329,1,473,9,0,1358,8913,473,0 120,0,2024-09-07 09:30:31:548,118852,0.6,118642,0.8,238159,0.7,317532,2.25 120,1,2024-09-07 09:30:30:860,829798,829798,0,0,389191553017,4081197537,821014,8010,774,368,392144,0 120,2,2024-09-07 09:30:30:771,598045,598044,1,0,30473736,0,5281 120,3,2024-09-07 09:30:31:514,1,473,1,0,279,5865,473,0 121,0,2024-09-07 09:30:31:712,119581,1.3,119109,1.1,238702,1.7,318399,2.25 121,1,2024-09-07 09:30:31:667,830270,830270,0,0,390026948468,4075937110,822643,7074,553,367,391840,0 121,2,2024-09-07 09:30:31:125,597315,597315,0,0,28595198,0,4157 121,3,2024-09-07 09:30:30:727,1,473,8,0,269,5509,473,0 122,0,2024-09-07 09:30:31:812,116972,0.8,113929,0.9,238930,0.9,313956,2.25 122,1,2024-09-07 09:30:30:860,828901,828901,0,0,389795595825,4088291077,817692,9504,1705,366,392130,0 122,2,2024-09-07 09:30:31:337,598585,598512,73,0,31599975,0,5989 122,3,2024-09-07 09:30:30:597,1,473,1,0,512,8324,473,0 123,0,2024-09-07 09:30:30:952,118948,0.9,115828,0.9,242769,1.0,317827,2.25 123,1,2024-09-07 09:30:30:573,828796,828796,0,0,389441534198,4097987184,814816,11534,2446,369,392039,0 123,2,2024-09-07 09:30:31:020,596019,596018,1,0,26846581,0,5215 123,3,2024-09-07 09:30:31:133,1,473,10,0,478,5537,473,0 124,0,2024-09-07 09:30:30:920,122306,0.3,122299,0.5,230518,0.3,317082,1.75 124,1,2024-09-07 09:30:31:023,831721,831721,0,0,390108065884,4057344970,825335,5271,1115,367,392178,0 124,2,2024-09-07 09:30:31:022,599073,599020,53,0,24683123,0,6487 124,3,2024-09-07 09:30:30:765,1,473,3,0,490,4781,473,0 125,0,2024-09-07 09:30:31:422,118493,0.4,118308,0.6,237443,0.4,316087,1.75 125,1,2024-09-07 09:30:30:857,830304,830304,0,0,390160551430,4074102497,823603,5728,973,382,391813,0 125,2,2024-09-07 09:30:31:116,598869,598869,0,0,25084797,0,4534 125,3,2024-09-07 09:30:31:126,1,473,19,0,709,5729,473,0 126,0,2024-09-07 09:30:31:498,117944,0.4,121434,0.6,232266,0.4,314508,1.75 126,1,2024-09-07 09:30:30:630,832934,832934,0,0,391747296710,4056092095,828545,4093,296,365,391987,0 126,2,2024-09-07 09:30:30:616,601856,601856,0,0,25562740,0,4539 126,3,2024-09-07 09:30:30:926,1,473,1,0,268,5468,473,0 127,0,2024-09-07 09:30:31:736,117610,0.3,118023,0.5,235406,0.3,313138,1.75 127,1,2024-09-07 09:30:30:626,831681,831681,0,0,390665466938,4066173740,823012,7208,1461,364,392187,0 127,2,2024-09-07 09:30:30:637,596495,596491,4,0,24213559,0,5305 127,3,2024-09-07 09:30:31:270,1,473,4,0,968,4943,473,0 128,0,2024-09-07 09:30:31:521,118637,0.3,118656,0.4,237063,0.2,315170,1.50 128,1,2024-09-07 09:30:31:621,830615,830615,0,0,391414293870,4063749800,825005,4883,727,367,392031,0 128,2,2024-09-07 09:30:31:385,598943,598943,0,0,22642457,0,3171 128,3,2024-09-07 09:30:30:770,1,473,1,0,1082,7234,473,0 129,0,2024-09-07 09:30:30:998,120173,0.3,119615,0.5,239643,0.3,318461,1.50 129,1,2024-09-07 09:30:30:577,828747,828747,0,0,388850725879,4074582478,820575,6489,1683,379,391962,0 129,2,2024-09-07 09:30:30:689,599189,599185,4,0,24812895,0,5335 129,3,2024-09-07 09:30:30:688,1,473,11,0,506,6920,473,0 130,0,2024-09-07 09:30:31:739,118696,0.5,118169,0.6,237647,0.5,315797,1.75 130,1,2024-09-07 09:30:30:586,832073,832073,0,0,390786343744,4061896234,827437,4250,386,381,391825,0 130,2,2024-09-07 09:30:31:125,601999,601999,0,0,24431766,0,4067 130,3,2024-09-07 09:30:31:293,1,473,1,0,960,7025,473,0 131,0,2024-09-07 09:30:31:929,117117,0.3,117414,0.5,235581,0.3,313254,1.75 131,1,2024-09-07 09:30:31:825,830924,830924,0,0,390481694393,4076057969,824413,5332,1179,381,391865,0 131,2,2024-09-07 09:30:30:567,598582,598582,0,0,22478432,0,3979 131,3,2024-09-07 09:30:31:689,1,473,2,0,392,5275,473,0 132,0,2024-09-07 09:30:31:450,119274,0.4,120375,0.6,239408,0.4,318164,1.75 132,1,2024-09-07 09:30:30:578,827568,827568,0,0,389670009437,4100110002,815783,9653,2132,381,392532,0 132,2,2024-09-07 09:30:30:698,597055,597038,17,0,29653100,0,6451 132,3,2024-09-07 09:30:31:699,1,473,1,0,804,7969,473,0 133,0,2024-09-07 09:30:31:516,116511,0.4,119308,0.6,244613,0.4,318125,1.75 133,1,2024-09-07 09:30:30:586,827610,827610,0,0,388947095122,4098971554,816120,9869,1621,383,391914,0 133,2,2024-09-07 09:30:31:087,598924,598874,50,0,30541136,0,6861 133,3,2024-09-07 09:30:31:527,1,473,17,0,479,4932,473,0 134,0,2024-09-07 09:30:30:942,120112,0.5,119870,0.7,240055,0.5,319724,2.00 134,1,2024-09-07 09:30:30:584,829012,829012,0,0,389133885830,4077499105,818828,8115,2069,366,391718,0 134,2,2024-09-07 09:30:31:764,597973,597949,24,0,28632472,0,6207 134,3,2024-09-07 09:30:30:754,1,473,1,0,739,5754,473,0 135,0,2024-09-07 09:30:31:106,113328,0.7,113319,0.8,240572,0.8,310221,2.25 135,1,2024-09-07 09:30:31:614,829041,829041,0,0,390121751943,4100138333,818678,8985,1378,380,391805,0 135,2,2024-09-07 09:30:30:692,597354,597354,0,0,27756011,0,3981 135,3,2024-09-07 09:30:31:002,1,473,1,0,299,4098,473,0 136,0,2024-09-07 09:30:31:622,120085,0.5,120346,0.7,239614,0.5,319054,2.00 136,1,2024-09-07 09:30:31:445,830229,830229,0,0,389185742929,4079236033,820893,8198,1138,381,391685,0 136,2,2024-09-07 09:30:31:133,599063,599063,0,0,26635428,0,3506 136,3,2024-09-07 09:30:31:109,1,473,2,0,637,5471,473,0 137,0,2024-09-07 09:30:30:937,123205,0.6,120008,0.7,235304,0.5,319424,2.00 137,1,2024-09-07 09:30:30:607,828346,828346,0,0,388615779464,4076639437,815428,10250,2668,366,391898,0 137,2,2024-09-07 09:30:31:728,597415,597415,0,0,29914257,0,3185 137,3,2024-09-07 09:30:30:770,1,473,12,0,484,6105,473,0 138,0,2024-09-07 09:30:31:758,118154,0.9,118223,0.9,237231,1.2,315144,2.25 138,1,2024-09-07 09:30:31:694,829636,829636,0,0,390383039854,4093056560,818320,9496,1820,368,391954,0 138,2,2024-09-07 09:30:30:588,596700,596700,0,0,27667598,0,4988 138,3,2024-09-07 09:30:30:610,1,473,22,0,1200,7507,473,0 139,0,2024-09-07 09:30:31:405,116731,1.4,116982,1.1,234130,2.0,312971,2.50 139,1,2024-09-07 09:30:30:580,825290,825290,0,0,386935150878,4109243568,809723,12119,3448,380,392058,0 139,2,2024-09-07 09:30:30:695,595549,595549,0,0,30697248,0,3097 139,3,2024-09-07 09:30:31:692,1,473,12,0,432,5577,473,0 140,0,2024-09-07 09:30:31:606,118653,0.3,117812,0.5,236545,0.2,315439,1.75 140,1,2024-09-07 09:30:31:538,834153,834153,0,0,392382508179,4045152259,830105,3587,461,365,391606,0 140,2,2024-09-07 09:30:30:692,599207,599206,1,0,23492456,0,5036 140,3,2024-09-07 09:30:30:771,1,473,1,0,297,4154,473,0 141,0,2024-09-07 09:30:31:699,118726,0.3,121820,0.5,232558,0.2,315657,1.50 141,1,2024-09-07 09:30:30:868,832585,832585,0,0,391026384186,4064189297,825735,5765,1085,379,391614,0 141,2,2024-09-07 09:30:31:690,597848,597837,11,0,24642632,0,5369 141,3,2024-09-07 09:30:31:043,1,473,8,0,391,5263,473,0 142,0,2024-09-07 09:30:31:317,119708,0.3,119001,0.5,238251,0.3,317601,1.75 142,1,2024-09-07 09:30:30:591,830844,830844,0,0,389979874723,4065489705,825431,4960,453,382,392102,0 142,2,2024-09-07 09:30:31:302,596950,596918,32,0,25575051,0,6028 142,3,2024-09-07 09:30:31:752,1,473,27,0,484,5184,473,0 143,0,2024-09-07 09:30:31:394,117871,0.4,118051,0.6,236464,0.4,314339,1.75 143,1,2024-09-07 09:30:30:562,832585,832585,0,0,391525936755,4063800562,826856,5178,551,367,391705,0 143,2,2024-09-07 09:30:30:770,602181,602181,0,0,24736573,0,3123 143,3,2024-09-07 09:30:31:157,1,473,1,0,462,6151,473,0 144,0,2024-09-07 09:30:31:533,114121,0.6,117240,0.8,238913,0.5,313301,2.00 144,1,2024-09-07 09:30:30:565,828755,828755,0,0,388970325219,4082776960,820108,6745,1902,381,391733,0 144,2,2024-09-07 09:30:31:782,598337,598337,0,0,23065721,0,3673 144,3,2024-09-07 09:30:31:744,1,473,8,0,249,4769,473,0 145,0,2024-09-07 09:30:31:402,114751,0.6,114787,0.8,243377,0.5,313289,2.25 145,1,2024-09-07 09:30:30:561,827900,827900,0,0,388750139756,4088736074,817138,8894,1868,382,391759,0 145,2,2024-09-07 09:30:31:429,594815,594734,81,0,28388590,0,7814 145,3,2024-09-07 09:30:30:902,1,473,23,0,622,6549,473,0 146,0,2024-09-07 09:30:31:607,119174,0.6,118739,0.7,238714,0.6,316536,2.25 146,1,2024-09-07 09:30:31:614,829115,829115,0,0,388913481286,4089553751,815796,9790,3529,367,391770,0 146,2,2024-09-07 09:30:31:695,596730,596730,0,0,27321793,0,3290 146,3,2024-09-07 09:30:31:277,1,473,1,0,1520,8257,473,0 147,0,2024-09-07 09:30:31:720,118889,0.6,118730,0.8,236707,0.6,316119,2.25 147,1,2024-09-07 09:30:31:394,832340,832340,0,0,391155724659,4066981490,825359,6132,849,368,391791,0 147,2,2024-09-07 09:30:31:019,599820,599820,0,0,24850804,0,2968 147,3,2024-09-07 09:30:30:932,1,473,4,0,1626,8066,473,0 0,0,2024-09-07 09:30:41:736,116234,0.6,116269,0.7,246626,0.6,318511,2.00 0,1,2024-09-07 09:30:40:802,830812,830812,0,0,390947389044,4096357004,823415,6562,835,369,391896,0 0,2,2024-09-07 09:30:41:087,601392,601392,0,0,24695923,0,4480 0,3,2024-09-07 09:30:40:989,1,474,5,0,431,6853,474,0 1,0,2024-09-07 09:30:41:798,119590,1.0,119082,1.0,238629,1.4,319141,2.00 1,1,2024-09-07 09:30:40:570,830899,830899,0,0,389636913600,4079454615,823523,6020,1356,370,391859,0 1,2,2024-09-07 09:30:40:641,599854,599854,0,0,24138255,0,3380 1,3,2024-09-07 09:30:41:312,1,474,38,0,269,6074,474,0 2,0,2024-09-07 09:30:41:579,117679,0.6,117632,0.7,235019,0.6,313489,2.00 2,1,2024-09-07 09:30:40:860,833510,833510,0,0,391762274615,4069341388,829349,3416,745,380,391745,0 2,2,2024-09-07 09:30:41:266,600317,600317,0,0,22596572,0,3594 2,3,2024-09-07 09:30:40:691,1,474,1,0,357,4339,474,0 3,0,2024-09-07 09:30:41:743,119607,0.4,119361,0.6,238713,0.4,318011,2.00 3,1,2024-09-07 09:30:41:619,832224,832224,0,0,391231727621,4074244763,825379,6150,695,379,391716,0 3,2,2024-09-07 09:30:41:142,599935,599912,23,0,23872740,0,5851 3,3,2024-09-07 09:30:41:753,1,474,1,0,207,3004,474,0 4,0,2024-09-07 09:30:41:785,115529,0.4,118699,0.5,242009,0.3,316729,1.75 4,1,2024-09-07 09:30:40:598,828893,828893,0,0,389505571342,4122108603,814552,11082,3259,370,391992,0 4,2,2024-09-07 09:30:41:049,597522,597522,0,0,28044018,0,4528 4,3,2024-09-07 09:30:41:249,1,474,1,0,448,6300,474,0 5,0,2024-09-07 09:30:41:388,118481,0.4,119153,0.6,237699,0.4,316022,1.75 5,1,2024-09-07 09:30:40:757,830435,830435,0,0,390638888987,4112122252,818639,9432,2364,367,392005,0 5,2,2024-09-07 09:30:41:829,595976,595976,0,0,28052987,0,3582 5,3,2024-09-07 09:30:41:746,1,474,15,0,457,6749,474,0 6,0,2024-09-07 09:30:40:951,118494,0.4,118010,0.6,235982,0.4,315072,2.00 6,1,2024-09-07 09:30:40:750,832526,832526,0,0,389941995309,4073212292,823886,7214,1426,379,391702,0 6,2,2024-09-07 09:30:41:118,601325,601307,18,0,26960667,0,5535 6,3,2024-09-07 09:30:41:284,1,474,13,0,710,5982,474,0 7,0,2024-09-07 09:30:41:536,117122,0.5,118016,0.6,234870,0.4,312563,2.00 7,1,2024-09-07 09:30:40:853,830325,830325,0,0,389629372074,4091345052,818743,9596,1986,382,391747,0 7,2,2024-09-07 09:30:40:770,599248,599248,0,0,26318785,0,4791 7,3,2024-09-07 09:30:40:851,1,474,0,0,552,5589,474,0 8,0,2024-09-07 09:30:41:349,118562,0.3,118256,0.5,237195,0.3,315989,1.75 8,1,2024-09-07 09:30:41:025,829932,829932,0,0,389520037117,4094350629,817320,9833,2779,366,392853,0 8,2,2024-09-07 09:30:40:794,594009,594009,0,0,31160040,0,3250 8,3,2024-09-07 09:30:40:586,1,474,1,0,538,7502,474,0 9,0,2024-09-07 09:30:41:126,119366,0.4,116114,0.5,243079,0.3,318479,1.75 9,1,2024-09-07 09:30:40:584,828857,828857,0,0,389456844188,4102093989,816522,9867,2468,369,392001,0 9,2,2024-09-07 09:30:41:099,597655,597655,0,0,28477108,0,4615 9,3,2024-09-07 09:30:41:752,1,474,8,0,496,6702,474,0 10,0,2024-09-07 09:30:41:609,118212,0.3,117731,0.5,236344,0.3,314825,1.75 10,1,2024-09-07 09:30:40:584,831706,831706,0,0,389859866542,4085929123,820302,9464,1940,381,391741,0 10,2,2024-09-07 09:30:40:764,601867,601867,0,0,31010139,0,4264 10,3,2024-09-07 09:30:40:872,1,474,2,0,649,5038,474,0 11,0,2024-09-07 09:30:41:018,117433,0.4,113906,0.6,238205,0.4,314326,1.75 11,1,2024-09-07 09:30:40:572,831686,831686,0,0,390537305590,4097835948,818935,9631,3120,383,391756,0 11,2,2024-09-07 09:30:41:123,597496,597496,0,0,26986194,0,4130 11,3,2024-09-07 09:30:41:300,1,474,2,0,843,6581,474,0 12,0,2024-09-07 09:30:41:134,120133,0.4,120132,0.5,240188,0.3,318755,1.75 12,1,2024-09-07 09:30:40:965,831563,831563,0,0,390324604845,4072826433,824775,6131,657,370,391960,0 12,2,2024-09-07 09:30:41:549,598006,598006,0,0,26903545,0,4390 12,3,2024-09-07 09:30:41:095,1,474,57,0,386,6682,474,0 13,0,2024-09-07 09:30:41:324,120688,0.4,120213,0.6,239902,0.4,319726,1.75 13,1,2024-09-07 09:30:41:540,829747,829747,0,0,390137021003,4103099607,820703,6893,2151,382,391740,0 13,2,2024-09-07 09:30:40:602,601398,601398,0,0,24574474,0,3287 13,3,2024-09-07 09:30:41:765,1,474,11,0,522,6751,474,0 14,0,2024-09-07 09:30:40:563,119760,0.4,120728,0.6,239127,0.4,318458,1.75 14,1,2024-09-07 09:30:41:565,835594,835594,0,0,392823539022,4067056504,829065,5815,714,364,391673,0 14,2,2024-09-07 09:30:40:770,600801,600771,30,0,25940122,0,6104 14,3,2024-09-07 09:30:41:118,1,474,0,0,1168,4989,474,0 15,0,2024-09-07 09:30:41:571,117050,0.4,116804,0.6,234372,0.4,312076,2.00 15,1,2024-09-07 09:30:41:611,832525,832525,0,0,391365675262,4076985954,825511,5559,1455,381,391619,0 15,2,2024-09-07 09:30:41:000,600244,600244,0,0,21567185,0,3622 15,3,2024-09-07 09:30:41:418,1,474,30,0,1126,7213,474,0 16,0,2024-09-07 09:30:40:934,119630,0.5,120371,0.7,239708,0.5,318691,2.00 16,1,2024-09-07 09:30:40:582,832147,832147,0,0,390563468213,4084044774,824573,6338,1236,370,391917,0 16,2,2024-09-07 09:30:41:439,598730,598730,0,0,25719623,0,4719 16,3,2024-09-07 09:30:41:141,1,474,141,0,358,6123,474,0 17,0,2024-09-07 09:30:41:771,123263,0.6,120274,0.7,235430,0.6,319604,2.00 17,1,2024-09-07 09:30:40:572,830643,830643,0,0,389899859491,4092598587,822042,6884,1717,368,392075,0 17,2,2024-09-07 09:30:41:675,602341,602340,1,0,26550187,0,5050 17,3,2024-09-07 09:30:40:574,1,474,9,0,518,7385,474,0 18,0,2024-09-07 09:30:40:940,117836,0.7,118313,0.8,236116,0.7,315382,2.25 18,1,2024-09-07 09:30:41:639,834685,834685,0,0,392360844198,4065847134,830085,4162,438,367,391725,0 18,2,2024-09-07 09:30:41:760,601627,601627,0,0,23242452,0,3541 18,3,2024-09-07 09:30:40:896,1,474,2,0,1059,4501,474,0 19,0,2024-09-07 09:30:41:555,117671,0.6,117905,0.8,234455,0.6,312235,2.25 19,1,2024-09-07 09:30:40:567,834595,834595,0,0,392243271429,4068160902,827979,5534,1082,367,391777,0 19,2,2024-09-07 09:30:41:754,602655,602655,0,0,21592180,0,3988 19,3,2024-09-07 09:30:41:132,1,474,1,0,524,3995,474,0 20,0,2024-09-07 09:30:41:370,118422,0.5,118540,0.6,236610,0.4,315866,2.00 20,1,2024-09-07 09:30:40:569,831566,831566,0,0,391380496506,4096597504,823566,6702,1298,369,391922,0 20,2,2024-09-07 09:30:40:931,598724,598724,0,0,25898077,0,3721 20,3,2024-09-07 09:30:40:599,1,474,18,0,468,7779,474,0 21,0,2024-09-07 09:30:41:138,118622,0.4,118764,0.6,237373,0.4,315352,1.75 21,1,2024-09-07 09:30:41:549,829047,829047,0,0,389618140596,4111279075,816144,9928,2975,368,392016,0 21,2,2024-09-07 09:30:41:069,596156,596136,20,0,31195008,0,5617 21,3,2024-09-07 09:30:41:409,1,474,8,0,713,6255,474,0 22,0,2024-09-07 09:30:41:720,118984,0.5,119465,0.7,238579,0.4,316125,2.00 22,1,2024-09-07 09:30:41:051,830769,830769,0,0,389872347187,4102299026,817321,10488,2960,382,391675,0 22,2,2024-09-07 09:30:40:771,597339,597313,26,0,25813019,0,6328 22,3,2024-09-07 09:30:41:068,1,474,1,0,228,3877,474,0 23,0,2024-09-07 09:30:41:369,117787,0.5,117238,0.7,234726,0.5,313037,2.25 23,1,2024-09-07 09:30:41:022,831865,831865,0,0,391132580903,4104461830,817643,10009,4213,365,391690,0 23,2,2024-09-07 09:30:41:101,604089,604089,0,0,24343297,0,3773 23,3,2024-09-07 09:30:41:759,1,474,30,0,720,5935,474,0 24,0,2024-09-07 09:30:40:817,118913,0.4,118116,0.5,237423,0.4,315486,1.75 24,1,2024-09-07 09:30:40:608,830673,830673,0,0,389688482374,4082128146,822162,6850,1661,367,392269,0 24,2,2024-09-07 09:30:41:084,598455,598455,0,0,28991863,0,3607 24,3,2024-09-07 09:30:41:688,1,474,1,0,468,6326,474,0 25,0,2024-09-07 09:30:41:359,121995,0.4,118873,0.6,233228,0.4,317390,1.75 25,1,2024-09-07 09:30:40:581,829682,829682,0,0,389748693091,4110235510,816000,10976,2706,371,391928,0 25,2,2024-09-07 09:30:41:611,596351,596351,0,0,30504841,0,3978 25,3,2024-09-07 09:30:41:020,1,474,25,0,532,5300,474,0 26,0,2024-09-07 09:30:41:719,119035,0.4,116491,0.6,244219,0.4,317553,1.75 26,1,2024-09-07 09:30:41:560,832489,832489,0,0,389782505571,4085298112,820172,9904,2413,380,391748,0 26,2,2024-09-07 09:30:40:862,599107,599107,0,0,31886769,0,4689 26,3,2024-09-07 09:30:41:712,1,474,3,0,796,5515,474,0 27,0,2024-09-07 09:30:41:747,119024,0.4,119269,0.6,237181,0.4,316233,2.25 27,1,2024-09-07 09:30:41:676,833639,833639,0,0,392095381165,4085180307,825392,6985,1262,381,391626,0 27,2,2024-09-07 09:30:40:867,599450,599385,65,0,27779921,0,5699 27,3,2024-09-07 09:30:41:024,1,474,1,0,564,4273,474,0 28,0,2024-09-07 09:30:41:396,117728,0.4,117152,0.6,235282,0.3,313843,1.75 28,1,2024-09-07 09:30:40:806,833032,833032,0,0,391936760992,4088885876,825545,5787,1700,382,391698,0 28,2,2024-09-07 09:30:41:770,598610,598610,0,0,24500449,0,2915 28,3,2024-09-07 09:30:41:776,1,474,1,0,502,4874,474,0 29,0,2024-09-07 09:30:41:358,122928,0.4,119825,0.6,234895,0.3,320127,1.75 29,1,2024-09-07 09:30:41:564,835654,835654,0,0,392815165652,4064837080,830368,4527,759,368,391809,0 29,2,2024-09-07 09:30:40:862,598092,598092,0,0,23860549,0,4986 29,3,2024-09-07 09:30:40:963,1,474,8,0,459,5426,474,0 30,0,2024-09-07 09:30:41:460,119371,0.5,115989,0.7,242647,0.5,317328,2.00 30,1,2024-09-07 09:30:40:572,834443,834443,0,0,392378165803,4074436642,827834,5760,849,380,391672,0 30,2,2024-09-07 09:30:41:274,600788,600788,0,0,23417869,0,4192 30,3,2024-09-07 09:30:40:581,1,474,10,0,519,4795,474,0 31,0,2024-09-07 09:30:41:758,119335,0.5,119756,0.7,239183,0.4,319219,2.00 31,1,2024-09-07 09:30:40:567,837444,837444,0,0,393329807703,4035539832,833121,3522,801,356,391712,0 31,2,2024-09-07 09:30:41:275,598720,598720,0,0,25789754,0,4470 31,3,2024-09-07 09:30:41:712,1,474,0,0,220,4083,474,0 32,0,2024-09-07 09:30:41:427,117989,0.3,118557,0.5,236282,0.3,315051,1.75 32,1,2024-09-07 09:30:40:808,833658,833658,0,0,391682316706,4072733861,828410,4566,682,381,391646,0 32,2,2024-09-07 09:30:40:945,600530,600530,0,0,22315133,0,3922 32,3,2024-09-07 09:30:41:019,1,474,8,0,304,3732,474,0 33,0,2024-09-07 09:30:41:500,120155,0.3,119751,0.4,239663,0.2,319155,1.50 33,1,2024-09-07 09:30:40:575,834694,834694,0,0,392458081770,4067854604,827767,5770,1157,368,391730,0 33,2,2024-09-07 09:30:40:780,600030,599995,35,0,24868351,0,7012 33,3,2024-09-07 09:30:40:907,1,474,8,0,329,4565,474,0 34,0,2024-09-07 09:30:40:930,119386,0.3,122652,0.4,234528,0.2,315935,1.75 34,1,2024-09-07 09:30:41:049,836268,836268,0,0,393507594287,4045329643,834222,2026,20,367,391562,0 34,2,2024-09-07 09:30:40:766,601362,601362,0,0,23229826,0,4562 34,3,2024-09-07 09:30:41:688,1,474,10,0,320,3832,474,0 35,0,2024-09-07 09:30:40:862,117934,0.3,118547,0.5,238198,0.3,316867,1.75 35,1,2024-09-07 09:30:41:070,833627,833627,0,0,392110849025,4066198804,828101,4471,1055,382,391769,0 35,2,2024-09-07 09:30:41:592,598547,598547,0,0,25360921,0,4055 35,3,2024-09-07 09:30:40:907,1,474,1,0,418,4669,474,0 36,0,2024-09-07 09:30:41:515,118620,0.4,118512,0.6,236910,0.4,315566,2.00 36,1,2024-09-07 09:30:40:584,833349,833349,0,0,390596945988,4077575593,823048,8292,2009,366,391759,0 36,2,2024-09-07 09:30:41:758,601946,601946,0,0,26164187,0,3875 36,3,2024-09-07 09:30:40:863,1,474,185,0,416,6759,474,0 37,0,2024-09-07 09:30:41:391,117368,0.5,117431,0.6,234938,0.4,313047,2.00 37,1,2024-09-07 09:30:40:569,832109,832102,0,7,390905706126,4082900247,821847,7700,2555,365,391770,0 37,2,2024-09-07 09:30:41:142,597284,597269,15,0,26458773,0,5815 37,3,2024-09-07 09:30:41:769,1,474,8,0,888,6904,474,0 38,0,2024-09-07 09:30:41:441,117721,0.4,114243,0.6,239020,0.4,313249,2.00 38,1,2024-09-07 09:30:41:607,832721,832721,0,0,392167337810,4101982541,819994,10216,2511,368,391821,0 38,2,2024-09-07 09:30:40:761,598119,598072,47,0,26161834,0,6710 38,3,2024-09-07 09:30:41:011,1,474,2,0,689,5921,474,0 39,0,2024-09-07 09:30:41:779,122236,0.6,119507,0.7,232981,0.5,317693,2.00 39,1,2024-09-07 09:30:40:723,831655,831655,0,0,390046421274,4092882554,817233,11124,3298,365,391658,0 39,2,2024-09-07 09:30:41:422,599390,599390,0,0,24967333,0,3391 39,3,2024-09-07 09:30:40:714,1,474,24,0,324,5118,474,0 40,0,2024-09-07 09:30:41:490,117063,0.8,117705,0.9,234769,0.8,313216,2.75 40,1,2024-09-07 09:30:40:585,832780,832780,0,0,390237568322,4084999018,821104,9507,2169,368,391668,0 40,2,2024-09-07 09:30:41:306,601040,601039,1,0,29594238,0,5137 40,3,2024-09-07 09:30:41:143,1,474,1,0,1028,6250,474,0 41,0,2024-09-07 09:30:41:036,116979,1.2,119674,1.1,228157,1.7,310919,3.00 41,1,2024-09-07 09:30:40:767,831229,831229,0,0,390887125561,4091903459,820398,9123,1708,369,391742,0 41,2,2024-09-07 09:30:40:766,596233,596233,0,0,28003016,0,4277 41,3,2024-09-07 09:30:41:678,1,474,9,0,366,4926,474,0 42,0,2024-09-07 09:30:41:499,118570,0.8,118313,1.0,237031,0.9,313565,2.75 42,1,2024-09-07 09:30:41:440,829054,829054,0,0,389617244734,4099419928,814857,11352,2845,380,391675,0 42,2,2024-09-07 09:30:41:140,597447,597447,0,0,27945973,0,3790 42,3,2024-09-07 09:30:41:019,1,474,1,0,892,4466,474,0 43,0,2024-09-07 09:30:40:928,118588,0.7,115572,0.9,241707,0.7,316820,2.25 43,1,2024-09-07 09:30:40:576,832468,832468,0,0,390898559610,4085907631,820536,9964,1968,366,391696,0 43,2,2024-09-07 09:30:41:736,600205,600205,0,0,27584437,0,3812 43,3,2024-09-07 09:30:41:753,1,474,4,0,571,6787,474,0 44,0,2024-09-07 09:30:40:868,119941,0.4,119967,0.6,240444,0.4,319025,2.00 44,1,2024-09-07 09:30:40:574,834254,834254,0,0,392198923975,4054989753,826799,5906,1549,356,391809,0 44,2,2024-09-07 09:30:41:266,598937,598937,0,0,23289561,0,4344 44,3,2024-09-07 09:30:41:100,1,474,1,0,817,5368,474,0 45,0,2024-09-07 09:30:41:766,115899,0.5,113160,0.7,237429,0.4,312097,2.00 45,1,2024-09-07 09:30:41:008,833401,833401,0,0,392334330856,4080123538,826232,6303,866,382,391917,0 45,2,2024-09-07 09:30:41:268,599902,599902,0,0,23139093,0,3596 45,3,2024-09-07 09:30:40:943,1,474,12,0,271,4238,474,0 46,0,2024-09-07 09:30:40:964,119245,0.5,118680,0.7,238210,0.5,315963,2.00 46,1,2024-09-07 09:30:40:586,835604,835604,0,0,392282536289,4053386851,830036,4838,730,366,391709,0 46,2,2024-09-07 09:30:40:598,599419,599419,0,0,23515673,0,4443 46,3,2024-09-07 09:30:41:139,1,474,8,0,908,5714,474,0 47,0,2024-09-07 09:30:41:113,119770,0.4,120124,0.6,240507,0.4,318641,2.00 47,1,2024-09-07 09:30:40:566,835876,835876,0,0,391926191191,4050064136,830815,4222,839,366,391641,0 47,2,2024-09-07 09:30:40:908,603112,603112,0,0,23701500,0,4477 47,3,2024-09-07 09:30:41:118,1,474,2,0,600,5525,474,0 48,0,2024-09-07 09:30:41:490,119555,0.3,119542,0.4,237905,0.2,317425,1.50 48,1,2024-09-07 09:30:41:048,834059,834059,0,0,391973210659,4070170070,829268,4334,457,384,391710,0 48,2,2024-09-07 09:30:40:698,599528,599528,0,0,22003317,0,3411 48,3,2024-09-07 09:30:40:757,1,474,1,0,339,3764,474,0 49,0,2024-09-07 09:30:41:714,120988,0.4,118893,0.5,230927,0.3,315944,1.75 49,1,2024-09-07 09:30:41:024,833357,833357,0,0,391713723891,4073392302,828064,4086,1207,382,391809,0 49,2,2024-09-07 09:30:41:797,601092,601092,0,0,23695520,0,4426 49,3,2024-09-07 09:30:41:418,1,474,7,0,992,5515,474,0 50,0,2024-09-07 09:30:41:518,119261,0.3,117640,0.4,236911,0.2,315822,1.75 50,1,2024-09-07 09:30:41:020,836256,836256,0,0,392985819257,4062422131,831103,4578,575,368,391565,0 50,2,2024-09-07 09:30:41:069,599510,599510,0,0,21930783,0,4490 50,3,2024-09-07 09:30:41:306,1,474,1,0,617,5217,474,0 51,0,2024-09-07 09:30:41:683,121647,0.3,119205,0.5,232275,0.2,316894,1.75 51,1,2024-09-07 09:30:41:683,836912,836912,0,0,393797845602,4061743827,832402,3458,1052,365,391706,0 51,2,2024-09-07 09:30:41:316,599809,599809,0,0,20821741,0,3337 51,3,2024-09-07 09:30:41:052,1,474,27,0,678,3697,474,0 52,0,2024-09-07 09:30:41:416,119472,0.5,119270,0.7,238803,0.4,317047,2.00 52,1,2024-09-07 09:30:40:599,832433,832433,0,0,390857004697,4088687707,821129,9860,1444,368,391722,0 52,2,2024-09-07 09:30:41:759,595485,595447,38,0,27913782,0,6742 52,3,2024-09-07 09:30:40:674,1,474,1,0,1782,6075,474,0 53,0,2024-09-07 09:30:41:743,117362,0.6,113908,0.8,238327,0.6,312876,2.25 53,1,2024-09-07 09:30:40:772,830577,830577,0,0,390726572776,4100710887,816695,10290,3592,367,391968,0 53,2,2024-09-07 09:30:41:298,602645,602644,1,0,25157671,0,5455 53,3,2024-09-07 09:30:40:697,1,474,8,0,308,4698,474,0 54,0,2024-09-07 09:30:41:619,116631,0.5,116892,0.7,232812,0.4,311476,2.25 54,1,2024-09-07 09:30:40:584,832600,832600,0,0,392179065572,4076716717,823985,7219,1396,366,391659,0 54,2,2024-09-07 09:30:40:865,599269,599237,32,0,28388665,0,6397 54,3,2024-09-07 09:30:40:763,1,474,79,0,676,6612,474,0 55,0,2024-09-07 09:30:41:785,114744,0.6,118290,0.7,239713,0.5,311999,2.25 55,1,2024-09-07 09:30:40:766,833696,833696,0,0,391576739333,4070422363,824979,7499,1218,365,391731,0 55,2,2024-09-07 09:30:40:730,597587,597531,56,0,27400316,0,7239 55,3,2024-09-07 09:30:40:674,1,474,1,0,304,4498,474,0 56,0,2024-09-07 09:30:41:568,121936,1.2,114956,1.1,236895,1.6,316826,2.75 56,1,2024-09-07 09:30:40:612,829387,829387,0,0,390155033373,4117236101,817138,9697,2552,381,391867,0 56,2,2024-09-07 09:30:41:326,598906,598784,122,0,28785041,0,7432 56,3,2024-09-07 09:30:41:060,1,474,8,0,705,5701,474,0 57,0,2024-09-07 09:30:40:990,117105,1.5,116978,1.3,234490,2.1,313350,3.00 57,1,2024-09-07 09:30:41:005,830897,830897,0,0,390293242270,4093968398,820111,8721,2065,366,392032,0 57,2,2024-09-07 09:30:41:318,601497,601497,0,0,29351951,0,4317 57,3,2024-09-07 09:30:41:742,1,474,11,0,455,5433,474,0 58,0,2024-09-07 09:30:40:556,115743,0.9,112387,1.0,235051,1.1,308731,2.50 58,1,2024-09-07 09:30:40:575,831786,831783,0,3,390973556378,4095739934,819491,9524,2768,367,391603,3 58,2,2024-09-07 09:30:41:075,597734,597734,0,0,27234852,0,3483 58,3,2024-09-07 09:30:41:078,1,474,1,0,1043,5022,474,0 59,0,2024-09-07 09:30:41:743,119182,0.9,118754,1.0,237073,1.0,314396,2.75 59,1,2024-09-07 09:30:40:819,831545,831545,0,0,391079540234,4100526318,819245,10063,2237,369,391653,0 59,2,2024-09-07 09:30:40:586,598009,598009,0,0,26886124,0,3727 59,3,2024-09-07 09:30:41:739,1,474,1,0,1015,6290,474,0 60,0,2024-09-07 09:30:41:710,119568,0.5,119697,0.7,239687,0.5,318285,1.75 60,1,2024-09-07 09:30:40:777,834482,834482,0,0,392356337354,4073520866,828565,4988,929,370,392031,0 60,2,2024-09-07 09:30:41:144,600639,600639,0,0,25618850,0,3811 60,3,2024-09-07 09:30:41:262,1,474,1,0,409,5944,474,0 61,0,2024-09-07 09:30:41:546,119461,0.7,120093,0.8,239113,0.7,318922,2.00 61,1,2024-09-07 09:30:40:777,831973,831973,0,0,390951700621,4097080530,822331,8065,1577,382,392127,0 61,2,2024-09-07 09:30:41:118,599779,599712,67,0,26231266,0,6411 61,3,2024-09-07 09:30:41:695,1,474,11,0,479,6356,474,0 62,0,2024-09-07 09:30:41:705,118366,0.6,121337,0.7,231694,0.6,315115,2.00 62,1,2024-09-07 09:30:41:111,837110,837104,0,6,393023647376,4051537465,833177,3664,263,365,391975,6 62,2,2024-09-07 09:30:41:643,597537,597536,1,0,25379073,0,5555 62,3,2024-09-07 09:30:41:143,1,474,96,0,482,4019,474,0 63,0,2024-09-07 09:30:41:459,120154,0.4,119877,0.6,240525,0.4,319572,1.75 63,1,2024-09-07 09:30:40:814,834300,834294,0,6,391750962316,4067097880,828946,4614,734,381,391800,6 63,2,2024-09-07 09:30:40:771,599239,599239,0,0,24285786,0,4369 63,3,2024-09-07 09:30:41:735,1,474,1,0,667,5089,474,0 64,0,2024-09-07 09:30:41:577,118658,0.5,118741,0.6,236959,0.4,315217,2.00 64,1,2024-09-07 09:30:40:757,833837,833837,0,0,391498102991,4077698933,826584,5540,1713,370,391783,0 64,2,2024-09-07 09:30:41:151,603736,603717,19,0,23494055,0,6121 64,3,2024-09-07 09:30:41:150,1,474,1,0,265,4368,474,0 65,0,2024-09-07 09:30:41:692,117723,0.7,118065,0.8,235729,0.7,314143,2.00 65,1,2024-09-07 09:30:40:913,831677,831677,0,0,390566860080,4081493416,825957,5074,646,381,391901,0 65,2,2024-09-07 09:30:41:700,598804,598804,0,0,27669309,0,3367 65,3,2024-09-07 09:30:41:688,1,474,20,0,782,5802,474,0 66,0,2024-09-07 09:30:41:773,117954,0.5,117738,0.8,235364,0.5,313252,2.25 66,1,2024-09-07 09:30:41:294,833709,833709,0,0,391353008960,4075460443,827725,5266,718,380,391743,0 66,2,2024-09-07 09:30:41:140,603219,603216,3,0,25320463,0,5455 66,3,2024-09-07 09:30:41:084,1,474,7,0,291,4245,474,0 67,0,2024-09-07 09:30:41:413,117913,0.5,117564,0.7,236056,0.4,313731,2.00 67,1,2024-09-07 09:30:40:766,833412,833411,0,1,391125032609,4072856566,827546,5057,808,380,391787,1 67,2,2024-09-07 09:30:40:584,601068,601053,15,0,24075430,0,6205 67,3,2024-09-07 09:30:41:751,1,474,107,0,392,4644,474,0 68,0,2024-09-07 09:30:40:583,118646,0.5,118489,0.7,236039,0.5,315532,2.00 68,1,2024-09-07 09:30:40:582,830161,830161,0,0,389299098258,4094766897,818808,8017,3336,381,391953,0 68,2,2024-09-07 09:30:41:047,595564,595464,100,0,30788024,0,8578 68,3,2024-09-07 09:30:40:747,1,474,3,0,417,5642,474,0 69,0,2024-09-07 09:30:41:777,118708,0.7,119456,0.8,238136,0.8,315981,2.25 69,1,2024-09-07 09:30:41:051,830066,830066,0,0,390257499509,4110579042,819444,8346,2276,384,391994,0 69,2,2024-09-07 09:30:41:768,597755,597726,29,0,32479123,0,6912 69,3,2024-09-07 09:30:40:769,1,474,15,0,698,7197,474,0 70,0,2024-09-07 09:30:41:546,116925,0.9,117326,1.0,236023,0.8,312639,2.50 70,1,2024-09-07 09:30:40:802,834151,834151,0,0,392383743558,4070709036,827633,5817,701,366,391725,0 70,2,2024-09-07 09:30:41:335,602139,602139,0,0,27162227,0,4323 70,3,2024-09-07 09:30:40:748,1,474,11,0,854,5392,474,0 71,0,2024-09-07 09:30:41:357,116338,0.8,116264,1.0,233476,1.0,311793,2.50 71,1,2024-09-07 09:30:41:604,832260,832260,0,0,391523395319,4084903837,822353,8823,1084,368,391738,0 71,2,2024-09-07 09:30:41:076,597447,597447,0,0,27024442,0,4352 71,3,2024-09-07 09:30:41:753,1,474,17,0,644,5844,474,0 72,0,2024-09-07 09:30:41:057,123182,0.6,120464,0.7,234872,0.5,318963,2.00 72,1,2024-09-07 09:30:41:032,830991,830991,0,0,390491511720,4096825410,818973,9932,2086,369,391819,0 72,2,2024-09-07 09:30:41:759,597175,597175,0,0,29346431,0,3983 72,3,2024-09-07 09:30:41:759,1,474,7,0,564,6816,474,0 73,0,2024-09-07 09:30:41:130,116670,0.4,119737,0.6,244515,0.4,317724,2.00 73,1,2024-09-07 09:30:40:769,833188,833188,0,0,391296147338,4064069555,827254,5512,422,367,391858,0 73,2,2024-09-07 09:30:41:743,599559,599559,0,0,29429507,0,4291 73,3,2024-09-07 09:30:40:988,1,474,18,0,486,6065,474,0 74,0,2024-09-07 09:30:41:331,120705,0.5,123500,0.7,235419,0.5,319359,2.25 74,1,2024-09-07 09:30:40:636,832423,832423,0,0,390487418035,4076486372,823340,7317,1766,381,391762,0 74,2,2024-09-07 09:30:41:014,599994,599994,0,0,26107576,0,4253 74,3,2024-09-07 09:30:41:442,1,474,1,0,522,5830,474,0 75,0,2024-09-07 09:30:41:767,117793,0.5,117043,0.7,234712,0.5,313726,2.25 75,1,2024-09-07 09:30:41:594,833090,833090,0,0,390872940105,4076941325,825582,6666,842,380,391739,0 75,2,2024-09-07 09:30:41:350,597753,597753,0,0,29768549,0,4766 75,3,2024-09-07 09:30:41:074,1,474,1,0,702,5998,474,0 76,0,2024-09-07 09:30:40:670,119055,0.6,118366,0.7,237593,0.5,317368,2.25 76,1,2024-09-07 09:30:40:811,832203,832203,0,0,390803175129,4076498031,826419,4956,828,382,391692,0 76,2,2024-09-07 09:30:41:066,600933,600930,3,0,26327800,0,5265 76,3,2024-09-07 09:30:41:143,1,474,0,0,227,4572,474,0 77,0,2024-09-07 09:30:41:753,119183,0.5,119530,0.7,239571,0.5,317593,2.00 77,1,2024-09-07 09:30:40:888,833161,833161,0,0,391120631202,4081387889,826688,5913,560,381,391869,0 77,2,2024-09-07 09:30:41:285,599849,599849,0,0,25655088,0,3890 77,3,2024-09-07 09:30:41:100,1,474,8,0,401,5146,474,0 78,0,2024-09-07 09:30:41:719,119282,0.4,118632,0.6,238189,0.4,316172,2.00 78,1,2024-09-07 09:30:40:613,833256,833256,0,0,390196786564,4069573831,823709,7318,2229,367,391670,0 78,2,2024-09-07 09:30:41:410,600487,600474,13,0,23819306,0,8313 78,3,2024-09-07 09:30:41:138,1,474,0,0,181,4018,474,0 79,0,2024-09-07 09:30:41:358,113878,0.4,116731,0.6,238762,0.4,310811,2.25 79,1,2024-09-07 09:30:40:571,835104,835104,0,0,392193871329,4065844594,827819,5935,1350,367,391682,0 79,2,2024-09-07 09:30:41:069,601140,601140,0,0,23017139,0,4195 79,3,2024-09-07 09:30:40:749,1,474,1,0,418,5946,474,0 80,0,2024-09-07 09:30:41:111,118487,0.5,121846,0.6,232967,0.4,315358,2.00 80,1,2024-09-07 09:30:41:622,832450,832450,0,0,391264012236,4075221527,826669,5358,423,368,392269,0 80,2,2024-09-07 09:30:41:101,601190,601190,0,0,23573074,0,4433 80,3,2024-09-07 09:30:40:587,1,474,2,0,190,5448,474,0 81,0,2024-09-07 09:30:41:542,118706,0.5,121586,0.7,232049,0.5,315527,2.00 81,1,2024-09-07 09:30:41:650,831844,831844,0,0,390806594089,4084845464,825188,6096,560,382,391879,0 81,2,2024-09-07 09:30:41:154,598169,598106,63,0,26350339,0,5932 81,3,2024-09-07 09:30:41:132,1,474,12,0,719,5548,474,0 82,0,2024-09-07 09:30:41:533,118888,0.5,119103,0.7,238441,0.5,316341,2.00 82,1,2024-09-07 09:30:40:584,833964,833960,0,4,391279433563,4070200299,828919,4222,819,381,391768,4 82,2,2024-09-07 09:30:41:692,599885,599885,0,0,21990291,0,4484 82,3,2024-09-07 09:30:41:755,1,474,0,0,363,4789,474,0 83,0,2024-09-07 09:30:41:524,118143,0.6,118032,0.7,235423,0.6,312961,2.25 83,1,2024-09-07 09:30:40:552,832194,832194,0,0,390907922520,4075508632,826272,5471,451,382,391709,0 83,2,2024-09-07 09:30:40:769,602240,602215,25,0,23910832,0,5612 83,3,2024-09-07 09:30:40:749,1,474,1,0,1260,5904,474,0 84,0,2024-09-07 09:30:41:767,117301,0.7,117291,0.8,234257,0.6,313509,2.25 84,1,2024-09-07 09:30:41:043,831539,831539,0,0,390296604502,4077757998,822838,7564,1137,367,391967,0 84,2,2024-09-07 09:30:40:570,597569,597539,30,0,30425955,0,5971 84,3,2024-09-07 09:30:41:148,1,474,3,0,908,6924,474,0 85,0,2024-09-07 09:30:41:027,114284,0.6,114205,0.8,242353,0.6,313620,2.25 85,1,2024-09-07 09:30:40:589,829446,829446,0,0,389925010703,4113288053,817352,9949,2145,381,392006,0 85,2,2024-09-07 09:30:40:871,596909,596909,0,0,29294147,0,4255 85,3,2024-09-07 09:30:40:685,1,474,10,0,789,5532,474,0 86,0,2024-09-07 09:30:40:908,119185,0.7,122630,0.8,234624,0.7,317120,2.25 86,1,2024-09-07 09:30:40:827,831944,831944,0,0,391405401683,4099130246,821870,8354,1720,366,391961,0 86,2,2024-09-07 09:30:40:866,597740,597739,1,0,31403049,0,5004 86,3,2024-09-07 09:30:40:592,1,474,1,0,308,6876,474,0 87,0,2024-09-07 09:30:41:286,118865,0.9,118543,0.9,237080,1.2,316574,2.25 87,1,2024-09-07 09:30:40:551,830474,830474,0,0,389758716125,4084623930,819281,9535,1658,366,392076,0 87,2,2024-09-07 09:30:41:077,600871,600865,6,0,27615393,0,6323 87,3,2024-09-07 09:30:41:796,1,474,2,0,473,7265,474,0 88,0,2024-09-07 09:30:41:458,117196,0.4,117799,0.6,235358,0.4,313551,1.75 88,1,2024-09-07 09:30:40:569,830143,830143,0,0,389701244684,4081667827,819154,8762,2227,365,392084,0 88,2,2024-09-07 09:30:40:690,598342,598342,0,0,29986915,0,4465 88,3,2024-09-07 09:30:41:267,1,474,3,0,435,5869,474,0 89,0,2024-09-07 09:30:41:771,123057,0.5,119237,0.6,235626,0.4,320196,1.75 89,1,2024-09-07 09:30:40:552,829819,829819,0,0,390575196619,4105230631,819767,8577,1475,382,391866,0 89,2,2024-09-07 09:30:41:154,597811,597811,0,0,28245862,0,3173 89,3,2024-09-07 09:30:41:798,1,474,8,0,468,7955,474,0 90,0,2024-09-07 09:30:41:620,116116,0.4,119347,0.6,243231,0.4,317228,2.00 90,1,2024-09-07 09:30:40:591,831777,831777,0,0,390688070772,4088632556,824196,6930,651,380,391825,0 90,2,2024-09-07 09:30:41:410,598563,598563,0,0,30343963,0,3608 90,3,2024-09-07 09:30:40:964,1,474,44,0,322,5691,474,0 91,0,2024-09-07 09:30:40:947,120245,0.5,116432,0.6,243323,0.5,319568,1.75 91,1,2024-09-07 09:30:40:556,829886,829886,0,0,390713737890,4107287446,819490,8752,1644,381,392047,0 91,2,2024-09-07 09:30:41:335,600645,600645,0,0,26695277,0,3526 91,3,2024-09-07 09:30:40:603,1,474,7,0,216,4688,474,0 92,0,2024-09-07 09:30:41:617,119114,0.5,121845,0.6,232508,0.5,315327,1.75 92,1,2024-09-07 09:30:40:585,832470,832470,0,0,390407230795,4079212728,825898,5498,1074,381,392136,0 92,2,2024-09-07 09:30:41:352,600336,600336,0,0,23912260,0,3259 92,3,2024-09-07 09:30:41:010,1,474,6,0,167,4211,474,0 93,0,2024-09-07 09:30:40:983,120563,0.4,123573,0.6,235823,0.4,319708,1.75 93,1,2024-09-07 09:30:40:818,832497,832497,0,0,391077196988,4084882767,823111,7686,1700,366,391776,0 93,2,2024-09-07 09:30:40:937,599010,599010,0,0,29349479,0,4913 93,3,2024-09-07 09:30:41:412,1,474,8,0,190,4195,474,0 94,0,2024-09-07 09:30:41:605,118732,0.3,119490,0.5,238758,0.3,316901,1.75 94,1,2024-09-07 09:30:40:570,832398,832398,0,0,391841218031,4088681761,826627,5400,371,381,391850,0 94,2,2024-09-07 09:30:40:767,599649,599621,28,0,25201600,0,6179 94,3,2024-09-07 09:30:41:695,1,474,1,0,576,6149,474,0 95,0,2024-09-07 09:30:41:352,118857,0.3,118551,0.5,237715,0.3,316739,1.75 95,1,2024-09-07 09:30:40:858,834121,834121,0,0,392019795438,4074860262,827403,6238,480,365,391786,0 95,2,2024-09-07 09:30:41:023,598394,598394,0,0,23877197,0,3308 95,3,2024-09-07 09:30:41:712,1,474,14,0,718,6862,474,0 96,0,2024-09-07 09:30:41:057,118467,0.4,118684,0.5,237117,0.3,314730,1.75 96,1,2024-09-07 09:30:41:636,832348,832348,0,0,390822527847,4076861611,826384,5057,907,384,391955,0 96,2,2024-09-07 09:30:41:274,602264,602264,0,0,24740255,0,4180 96,3,2024-09-07 09:30:41:140,1,474,37,0,411,5206,474,0 97,0,2024-09-07 09:30:41:402,117940,0.3,117414,0.5,235903,0.2,313475,1.50 97,1,2024-09-07 09:30:40:765,833759,833759,0,0,392477212855,4070110003,828557,4266,936,367,392140,0 97,2,2024-09-07 09:30:40:614,599907,599907,0,0,23859991,0,3679 97,3,2024-09-07 09:30:40:569,1,474,27,0,242,5740,474,0 98,0,2024-09-07 09:30:41:701,118040,0.3,118379,0.4,237328,0.2,315353,1.50 98,1,2024-09-07 09:30:40:572,833506,833506,0,0,391617527223,4079390377,828236,4476,794,381,391997,0 98,2,2024-09-07 09:30:40:769,598965,598965,0,0,23991905,0,4336 98,3,2024-09-07 09:30:40:697,1,474,1,0,840,7520,474,0 99,0,2024-09-07 09:30:41:454,119335,0.3,119989,0.5,238574,0.3,318543,1.75 99,1,2024-09-07 09:30:41:729,833300,833300,0,0,391223588809,4073792595,827538,4841,921,380,392069,0 99,2,2024-09-07 09:30:41:422,600299,600299,0,0,28675249,0,4276 99,3,2024-09-07 09:30:40:583,1,474,7,0,606,4939,474,0 100,0,2024-09-07 09:30:41:491,118008,0.8,118276,0.9,235874,0.9,315386,2.25 100,1,2024-09-07 09:30:40:560,828484,828484,0,0,389567300749,4120341883,815277,10227,2980,378,391989,0 100,2,2024-09-07 09:30:41:817,599659,599648,11,0,27784273,0,5417 100,3,2024-09-07 09:30:41:735,1,474,21,0,559,7456,474,0 101,0,2024-09-07 09:30:41:716,119902,1.0,116938,1.0,229054,0.8,313659,2.25 101,1,2024-09-07 09:30:40:550,829672,829672,0,0,389403682897,4093727716,817971,9334,2367,368,391847,0 101,2,2024-09-07 09:30:41:760,594675,594675,0,0,32452925,0,4871 101,3,2024-09-07 09:30:40:953,1,474,19,0,1250,7515,474,0 102,0,2024-09-07 09:30:40:961,116071,0.6,119655,0.7,242858,0.6,317420,2.00 102,1,2024-09-07 09:30:41:143,829460,829460,0,0,389930417319,4097412331,818015,9449,1996,369,391891,0 102,2,2024-09-07 09:30:41:746,598821,598767,54,0,26285892,0,6768 102,3,2024-09-07 09:30:41:618,1,474,10,0,466,5087,474,0 103,0,2024-09-07 09:30:41:599,123587,0.5,123647,0.7,232977,0.5,320205,2.00 103,1,2024-09-07 09:30:41:625,828990,828990,0,0,389097630915,4108783525,815546,10250,3194,381,391862,0 103,2,2024-09-07 09:30:40:587,598430,598430,0,0,29268472,0,3766 103,3,2024-09-07 09:30:40:756,1,474,8,0,916,5425,474,0 104,0,2024-09-07 09:30:41:070,118875,0.7,119203,0.9,237344,0.7,317473,2.25 104,1,2024-09-07 09:30:41:612,831005,831005,0,0,390345993039,4103110023,818352,10107,2546,365,392168,0 104,2,2024-09-07 09:30:41:706,598520,598520,0,0,28336223,0,3941 104,3,2024-09-07 09:30:41:423,1,474,5,0,1245,8871,474,0 105,0,2024-09-07 09:30:41:054,116169,0.8,113136,1.0,237031,0.9,311723,2.50 105,1,2024-09-07 09:30:40:556,832494,832494,0,0,390656120252,4094529439,821647,9194,1653,366,392009,0 105,2,2024-09-07 09:30:41:331,597122,597122,0,0,27866942,0,3509 105,3,2024-09-07 09:30:41:321,1,474,12,0,399,6981,474,0 106,0,2024-09-07 09:30:40:941,115542,0.8,118302,0.9,242486,0.8,316285,2.50 106,1,2024-09-07 09:30:41:755,831483,831483,0,0,390091402465,4094415315,819498,10342,1643,368,391914,0 106,2,2024-09-07 09:30:40:756,598116,598116,0,0,26915279,0,2920 106,3,2024-09-07 09:30:40:683,1,474,97,0,470,6186,474,0 107,0,2024-09-07 09:30:41:113,119391,0.8,119655,0.9,239047,0.9,318774,2.25 107,1,2024-09-07 09:30:40:585,829957,829957,0,0,389909430694,4109054820,818548,10308,1101,381,392234,0 107,2,2024-09-07 09:30:41:318,598118,598117,1,0,29291909,0,5024 107,3,2024-09-07 09:30:41:764,1,474,1,0,370,6797,474,0 108,0,2024-09-07 09:30:41:768,118623,0.4,119506,0.6,237694,0.4,317467,1.75 108,1,2024-09-07 09:30:41:300,832275,832275,0,0,390854920736,4077063903,825190,6250,835,367,391857,0 108,2,2024-09-07 09:30:41:765,598304,598304,0,0,26808022,0,4246 108,3,2024-09-07 09:30:41:330,1,474,1,0,749,9370,474,0 109,0,2024-09-07 09:30:41:855,118588,0.4,117641,0.6,235543,0.4,314992,1.75 109,1,2024-09-07 09:30:40:586,829806,829806,0,0,390667266282,4100184001,821810,6785,1211,382,392132,0 109,2,2024-09-07 09:30:40:921,598109,598109,0,0,26084201,0,3617 109,3,2024-09-07 09:30:41:143,1,474,7,0,630,6079,474,0 110,0,2024-09-07 09:30:41:765,118391,0.4,115168,0.6,241369,0.3,316708,1.75 110,1,2024-09-07 09:30:41:645,833871,833871,0,0,391852798307,4066513474,827969,4682,1220,369,392045,0 110,2,2024-09-07 09:30:41:368,599708,599708,0,0,25139734,0,4067 110,3,2024-09-07 09:30:40:691,1,474,1,0,722,6641,474,0 111,0,2024-09-07 09:30:41:418,119113,0.4,118431,0.5,237257,0.3,316783,1.75 111,1,2024-09-07 09:30:41:067,834938,834938,0,0,392963807752,4074848937,830644,3938,356,380,391690,0 111,2,2024-09-07 09:30:41:128,598414,598414,0,0,25231161,0,4823 111,3,2024-09-07 09:30:40:917,1,474,1,0,379,5593,474,0 112,0,2024-09-07 09:30:40:991,119898,0.3,119276,0.4,239092,0.2,317414,1.50 112,1,2024-09-07 09:30:40:832,833707,833707,0,0,391912262310,4067781269,828583,4468,656,380,391624,0 112,2,2024-09-07 09:30:41:140,598595,598594,1,0,23938606,0,5036 112,3,2024-09-07 09:30:40:592,1,474,3,0,282,4808,474,0 113,0,2024-09-07 09:30:40:867,118180,0.3,118234,0.5,236763,0.2,314922,1.75 113,1,2024-09-07 09:30:41:689,836194,836194,0,0,393216148900,4056376878,831915,3683,596,366,391661,0 113,2,2024-09-07 09:30:41:307,604434,604434,0,0,21989719,0,3813 113,3,2024-09-07 09:30:40:684,1,474,8,0,340,5129,474,0 114,0,2024-09-07 09:30:40:874,118360,0.3,119184,0.4,236944,0.2,316811,1.75 114,1,2024-09-07 09:30:40:716,834286,834286,0,0,391572025889,4067317426,827737,4933,1616,381,391565,0 114,2,2024-09-07 09:30:40:879,600480,600479,1,0,22937463,0,5069 114,3,2024-09-07 09:30:41:281,1,474,1,0,395,3892,474,0 115,0,2024-09-07 09:30:40:558,119138,0.3,119588,0.4,238613,0.2,316819,1.50 115,1,2024-09-07 09:30:40:572,834213,834213,0,0,391863993462,4072018628,827521,5424,1268,382,391757,0 115,2,2024-09-07 09:30:41:125,600181,600181,0,0,23148048,0,4382 115,3,2024-09-07 09:30:41:011,1,474,2,0,159,2628,474,0 116,0,2024-09-07 09:30:41:741,119033,0.7,118908,0.8,238503,0.6,318359,2.00 116,1,2024-09-07 09:30:40:803,830043,830043,0,0,390267782251,4111946113,820224,7417,2402,380,392089,0 116,2,2024-09-07 09:30:41:759,598121,598121,0,0,29347610,0,4128 116,3,2024-09-07 09:30:40:912,1,474,1,0,415,5698,474,0 117,0,2024-09-07 09:30:40:999,119120,0.7,118540,0.8,237589,0.8,317017,2.00 117,1,2024-09-07 09:30:41:593,831045,831045,0,0,390068352612,4081356185,822364,7685,996,369,392033,0 117,2,2024-09-07 09:30:41:119,603370,603370,0,0,25999992,0,4303 117,3,2024-09-07 09:30:41:072,1,474,11,0,490,6788,474,0 118,0,2024-09-07 09:30:41:782,113887,0.5,116938,0.7,238672,0.5,312417,2.00 118,1,2024-09-07 09:30:40:599,830297,830297,0,0,390083253354,4100158944,817887,9390,3020,366,392054,0 118,2,2024-09-07 09:30:41:592,597425,597425,0,0,27750819,0,2842 118,3,2024-09-07 09:30:41:769,1,474,1,0,248,5529,474,0 119,0,2024-09-07 09:30:41:416,119362,0.7,119641,0.8,239256,0.7,318343,2.00 119,1,2024-09-07 09:30:40:566,830874,830874,0,0,390534591442,4089976373,820473,9005,1396,367,391857,0 119,2,2024-09-07 09:30:41:285,599150,599150,0,0,26129618,0,4174 119,3,2024-09-07 09:30:41:331,1,474,1,0,1358,8914,474,0 120,0,2024-09-07 09:30:41:567,118968,0.6,118754,0.8,238423,0.7,317809,2.25 120,1,2024-09-07 09:30:40:858,831517,831517,0,0,389848440382,4088200048,822729,8014,774,368,392144,0 120,2,2024-09-07 09:30:40:770,599386,599385,1,0,30559080,0,5281 120,3,2024-09-07 09:30:41:295,1,474,212,0,279,6077,474,0 121,0,2024-09-07 09:30:41:739,119792,1.3,119312,1.1,239161,1.7,318929,2.25 121,1,2024-09-07 09:30:41:684,832000,832000,0,0,390736668624,4083374169,824373,7074,553,367,391840,0 121,2,2024-09-07 09:30:41:129,598650,598650,0,0,28631137,0,4157 121,3,2024-09-07 09:30:40:729,1,474,9,0,269,5518,474,0 122,0,2024-09-07 09:30:41:779,117351,0.8,114331,0.9,239736,0.9,315137,2.25 122,1,2024-09-07 09:30:40:858,830603,830603,0,0,390645610156,4097161270,819393,9505,1705,366,392130,0 122,2,2024-09-07 09:30:41:320,599601,599528,73,0,31627271,0,5989 122,3,2024-09-07 09:30:40:596,1,474,2,0,512,8326,474,0 123,0,2024-09-07 09:30:40:959,119140,0.9,116046,0.9,243151,1.0,318110,2.25 123,1,2024-09-07 09:30:40:574,830558,830558,0,0,390067409343,4104579635,816578,11534,2446,369,392039,0 123,2,2024-09-07 09:30:41:023,597338,597337,1,0,26927638,0,5215 123,3,2024-09-07 09:30:41:141,1,474,1,0,478,5538,474,0 124,0,2024-09-07 09:30:40:924,122577,0.3,122637,0.5,231082,0.3,317822,1.75 124,1,2024-09-07 09:30:41:024,833470,833470,0,0,390894363102,4065435592,827084,5271,1115,367,392178,0 124,2,2024-09-07 09:30:41:011,600519,600466,53,0,24829055,0,6487 124,3,2024-09-07 09:30:40:763,1,474,8,0,490,4789,474,0 125,0,2024-09-07 09:30:41:428,118684,0.4,118517,0.6,237870,0.4,316671,1.75 125,1,2024-09-07 09:30:40:857,832022,832022,0,0,391022248861,4083112794,825319,5729,974,382,391813,0 125,2,2024-09-07 09:30:41:118,600186,600186,0,0,25122238,0,4534 125,3,2024-09-07 09:30:41:127,1,474,12,0,709,5741,474,0 126,0,2024-09-07 09:30:41:443,118363,0.4,121874,0.6,233113,0.4,315760,1.75 126,1,2024-09-07 09:30:40:563,834645,834645,0,0,392754596899,4066408397,830256,4093,296,365,391987,0 126,2,2024-09-07 09:30:40:623,602563,602563,0,0,25591848,0,4539 126,3,2024-09-07 09:30:40:921,1,474,1,0,268,5469,474,0 127,0,2024-09-07 09:30:41:625,117913,0.3,118332,0.5,236017,0.3,313831,1.75 127,1,2024-09-07 09:30:40:571,833501,833501,0,0,391355503715,4073429536,824831,7209,1461,364,392187,0 127,2,2024-09-07 09:30:40:637,598007,598003,4,0,24294924,0,5305 127,3,2024-09-07 09:30:41:267,1,474,1,0,968,4944,474,0 128,0,2024-09-07 09:30:41:533,118930,0.3,118956,0.4,237678,0.2,316038,1.50 128,1,2024-09-07 09:30:41:608,832419,832419,0,0,392320659474,4074100961,826648,5044,727,367,392031,0 128,2,2024-09-07 09:30:41:397,600423,600423,0,0,22711821,0,3171 128,3,2024-09-07 09:30:40:769,1,474,33,0,1082,7267,474,0 129,0,2024-09-07 09:30:40:991,120329,0.3,119774,0.5,239947,0.3,318934,1.50 129,1,2024-09-07 09:30:40:566,830479,830479,0,0,389582187436,4082833180,822264,6532,1683,379,391962,0 129,2,2024-09-07 09:30:40:686,600152,600148,4,0,24875904,0,5335 129,3,2024-09-07 09:30:40:689,1,474,8,0,506,6928,474,0 130,0,2024-09-07 09:30:41:723,118966,0.5,118496,0.6,238217,0.5,316652,1.75 130,1,2024-09-07 09:30:40:600,833787,833787,0,0,391662797056,4071415711,829123,4278,386,381,391825,0 130,2,2024-09-07 09:30:41:136,603011,603011,0,0,24557459,0,4067 130,3,2024-09-07 09:30:41:294,1,474,1,0,960,7026,474,0 131,0,2024-09-07 09:30:41:930,117534,0.3,117870,0.5,236476,0.3,314250,1.75 131,1,2024-09-07 09:30:41:844,832881,832881,0,0,391381239768,4086246365,826165,5527,1189,381,391865,0 131,2,2024-09-07 09:30:40:574,600051,600051,0,0,22554181,0,3979 131,3,2024-09-07 09:30:41:688,1,474,49,0,392,5324,474,0 132,0,2024-09-07 09:30:41:421,119381,0.4,120513,0.6,239663,0.4,318496,1.75 132,1,2024-09-07 09:30:40:576,829454,829454,0,0,390370870837,4108436041,817445,9824,2185,381,392532,0 132,2,2024-09-07 09:30:40:698,598376,598359,17,0,29714447,0,6451 132,3,2024-09-07 09:30:41:694,1,474,1,0,804,7970,474,0 133,0,2024-09-07 09:30:41:553,116631,0.4,119411,0.6,244850,0.4,318457,1.75 133,1,2024-09-07 09:30:40:583,829296,829296,0,0,389747072027,4108070600,817605,10069,1622,383,391914,0 133,2,2024-09-07 09:30:41:095,599885,599835,50,0,30589272,0,6861 133,3,2024-09-07 09:30:41:325,1,474,15,0,479,4947,474,0 134,0,2024-09-07 09:30:40:945,120151,0.5,119914,0.7,240147,0.5,319724,2.00 134,1,2024-09-07 09:30:40:585,830740,830740,0,0,390043392680,4087106253,820546,8125,2069,366,391718,0 134,2,2024-09-07 09:30:41:764,599276,599252,24,0,28715659,0,6207 134,3,2024-09-07 09:30:40:756,1,474,2,0,739,5756,474,0 135,0,2024-09-07 09:30:41:100,113685,0.7,113684,0.8,241315,0.8,311135,2.25 135,1,2024-09-07 09:30:41:816,830760,830760,0,0,390891395627,4108648925,820361,9021,1378,380,391805,0 135,2,2024-09-07 09:30:40:717,598484,598484,0,0,27843133,0,3981 135,3,2024-09-07 09:30:41:014,1,474,3,0,299,4101,474,0 136,0,2024-09-07 09:30:41:631,120169,0.5,120435,0.7,239808,0.5,319288,2.00 136,1,2024-09-07 09:30:41:456,831950,831950,0,0,389744103227,4085060532,822614,8198,1138,381,391685,0 136,2,2024-09-07 09:30:41:143,600305,600305,0,0,26669103,0,3506 136,3,2024-09-07 09:30:41:106,1,474,4,0,637,5475,474,0 137,0,2024-09-07 09:30:40:926,123461,0.6,120220,0.7,235787,0.5,320002,2.00 137,1,2024-09-07 09:30:40:575,830140,830140,0,0,389548043210,4086155516,817221,10251,2668,366,391898,0 137,2,2024-09-07 09:30:41:717,598919,598919,0,0,29945531,0,3185 137,3,2024-09-07 09:30:40:768,1,474,12,0,484,6117,474,0 138,0,2024-09-07 09:30:41:773,118455,0.9,118511,0.9,237852,1.1,316020,2.25 138,1,2024-09-07 09:30:41:684,831429,831429,0,0,391194398457,4101818476,820089,9520,1820,368,391954,0 138,2,2024-09-07 09:30:40:703,598090,598090,0,0,27721775,0,4988 138,3,2024-09-07 09:30:40:615,1,474,127,0,1200,7634,474,0 139,0,2024-09-07 09:30:41:367,117047,1.4,117273,1.1,234720,2.0,313683,2.50 139,1,2024-09-07 09:30:40:594,827036,827036,0,0,387643133488,4116929340,811469,12119,3448,380,392058,0 139,2,2024-09-07 09:30:40:725,596285,596285,0,0,30724740,0,3097 139,3,2024-09-07 09:30:41:668,1,474,3,0,432,5580,474,0 140,0,2024-09-07 09:30:41:604,119173,0.3,118341,0.5,237504,0.2,316729,1.75 140,1,2024-09-07 09:30:41:541,835852,835852,0,0,393244182703,4054287469,831804,3587,461,365,391606,0 140,2,2024-09-07 09:30:40:686,600636,600635,1,0,23555616,0,5036 140,3,2024-09-07 09:30:40:767,1,474,289,0,297,4443,474,0 141,0,2024-09-07 09:30:41:714,119068,0.3,122114,0.5,233166,0.2,316446,1.50 141,1,2024-09-07 09:30:40:859,834410,834410,0,0,391823867904,4072757382,827553,5772,1085,379,391614,0 141,2,2024-09-07 09:30:41:689,599358,599347,11,0,24809722,0,5369 141,3,2024-09-07 09:30:41:050,1,474,8,0,391,5271,474,0 142,0,2024-09-07 09:30:41:317,119870,0.3,119165,0.5,238545,0.3,318010,1.75 142,1,2024-09-07 09:30:40:588,832654,832654,0,0,390971460425,4075903518,827239,4962,453,382,392102,0 142,2,2024-09-07 09:30:41:300,598125,598093,32,0,25649033,0,6028 142,3,2024-09-07 09:30:41:746,1,474,1,0,484,5185,474,0 143,0,2024-09-07 09:30:41:369,118107,0.4,118284,0.6,236942,0.4,314953,1.75 143,1,2024-09-07 09:30:40:557,834292,834292,0,0,392191330705,4070647026,828563,5178,551,367,391705,0 143,2,2024-09-07 09:30:40:771,603173,603173,0,0,24771029,0,3123 143,3,2024-09-07 09:30:41:141,1,474,2,0,462,6153,474,0 144,0,2024-09-07 09:30:41:497,114500,0.6,117651,0.8,239730,0.5,314314,2.00 144,1,2024-09-07 09:30:40:567,830342,830342,0,0,389615195141,4090311892,821557,6883,1902,381,391733,0 144,2,2024-09-07 09:30:41:765,599737,599737,0,0,23156094,0,3673 144,3,2024-09-07 09:30:41:742,1,474,4,0,249,4773,474,0 145,0,2024-09-07 09:30:41:362,114884,0.6,114954,0.8,243716,0.5,313741,2.25 145,1,2024-09-07 09:30:40:552,829718,829718,0,0,389758785717,4099182286,818956,8894,1868,382,391759,0 145,2,2024-09-07 09:30:41:439,596178,596097,81,0,28450266,0,7814 145,3,2024-09-07 09:30:40:895,1,474,12,0,622,6561,474,0 146,0,2024-09-07 09:30:41:643,119272,0.6,118838,0.7,238899,0.6,316770,2.25 146,1,2024-09-07 09:30:41:594,830978,830978,0,0,389923125916,4100024676,817659,9790,3529,367,391770,0 146,2,2024-09-07 09:30:41:703,597941,597941,0,0,27395584,0,3290 146,3,2024-09-07 09:30:41:274,1,474,2,0,1520,8259,474,0 147,0,2024-09-07 09:30:41:696,118980,0.6,118830,0.8,236919,0.6,316429,2.25 147,1,2024-09-07 09:30:41:372,834035,834035,0,0,391798655076,4073731952,827053,6133,849,368,391791,0 147,2,2024-09-07 09:30:41:024,601112,601112,0,0,24896542,0,2968 147,3,2024-09-07 09:30:40:934,1,474,17,0,1626,8083,474,0 0,0,2024-09-07 09:30:51:839,116341,0.6,116371,0.7,246837,0.6,318773,2.00 0,1,2024-09-07 09:30:50:801,832364,832364,0,0,391590409792,4103451359,824940,6589,835,369,391896,0 0,2,2024-09-07 09:30:51:077,602415,602415,0,0,24756406,0,4480 0,3,2024-09-07 09:30:50:985,1,475,19,0,431,6872,475,0 1,0,2024-09-07 09:30:51:786,119800,1.0,119299,1.0,239006,1.4,319655,2.00 1,1,2024-09-07 09:30:50:602,832596,832596,0,0,390246456762,4087142208,824974,6215,1407,370,391859,0 1,2,2024-09-07 09:30:50:682,601113,601113,0,0,24247433,0,3380 1,3,2024-09-07 09:30:51:312,1,475,83,0,269,6157,475,0 2,0,2024-09-07 09:30:51:573,118090,0.6,118084,0.7,235849,0.6,314635,2.00 2,1,2024-09-07 09:30:50:861,835165,835165,0,0,392707026848,4080049842,830861,3521,783,380,391745,0 2,2,2024-09-07 09:30:51:269,601366,601366,0,0,22681705,0,3594 2,3,2024-09-07 09:30:50:692,1,475,12,0,357,4351,475,0 3,0,2024-09-07 09:30:51:750,119726,0.4,119516,0.6,238936,0.4,318325,2.00 3,1,2024-09-07 09:30:51:618,833738,833738,0,0,392047622202,4083078548,826850,6193,695,379,391716,0 3,2,2024-09-07 09:30:51:149,601118,601095,23,0,23955904,0,5851 3,3,2024-09-07 09:30:51:757,1,475,1,0,207,3005,475,0 4,0,2024-09-07 09:30:52:038,115809,0.4,118987,0.5,242587,0.3,317431,1.75 4,1,2024-09-07 09:30:50:639,830309,830309,0,0,390514278338,4133154650,815861,11180,3268,370,391992,0 4,2,2024-09-07 09:30:51:020,598398,598398,0,0,28226533,0,4528 4,3,2024-09-07 09:30:51:029,1,475,9,0,448,6309,475,0 5,0,2024-09-07 09:30:51:431,118713,0.4,119349,0.6,238158,0.4,316637,1.75 5,1,2024-09-07 09:30:50:774,832266,832266,0,0,391588465699,4122122046,820469,9432,2365,367,392005,0 5,2,2024-09-07 09:30:51:839,597498,597498,0,0,28144910,0,3582 5,3,2024-09-07 09:30:51:760,1,475,19,0,457,6768,475,0 6,0,2024-09-07 09:30:50:936,118891,0.5,118415,0.7,236856,0.4,316098,2.00 6,1,2024-09-07 09:30:50:748,834276,834276,0,0,390809648248,4082959503,825508,7341,1427,379,391702,0 6,2,2024-09-07 09:30:51:116,601988,601970,18,0,26978561,0,5535 6,3,2024-09-07 09:30:51:280,1,475,1,0,710,5983,475,0 7,0,2024-09-07 09:30:51:531,117378,0.5,118283,0.6,235406,0.4,313307,2.00 7,1,2024-09-07 09:30:50:873,832038,832038,0,0,390556853690,4101920984,820267,9713,2058,382,391747,0 7,2,2024-09-07 09:30:50:779,600672,600672,0,0,26420085,0,4791 7,3,2024-09-07 09:30:50:852,1,475,0,0,552,5589,475,0 8,0,2024-09-07 09:30:51:342,118919,0.3,118585,0.5,237894,0.3,316949,1.75 8,1,2024-09-07 09:30:51:033,831663,831663,0,0,390328233394,4102794311,819050,9834,2779,366,392853,0 8,2,2024-09-07 09:30:50:808,595563,595563,0,0,31207594,0,3250 8,3,2024-09-07 09:30:50:611,1,475,11,0,538,7513,475,0 9,0,2024-09-07 09:30:51:166,119528,0.4,116290,0.5,243435,0.3,318940,1.75 9,1,2024-09-07 09:30:50:558,830687,830687,0,0,390402714121,4111919609,818351,9868,2468,369,392001,0 9,2,2024-09-07 09:30:51:097,598748,598748,0,0,28516699,0,4615 9,3,2024-09-07 09:30:51:761,1,475,13,0,496,6715,475,0 10,0,2024-09-07 09:30:51:601,118508,0.3,118071,0.5,236988,0.3,315756,1.75 10,1,2024-09-07 09:30:50:585,833404,833404,0,0,390724713720,4094926699,822000,9464,1940,381,391741,0 10,2,2024-09-07 09:30:50:770,602944,602944,0,0,31028036,0,4264 10,3,2024-09-07 09:30:50:875,1,475,0,0,649,5038,475,0 11,0,2024-09-07 09:30:51:014,117861,0.4,114322,0.6,239063,0.4,315467,1.75 11,1,2024-09-07 09:30:50:572,833463,833463,0,0,391601326240,4108724089,820712,9631,3120,383,391756,0 11,2,2024-09-07 09:30:51:123,599051,599051,0,0,27068267,0,4130 11,3,2024-09-07 09:30:51:298,1,475,1,0,843,6582,475,0 12,0,2024-09-07 09:30:51:008,120252,0.4,120261,0.5,240421,0.3,319065,1.75 12,1,2024-09-07 09:30:50:935,833326,833326,0,0,391171949638,4081607365,826537,6132,657,370,391960,0 12,2,2024-09-07 09:30:51:579,599209,599209,0,0,27001257,0,4390 12,3,2024-09-07 09:30:51:071,1,475,4,0,386,6686,475,0 13,0,2024-09-07 09:30:51:361,120812,0.4,120324,0.6,240173,0.4,320051,1.75 13,1,2024-09-07 09:30:51:524,831619,831619,0,0,391212605842,4114657553,822562,6906,2151,382,391740,0 13,2,2024-09-07 09:30:50:598,602479,602479,0,0,24610180,0,3287 13,3,2024-09-07 09:30:51:766,1,475,15,0,522,6766,475,0 14,0,2024-09-07 09:30:50:576,119774,0.4,120742,0.6,239166,0.4,318458,1.75 14,1,2024-09-07 09:30:51:565,837490,837490,0,0,393539709048,4074631062,830958,5818,714,364,391673,0 14,2,2024-09-07 09:30:50:764,602136,602106,30,0,26017889,0,6104 14,3,2024-09-07 09:30:51:119,1,475,141,0,1168,5130,475,0 15,0,2024-09-07 09:30:51:557,117416,0.4,117179,0.6,235068,0.4,313011,2.00 15,1,2024-09-07 09:30:51:614,834306,834306,0,0,392080133649,4084439552,827292,5559,1455,381,391619,0 15,2,2024-09-07 09:30:51:004,601556,601556,0,0,21652028,0,3622 15,3,2024-09-07 09:30:51:404,1,475,0,0,1126,7213,475,0 16,0,2024-09-07 09:30:50:997,119716,0.5,120481,0.7,239899,0.5,318935,2.00 16,1,2024-09-07 09:30:50:597,833665,833665,0,0,391497640181,4093911625,826084,6345,1236,370,391917,0 16,2,2024-09-07 09:30:51:445,599851,599851,0,0,25805986,0,4719 16,3,2024-09-07 09:30:51:185,1,475,5,0,358,6128,475,0 17,0,2024-09-07 09:30:51:794,123480,0.6,120497,0.7,235871,0.6,320186,2.00 17,1,2024-09-07 09:30:50:590,832383,832383,0,0,390549979501,4099698792,823781,6885,1717,368,392075,0 17,2,2024-09-07 09:30:51:685,603770,603769,1,0,26658505,0,5050 17,3,2024-09-07 09:30:50:604,1,475,10,0,518,7395,475,0 18,0,2024-09-07 09:30:50:942,118149,0.7,118645,0.8,236756,0.7,316322,2.25 18,1,2024-09-07 09:30:51:638,836368,836368,0,0,393174207017,4075625740,831492,4393,483,367,391725,0 18,2,2024-09-07 09:30:51:984,603034,603034,0,0,23339911,0,3541 18,3,2024-09-07 09:30:50:902,1,475,226,0,1059,4727,475,0 19,0,2024-09-07 09:30:51:545,117934,0.6,118169,0.8,235010,0.6,312891,2.25 19,1,2024-09-07 09:30:50:582,836285,836285,0,0,393046229506,4077273493,829583,5620,1082,367,391777,0 19,2,2024-09-07 09:30:51:982,603462,603462,0,0,21674856,0,3988 19,3,2024-09-07 09:30:51:131,1,475,0,0,524,3995,475,0 20,0,2024-09-07 09:30:51:460,118941,0.5,119052,0.7,237577,0.5,317389,2.00 20,1,2024-09-07 09:30:50:595,833340,833340,0,0,392035631125,4104415557,825195,6847,1298,369,391922,0 20,2,2024-09-07 09:30:50:935,600107,600107,0,0,26044412,0,3721 20,3,2024-09-07 09:30:50:617,1,475,17,0,468,7796,475,0 21,0,2024-09-07 09:30:51:171,118970,0.4,119077,0.6,238041,0.4,316140,1.75 21,1,2024-09-07 09:30:51:558,830494,830494,0,0,390332370971,4119174001,817521,9997,2976,368,392016,0 21,2,2024-09-07 09:30:51:077,597490,597470,20,0,31314708,0,5617 21,3,2024-09-07 09:30:51:411,1,475,10,0,713,6265,475,0 22,0,2024-09-07 09:30:51:725,119164,0.5,119620,0.7,238870,0.4,316492,2.00 22,1,2024-09-07 09:30:51:037,832312,832312,0,0,390557427974,4109939299,818725,10626,2961,382,391675,0 22,2,2024-09-07 09:30:50:785,598691,598665,26,0,25898655,0,6328 22,3,2024-09-07 09:30:51:066,1,475,66,0,228,3943,475,0 23,0,2024-09-07 09:30:51:370,118034,0.5,117471,0.7,235216,0.5,313652,2.25 23,1,2024-09-07 09:30:51:017,833730,833730,0,0,392009435410,4113584859,819508,10009,4213,365,391690,0 23,2,2024-09-07 09:30:51:099,604766,604766,0,0,24419407,0,3773 23,3,2024-09-07 09:30:51:754,1,475,0,0,720,5935,475,0 24,0,2024-09-07 09:30:50:880,119280,0.4,118490,0.5,238187,0.4,316499,1.75 24,1,2024-09-07 09:30:50:591,832412,832412,0,0,390479816644,4090392234,823899,6851,1662,367,392269,0 24,2,2024-09-07 09:30:51:085,600028,600028,0,0,29106030,0,3607 24,3,2024-09-07 09:30:51:696,1,475,11,0,468,6337,475,0 25,0,2024-09-07 09:30:51:397,122150,0.4,119022,0.6,233582,0.4,317833,1.75 25,1,2024-09-07 09:30:50:589,831491,831491,0,0,390750410999,4120641447,817807,10977,2707,371,391928,0 25,2,2024-09-07 09:30:51:632,597862,597862,0,0,30550509,0,3978 25,3,2024-09-07 09:30:51:040,1,475,17,0,532,5317,475,0 26,0,2024-09-07 09:30:51:743,119146,0.4,116574,0.6,244414,0.4,317802,1.75 26,1,2024-09-07 09:30:51:541,834246,834246,0,0,390602507681,4093728395,821929,9904,2413,380,391748,0 26,2,2024-09-07 09:30:50:862,600283,600283,0,0,31922675,0,4689 26,3,2024-09-07 09:30:51:717,1,475,2,0,796,5517,475,0 27,0,2024-09-07 09:30:51:773,119131,0.4,119373,0.6,237384,0.4,316578,2.25 27,1,2024-09-07 09:30:51:678,835375,835375,0,0,392772342426,4092173537,827128,6985,1262,381,391626,0 27,2,2024-09-07 09:30:50:883,600492,600427,65,0,27804709,0,5699 27,3,2024-09-07 09:30:51:035,1,475,1,0,564,4274,475,0 28,0,2024-09-07 09:30:51:394,118245,0.4,117610,0.6,236270,0.3,315146,2.00 28,1,2024-09-07 09:30:50:798,834849,834849,0,0,392629334371,4096254372,827362,5787,1700,382,391698,0 28,2,2024-09-07 09:30:51:771,599938,599938,0,0,24579620,0,2915 28,3,2024-09-07 09:30:51:781,1,475,5,0,502,4879,475,0 29,0,2024-09-07 09:30:51:377,123037,0.4,119941,0.6,235092,0.3,320433,1.75 29,1,2024-09-07 09:30:51:576,837484,837484,0,0,393672585473,4073578169,832198,4527,759,368,391809,0 29,2,2024-09-07 09:30:50:863,599526,599526,0,0,23956177,0,4986 29,3,2024-09-07 09:30:50:966,1,475,11,0,459,5437,475,0 30,0,2024-09-07 09:30:51:470,119505,0.5,116095,0.7,242866,0.5,317589,2.00 30,1,2024-09-07 09:30:50:585,836228,836228,0,0,393248478650,4083387140,829619,5760,849,380,391672,0 30,2,2024-09-07 09:30:51:275,601937,601937,0,0,23480911,0,4192 30,3,2024-09-07 09:30:50:582,1,475,73,0,519,4868,475,0 31,0,2024-09-07 09:30:51:769,119549,0.5,119959,0.7,239585,0.4,319739,2.00 31,1,2024-09-07 09:30:50:591,839199,839199,0,0,394194035841,4044717515,834868,3530,801,356,391712,0 31,2,2024-09-07 09:30:51:280,600049,600049,0,0,25881039,0,4470 31,3,2024-09-07 09:30:51:729,1,475,0,0,220,4083,475,0 32,0,2024-09-07 09:30:51:423,118394,0.3,119046,0.5,237107,0.3,316413,1.75 32,1,2024-09-07 09:30:50:804,835287,835287,0,0,392677809373,4083329037,830031,4574,682,381,391646,0 32,2,2024-09-07 09:30:50:947,601554,601554,0,0,22386854,0,3922 32,3,2024-09-07 09:30:51:038,1,475,13,0,304,3745,475,0 33,0,2024-09-07 09:30:51:499,120311,0.3,119912,0.4,239936,0.2,319456,1.50 33,1,2024-09-07 09:30:50:596,836325,836325,0,0,393538972419,4079024683,829397,5770,1158,368,391730,0 33,2,2024-09-07 09:30:50:780,601269,601234,35,0,25031061,0,7012 33,3,2024-09-07 09:30:50:912,1,475,8,0,329,4573,475,0 34,0,2024-09-07 09:30:50:933,119654,0.3,122927,0.4,235113,0.2,316726,1.75 34,1,2024-09-07 09:30:51:046,838122,838122,0,0,394298473661,4054487823,835900,2200,22,367,391562,0 34,2,2024-09-07 09:30:50:768,602680,602680,0,0,23398240,0,4562 34,3,2024-09-07 09:30:51:690,1,475,6,0,320,3838,475,0 35,0,2024-09-07 09:30:50:863,118149,0.3,118780,0.5,238658,0.3,317495,1.75 35,1,2024-09-07 09:30:51:071,835430,835430,0,0,392901508706,4074888157,829881,4493,1056,382,391769,0 35,2,2024-09-07 09:30:51:583,600048,600048,0,0,25519876,0,4055 35,3,2024-09-07 09:30:50:917,1,475,1,0,418,4670,475,0 36,0,2024-09-07 09:30:51:523,119053,0.5,118965,0.6,237825,0.4,316982,2.00 36,1,2024-09-07 09:30:50:582,834481,834481,0,0,391397922131,4086029843,824093,8367,2021,366,391759,0 36,2,2024-09-07 09:30:51:758,602677,602677,0,0,26194494,0,3875 36,3,2024-09-07 09:30:50:877,1,475,74,0,416,6833,475,0 37,0,2024-09-07 09:30:51:379,117658,0.5,117697,0.6,235467,0.4,313749,2.00 37,1,2024-09-07 09:30:50:576,833774,833767,0,7,391784042454,4091960596,823512,7700,2555,365,391770,0 37,2,2024-09-07 09:30:51:178,598799,598784,15,0,26562558,0,5815 37,3,2024-09-07 09:30:51:774,1,475,33,0,888,6937,475,0 38,0,2024-09-07 09:30:51:439,118046,0.4,114594,0.6,239696,0.4,314169,2.00 38,1,2024-09-07 09:30:51:605,834492,834492,0,0,393106343471,4111673104,821765,10216,2511,368,391821,0 38,2,2024-09-07 09:30:50:768,599279,599232,47,0,26444997,0,6710 38,3,2024-09-07 09:30:51:026,1,475,1,0,689,5922,475,0 39,0,2024-09-07 09:30:51:765,122404,0.6,119687,0.7,233326,0.5,318173,2.00 39,1,2024-09-07 09:30:50:715,833495,833495,0,0,390973230247,4104020406,818717,11406,3372,365,391658,0 39,2,2024-09-07 09:30:51:418,600463,600463,0,0,25031758,0,3391 39,3,2024-09-07 09:30:50:716,1,475,16,0,324,5134,475,0 40,0,2024-09-07 09:30:51:519,117403,0.8,118018,0.9,235401,0.8,314141,2.75 40,1,2024-09-07 09:30:50:596,834604,834604,0,0,390879720933,4091659840,822928,9507,2169,368,391668,0 40,2,2024-09-07 09:30:51:305,602111,602110,1,0,29621306,0,5137 40,3,2024-09-07 09:30:51:148,1,475,1,0,1028,6251,475,0 41,0,2024-09-07 09:30:51:044,117416,1.2,120118,1.1,228985,1.7,312096,3.00 41,1,2024-09-07 09:30:50:773,833050,833050,0,0,391605353837,4099327507,822219,9123,1708,369,391742,0 41,2,2024-09-07 09:30:50:765,597773,597773,0,0,28085739,0,4277 41,3,2024-09-07 09:30:51:680,1,475,21,0,366,4947,475,0 42,0,2024-09-07 09:30:51:475,118691,0.8,118429,1.0,237247,0.9,313905,2.75 42,1,2024-09-07 09:30:51:438,830903,830903,0,0,390358264515,4107331080,816693,11365,2845,380,391675,0 42,2,2024-09-07 09:30:51:137,598732,598732,0,0,27982076,0,3790 42,3,2024-09-07 09:30:51:035,1,475,10,0,892,4476,475,0 43,0,2024-09-07 09:30:50:927,118713,0.7,115684,0.9,241958,0.7,317143,2.25 43,1,2024-09-07 09:30:50:605,834282,834282,0,0,391822294105,4095570068,822348,9966,1968,366,391696,0 43,2,2024-09-07 09:30:51:738,601323,601323,0,0,27610613,0,3812 43,3,2024-09-07 09:30:51:984,1,475,10,0,571,6797,475,0 44,0,2024-09-07 09:30:50:863,119958,0.4,119979,0.6,240472,0.4,319025,2.00 44,1,2024-09-07 09:30:50:564,836060,836060,0,0,393260079483,4066118280,828579,5932,1549,356,391809,0 44,2,2024-09-07 09:30:51:269,600276,600276,0,0,23360798,0,4344 44,3,2024-09-07 09:30:51:099,1,475,40,0,817,5408,475,0 45,0,2024-09-07 09:30:51:993,116271,0.5,113515,0.7,238170,0.4,313024,2.00 45,1,2024-09-07 09:30:51:032,835234,835234,0,0,393277339627,4089858151,828065,6303,866,382,391917,0 45,2,2024-09-07 09:30:51:270,601099,601099,0,0,23198074,0,3596 45,3,2024-09-07 09:30:50:934,1,475,8,0,271,4246,475,0 46,0,2024-09-07 09:30:50:961,119338,0.5,118773,0.7,238404,0.5,316201,2.00 46,1,2024-09-07 09:30:50:605,837425,837425,0,0,393102250177,4062117605,831838,4857,730,366,391709,0 46,2,2024-09-07 09:30:50:596,600607,600607,0,0,23585281,0,4443 46,3,2024-09-07 09:30:51:132,1,475,4,0,908,5718,475,0 47,0,2024-09-07 09:30:51:107,120005,0.4,120332,0.6,240980,0.4,319195,2.00 47,1,2024-09-07 09:30:50:567,837553,837553,0,0,392560194575,4057215440,832455,4259,839,366,391641,0 47,2,2024-09-07 09:30:50:932,604560,604560,0,0,23773186,0,4477 47,3,2024-09-07 09:30:51:119,1,475,98,0,600,5623,475,0 48,0,2024-09-07 09:30:51:493,119870,0.3,119860,0.4,238549,0.2,318331,1.50 48,1,2024-09-07 09:30:51:027,835585,835585,0,0,392781190988,4078758206,830783,4345,457,384,391710,0 48,2,2024-09-07 09:30:50:707,600980,600980,0,0,22089535,0,3411 48,3,2024-09-07 09:30:50:766,1,475,2,0,339,3766,475,0 49,0,2024-09-07 09:30:51:774,121264,0.4,119167,0.5,231530,0.3,316647,1.75 49,1,2024-09-07 09:30:51:036,834995,834995,0,0,392464535611,4081697672,829672,4116,1207,382,391809,0 49,2,2024-09-07 09:30:51:811,601908,601908,0,0,23745776,0,4426 49,3,2024-09-07 09:30:51:422,1,475,8,0,992,5523,475,0 50,0,2024-09-07 09:30:51:510,119716,0.3,118101,0.5,237843,0.2,317234,1.75 50,1,2024-09-07 09:30:51:036,837754,837754,0,0,393744420405,4071198903,832372,4796,586,368,391565,0 50,2,2024-09-07 09:30:51:067,600906,600906,0,0,22025950,0,4490 50,3,2024-09-07 09:30:51:291,1,475,14,0,617,5231,475,0 51,0,2024-09-07 09:30:51:688,121979,0.3,119516,0.5,232852,0.2,317772,1.75 51,1,2024-09-07 09:30:51:717,838606,838606,0,0,394465056068,4069013929,834088,3466,1052,365,391706,0 51,2,2024-09-07 09:30:51:315,601209,601209,0,0,21013075,0,3337 51,3,2024-09-07 09:30:51:037,1,475,2,0,678,3699,475,0 52,0,2024-09-07 09:30:51:447,119629,0.5,119419,0.7,239133,0.4,317450,2.00 52,1,2024-09-07 09:30:50:616,833642,833642,0,0,391586493712,4096276048,822322,9876,1444,368,391722,0 52,2,2024-09-07 09:30:51:758,596839,596801,38,0,28006419,0,6742 52,3,2024-09-07 09:30:50:690,1,475,77,0,1782,6152,475,0 53,0,2024-09-07 09:30:51:742,117595,0.6,114152,0.8,238825,0.6,313520,2.25 53,1,2024-09-07 09:30:50:773,832274,832274,0,0,391869935887,4112612337,818392,10290,3592,367,391968,0 53,2,2024-09-07 09:30:51:298,603504,603503,1,0,25183627,0,5455 53,3,2024-09-07 09:30:50:700,1,475,18,0,308,4716,475,0 54,0,2024-09-07 09:30:51:624,116985,0.5,117260,0.7,233603,0.4,312569,2.25 54,1,2024-09-07 09:30:50:582,834222,834222,0,0,393078490167,4086084191,825600,7226,1396,366,391659,0 54,2,2024-09-07 09:30:50:872,600736,600704,32,0,28458300,0,6397 54,3,2024-09-07 09:30:50:769,1,475,1,0,676,6613,475,0 55,0,2024-09-07 09:30:51:763,114889,0.6,118443,0.7,240070,0.5,312482,2.25 55,1,2024-09-07 09:30:50:785,835517,835517,0,0,392327936905,4078334754,826799,7500,1218,365,391731,0 55,2,2024-09-07 09:30:50:731,599047,598991,56,0,27495651,0,7239 55,3,2024-09-07 09:30:50:689,1,475,1,0,304,4499,475,0 56,0,2024-09-07 09:30:51:554,122038,1.2,115054,1.1,237112,1.6,317084,2.75 56,1,2024-09-07 09:30:50:583,831133,831133,0,0,390951904549,4125473619,818884,9697,2552,381,391867,0 56,2,2024-09-07 09:30:51:302,599975,599853,122,0,28826784,0,7432 56,3,2024-09-07 09:30:51:100,1,475,1,0,705,5702,475,0 57,0,2024-09-07 09:30:50:943,117217,1.5,117095,1.3,234745,2.1,313674,3.00 57,1,2024-09-07 09:30:51:007,832732,832732,0,0,391329875153,4104737365,821946,8721,2065,366,392032,0 57,2,2024-09-07 09:30:51:316,602630,602630,0,0,29416515,0,4317 57,3,2024-09-07 09:30:51:756,1,475,14,0,455,5447,475,0 58,0,2024-09-07 09:30:50:575,116192,0.9,112849,1.0,236055,1.0,310077,2.50 58,1,2024-09-07 09:30:50:577,833636,833633,0,3,392024564544,4106517749,821341,9524,2768,367,391603,3 58,2,2024-09-07 09:30:51:101,599220,599220,0,0,27324492,0,3483 58,3,2024-09-07 09:30:51:069,1,475,17,0,1043,5039,475,0 59,0,2024-09-07 09:30:51:756,119277,0.9,118865,1.0,237309,1.0,314690,2.75 59,1,2024-09-07 09:30:50:804,833364,833364,0,0,391944837570,4109723274,821063,10064,2237,369,391653,0 59,2,2024-09-07 09:30:50:585,599345,599345,0,0,26941023,0,3727 59,3,2024-09-07 09:30:51:737,1,475,8,0,1015,6298,475,0 60,0,2024-09-07 09:30:51:755,119667,0.5,119804,0.7,239927,0.5,318532,1.75 60,1,2024-09-07 09:30:50:785,836302,836302,0,0,393199182671,4082203760,830385,4988,929,370,392031,0 60,2,2024-09-07 09:30:51:149,601714,601714,0,0,25689251,0,3811 60,3,2024-09-07 09:30:51:269,1,475,1,0,409,5945,475,0 61,0,2024-09-07 09:30:51:505,119673,0.7,120296,0.8,239538,0.7,319445,2.00 61,1,2024-09-07 09:30:50:774,833744,833744,0,0,391637965841,4104675847,824061,8106,1577,382,392127,0 61,2,2024-09-07 09:30:51:115,601218,601151,67,0,26289852,0,6411 61,3,2024-09-07 09:30:51:688,1,475,127,0,479,6483,475,0 62,0,2024-09-07 09:30:51:709,118815,0.6,121767,0.7,232516,0.6,316281,2.00 62,1,2024-09-07 09:30:51:114,838694,838688,0,6,393754669878,4059097856,834761,3664,263,365,391975,6 62,2,2024-09-07 09:30:51:661,598641,598640,1,0,25464740,0,5555 62,3,2024-09-07 09:30:51:148,1,475,1,0,482,4020,475,0 63,0,2024-09-07 09:30:51:450,120304,0.4,120016,0.6,240783,0.4,319849,1.75 63,1,2024-09-07 09:30:50:804,836045,836039,0,6,392891824069,4078901747,830690,4615,734,381,391800,6 63,2,2024-09-07 09:30:50:779,600467,600467,0,0,24347242,0,4369 63,3,2024-09-07 09:30:51:736,1,475,11,0,667,5100,475,0 64,0,2024-09-07 09:30:51:516,118943,0.5,119012,0.6,237515,0.4,315983,2.00 64,1,2024-09-07 09:30:50:763,835535,835535,0,0,392363066597,4086974213,828276,5546,1713,370,391783,0 64,2,2024-09-07 09:30:51:146,605183,605164,19,0,23589229,0,6121 64,3,2024-09-07 09:30:51:161,1,475,91,0,265,4459,475,0 65,0,2024-09-07 09:30:51:678,117963,0.7,118281,0.8,236175,0.7,314715,2.00 65,1,2024-09-07 09:30:50:870,833527,833527,0,0,391425734127,4090514039,827804,5077,646,381,391901,0 65,2,2024-09-07 09:30:51:693,600295,600295,0,0,27797062,0,3367 65,3,2024-09-07 09:30:51:690,1,475,11,0,782,5813,475,0 66,0,2024-09-07 09:30:51:770,118313,0.5,118129,0.8,235935,0.5,314279,2.25 66,1,2024-09-07 09:30:51:294,835318,835318,0,0,392125704110,4083752099,829328,5272,718,380,391743,0 66,2,2024-09-07 09:30:51:133,603834,603831,3,0,25359939,0,5455 66,3,2024-09-07 09:30:51:083,1,475,0,0,291,4245,475,0 67,0,2024-09-07 09:30:51:416,118156,0.5,117840,0.7,236619,0.4,314407,2.00 67,1,2024-09-07 09:30:50:766,835170,835169,0,1,391963348815,4082109806,829227,5134,808,380,391787,1 67,2,2024-09-07 09:30:50:584,602462,602447,15,0,24194901,0,6205 67,3,2024-09-07 09:30:51:758,1,475,3,0,392,4647,475,0 68,0,2024-09-07 09:30:50:588,118917,0.5,118797,0.7,236665,0.5,316493,2.00 68,1,2024-09-07 09:30:50:585,831836,831836,0,0,390068384521,4102708158,820483,8017,3336,381,391953,0 68,2,2024-09-07 09:30:51:045,596901,596801,100,0,31199842,0,8578 68,3,2024-09-07 09:30:50:752,1,475,24,0,417,5666,475,0 69,0,2024-09-07 09:30:51:745,118897,0.7,119642,0.8,238494,0.7,316468,2.25 69,1,2024-09-07 09:30:51:019,831330,831330,0,0,391003082824,4118483937,820661,8392,2277,384,391994,0 69,2,2024-09-07 09:30:51:768,598848,598819,29,0,32547452,0,6912 69,3,2024-09-07 09:30:50:769,1,475,8,0,698,7205,475,0 70,0,2024-09-07 09:30:51:551,117280,0.9,117637,1.0,236675,0.8,313473,2.50 70,1,2024-09-07 09:30:50:801,836002,836002,0,0,393388159874,4081492072,829454,5847,701,366,391725,0 70,2,2024-09-07 09:30:51:326,603055,603055,0,0,27579649,0,4323 70,3,2024-09-07 09:30:50:752,1,475,10,0,854,5402,475,0 71,0,2024-09-07 09:30:51:371,116801,0.9,116679,1.0,234330,1.1,313086,2.50 71,1,2024-09-07 09:30:51:595,833783,833783,0,0,392599366354,4097672306,823481,9169,1133,368,391738,0 71,2,2024-09-07 09:30:51:079,598925,598925,0,0,27464141,0,4352 71,3,2024-09-07 09:30:51:759,1,475,1,0,644,5845,475,0 72,0,2024-09-07 09:30:51:047,123293,0.6,120593,0.7,235105,0.5,319282,2.00 72,1,2024-09-07 09:30:51:032,832873,832873,0,0,391506762061,4107289439,820854,9933,2086,369,391819,0 72,2,2024-09-07 09:30:51:768,598445,598445,0,0,29394377,0,3983 72,3,2024-09-07 09:30:51:769,1,475,11,0,564,6827,475,0 73,0,2024-09-07 09:30:51:126,116776,0.4,119858,0.6,244760,0.4,318069,2.00 73,1,2024-09-07 09:30:50:784,834902,834902,0,0,392118877320,4072585518,828968,5512,422,367,391858,0 73,2,2024-09-07 09:30:51:759,600626,600626,0,0,29457769,0,4291 73,3,2024-09-07 09:30:50:970,1,475,17,0,486,6082,475,0 74,0,2024-09-07 09:30:51:323,120725,0.5,123509,0.7,235452,0.5,319359,2.25 74,1,2024-09-07 09:30:50:651,834186,834186,0,0,391262589493,4084450527,825103,7317,1766,381,391762,0 74,2,2024-09-07 09:30:51:004,601307,601307,0,0,26166603,0,4253 74,3,2024-09-07 09:30:51:441,1,475,0,0,522,5830,475,0 75,0,2024-09-07 09:30:51:785,118146,0.5,117361,0.7,235458,0.5,314651,2.25 75,1,2024-09-07 09:30:51:589,834786,834786,0,0,391717575305,4085635416,827278,6666,842,380,391739,0 75,2,2024-09-07 09:30:51:366,599001,599001,0,0,29860896,0,4766 75,3,2024-09-07 09:30:51:070,1,475,1,0,702,5999,475,0 76,0,2024-09-07 09:30:50:593,119148,0.6,118477,0.7,237761,0.5,317626,2.25 76,1,2024-09-07 09:30:50:806,833957,833957,0,0,391684259481,4085891478,828171,4957,829,382,391692,0 76,2,2024-09-07 09:30:51:066,602240,602237,3,0,26430497,0,5265 76,3,2024-09-07 09:30:51:153,1,475,7,0,227,4579,475,0 77,0,2024-09-07 09:30:51:735,119418,0.5,119777,0.7,239987,0.5,318168,2.00 77,1,2024-09-07 09:30:50:826,834858,834858,0,0,391973291549,4090682017,828358,5940,560,381,391869,0 77,2,2024-09-07 09:30:51:286,601415,601415,0,0,25717730,0,3890 77,3,2024-09-07 09:30:51:099,1,475,9,0,401,5155,475,0 78,0,2024-09-07 09:30:51:746,119597,0.4,118977,0.6,238858,0.4,317060,2.00 78,1,2024-09-07 09:30:50:621,835033,835033,0,0,391213175920,4079990346,825486,7318,2229,367,391670,0 78,2,2024-09-07 09:30:51:414,601680,601667,13,0,23871805,0,8313 78,3,2024-09-07 09:30:51:143,1,475,2,0,181,4020,475,0 79,0,2024-09-07 09:30:51:351,114131,0.4,117000,0.6,239315,0.4,311511,2.25 79,1,2024-09-07 09:30:50:583,836859,836859,0,0,392808513434,4072447176,829566,5943,1350,367,391682,0 79,2,2024-09-07 09:30:51:071,601988,601988,0,0,23078872,0,4195 79,3,2024-09-07 09:30:50:748,1,475,71,0,418,6017,475,0 80,0,2024-09-07 09:30:51:112,118852,0.5,122286,0.7,233736,0.5,316540,2.00 80,1,2024-09-07 09:30:51:619,834278,834278,0,0,392140302974,4084512060,828494,5361,423,368,392269,0 80,2,2024-09-07 09:30:51:107,602427,602427,0,0,23717806,0,4433 80,3,2024-09-07 09:30:50:595,1,475,9,0,190,5457,475,0 81,0,2024-09-07 09:30:51:555,119017,0.5,121941,0.7,232679,0.5,316386,2.00 81,1,2024-09-07 09:30:51:661,833546,833546,0,0,391515169152,4092783922,826802,6184,560,382,391879,0 81,2,2024-09-07 09:30:51:139,599478,599415,63,0,26603112,0,5932 81,3,2024-09-07 09:30:51:121,1,475,8,0,719,5556,475,0 82,0,2024-09-07 09:30:51:531,119039,0.5,119256,0.7,238734,0.5,316744,2.00 82,1,2024-09-07 09:30:50:591,835663,835659,0,4,392093261638,4078891726,830617,4223,819,381,391768,4 82,2,2024-09-07 09:30:51:691,601221,601221,0,0,22129755,0,4484 82,3,2024-09-07 09:30:51:758,1,475,14,0,363,4803,475,0 83,0,2024-09-07 09:30:51:551,118368,0.6,118256,0.7,235919,0.6,313573,2.25 83,1,2024-09-07 09:30:50:559,833970,833970,0,0,391988503744,4086789794,828047,5472,451,382,391709,0 83,2,2024-09-07 09:30:50:770,602999,602974,25,0,23963847,0,5612 83,3,2024-09-07 09:30:50:749,1,475,3,0,1260,5907,475,0 84,0,2024-09-07 09:30:51:837,117674,0.7,117670,0.8,235064,0.6,314420,2.25 84,1,2024-09-07 09:30:51:039,832891,832891,0,0,391283183244,4087942812,824185,7568,1138,367,391967,0 84,2,2024-09-07 09:30:50:585,598672,598642,30,0,30664926,0,5971 84,3,2024-09-07 09:30:51:148,1,475,0,0,908,6924,475,0 85,0,2024-09-07 09:30:51:009,114459,0.6,114345,0.8,242715,0.6,314060,2.25 85,1,2024-09-07 09:30:50:563,830935,830935,0,0,390658138801,4121422213,818742,10045,2148,381,392006,0 85,2,2024-09-07 09:30:50:871,598418,598418,0,0,29412201,0,4255 85,3,2024-09-07 09:30:50:693,1,475,7,0,789,5539,475,0 86,0,2024-09-07 09:30:51:082,119292,0.7,122738,0.8,234808,0.7,317365,2.25 86,1,2024-09-07 09:30:50:886,833678,833678,0,0,392203766135,4107437661,823603,8355,1720,366,391961,0 86,2,2024-09-07 09:30:50:854,598864,598863,1,0,31486629,0,5004 86,3,2024-09-07 09:30:50:595,1,475,200,0,308,7076,475,0 87,0,2024-09-07 09:30:51:316,118975,0.9,118659,0.9,237288,1.2,316898,2.25 87,1,2024-09-07 09:30:50:570,832141,832141,0,0,390426333846,4091751295,820948,9535,1658,366,392076,0 87,2,2024-09-07 09:30:51:100,602025,602019,6,0,27687581,0,6323 87,3,2024-09-07 09:30:51:795,1,475,1,0,473,7266,475,0 88,0,2024-09-07 09:30:51:459,117660,0.4,118295,0.6,236289,0.4,314882,1.75 88,1,2024-09-07 09:30:50:585,831838,831838,0,0,390294888242,4087905727,820848,8763,2227,365,392084,0 88,2,2024-09-07 09:30:50:694,599809,599809,0,0,30116017,0,4465 88,3,2024-09-07 09:30:51:269,1,475,3,0,435,5872,475,0 89,0,2024-09-07 09:30:51:768,123177,0.5,119336,0.6,235868,0.4,320480,1.75 89,1,2024-09-07 09:30:50:570,831687,831687,0,0,391595549822,4116120475,821614,8598,1475,382,391866,0 89,2,2024-09-07 09:30:51:132,599139,599139,0,0,28301644,0,3173 89,3,2024-09-07 09:30:51:792,1,475,7,0,468,7962,475,0 90,0,2024-09-07 09:30:51:648,116237,0.4,119444,0.6,243471,0.4,317486,2.00 90,1,2024-09-07 09:30:50:596,833552,833552,0,0,391598105450,4098269033,825969,6932,651,380,391825,0 90,2,2024-09-07 09:30:51:413,599701,599701,0,0,30404138,0,3608 90,3,2024-09-07 09:30:50:929,1,475,1,0,322,5692,475,0 91,0,2024-09-07 09:30:51:043,120430,0.5,116630,0.6,243751,0.5,320080,1.75 91,1,2024-09-07 09:30:50:612,831802,831802,0,0,391523850752,4115845560,821405,8753,1644,381,392047,0 91,2,2024-09-07 09:30:51:340,602049,602049,0,0,26749283,0,3526 91,3,2024-09-07 09:30:50:625,1,475,7,0,216,4695,475,0 92,0,2024-09-07 09:30:51:470,119563,0.5,122274,0.6,233314,0.5,316453,1.75 92,1,2024-09-07 09:30:50:579,834228,834228,0,0,391189992762,4087392906,827654,5498,1076,381,392136,0 92,2,2024-09-07 09:30:51:355,601416,601416,0,0,23981001,0,3259 92,3,2024-09-07 09:30:51:016,1,475,2,0,167,4213,475,0 93,0,2024-09-07 09:30:50:965,120724,0.4,123728,0.6,236092,0.4,320013,1.75 93,1,2024-09-07 09:30:50:817,834265,834265,0,0,391938566120,4093988754,824843,7722,1700,366,391776,0 93,2,2024-09-07 09:30:50:928,600302,600302,0,0,29445739,0,4913 93,3,2024-09-07 09:30:51:405,1,475,8,0,190,4203,475,0 94,0,2024-09-07 09:30:51:649,119031,0.3,119755,0.5,239347,0.3,317694,1.75 94,1,2024-09-07 09:30:50:586,834142,834142,0,0,392798221163,4098722929,828370,5401,371,381,391850,0 94,2,2024-09-07 09:30:50:778,601082,601054,28,0,25274606,0,6179 94,3,2024-09-07 09:30:51:706,1,475,20,0,576,6169,475,0 95,0,2024-09-07 09:30:51:434,119091,0.3,118752,0.5,238176,0.3,317330,1.75 95,1,2024-09-07 09:30:50:854,835849,835849,0,0,392652192873,4081442562,829131,6238,480,365,391786,0 95,2,2024-09-07 09:30:51:020,599874,599874,0,0,23955245,0,3308 95,3,2024-09-07 09:30:51:729,1,475,94,0,718,6956,475,0 96,0,2024-09-07 09:30:51:042,118911,0.4,119122,0.5,238002,0.3,316189,1.75 96,1,2024-09-07 09:30:51:584,833953,833953,0,0,391667642900,4085790018,827972,5074,907,384,391955,0 96,2,2024-09-07 09:30:51:268,602976,602976,0,0,24794502,0,4180 96,3,2024-09-07 09:30:51:149,1,475,1,0,411,5207,475,0 97,0,2024-09-07 09:30:51:317,118221,0.3,117681,0.5,236445,0.2,314208,1.50 97,1,2024-09-07 09:30:50:767,835312,835312,0,0,393221545722,4078561701,829994,4382,936,367,392140,0 97,2,2024-09-07 09:30:50:626,601307,601307,0,0,23973871,0,3679 97,3,2024-09-07 09:30:50:582,1,475,7,0,242,5747,475,0 98,0,2024-09-07 09:30:51:725,118356,0.3,118699,0.4,237995,0.2,316269,1.50 98,1,2024-09-07 09:30:50:591,835330,835330,0,0,392265082970,4086523113,830057,4479,794,381,391997,0 98,2,2024-09-07 09:30:50:770,600429,600429,0,0,24126035,0,4336 98,3,2024-09-07 09:30:50:712,1,475,15,0,840,7535,475,0 99,0,2024-09-07 09:30:51:456,119500,0.3,120166,0.5,238933,0.3,319021,1.75 99,1,2024-09-07 09:30:51:746,835118,835118,0,0,391904861260,4080989814,829356,4841,921,380,392069,0 99,2,2024-09-07 09:30:51:426,601286,601286,0,0,28769532,0,4276 99,3,2024-09-07 09:30:50:585,1,475,64,0,606,5003,475,0 100,0,2024-09-07 09:30:51:460,118325,0.8,118587,0.9,236511,0.9,316272,2.25 100,1,2024-09-07 09:30:50:571,830408,830408,0,0,390436171088,4129949719,817110,10318,2980,378,391989,0 100,2,2024-09-07 09:30:51:828,600676,600665,11,0,27828367,0,5417 100,3,2024-09-07 09:30:51:771,1,475,19,0,559,7475,475,0 101,0,2024-09-07 09:30:51:696,120333,1.0,117350,1.0,229917,0.8,314658,2.25 101,1,2024-09-07 09:30:50:563,831447,831447,0,0,390069837182,4100832915,819744,9335,2368,368,391847,0 101,2,2024-09-07 09:30:51:773,596127,596127,0,0,32581006,0,4871 101,3,2024-09-07 09:30:50:947,1,475,11,0,1250,7526,475,0 102,0,2024-09-07 09:30:50:984,116182,0.6,119782,0.7,243131,0.6,317731,2.00 102,1,2024-09-07 09:30:51:147,831283,831283,0,0,390546790857,4104024501,819837,9450,1996,369,391891,0 102,2,2024-09-07 09:30:51:767,600003,599949,54,0,27035664,0,6768 102,3,2024-09-07 09:30:51:616,1,475,2,0,466,5089,475,0 103,0,2024-09-07 09:30:51:699,123737,0.5,123758,0.7,233217,0.5,320546,2.00 103,1,2024-09-07 09:30:51:627,830669,830669,0,0,389823270005,4116503301,817209,10266,3194,381,391862,0 103,2,2024-09-07 09:30:50:605,599515,599515,0,0,29338966,0,3766 103,3,2024-09-07 09:30:50:766,1,475,3,0,916,5428,475,0 104,0,2024-09-07 09:30:51:028,118895,0.7,119219,0.9,237365,0.7,317473,2.25 104,1,2024-09-07 09:30:51:603,832835,832835,0,0,391007193345,4110289920,820154,10135,2546,365,392168,0 104,2,2024-09-07 09:30:51:672,599826,599826,0,0,28384242,0,3941 104,3,2024-09-07 09:30:51:417,1,475,2,0,1245,8873,475,0 105,0,2024-09-07 09:30:51:045,116543,0.8,113467,1.0,237753,0.9,312635,2.50 105,1,2024-09-07 09:30:50:558,834257,834257,0,0,391548713443,4103744289,823410,9194,1653,366,392009,0 105,2,2024-09-07 09:30:51:331,598407,598407,0,0,27915992,0,3509 105,3,2024-09-07 09:30:51:311,1,475,25,0,399,7006,475,0 106,0,2024-09-07 09:30:51:012,115619,0.8,118377,0.9,242645,0.8,316521,2.50 106,1,2024-09-07 09:30:51:758,833289,833289,0,0,390947536431,4103701259,821278,10368,1643,368,391914,0 106,2,2024-09-07 09:30:50:771,599330,599330,0,0,26949581,0,2920 106,3,2024-09-07 09:30:50:684,1,475,1,0,470,6187,475,0 107,0,2024-09-07 09:30:51:149,119631,0.8,119888,0.9,239487,0.9,319383,2.25 107,1,2024-09-07 09:30:50:625,831778,831778,0,0,390788760660,4118147389,820368,10309,1101,381,392234,0 107,2,2024-09-07 09:30:51:293,599626,599625,1,0,29365593,0,5024 107,3,2024-09-07 09:30:51:766,1,475,16,0,370,6813,475,0 108,0,2024-09-07 09:30:51:852,118948,0.4,119821,0.6,238323,0.4,318314,1.75 108,1,2024-09-07 09:30:51:312,834048,834048,0,0,391651581152,4085508039,826958,6255,835,367,391857,0 108,2,2024-09-07 09:30:51:802,599677,599677,0,0,26889028,0,4246 108,3,2024-09-07 09:30:51:352,1,475,11,0,749,9381,475,0 109,0,2024-09-07 09:30:51:791,118867,0.4,117924,0.6,236090,0.4,315676,1.75 109,1,2024-09-07 09:30:50:819,831606,831606,0,0,391739009281,4111510095,823608,6787,1211,382,392132,0 109,2,2024-09-07 09:30:50:932,598967,598967,0,0,26124708,0,3617 109,3,2024-09-07 09:30:51:181,1,475,8,0,630,6087,475,0 110,0,2024-09-07 09:30:51:782,118888,0.4,115710,0.6,242413,0.3,317944,1.75 110,1,2024-09-07 09:30:51:651,835634,835634,0,0,392523556599,4073460514,829732,4682,1220,369,392045,0 110,2,2024-09-07 09:30:51:303,601047,601047,0,0,25193063,0,4067 110,3,2024-09-07 09:30:50:697,1,475,18,0,722,6659,475,0 111,0,2024-09-07 09:30:51:429,119418,0.4,118727,0.5,237895,0.3,317609,1.75 111,1,2024-09-07 09:30:51:007,836711,836711,0,0,393716287839,4083083852,832387,3968,356,380,391690,0 111,2,2024-09-07 09:30:51:118,599903,599903,0,0,25311718,0,4823 111,3,2024-09-07 09:30:50:925,1,475,24,0,379,5617,475,0 112,0,2024-09-07 09:30:50:924,120065,0.3,119433,0.4,239414,0.2,317818,1.50 112,1,2024-09-07 09:30:50:834,835361,835361,0,0,392525423411,4074437460,830236,4469,656,380,391624,0 112,2,2024-09-07 09:30:51:148,599893,599892,1,0,24038376,0,5036 112,3,2024-09-07 09:30:50:604,1,475,1,0,282,4809,475,0 113,0,2024-09-07 09:30:50:890,118439,0.3,118475,0.5,237223,0.2,315569,1.75 113,1,2024-09-07 09:30:51:690,837851,837851,0,0,394195588952,4068555237,833103,3940,808,366,391661,0 113,2,2024-09-07 09:30:51:302,605284,605284,0,0,22093261,0,3813 113,3,2024-09-07 09:30:50:698,1,475,12,0,340,5141,475,0 114,0,2024-09-07 09:30:50:881,118735,0.3,119568,0.4,237683,0.2,317888,1.75 114,1,2024-09-07 09:30:50:717,836030,836030,0,0,392627040554,4078573534,829450,4964,1616,381,391565,0 114,2,2024-09-07 09:30:50:882,601941,601940,1,0,23061441,0,5069 114,3,2024-09-07 09:30:51:284,1,475,3,0,395,3895,475,0 115,0,2024-09-07 09:30:50:553,119304,0.3,119767,0.4,238935,0.2,317276,1.50 115,1,2024-09-07 09:30:50:585,835897,835897,0,0,392622332035,4080789998,829037,5592,1268,382,391757,0 115,2,2024-09-07 09:30:51:125,601559,601559,0,0,23347790,0,4382 115,3,2024-09-07 09:30:51:020,1,475,9,0,159,2637,475,0 116,0,2024-09-07 09:30:51:743,119131,0.7,119021,0.8,238670,0.6,318632,2.00 116,1,2024-09-07 09:30:50:806,831835,831835,0,0,391003409008,4119742962,822015,7418,2402,380,392089,0 116,2,2024-09-07 09:30:51:758,599217,599217,0,0,29903374,0,4475 116,3,2024-09-07 09:30:50:920,1,475,12,0,415,5710,475,0 117,0,2024-09-07 09:30:50:976,119230,0.7,118661,0.8,237819,0.8,317342,2.00 117,1,2024-09-07 09:30:51:600,832919,832919,0,0,390757726896,4089026815,824221,7702,996,369,392033,0 117,2,2024-09-07 09:30:51:124,604540,604540,0,0,26063278,0,4303 117,3,2024-09-07 09:30:51:099,1,475,7,0,490,6795,475,0 118,0,2024-09-07 09:30:51:766,114356,0.5,117413,0.7,239679,0.5,313835,2.00 118,1,2024-09-07 09:30:50:597,832198,832198,0,0,390820180347,4108047410,819766,9412,3020,366,392054,0 118,2,2024-09-07 09:30:51:590,598835,598835,0,0,27847786,0,2842 118,3,2024-09-07 09:30:51:770,1,475,0,0,248,5529,475,0 119,0,2024-09-07 09:30:51:426,119481,0.7,119751,0.8,239463,0.7,318651,2.00 119,1,2024-09-07 09:30:50:561,832474,832474,0,0,391497610120,4100468948,821961,9088,1425,367,391857,0 119,2,2024-09-07 09:30:51:280,600359,600359,0,0,26186158,0,4174 119,3,2024-09-07 09:30:51:333,1,475,55,0,1358,8969,475,0 120,0,2024-09-07 09:30:51:557,119069,0.6,118875,0.8,238644,0.7,318085,2.25 120,1,2024-09-07 09:30:50:862,833284,833284,0,0,390653732109,4096553902,824496,8014,774,368,392144,0 120,2,2024-09-07 09:30:50:770,600626,600625,1,0,30592127,0,5281 120,3,2024-09-07 09:30:51:294,1,475,1,0,279,6078,475,0 121,0,2024-09-07 09:30:51:701,120000,1.3,119538,1.1,239583,1.7,319451,2.25 121,1,2024-09-07 09:30:51:657,833702,833702,0,0,391499332256,4091347233,826075,7074,553,367,391840,0 121,2,2024-09-07 09:30:51:126,600026,600026,0,0,28697467,0,4157 121,3,2024-09-07 09:30:50:735,1,475,7,0,269,5525,475,0 122,0,2024-09-07 09:30:51:776,117746,0.8,114747,0.9,240555,0.9,316253,2.25 122,1,2024-09-07 09:30:50:862,832403,832403,0,0,391433552792,4105447464,821193,9505,1705,366,392130,0 122,2,2024-09-07 09:30:51:317,600721,600648,73,0,31666247,0,5989 122,3,2024-09-07 09:30:50:620,1,475,7,0,512,8333,475,0 123,0,2024-09-07 09:30:50:991,119276,0.9,116194,0.9,243480,1.0,318397,2.25 123,1,2024-09-07 09:30:50:581,832347,832347,0,0,390953414511,4113832322,818365,11536,2446,369,392039,0 123,2,2024-09-07 09:30:51:019,598587,598586,1,0,26995746,0,5215 123,3,2024-09-07 09:30:51:170,1,475,1,0,478,5539,475,0 124,0,2024-09-07 09:30:50:946,122869,0.3,122960,0.5,231638,0.3,318614,1.75 124,1,2024-09-07 09:30:51:035,835178,835178,0,0,391596829988,4072884286,828792,5271,1115,367,392178,0 124,2,2024-09-07 09:30:51:245,601955,601902,53,0,24892621,0,6487 124,3,2024-09-07 09:30:50:767,1,475,1,0,490,4790,475,0 125,0,2024-09-07 09:30:51:420,118897,0.4,118721,0.6,238310,0.4,317249,1.75 125,1,2024-09-07 09:30:50:883,833710,833710,0,0,392063236302,4094678726,826834,5895,981,382,391813,0 125,2,2024-09-07 09:30:51:115,601673,601673,0,0,25191840,0,4534 125,3,2024-09-07 09:30:51:136,1,475,16,0,709,5757,475,0 126,0,2024-09-07 09:30:51:424,118800,0.4,122326,0.6,233925,0.4,316994,1.75 126,1,2024-09-07 09:30:50:558,836420,836420,0,0,393620878781,4075470164,832030,4094,296,365,391987,0 126,2,2024-09-07 09:30:50:636,603217,603217,0,0,25621431,0,4539 126,3,2024-09-07 09:30:50:921,1,475,4,0,268,5473,475,0 127,0,2024-09-07 09:30:51:608,118222,0.3,118601,0.5,236565,0.3,314553,1.75 127,1,2024-09-07 09:30:50:577,835211,835211,0,0,392017126161,4080295057,826541,7209,1461,364,392187,0 127,2,2024-09-07 09:30:50:644,599431,599427,4,0,24355392,0,5305 127,3,2024-09-07 09:30:51:269,1,475,0,0,968,4944,475,0 128,0,2024-09-07 09:30:51:566,119252,0.3,119265,0.4,238366,0.2,316991,1.50 128,1,2024-09-07 09:30:51:686,833975,833975,0,0,393231855195,4083782191,828177,5071,727,367,392031,0 128,2,2024-09-07 09:30:51:383,601962,601962,0,0,22862063,0,3171 128,3,2024-09-07 09:30:50:769,1,475,2,0,1082,7269,475,0 129,0,2024-09-07 09:30:50:991,120484,0.3,119965,0.5,240320,0.3,319424,1.50 129,1,2024-09-07 09:30:50:585,832033,832033,0,0,390261745484,4091242314,823566,6732,1735,379,391962,0 129,2,2024-09-07 09:30:50:694,601290,601286,4,0,24942527,0,5335 129,3,2024-09-07 09:30:50:714,1,475,8,0,506,6936,475,0 130,0,2024-09-07 09:30:51:722,119302,0.5,118804,0.6,238894,0.5,317591,1.75 130,1,2024-09-07 09:30:50:601,835554,835554,0,0,392425156866,4079512730,830887,4281,386,381,391825,0 130,2,2024-09-07 09:30:51:125,604102,604102,0,0,24650811,0,4067 130,3,2024-09-07 09:30:51:291,1,475,12,0,960,7038,475,0 131,0,2024-09-07 09:30:51:976,117991,0.4,118337,0.5,237328,0.3,315296,1.75 131,1,2024-09-07 09:30:51:841,834649,834649,0,0,392377725403,4097097754,827854,5605,1190,381,391865,0 131,2,2024-09-07 09:30:50:576,601491,601491,0,0,22639353,0,3979 131,3,2024-09-07 09:30:51:690,1,475,62,0,392,5386,475,0 132,0,2024-09-07 09:30:51:409,119504,0.4,120638,0.6,239909,0.4,318810,1.75 132,1,2024-09-07 09:30:50:578,831298,831298,0,0,391159374819,4117033911,819271,9842,2185,381,392532,0 132,2,2024-09-07 09:30:50:700,599606,599589,17,0,29935224,0,6451 132,3,2024-09-07 09:30:51:689,1,475,187,0,804,8157,475,0 133,0,2024-09-07 09:30:51:520,116754,0.4,119534,0.6,245093,0.4,318781,1.75 133,1,2024-09-07 09:30:50:584,831146,831146,0,0,390624849035,4117199707,819454,10070,1622,383,391914,0 133,2,2024-09-07 09:30:51:096,601067,601017,50,0,30684434,0,6861 133,3,2024-09-07 09:30:51:300,1,475,14,0,479,4961,475,0 134,0,2024-09-07 09:30:50:983,120164,0.5,119928,0.7,240167,0.5,319724,2.00 134,1,2024-09-07 09:30:50:603,832323,832323,0,0,390868870599,4096212998,822075,8179,2069,366,391718,0 134,2,2024-09-07 09:30:51:755,600578,600554,24,0,28866369,0,6207 134,3,2024-09-07 09:30:50:766,1,475,5,0,739,5761,475,0 135,0,2024-09-07 09:30:51:156,114033,0.7,114021,0.8,242045,0.8,312043,2.25 135,1,2024-09-07 09:30:51:593,832638,832638,0,0,391746543796,4117751412,822226,9034,1378,380,391805,0 135,2,2024-09-07 09:30:50:699,599852,599852,0,0,27935142,0,3981 135,3,2024-09-07 09:30:51:013,1,475,1,0,299,4102,475,0 136,0,2024-09-07 09:30:51:634,120248,0.5,120527,0.7,239985,0.5,319534,2.00 136,1,2024-09-07 09:30:51:440,833797,833797,0,0,390642724646,4094302123,824461,8198,1138,381,391685,0 136,2,2024-09-07 09:30:51:137,601413,601413,0,0,26702888,0,3506 136,3,2024-09-07 09:30:51:105,1,475,2,0,637,5477,475,0 137,0,2024-09-07 09:30:50:936,123716,0.6,120455,0.7,236241,0.5,320566,2.00 137,1,2024-09-07 09:30:50:583,831857,831857,0,0,390510390784,4096078187,818934,10254,2669,366,391898,0 137,2,2024-09-07 09:30:51:716,600334,600334,0,0,30012518,0,3185 137,3,2024-09-07 09:30:50:780,1,475,7,0,484,6124,475,0 138,0,2024-09-07 09:30:51:776,118766,0.9,118834,0.9,238456,1.1,316934,2.25 138,1,2024-09-07 09:30:51:693,833184,833184,0,0,391972280002,4109889585,821843,9521,1820,368,391954,0 138,2,2024-09-07 09:30:50:609,599468,599468,0,0,27763675,0,4988 138,3,2024-09-07 09:30:50:625,1,475,2,0,1200,7636,475,0 139,0,2024-09-07 09:30:51:359,117316,1.4,117537,1.1,235281,2.0,314375,2.50 139,1,2024-09-07 09:30:50:586,828710,828710,0,0,388361031704,4124442525,813143,12119,3448,380,392058,0 139,2,2024-09-07 09:30:50:721,597089,597089,0,0,30743340,0,3097 139,3,2024-09-07 09:30:51:670,1,475,5,0,432,5585,475,0 140,0,2024-09-07 09:30:51:589,119649,0.3,118837,0.5,238548,0.2,318180,1.75 140,1,2024-09-07 09:30:51:539,837627,837627,0,0,394164057934,4063833851,833579,3587,461,365,391606,0 140,2,2024-09-07 09:30:50:694,602111,602110,1,0,23613605,0,5036 140,3,2024-09-07 09:30:50:768,1,475,0,0,297,4443,475,0 141,0,2024-09-07 09:30:51:702,119387,0.3,122441,0.5,233791,0.2,317268,1.50 141,1,2024-09-07 09:30:50:876,836125,836125,0,0,392354235776,4078444503,829268,5772,1085,379,391614,0 141,2,2024-09-07 09:30:51:690,600817,600806,11,0,24880178,0,5369 141,3,2024-09-07 09:30:51:047,1,475,13,0,391,5284,475,0 142,0,2024-09-07 09:30:51:306,120018,0.3,119309,0.5,238870,0.3,318423,1.75 142,1,2024-09-07 09:30:50:616,834394,834394,0,0,391687339343,4083530194,828967,4974,453,382,392102,0 142,2,2024-09-07 09:30:51:299,599423,599391,32,0,25709115,0,6028 142,3,2024-09-07 09:30:51:761,1,475,2,0,484,5187,475,0 143,0,2024-09-07 09:30:51:370,118340,0.4,118523,0.6,237392,0.4,315563,1.75 143,1,2024-09-07 09:30:50:564,836001,836001,0,0,393087961492,4079887236,830272,5178,551,367,391705,0 143,2,2024-09-07 09:30:50:770,604000,604000,0,0,24812838,0,3123 143,3,2024-09-07 09:30:51:148,1,475,0,0,462,6153,475,0 144,0,2024-09-07 09:30:51:494,114885,0.6,118048,0.8,240500,0.5,315310,2.00 144,1,2024-09-07 09:30:50:580,832097,832097,0,0,390262895954,4097257539,823312,6883,1902,381,391733,0 144,2,2024-09-07 09:30:51:761,601163,601163,0,0,23302993,0,3673 144,3,2024-09-07 09:30:51:754,1,475,0,0,249,4773,475,0 145,0,2024-09-07 09:30:51:378,115043,0.6,115126,0.8,244044,0.5,314204,2.25 145,1,2024-09-07 09:30:50:583,831475,831475,0,0,390449602546,4107039231,820677,8930,1868,382,391759,0 145,2,2024-09-07 09:30:51:431,597158,597077,81,0,28842295,0,7814 145,3,2024-09-07 09:30:50:901,1,475,9,0,622,6570,475,0 146,0,2024-09-07 09:30:51:608,119378,0.6,118939,0.7,239071,0.6,317007,2.25 146,1,2024-09-07 09:30:51:615,832819,832819,0,0,391288305864,4113869174,819499,9791,3529,367,391770,0 146,2,2024-09-07 09:30:51:697,599084,599084,0,0,27428614,0,3290 146,3,2024-09-07 09:30:51:280,1,475,1,0,1520,8260,475,0 147,0,2024-09-07 09:30:51:700,119089,0.6,118942,0.8,237119,0.6,316754,2.25 147,1,2024-09-07 09:30:51:375,835803,835803,0,0,392632656162,4082341773,828821,6133,849,368,391791,0 147,2,2024-09-07 09:30:51:027,602195,602195,0,0,24939948,0,2968 147,3,2024-09-07 09:30:50:918,1,475,4,0,1626,8087,475,0 0,0,2024-09-07 09:31:01:734,116453,0.6,116471,0.7,247032,0.6,319026,2.00 0,1,2024-09-07 09:31:00:810,834170,834170,0,0,392596548353,4114108433,826740,6595,835,369,391896,0 0,2,2024-09-07 09:31:01:067,603470,603470,0,0,24861502,0,4480 0,3,2024-09-07 09:31:00:992,1,476,1,0,431,6873,476,0 1,0,2024-09-07 09:31:01:752,119986,1.0,119470,1.0,239421,1.4,320160,2.00 1,1,2024-09-07 09:31:00:745,834288,834288,0,0,391206493821,4097992512,826574,6307,1407,370,391859,0 1,2,2024-09-07 09:31:00:642,602412,602412,0,0,24364133,0,3380 1,3,2024-09-07 09:31:01:313,1,476,21,0,269,6178,476,0 2,0,2024-09-07 09:31:01:569,118490,0.6,118513,0.7,236716,0.6,315806,2.00 2,1,2024-09-07 09:31:00:867,837021,837021,0,0,393586589832,4089526927,832643,3576,802,380,391745,0 2,2,2024-09-07 09:31:01:266,602549,602549,0,0,22739355,0,3594 2,3,2024-09-07 09:31:00:691,1,476,0,0,357,4351,476,0 3,0,2024-09-07 09:31:01:757,119866,0.4,119655,0.6,239179,0.4,318614,2.00 3,1,2024-09-07 09:31:01:619,835565,835565,0,0,392580065356,4089194049,828599,6271,695,379,391716,0 3,2,2024-09-07 09:31:01:143,602300,602277,23,0,24114334,0,5851 3,3,2024-09-07 09:31:01:752,1,476,4,0,207,3009,476,0 4,0,2024-09-07 09:31:01:764,116079,0.4,119288,0.5,243168,0.3,318236,1.75 4,1,2024-09-07 09:31:00:591,832267,832267,0,0,391446146206,4144982265,817405,11388,3474,370,391992,0 4,2,2024-09-07 09:31:01:026,600159,600159,0,0,29000399,0,4534 4,3,2024-09-07 09:31:01:027,1,476,21,0,448,6330,476,0 5,0,2024-09-07 09:31:01:395,118915,0.4,119552,0.6,238584,0.4,317222,1.75 5,1,2024-09-07 09:31:00:757,834009,834009,0,0,392559374087,4132393413,822203,9441,2365,367,392005,0 5,2,2024-09-07 09:31:01:828,598998,598998,0,0,28215814,0,3582 5,3,2024-09-07 09:31:01:734,1,476,1,0,457,6769,476,0 6,0,2024-09-07 09:31:00:920,119314,0.5,118827,0.7,237761,0.4,317385,2.00 6,1,2024-09-07 09:31:00:745,836029,836029,0,0,391823958320,4093412983,827261,7341,1427,379,391702,0 6,2,2024-09-07 09:31:01:118,602730,602712,18,0,27010579,0,5535 6,3,2024-09-07 09:31:01:275,1,476,1,0,710,5984,476,0 7,0,2024-09-07 09:31:01:530,117664,0.5,118585,0.6,235966,0.4,313989,2.00 7,1,2024-09-07 09:31:00:851,833903,833903,0,0,391271715075,4110596730,821811,9995,2097,382,391747,0 7,2,2024-09-07 09:31:00:771,602188,602188,0,0,26525944,0,4791 7,3,2024-09-07 09:31:00:852,1,476,0,0,552,5589,476,0 8,0,2024-09-07 09:31:01:331,119278,0.3,118893,0.5,238588,0.3,317854,1.75 8,1,2024-09-07 09:31:01:033,833328,833328,0,0,391332476349,4113238172,820714,9835,2779,366,392853,0 8,2,2024-09-07 09:31:00:794,597138,597138,0,0,31284830,0,3250 8,3,2024-09-07 09:31:00:589,1,476,6,0,538,7519,476,0 9,0,2024-09-07 09:31:01:117,119676,0.4,116463,0.5,243794,0.3,319418,1.75 9,1,2024-09-07 09:31:00:553,832456,832456,0,0,391207844223,4120438905,820117,9871,2468,369,392001,0 9,2,2024-09-07 09:31:01:099,600163,600163,0,0,28567390,0,4615 9,3,2024-09-07 09:31:01:753,1,476,19,0,496,6734,476,0 10,0,2024-09-07 09:31:01:603,118855,0.3,118383,0.5,237716,0.3,316625,1.75 10,1,2024-09-07 09:31:00:583,835209,835209,0,0,391701330802,4104869715,823805,9464,1940,381,391741,0 10,2,2024-09-07 09:31:00:762,603909,603909,0,0,31090684,0,4264 10,3,2024-09-07 09:31:00:877,1,476,25,0,649,5063,476,0 11,0,2024-09-07 09:31:01:013,118309,0.4,114742,0.6,239980,0.4,316614,1.75 11,1,2024-09-07 09:31:00:579,835195,835195,0,0,392307935155,4115970707,822444,9631,3120,383,391756,0 11,2,2024-09-07 09:31:01:123,600477,600477,0,0,27122719,0,4130 11,3,2024-09-07 09:31:01:298,1,476,2,0,843,6584,476,0 12,0,2024-09-07 09:31:00:993,120374,0.4,120371,0.5,240664,0.3,319384,1.75 12,1,2024-09-07 09:31:00:933,835031,835031,0,0,392043657759,4090618543,828242,6132,657,370,391960,0 12,2,2024-09-07 09:31:01:541,600389,600389,0,0,27076424,0,4390 12,3,2024-09-07 09:31:01:066,1,476,2,0,386,6688,476,0 13,0,2024-09-07 09:31:01:350,120921,0.4,120454,0.6,240426,0.4,320387,1.75 13,1,2024-09-07 09:31:01:536,833429,833429,0,0,391902315919,4122119638,824357,6921,2151,382,391740,0 13,2,2024-09-07 09:31:00:597,603761,603761,0,0,24669435,0,3287 13,3,2024-09-07 09:31:01:768,1,476,136,0,522,6902,476,0 14,0,2024-09-07 09:31:00:584,119785,0.4,120749,0.6,239179,0.4,318458,1.75 14,1,2024-09-07 09:31:01:569,839198,839198,0,0,394190420925,4081682134,832661,5823,714,364,391673,0 14,2,2024-09-07 09:31:00:764,603299,603269,30,0,26061918,0,6104 14,3,2024-09-07 09:31:01:116,1,476,1,0,1168,5131,476,0 15,0,2024-09-07 09:31:01:565,117785,0.4,117547,0.6,235795,0.4,313976,2.00 15,1,2024-09-07 09:31:01:610,836048,836048,0,0,392782653358,4091761579,829031,5562,1455,381,391619,0 15,2,2024-09-07 09:31:00:998,602845,602845,0,0,21734458,0,3622 15,3,2024-09-07 09:31:01:406,1,476,1,0,1126,7214,476,0 16,0,2024-09-07 09:31:00:970,119797,0.5,120581,0.7,240080,0.5,319170,2.00 16,1,2024-09-07 09:31:00:567,835557,835557,0,0,392424124994,4104343045,827851,6459,1247,370,391917,0 16,2,2024-09-07 09:31:01:447,601143,601143,0,0,25929044,0,4719 16,3,2024-09-07 09:31:01:142,1,476,1,0,358,6129,476,0 17,0,2024-09-07 09:31:01:765,123704,0.6,120721,0.7,236401,0.6,320815,2.00 17,1,2024-09-07 09:31:00:574,834148,834148,0,0,391419873916,4109158971,825526,6905,1717,368,392075,0 17,2,2024-09-07 09:31:01:665,605113,605112,1,0,26767135,0,5050 17,3,2024-09-07 09:31:00:594,1,476,13,0,518,7408,476,0 18,0,2024-09-07 09:31:00:949,118471,0.7,119010,0.8,237419,0.7,317212,2.25 18,1,2024-09-07 09:31:01:652,837448,837448,0,0,393912421597,4084546581,832315,4512,621,367,391725,0 18,2,2024-09-07 09:31:01:755,604275,604275,0,0,23518817,0,3541 18,3,2024-09-07 09:31:00:898,1,476,1,0,1059,4728,476,0 19,0,2024-09-07 09:31:01:550,118170,0.6,118438,0.8,235524,0.6,313636,2.25 19,1,2024-09-07 09:31:00:567,837872,837872,0,0,393780398945,4085045482,831169,5621,1082,367,391777,0 19,2,2024-09-07 09:31:01:752,604477,604477,0,0,21741358,0,3988 19,3,2024-09-07 09:31:01:129,1,476,8,0,524,4003,476,0 20,0,2024-09-07 09:31:01:360,119376,0.5,119553,0.7,238493,0.5,318463,2.00 20,1,2024-09-07 09:31:00:568,835004,835004,0,0,392810992993,4112736245,826844,6862,1298,369,391922,0 20,2,2024-09-07 09:31:00:937,601501,601501,0,0,26136014,0,3721 20,3,2024-09-07 09:31:00:589,1,476,1,0,468,7797,476,0 21,0,2024-09-07 09:31:01:128,119303,0.4,119398,0.6,238657,0.4,316931,1.75 21,1,2024-09-07 09:31:01:539,832387,832387,0,0,391084225399,4128326769,819120,10189,3078,368,392016,0 21,2,2024-09-07 09:31:01:070,599002,598982,20,0,31443433,0,5617 21,3,2024-09-07 09:31:01:404,1,476,1,0,713,6266,476,0 22,0,2024-09-07 09:31:01:728,119319,0.5,119759,0.7,239190,0.4,316889,2.00 22,1,2024-09-07 09:31:01:030,834169,834169,0,0,391557116425,4120585253,820514,10694,2961,382,391675,0 22,2,2024-09-07 09:31:00:760,600054,600028,26,0,25988153,0,6328 22,3,2024-09-07 09:31:01:066,1,476,0,0,228,3943,476,0 23,0,2024-09-07 09:31:01:380,118262,0.5,117732,0.7,235678,0.5,314235,2.25 23,1,2024-09-07 09:31:01:005,835145,835145,0,0,392797582053,4122440366,820811,10116,4218,365,391690,0 23,2,2024-09-07 09:31:01:095,605437,605437,0,0,24510263,0,3773 23,3,2024-09-07 09:31:01:753,1,476,16,0,720,5951,476,0 24,0,2024-09-07 09:31:00:872,119676,0.4,118851,0.5,238994,0.4,317475,1.75 24,1,2024-09-07 09:31:00:583,834169,834169,0,0,391340907143,4099242097,825656,6851,1662,367,392269,0 24,2,2024-09-07 09:31:01:070,601528,601528,0,0,29138171,0,3607 24,3,2024-09-07 09:31:01:698,1,476,1,0,468,6338,476,0 25,0,2024-09-07 09:31:01:351,122325,0.4,119213,0.6,233917,0.4,318288,1.75 25,1,2024-09-07 09:31:00:558,833202,833202,0,0,391658376762,4130075291,819518,10977,2707,371,391928,0 25,2,2024-09-07 09:31:01:621,599403,599403,0,0,30604986,0,3978 25,3,2024-09-07 09:31:01:000,1,476,8,0,532,5325,476,0 26,0,2024-09-07 09:31:01:722,119244,0.4,116673,0.6,244640,0.4,318071,1.75 26,1,2024-09-07 09:31:01:541,835929,835929,0,0,391339885107,4101298060,823611,9905,2413,380,391748,0 26,2,2024-09-07 09:31:00:863,601331,601331,0,0,31964438,0,4689 26,3,2024-09-07 09:31:01:716,1,476,1,0,796,5518,476,0 27,0,2024-09-07 09:31:01:723,119232,0.4,119492,0.6,237630,0.4,316929,2.25 27,1,2024-09-07 09:31:01:676,837134,837134,0,0,393478332012,4099499892,828887,6985,1262,381,391626,0 27,2,2024-09-07 09:31:00:867,601505,601440,65,0,27845773,0,5699 27,3,2024-09-07 09:31:01:015,1,476,13,0,564,4287,476,0 28,0,2024-09-07 09:31:01:397,118757,0.4,118121,0.6,237279,0.3,316471,1.75 28,1,2024-09-07 09:31:00:805,836583,836583,0,0,393383471390,4104337118,829089,5794,1700,382,391698,0 28,2,2024-09-07 09:31:01:767,601432,601432,0,0,24633595,0,2915 28,3,2024-09-07 09:31:01:777,1,476,0,0,502,4879,476,0 29,0,2024-09-07 09:31:01:374,123162,0.4,120055,0.6,235299,0.3,320715,1.75 29,1,2024-09-07 09:31:01:562,839278,839278,0,0,394501573736,4082242579,833992,4527,759,368,391809,0 29,2,2024-09-07 09:31:00:867,600770,600770,0,0,24060332,0,4986 29,3,2024-09-07 09:31:00:970,1,476,16,0,459,5453,476,0 30,0,2024-09-07 09:31:01:455,119612,0.5,116184,0.7,243072,0.5,317840,2.00 30,1,2024-09-07 09:31:00:576,838042,838042,0,0,394351512827,4095058274,831401,5792,849,380,391672,0 30,2,2024-09-07 09:31:01:274,603072,603072,0,0,23550266,0,4192 30,3,2024-09-07 09:31:00:593,1,476,15,0,519,4883,476,0 31,0,2024-09-07 09:31:01:773,119734,0.5,120148,0.7,239973,0.4,320216,2.00 31,1,2024-09-07 09:31:00:568,840836,840836,0,0,395200278129,4055013105,836504,3531,801,356,391712,0 31,2,2024-09-07 09:31:01:283,601370,601370,0,0,25945975,0,4470 31,3,2024-09-07 09:31:01:706,1,476,1,0,220,4084,476,0 32,0,2024-09-07 09:31:01:419,118844,0.3,119485,0.5,237942,0.3,317508,1.75 32,1,2024-09-07 09:31:00:812,837037,837037,0,0,393341905857,4091379944,831516,4814,707,381,391646,0 32,2,2024-09-07 09:31:00:966,602735,602735,0,0,22493496,0,3922 32,3,2024-09-07 09:31:01:023,1,476,3,0,304,3748,476,0 33,0,2024-09-07 09:31:01:498,120434,0.3,120045,0.4,240184,0.2,319734,1.50 33,1,2024-09-07 09:31:00:588,838116,838116,0,0,394296642861,4087405924,831113,5832,1171,368,391730,0 33,2,2024-09-07 09:31:00:759,602588,602553,35,0,25107860,0,7012 33,3,2024-09-07 09:31:00:895,1,476,8,0,329,4581,476,0 34,0,2024-09-07 09:31:00:932,119938,0.3,123255,0.4,235682,0.2,317470,1.75 34,1,2024-09-07 09:31:01:044,839922,839922,0,0,395190914187,4063921440,837699,2201,22,367,391562,0 34,2,2024-09-07 09:31:00:768,604171,604171,0,0,23495468,0,4562 34,3,2024-09-07 09:31:01:698,1,476,46,0,320,3884,476,0 35,0,2024-09-07 09:31:00:862,118400,0.3,118995,0.5,239100,0.3,318059,1.75 35,1,2024-09-07 09:31:01:070,837121,837121,0,0,393800895720,4084518215,831527,4538,1056,382,391769,0 35,2,2024-09-07 09:31:01:582,601432,601432,0,0,25650083,0,4055 35,3,2024-09-07 09:31:00:907,1,476,1,0,418,4671,476,0 36,0,2024-09-07 09:31:01:517,119549,0.5,119410,0.7,238738,0.4,318115,2.00 36,1,2024-09-07 09:31:00:593,836660,836660,0,0,392082724471,4095038326,825883,8661,2116,366,391759,0 36,2,2024-09-07 09:31:01:751,603483,603483,0,0,26219724,0,3875 36,3,2024-09-07 09:31:00:873,1,476,12,0,416,6845,476,0 37,0,2024-09-07 09:31:01:369,117933,0.5,117971,0.6,236018,0.4,314425,2.00 37,1,2024-09-07 09:31:00:569,835493,835486,0,7,392469307445,4099488228,825209,7722,2555,365,391770,0 37,2,2024-09-07 09:31:01:143,600256,600241,15,0,26692042,0,5815 37,3,2024-09-07 09:31:01:775,1,476,8,0,888,6945,476,0 38,0,2024-09-07 09:31:01:441,118390,0.4,114953,0.6,240379,0.4,315123,2.00 38,1,2024-09-07 09:31:01:604,836238,836238,0,0,393891440676,4120269116,823480,10247,2511,368,391821,0 38,2,2024-09-07 09:31:00:772,600874,600827,47,0,26917608,0,6710 38,3,2024-09-07 09:31:00:997,1,476,476,0,689,6398,476,0 39,0,2024-09-07 09:31:01:759,122606,0.6,119870,0.7,233688,0.5,318666,2.00 39,1,2024-09-07 09:31:00:720,835254,835254,0,0,391667590917,4111634510,820425,11457,3372,365,391658,0 39,2,2024-09-07 09:31:01:418,601711,601711,0,0,25106140,0,3391 39,3,2024-09-07 09:31:00:718,1,476,53,0,324,5187,476,0 40,0,2024-09-07 09:31:01:525,117696,0.8,118391,0.9,236078,0.8,315087,2.75 40,1,2024-09-07 09:31:00:589,836387,836387,0,0,391630839747,4099388505,824711,9507,2169,368,391668,0 40,2,2024-09-07 09:31:01:303,603024,603023,1,0,29652616,0,5137 40,3,2024-09-07 09:31:01:142,1,476,1,0,1028,6252,476,0 41,0,2024-09-07 09:31:01:027,117830,1.2,120528,1.1,229853,1.7,313274,3.00 41,1,2024-09-07 09:31:00:772,834844,834844,0,0,392644433328,4109964089,824013,9123,1708,369,391742,0 41,2,2024-09-07 09:31:00:762,599268,599268,0,0,28168142,0,4277 41,3,2024-09-07 09:31:01:676,1,476,13,0,366,4960,476,0 42,0,2024-09-07 09:31:01:476,118822,0.8,118563,1.0,237485,0.9,314278,2.75 42,1,2024-09-07 09:31:01:439,832611,832611,0,0,391223699857,4116177363,818401,11365,2845,380,391675,0 42,2,2024-09-07 09:31:01:134,600027,600027,0,0,28033212,0,3790 42,3,2024-09-07 09:31:01:009,1,476,3,0,892,4479,476,0 43,0,2024-09-07 09:31:00:922,118839,0.7,115794,0.9,242233,0.7,317493,2.25 43,1,2024-09-07 09:31:00:591,836103,836103,0,0,392534445772,4102941323,824169,9966,1968,366,391696,0 43,2,2024-09-07 09:31:01:736,602491,602491,0,0,27640325,0,3812 43,3,2024-09-07 09:31:01:749,1,476,1,0,571,6798,476,0 44,0,2024-09-07 09:31:00:876,119964,0.4,119981,0.6,240483,0.4,319029,2.00 44,1,2024-09-07 09:31:00:563,837744,837744,0,0,394206836944,4075978547,830257,5938,1549,356,391809,0 44,2,2024-09-07 09:31:01:273,601567,601567,0,0,23402376,0,4344 44,3,2024-09-07 09:31:01:094,1,476,1,0,817,5409,476,0 45,0,2024-09-07 09:31:01:756,116582,0.5,113839,0.7,238922,0.4,313943,2.00 45,1,2024-09-07 09:31:01:005,836968,836968,0,0,394026851664,4097819955,829792,6310,866,382,391917,0 45,2,2024-09-07 09:31:01:272,602300,602300,0,0,23255738,0,3596 45,3,2024-09-07 09:31:00:935,1,476,7,0,271,4253,476,0 46,0,2024-09-07 09:31:00:948,119416,0.5,118865,0.7,238588,0.5,316437,2.00 46,1,2024-09-07 09:31:00:590,839239,839239,0,0,393847201991,4070005479,833649,4860,730,366,391709,0 46,2,2024-09-07 09:31:00:598,601797,601797,0,0,23631309,0,4443 46,3,2024-09-07 09:31:01:130,1,476,1,0,908,5719,476,0 47,0,2024-09-07 09:31:01:113,120247,0.4,120550,0.6,241376,0.4,319789,2.00 47,1,2024-09-07 09:31:00:572,839264,839264,0,0,393281655035,4064745977,834166,4259,839,366,391641,0 47,2,2024-09-07 09:31:00:907,605952,605952,0,0,23846537,0,4477 47,3,2024-09-07 09:31:01:115,1,476,2,0,600,5625,476,0 48,0,2024-09-07 09:31:01:489,120163,0.3,120188,0.4,239190,0.2,319213,1.50 48,1,2024-09-07 09:31:01:028,837488,837488,0,0,393504817230,4087507249,832452,4516,520,384,391710,0 48,2,2024-09-07 09:31:00:702,602166,602166,0,0,22172058,0,3411 48,3,2024-09-07 09:31:00:754,1,476,6,0,339,3772,476,0 49,0,2024-09-07 09:31:01:751,121540,0.4,119430,0.5,232068,0.3,317297,1.75 49,1,2024-09-07 09:31:01:042,836443,836443,0,0,393475531036,4092229939,831103,4132,1208,382,391809,0 49,2,2024-09-07 09:31:01:803,602908,602908,0,0,23833059,0,4426 49,3,2024-09-07 09:31:01:423,1,476,0,0,992,5523,476,0 50,0,2024-09-07 09:31:01:509,120174,0.3,118555,0.5,238723,0.2,318977,1.75 50,1,2024-09-07 09:31:01:089,839615,839615,0,0,394531026398,4081012735,833933,5019,663,368,391565,0 50,2,2024-09-07 09:31:01:070,602255,602255,0,0,22142403,0,4490 50,3,2024-09-07 09:31:01:295,1,476,18,0,617,5249,476,0 51,0,2024-09-07 09:31:01:682,122328,0.3,119843,0.5,233505,0.2,318616,1.75 51,1,2024-09-07 09:31:01:684,840376,840376,0,0,395293185210,4077898611,835858,3466,1052,365,391706,0 51,2,2024-09-07 09:31:01:320,602756,602756,0,0,21249182,0,3337 51,3,2024-09-07 09:31:01:029,1,476,3,0,678,3702,476,0 52,0,2024-09-07 09:31:01:415,119784,0.5,119568,0.7,239426,0.4,317863,2.00 52,1,2024-09-07 09:31:00:591,835642,835642,0,0,392290106485,4106932587,823641,10164,1837,368,391722,0 52,2,2024-09-07 09:31:01:755,598300,598262,38,0,28208026,0,6742 52,3,2024-09-07 09:31:00:674,1,476,1,0,1782,6153,476,0 53,0,2024-09-07 09:31:01:743,117825,0.6,114371,0.8,239301,0.6,314074,2.25 53,1,2024-09-07 09:31:00:786,833952,833952,0,0,392583148091,4120067695,820070,10290,3592,367,391968,0 53,2,2024-09-07 09:31:01:298,604226,604225,1,0,25234120,0,5455 53,3,2024-09-07 09:31:00:700,1,476,9,0,308,4725,476,0 54,0,2024-09-07 09:31:01:629,117394,0.5,117670,0.7,234413,0.4,313469,2.25 54,1,2024-09-07 09:31:00:582,836051,836051,0,0,393778846394,4093957454,827346,7304,1401,366,391659,0 54,2,2024-09-07 09:31:00:865,602234,602202,32,0,28561742,0,6397 54,3,2024-09-07 09:31:00:763,1,476,1,0,676,6614,476,0 55,0,2024-09-07 09:31:01:771,115073,0.6,118603,0.7,240418,0.5,312856,2.25 55,1,2024-09-07 09:31:00:764,837280,837280,0,0,393322030862,4088992032,828540,7521,1219,365,391731,0 55,2,2024-09-07 09:31:00:735,600561,600505,56,0,27642015,0,7239 55,3,2024-09-07 09:31:00:673,1,476,139,0,304,4638,476,0 56,0,2024-09-07 09:31:01:585,122147,1.2,115145,1.1,237298,1.6,317341,2.75 56,1,2024-09-07 09:31:00:582,832939,832939,0,0,391634024000,4132572424,820689,9697,2553,381,391867,0 56,2,2024-09-07 09:31:01:311,601084,600962,122,0,28883689,0,7432 56,3,2024-09-07 09:31:01:065,1,476,1,0,705,5703,476,0 57,0,2024-09-07 09:31:00:939,117341,1.5,117222,1.3,234987,2.1,313987,3.00 57,1,2024-09-07 09:31:00:993,834440,834440,0,0,392128328168,4113069221,823654,8721,2065,366,392032,0 57,2,2024-09-07 09:31:01:320,603602,603602,0,0,29457186,0,4317 57,3,2024-09-07 09:31:01:747,1,476,14,0,455,5461,476,0 58,0,2024-09-07 09:31:00:563,116676,0.9,113374,1.0,237021,1.0,311386,2.50 58,1,2024-09-07 09:31:00:592,835455,835452,0,3,392763995984,4114278536,823160,9524,2768,367,391603,3 58,2,2024-09-07 09:31:01:070,600721,600721,0,0,27439509,0,3483 58,3,2024-09-07 09:31:01:068,1,476,1,0,1043,5040,476,0 59,0,2024-09-07 09:31:01:774,119388,0.8,118970,1.0,237524,0.9,314991,2.75 59,1,2024-09-07 09:31:00:811,835185,835185,0,0,392862624805,4119190276,822883,10065,2237,369,391653,0 59,2,2024-09-07 09:31:00:588,600644,600644,0,0,26990355,0,3727 59,3,2024-09-07 09:31:01:746,1,476,0,0,1015,6298,476,0 60,0,2024-09-07 09:31:01:711,119763,0.5,119902,0.7,240127,0.5,318785,1.75 60,1,2024-09-07 09:31:00:775,838188,838188,0,0,394005710854,4090595557,832271,4988,929,370,392031,0 60,2,2024-09-07 09:31:01:141,602767,602767,0,0,25737766,0,3811 60,3,2024-09-07 09:31:01:265,1,476,2,0,409,5947,476,0 61,0,2024-09-07 09:31:01:496,119867,0.7,120486,0.8,239909,0.7,319941,2.00 61,1,2024-09-07 09:31:00:774,835422,835422,0,0,392413070511,4112818934,825739,8106,1577,382,392127,0 61,2,2024-09-07 09:31:01:116,602614,602547,67,0,26365468,0,6411 61,3,2024-09-07 09:31:01:697,1,476,17,0,479,6500,476,0 62,0,2024-09-07 09:31:01:710,119223,0.6,122191,0.7,233386,0.6,317417,2.00 62,1,2024-09-07 09:31:01:113,840413,840407,0,6,394482372497,4066572855,836480,3664,263,365,391975,6 62,2,2024-09-07 09:31:01:644,599799,599798,1,0,25529994,0,5555 62,3,2024-09-07 09:31:01:143,1,476,2,0,482,4022,476,0 63,0,2024-09-07 09:31:01:453,120431,0.4,120149,0.6,241036,0.4,320149,1.75 63,1,2024-09-07 09:31:00:805,837726,837720,0,6,393662582325,4086934604,832370,4616,734,381,391800,6 63,2,2024-09-07 09:31:00:763,601621,601621,0,0,24412725,0,4369 63,3,2024-09-07 09:31:01:734,1,476,0,0,667,5100,476,0 64,0,2024-09-07 09:31:01:521,119229,0.5,119308,0.6,238119,0.5,316636,2.00 64,1,2024-09-07 09:31:00:757,837298,837298,0,0,393155180817,4095388371,830038,5547,1713,370,391783,0 64,2,2024-09-07 09:31:01:140,606681,606662,19,0,23670846,0,6121 64,3,2024-09-07 09:31:01:140,1,476,651,0,651,5110,476,0 65,0,2024-09-07 09:31:01:698,118165,0.7,118505,0.8,236602,0.7,315303,2.00 65,1,2024-09-07 09:31:00:865,835192,835192,0,0,392079612690,4098726863,829187,5308,697,381,391901,0 65,2,2024-09-07 09:31:01:698,601698,601698,0,0,27882723,0,3367 65,3,2024-09-07 09:31:01:689,1,476,8,0,782,5821,476,0 66,0,2024-09-07 09:31:01:765,118644,0.6,118450,0.8,236720,0.5,314966,2.25 66,1,2024-09-07 09:31:01:294,837134,837134,0,0,392990281050,4093524387,831008,5405,721,380,391743,0 66,2,2024-09-07 09:31:01:132,604545,604542,3,0,25416508,0,5455 66,3,2024-09-07 09:31:01:083,1,476,1,0,291,4246,476,0 67,0,2024-09-07 09:31:01:420,118423,0.5,118090,0.7,237159,0.4,315101,2.00 67,1,2024-09-07 09:31:00:767,836918,836917,0,1,392990691313,4092878866,830975,5134,808,380,391787,1 67,2,2024-09-07 09:31:00:583,604004,603989,15,0,24346870,0,6205 67,3,2024-09-07 09:31:01:749,1,476,1,0,392,4648,476,0 68,0,2024-09-07 09:31:00:569,119245,0.5,119146,0.7,237329,0.5,317434,2.00 68,1,2024-09-07 09:31:00:571,833673,833673,0,0,390990398844,4112428352,822315,8021,3337,381,391953,0 68,2,2024-09-07 09:31:01:044,598349,598249,100,0,31308076,0,8578 68,3,2024-09-07 09:31:00:731,1,476,25,0,417,5691,476,0 69,0,2024-09-07 09:31:01:761,119073,0.7,119857,0.8,238831,0.7,316940,2.25 69,1,2024-09-07 09:31:01:020,833323,833323,0,0,391633419654,4128717575,821961,8652,2710,384,391994,0 69,2,2024-09-07 09:31:01:734,600128,600099,29,0,32625927,0,6912 69,3,2024-09-07 09:31:00:762,1,476,9,0,698,7214,476,0 70,0,2024-09-07 09:31:01:531,117645,0.9,117970,1.0,237314,0.8,314442,2.50 70,1,2024-09-07 09:31:00:802,837759,837759,0,0,394208390981,4090442877,831166,5892,701,366,391725,0 70,2,2024-09-07 09:31:01:333,604008,604008,0,0,27732140,0,4323 70,3,2024-09-07 09:31:00:748,1,476,1,0,854,5403,476,0 71,0,2024-09-07 09:31:01:379,117245,0.9,117106,1.0,235197,1.1,314225,2.75 71,1,2024-09-07 09:31:01:601,835545,835545,0,0,393307363970,4105869375,825076,9301,1168,368,391738,0 71,2,2024-09-07 09:31:01:066,600308,600308,0,0,27585272,0,4352 71,3,2024-09-07 09:31:01:749,1,476,1,0,644,5846,476,0 72,0,2024-09-07 09:31:01:025,123428,0.6,120715,0.7,235342,0.5,319608,2.00 72,1,2024-09-07 09:31:01:022,834554,834554,0,0,392269357034,4115639193,822492,9976,2086,369,391819,0 72,2,2024-09-07 09:31:01:754,599811,599811,0,0,29439900,0,3983 72,3,2024-09-07 09:31:01:754,1,476,1,0,564,6828,476,0 73,0,2024-09-07 09:31:01:157,116889,0.4,119994,0.6,245002,0.4,318404,2.00 73,1,2024-09-07 09:31:00:773,836688,836688,0,0,392763310541,4079264316,830753,5513,422,367,391858,0 73,2,2024-09-07 09:31:01:738,601868,601868,0,0,29499367,0,4291 73,3,2024-09-07 09:31:00:980,1,476,8,0,486,6090,476,0 74,0,2024-09-07 09:31:01:329,120735,0.5,123517,0.7,235464,0.5,319371,2.25 74,1,2024-09-07 09:31:00:639,835980,835980,0,0,391947466082,4091536702,826897,7317,1766,381,391762,0 74,2,2024-09-07 09:31:01:002,602634,602634,0,0,26225367,0,4253 74,3,2024-09-07 09:31:01:443,1,476,3,0,522,5833,476,0 75,0,2024-09-07 09:31:01:768,118479,0.5,117720,0.7,236223,0.5,315556,2.25 75,1,2024-09-07 09:31:01:587,836580,836580,0,0,392725130112,4095907354,829072,6666,842,380,391739,0 75,2,2024-09-07 09:31:01:351,600227,600227,0,0,29903593,0,4766 75,3,2024-09-07 09:31:01:067,1,476,0,0,702,5999,476,0 76,0,2024-09-07 09:31:00:596,119241,0.6,118571,0.7,237930,0.5,317874,2.25 76,1,2024-09-07 09:31:00:815,835682,835682,0,0,392345031191,4092904554,829895,4958,829,382,391692,0 76,2,2024-09-07 09:31:01:068,603542,603539,3,0,26480077,0,5265 76,3,2024-09-07 09:31:01:142,1,476,1,0,227,4580,476,0 77,0,2024-09-07 09:31:01:712,119652,0.5,120031,0.7,240426,0.5,318789,2.00 77,1,2024-09-07 09:31:00:839,836645,836645,0,0,392869831144,4100274634,830114,5971,560,381,391869,0 77,2,2024-09-07 09:31:01:286,602676,602676,0,0,25780801,0,3890 77,3,2024-09-07 09:31:01:095,1,476,21,0,401,5176,476,0 78,0,2024-09-07 09:31:01:718,119925,0.4,119324,0.6,239515,0.4,317860,2.00 78,1,2024-09-07 09:31:00:610,836815,836815,0,0,392249401789,4090553836,827268,7318,2229,367,391670,0 78,2,2024-09-07 09:31:01:406,603090,603077,13,0,23952322,0,8313 78,3,2024-09-07 09:31:01:133,1,476,0,0,181,4020,476,0 79,0,2024-09-07 09:31:01:349,114376,0.4,117276,0.6,239893,0.4,312203,2.25 79,1,2024-09-07 09:31:00:571,838502,838502,0,0,393525207616,4079869614,831206,5945,1351,367,391682,0 79,2,2024-09-07 09:31:01:077,602914,602914,0,0,23126187,0,4195 79,3,2024-09-07 09:31:00:753,1,476,1,0,418,6018,476,0 80,0,2024-09-07 09:31:01:121,119300,0.5,122715,0.7,234435,0.5,318022,2.00 80,1,2024-09-07 09:31:01:635,835933,835933,0,0,392894559302,4092723848,830146,5364,423,368,392269,0 80,2,2024-09-07 09:31:01:130,603850,603850,0,0,23838093,0,4433 80,3,2024-09-07 09:31:00:588,1,476,1,0,190,5458,476,0 81,0,2024-09-07 09:31:01:568,119358,0.5,122269,0.7,233282,0.5,317211,2.00 81,1,2024-09-07 09:31:01:669,835290,835290,0,0,392264344844,4101097339,828537,6193,560,382,391879,0 81,2,2024-09-07 09:31:01:125,601050,600987,63,0,26798711,0,5932 81,3,2024-09-07 09:31:01:118,1,476,12,0,719,5568,476,0 82,0,2024-09-07 09:31:01:531,119192,0.5,119401,0.7,239060,0.5,317191,2.00 82,1,2024-09-07 09:31:00:583,837285,837281,0,4,392886371060,4087381053,832239,4223,819,381,391768,4 82,2,2024-09-07 09:31:01:698,602626,602626,0,0,22218113,0,4484 82,3,2024-09-07 09:31:01:752,1,476,2,0,363,4805,476,0 83,0,2024-09-07 09:31:01:559,118596,0.6,118454,0.7,236420,0.6,314221,2.25 83,1,2024-09-07 09:31:00:555,835678,835678,0,0,392730527248,4094706916,829755,5472,451,382,391709,0 83,2,2024-09-07 09:31:00:764,603649,603624,25,0,24025892,0,5612 83,3,2024-09-07 09:31:00:748,1,476,51,0,1260,5958,476,0 84,0,2024-09-07 09:31:01:775,118048,0.7,118041,0.8,235867,0.6,315600,2.25 84,1,2024-09-07 09:31:01:041,834985,834985,0,0,392175001440,4098645752,825949,7860,1176,367,391967,0 84,2,2024-09-07 09:31:00:571,600400,600370,30,0,31301596,0,5971 84,3,2024-09-07 09:31:01:142,1,476,5,0,908,6929,476,0 85,0,2024-09-07 09:31:01:006,114655,0.6,114521,0.8,243062,0.6,314505,2.25 85,1,2024-09-07 09:31:00:559,832776,832776,0,0,391715704865,4133572490,820365,10263,2148,381,392006,0 85,2,2024-09-07 09:31:00:865,599887,599887,0,0,29599013,0,4255 85,3,2024-09-07 09:31:00:687,1,476,3,0,789,5542,476,0 86,0,2024-09-07 09:31:00:890,119378,0.7,122836,0.8,235000,0.7,317626,2.25 86,1,2024-09-07 09:31:00:839,835411,835411,0,0,392697417442,4112994904,825308,8382,1721,366,391961,0 86,2,2024-09-07 09:31:00:855,599931,599930,1,0,31569758,0,5004 86,3,2024-09-07 09:31:00:587,1,476,81,0,308,7157,476,0 87,0,2024-09-07 09:31:01:288,119089,0.9,118770,0.9,237548,1.2,317233,2.25 87,1,2024-09-07 09:31:00:560,833669,833669,0,0,391345547162,4101933682,822396,9615,1658,366,392076,0 87,2,2024-09-07 09:31:01:066,603030,603024,6,0,27749290,0,6323 87,3,2024-09-07 09:31:01:799,1,476,1,0,473,7267,476,0 88,0,2024-09-07 09:31:01:436,118125,0.4,118806,0.6,237275,0.4,316234,1.75 88,1,2024-09-07 09:31:00:571,833634,833634,0,0,391237752393,4097567463,822644,8763,2227,365,392084,0 88,2,2024-09-07 09:31:00:692,601239,601239,0,0,30180359,0,4465 88,3,2024-09-07 09:31:01:267,1,476,1,0,435,5873,476,0 89,0,2024-09-07 09:31:01:763,123294,0.4,119449,0.6,236090,0.4,320789,1.75 89,1,2024-09-07 09:31:00:554,833459,833459,0,0,392680951644,4127395603,823385,8599,1475,382,391866,0 89,2,2024-09-07 09:31:01:134,600366,600366,0,0,28344334,0,3173 89,3,2024-09-07 09:31:01:791,1,476,8,0,468,7970,476,0 90,0,2024-09-07 09:31:01:635,116320,0.4,119538,0.6,243683,0.4,317752,2.00 90,1,2024-09-07 09:31:00:598,835308,835308,0,0,392522419483,4107749127,827725,6932,651,380,391825,0 90,2,2024-09-07 09:31:01:406,600702,600702,0,0,30436612,0,3608 90,3,2024-09-07 09:31:00:930,1,476,2,0,322,5694,476,0 91,0,2024-09-07 09:31:00:963,120622,0.5,116807,0.6,244158,0.5,320608,1.75 91,1,2024-09-07 09:31:00:568,833564,833564,0,0,392548459528,4126287485,823166,8754,1644,381,392047,0 91,2,2024-09-07 09:31:01:333,603344,603344,0,0,26802812,0,3526 91,3,2024-09-07 09:31:00:598,1,476,2,0,216,4697,476,0 92,0,2024-09-07 09:31:01:459,120041,0.5,122707,0.6,234112,0.5,317680,1.75 92,1,2024-09-07 09:31:00:590,836011,836011,0,0,392071082457,4096629335,829437,5498,1076,381,392136,0 92,2,2024-09-07 09:31:01:350,602671,602671,0,0,24062167,0,3259 92,3,2024-09-07 09:31:01:009,1,476,1,0,167,4214,476,0 93,0,2024-09-07 09:31:00:971,120859,0.4,123864,0.6,236322,0.4,320311,1.75 93,1,2024-09-07 09:31:00:804,836011,836011,0,0,392624725006,4101263076,826589,7722,1700,366,391776,0 93,2,2024-09-07 09:31:00:937,601503,601503,0,0,29577934,0,4913 93,3,2024-09-07 09:31:01:408,1,476,2,0,190,4205,476,0 94,0,2024-09-07 09:31:01:599,119329,0.3,120042,0.5,239954,0.3,318479,1.75 94,1,2024-09-07 09:31:00:566,835883,835883,0,0,393384776026,4104917539,830111,5401,371,381,391850,0 94,2,2024-09-07 09:31:00:764,602479,602451,28,0,25384437,0,6179 94,3,2024-09-07 09:31:01:698,1,476,3,0,576,6172,476,0 95,0,2024-09-07 09:31:01:356,119304,0.3,118976,0.5,238593,0.3,317938,1.75 95,1,2024-09-07 09:31:00:857,837532,837532,0,0,393457959586,4089876572,830814,6238,480,365,391786,0 95,2,2024-09-07 09:31:01:030,601366,601366,0,0,24063506,0,3308 95,3,2024-09-07 09:31:01:721,1,476,164,0,718,7120,476,0 96,0,2024-09-07 09:31:01:025,119360,0.4,119554,0.5,238916,0.3,317271,1.75 96,1,2024-09-07 09:31:01:592,835688,835688,0,0,392405250549,4094604203,829474,5303,911,384,391955,0 96,2,2024-09-07 09:31:01:268,603691,603691,0,0,24848467,0,4180 96,3,2024-09-07 09:31:01:140,1,476,16,0,411,5223,476,0 97,0,2024-09-07 09:31:01:311,118492,0.3,117960,0.5,236999,0.2,314869,1.50 97,1,2024-09-07 09:31:00:763,837178,837178,0,0,394120842392,4089042261,831675,4567,936,367,392140,0 97,2,2024-09-07 09:31:00:607,602662,602662,0,0,24086322,0,3679 97,3,2024-09-07 09:31:00:570,1,476,9,0,242,5756,476,0 98,0,2024-09-07 09:31:01:708,118683,0.3,119033,0.4,238662,0.2,317177,1.50 98,1,2024-09-07 09:31:00:570,837109,837109,0,0,393004488789,4094408422,831836,4479,794,381,391997,0 98,2,2024-09-07 09:31:00:769,601850,601850,0,0,24203357,0,4336 98,3,2024-09-07 09:31:00:697,1,476,1,0,840,7536,476,0 99,0,2024-09-07 09:31:01:449,119669,0.3,120328,0.5,239258,0.3,319518,1.75 99,1,2024-09-07 09:31:01:723,836854,836854,0,0,392691837009,4089718243,831025,4908,921,380,392069,0 99,2,2024-09-07 09:31:01:419,602482,602482,0,0,28906650,0,4276 99,3,2024-09-07 09:31:00:582,1,476,3,0,606,5006,476,0 100,0,2024-09-07 09:31:01:456,118652,0.8,118938,0.9,237202,0.9,317222,2.25 100,1,2024-09-07 09:31:00:560,832067,832067,0,0,391189319862,4137928351,818768,10319,2980,378,391989,0 100,2,2024-09-07 09:31:01:817,601634,601623,11,0,27894478,0,5417 100,3,2024-09-07 09:31:01:733,1,476,0,0,559,7475,476,0 101,0,2024-09-07 09:31:01:706,120801,1.0,117758,1.0,230779,0.9,316038,2.25 101,1,2024-09-07 09:31:00:559,832864,832864,0,0,391027603408,4111620247,820969,9502,2393,368,391847,0 101,2,2024-09-07 09:31:01:755,597621,597621,0,0,32685833,0,4871 101,3,2024-09-07 09:31:00:943,1,476,20,0,1250,7546,476,0 102,0,2024-09-07 09:31:00:946,116289,0.6,119906,0.7,243369,0.6,318069,2.00 102,1,2024-09-07 09:31:01:142,832941,832941,0,0,391484478208,4113677144,821495,9450,1996,369,391891,0 102,2,2024-09-07 09:31:01:749,601178,601124,54,0,27160058,0,6768 102,3,2024-09-07 09:31:01:615,1,476,1,0,466,5090,476,0 103,0,2024-09-07 09:31:01:606,123872,0.5,123885,0.7,233474,0.5,320886,2.00 103,1,2024-09-07 09:31:01:629,832485,832485,0,0,390665432257,4125523733,819009,10282,3194,381,391862,0 103,2,2024-09-07 09:31:00:596,600784,600784,0,0,29451173,0,3766 103,3,2024-09-07 09:31:00:755,1,476,245,0,916,5673,476,0 104,0,2024-09-07 09:31:01:028,118898,0.7,119222,0.9,237377,0.7,317473,2.25 104,1,2024-09-07 09:31:01:603,834605,834605,0,0,392093198910,4121379116,821923,10136,2546,365,392168,0 104,2,2024-09-07 09:31:01:674,601071,601071,0,0,28408981,0,3941 104,3,2024-09-07 09:31:01:419,1,476,11,0,1245,8884,476,0 105,0,2024-09-07 09:31:01:027,116904,0.8,113797,1.0,238482,0.9,313587,2.50 105,1,2024-09-07 09:31:00:576,835990,835990,0,0,392341421683,4111954028,825143,9194,1653,366,392009,0 105,2,2024-09-07 09:31:01:322,599781,599781,0,0,27950547,0,3509 105,3,2024-09-07 09:31:01:303,1,476,15,0,399,7021,476,0 106,0,2024-09-07 09:31:00:957,115696,0.8,118454,0.9,242843,0.8,316761,2.50 106,1,2024-09-07 09:31:01:765,835084,835084,0,0,391762694916,4112161297,823073,10368,1643,368,391914,0 106,2,2024-09-07 09:31:00:756,600561,600561,0,0,26990233,0,2920 106,3,2024-09-07 09:31:00:678,1,476,43,0,470,6230,476,0 107,0,2024-09-07 09:31:01:135,119885,0.8,120107,0.9,239966,0.9,319975,2.25 107,1,2024-09-07 09:31:00:596,833497,833497,0,0,391526075146,4125815747,822087,10309,1101,381,392234,0 107,2,2024-09-07 09:31:01:291,600935,600934,1,0,29426334,0,5024 107,3,2024-09-07 09:31:01:767,1,476,7,0,370,6820,476,0 108,0,2024-09-07 09:31:01:767,119277,0.4,120133,0.6,238934,0.4,319247,1.75 108,1,2024-09-07 09:31:01:302,835760,835760,0,0,392174032221,4091003590,828670,6255,835,367,391857,0 108,2,2024-09-07 09:31:01:756,600940,600940,0,0,26963733,0,4246 108,3,2024-09-07 09:31:01:332,1,476,143,0,749,9524,476,0 109,0,2024-09-07 09:31:01:755,119173,0.4,118182,0.6,236636,0.4,316365,1.75 109,1,2024-09-07 09:31:00:582,833286,833286,0,0,392548768701,4120023921,825287,6788,1211,382,392132,0 109,2,2024-09-07 09:31:00:928,599946,599946,0,0,26212826,0,3617 109,3,2024-09-07 09:31:01:140,1,476,8,0,630,6095,476,0 110,0,2024-09-07 09:31:01:746,119402,0.4,116221,0.6,243529,0.3,319703,1.75 110,1,2024-09-07 09:31:01:644,837265,837265,0,0,393279348375,4081391341,831360,4685,1220,368,392045,0 110,2,2024-09-07 09:31:01:305,602464,602464,0,0,25292647,0,4067 110,3,2024-09-07 09:31:00:692,1,476,314,0,722,6973,476,0 111,0,2024-09-07 09:31:01:414,119727,0.4,119060,0.5,238496,0.3,318467,1.75 111,1,2024-09-07 09:31:00:999,838444,838444,0,0,394338228883,4089527287,834120,3968,356,380,391690,0 111,2,2024-09-07 09:31:01:114,601394,601394,0,0,25383704,0,4823 111,3,2024-09-07 09:31:00:917,1,476,8,0,379,5625,476,0 112,0,2024-09-07 09:31:00:945,120239,0.3,119574,0.4,239718,0.2,318191,1.50 112,1,2024-09-07 09:31:00:837,837125,837125,0,0,393403280344,4084699647,831750,4700,675,380,391624,0 112,2,2024-09-07 09:31:01:134,601323,601322,1,0,24159943,0,5036 112,3,2024-09-07 09:31:00:602,1,476,1,0,282,4810,476,0 113,0,2024-09-07 09:31:00:878,118700,0.3,118729,0.5,237679,0.2,316146,1.75 113,1,2024-09-07 09:31:01:700,839627,839627,0,0,395200080879,4079331059,834847,3972,808,366,391661,0 113,2,2024-09-07 09:31:01:303,605976,605976,0,0,22129714,0,3813 113,3,2024-09-07 09:31:00:686,1,476,14,0,340,5155,476,0 114,0,2024-09-07 09:31:00:881,119113,0.3,119958,0.5,238417,0.2,318845,1.75 114,1,2024-09-07 09:31:00:719,837735,837735,0,0,393457388840,4087359614,831151,4968,1616,381,391565,0 114,2,2024-09-07 09:31:00:879,603337,603336,1,0,23191554,0,5069 114,3,2024-09-07 09:31:01:279,1,476,0,0,395,3895,476,0 115,0,2024-09-07 09:31:00:567,119495,0.3,119931,0.4,239277,0.2,317699,1.50 115,1,2024-09-07 09:31:00:572,837591,837591,0,0,393516672515,4090328335,830712,5611,1268,382,391757,0 115,2,2024-09-07 09:31:01:125,603061,603061,0,0,23487035,0,4382 115,3,2024-09-07 09:31:01:002,1,476,1,0,159,2638,476,0 116,0,2024-09-07 09:31:01:708,119218,0.7,119108,0.8,238859,0.6,318900,2.00 116,1,2024-09-07 09:31:00:821,833586,833586,0,0,391736755092,4127881151,823736,7448,2402,380,392089,0 116,2,2024-09-07 09:31:01:755,600259,600259,0,0,29954553,0,4475 116,3,2024-09-07 09:31:00:918,1,476,2,0,415,5712,476,0 117,0,2024-09-07 09:31:00:961,119353,0.7,118791,0.8,238070,0.8,317658,2.00 117,1,2024-09-07 09:31:01:578,834293,834293,0,0,391522705456,4097041244,825593,7704,996,369,392033,0 117,2,2024-09-07 09:31:01:119,605618,605618,0,0,26112614,0,4303 117,3,2024-09-07 09:31:01:062,1,476,7,0,490,6802,476,0 118,0,2024-09-07 09:31:01:777,114843,0.5,117962,0.7,240674,0.5,315167,2.00 118,1,2024-09-07 09:31:00:600,833910,833910,0,0,391956690788,4119854581,821478,9412,3020,366,392054,0 118,2,2024-09-07 09:31:01:587,600186,600186,0,0,27991791,0,2842 118,3,2024-09-07 09:31:01:766,1,476,1,0,248,5530,476,0 119,0,2024-09-07 09:31:01:340,119584,0.7,119851,0.8,239712,0.7,318944,2.00 119,1,2024-09-07 09:31:00:577,834442,834442,0,0,392109686099,4107440225,823838,9179,1425,367,391857,0 119,2,2024-09-07 09:31:01:260,601550,601550,0,0,26331790,0,4174 119,3,2024-09-07 09:31:01:332,1,476,1,0,1358,8970,476,0 120,0,2024-09-07 09:31:01:541,119165,0.6,118986,0.8,238826,0.7,318328,2.25 120,1,2024-09-07 09:31:00:863,835012,835012,0,0,391571123576,4105911593,826223,8014,775,368,392144,0 120,2,2024-09-07 09:31:00:769,601531,601530,1,0,30672569,0,5281 120,3,2024-09-07 09:31:01:289,1,476,8,0,279,6086,476,0 121,0,2024-09-07 09:31:01:726,120195,1.3,119722,1.1,239950,1.7,319960,2.25 121,1,2024-09-07 09:31:01:665,835336,835336,0,0,392318056767,4099882244,827709,7074,553,367,391840,0 121,2,2024-09-07 09:31:01:124,601465,601465,0,0,28744810,0,4157 121,3,2024-09-07 09:31:00:733,1,476,9,0,269,5534,476,0 122,0,2024-09-07 09:31:01:759,118170,0.8,115160,0.9,241452,0.9,317485,2.00 122,1,2024-09-07 09:31:00:863,834101,834101,0,0,392382946370,4115292865,822889,9507,1705,366,392130,0 122,2,2024-09-07 09:31:01:320,601962,601889,73,0,31695846,0,5989 122,3,2024-09-07 09:31:00:596,1,476,6,0,512,8339,476,0 123,0,2024-09-07 09:31:01:010,119401,0.9,116313,0.9,243729,1.0,318699,2.25 123,1,2024-09-07 09:31:00:570,834175,834175,0,0,392111426311,4125841905,820190,11539,2446,369,392039,0 123,2,2024-09-07 09:31:01:019,599810,599809,1,0,27062111,0,5215 123,3,2024-09-07 09:31:01:133,1,476,0,0,478,5539,476,0 124,0,2024-09-07 09:31:00:922,123188,0.3,123220,0.5,232223,0.3,319431,1.75 124,1,2024-09-07 09:31:01:022,836880,836880,0,0,392257916191,4079743091,830494,5271,1115,367,392178,0 124,2,2024-09-07 09:31:01:009,603268,603215,53,0,25081713,0,6487 124,3,2024-09-07 09:31:00:758,1,476,21,0,490,4811,476,0 125,0,2024-09-07 09:31:01:434,119102,0.4,118944,0.6,238728,0.4,317810,1.75 125,1,2024-09-07 09:31:00:877,835332,835332,0,0,392864539487,4103349853,828453,5898,981,382,391813,0 125,2,2024-09-07 09:31:01:117,603009,603009,0,0,25264215,0,4534 125,3,2024-09-07 09:31:01:132,1,476,17,0,709,5774,476,0 126,0,2024-09-07 09:31:01:419,119276,0.4,122783,0.6,234863,0.4,318311,1.75 126,1,2024-09-07 09:31:00:571,838240,838240,0,0,394600692550,4085534967,833849,4095,296,365,391987,0 126,2,2024-09-07 09:31:00:622,603923,603923,0,0,25678150,0,4539 126,3,2024-09-07 09:31:00:907,1,476,69,0,268,5542,476,0 127,0,2024-09-07 09:31:01:599,118487,0.3,118881,0.5,237139,0.3,315293,1.75 127,1,2024-09-07 09:31:00:570,836934,836934,0,0,392662821758,4087428674,828241,7232,1461,364,392187,0 127,2,2024-09-07 09:31:00:638,600895,600891,4,0,24428850,0,5305 127,3,2024-09-07 09:31:01:267,1,476,2,0,968,4946,476,0 128,0,2024-09-07 09:31:01:532,119608,0.3,119618,0.4,239052,0.2,317908,1.50 128,1,2024-09-07 09:31:01:607,835774,835774,0,0,393860383972,4091150456,829865,5182,727,367,392031,0 128,2,2024-09-07 09:31:01:383,603397,603397,0,0,23011329,0,3171 128,3,2024-09-07 09:31:00:769,1,476,6,0,1082,7275,476,0 129,0,2024-09-07 09:31:01:007,120640,0.3,120142,0.5,240643,0.3,319908,1.50 129,1,2024-09-07 09:31:00:567,833907,833907,0,0,391412344687,4103625615,825433,6739,1735,379,391962,0 129,2,2024-09-07 09:31:00:686,602491,602487,4,0,25031285,0,5335 129,3,2024-09-07 09:31:00:689,1,476,35,0,506,6971,476,0 130,0,2024-09-07 09:31:01:715,119645,0.5,119150,0.6,239614,0.5,318469,1.75 130,1,2024-09-07 09:31:00:587,837321,837321,0,0,393222219926,4088009198,832652,4283,386,381,391825,0 130,2,2024-09-07 09:31:01:124,604987,604987,0,0,24741356,0,4067 130,3,2024-09-07 09:31:01:291,1,476,0,0,960,7038,476,0 131,0,2024-09-07 09:31:01:934,118440,0.4,118766,0.5,238223,0.3,316631,1.75 131,1,2024-09-07 09:31:01:821,836430,836430,0,0,393257355007,4106456101,829621,5619,1190,381,391865,0 131,2,2024-09-07 09:31:00:568,602920,602920,0,0,22766369,0,3979 131,3,2024-09-07 09:31:01:697,1,476,23,0,392,5409,476,0 132,0,2024-09-07 09:31:01:417,119615,0.4,120755,0.6,240150,0.4,319134,1.75 132,1,2024-09-07 09:31:00:577,833102,833102,0,0,392135278393,4127298344,821060,9856,2186,381,392532,0 132,2,2024-09-07 09:31:00:701,600993,600976,17,0,30071243,0,6451 132,3,2024-09-07 09:31:01:688,1,476,0,0,804,8157,476,0 133,0,2024-09-07 09:31:01:518,116878,0.4,119662,0.6,245376,0.4,319114,1.75 133,1,2024-09-07 09:31:00:594,832871,832871,0,0,391420274860,4125595541,821178,10071,1622,383,391914,0 133,2,2024-09-07 09:31:01:088,602231,602181,50,0,30732311,0,6861 133,3,2024-09-07 09:31:01:299,1,476,11,0,479,4972,476,0 134,0,2024-09-07 09:31:00:946,120168,0.5,119940,0.7,240181,0.5,319724,2.00 134,1,2024-09-07 09:31:00:602,834211,834211,0,0,391758708315,4105874559,823898,8244,2069,366,391718,0 134,2,2024-09-07 09:31:01:755,601793,601769,24,0,28914634,0,6207 134,3,2024-09-07 09:31:00:749,1,476,1,0,739,5762,476,0 135,0,2024-09-07 09:31:01:116,114367,0.7,114347,0.8,242729,0.8,312955,2.25 135,1,2024-09-07 09:31:01:587,834354,834354,0,0,392458521732,4125148647,823942,9034,1378,380,391805,0 135,2,2024-09-07 09:31:00:687,601067,601067,0,0,28017397,0,3981 135,3,2024-09-07 09:31:01:008,1,476,1,0,299,4103,476,0 136,0,2024-09-07 09:31:01:615,120339,0.5,120631,0.7,240168,0.5,319763,2.00 136,1,2024-09-07 09:31:01:444,835510,835510,0,0,391651816321,4104736728,826173,8199,1138,381,391685,0 136,2,2024-09-07 09:31:01:133,602663,602663,0,0,26741987,0,3506 136,3,2024-09-07 09:31:01:106,1,476,4,0,637,5481,476,0 137,0,2024-09-07 09:31:00:952,123920,0.6,120683,0.7,236707,0.5,321147,2.00 137,1,2024-09-07 09:31:00:576,833747,833747,0,0,391258596698,4103855986,820824,10254,2669,366,391898,0 137,2,2024-09-07 09:31:01:706,601692,601692,0,0,30063445,0,3185 137,3,2024-09-07 09:31:00:776,1,476,7,0,484,6131,476,0 138,0,2024-09-07 09:31:01:741,119088,0.9,119117,0.9,239101,1.1,317808,2.25 138,1,2024-09-07 09:31:01:689,834981,834981,0,0,392821014765,4118629951,823640,9521,1820,368,391954,0 138,2,2024-09-07 09:31:00:604,600781,600781,0,0,27805065,0,4988 138,3,2024-09-07 09:31:00:624,1,476,141,0,1200,7777,476,0 139,0,2024-09-07 09:31:01:373,117591,1.4,117813,1.1,235824,2.0,315032,2.50 139,1,2024-09-07 09:31:00:585,830442,830442,0,0,389226079007,4133307430,814875,12119,3448,380,392058,0 139,2,2024-09-07 09:31:00:692,597956,597956,0,0,30773437,0,3097 139,3,2024-09-07 09:31:01:666,1,476,2,0,432,5587,476,0 140,0,2024-09-07 09:31:01:592,120188,0.3,119367,0.5,239583,0.2,319823,1.75 140,1,2024-09-07 09:31:01:537,839392,839392,0,0,394789380367,4070352178,835344,3587,461,365,391606,0 140,2,2024-09-07 09:31:00:686,603418,603417,1,0,23656351,0,5036 140,3,2024-09-07 09:31:00:769,1,476,159,0,297,4602,476,0 141,0,2024-09-07 09:31:01:711,119689,0.3,122756,0.5,234442,0.2,318167,1.50 141,1,2024-09-07 09:31:00:864,837823,837823,0,0,393362242381,4088722523,830966,5772,1085,379,391614,0 141,2,2024-09-07 09:31:01:698,602363,602352,11,0,24995866,0,5369 141,3,2024-09-07 09:31:01:043,1,476,8,0,391,5292,476,0 142,0,2024-09-07 09:31:01:314,120176,0.3,119463,0.5,239200,0.3,318825,1.75 142,1,2024-09-07 09:31:00:598,836055,836055,0,0,392290767070,4089930216,830627,4975,453,382,392102,0 142,2,2024-09-07 09:31:01:299,600794,600762,32,0,25771263,0,6028 142,3,2024-09-07 09:31:01:754,1,476,6,0,484,5193,476,0 143,0,2024-09-07 09:31:01:373,118557,0.4,118783,0.6,237886,0.4,316188,1.75 143,1,2024-09-07 09:31:00:556,837820,837820,0,0,393660738163,4086038820,832089,5180,551,367,391705,0 143,2,2024-09-07 09:31:00:775,604682,604682,0,0,24834711,0,3123 143,3,2024-09-07 09:31:01:176,1,476,4,0,462,6157,476,0 144,0,2024-09-07 09:31:01:536,115244,0.6,118456,0.8,241264,0.5,316309,2.00 144,1,2024-09-07 09:31:00:573,833811,833811,0,0,391206594148,4107514289,825013,6896,1902,381,391733,0 144,2,2024-09-07 09:31:01:754,602742,602742,0,0,23457757,0,3673 144,3,2024-09-07 09:31:01:739,1,476,68,0,249,4841,476,0 145,0,2024-09-07 09:31:01:359,115229,0.6,115263,0.8,244372,0.5,314640,2.25 145,1,2024-09-07 09:31:00:559,833211,833211,0,0,391103058848,4114008065,822413,8930,1868,382,391759,0 145,2,2024-09-07 09:31:01:429,598875,598793,82,0,29331425,0,7814 145,3,2024-09-07 09:31:00:907,1,476,7,0,622,6577,476,0 146,0,2024-09-07 09:31:01:606,119471,0.6,119035,0.7,239264,0.6,317261,2.25 146,1,2024-09-07 09:31:01:587,834578,834578,0,0,392117472464,4122832590,821229,9820,3529,367,391770,0 146,2,2024-09-07 09:31:01:697,600142,600142,0,0,27463291,0,3290 146,3,2024-09-07 09:31:01:274,1,476,1,0,1520,8261,476,0 147,0,2024-09-07 09:31:01:712,119223,0.6,119041,0.8,237371,0.6,317110,2.25 147,1,2024-09-07 09:31:01:400,837496,837496,0,0,393504156489,4091365283,830514,6133,849,368,391791,0 147,2,2024-09-07 09:31:01:025,603235,603235,0,0,25007016,0,2968 147,3,2024-09-07 09:31:00:921,1,476,2,0,1626,8089,476,0 0,0,2024-09-07 09:31:11:719,116549,0.6,116568,0.7,247262,0.6,319349,2.00 0,1,2024-09-07 09:31:10:823,836004,836004,0,0,393416893898,4123017320,828542,6627,835,369,391896,0 0,2,2024-09-07 09:31:11:067,604501,604501,0,0,24968473,0,4480 0,3,2024-09-07 09:31:10:976,1,477,0,0,431,6873,477,0 1,0,2024-09-07 09:31:11:808,120088,1.0,119565,1.0,239661,1.4,320171,2.00 1,1,2024-09-07 09:31:10:581,836066,836066,0,0,392152255042,4108492556,828287,6372,1407,370,391859,0 1,2,2024-09-07 09:31:10:663,603681,603681,0,0,24432349,0,3380 1,3,2024-09-07 09:31:11:310,1,477,35,0,269,6213,477,0 2,0,2024-09-07 09:31:11:578,118878,0.6,118873,0.7,237565,0.6,316764,2.00 2,1,2024-09-07 09:31:10:866,838700,838700,0,0,394486748171,4098758363,834322,3576,802,380,391745,0 2,2,2024-09-07 09:31:11:273,603800,603800,0,0,22802656,0,3594 2,3,2024-09-07 09:31:10:690,1,477,1,0,357,4352,477,0 3,0,2024-09-07 09:31:11:764,119980,0.4,119767,0.6,239385,0.4,318860,2.00 3,1,2024-09-07 09:31:11:631,837362,837362,0,0,393506341703,4098835287,830394,6273,695,379,391716,0 3,2,2024-09-07 09:31:11:150,603507,603484,23,0,24206408,0,5851 3,3,2024-09-07 09:31:11:753,1,477,3,0,207,3012,477,0 4,0,2024-09-07 09:31:11:912,116334,0.4,119556,0.5,243736,0.3,318869,1.75 4,1,2024-09-07 09:31:10:613,833967,833967,0,0,392186834509,4153070590,819054,11439,3474,370,391992,0 4,2,2024-09-07 09:31:11:027,601485,601485,0,0,29218126,0,4534 4,3,2024-09-07 09:31:11:026,1,477,10,0,448,6340,477,0 5,0,2024-09-07 09:31:11:435,119164,0.4,119788,0.6,239069,0.4,318061,1.75 5,1,2024-09-07 09:31:10:781,835482,835482,0,0,393194716214,4139778174,823514,9587,2381,367,392005,0 5,2,2024-09-07 09:31:11:872,600360,600360,0,0,28299875,0,3582 5,3,2024-09-07 09:31:11:761,1,477,11,0,457,6780,477,0 6,0,2024-09-07 09:31:10:929,119730,0.5,119197,0.7,238479,0.4,318261,2.00 6,1,2024-09-07 09:31:10:746,837819,837819,0,0,392721000741,4102591839,829051,7341,1427,379,391702,0 6,2,2024-09-07 09:31:11:119,603547,603529,18,0,27100599,0,5535 6,3,2024-09-07 09:31:11:280,1,477,4,0,710,5988,477,0 7,0,2024-09-07 09:31:11:551,118062,0.5,118969,0.6,236758,0.4,315351,2.00 7,1,2024-09-07 09:31:10:864,835462,835462,0,0,392285042054,4121411813,823310,10054,2098,382,391747,0 7,2,2024-09-07 09:31:10:776,603652,603652,0,0,26642802,0,4791 7,3,2024-09-07 09:31:10:870,1,477,1,0,552,5590,477,0 8,0,2024-09-07 09:31:11:333,119598,0.3,119260,0.5,239273,0.3,318676,1.75 8,1,2024-09-07 09:31:11:036,835028,835028,0,0,391971059555,4120898376,822181,10052,2795,366,392853,0 8,2,2024-09-07 09:31:10:870,598632,598632,0,0,31329124,0,3250 8,3,2024-09-07 09:31:10:604,1,477,1,0,538,7520,477,0 9,0,2024-09-07 09:31:11:101,119851,0.4,116649,0.5,244160,0.3,319833,1.75 9,1,2024-09-07 09:31:10:598,834161,834161,0,0,392068340847,4129238531,821820,9873,2468,369,392001,0 9,2,2024-09-07 09:31:11:091,601485,601485,0,0,28632527,0,4615 9,3,2024-09-07 09:31:11:793,1,477,14,0,496,6748,477,0 10,0,2024-09-07 09:31:11:608,119127,0.3,118682,0.5,238279,0.3,317267,1.75 10,1,2024-09-07 09:31:10:628,837048,837048,0,0,392480549850,4112872896,825644,9464,1940,381,391741,0 10,2,2024-09-07 09:31:10:769,604727,604727,0,0,31133762,0,4264 10,3,2024-09-07 09:31:10:879,1,477,1,0,649,5064,477,0 11,0,2024-09-07 09:31:11:012,118740,0.4,115131,0.6,240820,0.4,317640,1.75 11,1,2024-09-07 09:31:10:600,836964,836964,0,0,393345972534,4126953126,824186,9658,3120,383,391756,0 11,2,2024-09-07 09:31:11:129,601925,601925,0,0,27155627,0,4130 11,3,2024-09-07 09:31:11:299,1,477,10,0,843,6594,477,0 12,0,2024-09-07 09:31:11:007,120535,0.4,120498,0.5,240945,0.3,319843,1.75 12,1,2024-09-07 09:31:10:955,836771,836771,0,0,392839403172,4098878785,829982,6132,657,370,391960,0 12,2,2024-09-07 09:31:11:554,601850,601850,0,0,27302876,0,4390 12,3,2024-09-07 09:31:11:069,1,477,12,0,386,6700,477,0 13,0,2024-09-07 09:31:11:374,121038,0.4,120570,0.6,240658,0.4,320651,1.75 13,1,2024-09-07 09:31:11:532,835063,835063,0,0,392749746338,4130959839,825984,6928,2151,382,391740,0 13,2,2024-09-07 09:31:10:604,604871,604871,0,0,24697856,0,3287 13,3,2024-09-07 09:31:11:762,1,477,19,0,522,6921,477,0 14,0,2024-09-07 09:31:10:612,119828,0.4,120812,0.6,239287,0.4,318788,1.75 14,1,2024-09-07 09:31:11:569,840860,840860,0,0,394897587685,4088937200,834323,5823,714,364,391673,0 14,2,2024-09-07 09:31:10:764,604481,604451,30,0,26086472,0,6104 14,3,2024-09-07 09:31:11:117,1,477,5,0,1168,5136,477,0 15,0,2024-09-07 09:31:11:560,118212,0.4,117967,0.6,236669,0.4,315343,2.00 15,1,2024-09-07 09:31:11:617,837832,837832,0,0,393458052298,4098843479,830815,5562,1455,381,391619,0 15,2,2024-09-07 09:31:11:001,604278,604278,0,0,21776830,0,3622 15,3,2024-09-07 09:31:11:407,1,477,9,0,1126,7223,477,0 16,0,2024-09-07 09:31:11:008,119886,0.5,120697,0.7,240286,0.5,319442,2.00 16,1,2024-09-07 09:31:10:619,837299,837299,0,0,393316445834,4114243510,829481,6570,1248,370,391917,0 16,2,2024-09-07 09:31:11:436,602331,602331,0,0,25997690,0,4719 16,3,2024-09-07 09:31:11:147,1,477,14,0,358,6143,477,0 17,0,2024-09-07 09:31:11:779,123900,0.6,120881,0.7,236751,0.6,321072,2.00 17,1,2024-09-07 09:31:10:613,835915,835915,0,0,392219339695,4117779291,827290,6908,1717,368,392075,0 17,2,2024-09-07 09:31:11:667,606286,606285,1,0,26812496,0,5050 17,3,2024-09-07 09:31:10:600,1,477,8,0,518,7416,477,0 18,0,2024-09-07 09:31:10:947,118744,0.7,119286,0.8,237986,0.7,317716,2.25 18,1,2024-09-07 09:31:11:646,839483,839483,0,0,394491996493,4092999944,833983,4643,857,367,391725,0 18,2,2024-09-07 09:31:11:767,605573,605573,0,0,23617048,0,3541 18,3,2024-09-07 09:31:10:901,1,477,0,0,1059,4728,477,0 19,0,2024-09-07 09:31:11:550,118504,0.6,118793,0.8,236201,0.6,314741,2.25 19,1,2024-09-07 09:31:10:592,839657,839657,0,0,394529679982,4093059019,832943,5632,1082,367,391777,0 19,2,2024-09-07 09:31:11:759,605530,605530,0,0,21793567,0,3988 19,3,2024-09-07 09:31:11:131,1,477,1,0,524,4004,477,0 20,0,2024-09-07 09:31:11:367,119741,0.5,119912,0.7,239291,0.5,319526,2.00 20,1,2024-09-07 09:31:10:595,836428,836428,0,0,393646052830,4121512094,828228,6901,1299,369,391922,0 20,2,2024-09-07 09:31:10:939,602694,602694,0,0,26215197,0,3721 20,3,2024-09-07 09:31:10:615,1,477,79,0,468,7876,477,0 21,0,2024-09-07 09:31:11:131,119581,0.4,119686,0.6,239260,0.4,317772,1.75 21,1,2024-09-07 09:31:11:544,834285,834285,0,0,392012054007,4138462626,820918,10289,3078,368,392016,0 21,2,2024-09-07 09:31:11:076,600418,600398,20,0,31565420,0,5617 21,3,2024-09-07 09:31:11:412,1,477,30,0,713,6296,477,0 22,0,2024-09-07 09:31:11:728,119504,0.5,119961,0.7,239524,0.4,317528,2.00 22,1,2024-09-07 09:31:11:042,835750,835750,0,0,392454004675,4130454887,821970,10819,2961,382,391675,0 22,2,2024-09-07 09:31:10:764,601491,601465,26,0,26053950,0,6328 22,3,2024-09-07 09:31:11:067,1,477,1,0,228,3944,477,0 23,0,2024-09-07 09:31:11:376,118616,0.5,118066,0.7,236364,0.5,315485,2.25 23,1,2024-09-07 09:31:11:005,836901,836901,0,0,393609899179,4131699906,822379,10265,4257,365,391690,0 23,2,2024-09-07 09:31:11:094,606071,606071,0,0,24600002,0,3773 23,3,2024-09-07 09:31:11:757,1,477,0,0,720,5951,477,0 24,0,2024-09-07 09:31:10:899,120012,0.4,119194,0.5,239648,0.4,318305,1.75 24,1,2024-09-07 09:31:10:605,835935,835935,0,0,392102875114,4107161569,827422,6851,1662,367,392269,0 24,2,2024-09-07 09:31:11:079,602889,602889,0,0,29209287,0,3607 24,3,2024-09-07 09:31:11:697,1,477,0,0,468,6338,477,0 25,0,2024-09-07 09:31:11:452,122567,0.4,119442,0.6,234392,0.4,319120,1.75 25,1,2024-09-07 09:31:10:614,834954,834954,0,0,392690383180,4140657827,821270,10977,2707,371,391928,0 25,2,2024-09-07 09:31:11:623,600910,600910,0,0,30700707,0,3978 25,3,2024-09-07 09:31:11:010,1,477,5,0,532,5330,477,0 26,0,2024-09-07 09:31:11:784,119348,0.4,116820,0.6,244911,0.4,318543,1.75 26,1,2024-09-07 09:31:11:553,837819,837819,0,0,392237163318,4110629787,825501,9905,2413,380,391748,0 26,2,2024-09-07 09:31:10:866,602382,602382,0,0,32007823,0,4689 26,3,2024-09-07 09:31:11:716,1,477,56,0,796,5574,477,0 27,0,2024-09-07 09:31:11:728,119458,0.4,119738,0.6,238128,0.4,317850,2.00 27,1,2024-09-07 09:31:11:686,838866,838866,0,0,394202015170,4107164227,830610,6994,1262,381,391626,0 27,2,2024-09-07 09:31:10:867,602594,602529,65,0,27882064,0,5699 27,3,2024-09-07 09:31:11:015,1,477,1,0,564,4288,477,0 28,0,2024-09-07 09:31:11:404,119242,0.4,118615,0.6,238242,0.3,317704,1.75 28,1,2024-09-07 09:31:10:798,838318,838318,0,0,394251720992,4113445748,830824,5794,1700,382,391698,0 28,2,2024-09-07 09:31:11:769,602932,602932,0,0,24674116,0,2915 28,3,2024-09-07 09:31:11:776,1,477,1,0,502,4880,477,0 29,0,2024-09-07 09:31:11:373,123280,0.4,120151,0.6,235526,0.3,321042,1.75 29,1,2024-09-07 09:31:11:568,841073,841073,0,0,395390711708,4091347193,835787,4527,759,368,391809,0 29,2,2024-09-07 09:31:10:868,602108,602108,0,0,24099876,0,4986 29,3,2024-09-07 09:31:10:965,1,477,9,0,459,5462,477,0 30,0,2024-09-07 09:31:11:467,119731,0.5,116293,0.7,243320,0.5,318188,2.00 30,1,2024-09-07 09:31:10:603,839879,839879,0,0,395200293486,4103880804,833238,5792,849,380,391672,0 30,2,2024-09-07 09:31:11:273,604302,604302,0,0,23609385,0,4192 30,3,2024-09-07 09:31:10:597,1,477,1,0,519,4884,477,0 31,0,2024-09-07 09:31:11:761,119836,0.5,120271,0.7,240156,0.4,320218,2.00 31,1,2024-09-07 09:31:10:603,842683,842683,0,0,395956567936,4062941872,838350,3532,801,356,391712,0 31,2,2024-09-07 09:31:11:282,602761,602761,0,0,26016323,0,4470 31,3,2024-09-07 09:31:11:710,1,477,1,0,220,4085,477,0 32,0,2024-09-07 09:31:11:434,119257,0.3,119909,0.5,238716,0.3,318506,1.75 32,1,2024-09-07 09:31:10:811,838617,838617,0,0,394112501207,4099465278,833096,4814,707,381,391646,0 32,2,2024-09-07 09:31:10:939,604044,604044,0,0,22587533,0,3922 32,3,2024-09-07 09:31:11:014,1,477,193,0,304,3941,477,0 33,0,2024-09-07 09:31:11:492,120532,0.3,120153,0.4,240410,0.2,319978,1.50 33,1,2024-09-07 09:31:10:593,839847,839847,0,0,395026853549,4095793505,832678,5997,1172,368,391730,0 33,2,2024-09-07 09:31:10:762,603664,603629,35,0,25241117,0,7012 33,3,2024-09-07 09:31:10:898,1,477,0,0,329,4581,477,0 34,0,2024-09-07 09:31:10:936,120208,0.3,123524,0.4,236150,0.2,318116,1.75 34,1,2024-09-07 09:31:11:047,841783,841783,0,0,396145441485,4073890843,839560,2201,22,367,391562,0 34,2,2024-09-07 09:31:10:769,605647,605647,0,0,23614463,0,4562 34,3,2024-09-07 09:31:11:696,1,477,1,0,320,3885,477,0 35,0,2024-09-07 09:31:10:872,118695,0.3,119298,0.5,239629,0.3,318956,1.75 35,1,2024-09-07 09:31:11:066,838894,838894,0,0,394569425713,4092598412,833300,4538,1056,382,391769,0 35,2,2024-09-07 09:31:11:586,602786,602786,0,0,25738199,0,4055 35,3,2024-09-07 09:31:10:912,1,477,75,0,418,4746,477,0 36,0,2024-09-07 09:31:11:553,119875,0.5,119766,0.7,239499,0.4,318891,2.00 36,1,2024-09-07 09:31:10:606,838363,838363,0,0,392898890984,4103661370,827583,8664,2116,366,391759,0 36,2,2024-09-07 09:31:11:764,604334,604334,0,0,26263773,0,3875 36,3,2024-09-07 09:31:10:868,1,477,1,0,416,6846,477,0 37,0,2024-09-07 09:31:11:439,118353,0.5,118371,0.6,236837,0.4,315851,2.00 37,1,2024-09-07 09:31:10:602,837195,837188,0,7,393151563638,4107217993,826806,7827,2555,365,391770,0 37,2,2024-09-07 09:31:11:144,601622,601607,15,0,27024291,0,5815 37,3,2024-09-07 09:31:11:772,1,477,22,0,888,6967,477,0 38,0,2024-09-07 09:31:11:443,118719,0.4,115308,0.6,241065,0.4,316009,2.00 38,1,2024-09-07 09:31:11:605,837877,837877,0,0,394667864721,4128376829,825118,10248,2511,368,391821,0 38,2,2024-09-07 09:31:10:764,602284,602237,47,0,27325525,0,6710 38,3,2024-09-07 09:31:10:997,1,477,2,0,689,6400,477,0 39,0,2024-09-07 09:31:11:760,122767,0.6,120039,0.7,234035,0.5,319090,2.00 39,1,2024-09-07 09:31:10:716,836659,836659,0,0,392414556901,4120221024,821628,11658,3373,365,391658,0 39,2,2024-09-07 09:31:11:426,602984,602984,0,0,25488435,0,3391 39,3,2024-09-07 09:31:10:719,1,477,1,0,324,5188,477,0 40,0,2024-09-07 09:31:11:493,117979,0.8,118684,0.9,236647,0.8,315696,2.75 40,1,2024-09-07 09:31:10:593,838183,838183,0,0,392353935736,4106766565,826507,9507,2169,368,391668,0 40,2,2024-09-07 09:31:11:310,603772,603771,1,0,29745791,0,5137 40,3,2024-09-07 09:31:11:142,1,477,3,0,1028,6255,477,0 41,0,2024-09-07 09:31:11:030,118217,1.2,120920,1.1,230643,1.6,314303,3.00 41,1,2024-09-07 09:31:10:775,836643,836643,0,0,393327545013,4116939492,825812,9123,1708,369,391742,0 41,2,2024-09-07 09:31:10:762,600781,600781,0,0,28351536,0,4277 41,3,2024-09-07 09:31:11:683,1,477,21,0,366,4981,477,0 42,0,2024-09-07 09:31:11:487,118968,0.8,118704,1.0,237797,0.9,314744,2.75 42,1,2024-09-07 09:31:11:445,834367,834367,0,0,392089141518,4125018307,820157,11365,2845,380,391675,0 42,2,2024-09-07 09:31:11:133,601476,601476,0,0,28100080,0,3790 42,3,2024-09-07 09:31:11:009,1,477,11,0,892,4490,477,0 43,0,2024-09-07 09:31:10:917,118966,0.7,115908,0.9,242432,0.7,317737,2.25 43,1,2024-09-07 09:31:10:594,837815,837815,0,0,393357192420,4111405622,825881,9966,1968,366,391696,0 43,2,2024-09-07 09:31:11:756,603618,603618,0,0,27678701,0,3812 43,3,2024-09-07 09:31:11:755,1,477,24,0,571,6822,477,0 44,0,2024-09-07 09:31:10:898,120017,0.4,120024,0.6,240597,0.4,319330,2.00 44,1,2024-09-07 09:31:10:580,839664,839664,0,0,394993417183,4084072503,832171,5944,1549,356,391809,0 44,2,2024-09-07 09:31:11:273,602725,602725,0,0,23434349,0,4344 44,3,2024-09-07 09:31:11:095,1,477,1,0,817,5410,477,0 45,0,2024-09-07 09:31:11:772,117010,0.5,114233,0.7,239825,0.4,315259,2.00 45,1,2024-09-07 09:31:11:014,838730,838730,0,0,394699231474,4104793686,831554,6310,866,382,391917,0 45,2,2024-09-07 09:31:11:273,603680,603680,0,0,23376778,0,3596 45,3,2024-09-07 09:31:10:937,1,477,1,0,271,4254,477,0 46,0,2024-09-07 09:31:10:951,119511,0.5,118958,0.7,238778,0.5,316725,2.00 46,1,2024-09-07 09:31:10:592,840942,840942,0,0,394537941515,4077121652,835352,4860,730,366,391709,0 46,2,2024-09-07 09:31:10:598,603198,603198,0,0,23687881,0,4443 46,3,2024-09-07 09:31:11:131,1,477,1,0,908,5720,477,0 47,0,2024-09-07 09:31:11:145,120402,0.4,120717,0.6,241723,0.4,320047,2.00 47,1,2024-09-07 09:31:10:591,841025,841025,0,0,394149481936,4073823260,835927,4259,839,366,391641,0 47,2,2024-09-07 09:31:10:910,607060,607060,0,0,23904332,0,4477 47,3,2024-09-07 09:31:11:115,1,477,0,0,600,5625,477,0 48,0,2024-09-07 09:31:11:531,120423,0.3,120462,0.4,239700,0.2,319746,1.50 48,1,2024-09-07 09:31:11:032,839174,839174,0,0,394335110930,4096933078,833930,4720,524,384,391710,0 48,2,2024-09-07 09:31:10:699,603591,603591,0,0,22283217,0,3411 48,3,2024-09-07 09:31:10:756,1,477,0,0,339,3772,477,0 49,0,2024-09-07 09:31:11:735,121908,0.4,119771,0.5,232739,0.3,318511,1.75 49,1,2024-09-07 09:31:11:033,838513,838513,0,0,394232597069,4100892086,832995,4308,1210,382,391809,0 49,2,2024-09-07 09:31:11:806,603999,603999,0,0,23909745,0,4426 49,3,2024-09-07 09:31:11:418,1,477,8,0,992,5531,477,0 50,0,2024-09-07 09:31:11:515,120567,0.3,118870,0.5,239526,0.2,319425,1.75 50,1,2024-09-07 09:31:11:009,841207,841207,0,0,395350137863,4089662235,835524,5020,663,368,391565,0 50,2,2024-09-07 09:31:11:066,603537,603537,0,0,22232902,0,4490 50,3,2024-09-07 09:31:11:293,1,477,2,0,617,5251,477,0 51,0,2024-09-07 09:31:11:691,122645,0.3,120152,0.5,234095,0.2,319425,1.75 51,1,2024-09-07 09:31:11:698,842085,842085,0,0,395908540808,4084307509,837567,3466,1052,365,391706,0 51,2,2024-09-07 09:31:11:318,604185,604185,0,0,21342659,0,3337 51,3,2024-09-07 09:31:11:034,1,477,5,0,678,3707,477,0 52,0,2024-09-07 09:31:11:417,119993,0.5,119757,0.7,239782,0.4,318476,2.00 52,1,2024-09-07 09:31:10:587,837452,837452,0,0,393023143850,4114528372,825451,10164,1837,368,391722,0 52,2,2024-09-07 09:31:11:758,599703,599665,38,0,28310730,0,6742 52,3,2024-09-07 09:31:10:675,1,477,2,0,1782,6155,477,0 53,0,2024-09-07 09:31:11:767,118194,0.6,114698,0.8,240000,0.7,315335,2.25 53,1,2024-09-07 09:31:10:778,835698,835698,0,0,393441679877,4128914261,821816,10290,3592,367,391968,0 53,2,2024-09-07 09:31:11:300,604927,604926,1,0,25264069,0,5455 53,3,2024-09-07 09:31:10:699,1,477,1,0,308,4726,477,0 54,0,2024-09-07 09:31:11:621,117716,0.5,117987,0.7,235077,0.4,314319,2.25 54,1,2024-09-07 09:31:10:598,837822,837822,0,0,394548207107,4102344799,829076,7345,1401,366,391659,0 54,2,2024-09-07 09:31:10:868,603639,603607,32,0,28656160,0,6397 54,3,2024-09-07 09:31:10:772,1,477,2,0,676,6616,477,0 55,0,2024-09-07 09:31:11:767,115334,0.6,118861,0.7,240941,0.5,313929,2.25 55,1,2024-09-07 09:31:10:765,839138,839138,0,0,394226113775,4098238498,830397,7522,1219,365,391731,0 55,2,2024-09-07 09:31:10:730,602094,602038,56,0,27735080,0,7239 55,3,2024-09-07 09:31:10:674,1,477,9,0,304,4647,477,0 56,0,2024-09-07 09:31:11:586,122272,1.2,115293,1.1,237558,1.6,317809,2.75 56,1,2024-09-07 09:31:10:639,834771,834771,0,0,392672102065,4143298013,822521,9697,2553,381,391867,0 56,2,2024-09-07 09:31:11:307,602110,601988,122,0,28910384,0,7432 56,3,2024-09-07 09:31:11:070,1,477,4,0,705,5707,477,0 57,0,2024-09-07 09:31:11:004,117590,1.5,117452,1.3,235412,2.1,314874,3.00 57,1,2024-09-07 09:31:10:996,836170,836170,0,0,392984726767,4122080626,825381,8724,2065,366,392032,0 57,2,2024-09-07 09:31:11:342,604628,604628,0,0,29483465,0,4317 57,3,2024-09-07 09:31:11:766,1,477,23,0,455,5484,477,0 58,0,2024-09-07 09:31:10:597,117159,0.9,113816,1.0,237976,1.0,312567,2.50 58,1,2024-09-07 09:31:10:599,837248,837245,0,3,393538440071,4122185771,824953,9524,2768,367,391603,3 58,2,2024-09-07 09:31:11:071,602217,602217,0,0,27520454,0,3483 58,3,2024-09-07 09:31:11:068,1,477,3,0,1043,5043,477,0 59,0,2024-09-07 09:31:11:764,119523,0.8,119096,1.0,237771,0.9,315311,2.75 59,1,2024-09-07 09:31:10:810,836951,836951,0,0,393566760859,4126709669,824641,10073,2237,369,391653,0 59,2,2024-09-07 09:31:10:605,601910,601910,0,0,27043270,0,3727 59,3,2024-09-07 09:31:11:757,1,477,1,0,1015,6299,477,0 60,0,2024-09-07 09:31:11:756,119856,0.5,120015,0.7,240338,0.5,319120,1.75 60,1,2024-09-07 09:31:10:782,839917,839917,0,0,394935362271,4100178028,834000,4988,929,370,392031,0 60,2,2024-09-07 09:31:11:148,603934,603934,0,0,25785821,0,3811 60,3,2024-09-07 09:31:11:259,1,477,11,0,409,5958,477,0 61,0,2024-09-07 09:31:11:626,119960,0.7,120606,0.8,240126,0.7,319941,2.00 61,1,2024-09-07 09:31:10:788,837248,837248,0,0,393184148561,4120973319,827565,8106,1577,382,392127,0 61,2,2024-09-07 09:31:11:152,603898,603831,67,0,26407000,0,6411 61,3,2024-09-07 09:31:11:707,1,477,8,0,479,6508,477,0 62,0,2024-09-07 09:31:11:716,119620,0.6,122618,0.7,234122,0.6,318333,2.00 62,1,2024-09-07 09:31:11:110,842180,842174,0,6,395379237509,4075704151,838247,3664,263,365,391975,6 62,2,2024-09-07 09:31:11:654,601106,601105,1,0,25624176,0,5555 62,3,2024-09-07 09:31:11:143,1,477,1,0,482,4023,477,0 63,0,2024-09-07 09:31:11:495,120540,0.4,120263,0.6,241245,0.4,320388,1.75 63,1,2024-09-07 09:31:10:809,839493,839487,0,6,394592605612,4096509052,834137,4616,734,381,391800,6 63,2,2024-09-07 09:31:10:765,602897,602897,0,0,24473350,0,4369 63,3,2024-09-07 09:31:11:757,1,477,6,0,667,5106,477,0 64,0,2024-09-07 09:31:11:581,119507,0.5,119585,0.7,238645,0.5,317353,2.00 64,1,2024-09-07 09:31:10:758,839059,839059,0,0,394138188873,4105686321,831798,5547,1714,370,391783,0 64,2,2024-09-07 09:31:11:156,608093,608074,19,0,23789934,0,6121 64,3,2024-09-07 09:31:11:149,1,477,175,0,651,5285,477,0 65,0,2024-09-07 09:31:11:787,118444,0.7,118750,0.8,237145,0.7,316176,2.00 65,1,2024-09-07 09:31:10:866,836986,836986,0,0,392787777504,4106279166,830981,5308,697,381,391901,0 65,2,2024-09-07 09:31:11:744,603115,603115,0,0,27972452,0,3367 65,3,2024-09-07 09:31:11:697,1,477,8,0,782,5829,477,0 66,0,2024-09-07 09:31:11:780,119011,0.6,118767,0.8,237535,0.5,316207,2.25 66,1,2024-09-07 09:31:11:295,838896,838896,0,0,393623734365,4100269832,832770,5405,721,380,391743,0 66,2,2024-09-07 09:31:11:134,605357,605354,3,0,25520844,0,5455 66,3,2024-09-07 09:31:11:079,1,477,3,0,291,4249,477,0 67,0,2024-09-07 09:31:11:414,118747,0.5,118440,0.7,237768,0.4,315911,2.00 67,1,2024-09-07 09:31:10:766,838685,838684,0,1,393850063566,4102351510,832700,5176,808,380,391787,1 67,2,2024-09-07 09:31:10:598,605446,605431,15,0,24411754,0,6205 67,3,2024-09-07 09:31:11:756,1,477,5,0,392,4653,477,0 68,0,2024-09-07 09:31:10:578,119583,0.5,119460,0.7,237989,0.5,318325,2.00 68,1,2024-09-07 09:31:10:596,835026,835026,0,0,391636807498,4119267418,823643,8045,3338,381,391953,0 68,2,2024-09-07 09:31:11:059,599695,599595,100,0,31521231,0,8578 68,3,2024-09-07 09:31:10:730,1,477,206,0,417,5897,477,0 69,0,2024-09-07 09:31:11:754,119227,0.7,120013,0.8,239186,0.7,317355,2.25 69,1,2024-09-07 09:31:11:018,835020,835020,0,0,392253143203,4135512711,823644,8666,2710,384,391994,0 69,2,2024-09-07 09:31:11:748,601483,601454,29,0,32703333,0,6912 69,3,2024-09-07 09:31:10:765,1,477,1,0,698,7215,477,0 70,0,2024-09-07 09:31:11:537,117937,0.9,118249,1.0,237926,0.8,315071,2.50 70,1,2024-09-07 09:31:10:808,839504,839504,0,0,395151831601,4100350050,832909,5894,701,366,391725,0 70,2,2024-09-07 09:31:11:341,604806,604806,0,0,27769317,0,4323 70,3,2024-09-07 09:31:10:750,1,477,1,0,854,5404,477,0 71,0,2024-09-07 09:31:11:371,117655,0.9,117526,1.0,236055,1.1,315285,2.75 71,1,2024-09-07 09:31:11:598,837511,837511,0,0,394252925098,4115723992,827022,9321,1168,368,391738,0 71,2,2024-09-07 09:31:11:073,601837,601837,0,0,27930662,0,4352 71,3,2024-09-07 09:31:11:753,1,477,3,0,644,5849,477,0 72,0,2024-09-07 09:31:11:075,123569,0.6,120870,0.7,235648,0.5,320046,2.00 72,1,2024-09-07 09:31:11:035,836273,836273,0,0,393129722520,4124794717,824176,10011,2086,369,391819,0 72,2,2024-09-07 09:31:11:763,601254,601254,0,0,29479281,0,3983 72,3,2024-09-07 09:31:11:762,1,477,2,0,564,6830,477,0 73,0,2024-09-07 09:31:11:115,117019,0.4,120147,0.6,245238,0.4,318641,2.00 73,1,2024-09-07 09:31:10:791,838495,838495,0,0,393604231981,4087899534,832560,5513,422,367,391858,0 73,2,2024-09-07 09:31:11:747,602967,602967,0,0,29534667,0,4291 73,3,2024-09-07 09:31:10:972,1,477,8,0,486,6098,477,0 74,0,2024-09-07 09:31:11:332,120800,0.5,123579,0.7,235580,0.5,319698,2.25 74,1,2024-09-07 09:31:10:656,837684,837684,0,0,392630914233,4098666511,828601,7317,1766,381,391762,0 74,2,2024-09-07 09:31:11:002,603823,603823,0,0,26250014,0,4253 74,3,2024-09-07 09:31:11:445,1,477,1,0,522,5834,477,0 75,0,2024-09-07 09:31:11:767,118900,0.5,118138,0.7,237051,0.5,316904,2.25 75,1,2024-09-07 09:31:11:584,838408,838408,0,0,393561508964,4104560345,830900,6666,842,380,391739,0 75,2,2024-09-07 09:31:11:352,601619,601619,0,0,30060529,0,4766 75,3,2024-09-07 09:31:11:066,1,477,2,0,702,6001,477,0 76,0,2024-09-07 09:31:10:605,119335,0.6,118663,0.7,238122,0.5,318113,2.25 76,1,2024-09-07 09:31:10:814,837396,837396,0,0,393144668454,4101225615,831609,4958,829,382,391692,0 76,2,2024-09-07 09:31:11:066,604948,604945,3,0,26536091,0,5265 76,3,2024-09-07 09:31:11:153,1,477,1,0,227,4581,477,0 77,0,2024-09-07 09:31:11:730,119815,0.5,120212,0.7,240781,0.5,319036,2.00 77,1,2024-09-07 09:31:10:836,838374,838374,0,0,393523916706,4107171570,831842,5972,560,381,391869,0 77,2,2024-09-07 09:31:11:284,603842,603842,0,0,25821548,0,3890 77,3,2024-09-07 09:31:11:095,1,477,4,0,401,5180,477,0 78,0,2024-09-07 09:31:11:719,120192,0.4,119630,0.6,240062,0.4,318338,2.00 78,1,2024-09-07 09:31:10:658,838624,838624,0,0,393046646502,4098688542,829077,7318,2229,367,391670,0 78,2,2024-09-07 09:31:11:407,604492,604479,13,0,24010992,0,8313 78,3,2024-09-07 09:31:11:133,1,477,1,0,181,4021,477,0 79,0,2024-09-07 09:31:11:377,114658,0.4,117596,0.6,240594,0.4,313071,2.25 79,1,2024-09-07 09:31:10:596,840363,840363,0,0,394419533677,4089089404,833067,5945,1351,367,391682,0 79,2,2024-09-07 09:31:11:068,603969,603969,0,0,23158153,0,4195 79,3,2024-09-07 09:31:10:755,1,477,1,0,418,6019,477,0 80,0,2024-09-07 09:31:11:117,119694,0.5,122981,0.7,235624,0.5,318831,2.00 80,1,2024-09-07 09:31:11:643,837723,837723,0,0,393547873912,4099599432,831936,5364,423,368,392269,0 80,2,2024-09-07 09:31:11:098,605148,605148,0,0,23932325,0,4433 80,3,2024-09-07 09:31:10:599,1,477,1,0,190,5459,477,0 81,0,2024-09-07 09:31:11:708,119654,0.5,122606,0.7,233890,0.5,318004,2.00 81,1,2024-09-07 09:31:11:736,836932,836932,0,0,393233567810,4111342542,830171,6201,560,382,391879,0 81,2,2024-09-07 09:31:11:134,602445,602382,63,0,26869504,0,5932 81,3,2024-09-07 09:31:11:121,1,477,20,0,719,5588,477,0 82,0,2024-09-07 09:31:11:537,119381,0.5,119586,0.7,239471,0.5,317757,2.00 82,1,2024-09-07 09:31:10:598,839041,839037,0,4,393587617627,4094986583,833979,4239,819,381,391768,4 82,2,2024-09-07 09:31:11:692,604024,604024,0,0,22321060,0,4484 82,3,2024-09-07 09:31:11:757,1,477,25,0,363,4830,477,0 83,0,2024-09-07 09:31:11:530,118959,0.6,118789,0.7,237087,0.6,315301,2.25 83,1,2024-09-07 09:31:10:561,837495,837495,0,0,393315365701,4101102060,831572,5472,451,382,391709,0 83,2,2024-09-07 09:31:10:769,604359,604334,25,0,24066549,0,5612 83,3,2024-09-07 09:31:10:756,1,477,3,0,1260,5961,477,0 84,0,2024-09-07 09:31:11:804,118393,0.7,118369,0.8,236547,0.6,316224,2.25 84,1,2024-09-07 09:31:11:039,836720,836720,0,0,393065880550,4107936591,827684,7860,1176,367,391967,0 84,2,2024-09-07 09:31:10:580,601833,601803,30,0,31541509,0,5971 84,3,2024-09-07 09:31:11:147,1,477,8,0,908,6937,477,0 85,0,2024-09-07 09:31:11:030,114895,0.6,114751,0.8,243557,0.6,315289,2.25 85,1,2024-09-07 09:31:10:603,834319,834319,0,0,392413014195,4141168422,821866,10305,2148,381,392006,0 85,2,2024-09-07 09:31:10:871,601366,601366,0,0,29686947,0,4255 85,3,2024-09-07 09:31:10:690,1,477,3,0,789,5545,477,0 86,0,2024-09-07 09:31:10:910,119524,0.7,122961,0.8,235261,0.7,318123,2.25 86,1,2024-09-07 09:31:10:830,837184,837184,0,0,393429991969,4120707574,827079,8383,1722,366,391961,0 86,2,2024-09-07 09:31:10:867,600931,600930,1,0,31619475,0,5004 86,3,2024-09-07 09:31:10:592,1,477,0,0,308,7157,477,0 87,0,2024-09-07 09:31:11:295,119268,0.9,118995,0.9,238018,1.2,318096,2.25 87,1,2024-09-07 09:31:10:571,835556,835556,0,0,392169201924,4111844899,824020,9839,1697,366,392076,0 87,2,2024-09-07 09:31:11:070,604015,604009,6,0,27827432,0,6323 87,3,2024-09-07 09:31:11:809,1,477,28,0,473,7295,477,0 88,0,2024-09-07 09:31:11:451,118633,0.4,119262,0.6,238225,0.4,317395,1.75 88,1,2024-09-07 09:31:10:599,835371,835371,0,0,391928392038,4105492995,824199,8944,2228,365,392084,0 88,2,2024-09-07 09:31:10:692,602737,602737,0,0,30219899,0,4465 88,3,2024-09-07 09:31:11:272,1,477,35,0,435,5908,477,0 89,0,2024-09-07 09:31:11:767,123399,0.4,119557,0.6,236310,0.4,321112,1.75 89,1,2024-09-07 09:31:10:586,835180,835180,0,0,393401975985,4135472526,825015,8690,1475,382,391866,0 89,2,2024-09-07 09:31:11:135,601684,601684,0,0,28461862,0,3173 89,3,2024-09-07 09:31:11:791,1,477,8,0,468,7978,477,0 90,0,2024-09-07 09:31:11:620,116429,0.4,119627,0.6,243921,0.4,318092,2.00 90,1,2024-09-07 09:31:10:602,837137,837137,0,0,393207389989,4114897576,829554,6932,651,380,391825,0 90,2,2024-09-07 09:31:11:411,601763,601763,0,0,30541925,0,3608 90,3,2024-09-07 09:31:10:940,1,477,12,0,322,5706,477,0 91,0,2024-09-07 09:31:10:923,120737,0.5,116912,0.6,244374,0.5,320626,1.75 91,1,2024-09-07 09:31:10:566,835354,835354,0,0,393301097337,4134202691,824956,8754,1644,381,392047,0 91,2,2024-09-07 09:31:11:332,604629,604629,0,0,26834528,0,3526 91,3,2024-09-07 09:31:10:608,1,477,2,0,216,4699,477,0 92,0,2024-09-07 09:31:11:492,120457,0.5,123103,0.6,234901,0.5,318620,1.75 92,1,2024-09-07 09:31:10:606,837785,837785,0,0,392738442747,4103613040,831211,5498,1076,381,392136,0 92,2,2024-09-07 09:31:11:379,603967,603967,0,0,24099917,0,3259 92,3,2024-09-07 09:31:11:009,1,477,1,0,167,4215,477,0 93,0,2024-09-07 09:31:10:967,120972,0.4,123978,0.6,236541,0.4,320541,1.75 93,1,2024-09-07 09:31:10:809,837783,837783,0,0,393523957558,4110517001,828359,7724,1700,366,391776,0 93,2,2024-09-07 09:31:10:929,602678,602678,0,0,29649328,0,4913 93,3,2024-09-07 09:31:11:414,1,477,33,0,190,4238,477,0 94,0,2024-09-07 09:31:11:608,119614,0.3,120326,0.5,240504,0.3,319100,1.75 94,1,2024-09-07 09:31:10:612,837633,837633,0,0,394383301888,4115268990,831861,5401,371,381,391850,0 94,2,2024-09-07 09:31:10:777,603950,603922,28,0,25446310,0,6179 94,3,2024-09-07 09:31:11:692,1,477,0,0,576,6172,477,0 95,0,2024-09-07 09:31:11:343,119571,0.3,119262,0.5,239099,0.3,318777,1.75 95,1,2024-09-07 09:31:10:856,839436,839436,0,0,394491138565,4100590906,832714,6242,480,365,391786,0 95,2,2024-09-07 09:31:11:016,602776,602776,0,0,24140391,0,3308 95,3,2024-09-07 09:31:11:711,1,477,56,0,718,7176,477,0 96,0,2024-09-07 09:31:11:033,119753,0.4,119954,0.5,239672,0.3,318209,1.75 96,1,2024-09-07 09:31:11:585,837475,837475,0,0,393283240017,4103965381,831240,5324,911,384,391955,0 96,2,2024-09-07 09:31:11:289,604403,604403,0,0,24916275,0,4180 96,3,2024-09-07 09:31:11:142,1,477,1,0,411,5224,477,0 97,0,2024-09-07 09:31:11:336,118882,0.3,118350,0.5,237800,0.3,316222,1.50 97,1,2024-09-07 09:31:10:769,838893,838893,0,0,394925026572,4097689243,833377,4580,936,367,392140,0 97,2,2024-09-07 09:31:10:609,604150,604150,0,0,24230800,0,3679 97,3,2024-09-07 09:31:10:590,1,477,8,0,242,5764,477,0 98,0,2024-09-07 09:31:11:731,119045,0.3,119360,0.4,239329,0.2,318034,1.50 98,1,2024-09-07 09:31:10:608,838848,838848,0,0,393976229955,4104719125,833575,4479,794,381,391997,0 98,2,2024-09-07 09:31:10:776,603278,603278,0,0,24269724,0,4336 98,3,2024-09-07 09:31:10:703,1,477,13,0,840,7549,477,0 99,0,2024-09-07 09:31:11:465,119841,0.3,120498,0.5,239629,0.3,319942,1.75 99,1,2024-09-07 09:31:11:727,838600,838600,0,0,393454840832,4097865349,832768,4911,921,380,392069,0 99,2,2024-09-07 09:31:11:435,603945,603945,0,0,29033448,0,4276 99,3,2024-09-07 09:31:10:599,1,477,2,0,606,5008,477,0 100,0,2024-09-07 09:31:11:465,118910,0.7,119254,0.9,237748,0.9,317846,2.25 100,1,2024-09-07 09:31:10:570,833662,833662,0,0,391848149120,4145686095,820141,10536,2985,378,391989,0 100,2,2024-09-07 09:31:11:817,602395,602384,11,0,27929393,0,5417 100,3,2024-09-07 09:31:11:737,1,477,28,0,559,7503,477,0 101,0,2024-09-07 09:31:11:707,121237,1.0,118146,1.0,231559,0.9,317074,2.25 101,1,2024-09-07 09:31:10:582,834845,834845,0,0,392044993793,4122674382,822836,9615,2394,368,391847,0 101,2,2024-09-07 09:31:11:758,598951,598951,0,0,32776101,0,4871 101,3,2024-09-07 09:31:10:942,1,477,1,0,1250,7547,477,0 102,0,2024-09-07 09:31:10:946,116432,0.6,120058,0.7,243651,0.6,318519,2.00 102,1,2024-09-07 09:31:11:148,834710,834710,0,0,392410652190,4124385042,823069,9642,1999,369,391891,0 102,2,2024-09-07 09:31:11:758,602635,602581,54,0,27525660,0,6768 102,3,2024-09-07 09:31:11:614,1,477,1,0,466,5091,477,0 103,0,2024-09-07 09:31:11:606,123960,0.5,124021,0.7,233675,0.5,321145,2.00 103,1,2024-09-07 09:31:11:625,834261,834261,0,0,391628590701,4136079888,820719,10348,3194,381,391862,0 103,2,2024-09-07 09:31:10:599,601959,601959,0,0,29542014,0,3766 103,3,2024-09-07 09:31:10:760,1,477,2,0,916,5675,477,0 104,0,2024-09-07 09:31:11:005,118967,0.7,119280,0.9,237497,0.7,317753,2.25 104,1,2024-09-07 09:31:11:627,836377,836377,0,0,392748961253,4128260145,823695,10136,2546,365,392168,0 104,2,2024-09-07 09:31:11:696,602183,602183,0,0,28435898,0,3941 104,3,2024-09-07 09:31:11:433,1,477,10,0,1245,8894,477,0 105,0,2024-09-07 09:31:11:036,117286,0.8,114174,1.0,239377,0.9,314901,2.50 105,1,2024-09-07 09:31:10:557,837845,837845,0,0,393395818193,4122819532,826997,9195,1653,366,392009,0 105,2,2024-09-07 09:31:11:327,601199,601199,0,0,27995684,0,3509 105,3,2024-09-07 09:31:11:316,1,477,1,0,399,7022,477,0 106,0,2024-09-07 09:31:10:938,115801,0.8,118578,0.9,243038,0.8,317041,2.50 106,1,2024-09-07 09:31:11:767,836888,836888,0,0,392576425864,4120534800,824876,10369,1643,368,391914,0 106,2,2024-09-07 09:31:10:760,601860,601860,0,0,27118143,0,2920 106,3,2024-09-07 09:31:10:683,1,477,2,0,470,6232,477,0 107,0,2024-09-07 09:31:11:097,120037,0.8,120278,0.9,240326,0.9,320226,2.25 107,1,2024-09-07 09:31:10:599,835281,835281,0,0,392321781897,4134074446,823870,10309,1102,381,392234,0 107,2,2024-09-07 09:31:11:292,602135,602134,1,0,29484862,0,5024 107,3,2024-09-07 09:31:11:778,1,477,10,0,370,6830,477,0 108,0,2024-09-07 09:31:11:840,119569,0.4,120437,0.6,239500,0.4,319789,1.75 108,1,2024-09-07 09:31:11:305,837531,837531,0,0,393044113512,4100125459,830440,6256,835,367,391857,0 108,2,2024-09-07 09:31:11:762,602328,602328,0,0,27002444,0,4246 108,3,2024-09-07 09:31:11:334,1,477,3,0,749,9527,477,0 109,0,2024-09-07 09:31:11:794,119493,0.4,118517,0.6,237360,0.4,317479,1.75 109,1,2024-09-07 09:31:10:624,835013,835013,0,0,393558262273,4130574961,827012,6790,1211,382,392132,0 109,2,2024-09-07 09:31:10:936,600994,600994,0,0,26243887,0,3617 109,3,2024-09-07 09:31:11:142,1,477,9,0,630,6104,477,0 110,0,2024-09-07 09:31:11:778,119765,0.4,116550,0.6,244296,0.4,320061,1.75 110,1,2024-09-07 09:31:11:663,839100,839100,0,0,394028504166,4089335870,833189,4691,1220,368,392045,0 110,2,2024-09-07 09:31:11:307,603769,603769,0,0,25327357,0,4067 110,3,2024-09-07 09:31:10:690,1,477,1,0,722,6974,477,0 111,0,2024-09-07 09:31:11:415,120008,0.4,119384,0.5,239115,0.3,319272,1.75 111,1,2024-09-07 09:31:11:004,840212,840212,0,0,394985204909,4096375990,835887,3969,356,380,391690,0 111,2,2024-09-07 09:31:11:115,602902,602902,0,0,25424736,0,4823 111,3,2024-09-07 09:31:10:918,1,477,2,0,379,5627,477,0 112,0,2024-09-07 09:31:10:911,120434,0.3,119751,0.4,240115,0.2,318776,1.50 112,1,2024-09-07 09:31:10:830,838909,838909,0,0,394167376110,4092780315,833533,4701,675,380,391624,0 112,2,2024-09-07 09:31:11:133,602719,602718,1,0,24329425,0,5036 112,3,2024-09-07 09:31:10:604,1,477,1,0,282,4811,477,0 113,0,2024-09-07 09:31:10:881,119013,0.3,119064,0.5,238355,0.2,317398,1.75 113,1,2024-09-07 09:31:11:694,841359,841359,0,0,395859194610,4086169211,836579,3972,808,366,391661,0 113,2,2024-09-07 09:31:11:307,606702,606702,0,0,22149644,0,3813 113,3,2024-09-07 09:31:10:688,1,477,7,0,340,5162,477,0 114,0,2024-09-07 09:31:10:875,119444,0.3,120281,0.5,239077,0.2,319615,1.75 114,1,2024-09-07 09:31:10:720,839528,839528,0,0,394028341678,4093503322,832944,4968,1616,381,391565,0 114,2,2024-09-07 09:31:10:874,604982,604981,1,0,23302616,0,5069 114,3,2024-09-07 09:31:11:283,1,477,4,0,395,3899,477,0 115,0,2024-09-07 09:31:10:593,119740,0.3,120167,0.4,239794,0.2,318640,1.50 115,1,2024-09-07 09:31:10:581,839260,839260,0,0,394352869285,4100196672,832146,5790,1324,382,391757,0 115,2,2024-09-07 09:31:11:129,604532,604532,0,0,23534848,0,4382 115,3,2024-09-07 09:31:11:006,1,477,1,0,159,2639,477,0 116,0,2024-09-07 09:31:11:699,119357,0.7,119240,0.8,239123,0.6,319357,2.00 116,1,2024-09-07 09:31:10:812,835332,835332,0,0,392620952048,4137503441,825426,7504,2402,380,392089,0 116,2,2024-09-07 09:31:11:755,601324,601324,0,0,30159863,0,4475 116,3,2024-09-07 09:31:10:914,1,477,1,0,415,5713,477,0 117,0,2024-09-07 09:31:11:011,119548,0.7,119003,0.8,238502,0.8,318440,2.00 117,1,2024-09-07 09:31:11:591,836182,836182,0,0,392286331376,4107189909,826974,8092,1116,369,392033,0 117,2,2024-09-07 09:31:11:118,606649,606649,0,0,26177124,0,4303 117,3,2024-09-07 09:31:11:068,1,477,2,0,490,6804,477,0 118,0,2024-09-07 09:31:11:786,115282,0.5,118475,0.7,241626,0.5,316302,2.00 118,1,2024-09-07 09:31:10:610,835688,835688,0,0,392723188419,4128110274,823227,9441,3020,366,392054,0 118,2,2024-09-07 09:31:11:590,601757,601757,0,0,28247498,0,2842 118,3,2024-09-07 09:31:11:777,1,477,7,0,248,5537,477,0 119,0,2024-09-07 09:31:11:346,119701,0.7,119983,0.8,239951,0.7,319263,2.00 119,1,2024-09-07 09:31:10:604,836097,836097,0,0,393250557450,4119600241,825422,9250,1425,367,391857,0 119,2,2024-09-07 09:31:11:263,602794,602794,0,0,26552743,0,4174 119,3,2024-09-07 09:31:11:341,1,477,3,0,1358,8973,477,0 120,0,2024-09-07 09:31:11:569,119275,0.6,119121,0.8,239064,0.7,318663,2.25 120,1,2024-09-07 09:31:10:860,836742,836742,0,0,392358233835,4114212066,827948,8019,775,368,392144,0 120,2,2024-09-07 09:31:10:798,602588,602587,1,0,30718003,0,5281 120,3,2024-09-07 09:31:11:295,1,477,3,0,279,6089,477,0 121,0,2024-09-07 09:31:11:699,120303,1.3,119833,1.1,240177,1.7,319966,2.25 121,1,2024-09-07 09:31:11:658,837258,837258,0,0,393372889947,4110856058,829631,7074,553,367,391840,0 121,2,2024-09-07 09:31:11:126,602703,602703,0,0,28792242,0,4157 121,3,2024-09-07 09:31:10:730,1,477,7,0,269,5541,477,0 122,0,2024-09-07 09:31:11:772,118578,0.8,115573,0.9,242245,0.9,318402,2.00 122,1,2024-09-07 09:31:10:865,835753,835753,0,0,393063042658,4122685143,824475,9573,1705,366,392130,0 122,2,2024-09-07 09:31:11:320,603283,603210,73,0,31742443,0,5989 122,3,2024-09-07 09:31:10:604,1,477,1,0,512,8340,477,0 123,0,2024-09-07 09:31:10:955,119508,0.9,116416,0.9,243947,1.0,318946,2.25 123,1,2024-09-07 09:31:10:575,835936,835936,0,0,392976932713,4134892470,821950,11540,2446,369,392039,0 123,2,2024-09-07 09:31:11:027,601114,601113,1,0,27156217,0,5215 123,3,2024-09-07 09:31:11:133,1,477,136,0,478,5675,477,0 124,0,2024-09-07 09:31:10:934,123466,0.3,123485,0.5,232743,0.3,320030,1.75 124,1,2024-09-07 09:31:11:026,838674,838674,0,0,393274259991,4090102476,832288,5271,1115,367,392178,0 124,2,2024-09-07 09:31:11:009,604824,604771,53,0,25131413,0,6487 124,3,2024-09-07 09:31:10:762,1,477,16,0,490,4827,477,0 125,0,2024-09-07 09:31:11:424,119411,0.4,119223,0.6,239304,0.4,318713,1.75 125,1,2024-09-07 09:31:10:867,837131,837131,0,0,393585203033,4110927329,830247,5903,981,382,391813,0 125,2,2024-09-07 09:31:11:116,604386,604386,0,0,25324206,0,4534 125,3,2024-09-07 09:31:11:127,1,477,42,0,709,5816,477,0 126,0,2024-09-07 09:31:11:432,119647,0.4,123155,0.6,235574,0.4,319020,1.75 126,1,2024-09-07 09:31:10:568,840002,840002,0,0,395201460848,4091716800,835611,4095,296,365,391987,0 126,2,2024-09-07 09:31:10:614,604679,604679,0,0,25715244,0,4539 126,3,2024-09-07 09:31:10:910,1,477,1,0,268,5543,477,0 127,0,2024-09-07 09:31:11:595,118835,0.3,119304,0.5,238004,0.3,316682,1.75 127,1,2024-09-07 09:31:10:596,838775,838775,0,0,393540716160,4096580194,830077,7237,1461,364,392187,0 127,2,2024-09-07 09:31:10:643,602385,602381,4,0,24485146,0,5305 127,3,2024-09-07 09:31:11:272,1,477,1,0,968,4947,477,0 128,0,2024-09-07 09:31:11:531,119934,0.3,119954,0.4,239707,0.2,318814,1.50 128,1,2024-09-07 09:31:11:618,837514,837514,0,0,394640762533,4099531563,831586,5201,727,367,392031,0 128,2,2024-09-07 09:31:11:401,604891,604891,0,0,23093890,0,3171 128,3,2024-09-07 09:31:10:775,1,477,522,0,1082,7797,477,0 129,0,2024-09-07 09:31:11:013,120823,0.3,120335,0.5,241011,0.3,320320,1.50 129,1,2024-09-07 09:31:10:580,835608,835608,0,0,391975114925,4109889403,827108,6765,1735,379,391962,0 129,2,2024-09-07 09:31:10:688,603837,603833,4,0,25128950,0,5335 129,3,2024-09-07 09:31:10:692,1,477,22,0,506,6993,477,0 130,0,2024-09-07 09:31:11:718,119921,0.5,119490,0.6,240169,0.5,319161,1.75 130,1,2024-09-07 09:31:10:612,839059,839059,0,0,393883486053,4094881067,834389,4284,386,381,391825,0 130,2,2024-09-07 09:31:11:129,605803,605803,0,0,24797557,0,4067 130,3,2024-09-07 09:31:11:291,1,477,0,0,960,7038,477,0 131,0,2024-09-07 09:31:11:940,118867,0.4,119153,0.5,239068,0.3,317731,1.75 131,1,2024-09-07 09:31:11:823,838155,838155,0,0,394119657523,4115501406,831345,5620,1190,381,391865,0 131,2,2024-09-07 09:31:10:567,604493,604493,0,0,22917157,0,3979 131,3,2024-09-07 09:31:11:696,1,477,0,0,392,5409,477,0 132,0,2024-09-07 09:31:11:429,119760,0.4,120906,0.6,240412,0.4,319576,1.75 132,1,2024-09-07 09:31:10:598,834808,834808,0,0,392824353504,4135042510,822652,9970,2186,381,392532,0 132,2,2024-09-07 09:31:10:697,602287,602270,17,0,30318958,0,6451 132,3,2024-09-07 09:31:11:699,1,477,3,0,804,8160,477,0 133,0,2024-09-07 09:31:11:533,117003,0.4,119776,0.6,245586,0.4,319354,1.75 133,1,2024-09-07 09:31:10:599,834650,834650,0,0,392399889112,4135890210,822956,10072,1622,383,391914,0 133,2,2024-09-07 09:31:11:086,603358,603308,50,0,30771231,0,6861 133,3,2024-09-07 09:31:11:302,1,477,12,0,479,4984,477,0 134,0,2024-09-07 09:31:10:945,120219,0.5,119986,0.7,240296,0.5,320048,2.00 134,1,2024-09-07 09:31:10:599,835956,835956,0,0,392785511998,4116852879,825583,8304,2069,366,391718,0 134,2,2024-09-07 09:31:11:761,602929,602905,24,0,29077566,0,6207 134,3,2024-09-07 09:31:10:776,1,477,2,0,739,5764,477,0 135,0,2024-09-07 09:31:11:096,114765,0.7,114753,0.8,243575,0.8,314286,2.25 135,1,2024-09-07 09:31:11:585,836081,836081,0,0,393162910607,4132962173,825604,9099,1378,380,391805,0 135,2,2024-09-07 09:31:10:688,602506,602506,0,0,28107141,0,3981 135,3,2024-09-07 09:31:11:002,1,477,3,0,299,4106,477,0 136,0,2024-09-07 09:31:11:625,120436,0.5,120730,0.7,240400,0.5,320064,2.00 136,1,2024-09-07 09:31:11:444,837179,837179,0,0,392261156876,4111402444,827835,8206,1138,381,391685,0 136,2,2024-09-07 09:31:11:133,604021,604021,0,0,26834158,0,3506 136,3,2024-09-07 09:31:11:105,1,477,2,0,637,5483,477,0 137,0,2024-09-07 09:31:10:919,124080,0.6,120844,0.7,237036,0.5,321410,2.00 137,1,2024-09-07 09:31:10:600,835539,835539,0,0,392258223224,4114111083,822616,10254,2669,366,391898,0 137,2,2024-09-07 09:31:11:734,602787,602787,0,0,30102085,0,3185 137,3,2024-09-07 09:31:10:775,1,477,0,0,484,6131,477,0 138,0,2024-09-07 09:31:11:767,119348,0.9,119378,0.9,239660,1.1,318345,2.25 138,1,2024-09-07 09:31:11:692,836731,836731,0,0,393537969673,4125993245,825389,9522,1820,368,391954,0 138,2,2024-09-07 09:31:10:605,602185,602185,0,0,27832479,0,4988 138,3,2024-09-07 09:31:10:628,1,477,0,0,1200,7777,477,0 139,0,2024-09-07 09:31:11:366,117914,1.4,118156,1.1,236526,2.0,316197,2.50 139,1,2024-09-07 09:31:10:581,832204,832204,0,0,389821081577,4139538088,816634,12122,3448,380,392058,0 139,2,2024-09-07 09:31:10:701,599022,599022,0,0,30798824,0,3097 139,3,2024-09-07 09:31:11:665,1,477,0,0,432,5587,477,0 140,0,2024-09-07 09:31:11:598,120536,0.3,119697,0.5,240309,0.2,320284,1.75 140,1,2024-09-07 09:31:11:546,841249,841249,0,0,395801801082,4080635485,837201,3587,461,365,391606,0 140,2,2024-09-07 09:31:10:690,604654,604653,1,0,23721854,0,5036 140,3,2024-09-07 09:31:10:775,1,477,1,0,297,4603,477,0 141,0,2024-09-07 09:31:11:705,120000,0.3,123089,0.5,235071,0.2,318939,1.50 141,1,2024-09-07 09:31:10:870,839657,839657,0,0,394281926239,4098166011,832800,5772,1085,379,391614,0 141,2,2024-09-07 09:31:11:688,603670,603659,11,0,25037371,0,5369 141,3,2024-09-07 09:31:11:045,1,477,18,0,391,5310,477,0 142,0,2024-09-07 09:31:11:347,120342,0.3,119632,0.5,239596,0.3,319380,1.75 142,1,2024-09-07 09:31:10:587,837854,837854,0,0,393124943390,4099037792,832346,5052,456,382,392102,0 142,2,2024-09-07 09:31:11:323,602297,602265,32,0,25825379,0,6028 142,3,2024-09-07 09:31:11:757,1,477,2,0,484,5195,477,0 143,0,2024-09-07 09:31:11:399,118915,0.4,119052,0.6,238562,0.4,317456,1.75 143,1,2024-09-07 09:31:10:586,839474,839474,0,0,394476634085,4094695191,833726,5197,551,367,391705,0 143,2,2024-09-07 09:31:10:783,605342,605342,0,0,24855875,0,3123 143,3,2024-09-07 09:31:11:161,1,477,18,0,462,6175,477,0 144,0,2024-09-07 09:31:11:494,115598,0.6,118802,0.8,241974,0.5,317009,2.00 144,1,2024-09-07 09:31:10:604,835623,835623,0,0,392064412984,4116637309,826822,6898,1903,381,391733,0 144,2,2024-09-07 09:31:11:761,604268,604268,0,0,23523403,0,3673 144,3,2024-09-07 09:31:11:744,1,477,1,0,249,4842,477,0 145,0,2024-09-07 09:31:11:377,115450,0.6,115505,0.8,244881,0.5,315563,2.25 145,1,2024-09-07 09:31:10:563,834917,834917,0,0,392152203893,4125072098,824116,8932,1869,382,391759,0 145,2,2024-09-07 09:31:11:432,600430,600348,82,0,29395001,0,7814 145,3,2024-09-07 09:31:10:900,1,477,120,0,622,6697,477,0 146,0,2024-09-07 09:31:11:610,119616,0.6,119185,0.7,239561,0.6,317759,2.25 146,1,2024-09-07 09:31:11:606,836438,836438,0,0,392856401705,4130470447,823089,9820,3529,367,391770,0 146,2,2024-09-07 09:31:11:701,601284,601284,0,0,27496166,0,3290 146,3,2024-09-07 09:31:11:280,1,477,80,0,1520,8341,477,0 147,0,2024-09-07 09:31:11:694,119434,0.6,119263,0.8,237796,0.6,317989,2.25 147,1,2024-09-07 09:31:11:378,839289,839289,0,0,394360479238,4100145112,832307,6133,849,368,391791,0 147,2,2024-09-07 09:31:11:009,604275,604275,0,0,25050346,0,2968 147,3,2024-09-07 09:31:10:914,1,477,2,0,1626,8091,477,0 0,0,2024-09-07 09:31:21:701,116679,0.6,116678,0.7,247500,0.6,319677,2.00 0,1,2024-09-07 09:31:20:821,837822,837822,0,0,394253496962,4131817975,830360,6627,835,369,391896,0 0,2,2024-09-07 09:31:21:234,605638,605638,0,0,25124289,0,4480 0,3,2024-09-07 09:31:20:987,1,478,19,0,431,6892,478,0 1,0,2024-09-07 09:31:21:752,120119,1.0,119607,1.0,239748,1.4,320171,2.00 1,1,2024-09-07 09:31:20:561,837718,837718,0,0,392920662683,4117973998,829600,6666,1452,370,391859,0 1,2,2024-09-07 09:31:20:642,605001,605001,0,0,24491482,0,3380 1,3,2024-09-07 09:31:21:308,1,478,6,0,269,6219,478,0 2,0,2024-09-07 09:31:21:564,119230,0.6,119225,0.7,238285,0.6,317690,2.00 2,1,2024-09-07 09:31:20:863,840385,840385,0,0,395218279793,4106620068,836001,3582,802,380,391745,0 2,2,2024-09-07 09:31:21:269,605109,605109,0,0,22830709,0,3594 2,3,2024-09-07 09:31:20:699,1,478,1,0,357,4353,478,0 3,0,2024-09-07 09:31:21:744,120064,0.4,119878,0.6,239548,0.4,319096,2.00 3,1,2024-09-07 09:31:21:620,839106,839106,0,0,394285445097,4107012194,832129,6282,695,379,391716,0 3,2,2024-09-07 09:31:21:142,604787,604764,23,0,24287899,0,5851 3,3,2024-09-07 09:31:21:753,1,478,71,0,207,3083,478,0 4,0,2024-09-07 09:31:21:764,116570,0.4,119792,0.5,244245,0.3,319437,1.75 4,1,2024-09-07 09:31:20:594,835777,835777,0,0,393143985222,4163330809,820863,11440,3474,370,391992,0 4,2,2024-09-07 09:31:21:017,602709,602709,0,0,29272692,0,4534 4,3,2024-09-07 09:31:21:063,1,478,1,0,448,6341,478,0 5,0,2024-09-07 09:31:21:392,119461,0.4,120114,0.6,239715,0.4,318837,1.75 5,1,2024-09-07 09:31:20:762,837348,837348,0,0,393944452931,4148004045,825279,9678,2391,367,392005,0 5,2,2024-09-07 09:31:21:827,601608,601608,0,0,28388571,0,3582 5,3,2024-09-07 09:31:21:732,1,478,8,0,457,6788,478,0 6,0,2024-09-07 09:31:20:914,120028,0.5,119468,0.7,239111,0.4,318991,2.00 6,1,2024-09-07 09:31:20:751,839527,839527,0,0,393530162119,4111062762,830759,7341,1427,379,391702,0 6,2,2024-09-07 09:31:21:116,604527,604509,18,0,27136451,0,5535 6,3,2024-09-07 09:31:21:282,1,478,1,0,710,5989,478,0 7,0,2024-09-07 09:31:21:530,118516,0.5,119485,0.7,237665,0.5,316804,2.00 7,1,2024-09-07 09:31:20:850,837310,837310,0,0,393084013566,4130130005,825084,10120,2106,382,391747,0 7,2,2024-09-07 09:31:20:778,604969,604969,0,0,26700307,0,4791 7,3,2024-09-07 09:31:20:855,1,478,0,0,552,5590,478,0 8,0,2024-09-07 09:31:21:333,119938,0.3,119560,0.5,239943,0.3,319579,1.75 8,1,2024-09-07 09:31:21:025,836802,836802,0,0,392782591641,4129234448,823955,10052,2795,366,392853,0 8,2,2024-09-07 09:31:20:796,600153,600153,0,0,31381019,0,3250 8,3,2024-09-07 09:31:20:593,1,478,26,0,538,7546,478,0 9,0,2024-09-07 09:31:21:155,119995,0.4,116797,0.5,244489,0.3,320249,1.75 9,1,2024-09-07 09:31:20:568,835874,835874,0,0,392811730030,4136853226,823530,9875,2469,369,392001,0 9,2,2024-09-07 09:31:21:090,602834,602834,0,0,28656218,0,4615 9,3,2024-09-07 09:31:21:752,1,478,1,0,496,6749,478,0 10,0,2024-09-07 09:31:21:630,119396,0.3,118912,0.5,238788,0.3,317911,1.75 10,1,2024-09-07 09:31:20:594,838826,838826,0,0,393363183403,4121876732,827421,9465,1940,381,391741,0 10,2,2024-09-07 09:31:20:763,605484,605484,0,0,31150151,0,4264 10,3,2024-09-07 09:31:20:875,1,478,1,0,649,5065,478,0 11,0,2024-09-07 09:31:21:036,119133,0.4,115555,0.6,241598,0.4,318669,1.75 11,1,2024-09-07 09:31:20:572,838752,838752,0,0,394016032917,4133817960,825974,9658,3120,383,391756,0 11,2,2024-09-07 09:31:21:127,603414,603414,0,0,27180144,0,4130 11,3,2024-09-07 09:31:21:309,1,478,1,0,843,6595,478,0 12,0,2024-09-07 09:31:21:014,120687,0.4,120658,0.5,241236,0.3,320296,1.75 12,1,2024-09-07 09:31:20:962,838527,838527,0,0,393683439894,4107538252,831737,6132,658,370,391960,0 12,2,2024-09-07 09:31:21:560,603420,603420,0,0,27391319,0,4390 12,3,2024-09-07 09:31:21:066,1,478,5,0,386,6705,478,0 13,0,2024-09-07 09:31:21:346,121154,0.4,120666,0.6,240844,0.4,320892,1.75 13,1,2024-09-07 09:31:21:525,836956,836956,0,0,393578068692,4139585136,827876,6929,2151,382,391740,0 13,2,2024-09-07 09:31:20:613,606006,606006,0,0,24736915,0,3287 13,3,2024-09-07 09:31:21:764,1,478,0,0,522,6921,478,0 14,0,2024-09-07 09:31:20:580,119924,0.4,120917,0.6,239502,0.4,319105,1.75 14,1,2024-09-07 09:31:21:560,842693,842693,0,0,395772811960,4098015618,836150,5829,714,364,391673,0 14,2,2024-09-07 09:31:20:764,605575,605545,30,0,26108602,0,6104 14,3,2024-09-07 09:31:21:124,1,478,1,0,1168,5137,478,0 15,0,2024-09-07 09:31:21:554,118711,0.4,118452,0.6,237639,0.4,316679,2.00 15,1,2024-09-07 09:31:21:614,839640,839640,0,0,394469144939,4109213279,832623,5562,1455,381,391619,0 15,2,2024-09-07 09:31:21:002,605744,605744,0,0,21811494,0,3622 15,3,2024-09-07 09:31:21:414,1,478,0,0,1126,7223,478,0 16,0,2024-09-07 09:31:20:954,119987,0.5,120800,0.7,240516,0.5,319743,2.00 16,1,2024-09-07 09:31:20:574,838895,838895,0,0,394008413650,4122787352,830747,6852,1296,370,391917,0 16,2,2024-09-07 09:31:21:439,603657,603657,0,0,26161553,0,4719 16,3,2024-09-07 09:31:21:144,1,478,128,0,358,6271,478,0 17,0,2024-09-07 09:31:21:847,124020,0.6,121000,0.7,237003,0.6,321336,2.00 17,1,2024-09-07 09:31:20:579,837656,837656,0,0,393053016037,4126368927,829031,6908,1717,368,392075,0 17,2,2024-09-07 09:31:21:676,607269,607268,1,0,26859293,0,5050 17,3,2024-09-07 09:31:20:574,1,478,1,0,518,7417,478,0 18,0,2024-09-07 09:31:20:951,118979,0.7,119510,0.8,238397,0.7,318258,2.25 18,1,2024-09-07 09:31:21:639,841345,841345,0,0,395735453192,4105723010,835845,4643,857,367,391725,0 18,2,2024-09-07 09:31:21:755,606847,606847,0,0,23654014,0,3541 18,3,2024-09-07 09:31:20:910,1,478,2,0,1059,4730,478,0 19,0,2024-09-07 09:31:21:549,118881,0.6,119198,0.8,236999,0.6,315865,2.25 19,1,2024-09-07 09:31:20:573,841455,841455,0,0,395088573400,4099099297,834718,5655,1082,367,391777,0 19,2,2024-09-07 09:31:21:752,606660,606660,0,0,22100263,0,3988 19,3,2024-09-07 09:31:21:134,1,478,0,0,524,4004,478,0 20,0,2024-09-07 09:31:21:384,119998,0.5,120138,0.7,239742,0.5,319823,2.00 20,1,2024-09-07 09:31:20:580,838343,838343,0,0,394416754155,4131135883,829794,7191,1358,369,391922,0 20,2,2024-09-07 09:31:20:951,603997,603997,0,0,26284936,0,3721 20,3,2024-09-07 09:31:20:594,1,478,0,0,468,7876,478,0 21,0,2024-09-07 09:31:21:147,119872,0.4,120001,0.6,239848,0.4,318509,1.75 21,1,2024-09-07 09:31:21:539,836108,836108,0,0,392857665504,4147669110,822668,10362,3078,368,392016,0 21,2,2024-09-07 09:31:21:071,601876,601856,20,0,31658168,0,5617 21,3,2024-09-07 09:31:21:404,1,478,22,0,713,6318,478,0 22,0,2024-09-07 09:31:21:719,119726,0.5,120146,0.7,239971,0.4,318098,2.00 22,1,2024-09-07 09:31:21:031,837676,837676,0,0,393068960265,4137279839,823886,10829,2961,382,391675,0 22,2,2024-09-07 09:31:20:764,602984,602958,26,0,26137814,0,6328 22,3,2024-09-07 09:31:21:072,1,478,1,0,228,3945,478,0 23,0,2024-09-07 09:31:21:367,119045,0.5,118511,0.7,237188,0.5,316786,2.25 23,1,2024-09-07 09:31:21:003,838791,838791,0,0,394503890276,4141120374,824199,10335,4257,365,391690,0 23,2,2024-09-07 09:31:21:096,606804,606804,0,0,24695718,0,3773 23,3,2024-09-07 09:31:21:754,1,478,1,0,720,5952,478,0 24,0,2024-09-07 09:31:20:977,120321,0.4,119504,0.5,240219,0.4,319021,1.75 24,1,2024-09-07 09:31:20:606,837681,837681,0,0,392718553557,4113517714,829167,6852,1662,367,392269,0 24,2,2024-09-07 09:31:21:081,604429,604429,0,0,29275070,0,3607 24,3,2024-09-07 09:31:21:689,1,478,10,0,468,6348,478,0 25,0,2024-09-07 09:31:21:366,122875,0.4,119760,0.6,234992,0.4,320042,1.75 25,1,2024-09-07 09:31:20:573,836685,836685,0,0,393438705644,4148432143,823001,10977,2707,371,391928,0 25,2,2024-09-07 09:31:21:629,602443,602443,0,0,30739562,0,3978 25,3,2024-09-07 09:31:21:012,1,478,12,0,532,5342,478,0 26,0,2024-09-07 09:31:21:733,119519,0.4,116960,0.6,245246,0.4,318993,1.75 26,1,2024-09-07 09:31:21:541,839596,839596,0,0,393186756157,4120307345,827278,9905,2413,380,391748,0 26,2,2024-09-07 09:31:20:861,603607,603607,0,0,32040177,0,4689 26,3,2024-09-07 09:31:21:712,1,478,6,0,796,5580,478,0 27,0,2024-09-07 09:31:21:729,119803,0.4,120051,0.6,238769,0.4,318760,2.00 27,1,2024-09-07 09:31:21:676,840650,840650,0,0,395158694708,4116942748,832394,6994,1262,381,391626,0 27,2,2024-09-07 09:31:20:877,603651,603586,65,0,27900841,0,5699 27,3,2024-09-07 09:31:21:023,1,478,15,0,564,4303,478,0 28,0,2024-09-07 09:31:21:395,119665,0.4,119081,0.6,239172,0.3,318827,1.75 28,1,2024-09-07 09:31:20:806,840063,840063,0,0,395252536072,4123786245,832569,5794,1700,382,391698,0 28,2,2024-09-07 09:31:21:764,604417,604417,0,0,24707389,0,2915 28,3,2024-09-07 09:31:21:776,1,478,1,0,502,4881,478,0 29,0,2024-09-07 09:31:21:356,123417,0.4,120250,0.6,235765,0.3,321356,1.75 29,1,2024-09-07 09:31:21:561,842931,842931,0,0,396279817322,4100541229,837645,4527,759,368,391809,0 29,2,2024-09-07 09:31:20:866,603401,603401,0,0,24178258,0,4986 29,3,2024-09-07 09:31:20:972,1,478,0,0,459,5462,478,0 30,0,2024-09-07 09:31:21:462,119851,0.5,116395,0.7,243564,0.5,318516,2.00 30,1,2024-09-07 09:31:20:574,841664,841664,0,0,395878592314,4110823664,835023,5792,849,380,391672,0 30,2,2024-09-07 09:31:21:282,605476,605476,0,0,23630600,0,4192 30,3,2024-09-07 09:31:20:588,1,478,1,0,519,4885,478,0 31,0,2024-09-07 09:31:21:763,119865,0.5,120308,0.7,240235,0.4,320218,2.00 31,1,2024-09-07 09:31:20:571,844459,844459,0,0,396894486145,4072430954,840126,3532,801,356,391712,0 31,2,2024-09-07 09:31:21:282,604067,604067,0,0,26042449,0,4470 31,3,2024-09-07 09:31:21:710,1,478,1,0,220,4086,478,0 32,0,2024-09-07 09:31:21:420,119619,0.3,120297,0.5,239445,0.3,319418,1.75 32,1,2024-09-07 09:31:20:810,840539,840539,0,0,394932360277,4108027584,835018,4814,707,381,391646,0 32,2,2024-09-07 09:31:20:936,605338,605338,0,0,22643548,0,3922 32,3,2024-09-07 09:31:21:015,1,478,12,0,304,3953,478,0 33,0,2024-09-07 09:31:21:499,120651,0.3,120234,0.4,240598,0.2,320229,1.50 33,1,2024-09-07 09:31:20:575,841648,841648,0,0,395691604417,4103113317,834451,6025,1172,368,391730,0 33,2,2024-09-07 09:31:20:759,604918,604883,35,0,25330324,0,7012 33,3,2024-09-07 09:31:20:895,1,478,2,0,329,4583,478,0 34,0,2024-09-07 09:31:20:939,120434,0.3,123760,0.4,236623,0.2,318696,1.75 34,1,2024-09-07 09:31:21:047,843483,843483,0,0,396876959644,4081702463,841257,2204,22,367,391562,0 34,2,2024-09-07 09:31:20:773,607040,607040,0,0,23686608,0,4562 34,3,2024-09-07 09:31:21:688,1,478,2,0,320,3887,478,0 35,0,2024-09-07 09:31:20:866,119001,0.3,119616,0.5,240270,0.3,319836,1.75 35,1,2024-09-07 09:31:21:071,840708,840708,0,0,395427250462,4101652681,835107,4545,1056,382,391769,0 35,2,2024-09-07 09:31:21:584,604203,604203,0,0,25791952,0,4055 35,3,2024-09-07 09:31:20:910,1,478,0,0,418,4746,478,0 36,0,2024-09-07 09:31:21:612,120192,0.5,120046,0.7,240070,0.4,319582,2.00 36,1,2024-09-07 09:31:20:598,840162,840162,0,0,393719240346,4112175165,829382,8664,2116,366,391759,0 36,2,2024-09-07 09:31:21:751,605234,605234,0,0,26308049,0,3875 36,3,2024-09-07 09:31:20:864,1,478,1,0,416,6847,478,0 37,0,2024-09-07 09:31:21:444,118801,0.5,118837,0.6,237827,0.5,317317,2.00 37,1,2024-09-07 09:31:20:583,838902,838895,0,7,393870454804,4114722138,828510,7830,2555,365,391770,0 37,2,2024-09-07 09:31:21:142,602899,602884,15,0,27136851,0,5815 37,3,2024-09-07 09:31:21:766,1,478,5,0,888,6972,478,0 38,0,2024-09-07 09:31:21:437,119055,0.4,115593,0.6,241708,0.4,316832,2.00 38,1,2024-09-07 09:31:21:614,839870,839870,0,0,395727667716,4139426510,827110,10249,2511,368,391821,0 38,2,2024-09-07 09:31:20:759,603715,603668,47,0,27413385,0,6710 38,3,2024-09-07 09:31:21:002,1,478,5,0,689,6405,478,0 39,0,2024-09-07 09:31:21:759,122910,0.6,120208,0.7,234317,0.5,319503,2.00 39,1,2024-09-07 09:31:20:718,838586,838586,0,0,393022833154,4127115151,823443,11770,3373,365,391658,0 39,2,2024-09-07 09:31:21:419,604318,604318,0,0,25602458,0,3391 39,3,2024-09-07 09:31:20:726,1,478,1,0,324,5189,478,0 40,0,2024-09-07 09:31:21:497,118247,0.8,118924,0.9,237155,0.8,316319,2.75 40,1,2024-09-07 09:31:20:587,839865,839865,0,0,393330010214,4116680337,828189,9507,2169,368,391668,0 40,2,2024-09-07 09:31:21:315,604491,604490,1,0,29760326,0,5137 40,3,2024-09-07 09:31:21:142,1,478,1,0,1028,6256,478,0 41,0,2024-09-07 09:31:21:022,118590,1.2,121326,1.1,231379,1.6,315325,3.00 41,1,2024-09-07 09:31:20:778,838426,838426,0,0,394152926236,4125366775,827594,9123,1709,369,391742,0 41,2,2024-09-07 09:31:20:759,602203,602203,0,0,28402882,0,4277 41,3,2024-09-07 09:31:21:684,1,478,4,0,366,4985,478,0 42,0,2024-09-07 09:31:21:480,119143,0.8,118850,1.0,238134,0.9,315214,2.75 42,1,2024-09-07 09:31:21:443,836097,836097,0,0,392897849582,4133291278,821887,11365,2845,380,391675,0 42,2,2024-09-07 09:31:21:139,603108,603108,0,0,28126527,0,3790 42,3,2024-09-07 09:31:21:012,1,478,1,0,892,4491,478,0 43,0,2024-09-07 09:31:20:933,119074,0.7,116006,0.9,242650,0.7,317991,2.25 43,1,2024-09-07 09:31:20:577,839595,839595,0,0,394178847025,4119874297,827661,9966,1968,366,391696,0 43,2,2024-09-07 09:31:21:740,604772,604772,0,0,27697569,0,3812 43,3,2024-09-07 09:31:21:749,1,478,1,0,571,6823,478,0 44,0,2024-09-07 09:31:20:877,120117,0.4,120119,0.6,240807,0.4,319671,2.00 44,1,2024-09-07 09:31:20:571,841484,841484,0,0,395656400421,4090851719,833991,5944,1549,356,391809,0 44,2,2024-09-07 09:31:21:272,603804,603804,0,0,23459714,0,4344 44,3,2024-09-07 09:31:21:098,1,478,6,0,817,5416,478,0 45,0,2024-09-07 09:31:21:827,117455,0.5,114722,0.7,240831,0.4,316616,2.00 45,1,2024-09-07 09:31:21:017,840314,840314,0,0,395381728215,4111797140,833137,6311,866,382,391917,0 45,2,2024-09-07 09:31:21:283,605296,605296,0,0,23412189,0,3596 45,3,2024-09-07 09:31:20:934,1,478,1,0,271,4255,478,0 46,0,2024-09-07 09:31:20:962,119619,0.5,119048,0.7,238975,0.5,317019,2.00 46,1,2024-09-07 09:31:20:575,842686,842686,0,0,395314094809,4085142166,837096,4860,730,366,391709,0 46,2,2024-09-07 09:31:20:594,604414,604414,0,0,23711198,0,4443 46,3,2024-09-07 09:31:21:135,1,478,1,0,908,5721,478,0 47,0,2024-09-07 09:31:21:113,120510,0.4,120834,0.6,241977,0.4,320288,1.75 47,1,2024-09-07 09:31:20:577,842748,842748,0,0,394980248809,4082247023,837650,4259,839,366,391641,0 47,2,2024-09-07 09:31:20:910,608119,608119,0,0,23978033,0,4477 47,3,2024-09-07 09:31:21:124,1,478,1,0,600,5626,478,0 48,0,2024-09-07 09:31:21:489,120637,0.3,120665,0.4,240130,0.2,320261,1.50 48,1,2024-09-07 09:31:21:036,840944,840944,0,0,395282669199,4106747647,835700,4720,524,384,391710,0 48,2,2024-09-07 09:31:20:701,604926,604926,0,0,22324053,0,3411 48,3,2024-09-07 09:31:20:759,1,478,1,0,339,3773,478,0 49,0,2024-09-07 09:31:21:713,122305,0.4,120152,0.5,233545,0.3,319659,1.75 49,1,2024-09-07 09:31:21:041,840276,840276,0,0,395063649216,4109455882,834758,4308,1210,382,391809,0 49,2,2024-09-07 09:31:21:798,605296,605296,0,0,24152887,0,4426 49,3,2024-09-07 09:31:21:417,1,478,12,0,992,5543,478,0 50,0,2024-09-07 09:31:21:514,120737,0.3,119045,0.5,239851,0.2,319716,1.75 50,1,2024-09-07 09:31:21:012,843037,843037,0,0,396277099592,4099188981,837354,5020,663,368,391565,0 50,2,2024-09-07 09:31:21:078,604751,604751,0,0,22345652,0,4490 50,3,2024-09-07 09:31:21:292,1,478,0,0,617,5251,478,0 51,0,2024-09-07 09:31:21:700,122959,0.3,120461,0.5,234729,0.2,320166,1.75 51,1,2024-09-07 09:31:21:681,843871,843871,0,0,396799225721,4093600466,839341,3477,1053,365,391706,0 51,2,2024-09-07 09:31:21:316,605657,605657,0,0,21574591,0,3337 51,3,2024-09-07 09:31:21:036,1,478,1,0,678,3708,478,0 52,0,2024-09-07 09:31:21:451,120195,0.5,119954,0.7,240223,0.4,319055,2.00 52,1,2024-09-07 09:31:20:577,839060,839060,0,0,393946024539,4124033150,827055,10168,1837,368,391722,0 52,2,2024-09-07 09:31:21:755,601232,601194,38,0,28432741,0,6742 52,3,2024-09-07 09:31:20:679,1,478,1,0,1782,6156,478,0 53,0,2024-09-07 09:31:21:747,118624,0.6,115083,0.8,240847,0.7,316617,2.25 53,1,2024-09-07 09:31:20:779,837346,837346,0,0,394040306126,4135207126,823464,10290,3592,367,391968,0 53,2,2024-09-07 09:31:21:299,605637,605636,1,0,25315410,0,5455 53,3,2024-09-07 09:31:20:700,1,478,9,0,308,4735,478,0 54,0,2024-09-07 09:31:21:621,118043,0.5,118265,0.7,235686,0.4,314932,2.25 54,1,2024-09-07 09:31:20:581,839568,839568,0,0,395541836535,4112566370,830822,7345,1401,366,391659,0 54,2,2024-09-07 09:31:20:865,605085,605053,32,0,28751340,0,6397 54,3,2024-09-07 09:31:20:763,1,478,1,0,676,6617,478,0 55,0,2024-09-07 09:31:21:759,115644,0.6,119162,0.7,241566,0.5,314863,2.25 55,1,2024-09-07 09:31:20:764,840977,840977,0,0,395169905963,4108035830,832236,7522,1219,365,391731,0 55,2,2024-09-07 09:31:20:734,603395,603339,56,0,27786368,0,7239 55,3,2024-09-07 09:31:20:681,1,478,1,0,304,4648,478,0 56,0,2024-09-07 09:31:21:576,122445,1.2,115446,1.1,237872,1.6,318287,2.75 56,1,2024-09-07 09:31:20:572,836487,836487,0,0,393404858490,4150833999,824237,9697,2553,381,391867,0 56,2,2024-09-07 09:31:21:308,603464,603342,122,0,28945489,0,7432 56,3,2024-09-07 09:31:21:059,1,478,1,0,705,5708,478,0 57,0,2024-09-07 09:31:20:948,117873,1.5,117773,1.2,236000,2.1,315787,3.00 57,1,2024-09-07 09:31:20:993,838020,838020,0,0,393775933922,4130299323,827230,8724,2066,366,392032,0 57,2,2024-09-07 09:31:21:316,605638,605638,0,0,29512059,0,4317 57,3,2024-09-07 09:31:21:741,1,478,3,0,455,5487,478,0 58,0,2024-09-07 09:31:20:563,117613,0.9,114235,1.0,238890,1.0,313670,2.50 58,1,2024-09-07 09:31:20:577,839009,839006,0,3,394360074087,4130580200,826714,9524,2768,367,391603,3 58,2,2024-09-07 09:31:21:080,603697,603697,0,0,27544344,0,3483 58,3,2024-09-07 09:31:21:070,1,478,3,0,1043,5046,478,0 59,0,2024-09-07 09:31:21:738,119612,0.8,119228,1.0,238027,0.9,315630,2.75 59,1,2024-09-07 09:31:20:815,838650,838650,0,0,394427851361,4135535753,826340,10073,2237,369,391653,0 59,2,2024-09-07 09:31:20:589,603239,603239,0,0,27066106,0,3727 59,3,2024-09-07 09:31:21:741,1,478,0,0,1015,6299,478,0 60,0,2024-09-07 09:31:21:712,119961,0.5,120121,0.7,240603,0.5,319464,1.75 60,1,2024-09-07 09:31:20:771,841683,841683,0,0,395899974006,4110010338,835765,4989,929,370,392031,0 60,2,2024-09-07 09:31:21:144,605076,605076,0,0,25803125,0,3811 60,3,2024-09-07 09:31:21:266,1,478,7,0,409,5965,478,0 61,0,2024-09-07 09:31:21:493,120007,0.7,120646,0.8,240209,0.7,319941,2.00 61,1,2024-09-07 09:31:20:778,838980,838980,0,0,394018341119,4129528635,829297,8106,1577,382,392127,0 61,2,2024-09-07 09:31:21:126,605127,605060,67,0,26475756,0,6411 61,3,2024-09-07 09:31:21:687,1,478,2,0,479,6510,478,0 62,0,2024-09-07 09:31:21:708,119956,0.6,122967,0.7,234806,0.6,319236,2.00 62,1,2024-09-07 09:31:21:112,843902,843896,0,6,396117615373,4083627213,839951,3682,263,365,391975,6 62,2,2024-09-07 09:31:21:644,602439,602438,1,0,25655216,0,5555 62,3,2024-09-07 09:31:21:166,1,478,1,0,482,4024,478,0 63,0,2024-09-07 09:31:21:473,120634,0.4,120346,0.6,241429,0.4,320623,1.75 63,1,2024-09-07 09:31:20:814,841334,841328,0,6,395594224733,4106758372,835977,4617,734,381,391800,6 63,2,2024-09-07 09:31:20:769,604209,604209,0,0,24514315,0,4369 63,3,2024-09-07 09:31:21:732,1,478,1,0,667,5107,478,0 64,0,2024-09-07 09:31:21:509,119734,0.5,119821,0.7,239107,0.5,317931,2.00 64,1,2024-09-07 09:31:20:750,840662,840662,0,0,394803873485,4113025461,833361,5587,1714,370,391783,0 64,2,2024-09-07 09:31:21:144,609240,609221,19,0,24165394,0,6121 64,3,2024-09-07 09:31:21:143,1,478,1,0,651,5286,478,0 65,0,2024-09-07 09:31:21:674,118772,0.7,119078,0.8,237726,0.7,317088,2.00 65,1,2024-09-07 09:31:20:863,838666,838666,0,0,393754328859,4116305688,832661,5308,697,381,391901,0 65,2,2024-09-07 09:31:21:692,604403,604403,0,0,28096313,0,3367 65,3,2024-09-07 09:31:21:683,1,478,7,0,782,5836,478,0 66,0,2024-09-07 09:31:21:783,119361,0.6,119110,0.8,238139,0.6,316945,2.25 66,1,2024-09-07 09:31:21:296,840603,840603,0,0,394512699856,4109648893,834477,5405,721,380,391743,0 66,2,2024-09-07 09:31:21:141,606328,606325,3,0,25573580,0,5455 66,3,2024-09-07 09:31:21:081,1,478,1,0,291,4250,478,0 67,0,2024-09-07 09:31:21:425,119202,0.5,118929,0.7,238758,0.5,317842,2.00 67,1,2024-09-07 09:31:20:774,840427,840426,0,1,394703897868,4111218942,834441,5176,809,380,391787,1 67,2,2024-09-07 09:31:20:590,606694,606679,15,0,24476724,0,6205 67,3,2024-09-07 09:31:21:750,1,478,3,0,392,4656,478,0 68,0,2024-09-07 09:31:20:567,119898,0.5,119791,0.7,238569,0.5,319138,2.00 68,1,2024-09-07 09:31:20:573,836995,836995,0,0,392653531983,4130883196,825354,8294,3347,381,391953,0 68,2,2024-09-07 09:31:21:078,601162,601062,100,0,31648451,0,8578 68,3,2024-09-07 09:31:20:734,1,478,0,0,417,5897,478,0 69,0,2024-09-07 09:31:21:721,119392,0.7,120186,0.8,239516,0.7,317776,2.25 69,1,2024-09-07 09:31:21:020,836876,836876,0,0,393056398223,4144056848,825499,8667,2710,384,391994,0 69,2,2024-09-07 09:31:21:733,602895,602866,29,0,32777603,0,6912 69,3,2024-09-07 09:31:20:760,1,478,8,0,698,7223,478,0 70,0,2024-09-07 09:31:21:559,118190,0.9,118498,1.0,238446,0.8,315693,2.50 70,1,2024-09-07 09:31:20:810,841268,841268,0,0,396022987012,4109727101,834630,5937,701,366,391725,0 70,2,2024-09-07 09:31:21:326,605432,605432,0,0,27791936,0,4323 70,3,2024-09-07 09:31:20:745,1,478,1,0,854,5405,478,0 71,0,2024-09-07 09:31:21:376,118063,0.9,117896,1.0,236797,1.1,316419,2.75 71,1,2024-09-07 09:31:21:624,839129,839129,0,0,395057590557,4124364798,828602,9359,1168,368,391738,0 71,2,2024-09-07 09:31:21:080,603279,603279,0,0,27982291,0,4352 71,3,2024-09-07 09:31:21:760,1,478,2,0,644,5851,478,0 72,0,2024-09-07 09:31:21:035,123731,0.5,121052,0.7,235966,0.5,320496,2.00 72,1,2024-09-07 09:31:21:027,838021,838021,0,0,393846843910,4132151622,825924,10011,2086,369,391819,0 72,2,2024-09-07 09:31:21:754,602744,602744,0,0,29503975,0,3983 72,3,2024-09-07 09:31:21:754,1,478,0,0,564,6830,478,0 73,0,2024-09-07 09:31:21:161,117111,0.4,120245,0.6,245464,0.4,318882,2.00 73,1,2024-09-07 09:31:20:766,840267,840267,0,0,394448900628,4096518517,834332,5513,422,367,391858,0 73,2,2024-09-07 09:31:21:744,604040,604040,0,0,29580906,0,4291 73,3,2024-09-07 09:31:20:977,1,478,2,0,486,6100,478,0 74,0,2024-09-07 09:31:21:349,120905,0.5,123685,0.7,235780,0.5,320014,2.25 74,1,2024-09-07 09:31:20:640,839461,839461,0,0,393641169605,4108920617,830377,7318,1766,381,391762,0 74,2,2024-09-07 09:31:21:011,604933,604933,0,0,26262850,0,4253 74,3,2024-09-07 09:31:21:461,1,478,1,0,522,5835,478,0 75,0,2024-09-07 09:31:21:778,119372,0.5,118606,0.7,238009,0.5,318267,2.25 75,1,2024-09-07 09:31:21:589,840154,840154,0,0,394422267928,4113677274,832623,6689,842,380,391739,0 75,2,2024-09-07 09:31:21:355,603168,603168,0,0,30098004,0,4766 75,3,2024-09-07 09:31:21:077,1,478,1,0,702,6002,478,0 76,0,2024-09-07 09:31:20:580,119429,0.6,118775,0.7,238322,0.5,318475,2.25 76,1,2024-09-07 09:31:20:818,839101,839101,0,0,393913568630,4109211099,833314,4958,829,382,391692,0 76,2,2024-09-07 09:31:21:066,606190,606187,3,0,26634072,0,5265 76,3,2024-09-07 09:31:21:143,1,478,1,0,227,4582,478,0 77,0,2024-09-07 09:31:21:700,119938,0.5,120339,0.7,241039,0.5,319282,2.00 77,1,2024-09-07 09:31:20:838,840146,840146,0,0,394318469021,4115331211,833614,5972,560,381,391869,0 77,2,2024-09-07 09:31:21:296,604962,604962,0,0,25841272,0,3890 77,3,2024-09-07 09:31:21:104,1,478,14,0,401,5194,478,0 78,0,2024-09-07 09:31:21:719,120412,0.4,119861,0.6,240496,0.4,318894,2.00 78,1,2024-09-07 09:31:20:620,840280,840280,0,0,393718806459,4105593823,830733,7318,2229,367,391670,0 78,2,2024-09-07 09:31:21:415,605873,605860,13,0,24042041,0,8313 78,3,2024-09-07 09:31:21:139,1,478,1,0,181,4022,478,0 79,0,2024-09-07 09:31:21:358,115139,0.4,118005,0.6,241443,0.4,314564,2.25 79,1,2024-09-07 09:31:20:572,842149,842149,0,0,395253136342,4097574323,834853,5945,1351,367,391682,0 79,2,2024-09-07 09:31:21:078,605175,605175,0,0,23202951,0,4195 79,3,2024-09-07 09:31:20:753,1,478,1,0,418,6020,478,0 80,0,2024-09-07 09:31:21:090,119879,0.5,123146,0.7,235917,0.5,319126,2.00 80,1,2024-09-07 09:31:21:633,839365,839365,0,0,394495510151,4109577930,833577,5365,423,368,392269,0 80,2,2024-09-07 09:31:21:099,606418,606418,0,0,23972752,0,4433 80,3,2024-09-07 09:31:20:584,1,478,7,0,190,5466,478,0 81,0,2024-09-07 09:31:21:535,119983,0.5,122871,0.7,234457,0.5,318780,2.00 81,1,2024-09-07 09:31:21:651,838653,838653,0,0,394038028318,4121408206,831533,6483,637,382,391879,0 81,2,2024-09-07 09:31:21:133,603955,603892,63,0,27025991,0,5932 81,3,2024-09-07 09:31:21:134,1,478,22,0,719,5610,478,0 82,0,2024-09-07 09:31:21:533,119616,0.5,119785,0.7,239912,0.5,318333,2.00 82,1,2024-09-07 09:31:20:586,840800,840796,0,4,394459471963,4104015628,835738,4239,819,381,391768,4 82,2,2024-09-07 09:31:21:697,605479,605479,0,0,22413438,0,4484 82,3,2024-09-07 09:31:21:752,1,478,1,0,363,4831,478,0 83,0,2024-09-07 09:31:21:537,119408,0.6,119220,0.8,237932,0.6,316653,2.25 83,1,2024-09-07 09:31:20:558,839142,839142,0,0,393953554289,4108147088,833207,5484,451,382,391709,0 83,2,2024-09-07 09:31:20:764,605079,605054,25,0,24097857,0,5612 83,3,2024-09-07 09:31:20:750,1,478,0,0,1260,5961,478,0 84,0,2024-09-07 09:31:21:771,118655,0.7,118673,0.8,237146,0.6,316908,2.25 84,1,2024-09-07 09:31:21:044,838421,838421,0,0,393794695388,4115492885,829385,7860,1176,367,391967,0 84,2,2024-09-07 09:31:20:582,603369,603339,30,0,31629530,0,5971 84,3,2024-09-07 09:31:21:141,1,478,4,0,908,6941,478,0 85,0,2024-09-07 09:31:21:012,115181,0.6,115051,0.8,244196,0.6,316290,2.25 85,1,2024-09-07 09:31:20:571,836086,836086,0,0,393402285690,4152294952,823419,10487,2180,381,392006,0 85,2,2024-09-07 09:31:20:866,602944,602944,0,0,29761265,0,4255 85,3,2024-09-07 09:31:20:685,1,478,10,0,789,5555,478,0 86,0,2024-09-07 09:31:20:923,119670,0.6,123145,0.8,235596,0.7,318603,2.25 86,1,2024-09-07 09:31:20:839,838900,838900,0,0,394277279679,4129707580,828794,8384,1722,366,391961,0 86,2,2024-09-07 09:31:20:873,602225,602224,1,0,31687670,0,5004 86,3,2024-09-07 09:31:20:587,1,478,18,0,308,7175,478,0 87,0,2024-09-07 09:31:21:286,119563,0.9,119294,0.9,238651,1.2,319010,2.25 87,1,2024-09-07 09:31:20:561,837361,837361,0,0,392976680960,4120567746,825809,9854,1698,366,392076,0 87,2,2024-09-07 09:31:21:070,605002,604996,6,0,27865751,0,6323 87,3,2024-09-07 09:31:21:794,1,478,1,0,473,7296,478,0 88,0,2024-09-07 09:31:21:442,119103,0.4,119712,0.6,239154,0.4,318525,1.75 88,1,2024-09-07 09:31:20:573,837119,837119,0,0,392639823027,4112765240,825947,8944,2228,365,392084,0 88,2,2024-09-07 09:31:20:696,604255,604255,0,0,30247430,0,4465 88,3,2024-09-07 09:31:21:271,1,478,2,0,435,5910,478,0 89,0,2024-09-07 09:31:21:766,123530,0.4,119658,0.6,236565,0.4,321439,1.75 89,1,2024-09-07 09:31:20:558,837010,837010,0,0,394515940799,4146940197,826845,8690,1475,382,391866,0 89,2,2024-09-07 09:31:21:135,603059,603059,0,0,28492026,0,3173 89,3,2024-09-07 09:31:21:797,1,478,1,0,468,7979,478,0 90,0,2024-09-07 09:31:21:623,116544,0.4,119743,0.6,244167,0.4,318415,2.00 90,1,2024-09-07 09:31:20:605,838911,838911,0,0,394147121154,4124490872,831328,6932,651,380,391825,0 90,2,2024-09-07 09:31:21:405,602943,602943,0,0,30564378,0,3608 90,3,2024-09-07 09:31:20:947,1,478,4,0,322,5710,478,0 91,0,2024-09-07 09:31:20:935,120781,0.5,116955,0.6,244484,0.5,320626,1.75 91,1,2024-09-07 09:31:20:564,837169,837169,0,0,394221312597,4143606764,826771,8754,1644,381,392047,0 91,2,2024-09-07 09:31:21:335,606022,606022,0,0,26882517,0,3526 91,3,2024-09-07 09:31:20:603,1,478,11,0,216,4710,478,0 92,0,2024-09-07 09:31:21:442,120849,0.5,123511,0.6,235629,0.5,319531,1.75 92,1,2024-09-07 09:31:20:587,839562,839562,0,0,393621362148,4112650195,832988,5498,1076,381,392136,0 92,2,2024-09-07 09:31:21:349,605212,605212,0,0,24122730,0,3259 92,3,2024-09-07 09:31:21:011,1,478,1,0,167,4216,478,0 93,0,2024-09-07 09:31:20:971,121072,0.4,124060,0.6,236733,0.4,320784,1.75 93,1,2024-09-07 09:31:20:816,839523,839523,0,0,394200241542,4117433766,830099,7724,1700,366,391776,0 93,2,2024-09-07 09:31:20:932,603859,603859,0,0,29694903,0,4913 93,3,2024-09-07 09:31:21:406,1,478,12,0,190,4250,478,0 94,0,2024-09-07 09:31:21:643,119879,0.3,120568,0.5,240921,0.3,319715,1.75 94,1,2024-09-07 09:31:20:571,839397,839397,0,0,395030684321,4121944856,833625,5401,371,381,391850,0 94,2,2024-09-07 09:31:20:769,605294,605266,28,0,25473851,0,6179 94,3,2024-09-07 09:31:21:688,1,478,1,0,576,6173,478,0 95,0,2024-09-07 09:31:21:367,119894,0.3,119581,0.5,239734,0.3,319713,1.75 95,1,2024-09-07 09:31:20:859,841187,841187,0,0,395199056025,4107843017,834464,6243,480,365,391786,0 95,2,2024-09-07 09:31:21:018,604140,604140,0,0,24221575,0,3308 95,3,2024-09-07 09:31:21:711,1,478,5,0,718,7181,478,0 96,0,2024-09-07 09:31:21:032,120033,0.4,120282,0.5,240304,0.4,318919,1.75 96,1,2024-09-07 09:31:21:628,838975,838975,0,0,394044926323,4111812246,832738,5326,911,384,391955,0 96,2,2024-09-07 09:31:21:267,605516,605516,0,0,24990904,0,4180 96,3,2024-09-07 09:31:21:141,1,478,1,0,411,5225,478,0 97,0,2024-09-07 09:31:21:333,119321,0.3,118909,0.5,238791,0.3,317853,1.50 97,1,2024-09-07 09:31:20:769,840658,840658,0,0,395786957143,4106745756,835138,4584,936,367,392140,0 97,2,2024-09-07 09:31:20:607,605446,605446,0,0,24397838,0,3679 97,3,2024-09-07 09:31:20:577,1,478,4,0,242,5768,478,0 98,0,2024-09-07 09:31:21:714,119400,0.3,119660,0.4,239988,0.2,318865,1.50 98,1,2024-09-07 09:31:20:575,840526,840526,0,0,394679122478,4112129424,835253,4479,794,381,391997,0 98,2,2024-09-07 09:31:20:773,604656,604656,0,0,24382379,0,4336 98,3,2024-09-07 09:31:20:708,1,478,1,0,840,7550,478,0 99,0,2024-09-07 09:31:21:466,120008,0.3,120673,0.5,239961,0.3,320353,1.75 99,1,2024-09-07 09:31:21:723,840428,840428,0,0,394361044909,4107175975,834596,4911,921,380,392069,0 99,2,2024-09-07 09:31:21:427,605274,605274,0,0,29334153,0,4276 99,3,2024-09-07 09:31:20:589,1,478,1,0,606,5009,478,0 100,0,2024-09-07 09:31:21:519,119181,0.7,119537,0.9,238244,0.8,318455,2.25 100,1,2024-09-07 09:31:20:565,835418,835418,0,0,392663505003,4154448816,821870,10563,2985,378,391989,0 100,2,2024-09-07 09:31:21:817,603096,603085,11,0,27959591,0,5417 100,3,2024-09-07 09:31:21:734,1,478,627,0,627,8130,478,0 101,0,2024-09-07 09:31:21:700,121655,1.0,118520,1.0,232356,0.9,318072,2.25 101,1,2024-09-07 09:31:20:571,836590,836590,0,0,392876841459,4131633915,824561,9635,2394,368,391847,0 101,2,2024-09-07 09:31:21:757,600563,600563,0,0,32877065,0,4871 101,3,2024-09-07 09:31:20:947,1,478,0,0,1250,7547,478,0 102,0,2024-09-07 09:31:20:952,116600,0.6,120211,0.7,243967,0.6,318971,2.00 102,1,2024-09-07 09:31:21:147,836345,836345,0,0,393086190343,4131460618,824704,9642,1999,369,391891,0 102,2,2024-09-07 09:31:21:746,604095,604041,54,0,27577006,0,6768 102,3,2024-09-07 09:31:21:614,1,478,1,0,466,5092,478,0 103,0,2024-09-07 09:31:21:614,124080,0.5,124135,0.7,233832,0.5,321392,2.00 103,1,2024-09-07 09:31:21:634,835927,835927,0,0,392593215891,4146433062,822337,10396,3194,381,391862,0 103,2,2024-09-07 09:31:20:594,602968,602968,0,0,29602110,0,3766 103,3,2024-09-07 09:31:20:762,1,478,14,0,916,5689,478,0 104,0,2024-09-07 09:31:21:021,119078,0.7,119355,0.9,237698,0.7,318085,2.25 104,1,2024-09-07 09:31:21:615,838183,838183,0,0,393839572645,4139334449,825500,10136,2547,365,392168,0 104,2,2024-09-07 09:31:21:666,603216,603216,0,0,28452918,0,3941 104,3,2024-09-07 09:31:21:418,1,478,3,0,1245,8897,478,0 105,0,2024-09-07 09:31:21:054,117735,0.8,114638,1.0,240295,0.9,316274,2.50 105,1,2024-09-07 09:31:20:573,839571,839571,0,0,394192362572,4130975399,828723,9195,1653,366,392009,0 105,2,2024-09-07 09:31:21:325,602666,602666,0,0,28022468,0,3509 105,3,2024-09-07 09:31:21:304,1,478,6,0,399,7028,478,0 106,0,2024-09-07 09:31:20:959,115921,0.8,118667,0.9,243250,0.8,317324,2.50 106,1,2024-09-07 09:31:21:752,838672,838672,0,0,393261606142,4127564883,826660,10369,1643,368,391914,0 106,2,2024-09-07 09:31:20:764,603187,603187,0,0,27140203,0,2920 106,3,2024-09-07 09:31:20:680,1,478,3,0,470,6235,478,0 107,0,2024-09-07 09:31:21:136,120160,0.8,120399,0.9,240559,0.9,320488,2.25 107,1,2024-09-07 09:31:20:590,837117,837117,0,0,393301979695,4144326083,825705,10310,1102,381,392234,0 107,2,2024-09-07 09:31:21:293,603245,603244,1,0,29504216,0,5024 107,3,2024-09-07 09:31:21:759,1,478,1,0,370,6831,478,0 108,0,2024-09-07 09:31:21:782,119810,0.4,120665,0.6,239930,0.4,320323,1.75 108,1,2024-09-07 09:31:21:307,839278,839278,0,0,393668224062,4106565686,832185,6257,836,367,391857,0 108,2,2024-09-07 09:31:21:757,603685,603685,0,0,27033393,0,4246 108,3,2024-09-07 09:31:21:335,1,478,1,0,749,9528,478,0 109,0,2024-09-07 09:31:21:776,119892,0.4,118935,0.6,238141,0.4,318687,1.75 109,1,2024-09-07 09:31:20:586,836808,836808,0,0,394373321183,4139049022,828807,6790,1211,382,392132,0 109,2,2024-09-07 09:31:20:921,602286,602286,0,0,26261420,0,3617 109,3,2024-09-07 09:31:21:146,1,478,1,0,630,6105,478,0 110,0,2024-09-07 09:31:21:752,119958,0.4,116759,0.6,244718,0.4,320360,1.75 110,1,2024-09-07 09:31:21:642,840886,840886,0,0,394913397404,4098358346,834975,4691,1220,368,392045,0 110,2,2024-09-07 09:31:21:309,604938,604938,0,0,25376023,0,4067 110,3,2024-09-07 09:31:20:700,1,478,47,0,722,7021,478,0 111,0,2024-09-07 09:31:21:416,120332,0.4,119677,0.5,239691,0.3,320047,1.75 111,1,2024-09-07 09:31:21:000,842020,842020,0,0,395692308146,4103628594,837695,3969,356,380,391690,0 111,2,2024-09-07 09:31:21:126,604340,604340,0,0,25553094,0,4823 111,3,2024-09-07 09:31:20:930,1,478,7,0,379,5634,478,0 112,0,2024-09-07 09:31:20:921,120637,0.3,119941,0.4,240506,0.2,319340,1.50 112,1,2024-09-07 09:31:20:837,840615,840615,0,0,395171668156,4103895306,835071,4856,688,380,391624,0 112,2,2024-09-07 09:31:21:149,604171,604170,1,0,24437737,0,5036 112,3,2024-09-07 09:31:20:594,1,478,1,0,282,4812,478,0 113,0,2024-09-07 09:31:20:878,119454,0.3,119465,0.5,239203,0.3,318612,1.75 113,1,2024-09-07 09:31:21:689,843019,843019,0,0,396694839589,4094807122,838239,3972,808,366,391661,0 113,2,2024-09-07 09:31:21:309,607432,607432,0,0,22174012,0,3813 113,3,2024-09-07 09:31:20:690,1,478,12,0,340,5174,478,0 114,0,2024-09-07 09:31:20:875,119745,0.3,120588,0.5,239662,0.2,320306,1.75 114,1,2024-09-07 09:31:20:716,841277,841277,0,0,394892042961,4102857878,834630,5031,1616,381,391565,0 114,2,2024-09-07 09:31:20:878,606299,606298,1,0,23564960,0,5069 114,3,2024-09-07 09:31:21:285,1,478,1,0,395,3900,478,0 115,0,2024-09-07 09:31:20:552,120047,0.3,120448,0.4,240433,0.2,319553,1.50 115,1,2024-09-07 09:31:20:576,841015,841015,0,0,395090443702,4107817624,833901,5790,1324,382,391757,0 115,2,2024-09-07 09:31:21:134,606186,606186,0,0,23630313,0,4382 115,3,2024-09-07 09:31:21:002,1,478,84,0,159,2723,478,0 116,0,2024-09-07 09:31:21:697,119520,0.7,119395,0.8,239454,0.6,319839,2.00 116,1,2024-09-07 09:31:20:821,837037,837037,0,0,393428189059,4146142467,827128,7505,2404,380,392089,0 116,2,2024-09-07 09:31:21:752,602611,602611,0,0,30205257,0,4475 116,3,2024-09-07 09:31:20:916,1,478,4,0,415,5717,478,0 117,0,2024-09-07 09:31:20:960,119869,0.7,119323,0.8,239087,0.8,319361,2.00 117,1,2024-09-07 09:31:21:627,837871,837871,0,0,393075592556,4115445434,828663,8092,1116,369,392033,0 117,2,2024-09-07 09:31:21:127,607638,607638,0,0,26248652,0,4303 117,3,2024-09-07 09:31:21:063,1,478,7,0,490,6811,478,0 118,0,2024-09-07 09:31:21:763,115717,0.5,118939,0.7,242517,0.5,317430,2.00 118,1,2024-09-07 09:31:20:595,837471,837471,0,0,393527711463,4136595475,825009,9442,3020,366,392054,0 118,2,2024-09-07 09:31:21:587,603267,603267,0,0,28311602,0,2842 118,3,2024-09-07 09:31:21:768,1,478,0,0,248,5537,478,0 119,0,2024-09-07 09:31:21:412,119813,0.7,120120,0.8,240189,0.7,319572,2.00 119,1,2024-09-07 09:31:20:555,837918,837918,0,0,394229666093,4129913401,827204,9289,1425,367,391857,0 119,2,2024-09-07 09:31:21:287,604134,604134,0,0,26628350,0,4174 119,3,2024-09-07 09:31:21:332,1,478,294,0,1358,9267,478,0 120,0,2024-09-07 09:31:21:556,119396,0.6,119238,0.8,239302,0.7,318982,2.25 120,1,2024-09-07 09:31:20:919,838585,838585,0,0,393254177733,4123368538,829790,8020,775,368,392144,0 120,2,2024-09-07 09:31:20:776,603718,603717,1,0,30739658,0,5281 120,3,2024-09-07 09:31:21:293,1,478,57,0,279,6146,478,0 121,0,2024-09-07 09:31:21:692,120357,1.3,119878,1.1,240259,1.7,319966,2.25 121,1,2024-09-07 09:31:21:665,839049,839049,0,0,394130617910,4118621058,831420,7076,553,367,391840,0 121,2,2024-09-07 09:31:21:126,603982,603982,0,0,28821686,0,4157 121,3,2024-09-07 09:31:20:737,1,478,10,0,269,5551,478,0 122,0,2024-09-07 09:31:21:794,118949,0.8,115917,0.9,242973,0.9,319328,2.00 122,1,2024-09-07 09:31:20:859,837645,837645,0,0,393656726254,4128900963,826367,9573,1705,366,392130,0 122,2,2024-09-07 09:31:21:325,604716,604643,73,0,31783415,0,5989 122,3,2024-09-07 09:31:20:601,1,478,7,0,512,8347,478,0 123,0,2024-09-07 09:31:20:989,119585,0.9,116514,0.9,244149,1.0,319172,2.25 123,1,2024-09-07 09:31:20:575,837716,837716,0,0,393675313223,4142022800,823730,11540,2446,369,392039,0 123,2,2024-09-07 09:31:21:019,602422,602421,1,0,27185297,0,5215 123,3,2024-09-07 09:31:21:139,1,478,1,0,478,5676,478,0 124,0,2024-09-07 09:31:21:020,123716,0.3,123716,0.5,233221,0.3,320662,1.75 124,1,2024-09-07 09:31:21:032,840488,840488,0,0,394095820732,4098477525,834102,5271,1115,367,392178,0 124,2,2024-09-07 09:31:21:015,606155,606102,53,0,25229358,0,6487 124,3,2024-09-07 09:31:20:764,1,478,3,0,490,4830,478,0 125,0,2024-09-07 09:31:21:610,119731,0.4,119533,0.6,239895,0.4,319614,1.75 125,1,2024-09-07 09:31:20:871,838939,838939,0,0,394438232421,4119659862,832054,5904,981,382,391813,0 125,2,2024-09-07 09:31:21:122,605641,605641,0,0,25393708,0,4534 125,3,2024-09-07 09:31:21:146,1,478,27,0,709,5843,478,0 126,0,2024-09-07 09:31:21:424,119941,0.4,123484,0.6,236137,0.4,319708,1.75 126,1,2024-09-07 09:31:20:560,841731,841731,0,0,395821621501,4098082154,837340,4095,296,365,391987,0 126,2,2024-09-07 09:31:20:618,605688,605688,0,0,25749481,0,4539 126,3,2024-09-07 09:31:20:913,1,478,4,0,268,5547,478,0 127,0,2024-09-07 09:31:21:598,119358,0.3,119817,0.5,239042,0.3,318280,1.75 127,1,2024-09-07 09:31:20:574,840522,840522,0,0,394448451495,4105790984,831824,7237,1461,364,392187,0 127,2,2024-09-07 09:31:20:641,603693,603689,4,0,24519565,0,5305 127,3,2024-09-07 09:31:21:281,1,478,1,0,968,4948,478,0 128,0,2024-09-07 09:31:21:540,120255,0.3,120325,0.4,240360,0.2,319679,1.50 128,1,2024-09-07 09:31:21:617,839446,839446,0,0,395573356447,4109191214,833518,5201,727,367,392031,0 128,2,2024-09-07 09:31:21:383,606353,606353,0,0,23155565,0,3171 128,3,2024-09-07 09:31:20:785,1,478,16,0,1082,7813,478,0 129,0,2024-09-07 09:31:21:022,120986,0.3,120490,0.5,241355,0.3,320754,1.50 129,1,2024-09-07 09:31:20:595,837333,837333,0,0,393000370920,4120490452,828833,6765,1735,379,391962,0 129,2,2024-09-07 09:31:20:685,605312,605308,4,0,25329469,0,5335 129,3,2024-09-07 09:31:20:690,1,478,11,0,506,7004,478,0 130,0,2024-09-07 09:31:21:746,120149,0.5,119758,0.6,240687,0.5,319752,1.75 130,1,2024-09-07 09:31:20:592,840842,840842,0,0,394549573912,4101747420,836172,4284,386,381,391825,0 130,2,2024-09-07 09:31:21:131,606476,606476,0,0,24830587,0,4067 130,3,2024-09-07 09:31:21:293,1,478,20,0,960,7058,478,0 131,0,2024-09-07 09:31:21:930,119278,0.4,119557,0.5,239897,0.3,318744,1.75 131,1,2024-09-07 09:31:21:820,839938,839938,0,0,394618249616,4120926147,833128,5620,1190,381,391865,0 131,2,2024-09-07 09:31:20:572,605890,605890,0,0,23009541,0,3979 131,3,2024-09-07 09:31:21:690,1,478,4,0,392,5413,478,0 132,0,2024-09-07 09:31:21:424,119904,0.4,121087,0.6,240751,0.4,320042,1.75 132,1,2024-09-07 09:31:20:598,836543,836543,0,0,393547576978,4142525602,824387,9970,2186,381,392532,0 132,2,2024-09-07 09:31:20:730,603921,603904,17,0,30482675,0,6451 132,3,2024-09-07 09:31:21:687,1,478,1,0,804,8161,478,0 133,0,2024-09-07 09:31:21:543,117090,0.4,119856,0.6,245810,0.4,319611,1.75 133,1,2024-09-07 09:31:20:607,836350,836350,0,0,393270867390,4145011836,824656,10072,1622,383,391914,0 133,2,2024-09-07 09:31:21:100,604450,604400,50,0,30877935,0,6861 133,3,2024-09-07 09:31:21:310,1,478,429,0,479,5413,478,0 134,0,2024-09-07 09:31:20:939,120313,0.5,120087,0.7,240476,0.5,320372,2.00 134,1,2024-09-07 09:31:20:591,837676,837676,0,0,393498519166,4124310427,827302,8305,2069,366,391718,0 134,2,2024-09-07 09:31:21:785,603950,603926,24,0,29160764,0,6207 134,3,2024-09-07 09:31:20:751,1,478,7,0,739,5771,478,0 135,0,2024-09-07 09:31:21:109,115178,0.7,115202,0.8,244517,0.8,315609,2.25 135,1,2024-09-07 09:31:21:588,837810,837810,0,0,394159635963,4143224329,827330,9101,1379,380,391805,0 135,2,2024-09-07 09:31:20:690,603971,603971,0,0,28310410,0,3981 135,3,2024-09-07 09:31:21:012,1,478,3,0,299,4109,478,0 136,0,2024-09-07 09:31:21:630,120546,0.5,120835,0.7,240623,0.5,320362,2.00 136,1,2024-09-07 09:31:21:451,839092,839092,0,0,393012086181,4119229263,829748,8206,1138,381,391685,0 136,2,2024-09-07 09:31:21:139,605361,605361,0,0,26869279,0,3506 136,3,2024-09-07 09:31:21:127,1,478,2,0,637,5485,478,0 137,0,2024-09-07 09:31:20:982,124223,0.6,120953,0.7,237247,0.5,321665,2.00 137,1,2024-09-07 09:31:20:602,837382,837382,0,0,393364191202,4125317731,824459,10254,2669,366,391898,0 137,2,2024-09-07 09:31:21:705,603813,603813,0,0,30117777,0,3185 137,3,2024-09-07 09:31:20:777,1,478,24,0,484,6155,478,0 138,0,2024-09-07 09:31:21:833,119562,0.9,119600,0.9,240111,1.1,318868,2.25 138,1,2024-09-07 09:31:21:685,838411,838411,0,0,394242910252,4133235584,827069,9522,1820,368,391954,0 138,2,2024-09-07 09:31:20:595,603535,603535,0,0,27858039,0,4988 138,3,2024-09-07 09:31:20:618,1,478,1,0,1200,7778,478,0 139,0,2024-09-07 09:31:21:391,118314,1.3,118554,1.1,237336,2.0,317356,2.50 139,1,2024-09-07 09:31:20:574,833927,833927,0,0,390490873083,4146470700,818356,12123,3448,380,392058,0 139,2,2024-09-07 09:31:20:692,600159,600159,0,0,30822816,0,3097 139,3,2024-09-07 09:31:21:665,1,478,8,0,432,5595,478,0 140,0,2024-09-07 09:31:21:595,120729,0.3,119905,0.5,240657,0.2,320590,1.75 140,1,2024-09-07 09:31:21:541,842997,842997,0,0,396553322477,4088282532,838949,3587,461,365,391606,0 140,2,2024-09-07 09:31:20:691,605899,605898,1,0,23766355,0,5036 140,3,2024-09-07 09:31:20:773,1,478,1,0,297,4604,478,0 141,0,2024-09-07 09:31:21:698,120281,0.3,123398,0.5,235641,0.2,319714,1.50 141,1,2024-09-07 09:31:20:864,841348,841348,0,0,395125789579,4106759837,834491,5772,1085,379,391614,0 141,2,2024-09-07 09:31:21:685,605150,605139,11,0,25221934,0,5369 141,3,2024-09-07 09:31:21:043,1,478,5,0,391,5315,478,0 142,0,2024-09-07 09:31:21:346,120529,0.3,119824,0.5,239993,0.3,319988,1.75 142,1,2024-09-07 09:31:20:588,839590,839590,0,0,393910518631,4107147279,834082,5052,456,382,392102,0 142,2,2024-09-07 09:31:21:320,603808,603776,32,0,25853619,0,6028 142,3,2024-09-07 09:31:21:749,1,478,4,0,484,5199,478,0 143,0,2024-09-07 09:31:21:380,119348,0.4,119442,0.6,239388,0.4,318734,1.75 143,1,2024-09-07 09:31:20:621,841353,841353,0,0,395393270262,4104237804,835605,5197,551,367,391705,0 143,2,2024-09-07 09:31:20:779,606037,606037,0,0,24873549,0,3123 143,3,2024-09-07 09:31:21:149,1,478,24,0,462,6199,478,0 144,0,2024-09-07 09:31:21:499,115853,0.6,119117,0.8,242536,0.5,317709,2.00 144,1,2024-09-07 09:31:20:571,837309,837309,0,0,392676676851,4123434621,828499,6907,1903,381,391733,0 144,2,2024-09-07 09:31:21:766,605651,605651,0,0,23576646,0,3673 144,3,2024-09-07 09:31:21:741,1,478,0,0,249,4842,478,0 145,0,2024-09-07 09:31:21:357,115765,0.6,115789,0.8,245489,0.5,316505,2.25 145,1,2024-09-07 09:31:20:561,836692,836692,0,0,393214880784,4136206336,825883,8940,1869,382,391759,0 145,2,2024-09-07 09:31:21:444,601869,601787,82,0,29454031,0,7814 145,3,2024-09-07 09:31:20:895,1,478,4,0,622,6701,478,0 146,0,2024-09-07 09:31:21:682,119807,0.6,119359,0.7,239878,0.6,318235,2.25 146,1,2024-09-07 09:31:21:624,838196,838196,0,0,393456976960,4136623569,824847,9820,3529,367,391770,0 146,2,2024-09-07 09:31:21:701,602528,602528,0,0,27518794,0,3290 146,3,2024-09-07 09:31:21:286,1,478,65,0,1520,8406,478,0 147,0,2024-09-07 09:31:21:715,119719,0.6,119555,0.8,238396,0.6,318894,2.25 147,1,2024-09-07 09:31:21:374,841018,841018,0,0,394945743466,4106163579,834035,6133,850,367,391791,0 147,2,2024-09-07 09:31:21:013,605099,605099,0,0,25063935,0,2968 147,3,2024-09-07 09:31:20:915,1,478,2,0,1626,8093,478,0 0,0,2024-09-07 09:31:31:708,116794,0.6,116785,0.7,247746,0.6,320016,2.00 0,1,2024-09-07 09:31:30:807,839585,839585,0,0,395139751543,4141032426,832122,6627,836,369,391896,0 0,2,2024-09-07 09:31:31:069,606771,606771,0,0,25192621,0,4480 0,3,2024-09-07 09:31:30:975,1,479,1,0,431,6893,479,0 1,0,2024-09-07 09:31:31:756,120134,1.0,119621,1.0,239780,1.4,320171,2.00 1,1,2024-09-07 09:31:30:576,839318,839318,0,0,393805367841,4127499738,831198,6668,1452,370,391859,0 1,2,2024-09-07 09:31:30:643,606148,606148,0,0,24553134,0,3380 1,3,2024-09-07 09:31:31:306,1,479,1,0,269,6220,479,0 2,0,2024-09-07 09:31:31:577,119588,0.6,119548,0.7,238992,0.6,318605,2.00 2,1,2024-09-07 09:31:30:859,842255,842255,0,0,396128613308,4116583974,837789,3664,802,380,391745,0 2,2,2024-09-07 09:31:31:265,606369,606369,0,0,22886794,0,3594 2,3,2024-09-07 09:31:30:694,1,479,1,0,357,4354,479,0 3,0,2024-09-07 09:31:31:744,120157,0.4,119981,0.6,239719,0.4,319342,2.00 3,1,2024-09-07 09:31:31:618,840856,840856,0,0,395209593670,4116551436,833879,6282,695,379,391716,0 3,2,2024-09-07 09:31:31:148,606050,606027,23,0,24350602,0,5851 3,3,2024-09-07 09:31:31:754,1,479,5,0,207,3088,479,0 4,0,2024-09-07 09:31:31:761,116807,0.4,119994,0.5,244694,0.3,320034,1.75 4,1,2024-09-07 09:31:30:593,837540,837540,0,0,393927455456,4171609202,822626,11440,3474,370,391992,0 4,2,2024-09-07 09:31:31:037,603786,603786,0,0,29412583,0,4534 4,3,2024-09-07 09:31:31:032,1,479,6,0,448,6347,479,0 5,0,2024-09-07 09:31:31:382,119800,0.4,120432,0.6,240342,0.4,319797,1.75 5,1,2024-09-07 09:31:30:761,839163,839163,0,0,394646640744,4155438135,827094,9678,2391,367,392005,0 5,2,2024-09-07 09:31:31:831,602908,602908,0,0,28489313,0,3582 5,3,2024-09-07 09:31:31:739,1,479,9,0,457,6797,479,0 6,0,2024-09-07 09:31:30:922,120253,0.5,119737,0.7,239674,0.5,319703,2.00 6,1,2024-09-07 09:31:30:752,841220,841220,0,0,394112955967,4117274755,832452,7341,1427,379,391702,0 6,2,2024-09-07 09:31:31:120,605633,605615,18,0,27222094,0,5535 6,3,2024-09-07 09:31:31:286,1,479,93,0,710,6082,479,0 7,0,2024-09-07 09:31:31:541,118954,0.5,119991,0.7,238673,0.5,318257,2.00 7,1,2024-09-07 09:31:30:850,838918,838918,0,0,393706936468,4136814502,826692,10120,2106,382,391747,0 7,2,2024-09-07 09:31:30:773,606223,606223,0,0,26755275,0,4791 7,3,2024-09-07 09:31:30:851,1,479,13,0,552,5603,479,0 8,0,2024-09-07 09:31:31:361,120253,0.3,119888,0.5,240526,0.3,320393,1.75 8,1,2024-09-07 09:31:31:020,838612,838612,0,0,393479043218,4136391648,825763,10054,2795,366,392853,0 8,2,2024-09-07 09:31:30:822,601540,601540,0,0,31415847,0,3250 8,3,2024-09-07 09:31:30:605,1,479,16,0,538,7562,479,0 9,0,2024-09-07 09:31:31:099,120151,0.4,116951,0.5,244795,0.3,320646,1.75 9,1,2024-09-07 09:31:30:550,837655,837655,0,0,393699066701,4145893981,825311,9875,2469,369,392001,0 9,2,2024-09-07 09:31:31:083,604290,604290,0,0,28684684,0,4615 9,3,2024-09-07 09:31:31:755,1,479,1273,0,1273,8022,479,0 10,0,2024-09-07 09:31:31:610,119642,0.3,119168,0.5,239293,0.3,318541,1.75 10,1,2024-09-07 09:31:30:583,840650,840650,0,0,394266999702,4131098347,829244,9466,1940,381,391741,0 10,2,2024-09-07 09:31:30:763,606167,606167,0,0,31160666,0,4264 10,3,2024-09-07 09:31:30:872,1,479,1,0,649,5066,479,0 11,0,2024-09-07 09:31:31:009,119500,0.4,115948,0.6,242334,0.4,319667,1.75 11,1,2024-09-07 09:31:30:571,840502,840502,0,0,394912804664,4142962241,827723,9659,3120,383,391756,0 11,2,2024-09-07 09:31:31:123,604966,604966,0,0,27216559,0,4130 11,3,2024-09-07 09:31:31:298,1,479,2,0,843,6597,479,0 12,0,2024-09-07 09:31:30:951,120841,0.4,120817,0.5,241542,0.3,320740,1.75 12,1,2024-09-07 09:31:30:935,840346,840346,0,0,394506457285,4116018887,833555,6133,658,370,391960,0 12,2,2024-09-07 09:31:31:551,604969,604969,0,0,27450350,0,4390 12,3,2024-09-07 09:31:31:070,1,479,11,0,386,6716,479,0 13,0,2024-09-07 09:31:31:370,121230,0.4,120775,0.6,241025,0.4,321158,1.75 13,1,2024-09-07 09:31:31:543,838711,838711,0,0,394546562941,4149514483,829631,6929,2151,382,391740,0 13,2,2024-09-07 09:31:30:594,607093,607093,0,0,24762780,0,3287 13,3,2024-09-07 09:31:31:762,1,479,0,0,522,6921,479,0 14,0,2024-09-07 09:31:30:572,120040,0.4,121038,0.6,239746,0.4,319438,1.75 14,1,2024-09-07 09:31:31:561,844410,844410,0,0,396454276398,4104976239,837867,5829,714,364,391673,0 14,2,2024-09-07 09:31:30:764,606648,606618,30,0,26130614,0,6104 14,3,2024-09-07 09:31:31:119,1,479,5,0,1168,5142,479,0 15,0,2024-09-07 09:31:31:559,119211,0.4,118917,0.6,238604,0.4,318014,2.00 15,1,2024-09-07 09:31:31:607,841372,841372,0,0,395446210241,4119230834,834355,5562,1455,381,391619,0 15,2,2024-09-07 09:31:31:009,607215,607215,0,0,21831727,0,3622 15,3,2024-09-07 09:31:31:411,1,479,2,0,1126,7225,479,0 16,0,2024-09-07 09:31:30:928,120086,0.5,120900,0.7,240753,0.5,320034,2.00 16,1,2024-09-07 09:31:30:568,840642,840642,0,0,394936885572,4132766904,832479,6867,1296,370,391917,0 16,2,2024-09-07 09:31:31:472,604960,604960,0,0,26242009,0,4719 16,3,2024-09-07 09:31:31:148,1,479,29,0,358,6300,479,0 17,0,2024-09-07 09:31:31:784,124131,0.6,121115,0.7,237209,0.6,321569,2.00 17,1,2024-09-07 09:31:30:571,839375,839375,0,0,393832054001,4134645031,830750,6908,1717,368,392075,0 17,2,2024-09-07 09:31:31:673,608376,608375,1,0,26907885,0,5050 17,3,2024-09-07 09:31:30:579,1,479,6,0,518,7423,479,0 18,0,2024-09-07 09:31:30:963,119164,0.7,119718,0.8,238809,0.7,318736,2.25 18,1,2024-09-07 09:31:31:638,843106,843106,0,0,396478781733,4113451944,837606,4643,857,367,391725,0 18,2,2024-09-07 09:31:31:755,608116,608116,0,0,23720141,0,3541 18,3,2024-09-07 09:31:30:900,1,479,80,0,1059,4810,479,0 19,0,2024-09-07 09:31:31:541,119315,0.6,119636,0.8,237786,0.6,316946,2.25 19,1,2024-09-07 09:31:30:566,843183,843183,0,0,395831339911,4106931017,836445,5656,1082,367,391777,0 19,2,2024-09-07 09:31:31:754,607982,607982,0,0,22156418,0,3988 19,3,2024-09-07 09:31:31:146,1,479,5,0,524,4009,479,0 20,0,2024-09-07 09:31:31:363,120140,0.5,120271,0.7,240022,0.5,320131,2.00 20,1,2024-09-07 09:31:30:567,840144,840144,0,0,395316179636,4140813259,831577,7208,1359,369,391922,0 20,2,2024-09-07 09:31:30:928,605155,605155,0,0,26462417,0,3721 20,3,2024-09-07 09:31:30:592,1,479,1,0,468,7877,479,0 21,0,2024-09-07 09:31:31:174,120148,0.4,120308,0.6,240483,0.4,319294,1.75 21,1,2024-09-07 09:31:31:555,837873,837873,0,0,393594700013,4156234354,824257,10507,3109,368,392016,0 21,2,2024-09-07 09:31:31:071,603331,603311,20,0,31717720,0,5617 21,3,2024-09-07 09:31:31:404,1,479,17,0,713,6335,479,0 22,0,2024-09-07 09:31:31:725,119949,0.5,120358,0.7,240388,0.4,318660,2.00 22,1,2024-09-07 09:31:31:029,839393,839393,0,0,393784185370,4144970883,825600,10832,2961,382,391675,0 22,2,2024-09-07 09:31:30:760,604226,604200,26,0,26246986,0,6328 22,3,2024-09-07 09:31:31:066,1,479,1,0,228,3946,479,0 23,0,2024-09-07 09:31:31:375,119514,0.5,118959,0.7,238114,0.5,317996,2.25 23,1,2024-09-07 09:31:31:194,840612,840612,0,0,395667279525,4153197007,826020,10335,4257,365,391690,0 23,2,2024-09-07 09:31:31:092,607527,607527,0,0,24721110,0,3773 23,3,2024-09-07 09:31:31:764,1,479,339,0,720,6291,479,0 24,0,2024-09-07 09:31:30:882,120604,0.4,119764,0.5,240766,0.4,319757,1.75 24,1,2024-09-07 09:31:30:596,839451,839451,0,0,393633817115,4122834297,830937,6852,1662,367,392269,0 24,2,2024-09-07 09:31:31:072,605908,605908,0,0,29297876,0,3607 24,3,2024-09-07 09:31:31:687,1,479,5,0,468,6353,479,0 25,0,2024-09-07 09:31:31:349,123234,0.4,120097,0.6,235633,0.4,320997,1.75 25,1,2024-09-07 09:31:30:559,838396,838396,0,0,394254136179,4156924698,824712,10977,2707,371,391928,0 25,2,2024-09-07 09:31:31:605,603752,603752,0,0,30789496,0,3978 25,3,2024-09-07 09:31:31:003,1,479,8,0,532,5350,479,0 26,0,2024-09-07 09:31:31:751,119699,0.4,117109,0.6,245633,0.4,319467,1.75 26,1,2024-09-07 09:31:31:551,841436,841436,0,0,394154897575,4130135116,829118,9905,2413,380,391748,0 26,2,2024-09-07 09:31:30:861,604982,604982,0,0,32084255,0,4689 26,3,2024-09-07 09:31:31:712,1,479,0,0,796,5580,479,0 27,0,2024-09-07 09:31:31:734,120129,0.4,120380,0.6,239401,0.4,319702,2.00 27,1,2024-09-07 09:31:31:676,842417,842417,0,0,396059405121,4126095659,834161,6994,1262,381,391626,0 27,2,2024-09-07 09:31:30:873,604470,604405,65,0,27923746,0,5699 27,3,2024-09-07 09:31:31:018,1,479,17,0,564,4320,479,0 28,0,2024-09-07 09:31:31:391,120133,0.4,119516,0.6,240062,0.3,320042,1.75 28,1,2024-09-07 09:31:30:826,841813,841813,0,0,396069602663,4132147174,834319,5794,1700,382,391698,0 28,2,2024-09-07 09:31:31:764,605906,605906,0,0,24773215,0,2915 28,3,2024-09-07 09:31:31:785,1,479,12,0,502,4893,479,0 29,0,2024-09-07 09:31:31:365,123532,0.4,120379,0.6,236026,0.3,321680,1.75 29,1,2024-09-07 09:31:31:576,844718,844718,0,0,396871945069,4106622319,839432,4527,759,368,391809,0 29,2,2024-09-07 09:31:30:861,604914,604914,0,0,24209849,0,4986 29,3,2024-09-07 09:31:30:963,1,479,8,0,459,5470,479,0 30,0,2024-09-07 09:31:31:456,119978,0.5,116520,0.7,243797,0.5,318861,2.00 30,1,2024-09-07 09:31:30:572,843428,843428,0,0,396827863358,4120452020,836787,5792,849,380,391672,0 30,2,2024-09-07 09:31:31:287,606600,606600,0,0,23696454,0,4192 30,3,2024-09-07 09:31:30:582,1,479,6,0,519,4891,479,0 31,0,2024-09-07 09:31:31:762,119878,0.5,120318,0.7,240256,0.4,320218,2.00 31,1,2024-09-07 09:31:30:566,846211,846211,0,0,397816342785,4081856693,841878,3532,801,356,391712,0 31,2,2024-09-07 09:31:31:287,605147,605147,0,0,26075183,0,4470 31,3,2024-09-07 09:31:31:708,1,479,3,0,220,4089,479,0 32,0,2024-09-07 09:31:31:427,119994,0.3,120678,0.5,240178,0.3,320386,1.75 32,1,2024-09-07 09:31:30:806,842356,842356,0,0,395894161574,4117912163,836834,4815,707,381,391646,0 32,2,2024-09-07 09:31:30:935,606782,606782,0,0,22677474,0,3922 32,3,2024-09-07 09:31:31:019,1,479,4,0,304,3957,479,0 33,0,2024-09-07 09:31:31:497,120755,0.3,120314,0.4,240809,0.2,320458,1.50 33,1,2024-09-07 09:31:30:577,843400,843400,0,0,396557279694,4112394710,836189,6039,1172,368,391730,0 33,2,2024-09-07 09:31:30:758,606239,606204,35,0,25416903,0,7012 33,3,2024-09-07 09:31:30:898,1,479,12,0,329,4595,479,0 34,0,2024-09-07 09:31:30:929,120663,0.3,124021,0.4,237023,0.2,319288,1.75 34,1,2024-09-07 09:31:31:045,845348,845348,0,0,397602968692,4089347793,843104,2222,22,367,391562,0 34,2,2024-09-07 09:31:30:772,608171,608171,0,0,23734572,0,4562 34,3,2024-09-07 09:31:31:693,1,479,1,0,320,3888,479,0 35,0,2024-09-07 09:31:30:860,119305,0.3,119942,0.5,240934,0.3,320719,1.75 35,1,2024-09-07 09:31:31:068,842441,842441,0,0,396500395104,4113054522,836822,4563,1056,382,391769,0 35,2,2024-09-07 09:31:31:588,605471,605471,0,0,25918984,0,4055 35,3,2024-09-07 09:31:30:915,1,479,1,0,418,4747,479,0 36,0,2024-09-07 09:31:31:525,120439,0.5,120373,0.7,240613,0.4,320243,2.00 36,1,2024-09-07 09:31:30:584,841863,841863,0,0,394536254435,4121423222,830929,8818,2116,366,391759,0 36,2,2024-09-07 09:31:31:757,606221,606221,0,0,26538232,0,3875 36,3,2024-09-07 09:31:30:863,1,479,4,0,416,6851,479,0 37,0,2024-09-07 09:31:31:371,119305,0.5,119317,0.7,238826,0.5,318788,2.25 37,1,2024-09-07 09:31:30:569,840595,840588,0,7,394879103666,4125197169,830203,7830,2555,365,391770,0 37,2,2024-09-07 09:31:31:148,604065,604050,15,0,27220765,0,5815 37,3,2024-09-07 09:31:31:765,1,479,43,0,888,7015,479,0 38,0,2024-09-07 09:31:31:439,119358,0.4,115872,0.6,242358,0.4,317658,2.00 38,1,2024-09-07 09:31:31:605,841651,841651,0,0,396395485831,4146620069,828881,10259,2511,368,391821,0 38,2,2024-09-07 09:31:30:764,605187,605140,47,0,27475919,0,6710 38,3,2024-09-07 09:31:30:998,1,479,1,0,689,6406,479,0 39,0,2024-09-07 09:31:31:762,123092,0.6,120354,0.7,234615,0.5,319938,2.00 39,1,2024-09-07 09:31:30:729,840326,840326,0,0,393930592996,4137450510,825083,11870,3373,365,391658,0 39,2,2024-09-07 09:31:31:417,605781,605781,0,0,25771884,0,3391 39,3,2024-09-07 09:31:30:714,1,479,525,0,525,5714,479,0 40,0,2024-09-07 09:31:31:492,118470,0.7,119151,0.9,237654,0.8,316937,2.75 40,1,2024-09-07 09:31:30:577,841759,841759,0,0,394117415443,4124706122,830083,9507,2169,368,391668,0 40,2,2024-09-07 09:31:31:312,605286,605285,1,0,29774322,0,5137 40,3,2024-09-07 09:31:31:154,1,479,1,0,1028,6257,479,0 41,0,2024-09-07 09:31:31:034,119011,1.2,121722,1.1,232148,1.6,316346,3.00 41,1,2024-09-07 09:31:30:768,840239,840239,0,0,394821987908,4132185986,829407,9123,1709,369,391742,0 41,2,2024-09-07 09:31:30:758,603762,603762,0,0,28447801,0,4277 41,3,2024-09-07 09:31:31:678,1,479,10,0,366,4995,479,0 42,0,2024-09-07 09:31:31:476,119298,0.8,119015,1.0,238461,0.9,315673,2.75 42,1,2024-09-07 09:31:31:441,837900,837900,0,0,393715142697,4141723118,823690,11365,2845,380,391675,0 42,2,2024-09-07 09:31:31:137,604608,604608,0,0,28175498,0,3790 42,3,2024-09-07 09:31:31:011,1,479,1,0,892,4492,479,0 43,0,2024-09-07 09:31:30:958,119163,0.7,116088,0.9,242839,0.7,318241,2.25 43,1,2024-09-07 09:31:30:577,841389,841389,0,0,394957788695,4127831239,829453,9967,1969,366,391696,0 43,2,2024-09-07 09:31:31:736,605896,605896,0,0,27720052,0,3812 43,3,2024-09-07 09:31:31:752,1,479,3,0,571,6826,479,0 44,0,2024-09-07 09:31:30:857,120206,0.4,120230,0.6,241038,0.4,319984,2.00 44,1,2024-09-07 09:31:30:563,843274,843274,0,0,396352369971,4097947169,835781,5944,1549,356,391809,0 44,2,2024-09-07 09:31:31:265,604792,604792,0,0,23477654,0,4344 44,3,2024-09-07 09:31:31:093,1,479,1,0,817,5417,479,0 45,0,2024-09-07 09:31:31:756,117931,0.5,115228,0.7,241858,0.4,317987,2.00 45,1,2024-09-07 09:31:31:009,842137,842137,0,0,396164201114,4120035959,834956,6315,866,382,391917,0 45,2,2024-09-07 09:31:31:280,606771,606771,0,0,23534956,0,3596 45,3,2024-09-07 09:31:30:934,1,479,1,0,271,4256,479,0 46,0,2024-09-07 09:31:30:954,119729,0.5,119157,0.7,239166,0.5,317299,2.00 46,1,2024-09-07 09:31:30:577,844511,844511,0,0,396174398524,4093884038,838921,4860,730,366,391709,0 46,2,2024-09-07 09:31:30:594,605562,605562,0,0,23742339,0,4443 46,3,2024-09-07 09:31:31:138,1,479,1,0,908,5722,479,0 47,0,2024-09-07 09:31:31:106,120613,0.4,120932,0.6,242213,0.4,320540,1.75 47,1,2024-09-07 09:31:30:567,844490,844490,0,0,395933532601,4091972011,839392,4259,839,366,391641,0 47,2,2024-09-07 09:31:30:908,609260,609260,0,0,24000094,0,4477 47,3,2024-09-07 09:31:31:116,1,479,1,0,600,5627,479,0 48,0,2024-09-07 09:31:31:489,120832,0.3,120871,0.4,240520,0.2,320756,1.50 48,1,2024-09-07 09:31:31:024,842804,842804,0,0,395953241681,4113772221,837560,4720,524,384,391710,0 48,2,2024-09-07 09:31:30:701,606328,606328,0,0,22352422,0,3411 48,3,2024-09-07 09:31:30:754,1,479,0,0,339,3773,479,0 49,0,2024-09-07 09:31:31:711,122725,0.4,120571,0.5,234351,0.3,320831,1.75 49,1,2024-09-07 09:31:31:028,842031,842031,0,0,395799076985,4117442184,836485,4336,1210,382,391809,0 49,2,2024-09-07 09:31:31:797,606564,606564,0,0,24239287,0,4426 49,3,2024-09-07 09:31:31:416,1,479,17,0,992,5560,479,0 50,0,2024-09-07 09:31:31:509,120870,0.3,119180,0.5,240121,0.2,320012,1.75 50,1,2024-09-07 09:31:31:011,844734,844734,0,0,397082734327,4107571732,839051,5020,663,368,391565,0 50,2,2024-09-07 09:31:31:067,605945,605945,0,0,22386701,0,4490 50,3,2024-09-07 09:31:31:297,1,479,1,0,617,5252,479,0 51,0,2024-09-07 09:31:31:682,123238,0.3,120766,0.5,235253,0.2,320948,1.75 51,1,2024-09-07 09:31:31:680,845687,845687,0,0,397496561175,4100970134,841157,3477,1053,365,391706,0 51,2,2024-09-07 09:31:31:324,607045,607045,0,0,21637019,0,3337 51,3,2024-09-07 09:31:31:032,1,479,3,0,678,3711,479,0 52,0,2024-09-07 09:31:31:419,120468,0.5,120163,0.7,240684,0.4,319627,2.00 52,1,2024-09-07 09:31:30:578,840920,840920,0,0,394756109609,4133380391,828700,10383,1837,368,391722,0 52,2,2024-09-07 09:31:31:754,602339,602301,38,0,28528510,0,6742 52,3,2024-09-07 09:31:30:682,1,479,10,0,1782,6166,479,0 53,0,2024-09-07 09:31:31:731,119048,0.6,115520,0.8,241714,0.7,317765,2.50 53,1,2024-09-07 09:31:30:773,839011,839011,0,0,394893259107,4144049731,825128,10291,3592,367,391968,0 53,2,2024-09-07 09:31:31:297,606514,606513,1,0,25362776,0,5455 53,3,2024-09-07 09:31:30:700,1,479,8,0,308,4743,479,0 54,0,2024-09-07 09:31:31:615,118333,0.5,118533,0.7,236231,0.4,315603,2.25 54,1,2024-09-07 09:31:30:580,841360,841360,0,0,396370676682,4121323114,832603,7356,1401,366,391659,0 54,2,2024-09-07 09:31:30:865,606507,606475,32,0,28842364,0,6397 54,3,2024-09-07 09:31:30:762,1,479,6,0,676,6623,479,0 55,0,2024-09-07 09:31:31:763,115966,0.6,119524,0.7,242195,0.5,315581,2.25 55,1,2024-09-07 09:31:30:767,842500,842500,0,0,395868105661,4115808805,833673,7607,1220,365,391731,0 55,2,2024-09-07 09:31:30:737,604963,604907,56,0,27951980,0,7239 55,3,2024-09-07 09:31:30:683,1,479,1,0,304,4649,479,0 56,0,2024-09-07 09:31:31:557,122608,1.2,115631,1.1,238252,1.6,318779,2.75 56,1,2024-09-07 09:31:30:582,838275,838275,0,0,394223735763,4159242485,826024,9698,2553,381,391867,0 56,2,2024-09-07 09:31:31:306,604937,604815,122,0,28968517,0,7432 56,3,2024-09-07 09:31:31:059,1,479,1,0,705,5709,479,0 57,0,2024-09-07 09:31:30:940,118197,1.5,118113,1.2,236661,2.1,316699,3.00 57,1,2024-09-07 09:31:30:989,839789,839789,0,0,394583514260,4138572359,828999,8724,2066,366,392032,0 57,2,2024-09-07 09:31:31:320,606392,606392,0,0,29529124,0,4317 57,3,2024-09-07 09:31:31:741,1,479,1,0,455,5488,479,0 58,0,2024-09-07 09:31:30:556,118084,0.9,114668,0.9,239769,1.0,314791,2.50 58,1,2024-09-07 09:31:30:578,840815,840812,0,3,395307758280,4140254513,828520,9524,2768,367,391603,3 58,2,2024-09-07 09:31:31:074,605122,605122,0,0,27570419,0,3483 58,3,2024-09-07 09:31:31:069,1,479,0,0,1043,5046,479,0 59,0,2024-09-07 09:31:31:745,119744,0.8,119346,1.0,238255,0.9,315955,2.75 59,1,2024-09-07 09:31:30:809,840567,840567,0,0,395083404970,4142630391,828252,10078,2237,369,391653,0 59,2,2024-09-07 09:31:30:581,604686,604686,0,0,27116349,0,3727 59,3,2024-09-07 09:31:31:737,1,479,1,0,1015,6300,479,0 60,0,2024-09-07 09:31:31:706,120084,0.5,120228,0.7,240855,0.5,319805,1.75 60,1,2024-09-07 09:31:30:771,843493,843493,0,0,396585986983,4117028442,837575,4989,929,370,392031,0 60,2,2024-09-07 09:31:31:141,606171,606171,0,0,25824572,0,3811 60,3,2024-09-07 09:31:31:266,1,479,0,0,409,5965,479,0 61,0,2024-09-07 09:31:31:489,120021,0.7,120656,0.8,240233,0.7,319941,2.00 61,1,2024-09-07 09:31:30:778,840730,840730,0,0,394952116222,4139062558,831047,8106,1577,382,392127,0 61,2,2024-09-07 09:31:31:119,606274,606207,67,0,26533861,0,6411 61,3,2024-09-07 09:31:31:693,1,479,10,0,479,6520,479,0 62,0,2024-09-07 09:31:31:708,120302,0.6,123307,0.7,235495,0.6,320186,2.00 62,1,2024-09-07 09:31:31:127,845695,845689,0,6,397172654322,4094319838,841744,3682,263,365,391975,6 62,2,2024-09-07 09:31:31:648,603767,603766,1,0,25739849,0,5555 62,3,2024-09-07 09:31:31:147,1,479,0,0,482,4024,479,0 63,0,2024-09-07 09:31:31:466,120715,0.4,120445,0.6,241631,0.4,320860,1.75 63,1,2024-09-07 09:31:30:810,843073,843067,0,6,396482778503,4115868087,837716,4617,734,381,391800,6 63,2,2024-09-07 09:31:30:761,605623,605623,0,0,24545837,0,4369 63,3,2024-09-07 09:31:31:743,1,479,17,0,667,5124,479,0 64,0,2024-09-07 09:31:31:516,119953,0.5,120029,0.7,239577,0.5,318511,2.00 64,1,2024-09-07 09:31:30:952,842502,842502,0,0,395574544780,4122265647,834906,5830,1766,370,391783,0 64,2,2024-09-07 09:31:31:141,610345,610326,19,0,24369875,0,6121 64,3,2024-09-07 09:31:31:141,1,479,0,0,651,5286,479,0 65,0,2024-09-07 09:31:31:713,119111,0.7,119386,0.8,238391,0.7,317947,2.00 65,1,2024-09-07 09:31:30:859,840469,840469,0,0,394546372584,4125655076,834223,5525,721,381,391901,0 65,2,2024-09-07 09:31:31:699,605666,605666,0,0,28184708,0,3367 65,3,2024-09-07 09:31:31:682,1,479,13,0,782,5849,479,0 66,0,2024-09-07 09:31:31:765,119626,0.6,119392,0.8,238644,0.6,317603,2.25 66,1,2024-09-07 09:31:31:298,842381,842381,0,0,395502120563,4119847777,836255,5405,721,380,391743,0 66,2,2024-09-07 09:31:31:132,607422,607419,3,0,25633450,0,5455 66,3,2024-09-07 09:31:31:079,1,479,1,0,291,4251,479,0 67,0,2024-09-07 09:31:31:413,119679,0.5,119470,0.7,239697,0.5,318959,2.00 67,1,2024-09-07 09:31:30:766,842142,842141,0,1,395428963165,4118937873,836156,5176,809,380,391787,1 67,2,2024-09-07 09:31:30:581,607974,607959,15,0,24708976,0,6205 67,3,2024-09-07 09:31:31:753,1,479,1,0,392,4657,479,0 68,0,2024-09-07 09:31:30:558,120219,0.5,120110,0.7,239174,0.5,319887,2.00 68,1,2024-09-07 09:31:30:570,838709,838709,0,0,393548579397,4140649245,827058,8302,3349,381,391953,0 68,2,2024-09-07 09:31:31:048,602444,602344,100,0,31786848,0,8578 68,3,2024-09-07 09:31:30:728,1,479,389,0,417,6286,479,0 69,0,2024-09-07 09:31:31:729,119525,0.7,120360,0.8,239853,0.7,318190,2.25 69,1,2024-09-07 09:31:31:029,838324,838324,0,0,394113635196,4156125752,826731,8844,2749,384,391994,0 69,2,2024-09-07 09:31:31:739,604362,604333,29,0,32886395,0,6912 69,3,2024-09-07 09:31:30:760,1,479,10,0,698,7233,479,0 70,0,2024-09-07 09:31:31:545,118448,0.9,118724,1.0,238959,0.8,316230,2.50 70,1,2024-09-07 09:31:30:810,843119,843119,0,0,396862792873,4118646963,836469,5949,701,366,391725,0 70,2,2024-09-07 09:31:31:329,606097,606097,0,0,27871210,0,4323 70,3,2024-09-07 09:31:30:751,1,479,1,0,854,5406,479,0 71,0,2024-09-07 09:31:31:356,118444,0.9,118254,1.0,237581,1.1,317110,2.75 71,1,2024-09-07 09:31:31:597,840798,840798,0,0,395666340727,4131269528,830214,9416,1168,368,391738,0 71,2,2024-09-07 09:31:31:067,604905,604905,0,0,28061836,0,4352 71,3,2024-09-07 09:31:31:756,1,479,6,0,644,5857,479,0 72,0,2024-09-07 09:31:31:173,123903,0.5,121236,0.7,236296,0.5,320943,2.00 72,1,2024-09-07 09:31:31:045,839780,839780,0,0,394782920489,4141705300,827683,10011,2086,369,391819,0 72,2,2024-09-07 09:31:31:769,604306,604306,0,0,29531347,0,3983 72,3,2024-09-07 09:31:31:754,1,479,1,0,564,6831,479,0 73,0,2024-09-07 09:31:31:108,117206,0.4,120346,0.6,245672,0.4,319134,2.00 73,1,2024-09-07 09:31:30:765,842084,842084,0,0,395486970743,4107044331,836149,5513,422,367,391858,0 73,2,2024-09-07 09:31:31:742,605164,605164,0,0,29600635,0,4291 73,3,2024-09-07 09:31:30:979,1,479,6,0,486,6106,479,0 74,0,2024-09-07 09:31:31:324,121018,0.5,123790,0.7,236026,0.5,320354,2.25 74,1,2024-09-07 09:31:30:642,841286,841286,0,0,394515203713,4117842298,832202,7318,1766,381,391762,0 74,2,2024-09-07 09:31:31:013,605990,605990,0,0,26282856,0,4253 74,3,2024-09-07 09:31:31:442,1,479,1,0,522,5836,479,0 75,0,2024-09-07 09:31:31:767,119853,0.5,119119,0.7,238938,0.4,319603,2.25 75,1,2024-09-07 09:31:31:614,841924,841924,0,0,395190588464,4121545239,834391,6691,842,380,391739,0 75,2,2024-09-07 09:31:31:363,604712,604712,0,0,30125560,0,4766 75,3,2024-09-07 09:31:31:069,1,479,1,0,702,6003,479,0 76,0,2024-09-07 09:31:30:655,119541,0.6,118910,0.7,238543,0.5,318767,2.25 76,1,2024-09-07 09:31:30:810,840837,840837,0,0,394621836512,4116542075,835050,4958,829,382,391692,0 76,2,2024-09-07 09:31:31:064,607528,607525,3,0,26662177,0,5265 76,3,2024-09-07 09:31:31:148,1,479,1,0,227,4583,479,0 77,0,2024-09-07 09:31:31:694,120035,0.5,120440,0.7,241271,0.5,319523,2.00 77,1,2024-09-07 09:31:30:825,841866,841866,0,0,395025999646,4122602757,835333,5972,561,381,391869,0 77,2,2024-09-07 09:31:31:281,605975,605975,0,0,25869484,0,3890 77,3,2024-09-07 09:31:31:094,1,479,12,0,401,5206,479,0 78,0,2024-09-07 09:31:31:717,120654,0.4,120058,0.6,240905,0.4,319432,2.00 78,1,2024-09-07 09:31:30:610,842037,842037,0,0,394463542079,4113402650,832490,7318,2229,367,391670,0 78,2,2024-09-07 09:31:31:404,607191,607178,13,0,24061961,0,8313 78,3,2024-09-07 09:31:31:138,1,479,1,0,181,4023,479,0 79,0,2024-09-07 09:31:31:358,115555,0.4,118394,0.6,242280,0.4,315660,2.25 79,1,2024-09-07 09:31:30:571,843911,843911,0,0,396152557090,4106777753,836615,5945,1351,367,391682,0 79,2,2024-09-07 09:31:31:070,606422,606422,0,0,23237718,0,4195 79,3,2024-09-07 09:31:30:749,1,479,12,0,418,6032,479,0 80,0,2024-09-07 09:31:31:133,120016,0.5,123289,0.7,236168,0.5,319453,2.00 80,1,2024-09-07 09:31:31:619,841190,841190,0,0,395430909042,4119249870,835402,5365,423,368,392269,0 80,2,2024-09-07 09:31:31:101,607664,607664,0,0,24028935,0,4433 80,3,2024-09-07 09:31:30:577,1,479,5,0,190,5471,479,0 81,0,2024-09-07 09:31:31:604,120264,0.5,123187,0.7,235068,0.5,319553,2.00 81,1,2024-09-07 09:31:31:650,840370,840370,0,0,394678800709,4128519916,833214,6519,637,382,391879,0 81,2,2024-09-07 09:31:31:126,605423,605360,63,0,27097576,0,5932 81,3,2024-09-07 09:31:31:117,1,479,17,0,719,5627,479,0 82,0,2024-09-07 09:31:31:536,119815,0.5,120014,0.7,240371,0.5,318921,2.00 82,1,2024-09-07 09:31:30:589,842594,842590,0,4,395663549528,4116436983,837529,4242,819,381,391768,4 82,2,2024-09-07 09:31:31:694,606853,606853,0,0,22450249,0,4484 82,3,2024-09-07 09:31:31:754,1,479,7,0,363,4838,479,0 83,0,2024-09-07 09:31:31:533,119850,0.6,119678,0.8,238783,0.6,317936,2.25 83,1,2024-09-07 09:31:30:550,840940,840940,0,0,395055305467,4119862533,834960,5529,451,382,391709,0 83,2,2024-09-07 09:31:30:764,605862,605837,25,0,24172172,0,5612 83,3,2024-09-07 09:31:30:751,1,479,1,0,1260,5962,479,0 84,0,2024-09-07 09:31:31:787,118905,0.7,118964,0.8,237677,0.6,317677,2.25 84,1,2024-09-07 09:31:31:040,840252,840252,0,0,394538528238,4123401178,831214,7862,1176,367,391967,0 84,2,2024-09-07 09:31:30:570,604839,604809,30,0,31683859,0,5971 84,3,2024-09-07 09:31:31:148,1,479,3,0,908,6944,479,0 85,0,2024-09-07 09:31:31:023,115479,0.6,115369,0.8,244845,0.6,317207,2.25 85,1,2024-09-07 09:31:30:559,837777,837777,0,0,394253662746,4161655646,825071,10526,2180,381,392006,0 85,2,2024-09-07 09:31:30:895,604432,604432,0,0,29856140,0,4255 85,3,2024-09-07 09:31:30:703,1,479,85,0,789,5640,479,0 86,0,2024-09-07 09:31:30:880,119839,0.6,123330,0.8,235909,0.7,319082,2.25 86,1,2024-09-07 09:31:30:825,840452,840452,0,0,394891639025,4136671244,830275,8455,1722,366,391961,0 86,2,2024-09-07 09:31:30:854,603530,603529,1,0,31861990,0,5004 86,3,2024-09-07 09:31:30:589,1,479,3,0,308,7178,479,0 87,0,2024-09-07 09:31:31:285,119918,0.9,119597,0.9,239310,1.2,319943,2.25 87,1,2024-09-07 09:31:30:549,838939,838939,0,0,393801081590,4129557530,827304,9934,1701,366,392076,0 87,2,2024-09-07 09:31:31:067,605649,605643,6,0,27898739,0,6323 87,3,2024-09-07 09:31:31:796,1,479,5,0,473,7301,479,0 88,0,2024-09-07 09:31:31:437,119577,0.4,120193,0.6,240035,0.4,319674,1.75 88,1,2024-09-07 09:31:30:595,838850,838850,0,0,393717626167,4123717199,827677,8945,2228,365,392084,0 88,2,2024-09-07 09:31:30:694,605807,605807,0,0,30271259,0,4465 88,3,2024-09-07 09:31:31:272,1,479,1,0,435,5911,479,0 89,0,2024-09-07 09:31:31:764,123652,0.4,119784,0.6,236782,0.4,321756,1.75 89,1,2024-09-07 09:31:30:549,838779,838779,0,0,395417206205,4156181988,828613,8691,1475,382,391866,0 89,2,2024-09-07 09:31:31:132,604559,604559,0,0,28527412,0,3173 89,3,2024-09-07 09:31:31:791,1,479,8,0,468,7987,479,0 90,0,2024-09-07 09:31:31:635,116647,0.4,119858,0.6,244428,0.4,318738,2.00 90,1,2024-09-07 09:31:30:633,840665,840665,0,0,394818183458,4131376902,833082,6932,651,380,391825,0 90,2,2024-09-07 09:31:31:405,604118,604118,0,0,30580793,0,3608 90,3,2024-09-07 09:31:30:933,1,479,2,0,322,5712,479,0 91,0,2024-09-07 09:31:30:923,120802,0.5,116966,0.6,244517,0.5,320626,1.75 91,1,2024-09-07 09:31:30:560,839046,839046,0,0,395074889488,4152359956,828646,8756,1644,381,392047,0 91,2,2024-09-07 09:31:31:331,607112,607112,0,0,26899878,0,3526 91,3,2024-09-07 09:31:30:616,1,479,1,0,216,4711,479,0 92,0,2024-09-07 09:31:31:508,121204,0.5,123879,0.6,236304,0.5,320455,1.75 92,1,2024-09-07 09:31:30:587,841271,841271,0,0,394544870243,4122120465,834695,5500,1076,381,392136,0 92,2,2024-09-07 09:31:31:354,606550,606550,0,0,24146359,0,3259 92,3,2024-09-07 09:31:31:012,1,479,0,0,167,4216,479,0 93,0,2024-09-07 09:31:30:972,121153,0.4,124166,0.6,236924,0.4,321021,1.75 93,1,2024-09-07 09:31:30:806,841234,841234,0,0,395021089141,4125829393,831810,7724,1700,366,391776,0 93,2,2024-09-07 09:31:30:932,605342,605342,0,0,29747836,0,4913 93,3,2024-09-07 09:31:31:419,1,479,8,0,190,4258,479,0 94,0,2024-09-07 09:31:31:617,120141,0.3,120775,0.5,241382,0.3,320297,1.75 94,1,2024-09-07 09:31:30:563,841146,841146,0,0,395701185455,4128840035,835374,5401,371,381,391850,0 94,2,2024-09-07 09:31:30:765,606406,606378,28,0,25502299,0,6179 94,3,2024-09-07 09:31:31:692,1,479,0,0,576,6173,479,0 95,0,2024-09-07 09:31:31:349,120193,0.3,119929,0.5,240369,0.3,320597,1.75 95,1,2024-09-07 09:31:30:853,842956,842956,0,0,396078552303,4116904394,836232,6244,480,365,391786,0 95,2,2024-09-07 09:31:31:019,605504,605504,0,0,24241713,0,3308 95,3,2024-09-07 09:31:31:725,1,479,2,0,718,7183,479,0 96,0,2024-09-07 09:31:31:026,120320,0.4,120560,0.5,240834,0.4,319634,1.75 96,1,2024-09-07 09:31:31:589,840991,840991,0,0,395093004325,4123210483,834663,5417,911,384,391955,0 96,2,2024-09-07 09:31:31:282,606540,606540,0,0,25040503,0,4180 96,3,2024-09-07 09:31:31:140,1,479,2,0,411,5227,479,0 97,0,2024-09-07 09:31:31:336,119862,0.3,119482,0.5,239909,0.3,319305,1.75 97,1,2024-09-07 09:31:30:762,842459,842459,0,0,396434584672,4113532339,836939,4584,936,367,392140,0 97,2,2024-09-07 09:31:30:643,606717,606717,0,0,24498461,0,3679 97,3,2024-09-07 09:31:30:577,1,479,13,0,242,5781,479,0 98,0,2024-09-07 09:31:31:741,119703,0.3,120006,0.4,240646,0.2,319715,1.50 98,1,2024-09-07 09:31:30:570,842222,842222,0,0,395308117169,4119220576,836903,4525,794,381,391997,0 98,2,2024-09-07 09:31:30:773,605981,605981,0,0,24463224,0,4336 98,3,2024-09-07 09:31:30:727,1,479,15,0,840,7565,479,0 99,0,2024-09-07 09:31:31:459,120174,0.3,120825,0.5,240308,0.3,320758,1.75 99,1,2024-09-07 09:31:31:731,842188,842188,0,0,395163694270,4115540990,836354,4913,921,380,392069,0 99,2,2024-09-07 09:31:31:417,606724,606724,0,0,29457413,0,4276 99,3,2024-09-07 09:31:30:581,1,479,1,0,606,5010,479,0 100,0,2024-09-07 09:31:31:461,119421,0.7,119793,0.9,238730,0.8,319105,2.25 100,1,2024-09-07 09:31:30:565,837184,837184,0,0,393469071975,4162940672,823636,10563,2985,378,391989,0 100,2,2024-09-07 09:31:31:817,603661,603650,11,0,27985057,0,5417 100,3,2024-09-07 09:31:31:734,1,479,26,0,627,8156,479,0 101,0,2024-09-07 09:31:31:708,122053,1.0,118906,1.0,233112,0.9,319041,2.25 101,1,2024-09-07 09:31:30:550,838365,838365,0,0,393793487761,4141232121,826335,9636,2394,368,391847,0 101,2,2024-09-07 09:31:31:757,601771,601771,0,0,32960202,0,4871 101,3,2024-09-07 09:31:30:947,1,479,8,0,1250,7555,479,0 102,0,2024-09-07 09:31:30:941,116789,0.6,120373,0.7,244325,0.6,319428,2.00 102,1,2024-09-07 09:31:31:141,837983,837983,0,0,394030961758,4141407581,826338,9646,1999,369,391891,0 102,2,2024-09-07 09:31:31:742,605453,605399,54,0,27680353,0,6768 102,3,2024-09-07 09:31:31:616,1,479,58,0,466,5150,479,0 103,0,2024-09-07 09:31:31:590,124180,0.5,124219,0.7,234019,0.5,321643,2.00 103,1,2024-09-07 09:31:31:631,837754,837754,0,0,393542554581,4156432317,824151,10407,3196,381,391862,0 103,2,2024-09-07 09:31:30:582,604113,604113,0,0,29638296,0,3766 103,3,2024-09-07 09:31:30:755,1,479,6,0,916,5695,479,0 104,0,2024-09-07 09:31:31:005,119183,0.7,119463,0.9,237907,0.7,318410,2.25 104,1,2024-09-07 09:31:31:599,839916,839916,0,0,394557643671,4146693166,827233,10136,2547,365,392168,0 104,2,2024-09-07 09:31:31:670,604168,604168,0,0,28467708,0,3941 104,3,2024-09-07 09:31:31:415,1,479,0,0,1245,8897,479,0 105,0,2024-09-07 09:31:31:036,118206,0.8,115110,1.0,241265,0.9,317617,2.50 105,1,2024-09-07 09:31:30:575,841323,841323,0,0,394902589413,4138308323,830473,9197,1653,366,392009,0 105,2,2024-09-07 09:31:31:335,604234,604234,0,0,28071428,0,3509 105,3,2024-09-07 09:31:31:310,1,479,8,0,399,7036,479,0 106,0,2024-09-07 09:31:30:937,116036,0.8,118762,0.9,243481,0.8,317618,2.50 106,1,2024-09-07 09:31:31:757,840236,840236,0,0,393849239821,4133559300,828224,10369,1643,368,391914,0 106,2,2024-09-07 09:31:30:754,604543,604543,0,0,27161969,0,2920 106,3,2024-09-07 09:31:30:690,1,479,1,0,470,6236,479,0 107,0,2024-09-07 09:31:31:151,120284,0.8,120494,0.9,240766,0.9,320745,2.00 107,1,2024-09-07 09:31:30:586,838861,838861,0,0,393933312929,4150938589,827449,10310,1102,381,392234,0 107,2,2024-09-07 09:31:31:297,604360,604359,1,0,29528712,0,5024 107,3,2024-09-07 09:31:31:755,1,479,5,0,370,6836,479,0 108,0,2024-09-07 09:31:31:776,120024,0.4,120867,0.6,240350,0.4,320813,1.75 108,1,2024-09-07 09:31:31:310,840998,840998,0,0,394564361679,4115766806,833904,6258,836,367,391857,0 108,2,2024-09-07 09:31:31:755,604891,604891,0,0,27058761,0,4246 108,3,2024-09-07 09:31:31:331,1,479,5,0,749,9533,479,0 109,0,2024-09-07 09:31:31:746,120340,0.4,119395,0.6,238932,0.4,319838,1.75 109,1,2024-09-07 09:31:30:583,838624,838624,0,0,395187156708,4147508701,830623,6790,1211,382,392132,0 109,2,2024-09-07 09:31:30:920,603529,603529,0,0,26293824,0,3617 109,3,2024-09-07 09:31:31:140,1,479,6,0,630,6111,479,0 110,0,2024-09-07 09:31:31:763,120106,0.4,116897,0.6,244999,0.4,320657,1.75 110,1,2024-09-07 09:31:31:650,842617,842617,0,0,395785967333,4107338608,836706,4691,1220,368,392045,0 110,2,2024-09-07 09:31:31:306,606145,606145,0,0,25406691,0,4067 110,3,2024-09-07 09:31:30:691,1,479,1,0,722,7022,479,0 111,0,2024-09-07 09:31:31:424,120631,0.4,119967,0.5,240333,0.3,320827,1.75 111,1,2024-09-07 09:31:31:003,843754,843754,0,0,396248925013,4109391554,839429,3969,356,380,391690,0 111,2,2024-09-07 09:31:31:117,605797,605797,0,0,25589269,0,4823 111,3,2024-09-07 09:31:30:912,1,479,11,0,379,5645,479,0 112,0,2024-09-07 09:31:30:910,120843,0.3,120152,0.4,240953,0.2,319889,1.50 112,1,2024-09-07 09:31:30:825,842338,842338,0,0,396043051038,4112861368,836794,4856,688,380,391624,0 112,2,2024-09-07 09:31:31:132,605424,605423,1,0,24612539,0,5036 112,3,2024-09-07 09:31:30:592,1,479,7,0,282,4819,479,0 113,0,2024-09-07 09:31:30:880,119907,0.3,119887,0.5,240083,0.3,319688,1.75 113,1,2024-09-07 09:31:31:691,844925,844925,0,0,397590018295,4104350563,840108,4009,808,366,391661,0 113,2,2024-09-07 09:31:31:309,608277,608277,0,0,22254616,0,3813 113,3,2024-09-07 09:31:30:696,1,479,11,0,340,5185,479,0 114,0,2024-09-07 09:31:30:879,120040,0.3,120853,0.5,240185,0.2,320983,1.75 114,1,2024-09-07 09:31:30:715,843065,843065,0,0,395704776610,4111224455,836418,5031,1616,381,391565,0 114,2,2024-09-07 09:31:30:874,607773,607772,1,0,23627032,0,5069 114,3,2024-09-07 09:31:31:278,1,479,1,0,395,3901,479,0 115,0,2024-09-07 09:31:30:582,120390,0.3,120811,0.4,241054,0.2,320396,1.50 115,1,2024-09-07 09:31:30:577,842837,842837,0,0,395891041203,4116557812,835699,5814,1324,382,391757,0 115,2,2024-09-07 09:31:31:125,607663,607663,0,0,23731567,0,4382 115,3,2024-09-07 09:31:31:002,1,479,4,0,159,2727,479,0 116,0,2024-09-07 09:31:31:716,119724,0.7,119566,0.8,239810,0.6,320355,2.00 116,1,2024-09-07 09:31:30:813,838826,838826,0,0,394097576585,4153313106,828916,7506,2404,380,392089,0 116,2,2024-09-07 09:31:31:757,603937,603937,0,0,30292728,0,4475 116,3,2024-09-07 09:31:30:914,1,479,330,0,415,6047,479,0 117,0,2024-09-07 09:31:30:967,120192,0.7,119666,0.8,239726,0.8,320311,2.00 117,1,2024-09-07 09:31:31:587,839342,839342,0,0,393973326649,4125061239,830106,8120,1116,369,392033,0 117,2,2024-09-07 09:31:31:122,608390,608390,0,0,26283988,0,4303 117,3,2024-09-07 09:31:31:059,1,479,7,0,490,6818,479,0 118,0,2024-09-07 09:31:31:774,116145,0.5,119377,0.7,243431,0.5,318623,2.00 118,1,2024-09-07 09:31:30:585,839107,839107,0,0,394450259019,4146956743,826469,9618,3020,366,392054,0 118,2,2024-09-07 09:31:31:593,604833,604833,0,0,28533443,0,2842 118,3,2024-09-07 09:31:31:764,1,479,2,0,248,5539,479,0 119,0,2024-09-07 09:31:31:349,119924,0.7,120228,0.8,240431,0.7,319898,2.00 119,1,2024-09-07 09:31:30:551,839697,839697,0,0,394880762496,4136603572,828983,9289,1425,367,391857,0 119,2,2024-09-07 09:31:31:260,605585,605585,0,0,26712992,0,4174 119,3,2024-09-07 09:31:31:336,1,479,0,0,1358,9267,479,0 120,0,2024-09-07 09:31:31:548,119526,0.6,119376,0.8,239529,0.7,319321,2.25 120,1,2024-09-07 09:31:30:865,840324,840324,0,0,394063429911,4131621797,831529,8020,775,368,392144,0 120,2,2024-09-07 09:31:30:774,604877,604876,1,0,30766271,0,5281 120,3,2024-09-07 09:31:31:307,1,479,1,0,279,6147,479,0 121,0,2024-09-07 09:31:31:775,120372,1.3,119888,1.1,240287,1.7,319966,2.25 121,1,2024-09-07 09:31:31:655,840833,840833,0,0,395056443706,4128095390,833204,7076,553,367,391840,0 121,2,2024-09-07 09:31:31:125,605163,605163,0,0,28841160,0,4157 121,3,2024-09-07 09:31:30:736,1,479,9,0,269,5560,479,0 122,0,2024-09-07 09:31:31:764,119289,0.8,116230,0.9,243687,0.9,320255,2.00 122,1,2024-09-07 09:31:30:877,839418,839418,0,0,394726366623,4139777287,828140,9573,1705,366,392130,0 122,2,2024-09-07 09:31:31:322,606097,606024,73,0,31802981,0,5989 122,3,2024-09-07 09:31:30:594,1,479,9,0,512,8356,479,0 123,0,2024-09-07 09:31:30:950,119674,0.9,116600,0.9,244327,1.0,319415,2.25 123,1,2024-09-07 09:31:30:561,839487,839487,0,0,394486725461,4150348031,825501,11540,2446,369,392039,0 123,2,2024-09-07 09:31:31:019,603769,603768,1,0,27209665,0,5215 123,3,2024-09-07 09:31:31:133,1,479,7,0,478,5683,479,0 124,0,2024-09-07 09:31:30:943,123936,0.3,123943,0.5,233672,0.3,321236,1.75 124,1,2024-09-07 09:31:31:024,842203,842203,0,0,394896451268,4106670137,835816,5272,1115,367,392178,0 124,2,2024-09-07 09:31:31:010,607380,607327,53,0,25263022,0,6487 124,3,2024-09-07 09:31:30:758,1,479,9,0,490,4839,479,0 125,0,2024-09-07 09:31:31:417,120025,0.4,119851,0.6,240554,0.4,320466,1.75 125,1,2024-09-07 09:31:30:859,840734,840734,0,0,395160846575,4127082964,833849,5904,981,382,391813,0 125,2,2024-09-07 09:31:31:118,606984,606984,0,0,25456506,0,4534 125,3,2024-09-07 09:31:31:126,1,479,8,0,709,5851,479,0 126,0,2024-09-07 09:31:31:466,120209,0.4,123761,0.6,236687,0.4,320406,1.75 126,1,2024-09-07 09:31:30:555,843518,843518,0,0,396528455907,4105299351,839125,4097,296,365,391987,0 126,2,2024-09-07 09:31:30:614,606749,606749,0,0,25771334,0,4539 126,3,2024-09-07 09:31:30:906,1,479,2,0,268,5549,479,0 127,0,2024-09-07 09:31:31:600,119944,0.3,120362,0.5,240110,0.3,319766,1.75 127,1,2024-09-07 09:31:30:582,842246,842246,0,0,395397858159,4115483079,833547,7237,1462,364,392187,0 127,2,2024-09-07 09:31:30:637,605026,605022,4,0,24542642,0,5305 127,3,2024-09-07 09:31:31:280,1,479,1,0,968,4949,479,0 128,0,2024-09-07 09:31:31:520,120557,0.3,120635,0.4,240984,0.2,320512,1.50 128,1,2024-09-07 09:31:31:606,841128,841128,0,0,396532047532,4119840117,835052,5349,727,367,392031,0 128,2,2024-09-07 09:31:31:384,607860,607860,0,0,23216556,0,3171 128,3,2024-09-07 09:31:30:785,1,479,25,0,1082,7838,479,0 129,0,2024-09-07 09:31:30:998,121130,0.3,120640,0.5,241646,0.3,321141,1.50 129,1,2024-09-07 09:31:30:582,839042,839042,0,0,393801391374,4128717713,830542,6765,1735,379,391962,0 129,2,2024-09-07 09:31:30:694,606656,606652,4,0,25446035,0,5335 129,3,2024-09-07 09:31:30:704,1,479,1,0,506,7005,479,0 130,0,2024-09-07 09:31:31:717,120392,0.5,119986,0.6,241143,0.5,320405,1.75 130,1,2024-09-07 09:31:30:583,842580,842580,0,0,395072565421,4107261368,837909,4285,386,381,391825,0 130,2,2024-09-07 09:31:31:125,607084,607084,0,0,24869207,0,4067 130,3,2024-09-07 09:31:31:297,1,479,1,0,960,7059,479,0 131,0,2024-09-07 09:31:31:930,119692,0.4,119927,0.5,240688,0.3,319754,1.75 131,1,2024-09-07 09:31:31:828,841703,841703,0,0,395219334056,4127259375,834893,5620,1190,381,391865,0 131,2,2024-09-07 09:31:30:568,607389,607389,0,0,23061662,0,3979 131,3,2024-09-07 09:31:31:694,1,479,317,0,392,5730,479,0 132,0,2024-09-07 09:31:31:426,120033,0.4,121256,0.6,241050,0.4,320506,1.75 132,1,2024-09-07 09:31:30:582,838211,838211,0,0,394572590856,4153517281,825983,10041,2187,381,392532,0 132,2,2024-09-07 09:31:30:701,605317,605300,17,0,30581880,0,6451 132,3,2024-09-07 09:31:31:701,1,479,242,0,804,8403,479,0 133,0,2024-09-07 09:31:31:514,117165,0.4,119976,0.6,246025,0.4,319852,1.75 133,1,2024-09-07 09:31:30:587,838170,838170,0,0,394257258614,4155698512,826469,10079,1622,383,391914,0 133,2,2024-09-07 09:31:31:094,605438,605388,50,0,30938281,0,6861 133,3,2024-09-07 09:31:31:303,1,479,16,0,479,5429,479,0 134,0,2024-09-07 09:31:30:944,120421,0.5,120211,0.7,240710,0.5,320690,2.00 134,1,2024-09-07 09:31:30:585,839408,839408,0,0,394365015928,4133625515,828974,8365,2069,366,391718,0 134,2,2024-09-07 09:31:31:757,604927,604903,24,0,29239291,0,6207 134,3,2024-09-07 09:31:30:760,1,479,3,0,739,5774,479,0 135,0,2024-09-07 09:31:31:103,115624,0.7,115697,0.8,245528,0.8,316894,2.25 135,1,2024-09-07 09:31:31:588,839540,839540,0,0,395184487250,4153905343,829060,9101,1379,380,391805,0 135,2,2024-09-07 09:31:30:694,605514,605514,0,0,28400638,0,3981 135,3,2024-09-07 09:31:31:001,1,479,9,0,299,4118,479,0 136,0,2024-09-07 09:31:31:630,120654,0.5,120961,0.7,240877,0.5,320671,2.00 136,1,2024-09-07 09:31:31:444,840889,840889,0,0,394067184373,4130062570,831542,8209,1138,381,391685,0 136,2,2024-09-07 09:31:31:134,606719,606719,0,0,26905496,0,3506 136,3,2024-09-07 09:31:31:119,1,479,1,0,637,5486,479,0 137,0,2024-09-07 09:31:30:933,124330,0.6,121052,0.7,237478,0.5,321918,2.00 137,1,2024-09-07 09:31:30:599,839157,839157,0,0,394127157558,4133186331,826232,10256,2669,366,391898,0 137,2,2024-09-07 09:31:31:718,604943,604943,0,0,30150668,0,3185 137,3,2024-09-07 09:31:30:772,1,479,13,0,484,6168,479,0 138,0,2024-09-07 09:31:31:748,119782,0.9,119823,0.9,240498,1.1,319397,2.25 138,1,2024-09-07 09:31:31:687,840145,840145,0,0,394904892929,4140078609,828798,9525,1822,368,391954,0 138,2,2024-09-07 09:31:30:601,604761,604761,0,0,27884752,0,4988 138,3,2024-09-07 09:31:30:624,1,479,2,0,1200,7780,479,0 139,0,2024-09-07 09:31:31:358,118769,1.3,118994,1.0,238176,2.0,318536,2.50 139,1,2024-09-07 09:31:30:571,835680,835680,0,0,391572896423,4157518410,820107,12125,3448,380,392058,0 139,2,2024-09-07 09:31:30:713,601367,601367,0,0,30856559,0,3097 139,3,2024-09-07 09:31:31:663,1,479,3,0,432,5598,479,0 140,0,2024-09-07 09:31:31:594,120875,0.3,120034,0.5,240954,0.2,320903,1.75 140,1,2024-09-07 09:31:31:538,844788,844788,0,0,397228394045,4095163591,840740,3587,461,365,391606,0 140,2,2024-09-07 09:31:30:693,606979,606978,1,0,23784392,0,5036 140,3,2024-09-07 09:31:30:772,1,479,1,0,297,4605,479,0 141,0,2024-09-07 09:31:31:712,120548,0.3,123703,0.5,236199,0.2,320467,1.50 141,1,2024-09-07 09:31:30:861,843090,843090,0,0,395900985989,4114672157,836233,5772,1085,379,391614,0 141,2,2024-09-07 09:31:31:687,606652,606641,11,0,25257542,0,5369 141,3,2024-09-07 09:31:31:043,1,479,1,0,391,5316,479,0 142,0,2024-09-07 09:31:31:309,120748,0.3,120044,0.5,240417,0.3,320569,1.75 142,1,2024-09-07 09:31:30:590,841272,841272,0,0,394737846627,4115670240,835764,5052,456,382,392102,0 142,2,2024-09-07 09:31:31:300,605159,605127,32,0,25877963,0,6028 142,3,2024-09-07 09:31:31:746,1,479,1,0,484,5200,479,0 143,0,2024-09-07 09:31:31:392,119822,0.4,119859,0.6,240306,0.4,319979,1.75 143,1,2024-09-07 09:31:30:574,843161,843161,0,0,396241911419,4113018916,837412,5198,551,367,391705,0 143,2,2024-09-07 09:31:30:775,606798,606798,0,0,24907425,0,3123 143,3,2024-09-07 09:31:31:160,1,479,0,0,462,6199,479,0 144,0,2024-09-07 09:31:31:491,116133,0.6,119401,0.8,243101,0.5,318470,2.00 144,1,2024-09-07 09:31:30:574,839082,839082,0,0,393485675819,4131847774,830272,6907,1903,381,391733,0 144,2,2024-09-07 09:31:31:758,607135,607135,0,0,23767403,0,3673 144,3,2024-09-07 09:31:31:739,1,479,1,0,249,4843,479,0 145,0,2024-09-07 09:31:31:358,116124,0.6,116115,0.8,246197,0.5,317411,2.25 145,1,2024-09-07 09:31:30:556,838312,838312,0,0,393989166842,4144963932,827394,9049,1869,382,391759,0 145,2,2024-09-07 09:31:31:460,603255,603173,82,0,29554994,0,7814 145,3,2024-09-07 09:31:30:899,1,479,42,0,622,6743,479,0 146,0,2024-09-07 09:31:31:624,120001,0.6,119524,0.7,240223,0.6,318715,2.25 146,1,2024-09-07 09:31:31:594,839994,839994,0,0,394116187382,4143379737,826645,9820,3529,367,391770,0 146,2,2024-09-07 09:31:31:695,603784,603784,0,0,27540191,0,3290 146,3,2024-09-07 09:31:31:290,1,479,1,0,1520,8407,479,0 147,0,2024-09-07 09:31:31:695,120099,0.6,119877,0.8,239094,0.6,319789,2.25 147,1,2024-09-07 09:31:31:372,842751,842751,0,0,395994736198,4116810138,835767,6134,850,367,391791,0 147,2,2024-09-07 09:31:31:025,605879,605879,0,0,25079692,0,2968 147,3,2024-09-07 09:31:30:912,1,479,14,0,1626,8107,479,0 0,0,2024-09-07 09:31:41:775,116914,0.6,116909,0.7,248003,0.6,320350,2.00 0,1,2024-09-07 09:31:40:849,841290,841290,0,0,395861027149,4148738543,833826,6628,836,369,391896,0 0,2,2024-09-07 09:31:41:096,607929,607929,0,0,25231340,0,4480 0,3,2024-09-07 09:31:40:988,1,480,20,0,431,6913,480,0 1,0,2024-09-07 09:31:41:764,120139,1.0,119629,1.0,239791,1.4,320171,2.00 1,1,2024-09-07 09:31:40:563,841158,841158,0,0,394487234631,4135579501,832834,6859,1465,370,391859,0 1,2,2024-09-07 09:31:40:652,607304,607304,0,0,24590658,0,3380 1,3,2024-09-07 09:31:41:310,1,480,4,0,269,6224,480,0 2,0,2024-09-07 09:31:41:565,119942,0.6,119928,0.7,239661,0.6,319517,2.00 2,1,2024-09-07 09:31:40:858,844042,844042,0,0,397019571360,4126064395,839571,3669,802,380,391745,0 2,2,2024-09-07 09:31:41:269,607878,607878,0,0,22924941,0,3594 2,3,2024-09-07 09:31:40:690,1,480,1,0,357,4355,480,0 3,0,2024-09-07 09:31:41:746,120257,0.4,120076,0.6,239864,0.4,319586,2.00 3,1,2024-09-07 09:31:41:622,842634,842634,0,0,396030496932,4124974615,835657,6282,695,379,391716,0 3,2,2024-09-07 09:31:41:150,607340,607317,23,0,24404584,0,5851 3,3,2024-09-07 09:31:41:763,1,480,5,0,207,3093,480,0 4,0,2024-09-07 09:31:41:762,116995,0.4,120191,0.5,245168,0.3,320619,1.75 4,1,2024-09-07 09:31:40:593,839317,839317,0,0,394800857239,4181199185,824368,11475,3474,370,391992,0 4,2,2024-09-07 09:31:41:021,604828,604828,0,0,29714804,0,4534 4,3,2024-09-07 09:31:41:029,1,480,29,0,448,6376,480,0 5,0,2024-09-07 09:31:41:425,120156,0.4,120764,0.6,240962,0.4,320675,1.75 5,1,2024-09-07 09:31:40:761,840789,840789,0,0,395427645059,4163817629,828681,9716,2392,367,392005,0 5,2,2024-09-07 09:31:41:845,604143,604143,0,0,28662862,0,3582 5,3,2024-09-07 09:31:41:735,1,480,7,0,457,6804,480,0 6,0,2024-09-07 09:31:40:932,120512,0.5,120023,0.7,240207,0.4,320394,2.00 6,1,2024-09-07 09:31:40:753,843066,843066,0,0,394919426418,4126042507,834287,7352,1427,379,391702,0 6,2,2024-09-07 09:31:41:118,606864,606846,18,0,27293703,0,5535 6,3,2024-09-07 09:31:41:274,1,480,2,0,710,6084,480,0 7,0,2024-09-07 09:31:41:542,119455,0.5,120484,0.7,239664,0.5,319810,2.00 7,1,2024-09-07 09:31:40:850,840743,840743,0,0,394393495512,4144389070,828503,10134,2106,382,391747,0 7,2,2024-09-07 09:31:40:771,607410,607410,0,0,26834147,0,4791 7,3,2024-09-07 09:31:40:851,1,480,1,0,552,5604,480,0 8,0,2024-09-07 09:31:41:328,120544,0.3,120207,0.5,241141,0.3,321220,1.75 8,1,2024-09-07 09:31:41:027,840394,840394,0,0,394248648503,4144277055,827544,10055,2795,366,392853,0 8,2,2024-09-07 09:31:40:791,602975,602975,0,0,31526972,0,3250 8,3,2024-09-07 09:31:40:597,1,480,22,0,538,7584,480,0 9,0,2024-09-07 09:31:41:109,120316,0.4,117096,0.5,245060,0.3,321075,1.75 9,1,2024-09-07 09:31:40:554,839324,839324,0,0,394287743595,4152019714,826980,9875,2469,369,392001,0 9,2,2024-09-07 09:31:41:092,605827,605827,0,0,28725580,0,4615 9,3,2024-09-07 09:31:41:761,1,480,10,0,1273,8032,480,0 10,0,2024-09-07 09:31:41:614,119872,0.3,119427,0.5,239753,0.3,319258,1.75 10,1,2024-09-07 09:31:40:589,842326,842326,0,0,394944113814,4138042060,830920,9466,1940,381,391741,0 10,2,2024-09-07 09:31:40:763,606843,606843,0,0,31177070,0,4264 10,3,2024-09-07 09:31:40:881,1,480,0,0,649,5066,480,0 11,0,2024-09-07 09:31:41:014,119866,0.4,116326,0.6,243082,0.4,320690,1.75 11,1,2024-09-07 09:31:40:571,842313,842313,0,0,395519766730,4149206568,829534,9659,3120,383,391756,0 11,2,2024-09-07 09:31:41:130,606359,606359,0,0,27263590,0,4130 11,3,2024-09-07 09:31:41:306,1,480,1,0,843,6598,480,0 12,0,2024-09-07 09:31:40:958,121006,0.4,120989,0.5,241877,0.3,321217,1.75 12,1,2024-09-07 09:31:40:960,842028,842028,0,0,395032860911,4121612133,835236,6134,658,370,391960,0 12,2,2024-09-07 09:31:41:561,606411,606411,0,0,27495554,0,4390 12,3,2024-09-07 09:31:41:073,1,480,5,0,386,6721,480,0 13,0,2024-09-07 09:31:41:325,121317,0.4,120869,0.6,241209,0.4,321398,1.75 13,1,2024-09-07 09:31:41:534,840390,840390,0,0,395263158977,4156922309,831310,6929,2151,382,391740,0 13,2,2024-09-07 09:31:40:599,608236,608236,0,0,24790470,0,3287 13,3,2024-09-07 09:31:41:764,1,480,1,0,522,6922,480,0 14,0,2024-09-07 09:31:40:561,120163,0.4,121160,0.6,239993,0.4,319783,1.75 14,1,2024-09-07 09:31:41:561,846157,846157,0,0,397266435413,4113225270,839614,5829,714,364,391673,0 14,2,2024-09-07 09:31:40:769,607624,607594,30,0,26179837,0,6104 14,3,2024-09-07 09:31:41:117,1,480,41,0,1168,5183,480,0 15,0,2024-09-07 09:31:41:563,119694,0.4,119408,0.6,239604,0.4,319356,2.00 15,1,2024-09-07 09:31:41:610,843211,843211,0,0,396247568328,4127520855,836194,5562,1455,381,391619,0 15,2,2024-09-07 09:31:41:002,608757,608757,0,0,21887852,0,3622 15,3,2024-09-07 09:31:41:415,1,480,1,0,1126,7226,480,0 16,0,2024-09-07 09:31:40:941,120207,0.5,121001,0.7,240978,0.5,320332,2.00 16,1,2024-09-07 09:31:40:563,842430,842430,0,0,395886922353,4142470396,834267,6867,1296,370,391917,0 16,2,2024-09-07 09:31:41:450,606369,606369,0,0,26299789,0,4719 16,3,2024-09-07 09:31:41:143,1,480,1,0,358,6301,480,0 17,0,2024-09-07 09:31:41:811,124224,0.6,121225,0.7,237397,0.6,321833,2.00 17,1,2024-09-07 09:31:40:581,840840,840840,0,0,394988006241,4146559442,832179,6943,1718,368,392075,0 17,2,2024-09-07 09:31:41:677,609530,609529,1,0,26962538,0,5050 17,3,2024-09-07 09:31:40:574,1,480,14,0,518,7437,480,0 18,0,2024-09-07 09:31:40:943,119358,0.7,119887,0.8,239150,0.7,319277,2.25 18,1,2024-09-07 09:31:41:643,844851,844851,0,0,397190697270,4120999415,839351,4643,857,367,391725,0 18,2,2024-09-07 09:31:41:764,609294,609294,0,0,23789768,0,3541 18,3,2024-09-07 09:31:40:897,1,480,0,0,1059,4810,480,0 19,0,2024-09-07 09:31:41:552,119731,0.6,120031,0.8,238655,0.6,318266,2.25 19,1,2024-09-07 09:31:40:576,844805,844805,0,0,396718412064,4116270428,838027,5694,1084,367,391777,0 19,2,2024-09-07 09:31:41:763,609227,609227,0,0,22248116,0,3988 19,3,2024-09-07 09:31:41:138,1,480,1,0,524,4010,480,0 20,0,2024-09-07 09:31:41:359,120250,0.5,120408,0.7,240274,0.5,320417,2.00 20,1,2024-09-07 09:31:40:578,841771,841771,0,0,395895912631,4147887488,833021,7390,1360,369,391922,0 20,2,2024-09-07 09:31:40:928,606479,606479,0,0,26528829,0,3721 20,3,2024-09-07 09:31:40:599,1,480,2,0,468,7879,480,0 21,0,2024-09-07 09:31:41:177,120424,0.4,120587,0.6,241085,0.4,320043,1.75 21,1,2024-09-07 09:31:41:543,839460,839460,0,0,394398849780,4164784571,825842,10509,3109,368,392016,0 21,2,2024-09-07 09:31:41:086,604659,604639,20,0,31779615,0,5617 21,3,2024-09-07 09:31:41:411,1,480,9,0,713,6344,480,0 22,0,2024-09-07 09:31:41:719,120160,0.5,120583,0.7,240822,0.4,319316,2.00 22,1,2024-09-07 09:31:41:030,841172,841172,0,0,394554932962,4153219524,827373,10838,2961,382,391675,0 22,2,2024-09-07 09:31:40:762,605693,605667,26,0,26601729,0,6328 22,3,2024-09-07 09:31:41:066,1,480,3,0,228,3949,480,0 23,0,2024-09-07 09:31:41:418,119943,0.5,119415,0.7,239010,0.5,319224,2.25 23,1,2024-09-07 09:31:41:011,842327,842327,0,0,396371000383,4161000430,827726,10344,4257,365,391690,0 23,2,2024-09-07 09:31:41:115,608380,608380,0,0,24836477,0,3773 23,3,2024-09-07 09:31:41:754,1,480,1,0,720,6292,480,0 24,0,2024-09-07 09:31:40:836,120878,0.4,120022,0.5,241340,0.4,320498,1.75 24,1,2024-09-07 09:31:40:588,841120,841120,0,0,394258376375,4129280795,832606,6852,1662,367,392269,0 24,2,2024-09-07 09:31:41:077,607321,607321,0,0,29326648,0,3607 24,3,2024-09-07 09:31:41:704,1,480,3,0,468,6356,480,0 25,0,2024-09-07 09:31:41:349,123601,0.4,120429,0.6,236316,0.4,321915,1.75 25,1,2024-09-07 09:31:40:557,840142,840142,0,0,395299102055,4167847042,826452,10983,2707,371,391928,0 25,2,2024-09-07 09:31:41:621,605360,605360,0,0,30979118,0,3978 25,3,2024-09-07 09:31:41:012,1,480,20,0,532,5370,480,0 26,0,2024-09-07 09:31:41:731,119853,0.4,117300,0.6,246025,0.4,319935,1.75 26,1,2024-09-07 09:31:41:548,843198,843198,0,0,395101558601,4139798513,830880,9905,2413,380,391748,0 26,2,2024-09-07 09:31:40:861,606368,606368,0,0,32138924,0,4689 26,3,2024-09-07 09:31:41:719,1,480,1,0,796,5581,480,0 27,0,2024-09-07 09:31:41:729,120463,0.4,120720,0.6,240072,0.4,320575,2.00 27,1,2024-09-07 09:31:41:677,844174,844174,0,0,397047736903,4136155680,835918,6994,1262,381,391626,0 27,2,2024-09-07 09:31:40:870,605156,605091,65,0,27937991,0,5699 27,3,2024-09-07 09:31:41:019,1,480,0,0,564,4320,480,0 28,0,2024-09-07 09:31:41:404,120542,0.4,119960,0.6,240898,0.3,321203,1.75 28,1,2024-09-07 09:31:40:797,843562,843562,0,0,396827689548,4139922532,836068,5794,1700,382,391698,0 28,2,2024-09-07 09:31:41:766,607267,607267,0,0,24837789,0,2915 28,3,2024-09-07 09:31:41:775,1,480,9,0,502,4902,480,0 29,0,2024-09-07 09:31:41:376,123667,0.4,120499,0.6,236247,0.3,322006,1.75 29,1,2024-09-07 09:31:41:562,846528,846528,0,0,397641063627,4114469729,841242,4527,759,368,391809,0 29,2,2024-09-07 09:31:40:862,606360,606360,0,0,24282565,0,4986 29,3,2024-09-07 09:31:40:974,1,480,8,0,459,5478,480,0 30,0,2024-09-07 09:31:41:485,120105,0.5,116661,0.7,244069,0.5,319197,2.00 30,1,2024-09-07 09:31:40:571,845189,845189,0,0,397761379757,4130064083,838548,5792,849,380,391672,0 30,2,2024-09-07 09:31:41:276,607651,607651,0,0,23720134,0,4192 30,3,2024-09-07 09:31:40:585,1,480,5,0,519,4896,480,0 31,0,2024-09-07 09:31:41:762,119886,0.5,120326,0.7,240268,0.4,320252,2.00 31,1,2024-09-07 09:31:40:564,848041,848041,0,0,398476468684,4088544305,843708,3532,801,356,391712,0 31,2,2024-09-07 09:31:41:275,606227,606227,0,0,26112740,0,4470 31,3,2024-09-07 09:31:41:712,1,480,1,0,220,4090,480,0 32,0,2024-09-07 09:31:41:420,120362,0.3,121061,0.5,240917,0.3,321338,1.75 32,1,2024-09-07 09:31:40:804,844078,844078,0,0,396590331272,4125078485,838556,4815,707,381,391646,0 32,2,2024-09-07 09:31:40:939,608195,608195,0,0,22725938,0,3922 32,3,2024-09-07 09:31:41:017,1,480,1,0,304,3958,480,0 33,0,2024-09-07 09:31:41:497,120832,0.3,120404,0.4,241012,0.2,320704,1.50 33,1,2024-09-07 09:31:40:575,845117,845117,0,0,397511350846,4122292719,837903,6042,1172,368,391730,0 33,2,2024-09-07 09:31:40:762,607496,607461,35,0,25484131,0,7012 33,3,2024-09-07 09:31:40:906,1,480,14,0,329,4609,480,0 34,0,2024-09-07 09:31:40:931,120878,0.3,124246,0.4,237440,0.2,319881,1.75 34,1,2024-09-07 09:31:41:046,847063,847063,0,0,398375420036,4098039564,844732,2309,22,367,391562,0 34,2,2024-09-07 09:31:40:771,609225,609225,0,0,23854909,0,4562 34,3,2024-09-07 09:31:41:696,1,480,0,0,320,3888,480,0 35,0,2024-09-07 09:31:40:857,119616,0.3,120286,0.5,241592,0.3,321589,1.75 35,1,2024-09-07 09:31:41:068,844195,844195,0,0,397374208434,4122140209,838575,4564,1056,382,391769,0 35,2,2024-09-07 09:31:41:582,606749,606749,0,0,26047366,0,4055 35,3,2024-09-07 09:31:40:918,1,480,4,0,418,4751,480,0 36,0,2024-09-07 09:31:41:529,120715,0.5,120633,0.7,241115,0.4,320935,2.00 36,1,2024-09-07 09:31:40:584,843382,843382,0,0,395475149719,4131591512,832346,8920,2116,366,391759,0 36,2,2024-09-07 09:31:41:751,607521,607521,0,0,26789117,0,3875 36,3,2024-09-07 09:31:40:863,1,480,35,0,416,6886,480,0 37,0,2024-09-07 09:31:41:391,119751,0.5,119782,0.7,239637,0.5,320140,2.25 37,1,2024-09-07 09:31:40:572,842337,842330,0,7,395739852241,4134806295,831811,7950,2569,365,391770,0 37,2,2024-09-07 09:31:41:150,605237,605222,15,0,27299617,0,5815 37,3,2024-09-07 09:31:41:774,1,480,13,0,888,7028,480,0 38,0,2024-09-07 09:31:41:445,119683,0.4,116167,0.6,243029,0.4,318477,2.00 38,1,2024-09-07 09:31:41:604,843264,843264,0,0,397163514954,4154572731,830494,10259,2511,368,391821,0 38,2,2024-09-07 09:31:40:763,606527,606480,47,0,27636195,0,6710 38,3,2024-09-07 09:31:41:001,1,480,25,0,689,6431,480,0 39,0,2024-09-07 09:31:41:769,123249,0.6,120520,0.7,234911,0.5,320377,2.00 39,1,2024-09-07 09:31:40:724,841909,841909,0,0,394472465655,4143303101,826665,11871,3373,365,391658,0 39,2,2024-09-07 09:31:41:426,607161,607161,0,0,25940727,0,3391 39,3,2024-09-07 09:31:40:713,1,480,0,0,525,5714,480,0 40,0,2024-09-07 09:31:41:496,118712,0.7,119392,0.9,238131,0.8,317586,2.75 40,1,2024-09-07 09:31:40:576,843547,843547,0,0,395049489180,4134178358,831871,9507,2169,368,391668,0 40,2,2024-09-07 09:31:41:306,606039,606038,1,0,29795566,0,5137 40,3,2024-09-07 09:31:41:150,1,480,83,0,1028,6340,480,0 41,0,2024-09-07 09:31:41:026,119391,1.2,122106,1.1,232912,1.6,317371,2.75 41,1,2024-09-07 09:31:40:767,842072,842072,0,0,395568105928,4139850312,831240,9123,1709,369,391742,0 41,2,2024-09-07 09:31:40:762,605151,605151,0,0,28502248,0,4277 41,3,2024-09-07 09:31:41:682,1,480,8,0,366,5003,480,0 42,0,2024-09-07 09:31:41:486,119460,0.8,119233,1.0,238798,0.9,316147,2.75 42,1,2024-09-07 09:31:41:448,839631,839631,0,0,394676125644,4151556557,825421,11365,2845,380,391675,0 42,2,2024-09-07 09:31:41:136,606074,606074,0,0,28321848,0,3790 42,3,2024-09-07 09:31:41:014,1,480,0,0,892,4492,480,0 43,0,2024-09-07 09:31:40:927,119262,0.7,116169,0.9,243005,0.7,318480,2.25 43,1,2024-09-07 09:31:40:576,843063,843063,0,0,395717472143,4136399746,830991,10096,1976,366,391696,0 43,2,2024-09-07 09:31:41:737,607190,607190,0,0,27745182,0,3812 43,3,2024-09-07 09:31:41:748,1,480,1,0,571,6827,480,0 44,0,2024-09-07 09:31:40:858,120315,0.4,120354,0.6,241258,0.4,320329,2.00 44,1,2024-09-07 09:31:40:569,844994,844994,0,0,396866280945,4103162854,837501,5944,1549,356,391809,0 44,2,2024-09-07 09:31:41:269,605765,605765,0,0,23497406,0,4344 44,3,2024-09-07 09:31:41:102,1,480,1,0,817,5418,480,0 45,0,2024-09-07 09:31:41:756,118416,0.5,115758,0.7,242862,0.4,319318,2.00 45,1,2024-09-07 09:31:41:011,843957,843957,0,0,397143629147,4130017554,836776,6315,866,382,391917,0 45,2,2024-09-07 09:31:41:272,608306,608306,0,0,23579881,0,3596 45,3,2024-09-07 09:31:40:945,1,480,7,0,271,4263,480,0 46,0,2024-09-07 09:31:40:962,119829,0.5,119260,0.7,239379,0.5,317609,2.00 46,1,2024-09-07 09:31:40:575,846277,846277,0,0,397059758144,4102926544,840686,4861,730,366,391709,0 46,2,2024-09-07 09:31:40:606,607044,607044,0,0,23801823,0,4443 46,3,2024-09-07 09:31:41:139,1,480,2,0,908,5724,480,0 47,0,2024-09-07 09:31:41:103,120706,0.4,121040,0.6,242428,0.4,320795,1.75 47,1,2024-09-07 09:31:40:578,846243,846243,0,0,396783557805,4100609583,841145,4259,839,366,391641,0 47,2,2024-09-07 09:31:40:908,610369,610369,0,0,24021221,0,4477 47,3,2024-09-07 09:31:41:123,1,480,1,0,600,5628,480,0 48,0,2024-09-07 09:31:41:554,121026,0.3,121052,0.4,240861,0.2,321246,1.50 48,1,2024-09-07 09:31:41:022,844556,844556,0,0,396542224077,4119908740,839312,4720,524,384,391710,0 48,2,2024-09-07 09:31:40:702,607546,607546,0,0,22425116,0,3411 48,3,2024-09-07 09:31:40:753,1,480,31,0,339,3804,480,0 49,0,2024-09-07 09:31:41:725,123150,0.4,121011,0.5,235201,0.3,321975,1.75 49,1,2024-09-07 09:31:41:027,843726,843726,0,0,396419104705,4123929254,838180,4336,1210,382,391809,0 49,2,2024-09-07 09:31:41:801,607791,607791,0,0,24305780,0,4426 49,3,2024-09-07 09:31:41:425,1,480,11,0,992,5571,480,0 50,0,2024-09-07 09:31:41:514,120972,0.3,119312,0.5,240339,0.2,320290,1.75 50,1,2024-09-07 09:31:41:015,846548,846548,0,0,397896995953,4115908452,840865,5020,663,368,391565,0 50,2,2024-09-07 09:31:41:076,607149,607149,0,0,22459400,0,4490 50,3,2024-09-07 09:31:41:301,1,480,8,0,617,5260,480,0 51,0,2024-09-07 09:31:41:688,123561,0.3,121061,0.5,235764,0.2,321706,1.75 51,1,2024-09-07 09:31:41:684,847452,847452,0,0,398182131182,4108128566,842922,3477,1053,365,391706,0 51,2,2024-09-07 09:31:41:318,608418,608418,0,0,21702207,0,3337 51,3,2024-09-07 09:31:41:027,1,480,2,0,678,3713,480,0 52,0,2024-09-07 09:31:41:495,120695,0.5,120371,0.7,241110,0.4,320235,2.00 52,1,2024-09-07 09:31:40:575,842172,842172,0,0,395598289369,4142130133,829952,10383,1837,368,391722,0 52,2,2024-09-07 09:31:41:757,603804,603766,38,0,28866290,0,6742 52,3,2024-09-07 09:31:40:674,1,480,1,0,1782,6167,480,0 53,0,2024-09-07 09:31:41:732,119448,0.7,115935,0.8,242606,0.7,318649,2.50 53,1,2024-09-07 09:31:40:775,840680,840680,0,0,395537698680,4152132170,826457,10554,3669,367,391968,0 53,2,2024-09-07 09:31:41:299,607455,607454,1,0,25397529,0,5455 53,3,2024-09-07 09:31:40:697,1,480,13,0,308,4756,480,0 54,0,2024-09-07 09:31:41:623,118639,0.5,118801,0.7,236780,0.4,316291,2.25 54,1,2024-09-07 09:31:40:585,843057,843057,0,0,397071018843,4129347019,834179,7469,1409,366,391659,0 54,2,2024-09-07 09:31:40:866,607819,607787,32,0,29024946,0,6397 54,3,2024-09-07 09:31:40:768,1,480,92,0,676,6715,480,0 55,0,2024-09-07 09:31:41:759,116299,0.6,119839,0.7,242885,0.5,316513,2.25 55,1,2024-09-07 09:31:40:774,844486,844486,0,0,396700191328,4125162201,835497,7742,1247,365,391731,0 55,2,2024-09-07 09:31:40:728,606299,606243,56,0,28074692,0,7239 55,3,2024-09-07 09:31:40:674,1,480,1,0,304,4650,480,0 56,0,2024-09-07 09:31:41:574,122790,1.2,115813,1.1,238613,1.6,319254,2.75 56,1,2024-09-07 09:31:40:570,840035,840035,0,0,394987814930,4167187478,827784,9698,2553,381,391867,0 56,2,2024-09-07 09:31:41:306,606340,606218,122,0,29016360,0,7432 56,3,2024-09-07 09:31:41:061,1,480,3,0,705,5712,480,0 57,0,2024-09-07 09:31:40:952,118538,1.5,118445,1.2,237262,2.1,317590,3.00 57,1,2024-09-07 09:31:41:004,841589,841589,0,0,395316758656,4146074239,830799,8724,2066,366,392032,0 57,2,2024-09-07 09:31:41:316,607125,607125,0,0,29547178,0,4317 57,3,2024-09-07 09:31:41:738,1,480,9,0,455,5497,480,0 58,0,2024-09-07 09:31:40:570,118521,0.9,115084,0.9,240655,1.0,315989,2.50 58,1,2024-09-07 09:31:40:580,842280,842277,0,3,396102897686,4148675414,829926,9583,2768,367,391603,3 58,2,2024-09-07 09:31:41:073,606678,606678,0,0,27613383,0,3483 58,3,2024-09-07 09:31:41:072,1,480,1,0,1043,5047,480,0 59,0,2024-09-07 09:31:41:747,119868,0.8,119464,1.0,238510,0.9,316237,2.75 59,1,2024-09-07 09:31:40:804,842252,842252,0,0,395732824720,4149624052,829932,10083,2237,369,391653,0 59,2,2024-09-07 09:31:40:589,606229,606229,0,0,27191632,0,3727 59,3,2024-09-07 09:31:41:737,1,480,2,0,1015,6302,480,0 60,0,2024-09-07 09:31:41:761,120215,0.5,120343,0.7,241118,0.5,320148,1.75 60,1,2024-09-07 09:31:40:771,845302,845302,0,0,397396130011,4125290430,839384,4989,929,370,392031,0 60,2,2024-09-07 09:31:41:160,607166,607166,0,0,25846301,0,3811 60,3,2024-09-07 09:31:41:261,1,480,1,0,409,5966,480,0 61,0,2024-09-07 09:31:41:507,120028,0.7,120660,0.8,240253,0.7,319942,2.00 61,1,2024-09-07 09:31:40:788,842472,842472,0,0,395900176909,4148724283,832789,8106,1577,382,392127,0 61,2,2024-09-07 09:31:41:118,607307,607240,67,0,26560870,0,6411 61,3,2024-09-07 09:31:41:696,1,480,7,0,479,6527,480,0 62,0,2024-09-07 09:31:41:724,120672,0.6,123644,0.7,236233,0.6,321170,2.00 62,1,2024-09-07 09:31:41:118,847533,847527,0,6,398084713940,4103718552,843582,3682,263,365,391975,6 62,2,2024-09-07 09:31:41:647,605347,605346,1,0,25796177,0,5555 62,3,2024-09-07 09:31:41:143,1,480,0,0,482,4024,480,0 63,0,2024-09-07 09:31:41:458,120804,0.4,120539,0.6,241820,0.4,321088,1.75 63,1,2024-09-07 09:31:40:804,844838,844832,0,6,397236760037,4123613959,839481,4617,734,381,391800,6 63,2,2024-09-07 09:31:40:763,607008,607008,0,0,24578398,0,4369 63,3,2024-09-07 09:31:41:740,1,480,3,0,667,5127,480,0 64,0,2024-09-07 09:31:41:517,120172,0.5,120261,0.7,240041,0.5,319131,2.00 64,1,2024-09-07 09:31:40:755,844229,844229,0,0,396246814309,4129243374,836633,5830,1766,370,391783,0 64,2,2024-09-07 09:31:41:143,611314,611295,19,0,24389987,0,6121 64,3,2024-09-07 09:31:41:142,1,480,8,0,651,5294,480,0 65,0,2024-09-07 09:31:41:698,119457,0.7,119699,0.8,239026,0.7,318877,2.00 65,1,2024-09-07 09:31:40:867,842010,842010,0,0,395359933549,4134367592,835715,5574,721,381,391901,0 65,2,2024-09-07 09:31:41:696,607025,607025,0,0,28293969,0,3367 65,3,2024-09-07 09:31:41:695,1,480,1,0,782,5850,480,0 66,0,2024-09-07 09:31:41:762,119905,0.6,119670,0.8,239200,0.6,318404,2.25 66,1,2024-09-07 09:31:41:292,844210,844210,0,0,396364390774,4128800342,838084,5405,721,380,391743,0 66,2,2024-09-07 09:31:41:141,608622,608619,3,0,25688651,0,5455 66,3,2024-09-07 09:31:41:086,1,480,1,0,291,4252,480,0 67,0,2024-09-07 09:31:41:426,120089,0.5,119936,0.7,240410,0.5,320182,2.25 67,1,2024-09-07 09:31:40:772,843839,843838,0,1,396314677444,4128443542,837792,5237,809,380,391787,1 67,2,2024-09-07 09:31:40:591,609160,609145,15,0,24799574,0,6205 67,3,2024-09-07 09:31:41:754,1,480,0,0,392,4657,480,0 68,0,2024-09-07 09:31:40:566,120527,0.5,120410,0.7,239831,0.5,320774,2.00 68,1,2024-09-07 09:31:40:576,840238,840238,0,0,394210756750,4147837287,828561,8328,3349,381,391953,0 68,2,2024-09-07 09:31:41:051,603794,603694,100,0,31946216,0,8578 68,3,2024-09-07 09:31:40:728,1,480,4,0,417,6290,480,0 69,0,2024-09-07 09:31:41:754,119676,0.7,120519,0.8,240143,0.7,318578,2.25 69,1,2024-09-07 09:31:41:020,840118,840118,0,0,394828459061,4164964392,828265,9096,2757,384,391994,0 69,2,2024-09-07 09:31:41:754,605699,605670,29,0,33108122,0,6912 69,3,2024-09-07 09:31:40:762,1,480,8,0,698,7241,480,0 70,0,2024-09-07 09:31:41:546,118682,0.9,118965,1.0,239461,0.7,316897,2.50 70,1,2024-09-07 09:31:40:801,844803,844803,0,0,397523005056,4125657152,838152,5950,701,366,391725,0 70,2,2024-09-07 09:31:41:329,606872,606872,0,0,27919399,0,4323 70,3,2024-09-07 09:31:40:752,1,480,2,0,854,5408,480,0 71,0,2024-09-07 09:31:41:375,118804,0.9,118619,1.0,238286,1.2,318018,2.75 71,1,2024-09-07 09:31:41:602,842592,842592,0,0,396765349312,4143469620,831892,9532,1168,368,391738,0 71,2,2024-09-07 09:31:41:067,606300,606300,0,0,28160729,0,4352 71,3,2024-09-07 09:31:41:750,1,480,24,0,644,5881,480,0 72,0,2024-09-07 09:31:41:041,124099,0.5,121397,0.7,236639,0.5,321410,2.00 72,1,2024-09-07 09:31:41:035,841473,841473,0,0,395541326170,4149625348,829376,10011,2086,369,391819,0 72,2,2024-09-07 09:31:41:764,605853,605853,0,0,29567569,0,3983 72,3,2024-09-07 09:31:41:768,1,480,329,0,564,7160,480,0 73,0,2024-09-07 09:31:41:097,117281,0.4,120432,0.6,245869,0.4,319377,2.00 73,1,2024-09-07 09:31:40:771,843788,843788,0,0,396444701540,4116870119,837853,5513,422,367,391858,0 73,2,2024-09-07 09:31:41:739,606392,606392,0,0,29632075,0,4291 73,3,2024-09-07 09:31:40:969,1,480,8,0,486,6114,480,0 74,0,2024-09-07 09:31:41:338,121144,0.5,123904,0.7,236277,0.5,320689,2.25 74,1,2024-09-07 09:31:40:639,843000,843000,0,0,395321834992,4126310848,833916,7318,1766,381,391762,0 74,2,2024-09-07 09:31:41:010,606913,606913,0,0,26340811,0,4253 74,3,2024-09-07 09:31:41:459,1,480,1,0,522,5837,480,0 75,0,2024-09-07 09:31:41:765,120343,0.5,119646,0.7,239911,0.4,320938,2.25 75,1,2024-09-07 09:31:41:591,843692,843692,0,0,396073728321,4130581044,836159,6691,842,380,391739,0 75,2,2024-09-07 09:31:41:349,606181,606181,0,0,30332116,0,4766 75,3,2024-09-07 09:31:41:067,1,480,3,0,702,6006,480,0 76,0,2024-09-07 09:31:40:682,119653,0.5,119019,0.7,238766,0.5,319082,2.25 76,1,2024-09-07 09:31:40:809,842618,842618,0,0,395539935009,4126065556,836831,4958,829,382,391692,0 76,2,2024-09-07 09:31:41:065,608852,608849,3,0,26697190,0,5265 76,3,2024-09-07 09:31:41:150,1,480,3,0,227,4586,480,0 77,0,2024-09-07 09:31:41:712,120118,0.5,120554,0.7,241447,0.5,319773,2.00 77,1,2024-09-07 09:31:40:824,843651,843651,0,0,395841185231,4130996164,837115,5975,561,381,391869,0 77,2,2024-09-07 09:31:41:286,607154,607154,0,0,25889505,0,3890 77,3,2024-09-07 09:31:41:113,1,480,9,0,401,5215,480,0 78,0,2024-09-07 09:31:41:717,120858,0.4,120239,0.6,241283,0.4,319898,2.00 78,1,2024-09-07 09:31:40:618,843793,843793,0,0,395259341897,4121531783,834245,7319,2229,367,391670,0 78,2,2024-09-07 09:31:41:416,608511,608498,13,0,24099363,0,8313 78,3,2024-09-07 09:31:41:139,1,480,0,0,181,4023,480,0 79,0,2024-09-07 09:31:41:352,115990,0.4,118775,0.6,243142,0.4,316838,2.25 79,1,2024-09-07 09:31:40:571,845716,845716,0,0,396848050515,4114007747,838420,5945,1351,367,391682,0 79,2,2024-09-07 09:31:41:081,607685,607685,0,0,23270004,0,4195 79,3,2024-09-07 09:31:40:751,1,480,5,0,418,6037,480,0 80,0,2024-09-07 09:31:41:090,120149,0.5,123404,0.7,236379,0.5,319743,2.00 80,1,2024-09-07 09:31:41:633,842915,842915,0,0,396310259563,4128360770,837125,5367,423,368,392269,0 80,2,2024-09-07 09:31:41:091,608843,608843,0,0,24216400,0,4433 80,3,2024-09-07 09:31:40:574,1,480,1,0,190,5472,480,0 81,0,2024-09-07 09:31:41:586,120532,0.5,123465,0.7,235608,0.5,320340,2.00 81,1,2024-09-07 09:31:41:652,842075,842075,0,0,395456125868,4136581946,834916,6522,637,382,391885,0 81,2,2024-09-07 09:31:41:140,606812,606749,63,0,27185874,0,5932 81,3,2024-09-07 09:31:41:117,1,480,9,0,719,5636,480,0 82,0,2024-09-07 09:31:41:537,120018,0.5,120231,0.7,240821,0.5,319459,2.00 82,1,2024-09-07 09:31:40:589,844399,844395,0,4,396425645805,4124393007,839334,4242,819,381,391768,4 82,2,2024-09-07 09:31:41:697,608131,608131,0,0,22511800,0,4484 82,3,2024-09-07 09:31:41:763,1,480,1,0,363,4839,480,0 83,0,2024-09-07 09:31:41:536,120308,0.6,120156,0.8,239680,0.6,319240,2.25 83,1,2024-09-07 09:31:40:555,842471,842471,0,0,395877830259,4128491121,836481,5539,451,382,391709,0 83,2,2024-09-07 09:31:40:775,606773,606748,25,0,24201513,0,5612 83,3,2024-09-07 09:31:40:755,1,480,3,0,1260,5965,480,0 84,0,2024-09-07 09:31:41:781,119164,0.7,119219,0.8,238203,0.6,318333,2.25 84,1,2024-09-07 09:31:41:044,842021,842021,0,0,395527071444,4133852253,832979,7866,1176,367,391967,0 84,2,2024-09-07 09:31:40:572,606122,606092,30,0,31790980,0,5971 84,3,2024-09-07 09:31:41:150,1,480,1,0,908,6945,480,0 85,0,2024-09-07 09:31:41:016,115802,0.6,115712,0.8,245590,0.6,318150,2.25 85,1,2024-09-07 09:31:40:564,839653,839653,0,0,395010481104,4169711152,826927,10546,2180,381,392006,0 85,2,2024-09-07 09:31:40:865,605838,605838,0,0,30032201,0,4255 85,3,2024-09-07 09:31:40:688,1,480,24,0,789,5664,480,0 86,0,2024-09-07 09:31:40:886,120020,0.6,123524,0.8,236244,0.7,319569,2.25 86,1,2024-09-07 09:31:40:835,842333,842333,0,0,395813429945,4146415837,832144,8467,1722,366,391961,0 86,2,2024-09-07 09:31:40:853,604795,604794,1,0,31938272,0,5004 86,3,2024-09-07 09:31:40:586,1,480,0,0,308,7178,480,0 87,0,2024-09-07 09:31:41:354,120248,0.9,119936,0.9,239984,1.1,320830,2.25 87,1,2024-09-07 09:31:40:556,840815,840815,0,0,394742590046,4139460828,829174,9940,1701,366,392076,0 87,2,2024-09-07 09:31:41:067,606419,606413,6,0,28032102,0,6323 87,3,2024-09-07 09:31:41:805,1,480,9,0,473,7310,480,0 88,0,2024-09-07 09:31:41:473,119983,0.4,120605,0.6,240931,0.4,320820,1.75 88,1,2024-09-07 09:31:40:576,840545,840545,0,0,394546659241,4132772490,829310,9007,2228,365,392084,0 88,2,2024-09-07 09:31:40:689,607288,607288,0,0,30356294,0,4465 88,3,2024-09-07 09:31:41:271,1,480,6,0,435,5917,480,0 89,0,2024-09-07 09:31:41:782,123794,0.4,119911,0.6,237015,0.4,322053,1.75 89,1,2024-09-07 09:31:40:562,840510,840510,0,0,396213229430,4164598207,830339,8696,1475,382,391866,0 89,2,2024-09-07 09:31:41:139,606111,606111,0,0,28619309,0,3173 89,3,2024-09-07 09:31:41:792,1,480,78,0,468,8065,480,0 90,0,2024-09-07 09:31:41:625,116763,0.4,119997,0.6,244674,0.4,319058,2.00 90,1,2024-09-07 09:31:40:604,842391,842391,0,0,395621787778,4139604052,834807,6933,651,380,391825,0 90,2,2024-09-07 09:31:41:423,605178,605178,0,0,30611893,0,3608 90,3,2024-09-07 09:31:40:937,1,480,1,0,322,5713,480,0 91,0,2024-09-07 09:31:40:932,120810,0.5,116970,0.6,244525,0.5,320626,1.75 91,1,2024-09-07 09:31:40:564,840802,840802,0,0,395705931070,4158856068,830402,8756,1644,381,392047,0 91,2,2024-09-07 09:31:41:331,608129,608129,0,0,26924189,0,3526 91,3,2024-09-07 09:31:40:600,1,480,3,0,216,4714,480,0 92,0,2024-09-07 09:31:41:449,121512,0.5,124270,0.6,236975,0.5,321344,1.75 92,1,2024-09-07 09:31:40:581,843135,843135,0,0,395445109316,4131459922,836559,5500,1076,381,392136,0 92,2,2024-09-07 09:31:41:366,608022,608022,0,0,24233506,0,3259 92,3,2024-09-07 09:31:41:013,1,480,3,0,167,4219,480,0 93,0,2024-09-07 09:31:40:955,121230,0.4,124272,0.6,237098,0.4,321258,1.75 93,1,2024-09-07 09:31:40:809,842959,842959,0,0,395797456856,4134159822,833497,7762,1700,366,391776,0 93,2,2024-09-07 09:31:40:930,606716,606716,0,0,29817677,0,4913 93,3,2024-09-07 09:31:41:412,1,480,9,0,190,4267,480,0 94,0,2024-09-07 09:31:41:612,120380,0.3,120996,0.5,241818,0.3,320869,1.75 94,1,2024-09-07 09:31:40:584,842919,842919,0,0,396393385832,4136050971,837147,5401,371,381,391850,0 94,2,2024-09-07 09:31:40:766,607503,607475,28,0,25542400,0,6179 94,3,2024-09-07 09:31:41:696,1,480,13,0,576,6186,480,0 95,0,2024-09-07 09:31:41:359,120552,0.3,120227,0.5,241028,0.3,321458,1.75 95,1,2024-09-07 09:31:40:851,844678,844678,0,0,396848275442,4124761480,837954,6244,480,365,391786,0 95,2,2024-09-07 09:31:41:020,606776,606776,0,0,24273466,0,3308 95,3,2024-09-07 09:31:41:713,1,480,7,0,718,7190,480,0 96,0,2024-09-07 09:31:41:027,120581,0.4,120809,0.6,241342,0.4,320318,1.75 96,1,2024-09-07 09:31:41:612,842708,842708,0,0,396037065856,4133305618,836376,5421,911,384,391955,0 96,2,2024-09-07 09:31:41:277,607639,607639,0,0,25086332,0,4180 96,3,2024-09-07 09:31:41:144,1,480,32,0,411,5259,480,0 97,0,2024-09-07 09:31:41:320,120411,0.3,120009,0.5,241023,0.3,320877,1.75 97,1,2024-09-07 09:31:40:763,844297,844297,0,0,397340483748,4122827922,838777,4584,936,367,392140,0 97,2,2024-09-07 09:31:40:614,607876,607876,0,0,24538132,0,3679 97,3,2024-09-07 09:31:40:576,1,480,12,0,242,5793,480,0 98,0,2024-09-07 09:31:41:822,120004,0.3,120337,0.4,241265,0.2,320518,1.50 98,1,2024-09-07 09:31:40:570,844027,844027,0,0,396105193095,4127480127,838708,4525,794,381,391997,0 98,2,2024-09-07 09:31:40:795,607521,607521,0,0,24525657,0,4336 98,3,2024-09-07 09:31:40:702,1,480,4,0,840,7569,480,0 99,0,2024-09-07 09:31:41:467,120321,0.3,120972,0.5,240621,0.3,321145,1.75 99,1,2024-09-07 09:31:41:732,844001,844001,0,0,396150193540,4125584632,838167,4913,921,380,392069,0 99,2,2024-09-07 09:31:41:433,608110,608110,0,0,29573652,0,4276 99,3,2024-09-07 09:31:40:589,1,480,1,0,606,5011,480,0 100,0,2024-09-07 09:31:41:470,119657,0.7,120023,0.9,239169,0.8,319751,2.25 100,1,2024-09-07 09:31:40:561,838929,838929,0,0,394119918886,4169927309,825381,10563,2985,378,391989,0 100,2,2024-09-07 09:31:41:819,604453,604442,11,0,28097744,0,5417 100,3,2024-09-07 09:31:41:735,1,480,1,0,627,8157,480,0 101,0,2024-09-07 09:31:41:704,122442,1.0,119335,1.0,233843,0.9,320162,2.25 101,1,2024-09-07 09:31:40:550,840078,840078,0,0,394633510413,4150509905,827940,9744,2394,368,391847,0 101,2,2024-09-07 09:31:41:765,603486,603486,0,0,33355588,0,4871 101,3,2024-09-07 09:31:40:945,1,480,11,0,1250,7566,480,0 102,0,2024-09-07 09:31:40:971,116976,0.6,120538,0.7,244670,0.6,319862,2.00 102,1,2024-09-07 09:31:41:180,839886,839886,0,0,394818063339,4150297989,828115,9772,1999,369,391891,0 102,2,2024-09-07 09:31:41:737,607018,606964,54,0,28048005,0,6768 102,3,2024-09-07 09:31:41:621,1,480,1,0,466,5151,480,0 103,0,2024-09-07 09:31:41:588,124300,0.5,124332,0.7,234220,0.5,321910,2.00 103,1,2024-09-07 09:31:41:639,839517,839517,0,0,394467239088,4166073004,825914,10407,3196,381,391862,0 103,2,2024-09-07 09:31:40:591,605366,605366,0,0,29740733,0,3766 103,3,2024-09-07 09:31:40:756,1,480,2,0,916,5697,480,0 104,0,2024-09-07 09:31:41:019,119299,0.7,119583,0.9,238143,0.7,318737,2.25 104,1,2024-09-07 09:31:41:605,841653,841653,0,0,395325241696,4154635097,828968,10138,2547,365,392168,0 104,2,2024-09-07 09:31:41:669,605125,605125,0,0,28573424,0,3941 104,3,2024-09-07 09:31:41:425,1,480,18,0,1245,8915,480,0 105,0,2024-09-07 09:31:41:099,118685,0.8,115582,1.0,242289,0.9,318959,2.50 105,1,2024-09-07 09:31:40:568,842863,842863,0,0,395593861105,4145474485,832013,9197,1653,366,392009,0 105,2,2024-09-07 09:31:41:336,605741,605741,0,0,28178978,0,3509 105,3,2024-09-07 09:31:41:312,1,480,22,0,399,7058,480,0 106,0,2024-09-07 09:31:40:984,116138,0.8,118874,0.9,243720,0.8,317912,2.50 106,1,2024-09-07 09:31:41:750,842055,842055,0,0,394715915075,4143661181,829844,10568,1643,368,391914,0 106,2,2024-09-07 09:31:40:769,605878,605878,0,0,27203501,0,2920 106,3,2024-09-07 09:31:40:683,1,480,3,0,470,6239,480,0 107,0,2024-09-07 09:31:41:101,120380,0.8,120591,0.9,240951,0.9,320998,2.00 107,1,2024-09-07 09:31:40:590,840691,840691,0,0,394727491500,4159284258,829272,10317,1102,381,392234,0 107,2,2024-09-07 09:31:41:291,605545,605544,1,0,29639302,0,5024 107,3,2024-09-07 09:31:41:768,1,480,8,0,370,6844,480,0 108,0,2024-09-07 09:31:41:820,120243,0.4,121048,0.6,240715,0.4,321339,1.75 108,1,2024-09-07 09:31:41:294,842751,842751,0,0,395361389671,4123973497,835657,6258,836,367,391857,0 108,2,2024-09-07 09:31:41:755,606139,606139,0,0,27089399,0,4246 108,3,2024-09-07 09:31:41:330,1,480,13,0,749,9546,480,0 109,0,2024-09-07 09:31:41:745,120752,0.4,119794,0.6,239745,0.4,320994,1.75 109,1,2024-09-07 09:31:40:584,840306,840306,0,0,395955681389,4155490020,832305,6790,1211,382,392132,0 109,2,2024-09-07 09:31:40:921,604917,604917,0,0,26338284,0,3617 109,3,2024-09-07 09:31:41:142,1,480,8,0,630,6119,480,0 110,0,2024-09-07 09:31:41:772,120211,0.4,117017,0.6,245264,0.4,320945,1.75 110,1,2024-09-07 09:31:41:647,844429,844429,0,0,396738699618,4117094403,838518,4691,1220,368,392045,0 110,2,2024-09-07 09:31:41:313,607409,607409,0,0,25450058,0,4067 110,3,2024-09-07 09:31:40:690,1,480,1,0,722,7023,480,0 111,0,2024-09-07 09:31:41:418,120929,0.4,120275,0.5,240919,0.3,321614,1.75 111,1,2024-09-07 09:31:41:004,845491,845491,0,0,397017553388,4117275305,841166,3969,356,380,391690,0 111,2,2024-09-07 09:31:41:117,607131,607131,0,0,25667064,0,4823 111,3,2024-09-07 09:31:40:921,1,480,8,0,379,5653,480,0 112,0,2024-09-07 09:31:40:948,121067,0.3,120359,0.4,241413,0.2,320477,1.50 112,1,2024-09-07 09:31:40:824,843925,843925,0,0,396873953817,4121814185,838335,4902,688,380,391624,0 112,2,2024-09-07 09:31:41:136,606791,606790,1,0,24660139,0,5036 112,3,2024-09-07 09:31:40:596,1,480,4,0,282,4823,480,0 113,0,2024-09-07 09:31:40:865,120398,0.3,120327,0.5,241017,0.3,321052,1.75 113,1,2024-09-07 09:31:41:696,846665,846665,0,0,398297337466,4111641840,841848,4009,808,366,391661,0 113,2,2024-09-07 09:31:41:305,609227,609227,0,0,22284339,0,3813 113,3,2024-09-07 09:31:40:688,1,480,7,0,340,5192,480,0 114,0,2024-09-07 09:31:40:882,120324,0.3,121144,0.5,240711,0.2,321665,1.75 114,1,2024-09-07 09:31:40:724,844836,844836,0,0,396644639835,4121070028,838183,5037,1616,381,391565,0 114,2,2024-09-07 09:31:40:877,609126,609125,1,0,23673562,0,5069 114,3,2024-09-07 09:31:41:283,1,480,0,0,395,3901,480,0 115,0,2024-09-07 09:31:40:552,120730,0.3,121196,0.4,241749,0.2,321375,1.50 115,1,2024-09-07 09:31:40:571,844531,844531,0,0,396552799739,4123399079,837393,5814,1324,382,391757,0 115,2,2024-09-07 09:31:41:130,609188,609188,0,0,23790743,0,4382 115,3,2024-09-07 09:31:41:002,1,480,1,0,159,2728,480,0 116,0,2024-09-07 09:31:41:711,119906,0.7,119760,0.8,240162,0.6,320813,2.00 116,1,2024-09-07 09:31:40:807,840400,840400,0,0,394822109565,4161470931,830384,7608,2408,380,392089,0 116,2,2024-09-07 09:31:41:751,605358,605358,0,0,30404230,0,4475 116,3,2024-09-07 09:31:40:918,1,480,5,0,415,6052,480,0 117,0,2024-09-07 09:31:40:955,120503,0.7,120032,0.8,240423,0.8,321123,2.00 117,1,2024-09-07 09:31:41:598,841157,841157,0,0,394924301643,4136388510,831579,8384,1194,369,392033,0 117,2,2024-09-07 09:31:41:130,609030,609030,0,0,26326529,0,4303 117,3,2024-09-07 09:31:41:072,1,480,41,0,490,6859,480,0 118,0,2024-09-07 09:31:41:785,116598,0.5,119823,0.7,244208,0.5,319423,2.00 118,1,2024-09-07 09:31:40:598,840875,840875,0,0,395599649383,4159000584,828235,9620,3020,366,392054,0 118,2,2024-09-07 09:31:41:589,606176,606176,0,0,28688778,0,2842 118,3,2024-09-07 09:31:41:765,1,480,2,0,248,5541,480,0 119,0,2024-09-07 09:31:41:343,120046,0.7,120350,0.8,240670,0.7,320151,2.00 119,1,2024-09-07 09:31:40:558,841427,841427,0,0,395738128060,4145545446,830713,9289,1425,367,391857,0 119,2,2024-09-07 09:31:41:274,607053,607053,0,0,26853668,0,4174 119,3,2024-09-07 09:31:41:325,1,480,1,0,1358,9268,480,0 120,0,2024-09-07 09:31:41:603,119642,0.6,119499,0.8,239835,0.6,319661,2.25 120,1,2024-09-07 09:31:40:872,842039,842039,0,0,394862716531,4139927183,833242,8022,775,368,392144,0 120,2,2024-09-07 09:31:40:777,605836,605835,1,0,30812132,0,5281 120,3,2024-09-07 09:31:41:291,1,480,7,0,279,6154,480,0 121,0,2024-09-07 09:31:41:700,120379,1.3,119892,1.1,240302,1.7,319966,2.25 121,1,2024-09-07 09:31:41:661,842632,842632,0,0,396001926119,4137728845,835001,7078,553,367,391840,0 121,2,2024-09-07 09:31:41:130,606180,606180,0,0,28860796,0,4157 121,3,2024-09-07 09:31:40:729,1,480,7,0,269,5567,480,0 122,0,2024-09-07 09:31:41:770,119671,0.8,116592,0.9,244410,0.9,321227,2.00 122,1,2024-09-07 09:31:40:861,841210,841210,0,0,395374582404,4146417411,829931,9574,1705,366,392130,0 122,2,2024-09-07 09:31:41:318,607547,607474,73,0,31858909,0,5989 122,3,2024-09-07 09:31:40:599,1,480,106,0,512,8462,480,0 123,0,2024-09-07 09:31:40:963,119754,0.9,116693,0.9,244509,1.0,319659,2.25 123,1,2024-09-07 09:31:40:565,841275,841275,0,0,395083084265,4156521551,827289,11540,2446,369,392039,0 123,2,2024-09-07 09:31:41:020,605084,605083,1,0,27233241,0,5215 123,3,2024-09-07 09:31:41:140,1,480,14,0,478,5697,480,0 124,0,2024-09-07 09:31:40:916,124166,0.3,124170,0.5,234071,0.3,321827,1.75 124,1,2024-09-07 09:31:41:034,844018,844018,0,0,395929453142,4117322094,837629,5274,1115,367,392178,0 124,2,2024-09-07 09:31:41:020,608461,608408,53,0,25283877,0,6487 124,3,2024-09-07 09:31:40:763,1,480,2,0,490,4841,480,0 125,0,2024-09-07 09:31:41:427,120357,0.4,120179,0.6,241179,0.4,321381,1.75 125,1,2024-09-07 09:31:40:950,842434,842434,0,0,395814777953,4133920350,835549,5904,981,382,391813,0 125,2,2024-09-07 09:31:41:123,608245,608245,0,0,25556910,0,4534 125,3,2024-09-07 09:31:41:138,1,480,7,0,709,5858,480,0 126,0,2024-09-07 09:31:41:427,120478,0.4,124043,0.6,237199,0.4,321107,1.75 126,1,2024-09-07 09:31:40:559,845296,845296,0,0,397305552684,4113244802,840903,4097,296,365,391987,0 126,2,2024-09-07 09:31:40:618,608046,608046,0,0,25795121,0,4539 126,3,2024-09-07 09:31:40:919,1,480,1,0,268,5550,480,0 127,0,2024-09-07 09:31:41:638,120526,0.3,120901,0.5,241183,0.3,321270,1.75 127,1,2024-09-07 09:31:40:583,844103,844103,0,0,396097320044,4122669465,835403,7238,1462,364,392187,0 127,2,2024-09-07 09:31:40:638,606317,606313,4,0,24565406,0,5305 127,3,2024-09-07 09:31:41:271,1,480,0,0,968,4949,480,0 128,0,2024-09-07 09:31:41:521,120874,0.3,120969,0.4,241617,0.2,321400,1.50 128,1,2024-09-07 09:31:41:618,842874,842874,0,0,397296994853,4127695928,836798,5349,727,367,392031,0 128,2,2024-09-07 09:31:41:387,609362,609362,0,0,23316483,0,3171 128,3,2024-09-07 09:31:40:768,1,480,6,0,1082,7844,480,0 129,0,2024-09-07 09:31:41:018,121293,0.3,120795,0.5,241929,0.3,321552,1.50 129,1,2024-09-07 09:31:40:566,840867,840867,0,0,394627769439,4137312424,832366,6766,1735,379,391962,0 129,2,2024-09-07 09:31:40:687,608185,608181,4,0,25514605,0,5335 129,3,2024-09-07 09:31:40:688,1,480,2,0,506,7007,480,0 130,0,2024-09-07 09:31:41:729,120632,0.5,120210,0.6,241589,0.5,321031,1.75 130,1,2024-09-07 09:31:40:593,844374,844374,0,0,395937635177,4116122185,839702,4286,386,381,391825,0 130,2,2024-09-07 09:31:41:141,607855,607855,0,0,24901568,0,4067 130,3,2024-09-07 09:31:41:301,1,480,1,0,960,7060,480,0 131,0,2024-09-07 09:31:41:930,120077,0.4,120331,0.5,241468,0.3,320804,1.75 131,1,2024-09-07 09:31:41:822,843414,843414,0,0,395977251437,4135050589,836603,5620,1191,381,391865,0 131,2,2024-09-07 09:31:40:567,608860,608860,0,0,23153082,0,3979 131,3,2024-09-07 09:31:41:692,1,480,2,0,392,5732,480,0 132,0,2024-09-07 09:31:41:445,120191,0.4,121420,0.6,241349,0.4,320948,1.75 132,1,2024-09-07 09:31:40:576,840026,840026,0,0,395460580480,4162755440,827795,10044,2187,381,392532,0 132,2,2024-09-07 09:31:40:703,606855,606838,17,0,30830338,0,6451 132,3,2024-09-07 09:31:41:698,1,480,2,0,804,8405,480,0 133,0,2024-09-07 09:31:41:536,117269,0.4,120073,0.6,246224,0.4,320088,1.75 133,1,2024-09-07 09:31:40:584,839889,839889,0,0,394854741637,4162086996,828187,10080,1622,383,391914,0 133,2,2024-09-07 09:31:41:150,606715,606665,50,0,31135069,0,6861 133,3,2024-09-07 09:31:41:306,1,480,7,0,479,5436,480,0 134,0,2024-09-07 09:31:40:938,120522,0.5,120331,0.7,240937,0.5,320937,2.00 134,1,2024-09-07 09:31:40:591,841100,841100,0,0,395083237833,4141231489,830664,8366,2070,366,391718,0 134,2,2024-09-07 09:31:41:756,605967,605943,24,0,29329636,0,6207 134,3,2024-09-07 09:31:40:756,1,480,2,0,739,5776,480,0 135,0,2024-09-07 09:31:41:118,116139,0.7,116159,0.8,246499,0.8,317872,2.25 135,1,2024-09-07 09:31:41:589,841064,841064,0,0,395865818667,4161749909,830453,9231,1380,380,391805,0 135,2,2024-09-07 09:31:40:688,607014,607014,0,0,28474592,0,3981 135,3,2024-09-07 09:31:41:012,1,480,2,0,299,4120,480,0 136,0,2024-09-07 09:31:41:613,120764,0.5,121088,0.7,241112,0.5,320970,2.00 136,1,2024-09-07 09:31:41:451,842652,842652,0,0,394946975039,4139121843,833305,8209,1138,381,391685,0 136,2,2024-09-07 09:31:41:145,608089,608089,0,0,26991349,0,3506 136,3,2024-09-07 09:31:41:109,1,480,1,0,637,5487,480,0 137,0,2024-09-07 09:31:40:929,124415,0.6,121162,0.7,237661,0.5,322163,2.00 137,1,2024-09-07 09:31:40:580,840985,840985,0,0,394925390199,4141364048,828060,10256,2669,366,391898,0 137,2,2024-09-07 09:31:41:709,606261,606261,0,0,30237285,0,3185 137,3,2024-09-07 09:31:40:770,1,480,12,0,484,6180,480,0 138,0,2024-09-07 09:31:41:771,119966,0.9,120011,0.9,240918,1.1,319912,2.25 138,1,2024-09-07 09:31:41:696,841642,841642,0,0,395887781342,4151162812,830013,9777,1852,368,391954,0 138,2,2024-09-07 09:31:40:681,606027,606027,0,0,27989014,0,4988 138,3,2024-09-07 09:31:40:626,1,480,38,0,1200,7818,480,0 139,0,2024-09-07 09:31:41:392,119189,1.3,119437,1.0,239054,2.0,319716,2.50 139,1,2024-09-07 09:31:40:729,837366,837366,0,0,392166167848,4163662497,821792,12126,3448,380,392058,0 139,2,2024-09-07 09:31:40:692,602711,602711,0,0,30930493,0,3097 139,3,2024-09-07 09:31:41:663,1,480,0,0,432,5598,480,0 140,0,2024-09-07 09:31:41:620,120984,0.3,120154,0.5,241211,0.2,321196,1.75 140,1,2024-09-07 09:31:41:549,846590,846590,0,0,398092541399,4104035741,842542,3587,461,365,391606,0 140,2,2024-09-07 09:31:40:697,608274,608273,1,0,23822575,0,5036 140,3,2024-09-07 09:31:40:768,1,480,3,0,297,4608,480,0 141,0,2024-09-07 09:31:41:704,120874,0.3,124014,0.5,236762,0.2,321277,1.50 141,1,2024-09-07 09:31:40:859,844781,844781,0,0,396821510986,4124059060,837924,5772,1085,379,391614,0 141,2,2024-09-07 09:31:41:694,607929,607918,11,0,25293439,0,5369 141,3,2024-09-07 09:31:41:043,1,480,8,0,391,5324,480,0 142,0,2024-09-07 09:31:41:330,120979,0.3,120260,0.5,240836,0.3,321139,1.75 142,1,2024-09-07 09:31:40:586,842981,842981,0,0,395545567938,4123943028,837473,5052,456,382,392102,0 142,2,2024-09-07 09:31:41:306,606438,606406,32,0,25933851,0,6028 142,3,2024-09-07 09:31:41:746,1,480,1,0,484,5201,480,0 143,0,2024-09-07 09:31:41:370,120288,0.4,120314,0.6,241270,0.4,321216,1.75 143,1,2024-09-07 09:31:40:563,844827,844827,0,0,397069697277,4121650305,839078,5198,551,367,391705,0 143,2,2024-09-07 09:31:40:773,607858,607858,0,0,24935051,0,3123 143,3,2024-09-07 09:31:41:145,1,480,8,0,462,6207,480,0 144,0,2024-09-07 09:31:41:493,116374,0.6,119656,0.8,243651,0.5,319096,2.00 144,1,2024-09-07 09:31:40:569,840858,840858,0,0,394127949847,4138653581,832047,6908,1903,381,391733,0 144,2,2024-09-07 09:31:41:773,608460,608460,0,0,23810731,0,3673 144,3,2024-09-07 09:31:41:739,1,480,16,0,249,4859,480,0 145,0,2024-09-07 09:31:41:384,116468,0.6,116424,0.8,246916,0.5,318224,2.25 145,1,2024-09-07 09:31:40:563,840116,840116,0,0,394712035097,4152631773,829198,9049,1869,382,391759,0 145,2,2024-09-07 09:31:41:437,604716,604634,82,0,29623981,0,7814 145,3,2024-09-07 09:31:40:906,1,480,13,0,622,6756,480,0 146,0,2024-09-07 09:31:41:593,120176,0.6,119695,0.7,240545,0.5,319180,2.25 146,1,2024-09-07 09:31:41:592,841717,841717,0,0,394801519478,4150413839,828368,9820,3529,367,391770,0 146,2,2024-09-07 09:31:41:704,605262,605262,0,0,27633961,0,3290 146,3,2024-09-07 09:31:41:275,1,480,9,0,1520,8416,480,0 147,0,2024-09-07 09:31:41:710,120486,0.6,120227,0.8,239767,0.6,320715,2.25 147,1,2024-09-07 09:31:41:377,844552,844552,0,0,396838853903,4125389004,837568,6134,850,367,391791,0 147,2,2024-09-07 09:31:41:011,606577,606577,0,0,25099549,0,2968 147,3,2024-09-07 09:31:40:919,1,480,19,0,1626,8126,480,0 0,0,2024-09-07 09:31:51:734,117016,0.6,117006,0.7,248233,0.6,320615,2.00 0,1,2024-09-07 09:31:50:801,843020,843020,0,0,396779221110,4158167966,835556,6628,836,369,391896,0 0,2,2024-09-07 09:31:51:087,609046,609046,0,0,25319703,0,4480 0,3,2024-09-07 09:31:50:999,1,481,13,0,431,6926,481,0 1,0,2024-09-07 09:31:51:747,120200,1.0,119697,1.0,239920,1.4,320473,2.00 1,1,2024-09-07 09:31:50:570,842820,842820,0,0,395294149569,4144149948,834493,6862,1465,370,391859,0 1,2,2024-09-07 09:31:50:639,608359,608359,0,0,24674954,0,3380 1,3,2024-09-07 09:31:51:303,1,481,8,0,269,6232,481,0 2,0,2024-09-07 09:31:51:568,120364,0.6,120341,0.7,240486,0.6,320826,2.00 2,1,2024-09-07 09:31:50:860,845839,845839,0,0,397762371390,4133802602,841368,3669,802,380,391745,0 2,2,2024-09-07 09:31:51:270,609400,609400,0,0,23024228,0,3594 2,3,2024-09-07 09:31:50:700,1,481,0,0,357,4355,481,0 3,0,2024-09-07 09:31:51:754,120341,0.4,120185,0.6,240087,0.4,319886,2.00 3,1,2024-09-07 09:31:51:624,844440,844440,0,0,397052046389,4135421845,837463,6282,695,379,391716,0 3,2,2024-09-07 09:31:51:148,608627,608604,23,0,24523915,0,5851 3,3,2024-09-07 09:31:51:752,1,481,1,0,207,3094,481,0 4,0,2024-09-07 09:31:51:803,117156,0.4,120369,0.5,245523,0.3,320908,1.75 4,1,2024-09-07 09:31:50:598,840998,840998,0,0,395466647708,4188634774,826042,11482,3474,370,391992,0 4,2,2024-09-07 09:31:51:018,605863,605863,0,0,29847159,0,4534 4,3,2024-09-07 09:31:51:040,1,481,19,0,448,6395,481,0 5,0,2024-09-07 09:31:51:377,120452,0.4,121045,0.6,241490,0.4,321275,1.75 5,1,2024-09-07 09:31:50:755,842589,842589,0,0,396203733628,4172244034,830472,9725,2392,367,392005,0 5,2,2024-09-07 09:31:51:836,605389,605389,0,0,28799790,0,3582 5,3,2024-09-07 09:31:51:734,1,481,8,0,457,6812,481,0 6,0,2024-09-07 09:31:50:920,120847,0.5,120345,0.7,240899,0.5,321563,2.00 6,1,2024-09-07 09:31:50:751,844680,844680,0,0,395476214985,4132798386,835679,7558,1443,379,391702,0 6,2,2024-09-07 09:31:51:123,608141,608123,18,0,27381691,0,5535 6,3,2024-09-07 09:31:51:278,1,481,2,0,710,6086,481,0 7,0,2024-09-07 09:31:51:544,119856,0.6,120811,0.7,240404,0.5,320215,2.00 7,1,2024-09-07 09:31:50:853,842338,842338,0,0,395390439281,4155200521,830017,10215,2106,382,391747,0 7,2,2024-09-07 09:31:50:770,608598,608598,0,0,26919684,0,4791 7,3,2024-09-07 09:31:50:852,1,481,2,0,552,5606,481,0 8,0,2024-09-07 09:31:51:322,120847,0.3,120522,0.5,241728,0.3,321907,1.75 8,1,2024-09-07 09:31:51:025,842039,842039,0,0,395038150111,4152437889,829189,10055,2795,366,392853,0 8,2,2024-09-07 09:31:50:790,604345,604345,0,0,31597262,0,3250 8,3,2024-09-07 09:31:50:589,1,481,12,0,538,7596,481,0 9,0,2024-09-07 09:31:51:099,120503,0.4,117269,0.5,245406,0.3,321582,1.75 9,1,2024-09-07 09:31:50:551,841114,841114,0,0,395020070025,4159878016,828756,9889,2469,369,392001,0 9,2,2024-09-07 09:31:51:089,607174,607174,0,0,28781100,0,4615 9,3,2024-09-07 09:31:51:762,1,481,230,0,1273,8262,481,0 10,0,2024-09-07 09:31:51:604,120213,0.3,119775,0.5,240455,0.3,320025,1.75 10,1,2024-09-07 09:31:50:584,844024,844024,0,0,395934696095,4148905027,832505,9579,1940,381,391741,0 10,2,2024-09-07 09:31:50:767,607631,607631,0,0,31223282,0,4264 10,3,2024-09-07 09:31:50:871,1,481,1,0,649,5067,481,0 11,0,2024-09-07 09:31:51:016,120196,0.4,116657,0.6,243716,0.4,321329,1.75 11,1,2024-09-07 09:31:50:574,844084,844084,0,0,396492185081,4159385875,831289,9675,3120,383,391756,0 11,2,2024-09-07 09:31:51:124,607688,607688,0,0,27566162,0,4130 11,3,2024-09-07 09:31:51:302,1,481,1,0,843,6599,481,0 12,0,2024-09-07 09:31:50:940,121250,0.4,121226,0.5,242358,0.3,322060,1.75 12,1,2024-09-07 09:31:50:939,843870,843870,0,0,395824916956,4129761298,837077,6135,658,370,391960,0 12,2,2024-09-07 09:31:51:573,607956,607956,0,0,27545590,0,4390 12,3,2024-09-07 09:31:51:067,1,481,1,0,386,6722,481,0 13,0,2024-09-07 09:31:51:334,121456,0.4,121003,0.6,241461,0.4,321854,1.75 13,1,2024-09-07 09:31:51:529,842138,842138,0,0,395986914699,4164371056,833058,6929,2151,382,391740,0 13,2,2024-09-07 09:31:50:595,609598,609598,0,0,24827545,0,3287 13,3,2024-09-07 09:31:51:762,1,481,11,0,522,6933,481,0 14,0,2024-09-07 09:31:50:564,120397,0.4,121358,0.6,240445,0.4,320643,1.75 14,1,2024-09-07 09:31:51:571,847893,847893,0,0,397966304603,4120388259,841350,5829,714,364,391673,0 14,2,2024-09-07 09:31:50:764,608393,608363,30,0,26207618,0,6104 14,3,2024-09-07 09:31:51:126,1,481,8,0,1168,5191,481,0 15,0,2024-09-07 09:31:51:571,120153,0.4,119893,0.6,240588,0.4,320499,2.00 15,1,2024-09-07 09:31:51:611,844991,844991,0,0,396960510075,4134845597,837974,5562,1455,381,391619,0 15,2,2024-09-07 09:31:51:010,610238,610238,0,0,21930369,0,3622 15,3,2024-09-07 09:31:51:416,1,481,8,0,1126,7234,481,0 16,0,2024-09-07 09:31:50:942,120332,0.5,121124,0.7,241191,0.5,320653,2.00 16,1,2024-09-07 09:31:50:567,844297,844297,0,0,396666378753,4150681968,836134,6867,1296,370,391917,0 16,2,2024-09-07 09:31:51:445,607768,607768,0,0,26399357,0,4719 16,3,2024-09-07 09:31:51:151,1,481,1,0,358,6302,481,0 17,0,2024-09-07 09:31:51:820,124323,0.6,121333,0.7,237595,0.6,322150,2.00 17,1,2024-09-07 09:31:50:580,842875,842875,0,0,395796655354,4156015407,833983,7147,1745,368,392075,0 17,2,2024-09-07 09:31:51:682,610617,610616,1,0,27007431,0,5050 17,3,2024-09-07 09:31:50:573,1,481,7,0,518,7444,481,0 18,0,2024-09-07 09:31:50:939,119461,0.7,119997,0.8,239374,0.7,319277,2.25 18,1,2024-09-07 09:31:51:638,846660,846660,0,0,397800983163,4127344595,841160,4643,857,367,391725,0 18,2,2024-09-07 09:31:51:757,610404,610404,0,0,23875107,0,3541 18,3,2024-09-07 09:31:50:901,1,481,11,0,1059,4821,481,0 19,0,2024-09-07 09:31:51:564,120100,0.6,120394,0.8,239464,0.6,319164,2.25 19,1,2024-09-07 09:31:50:566,846668,846668,0,0,397495961891,4124591416,839850,5734,1084,367,391777,0 19,2,2024-09-07 09:31:51:751,610664,610664,0,0,22324680,0,3988 19,3,2024-09-07 09:31:51:137,1,481,1,0,524,4011,481,0 20,0,2024-09-07 09:31:51:412,120345,0.5,120507,0.7,240479,0.5,320642,2.00 20,1,2024-09-07 09:31:50:567,843446,843446,0,0,396893249997,4158598466,834671,7415,1360,369,391922,0 20,2,2024-09-07 09:31:50:928,607854,607854,0,0,26626843,0,3721 20,3,2024-09-07 09:31:50:588,1,481,81,0,468,7960,481,0 21,0,2024-09-07 09:31:51:136,120700,0.4,120859,0.6,241634,0.4,320658,1.75 21,1,2024-09-07 09:31:51:560,841178,841178,0,0,395530056279,4177541358,827319,10745,3114,368,392016,0 21,2,2024-09-07 09:31:51:067,605854,605834,20,0,31919682,0,5617 21,3,2024-09-07 09:31:51:412,1,481,14,0,713,6358,481,0 22,0,2024-09-07 09:31:51:717,120435,0.5,120865,0.7,241394,0.4,320092,2.00 22,1,2024-09-07 09:31:51:023,842598,842598,0,0,395147732216,4159401238,828799,10838,2961,382,391675,0 22,2,2024-09-07 09:31:50:762,606958,606932,26,0,26704763,0,6328 22,3,2024-09-07 09:31:51:069,1,481,4,0,228,3953,481,0 23,0,2024-09-07 09:31:51:370,120295,0.5,119800,0.7,239727,0.5,319966,2.25 23,1,2024-09-07 09:31:51:016,843913,843913,0,0,397066934535,4169031223,829202,10437,4274,365,391690,0 23,2,2024-09-07 09:31:51:092,609379,609379,0,0,25025196,0,3773 23,3,2024-09-07 09:31:51:753,1,481,66,0,720,6358,481,0 24,0,2024-09-07 09:31:50:891,121277,0.4,120448,0.5,242122,0.4,321773,1.75 24,1,2024-09-07 09:31:50:595,842792,842792,0,0,395341736333,4140563545,834277,6853,1662,367,392269,0 24,2,2024-09-07 09:31:51:069,608620,608620,0,0,29483000,0,3607 24,3,2024-09-07 09:31:51:692,1,481,4,0,468,6360,481,0 25,0,2024-09-07 09:31:51:359,123986,0.4,120802,0.6,236972,0.4,322771,1.75 25,1,2024-09-07 09:31:50:558,841853,841853,0,0,396121067791,4176458141,828161,10985,2707,371,391928,0 25,2,2024-09-07 09:31:51:611,606722,606722,0,0,31145700,0,3978 25,3,2024-09-07 09:31:51:004,1,481,12,0,532,5382,481,0 26,0,2024-09-07 09:31:51:726,120041,0.4,117459,0.6,246385,0.4,320342,1.75 26,1,2024-09-07 09:31:51:554,844993,844993,0,0,395880462157,4148073643,832639,9941,2413,380,391748,0 26,2,2024-09-07 09:31:50:862,607733,607733,0,0,32261136,0,4689 26,3,2024-09-07 09:31:51:714,1,481,1,0,796,5582,481,0 27,0,2024-09-07 09:31:51:724,120730,0.4,121016,0.6,240651,0.4,321163,2.00 27,1,2024-09-07 09:31:51:684,845779,845779,0,0,397814661096,4144098389,837523,6994,1262,381,391626,0 27,2,2024-09-07 09:31:50:870,605805,605740,65,0,27978009,0,5699 27,3,2024-09-07 09:31:51:014,1,481,1,0,564,4321,481,0 28,0,2024-09-07 09:31:51:395,120936,0.4,120380,0.6,241692,0.3,322253,1.75 28,1,2024-09-07 09:31:50:798,845346,845346,0,0,397824772083,4150072123,837852,5794,1700,382,391698,0 28,2,2024-09-07 09:31:51:764,608800,608800,0,0,24887896,0,2915 28,3,2024-09-07 09:31:51:778,1,481,1,0,502,4903,481,0 29,0,2024-09-07 09:31:51:361,123806,0.4,120623,0.6,236563,0.3,322458,1.75 29,1,2024-09-07 09:31:51:562,848275,848275,0,0,398470414802,4122958714,842989,4527,759,368,391809,0 29,2,2024-09-07 09:31:50:861,607894,607894,0,0,24319367,0,4986 29,3,2024-09-07 09:31:50:963,1,481,17,0,459,5495,481,0 30,0,2024-09-07 09:31:51:456,120218,0.5,116784,0.7,244334,0.5,319454,2.00 30,1,2024-09-07 09:31:50:570,847076,847076,0,0,398425229780,4136944779,840435,5792,849,380,391672,0 30,2,2024-09-07 09:31:51:279,608703,608703,0,0,23775954,0,4192 30,3,2024-09-07 09:31:50:584,1,481,0,0,519,4896,481,0 31,0,2024-09-07 09:31:51:766,119941,0.5,120377,0.7,240391,0.4,320559,2.00 31,1,2024-09-07 09:31:50:566,849841,849841,0,0,399283381826,4096776856,845508,3532,801,356,391712,0 31,2,2024-09-07 09:31:51:279,607314,607314,0,0,26139572,0,4470 31,3,2024-09-07 09:31:51:713,1,481,0,0,220,4090,481,0 32,0,2024-09-07 09:31:51:418,120753,0.3,121503,0.5,241760,0.3,322662,1.75 32,1,2024-09-07 09:31:50:804,845860,845860,0,0,397375687025,4133282378,840338,4815,707,381,391646,0 32,2,2024-09-07 09:31:50:935,609627,609627,0,0,22783288,0,3922 32,3,2024-09-07 09:31:51:019,1,481,2,0,304,3960,481,0 33,0,2024-09-07 09:31:51:506,120931,0.3,120502,0.4,241206,0.2,321005,1.50 33,1,2024-09-07 09:31:50:575,846894,846894,0,0,398319405598,4130662233,839680,6042,1172,368,391730,0 33,2,2024-09-07 09:31:50:762,608770,608735,35,0,25563244,0,7012 33,3,2024-09-07 09:31:50:913,1,481,8,0,329,4617,481,0 34,0,2024-09-07 09:31:50:933,121073,0.3,124410,0.4,237739,0.2,320155,1.75 34,1,2024-09-07 09:31:51:049,848825,848825,0,0,399299762823,4107502625,846494,2309,22,367,391562,0 34,2,2024-09-07 09:31:50:766,610212,610212,0,0,23940742,0,4562 34,3,2024-09-07 09:31:51:687,1,481,1,0,320,3889,481,0 35,0,2024-09-07 09:31:50:870,119872,0.3,120559,0.5,242142,0.3,322081,1.75 35,1,2024-09-07 09:31:51:070,846018,846018,0,0,398358293614,4132274687,840398,4564,1056,382,391769,0 35,2,2024-09-07 09:31:51:583,608056,608056,0,0,26152263,0,4055 35,3,2024-09-07 09:31:50:913,1,481,0,0,418,4751,481,0 36,0,2024-09-07 09:31:51:519,121046,0.5,120992,0.7,241819,0.4,321970,2.00 36,1,2024-09-07 09:31:50:584,845317,845317,0,0,396261824495,4141011309,834005,9156,2156,366,391759,0 36,2,2024-09-07 09:31:51:751,608644,608644,0,0,26906471,0,3875 36,3,2024-09-07 09:31:50:868,1,481,1,0,416,6887,481,0 37,0,2024-09-07 09:31:51:370,120162,0.5,120098,0.7,240565,0.5,320672,2.25 37,1,2024-09-07 09:31:50:568,844150,844143,0,7,396506238352,4142894826,833622,7952,2569,365,391770,0 37,2,2024-09-07 09:31:51:142,606528,606513,15,0,27424435,0,5815 37,3,2024-09-07 09:31:51:774,1,481,9,0,888,7037,481,0 38,0,2024-09-07 09:31:51:437,120012,0.4,116453,0.6,243633,0.4,319264,2.00 38,1,2024-09-07 09:31:51:628,845112,845112,0,0,397754012460,4161029827,832329,10272,2511,368,391821,0 38,2,2024-09-07 09:31:50:763,607930,607883,47,0,27781681,0,6710 38,3,2024-09-07 09:31:51:013,1,481,5,0,689,6436,481,0 39,0,2024-09-07 09:31:51:764,123440,0.6,120724,0.7,235275,0.5,320944,2.00 39,1,2024-09-07 09:31:50:716,843603,843603,0,0,395294097568,4153109016,828154,12016,3433,365,391658,0 39,2,2024-09-07 09:31:51:425,608511,608511,0,0,26113590,0,3391 39,3,2024-09-07 09:31:50:723,1,481,2,0,525,5716,481,0 40,0,2024-09-07 09:31:51:504,119045,0.7,119733,0.9,238812,0.8,318763,2.75 40,1,2024-09-07 09:31:50:576,845264,845264,0,0,395702080677,4140917294,833587,9508,2169,368,391668,0 40,2,2024-09-07 09:31:51:303,606866,606865,1,0,29846700,0,5137 40,3,2024-09-07 09:31:51:150,1,481,5,0,1028,6345,481,0 41,0,2024-09-07 09:31:51:028,119731,1.1,122440,1.1,233590,1.6,318108,2.75 41,1,2024-09-07 09:31:50:773,843845,843845,0,0,396577282979,4150203256,833012,9124,1709,369,391742,0 41,2,2024-09-07 09:31:50:760,606571,606571,0,0,28589909,0,4277 41,3,2024-09-07 09:31:51:683,1,481,12,0,366,5015,481,0 42,0,2024-09-07 09:31:51:476,119744,0.8,119507,1.0,239308,0.9,317066,2.50 42,1,2024-09-07 09:31:51:439,841372,841372,0,0,395431133196,4159529959,827162,11365,2845,380,391675,0 42,2,2024-09-07 09:31:51:133,607565,607565,0,0,28397262,0,3790 42,3,2024-09-07 09:31:51:019,1,481,41,0,892,4533,481,0 43,0,2024-09-07 09:31:50:921,119374,0.7,116294,0.9,243274,0.7,318945,2.25 43,1,2024-09-07 09:31:50:576,844817,844817,0,0,396520972406,4144699221,832744,10097,1976,366,391696,0 43,2,2024-09-07 09:31:51:736,608584,608584,0,0,27835227,0,3812 43,3,2024-09-07 09:31:51:749,1,481,1,0,571,6828,481,0 44,0,2024-09-07 09:31:50:860,120513,0.4,120555,0.6,241677,0.4,321243,2.00 44,1,2024-09-07 09:31:50:565,846793,846793,0,0,397680215960,4111441138,839300,5944,1549,356,391809,0 44,2,2024-09-07 09:31:51:268,606614,606614,0,0,23528416,0,4344 44,3,2024-09-07 09:31:51:093,1,481,15,0,817,5433,481,0 45,0,2024-09-07 09:31:51:774,118877,0.5,116208,0.7,243767,0.4,320414,2.00 45,1,2024-09-07 09:31:51:011,845735,845735,0,0,398085317378,4139658407,838554,6315,866,382,391917,0 45,2,2024-09-07 09:31:51:268,609740,609740,0,0,23639031,0,3596 45,3,2024-09-07 09:31:50:949,1,481,30,0,271,4293,481,0 46,0,2024-09-07 09:31:50:956,119961,0.5,119375,0.7,239595,0.5,317942,2.00 46,1,2024-09-07 09:31:50:574,848036,848036,0,0,397972225977,4112194796,842444,4862,730,366,391709,0 46,2,2024-09-07 09:31:50:600,608432,608432,0,0,23847376,0,4443 46,3,2024-09-07 09:31:51:131,1,481,1,0,908,5725,481,0 47,0,2024-09-07 09:31:51:100,120822,0.4,121166,0.6,242653,0.4,321141,1.75 47,1,2024-09-07 09:31:50:576,848083,848083,0,0,397480934276,4107792973,842985,4259,839,366,391641,0 47,2,2024-09-07 09:31:50:916,611515,611515,0,0,24045892,0,4477 47,3,2024-09-07 09:31:51:115,1,481,0,0,600,5628,481,0 48,0,2024-09-07 09:31:51:493,121121,0.3,121153,0.4,241084,0.2,321246,1.50 48,1,2024-09-07 09:31:51:025,846315,846315,0,0,397475171636,4129802741,841070,4721,524,384,391710,0 48,2,2024-09-07 09:31:50:715,608717,608717,0,0,22497457,0,3411 48,3,2024-09-07 09:31:50:756,1,481,1,0,339,3805,481,0 49,0,2024-09-07 09:31:51:764,123535,0.4,121410,0.5,235931,0.3,322913,1.75 49,1,2024-09-07 09:31:51:037,845433,845433,0,0,397263674449,4132811739,839886,4337,1210,382,391809,0 49,2,2024-09-07 09:31:51:806,609213,609213,0,0,24394162,0,4426 49,3,2024-09-07 09:31:51:436,1,481,7,0,992,5578,481,0 50,0,2024-09-07 09:31:51:508,121095,0.3,119411,0.5,240540,0.2,320551,1.75 50,1,2024-09-07 09:31:51:020,848234,848234,0,0,398607249784,4123170891,842551,5020,663,368,391565,0 50,2,2024-09-07 09:31:51:072,608509,608509,0,0,22550943,0,4490 50,3,2024-09-07 09:31:51:293,1,481,1,0,617,5261,481,0 51,0,2024-09-07 09:31:51:722,123860,0.3,121318,0.5,236309,0.2,322310,1.75 51,1,2024-09-07 09:31:51:687,849211,849211,0,0,398987848280,4116397470,844681,3477,1053,365,391706,0 51,2,2024-09-07 09:31:51:317,609646,609646,0,0,21740763,0,3337 51,3,2024-09-07 09:31:51:027,1,481,1,0,678,3714,481,0 52,0,2024-09-07 09:31:51:425,120966,0.5,120659,0.7,241628,0.4,320950,2.00 52,1,2024-09-07 09:31:50:575,844288,844288,0,0,396599566463,4154719310,831623,10673,1992,368,391722,0 52,2,2024-09-07 09:31:51:757,605125,605087,38,0,28977822,0,6742 52,3,2024-09-07 09:31:50:678,1,481,0,0,1782,6167,481,0 53,0,2024-09-07 09:31:51:738,119855,0.7,116315,0.8,243369,0.7,319511,2.50 53,1,2024-09-07 09:31:50:773,842305,842305,0,0,396396749307,4161808000,827962,10671,3672,367,391968,0 53,2,2024-09-07 09:31:51:299,608531,608530,1,0,25487304,0,5455 53,3,2024-09-07 09:31:50:702,1,481,8,0,308,4764,481,0 54,0,2024-09-07 09:31:51:615,118914,0.5,119175,0.7,237201,0.4,317047,2.25 54,1,2024-09-07 09:31:50:584,844523,844523,0,0,398081425383,4140006684,835639,7475,1409,366,391659,0 54,2,2024-09-07 09:31:50:872,609059,609027,32,0,29138524,0,6397 54,3,2024-09-07 09:31:50:765,1,481,1,0,676,6716,481,0 55,0,2024-09-07 09:31:51:778,116625,0.6,120186,0.7,243588,0.5,317357,2.50 55,1,2024-09-07 09:31:50:765,846129,846129,0,0,397472061551,4134306604,836902,7940,1287,365,391731,0 55,2,2024-09-07 09:31:50:736,607777,607721,56,0,28254331,0,7239 55,3,2024-09-07 09:31:50:678,1,481,5,0,304,4655,481,0 56,0,2024-09-07 09:31:51:557,122970,1.2,115958,1.1,238957,1.6,319699,2.75 56,1,2024-09-07 09:31:50:571,841802,841802,0,0,395916535976,4177106987,829548,9701,2553,381,391867,0 56,2,2024-09-07 09:31:51:303,607762,607640,122,0,29109382,0,7432 56,3,2024-09-07 09:31:51:065,1,481,3,0,705,5715,481,0 57,0,2024-09-07 09:31:51:004,118821,1.5,118760,1.2,237856,2.1,318194,3.00 57,1,2024-09-07 09:31:51:015,843111,843111,0,0,395853460317,4151821078,832300,8745,2066,366,392032,0 57,2,2024-09-07 09:31:51:321,607761,607761,0,0,29566926,0,4317 57,3,2024-09-07 09:31:51:738,1,481,10,0,455,5507,481,0 58,0,2024-09-07 09:31:50:557,118930,0.9,115507,0.9,241520,1.0,317012,2.50 58,1,2024-09-07 09:31:50:575,844071,844068,0,3,397084005595,4158975277,831690,9610,2768,367,391603,3 58,2,2024-09-07 09:31:51:087,608176,608176,0,0,27703955,0,3483 58,3,2024-09-07 09:31:51:073,1,481,208,0,1043,5255,481,0 59,0,2024-09-07 09:31:51:743,119994,0.8,119617,1.0,238805,0.9,316751,2.75 59,1,2024-09-07 09:31:50:804,844028,844028,0,0,396448050258,4157133874,831708,10083,2237,369,391653,0 59,2,2024-09-07 09:31:50:586,607786,607786,0,0,27295480,0,3727 59,3,2024-09-07 09:31:51:737,1,481,1,0,1015,6303,481,0 60,0,2024-09-07 09:31:51:717,120330,0.5,120463,0.7,241337,0.5,320403,1.75 60,1,2024-09-07 09:31:50:773,847117,847117,0,0,398185583041,4133477630,841199,4989,929,370,392031,0 60,2,2024-09-07 09:31:51:142,608225,608225,0,0,25905618,0,3811 60,3,2024-09-07 09:31:51:262,1,481,7,0,409,5973,481,0 61,0,2024-09-07 09:31:51:516,120079,0.7,120726,0.8,240374,0.7,320275,2.00 61,1,2024-09-07 09:31:50:771,844252,844252,0,0,396892254367,4158941849,834567,8108,1577,382,392127,0 61,2,2024-09-07 09:31:51:322,608423,608356,67,0,26584886,0,6411 61,3,2024-09-07 09:31:51:688,1,481,8,0,479,6535,481,0 62,0,2024-09-07 09:31:51:737,121094,0.6,124080,0.7,237051,0.5,322561,2.00 62,1,2024-09-07 09:31:51:111,849261,849255,0,6,398956626228,4112628319,845307,3684,264,365,391975,6 62,2,2024-09-07 09:31:51:644,606886,606885,1,0,25850255,0,5555 62,3,2024-09-07 09:31:51:150,1,481,4,0,482,4028,481,0 63,0,2024-09-07 09:31:51:519,120898,0.4,120639,0.6,242053,0.4,321370,1.75 63,1,2024-09-07 09:31:50:989,846639,846633,0,6,398073095949,4132173323,841282,4617,734,381,391800,6 63,2,2024-09-07 09:31:50:766,608248,608248,0,0,24623853,0,4369 63,3,2024-09-07 09:31:51:747,1,481,1,0,667,5128,481,0 64,0,2024-09-07 09:31:51:564,120336,0.5,120453,0.7,240389,0.5,319396,2.00 64,1,2024-09-07 09:31:50:751,845932,845932,0,0,397039597281,4137669288,838336,5830,1766,370,391783,0 64,2,2024-09-07 09:31:51:157,612407,612388,19,0,24420710,0,6121 64,3,2024-09-07 09:31:51:156,1,481,20,0,651,5314,481,0 65,0,2024-09-07 09:31:51:741,119724,0.7,119952,0.8,239541,0.7,319403,2.00 65,1,2024-09-07 09:31:50:892,843990,843990,0,0,396091250480,4143169601,837462,5735,793,381,391901,0 65,2,2024-09-07 09:31:51:706,608304,608304,0,0,28394676,0,3367 65,3,2024-09-07 09:31:51:689,1,481,4,0,782,5854,481,0 66,0,2024-09-07 09:31:51:767,120249,0.6,119994,0.8,239881,0.6,319518,2.25 66,1,2024-09-07 09:31:51:292,845976,845976,0,0,397195387689,4137384640,839850,5405,721,380,391743,0 66,2,2024-09-07 09:31:51:137,609895,609892,3,0,25733760,0,5455 66,3,2024-09-07 09:31:51:083,1,481,15,0,291,4267,481,0 67,0,2024-09-07 09:31:51:444,120468,0.6,120230,0.7,241375,0.5,320789,2.25 67,1,2024-09-07 09:31:50:772,845615,845614,0,1,397100948718,4136669760,839566,5239,809,380,391787,1 67,2,2024-09-07 09:31:50:588,610274,610259,15,0,24905170,0,6205 67,3,2024-09-07 09:31:51:751,1,481,37,0,392,4694,481,0 68,0,2024-09-07 09:31:50:574,120833,0.5,120743,0.7,240454,0.5,321571,2.00 68,1,2024-09-07 09:31:50:572,842064,842064,0,0,395033444151,4157763801,830058,8610,3396,381,391953,0 68,2,2024-09-07 09:31:51:044,605285,605185,100,0,32139979,0,8578 68,3,2024-09-07 09:31:50:730,1,481,4,0,417,6294,481,0 69,0,2024-09-07 09:31:51:733,119853,0.7,120673,0.8,240501,0.7,319033,2.25 69,1,2024-09-07 09:31:51:015,842004,842004,0,0,395588453441,4173256594,830150,9097,2757,384,391994,0 69,2,2024-09-07 09:31:51:734,606837,606808,29,0,33216220,0,6912 69,3,2024-09-07 09:31:50:765,1,481,11,0,698,7252,481,0 70,0,2024-09-07 09:31:51:532,119011,0.9,119304,1.0,240166,0.8,317893,2.50 70,1,2024-09-07 09:31:50:807,846523,846523,0,0,398182103529,4132874182,839857,5964,702,366,391725,0 70,2,2024-09-07 09:31:51:339,607607,607607,0,0,27983376,0,4323 70,3,2024-09-07 09:31:50:750,1,481,12,0,854,5420,481,0 71,0,2024-09-07 09:31:51:357,119157,0.9,118931,1.0,238990,1.2,319059,2.75 71,1,2024-09-07 09:31:51:598,844409,844409,0,0,397340280505,4150306484,833647,9594,1168,368,391738,0 71,2,2024-09-07 09:31:51:073,607608,607608,0,0,28279375,0,4352 71,3,2024-09-07 09:31:51:750,1,481,21,0,644,5902,481,0 72,0,2024-09-07 09:31:51:023,124355,0.5,121639,0.7,237081,0.5,322292,2.00 72,1,2024-09-07 09:31:51:028,843229,843229,0,0,396206735627,4156801457,831132,10011,2086,369,391819,0 72,2,2024-09-07 09:31:51:760,607263,607263,0,0,29840770,0,3983 72,3,2024-09-07 09:31:51:760,1,481,1,0,564,7161,481,0 73,0,2024-09-07 09:31:51:103,117397,0.4,120571,0.6,246117,0.4,319813,2.00 73,1,2024-09-07 09:31:50:766,845546,845546,0,0,397343173786,4126150153,839611,5513,422,367,391858,0 73,2,2024-09-07 09:31:51:739,607844,607844,0,0,29688323,0,4291 73,3,2024-09-07 09:31:50:974,1,481,14,0,486,6128,481,0 74,0,2024-09-07 09:31:51:328,121359,0.5,124158,0.7,236741,0.5,321482,2.25 74,1,2024-09-07 09:31:50:650,844716,844716,0,0,396261122597,4136010704,835632,7318,1766,381,391762,0 74,2,2024-09-07 09:31:51:011,607795,607795,0,0,26372643,0,4253 74,3,2024-09-07 09:31:51:461,1,481,4,0,522,5841,481,0 75,0,2024-09-07 09:31:51:788,120815,0.5,120113,0.7,240807,0.5,321673,2.25 75,1,2024-09-07 09:31:51:584,845477,845477,0,0,396956802847,4139823665,837943,6692,842,380,391739,0 75,2,2024-09-07 09:31:51:350,607686,607686,0,0,30575728,0,4766 75,3,2024-09-07 09:31:51:069,1,481,1,0,702,6007,481,0 76,0,2024-09-07 09:31:50:600,119771,0.5,119140,0.7,239015,0.5,319390,2.25 76,1,2024-09-07 09:31:50:813,844370,844370,0,0,396275307484,4133661680,838583,4958,829,382,391692,0 76,2,2024-09-07 09:31:51:065,610298,610295,3,0,26733280,0,5265 76,3,2024-09-07 09:31:51:145,1,481,1,0,227,4587,481,0 77,0,2024-09-07 09:31:51:708,120212,0.5,120681,0.7,241677,0.5,320106,2.00 77,1,2024-09-07 09:31:50:845,845375,845375,0,0,396909792759,4141884204,838839,5975,561,381,391869,0 77,2,2024-09-07 09:31:51:284,608273,608273,0,0,25918150,0,3890 77,3,2024-09-07 09:31:51:097,1,481,8,0,401,5223,481,0 78,0,2024-09-07 09:31:51:728,120946,0.4,120348,0.6,241489,0.4,319900,2.00 78,1,2024-09-07 09:31:50:618,845557,845557,0,0,395950504963,4128645313,836009,7319,2229,367,391670,0 78,2,2024-09-07 09:31:51:408,609696,609683,13,0,24130988,0,8313 78,3,2024-09-07 09:31:51:147,1,481,2,0,181,4025,481,0 79,0,2024-09-07 09:31:51:345,116343,0.4,119191,0.6,243935,0.4,317783,2.25 79,1,2024-09-07 09:31:50:571,847482,847482,0,0,397543566090,4121086324,840186,5945,1351,367,391682,0 79,2,2024-09-07 09:31:51:068,609103,609103,0,0,23306791,0,4195 79,3,2024-09-07 09:31:50:752,1,481,2,0,418,6039,481,0 80,0,2024-09-07 09:31:51:089,120257,0.5,123492,0.7,236586,0.5,319979,2.00 80,1,2024-09-07 09:31:51:621,844726,844726,0,0,397132052106,4136873573,838936,5367,423,368,392269,0 80,2,2024-09-07 09:31:51:093,610186,610186,0,0,24267992,0,4433 80,3,2024-09-07 09:31:50:576,1,481,2,0,190,5474,481,0 81,0,2024-09-07 09:31:51:577,120817,0.5,123725,0.7,236105,0.5,320918,2.00 81,1,2024-09-07 09:31:51:655,843852,843852,0,0,396318211891,4145638678,836693,6522,637,382,391885,0 81,2,2024-09-07 09:31:51:130,608036,607973,63,0,27216944,0,5932 81,3,2024-09-07 09:31:51:134,1,481,9,0,719,5645,481,0 82,0,2024-09-07 09:31:51:582,120290,0.5,120507,0.7,241366,0.5,320373,2.00 82,1,2024-09-07 09:31:50:587,846170,846166,0,4,397364148332,4134015036,841105,4242,819,381,391768,4 82,2,2024-09-07 09:31:51:701,609465,609465,0,0,22568158,0,4484 82,3,2024-09-07 09:31:51:757,1,481,1,0,363,4840,481,0 83,0,2024-09-07 09:31:51:540,120672,0.6,120474,0.8,240428,0.6,319909,2.25 83,1,2024-09-07 09:31:50:561,844273,844273,0,0,396966442111,4139977977,838224,5598,451,382,391709,0 83,2,2024-09-07 09:31:50:766,607753,607728,25,0,24234224,0,5612 83,3,2024-09-07 09:31:50:750,1,481,9,0,1260,5974,481,0 84,0,2024-09-07 09:31:51:847,119480,0.7,119562,0.8,238899,0.6,319420,2.25 84,1,2024-09-07 09:31:51:049,843707,843707,0,0,396412062778,4143222363,834664,7867,1176,367,391967,0 84,2,2024-09-07 09:31:50:573,607296,607266,30,0,31895874,0,5971 84,3,2024-09-07 09:31:51:141,1,481,1,0,908,6946,481,0 85,0,2024-09-07 09:31:51:034,116115,0.6,116019,0.8,246256,0.6,318965,2.25 85,1,2024-09-07 09:31:50:560,841429,841429,0,0,395791456247,4178369055,828653,10596,2180,381,392006,0 85,2,2024-09-07 09:31:50:872,607203,607203,0,0,30123199,0,4255 85,3,2024-09-07 09:31:50:696,1,481,12,0,789,5676,481,0 86,0,2024-09-07 09:31:50:875,120199,0.6,123713,0.8,236558,0.7,319978,2.25 86,1,2024-09-07 09:31:50:837,843794,843794,0,0,396387618962,4152764768,833572,8500,1722,366,391961,0 86,2,2024-09-07 09:31:50:854,606051,606050,1,0,32119116,0,5004 86,3,2024-09-07 09:31:50:586,1,481,20,0,308,7198,481,0 87,0,2024-09-07 09:31:51:321,120519,0.9,120229,0.9,240565,1.1,321476,2.25 87,1,2024-09-07 09:31:50:550,842569,842569,0,0,395822798767,4150795477,830925,9941,1703,366,392076,0 87,2,2024-09-07 09:31:51:068,607087,607081,6,0,28073629,0,6323 87,3,2024-09-07 09:31:51:801,1,481,0,0,473,7310,481,0 88,0,2024-09-07 09:31:51:482,120384,0.4,121042,0.6,241794,0.4,321851,1.75 88,1,2024-09-07 09:31:50:570,842241,842241,0,0,395624520040,4144227200,830954,9059,2228,365,392084,0 88,2,2024-09-07 09:31:50:700,608693,608693,0,0,30411199,0,4465 88,3,2024-09-07 09:31:51:269,1,481,1,0,435,5918,481,0 89,0,2024-09-07 09:31:51:828,123944,0.4,120064,0.6,237314,0.4,322500,1.75 89,1,2024-09-07 09:31:50:557,842165,842165,0,0,397042049701,4173407706,831994,8696,1475,382,391866,0 89,2,2024-09-07 09:31:51:138,607624,607624,0,0,28709424,0,3173 89,3,2024-09-07 09:31:51:798,1,481,12,0,468,8077,481,0 90,0,2024-09-07 09:31:51:623,116871,0.4,120098,0.6,244903,0.4,319300,2.00 90,1,2024-09-07 09:31:50:598,844220,844220,0,0,396500922886,4148717062,836636,6933,651,380,391825,0 90,2,2024-09-07 09:31:51:412,606255,606255,0,0,30744151,0,3608 90,3,2024-09-07 09:31:50:938,1,481,5,0,322,5718,481,0 91,0,2024-09-07 09:31:50:940,120852,0.5,117032,0.6,244637,0.5,320942,1.75 91,1,2024-09-07 09:31:50:562,842555,842555,0,0,396333710799,4165750166,832155,8756,1644,381,392047,0 91,2,2024-09-07 09:31:51:333,609131,609131,0,0,26961094,0,3526 91,3,2024-09-07 09:31:50:597,1,481,1,0,216,4715,481,0 92,0,2024-09-07 09:31:51:444,121945,0.5,124696,0.6,237831,0.5,322677,1.75 92,1,2024-09-07 09:31:50:604,844695,844695,0,0,395907866898,4136397490,838118,5501,1076,381,392136,0 92,2,2024-09-07 09:31:51:349,609457,609457,0,0,24303882,0,3259 92,3,2024-09-07 09:31:51:011,1,481,7,0,167,4226,481,0 93,0,2024-09-07 09:31:50:952,121340,0.4,124370,0.6,237295,0.4,321567,1.75 93,1,2024-09-07 09:31:50:806,844770,844770,0,0,396629194847,4142749313,835308,7762,1700,366,391776,0 93,2,2024-09-07 09:31:50:928,608013,608013,0,0,29872720,0,4913 93,3,2024-09-07 09:31:51:411,1,481,13,0,190,4280,481,0 94,0,2024-09-07 09:31:51:611,120540,0.3,121132,0.5,242158,0.3,321135,1.75 94,1,2024-09-07 09:31:50:566,844707,844707,0,0,397538036740,4147780995,838935,5401,371,381,391850,0 94,2,2024-09-07 09:31:50:764,608597,608569,28,0,25566856,0,6179 94,3,2024-09-07 09:31:51:696,1,481,1,0,576,6187,481,0 95,0,2024-09-07 09:31:51:421,120866,0.3,120519,0.5,241576,0.3,322009,1.75 95,1,2024-09-07 09:31:51:120,846253,846253,0,0,397614472891,4132716650,839527,6246,480,365,391786,0 95,2,2024-09-07 09:31:51:015,608214,608214,0,0,24331631,0,3308 95,3,2024-09-07 09:31:51:724,1,481,19,0,718,7209,481,0 96,0,2024-09-07 09:31:51:038,120889,0.4,121150,0.6,242043,0.4,321505,1.75 96,1,2024-09-07 09:31:51:598,844462,844462,0,0,396825559075,4141732535,838126,5425,911,384,391955,0 96,2,2024-09-07 09:31:51:280,608944,608944,0,0,25176091,0,4180 96,3,2024-09-07 09:31:51:150,1,481,6,0,411,5265,481,0 97,0,2024-09-07 09:31:51:346,120777,0.3,120366,0.5,241782,0.3,321230,1.75 97,1,2024-09-07 09:31:50:768,846049,846049,0,0,398214410041,4131931435,840528,4585,936,367,392140,0 97,2,2024-09-07 09:31:50:634,609116,609116,0,0,24600965,0,3679 97,3,2024-09-07 09:31:50:570,1,481,8,0,242,5801,481,0 98,0,2024-09-07 09:31:51:707,120294,0.3,120650,0.4,241850,0.2,321303,1.50 98,1,2024-09-07 09:31:50:573,845762,845762,0,0,396944731816,4136308485,840443,4525,794,381,391997,0 98,2,2024-09-07 09:31:50:769,608970,608970,0,0,24608027,0,4336 98,3,2024-09-07 09:31:50:702,1,481,2,0,840,7571,481,0 99,0,2024-09-07 09:31:51:447,120508,0.3,121162,0.5,240976,0.3,321717,1.75 99,1,2024-09-07 09:31:51:727,845701,845701,0,0,397096909264,4135306449,839867,4913,921,380,392069,0 99,2,2024-09-07 09:31:51:419,609506,609506,0,0,29648619,0,4276 99,3,2024-09-07 09:31:50:585,1,481,1,0,606,5012,481,0 100,0,2024-09-07 09:31:51:487,119984,0.7,120344,0.9,239786,0.9,320503,2.50 100,1,2024-09-07 09:31:50:552,840665,840665,0,0,394954841534,4179079039,827054,10626,2985,378,391989,0 100,2,2024-09-07 09:31:51:821,605304,605293,11,0,28157797,0,5417 100,3,2024-09-07 09:31:51:744,1,481,86,0,627,8243,481,0 101,0,2024-09-07 09:31:51:699,122830,1.0,119649,1.0,234491,0.9,320855,2.25 101,1,2024-09-07 09:31:50:550,841796,841796,0,0,395501030010,4159849563,829623,9779,2394,368,391847,0 101,2,2024-09-07 09:31:51:758,604858,604858,0,0,33445449,0,4871 101,3,2024-09-07 09:31:50:948,1,481,12,0,1250,7578,481,0 102,0,2024-09-07 09:31:50:949,117206,0.6,120781,0.7,245176,0.6,320659,2.00 102,1,2024-09-07 09:31:51:151,841599,841599,0,0,395704086344,4159738885,829827,9773,1999,369,391891,0 102,2,2024-09-07 09:31:51:737,608392,608338,54,0,28190161,0,6768 102,3,2024-09-07 09:31:51:618,1,481,307,0,466,5458,481,0 103,0,2024-09-07 09:31:51:604,124450,0.5,124458,0.7,234502,0.5,322384,2.00 103,1,2024-09-07 09:31:51:637,841115,841115,0,0,395406326058,4176343076,827482,10437,3196,381,391862,0 103,2,2024-09-07 09:31:50:582,606647,606647,0,0,29904225,0,3766 103,3,2024-09-07 09:31:50:762,1,481,39,0,916,5736,481,0 104,0,2024-09-07 09:31:51:072,119532,0.7,119776,0.9,238543,0.7,319584,2.25 104,1,2024-09-07 09:31:51:606,843499,843499,0,0,396131748599,4163044404,830813,10139,2547,365,392168,0 104,2,2024-09-07 09:31:51:676,605852,605852,0,0,28604694,0,3941 104,3,2024-09-07 09:31:51:428,1,481,14,0,1245,8929,481,0 105,0,2024-09-07 09:31:51:057,119147,0.8,116019,1.0,243258,0.9,320089,2.50 105,1,2024-09-07 09:31:50:554,844751,844751,0,0,396485779727,4154802162,833901,9197,1653,366,392009,0 105,2,2024-09-07 09:31:51:348,607252,607252,0,0,28248132,0,3509 105,3,2024-09-07 09:31:51:304,1,481,10,0,399,7068,481,0 106,0,2024-09-07 09:31:50:949,116250,0.8,118988,0.9,243932,0.8,318204,2.50 106,1,2024-09-07 09:31:51:753,843736,843736,0,0,395414623395,4150940672,831524,10569,1643,368,391914,0 106,2,2024-09-07 09:31:50:758,607231,607231,0,0,27395917,0,2920 106,3,2024-09-07 09:31:50:681,1,481,4,0,470,6243,481,0 107,0,2024-09-07 09:31:51:119,120471,0.8,120687,0.9,241176,0.9,321322,2.00 107,1,2024-09-07 09:31:50:586,842287,842287,0,0,395411479517,4166923525,830795,10390,1102,381,392234,0 107,2,2024-09-07 09:31:51:297,606686,606685,1,0,29713929,0,5024 107,3,2024-09-07 09:31:51:761,1,481,2,0,370,6846,481,0 108,0,2024-09-07 09:31:51:766,120379,0.4,121169,0.6,240916,0.4,321348,1.75 108,1,2024-09-07 09:31:51:293,844471,844471,0,0,395999497040,4130665962,837377,6258,836,367,391857,0 108,2,2024-09-07 09:31:51:757,607378,607378,0,0,27142192,0,4246 108,3,2024-09-07 09:31:51:333,1,481,1,0,749,9547,481,0 109,0,2024-09-07 09:31:51:750,121102,0.4,120203,0.6,240535,0.4,321900,1.75 109,1,2024-09-07 09:31:50:595,842019,842019,0,0,396644022006,4162638987,834018,6790,1211,382,392132,0 109,2,2024-09-07 09:31:50:927,606307,606307,0,0,26374948,0,3617 109,3,2024-09-07 09:31:51:150,1,481,11,0,630,6130,481,0 110,0,2024-09-07 09:31:51:797,120314,0.4,117114,0.6,245465,0.4,321193,1.75 110,1,2024-09-07 09:31:51:653,846180,846180,0,0,397675437767,4126653950,840269,4691,1220,368,392045,0 110,2,2024-09-07 09:31:51:318,608866,608866,0,0,25488877,0,4067 110,3,2024-09-07 09:31:50:703,1,481,20,0,722,7043,481,0 111,0,2024-09-07 09:31:51:425,121224,0.3,120536,0.5,241434,0.3,322222,1.75 111,1,2024-09-07 09:31:51:004,847271,847271,0,0,397801297951,4125301621,842946,3969,356,380,391690,0 111,2,2024-09-07 09:31:51:116,608373,608373,0,0,25697452,0,4823 111,3,2024-09-07 09:31:50:917,1,481,1,0,379,5654,481,0 112,0,2024-09-07 09:31:50:919,121322,0.3,120648,0.4,241947,0.2,321348,1.50 112,1,2024-09-07 09:31:50:837,845878,845878,0,0,397870250493,4132363149,840220,4970,688,380,391624,0 112,2,2024-09-07 09:31:51:133,608045,608044,1,0,24780688,0,5036 112,3,2024-09-07 09:31:50:595,1,481,1,0,282,4824,481,0 113,0,2024-09-07 09:31:50:896,120766,0.3,120708,0.5,241717,0.3,321837,1.75 113,1,2024-09-07 09:31:51:686,848407,848407,0,0,399057302129,4119401215,843590,4009,808,366,391661,0 113,2,2024-09-07 09:31:51:303,610345,610345,0,0,22319047,0,3813 113,3,2024-09-07 09:31:50:697,1,481,46,0,340,5238,481,0 114,0,2024-09-07 09:31:50:873,120676,0.3,121578,0.5,241513,0.2,322895,1.75 114,1,2024-09-07 09:31:50:715,846662,846662,0,0,397428494439,4129209316,840009,5037,1616,381,391565,0 114,2,2024-09-07 09:31:50:877,610474,610473,1,0,23719127,0,5069 114,3,2024-09-07 09:31:51:278,1,481,1,0,395,3902,481,0 115,0,2024-09-07 09:31:50:552,121075,0.3,121513,0.4,242443,0.2,322308,1.50 115,1,2024-09-07 09:31:50:570,846311,846311,0,0,397344011401,4132400358,839021,5933,1357,382,391757,0 115,2,2024-09-07 09:31:51:125,610680,610680,0,0,23856042,0,4382 115,3,2024-09-07 09:31:51:002,1,481,0,0,159,2728,481,0 116,0,2024-09-07 09:31:51:797,120077,0.7,119947,0.8,240468,0.6,321248,2.00 116,1,2024-09-07 09:31:50:815,842221,842221,0,0,395643967991,4170781053,832129,7684,2408,380,392089,0 116,2,2024-09-07 09:31:51:760,606735,606735,0,0,30537603,0,4475 116,3,2024-09-07 09:31:50:913,1,481,1,0,415,6053,481,0 117,0,2024-09-07 09:31:50:955,120755,0.7,120318,0.8,241030,0.8,321861,2.00 117,1,2024-09-07 09:31:51:578,842916,842916,0,0,395775129147,4145601727,833296,8426,1194,369,392033,0 117,2,2024-09-07 09:31:51:125,609596,609596,0,0,26382842,0,4303 117,3,2024-09-07 09:31:51:064,1,481,25,0,490,6884,481,0 118,0,2024-09-07 09:31:51:773,116991,0.5,120245,0.7,245097,0.5,320544,2.00 118,1,2024-09-07 09:31:50:584,842546,842546,0,0,396472550397,4169697138,829536,9894,3116,366,392054,0 118,2,2024-09-07 09:31:51:590,607651,607651,0,0,28800942,0,2842 118,3,2024-09-07 09:31:51:774,1,481,1,0,248,5542,481,0 119,0,2024-09-07 09:31:51:353,120184,0.7,120504,0.8,240979,0.7,320679,2.00 119,1,2024-09-07 09:31:50:551,842820,842820,0,0,396716018642,4156174949,832059,9336,1425,367,391857,0 119,2,2024-09-07 09:31:51:260,608521,608521,0,0,27017999,0,4174 119,3,2024-09-07 09:31:51:331,1,481,9,0,1358,9277,481,0 120,0,2024-09-07 09:31:51:595,119769,0.6,119602,0.8,240072,0.6,319905,2.25 120,1,2024-09-07 09:31:50:864,843672,843672,0,0,395591590399,4147528025,834873,8023,776,368,392144,0 120,2,2024-09-07 09:31:50:773,606905,606904,1,0,30872967,0,5281 120,3,2024-09-07 09:31:51:290,1,481,1,0,279,6155,481,0 121,0,2024-09-07 09:31:51:788,120442,1.3,119958,1.1,240418,1.7,320292,2.25 121,1,2024-09-07 09:31:51:660,844397,844397,0,0,396753583268,4145575322,836766,7078,553,367,391840,0 121,2,2024-09-07 09:31:51:132,607196,607196,0,0,28892678,0,4157 121,3,2024-09-07 09:31:50:754,1,481,10,0,269,5577,481,0 122,0,2024-09-07 09:31:51:772,120101,0.8,116992,0.9,245216,0.9,322491,2.00 122,1,2024-09-07 09:31:50:872,842885,842885,0,0,396001462379,4153308551,831592,9588,1705,366,392130,0 122,2,2024-09-07 09:31:51:333,609027,608954,73,0,31948665,0,5989 122,3,2024-09-07 09:31:50:597,1,481,5,0,512,8467,481,0 123,0,2024-09-07 09:31:50:964,119860,0.9,116785,0.9,244691,1.0,319942,2.25 123,1,2024-09-07 09:31:50:565,842961,842961,0,0,395952941430,4165588218,828975,11540,2446,369,392039,0 123,2,2024-09-07 09:31:51:023,606302,606301,1,0,27280003,0,5215 123,3,2024-09-07 09:31:51:146,1,481,46,0,478,5743,481,0 124,0,2024-09-07 09:31:50:926,124360,0.3,124340,0.5,234399,0.3,322109,1.75 124,1,2024-09-07 09:31:51:022,845872,845872,0,0,396811239242,4126369823,839483,5274,1115,367,392178,0 124,2,2024-09-07 09:31:51:014,609580,609527,53,0,25308424,0,6487 124,3,2024-09-07 09:31:50:758,1,481,2,0,490,4843,481,0 125,0,2024-09-07 09:31:51:594,120649,0.4,120454,0.6,241719,0.4,321900,1.75 125,1,2024-09-07 09:31:50:854,844124,844124,0,0,396433823937,4140444278,837238,5905,981,382,391813,0 125,2,2024-09-07 09:31:51:118,609530,609530,0,0,25600326,0,4534 125,3,2024-09-07 09:31:51:133,1,481,1,0,709,5859,481,0 126,0,2024-09-07 09:31:51:457,120822,0.4,124386,0.6,237840,0.4,322245,1.75 126,1,2024-09-07 09:31:50:558,847064,847064,0,0,398196297134,4122406874,842669,4099,296,365,391987,0 126,2,2024-09-07 09:31:50:615,609307,609307,0,0,25858773,0,4539 126,3,2024-09-07 09:31:50:917,1,481,0,0,268,5550,481,0 127,0,2024-09-07 09:31:51:591,120875,0.3,121266,0.5,241925,0.3,321583,1.75 127,1,2024-09-07 09:31:50:571,845642,845642,0,0,396907736187,4131056068,836936,7244,1462,364,392187,0 127,2,2024-09-07 09:31:50:636,607586,607582,4,0,24612312,0,5305 127,3,2024-09-07 09:31:51:268,1,481,1,0,968,4950,481,0 128,0,2024-09-07 09:31:51:566,121186,0.3,121291,0.4,242217,0.2,322190,1.50 128,1,2024-09-07 09:31:51:609,844680,844680,0,0,398375501216,4138796058,838604,5349,727,367,392031,0 128,2,2024-09-07 09:31:51:383,610799,610799,0,0,23398810,0,3171 128,3,2024-09-07 09:31:50:778,1,481,20,0,1082,7864,481,0 129,0,2024-09-07 09:31:51:013,121491,0.3,120961,0.5,242279,0.3,322117,1.50 129,1,2024-09-07 09:31:50:567,842582,842582,0,0,395317731766,4144555476,834080,6767,1735,379,391962,0 129,2,2024-09-07 09:31:50:696,609526,609522,4,0,25559732,0,5335 129,3,2024-09-07 09:31:50:690,1,481,12,0,506,7019,481,0 130,0,2024-09-07 09:31:51:746,120979,0.5,120565,0.6,242234,0.5,322274,1.75 130,1,2024-09-07 09:31:50:591,846074,846074,0,0,396641534385,4123440407,841402,4286,386,381,391825,0 130,2,2024-09-07 09:31:51:125,608657,608657,0,0,24951745,0,4067 130,3,2024-09-07 09:31:51:297,1,481,2,0,960,7062,481,0 131,0,2024-09-07 09:31:51:945,120421,0.4,120690,0.5,242147,0.3,321515,1.75 131,1,2024-09-07 09:31:51:821,845123,845123,0,0,396976739286,4145324564,838312,5620,1191,381,391865,0 131,2,2024-09-07 09:31:50:566,610177,610177,0,0,23205541,0,3979 131,3,2024-09-07 09:31:51:695,1,481,80,0,392,5812,481,0 132,0,2024-09-07 09:31:51:426,120435,0.4,121680,0.6,241818,0.4,321893,1.75 132,1,2024-09-07 09:31:50:587,841690,841690,0,0,396151746676,4170614827,829371,10130,2189,381,392532,0 132,2,2024-09-07 09:31:50:710,608273,608256,17,0,30991515,0,6451 132,3,2024-09-07 09:31:51:688,1,481,1,0,804,8406,481,0 133,0,2024-09-07 09:31:51:550,117411,0.4,120210,0.6,246496,0.4,320561,1.75 133,1,2024-09-07 09:31:50:601,841495,841495,0,0,395589014127,4170414883,829700,10171,1624,383,391914,0 133,2,2024-09-07 09:31:51:097,607928,607878,50,0,31250125,0,6861 133,3,2024-09-07 09:31:51:302,1,481,9,0,479,5445,481,0 134,0,2024-09-07 09:31:50:948,120737,0.5,120542,0.7,241375,0.5,321734,2.00 134,1,2024-09-07 09:31:50:586,842735,842735,0,0,395980064440,4151764076,832061,8584,2090,366,391718,0 134,2,2024-09-07 09:31:51:759,606671,606647,24,0,29432226,0,6207 134,3,2024-09-07 09:31:50:754,1,481,284,0,739,6060,481,0 135,0,2024-09-07 09:31:51:103,116587,0.7,116595,0.8,247511,0.8,319222,2.25 135,1,2024-09-07 09:31:51:590,842681,842681,0,0,396761738007,4171372953,832064,9237,1380,380,391805,0 135,2,2024-09-07 09:31:50:697,608137,608137,0,0,28612724,0,3981 135,3,2024-09-07 09:31:51:012,1,481,1,0,299,4121,481,0 136,0,2024-09-07 09:31:51:633,120883,0.5,121168,0.7,241311,0.5,321284,2.00 136,1,2024-09-07 09:31:51:449,844300,844300,0,0,395840903031,4148586755,834933,8229,1138,381,391685,0 136,2,2024-09-07 09:31:51:133,609530,609530,0,0,27080509,0,3506 136,3,2024-09-07 09:31:51:111,1,481,7,0,637,5494,481,0 137,0,2024-09-07 09:31:50:925,124538,0.6,121284,0.7,237899,0.5,322498,2.00 137,1,2024-09-07 09:31:50:586,842727,842727,0,0,395598512427,4148526908,829802,10256,2669,366,391898,0 137,2,2024-09-07 09:31:51:708,607302,607302,0,0,30296714,0,3185 137,3,2024-09-07 09:31:50:769,1,481,8,0,484,6188,481,0 138,0,2024-09-07 09:31:51:788,120068,0.9,120111,0.9,241139,1.1,319912,2.25 138,1,2024-09-07 09:31:51:688,843515,843515,0,0,396764786970,4160693436,831794,9844,1877,368,391954,0 138,2,2024-09-07 09:31:50:586,607163,607163,0,0,28103806,0,4988 138,3,2024-09-07 09:31:50:636,1,481,5,0,1200,7823,481,0 139,0,2024-09-07 09:31:51:363,119610,1.3,119810,1.0,239842,2.0,320650,2.50 139,1,2024-09-07 09:31:50:573,839110,839110,0,0,392862580056,4171133087,823536,12126,3448,380,392058,0 139,2,2024-09-07 09:31:50:701,604072,604072,0,0,31108102,0,3097 139,3,2024-09-07 09:31:51:673,1,481,2,0,432,5600,481,0 140,0,2024-09-07 09:31:51:590,121097,0.3,120261,0.5,241411,0.2,321449,1.75 140,1,2024-09-07 09:31:51:537,848315,848315,0,0,398618250869,4109444141,844267,3587,461,365,391606,0 140,2,2024-09-07 09:31:50:696,609647,609646,1,0,23884784,0,5036 140,3,2024-09-07 09:31:50:768,1,481,1,0,297,4609,481,0 141,0,2024-09-07 09:31:51:709,121157,0.3,124293,0.5,237250,0.2,321868,1.50 141,1,2024-09-07 09:31:50:872,846593,846593,0,0,397819124751,4134217176,839735,5773,1085,379,391614,0 141,2,2024-09-07 09:31:51:686,609069,609058,11,0,25338925,0,5369 141,3,2024-09-07 09:31:51:048,1,481,8,0,391,5332,481,0 142,0,2024-09-07 09:31:51:346,121253,0.3,120512,0.5,241440,0.3,322019,1.75 142,1,2024-09-07 09:31:50:585,844701,844701,0,0,396609209615,4134804593,839193,5052,456,382,392102,0 142,2,2024-09-07 09:31:51:319,607755,607723,32,0,25971812,0,6028 142,3,2024-09-07 09:31:51:749,1,481,0,0,484,5201,481,0 143,0,2024-09-07 09:31:51:377,120644,0.4,120726,0.6,241966,0.4,321922,1.75 143,1,2024-09-07 09:31:50:583,846615,846615,0,0,397884205788,4130073646,840866,5198,551,367,391705,0 143,2,2024-09-07 09:31:50:772,608925,608925,0,0,24969254,0,3123 143,3,2024-09-07 09:31:51:153,1,481,0,0,462,6207,481,0 144,0,2024-09-07 09:31:51:498,116767,0.6,120058,0.8,244436,0.5,320433,2.00 144,1,2024-09-07 09:31:50:567,842538,842538,0,0,394912939769,4146906098,833724,6911,1903,381,391733,0 144,2,2024-09-07 09:31:51:759,609668,609668,0,0,23895976,0,3673 144,3,2024-09-07 09:31:51:740,1,481,1,0,249,4860,481,0 145,0,2024-09-07 09:31:51:371,116757,0.6,116773,0.8,247597,0.5,319135,2.25 145,1,2024-09-07 09:31:50:552,841852,841852,0,0,395462187876,4160569735,830934,9049,1869,382,391759,0 145,2,2024-09-07 09:31:51:429,605949,605867,82,0,29816663,0,7814 145,3,2024-09-07 09:31:50:901,1,481,11,0,622,6767,481,0 146,0,2024-09-07 09:31:51:595,120360,0.6,119865,0.7,240858,0.5,319601,2.25 146,1,2024-09-07 09:31:51:590,843344,843344,0,0,395543703295,4158240933,829994,9821,3529,367,391770,0 146,2,2024-09-07 09:31:51:700,606652,606652,0,0,27707431,0,3290 146,3,2024-09-07 09:31:51:290,1,481,13,0,1520,8429,481,0 147,0,2024-09-07 09:31:51:705,120778,0.6,120491,0.8,240388,0.6,321350,2.25 147,1,2024-09-07 09:31:51:376,846382,846382,0,0,397980628695,4137121722,839397,6135,850,367,391791,0 147,2,2024-09-07 09:31:51:020,607277,607277,0,0,25115276,0,2968 147,3,2024-09-07 09:31:50:918,1,481,13,0,1626,8139,481,0 0,0,2024-09-07 09:32:01:703,117110,0.6,117093,0.7,248441,0.6,320851,2.00 0,1,2024-09-07 09:32:00:806,844831,844831,0,0,397593847903,4166707718,837366,6628,837,369,391896,0 0,2,2024-09-07 09:32:01:068,610264,610264,0,0,25392681,0,4480 0,3,2024-09-07 09:32:00:981,1,482,12,0,431,6938,482,0 1,0,2024-09-07 09:32:01:746,120294,1.0,119780,1.0,240128,1.4,320817,2.00 1,1,2024-09-07 09:32:00:564,844634,844634,0,0,396020167964,4151859879,836292,6877,1465,370,391859,0 1,2,2024-09-07 09:32:00:644,609359,609359,0,0,24713274,0,3380 1,3,2024-09-07 09:32:01:313,1,482,29,0,269,6261,482,0 2,0,2024-09-07 09:32:01:576,120853,0.6,120786,0.7,241415,0.6,322198,2.00 2,1,2024-09-07 09:32:00:860,847563,847563,0,0,398440721512,4141082427,843091,3670,802,380,391745,0 2,2,2024-09-07 09:32:01:266,610908,610908,0,0,23114499,0,3594 2,3,2024-09-07 09:32:00:691,1,482,1,0,357,4356,482,0 3,0,2024-09-07 09:32:01:754,120454,0.4,120316,0.6,240329,0.4,320205,2.00 3,1,2024-09-07 09:32:01:619,846192,846192,0,0,397881582230,4144027543,839215,6282,695,379,391716,0 3,2,2024-09-07 09:32:01:143,609899,609876,23,0,24568111,0,5851 3,3,2024-09-07 09:32:01:753,1,482,7,0,207,3101,482,0 4,0,2024-09-07 09:32:01:764,117276,0.4,120483,0.5,245759,0.3,321158,1.75 4,1,2024-09-07 09:32:00:593,842703,842703,0,0,396123025016,4197323946,827396,11792,3515,370,391992,0 4,2,2024-09-07 09:32:01:018,606989,606989,0,0,30021346,0,4534 4,3,2024-09-07 09:32:01:035,1,482,1,0,448,6396,482,0 5,0,2024-09-07 09:32:01:396,120658,0.4,121258,0.6,241939,0.4,321786,1.75 5,1,2024-09-07 09:32:00:755,844258,844258,0,0,396903124487,4180382501,831962,9901,2395,367,392005,0 5,2,2024-09-07 09:32:01:830,606696,606696,0,0,28982019,0,3582 5,3,2024-09-07 09:32:01:732,1,482,15,0,457,6827,482,0 6,0,2024-09-07 09:32:00:917,121211,0.5,120735,0.7,241698,0.5,322669,2.00 6,1,2024-09-07 09:32:00:755,846315,846315,0,0,396315978445,4141826608,837295,7577,1443,379,391702,0 6,2,2024-09-07 09:32:01:115,609400,609382,18,0,27525589,0,5535 6,3,2024-09-07 09:32:01:274,1,482,0,0,710,6086,482,0 7,0,2024-09-07 09:32:01:542,120044,0.6,121000,0.7,240782,0.5,320509,2.00 7,1,2024-09-07 09:32:00:853,844059,844059,0,0,396222436601,4165457600,831384,10446,2229,382,391747,0 7,2,2024-09-07 09:32:00:780,609862,609862,0,0,27027878,0,4791 7,3,2024-09-07 09:32:00:852,1,482,1,0,552,5607,482,0 8,0,2024-09-07 09:32:01:332,121153,0.3,120829,0.5,242387,0.3,322752,1.75 8,1,2024-09-07 09:32:01:016,843811,843811,0,0,396063681644,4163236353,830953,10063,2795,366,392853,0 8,2,2024-09-07 09:32:00:791,605660,605660,0,0,31711174,0,3250 8,3,2024-09-07 09:32:00:598,1,482,1,0,538,7597,482,0 9,0,2024-09-07 09:32:01:098,120717,0.4,117482,0.5,245846,0.3,322224,1.75 9,1,2024-09-07 09:32:00:558,842832,842832,0,0,395965932605,4169823883,830464,9899,2469,369,392001,0 9,2,2024-09-07 09:32:01:083,608411,608411,0,0,28844846,0,4615 9,3,2024-09-07 09:32:01:752,1,482,8,0,1273,8270,482,0 10,0,2024-09-07 09:32:01:600,120619,0.3,120235,0.5,241300,0.3,321689,1.75 10,1,2024-09-07 09:32:00:586,845731,845731,0,0,396743548955,4157798449,834190,9601,1940,381,391741,0 10,2,2024-09-07 09:32:00:776,608505,608505,0,0,31268212,0,4264 10,3,2024-09-07 09:32:00:873,1,482,5,0,649,5072,482,0 11,0,2024-09-07 09:32:01:020,120508,0.4,116948,0.6,244338,0.4,322106,1.75 11,1,2024-09-07 09:32:00:572,845727,845727,0,0,397070855806,4165557924,832932,9675,3120,383,391756,0 11,2,2024-09-07 09:32:01:125,609084,609084,0,0,27628442,0,4130 11,3,2024-09-07 09:32:01:298,1,482,1,0,843,6600,482,0 12,0,2024-09-07 09:32:00:963,121575,0.4,121543,0.5,243002,0.3,322970,1.75 12,1,2024-09-07 09:32:00:935,845638,845638,0,0,396742051694,4139172737,838844,6135,659,370,391960,0 12,2,2024-09-07 09:32:01:547,609403,609403,0,0,27582833,0,4390 12,3,2024-09-07 09:32:01:074,1,482,2,0,386,6724,482,0 13,0,2024-09-07 09:32:01:372,121612,0.4,121184,0.6,241750,0.4,322339,1.75 13,1,2024-09-07 09:32:01:551,843925,843925,0,0,396650020524,4171346197,834845,6929,2151,382,391740,0 13,2,2024-09-07 09:32:00:599,610884,610884,0,0,24936692,0,3287 13,3,2024-09-07 09:32:01:763,1,482,9,0,522,6942,482,0 14,0,2024-09-07 09:32:00:568,120689,0.4,121705,0.6,241044,0.4,321547,1.75 14,1,2024-09-07 09:32:01:567,849604,849604,0,0,398725573102,4128152253,843061,5829,714,364,391673,0 14,2,2024-09-07 09:32:00:772,609031,609001,30,0,26224224,0,6104 14,3,2024-09-07 09:32:01:119,1,482,4,0,1168,5195,482,0 15,0,2024-09-07 09:32:01:570,120578,0.4,120361,0.6,241513,0.4,321674,2.00 15,1,2024-09-07 09:32:01:614,846742,846742,0,0,397878653949,4144249539,839725,5562,1455,381,391619,0 15,2,2024-09-07 09:32:01:001,611754,611754,0,0,22034889,0,3622 15,3,2024-09-07 09:32:01:410,1,482,1,0,1126,7235,482,0 16,0,2024-09-07 09:32:00:977,120463,0.5,121247,0.7,241426,0.5,320977,2.00 16,1,2024-09-07 09:32:00:563,846082,846082,0,0,397515517943,4159452042,837919,6867,1296,370,391917,0 16,2,2024-09-07 09:32:01:437,609198,609198,0,0,26462529,0,4719 16,3,2024-09-07 09:32:01:142,1,482,2,0,358,6304,482,0 17,0,2024-09-07 09:32:01:758,124447,0.6,121453,0.7,237854,0.6,322494,2.00 17,1,2024-09-07 09:32:00:578,844599,844599,0,0,396656739244,4164960295,835706,7148,1745,368,392075,0 17,2,2024-09-07 09:32:01:666,611701,611700,1,0,27071634,0,5050 17,3,2024-09-07 09:32:00:576,1,482,16,0,518,7460,482,0 18,0,2024-09-07 09:32:00:946,119503,0.7,120049,0.8,239453,0.7,319277,2.25 18,1,2024-09-07 09:32:01:639,848422,848422,0,0,398261466505,4132133526,842922,4643,857,367,391725,0 18,2,2024-09-07 09:32:01:755,611410,611410,0,0,23963657,0,3541 18,3,2024-09-07 09:32:00:897,1,482,2,0,1059,4823,482,0 19,0,2024-09-07 09:32:01:551,120454,0.6,120777,0.8,240187,0.6,320076,2.25 19,1,2024-09-07 09:32:00:572,848494,848494,0,0,398632165566,4136335036,841676,5734,1084,367,391777,0 19,2,2024-09-07 09:32:01:751,612147,612147,0,0,22400046,0,3988 19,3,2024-09-07 09:32:01:134,1,482,1,0,524,4012,482,0 20,0,2024-09-07 09:32:01:351,120439,0.5,120604,0.7,240678,0.5,320893,2.00 20,1,2024-09-07 09:32:00:571,845304,845304,0,0,397479376230,4165716322,836399,7545,1360,369,391922,0 20,2,2024-09-07 09:32:00:931,609082,609082,0,0,26686985,0,3721 20,3,2024-09-07 09:32:00:593,1,482,392,0,468,8352,482,0 21,0,2024-09-07 09:32:01:158,120932,0.4,121108,0.6,242104,0.4,321298,1.75 21,1,2024-09-07 09:32:01:541,842974,842974,0,0,396239645887,4185046804,829112,10748,3114,368,392016,0 21,2,2024-09-07 09:32:01:068,606914,606894,20,0,31997690,0,5617 21,3,2024-09-07 09:32:01:415,1,482,19,0,713,6377,482,0 22,0,2024-09-07 09:32:01:725,120737,0.5,121197,0.7,242045,0.4,321093,2.00 22,1,2024-09-07 09:32:01:042,844303,844303,0,0,395796211050,4168487676,830007,11197,3099,382,391675,0 22,2,2024-09-07 09:32:00:764,608130,608104,26,0,26894404,0,6328 22,3,2024-09-07 09:32:01:085,1,482,109,0,228,4062,482,0 23,0,2024-09-07 09:32:01:369,120605,0.5,120130,0.7,240371,0.5,320697,2.25 23,1,2024-09-07 09:32:01:003,845661,845661,0,0,397951342418,4178701494,830887,10500,4274,365,391690,0 23,2,2024-09-07 09:32:01:091,610667,610667,0,0,25166536,0,3773 23,3,2024-09-07 09:32:01:754,1,482,1,0,720,6359,482,0 24,0,2024-09-07 09:32:00:815,121772,0.4,120902,0.5,243069,0.4,323349,1.75 24,1,2024-09-07 09:32:00:584,844428,844428,0,0,396309334420,4150766162,835912,6853,1663,367,392269,0 24,2,2024-09-07 09:32:01:069,609856,609856,0,0,29523680,0,3607 24,3,2024-09-07 09:32:01:689,1,482,1,0,468,6361,482,0 25,0,2024-09-07 09:32:01:343,124296,0.4,121129,0.6,237573,0.4,323652,1.75 25,1,2024-09-07 09:32:00:572,843642,843642,0,0,397118748507,4187006845,829946,10989,2707,369,391928,0 25,2,2024-09-07 09:32:01:614,608100,608100,0,0,31199386,0,3978 25,3,2024-09-07 09:32:01:001,1,482,12,0,532,5394,482,0 26,0,2024-09-07 09:32:01:725,120197,0.4,117612,0.6,246701,0.4,320748,1.75 26,1,2024-09-07 09:32:01:542,846769,846769,0,0,396825768311,4157879537,834413,9943,2413,380,391748,0 26,2,2024-09-07 09:32:00:861,609133,609133,0,0,32431466,0,4689 26,3,2024-09-07 09:32:01:714,1,482,1,0,796,5583,482,0 27,0,2024-09-07 09:32:01:725,120985,0.4,121269,0.6,241149,0.4,321801,2.00 27,1,2024-09-07 09:32:01:675,847654,847654,0,0,398767115813,4154138847,839360,7032,1262,381,391626,0 27,2,2024-09-07 09:32:00:869,606553,606488,65,0,28027514,0,5699 27,3,2024-09-07 09:32:01:019,1,482,69,0,564,4390,482,0 28,0,2024-09-07 09:32:01:394,121350,0.4,120804,0.6,242518,0.3,323298,1.75 28,1,2024-09-07 09:32:00:809,847144,847144,0,0,398688564195,4159054110,839649,5795,1700,382,391698,0 28,2,2024-09-07 09:32:01:768,610360,610360,0,0,24955498,0,2915 28,3,2024-09-07 09:32:01:776,1,482,89,0,502,4992,482,0 29,0,2024-09-07 09:32:01:365,123959,0.4,120773,0.6,236885,0.3,322921,1.75 29,1,2024-09-07 09:32:01:574,850063,850063,0,0,399333393988,4131976483,844773,4531,759,368,391809,0 29,2,2024-09-07 09:32:00:861,609368,609368,0,0,24367965,0,4986 29,3,2024-09-07 09:32:00:964,1,482,11,0,459,5506,482,0 30,0,2024-09-07 09:32:01:457,120331,0.5,116881,0.7,244552,0.5,319702,2.00 30,1,2024-09-07 09:32:00:571,848749,848749,0,0,399215991825,4145366732,842105,5795,849,380,391672,0 30,2,2024-09-07 09:32:01:274,609939,609939,0,0,23811608,0,4192 30,3,2024-09-07 09:32:00:585,1,482,2,0,519,4898,482,0 31,0,2024-09-07 09:32:01:768,120039,0.5,120473,0.7,240583,0.4,320903,2.00 31,1,2024-09-07 09:32:00:564,851596,851596,0,0,400078367357,4105038536,847262,3533,801,356,391712,0 31,2,2024-09-07 09:32:01:276,608197,608197,0,0,26166138,0,4470 31,3,2024-09-07 09:32:01:706,1,482,3,0,220,4093,482,0 32,0,2024-09-07 09:32:01:424,121209,0.3,121983,0.5,242702,0.3,324021,1.75 32,1,2024-09-07 09:32:00:806,847661,847661,0,0,398243681761,4142342618,842139,4815,707,381,391646,0 32,2,2024-09-07 09:32:00:949,611018,611018,0,0,22840748,0,3922 32,3,2024-09-07 09:32:01:022,1,482,5,0,304,3965,482,0 33,0,2024-09-07 09:32:01:504,121057,0.3,120601,0.4,241421,0.2,321310,1.50 33,1,2024-09-07 09:32:00:577,848701,848701,0,0,399212179235,4139765223,841486,6043,1172,368,391730,0 33,2,2024-09-07 09:32:00:759,610179,610144,35,0,25611152,0,7012 33,3,2024-09-07 09:32:00:896,1,482,14,0,329,4631,482,0 34,0,2024-09-07 09:32:00:930,121206,0.3,124540,0.4,237989,0.2,320409,1.75 34,1,2024-09-07 09:32:01:046,850525,850525,0,0,399885922127,4113603097,848194,2309,22,367,391562,0 34,2,2024-09-07 09:32:00:770,611377,611377,0,0,23973167,0,4562 34,3,2024-09-07 09:32:01:688,1,482,0,0,320,3889,482,0 35,0,2024-09-07 09:32:00:861,120091,0.3,120744,0.5,242658,0.3,322598,1.75 35,1,2024-09-07 09:32:01:069,847805,847805,0,0,398997962145,4139017782,842185,4564,1056,382,391769,0 35,2,2024-09-07 09:32:01:587,609395,609395,0,0,26189403,0,4055 35,3,2024-09-07 09:32:00:914,1,482,1,0,418,4752,482,0 36,0,2024-09-07 09:32:01:538,121428,0.5,121403,0.7,242587,0.4,322847,2.00 36,1,2024-09-07 09:32:00:585,846864,846864,0,0,396813619076,4147023068,835512,9196,2156,366,391759,0 36,2,2024-09-07 09:32:01:749,610020,610020,0,0,27130628,0,3875 36,3,2024-09-07 09:32:00:873,1,482,21,0,416,6908,482,0 37,0,2024-09-07 09:32:01:366,120323,0.5,120273,0.7,240917,0.5,320937,2.25 37,1,2024-09-07 09:32:00:580,845816,845809,0,7,397390140460,4152510738,835195,8045,2569,365,391770,0 37,2,2024-09-07 09:32:01:147,607770,607755,15,0,27525378,0,5815 37,3,2024-09-07 09:32:01:767,1,482,13,0,888,7050,482,0 38,0,2024-09-07 09:32:01:435,120342,0.4,116723,0.6,244271,0.4,320002,2.00 38,1,2024-09-07 09:32:01:605,846939,846939,0,0,398400555692,4168000640,834156,10272,2511,368,391821,0 38,2,2024-09-07 09:32:00:763,609293,609246,47,0,27907922,0,6710 38,3,2024-09-07 09:32:00:999,1,482,63,0,689,6499,482,0 39,0,2024-09-07 09:32:01:767,123656,0.6,120921,0.7,235682,0.5,321467,2.00 39,1,2024-09-07 09:32:00:716,845202,845202,0,0,396026637358,4161784668,829581,12186,3435,365,391658,0 39,2,2024-09-07 09:32:01:419,609803,609803,0,0,26192947,0,3391 39,3,2024-09-07 09:32:00:713,1,482,159,0,525,5875,482,0 40,0,2024-09-07 09:32:01:490,119421,0.8,120185,0.9,239674,0.8,319905,2.75 40,1,2024-09-07 09:32:00:582,847088,847088,0,0,396453358019,4149092023,835398,9521,2169,368,391668,0 40,2,2024-09-07 09:32:01:323,607760,607759,1,0,29899016,0,5137 40,3,2024-09-07 09:32:01:144,1,482,2,0,1028,6347,482,0 41,0,2024-09-07 09:32:01:029,120052,1.1,122767,1.1,234194,1.6,318832,2.75 41,1,2024-09-07 09:32:00:768,845604,845604,0,0,397270794676,4157365323,834771,9124,1709,369,391742,0 41,2,2024-09-07 09:32:00:760,607968,607968,0,0,28666645,0,4277 41,3,2024-09-07 09:32:01:676,1,482,12,0,366,5027,482,0 42,0,2024-09-07 09:32:01:496,120060,0.8,119788,1.0,239958,0.9,317904,2.50 42,1,2024-09-07 09:32:01:440,843029,843029,0,0,396078450702,4167367799,828533,11637,2859,380,391675,0 42,2,2024-09-07 09:32:01:134,608989,608989,0,0,28440559,0,3790 42,3,2024-09-07 09:32:01:008,1,482,1,0,892,4534,482,0 43,0,2024-09-07 09:32:00:924,119543,0.7,116440,0.9,243585,0.7,319440,2.25 43,1,2024-09-07 09:32:00:577,846401,846401,0,0,397234278002,4152324055,834328,10097,1976,366,391696,0 43,2,2024-09-07 09:32:01:736,610121,610121,0,0,27907973,0,3812 43,3,2024-09-07 09:32:01:749,1,482,3,0,571,6831,482,0 44,0,2024-09-07 09:32:00:863,120808,0.4,120857,0.6,242264,0.4,322149,2.00 44,1,2024-09-07 09:32:00:563,848560,848560,0,0,398499773241,4119767028,841067,5944,1549,356,391809,0 44,2,2024-09-07 09:32:01:265,607238,607238,0,0,23549583,0,4344 44,3,2024-09-07 09:32:01:093,1,482,5,0,817,5438,482,0 45,0,2024-09-07 09:32:01:759,119310,0.5,116636,0.7,244745,0.4,321627,2.00 45,1,2024-09-07 09:32:01:006,847452,847452,0,0,399110476811,4150114459,840271,6315,866,382,391917,0 45,2,2024-09-07 09:32:01:267,611232,611232,0,0,23719134,0,3596 45,3,2024-09-07 09:32:00:940,1,482,17,0,271,4310,482,0 46,0,2024-09-07 09:32:00:951,120077,0.5,119500,0.7,239834,0.5,318258,2.00 46,1,2024-09-07 09:32:00:577,849776,849776,0,0,398739100437,4120067828,844184,4862,730,366,391709,0 46,2,2024-09-07 09:32:00:599,609970,609970,0,0,23898845,0,4443 46,3,2024-09-07 09:32:01:131,1,482,1,0,908,5726,482,0 47,0,2024-09-07 09:32:01:100,120938,0.4,121276,0.6,242919,0.4,321465,1.75 47,1,2024-09-07 09:32:00:571,849862,849862,0,0,398176714341,4114895583,844764,4259,839,366,391641,0 47,2,2024-09-07 09:32:00:908,612544,612544,0,0,24104254,0,4477 47,3,2024-09-07 09:32:01:114,1,482,1,0,600,5629,482,0 48,0,2024-09-07 09:32:01:509,121153,0.3,121192,0.4,241167,0.2,321246,1.50 48,1,2024-09-07 09:32:01:022,848102,848102,0,0,398280003052,4138141950,842857,4721,524,384,391710,0 48,2,2024-09-07 09:32:00:699,609819,609819,0,0,22527864,0,3411 48,3,2024-09-07 09:32:00:753,1,482,1,0,339,3806,482,0 49,0,2024-09-07 09:32:01:720,123936,0.4,121787,0.5,236686,0.3,323849,1.75 49,1,2024-09-07 09:32:01:020,847136,847136,0,0,397989662424,4140490931,841589,4337,1210,382,391809,0 49,2,2024-09-07 09:32:01:797,610696,610696,0,0,24460240,0,4426 49,3,2024-09-07 09:32:01:417,1,482,21,0,992,5599,482,0 50,0,2024-09-07 09:32:01:508,121202,0.3,119503,0.5,240732,0.2,320798,1.75 50,1,2024-09-07 09:32:01:010,850038,850038,0,0,399390960568,4131411475,844354,5021,663,368,391565,0 50,2,2024-09-07 09:32:01:068,609780,609780,0,0,22589520,0,4490 50,3,2024-09-07 09:32:01:299,1,482,40,0,617,5301,482,0 51,0,2024-09-07 09:32:01:694,124110,0.3,121559,0.5,236753,0.2,322902,1.75 51,1,2024-09-07 09:32:01:683,850875,850875,0,0,399659256435,4123360533,846345,3477,1053,365,391706,0 51,2,2024-09-07 09:32:01:322,610642,610642,0,0,21763014,0,3337 51,3,2024-09-07 09:32:01:030,1,482,0,0,678,3714,482,0 52,0,2024-09-07 09:32:01:413,121272,0.5,120977,0.7,242271,0.5,321887,2.00 52,1,2024-09-07 09:32:00:577,845740,845740,0,0,397479585754,4164100960,833054,10694,1992,368,391722,0 52,2,2024-09-07 09:32:01:754,606326,606288,38,0,29115155,0,6742 52,3,2024-09-07 09:32:00:680,1,482,1,0,1782,6168,482,0 53,0,2024-09-07 09:32:01:734,120139,0.7,116599,0.8,244002,0.7,320425,2.50 53,1,2024-09-07 09:32:00:770,844193,844193,0,0,397280900389,4171962212,829645,10873,3675,367,391968,0 53,2,2024-09-07 09:32:01:299,609779,609778,1,0,25574384,0,5455 53,3,2024-09-07 09:32:00:698,1,482,12,0,308,4776,482,0 54,0,2024-09-07 09:32:01:615,119211,0.6,119557,0.7,238006,0.4,317937,2.25 54,1,2024-09-07 09:32:00:581,846473,846473,0,0,399052398811,4151750862,837187,7793,1493,366,391810,0 54,2,2024-09-07 09:32:00:865,610384,610352,32,0,29365264,0,6397 54,3,2024-09-07 09:32:00:762,1,482,1,0,676,6717,482,0 55,0,2024-09-07 09:32:01:766,116962,0.6,120529,0.7,244235,0.5,318262,2.50 55,1,2024-09-07 09:32:00:764,847769,847769,0,0,398090775010,4141742661,838351,8125,1293,365,391731,0 55,2,2024-09-07 09:32:00:728,609241,609185,56,0,28371214,0,7239 55,3,2024-09-07 09:32:00:676,1,482,1,0,304,4656,482,0 56,0,2024-09-07 09:32:01:564,123118,1.2,116110,1.1,239276,1.6,320030,2.75 56,1,2024-09-07 09:32:00:575,843441,843441,0,0,396805566100,4187238435,831035,9842,2564,381,391867,0 56,2,2024-09-07 09:32:01:312,609239,609117,122,0,29175050,0,7432 56,3,2024-09-07 09:32:01:065,1,482,1,0,705,5716,482,0 57,0,2024-09-07 09:32:00:964,119062,1.5,119032,1.2,238408,2.1,318739,3.00 57,1,2024-09-07 09:32:01:031,845070,845070,0,0,396705236947,4161313719,834175,8829,2066,366,392032,0 57,2,2024-09-07 09:32:01:318,608435,608435,0,0,29640576,0,4317 57,3,2024-09-07 09:32:01:738,1,482,8,0,455,5515,482,0 58,0,2024-09-07 09:32:00:557,119309,0.9,115879,0.9,242333,1.0,317885,2.50 58,1,2024-09-07 09:32:00:577,845992,845989,0,3,398169259881,4170155609,833611,9610,2768,367,391603,3 58,2,2024-09-07 09:32:01:075,609621,609621,0,0,27774425,0,3483 58,3,2024-09-07 09:32:01:069,1,482,1,0,1043,5256,482,0 59,0,2024-09-07 09:32:01:746,120137,0.8,119793,1.0,239136,0.9,317024,2.75 59,1,2024-09-07 09:32:00:806,845764,845764,0,0,397287834439,4166095694,833418,10109,2237,369,391653,0 59,2,2024-09-07 09:32:00:582,609241,609241,0,0,27407470,0,3727 59,3,2024-09-07 09:32:01:736,1,482,1,0,1015,6304,482,0 60,0,2024-09-07 09:32:01:736,120438,0.5,120570,0.7,241506,0.5,320658,1.75 60,1,2024-09-07 09:32:00:772,848821,848821,0,0,398942383663,4141204410,842902,4990,929,370,392031,0 60,2,2024-09-07 09:32:01:143,609603,609603,0,0,25933855,0,3811 60,3,2024-09-07 09:32:01:266,1,482,8,0,409,5981,482,0 61,0,2024-09-07 09:32:01:495,120178,0.7,120821,0.8,240571,0.7,320629,2.00 61,1,2024-09-07 09:32:00:779,845992,845992,0,0,397822873542,4168736368,836306,8109,1577,382,392127,0 61,2,2024-09-07 09:32:01:119,609341,609274,67,0,26617750,0,6411 61,3,2024-09-07 09:32:01:687,1,482,9,0,479,6544,482,0 62,0,2024-09-07 09:32:01:708,121578,0.6,124583,0.7,237963,0.5,323892,2.00 62,1,2024-09-07 09:32:01:115,850991,850985,0,6,399751225555,4120756152,847037,3684,264,365,391975,6 62,2,2024-09-07 09:32:01:643,608375,608374,1,0,25967144,0,5555 62,3,2024-09-07 09:32:01:143,1,482,8,0,482,4036,482,0 63,0,2024-09-07 09:32:01:457,121010,0.4,120762,0.6,242258,0.4,321675,1.75 63,1,2024-09-07 09:32:00:810,848412,848406,0,6,398937009134,4141089171,843055,4617,734,381,391800,6 63,2,2024-09-07 09:32:00:761,609615,609615,0,0,24655297,0,4369 63,3,2024-09-07 09:32:01:732,1,482,4,0,667,5132,482,0 64,0,2024-09-07 09:32:01:518,120461,0.5,120583,0.7,240636,0.5,319656,2.00 64,1,2024-09-07 09:32:00:758,847724,847724,0,0,397824544806,4145745805,840128,5830,1766,370,391783,0 64,2,2024-09-07 09:32:01:152,613504,613485,19,0,24462787,0,6121 64,3,2024-09-07 09:32:01:141,1,482,3,0,651,5317,482,0 65,0,2024-09-07 09:32:01:737,119965,0.7,120198,0.8,239979,0.7,319897,2.00 65,1,2024-09-07 09:32:00:859,845771,845771,0,0,396785636770,4150472107,839243,5735,793,381,391901,0 65,2,2024-09-07 09:32:01:696,609463,609463,0,0,28466673,0,3367 65,3,2024-09-07 09:32:01:686,1,482,11,0,782,5865,482,0 66,0,2024-09-07 09:32:01:797,120650,0.6,120420,0.8,240679,0.6,320704,2.25 66,1,2024-09-07 09:32:01:300,847757,847757,0,0,398019924529,4146012261,841631,5405,721,380,391743,0 66,2,2024-09-07 09:32:01:144,611301,611298,3,0,25776883,0,5455 66,3,2024-09-07 09:32:01:091,1,482,9,0,291,4276,482,0 67,0,2024-09-07 09:32:01:424,120647,0.6,120391,0.7,241683,0.5,321073,2.25 67,1,2024-09-07 09:32:00:773,847430,847429,0,1,397979215923,4145960789,841380,5240,809,380,391787,1 67,2,2024-09-07 09:32:00:585,611665,611650,15,0,25025760,0,6205 67,3,2024-09-07 09:32:01:754,1,482,6,0,392,4700,482,0 68,0,2024-09-07 09:32:00:559,121115,0.5,121029,0.7,241057,0.5,322342,2.00 68,1,2024-09-07 09:32:00:574,843788,843788,0,0,396135725173,4169446317,831776,8616,3396,381,391953,0 68,2,2024-09-07 09:32:01:046,606539,606439,100,0,32255375,0,8578 68,3,2024-09-07 09:32:00:727,1,482,5,0,417,6299,482,0 69,0,2024-09-07 09:32:01:874,120093,0.7,120870,0.8,240904,0.7,319778,2.25 69,1,2024-09-07 09:32:01:017,843696,843696,0,0,396286399319,4180922098,831824,9115,2757,384,391994,0 69,2,2024-09-07 09:32:01:733,608203,608174,29,0,33441406,0,6912 69,3,2024-09-07 09:32:00:759,1,482,12,0,698,7264,482,0 70,0,2024-09-07 09:32:01:543,119423,0.9,119716,1.0,240927,0.8,318858,2.50 70,1,2024-09-07 09:32:00:807,848309,848309,0,0,399122471543,4143055192,841564,6043,702,366,391725,0 70,2,2024-09-07 09:32:01:328,608506,608506,0,0,28036073,0,4323 70,3,2024-09-07 09:32:00:750,1,482,118,0,854,5538,482,0 71,0,2024-09-07 09:32:01:363,119425,0.9,119204,1.0,239615,1.2,319761,2.75 71,1,2024-09-07 09:32:01:598,845709,845709,0,0,398061467457,4158728358,834725,9796,1188,368,391738,0 71,2,2024-09-07 09:32:01:068,609021,609021,0,0,28494434,0,4352 71,3,2024-09-07 09:32:01:750,1,482,27,0,644,5929,482,0 72,0,2024-09-07 09:32:01:060,124654,0.5,121926,0.7,237712,0.5,323272,2.00 72,1,2024-09-07 09:32:01:025,844938,844938,0,0,396985350301,4165164536,832830,10022,2086,369,391819,0 72,2,2024-09-07 09:32:01:759,608692,608692,0,0,29895141,0,3983 72,3,2024-09-07 09:32:01:760,1,482,6,0,564,7167,482,0 73,0,2024-09-07 09:32:01:110,117540,0.4,120748,0.6,246436,0.4,320333,2.00 73,1,2024-09-07 09:32:00:772,847346,847346,0,0,398054797200,4133524784,841411,5513,422,367,391858,0 73,2,2024-09-07 09:32:01:739,609128,609128,0,0,29786647,0,4291 73,3,2024-09-07 09:32:00:970,1,482,19,0,486,6147,482,0 74,0,2024-09-07 09:32:01:383,121648,0.5,124465,0.7,237345,0.5,322426,2.25 74,1,2024-09-07 09:32:00:637,846551,846551,0,0,397077538155,4144733605,837467,7318,1766,381,391762,0 74,2,2024-09-07 09:32:01:004,608429,608429,0,0,26411922,0,4253 74,3,2024-09-07 09:32:01:445,1,482,0,0,522,5841,482,0 75,0,2024-09-07 09:32:01:773,121249,0.5,120561,0.7,241728,0.5,323135,2.25 75,1,2024-09-07 09:32:01:591,847271,847271,0,0,397790726606,4148539630,839736,6693,842,380,391739,0 75,2,2024-09-07 09:32:01:355,609097,609097,0,0,30713212,0,4766 75,3,2024-09-07 09:32:01:073,1,482,12,0,702,6019,482,0 76,0,2024-09-07 09:32:00:578,119892,0.5,119266,0.7,239236,0.5,319717,2.25 76,1,2024-09-07 09:32:00:816,846154,846154,0,0,397151676650,4142728175,840367,4958,829,382,391692,0 76,2,2024-09-07 09:32:01:062,611714,611711,3,0,26828235,0,5265 76,3,2024-09-07 09:32:01:147,1,482,1,0,227,4588,482,0 77,0,2024-09-07 09:32:01:701,120341,0.5,120794,0.7,241917,0.5,320452,2.00 77,1,2024-09-07 09:32:00:837,847072,847072,0,0,397752771484,4150715946,840535,5976,561,381,391869,0 77,2,2024-09-07 09:32:01:287,609408,609408,0,0,25953477,0,3890 77,3,2024-09-07 09:32:01:102,1,482,41,0,401,5264,482,0 78,0,2024-09-07 09:32:01:722,120982,0.4,120386,0.6,241568,0.4,319900,2.00 78,1,2024-09-07 09:32:00:612,847261,847261,0,0,396708848055,4136665627,837711,7321,2229,367,391670,0 78,2,2024-09-07 09:32:01:411,610786,610773,13,0,24183381,0,8313 78,3,2024-09-07 09:32:01:136,1,482,1,0,181,4026,482,0 79,0,2024-09-07 09:32:01:353,116679,0.4,119571,0.6,244711,0.4,318699,2.25 79,1,2024-09-07 09:32:00:571,849270,849270,0,0,398305661679,4128899492,841974,5945,1351,367,391682,0 79,2,2024-09-07 09:32:01:070,610552,610552,0,0,23350047,0,4195 79,3,2024-09-07 09:32:00:751,1,482,3,0,418,6042,482,0 80,0,2024-09-07 09:32:01:085,120332,0.5,123606,0.7,236761,0.5,320221,2.00 80,1,2024-09-07 09:32:01:626,846454,846454,0,0,397836536834,4144140740,840664,5367,423,368,392269,0 80,2,2024-09-07 09:32:01:093,611518,611518,0,0,24320358,0,4433 80,3,2024-09-07 09:32:00:577,1,482,22,0,190,5496,482,0 81,0,2024-09-07 09:32:01:747,121021,0.5,123947,0.7,236567,0.5,321491,2.00 81,1,2024-09-07 09:32:01:699,845497,845497,0,0,397279601106,4155560848,838338,6522,637,382,391885,0 81,2,2024-09-07 09:32:01:131,609180,609117,63,0,27283202,0,5932 81,3,2024-09-07 09:32:01:117,1,482,12,0,719,5657,482,0 82,0,2024-09-07 09:32:01:584,120598,0.5,120830,0.7,241974,0.5,321230,2.00 82,1,2024-09-07 09:32:00:582,847993,847989,0,4,398298087704,4143663447,842928,4242,819,381,391768,4 82,2,2024-09-07 09:32:01:691,610937,610937,0,0,22631398,0,4484 82,3,2024-09-07 09:32:01:754,1,482,1,0,363,4841,482,0 83,0,2024-09-07 09:32:01:528,120982,0.6,120779,0.8,241011,0.6,320602,2.25 83,1,2024-09-07 09:32:00:559,846102,846102,0,0,397570844505,4146816002,840005,5646,451,382,391709,0 83,2,2024-09-07 09:32:00:764,608921,608896,25,0,24278107,0,5612 83,3,2024-09-07 09:32:00:757,1,482,1,0,1260,5975,482,0 84,0,2024-09-07 09:32:01:764,119849,0.7,120008,0.9,239571,0.6,320555,2.25 84,1,2024-09-07 09:32:01:050,845413,845413,0,0,397354758019,4153845050,836253,7984,1176,367,391967,0 84,2,2024-09-07 09:32:00:571,608585,608555,30,0,32037662,0,5971 84,3,2024-09-07 09:32:01:147,1,482,247,0,908,7193,482,0 85,0,2024-09-07 09:32:01:010,116403,0.6,116327,0.8,246907,0.6,319777,2.25 85,1,2024-09-07 09:32:00:574,843169,843169,0,0,396404019005,4185274277,830376,10613,2180,381,392006,0 85,2,2024-09-07 09:32:00:867,608650,608650,0,0,30235038,0,4255 85,3,2024-09-07 09:32:00:688,1,482,2,0,789,5678,482,0 86,0,2024-09-07 09:32:00:902,120369,0.6,123864,0.8,236879,0.7,320356,2.25 86,1,2024-09-07 09:32:00:825,845430,845430,0,0,397025203427,4162043572,834644,8863,1923,366,391961,0 86,2,2024-09-07 09:32:00:855,607589,607588,1,0,32329455,0,5004 86,3,2024-09-07 09:32:00:587,1,482,1,0,308,7199,482,0 87,0,2024-09-07 09:32:01:288,120778,0.9,120487,0.9,241096,1.1,322082,2.25 87,1,2024-09-07 09:32:00:569,844261,844261,0,0,396680818451,4159906147,832606,9952,1703,366,392076,0 87,2,2024-09-07 09:32:01:075,607769,607763,6,0,28120572,0,6323 87,3,2024-09-07 09:32:01:802,1,482,19,0,473,7329,482,0 88,0,2024-09-07 09:32:01:465,120760,0.4,121417,0.6,242567,0.4,322903,1.75 88,1,2024-09-07 09:32:00:571,844033,844033,0,0,396612098122,4154805203,832667,9137,2229,365,392084,0 88,2,2024-09-07 09:32:00:689,610298,610298,0,0,30571251,0,4465 88,3,2024-09-07 09:32:01:267,1,482,1,0,435,5919,482,0 89,0,2024-09-07 09:32:01:784,124102,0.4,120241,0.6,237683,0.4,322959,1.75 89,1,2024-09-07 09:32:00:560,843917,843917,0,0,397876994953,4182620770,833696,8746,1475,382,391866,0 89,2,2024-09-07 09:32:01:139,609048,609048,0,0,28779192,0,3173 89,3,2024-09-07 09:32:01:796,1,482,15,0,468,8092,482,0 90,0,2024-09-07 09:32:01:638,116958,0.4,120187,0.6,245112,0.4,319542,2.00 90,1,2024-09-07 09:32:00:605,845985,845985,0,0,397246406879,4156566847,838401,6933,651,380,391825,0 90,2,2024-09-07 09:32:01:445,607609,607609,0,0,30834838,0,3608 90,3,2024-09-07 09:32:00:939,1,482,101,0,322,5819,482,0 91,0,2024-09-07 09:32:00:935,120936,0.5,117136,0.6,244844,0.5,321277,1.75 91,1,2024-09-07 09:32:00:586,844339,844339,0,0,397328609024,4176124562,833936,8758,1645,381,392047,0 91,2,2024-09-07 09:32:01:338,610006,610006,0,0,27029651,0,3526 91,3,2024-09-07 09:32:00:622,1,482,10,0,216,4725,482,0 92,0,2024-09-07 09:32:01:448,122369,0.5,125162,0.6,238719,0.5,324025,1.75 92,1,2024-09-07 09:32:00:580,846589,846589,0,0,396848928397,4146261511,840010,5503,1076,381,392136,0 92,2,2024-09-07 09:32:01:351,610988,610988,0,0,24369941,0,3259 92,3,2024-09-07 09:32:01:009,1,482,1,0,167,4227,482,0 93,0,2024-09-07 09:32:00:965,121454,0.4,124483,0.6,237516,0.4,321872,1.75 93,1,2024-09-07 09:32:00:811,846488,846488,0,0,397402173903,4150793506,837024,7764,1700,366,391776,0 93,2,2024-09-07 09:32:00:944,609427,609427,0,0,29946597,0,4913 93,3,2024-09-07 09:32:01:415,1,482,11,0,190,4291,482,0 94,0,2024-09-07 09:32:01:731,120672,0.3,121262,0.5,242407,0.3,321396,1.75 94,1,2024-09-07 09:32:00:570,846410,846410,0,0,398465670857,4157381176,840636,5402,372,381,391850,0 94,2,2024-09-07 09:32:00:771,609792,609764,28,0,25622990,0,6179 94,3,2024-09-07 09:32:01:690,1,482,1,0,576,6188,482,0 95,0,2024-09-07 09:32:01:360,121100,0.3,120743,0.5,242043,0.3,322535,1.75 95,1,2024-09-07 09:32:00:862,848224,848224,0,0,398358464206,4140928087,841450,6293,481,365,391786,0 95,2,2024-09-07 09:32:01:040,609419,609419,0,0,24374593,0,3308 95,3,2024-09-07 09:32:01:724,1,482,2,0,718,7211,482,0 96,0,2024-09-07 09:32:01:042,121297,0.4,121550,0.6,242809,0.4,322710,1.75 96,1,2024-09-07 09:32:01:591,846171,846171,0,0,397525118415,4149258614,839831,5429,911,384,391955,0 96,2,2024-09-07 09:32:01:274,610202,610202,0,0,25244086,0,4180 96,3,2024-09-07 09:32:01:141,1,482,1,0,411,5266,482,0 97,0,2024-09-07 09:32:01:314,120973,0.3,120566,0.5,242188,0.3,321514,1.75 97,1,2024-09-07 09:32:00:763,847821,847821,0,0,399176028285,4141986888,842287,4598,936,367,392140,0 97,2,2024-09-07 09:32:00:607,610419,610419,0,0,24633746,0,3679 97,3,2024-09-07 09:32:00:570,1,482,9,0,242,5810,482,0 98,0,2024-09-07 09:32:01:725,120576,0.3,120913,0.4,242451,0.2,322043,1.50 98,1,2024-09-07 09:32:00:585,847463,847463,0,0,397641916947,4143624198,842144,4525,794,381,391997,0 98,2,2024-09-07 09:32:00:774,610273,610273,0,0,24688422,0,4336 98,3,2024-09-07 09:32:00:705,1,482,1,0,840,7572,482,0 99,0,2024-09-07 09:32:01:448,120711,0.3,121378,0.5,241398,0.3,322290,1.75 99,1,2024-09-07 09:32:01:724,847550,847550,0,0,397851080245,4143239055,841715,4914,921,380,392069,0 99,2,2024-09-07 09:32:01:420,610651,610651,0,0,29699124,0,4276 99,3,2024-09-07 09:32:00:600,1,482,4,0,606,5016,482,0 100,0,2024-09-07 09:32:01:461,120399,0.8,120773,0.9,240633,0.9,321921,2.50 100,1,2024-09-07 09:32:00:726,842277,842277,0,0,395512624602,4185969125,828473,10819,2985,378,391989,0 100,2,2024-09-07 09:32:01:817,606155,606144,11,0,28225238,0,5417 100,3,2024-09-07 09:32:01:731,1,482,2,0,627,8245,482,0 101,0,2024-09-07 09:32:01:700,123085,1.0,119942,1.0,235074,0.9,321506,2.25 101,1,2024-09-07 09:32:00:572,843380,843380,0,0,396401359709,4169319931,831206,9780,2394,368,391847,0 101,2,2024-09-07 09:32:01:756,606171,606171,0,0,33527645,0,4871 101,3,2024-09-07 09:32:00:947,1,482,42,0,1250,7620,482,0 102,0,2024-09-07 09:32:00:992,117521,0.6,121098,0.7,245880,0.6,321613,2.00 102,1,2024-09-07 09:32:01:159,843166,843166,0,0,396406509502,4167963992,831210,9957,1999,369,391891,0 102,2,2024-09-07 09:32:01:736,609805,609751,54,0,28533355,0,6768 102,3,2024-09-07 09:32:01:617,1,482,206,0,466,5664,482,0 103,0,2024-09-07 09:32:01:588,124630,0.5,124609,0.7,234775,0.5,322853,2.00 103,1,2024-09-07 09:32:01:631,842905,842905,0,0,396143435399,4184488678,829264,10444,3197,381,391862,0 103,2,2024-09-07 09:32:00:677,608050,608050,0,0,30014260,0,3766 103,3,2024-09-07 09:32:00:775,1,482,12,0,916,5748,482,0 104,0,2024-09-07 09:32:01:004,119798,0.7,120057,0.9,239111,0.7,320383,2.25 104,1,2024-09-07 09:32:01:599,845227,845227,0,0,396889152429,4171269618,832531,10149,2547,365,392168,0 104,2,2024-09-07 09:32:01:665,606581,606581,0,0,28628643,0,3941 104,3,2024-09-07 09:32:01:427,1,482,0,0,1245,8929,482,0 105,0,2024-09-07 09:32:01:041,119593,0.8,116474,1.0,244143,0.9,321182,2.50 105,1,2024-09-07 09:32:00:559,846521,846521,0,0,397240917674,4162991790,835663,9205,1653,366,392009,0 105,2,2024-09-07 09:32:01:322,608703,608703,0,0,28348672,0,3509 105,3,2024-09-07 09:32:01:304,1,482,12,0,399,7080,482,0 106,0,2024-09-07 09:32:00:979,116350,0.8,119094,0.9,244155,0.8,318507,2.50 106,1,2024-09-07 09:32:01:757,845505,845505,0,0,396334056044,4160516451,833293,10569,1643,368,391914,0 106,2,2024-09-07 09:32:00:760,608721,608721,0,0,27545083,0,2920 106,3,2024-09-07 09:32:00:697,1,482,1,0,470,6244,482,0 107,0,2024-09-07 09:32:01:103,120585,0.8,120821,0.9,241411,0.9,321632,2.00 107,1,2024-09-07 09:32:00:585,843999,843999,0,0,396215114501,4175828534,832465,10432,1102,381,392234,0 107,2,2024-09-07 09:32:01:291,607783,607782,1,0,29801367,0,5024 107,3,2024-09-07 09:32:01:755,1,482,1,0,370,6847,482,0 108,0,2024-09-07 09:32:01:782,120418,0.4,121208,0.6,241007,0.4,321348,1.75 108,1,2024-09-07 09:32:01:299,846210,846210,0,0,396861098781,4139535259,839116,6258,836,367,391857,0 108,2,2024-09-07 09:32:01:755,608471,608471,0,0,27197209,0,4246 108,3,2024-09-07 09:32:01:335,1,482,1,0,749,9548,482,0 109,0,2024-09-07 09:32:01:748,121480,0.4,120558,0.6,241293,0.4,322839,1.75 109,1,2024-09-07 09:32:00:587,843882,843882,0,0,397605825558,4172775696,835877,6794,1211,382,392132,0 109,2,2024-09-07 09:32:00:927,607810,607810,0,0,26425958,0,3617 109,3,2024-09-07 09:32:01:140,1,482,10,0,630,6140,482,0 110,0,2024-09-07 09:32:01:764,120405,0.4,117210,0.6,245652,0.4,321445,1.75 110,1,2024-09-07 09:32:01:649,847969,847969,0,0,398294371277,4133086068,842058,4691,1220,368,392045,0 110,2,2024-09-07 09:32:01:308,610209,610209,0,0,25561770,0,4067 110,3,2024-09-07 09:32:00:699,1,482,6,0,722,7049,482,0 111,0,2024-09-07 09:32:01:413,121461,0.3,120781,0.5,241904,0.3,322796,1.75 111,1,2024-09-07 09:32:00:999,849047,849047,0,0,398899655099,4136518903,844722,3969,356,380,391690,0 111,2,2024-09-07 09:32:01:115,609488,609488,0,0,25725623,0,4823 111,3,2024-09-07 09:32:00:914,1,482,1,0,379,5655,482,0 112,0,2024-09-07 09:32:00:909,121638,0.3,120951,0.4,242587,0.2,322231,1.50 112,1,2024-09-07 09:32:00:824,847527,847527,0,0,398524839386,4139157599,841867,4972,688,380,391624,0 112,2,2024-09-07 09:32:01:137,609356,609355,1,0,24820350,0,5036 112,3,2024-09-07 09:32:00:600,1,482,11,0,282,4835,482,0 113,0,2024-09-07 09:32:00:875,121084,0.3,120995,0.5,242370,0.3,322524,1.75 113,1,2024-09-07 09:32:01:692,850167,850167,0,0,399827699948,4127251705,845350,4009,808,366,391661,0 113,2,2024-09-07 09:32:01:303,611539,611539,0,0,22345660,0,3813 113,3,2024-09-07 09:32:00:688,1,482,1,0,340,5239,482,0 114,0,2024-09-07 09:32:00:884,121121,0.3,122038,0.5,242478,0.2,324122,1.75 114,1,2024-09-07 09:32:00:719,848444,848444,0,0,398286671123,4138058379,841791,5037,1616,381,391565,0 114,2,2024-09-07 09:32:00:874,611616,611615,1,0,23755544,0,5069 114,3,2024-09-07 09:32:01:283,1,482,1,0,395,3903,482,0 115,0,2024-09-07 09:32:00:555,121365,0.3,121816,0.4,243084,0.2,323123,1.50 115,1,2024-09-07 09:32:00:571,848100,848100,0,0,398263444725,4141870649,840810,5933,1357,382,391757,0 115,2,2024-09-07 09:32:01:129,612232,612232,0,0,23932244,0,4382 115,3,2024-09-07 09:32:01:001,1,482,3,0,159,2731,482,0 116,0,2024-09-07 09:32:01:697,120227,0.7,120113,0.8,240759,0.6,321669,2.00 116,1,2024-09-07 09:32:00:813,843767,843767,0,0,396280100506,4178514815,833449,7888,2430,380,392089,0 116,2,2024-09-07 09:32:01:751,608200,608200,0,0,30677201,0,4475 116,3,2024-09-07 09:32:00:913,1,482,65,0,415,6118,482,0 117,0,2024-09-07 09:32:00:951,121020,0.7,120560,0.8,241573,0.8,322494,2.00 117,1,2024-09-07 09:32:01:578,844694,844694,0,0,396799986456,4156415204,835062,8438,1194,369,392033,0 117,2,2024-09-07 09:32:01:117,610371,610371,0,0,26482406,0,4303 117,3,2024-09-07 09:32:01:066,1,482,13,0,490,6897,482,0 118,0,2024-09-07 09:32:01:945,117388,0.5,120650,0.7,245913,0.5,321592,2.00 118,1,2024-09-07 09:32:00:594,844214,844214,0,0,397171797328,4177217563,831203,9895,3116,366,392054,0 118,2,2024-09-07 09:32:01:589,609084,609084,0,0,28922822,0,2842 118,3,2024-09-07 09:32:01:765,1,482,15,0,248,5557,482,0 119,0,2024-09-07 09:32:01:333,120332,0.7,120645,0.8,241286,0.6,321136,2.00 119,1,2024-09-07 09:32:00:575,844659,844659,0,0,397622806338,4168576973,833240,9656,1763,367,391857,0 119,2,2024-09-07 09:32:01:261,609978,609978,0,0,27195774,0,4174 119,3,2024-09-07 09:32:01:333,1,482,1,0,1358,9278,482,0 120,0,2024-09-07 09:32:01:559,119874,0.6,119692,0.8,240273,0.6,320171,2.25 120,1,2024-09-07 09:32:00:861,845495,845495,0,0,396563282169,4157598264,836694,8025,776,368,392144,0 120,2,2024-09-07 09:32:00:769,607983,607982,1,0,31009835,0,5281 120,3,2024-09-07 09:32:01:302,1,482,5,0,279,6160,482,0 121,0,2024-09-07 09:32:01:685,120543,1.3,120056,1.1,240633,1.7,320635,2.25 121,1,2024-09-07 09:32:01:655,846017,846017,0,0,397421773614,4152576426,838386,7078,553,367,391840,0 121,2,2024-09-07 09:32:01:125,608141,608141,0,0,28936368,0,4157 121,3,2024-09-07 09:32:00:734,1,482,330,0,330,5907,482,0 122,0,2024-09-07 09:32:01:774,120530,0.8,117449,0.9,246128,0.9,323834,2.00 122,1,2024-09-07 09:32:00:862,844606,844606,0,0,396736039324,4161388342,833259,9642,1705,366,392130,0 122,2,2024-09-07 09:32:01:321,610538,610465,73,0,32019180,0,5989 122,3,2024-09-07 09:32:00:599,1,482,18,0,512,8485,482,0 123,0,2024-09-07 09:32:00:962,119984,0.9,116877,0.9,244905,1.0,320252,2.25 123,1,2024-09-07 09:32:00:562,844748,844748,0,0,396709372029,4173583085,830761,11541,2446,369,392039,0 123,2,2024-09-07 09:32:01:019,607498,607497,1,0,27413782,0,5215 123,3,2024-09-07 09:32:01:137,1,482,1,0,478,5744,482,0 124,0,2024-09-07 09:32:00:972,124490,0.3,124471,0.5,234629,0.3,322376,1.75 124,1,2024-09-07 09:32:01:023,847622,847622,0,0,397680789607,4135330736,841233,5274,1115,367,392178,0 124,2,2024-09-07 09:32:01:015,610655,610602,53,0,25361989,0,6487 124,3,2024-09-07 09:32:00:759,1,482,1,0,490,4844,482,0 125,0,2024-09-07 09:32:01:423,120875,0.4,120660,0.6,242126,0.4,322441,1.75 125,1,2024-09-07 09:32:00:855,846001,846001,0,0,397255981489,4149100032,839107,5913,981,382,391813,0 125,2,2024-09-07 09:32:01:114,610870,610870,0,0,25626904,0,4534 125,3,2024-09-07 09:32:01:126,1,482,10,0,709,5869,482,0 126,0,2024-09-07 09:32:01:432,121239,0.4,124765,0.6,238655,0.4,323410,1.75 126,1,2024-09-07 09:32:00:551,848810,848810,0,0,399069847092,4131469372,844415,4099,296,365,391987,0 126,2,2024-09-07 09:32:00:614,610538,610538,0,0,25920813,0,4539 126,3,2024-09-07 09:32:00:907,1,482,4,0,268,5554,482,0 127,0,2024-09-07 09:32:01:676,121066,0.3,121468,0.5,242314,0.3,321883,1.75 127,1,2024-09-07 09:32:00:573,847573,847573,0,0,397535125754,4137959763,838850,7261,1462,364,392187,0 127,2,2024-09-07 09:32:00:643,608849,608845,4,0,24668006,0,5305 127,3,2024-09-07 09:32:01:268,1,482,2,0,968,4952,482,0 128,0,2024-09-07 09:32:01:527,121479,0.3,121579,0.4,242784,0.2,322947,1.50 128,1,2024-09-07 09:32:01:607,846491,846491,0,0,399107732600,4146516364,840414,5349,728,367,392031,0 128,2,2024-09-07 09:32:01:386,612136,612136,0,0,23471023,0,3171 128,3,2024-09-07 09:32:00:778,1,482,1,0,1082,7865,482,0 129,0,2024-09-07 09:32:01:005,121698,0.3,121172,0.5,242717,0.3,322710,1.50 129,1,2024-09-07 09:32:00:574,844343,844343,0,0,396109986134,4152771177,835841,6767,1735,379,391962,0 129,2,2024-09-07 09:32:00:699,610826,610822,4,0,25603653,0,5335 129,3,2024-09-07 09:32:00:691,1,482,10,0,506,7029,482,0 130,0,2024-09-07 09:32:01:714,121438,0.5,120974,0.6,243110,0.5,323544,1.75 130,1,2024-09-07 09:32:00:604,847889,847889,0,0,397417263340,4131474686,843217,4286,386,381,391825,0 130,2,2024-09-07 09:32:01:124,609584,609584,0,0,24988319,0,4067 130,3,2024-09-07 09:32:01:290,1,482,2,0,960,7064,482,0 131,0,2024-09-07 09:32:01:927,120764,0.4,121018,0.5,242759,0.3,322229,1.75 131,1,2024-09-07 09:32:01:820,846911,846911,0,0,397688428913,4152679753,840100,5620,1191,381,391865,0 131,2,2024-09-07 09:32:00:579,611538,611538,0,0,23276596,0,3979 131,3,2024-09-07 09:32:01:699,1,482,2,0,392,5814,482,0 132,0,2024-09-07 09:32:01:424,120737,0.4,121980,0.6,242444,0.4,322747,1.75 132,1,2024-09-07 09:32:00:578,843434,843434,0,0,397017072180,4179943968,831100,10145,2189,381,392532,0 132,2,2024-09-07 09:32:00:700,609620,609603,17,0,31103430,0,6451 132,3,2024-09-07 09:32:01:688,1,482,14,0,804,8420,482,0 133,0,2024-09-07 09:32:01:550,117557,0.4,120363,0.6,246843,0.4,320984,1.75 133,1,2024-09-07 09:32:00:587,843344,843344,0,0,396242332942,4177581168,831544,10176,1624,383,391914,0 133,2,2024-09-07 09:32:01:087,609491,609441,50,0,31550889,0,6861 133,3,2024-09-07 09:32:01:306,1,482,11,0,479,5456,482,0 134,0,2024-09-07 09:32:00:943,121005,0.5,120812,0.7,241986,0.5,322554,2.00 134,1,2024-09-07 09:32:00:585,844457,844457,0,0,396777064295,4160539277,833709,8658,2090,366,391718,0 134,2,2024-09-07 09:32:01:761,607360,607336,24,0,29463704,0,6207 134,3,2024-09-07 09:32:00:751,1,482,43,0,739,6103,482,0 135,0,2024-09-07 09:32:01:103,117052,0.7,116986,0.8,248398,0.8,320352,2.25 135,1,2024-09-07 09:32:01:587,844644,844644,0,0,397423292009,4179535828,833746,9498,1400,380,391805,0 135,2,2024-09-07 09:32:00:687,609873,609873,0,0,29417929,0,4503 135,3,2024-09-07 09:32:01:002,1,482,1,0,299,4122,482,0 136,0,2024-09-07 09:32:01:623,121024,0.5,121292,0.7,241537,0.5,321604,2.00 136,1,2024-09-07 09:32:01:448,846102,846102,0,0,396748716100,4158924898,836607,8320,1175,381,392135,0 136,2,2024-09-07 09:32:01:136,610988,610988,0,0,27147610,0,3506 136,3,2024-09-07 09:32:01:105,1,482,3,0,637,5497,482,0 137,0,2024-09-07 09:32:00:932,124664,0.6,121413,0.7,238137,0.5,322847,2.00 137,1,2024-09-07 09:32:00:580,844474,844474,0,0,396302298451,4155940711,831549,10256,2669,366,391898,0 137,2,2024-09-07 09:32:01:705,608373,608373,0,0,30347752,0,3185 137,3,2024-09-07 09:32:00:773,1,482,7,0,484,6195,482,0 138,0,2024-09-07 09:32:01:758,120107,0.9,120152,0.9,241217,1.1,319912,2.25 138,1,2024-09-07 09:32:01:687,845324,845324,0,0,397596797142,4169383004,833603,9844,1877,368,391954,0 138,2,2024-09-07 09:32:00:594,608202,608202,0,0,28157181,0,4988 138,3,2024-09-07 09:32:00:612,1,482,1,0,1200,7824,482,0 139,0,2024-09-07 09:32:01:361,119992,1.3,120168,1.0,240569,2.0,321553,2.50 139,1,2024-09-07 09:32:00:592,840803,840803,0,0,394026125170,4183235628,825227,12128,3448,380,392058,0 139,2,2024-09-07 09:32:00:693,605573,605573,0,0,31177611,0,3097 139,3,2024-09-07 09:32:01:663,1,482,2,0,432,5602,482,0 140,0,2024-09-07 09:32:01:598,121186,0.3,120363,0.5,241599,0.2,321703,1.75 140,1,2024-09-07 09:32:01:541,850058,850058,0,0,399398503240,4117436914,846010,3587,461,365,391606,0 140,2,2024-09-07 09:32:00:695,610877,610876,1,0,23949111,0,5036 140,3,2024-09-07 09:32:00:774,1,482,1,0,297,4610,482,0 141,0,2024-09-07 09:32:01:698,121415,0.3,124533,0.5,237707,0.2,322473,1.50 141,1,2024-09-07 09:32:00:859,848377,848377,0,0,398575465006,4142143487,841518,5774,1085,379,391614,0 141,2,2024-09-07 09:32:01:686,610056,610045,11,0,25386130,0,5369 141,3,2024-09-07 09:32:01:043,1,482,8,0,391,5340,482,0 142,0,2024-09-07 09:32:01:327,121543,0.3,120829,0.5,242106,0.3,322923,1.75 142,1,2024-09-07 09:32:00:587,846436,846436,0,0,397448637547,4143758335,840901,5079,456,382,392102,0 142,2,2024-09-07 09:32:01:304,609217,609185,32,0,26008552,0,6028 142,3,2024-09-07 09:32:01:745,1,482,1,0,484,5202,482,0 143,0,2024-09-07 09:32:01:375,120944,0.4,121024,0.6,242569,0.4,322581,1.75 143,1,2024-09-07 09:32:00:560,848290,848290,0,0,398791257616,4139451698,842541,5198,551,367,391705,0 143,2,2024-09-07 09:32:00:887,610088,610088,0,0,25030819,0,3123 143,3,2024-09-07 09:32:01:142,1,482,2,0,462,6209,482,0 144,0,2024-09-07 09:32:01:503,117198,0.6,120528,0.8,245277,0.5,321419,2.00 144,1,2024-09-07 09:32:00:565,844273,844273,0,0,395680167251,4154955734,835458,6912,1903,381,391733,0 144,2,2024-09-07 09:32:01:764,610906,610906,0,0,24019145,0,3673 144,3,2024-09-07 09:32:01:743,1,482,13,0,249,4873,482,0 145,0,2024-09-07 09:32:01:357,117075,0.5,117105,0.8,248257,0.5,319894,2.25 145,1,2024-09-07 09:32:00:640,842918,842918,0,0,396039419017,4168308082,831642,9204,2072,382,391759,0 145,2,2024-09-07 09:32:01:429,607596,607514,82,0,30066088,0,7814 145,3,2024-09-07 09:32:00:896,1,482,1,0,622,6768,482,0 146,0,2024-09-07 09:32:01:614,120500,0.6,120015,0.7,241164,0.5,319993,2.25 146,1,2024-09-07 09:32:01:594,845164,845164,0,0,396438725842,4167517192,831813,9822,3529,367,391770,0 146,2,2024-09-07 09:32:01:695,608005,608005,0,0,27793400,0,3290 146,3,2024-09-07 09:32:01:274,1,482,0,0,1520,8429,482,0 147,0,2024-09-07 09:32:01:702,121015,0.6,120745,0.8,240901,0.6,321996,2.25 147,1,2024-09-07 09:32:01:372,848058,848058,0,0,398709675412,4144693265,841073,6135,850,367,391791,0 147,2,2024-09-07 09:32:01:015,607983,607983,0,0,25151648,0,2968 147,3,2024-09-07 09:32:00:916,1,482,19,0,1626,8158,482,0 0,0,2024-09-07 09:32:11:706,117204,0.6,117196,0.7,248619,0.6,321080,2.00 0,1,2024-09-07 09:32:10:802,846645,846645,0,0,398554670873,4176633968,839180,6628,837,369,391896,0 0,2,2024-09-07 09:32:11:071,611582,611582,0,0,25429463,0,4480 0,3,2024-09-07 09:32:10:977,1,483,2,0,431,6940,483,0 1,0,2024-09-07 09:32:11:755,120405,1.0,119912,1.0,240348,1.4,321148,2.00 1,1,2024-09-07 09:32:10:557,846363,846363,0,0,396882627779,4161116741,838008,6890,1465,370,391859,0 1,2,2024-09-07 09:32:10:652,610253,610253,0,0,24781640,0,3380 1,3,2024-09-07 09:32:11:304,1,483,9,0,269,6270,483,0 2,0,2024-09-07 09:32:11:572,121317,0.6,121255,0.7,242444,0.6,323542,2.00 2,1,2024-09-07 09:32:10:859,849277,849277,0,0,399352971445,4150811967,844800,3675,802,380,391745,0 2,2,2024-09-07 09:32:11:266,612403,612403,0,0,23184099,0,3594 2,3,2024-09-07 09:32:10:690,1,483,1,0,357,4357,483,0 3,0,2024-09-07 09:32:11:744,120563,0.4,120435,0.6,240545,0.4,320491,2.00 3,1,2024-09-07 09:32:11:618,847944,847944,0,0,398587923134,4151278593,840967,6282,695,379,391716,0 3,2,2024-09-07 09:32:11:142,611299,611276,23,0,24640041,0,5851 3,3,2024-09-07 09:32:11:754,1,483,2,0,207,3103,483,0 4,0,2024-09-07 09:32:11:785,117376,0.4,120583,0.5,245975,0.3,321397,1.75 4,1,2024-09-07 09:32:10:621,844473,844473,0,0,396719917725,4203933047,829156,11802,3515,370,391992,0 4,2,2024-09-07 09:32:11:019,608086,608086,0,0,30127760,0,4534 4,3,2024-09-07 09:32:11:027,1,483,10,0,448,6406,483,0 5,0,2024-09-07 09:32:11:379,120843,0.4,121461,0.6,242360,0.4,322274,1.75 5,1,2024-09-07 09:32:10:758,846038,846038,0,0,397736328158,4189157110,833742,9901,2395,367,392005,0 5,2,2024-09-07 09:32:11:829,607786,607786,0,0,29080490,0,3582 5,3,2024-09-07 09:32:11:732,1,483,9,0,457,6836,483,0 6,0,2024-09-07 09:32:10:920,121579,0.5,121138,0.7,242560,0.5,323886,2.00 6,1,2024-09-07 09:32:10:750,848018,848018,0,0,397342199154,4153101442,838897,7678,1443,379,391702,0 6,2,2024-09-07 09:32:11:117,610688,610670,18,0,27703804,0,5535 6,3,2024-09-07 09:32:11:278,1,483,1,0,710,6087,483,0 7,0,2024-09-07 09:32:11:536,120186,0.6,121144,0.7,241085,0.5,320802,2.00 7,1,2024-09-07 09:32:10:852,845696,845696,0,0,397076089910,4174689828,832945,10522,2229,382,391747,0 7,2,2024-09-07 09:32:10:774,611184,611184,0,0,27158683,0,4791 7,3,2024-09-07 09:32:10:850,1,483,1,0,552,5608,483,0 8,0,2024-09-07 09:32:11:431,121458,0.3,121133,0.5,242984,0.3,323573,1.75 8,1,2024-09-07 09:32:11:020,845213,845213,0,0,396885884073,4172737027,832112,10248,2853,366,392853,0 8,2,2024-09-07 09:32:10:804,606910,606910,0,0,31838032,0,3250 8,3,2024-09-07 09:32:10:600,1,483,9,0,538,7606,483,0 9,0,2024-09-07 09:32:11:102,120930,0.4,117687,0.5,246258,0.3,322791,1.75 9,1,2024-09-07 09:32:10:564,844475,844475,0,0,396700391915,4177695472,832107,9899,2469,369,392001,0 9,2,2024-09-07 09:32:11:084,609689,609689,0,0,28994229,0,4615 9,3,2024-09-07 09:32:11:753,1,483,7,0,1273,8277,483,0 10,0,2024-09-07 09:32:11:603,121085,0.3,120710,0.5,242287,0.3,322955,1.75 10,1,2024-09-07 09:32:10:583,847520,847520,0,0,397515828581,4165856593,835978,9602,1940,381,391741,0 10,2,2024-09-07 09:32:10:766,609590,609590,0,0,31384219,0,4264 10,3,2024-09-07 09:32:10:871,1,483,24,0,649,5096,483,0 11,0,2024-09-07 09:32:11:009,120788,0.4,117218,0.6,244933,0.4,322828,1.75 11,1,2024-09-07 09:32:10:573,847585,847585,0,0,397990626227,4175230334,834790,9675,3120,383,391756,0 11,2,2024-09-07 09:32:11:123,610427,610427,0,0,27732880,0,4130 11,3,2024-09-07 09:32:11:304,1,483,1,0,843,6601,483,0 12,0,2024-09-07 09:32:11:008,121896,0.4,121852,0.5,243686,0.3,323924,1.75 12,1,2024-09-07 09:32:10:953,847349,847349,0,0,397525506385,4147265315,840554,6136,659,370,391960,0 12,2,2024-09-07 09:32:11:543,610918,610918,0,0,27633596,0,4390 12,3,2024-09-07 09:32:11:059,1,483,9,0,386,6733,483,0 13,0,2024-09-07 09:32:11:350,121771,0.4,121367,0.6,242065,0.4,322802,1.75 13,1,2024-09-07 09:32:11:527,845662,845662,0,0,397398672287,4179051072,836582,6929,2151,382,391740,0 13,2,2024-09-07 09:32:10:601,612335,612335,0,0,25056524,0,3287 13,3,2024-09-07 09:32:11:769,1,483,11,0,522,6953,483,0 14,0,2024-09-07 09:32:10:561,120997,0.4,122047,0.6,241712,0.4,322457,1.75 14,1,2024-09-07 09:32:11:564,851403,851403,0,0,399672903602,4137804384,844860,5829,714,364,391673,0 14,2,2024-09-07 09:32:10:766,609673,609643,30,0,26236007,0,6104 14,3,2024-09-07 09:32:11:115,1,483,1,0,1168,5196,483,0 15,0,2024-09-07 09:32:11:557,121005,0.4,120755,0.6,242380,0.4,322837,2.00 15,1,2024-09-07 09:32:11:608,848548,848548,0,0,398611930529,4151861039,841530,5563,1455,381,391619,0 15,2,2024-09-07 09:32:11:000,613180,613180,0,0,22110990,0,3622 15,3,2024-09-07 09:32:11:417,1,483,0,0,1126,7235,483,0 16,0,2024-09-07 09:32:10:960,120594,0.5,121364,0.7,241689,0.5,321292,2.00 16,1,2024-09-07 09:32:10:575,847858,847858,0,0,398236710681,4166888935,839694,6868,1296,370,391917,0 16,2,2024-09-07 09:32:11:448,610779,610779,0,0,26614890,0,4719 16,3,2024-09-07 09:32:11:147,1,483,4,0,358,6308,483,0 17,0,2024-09-07 09:32:11:823,124591,0.6,121571,0.7,238082,0.6,322835,2.00 17,1,2024-09-07 09:32:10:577,846381,846381,0,0,397286409036,4171483238,837488,7148,1745,368,392075,0 17,2,2024-09-07 09:32:11:668,612780,612779,1,0,27117520,0,5050 17,3,2024-09-07 09:32:10:576,1,483,17,0,518,7477,483,0 18,0,2024-09-07 09:32:10:955,119521,0.7,120064,0.8,239478,0.7,319277,2.25 18,1,2024-09-07 09:32:11:638,850124,850124,0,0,399317003259,4143110813,844624,4643,857,367,391725,0 18,2,2024-09-07 09:32:11:764,612431,612431,0,0,24059452,0,3541 18,3,2024-09-07 09:32:10:900,1,483,1,0,1059,4824,483,0 19,0,2024-09-07 09:32:11:546,120794,0.6,121104,0.8,240873,0.6,321022,2.25 19,1,2024-09-07 09:32:10:565,850083,850083,0,0,399213852404,4142485435,843265,5734,1084,367,391777,0 19,2,2024-09-07 09:32:11:754,613659,613659,0,0,22433064,0,3988 19,3,2024-09-07 09:32:11:129,1,483,1,0,524,4013,483,0 20,0,2024-09-07 09:32:11:394,120552,0.5,120693,0.7,240852,0.5,321142,2.00 20,1,2024-09-07 09:32:10:574,847026,847026,0,0,398164739772,4173140829,838113,7553,1360,369,391922,0 20,2,2024-09-07 09:32:10:938,610309,610309,0,0,26783962,0,3721 20,3,2024-09-07 09:32:10:594,1,483,210,0,468,8562,483,0 21,0,2024-09-07 09:32:11:137,121174,0.4,121350,0.6,242566,0.4,321900,1.75 21,1,2024-09-07 09:32:11:550,844694,844694,0,0,397066250827,4193802494,830831,10749,3114,368,392016,0 21,2,2024-09-07 09:32:11:071,607982,607962,20,0,32122097,0,5617 21,3,2024-09-07 09:32:11:404,1,483,8,0,713,6385,483,0 22,0,2024-09-07 09:32:11:718,121058,0.5,121547,0.7,242702,0.4,321949,2.00 22,1,2024-09-07 09:32:11:023,845939,845939,0,0,396994967781,4182227384,831354,11385,3200,382,391822,0 22,2,2024-09-07 09:32:10:764,609372,609346,26,0,27051161,0,6328 22,3,2024-09-07 09:32:11:070,1,483,91,0,228,4153,483,0 23,0,2024-09-07 09:32:11:368,120897,0.5,120384,0.7,240936,0.5,321406,2.25 23,1,2024-09-07 09:32:11:006,847412,847412,0,0,399012954552,4190338240,832518,10620,4274,365,391690,0 23,2,2024-09-07 09:32:11:092,611964,611964,0,0,25338513,0,3773 23,3,2024-09-07 09:32:11:755,1,483,1,0,720,6360,483,0 24,0,2024-09-07 09:32:10:860,122293,0.4,121401,0.5,244095,0.4,324652,1.75 24,1,2024-09-07 09:32:10:592,846247,846247,0,0,397100617495,4159614491,837634,6950,1663,367,392269,0 24,2,2024-09-07 09:32:11:071,611086,611086,0,0,29583038,0,3607 24,3,2024-09-07 09:32:11:686,1,483,2,0,468,6363,483,0 25,0,2024-09-07 09:32:11:389,124608,0.4,121430,0.6,238215,0.4,324462,1.75 25,1,2024-09-07 09:32:10:557,845184,845184,0,0,397889439336,4196150085,831222,11215,2747,369,391928,0 25,2,2024-09-07 09:32:11:607,609589,609589,0,0,31297450,0,3978 25,3,2024-09-07 09:32:11:005,1,483,13,0,532,5407,483,0 26,0,2024-09-07 09:32:11:723,120355,0.4,117744,0.6,246998,0.4,321147,1.75 26,1,2024-09-07 09:32:11:541,848580,848580,0,0,397785747254,4167942837,836223,9944,2413,380,391748,0 26,2,2024-09-07 09:32:10:861,610478,610478,0,0,32694663,0,4689 26,3,2024-09-07 09:32:11:714,1,483,1,0,796,5584,483,0 27,0,2024-09-07 09:32:11:734,121215,0.4,121519,0.6,241669,0.4,322418,2.00 27,1,2024-09-07 09:32:11:678,849345,849345,0,0,399542560987,4162474877,841050,7033,1262,381,391626,0 27,2,2024-09-07 09:32:10:866,607330,607265,65,0,28098062,0,5699 27,3,2024-09-07 09:32:11:014,1,483,1,0,564,4391,483,0 28,0,2024-09-07 09:32:11:394,121740,0.4,121176,0.6,243297,0.3,324271,1.75 28,1,2024-09-07 09:32:10:806,848935,848935,0,0,399418272371,4166619610,841440,5795,1700,382,391698,0 28,2,2024-09-07 09:32:11:770,611775,611775,0,0,25007179,0,2915 28,3,2024-09-07 09:32:11:781,1,483,1,0,502,4993,483,0 29,0,2024-09-07 09:32:11:357,124162,0.4,120952,0.6,237242,0.3,323375,1.75 29,1,2024-09-07 09:32:11:566,851757,851757,0,0,400237573950,4141496505,846441,4557,759,368,391809,0 29,2,2024-09-07 09:32:10:867,610923,610923,0,0,24406084,0,4986 29,3,2024-09-07 09:32:10:962,1,483,9,0,459,5515,483,0 30,0,2024-09-07 09:32:11:458,120428,0.5,116967,0.7,244746,0.5,319942,2.00 30,1,2024-09-07 09:32:10:572,850505,850505,0,0,400061108183,4154007566,843860,5796,849,380,391672,0 30,2,2024-09-07 09:32:11:274,611269,611269,0,0,23910803,0,4192 30,3,2024-09-07 09:32:10:581,1,483,1,0,519,4899,483,0 31,0,2024-09-07 09:32:11:762,120148,0.5,120581,0.7,240806,0.4,321243,2.00 31,1,2024-09-07 09:32:10:567,853418,853418,0,0,400888672969,4113292823,849084,3533,801,356,391712,0 31,2,2024-09-07 09:32:11:278,608891,608891,0,0,26186276,0,4470 31,3,2024-09-07 09:32:11:707,1,483,1,0,220,4094,483,0 32,0,2024-09-07 09:32:11:419,121710,0.3,122450,0.5,243684,0.3,325317,1.75 32,1,2024-09-07 09:32:10:891,849374,849374,0,0,398927813019,4149696643,843850,4817,707,381,391646,0 32,2,2024-09-07 09:32:10:943,612510,612510,0,0,22913708,0,3922 32,3,2024-09-07 09:32:11:015,1,483,1,0,304,3966,483,0 33,0,2024-09-07 09:32:11:491,121164,0.3,120710,0.4,241634,0.2,321605,1.50 33,1,2024-09-07 09:32:10:575,850498,850498,0,0,399901200772,4147000303,843283,6043,1172,368,391730,0 33,2,2024-09-07 09:32:10:766,611673,611638,35,0,25654291,0,7012 33,3,2024-09-07 09:32:10:895,1,483,9,0,329,4640,483,0 34,0,2024-09-07 09:32:10:939,121314,0.3,124660,0.4,238201,0.2,320658,1.75 34,1,2024-09-07 09:32:11:044,852199,852199,0,0,400741117176,4122742354,849822,2355,22,367,391562,0 34,2,2024-09-07 09:32:10:766,612400,612400,0,0,24025968,0,4562 34,3,2024-09-07 09:32:11:688,1,483,3,0,320,3892,483,0 35,0,2024-09-07 09:32:10:858,120303,0.3,120955,0.5,243093,0.3,323111,1.75 35,1,2024-09-07 09:32:11:072,849569,849569,0,0,399648685176,4145725657,843949,4564,1056,382,391769,0 35,2,2024-09-07 09:32:11:589,610555,610555,0,0,26305708,0,4055 35,3,2024-09-07 09:32:10:907,1,483,1,0,418,4753,483,0 36,0,2024-09-07 09:32:11:519,121841,0.5,121836,0.7,243413,0.4,324144,2.25 36,1,2024-09-07 09:32:10:593,848695,848695,0,0,397624793182,4156104701,837228,9302,2165,366,391759,0 36,2,2024-09-07 09:32:11:765,611495,611495,0,0,27319404,0,3875 36,3,2024-09-07 09:32:10:863,1,483,2,0,416,6910,483,0 37,0,2024-09-07 09:32:11:369,120439,0.5,120414,0.7,241197,0.5,321214,2.25 37,1,2024-09-07 09:32:10:572,847178,847171,0,7,398054340074,4160148159,836434,8146,2591,365,391770,0 37,2,2024-09-07 09:32:11:142,609068,609053,15,0,27643187,0,5815 37,3,2024-09-07 09:32:11:767,1,483,8,0,888,7058,483,0 38,0,2024-09-07 09:32:11:436,120598,0.4,117012,0.6,244834,0.4,320786,2.00 38,1,2024-09-07 09:32:11:606,848632,848632,0,0,399127743010,4175907200,835838,10283,2511,368,391821,0 38,2,2024-09-07 09:32:10:764,610401,610354,47,0,27979147,0,6710 38,3,2024-09-07 09:32:10:998,1,483,0,0,689,6499,483,0 39,0,2024-09-07 09:32:11:760,123870,0.6,121131,0.7,236097,0.5,322042,2.00 39,1,2024-09-07 09:32:10:715,847064,847064,0,0,396885140958,4170848826,831440,12189,3435,365,391658,0 39,2,2024-09-07 09:32:11:426,611065,611065,0,0,26302030,0,3391 39,3,2024-09-07 09:32:10:716,1,483,3,0,525,5878,483,0 40,0,2024-09-07 09:32:11:497,119852,0.8,120594,0.9,240552,0.8,320982,2.75 40,1,2024-09-07 09:32:10:576,848741,848741,0,0,397089267930,4156022712,837043,9529,2169,368,391668,0 40,2,2024-09-07 09:32:11:303,608880,608879,1,0,30009530,0,5137 40,3,2024-09-07 09:32:11:147,1,483,0,0,1028,6347,483,0 41,0,2024-09-07 09:32:11:047,120333,1.1,123069,1.1,234766,1.6,319517,2.75 41,1,2024-09-07 09:32:10:768,847358,847358,0,0,397980108696,4165598784,836350,9299,1709,369,391742,0 41,2,2024-09-07 09:32:10:767,609184,609184,0,0,28745899,0,4277 41,3,2024-09-07 09:32:11:677,1,483,8,0,366,5035,483,0 42,0,2024-09-07 09:32:11:475,120424,0.8,120109,1.0,240635,0.9,318791,2.50 42,1,2024-09-07 09:32:11:440,844774,844774,0,0,396837687383,4175625845,830249,11666,2859,380,391675,0 42,2,2024-09-07 09:32:11:134,610333,610333,0,0,28511891,0,3790 42,3,2024-09-07 09:32:11:009,1,483,4,0,892,4538,483,0 43,0,2024-09-07 09:32:10:917,119746,0.7,116614,0.9,243947,0.7,319918,2.25 43,1,2024-09-07 09:32:10:575,848260,848260,0,0,397974014856,4160141191,836187,10097,1976,366,391696,0 43,2,2024-09-07 09:32:11:736,611490,611490,0,0,28116104,0,3812 43,3,2024-09-07 09:32:11:748,1,483,13,0,571,6844,483,0 44,0,2024-09-07 09:32:10:861,121140,0.4,121170,0.6,242896,0.4,323052,2.00 44,1,2024-09-07 09:32:10:567,850323,850323,0,0,399512583132,4130085978,842830,5944,1549,356,391809,0 44,2,2024-09-07 09:32:11:267,607918,607918,0,0,23576413,0,4344 44,3,2024-09-07 09:32:11:092,1,483,4,0,817,5442,483,0 45,0,2024-09-07 09:32:11:764,119769,0.5,117066,0.7,245629,0.4,322795,2.00 45,1,2024-09-07 09:32:11:005,849232,849232,0,0,399878311134,4158027769,842051,6315,866,382,391917,0 45,2,2024-09-07 09:32:11:269,612755,612755,0,0,23753181,0,3596 45,3,2024-09-07 09:32:10:936,1,483,7,0,271,4317,483,0 46,0,2024-09-07 09:32:10:950,120196,0.5,119615,0.7,240085,0.5,318580,2.00 46,1,2024-09-07 09:32:10:575,851535,851535,0,0,399764232792,4130527000,845943,4862,730,366,391709,0 46,2,2024-09-07 09:32:10:593,611504,611504,0,0,23952494,0,4443 46,3,2024-09-07 09:32:11:131,1,483,1,0,908,5727,483,0 47,0,2024-09-07 09:32:11:102,121057,0.4,121406,0.6,243177,0.4,321785,1.75 47,1,2024-09-07 09:32:10:593,851594,851594,0,0,398843447818,4121739414,846496,4259,839,366,391641,0 47,2,2024-09-07 09:32:10:911,613610,613610,0,0,24162964,0,4477 47,3,2024-09-07 09:32:11:122,1,483,2,0,600,5631,483,0 48,0,2024-09-07 09:32:11:506,121161,0.3,121206,0.4,241199,0.2,321246,1.50 48,1,2024-09-07 09:32:11:022,849591,849591,0,0,398955369472,4145568730,844283,4783,525,384,391710,0 48,2,2024-09-07 09:32:10:707,610749,610749,0,0,22596433,0,3411 48,3,2024-09-07 09:32:10:753,1,483,2,0,339,3808,483,0 49,0,2024-09-07 09:32:11:731,124303,0.4,122176,0.5,237348,0.3,324769,1.75 49,1,2024-09-07 09:32:11:021,848818,848818,0,0,398749838682,4148733640,843261,4347,1210,382,391809,0 49,2,2024-09-07 09:32:11:797,612269,612269,0,0,24609504,0,4426 49,3,2024-09-07 09:32:11:425,1,483,11,0,992,5610,483,0 50,0,2024-09-07 09:32:11:507,121296,0.3,119611,0.5,240899,0.2,321041,1.75 50,1,2024-09-07 09:32:11:010,851726,851726,0,0,400324134309,4141243277,846042,5021,663,368,391565,0 50,2,2024-09-07 09:32:11:071,610997,610997,0,0,22703687,0,4490 50,3,2024-09-07 09:32:11:295,1,483,4,0,617,5305,483,0 51,0,2024-09-07 09:32:11:686,124335,0.3,121784,0.5,237200,0.2,323503,1.75 51,1,2024-09-07 09:32:11:679,852721,852721,0,0,400628567358,4133358447,848191,3477,1053,365,391706,0 51,2,2024-09-07 09:32:11:317,611718,611718,0,0,21834163,0,3337 51,3,2024-09-07 09:32:11:028,1,483,1,0,678,3715,483,0 52,0,2024-09-07 09:32:11:426,121593,0.5,121306,0.7,242920,0.5,322859,2.00 52,1,2024-09-07 09:32:10:574,847632,847632,0,0,398335171904,4174781614,834563,10965,2104,368,391722,0 52,2,2024-09-07 09:32:11:762,607625,607587,38,0,29599662,0,6742 52,3,2024-09-07 09:32:10:680,1,483,1,0,1782,6169,483,0 53,0,2024-09-07 09:32:11:732,120424,0.7,116859,0.8,244561,0.8,321089,2.50 53,1,2024-09-07 09:32:10:775,845727,845727,0,0,398165471989,4182450548,830931,11082,3714,367,391968,0 53,2,2024-09-07 09:32:11:299,610997,610996,1,0,25725167,0,5455 53,3,2024-09-07 09:32:10:700,1,483,9,0,308,4785,483,0 54,0,2024-09-07 09:32:11:623,119598,0.6,119970,0.8,238452,0.4,318855,2.25 54,1,2024-09-07 09:32:10:580,848173,848173,0,0,399852624422,4160170453,838887,7793,1493,366,391810,0 54,2,2024-09-07 09:32:10:867,611461,611429,32,0,29476522,0,6397 54,3,2024-09-07 09:32:10:773,1,483,1,0,676,6718,483,0 55,0,2024-09-07 09:32:11:761,117262,0.6,120851,0.7,244862,0.5,319036,2.50 55,1,2024-09-07 09:32:10:768,849546,849546,0,0,398634644597,4148006309,840073,8177,1296,365,391731,0 55,2,2024-09-07 09:32:10:734,610714,610658,56,0,28501565,0,7239 55,3,2024-09-07 09:32:10:682,1,483,1,0,304,4657,483,0 56,0,2024-09-07 09:32:11:568,123295,1.2,116258,1.1,239559,1.6,320493,2.75 56,1,2024-09-07 09:32:10:578,845146,845146,0,0,397454476222,4195336852,832437,10107,2602,381,391867,0 56,2,2024-09-07 09:32:11:304,610529,610407,122,0,29356934,0,7432 56,3,2024-09-07 09:32:11:073,1,483,5,0,705,5721,483,0 57,0,2024-09-07 09:32:10:960,119326,1.5,119277,1.2,238896,2.1,319438,3.00 57,1,2024-09-07 09:32:10:987,846756,846756,0,0,397329320324,4168516687,835710,8979,2067,366,392032,0 57,2,2024-09-07 09:32:11:322,609200,609200,0,0,29693559,0,4317 57,3,2024-09-07 09:32:11:738,1,483,25,0,455,5540,483,0 58,0,2024-09-07 09:32:10:554,119696,0.9,116236,0.9,243159,1.0,319030,2.50 58,1,2024-09-07 09:32:10:583,847757,847754,0,3,399030061062,4179172043,835376,9610,2768,367,391603,3 58,2,2024-09-07 09:32:11:071,611000,611000,0,0,28111125,0,3483 58,3,2024-09-07 09:32:11:074,1,483,2,0,1043,5258,483,0 59,0,2024-09-07 09:32:11:739,120297,0.8,119947,1.0,239453,0.9,317635,2.75 59,1,2024-09-07 09:32:10:804,847323,847323,0,0,398281579963,4178349685,834522,10387,2414,369,391653,0 59,2,2024-09-07 09:32:10:591,610746,610746,0,0,27458434,0,3727 59,3,2024-09-07 09:32:11:737,1,483,1,0,1015,6305,483,0 60,0,2024-09-07 09:32:11:710,120529,0.5,120647,0.7,241712,0.5,320902,1.75 60,1,2024-09-07 09:32:10:789,850628,850628,0,0,399800355671,4150452308,844693,5006,929,370,392031,0 60,2,2024-09-07 09:32:11:157,610949,610949,0,0,26029033,0,3811 60,3,2024-09-07 09:32:11:267,1,483,2,0,409,5983,483,0 61,0,2024-09-07 09:32:11:499,120288,0.7,120932,0.8,240810,0.7,320967,2.00 61,1,2024-09-07 09:32:10:775,847756,847756,0,0,398628163812,4177137993,838069,8110,1577,382,392127,0 61,2,2024-09-07 09:32:11:132,610064,609997,67,0,26634884,0,6411 61,3,2024-09-07 09:32:11:689,1,483,8,0,479,6552,483,0 62,0,2024-09-07 09:32:11:729,122059,0.6,125104,0.7,238924,0.5,325247,2.00 62,1,2024-09-07 09:32:11:116,852826,852820,0,6,400644512260,4129880434,848872,3684,264,365,391975,6 62,2,2024-09-07 09:32:11:644,609808,609807,1,0,26027577,0,5555 62,3,2024-09-07 09:32:11:143,1,483,1,0,482,4037,483,0 63,0,2024-09-07 09:32:11:488,121111,0.4,120869,0.6,242491,0.4,321968,1.75 63,1,2024-09-07 09:32:10:803,850205,850199,0,6,399800559637,4150035513,844848,4617,734,381,391800,6 63,2,2024-09-07 09:32:10:774,611035,611035,0,0,24701572,0,4369 63,3,2024-09-07 09:32:11:732,1,483,57,0,667,5189,483,0 64,0,2024-09-07 09:32:11:522,120561,0.5,120679,0.7,240836,0.5,319901,2.00 64,1,2024-09-07 09:32:10:751,849408,849408,0,0,398684227187,4154749286,841809,5833,1766,370,391783,0 64,2,2024-09-07 09:32:11:148,614613,614594,19,0,24497956,0,6121 64,3,2024-09-07 09:32:11:146,1,483,2,0,651,5319,483,0 65,0,2024-09-07 09:32:11:727,120180,0.7,120409,0.8,240370,0.7,320445,2.00 65,1,2024-09-07 09:32:10:859,847240,847240,0,0,397492950158,4158588121,840582,5865,793,381,391901,0 65,2,2024-09-07 09:32:11:698,610578,610578,0,0,28533767,0,3367 65,3,2024-09-07 09:32:11:683,1,483,8,0,782,5873,483,0 66,0,2024-09-07 09:32:11:762,121112,0.6,120843,0.8,241495,0.6,321938,2.25 66,1,2024-09-07 09:32:11:296,849484,849484,0,0,398965806526,4155792113,843358,5405,721,380,391743,0 66,2,2024-09-07 09:32:11:132,612726,612723,3,0,25909310,0,5455 66,3,2024-09-07 09:32:11:079,1,483,0,0,291,4276,483,0 67,0,2024-09-07 09:32:11:426,120776,0.6,120528,0.7,241928,0.5,321373,2.25 67,1,2024-09-07 09:32:10:771,849162,849161,0,1,398674653842,4153153823,843112,5240,809,380,391787,1 67,2,2024-09-07 09:32:10:587,612967,612952,15,0,25084572,0,6205 67,3,2024-09-07 09:32:11:755,1,483,9,0,392,4709,483,0 68,0,2024-09-07 09:32:10:594,121430,0.5,121341,0.7,241685,0.5,323092,2.00 68,1,2024-09-07 09:32:10:579,845301,845301,0,0,396833776741,4177300880,833216,8686,3399,381,391953,0 68,2,2024-09-07 09:32:11:043,607567,607467,100,0,32513834,0,8578 68,3,2024-09-07 09:32:10:731,1,483,5,0,417,6304,483,0 69,0,2024-09-07 09:32:11:742,120298,0.7,121078,0.8,241293,0.7,320281,2.25 69,1,2024-09-07 09:32:11:019,845255,845255,0,0,397035196440,4189362765,833282,9216,2757,384,391994,0 69,2,2024-09-07 09:32:11:732,609430,609401,29,0,33584356,0,6912 69,3,2024-09-07 09:32:10:767,1,483,11,0,698,7275,483,0 70,0,2024-09-07 09:32:11:538,119803,1.0,120129,1.0,241703,0.9,319717,2.50 70,1,2024-09-07 09:32:10:812,850097,850097,0,0,399928850029,4152490011,843139,6240,718,366,391725,0 70,2,2024-09-07 09:32:11:333,609520,609520,0,0,28120979,0,4323 70,3,2024-09-07 09:32:10:753,1,483,0,0,854,5538,483,0 71,0,2024-09-07 09:32:11:359,119739,0.9,119482,1.0,240131,1.2,320416,2.75 71,1,2024-09-07 09:32:11:596,847649,847649,0,0,398927649480,4169005251,836412,9982,1255,368,391738,0 71,2,2024-09-07 09:32:11:071,610220,610220,0,0,28628096,0,4352 71,3,2024-09-07 09:32:11:750,1,483,1,0,644,5930,483,0 72,0,2024-09-07 09:32:11:046,124983,0.5,122247,0.7,238377,0.5,324233,2.00 72,1,2024-09-07 09:32:11:028,846723,846723,0,0,397833665276,4174230278,834605,10032,2086,369,391819,0 72,2,2024-09-07 09:32:11:756,610121,610121,0,0,29961679,0,3983 72,3,2024-09-07 09:32:11:755,1,483,0,0,564,7167,483,0 73,0,2024-09-07 09:32:11:126,117716,0.4,120918,0.6,246807,0.4,320831,2.00 73,1,2024-09-07 09:32:10:772,849118,849118,0,0,398924926734,4142616797,843183,5513,422,367,391858,0 73,2,2024-09-07 09:32:11:754,610637,610637,0,0,29937912,0,4291 73,3,2024-09-07 09:32:10:970,1,483,22,0,486,6169,483,0 74,0,2024-09-07 09:32:11:328,121942,0.5,124791,0.7,237971,0.5,323357,2.25 74,1,2024-09-07 09:32:10:639,848247,848247,0,0,397984428613,4154895401,839025,7430,1792,381,391762,0 74,2,2024-09-07 09:32:11:004,609158,609158,0,0,26448009,0,4253 74,3,2024-09-07 09:32:11:446,1,483,7,0,522,5848,483,0 75,0,2024-09-07 09:32:11:770,121682,0.5,121005,0.7,242672,0.5,324377,2.25 75,1,2024-09-07 09:32:11:587,848949,848949,0,0,398342482131,4154366638,841414,6693,842,380,391739,0 75,2,2024-09-07 09:32:11:351,610561,610561,0,0,30784425,0,4766 75,3,2024-09-07 09:32:11:070,1,483,10,0,702,6029,483,0 76,0,2024-09-07 09:32:10:584,120008,0.5,119381,0.7,239457,0.5,320035,2.25 76,1,2024-09-07 09:32:10:807,847916,847916,0,0,398081667351,4152272363,842128,4959,829,382,391692,0 76,2,2024-09-07 09:32:11:065,613282,613279,3,0,26933653,0,5265 76,3,2024-09-07 09:32:11:156,1,483,11,0,227,4599,483,0 77,0,2024-09-07 09:32:11:724,120478,0.5,120921,0.7,242158,0.5,320786,2.00 77,1,2024-09-07 09:32:10:834,848866,848866,0,0,398740669308,4160956941,842328,5977,561,381,391869,0 77,2,2024-09-07 09:32:11:297,610501,610501,0,0,25999502,0,3890 77,3,2024-09-07 09:32:11:095,1,483,8,0,401,5272,483,0 78,0,2024-09-07 09:32:11:717,120996,0.4,120405,0.6,241601,0.4,319900,2.00 78,1,2024-09-07 09:32:10:611,848931,848931,0,0,397571675355,4145990818,839348,7354,2229,367,391670,0 78,2,2024-09-07 09:32:11:412,611834,611821,13,0,24226677,0,8313 78,3,2024-09-07 09:32:11:145,1,483,1,0,181,4027,483,0 79,0,2024-09-07 09:32:11:351,117008,0.4,119931,0.6,245418,0.4,319606,2.25 79,1,2024-09-07 09:32:10:573,851119,851119,0,0,399154375659,4137573974,843823,5945,1351,367,391682,0 79,2,2024-09-07 09:32:11:071,611994,611994,0,0,23412425,0,4195 79,3,2024-09-07 09:32:10:751,1,483,4,0,418,6046,483,0 80,0,2024-09-07 09:32:11:090,120428,0.5,123704,0.7,236921,0.5,320463,2.00 80,1,2024-09-07 09:32:11:627,848219,848219,0,0,398610915087,4152106196,842429,5367,423,368,392269,0 80,2,2024-09-07 09:32:11:096,612855,612855,0,0,24399344,0,4433 80,3,2024-09-07 09:32:10:575,1,483,1,0,190,5497,483,0 81,0,2024-09-07 09:32:11:544,121255,0.5,124216,0.7,237001,0.5,322085,2.00 81,1,2024-09-07 09:32:11:655,847353,847353,0,0,398192170111,4165845404,840082,6634,637,382,391885,0 81,2,2024-09-07 09:32:11:125,610267,610204,63,0,27431015,0,5932 81,3,2024-09-07 09:32:11:133,1,483,8,0,719,5665,483,0 82,0,2024-09-07 09:32:11:554,120924,0.5,121157,0.7,242627,0.5,322156,2.00 82,1,2024-09-07 09:32:10:583,849672,849668,0,4,399134392914,4152547228,844607,4242,819,381,391768,4 82,2,2024-09-07 09:32:11:691,612237,612237,0,0,22676777,0,4484 82,3,2024-09-07 09:32:11:751,1,483,1,0,363,4842,483,0 83,0,2024-09-07 09:32:11:523,121243,0.6,121057,0.8,241531,0.6,321317,2.25 83,1,2024-09-07 09:32:10:551,847780,847780,0,0,398514237418,4156531210,841683,5646,451,382,391709,0 83,2,2024-09-07 09:32:10:764,610086,610061,25,0,24356760,0,5612 83,3,2024-09-07 09:32:10:749,1,483,2,0,1260,5977,483,0 84,0,2024-09-07 09:32:11:786,120217,0.7,120432,0.9,240149,0.6,321574,2.25 84,1,2024-09-07 09:32:11:047,846830,846830,0,0,398333492289,4164267673,837578,8074,1178,367,391967,0 84,2,2024-09-07 09:32:10:579,609817,609787,30,0,32108275,0,5971 84,3,2024-09-07 09:32:11:141,1,483,13,0,908,7206,483,0 85,0,2024-09-07 09:32:11:007,116720,0.6,116638,0.8,247575,0.6,320624,2.25 85,1,2024-09-07 09:32:10:560,844852,844852,0,0,397166837010,4194236574,831918,10754,2180,381,392092,0 85,2,2024-09-07 09:32:10:869,609977,609977,0,0,30351008,0,4255 85,3,2024-09-07 09:32:10:688,1,483,15,0,789,5693,483,0 86,0,2024-09-07 09:32:10:894,120537,0.6,124042,0.8,237194,0.7,320808,2.25 86,1,2024-09-07 09:32:10:832,847297,847297,0,0,397750339385,4170564152,836340,9032,1925,366,391961,0 86,2,2024-09-07 09:32:10:854,608961,608960,1,0,32455429,0,5004 86,3,2024-09-07 09:32:10:592,1,483,1,0,308,7200,483,0 87,0,2024-09-07 09:32:11:297,121009,0.9,120719,0.9,241631,1.1,322732,2.25 87,1,2024-09-07 09:32:10:549,846019,846019,0,0,397574117664,4170186242,834200,10111,1708,366,392076,0 87,2,2024-09-07 09:32:11:071,608638,608632,6,0,28246579,0,6323 87,3,2024-09-07 09:32:11:794,1,483,2,0,473,7331,483,0 88,0,2024-09-07 09:32:11:476,121133,0.4,121782,0.6,243358,0.4,323889,1.75 88,1,2024-09-07 09:32:10:572,845637,845637,0,0,397375751656,4164798439,833738,9494,2405,365,392084,0 88,2,2024-09-07 09:32:10:689,611695,611695,0,0,30713506,0,4465 88,3,2024-09-07 09:32:11:282,1,483,17,0,435,5936,483,0 89,0,2024-09-07 09:32:11:790,124270,0.4,120422,0.6,238023,0.4,323415,1.75 89,1,2024-09-07 09:32:10:551,845643,845643,0,0,398641470249,4191076029,835352,8816,1475,382,391866,0 89,2,2024-09-07 09:32:11:134,610490,610490,0,0,29064131,0,3173 89,3,2024-09-07 09:32:11:796,1,483,7,0,468,8099,483,0 90,0,2024-09-07 09:32:11:624,117066,0.4,120299,0.6,245305,0.4,319781,2.00 90,1,2024-09-07 09:32:10:595,847763,847763,0,0,397909906152,4163461947,840178,6934,651,380,391825,0 90,2,2024-09-07 09:32:11:423,608895,608895,0,0,30931635,0,3608 90,3,2024-09-07 09:32:10:930,1,483,168,0,322,5987,483,0 91,0,2024-09-07 09:32:10:924,121042,0.5,117232,0.6,245084,0.5,321586,1.75 91,1,2024-09-07 09:32:10:561,846023,846023,0,0,398206331949,4185354278,835614,8764,1645,381,392047,0 91,2,2024-09-07 09:32:11:331,610791,610791,0,0,27111650,0,3526 91,3,2024-09-07 09:32:10:600,1,483,6,0,216,4731,483,0 92,0,2024-09-07 09:32:11:441,122889,0.5,125712,0.6,239663,0.5,325377,1.75 92,1,2024-09-07 09:32:10:581,848284,848284,0,0,397769038607,4155772600,841704,5504,1076,381,392136,0 92,2,2024-09-07 09:32:11:352,612507,612507,0,0,24441463,0,3259 92,3,2024-09-07 09:32:11:009,1,483,1,0,167,4228,483,0 93,0,2024-09-07 09:32:10:950,121566,0.4,124597,0.6,237741,0.4,322165,1.75 93,1,2024-09-07 09:32:10:814,848217,848217,0,0,398043629813,4157406650,838752,7765,1700,366,391776,0 93,2,2024-09-07 09:32:10:930,610809,610809,0,0,30059319,0,4913 93,3,2024-09-07 09:32:11:412,1,483,8,0,190,4299,483,0 94,0,2024-09-07 09:32:11:630,120770,0.3,121348,0.5,242599,0.3,321644,1.75 94,1,2024-09-07 09:32:10:572,848235,848235,0,0,399242741091,4165583305,842456,5407,372,381,391850,0 94,2,2024-09-07 09:32:10:765,610885,610857,28,0,25669761,0,6179 94,3,2024-09-07 09:32:11:697,1,483,0,0,576,6188,483,0 95,0,2024-09-07 09:32:11:388,121285,0.3,120955,0.5,242472,0.3,323044,1.75 95,1,2024-09-07 09:32:10:853,849962,849962,0,0,399228156287,4149827343,843188,6293,481,365,391786,0 95,2,2024-09-07 09:32:11:016,610638,610638,0,0,24411754,0,3308 95,3,2024-09-07 09:32:11:725,1,483,2,0,718,7213,483,0 96,0,2024-09-07 09:32:11:060,121697,0.4,121972,0.6,243667,0.4,323843,1.75 96,1,2024-09-07 09:32:11:587,848036,848036,0,0,398336290313,4157755591,841696,5429,911,384,391955,0 96,2,2024-09-07 09:32:11:274,611585,611585,0,0,25328763,0,4180 96,3,2024-09-07 09:32:11:147,1,483,4,0,411,5270,483,0 97,0,2024-09-07 09:32:11:334,121104,0.3,120697,0.5,242518,0.3,321826,1.75 97,1,2024-09-07 09:32:10:778,849591,849591,0,0,399957663399,4150246243,844056,4599,936,367,392140,0 97,2,2024-09-07 09:32:10:617,611724,611724,0,0,24836888,0,3679 97,3,2024-09-07 09:32:10:573,1,483,7,0,242,5817,483,0 98,0,2024-09-07 09:32:11:722,120880,0.3,121201,0.4,243052,0.2,322832,1.50 98,1,2024-09-07 09:32:10:576,849210,849210,0,0,398503138768,4152569196,843891,4525,794,381,391997,0 98,2,2024-09-07 09:32:10:786,611442,611442,0,0,24771711,0,4336 98,3,2024-09-07 09:32:10:704,1,483,0,0,840,7572,483,0 99,0,2024-09-07 09:32:11:534,120901,0.3,121589,0.5,241851,0.3,322867,1.75 99,1,2024-09-07 09:32:11:723,849284,849284,0,0,398497404158,4150226651,843434,4929,921,380,392069,0 99,2,2024-09-07 09:32:11:417,611848,611848,0,0,29863416,0,4276 99,3,2024-09-07 09:32:10:598,1,483,18,0,606,5034,483,0 100,0,2024-09-07 09:32:11:459,120782,0.8,121182,1.0,241465,1.0,322897,2.50 100,1,2024-09-07 09:32:10:547,843812,843812,0,0,396362746517,4196388001,829652,11062,3098,378,391989,0 100,2,2024-09-07 09:32:11:817,607105,607094,11,0,28313640,0,5417 100,3,2024-09-07 09:32:11:741,1,483,5,0,627,8250,483,0 101,0,2024-09-07 09:32:11:697,123362,1.0,120233,1.0,235634,0.9,322270,2.25 101,1,2024-09-07 09:32:10:551,845154,845154,0,0,397345327445,4179173460,832978,9782,2394,368,391847,0 101,2,2024-09-07 09:32:11:755,607381,607381,0,0,33616749,0,4871 101,3,2024-09-07 09:32:10:943,1,483,12,0,1250,7632,483,0 102,0,2024-09-07 09:32:10:963,117815,0.6,121448,0.7,246548,0.6,322533,2.00 102,1,2024-09-07 09:32:11:142,845008,845008,0,0,397013208677,4174978252,832957,10033,2018,369,391891,0 102,2,2024-09-07 09:32:11:737,611000,610946,54,0,28660035,0,6768 102,3,2024-09-07 09:32:11:613,1,483,55,0,466,5719,483,0 103,0,2024-09-07 09:32:11:799,124833,0.5,124786,0.7,235141,0.5,323354,2.00 103,1,2024-09-07 09:32:11:733,844552,844552,0,0,397044628380,4194460334,830843,10512,3197,381,391862,0 103,2,2024-09-07 09:32:10:582,609432,609432,0,0,30149940,0,3766 103,3,2024-09-07 09:32:10:756,1,483,142,0,916,5890,483,0 104,0,2024-09-07 09:32:11:011,120123,0.7,120383,0.9,239736,0.7,321439,2.25 104,1,2024-09-07 09:32:11:602,846890,846890,0,0,398079704091,4184252083,834043,10300,2547,365,392168,0 104,2,2024-09-07 09:32:11:667,607182,607182,0,0,28752602,0,3941 104,3,2024-09-07 09:32:11:417,1,483,8,0,1245,8937,483,0 105,0,2024-09-07 09:32:11:025,120012,0.8,116876,1.0,245107,0.9,322298,2.50 105,1,2024-09-07 09:32:10:559,848258,848258,0,0,397949439724,4170285039,837400,9205,1653,366,392009,0 105,2,2024-09-07 09:32:11:347,610155,610155,0,0,28420977,0,3509 105,3,2024-09-07 09:32:11:306,1,483,15,0,399,7095,483,0 106,0,2024-09-07 09:32:10:950,116467,0.8,119221,0.9,244405,0.8,318819,2.50 106,1,2024-09-07 09:32:11:753,847247,847247,0,0,397262691441,4170158303,835034,10569,1644,368,391914,0 106,2,2024-09-07 09:32:10:756,610170,610170,0,0,27804130,0,2920 106,3,2024-09-07 09:32:10:680,1,483,7,0,470,6251,483,0 107,0,2024-09-07 09:32:11:178,120727,0.8,120937,0.9,241654,0.9,321994,2.00 107,1,2024-09-07 09:32:10:591,845395,845395,0,0,396824349273,4183228492,833647,10629,1119,381,392234,0 107,2,2024-09-07 09:32:11:296,608937,608936,1,0,29887412,0,5024 107,3,2024-09-07 09:32:11:755,1,483,1,0,370,6848,483,0 108,0,2024-09-07 09:32:11:807,120424,0.4,121219,0.6,241035,0.4,321348,1.75 108,1,2024-09-07 09:32:11:306,847904,847904,0,0,397657712896,4147718679,840810,6258,836,367,391857,0 108,2,2024-09-07 09:32:11:756,609468,609468,0,0,27230827,0,4246 108,3,2024-09-07 09:32:11:339,1,483,1,0,749,9549,483,0 109,0,2024-09-07 09:32:11:750,121846,0.4,120914,0.6,241990,0.4,323760,1.75 109,1,2024-09-07 09:32:10:586,845617,845617,0,0,398231404546,4179595992,837599,6807,1211,382,392132,0 109,2,2024-09-07 09:32:10:936,609373,609373,0,0,26518381,0,3617 109,3,2024-09-07 09:32:11:152,1,483,19,0,630,6159,483,0 110,0,2024-09-07 09:32:11:745,120494,0.4,117302,0.6,245866,0.4,321677,1.75 110,1,2024-09-07 09:32:11:650,849712,849712,0,0,398993443841,4140283138,843801,4691,1220,368,392045,0 110,2,2024-09-07 09:32:11:305,611475,611475,0,0,25608954,0,4067 110,3,2024-09-07 09:32:10:690,1,483,10,0,722,7059,483,0 111,0,2024-09-07 09:32:11:426,121697,0.3,121025,0.5,242364,0.3,323386,1.75 111,1,2024-09-07 09:32:11:000,850816,850816,0,0,399443635035,4142179247,846491,3969,356,380,391690,0 111,2,2024-09-07 09:32:11:116,610649,610649,0,0,25764880,0,4823 111,3,2024-09-07 09:32:10:916,1,483,1,0,379,5656,483,0 112,0,2024-09-07 09:32:10:939,121969,0.3,121258,0.4,243210,0.2,323132,1.50 112,1,2024-09-07 09:32:10:833,849263,849263,0,0,399389693513,4149127846,843382,5192,689,380,391624,0 112,2,2024-09-07 09:32:11:148,610590,610589,1,0,25077411,0,5036 112,3,2024-09-07 09:32:10:593,1,483,12,0,282,4847,483,0 113,0,2024-09-07 09:32:10:868,121331,0.3,121273,0.5,242927,0.3,323206,1.75 113,1,2024-09-07 09:32:11:690,851974,851974,0,0,400721574751,4136597160,847157,4009,808,366,391661,0 113,2,2024-09-07 09:32:11:303,612800,612800,0,0,22404467,0,3813 113,3,2024-09-07 09:32:10:687,1,483,12,0,340,5251,483,0 114,0,2024-09-07 09:32:10:900,121658,0.3,122510,0.5,243557,0.2,325932,1.75 114,1,2024-09-07 09:32:10:720,850205,850205,0,0,399369486955,4149194677,843551,5038,1616,381,391565,0 114,2,2024-09-07 09:32:10:876,612874,612873,1,0,23794924,0,5069 114,3,2024-09-07 09:32:11:292,1,483,4,0,395,3907,483,0 115,0,2024-09-07 09:32:10:557,121674,0.3,122132,0.4,243702,0.2,323948,1.50 115,1,2024-09-07 09:32:10:572,849849,849849,0,0,399109456233,4150744095,842555,5937,1357,382,391757,0 115,2,2024-09-07 09:32:11:125,613709,613709,0,0,24013356,0,4382 115,3,2024-09-07 09:32:11:006,1,483,167,0,167,2898,483,0 116,0,2024-09-07 09:32:11:732,120381,0.7,120253,0.8,241058,0.6,322076,2.00 116,1,2024-09-07 09:32:10:806,845480,845480,0,0,397301810030,4189327357,835153,7897,2430,380,392089,0 116,2,2024-09-07 09:32:11:752,609613,609613,0,0,30808189,0,4475 116,3,2024-09-07 09:32:10:911,1,483,0,0,415,6118,483,0 117,0,2024-09-07 09:32:10:993,121268,0.7,120800,0.8,242040,0.8,323115,2.00 117,1,2024-09-07 09:32:11:585,846264,846264,0,0,397567786487,4164795311,836596,8474,1194,369,392033,0 117,2,2024-09-07 09:32:11:120,611219,611219,0,0,26551871,0,4303 117,3,2024-09-07 09:32:11:060,1,483,15,0,490,6912,483,0 118,0,2024-09-07 09:32:11:763,117776,0.5,121088,0.7,246662,0.5,322653,2.00 118,1,2024-09-07 09:32:10:588,845989,845989,0,0,397922256974,4185483898,832944,9929,3116,366,392054,0 118,2,2024-09-07 09:32:11:586,610438,610438,0,0,29010733,0,2842 118,3,2024-09-07 09:32:11:770,1,483,170,0,248,5727,483,0 119,0,2024-09-07 09:32:11:345,120494,0.7,120808,0.8,241630,0.6,321571,2.00 119,1,2024-09-07 09:32:10:553,846405,846405,0,0,398302687613,4176113839,834930,9712,1763,367,391857,0 119,2,2024-09-07 09:32:11:273,611521,611521,0,0,27290427,0,4174 119,3,2024-09-07 09:32:11:328,1,483,1,0,1358,9279,483,0 120,0,2024-09-07 09:32:11:544,119957,0.6,119777,0.8,240443,0.6,320410,2.25 120,1,2024-09-07 09:32:10:883,847154,847154,0,0,397585605614,4168728268,838289,8089,776,368,392144,0 120,2,2024-09-07 09:32:10:775,609370,609369,1,0,31120853,0,5281 120,3,2024-09-07 09:32:11:294,1,483,1,0,279,6161,483,0 121,0,2024-09-07 09:32:11:687,120639,1.3,120143,1.1,240862,1.7,320975,2.25 121,1,2024-09-07 09:32:11:654,847782,847782,0,0,398167238207,4160905551,840110,7118,554,367,391840,0 121,2,2024-09-07 09:32:11:128,608923,608923,0,0,28991802,0,4157 121,3,2024-09-07 09:32:10:729,1,483,9,0,330,5916,483,0 122,0,2024-09-07 09:32:11:763,121013,0.8,117931,0.9,247068,0.9,325194,2.00 122,1,2024-09-07 09:32:10:858,846350,846350,0,0,397722850955,4171543352,835003,9642,1705,366,392130,0 122,2,2024-09-07 09:32:11:320,612041,611968,73,0,32134877,0,5989 122,3,2024-09-07 09:32:10:594,1,483,2,0,512,8487,483,0 123,0,2024-09-07 09:32:10:961,120090,0.9,116978,0.9,245131,1.0,320544,2.25 123,1,2024-09-07 09:32:10:570,846400,846400,0,0,397344604823,4180404377,832400,11554,2446,369,392039,0 123,2,2024-09-07 09:32:11:032,609047,609046,1,0,27645940,0,5215 123,3,2024-09-07 09:32:11:133,1,483,0,0,478,5744,483,0 124,0,2024-09-07 09:32:10:937,124597,0.3,124590,0.5,234848,0.3,322627,1.75 124,1,2024-09-07 09:32:11:024,849398,849398,0,0,398555440540,4144323970,843009,5274,1115,367,392178,0 124,2,2024-09-07 09:32:11:011,611806,611753,53,0,25394233,0,6487 124,3,2024-09-07 09:32:10:765,1,483,8,0,490,4852,483,0 125,0,2024-09-07 09:32:11:424,121075,0.4,120839,0.6,242522,0.4,322930,1.75 125,1,2024-09-07 09:32:10:858,847794,847794,0,0,398154879112,4158307275,840900,5913,981,382,391813,0 125,2,2024-09-07 09:32:11:118,612041,612041,0,0,25660261,0,4534 125,3,2024-09-07 09:32:11:127,1,483,8,0,709,5877,483,0 126,0,2024-09-07 09:32:11:424,121647,0.4,125187,0.6,239507,0.4,324532,1.75 126,1,2024-09-07 09:32:10:555,850668,850668,0,0,399854229029,4139507098,846273,4099,296,365,391987,0 126,2,2024-09-07 09:32:10:610,611985,611985,0,0,26002527,0,4539 126,3,2024-09-07 09:32:10:908,1,483,1,0,268,5555,483,0 127,0,2024-09-07 09:32:11:605,121236,0.3,121615,0.5,242596,0.3,322169,1.75 127,1,2024-09-07 09:32:10:572,849310,849310,0,0,398311670963,4145945275,840587,7261,1462,364,392187,0 127,2,2024-09-07 09:32:10:637,610239,610235,4,0,24711270,0,5305 127,3,2024-09-07 09:32:11:277,1,483,6,0,968,4958,483,0 128,0,2024-09-07 09:32:11:532,121769,0.3,121890,0.4,243328,0.2,323715,1.50 128,1,2024-09-07 09:32:11:608,848064,848064,0,0,400153650776,4157588799,841974,5362,728,367,392031,0 128,2,2024-09-07 09:32:11:382,613268,613268,0,0,23533303,0,3171 128,3,2024-09-07 09:32:10:776,1,483,2,0,1082,7867,483,0 129,0,2024-09-07 09:32:11:029,121918,0.3,121393,0.5,243137,0.3,323272,1.50 129,1,2024-09-07 09:32:10:567,846119,846119,0,0,396825680622,4160253611,837615,6769,1735,379,391962,0 129,2,2024-09-07 09:32:10:697,612199,612195,4,0,25667865,0,5335 129,3,2024-09-07 09:32:10:706,1,483,21,0,506,7050,483,0 130,0,2024-09-07 09:32:11:736,121869,0.5,121426,0.6,244013,0.5,324791,1.75 130,1,2024-09-07 09:32:10:584,849646,849646,0,0,398122968979,4138934672,844974,4286,386,381,391825,0 130,2,2024-09-07 09:32:11:125,610514,610514,0,0,25066856,0,4067 130,3,2024-09-07 09:32:11:295,1,483,108,0,960,7172,483,0 131,0,2024-09-07 09:32:11:950,121030,0.4,121308,0.5,243299,0.3,322967,1.75 131,1,2024-09-07 09:32:11:822,848679,848679,0,0,398558242252,4161718362,841862,5626,1191,381,391865,0 131,2,2024-09-07 09:32:10:577,612743,612743,0,0,23496400,0,3979 131,3,2024-09-07 09:32:11:690,1,483,19,0,392,5833,483,0 132,0,2024-09-07 09:32:11:441,121057,0.4,122341,0.6,243150,0.4,323683,1.75 132,1,2024-09-07 09:32:10:605,845194,845194,0,0,397756477606,4188201620,832839,10166,2189,381,392532,0 132,2,2024-09-07 09:32:10:704,610986,610969,17,0,31327511,0,6451 132,3,2024-09-07 09:32:11:689,1,483,1,0,804,8421,483,0 133,0,2024-09-07 09:32:11:518,117744,0.4,120552,0.6,247200,0.4,321528,1.75 133,1,2024-09-07 09:32:10:592,845078,845078,0,0,396995223382,4185590179,833278,10176,1624,383,391914,0 133,2,2024-09-07 09:32:11:089,610857,610807,50,0,31724188,0,6861 133,3,2024-09-07 09:32:11:304,1,483,12,0,479,5468,483,0 134,0,2024-09-07 09:32:10:938,121304,0.5,121150,0.7,242651,0.5,323378,2.00 134,1,2024-09-07 09:32:10:592,846094,846094,0,0,397639272526,4169625859,835346,8658,2090,366,391718,0 134,2,2024-09-07 09:32:11:756,608131,608107,24,0,29518714,0,6207 134,3,2024-09-07 09:32:10:751,1,483,36,0,739,6139,483,0 135,0,2024-09-07 09:32:11:098,117444,0.8,117408,0.8,249296,0.8,321281,2.25 135,1,2024-09-07 09:32:11:647,846134,846134,0,0,397939825309,4186861050,834802,9768,1564,380,391805,0 135,2,2024-09-07 09:32:10:687,611344,611344,0,0,29525173,0,4503 135,3,2024-09-07 09:32:11:004,1,483,65,0,299,4187,483,0 136,0,2024-09-07 09:32:11:618,121150,0.5,121402,0.7,241773,0.5,321914,2.00 136,1,2024-09-07 09:32:11:445,847829,847829,0,0,397428034247,4166070674,838334,8320,1175,381,392135,0 136,2,2024-09-07 09:32:11:147,612570,612570,0,0,27442533,0,3506 136,3,2024-09-07 09:32:11:114,1,483,18,0,637,5515,483,0 137,0,2024-09-07 09:32:10:943,124802,0.6,121558,0.7,238347,0.5,323182,2.00 137,1,2024-09-07 09:32:10:575,846160,846160,0,0,397052431151,4164355235,833168,10323,2669,366,391898,0 137,2,2024-09-07 09:32:11:707,609382,609382,0,0,30433707,0,3185 137,3,2024-09-07 09:32:10:775,1,483,8,0,484,6203,483,0 138,0,2024-09-07 09:32:11:753,120126,0.9,120163,0.9,241250,1.1,319912,2.25 138,1,2024-09-07 09:32:11:684,847128,847128,0,0,398733486823,4181320290,835405,9846,1877,368,391954,0 138,2,2024-09-07 09:32:10:593,609304,609304,0,0,28228883,0,4988 138,3,2024-09-07 09:32:10:610,1,483,3,0,1200,7827,483,0 139,0,2024-09-07 09:32:11:358,120334,1.3,120572,1.0,241276,1.9,322440,2.50 139,1,2024-09-07 09:32:10:581,842500,842500,0,0,394955582653,4193020167,826924,12128,3448,380,392058,0 139,2,2024-09-07 09:32:10:699,607104,607104,0,0,31354967,0,3097 139,3,2024-09-07 09:32:11:661,1,483,34,0,432,5636,483,0 140,0,2024-09-07 09:32:11:592,121277,0.3,120447,0.5,241783,0.2,321931,1.75 140,1,2024-09-07 09:32:11:537,851818,851818,0,0,400250288894,4126114595,847770,3587,461,364,391606,0 140,2,2024-09-07 09:32:10:693,612138,612137,1,0,24002575,0,5036 140,3,2024-09-07 09:32:10:768,1,483,1,0,297,4611,483,0 141,0,2024-09-07 09:32:11:700,121650,0.3,124752,0.5,238154,0.2,323069,1.50 141,1,2024-09-07 09:32:10:865,850218,850218,0,0,399565222597,4152337273,843359,5774,1085,379,391614,0 141,2,2024-09-07 09:32:11:685,611202,611191,11,0,25467418,0,5369 141,3,2024-09-07 09:32:11:043,1,483,8,0,391,5348,483,0 142,0,2024-09-07 09:32:11:353,121872,0.3,121105,0.5,242766,0.3,323822,1.75 142,1,2024-09-07 09:32:10:601,848192,848192,0,0,398177029002,4151287680,842657,5079,456,382,392102,0 142,2,2024-09-07 09:32:11:331,610524,610492,32,0,26042421,0,6028 142,3,2024-09-07 09:32:11:747,1,483,0,0,484,5202,483,0 143,0,2024-09-07 09:32:11:378,121219,0.4,121311,0.6,243117,0.4,323273,1.75 143,1,2024-09-07 09:32:10:557,850166,850166,0,0,399654040490,4148436220,844400,5215,551,367,391705,0 143,2,2024-09-07 09:32:10:787,611462,611462,0,0,25089801,0,3123 143,3,2024-09-07 09:32:11:143,1,483,2,0,462,6211,483,0 144,0,2024-09-07 09:32:11:497,117562,0.6,121002,0.8,245944,0.6,322572,2.00 144,1,2024-09-07 09:32:10:578,846084,846084,0,0,396674570710,4165258867,837269,6912,1903,381,391733,0 144,2,2024-09-07 09:32:11:762,612129,612129,0,0,24099655,0,3673 144,3,2024-09-07 09:32:11:741,1,483,3,0,249,4876,483,0 145,0,2024-09-07 09:32:11:387,117390,0.6,117382,0.8,248906,0.5,320762,2.25 145,1,2024-09-07 09:32:10:552,844783,844783,0,0,396717830594,4179044817,832939,9394,2450,382,391759,0 145,2,2024-09-07 09:32:11:437,609068,608986,82,0,30229435,0,7814 145,3,2024-09-07 09:32:10:895,1,483,13,0,622,6781,483,0 146,0,2024-09-07 09:32:11:594,120663,0.6,120199,0.7,241463,0.5,320400,2.25 146,1,2024-09-07 09:32:11:586,846897,846897,0,0,397300720427,4176518488,833546,9822,3529,367,391770,0 146,2,2024-09-07 09:32:11:695,609422,609422,0,0,27938238,0,3290 146,3,2024-09-07 09:32:11:289,1,483,1,0,1520,8430,483,0 147,0,2024-09-07 09:32:11:699,121258,0.6,120999,0.8,241371,0.6,322595,2.25 147,1,2024-09-07 09:32:11:373,849797,849797,0,0,399374400962,4151640158,842810,6137,850,367,391791,0 147,2,2024-09-07 09:32:11:011,608770,608770,0,0,25175683,0,2968 147,3,2024-09-07 09:32:10:916,1,483,18,0,1626,8176,483,0 0,0,2024-09-07 09:32:21:730,117285,0.6,117295,0.7,248820,0.6,321312,2.00 0,1,2024-09-07 09:32:20:810,848424,848424,0,0,399370083186,4185261997,840957,6630,837,369,391896,0 0,2,2024-09-07 09:32:21:072,613074,613074,0,0,25496261,0,4480 0,3,2024-09-07 09:32:20:984,1,484,8,0,431,6948,484,0 1,0,2024-09-07 09:32:21:769,120537,1.0,120047,1.0,240610,1.4,321474,2.00 1,1,2024-09-07 09:32:20:564,848014,848014,0,0,397706108750,4169718721,839659,6890,1465,370,391859,0 1,2,2024-09-07 09:32:20:638,610942,610942,0,0,24815765,0,3380 1,3,2024-09-07 09:32:21:313,1,484,7,0,269,6277,484,0 2,0,2024-09-07 09:32:21:578,121821,0.6,121754,0.7,243478,0.6,324933,2.00 2,1,2024-09-07 09:32:20:864,851010,851010,0,0,399984437976,4157362579,846533,3675,802,380,391745,0 2,2,2024-09-07 09:32:21:266,613971,613971,0,0,23303007,0,3594 2,3,2024-09-07 09:32:20:702,1,484,1,0,357,4358,484,0 3,0,2024-09-07 09:32:21:757,120682,0.4,120560,0.6,240767,0.4,320781,2.00 3,1,2024-09-07 09:32:21:624,849619,849619,0,0,399279883211,4158433615,842641,6283,695,379,391716,0 3,2,2024-09-07 09:32:21:142,612711,612688,23,0,24699513,0,5851 3,3,2024-09-07 09:32:21:758,1,484,2,0,207,3105,484,0 4,0,2024-09-07 09:32:21:761,117470,0.4,120683,0.5,246170,0.3,321640,1.75 4,1,2024-09-07 09:32:20:600,846250,846250,0,0,397470963613,4212219745,830888,11847,3515,370,391992,0 4,2,2024-09-07 09:32:21:020,609043,609043,0,0,30209761,0,4534 4,3,2024-09-07 09:32:21:032,1,484,11,0,448,6417,484,0 5,0,2024-09-07 09:32:21:377,121042,0.4,121669,0.6,242767,0.4,322806,1.75 5,1,2024-09-07 09:32:20:756,847646,847646,0,0,398574055491,4198598893,835201,10033,2412,367,392005,0 5,2,2024-09-07 09:32:21:828,608851,608851,0,0,29169860,0,3582 5,3,2024-09-07 09:32:21:731,1,484,12,0,457,6848,484,0 6,0,2024-09-07 09:32:20:924,121985,0.5,121583,0.7,243426,0.5,325016,2.00 6,1,2024-09-07 09:32:20:751,849653,849653,0,0,398114641951,4161428597,840479,7731,1443,379,391702,0 6,2,2024-09-07 09:32:21:122,612081,612063,18,0,27927631,0,5535 6,3,2024-09-07 09:32:21:274,1,484,30,0,710,6117,484,0 7,0,2024-09-07 09:32:21:530,120320,0.6,121254,0.7,241342,0.5,321085,2.00 7,1,2024-09-07 09:32:20:854,847538,847538,0,0,398305890124,4187903283,834742,10565,2231,382,391747,0 7,2,2024-09-07 09:32:20:774,612507,612507,0,0,27306977,0,4791 7,3,2024-09-07 09:32:20:853,1,484,3,0,552,5611,484,0 8,0,2024-09-07 09:32:21:367,121731,0.3,121427,0.5,243577,0.3,324371,1.75 8,1,2024-09-07 09:32:21:018,847048,847048,0,0,397554207349,4180787350,833763,10325,2960,366,392853,0 8,2,2024-09-07 09:32:20:798,608020,608020,0,0,32046148,0,3250 8,3,2024-09-07 09:32:20:586,1,484,1,0,538,7607,484,0 9,0,2024-09-07 09:32:21:099,121148,0.4,117866,0.5,246729,0.3,323350,1.75 9,1,2024-09-07 09:32:20:550,846319,846319,0,0,397566973733,4186703021,833950,9900,2469,369,392001,0 9,2,2024-09-07 09:32:21:083,611051,611051,0,0,29046593,0,4615 9,3,2024-09-07 09:32:21:752,1,484,9,0,1273,8286,484,0 10,0,2024-09-07 09:32:21:630,121575,0.3,121151,0.5,243293,0.3,324113,1.75 10,1,2024-09-07 09:32:20:585,849231,849231,0,0,398107599280,4172496603,837650,9641,1940,381,391741,0 10,2,2024-09-07 09:32:20:762,610679,610679,0,0,31442117,0,4264 10,3,2024-09-07 09:32:20:871,1,484,4,0,649,5100,484,0 11,0,2024-09-07 09:32:21:008,121056,0.4,117475,0.6,245441,0.4,323560,1.75 11,1,2024-09-07 09:32:20:574,849340,849340,0,0,398918197802,4184793069,836545,9675,3120,383,391756,0 11,2,2024-09-07 09:32:21:137,611603,611603,0,0,27810292,0,4130 11,3,2024-09-07 09:32:21:301,1,484,1,0,843,6602,484,0 12,0,2024-09-07 09:32:20:978,122244,0.4,122224,0.5,244360,0.3,324849,1.75 12,1,2024-09-07 09:32:20:932,849128,849128,0,0,398323726826,4155486247,842333,6136,659,370,391960,0 12,2,2024-09-07 09:32:21:540,612541,612541,0,0,27691053,0,4390 12,3,2024-09-07 09:32:21:059,1,484,4,0,386,6737,484,0 13,0,2024-09-07 09:32:21:358,121950,0.4,121541,0.6,242418,0.4,323284,1.75 13,1,2024-09-07 09:32:21:523,847417,847417,0,0,398136949179,4186744845,838337,6929,2151,382,391740,0 13,2,2024-09-07 09:32:20:601,613818,613818,0,0,25119068,0,3287 13,3,2024-09-07 09:32:21:762,1,484,7,0,522,6960,484,0 14,0,2024-09-07 09:32:20:569,121326,0.4,122388,0.6,242465,0.4,323369,1.75 14,1,2024-09-07 09:32:21:563,853151,853151,0,0,400529432834,4146659426,846608,5829,714,364,391673,0 14,2,2024-09-07 09:32:20:765,610422,610392,30,0,26276279,0,6104 14,3,2024-09-07 09:32:21:121,1,484,1,0,1168,5197,484,0 15,0,2024-09-07 09:32:21:561,121488,0.4,121203,0.6,243285,0.4,323959,2.00 15,1,2024-09-07 09:32:21:608,850277,850277,0,0,399430737516,4160279079,843259,5563,1455,381,391619,0 15,2,2024-09-07 09:32:20:998,614711,614711,0,0,22198297,0,3622 15,3,2024-09-07 09:32:21:414,1,484,1,0,1126,7236,484,0 16,0,2024-09-07 09:32:20:958,120733,0.5,121486,0.7,241930,0.5,321649,2.00 16,1,2024-09-07 09:32:20:563,849683,849683,0,0,399009992647,4174981778,841519,6868,1296,370,391917,0 16,2,2024-09-07 09:32:21:447,612301,612301,0,0,26671694,0,4719 16,3,2024-09-07 09:32:21:151,1,484,4,0,358,6312,484,0 17,0,2024-09-07 09:32:21:778,124717,0.6,121689,0.7,238325,0.6,323175,2.00 17,1,2024-09-07 09:32:20:571,848138,848138,0,0,398251494168,4181567895,839245,7148,1745,368,392075,0 17,2,2024-09-07 09:32:21:682,613933,613932,1,0,27160829,0,5050 17,3,2024-09-07 09:32:20:576,1,484,7,0,518,7484,484,0 18,0,2024-09-07 09:32:20:940,119529,0.7,120076,0.8,239489,0.7,319290,2.25 18,1,2024-09-07 09:32:21:646,851787,851787,0,0,399839559354,4148554605,846287,4643,857,367,391725,0 18,2,2024-09-07 09:32:21:759,613318,613318,0,0,24088372,0,3541 18,3,2024-09-07 09:32:20:896,1,484,5,0,1059,4829,484,0 19,0,2024-09-07 09:32:21:539,121170,0.6,121470,0.8,241541,0.6,322002,2.25 19,1,2024-09-07 09:32:20:565,851884,851884,0,0,399922852660,4150331171,845048,5752,1084,367,391777,0 19,2,2024-09-07 09:32:21:759,615311,615311,0,0,22495944,0,3988 19,3,2024-09-07 09:32:21:128,1,484,50,0,524,4063,484,0 20,0,2024-09-07 09:32:21:378,120643,0.5,120765,0.7,241042,0.5,321381,2.00 20,1,2024-09-07 09:32:20:577,848798,848798,0,0,398729876906,4179184164,839885,7553,1360,369,391922,0 20,2,2024-09-07 09:32:20:937,611551,611551,0,0,26937376,0,3721 20,3,2024-09-07 09:32:20:599,1,484,8,0,468,8570,484,0 21,0,2024-09-07 09:32:21:213,121421,0.4,121572,0.6,243003,0.4,322467,1.75 21,1,2024-09-07 09:32:21:536,846443,846443,0,0,397932547236,4203023058,832566,10763,3114,368,392016,0 21,2,2024-09-07 09:32:21:087,609041,609021,20,0,32200701,0,5617 21,3,2024-09-07 09:32:21:412,1,484,10,0,713,6395,484,0 22,0,2024-09-07 09:32:21:716,121396,0.5,121884,0.7,243370,0.4,322775,2.00 22,1,2024-09-07 09:32:21:026,847674,847674,0,0,397776337642,4190903122,833029,11444,3201,382,391822,0 22,2,2024-09-07 09:32:20:762,610498,610472,26,0,27176243,0,6328 22,3,2024-09-07 09:32:21:066,1,484,2,0,228,4155,484,0 23,0,2024-09-07 09:32:21:369,121157,0.5,120634,0.7,241444,0.5,322089,2.25 23,1,2024-09-07 09:32:21:012,848914,848914,0,0,399740973081,4199078490,833759,10855,4300,365,391690,0 23,2,2024-09-07 09:32:21:094,613255,613255,0,0,25437707,0,3773 23,3,2024-09-07 09:32:21:754,1,484,175,0,720,6535,484,0 24,0,2024-09-07 09:32:20:834,122840,0.4,121967,0.6,245204,0.4,326151,1.75 24,1,2024-09-07 09:32:20:585,848055,848055,0,0,397980937337,4168706390,839442,6950,1663,367,392269,0 24,2,2024-09-07 09:32:21:071,612273,612273,0,0,29624633,0,3607 24,3,2024-09-07 09:32:21:692,1,484,5,0,468,6368,484,0 25,0,2024-09-07 09:32:21:413,124946,0.4,121711,0.6,238816,0.4,325327,1.75 25,1,2024-09-07 09:32:20:558,847122,847122,0,0,398693037287,4205543126,832966,11407,2749,369,391928,0 25,2,2024-09-07 09:32:21:611,610874,610874,0,0,31410599,0,3978 25,3,2024-09-07 09:32:21:000,1,484,15,0,532,5422,484,0 26,0,2024-09-07 09:32:21:740,120501,0.4,117911,0.6,247326,0.4,321561,1.75 26,1,2024-09-07 09:32:21:585,850288,850288,0,0,398477838585,4175128616,837931,9944,2413,380,391748,0 26,2,2024-09-07 09:32:20:863,611770,611770,0,0,32766808,0,4689 26,3,2024-09-07 09:32:21:723,1,484,4,0,796,5588,484,0 27,0,2024-09-07 09:32:21:722,121459,0.4,121751,0.6,242163,0.4,323051,2.00 27,1,2024-09-07 09:32:21:680,851057,851057,0,0,400355087724,4170834314,842762,7033,1262,381,391626,0 27,2,2024-09-07 09:32:20:872,608294,608229,65,0,28137236,0,5699 27,3,2024-09-07 09:32:21:016,1,484,1,0,564,4392,484,0 28,0,2024-09-07 09:32:21:412,122133,0.4,121544,0.6,244080,0.3,325297,1.75 28,1,2024-09-07 09:32:20:812,850771,850771,0,0,400197180699,4174705276,843276,5795,1700,382,391698,0 28,2,2024-09-07 09:32:21:765,613148,613148,0,0,25051988,0,2915 28,3,2024-09-07 09:32:21:776,1,484,1,0,502,4994,484,0 29,0,2024-09-07 09:32:21:367,124339,0.4,121139,0.6,237540,0.3,323841,1.75 29,1,2024-09-07 09:32:21:567,853587,853587,0,0,400996043939,4149615486,848250,4578,759,368,391809,0 29,2,2024-09-07 09:32:20:863,612433,612433,0,0,24460990,0,4986 29,3,2024-09-07 09:32:20:964,1,484,12,0,459,5527,484,0 30,0,2024-09-07 09:32:21:460,120505,0.5,117059,0.7,244950,0.5,320200,2.00 30,1,2024-09-07 09:32:20:571,852261,852261,0,0,400894945193,4162549666,845616,5796,849,380,391672,0 30,2,2024-09-07 09:32:21:275,612656,612656,0,0,23966961,0,4192 30,3,2024-09-07 09:32:20:583,1,484,4,0,519,4903,484,0 31,0,2024-09-07 09:32:21:757,120290,0.5,120713,0.7,241069,0.4,321588,2.00 31,1,2024-09-07 09:32:20:565,855225,855225,0,0,401625028508,4121012288,850872,3552,801,356,391712,0 31,2,2024-09-07 09:32:21:275,609670,609670,0,0,26227307,0,4470 31,3,2024-09-07 09:32:21:708,1,484,0,0,220,4094,484,0 32,0,2024-09-07 09:32:21:426,122219,0.3,122972,0.5,244665,0.3,326686,1.75 32,1,2024-09-07 09:32:20:814,851135,851135,0,0,399776665896,4158495850,845611,4817,707,381,391646,0 32,2,2024-09-07 09:32:20:936,614052,614052,0,0,22965129,0,3922 32,3,2024-09-07 09:32:21:021,1,484,1,0,304,3967,484,0 33,0,2024-09-07 09:32:21:506,121282,0.3,120816,0.4,241857,0.2,321921,1.50 33,1,2024-09-07 09:32:20:575,852209,852209,0,0,400727380075,4155535250,844994,6043,1172,368,391730,0 33,2,2024-09-07 09:32:20:765,613287,613252,35,0,25730127,0,7012 33,3,2024-09-07 09:32:20:897,1,484,8,0,329,4648,484,0 34,0,2024-09-07 09:32:20:929,121413,0.3,124757,0.4,238402,0.2,320905,1.75 34,1,2024-09-07 09:32:21:047,854002,854002,0,0,401365979039,4129185297,851625,2355,22,367,391562,0 34,2,2024-09-07 09:32:20:766,613501,613501,0,0,24061665,0,4562 34,3,2024-09-07 09:32:21:688,1,484,2,0,320,3894,484,0 35,0,2024-09-07 09:32:20:859,120495,0.3,121151,0.5,243502,0.3,323618,1.75 35,1,2024-09-07 09:32:21:079,851153,851153,0,0,400385905036,4153571801,845505,4592,1056,382,391769,0 35,2,2024-09-07 09:32:21:585,611534,611534,0,0,26346845,0,4055 35,3,2024-09-07 09:32:20:908,1,484,0,0,418,4753,484,0 36,0,2024-09-07 09:32:21:581,122245,0.5,122255,0.7,244274,0.5,325226,2.25 36,1,2024-09-07 09:32:20:587,850352,850352,0,0,398540794012,4166934499,838604,9573,2175,366,391759,0 36,2,2024-09-07 09:32:21:758,612915,612915,0,0,27460109,0,3875 36,3,2024-09-07 09:32:20:869,1,484,93,0,416,7003,484,0 37,0,2024-09-07 09:32:21:379,120564,0.5,120531,0.7,241425,0.5,321508,2.25 37,1,2024-09-07 09:32:20:571,849150,849143,0,7,398892260044,4169753539,838236,8234,2673,365,391770,0 37,2,2024-09-07 09:32:21:141,610364,610349,15,0,27744075,0,5815 37,3,2024-09-07 09:32:21:766,1,484,8,0,888,7066,484,0 38,0,2024-09-07 09:32:21:442,120884,0.4,117294,0.6,245415,0.4,321580,2.00 38,1,2024-09-07 09:32:21:605,850298,850298,0,0,400052706909,4186110810,837400,10383,2515,368,391821,0 38,2,2024-09-07 09:32:20:764,611456,611409,47,0,28211970,0,6710 38,3,2024-09-07 09:32:20:998,1,484,0,0,689,6499,484,0 39,0,2024-09-07 09:32:21:766,124099,0.6,121352,0.7,236535,0.5,322733,2.00 39,1,2024-09-07 09:32:20:716,848602,848602,0,0,397692685721,4179382114,832975,12192,3435,365,391658,0 39,2,2024-09-07 09:32:21:422,612433,612433,0,0,26394207,0,3391 39,3,2024-09-07 09:32:20:714,1,484,1,0,525,5879,484,0 40,0,2024-09-07 09:32:21:490,120258,0.8,121044,1.0,241454,0.9,322037,2.75 40,1,2024-09-07 09:32:20:576,850501,850501,0,0,398083248653,4166302248,838803,9529,2169,368,391668,0 40,2,2024-09-07 09:32:21:307,609930,609929,1,0,30243456,0,5137 40,3,2024-09-07 09:32:21:151,1,484,12,0,1028,6359,484,0 41,0,2024-09-07 09:32:21:027,120603,1.1,123364,1.1,235319,1.6,320215,2.75 41,1,2024-09-07 09:32:20:771,849065,849065,0,0,398836674856,4174338751,838057,9299,1709,369,391742,0 41,2,2024-09-07 09:32:20:762,610191,610191,0,0,28869597,0,4277 41,3,2024-09-07 09:32:21:688,1,484,12,0,366,5047,484,0 42,0,2024-09-07 09:32:21:475,120786,0.8,120470,1.0,241313,0.9,319767,2.50 42,1,2024-09-07 09:32:21:441,846493,846493,0,0,397586155302,4183691300,831952,11682,2859,380,391675,0 42,2,2024-09-07 09:32:21:134,611780,611780,0,0,28564792,0,3790 42,3,2024-09-07 09:32:21:010,1,484,5,0,892,4543,484,0 43,0,2024-09-07 09:32:20:924,119906,0.7,116794,0.9,244310,0.7,320379,2.25 43,1,2024-09-07 09:32:20:579,849873,849873,0,0,398723642400,4167986618,837798,10099,1976,366,391696,0 43,2,2024-09-07 09:32:21:737,612670,612670,0,0,28478078,0,3812 43,3,2024-09-07 09:32:21:749,1,484,76,0,571,6920,484,0 44,0,2024-09-07 09:32:20:865,121466,0.4,121514,0.6,243527,0.4,323991,2.00 44,1,2024-09-07 09:32:20:563,852147,852147,0,0,400306938034,4138349661,844654,5944,1549,356,391809,0 44,2,2024-09-07 09:32:21:279,608654,608654,0,0,23594255,0,4344 44,3,2024-09-07 09:32:21:093,1,484,1,0,817,5443,484,0 45,0,2024-09-07 09:32:21:756,120205,0.5,117499,0.7,246566,0.4,323950,2.00 45,1,2024-09-07 09:32:21:006,851056,851056,0,0,400618563257,4165626130,843875,6315,866,382,391917,0 45,2,2024-09-07 09:32:21:268,614180,614180,0,0,23822794,0,3596 45,3,2024-09-07 09:32:20:935,1,484,16,0,271,4333,484,0 46,0,2024-09-07 09:32:20:965,120308,0.5,119751,0.7,240321,0.5,318899,2.00 46,1,2024-09-07 09:32:20:576,853232,853232,0,0,400623302712,4139344814,847638,4864,730,366,391709,0 46,2,2024-09-07 09:32:20:599,613077,613077,0,0,24045292,0,4443 46,3,2024-09-07 09:32:21:130,1,484,1,0,908,5728,484,0 47,0,2024-09-07 09:32:21:101,121190,0.4,121529,0.6,243435,0.4,322113,1.75 47,1,2024-09-07 09:32:20:574,853292,853292,0,0,399597367848,4129478314,848193,4260,839,366,391641,0 47,2,2024-09-07 09:32:20:917,614761,614761,0,0,24201494,0,4477 47,3,2024-09-07 09:32:21:121,1,484,1,0,600,5632,484,0 48,0,2024-09-07 09:32:21:504,121171,0.3,121214,0.4,241215,0.2,321266,1.50 48,1,2024-09-07 09:32:21:021,851533,851533,0,0,399677639198,4153828530,846047,4915,571,384,391710,0 48,2,2024-09-07 09:32:20:705,611857,611857,0,0,22646416,0,3411 48,3,2024-09-07 09:32:20:756,1,484,12,0,339,3820,484,0 49,0,2024-09-07 09:32:21:714,124682,0.4,122531,0.5,238088,0.3,325743,1.75 49,1,2024-09-07 09:32:21:029,850658,850658,0,0,399657855944,4158158049,845101,4347,1210,382,391809,0 49,2,2024-09-07 09:32:21:798,613795,613795,0,0,24684438,0,4426 49,3,2024-09-07 09:32:21:420,1,484,11,0,992,5621,484,0 50,0,2024-09-07 09:32:21:516,121400,0.3,119700,0.5,241116,0.2,321294,1.75 50,1,2024-09-07 09:32:21:012,853470,853470,0,0,401149973009,4149686974,847786,5021,663,368,391565,0 50,2,2024-09-07 09:32:21:078,612352,612352,0,0,22836393,0,4490 50,3,2024-09-07 09:32:21:296,1,484,7,0,617,5312,484,0 51,0,2024-09-07 09:32:21:687,124570,0.3,121989,0.5,237590,0.2,324060,1.75 51,1,2024-09-07 09:32:21:681,854418,854418,0,0,401352986301,4140819355,849888,3477,1053,365,391706,0 51,2,2024-09-07 09:32:21:320,612841,612841,0,0,21893147,0,3337 51,3,2024-09-07 09:32:21:031,1,484,0,0,678,3715,484,0 52,0,2024-09-07 09:32:21:434,121907,0.5,121621,0.7,243496,0.5,323379,2.00 52,1,2024-09-07 09:32:20:576,849348,849348,0,0,399294327395,4184764602,836279,10965,2104,368,391722,0 52,2,2024-09-07 09:32:21:754,608860,608822,38,0,29676831,0,6742 52,3,2024-09-07 09:32:20:688,1,484,2,0,1782,6171,484,0 53,0,2024-09-07 09:32:21:727,120675,0.7,117116,0.8,245075,0.8,321492,2.50 53,1,2024-09-07 09:32:20:771,847613,847613,0,0,399117828578,4194064259,832475,11294,3844,367,391968,0 53,2,2024-09-07 09:32:21:300,612218,612217,1,0,25848162,0,5455 53,3,2024-09-07 09:32:20:704,1,484,8,0,308,4793,484,0 54,0,2024-09-07 09:32:21:614,119948,0.6,120330,0.8,239413,0.5,319916,2.25 54,1,2024-09-07 09:32:20:584,849844,849844,0,0,400643927767,4170396657,840074,8136,1634,366,391810,0 54,2,2024-09-07 09:32:20:865,612753,612721,32,0,29743484,0,6397 54,3,2024-09-07 09:32:20:763,1,484,2,0,676,6720,484,0 55,0,2024-09-07 09:32:21:765,117558,0.6,121187,0.7,245467,0.5,319647,2.50 55,1,2024-09-07 09:32:20:764,851245,851245,0,0,399230616233,4154325340,841771,8178,1296,365,391731,0 55,2,2024-09-07 09:32:20:729,612070,612014,56,0,28553912,0,7239 55,3,2024-09-07 09:32:20:674,1,484,32,0,304,4689,484,0 56,0,2024-09-07 09:32:21:591,123454,1.2,116391,1.1,239852,1.6,320874,2.75 56,1,2024-09-07 09:32:20:571,846945,846945,0,0,398220696698,4203314485,834236,10107,2602,381,391867,0 56,2,2024-09-07 09:32:21:306,611745,611623,122,0,29518311,0,7432 56,3,2024-09-07 09:32:21:059,1,484,47,0,705,5768,484,0 57,0,2024-09-07 09:32:20:953,119571,1.5,119510,1.2,239329,2.1,320094,3.00 57,1,2024-09-07 09:32:20:994,848535,848535,0,0,398276306713,4178322671,837489,8979,2067,366,392032,0 57,2,2024-09-07 09:32:21:320,610195,610195,0,0,29737931,0,4317 57,3,2024-09-07 09:32:21:755,1,484,15,0,455,5555,484,0 58,0,2024-09-07 09:32:20:575,120074,0.9,116634,0.9,243972,1.0,319962,2.50 58,1,2024-09-07 09:32:20:574,849521,849518,0,3,399911288956,4188278021,837140,9610,2768,367,391603,3 58,2,2024-09-07 09:32:21:070,612388,612388,0,0,28194851,0,3483 58,3,2024-09-07 09:32:21:071,1,484,1,0,1043,5259,484,0 59,0,2024-09-07 09:32:21:770,120471,0.8,120140,1.0,239788,0.9,318053,2.75 59,1,2024-09-07 09:32:20:940,849048,849048,0,0,399016537433,4186252200,836247,10387,2414,369,391653,0 59,2,2024-09-07 09:32:20:585,612235,612235,0,0,27510388,0,3727 59,3,2024-09-07 09:32:21:736,1,484,0,0,1015,6305,484,0 60,0,2024-09-07 09:32:21:731,120635,0.5,120744,0.7,241904,0.5,321150,1.75 60,1,2024-09-07 09:32:20:771,852389,852389,0,0,400515167001,4157809456,846453,5007,929,370,392031,0 60,2,2024-09-07 09:32:21:156,612355,612355,0,0,26085789,0,3811 60,3,2024-09-07 09:32:21:258,1,484,0,0,409,5983,484,0 61,0,2024-09-07 09:32:21:513,120424,0.7,121046,0.8,241037,0.7,321306,2.00 61,1,2024-09-07 09:32:20:770,849532,849532,0,0,399683962366,4187935462,839845,8110,1577,382,392127,0 61,2,2024-09-07 09:32:21:115,610785,610718,67,0,26667708,0,6411 61,3,2024-09-07 09:32:21:695,1,484,11,0,479,6563,484,0 62,0,2024-09-07 09:32:21:730,122610,0.6,125595,0.7,239937,0.5,326569,2.00 62,1,2024-09-07 09:32:21:115,854561,854555,0,6,401388205982,4137586034,850607,3684,264,365,391975,6 62,2,2024-09-07 09:32:21:645,611276,611275,1,0,26083994,0,5555 62,3,2024-09-07 09:32:21:151,1,484,0,0,482,4037,484,0 63,0,2024-09-07 09:32:21:467,121193,0.4,120980,0.6,242699,0.4,322268,1.75 63,1,2024-09-07 09:32:20:813,851954,851948,0,6,400520254614,4157454915,846597,4617,734,381,391800,6 63,2,2024-09-07 09:32:20:765,612563,612563,0,0,24766060,0,4369 63,3,2024-09-07 09:32:21:734,1,484,3,0,667,5192,484,0 64,0,2024-09-07 09:32:21:547,120666,0.5,120785,0.7,241034,0.5,320173,2.00 64,1,2024-09-07 09:32:20:765,851182,851182,0,0,399904929497,4167248945,843583,5833,1766,370,391783,0 64,2,2024-09-07 09:32:21:148,615623,615604,19,0,24553590,0,6121 64,3,2024-09-07 09:32:21:147,1,484,0,0,651,5319,484,0 65,0,2024-09-07 09:32:21:682,120363,0.7,120613,0.8,240778,0.7,320936,2.00 65,1,2024-09-07 09:32:20:863,849118,849118,0,0,398406675559,4168305719,842444,5881,793,381,391901,0 65,2,2024-09-07 09:32:21:715,611628,611628,0,0,28607879,0,3367 65,3,2024-09-07 09:32:21:685,1,484,8,0,782,5881,484,0 66,0,2024-09-07 09:32:21:767,121539,0.6,121278,0.8,242369,0.5,323057,2.25 66,1,2024-09-07 09:32:21:297,851311,851311,0,0,399748133498,4164092019,845183,5406,722,380,391743,0 66,2,2024-09-07 09:32:21:132,614239,614236,3,0,25996195,0,5455 66,3,2024-09-07 09:32:21:079,1,484,1,0,291,4277,484,0 67,0,2024-09-07 09:32:21:430,120894,0.6,120627,0.7,242157,0.5,321684,2.25 67,1,2024-09-07 09:32:20:769,850881,850880,0,1,399629557330,4163179941,844821,5250,809,380,391787,1 67,2,2024-09-07 09:32:20:594,614314,614299,15,0,25132301,0,6205 67,3,2024-09-07 09:32:21:758,1,484,27,0,392,4736,484,0 68,0,2024-09-07 09:32:20:610,121722,0.5,121617,0.7,242282,0.5,323902,2.00 68,1,2024-09-07 09:32:20:583,847255,847255,0,0,397750207175,4187203606,835121,8735,3399,381,391953,0 68,2,2024-09-07 09:32:21:047,608599,608499,100,0,32744177,0,8578 68,3,2024-09-07 09:32:20:731,1,484,30,0,417,6334,484,0 69,0,2024-09-07 09:32:21:732,120523,0.7,121291,0.8,241777,0.7,320928,2.25 69,1,2024-09-07 09:32:21:028,847129,847129,0,0,397783908432,4197457679,835114,9258,2757,384,391994,0 69,2,2024-09-07 09:32:21:735,610764,610735,29,0,33736823,0,6912 69,3,2024-09-07 09:32:20:765,1,484,136,0,698,7411,484,0 70,0,2024-09-07 09:32:21:537,120182,1.0,120524,1.1,242373,0.9,320714,2.50 70,1,2024-09-07 09:32:20:813,851854,851854,0,0,400912709296,4162714996,844895,6241,718,366,391725,0 70,2,2024-09-07 09:32:21:329,610715,610715,0,0,28194905,0,4323 70,3,2024-09-07 09:32:20:755,1,484,89,0,854,5627,484,0 71,0,2024-09-07 09:32:21:401,120021,1.0,119764,1.0,240681,1.2,321219,2.75 71,1,2024-09-07 09:32:21:597,849454,849454,0,0,399600467180,4176424088,838186,10013,1255,368,391738,0 71,2,2024-09-07 09:32:21:068,611500,611500,0,0,28804970,0,4352 71,3,2024-09-07 09:32:21:752,1,484,13,0,644,5943,484,0 72,0,2024-09-07 09:32:21:034,125355,0.5,122597,0.7,239020,0.5,325155,2.00 72,1,2024-09-07 09:32:21:030,848544,848544,0,0,398889127746,4185119418,836425,10033,2086,369,391819,0 72,2,2024-09-07 09:32:21:754,611705,611705,0,0,30144625,0,3983 72,3,2024-09-07 09:32:21:759,1,484,2,0,564,7169,484,0 73,0,2024-09-07 09:32:21:128,117891,0.4,121082,0.6,247149,0.4,321308,2.00 73,1,2024-09-07 09:32:20:766,850842,850842,0,0,399758541618,4151275084,844907,5513,422,367,391858,0 73,2,2024-09-07 09:32:21:745,612060,612060,0,0,30013079,0,4291 73,3,2024-09-07 09:32:20:974,1,484,18,0,486,6187,484,0 74,0,2024-09-07 09:32:21:326,122264,0.5,125159,0.7,238660,0.5,324231,2.25 74,1,2024-09-07 09:32:20:638,849962,849962,0,0,398787972113,4163434952,840733,7437,1792,381,391762,0 74,2,2024-09-07 09:32:21:005,609784,609784,0,0,26483330,0,4253 74,3,2024-09-07 09:32:21:443,1,484,201,0,522,6049,484,0 75,0,2024-09-07 09:32:21:767,122136,0.5,121421,0.7,243570,0.5,325506,2.25 75,1,2024-09-07 09:32:21:590,850672,850672,0,0,399281843797,4164097390,843136,6694,842,380,391739,0 75,2,2024-09-07 09:32:21:366,611645,611645,0,0,31074608,0,4766 75,3,2024-09-07 09:32:21:085,1,484,7,0,702,6036,484,0 76,0,2024-09-07 09:32:20:586,120132,0.5,119499,0.7,239695,0.5,320363,2.25 76,1,2024-09-07 09:32:20:809,849701,849701,0,0,398758034067,4159292591,843913,4959,829,382,391692,0 76,2,2024-09-07 09:32:21:070,614778,614775,3,0,26982021,0,5265 76,3,2024-09-07 09:32:21:142,1,484,0,0,227,4599,484,0 77,0,2024-09-07 09:32:21:707,120598,0.5,121048,0.7,242393,0.5,321110,2.00 77,1,2024-09-07 09:32:20:847,850622,850622,0,0,399522134801,4169278228,844076,5985,561,381,391869,0 77,2,2024-09-07 09:32:21:281,611756,611756,0,0,26052051,0,3890 77,3,2024-09-07 09:32:21:101,1,484,16,0,401,5288,484,0 78,0,2024-09-07 09:32:21:732,120999,0.4,120408,0.6,241622,0.4,319917,2.00 78,1,2024-09-07 09:32:20:621,850648,850648,0,0,398480803131,4155654674,841005,7414,2229,367,391670,0 78,2,2024-09-07 09:32:21:415,612744,612731,13,0,24242220,0,8313 78,3,2024-09-07 09:32:21:136,1,484,14,0,181,4041,484,0 79,0,2024-09-07 09:32:21:379,117330,0.4,120308,0.6,246131,0.4,320586,2.25 79,1,2024-09-07 09:32:20:571,852860,852860,0,0,400078218117,4147014126,845564,5945,1351,367,391682,0 79,2,2024-09-07 09:32:21:071,613659,613659,0,0,23491794,0,4195 79,3,2024-09-07 09:32:20:763,1,484,7,0,418,6053,484,0 80,0,2024-09-07 09:32:21:081,120517,0.5,123795,0.7,237101,0.5,320693,2.00 80,1,2024-09-07 09:32:21:625,849958,849958,0,0,399326384503,4159584029,844168,5367,423,368,392269,0 80,2,2024-09-07 09:32:21:103,614245,614245,0,0,24446515,0,4433 80,3,2024-09-07 09:32:20:585,1,484,8,0,190,5505,484,0 81,0,2024-09-07 09:32:21:537,121483,0.5,124447,0.7,237436,0.5,322664,2.00 81,1,2024-09-07 09:32:21:650,849099,849099,0,0,399064087656,4174874202,841828,6634,637,382,391885,0 81,2,2024-09-07 09:32:21:126,611462,611399,63,0,27503608,0,5932 81,3,2024-09-07 09:32:21:121,1,484,18,0,719,5683,484,0 82,0,2024-09-07 09:32:21:542,121262,0.5,121487,0.7,243233,0.5,323040,2.00 82,1,2024-09-07 09:32:20:593,851398,851394,0,4,399758094201,4159081281,846333,4242,819,381,391768,4 82,2,2024-09-07 09:32:21:702,613508,613508,0,0,22710239,0,4484 82,3,2024-09-07 09:32:21:762,1,484,1,0,363,4843,484,0 83,0,2024-09-07 09:32:21:540,121504,0.6,121311,0.8,242079,0.6,322020,2.25 83,1,2024-09-07 09:32:20:551,849618,849618,0,0,399176941744,4163766360,843496,5671,451,382,391709,0 83,2,2024-09-07 09:32:20:765,611450,611425,25,0,24394410,0,5612 83,3,2024-09-07 09:32:20:764,1,484,251,0,1260,6228,484,0 84,0,2024-09-07 09:32:21:784,120745,0.8,120929,0.9,241375,0.7,323303,2.25 84,1,2024-09-07 09:32:21:047,848548,848548,0,0,399107123195,4175619507,838635,8395,1518,367,391967,0 84,2,2024-09-07 09:32:20:570,611105,611075,30,0,32211822,0,5971 84,3,2024-09-07 09:32:21:141,1,484,18,0,908,7224,484,0 85,0,2024-09-07 09:32:21:017,117026,0.6,116947,0.8,248181,0.5,321463,2.25 85,1,2024-09-07 09:32:20:559,845792,845792,0,0,397932617781,4202776861,832716,10835,2241,381,392092,0 85,2,2024-09-07 09:32:20:865,611347,611347,0,0,30454106,0,4255 85,3,2024-09-07 09:32:20:749,1,484,20,0,789,5713,484,0 86,0,2024-09-07 09:32:20:896,120688,0.6,124185,0.8,237491,0.7,321236,2.25 86,1,2024-09-07 09:32:20:835,848827,848827,0,0,398752830472,4181944818,837705,9155,1967,366,391961,0 86,2,2024-09-07 09:32:20:855,610190,610189,1,0,32678705,0,5004 86,3,2024-09-07 09:32:20:586,1,484,0,0,308,7200,484,0 87,0,2024-09-07 09:32:21:293,121243,0.9,120958,0.9,242100,1.1,323355,2.25 87,1,2024-09-07 09:32:20:551,847631,847631,0,0,398448638684,4179395204,835811,10111,1709,366,392076,0 87,2,2024-09-07 09:32:21:074,609533,609527,6,0,28319487,0,6323 87,3,2024-09-07 09:32:21:805,1,484,33,0,473,7364,484,0 88,0,2024-09-07 09:32:21:441,121543,0.4,122165,0.6,244100,0.4,324945,1.75 88,1,2024-09-07 09:32:20:574,847323,847323,0,0,398267404448,4173937720,835423,9494,2406,365,392084,0 88,2,2024-09-07 09:32:20:701,613014,613014,0,0,30754216,0,4465 88,3,2024-09-07 09:32:21:269,1,484,11,0,435,5947,484,0 89,0,2024-09-07 09:32:21:777,124437,0.4,120559,0.6,238382,0.4,323886,1.75 89,1,2024-09-07 09:32:20:550,847150,847150,0,0,399415315778,4199632233,836847,8828,1475,382,391866,0 89,2,2024-09-07 09:32:21:131,611933,611933,0,0,29138876,0,3173 89,3,2024-09-07 09:32:21:791,1,484,8,0,468,8107,484,0 90,0,2024-09-07 09:32:21:617,117179,0.4,120388,0.6,245480,0.4,320025,2.00 90,1,2024-09-07 09:32:20:591,849569,849569,0,0,398694774922,4171783512,841983,6935,651,380,391825,0 90,2,2024-09-07 09:32:21:421,610148,610148,0,0,31282440,0,3608 90,3,2024-09-07 09:32:20:930,1,484,26,0,322,6013,484,0 91,0,2024-09-07 09:32:20:923,121160,0.5,117353,0.6,245326,0.5,321915,1.75 91,1,2024-09-07 09:32:20:562,847484,847484,0,0,399038033200,4194153206,837067,8772,1645,381,392047,0 91,2,2024-09-07 09:32:21:345,611488,611488,0,0,27237310,0,3526 91,3,2024-09-07 09:32:20:600,1,484,1,0,216,4732,484,0 92,0,2024-09-07 09:32:21:448,123405,0.5,126234,0.6,240603,0.5,326730,1.75 92,1,2024-09-07 09:32:20:601,849892,849892,0,0,398524320741,4163562279,843310,5506,1076,381,392136,0 92,2,2024-09-07 09:32:21:349,613935,613935,0,0,24491562,0,3259 92,3,2024-09-07 09:32:21:009,1,484,1,0,167,4229,484,0 93,0,2024-09-07 09:32:20:963,121679,0.4,124712,0.6,237941,0.4,322462,1.75 93,1,2024-09-07 09:32:20:819,849887,849887,0,0,398622087900,4163393611,840422,7765,1700,366,391776,0 93,2,2024-09-07 09:32:20:928,612360,612360,0,0,30178258,0,4913 93,3,2024-09-07 09:32:21:407,1,484,9,0,190,4308,484,0 94,0,2024-09-07 09:32:21:603,120860,0.3,121455,0.5,242787,0.3,321910,1.75 94,1,2024-09-07 09:32:20:563,849992,849992,0,0,399920850847,4172579440,844213,5407,372,381,391850,0 94,2,2024-09-07 09:32:20:765,611879,611851,28,0,25706073,0,6179 94,3,2024-09-07 09:32:21:691,1,484,1,0,576,6189,484,0 95,0,2024-09-07 09:32:21:359,121477,0.3,121146,0.5,242845,0.3,323570,1.75 95,1,2024-09-07 09:32:20:851,851700,851700,0,0,400185415554,4159629779,844926,6293,481,365,391786,0 95,2,2024-09-07 09:32:21:017,611627,611627,0,0,24451984,0,3308 95,3,2024-09-07 09:32:21:713,1,484,5,0,718,7218,484,0 96,0,2024-09-07 09:32:21:027,122143,0.4,122363,0.6,244535,0.4,325005,1.75 96,1,2024-09-07 09:32:21:618,849747,849747,0,0,399307115710,4168052105,843382,5454,911,384,391955,0 96,2,2024-09-07 09:32:21:269,613180,613180,0,0,25391528,0,4180 96,3,2024-09-07 09:32:21:147,1,484,4,0,411,5274,484,0 97,0,2024-09-07 09:32:21:325,121218,0.3,120825,0.5,242755,0.3,322109,1.75 97,1,2024-09-07 09:32:20:764,851378,851378,0,0,400588372715,4156812480,845841,4601,936,367,392140,0 97,2,2024-09-07 09:32:20:615,613025,613025,0,0,24925700,0,3679 97,3,2024-09-07 09:32:20:571,1,484,9,0,242,5826,484,0 98,0,2024-09-07 09:32:21:726,121173,0.3,121476,0.4,243642,0.2,323595,1.50 98,1,2024-09-07 09:32:20:574,850946,850946,0,0,399234207099,4160117292,845627,4525,794,381,391997,0 98,2,2024-09-07 09:32:20:783,612439,612439,0,0,24824187,0,4336 98,3,2024-09-07 09:32:20:704,1,484,6,0,840,7578,484,0 99,0,2024-09-07 09:32:21:464,121143,0.3,121816,0.5,242256,0.3,323429,1.75 99,1,2024-09-07 09:32:21:729,851052,851052,0,0,399288625124,4158383674,845202,4929,921,380,392069,0 99,2,2024-09-07 09:32:21:420,613174,613174,0,0,29948147,0,4276 99,3,2024-09-07 09:32:20:586,1,484,1,0,606,5035,484,0 100,0,2024-09-07 09:32:21:474,121199,0.9,121586,1.0,242256,1.0,324072,2.50 100,1,2024-09-07 09:32:20:548,845619,845619,0,0,397431721281,4207738686,831436,11085,3098,378,391989,0 100,2,2024-09-07 09:32:21:817,608396,608385,11,0,28563888,0,5417 100,3,2024-09-07 09:32:21:731,1,484,3,0,627,8253,484,0 101,0,2024-09-07 09:32:21:703,123651,1.0,120512,1.0,236193,0.9,322791,2.25 101,1,2024-09-07 09:32:20:554,846927,846927,0,0,398001695358,4186341811,834746,9787,2394,368,391847,0 101,2,2024-09-07 09:32:21:757,608634,608634,0,0,33721438,0,4871 101,3,2024-09-07 09:32:20:953,1,484,8,0,1250,7640,484,0 102,0,2024-09-07 09:32:20:948,118146,0.6,121790,0.8,247198,0.6,323320,2.00 102,1,2024-09-07 09:32:21:146,846712,846712,0,0,398030537519,4185557470,834655,10039,2018,369,391891,0 102,2,2024-09-07 09:32:21:738,612662,612608,54,0,28930878,0,6768 102,3,2024-09-07 09:32:21:613,1,484,1,0,466,5720,484,0 103,0,2024-09-07 09:32:21:591,124984,0.5,124966,0.7,235476,0.5,323812,2.00 103,1,2024-09-07 09:32:21:624,846377,846377,0,0,397891424385,4203867412,832601,10579,3197,381,391862,0 103,2,2024-09-07 09:32:20:590,610885,610885,0,0,30224040,0,3766 103,3,2024-09-07 09:32:20:755,1,484,133,0,916,6023,484,0 104,0,2024-09-07 09:32:21:041,120454,0.7,120714,0.9,240435,0.7,322220,2.25 104,1,2024-09-07 09:32:21:605,848640,848640,0,0,398762529227,4191373000,835793,10300,2547,365,392168,0 104,2,2024-09-07 09:32:21:669,607909,607909,0,0,28777454,0,3941 104,3,2024-09-07 09:32:21:421,1,484,1,0,1245,8938,484,0 105,0,2024-09-07 09:32:21:029,120435,0.8,117335,1.0,245951,0.9,323496,2.50 105,1,2024-09-07 09:32:20:563,849962,849962,0,0,398571863769,4176943708,839094,9215,1653,366,392009,0 105,2,2024-09-07 09:32:21:324,611546,611546,0,0,28547044,0,3509 105,3,2024-09-07 09:32:21:307,1,484,7,0,399,7102,484,0 106,0,2024-09-07 09:32:20:936,116604,0.8,119337,0.9,244624,0.8,319132,2.50 106,1,2024-09-07 09:32:21:771,848906,848906,0,0,398068366560,4178559882,836693,10569,1644,368,391914,0 106,2,2024-09-07 09:32:20:759,611625,611625,0,0,27916960,0,2920 106,3,2024-09-07 09:32:20:682,1,484,1,0,470,6252,484,0 107,0,2024-09-07 09:32:21:116,120846,0.8,121074,0.9,241930,0.8,322337,2.00 107,1,2024-09-07 09:32:20:585,846989,846989,0,0,397409933991,4191429015,834805,10905,1279,381,392234,0 107,2,2024-09-07 09:32:21:299,610078,610077,1,0,30024982,0,5024 107,3,2024-09-07 09:32:21:758,1,484,19,0,370,6867,484,0 108,0,2024-09-07 09:32:21:775,120429,0.4,121223,0.6,241046,0.4,321348,1.75 108,1,2024-09-07 09:32:21:297,849670,849670,0,0,398639006509,4157759994,842576,6258,836,367,391857,0 108,2,2024-09-07 09:32:21:760,610478,610478,0,0,27280537,0,4246 108,3,2024-09-07 09:32:21:333,1,484,91,0,749,9640,484,0 109,0,2024-09-07 09:32:21:750,122201,0.4,121236,0.6,242729,0.4,324702,1.75 109,1,2024-09-07 09:32:20:585,847384,847384,0,0,398912839712,4186663008,839365,6807,1212,382,392132,0 109,2,2024-09-07 09:32:20:930,610906,610906,0,0,26634468,0,3617 109,3,2024-09-07 09:32:21:151,1,484,11,0,630,6170,484,0 110,0,2024-09-07 09:32:21:750,120574,0.4,117390,0.6,246037,0.4,321938,1.75 110,1,2024-09-07 09:32:21:651,851464,851464,0,0,399671757003,4147231133,845553,4691,1220,368,392045,0 110,2,2024-09-07 09:32:21:307,612842,612842,0,0,25670680,0,4067 110,3,2024-09-07 09:32:20:701,1,484,1,0,722,7060,484,0 111,0,2024-09-07 09:32:21:466,121903,0.3,121202,0.5,242814,0.3,323960,1.75 111,1,2024-09-07 09:32:21:192,852522,852522,0,0,400064885562,4148609530,848196,3970,356,380,391690,0 111,2,2024-09-07 09:32:21:115,611820,611820,0,0,25823480,0,4823 111,3,2024-09-07 09:32:20:918,1,484,1,0,379,5657,484,0 112,0,2024-09-07 09:32:20:914,122275,0.3,121566,0.4,243900,0.2,324026,1.50 112,1,2024-09-07 09:32:20:826,851009,851009,0,0,400349024422,4158978408,845128,5192,689,380,391624,0 112,2,2024-09-07 09:32:21:137,611816,611815,1,0,25129971,0,5036 112,3,2024-09-07 09:32:20:592,1,484,3,0,282,4850,484,0 113,0,2024-09-07 09:32:20:886,121578,0.3,121550,0.5,243457,0.3,323908,1.75 113,1,2024-09-07 09:32:21:690,853775,853775,0,0,401774877672,4147318486,848957,4009,809,366,391661,0 113,2,2024-09-07 09:32:21:312,614153,614153,0,0,22450763,0,3813 113,3,2024-09-07 09:32:20:684,1,484,11,0,340,5262,484,0 114,0,2024-09-07 09:32:20:889,122165,0.3,123004,0.5,244494,0.2,327118,1.75 114,1,2024-09-07 09:32:20:717,851975,851975,0,0,400070808206,4156529171,845319,5040,1616,381,391565,0 114,2,2024-09-07 09:32:20:873,614215,614214,1,0,23870648,0,5069 114,3,2024-09-07 09:32:21:280,1,484,2,0,395,3909,484,0 115,0,2024-09-07 09:32:20:557,121990,0.3,122445,0.4,244342,0.2,324833,1.50 115,1,2024-09-07 09:32:20:571,851628,851628,0,0,399869538402,4158631122,844334,5937,1357,382,391757,0 115,2,2024-09-07 09:32:21:125,615134,615134,0,0,24058863,0,4382 115,3,2024-09-07 09:32:21:009,1,484,7,0,167,2905,484,0 116,0,2024-09-07 09:32:21:736,120535,0.7,120388,0.8,241346,0.6,322480,2.00 116,1,2024-09-07 09:32:20:808,847149,847149,0,0,398087668442,4198181651,836695,8013,2441,380,392089,0 116,2,2024-09-07 09:32:21:751,610921,610921,0,0,30918628,0,4475 116,3,2024-09-07 09:32:20:942,1,484,97,0,415,6215,484,0 117,0,2024-09-07 09:32:21:031,121519,0.7,121045,0.8,242520,0.8,323773,2.00 117,1,2024-09-07 09:32:21:588,848073,848073,0,0,398690204821,4177525669,838195,8654,1224,369,392033,0 117,2,2024-09-07 09:32:21:125,612166,612166,0,0,26623617,0,4303 117,3,2024-09-07 09:32:21:063,1,484,7,0,490,6919,484,0 118,0,2024-09-07 09:32:21:854,118124,0.5,121461,0.7,247425,0.5,323706,2.00 118,1,2024-09-07 09:32:20:605,847767,847767,0,0,398800488295,4194961372,834685,9966,3116,366,392054,0 118,2,2024-09-07 09:32:21:586,611742,611742,0,0,29188515,0,2842 118,3,2024-09-07 09:32:21:766,1,484,20,0,248,5747,484,0 119,0,2024-09-07 09:32:21:346,120659,0.7,120966,0.8,241942,0.6,322025,2.00 119,1,2024-09-07 09:32:20:553,848141,848141,0,0,398914047257,4182976408,836646,9732,1763,367,391857,0 119,2,2024-09-07 09:32:21:265,612828,612828,0,0,27413281,0,4174 119,3,2024-09-07 09:32:21:325,1,484,30,0,1358,9309,484,0 120,0,2024-09-07 09:32:21:571,120057,0.6,119863,0.8,240634,0.6,320645,2.25 120,1,2024-09-07 09:32:20:913,848965,848965,0,0,398595281131,4179243500,840098,8091,776,368,392144,0 120,2,2024-09-07 09:32:20:812,610769,610768,1,0,31191299,0,5281 120,3,2024-09-07 09:32:21:290,1,484,5,0,279,6166,484,0 121,0,2024-09-07 09:32:21:692,120779,1.3,120261,1.1,241118,1.7,321306,2.25 121,1,2024-09-07 09:32:21:661,849502,849502,0,0,398975927313,4169193407,841830,7118,554,367,391840,0 121,2,2024-09-07 09:32:21:126,609610,609610,0,0,29035998,0,4157 121,3,2024-09-07 09:32:20:728,1,484,15,0,330,5931,484,0 122,0,2024-09-07 09:32:21:794,121518,0.8,118411,0.9,248089,0.9,326460,2.00 122,1,2024-09-07 09:32:20:864,848096,848096,0,0,398334362288,4178037069,836748,9643,1705,366,392130,0 122,2,2024-09-07 09:32:21:324,613451,613378,73,0,32562014,0,5989 122,3,2024-09-07 09:32:20:600,1,484,3,0,512,8490,484,0 123,0,2024-09-07 09:32:20:965,120191,0.9,117073,0.9,245339,1.0,320835,2.25 123,1,2024-09-07 09:32:20:566,848279,848279,0,0,398282690780,4190558949,834210,11623,2446,369,392039,0 123,2,2024-09-07 09:32:21:018,610511,610510,1,0,27762783,0,5215 123,3,2024-09-07 09:32:21:135,1,484,1,0,478,5745,484,0 124,0,2024-09-07 09:32:20:936,124697,0.3,124695,0.5,235028,0.3,322878,1.75 124,1,2024-09-07 09:32:21:022,851164,851164,0,0,399195954656,4150975140,844775,5274,1115,367,392178,0 124,2,2024-09-07 09:32:21:011,612880,612827,53,0,25432033,0,6487 124,3,2024-09-07 09:32:20:764,1,484,1,0,490,4853,484,0 125,0,2024-09-07 09:32:21:424,121272,0.4,121009,0.6,242941,0.4,323477,1.75 125,1,2024-09-07 09:32:20:857,849535,849535,0,0,399258916209,4169723788,842640,5914,981,382,391813,0 125,2,2024-09-07 09:32:21:127,613067,613067,0,0,25691332,0,4534 125,3,2024-09-07 09:32:21:126,1,484,30,0,709,5907,484,0 126,0,2024-09-07 09:32:21:419,122096,0.4,125629,0.6,240317,0.4,325720,1.75 126,1,2024-09-07 09:32:20:558,852401,852401,0,0,400582158042,4147309231,848000,4105,296,365,391987,0 126,2,2024-09-07 09:32:20:632,613557,613557,0,0,26096070,0,4539 126,3,2024-09-07 09:32:20:919,1,484,14,0,268,5569,484,0 127,0,2024-09-07 09:32:21:619,121377,0.3,121723,0.5,242829,0.3,322458,1.75 127,1,2024-09-07 09:32:20:570,851099,851099,0,0,399125982995,4154376025,842375,7262,1462,364,392187,0 127,2,2024-09-07 09:32:20:637,611544,611540,4,0,24769829,0,5305 127,3,2024-09-07 09:32:21:267,1,484,13,0,968,4971,484,0 128,0,2024-09-07 09:32:21:569,122079,0.3,122198,0.4,243921,0.2,324530,1.50 128,1,2024-09-07 09:32:21:609,850011,850011,0,0,401095545455,4167520185,843899,5384,728,367,392031,0 128,2,2024-09-07 09:32:21:389,614382,614382,0,0,23564364,0,3171 128,3,2024-09-07 09:32:20:776,1,484,2,0,1082,7869,484,0 129,0,2024-09-07 09:32:20:999,122109,0.3,121635,0.5,243605,0.3,323861,1.50 129,1,2024-09-07 09:32:20:569,847830,847830,0,0,397689458460,4169468591,839325,6770,1735,379,391962,0 129,2,2024-09-07 09:32:20:685,613401,613397,4,0,25724214,0,5335 129,3,2024-09-07 09:32:20:688,1,484,8,0,506,7058,484,0 130,0,2024-09-07 09:32:21:738,122298,0.5,121883,0.6,244977,0.5,326032,1.75 130,1,2024-09-07 09:32:20:584,851386,851386,0,0,398701922459,4145043356,846714,4286,386,381,391825,0 130,2,2024-09-07 09:32:21:128,611801,611801,0,0,25135193,0,4067 130,3,2024-09-07 09:32:21:297,1,484,0,0,960,7172,484,0 131,0,2024-09-07 09:32:21:932,121299,0.4,121586,0.5,243884,0.3,323751,1.75 131,1,2024-09-07 09:32:21:821,850458,850458,0,0,399362664163,4170055298,843641,5626,1191,381,391865,0 131,2,2024-09-07 09:32:20:579,613856,613856,0,0,23555210,0,3979 131,3,2024-09-07 09:32:21:708,1,484,4,0,392,5837,484,0 132,0,2024-09-07 09:32:21:426,121420,0.4,122682,0.6,243829,0.4,324647,2.00 132,1,2024-09-07 09:32:20:578,846907,846907,0,0,398378570380,4195219478,834508,10210,2189,381,392532,0 132,2,2024-09-07 09:32:20:704,612419,612402,17,0,31524764,0,6451 132,3,2024-09-07 09:32:21:689,1,484,77,0,804,8498,484,0 133,0,2024-09-07 09:32:21:529,117938,0.4,120716,0.6,247583,0.4,322012,1.75 133,1,2024-09-07 09:32:20:601,846789,846789,0,0,397804859604,4194012050,834989,10176,1624,383,391914,0 133,2,2024-09-07 09:32:21:096,612464,612414,50,0,31844765,0,6861 133,3,2024-09-07 09:32:21:296,1,484,85,0,479,5553,484,0 134,0,2024-09-07 09:32:20:960,121640,0.5,121472,0.7,243365,0.5,324427,2.00 134,1,2024-09-07 09:32:20:591,847416,847416,0,0,398422233248,4178473194,836509,8808,2099,366,391718,0 134,2,2024-09-07 09:32:21:756,608785,608761,24,0,29572806,0,6207 134,3,2024-09-07 09:32:20:754,1,484,11,0,739,6150,484,0 135,0,2024-09-07 09:32:21:097,117866,0.8,117812,0.9,250170,0.9,322395,2.25 135,1,2024-09-07 09:32:21:606,847881,847881,0,0,398801259264,4196210475,836544,9773,1564,380,391805,0 135,2,2024-09-07 09:32:20:687,612793,612793,0,0,29664744,0,4503 135,3,2024-09-07 09:32:21:010,1,484,0,0,299,4187,484,0 136,0,2024-09-07 09:32:21:623,121260,0.5,121520,0.7,242011,0.5,322245,2.00 136,1,2024-09-07 09:32:21:442,849590,849590,0,0,398246646920,4174607718,840095,8320,1175,381,392135,0 136,2,2024-09-07 09:32:21:136,614051,614051,0,0,27538057,0,3506 136,3,2024-09-07 09:32:21:107,1,484,3,0,637,5518,484,0 137,0,2024-09-07 09:32:20:933,124930,0.6,121685,0.7,238567,0.5,323509,2.00 137,1,2024-09-07 09:32:20:574,847833,847833,0,0,397823353875,4172332474,834841,10323,2669,366,391898,0 137,2,2024-09-07 09:32:21:711,610635,610635,0,0,30483580,0,3185 137,3,2024-09-07 09:32:20:773,1,484,7,0,484,6210,484,0 138,0,2024-09-07 09:32:21:802,120132,0.9,120167,0.9,241264,1.1,319919,2.25 138,1,2024-09-07 09:32:21:702,848869,848869,0,0,399470687494,4188978088,837146,9846,1877,368,391954,0 138,2,2024-09-07 09:32:20:601,610252,610252,0,0,28274248,0,4988 138,3,2024-09-07 09:32:20:613,1,484,1,0,1200,7828,484,0 139,0,2024-09-07 09:32:21:363,120655,1.3,120921,1.0,241967,1.9,323345,2.50 139,1,2024-09-07 09:32:20:575,844286,844286,0,0,395884845882,4202614080,828710,12128,3448,380,392058,0 139,2,2024-09-07 09:32:20:703,608610,608610,0,0,31436344,0,3097 139,3,2024-09-07 09:32:21:668,1,484,7,0,432,5643,484,0 140,0,2024-09-07 09:32:21:623,121368,0.3,120536,0.5,241966,0.2,322194,1.75 140,1,2024-09-07 09:32:21:535,853588,853588,0,0,400973424609,4133550249,849540,3587,461,364,391606,0 140,2,2024-09-07 09:32:20:687,613507,613506,1,0,24047515,0,5036 140,3,2024-09-07 09:32:20:774,1,484,27,0,297,4638,484,0 141,0,2024-09-07 09:32:21:709,121856,0.3,124973,0.5,238628,0.2,323669,1.50 141,1,2024-09-07 09:32:20:859,851970,851970,0,0,400402170609,4161166035,845106,5779,1085,379,391614,0 141,2,2024-09-07 09:32:21:692,612310,612299,11,0,25526478,0,5369 141,3,2024-09-07 09:32:21:044,1,484,8,0,391,5356,484,0 142,0,2024-09-07 09:32:21:310,122207,0.3,121418,0.5,243417,0.3,324697,1.75 142,1,2024-09-07 09:32:20:590,849938,849938,0,0,399149477203,4161226280,844403,5079,456,382,392102,0 142,2,2024-09-07 09:32:21:300,611786,611754,32,0,26075991,0,6028 142,3,2024-09-07 09:32:21:745,1,484,0,0,484,5202,484,0 143,0,2024-09-07 09:32:21:406,121474,0.4,121571,0.6,243645,0.4,323940,1.75 143,1,2024-09-07 09:32:20:556,851963,851963,0,0,400396250319,4156067246,846195,5216,552,367,391705,0 143,2,2024-09-07 09:32:20:774,612752,612752,0,0,25147559,0,3123 143,3,2024-09-07 09:32:21:147,1,484,1,0,462,6212,484,0 144,0,2024-09-07 09:32:21:492,117976,0.6,121434,0.8,247246,0.6,323984,2.25 144,1,2024-09-07 09:32:20:567,847746,847746,0,0,397449942080,4173382922,838930,6913,1903,381,391733,0 144,2,2024-09-07 09:32:21:754,613472,613472,0,0,24162818,0,3673 144,3,2024-09-07 09:32:21:749,1,484,1,0,249,4877,484,0 145,0,2024-09-07 09:32:21:371,117698,0.6,117704,0.8,249534,0.5,321576,2.25 145,1,2024-09-07 09:32:20:559,846588,846588,0,0,397428733178,4187434944,834557,9572,2459,382,391759,0 145,2,2024-09-07 09:32:21:430,610309,610227,82,0,30353508,0,7814 145,3,2024-09-07 09:32:20:899,1,484,12,0,622,6793,484,0 146,0,2024-09-07 09:32:21:600,120829,0.6,120341,0.7,241760,0.5,320781,2.25 146,1,2024-09-07 09:32:21:585,848514,848514,0,0,398135649300,4185670388,835132,9853,3529,367,391770,0 146,2,2024-09-07 09:32:21:709,610643,610643,0,0,27977429,0,3290 146,3,2024-09-07 09:32:21:274,1,484,2,0,1520,8432,484,0 147,0,2024-09-07 09:32:21:695,121504,0.6,121243,0.8,241853,0.6,323204,2.25 147,1,2024-09-07 09:32:21:375,851539,851539,0,0,400011874676,4158162834,844551,6138,850,367,391791,0 147,2,2024-09-07 09:32:21:012,609661,609661,0,0,25195341,0,2968 147,3,2024-09-07 09:32:20:916,1,484,1,0,1626,8177,484,0 0,0,2024-09-07 09:32:31:702,117400,0.6,117421,0.7,249105,0.6,321784,2.00 0,1,2024-09-07 09:32:30:824,850148,850148,0,0,400199716279,4194029621,842671,6639,838,369,391896,0 0,2,2024-09-07 09:32:31:066,614560,614560,0,0,25572446,0,4480 0,3,2024-09-07 09:32:30:976,1,485,57,0,431,7005,485,0 1,0,2024-09-07 09:32:31:808,120744,1.0,120278,1.0,241067,1.4,322322,2.00 1,1,2024-09-07 09:32:30:557,849840,849840,0,0,398359762592,4176673914,841472,6903,1465,370,391859,0 1,2,2024-09-07 09:32:30:645,611604,611604,0,0,24838145,0,3380 1,3,2024-09-07 09:32:31:316,1,485,8,0,269,6285,485,0 2,0,2024-09-07 09:32:31:564,122283,0.6,122223,0.7,244405,0.6,326074,2.00 2,1,2024-09-07 09:32:30:862,852748,852748,0,0,400824573427,4166461614,848222,3724,802,380,391745,0 2,2,2024-09-07 09:32:31:281,615540,615540,0,0,23367621,0,3594 2,3,2024-09-07 09:32:30:693,1,485,1,0,357,4359,485,0 3,0,2024-09-07 09:32:31:743,120806,0.4,120673,0.6,240997,0.4,321107,2.00 3,1,2024-09-07 09:32:31:618,851269,851269,0,0,399911443321,4165035650,844291,6283,695,379,391716,0 3,2,2024-09-07 09:32:31:146,614276,614253,23,0,24750941,0,5851 3,3,2024-09-07 09:32:31:752,1,485,1,0,207,3106,485,0 4,0,2024-09-07 09:32:31:846,117580,0.4,120825,0.5,246390,0.3,321968,1.75 4,1,2024-09-07 09:32:30:598,847737,847737,0,0,398197474407,4221044536,832137,12009,3591,370,391992,0 4,2,2024-09-07 09:32:31:023,610005,610005,0,0,30290681,0,4534 4,3,2024-09-07 09:32:31:045,1,485,0,0,448,6417,485,0 5,0,2024-09-07 09:32:31:387,121161,0.4,121781,0.6,243001,0.4,322837,1.75 5,1,2024-09-07 09:32:30:777,849285,849285,0,0,399347027472,4207003679,836815,10058,2412,367,392005,0 5,2,2024-09-07 09:32:31:842,609860,609860,0,0,29350866,0,3582 5,3,2024-09-07 09:32:31:731,1,485,1,0,457,6849,485,0 6,0,2024-09-07 09:32:30:920,122357,0.5,121974,0.7,244250,0.5,325950,2.00 6,1,2024-09-07 09:32:30:746,851400,851400,0,0,398947065413,4170195550,842226,7731,1443,379,391702,0 6,2,2024-09-07 09:32:31:120,613535,613517,18,0,28166877,0,5535 6,3,2024-09-07 09:32:31:280,1,485,2,0,710,6119,485,0 7,0,2024-09-07 09:32:31:530,120446,0.6,121362,0.7,241546,0.5,321308,2.00 7,1,2024-09-07 09:32:30:851,849220,849220,0,0,399213556435,4197724652,836405,10584,2231,382,391747,0 7,2,2024-09-07 09:32:30:770,613831,613831,0,0,27450022,0,4791 7,3,2024-09-07 09:32:30:853,1,485,1,0,552,5612,485,0 8,0,2024-09-07 09:32:31:326,121980,0.3,121706,0.5,244064,0.3,324953,1.75 8,1,2024-09-07 09:32:31:021,848522,848522,0,0,398402533908,4189565249,835237,10325,2960,366,392853,0 8,2,2024-09-07 09:32:30:795,609107,609107,0,0,32099496,0,3250 8,3,2024-09-07 09:32:30:589,1,485,4,0,538,7611,485,0 9,0,2024-09-07 09:32:31:115,121411,0.4,118130,0.5,247227,0.3,324180,1.75 9,1,2024-09-07 09:32:30:559,848073,848073,0,0,398622574440,4198433706,835578,10026,2469,369,392001,0 9,2,2024-09-07 09:32:31:098,612376,612376,0,0,29174883,0,4615 9,3,2024-09-07 09:32:31:772,1,485,7,0,1273,8293,485,0 10,0,2024-09-07 09:32:31:604,121936,0.4,121532,0.5,243989,0.3,324831,1.75 10,1,2024-09-07 09:32:30:583,850991,850991,0,0,398712302479,4178970317,839410,9641,1940,381,391741,0 10,2,2024-09-07 09:32:30:762,612008,612008,0,0,31540493,0,4264 10,3,2024-09-07 09:32:30:885,1,485,1,0,649,5101,485,0 11,0,2024-09-07 09:32:31:010,121422,0.4,117881,0.6,246225,0.4,324892,1.75 11,1,2024-09-07 09:32:30:573,851084,851084,0,0,399501029036,4191080415,838287,9677,3120,383,391756,0 11,2,2024-09-07 09:32:31:123,612687,612687,0,0,27926117,0,4130 11,3,2024-09-07 09:32:31:297,1,485,9,0,843,6611,485,0 12,0,2024-09-07 09:32:30:973,122568,0.4,122546,0.5,245050,0.3,325715,1.75 12,1,2024-09-07 09:32:30:939,850888,850888,0,0,398984377783,4162328878,844093,6136,659,370,391960,0 12,2,2024-09-07 09:32:31:541,613974,613974,0,0,27741537,0,4390 12,3,2024-09-07 09:32:31:064,1,485,33,0,386,6770,485,0 13,0,2024-09-07 09:32:31:390,122125,0.4,121721,0.6,242773,0.4,323705,1.75 13,1,2024-09-07 09:32:31:531,849178,849178,0,0,398891990207,4194580786,840097,6930,2151,382,391740,0 13,2,2024-09-07 09:32:30:596,615135,615135,0,0,25160471,0,3287 13,3,2024-09-07 09:32:31:763,1,485,10,0,522,6970,485,0 14,0,2024-09-07 09:32:30:560,121630,0.4,122683,0.6,243024,0.4,324030,1.75 14,1,2024-09-07 09:32:31:561,854901,854901,0,0,401312209064,4154768725,848358,5829,714,364,391673,0 14,2,2024-09-07 09:32:30:770,611246,611216,30,0,26299391,0,6104 14,3,2024-09-07 09:32:31:119,1,485,49,0,1168,5246,485,0 15,0,2024-09-07 09:32:31:552,121896,0.4,121620,0.6,244125,0.4,325012,2.00 15,1,2024-09-07 09:32:31:608,851959,851959,0,0,400228816649,4168504304,844941,5563,1455,381,391619,0 15,2,2024-09-07 09:32:31:009,616141,616141,0,0,22241009,0,3622 15,3,2024-09-07 09:32:31:406,1,485,0,0,1126,7236,485,0 16,0,2024-09-07 09:32:30:963,120880,0.5,121612,0.7,242204,0.5,322075,2.00 16,1,2024-09-07 09:32:30:568,851483,851483,0,0,399889021846,4184213564,843318,6869,1296,370,391917,0 16,2,2024-09-07 09:32:31:440,613708,613708,0,0,26750813,0,4719 16,3,2024-09-07 09:32:31:142,1,485,2,0,358,6314,485,0 17,0,2024-09-07 09:32:31:780,124837,0.6,121808,0.7,238540,0.6,323433,2.00 17,1,2024-09-07 09:32:30:580,849862,849862,0,0,398953651531,4188920666,840968,7149,1745,368,392075,0 17,2,2024-09-07 09:32:31:666,615267,615266,1,0,27240935,0,5050 17,3,2024-09-07 09:32:30:591,1,485,13,0,518,7497,485,0 18,0,2024-09-07 09:32:30:938,119576,0.7,120152,0.8,239588,0.7,319611,2.25 18,1,2024-09-07 09:32:31:641,853641,853641,0,0,400429150958,4154763233,848141,4643,857,367,391725,0 18,2,2024-09-07 09:32:31:759,614093,614093,0,0,24118022,0,3541 18,3,2024-09-07 09:32:30:895,1,485,0,0,1059,4829,485,0 19,0,2024-09-07 09:32:31:539,121583,0.6,121887,0.8,242369,0.6,323345,2.25 19,1,2024-09-07 09:32:30:566,853500,853500,0,0,400515649952,4157043055,846567,5849,1084,367,391777,0 19,2,2024-09-07 09:32:31:755,616827,616827,0,0,22549856,0,3988 19,3,2024-09-07 09:32:31:139,1,485,6,0,524,4069,485,0 20,0,2024-09-07 09:32:31:352,120745,0.5,120856,0.7,241261,0.5,321693,2.00 20,1,2024-09-07 09:32:30:572,850499,850499,0,0,399568568039,4188113673,841578,7561,1360,369,391922,0 20,2,2024-09-07 09:32:30:934,612993,612993,0,0,27016918,0,3721 20,3,2024-09-07 09:32:30:608,1,485,11,0,468,8581,485,0 21,0,2024-09-07 09:32:31:141,121580,0.4,121738,0.6,243357,0.4,322783,1.75 21,1,2024-09-07 09:32:31:536,848224,848224,0,0,398657134731,4211355795,834200,10910,3114,368,392016,0 21,2,2024-09-07 09:32:31:079,610101,610081,20,0,32293760,0,5617 21,3,2024-09-07 09:32:31:403,1,485,1,0,713,6396,485,0 22,0,2024-09-07 09:32:31:719,121669,0.5,122118,0.7,243865,0.4,323316,2.00 22,1,2024-09-07 09:32:31:035,849302,849302,0,0,398633217313,4201020281,834406,11673,3223,382,391822,0 22,2,2024-09-07 09:32:30:763,611693,611667,26,0,27285542,0,6328 22,3,2024-09-07 09:32:31:068,1,485,1,0,228,4156,485,0 23,0,2024-09-07 09:32:31:368,121505,0.5,120986,0.7,242116,0.5,323225,2.25 23,1,2024-09-07 09:32:31:008,850372,850372,0,0,400230657624,4204918399,835139,10933,4300,365,391690,0 23,2,2024-09-07 09:32:31:109,614569,614569,0,0,25635377,0,3773 23,3,2024-09-07 09:32:31:759,1,485,11,0,720,6546,485,0 24,0,2024-09-07 09:32:30:906,123212,0.4,122368,0.6,245988,0.4,326775,1.75 24,1,2024-09-07 09:32:30:590,849833,849833,0,0,398953586610,4179269690,841194,6976,1663,367,392269,0 24,2,2024-09-07 09:32:31:071,613631,613631,0,0,29685067,0,3607 24,3,2024-09-07 09:32:31:689,1,485,0,0,468,6368,485,0 25,0,2024-09-07 09:32:31:407,125266,0.4,122027,0.6,239382,0.4,326054,1.75 25,1,2024-09-07 09:32:30:559,848788,848788,0,0,399411416540,4213564271,834596,11443,2749,369,391928,0 25,2,2024-09-07 09:32:31:611,612097,612097,0,0,31551978,0,3978 25,3,2024-09-07 09:32:31:028,1,485,15,0,532,5437,485,0 26,0,2024-09-07 09:32:31:737,120693,0.4,118085,0.6,247726,0.4,322130,1.75 26,1,2024-09-07 09:32:31:545,852016,852016,0,0,399456683292,4185521496,839654,9949,2413,380,391748,0 26,2,2024-09-07 09:32:30:861,613086,613086,0,0,32933410,0,4689 26,3,2024-09-07 09:32:31:717,1,485,15,0,796,5603,485,0 27,0,2024-09-07 09:32:31:730,121761,0.4,122091,0.6,242820,0.4,324236,2.00 27,1,2024-09-07 09:32:31:676,852818,852818,0,0,401070813733,4178550540,844509,7047,1262,381,391626,0 27,2,2024-09-07 09:32:30:884,609345,609280,65,0,28196136,0,5699 27,3,2024-09-07 09:32:31:022,1,485,1,0,564,4393,485,0 28,0,2024-09-07 09:32:31:387,122445,0.4,121895,0.6,244746,0.3,326012,1.75 28,1,2024-09-07 09:32:30:798,852585,852585,0,0,401019550115,4183257431,845089,5796,1700,382,391698,0 28,2,2024-09-07 09:32:31:763,614478,614478,0,0,25095834,0,2915 28,3,2024-09-07 09:32:31:778,1,485,1,0,502,4995,485,0 29,0,2024-09-07 09:32:31:386,124571,0.4,121379,0.6,238051,0.3,324726,1.75 29,1,2024-09-07 09:32:31:561,855357,855357,0,0,401647269622,4156441235,850020,4578,759,368,391809,0 29,2,2024-09-07 09:32:30:861,613926,613926,0,0,24512640,0,4986 29,3,2024-09-07 09:32:30:972,1,485,8,0,459,5535,485,0 30,0,2024-09-07 09:32:31:466,120655,0.5,117190,0.7,245210,0.5,320673,2.00 30,1,2024-09-07 09:32:30:572,854000,854000,0,0,401799615224,4171972953,847355,5796,849,380,391672,0 30,2,2024-09-07 09:32:31:277,614100,614100,0,0,24022875,0,4192 30,3,2024-09-07 09:32:30:581,1,485,17,0,519,4920,485,0 31,0,2024-09-07 09:32:31:763,120518,0.5,120923,0.7,241477,0.4,322509,2.00 31,1,2024-09-07 09:32:30:565,856924,856924,0,0,402471193764,4129616579,852571,3552,801,356,391712,0 31,2,2024-09-07 09:32:31:277,610374,610374,0,0,26244756,0,4470 31,3,2024-09-07 09:32:31:707,1,485,2,0,220,4096,485,0 32,0,2024-09-07 09:32:31:420,122728,0.4,123463,0.5,245607,0.3,327928,1.75 32,1,2024-09-07 09:32:30:812,852895,852895,0,0,400554436449,4166534831,847370,4818,707,381,391646,0 32,2,2024-09-07 09:32:30:935,615538,615538,0,0,23055892,0,3922 32,3,2024-09-07 09:32:31:024,1,485,2,0,304,3969,485,0 33,0,2024-09-07 09:32:31:491,121396,0.3,120951,0.4,242083,0.2,322230,1.50 33,1,2024-09-07 09:32:30:584,854053,854053,0,0,401844086684,4166916137,846836,6045,1172,368,391730,0 33,2,2024-09-07 09:32:30:761,614810,614775,35,0,25767997,0,7012 33,3,2024-09-07 09:32:30:895,1,485,13,0,329,4661,485,0 34,0,2024-09-07 09:32:30:936,121514,0.3,124871,0.4,238634,0.2,321259,1.75 34,1,2024-09-07 09:32:31:046,855839,855839,0,0,402200603599,4137802749,853462,2355,22,367,391562,0 34,2,2024-09-07 09:32:30:768,614512,614512,0,0,24100524,0,4562 34,3,2024-09-07 09:32:31:689,1,485,2,0,320,3896,485,0 35,0,2024-09-07 09:32:30:863,120603,0.3,121255,0.5,243705,0.3,323627,1.75 35,1,2024-09-07 09:32:31:066,853144,853144,0,0,401484057265,4165231075,847439,4649,1056,382,391769,0 35,2,2024-09-07 09:32:31:586,612495,612495,0,0,26408461,0,4055 35,3,2024-09-07 09:32:30:909,1,485,1,0,418,4754,485,0 36,0,2024-09-07 09:32:31:526,122672,0.5,122657,0.7,245092,0.5,326218,2.25 36,1,2024-09-07 09:32:30:590,852107,852107,0,0,399265891471,4174473887,840359,9573,2175,366,391759,0 36,2,2024-09-07 09:32:31:750,614384,614384,0,0,27600268,0,3875 36,3,2024-09-07 09:32:30:870,1,485,3,0,416,7006,485,0 37,0,2024-09-07 09:32:31:376,120665,0.5,120614,0.7,241619,0.5,321764,2.25 37,1,2024-09-07 09:32:30:571,850880,850873,0,7,399745583157,4178766618,839963,8237,2673,365,391770,0 37,2,2024-09-07 09:32:31:161,611662,611647,15,0,27851527,0,5815 37,3,2024-09-07 09:32:31:766,1,485,8,0,888,7074,485,0 38,0,2024-09-07 09:32:31:435,121150,0.4,117556,0.6,245948,0.4,322120,2.00 38,1,2024-09-07 09:32:31:605,852090,852090,0,0,400899310576,4195926097,838981,10593,2516,368,391821,0 38,2,2024-09-07 09:32:30:760,612549,612502,47,0,28308853,0,6710 38,3,2024-09-07 09:32:31:004,1,485,1,0,689,6500,485,0 39,0,2024-09-07 09:32:31:760,124399,0.6,121612,0.7,237065,0.5,323499,2.00 39,1,2024-09-07 09:32:30:717,850461,850461,0,0,398624313492,4189916970,834700,12292,3469,365,391658,0 39,2,2024-09-07 09:32:31:417,613665,613665,0,0,26561249,0,3391 39,3,2024-09-07 09:32:30:734,1,485,15,0,525,5894,485,0 40,0,2024-09-07 09:32:31:489,120630,0.8,121406,1.0,242217,0.9,323169,2.75 40,1,2024-09-07 09:32:30:583,852318,852318,0,0,398985749698,4175880778,840604,9545,2169,368,391668,0 40,2,2024-09-07 09:32:31:310,611032,611031,1,0,30336812,0,5137 40,3,2024-09-07 09:32:31:142,1,485,1,0,1028,6360,485,0 41,0,2024-09-07 09:32:31:036,120950,1.1,123746,1.1,236009,1.6,321147,3.00 41,1,2024-09-07 09:32:30:774,850783,850783,0,0,399752982425,4184204679,839715,9359,1709,369,391742,0 41,2,2024-09-07 09:32:30:760,611557,611557,0,0,29113818,0,4277 41,3,2024-09-07 09:32:31:676,1,485,12,0,366,5059,485,0 42,0,2024-09-07 09:32:31:477,121102,0.8,120815,1.0,241983,0.9,320668,2.50 42,1,2024-09-07 09:32:31:440,848243,848243,0,0,398404336839,4192685366,833619,11763,2861,380,391675,0 42,2,2024-09-07 09:32:31:132,613222,613222,0,0,28627374,0,3790 42,3,2024-09-07 09:32:31:019,1,485,0,0,892,4543,485,0 43,0,2024-09-07 09:32:30:915,120072,0.7,116980,0.9,244619,0.7,320783,2.25 43,1,2024-09-07 09:32:30:589,851430,851430,0,0,399585787049,4177026839,839354,10100,1976,366,391696,0 43,2,2024-09-07 09:32:31:738,613974,613974,0,0,28567378,0,3812 43,3,2024-09-07 09:32:31:748,1,485,0,0,571,6920,485,0 44,0,2024-09-07 09:32:30:870,121749,0.4,121824,0.6,244132,0.4,324595,2.00 44,1,2024-09-07 09:32:30:565,853842,853842,0,0,400968413775,4145154817,846349,5944,1549,356,391809,0 44,2,2024-09-07 09:32:31:283,609515,609515,0,0,23619481,0,4344 44,3,2024-09-07 09:32:31:095,1,485,2,0,817,5445,485,0 45,0,2024-09-07 09:32:31:755,120613,0.5,117866,0.7,247388,0.4,324988,2.00 45,1,2024-09-07 09:32:31:024,852856,852856,0,0,401584835993,4175593331,845675,6315,866,382,391917,0 45,2,2024-09-07 09:32:31:277,615601,615601,0,0,23873563,0,3596 45,3,2024-09-07 09:32:30:947,1,485,8,0,271,4341,485,0 46,0,2024-09-07 09:32:30:972,120449,0.5,119898,0.7,240595,0.5,319335,2.00 46,1,2024-09-07 09:32:30:589,855023,855023,0,0,401526577089,4148532806,849429,4864,730,366,391709,0 46,2,2024-09-07 09:32:30:593,614524,614524,0,0,24100255,0,4443 46,3,2024-09-07 09:32:31:131,1,485,1,0,908,5729,485,0 47,0,2024-09-07 09:32:31:110,121296,0.4,121633,0.6,243664,0.4,322365,1.75 47,1,2024-09-07 09:32:30:573,855059,855059,0,0,400435393502,4138090811,849960,4260,839,366,391641,0 47,2,2024-09-07 09:32:30:907,616148,616148,0,0,24244018,0,4477 47,3,2024-09-07 09:32:31:119,1,485,1,0,600,5633,485,0 48,0,2024-09-07 09:32:31:488,121225,0.3,121274,0.4,241332,0.2,321576,1.50 48,1,2024-09-07 09:32:31:037,853256,853256,0,0,400531400177,4163089352,847730,4955,571,384,391710,0 48,2,2024-09-07 09:32:30:701,612633,612633,0,0,22690365,0,3411 48,3,2024-09-07 09:32:30:759,1,485,34,0,339,3854,485,0 49,0,2024-09-07 09:32:31:721,125110,0.4,122952,0.5,238916,0.3,327071,1.75 49,1,2024-09-07 09:32:31:035,852431,852431,0,0,400696368321,4169037413,846874,4347,1210,382,391809,0 49,2,2024-09-07 09:32:31:796,615293,615293,0,0,24740427,0,4426 49,3,2024-09-07 09:32:31:416,1,485,8,0,992,5629,485,0 50,0,2024-09-07 09:32:31:517,121510,0.3,119784,0.5,241296,0.2,321588,1.75 50,1,2024-09-07 09:32:31:011,855245,855245,0,0,402040315160,4158887777,849561,5021,663,368,391565,0 50,2,2024-09-07 09:32:31:066,613845,613845,0,0,22906795,0,4490 50,3,2024-09-07 09:32:31:296,1,485,0,0,617,5312,485,0 51,0,2024-09-07 09:32:31:684,124715,0.3,122164,0.5,237892,0.2,324319,1.75 51,1,2024-09-07 09:32:31:681,856165,856165,0,0,402313025442,4150746975,851635,3477,1053,365,391706,0 51,2,2024-09-07 09:32:31:317,613887,613887,0,0,21981577,0,3337 51,3,2024-09-07 09:32:31:034,1,485,1,0,678,3716,485,0 52,0,2024-09-07 09:32:31:411,122189,0.5,121900,0.7,244025,0.5,324256,2.00 52,1,2024-09-07 09:32:30:584,851191,851191,0,0,400123692846,4193334457,838122,10965,2104,368,391722,0 52,2,2024-09-07 09:32:31:753,609926,609888,38,0,29742093,0,6742 52,3,2024-09-07 09:32:30:677,1,485,7,0,1782,6178,485,0 53,0,2024-09-07 09:32:31:732,121007,0.7,117440,0.8,245770,0.8,322916,2.50 53,1,2024-09-07 09:32:30:774,849219,849219,0,0,399563893708,4199562715,833957,11418,3844,367,391968,0 53,2,2024-09-07 09:32:31:305,613645,613644,1,0,25990523,0,5455 53,3,2024-09-07 09:32:30:700,1,485,9,0,308,4802,485,0 54,0,2024-09-07 09:32:31:629,120342,0.6,120626,0.8,240322,0.5,320665,2.25 54,1,2024-09-07 09:32:30:589,851580,851580,0,0,401465561012,4179590027,841789,8157,1634,366,391810,0 54,2,2024-09-07 09:32:30:872,614048,614016,32,0,29874339,0,6397 54,3,2024-09-07 09:32:30:772,1,485,0,0,676,6720,485,0 55,0,2024-09-07 09:32:31:759,117861,0.6,121468,0.7,246089,0.5,320789,2.50 55,1,2024-09-07 09:32:30:767,852940,852940,0,0,400416940694,4167280005,843357,8265,1318,365,391731,0 55,2,2024-09-07 09:32:30:729,613252,613196,56,0,28634741,0,7239 55,3,2024-09-07 09:32:30:675,1,485,19,0,304,4708,485,0 56,0,2024-09-07 09:32:31:567,123630,1.2,116557,1.1,240250,1.6,321449,2.75 56,1,2024-09-07 09:32:30:573,848474,848474,0,0,398856828642,4210136923,835755,10117,2602,381,391867,0 56,2,2024-09-07 09:32:31:305,613145,613023,122,0,29741838,0,7432 56,3,2024-09-07 09:32:31:060,1,485,143,0,705,5911,485,0 57,0,2024-09-07 09:32:30:941,119865,1.5,119849,1.2,240031,2.1,320821,3.00 57,1,2024-09-07 09:32:30:989,849995,849995,0,0,398833824073,4184396545,838939,8989,2067,366,392032,0 57,2,2024-09-07 09:32:31:323,611268,611268,0,0,29782649,0,4317 57,3,2024-09-07 09:32:31:742,1,485,8,0,455,5563,485,0 58,0,2024-09-07 09:32:30:555,120403,0.9,116934,0.9,244666,1.0,320783,2.50 58,1,2024-09-07 09:32:30:581,851143,851140,0,3,400551054902,4195221407,838762,9610,2768,367,391603,3 58,2,2024-09-07 09:32:31:072,613638,613638,0,0,28288319,0,3483 58,3,2024-09-07 09:32:31:068,1,485,1,0,1043,5260,485,0 59,0,2024-09-07 09:32:31:781,120743,0.8,120416,1.0,240319,0.9,319002,2.75 59,1,2024-09-07 09:32:30:811,850738,850738,0,0,399862057815,4195622417,837880,10444,2414,369,391653,0 59,2,2024-09-07 09:32:30:584,613533,613533,0,0,27584961,0,3727 59,3,2024-09-07 09:32:31:755,1,485,7,0,1015,6312,485,0 60,0,2024-09-07 09:32:31:707,120767,0.5,120883,0.7,242177,0.5,321651,1.75 60,1,2024-09-07 09:32:30:778,854179,854179,0,0,401312845251,4165969847,848243,5007,929,370,392031,0 60,2,2024-09-07 09:32:31:146,613845,613845,0,0,26148571,0,3811 60,3,2024-09-07 09:32:31:273,1,485,0,0,409,5983,485,0 61,0,2024-09-07 09:32:31:508,120644,0.7,121273,0.8,241484,0.7,322175,2.00 61,1,2024-09-07 09:32:30:778,851281,851281,0,0,400292327621,4194402119,841594,8110,1577,382,392127,0 61,2,2024-09-07 09:32:31:118,611446,611379,67,0,26684766,0,6411 61,3,2024-09-07 09:32:31:688,1,485,7,0,479,6570,485,0 62,0,2024-09-07 09:32:31:707,123078,0.6,126080,0.7,240799,0.5,327728,2.00 62,1,2024-09-07 09:32:31:128,856266,856260,0,6,402099220068,4144845495,852312,3684,264,365,391975,6 62,2,2024-09-07 09:32:31:643,612792,612791,1,0,26116618,0,5555 62,3,2024-09-07 09:32:31:143,1,485,1,0,482,4038,485,0 63,0,2024-09-07 09:32:31:452,121316,0.4,121102,0.6,242928,0.4,322586,1.75 63,1,2024-09-07 09:32:30:808,853741,853735,0,6,401246268938,4165081648,848384,4617,734,381,391800,6 63,2,2024-09-07 09:32:30:761,614239,614239,0,0,24810495,0,4369 63,3,2024-09-07 09:32:31:732,1,485,3,0,667,5195,485,0 64,0,2024-09-07 09:32:31:512,120782,0.5,120895,0.7,241239,0.5,320513,2.00 64,1,2024-09-07 09:32:30:752,853028,853028,0,0,400801338585,4176592389,845426,5836,1766,370,391783,0 64,2,2024-09-07 09:32:31:141,616732,616713,19,0,24586550,0,6121 64,3,2024-09-07 09:32:31:146,1,485,0,0,651,5319,485,0 65,0,2024-09-07 09:32:31:679,120469,0.7,120729,0.8,241009,0.7,320937,2.00 65,1,2024-09-07 09:32:30:875,850885,850885,0,0,399469493929,4179189217,844211,5881,793,381,391901,0 65,2,2024-09-07 09:32:31:694,612642,612642,0,0,28681777,0,3367 65,3,2024-09-07 09:32:31:683,1,485,13,0,782,5894,485,0 66,0,2024-09-07 09:32:31:788,121928,0.6,121638,0.8,243192,0.5,323963,2.25 66,1,2024-09-07 09:32:31:297,852882,852882,0,0,400555139774,4172404897,846754,5406,722,380,391743,0 66,2,2024-09-07 09:32:31:139,615828,615825,3,0,26112357,0,5455 66,3,2024-09-07 09:32:31:081,1,485,4,0,291,4281,485,0 67,0,2024-09-07 09:32:31:411,121010,0.6,120725,0.7,242349,0.5,321924,2.25 67,1,2024-09-07 09:32:30:770,852554,852553,0,1,400445296936,4171576568,846494,5250,809,380,391787,1 67,2,2024-09-07 09:32:30:589,615666,615651,15,0,25198208,0,6205 67,3,2024-09-07 09:32:31:750,1,485,1,0,392,4737,485,0 68,0,2024-09-07 09:32:30:580,121987,0.5,121897,0.7,242822,0.5,324565,2.00 68,1,2024-09-07 09:32:30:573,848993,848993,0,0,398704283661,4197495507,836858,8736,3399,381,391953,0 68,2,2024-09-07 09:32:31:048,609637,609537,100,0,32788234,0,8578 68,3,2024-09-07 09:32:30:728,1,485,5,0,417,6339,485,0 69,0,2024-09-07 09:32:31:734,120767,0.7,121547,0.8,242313,0.7,321803,2.25 69,1,2024-09-07 09:32:31:021,848861,848861,0,0,398841476684,4208767800,836814,9288,2759,384,391994,0 69,2,2024-09-07 09:32:31:732,612072,612043,29,0,33800029,0,6912 69,3,2024-09-07 09:32:30:765,1,485,9,0,698,7420,485,0 70,0,2024-09-07 09:32:31:530,120581,1.1,120856,1.1,243275,1.0,321978,2.75 70,1,2024-09-07 09:32:30:812,853529,853529,0,0,401849732565,4173318248,846357,6411,761,366,391725,0 70,2,2024-09-07 09:32:31:328,612087,612087,0,0,28278866,0,4323 70,3,2024-09-07 09:32:30:745,1,485,1,0,854,5628,485,0 71,0,2024-09-07 09:32:31:361,120325,1.0,120122,1.0,241284,1.2,321972,2.75 71,1,2024-09-07 09:32:31:608,851238,851238,0,0,400514783093,4186157760,839940,10043,1255,368,391738,0 71,2,2024-09-07 09:32:31:074,612665,612665,0,0,28957138,0,4352 71,3,2024-09-07 09:32:31:768,1,485,5,0,644,5948,485,0 72,0,2024-09-07 09:32:31:033,125687,0.5,122913,0.7,239679,0.5,325999,2.00 72,1,2024-09-07 09:32:31:021,850192,850192,0,0,399633148379,4193905880,837885,10183,2124,369,391819,0 72,2,2024-09-07 09:32:31:759,613083,613083,0,0,30252243,0,3983 72,3,2024-09-07 09:32:31:753,1,485,1,0,564,7170,485,0 73,0,2024-09-07 09:32:31:158,118069,0.4,121255,0.6,247494,0.4,321730,2.00 73,1,2024-09-07 09:32:30:851,852523,852523,0,0,400677502822,4161575466,846424,5676,423,367,391858,0 73,2,2024-09-07 09:32:31:737,613326,613326,0,0,30132027,0,4291 73,3,2024-09-07 09:32:30:970,1,485,8,0,486,6195,485,0 74,0,2024-09-07 09:32:31:324,122560,0.5,125501,0.7,239247,0.5,324895,2.25 74,1,2024-09-07 09:32:30:638,851749,851749,0,0,399515010396,4171065248,842520,7437,1792,381,391762,0 74,2,2024-09-07 09:32:31:007,610607,610607,0,0,26533123,0,4253 74,3,2024-09-07 09:32:31:442,1,485,1,0,522,6050,485,0 75,0,2024-09-07 09:32:31:770,122562,0.5,121819,0.7,244418,0.5,326599,2.25 75,1,2024-09-07 09:32:31:586,852414,852414,0,0,399972416210,4172309323,844743,6812,859,380,391739,0 75,2,2024-09-07 09:32:31:360,613223,613223,0,0,31439696,0,4766 75,3,2024-09-07 09:32:31:074,1,485,7,0,702,6043,485,0 76,0,2024-09-07 09:32:30:584,120267,0.5,119626,0.7,239950,0.5,320800,2.25 76,1,2024-09-07 09:32:30:808,851478,851478,0,0,399486953308,4166848896,845690,4959,829,382,391692,0 76,2,2024-09-07 09:32:31:061,616296,616293,3,0,27034890,0,5265 76,3,2024-09-07 09:32:31:149,1,485,0,0,227,4599,485,0 77,0,2024-09-07 09:32:31:699,120717,0.5,121158,0.7,242581,0.5,321383,2.00 77,1,2024-09-07 09:32:30:825,852230,852230,0,0,400189562141,4176205743,845683,5986,561,381,391869,0 77,2,2024-09-07 09:32:31:285,613092,613092,0,0,26110752,0,3890 77,3,2024-09-07 09:32:31:100,1,485,5,0,401,5293,485,0 78,0,2024-09-07 09:32:31:715,121054,0.4,120473,0.6,241734,0.4,320239,2.00 78,1,2024-09-07 09:32:30:613,852396,852396,0,0,399594650641,4167131221,842751,7416,2229,367,391670,0 78,2,2024-09-07 09:32:31:405,613516,613503,13,0,24266959,0,8313 78,3,2024-09-07 09:32:31:135,1,485,311,0,311,4352,485,0 79,0,2024-09-07 09:32:31:345,117712,0.4,120715,0.6,246986,0.4,321906,2.25 79,1,2024-09-07 09:32:30:572,854664,854664,0,0,400938256661,4155927108,847368,5945,1351,367,391682,0 79,2,2024-09-07 09:32:31:067,615101,615101,0,0,23546728,0,4195 79,3,2024-09-07 09:32:30:753,1,485,1,0,418,6054,485,0 80,0,2024-09-07 09:32:31:085,120609,0.5,123901,0.7,237292,0.5,320973,2.00 80,1,2024-09-07 09:32:31:628,851731,851731,0,0,400205931684,4168692423,845941,5367,423,368,392269,0 80,2,2024-09-07 09:32:31:095,615789,615789,0,0,24495740,0,4433 80,3,2024-09-07 09:32:30:582,1,485,0,0,190,5505,485,0 81,0,2024-09-07 09:32:31:542,121656,0.5,124635,0.7,237730,0.5,322923,2.00 81,1,2024-09-07 09:32:31:653,850818,850818,0,0,399690335548,4181446544,843547,6634,637,382,391885,0 81,2,2024-09-07 09:32:31:135,612602,612539,63,0,27566490,0,5932 81,3,2024-09-07 09:32:31:126,1,485,12,0,719,5695,485,0 82,0,2024-09-07 09:32:31:531,121540,0.5,121734,0.7,243774,0.4,323550,2.00 82,1,2024-09-07 09:32:30:589,853202,853198,0,4,400736714317,4169187810,848136,4243,819,381,391768,4 82,2,2024-09-07 09:32:31:705,614562,614562,0,0,22767701,0,4484 82,3,2024-09-07 09:32:31:751,1,485,5,0,363,4848,485,0 83,0,2024-09-07 09:32:31:525,121866,0.6,121661,0.8,242792,0.6,323184,2.25 83,1,2024-09-07 09:32:30:551,851369,851369,0,0,400149805077,4173783950,845247,5671,451,382,391709,0 83,2,2024-09-07 09:32:30:767,612820,612795,25,0,24482389,0,5612 83,3,2024-09-07 09:32:30:751,1,485,1,0,1260,6229,485,0 84,0,2024-09-07 09:32:31:783,121131,0.8,121284,0.9,242173,0.7,323968,2.50 84,1,2024-09-07 09:32:31:042,850391,850391,0,0,399730915407,4182339756,840477,8396,1518,367,391967,0 84,2,2024-09-07 09:32:30:575,612515,612485,30,0,32301171,0,5971 84,3,2024-09-07 09:32:31:145,1,485,1,0,908,7225,485,0 85,0,2024-09-07 09:32:31:032,117323,0.6,117249,0.8,248821,0.5,322225,2.25 85,1,2024-09-07 09:32:30:779,847814,847814,0,0,398539827097,4212703850,834075,11119,2620,381,392092,0 85,2,2024-09-07 09:32:30:876,612548,612548,0,0,30540396,0,4255 85,3,2024-09-07 09:32:30:686,1,485,0,0,789,5713,485,0 86,0,2024-09-07 09:32:30:947,120870,0.6,124371,0.8,237853,0.7,321803,2.25 86,1,2024-09-07 09:32:30:841,850675,850675,0,0,399577139750,4191379351,839510,9198,1967,366,391961,0 86,2,2024-09-07 09:32:30:860,611447,611446,1,0,32937490,0,5004 86,3,2024-09-07 09:32:30:591,1,485,10,0,308,7210,485,0 87,0,2024-09-07 09:32:31:294,121560,0.9,121293,0.9,242740,1.1,324434,2.25 87,1,2024-09-07 09:32:30:555,849450,849450,0,0,399259006876,4188760427,837444,10295,1711,366,392076,0 87,2,2024-09-07 09:32:31:074,610534,610528,6,0,28390883,0,6323 87,3,2024-09-07 09:32:31:793,1,485,1,0,473,7365,485,0 88,0,2024-09-07 09:32:31:443,121905,0.4,122491,0.6,244764,0.4,325679,1.75 88,1,2024-09-07 09:32:30:572,849073,849073,0,0,399019679189,4181826593,837171,9495,2407,365,392084,0 88,2,2024-09-07 09:32:30:688,614359,614359,0,0,30845910,0,4465 88,3,2024-09-07 09:32:31:271,1,485,5,0,435,5952,485,0 89,0,2024-09-07 09:32:31:777,124674,0.4,120820,0.6,238884,0.4,324773,1.75 89,1,2024-09-07 09:32:30:557,848827,848827,0,0,400365222167,4210761111,838246,9073,1508,382,391866,0 89,2,2024-09-07 09:32:31:141,613387,613387,0,0,29242824,0,3173 89,3,2024-09-07 09:32:31:793,1,485,8,0,468,8115,485,0 90,0,2024-09-07 09:32:31:662,117326,0.4,120548,0.6,245747,0.4,320484,1.75 90,1,2024-09-07 09:32:30:592,851118,851118,0,0,399496611833,4180436277,843523,6944,651,380,391825,0 90,2,2024-09-07 09:32:31:408,611634,611634,0,0,31449193,0,3608 90,3,2024-09-07 09:32:30:934,1,485,1,0,322,6014,485,0 91,0,2024-09-07 09:32:31:028,121369,0.5,117558,0.6,245750,0.5,322800,1.75 91,1,2024-09-07 09:32:30:575,849368,849368,0,0,399822985299,4205268092,838382,8987,1999,381,392047,0 91,2,2024-09-07 09:32:31:346,612107,612107,0,0,27295842,0,3526 91,3,2024-09-07 09:32:30:600,1,485,0,0,216,4732,485,0 92,0,2024-09-07 09:32:31:445,123881,0.5,126756,0.6,241512,0.5,327905,1.75 92,1,2024-09-07 09:32:30:590,851782,851782,0,0,399341982629,4172250066,845199,5507,1076,381,392136,0 92,2,2024-09-07 09:32:31:350,615471,615471,0,0,24554600,0,3259 92,3,2024-09-07 09:32:31:026,1,485,8,0,167,4237,485,0 93,0,2024-09-07 09:32:30:967,121798,0.4,124845,0.6,238175,0.4,322782,1.75 93,1,2024-09-07 09:32:30:809,851598,851598,0,0,399514877447,4172528857,842133,7765,1700,366,391776,0 93,2,2024-09-07 09:32:30:928,613856,613856,0,0,30266030,0,4913 93,3,2024-09-07 09:32:31:405,1,485,8,0,190,4316,485,0 94,0,2024-09-07 09:32:31:679,120969,0.3,121561,0.5,243020,0.3,322240,1.75 94,1,2024-09-07 09:32:30:565,851741,851741,0,0,400670761614,4180301909,845962,5407,372,381,391850,0 94,2,2024-09-07 09:32:30:766,612947,612919,28,0,25748054,0,6179 94,3,2024-09-07 09:32:31:689,1,485,1,0,576,6190,485,0 95,0,2024-09-07 09:32:31:362,121589,0.3,121273,0.5,243060,0.3,323594,1.75 95,1,2024-09-07 09:32:30:855,853477,853477,0,0,400939277395,4167322344,846703,6293,481,365,391786,0 95,2,2024-09-07 09:32:31:022,612578,612578,0,0,24487406,0,3308 95,3,2024-09-07 09:32:31:710,1,485,6,0,718,7224,485,0 96,0,2024-09-07 09:32:31:028,122558,0.4,122761,0.6,245332,0.4,325930,1.75 96,1,2024-09-07 09:32:31:587,851509,851509,0,0,400082009980,4176073247,845143,5455,911,384,391955,0 96,2,2024-09-07 09:32:31:277,614781,614781,0,0,25508311,0,4180 96,3,2024-09-07 09:32:31:142,1,485,5,0,411,5279,485,0 97,0,2024-09-07 09:32:31:314,121332,0.3,120935,0.5,242972,0.3,322348,1.75 97,1,2024-09-07 09:32:30:773,853153,853153,0,0,401251699561,4163729399,847616,4601,936,367,392140,0 97,2,2024-09-07 09:32:30:607,614379,614379,0,0,25045025,0,3679 97,3,2024-09-07 09:32:30:571,1,485,8,0,242,5834,485,0 98,0,2024-09-07 09:32:31:707,121436,0.3,121733,0.4,244147,0.2,324185,1.50 98,1,2024-09-07 09:32:30:573,852701,852701,0,0,400294805922,4171169490,847382,4525,794,381,391997,0 98,2,2024-09-07 09:32:30:770,613547,613547,0,0,24876576,0,4336 98,3,2024-09-07 09:32:30:702,1,485,2,0,840,7580,485,0 99,0,2024-09-07 09:32:31:449,121396,0.3,122053,0.5,242772,0.3,324296,1.75 99,1,2024-09-07 09:32:31:723,852687,852687,0,0,400023159438,4166264500,846835,4931,921,380,392069,0 99,2,2024-09-07 09:32:31:417,614453,614453,0,0,29996560,0,4276 99,3,2024-09-07 09:32:30:588,1,485,3,0,606,5038,485,0 100,0,2024-09-07 09:32:31:474,121629,0.9,121949,1.0,243079,1.1,325350,2.50 100,1,2024-09-07 09:32:30:554,847450,847450,0,0,398268256232,4216748640,833266,11086,3098,378,391989,0 100,2,2024-09-07 09:32:31:820,609756,609745,11,0,28769691,0,5417 100,3,2024-09-07 09:32:31:732,1,485,2,0,627,8255,485,0 101,0,2024-09-07 09:32:31:701,124027,1.0,120901,1.0,236803,0.9,323831,2.25 101,1,2024-09-07 09:32:30:554,848740,848740,0,0,399108178471,4198110117,836557,9789,2394,368,391847,0 101,2,2024-09-07 09:32:31:803,609860,609860,0,0,33929271,0,4871 101,3,2024-09-07 09:32:30:942,1,485,19,0,1250,7659,485,0 102,0,2024-09-07 09:32:30:990,118458,0.6,122113,0.8,247900,0.6,324310,2.00 102,1,2024-09-07 09:32:31:151,848431,848431,0,0,398859383858,4194395581,836356,10056,2019,369,391891,0 102,2,2024-09-07 09:32:31:739,613942,613888,54,0,29046479,0,6768 102,3,2024-09-07 09:32:31:615,1,485,3,0,466,5723,485,0 103,0,2024-09-07 09:32:31:622,125150,0.5,125139,0.7,235824,0.5,324203,2.00 103,1,2024-09-07 09:32:31:679,848052,848052,0,0,398690862063,4212876044,834208,10647,3197,381,391862,0 103,2,2024-09-07 09:32:30:588,612230,612230,0,0,30396741,0,3766 103,3,2024-09-07 09:32:30:765,1,485,15,0,916,6038,485,0 104,0,2024-09-07 09:32:31:012,120759,0.7,121019,0.9,240986,0.7,323001,2.25 104,1,2024-09-07 09:32:31:599,850468,850468,0,0,399521045860,4199721566,837599,10322,2547,365,392168,0 104,2,2024-09-07 09:32:31:666,608817,608817,0,0,28816450,0,3941 104,3,2024-09-07 09:32:31:417,1,485,1,0,1245,8939,485,0 105,0,2024-09-07 09:32:31:070,120871,0.8,117732,1.0,246809,0.9,324594,2.50 105,1,2024-09-07 09:32:30:578,851498,851498,0,0,399477015850,4186433665,840611,9232,1655,366,392009,0 105,2,2024-09-07 09:32:31:362,613061,613061,0,0,28662937,0,3509 105,3,2024-09-07 09:32:31:308,1,485,13,0,399,7115,485,0 106,0,2024-09-07 09:32:30:970,116746,0.8,119489,0.9,244924,0.8,319595,2.50 106,1,2024-09-07 09:32:31:751,850615,850615,0,0,398931126786,4188072223,838316,10655,1644,368,391914,0 106,2,2024-09-07 09:32:30:755,613051,613051,0,0,28018616,0,2920 106,3,2024-09-07 09:32:30:677,1,485,1224,0,1224,7476,485,0 107,0,2024-09-07 09:32:31:123,120953,0.8,121195,0.9,242165,0.8,322583,2.00 107,1,2024-09-07 09:32:30:591,848865,848865,0,0,398325098218,4201537190,836591,10995,1279,381,392234,0 107,2,2024-09-07 09:32:31:301,611509,611508,1,0,30196276,0,5024 107,3,2024-09-07 09:32:31:761,1,485,1,0,370,6868,485,0 108,0,2024-09-07 09:32:31:786,120479,0.4,121281,0.6,241157,0.4,321682,1.75 108,1,2024-09-07 09:32:31:299,851451,851451,0,0,400030723153,4172084960,844357,6258,836,367,391857,0 108,2,2024-09-07 09:32:31:763,611237,611237,0,0,27305250,0,4246 108,3,2024-09-07 09:32:31:334,1,485,2,0,749,9642,485,0 109,0,2024-09-07 09:32:31:795,122617,0.4,121645,0.6,243605,0.4,325989,1.75 109,1,2024-09-07 09:32:30:584,849106,849106,0,0,399649381720,4194309101,841086,6808,1212,382,392132,0 109,2,2024-09-07 09:32:30:926,612300,612300,0,0,26676799,0,3617 109,3,2024-09-07 09:32:31:140,1,485,12,0,630,6182,485,0 110,0,2024-09-07 09:32:31:770,120697,0.4,117487,0.6,246232,0.4,322251,1.75 110,1,2024-09-07 09:32:31:644,853248,853248,0,0,400656628162,4157349047,847337,4691,1220,368,392045,0 110,2,2024-09-07 09:32:31:311,614370,614370,0,0,25720839,0,4067 110,3,2024-09-07 09:32:30:690,1,485,1,0,722,7061,485,0 111,0,2024-09-07 09:32:31:415,122069,0.3,121383,0.5,243160,0.3,324234,1.75 111,1,2024-09-07 09:32:31:008,854219,854219,0,0,400684066856,4155044815,849892,3971,356,380,391690,0 111,2,2024-09-07 09:32:31:121,613035,613035,0,0,25866389,0,4823 111,3,2024-09-07 09:32:30:916,1,485,1,0,379,5658,485,0 112,0,2024-09-07 09:32:30:916,122536,0.3,121835,0.4,244456,0.2,324546,1.50 112,1,2024-09-07 09:32:30:824,852723,852723,0,0,401231207414,4168143024,846842,5192,689,380,391624,0 112,2,2024-09-07 09:32:31:136,612957,612956,1,0,25180032,0,5036 112,3,2024-09-07 09:32:30:592,1,485,1,0,282,4851,485,0 113,0,2024-09-07 09:32:30:870,121936,0.3,121858,0.5,244113,0.3,325066,1.75 113,1,2024-09-07 09:32:31:686,855521,855521,0,0,402611662373,4155911607,850702,4010,809,366,391661,0 113,2,2024-09-07 09:32:31:305,615541,615541,0,0,22513920,0,3813 113,3,2024-09-07 09:32:30:685,1,485,11,0,340,5273,485,0 114,0,2024-09-07 09:32:30:880,122558,0.3,123366,0.5,245318,0.3,327947,1.75 114,1,2024-09-07 09:32:30:718,853605,853605,0,0,400740807516,4163501470,846949,5040,1616,381,391565,0 114,2,2024-09-07 09:32:30:883,615580,615579,1,0,23936847,0,5069 114,3,2024-09-07 09:32:31:280,1,485,2,0,395,3911,485,0 115,0,2024-09-07 09:32:30:558,122312,0.3,122750,0.4,244911,0.2,325646,1.50 115,1,2024-09-07 09:32:30:572,853387,853387,0,0,400581941255,4166121721,846092,5938,1357,382,391757,0 115,2,2024-09-07 09:32:31:127,616230,616230,0,0,24102943,0,4382 115,3,2024-09-07 09:32:31:022,1,485,3,0,167,2908,485,0 116,0,2024-09-07 09:32:31:711,120698,0.7,120575,0.8,241700,0.6,323057,2.00 116,1,2024-09-07 09:32:30:824,849024,849024,0,0,399345086141,4211588853,838519,8063,2442,380,392089,0 116,2,2024-09-07 09:32:31:750,612195,612195,0,0,31015606,0,4475 116,3,2024-09-07 09:32:30:913,1,485,11,0,415,6226,485,0 117,0,2024-09-07 09:32:30:951,121818,0.7,121360,0.8,243108,0.8,324544,2.00 117,1,2024-09-07 09:32:31:577,849758,849758,0,0,399452423154,4185968241,839810,8723,1225,369,392033,0 117,2,2024-09-07 09:32:31:123,613258,613258,0,0,26724732,0,4303 117,3,2024-09-07 09:32:31:070,1,485,7,0,490,6926,485,0 118,0,2024-09-07 09:32:31:774,118429,0.5,121809,0.7,248125,0.5,324376,2.00 118,1,2024-09-07 09:32:30:597,849587,849587,0,0,399699992769,4205096941,836373,10097,3117,366,392054,0 118,2,2024-09-07 09:32:31:593,612928,612928,0,0,29385384,0,2842 118,3,2024-09-07 09:32:31:764,1,485,6,0,248,5753,485,0 119,0,2024-09-07 09:32:31:349,120921,0.7,121235,0.8,242444,0.6,322756,2.00 119,1,2024-09-07 09:32:30:553,849967,849967,0,0,399751195117,4191810473,838472,9732,1763,367,391857,0 119,2,2024-09-07 09:32:31:267,614228,614228,0,0,27605100,0,4174 119,3,2024-09-07 09:32:31:330,1,485,9,0,1358,9318,485,0 120,0,2024-09-07 09:32:31:605,120190,0.6,119997,0.8,240909,0.6,321116,2.25 120,1,2024-09-07 09:32:30:870,850716,850716,0,0,399576644668,4190661997,841585,8354,777,368,392144,0 120,2,2024-09-07 09:32:30:785,612137,612136,1,0,31403357,0,5281 120,3,2024-09-07 09:32:31:289,1,485,2,0,279,6168,485,0 121,0,2024-09-07 09:32:31:692,120981,1.3,120484,1.1,241559,1.7,322175,2.25 121,1,2024-09-07 09:32:31:659,851233,851233,0,0,399915990207,4179280412,843554,7125,554,367,391840,0 121,2,2024-09-07 09:32:31:140,610267,610267,0,0,29080839,0,4157 121,3,2024-09-07 09:32:30:728,1,485,12,0,330,5943,485,0 122,0,2024-09-07 09:32:31:763,122008,0.8,118873,0.9,249043,0.9,327683,2.25 122,1,2024-09-07 09:32:30:866,849458,849458,0,0,398938129743,4184770960,838029,9724,1705,366,392130,0 122,2,2024-09-07 09:32:31:318,615020,614947,73,0,32712278,0,5989 122,3,2024-09-07 09:32:30:596,1,485,26,0,512,8516,485,0 123,0,2024-09-07 09:32:30:981,120333,0.9,117199,0.9,245611,1.0,321153,2.25 123,1,2024-09-07 09:32:30:560,850016,850016,0,0,399209542814,4200469007,835902,11668,2446,369,392039,0 123,2,2024-09-07 09:32:31:022,612085,612084,1,0,27856379,0,5215 123,3,2024-09-07 09:32:31:132,1,485,0,0,478,5745,485,0 124,0,2024-09-07 09:32:30:931,124827,0.3,124798,0.5,235262,0.3,323230,1.75 124,1,2024-09-07 09:32:31:034,852850,852850,0,0,399933596949,4158569126,846461,5274,1115,367,392178,0 124,2,2024-09-07 09:32:31:032,613963,613910,53,0,25463228,0,6487 124,3,2024-09-07 09:32:30:765,1,485,2,0,490,4855,485,0 125,0,2024-09-07 09:32:31:434,121357,0.4,121114,0.6,243205,0.4,323486,1.75 125,1,2024-09-07 09:32:30:860,851233,851233,0,0,399824835545,4175655824,844338,5914,981,382,391813,0 125,2,2024-09-07 09:32:31:122,614070,614070,0,0,25727893,0,4534 125,3,2024-09-07 09:32:31:131,1,485,9,0,709,5916,485,0 126,0,2024-09-07 09:32:31:442,122514,0.4,126009,0.6,241133,0.4,326682,1.75 126,1,2024-09-07 09:32:30:561,854122,854122,0,0,401353029819,4155217961,849720,4106,296,365,391987,0 126,2,2024-09-07 09:32:30:611,615120,615120,0,0,26143317,0,4539 126,3,2024-09-07 09:32:30:940,1,485,16,0,268,5585,485,0 127,0,2024-09-07 09:32:31:598,121491,0.3,121820,0.5,243005,0.3,322704,1.75 127,1,2024-09-07 09:32:30:569,852843,852843,0,0,400228616178,4165596694,844119,7262,1462,364,392187,0 127,2,2024-09-07 09:32:30:645,612816,612812,4,0,24802461,0,5305 127,3,2024-09-07 09:32:31:276,1,485,13,0,968,4984,485,0 128,0,2024-09-07 09:32:31:564,122342,0.3,122426,0.4,244444,0.2,325133,1.50 128,1,2024-09-07 09:32:31:606,851852,851852,0,0,401972457147,4176568925,845738,5385,729,367,392031,0 128,2,2024-09-07 09:32:31:384,615449,615449,0,0,23624346,0,3171 128,3,2024-09-07 09:32:30:768,1,485,17,0,1082,7886,485,0 129,0,2024-09-07 09:32:31:040,122381,0.3,121894,0.5,244170,0.3,324700,1.50 129,1,2024-09-07 09:32:30:572,849528,849528,0,0,398549331462,4178634211,841023,6770,1735,379,391962,0 129,2,2024-09-07 09:32:30:687,614670,614666,4,0,25810044,0,5335 129,3,2024-09-07 09:32:30:701,1,485,12,0,506,7070,485,0 130,0,2024-09-07 09:32:31:751,122661,0.5,122246,0.6,245697,0.5,326700,1.75 130,1,2024-09-07 09:32:30:696,853115,853115,0,0,399554527010,4153874172,848443,4286,386,381,391825,0 130,2,2024-09-07 09:32:31:135,613042,613042,0,0,25177335,0,4067 130,3,2024-09-07 09:32:31:297,1,485,0,0,960,7172,485,0 131,0,2024-09-07 09:32:31:971,121696,0.4,121994,0.5,244689,0.3,325226,1.75 131,1,2024-09-07 09:32:31:823,852161,852161,0,0,400257116880,4179440700,845341,5629,1191,381,391865,0 131,2,2024-09-07 09:32:30:589,615138,615138,0,0,23691565,0,3979 131,3,2024-09-07 09:32:31:689,1,485,0,0,392,5837,485,0 132,0,2024-09-07 09:32:31:420,121756,0.4,123025,0.6,244488,0.4,325503,2.00 132,1,2024-09-07 09:32:30:596,848699,848699,0,0,399236232782,4204126398,836300,10210,2189,381,392532,0 132,2,2024-09-07 09:32:30:701,613786,613769,17,0,31672798,0,6451 132,3,2024-09-07 09:32:31:702,1,485,27,0,804,8525,485,0 133,0,2024-09-07 09:32:31:550,118112,0.4,120897,0.6,247918,0.4,322414,1.75 133,1,2024-09-07 09:32:30:582,848682,848682,0,0,398534535835,4202182694,836850,10208,1624,383,391914,0 133,2,2024-09-07 09:32:31:090,613691,613641,50,0,31913274,0,6861 133,3,2024-09-07 09:32:31:298,1,485,7,0,479,5560,485,0 134,0,2024-09-07 09:32:30:964,121945,0.5,121772,0.7,243962,0.5,325126,2.00 134,1,2024-09-07 09:32:30:584,849566,849566,0,0,399197103239,4187719095,838445,8976,2145,366,391718,0 134,2,2024-09-07 09:32:31:759,609579,609555,24,0,29606950,0,6207 134,3,2024-09-07 09:32:30:758,1,485,3,0,739,6153,485,0 135,0,2024-09-07 09:32:31:102,118278,0.8,118254,0.9,251027,0.9,323623,2.25 135,1,2024-09-07 09:32:31:590,849679,849679,0,0,399639274376,4205327218,838335,9780,1564,380,391805,0 135,2,2024-09-07 09:32:30:690,614114,614114,0,0,29748244,0,4503 135,3,2024-09-07 09:32:31:032,1,485,900,0,900,5087,485,0 136,0,2024-09-07 09:32:31:633,121394,0.5,121652,0.7,242311,0.5,322713,2.00 136,1,2024-09-07 09:32:31:440,851264,851264,0,0,399109348629,4185004505,841442,8599,1223,381,392135,0 136,2,2024-09-07 09:32:31:141,615578,615578,0,0,27634613,0,3506 136,3,2024-09-07 09:32:31:126,1,485,72,0,637,5590,485,0 137,0,2024-09-07 09:32:30:966,125029,0.6,121784,0.7,238761,0.5,323754,2.00 137,1,2024-09-07 09:32:30:583,849476,849476,0,0,398746920174,4182366141,836404,10403,2669,366,391898,0 137,2,2024-09-07 09:32:31:704,611890,611890,0,0,30567069,0,3185 137,3,2024-09-07 09:32:30:777,1,485,12,0,484,6222,485,0 138,0,2024-09-07 09:32:31:742,120194,0.9,120222,0.9,241378,1.1,320255,2.25 138,1,2024-09-07 09:32:31:685,850312,850312,0,0,400151536727,4196176442,838588,9847,1877,368,391954,0 138,2,2024-09-07 09:32:30:589,610933,610933,0,0,28311470,0,4988 138,3,2024-09-07 09:32:30:610,1,485,1,0,1200,7829,485,0 139,0,2024-09-07 09:32:31:381,121043,1.3,121336,1.0,242801,1.9,324654,2.50 139,1,2024-09-07 09:32:30:576,845907,845907,0,0,396791160814,4212166812,830323,12136,3448,380,392058,0 139,2,2024-09-07 09:32:30:714,610081,610081,0,0,31545096,0,3097 139,3,2024-09-07 09:32:31:662,1,485,1,0,432,5644,485,0 140,0,2024-09-07 09:32:31:591,121476,0.3,120626,0.5,242189,0.2,322478,1.75 140,1,2024-09-07 09:32:31:537,855335,855335,0,0,401839632860,4142467152,851287,3587,461,364,391606,0 140,2,2024-09-07 09:32:30:691,614969,614968,1,0,24093920,0,5036 140,3,2024-09-07 09:32:30:768,1,485,1,0,297,4639,485,0 141,0,2024-09-07 09:32:31:700,122008,0.3,125161,0.5,238937,0.2,323919,1.50 141,1,2024-09-07 09:32:30:860,853698,853698,0,0,401388922785,4171234571,846834,5779,1085,379,391614,0 141,2,2024-09-07 09:32:31:686,613513,613502,11,0,25569532,0,5369 141,3,2024-09-07 09:32:31:043,1,485,48,0,391,5404,485,0 142,0,2024-09-07 09:32:31:408,122496,0.3,121672,0.5,243987,0.3,325195,1.50 142,1,2024-09-07 09:32:30:586,851648,851648,0,0,400062480416,4170718476,846112,5080,456,382,392102,0 142,2,2024-09-07 09:32:31:311,612938,612906,32,0,26104985,0,6028 142,3,2024-09-07 09:32:31:760,1,485,2,0,484,5204,485,0 143,0,2024-09-07 09:32:31:379,121827,0.4,121895,0.6,244298,0.4,325091,1.75 143,1,2024-09-07 09:32:30:572,853765,853765,0,0,401213306147,4164468396,847997,5216,552,367,391705,0 143,2,2024-09-07 09:32:30:774,614144,614144,0,0,25207124,0,3123 143,3,2024-09-07 09:32:31:141,1,485,1,0,462,6213,485,0 144,0,2024-09-07 09:32:31:535,118424,0.7,121800,0.8,248008,0.6,324939,2.25 144,1,2024-09-07 09:32:30:590,849483,849483,0,0,398380355567,4183039211,840664,6916,1903,381,391733,0 144,2,2024-09-07 09:32:31:762,614832,614832,0,0,24274386,0,3673 144,3,2024-09-07 09:32:31:739,1,485,1,0,249,4878,485,0 145,0,2024-09-07 09:32:31:363,117995,0.6,117975,0.8,250159,0.5,322488,2.25 145,1,2024-09-07 09:32:30:577,848313,848313,0,0,398477325538,4199106507,836179,9675,2459,382,391759,0 145,2,2024-09-07 09:32:31:429,611505,611423,82,0,30406327,0,7814 145,3,2024-09-07 09:32:30:898,1,485,8,0,622,6801,485,0 146,0,2024-09-07 09:32:31:593,121001,0.6,120527,0.7,242128,0.5,321340,2.25 146,1,2024-09-07 09:32:31:586,850107,850107,0,0,399050641982,4195855037,836580,9998,3529,367,391770,0 146,2,2024-09-07 09:32:31:695,612071,612071,0,0,28096314,0,3290 146,3,2024-09-07 09:32:31:278,1,485,0,0,1520,8432,485,0 147,0,2024-09-07 09:32:31:705,121838,0.6,121601,0.8,242520,0.6,324371,2.25 147,1,2024-09-07 09:32:31:377,853247,853247,0,0,400977148051,4168043573,846259,6138,850,367,391791,0 147,2,2024-09-07 09:32:31:020,610788,610788,0,0,25257687,0,2968 147,3,2024-09-07 09:32:30:934,1,485,1,0,1626,8178,485,0 0,0,2024-09-07 09:32:41:711,117560,0.6,117579,0.7,249395,0.6,322252,2.00 0,1,2024-09-07 09:32:40:804,852064,852064,0,0,400986670853,4202389926,844585,6641,838,369,391896,0 0,2,2024-09-07 09:32:41:076,615974,615974,0,0,25776245,0,4480 0,3,2024-09-07 09:32:40:974,1,486,6,0,431,7011,486,0 1,0,2024-09-07 09:32:41:789,121035,1.0,120590,1.0,241634,1.4,323229,2.00 1,1,2024-09-07 09:32:40:562,851584,851584,0,0,399101123204,4184419029,843216,6903,1465,370,391859,0 1,2,2024-09-07 09:32:40:638,612329,612329,0,0,24858435,0,3380 1,3,2024-09-07 09:32:41:308,1,486,15,0,269,6300,486,0 2,0,2024-09-07 09:32:41:574,122728,0.6,122694,0.7,245277,0.6,327205,2.00 2,1,2024-09-07 09:32:40:859,854434,854434,0,0,401462632293,4173302127,849908,3724,802,380,391745,0 2,2,2024-09-07 09:32:41:266,616992,616992,0,0,23400046,0,3594 2,3,2024-09-07 09:32:40:690,1,486,1,0,357,4360,486,0 3,0,2024-09-07 09:32:41:750,120920,0.4,120787,0.6,241240,0.4,321424,2.00 3,1,2024-09-07 09:32:41:622,853001,853001,0,0,400613924732,4172858924,845900,6406,695,379,391716,0 3,2,2024-09-07 09:32:41:147,615726,615703,23,0,24804054,0,5851 3,3,2024-09-07 09:32:41:753,1,486,1,0,207,3107,486,0 4,0,2024-09-07 09:32:41:772,117698,0.4,120939,0.5,246638,0.3,322298,1.75 4,1,2024-09-07 09:32:40:595,849591,849591,0,0,399079629327,4231175946,833835,12090,3666,370,391992,0 4,2,2024-09-07 09:32:41:023,611302,611302,0,0,30374597,0,4534 4,3,2024-09-07 09:32:41:028,1,486,15,0,448,6432,486,0 5,0,2024-09-07 09:32:41:375,121213,0.4,121818,0.6,243079,0.4,322837,1.75 5,1,2024-09-07 09:32:40:755,851038,851038,0,0,400019022886,4214364367,838526,10100,2412,367,392005,0 5,2,2024-09-07 09:32:41:835,610730,610730,0,0,29404523,0,3582 5,3,2024-09-07 09:32:41:731,1,486,3,0,457,6852,486,0 6,0,2024-09-07 09:32:40:919,122742,0.5,122368,0.7,244968,0.5,326907,2.00 6,1,2024-09-07 09:32:40:747,853107,853107,0,0,399637856118,4177486802,843933,7731,1443,379,391702,0 6,2,2024-09-07 09:32:41:116,615063,615045,18,0,28284907,0,5535 6,3,2024-09-07 09:32:41:303,1,486,1,0,710,6120,486,0 7,0,2024-09-07 09:32:41:530,120523,0.6,121451,0.7,241728,0.5,321558,2.00 7,1,2024-09-07 09:32:40:850,850943,850943,0,0,399949874726,4205819318,838091,10621,2231,382,391747,0 7,2,2024-09-07 09:32:40:775,615109,615109,0,0,27546187,0,4791 7,3,2024-09-07 09:32:40:851,1,486,87,0,552,5699,486,0 8,0,2024-09-07 09:32:41:340,122229,0.3,121925,0.5,244522,0.3,325498,1.75 8,1,2024-09-07 09:32:41:021,850413,850413,0,0,399302031513,4200558603,836700,10664,3049,366,392853,0 8,2,2024-09-07 09:32:40:791,610236,610236,0,0,32181136,0,3250 8,3,2024-09-07 09:32:40:585,1,486,1,0,538,7612,486,0 9,0,2024-09-07 09:32:41:137,121718,0.4,118431,0.5,247829,0.3,325066,1.75 9,1,2024-09-07 09:32:40:554,849837,849837,0,0,399553554681,4208185248,837342,10026,2469,369,392001,0 9,2,2024-09-07 09:32:41:089,613632,613632,0,0,29265114,0,4615 9,3,2024-09-07 09:32:41:752,1,486,21,0,1273,8314,486,0 10,0,2024-09-07 09:32:41:599,122205,0.4,121844,0.5,244592,0.3,325525,1.75 10,1,2024-09-07 09:32:40:583,852709,852709,0,0,399277210472,4185504005,841005,9764,1940,381,391741,0 10,2,2024-09-07 09:32:40:766,613365,613365,0,0,31668674,0,4264 10,3,2024-09-07 09:32:40:872,1,486,28,0,649,5129,486,0 11,0,2024-09-07 09:32:41:010,121918,0.4,118348,0.6,247247,0.4,326355,1.75 11,1,2024-09-07 09:32:40:571,852801,852801,0,0,400222611768,4198744617,840002,9679,3120,383,391756,0 11,2,2024-09-07 09:32:41:127,613969,613969,0,0,28365505,0,4130 11,3,2024-09-07 09:32:41:299,1,486,1,0,843,6612,486,0 12,0,2024-09-07 09:32:40:969,122925,0.4,122845,0.5,245737,0.3,326590,1.75 12,1,2024-09-07 09:32:40:934,852686,852686,0,0,399831521839,4171030475,845891,6136,659,370,391960,0 12,2,2024-09-07 09:32:41:543,615258,615258,0,0,27793905,0,4390 12,3,2024-09-07 09:32:41:062,1,486,1,0,386,6771,486,0 13,0,2024-09-07 09:32:41:325,122277,0.4,121885,0.6,243083,0.4,324111,1.75 13,1,2024-09-07 09:32:41:524,850993,850993,0,0,399820949922,4204090245,841912,6930,2151,382,391740,0 13,2,2024-09-07 09:32:40:611,616476,616476,0,0,25220981,0,3287 13,3,2024-09-07 09:32:41:779,1,486,18,0,522,6988,486,0 14,0,2024-09-07 09:32:40:570,121865,0.4,122920,0.6,243561,0.4,324638,1.75 14,1,2024-09-07 09:32:41:564,856666,856666,0,0,402195486510,4163760128,850123,5829,714,364,391673,0 14,2,2024-09-07 09:32:40:770,612242,612212,30,0,26333195,0,6104 14,3,2024-09-07 09:32:41:115,1,486,1,0,1168,5247,486,0 15,0,2024-09-07 09:32:41:559,122296,0.4,122006,0.6,244907,0.4,326050,2.00 15,1,2024-09-07 09:32:41:608,853738,853738,0,0,401239363156,4178847703,846715,5568,1455,381,391619,0 15,2,2024-09-07 09:32:41:002,617384,617384,0,0,22315662,0,3622 15,3,2024-09-07 09:32:41:412,1,486,0,0,1126,7236,486,0 16,0,2024-09-07 09:32:40:934,121028,0.5,121772,0.7,242500,0.5,322536,2.00 16,1,2024-09-07 09:32:40:563,853308,853308,0,0,400771080415,4193300146,845143,6869,1296,370,391917,0 16,2,2024-09-07 09:32:41:433,615204,615204,0,0,26793454,0,4719 16,3,2024-09-07 09:32:41:144,1,486,0,0,358,6314,486,0 17,0,2024-09-07 09:32:41:767,124936,0.6,121922,0.7,238741,0.6,323683,2.00 17,1,2024-09-07 09:32:40:569,851652,851652,0,0,399662634638,4196186262,842758,7149,1745,368,392075,0 17,2,2024-09-07 09:32:41:683,616751,616750,1,0,27284159,0,5050 17,3,2024-09-07 09:32:40:580,1,486,9,0,518,7506,486,0 18,0,2024-09-07 09:32:40:954,119657,0.7,120253,0.8,239775,0.7,319933,2.25 18,1,2024-09-07 09:32:41:644,855356,855356,0,0,401396530822,4164788285,849856,4643,857,367,391725,0 18,2,2024-09-07 09:32:41:754,614836,614836,0,0,24141094,0,3541 18,3,2024-09-07 09:32:40:929,1,486,3,0,1059,4832,486,0 19,0,2024-09-07 09:32:41:541,122053,0.6,122369,0.8,243247,0.6,324724,2.25 19,1,2024-09-07 09:32:40:566,855334,855334,0,0,401553024196,4167587778,848401,5849,1084,367,391777,0 19,2,2024-09-07 09:32:41:753,618289,618289,0,0,22631671,0,3988 19,3,2024-09-07 09:32:41:128,1,486,1,0,524,4070,486,0 20,0,2024-09-07 09:32:41:343,120862,0.5,120976,0.7,241507,0.5,321984,2.00 20,1,2024-09-07 09:32:40:568,852282,852282,0,0,400326647181,4196828833,843185,7735,1362,369,391922,0 20,2,2024-09-07 09:32:40:929,614495,614495,0,0,27107347,0,3721 20,3,2024-09-07 09:32:40:596,1,486,16,0,468,8597,486,0 21,0,2024-09-07 09:32:41:128,121716,0.4,121857,0.6,243633,0.4,323042,1.75 21,1,2024-09-07 09:32:41:541,849909,849909,0,0,399276044389,4217969656,835882,10913,3114,368,392016,0 21,2,2024-09-07 09:32:41:073,611230,611210,20,0,32394608,0,5617 21,3,2024-09-07 09:32:41:417,1,486,14,0,713,6410,486,0 22,0,2024-09-07 09:32:41:719,121903,0.5,122346,0.7,244293,0.4,323840,2.00 22,1,2024-09-07 09:32:41:023,851064,851064,0,0,399465203351,4210069755,836106,11735,3223,382,391822,0 22,2,2024-09-07 09:32:40:759,612747,612721,26,0,27336013,0,6328 22,3,2024-09-07 09:32:41:066,1,486,29,0,228,4185,486,0 23,0,2024-09-07 09:32:41:370,121876,0.5,121384,0.7,242915,0.5,324396,2.25 23,1,2024-09-07 09:32:41:004,852289,852289,0,0,400926687863,4213865983,836673,11208,4408,365,391690,0 23,2,2024-09-07 09:32:41:098,615975,615975,0,0,25710359,0,3773 23,3,2024-09-07 09:32:41:755,1,486,1,0,720,6547,486,0 24,0,2024-09-07 09:32:40:840,123431,0.4,122570,0.6,246453,0.4,327095,1.75 24,1,2024-09-07 09:32:40:581,851550,851550,0,0,399937293805,4189835247,842866,7021,1663,367,392269,0 24,2,2024-09-07 09:32:41:076,615025,615025,0,0,29803035,0,3607 24,3,2024-09-07 09:32:41:686,1,486,8,0,468,6376,486,0 25,0,2024-09-07 09:32:41:351,125546,0.4,122334,0.6,240009,0.4,326900,1.75 25,1,2024-09-07 09:32:40:565,850545,850545,0,0,400308729409,4222982332,836352,11444,2749,369,391928,0 25,2,2024-09-07 09:32:41:607,613170,613170,0,0,31598266,0,3978 25,3,2024-09-07 09:32:41:003,1,486,46,0,532,5483,486,0 26,0,2024-09-07 09:32:41:729,120908,0.4,118283,0.6,248182,0.4,322726,1.75 26,1,2024-09-07 09:32:41:542,853674,853674,0,0,400279495097,4194578140,841266,9995,2413,380,391748,0 26,2,2024-09-07 09:32:40:861,614440,614440,0,0,33039060,0,4689 26,3,2024-09-07 09:32:41:721,1,486,2,0,796,5605,486,0 27,0,2024-09-07 09:32:41:732,122215,0.4,122509,0.6,243665,0.4,325489,2.25 27,1,2024-09-07 09:32:41:684,854646,854646,0,0,401852445747,4186953691,846332,7052,1262,381,391626,0 27,2,2024-09-07 09:32:40:866,610386,610321,65,0,28462648,0,5699 27,3,2024-09-07 09:32:41:019,1,486,19,0,564,4412,486,0 28,0,2024-09-07 09:32:41:412,122736,0.4,122151,0.6,245308,0.3,326705,1.75 28,1,2024-09-07 09:32:40:797,854387,854387,0,0,401797948063,4191345216,846891,5796,1700,382,391698,0 28,2,2024-09-07 09:32:41:769,615566,615566,0,0,25123218,0,2915 28,3,2024-09-07 09:32:41:778,1,486,29,0,502,5024,486,0 29,0,2024-09-07 09:32:41:363,124885,0.4,121712,0.6,238677,0.3,325666,1.75 29,1,2024-09-07 09:32:41:563,857089,857089,0,0,402341912998,4163595606,851752,4578,759,368,391809,0 29,2,2024-09-07 09:32:40:861,615350,615350,0,0,24582077,0,4986 29,3,2024-09-07 09:32:40:963,1,486,11,0,459,5546,486,0 30,0,2024-09-07 09:32:41:461,120829,0.5,117331,0.7,245545,0.5,321165,2.00 30,1,2024-09-07 09:32:40:571,855806,855806,0,0,402733225432,4181722674,849158,5799,849,380,391672,0 30,2,2024-09-07 09:32:41:274,615596,615596,0,0,24055545,0,4192 30,3,2024-09-07 09:32:40:591,1,486,1,0,519,4921,486,0 31,0,2024-09-07 09:32:41:787,120836,0.5,121220,0.7,242065,0.4,323384,2.00 31,1,2024-09-07 09:32:40:568,858746,858746,0,0,403277218129,4137903673,854393,3552,801,356,391712,0 31,2,2024-09-07 09:32:41:274,611104,611104,0,0,26270316,0,4470 31,3,2024-09-07 09:32:41:707,1,486,3,0,220,4099,486,0 32,0,2024-09-07 09:32:41:428,123161,0.4,123904,0.5,246492,0.3,329046,1.75 32,1,2024-09-07 09:32:40:804,854569,854569,0,0,401402077572,4175619600,849030,4832,707,381,391646,0 32,2,2024-09-07 09:32:40:939,617003,617003,0,0,23088380,0,3922 32,3,2024-09-07 09:32:41:023,1,486,1,0,304,3970,486,0 33,0,2024-09-07 09:32:41:493,121529,0.3,121082,0.4,242302,0.2,322570,1.50 33,1,2024-09-07 09:32:40:579,855771,855771,0,0,402555985515,4174316043,848554,6045,1172,368,391730,0 33,2,2024-09-07 09:32:40:758,616390,616355,35,0,25822302,0,7012 33,3,2024-09-07 09:32:40:898,1,486,8,0,329,4669,486,0 34,0,2024-09-07 09:32:40:939,121611,0.3,124987,0.4,238870,0.2,321594,1.75 34,1,2024-09-07 09:32:41:052,857636,857636,0,0,403034665314,4146350338,855259,2355,22,367,391562,0 34,2,2024-09-07 09:32:40:769,615674,615674,0,0,24304752,0,4562 34,3,2024-09-07 09:32:41:688,1,486,1,0,320,3897,486,0 35,0,2024-09-07 09:32:40:861,120656,0.3,121290,0.5,243772,0.3,323627,1.75 35,1,2024-09-07 09:32:41:067,854913,854913,0,0,402502824686,4176504173,849023,4831,1059,382,391769,0 35,2,2024-09-07 09:32:41:584,613363,613363,0,0,26661585,0,4055 35,3,2024-09-07 09:32:40:917,1,486,0,0,418,4754,486,0 36,0,2024-09-07 09:32:41:530,123034,0.5,123041,0.7,245777,0.5,327392,2.25 36,1,2024-09-07 09:32:40:584,853835,853835,0,0,400066043955,4182986383,842086,9574,2175,366,391759,0 36,2,2024-09-07 09:32:41:751,615893,615893,0,0,27692366,0,3875 36,3,2024-09-07 09:32:40:864,1,486,2,0,416,7008,486,0 37,0,2024-09-07 09:32:41:368,120749,0.5,120706,0.7,241795,0.5,322006,2.25 37,1,2024-09-07 09:32:40:571,852532,852525,0,7,400591014045,4187744296,841572,8280,2673,365,391770,0 37,2,2024-09-07 09:32:41:143,613004,612989,15,0,27983538,0,5815 37,3,2024-09-07 09:32:41:766,1,486,8,0,888,7082,486,0 38,0,2024-09-07 09:32:41:442,121383,0.4,117779,0.6,246430,0.4,322754,2.00 38,1,2024-09-07 09:32:41:607,853845,853845,0,0,401649243117,4203702457,840736,10593,2516,368,391821,0 38,2,2024-09-07 09:32:40:759,613647,613600,47,0,28356011,0,6710 38,3,2024-09-07 09:32:41:002,1,486,14,0,689,6514,486,0 39,0,2024-09-07 09:32:41:778,124660,0.6,121934,0.7,237640,0.5,324468,2.00 39,1,2024-09-07 09:32:40:723,852165,852165,0,0,399540883525,4199442897,836404,12292,3469,365,391658,0 39,2,2024-09-07 09:32:41:416,614877,614877,0,0,26654136,0,3391 39,3,2024-09-07 09:32:40:715,1,486,1,0,525,5895,486,0 40,0,2024-09-07 09:32:41:491,120935,0.8,121694,1.0,242817,0.9,323627,2.75 40,1,2024-09-07 09:32:40:582,853824,853824,0,0,399631751151,4183384444,841954,9692,2178,368,391668,0 40,2,2024-09-07 09:32:41:305,612360,612359,1,0,30721987,0,5137 40,3,2024-09-07 09:32:41:147,1,486,2,0,1028,6362,486,0 41,0,2024-09-07 09:32:41:030,121311,1.2,124197,1.1,236766,1.7,322151,3.00 41,1,2024-09-07 09:32:40:769,852513,852513,0,0,400779845687,4194953231,841424,9380,1709,369,391742,0 41,2,2024-09-07 09:32:40:758,612820,612820,0,0,29175471,0,4277 41,3,2024-09-07 09:32:41:683,1,486,8,0,366,5067,486,0 42,0,2024-09-07 09:32:41:480,121404,0.8,121134,1.0,242573,0.9,321291,2.50 42,1,2024-09-07 09:32:41:444,849887,849887,0,0,399123246935,4200285194,835260,11765,2862,380,391675,0 42,2,2024-09-07 09:32:41:136,614469,614469,0,0,29110531,0,3975 42,3,2024-09-07 09:32:41:010,1,486,1,0,892,4544,486,0 43,0,2024-09-07 09:32:40:932,120236,0.7,117128,0.9,244934,0.7,321104,2.25 43,1,2024-09-07 09:32:40:580,853106,853106,0,0,400391434671,4188026788,840491,10358,2257,366,391696,0 43,2,2024-09-07 09:32:41:736,615256,615256,0,0,28677664,0,3812 43,3,2024-09-07 09:32:41:749,1,486,10,0,571,6930,486,0 44,0,2024-09-07 09:32:40:860,121965,0.4,122079,0.6,244644,0.4,325226,2.00 44,1,2024-09-07 09:32:40:567,855696,855696,0,0,401575849660,4151486431,848202,5945,1549,356,391809,0 44,2,2024-09-07 09:32:41:267,610499,610499,0,0,23647625,0,4344 44,3,2024-09-07 09:32:41:098,1,486,2,0,817,5447,486,0 45,0,2024-09-07 09:32:41:758,120977,0.5,118265,0.7,248171,0.4,326035,2.00 45,1,2024-09-07 09:32:41:005,854650,854650,0,0,402368222628,4183696229,847469,6315,866,382,391917,0 45,2,2024-09-07 09:32:41:278,616998,616998,0,0,23901754,0,3596 45,3,2024-09-07 09:32:40:938,1,486,18,0,271,4359,486,0 46,0,2024-09-07 09:32:40:952,120622,0.5,120055,0.7,240940,0.5,319767,2.00 46,1,2024-09-07 09:32:40:582,856777,856777,0,0,402425266629,4157650917,851183,4864,730,366,391709,0 46,2,2024-09-07 09:32:40:600,616046,616046,0,0,24142339,0,4443 46,3,2024-09-07 09:32:41:132,1,486,7,0,908,5736,486,0 47,0,2024-09-07 09:32:41:104,121392,0.4,121723,0.6,243860,0.4,322622,1.75 47,1,2024-09-07 09:32:40:567,856838,856838,0,0,401207295503,4146039130,851739,4260,839,366,391641,0 47,2,2024-09-07 09:32:40:914,617587,617587,0,0,24274533,0,4477 47,3,2024-09-07 09:32:41:115,1,486,1,0,600,5634,486,0 48,0,2024-09-07 09:32:41:500,121318,0.3,121366,0.4,241554,0.2,321907,1.50 48,1,2024-09-07 09:32:41:022,854957,854957,0,0,401165845027,4170292471,849316,5069,572,384,391710,0 48,2,2024-09-07 09:32:40:699,613345,613345,0,0,22704226,0,3411 48,3,2024-09-07 09:32:40:753,1,486,3,0,339,3857,486,0 49,0,2024-09-07 09:32:41:712,125605,0.4,123427,0.5,239818,0.3,328429,1.75 49,1,2024-09-07 09:32:41:061,854260,854260,0,0,401467152924,4177037156,848703,4347,1210,382,391809,0 49,2,2024-09-07 09:32:41:808,616871,616871,0,0,24808823,0,4426 49,3,2024-09-07 09:32:41:427,1,486,2,0,992,5631,486,0 50,0,2024-09-07 09:32:41:738,121592,0.3,119902,0.5,241553,0.2,321907,1.75 50,1,2024-09-07 09:32:41:010,857020,857020,0,0,403008389835,4168798028,851336,5021,663,368,391565,0 50,2,2024-09-07 09:32:41:067,615329,615329,0,0,22933604,0,4490 50,3,2024-09-07 09:32:41:291,1,486,72,0,617,5384,486,0 51,0,2024-09-07 09:32:41:687,124832,0.3,122303,0.5,238148,0.2,324578,1.75 51,1,2024-09-07 09:32:41:682,857855,857855,0,0,403166632568,4159413710,853324,3477,1054,365,391706,0 51,2,2024-09-07 09:32:41:322,615052,615052,0,0,22004997,0,3337 51,3,2024-09-07 09:32:41:028,1,486,1,0,678,3717,486,0 52,0,2024-09-07 09:32:41:437,122419,0.5,122143,0.7,244472,0.5,324778,2.00 52,1,2024-09-07 09:32:40:588,852822,852822,0,0,401001047059,4202665350,839722,10996,2104,368,391722,0 52,2,2024-09-07 09:32:41:764,610871,610833,38,0,29840121,0,6742 52,3,2024-09-07 09:32:40:681,1,486,103,0,1782,6281,486,0 53,0,2024-09-07 09:32:41:733,121434,0.7,117856,0.8,246597,0.8,324122,2.50 53,1,2024-09-07 09:32:40:782,850993,850993,0,0,400382742043,4208166846,835714,11435,3844,367,391968,0 53,2,2024-09-07 09:32:41:301,615106,615105,1,0,26141494,0,5455 53,3,2024-09-07 09:32:40:697,1,486,44,0,308,4846,486,0 54,0,2024-09-07 09:32:41:643,120517,0.6,120773,0.8,240630,0.5,320951,2.25 54,1,2024-09-07 09:32:40:581,853346,853346,0,0,402200205226,4187322153,843555,8157,1634,366,391810,0 54,2,2024-09-07 09:32:40:866,615307,615275,32,0,29942006,0,6397 54,3,2024-09-07 09:32:40:764,1,486,39,0,676,6759,486,0 55,0,2024-09-07 09:32:41:760,118147,0.6,121764,0.7,246662,0.5,321543,2.50 55,1,2024-09-07 09:32:40:765,854665,854665,0,0,401180194971,4175492635,845080,8267,1318,365,391731,0 55,2,2024-09-07 09:32:40:731,614299,614243,56,0,28738972,0,7239 55,3,2024-09-07 09:32:40:679,1,486,8,0,304,4716,486,0 56,0,2024-09-07 09:32:41:551,123860,1.2,116735,1.1,240682,1.6,321994,2.75 56,1,2024-09-07 09:32:40:591,850188,850188,0,0,399594277619,4220028574,836984,10430,2774,381,391867,0 56,2,2024-09-07 09:32:41:304,614380,614258,122,0,29861107,0,7432 56,3,2024-09-07 09:32:41:062,1,486,12,0,705,5923,486,0 57,0,2024-09-07 09:32:40:957,120246,1.5,120246,1.2,240830,2.1,322100,3.25 57,1,2024-09-07 09:32:40:991,851854,851854,0,0,399622692485,4194382772,840465,9193,2196,366,392032,0 57,2,2024-09-07 09:32:41:320,612388,612388,0,0,29879845,0,4317 57,3,2024-09-07 09:32:41:738,1,486,33,0,455,5596,486,0 58,0,2024-09-07 09:32:40:567,120681,0.9,117218,0.9,245237,1.0,321500,2.50 58,1,2024-09-07 09:32:40:581,852721,852718,0,3,401368732707,4207340878,839633,9887,3198,367,391603,3 58,2,2024-09-07 09:32:41:070,614785,614785,0,0,28432191,0,3483 58,3,2024-09-07 09:32:41:068,1,486,1,0,1043,5261,486,0 59,0,2024-09-07 09:32:41:743,121045,0.8,120748,1.0,240972,0.9,319825,2.75 59,1,2024-09-07 09:32:40:807,852448,852448,0,0,400632883605,4204023821,839585,10449,2414,369,391653,0 59,2,2024-09-07 09:32:40:587,615075,615075,0,0,27701198,0,3727 59,3,2024-09-07 09:32:41:746,1,486,4,0,1015,6316,486,0 60,0,2024-09-07 09:32:41:746,120940,0.5,121036,0.7,242481,0.5,322109,1.75 60,1,2024-09-07 09:32:40:782,855916,855916,0,0,402015830760,4173171502,849979,5008,929,370,392031,0 60,2,2024-09-07 09:32:41:154,615272,615272,0,0,26244723,0,3811 60,3,2024-09-07 09:32:41:269,1,486,6,0,409,5989,486,0 61,0,2024-09-07 09:32:41:509,120939,0.6,121556,0.8,242090,0.7,323138,2.00 61,1,2024-09-07 09:32:40:779,853008,853008,0,0,400948378631,4201171832,843321,8110,1577,382,392127,0 61,2,2024-09-07 09:32:41:117,612151,612084,67,0,26701850,0,6411 61,3,2024-09-07 09:32:41:697,1,486,4,0,479,6574,486,0 62,0,2024-09-07 09:32:41:705,123523,0.5,126516,0.7,241671,0.5,328884,2.00 62,1,2024-09-07 09:32:41:122,858091,858085,0,6,403056442067,4154655592,854137,3684,264,365,391975,6 62,2,2024-09-07 09:32:41:647,614277,614276,1,0,26155509,0,5555 62,3,2024-09-07 09:32:41:144,1,486,1,0,482,4039,486,0 63,0,2024-09-07 09:32:41:458,121451,0.4,121218,0.6,243184,0.4,322919,1.75 63,1,2024-09-07 09:32:40:804,855521,855515,0,6,402038853673,4173284835,850163,4618,734,381,391800,6 63,2,2024-09-07 09:32:40:764,615623,615623,0,0,24898705,0,4369 63,3,2024-09-07 09:32:41:732,1,486,2,0,667,5197,486,0 64,0,2024-09-07 09:32:41:512,120890,0.5,121012,0.7,241474,0.5,320844,2.00 64,1,2024-09-07 09:32:40:752,854772,854772,0,0,401605610976,4185062642,847169,5837,1766,370,391783,0 64,2,2024-09-07 09:32:41:148,617963,617944,19,0,24678228,0,6121 64,3,2024-09-07 09:32:41:142,1,486,14,0,651,5333,486,0 65,0,2024-09-07 09:32:41:696,120510,0.7,120766,0.8,241095,0.7,320937,2.00 65,1,2024-09-07 09:32:40:867,852637,852637,0,0,400342924429,4188177838,845962,5882,793,381,391901,0 65,2,2024-09-07 09:32:41:695,613586,613586,0,0,28743497,0,3367 65,3,2024-09-07 09:32:41:684,1,486,18,0,782,5912,486,0 66,0,2024-09-07 09:32:41:761,122275,0.6,122018,0.8,243943,0.5,324899,2.25 66,1,2024-09-07 09:32:41:293,854882,854882,0,0,401419982316,4181528782,848754,5406,722,380,391743,0 66,2,2024-09-07 09:32:41:132,617346,617343,3,0,26151362,0,5455 66,3,2024-09-07 09:32:41:079,1,486,3,0,291,4284,486,0 67,0,2024-09-07 09:32:41:413,121116,0.6,120818,0.7,242548,0.5,322178,2.25 67,1,2024-09-07 09:32:40:766,854330,854329,0,1,401540634529,4182963411,848260,5260,809,380,391787,1 67,2,2024-09-07 09:32:40:581,616954,616939,15,0,25319404,0,6205 67,3,2024-09-07 09:32:41:756,1,486,14,0,392,4751,486,0 68,0,2024-09-07 09:32:40:600,122216,0.5,122122,0.7,243312,0.5,325164,2.00 68,1,2024-09-07 09:32:40:570,850794,850794,0,0,399394302420,4204883469,838658,8737,3399,381,391953,0 68,2,2024-09-07 09:32:41:044,610878,610778,100,0,32838093,0,8578 68,3,2024-09-07 09:32:40:737,1,486,3,0,417,6342,486,0 69,0,2024-09-07 09:32:41:766,121055,0.7,121853,0.8,242941,0.7,322643,2.25 69,1,2024-09-07 09:32:41:022,850622,850622,0,0,399671588230,4217635579,838575,9288,2759,384,391994,0 69,2,2024-09-07 09:32:41:731,613313,613284,29,0,33871800,0,6912 69,3,2024-09-07 09:32:40:760,1,486,10,0,698,7430,486,0 70,0,2024-09-07 09:32:41:530,120866,1.1,121155,1.1,243816,1.1,322690,2.75 70,1,2024-09-07 09:32:40:803,855258,855258,0,0,402683852386,4181956365,848086,6411,761,366,391725,0 70,2,2024-09-07 09:32:41:324,613358,613358,0,0,28377209,0,4323 70,3,2024-09-07 09:32:40:749,1,486,8,0,854,5636,486,0 71,0,2024-09-07 09:32:41:414,120760,1.0,120536,1.1,242207,1.3,323362,2.75 71,1,2024-09-07 09:32:41:597,852959,852959,0,0,401513911521,4196512352,841661,10043,1255,368,391738,0 71,2,2024-09-07 09:32:41:066,613909,613909,0,0,29060518,0,4352 71,3,2024-09-07 09:32:41:749,1,486,1,0,644,5949,486,0 72,0,2024-09-07 09:32:41:035,126025,0.5,123241,0.7,240334,0.5,326847,2.00 72,1,2024-09-07 09:32:41:021,851843,851843,0,0,400198588422,4201049477,839257,10421,2165,369,391819,0 72,2,2024-09-07 09:32:41:754,614318,614318,0,0,30341585,0,3983 72,3,2024-09-07 09:32:41:754,1,486,34,0,564,7204,486,0 73,0,2024-09-07 09:32:41:140,118237,0.4,121403,0.6,247817,0.4,322139,2.00 73,1,2024-09-07 09:32:40:779,854325,854325,0,0,401480968500,4170376940,848194,5707,424,367,391858,0 73,2,2024-09-07 09:32:41:741,614472,614472,0,0,30421686,0,4291 73,3,2024-09-07 09:32:40:974,1,486,19,0,486,6214,486,0 74,0,2024-09-07 09:32:41:328,122810,0.5,125745,0.7,239791,0.5,325528,2.25 74,1,2024-09-07 09:32:40:654,853559,853559,0,0,400524776861,4182040105,844222,7545,1792,381,391762,0 74,2,2024-09-07 09:32:41:003,611566,611566,0,0,26632601,0,4253 74,3,2024-09-07 09:32:41:442,1,486,0,0,522,6050,486,0 75,0,2024-09-07 09:32:41:766,122947,0.5,122178,0.7,245222,0.5,327622,2.25 75,1,2024-09-07 09:32:41:590,854106,854106,0,0,400660152610,4179884598,846417,6830,859,380,391739,0 75,2,2024-09-07 09:32:41:350,614517,614517,0,0,31537516,0,4766 75,3,2024-09-07 09:32:41:066,1,486,1,0,702,6044,486,0 76,0,2024-09-07 09:32:40:580,120413,0.5,119786,0.7,240303,0.5,321278,2.25 76,1,2024-09-07 09:32:40:811,853329,853329,0,0,400539038904,4177614442,847541,4959,829,382,391692,0 76,2,2024-09-07 09:32:41:068,617731,617728,3,0,27074844,0,5265 76,3,2024-09-07 09:32:41:142,1,486,1,0,227,4600,486,0 77,0,2024-09-07 09:32:41:696,120809,0.5,121256,0.7,242803,0.5,321629,2.00 77,1,2024-09-07 09:32:40:830,854043,854043,0,0,401046498116,4185212663,847495,5987,561,381,391869,0 77,2,2024-09-07 09:32:41:285,614596,614596,0,0,26160030,0,3890 77,3,2024-09-07 09:32:41:098,1,486,1,0,401,5294,486,0 78,0,2024-09-07 09:32:41:739,121151,0.4,120570,0.6,241952,0.4,320572,2.00 78,1,2024-09-07 09:32:40:612,854151,854151,0,0,400460737377,4175955392,844506,7416,2229,367,391670,0 78,2,2024-09-07 09:32:41:412,614216,614203,13,0,24282343,0,8313 78,3,2024-09-07 09:32:41:132,1,486,4,0,311,4356,486,0 79,0,2024-09-07 09:32:41:352,118219,0.4,121216,0.6,247937,0.4,323282,2.25 79,1,2024-09-07 09:32:40:571,856446,856446,0,0,401663825074,4163370922,849150,5945,1351,367,391682,0 79,2,2024-09-07 09:32:41:068,616723,616723,0,0,23593175,0,4195 79,3,2024-09-07 09:32:40:749,1,486,0,0,418,6054,486,0 80,0,2024-09-07 09:32:41:075,120709,0.5,123991,0.7,237497,0.5,321283,2.00 80,1,2024-09-07 09:32:41:630,853495,853495,0,0,401091339140,4177923724,847701,5371,423,368,392269,0 80,2,2024-09-07 09:32:41:095,617321,617321,0,0,24546762,0,4433 80,3,2024-09-07 09:32:40:582,1,486,296,0,296,5801,486,0 81,0,2024-09-07 09:32:41:553,121786,0.5,124763,0.7,237980,0.5,323180,2.00 81,1,2024-09-07 09:32:41:653,852525,852525,0,0,400734925743,4192125872,845254,6634,637,382,391885,0 81,2,2024-09-07 09:32:41:130,613596,613533,63,0,27587027,0,5932 81,3,2024-09-07 09:32:41:118,1,486,12,0,719,5707,486,0 82,0,2024-09-07 09:32:41:572,121761,0.5,121926,0.7,244233,0.4,324073,2.00 82,1,2024-09-07 09:32:40:583,854911,854907,0,4,401634327932,4178389011,849845,4243,819,381,391768,4 82,2,2024-09-07 09:32:41:706,615560,615560,0,0,22993013,0,4484 82,3,2024-09-07 09:32:41:754,1,486,0,0,363,4848,486,0 83,0,2024-09-07 09:32:41:528,122250,0.6,122079,0.8,243631,0.6,324333,2.25 83,1,2024-09-07 09:32:40:553,853202,853202,0,0,401121118274,4183750517,847080,5671,451,382,391709,0 83,2,2024-09-07 09:32:40:765,614343,614318,25,0,24524973,0,5612 83,3,2024-09-07 09:32:40:749,1,486,15,0,1260,6244,486,0 84,0,2024-09-07 09:32:41:794,121345,0.8,121477,0.9,242562,0.8,324263,2.50 84,1,2024-09-07 09:32:41:041,852138,852138,0,0,400621204725,4191763224,842214,8405,1519,367,391967,0 84,2,2024-09-07 09:32:40:573,613835,613805,30,0,32406754,0,5971 84,3,2024-09-07 09:32:41:146,1,486,0,0,908,7225,486,0 85,0,2024-09-07 09:32:41:078,117626,0.6,117522,0.8,249443,0.5,322989,2.25 85,1,2024-09-07 09:32:40:563,849620,849620,0,0,399228427134,4220716261,835744,11241,2635,381,392092,0 85,2,2024-09-07 09:32:40:865,613621,613621,0,0,30597946,0,4255 85,3,2024-09-07 09:32:40:688,1,486,2,0,789,5715,486,0 86,0,2024-09-07 09:32:40:894,121049,0.6,124551,0.8,238290,0.7,322410,2.25 86,1,2024-09-07 09:32:40:828,852427,852427,0,0,400307243292,4199280116,841257,9203,1967,366,391961,0 86,2,2024-09-07 09:32:40:854,612717,612716,1,0,33039443,0,5004 86,3,2024-09-07 09:32:40:586,1,486,2,0,308,7212,486,0 87,0,2024-09-07 09:32:41:284,121969,0.9,121735,0.9,243570,1.2,325637,2.50 87,1,2024-09-07 09:32:40:554,851162,851162,0,0,400053977107,4197029817,839156,10295,1711,366,392076,0 87,2,2024-09-07 09:32:41:070,611706,611700,6,0,28461662,0,6323 87,3,2024-09-07 09:32:41:795,1,486,84,0,473,7449,486,0 88,0,2024-09-07 09:32:41:457,122193,0.4,122775,0.6,245379,0.4,326361,1.75 88,1,2024-09-07 09:32:40:570,850806,850806,0,0,399893865088,4191043334,838893,9506,2407,365,392084,0 88,2,2024-09-07 09:32:40:689,615598,615598,0,0,30950594,0,4465 88,3,2024-09-07 09:32:41:267,1,486,2,0,435,5954,486,0 89,0,2024-09-07 09:32:41:778,125006,0.4,121138,0.6,239511,0.4,325676,1.75 89,1,2024-09-07 09:32:40:562,850608,850608,0,0,401112211159,4221565577,839380,9413,1815,382,391866,0 89,2,2024-09-07 09:32:41:136,614885,614885,0,0,29343896,0,3173 89,3,2024-09-07 09:32:41:798,1,486,12,0,468,8127,486,0 90,0,2024-09-07 09:32:41:627,117475,0.4,120709,0.6,246062,0.4,320967,1.75 90,1,2024-09-07 09:32:40:592,852962,852962,0,0,400334341023,4189717401,845330,6981,651,380,391825,0 90,2,2024-09-07 09:32:41:418,613116,613116,0,0,31522393,0,3608 90,3,2024-09-07 09:32:40:933,1,486,35,0,322,6049,486,0 91,0,2024-09-07 09:32:40:936,121645,0.5,117839,0.6,246359,0.5,323676,1.75 91,1,2024-09-07 09:32:40:572,850958,850958,0,0,400652116132,4214090876,839971,8988,1999,381,392047,0 91,2,2024-09-07 09:32:41:330,612761,612761,0,0,27351811,0,3526 91,3,2024-09-07 09:32:40:614,1,486,1,0,216,4733,486,0 92,0,2024-09-07 09:32:41:618,124341,0.5,127179,0.6,242424,0.5,329070,1.75 92,1,2024-09-07 09:32:40:580,853433,853433,0,0,400282407265,4181823835,846850,5507,1076,381,392136,0 92,2,2024-09-07 09:32:41:360,616930,616930,0,0,24600622,0,3259 92,3,2024-09-07 09:32:41:015,1,486,4,0,167,4241,486,0 93,0,2024-09-07 09:32:40:955,121910,0.4,124946,0.6,238432,0.4,323102,1.75 93,1,2024-09-07 09:32:40:813,853307,853307,0,0,400436695525,4182133956,843841,7766,1700,366,391776,0 93,2,2024-09-07 09:32:40:930,615445,615445,0,0,30354358,0,4913 93,3,2024-09-07 09:32:41:406,1,486,6,0,190,4322,486,0 94,0,2024-09-07 09:32:41:602,121083,0.3,121680,0.5,243255,0.3,322581,1.75 94,1,2024-09-07 09:32:40:578,853438,853438,0,0,401492022469,4189107430,847652,5414,372,381,391850,0 94,2,2024-09-07 09:32:40:761,614235,614207,28,0,25796273,0,6179 94,3,2024-09-07 09:32:41:690,1,486,83,0,576,6273,486,0 95,0,2024-09-07 09:32:41:345,121626,0.3,121304,0.5,243157,0.3,323594,1.75 95,1,2024-09-07 09:32:40:858,855320,855320,0,0,401653661712,4174708863,848546,6293,481,365,391786,0 95,2,2024-09-07 09:32:41:019,613578,613578,0,0,24546595,0,3308 95,3,2024-09-07 09:32:41:709,1,486,1,0,718,7225,486,0 96,0,2024-09-07 09:32:41:036,122912,0.4,123135,0.6,246069,0.4,326837,1.75 96,1,2024-09-07 09:32:41:589,853286,853286,0,0,400828540102,4183870655,846919,5456,911,384,391955,0 96,2,2024-09-07 09:32:41:267,616207,616207,0,0,25574264,0,4180 96,3,2024-09-07 09:32:41:139,1,486,1,0,411,5280,486,0 97,0,2024-09-07 09:32:41:329,121423,0.3,121029,0.5,243156,0.3,322593,1.75 97,1,2024-09-07 09:32:40:765,854919,854919,0,0,402152812683,4173133293,849382,4601,936,367,392140,0 97,2,2024-09-07 09:32:40:610,615588,615588,0,0,25259943,0,3679 97,3,2024-09-07 09:32:40:569,1,486,1,0,242,5835,486,0 98,0,2024-09-07 09:32:41:733,121667,0.3,121951,0.4,244578,0.2,324752,1.50 98,1,2024-09-07 09:32:40:570,854454,854454,0,0,400992509550,4178839616,849071,4589,794,381,391997,0 98,2,2024-09-07 09:32:40:770,614719,614719,0,0,24986442,0,4336 98,3,2024-09-07 09:32:40:698,1,486,1,0,840,7581,486,0 99,0,2024-09-07 09:32:41:455,121685,0.3,122366,0.5,243363,0.3,325203,1.75 99,1,2024-09-07 09:32:41:722,854540,854540,0,0,400994766233,4176731292,848632,4987,921,380,392069,0 99,2,2024-09-07 09:32:41:417,615646,615646,0,0,30096689,0,4276 99,3,2024-09-07 09:32:40:583,1,486,1,0,606,5039,486,0 100,0,2024-09-07 09:32:41:483,121941,1.0,122212,1.0,243702,1.2,326059,2.50 100,1,2024-09-07 09:32:40:551,849117,849117,0,0,398818011528,4222500900,834931,11088,3098,378,391989,0 100,2,2024-09-07 09:32:41:817,611010,610999,11,0,28846532,0,5417 100,3,2024-09-07 09:32:41:731,1,486,2,0,627,8257,486,0 101,0,2024-09-07 09:32:41:698,124489,1.1,121328,1.0,237638,1.0,325256,2.25 101,1,2024-09-07 09:32:40:559,850491,850491,0,0,399925729665,4206601927,838307,9790,2394,368,391847,0 101,2,2024-09-07 09:32:41:757,611061,611061,0,0,33996915,0,4871 101,3,2024-09-07 09:32:40:945,1,486,8,0,1250,7667,486,0 102,0,2024-09-07 09:32:40:950,118786,0.6,122418,0.8,248583,0.6,325175,2.00 102,1,2024-09-07 09:32:41:142,850263,850263,0,0,399866386021,4205254967,838175,10069,2019,369,391891,0 102,2,2024-09-07 09:32:41:746,615242,615188,54,0,29273264,0,6768 102,3,2024-09-07 09:32:41:627,1,486,152,0,466,5875,486,0 103,0,2024-09-07 09:32:41:589,125310,0.5,125312,0.7,236108,0.5,324617,2.00 103,1,2024-09-07 09:32:41:625,849801,849801,0,0,399687613103,4223503756,835924,10680,3197,381,391862,0 103,2,2024-09-07 09:32:40:585,613522,613522,0,0,30501237,0,3766 103,3,2024-09-07 09:32:40:763,1,486,2,0,916,6040,486,0 104,0,2024-09-07 09:32:41:012,121014,0.7,121257,0.9,241500,0.7,323617,2.25 104,1,2024-09-07 09:32:41:598,852214,852214,0,0,400257094518,4208117799,839286,10381,2547,365,392168,0 104,2,2024-09-07 09:32:41:673,609704,609704,0,0,28954470,0,3941 104,3,2024-09-07 09:32:41:427,1,486,1,0,1245,8940,486,0 105,0,2024-09-07 09:32:41:027,121234,0.8,118105,1.0,247645,0.9,325602,2.50 105,1,2024-09-07 09:32:40:562,853302,853302,0,0,400194146016,4195421910,842100,9468,1734,366,392009,0 105,2,2024-09-07 09:32:41:371,614393,614393,0,0,29018819,0,3509 105,3,2024-09-07 09:32:41:309,1,486,13,0,399,7128,486,0 106,0,2024-09-07 09:32:40:952,116902,0.8,119666,0.9,245248,0.8,320045,2.50 106,1,2024-09-07 09:32:41:750,852414,852414,0,0,399902552300,4198235788,840115,10655,1644,368,391914,0 106,2,2024-09-07 09:32:40:757,614422,614422,0,0,28142242,0,2920 106,3,2024-09-07 09:32:40:688,1,486,2,0,1224,7478,486,0 107,0,2024-09-07 09:32:41:157,121051,0.8,121302,0.9,242357,0.8,322834,2.00 107,1,2024-09-07 09:32:40:589,850642,850642,0,0,399288483556,4211822449,838365,10997,1280,381,392234,0 107,2,2024-09-07 09:32:41:291,612829,612828,1,0,30426874,0,5024 107,3,2024-09-07 09:32:41:756,1,486,3,0,370,6871,486,0 108,0,2024-09-07 09:32:41:782,120580,0.4,121368,0.6,241355,0.4,322015,1.75 108,1,2024-09-07 09:32:41:312,853145,853145,0,0,400623007077,4178342128,846051,6258,836,367,391857,0 108,2,2024-09-07 09:32:41:765,612028,612028,0,0,27329178,0,4246 108,3,2024-09-07 09:32:41:332,1,486,20,0,749,9662,486,0 109,0,2024-09-07 09:32:41:783,123091,0.4,122135,0.6,244528,0.4,327362,1.75 109,1,2024-09-07 09:32:40:583,850908,850908,0,0,400675406503,4204869438,842888,6808,1212,382,392132,0 109,2,2024-09-07 09:32:40:921,613803,613803,0,0,26726173,0,3617 109,3,2024-09-07 09:32:41:140,1,486,8,0,630,6190,486,0 110,0,2024-09-07 09:32:41:747,120814,0.4,117585,0.6,246425,0.4,322552,1.75 110,1,2024-09-07 09:32:41:647,854941,854941,0,0,401344325215,4164410306,849030,4691,1220,368,392045,0 110,2,2024-09-07 09:32:41:317,615891,615891,0,0,25894510,0,4067 110,3,2024-09-07 09:32:40:690,1,486,1,0,722,7062,486,0 111,0,2024-09-07 09:32:41:425,122175,0.3,121514,0.5,243404,0.3,324488,1.75 111,1,2024-09-07 09:32:41:001,855996,855996,0,0,401584899861,4164411380,851669,3971,356,380,391690,0 111,2,2024-09-07 09:32:41:116,614042,614042,0,0,25908187,0,4823 111,3,2024-09-07 09:32:40:920,1,486,67,0,379,5725,486,0 112,0,2024-09-07 09:32:40:955,122764,0.3,122082,0.4,244869,0.2,325041,1.50 112,1,2024-09-07 09:32:40:825,854509,854509,0,0,402164467666,4177810407,848628,5192,689,380,391624,0 112,2,2024-09-07 09:32:41:137,614135,614134,1,0,25232390,0,5036 112,3,2024-09-07 09:32:40:613,1,486,1,0,282,4852,486,0 113,0,2024-09-07 09:32:40:893,122350,0.3,122292,0.5,244950,0.3,326224,1.75 113,1,2024-09-07 09:32:41:694,857279,857279,0,0,403357297826,4163535147,852460,4010,809,366,391661,0 113,2,2024-09-07 09:32:41:309,617027,617027,0,0,22563362,0,3813 113,3,2024-09-07 09:32:40:694,1,486,12,0,340,5285,486,0 114,0,2024-09-07 09:32:40:875,122755,0.3,123590,0.5,245679,0.3,328261,1.75 114,1,2024-09-07 09:32:40:723,855395,855395,0,0,401466796727,4171541602,848701,5078,1616,381,391565,0 114,2,2024-09-07 09:32:40:872,616798,616797,1,0,24242807,0,5069 114,3,2024-09-07 09:32:41:289,1,486,4,0,395,3915,486,0 115,0,2024-09-07 09:32:40:555,122620,0.3,123056,0.4,245514,0.2,326439,1.50 115,1,2024-09-07 09:32:40:571,855207,855207,0,0,401509848009,4175585364,847912,5938,1357,382,391757,0 115,2,2024-09-07 09:32:41:127,617260,617260,0,0,24130093,0,4382 115,3,2024-09-07 09:32:41:003,1,486,0,0,167,2908,486,0 116,0,2024-09-07 09:32:41:726,120908,0.6,120790,0.8,242104,0.6,323646,2.00 116,1,2024-09-07 09:32:40:805,850815,850815,0,0,400078385373,4219607250,840308,8065,2442,380,392089,0 116,2,2024-09-07 09:32:41:752,613556,613556,0,0,31139207,0,4475 116,3,2024-09-07 09:32:40:917,1,486,8,0,415,6234,486,0 117,0,2024-09-07 09:32:40:977,122246,0.8,121760,0.8,243853,0.8,325727,2.00 117,1,2024-09-07 09:32:41:586,851534,851534,0,0,400218842812,4194026728,841586,8723,1225,369,392033,0 117,2,2024-09-07 09:32:41:124,614413,614413,0,0,26783838,0,4303 117,3,2024-09-07 09:32:41:064,1,486,7,0,490,6933,486,0 118,0,2024-09-07 09:32:41:765,118705,0.5,122074,0.7,248688,0.5,325117,2.00 118,1,2024-09-07 09:32:40:598,851283,851283,0,0,400519802802,4213740810,838069,10097,3117,366,392054,0 118,2,2024-09-07 09:32:41:590,614221,614221,0,0,29440218,0,2842 118,3,2024-09-07 09:32:41:769,1,486,0,0,248,5753,486,0 119,0,2024-09-07 09:32:41:340,121230,0.7,121558,0.8,243069,0.6,323852,2.00 119,1,2024-09-07 09:32:40:555,851626,851626,0,0,400633546792,4201016795,840131,9732,1763,367,391857,0 119,2,2024-09-07 09:32:41:264,615651,615651,0,0,27739946,0,4174 119,3,2024-09-07 09:32:41:324,1,486,1,0,1358,9319,486,0 120,0,2024-09-07 09:32:41:548,120376,0.6,120152,0.8,241203,0.6,321576,2.25 120,1,2024-09-07 09:32:40:862,852389,852389,0,0,400299041908,4198671590,843216,8396,777,368,392144,0 120,2,2024-09-07 09:32:40:770,613535,613534,1,0,31522798,0,5281 120,3,2024-09-07 09:32:41:291,1,486,2,0,279,6170,486,0 121,0,2024-09-07 09:32:41:725,121286,1.3,120756,1.1,242191,1.7,323064,2.25 121,1,2024-09-07 09:32:41:658,852921,852921,0,0,400739597750,4188209069,845208,7159,554,367,391840,0 121,2,2024-09-07 09:32:41:128,610900,610900,0,0,29151492,0,4157 121,3,2024-09-07 09:32:40:736,1,486,21,0,330,5964,486,0 122,0,2024-09-07 09:32:41:762,122386,0.8,119285,0.9,249884,0.9,328383,2.25 122,1,2024-09-07 09:32:40:860,851328,851328,0,0,399883521153,4196723206,839418,10037,1873,366,392130,0 122,2,2024-09-07 09:32:41:319,616456,616383,73,0,32898318,0,5989 122,3,2024-09-07 09:32:40:596,1,486,28,0,512,8544,486,0 123,0,2024-09-07 09:32:41:020,120429,0.9,117330,0.9,245856,1.0,321480,2.25 123,1,2024-09-07 09:32:40:560,851753,851753,0,0,400123732814,4210083781,837639,11668,2446,369,392039,0 123,2,2024-09-07 09:32:41:038,613452,613451,1,0,27992905,0,5215 123,3,2024-09-07 09:32:41:135,1,486,1,0,478,5746,486,0 124,0,2024-09-07 09:32:40:931,124942,0.3,124898,0.5,235495,0.3,323558,1.75 124,1,2024-09-07 09:32:41:022,854660,854660,0,0,400635101028,4165808378,848271,5274,1115,365,392178,0 124,2,2024-09-07 09:32:41:023,615153,615100,53,0,25502010,0,6487 124,3,2024-09-07 09:32:40:759,1,486,262,0,490,5117,486,0 125,0,2024-09-07 09:32:41:423,121390,0.4,121146,0.6,243285,0.4,323486,1.75 125,1,2024-09-07 09:32:40:861,852955,852955,0,0,400696435934,4184592553,846060,5914,981,382,391813,0 125,2,2024-09-07 09:32:41:118,615056,615056,0,0,25754576,0,4534 125,3,2024-09-07 09:32:41:126,1,486,9,0,709,5925,486,0 126,0,2024-09-07 09:32:41:438,122885,0.4,126360,0.6,241844,0.4,327607,1.75 126,1,2024-09-07 09:32:40:605,855816,855816,0,0,402094331661,4162885925,851414,4106,296,365,391987,0 126,2,2024-09-07 09:32:40:648,616533,616533,0,0,26231005,0,4539 126,3,2024-09-07 09:32:40:908,1,486,79,0,268,5664,486,0 127,0,2024-09-07 09:32:41:602,121584,0.3,121915,0.5,243194,0.3,322947,1.75 127,1,2024-09-07 09:32:40:580,854630,854630,0,0,401072777030,4174284498,845906,7262,1462,364,392187,0 127,2,2024-09-07 09:32:40:647,614140,614136,4,0,24845285,0,5305 127,3,2024-09-07 09:32:41:267,1,486,18,0,968,5002,486,0 128,0,2024-09-07 09:32:41:562,122563,0.3,122661,0.4,244907,0.2,325719,1.50 128,1,2024-09-07 09:32:41:607,853681,853681,0,0,402827595089,4185375069,847566,5385,730,367,392031,0 128,2,2024-09-07 09:32:41:392,616487,616487,0,0,23860457,0,3171 128,3,2024-09-07 09:32:40:767,1,486,3,0,1082,7889,486,0 129,0,2024-09-07 09:32:41:017,122687,0.3,122183,0.5,244787,0.3,325620,1.50 129,1,2024-09-07 09:32:40:581,851297,851297,0,0,399352912725,4186983732,842790,6771,1736,379,391962,0 129,2,2024-09-07 09:32:40:711,615879,615875,4,0,25947445,0,5335 129,3,2024-09-07 09:32:40:690,1,486,8,0,506,7078,486,0 130,0,2024-09-07 09:32:41:719,122993,0.5,122555,0.6,246268,0.5,327419,1.75 130,1,2024-09-07 09:32:40:586,854888,854888,0,0,400570801185,4164290285,850215,4287,386,381,391825,0 130,2,2024-09-07 09:32:41:129,614413,614413,0,0,25256049,0,4067 130,3,2024-09-07 09:32:41:291,1,486,1,0,960,7173,486,0 131,0,2024-09-07 09:32:41:927,122170,0.4,122496,0.5,245672,0.3,326742,1.75 131,1,2024-09-07 09:32:41:826,853918,853918,0,0,401019537995,4187439526,847097,5630,1191,381,391865,0 131,2,2024-09-07 09:32:40:568,616413,616413,0,0,23729883,0,3979 131,3,2024-09-07 09:32:41:695,1,486,2,0,392,5839,486,0 132,0,2024-09-07 09:32:41:662,122086,0.4,123336,0.6,245136,0.4,326354,2.00 132,1,2024-09-07 09:32:40:580,850452,850452,0,0,399902470820,4211196576,838047,10214,2191,381,392532,0 132,2,2024-09-07 09:32:40:698,615155,615138,17,0,31773797,0,6451 132,3,2024-09-07 09:32:41:687,1,486,1,0,804,8526,486,0 133,0,2024-09-07 09:32:41:593,118259,0.4,121076,0.6,248245,0.4,322801,1.75 133,1,2024-09-07 09:32:40:589,850391,850391,0,0,399429686434,4211913772,838535,10231,1625,383,391914,0 133,2,2024-09-07 09:32:41:085,614978,614928,50,0,32005941,0,6861 133,3,2024-09-07 09:32:41:300,1,486,10,0,479,5570,486,0 134,0,2024-09-07 09:32:40:993,122191,0.5,122056,0.7,244429,0.5,325834,2.00 134,1,2024-09-07 09:32:40:590,851319,851319,0,0,400199623856,4198416989,840143,9031,2145,366,391718,0 134,2,2024-09-07 09:32:41:763,610568,610544,24,0,29700900,0,6207 134,3,2024-09-07 09:32:40:750,1,486,4,0,739,6157,486,0 135,0,2024-09-07 09:32:41:113,118654,0.8,118645,0.9,251807,1.0,324797,2.25 135,1,2024-09-07 09:32:41:585,851487,851487,0,0,400482819308,4214508936,840114,9809,1564,380,391805,0 135,2,2024-09-07 09:32:40:692,615394,615394,0,0,29885313,0,4503 135,3,2024-09-07 09:32:41:003,1,486,1,0,900,5088,486,0 136,0,2024-09-07 09:32:41:626,121547,0.5,121814,0.7,242639,0.5,323162,2.00 136,1,2024-09-07 09:32:41:440,853062,853062,0,0,399900514606,4193379027,843238,8601,1223,381,392135,0 136,2,2024-09-07 09:32:41:132,617027,617027,0,0,27737909,0,3506 136,3,2024-09-07 09:32:41:106,1,486,7,0,637,5597,486,0 137,0,2024-09-07 09:32:40:941,125145,0.6,121885,0.7,238953,0.5,324003,2.00 137,1,2024-09-07 09:32:40:588,851334,851334,0,0,399560797019,4191342390,838196,10469,2669,366,391898,0 137,2,2024-09-07 09:32:41:711,613314,613314,0,0,30651419,0,3185 137,3,2024-09-07 09:32:40:781,1,486,29,0,484,6251,486,0 138,0,2024-09-07 09:32:41:746,120273,0.9,120318,0.9,241583,1.1,320581,2.25 138,1,2024-09-07 09:32:41:685,852228,852228,0,0,400867036693,4205490921,840083,10179,1966,368,391954,0 138,2,2024-09-07 09:32:40:586,611638,611638,0,0,28351717,0,4988 138,3,2024-09-07 09:32:40:611,1,486,1,0,1200,7830,486,0 139,0,2024-09-07 09:32:41:382,121467,1.3,121815,1.0,243720,1.9,325928,2.50 139,1,2024-09-07 09:32:40:573,847711,847711,0,0,397655852304,4221376609,832126,12137,3448,380,392058,0 139,2,2024-09-07 09:32:40:693,611567,611567,0,0,31700093,0,3097 139,3,2024-09-07 09:32:41:663,1,486,88,0,432,5732,486,0 140,0,2024-09-07 09:32:41:594,121591,0.3,120729,0.5,242375,0.2,322777,1.75 140,1,2024-09-07 09:32:41:536,857076,857076,0,0,402649282808,4150715849,853028,3587,461,364,391606,0 140,2,2024-09-07 09:32:40:692,616464,616463,1,0,24139256,0,5036 140,3,2024-09-07 09:32:40:767,1,486,1,0,297,4640,486,0 141,0,2024-09-07 09:32:41:708,122120,0.3,125293,0.5,239139,0.2,324167,1.50 141,1,2024-09-07 09:32:40:859,855528,855528,0,0,402172682346,4179319401,848664,5779,1085,379,391614,0 141,2,2024-09-07 09:32:41:687,614733,614722,11,0,25664431,0,5369 141,3,2024-09-07 09:32:41:058,1,486,11,0,391,5415,486,0 142,0,2024-09-07 09:32:41:331,122732,0.3,121901,0.5,244406,0.3,325698,1.50 142,1,2024-09-07 09:32:40:647,853322,853322,0,0,400648151456,4176784188,847786,5080,456,382,392102,0 142,2,2024-09-07 09:32:41:309,614009,613977,32,0,26134959,0,6028 142,3,2024-09-07 09:32:41:745,1,486,0,0,484,5204,486,0 143,0,2024-09-07 09:32:41:371,122255,0.4,122292,0.6,245074,0.4,326280,1.75 143,1,2024-09-07 09:32:40:595,855558,855558,0,0,401938894859,4171939589,849788,5218,552,367,391705,0 143,2,2024-09-07 09:32:40:824,615595,615595,0,0,25255667,0,3123 143,3,2024-09-07 09:32:41:144,1,486,0,0,462,6213,486,0 144,0,2024-09-07 09:32:41:522,118650,0.7,121979,0.8,248376,0.7,325215,2.25 144,1,2024-09-07 09:32:40:569,851214,851214,0,0,399325574316,4192749173,842395,6916,1903,381,391733,0 144,2,2024-09-07 09:32:41:754,616152,616152,0,0,24327525,0,3673 144,3,2024-09-07 09:32:41:739,1,486,1,0,249,4879,486,0 145,0,2024-09-07 09:32:41:374,118279,0.6,118263,0.8,250814,0.5,323151,2.25 145,1,2024-09-07 09:32:40:552,850091,850091,0,0,399291615184,4207689401,837955,9677,2459,382,391759,0 145,2,2024-09-07 09:32:41:438,612511,612429,82,0,30483776,0,7814 145,3,2024-09-07 09:32:40:897,1,486,12,0,622,6813,486,0 146,0,2024-09-07 09:32:41:597,121206,0.6,120734,0.7,242550,0.5,321930,2.25 146,1,2024-09-07 09:32:41:590,851798,851798,0,0,400016131604,4207697589,837901,10192,3705,367,391770,0 146,2,2024-09-07 09:32:41:695,613316,613316,0,0,28179747,0,3290 146,3,2024-09-07 09:32:41:274,1,486,6,0,1520,8438,486,0 147,0,2024-09-07 09:32:41:712,122290,0.6,122055,0.8,243388,0.6,325597,2.25 147,1,2024-09-07 09:32:41:378,855013,855013,0,0,401560320278,4174103391,848024,6139,850,367,391791,0 147,2,2024-09-07 09:32:41:023,612015,612015,0,0,25295877,0,2968 147,3,2024-09-07 09:32:40:917,1,486,3,0,1626,8181,486,0 0,0,2024-09-07 09:32:51:715,117726,0.6,117754,0.7,249750,0.6,322718,2.00 0,1,2024-09-07 09:32:50:808,853841,853841,0,0,401749904237,4210243657,846362,6641,838,369,391896,0 0,2,2024-09-07 09:32:51:067,617444,617444,0,0,25829661,0,4480 0,3,2024-09-07 09:32:50:974,1,487,1,0,431,7012,487,0 1,0,2024-09-07 09:32:51:759,121382,1.0,120917,1.0,242299,1.4,324156,2.00 1,1,2024-09-07 09:32:50:585,853354,853354,0,0,399999135708,4193705390,844985,6904,1465,370,391859,0 1,2,2024-09-07 09:32:50:640,613081,613081,0,0,24889630,0,3380 1,3,2024-09-07 09:32:51:302,1,487,9,0,269,6309,487,0 2,0,2024-09-07 09:32:51:573,123168,0.6,123150,0.7,246132,0.6,328369,2.00 2,1,2024-09-07 09:32:50:871,856191,856191,0,0,402236986762,4181342718,851665,3724,802,380,391745,0 2,2,2024-09-07 09:32:51:266,618428,618428,0,0,23425835,0,3594 2,3,2024-09-07 09:32:50:694,1,487,1,0,357,4361,487,0 3,0,2024-09-07 09:32:51:762,121053,0.4,120909,0.6,241512,0.4,321742,2.00 3,1,2024-09-07 09:32:51:617,854733,854733,0,0,401392198867,4180811092,847632,6406,695,379,391716,0 3,2,2024-09-07 09:32:51:142,617298,617275,23,0,24841345,0,5851 3,3,2024-09-07 09:32:51:756,1,487,0,0,207,3107,487,0 4,0,2024-09-07 09:32:51:791,117815,0.4,121062,0.5,246908,0.3,322643,1.75 4,1,2024-09-07 09:32:50:612,851302,851302,0,0,399824681432,4239157128,835546,12090,3666,370,391992,0 4,2,2024-09-07 09:32:51:042,612635,612635,0,0,30458825,0,4534 4,3,2024-09-07 09:32:51:051,1,487,1,0,448,6433,487,0 5,0,2024-09-07 09:32:51:375,121219,0.4,121837,0.6,243109,0.4,322837,1.75 5,1,2024-09-07 09:32:50:782,852805,852805,0,0,400783571199,4222390528,840285,10108,2412,367,392005,0 5,2,2024-09-07 09:32:51:850,611499,611499,0,0,29443416,0,3582 5,3,2024-09-07 09:32:51:735,1,487,8,0,457,6860,487,0 6,0,2024-09-07 09:32:50:917,123079,0.5,122742,0.7,245639,0.5,327854,2.00 6,1,2024-09-07 09:32:50:746,854832,854832,0,0,400440806202,4185887527,845657,7732,1443,379,391702,0 6,2,2024-09-07 09:32:51:117,616565,616547,18,0,28380302,0,5535 6,3,2024-09-07 09:32:51:274,1,487,23,0,710,6143,487,0 7,0,2024-09-07 09:32:51:533,120628,0.6,121531,0.7,241918,0.5,321787,2.00 7,1,2024-09-07 09:32:50:908,852648,852648,0,0,400662175898,4213353528,839796,10621,2231,382,391747,0 7,2,2024-09-07 09:32:50:773,616482,616482,0,0,27751313,0,4791 7,3,2024-09-07 09:32:50:859,1,487,1,0,552,5700,487,0 8,0,2024-09-07 09:32:51:351,122466,0.3,122148,0.5,244972,0.3,326096,1.75 8,1,2024-09-07 09:32:51:034,852174,852174,0,0,399872966654,4206710610,838461,10664,3049,366,392853,0 8,2,2024-09-07 09:32:50:802,611416,611416,0,0,32277947,0,3250 8,3,2024-09-07 09:32:50:585,1,487,7,0,538,7619,487,0 9,0,2024-09-07 09:32:51:125,122041,0.4,118762,0.5,248536,0.3,325953,1.75 9,1,2024-09-07 09:32:50:682,851573,851573,0,0,400278932973,4216084902,839077,10027,2469,369,392001,0 9,2,2024-09-07 09:32:51:083,614818,614818,0,0,29386379,0,4615 9,3,2024-09-07 09:32:51:752,1,487,26,0,1273,8340,487,0 10,0,2024-09-07 09:32:51:607,122481,0.4,122120,0.5,245135,0.3,326203,1.75 10,1,2024-09-07 09:32:50:610,854514,854514,0,0,400165544552,4194632420,842810,9764,1940,381,391741,0 10,2,2024-09-07 09:32:50:761,614688,614688,0,0,31797873,0,4264 10,3,2024-09-07 09:32:50:882,1,487,1,0,649,5130,487,0 11,0,2024-09-07 09:32:51:008,122448,0.5,118862,0.6,248342,0.4,327901,1.75 11,1,2024-09-07 09:32:50:575,854494,854494,0,0,401203715366,4209168736,841681,9693,3120,383,391756,0 11,2,2024-09-07 09:32:51:124,615255,615255,0,0,28442109,0,4130 11,3,2024-09-07 09:32:51:301,1,487,1,0,843,6613,487,0 12,0,2024-09-07 09:32:50:996,123250,0.4,123159,0.5,246370,0.3,327452,1.75 12,1,2024-09-07 09:32:50:945,854487,854487,0,0,400879734678,4181792548,847691,6136,660,370,391960,0 12,2,2024-09-07 09:32:51:547,616564,616564,0,0,27840710,0,4390 12,3,2024-09-07 09:32:51:067,1,487,1,0,386,6772,487,0 13,0,2024-09-07 09:32:51:327,122434,0.4,122036,0.6,243433,0.4,324524,1.75 13,1,2024-09-07 09:32:51:524,852702,852702,0,0,400641489971,4212544648,843619,6932,2151,382,391740,0 13,2,2024-09-07 09:32:50:598,617847,617847,0,0,25261608,0,3287 13,3,2024-09-07 09:32:51:764,1,487,14,0,522,7002,487,0 14,0,2024-09-07 09:32:50:563,122081,0.4,123174,0.6,244038,0.4,325287,1.75 14,1,2024-09-07 09:32:51:561,858406,858406,0,0,403128976219,4173218379,851863,5829,714,364,391673,0 14,2,2024-09-07 09:32:50:764,613384,613354,30,0,26351732,0,6104 14,3,2024-09-07 09:32:51:116,1,487,26,0,1168,5273,487,0 15,0,2024-09-07 09:32:51:555,122695,0.4,122357,0.6,245695,0.4,327024,2.00 15,1,2024-09-07 09:32:51:607,855518,855518,0,0,402165074783,4188342718,848494,5568,1456,381,391619,0 15,2,2024-09-07 09:32:50:998,618749,618749,0,0,22342673,0,3622 15,3,2024-09-07 09:32:51:405,1,487,8,0,1126,7244,487,0 16,0,2024-09-07 09:32:50:968,121194,0.5,121945,0.7,242814,0.5,322983,2.00 16,1,2024-09-07 09:32:50:582,855120,855120,0,0,401509796606,4201001145,846953,6871,1296,370,391917,0 16,2,2024-09-07 09:32:51:436,616614,616614,0,0,26851637,0,4719 16,3,2024-09-07 09:32:51:142,1,487,3,0,358,6317,487,0 17,0,2024-09-07 09:32:51:806,125029,0.6,122011,0.7,238959,0.6,323942,2.00 17,1,2024-09-07 09:32:50:577,853438,853438,0,0,400768616852,4207450745,844544,7149,1745,368,392075,0 17,2,2024-09-07 09:32:51:666,618236,618235,1,0,27315318,0,5050 17,3,2024-09-07 09:32:50:576,1,487,1,0,518,7507,487,0 18,0,2024-09-07 09:32:50:944,119757,0.7,120367,0.8,239992,0.7,320250,2.25 18,1,2024-09-07 09:32:51:639,857146,857146,0,0,402324084599,4174289651,851646,4643,857,367,391725,0 18,2,2024-09-07 09:32:51:755,615564,615564,0,0,24156988,0,3541 18,3,2024-09-07 09:32:50:899,1,487,0,0,1059,4832,487,0 19,0,2024-09-07 09:32:51:547,122537,0.6,122877,0.8,244222,0.6,326025,2.25 19,1,2024-09-07 09:32:50:572,857032,857032,0,0,402202973956,4174296592,850099,5849,1084,367,391777,0 19,2,2024-09-07 09:32:51:752,619768,619768,0,0,22658441,0,3988 19,3,2024-09-07 09:32:51:129,1,487,1,0,524,4071,487,0 20,0,2024-09-07 09:32:51:372,120972,0.5,121082,0.7,241757,0.5,322293,2.00 20,1,2024-09-07 09:32:50:577,854049,854049,0,0,400916797103,4203218168,844952,7735,1362,369,391922,0 20,2,2024-09-07 09:32:50:953,616015,616015,0,0,27163002,0,3721 20,3,2024-09-07 09:32:50:589,1,487,10,0,468,8607,487,0 21,0,2024-09-07 09:32:51:140,121840,0.4,121959,0.6,243856,0.4,323299,1.75 21,1,2024-09-07 09:32:51:554,851768,851768,0,0,399936872093,4224902536,837740,10914,3114,368,392016,0 21,2,2024-09-07 09:32:51:076,612259,612239,20,0,32473707,0,5617 21,3,2024-09-07 09:32:51:404,1,487,5,0,713,6415,487,0 22,0,2024-09-07 09:32:51:718,122101,0.5,122543,0.7,244687,0.4,324385,2.00 22,1,2024-09-07 09:32:51:025,852815,852815,0,0,400302360341,4218758031,837857,11735,3223,382,391822,0 22,2,2024-09-07 09:32:50:761,613737,613711,26,0,27387774,0,6328 22,3,2024-09-07 09:32:51:066,1,487,0,0,228,4185,487,0 23,0,2024-09-07 09:32:51:372,122295,0.5,121799,0.7,243783,0.5,325558,2.25 23,1,2024-09-07 09:32:51:003,853973,853973,0,0,401585238498,4220743601,838357,11208,4408,365,391690,0 23,2,2024-09-07 09:32:51:094,617445,617445,0,0,25773337,0,3773 23,3,2024-09-07 09:32:51:756,1,487,102,0,720,6649,487,0 24,0,2024-09-07 09:32:50:915,123566,0.4,122720,0.6,246755,0.4,327382,1.75 24,1,2024-09-07 09:32:50:638,853366,853366,0,0,400633421499,4197370926,844682,7021,1663,367,392269,0 24,2,2024-09-07 09:32:51:074,616214,616214,0,0,29864166,0,3607 24,3,2024-09-07 09:32:51:686,1,487,1,0,468,6377,487,0 25,0,2024-09-07 09:32:51:366,125867,0.4,122634,0.6,240580,0.4,327700,1.75 25,1,2024-09-07 09:32:50:563,852296,852296,0,0,401096469983,4231305665,838103,11444,2749,369,391928,0 25,2,2024-09-07 09:32:51:606,614272,614272,0,0,31652961,0,3978 25,3,2024-09-07 09:32:51:000,1,487,1,0,532,5484,487,0 26,0,2024-09-07 09:32:51:728,121122,0.4,118489,0.6,248604,0.4,323329,1.75 26,1,2024-09-07 09:32:51:543,855514,855514,0,0,401174285887,4203873975,843106,9995,2413,380,391748,0 26,2,2024-09-07 09:32:50:871,615794,615794,0,0,33144688,0,4689 26,3,2024-09-07 09:32:51:718,1,487,8,0,796,5613,487,0 27,0,2024-09-07 09:32:51:746,122685,0.5,122974,0.6,244546,0.4,326813,2.25 27,1,2024-09-07 09:32:51:676,856360,856360,0,0,402485268285,4193853763,848046,7052,1262,381,391626,0 27,2,2024-09-07 09:32:50:873,611669,611604,65,0,28524712,0,5699 27,3,2024-09-07 09:32:51:016,1,487,0,0,564,4412,487,0 28,0,2024-09-07 09:32:51:391,122990,0.4,122444,0.6,245862,0.3,327456,1.75 28,1,2024-09-07 09:32:50:802,856117,856117,0,0,402507664450,4198626032,848621,5796,1700,382,391698,0 28,2,2024-09-07 09:32:51:765,616803,616803,0,0,25154276,0,2915 28,3,2024-09-07 09:32:51:779,1,487,3,0,502,5027,487,0 29,0,2024-09-07 09:32:51:383,125255,0.4,122044,0.6,239272,0.3,326585,1.75 29,1,2024-09-07 09:32:51:574,858862,858862,0,0,403010643793,4170552464,853525,4578,759,368,391809,0 29,2,2024-09-07 09:32:50:871,616759,616759,0,0,24634975,0,4986 29,3,2024-09-07 09:32:50:963,1,487,1,0,459,5547,487,0 30,0,2024-09-07 09:32:51:455,120998,0.5,117493,0.7,245900,0.5,321648,2.00 30,1,2024-09-07 09:32:50:577,857612,857612,0,0,403346929155,4188019770,850964,5799,849,380,391672,0 30,2,2024-09-07 09:32:51:274,616994,616994,0,0,24095403,0,4192 30,3,2024-09-07 09:32:50:581,1,487,0,0,519,4921,487,0 31,0,2024-09-07 09:32:51:765,121129,0.5,121544,0.7,242685,0.4,324307,2.00 31,1,2024-09-07 09:32:50:565,860531,860531,0,0,404173455588,4146997034,856178,3552,801,356,391712,0 31,2,2024-09-07 09:32:51:275,612014,612014,0,0,26289428,0,4470 31,3,2024-09-07 09:32:51:711,1,487,1,0,220,4100,487,0 32,0,2024-09-07 09:32:51:416,123618,0.4,124301,0.5,247368,0.3,330230,1.75 32,1,2024-09-07 09:32:50:807,856352,856352,0,0,402059594554,4182485376,850813,4832,707,381,391646,0 32,2,2024-09-07 09:32:50:947,618477,618477,0,0,23119078,0,3922 32,3,2024-09-07 09:32:51:015,1,487,0,0,304,3970,487,0 33,0,2024-09-07 09:32:51:493,121628,0.3,121210,0.4,242562,0.2,322894,1.50 33,1,2024-09-07 09:32:50:579,857541,857541,0,0,403299549019,4181978579,850324,6045,1172,368,391730,0 33,2,2024-09-07 09:32:50:761,617895,617860,35,0,25854213,0,7012 33,3,2024-09-07 09:32:50:899,1,487,33,0,329,4702,487,0 34,0,2024-09-07 09:32:50:960,121755,0.3,125102,0.4,239124,0.2,321922,1.75 34,1,2024-09-07 09:32:51:052,859358,859358,0,0,404189379204,4158131644,856981,2355,22,367,391562,0 34,2,2024-09-07 09:32:50:768,617089,617089,0,0,24332415,0,4562 34,3,2024-09-07 09:32:51:688,1,487,63,0,320,3960,487,0 35,0,2024-09-07 09:32:50:869,120676,0.3,121312,0.5,243802,0.3,323627,1.75 35,1,2024-09-07 09:32:51:067,856739,856739,0,0,403269939219,4184360838,850849,4831,1059,382,391769,0 35,2,2024-09-07 09:32:51:582,614111,614111,0,0,26703297,0,4055 35,3,2024-09-07 09:32:50:926,1,487,1,0,418,4755,487,0 36,0,2024-09-07 09:32:51:524,123413,0.5,123379,0.7,246501,0.5,328346,2.25 36,1,2024-09-07 09:32:50:586,855664,855664,0,0,401006314935,4192801482,843915,9574,2175,366,391759,0 36,2,2024-09-07 09:32:51:756,617430,617430,0,0,27777003,0,3875 36,3,2024-09-07 09:32:50:873,1,487,31,0,416,7039,487,0 37,0,2024-09-07 09:32:51:368,120850,0.5,120793,0.7,241978,0.5,322270,2.25 37,1,2024-09-07 09:32:50:569,854208,854201,0,7,401519838840,4197479326,843248,8280,2673,365,391770,0 37,2,2024-09-07 09:32:51:146,614452,614437,15,0,28047422,0,5815 37,3,2024-09-07 09:32:51:766,1,487,14,0,888,7096,487,0 38,0,2024-09-07 09:32:51:436,121605,0.4,117991,0.6,246896,0.4,323401,2.00 38,1,2024-09-07 09:32:51:605,855516,855516,0,0,402346169969,4211195327,842407,10593,2516,368,391821,0 38,2,2024-09-07 09:32:50:763,614719,614672,47,0,28424449,0,6710 38,3,2024-09-07 09:32:50:997,1,487,3,0,689,6517,487,0 39,0,2024-09-07 09:32:51:775,124985,0.6,122244,0.7,238288,0.5,325434,2.00 39,1,2024-09-07 09:32:50:716,853950,853950,0,0,400634845406,4210553433,838189,12292,3469,365,391658,0 39,2,2024-09-07 09:32:51:417,616042,616042,0,0,26704933,0,3391 39,3,2024-09-07 09:32:50:717,1,487,0,0,525,5895,487,0 40,0,2024-09-07 09:32:51:497,121218,0.8,121975,1.0,243367,1.0,324518,2.75 40,1,2024-09-07 09:32:50:581,855790,855790,0,0,400460830533,4192447112,843855,9757,2178,368,391668,0 40,2,2024-09-07 09:32:51:303,613848,613847,1,0,30878734,0,5137 40,3,2024-09-07 09:32:51:142,1,487,1,0,1028,6363,487,0 41,0,2024-09-07 09:32:51:025,121587,1.2,124573,1.2,237173,1.8,323354,3.00 41,1,2024-09-07 09:32:50:768,854294,854294,0,0,401456149836,4201990379,843205,9380,1709,369,391742,0 41,2,2024-09-07 09:32:50:761,614219,614219,0,0,29284210,0,4277 41,3,2024-09-07 09:32:51:676,1,487,8,0,366,5075,487,0 42,0,2024-09-07 09:32:51:480,121739,0.8,121456,1.0,243209,0.9,322326,2.75 42,1,2024-09-07 09:32:51:442,851748,851748,0,0,399905371534,4208648379,837097,11789,2862,380,391675,0 42,2,2024-09-07 09:32:51:133,615672,615672,0,0,29154893,0,3975 42,3,2024-09-07 09:32:51:011,1,487,1,0,892,4545,487,0 43,0,2024-09-07 09:32:50:920,120405,0.7,117279,0.9,245267,0.7,321574,2.25 43,1,2024-09-07 09:32:50:578,854774,854774,0,0,401030357840,4194722631,842159,10358,2257,366,391696,0 43,2,2024-09-07 09:32:51:744,616710,616710,0,0,28733540,0,3812 43,3,2024-09-07 09:32:51:752,1,487,1,0,571,6931,487,0 44,0,2024-09-07 09:32:50:881,122189,0.4,122306,0.6,245143,0.4,325867,2.00 44,1,2024-09-07 09:32:50:568,857542,857542,0,0,402489567348,4160729130,850048,5945,1549,356,391809,0 44,2,2024-09-07 09:32:51:267,611583,611583,0,0,23675794,0,4344 44,3,2024-09-07 09:32:51:094,1,487,0,0,817,5447,487,0 45,0,2024-09-07 09:32:51:759,121356,0.5,118636,0.7,248963,0.4,327017,2.00 45,1,2024-09-07 09:32:51:006,856500,856500,0,0,403124369959,4191620518,849318,6316,866,382,391917,0 45,2,2024-09-07 09:32:51:272,618229,618229,0,0,23928104,0,3596 45,3,2024-09-07 09:32:50:937,1,487,11,0,271,4370,487,0 46,0,2024-09-07 09:32:50:995,120779,0.5,120210,0.7,241281,0.5,320208,2.00 46,1,2024-09-07 09:32:50:580,858515,858515,0,0,403095003138,4164664476,852919,4866,730,366,391709,0 46,2,2024-09-07 09:32:50:593,617411,617411,0,0,24211219,0,4443 46,3,2024-09-07 09:32:51:131,1,487,1,0,908,5737,487,0 47,0,2024-09-07 09:32:51:102,121470,0.4,121816,0.6,244049,0.4,322875,1.75 47,1,2024-09-07 09:32:50:583,858581,858581,0,0,402010020699,4154188222,853482,4260,839,366,391641,0 47,2,2024-09-07 09:32:50:909,619065,619065,0,0,24299095,0,4477 47,3,2024-09-07 09:32:51:116,1,487,1,0,600,5635,487,0 48,0,2024-09-07 09:32:51:488,121438,0.3,121486,0.4,241822,0.2,322237,1.50 48,1,2024-09-07 09:32:51:030,856722,856722,0,0,402000647827,4179035012,851081,5069,572,384,391710,0 48,2,2024-09-07 09:32:50:713,613990,613990,0,0,22721626,0,3411 48,3,2024-09-07 09:32:50:752,1,487,1,0,339,3858,487,0 49,0,2024-09-07 09:32:51:720,126153,0.4,123918,0.5,240754,0.3,329823,1.75 49,1,2024-09-07 09:32:51:025,856057,856057,0,0,402100355371,4183580720,850500,4347,1210,382,391809,0 49,2,2024-09-07 09:32:51:799,618330,618330,0,0,24849137,0,4426 49,3,2024-09-07 09:32:51:416,1,487,1,0,992,5632,487,0 50,0,2024-09-07 09:32:51:507,121695,0.3,119999,0.5,241771,0.2,322199,1.75 50,1,2024-09-07 09:32:51:013,858839,858839,0,0,403880024897,4177651851,853154,5022,663,368,391565,0 50,2,2024-09-07 09:32:51:066,616829,616829,0,0,22958453,0,4490 50,3,2024-09-07 09:32:51:291,1,487,1,0,617,5385,487,0 51,0,2024-09-07 09:32:51:684,124931,0.3,122419,0.5,238363,0.2,324823,1.75 51,1,2024-09-07 09:32:51:680,859687,859687,0,0,403974901841,4167633398,855156,3477,1054,365,391706,0 51,2,2024-09-07 09:32:51:316,616195,616195,0,0,22024178,0,3337 51,3,2024-09-07 09:32:51:031,1,487,9,0,678,3726,487,0 52,0,2024-09-07 09:32:51:414,122642,0.5,122343,0.7,244861,0.5,325279,2.00 52,1,2024-09-07 09:32:50:576,854722,854722,0,0,401634891072,4209569251,841613,11005,2104,368,391722,0 52,2,2024-09-07 09:32:51:759,612010,611972,38,0,29863503,0,6742 52,3,2024-09-07 09:32:50:676,1,487,14,0,1782,6295,487,0 53,0,2024-09-07 09:32:51:735,121862,0.7,118289,0.8,247450,0.8,325301,2.50 53,1,2024-09-07 09:32:50:775,852836,852836,0,0,401070152003,4215364525,837557,11435,3844,367,391968,0 53,2,2024-09-07 09:32:51:302,616571,616570,1,0,26326938,0,5455 53,3,2024-09-07 09:32:50:697,1,487,40,0,308,4886,487,0 54,0,2024-09-07 09:32:51:617,120623,0.6,120903,0.8,240858,0.5,321256,2.25 54,1,2024-09-07 09:32:50:581,855090,855090,0,0,403191788792,4197974965,845234,8222,1634,366,391810,0 54,2,2024-09-07 09:32:50:883,616580,616548,32,0,30099156,0,6397 54,3,2024-09-07 09:32:50:762,1,487,0,0,676,6759,487,0 55,0,2024-09-07 09:32:51:760,118410,0.6,122065,0.8,247259,0.5,322301,2.50 55,1,2024-09-07 09:32:50:764,856550,856550,0,0,402151686531,4185506509,846965,8267,1318,365,391731,0 55,2,2024-09-07 09:32:50:733,615484,615428,56,0,28771150,0,7239 55,3,2024-09-07 09:32:50:679,1,487,1,0,304,4717,487,0 56,0,2024-09-07 09:32:51:550,124072,1.2,116939,1.1,241099,1.6,322597,2.75 56,1,2024-09-07 09:32:50:575,851865,851865,0,0,400417955846,4230256540,838295,10681,2889,381,391867,0 56,2,2024-09-07 09:32:51:303,615742,615620,122,0,29924406,0,7432 56,3,2024-09-07 09:32:51:072,1,487,5,0,705,5928,487,0 57,0,2024-09-07 09:32:50:945,120659,1.5,120699,1.3,241564,2.1,323082,3.25 57,1,2024-09-07 09:32:50:987,853566,853566,0,0,400406040165,4202730407,842167,9203,2196,366,392032,0 57,2,2024-09-07 09:32:51:332,613631,613631,0,0,29943315,0,4317 57,3,2024-09-07 09:32:51:740,1,487,8,0,455,5604,487,0 58,0,2024-09-07 09:32:50:555,120970,0.9,117470,0.9,245780,1.0,322226,2.50 58,1,2024-09-07 09:32:50:581,854542,854539,0,3,402283376531,4216828104,841453,9888,3198,367,391603,3 58,2,2024-09-07 09:32:51:076,615962,615962,0,0,28573654,0,3483 58,3,2024-09-07 09:32:51:073,1,487,1,0,1043,5262,487,0 59,0,2024-09-07 09:32:51:741,121371,0.8,121103,1.0,241653,0.9,320603,2.75 59,1,2024-09-07 09:32:50:820,854211,854211,0,0,401343502319,4211553103,841347,10450,2414,369,391653,0 59,2,2024-09-07 09:32:50:610,616605,616605,0,0,27745675,0,3727 59,3,2024-09-07 09:32:51:744,1,487,2,0,1015,6318,487,0 60,0,2024-09-07 09:32:51:714,121148,0.5,121240,0.7,242845,0.5,322600,1.75 60,1,2024-09-07 09:32:50:774,857698,857698,0,0,402678410005,4179978236,851761,5008,929,370,392031,0 60,2,2024-09-07 09:32:51:145,616594,616594,0,0,26340166,0,3811 60,3,2024-09-07 09:32:51:268,1,487,3,0,409,5992,487,0 61,0,2024-09-07 09:32:51:494,121288,0.6,121906,0.8,242739,0.7,324087,2.00 61,1,2024-09-07 09:32:50:772,854821,854821,0,0,401773140672,4209740532,845134,8110,1577,382,392127,0 61,2,2024-09-07 09:32:51:122,612965,612898,67,0,26717807,0,6411 61,3,2024-09-07 09:32:51:687,1,487,2,0,479,6576,487,0 62,0,2024-09-07 09:32:51:707,123953,0.5,126957,0.7,242485,0.5,330021,2.00 62,1,2024-09-07 09:32:51:120,859835,859829,0,6,403837717668,4162623211,855881,3684,264,365,391975,6 62,2,2024-09-07 09:32:51:647,615680,615679,1,0,26185057,0,5555 62,3,2024-09-07 09:32:51:145,1,487,0,0,482,4039,487,0 63,0,2024-09-07 09:32:51:463,121587,0.4,121335,0.6,243431,0.4,323238,1.75 63,1,2024-09-07 09:32:50:807,857222,857216,0,6,402772230892,4180913264,851864,4618,734,381,391800,6 63,2,2024-09-07 09:32:50:761,617177,617177,0,0,24953281,0,4369 63,3,2024-09-07 09:32:51:733,1,487,1,0,667,5198,487,0 64,0,2024-09-07 09:32:51:508,121010,0.5,121137,0.7,241720,0.4,321180,2.00 64,1,2024-09-07 09:32:50:752,856523,856523,0,0,402370403350,4192945536,848919,5838,1766,370,391783,0 64,2,2024-09-07 09:32:51:144,619329,619310,19,0,24698461,0,6121 64,3,2024-09-07 09:32:51:144,1,487,0,0,651,5333,487,0 65,0,2024-09-07 09:32:51:674,120525,0.7,120785,0.8,241113,0.7,320937,2.00 65,1,2024-09-07 09:32:50:871,854438,854438,0,0,401187171251,4196932034,847763,5882,793,381,391901,0 65,2,2024-09-07 09:32:51:701,614313,614313,0,0,28808397,0,3367 65,3,2024-09-07 09:32:51:684,1,487,11,0,782,5923,487,0 66,0,2024-09-07 09:32:51:832,122628,0.6,122357,0.8,244670,0.5,325854,2.25 66,1,2024-09-07 09:32:51:294,856578,856578,0,0,402226178901,4189833242,850449,5407,722,380,391743,0 66,2,2024-09-07 09:32:51:135,618858,618855,3,0,26178652,0,5455 66,3,2024-09-07 09:32:51:079,1,487,17,0,291,4301,487,0 67,0,2024-09-07 09:32:51:443,121194,0.6,120923,0.7,242709,0.5,322418,2.25 67,1,2024-09-07 09:32:50:772,856165,856164,0,1,402351748984,4191266099,850095,5260,809,380,391787,1 67,2,2024-09-07 09:32:50:583,618429,618414,15,0,25356177,0,6205 67,3,2024-09-07 09:32:51:750,1,487,4,0,392,4755,487,0 68,0,2024-09-07 09:32:50:600,122450,0.5,122384,0.7,243770,0.5,325769,2.00 68,1,2024-09-07 09:32:50:591,852542,852542,0,0,400125855316,4212695820,840406,8737,3399,381,391953,0 68,2,2024-09-07 09:32:51:052,612026,611926,100,0,32909484,0,8578 68,3,2024-09-07 09:32:50:728,1,487,1,0,417,6343,487,0 69,0,2024-09-07 09:32:51:731,121388,0.7,122176,0.8,243561,0.7,323566,2.25 69,1,2024-09-07 09:32:51:052,852375,852375,0,0,400461798354,4225827119,840328,9288,2759,384,391994,0 69,2,2024-09-07 09:32:51:744,614447,614418,29,0,33969883,0,6912 69,3,2024-09-07 09:32:50:760,1,487,3,0,698,7433,487,0 70,0,2024-09-07 09:32:51:535,121138,1.1,121428,1.1,244361,1.1,323378,2.75 70,1,2024-09-07 09:32:50:807,857009,857009,0,0,403465624015,4190091788,849837,6411,761,366,391725,0 70,2,2024-09-07 09:32:51:326,614771,614771,0,0,28499092,0,4323 70,3,2024-09-07 09:32:50:746,1,487,6,0,854,5642,487,0 71,0,2024-09-07 09:32:51:368,121193,1.1,120973,1.1,242812,1.4,324700,3.00 71,1,2024-09-07 09:32:51:598,854754,854754,0,0,402475462274,4206634273,843455,10044,1255,368,391738,0 71,2,2024-09-07 09:32:51:074,615281,615281,0,0,29178999,0,4352 71,3,2024-09-07 09:32:51:751,1,487,1,0,644,5950,487,0 72,0,2024-09-07 09:32:51:029,126356,0.5,123562,0.7,240962,0.5,327687,2.00 72,1,2024-09-07 09:32:51:025,853509,853509,0,0,400841184957,4208275035,840865,10479,2165,369,391819,0 72,2,2024-09-07 09:32:51:760,615378,615378,0,0,30437534,0,3983 72,3,2024-09-07 09:32:51:771,1,487,1,0,564,7205,487,0 73,0,2024-09-07 09:32:51:099,118395,0.4,121548,0.6,248122,0.4,322552,2.00 73,1,2024-09-07 09:32:50:775,856097,856097,0,0,402349710412,4179568788,849959,5714,424,367,391858,0 73,2,2024-09-07 09:32:51:744,615750,615750,0,0,30497311,0,4291 73,3,2024-09-07 09:32:50:972,1,487,4,0,486,6218,487,0 74,0,2024-09-07 09:32:51:363,123064,0.5,125988,0.7,240323,0.5,326139,2.25 74,1,2024-09-07 09:32:50:643,854949,854949,0,0,401217043800,4190264523,845395,7761,1793,381,391762,0 74,2,2024-09-07 09:32:51:005,612683,612683,0,0,26693195,0,4253 74,3,2024-09-07 09:32:51:444,1,487,4,0,522,6054,487,0 75,0,2024-09-07 09:32:51:765,123356,0.5,122557,0.7,246027,0.5,328608,2.25 75,1,2024-09-07 09:32:51:585,855823,855823,0,0,401217697313,4186097191,848080,6884,859,380,391739,0 75,2,2024-09-07 09:32:51:351,615771,615771,0,0,31607765,0,4766 75,3,2024-09-07 09:32:51:066,1,487,2,0,702,6046,487,0 76,0,2024-09-07 09:32:50:590,120593,0.5,119940,0.7,240651,0.5,321730,2.25 76,1,2024-09-07 09:32:50:811,855085,855085,0,0,401187868069,4184303231,849297,4959,829,382,391692,0 76,2,2024-09-07 09:32:51:062,619133,619130,3,0,27108344,0,5265 76,3,2024-09-07 09:32:51:143,1,487,1,0,227,4601,487,0 77,0,2024-09-07 09:32:51:700,120905,0.5,121348,0.7,243010,0.5,321871,2.00 77,1,2024-09-07 09:32:50:828,855844,855844,0,0,401803433110,4193043965,849295,5987,562,381,391869,0 77,2,2024-09-07 09:32:51:287,616133,616133,0,0,26191210,0,3890 77,3,2024-09-07 09:32:51:094,1,487,7,0,401,5301,487,0 78,0,2024-09-07 09:32:51:723,121266,0.4,120691,0.6,242175,0.4,320890,2.00 78,1,2024-09-07 09:32:50:610,855857,855857,0,0,401331602933,4184798296,846212,7416,2229,367,391670,0 78,2,2024-09-07 09:32:51:404,614902,614889,13,0,24320865,0,8313 78,3,2024-09-07 09:32:51:140,1,487,11,0,311,4367,487,0 79,0,2024-09-07 09:32:51:374,118711,0.4,121661,0.6,248937,0.4,324604,2.25 79,1,2024-09-07 09:32:50:575,858206,858206,0,0,402687157961,4173817829,850909,5945,1352,367,391682,0 79,2,2024-09-07 09:32:51:067,618209,618209,0,0,23616455,0,4195 79,3,2024-09-07 09:32:50:754,1,487,7,0,418,6061,487,0 80,0,2024-09-07 09:32:51:083,120831,0.5,124102,0.7,237695,0.5,321574,2.00 80,1,2024-09-07 09:32:51:619,855282,855282,0,0,402058382293,4187826241,849487,5372,423,368,392269,0 80,2,2024-09-07 09:32:51:094,618839,618839,0,0,24587491,0,4433 80,3,2024-09-07 09:32:50:581,1,487,4,0,296,5805,487,0 81,0,2024-09-07 09:32:51:538,121890,0.5,124857,0.7,238194,0.5,323434,2.00 81,1,2024-09-07 09:32:51:651,854310,854310,0,0,401550075177,4200497776,847039,6634,637,382,391885,0 81,2,2024-09-07 09:32:51:125,614718,614655,63,0,27628179,0,5932 81,3,2024-09-07 09:32:51:121,1,487,26,0,719,5733,487,0 82,0,2024-09-07 09:32:51:547,121970,0.5,122133,0.7,244614,0.4,324577,2.00 82,1,2024-09-07 09:32:50:610,856640,856636,0,4,402275503230,4185031346,851574,4243,819,381,391768,4 82,2,2024-09-07 09:32:51:691,616597,616597,0,0,23011743,0,4484 82,3,2024-09-07 09:32:51:752,1,487,3,0,363,4851,487,0 83,0,2024-09-07 09:32:51:531,122653,0.6,122478,0.7,244489,0.6,325490,2.25 83,1,2024-09-07 09:32:50:578,855039,855039,0,0,401975821833,4192595909,848916,5672,451,382,391709,0 83,2,2024-09-07 09:32:50:764,615831,615806,25,0,24565395,0,5612 83,3,2024-09-07 09:32:50:749,1,487,2,0,1260,6246,487,0 84,0,2024-09-07 09:32:51:775,121469,0.8,121603,0.9,242826,0.8,324547,2.50 84,1,2024-09-07 09:32:51:044,853839,853839,0,0,401407572751,4200121076,843911,8409,1519,367,391967,0 84,2,2024-09-07 09:32:50:575,615153,615123,30,0,32538931,0,5971 84,3,2024-09-07 09:32:51:142,1,487,3,0,908,7228,487,0 85,0,2024-09-07 09:32:51:099,117905,0.6,117812,0.8,250045,0.5,323699,2.25 85,1,2024-09-07 09:32:50:567,851458,851458,0,0,399988188956,4228882495,837574,11249,2635,381,392092,0 85,2,2024-09-07 09:32:50:896,614611,614611,0,0,30660155,0,4255 85,3,2024-09-07 09:32:50:694,1,487,13,0,789,5728,487,0 86,0,2024-09-07 09:32:50:925,121282,0.6,124777,0.8,238714,0.7,322931,2.25 86,1,2024-09-07 09:32:50:858,854117,854117,0,0,401264526927,4209484100,842946,9204,1967,366,391961,0 86,2,2024-09-07 09:32:50:860,614026,614025,1,0,33094637,0,5004 86,3,2024-09-07 09:32:50:601,1,487,25,0,308,7237,487,0 87,0,2024-09-07 09:32:51:332,122433,0.9,122120,0.9,244520,1.2,326979,2.50 87,1,2024-09-07 09:32:50:555,852897,852897,0,0,400727455377,4204177702,840890,10296,1711,366,392076,0 87,2,2024-09-07 09:32:51:077,613093,613087,6,0,28502558,0,6323 87,3,2024-09-07 09:32:51:797,1,487,1,0,473,7450,487,0 88,0,2024-09-07 09:32:51:675,122464,0.4,123074,0.6,245930,0.4,327135,1.75 88,1,2024-09-07 09:32:50:576,852524,852524,0,0,400679393459,4199473778,840582,9535,2407,365,392084,0 88,2,2024-09-07 09:32:50:694,616758,616758,0,0,31034556,0,4465 88,3,2024-09-07 09:32:51:279,1,487,1,0,435,5955,487,0 89,0,2024-09-07 09:32:51:796,125350,0.4,121461,0.6,240191,0.4,326615,1.75 89,1,2024-09-07 09:32:50:553,852361,852361,0,0,401892355231,4229862713,841129,9417,1815,382,391866,0 89,2,2024-09-07 09:32:51:133,616403,616403,0,0,29517612,0,3173 89,3,2024-09-07 09:32:51:791,1,487,16,0,468,8143,487,0 90,0,2024-09-07 09:32:51:651,117641,0.4,120892,0.6,246400,0.4,321470,1.75 90,1,2024-09-07 09:32:50:658,854668,854668,0,0,401194761041,4199452732,846882,7126,660,380,391825,0 90,2,2024-09-07 09:32:51:415,614545,614545,0,0,31647916,0,3608 90,3,2024-09-07 09:32:50:946,1,487,4,0,322,6053,487,0 91,0,2024-09-07 09:32:50:953,121985,0.5,118164,0.6,247019,0.5,324670,1.75 91,1,2024-09-07 09:32:50:588,852867,852867,0,0,401416704109,4222884560,841748,9118,2001,381,392047,0 91,2,2024-09-07 09:32:51:337,613664,613664,0,0,27447593,0,3526 91,3,2024-09-07 09:32:50:609,1,487,33,0,216,4766,487,0 92,0,2024-09-07 09:32:51:508,124812,0.5,127634,0.6,243322,0.5,330215,1.75 92,1,2024-09-07 09:32:50:583,855153,855153,0,0,401184751822,4191101536,848567,5508,1078,381,392136,0 92,2,2024-09-07 09:32:51:352,618332,618332,0,0,24662525,0,3259 92,3,2024-09-07 09:32:51:016,1,487,1,0,167,4242,487,0 93,0,2024-09-07 09:32:50:979,122029,0.4,125077,0.6,238675,0.4,323414,1.75 93,1,2024-09-07 09:32:50:808,855090,855090,0,0,401390547539,4191827297,845623,7767,1700,366,391776,0 93,2,2024-09-07 09:32:50:928,616902,616902,0,0,30408689,0,4913 93,3,2024-09-07 09:32:51:416,1,487,35,0,190,4357,487,0 94,0,2024-09-07 09:32:51:625,121200,0.3,121812,0.5,243493,0.3,322907,1.75 94,1,2024-09-07 09:32:50:578,855260,855260,0,0,402394575875,4198433653,849474,5414,372,381,391850,0 94,2,2024-09-07 09:32:50:763,615646,615618,28,0,25873454,0,6179 94,3,2024-09-07 09:32:51:695,1,487,2,0,576,6275,487,0 95,0,2024-09-07 09:32:51:409,121643,0.3,121316,0.5,243181,0.3,323594,1.75 95,1,2024-09-07 09:32:50:872,856979,856979,0,0,402255591136,4180901114,850204,6294,481,365,391786,0 95,2,2024-09-07 09:32:51:036,614463,614463,0,0,24566869,0,3308 95,3,2024-09-07 09:32:51:717,1,487,37,0,718,7262,487,0 96,0,2024-09-07 09:32:51:032,123259,0.4,123470,0.6,246750,0.4,327749,1.75 96,1,2024-09-07 09:32:51:593,855070,855070,0,0,401534751095,4191147330,848703,5456,911,384,391955,0 96,2,2024-09-07 09:32:51:268,617630,617630,0,0,25614681,0,4180 96,3,2024-09-07 09:32:51:150,1,487,2,0,411,5282,487,0 97,0,2024-09-07 09:32:51:353,121514,0.3,121117,0.5,243309,0.3,322831,1.75 97,1,2024-09-07 09:32:50:766,856689,856689,0,0,402905538776,4180841387,851152,4601,936,367,392140,0 97,2,2024-09-07 09:32:50:637,616997,616997,0,0,25291892,0,3679 97,3,2024-09-07 09:32:50:575,1,487,5,0,242,5840,487,0 98,0,2024-09-07 09:32:51:747,121918,0.3,122177,0.4,245043,0.2,325320,1.50 98,1,2024-09-07 09:32:50:581,856195,856195,0,0,401700130759,4186125647,850812,4589,794,381,391997,0 98,2,2024-09-07 09:32:50:786,615897,615897,0,0,25021627,0,4336 98,3,2024-09-07 09:32:50:708,1,487,20,0,840,7601,487,0 99,0,2024-09-07 09:32:51:510,122032,0.3,122677,0.5,244021,0.3,326090,1.75 99,1,2024-09-07 09:32:51:732,856304,856304,0,0,401860120315,4185606566,850396,4987,921,380,392069,0 99,2,2024-09-07 09:32:51:427,616846,616846,0,0,30127943,0,4276 99,3,2024-09-07 09:32:50:582,1,487,2,0,606,5041,487,0 100,0,2024-09-07 09:32:51:495,122205,1.0,122491,1.0,244234,1.2,326771,2.50 100,1,2024-09-07 09:32:50:550,850906,850906,0,0,399830013664,4232875136,836720,11088,3098,378,391989,0 100,2,2024-09-07 09:32:51:820,612423,612412,11,0,28929927,0,5417 100,3,2024-09-07 09:32:51:745,1,487,10,0,627,8267,487,0 101,0,2024-09-07 09:32:51:702,124939,1.2,121810,1.1,238549,1.1,327046,2.50 101,1,2024-09-07 09:32:50:559,852081,852081,0,0,400532532476,4213170526,839896,9790,2395,368,391847,0 101,2,2024-09-07 09:32:51:757,612390,612390,0,0,34089929,0,4871 101,3,2024-09-07 09:32:50:975,1,487,3,0,1250,7670,487,0 102,0,2024-09-07 09:32:51:019,119111,0.6,122760,0.8,249230,0.6,326049,2.00 102,1,2024-09-07 09:32:51:144,852072,852072,0,0,400638802510,4213326064,839984,10069,2019,369,391891,0 102,2,2024-09-07 09:32:51:741,616312,616258,54,0,29320475,0,6768 102,3,2024-09-07 09:32:51:614,1,487,104,0,466,5979,487,0 103,0,2024-09-07 09:32:51:613,125489,0.5,125480,0.7,236410,0.5,325051,2.00 103,1,2024-09-07 09:32:51:629,851562,851562,0,0,400507778588,4232184219,837685,10680,3197,381,391862,0 103,2,2024-09-07 09:32:50:593,614854,614854,0,0,30568810,0,3766 103,3,2024-09-07 09:32:50:760,1,487,1,0,916,6041,487,0 104,0,2024-09-07 09:32:51:010,121268,0.7,121488,0.9,241961,0.7,324244,2.25 104,1,2024-09-07 09:32:51:606,854035,854035,0,0,401084010086,4216797135,841107,10381,2547,365,392168,0 104,2,2024-09-07 09:32:51:665,610789,610789,0,0,29034380,0,3941 104,3,2024-09-07 09:32:51:425,1,487,1,0,1245,8941,487,0 105,0,2024-09-07 09:32:51:038,121628,0.8,118483,1.0,248438,0.9,326590,2.50 105,1,2024-09-07 09:32:50:555,855105,855105,0,0,401197781299,4205952279,843902,9469,1734,366,392009,0 105,2,2024-09-07 09:32:51:331,615597,615597,0,0,29124418,0,3509 105,3,2024-09-07 09:32:51:304,1,487,9,0,399,7137,487,0 106,0,2024-09-07 09:32:50:975,117072,0.8,119831,0.9,245595,0.8,320511,2.50 106,1,2024-09-07 09:32:51:753,854107,854107,0,0,400632458107,4205955820,841806,10657,1644,368,391914,0 106,2,2024-09-07 09:32:50:757,615823,615823,0,0,28225138,0,2920 106,3,2024-09-07 09:32:50:677,1,487,33,0,1224,7511,487,0 107,0,2024-09-07 09:32:51:126,121133,0.8,121405,0.9,242528,0.8,323077,2.00 107,1,2024-09-07 09:32:50:610,852346,852346,0,0,400332246528,4222647919,840069,10997,1280,381,392234,0 107,2,2024-09-07 09:32:51:296,614284,614283,1,0,30529889,0,5024 107,3,2024-09-07 09:32:51:760,1,487,1,0,370,6872,487,0 108,0,2024-09-07 09:32:51:816,120708,0.4,121496,0.6,241588,0.4,322339,1.75 108,1,2024-09-07 09:32:51:301,854886,854886,0,0,401404272992,4186360005,847790,6259,837,367,391857,0 108,2,2024-09-07 09:32:51:763,612716,612716,0,0,27390302,0,4246 108,3,2024-09-07 09:32:51:335,1,487,4,0,749,9666,487,0 109,0,2024-09-07 09:32:51:765,123601,0.4,122599,0.6,245481,0.4,328698,1.75 109,1,2024-09-07 09:32:50:610,852715,852715,0,0,401664310356,4215115850,844695,6808,1212,382,392132,0 109,2,2024-09-07 09:32:50:944,615215,615215,0,0,26764643,0,3617 109,3,2024-09-07 09:32:51:143,1,487,7,0,630,6197,487,0 110,0,2024-09-07 09:32:51:767,120917,0.4,117675,0.6,246626,0.4,322837,1.75 110,1,2024-09-07 09:32:51:644,856698,856698,0,0,402281879260,4173986440,850787,4691,1220,368,392045,0 110,2,2024-09-07 09:32:51:303,617335,617335,0,0,25945988,0,4067 110,3,2024-09-07 09:32:50:694,1,487,7,0,722,7069,487,0 111,0,2024-09-07 09:32:51:415,122284,0.3,121626,0.5,243629,0.3,324762,1.75 111,1,2024-09-07 09:32:51:004,857760,857760,0,0,402470050489,4173468373,853433,3971,356,380,391690,0 111,2,2024-09-07 09:32:51:120,615095,615095,0,0,25927394,0,4823 111,3,2024-09-07 09:32:50:929,1,487,1,0,379,5726,487,0 112,0,2024-09-07 09:32:50:920,122951,0.3,122280,0.4,245304,0.2,325540,1.50 112,1,2024-09-07 09:32:50:826,856328,856328,0,0,403092362608,4187287118,850447,5192,689,380,391624,0 112,2,2024-09-07 09:32:51:133,615146,615145,1,0,25248245,0,5036 112,3,2024-09-07 09:32:50:610,1,487,1,0,282,4853,487,0 113,0,2024-09-07 09:32:50:871,122765,0.3,122735,0.5,245829,0.3,327383,1.75 113,1,2024-09-07 09:32:51:687,859082,859082,0,0,404187052382,4171965929,854262,4011,809,366,391661,0 113,2,2024-09-07 09:32:51:303,618467,618467,0,0,22593639,0,3813 113,3,2024-09-07 09:32:50:685,1,487,12,0,340,5297,487,0 114,0,2024-09-07 09:32:50:889,122897,0.3,123734,0.5,245953,0.3,328549,1.75 114,1,2024-09-07 09:32:50:716,857143,857143,0,0,402273046224,4179758749,850449,5078,1616,381,391565,0 114,2,2024-09-07 09:32:50:880,618031,618030,1,0,24267124,0,5069 114,3,2024-09-07 09:32:51:283,1,487,0,0,395,3915,487,0 115,0,2024-09-07 09:32:50:583,122947,0.3,123359,0.4,246093,0.2,327239,1.50 115,1,2024-09-07 09:32:50:586,857040,857040,0,0,402392703512,4184593012,849745,5938,1357,382,391757,0 115,2,2024-09-07 09:32:51:125,618422,618422,0,0,24162507,0,4382 115,3,2024-09-07 09:32:51:002,1,487,1,0,167,2909,487,0 116,0,2024-09-07 09:32:51:705,121122,0.6,121023,0.8,242550,0.6,324256,2.00 116,1,2024-09-07 09:32:50:808,852451,852451,0,0,400681933927,4225993013,841944,8065,2442,380,392089,0 116,2,2024-09-07 09:32:51:756,614836,614836,0,0,31186953,0,4475 116,3,2024-09-07 09:32:50:927,1,487,1,0,415,6235,487,0 117,0,2024-09-07 09:32:50:996,122694,0.8,122196,0.8,244811,0.9,327352,2.00 117,1,2024-09-07 09:32:51:606,853237,853237,0,0,400813799862,4200301451,843289,8723,1225,369,392033,0 117,2,2024-09-07 09:32:51:125,615738,615738,0,0,26826772,0,4303 117,3,2024-09-07 09:32:51:067,1,487,7,0,490,6940,487,0 118,0,2024-09-07 09:32:51:786,118998,0.5,122341,0.7,249279,0.5,325793,2.00 118,1,2024-09-07 09:32:50:597,852789,852789,0,0,401068509352,4219652853,839567,10105,3117,366,392054,0 118,2,2024-09-07 09:32:51:586,615437,615437,0,0,29512929,0,2842 118,3,2024-09-07 09:32:51:773,1,487,3,0,248,5756,487,0 119,0,2024-09-07 09:32:51:445,121550,0.7,121879,0.8,243721,0.6,324777,2.00 119,1,2024-09-07 09:32:50:575,853214,853214,0,0,401236715623,4207767602,841705,9745,1764,367,391857,0 119,2,2024-09-07 09:32:51:273,617147,617147,0,0,27837551,0,4174 119,3,2024-09-07 09:32:51:338,1,487,278,0,1358,9597,487,0 120,0,2024-09-07 09:32:51:642,120549,0.6,120328,0.8,241538,0.6,322042,2.25 120,1,2024-09-07 09:32:50:902,854176,854176,0,0,401158499716,4207834053,844995,8404,777,368,392144,0 120,2,2024-09-07 09:32:50:777,614923,614922,1,0,31627781,0,5281 120,3,2024-09-07 09:32:51:308,1,487,22,0,279,6192,487,0 121,0,2024-09-07 09:32:51:721,121636,1.3,121080,1.1,242850,1.7,324012,2.25 121,1,2024-09-07 09:32:51:666,854713,854713,0,0,401709041566,4198411129,846991,7168,554,367,391840,0 121,2,2024-09-07 09:32:51:125,611709,611709,0,0,29199360,0,4157 121,3,2024-09-07 09:32:50:732,1,487,7,0,330,5971,487,0 122,0,2024-09-07 09:32:51:819,122804,0.8,119694,0.9,250798,1.0,329945,2.25 122,1,2024-09-07 09:32:50:862,853137,853137,0,0,400761502969,4205854704,841227,10037,1873,366,392130,0 122,2,2024-09-07 09:32:51:337,617766,617693,73,0,33064766,0,5989 122,3,2024-09-07 09:32:50:598,1,487,1,0,512,8545,487,0 123,0,2024-09-07 09:32:51:078,120546,0.9,117449,0.9,246119,1.0,321811,2.25 123,1,2024-09-07 09:32:50:640,853211,853211,0,0,400826037349,4217519485,839094,11671,2446,369,392039,0 123,2,2024-09-07 09:32:51:061,614888,614887,1,0,28251913,0,5215 123,3,2024-09-07 09:32:51:137,1,487,38,0,478,5784,487,0 124,0,2024-09-07 09:32:50:962,125050,0.3,125010,0.5,235713,0.3,323911,1.75 124,1,2024-09-07 09:32:51:052,856489,856489,0,0,401302141732,4172648949,850100,5274,1115,365,392178,0 124,2,2024-09-07 09:32:51:015,616599,616546,53,0,25562926,0,6487 124,3,2024-09-07 09:32:50:763,1,487,7,0,490,5124,487,0 125,0,2024-09-07 09:32:51:421,121398,0.4,121163,0.6,243318,0.4,323486,1.75 125,1,2024-09-07 09:32:50:871,854806,854806,0,0,401496473409,4192977417,847911,5914,981,382,391813,0 125,2,2024-09-07 09:32:51:121,615948,615948,0,0,25772156,0,4534 125,3,2024-09-07 09:32:51:127,1,487,83,0,709,6008,487,0 126,0,2024-09-07 09:32:51:444,123242,0.4,126740,0.6,242546,0.4,328508,1.75 126,1,2024-09-07 09:32:50:561,857638,857638,0,0,403121961639,4173331505,853234,4107,297,365,391987,0 126,2,2024-09-07 09:32:50:618,618016,618016,0,0,26261047,0,4539 126,3,2024-09-07 09:32:50:909,1,487,1,0,268,5665,487,0 127,0,2024-09-07 09:32:51:590,121692,0.3,122031,0.5,243358,0.3,323188,1.75 127,1,2024-09-07 09:32:50:595,856357,856357,0,0,401928937081,4183120518,847632,7263,1462,364,392187,0 127,2,2024-09-07 09:32:50:647,615634,615630,4,0,24888219,0,5305 127,3,2024-09-07 09:32:51:267,1,487,5,0,968,5007,487,0 128,0,2024-09-07 09:32:51:520,122780,0.3,122899,0.4,245342,0.2,326289,1.50 128,1,2024-09-07 09:32:51:615,855476,855476,0,0,403624118511,4193578907,849361,5385,730,367,392031,0 128,2,2024-09-07 09:32:51:382,617583,617583,0,0,23900479,0,3171 128,3,2024-09-07 09:32:50:767,1,487,1,0,1082,7890,487,0 129,0,2024-09-07 09:32:50:994,122978,0.3,122501,0.5,245425,0.3,326515,1.50 129,1,2024-09-07 09:32:50:568,853140,853140,0,0,400081084775,4194488890,844632,6772,1736,379,391962,0 129,2,2024-09-07 09:32:50:692,616996,616992,4,0,25974415,0,5335 129,3,2024-09-07 09:32:50:690,1,487,7,0,506,7085,487,0 130,0,2024-09-07 09:32:51:741,123246,0.5,122819,0.6,246802,0.5,328102,1.75 130,1,2024-09-07 09:32:50:611,856558,856558,0,0,401388025390,4172666285,851885,4287,386,381,391825,0 130,2,2024-09-07 09:32:51:136,615755,615755,0,0,25308185,0,4067 130,3,2024-09-07 09:32:51:291,1,487,2,0,960,7175,487,0 131,0,2024-09-07 09:32:51:948,122702,0.4,123093,0.5,246779,0.3,328222,1.75 131,1,2024-09-07 09:32:51:825,855736,855736,0,0,401754267014,4194986260,848915,5630,1191,381,391865,0 131,2,2024-09-07 09:32:50:581,617713,617713,0,0,23761281,0,3979 131,3,2024-09-07 09:32:51:691,1,487,1,0,392,5840,487,0 132,0,2024-09-07 09:32:51:413,122394,0.4,123666,0.6,245784,0.4,327184,2.00 132,1,2024-09-07 09:32:50:581,852236,852236,0,0,400673083221,4219196620,839830,10215,2191,381,392532,0 132,2,2024-09-07 09:32:50:710,616282,616265,17,0,31831490,0,6451 132,3,2024-09-07 09:32:51:688,1,487,26,0,804,8552,487,0 133,0,2024-09-07 09:32:51:516,118404,0.4,121241,0.6,248569,0.4,323192,1.75 133,1,2024-09-07 09:32:50:611,852223,852223,0,0,400143941258,4219423896,840360,10238,1625,383,391914,0 133,2,2024-09-07 09:32:51:089,616227,616177,50,0,32079783,0,6861 133,3,2024-09-07 09:32:51:319,1,487,3,0,479,5573,487,0 134,0,2024-09-07 09:32:50:957,122444,0.5,122297,0.7,244887,0.5,326404,2.00 134,1,2024-09-07 09:32:50:611,853094,853094,0,0,400902091820,4205724991,841918,9031,2145,366,391718,0 134,2,2024-09-07 09:32:51:756,611773,611749,24,0,29791796,0,6207 134,3,2024-09-07 09:32:50:750,1,487,1,0,739,6158,487,0 135,0,2024-09-07 09:32:51:128,119036,0.8,119016,0.9,252582,1.0,325824,2.25 135,1,2024-09-07 09:32:51:586,853153,853153,0,0,401341999292,4223445229,841780,9809,1564,380,391805,0 135,2,2024-09-07 09:32:50:693,616600,616600,0,0,30046696,0,4503 135,3,2024-09-07 09:32:51:002,1,487,1,0,900,5089,487,0 136,0,2024-09-07 09:32:51:627,121713,0.5,121978,0.7,242952,0.5,323638,2.00 136,1,2024-09-07 09:32:51:441,854918,854918,0,0,400579890928,4200524355,845094,8601,1223,381,392135,0 136,2,2024-09-07 09:32:51:132,618433,618433,0,0,27843099,0,3506 136,3,2024-09-07 09:32:51:119,1,487,3,0,637,5600,487,0 137,0,2024-09-07 09:32:50:920,125245,0.6,121998,0.7,239126,0.5,324249,2.00 137,1,2024-09-07 09:32:50:577,853092,853092,0,0,400523481719,4201689246,839900,10522,2670,366,391898,0 137,2,2024-09-07 09:32:51:707,614815,614815,0,0,30805766,0,3185 137,3,2024-09-07 09:32:50:774,1,487,7,0,484,6258,487,0 138,0,2024-09-07 09:32:51:757,120380,0.9,120430,0.9,241794,1.1,320923,2.25 138,1,2024-09-07 09:32:51:692,853954,853954,0,0,401700701159,4214921117,841664,10323,1967,368,391954,0 138,2,2024-09-07 09:32:50:589,612369,612369,0,0,28423731,0,4988 138,3,2024-09-07 09:32:50:612,1,487,3,0,1200,7833,487,0 139,0,2024-09-07 09:32:51:394,121920,1.3,122277,1.0,244711,1.9,327248,2.50 139,1,2024-09-07 09:32:50:587,849268,849268,0,0,398636316387,4231825711,833664,12156,3448,380,392058,0 139,2,2024-09-07 09:32:50:693,613024,613024,0,0,31823195,0,3097 139,3,2024-09-07 09:32:51:665,1,487,8,0,432,5740,487,0 140,0,2024-09-07 09:32:51:624,121693,0.3,120811,0.5,242573,0.2,323077,1.75 140,1,2024-09-07 09:32:51:540,858812,858812,0,0,403646482027,4160795973,854764,3587,461,364,391606,0 140,2,2024-09-07 09:32:50:692,618046,618045,1,0,24175307,0,5036 140,3,2024-09-07 09:32:50:767,1,487,2,0,297,4642,487,0 141,0,2024-09-07 09:32:51:698,122227,0.3,125392,0.5,239375,0.2,324429,1.50 141,1,2024-09-07 09:32:50:862,857302,857302,0,0,402962063211,4187362188,850438,5779,1085,379,391614,0 141,2,2024-09-07 09:32:51:686,615844,615833,11,0,25689100,0,5369 141,3,2024-09-07 09:32:51:043,1,487,13,0,391,5428,487,0 142,0,2024-09-07 09:32:51:335,122945,0.3,122123,0.5,244858,0.3,326219,1.50 142,1,2024-09-07 09:32:50:586,855138,855138,0,0,401506041486,4185681389,849599,5082,457,382,392102,0 142,2,2024-09-07 09:32:51:306,615063,615031,32,0,26153768,0,6028 142,3,2024-09-07 09:32:51:746,1,487,4,0,484,5208,487,0 143,0,2024-09-07 09:32:51:374,122678,0.4,122713,0.6,245906,0.4,327464,1.75 143,1,2024-09-07 09:32:50:566,857277,857277,0,0,402733508362,4180042733,851506,5219,552,367,391705,0 143,2,2024-09-07 09:32:50:768,617200,617200,0,0,25286283,0,3123 143,3,2024-09-07 09:32:51:143,1,487,3,0,462,6216,487,0 144,0,2024-09-07 09:32:51:539,118776,0.7,122112,0.8,248676,0.7,325538,2.25 144,1,2024-09-07 09:32:50:574,853098,853098,0,0,400026438134,4200019697,844278,6917,1903,381,391733,0 144,2,2024-09-07 09:32:51:755,617439,617439,0,0,24361764,0,3673 144,3,2024-09-07 09:32:51:743,1,487,1,0,249,4880,487,0 145,0,2024-09-07 09:32:51:386,118571,0.6,118536,0.8,251431,0.5,324000,2.25 145,1,2024-09-07 09:32:50:562,851827,851827,0,0,400166321340,4216782208,839691,9677,2459,382,391759,0 145,2,2024-09-07 09:32:51:447,613622,613540,82,0,30551084,0,7814 145,3,2024-09-07 09:32:50:904,1,487,8,0,622,6821,487,0 146,0,2024-09-07 09:32:51:594,121429,0.6,120944,0.7,242933,0.5,322535,2.25 146,1,2024-09-07 09:32:51:586,853688,853688,0,0,400894010170,4217377508,839728,10255,3705,367,391770,0 146,2,2024-09-07 09:32:51:706,614605,614605,0,0,28252931,0,3290 146,3,2024-09-07 09:32:51:274,1,487,22,0,1520,8460,487,0 147,0,2024-09-07 09:32:51:716,122719,0.7,122472,0.8,244297,0.6,326943,2.25 147,1,2024-09-07 09:32:51:374,856786,856786,0,0,402210530177,4180788063,849797,6139,850,367,391791,0 147,2,2024-09-07 09:32:51:011,613326,613326,0,0,25345553,0,2968 147,3,2024-09-07 09:32:50:916,1,487,53,0,1626,8234,487,0 0,0,2024-09-07 09:33:01:833,117893,0.6,117924,0.7,250136,0.6,323195,2.00 0,1,2024-09-07 09:33:00:820,855513,855513,0,0,402495074657,4218111864,848032,6643,838,369,391896,0 0,2,2024-09-07 09:33:01:078,618776,618776,0,0,25873143,0,4480 0,3,2024-09-07 09:33:00:985,1,488,2,0,431,7014,488,0 1,0,2024-09-07 09:33:01:795,121723,1.0,121258,1.0,242987,1.3,325114,2.00 1,1,2024-09-07 09:33:00:583,855073,855073,0,0,400858429878,4203038777,846677,6931,1465,370,391859,0 1,2,2024-09-07 09:33:00:653,614012,614012,0,0,24922503,0,3380 1,3,2024-09-07 09:33:01:310,1,488,1,0,269,6310,488,0 2,0,2024-09-07 09:33:01:583,123572,0.6,123556,0.7,247014,0.6,329567,2.00 2,1,2024-09-07 09:33:00:860,857949,857949,0,0,403161553038,4190929931,853422,3725,802,380,391745,0 2,2,2024-09-07 09:33:01:273,619720,619720,0,0,23492141,0,3594 2,3,2024-09-07 09:33:00:693,1,488,1,0,357,4362,488,0 3,0,2024-09-07 09:33:01:770,121183,0.4,121037,0.6,241749,0.4,322091,2.00 3,1,2024-09-07 09:33:01:618,856477,856477,0,0,402042889116,4187613343,849376,6406,695,379,391716,0 3,2,2024-09-07 09:33:01:150,618796,618773,23,0,24913542,0,5851 3,3,2024-09-07 09:33:01:762,1,488,1,0,207,3108,488,0 4,0,2024-09-07 09:33:01:832,117932,0.4,121170,0.5,247176,0.3,322964,1.75 4,1,2024-09-07 09:33:00:602,853041,853041,0,0,400659308062,4247885902,837284,12091,3666,370,391992,0 4,2,2024-09-07 09:33:01:025,614144,614144,0,0,30558054,0,4534 4,3,2024-09-07 09:33:01:028,1,488,3,0,448,6436,488,0 5,0,2024-09-07 09:33:01:559,121224,0.4,121842,0.6,243119,0.4,322837,1.75 5,1,2024-09-07 09:33:00:760,854555,854555,0,0,401424259420,4229069796,842034,10109,2412,367,392005,0 5,2,2024-09-07 09:33:01:842,612191,612191,0,0,29486832,0,3582 5,3,2024-09-07 09:33:01:733,1,488,8,0,457,6868,488,0 6,0,2024-09-07 09:33:00:923,123443,0.5,123095,0.7,246320,0.5,328776,2.00 6,1,2024-09-07 09:33:00:762,856627,856627,0,0,401118425459,4192878738,847452,7732,1443,379,391702,0 6,2,2024-09-07 09:33:01:118,618003,617985,18,0,28543821,0,5535 6,3,2024-09-07 09:33:01:288,1,488,0,0,710,6143,488,0 7,0,2024-09-07 09:33:01:539,120711,0.6,121628,0.7,242073,0.5,322030,2.00 7,1,2024-09-07 09:33:00:854,854386,854386,0,0,401782850305,4224960285,841534,10621,2231,382,391747,0 7,2,2024-09-07 09:33:00:810,617900,617900,0,0,27857043,0,4791 7,3,2024-09-07 09:33:00:856,1,488,1,0,552,5701,488,0 8,0,2024-09-07 09:33:01:352,122660,0.3,122405,0.5,245421,0.3,326626,1.75 8,1,2024-09-07 09:33:01:063,853896,853896,0,0,400515003747,4213353325,840183,10664,3049,366,392853,0 8,2,2024-09-07 09:33:00:834,612530,612530,0,0,32313507,0,3250 8,3,2024-09-07 09:33:00:587,1,488,2,0,538,7621,488,0 9,0,2024-09-07 09:33:01:251,122358,0.4,119074,0.5,249181,0.3,326778,1.75 9,1,2024-09-07 09:33:00:569,853274,853274,0,0,400993492807,4224670422,840518,10216,2540,369,392001,0 9,2,2024-09-07 09:33:01:127,615791,615791,0,0,29461299,0,4615 9,3,2024-09-07 09:33:01:752,1,488,26,0,1273,8366,488,0 10,0,2024-09-07 09:33:01:630,122744,0.4,122395,0.5,245664,0.3,326688,1.75 10,1,2024-09-07 09:33:00:585,856295,856295,0,0,400956534775,4202777905,844591,9764,1940,381,391741,0 10,2,2024-09-07 09:33:00:762,616159,616159,0,0,31973780,0,4264 10,3,2024-09-07 09:33:00:874,1,488,1,0,649,5131,488,0 11,0,2024-09-07 09:33:01:022,123019,0.5,119419,0.7,249380,0.4,329263,1.75 11,1,2024-09-07 09:33:00:576,856128,856128,0,0,402223647508,4219616280,843315,9693,3120,383,391756,0 11,2,2024-09-07 09:33:01:129,616597,616597,0,0,28482778,0,4130 11,3,2024-09-07 09:33:01:299,1,488,3,0,843,6616,488,0 12,0,2024-09-07 09:33:00:976,123536,0.4,123493,0.5,246995,0.3,328312,1.75 12,1,2024-09-07 09:33:00:941,856154,856154,0,0,401686987276,4190032429,849358,6136,660,370,391960,0 12,2,2024-09-07 09:33:01:553,617561,617561,0,0,27859321,0,4390 12,3,2024-09-07 09:33:01:079,1,488,8,0,386,6780,488,0 13,0,2024-09-07 09:33:01:352,122596,0.4,122194,0.6,243747,0.4,324946,1.75 13,1,2024-09-07 09:33:01:536,854522,854522,0,0,401649991162,4223282274,845394,6977,2151,382,391740,0 13,2,2024-09-07 09:33:00:623,619116,619116,0,0,25289173,0,3287 13,3,2024-09-07 09:33:01:768,1,488,1,0,522,7003,488,0 14,0,2024-09-07 09:33:00:566,122313,0.4,123415,0.6,244498,0.4,325892,1.75 14,1,2024-09-07 09:33:01:576,860131,860131,0,0,403861535937,4180691936,853588,5829,714,364,391673,0 14,2,2024-09-07 09:33:00:764,614583,614553,30,0,26368882,0,6104 14,3,2024-09-07 09:33:01:124,1,488,2,0,1168,5275,488,0 15,0,2024-09-07 09:33:01:570,123080,0.4,122733,0.6,246416,0.4,328023,2.00 15,1,2024-09-07 09:33:01:612,857272,857272,0,0,402928326896,4196131424,850248,5568,1456,381,391619,0 15,2,2024-09-07 09:33:00:998,619901,619901,0,0,22359091,0,3622 15,3,2024-09-07 09:33:01:406,1,488,1,0,1126,7245,488,0 16,0,2024-09-07 09:33:00:940,121362,0.5,122115,0.7,243138,0.5,323449,2.00 16,1,2024-09-07 09:33:00:575,856923,856923,0,0,402465327686,4210727669,848756,6871,1296,370,391917,0 16,2,2024-09-07 09:33:01:436,618083,618083,0,0,26893628,0,4719 16,3,2024-09-07 09:33:01:153,1,488,1,0,358,6318,488,0 17,0,2024-09-07 09:33:01:856,125145,0.6,122128,0.7,239143,0.6,324199,2.00 17,1,2024-09-07 09:33:00:596,855181,855181,0,0,401591071053,4215904783,846287,7149,1745,368,392075,0 17,2,2024-09-07 09:33:01:680,619674,619673,1,0,27376239,0,5050 17,3,2024-09-07 09:33:00:590,1,488,10,0,518,7517,488,0 18,0,2024-09-07 09:33:00:948,119863,0.7,120484,0.8,240230,0.7,320577,2.25 18,1,2024-09-07 09:33:01:645,858953,858953,0,0,403204472106,4183309499,853453,4643,857,367,391725,0 18,2,2024-09-07 09:33:01:756,616262,616262,0,0,24169415,0,3541 18,3,2024-09-07 09:33:00:899,1,488,0,0,1059,4832,488,0 19,0,2024-09-07 09:33:01:557,122998,0.6,123375,0.8,245275,0.6,327361,2.25 19,1,2024-09-07 09:33:00:580,858690,858690,0,0,403271542463,4185329131,851751,5855,1084,367,391777,0 19,2,2024-09-07 09:33:01:762,621220,621220,0,0,22692365,0,3988 19,3,2024-09-07 09:33:01:135,1,488,1,0,524,4072,488,0 20,0,2024-09-07 09:33:01:424,121073,0.5,121191,0.7,241992,0.5,322586,2.00 20,1,2024-09-07 09:33:00:576,855856,855856,0,0,401622286027,4210545053,846758,7736,1362,369,391922,0 20,2,2024-09-07 09:33:00:937,617547,617547,0,0,27215855,0,3721 20,3,2024-09-07 09:33:00:604,1,488,11,0,468,8618,488,0 21,0,2024-09-07 09:33:01:189,121942,0.4,122069,0.6,244064,0.4,323543,1.75 21,1,2024-09-07 09:33:01:554,853593,853593,0,0,400794753816,4234026667,839563,10916,3114,368,392016,0 21,2,2024-09-07 09:33:01:087,613538,613518,20,0,32576347,0,5617 21,3,2024-09-07 09:33:01:406,1,488,1,0,713,6416,488,0 22,0,2024-09-07 09:33:01:719,122294,0.5,122728,0.7,245079,0.4,324854,2.00 22,1,2024-09-07 09:33:01:029,854571,854571,0,0,401239400223,4228461342,839613,11735,3223,382,391822,0 22,2,2024-09-07 09:33:00:762,614692,614666,26,0,27418140,0,6328 22,3,2024-09-07 09:33:01:073,1,488,2,0,228,4187,488,0 23,0,2024-09-07 09:33:01:371,122750,0.5,122236,0.7,244618,0.5,326751,2.25 23,1,2024-09-07 09:33:01:019,855740,855740,0,0,402480599156,4229941997,840124,11208,4408,365,391690,0 23,2,2024-09-07 09:33:01:099,618825,618825,0,0,25845067,0,3773 23,3,2024-09-07 09:33:01:762,1,488,11,0,720,6660,488,0 24,0,2024-09-07 09:33:00:894,123703,0.4,122875,0.6,247007,0.4,327669,1.75 24,1,2024-09-07 09:33:00:603,855092,855092,0,0,401292301682,4204273289,846408,7021,1663,367,392269,0 24,2,2024-09-07 09:33:01:085,617549,617549,0,0,30002048,0,3607 24,3,2024-09-07 09:33:01:695,1,488,11,0,468,6388,488,0 25,0,2024-09-07 09:33:01:349,126166,0.4,122925,0.6,241140,0.4,328509,1.75 25,1,2024-09-07 09:33:00:563,853985,853985,0,0,401593639071,4236871621,839750,11486,2749,369,391928,0 25,2,2024-09-07 09:33:01:614,615447,615447,0,0,31746523,0,3978 25,3,2024-09-07 09:33:01:031,1,488,8,0,532,5492,488,0 26,0,2024-09-07 09:33:01:732,121340,0.4,118702,0.6,249037,0.4,323923,1.75 26,1,2024-09-07 09:33:01:553,857225,857225,0,0,401963507433,4212008475,844817,9995,2413,380,391748,0 26,2,2024-09-07 09:33:00:862,617036,617036,0,0,33221295,0,4689 26,3,2024-09-07 09:33:01:722,1,488,1,0,796,5614,488,0 27,0,2024-09-07 09:33:01:729,123144,0.5,123483,0.6,245456,0.4,328081,2.25 27,1,2024-09-07 09:33:01:684,858148,858148,0,0,403409340594,4203474155,849834,7052,1262,381,391626,0 27,2,2024-09-07 09:33:00:872,613011,612946,65,0,28663496,0,5699 27,3,2024-09-07 09:33:01:018,1,488,1,0,564,4413,488,0 28,0,2024-09-07 09:33:01:427,123232,0.4,122733,0.6,246441,0.3,328207,1.75 28,1,2024-09-07 09:33:00:814,857867,857867,0,0,403412986306,4207869690,850371,5796,1700,382,391698,0 28,2,2024-09-07 09:33:01:772,618051,618051,0,0,25180418,0,2915 28,3,2024-09-07 09:33:01:783,1,488,9,0,502,5036,488,0 29,0,2024-09-07 09:33:01:393,125616,0.4,122382,0.6,239906,0.3,327522,1.75 29,1,2024-09-07 09:33:01:566,860576,860576,0,0,403859434954,4179243635,855239,4578,759,368,391809,0 29,2,2024-09-07 09:33:00:860,618119,618119,0,0,24663923,0,4986 29,3,2024-09-07 09:33:00:969,1,488,1,0,459,5548,488,0 30,0,2024-09-07 09:33:01:481,121180,0.5,117679,0.7,246282,0.4,322140,2.00 30,1,2024-09-07 09:33:00:576,859363,859363,0,0,404111422143,4195814015,852715,5799,849,380,391672,0 30,2,2024-09-07 09:33:01:278,618362,618362,0,0,24115299,0,4192 30,3,2024-09-07 09:33:00:581,1,488,0,0,519,4921,488,0 31,0,2024-09-07 09:33:01:767,121482,0.5,121873,0.7,243368,0.4,325217,2.00 31,1,2024-09-07 09:33:00:563,862439,862439,0,0,405185888735,4157192757,858085,3553,801,356,391712,0 31,2,2024-09-07 09:33:01:278,613052,613052,0,0,26302397,0,4470 31,3,2024-09-07 09:33:01:716,1,488,1,0,220,4101,488,0 32,0,2024-09-07 09:33:01:453,124035,0.4,124745,0.5,248219,0.3,331366,1.75 32,1,2024-09-07 09:33:00:810,858151,858151,0,0,403177506330,4193918326,852612,4832,707,381,391646,0 32,2,2024-09-07 09:33:00:940,619752,619752,0,0,23143603,0,3922 32,3,2024-09-07 09:33:01:018,1,488,0,0,304,3970,488,0 33,0,2024-09-07 09:33:01:518,121755,0.3,121325,0.4,242823,0.2,323225,1.50 33,1,2024-09-07 09:33:00:582,859296,859296,0,0,404054326312,4189787429,852079,6045,1172,368,391730,0 33,2,2024-09-07 09:33:00:762,619356,619321,35,0,25888142,0,7012 33,3,2024-09-07 09:33:00:902,1,488,2,0,329,4704,488,0 34,0,2024-09-07 09:33:00:936,121877,0.3,125234,0.4,239375,0.2,322262,1.75 34,1,2024-09-07 09:33:01:054,861168,861168,0,0,404891931586,4165364540,858791,2355,22,367,391562,0 34,2,2024-09-07 09:33:00:773,618525,618525,0,0,24357889,0,4562 34,3,2024-09-07 09:33:01:690,1,488,1,0,320,3961,488,0 35,0,2024-09-07 09:33:00:871,120681,0.3,121321,0.5,243817,0.3,323634,1.75 35,1,2024-09-07 09:33:01:067,858567,858567,0,0,404045227827,4192432087,852676,4832,1059,382,391769,0 35,2,2024-09-07 09:33:01:592,614795,614795,0,0,26735824,0,4055 35,3,2024-09-07 09:33:00:916,1,488,7,0,418,4762,488,0 36,0,2024-09-07 09:33:01:526,123780,0.5,123712,0.7,247190,0.5,329280,2.25 36,1,2024-09-07 09:33:00:588,857381,857381,0,0,401793168673,4200937589,845632,9574,2175,366,391759,0 36,2,2024-09-07 09:33:01:758,618960,618960,0,0,27873650,0,3875 36,3,2024-09-07 09:33:00:871,1,488,6,0,416,7045,488,0 37,0,2024-09-07 09:33:01:394,120941,0.5,120889,0.7,242147,0.5,322512,2.25 37,1,2024-09-07 09:33:00:576,855795,855788,0,7,402240812457,4204995409,844835,8280,2673,365,391770,0 37,2,2024-09-07 09:33:01:146,616016,616001,15,0,28121689,0,5815 37,3,2024-09-07 09:33:01:767,1,488,3,0,888,7099,488,0 38,0,2024-09-07 09:33:01:437,121803,0.4,118207,0.6,247344,0.4,323934,2.00 38,1,2024-09-07 09:33:01:608,857357,857357,0,0,403274301104,4220854902,844248,10593,2516,368,391821,0 38,2,2024-09-07 09:33:00:763,615729,615682,47,0,28493790,0,6710 38,3,2024-09-07 09:33:01:028,1,488,2,0,689,6519,488,0 39,0,2024-09-07 09:33:01:763,125336,0.6,122574,0.7,238938,0.5,326262,2.00 39,1,2024-09-07 09:33:00:721,855581,855581,0,0,401338219961,4217914468,839819,12293,3469,365,391658,0 39,2,2024-09-07 09:33:01:420,617073,617073,0,0,26764641,0,3391 39,3,2024-09-07 09:33:00:713,1,488,5,0,525,5900,488,0 40,0,2024-09-07 09:33:01:524,121527,0.8,122252,1.0,243869,1.0,325217,2.75 40,1,2024-09-07 09:33:00:584,857544,857544,0,0,401553644750,4203725447,845609,9757,2178,368,391668,0 40,2,2024-09-07 09:33:01:309,615169,615168,1,0,31086874,0,5137 40,3,2024-09-07 09:33:01:153,1,488,3,0,1028,6366,488,0 41,0,2024-09-07 09:33:01:038,122170,1.3,124964,1.2,238527,1.9,324907,3.25 41,1,2024-09-07 09:33:00:773,855960,855960,0,0,402217048299,4209946481,844871,9380,1709,369,391742,0 41,2,2024-09-07 09:33:00:765,615530,615530,0,0,29338405,0,4277 41,3,2024-09-07 09:33:01:676,1,488,6,0,366,5081,488,0 42,0,2024-09-07 09:33:01:480,122067,0.8,121757,1.0,243804,0.9,323153,2.75 42,1,2024-09-07 09:33:01:453,853481,853481,0,0,400724805749,4217679938,838785,11834,2862,380,391675,0 42,2,2024-09-07 09:33:01:139,616787,616787,0,0,29250039,0,3975 42,3,2024-09-07 09:33:01:029,1,488,1,0,892,4546,488,0 43,0,2024-09-07 09:33:00:922,120552,0.7,117427,0.9,245592,0.7,321973,2.25 43,1,2024-09-07 09:33:00:591,856537,856537,0,0,402091164327,4205698130,843921,10359,2257,366,391696,0 43,2,2024-09-07 09:33:01:747,618104,618104,0,0,28776454,0,3812 43,3,2024-09-07 09:33:01:761,1,488,9,0,571,6940,488,0 44,0,2024-09-07 09:33:00:869,122451,0.4,122554,0.6,245613,0.4,326491,2.00 44,1,2024-09-07 09:33:00:576,859268,859268,0,0,403220779610,4168145960,851774,5945,1549,356,391809,0 44,2,2024-09-07 09:33:01:273,612856,612856,0,0,23692583,0,4344 44,3,2024-09-07 09:33:01:123,1,488,1,0,817,5448,488,0 45,0,2024-09-07 09:33:01:776,121749,0.5,119021,0.7,249727,0.4,328023,2.00 45,1,2024-09-07 09:33:01:043,858311,858311,0,0,404251132325,4203094569,851129,6316,866,382,391917,0 45,2,2024-09-07 09:33:01:274,619428,619428,0,0,23947119,0,3596 45,3,2024-09-07 09:33:00:939,1,488,1,0,271,4371,488,0 46,0,2024-09-07 09:33:00:957,120962,0.5,120394,0.7,241610,0.5,320674,2.00 46,1,2024-09-07 09:33:00:593,860299,860299,0,0,403848841508,4172347420,854703,4866,730,366,391709,0 46,2,2024-09-07 09:33:00:596,618859,618859,0,0,24237180,0,4443 46,3,2024-09-07 09:33:01:135,1,488,0,0,908,5737,488,0 47,0,2024-09-07 09:33:01:105,121567,0.4,121916,0.6,244228,0.4,323127,1.75 47,1,2024-09-07 09:33:00:575,860425,860425,0,0,402904059281,4163279903,855324,4262,839,366,391641,0 47,2,2024-09-07 09:33:00:927,620523,620523,0,0,24364396,0,4477 47,3,2024-09-07 09:33:01:124,1,488,1,0,600,5636,488,0 48,0,2024-09-07 09:33:01:538,121567,0.3,121617,0.4,242077,0.2,322622,1.50 48,1,2024-09-07 09:33:01:038,858492,858492,0,0,402795684491,4187340874,852851,5069,572,384,391710,0 48,2,2024-09-07 09:33:00:699,614712,614712,0,0,22736643,0,3411 48,3,2024-09-07 09:33:00:753,1,488,13,0,339,3871,488,0 49,0,2024-09-07 09:33:01:718,126653,0.4,124399,0.5,241703,0.3,331130,1.75 49,1,2024-09-07 09:33:01:034,857843,857843,0,0,402666872984,4189423982,852286,4347,1210,382,391809,0 49,2,2024-09-07 09:33:01:801,619799,619799,0,0,24925079,0,4426 49,3,2024-09-07 09:33:01:416,1,488,8,0,992,5640,488,0 50,0,2024-09-07 09:33:01:519,121817,0.3,120107,0.5,242035,0.2,322489,1.75 50,1,2024-09-07 09:33:01:028,860591,860591,0,0,404778763137,4186823585,854906,5022,663,368,391565,0 50,2,2024-09-07 09:33:01:078,618332,618332,0,0,23013901,0,4490 50,3,2024-09-07 09:33:01:297,1,488,4,0,617,5389,488,0 51,0,2024-09-07 09:33:01:692,125032,0.3,122529,0.5,238571,0.2,325091,1.75 51,1,2024-09-07 09:33:01:684,861463,861463,0,0,404796184739,4176035882,856932,3477,1054,365,391706,0 51,2,2024-09-07 09:33:01:330,617496,617496,0,0,22040506,0,3337 51,3,2024-09-07 09:33:01:036,1,488,1,0,678,3727,488,0 52,0,2024-09-07 09:33:01:427,122852,0.5,122522,0.7,245257,0.5,325796,2.00 52,1,2024-09-07 09:33:00:582,856419,856419,0,0,402759502953,4221157655,843310,11005,2104,368,391722,0 52,2,2024-09-07 09:33:01:758,612901,612863,38,0,29898921,0,6742 52,3,2024-09-07 09:33:00:676,1,488,21,0,1782,6316,488,0 53,0,2024-09-07 09:33:01:746,122300,0.7,118681,0.8,248352,0.8,326436,2.50 53,1,2024-09-07 09:33:00:773,854560,854560,0,0,401933098366,4224484000,839280,11436,3844,367,391968,0 53,2,2024-09-07 09:33:01:304,618019,618018,1,0,26461705,0,5455 53,3,2024-09-07 09:33:00:698,1,488,123,0,308,5009,488,0 54,0,2024-09-07 09:33:01:614,120733,0.6,121013,0.8,241061,0.5,321542,2.25 54,1,2024-09-07 09:33:00:591,856901,856901,0,0,403758739450,4204193058,847040,8227,1634,366,391810,0 54,2,2024-09-07 09:33:00:876,617945,617913,32,0,30151215,0,6397 54,3,2024-09-07 09:33:00:767,1,488,59,0,676,6818,488,0 55,0,2024-09-07 09:33:01:775,118672,0.6,122363,0.7,247866,0.5,323053,2.50 55,1,2024-09-07 09:33:00:765,858351,858351,0,0,402924712478,4193593918,848765,8268,1318,365,391731,0 55,2,2024-09-07 09:33:00:731,616731,616675,56,0,28851628,0,7239 55,3,2024-09-07 09:33:00:676,1,488,2,0,304,4719,488,0 56,0,2024-09-07 09:33:01:564,124290,1.2,117143,1.1,241518,1.6,323183,2.75 56,1,2024-09-07 09:33:00:578,853549,853549,0,0,401215582089,4238726828,839973,10687,2889,381,391867,0 56,2,2024-09-07 09:33:01:304,616831,616709,122,0,29998406,0,7432 56,3,2024-09-07 09:33:01:071,1,488,12,0,705,5940,488,0 57,0,2024-09-07 09:33:00:948,121114,1.6,121133,1.3,242404,2.2,324192,3.25 57,1,2024-09-07 09:33:00:988,855274,855274,0,0,401121917677,4210322243,843875,9203,2196,366,392032,0 57,2,2024-09-07 09:33:01:321,614975,614975,0,0,30040352,0,4317 57,3,2024-09-07 09:33:01:743,1,488,2,0,455,5606,488,0 58,0,2024-09-07 09:33:00:571,121268,0.9,117733,0.9,246346,1.0,322931,2.50 58,1,2024-09-07 09:33:00:588,856295,856292,0,3,402929573875,4223583174,843206,9888,3198,367,391603,3 58,2,2024-09-07 09:33:01:082,617199,617199,0,0,28636663,0,3483 58,3,2024-09-07 09:33:01:077,1,488,1,0,1043,5263,488,0 59,0,2024-09-07 09:33:01:764,121710,0.8,121390,1.0,242367,0.9,321633,2.75 59,1,2024-09-07 09:33:00:813,855859,855859,0,0,402230509905,4221694602,842790,10628,2441,369,391653,0 59,2,2024-09-07 09:33:00:590,617874,617874,0,0,27832697,0,3727 59,3,2024-09-07 09:33:01:745,1,488,1,0,1015,6319,488,0 60,0,2024-09-07 09:33:01:717,121310,0.5,121439,0.7,243222,0.5,323100,1.75 60,1,2024-09-07 09:33:00:810,859456,859456,0,0,403500655395,4188428838,853519,5008,929,370,392031,0 60,2,2024-09-07 09:33:01:142,617863,617863,0,0,26366606,0,3811 60,3,2024-09-07 09:33:01:272,1,488,13,0,409,6005,488,0 61,0,2024-09-07 09:33:01:493,121593,0.6,122240,0.8,243434,0.6,324981,2.00 61,1,2024-09-07 09:33:00:772,856655,856655,0,0,402558494490,4217801888,846968,8110,1577,382,392127,0 61,2,2024-09-07 09:33:01:139,613909,613842,67,0,26734062,0,6411 61,3,2024-09-07 09:33:01:690,1,488,8,0,479,6584,488,0 62,0,2024-09-07 09:33:01:725,124354,0.5,127414,0.7,243345,0.5,331159,2.00 62,1,2024-09-07 09:33:01:158,861621,861615,0,6,404943040746,4173805266,857666,3685,264,365,391975,6 62,2,2024-09-07 09:33:01:654,617082,617081,1,0,26220873,0,5555 62,3,2024-09-07 09:33:01:164,1,488,1,0,482,4040,488,0 63,0,2024-09-07 09:33:01:457,121708,0.4,121462,0.6,243688,0.4,323565,1.75 63,1,2024-09-07 09:33:00:811,859051,859045,0,6,403810186248,4191467868,853693,4618,734,381,391800,6 63,2,2024-09-07 09:33:00:762,618673,618673,0,0,24979396,0,4369 63,3,2024-09-07 09:33:01:740,1,488,6,0,667,5204,488,0 64,0,2024-09-07 09:33:01:508,121139,0.5,121276,0.7,241964,0.4,321518,2.00 64,1,2024-09-07 09:33:00:812,858333,858333,0,0,403301198395,4202569297,850729,5838,1766,370,391783,0 64,2,2024-09-07 09:33:01:142,620858,620839,19,0,24729327,0,6121 64,3,2024-09-07 09:33:01:146,1,488,3,0,651,5336,488,0 65,0,2024-09-07 09:33:01:705,120530,0.7,120788,0.8,241124,0.7,320955,2.00 65,1,2024-09-07 09:33:00:859,856164,856164,0,0,402125090222,4206517745,849489,5882,793,381,391901,0 65,2,2024-09-07 09:33:01:701,615058,615058,0,0,28826677,0,3367 65,3,2024-09-07 09:33:01:683,1,488,9,0,782,5932,488,0 66,0,2024-09-07 09:33:01:789,122984,0.6,122703,0.8,245416,0.5,326833,2.25 66,1,2024-09-07 09:33:01:298,858307,858307,0,0,403083400060,4198705539,852178,5407,722,380,391743,0 66,2,2024-09-07 09:33:01:136,620489,620486,3,0,26228406,0,5455 66,3,2024-09-07 09:33:01:089,1,488,1,0,291,4302,488,0 67,0,2024-09-07 09:33:01:441,121297,0.6,121007,0.7,242873,0.5,322662,2.25 67,1,2024-09-07 09:33:00:766,857944,857943,0,1,403135210693,4199335837,851874,5260,809,380,391787,1 67,2,2024-09-07 09:33:00:588,619979,619964,15,0,25403104,0,6205 67,3,2024-09-07 09:33:01:752,1,488,1,0,392,4756,488,0 68,0,2024-09-07 09:33:00:586,122647,0.5,122608,0.7,244207,0.5,326365,2.00 68,1,2024-09-07 09:33:00:581,854338,854338,0,0,401063500177,4222575329,842185,8754,3399,381,391953,0 68,2,2024-09-07 09:33:01:053,613105,613005,100,0,32974239,0,8578 68,3,2024-09-07 09:33:00:730,1,488,5,0,417,6348,488,0 69,0,2024-09-07 09:33:01:765,121726,0.7,122495,0.8,244221,0.7,324416,2.25 69,1,2024-09-07 09:33:01:028,854102,854102,0,0,401079068482,4232594524,842035,9308,2759,384,391994,0 69,2,2024-09-07 09:33:01:747,615478,615449,29,0,34080470,0,6912 69,3,2024-09-07 09:33:00:763,1,488,20,0,698,7453,488,0 70,0,2024-09-07 09:33:01:558,121383,1.1,121714,1.1,244904,1.1,324058,2.75 70,1,2024-09-07 09:33:00:812,858693,858693,0,0,404187384710,4197560061,851520,6412,761,366,391725,0 70,2,2024-09-07 09:33:01:330,616226,616226,0,0,28579074,0,4323 70,3,2024-09-07 09:33:00:748,1,488,2,0,854,5644,488,0 71,0,2024-09-07 09:33:01:363,121674,1.2,121401,1.1,244089,1.6,326461,3.00 71,1,2024-09-07 09:33:01:606,856540,856540,0,0,403410276729,4216208967,845241,10044,1255,368,391738,0 71,2,2024-09-07 09:33:01:069,616511,616511,0,0,29321311,0,4352 71,3,2024-09-07 09:33:01:752,1,488,95,0,644,6045,488,0 72,0,2024-09-07 09:33:01:042,126702,0.5,123876,0.7,241549,0.5,328560,2.00 72,1,2024-09-07 09:33:01:035,855351,855351,0,0,401540281948,4215575123,842707,10479,2165,369,391819,0 72,2,2024-09-07 09:33:01:756,616418,616418,0,0,30466766,0,3983 72,3,2024-09-07 09:33:01:768,1,488,2,0,564,7207,488,0 73,0,2024-09-07 09:33:01:148,118554,0.4,121739,0.6,248453,0.4,322962,2.00 73,1,2024-09-07 09:33:00:766,857819,857819,0,0,403049653555,4187138223,851681,5714,424,367,391858,0 73,2,2024-09-07 09:33:01:745,617105,617105,0,0,30594297,0,4291 73,3,2024-09-07 09:33:00:973,1,488,3,0,486,6221,488,0 74,0,2024-09-07 09:33:01:344,123307,0.5,126207,0.7,240834,0.4,326824,2.25 74,1,2024-09-07 09:33:00:639,856770,856770,0,0,401972946580,4199489001,846979,7957,1834,381,391762,0 74,2,2024-09-07 09:33:01:043,613827,613827,0,0,26727662,0,4253 74,3,2024-09-07 09:33:01:446,1,488,1,0,522,6055,488,0 75,0,2024-09-07 09:33:01:767,123715,0.5,122913,0.7,246795,0.5,329679,2.25 75,1,2024-09-07 09:33:01:592,857551,857551,0,0,402269863162,4197291520,849725,6966,860,380,391739,0 75,2,2024-09-07 09:33:01:356,617061,617061,0,0,31663018,0,4766 75,3,2024-09-07 09:33:01:078,1,488,1,0,702,6047,488,0 76,0,2024-09-07 09:33:00:600,120748,0.5,120118,0.7,240993,0.5,322205,2.25 76,1,2024-09-07 09:33:00:810,856739,856739,0,0,402145756639,4194191945,850950,4959,830,382,391692,0 76,2,2024-09-07 09:33:01:072,620590,620587,3,0,27129841,0,5265 76,3,2024-09-07 09:33:01:146,1,488,4,0,227,4605,488,0 77,0,2024-09-07 09:33:01:711,121002,0.5,121454,0.7,243192,0.5,322144,2.00 77,1,2024-09-07 09:33:00:847,857613,857613,0,0,402757965041,4202764880,851063,5988,562,381,391869,0 77,2,2024-09-07 09:33:01:299,617577,617577,0,0,26224135,0,3890 77,3,2024-09-07 09:33:01:124,1,488,15,0,401,5316,488,0 78,0,2024-09-07 09:33:01:746,121384,0.4,120806,0.6,242413,0.4,321296,2.00 78,1,2024-09-07 09:33:00:613,857691,857691,0,0,402117794505,4192871597,848046,7416,2229,367,391670,0 78,2,2024-09-07 09:33:01:413,615618,615605,13,0,24333578,0,8313 78,3,2024-09-07 09:33:01:155,1,488,1,0,311,4368,488,0 79,0,2024-09-07 09:33:01:354,119176,0.4,122189,0.6,249966,0.4,325948,2.25 79,1,2024-09-07 09:33:00:576,859957,859957,0,0,403528259079,4182373780,852659,5945,1353,367,391682,0 79,2,2024-09-07 09:33:01:078,619636,619636,0,0,23641229,0,4195 79,3,2024-09-07 09:33:00:749,1,488,1,0,418,6062,488,0 80,0,2024-09-07 09:33:01:079,120953,0.5,124214,0.7,237895,0.5,321851,2.00 80,1,2024-09-07 09:33:01:623,857030,857030,0,0,403063615791,4198225248,851234,5373,423,368,392269,0 80,2,2024-09-07 09:33:01:100,620331,620331,0,0,24641098,0,4433 80,3,2024-09-07 09:33:00:584,1,488,1,0,296,5806,488,0 81,0,2024-09-07 09:33:01:579,122003,0.5,124974,0.7,238384,0.5,323711,2.00 81,1,2024-09-07 09:33:01:659,856028,856028,0,0,402504071454,4210361608,848757,6634,637,382,391885,0 81,2,2024-09-07 09:33:01:167,615879,615816,63,0,27664883,0,5932 81,3,2024-09-07 09:33:01:139,1,488,11,0,719,5744,488,0 82,0,2024-09-07 09:33:01:559,122153,0.5,122316,0.7,244993,0.4,325049,2.00 82,1,2024-09-07 09:33:00:591,858297,858293,0,4,403141398049,4194038887,853231,4243,819,381,391768,4 82,2,2024-09-07 09:33:01:705,617581,617581,0,0,23047973,0,4484 82,3,2024-09-07 09:33:01:752,1,488,0,0,363,4851,488,0 83,0,2024-09-07 09:33:01:574,123086,0.6,122913,0.7,245385,0.6,326642,2.25 83,1,2024-09-07 09:33:00:559,856903,856903,0,0,402885655586,4201886802,850780,5672,451,382,391709,0 83,2,2024-09-07 09:33:00:764,617403,617378,25,0,24602218,0,5612 83,3,2024-09-07 09:33:00:749,1,488,2,0,1260,6248,488,0 84,0,2024-09-07 09:33:01:816,121580,0.8,121728,0.9,243069,0.8,324841,2.25 84,1,2024-09-07 09:33:01:042,855656,855656,0,0,402177655638,4208098948,845726,8411,1519,367,391967,0 84,2,2024-09-07 09:33:00:576,616422,616392,30,0,32638737,0,5971 84,3,2024-09-07 09:33:01:161,1,488,1,0,908,7229,488,0 85,0,2024-09-07 09:33:01:081,118157,0.6,118108,0.8,250629,0.5,324494,2.25 85,1,2024-09-07 09:33:00:566,852830,852830,0,0,400788430612,4237607991,838905,11290,2635,381,392092,0 85,2,2024-09-07 09:33:00:870,615760,615760,0,0,30753483,0,4255 85,3,2024-09-07 09:33:00:691,1,488,8,0,789,5736,488,0 86,0,2024-09-07 09:33:00:876,121510,0.6,125002,0.8,239167,0.7,323570,2.25 86,1,2024-09-07 09:33:00:829,855846,855846,0,0,401931184140,4216557394,844673,9206,1967,366,391961,0 86,2,2024-09-07 09:33:00:857,615238,615237,1,0,33190492,0,5004 86,3,2024-09-07 09:33:00:587,1,488,1,0,308,7238,488,0 87,0,2024-09-07 09:33:01:302,122914,1.0,122583,0.9,245485,1.3,328305,2.50 87,1,2024-09-07 09:33:00:554,854627,854627,0,0,401758142882,4215256790,842589,10327,1711,366,392076,0 87,2,2024-09-07 09:33:01:073,614424,614418,6,0,28563772,0,6323 87,3,2024-09-07 09:33:01:800,1,488,9,0,473,7459,488,0 88,0,2024-09-07 09:33:01:470,122735,0.4,123313,0.6,246473,0.4,327832,1.75 88,1,2024-09-07 09:33:00:588,854235,854235,0,0,401255448371,4205542432,842293,9535,2407,365,392084,0 88,2,2024-09-07 09:33:00:746,617913,617913,0,0,31146519,0,4465 88,3,2024-09-07 09:33:01:281,1,488,24,0,435,5979,488,0 89,0,2024-09-07 09:33:01:775,125695,0.4,121791,0.6,240873,0.4,327550,1.75 89,1,2024-09-07 09:33:00:566,854122,854122,0,0,402619442882,4237484964,842889,9418,1815,382,391866,0 89,2,2024-09-07 09:33:01:139,617754,617754,0,0,29630477,0,3173 89,3,2024-09-07 09:33:01:792,1,488,3,0,468,8146,488,0 90,0,2024-09-07 09:33:01:625,117794,0.4,121052,0.6,246758,0.4,321971,1.75 90,1,2024-09-07 09:33:00:597,856375,856375,0,0,402148202297,4209288557,848588,7127,660,380,391825,0 90,2,2024-09-07 09:33:01:407,615738,615738,0,0,31711698,0,3608 90,3,2024-09-07 09:33:00:936,1,488,6,0,322,6059,488,0 91,0,2024-09-07 09:33:00:933,122291,0.5,118488,0.6,247724,0.5,325586,1.75 91,1,2024-09-07 09:33:00:570,854770,854770,0,0,402455626935,4233592274,843649,9120,2001,381,392047,0 91,2,2024-09-07 09:33:01:340,614577,614577,0,0,27497724,0,3526 91,3,2024-09-07 09:33:00:608,1,488,4,0,216,4770,488,0 92,0,2024-09-07 09:33:01:469,125284,0.5,128149,0.6,244163,0.5,331365,1.75 92,1,2024-09-07 09:33:00:588,856946,856946,0,0,401900074223,4198454625,850359,5509,1078,381,392136,0 92,2,2024-09-07 09:33:01:353,619693,619693,0,0,24689757,0,3259 92,3,2024-09-07 09:33:01:029,1,488,1,0,167,4243,488,0 93,0,2024-09-07 09:33:01:012,122129,0.4,125208,0.6,238912,0.4,323722,1.75 93,1,2024-09-07 09:33:00:821,856874,856874,0,0,402244786946,4200567224,847407,7767,1700,366,391776,0 93,2,2024-09-07 09:33:00:950,618411,618411,0,0,30439306,0,4913 93,3,2024-09-07 09:33:01:436,1,488,29,0,190,4386,488,0 94,0,2024-09-07 09:33:01:622,121321,0.3,121944,0.5,243734,0.3,323251,1.75 94,1,2024-09-07 09:33:00:563,857025,857025,0,0,403156015322,4206295530,851237,5416,372,381,391850,0 94,2,2024-09-07 09:33:00:762,617078,617050,28,0,25901652,0,6179 94,3,2024-09-07 09:33:01:694,1,488,9,0,576,6284,488,0 95,0,2024-09-07 09:33:01:348,121651,0.3,121321,0.5,243195,0.3,323594,1.75 95,1,2024-09-07 09:33:00:857,858700,858700,0,0,403189532441,4190412559,851925,6294,481,365,391786,0 95,2,2024-09-07 09:33:01:026,615220,615220,0,0,24574940,0,3308 95,3,2024-09-07 09:33:01:719,1,488,3,0,718,7265,488,0 96,0,2024-09-07 09:33:01:036,123637,0.4,123826,0.6,247461,0.4,328672,1.75 96,1,2024-09-07 09:33:01:594,856781,856781,0,0,402349615225,4199635829,850414,5456,911,384,391955,0 96,2,2024-09-07 09:33:01:273,619129,619129,0,0,25656042,0,4180 96,3,2024-09-07 09:33:01:157,1,488,26,0,411,5308,488,0 97,0,2024-09-07 09:33:01:356,121580,0.3,121230,0.5,243498,0.3,323078,1.75 97,1,2024-09-07 09:33:00:819,858489,858489,0,0,403689647489,4188933184,852952,4601,936,367,392140,0 97,2,2024-09-07 09:33:00:607,618489,618489,0,0,25346748,0,3679 97,3,2024-09-07 09:33:00:575,1,488,11,0,242,5851,488,0 98,0,2024-09-07 09:33:01:729,122141,0.3,122384,0.4,245486,0.2,325894,1.50 98,1,2024-09-07 09:33:00:572,857923,857923,0,0,402351739051,4193170898,852540,4589,794,381,391997,0 98,2,2024-09-07 09:33:00:773,617007,617007,0,0,25051441,0,4336 98,3,2024-09-07 09:33:00:700,1,488,2,0,840,7603,488,0 99,0,2024-09-07 09:33:01:529,122358,0.3,122988,0.5,244669,0.3,326955,1.75 99,1,2024-09-07 09:33:01:723,858117,858117,0,0,402447154309,4191828816,852208,4988,921,380,392069,0 99,2,2024-09-07 09:33:01:421,617941,617941,0,0,30160042,0,4276 99,3,2024-09-07 09:33:00:587,1,488,4,0,606,5045,488,0 100,0,2024-09-07 09:33:01:505,122465,1.0,122759,1.0,244797,1.2,327458,2.50 100,1,2024-09-07 09:33:00:559,852700,852700,0,0,400542961542,4240287489,838514,11088,3098,378,391989,0 100,2,2024-09-07 09:33:01:818,613867,613856,11,0,29045168,0,5417 100,3,2024-09-07 09:33:01:748,1,488,97,0,627,8364,488,0 101,0,2024-09-07 09:33:01:717,125519,1.3,122372,1.1,239589,1.3,328650,2.50 101,1,2024-09-07 09:33:00:566,854002,854002,0,0,401336165643,4221932685,841786,9821,2395,368,391847,0 101,2,2024-09-07 09:33:01:762,613756,613756,0,0,34172123,0,4871 101,3,2024-09-07 09:33:00:955,1,488,10,0,1250,7680,488,0 102,0,2024-09-07 09:33:00:968,119409,0.6,123068,0.8,249837,0.6,326897,2.00 102,1,2024-09-07 09:33:01:147,853822,853822,0,0,401466813523,4222261225,841696,10107,2019,369,391891,0 102,2,2024-09-07 09:33:01:758,617274,617220,54,0,29406032,0,6768 102,3,2024-09-07 09:33:01:623,1,488,2,0,466,5981,488,0 103,0,2024-09-07 09:33:01:596,125624,0.5,125651,0.7,236696,0.5,325475,2.00 103,1,2024-09-07 09:33:01:633,853250,853250,0,0,401428327675,4241925261,839354,10699,3197,381,391862,0 103,2,2024-09-07 09:33:00:589,616207,616207,0,0,30618287,0,3766 103,3,2024-09-07 09:33:00:762,1,488,1,0,916,6042,488,0 104,0,2024-09-07 09:33:01:039,121473,0.7,121722,0.9,242428,0.7,324872,2.25 104,1,2024-09-07 09:33:01:626,855801,855801,0,0,401647225567,4222783388,842867,10387,2547,365,392168,0 104,2,2024-09-07 09:33:01:672,611930,611930,0,0,29298266,0,3941 104,3,2024-09-07 09:33:01:425,1,488,2,0,1245,8943,488,0 105,0,2024-09-07 09:33:01:027,122004,0.8,118867,1.0,249254,0.9,327661,2.50 105,1,2024-09-07 09:33:00:573,856813,856813,0,0,402022681226,4214623492,845605,9474,1734,366,392009,0 105,2,2024-09-07 09:33:01:331,616880,616880,0,0,29212398,0,3509 105,3,2024-09-07 09:33:01:319,1,488,21,0,399,7158,488,0 106,0,2024-09-07 09:33:00:939,117247,0.8,119989,0.9,245935,0.8,320973,2.50 106,1,2024-09-07 09:33:01:759,855898,855898,0,0,401438492192,4214445279,843597,10657,1644,368,391914,0 106,2,2024-09-07 09:33:00:756,617262,617262,0,0,28326811,0,2920 106,3,2024-09-07 09:33:00:677,1,488,2,0,1224,7513,488,0 107,0,2024-09-07 09:33:01:139,121231,0.8,121495,0.9,242732,0.8,323317,2.00 107,1,2024-09-07 09:33:00:589,854107,854107,0,0,401219695848,4232146669,841819,11008,1280,381,392234,0 107,2,2024-09-07 09:33:01:291,615766,615765,1,0,30742766,0,5024 107,3,2024-09-07 09:33:01:761,1,488,74,0,370,6946,488,0 108,0,2024-09-07 09:33:01:848,120840,0.4,121624,0.6,241852,0.4,322668,1.75 108,1,2024-09-07 09:33:01:316,856656,856656,0,0,402096088069,4193458592,849559,6260,837,367,391857,0 108,2,2024-09-07 09:33:01:761,613355,613355,0,0,27406260,0,4246 108,3,2024-09-07 09:33:01:336,1,488,9,0,749,9675,488,0 109,0,2024-09-07 09:33:01:758,124099,0.4,123106,0.6,246512,0.4,330036,1.75 109,1,2024-09-07 09:33:00:592,854424,854424,0,0,402333586493,4222021402,846404,6808,1212,382,392132,0 109,2,2024-09-07 09:33:00:940,616726,616726,0,0,26832020,0,3617 109,3,2024-09-07 09:33:01:145,1,488,1,0,630,6198,488,0 110,0,2024-09-07 09:33:01:764,121033,0.4,117770,0.6,246843,0.4,323117,1.75 110,1,2024-09-07 09:33:01:651,858429,858429,0,0,403010374085,4181430811,852518,4691,1220,368,392045,0 110,2,2024-09-07 09:33:01:311,618790,618790,0,0,25971249,0,4067 110,3,2024-09-07 09:33:00:694,1,488,2,0,722,7071,488,0 111,0,2024-09-07 09:33:01:417,122384,0.3,121713,0.5,243863,0.3,325029,1.75 111,1,2024-09-07 09:33:01:019,859521,859521,0,0,403395361992,4182889749,855194,3971,356,380,391690,0 111,2,2024-09-07 09:33:01:127,616333,616333,0,0,25943988,0,4823 111,3,2024-09-07 09:33:00:913,1,488,0,0,379,5726,488,0 112,0,2024-09-07 09:33:00:921,123149,0.3,122468,0.4,245679,0.2,326057,1.50 112,1,2024-09-07 09:33:00:824,858070,858070,0,0,403953543028,4196081511,852189,5192,689,380,391624,0 112,2,2024-09-07 09:33:01:155,616119,616118,1,0,25273327,0,5036 112,3,2024-09-07 09:33:00:601,1,488,8,0,282,4861,488,0 113,0,2024-09-07 09:33:00:877,123216,0.3,123137,0.5,246665,0.3,328586,1.75 113,1,2024-09-07 09:33:01:686,860817,860817,0,0,405038149647,4180683090,855997,4011,809,366,391661,0 113,2,2024-09-07 09:33:01:319,619906,619906,0,0,22630557,0,3813 113,3,2024-09-07 09:33:00:684,1,488,8,0,340,5305,488,0 114,0,2024-09-07 09:33:00:877,123017,0.3,123862,0.5,246174,0.3,328831,1.75 114,1,2024-09-07 09:33:00:716,858848,858848,0,0,403242939785,4189683479,852154,5078,1616,381,391565,0 114,2,2024-09-07 09:33:00:878,619392,619391,1,0,24321233,0,5069 114,3,2024-09-07 09:33:01:288,1,488,0,0,395,3915,488,0 115,0,2024-09-07 09:33:00:560,123249,0.3,123659,0.4,246669,0.2,328003,1.50 115,1,2024-09-07 09:33:00:576,858801,858801,0,0,403445901335,4195281323,851506,5938,1357,382,391757,0 115,2,2024-09-07 09:33:01:125,619563,619563,0,0,24184826,0,4382 115,3,2024-09-07 09:33:01:017,1,488,1,0,167,2910,488,0 116,0,2024-09-07 09:33:01:777,121331,0.6,121223,0.8,242975,0.6,324818,2.00 116,1,2024-09-07 09:33:00:815,854359,854359,0,0,401343400970,4233095184,843848,8069,2442,380,392089,0 116,2,2024-09-07 09:33:01:759,616056,616056,0,0,31223111,0,4475 116,3,2024-09-07 09:33:00:927,1,488,3,0,415,6238,488,0 117,0,2024-09-07 09:33:01:191,123140,0.8,122654,0.8,245763,1.0,328690,2.00 117,1,2024-09-07 09:33:01:588,855058,855058,0,0,401555595071,4207985865,845109,8724,1225,369,392033,0 117,2,2024-09-07 09:33:01:162,617075,617075,0,0,26916814,0,4303 117,3,2024-09-07 09:33:01:069,1,488,9,0,490,6949,488,0 118,0,2024-09-07 09:33:01:773,119251,0.5,122603,0.7,249840,0.5,326502,2.00 118,1,2024-09-07 09:33:00:588,854798,854798,0,0,401915302104,4228753347,841538,10143,3117,366,392054,0 118,2,2024-09-07 09:33:01:597,616827,616827,0,0,29576281,0,2842 118,3,2024-09-07 09:33:01:770,1,488,0,0,248,5756,488,0 119,0,2024-09-07 09:33:01:333,121895,0.7,122261,0.8,244403,0.6,325661,2.00 119,1,2024-09-07 09:33:00:551,855202,855202,0,0,402016529373,4216230694,843655,9783,1764,367,391857,0 119,2,2024-09-07 09:33:01:267,618403,618403,0,0,27947051,0,4174 119,3,2024-09-07 09:33:01:330,1,488,2,0,1358,9599,488,0 120,0,2024-09-07 09:33:01:557,120733,0.6,120504,0.8,241938,0.6,322569,2.25 120,1,2024-09-07 09:33:00:868,855926,855926,0,0,401955985114,4216071116,846744,8405,777,368,392144,0 120,2,2024-09-07 09:33:00:811,616283,616282,1,0,31717666,0,5281 120,3,2024-09-07 09:33:01:303,1,488,3,0,279,6195,488,0 121,0,2024-09-07 09:33:01:733,121976,1.3,121404,1.1,243506,1.7,324921,2.25 121,1,2024-09-07 09:33:01:666,856495,856495,0,0,402465790918,4206186952,848771,7170,554,367,391840,0 121,2,2024-09-07 09:33:01:160,612696,612696,0,0,29233037,0,4157 121,3,2024-09-07 09:33:00:730,1,488,11,0,330,5982,488,0 122,0,2024-09-07 09:33:01:813,123232,0.9,120089,0.9,251667,1.0,331130,2.25 122,1,2024-09-07 09:33:00:869,854873,854873,0,0,401457907756,4213180482,842962,10038,1873,366,392130,0 122,2,2024-09-07 09:33:01:321,619046,618973,73,0,33122618,0,5989 122,3,2024-09-07 09:33:00:606,1,488,5,0,512,8550,488,0 123,0,2024-09-07 09:33:00:961,120674,0.9,117568,0.9,246330,1.0,322120,2.25 123,1,2024-09-07 09:33:00:572,855056,855056,0,0,401990708681,4230183951,840850,11757,2449,369,392039,0 123,2,2024-09-07 09:33:01:022,616303,616302,1,0,28373908,0,5215 123,3,2024-09-07 09:33:01:151,1,488,0,0,478,5784,488,0 124,0,2024-09-07 09:33:00:989,125181,0.3,125133,0.5,235975,0.3,324263,1.75 124,1,2024-09-07 09:33:01:027,858270,858270,0,0,402373184500,4183554265,851880,5274,1116,365,392178,0 124,2,2024-09-07 09:33:01:059,618021,617968,53,0,25599272,0,6487 124,3,2024-09-07 09:33:00:763,1,488,4,0,490,5128,488,0 125,0,2024-09-07 09:33:01:433,121407,0.4,121168,0.6,243327,0.4,323487,1.75 125,1,2024-09-07 09:33:00:858,856475,856475,0,0,402341635119,4201618495,849580,5914,981,382,391813,0 125,2,2024-09-07 09:33:01:160,616628,616628,0,0,25782717,0,4534 125,3,2024-09-07 09:33:01:133,1,488,12,0,709,6020,488,0 126,0,2024-09-07 09:33:01:431,123594,0.4,127116,0.6,243206,0.4,329429,1.75 126,1,2024-09-07 09:33:00:568,859448,859448,0,0,403920432328,4181476842,855044,4107,297,365,391987,0 126,2,2024-09-07 09:33:00:639,619529,619529,0,0,26311434,0,4539 126,3,2024-09-07 09:33:00:928,1,488,4,0,268,5669,488,0 127,0,2024-09-07 09:33:01:606,121809,0.3,122121,0.5,243537,0.3,323442,1.75 127,1,2024-09-07 09:33:00:584,858100,858100,0,0,402843142977,4192412238,849375,7263,1462,364,392187,0 127,2,2024-09-07 09:33:00:658,617157,617153,4,0,24945435,0,5305 127,3,2024-09-07 09:33:01:283,1,488,1,0,968,5008,488,0 128,0,2024-09-07 09:33:01:530,122989,0.3,123133,0.4,245781,0.2,326863,1.50 128,1,2024-09-07 09:33:01:615,857256,857256,0,0,404259073016,4200113659,851141,5385,730,367,392031,0 128,2,2024-09-07 09:33:01:382,618598,618598,0,0,23928992,0,3171 128,3,2024-09-07 09:33:00:809,1,488,4,0,1082,7894,488,0 129,0,2024-09-07 09:33:01:082,123312,0.3,122827,0.5,246112,0.3,327400,1.50 129,1,2024-09-07 09:33:00:588,854816,854816,0,0,401028428037,4204178587,846308,6772,1736,379,391962,0 129,2,2024-09-07 09:33:00:864,618077,618073,4,0,26034017,0,5335 129,3,2024-09-07 09:33:00:734,1,488,11,0,506,7096,488,0 130,0,2024-09-07 09:33:01:791,123518,0.5,123104,0.6,247343,0.5,328820,1.75 130,1,2024-09-07 09:33:00:613,858289,858289,0,0,402203625659,4181102299,853616,4287,386,381,391825,0 130,2,2024-09-07 09:33:01:156,617238,617238,0,0,25457793,0,4067 130,3,2024-09-07 09:33:01:299,1,488,2,0,960,7177,488,0 131,0,2024-09-07 09:33:01:950,123231,0.4,123651,0.5,247840,0.3,329766,1.75 131,1,2024-09-07 09:33:01:854,857492,857492,0,0,402408589838,4201785056,850671,5630,1191,381,391865,0 131,2,2024-09-07 09:33:00:574,619078,619078,0,0,23802879,0,3979 131,3,2024-09-07 09:33:01:705,1,488,2,0,392,5842,488,0 132,0,2024-09-07 09:33:01:421,122716,0.4,123961,0.6,246403,0.4,328003,2.00 132,1,2024-09-07 09:33:00:594,853929,853929,0,0,401274083928,4225856126,841507,10231,2191,381,392532,0 132,2,2024-09-07 09:33:00:700,617393,617376,17,0,31890886,0,6451 132,3,2024-09-07 09:33:01:695,1,488,5,0,804,8557,488,0 133,0,2024-09-07 09:33:01:527,118545,0.4,121387,0.6,248899,0.4,323634,1.75 133,1,2024-09-07 09:33:00:590,853958,853958,0,0,401032493996,4228751331,842094,10239,1625,383,391914,0 133,2,2024-09-07 09:33:01:100,617635,617585,50,0,32145326,0,6861 133,3,2024-09-07 09:33:01:300,1,488,12,0,479,5585,488,0 134,0,2024-09-07 09:33:00:961,122697,0.5,122537,0.7,245370,0.5,327025,2.00 134,1,2024-09-07 09:33:00:629,854879,854879,0,0,401718701130,4214627098,843656,9078,2145,366,391718,0 134,2,2024-09-07 09:33:01:761,612925,612901,24,0,29886220,0,6207 134,3,2024-09-07 09:33:00:760,1,488,15,0,739,6173,488,0 135,0,2024-09-07 09:33:01:132,119421,0.8,119369,0.9,253384,1.0,326851,2.25 135,1,2024-09-07 09:33:01:662,854882,854882,0,0,402087248945,4231432187,843502,9816,1564,380,391805,0 135,2,2024-09-07 09:33:00:696,617665,617665,0,0,30200186,0,4503 135,3,2024-09-07 09:33:01:018,1,488,1,0,900,5090,488,0 136,0,2024-09-07 09:33:01:645,121885,0.5,122141,0.7,243291,0.5,324119,2.00 136,1,2024-09-07 09:33:01:501,856539,856539,0,0,401332071034,4208235544,846714,8602,1223,381,392135,0 136,2,2024-09-07 09:33:01:159,619907,619907,0,0,27919824,0,3506 136,3,2024-09-07 09:33:01:128,1,488,5,0,637,5605,488,0 137,0,2024-09-07 09:33:00:926,125328,0.6,122093,0.7,239321,0.5,324506,2.00 137,1,2024-09-07 09:33:00:610,854829,854829,0,0,401549247798,4212113478,841637,10522,2670,366,391898,0 137,2,2024-09-07 09:33:01:709,616150,616150,0,0,30892594,0,3185 137,3,2024-09-07 09:33:00:820,1,488,11,0,484,6269,488,0 138,0,2024-09-07 09:33:01:753,120494,0.9,120575,0.9,242031,1.1,321252,2.25 138,1,2024-09-07 09:33:01:694,855665,855665,0,0,402384645430,4222270113,843359,10339,1967,368,391954,0 138,2,2024-09-07 09:33:00:609,613154,613154,0,0,28460605,0,4988 138,3,2024-09-07 09:33:00:619,1,488,6,0,1200,7839,488,0 139,0,2024-09-07 09:33:01:382,122444,1.3,122810,1.0,245712,1.9,328646,2.50 139,1,2024-09-07 09:33:00:613,851071,851071,0,0,399419691085,4240960591,835300,12314,3457,380,392058,0 139,2,2024-09-07 09:33:00:719,614453,614453,0,0,31905206,0,3097 139,3,2024-09-07 09:33:01:673,1,488,10,0,432,5750,488,0 140,0,2024-09-07 09:33:01:596,121816,0.3,120929,0.5,242792,0.2,323362,1.75 140,1,2024-09-07 09:33:01:553,860497,860497,0,0,404349370229,4168027277,856449,3587,461,364,391606,0 140,2,2024-09-07 09:33:00:696,619483,619482,1,0,24225065,0,5036 140,3,2024-09-07 09:33:00:812,1,488,77,0,297,4719,488,0 141,0,2024-09-07 09:33:01:712,122321,0.3,125506,0.5,239586,0.2,324672,1.50 141,1,2024-09-07 09:33:00:861,859057,859057,0,0,403984423605,4197734885,852193,5779,1085,379,391614,0 141,2,2024-09-07 09:33:01:687,617093,617082,11,0,25715616,0,5369 141,3,2024-09-07 09:33:01:053,1,488,2,0,391,5430,488,0 142,0,2024-09-07 09:33:01:386,123158,0.3,122307,0.5,245240,0.3,326761,1.50 142,1,2024-09-07 09:33:00:599,856919,856919,0,0,402439897682,4195290110,851378,5083,458,382,392102,0 142,2,2024-09-07 09:33:01:318,616050,616018,32,0,26180926,0,6028 142,3,2024-09-07 09:33:01:750,1,488,0,0,484,5208,488,0 143,0,2024-09-07 09:33:01:383,123122,0.4,123116,0.6,246814,0.4,328655,1.75 143,1,2024-09-07 09:33:00:571,859034,859034,0,0,403538858942,4188248946,853263,5219,552,367,391705,0 143,2,2024-09-07 09:33:00:772,618750,618750,0,0,25315473,0,3123 143,3,2024-09-07 09:33:01:149,1,488,7,0,462,6223,488,0 144,0,2024-09-07 09:33:01:501,118890,0.7,122239,0.9,248952,0.7,325798,2.25 144,1,2024-09-07 09:33:00:573,854862,854862,0,0,400863566085,4208666241,846041,6918,1903,381,391733,0 144,2,2024-09-07 09:33:01:756,618782,618782,0,0,24404097,0,3673 144,3,2024-09-07 09:33:01:743,1,488,3,0,249,4883,488,0 145,0,2024-09-07 09:33:01:378,118849,0.6,118798,0.8,252049,0.5,324703,2.25 145,1,2024-09-07 09:33:00:559,853592,853592,0,0,401116310188,4227299544,841383,9750,2459,382,391759,0 145,2,2024-09-07 09:33:01:430,614833,614751,82,0,30629474,0,7814 145,3,2024-09-07 09:33:00:914,1,488,1,0,622,6822,488,0 146,0,2024-09-07 09:33:01:617,121650,0.6,121180,0.7,243407,0.5,323065,2.25 146,1,2024-09-07 09:33:01:592,855411,855411,0,0,401514497960,4223898573,841451,10255,3705,367,391770,0 146,2,2024-09-07 09:33:01:701,615777,615777,0,0,28292224,0,3290 146,3,2024-09-07 09:33:01:289,1,488,17,0,1520,8477,488,0 147,0,2024-09-07 09:33:01:775,123190,0.7,122918,0.8,245210,0.7,327859,2.25 147,1,2024-09-07 09:33:01:373,858582,858582,0,0,403215362995,4191001139,851592,6140,850,367,391791,0 147,2,2024-09-07 09:33:01:035,614688,614688,0,0,25371949,0,2968 147,3,2024-09-07 09:33:00:913,1,488,3,0,1626,8237,488,0 0,0,2024-09-07 09:33:11:709,118047,0.6,118097,0.7,250498,0.6,323602,2.00 0,1,2024-09-07 09:33:10:804,857378,857378,0,0,403153049713,4224976725,849896,6644,838,369,391896,0 0,2,2024-09-07 09:33:11:071,620125,620125,0,0,25922893,0,4480 0,3,2024-09-07 09:33:10:974,1,489,11,0,431,7025,489,0 1,0,2024-09-07 09:33:11:781,122017,1.0,121551,1.0,243567,1.3,325758,2.00 1,1,2024-09-07 09:33:10:576,856794,856794,0,0,401628743401,4210977443,848396,6932,1466,370,391859,0 1,2,2024-09-07 09:33:10:641,615125,615125,0,0,24940399,0,3380 1,3,2024-09-07 09:33:11:306,1,489,21,0,269,6331,489,0 2,0,2024-09-07 09:33:11:572,124023,0.6,123948,0.7,247837,0.6,330565,2.00 2,1,2024-09-07 09:33:10:860,859682,859682,0,0,403825720005,4197719577,855155,3725,802,380,391745,0 2,2,2024-09-07 09:33:11:266,621003,621003,0,0,23522160,0,3594 2,3,2024-09-07 09:33:10:692,1,489,0,0,357,4362,489,0 3,0,2024-09-07 09:33:11:744,121322,0.4,121193,0.6,242028,0.4,322560,2.00 3,1,2024-09-07 09:33:11:620,858218,858218,0,0,403087956873,4198988371,850935,6588,695,379,391716,0 3,2,2024-09-07 09:33:11:379,620315,620292,23,0,24935411,0,5851 3,3,2024-09-07 09:33:11:753,1,489,0,0,207,3108,489,0 4,0,2024-09-07 09:33:11:769,118051,0.4,121290,0.5,247399,0.3,323211,1.75 4,1,2024-09-07 09:33:10:599,854800,854800,0,0,401403225925,4255735313,839040,12094,3666,370,391992,0 4,2,2024-09-07 09:33:11:024,615538,615538,0,0,30694408,0,4534 4,3,2024-09-07 09:33:11:036,1,489,10,0,448,6446,489,0 5,0,2024-09-07 09:33:11:457,121278,0.4,121897,0.6,243227,0.4,323165,1.75 5,1,2024-09-07 09:33:10:758,856104,856104,0,0,402137371900,4236579453,843583,10109,2412,367,392005,0 5,2,2024-09-07 09:33:11:840,612888,612888,0,0,29594067,0,3582 5,3,2024-09-07 09:33:11:736,1,489,12,0,457,6880,489,0 6,0,2024-09-07 09:33:10:916,123892,0.5,123494,0.7,247185,0.5,330075,2.00 6,1,2024-09-07 09:33:10:746,858332,858332,0,0,402089692405,4202833939,849157,7732,1443,379,391702,0 6,2,2024-09-07 09:33:11:120,619524,619506,18,0,28585472,0,5535 6,3,2024-09-07 09:33:11:275,1,489,1,0,710,6144,489,0 7,0,2024-09-07 09:33:11:531,120814,0.6,121736,0.7,242269,0.5,322308,2.00 7,1,2024-09-07 09:33:10:850,856087,856087,0,0,402568459871,4233046426,843235,10621,2231,382,391747,0 7,2,2024-09-07 09:33:10:770,619376,619376,0,0,28080100,0,4791 7,3,2024-09-07 09:33:10:851,1,489,4,0,552,5705,489,0 8,0,2024-09-07 09:33:11:373,122849,0.3,122568,0.5,245785,0.3,326962,1.75 8,1,2024-09-07 09:33:11:022,855515,855515,0,0,401278318861,4221882875,841744,10722,3049,366,392853,0 8,2,2024-09-07 09:33:10:799,613649,613649,0,0,32391297,0,3250 8,3,2024-09-07 09:33:10:599,1,489,0,0,538,7621,489,0 9,0,2024-09-07 09:33:11:105,122623,0.4,119357,0.5,249762,0.3,327371,1.75 9,1,2024-09-07 09:33:10:566,854911,854911,0,0,401924004288,4235094387,842040,10331,2540,369,392001,0 9,2,2024-09-07 09:33:11:100,616947,616947,0,0,29569531,0,4615 9,3,2024-09-07 09:33:11:751,1,489,6,0,1273,8372,489,0 10,0,2024-09-07 09:33:11:600,123101,0.4,122740,0.5,246337,0.3,328120,1.75 10,1,2024-09-07 09:33:10:586,858069,858069,0,0,401747882376,4210980470,846365,9764,1940,381,391741,0 10,2,2024-09-07 09:33:10:770,617726,617726,0,0,32100212,0,4264 10,3,2024-09-07 09:33:10:871,1,489,1,0,649,5132,489,0 11,0,2024-09-07 09:33:11:007,123404,0.5,119770,0.7,250144,0.5,329889,1.75 11,1,2024-09-07 09:33:10:576,857918,857918,0,0,403241499063,4230353626,845095,9703,3120,383,391756,0 11,2,2024-09-07 09:33:11:133,617900,617900,0,0,28573269,0,4130 11,3,2024-09-07 09:33:11:302,1,489,12,0,843,6628,489,0 12,0,2024-09-07 09:33:10:956,123811,0.4,123786,0.5,247622,0.3,329081,1.75 12,1,2024-09-07 09:33:10:948,857960,857960,0,0,402484597273,4198672595,851114,6186,660,370,391960,0 12,2,2024-09-07 09:33:11:549,618699,618699,0,0,27897915,0,4390 12,3,2024-09-07 09:33:11:065,1,489,7,0,386,6787,489,0 13,0,2024-09-07 09:33:11:421,122770,0.4,122392,0.6,244129,0.4,325498,1.75 13,1,2024-09-07 09:33:11:524,856379,856379,0,0,402597285307,4233041006,847251,6977,2151,382,391740,0 13,2,2024-09-07 09:33:10:601,620417,620417,0,0,25344585,0,3287 13,3,2024-09-07 09:33:11:763,1,489,12,0,522,7015,489,0 14,0,2024-09-07 09:33:10:582,122663,0.4,123720,0.6,245152,0.4,327045,1.75 14,1,2024-09-07 09:33:11:623,861890,861890,0,0,404737836726,4189649636,855347,5829,714,364,391673,0 14,2,2024-09-07 09:33:10:764,615873,615843,30,0,26414405,0,6104 14,3,2024-09-07 09:33:11:121,1,489,1,0,1168,5276,489,0 15,0,2024-09-07 09:33:11:557,123431,0.4,123053,0.6,247075,0.3,328727,2.00 15,1,2024-09-07 09:33:11:611,859026,859026,0,0,403813759012,4205219946,852002,5568,1456,381,391619,0 15,2,2024-09-07 09:33:10:998,621188,621188,0,0,22404886,0,3622 15,3,2024-09-07 09:33:11:411,1,489,1,0,1126,7246,489,0 16,0,2024-09-07 09:33:10:940,121608,0.5,122350,0.7,243604,0.5,324294,2.00 16,1,2024-09-07 09:33:10:586,858757,858757,0,0,403282003913,4219179934,850590,6871,1296,370,391917,0 16,2,2024-09-07 09:33:11:436,619595,619595,0,0,26925346,0,4719 16,3,2024-09-07 09:33:11:143,1,489,1,0,358,6319,489,0 17,0,2024-09-07 09:33:11:769,125280,0.6,122246,0.7,239377,0.6,324683,2.00 17,1,2024-09-07 09:33:10:606,856954,856954,0,0,402326567174,4223475410,848060,7149,1745,368,392075,0 17,2,2024-09-07 09:33:11:677,621075,621074,1,0,27401432,0,5050 17,3,2024-09-07 09:33:10:576,1,489,23,0,518,7540,489,0 18,0,2024-09-07 09:33:10:946,120093,0.7,120737,0.8,240666,0.7,321482,2.25 18,1,2024-09-07 09:33:11:648,860735,860735,0,0,404166331003,4193076639,855235,4643,857,367,391725,0 18,2,2024-09-07 09:33:11:755,617024,617024,0,0,24180717,0,3541 18,3,2024-09-07 09:33:10:905,1,489,3,0,1059,4835,489,0 19,0,2024-09-07 09:33:11:537,123472,0.6,123852,0.8,246166,0.6,328514,2.25 19,1,2024-09-07 09:33:10:566,860499,860499,0,0,404121429905,4193976846,853560,5855,1084,367,391777,0 19,2,2024-09-07 09:33:11:751,622680,622680,0,0,22725376,0,3988 19,3,2024-09-07 09:33:11:134,1,489,1,0,524,4073,489,0 20,0,2024-09-07 09:33:11:543,121174,0.5,121315,0.7,242230,0.5,322923,2.00 20,1,2024-09-07 09:33:10:594,857533,857533,0,0,402502227072,4220041680,848412,7759,1362,369,391922,0 20,2,2024-09-07 09:33:10:928,619009,619009,0,0,27363876,0,3721 20,3,2024-09-07 09:33:10:594,1,489,1,0,468,8619,489,0 21,0,2024-09-07 09:33:11:235,122040,0.4,122186,0.6,244258,0.4,323906,1.75 21,1,2024-09-07 09:33:11:563,855346,855346,0,0,401612141611,4242728329,841316,10916,3114,368,392016,0 21,2,2024-09-07 09:33:11:067,614938,614918,20,0,32624239,0,5617 21,3,2024-09-07 09:33:11:425,1,489,14,0,713,6430,489,0 22,0,2024-09-07 09:33:11:723,122414,0.5,122807,0.7,245289,0.4,324858,2.00 22,1,2024-09-07 09:33:11:023,856272,856272,0,0,402021291596,4236841009,841294,11755,3223,382,391822,0 22,2,2024-09-07 09:33:10:763,615552,615526,26,0,27527680,0,6328 22,3,2024-09-07 09:33:11:066,1,489,1,0,228,4188,489,0 23,0,2024-09-07 09:33:11:375,123141,0.5,122615,0.7,245400,0.5,327666,2.25 23,1,2024-09-07 09:33:11:009,857491,857491,0,0,403138462311,4236932738,841875,11208,4408,365,391690,0 23,2,2024-09-07 09:33:11:092,620267,620267,0,0,25885915,0,3773 23,3,2024-09-07 09:33:11:753,1,489,1,0,720,6661,489,0 24,0,2024-09-07 09:33:10:838,123826,0.4,122970,0.6,247268,0.4,327932,1.75 24,1,2024-09-07 09:33:10:581,856797,856797,0,0,402087413831,4212547643,848111,7022,1664,367,392269,0 24,2,2024-09-07 09:33:11:072,618947,618947,0,0,30082785,0,3607 24,3,2024-09-07 09:33:11:689,1,489,4,0,468,6392,489,0 25,0,2024-09-07 09:33:11:430,126455,0.4,123177,0.6,241674,0.4,329148,1.75 25,1,2024-09-07 09:33:10:573,855732,855732,0,0,402405716949,4245643840,841475,11508,2749,369,391928,0 25,2,2024-09-07 09:33:11:618,616562,616562,0,0,31883344,0,3978 25,3,2024-09-07 09:33:11:004,1,489,13,0,532,5505,489,0 26,0,2024-09-07 09:33:11:730,121634,0.4,118956,0.6,249603,0.4,324837,1.75 26,1,2024-09-07 09:33:11:541,858900,858900,0,0,402744049360,4220340473,846480,10007,2413,380,391748,0 26,2,2024-09-07 09:33:10:861,618118,618118,0,0,33351943,0,4689 26,3,2024-09-07 09:33:11:716,1,489,3,0,796,5617,489,0 27,0,2024-09-07 09:33:11:724,123493,0.5,123865,0.6,246174,0.4,328797,2.25 27,1,2024-09-07 09:33:11:679,859792,859792,0,0,404166579220,4212188550,851268,7257,1267,381,391626,0 27,2,2024-09-07 09:33:10:866,614295,614230,65,0,28758066,0,5699 27,3,2024-09-07 09:33:11:020,1,489,8,0,564,4421,489,0 28,0,2024-09-07 09:33:11:430,123642,0.4,123116,0.6,247280,0.3,329702,1.75 28,1,2024-09-07 09:33:10:800,859659,859659,0,0,404153459631,4215574074,852163,5796,1700,382,391698,0 28,2,2024-09-07 09:33:11:764,619377,619377,0,0,25333158,0,2915 28,3,2024-09-07 09:33:11:780,1,489,1,0,502,5037,489,0 29,0,2024-09-07 09:33:11:360,125944,0.4,122705,0.6,240544,0.3,328355,1.75 29,1,2024-09-07 09:33:11:563,862271,862271,0,0,404640533283,4187226032,856934,4578,759,368,391809,0 29,2,2024-09-07 09:33:10:861,619382,619382,0,0,24697618,0,4986 29,3,2024-09-07 09:33:10:963,1,489,8,0,459,5556,489,0 30,0,2024-09-07 09:33:11:457,121357,0.5,117828,0.7,246642,0.4,322532,2.00 30,1,2024-09-07 09:33:10:574,861161,861161,0,0,405022362308,4205158345,854513,5799,849,380,391672,0 30,2,2024-09-07 09:33:11:275,619687,619687,0,0,24156862,0,4192 30,3,2024-09-07 09:33:10:581,1,489,1,0,519,4922,489,0 31,0,2024-09-07 09:33:11:764,121792,0.5,122132,0.7,243963,0.4,325879,2.00 31,1,2024-09-07 09:33:10:564,864094,864094,0,0,406071322789,4166132085,859740,3553,801,356,391712,0 31,2,2024-09-07 09:33:11:275,614218,614218,0,0,26325106,0,4470 31,3,2024-09-07 09:33:11:707,1,489,76,0,220,4177,489,0 32,0,2024-09-07 09:33:11:426,124450,0.4,125207,0.5,249043,0.3,332428,1.75 32,1,2024-09-07 09:33:10:804,859913,859913,0,0,404153032099,4203835303,854374,4832,707,381,391646,0 32,2,2024-09-07 09:33:10:935,621063,621063,0,0,23259960,0,3922 32,3,2024-09-07 09:33:11:020,1,489,1,0,304,3971,489,0 33,0,2024-09-07 09:33:11:491,121893,0.3,121470,0.4,243083,0.2,323683,1.50 33,1,2024-09-07 09:33:10:592,861089,861089,0,0,404741972452,4196815284,853872,6045,1172,368,391730,0 33,2,2024-09-07 09:33:10:759,620737,620702,35,0,25910567,0,7012 33,3,2024-09-07 09:33:10:899,1,489,1,0,329,4705,489,0 34,0,2024-09-07 09:33:10:933,121975,0.3,125354,0.4,239610,0.2,322516,1.75 34,1,2024-09-07 09:33:11:044,862941,862941,0,0,405679399960,4173728604,860558,2361,22,367,391562,0 34,2,2024-09-07 09:33:10:766,619959,619959,0,0,24498276,0,4562 34,3,2024-09-07 09:33:11:688,1,489,1,0,320,3962,489,0 35,0,2024-09-07 09:33:10:863,120730,0.3,121391,0.5,243927,0.3,323962,1.75 35,1,2024-09-07 09:33:11:067,860417,860417,0,0,404672254312,4198882496,854525,4833,1059,382,391769,0 35,2,2024-09-07 09:33:11:583,615465,615465,0,0,26745806,0,4055 35,3,2024-09-07 09:33:10:909,1,489,1,0,418,4763,489,0 36,0,2024-09-07 09:33:11:528,124196,0.5,124111,0.7,248006,0.5,330614,2.25 36,1,2024-09-07 09:33:10:586,859160,859160,0,0,402729605411,4210512858,847411,9574,2175,366,391759,0 36,2,2024-09-07 09:33:11:750,620408,620408,0,0,28061924,0,3875 36,3,2024-09-07 09:33:10:867,1,489,8,0,416,7053,489,0 37,0,2024-09-07 09:33:11:374,121033,0.5,120989,0.7,242327,0.5,322783,2.25 37,1,2024-09-07 09:33:10:574,857752,857745,0,7,403132475579,4214304119,846789,8283,2673,365,391770,0 37,2,2024-09-07 09:33:11:144,617560,617545,15,0,28274888,0,5815 37,3,2024-09-07 09:33:11:766,1,489,1,0,888,7100,489,0 38,0,2024-09-07 09:33:11:437,121991,0.4,118373,0.6,247671,0.4,324171,2.00 38,1,2024-09-07 09:33:11:611,859090,859090,0,0,403975209071,4228818644,845918,10654,2518,368,391821,0 38,2,2024-09-07 09:33:10:760,616721,616674,47,0,28697563,0,6710 38,3,2024-09-07 09:33:10:997,1,489,1,0,689,6520,489,0 39,0,2024-09-07 09:33:11:759,125627,0.5,122835,0.7,239438,0.5,326758,2.00 39,1,2024-09-07 09:33:10:718,857404,857404,0,0,402264634449,4227577824,841642,12293,3469,365,391658,0 39,2,2024-09-07 09:33:11:423,618163,618163,0,0,26811007,0,3391 39,3,2024-09-07 09:33:10:717,1,489,12,0,525,5912,489,0 40,0,2024-09-07 09:33:11:489,121860,0.8,122602,1.0,244556,1.0,326140,2.75 40,1,2024-09-07 09:33:10:578,859208,859208,0,0,402388837070,4212645764,847269,9761,2178,368,391668,0 40,2,2024-09-07 09:33:11:310,616647,616646,1,0,31195191,0,5137 40,3,2024-09-07 09:33:11:142,1,489,1,0,1028,6367,489,0 41,0,2024-09-07 09:33:11:028,122664,1.4,125292,1.2,239344,2.0,325816,3.25 41,1,2024-09-07 09:33:10:768,857621,857621,0,0,402941244584,4217472865,846532,9380,1709,369,391742,0 41,2,2024-09-07 09:33:10:759,616794,616794,0,0,29426562,0,4277 41,3,2024-09-07 09:33:11:698,1,489,1,0,366,5082,489,0 42,0,2024-09-07 09:33:11:495,122359,0.8,122044,1.0,244399,0.9,323949,2.75 42,1,2024-09-07 09:33:11:439,855197,855197,0,0,401587003155,4226996837,840449,11886,2862,380,391675,0 42,2,2024-09-07 09:33:11:373,617911,617911,0,0,29349426,0,3975 42,3,2024-09-07 09:33:11:009,1,489,3,0,892,4549,489,0 43,0,2024-09-07 09:33:10:925,120712,0.7,117577,0.9,246009,0.7,322555,2.25 43,1,2024-09-07 09:33:10:576,858258,858258,0,0,402966650761,4214738719,845641,10360,2257,366,391696,0 43,2,2024-09-07 09:33:11:736,619346,619346,0,0,28895152,0,3812 43,3,2024-09-07 09:33:11:748,1,489,1,0,571,6941,489,0 44,0,2024-09-07 09:33:10:857,122785,0.4,122863,0.6,246251,0.4,327671,2.00 44,1,2024-09-07 09:33:10:564,860986,860986,0,0,403981871127,4176079960,853491,5945,1550,356,391809,0 44,2,2024-09-07 09:33:11:266,614208,614208,0,0,23743803,0,4344 44,3,2024-09-07 09:33:11:103,1,489,19,0,817,5467,489,0 45,0,2024-09-07 09:33:11:758,122061,0.5,119368,0.7,250411,0.4,328731,2.00 45,1,2024-09-07 09:33:11:011,860062,860062,0,0,404948187653,4210263290,852880,6316,866,382,391917,0 45,2,2024-09-07 09:33:11:267,620619,620619,0,0,23978236,0,3596 45,3,2024-09-07 09:33:10:934,1,489,0,0,271,4371,489,0 46,0,2024-09-07 09:33:10:951,121202,0.5,120623,0.7,242098,0.4,321576,2.00 46,1,2024-09-07 09:33:10:575,862027,862027,0,0,404615645973,4180218666,856431,4866,730,366,391709,0 46,2,2024-09-07 09:33:10:603,620322,620322,0,0,24293713,0,4443 46,3,2024-09-07 09:33:11:144,1,489,2,0,908,5739,489,0 47,0,2024-09-07 09:33:11:111,121709,0.4,122054,0.6,244502,0.4,323600,1.75 47,1,2024-09-07 09:33:10:575,862166,862166,0,0,403891936581,4173324151,857065,4262,839,366,391641,0 47,2,2024-09-07 09:33:10:909,621894,621894,0,0,24416134,0,4477 47,3,2024-09-07 09:33:11:118,1,489,1,0,600,5637,489,0 48,0,2024-09-07 09:33:11:494,121830,0.3,121825,0.4,242497,0.2,323539,1.50 48,1,2024-09-07 09:33:11:024,860200,860200,0,0,403516708437,4194918404,854558,5070,572,384,391710,0 48,2,2024-09-07 09:33:10:707,615511,615511,0,0,22750860,0,3411 48,3,2024-09-07 09:33:10:753,1,489,1,0,339,3872,489,0 49,0,2024-09-07 09:33:11:715,127103,0.4,124882,0.5,242659,0.3,332276,1.75 49,1,2024-09-07 09:33:11:022,859595,859595,0,0,403312181889,4196079268,854038,4347,1210,382,391809,0 49,2,2024-09-07 09:33:11:798,621253,621253,0,0,24957969,0,4426 49,3,2024-09-07 09:33:11:415,1,489,19,0,992,5659,489,0 50,0,2024-09-07 09:33:11:527,121936,0.3,120232,0.5,242264,0.2,322802,1.75 50,1,2024-09-07 09:33:11:010,862345,862345,0,0,405699812170,4196191029,856660,5022,663,368,391565,0 50,2,2024-09-07 09:33:11:067,619804,619804,0,0,23057063,0,4490 50,3,2024-09-07 09:33:11:291,1,489,1,0,617,5390,489,0 51,0,2024-09-07 09:33:11:684,125158,0.3,122630,0.5,238804,0.2,325437,1.75 51,1,2024-09-07 09:33:11:679,863287,863287,0,0,405472815578,4182938384,858756,3477,1054,365,391706,0 51,2,2024-09-07 09:33:11:317,618875,618875,0,0,22060667,0,3337 51,3,2024-09-07 09:33:11:034,1,489,1,0,678,3728,489,0 52,0,2024-09-07 09:33:11:414,122951,0.5,122627,0.7,245471,0.5,325796,2.00 52,1,2024-09-07 09:33:10:575,858314,858314,0,0,403498666992,4229067674,845195,11015,2104,368,391722,0 52,2,2024-09-07 09:33:11:754,613661,613623,38,0,29927342,0,6742 52,3,2024-09-07 09:33:10:677,1,489,202,0,1782,6518,489,0 53,0,2024-09-07 09:33:11:729,122707,0.7,119064,0.8,249202,0.8,327366,2.50 53,1,2024-09-07 09:33:10:771,856374,856374,0,0,402851252313,4233907873,841093,11437,3844,367,391968,0 53,2,2024-09-07 09:33:11:300,619431,619430,1,0,26688368,0,5455 53,3,2024-09-07 09:33:10:700,1,489,7,0,308,5016,489,0 54,0,2024-09-07 09:33:11:619,120839,0.6,121131,0.8,241296,0.5,321771,2.25 54,1,2024-09-07 09:33:10:586,858760,858760,0,0,404611012143,4212932159,848899,8227,1634,366,391810,0 54,2,2024-09-07 09:33:10:868,619432,619400,32,0,30321220,0,6397 54,3,2024-09-07 09:33:10:762,1,489,1,0,676,6819,489,0 55,0,2024-09-07 09:33:11:757,118913,0.6,122599,0.7,248387,0.5,323644,2.50 55,1,2024-09-07 09:33:10:764,860140,860140,0,0,403918470135,4203840327,850554,8268,1318,365,391731,0 55,2,2024-09-07 09:33:10:734,617929,617873,56,0,28891546,0,7239 55,3,2024-09-07 09:33:10:678,1,489,5,0,304,4724,489,0 56,0,2024-09-07 09:33:11:556,124597,1.2,117404,1.1,242058,1.6,324071,2.75 56,1,2024-09-07 09:33:10:574,855321,855321,0,0,401810550793,4245736956,841672,10760,2889,381,391867,0 56,2,2024-09-07 09:33:11:302,618068,617946,122,0,30103750,0,7432 56,3,2024-09-07 09:33:11:058,1,489,44,0,705,5984,489,0 57,0,2024-09-07 09:33:10:956,121497,1.7,121508,1.3,243196,2.3,325321,3.50 57,1,2024-09-07 09:33:11:007,856964,856964,0,0,401909539405,4219150893,845484,9280,2200,366,392032,0 57,2,2024-09-07 09:33:11:329,616265,616265,0,0,30407962,0,4317 57,3,2024-09-07 09:33:11:738,1,489,9,0,455,5615,489,0 58,0,2024-09-07 09:33:10:578,121612,0.9,118081,1.0,247004,1.0,323609,2.50 58,1,2024-09-07 09:33:10:583,857974,857971,0,3,403701794035,4231987808,844874,9899,3198,367,391603,3 58,2,2024-09-07 09:33:11:070,618557,618557,0,0,28781049,0,3483 58,3,2024-09-07 09:33:11:068,1,489,13,0,1043,5276,489,0 59,0,2024-09-07 09:33:11:739,122060,0.8,121715,1.0,243038,0.9,322531,2.75 59,1,2024-09-07 09:33:10:807,857520,857520,0,0,402674965740,4226592312,844451,10628,2441,369,391653,0 59,2,2024-09-07 09:33:10:583,618980,618980,0,0,27935019,0,3727 59,3,2024-09-07 09:33:11:745,1,489,0,0,1015,6319,489,0 60,0,2024-09-07 09:33:11:717,121489,0.5,121627,0.7,243549,0.5,323500,1.75 60,1,2024-09-07 09:33:10:771,861227,861227,0,0,404466234059,4198345220,855290,5008,929,370,392031,0 60,2,2024-09-07 09:33:11:142,619257,619257,0,0,26419509,0,3811 60,3,2024-09-07 09:33:11:258,1,489,3,0,409,6008,489,0 61,0,2024-09-07 09:33:11:496,121907,0.6,122542,0.8,244006,0.6,325625,2.00 61,1,2024-09-07 09:33:10:770,858381,858381,0,0,403338607067,4225824779,848694,8110,1577,382,392127,0 61,2,2024-09-07 09:33:11:120,614929,614862,67,0,26758435,0,6411 61,3,2024-09-07 09:33:11:690,1,489,8,0,479,6592,489,0 62,0,2024-09-07 09:33:11:706,124739,0.5,127848,0.7,244145,0.5,332195,2.00 62,1,2024-09-07 09:33:11:110,863296,863290,0,6,405761748620,4182356947,859331,3695,264,365,391975,6 62,2,2024-09-07 09:33:11:647,618318,618317,1,0,26267060,0,5555 62,3,2024-09-07 09:33:11:143,1,489,1,0,482,4041,489,0 63,0,2024-09-07 09:33:11:451,121856,0.4,121598,0.6,243979,0.4,324036,1.75 63,1,2024-09-07 09:33:10:804,860816,860810,0,6,404626004031,4199937053,855458,4618,734,381,391800,6 63,2,2024-09-07 09:33:10:761,620100,620100,0,0,25043649,0,4369 63,3,2024-09-07 09:33:11:735,1,489,1,0,667,5205,489,0 64,0,2024-09-07 09:33:11:512,121250,0.5,121389,0.7,242182,0.4,321759,2.00 64,1,2024-09-07 09:33:10:751,860136,860136,0,0,404005784642,4209799533,852532,5838,1766,370,391783,0 64,2,2024-09-07 09:33:11:368,622284,622265,19,0,24763260,0,6121 64,3,2024-09-07 09:33:11:143,1,489,0,0,651,5336,489,0 65,0,2024-09-07 09:33:11:728,120570,0.7,120836,0.8,241221,0.7,321264,2.00 65,1,2024-09-07 09:33:10:863,857943,857943,0,0,402848174388,4213966307,851268,5882,793,381,391901,0 65,2,2024-09-07 09:33:11:697,615768,615768,0,0,28882415,0,3367 65,3,2024-09-07 09:33:11:685,1,489,2,0,782,5934,489,0 66,0,2024-09-07 09:33:11:761,123431,0.6,123092,0.8,246197,0.5,328164,2.25 66,1,2024-09-07 09:33:11:307,860024,860024,0,0,404160148590,4209664264,853895,5407,722,380,391743,0 66,2,2024-09-07 09:33:11:140,621902,621899,3,0,26257975,0,5455 66,3,2024-09-07 09:33:11:081,1,489,1,0,291,4303,489,0 67,0,2024-09-07 09:33:11:414,121410,0.6,121092,0.7,243086,0.5,322960,2.25 67,1,2024-09-07 09:33:10:766,859718,859717,0,1,403979718197,4207978983,853648,5260,809,380,391787,1 67,2,2024-09-07 09:33:10:585,621466,621451,15,0,25445110,0,6205 67,3,2024-09-07 09:33:11:750,1,489,1,0,392,4757,489,0 68,0,2024-09-07 09:33:10:583,122831,0.5,122786,0.7,244572,0.5,326659,2.00 68,1,2024-09-07 09:33:10:574,856135,856135,0,0,401915840629,4231768461,843934,8802,3399,381,391953,0 68,2,2024-09-07 09:33:11:047,614212,614112,100,0,33002545,0,8578 68,3,2024-09-07 09:33:10:727,1,489,1,0,417,6349,489,0 69,0,2024-09-07 09:33:11:730,122005,0.7,122757,0.8,244711,0.7,324958,2.25 69,1,2024-09-07 09:33:11:022,855893,855893,0,0,401965271823,4241709096,843824,9310,2759,384,391994,0 69,2,2024-09-07 09:33:11:740,616533,616504,29,0,34124148,0,6912 69,3,2024-09-07 09:33:10:763,1,489,12,0,698,7465,489,0 70,0,2024-09-07 09:33:11:535,121723,1.1,122083,1.1,245574,1.1,325264,2.75 70,1,2024-09-07 09:33:10:801,860593,860593,0,0,404881009149,4204885743,853420,6412,761,366,391725,0 70,2,2024-09-07 09:33:11:339,617779,617779,0,0,28690495,0,4323 70,3,2024-09-07 09:33:10:749,1,489,5,0,854,5649,489,0 71,0,2024-09-07 09:33:11:360,122100,1.2,121767,1.1,244852,1.7,326936,3.00 71,1,2024-09-07 09:33:11:596,858233,858233,0,0,404057084207,4223093847,846930,10048,1255,368,391738,0 71,2,2024-09-07 09:33:11:067,617847,617847,0,0,29447885,0,4352 71,3,2024-09-07 09:33:11:750,1,489,1,0,644,6046,489,0 72,0,2024-09-07 09:33:11:063,126996,0.5,124174,0.7,242170,0.5,329322,2.00 72,1,2024-09-07 09:33:11:048,856803,856803,0,0,402347826892,4224676328,844039,10592,2172,369,391819,0 72,2,2024-09-07 09:33:11:755,617559,617559,0,0,30688573,0,3983 72,3,2024-09-07 09:33:11:754,1,489,1,0,564,7208,489,0 73,0,2024-09-07 09:33:11:148,118736,0.4,121919,0.6,248822,0.4,323509,2.00 73,1,2024-09-07 09:33:10:767,859487,859487,0,0,403696004548,4193773785,853348,5715,424,367,391858,0 73,2,2024-09-07 09:33:11:739,618386,618386,0,0,30695617,0,4291 73,3,2024-09-07 09:33:10:972,1,489,8,0,486,6229,489,0 74,0,2024-09-07 09:33:11:327,123655,0.5,126535,0.7,241447,0.4,328025,2.25 74,1,2024-09-07 09:33:10:635,858598,858598,0,0,402822576151,4208353725,848804,7960,1834,381,391762,0 74,2,2024-09-07 09:33:11:002,615120,615120,0,0,26796180,0,4253 74,3,2024-09-07 09:33:11:442,1,489,1,0,522,6056,489,0 75,0,2024-09-07 09:33:11:765,124038,0.5,123212,0.7,247443,0.5,330347,2.25 75,1,2024-09-07 09:33:11:588,859158,859158,0,0,403096377225,4206104379,851331,6967,860,380,391739,0 75,2,2024-09-07 09:33:11:358,618230,618230,0,0,31870472,0,4766 75,3,2024-09-07 09:33:11:072,1,489,9,0,702,6056,489,0 76,0,2024-09-07 09:33:10:612,120992,0.5,120369,0.7,241446,0.5,323075,2.25 76,1,2024-09-07 09:33:10:808,858494,858494,0,0,402958646081,4202570183,852705,4959,830,382,391692,0 76,2,2024-09-07 09:33:11:064,622122,622119,3,0,27200182,0,5265 76,3,2024-09-07 09:33:11:148,1,489,1,0,227,4606,489,0 77,0,2024-09-07 09:33:11:701,121148,0.5,121607,0.7,243484,0.5,322628,2.00 77,1,2024-09-07 09:33:10:828,859355,859355,0,0,403513481913,4210621836,852805,5988,562,381,391869,0 77,2,2024-09-07 09:33:11:280,618873,618873,0,0,26325871,0,3890 77,3,2024-09-07 09:33:11:100,1,489,16,0,401,5332,489,0 78,0,2024-09-07 09:33:11:733,121590,0.4,121006,0.6,242837,0.4,322146,2.00 78,1,2024-09-07 09:33:10:610,859475,859475,0,0,402876191372,4200718007,849830,7416,2229,367,391670,0 78,2,2024-09-07 09:33:11:405,616406,616393,13,0,24358412,0,8313 78,3,2024-09-07 09:33:11:142,1,489,2,0,311,4370,489,0 79,0,2024-09-07 09:33:11:355,119627,0.4,122640,0.6,250958,0.4,327089,2.25 79,1,2024-09-07 09:33:10:574,861764,861764,0,0,404379213749,4191092073,854466,5945,1353,367,391682,0 79,2,2024-09-07 09:33:11:069,621046,621046,0,0,23689190,0,4195 79,3,2024-09-07 09:33:10:754,1,489,6,0,418,6068,489,0 80,0,2024-09-07 09:33:11:088,121086,0.5,124303,0.7,238121,0.5,322161,2.00 80,1,2024-09-07 09:33:11:620,858818,858818,0,0,403742398245,4205204844,853022,5373,423,368,392269,0 80,2,2024-09-07 09:33:11:091,621753,621753,0,0,24660886,0,4433 80,3,2024-09-07 09:33:10:575,1,489,4,0,296,5810,489,0 81,0,2024-09-07 09:33:11:560,122123,0.5,125078,0.7,238583,0.5,324055,2.00 81,1,2024-09-07 09:33:11:656,857764,857764,0,0,403290193938,4218445316,850493,6634,637,382,391885,0 81,2,2024-09-07 09:33:11:137,617224,617161,63,0,27702101,0,5932 81,3,2024-09-07 09:33:11:117,1,489,8,0,719,5752,489,0 82,0,2024-09-07 09:33:11:530,122253,0.5,122439,0.7,245206,0.4,325052,2.00 82,1,2024-09-07 09:33:10:586,860055,860051,0,4,403868479982,4201701423,854989,4243,819,381,391768,4 82,2,2024-09-07 09:33:11:694,618331,618331,0,0,23062807,0,4484 82,3,2024-09-07 09:33:11:752,1,489,1,0,363,4852,489,0 83,0,2024-09-07 09:33:11:531,123452,0.6,123292,0.7,246179,0.6,327584,2.25 83,1,2024-09-07 09:33:10:555,858647,858647,0,0,403649303812,4209779224,852524,5672,451,382,391709,0 83,2,2024-09-07 09:33:10:764,618945,618920,25,0,24638075,0,5612 83,3,2024-09-07 09:33:10:750,1,489,1,0,1260,6249,489,0 84,0,2024-09-07 09:33:11:773,121690,0.8,121834,0.9,243289,0.8,325072,2.25 84,1,2024-09-07 09:33:11:038,857340,857340,0,0,402820223714,4215055040,847405,8415,1520,367,391967,0 84,2,2024-09-07 09:33:10:574,617786,617756,30,0,32668330,0,5971 84,3,2024-09-07 09:33:11:144,1,489,1,0,908,7230,489,0 85,0,2024-09-07 09:33:11:010,118411,0.6,118359,0.8,251166,0.5,325113,2.25 85,1,2024-09-07 09:33:10:575,854820,854820,0,0,401621913630,4246965729,840725,11443,2652,381,392092,0 85,2,2024-09-07 09:33:10:865,616826,616826,0,0,30785391,0,4255 85,3,2024-09-07 09:33:10:685,1,489,8,0,789,5744,489,0 86,0,2024-09-07 09:33:10:896,121768,0.6,125264,0.8,239692,0.7,324454,2.25 86,1,2024-09-07 09:33:10:827,857582,857582,0,0,402927169305,4226725980,846409,9206,1967,366,391961,0 86,2,2024-09-07 09:33:10:854,616391,616390,1,0,33226223,0,5004 86,3,2024-09-07 09:33:10:601,1,489,1,0,308,7239,489,0 87,0,2024-09-07 09:33:11:362,123292,1.0,122979,0.9,246273,1.3,329100,2.50 87,1,2024-09-07 09:33:10:559,856334,856334,0,0,402516425018,4223310874,844286,10337,1711,366,392076,0 87,2,2024-09-07 09:33:11:083,615794,615788,6,0,28597106,0,6323 87,3,2024-09-07 09:33:11:806,1,489,1,0,473,7460,489,0 88,0,2024-09-07 09:33:11:451,123134,0.4,123724,0.6,247269,0.4,329262,1.75 88,1,2024-09-07 09:33:10:576,855884,855884,0,0,402167348776,4214900481,843942,9535,2407,365,392084,0 88,2,2024-09-07 09:33:10:695,619165,619165,0,0,31246064,0,4465 88,3,2024-09-07 09:33:11:288,1,489,21,0,435,6000,489,0 89,0,2024-09-07 09:33:11:779,126023,0.4,122120,0.6,241554,0.4,328411,1.75 89,1,2024-09-07 09:33:10:552,855862,855862,0,0,403226220045,4244151193,844627,9420,1815,382,391866,0 89,2,2024-09-07 09:33:11:373,618869,618869,0,0,29733610,0,3173 89,3,2024-09-07 09:33:11:791,1,489,12,0,468,8158,489,0 90,0,2024-09-07 09:33:11:848,117964,0.4,121227,0.6,247094,0.4,322352,1.75 90,1,2024-09-07 09:33:10:608,857924,857924,0,0,402896290654,4217139634,850137,7127,660,380,391825,0 90,2,2024-09-07 09:33:11:411,617057,617057,0,0,31803303,0,3608 90,3,2024-09-07 09:33:10:929,1,489,6,0,322,6065,489,0 91,0,2024-09-07 09:33:10:934,122580,0.5,118771,0.6,248351,0.5,326199,1.75 91,1,2024-09-07 09:33:10:559,856509,856509,0,0,403238387960,4242240601,845317,9191,2001,381,392047,0 91,2,2024-09-07 09:33:11:331,615623,615623,0,0,27546594,0,3526 91,3,2024-09-07 09:33:10:603,1,489,16,0,216,4786,489,0 92,0,2024-09-07 09:33:11:446,125686,0.5,128558,0.6,244996,0.5,332400,1.75 92,1,2024-09-07 09:33:10:643,858643,858643,0,0,402602137178,4205712214,852056,5509,1078,381,392136,0 92,2,2024-09-07 09:33:11:354,620918,620918,0,0,24721684,0,3259 92,3,2024-09-07 09:33:11:010,1,489,1,0,167,4244,489,0 93,0,2024-09-07 09:33:11:005,122279,0.4,125369,0.6,239194,0.4,324162,1.75 93,1,2024-09-07 09:33:10:805,858640,858640,0,0,403139988290,4209811859,849172,7768,1700,366,391776,0 93,2,2024-09-07 09:33:10:928,619752,619752,0,0,30606891,0,4913 93,3,2024-09-07 09:33:11:406,1,489,14,0,190,4400,489,0 94,0,2024-09-07 09:33:11:756,121425,0.3,122053,0.5,243953,0.3,323498,1.75 94,1,2024-09-07 09:33:10:565,858825,858825,0,0,404082422096,4215910029,853037,5416,372,381,391850,0 94,2,2024-09-07 09:33:10:761,618516,618488,28,0,25944395,0,6179 94,3,2024-09-07 09:33:11:700,1,489,1,0,576,6285,489,0 95,0,2024-09-07 09:33:11:405,121716,0.3,121376,0.5,243307,0.3,323914,1.75 95,1,2024-09-07 09:33:10:865,860411,860411,0,0,403884621512,4197668421,853636,6294,481,365,391786,0 95,2,2024-09-07 09:33:11:024,615955,615955,0,0,24609295,0,3308 95,3,2024-09-07 09:33:11:742,1,489,32,0,718,7297,489,0 96,0,2024-09-07 09:33:11:050,124066,0.4,124240,0.6,248351,0.4,330004,1.75 96,1,2024-09-07 09:33:11:584,858636,858636,0,0,403220878255,4208616144,852269,5456,911,384,391955,0 96,2,2024-09-07 09:33:11:273,620627,620627,0,0,25687689,0,4180 96,3,2024-09-07 09:33:11:142,1,489,1,0,411,5309,489,0 97,0,2024-09-07 09:33:11:310,121693,0.3,121329,0.5,243678,0.3,323380,1.75 97,1,2024-09-07 09:33:10:763,860243,860243,0,0,404552797058,4197702639,854705,4602,936,367,392140,0 97,2,2024-09-07 09:33:10:610,620036,620036,0,0,25375335,0,3679 97,3,2024-09-07 09:33:10:581,1,489,7,0,242,5858,489,0 98,0,2024-09-07 09:33:11:875,122311,0.3,122538,0.4,245803,0.2,326164,1.50 98,1,2024-09-07 09:33:10:585,859743,859743,0,0,403311697130,4203002269,854360,4589,794,381,391997,0 98,2,2024-09-07 09:33:10:771,618086,618086,0,0,25259366,0,4336 98,3,2024-09-07 09:33:10:701,1,489,0,0,840,7603,489,0 99,0,2024-09-07 09:33:11:487,122635,0.3,123239,0.5,245212,0.3,327481,1.75 99,1,2024-09-07 09:33:11:725,859928,859928,0,0,403020880207,4197837846,854019,4988,921,380,392069,0 99,2,2024-09-07 09:33:11:423,618924,618924,0,0,30313355,0,4276 99,3,2024-09-07 09:33:10:595,1,489,29,0,606,5074,489,0 100,0,2024-09-07 09:33:11:458,122811,0.9,123133,1.0,245498,1.2,328607,2.50 100,1,2024-09-07 09:33:10:547,854518,854518,0,0,401344470532,4248828428,840329,11091,3098,378,391989,0 100,2,2024-09-07 09:33:11:817,615313,615302,11,0,29227292,0,5417 100,3,2024-09-07 09:33:11:730,1,489,12,0,627,8376,489,0 101,0,2024-09-07 09:33:11:697,125930,1.4,122721,1.1,240366,1.5,329098,2.50 101,1,2024-09-07 09:33:10:550,855685,855685,0,0,402062152409,4229576768,843469,9821,2395,368,391847,0 101,2,2024-09-07 09:33:11:755,615024,615024,0,0,34263498,0,4871 101,3,2024-09-07 09:33:10:942,1,489,8,0,1250,7688,489,0 102,0,2024-09-07 09:33:11:035,119695,0.6,123379,0.8,250482,0.6,327676,2.00 102,1,2024-09-07 09:33:11:142,855592,855592,0,0,402354798725,4231498878,843466,10107,2019,369,391891,0 102,2,2024-09-07 09:33:11:747,618365,618311,54,0,29450477,0,6768 102,3,2024-09-07 09:33:11:627,1,489,3,0,466,5984,489,0 103,0,2024-09-07 09:33:11:640,125811,0.5,125845,0.7,237042,0.5,326087,2.00 103,1,2024-09-07 09:33:11:641,855007,855007,0,0,402081603607,4249050331,841107,10703,3197,381,391862,0 103,2,2024-09-07 09:33:10:592,617451,617451,0,0,30725295,0,3766 103,3,2024-09-07 09:33:10:756,1,489,1,0,916,6043,489,0 104,0,2024-09-07 09:33:11:035,121782,0.7,122080,0.9,243100,0.7,325932,2.25 104,1,2024-09-07 09:33:11:602,857541,857541,0,0,402422506687,4230892520,844607,10387,2547,365,392168,0 104,2,2024-09-07 09:33:11:671,613240,613240,0,0,29393760,0,3941 104,3,2024-09-07 09:33:11:425,1,489,3,0,1245,8946,489,0 105,0,2024-09-07 09:33:11:028,122346,0.8,119213,1.0,249939,0.9,328403,2.50 105,1,2024-09-07 09:33:10:574,858619,858619,0,0,402928592678,4224067621,847410,9475,1734,366,392009,0 105,2,2024-09-07 09:33:11:326,618190,618190,0,0,29281287,0,3509 105,3,2024-09-07 09:33:11:309,1,489,9,0,399,7167,489,0 106,0,2024-09-07 09:33:10:959,117469,0.8,120249,0.9,246404,0.8,321878,2.50 106,1,2024-09-07 09:33:11:750,857708,857708,0,0,402379109457,4224281669,845406,10658,1644,368,391914,0 106,2,2024-09-07 09:33:10:767,618692,618692,0,0,28413110,0,2920 106,3,2024-09-07 09:33:10:681,1,489,1,0,1224,7514,489,0 107,0,2024-09-07 09:33:11:142,121354,0.8,121615,0.9,242983,0.8,323772,2.00 107,1,2024-09-07 09:33:10:585,855796,855796,0,0,402002490950,4240288209,843506,11010,1280,381,392234,0 107,2,2024-09-07 09:33:11:291,617103,617102,1,0,30862203,0,5024 107,3,2024-09-07 09:33:11:757,1,489,24,0,370,6970,489,0 108,0,2024-09-07 09:33:11:772,121052,0.4,121843,0.6,242254,0.4,323557,1.75 108,1,2024-09-07 09:33:11:328,858362,858362,0,0,402846655816,4201244945,851264,6261,837,367,391857,0 108,2,2024-09-07 09:33:11:768,614194,614194,0,0,27423934,0,4246 108,3,2024-09-07 09:33:11:333,1,489,1,0,749,9676,489,0 109,0,2024-09-07 09:33:11:780,124561,0.4,123589,0.6,247484,0.4,331181,1.75 109,1,2024-09-07 09:33:10:585,856209,856209,0,0,403057785491,4229572700,848189,6808,1212,382,392132,0 109,2,2024-09-07 09:33:10:923,618060,618060,0,0,26896114,0,3617 109,3,2024-09-07 09:33:11:154,1,489,12,0,630,6210,489,0 110,0,2024-09-07 09:33:11:751,121158,0.4,117874,0.6,247088,0.4,323434,1.75 110,1,2024-09-07 09:33:11:644,860201,860201,0,0,404054412915,4192026998,854289,4692,1220,368,392045,0 110,2,2024-09-07 09:33:11:309,620282,620282,0,0,26039193,0,4067 110,3,2024-09-07 09:33:10:692,1,489,0,0,722,7071,489,0 111,0,2024-09-07 09:33:11:413,122497,0.3,121845,0.5,244060,0.3,325367,1.75 111,1,2024-09-07 09:33:11:005,861229,861229,0,0,404327536282,4192712039,856901,3972,356,380,391690,0 111,2,2024-09-07 09:33:11:120,617645,617645,0,0,26013177,0,4823 111,3,2024-09-07 09:33:10:914,1,489,0,0,379,5726,489,0 112,0,2024-09-07 09:33:10:930,123250,0.3,122580,0.4,245912,0.2,326066,1.50 112,1,2024-09-07 09:33:10:827,859877,859877,0,0,404804087804,4204797446,853996,5192,689,380,391624,0 112,2,2024-09-07 09:33:11:132,616918,616917,1,0,25410434,0,5036 112,3,2024-09-07 09:33:10:593,1,489,1,0,282,4862,489,0 113,0,2024-09-07 09:33:10:873,123613,0.3,123540,0.5,247426,0.3,329472,1.75 113,1,2024-09-07 09:33:11:704,862554,862554,0,0,405644747281,4187454987,857630,4115,809,366,391661,0 113,2,2024-09-07 09:33:11:309,621431,621431,0,0,22751157,0,3813 113,3,2024-09-07 09:33:10:685,1,489,14,0,340,5319,489,0 114,0,2024-09-07 09:33:10:879,123127,0.3,123995,0.5,246373,0.3,329066,1.75 114,1,2024-09-07 09:33:10:716,860580,860580,0,0,403856931804,4195998637,853886,5078,1616,381,391565,0 114,2,2024-09-07 09:33:10:876,620719,620718,1,0,24592509,0,5069 114,3,2024-09-07 09:33:11:279,1,489,33,0,395,3948,489,0 115,0,2024-09-07 09:33:10:566,123517,0.3,123921,0.4,247193,0.2,328595,1.50 115,1,2024-09-07 09:33:10:573,860559,860559,0,0,404343246453,4204963961,853180,6022,1357,382,391757,0 115,2,2024-09-07 09:33:11:143,620742,620742,0,0,24214407,0,4382 115,3,2024-09-07 09:33:11:010,1,489,11,0,167,2921,489,0 116,0,2024-09-07 09:33:11:700,121556,0.6,121521,0.8,243512,0.6,325651,2.00 116,1,2024-09-07 09:33:10:803,856069,856069,0,0,402147906568,4241776768,845558,8069,2442,380,392089,0 116,2,2024-09-07 09:33:11:751,617157,617157,0,0,31481044,0,4475 116,3,2024-09-07 09:33:10:912,1,489,1,0,415,6239,489,0 117,0,2024-09-07 09:33:10:965,123536,0.8,123020,0.9,246485,1.0,329489,2.00 117,1,2024-09-07 09:33:11:581,856794,856794,0,0,402203499282,4215124269,846839,8730,1225,369,392033,0 117,2,2024-09-07 09:33:11:118,618398,618398,0,0,26967958,0,4303 117,3,2024-09-07 09:33:11:066,1,489,7,0,490,6956,489,0 118,0,2024-09-07 09:33:12:090,119643,0.5,123013,0.7,250695,0.5,327963,2.00 118,1,2024-09-07 09:33:10:594,856337,856337,0,0,402769042543,4237945201,843062,10158,3117,366,392054,0 118,2,2024-09-07 09:33:11:603,618114,618114,0,0,29680050,0,2842 118,3,2024-09-07 09:33:11:784,1,489,41,0,248,5797,489,0 119,0,2024-09-07 09:33:11:473,122214,0.6,122590,0.8,245070,0.6,326538,2.00 119,1,2024-09-07 09:33:10:572,856808,856808,0,0,402825672791,4224694967,845257,9787,1764,367,391857,0 119,2,2024-09-07 09:33:11:267,619564,619564,0,0,28034846,0,4174 119,3,2024-09-07 09:33:11:410,1,489,57,0,1358,9656,489,0 120,0,2024-09-07 09:33:11:547,120886,0.6,120690,0.8,242299,0.6,322981,2.25 120,1,2024-09-07 09:33:10:859,857666,857666,0,0,402755233672,4224382706,848484,8405,777,368,392144,0 120,2,2024-09-07 09:33:10:771,617504,617503,1,0,32005603,0,5281 120,3,2024-09-07 09:33:11:290,1,489,9,0,279,6204,489,0 121,0,2024-09-07 09:33:11:685,122287,1.2,121695,1.1,244058,1.7,325556,2.25 121,1,2024-09-07 09:33:11:655,858077,858077,0,0,403301077982,4216206214,850029,7433,615,367,391840,0 121,2,2024-09-07 09:33:11:142,613752,613752,0,0,29315786,0,4157 121,3,2024-09-07 09:33:10:728,1,489,50,0,330,6032,489,0 122,0,2024-09-07 09:33:11:765,123664,0.9,120484,0.9,252462,1.0,332061,2.25 122,1,2024-09-07 09:33:10:864,856589,856589,0,0,402525443891,4225077525,844484,10229,1876,366,392130,0 122,2,2024-09-07 09:33:11:322,620279,620206,73,0,33227517,0,5989 122,3,2024-09-07 09:33:10:595,1,489,79,0,512,8629,489,0 123,0,2024-09-07 09:33:11:000,120822,0.9,117709,0.9,246629,1.0,322590,2.25 123,1,2024-09-07 09:33:10:566,856733,856733,0,0,402843782583,4240197988,842286,11975,2472,369,392039,0 123,2,2024-09-07 09:33:11:021,617781,617780,1,0,28478972,0,5215 123,3,2024-09-07 09:33:11:144,1,489,4,0,478,5788,489,0 124,0,2024-09-07 09:33:11:009,125288,0.3,125274,0.5,236188,0.3,324515,1.75 124,1,2024-09-07 09:33:11:032,860034,860034,0,0,403320836967,4193312989,853644,5274,1116,365,392178,0 124,2,2024-09-07 09:33:11:009,619407,619354,53,0,25678482,0,6487 124,3,2024-09-07 09:33:10:758,1,489,1,0,490,5129,489,0 125,0,2024-09-07 09:33:11:418,121474,0.4,121231,0.6,243454,0.4,323818,1.75 125,1,2024-09-07 09:33:10:863,858109,858109,0,0,403075903067,4209277827,851214,5914,981,382,391813,0 125,2,2024-09-07 09:33:11:118,617396,617396,0,0,25821902,0,4534 125,3,2024-09-07 09:33:11:133,1,489,12,0,709,6032,489,0 126,0,2024-09-07 09:33:11:440,123960,0.4,127542,0.6,244048,0.4,330747,1.75 126,1,2024-09-07 09:33:10:590,861200,861200,0,0,404601848825,4188517736,856796,4107,297,365,391987,0 126,2,2024-09-07 09:33:10:620,621014,621014,0,0,26370857,0,4539 126,3,2024-09-07 09:33:10:915,1,489,4,0,268,5673,489,0 127,0,2024-09-07 09:33:11:598,121921,0.3,122212,0.5,243750,0.3,323743,1.75 127,1,2024-09-07 09:33:10:579,859818,859818,0,0,403818382352,4202495503,851092,7264,1462,364,392187,0 127,2,2024-09-07 09:33:10:639,618656,618652,4,0,25047787,0,5305 127,3,2024-09-07 09:33:11:274,1,489,34,0,968,5042,489,0 128,0,2024-09-07 09:33:11:535,123152,0.3,123293,0.4,246128,0.2,327118,1.50 128,1,2024-09-07 09:33:11:613,859005,859005,0,0,405008987711,4207843374,852890,5385,730,367,392031,0 128,2,2024-09-07 09:33:11:395,619673,619673,0,0,23946858,0,3171 128,3,2024-09-07 09:33:10:768,1,489,1,0,1082,7895,489,0 129,0,2024-09-07 09:33:10:999,123584,0.3,123068,0.5,246639,0.3,327929,1.50 129,1,2024-09-07 09:33:10:588,856686,856686,0,0,401825096282,4212496592,848178,6772,1736,379,391962,0 129,2,2024-09-07 09:33:10:697,619111,619107,4,0,26055830,0,5335 129,3,2024-09-07 09:33:10:696,1,489,6,0,506,7102,489,0 130,0,2024-09-07 09:33:11:739,123860,0.5,123440,0.6,247962,0.5,329967,1.75 130,1,2024-09-07 09:33:10:611,860050,860050,0,0,403013353598,4189402731,855377,4287,386,381,391825,0 130,2,2024-09-07 09:33:11:137,618864,618864,0,0,25489164,0,4067 130,3,2024-09-07 09:33:11:297,1,489,16,0,960,7193,489,0 131,0,2024-09-07 09:33:11:950,123579,0.4,123969,0.5,248554,0.3,330063,1.75 131,1,2024-09-07 09:33:11:866,859199,859199,0,0,403401075821,4212202604,852363,5645,1191,381,391865,0 131,2,2024-09-07 09:33:10:598,620413,620413,0,0,23834191,0,3979 131,3,2024-09-07 09:33:11:688,1,489,2,0,392,5844,489,0 132,0,2024-09-07 09:33:11:430,123014,0.4,124276,0.6,247013,0.4,328783,2.00 132,1,2024-09-07 09:33:10:588,855664,855664,0,0,402141020503,4235001754,843235,10238,2191,381,392532,0 132,2,2024-09-07 09:33:10:703,618516,618499,17,0,31922411,0,6451 132,3,2024-09-07 09:33:11:696,1,489,1,0,804,8558,489,0 133,0,2024-09-07 09:33:11:563,118737,0.4,121571,0.6,249297,0.4,324214,1.75 133,1,2024-09-07 09:33:10:583,855688,855688,0,0,401875891779,4237822094,843768,10293,1627,383,391914,0 133,2,2024-09-07 09:33:11:103,618806,618756,50,0,32378702,0,6861 133,3,2024-09-07 09:33:11:310,1,489,7,0,479,5592,489,0 134,0,2024-09-07 09:33:10:970,123023,0.5,122867,0.7,246027,0.5,328302,2.00 134,1,2024-09-07 09:33:10:597,856573,856573,0,0,402488449527,4222784380,845349,9079,2145,366,391718,0 134,2,2024-09-07 09:33:11:767,614211,614187,24,0,30096603,0,6207 134,3,2024-09-07 09:33:10:751,1,489,4,0,739,6177,489,0 135,0,2024-09-07 09:33:11:167,119749,0.8,119689,0.9,254104,1.0,327645,2.25 135,1,2024-09-07 09:33:11:590,856641,856641,0,0,402970405708,4241093224,845198,9879,1564,380,391805,0 135,2,2024-09-07 09:33:10:690,618789,618789,0,0,30293706,0,4503 135,3,2024-09-07 09:33:11:008,1,489,1,0,900,5091,489,0 136,0,2024-09-07 09:33:11:616,122151,0.5,122404,0.7,243783,0.5,324998,2.00 136,1,2024-09-07 09:33:11:440,858281,858281,0,0,402401533812,4219690532,848401,8657,1223,381,392135,0 136,2,2024-09-07 09:33:11:142,621240,621240,0,0,28259509,0,3506 136,3,2024-09-07 09:33:11:111,1,489,57,0,637,5662,489,0 137,0,2024-09-07 09:33:11:032,125443,0.6,122226,0.7,239586,0.5,324945,2.00 137,1,2024-09-07 09:33:10:577,856635,856635,0,0,402131281521,4218307482,843442,10523,2670,366,391898,0 137,2,2024-09-07 09:33:11:706,617505,617505,0,0,30939704,0,3185 137,3,2024-09-07 09:33:10:773,1,489,8,0,484,6277,489,0 138,0,2024-09-07 09:33:11:789,120728,0.9,120812,0.9,242456,1.1,322135,2.25 138,1,2024-09-07 09:33:11:688,857357,857357,0,0,403265887049,4231423570,845051,10339,1967,368,391954,0 138,2,2024-09-07 09:33:10:605,614077,614077,0,0,28502516,0,4988 138,3,2024-09-07 09:33:10:642,1,489,6,0,1200,7845,489,0 139,0,2024-09-07 09:33:11:388,122917,1.3,123289,1.0,246670,1.9,329709,2.50 139,1,2024-09-07 09:33:10:574,852859,852859,0,0,400384050520,4250948999,837088,12314,3457,380,392058,0 139,2,2024-09-07 09:33:10:695,615844,615844,0,0,32040461,0,3097 139,3,2024-09-07 09:33:11:664,1,489,5,0,432,5755,489,0 140,0,2024-09-07 09:33:11:590,121927,0.3,121032,0.5,243019,0.2,323678,1.75 140,1,2024-09-07 09:33:11:539,862259,862259,0,0,405038248924,4175093073,858210,3588,461,364,391606,0 140,2,2024-09-07 09:33:10:690,621076,621075,1,0,24264897,0,5036 140,3,2024-09-07 09:33:10:767,1,489,7,0,297,4726,489,0 141,0,2024-09-07 09:33:11:703,122459,0.3,125605,0.4,239805,0.2,324995,1.50 141,1,2024-09-07 09:33:10:862,860797,860797,0,0,404711857933,4205205555,853933,5779,1085,379,391614,0 141,2,2024-09-07 09:33:11:691,618515,618504,11,0,25795779,0,5369 141,3,2024-09-07 09:33:11:046,1,489,1,0,391,5431,489,0 142,0,2024-09-07 09:33:11:339,123267,0.3,122422,0.5,245458,0.3,326768,1.50 142,1,2024-09-07 09:33:10:585,858712,858712,0,0,403500089006,4206142979,853171,5083,458,382,392102,0 142,2,2024-09-07 09:33:11:300,616819,616787,32,0,26194989,0,6028 142,3,2024-09-07 09:33:11:747,1,489,6,0,484,5214,489,0 143,0,2024-09-07 09:33:11:387,123565,0.4,123551,0.6,247624,0.4,329616,1.75 143,1,2024-09-07 09:33:10:558,860692,860692,0,0,404247129560,4196273913,854779,5360,553,367,391705,0 143,2,2024-09-07 09:33:10:773,620180,620180,0,0,25358966,0,3123 143,3,2024-09-07 09:33:11:143,1,489,10,0,462,6233,489,0 144,0,2024-09-07 09:33:11:506,118998,0.7,122356,0.8,249162,0.7,326065,2.25 144,1,2024-09-07 09:33:10:581,856571,856571,0,0,401704790239,4218670276,847411,7198,1962,381,391733,0 144,2,2024-09-07 09:33:11:758,620381,620381,0,0,24451577,0,3673 144,3,2024-09-07 09:33:11:747,1,489,1,0,249,4884,489,0 145,0,2024-09-07 09:33:11:388,119089,0.6,119047,0.8,252595,0.5,325353,2.25 145,1,2024-09-07 09:33:10:599,855313,855313,0,0,401825572595,4234615775,843104,9750,2459,382,391759,0 145,2,2024-09-07 09:33:11:432,615795,615713,82,0,30880290,0,7814 145,3,2024-09-07 09:33:10:905,1,489,2,0,622,6824,489,0 146,0,2024-09-07 09:33:11:630,121932,0.6,121464,0.7,243948,0.5,323981,2.25 146,1,2024-09-07 09:33:11:588,857188,857188,0,0,402459483863,4233655956,843228,10255,3705,367,391770,0 146,2,2024-09-07 09:33:11:699,616874,616874,0,0,28385301,0,3290 146,3,2024-09-07 09:33:11:275,1,489,96,0,1520,8573,489,0 147,0,2024-09-07 09:33:11:712,123558,0.7,123302,0.8,245946,0.7,328945,2.25 147,1,2024-09-07 09:33:11:389,860319,860319,0,0,403914550049,4198164000,853329,6140,850,367,391791,0 147,2,2024-09-07 09:33:11:050,616049,616049,0,0,25405866,0,2968 147,3,2024-09-07 09:33:10:917,1,489,2,0,1626,8239,489,0 0,0,2024-09-07 09:33:21:737,118204,0.6,118253,0.7,250817,0.6,324009,2.00 0,1,2024-09-07 09:33:20:813,859109,859109,0,0,403980632450,4233555840,851626,6644,839,369,391896,0 0,2,2024-09-07 09:33:21:067,621398,621398,0,0,25944805,0,4480 0,3,2024-09-07 09:33:20:982,1,490,2,0,431,7027,490,0 1,0,2024-09-07 09:33:21:776,122296,1.0,121812,1.0,244102,1.3,326374,2.00 1,1,2024-09-07 09:33:20:596,858495,858495,0,0,402439275572,4219490902,850097,6932,1466,370,391859,0 1,2,2024-09-07 09:33:20:640,616348,616348,0,0,24961387,0,3380 1,3,2024-09-07 09:33:21:323,1,490,11,0,269,6342,490,0 2,0,2024-09-07 09:33:21:590,124436,0.6,124358,0.7,248645,0.6,331604,2.00 2,1,2024-09-07 09:33:20:866,861463,861463,0,0,404753974690,4207207110,856934,3727,802,380,391745,0 2,2,2024-09-07 09:33:21:266,622183,622183,0,0,23549818,0,3594 2,3,2024-09-07 09:33:20:691,1,490,251,0,357,4613,490,0 3,0,2024-09-07 09:33:21:777,121489,0.4,121405,0.6,242346,0.4,323052,2.00 3,1,2024-09-07 09:33:21:620,859970,859970,0,0,403945174024,4207724473,852687,6588,695,379,391716,0 3,2,2024-09-07 09:33:21:158,621846,621823,23,0,24987222,0,5851 3,3,2024-09-07 09:33:21:753,1,490,1,0,207,3109,490,0 4,0,2024-09-07 09:33:21:761,118149,0.4,121392,0.5,247604,0.3,323459,1.75 4,1,2024-09-07 09:33:20:611,856525,856525,0,0,402150615727,4263583967,840765,12094,3666,370,391992,0 4,2,2024-09-07 09:33:21:020,616854,616854,0,0,30856862,0,4534 4,3,2024-09-07 09:33:21:029,1,490,0,0,448,6446,490,0 5,0,2024-09-07 09:33:21:413,121382,0.4,121992,0.6,243436,0.4,323499,1.75 5,1,2024-09-07 09:33:20:758,858009,858009,0,0,402881535040,4244985851,845420,10177,2412,367,392005,0 5,2,2024-09-07 09:33:21:843,613568,613568,0,0,29652566,0,3582 5,3,2024-09-07 09:33:21:733,1,490,11,0,457,6891,490,0 6,0,2024-09-07 09:33:20:917,124320,0.5,123960,0.7,248125,0.5,331429,2.00 6,1,2024-09-07 09:33:20:756,860071,860071,0,0,403036333404,4212816137,850874,7754,1443,379,391702,0 6,2,2024-09-07 09:33:21:123,621014,620996,18,0,28633451,0,5535 6,3,2024-09-07 09:33:21:275,1,490,13,0,710,6157,490,0 7,0,2024-09-07 09:33:21:535,120922,0.6,121842,0.7,242472,0.5,322602,2.00 7,1,2024-09-07 09:33:20:853,857840,857840,0,0,403270349156,4240390447,844988,10621,2231,382,391747,0 7,2,2024-09-07 09:33:20:776,620818,620818,0,0,28112846,0,4791 7,3,2024-09-07 09:33:20:851,1,490,2,0,552,5707,490,0 8,0,2024-09-07 09:33:21:451,122959,0.3,122710,0.5,246039,0.3,327226,1.75 8,1,2024-09-07 09:33:21:027,857149,857149,0,0,402017938776,4229685625,843378,10722,3049,366,392853,0 8,2,2024-09-07 09:33:20:802,614756,614756,0,0,32832570,0,3481 8,3,2024-09-07 09:33:20:606,1,490,0,0,538,7621,490,0 9,0,2024-09-07 09:33:21:177,122856,0.4,119567,0.5,250237,0.3,327901,1.75 9,1,2024-09-07 09:33:20:577,856713,856713,0,0,402847128132,4244881450,843829,10344,2540,369,392001,0 9,2,2024-09-07 09:33:21:090,617814,617814,0,0,29818908,0,4615 9,3,2024-09-07 09:33:21:760,1,490,76,0,1273,8448,490,0 10,0,2024-09-07 09:33:21:615,123508,0.4,123120,0.5,247157,0.3,329287,1.75 10,1,2024-09-07 09:33:20:583,859770,859770,0,0,402403505528,4218474338,847977,9853,1940,381,391741,0 10,2,2024-09-07 09:33:20:766,619249,619249,0,0,32208805,0,4264 10,3,2024-09-07 09:33:20:874,1,490,1,0,649,5133,490,0 11,0,2024-09-07 09:33:21:012,123613,0.5,119960,0.7,250553,0.5,330178,1.75 11,1,2024-09-07 09:33:20:575,859675,859675,0,0,404220341152,4240914960,846812,9743,3120,383,391756,0 11,2,2024-09-07 09:33:21:130,619235,619235,0,0,28682785,0,4130 11,3,2024-09-07 09:33:21:303,1,490,1,0,843,6629,490,0 12,0,2024-09-07 09:33:20:968,124123,0.4,124100,0.5,248214,0.3,329890,1.75 12,1,2024-09-07 09:33:20:938,859513,859513,0,0,403500693431,4209116227,852666,6187,660,370,391960,0 12,2,2024-09-07 09:33:21:542,619809,619809,0,0,27974354,0,4390 12,3,2024-09-07 09:33:21:064,1,490,2,0,386,6789,490,0 13,0,2024-09-07 09:33:21:353,122983,0.4,122602,0.6,244587,0.4,326095,1.75 13,1,2024-09-07 09:33:21:523,857856,857856,0,0,403384607436,4241319557,848726,6979,2151,382,391740,0 13,2,2024-09-07 09:33:20:599,621613,621613,0,0,25379201,0,3287 13,3,2024-09-07 09:33:21:766,1,490,8,0,522,7023,490,0 14,0,2024-09-07 09:33:20:569,123078,0.4,124145,0.6,245956,0.4,328342,1.75 14,1,2024-09-07 09:33:21:568,863539,863539,0,0,405788679984,4200434219,856996,5829,714,364,391673,0 14,2,2024-09-07 09:33:20:766,617200,617170,30,0,26454386,0,6104 14,3,2024-09-07 09:33:21:122,1,490,4,0,1168,5280,490,0 15,0,2024-09-07 09:33:21:565,123737,0.4,123349,0.6,247648,0.3,329495,2.00 15,1,2024-09-07 09:33:21:610,860779,860779,0,0,404653768832,4214453391,853683,5640,1456,381,391619,0 15,2,2024-09-07 09:33:21:017,622434,622434,0,0,22440168,0,3622 15,3,2024-09-07 09:33:21:428,1,490,16,0,1126,7262,490,0 16,0,2024-09-07 09:33:20:947,121912,0.5,122657,0.7,244223,0.5,325223,2.00 16,1,2024-09-07 09:33:20:586,860597,860597,0,0,403891138716,4225576428,852429,6872,1296,370,391917,0 16,2,2024-09-07 09:33:21:440,620925,620925,0,0,26966530,0,4719 16,3,2024-09-07 09:33:21:155,1,490,4,0,358,6323,490,0 17,0,2024-09-07 09:33:21:794,125437,0.6,122404,0.7,239733,0.6,325173,2.00 17,1,2024-09-07 09:33:20:577,858730,858730,0,0,403238835689,4232828452,849835,7150,1745,368,392075,0 17,2,2024-09-07 09:33:21:669,622325,622324,1,0,27435547,0,5050 17,3,2024-09-07 09:33:20:583,1,490,9,0,518,7549,490,0 18,0,2024-09-07 09:33:20:998,120389,0.6,121059,0.8,241235,0.7,322402,2.25 18,1,2024-09-07 09:33:21:640,862596,862596,0,0,405136579148,4202996705,857096,4643,857,367,391725,0 18,2,2024-09-07 09:33:21:755,617989,617989,0,0,24248926,0,3541 18,3,2024-09-07 09:33:20:896,1,490,0,0,1059,4835,490,0 19,0,2024-09-07 09:33:21:542,123903,0.6,124360,0.8,247030,0.6,329662,2.25 19,1,2024-09-07 09:33:20:575,862195,862195,0,0,404963144335,4202524322,855256,5855,1084,367,391777,0 19,2,2024-09-07 09:33:21:752,624048,624048,0,0,22753151,0,3988 19,3,2024-09-07 09:33:21:129,1,490,1,0,524,4074,490,0 20,0,2024-09-07 09:33:21:421,121287,0.5,121416,0.7,242470,0.5,323240,2.00 20,1,2024-09-07 09:33:20:578,859258,859258,0,0,403463446599,4230183735,850137,7759,1362,369,391922,0 20,2,2024-09-07 09:33:20:934,620319,620319,0,0,27641199,0,3721 20,3,2024-09-07 09:33:20:597,1,490,7,0,468,8626,490,0 21,0,2024-09-07 09:33:21:182,122170,0.4,122300,0.6,244486,0.4,324234,1.75 21,1,2024-09-07 09:33:21:556,856877,856877,0,0,402298168733,4250111394,842834,10927,3116,368,392016,0 21,2,2024-09-07 09:33:21:072,616347,616327,20,0,32813298,0,5617 21,3,2024-09-07 09:33:21:410,1,490,12,0,713,6442,490,0 22,0,2024-09-07 09:33:21:717,122446,0.5,122843,0.7,245351,0.4,324858,2.00 22,1,2024-09-07 09:33:21:030,858106,858106,0,0,402682962837,4243897177,843111,11772,3223,382,391822,0 22,2,2024-09-07 09:33:20:760,616224,616198,26,0,27552274,0,6328 22,3,2024-09-07 09:33:21:075,1,490,2,0,228,4190,490,0 23,0,2024-09-07 09:33:21:377,123473,0.5,122975,0.7,246141,0.5,328597,2.25 23,1,2024-09-07 09:33:21:017,859248,859248,0,0,403817227237,4244148018,843632,11208,4408,365,391690,0 23,2,2024-09-07 09:33:21:107,621649,621649,0,0,25978794,0,3773 23,3,2024-09-07 09:33:21:754,1,490,1,0,720,6662,490,0 24,0,2024-09-07 09:33:20:947,123926,0.4,123068,0.6,247461,0.4,328170,1.75 24,1,2024-09-07 09:33:20:593,858418,858418,0,0,403039115241,4222540082,849731,7023,1664,367,392269,0 24,2,2024-09-07 09:33:21:084,620416,620416,0,0,30188801,0,3607 24,3,2024-09-07 09:33:21:708,1,490,13,0,468,6405,490,0 25,0,2024-09-07 09:33:21:401,126698,0.4,123410,0.6,242155,0.4,329724,1.75 25,1,2024-09-07 09:33:20:568,857497,857497,0,0,403297389576,4255264270,843216,11532,2749,369,391928,0 25,2,2024-09-07 09:33:21:607,617620,617620,0,0,31948499,0,3978 25,3,2024-09-07 09:33:21:007,1,490,8,0,532,5513,490,0 26,0,2024-09-07 09:33:21:746,121950,0.4,119249,0.6,250186,0.4,325700,1.75 26,1,2024-09-07 09:33:21:541,860640,860640,0,0,403540580126,4228586517,848220,10007,2413,380,391748,0 26,2,2024-09-07 09:33:20:861,619043,619043,0,0,33509359,0,4689 26,3,2024-09-07 09:33:21:722,1,490,5,0,796,5622,490,0 27,0,2024-09-07 09:33:21:768,123798,0.5,124180,0.6,246771,0.4,329474,2.25 27,1,2024-09-07 09:33:21:681,861498,861498,0,0,405082788999,4221721847,852974,7257,1267,381,391626,0 27,2,2024-09-07 09:33:20:871,615746,615681,65,0,28916293,0,5699 27,3,2024-09-07 09:33:21:027,1,490,19,0,564,4440,490,0 28,0,2024-09-07 09:33:21:437,124112,0.4,123621,0.6,248236,0.3,330665,1.75 28,1,2024-09-07 09:33:20:810,861395,861395,0,0,404859602304,4223019273,853899,5796,1700,382,391698,0 28,2,2024-09-07 09:33:21:767,620474,620474,0,0,25510026,0,2915 28,3,2024-09-07 09:33:21:784,1,490,6,0,502,5043,490,0 29,0,2024-09-07 09:33:21:418,126285,0.4,123057,0.6,241189,0.3,329178,1.75 29,1,2024-09-07 09:33:21:570,864012,864012,0,0,405560516023,4196802886,858667,4586,759,368,391809,0 29,2,2024-09-07 09:33:20:869,620458,620458,0,0,24758247,0,4986 29,3,2024-09-07 09:33:20:965,1,490,8,0,459,5564,490,0 30,0,2024-09-07 09:33:21:457,121499,0.5,117998,0.7,246964,0.4,322929,2.00 30,1,2024-09-07 09:33:20:577,863016,863016,0,0,405719070278,4212420419,856368,5799,849,380,391672,0 30,2,2024-09-07 09:33:21:275,620995,620995,0,0,24236516,0,4192 30,3,2024-09-07 09:33:20:594,1,490,4,0,519,4926,490,0 31,0,2024-09-07 09:33:21:761,122057,0.5,122425,0.7,244480,0.4,326430,2.00 31,1,2024-09-07 09:33:20:576,865697,865697,0,0,407054274303,4176193827,861343,3553,801,356,391712,0 31,2,2024-09-07 09:33:21:290,615417,615417,0,0,26395895,0,4470 31,3,2024-09-07 09:33:21:712,1,490,0,0,220,4177,490,0 32,0,2024-09-07 09:33:21:428,124856,0.4,125590,0.5,249861,0.3,333450,1.75 32,1,2024-09-07 09:33:20:819,861647,861647,0,0,404981672003,4212336974,856108,4832,707,381,391646,0 32,2,2024-09-07 09:33:20:935,622224,622224,0,0,23284411,0,3922 32,3,2024-09-07 09:33:21:024,1,490,1,0,304,3972,490,0 33,0,2024-09-07 09:33:21:497,122046,0.3,121617,0.4,243406,0.2,324139,1.50 33,1,2024-09-07 09:33:20:680,862852,862852,0,0,405459327979,4204147373,855635,6045,1172,368,391730,0 33,2,2024-09-07 09:33:20:778,622253,622218,35,0,25944631,0,7012 33,3,2024-09-07 09:33:20:899,1,490,25,0,329,4730,490,0 34,0,2024-09-07 09:33:20:936,122084,0.3,125457,0.4,239797,0.2,322765,1.75 34,1,2024-09-07 09:33:21:050,864708,864708,0,0,406174077858,4178800911,862325,2361,22,367,391562,0 34,2,2024-09-07 09:33:20:766,621377,621377,0,0,24516000,0,4562 34,3,2024-09-07 09:33:21:690,1,490,1,0,320,3963,490,0 35,0,2024-09-07 09:33:20:870,120842,0.3,121506,0.5,244113,0.3,324271,1.75 35,1,2024-09-07 09:33:21:108,862167,862167,0,0,405347128432,4205794331,856275,4833,1059,382,391769,0 35,2,2024-09-07 09:33:21:582,616124,616124,0,0,26759616,0,4055 35,3,2024-09-07 09:33:20:913,1,490,1,0,418,4764,490,0 36,0,2024-09-07 09:33:21:520,124628,0.5,124578,0.7,248964,0.5,332003,2.25 36,1,2024-09-07 09:33:20:597,860869,860869,0,0,403550686478,4219835425,848908,9783,2178,366,391759,0 36,2,2024-09-07 09:33:21:751,621808,621808,0,0,28434010,0,3875 36,3,2024-09-07 09:33:20:869,1,490,0,0,416,7053,490,0 37,0,2024-09-07 09:33:21:422,121149,0.5,121097,0.7,242565,0.5,323086,2.25 37,1,2024-09-07 09:33:20:583,859305,859298,0,7,403872121701,4221834772,848342,8283,2673,365,391770,0 37,2,2024-09-07 09:33:21:141,619197,619182,15,0,28386579,0,5815 37,3,2024-09-07 09:33:21:767,1,490,9,0,888,7109,490,0 38,0,2024-09-07 09:33:21:451,122113,0.4,118489,0.6,247905,0.4,324437,2.00 38,1,2024-09-07 09:33:21:607,860978,860978,0,0,404903813488,4238494378,847804,10656,2518,368,391821,0 38,2,2024-09-07 09:33:20:766,617828,617781,47,0,28732747,0,6710 38,3,2024-09-07 09:33:21:004,1,490,0,0,689,6520,490,0 39,0,2024-09-07 09:33:21:761,125856,0.5,123063,0.7,239877,0.5,327306,2.00 39,1,2024-09-07 09:33:20:750,859134,859134,0,0,403016791973,4235409065,843372,12293,3469,365,391658,0 39,2,2024-09-07 09:33:21:421,619123,619123,0,0,26854778,0,3391 39,3,2024-09-07 09:33:20:714,1,490,2,0,525,5914,490,0 40,0,2024-09-07 09:33:21:500,122249,0.8,122944,1.0,245404,1.0,327485,2.75 40,1,2024-09-07 09:33:20:583,861069,861069,0,0,403191942015,4221171922,849113,9778,2178,368,391668,0 40,2,2024-09-07 09:33:21:304,618047,618046,1,0,31827261,0,5137 40,3,2024-09-07 09:33:21:145,1,490,5,0,1028,6372,490,0 41,0,2024-09-07 09:33:21:027,122865,1.4,125466,1.2,239707,2.0,326098,3.25 41,1,2024-09-07 09:33:20:777,859360,859360,0,0,403690256311,4225502448,848261,9390,1709,369,391742,0 41,2,2024-09-07 09:33:20:759,618042,618042,0,0,29725715,0,4277 41,3,2024-09-07 09:33:21:679,1,490,8,0,366,5090,490,0 42,0,2024-09-07 09:33:21:485,122673,0.8,122360,1.0,244957,0.9,324803,2.50 42,1,2024-09-07 09:33:21:439,856929,856929,0,0,402533727614,4237028273,842172,11895,2862,380,391675,0 42,2,2024-09-07 09:33:21:137,619003,619003,0,0,29419947,0,3975 42,3,2024-09-07 09:33:21:019,1,490,0,0,892,4549,490,0 43,0,2024-09-07 09:33:20:918,120935,0.7,117787,0.9,246405,0.7,323163,2.25 43,1,2024-09-07 09:33:20:594,859895,859895,0,0,403661847541,4221951496,847278,10360,2257,366,391696,0 43,2,2024-09-07 09:33:21:759,620579,620579,0,0,28986894,0,3812 43,3,2024-09-07 09:33:21:749,1,490,92,0,571,7033,490,0 44,0,2024-09-07 09:33:20:859,123209,0.4,123290,0.6,247097,0.4,328908,2.00 44,1,2024-09-07 09:33:20:568,862790,862790,0,0,405107108792,4187881946,855290,5950,1550,356,391809,0 44,2,2024-09-07 09:33:21:268,615523,615523,0,0,23781080,0,4344 44,3,2024-09-07 09:33:21:110,1,490,1,0,817,5468,490,0 45,0,2024-09-07 09:33:21:769,122347,0.5,119684,0.7,250998,0.4,329445,2.00 45,1,2024-09-07 09:33:21:005,861497,861497,0,0,405755879303,4219016986,854261,6370,866,382,391917,0 45,2,2024-09-07 09:33:21:270,621845,621845,0,0,24075592,0,3596 45,3,2024-09-07 09:33:20:934,1,490,14,0,271,4385,490,0 46,0,2024-09-07 09:33:20:983,121508,0.5,120917,0.7,242698,0.4,322499,2.00 46,1,2024-09-07 09:33:20:594,863825,863825,0,0,405458529179,4188980328,858229,4866,730,366,391709,0 46,2,2024-09-07 09:33:20:594,621639,621639,0,0,24327488,0,4443 46,3,2024-09-07 09:33:21:135,1,490,1,0,908,5740,490,0 47,0,2024-09-07 09:33:21:125,121877,0.4,122226,0.6,244810,0.4,324070,1.75 47,1,2024-09-07 09:33:20:577,863896,863896,0,0,404770742972,4182707509,858757,4300,839,366,391641,0 47,2,2024-09-07 09:33:20:909,623171,623171,0,0,24453897,0,4477 47,3,2024-09-07 09:33:21:116,1,490,3,0,600,5640,490,0 48,0,2024-09-07 09:33:21:745,122139,0.3,122145,0.4,243087,0.2,324467,1.50 48,1,2024-09-07 09:33:21:048,861962,861962,0,0,404556305320,4205496189,856320,5070,572,384,391710,0 48,2,2024-09-07 09:33:20:703,616475,616475,0,0,22769691,0,3411 48,3,2024-09-07 09:33:20:758,1,490,1,0,339,3873,490,0 49,0,2024-09-07 09:33:21:745,127590,0.4,125330,0.5,243514,0.3,333306,1.75 49,1,2024-09-07 09:33:21:029,861419,861419,0,0,404281506462,4205991531,855862,4347,1210,382,391809,0 49,2,2024-09-07 09:33:21:809,622628,622628,0,0,24992559,0,4426 49,3,2024-09-07 09:33:21:422,1,490,8,0,992,5667,490,0 50,0,2024-09-07 09:33:21:512,122027,0.3,120335,0.5,242512,0.2,323129,1.75 50,1,2024-09-07 09:33:21:019,864074,864074,0,0,406648360919,4206031967,858382,5029,663,368,391565,0 50,2,2024-09-07 09:33:21:076,621270,621270,0,0,23128415,0,4490 50,3,2024-09-07 09:33:21:295,1,490,3,0,617,5393,490,0 51,0,2024-09-07 09:33:21:688,125288,0.3,122738,0.5,239023,0.2,325744,1.75 51,1,2024-09-07 09:33:21:680,864998,864998,0,0,406315859974,4191530428,860466,3478,1054,365,391706,0 51,2,2024-09-07 09:33:21:316,620293,620293,0,0,22089895,0,3337 51,3,2024-09-07 09:33:21:028,1,490,1,0,678,3729,490,0 52,0,2024-09-07 09:33:21:444,122984,0.5,122667,0.7,245551,0.5,325796,2.00 52,1,2024-09-07 09:33:20:576,860075,860075,0,0,404103745643,4235439564,846949,11022,2104,368,391722,0 52,2,2024-09-07 09:33:21:757,614328,614290,38,0,29950369,0,6742 52,3,2024-09-07 09:33:20:674,1,490,1,0,1782,6519,490,0 53,0,2024-09-07 09:33:21:735,123046,0.7,119404,0.8,249977,0.8,328273,2.50 53,1,2024-09-07 09:33:20:771,858182,858182,0,0,403851557270,4244460168,842893,11445,3844,367,391968,0 53,2,2024-09-07 09:33:21:310,620888,620887,1,0,26747818,0,5455 53,3,2024-09-07 09:33:20:701,1,490,9,0,308,5025,490,0 54,0,2024-09-07 09:33:21:617,120928,0.6,121236,0.8,241486,0.5,322009,2.25 54,1,2024-09-07 09:33:20:587,860431,860431,0,0,405344464419,4220491902,850570,8227,1634,366,391810,0 54,2,2024-09-07 09:33:20:870,620835,620803,32,0,30564632,0,6397 54,3,2024-09-07 09:33:20:766,1,490,1,0,676,6820,490,0 55,0,2024-09-07 09:33:21:760,119147,0.6,122811,0.7,248871,0.5,324236,2.50 55,1,2024-09-07 09:33:20:772,861900,861900,0,0,404936543261,4214342301,852314,8268,1318,365,391731,0 55,2,2024-09-07 09:33:20:732,618944,618888,56,0,28918162,0,7239 55,3,2024-09-07 09:33:20:674,1,490,0,0,304,4724,490,0 56,0,2024-09-07 09:33:21:561,124896,1.2,117696,1.1,242686,1.5,324884,2.75 56,1,2024-09-07 09:33:20:577,857015,857015,0,0,402574369016,4254143376,843337,10789,2889,381,391867,0 56,2,2024-09-07 09:33:21:315,618996,618874,122,0,30154706,0,7432 56,3,2024-09-07 09:33:21:063,1,490,35,0,705,6019,490,0 57,0,2024-09-07 09:33:20:935,121831,1.7,121787,1.3,243807,2.3,326012,3.50 57,1,2024-09-07 09:33:20:995,858451,858451,0,0,402646468518,4226834863,846967,9284,2200,366,392032,0 57,2,2024-09-07 09:33:21:329,617707,617707,0,0,30574182,0,4317 57,3,2024-09-07 09:33:21:756,1,490,13,0,455,5628,490,0 58,0,2024-09-07 09:33:20:560,121963,0.9,118473,1.0,247606,1.0,324464,2.50 58,1,2024-09-07 09:33:20:586,859765,859762,0,3,404400226770,4239671368,846614,9950,3198,367,391603,3 58,2,2024-09-07 09:33:21:072,619865,619865,0,0,28851179,0,3483 58,3,2024-09-07 09:33:21:072,1,490,1,0,1043,5277,490,0 59,0,2024-09-07 09:33:21:755,122405,0.8,122052,1.0,243637,0.9,323237,2.75 59,1,2024-09-07 09:33:20:804,859088,859088,0,0,403615369012,4237886890,845623,10938,2527,369,391653,0 59,2,2024-09-07 09:33:20:586,619984,619984,0,0,27993907,0,3727 59,3,2024-09-07 09:33:21:742,1,490,13,0,1015,6332,490,0 60,0,2024-09-07 09:33:21:722,121676,0.5,121774,0.7,243877,0.5,323912,1.75 60,1,2024-09-07 09:33:20:782,862900,862900,0,0,405134394890,4205262764,856963,5008,929,370,392031,0 60,2,2024-09-07 09:33:21:143,620594,620594,0,0,26475885,0,3811 60,3,2024-09-07 09:33:21:271,1,490,15,0,409,6023,490,0 61,0,2024-09-07 09:33:21:494,122163,0.6,122779,0.8,244550,0.6,326257,2.00 61,1,2024-09-07 09:33:20:776,860081,860081,0,0,404004724481,4232741292,850394,8110,1577,382,392127,0 61,2,2024-09-07 09:33:21:122,616147,616080,67,0,26811829,0,6411 61,3,2024-09-07 09:33:21:695,1,490,26,0,479,6618,490,0 62,0,2024-09-07 09:33:21:727,125130,0.5,128256,0.7,244935,0.5,333247,2.00 62,1,2024-09-07 09:33:21:111,865029,865023,0,6,406581039769,4190991623,861059,3700,264,365,391975,6 62,2,2024-09-07 09:33:21:646,619565,619564,1,0,26313143,0,5555 62,3,2024-09-07 09:33:21:151,1,490,23,0,482,4064,490,0 63,0,2024-09-07 09:33:21:493,122004,0.4,121760,0.6,244326,0.4,324478,1.75 63,1,2024-09-07 09:33:20:804,862405,862399,0,6,405646093958,4210331191,857047,4618,734,381,391800,6 63,2,2024-09-07 09:33:20:766,621335,621335,0,0,25207950,0,4369 63,3,2024-09-07 09:33:21:737,1,490,1,0,667,5206,490,0 64,0,2024-09-07 09:33:21:512,121341,0.5,121475,0.7,242375,0.4,321992,2.00 64,1,2024-09-07 09:33:20:757,861917,861917,0,0,404708427768,4217027363,854313,5838,1766,370,391783,0 64,2,2024-09-07 09:33:21:141,623690,623671,19,0,24788026,0,6121 64,3,2024-09-07 09:33:21:140,1,490,1,0,651,5337,490,0 65,0,2024-09-07 09:33:21:726,120680,0.7,120946,0.8,241385,0.7,321580,2.00 65,1,2024-09-07 09:33:20:861,859714,859714,0,0,403660380886,4222326682,853039,5882,793,381,391901,0 65,2,2024-09-07 09:33:21:725,616517,616517,0,0,28894525,0,3367 65,3,2024-09-07 09:33:21:699,1,490,70,0,782,6004,490,0 66,0,2024-09-07 09:33:21:763,123911,0.6,123541,0.8,247133,0.5,329482,2.25 66,1,2024-09-07 09:33:21:302,861810,861810,0,0,405087237884,4219219881,855681,5407,722,380,391743,0 66,2,2024-09-07 09:33:21:138,623340,623337,3,0,26372658,0,5455 66,3,2024-09-07 09:33:21:081,1,490,28,0,291,4331,490,0 67,0,2024-09-07 09:33:21:431,121525,0.6,121181,0.7,243317,0.5,323257,2.25 67,1,2024-09-07 09:33:20:766,861418,861417,0,1,404913526902,4217487120,855348,5260,809,380,391787,1 67,2,2024-09-07 09:33:20:592,623035,623020,15,0,25484505,0,6205 67,3,2024-09-07 09:33:21:750,1,490,76,0,392,4833,490,0 68,0,2024-09-07 09:33:20:634,122953,0.5,122918,0.7,244839,0.5,326894,2.00 68,1,2024-09-07 09:33:20:577,857885,857885,0,0,403006731032,4242933044,845684,8802,3399,381,391953,0 68,2,2024-09-07 09:33:21:058,615437,615337,100,0,33089054,0,8578 68,3,2024-09-07 09:33:20:729,1,490,5,0,417,6354,490,0 69,0,2024-09-07 09:33:21:729,122234,0.7,123008,0.8,245151,0.7,325452,2.25 69,1,2024-09-07 09:33:21:028,857554,857554,0,0,402630394603,4248718486,845485,9310,2759,384,391994,0 69,2,2024-09-07 09:33:21:734,617487,617458,29,0,34192687,0,6912 69,3,2024-09-07 09:33:20:760,1,490,10,0,698,7475,490,0 70,0,2024-09-07 09:33:21:536,122106,1.1,122478,1.1,246394,1.0,326381,2.75 70,1,2024-09-07 09:33:20:805,862372,862372,0,0,405547337515,4211758546,855199,6412,761,366,391725,0 70,2,2024-09-07 09:33:21:330,619391,619391,0,0,28800107,0,4323 70,3,2024-09-07 09:33:20:748,1,490,0,0,854,5649,490,0 71,0,2024-09-07 09:33:21:391,122287,1.3,121931,1.1,245224,1.8,327232,3.00 71,1,2024-09-07 09:33:21:607,860012,860012,0,0,404822459690,4230992188,848709,10048,1255,368,391738,0 71,2,2024-09-07 09:33:21:075,619116,619116,0,0,29697340,0,4352 71,3,2024-09-07 09:33:21:750,1,490,3,0,644,6049,490,0 72,0,2024-09-07 09:33:21:048,127329,0.5,124475,0.7,242720,0.5,330116,2.00 72,1,2024-09-07 09:33:21:028,858670,858670,0,0,403188816849,4233942938,845829,10669,2172,369,391819,0 72,2,2024-09-07 09:33:21:755,618656,618656,0,0,30765211,0,3983 72,3,2024-09-07 09:33:21:754,1,490,1,0,564,7209,490,0 73,0,2024-09-07 09:33:21:115,118922,0.4,122100,0.6,249263,0.4,324094,2.00 73,1,2024-09-07 09:33:20:769,861358,861358,0,0,404485950348,4202214713,855212,5722,424,367,391858,0 73,2,2024-09-07 09:33:21:748,619684,619684,0,0,30776460,0,4291 73,3,2024-09-07 09:33:20:981,1,490,11,0,486,6240,490,0 74,0,2024-09-07 09:33:21:352,124074,0.5,126960,0.7,242297,0.4,329288,2.25 74,1,2024-09-07 09:33:20:642,860255,860255,0,0,403608868338,4217710589,850232,8188,1835,381,391762,0 74,2,2024-09-07 09:33:21:017,616370,616370,0,0,26971099,0,4253 74,3,2024-09-07 09:33:21:456,1,490,1,0,522,6057,490,0 75,0,2024-09-07 09:33:21:771,124338,0.5,123506,0.7,248028,0.5,331068,2.25 75,1,2024-09-07 09:33:21:601,860986,860986,0,0,403931695772,4214868504,853148,6978,860,380,391739,0 75,2,2024-09-07 09:33:21:356,619429,619429,0,0,32067426,0,4766 75,3,2024-09-07 09:33:21:067,1,490,1,0,702,6057,490,0 76,0,2024-09-07 09:33:20:593,121285,0.5,120679,0.7,242044,0.5,324011,2.25 76,1,2024-09-07 09:33:20:805,860287,860287,0,0,403667516072,4210001316,854497,4960,830,382,391692,0 76,2,2024-09-07 09:33:21:065,623460,623457,3,0,27244464,0,5265 76,3,2024-09-07 09:33:21:157,1,490,0,0,227,4606,490,0 77,0,2024-09-07 09:33:21:741,121320,0.5,121772,0.7,243796,0.5,323122,2.00 77,1,2024-09-07 09:33:20:827,860987,860987,0,0,404369799697,4219455004,854437,5988,562,381,391869,0 77,2,2024-09-07 09:33:21:284,620112,620112,0,0,26472789,0,3890 77,3,2024-09-07 09:33:21:099,1,490,1,0,401,5333,490,0 78,0,2024-09-07 09:33:21:723,121891,0.4,121302,0.6,243464,0.4,323114,2.00 78,1,2024-09-07 09:33:20:611,861143,861143,0,0,403803573661,4210254091,851498,7416,2229,367,391670,0 78,2,2024-09-07 09:33:21:428,617191,617178,13,0,24407455,0,8313 78,3,2024-09-07 09:33:21:136,1,490,0,0,311,4370,490,0 79,0,2024-09-07 09:33:21:353,120056,0.4,123100,0.6,251922,0.4,328270,2.25 79,1,2024-09-07 09:33:20:573,863461,863461,0,0,405283830741,4200722814,856150,5958,1353,367,391682,0 79,2,2024-09-07 09:33:21:073,622247,622247,0,0,23735087,0,4195 79,3,2024-09-07 09:33:20:759,1,490,4,0,418,6072,490,0 80,0,2024-09-07 09:33:21:089,121199,0.5,124450,0.7,238318,0.5,322481,2.00 80,1,2024-09-07 09:33:21:630,860605,860605,0,0,404706209093,4215037761,854809,5373,423,368,392269,0 80,2,2024-09-07 09:33:21:100,623173,623173,0,0,24689322,0,4433 80,3,2024-09-07 09:33:20:575,1,490,0,0,296,5810,490,0 81,0,2024-09-07 09:33:21:557,122225,0.5,125192,0.7,238829,0.5,324381,2.00 81,1,2024-09-07 09:33:21:651,859528,859528,0,0,404197824127,4227718635,852257,6634,637,382,391885,0 81,2,2024-09-07 09:33:21:136,618620,618557,63,0,27734635,0,5932 81,3,2024-09-07 09:33:21:128,1,490,11,0,719,5763,490,0 82,0,2024-09-07 09:33:21:559,122289,0.5,122465,0.7,245275,0.4,325052,2.00 82,1,2024-09-07 09:33:20:585,861812,861808,0,4,404539178589,4208665339,856746,4243,819,381,391768,4 82,2,2024-09-07 09:33:21:695,619101,619101,0,0,23078902,0,4484 82,3,2024-09-07 09:33:21:757,1,490,1,0,363,4853,490,0 83,0,2024-09-07 09:33:21:548,123821,0.6,123653,0.7,246876,0.6,328504,2.25 83,1,2024-09-07 09:33:20:553,860530,860530,0,0,404360469469,4217131745,854406,5673,451,382,391709,0 83,2,2024-09-07 09:33:20:771,620449,620424,25,0,24691404,0,5612 83,3,2024-09-07 09:33:20:749,1,490,2,0,1260,6251,490,0 84,0,2024-09-07 09:33:21:798,121771,0.8,121929,0.9,243508,0.8,325320,2.25 84,1,2024-09-07 09:33:21:051,859068,859068,0,0,403618352017,4223470932,849118,8430,1520,367,391967,0 84,2,2024-09-07 09:33:20:576,619334,619304,30,0,32741129,0,5971 84,3,2024-09-07 09:33:21:147,1,490,1,0,908,7231,490,0 85,0,2024-09-07 09:33:21:013,118648,0.6,118590,0.8,251673,0.5,325715,2.25 85,1,2024-09-07 09:33:20:568,856601,856601,0,0,402578234694,4256975312,842505,11444,2652,381,392092,0 85,2,2024-09-07 09:33:20:870,617848,617848,0,0,30822331,0,4255 85,3,2024-09-07 09:33:20:691,1,490,12,0,789,5756,490,0 86,0,2024-09-07 09:33:20:912,122094,0.6,125598,0.8,240303,0.7,325353,2.25 86,1,2024-09-07 09:33:20:829,859395,859395,0,0,403737262030,4235366625,848199,9229,1967,366,391961,0 86,2,2024-09-07 09:33:20:871,617411,617410,1,0,33270711,0,5004 86,3,2024-09-07 09:33:20:599,1,490,1,0,308,7240,490,0 87,0,2024-09-07 09:33:21:312,123577,1.0,123282,0.9,246850,1.3,329779,2.50 87,1,2024-09-07 09:33:20:551,858054,858054,0,0,403487923299,4234007831,845882,10461,1711,366,392076,0 87,2,2024-09-07 09:33:21:068,617312,617306,6,0,28765971,0,6323 87,3,2024-09-07 09:33:21:823,1,490,33,0,473,7493,490,0 88,0,2024-09-07 09:33:21:453,123605,0.4,124259,0.6,248244,0.4,330341,1.75 88,1,2024-09-07 09:33:20:569,857663,857663,0,0,402884850169,4222817783,845681,9575,2407,365,392084,0 88,2,2024-09-07 09:33:20:694,620551,620551,0,0,31364843,0,4465 88,3,2024-09-07 09:33:21:293,1,490,1,0,435,6001,490,0 89,0,2024-09-07 09:33:21:774,126351,0.4,122441,0.6,242165,0.4,329232,1.75 89,1,2024-09-07 09:33:20:562,857416,857416,0,0,404010158642,4252440673,846180,9421,1815,382,391866,0 89,2,2024-09-07 09:33:21:136,619865,619865,0,0,29821071,0,3173 89,3,2024-09-07 09:33:21:799,1,490,7,0,468,8165,490,0 90,0,2024-09-07 09:33:21:626,118110,0.4,121389,0.6,247411,0.4,322745,1.75 90,1,2024-09-07 09:33:20:595,859833,859833,0,0,403749410748,4226664628,851976,7196,661,380,391825,0 90,2,2024-09-07 09:33:21:414,618353,618353,0,0,31903367,0,3608 90,3,2024-09-07 09:33:20:937,1,490,1,0,322,6066,490,0 91,0,2024-09-07 09:33:20:934,122859,0.5,119036,0.6,248882,0.5,326801,1.75 91,1,2024-09-07 09:33:20:585,858252,858252,0,0,403999312330,4250280088,847059,9192,2001,381,392047,0 91,2,2024-09-07 09:33:21:346,616747,616747,0,0,27600565,0,3526 91,3,2024-09-07 09:33:20:606,1,490,0,0,216,4786,490,0 92,0,2024-09-07 09:33:21:451,126116,0.5,128940,0.6,245740,0.5,333398,1.75 92,1,2024-09-07 09:33:20:583,860346,860346,0,0,403251749122,4212488262,853756,5512,1078,381,392136,0 92,2,2024-09-07 09:33:21:369,622079,622079,0,0,24757237,0,3259 92,3,2024-09-07 09:33:21:028,1,490,2,0,167,4246,490,0 93,0,2024-09-07 09:33:20:954,122451,0.4,125533,0.6,239538,0.4,324610,1.75 93,1,2024-09-07 09:33:20:805,860186,860186,0,0,404053818497,4219187435,850718,7768,1700,366,391776,0 93,2,2024-09-07 09:33:20:927,621175,621175,0,0,30721711,0,4913 93,3,2024-09-07 09:33:21:413,1,490,7,0,190,4407,490,0 94,0,2024-09-07 09:33:21:605,121514,0.3,122144,0.5,244161,0.3,323739,1.75 94,1,2024-09-07 09:33:20:578,860571,860571,0,0,404772927936,4223253488,854778,5421,372,381,391850,0 94,2,2024-09-07 09:33:20:766,620020,619992,28,0,26041941,0,6179 94,3,2024-09-07 09:33:21:693,1,490,2,0,576,6287,490,0 95,0,2024-09-07 09:33:21:365,121827,0.3,121480,0.5,243483,0.3,324255,1.75 95,1,2024-09-07 09:33:20:860,862129,862129,0,0,404509795352,4204189246,855354,6294,481,365,391786,0 95,2,2024-09-07 09:33:21:021,616602,616602,0,0,24639470,0,3308 95,3,2024-09-07 09:33:21:708,1,490,8,0,718,7305,490,0 96,0,2024-09-07 09:33:21:049,124536,0.4,124709,0.6,249297,0.4,331320,1.75 96,1,2024-09-07 09:33:21:594,860411,860411,0,0,404083388515,4217443692,854044,5456,911,384,391955,0 96,2,2024-09-07 09:33:21:270,622029,622029,0,0,25716535,0,4180 96,3,2024-09-07 09:33:21:141,1,490,2,0,411,5311,490,0 97,0,2024-09-07 09:33:21:314,121801,0.3,121417,0.5,243891,0.3,323669,1.75 97,1,2024-09-07 09:33:20:767,862038,862038,0,0,405417924271,4206584541,856500,4602,936,367,392140,0 97,2,2024-09-07 09:33:20:611,621639,621639,0,0,25405897,0,3679 97,3,2024-09-07 09:33:20:576,1,490,7,0,242,5865,490,0 98,0,2024-09-07 09:33:21:712,122442,0.3,122664,0.4,246021,0.2,326424,1.50 98,1,2024-09-07 09:33:20:578,861496,861496,0,0,404237119465,4212516491,856109,4590,797,381,391997,0 98,2,2024-09-07 09:33:20:778,619313,619313,0,0,25302522,0,4336 98,3,2024-09-07 09:33:20:702,1,490,74,0,840,7677,490,0 99,0,2024-09-07 09:33:21:473,122856,0.3,123473,0.5,245672,0.3,327998,1.75 99,1,2024-09-07 09:33:21:732,861695,861695,0,0,403951260935,4207383993,855786,4988,921,380,392069,0 99,2,2024-09-07 09:33:21:423,619840,619840,0,0,30375669,0,4276 99,3,2024-09-07 09:33:20:598,1,490,1,0,606,5075,490,0 100,0,2024-09-07 09:33:21:473,123185,0.9,123542,1.0,246312,1.1,329772,2.50 100,1,2024-09-07 09:33:20:557,856267,856267,0,0,402054284055,4256191512,842078,11091,3098,378,391989,0 100,2,2024-09-07 09:33:21:824,616776,616765,11,0,29520152,0,5417 100,3,2024-09-07 09:33:21:734,1,490,31,0,627,8407,490,0 101,0,2024-09-07 09:33:21:772,126097,1.4,122912,1.2,240810,1.5,329385,2.50 101,1,2024-09-07 09:33:20:553,857517,857517,0,0,402851482445,4237666513,845301,9821,2395,368,391847,0 101,2,2024-09-07 09:33:21:756,616254,616254,0,0,34396142,0,4871 101,3,2024-09-07 09:33:20:942,1,490,10,0,1250,7698,490,0 102,0,2024-09-07 09:33:20:942,119957,0.6,123643,0.8,251113,0.6,328453,2.00 102,1,2024-09-07 09:33:21:142,857365,857365,0,0,403259076984,4240866566,845239,10107,2019,369,391891,0 102,2,2024-09-07 09:33:21:745,619516,619462,54,0,29525821,0,6768 102,3,2024-09-07 09:33:21:613,1,490,7,0,466,5991,490,0 103,0,2024-09-07 09:33:21:626,126024,0.5,126024,0.7,237424,0.5,326660,2.00 103,1,2024-09-07 09:33:21:625,856758,856758,0,0,402894402454,4257903706,842855,10706,3197,381,391862,0 103,2,2024-09-07 09:33:20:587,618782,618782,0,0,30880025,0,3766 103,3,2024-09-07 09:33:20:759,1,490,1,0,916,6044,490,0 104,0,2024-09-07 09:33:21:012,122224,0.7,122469,0.9,243902,0.7,326859,2.25 104,1,2024-09-07 09:33:21:603,859279,859279,0,0,403124567906,4238496169,846329,10403,2547,365,392168,0 104,2,2024-09-07 09:33:21:669,614555,614555,0,0,29499612,0,3941 104,3,2024-09-07 09:33:21:423,1,490,1,0,1245,8947,490,0 105,0,2024-09-07 09:33:21:035,122622,0.8,119529,1.0,250595,0.9,329108,2.50 105,1,2024-09-07 09:33:20:561,860377,860377,0,0,403931471872,4235613328,848876,9737,1764,366,392009,0 105,2,2024-09-07 09:33:21:346,619368,619368,0,0,29362280,0,3509 105,3,2024-09-07 09:33:21:310,1,490,18,0,399,7185,490,0 106,0,2024-09-07 09:33:20:940,117748,0.8,120536,0.9,247081,0.8,322781,2.50 106,1,2024-09-07 09:33:21:752,859336,859336,0,0,403448221465,4235617487,847032,10660,1644,368,391914,0 106,2,2024-09-07 09:33:20:760,620014,620014,0,0,28479315,0,2920 106,3,2024-09-07 09:33:20:684,1,490,6,0,1224,7520,490,0 107,0,2024-09-07 09:33:21:120,121517,0.8,121772,0.9,243317,0.8,324225,2.00 107,1,2024-09-07 09:33:20:589,857625,857625,0,0,402795244647,4248648630,845335,11010,1280,381,392234,0 107,2,2024-09-07 09:33:21:296,618405,618404,1,0,30973646,0,5024 107,3,2024-09-07 09:33:21:755,1,490,1,0,370,6971,490,0 108,0,2024-09-07 09:33:21:768,121358,0.4,122119,0.6,242894,0.4,324492,1.75 108,1,2024-09-07 09:33:21:333,860101,860101,0,0,403844425931,4211512371,853001,6262,838,367,391857,0 108,2,2024-09-07 09:33:21:755,615160,615160,0,0,27455990,0,4246 108,3,2024-09-07 09:33:21:356,1,490,173,0,749,9849,490,0 109,0,2024-09-07 09:33:21:774,124988,0.4,124026,0.6,248389,0.4,332350,1.75 109,1,2024-09-07 09:33:20:595,857920,857920,0,0,403825457643,4237681021,849900,6808,1212,382,392132,0 109,2,2024-09-07 09:33:20:993,619343,619343,0,0,27070106,0,3617 109,3,2024-09-07 09:33:21:154,1,490,9,0,630,6219,490,0 110,0,2024-09-07 09:33:21:757,121277,0.4,118003,0.6,247323,0.4,323763,1.75 110,1,2024-09-07 09:33:21:643,861953,861953,0,0,404914502658,4201061316,856030,4703,1220,368,392045,0 110,2,2024-09-07 09:33:21:312,621753,621753,0,0,26137629,0,4067 110,3,2024-09-07 09:33:20:700,1,490,1,0,722,7072,490,0 111,0,2024-09-07 09:33:21:438,122612,0.3,121966,0.5,244305,0.3,325707,1.75 111,1,2024-09-07 09:33:21:018,862983,862983,0,0,405128573736,4200972673,858655,3972,356,380,391690,0 111,2,2024-09-07 09:33:21:119,618826,618826,0,0,26131993,0,4823 111,3,2024-09-07 09:33:20:913,1,490,1,0,379,5727,490,0 112,0,2024-09-07 09:33:20:965,123292,0.3,122623,0.4,245990,0.2,326066,1.50 112,1,2024-09-07 09:33:20:828,861669,861669,0,0,405419976227,4211210615,855788,5192,689,380,391624,0 112,2,2024-09-07 09:33:21:139,617647,617646,1,0,25423559,0,5036 112,3,2024-09-07 09:33:20:592,1,490,13,0,282,4875,490,0 113,0,2024-09-07 09:33:20:872,123979,0.3,123941,0.5,248146,0.3,330434,1.75 113,1,2024-09-07 09:33:21:694,864283,864283,0,0,406403877176,4195162059,859359,4115,809,366,391661,0 113,2,2024-09-07 09:33:21:312,622931,622931,0,0,22778386,0,3813 113,3,2024-09-07 09:33:20:691,1,490,3,0,340,5322,490,0 114,0,2024-09-07 09:33:20:879,123230,0.3,124088,0.5,246569,0.3,329296,1.75 114,1,2024-09-07 09:33:20:732,862270,862270,0,0,404708154790,4204698238,855576,5078,1616,381,391565,0 114,2,2024-09-07 09:33:20:874,622289,622288,1,0,24619768,0,5069 114,3,2024-09-07 09:33:21:291,1,490,1,0,395,3949,490,0 115,0,2024-09-07 09:33:20:561,123725,0.3,124167,0.4,247693,0.2,329181,1.50 115,1,2024-09-07 09:33:20:577,862374,862374,0,0,405055630074,4212276337,854995,6022,1357,382,391757,0 115,2,2024-09-07 09:33:21:131,621896,621896,0,0,24240547,0,4382 115,3,2024-09-07 09:33:21:004,1,490,1,0,167,2922,490,0 116,0,2024-09-07 09:33:21:705,121844,0.6,121822,0.8,244151,0.6,326464,2.00 116,1,2024-09-07 09:33:20:813,857833,857833,0,0,402833782771,4249095921,847320,8071,2442,380,392089,0 116,2,2024-09-07 09:33:21:750,618210,618210,0,0,31595336,0,4475 116,3,2024-09-07 09:33:20:918,1,490,4,0,415,6243,490,0 117,0,2024-09-07 09:33:20:957,123823,0.8,123299,0.9,247107,1.0,330169,2.00 117,1,2024-09-07 09:33:21:586,858513,858513,0,0,402854619199,4221922670,848557,8731,1225,369,392033,0 117,2,2024-09-07 09:33:21:121,619859,619859,0,0,27127505,0,4303 117,3,2024-09-07 09:33:21:063,1,490,9,0,490,6965,490,0 118,0,2024-09-07 09:33:21:840,120124,0.6,123469,0.7,251629,0.5,329142,2.00 118,1,2024-09-07 09:33:20:607,858315,858315,0,0,403513476916,4246284087,844925,10271,3119,366,392054,0 118,2,2024-09-07 09:33:21:596,619427,619427,0,0,29735999,0,2842 118,3,2024-09-07 09:33:21:773,1,490,19,0,248,5816,490,0 119,0,2024-09-07 09:33:21:341,122547,0.6,122890,0.8,245678,0.6,327361,2.00 119,1,2024-09-07 09:33:20:553,858619,858619,0,0,403567051791,4232906100,847010,9844,1765,367,391857,0 119,2,2024-09-07 09:33:21:262,620611,620611,0,0,28114680,0,4174 119,3,2024-09-07 09:33:21:330,1,490,2,0,1358,9658,490,0 120,0,2024-09-07 09:33:21:559,121055,0.6,120846,0.8,242618,0.6,323389,2.25 120,1,2024-09-07 09:33:20:860,859453,859453,0,0,403509817981,4232443240,850267,8409,777,368,392144,0 120,2,2024-09-07 09:33:20:771,618824,618823,1,0,32077499,0,5281 120,3,2024-09-07 09:33:21:290,1,490,0,0,279,6204,490,0 121,0,2024-09-07 09:33:21:725,122560,1.2,121919,1.1,244556,1.7,326135,2.25 121,1,2024-09-07 09:33:21:656,859790,859790,0,0,403989366705,4225084191,851386,7704,700,367,391840,0 121,2,2024-09-07 09:33:21:136,614899,614899,0,0,29385619,0,4157 121,3,2024-09-07 09:33:20:727,1,490,8,0,330,6040,490,0 122,0,2024-09-07 09:33:21:760,124047,0.9,120847,0.9,253238,1.0,333116,2.25 122,1,2024-09-07 09:33:20:859,858340,858340,0,0,403229616646,4232414395,846235,10229,1876,366,392130,0 122,2,2024-09-07 09:33:21:329,621473,621400,73,0,33336151,0,5989 122,3,2024-09-07 09:33:20:599,1,490,1,0,512,8630,490,0 123,0,2024-09-07 09:33:20:971,120989,0.9,117857,0.9,246960,1.0,323050,2.25 123,1,2024-09-07 09:33:20:579,858448,858448,0,0,403614871663,4248528378,843993,11983,2472,369,392039,0 123,2,2024-09-07 09:33:21:026,619146,619145,1,0,28624016,0,5215 123,3,2024-09-07 09:33:21:135,1,490,146,0,478,5934,490,0 124,0,2024-09-07 09:33:20:930,125369,0.3,125363,0.5,236349,0.3,324758,1.75 124,1,2024-09-07 09:33:21:047,861789,861789,0,0,404062025134,4201150142,855397,5276,1116,365,392178,0 124,2,2024-09-07 09:33:21:027,620773,620720,53,0,25757327,0,6487 124,3,2024-09-07 09:33:20:758,1,490,1,0,490,5130,490,0 125,0,2024-09-07 09:33:21:431,121577,0.4,121338,0.6,243684,0.4,324139,1.75 125,1,2024-09-07 09:33:20:863,859892,859892,0,0,403773689552,4217088094,852956,5955,981,382,391813,0 125,2,2024-09-07 09:33:21:131,618158,618158,0,0,25869426,0,4534 125,3,2024-09-07 09:33:21:138,1,490,3,0,709,6035,490,0 126,0,2024-09-07 09:33:21:434,124416,0.4,128040,0.6,244963,0.4,332074,1.75 126,1,2024-09-07 09:33:20:559,862971,862971,0,0,405301927567,4196006178,858556,4118,297,365,391987,0 126,2,2024-09-07 09:33:20:611,622498,622498,0,0,26465029,0,4539 126,3,2024-09-07 09:33:20:907,1,490,2,0,268,5675,490,0 127,0,2024-09-07 09:33:21:604,122013,0.3,122318,0.5,243954,0.3,324015,1.75 127,1,2024-09-07 09:33:20:568,861537,861537,0,0,404720082044,4211809136,852811,7264,1462,364,392187,0 127,2,2024-09-07 09:33:20:645,620178,620174,4,0,25174184,0,5305 127,3,2024-09-07 09:33:21:292,1,490,4,0,968,5046,490,0 128,0,2024-09-07 09:33:21:550,123275,0.3,123415,0.4,246396,0.2,327372,1.50 128,1,2024-09-07 09:33:21:609,860784,860784,0,0,405878505592,4216775487,854669,5385,730,367,392031,0 128,2,2024-09-07 09:33:21:415,620902,620902,0,0,23979160,0,3171 128,3,2024-09-07 09:33:20:775,1,490,7,0,1082,7902,490,0 129,0,2024-09-07 09:33:21:026,123827,0.3,123287,0.5,247097,0.3,328450,1.50 129,1,2024-09-07 09:33:20:572,858411,858411,0,0,402702810977,4221525125,849902,6773,1736,379,391962,0 129,2,2024-09-07 09:33:20:686,620088,620084,4,0,26074175,0,5335 129,3,2024-09-07 09:33:20:696,1,490,2,0,506,7104,490,0 130,0,2024-09-07 09:33:21:735,124230,0.5,123861,0.6,248739,0.5,331093,1.75 130,1,2024-09-07 09:33:20:598,861715,861715,0,0,403898256299,4198456971,857042,4287,386,381,391825,0 130,2,2024-09-07 09:33:21:136,620381,620381,0,0,25519992,0,4067 130,3,2024-09-07 09:33:21:295,1,490,2,0,960,7195,490,0 131,0,2024-09-07 09:33:22:031,123801,0.4,124176,0.5,248941,0.3,330353,1.75 131,1,2024-09-07 09:33:21:835,860939,860939,0,0,404167306377,4220067977,854103,5645,1191,381,391865,0 131,2,2024-09-07 09:33:20:577,621633,621633,0,0,23864075,0,3979 131,3,2024-09-07 09:33:21:707,1,490,15,0,392,5859,490,0 132,0,2024-09-07 09:33:21:430,123298,0.4,124580,0.6,247617,0.4,329479,2.00 132,1,2024-09-07 09:33:20:585,857381,857381,0,0,402777390445,4241894887,844952,10238,2191,381,392532,0 132,2,2024-09-07 09:33:20:703,619606,619589,17,0,32089240,0,6451 132,3,2024-09-07 09:33:21:691,1,490,2,0,804,8560,490,0 133,0,2024-09-07 09:33:21:524,118936,0.4,121768,0.6,249686,0.4,324747,1.75 133,1,2024-09-07 09:33:20:583,857250,857250,0,0,402755094111,4247276404,845285,10337,1628,383,391914,0 133,2,2024-09-07 09:33:21:102,620151,620101,50,0,32432403,0,6861 133,3,2024-09-07 09:33:21:318,1,490,12,0,479,5604,490,0 134,0,2024-09-07 09:33:20:969,123479,0.5,123258,0.7,246819,0.5,329255,2.00 134,1,2024-09-07 09:33:20:590,858367,858367,0,0,403410539657,4232812915,847098,9124,2145,366,391718,0 134,2,2024-09-07 09:33:21:756,615366,615342,24,0,30222765,0,6207 134,3,2024-09-07 09:33:20:762,1,490,1,0,739,6178,490,0 135,0,2024-09-07 09:33:21:110,120019,0.8,119973,0.9,254677,1.0,328304,2.25 135,1,2024-09-07 09:33:21:616,858393,858393,0,0,403926724456,4251644507,846826,10002,1565,380,391805,0 135,2,2024-09-07 09:33:20:693,620004,620004,0,0,30408709,0,4503 135,3,2024-09-07 09:33:21:016,1,490,15,0,900,5106,490,0 136,0,2024-09-07 09:33:21:651,122462,0.5,122714,0.7,244399,0.5,325837,2.00 136,1,2024-09-07 09:33:21:449,859860,859860,0,0,403376452675,4230720301,849807,8801,1252,381,392135,0 136,2,2024-09-07 09:33:21:137,622445,622445,0,0,28847659,0,4396 136,3,2024-09-07 09:33:21:111,1,490,2,0,637,5664,490,0 137,0,2024-09-07 09:33:20:964,125617,0.6,122392,0.7,239905,0.5,325375,2.00 137,1,2024-09-07 09:33:20:583,858385,858385,0,0,402955669731,4227067488,845189,10526,2670,366,391898,0 137,2,2024-09-07 09:33:21:724,618803,618803,0,0,31157738,0,3185 137,3,2024-09-07 09:33:20:790,1,490,12,0,484,6289,490,0 138,0,2024-09-07 09:33:21:745,121020,0.9,121108,0.9,243039,1.1,322936,2.25 138,1,2024-09-07 09:33:21:685,859034,859034,0,0,403871703208,4238091911,846713,10354,1967,368,391954,0 138,2,2024-09-07 09:33:20:596,614980,614980,0,0,28553379,0,4988 138,3,2024-09-07 09:33:20:614,1,490,106,0,1200,7951,490,0 139,0,2024-09-07 09:33:21:373,123365,1.3,123728,1.0,247493,1.9,330545,2.50 139,1,2024-09-07 09:33:20:579,854520,854520,0,0,401149707782,4259010686,838747,12314,3459,380,392058,0 139,2,2024-09-07 09:33:20:696,617120,617120,0,0,32296790,0,3097 139,3,2024-09-07 09:33:21:671,1,490,4,0,432,5759,490,0 140,0,2024-09-07 09:33:21:590,122036,0.3,121168,0.5,243265,0.2,323979,1.75 140,1,2024-09-07 09:33:21:535,864053,864053,0,0,405910235056,4184164180,860002,3590,461,364,391606,0 140,2,2024-09-07 09:33:20:693,622418,622417,1,0,24311806,0,5036 140,3,2024-09-07 09:33:20:775,1,490,1,0,297,4727,490,0 141,0,2024-09-07 09:33:21:723,122572,0.3,125727,0.4,240026,0.2,325331,1.50 141,1,2024-09-07 09:33:20:861,862459,862459,0,0,405334052060,4211681377,855595,5779,1085,379,391614,0 141,2,2024-09-07 09:33:21:693,619825,619814,11,0,25876861,0,5369 141,3,2024-09-07 09:33:21:054,1,490,10,0,391,5441,490,0 142,0,2024-09-07 09:33:21:343,123315,0.3,122461,0.5,245546,0.3,326768,1.50 142,1,2024-09-07 09:33:20:598,860385,860385,0,0,404371885176,4215188158,854843,5084,458,382,392102,0 142,2,2024-09-07 09:33:21:312,617481,617449,32,0,26291552,0,6028 142,3,2024-09-07 09:33:21:750,1,490,3,0,484,5217,490,0 143,0,2024-09-07 09:33:21:500,123927,0.4,123914,0.6,248338,0.4,330559,1.75 143,1,2024-09-07 09:33:20:561,862307,862307,0,0,405181782782,4205839502,856391,5363,553,367,391705,0 143,2,2024-09-07 09:33:20:821,621743,621743,0,0,25468100,0,3123 143,3,2024-09-07 09:33:21:147,1,490,0,0,462,6233,490,0 144,0,2024-09-07 09:33:21:513,119084,0.7,122450,0.8,249357,0.7,326286,2.25 144,1,2024-09-07 09:33:20:575,858325,858325,0,0,402379205044,4225641834,849165,7198,1962,381,391733,0 144,2,2024-09-07 09:33:21:755,621901,621901,0,0,24483171,0,3673 144,3,2024-09-07 09:33:21:744,1,490,1,0,249,4885,490,0 145,0,2024-09-07 09:33:21:366,119292,0.6,119281,0.8,253070,0.5,325864,2.25 145,1,2024-09-07 09:33:20:554,857085,857085,0,0,402880224413,4245505974,844875,9751,2459,382,391759,0 145,2,2024-09-07 09:33:21:446,616839,616757,82,0,30910156,0,7814 145,3,2024-09-07 09:33:20:898,1,490,13,0,622,6837,490,0 146,0,2024-09-07 09:33:21:594,122251,0.6,121762,0.7,244549,0.5,324794,2.25 146,1,2024-09-07 09:33:21:596,858790,858790,0,0,403338795407,4244241408,844496,10496,3798,367,391770,0 146,2,2024-09-07 09:33:21:713,617894,617894,0,0,28477973,0,3290 146,3,2024-09-07 09:33:21:291,1,490,1,0,1520,8574,490,0 147,0,2024-09-07 09:33:21:717,123862,0.7,123580,0.8,246571,0.7,329624,2.25 147,1,2024-09-07 09:33:21:380,862019,862019,0,0,404641419320,4205904242,855028,6141,850,367,391791,0 147,2,2024-09-07 09:33:21:011,617551,617551,0,0,25453975,0,2968 147,3,2024-09-07 09:33:20:933,1,490,13,0,1626,8252,490,0 0,0,2024-09-07 09:33:31:702,118342,0.6,118413,0.7,251161,0.6,324415,2.00 0,1,2024-09-07 09:33:30:810,860909,860909,0,0,404658830334,4240673608,853426,6644,839,369,391896,0 0,2,2024-09-07 09:33:31:072,622743,622743,0,0,25980751,0,4480 0,3,2024-09-07 09:33:30:976,1,491,1,0,431,7028,491,0 1,0,2024-09-07 09:33:31:833,122554,1.0,122057,1.0,244599,1.3,327016,2.00 1,1,2024-09-07 09:33:30:569,860277,860277,0,0,403237991820,4227686690,851878,6933,1466,370,391859,0 1,2,2024-09-07 09:33:30:640,617491,617491,0,0,24991880,0,3380 1,3,2024-09-07 09:33:31:302,1,491,8,0,269,6350,491,0 2,0,2024-09-07 09:33:31:565,124813,0.6,124731,0.7,249407,0.6,332605,2.00 2,1,2024-09-07 09:33:30:866,863248,863248,0,0,405560943967,4215478473,858719,3727,802,380,391745,0 2,2,2024-09-07 09:33:31:266,623361,623361,0,0,23569574,0,3594 2,3,2024-09-07 09:33:30:698,1,491,0,0,357,4613,491,0 3,0,2024-09-07 09:33:31:742,121649,0.4,121582,0.6,242671,0.4,323515,2.00 3,1,2024-09-07 09:33:31:618,861670,861670,0,0,404758380841,4216064251,854387,6588,695,379,391716,0 3,2,2024-09-07 09:33:31:142,623334,623311,23,0,25106808,0,5851 3,3,2024-09-07 09:33:31:756,1,491,1,0,207,3110,491,0 4,0,2024-09-07 09:33:31:764,118248,0.4,121482,0.5,247797,0.3,323720,1.75 4,1,2024-09-07 09:33:30:619,858232,858232,0,0,402680956690,4270402152,842197,12354,3681,370,391992,0 4,2,2024-09-07 09:33:31:018,618216,618216,0,0,30910003,0,4534 4,3,2024-09-07 09:33:31:027,1,491,24,0,448,6470,491,0 5,0,2024-09-07 09:33:31:372,121519,0.4,122115,0.6,243673,0.4,323819,1.75 5,1,2024-09-07 09:33:30:768,859531,859531,0,0,403506761609,4251585562,846942,10177,2412,367,392005,0 5,2,2024-09-07 09:33:31:839,614402,614402,0,0,29703418,0,3582 5,3,2024-09-07 09:33:31:736,1,491,13,0,457,6904,491,0 6,0,2024-09-07 09:33:30:925,124818,0.5,124438,0.7,249095,0.5,332670,2.00 6,1,2024-09-07 09:33:30:746,861889,861889,0,0,403710163104,4219934097,852692,7754,1443,379,391702,0 6,2,2024-09-07 09:33:31:116,622356,622338,18,0,29029467,0,5535 6,3,2024-09-07 09:33:31:277,1,491,0,0,710,6157,491,0 7,0,2024-09-07 09:33:31:532,121024,0.6,121945,0.7,242686,0.5,322926,2.00 7,1,2024-09-07 09:33:30:856,859618,859618,0,0,403992104708,4248486160,846699,10688,2231,382,391747,0 7,2,2024-09-07 09:33:30:773,622342,622342,0,0,28156684,0,4791 7,3,2024-09-07 09:33:30:855,1,491,7,0,552,5714,491,0 8,0,2024-09-07 09:33:31:352,123069,0.3,122804,0.5,246251,0.3,327476,1.75 8,1,2024-09-07 09:33:31:018,858926,858926,0,0,403042281598,4240362953,845155,10722,3049,366,392853,0 8,2,2024-09-07 09:33:30:825,615929,615929,0,0,32913463,0,3481 8,3,2024-09-07 09:33:30:585,1,491,2,0,538,7623,491,0 9,0,2024-09-07 09:33:31:124,123080,0.4,119777,0.5,250661,0.3,328430,1.75 9,1,2024-09-07 09:33:30:553,858487,858487,0,0,403721543382,4254131890,845595,10352,2540,369,392001,0 9,2,2024-09-07 09:33:31:084,618564,618564,0,0,29870447,0,4615 9,3,2024-09-07 09:33:31:764,1,491,19,0,1273,8467,491,0 10,0,2024-09-07 09:33:31:599,123930,0.4,123574,0.5,247955,0.3,330436,1.75 10,1,2024-09-07 09:33:30:592,861318,861318,0,0,403261924949,4227466613,849524,9854,1940,381,391741,0 10,2,2024-09-07 09:33:30:766,620751,620751,0,0,32377192,0,4264 10,3,2024-09-07 09:33:30:871,1,491,106,0,649,5239,491,0 11,0,2024-09-07 09:33:31:013,123737,0.5,120090,0.7,250836,0.5,330470,1.75 11,1,2024-09-07 09:33:30:571,861188,861188,0,0,404892362639,4248003903,848325,9743,3120,383,391756,0 11,2,2024-09-07 09:33:31:124,620666,620666,0,0,28799660,0,4130 11,3,2024-09-07 09:33:31:299,1,491,6,0,843,6635,491,0 12,0,2024-09-07 09:33:30:962,124417,0.4,124400,0.5,248784,0.3,330679,1.75 12,1,2024-09-07 09:33:30:934,861355,861355,0,0,404363478664,4218899914,854288,6401,666,370,391960,0 12,2,2024-09-07 09:33:31:549,620892,620892,0,0,28014213,0,4390 12,3,2024-09-07 09:33:31:061,1,491,1,0,386,6790,491,0 13,0,2024-09-07 09:33:31:370,123198,0.4,122832,0.6,245041,0.4,326662,1.75 13,1,2024-09-07 09:33:31:526,859738,859738,0,0,404044265774,4250024473,850185,7260,2293,382,391740,0 13,2,2024-09-07 09:33:30:600,622876,622876,0,0,25634465,0,3287 13,3,2024-09-07 09:33:31:764,1,491,8,0,522,7031,491,0 14,0,2024-09-07 09:33:30:560,123549,0.4,124584,0.6,246874,0.4,329552,1.75 14,1,2024-09-07 09:33:31:561,865313,865313,0,0,406623012486,4208992132,858770,5829,714,364,391673,0 14,2,2024-09-07 09:33:30:766,618614,618584,30,0,26511211,0,6104 14,3,2024-09-07 09:33:31:115,1,491,1,0,1168,5281,491,0 15,0,2024-09-07 09:33:31:555,124021,0.4,123637,0.6,248198,0.3,330191,2.00 15,1,2024-09-07 09:33:31:612,862513,862513,0,0,405318136598,4221893569,855382,5675,1456,381,391619,0 15,2,2024-09-07 09:33:31:000,623739,623739,0,0,22619682,0,3622 15,3,2024-09-07 09:33:31:409,1,491,1,0,1126,7263,491,0 16,0,2024-09-07 09:33:30:936,122267,0.5,123003,0.7,244876,0.5,326147,2.00 16,1,2024-09-07 09:33:30:570,862336,862336,0,0,404703560098,4233935849,854168,6872,1296,370,391917,0 16,2,2024-09-07 09:33:31:448,622095,622095,0,0,26992577,0,4719 16,3,2024-09-07 09:33:31:153,1,491,1,0,358,6324,491,0 17,0,2024-09-07 09:33:31:776,125629,0.6,122599,0.7,240077,0.6,325653,2.00 17,1,2024-09-07 09:33:30:575,860438,860438,0,0,404053923844,4241246083,851541,7152,1745,368,392075,0 17,2,2024-09-07 09:33:31:666,623686,623685,1,0,27493265,0,5050 17,3,2024-09-07 09:33:30:574,1,491,11,0,518,7560,491,0 18,0,2024-09-07 09:33:30:938,120720,0.6,121377,0.8,241891,0.7,323251,2.25 18,1,2024-09-07 09:33:31:638,864363,864363,0,0,406041562252,4212173694,858863,4643,857,367,391725,0 18,2,2024-09-07 09:33:31:756,619125,619125,0,0,24266651,0,3541 18,3,2024-09-07 09:33:30:895,1,491,1,0,1059,4836,491,0 19,0,2024-09-07 09:33:31:541,124344,0.6,124797,0.8,247899,0.6,330664,2.25 19,1,2024-09-07 09:33:30:571,863901,863901,0,0,405773448505,4210780321,856962,5855,1084,367,391777,0 19,2,2024-09-07 09:33:31:752,625349,625349,0,0,22784425,0,3988 19,3,2024-09-07 09:33:31:136,1,491,1,0,524,4075,491,0 20,0,2024-09-07 09:33:31:357,121396,0.5,121540,0.7,242701,0.5,323568,2.00 20,1,2024-09-07 09:33:30:570,861025,861025,0,0,404238114462,4238376964,851903,7760,1362,369,391922,0 20,2,2024-09-07 09:33:30:928,621842,621842,0,0,27795995,0,3721 20,3,2024-09-07 09:33:30:600,1,491,4,0,468,8630,491,0 21,0,2024-09-07 09:33:31:141,122303,0.4,122423,0.6,244714,0.4,324561,1.75 21,1,2024-09-07 09:33:31:563,858791,858791,0,0,403327389248,4261435687,844680,10995,3116,368,392016,0 21,2,2024-09-07 09:33:31:073,617789,617769,20,0,32870843,0,5617 21,3,2024-09-07 09:33:31:403,1,491,143,0,713,6585,491,0 22,0,2024-09-07 09:33:31:718,122461,0.5,122856,0.7,245380,0.4,324858,2.00 22,1,2024-09-07 09:33:31:028,859592,859592,0,0,403327259537,4251085426,844523,11846,3223,382,391822,0 22,2,2024-09-07 09:33:30:760,616856,616830,26,0,27577676,0,6328 22,3,2024-09-07 09:33:31:071,1,491,0,0,228,4190,491,0 23,0,2024-09-07 09:33:31:367,123815,0.5,123327,0.7,246886,0.5,329532,2.25 23,1,2024-09-07 09:33:31:016,860953,860953,0,0,404734649258,4253797847,845336,11209,4408,365,391690,0 23,2,2024-09-07 09:33:31:092,623207,623207,0,0,26094019,0,3773 23,3,2024-09-07 09:33:31:755,1,491,21,0,720,6683,491,0 24,0,2024-09-07 09:33:30:866,124023,0.4,123159,0.6,247652,0.4,328424,1.75 24,1,2024-09-07 09:33:30:584,860300,860300,0,0,403831925094,4231184772,851593,7043,1664,367,392269,0 24,2,2024-09-07 09:33:31:072,621832,621832,0,0,30241736,0,3607 24,3,2024-09-07 09:33:31:686,1,491,5,0,468,6410,491,0 25,0,2024-09-07 09:33:31:344,126931,0.4,123657,0.6,242594,0.4,330292,1.75 25,1,2024-09-07 09:33:30:575,859004,859004,0,0,404021491009,4265300134,844198,11837,2969,369,391928,0 25,2,2024-09-07 09:33:31:606,618806,618806,0,0,32041418,0,3978 25,3,2024-09-07 09:33:31:010,1,491,7,0,532,5520,491,0 26,0,2024-09-07 09:33:31:753,122281,0.4,119584,0.6,250859,0.4,326546,1.75 26,1,2024-09-07 09:33:31:549,862294,862294,0,0,404451278695,4237979249,849874,10007,2413,380,391748,0 26,2,2024-09-07 09:33:30:862,620021,620021,0,0,33557391,0,4689 26,3,2024-09-07 09:33:31:723,1,491,14,0,796,5636,491,0 27,0,2024-09-07 09:33:31:728,124098,0.5,124451,0.6,247307,0.4,330176,2.25 27,1,2024-09-07 09:33:31:676,863219,863219,0,0,405981287028,4231379609,854684,7268,1267,381,391626,0 27,2,2024-09-07 09:33:30:871,617301,617236,65,0,29063183,0,5699 27,3,2024-09-07 09:33:31:018,1,491,5,0,564,4445,491,0 28,0,2024-09-07 09:33:31:404,124630,0.4,124154,0.6,249254,0.3,332323,2.00 28,1,2024-09-07 09:33:30:813,863020,863020,0,0,405520662059,4230032430,855523,5797,1700,382,391698,0 28,2,2024-09-07 09:33:31:764,621913,621913,0,0,25699518,0,2915 28,3,2024-09-07 09:33:31:776,1,491,23,0,502,5066,491,0 29,0,2024-09-07 09:33:31:378,126591,0.4,123379,0.6,241816,0.3,330042,1.75 29,1,2024-09-07 09:33:31:569,865829,865829,0,0,406298093648,4204453758,860484,4586,759,368,391809,0 29,2,2024-09-07 09:33:30:866,621597,621597,0,0,24791483,0,4986 29,3,2024-09-07 09:33:30:965,1,491,13,0,459,5577,491,0 30,0,2024-09-07 09:33:31:459,121649,0.5,118137,0.7,247292,0.4,323353,2.00 30,1,2024-09-07 09:33:30:571,864813,864813,0,0,406373924933,4219279732,858165,5799,849,380,391672,0 30,2,2024-09-07 09:33:31:276,622286,622286,0,0,24281538,0,4192 30,3,2024-09-07 09:33:30:583,1,491,1,0,519,4927,491,0 31,0,2024-09-07 09:33:31:759,122296,0.5,122654,0.7,244975,0.4,327109,2.00 31,1,2024-09-07 09:33:30:566,867503,867503,0,0,407687209680,4184675242,862779,3818,906,356,391712,0 31,2,2024-09-07 09:33:31:277,616647,616647,0,0,26515716,0,4470 31,3,2024-09-07 09:33:31:709,1,491,16,0,220,4193,491,0 32,0,2024-09-07 09:33:31:419,125238,0.4,125940,0.5,250661,0.3,334455,1.75 32,1,2024-09-07 09:33:30:805,863426,863426,0,0,405943748544,4222138483,857887,4832,707,381,391646,0 32,2,2024-09-07 09:33:30:935,623452,623452,0,0,23314437,0,3922 32,3,2024-09-07 09:33:31:018,1,491,3,0,304,3975,491,0 33,0,2024-09-07 09:33:31:498,122214,0.3,121789,0.4,243726,0.2,324604,1.50 33,1,2024-09-07 09:33:30:593,864687,864687,0,0,406338254634,4213140743,857470,6045,1172,368,391730,0 33,2,2024-09-07 09:33:30:759,623533,623498,35,0,26008800,0,7012 33,3,2024-09-07 09:33:30:895,1,491,8,0,329,4738,491,0 34,0,2024-09-07 09:33:30:935,122186,0.3,125556,0.4,239965,0.2,323007,1.75 34,1,2024-09-07 09:33:31:045,866451,866451,0,0,407189985768,4189089504,864067,2362,22,367,391562,0 34,2,2024-09-07 09:33:30:766,622654,622654,0,0,24569386,0,4562 34,3,2024-09-07 09:33:31:688,1,491,1,0,320,3964,491,0 35,0,2024-09-07 09:33:30:862,120950,0.3,121618,0.5,244339,0.3,324603,1.75 35,1,2024-09-07 09:33:31:068,863896,863896,0,0,406127241348,4213849207,858004,4833,1059,382,391769,0 35,2,2024-09-07 09:33:31:583,616923,616923,0,0,26786569,0,4055 35,3,2024-09-07 09:33:30:921,1,491,2,0,418,4766,491,0 36,0,2024-09-07 09:33:31:569,125111,0.5,125072,0.7,249957,0.5,333362,2.25 36,1,2024-09-07 09:33:30:585,862469,862469,0,0,404218187295,4226929400,850507,9784,2178,366,391759,0 36,2,2024-09-07 09:33:31:755,623108,623108,0,0,28530201,0,3875 36,3,2024-09-07 09:33:30:863,1,491,1,0,416,7054,491,0 37,0,2024-09-07 09:33:31:370,121260,0.5,121222,0.7,242796,0.5,323366,2.25 37,1,2024-09-07 09:33:30:571,861224,861217,0,7,404853597195,4232324909,850229,8315,2673,365,391770,0 37,2,2024-09-07 09:33:31:143,620553,620538,15,0,28786012,0,5815 37,3,2024-09-07 09:33:31:766,1,491,15,0,888,7124,491,0 38,0,2024-09-07 09:33:31:437,122239,0.4,118578,0.6,248107,0.4,324696,2.00 38,1,2024-09-07 09:33:31:612,862750,862750,0,0,405687462513,4246762214,849575,10657,2518,368,391821,0 38,2,2024-09-07 09:33:30:769,619117,619070,47,0,29018787,0,6710 38,3,2024-09-07 09:33:31:010,1,491,1,0,689,6521,491,0 39,0,2024-09-07 09:33:31:759,126069,0.5,123254,0.7,240258,0.5,327807,2.00 39,1,2024-09-07 09:33:30:716,860830,860830,0,0,403620744301,4241717419,845068,12293,3469,365,391658,0 39,2,2024-09-07 09:33:31:417,619923,619923,0,0,26890637,0,3391 39,3,2024-09-07 09:33:30:713,1,491,1,0,525,5915,491,0 40,0,2024-09-07 09:33:31:549,122679,0.9,123381,1.0,246237,1.0,328604,2.75 40,1,2024-09-07 09:33:30:588,862820,862820,0,0,404166485035,4231514043,850840,9802,2178,368,391668,0 40,2,2024-09-07 09:33:31:316,619429,619428,1,0,31997037,0,5137 40,3,2024-09-07 09:33:31:142,1,491,270,0,1028,6642,491,0 41,0,2024-09-07 09:33:31:032,123017,1.4,125622,1.2,239990,2.1,326408,3.25 41,1,2024-09-07 09:33:30:811,860829,860829,0,0,404530462636,4234376109,849712,9408,1709,369,391742,0 41,2,2024-09-07 09:33:30:758,619230,619230,0,0,29826021,0,4277 41,3,2024-09-07 09:33:31:676,1,491,8,0,366,5098,491,0 42,0,2024-09-07 09:33:31:481,122968,0.8,122644,1.0,245601,0.9,325541,2.50 42,1,2024-09-07 09:33:31:439,858722,858722,0,0,403461061879,4246621141,843965,11895,2862,380,391675,0 42,2,2024-09-07 09:33:31:133,620159,620159,0,0,29515421,0,3975 42,3,2024-09-07 09:33:31:009,1,491,55,0,892,4604,491,0 43,0,2024-09-07 09:33:30:915,121170,0.7,117990,0.9,246826,0.7,323759,2.25 43,1,2024-09-07 09:33:30:583,861638,861638,0,0,404410518827,4229850069,849021,10360,2257,366,391696,0 43,2,2024-09-07 09:33:31:735,621535,621535,0,0,29074480,0,3812 43,3,2024-09-07 09:33:31:750,1,491,1,0,571,7034,491,0 44,0,2024-09-07 09:33:30:866,123640,0.4,123740,0.6,248002,0.4,330072,2.00 44,1,2024-09-07 09:33:30:563,864600,864600,0,0,406030719718,4197330700,857100,5950,1550,356,391809,0 44,2,2024-09-07 09:33:31:267,616893,616893,0,0,23837292,0,4344 44,3,2024-09-07 09:33:31:094,1,491,6,0,817,5474,491,0 45,0,2024-09-07 09:33:31:758,122666,0.5,119991,0.7,251549,0.4,330166,2.00 45,1,2024-09-07 09:33:31:011,863418,863418,0,0,406318379863,4225949997,855934,6608,876,382,391917,0 45,2,2024-09-07 09:33:31:280,623322,623322,0,0,24240770,0,3596 45,3,2024-09-07 09:33:30:934,1,491,7,0,271,4392,491,0 46,0,2024-09-07 09:33:30:958,121830,0.5,121245,0.7,243366,0.4,323378,2.00 46,1,2024-09-07 09:33:30:579,865545,865545,0,0,406190339751,4196728583,859949,4866,730,366,391709,0 46,2,2024-09-07 09:33:30:601,622873,622873,0,0,24738768,0,4443 46,3,2024-09-07 09:33:31:132,1,491,10,0,908,5750,491,0 47,0,2024-09-07 09:33:31:112,122068,0.4,122396,0.6,245172,0.4,324549,1.75 47,1,2024-09-07 09:33:30:567,865429,865429,0,0,405573161376,4190983008,860290,4300,839,366,391641,0 47,2,2024-09-07 09:33:30:908,624503,624503,0,0,24511630,0,4477 47,3,2024-09-07 09:33:31:116,1,491,3,0,600,5643,491,0 48,0,2024-09-07 09:33:31:503,122462,0.3,122471,0.4,243775,0.2,325403,1.50 48,1,2024-09-07 09:33:31:032,863737,863737,0,0,405415949378,4214262469,858095,5070,572,384,391710,0 48,2,2024-09-07 09:33:30:699,617592,617592,0,0,22802574,0,3411 48,3,2024-09-07 09:33:30:759,1,491,1,0,339,3874,491,0 49,0,2024-09-07 09:33:31:710,128046,0.4,125796,0.5,244400,0.3,334583,1.75 49,1,2024-09-07 09:33:31:031,863154,863154,0,0,405200093899,4215431061,857597,4347,1210,382,391809,0 49,2,2024-09-07 09:33:31:800,624003,624003,0,0,25047233,0,4426 49,3,2024-09-07 09:33:31:415,1,491,42,0,992,5709,491,0 50,0,2024-09-07 09:33:31:522,122170,0.3,120449,0.5,242762,0.2,323457,1.75 50,1,2024-09-07 09:33:31:010,865816,865816,0,0,407186954705,4211598597,860122,5031,663,368,391565,0 50,2,2024-09-07 09:33:31:072,622737,622737,0,0,23171491,0,4490 50,3,2024-09-07 09:33:31:291,1,491,1,0,617,5394,491,0 51,0,2024-09-07 09:33:31:683,125411,0.3,122864,0.5,239275,0.2,326077,1.75 51,1,2024-09-07 09:33:31:680,866734,866734,0,0,407059112113,4199096399,862202,3478,1054,365,391706,0 51,2,2024-09-07 09:33:31:316,621736,621736,0,0,22115008,0,3337 51,3,2024-09-07 09:33:31:027,1,491,1,0,678,3730,491,0 52,0,2024-09-07 09:33:31:451,123001,0.5,122687,0.7,245576,0.5,325796,2.00 52,1,2024-09-07 09:33:30:575,861931,861931,0,0,404852097886,4243305781,848803,11023,2105,368,391722,0 52,2,2024-09-07 09:33:31:757,615035,614997,38,0,29971389,0,6742 52,3,2024-09-07 09:33:30:678,1,491,1,0,1782,6520,491,0 53,0,2024-09-07 09:33:31:731,123409,0.7,119788,0.8,250643,0.8,329175,2.50 53,1,2024-09-07 09:33:30:776,859907,859907,0,0,404708811732,4253229590,844617,11446,3844,367,391968,0 53,2,2024-09-07 09:33:31:299,622412,622411,1,0,26808251,0,5455 53,3,2024-09-07 09:33:30:697,1,491,17,0,308,5042,491,0 54,0,2024-09-07 09:33:31:619,121032,0.6,121323,0.8,241676,0.5,322260,2.25 54,1,2024-09-07 09:33:30:583,862119,862119,0,0,406050032623,4228148626,852226,8259,1634,366,391810,0 54,2,2024-09-07 09:33:30:865,622252,622220,32,0,30618224,0,6397 54,3,2024-09-07 09:33:30:768,1,491,11,0,676,6831,491,0 55,0,2024-09-07 09:33:31:763,119357,0.6,123026,0.7,249313,0.5,324809,2.50 55,1,2024-09-07 09:33:30:769,863698,863698,0,0,405583066269,4221111980,854112,8268,1318,365,391731,0 55,2,2024-09-07 09:33:30:729,619978,619922,56,0,29184410,0,7239 55,3,2024-09-07 09:33:30:677,1,491,5,0,304,4729,491,0 56,0,2024-09-07 09:33:31:559,125218,1.2,118001,1.1,243274,1.5,325875,2.75 56,1,2024-09-07 09:33:30:574,858667,858667,0,0,403440869302,4263299560,844985,10793,2889,381,391867,0 56,2,2024-09-07 09:33:31:312,620034,619912,122,0,30265684,0,7432 56,3,2024-09-07 09:33:31:062,1,491,2,0,705,6021,491,0 57,0,2024-09-07 09:33:30:937,122069,1.7,122049,1.3,244372,2.3,326734,3.50 57,1,2024-09-07 09:33:30:993,860418,860418,0,0,403513917557,4237092091,848616,9574,2228,366,392032,0 57,2,2024-09-07 09:33:31:315,619215,619215,0,0,30695749,0,4317 57,3,2024-09-07 09:33:31:738,1,491,26,0,455,5654,491,0 58,0,2024-09-07 09:33:30:588,122351,0.9,118864,1.0,248395,1.1,325758,2.75 58,1,2024-09-07 09:33:30:587,861502,861499,0,3,405299334990,4249198450,848337,9964,3198,367,391603,3 58,2,2024-09-07 09:33:31:072,621194,621194,0,0,28931560,0,3483 58,3,2024-09-07 09:33:31:071,1,491,11,0,1043,5288,491,0 59,0,2024-09-07 09:33:31:739,122703,0.8,122353,1.0,244256,0.9,324296,2.75 59,1,2024-09-07 09:33:30:803,860590,860590,0,0,404167846953,4243887804,847124,10939,2527,369,391653,0 59,2,2024-09-07 09:33:30:584,621049,621049,0,0,28086884,0,3727 59,3,2024-09-07 09:33:31:738,1,491,3,0,1015,6335,491,0 60,0,2024-09-07 09:33:31:770,121811,0.5,121945,0.7,244175,0.5,324296,1.75 60,1,2024-09-07 09:33:30:773,864564,864564,0,0,405835021394,4212782825,858624,5011,929,370,392031,0 60,2,2024-09-07 09:33:31:143,621860,621860,0,0,26514055,0,3811 60,3,2024-09-07 09:33:31:260,1,491,4,0,409,6027,491,0 61,0,2024-09-07 09:33:31:491,122428,0.6,123044,0.8,245040,0.6,326855,2.00 61,1,2024-09-07 09:33:30:776,861832,861832,0,0,404970848233,4243298948,852081,8171,1580,382,392127,0 61,2,2024-09-07 09:33:31:117,617381,617314,67,0,26852890,0,6411 61,3,2024-09-07 09:33:31:687,1,491,11,0,479,6629,491,0 62,0,2024-09-07 09:33:31:720,125528,0.5,128645,0.7,245682,0.5,334234,2.00 62,1,2024-09-07 09:33:31:118,866811,866805,0,6,407504996572,4200558786,862841,3700,264,365,391975,6 62,2,2024-09-07 09:33:31:646,620652,620651,1,0,26392582,0,5555 62,3,2024-09-07 09:33:31:143,1,491,4,0,482,4068,491,0 63,0,2024-09-07 09:33:31:453,122160,0.4,121925,0.6,244671,0.4,324911,1.75 63,1,2024-09-07 09:33:30:815,864218,864212,0,6,406319101316,4217937811,858821,4657,734,381,391800,6 63,2,2024-09-07 09:33:30:765,622928,622928,0,0,25509902,0,4369 63,3,2024-09-07 09:33:31:732,1,491,1,0,667,5207,491,0 64,0,2024-09-07 09:33:31:526,121445,0.5,121550,0.6,242581,0.4,322232,2.00 64,1,2024-09-07 09:33:30:752,863768,863768,0,0,405748160261,4227739539,856164,5838,1766,370,391783,0 64,2,2024-09-07 09:33:31:146,625019,625000,19,0,24814726,0,6121 64,3,2024-09-07 09:33:31:142,1,491,1,0,651,5338,491,0 65,0,2024-09-07 09:33:31:682,120797,0.7,121051,0.8,241636,0.7,321918,2.00 65,1,2024-09-07 09:33:30:879,861442,861442,0,0,404580698195,4231762005,854767,5882,793,381,391901,0 65,2,2024-09-07 09:33:31:695,617260,617260,0,0,28919357,0,3367 65,3,2024-09-07 09:33:31:683,1,491,8,0,782,6012,491,0 66,0,2024-09-07 09:33:31:769,124363,0.6,124014,0.8,248092,0.5,330836,2.25 66,1,2024-09-07 09:33:31:293,863628,863628,0,0,406013437552,4228702286,857499,5407,722,380,391743,0 66,2,2024-09-07 09:33:31:131,624775,624772,3,0,26396384,0,5455 66,3,2024-09-07 09:33:31:078,1,491,1,0,291,4332,491,0 67,0,2024-09-07 09:33:31:417,121638,0.6,121289,0.7,243543,0.5,323553,2.25 67,1,2024-09-07 09:33:30:769,863300,863299,0,1,405717370919,4225766220,857230,5260,809,380,391787,1 67,2,2024-09-07 09:33:30:589,624573,624558,15,0,25573430,0,6205 67,3,2024-09-07 09:33:31:751,1,491,1,0,392,4834,491,0 68,0,2024-09-07 09:33:30:570,123074,0.5,123021,0.7,245035,0.5,327142,2.00 68,1,2024-09-07 09:33:30:574,859733,859733,0,0,403907777460,4252291288,847532,8802,3399,381,391953,0 68,2,2024-09-07 09:33:31:045,616566,616466,100,0,33213119,0,8578 68,3,2024-09-07 09:33:30:728,1,491,6,0,417,6360,491,0 69,0,2024-09-07 09:33:31:733,122434,0.7,123228,0.8,245557,0.7,325998,2.25 69,1,2024-09-07 09:33:31:025,859305,859305,0,0,403280418669,4255575826,847236,9310,2759,384,391994,0 69,2,2024-09-07 09:33:31:733,618237,618208,29,0,34215801,0,6912 69,3,2024-09-07 09:33:30:766,1,491,13,0,698,7488,491,0 70,0,2024-09-07 09:33:31:535,122538,1.1,122879,1.1,247212,1.0,327547,2.75 70,1,2024-09-07 09:33:30:810,864089,864089,0,0,406385102678,4220757723,856891,6437,761,366,391725,0 70,2,2024-09-07 09:33:31:326,620850,620850,0,0,28940766,0,4323 70,3,2024-09-07 09:33:30:755,1,491,1,0,854,5650,491,0 71,0,2024-09-07 09:33:31:359,122425,1.3,122085,1.1,245512,1.8,327535,3.00 71,1,2024-09-07 09:33:31:596,861785,861785,0,0,405308544502,4236436607,850474,10056,1255,368,391738,0 71,2,2024-09-07 09:33:31:072,620537,620537,0,0,29754059,0,4352 71,3,2024-09-07 09:33:31:755,1,491,1,0,644,6050,491,0 72,0,2024-09-07 09:33:31:053,127623,0.5,124794,0.7,243285,0.5,330896,2.00 72,1,2024-09-07 09:33:31:028,860361,860361,0,0,404006505141,4243769103,847226,10894,2241,369,391819,0 72,2,2024-09-07 09:33:31:757,619677,619677,0,0,30888633,0,3983 72,3,2024-09-07 09:33:31:761,1,491,0,0,564,7209,491,0 73,0,2024-09-07 09:33:31:113,119136,0.4,122306,0.6,249721,0.4,324678,2.00 73,1,2024-09-07 09:33:30:771,863113,863113,0,0,405193147205,4209861159,856966,5723,424,367,391858,0 73,2,2024-09-07 09:33:31:739,620711,620711,0,0,30849280,0,4291 73,3,2024-09-07 09:33:30:977,1,491,13,0,486,6253,491,0 74,0,2024-09-07 09:33:31:330,124527,0.5,127395,0.7,243174,0.5,330448,2.25 74,1,2024-09-07 09:33:30:636,861758,861758,0,0,404460088801,4226621841,851735,8188,1835,381,391762,0 74,2,2024-09-07 09:33:31:011,617707,617707,0,0,27098484,0,4253 74,3,2024-09-07 09:33:31:451,1,491,2,0,522,6059,491,0 75,0,2024-09-07 09:33:31:771,124624,0.5,123772,0.7,248592,0.5,331817,2.25 75,1,2024-09-07 09:33:31:584,862701,862701,0,0,404623382671,4222341162,854862,6979,860,380,391739,0 75,2,2024-09-07 09:33:31:352,620764,620764,0,0,32155612,0,4766 75,3,2024-09-07 09:33:31:066,1,491,7,0,702,6064,491,0 76,0,2024-09-07 09:33:30:585,121603,0.5,120983,0.7,242694,0.5,324939,2.25 76,1,2024-09-07 09:33:30:815,862086,862086,0,0,404643707745,4220148557,856296,4960,830,382,391692,0 76,2,2024-09-07 09:33:31:072,624649,624646,3,0,27304365,0,5265 76,3,2024-09-07 09:33:31:145,1,491,26,0,227,4632,491,0 77,0,2024-09-07 09:33:31:704,121479,0.5,121936,0.7,244116,0.5,323583,2.00 77,1,2024-09-07 09:33:30:824,862873,862873,0,0,405166204501,4228795153,856078,6204,591,381,391869,0 77,2,2024-09-07 09:33:31:280,621411,621411,0,0,26549996,0,3890 77,3,2024-09-07 09:33:31:099,1,491,10,0,401,5343,491,0 78,0,2024-09-07 09:33:31:716,122204,0.4,121614,0.6,244124,0.4,324010,2.00 78,1,2024-09-07 09:33:30:611,862876,862876,0,0,404869115939,4221467132,853229,7418,2229,367,391670,0 78,2,2024-09-07 09:33:31:405,618300,618287,13,0,24742064,0,8313 78,3,2024-09-07 09:33:31:133,1,491,21,0,311,4391,491,0 79,0,2024-09-07 09:33:31:365,120521,0.4,123544,0.6,252840,0.4,329446,2.00 79,1,2024-09-07 09:33:30:571,865234,865234,0,0,406015078441,4208337843,857923,5958,1353,367,391682,0 79,2,2024-09-07 09:33:31:072,623491,623491,0,0,23833053,0,4195 79,3,2024-09-07 09:33:30:755,1,491,0,0,418,6072,491,0 80,0,2024-09-07 09:33:31:088,121324,0.5,124576,0.7,238590,0.5,322807,2.00 80,1,2024-09-07 09:33:31:619,862411,862411,0,0,405590670367,4224117350,856614,5374,423,368,392269,0 80,2,2024-09-07 09:33:31:093,624589,624589,0,0,24757301,0,4433 80,3,2024-09-07 09:33:30:583,1,491,130,0,296,5940,491,0 81,0,2024-09-07 09:33:31:549,122350,0.5,125322,0.7,239088,0.5,324710,2.00 81,1,2024-09-07 09:33:31:658,861290,861290,0,0,405012866810,4236081456,854019,6634,637,382,391885,0 81,2,2024-09-07 09:33:31:130,620069,620006,63,0,27772218,0,5932 81,3,2024-09-07 09:33:31:118,1,491,15,0,719,5778,491,0 82,0,2024-09-07 09:33:31:555,122305,0.5,122478,0.7,245310,0.4,325052,2.00 82,1,2024-09-07 09:33:30:584,863618,863614,0,4,405582753857,4219361391,858552,4243,819,381,391768,4 82,2,2024-09-07 09:33:31:691,619840,619840,0,0,23092822,0,4484 82,3,2024-09-07 09:33:31:756,1,491,1,0,363,4854,491,0 83,0,2024-09-07 09:33:31:531,124170,0.6,124006,0.7,247560,0.6,329427,2.25 83,1,2024-09-07 09:33:30:551,862313,862313,0,0,405357845709,4227301740,856189,5673,451,382,391709,0 83,2,2024-09-07 09:33:30:770,621993,621968,25,0,24793294,0,5612 83,3,2024-09-07 09:33:30:753,1,491,5,0,1260,6256,491,0 84,0,2024-09-07 09:33:31:833,121867,0.8,122022,0.9,243669,0.8,325564,2.25 84,1,2024-09-07 09:33:31:048,860844,860844,0,0,404778003557,4235340856,850893,8431,1520,367,391967,0 84,2,2024-09-07 09:33:30:576,620793,620763,30,0,32832253,0,5971 84,3,2024-09-07 09:33:31:145,1,491,2,0,908,7233,491,0 85,0,2024-09-07 09:33:31:022,118870,0.6,118808,0.8,252137,0.5,326274,2.25 85,1,2024-09-07 09:33:30:564,858369,858369,0,0,403525762632,4266801286,844273,11444,2652,381,392092,0 85,2,2024-09-07 09:33:30:866,618909,618909,0,0,30887071,0,4255 85,3,2024-09-07 09:33:30:690,1,491,8,0,789,5764,491,0 86,0,2024-09-07 09:33:31:013,122449,0.6,125974,0.8,240910,0.7,326267,2.25 86,1,2024-09-07 09:33:30:861,861109,861109,0,0,404579850103,4244360774,849911,9231,1967,366,391961,0 86,2,2024-09-07 09:33:30:963,618444,618443,1,0,33331880,0,5004 86,3,2024-09-07 09:33:30:586,1,491,22,0,308,7262,491,0 87,0,2024-09-07 09:33:31:290,123843,1.0,123580,0.9,247372,1.3,330462,2.50 87,1,2024-09-07 09:33:30:556,859718,859718,0,0,404060309308,4240076025,847546,10461,1711,366,392076,0 87,2,2024-09-07 09:33:31:066,618849,618843,6,0,28869923,0,6323 87,3,2024-09-07 09:33:31:801,1,491,1,0,473,7494,491,0 88,0,2024-09-07 09:33:31:459,124148,0.5,124725,0.6,249252,0.4,332165,2.00 88,1,2024-09-07 09:33:30:574,859136,859136,0,0,403898262261,4233814866,847073,9656,2407,365,392084,0 88,2,2024-09-07 09:33:30:690,621742,621742,0,0,31484945,0,4465 88,3,2024-09-07 09:33:31:270,1,491,1,0,435,6002,491,0 89,0,2024-09-07 09:33:31:984,126692,0.4,122773,0.6,242807,0.4,330082,1.75 89,1,2024-09-07 09:33:30:550,859305,859305,0,0,404872779177,4262060709,847973,9517,1815,382,391866,0 89,2,2024-09-07 09:33:31:132,620891,620891,0,0,29922765,0,3173 89,3,2024-09-07 09:33:31:840,1,491,55,0,468,8220,491,0 90,0,2024-09-07 09:33:31:657,118280,0.4,121555,0.6,247714,0.4,323159,1.75 90,1,2024-09-07 09:33:30:635,861466,861466,0,0,404455349556,4234485758,853561,7244,661,380,391825,0 90,2,2024-09-07 09:33:31:410,619585,619585,0,0,32033869,0,3608 90,3,2024-09-07 09:33:30:939,1,491,6,0,322,6072,491,0 91,0,2024-09-07 09:33:30:933,123110,0.5,119268,0.6,249350,0.5,327442,1.75 91,1,2024-09-07 09:33:30:592,860015,860015,0,0,404774240223,4258940588,848742,9272,2001,381,392047,0 91,2,2024-09-07 09:33:31:334,617849,617849,0,0,27697080,0,3526 91,3,2024-09-07 09:33:30:604,1,491,4,0,216,4790,491,0 92,0,2024-09-07 09:33:31:509,126524,0.5,129340,0.6,246523,0.5,334401,1.75 92,1,2024-09-07 09:33:30:603,862145,862145,0,0,404228088999,4222678645,855554,5513,1078,381,392136,0 92,2,2024-09-07 09:33:31:354,623211,623211,0,0,24815591,0,3259 92,3,2024-09-07 09:33:31:016,1,491,2,0,167,4248,491,0 93,0,2024-09-07 09:33:31:043,122612,0.4,125679,0.6,239894,0.4,325034,1.75 93,1,2024-09-07 09:33:30:805,862090,862090,0,0,404901646309,4229018880,852328,8045,1717,366,391776,0 93,2,2024-09-07 09:33:30:928,622668,622668,0,0,30817834,0,4913 93,3,2024-09-07 09:33:31:412,1,491,15,0,190,4422,491,0 94,0,2024-09-07 09:33:31:627,121613,0.3,122235,0.5,244344,0.3,323995,1.75 94,1,2024-09-07 09:33:30:574,862194,862194,0,0,405567985570,4231758674,856394,5428,372,381,391850,0 94,2,2024-09-07 09:33:30:767,621376,621348,28,0,26116615,0,6179 94,3,2024-09-07 09:33:31:688,1,491,2,0,576,6289,491,0 95,0,2024-09-07 09:33:31:387,121936,0.3,121612,0.5,243685,0.3,324585,1.75 95,1,2024-09-07 09:33:30:857,863878,863878,0,0,405410333465,4213461312,857102,6295,481,365,391786,0 95,2,2024-09-07 09:33:31:024,617425,617425,0,0,24667362,0,3308 95,3,2024-09-07 09:33:31:710,1,491,95,0,718,7400,491,0 96,0,2024-09-07 09:33:31:041,124995,0.4,125217,0.6,250249,0.4,332659,1.75 96,1,2024-09-07 09:33:31:583,862154,862154,0,0,404777947160,4224674021,855787,5456,911,384,391955,0 96,2,2024-09-07 09:33:31:270,623538,623538,0,0,25753949,0,4180 96,3,2024-09-07 09:33:31:140,1,491,1,0,411,5312,491,0 97,0,2024-09-07 09:33:31:514,121893,0.3,121547,0.5,244138,0.3,323970,1.75 97,1,2024-09-07 09:33:30:766,863802,863802,0,0,406065754212,4213317201,858263,4603,936,367,392140,0 97,2,2024-09-07 09:33:30:610,623268,623268,0,0,25435991,0,3679 97,3,2024-09-07 09:33:30:584,1,491,9,0,242,5874,491,0 98,0,2024-09-07 09:33:31:692,122541,0.3,122775,0.4,246228,0.2,326672,1.50 98,1,2024-09-07 09:33:30:570,863239,863239,0,0,404987877681,4220428040,857851,4591,797,381,391997,0 98,2,2024-09-07 09:33:30:780,620603,620603,0,0,25346619,0,4336 98,3,2024-09-07 09:33:30:698,1,491,1,0,840,7678,491,0 99,0,2024-09-07 09:33:31:542,123041,0.3,123650,0.5,246090,0.3,328518,1.75 99,1,2024-09-07 09:33:31:722,863432,863432,0,0,404728950775,4215370772,857523,4988,921,380,392069,0 99,2,2024-09-07 09:33:31:423,620668,620668,0,0,30426256,0,4276 99,3,2024-09-07 09:33:30:583,1,491,12,0,606,5087,491,0 100,0,2024-09-07 09:33:31:497,123594,0.9,123966,1.0,247140,1.1,330856,2.50 100,1,2024-09-07 09:33:30:553,857832,857832,0,0,402884492788,4264867559,843643,11091,3098,378,391989,0 100,2,2024-09-07 09:33:31:819,618238,618227,11,0,29972474,0,5417 100,3,2024-09-07 09:33:31:746,1,491,42,0,627,8449,491,0 101,0,2024-09-07 09:33:31:733,126235,1.4,123067,1.2,241068,1.5,329685,2.50 101,1,2024-09-07 09:33:30:553,859170,859170,0,0,403802335796,4247497866,846954,9821,2395,368,391847,0 101,2,2024-09-07 09:33:31:756,617626,617626,0,0,34820473,0,4871 101,3,2024-09-07 09:33:30:954,1,491,11,0,1250,7709,491,0 102,0,2024-09-07 09:33:30:976,120233,0.6,123930,0.8,251718,0.6,329198,2.00 102,1,2024-09-07 09:33:31:153,859132,859132,0,0,404023431809,4249042725,846995,10118,2019,369,391891,0 102,2,2024-09-07 09:33:31:736,620584,620530,54,0,29563102,0,6768 102,3,2024-09-07 09:33:31:612,1,491,2,0,466,5993,491,0 103,0,2024-09-07 09:33:31:593,126244,0.5,126229,0.7,237859,0.5,327217,2.00 103,1,2024-09-07 09:33:31:632,858598,858598,0,0,403763927728,4266900533,844694,10707,3197,381,391862,0 103,2,2024-09-07 09:33:30:583,619956,619956,0,0,30948058,0,3766 103,3,2024-09-07 09:33:30:765,1,491,17,0,916,6061,491,0 104,0,2024-09-07 09:33:31:017,122678,0.7,122903,0.9,244755,0.7,327922,2.25 104,1,2024-09-07 09:33:31:803,860988,860988,0,0,403959314295,4247504920,848035,10406,2547,365,392168,0 104,2,2024-09-07 09:33:31:701,615966,615966,0,0,29606779,0,3941 104,3,2024-09-07 09:33:31:416,1,491,2,0,1245,8949,491,0 105,0,2024-09-07 09:33:31:035,122898,0.8,119768,1.0,251183,0.9,329854,2.50 105,1,2024-09-07 09:33:30:562,862051,862051,0,0,404557680184,4242612485,850521,9766,1764,366,392009,0 105,2,2024-09-07 09:33:31:323,620633,620633,0,0,29569395,0,3509 105,3,2024-09-07 09:33:31:309,1,491,9,0,399,7194,491,0 106,0,2024-09-07 09:33:30:996,118053,0.8,120841,0.9,247779,0.8,323624,2.50 106,1,2024-09-07 09:33:31:762,860954,860954,0,0,404149102962,4243936127,848514,10796,1644,368,391914,0 106,2,2024-09-07 09:33:30:766,621123,621123,0,0,28529974,0,2920 106,3,2024-09-07 09:33:30:719,1,491,29,0,1224,7549,491,0 107,0,2024-09-07 09:33:31:110,121694,0.8,121932,0.9,243691,0.8,324718,2.00 107,1,2024-09-07 09:33:30:599,859179,859179,0,0,403526289114,4256281725,846889,11010,1280,381,392234,0 107,2,2024-09-07 09:33:31:291,619619,619618,1,0,31103877,0,5024 107,3,2024-09-07 09:33:31:758,1,491,6,0,370,6977,491,0 108,0,2024-09-07 09:33:31:773,121698,0.4,122441,0.6,243569,0.4,325384,1.75 108,1,2024-09-07 09:33:31:300,861754,861754,0,0,404681004026,4220263963,854654,6262,838,367,391857,0 108,2,2024-09-07 09:33:31:758,616281,616281,0,0,27515675,0,4246 108,3,2024-09-07 09:33:31:343,1,491,214,0,749,10063,491,0 109,0,2024-09-07 09:33:31:776,125439,0.4,124459,0.6,249202,0.4,333499,1.75 109,1,2024-09-07 09:33:30:593,859687,859687,0,0,404704110524,4246959147,851665,6810,1212,382,392132,0 109,2,2024-09-07 09:33:30:929,620689,620689,0,0,27128715,0,3617 109,3,2024-09-07 09:33:31:145,1,491,14,0,630,6233,491,0 110,0,2024-09-07 09:33:31:769,121382,0.4,118152,0.6,247549,0.4,324095,1.75 110,1,2024-09-07 09:33:31:650,863765,863765,0,0,405679739669,4209010222,857842,4703,1220,368,392045,0 110,2,2024-09-07 09:33:31:303,623190,623190,0,0,26213021,0,4067 110,3,2024-09-07 09:33:30:693,1,491,1,0,722,7073,491,0 111,0,2024-09-07 09:33:31:439,122747,0.3,122096,0.5,244557,0.3,326028,1.75 111,1,2024-09-07 09:33:31:000,864684,864684,0,0,406011473624,4210171757,860356,3972,356,380,391690,0 111,2,2024-09-07 09:33:31:116,620298,620298,0,0,26486181,0,4823 111,3,2024-09-07 09:33:30:915,1,491,10,0,379,5737,491,0 112,0,2024-09-07 09:33:30:909,123309,0.3,122641,0.4,246016,0.2,326066,1.50 112,1,2024-09-07 09:33:30:830,863388,863388,0,0,406193235872,4219184017,857506,5193,689,380,391624,0 112,2,2024-09-07 09:33:31:133,618343,618342,1,0,25448924,0,5036 112,3,2024-09-07 09:33:30:597,1,491,2,0,282,4877,491,0 113,0,2024-09-07 09:33:30:971,124327,0.3,124325,0.5,248866,0.3,331347,1.75 113,1,2024-09-07 09:33:31:780,866043,866043,0,0,407219965023,4203492116,861119,4115,809,366,391661,0 113,2,2024-09-07 09:33:31:308,624478,624478,0,0,22824584,0,3813 113,3,2024-09-07 09:33:30:692,1,491,1,0,340,5323,491,0 114,0,2024-09-07 09:33:30:880,123311,0.3,124190,0.5,246753,0.3,329533,1.75 114,1,2024-09-07 09:33:30:724,864005,864005,0,0,405505584236,4212884926,857311,5078,1616,381,391565,0 114,2,2024-09-07 09:33:30:874,623796,623795,1,0,24644914,0,5069 114,3,2024-09-07 09:33:31:278,1,491,1,0,395,3950,491,0 115,0,2024-09-07 09:33:30:562,123933,0.3,124373,0.4,248102,0.2,329767,1.50 115,1,2024-09-07 09:33:30:571,864127,864127,0,0,405869565305,4220585349,856748,6022,1357,382,391757,0 115,2,2024-09-07 09:33:31:125,623013,623013,0,0,24287895,0,4382 115,3,2024-09-07 09:33:31:010,1,491,1,0,167,2923,491,0 116,0,2024-09-07 09:33:31:718,122174,0.6,122145,0.8,244810,0.6,327364,2.00 116,1,2024-09-07 09:33:30:815,859521,859521,0,0,403722014560,4258685721,848970,8109,2442,380,392089,0 116,2,2024-09-07 09:33:31:760,619213,619213,0,0,31652974,0,4475 116,3,2024-09-07 09:33:30:921,1,491,3,0,415,6246,491,0 117,0,2024-09-07 09:33:30:979,124095,0.8,123572,0.9,247674,1.0,330794,2.00 117,1,2024-09-07 09:33:31:584,860234,860234,0,0,403652230484,4230222407,850277,8732,1225,369,392033,0 117,2,2024-09-07 09:33:31:126,621482,621482,0,0,27209081,0,4303 117,3,2024-09-07 09:33:31:061,1,491,9,0,490,6974,491,0 118,0,2024-09-07 09:33:31:833,120582,0.6,123960,0.7,252611,0.6,330358,2.00 118,1,2024-09-07 09:33:30:629,859992,859992,0,0,404230215084,4253864286,846588,10285,3119,366,392054,0 118,2,2024-09-07 09:33:31:607,620652,620652,0,0,29828355,0,2842 118,3,2024-09-07 09:33:31:779,1,491,7,0,248,5823,491,0 119,0,2024-09-07 09:33:31:342,122881,0.6,123212,0.8,246305,0.6,328146,2.00 119,1,2024-09-07 09:33:30:551,860468,860468,0,0,404452790755,4242088003,848859,9844,1765,367,391857,0 119,2,2024-09-07 09:33:31:266,621649,621649,0,0,28175910,0,4174 119,3,2024-09-07 09:33:31:341,1,491,1,0,1358,9659,491,0 120,0,2024-09-07 09:33:31:557,121232,0.6,120997,0.8,242944,0.6,323805,2.25 120,1,2024-09-07 09:33:30:864,861045,861045,0,0,404267906611,4240510922,851854,8414,777,368,392144,0 120,2,2024-09-07 09:33:30:773,620210,620209,1,0,32216002,0,5281 120,3,2024-09-07 09:33:31:301,1,491,0,0,279,6204,491,0 121,0,2024-09-07 09:33:31:690,122798,1.2,122161,1.1,245040,1.7,326781,2.25 121,1,2024-09-07 09:33:31:656,861598,861598,0,0,405141647399,4237144366,853189,7709,700,367,391840,0 121,2,2024-09-07 09:33:31:131,616089,616089,0,0,29471344,0,4157 121,3,2024-09-07 09:33:30:729,1,491,7,0,330,6047,491,0 122,0,2024-09-07 09:33:31:767,124451,0.9,121243,0.9,254034,1.0,334213,2.25 122,1,2024-09-07 09:33:30:868,859776,859776,0,0,404022693722,4240875689,847666,10233,1877,366,392130,0 122,2,2024-09-07 09:33:31:326,622501,622428,73,0,33403769,0,5989 122,3,2024-09-07 09:33:30:609,1,491,1,0,512,8631,491,0 123,0,2024-09-07 09:33:30:961,121153,0.8,118022,0.9,247283,1.0,323509,2.25 123,1,2024-09-07 09:33:30:560,860282,860282,0,0,404416022264,4257181071,845826,11984,2472,369,392039,0 123,2,2024-09-07 09:33:31:019,620590,620589,1,0,28734260,0,5215 123,3,2024-09-07 09:33:31:132,1,491,1,0,478,5935,491,0 124,0,2024-09-07 09:33:30:929,125475,0.3,125463,0.5,236512,0.3,325001,1.75 124,1,2024-09-07 09:33:31:022,863552,863552,0,0,404714509357,4207891486,857157,5279,1116,365,392178,0 124,2,2024-09-07 09:33:31:018,622195,622142,53,0,25979802,0,6487 124,3,2024-09-07 09:33:30:761,1,491,4,0,490,5134,491,0 125,0,2024-09-07 09:33:31:422,121674,0.4,121452,0.6,243911,0.4,324431,1.75 125,1,2024-09-07 09:33:30:868,861596,861596,0,0,404451338399,4224472825,854645,5970,981,382,391813,0 125,2,2024-09-07 09:33:31:116,618982,618982,0,0,25905992,0,4534 125,3,2024-09-07 09:33:31:130,1,491,17,0,709,6052,491,0 126,0,2024-09-07 09:33:31:435,124930,0.4,128515,0.6,245892,0.4,333432,1.75 126,1,2024-09-07 09:33:30:621,864747,864747,0,0,406147309657,4204791471,860331,4118,298,365,391987,0 126,2,2024-09-07 09:33:30:610,623922,623922,0,0,26517007,0,4539 126,3,2024-09-07 09:33:30:916,1,491,1,0,268,5676,491,0 127,0,2024-09-07 09:33:31:590,122119,0.3,122424,0.5,244180,0.3,324314,1.75 127,1,2024-09-07 09:33:30:571,863387,863387,0,0,405553929367,4220886012,854618,7305,1464,364,392187,0 127,2,2024-09-07 09:33:30:642,621699,621695,4,0,25250486,0,5305 127,3,2024-09-07 09:33:31:268,1,491,2,0,968,5048,491,0 128,0,2024-09-07 09:33:31:520,123386,0.3,123526,0.4,246617,0.2,327626,1.50 128,1,2024-09-07 09:33:31:607,862521,862521,0,0,406616991884,4224375854,856406,5385,730,367,392031,0 128,2,2024-09-07 09:33:31:383,622274,622274,0,0,24057272,0,3171 128,3,2024-09-07 09:33:30:776,1,491,23,0,1082,7925,491,0 129,0,2024-09-07 09:33:31:013,124026,0.3,123495,0.5,247520,0.3,328962,1.50 129,1,2024-09-07 09:33:30:576,860201,860201,0,0,403539886356,4230152014,851691,6774,1736,379,391962,0 129,2,2024-09-07 09:33:30:692,620889,620885,4,0,26086274,0,5335 129,3,2024-09-07 09:33:30:691,1,491,14,0,506,7118,491,0 130,0,2024-09-07 09:33:31:720,124671,0.5,124292,0.6,249635,0.5,332283,1.75 130,1,2024-09-07 09:33:30:595,863461,863461,0,0,404850169363,4208256201,858788,4287,386,381,391825,0 130,2,2024-09-07 09:33:31:125,621994,621994,0,0,25568132,0,4067 130,3,2024-09-07 09:33:31:291,1,491,1,0,960,7196,491,0 131,0,2024-09-07 09:33:31:924,123924,0.4,124322,0.5,249225,0.3,330648,1.75 131,1,2024-09-07 09:33:31:822,862710,862710,0,0,405144565853,4230213209,855874,5645,1191,381,391865,0 131,2,2024-09-07 09:33:30:569,623102,623102,0,0,23952136,0,3979 131,3,2024-09-07 09:33:31:689,1,491,33,0,392,5892,491,0 132,0,2024-09-07 09:33:31:497,123571,0.4,124875,0.6,248221,0.4,330313,2.00 132,1,2024-09-07 09:33:30:578,859048,859048,0,0,403622274958,4250693440,846619,10238,2191,381,392532,0 132,2,2024-09-07 09:33:30:699,620595,620578,17,0,32177018,0,6451 132,3,2024-09-07 09:33:31:688,1,491,3,0,804,8563,491,0 133,0,2024-09-07 09:33:31:534,119130,0.4,121951,0.6,250103,0.4,325361,1.75 133,1,2024-09-07 09:33:30:645,859173,859173,0,0,403651248400,4257256139,847095,10442,1636,383,391914,0 133,2,2024-09-07 09:33:31:086,621197,621147,50,0,32517535,0,6861 133,3,2024-09-07 09:33:31:313,1,491,22,0,479,5626,491,0 134,0,2024-09-07 09:33:30:938,123888,0.5,123708,0.7,247735,0.5,330422,2.25 134,1,2024-09-07 09:33:30:587,860115,860115,0,0,404101380293,4240415849,848764,9205,2146,366,391718,0 134,2,2024-09-07 09:33:31:756,616807,616783,24,0,30334584,0,6207 134,3,2024-09-07 09:33:30:757,1,491,2,0,739,6180,491,0 135,0,2024-09-07 09:33:31:113,120307,0.8,120264,0.9,255229,1.0,329113,2.25 135,1,2024-09-07 09:33:31:603,860171,860171,0,0,404898629238,4261741921,848604,10002,1565,380,391805,0 135,2,2024-09-07 09:33:30:690,621375,621375,0,0,30606505,0,4503 135,3,2024-09-07 09:33:31:010,1,491,2,0,900,5108,491,0 136,0,2024-09-07 09:33:31:645,122815,0.5,123051,0.7,245074,0.5,326915,2.00 136,1,2024-09-07 09:33:31:462,861588,861588,0,0,404088044136,4239016546,851377,8944,1267,381,392135,0 136,2,2024-09-07 09:33:31:133,623478,623478,0,0,28922492,0,4396 136,3,2024-09-07 09:33:31:107,1,491,1,0,637,5665,491,0 137,0,2024-09-07 09:33:30:945,125809,0.5,122576,0.7,240231,0.5,325898,2.00 137,1,2024-09-07 09:33:30:612,860093,860093,0,0,403923009738,4237474244,846857,10566,2670,366,391898,0 137,2,2024-09-07 09:33:31:710,620049,620049,0,0,31240639,0,3185 137,3,2024-09-07 09:33:30:776,1,491,9,0,484,6298,491,0 138,0,2024-09-07 09:33:31:784,121371,0.9,121470,0.9,243672,1.1,323986,2.25 138,1,2024-09-07 09:33:31:760,860795,860795,0,0,404502931008,4245149579,848426,10402,1967,368,391954,0 138,2,2024-09-07 09:33:30:586,616029,616029,0,0,28601961,0,4988 138,3,2024-09-07 09:33:30:610,1,491,3,0,1200,7954,491,0 139,0,2024-09-07 09:33:31:372,123807,1.3,124152,1.0,248372,1.9,331888,2.50 139,1,2024-09-07 09:33:30:574,856242,856242,0,0,402006255083,4268156012,840462,12321,3459,380,392058,0 139,2,2024-09-07 09:33:30:699,618406,618406,0,0,32351127,0,3097 139,3,2024-09-07 09:33:31:664,1,491,1,0,432,5760,491,0 140,0,2024-09-07 09:33:31:587,122128,0.3,121274,0.5,243506,0.2,324271,1.75 140,1,2024-09-07 09:33:31:538,865830,865830,0,0,406782463251,4193290438,861779,3590,461,364,391606,0 140,2,2024-09-07 09:33:30:691,623885,623884,1,0,24422636,0,5036 140,3,2024-09-07 09:33:30:771,1,491,10,0,297,4737,491,0 141,0,2024-09-07 09:33:31:699,122713,0.3,125849,0.4,240279,0.2,325668,1.50 141,1,2024-09-07 09:33:30:883,864314,864314,0,0,406272632889,4221456423,857450,5779,1085,379,391614,0 141,2,2024-09-07 09:33:31:686,621240,621229,11,0,25958570,0,5369 141,3,2024-09-07 09:33:31:043,1,491,12,0,391,5453,491,0 142,0,2024-09-07 09:33:31:323,123332,0.3,122476,0.5,245564,0.3,326768,1.50 142,1,2024-09-07 09:33:30:614,862222,862222,0,0,405122660417,4223285720,856679,5085,458,382,392102,0 142,2,2024-09-07 09:33:31:301,618162,618130,32,0,26334458,0,6028 142,3,2024-09-07 09:33:31:746,1,491,22,0,484,5239,491,0 143,0,2024-09-07 09:33:31:395,124315,0.4,124256,0.6,249069,0.4,331430,1.75 143,1,2024-09-07 09:33:30:579,864157,864157,0,0,405794963996,4212469017,858225,5379,553,367,391705,0 143,2,2024-09-07 09:33:30:772,623228,623228,0,0,25581774,0,3123 143,3,2024-09-07 09:33:31:141,1,491,125,0,462,6358,491,0 144,0,2024-09-07 09:33:31:491,119186,0.7,122535,0.8,249551,0.7,326566,2.25 144,1,2024-09-07 09:33:30:569,859967,859967,0,0,402860254296,4230655782,850806,7199,1962,381,391733,0 144,2,2024-09-07 09:33:31:760,623442,623442,0,0,24564269,0,3673 144,3,2024-09-07 09:33:31:747,1,491,1,0,249,4886,491,0 145,0,2024-09-07 09:33:31:360,119521,0.5,119500,0.8,253560,0.5,326536,2.25 145,1,2024-09-07 09:33:30:554,858908,858908,0,0,403739484855,4255068657,846621,9823,2464,382,391759,0 145,2,2024-09-07 09:33:31:446,617987,617905,82,0,30972476,0,7814 145,3,2024-09-07 09:33:30:896,1,491,8,0,622,6845,491,0 146,0,2024-09-07 09:33:31:610,122614,0.6,122096,0.7,245189,0.5,325797,2.25 146,1,2024-09-07 09:33:31:588,860568,860568,0,0,404016182821,4251523807,846274,10496,3798,367,391770,0 146,2,2024-09-07 09:33:31:696,618738,618738,0,0,28569698,0,3290 146,3,2024-09-07 09:33:31:294,1,491,7,0,1520,8581,491,0 147,0,2024-09-07 09:33:31:719,124144,0.7,123867,0.8,247116,0.7,330338,2.25 147,1,2024-09-07 09:33:31:374,863760,863760,0,0,405406865179,4213914328,856767,6143,850,367,391791,0 147,2,2024-09-07 09:33:31:011,619050,619050,0,0,25515752,0,2968 147,3,2024-09-07 09:33:30:916,1,491,5,0,1626,8257,491,0 0,0,2024-09-07 09:33:41:739,118490,0.6,118548,0.7,251511,0.6,324813,2.00 0,1,2024-09-07 09:33:40:827,862678,862678,0,0,405330782266,4247814277,855195,6644,839,369,391896,0 0,2,2024-09-07 09:33:41:103,623962,623962,0,0,26025993,0,4480 0,3,2024-09-07 09:33:40:976,1,492,1,0,431,7029,492,0 1,0,2024-09-07 09:33:41:754,122795,1.0,122248,1.0,245083,1.3,327627,2.00 1,1,2024-09-07 09:33:40:603,861973,861973,0,0,404083206878,4236447038,853574,6933,1466,370,391859,0 1,2,2024-09-07 09:33:40:653,618778,618778,0,0,25041030,0,3380 1,3,2024-09-07 09:33:41:310,1,492,10,0,269,6360,492,0 2,0,2024-09-07 09:33:41:577,125178,0.6,125111,0.7,250141,0.6,333623,2.00 2,1,2024-09-07 09:33:40:866,864977,864977,0,0,406417114504,4224235818,860448,3727,802,380,391745,0 2,2,2024-09-07 09:33:41:273,624538,624538,0,0,23659806,0,3594 2,3,2024-09-07 09:33:40:690,1,492,0,0,357,4613,492,0 3,0,2024-09-07 09:33:41:744,121825,0.4,121746,0.6,243026,0.4,323991,2.00 3,1,2024-09-07 09:33:41:620,863457,863457,0,0,405454135682,4223561883,856142,6620,695,379,391716,0 3,2,2024-09-07 09:33:41:166,624668,624645,23,0,25140875,0,5851 3,3,2024-09-07 09:33:41:753,1,492,10,0,207,3120,492,0 4,0,2024-09-07 09:33:41:795,118319,0.4,121576,0.5,247989,0.3,323953,1.75 4,1,2024-09-07 09:33:40:597,859898,859898,0,0,403440121583,4278946030,843796,12421,3681,370,391992,0 4,2,2024-09-07 09:33:41:037,619472,619472,0,0,30951760,0,4534 4,3,2024-09-07 09:33:41:038,1,492,3,0,448,6473,492,0 5,0,2024-09-07 09:33:41:376,121646,0.4,122238,0.6,243902,0.4,324151,1.75 5,1,2024-09-07 09:33:40:771,861368,861368,0,0,404485549470,4262117198,848747,10209,2412,367,392005,0 5,2,2024-09-07 09:33:41:832,615378,615378,0,0,29742787,0,3582 5,3,2024-09-07 09:33:41:732,1,492,8,0,457,6912,492,0 6,0,2024-09-07 09:33:40:926,125284,0.5,124908,0.7,250070,0.5,333897,2.00 6,1,2024-09-07 09:33:40:752,863511,863511,0,0,404392520385,4228062560,854047,8008,1456,379,391702,0 6,2,2024-09-07 09:33:41:121,623698,623680,18,0,29090583,0,5535 6,3,2024-09-07 09:33:41:282,1,492,7,0,710,6164,492,0 7,0,2024-09-07 09:33:41:537,121117,0.6,122046,0.7,242916,0.5,323213,2.00 7,1,2024-09-07 09:33:40:858,861380,861380,0,0,404749383858,4256407696,848461,10688,2231,382,391747,0 7,2,2024-09-07 09:33:40:771,623762,623762,0,0,28229200,0,4791 7,3,2024-09-07 09:33:40:851,1,492,1,0,552,5715,492,0 8,0,2024-09-07 09:33:41:398,123181,0.3,122891,0.5,246472,0.3,327747,1.75 8,1,2024-09-07 09:33:41:376,860507,860507,0,0,403751578652,4249281457,846366,11015,3126,366,392853,0 8,2,2024-09-07 09:33:40:814,617377,617375,2,0,33494690,0,5112 8,3,2024-09-07 09:33:40:607,1,492,5,0,538,7628,492,0 9,0,2024-09-07 09:33:41:192,123286,0.4,119964,0.5,251091,0.3,328939,1.75 9,1,2024-09-07 09:33:40:553,860189,860189,0,0,404555154614,4263931552,847067,10522,2600,369,392001,0 9,2,2024-09-07 09:33:41:100,619322,619321,1,0,30246312,0,5281 9,3,2024-09-07 09:33:41:751,1,492,26,0,1273,8493,492,0 10,0,2024-09-07 09:33:41:617,124361,0.4,124009,0.5,248824,0.3,331587,1.75 10,1,2024-09-07 09:33:40:609,863116,863116,0,0,404098118554,4238212607,850843,10183,2090,381,391741,0 10,2,2024-09-07 09:33:40:762,622234,622234,0,0,32668158,0,4264 10,3,2024-09-07 09:33:40:873,1,492,1,0,649,5240,492,0 11,0,2024-09-07 09:33:41:045,123846,0.5,120199,0.7,251073,0.5,330773,1.75 11,1,2024-09-07 09:33:40:576,862848,862848,0,0,405679458242,4258906452,849374,10012,3462,383,391756,0 11,2,2024-09-07 09:33:41:131,622237,622237,0,0,28875905,0,4130 11,3,2024-09-07 09:33:41:308,1,492,214,0,843,6849,492,0 12,0,2024-09-07 09:33:41:007,124680,0.4,124699,0.5,249356,0.3,331451,1.75 12,1,2024-09-07 09:33:40:960,863034,863034,0,0,404984001821,4225505905,855964,6403,667,370,391960,0 12,2,2024-09-07 09:33:41:560,621979,621979,0,0,28081377,0,4390 12,3,2024-09-07 09:33:41:066,1,492,27,0,386,6817,492,0 13,0,2024-09-07 09:33:41:351,123446,0.4,123051,0.6,245483,0.4,327250,1.75 13,1,2024-09-07 09:33:41:537,861587,861587,0,0,404788627958,4257990852,852034,7260,2293,382,391740,0 13,2,2024-09-07 09:33:40:597,623861,623861,0,0,25669325,0,3287 13,3,2024-09-07 09:33:41:769,1,492,41,0,522,7072,492,0 14,0,2024-09-07 09:33:40:563,124000,0.4,125035,0.6,247865,0.4,330876,1.75 14,1,2024-09-07 09:33:41:565,866992,866992,0,0,407599001585,4219037337,860449,5829,714,364,391673,0 14,2,2024-09-07 09:33:40:768,620175,620145,30,0,26597620,0,6104 14,3,2024-09-07 09:33:41:177,1,492,0,0,1168,5281,492,0 15,0,2024-09-07 09:33:41:568,124273,0.4,123914,0.6,248687,0.3,330941,2.00 15,1,2024-09-07 09:33:41:611,864257,864257,0,0,406314596650,4232198553,857126,5675,1456,381,391619,0 15,2,2024-09-07 09:33:41:010,625146,625146,0,0,22669888,0,3622 15,3,2024-09-07 09:33:41:411,1,492,1,0,1126,7264,492,0 16,0,2024-09-07 09:33:41:039,122602,0.5,123318,0.7,245539,0.5,327080,2.00 16,1,2024-09-07 09:33:40:606,864144,864144,0,0,405515645554,4242412596,855976,6872,1296,370,391917,0 16,2,2024-09-07 09:33:41:438,623163,623163,0,0,27039786,0,4719 16,3,2024-09-07 09:33:41:171,1,492,11,0,358,6335,492,0 17,0,2024-09-07 09:33:41:806,125822,0.6,122787,0.7,240415,0.6,326122,2.00 17,1,2024-09-07 09:33:40:584,862149,862149,0,0,404844719924,4249536394,853252,7152,1745,368,392075,0 17,2,2024-09-07 09:33:41:673,625022,625021,1,0,27608795,0,5050 17,3,2024-09-07 09:33:40:587,1,492,18,0,518,7578,492,0 18,0,2024-09-07 09:33:40:943,121090,0.6,121719,0.8,242519,0.7,324229,2.25 18,1,2024-09-07 09:33:41:645,866180,866180,0,0,406925050775,4221581442,860660,4663,857,367,391725,0 18,2,2024-09-07 09:33:41:754,620447,620447,0,0,24317332,0,3541 18,3,2024-09-07 09:33:40:907,1,492,1,0,1059,4837,492,0 19,0,2024-09-07 09:33:41:541,124780,0.6,125218,0.8,248762,0.6,331760,2.25 19,1,2024-09-07 09:33:40:581,865729,865729,0,0,406506902668,4218453196,858790,5855,1084,367,391777,0 19,2,2024-09-07 09:33:41:752,626656,626656,0,0,22821434,0,3988 19,3,2024-09-07 09:33:41:148,1,492,11,0,524,4086,492,0 20,0,2024-09-07 09:33:41:355,121510,0.5,121675,0.7,242950,0.5,323893,2.00 20,1,2024-09-07 09:33:40:587,862757,862757,0,0,405175313296,4248134214,853635,7760,1362,369,391922,0 20,2,2024-09-07 09:33:40:980,623291,623291,0,0,27924913,0,3721 20,3,2024-09-07 09:33:40:622,1,492,4,0,468,8634,492,0 21,0,2024-09-07 09:33:41:218,122422,0.4,122545,0.6,244982,0.4,324903,1.75 21,1,2024-09-07 09:33:41:583,860465,860465,0,0,404116684628,4269904489,846350,10998,3117,368,392016,0 21,2,2024-09-07 09:33:41:112,619128,619108,20,0,32950568,0,5617 21,3,2024-09-07 09:33:41:419,1,492,19,0,713,6604,492,0 22,0,2024-09-07 09:33:41:722,122466,0.5,122862,0.7,245398,0.4,324890,2.00 22,1,2024-09-07 09:33:41:037,861495,861495,0,0,404297193780,4261697912,846387,11884,3224,382,391822,0 22,2,2024-09-07 09:33:40:771,617517,617491,26,0,27606415,0,6328 22,3,2024-09-07 09:33:41:080,1,492,1,0,228,4191,492,0 23,0,2024-09-07 09:33:41:373,124189,0.5,123671,0.7,247548,0.5,330455,2.25 23,1,2024-09-07 09:33:41:009,862688,862688,0,0,405362926198,4260302167,847071,11209,4408,365,391690,0 23,2,2024-09-07 09:33:41:100,624678,624678,0,0,26194955,0,3773 23,3,2024-09-07 09:33:41:754,1,492,1,0,720,6684,492,0 24,0,2024-09-07 09:33:40:874,124114,0.4,123252,0.6,247819,0.4,328660,1.75 24,1,2024-09-07 09:33:40:586,862074,862074,0,0,404584760245,4239331904,853349,7061,1664,367,392269,0 24,2,2024-09-07 09:33:41:098,623303,623303,0,0,30784044,0,4438 24,3,2024-09-07 09:33:41:692,1,492,7,0,468,6417,492,0 25,0,2024-09-07 09:33:41:341,127147,0.4,123882,0.6,243047,0.4,330892,1.75 25,1,2024-09-07 09:33:40:581,860568,860568,0,0,404745225122,4273033622,845761,11838,2969,369,391928,0 25,2,2024-09-07 09:33:41:613,620001,620001,0,0,32146101,0,3978 25,3,2024-09-07 09:33:41:012,1,492,8,0,532,5528,492,0 26,0,2024-09-07 09:33:41:721,122582,0.4,119895,0.6,251502,0.4,327454,1.75 26,1,2024-09-07 09:33:41:543,863832,863832,0,0,405110759972,4245481415,851308,10099,2425,380,391748,0 26,2,2024-09-07 09:33:40:869,621006,621006,0,0,33955656,0,4689 26,3,2024-09-07 09:33:41:715,1,492,5,0,796,5641,492,0 27,0,2024-09-07 09:33:41:722,124376,0.5,124714,0.6,247864,0.4,330873,2.25 27,1,2024-09-07 09:33:41:681,864986,864986,0,0,406885710472,4240953326,856449,7270,1267,381,391626,0 27,2,2024-09-07 09:33:40:874,618742,618677,65,0,29231406,0,5699 27,3,2024-09-07 09:33:41:032,1,492,11,0,564,4456,492,0 28,0,2024-09-07 09:33:41:395,125132,0.4,124709,0.6,250298,0.3,333983,2.00 28,1,2024-09-07 09:33:40:797,864858,864858,0,0,406379726309,4239336561,857292,5866,1700,382,391698,0 28,2,2024-09-07 09:33:41:764,623238,623238,0,0,25746943,0,2915 28,3,2024-09-07 09:33:41:776,1,492,0,0,502,5066,492,0 29,0,2024-09-07 09:33:41:366,126946,0.4,123671,0.6,242410,0.3,330887,1.75 29,1,2024-09-07 09:33:41:570,867567,867567,0,0,406931461633,4211003023,862222,4586,759,368,391809,0 29,2,2024-09-07 09:33:40:876,622691,622691,0,0,24887354,0,4986 29,3,2024-09-07 09:33:40:986,1,492,8,0,459,5585,492,0 30,0,2024-09-07 09:33:41:475,121800,0.5,118278,0.7,247615,0.4,323789,2.00 30,1,2024-09-07 09:33:40:599,866577,866577,0,0,407145592566,4227235307,859929,5799,849,380,391672,0 30,2,2024-09-07 09:33:41:274,623542,623542,0,0,24405578,0,4192 30,3,2024-09-07 09:33:40:584,1,492,1,0,519,4928,492,0 31,0,2024-09-07 09:33:41:759,122554,0.5,122883,0.7,245438,0.4,327756,2.00 31,1,2024-09-07 09:33:40:602,869297,869297,0,0,408854388646,4196923096,864571,3820,906,356,391712,0 31,2,2024-09-07 09:33:41:283,617936,617936,0,0,26678987,0,4470 31,3,2024-09-07 09:33:41:714,1,492,4,0,220,4197,492,0 32,0,2024-09-07 09:33:41:432,125601,0.3,126353,0.5,251416,0.3,335495,1.75 32,1,2024-09-07 09:33:40:817,865218,865218,0,0,406807721684,4231023666,859679,4832,707,381,391646,0 32,2,2024-09-07 09:33:40:935,624689,624689,0,0,23345730,0,3922 32,3,2024-09-07 09:33:41:019,1,492,1,0,304,3976,492,0 33,0,2024-09-07 09:33:41:526,122392,0.3,121991,0.4,244052,0.2,325095,1.50 33,1,2024-09-07 09:33:40:600,866446,866446,0,0,407031798200,4220285224,859229,6045,1172,368,391730,0 33,2,2024-09-07 09:33:40:762,624960,624925,35,0,26067409,0,7012 33,3,2024-09-07 09:33:40:903,1,492,8,0,329,4746,492,0 34,0,2024-09-07 09:33:40:928,122282,0.3,125656,0.4,240138,0.2,323257,1.75 34,1,2024-09-07 09:33:41:053,868318,868318,0,0,408126993922,4198663717,865934,2362,22,367,391562,0 34,2,2024-09-07 09:33:40:773,624037,624037,0,0,24609676,0,4562 34,3,2024-09-07 09:33:41:688,1,492,1,0,320,3965,492,0 35,0,2024-09-07 09:33:40:867,121088,0.3,121737,0.5,244594,0.3,324935,1.75 35,1,2024-09-07 09:33:41:074,865647,865647,0,0,407030460287,4223106577,859755,4833,1059,382,391769,0 35,2,2024-09-07 09:33:41:590,617892,617892,0,0,26835637,0,4055 35,3,2024-09-07 09:33:40:918,1,492,1,0,418,4767,492,0 36,0,2024-09-07 09:33:41:521,125616,0.5,125561,0.7,250921,0.5,334710,2.25 36,1,2024-09-07 09:33:40:587,864174,864174,0,0,404711739898,4233651173,851903,10053,2218,366,391759,0 36,2,2024-09-07 09:33:41:750,624461,624461,0,0,28631883,0,3875 36,3,2024-09-07 09:33:40:869,1,492,3,0,416,7057,492,0 37,0,2024-09-07 09:33:41:386,121366,0.5,121336,0.7,243020,0.5,323664,2.25 37,1,2024-09-07 09:33:40:591,862979,862972,0,7,405753308120,4241767158,851968,8331,2673,365,391770,0 37,2,2024-09-07 09:33:41:188,621949,621934,15,0,28811678,0,5815 37,3,2024-09-07 09:33:41:765,1,492,12,0,888,7136,492,0 38,0,2024-09-07 09:33:41:435,122358,0.4,118666,0.6,248299,0.4,324959,2.00 38,1,2024-09-07 09:33:41:611,864512,864512,0,0,406280196814,4252879951,851336,10658,2518,368,391821,0 38,2,2024-09-07 09:33:40:770,620472,620425,47,0,29060885,0,6710 38,3,2024-09-07 09:33:41:008,1,492,1,0,689,6522,492,0 39,0,2024-09-07 09:33:41:759,126235,0.5,123458,0.7,240643,0.5,328261,2.00 39,1,2024-09-07 09:33:40:716,862676,862676,0,0,404345076284,4249232887,846914,12293,3469,365,391658,0 39,2,2024-09-07 09:33:41:429,620725,620725,0,0,26916118,0,3391 39,3,2024-09-07 09:33:40:716,1,492,0,0,525,5915,492,0 40,0,2024-09-07 09:33:41:507,123106,0.9,123815,1.0,247091,1.0,329681,2.75 40,1,2024-09-07 09:33:40:589,864573,864573,0,0,405051919461,4240693625,852593,9802,2178,368,391668,0 40,2,2024-09-07 09:33:41:309,621021,621020,1,0,32214759,0,5137 40,3,2024-09-07 09:33:41:183,1,492,2,0,1028,6644,492,0 41,0,2024-09-07 09:33:41:030,123139,1.4,125752,1.2,240211,2.1,326700,3.25 41,1,2024-09-07 09:33:40:775,862458,862458,0,0,405256505054,4244034583,850881,9647,1930,369,391742,0 41,2,2024-09-07 09:33:40:762,620688,620687,1,0,30512352,0,5408 41,3,2024-09-07 09:33:41:683,1,492,10,0,366,5108,492,0 42,0,2024-09-07 09:33:41:484,123251,0.8,122956,1.0,246179,0.9,326298,2.50 42,1,2024-09-07 09:33:41:441,860455,860455,0,0,404283332350,4255187027,845698,11895,2862,380,391675,0 42,2,2024-09-07 09:33:41:140,621265,621265,0,0,29578941,0,3975 42,3,2024-09-07 09:33:41:036,1,492,34,0,892,4638,492,0 43,0,2024-09-07 09:33:40:927,121397,0.7,118197,0.9,247265,0.7,324371,2.25 43,1,2024-09-07 09:33:40:607,863342,863342,0,0,405347545181,4239672276,850724,10361,2257,366,391696,0 43,2,2024-09-07 09:33:41:735,622536,622536,0,0,29630930,0,4723 43,3,2024-09-07 09:33:41:749,1,492,140,0,571,7174,492,0 44,0,2024-09-07 09:33:40:873,124136,0.4,124270,0.6,248933,0.4,331353,2.00 44,1,2024-09-07 09:33:40:577,866404,866404,0,0,406813556365,4205590528,858893,5961,1550,356,391809,0 44,2,2024-09-07 09:33:41:275,618466,618466,0,0,23931451,0,4344 44,3,2024-09-07 09:33:41:102,1,492,4,0,817,5478,492,0 45,0,2024-09-07 09:33:41:768,122923,0.5,120232,0.7,252088,0.4,330885,2.00 45,1,2024-09-07 09:33:41:039,865166,865166,0,0,407129881067,4234377994,857682,6608,876,382,391917,0 45,2,2024-09-07 09:33:41:281,624553,624553,0,0,24310078,0,3596 45,3,2024-09-07 09:33:40:941,1,492,13,0,271,4405,492,0 46,0,2024-09-07 09:33:40:952,122155,0.5,121600,0.7,244064,0.4,324339,2.00 46,1,2024-09-07 09:33:40:597,867256,867256,0,0,406981638799,4204946406,861660,4866,730,366,391709,0 46,2,2024-09-07 09:33:40:598,623958,623958,0,0,24770118,0,4443 46,3,2024-09-07 09:33:41:134,1,492,3,0,908,5753,492,0 47,0,2024-09-07 09:33:41:109,122241,0.4,122557,0.6,245530,0.4,325041,1.75 47,1,2024-09-07 09:33:40:576,867270,867270,0,0,406459965412,4200389053,862102,4329,839,366,391641,0 47,2,2024-09-07 09:33:40:908,625755,625755,0,0,24616018,0,4477 47,3,2024-09-07 09:33:41:121,1,492,5,0,600,5648,492,0 48,0,2024-09-07 09:33:41:503,122784,0.3,122774,0.4,244426,0.2,326303,1.50 48,1,2024-09-07 09:33:41:045,865519,865519,0,0,406426004829,4224608089,859877,5070,572,384,391710,0 48,2,2024-09-07 09:33:40:705,618789,618789,0,0,22831231,0,3411 48,3,2024-09-07 09:33:40:785,1,492,12,0,339,3886,492,0 49,0,2024-09-07 09:33:41:717,128469,0.4,126265,0.5,245295,0.3,335777,1.75 49,1,2024-09-07 09:33:41:044,864871,864871,0,0,406006762665,4223729273,859313,4348,1210,382,391809,0 49,2,2024-09-07 09:33:41:805,625130,625130,0,0,25153587,0,4426 49,3,2024-09-07 09:33:41:430,1,492,8,0,992,5717,492,0 50,0,2024-09-07 09:33:41:510,122287,0.3,120560,0.5,243003,0.2,323774,1.75 50,1,2024-09-07 09:33:41:037,867572,867572,0,0,408088899342,4220877287,861878,5031,663,368,391565,0 50,2,2024-09-07 09:33:41:081,624154,624154,0,0,23219779,0,4490 50,3,2024-09-07 09:33:41:296,1,492,1,0,617,5395,492,0 51,0,2024-09-07 09:33:41:684,125534,0.3,123015,0.5,239518,0.2,326409,1.75 51,1,2024-09-07 09:33:41:689,868496,868496,0,0,407971791812,4208439839,863963,3479,1054,365,391706,0 51,2,2024-09-07 09:33:41:329,623169,623169,0,0,22201307,0,3337 51,3,2024-09-07 09:33:41:043,1,492,1,0,678,3731,492,0 52,0,2024-09-07 09:33:41:425,123006,0.5,122692,0.7,245594,0.5,325796,2.00 52,1,2024-09-07 09:33:40:580,863504,863504,0,0,405557376120,4250624676,850376,11023,2105,368,391722,0 52,2,2024-09-07 09:33:41:759,615727,615689,38,0,29992021,0,6742 52,3,2024-09-07 09:33:40:676,1,492,1,0,1782,6521,492,0 53,0,2024-09-07 09:33:41:757,123765,0.7,120132,0.8,251359,0.8,330131,2.50 53,1,2024-09-07 09:33:40:781,861699,861699,0,0,405567208765,4262267824,846409,11446,3844,367,391968,0 53,2,2024-09-07 09:33:41:298,623863,623862,1,0,26871414,0,5455 53,3,2024-09-07 09:33:40:701,1,492,9,0,308,5051,492,0 54,0,2024-09-07 09:33:41:616,121137,0.6,121418,0.8,241859,0.5,322500,2.25 54,1,2024-09-07 09:33:40:588,864002,864002,0,0,406679894635,4235817601,853939,8429,1634,366,391810,0 54,2,2024-09-07 09:33:40:869,623689,623657,32,0,30741257,0,6397 54,3,2024-09-07 09:33:40:770,1,492,0,0,676,6831,492,0 55,0,2024-09-07 09:33:41:759,119558,0.6,123239,0.7,249707,0.5,325325,2.50 55,1,2024-09-07 09:33:40:774,865497,865497,0,0,406359486172,4229342861,855894,8285,1318,365,391731,0 55,2,2024-09-07 09:33:40:751,621130,621074,56,0,29218073,0,7239 55,3,2024-09-07 09:33:40:676,1,492,7,0,304,4736,492,0 56,0,2024-09-07 09:33:41:583,125563,1.2,118322,1.1,243932,1.5,326783,2.75 56,1,2024-09-07 09:33:40:590,860436,860436,0,0,404446881551,4274936081,846501,11041,2894,381,391867,0 56,2,2024-09-07 09:33:41:319,621014,620892,122,0,30311584,0,7432 56,3,2024-09-07 09:33:41:071,1,492,25,0,705,6046,492,0 57,0,2024-09-07 09:33:40:986,122332,1.7,122283,1.3,244889,2.3,327442,3.50 57,1,2024-09-07 09:33:41:044,862102,862102,0,0,404311737385,4245916482,850224,9650,2228,366,392032,0 57,2,2024-09-07 09:33:41:329,620662,620662,0,0,30886128,0,4317 57,3,2024-09-07 09:33:41:743,1,492,8,0,455,5662,492,0 58,0,2024-09-07 09:33:40:559,122837,1.0,119293,1.0,249315,1.2,326932,2.75 58,1,2024-09-07 09:33:40:587,863195,863192,0,3,406087627214,4258094828,849914,10075,3203,367,391603,3 58,2,2024-09-07 09:33:41:071,622575,622575,0,0,29067223,0,3483 58,3,2024-09-07 09:33:41:068,1,492,2,0,1043,5290,492,0 59,0,2024-09-07 09:33:41:753,123003,0.8,122677,1.0,244894,0.9,325155,2.75 59,1,2024-09-07 09:33:40:806,862211,862211,0,0,404715401154,4253253404,848034,11200,2977,369,391653,0 59,2,2024-09-07 09:33:40:586,622156,622156,0,0,28157732,0,3727 59,3,2024-09-07 09:33:41:737,1,492,4,0,1015,6339,492,0 60,0,2024-09-07 09:33:41:738,121937,0.5,122107,0.7,244454,0.5,324688,1.75 60,1,2024-09-07 09:33:40:771,866338,866338,0,0,406711637254,4222105070,860397,5012,929,370,392031,0 60,2,2024-09-07 09:33:41:199,623069,623069,0,0,26588896,0,3811 60,3,2024-09-07 09:33:41:276,1,492,2,0,409,6029,492,0 61,0,2024-09-07 09:33:41:534,122665,0.6,123252,0.8,245518,0.6,327474,2.00 61,1,2024-09-07 09:33:40:781,863539,863539,0,0,405631484751,4250495553,853784,8175,1580,382,392127,0 61,2,2024-09-07 09:33:41:121,618639,618572,67,0,27013140,0,6411 61,3,2024-09-07 09:33:41:691,1,492,13,0,479,6642,492,0 62,0,2024-09-07 09:33:41:710,125939,0.5,129039,0.7,246459,0.5,335215,2.00 62,1,2024-09-07 09:33:41:146,868581,868575,0,6,408403243470,4209933839,864600,3711,264,365,391975,6 62,2,2024-09-07 09:33:41:650,621878,621877,1,0,26527887,0,5555 62,3,2024-09-07 09:33:41:174,1,492,1,0,482,4069,492,0 63,0,2024-09-07 09:33:41:491,122333,0.4,122075,0.6,245043,0.4,325385,1.75 63,1,2024-09-07 09:33:40:806,866044,866038,0,6,407116109760,4226401227,860646,4658,734,381,391800,6 63,2,2024-09-07 09:33:40:774,624225,624225,0,0,25605084,0,4369 63,3,2024-09-07 09:33:41:733,1,492,1,0,667,5208,492,0 64,0,2024-09-07 09:33:41:547,121546,0.5,121651,0.6,242775,0.4,322479,2.00 64,1,2024-09-07 09:33:40:759,865545,865545,0,0,406452372577,4234962566,857941,5838,1766,370,391783,0 64,2,2024-09-07 09:33:41:149,626418,626399,19,0,24875402,0,6121 64,3,2024-09-07 09:33:41:171,1,492,3,0,651,5341,492,0 65,0,2024-09-07 09:33:41:691,120915,0.7,121170,0.8,241872,0.7,322222,2.00 65,1,2024-09-07 09:33:40:899,863180,863180,0,0,405318969983,4239492541,856504,5883,793,381,391901,0 65,2,2024-09-07 09:33:41:698,618168,618168,0,0,28965307,0,3367 65,3,2024-09-07 09:33:41:690,1,492,8,0,782,6020,492,0 66,0,2024-09-07 09:33:41:773,124864,0.6,124528,0.8,249079,0.5,332153,2.25 66,1,2024-09-07 09:33:41:305,865309,865309,0,0,406952422680,4238489948,859180,5407,722,380,391743,0 66,2,2024-09-07 09:33:41:171,626132,626129,3,0,26541791,0,5455 66,3,2024-09-07 09:33:41:098,1,492,45,0,291,4377,492,0 67,0,2024-09-07 09:33:41:420,121747,0.6,121402,0.7,243764,0.5,323842,2.25 67,1,2024-09-07 09:33:40:771,865088,865087,0,1,406469669935,4233566440,859017,5261,809,380,391787,1 67,2,2024-09-07 09:33:40:583,625972,625957,15,0,25632750,0,6205 67,3,2024-09-07 09:33:41:753,1,492,1,0,392,4835,492,0 68,0,2024-09-07 09:33:40:585,123187,0.5,123123,0.7,245219,0.5,327388,2.00 68,1,2024-09-07 09:33:40:594,861542,861542,0,0,404758511253,4261226654,849341,8802,3399,381,391953,0 68,2,2024-09-07 09:33:41:053,617998,617898,100,0,33484947,0,8578 68,3,2024-09-07 09:33:40:739,1,492,111,0,417,6471,492,0 69,0,2024-09-07 09:33:41:724,122641,0.7,123427,0.8,245939,0.7,326537,2.25 69,1,2024-09-07 09:33:41:019,861034,861034,0,0,404102487206,4264337551,848953,9322,2759,384,391994,0 69,2,2024-09-07 09:33:41:734,618866,618837,29,0,34230970,0,6912 69,3,2024-09-07 09:33:40:767,1,492,15,0,698,7503,492,0 70,0,2024-09-07 09:33:41:570,122943,1.1,123339,1.1,248096,1.0,328688,2.50 70,1,2024-09-07 09:33:40:817,865820,865820,0,0,407291531064,4230409000,858573,6486,761,366,391725,0 70,2,2024-09-07 09:33:41:329,622406,622406,0,0,29024210,0,4323 70,3,2024-09-07 09:33:40:758,1,492,0,0,854,5650,492,0 71,0,2024-09-07 09:33:41:425,122540,1.3,122223,1.1,245766,1.8,327816,3.00 71,1,2024-09-07 09:33:41:600,863523,863523,0,0,406213549739,4246154968,852139,10129,1255,368,391738,0 71,2,2024-09-07 09:33:41:082,622043,622043,0,0,29808663,0,4352 71,3,2024-09-07 09:33:41:751,1,492,1,0,644,6051,492,0 72,0,2024-09-07 09:33:41:063,127917,0.5,125068,0.7,243889,0.5,331645,2.00 72,1,2024-09-07 09:33:41:033,861911,861911,0,0,404954898115,4253691655,848759,10911,2241,369,391819,0 72,2,2024-09-07 09:33:41:765,620761,620761,0,0,30943371,0,3983 72,3,2024-09-07 09:33:41:759,1,492,8,0,564,7217,492,0 73,0,2024-09-07 09:33:41:125,119342,0.4,122528,0.6,250149,0.4,325256,2.00 73,1,2024-09-07 09:33:40:778,864826,864826,0,0,405931822243,4218131347,858631,5771,424,367,391858,0 73,2,2024-09-07 09:33:41:740,621820,621820,0,0,31429835,0,4728 73,3,2024-09-07 09:33:40:975,1,492,19,0,486,6272,492,0 74,0,2024-09-07 09:33:41:331,124949,0.5,127881,0.7,244076,0.5,331693,2.25 74,1,2024-09-07 09:33:40:642,863595,863595,0,0,405161345714,4235532542,853181,8491,1923,381,391762,0 74,2,2024-09-07 09:33:41:039,619157,619157,0,0,27194716,0,4253 74,3,2024-09-07 09:33:41:450,1,492,26,0,522,6085,492,0 75,0,2024-09-07 09:33:41:798,124892,0.5,124047,0.7,249164,0.5,332513,2.25 75,1,2024-09-07 09:33:41:606,864489,864489,0,0,405499574353,4231750296,856650,6979,860,380,391739,0 75,2,2024-09-07 09:33:41:357,622043,622043,0,0,32272870,0,4766 75,3,2024-09-07 09:33:41:071,1,492,22,0,702,6086,492,0 76,0,2024-09-07 09:33:40:589,121976,0.5,121313,0.7,243379,0.5,325822,2.25 76,1,2024-09-07 09:33:40:823,863843,863843,0,0,405506763377,4229191322,858053,4960,830,382,391692,0 76,2,2024-09-07 09:33:41:073,625601,625598,3,0,27339662,0,5265 76,3,2024-09-07 09:33:41:181,1,492,17,0,227,4649,492,0 77,0,2024-09-07 09:33:41:784,121652,0.5,122115,0.7,244476,0.5,324062,2.00 77,1,2024-09-07 09:33:40:877,864566,864566,0,0,405876843898,4236239893,857771,6204,591,381,391869,0 77,2,2024-09-07 09:33:41:289,622821,622821,0,0,26669710,0,3890 77,3,2024-09-07 09:33:41:110,1,492,15,0,401,5358,492,0 78,0,2024-09-07 09:33:41:728,122552,0.4,121970,0.6,244820,0.4,324811,2.00 78,1,2024-09-07 09:33:40:613,864570,864570,0,0,405511386150,4228101638,854923,7418,2229,367,391670,0 78,2,2024-09-07 09:33:41:412,619489,619476,13,0,24800787,0,8313 78,3,2024-09-07 09:33:41:187,1,492,3,0,311,4394,492,0 79,0,2024-09-07 09:33:41:350,120959,0.4,123997,0.6,253710,0.4,330587,2.25 79,1,2024-09-07 09:33:40:584,866911,866911,0,0,406667547626,4215085689,859600,5958,1353,367,391682,0 79,2,2024-09-07 09:33:41:067,624740,624740,0,0,23931320,0,4195 79,3,2024-09-07 09:33:40:769,1,492,31,0,418,6103,492,0 80,0,2024-09-07 09:33:41:098,121430,0.5,124706,0.7,238859,0.5,323095,2.00 80,1,2024-09-07 09:33:41:621,864193,864193,0,0,406337898695,4231825370,858396,5374,423,368,392269,0 80,2,2024-09-07 09:33:41:105,626046,626046,0,0,24808995,0,4433 80,3,2024-09-07 09:33:40:598,1,492,1,0,296,5941,492,0 81,0,2024-09-07 09:33:41:557,122478,0.5,125441,0.7,239314,0.5,325020,2.00 81,1,2024-09-07 09:33:41:666,863013,863013,0,0,405714957330,4244142599,855627,6749,637,382,391885,0 81,2,2024-09-07 09:33:41:149,621544,621481,63,0,27817240,0,5932 81,3,2024-09-07 09:33:41:141,1,492,8,0,719,5786,492,0 82,0,2024-09-07 09:33:41:549,122308,0.5,122485,0.7,245322,0.4,325062,2.00 82,1,2024-09-07 09:33:40:597,865347,865343,0,4,406355021854,4227376493,860280,4243,820,381,391768,4 82,2,2024-09-07 09:33:41:691,620616,620616,0,0,23112209,0,4484 82,3,2024-09-07 09:33:41:752,1,492,0,0,363,4854,492,0 83,0,2024-09-07 09:33:41:545,124568,0.6,124361,0.7,248235,0.6,330357,2.25 83,1,2024-09-07 09:33:40:575,864067,864067,0,0,406195348227,4236280026,857932,5684,451,382,391709,0 83,2,2024-09-07 09:33:40:771,623538,623513,25,0,24894351,0,5612 83,3,2024-09-07 09:33:40:751,1,492,2,0,1260,6258,492,0 84,0,2024-09-07 09:33:41:764,121958,0.8,122113,0.9,243865,0.8,325816,2.25 84,1,2024-09-07 09:33:41:051,862581,862581,0,0,405594953090,4244659318,852431,8630,1520,367,391967,0 84,2,2024-09-07 09:33:40:576,622387,622357,30,0,33093899,0,5971 84,3,2024-09-07 09:33:41:148,1,492,7,0,908,7240,492,0 85,0,2024-09-07 09:33:41:001,119068,0.6,118990,0.8,252595,0.5,326864,2.25 85,1,2024-09-07 09:33:40:573,860101,860101,0,0,404428027826,4276499465,845984,11465,2652,381,392092,0 85,2,2024-09-07 09:33:40:869,620120,620120,0,0,30995573,0,4255 85,3,2024-09-07 09:33:40:692,1,492,8,0,789,5772,492,0 86,0,2024-09-07 09:33:40:957,122744,0.6,126338,0.8,241524,0.7,327138,2.25 86,1,2024-09-07 09:33:40:840,862769,862769,0,0,405072816447,4249920756,851505,9297,1967,366,391961,0 86,2,2024-09-07 09:33:40:866,619412,619411,1,0,33437226,0,5004 86,3,2024-09-07 09:33:40:586,1,492,2,0,308,7264,492,0 87,0,2024-09-07 09:33:41:311,124100,1.0,123865,0.9,247839,1.3,331180,2.50 87,1,2024-09-07 09:33:40:560,861490,861490,0,0,404877799949,4248675811,849316,10463,1711,366,392076,0 87,2,2024-09-07 09:33:41:068,620347,620341,6,0,28975215,0,6323 87,3,2024-09-07 09:33:41:800,1,492,5,0,473,7499,492,0 88,0,2024-09-07 09:33:41:451,124617,0.5,125220,0.6,250162,0.4,333117,2.00 88,1,2024-09-07 09:33:40:611,860882,860882,0,0,404697129904,4244555132,848284,9987,2611,365,392084,0 88,2,2024-09-07 09:33:40:697,623039,623039,0,0,31568241,0,4465 88,3,2024-09-07 09:33:41:281,1,492,360,0,435,6362,492,0 89,0,2024-09-07 09:33:41:778,127063,0.4,123058,0.6,243419,0.4,330944,1.75 89,1,2024-09-07 09:33:40:553,861028,861028,0,0,405527613160,4269566113,849651,9562,1815,382,391866,0 89,2,2024-09-07 09:33:41:135,622026,622026,0,0,29994934,0,3173 89,3,2024-09-07 09:33:41:796,1,492,8,0,468,8228,492,0 90,0,2024-09-07 09:33:41:623,118427,0.4,121712,0.6,248038,0.4,323539,1.75 90,1,2024-09-07 09:33:40:610,863247,863247,0,0,405346612873,4244547439,855194,7392,661,380,391825,0 90,2,2024-09-07 09:33:41:411,620839,620839,0,0,32170080,0,3608 90,3,2024-09-07 09:33:40:941,1,492,39,0,322,6111,492,0 91,0,2024-09-07 09:33:40:970,123332,0.5,119466,0.6,249836,0.5,328052,1.75 91,1,2024-09-07 09:33:40:557,861801,861801,0,0,405490861175,4266671883,850519,9281,2001,381,392047,0 91,2,2024-09-07 09:33:41:335,619210,619210,0,0,28169422,0,4713 91,3,2024-09-07 09:33:40:623,1,492,18,0,216,4808,492,0 92,0,2024-09-07 09:33:41:440,126905,0.5,129742,0.6,247242,0.5,335435,1.75 92,1,2024-09-07 09:33:40:587,863885,863885,0,0,404883507830,4229707649,857293,5514,1078,381,392136,0 92,2,2024-09-07 09:33:41:357,624427,624427,0,0,24854372,0,3259 92,3,2024-09-07 09:33:41:012,1,492,5,0,167,4253,492,0 93,0,2024-09-07 09:33:40:954,122791,0.4,125841,0.6,240219,0.4,325490,1.75 93,1,2024-09-07 09:33:40:814,863821,863821,0,0,405600185172,4236560405,854059,8045,1717,366,391776,0 93,2,2024-09-07 09:33:40:927,624089,624089,0,0,30927277,0,4913 93,3,2024-09-07 09:33:41:411,1,492,8,0,190,4430,492,0 94,0,2024-09-07 09:33:41:602,121729,0.3,122342,0.5,244544,0.3,324247,1.75 94,1,2024-09-07 09:33:40:601,863948,863948,0,0,406352376527,4240162428,858138,5438,372,381,391850,0 94,2,2024-09-07 09:33:40:761,622770,622742,28,0,26177781,0,6179 94,3,2024-09-07 09:33:41:688,1,492,1,0,576,6290,492,0 95,0,2024-09-07 09:33:41:365,122040,0.3,121739,0.5,243939,0.3,324931,1.75 95,1,2024-09-07 09:33:40:862,865592,865592,0,0,406274890553,4222354421,858815,6296,481,365,391786,0 95,2,2024-09-07 09:33:41:037,618344,618344,0,0,24700422,0,3308 95,3,2024-09-07 09:33:41:714,1,492,2,0,718,7402,492,0 96,0,2024-09-07 09:33:41:022,125486,0.4,125703,0.6,251290,0.4,334060,1.75 96,1,2024-09-07 09:33:41:586,863968,863968,0,0,405811237814,4235305707,857599,5458,911,384,391955,0 96,2,2024-09-07 09:33:41:282,624886,624886,0,0,25796661,0,4180 96,3,2024-09-07 09:33:41:144,1,492,1,0,411,5313,492,0 97,0,2024-09-07 09:33:41:315,121991,0.3,121639,0.5,244378,0.3,324257,1.75 97,1,2024-09-07 09:33:40:771,865562,865562,0,0,407046743284,4223492740,860021,4605,936,367,392140,0 97,2,2024-09-07 09:33:40:608,624754,624754,0,0,25479500,0,3679 97,3,2024-09-07 09:33:40:576,1,492,7,0,242,5881,492,0 98,0,2024-09-07 09:33:41:696,122648,0.3,122877,0.4,246427,0.2,326933,1.50 98,1,2024-09-07 09:33:40:585,864973,864973,0,0,405832188600,4229080800,859584,4591,798,381,391997,0 98,2,2024-09-07 09:33:40:774,621983,621983,0,0,25387309,0,4336 98,3,2024-09-07 09:33:40:698,1,492,0,0,840,7678,492,0 99,0,2024-09-07 09:33:41:461,123220,0.3,123867,0.5,246499,0.3,329026,1.75 99,1,2024-09-07 09:33:41:723,865193,865193,0,0,405497578516,4223309993,859284,4988,921,380,392069,0 99,2,2024-09-07 09:33:41:432,621336,621336,0,0,30447320,0,4276 99,3,2024-09-07 09:33:40:583,1,492,1,0,606,5088,492,0 100,0,2024-09-07 09:33:41:470,123997,0.9,124447,1.0,247981,1.1,331629,2.50 100,1,2024-09-07 09:33:40:548,859748,859748,0,0,403848452119,4275260973,845550,11100,3098,378,391989,0 100,2,2024-09-07 09:33:41:818,619736,619725,11,0,30030341,0,5417 100,3,2024-09-07 09:33:41:734,1,492,391,0,627,8840,492,0 101,0,2024-09-07 09:33:41:708,126360,1.4,123192,1.2,241291,1.5,329961,2.50 101,1,2024-09-07 09:33:40:573,861036,861036,0,0,404571262255,4255707157,848817,9824,2395,368,391847,0 101,2,2024-09-07 09:33:41:756,619128,619128,0,0,35001471,0,4871 101,3,2024-09-07 09:33:40:946,1,492,12,0,1250,7721,492,0 102,0,2024-09-07 09:33:40:979,120558,0.6,124222,0.8,252251,0.6,329908,2.00 102,1,2024-09-07 09:33:41:149,860928,860928,0,0,404888611171,4258262979,848750,10159,2019,369,391891,0 102,2,2024-09-07 09:33:41:737,621565,621511,54,0,29585671,0,6768 102,3,2024-09-07 09:33:41:614,1,492,1,0,466,5994,492,0 103,0,2024-09-07 09:33:41:596,126474,0.5,126456,0.7,238268,0.5,327734,2.00 103,1,2024-09-07 09:33:41:629,860382,860382,0,0,404440518743,4273997288,846477,10708,3197,381,391862,0 103,2,2024-09-07 09:33:40:619,621086,621086,0,0,31108786,0,3766 103,3,2024-09-07 09:33:40:760,1,492,2,0,916,6063,492,0 104,0,2024-09-07 09:33:41:020,123085,0.8,123341,0.9,245608,0.7,329022,2.50 104,1,2024-09-07 09:33:41:600,862775,862775,0,0,404617019860,4254588134,849821,10407,2547,365,392168,0 104,2,2024-09-07 09:33:41:678,617492,617492,0,0,29741503,0,3941 104,3,2024-09-07 09:33:41:428,1,492,1,0,1245,8950,492,0 105,0,2024-09-07 09:33:41:043,123192,0.8,120050,1.0,251775,0.9,330583,2.50 105,1,2024-09-07 09:33:40:569,863654,863654,0,0,405359221949,4251248826,852111,9779,1764,366,392009,0 105,2,2024-09-07 09:33:41:331,621908,621908,0,0,30241070,0,4360 105,3,2024-09-07 09:33:41:308,1,492,9,0,399,7203,492,0 106,0,2024-09-07 09:33:40:972,118358,0.8,121212,0.9,248450,0.8,324641,2.50 106,1,2024-09-07 09:33:41:754,862841,862841,0,0,404812933572,4251370436,850336,10861,1644,368,391914,0 106,2,2024-09-07 09:33:40:787,622184,622184,0,0,28616303,0,2920 106,3,2024-09-07 09:33:40:688,1,492,97,0,1224,7646,492,0 107,0,2024-09-07 09:33:41:116,121867,0.8,122094,0.9,244070,0.8,325196,2.00 107,1,2024-09-07 09:33:40:590,860976,860976,0,0,404498239835,4267248170,848497,11198,1281,381,392234,0 107,2,2024-09-07 09:33:41:296,620859,620858,1,0,31178497,0,5024 107,3,2024-09-07 09:33:41:767,1,492,13,0,370,6990,492,0 108,0,2024-09-07 09:33:41:811,122025,0.4,122779,0.6,244261,0.4,326240,1.75 108,1,2024-09-07 09:33:41:307,863500,863500,0,0,405720944107,4231432129,856352,6310,838,367,391857,0 108,2,2024-09-07 09:33:41:770,617367,617367,0,0,27686198,0,4246 108,3,2024-09-07 09:33:41:334,1,492,53,0,749,10116,492,0 109,0,2024-09-07 09:33:41:745,125885,0.4,124884,0.6,250146,0.4,334669,1.75 109,1,2024-09-07 09:33:40:616,861343,861343,0,0,405500254711,4255953161,853208,6923,1212,382,392132,0 109,2,2024-09-07 09:33:40:939,621832,621832,0,0,27219892,0,3617 109,3,2024-09-07 09:33:41:184,1,492,14,0,630,6247,492,0 110,0,2024-09-07 09:33:41:749,121501,0.4,118278,0.6,247782,0.4,324418,1.75 110,1,2024-09-07 09:33:41:648,865458,865458,0,0,406415631648,4216855993,859533,4705,1220,368,392045,0 110,2,2024-09-07 09:33:41:314,624668,624668,0,0,26347678,0,4067 110,3,2024-09-07 09:33:40:695,1,492,14,0,722,7087,492,0 111,0,2024-09-07 09:33:41:426,122878,0.3,122212,0.5,244822,0.3,326349,1.75 111,1,2024-09-07 09:33:41:009,866422,866422,0,0,407006297166,4220578835,862066,4000,356,380,391690,0 111,2,2024-09-07 09:33:41:123,621703,621703,0,0,26619635,0,4823 111,3,2024-09-07 09:33:40:921,1,492,25,0,379,5762,492,0 112,0,2024-09-07 09:33:40:928,123316,0.3,122648,0.4,246025,0.2,326066,1.50 112,1,2024-09-07 09:33:40:830,865101,865101,0,0,406955167449,4227033374,859219,5193,689,380,391624,0 112,2,2024-09-07 09:33:41:183,619041,619040,1,0,25473794,0,5036 112,3,2024-09-07 09:33:40:616,1,492,10,0,282,4887,492,0 113,0,2024-09-07 09:33:40:904,124675,0.3,124654,0.5,249550,0.3,332216,1.75 113,1,2024-09-07 09:33:41:689,867838,867838,0,0,407927596224,4210776180,862914,4115,809,366,391661,0 113,2,2024-09-07 09:33:41:309,625974,625974,0,0,22915482,0,3813 113,3,2024-09-07 09:33:40:697,1,492,8,0,340,5331,492,0 114,0,2024-09-07 09:33:40:900,123399,0.3,124276,0.5,246936,0.3,329751,1.75 114,1,2024-09-07 09:33:40:722,865750,865750,0,0,406365587048,4221736443,859056,5078,1616,381,391565,0 114,2,2024-09-07 09:33:40:878,625348,625347,1,0,24703628,0,5069 114,3,2024-09-07 09:33:41:283,1,492,16,0,395,3966,492,0 115,0,2024-09-07 09:33:40:588,124167,0.3,124633,0.4,248581,0.2,330362,1.50 115,1,2024-09-07 09:33:40:597,865828,865828,0,0,406757649280,4229817382,858449,6022,1357,382,391757,0 115,2,2024-09-07 09:33:41:133,624206,624206,0,0,24327858,0,4382 115,3,2024-09-07 09:33:41:012,1,492,9,0,167,2932,492,0 116,0,2024-09-07 09:33:41:731,122480,0.6,122500,0.8,245413,0.6,328264,2.00 116,1,2024-09-07 09:33:40:829,861303,861303,0,0,404607449371,4267998381,850751,8109,2443,380,392089,0 116,2,2024-09-07 09:33:41:752,620167,620167,0,0,31712259,0,4475 116,3,2024-09-07 09:33:40:923,1,492,2,0,415,6248,492,0 117,0,2024-09-07 09:33:40:962,124367,0.8,123847,0.9,248249,1.0,331519,2.00 117,1,2024-09-07 09:33:41:582,861959,861959,0,0,404440533076,4238513610,851993,8741,1225,369,392033,0 117,2,2024-09-07 09:33:41:122,622999,622999,0,0,27316897,0,4303 117,3,2024-09-07 09:33:41:066,1,492,8,0,490,6982,492,0 118,0,2024-09-07 09:33:41:762,120984,0.6,124401,0.7,253359,0.6,331558,2.00 118,1,2024-09-07 09:33:40:593,861762,861762,0,0,405063982600,4262560414,848358,10285,3119,366,392054,0 118,2,2024-09-07 09:33:41:598,621997,621997,0,0,30008618,0,2842 118,3,2024-09-07 09:33:41:766,1,492,11,0,248,5834,492,0 119,0,2024-09-07 09:33:41:357,123211,0.6,123533,0.8,246911,0.6,329062,2.00 119,1,2024-09-07 09:33:40:550,862201,862201,0,0,405242905402,4250310023,850592,9844,1765,367,391857,0 119,2,2024-09-07 09:33:41:265,622779,622779,0,0,28239472,0,4174 119,3,2024-09-07 09:33:41:334,1,492,3,0,1358,9662,492,0 120,0,2024-09-07 09:33:41:541,121395,0.6,121132,0.8,243231,0.6,324204,2.25 120,1,2024-09-07 09:33:40:859,862845,862845,0,0,405089119129,4249277309,853631,8437,777,368,392144,0 120,2,2024-09-07 09:33:40:770,621443,621442,1,0,32274378,0,5281 120,3,2024-09-07 09:33:41:293,1,492,1,0,279,6205,492,0 121,0,2024-09-07 09:33:41:745,123030,1.2,122394,1.1,245492,1.6,327383,2.25 121,1,2024-09-07 09:33:41:675,863384,863384,0,0,405965283624,4245761214,854974,7710,700,367,391840,0 121,2,2024-09-07 09:33:41:182,617374,617374,0,0,29576782,0,4157 121,3,2024-09-07 09:33:40:750,1,492,8,0,330,6055,492,0 122,0,2024-09-07 09:33:41:786,124829,0.9,121602,0.9,254796,1.0,335246,2.25 122,1,2024-09-07 09:33:40:866,861673,861673,0,0,404949101529,4251482875,849364,10427,1882,366,392130,0 122,2,2024-09-07 09:33:41:331,623751,623676,75,0,34118555,0,5989 122,3,2024-09-07 09:33:40:601,1,492,1,0,512,8632,492,0 123,0,2024-09-07 09:33:40:976,121306,0.8,118194,0.9,247616,1.0,323967,2.25 123,1,2024-09-07 09:33:40:600,862012,862012,0,0,405174141629,4265647259,847447,12093,2472,369,392039,0 123,2,2024-09-07 09:33:41:022,621897,621896,1,0,28811850,0,5215 123,3,2024-09-07 09:33:41:153,1,492,3,0,478,5938,492,0 124,0,2024-09-07 09:33:40:932,125555,0.3,125555,0.5,236692,0.3,325241,1.75 124,1,2024-09-07 09:33:41:022,865364,865364,0,0,405744328733,4218620636,858969,5279,1116,365,392178,0 124,2,2024-09-07 09:33:41:012,623498,623445,53,0,26061120,0,6487 124,3,2024-09-07 09:33:40:774,1,492,2,0,490,5136,492,0 125,0,2024-09-07 09:33:41:425,121815,0.4,121570,0.6,244132,0.4,324760,1.75 125,1,2024-09-07 09:33:40:865,863283,863283,0,0,405221233850,4232682787,856326,5976,981,382,391813,0 125,2,2024-09-07 09:33:41:121,619963,619963,0,0,25947009,0,4534 125,3,2024-09-07 09:33:41:149,1,492,8,0,709,6060,492,0 126,0,2024-09-07 09:33:41:506,125438,0.4,129063,0.6,246886,0.4,334744,1.75 126,1,2024-09-07 09:33:40:581,866482,866482,0,0,407135207689,4215151866,862057,4127,298,365,391987,0 126,2,2024-09-07 09:33:40:627,625234,625234,0,0,26586297,0,4539 126,3,2024-09-07 09:33:40:916,1,492,1,0,268,5677,492,0 127,0,2024-09-07 09:33:41:601,122224,0.3,122520,0.5,244405,0.3,324597,1.75 127,1,2024-09-07 09:33:40:577,865170,865170,0,0,406437248569,4230254214,856391,7315,1464,364,392187,0 127,2,2024-09-07 09:33:40:642,623111,623107,4,0,25376592,0,5305 127,3,2024-09-07 09:33:41:271,1,492,1,0,968,5049,492,0 128,0,2024-09-07 09:33:41:527,123481,0.3,123627,0.4,246811,0.2,327888,1.50 128,1,2024-09-07 09:33:41:612,864297,864297,0,0,407449525970,4233112985,858182,5385,730,367,392031,0 128,2,2024-09-07 09:33:41:393,623688,623688,0,0,24099743,0,3171 128,3,2024-09-07 09:33:40:775,1,492,2,0,1082,7927,492,0 129,0,2024-09-07 09:33:41:005,124220,0.3,123683,0.5,247927,0.3,329475,1.50 129,1,2024-09-07 09:33:40:592,861988,861988,0,0,404480234344,4240075419,853477,6775,1736,379,391962,0 129,2,2024-09-07 09:33:40:700,621598,621594,4,0,26111814,0,5335 129,3,2024-09-07 09:33:40:692,1,492,9,0,506,7127,492,0 130,0,2024-09-07 09:33:41:737,125110,0.5,124716,0.6,250517,0.5,333440,1.75 130,1,2024-09-07 09:33:40:609,865179,865179,0,0,405606695882,4216071438,860503,4290,386,381,391825,0 130,2,2024-09-07 09:33:41:141,623489,623489,0,0,25612962,0,4067 130,3,2024-09-07 09:33:41:304,1,492,1,0,960,7197,492,0 131,0,2024-09-07 09:33:41:932,124080,0.4,124451,0.5,249477,0.3,330941,1.75 131,1,2024-09-07 09:33:41:820,864475,864475,0,0,406034336592,4239346679,857639,5645,1191,381,391865,0 131,2,2024-09-07 09:33:40:587,624540,624540,0,0,23983060,0,3979 131,3,2024-09-07 09:33:41:703,1,492,2,0,392,5894,492,0 132,0,2024-09-07 09:33:41:474,123868,0.4,125171,0.6,248820,0.4,331089,2.00 132,1,2024-09-07 09:33:40:589,860813,860813,0,0,404622110744,4261191944,848382,10240,2191,381,392532,0 132,2,2024-09-07 09:33:40:707,621655,621638,17,0,32248661,0,6451 132,3,2024-09-07 09:33:41:691,1,492,75,0,804,8638,492,0 133,0,2024-09-07 09:33:41:538,119319,0.4,122163,0.6,250516,0.4,325895,1.75 133,1,2024-09-07 09:33:40:617,860805,860805,0,0,404557232669,4266800399,848726,10443,1636,383,391914,0 133,2,2024-09-07 09:33:41:088,622221,622171,50,0,32569435,0,6861 133,3,2024-09-07 09:33:41:296,1,492,16,0,479,5642,492,0 134,0,2024-09-07 09:33:40:969,124335,0.6,124180,0.7,248645,0.6,331676,2.25 134,1,2024-09-07 09:33:40:611,861906,861906,0,0,404972780944,4249559700,850554,9206,2146,366,391718,0 134,2,2024-09-07 09:33:41:756,618175,618151,24,0,30390076,0,6207 134,3,2024-09-07 09:33:40:759,1,492,0,0,739,6180,492,0 135,0,2024-09-07 09:33:41:143,120586,0.8,120538,0.9,255821,1.0,329775,2.25 135,1,2024-09-07 09:33:41:590,861948,861948,0,0,405823054894,4271555676,850365,10018,1565,380,391805,0 135,2,2024-09-07 09:33:40:695,622771,622771,0,0,30661043,0,4503 135,3,2024-09-07 09:33:41:008,1,492,73,0,900,5181,492,0 136,0,2024-09-07 09:33:41:652,123158,0.5,123399,0.7,245744,0.5,327704,2.00 136,1,2024-09-07 09:33:41:446,863482,863482,0,0,404819982072,4247166409,853213,9002,1267,381,392135,0 136,2,2024-09-07 09:33:41:140,624435,624420,15,0,29682639,0,6007 136,3,2024-09-07 09:33:41:109,1,492,3,0,637,5668,492,0 137,0,2024-09-07 09:33:40:923,125977,0.5,122764,0.7,240545,0.5,326356,2.00 137,1,2024-09-07 09:33:40:598,861857,861857,0,0,404903240403,4247991581,848596,10591,2670,366,391898,0 137,2,2024-09-07 09:33:41:716,621368,621368,0,0,31357546,0,3185 137,3,2024-09-07 09:33:40:773,1,492,8,0,484,6306,492,0 138,0,2024-09-07 09:33:41:750,121732,0.9,121833,0.9,244318,1.1,324864,2.25 138,1,2024-09-07 09:33:41:778,862593,862593,0,0,405394147549,4254705028,850209,10417,1967,368,391954,0 138,2,2024-09-07 09:33:40:597,617291,617291,0,0,28671363,0,4988 138,3,2024-09-07 09:33:40:617,1,492,1,0,1200,7955,492,0 139,0,2024-09-07 09:33:41:381,124236,1.3,124568,1.0,249265,1.9,333082,2.50 139,1,2024-09-07 09:33:40:583,857844,857844,0,0,402622739428,4275075428,842035,12350,3459,380,392058,0 139,2,2024-09-07 09:33:40:699,619631,619631,0,0,32425588,0,3097 139,3,2024-09-07 09:33:41:663,1,492,1,0,432,5761,492,0 140,0,2024-09-07 09:33:41:597,122246,0.3,121385,0.5,243730,0.2,324616,1.75 140,1,2024-09-07 09:33:41:543,867567,867567,0,0,407705759649,4202870286,863514,3592,461,364,391606,0 140,2,2024-09-07 09:33:40:691,625352,625351,1,0,24482530,0,5036 140,3,2024-09-07 09:33:40:775,1,492,39,0,297,4776,492,0 141,0,2024-09-07 09:33:41:698,122845,0.3,125978,0.4,240517,0.2,326004,1.50 141,1,2024-09-07 09:33:40:866,866049,866049,0,0,406954047319,4228559812,859185,5779,1085,379,391614,0 141,2,2024-09-07 09:33:41:688,622563,622552,11,0,26011443,0,5369 141,3,2024-09-07 09:33:41:059,1,492,8,0,391,5461,492,0 142,0,2024-09-07 09:33:41:320,123336,0.3,122483,0.5,245582,0.3,326768,1.50 142,1,2024-09-07 09:33:40:583,864024,864024,0,0,405987013734,4232269025,858481,5085,458,382,392102,0 142,2,2024-09-07 09:33:41:298,618803,618771,32,0,26385214,0,6028 142,3,2024-09-07 09:33:41:749,1,492,1,0,484,5240,492,0 143,0,2024-09-07 09:33:41:376,124660,0.4,124622,0.6,249754,0.4,332352,1.75 143,1,2024-09-07 09:33:40:565,865944,865944,0,0,406861159150,4223449651,860011,5379,554,367,391705,0 143,2,2024-09-07 09:33:40:776,624666,624666,0,0,25680041,0,3123 143,3,2024-09-07 09:33:41:148,1,492,1,0,462,6359,492,0 144,0,2024-09-07 09:33:41:525,119274,0.7,122626,0.8,249728,0.7,326808,2.25 144,1,2024-09-07 09:33:40:593,861716,861716,0,0,403669963226,4239244504,852545,7209,1962,381,391733,0 144,2,2024-09-07 09:33:41:756,624891,624891,0,0,24613242,0,3673 144,3,2024-09-07 09:33:41:739,1,492,5,0,249,4891,492,0 145,0,2024-09-07 09:33:41:369,119761,0.5,119704,0.8,254005,0.5,327105,2.25 145,1,2024-09-07 09:33:40:554,860699,860699,0,0,404609765947,4264192079,848409,9826,2464,382,391759,0 145,2,2024-09-07 09:33:41:432,619119,619037,82,0,31031329,0,7814 145,3,2024-09-07 09:33:40:908,1,492,12,0,622,6857,492,0 146,0,2024-09-07 09:33:41:608,122937,0.6,122439,0.7,245839,0.5,326672,2.25 146,1,2024-09-07 09:33:41:592,862309,862309,0,0,404795308024,4259954927,848013,10498,3798,367,391770,0 146,2,2024-09-07 09:33:41:703,619728,619722,6,0,29168397,0,5151 146,3,2024-09-07 09:33:41:282,1,492,150,0,1520,8731,492,0 147,0,2024-09-07 09:33:41:822,124400,0.7,124135,0.8,247618,0.7,331014,2.25 147,1,2024-09-07 09:33:41:392,865518,865518,0,0,406298700846,4223048580,858525,6143,850,367,391791,0 147,2,2024-09-07 09:33:41:038,620658,620658,0,0,25580341,0,2968 147,3,2024-09-07 09:33:40:917,1,492,30,0,1626,8287,492,0 0,0,2024-09-07 09:33:51:739,118672,0.6,118707,0.7,251927,0.6,325400,2.00 0,1,2024-09-07 09:33:50:812,864441,864441,0,0,406154707760,4256422774,856957,6645,839,369,391896,0 0,2,2024-09-07 09:33:51:080,625168,625168,0,0,26120213,0,4480 0,3,2024-09-07 09:33:50:989,1,493,19,0,431,7048,493,0 1,0,2024-09-07 09:33:51:771,123112,1.0,122583,1.0,245756,1.3,328734,2.00 1,1,2024-09-07 09:33:50:576,863610,863610,0,0,404940800759,4245318846,855210,6934,1466,370,391859,0 1,2,2024-09-07 09:33:50:648,620191,620191,0,0,25097469,0,3380 1,3,2024-09-07 09:33:51:312,1,493,7,0,269,6367,493,0 2,0,2024-09-07 09:33:51:568,125500,0.5,125462,0.7,250775,0.6,334328,2.00 2,1,2024-09-07 09:33:50:859,866742,866742,0,0,407005785850,4230384786,862213,3727,802,380,391745,0 2,2,2024-09-07 09:33:51:267,626031,626031,0,0,23724334,0,3594 2,3,2024-09-07 09:33:50:690,1,493,1,0,357,4614,493,0 3,0,2024-09-07 09:33:51:743,122072,0.4,121992,0.6,243509,0.4,324903,2.00 3,1,2024-09-07 09:33:51:618,865202,865202,0,0,406472166449,4234719159,857777,6724,701,379,391716,0 3,2,2024-09-07 09:33:51:147,625882,625859,23,0,25173676,0,5851 3,3,2024-09-07 09:33:51:754,1,493,7,0,207,3127,493,0 4,0,2024-09-07 09:33:51:789,118437,0.4,121705,0.5,248255,0.3,324400,1.75 4,1,2024-09-07 09:33:50:592,861298,861298,0,0,404138745481,4286247668,845182,12434,3682,370,391992,0 4,2,2024-09-07 09:33:51:029,620766,620766,0,0,31043717,0,4534 4,3,2024-09-07 09:33:51:028,1,493,39,0,448,6512,493,0 5,0,2024-09-07 09:33:51:368,121865,0.4,122459,0.6,244350,0.4,324994,1.75 5,1,2024-09-07 09:33:50:765,863041,863041,0,0,405423856465,4271903622,850417,10211,2413,367,392005,0 5,2,2024-09-07 09:33:51:828,616488,616488,0,0,29806954,0,3582 5,3,2024-09-07 09:33:51:732,1,493,22,0,457,6934,493,0 6,0,2024-09-07 09:33:50:917,125839,0.5,125394,0.7,251063,0.5,335282,2.00 6,1,2024-09-07 09:33:50:756,865182,865182,0,0,405279699115,4237560451,855695,8031,1456,379,391702,0 6,2,2024-09-07 09:33:51:117,624943,624925,18,0,29164262,0,5535 6,3,2024-09-07 09:33:51:280,1,493,3,0,710,6167,493,0 7,0,2024-09-07 09:33:51:594,121214,0.6,122179,0.7,243146,0.5,323527,2.00 7,1,2024-09-07 09:33:50:850,863127,863127,0,0,405565049295,4264980167,850208,10688,2231,382,391747,0 7,2,2024-09-07 09:33:50:772,625223,625223,0,0,28297775,0,4791 7,3,2024-09-07 09:33:50:854,1,493,1,0,552,5716,493,0 8,0,2024-09-07 09:33:51:349,123291,0.3,123006,0.5,246692,0.3,328083,1.75 8,1,2024-09-07 09:33:51:020,862107,862107,0,0,404643342826,4258476682,847966,11015,3126,366,392853,0 8,2,2024-09-07 09:33:50:790,618832,618830,2,0,33602397,0,5112 8,3,2024-09-07 09:33:50:603,1,493,4,0,538,7632,493,0 9,0,2024-09-07 09:33:51:136,123388,0.4,120081,0.5,251351,0.3,329007,1.75 9,1,2024-09-07 09:33:50:551,861899,861899,0,0,405439213880,4273128983,848777,10522,2600,369,392001,0 9,2,2024-09-07 09:33:51:099,620116,620115,1,0,30341693,0,5281 9,3,2024-09-07 09:33:51:757,1,493,23,0,1273,8516,493,0 10,0,2024-09-07 09:33:51:602,124755,0.4,124378,0.5,249575,0.3,332508,1.75 10,1,2024-09-07 09:33:50:584,864900,864900,0,0,404954250124,4247143540,852598,10212,2090,381,391741,0 10,2,2024-09-07 09:33:50:763,623687,623687,0,0,32883150,0,4264 10,3,2024-09-07 09:33:50:871,1,493,3,0,649,5243,493,0 11,0,2024-09-07 09:33:51:007,123945,0.5,120284,0.7,251292,0.5,331005,1.75 11,1,2024-09-07 09:33:50:573,864679,864679,0,0,406609242659,4268731038,851205,10012,3462,383,391756,0 11,2,2024-09-07 09:33:51:122,623764,623764,0,0,28989882,0,4130 11,3,2024-09-07 09:33:51:298,1,493,4,0,843,6853,493,0 12,0,2024-09-07 09:33:50:953,124910,0.4,124996,0.5,249894,0.3,332059,1.75 12,1,2024-09-07 09:33:50:943,864764,864764,0,0,405759577134,4233936223,857675,6422,667,370,391960,0 12,2,2024-09-07 09:33:51:554,622984,622984,0,0,28222402,0,4390 12,3,2024-09-07 09:33:51:068,1,493,11,0,386,6828,493,0 13,0,2024-09-07 09:33:51:332,123711,0.4,123323,0.6,246010,0.4,328103,1.75 13,1,2024-09-07 09:33:51:536,863228,863228,0,0,405368101010,4264493626,853639,7296,2293,382,391740,0 13,2,2024-09-07 09:33:50:600,624870,624870,0,0,25756798,0,3287 13,3,2024-09-07 09:33:51:764,1,493,8,0,522,7080,493,0 14,0,2024-09-07 09:33:50:567,124375,0.4,125413,0.6,248641,0.4,331613,1.75 14,1,2024-09-07 09:33:51:575,868673,868673,0,0,408333037519,4227871436,861830,6116,727,364,391673,0 14,2,2024-09-07 09:33:50:764,621623,621593,30,0,26800103,0,6104 14,3,2024-09-07 09:33:51:122,1,493,498,0,1168,5779,493,0 15,0,2024-09-07 09:33:51:564,124657,0.4,124295,0.6,249432,0.4,331929,2.00 15,1,2024-09-07 09:33:51:609,865849,865849,0,0,407028945068,4240994360,858379,5985,1485,381,391619,0 15,2,2024-09-07 09:33:51:001,626404,626404,0,0,22722781,0,3622 15,3,2024-09-07 09:33:51:408,1,493,1,0,1126,7265,493,0 16,0,2024-09-07 09:33:51:098,122944,0.5,123670,0.7,246180,0.5,327922,2.00 16,1,2024-09-07 09:33:50:563,865912,865912,0,0,406255504350,4250144276,857743,6873,1296,370,391917,0 16,2,2024-09-07 09:33:51:461,624271,624271,0,0,27072663,0,4719 16,3,2024-09-07 09:33:51:147,1,493,9,0,358,6344,493,0 17,0,2024-09-07 09:33:51:763,126001,0.6,122974,0.7,240749,0.6,326545,2.00 17,1,2024-09-07 09:33:50:576,863934,863934,0,0,405596215210,4257400614,855037,7152,1745,368,392075,0 17,2,2024-09-07 09:33:51:674,626380,626379,1,0,27656063,0,5050 17,3,2024-09-07 09:33:50:577,1,493,18,0,518,7596,493,0 18,0,2024-09-07 09:33:50:942,121351,0.6,122014,0.8,243069,0.7,324854,2.25 18,1,2024-09-07 09:33:51:642,867957,867957,0,0,407798814154,4231050414,862363,4737,857,367,391725,0 18,2,2024-09-07 09:33:51:755,621764,621764,0,0,24449662,0,3541 18,3,2024-09-07 09:33:50:896,1,493,2,0,1059,4839,493,0 19,0,2024-09-07 09:33:51:545,125163,0.6,125632,0.8,249580,0.6,333004,2.25 19,1,2024-09-07 09:33:50:565,867483,867483,0,0,407248669602,4226109447,860544,5855,1084,367,391777,0 19,2,2024-09-07 09:33:51:755,627842,627842,0,0,22888971,0,3988 19,3,2024-09-07 09:33:51:133,1,493,0,0,524,4086,493,0 20,0,2024-09-07 09:33:51:350,121659,0.5,121807,0.7,243217,0.5,324329,2.00 20,1,2024-09-07 09:33:50:567,864537,864537,0,0,405832789398,4254943552,855415,7760,1362,369,391922,0 20,2,2024-09-07 09:33:50:938,624647,624647,0,0,28052635,0,3721 20,3,2024-09-07 09:33:50:591,1,493,3,0,468,8637,493,0 21,0,2024-09-07 09:33:51:160,122538,0.4,122655,0.6,245215,0.4,325164,1.75 21,1,2024-09-07 09:33:51:553,862299,862299,0,0,404980762490,4278832070,848184,10998,3117,368,392016,0 21,2,2024-09-07 09:33:51:078,620495,620475,20,0,32995924,0,5617 21,3,2024-09-07 09:33:51:404,1,493,14,0,713,6618,493,0 22,0,2024-09-07 09:33:51:718,122535,0.5,122926,0.7,245537,0.4,325216,2.00 22,1,2024-09-07 09:33:51:029,863215,863215,0,0,405141143617,4270626435,848104,11887,3224,382,391822,0 22,2,2024-09-07 09:33:50:764,618317,618291,26,0,27690485,0,6328 22,3,2024-09-07 09:33:51:069,1,493,1,0,228,4192,493,0 23,0,2024-09-07 09:33:51:369,124608,0.5,124088,0.7,248449,0.5,331792,2.25 23,1,2024-09-07 09:33:51:023,864443,864443,0,0,405937576136,4266649697,848789,11246,4408,365,391690,0 23,2,2024-09-07 09:33:51:102,626085,626085,0,0,26332630,0,3773 23,3,2024-09-07 09:33:51:757,1,493,5,0,720,6689,493,0 24,0,2024-09-07 09:33:50:969,124203,0.4,123337,0.6,247988,0.4,328932,1.75 24,1,2024-09-07 09:33:50:665,863749,863749,0,0,405375734931,4247498854,855024,7061,1664,367,392269,0 24,2,2024-09-07 09:33:51:080,624564,624564,0,0,31177008,0,4438 24,3,2024-09-07 09:33:51:700,1,493,7,0,468,6424,493,0 25,0,2024-09-07 09:33:51:344,127342,0.4,124061,0.6,243356,0.4,331189,1.75 25,1,2024-09-07 09:33:50:562,862488,862488,0,0,405276586677,4278842203,847675,11844,2969,369,391928,0 25,2,2024-09-07 09:33:51:605,621358,621358,0,0,32283400,0,3978 25,3,2024-09-07 09:33:51:018,1,493,8,0,532,5536,493,0 26,0,2024-09-07 09:33:51:727,122859,0.4,120195,0.6,252010,0.4,327997,1.75 26,1,2024-09-07 09:33:51:558,865716,865716,0,0,406056695480,4255736656,853107,10170,2439,380,391748,0 26,2,2024-09-07 09:33:50:861,621746,621746,0,0,34127735,0,4689 26,3,2024-09-07 09:33:51:712,1,493,0,0,796,5641,493,0 27,0,2024-09-07 09:33:51:731,124728,0.5,125000,0.6,248558,0.4,332025,2.25 27,1,2024-09-07 09:33:51:682,866666,866666,0,0,407683487972,4249251108,858129,7270,1267,381,391626,0 27,2,2024-09-07 09:33:50:868,620168,620103,65,0,29518019,0,5699 27,3,2024-09-07 09:33:51:018,1,493,3,0,564,4459,493,0 28,0,2024-09-07 09:33:51:386,125499,0.4,125059,0.6,251028,0.4,334446,2.00 28,1,2024-09-07 09:33:50:797,866636,866636,0,0,407335193260,4249268096,859070,5866,1700,382,391698,0 28,2,2024-09-07 09:33:51:764,624763,624763,0,0,25811990,0,2915 28,3,2024-09-07 09:33:51:781,1,493,5,0,502,5071,493,0 29,0,2024-09-07 09:33:51:367,127270,0.4,123964,0.6,242989,0.3,331617,1.75 29,1,2024-09-07 09:33:51:564,869368,869368,0,0,407712997097,4219465545,863997,4612,759,368,391809,0 29,2,2024-09-07 09:33:50:867,623764,623764,0,0,24950864,0,4986 29,3,2024-09-07 09:33:50:969,1,493,17,0,459,5602,493,0 30,0,2024-09-07 09:33:51:457,121983,0.5,118444,0.7,248015,0.4,324362,2.00 30,1,2024-09-07 09:33:50:573,868174,868174,0,0,407924219590,4235310927,861526,5799,849,380,391672,0 30,2,2024-09-07 09:33:51:274,624678,624678,0,0,24681761,0,4192 30,3,2024-09-07 09:33:50:590,1,493,0,0,519,4928,493,0 31,0,2024-09-07 09:33:51:761,122906,0.5,123240,0.7,246180,0.4,328895,2.00 31,1,2024-09-07 09:33:50:564,870847,870847,0,0,409786357267,4207099781,866068,3873,906,356,391712,0 31,2,2024-09-07 09:33:51:280,619193,619193,0,0,26805259,0,4470 31,3,2024-09-07 09:33:51:706,1,493,1,0,220,4198,493,0 32,0,2024-09-07 09:33:51:424,125940,0.3,126657,0.5,252087,0.3,336217,1.75 32,1,2024-09-07 09:33:50:810,866845,866845,0,0,407621966746,4239385918,861304,4834,707,381,391646,0 32,2,2024-09-07 09:33:50:944,626052,626052,0,0,23385208,0,3922 32,3,2024-09-07 09:33:51:019,1,493,15,0,304,3991,493,0 33,0,2024-09-07 09:33:51:494,122632,0.3,122273,0.4,244598,0.2,325996,1.50 33,1,2024-09-07 09:33:50:575,868123,868123,0,0,407908397040,4229379861,860906,6045,1172,368,391730,0 33,2,2024-09-07 09:33:50:764,626266,626231,35,0,26102835,0,7012 33,3,2024-09-07 09:33:50:904,1,493,12,0,329,4758,493,0 34,0,2024-09-07 09:33:50:930,122407,0.3,125781,0.4,240408,0.2,323715,1.75 34,1,2024-09-07 09:33:51:057,870054,870054,0,0,409106325894,4208636713,867669,2363,22,367,391562,0 34,2,2024-09-07 09:33:50:764,625291,625291,0,0,24655708,0,4562 34,3,2024-09-07 09:33:51:689,1,493,0,0,320,3965,493,0 35,0,2024-09-07 09:33:50:858,121289,0.3,121961,0.5,245044,0.3,325852,1.75 35,1,2024-09-07 09:33:51:069,867418,867418,0,0,407960341535,4232701866,861526,4833,1059,382,391769,0 35,2,2024-09-07 09:33:51:583,619011,619011,0,0,26949392,0,4055 35,3,2024-09-07 09:33:50:907,1,493,1,0,418,4768,493,0 36,0,2024-09-07 09:33:51:548,126092,0.5,126048,0.7,251879,0.5,335963,2.25 36,1,2024-09-07 09:33:50:604,865951,865951,0,0,405431861873,4241059348,853680,10053,2218,366,391759,0 36,2,2024-09-07 09:33:51:751,625721,625721,0,0,28709025,0,3875 36,3,2024-09-07 09:33:50:862,1,493,1,0,416,7058,493,0 37,0,2024-09-07 09:33:51:367,121481,0.5,121452,0.7,243261,0.5,323965,2.25 37,1,2024-09-07 09:33:50:569,864773,864766,0,7,406549511779,4250009082,853762,8331,2673,365,391770,0 37,2,2024-09-07 09:33:51:144,623261,623246,15,0,28964039,0,5815 37,3,2024-09-07 09:33:51:772,1,493,11,0,888,7147,493,0 38,0,2024-09-07 09:33:51:439,122453,0.4,118769,0.6,248528,0.4,325303,2.00 38,1,2024-09-07 09:33:51:609,866269,866269,0,0,407015859378,4260504848,853093,10658,2518,368,391821,0 38,2,2024-09-07 09:33:50:763,622018,621971,47,0,29180289,0,6710 38,3,2024-09-07 09:33:51:008,1,493,1,0,689,6523,493,0 39,0,2024-09-07 09:33:51:762,126331,0.5,123571,0.7,240851,0.5,328261,2.00 39,1,2024-09-07 09:33:50:718,864327,864327,0,0,405092013401,4257067302,848564,12294,3469,365,391658,0 39,2,2024-09-07 09:33:51:419,621418,621418,0,0,26931735,0,3391 39,3,2024-09-07 09:33:50:713,1,493,9,0,525,5924,493,0 40,0,2024-09-07 09:33:51:489,123470,0.9,124234,1.0,247876,1.0,330734,2.75 40,1,2024-09-07 09:33:50:576,866320,866320,0,0,405731656205,4247695385,854340,9802,2178,368,391668,0 40,2,2024-09-07 09:33:51:313,622453,622452,1,0,32259163,0,5137 40,3,2024-09-07 09:33:51:141,1,493,471,0,1028,7115,493,0 41,0,2024-09-07 09:33:51:026,123242,1.4,125863,1.2,240412,2.1,326930,3.25 41,1,2024-09-07 09:33:50:769,864016,864016,0,0,406004745677,4252460771,852307,9778,1931,369,391742,0 41,2,2024-09-07 09:33:50:765,622213,622212,1,0,30802071,0,5408 41,3,2024-09-07 09:33:51:682,1,493,31,0,366,5139,493,0 42,0,2024-09-07 09:33:51:480,123506,0.8,123239,1.0,246663,0.9,326893,2.50 42,1,2024-09-07 09:33:51:440,862180,862180,0,0,405354233683,4266493759,847417,11901,2862,380,391675,0 42,2,2024-09-07 09:33:51:133,622347,622347,0,0,29637696,0,3975 42,3,2024-09-07 09:33:51:015,1,493,1,0,892,4639,493,0 43,0,2024-09-07 09:33:50:937,121659,0.7,118475,0.9,247821,0.7,325263,2.25 43,1,2024-09-07 09:33:50:585,864688,864688,0,0,406127245474,4247795453,852043,10387,2258,366,391696,0 43,2,2024-09-07 09:33:51:736,623593,623593,0,0,29726046,0,4723 43,3,2024-09-07 09:33:51:748,1,493,3,0,571,7177,493,0 44,0,2024-09-07 09:33:50:873,124513,0.4,124660,0.6,249652,0.4,332071,2.00 44,1,2024-09-07 09:33:50:698,868159,868159,0,0,407710065488,4215222035,860625,5984,1550,356,391809,0 44,2,2024-09-07 09:33:51:279,619986,619986,0,0,24040263,0,4344 44,3,2024-09-07 09:33:51:099,1,493,0,0,817,5478,493,0 45,0,2024-09-07 09:33:51:774,123356,0.5,120604,0.7,252872,0.4,332069,2.00 45,1,2024-09-07 09:33:51:023,866685,866685,0,0,407965045412,4243139362,859197,6612,876,382,391917,0 45,2,2024-09-07 09:33:51:271,625862,625862,0,0,24353483,0,3596 45,3,2024-09-07 09:33:50:934,1,493,14,0,271,4419,493,0 46,0,2024-09-07 09:33:50:961,122500,0.5,121942,0.7,244736,0.4,325039,2.00 46,1,2024-09-07 09:33:50:575,868990,868990,0,0,407776197573,4213565569,863394,4866,730,366,391709,0 46,2,2024-09-07 09:33:50:596,625006,625006,0,0,24861303,0,4443 46,3,2024-09-07 09:33:51:132,1,493,1,0,908,5754,493,0 47,0,2024-09-07 09:33:51:107,122394,0.4,122719,0.6,245855,0.4,325395,1.75 47,1,2024-09-07 09:33:50:578,868985,868985,0,0,407194382662,4208337601,863805,4341,839,366,391641,0 47,2,2024-09-07 09:33:50:918,626996,626996,0,0,24674295,0,4477 47,3,2024-09-07 09:33:51:122,1,493,35,0,600,5683,493,0 48,0,2024-09-07 09:33:51:490,123076,0.3,123094,0.4,245039,0.2,326941,1.50 48,1,2024-09-07 09:33:51:030,867218,867218,0,0,407231300778,4233089957,861570,5076,572,384,391710,0 48,2,2024-09-07 09:33:50:702,620102,620102,0,0,22870506,0,3411 48,3,2024-09-07 09:33:50:752,1,493,1,0,339,3887,493,0 49,0,2024-09-07 09:33:51:713,128925,0.4,126703,0.5,246088,0.3,336754,1.75 49,1,2024-09-07 09:33:51:029,866566,866566,0,0,406766387799,4231699265,861008,4348,1210,382,391809,0 49,2,2024-09-07 09:33:51:802,626363,626363,0,0,25283315,0,4426 49,3,2024-09-07 09:33:51:418,1,493,8,0,992,5725,493,0 50,0,2024-09-07 09:33:51:505,122424,0.3,120737,0.5,243282,0.2,324229,1.75 50,1,2024-09-07 09:33:51:016,869288,869288,0,0,409041903836,4230621904,863594,5031,663,368,391565,0 50,2,2024-09-07 09:33:51:070,625601,625601,0,0,23350562,0,4490 50,3,2024-09-07 09:33:51:291,1,493,1,0,617,5396,493,0 51,0,2024-09-07 09:33:51:689,125659,0.3,123119,0.4,239718,0.2,326644,1.75 51,1,2024-09-07 09:33:51:682,870319,870319,0,0,408809819384,4216995414,865786,3479,1054,365,391706,0 51,2,2024-09-07 09:33:51:317,624395,624395,0,0,22416565,0,3337 51,3,2024-09-07 09:33:51:028,1,493,1,0,678,3732,493,0 52,0,2024-09-07 09:33:51:417,123066,0.5,122753,0.7,245714,0.5,326126,2.00 52,1,2024-09-07 09:33:50:586,865400,865400,0,0,406310480444,4259117913,852178,11117,2105,368,391722,0 52,2,2024-09-07 09:33:51:755,616476,616438,38,0,30085275,0,6742 52,3,2024-09-07 09:33:50:700,1,493,47,0,1782,6568,493,0 53,0,2024-09-07 09:33:51:730,124142,0.7,120526,0.8,252222,0.8,331415,2.50 53,1,2024-09-07 09:33:50:782,863412,863412,0,0,406296056388,4270176609,848110,11458,3844,367,391968,0 53,2,2024-09-07 09:33:51:297,625260,625259,1,0,27026642,0,5455 53,3,2024-09-07 09:33:50:699,1,493,9,0,308,5060,493,0 54,0,2024-09-07 09:33:51:614,121227,0.6,121523,0.8,242030,0.5,322781,2.25 54,1,2024-09-07 09:33:50:584,865783,865783,0,0,407649284756,4245763916,855720,8429,1634,366,391810,0 54,2,2024-09-07 09:33:50:864,625342,625310,32,0,30886342,0,6397 54,3,2024-09-07 09:33:50:763,1,493,51,0,676,6882,493,0 55,0,2024-09-07 09:33:51:784,119672,0.6,123424,0.7,250034,0.5,325566,2.50 55,1,2024-09-07 09:33:50:764,867210,867210,0,0,406975674621,4236183371,857587,8305,1318,365,391731,0 55,2,2024-09-07 09:33:50:729,622465,622409,56,0,29279202,0,7239 55,3,2024-09-07 09:33:50:679,1,493,1,0,304,4737,493,0 56,0,2024-09-07 09:33:51:569,125828,1.2,118582,1.1,244472,1.5,327308,2.75 56,1,2024-09-07 09:33:50:573,862090,862090,0,0,405087722921,4282445192,848002,11190,2898,381,391867,0 56,2,2024-09-07 09:33:51:303,621845,621723,122,0,30393601,0,7432 56,3,2024-09-07 09:33:51:066,1,493,103,0,705,6149,493,0 57,0,2024-09-07 09:33:50:944,122692,1.7,122581,1.3,245554,2.3,328406,3.50 57,1,2024-09-07 09:33:50:986,863764,863764,0,0,405152440727,4254826983,851886,9650,2228,366,392032,0 57,2,2024-09-07 09:33:51:318,621945,621945,0,0,30946244,0,4317 57,3,2024-09-07 09:33:51:738,1,493,13,0,455,5675,493,0 58,0,2024-09-07 09:33:50:575,123146,1.0,119609,1.0,250347,1.3,327859,2.75 58,1,2024-09-07 09:33:50:578,864727,864724,0,3,406831721506,4266347553,851358,10162,3204,367,391603,3 58,2,2024-09-07 09:33:51:071,623956,623956,0,0,29386219,0,3483 58,3,2024-09-07 09:33:51:069,1,493,166,0,1043,5456,493,0 59,0,2024-09-07 09:33:51:740,123285,0.8,122961,1.0,245515,0.9,325853,2.75 59,1,2024-09-07 09:33:50:804,863563,863563,0,0,405727913750,4263906424,849358,11228,2977,369,391653,0 59,2,2024-09-07 09:33:50:591,623185,623185,0,0,28288446,0,3727 59,3,2024-09-07 09:33:51:737,1,493,1,0,1015,6340,493,0 60,0,2024-09-07 09:33:51:730,122121,0.5,122283,0.7,244826,0.5,325227,1.75 60,1,2024-09-07 09:33:50:786,868146,868146,0,0,407742341096,4233225971,862129,5088,929,370,392031,0 60,2,2024-09-07 09:33:51:146,624199,624199,0,0,26808687,0,3811 60,3,2024-09-07 09:33:51:266,1,493,1,0,409,6030,493,0 61,0,2024-09-07 09:33:51:496,122994,0.6,123581,0.8,246166,0.6,328507,2.00 61,1,2024-09-07 09:33:50:774,865286,865286,0,0,406379621068,4258431058,855530,8176,1580,382,392127,0 61,2,2024-09-07 09:33:51:117,620063,619996,67,0,27195297,0,6411 61,3,2024-09-07 09:33:51:690,1,493,8,0,479,6650,493,0 62,0,2024-09-07 09:33:51:706,126270,0.5,129407,0.7,247106,0.5,335901,2.00 62,1,2024-09-07 09:33:51:111,870292,870286,0,6,409027042135,4216563125,866311,3711,264,365,391975,6 62,2,2024-09-07 09:33:51:649,623181,623180,1,0,26586861,0,5555 62,3,2024-09-07 09:33:51:145,1,493,0,0,482,4069,493,0 63,0,2024-09-07 09:33:51:451,122591,0.4,122324,0.6,245558,0.4,326274,1.75 63,1,2024-09-07 09:33:50:804,867790,867784,0,6,407954846765,4235314231,862392,4658,734,381,391800,6 63,2,2024-09-07 09:33:50:767,625239,625239,0,0,25673848,0,4369 63,3,2024-09-07 09:33:51:737,1,493,1,0,667,5209,493,0 64,0,2024-09-07 09:33:51:539,121706,0.5,121791,0.6,243046,0.4,322968,2.00 64,1,2024-09-07 09:33:50:753,867257,867257,0,0,407054808760,4241876387,859533,5956,1768,370,391783,0 64,2,2024-09-07 09:33:51:151,627717,627698,19,0,24918739,0,6121 64,3,2024-09-07 09:33:51:158,1,493,12,0,651,5353,493,0 65,0,2024-09-07 09:33:51:678,121123,0.6,121379,0.8,242292,0.7,323184,2.00 65,1,2024-09-07 09:33:50:876,864961,864961,0,0,406316715058,4250195593,858252,5916,793,381,391901,0 65,2,2024-09-07 09:33:51:703,619297,619297,0,0,29019955,0,3367 65,3,2024-09-07 09:33:51:697,1,493,7,0,782,6027,493,0 66,0,2024-09-07 09:33:51:769,125314,0.6,124980,0.8,249973,0.5,333312,2.25 66,1,2024-09-07 09:33:51:293,867130,867130,0,0,407670782083,4246015931,861001,5407,722,380,391743,0 66,2,2024-09-07 09:33:51:141,627459,627456,3,0,26591489,0,5455 66,3,2024-09-07 09:33:51:078,1,493,2,0,291,4379,493,0 67,0,2024-09-07 09:33:51:411,121857,0.6,121516,0.7,244010,0.5,324147,2.25 67,1,2024-09-07 09:33:50:809,866742,866741,0,1,407176438903,4241262532,860594,5338,809,380,391787,1 67,2,2024-09-07 09:33:50:585,627324,627309,15,0,25830435,0,6205 67,3,2024-09-07 09:33:51:750,1,493,5,0,392,4840,493,0 68,0,2024-09-07 09:33:50:599,123281,0.5,123249,0.7,245426,0.5,327716,2.00 68,1,2024-09-07 09:33:50:590,863327,863327,0,0,405663216825,4270720247,851126,8802,3399,381,391953,0 68,2,2024-09-07 09:33:51:044,619444,619344,100,0,33602860,0,8578 68,3,2024-09-07 09:33:50:730,1,493,0,0,417,6471,493,0 69,0,2024-09-07 09:33:51:722,122743,0.7,123555,0.8,246149,0.7,326546,2.25 69,1,2024-09-07 09:33:51:015,862760,862760,0,0,404894513890,4272635148,850679,9322,2759,384,391994,0 69,2,2024-09-07 09:33:51:738,619706,619677,29,0,34335574,0,6912 69,3,2024-09-07 09:33:50:764,1,493,8,0,698,7511,493,0 70,0,2024-09-07 09:33:51:538,123328,1.1,123753,1.1,248916,1.0,329600,2.50 70,1,2024-09-07 09:33:50:802,867448,867448,0,0,408219401872,4239956961,860201,6486,761,366,391725,0 70,2,2024-09-07 09:33:51:332,623904,623904,0,0,29091908,0,4323 70,3,2024-09-07 09:33:50:748,1,493,0,0,854,5650,493,0 71,0,2024-09-07 09:33:51:361,122632,1.3,122334,1.1,245970,1.8,328049,3.00 71,1,2024-09-07 09:33:51:596,865295,865295,0,0,406838622147,4252680749,853911,10129,1255,368,391738,0 71,2,2024-09-07 09:33:51:070,623612,623612,0,0,29867403,0,4352 71,3,2024-09-07 09:33:51:751,1,493,1,0,644,6052,493,0 72,0,2024-09-07 09:33:51:044,128158,0.5,125325,0.7,244427,0.5,332270,2.00 72,1,2024-09-07 09:33:51:021,863754,863754,0,0,405662592370,4261926528,850411,11064,2279,369,391819,0 72,2,2024-09-07 09:33:51:761,621856,621856,0,0,30999417,0,3983 72,3,2024-09-07 09:33:51:763,1,493,1,0,564,7218,493,0 73,0,2024-09-07 09:33:51:104,119584,0.4,122788,0.6,250684,0.4,326092,2.00 73,1,2024-09-07 09:33:50:773,866646,866646,0,0,406706579985,4226258788,860431,5791,424,367,391858,0 73,2,2024-09-07 09:33:51:738,622814,622814,0,0,31479492,0,4728 73,3,2024-09-07 09:33:50:969,1,493,12,0,486,6284,493,0 74,0,2024-09-07 09:33:51:327,125303,0.5,128279,0.7,244836,0.5,332406,2.25 74,1,2024-09-07 09:33:50:637,865319,865319,0,0,406047585360,4245064058,854882,8514,1923,381,391762,0 74,2,2024-09-07 09:33:51:003,620670,620670,0,0,27261018,0,4253 74,3,2024-09-07 09:33:51:443,1,493,0,0,522,6085,493,0 75,0,2024-09-07 09:33:51:776,125226,0.5,124425,0.7,249843,0.5,333379,2.25 75,1,2024-09-07 09:33:51:597,866214,866214,0,0,406198738761,4239033404,858375,6979,860,380,391739,0 75,2,2024-09-07 09:33:51:350,623086,623086,0,0,32432711,0,4766 75,3,2024-09-07 09:33:51:071,1,493,1,0,702,6087,493,0 76,0,2024-09-07 09:33:50:601,122299,0.5,121665,0.7,244045,0.5,326683,2.25 76,1,2024-09-07 09:33:50:809,865578,865578,0,0,406509461328,4240130798,859698,5045,835,382,391692,0 76,2,2024-09-07 09:33:51:070,626707,626704,3,0,27403224,0,5265 76,3,2024-09-07 09:33:51:142,1,493,1,0,227,4650,493,0 77,0,2024-09-07 09:33:51:707,121804,0.5,122308,0.7,244784,0.5,324481,2.00 77,1,2024-09-07 09:33:50:826,866251,866251,0,0,406673837467,4244597417,859454,6206,591,381,391869,0 77,2,2024-09-07 09:33:51:281,624030,624030,0,0,26757209,0,3890 77,3,2024-09-07 09:33:51:098,1,493,8,0,401,5366,493,0 78,0,2024-09-07 09:33:51:723,122838,0.4,122269,0.6,245405,0.4,325480,2.00 78,1,2024-09-07 09:33:50:616,866207,866207,0,0,405949255596,4233797846,856300,7650,2257,367,391670,0 78,2,2024-09-07 09:33:51:405,620765,620752,13,0,24886928,0,8313 78,3,2024-09-07 09:33:51:139,1,493,1,0,311,4395,493,0 79,0,2024-09-07 09:33:51:351,121358,0.4,124434,0.6,254523,0.4,331642,2.25 79,1,2024-09-07 09:33:50:581,868503,868503,0,0,407684886514,4226469919,860952,6195,1356,367,391682,0 79,2,2024-09-07 09:33:51:070,625924,625924,0,0,24179177,0,4195 79,3,2024-09-07 09:33:50:749,1,493,3,0,418,6106,493,0 80,0,2024-09-07 09:33:51:090,121599,0.5,124853,0.7,239163,0.5,323543,2.00 80,1,2024-09-07 09:33:51:625,865892,865892,0,0,407258654052,4241363549,860095,5374,423,368,392269,0 80,2,2024-09-07 09:33:51:091,627425,627425,0,0,24869839,0,4433 80,3,2024-09-07 09:33:50:578,1,493,9,0,296,5950,493,0 81,0,2024-09-07 09:33:51:541,122592,0.5,125551,0.7,239541,0.5,325256,2.00 81,1,2024-09-07 09:33:51:669,864790,864790,0,0,406540657469,4252636076,857403,6750,637,382,391885,0 81,2,2024-09-07 09:33:51:129,622897,622834,63,0,27877610,0,5932 81,3,2024-09-07 09:33:51:123,1,493,9,0,719,5795,493,0 82,0,2024-09-07 09:33:51:531,122373,0.5,122545,0.7,245424,0.4,325377,2.00 82,1,2024-09-07 09:33:50:596,867141,867137,0,4,407241802050,4236798134,862073,4244,820,381,391768,4 82,2,2024-09-07 09:33:51:691,621505,621505,0,0,23135221,0,4484 82,3,2024-09-07 09:33:51:757,1,493,1,0,363,4855,493,0 83,0,2024-09-07 09:33:51:529,124929,0.6,124772,0.7,248971,0.6,331565,2.25 83,1,2024-09-07 09:33:50:551,865835,865835,0,0,407111652783,4245733244,859700,5684,451,382,391709,0 83,2,2024-09-07 09:33:50:763,624989,624964,25,0,24952282,0,5612 83,3,2024-09-07 09:33:50:749,1,493,1,0,1260,6259,493,0 84,0,2024-09-07 09:33:51:790,122072,0.8,122220,0.9,244070,0.8,326119,2.25 84,1,2024-09-07 09:33:51:041,864307,864307,0,0,406555506107,4254829657,854143,8644,1520,367,391967,0 84,2,2024-09-07 09:33:50:574,623907,623877,30,0,33167594,0,5971 84,3,2024-09-07 09:33:51:141,1,493,2,0,908,7242,493,0 85,0,2024-09-07 09:33:51:016,119242,0.6,119160,0.8,252944,0.5,327149,2.25 85,1,2024-09-07 09:33:50:563,861771,861771,0,0,405252629582,4285849450,847528,11591,2652,381,392092,0 85,2,2024-09-07 09:33:50:869,621448,621448,0,0,31054860,0,4255 85,3,2024-09-07 09:33:50:695,1,493,13,0,789,5785,493,0 86,0,2024-09-07 09:33:50:890,123018,0.6,126609,0.8,242072,0.7,327675,2.25 86,1,2024-09-07 09:33:50:828,864613,864613,0,0,405710197382,4256614668,853347,9299,1967,366,391961,0 86,2,2024-09-07 09:33:50:865,620221,620220,1,0,33491110,0,5004 86,3,2024-09-07 09:33:50:591,1,493,4,0,308,7268,493,0 87,0,2024-09-07 09:33:51:291,124439,1.0,124173,0.9,248488,1.3,332319,2.50 87,1,2024-09-07 09:33:50:556,863224,863224,0,0,405736406776,4257433205,851049,10464,1711,366,392076,0 87,2,2024-09-07 09:33:51:078,621843,621837,6,0,29050048,0,6323 87,3,2024-09-07 09:33:51:794,1,493,5,0,473,7504,493,0 88,0,2024-09-07 09:33:51:475,124982,0.5,125578,0.6,251066,0.5,334069,2.00 88,1,2024-09-07 09:33:50:575,862558,862558,0,0,405493210431,4252720719,849960,9987,2611,365,392084,0 88,2,2024-09-07 09:33:50:691,624482,624482,0,0,31630746,0,4465 88,3,2024-09-07 09:33:51:268,1,493,4,0,435,6366,493,0 89,0,2024-09-07 09:33:51:797,127369,0.4,123392,0.6,244006,0.4,331741,1.75 89,1,2024-09-07 09:33:50:550,862730,862730,0,0,406533281656,4280020212,851353,9562,1815,382,391866,0 89,2,2024-09-07 09:33:51:134,623149,623149,0,0,30065874,0,3173 89,3,2024-09-07 09:33:51:791,1,493,8,0,468,8236,493,0 90,0,2024-09-07 09:33:51:631,118607,0.4,121898,0.6,248395,0.4,324147,1.75 90,1,2024-09-07 09:33:50:743,864520,864520,0,0,406077913631,4252479575,856386,7470,664,380,391825,0 90,2,2024-09-07 09:33:51:404,621927,621927,0,0,32265105,0,3608 90,3,2024-09-07 09:33:50:957,1,493,32,0,322,6143,493,0 91,0,2024-09-07 09:33:51:049,123648,0.5,119800,0.6,250467,0.5,329286,1.75 91,1,2024-09-07 09:33:50:557,863348,863348,0,0,406121842302,4273606725,852006,9341,2001,381,392047,0 91,2,2024-09-07 09:33:51:332,620457,620457,0,0,28227607,0,4713 91,3,2024-09-07 09:33:50:604,1,493,3,0,216,4811,493,0 92,0,2024-09-07 09:33:51:447,127250,0.5,130088,0.6,247909,0.5,336106,1.75 92,1,2024-09-07 09:33:50:585,865424,865424,0,0,405785091031,4240580511,858436,5824,1164,381,392136,0 92,2,2024-09-07 09:33:51:350,625742,625742,0,0,24939341,0,3259 92,3,2024-09-07 09:33:51:012,1,493,1,0,167,4254,493,0 93,0,2024-09-07 09:33:50:960,123023,0.4,126065,0.6,240659,0.4,326374,1.75 93,1,2024-09-07 09:33:50:812,865526,865526,0,0,406316343177,4244246798,855747,8062,1717,366,391776,0 93,2,2024-09-07 09:33:50:935,625230,625230,0,0,31029897,0,4913 93,3,2024-09-07 09:33:51:406,1,493,7,0,190,4437,493,0 94,0,2024-09-07 09:33:51:650,121861,0.3,122469,0.5,244818,0.3,324659,1.75 94,1,2024-09-07 09:33:50:563,865420,865420,0,0,407120564380,4248075594,859609,5439,372,381,391850,0 94,2,2024-09-07 09:33:50:764,623940,623912,28,0,26480462,0,6179 94,3,2024-09-07 09:33:51:691,1,493,46,0,576,6336,493,0 95,0,2024-09-07 09:33:51:356,122254,0.3,121948,0.5,244318,0.3,325792,1.75 95,1,2024-09-07 09:33:50:853,867403,867403,0,0,407197362591,4231949384,860625,6297,481,365,391786,0 95,2,2024-09-07 09:33:51:019,619418,619418,0,0,24792745,0,3308 95,3,2024-09-07 09:33:51:709,1,493,30,0,718,7432,493,0 96,0,2024-09-07 09:33:51:024,125977,0.4,126186,0.5,252221,0.4,335224,1.75 96,1,2024-09-07 09:33:51:584,865838,865838,0,0,406831576684,4245834482,859469,5458,911,384,391955,0 96,2,2024-09-07 09:33:51:269,626035,626035,0,0,26091686,0,4180 96,3,2024-09-07 09:33:51:140,1,493,42,0,411,5355,493,0 97,0,2024-09-07 09:33:51:310,122097,0.3,121754,0.5,244619,0.3,324587,1.75 97,1,2024-09-07 09:33:50:764,867253,867253,0,0,408061890423,4233886163,861709,4608,936,367,392140,0 97,2,2024-09-07 09:33:50:614,626263,626263,0,0,25536974,0,3679 97,3,2024-09-07 09:33:50:573,1,493,12,0,242,5893,493,0 98,0,2024-09-07 09:33:51:744,122773,0.3,122985,0.4,246635,0.2,327289,1.50 98,1,2024-09-07 09:33:50:581,866698,866698,0,0,406675799066,4237792937,861309,4591,798,381,391997,0 98,2,2024-09-07 09:33:50:772,623577,623577,0,0,25464416,0,4336 98,3,2024-09-07 09:33:50:704,1,493,172,0,840,7850,493,0 99,0,2024-09-07 09:33:51:455,123341,0.3,123980,0.5,246713,0.3,329030,1.75 99,1,2024-09-07 09:33:51:742,866899,866899,0,0,406311462155,4231738603,860989,4989,921,380,392069,0 99,2,2024-09-07 09:33:51:419,621998,621998,0,0,30487695,0,4276 99,3,2024-09-07 09:33:50:590,1,493,4,0,606,5092,493,0 100,0,2024-09-07 09:33:51:514,124388,1.0,124885,1.0,248792,1.2,332877,2.50 100,1,2024-09-07 09:33:50:552,861511,861511,0,0,404851913520,4285918729,847308,11105,3098,378,391989,0 100,2,2024-09-07 09:33:51:821,621207,621196,11,0,30092546,0,5417 100,3,2024-09-07 09:33:51:732,1,493,3,0,627,8843,493,0 101,0,2024-09-07 09:33:51:703,126490,1.4,123311,1.2,241505,1.5,330219,2.50 101,1,2024-09-07 09:33:50:551,862815,862815,0,0,405222512123,4262643935,850593,9826,2396,368,391847,0 101,2,2024-09-07 09:33:51:758,620606,620606,0,0,35067362,0,4871 101,3,2024-09-07 09:33:50:950,1,493,14,0,1250,7735,493,0 102,0,2024-09-07 09:33:50:965,120848,0.6,124478,0.8,252779,0.6,330637,2.00 102,1,2024-09-07 09:33:51:144,862689,862689,0,0,405823073724,4267928149,850510,10160,2019,369,391891,0 102,2,2024-09-07 09:33:51:739,622704,622650,54,0,29640168,0,6768 102,3,2024-09-07 09:33:51:613,1,493,1,0,466,5995,493,0 103,0,2024-09-07 09:33:51:601,126752,0.5,126762,0.7,238763,0.5,328729,2.00 103,1,2024-09-07 09:33:51:639,862063,862063,0,0,405233168759,4282714427,848134,10732,3197,381,391862,0 103,2,2024-09-07 09:33:50:598,622015,622015,0,0,31245757,0,3766 103,3,2024-09-07 09:33:50:764,1,493,9,0,916,6072,493,0 104,0,2024-09-07 09:33:51:015,123535,0.8,123716,1.0,246403,0.8,330334,2.50 104,1,2024-09-07 09:33:51:606,864548,864548,0,0,405504397434,4264194258,851573,10428,2547,365,392168,0 104,2,2024-09-07 09:33:51:677,619021,619021,0,0,29822862,0,3941 104,3,2024-09-07 09:33:51:420,1,493,1,0,1245,8951,493,0 105,0,2024-09-07 09:33:51:038,123525,0.8,120412,1.0,252491,0.9,331648,2.50 105,1,2024-09-07 09:33:50:561,865495,865495,0,0,406103631012,4259285163,853938,9793,1764,366,392009,0 105,2,2024-09-07 09:33:51:323,623213,623213,0,0,30306355,0,4360 105,3,2024-09-07 09:33:51:308,1,493,8,0,399,7211,493,0 106,0,2024-09-07 09:33:51:041,118695,0.8,121532,0.9,249151,0.8,325515,2.50 106,1,2024-09-07 09:33:51:750,864564,864564,0,0,405751561346,4261327188,852057,10863,1644,368,391914,0 106,2,2024-09-07 09:33:50:783,623294,623294,0,0,28715745,0,2920 106,3,2024-09-07 09:33:50:678,1,493,6,0,1224,7652,493,0 107,0,2024-09-07 09:33:51:115,122026,0.8,122271,0.9,244403,0.8,325592,2.00 107,1,2024-09-07 09:33:50:601,862766,862766,0,0,405646623992,4279339177,850286,11199,1281,381,392234,0 107,2,2024-09-07 09:33:51:293,622088,622087,1,0,31247198,0,5024 107,3,2024-09-07 09:33:51:758,1,493,7,0,370,6997,493,0 108,0,2024-09-07 09:33:51:795,122324,0.4,123103,0.6,244886,0.4,326958,1.75 108,1,2024-09-07 09:33:51:294,865189,865189,0,0,406534163962,4240684532,857937,6412,840,367,391857,0 108,2,2024-09-07 09:33:51:762,618685,618685,0,0,27801513,0,4246 108,3,2024-09-07 09:33:51:331,1,493,1,0,749,10117,493,0 109,0,2024-09-07 09:33:51:768,126314,0.4,125332,0.6,250982,0.4,335732,1.75 109,1,2024-09-07 09:33:50:586,863119,863119,0,0,406325210362,4264768618,854983,6924,1212,382,392132,0 109,2,2024-09-07 09:33:50:924,623027,623027,0,0,27288635,0,3617 109,3,2024-09-07 09:33:51:148,1,493,8,0,630,6255,493,0 110,0,2024-09-07 09:33:51:745,121652,0.4,118414,0.6,248059,0.4,324855,1.75 110,1,2024-09-07 09:33:51:643,867005,867005,0,0,407203279976,4228869329,860363,4949,1693,368,392045,0 110,2,2024-09-07 09:33:51:317,626044,626044,0,0,26417625,0,4067 110,3,2024-09-07 09:33:50:698,1,493,1,0,722,7088,493,0 111,0,2024-09-07 09:33:51:412,122982,0.3,122299,0.5,245038,0.3,326587,1.75 111,1,2024-09-07 09:33:51:001,868284,868284,0,0,407877660922,4229748346,863928,4000,356,380,391690,0 111,2,2024-09-07 09:33:51:118,623107,623107,0,0,26720314,0,4823 111,3,2024-09-07 09:33:50:917,1,493,9,0,379,5771,493,0 112,0,2024-09-07 09:33:50:909,123373,0.3,122707,0.4,246135,0.2,326382,1.50 112,1,2024-09-07 09:33:50:828,866899,866899,0,0,408000314936,4237828453,861017,5193,689,380,391624,0 112,2,2024-09-07 09:33:51:133,619794,619793,1,0,25502381,0,5036 112,3,2024-09-07 09:33:50:599,1,493,4,0,282,4891,493,0 113,0,2024-09-07 09:33:50:867,125080,0.3,125082,0.5,250403,0.3,333418,1.75 113,1,2024-09-07 09:33:51:685,869537,869537,0,0,408756188604,4219205686,864613,4115,809,365,391661,0 113,2,2024-09-07 09:33:51:313,627321,627321,0,0,23057165,0,3813 113,3,2024-09-07 09:33:50:697,1,493,8,0,340,5339,493,0 114,0,2024-09-07 09:33:50:874,123508,0.3,124382,0.5,247143,0.3,330069,1.75 114,1,2024-09-07 09:33:50:722,867353,867353,0,0,407024522270,4228749202,860651,5086,1616,381,391565,0 114,2,2024-09-07 09:33:50:873,626857,626856,1,0,24748195,0,5069 114,3,2024-09-07 09:33:51:280,1,493,0,0,395,3966,493,0 115,0,2024-09-07 09:33:50:561,124335,0.3,124825,0.4,248912,0.2,330618,1.50 115,1,2024-09-07 09:33:50:575,867608,867608,0,0,407692381484,4239830210,860210,6041,1357,382,391757,0 115,2,2024-09-07 09:33:51:125,625733,625733,0,0,24430315,0,4382 115,3,2024-09-07 09:33:51:002,1,493,1,0,167,2933,493,0 116,0,2024-09-07 09:33:51:703,122771,0.6,122741,0.8,245970,0.6,328792,2.00 116,1,2024-09-07 09:33:50:803,863056,863056,0,0,405574888516,4278629942,852426,8187,2443,380,392089,0 116,2,2024-09-07 09:33:51:751,620901,620901,0,0,31759050,0,4475 116,3,2024-09-07 09:33:50:915,1,493,1,0,415,6249,493,0 117,0,2024-09-07 09:33:50:972,124709,0.8,124169,0.9,248853,1.0,332687,2.00 117,1,2024-09-07 09:33:51:584,863720,863720,0,0,405524067140,4249765650,853754,8741,1225,369,392033,0 117,2,2024-09-07 09:33:51:119,624509,624509,0,0,27405233,0,4303 117,3,2024-09-07 09:33:51:061,1,493,9,0,490,6991,493,0 118,0,2024-09-07 09:33:51:777,121404,0.6,124726,0.7,254325,0.6,332549,2.00 118,1,2024-09-07 09:33:50:600,863482,863482,0,0,405883103883,4271435267,850062,10301,3119,366,392054,0 118,2,2024-09-07 09:33:51:591,623390,623390,0,0,30110606,0,2842 118,3,2024-09-07 09:33:51:764,1,493,0,0,248,5834,493,0 119,0,2024-09-07 09:33:51:356,123523,0.6,123819,0.8,247516,0.6,329879,2.00 119,1,2024-09-07 09:33:50:561,863946,863946,0,0,406224504529,4260565038,852335,9846,1765,367,391857,0 119,2,2024-09-07 09:33:51:261,623870,623870,0,0,28318561,0,4174 119,3,2024-09-07 09:33:51:333,1,493,3,0,1358,9665,493,0 120,0,2024-09-07 09:33:51:713,121558,0.6,121318,0.8,243588,0.6,324790,2.25 120,1,2024-09-07 09:33:50:867,864588,864588,0,0,405871324198,4257553719,855366,8444,778,368,392144,0 120,2,2024-09-07 09:33:50:773,622519,622518,1,0,32606867,0,5281 120,3,2024-09-07 09:33:51:292,1,493,72,0,279,6277,493,0 121,0,2024-09-07 09:33:51:751,123311,1.2,122721,1.1,246127,1.7,328072,2.25 121,1,2024-09-07 09:33:51:669,864808,864808,0,0,406768169711,4254869205,856246,7861,701,367,391840,0 121,2,2024-09-07 09:33:51:134,618772,618772,0,0,29663434,0,4157 121,3,2024-09-07 09:33:50:727,1,493,8,0,330,6063,493,0 122,0,2024-09-07 09:33:51:778,125188,0.9,121914,0.9,255483,1.0,335927,2.25 122,1,2024-09-07 09:33:50:859,863436,863436,0,0,405780428589,4260119871,851127,10427,1882,366,392130,0 122,2,2024-09-07 09:33:51:318,625056,624981,75,0,34214862,0,5989 122,3,2024-09-07 09:33:50:604,1,493,5,0,512,8637,493,0 123,0,2024-09-07 09:33:50:969,121566,0.8,118440,0.9,248115,1.0,324668,2.25 123,1,2024-09-07 09:33:50:563,863721,863721,0,0,406317230480,4278264127,848926,12323,2472,369,392039,0 123,2,2024-09-07 09:33:51:017,623149,623148,1,0,28864956,0,5215 123,3,2024-09-07 09:33:51:134,1,493,2,0,478,5940,493,0 124,0,2024-09-07 09:33:50:933,125688,0.3,125701,0.5,236911,0.3,325693,1.75 124,1,2024-09-07 09:33:51:028,867069,867069,0,0,406411750312,4225972531,860661,5292,1116,365,392178,0 124,2,2024-09-07 09:33:51:015,624812,624759,53,0,26139743,0,6487 124,3,2024-09-07 09:33:50:763,1,493,1,0,490,5137,493,0 125,0,2024-09-07 09:33:51:423,122043,0.4,121788,0.6,244574,0.4,325690,1.75 125,1,2024-09-07 09:33:50:875,865009,865009,0,0,406308469585,4243983547,858052,5976,981,382,391813,0 125,2,2024-09-07 09:33:51:118,620937,620937,0,0,26240806,0,4534 125,3,2024-09-07 09:33:51:139,1,493,12,0,709,6072,493,0 126,0,2024-09-07 09:33:51:418,125953,0.4,129563,0.6,247731,0.4,335939,1.75 126,1,2024-09-07 09:33:50:561,868193,868193,0,0,407939762969,4223507017,863767,4128,298,365,391987,0 126,2,2024-09-07 09:33:50:615,626440,626440,0,0,26814830,0,4539 126,3,2024-09-07 09:33:50:907,1,493,23,0,268,5700,493,0 127,0,2024-09-07 09:33:51:597,122351,0.3,122641,0.5,244639,0.3,324925,1.75 127,1,2024-09-07 09:33:50:581,866893,866893,0,0,407010575017,4236653016,858060,7369,1464,364,392187,0 127,2,2024-09-07 09:33:50:636,624437,624433,4,0,25457250,0,5305 127,3,2024-09-07 09:33:51:269,1,493,5,0,968,5054,493,0 128,0,2024-09-07 09:33:51:559,123597,0.3,123717,0.4,247045,0.2,328206,1.50 128,1,2024-09-07 09:33:51:607,865992,865992,0,0,407969870371,4238561971,859877,5385,730,367,392031,0 128,2,2024-09-07 09:33:51:385,625122,625122,0,0,24157911,0,3171 128,3,2024-09-07 09:33:50:768,1,493,1,0,1082,7928,493,0 129,0,2024-09-07 09:33:50:994,124330,0.3,123791,0.5,248138,0.3,329496,1.50 129,1,2024-09-07 09:33:50:581,863261,863261,0,0,405232180478,4247970099,854738,6786,1737,379,391962,0 129,2,2024-09-07 09:33:50:689,622293,622289,4,0,26132153,0,5335 129,3,2024-09-07 09:33:50:697,1,493,8,0,506,7135,493,0 130,0,2024-09-07 09:33:51:725,125526,0.5,125111,0.6,251276,0.5,334377,1.75 130,1,2024-09-07 09:33:50:592,866899,866899,0,0,406393781811,4224158977,862223,4290,386,381,391825,0 130,2,2024-09-07 09:33:51:125,624846,624846,0,0,25733709,0,4067 130,3,2024-09-07 09:33:51:291,1,493,9,0,960,7206,493,0 131,0,2024-09-07 09:33:51:935,124176,0.4,124565,0.5,249689,0.3,331176,1.75 131,1,2024-09-07 09:33:51:822,866216,866216,0,0,406953909641,4248825735,859380,5645,1191,381,391865,0 131,2,2024-09-07 09:33:50:576,626007,626007,0,0,24147077,0,3979 131,3,2024-09-07 09:33:51:690,1,493,3,0,392,5897,493,0 132,0,2024-09-07 09:33:51:430,124123,0.4,125410,0.6,249334,0.4,331714,2.00 132,1,2024-09-07 09:33:50:584,862594,862594,0,0,405268775238,4268118148,850163,10240,2191,381,392532,0 132,2,2024-09-07 09:33:50:715,622696,622679,17,0,32307708,0,6451 132,3,2024-09-07 09:33:51:694,1,493,5,0,804,8643,493,0 133,0,2024-09-07 09:33:51:562,119582,0.4,122448,0.6,251059,0.4,326811,1.75 133,1,2024-09-07 09:33:50:591,862626,862626,0,0,405308425461,4275457298,850456,10534,1636,383,391914,0 133,2,2024-09-07 09:33:51:090,623157,623107,50,0,32618397,0,6861 133,3,2024-09-07 09:33:51:297,1,493,11,0,479,5653,493,0 134,0,2024-09-07 09:33:50:957,124716,0.6,124566,0.7,249386,0.6,332791,2.25 134,1,2024-09-07 09:33:50:602,863649,863649,0,0,405754657663,4257837348,852296,9207,2146,366,391718,0 134,2,2024-09-07 09:33:51:759,619640,619616,24,0,30504811,0,6207 134,3,2024-09-07 09:33:50:763,1,493,11,0,739,6191,493,0 135,0,2024-09-07 09:33:51:114,120944,0.8,120908,0.9,256597,1.0,331041,2.25 135,1,2024-09-07 09:33:51:597,863646,863646,0,0,406512718230,4279153472,852024,10057,1565,380,391805,0 135,2,2024-09-07 09:33:50:689,624109,624109,0,0,30724993,0,4503 135,3,2024-09-07 09:33:51:015,1,493,1,0,900,5182,493,0 136,0,2024-09-07 09:33:51:619,123509,0.5,123709,0.7,246378,0.5,328704,2.00 136,1,2024-09-07 09:33:51:444,865177,865177,0,0,405506179809,4254772263,854828,9082,1267,381,392135,0 136,2,2024-09-07 09:33:51:135,625563,625548,15,0,29901721,0,6007 136,3,2024-09-07 09:33:51:108,1,493,6,0,637,5674,493,0 137,0,2024-09-07 09:33:50:927,126123,0.5,122942,0.7,240873,0.5,326806,2.00 137,1,2024-09-07 09:33:50:586,863326,863326,0,0,405638107444,4255685599,850038,10618,2670,366,391898,0 137,2,2024-09-07 09:33:51:735,622583,622583,0,0,31459933,0,3185 137,3,2024-09-07 09:33:50:784,1,493,75,0,484,6381,493,0 138,0,2024-09-07 09:33:51:748,122028,0.9,122124,0.9,244911,1.1,325557,2.25 138,1,2024-09-07 09:33:51:706,864107,864107,0,0,405969686676,4261389574,851562,10578,1967,368,391954,0 138,2,2024-09-07 09:33:50:600,618551,618551,0,0,28821853,0,4988 138,3,2024-09-07 09:33:50:615,1,493,2,0,1200,7957,493,0 139,0,2024-09-07 09:33:51:361,124638,1.4,125033,1.1,250131,2.0,334204,2.50 139,1,2024-09-07 09:33:50:595,859548,859548,0,0,403496883590,4284443220,843737,12352,3459,380,392058,0 139,2,2024-09-07 09:33:50:692,620908,620908,0,0,32507226,0,3097 139,3,2024-09-07 09:33:51:668,1,493,0,0,432,5761,493,0 140,0,2024-09-07 09:33:51:606,122400,0.3,121531,0.5,243996,0.2,325092,1.75 140,1,2024-09-07 09:33:51:538,868996,868996,0,0,408641969161,4213101858,864842,3693,461,364,391606,0 140,2,2024-09-07 09:33:50:689,626662,626661,1,0,24624312,0,5036 140,3,2024-09-07 09:33:50:769,1,493,27,0,297,4803,493,0 141,0,2024-09-07 09:33:51:699,122939,0.3,126094,0.4,240741,0.2,326257,1.50 141,1,2024-09-07 09:33:50:863,867688,867688,0,0,407689688669,4236774043,860735,5868,1085,379,391614,0 141,2,2024-09-07 09:33:51:692,623993,623982,11,0,26130784,0,5369 141,3,2024-09-07 09:33:51:044,1,493,9,0,391,5470,493,0 142,0,2024-09-07 09:33:51:326,123403,0.3,122539,0.5,245701,0.3,327100,1.50 142,1,2024-09-07 09:33:50:593,865781,865781,0,0,406789215936,4240911275,860231,5092,458,382,392102,0 142,2,2024-09-07 09:33:51:301,619602,619570,32,0,26455418,0,6028 142,3,2024-09-07 09:33:51:746,1,493,84,0,484,5324,493,0 143,0,2024-09-07 09:33:51:400,125082,0.4,125028,0.6,250563,0.4,333689,1.75 143,1,2024-09-07 09:33:50:560,867731,867731,0,0,407678808206,4232581922,861730,5447,554,367,391705,0 143,2,2024-09-07 09:33:50:772,625998,625998,0,0,25871662,0,3123 143,3,2024-09-07 09:33:51:141,1,493,0,0,462,6359,493,0 144,0,2024-09-07 09:33:51:554,119348,0.7,122730,0.8,249922,0.7,327100,2.25 144,1,2024-09-07 09:33:50:574,863475,863475,0,0,404380845048,4246862753,854296,7217,1962,381,391733,0 144,2,2024-09-07 09:33:51:756,626313,626313,0,0,24881396,0,3673 144,3,2024-09-07 09:33:51:746,1,493,2,0,249,4893,493,0 145,0,2024-09-07 09:33:51:422,119930,0.5,119874,0.8,254346,0.5,327372,2.25 145,1,2024-09-07 09:33:50:564,862497,862497,0,0,405517151285,4273966858,850152,9881,2464,382,391759,0 145,2,2024-09-07 09:33:51:434,620416,620334,82,0,31101979,0,7814 145,3,2024-09-07 09:33:50:895,1,493,13,0,622,6870,493,0 146,0,2024-09-07 09:33:51:591,123208,0.6,122705,0.7,246328,0.5,327180,2.25 146,1,2024-09-07 09:33:51:585,863721,863721,0,0,405372371414,4266754325,849345,10578,3798,367,391770,0 146,2,2024-09-07 09:33:51:701,620463,620457,6,0,29187717,0,5151 146,3,2024-09-07 09:33:51:280,1,493,16,0,1520,8747,493,0 147,0,2024-09-07 09:33:51:709,124748,0.7,124464,0.8,248296,0.7,332154,2.25 147,1,2024-09-07 09:33:51:371,867168,867168,0,0,407114344529,4231867157,860152,6164,852,367,391791,0 147,2,2024-09-07 09:33:51:012,622143,622143,0,0,25781029,0,2968 147,3,2024-09-07 09:33:50:923,1,493,11,0,1626,8298,493,0 0,0,2024-09-07 09:34:01:709,118891,0.6,118915,0.7,252368,0.6,325983,2.00 0,1,2024-09-07 09:34:00:804,866208,866208,0,0,406806681577,4263289475,858723,6646,839,369,391896,0 0,2,2024-09-07 09:34:01:068,626291,626291,0,0,26162440,0,4480 0,3,2024-09-07 09:34:00:983,1,494,1,0,431,7049,494,0 1,0,2024-09-07 09:34:01:792,123521,1.0,122977,1.0,246587,1.3,330022,2.00 1,1,2024-09-07 09:34:00:579,865491,865491,0,0,405669019887,4253222416,857085,6940,1466,370,391859,0 1,2,2024-09-07 09:34:00:644,621626,621626,0,0,25319411,0,3380 1,3,2024-09-07 09:34:01:319,1,494,8,0,269,6375,494,0 2,0,2024-09-07 09:34:01:598,125784,0.5,125732,0.7,251372,0.6,335049,2.00 2,1,2024-09-07 09:34:00:859,868548,868548,0,0,407702528084,4237661833,864019,3727,802,380,391745,0 2,2,2024-09-07 09:34:01:266,627381,627381,0,0,23797294,0,3594 2,3,2024-09-07 09:34:00:701,1,494,1,0,357,4615,494,0 3,0,2024-09-07 09:34:01:743,122391,0.4,122322,0.6,244181,0.4,325835,2.00 3,1,2024-09-07 09:34:01:621,866790,866790,0,0,407251492514,4242871599,859365,6724,701,379,391716,0 3,2,2024-09-07 09:34:01:141,627022,626999,23,0,25202829,0,5851 3,3,2024-09-07 09:34:01:752,1,494,1,0,207,3128,494,0 4,0,2024-09-07 09:34:01:809,118596,0.4,121872,0.5,248577,0.3,324879,1.75 4,1,2024-09-07 09:34:00:598,863194,863194,0,0,404930252341,4295216893,846975,12537,3682,370,391992,0 4,2,2024-09-07 09:34:01:021,622051,622051,0,0,31086726,0,4534 4,3,2024-09-07 09:34:01:029,1,494,1,0,448,6513,494,0 5,0,2024-09-07 09:34:01:448,122147,0.4,122744,0.6,244927,0.4,325942,1.75 5,1,2024-09-07 09:34:00:762,864774,864774,0,0,406466192549,4283032403,852106,10255,2413,367,392005,0 5,2,2024-09-07 09:34:01:839,617688,617688,0,0,29853607,0,3582 5,3,2024-09-07 09:34:01:734,1,494,6,0,457,6940,494,0 6,0,2024-09-07 09:34:00:916,126292,0.5,125817,0.7,251946,0.5,336473,2.00 6,1,2024-09-07 09:34:00:751,866888,866888,0,0,405949603982,4244914516,857373,8059,1456,379,391702,0 6,2,2024-09-07 09:34:01:116,626131,626113,18,0,29207878,0,5535 6,3,2024-09-07 09:34:01:274,1,494,1,0,710,6168,494,0 7,0,2024-09-07 09:34:01:532,121332,0.6,122292,0.7,243371,0.5,323873,2.00 7,1,2024-09-07 09:34:00:851,864971,864971,0,0,406450939434,4274126259,852052,10688,2231,382,391747,0 7,2,2024-09-07 09:34:00:771,626682,626682,0,0,28353853,0,4791 7,3,2024-09-07 09:34:00:851,1,494,1,0,552,5717,494,0 8,0,2024-09-07 09:34:01:393,123422,0.3,123124,0.5,246897,0.3,328421,1.75 8,1,2024-09-07 09:34:01:022,863964,863964,0,0,405377158612,4266390170,849823,11015,3126,366,392853,0 8,2,2024-09-07 09:34:00:801,620173,620171,2,0,33757256,0,5112 8,3,2024-09-07 09:34:00:590,1,494,7,0,538,7639,494,0 9,0,2024-09-07 09:34:01:108,123434,0.4,120127,0.5,251439,0.3,329007,1.75 9,1,2024-09-07 09:34:00:552,863727,863727,0,0,406095106790,4280156652,850603,10524,2600,369,392001,0 9,2,2024-09-07 09:34:01:086,620814,620813,1,0,30483466,0,5281 9,3,2024-09-07 09:34:01:752,1,494,8,0,1273,8524,494,0 10,0,2024-09-07 09:34:01:603,125103,0.4,124717,0.5,250330,0.3,333419,1.75 10,1,2024-09-07 09:34:00:589,866586,866586,0,0,405745031360,4255424220,854284,10212,2090,381,391741,0 10,2,2024-09-07 09:34:00:771,625085,625085,0,0,33002059,0,4264 10,3,2024-09-07 09:34:00:872,1,494,3,0,649,5246,494,0 11,0,2024-09-07 09:34:01:007,124053,0.5,120363,0.7,251472,0.5,331254,1.75 11,1,2024-09-07 09:34:00:575,866502,866502,0,0,407370521917,4276714118,853027,10013,3462,383,391756,0 11,2,2024-09-07 09:34:01:123,625317,625317,0,0,29096251,0,4130 11,3,2024-09-07 09:34:01:326,1,494,5,0,843,6858,494,0 12,0,2024-09-07 09:34:00:973,125135,0.4,125220,0.5,250371,0.3,332648,1.75 12,1,2024-09-07 09:34:00:933,866449,866449,0,0,406850485702,4245483950,859352,6430,667,370,391960,0 12,2,2024-09-07 09:34:01:555,624143,624143,0,0,28374937,0,4390 12,3,2024-09-07 09:34:01:064,1,494,1,0,386,6829,494,0 13,0,2024-09-07 09:34:01:351,124002,0.4,123635,0.6,246633,0.4,328967,1.75 13,1,2024-09-07 09:34:01:526,864985,864985,0,0,406381367828,4275291233,855381,7311,2293,382,391740,0 13,2,2024-09-07 09:34:00:595,625813,625813,0,0,25866731,0,3287 13,3,2024-09-07 09:34:01:766,1,494,13,0,522,7093,494,0 14,0,2024-09-07 09:34:00:559,124656,0.4,125721,0.6,249239,0.4,332286,1.75 14,1,2024-09-07 09:34:01:561,870508,870508,0,0,409236017887,4237232810,863665,6116,727,364,391673,0 14,2,2024-09-07 09:34:00:767,623107,623077,30,0,26922667,0,6104 14,3,2024-09-07 09:34:01:116,1,494,9,0,1168,5788,494,0 15,0,2024-09-07 09:34:01:560,125077,0.4,124699,0.6,250251,0.4,333167,2.00 15,1,2024-09-07 09:34:01:613,867490,867490,0,0,407642008505,4247583385,860014,5991,1485,381,391619,0 15,2,2024-09-07 09:34:00:997,627634,627634,0,0,22812190,0,3622 15,3,2024-09-07 09:34:01:411,1,494,1,0,1126,7266,494,0 16,0,2024-09-07 09:34:01:016,123284,0.5,123977,0.7,246811,0.5,328760,2.00 16,1,2024-09-07 09:34:00:590,867644,867644,0,0,406868730209,4257389885,859347,6999,1298,370,391917,0 16,2,2024-09-07 09:34:01:465,625388,625388,0,0,27131544,0,4719 16,3,2024-09-07 09:34:01:142,1,494,17,0,358,6361,494,0 17,0,2024-09-07 09:34:01:767,126164,0.6,123125,0.7,241052,0.6,326948,2.00 17,1,2024-09-07 09:34:00:572,865656,865656,0,0,406542246195,4267941713,856631,7279,1746,368,392075,0 17,2,2024-09-07 09:34:01:675,627551,627550,1,0,27689539,0,5050 17,3,2024-09-07 09:34:00:599,1,494,20,0,518,7616,494,0 18,0,2024-09-07 09:34:00:941,121591,0.6,122280,0.8,243607,0.7,325516,2.25 18,1,2024-09-07 09:34:01:638,869679,869679,0,0,408525213477,4238704909,864085,4737,857,367,391725,0 18,2,2024-09-07 09:34:01:755,623060,623060,0,0,24531795,0,3541 18,3,2024-09-07 09:34:00:895,1,494,2,0,1059,4841,494,0 19,0,2024-09-07 09:34:01:539,125554,0.6,126002,0.8,250354,0.6,333982,2.25 19,1,2024-09-07 09:34:00:574,869229,869229,0,0,407896347584,4232824849,862290,5855,1084,367,391777,0 19,2,2024-09-07 09:34:01:752,629077,629077,0,0,22921897,0,3988 19,3,2024-09-07 09:34:01:129,1,494,6,0,524,4092,494,0 20,0,2024-09-07 09:34:01:385,121820,0.5,121947,0.7,243545,0.5,324793,2.00 20,1,2024-09-07 09:34:00:571,866267,866267,0,0,406559222719,4262634490,857144,7761,1362,369,391922,0 20,2,2024-09-07 09:34:00:928,626050,626050,0,0,28144901,0,3721 20,3,2024-09-07 09:34:00:589,1,494,165,0,468,8802,494,0 21,0,2024-09-07 09:34:01:128,122632,0.4,122745,0.6,245414,0.4,325409,1.75 21,1,2024-09-07 09:34:01:542,864063,864063,0,0,405923601367,4288556526,849947,10999,3117,368,392016,0 21,2,2024-09-07 09:34:01:067,621829,621809,20,0,33059095,0,5617 21,3,2024-09-07 09:34:01:412,1,494,9,0,713,6627,494,0 22,0,2024-09-07 09:34:01:716,122650,0.5,123011,0.7,245729,0.4,325545,2.00 22,1,2024-09-07 09:34:01:025,864986,864986,0,0,406020766462,4279850042,849856,11906,3224,382,391822,0 22,2,2024-09-07 09:34:00:761,619278,619252,26,0,27711947,0,6328 22,3,2024-09-07 09:34:01:066,1,494,1,0,228,4193,494,0 23,0,2024-09-07 09:34:01:381,125076,0.5,124532,0.7,249420,0.5,333092,2.25 23,1,2024-09-07 09:34:01:003,866103,866103,0,0,406899356526,4276565098,850449,11246,4408,365,391690,0 23,2,2024-09-07 09:34:01:093,627441,627441,0,0,26377803,0,3773 23,3,2024-09-07 09:34:01:755,1,494,0,0,720,6689,494,0 24,0,2024-09-07 09:34:00:863,124304,0.4,123439,0.6,248187,0.4,329219,1.75 24,1,2024-09-07 09:34:00:594,865449,865449,0,0,406207074979,4257179568,856506,7271,1672,367,392269,0 24,2,2024-09-07 09:34:01:069,626152,626152,0,0,31317143,0,4438 24,3,2024-09-07 09:34:01:691,1,494,26,0,468,6450,494,0 25,0,2024-09-07 09:34:01:373,127459,0.4,124194,0.6,243600,0.4,331456,1.75 25,1,2024-09-07 09:34:00:572,864083,864083,0,0,406086682302,4287413062,849265,11849,2969,369,391928,0 25,2,2024-09-07 09:34:01:616,622739,622739,0,0,32413202,0,3978 25,3,2024-09-07 09:34:01:015,1,494,10,0,532,5546,494,0 26,0,2024-09-07 09:34:01:721,123073,0.4,120390,0.6,252463,0.4,328496,1.75 26,1,2024-09-07 09:34:01:542,867283,867283,0,0,406855966632,4264882269,854455,10377,2451,380,391748,0 26,2,2024-09-07 09:34:00:866,622458,622458,0,0,34240282,0,4689 26,3,2024-09-07 09:34:01:712,1,494,15,0,796,5656,494,0 27,0,2024-09-07 09:34:01:737,125092,0.5,125366,0.6,249392,0.4,333196,2.25 27,1,2024-09-07 09:34:01:676,868322,868322,0,0,408317055514,4256034527,859785,7270,1267,381,391626,0 27,2,2024-09-07 09:34:00:869,621576,621511,65,0,29722033,0,5699 27,3,2024-09-07 09:34:01:015,1,494,5,0,564,4464,494,0 28,0,2024-09-07 09:34:01:396,125692,0.4,125257,0.6,251434,0.4,334743,2.00 28,1,2024-09-07 09:34:00:801,868190,868190,0,0,408085584195,4257693422,860506,5970,1714,382,391698,0 28,2,2024-09-07 09:34:01:782,626332,626332,0,0,25911981,0,2915 28,3,2024-09-07 09:34:01:794,1,494,18,0,502,5089,494,0 29,0,2024-09-07 09:34:01:391,127559,0.4,124263,0.6,243565,0.3,332418,1.75 29,1,2024-09-07 09:34:01:570,871107,871107,0,0,408503827579,4227702880,865736,4612,759,368,391809,0 29,2,2024-09-07 09:34:00:865,624773,624773,0,0,25025564,0,4986 29,3,2024-09-07 09:34:00:965,1,494,12,0,459,5614,494,0 30,0,2024-09-07 09:34:01:457,122178,0.5,118643,0.7,248418,0.4,324919,2.00 30,1,2024-09-07 09:34:00:574,870024,870024,0,0,408575080020,4242342670,863376,5799,849,380,391672,0 30,2,2024-09-07 09:34:01:272,625684,625684,0,0,24743055,0,4192 30,3,2024-09-07 09:34:00:584,1,494,1,0,519,4929,494,0 31,0,2024-09-07 09:34:01:758,123365,0.5,123659,0.7,247051,0.4,330194,2.00 31,1,2024-09-07 09:34:00:574,872590,872590,0,0,410646299462,4217428178,867530,4112,948,356,391712,0 31,2,2024-09-07 09:34:01:274,620718,620718,0,0,26936570,0,4470 31,3,2024-09-07 09:34:01:706,1,494,1,0,220,4199,494,0 32,0,2024-09-07 09:34:01:419,126226,0.3,126946,0.5,252699,0.3,336932,1.75 32,1,2024-09-07 09:34:00:804,868764,868764,0,0,408505240841,4248690204,863223,4834,707,381,391646,0 32,2,2024-09-07 09:34:00:943,627298,627298,0,0,23449484,0,3922 32,3,2024-09-07 09:34:01:015,1,494,5,0,304,3996,494,0 33,0,2024-09-07 09:34:01:496,122965,0.3,122590,0.4,245208,0.2,326923,1.50 33,1,2024-09-07 09:34:00:575,869923,869923,0,0,408594471993,4236690896,862706,6045,1172,368,391730,0 33,2,2024-09-07 09:34:00:767,627344,627309,35,0,26150736,0,7012 33,3,2024-09-07 09:34:00:912,1,494,16,0,329,4774,494,0 34,0,2024-09-07 09:34:00:928,122567,0.3,125962,0.4,240757,0.2,324203,1.75 34,1,2024-09-07 09:34:01:044,871646,871646,0,0,409967327261,4217840141,869247,2377,22,367,391562,0 34,2,2024-09-07 09:34:00:766,626574,626574,0,0,24809885,0,4562 34,3,2024-09-07 09:34:01:691,1,494,1,0,320,3966,494,0 35,0,2024-09-07 09:34:00:860,121570,0.3,122281,0.5,245649,0.3,326771,1.75 35,1,2024-09-07 09:34:01:067,869000,869000,0,0,408621238624,4239643090,863108,4833,1059,382,391769,0 35,2,2024-09-07 09:34:01:584,620181,620181,0,0,27009521,0,4055 35,3,2024-09-07 09:34:00:908,1,494,1,0,418,4769,494,0 36,0,2024-09-07 09:34:01:522,126547,0.5,126494,0.7,252802,0.5,337108,2.25 36,1,2024-09-07 09:34:00:583,867716,867716,0,0,406397133861,4250959404,855445,10053,2218,366,391759,0 36,2,2024-09-07 09:34:01:751,626854,626854,0,0,28757472,0,3875 36,3,2024-09-07 09:34:00:871,1,494,2,0,416,7060,494,0 37,0,2024-09-07 09:34:01:388,121587,0.5,121579,0.7,243494,0.5,324293,2.25 37,1,2024-09-07 09:34:00:568,866478,866471,0,7,407190776533,4256748005,855467,8331,2673,365,391770,0 37,2,2024-09-07 09:34:01:142,624716,624701,15,0,29148663,0,5815 37,3,2024-09-07 09:34:01:766,1,494,15,0,888,7162,494,0 38,0,2024-09-07 09:34:01:450,122590,0.4,118888,0.6,248760,0.4,325644,2.00 38,1,2024-09-07 09:34:01:613,867755,867755,0,0,407802938758,4268866686,854546,10690,2519,368,391821,0 38,2,2024-09-07 09:34:00:767,623369,623322,47,0,29228165,0,6710 38,3,2024-09-07 09:34:00:997,1,494,1,0,689,6524,494,0 39,0,2024-09-07 09:34:01:763,126370,0.5,123605,0.7,240917,0.5,328261,2.00 39,1,2024-09-07 09:34:00:718,866097,866097,0,0,406007767983,4266449301,850334,12294,3469,365,391658,0 39,2,2024-09-07 09:34:01:417,622109,622109,0,0,27015572,0,3391 39,3,2024-09-07 09:34:00:717,1,494,7,0,525,5931,494,0 40,0,2024-09-07 09:34:01:490,123804,0.9,124582,1.0,248612,1.0,331546,2.75 40,1,2024-09-07 09:34:00:584,868067,868067,0,0,406493019944,4255917740,856071,9818,2178,368,391668,0 40,2,2024-09-07 09:34:01:311,623881,623880,1,0,32335706,0,5137 40,3,2024-09-07 09:34:01:142,1,494,6,0,1028,7121,494,0 41,0,2024-09-07 09:34:01:025,123337,1.4,125970,1.2,240611,2.0,327187,3.25 41,1,2024-09-07 09:34:00:772,865793,865793,0,0,406714001232,4260622090,853939,9921,1933,369,391742,0 41,2,2024-09-07 09:34:00:766,623594,623593,1,0,30969079,0,5408 41,3,2024-09-07 09:34:01:684,1,494,58,0,366,5197,494,0 42,0,2024-09-07 09:34:01:481,123739,0.8,123469,1.0,247141,0.9,327438,2.50 42,1,2024-09-07 09:34:01:444,863908,863908,0,0,406075781415,4275040039,848938,12062,2908,380,391675,0 42,2,2024-09-07 09:34:01:134,623643,623643,0,0,29797276,0,3975 42,3,2024-09-07 09:34:01:010,1,494,8,0,892,4647,494,0 43,0,2024-09-07 09:34:00:917,122008,0.7,118791,0.9,248447,0.7,326119,2.25 43,1,2024-09-07 09:34:00:578,866779,866779,0,0,407187636591,4259736958,853922,10598,2259,366,391696,0 43,2,2024-09-07 09:34:01:736,624521,624521,0,0,29834740,0,4723 43,3,2024-09-07 09:34:01:749,1,494,1,0,571,7178,494,0 44,0,2024-09-07 09:34:00:868,124831,0.4,124967,0.6,250263,0.4,332807,2.00 44,1,2024-09-07 09:34:00:571,869866,869866,0,0,408519202789,4223884079,862309,6007,1550,356,391809,0 44,2,2024-09-07 09:34:01:267,621346,621346,0,0,24265281,0,4344 44,3,2024-09-07 09:34:01:095,1,494,1,0,817,5479,494,0 45,0,2024-09-07 09:34:01:757,123790,0.5,121071,0.7,253674,0.4,333245,2.00 45,1,2024-09-07 09:34:01:026,868581,868581,0,0,408669334070,4250876522,861076,6629,876,382,391917,0 45,2,2024-09-07 09:34:01:274,627235,627235,0,0,24525275,0,3596 45,3,2024-09-07 09:34:00:944,1,494,11,0,271,4430,494,0 46,0,2024-09-07 09:34:00:951,122809,0.5,122227,0.7,245379,0.4,325992,2.00 46,1,2024-09-07 09:34:00:582,870456,870456,0,0,408707108777,4223462352,864846,4880,730,366,391709,0 46,2,2024-09-07 09:34:00:598,626214,626214,0,0,25000669,0,4443 46,3,2024-09-07 09:34:01:131,1,494,155,0,908,5909,494,0 47,0,2024-09-07 09:34:01:103,122549,0.4,122877,0.6,246142,0.4,325863,1.75 47,1,2024-09-07 09:34:00:570,870563,870563,0,0,408005273576,4216766696,865383,4341,839,366,391641,0 47,2,2024-09-07 09:34:00:909,628226,628226,0,0,24760643,0,4477 47,3,2024-09-07 09:34:01:115,1,494,53,0,600,5736,494,0 48,0,2024-09-07 09:34:01:491,123328,0.3,123317,0.4,245527,0.2,327572,1.50 48,1,2024-09-07 09:34:01:024,868925,868925,0,0,407908768972,4240775025,863179,5174,572,384,391710,0 48,2,2024-09-07 09:34:00:698,621379,621379,0,0,22907733,0,3411 48,3,2024-09-07 09:34:00:754,1,494,1,0,339,3888,494,0 49,0,2024-09-07 09:34:01:710,129328,0.4,127074,0.5,246806,0.3,337815,1.75 49,1,2024-09-07 09:34:01:023,868401,868401,0,0,407708685263,4241593096,862842,4349,1210,382,391809,0 49,2,2024-09-07 09:34:01:796,627614,627614,0,0,25386670,0,4426 49,3,2024-09-07 09:34:01:417,1,494,12,0,992,5737,494,0 50,0,2024-09-07 09:34:01:535,122600,0.3,120890,0.5,243609,0.2,324702,1.75 50,1,2024-09-07 09:34:01:011,871125,871125,0,0,409939054186,4240085729,865421,5041,663,368,391565,0 50,2,2024-09-07 09:34:01:066,626897,626897,0,0,23412904,0,4490 50,3,2024-09-07 09:34:01:292,1,494,2,0,617,5398,494,0 51,0,2024-09-07 09:34:01:694,125780,0.3,123208,0.4,239923,0.2,326917,1.75 51,1,2024-09-07 09:34:01:685,872079,872079,0,0,409819730126,4227283212,867546,3479,1054,365,391706,0 51,2,2024-09-07 09:34:01:325,625702,625702,0,0,22563377,0,3337 51,3,2024-09-07 09:34:01:027,1,494,7,0,678,3739,494,0 52,0,2024-09-07 09:34:01:418,123171,0.5,122868,0.7,245930,0.5,326455,2.00 52,1,2024-09-07 09:34:00:579,867201,867201,0,0,407135028178,4267808900,853979,11117,2105,368,391722,0 52,2,2024-09-07 09:34:01:758,617411,617373,38,0,30113459,0,6742 52,3,2024-09-07 09:34:00:674,1,494,1,0,1782,6569,494,0 53,0,2024-09-07 09:34:01:756,124638,0.7,120988,0.8,253171,0.8,332760,2.50 53,1,2024-09-07 09:34:00:774,865203,865203,0,0,407076779370,4278617832,849856,11503,3844,367,391968,0 53,2,2024-09-07 09:34:01:301,626587,626586,1,0,27088118,0,5455 53,3,2024-09-07 09:34:00:697,1,494,13,0,308,5073,494,0 54,0,2024-09-07 09:34:01:617,121330,0.6,121629,0.8,242257,0.5,323071,2.25 54,1,2024-09-07 09:34:00:583,867555,867555,0,0,408322021999,4252666387,857492,8429,1634,366,391810,0 54,2,2024-09-07 09:34:00:870,626828,626796,32,0,30926716,0,6397 54,3,2024-09-07 09:34:00:763,1,494,1,0,676,6883,494,0 55,0,2024-09-07 09:34:01:764,119778,0.6,123536,0.7,250252,0.5,325819,2.50 55,1,2024-09-07 09:34:00:767,868958,868958,0,0,407898804451,4245790419,859320,8320,1318,365,391731,0 55,2,2024-09-07 09:34:00:731,623973,623917,56,0,29342144,0,7239 55,3,2024-09-07 09:34:00:674,1,494,1,0,304,4738,494,0 56,0,2024-09-07 09:34:01:567,126053,1.2,118801,1.1,244923,1.5,327833,2.75 56,1,2024-09-07 09:34:00:577,863859,863859,0,0,405845808752,4290687773,849763,11198,2898,381,391867,0 56,2,2024-09-07 09:34:01:312,622625,622503,122,0,30449401,0,7432 56,3,2024-09-07 09:34:01:064,1,494,1,0,705,6150,494,0 57,0,2024-09-07 09:34:00:938,123066,1.7,122948,1.3,246304,2.3,329211,3.50 57,1,2024-09-07 09:34:00:986,865460,865460,0,0,406007915233,4264386105,853463,9769,2228,366,392032,0 57,2,2024-09-07 09:34:01:320,623517,623517,0,0,31150996,0,4317 57,3,2024-09-07 09:34:01:740,1,494,1,0,455,5676,494,0 58,0,2024-09-07 09:34:00:565,123427,1.0,119818,1.0,250778,1.3,328154,2.75 58,1,2024-09-07 09:34:00:580,866618,866615,0,3,407724046255,4277499613,852864,10432,3319,367,391603,3 58,2,2024-09-07 09:34:01:077,625431,625431,0,0,29471508,0,3483 58,3,2024-09-07 09:34:01:068,1,494,107,0,1043,5563,494,0 59,0,2024-09-07 09:34:01:772,123574,0.8,123249,1.0,246127,0.9,326693,2.75 59,1,2024-09-07 09:34:00:804,865465,865465,0,0,406527962625,4274431504,850770,11557,3138,369,391653,0 59,2,2024-09-07 09:34:00:585,624347,624347,0,0,28361867,0,3727 59,3,2024-09-07 09:34:01:737,1,494,1,0,1015,6341,494,0 60,0,2024-09-07 09:34:01:711,122300,0.5,122514,0.7,245201,0.5,325815,1.75 60,1,2024-09-07 09:34:00:773,869763,869763,0,0,408586338917,4242100608,863744,5090,929,370,392031,0 60,2,2024-09-07 09:34:01:141,625173,625173,0,0,26871605,0,3811 60,3,2024-09-07 09:34:01:262,1,494,1,0,409,6031,494,0 61,0,2024-09-07 09:34:01:490,123423,0.7,124009,0.8,247034,0.7,329961,2.00 61,1,2024-09-07 09:34:00:778,866913,866913,0,0,406994677464,4265182174,857151,8182,1580,382,392127,0 61,2,2024-09-07 09:34:01:116,621495,621428,67,0,27372198,0,6411 61,3,2024-09-07 09:34:01:696,1,494,14,0,479,6664,494,0 62,0,2024-09-07 09:34:01:712,126560,0.5,129758,0.7,247697,0.5,336513,2.00 62,1,2024-09-07 09:34:01:111,871992,871986,0,6,409683033394,4224038956,867878,3828,280,365,391975,6 62,2,2024-09-07 09:34:01:657,624575,624574,1,0,26724965,0,5555 62,3,2024-09-07 09:34:01:143,1,494,1,0,482,4070,494,0 63,0,2024-09-07 09:34:01:453,122903,0.4,122613,0.6,246149,0.4,327243,1.75 63,1,2024-09-07 09:34:00:804,869480,869474,0,6,409088234591,4247293384,864054,4686,734,381,391800,6 63,2,2024-09-07 09:34:00:762,626377,626377,0,0,25855002,0,4369 63,3,2024-09-07 09:34:01:734,1,494,0,0,667,5209,494,0 64,0,2024-09-07 09:34:01:513,121871,0.5,121936,0.6,243379,0.4,323458,2.00 64,1,2024-09-07 09:34:00:757,868846,868846,0,0,408064604088,4252384674,861119,5959,1768,370,391783,0 64,2,2024-09-07 09:34:01:142,629058,629039,19,0,24985625,0,6121 64,3,2024-09-07 09:34:01:142,1,494,2,0,651,5355,494,0 65,0,2024-09-07 09:34:01:682,121424,0.6,121662,0.8,242898,0.7,324082,2.00 65,1,2024-09-07 09:34:00:862,866733,866733,0,0,407299888092,4260297782,860024,5916,793,381,391901,0 65,2,2024-09-07 09:34:01:696,620590,620590,0,0,29073712,0,3367 65,3,2024-09-07 09:34:01:691,1,494,8,0,782,6035,494,0 66,0,2024-09-07 09:34:01:768,125750,0.6,125407,0.8,250860,0.5,334402,2.25 66,1,2024-09-07 09:34:01:302,868770,868770,0,0,408592826370,4255654377,862639,5409,722,380,391743,0 66,2,2024-09-07 09:34:01:131,628730,628727,3,0,26626545,0,5455 66,3,2024-09-07 09:34:01:079,1,494,87,0,291,4466,494,0 67,0,2024-09-07 09:34:01:417,121977,0.6,121633,0.7,244232,0.5,324482,2.25 67,1,2024-09-07 09:34:00:774,868721,868720,0,1,408047514673,4250560847,862497,5410,813,380,391787,1 67,2,2024-09-07 09:34:00:583,628853,628838,15,0,25928721,0,6205 67,3,2024-09-07 09:34:01:750,1,494,3,0,392,4843,494,0 68,0,2024-09-07 09:34:00:604,123401,0.5,123361,0.7,245657,0.5,328048,2.00 68,1,2024-09-07 09:34:00:613,865129,865129,0,0,406364355551,4278067220,852928,8802,3399,381,391953,0 68,2,2024-09-07 09:34:01:044,620837,620737,100,0,33653731,0,8578 68,3,2024-09-07 09:34:00:740,1,494,4,0,417,6475,494,0 69,0,2024-09-07 09:34:01:735,122782,0.7,123598,0.8,246223,0.7,326546,2.25 69,1,2024-09-07 09:34:01:016,864494,864494,0,0,405624571405,4280179482,852412,9323,2759,384,391994,0 69,2,2024-09-07 09:34:01:735,620411,620382,29,0,34371071,0,6912 69,3,2024-09-07 09:34:00:761,1,494,0,0,698,7511,494,0 70,0,2024-09-07 09:34:01:534,123725,1.1,124119,1.1,249651,1.0,330524,2.50 70,1,2024-09-07 09:34:00:801,869260,869260,0,0,409389200168,4251951505,862010,6488,762,366,391725,0 70,2,2024-09-07 09:34:01:326,625357,625357,0,0,29130194,0,4323 70,3,2024-09-07 09:34:00:745,1,494,4,0,854,5654,494,0 71,0,2024-09-07 09:34:01:376,122732,1.3,122429,1.1,246150,1.8,328305,3.00 71,1,2024-09-07 09:34:01:596,867039,867039,0,0,407582972624,4260414852,855655,10129,1255,368,391738,0 71,2,2024-09-07 09:34:01:066,625155,625155,0,0,29946005,0,4352 71,3,2024-09-07 09:34:01:757,1,494,0,0,644,6052,494,0 72,0,2024-09-07 09:34:01:064,128417,0.5,125549,0.7,244941,0.5,332870,2.00 72,1,2024-09-07 09:34:01:027,865567,865567,0,0,406585920504,4271547571,852224,11064,2279,369,391819,0 72,2,2024-09-07 09:34:01:757,623080,623080,0,0,31120910,0,3983 72,3,2024-09-07 09:34:01:760,1,494,37,0,564,7255,494,0 73,0,2024-09-07 09:34:01:205,119886,0.4,123124,0.6,251311,0.4,326964,2.00 73,1,2024-09-07 09:34:00:778,868409,868409,0,0,407542344205,4235219863,862191,5794,424,367,391858,0 73,2,2024-09-07 09:34:01:749,623690,623690,0,0,31578374,0,4728 73,3,2024-09-07 09:34:00:979,1,494,33,0,486,6317,494,0 74,0,2024-09-07 09:34:01:328,125617,0.5,128587,0.7,245451,0.5,333101,2.25 74,1,2024-09-07 09:34:00:647,867135,867135,0,0,406907251125,4254240618,856696,8516,1923,381,391762,0 74,2,2024-09-07 09:34:01:010,622182,622182,0,0,27459706,0,4253 74,3,2024-09-07 09:34:01:444,1,494,24,0,522,6109,494,0 75,0,2024-09-07 09:34:01:779,125632,0.5,124845,0.7,250612,0.5,334773,2.25 75,1,2024-09-07 09:34:01:586,867948,867948,0,0,406944391203,4247182091,860070,7018,860,380,391739,0 75,2,2024-09-07 09:34:01:370,624487,624487,0,0,32624821,0,4766 75,3,2024-09-07 09:34:01:067,1,494,4,0,702,6091,494,0 76,0,2024-09-07 09:34:00:605,122631,0.5,122013,0.7,244688,0.5,327375,2.25 76,1,2024-09-07 09:34:00:806,867106,867106,0,0,407069743707,4246355158,861209,5062,835,382,391692,0 76,2,2024-09-07 09:34:01:064,627982,627979,3,0,27493138,0,5265 76,3,2024-09-07 09:34:01:142,1,494,1,0,227,4651,494,0 77,0,2024-09-07 09:34:01:700,121976,0.5,122460,0.7,245063,0.5,324904,2.00 77,1,2024-09-07 09:34:00:831,868000,868000,0,0,407467933214,4253259130,861180,6229,591,381,391869,0 77,2,2024-09-07 09:34:01:289,625349,625349,0,0,26921334,0,3890 77,3,2024-09-07 09:34:01:095,1,494,8,0,401,5374,494,0 78,0,2024-09-07 09:34:01:725,123065,0.4,122536,0.6,245911,0.4,326123,2.00 78,1,2024-09-07 09:34:00:610,867772,867772,0,0,406849862279,4244268906,857614,7860,2298,367,391670,0 78,2,2024-09-07 09:34:01:412,622102,622089,13,0,24961165,0,8313 78,3,2024-09-07 09:34:01:133,1,494,1,0,311,4396,494,0 79,0,2024-09-07 09:34:01:359,121731,0.4,124816,0.6,255323,0.4,332657,2.25 79,1,2024-09-07 09:34:00:570,870283,870283,0,0,408439437572,4234755727,862707,6220,1356,367,391682,0 79,2,2024-09-07 09:34:01:068,627200,627200,0,0,24333854,0,4195 79,3,2024-09-07 09:34:00:759,1,494,79,0,418,6185,494,0 80,0,2024-09-07 09:34:01:129,121760,0.5,125014,0.7,239466,0.5,324044,2.00 80,1,2024-09-07 09:34:01:621,867590,867590,0,0,408103192624,4250162146,861793,5374,423,368,392269,0 80,2,2024-09-07 09:34:01:106,628803,628803,0,0,24915517,0,4433 80,3,2024-09-07 09:34:00:588,1,494,69,0,296,6019,494,0 81,0,2024-09-07 09:34:01:584,122713,0.5,125674,0.7,239740,0.5,325510,2.00 81,1,2024-09-07 09:34:01:655,866560,866560,0,0,407523508467,4262794891,859173,6750,637,382,391885,0 81,2,2024-09-07 09:34:01:128,624210,624147,63,0,27923538,0,5932 81,3,2024-09-07 09:34:01:117,1,494,9,0,719,5804,494,0 82,0,2024-09-07 09:34:01:533,122467,0.5,122635,0.7,245620,0.4,325694,2.00 82,1,2024-09-07 09:34:00:583,868935,868931,0,4,407993119808,4244569628,863867,4244,820,381,391768,4 82,2,2024-09-07 09:34:01:690,622494,622494,0,0,23163937,0,4484 82,3,2024-09-07 09:34:01:755,1,494,1,0,363,4856,494,0 83,0,2024-09-07 09:34:01:541,125381,0.6,125211,0.8,249918,0.6,332937,2.25 83,1,2024-09-07 09:34:00:552,867675,867675,0,0,407955791172,4254611219,861540,5684,451,382,391709,0 83,2,2024-09-07 09:34:00:772,626413,626388,25,0,25035785,0,5612 83,3,2024-09-07 09:34:00:750,1,494,3,0,1260,6262,494,0 84,0,2024-09-07 09:34:01:792,122187,0.8,122320,0.9,244299,0.8,326423,2.25 84,1,2024-09-07 09:34:01:039,866064,866064,0,0,407182305541,4261396735,855900,8644,1520,367,391967,0 84,2,2024-09-07 09:34:00:571,625255,625225,30,0,33278725,0,5971 84,3,2024-09-07 09:34:01:151,1,494,2,0,908,7244,494,0 85,0,2024-09-07 09:34:01:033,119341,0.6,119283,0.8,253206,0.5,327393,2.25 85,1,2024-09-07 09:34:00:570,863591,863591,0,0,406082082298,4294430077,849347,11592,2652,381,392092,0 85,2,2024-09-07 09:34:00:872,622892,622892,0,0,31122166,0,4255 85,3,2024-09-07 09:34:00:695,1,494,5,0,789,5790,494,0 86,0,2024-09-07 09:34:00:904,123240,0.6,126814,0.8,242536,0.7,328205,2.25 86,1,2024-09-07 09:34:00:830,866315,866315,0,0,406465577328,4264459997,855048,9300,1967,366,391961,0 86,2,2024-09-07 09:34:00:869,620859,620858,1,0,33542963,0,5004 86,3,2024-09-07 09:34:00:592,1,494,122,0,308,7390,494,0 87,0,2024-09-07 09:34:01:294,124854,1.0,124551,0.9,249247,1.3,333505,2.50 87,1,2024-09-07 09:34:00:552,864973,864973,0,0,406548493309,4265924492,852796,10466,1711,366,392076,0 87,2,2024-09-07 09:34:01:067,623333,623327,6,0,29170151,0,6323 87,3,2024-09-07 09:34:01:797,1,494,5,0,473,7509,494,0 88,0,2024-09-07 09:34:01:474,125202,0.5,125782,0.6,251411,0.5,334352,2.00 88,1,2024-09-07 09:34:00:575,864352,864352,0,0,406177500202,4259832682,851754,9987,2611,365,392084,0 88,2,2024-09-07 09:34:00:692,625831,625831,0,0,31759530,0,4465 88,3,2024-09-07 09:34:01:267,1,494,10,0,435,6376,494,0 89,0,2024-09-07 09:34:01:826,127660,0.4,123690,0.6,244600,0.4,332521,1.75 89,1,2024-09-07 09:34:00:564,864519,864519,0,0,407354532058,4288724022,853141,9563,1815,382,391866,0 89,2,2024-09-07 09:34:01:138,624180,624180,0,0,30123367,0,3173 89,3,2024-09-07 09:34:01:795,1,494,9,0,468,8245,494,0 90,0,2024-09-07 09:34:01:631,118822,0.4,122125,0.6,248838,0.4,324752,1.75 90,1,2024-09-07 09:34:00:590,866566,866566,0,0,407009548796,4263690436,858111,7723,732,380,391825,0 90,2,2024-09-07 09:34:01:412,622946,622946,0,0,32425403,0,3608 90,3,2024-09-07 09:34:00:930,1,494,1,0,322,6144,494,0 91,0,2024-09-07 09:34:00:953,124048,0.5,120193,0.6,251334,0.5,330446,1.75 91,1,2024-09-07 09:34:00:571,865149,865149,0,0,406753137031,4281383003,853608,9535,2006,381,392047,0 91,2,2024-09-07 09:34:01:343,622011,622011,0,0,28383427,0,4713 91,3,2024-09-07 09:34:00:598,1,494,3,0,216,4814,494,0 92,0,2024-09-07 09:34:01:490,127540,0.5,130389,0.6,248453,0.5,336846,1.75 92,1,2024-09-07 09:34:00:595,866966,866966,0,0,406676977429,4251226924,859647,6119,1200,381,392136,0 92,2,2024-09-07 09:34:01:460,627044,627044,0,0,25091653,0,3259 92,3,2024-09-07 09:34:01:014,1,494,45,0,167,4299,494,0 93,0,2024-09-07 09:34:01:017,123305,0.4,126396,0.6,241240,0.4,327309,1.75 93,1,2024-09-07 09:34:00:817,867172,867172,0,0,407180852745,4253607588,857344,8111,1717,366,391776,0 93,2,2024-09-07 09:34:00:929,626252,626252,0,0,31108972,0,4913 93,3,2024-09-07 09:34:01:419,1,494,23,0,190,4460,494,0 94,0,2024-09-07 09:34:01:665,122023,0.3,122638,0.5,245171,0.3,325203,1.75 94,1,2024-09-07 09:34:00:574,867390,867390,0,0,407888142075,4257334723,861302,5715,373,381,391850,0 94,2,2024-09-07 09:34:00:761,625232,625204,28,0,26612761,0,6179 94,3,2024-09-07 09:34:01:697,1,494,103,0,576,6439,494,0 95,0,2024-09-07 09:34:01:355,122558,0.3,122248,0.5,244888,0.3,326733,1.75 95,1,2024-09-07 09:34:00:855,869153,869153,0,0,408096479122,4241891111,862280,6392,481,365,391786,0 95,2,2024-09-07 09:34:01:018,620595,620595,0,0,24935089,0,3308 95,3,2024-09-07 09:34:01:710,1,494,7,0,718,7439,494,0 96,0,2024-09-07 09:34:01:112,126400,0.4,126632,0.5,253105,0.4,336361,1.75 96,1,2024-09-07 09:34:01:596,867661,867661,0,0,407818535280,4255977500,861290,5460,911,384,391955,0 96,2,2024-09-07 09:34:01:270,627165,627165,0,0,26133383,0,4180 96,3,2024-09-07 09:34:01:142,1,494,1,0,411,5356,494,0 97,0,2024-09-07 09:34:01:392,122204,0.3,121879,0.5,244844,0.3,324916,1.75 97,1,2024-09-07 09:34:00:790,868992,868992,0,0,408853229554,4242778890,863319,4731,942,367,392140,0 97,2,2024-09-07 09:34:00:637,627755,627755,0,0,25581498,0,3679 97,3,2024-09-07 09:34:00:577,1,494,14,0,242,5907,494,0 98,0,2024-09-07 09:34:01:705,122885,0.3,123091,0.4,246871,0.2,327635,1.50 98,1,2024-09-07 09:34:00:589,868448,868448,0,0,407581709286,4247088351,863059,4591,798,381,391997,0 98,2,2024-09-07 09:34:00:772,625016,625016,0,0,25521263,0,4336 98,3,2024-09-07 09:34:00:704,1,494,9,0,840,7859,494,0 99,0,2024-09-07 09:34:01:464,123382,0.3,124018,0.5,246793,0.3,329030,1.75 99,1,2024-09-07 09:34:01:732,868537,868537,0,0,406922113205,4238090515,862627,4989,921,380,392069,0 99,2,2024-09-07 09:34:01:420,622745,622745,0,0,30534027,0,4276 99,3,2024-09-07 09:34:00:593,1,494,1,0,606,5093,494,0 100,0,2024-09-07 09:34:01:652,124767,1.0,125251,1.0,249534,1.3,333853,2.50 100,1,2024-09-07 09:34:00:553,863315,863315,0,0,405798116915,4296013848,849069,11148,3098,378,391989,0 100,2,2024-09-07 09:34:01:818,622593,622582,11,0,30144077,0,5417 100,3,2024-09-07 09:34:01:738,1,494,8,0,627,8851,494,0 101,0,2024-09-07 09:34:01:969,126577,1.4,123395,1.2,241680,1.5,330461,2.50 101,1,2024-09-07 09:34:00:552,864528,864528,0,0,406102756397,4271689654,852306,9826,2396,368,391847,0 101,2,2024-09-07 09:34:01:769,622126,622126,0,0,35105341,0,4871 101,3,2024-09-07 09:34:00:945,1,494,10,0,1250,7745,494,0 102,0,2024-09-07 09:34:00:999,121066,0.6,124712,0.8,253227,0.6,331204,2.00 102,1,2024-09-07 09:34:01:143,864487,864487,0,0,406895100261,4278972634,852307,10161,2019,369,391891,0 102,2,2024-09-07 09:34:01:737,623913,623859,54,0,29726565,0,6768 102,3,2024-09-07 09:34:01:620,1,494,6,0,466,6001,494,0 103,0,2024-09-07 09:34:01:603,127076,0.5,127074,0.7,239402,0.5,329563,2.00 103,1,2024-09-07 09:34:01:625,863708,863708,0,0,405996782600,4291182985,849688,10823,3197,381,391862,0 103,2,2024-09-07 09:34:00:596,622919,622919,0,0,31335850,0,3766 103,3,2024-09-07 09:34:00:756,1,494,1,0,916,6073,494,0 104,0,2024-09-07 09:34:01:052,123834,0.8,124014,1.0,247010,0.8,331028,2.50 104,1,2024-09-07 09:34:01:718,866211,866211,0,0,406410219728,4273771056,853231,10433,2547,365,392168,0 104,2,2024-09-07 09:34:01:684,620608,620608,0,0,29993028,0,3941 104,3,2024-09-07 09:34:01:421,1,494,70,0,1245,9021,494,0 105,0,2024-09-07 09:34:01:033,123989,0.9,120849,1.0,253399,1.0,333419,2.75 105,1,2024-09-07 09:34:00:563,867234,867234,0,0,407030674356,4269014965,855674,9795,1765,366,392009,0 105,2,2024-09-07 09:34:01:326,624565,624565,0,0,30378027,0,4360 105,3,2024-09-07 09:34:01:329,1,494,13,0,399,7224,494,0 106,0,2024-09-07 09:34:01:042,119025,0.8,121868,0.9,249794,0.8,326361,2.50 106,1,2024-09-07 09:34:01:752,866322,866322,0,0,406612199989,4270432659,853815,10863,1644,368,391914,0 106,2,2024-09-07 09:34:00:757,624480,624480,0,0,28802298,0,2920 106,3,2024-09-07 09:34:00:680,1,494,1,0,1224,7653,494,0 107,0,2024-09-07 09:34:01:154,122183,0.8,122426,0.9,244718,0.8,325991,2.00 107,1,2024-09-07 09:34:00:586,864415,864415,0,0,406437018343,4289241121,851526,11496,1393,381,392234,0 107,2,2024-09-07 09:34:01:302,623205,623204,1,0,31482475,0,5024 107,3,2024-09-07 09:34:01:763,1,494,2,0,370,6999,494,0 108,0,2024-09-07 09:34:01:800,122576,0.4,123342,0.6,245346,0.4,327597,1.75 108,1,2024-09-07 09:34:01:294,866824,866824,0,0,407378685693,4250704090,859332,6650,842,367,391857,0 108,2,2024-09-07 09:34:01:784,619975,619975,0,0,27919777,0,4246 108,3,2024-09-07 09:34:01:329,1,494,6,0,749,10123,494,0 109,0,2024-09-07 09:34:01:752,126735,0.4,125690,0.6,251771,0.4,336753,1.75 109,1,2024-09-07 09:34:00:602,864753,864753,0,0,407082967975,4272919806,856611,6930,1212,382,392132,0 109,2,2024-09-07 09:34:00:922,624249,624249,0,0,27364547,0,3617 109,3,2024-09-07 09:34:01:140,1,494,21,0,630,6276,494,0 110,0,2024-09-07 09:34:01:767,121813,0.4,118564,0.6,248406,0.4,325321,1.75 110,1,2024-09-07 09:34:01:663,868612,868612,0,0,408075961088,4238530139,861904,5015,1693,368,392045,0 110,2,2024-09-07 09:34:01:304,627274,627274,0,0,26519822,0,4067 110,3,2024-09-07 09:34:00:690,1,494,1,0,722,7089,494,0 111,0,2024-09-07 09:34:01:418,123063,0.3,122395,0.5,245250,0.3,326831,1.75 111,1,2024-09-07 09:34:01:002,869897,869897,0,0,408667411829,4237961175,865541,4000,356,380,391690,0 111,2,2024-09-07 09:34:01:116,624236,624236,0,0,26881685,0,4823 111,3,2024-09-07 09:34:00:913,1,494,6,0,379,5777,494,0 112,0,2024-09-07 09:34:00:911,123473,0.3,122788,0.4,246330,0.2,326679,1.50 112,1,2024-09-07 09:34:00:826,868631,868631,0,0,408872124829,4246819239,862749,5193,689,380,391624,0 112,2,2024-09-07 09:34:01:133,620667,620666,1,0,25535642,0,5036 112,3,2024-09-07 09:34:00:592,1,494,2,0,282,4893,494,0 113,0,2024-09-07 09:34:00:877,125578,0.3,125526,0.5,251317,0.3,334866,1.75 113,1,2024-09-07 09:34:01:691,871394,871394,0,0,409639798006,4228813849,866373,4211,810,365,391661,0 113,2,2024-09-07 09:34:01:310,628673,628673,0,0,23138587,0,3813 113,3,2024-09-07 09:34:00:685,1,494,7,0,340,5346,494,0 114,0,2024-09-07 09:34:00:875,123619,0.3,124486,0.5,247344,0.3,330354,1.75 114,1,2024-09-07 09:34:00:718,869167,869167,0,0,407646398187,4235621249,862405,5146,1616,381,391565,0 114,2,2024-09-07 09:34:00:873,628326,628325,1,0,24797836,0,5069 114,3,2024-09-07 09:34:01:278,1,494,1,0,395,3967,494,0 115,0,2024-09-07 09:34:00:554,124463,0.3,124932,0.4,249148,0.2,330851,1.50 115,1,2024-09-07 09:34:00:575,869384,869384,0,0,408413374654,4247275702,861986,6041,1357,382,391757,0 115,2,2024-09-07 09:34:01:125,627174,627174,0,0,24487299,0,4382 115,3,2024-09-07 09:34:01:002,1,494,2,0,167,2935,494,0 116,0,2024-09-07 09:34:01:750,123026,0.6,122979,0.8,246421,0.6,329330,2.00 116,1,2024-09-07 09:34:00:804,864850,864850,0,0,406393335336,4287085557,854220,8187,2443,380,392089,0 116,2,2024-09-07 09:34:01:753,621622,621622,0,0,31776346,0,4475 116,3,2024-09-07 09:34:00:915,1,494,1,0,415,6250,494,0 117,0,2024-09-07 09:34:00:987,125090,0.8,124545,0.9,249649,1.0,333858,2.00 117,1,2024-09-07 09:34:01:589,865512,865512,0,0,406340603765,4258297541,855546,8741,1225,369,392033,0 117,2,2024-09-07 09:34:01:129,625932,625932,0,0,27494866,0,4303 117,3,2024-09-07 09:34:01:067,1,494,17,0,490,7008,494,0 118,0,2024-09-07 09:34:01:790,121608,0.6,124922,0.7,254671,0.6,332851,2.00 118,1,2024-09-07 09:34:00:609,865055,865055,0,0,406796088204,4281165991,851609,10327,3119,366,392054,0 118,2,2024-09-07 09:34:01:596,624713,624713,0,0,30197488,0,2842 118,3,2024-09-07 09:34:01:772,1,494,1,0,248,5835,494,0 119,0,2024-09-07 09:34:01:355,123849,0.6,124093,0.8,248129,0.6,330688,2.00 119,1,2024-09-07 09:34:00:561,865655,865655,0,0,406947385518,4268457213,854008,9882,1765,367,391857,0 119,2,2024-09-07 09:34:01:261,624911,624911,0,0,28352431,0,4174 119,3,2024-09-07 09:34:01:325,1,494,0,0,1358,9665,494,0 120,0,2024-09-07 09:34:01:572,121764,0.6,121553,0.8,244039,0.6,325352,2.25 120,1,2024-09-07 09:34:00:880,866387,866387,0,0,406670497936,4266188032,857122,8487,778,368,392144,0 120,2,2024-09-07 09:34:00:770,623548,623547,1,0,32731523,0,5281 120,3,2024-09-07 09:34:01:292,1,494,1,0,279,6278,494,0 121,0,2024-09-07 09:34:01:716,123724,1.3,123128,1.1,246905,1.7,329527,2.50 121,1,2024-09-07 09:34:01:662,866848,866848,0,0,407763810465,4265753599,858138,8009,701,367,391840,0 121,2,2024-09-07 09:34:01:126,620143,620143,0,0,29751538,0,4157 121,3,2024-09-07 09:34:00:731,1,494,14,0,330,6077,494,0 122,0,2024-09-07 09:34:01:761,125518,0.9,122183,0.9,256098,1.0,336682,2.25 122,1,2024-09-07 09:34:00:876,865091,865091,0,0,406678784221,4269455010,852782,10427,1882,366,392130,0 122,2,2024-09-07 09:34:01:319,626326,626251,75,0,34305847,0,5989 122,3,2024-09-07 09:34:00:594,1,494,1,0,512,8638,494,0 123,0,2024-09-07 09:34:01:020,121904,0.8,118738,0.9,248763,1.0,325817,2.25 123,1,2024-09-07 09:34:00:583,865428,865428,0,0,407184185350,4288729480,850296,12632,2500,369,392039,0 123,2,2024-09-07 09:34:01:024,624183,624182,1,0,29067252,0,5215 123,3,2024-09-07 09:34:01:132,1,494,28,0,478,5968,494,0 124,0,2024-09-07 09:34:00:938,125851,0.3,125851,0.5,237204,0.3,326199,1.75 124,1,2024-09-07 09:34:01:076,868762,868762,0,0,407218292790,4234920074,862233,5413,1116,365,392178,0 124,2,2024-09-07 09:34:01:017,626124,626071,53,0,26223812,0,6487 124,3,2024-09-07 09:34:00:769,1,494,2,0,490,5139,494,0 125,0,2024-09-07 09:34:01:452,122383,0.4,122085,0.6,245205,0.4,326617,1.75 125,1,2024-09-07 09:34:00:859,866850,866850,0,0,407173182486,4253241846,859891,5978,981,382,391813,0 125,2,2024-09-07 09:34:01:117,622050,622050,0,0,26310313,0,4534 125,3,2024-09-07 09:34:01:127,1,494,8,0,709,6080,494,0 126,0,2024-09-07 09:34:01:427,126403,0.4,129988,0.6,248622,0.4,337055,1.75 126,1,2024-09-07 09:34:00:553,869944,869944,0,0,408640876272,4231018084,865490,4156,298,365,391987,0 126,2,2024-09-07 09:34:00:618,627638,627638,0,0,26906446,0,4539 126,3,2024-09-07 09:34:00:911,1,494,0,0,268,5700,494,0 127,0,2024-09-07 09:34:01:609,122462,0.3,122749,0.5,244915,0.3,325220,1.75 127,1,2024-09-07 09:34:00:592,868468,868468,0,0,407865319034,4245503715,859635,7369,1464,364,392187,0 127,2,2024-09-07 09:34:00:647,625958,625954,4,0,25829648,0,5305 127,3,2024-09-07 09:34:01:292,1,494,1,0,968,5055,494,0 128,0,2024-09-07 09:34:01:571,123696,0.3,123853,0.4,247306,0.2,328527,1.50 128,1,2024-09-07 09:34:01:611,867742,867742,0,0,408691657369,4246241925,861626,5386,730,367,392031,0 128,2,2024-09-07 09:34:01:400,626543,626543,0,0,24216887,0,3171 128,3,2024-09-07 09:34:00:771,1,494,11,0,1082,7939,494,0 129,0,2024-09-07 09:34:00:996,124372,0.3,123824,0.5,248233,0.3,329496,1.50 129,1,2024-09-07 09:34:00:579,865429,865429,0,0,406381268907,4262002055,856421,7065,1943,379,391962,0 129,2,2024-09-07 09:34:00:688,623007,623003,4,0,26177090,0,5335 129,3,2024-09-07 09:34:00:689,1,494,11,0,506,7146,494,0 130,0,2024-09-07 09:34:01:713,125893,0.5,125488,0.6,252001,0.5,335324,1.75 130,1,2024-09-07 09:34:00:589,868599,868599,0,0,407147606665,4231910101,863923,4290,386,381,391825,0 130,2,2024-09-07 09:34:01:140,626157,626157,0,0,25937250,0,4067 130,3,2024-09-07 09:34:01:292,1,494,1,0,960,7207,494,0 131,0,2024-09-07 09:34:01:941,124270,0.4,124673,0.5,249897,0.3,331429,1.75 131,1,2024-09-07 09:34:01:821,868021,868021,0,0,407907701699,4258612281,861185,5645,1191,381,391865,0 131,2,2024-09-07 09:34:00:572,627548,627548,0,0,24235207,0,3979 131,3,2024-09-07 09:34:01:690,1,494,0,0,392,5897,494,0 132,0,2024-09-07 09:34:01:423,124354,0.4,125628,0.6,249793,0.4,332279,2.00 132,1,2024-09-07 09:34:00:597,864376,864376,0,0,405950363471,4275278416,851945,10240,2191,381,392532,0 132,2,2024-09-07 09:34:00:699,623950,623933,17,0,32363408,0,6451 132,3,2024-09-07 09:34:01:691,1,494,0,0,804,8643,494,0 133,0,2024-09-07 09:34:01:585,119869,0.4,122752,0.6,251695,0.4,327643,1.75 133,1,2024-09-07 09:34:00:597,864313,864313,0,0,406404877555,4287019571,852125,10552,1636,383,391914,0 133,2,2024-09-07 09:34:01:118,624083,624033,50,0,32656369,0,6861 133,3,2024-09-07 09:34:01:299,1,494,11,0,479,5664,494,0 134,0,2024-09-07 09:34:00:952,125028,0.6,124861,0.7,249985,0.6,333331,2.25 134,1,2024-09-07 09:34:00:594,865408,865408,0,0,406413741826,4264734840,854054,9208,2146,366,391718,0 134,2,2024-09-07 09:34:01:756,621117,621093,24,0,30584225,0,6207 134,3,2024-09-07 09:34:00:751,1,494,14,0,739,6205,494,0 135,0,2024-09-07 09:34:01:101,121416,0.9,121380,0.9,257581,1.0,332511,2.25 135,1,2024-09-07 09:34:01:591,865478,865478,0,0,407401489451,4288446418,853854,10059,1565,380,391805,0 135,2,2024-09-07 09:34:00:690,625615,625615,0,0,30797875,0,4503 135,3,2024-09-07 09:34:01:003,1,494,11,0,900,5193,494,0 136,0,2024-09-07 09:34:01:652,123841,0.5,124003,0.7,246978,0.5,329513,2.00 136,1,2024-09-07 09:34:01:444,866974,866974,0,0,406474922247,4265013108,856622,9085,1267,381,392135,0 136,2,2024-09-07 09:34:01:133,626707,626692,15,0,30085359,0,6007 136,3,2024-09-07 09:34:01:109,1,494,13,0,637,5687,494,0 137,0,2024-09-07 09:34:01:009,126279,0.5,123105,0.7,241189,0.5,327193,2.00 137,1,2024-09-07 09:34:00:584,865159,865159,0,0,406514164592,4265480346,851771,10718,2670,366,391898,0 137,2,2024-09-07 09:34:01:704,623926,623926,0,0,31621403,0,3185 137,3,2024-09-07 09:34:00:777,1,494,8,0,484,6389,494,0 138,0,2024-09-07 09:34:01:741,122279,0.9,122370,0.9,245413,1.1,326169,2.25 138,1,2024-09-07 09:34:01:692,865919,865919,0,0,406776538440,4270527119,853247,10705,1967,368,391954,0 138,2,2024-09-07 09:34:00:586,619886,619886,0,0,28934162,0,4988 138,3,2024-09-07 09:34:00:616,1,494,2,0,1200,7959,494,0 139,0,2024-09-07 09:34:01:387,125023,1.4,125430,1.1,250965,2.0,335178,2.50 139,1,2024-09-07 09:34:00:572,861361,861361,0,0,404289416035,4292846354,845521,12381,3459,380,392058,0 139,2,2024-09-07 09:34:00:692,622207,622207,0,0,32568476,0,3097 139,3,2024-09-07 09:34:01:663,1,494,75,0,432,5836,494,0 140,0,2024-09-07 09:34:01:604,122584,0.3,121697,0.5,244320,0.2,325453,1.75 140,1,2024-09-07 09:34:01:543,870559,870559,0,0,409203727846,4220400433,866050,3971,538,364,391606,0 140,2,2024-09-07 09:34:00:687,628100,628099,1,0,24759037,0,5036 140,3,2024-09-07 09:34:00:767,1,494,2,0,297,4805,494,0 141,0,2024-09-07 09:34:01:701,123046,0.3,126208,0.4,240932,0.2,326473,1.50 141,1,2024-09-07 09:34:00:862,869453,869453,0,0,408609953207,4246369464,862500,5868,1085,379,391614,0 141,2,2024-09-07 09:34:01:690,625315,625304,11,0,26270038,0,5369 141,3,2024-09-07 09:34:01:043,1,494,8,0,391,5478,494,0 142,0,2024-09-07 09:34:01:389,123503,0.3,122624,0.5,245931,0.3,327403,1.50 142,1,2024-09-07 09:34:00:601,867575,867575,0,0,407747552684,4251651060,861969,5147,459,382,392102,0 142,2,2024-09-07 09:34:01:300,620519,620487,32,0,26531033,0,6028 142,3,2024-09-07 09:34:01:749,1,494,4,0,484,5328,494,0 143,0,2024-09-07 09:34:01:487,125532,0.4,125520,0.6,251461,0.4,334822,1.75 143,1,2024-09-07 09:34:00:575,869315,869315,0,0,408598988038,4242351377,863314,5447,554,367,391705,0 143,2,2024-09-07 09:34:00:779,627359,627359,0,0,26160094,0,3123 143,3,2024-09-07 09:34:01:186,1,494,45,0,462,6404,494,0 144,0,2024-09-07 09:34:01:520,119427,0.7,122835,0.8,250129,0.7,327375,2.25 144,1,2024-09-07 09:34:00:573,865123,865123,0,0,405213342838,4255535182,855944,7217,1962,381,391733,0 144,2,2024-09-07 09:34:01:757,627641,627641,0,0,24950894,0,3673 144,3,2024-09-07 09:34:01:748,1,494,2,0,249,4895,494,0 145,0,2024-09-07 09:34:01:382,120039,0.5,119984,0.8,254619,0.5,327623,2.25 145,1,2024-09-07 09:34:00:552,864249,864249,0,0,406354093029,4282657234,851903,9882,2464,382,391759,0 145,2,2024-09-07 09:34:01:429,621880,621798,82,0,31170382,0,7814 145,3,2024-09-07 09:34:00:895,1,494,8,0,622,6878,494,0 146,0,2024-09-07 09:34:01:667,123404,0.6,122925,0.7,246767,0.5,327706,2.00 146,1,2024-09-07 09:34:01:591,865569,865569,0,0,406336334638,4277281402,851155,10616,3798,367,391770,0 146,2,2024-09-07 09:34:01:699,621235,621229,6,0,29234923,0,5151 146,3,2024-09-07 09:34:01:280,1,494,5,0,1520,8752,494,0 147,0,2024-09-07 09:34:01:711,125153,0.7,124837,0.8,249101,0.7,333328,2.25 147,1,2024-09-07 09:34:01:374,868935,868935,0,0,408178358114,4243024764,861912,6171,852,367,391791,0 147,2,2024-09-07 09:34:01:011,623582,623582,0,0,25924683,0,2968 147,3,2024-09-07 09:34:00:913,1,494,4,0,1626,8302,494,0 0,0,2024-09-07 09:34:11:713,119099,0.6,119115,0.7,252840,0.6,326568,2.00 0,1,2024-09-07 09:34:10:804,867864,867864,0,0,407535422125,4270938982,860379,6646,839,369,391896,0 0,2,2024-09-07 09:34:11:077,627381,627381,0,0,26187445,0,4480 0,3,2024-09-07 09:34:10:976,1,495,2,0,431,7051,495,0 1,0,2024-09-07 09:34:11:757,123972,1.0,123427,1.0,247397,1.4,331126,2.25 1,1,2024-09-07 09:34:10:568,867128,867128,0,0,406511573396,4262368742,858677,6985,1466,370,391859,0 1,2,2024-09-07 09:34:10:643,623143,623143,0,0,25373071,0,3380 1,3,2024-09-07 09:34:11:313,1,495,11,0,269,6386,495,0 2,0,2024-09-07 09:34:11:565,126030,0.5,126034,0.7,251922,0.6,335808,2.00 2,1,2024-09-07 09:34:10:866,870315,870315,0,0,408641111155,4247264026,865786,3727,802,380,391745,0 2,2,2024-09-07 09:34:11:266,628576,628576,0,0,23834305,0,3594 2,3,2024-09-07 09:34:10:693,1,495,23,0,357,4638,495,0 3,0,2024-09-07 09:34:11:742,122716,0.4,122682,0.6,244819,0.4,326769,2.00 3,1,2024-09-07 09:34:11:624,868636,868636,0,0,408225628696,4253258654,861191,6743,702,379,391716,0 3,2,2024-09-07 09:34:11:142,628079,628056,23,0,25302660,0,5851 3,3,2024-09-07 09:34:11:760,1,495,1,0,207,3129,495,0 4,0,2024-09-07 09:34:11:764,118767,0.4,122028,0.5,248924,0.3,325369,1.75 4,1,2024-09-07 09:34:10:596,865035,865035,0,0,405689218483,4303195039,848816,12537,3682,370,391992,0 4,2,2024-09-07 09:34:11:025,623447,623447,0,0,31142426,0,4534 4,3,2024-09-07 09:34:11:045,1,495,15,0,448,6528,495,0 5,0,2024-09-07 09:34:11:381,122475,0.4,123124,0.6,245575,0.4,326836,1.75 5,1,2024-09-07 09:34:10:770,866531,866531,0,0,407122619027,4290028849,853831,10287,2413,367,392005,0 5,2,2024-09-07 09:34:11:829,619000,619000,0,0,29902805,0,3582 5,3,2024-09-07 09:34:11:734,1,495,3,0,457,6943,495,0 6,0,2024-09-07 09:34:10:916,126749,0.5,126266,0.7,252854,0.5,337605,2.00 6,1,2024-09-07 09:34:10:746,868600,868600,0,0,406968240450,4255452123,859085,8059,1456,379,391702,0 6,2,2024-09-07 09:34:11:119,627315,627297,18,0,29238605,0,5535 6,3,2024-09-07 09:34:11:274,1,495,4,0,710,6172,495,0 7,0,2024-09-07 09:34:11:535,121443,0.6,122406,0.7,243625,0.5,324187,2.00 7,1,2024-09-07 09:34:10:859,866715,866715,0,0,407177982712,4281716074,853796,10688,2231,382,391747,0 7,2,2024-09-07 09:34:10:770,628181,628181,0,0,28408768,0,4791 7,3,2024-09-07 09:34:10:863,1,495,1,0,552,5718,495,0 8,0,2024-09-07 09:34:11:348,123556,0.3,123250,0.5,247156,0.3,328764,1.75 8,1,2024-09-07 09:34:11:018,865691,865691,0,0,406314079065,4276451202,851521,11044,3126,366,392853,0 8,2,2024-09-07 09:34:10:792,621549,621547,2,0,33860691,0,5112 8,3,2024-09-07 09:34:10:606,1,495,22,0,538,7661,495,0 9,0,2024-09-07 09:34:11:107,123452,0.4,120143,0.5,251467,0.3,329007,1.75 9,1,2024-09-07 09:34:10:567,865374,865374,0,0,406989799358,4290403411,852029,10698,2647,369,392001,0 9,2,2024-09-07 09:34:11:084,621568,621567,1,0,30547817,0,5281 9,3,2024-09-07 09:34:11:757,1,495,12,0,1273,8536,495,0 10,0,2024-09-07 09:34:11:600,125446,0.4,125060,0.5,251007,0.3,334325,1.75 10,1,2024-09-07 09:34:10:583,868306,868306,0,0,406527984999,4263751584,856001,10215,2090,381,391741,0 10,2,2024-09-07 09:34:10:764,626533,626533,0,0,33113193,0,4264 10,3,2024-09-07 09:34:10:871,1,495,11,0,649,5257,495,0 11,0,2024-09-07 09:34:11:008,124129,0.5,120441,0.7,251656,0.5,331481,1.75 11,1,2024-09-07 09:34:10:577,868277,868277,0,0,408407849569,4287364945,854802,10013,3462,383,391756,0 11,2,2024-09-07 09:34:11:131,626813,626813,0,0,29164120,0,4130 11,3,2024-09-07 09:34:11:309,1,495,2,0,843,6860,495,0 12,0,2024-09-07 09:34:10:936,125368,0.4,125432,0.5,250846,0.3,333215,1.75 12,1,2024-09-07 09:34:10:933,867946,867946,0,0,407625647593,4253922610,860804,6475,667,370,391960,0 12,2,2024-09-07 09:34:11:544,625298,625298,0,0,28488786,0,4390 12,3,2024-09-07 09:34:11:059,1,495,1,0,386,6830,495,0 13,0,2024-09-07 09:34:11:343,124284,0.4,123940,0.6,247277,0.4,329805,1.75 13,1,2024-09-07 09:34:11:530,866774,866774,0,0,407407618767,4285927465,857170,7311,2293,382,391740,0 13,2,2024-09-07 09:34:10:598,626629,626629,0,0,25948383,0,3287 13,3,2024-09-07 09:34:11:766,1,495,8,0,522,7101,495,0 14,0,2024-09-07 09:34:10:565,124958,0.4,125997,0.6,249847,0.4,332977,1.75 14,1,2024-09-07 09:34:11:564,872272,872272,0,0,409945294576,4244659654,865429,6116,727,364,391673,0 14,2,2024-09-07 09:34:10:764,624578,624548,30,0,27175550,0,6104 14,3,2024-09-07 09:34:11:139,1,495,4,0,1168,5792,495,0 15,0,2024-09-07 09:34:11:551,125495,0.4,125136,0.6,250995,0.4,334485,2.00 15,1,2024-09-07 09:34:11:611,869147,869147,0,0,408326300896,4255032649,861651,6011,1485,381,391619,0 15,2,2024-09-07 09:34:11:002,629129,629129,0,0,22924720,0,3622 15,3,2024-09-07 09:34:11:406,1,495,0,0,1126,7266,495,0 16,0,2024-09-07 09:34:10:936,123588,0.5,124284,0.7,247478,0.5,329602,2.00 16,1,2024-09-07 09:34:10:573,869448,869448,0,0,407636772066,4265467326,861151,6999,1298,370,391917,0 16,2,2024-09-07 09:34:11:450,626604,626604,0,0,27154426,0,4719 16,3,2024-09-07 09:34:11:152,1,495,102,0,358,6463,495,0 17,0,2024-09-07 09:34:11:770,126349,0.6,123277,0.7,241356,0.6,327383,2.00 17,1,2024-09-07 09:34:10:579,867455,867455,0,0,407215837253,4274958604,858430,7279,1746,368,392075,0 17,2,2024-09-07 09:34:11:676,628674,628673,1,0,27732718,0,5050 17,3,2024-09-07 09:34:10:588,1,495,8,0,518,7624,495,0 18,0,2024-09-07 09:34:10:938,121844,0.6,122507,0.8,244078,0.7,326143,2.25 18,1,2024-09-07 09:34:11:638,871458,871458,0,0,409207237654,4245706836,865864,4737,857,367,391725,0 18,2,2024-09-07 09:34:11:759,624472,624472,0,0,24561173,0,3541 18,3,2024-09-07 09:34:10:901,1,495,1,0,1059,4842,495,0 19,0,2024-09-07 09:34:11:541,125954,0.6,126356,0.8,251137,0.6,335015,2.25 19,1,2024-09-07 09:34:10:570,870851,870851,0,0,408654356228,4240699006,863912,5855,1084,367,391777,0 19,2,2024-09-07 09:34:11:755,630413,630413,0,0,23046010,0,3988 19,3,2024-09-07 09:34:11:132,1,495,3,0,524,4095,495,0 20,0,2024-09-07 09:34:11:358,121990,0.5,122107,0.7,243873,0.5,325243,2.00 20,1,2024-09-07 09:34:10:571,868029,868029,0,0,407302768342,4270443663,858902,7765,1362,369,391922,0 20,2,2024-09-07 09:34:10:928,627230,627230,0,0,28185910,0,3721 20,3,2024-09-07 09:34:10:595,1,495,1,0,468,8803,495,0 21,0,2024-09-07 09:34:11:186,122711,0.4,122837,0.6,245604,0.4,325661,1.75 21,1,2024-09-07 09:34:11:544,865809,865809,0,0,406771255958,4297569291,851673,11019,3117,368,392016,0 21,2,2024-09-07 09:34:11:066,623146,623126,20,0,33142093,0,5617 21,3,2024-09-07 09:34:11:420,1,495,8,0,713,6635,495,0 22,0,2024-09-07 09:34:11:716,122787,0.5,123139,0.7,245956,0.4,325886,2.00 22,1,2024-09-07 09:34:11:024,866751,866751,0,0,406994561146,4289889486,851620,11907,3224,382,391822,0 22,2,2024-09-07 09:34:10:760,620418,620392,26,0,27742608,0,6328 22,3,2024-09-07 09:34:11:067,1,495,3,0,228,4196,495,0 23,0,2024-09-07 09:34:11:372,125597,0.5,125058,0.7,250409,0.5,334436,2.25 23,1,2024-09-07 09:34:11:003,867917,867917,0,0,407952154958,4287354455,852262,11247,4408,365,391690,0 23,2,2024-09-07 09:34:11:094,628718,628718,0,0,26440603,0,3773 23,3,2024-09-07 09:34:11:756,1,495,1,0,720,6690,495,0 24,0,2024-09-07 09:34:10:820,124419,0.4,123542,0.6,248411,0.4,329512,1.75 24,1,2024-09-07 09:34:10:581,867198,867198,0,0,407045886468,4265930465,858255,7271,1672,367,392269,0 24,2,2024-09-07 09:34:11:069,627524,627524,0,0,31412138,0,4438 24,3,2024-09-07 09:34:11:686,1,495,0,0,468,6450,495,0 25,0,2024-09-07 09:34:11:343,127575,0.4,124296,0.6,243788,0.4,331702,1.75 25,1,2024-09-07 09:34:10:558,865990,865990,0,0,406850173242,4295840445,851132,11889,2969,369,391928,0 25,2,2024-09-07 09:34:11:606,624196,624196,0,0,32478607,0,3978 25,3,2024-09-07 09:34:11:001,1,495,11,0,532,5557,495,0 26,0,2024-09-07 09:34:11:719,123270,0.4,120600,0.6,252873,0.4,329004,1.75 26,1,2024-09-07 09:34:11:543,869069,869069,0,0,407631324863,4273107576,856241,10377,2451,380,391748,0 26,2,2024-09-07 09:34:10:861,623132,623132,0,0,34310992,0,4689 26,3,2024-09-07 09:34:11:712,1,495,14,0,796,5670,495,0 27,0,2024-09-07 09:34:11:722,125541,0.5,125808,0.6,250250,0.4,334303,2.25 27,1,2024-09-07 09:34:11:676,870147,870147,0,0,409084702683,4264241396,861599,7281,1267,381,391626,0 27,2,2024-09-07 09:34:10:866,623074,623009,65,0,29786481,0,5699 27,3,2024-09-07 09:34:11:015,1,495,1,0,564,4465,495,0 28,0,2024-09-07 09:34:11:388,125837,0.4,125408,0.6,251706,0.4,335069,2.00 28,1,2024-09-07 09:34:10:797,870031,870031,0,0,408901005998,4266744222,862262,6055,1714,382,391698,0 28,2,2024-09-07 09:34:11:772,627680,627680,0,0,26034265,0,2915 28,3,2024-09-07 09:34:11:775,1,495,1,0,502,5090,495,0 29,0,2024-09-07 09:34:11:364,127837,0.4,124526,0.6,244104,0.3,333221,1.75 29,1,2024-09-07 09:34:11:564,872843,872843,0,0,409272739576,4235757612,867472,4612,759,368,391809,0 29,2,2024-09-07 09:34:10:868,625812,625812,0,0,25220394,0,4986 29,3,2024-09-07 09:34:10:973,1,495,9,0,459,5623,495,0 30,0,2024-09-07 09:34:11:457,122393,0.5,118856,0.7,248895,0.4,325543,2.00 30,1,2024-09-07 09:34:10:571,871661,871661,0,0,409370329706,4251175293,864940,5872,849,380,391672,0 30,2,2024-09-07 09:34:11:275,626664,626664,0,0,24837621,0,4192 30,3,2024-09-07 09:34:10:581,1,495,1,0,519,4930,495,0 31,0,2024-09-07 09:34:11:760,123812,0.5,124088,0.7,247986,0.4,331518,2.00 31,1,2024-09-07 09:34:10:570,874057,874057,0,0,411435209245,4226003574,868910,4193,954,356,391712,0 31,2,2024-09-07 09:34:11:275,622151,622151,0,0,27101966,0,4470 31,3,2024-09-07 09:34:11:709,1,495,1,0,220,4200,495,0 32,0,2024-09-07 09:34:11:461,126500,0.3,127192,0.5,253246,0.3,337602,1.75 32,1,2024-09-07 09:34:10:804,870438,870438,0,0,409142668386,4255283916,864897,4834,707,381,391646,0 32,2,2024-09-07 09:34:10:935,628759,628759,0,0,23622984,0,3922 32,3,2024-09-07 09:34:11:015,1,495,1,0,304,3997,495,0 33,0,2024-09-07 09:34:11:511,123291,0.3,122941,0.4,245899,0.2,327792,1.50 33,1,2024-09-07 09:34:10:583,871735,871735,0,0,409339929395,4244351821,864517,6046,1172,368,391730,0 33,2,2024-09-07 09:34:10:760,628426,628391,35,0,26206131,0,7012 33,3,2024-09-07 09:34:10:899,1,495,8,0,329,4782,495,0 34,0,2024-09-07 09:34:10:934,122745,0.3,126147,0.4,241089,0.2,324671,1.75 34,1,2024-09-07 09:34:11:044,873452,873452,0,0,410641786567,4225500067,870945,2485,22,367,391562,0 34,2,2024-09-07 09:34:10:766,627916,627916,0,0,24853445,0,4562 34,3,2024-09-07 09:34:11:688,1,495,2,0,320,3968,495,0 35,0,2024-09-07 09:34:10:860,121885,0.3,122612,0.5,246307,0.3,327638,1.75 35,1,2024-09-07 09:34:11:067,870809,870809,0,0,409539534768,4250335597,864578,5134,1097,382,391769,0 35,2,2024-09-07 09:34:11:593,621468,621468,0,0,27035411,0,4055 35,3,2024-09-07 09:34:10:907,1,495,18,0,418,4787,495,0 36,0,2024-09-07 09:34:11:516,126943,0.5,126960,0.7,253661,0.5,338225,2.25 36,1,2024-09-07 09:34:10:584,869486,869486,0,0,407167747266,4258842202,857215,10053,2218,366,391759,0 36,2,2024-09-07 09:34:11:750,628003,628003,0,0,28801566,0,3875 36,3,2024-09-07 09:34:10:870,1,495,57,0,416,7117,495,0 37,0,2024-09-07 09:34:11:367,121705,0.5,121695,0.7,243733,0.5,324635,2.25 37,1,2024-09-07 09:34:10:585,868221,868214,0,7,407829796980,4263769567,857145,8396,2673,365,391770,0 37,2,2024-09-07 09:34:11:142,626239,626224,15,0,29224826,0,5815 37,3,2024-09-07 09:34:11:770,1,495,8,0,888,7170,495,0 38,0,2024-09-07 09:34:11:436,122719,0.4,119019,0.6,249022,0.4,325974,2.00 38,1,2024-09-07 09:34:11:605,869643,869643,0,0,408810770835,4279480166,856422,10702,2519,368,391821,0 38,2,2024-09-07 09:34:10:763,624723,624676,47,0,29404074,0,6710 38,3,2024-09-07 09:34:11:001,1,495,0,0,689,6524,495,0 39,0,2024-09-07 09:34:11:762,126377,0.5,123617,0.7,240941,0.5,328261,2.00 39,1,2024-09-07 09:34:10:716,867862,867862,0,0,406867331533,4275233701,852099,12294,3469,365,391658,0 39,2,2024-09-07 09:34:11:417,622927,622927,0,0,27030784,0,3391 39,3,2024-09-07 09:34:10:713,1,495,2,0,525,5933,495,0 40,0,2024-09-07 09:34:11:495,124154,0.9,124926,1.0,249308,1.0,332572,2.75 40,1,2024-09-07 09:34:10:579,869907,869907,0,0,407479357818,4266293065,857904,9825,2178,368,391668,0 40,2,2024-09-07 09:34:11:308,625337,625336,1,0,32411995,0,5137 40,3,2024-09-07 09:34:11:143,1,495,136,0,1028,7257,495,0 41,0,2024-09-07 09:34:11:022,123438,1.4,126077,1.2,240780,2.0,327424,3.25 41,1,2024-09-07 09:34:10:769,867572,867572,0,0,407420330706,4267970488,855718,9921,1933,369,391742,0 41,2,2024-09-07 09:34:10:759,624979,624978,1,0,31152804,0,5408 41,3,2024-09-07 09:34:11:683,1,495,27,0,366,5224,495,0 42,0,2024-09-07 09:34:11:473,123959,0.8,123677,1.0,247587,0.9,328082,2.50 42,1,2024-09-07 09:34:11:439,865711,865711,0,0,406811555034,4282950656,850737,12066,2908,380,391675,0 42,2,2024-09-07 09:34:11:132,624918,624918,0,0,29913513,0,3975 42,3,2024-09-07 09:34:11:013,1,495,12,0,892,4659,495,0 43,0,2024-09-07 09:34:10:918,122310,0.7,119110,0.9,249091,0.7,327010,2.25 43,1,2024-09-07 09:34:10:584,868530,868530,0,0,408136304696,4269537278,855673,10598,2259,366,391696,0 43,2,2024-09-07 09:34:11:736,625340,625340,0,0,29894409,0,4723 43,3,2024-09-07 09:34:11:753,1,495,2,0,571,7180,495,0 44,0,2024-09-07 09:34:10:858,125111,0.4,125233,0.6,250803,0.4,333483,2.00 44,1,2024-09-07 09:34:10:563,871553,871553,0,0,409332508914,4232943051,863913,6090,1550,356,391809,0 44,2,2024-09-07 09:34:11:266,622868,622868,0,0,24396975,0,4344 44,3,2024-09-07 09:34:11:093,1,495,1,0,817,5480,495,0 45,0,2024-09-07 09:34:11:766,124223,0.5,121489,0.7,254548,0.5,334782,2.00 45,1,2024-09-07 09:34:11:005,870237,870237,0,0,409250817972,4257229943,862731,6630,876,382,391917,0 45,2,2024-09-07 09:34:11:268,628647,628647,0,0,24678616,0,3596 45,3,2024-09-07 09:34:10:939,1,495,8,0,271,4438,495,0 46,0,2024-09-07 09:34:10:987,123136,0.5,122550,0.7,245994,0.4,326857,2.00 46,1,2024-09-07 09:34:10:582,872229,872229,0,0,409429086278,4233120149,866086,5339,804,366,391709,0 46,2,2024-09-07 09:34:10:602,627368,627368,0,0,25113473,0,4443 46,3,2024-09-07 09:34:11:132,1,495,0,0,908,5909,495,0 47,0,2024-09-07 09:34:11:101,122706,0.4,123014,0.6,246426,0.4,326268,1.75 47,1,2024-09-07 09:34:10:570,872335,872335,0,0,409185717139,4229085605,867154,4342,839,366,391641,0 47,2,2024-09-07 09:34:10:908,629230,629230,0,0,24864751,0,4477 47,3,2024-09-07 09:34:11:119,1,495,12,0,600,5748,495,0 48,0,2024-09-07 09:34:11:508,123571,0.3,123533,0.4,246019,0.2,328184,1.50 48,1,2024-09-07 09:34:11:024,870618,870618,0,0,408780608276,4249788819,864872,5174,572,384,391710,0 48,2,2024-09-07 09:34:10:699,622818,622818,0,0,22951016,0,3411 48,3,2024-09-07 09:34:10:755,1,495,1,0,339,3889,495,0 49,0,2024-09-07 09:34:11:716,129765,0.4,127456,0.5,247563,0.3,338853,1.75 49,1,2024-09-07 09:34:11:030,870151,870151,0,0,408555813784,4250246528,864591,4350,1210,382,391809,0 49,2,2024-09-07 09:34:11:797,628985,628985,0,0,25448992,0,4426 49,3,2024-09-07 09:34:11:417,1,495,0,0,992,5737,495,0 50,0,2024-09-07 09:34:11:512,122786,0.3,121056,0.5,243903,0.2,325138,1.75 50,1,2024-09-07 09:34:11:014,872924,872924,0,0,410740855338,4248313299,867219,5042,663,368,391565,0 50,2,2024-09-07 09:34:11:067,627976,627976,0,0,23452524,0,4490 50,3,2024-09-07 09:34:11:290,1,495,0,0,617,5398,495,0 51,0,2024-09-07 09:34:11:682,125870,0.3,123313,0.4,240117,0.2,327155,1.75 51,1,2024-09-07 09:34:11:684,873782,873782,0,0,410523418204,4234661259,869248,3480,1054,365,391706,0 51,2,2024-09-07 09:34:11:314,626977,626977,0,0,22594253,0,3337 51,3,2024-09-07 09:34:11:027,1,495,2,0,678,3741,495,0 52,0,2024-09-07 09:34:11:424,123294,0.5,122978,0.7,246195,0.5,326812,2.00 52,1,2024-09-07 09:34:10:580,868991,868991,0,0,407911221148,4275758978,855769,11117,2105,368,391722,0 52,2,2024-09-07 09:34:11:760,618557,618519,38,0,30157712,0,6742 52,3,2024-09-07 09:34:10:678,1,495,5,0,1782,6574,495,0 53,0,2024-09-07 09:34:11:744,125153,0.7,121453,0.8,254197,0.8,334125,2.50 53,1,2024-09-07 09:34:10:770,866994,866994,0,0,407960636293,4287637198,851647,11503,3844,367,391968,0 53,2,2024-09-07 09:34:11:301,627857,627856,1,0,27123749,0,5455 53,3,2024-09-07 09:34:10:697,1,495,12,0,308,5085,495,0 54,0,2024-09-07 09:34:11:619,121422,0.6,121742,0.8,242478,0.5,323379,2.25 54,1,2024-09-07 09:34:10:580,869376,869376,0,0,409149347349,4261203088,859312,8430,1634,366,391810,0 54,2,2024-09-07 09:34:10:866,628139,628107,32,0,31001817,0,6397 54,3,2024-09-07 09:34:10:763,1,495,22,0,676,6905,495,0 55,0,2024-09-07 09:34:11:775,119885,0.6,123639,0.7,250440,0.5,326074,2.50 55,1,2024-09-07 09:34:10:765,870750,870750,0,0,408712195947,4254341530,861108,8324,1318,365,391731,0 55,2,2024-09-07 09:34:10:729,625357,625301,56,0,29380771,0,7239 55,3,2024-09-07 09:34:10:677,1,495,69,0,304,4807,495,0 56,0,2024-09-07 09:34:11:580,126289,1.2,118988,1.1,245298,1.5,328339,2.75 56,1,2024-09-07 09:34:10:569,865558,865558,0,0,406657327275,4299080060,851462,11198,2898,381,391867,0 56,2,2024-09-07 09:34:11:309,623272,623150,122,0,30476367,0,7432 56,3,2024-09-07 09:34:11:059,1,495,14,0,705,6164,495,0 57,0,2024-09-07 09:34:10:944,123487,1.7,123356,1.3,247162,2.4,330660,3.50 57,1,2024-09-07 09:34:10:986,867250,867250,0,0,406953315632,4274288776,855253,9769,2228,366,392032,0 57,2,2024-09-07 09:34:11:320,624961,624961,0,0,31261785,0,4317 57,3,2024-09-07 09:34:11:746,1,495,17,0,455,5693,495,0 58,0,2024-09-07 09:34:10:556,123541,1.0,119933,1.0,251017,1.3,328456,2.75 58,1,2024-09-07 09:34:10:582,868395,868392,0,3,408880587667,4289515288,854640,10433,3319,367,391603,3 58,2,2024-09-07 09:34:11:070,627047,627047,0,0,29649894,0,3483 58,3,2024-09-07 09:34:11:068,1,495,44,0,1043,5607,495,0 59,0,2024-09-07 09:34:11:744,123848,0.8,123575,1.0,246701,0.9,327491,2.75 59,1,2024-09-07 09:34:10:804,867250,867250,0,0,407314817087,4283042863,852531,11581,3138,369,391653,0 59,2,2024-09-07 09:34:10:583,625423,625423,0,0,28460983,0,3727 59,3,2024-09-07 09:34:11:745,1,495,3,0,1015,6344,495,0 60,0,2024-09-07 09:34:11:728,122514,0.5,122730,0.7,245606,0.5,326362,1.75 60,1,2024-09-07 09:34:10:771,871505,871505,0,0,409298921665,4250003431,865442,5134,929,370,392031,0 60,2,2024-09-07 09:34:11:148,626243,626243,0,0,26948218,0,3811 60,3,2024-09-07 09:34:11:261,1,495,1,0,409,6032,495,0 61,0,2024-09-07 09:34:11:501,123859,0.7,124499,0.8,247917,0.7,330928,2.00 61,1,2024-09-07 09:34:10:770,868629,868629,0,0,407955433225,4275427748,858854,8195,1580,382,392127,0 61,2,2024-09-07 09:34:11:119,623157,623090,67,0,27495632,0,6411 61,3,2024-09-07 09:34:11:688,1,495,10,0,479,6674,495,0 62,0,2024-09-07 09:34:11:705,126844,0.5,130052,0.7,248224,0.5,337287,2.00 62,1,2024-09-07 09:34:11:119,873676,873670,0,6,410564005500,4233251219,869554,3836,280,365,391975,6 62,2,2024-09-07 09:34:11:650,625740,625739,1,0,26974483,0,5555 62,3,2024-09-07 09:34:11:151,1,495,31,0,482,4101,495,0 63,0,2024-09-07 09:34:11:452,123226,0.4,122951,0.6,246823,0.4,328070,1.75 63,1,2024-09-07 09:34:10:804,871201,871195,0,6,409985810754,4257298448,865702,4759,734,381,391800,6 63,2,2024-09-07 09:34:10:766,627471,627471,0,0,25953428,0,4369 63,3,2024-09-07 09:34:11:741,1,495,154,0,667,5363,495,0 64,0,2024-09-07 09:34:11:558,122038,0.5,122094,0.6,243738,0.4,323954,2.00 64,1,2024-09-07 09:34:10:750,870686,870686,0,0,408713439990,4259859534,862804,6112,1770,370,391783,0 64,2,2024-09-07 09:34:11:145,630328,630309,19,0,25031479,0,6121 64,3,2024-09-07 09:34:11:140,1,495,7,0,651,5362,495,0 65,0,2024-09-07 09:34:11:705,121749,0.6,121980,0.8,243557,0.7,325011,2.00 65,1,2024-09-07 09:34:10:868,868482,868482,0,0,408170188501,4269323631,861772,5916,794,381,391901,0 65,2,2024-09-07 09:34:11:703,621866,621866,0,0,29142903,0,3367 65,3,2024-09-07 09:34:11:684,1,495,16,0,782,6051,495,0 66,0,2024-09-07 09:34:11:792,126227,0.6,125822,0.8,251776,0.5,335652,2.25 66,1,2024-09-07 09:34:11:292,870558,870558,0,0,409320913278,4263364117,864426,5410,722,380,391743,0 66,2,2024-09-07 09:34:11:142,629985,629982,3,0,26682474,0,5455 66,3,2024-09-07 09:34:11:079,1,495,1,0,291,4467,495,0 67,0,2024-09-07 09:34:11:413,122100,0.6,121750,0.7,244488,0.5,324817,2.25 67,1,2024-09-07 09:34:10:765,870431,870430,0,1,408834996189,4259006663,864175,5442,813,380,391787,1 67,2,2024-09-07 09:34:10:589,630322,630307,15,0,25979501,0,6205 67,3,2024-09-07 09:34:11:750,1,495,1,0,392,4844,495,0 68,0,2024-09-07 09:34:10:576,123520,0.5,123477,0.7,245901,0.5,328396,2.00 68,1,2024-09-07 09:34:10:574,866888,866888,0,0,407128310394,4286071738,854685,8804,3399,381,391953,0 68,2,2024-09-07 09:34:11:060,622278,622178,100,0,33744761,0,8578 68,3,2024-09-07 09:34:10:728,1,495,0,0,417,6475,495,0 69,0,2024-09-07 09:34:11:723,122795,0.7,123615,0.8,246251,0.7,326546,2.25 69,1,2024-09-07 09:34:11:016,866112,866112,0,0,406475697613,4288934769,854030,9323,2759,384,391994,0 69,2,2024-09-07 09:34:11:733,621190,621161,29,0,34403326,0,6912 69,3,2024-09-07 09:34:10:764,1,495,10,0,698,7521,495,0 70,0,2024-09-07 09:34:11:542,124048,1.1,124464,1.1,250321,1.0,331412,2.50 70,1,2024-09-07 09:34:10:860,871109,871109,0,0,410241981503,4260667285,863858,6489,762,366,391725,0 70,2,2024-09-07 09:34:11:324,626773,626773,0,0,29207777,0,4323 70,3,2024-09-07 09:34:10:746,1,495,6,0,854,5660,495,0 71,0,2024-09-07 09:34:11:363,122832,1.3,122531,1.1,246337,1.8,328544,3.00 71,1,2024-09-07 09:34:11:596,868746,868746,0,0,408276402063,4267768502,857359,10132,1255,368,391738,0 71,2,2024-09-07 09:34:11:066,626692,626692,0,0,29996361,0,4352 71,3,2024-09-07 09:34:11:750,1,495,1,0,644,6053,495,0 72,0,2024-09-07 09:34:11:080,128648,0.5,125790,0.7,245388,0.5,333466,2.00 72,1,2024-09-07 09:34:11:039,867295,867295,0,0,407333200936,4279229786,853952,11064,2279,369,391819,0 72,2,2024-09-07 09:34:11:764,624489,624489,0,0,31190613,0,3983 72,3,2024-09-07 09:34:11:764,1,495,83,0,564,7338,495,0 73,0,2024-09-07 09:34:11:117,120206,0.4,123446,0.6,251988,0.4,327788,2.00 73,1,2024-09-07 09:34:10:770,870162,870162,0,0,408458255282,4244731975,863944,5794,424,367,391858,0 73,2,2024-09-07 09:34:11:742,624455,624455,0,0,31644082,0,4728 73,3,2024-09-07 09:34:10:973,1,495,23,0,486,6340,495,0 74,0,2024-09-07 09:34:11:331,125908,0.5,128872,0.7,245988,0.5,333780,2.25 74,1,2024-09-07 09:34:10:649,868828,868828,0,0,407700725218,4262507600,858389,8516,1923,381,391762,0 74,2,2024-09-07 09:34:11:003,623619,623619,0,0,27537790,0,4253 74,3,2024-09-07 09:34:11:442,1,495,7,0,522,6116,495,0 75,0,2024-09-07 09:34:11:768,126099,0.6,125322,0.8,251573,0.5,336345,2.25 75,1,2024-09-07 09:34:11:594,869769,869769,0,0,407889755973,4256951858,861891,7018,860,380,391739,0 75,2,2024-09-07 09:34:11:353,625876,625876,0,0,32785124,0,4766 75,3,2024-09-07 09:34:11:077,1,495,1,0,702,6092,495,0 76,0,2024-09-07 09:34:10:587,122956,0.5,122353,0.7,245325,0.5,328361,2.25 76,1,2024-09-07 09:34:10:805,869039,869039,0,0,407927064949,4256735485,862830,5308,901,382,391692,0 76,2,2024-09-07 09:34:11:061,628949,628946,3,0,27558546,0,5265 76,3,2024-09-07 09:34:11:151,1,495,1,0,227,4652,495,0 77,0,2024-09-07 09:34:11:771,122135,0.5,122599,0.7,245422,0.5,325309,2.00 77,1,2024-09-07 09:34:10:824,869643,869643,0,0,408065037959,4259586391,862823,6229,591,381,391869,0 77,2,2024-09-07 09:34:11:281,626347,626347,0,0,27027795,0,3890 77,3,2024-09-07 09:34:11:119,1,495,8,0,401,5382,495,0 78,0,2024-09-07 09:34:11:727,123314,0.4,122768,0.6,246361,0.4,326770,2.00 78,1,2024-09-07 09:34:10:610,869377,869377,0,0,407392390971,4252543747,858725,8128,2524,367,391670,0 78,2,2024-09-07 09:34:11:407,623384,623371,13,0,25120818,0,8313 78,3,2024-09-07 09:34:11:133,1,495,2,0,311,4398,495,0 79,0,2024-09-07 09:34:11:367,122122,0.4,125218,0.6,256147,0.4,333688,2.25 79,1,2024-09-07 09:34:10:590,872005,872005,0,0,409377993611,4244864261,864406,6243,1356,367,391682,0 79,2,2024-09-07 09:34:11:067,628416,628416,0,0,24407131,0,4195 79,3,2024-09-07 09:34:10:749,1,495,33,0,418,6218,495,0 80,0,2024-09-07 09:34:11:109,121920,0.5,125180,0.7,239804,0.5,324490,2.00 80,1,2024-09-07 09:34:11:619,869236,869236,0,0,408865936223,4258275671,863439,5374,423,368,392269,0 80,2,2024-09-07 09:34:11:104,629997,629997,0,0,24936920,0,4433 80,3,2024-09-07 09:34:10:578,1,495,16,0,296,6035,495,0 81,0,2024-09-07 09:34:11:571,122788,0.5,125784,0.7,239935,0.5,325756,2.00 81,1,2024-09-07 09:34:11:672,868313,868313,0,0,408206627925,4269951067,860926,6750,637,382,391885,0 81,2,2024-09-07 09:34:11:132,625545,625482,63,0,27978496,0,5932 81,3,2024-09-07 09:34:11:117,1,495,2,0,719,5806,495,0 82,0,2024-09-07 09:34:11:580,122569,0.5,122753,0.7,245843,0.4,326017,2.00 82,1,2024-09-07 09:34:10:583,870718,870714,0,4,408661291894,4251499822,865650,4244,820,381,391768,4 82,2,2024-09-07 09:34:11:692,623596,623596,0,0,23192535,0,4484 82,3,2024-09-07 09:34:11:756,1,495,0,0,363,4856,495,0 83,0,2024-09-07 09:34:11:535,125902,0.6,125731,0.8,250873,0.7,334332,2.25 83,1,2024-09-07 09:34:10:552,869453,869453,0,0,408642032143,4261908813,863318,5684,451,382,391709,0 83,2,2024-09-07 09:34:10:763,627679,627654,25,0,25074079,0,5612 83,3,2024-09-07 09:34:10:749,1,495,123,0,1260,6385,495,0 84,0,2024-09-07 09:34:11:784,122280,0.8,122439,0.9,244497,0.8,326696,2.25 84,1,2024-09-07 09:34:11:042,867843,867843,0,0,407895943963,4269073265,857662,8661,1520,367,391967,0 84,2,2024-09-07 09:34:10:571,626789,626759,30,0,33428584,0,5971 84,3,2024-09-07 09:34:11:144,1,495,4,0,908,7248,495,0 85,0,2024-09-07 09:34:11:003,119446,0.6,119368,0.8,253417,0.5,327646,2.25 85,1,2024-09-07 09:34:10:559,865276,865276,0,0,406627747691,4300169349,851032,11592,2652,381,392092,0 85,2,2024-09-07 09:34:10:871,624413,624413,0,0,31187983,0,4255 85,3,2024-09-07 09:34:10:696,1,495,1,0,789,5791,495,0 86,0,2024-09-07 09:34:10:943,123450,0.6,127000,0.8,242936,0.7,328729,2.25 86,1,2024-09-07 09:34:10:824,868011,868011,0,0,407226361002,4272277502,856743,9301,1967,366,391961,0 86,2,2024-09-07 09:34:10:871,621447,621446,1,0,33556225,0,5004 86,3,2024-09-07 09:34:10:590,1,495,2,0,308,7392,495,0 87,0,2024-09-07 09:34:11:288,125280,1.0,124993,0.9,250071,1.3,334676,2.50 87,1,2024-09-07 09:34:10:565,866810,866810,0,0,407510339622,4275788034,854633,10466,1711,366,392076,0 87,2,2024-09-07 09:34:11:067,624814,624808,6,0,29229223,0,6323 87,3,2024-09-07 09:34:11:793,1,495,9,0,473,7518,495,0 88,0,2024-09-07 09:34:11:444,125345,0.5,125933,0.6,251654,0.5,334653,2.00 88,1,2024-09-07 09:34:10:571,866013,866013,0,0,406883040629,4267283035,853414,9988,2611,365,392084,0 88,2,2024-09-07 09:34:10:693,627285,627285,0,0,31817967,0,4465 88,3,2024-09-07 09:34:11:272,1,495,17,0,435,6393,495,0 89,0,2024-09-07 09:34:11:805,127969,0.4,123980,0.6,245176,0.4,333322,1.75 89,1,2024-09-07 09:34:10:568,866223,866223,0,0,408084898040,4296465253,854844,9564,1815,382,391866,0 89,2,2024-09-07 09:34:11:166,625239,625239,0,0,30234362,0,3173 89,3,2024-09-07 09:34:11:801,1,495,28,0,468,8273,495,0 90,0,2024-09-07 09:34:11:625,119005,0.4,122338,0.6,249260,0.4,325315,1.75 90,1,2024-09-07 09:34:10:597,868329,868329,0,0,407835879626,4272810184,859842,7755,732,380,391825,0 90,2,2024-09-07 09:34:11:410,623968,623968,0,0,32473965,0,3608 90,3,2024-09-07 09:34:10:937,1,495,11,0,322,6155,495,0 91,0,2024-09-07 09:34:11:000,124466,0.5,120632,0.6,252269,0.5,331783,1.75 91,1,2024-09-07 09:34:10:562,866863,866863,0,0,407644662055,4290831833,855322,9535,2006,381,392047,0 91,2,2024-09-07 09:34:11:347,623598,623598,0,0,28434470,0,4713 91,3,2024-09-07 09:34:10:598,1,495,1,0,216,4815,495,0 92,0,2024-09-07 09:34:11:440,127813,0.5,130673,0.6,249014,0.5,337552,1.75 92,1,2024-09-07 09:34:10:587,868712,868712,0,0,407364619733,4258916643,861365,6147,1200,381,392136,0 92,2,2024-09-07 09:34:11:355,628197,628197,0,0,25159580,0,3259 92,3,2024-09-07 09:34:11:013,1,495,1,0,167,4300,495,0 93,0,2024-09-07 09:34:10:983,123647,0.4,126724,0.6,241876,0.4,328246,1.75 93,1,2024-09-07 09:34:10:808,868952,868952,0,0,407826993290,4260705632,859113,8121,1718,366,391776,0 93,2,2024-09-07 09:34:10:941,627321,627321,0,0,31227362,0,4913 93,3,2024-09-07 09:34:11:408,1,495,3,0,190,4463,495,0 94,0,2024-09-07 09:34:11:625,122203,0.3,122819,0.5,245558,0.3,325670,1.75 94,1,2024-09-07 09:34:10:570,869166,869166,0,0,408967079386,4268437482,863077,5716,373,381,391850,0 94,2,2024-09-07 09:34:10:761,626545,626517,28,0,26695670,0,6179 94,3,2024-09-07 09:34:11:690,1,495,1,0,576,6440,495,0 95,0,2024-09-07 09:34:11:431,122865,0.3,122564,0.5,245526,0.3,327645,1.75 95,1,2024-09-07 09:34:10:854,870875,870875,0,0,409141078664,4252792750,864000,6394,481,365,391786,0 95,2,2024-09-07 09:34:11:042,621780,621780,0,0,25153670,0,3308 95,3,2024-09-07 09:34:11:709,1,495,1,0,718,7440,495,0 96,0,2024-09-07 09:34:11:042,126860,0.4,127064,0.5,254026,0.4,337539,1.75 96,1,2024-09-07 09:34:11:583,869466,869466,0,0,408877919070,4266883982,863092,5463,911,384,391955,0 96,2,2024-09-07 09:34:11:270,628500,628500,0,0,26191643,0,4180 96,3,2024-09-07 09:34:11:141,1,495,5,0,411,5361,495,0 97,0,2024-09-07 09:34:11:362,122320,0.3,121986,0.5,245083,0.3,325245,1.75 97,1,2024-09-07 09:34:10:763,870733,870733,0,0,409625687918,4250700164,865058,4733,942,367,392140,0 97,2,2024-09-07 09:34:10:610,629239,629239,0,0,25623618,0,3679 97,3,2024-09-07 09:34:10:570,1,495,18,0,242,5925,495,0 98,0,2024-09-07 09:34:11:694,123030,0.3,123208,0.4,247112,0.2,327974,1.50 98,1,2024-09-07 09:34:10:572,870257,870257,0,0,408465450901,4256311558,864867,4592,798,381,391997,0 98,2,2024-09-07 09:34:10:769,626313,626313,0,0,25564473,0,4336 98,3,2024-09-07 09:34:10:698,1,495,1,0,840,7860,495,0 99,0,2024-09-07 09:34:11:457,123400,0.3,124031,0.5,246813,0.3,329030,1.75 99,1,2024-09-07 09:34:11:723,870356,870356,0,0,407773597235,4246884827,864445,4990,921,380,392069,0 99,2,2024-09-07 09:34:11:418,623517,623517,0,0,30562074,0,4276 99,3,2024-09-07 09:34:10:581,1,495,63,0,606,5156,495,0 100,0,2024-09-07 09:34:11:481,125129,1.0,125591,1.0,250286,1.3,334764,2.50 100,1,2024-09-07 09:34:10:552,865062,865062,0,0,406601917935,4304395902,850816,11148,3098,378,391989,0 100,2,2024-09-07 09:34:11:818,624094,624083,11,0,30203268,0,5417 100,3,2024-09-07 09:34:11:732,1,495,46,0,627,8897,495,0 101,0,2024-09-07 09:34:11:700,126667,1.4,123490,1.2,241864,1.5,330721,2.50 101,1,2024-09-07 09:34:10:554,866331,866331,0,0,406889396152,4280188458,854077,9858,2396,368,391847,0 101,2,2024-09-07 09:34:11:761,623616,623616,0,0,35195363,0,4871 101,3,2024-09-07 09:34:10:944,1,495,6,0,1250,7751,495,0 102,0,2024-09-07 09:34:10:958,121248,0.6,124926,0.8,253645,0.6,331783,2.00 102,1,2024-09-07 09:34:11:145,866274,866274,0,0,407776864531,4287987711,854094,10161,2019,369,391891,0 102,2,2024-09-07 09:34:11:737,625277,625223,54,0,29857919,0,6768 102,3,2024-09-07 09:34:11:616,1,495,1,0,466,6002,495,0 103,0,2024-09-07 09:34:11:603,127416,0.5,127415,0.7,240012,0.5,330430,2.00 103,1,2024-09-07 09:34:11:625,865476,865476,0,0,406747977248,4299155593,851452,10827,3197,381,391862,0 103,2,2024-09-07 09:34:10:582,623644,623644,0,0,31350696,0,3766 103,3,2024-09-07 09:34:10:756,1,495,15,0,916,6088,495,0 104,0,2024-09-07 09:34:11:032,124095,0.8,124275,1.0,247556,0.8,331702,2.50 104,1,2024-09-07 09:34:11:601,867993,867993,0,0,407139131667,4281583287,855009,10436,2548,365,392168,0 104,2,2024-09-07 09:34:11:666,622070,622070,0,0,30098440,0,3941 104,3,2024-09-07 09:34:11:417,1,495,2,0,1245,9023,495,0 105,0,2024-09-07 09:34:11:028,124471,0.9,121304,1.1,254345,1.0,334426,2.75 105,1,2024-09-07 09:34:10:570,869059,869059,0,0,407644240616,4275516788,857499,9795,1765,366,392009,0 105,2,2024-09-07 09:34:11:324,625897,625897,0,0,30477833,0,4360 105,3,2024-09-07 09:34:11:311,1,495,8,0,399,7232,495,0 106,0,2024-09-07 09:34:10:958,119327,0.8,122157,0.9,250453,0.8,327206,2.50 106,1,2024-09-07 09:34:11:750,868110,868110,0,0,407332018711,4278149757,855602,10864,1644,368,391914,0 106,2,2024-09-07 09:34:10:758,625592,625592,0,0,28908763,0,2920 106,3,2024-09-07 09:34:10:677,1,495,2,0,1224,7655,495,0 107,0,2024-09-07 09:34:11:117,122334,0.8,122550,0.9,245038,0.8,326399,2.00 107,1,2024-09-07 09:34:10:591,866093,866093,0,0,407415687312,4299563143,853202,11498,1393,381,392234,0 107,2,2024-09-07 09:34:11:300,624404,624403,1,0,31561117,0,5024 107,3,2024-09-07 09:34:11:773,1,495,733,0,733,7732,495,0 108,0,2024-09-07 09:34:11:763,122812,0.4,123601,0.6,245800,0.4,328210,1.75 108,1,2024-09-07 09:34:11:294,868362,868362,0,0,408376303624,4261239787,860852,6668,842,367,391857,0 108,2,2024-09-07 09:34:11:758,621344,621344,0,0,27996983,0,4246 108,3,2024-09-07 09:34:11:330,1,495,30,0,749,10153,495,0 109,0,2024-09-07 09:34:11:758,127117,0.4,126065,0.6,252558,0.4,337798,1.75 109,1,2024-09-07 09:34:10:587,866530,866530,0,0,407970457949,4282508551,858384,6934,1212,382,392132,0 109,2,2024-09-07 09:34:10:921,625488,625488,0,0,27572179,0,3617 109,3,2024-09-07 09:34:11:140,1,495,19,0,630,6295,495,0 110,0,2024-09-07 09:34:11:755,121970,0.4,118725,0.6,248705,0.4,325778,1.75 110,1,2024-09-07 09:34:11:651,870238,870238,0,0,409050707864,4250743036,863079,5264,1895,368,392045,0 110,2,2024-09-07 09:34:11:310,628410,628410,0,0,26591299,0,4067 110,3,2024-09-07 09:34:10:691,1,495,11,0,722,7100,495,0 111,0,2024-09-07 09:34:11:417,123153,0.3,122478,0.5,245442,0.3,327067,1.75 111,1,2024-09-07 09:34:11:002,871731,871731,0,0,409797171551,4250376757,867300,4075,356,380,391690,0 111,2,2024-09-07 09:34:11:119,625610,625610,0,0,27039166,0,4823 111,3,2024-09-07 09:34:10:913,1,495,1,0,379,5778,495,0 112,0,2024-09-07 09:34:10:937,123576,0.3,122899,0.4,246578,0.2,327032,1.50 112,1,2024-09-07 09:34:10:844,870408,870408,0,0,409656547914,4255234338,864516,5203,689,380,391624,0 112,2,2024-09-07 09:34:11:139,621790,621789,1,0,25678290,0,5036 112,3,2024-09-07 09:34:10:597,1,495,21,0,282,4914,495,0 113,0,2024-09-07 09:34:10:866,126075,0.3,125985,0.5,252267,0.3,336259,1.75 113,1,2024-09-07 09:34:11:689,873173,873173,0,0,410614990981,4238824600,868152,4211,810,365,391661,0 113,2,2024-09-07 09:34:11:307,629922,629922,0,0,23200850,0,3813 113,3,2024-09-07 09:34:10:700,1,495,13,0,340,5359,495,0 114,0,2024-09-07 09:34:10:875,123705,0.3,124593,0.5,247567,0.3,330660,1.75 114,1,2024-09-07 09:34:10:716,870882,870882,0,0,408457347386,4244158157,864107,5159,1616,381,391565,0 114,2,2024-09-07 09:34:10:872,629875,629874,1,0,24875296,0,5069 114,3,2024-09-07 09:34:11:281,1,495,3,0,395,3970,495,0 115,0,2024-09-07 09:34:10:555,124559,0.3,125032,0.4,249380,0.2,331095,1.50 115,1,2024-09-07 09:34:10:578,871085,871085,0,0,409035861335,4253724912,863687,6041,1357,382,391757,0 115,2,2024-09-07 09:34:11:131,628670,628670,0,0,24534491,0,4382 115,3,2024-09-07 09:34:11:006,1,495,1,0,167,2936,495,0 116,0,2024-09-07 09:34:11:698,123216,0.6,123163,0.8,246809,0.6,329856,2.00 116,1,2024-09-07 09:34:10:803,866670,866670,0,0,407410490614,4297779229,856039,8188,2443,380,392089,0 116,2,2024-09-07 09:34:11:756,622289,622289,0,0,31789202,0,4475 116,3,2024-09-07 09:34:10:912,1,495,1,0,415,6251,495,0 117,0,2024-09-07 09:34:10:969,125517,0.8,124953,0.9,250525,1.0,335070,2.00 117,1,2024-09-07 09:34:11:579,867277,867277,0,0,407153103033,4266633517,857311,8741,1225,369,392033,0 117,2,2024-09-07 09:34:11:126,627393,627393,0,0,27556926,0,4303 117,3,2024-09-07 09:34:11:069,1,495,11,0,490,7019,495,0 118,0,2024-09-07 09:34:11:768,121756,0.6,125057,0.7,254949,0.6,333146,2.00 118,1,2024-09-07 09:34:10:589,866951,866951,0,0,407841390350,4292231188,853465,10367,3119,366,392054,0 118,2,2024-09-07 09:34:11:587,626298,626298,0,0,30315692,0,2842 118,3,2024-09-07 09:34:11:770,1,495,1,0,248,5836,495,0 119,0,2024-09-07 09:34:11:383,124158,0.6,124391,0.8,248702,0.6,331506,2.00 119,1,2024-09-07 09:34:10:560,867310,867310,0,0,407552591967,4274698495,855663,9882,1765,367,391857,0 119,2,2024-09-07 09:34:11:261,625992,625992,0,0,28403931,0,4174 119,3,2024-09-07 09:34:11:348,1,495,9,0,1358,9674,495,0 120,0,2024-09-07 09:34:11:572,121972,0.6,121775,0.8,244453,0.6,325970,2.25 120,1,2024-09-07 09:34:10:882,868073,868073,0,0,407434367139,4274417478,858797,8498,778,368,392144,0 120,2,2024-09-07 09:34:10:796,624564,624563,1,0,32791155,0,5281 120,3,2024-09-07 09:34:11:297,1,495,5,0,279,6283,495,0 121,0,2024-09-07 09:34:11:687,124110,1.3,123542,1.1,247643,1.8,330361,2.50 121,1,2024-09-07 09:34:11:658,868579,868579,0,0,408663558905,4274993059,859868,8010,701,367,391840,0 121,2,2024-09-07 09:34:11:140,621551,621551,0,0,29855819,0,4157 121,3,2024-09-07 09:34:10:727,1,495,12,0,330,6089,495,0 122,0,2024-09-07 09:34:11:764,125831,0.9,122458,0.9,256640,1.0,337405,2.25 122,1,2024-09-07 09:34:10:871,866838,866838,0,0,407320483654,4276586792,854506,10450,1882,366,392130,0 122,2,2024-09-07 09:34:11:317,627575,627500,75,0,34366908,0,5989 122,3,2024-09-07 09:34:10:597,1,495,8,0,512,8646,495,0 123,0,2024-09-07 09:34:10:979,122252,0.9,119060,0.9,249436,1.0,326706,2.25 123,1,2024-09-07 09:34:10:558,867200,867200,0,0,407922234312,4296541534,852067,12633,2500,369,392039,0 123,2,2024-09-07 09:34:11:019,625358,625357,1,0,29166337,0,5215 123,3,2024-09-07 09:34:11:141,1,495,2,0,478,5970,495,0 124,0,2024-09-07 09:34:11:068,126026,0.3,126008,0.5,237540,0.3,326688,1.75 124,1,2024-09-07 09:34:11:027,870354,870354,0,0,407695146339,4240732820,863741,5482,1131,365,392178,0 124,2,2024-09-07 09:34:11:071,627487,627434,53,0,26311007,0,6487 124,3,2024-09-07 09:34:10:762,1,495,15,0,490,5154,495,0 125,0,2024-09-07 09:34:11:434,122718,0.4,122417,0.6,245870,0.4,327464,1.75 125,1,2024-09-07 09:34:10:858,868674,868674,0,0,407997860719,4261790553,861715,5978,981,382,391813,0 125,2,2024-09-07 09:34:11:125,623317,623317,0,0,26402888,0,4534 125,3,2024-09-07 09:34:11:126,1,495,13,0,709,6093,495,0 126,0,2024-09-07 09:34:11:489,126867,0.4,130401,0.6,249502,0.4,338042,1.75 126,1,2024-09-07 09:34:10:553,871665,871665,0,0,409551940195,4241317937,867029,4328,308,365,391987,0 126,2,2024-09-07 09:34:10:611,628804,628804,0,0,27004535,0,4539 126,3,2024-09-07 09:34:10:907,1,495,1,0,268,5701,495,0 127,0,2024-09-07 09:34:11:619,122569,0.3,122859,0.5,245133,0.3,325572,1.75 127,1,2024-09-07 09:34:10:570,870127,870127,0,0,408518055112,4253241853,861128,7532,1467,364,392187,0 127,2,2024-09-07 09:34:10:641,627394,627390,4,0,25988723,0,5305 127,3,2024-09-07 09:34:11:270,1,495,60,0,968,5115,495,0 128,0,2024-09-07 09:34:11:537,123823,0.3,123970,0.4,247558,0.2,328863,1.50 128,1,2024-09-07 09:34:11:612,869634,869634,0,0,409519712881,4255422672,863368,5536,730,367,392031,0 128,2,2024-09-07 09:34:11:384,627927,627927,0,0,24254143,0,3171 128,3,2024-09-07 09:34:10:769,1,495,3,0,1082,7942,495,0 129,0,2024-09-07 09:34:10:999,124388,0.3,123842,0.5,248263,0.3,329496,1.50 129,1,2024-09-07 09:34:10:570,867159,867159,0,0,407431240600,4272980023,858150,7065,1944,379,391962,0 129,2,2024-09-07 09:34:10:685,623743,623739,4,0,26205397,0,5335 129,3,2024-09-07 09:34:10:689,1,495,7,0,506,7153,495,0 130,0,2024-09-07 09:34:11:734,126251,0.5,125853,0.6,252672,0.5,336230,1.75 130,1,2024-09-07 09:34:10:583,870346,870346,0,0,408052636510,4241450429,865657,4303,386,381,391825,0 130,2,2024-09-07 09:34:11:130,627733,627733,0,0,26210769,0,4067 130,3,2024-09-07 09:34:11:293,1,495,1,0,960,7208,495,0 131,0,2024-09-07 09:34:11:932,124367,0.4,124767,0.5,250080,0.3,331673,1.75 131,1,2024-09-07 09:34:11:824,869768,869768,0,0,408583070800,4265585643,862932,5645,1191,381,391865,0 131,2,2024-09-07 09:34:10:569,629011,629011,0,0,24305446,0,3979 131,3,2024-09-07 09:34:11:701,1,495,1,0,392,5898,495,0 132,0,2024-09-07 09:34:11:416,124580,0.4,125844,0.6,250265,0.4,332886,2.00 132,1,2024-09-07 09:34:10:586,866088,866088,0,0,406575698279,4281730191,853657,10240,2191,381,392532,0 132,2,2024-09-07 09:34:10:700,625243,625226,17,0,32416661,0,6451 132,3,2024-09-07 09:34:11:688,1,495,2,0,804,8645,495,0 133,0,2024-09-07 09:34:11:521,120207,0.4,123087,0.6,252377,0.4,328579,1.75 133,1,2024-09-07 09:34:10:587,865974,865974,0,0,407276995756,4296202461,853781,10557,1636,383,391914,0 133,2,2024-09-07 09:34:11:088,624867,624817,50,0,32679256,0,6861 133,3,2024-09-07 09:34:11:299,1,495,7,0,479,5671,495,0 134,0,2024-09-07 09:34:10:956,125292,0.6,125138,0.7,250569,0.6,334009,2.25 134,1,2024-09-07 09:34:10:584,867224,867224,0,0,407156228187,4272454145,855870,9208,2146,366,391718,0 134,2,2024-09-07 09:34:11:756,622641,622617,24,0,30661862,0,6207 134,3,2024-09-07 09:34:10:749,1,495,3,0,739,6208,495,0 135,0,2024-09-07 09:34:11:098,121907,0.9,121889,0.9,258672,1.1,334055,2.50 135,1,2024-09-07 09:34:11:585,867219,867219,0,0,408402865155,4298705082,855595,10059,1565,380,391805,0 135,2,2024-09-07 09:34:10:699,627056,627056,0,0,30852353,0,4503 135,3,2024-09-07 09:34:11:002,1,495,1,0,900,5194,495,0 136,0,2024-09-07 09:34:11:625,124149,0.5,124320,0.7,247587,0.5,330375,2.00 136,1,2024-09-07 09:34:11:441,868691,868691,0,0,407337075745,4274199302,858335,9089,1267,381,392135,0 136,2,2024-09-07 09:34:11:139,627828,627813,15,0,30199973,0,6007 136,3,2024-09-07 09:34:11:107,1,495,38,0,637,5725,495,0 137,0,2024-09-07 09:34:10:927,126443,0.5,123254,0.7,241490,0.5,327596,2.00 137,1,2024-09-07 09:34:10:593,867085,867085,0,0,407392290066,4274828499,853668,10747,2670,366,391898,0 137,2,2024-09-07 09:34:11:704,625037,625037,0,0,31656593,0,3185 137,3,2024-09-07 09:34:10:770,1,495,43,0,484,6432,495,0 138,0,2024-09-07 09:34:11:753,122522,0.9,122593,0.9,245948,1.1,326829,2.25 138,1,2024-09-07 09:34:11:686,867491,867491,0,0,407303912756,4276166950,854818,10705,1968,368,391954,0 138,2,2024-09-07 09:34:10:587,621162,621162,0,0,29007643,0,4988 138,3,2024-09-07 09:34:10:610,1,495,3,0,1200,7962,495,0 139,0,2024-09-07 09:34:11:405,125410,1.4,125814,1.1,251753,2.0,336260,2.50 139,1,2024-09-07 09:34:10:571,862995,862995,0,0,405479397599,4305259958,847146,12390,3459,380,392058,0 139,2,2024-09-07 09:34:10:692,623500,623500,0,0,32648443,0,3097 139,3,2024-09-07 09:34:11:669,1,495,16,0,432,5852,495,0 140,0,2024-09-07 09:34:11:587,122780,0.3,121880,0.5,244645,0.2,325985,1.75 140,1,2024-09-07 09:34:11:538,872390,872390,0,0,410119305641,4230616671,867811,4041,538,364,391606,0 140,2,2024-09-07 09:34:10:694,629263,629262,1,0,24848624,0,5036 140,3,2024-09-07 09:34:10:769,1,495,0,0,297,4805,495,0 141,0,2024-09-07 09:34:11:697,123144,0.3,126303,0.4,241126,0.2,326742,1.50 141,1,2024-09-07 09:34:10:859,871208,871208,0,0,409490795796,4255886913,864245,5878,1085,379,391614,0 141,2,2024-09-07 09:34:11:686,626586,626575,11,0,26364903,0,5369 141,3,2024-09-07 09:34:11:047,1,495,15,0,391,5493,495,0 142,0,2024-09-07 09:34:11:309,123620,0.3,122733,0.5,246164,0.3,327775,1.50 142,1,2024-09-07 09:34:10:594,869155,869155,0,0,408588366200,4260650522,863531,5165,459,382,392102,0 142,2,2024-09-07 09:34:11:298,621672,621640,32,0,26663216,0,6028 142,3,2024-09-07 09:34:11:749,1,495,1,0,484,5329,495,0 143,0,2024-09-07 09:34:11:370,125989,0.4,125983,0.6,252449,0.4,336320,1.75 143,1,2024-09-07 09:34:10:564,871152,871152,0,0,409355853922,4250892426,865085,5513,554,367,391722,0 143,2,2024-09-07 09:34:10:769,628636,628636,0,0,26248650,0,3123 143,3,2024-09-07 09:34:11:142,1,495,0,0,462,6404,495,0 144,0,2024-09-07 09:34:11:503,119535,0.7,122936,0.8,250354,0.7,327680,2.25 144,1,2024-09-07 09:34:10:580,866918,866918,0,0,406261714975,4266941323,857651,7305,1962,381,391733,0 144,2,2024-09-07 09:34:11:756,628960,628960,0,0,25009136,0,3673 144,3,2024-09-07 09:34:11:746,1,495,53,0,249,4948,495,0 145,0,2024-09-07 09:34:11:362,120141,0.5,120091,0.8,254845,0.5,327877,2.25 145,1,2024-09-07 09:34:10:555,866074,866074,0,0,407326768839,4292640709,853728,9882,2464,382,391759,0 145,2,2024-09-07 09:34:11:429,623369,623287,82,0,31204302,0,7814 145,3,2024-09-07 09:34:10:896,1,495,12,0,622,6890,495,0 146,0,2024-09-07 09:34:11:598,123629,0.6,123138,0.7,247193,0.5,328208,2.00 146,1,2024-09-07 09:34:11:590,867311,867311,0,0,407113468584,4285413966,852897,10616,3798,367,391770,0 146,2,2024-09-07 09:34:11:712,621868,621862,6,0,29253845,0,5151 146,3,2024-09-07 09:34:11:277,1,495,1,0,1520,8753,495,0 147,0,2024-09-07 09:34:11:697,125558,0.7,125247,0.8,250002,0.7,334511,2.25 147,1,2024-09-07 09:34:11:372,870692,870692,0,0,409251872679,4254367755,863665,6175,852,367,391791,0 147,2,2024-09-07 09:34:11:014,625033,625033,0,0,26123082,0,2968 147,3,2024-09-07 09:34:10:913,1,495,1,0,1626,8303,495,0 0,0,2024-09-07 09:34:21:708,119311,0.6,119307,0.7,253296,0.6,327136,2.00 0,1,2024-09-07 09:34:20:801,869662,869662,0,0,408244068453,4278442451,862177,6646,839,369,391896,0 0,2,2024-09-07 09:34:21:086,628250,628250,0,0,26235373,0,4480 0,3,2024-09-07 09:34:20:983,1,496,25,0,431,7076,496,0 1,0,2024-09-07 09:34:21:754,124381,1.0,123850,1.0,248278,1.4,332541,2.25 1,1,2024-09-07 09:34:20:580,868974,868974,0,0,407249876353,4270085689,860523,6985,1466,370,391859,0 1,2,2024-09-07 09:34:20:647,624633,624633,0,0,25429916,0,3380 1,3,2024-09-07 09:34:21:302,1,496,8,0,269,6394,496,0 2,0,2024-09-07 09:34:21:566,126304,0.5,126324,0.7,252457,0.6,336533,2.00 2,1,2024-09-07 09:34:20:864,871995,871995,0,0,409694218869,4258136786,867465,3728,802,380,391745,0 2,2,2024-09-07 09:34:21:270,629899,629899,0,0,23864558,0,3594 2,3,2024-09-07 09:34:20:705,1,496,0,0,357,4638,496,0 3,0,2024-09-07 09:34:21:744,123033,0.4,123017,0.6,245524,0.4,327687,2.00 3,1,2024-09-07 09:34:21:621,870321,870321,0,0,408959555006,4260837452,862876,6743,702,379,391716,0 3,2,2024-09-07 09:34:21:148,629265,629242,23,0,25391388,0,5851 3,3,2024-09-07 09:34:21:752,1,496,2,0,207,3131,496,0 4,0,2024-09-07 09:34:21:801,118933,0.4,122210,0.5,249277,0.3,325869,1.75 4,1,2024-09-07 09:34:20:616,866819,866819,0,0,406597713809,4312525090,850599,12537,3683,370,391992,0 4,2,2024-09-07 09:34:21:021,624724,624724,0,0,31214514,0,4534 4,3,2024-09-07 09:34:21:037,1,496,1,0,448,6529,496,0 5,0,2024-09-07 09:34:21:427,122807,0.4,123464,0.6,246255,0.4,327795,1.75 5,1,2024-09-07 09:34:20:779,868262,868262,0,0,407998296551,4298959759,855561,10288,2413,367,392005,0 5,2,2024-09-07 09:34:21:835,620377,620377,0,0,29933109,0,3582 5,3,2024-09-07 09:34:21:735,1,496,1,0,457,6944,496,0 6,0,2024-09-07 09:34:20:944,127204,0.5,126656,0.7,253728,0.5,338785,2.00 6,1,2024-09-07 09:34:20:748,870397,870397,0,0,407829508619,4264247578,860882,8059,1456,379,391702,0 6,2,2024-09-07 09:34:21:120,628663,628645,18,0,29302542,0,5535 6,3,2024-09-07 09:34:21:274,1,496,1,0,710,6173,496,0 7,0,2024-09-07 09:34:21:534,121565,0.6,122533,0.7,243859,0.5,324541,2.00 7,1,2024-09-07 09:34:20:849,868512,868512,0,0,408004115164,4290405741,855593,10688,2231,382,391747,0 7,2,2024-09-07 09:34:20:786,629501,629501,0,0,28434538,0,4791 7,3,2024-09-07 09:34:20:857,1,496,130,0,552,5848,496,0 8,0,2024-09-07 09:34:21:350,123704,0.3,123381,0.5,247405,0.3,329113,1.75 8,1,2024-09-07 09:34:21:016,867489,867489,0,0,407098666218,4284571485,853319,11044,3126,366,392853,0 8,2,2024-09-07 09:34:20:795,622818,622816,2,0,33915295,0,5112 8,3,2024-09-07 09:34:20:607,1,496,9,0,538,7670,496,0 9,0,2024-09-07 09:34:21:122,123456,0.4,120149,0.5,251481,0.3,329007,1.75 9,1,2024-09-07 09:34:20:611,867161,867161,0,0,408029492985,4301475530,853766,10748,2647,369,392001,0 9,2,2024-09-07 09:34:21:096,622571,622570,1,0,30588030,0,5281 9,3,2024-09-07 09:34:21:755,1,496,15,0,1273,8551,496,0 10,0,2024-09-07 09:34:21:604,125811,0.4,125429,0.5,251708,0.3,335321,1.75 10,1,2024-09-07 09:34:20:590,869978,869978,0,0,407449800856,4273469931,857652,10236,2090,381,391741,0 10,2,2024-09-07 09:34:20:774,627840,627840,0,0,33211412,0,4264 10,3,2024-09-07 09:34:20:878,1,496,1,0,649,5258,496,0 11,0,2024-09-07 09:34:21:030,124215,0.5,120520,0.7,251839,0.5,331720,1.75 11,1,2024-09-07 09:34:20:573,869976,869976,0,0,409140193986,4295038482,856501,10013,3462,383,391756,0 11,2,2024-09-07 09:34:21:126,628324,628324,0,0,29241380,0,4130 11,3,2024-09-07 09:34:21:298,1,496,2,0,843,6862,496,0 12,0,2024-09-07 09:34:20:956,125601,0.4,125642,0.5,251288,0.3,333834,1.75 12,1,2024-09-07 09:34:20:940,869811,869811,0,0,408179101222,4261909846,862162,6772,877,370,391960,0 12,2,2024-09-07 09:34:21:541,626747,626747,0,0,28943380,0,4390 12,3,2024-09-07 09:34:21:067,1,496,57,0,386,6887,496,0 13,0,2024-09-07 09:34:21:362,124593,0.4,124260,0.6,247955,0.4,330702,1.75 13,1,2024-09-07 09:34:21:539,868402,868402,0,0,408093051933,4293115791,858798,7311,2293,382,391740,0 13,2,2024-09-07 09:34:20:608,627299,627299,0,0,26069151,0,3287 13,3,2024-09-07 09:34:21:774,1,496,14,0,522,7115,496,0 14,0,2024-09-07 09:34:20:579,125211,0.4,126284,0.6,250411,0.4,333702,1.75 14,1,2024-09-07 09:34:21:595,873970,873970,0,0,410692727576,4252681396,867094,6149,727,364,391673,0 14,2,2024-09-07 09:34:20:767,625970,625940,30,0,27312009,0,6104 14,3,2024-09-07 09:34:21:122,1,496,8,0,1168,5800,496,0 15,0,2024-09-07 09:34:21:558,125963,0.4,125576,0.7,252181,0.4,336080,2.00 15,1,2024-09-07 09:34:21:613,870964,870964,0,0,409112905815,4264012320,863344,6135,1485,381,391619,0 15,2,2024-09-07 09:34:21:005,630581,630581,0,0,23008596,0,3622 15,3,2024-09-07 09:34:21:413,1,496,1,0,1126,7267,496,0 16,0,2024-09-07 09:34:20:975,123912,0.5,124561,0.7,248071,0.5,330433,2.00 16,1,2024-09-07 09:34:20:606,871260,871260,0,0,408482149872,4274168369,862963,6999,1298,370,391917,0 16,2,2024-09-07 09:34:21:436,627602,627602,0,0,27175085,0,4719 16,3,2024-09-07 09:34:21:143,1,496,1,0,358,6464,496,0 17,0,2024-09-07 09:34:21:802,126519,0.6,123431,0.7,241651,0.6,327785,2.00 17,1,2024-09-07 09:34:20:582,869280,869280,0,0,408157643361,4284604636,860255,7279,1746,368,392075,0 17,2,2024-09-07 09:34:21:668,629778,629777,1,0,27763931,0,5050 17,3,2024-09-07 09:34:20:581,1,496,26,0,518,7650,496,0 18,0,2024-09-07 09:34:20:949,122075,0.6,122740,0.8,244553,0.7,326717,2.25 18,1,2024-09-07 09:34:21:639,873224,873224,0,0,409997160370,4253778067,867630,4737,857,367,391725,0 18,2,2024-09-07 09:34:21:755,625963,625963,0,0,24595602,0,3541 18,3,2024-09-07 09:34:20:898,1,496,26,0,1059,4868,496,0 19,0,2024-09-07 09:34:21:545,126361,0.6,126756,0.8,251882,0.6,335990,2.25 19,1,2024-09-07 09:34:20:578,872603,872603,0,0,409647439646,4250893396,865664,5855,1084,367,391777,0 19,2,2024-09-07 09:34:21:762,631754,631754,0,0,23096538,0,3988 19,3,2024-09-07 09:34:21:137,1,496,20,0,524,4115,496,0 20,0,2024-09-07 09:34:21:435,122158,0.5,122284,0.7,244234,0.5,325705,2.00 20,1,2024-09-07 09:34:20:591,869797,869797,0,0,408322301862,4280937399,860668,7767,1362,369,391922,0 20,2,2024-09-07 09:34:20:940,628350,628350,0,0,28242293,0,3721 20,3,2024-09-07 09:34:20:599,1,496,2,0,468,8805,496,0 21,0,2024-09-07 09:34:21:127,122801,0.4,122941,0.6,245780,0.4,325930,1.75 21,1,2024-09-07 09:34:21:541,867661,867661,0,0,407670038413,4306803596,853525,11019,3117,368,392016,0 21,2,2024-09-07 09:34:21:068,624424,624404,20,0,33208762,0,5617 21,3,2024-09-07 09:34:21:403,1,496,11,0,713,6646,496,0 22,0,2024-09-07 09:34:21:720,122900,0.5,123249,0.7,246196,0.4,326276,2.00 22,1,2024-09-07 09:34:21:033,868499,868499,0,0,408218639093,4302395153,853368,11907,3224,382,391822,0 22,2,2024-09-07 09:34:20:766,621564,621538,26,0,27767053,0,6328 22,3,2024-09-07 09:34:21:069,1,496,1,0,228,4197,496,0 23,0,2024-09-07 09:34:21:371,126052,0.5,125528,0.7,251402,0.5,335775,2.25 23,1,2024-09-07 09:34:21:003,869733,869733,0,0,408858585474,4296615546,854078,11247,4408,365,391690,0 23,2,2024-09-07 09:34:21:103,629928,629928,0,0,26520889,0,3773 23,3,2024-09-07 09:34:21:755,1,496,3,0,720,6693,496,0 24,0,2024-09-07 09:34:20:832,124524,0.4,123662,0.6,248631,0.4,329821,1.75 24,1,2024-09-07 09:34:20:590,868896,868896,0,0,407913640276,4275018096,859953,7271,1672,367,392269,0 24,2,2024-09-07 09:34:21:070,628932,628932,0,0,31475170,0,4438 24,3,2024-09-07 09:34:21:685,1,496,1,0,468,6451,496,0 25,0,2024-09-07 09:34:21:342,127679,0.4,124416,0.6,243973,0.4,331969,1.75 25,1,2024-09-07 09:34:20:574,867698,867698,0,0,407802858434,4305677259,852840,11889,2969,369,391928,0 25,2,2024-09-07 09:34:21:608,625776,625776,0,0,32560727,0,3978 25,3,2024-09-07 09:34:21:003,1,496,1,0,532,5558,496,0 26,0,2024-09-07 09:34:21:732,123441,0.4,120781,0.6,253246,0.4,329508,1.75 26,1,2024-09-07 09:34:21:547,870814,870814,0,0,408242297083,4279482300,857986,10377,2451,380,391748,0 26,2,2024-09-07 09:34:20:870,623834,623834,0,0,34334289,0,4689 26,3,2024-09-07 09:34:21:717,1,496,2,0,796,5672,496,0 27,0,2024-09-07 09:34:21:725,125993,0.5,126216,0.6,251061,0.4,335517,2.25 27,1,2024-09-07 09:34:21:678,871889,871889,0,0,409691329693,4270552551,863341,7281,1267,381,391626,0 27,2,2024-09-07 09:34:20:875,624590,624525,65,0,29947445,0,5699 27,3,2024-09-07 09:34:21:020,1,496,5,0,564,4470,496,0 28,0,2024-09-07 09:34:21:397,125950,0.4,125530,0.6,251958,0.4,335353,2.00 28,1,2024-09-07 09:34:20:801,871841,871841,0,0,409655315322,4274770752,864072,6055,1714,382,391698,0 28,2,2024-09-07 09:34:21:763,629161,629161,0,0,26243313,0,2915 28,3,2024-09-07 09:34:21:776,1,496,44,0,502,5134,496,0 29,0,2024-09-07 09:34:21:367,128109,0.4,124846,0.6,244726,0.3,333974,1.75 29,1,2024-09-07 09:34:21:561,874414,874414,0,0,409930473495,4242982640,868988,4667,759,368,391809,0 29,2,2024-09-07 09:34:20:874,626982,626982,0,0,25500355,0,4986 29,3,2024-09-07 09:34:20:966,1,496,30,0,459,5653,496,0 30,0,2024-09-07 09:34:21:456,122581,0.5,119084,0.7,249357,0.4,326136,2.00 30,1,2024-09-07 09:34:20:595,873086,873086,0,0,410086069121,4258649822,866363,5873,850,380,391672,0 30,2,2024-09-07 09:34:21:273,627565,627565,0,0,24873684,0,4192 30,3,2024-09-07 09:34:20:591,1,496,4,0,519,4934,496,0 31,0,2024-09-07 09:34:21:758,124277,0.5,124480,0.7,248872,0.4,332720,2.00 31,1,2024-09-07 09:34:20:574,875996,875996,0,0,412084382800,4233677321,870674,4311,1011,356,391712,0 31,2,2024-09-07 09:34:21:273,623694,623694,0,0,27281437,0,4470 31,3,2024-09-07 09:34:21:706,1,496,1,0,220,4201,496,0 32,0,2024-09-07 09:34:21:432,126755,0.3,127465,0.5,253797,0.3,338333,1.75 32,1,2024-09-07 09:34:20:807,872184,872184,0,0,410102701212,4265087488,866643,4834,707,381,391646,0 32,2,2024-09-07 09:34:20:957,630189,630189,0,0,23669840,0,3922 32,3,2024-09-07 09:34:21:015,1,496,112,0,304,4109,496,0 33,0,2024-09-07 09:34:21:492,123630,0.3,123282,0.4,246590,0.2,328774,1.50 33,1,2024-09-07 09:34:20:581,873516,873516,0,0,410326260115,4254365071,866298,6046,1172,368,391730,0 33,2,2024-09-07 09:34:20:762,629728,629693,35,0,26239093,0,7012 33,3,2024-09-07 09:34:20:899,1,496,8,0,329,4790,496,0 34,0,2024-09-07 09:34:20:967,122909,0.3,126325,0.4,241453,0.2,325154,1.75 34,1,2024-09-07 09:34:21:053,875136,875136,0,0,411302606090,4232235579,872629,2485,22,367,391562,0 34,2,2024-09-07 09:34:20:770,629177,629177,0,0,24871567,0,4562 34,3,2024-09-07 09:34:21:687,1,496,1,0,320,3969,496,0 35,0,2024-09-07 09:34:20:865,122209,0.3,122936,0.5,246990,0.3,328549,1.75 35,1,2024-09-07 09:34:21:068,872504,872504,0,0,410247988555,4257706968,866273,5134,1097,382,391769,0 35,2,2024-09-07 09:34:21:583,622870,622870,0,0,27081369,0,4055 35,3,2024-09-07 09:34:20:913,1,496,1,0,418,4788,496,0 36,0,2024-09-07 09:34:21:514,127371,0.5,127380,0.7,254574,0.5,339389,2.25 36,1,2024-09-07 09:34:20:587,871182,871182,0,0,408006595389,4267606089,858910,10054,2218,366,391759,0 36,2,2024-09-07 09:34:21:754,629465,629465,0,0,28876376,0,3875 36,3,2024-09-07 09:34:20:884,1,496,4,0,416,7121,496,0 37,0,2024-09-07 09:34:21:373,121819,0.5,121807,0.7,243960,0.5,324969,2.25 37,1,2024-09-07 09:34:20:576,869979,869972,0,7,408507689927,4270793930,858903,8396,2673,365,391770,0 37,2,2024-09-07 09:34:21:144,627625,627610,15,0,29295261,0,5815 37,3,2024-09-07 09:34:21:766,1,496,10,0,888,7180,496,0 38,0,2024-09-07 09:34:21:472,122806,0.4,119136,0.6,249278,0.4,326301,2.00 38,1,2024-09-07 09:34:21:605,871507,871507,0,0,409498774072,4286571437,858286,10702,2519,368,391821,0 38,2,2024-09-07 09:34:20:766,626133,626086,47,0,29446869,0,6710 38,3,2024-09-07 09:34:21:002,1,496,0,0,689,6524,496,0 39,0,2024-09-07 09:34:21:765,126384,0.5,123622,0.7,240958,0.5,328300,2.00 39,1,2024-09-07 09:34:20:724,869729,869729,0,0,408061426942,4287360249,853966,12294,3469,365,391658,0 39,2,2024-09-07 09:34:21:417,623953,623953,0,0,27063556,0,3391 39,3,2024-09-07 09:34:20:713,1,496,9,0,525,5942,496,0 40,0,2024-09-07 09:34:21:490,124504,0.9,125281,1.0,249975,1.0,333526,2.75 40,1,2024-09-07 09:34:20:583,871667,871667,0,0,408166268989,4273694680,859664,9825,2178,368,391668,0 40,2,2024-09-07 09:34:21:303,626616,626615,1,0,32513738,0,5137 40,3,2024-09-07 09:34:21:147,1,496,1,0,1028,7258,496,0 41,0,2024-09-07 09:34:21:026,123538,1.4,126170,1.2,240951,2.0,327659,3.25 41,1,2024-09-07 09:34:20:779,869360,869360,0,0,408222829133,4276526291,857500,9927,1933,369,391742,0 41,2,2024-09-07 09:34:20:761,626482,626481,1,0,31237414,0,5408 41,3,2024-09-07 09:34:21:676,1,496,29,0,366,5253,496,0 42,0,2024-09-07 09:34:21:473,124175,0.8,123895,1.0,248039,0.9,328621,2.50 42,1,2024-09-07 09:34:21:438,867470,867470,0,0,407746697564,4292899220,852470,12092,2908,380,391675,0 42,2,2024-09-07 09:34:21:133,626349,626349,0,0,29998504,0,3975 42,3,2024-09-07 09:34:21:011,1,496,1,0,892,4660,496,0 43,0,2024-09-07 09:34:20:922,122638,0.7,119428,0.9,249783,0.7,327891,2.25 43,1,2024-09-07 09:34:20:578,870197,870197,0,0,408976149316,4278441800,857332,10606,2259,366,391696,0 43,2,2024-09-07 09:34:21:736,626089,626089,0,0,29923937,0,4723 43,3,2024-09-07 09:34:21:751,1,496,1,0,571,7181,496,0 44,0,2024-09-07 09:34:20:860,125378,0.4,125474,0.6,251321,0.4,334170,2.00 44,1,2024-09-07 09:34:20:566,873209,873209,0,0,409982089097,4240461345,865418,6204,1587,356,391809,0 44,2,2024-09-07 09:34:21:270,624413,624413,0,0,24627487,0,4344 44,3,2024-09-07 09:34:21:094,1,496,5,0,817,5485,496,0 45,0,2024-09-07 09:34:21:758,124792,0.5,121951,0.7,255594,0.5,336559,2.00 45,1,2024-09-07 09:34:21:013,871984,871984,0,0,410324757987,4268319744,864477,6631,876,382,391917,0 45,2,2024-09-07 09:34:21:269,630224,630224,0,0,24780311,0,3596 45,3,2024-09-07 09:34:20:944,1,496,12,0,271,4450,496,0 46,0,2024-09-07 09:34:20:961,123434,0.5,122889,0.7,246598,0.4,327719,2.00 46,1,2024-09-07 09:34:20:583,873920,873920,0,0,410189632102,4242037094,867572,5485,863,366,391709,0 46,2,2024-09-07 09:34:20:603,628423,628423,0,0,25176759,0,4443 46,3,2024-09-07 09:34:21:136,1,496,11,0,908,5920,496,0 47,0,2024-09-07 09:34:21:103,122851,0.4,123174,0.6,246748,0.3,326671,1.75 47,1,2024-09-07 09:34:20:574,874032,874032,0,0,410233648916,4240139848,868823,4370,839,366,391641,0 47,2,2024-09-07 09:34:20:914,630243,630243,0,0,25073063,0,4477 47,3,2024-09-07 09:34:21:122,1,496,16,0,600,5764,496,0 48,0,2024-09-07 09:34:21:500,123826,0.3,123751,0.4,246506,0.2,328820,1.50 48,1,2024-09-07 09:34:21:025,872331,872331,0,0,409644588195,4258637843,866585,5174,572,384,391710,0 48,2,2024-09-07 09:34:20:701,624255,624255,0,0,22978069,0,3411 48,3,2024-09-07 09:34:20:758,1,496,107,0,339,3996,496,0 49,0,2024-09-07 09:34:21:737,130135,0.4,127831,0.5,248307,0.3,339899,1.75 49,1,2024-09-07 09:34:21:026,871856,871856,0,0,409164111070,4256516277,866295,4351,1210,382,391809,0 49,2,2024-09-07 09:34:21:799,630235,630235,0,0,25473043,0,4426 49,3,2024-09-07 09:34:21:418,1,496,3,0,992,5740,496,0 50,0,2024-09-07 09:34:21:505,122967,0.3,121244,0.5,244273,0.2,325613,1.75 50,1,2024-09-07 09:34:21:015,874717,874717,0,0,411408061705,4255156382,869012,5042,663,368,391565,0 50,2,2024-09-07 09:34:21:068,629026,629026,0,0,23473373,0,4490 50,3,2024-09-07 09:34:21:291,1,496,2,0,617,5400,496,0 51,0,2024-09-07 09:34:21:682,125978,0.3,123425,0.4,240289,0.2,327429,1.75 51,1,2024-09-07 09:34:21:680,875441,875441,0,0,411112488962,4240663584,870907,3480,1054,365,391706,0 51,2,2024-09-07 09:34:21:315,628294,628294,0,0,22678336,0,3337 51,3,2024-09-07 09:34:21:032,1,496,2,0,678,3743,496,0 52,0,2024-09-07 09:34:21:424,123406,0.5,123110,0.7,246443,0.5,327139,2.00 52,1,2024-09-07 09:34:20:582,870770,870770,0,0,408622056173,4283193768,857547,11118,2105,368,391722,0 52,2,2024-09-07 09:34:21:755,619770,619732,38,0,30175053,0,6742 52,3,2024-09-07 09:34:20:680,1,496,1,0,1782,6575,496,0 53,0,2024-09-07 09:34:21:739,125633,0.7,121965,0.8,255191,0.8,335444,2.50 53,1,2024-09-07 09:34:20:777,868799,868799,0,0,408834348499,4296562072,853452,11503,3844,367,391968,0 53,2,2024-09-07 09:34:21:297,629090,629089,1,0,27149137,0,5455 53,3,2024-09-07 09:34:20:700,1,496,30,0,308,5115,496,0 54,0,2024-09-07 09:34:21:617,121509,0.6,121847,0.8,242699,0.5,323665,2.25 54,1,2024-09-07 09:34:20:584,871244,871244,0,0,410064400133,4270497780,861180,8430,1634,366,391810,0 54,2,2024-09-07 09:34:20:870,629570,629538,32,0,31028566,0,6397 54,3,2024-09-07 09:34:20:767,1,496,1,0,676,6906,496,0 55,0,2024-09-07 09:34:21:759,119981,0.6,123737,0.7,250639,0.5,326360,2.50 55,1,2024-09-07 09:34:20:795,872522,872522,0,0,409431474875,4261721620,862880,8324,1318,365,391731,0 55,2,2024-09-07 09:34:20:733,626777,626721,56,0,29417025,0,7239 55,3,2024-09-07 09:34:20:674,1,496,2,0,304,4809,496,0 56,0,2024-09-07 09:34:21:566,126501,1.1,119174,1.1,245699,1.5,328879,2.75 56,1,2024-09-07 09:34:20:578,867313,867313,0,0,407557060471,4308475944,853217,11198,2898,381,391867,0 56,2,2024-09-07 09:34:21:314,624000,623878,122,0,30504435,0,7432 56,3,2024-09-07 09:34:21:067,1,496,1,0,705,6165,496,0 57,0,2024-09-07 09:34:20:957,123908,1.7,123755,1.3,248044,2.4,331855,3.50 57,1,2024-09-07 09:34:20:996,868906,868906,0,0,407734383875,4283393039,856742,9881,2283,366,392032,0 57,2,2024-09-07 09:34:21:319,626407,626407,0,0,31326427,0,4317 57,3,2024-09-07 09:34:21:748,1,496,14,0,455,5707,496,0 58,0,2024-09-07 09:34:20:563,123653,1.0,120066,1.0,251252,1.3,328755,2.75 58,1,2024-09-07 09:34:20:581,870078,870075,0,3,409647505600,4298039532,856292,10464,3319,367,391603,3 58,2,2024-09-07 09:34:21:078,628513,628513,0,0,29754096,0,3483 58,3,2024-09-07 09:34:21:072,1,496,4,0,1043,5611,496,0 59,0,2024-09-07 09:34:21:738,124136,0.8,123889,1.0,247272,0.9,328298,2.75 59,1,2024-09-07 09:34:20:807,868969,868969,0,0,408385763035,4294193925,854249,11582,3138,369,391653,0 59,2,2024-09-07 09:34:20:590,626670,626670,0,0,28512735,0,3727 59,3,2024-09-07 09:34:21:741,1,496,10,0,1015,6354,496,0 60,0,2024-09-07 09:34:21:765,122705,0.5,122989,0.7,246038,0.5,326945,1.75 60,1,2024-09-07 09:34:20:793,873324,873324,0,0,410092715504,4258373621,867259,5135,930,370,392031,0 60,2,2024-09-07 09:34:21:145,627155,627155,0,0,27034840,0,3811 60,3,2024-09-07 09:34:21:273,1,496,12,0,409,6044,496,0 61,0,2024-09-07 09:34:21:520,124279,0.8,124900,0.8,248738,0.8,331935,2.00 61,1,2024-09-07 09:34:20:798,870370,870370,0,0,408712766514,4283780279,860528,8262,1580,382,392127,0 61,2,2024-09-07 09:34:21:124,624620,624553,67,0,27598576,0,6411 61,3,2024-09-07 09:34:21:687,1,496,1,0,479,6675,496,0 62,0,2024-09-07 09:34:21:707,127105,0.5,130364,0.7,248790,0.5,337911,2.00 62,1,2024-09-07 09:34:21:113,875395,875389,0,6,411367706399,4241758603,871264,3845,280,365,391975,6 62,2,2024-09-07 09:34:21:652,627023,627022,1,0,27040964,0,5555 62,3,2024-09-07 09:34:21:144,1,496,1,0,482,4102,496,0 63,0,2024-09-07 09:34:21:468,123556,0.4,123310,0.6,247532,0.4,329057,1.75 63,1,2024-09-07 09:34:20:809,872993,872987,0,6,410736518922,4265366885,867483,4770,734,381,391800,6 63,2,2024-09-07 09:34:20:762,628592,628592,0,0,26076006,0,4369 63,3,2024-09-07 09:34:21:734,1,496,4,0,667,5367,496,0 64,0,2024-09-07 09:34:21:520,122239,0.5,122278,0.6,244071,0.4,324423,2.00 64,1,2024-09-07 09:34:20:772,872426,872426,0,0,409635903796,4269274809,864544,6112,1770,370,391783,0 64,2,2024-09-07 09:34:21:146,631725,631706,19,0,25074922,0,6121 64,3,2024-09-07 09:34:21:144,1,496,1,0,651,5363,496,0 65,0,2024-09-07 09:34:21:676,122108,0.6,122346,0.8,244188,0.7,325920,2.00 65,1,2024-09-07 09:34:20:862,870266,870266,0,0,408816309737,4276042815,863555,5917,794,381,391901,0 65,2,2024-09-07 09:34:21:697,623163,623163,0,0,29217647,0,3367 65,3,2024-09-07 09:34:21:683,1,496,11,0,782,6062,496,0 66,0,2024-09-07 09:34:21:763,126654,0.6,126204,0.8,252591,0.5,336762,2.25 66,1,2024-09-07 09:34:21:293,872300,872300,0,0,410203630168,4272368546,866168,5410,722,380,391743,0 66,2,2024-09-07 09:34:21:135,631278,631275,3,0,26715526,0,5455 66,3,2024-09-07 09:34:21:079,1,496,1,0,291,4468,496,0 67,0,2024-09-07 09:34:21:459,122222,0.6,121878,0.7,244747,0.5,325148,2.25 67,1,2024-09-07 09:34:20:772,872264,872263,0,1,409438264438,4265343752,866008,5442,813,380,391787,1 67,2,2024-09-07 09:34:20:587,631755,631740,15,0,26009084,0,6205 67,3,2024-09-07 09:34:21:750,1,496,1,0,392,4845,496,0 68,0,2024-09-07 09:34:20:585,123650,0.5,123593,0.7,246128,0.5,328732,2.00 68,1,2024-09-07 09:34:20:577,868736,868736,0,0,408019034004,4295219511,856533,8804,3399,381,391953,0 68,2,2024-09-07 09:34:21:044,623475,623375,100,0,33819741,0,8578 68,3,2024-09-07 09:34:20:737,1,496,1,0,417,6476,496,0 69,0,2024-09-07 09:34:21:743,122806,0.7,123619,0.8,246264,0.7,326552,2.25 69,1,2024-09-07 09:34:21:022,867878,867878,0,0,407285809421,4297322547,855796,9323,2759,384,391994,0 69,2,2024-09-07 09:34:21:742,622174,622145,29,0,34433386,0,6912 69,3,2024-09-07 09:34:20:779,1,496,2,0,698,7523,496,0 70,0,2024-09-07 09:34:21:538,124406,1.1,124807,1.1,250997,1.0,332366,2.50 70,1,2024-09-07 09:34:20:800,872937,872937,0,0,410951862173,4268014764,865686,6489,762,366,391725,0 70,2,2024-09-07 09:34:21:325,628139,628139,0,0,29260192,0,4323 70,3,2024-09-07 09:34:20:758,1,496,1,0,854,5661,496,0 71,0,2024-09-07 09:34:21:356,122925,1.2,122637,1.1,246505,1.8,328780,3.00 71,1,2024-09-07 09:34:21:610,870497,870497,0,0,409177109938,4276905618,859109,10133,1255,368,391738,0 71,2,2024-09-07 09:34:21:068,628196,628196,0,0,30025183,0,4352 71,3,2024-09-07 09:34:21:750,1,496,1,0,644,6054,496,0 72,0,2024-09-07 09:34:21:046,128858,0.5,126024,0.7,245805,0.5,334063,2.00 72,1,2024-09-07 09:34:21:028,869013,869013,0,0,408116454011,4287837055,855639,11093,2281,369,391819,0 72,2,2024-09-07 09:34:21:755,625841,625841,0,0,31263619,0,3983 72,3,2024-09-07 09:34:21:764,1,496,9,0,564,7347,496,0 73,0,2024-09-07 09:34:21:108,120505,0.4,123767,0.6,252694,0.4,328747,2.00 73,1,2024-09-07 09:34:20:776,871904,871904,0,0,409382015868,4254499556,865680,5800,424,367,391858,0 73,2,2024-09-07 09:34:21:745,625131,625131,0,0,31705858,0,4728 73,3,2024-09-07 09:34:20:977,1,496,8,0,486,6348,496,0 74,0,2024-09-07 09:34:21:327,126186,0.5,129138,0.7,246571,0.5,334528,2.25 74,1,2024-09-07 09:34:20:634,870694,870694,0,0,408510201001,4271000629,860255,8516,1923,381,391762,0 74,2,2024-09-07 09:34:21:010,625043,625043,0,0,27611115,0,4253 74,3,2024-09-07 09:34:21:442,1,496,1,0,522,6117,496,0 75,0,2024-09-07 09:34:21:768,126600,0.6,125798,0.8,252396,0.6,337666,2.25 75,1,2024-09-07 09:34:21:585,871515,871515,0,0,408701650865,4265392206,863637,7018,860,380,391739,0 75,2,2024-09-07 09:34:21:352,627524,627524,0,0,32902446,0,4766 75,3,2024-09-07 09:34:21:075,1,496,2,0,702,6094,496,0 76,0,2024-09-07 09:34:20:583,123289,0.5,122673,0.7,245970,0.5,329249,2.25 76,1,2024-09-07 09:34:20:807,870597,870597,0,0,408908318209,4266955741,864388,5308,901,382,391692,0 76,2,2024-09-07 09:34:21:071,630110,630107,3,0,27908468,0,5265 76,3,2024-09-07 09:34:21:143,1,496,1,0,227,4653,496,0 77,0,2024-09-07 09:34:21:805,122274,0.5,122773,0.7,245725,0.5,325715,2.00 77,1,2024-09-07 09:34:20:831,871442,871442,0,0,408902704700,4268516255,864619,6232,591,381,391869,0 77,2,2024-09-07 09:34:21:281,627344,627344,0,0,27296387,0,3890 77,3,2024-09-07 09:34:21:102,1,496,1,0,401,5383,496,0 78,0,2024-09-07 09:34:21:718,123554,0.4,123003,0.6,246860,0.4,327320,2.00 78,1,2024-09-07 09:34:20:609,870968,870968,0,0,408106652833,4260394396,860270,8174,2524,367,391670,0 78,2,2024-09-07 09:34:21:405,624864,624851,13,0,25231509,0,8313 78,3,2024-09-07 09:34:21:134,1,496,1,0,311,4399,496,0 79,0,2024-09-07 09:34:21:352,122490,0.4,125616,0.6,256930,0.4,334684,2.25 79,1,2024-09-07 09:34:20:578,873869,873869,0,0,410216521493,4253900593,866257,6256,1356,367,391682,0 79,2,2024-09-07 09:34:21:068,629721,629721,0,0,24561916,0,4195 79,3,2024-09-07 09:34:20:760,1,496,0,0,418,6218,496,0 80,0,2024-09-07 09:34:21:080,122086,0.5,125359,0.7,240165,0.5,324925,2.00 80,1,2024-09-07 09:34:21:639,871086,871086,0,0,409772964299,4267570429,865289,5374,423,368,392269,0 80,2,2024-09-07 09:34:21:100,631097,631097,0,0,24956220,0,4433 80,3,2024-09-07 09:34:20:580,1,496,4,0,296,6039,496,0 81,0,2024-09-07 09:34:21:559,122889,0.5,125881,0.7,240115,0.5,325993,2.00 81,1,2024-09-07 09:34:21:657,870033,870033,0,0,408869505809,4276864669,862646,6750,637,382,391885,0 81,2,2024-09-07 09:34:21:136,626900,626837,63,0,28027396,0,5932 81,3,2024-09-07 09:34:21:120,1,496,1,0,719,5807,496,0 82,0,2024-09-07 09:34:21:547,122695,0.5,122865,0.7,246088,0.4,326372,2.00 82,1,2024-09-07 09:34:20:598,872419,872415,0,4,409338038779,4258450967,867351,4244,820,381,391768,4 82,2,2024-09-07 09:34:21:691,624791,624791,0,0,23233343,0,4484 82,3,2024-09-07 09:34:21:752,1,496,1,0,363,4857,496,0 83,0,2024-09-07 09:34:21:524,126371,0.6,126219,0.8,251894,0.7,335656,2.25 83,1,2024-09-07 09:34:20:573,871242,871242,0,0,409362242404,4269346850,865107,5684,451,382,391709,0 83,2,2024-09-07 09:34:20:768,628947,628922,25,0,25116263,0,5612 83,3,2024-09-07 09:34:20:755,1,496,1,0,1260,6386,496,0 84,0,2024-09-07 09:34:21:840,122382,0.8,122554,0.9,244692,0.8,326989,2.25 84,1,2024-09-07 09:34:21:038,869661,869661,0,0,408629519307,4276667130,859479,8661,1521,367,391967,0 84,2,2024-09-07 09:34:20:573,628296,628266,30,0,33512880,0,5971 84,3,2024-09-07 09:34:21:147,1,496,1,0,908,7249,496,0 85,0,2024-09-07 09:34:21:015,119521,0.6,119467,0.8,253623,0.5,327883,2.25 85,1,2024-09-07 09:34:20:578,866971,866971,0,0,407250046443,4306713160,852727,11592,2652,381,392092,0 85,2,2024-09-07 09:34:20:884,625907,625907,0,0,31240386,0,4255 85,3,2024-09-07 09:34:20:692,1,496,4,0,789,5795,496,0 86,0,2024-09-07 09:34:20:906,123665,0.6,127177,0.8,243307,0.7,329243,2.25 86,1,2024-09-07 09:34:20:825,869769,869769,0,0,408032548307,4280596235,858500,9301,1968,366,391961,0 86,2,2024-09-07 09:34:20:865,622148,622147,1,0,33570500,0,5004 86,3,2024-09-07 09:34:20:592,1,496,2,0,308,7394,496,0 87,0,2024-09-07 09:34:21:302,125712,1.0,125417,0.9,250917,1.3,335817,2.50 87,1,2024-09-07 09:34:20:569,868547,868547,0,0,408439474723,4285320293,856370,10466,1711,366,392076,0 87,2,2024-09-07 09:34:21:073,626236,626230,6,0,29326594,0,6323 87,3,2024-09-07 09:34:21:799,1,496,12,0,473,7530,496,0 88,0,2024-09-07 09:34:21:449,125457,0.5,126046,0.6,251888,0.5,334943,2.00 88,1,2024-09-07 09:34:20:580,867814,867814,0,0,407894247706,4277914357,855207,9995,2612,365,392084,0 88,2,2024-09-07 09:34:20:689,628831,628831,0,0,31902536,0,4465 88,3,2024-09-07 09:34:21:269,1,496,4,0,435,6397,496,0 89,0,2024-09-07 09:34:21:786,128254,0.4,124270,0.6,245739,0.4,334083,1.75 89,1,2024-09-07 09:34:20:598,868083,868083,0,0,408863125020,4304993172,856689,9579,1815,382,391866,0 89,2,2024-09-07 09:34:21:133,626403,626403,0,0,30286817,0,3173 89,3,2024-09-07 09:34:21:807,1,496,27,0,468,8300,496,0 90,0,2024-09-07 09:34:21:623,119205,0.4,122585,0.6,249704,0.4,325887,1.75 90,1,2024-09-07 09:34:20:596,870129,870129,0,0,408698173817,4281932273,861636,7761,732,380,391825,0 90,2,2024-09-07 09:34:21:413,624906,624906,0,0,32523358,0,3608 90,3,2024-09-07 09:34:20:931,1,496,20,0,322,6175,496,0 91,0,2024-09-07 09:34:20:933,124957,0.5,121082,0.6,253230,0.5,333045,1.75 91,1,2024-09-07 09:34:20:573,868595,868595,0,0,408432779955,4299429007,857041,9547,2007,381,392047,0 91,2,2024-09-07 09:34:21:331,625145,625145,0,0,28491224,0,4713 91,3,2024-09-07 09:34:20:607,1,496,26,0,216,4841,496,0 92,0,2024-09-07 09:34:21:440,128082,0.5,130944,0.6,249568,0.5,338214,1.75 92,1,2024-09-07 09:34:20:590,870449,870449,0,0,408088741392,4267299339,862961,6284,1204,381,392136,0 92,2,2024-09-07 09:34:21:352,629484,629484,0,0,25495055,0,3259 92,3,2024-09-07 09:34:21:014,1,496,0,0,167,4300,496,0 93,0,2024-09-07 09:34:20:967,123998,0.4,127064,0.6,242539,0.4,329142,1.75 93,1,2024-09-07 09:34:20:804,870719,870719,0,0,408814109259,4271930890,860671,8315,1733,366,391776,0 93,2,2024-09-07 09:34:20:938,628467,628467,0,0,31318801,0,4913 93,3,2024-09-07 09:34:21:427,1,496,19,0,190,4482,496,0 94,0,2024-09-07 09:34:21:616,122382,0.3,122971,0.5,245880,0.3,326104,1.75 94,1,2024-09-07 09:34:20:573,870884,870884,0,0,409788414111,4276971509,864794,5717,373,381,391850,0 94,2,2024-09-07 09:34:20:766,627767,627739,28,0,26756262,0,6179 94,3,2024-09-07 09:34:21:703,1,496,8,0,576,6448,496,0 95,0,2024-09-07 09:34:21:397,123202,0.3,122939,0.5,246221,0.3,328547,1.75 95,1,2024-09-07 09:34:20:861,872440,872440,0,0,409911950010,4260835557,865562,6397,481,365,391786,0 95,2,2024-09-07 09:34:21:023,622996,622996,0,0,25270877,0,3308 95,3,2024-09-07 09:34:21:709,1,496,395,0,718,7835,496,0 96,0,2024-09-07 09:34:21:033,127315,0.4,127501,0.5,254901,0.4,338696,1.75 96,1,2024-09-07 09:34:21:583,871304,871304,0,0,409492353490,4273306436,864930,5463,911,384,391955,0 96,2,2024-09-07 09:34:21:270,629841,629841,0,0,26255433,0,4180 96,3,2024-09-07 09:34:21:147,1,496,1,0,411,5362,496,0 97,0,2024-09-07 09:34:21:331,122427,0.3,122114,0.5,245334,0.3,325569,1.75 97,1,2024-09-07 09:34:20:768,872436,872436,0,0,410423293534,4258847619,866761,4733,942,367,392140,0 97,2,2024-09-07 09:34:20:611,630618,630618,0,0,25660454,0,3679 97,3,2024-09-07 09:34:20:585,1,496,6,0,242,5931,496,0 98,0,2024-09-07 09:34:21:705,123156,0.3,123351,0.4,247351,0.2,328313,1.50 98,1,2024-09-07 09:34:20:579,871995,871995,0,0,409152689056,4263504918,866605,4592,798,381,391997,0 98,2,2024-09-07 09:34:20:771,627620,627620,0,0,25612506,0,4336 98,3,2024-09-07 09:34:20:718,1,496,19,0,840,7879,496,0 99,0,2024-09-07 09:34:21:481,123404,0.3,124038,0.5,246822,0.3,329030,1.75 99,1,2024-09-07 09:34:21:724,872132,872132,0,0,408579186635,4255256820,866219,4991,922,380,392069,0 99,2,2024-09-07 09:34:21:423,624463,624463,0,0,30598338,0,4276 99,3,2024-09-07 09:34:20:588,1,496,1,0,606,5157,496,0 100,0,2024-09-07 09:34:21:461,125489,1.0,125926,1.0,251023,1.3,335701,2.50 100,1,2024-09-07 09:34:20:573,866885,866885,0,0,407460832031,4313231883,852638,11149,3098,378,391989,0 100,2,2024-09-07 09:34:21:820,625456,625445,11,0,30241424,0,5417 100,3,2024-09-07 09:34:21:733,1,496,1,0,627,8898,496,0 101,0,2024-09-07 09:34:21:708,126778,1.4,123570,1.1,242035,1.5,330955,2.50 101,1,2024-09-07 09:34:20:555,868032,868032,0,0,407606804012,4287556377,855778,9858,2396,368,391847,0 101,2,2024-09-07 09:34:21:756,624974,624974,0,0,35268534,0,4871 101,3,2024-09-07 09:34:20:964,1,496,2,0,1250,7753,496,0 102,0,2024-09-07 09:34:21:005,121464,0.6,125153,0.8,254086,0.6,332365,2.00 102,1,2024-09-07 09:34:21:145,868076,868076,0,0,408398941985,4294536803,855896,10161,2019,369,391891,0 102,2,2024-09-07 09:34:21:742,626724,626670,54,0,29887430,0,6768 102,3,2024-09-07 09:34:21:615,1,496,2,0,466,6004,496,0 103,0,2024-09-07 09:34:21:594,127753,0.5,127742,0.7,240679,0.5,331307,2.00 103,1,2024-09-07 09:34:21:639,867235,867235,0,0,407421906932,4306357507,853211,10827,3197,381,391862,0 103,2,2024-09-07 09:34:20:592,624343,624343,0,0,31374301,0,3766 103,3,2024-09-07 09:34:20:755,1,496,1,0,916,6089,496,0 104,0,2024-09-07 09:34:21:013,124351,0.8,124548,1.0,248051,0.8,332397,2.50 104,1,2024-09-07 09:34:21:644,869573,869573,0,0,407949574027,4290310688,856544,10481,2548,365,392168,0 104,2,2024-09-07 09:34:21:665,623480,623480,0,0,30195743,0,3941 104,3,2024-09-07 09:34:21:416,1,496,4,0,1245,9027,496,0 105,0,2024-09-07 09:34:21:025,124876,1.0,121775,1.1,255206,1.1,335729,2.75 105,1,2024-09-07 09:34:20:563,870808,870808,0,0,408639689143,4286147148,859233,9810,1765,366,392009,0 105,2,2024-09-07 09:34:21:322,627505,627505,0,0,30576183,0,4360 105,3,2024-09-07 09:34:21:307,1,496,16,0,399,7248,496,0 106,0,2024-09-07 09:34:21:050,119620,0.8,122471,0.9,251078,0.8,328050,2.50 106,1,2024-09-07 09:34:21:771,869897,869897,0,0,408136250177,4287049112,857298,10954,1645,368,391914,0 106,2,2024-09-07 09:34:20:772,626635,626635,0,0,28961651,0,2920 106,3,2024-09-07 09:34:20:712,1,496,4,0,1224,7659,496,0 107,0,2024-09-07 09:34:21:103,122501,0.8,122702,0.9,245383,0.8,326792,2.00 107,1,2024-09-07 09:34:20:593,868001,868001,0,0,408381494156,4309842750,855110,11498,1393,381,392234,0 107,2,2024-09-07 09:34:21:291,625504,625503,1,0,31598335,0,5024 107,3,2024-09-07 09:34:21:764,1,496,2,0,733,7734,496,0 108,0,2024-09-07 09:34:21:813,123081,0.4,123845,0.6,246297,0.4,328814,1.75 108,1,2024-09-07 09:34:21:293,870301,870301,0,0,409354966562,4272967596,862424,6909,968,367,391857,0 108,2,2024-09-07 09:34:21:756,622795,622795,0,0,28102106,0,4246 108,3,2024-09-07 09:34:21:343,1,496,3,0,749,10156,496,0 109,0,2024-09-07 09:34:21:758,127482,0.4,126450,0.6,253356,0.4,338777,1.75 109,1,2024-09-07 09:34:20:624,868442,868442,0,0,408868359731,4292095093,860293,6937,1212,382,392132,0 109,2,2024-09-07 09:34:20:940,626677,626677,0,0,27748731,0,3617 109,3,2024-09-07 09:34:21:144,1,496,20,0,630,6315,496,0 110,0,2024-09-07 09:34:21:756,122133,0.4,118892,0.6,249036,0.4,326211,1.75 110,1,2024-09-07 09:34:21:651,871738,871738,0,0,409869769632,4260107709,864370,5454,1914,368,392045,0 110,2,2024-09-07 09:34:21:304,629521,629521,0,0,26687268,0,4067 110,3,2024-09-07 09:34:20:711,1,496,1,0,722,7101,496,0 111,0,2024-09-07 09:34:21:430,123245,0.3,122575,0.5,245635,0.3,327301,1.75 111,1,2024-09-07 09:34:21:018,873484,873484,0,0,410611168664,4258936095,869053,4075,356,380,391690,0 111,2,2024-09-07 09:34:21:121,626854,626854,0,0,27169936,0,4823 111,3,2024-09-07 09:34:20:919,1,496,9,0,379,5787,496,0 112,0,2024-09-07 09:34:20:940,123696,0.3,123029,0.4,246820,0.2,327347,1.50 112,1,2024-09-07 09:34:20:834,872129,872129,0,0,410283124433,4261696521,866237,5203,689,380,391624,0 112,2,2024-09-07 09:34:21:134,622949,622948,1,0,25726469,0,5036 112,3,2024-09-07 09:34:20:603,1,496,0,0,282,4914,496,0 113,0,2024-09-07 09:34:20:879,126559,0.3,126495,0.5,253296,0.3,337606,1.75 113,1,2024-09-07 09:34:21:684,874828,874828,0,0,411499276782,4247807593,869807,4211,810,365,391661,0 113,2,2024-09-07 09:34:21:303,631064,631064,0,0,23225533,0,3813 113,3,2024-09-07 09:34:20:700,1,496,11,0,340,5370,496,0 114,0,2024-09-07 09:34:20:879,123811,0.3,124697,0.5,247758,0.3,330948,1.75 114,1,2024-09-07 09:34:20:720,872591,872591,0,0,409424732697,4254413455,865810,5165,1616,381,391565,0 114,2,2024-09-07 09:34:20:877,631368,631367,1,0,24920873,0,5069 114,3,2024-09-07 09:34:21:280,1,496,10,0,395,3980,496,0 115,0,2024-09-07 09:34:20:568,124667,0.3,125129,0.4,249580,0.2,331358,1.50 115,1,2024-09-07 09:34:20:582,872877,872877,0,0,410006810765,4263717467,865479,6041,1357,382,391757,0 115,2,2024-09-07 09:34:21:126,630171,630171,0,0,24636683,0,4382 115,3,2024-09-07 09:34:21:002,1,496,1,0,167,2937,496,0 116,0,2024-09-07 09:34:21:732,123418,0.6,123377,0.8,247187,0.6,330378,2.00 116,1,2024-09-07 09:34:20:816,868443,868443,0,0,408278687995,4306951600,857811,8189,2443,380,392089,0 116,2,2024-09-07 09:34:21:761,623019,623019,0,0,31823273,0,4475 116,3,2024-09-07 09:34:20:917,1,496,6,0,415,6257,496,0 117,0,2024-09-07 09:34:20:994,125942,0.8,125395,0.9,251411,1.0,336198,2.00 117,1,2024-09-07 09:34:21:578,869037,869037,0,0,408147528784,4276839606,859070,8742,1225,369,392033,0 117,2,2024-09-07 09:34:21:122,628765,628765,0,0,27642722,0,4303 117,3,2024-09-07 09:34:21:059,1,496,1,0,490,7020,496,0 118,0,2024-09-07 09:34:21:778,121872,0.6,125177,0.7,255213,0.6,333445,2.00 118,1,2024-09-07 09:34:20:587,868815,868815,0,0,408581940682,4299965733,855329,10367,3119,366,392054,0 118,2,2024-09-07 09:34:21:586,627816,627816,0,0,30406837,0,2842 118,3,2024-09-07 09:34:21:766,1,496,0,0,248,5836,496,0 119,0,2024-09-07 09:34:21:349,124451,0.6,124688,0.8,249326,0.6,332262,2.00 119,1,2024-09-07 09:34:20:560,869123,869123,0,0,408447118524,4284023818,857473,9885,1765,367,391857,0 119,2,2024-09-07 09:34:21:260,627194,627194,0,0,28456642,0,4174 119,3,2024-09-07 09:34:21:325,1,496,1,0,1358,9675,496,0 120,0,2024-09-07 09:34:21:589,122190,0.6,121995,0.8,244902,0.6,326531,2.25 120,1,2024-09-07 09:34:20:863,869907,869907,0,0,408205674038,4282554364,860630,8498,779,368,392144,0 120,2,2024-09-07 09:34:20:775,625522,625521,1,0,32861298,0,5281 120,3,2024-09-07 09:34:21:293,1,496,15,0,279,6298,496,0 121,0,2024-09-07 09:34:21:744,124553,1.4,123968,1.1,248560,1.9,331860,2.50 121,1,2024-09-07 09:34:21:668,870348,870348,0,0,409388633294,4282745316,861632,8015,701,367,391840,0 121,2,2024-09-07 09:34:21:127,623112,623112,0,0,29946027,0,4157 121,3,2024-09-07 09:34:20:733,1,496,8,0,330,6097,496,0 122,0,2024-09-07 09:34:21:778,126080,0.9,122701,0.9,257196,1.0,338118,2.25 122,1,2024-09-07 09:34:20:869,868533,868533,0,0,408276961118,4286505751,856200,10451,1882,366,392130,0 122,2,2024-09-07 09:34:21:318,628915,628840,75,0,34438371,0,5989 122,3,2024-09-07 09:34:20:607,1,496,1,0,512,8647,496,0 123,0,2024-09-07 09:34:20:969,122598,0.9,119362,0.9,250132,1.0,327711,2.25 123,1,2024-09-07 09:34:20:563,868977,868977,0,0,408899942224,4306612297,853843,12633,2501,369,392039,0 123,2,2024-09-07 09:34:21:022,626605,626604,1,0,29237060,0,5215 123,3,2024-09-07 09:34:21:144,1,496,1,0,478,5971,496,0 124,0,2024-09-07 09:34:20:933,126209,0.3,126180,0.5,237828,0.3,327182,1.75 124,1,2024-09-07 09:34:21:033,872138,872138,0,0,408310894282,4247440448,865475,5532,1131,365,392178,0 124,2,2024-09-07 09:34:21:015,628757,628704,53,0,26468975,0,6487 124,3,2024-09-07 09:34:20:765,1,496,158,0,490,5312,496,0 125,0,2024-09-07 09:34:21:507,123033,0.4,122767,0.6,246572,0.4,328426,1.75 125,1,2024-09-07 09:34:20:860,870486,870486,0,0,408973326118,4271916793,863526,5979,981,382,391813,0 125,2,2024-09-07 09:34:21:124,624684,624684,0,0,26481668,0,4534 125,3,2024-09-07 09:34:21:126,1,496,9,0,709,6102,496,0 126,0,2024-09-07 09:34:21:415,127292,0.4,130817,0.6,250370,0.4,339334,1.75 126,1,2024-09-07 09:34:20:555,873371,873371,0,0,410291181428,4249352935,868712,4351,308,365,391987,0 126,2,2024-09-07 09:34:20:616,630100,630100,0,0,27091372,0,4539 126,3,2024-09-07 09:34:20:917,1,496,1,0,268,5702,496,0 127,0,2024-09-07 09:34:21:671,122671,0.3,122991,0.5,245372,0.3,325910,1.75 127,1,2024-09-07 09:34:20:574,871885,871885,0,0,409294952273,4261934954,862810,7607,1468,364,392187,0 127,2,2024-09-07 09:34:20:636,628750,628746,4,0,26094688,0,5305 127,3,2024-09-07 09:34:21:271,1,496,1,0,968,5116,496,0 128,0,2024-09-07 09:34:21:548,123951,0.3,124095,0.4,247782,0.2,329197,1.50 128,1,2024-09-07 09:34:21:610,871369,871369,0,0,410246947567,4263055891,865103,5536,730,367,392031,0 128,2,2024-09-07 09:34:21:391,629271,629271,0,0,24317830,0,3171 128,3,2024-09-07 09:34:20:771,1,496,1,0,1082,7943,496,0 129,0,2024-09-07 09:34:21:044,124392,0.3,123854,0.5,248283,0.3,329496,1.50 129,1,2024-09-07 09:34:20:578,868876,868876,0,0,408111429263,4280098055,859867,7065,1944,379,391962,0 129,2,2024-09-07 09:34:20:690,624639,624635,4,0,26224164,0,5335 129,3,2024-09-07 09:34:20:688,1,496,7,0,506,7160,496,0 130,0,2024-09-07 09:34:21:783,126626,0.5,126176,0.6,253359,0.5,337066,1.75 130,1,2024-09-07 09:34:20:614,872109,872109,0,0,409008613975,4251242810,867420,4303,386,381,391825,0 130,2,2024-09-07 09:34:21:126,629059,629059,0,0,26243395,0,4067 130,3,2024-09-07 09:34:21:291,1,496,19,0,960,7227,496,0 131,0,2024-09-07 09:34:21:985,124450,0.4,124870,0.5,250258,0.3,331910,1.75 131,1,2024-09-07 09:34:21:826,871564,871564,0,0,409629320699,4276269375,864728,5645,1191,381,391865,0 131,2,2024-09-07 09:34:20:578,630470,630470,0,0,24345858,0,3979 131,3,2024-09-07 09:34:21:693,1,496,2,0,392,5900,496,0 132,0,2024-09-07 09:34:21:440,124809,0.4,126055,0.6,250710,0.4,333495,2.00 132,1,2024-09-07 09:34:20:585,867768,867768,0,0,407243100115,4288740718,855336,10241,2191,381,392532,0 132,2,2024-09-07 09:34:20:706,626605,626588,17,0,32452272,0,6451 132,3,2024-09-07 09:34:21:688,1,496,6,0,804,8651,496,0 133,0,2024-09-07 09:34:21:601,120520,0.4,123388,0.6,253054,0.4,329471,1.75 133,1,2024-09-07 09:34:20:590,867790,867790,0,0,407990421920,4304864401,855294,10808,1688,383,391914,0 133,2,2024-09-07 09:34:21:086,625589,625539,50,0,32692341,0,6861 133,3,2024-09-07 09:34:21:297,1,496,0,0,479,5671,496,0 134,0,2024-09-07 09:34:20:962,125562,0.6,125405,0.7,251090,0.6,334862,2.25 134,1,2024-09-07 09:34:20:587,868943,868943,0,0,407986668450,4281073767,857589,9208,2146,366,391718,0 134,2,2024-09-07 09:34:21:756,624104,624080,24,0,30748679,0,6207 134,3,2024-09-07 09:34:20:755,1,496,4,0,739,6212,496,0 135,0,2024-09-07 09:34:21:099,122454,1.0,122435,0.9,259822,1.2,335583,2.50 135,1,2024-09-07 09:34:21:589,868997,868997,0,0,408997576658,4304968109,857372,10060,1565,380,391805,0 135,2,2024-09-07 09:34:20:701,628587,628587,0,0,30928358,0,4503 135,3,2024-09-07 09:34:21:002,1,496,1,0,900,5195,496,0 136,0,2024-09-07 09:34:21:643,124443,0.5,124635,0.7,248221,0.5,331180,2.00 136,1,2024-09-07 09:34:21:451,870413,870413,0,0,407966316056,4280855257,860057,9089,1267,381,392135,0 136,2,2024-09-07 09:34:21:138,628938,628923,15,0,30262183,0,6007 136,3,2024-09-07 09:34:21:106,1,496,420,0,637,6145,496,0 137,0,2024-09-07 09:34:20:935,126612,0.5,123391,0.7,241763,0.5,328002,2.00 137,1,2024-09-07 09:34:20:588,868825,868825,0,0,408220210272,4283382584,855407,10748,2670,366,391898,0 137,2,2024-09-07 09:34:21:717,626065,626065,0,0,31711562,0,3185 137,3,2024-09-07 09:34:20:790,1,496,1,0,484,6433,496,0 138,0,2024-09-07 09:34:21:750,122761,0.9,122833,0.9,246413,1.1,327421,2.25 138,1,2024-09-07 09:34:21:699,869288,869288,0,0,408169277263,4285386849,856613,10707,1968,368,391954,0 138,2,2024-09-07 09:34:20:591,622636,622636,0,0,29062410,0,4988 138,3,2024-09-07 09:34:20:614,1,496,234,0,1200,8196,496,0 139,0,2024-09-07 09:34:21:359,125791,1.4,126192,1.1,252505,2.0,337221,2.50 139,1,2024-09-07 09:34:20:575,864903,864903,0,0,406346665025,4314879660,848975,12469,3459,380,392058,0 139,2,2024-09-07 09:34:20:710,624754,624754,0,0,32693720,0,3097 139,3,2024-09-07 09:34:21:667,1,496,15,0,432,5867,496,0 140,0,2024-09-07 09:34:21:590,122957,0.3,122056,0.5,245000,0.2,326509,1.75 140,1,2024-09-07 09:34:21:539,874071,874071,0,0,411170988947,4241576247,869492,4041,538,364,391606,0 140,2,2024-09-07 09:34:20:690,630333,630332,1,0,24926240,0,5036 140,3,2024-09-07 09:34:20:782,1,496,0,0,297,4805,496,0 141,0,2024-09-07 09:34:21:699,123244,0.3,126390,0.4,241305,0.2,326999,1.50 141,1,2024-09-07 09:34:20:865,872884,872884,0,0,410331485684,4265169514,865787,6012,1085,379,391614,0 141,2,2024-09-07 09:34:21:687,627859,627848,11,0,26432879,0,5369 141,3,2024-09-07 09:34:21:045,1,496,8,0,391,5501,496,0 142,0,2024-09-07 09:34:21:346,123749,0.3,122848,0.5,246374,0.3,328098,1.50 142,1,2024-09-07 09:34:20:587,871018,871018,0,0,409392580141,4269402752,865371,5188,459,382,392102,0 142,2,2024-09-07 09:34:21:299,622810,622778,32,0,26707823,0,6028 142,3,2024-09-07 09:34:21:754,1,496,6,0,484,5335,496,0 143,0,2024-09-07 09:34:21:379,126476,0.4,126452,0.6,253455,0.4,337624,1.75 143,1,2024-09-07 09:34:20:578,872982,872982,0,0,410234256592,4260236344,866913,5515,554,367,391722,0 143,2,2024-09-07 09:34:20:771,629852,629852,0,0,26315944,0,3123 143,3,2024-09-07 09:34:21:145,1,496,3,0,462,6407,496,0 144,0,2024-09-07 09:34:21:510,119642,0.7,123041,0.8,250568,0.7,327984,2.25 144,1,2024-09-07 09:34:20:574,868669,868669,0,0,407142096251,4275998348,859402,7305,1962,381,391733,0 144,2,2024-09-07 09:34:21:756,630470,630470,0,0,25040611,0,3673 144,3,2024-09-07 09:34:21:741,1,496,2,0,249,4950,496,0 145,0,2024-09-07 09:34:21:376,120226,0.5,120202,0.8,255082,0.5,328127,2.25 145,1,2024-09-07 09:34:20:572,867832,867832,0,0,407942869857,4299104498,855486,9882,2464,382,391759,0 145,2,2024-09-07 09:34:21:430,624880,624798,82,0,31268353,0,7814 145,3,2024-09-07 09:34:20:902,1,496,20,0,622,6910,496,0 146,0,2024-09-07 09:34:21:608,123835,0.6,123344,0.7,247587,0.5,328740,2.00 146,1,2024-09-07 09:34:21:627,869123,869123,0,0,407898757384,4293627256,854708,10617,3798,367,391770,0 146,2,2024-09-07 09:34:21:698,622537,622531,6,0,29268656,0,5151 146,3,2024-09-07 09:34:21:273,1,496,1,0,1520,8754,496,0 147,0,2024-09-07 09:34:21:708,125964,0.7,125635,0.8,250801,0.7,335664,2.25 147,1,2024-09-07 09:34:21:372,872304,872304,0,0,410054658258,4264092384,865028,6399,877,367,391791,0 147,2,2024-09-07 09:34:21:009,626465,626465,0,0,26209651,0,2968 147,3,2024-09-07 09:34:20:913,1,496,1,0,1626,8304,496,0 0,0,2024-09-07 09:34:31:705,119553,0.6,119566,0.7,253839,0.6,327957,2.00 0,1,2024-09-07 09:34:30:805,871388,871388,0,0,409072053046,4287037325,863902,6647,839,369,391896,0 0,2,2024-09-07 09:34:31:070,629044,629044,0,0,26264489,0,4480 0,3,2024-09-07 09:34:30:974,1,497,1,0,431,7077,497,0 1,0,2024-09-07 09:34:31:790,124771,1.1,124190,1.0,249047,1.4,333230,2.25 1,1,2024-09-07 09:34:30:557,870689,870689,0,0,408255352450,4281309439,862007,7216,1466,370,391859,0 1,2,2024-09-07 09:34:30:639,626131,626131,0,0,25513825,0,3380 1,3,2024-09-07 09:34:31:302,1,497,6,0,269,6400,497,0 2,0,2024-09-07 09:34:31:585,126710,0.6,126732,0.7,253218,0.6,337724,2.00 2,1,2024-09-07 09:34:30:859,873678,873678,0,0,410499730672,4266453399,869148,3728,802,380,391745,0 2,2,2024-09-07 09:34:31:266,631229,631229,0,0,23934264,0,3594 2,3,2024-09-07 09:34:30:691,1,497,1,0,357,4639,497,0 3,0,2024-09-07 09:34:31:743,123377,0.4,123311,0.6,246219,0.4,328529,2.00 3,1,2024-09-07 09:34:31:638,872116,872116,0,0,409745451994,4269015165,864671,6743,702,379,391716,0 3,2,2024-09-07 09:34:31:151,630382,630359,23,0,25481216,0,5851 3,3,2024-09-07 09:34:31:758,1,497,21,0,207,3152,497,0 4,0,2024-09-07 09:34:31:786,119076,0.4,122364,0.5,249619,0.3,326283,1.75 4,1,2024-09-07 09:34:30:591,868530,868530,0,0,407402557341,4320793344,852310,12537,3683,370,391992,0 4,2,2024-09-07 09:34:31:022,625944,625944,0,0,31228749,0,4534 4,3,2024-09-07 09:34:31:033,1,497,9,0,448,6538,497,0 5,0,2024-09-07 09:34:31:441,123119,0.4,123724,0.6,246824,0.4,328455,1.75 5,1,2024-09-07 09:34:30:791,870044,870044,0,0,408756163933,4306735539,857341,10290,2413,367,392005,0 5,2,2024-09-07 09:34:31:829,621776,621776,0,0,30045825,0,3582 5,3,2024-09-07 09:34:31:738,1,497,1,0,457,6945,497,0 6,0,2024-09-07 09:34:30:924,127627,0.5,127063,0.7,254585,0.5,339823,2.00 6,1,2024-09-07 09:34:30:746,872172,872172,0,0,408728925264,4273399722,862657,8059,1456,379,391702,0 6,2,2024-09-07 09:34:31:119,630057,630039,18,0,29318211,0,5535 6,3,2024-09-07 09:34:31:281,1,497,7,0,710,6180,497,0 7,0,2024-09-07 09:34:31:545,121717,0.6,122671,0.7,244156,0.5,324977,2.00 7,1,2024-09-07 09:34:30:851,870271,870271,0,0,408881631350,4299353817,857352,10688,2231,382,391747,0 7,2,2024-09-07 09:34:30:770,630737,630737,0,0,28448613,0,4791 7,3,2024-09-07 09:34:30:852,1,497,1,0,552,5849,497,0 8,0,2024-09-07 09:34:31:328,123823,0.3,123492,0.5,247670,0.3,329367,1.75 8,1,2024-09-07 09:34:31:019,869264,869264,0,0,407822463332,4292018453,855094,11044,3126,366,392853,0 8,2,2024-09-07 09:34:30:790,624128,624126,2,0,33964956,0,5112 8,3,2024-09-07 09:34:30:587,1,497,2,0,538,7672,497,0 9,0,2024-09-07 09:34:31:126,123519,0.4,120208,0.5,251580,0.3,329306,1.75 9,1,2024-09-07 09:34:30:551,868931,868931,0,0,408862993925,4310203472,855532,10752,2647,369,392001,0 9,2,2024-09-07 09:34:31:083,623615,623614,1,0,30625238,0,5281 9,3,2024-09-07 09:34:31:752,1,497,8,0,1273,8559,497,0 10,0,2024-09-07 09:34:31:609,126232,0.4,125826,0.5,252510,0.3,336648,1.75 10,1,2024-09-07 09:34:30:583,871759,871759,0,0,408160706987,4280920693,859433,10236,2090,381,391741,0 10,2,2024-09-07 09:34:30:762,629088,629088,0,0,33274042,0,4264 10,3,2024-09-07 09:34:30:877,1,497,37,0,649,5295,497,0 11,0,2024-09-07 09:34:31:013,124297,0.5,120595,0.7,252027,0.5,332008,1.75 11,1,2024-09-07 09:34:30:571,871714,871714,0,0,410021166321,4304026618,858239,10013,3462,383,391756,0 11,2,2024-09-07 09:34:31:122,629735,629735,0,0,29291922,0,4130 11,3,2024-09-07 09:34:31:298,1,497,1,0,843,6863,497,0 12,0,2024-09-07 09:34:30:977,125779,0.4,125820,0.5,251646,0.3,334142,1.75 12,1,2024-09-07 09:34:30:942,871639,871639,0,0,409148145863,4271961970,863989,6773,877,370,391960,0 12,2,2024-09-07 09:34:31:541,628212,628212,0,0,29064217,0,4390 12,3,2024-09-07 09:34:31:059,1,497,3,0,386,6890,497,0 13,0,2024-09-07 09:34:31:376,124866,0.4,124545,0.6,248552,0.4,331251,1.75 13,1,2024-09-07 09:34:31:524,870217,870217,0,0,408702969410,4299712148,860609,7315,2293,382,391740,0 13,2,2024-09-07 09:34:30:600,628012,628012,0,0,26107075,0,3287 13,3,2024-09-07 09:34:31:762,1,497,2,0,522,7117,497,0 14,0,2024-09-07 09:34:30:582,125588,0.4,126622,0.6,251071,0.4,334816,1.75 14,1,2024-09-07 09:34:31:564,875758,875758,0,0,411435583862,4260449859,868882,6149,727,364,391673,0 14,2,2024-09-07 09:34:30:766,627551,627521,30,0,27435665,0,6104 14,3,2024-09-07 09:34:31:115,1,497,1,0,1168,5801,497,0 15,0,2024-09-07 09:34:31:553,126373,0.5,125908,0.7,252796,0.4,336750,2.00 15,1,2024-09-07 09:34:31:637,872735,872735,0,0,410054943380,4274015203,865098,6152,1485,381,391619,0 15,2,2024-09-07 09:34:30:998,632137,632137,0,0,23126425,0,3622 15,3,2024-09-07 09:34:31:405,1,497,1,0,1126,7268,497,0 16,0,2024-09-07 09:34:30:957,124220,0.5,124821,0.7,248687,0.5,331236,2.00 16,1,2024-09-07 09:34:30:563,872994,872994,0,0,409505567593,4284776446,864696,7000,1298,370,391917,0 16,2,2024-09-07 09:34:31:444,628740,628740,0,0,27231855,0,4719 16,3,2024-09-07 09:34:31:160,1,497,1,0,358,6465,497,0 17,0,2024-09-07 09:34:31:763,126726,0.6,123604,0.7,241951,0.6,328352,2.00 17,1,2024-09-07 09:34:30:568,870827,870827,0,0,408777074088,4291025298,861802,7279,1746,368,392075,0 17,2,2024-09-07 09:34:31:666,630723,630722,1,0,27870380,0,5050 17,3,2024-09-07 09:34:30:577,1,497,3,0,518,7653,497,0 18,0,2024-09-07 09:34:30:957,122370,0.6,123096,0.8,245174,0.7,327737,2.25 18,1,2024-09-07 09:34:31:638,875012,875012,0,0,410966192308,4264036883,869410,4745,857,367,391725,0 18,2,2024-09-07 09:34:31:755,627365,627365,0,0,24675444,0,3541 18,3,2024-09-07 09:34:30:896,1,497,1,0,1059,4869,497,0 19,0,2024-09-07 09:34:31:556,126700,0.6,127097,0.8,252538,0.6,336675,2.25 19,1,2024-09-07 09:34:30:566,874221,874221,0,0,410294324144,4257647566,867282,5855,1084,367,391777,0 19,2,2024-09-07 09:34:31:765,633078,633078,0,0,23133616,0,3988 19,3,2024-09-07 09:34:31:128,1,497,1,0,524,4116,497,0 20,0,2024-09-07 09:34:31:355,122399,0.5,122546,0.7,244689,0.5,326581,2.00 20,1,2024-09-07 09:34:30:581,871562,871562,0,0,408945294851,4287336602,862432,7768,1362,369,391922,0 20,2,2024-09-07 09:34:30:941,629535,629535,0,0,28256735,0,3721 20,3,2024-09-07 09:34:30:589,1,497,1,0,468,8806,497,0 21,0,2024-09-07 09:34:31:199,122921,0.4,123053,0.6,246075,0.4,326365,1.75 21,1,2024-09-07 09:34:31:559,869444,869444,0,0,408506970105,4315365960,855308,11019,3117,368,392016,0 21,2,2024-09-07 09:34:31:066,625681,625661,20,0,33232194,0,5617 21,3,2024-09-07 09:34:31:404,1,497,1,0,713,6647,497,0 22,0,2024-09-07 09:34:31:735,123144,0.5,123476,0.7,246662,0.4,327186,2.00 22,1,2024-09-07 09:34:31:023,870316,870316,0,0,409177969134,4312158377,855184,11908,3224,382,391822,0 22,2,2024-09-07 09:34:30:760,622855,622829,26,0,27794940,0,6328 22,3,2024-09-07 09:34:31:066,1,497,1,0,228,4198,497,0 23,0,2024-09-07 09:34:31:373,126528,0.5,126036,0.7,252330,0.5,336954,2.25 23,1,2024-09-07 09:34:31:008,871460,871460,0,0,409810132613,4306341941,855805,11247,4408,365,391690,0 23,2,2024-09-07 09:34:31:095,631095,631095,0,0,26540200,0,3773 23,3,2024-09-07 09:34:31:754,1,497,1,0,720,6694,497,0 24,0,2024-09-07 09:34:30:815,124651,0.4,123769,0.6,248859,0.4,330134,1.75 24,1,2024-09-07 09:34:30:589,870595,870595,0,0,408666460390,4282842380,861652,7271,1672,367,392269,0 24,2,2024-09-07 09:34:31:072,630397,630397,0,0,31504314,0,4438 24,3,2024-09-07 09:34:31:686,1,497,1,0,468,6452,497,0 25,0,2024-09-07 09:34:31:337,127798,0.4,124530,0.6,244202,0.4,332311,1.75 25,1,2024-09-07 09:34:30:568,869450,869450,0,0,408633319607,4314525697,854584,11897,2969,369,391928,0 25,2,2024-09-07 09:34:31:606,627218,627218,0,0,32603773,0,3978 25,3,2024-09-07 09:34:31:001,1,497,1,0,532,5559,497,0 26,0,2024-09-07 09:34:31:721,123535,0.4,120892,0.6,253462,0.4,329508,1.75 26,1,2024-09-07 09:34:31:541,872467,872467,0,0,408857586897,4285813629,859639,10377,2451,380,391748,0 26,2,2024-09-07 09:34:30:861,624661,624661,0,0,34354220,0,4689 26,3,2024-09-07 09:34:31:713,1,497,4,0,796,5676,497,0 27,0,2024-09-07 09:34:31:724,126390,0.5,126629,0.6,251831,0.4,336452,2.25 27,1,2024-09-07 09:34:31:676,873625,873625,0,0,410485227786,4278667340,865077,7281,1267,381,391626,0 27,2,2024-09-07 09:34:30:867,625970,625905,65,0,29986477,0,5699 27,3,2024-09-07 09:34:31:021,1,497,5,0,564,4475,497,0 28,0,2024-09-07 09:34:31:389,126058,0.4,125630,0.6,252155,0.4,335618,2.00 28,1,2024-09-07 09:34:30:797,873608,873608,0,0,410508657907,4283577422,865839,6055,1714,382,391698,0 28,2,2024-09-07 09:34:31:767,630711,630711,0,0,26456434,0,2915 28,3,2024-09-07 09:34:31:776,1,497,1,0,502,5135,497,0 29,0,2024-09-07 09:34:31:358,128358,0.4,125112,0.6,245247,0.3,334578,1.75 29,1,2024-09-07 09:34:31:561,876140,876140,0,0,410877971816,4253449034,870587,4792,761,368,391809,0 29,2,2024-09-07 09:34:30:861,628299,628299,0,0,25557226,0,4986 29,3,2024-09-07 09:34:30:970,1,497,1,0,459,5654,497,0 30,0,2024-09-07 09:34:31:461,122828,0.5,119351,0.7,249910,0.4,327046,2.00 30,1,2024-09-07 09:34:30:571,875053,875053,0,0,410858011543,4267678926,868082,6069,902,380,391672,0 30,2,2024-09-07 09:34:31:288,628376,628376,0,0,24910745,0,4192 30,3,2024-09-07 09:34:30:581,1,497,1,0,519,4935,497,0 31,0,2024-09-07 09:34:31:773,124666,0.5,124874,0.7,249590,0.4,333480,2.00 31,1,2024-09-07 09:34:30:564,877750,877750,0,0,413110234415,4244200020,872428,4311,1011,356,391712,0 31,2,2024-09-07 09:34:31:277,625285,625285,0,0,27389842,0,4470 31,3,2024-09-07 09:34:31:711,1,497,7,0,220,4208,497,0 32,0,2024-09-07 09:34:31:419,127156,0.4,127877,0.5,254631,0.3,339851,1.75 32,1,2024-09-07 09:34:30:805,874001,874001,0,0,411323814377,4277524930,868459,4835,707,381,391646,0 32,2,2024-09-07 09:34:30:935,631682,631682,0,0,23718101,0,3922 32,3,2024-09-07 09:34:31:021,1,497,1,0,304,4110,497,0 33,0,2024-09-07 09:34:31:499,123968,0.3,123597,0.4,247221,0.2,329621,1.50 33,1,2024-09-07 09:34:30:575,875239,875239,0,0,411305556584,4264327293,868020,6047,1172,368,391730,0 33,2,2024-09-07 09:34:30:759,630971,630936,35,0,26317894,0,7012 33,3,2024-09-07 09:34:30:895,1,497,8,0,329,4798,497,0 34,0,2024-09-07 09:34:30:945,123067,0.3,126505,0.4,241782,0.2,325556,1.75 34,1,2024-09-07 09:34:31:047,876936,876936,0,0,412040918576,4239825094,874428,2486,22,367,391562,0 34,2,2024-09-07 09:34:30:769,630288,630288,0,0,25032894,0,4562 34,3,2024-09-07 09:34:31:687,1,497,1,0,320,3970,497,0 35,0,2024-09-07 09:34:30:860,122507,0.3,123218,0.5,247566,0.3,329218,1.75 35,1,2024-09-07 09:34:31:067,874213,874213,0,0,411076387048,4266245309,867981,5135,1097,382,391769,0 35,2,2024-09-07 09:34:31:583,624166,624166,0,0,27166372,0,4055 35,3,2024-09-07 09:34:30:911,1,497,29,0,418,4817,497,0 36,0,2024-09-07 09:34:31:516,127777,0.5,127789,0.7,255402,0.5,340439,2.25 36,1,2024-09-07 09:34:30:583,872950,872950,0,0,408829866086,4275986412,860678,10054,2218,366,391759,0 36,2,2024-09-07 09:34:31:758,630837,630837,0,0,28897566,0,3875 36,3,2024-09-07 09:34:30:863,1,497,1,0,416,7122,497,0 37,0,2024-09-07 09:34:31:388,121960,0.5,121950,0.7,244259,0.5,325445,2.25 37,1,2024-09-07 09:34:30:575,871779,871772,0,7,409388566631,4279761025,860703,8396,2673,365,391770,0 37,2,2024-09-07 09:34:31:151,628753,628738,15,0,29312179,0,5815 37,3,2024-09-07 09:34:31:765,1,497,10,0,888,7190,497,0 38,0,2024-09-07 09:34:31:443,122914,0.4,119248,0.6,249518,0.4,326534,2.00 38,1,2024-09-07 09:34:31:605,873298,873298,0,0,410513679632,4296847350,860076,10703,2519,368,391821,0 38,2,2024-09-07 09:34:30:759,627493,627446,47,0,29511279,0,6710 38,3,2024-09-07 09:34:30:998,1,497,1,0,689,6525,497,0 39,0,2024-09-07 09:34:31:766,126470,0.5,123674,0.7,241074,0.5,328628,2.00 39,1,2024-09-07 09:34:30:717,871475,871475,0,0,408811774475,4294995338,855712,12294,3469,365,391658,0 39,2,2024-09-07 09:34:31:430,625042,625042,0,0,27075661,0,3391 39,3,2024-09-07 09:34:30:713,1,497,1,0,525,5943,497,0 40,0,2024-09-07 09:34:31:494,124888,0.9,125676,1.0,250787,1.0,334896,2.75 40,1,2024-09-07 09:34:30:576,873438,873438,0,0,408796537892,4280465903,861427,9833,2178,368,391668,0 40,2,2024-09-07 09:34:31:307,627870,627869,1,0,32557661,0,5137 40,3,2024-09-07 09:34:31:145,1,497,1,0,1028,7259,497,0 41,0,2024-09-07 09:34:31:038,123647,1.4,126278,1.2,241147,2.0,327965,3.25 41,1,2024-09-07 09:34:30:772,871147,871147,0,0,409088636819,4285424991,859282,9932,1933,369,391742,0 41,2,2024-09-07 09:34:30:762,627895,627894,1,0,31277187,0,5408 41,3,2024-09-07 09:34:31:685,1,497,21,0,366,5274,497,0 42,0,2024-09-07 09:34:31:481,124338,0.8,124051,1.0,248385,0.9,328894,2.50 42,1,2024-09-07 09:34:31:445,869223,869223,0,0,408603908906,4301764277,854223,12092,2908,380,391675,0 42,2,2024-09-07 09:34:31:133,627850,627850,0,0,30083949,0,3975 42,3,2024-09-07 09:34:31:009,1,497,1,0,892,4661,497,0 43,0,2024-09-07 09:34:30:920,122888,0.7,119685,0.9,250369,0.7,328412,2.25 43,1,2024-09-07 09:34:30:578,872017,872017,0,0,409860370459,4287505434,859152,10606,2259,366,391696,0 43,2,2024-09-07 09:34:31:739,626774,626774,0,0,29945822,0,4723 43,3,2024-09-07 09:34:31:749,1,497,1,0,571,7182,497,0 44,0,2024-09-07 09:34:30:860,125713,0.4,125797,0.6,251994,0.4,335273,2.00 44,1,2024-09-07 09:34:30:563,874822,874822,0,0,410627536745,4247280509,867030,6205,1587,356,391809,0 44,2,2024-09-07 09:34:31:267,625801,625801,0,0,24748953,0,4344 44,3,2024-09-07 09:34:31:094,1,497,19,0,817,5504,497,0 45,0,2024-09-07 09:34:31:769,125189,0.5,122236,0.8,256393,0.5,336946,2.00 45,1,2024-09-07 09:34:31:008,873604,873604,0,0,411260163649,4278047414,866097,6631,876,382,391917,0 45,2,2024-09-07 09:34:31:268,631693,631693,0,0,24996311,0,3596 45,3,2024-09-07 09:34:30:934,1,497,1,0,271,4451,497,0 46,0,2024-09-07 09:34:30:959,123740,0.5,123164,0.7,247251,0.4,328489,2.00 46,1,2024-09-07 09:34:30:578,875782,875782,0,0,411050796778,4251347406,869386,5533,863,366,391709,0 46,2,2024-09-07 09:34:30:594,629493,629493,0,0,25248348,0,4443 46,3,2024-09-07 09:34:31:137,1,497,1,0,908,5921,497,0 47,0,2024-09-07 09:34:31:101,123014,0.4,123345,0.6,247119,0.3,327199,1.75 47,1,2024-09-07 09:34:30:567,875814,875814,0,0,411304162714,4251166587,870605,4370,839,366,391641,0 47,2,2024-09-07 09:34:30:912,631245,631245,0,0,25144195,0,4477 47,3,2024-09-07 09:34:31:115,1,497,37,0,600,5801,497,0 48,0,2024-09-07 09:34:31:507,124184,0.3,124120,0.4,247221,0.2,330053,1.50 48,1,2024-09-07 09:34:31:022,874121,874121,0,0,410534572697,4267845750,868375,5174,572,384,391710,0 48,2,2024-09-07 09:34:30:698,625734,625734,0,0,23015770,0,3411 48,3,2024-09-07 09:34:30:756,1,497,0,0,339,3996,497,0 49,0,2024-09-07 09:34:31:728,130462,0.4,128195,0.5,248905,0.3,340590,1.75 49,1,2024-09-07 09:34:31:021,873580,873580,0,0,410218312821,4267369871,868019,4351,1210,382,391809,0 49,2,2024-09-07 09:34:31:812,631459,631459,0,0,25569455,0,4426 49,3,2024-09-07 09:34:31:422,1,497,34,0,992,5774,497,0 50,0,2024-09-07 09:34:31:512,123195,0.3,121494,0.5,244801,0.2,326517,1.75 50,1,2024-09-07 09:34:31:009,876387,876387,0,0,412107994295,4263097317,870572,5151,664,368,391565,0 50,2,2024-09-07 09:34:31:070,630188,630188,0,0,23511606,0,4490 50,3,2024-09-07 09:34:31:292,1,497,1,0,617,5401,497,0 51,0,2024-09-07 09:34:31:684,126117,0.3,123553,0.4,240538,0.2,327890,1.75 51,1,2024-09-07 09:34:31:686,877127,877127,0,0,411922015942,4249019217,872593,3480,1054,365,391706,0 51,2,2024-09-07 09:34:31:315,629517,629517,0,0,22769350,0,3337 51,3,2024-09-07 09:34:31:030,1,497,0,0,678,3743,497,0 52,0,2024-09-07 09:34:31:415,123621,0.5,123323,0.7,246897,0.5,328086,2.00 52,1,2024-09-07 09:34:30:575,872665,872665,0,0,409549300310,4292623960,859442,11118,2105,368,391722,0 52,2,2024-09-07 09:34:31:760,621076,621038,38,0,30216193,0,6742 52,3,2024-09-07 09:34:30:674,1,497,1,0,1782,6576,497,0 53,0,2024-09-07 09:34:31:757,126114,0.7,122417,0.8,256137,0.8,336596,2.50 53,1,2024-09-07 09:34:30:771,870653,870653,0,0,409530980543,4303735452,855305,11504,3844,367,391968,0 53,2,2024-09-07 09:34:31:298,630299,630298,1,0,27161731,0,5455 53,3,2024-09-07 09:34:30:699,1,497,0,0,308,5115,497,0 54,0,2024-09-07 09:34:31:650,121617,0.6,121976,0.8,242923,0.5,323983,2.25 54,1,2024-09-07 09:34:30:582,873077,873077,0,0,410840426053,4278388550,863013,8430,1634,366,391810,0 54,2,2024-09-07 09:34:30:864,630948,630916,32,0,31041019,0,6397 54,3,2024-09-07 09:34:30:767,1,497,0,0,676,6906,497,0 55,0,2024-09-07 09:34:31:770,120086,0.6,123846,0.7,250883,0.5,326688,2.50 55,1,2024-09-07 09:34:30:767,874286,874286,0,0,410350890087,4271056024,864644,8324,1318,365,391731,0 55,2,2024-09-07 09:34:30:728,628121,628065,56,0,29432124,0,7239 55,3,2024-09-07 09:34:30:674,1,497,1,0,304,4810,497,0 56,0,2024-09-07 09:34:31:557,126602,1.1,119272,1.1,245927,1.5,328882,2.75 56,1,2024-09-07 09:34:30:570,868970,868970,0,0,408250662481,4316580517,854662,11396,2912,381,391867,0 56,2,2024-09-07 09:34:31:306,624801,624679,122,0,30533798,0,7432 56,3,2024-09-07 09:34:31:061,1,497,0,0,705,6165,497,0 57,0,2024-09-07 09:34:30:947,124311,1.7,124153,1.3,248866,2.4,332864,3.50 57,1,2024-09-07 09:34:30:985,870613,870613,0,0,408667170511,4293062626,858448,9882,2283,366,392032,0 57,2,2024-09-07 09:34:31:322,627957,627957,0,0,31431255,0,4317 57,3,2024-09-07 09:34:31:747,1,497,12,0,455,5719,497,0 58,0,2024-09-07 09:34:30:556,123758,1.0,120163,1.0,251461,1.3,329002,2.75 58,1,2024-09-07 09:34:30:575,871813,871810,0,3,410496762214,4306806390,858027,10464,3319,367,391603,3 58,2,2024-09-07 09:34:31:071,629953,629953,0,0,29807715,0,3483 58,3,2024-09-07 09:34:31:068,1,497,1,0,1043,5612,497,0 59,0,2024-09-07 09:34:31:744,124394,0.8,124147,1.0,247753,0.9,328901,2.75 59,1,2024-09-07 09:34:30:806,870763,870763,0,0,409330313892,4303916293,856043,11582,3138,369,391653,0 59,2,2024-09-07 09:34:30:583,627982,627982,0,0,28567747,0,3727 59,3,2024-09-07 09:34:31:755,1,497,0,0,1015,6354,497,0 60,0,2024-09-07 09:34:31:787,122966,0.5,123231,0.7,246541,0.5,327794,1.75 60,1,2024-09-07 09:34:30:772,875122,875122,0,0,410924613515,4267593178,868961,5229,932,370,392031,0 60,2,2024-09-07 09:34:31:147,627934,627934,0,0,27158935,0,3811 60,3,2024-09-07 09:34:31:258,1,497,10,0,409,6054,497,0 61,0,2024-09-07 09:34:31:511,124688,0.8,125261,0.8,249521,0.9,333176,2.00 61,1,2024-09-07 09:34:30:772,872143,872143,0,0,409429168775,4291554814,862283,8280,1580,382,392127,0 61,2,2024-09-07 09:34:31:116,626111,626044,67,0,27909293,0,6411 61,3,2024-09-07 09:34:31:689,1,497,607,0,607,7282,497,0 62,0,2024-09-07 09:34:31:710,127521,0.6,130781,0.7,249582,0.5,339468,2.00 62,1,2024-09-07 09:34:31:113,877085,877079,0,6,412303497730,4251670800,872932,3867,280,365,391975,6 62,2,2024-09-07 09:34:31:648,628583,628582,1,0,27154962,0,5555 62,3,2024-09-07 09:34:31:145,1,497,27,0,482,4129,497,0 63,0,2024-09-07 09:34:31:451,123878,0.4,123623,0.6,248196,0.4,329929,1.75 63,1,2024-09-07 09:34:30:806,874792,874786,0,6,411504773970,4273496238,869281,4771,734,381,391800,6 63,2,2024-09-07 09:34:30:763,629681,629681,0,0,26137059,0,4369 63,3,2024-09-07 09:34:31:736,1,497,6,0,667,5373,497,0 64,0,2024-09-07 09:34:31:518,122389,0.5,122454,0.6,244407,0.4,324814,2.00 64,1,2024-09-07 09:34:30:757,874179,874179,0,0,410618626493,4279441068,866297,6112,1770,370,391783,0 64,2,2024-09-07 09:34:31:143,632715,632696,19,0,25320417,0,6121 64,3,2024-09-07 09:34:31:145,1,497,12,0,651,5375,497,0 65,0,2024-09-07 09:34:31:716,122380,0.6,122668,0.8,244754,0.7,326559,2.00 65,1,2024-09-07 09:34:30:859,872046,872046,0,0,409465645007,4282836839,865334,5918,794,381,391901,0 65,2,2024-09-07 09:34:31:694,624532,624532,0,0,29307906,0,3367 65,3,2024-09-07 09:34:31:682,1,497,8,0,782,6070,497,0 66,0,2024-09-07 09:34:31:777,127075,0.6,126590,0.8,253437,0.5,337782,2.25 66,1,2024-09-07 09:34:31:294,874072,874072,0,0,410945244114,4280428642,867926,5424,722,380,391743,0 66,2,2024-09-07 09:34:31:154,632603,632600,3,0,26921967,0,5455 66,3,2024-09-07 09:34:31:080,1,497,6,0,291,4474,497,0 67,0,2024-09-07 09:34:31:415,122366,0.6,122014,0.7,245035,0.5,325620,2.25 67,1,2024-09-07 09:34:30:766,873976,873975,0,1,410145887855,4272729565,867720,5442,813,380,391787,1 67,2,2024-09-07 09:34:30:582,632934,632919,15,0,26053600,0,6205 67,3,2024-09-07 09:34:31:750,1,497,5,0,392,4850,497,0 68,0,2024-09-07 09:34:30:559,123764,0.5,123698,0.7,246361,0.5,328983,2.00 68,1,2024-09-07 09:34:30:571,870372,870372,0,0,408885905452,4304393133,858155,8818,3399,381,391953,0 68,2,2024-09-07 09:34:31:047,624876,624776,100,0,33842164,0,8578 68,3,2024-09-07 09:34:30:729,1,497,5,0,417,6481,497,0 69,0,2024-09-07 09:34:31:743,122873,0.7,123675,0.8,246372,0.7,326873,2.25 69,1,2024-09-07 09:34:31:022,869619,869619,0,0,407895921542,4303633025,857537,9323,2759,384,391994,0 69,2,2024-09-07 09:34:31:736,623285,623256,29,0,34512590,0,6912 69,3,2024-09-07 09:34:30:760,1,497,0,0,698,7523,497,0 70,0,2024-09-07 09:34:31:532,124820,1.1,125215,1.1,251835,1.0,333710,2.50 70,1,2024-09-07 09:34:30:801,874634,874634,0,0,411760453604,4276217672,867383,6489,762,366,391725,0 70,2,2024-09-07 09:34:31:325,629375,629375,0,0,29274155,0,4323 70,3,2024-09-07 09:34:30:745,1,497,1,0,854,5662,497,0 71,0,2024-09-07 09:34:31:395,123010,1.2,122752,1.1,246687,1.8,329057,3.00 71,1,2024-09-07 09:34:31:604,872252,872252,0,0,409903325705,4284287460,860864,10133,1255,368,391738,0 71,2,2024-09-07 09:34:31:071,629632,629632,0,0,30041557,0,4352 71,3,2024-09-07 09:34:31:757,1,497,6,0,644,6060,497,0 72,0,2024-09-07 09:34:31:027,129043,0.5,126184,0.7,246138,0.5,334364,2.00 72,1,2024-09-07 09:34:31:021,870764,870764,0,0,409093472272,4297869809,857390,11093,2281,369,391819,0 72,2,2024-09-07 09:34:31:760,627332,627332,0,0,31313302,0,3983 72,3,2024-09-07 09:34:31:759,1,497,1,0,564,7348,497,0 73,0,2024-09-07 09:34:31:138,120775,0.4,124022,0.6,253257,0.4,329349,2.00 73,1,2024-09-07 09:34:30:771,873593,873593,0,0,410261814987,4263605176,867369,5800,424,367,391858,0 73,2,2024-09-07 09:34:31:744,625795,625795,0,0,31717453,0,4728 73,3,2024-09-07 09:34:30:968,1,497,15,0,486,6363,497,0 74,0,2024-09-07 09:34:31:326,126542,0.5,129533,0.7,247235,0.5,335726,2.25 74,1,2024-09-07 09:34:30:635,872467,872467,0,0,409301380942,4279163970,862028,8516,1923,381,391762,0 74,2,2024-09-07 09:34:31:008,626593,626593,0,0,27648903,0,4253 74,3,2024-09-07 09:34:31:444,1,497,1,0,522,6118,497,0 75,0,2024-09-07 09:34:31:765,126991,0.6,126088,0.8,253404,0.6,338416,2.25 75,1,2024-09-07 09:34:31:594,873255,873255,0,0,409384575642,4272429704,865377,7018,860,380,391739,0 75,2,2024-09-07 09:34:31:355,628981,628981,0,0,32965228,0,4766 75,3,2024-09-07 09:34:31:074,1,497,0,0,702,6094,497,0 76,0,2024-09-07 09:34:30:637,123622,0.5,122954,0.7,246573,0.5,329833,2.25 76,1,2024-09-07 09:34:30:810,872454,872454,0,0,409608888820,4274742139,866210,5343,901,382,391692,0 76,2,2024-09-07 09:34:31:061,631196,631193,3,0,27977365,0,5265 76,3,2024-09-07 09:34:31:151,1,497,16,0,227,4669,497,0 77,0,2024-09-07 09:34:31:739,122447,0.5,122961,0.7,246069,0.5,326273,2.00 77,1,2024-09-07 09:34:30:824,873249,873249,0,0,409837249586,4278214475,866426,6232,591,381,391869,0 77,2,2024-09-07 09:34:31:281,628285,628285,0,0,27337988,0,3890 77,3,2024-09-07 09:34:31:096,1,497,1,0,401,5384,497,0 78,0,2024-09-07 09:34:31:719,123899,0.4,123349,0.6,247556,0.4,328495,2.00 78,1,2024-09-07 09:34:30:622,872674,872674,0,0,409094478697,4271052237,861912,8238,2524,367,391670,0 78,2,2024-09-07 09:34:31:415,626370,626357,13,0,25434736,0,8313 78,3,2024-09-07 09:34:31:137,1,497,1,0,311,4400,497,0 79,0,2024-09-07 09:34:31:361,122789,0.4,125964,0.6,257615,0.4,335408,2.25 79,1,2024-09-07 09:34:30:571,875544,875544,0,0,410825832203,4260856631,867816,6372,1356,367,391682,0 79,2,2024-09-07 09:34:31:076,630975,630975,0,0,24618601,0,4195 79,3,2024-09-07 09:34:30:753,1,497,1,0,418,6219,497,0 80,0,2024-09-07 09:34:31:083,122305,0.5,125593,0.7,240634,0.5,325796,2.00 80,1,2024-09-07 09:34:31:643,872657,872657,0,0,410536517063,4275513341,866859,5375,423,368,392269,0 80,2,2024-09-07 09:34:31:091,632143,632143,0,0,25007277,0,4433 80,3,2024-09-07 09:34:30:575,1,497,2,0,296,6041,497,0 81,0,2024-09-07 09:34:31:545,123029,0.5,126017,0.7,240360,0.5,326470,2.00 81,1,2024-09-07 09:34:31:656,871756,871756,0,0,409679462044,4285502822,864365,6754,637,382,391885,0 81,2,2024-09-07 09:34:31:125,628131,628068,63,0,28078493,0,5932 81,3,2024-09-07 09:34:31:117,1,497,16,0,719,5823,497,0 82,0,2024-09-07 09:34:31:544,122934,0.5,123064,0.7,246559,0.4,327321,2.00 82,1,2024-09-07 09:34:30:583,874228,874224,0,4,410327554736,4268946718,869147,4257,820,381,391768,4 82,2,2024-09-07 09:34:31:689,626045,626045,0,0,23270921,0,4484 82,3,2024-09-07 09:34:31:752,1,497,1,0,363,4858,497,0 83,0,2024-09-07 09:34:31:542,126873,0.6,126710,0.8,252840,0.7,336810,2.25 83,1,2024-09-07 09:34:30:562,872968,872968,0,0,410195678488,4278003527,866833,5684,451,382,391709,0 83,2,2024-09-07 09:34:30:776,630129,630104,25,0,25160480,0,5612 83,3,2024-09-07 09:34:30:759,1,497,8,0,1260,6394,497,0 84,0,2024-09-07 09:34:31:882,122496,0.8,122664,0.9,244918,0.7,327300,2.25 84,1,2024-09-07 09:34:31:046,871510,871510,0,0,409608520700,4286732536,861326,8663,1521,367,391967,0 84,2,2024-09-07 09:34:30:570,629708,629678,30,0,33530911,0,5971 84,3,2024-09-07 09:34:31:146,1,497,2,0,908,7251,497,0 85,0,2024-09-07 09:34:31:003,119633,0.6,119561,0.8,253829,0.5,328214,2.25 85,1,2024-09-07 09:34:30:569,868746,868746,0,0,408012769798,4314548877,854502,11592,2652,381,392092,0 85,2,2024-09-07 09:34:30:865,627302,627302,0,0,31257423,0,4255 85,3,2024-09-07 09:34:30:687,1,497,3,0,789,5798,497,0 86,0,2024-09-07 09:34:30:893,123777,0.6,127318,0.8,243522,0.7,329253,2.25 86,1,2024-09-07 09:34:30:824,871481,871481,0,0,408847533115,4288928856,860209,9304,1968,366,391961,0 86,2,2024-09-07 09:34:30:859,623004,623003,1,0,33580961,0,5004 86,3,2024-09-07 09:34:30:588,1,497,6,0,308,7400,497,0 87,0,2024-09-07 09:34:31:303,126160,1.0,125862,0.9,251698,1.3,336806,2.50 87,1,2024-09-07 09:34:30:552,870345,870345,0,0,408911300003,4290273441,858168,10466,1711,366,392076,0 87,2,2024-09-07 09:34:31:078,627586,627580,6,0,29448049,0,6323 87,3,2024-09-07 09:34:31:805,1,497,1,0,473,7531,497,0 88,0,2024-09-07 09:34:31:602,125556,0.5,126148,0.6,252114,0.5,335197,2.00 88,1,2024-09-07 09:34:30:569,869586,869586,0,0,408698431261,4286197278,856977,9997,2612,365,392084,0 88,2,2024-09-07 09:34:30:687,630327,630327,0,0,31949281,0,4465 88,3,2024-09-07 09:34:31:268,1,497,2,0,435,6399,497,0 89,0,2024-09-07 09:34:31:899,128517,0.4,124541,0.6,246236,0.4,334677,1.75 89,1,2024-09-07 09:34:30:550,869924,869924,0,0,409511268585,4311932626,858530,9579,1815,382,391866,0 89,2,2024-09-07 09:34:31:139,627692,627692,0,0,30353522,0,3173 89,3,2024-09-07 09:34:31:793,1,497,48,0,468,8348,497,0 90,0,2024-09-07 09:34:31:614,119445,0.4,122848,0.6,250271,0.4,326766,1.75 90,1,2024-09-07 09:34:30:627,871824,871824,0,0,409362109532,4288813190,863330,7762,732,380,391825,0 90,2,2024-09-07 09:34:31:405,625663,625663,0,0,32548459,0,3608 90,3,2024-09-07 09:34:30:933,1,497,4,0,322,6179,497,0 91,0,2024-09-07 09:34:30:927,125353,0.5,121445,0.6,254008,0.5,333746,1.75 91,1,2024-09-07 09:34:30:564,870372,870372,0,0,409079185668,4306242413,858818,9547,2007,381,392047,0 91,2,2024-09-07 09:34:31:333,626740,626740,0,0,28539055,0,4713 91,3,2024-09-07 09:34:30:619,1,497,9,0,216,4850,497,0 92,0,2024-09-07 09:34:31:501,128502,0.5,131349,0.6,250323,0.5,339652,1.75 92,1,2024-09-07 09:34:30:580,872179,872179,0,0,408894879359,4275733010,864691,6284,1204,381,392136,0 92,2,2024-09-07 09:34:31:356,630944,630944,0,0,25615354,0,3259 92,3,2024-09-07 09:34:31:009,1,497,2,0,167,4302,497,0 93,0,2024-09-07 09:34:30:953,124308,0.4,127388,0.6,243199,0.4,329984,1.75 93,1,2024-09-07 09:34:30:808,872527,872527,0,0,409484222807,4278972218,862477,8317,1733,366,391776,0 93,2,2024-09-07 09:34:30:928,629526,629526,0,0,31405265,0,4913 93,3,2024-09-07 09:34:31:411,1,497,140,0,190,4622,497,0 94,0,2024-09-07 09:34:31:601,122543,0.3,123142,0.5,246224,0.3,326518,1.75 94,1,2024-09-07 09:34:30:573,872722,872722,0,0,410816449424,4287671920,866631,5718,373,381,391850,0 94,2,2024-09-07 09:34:30:775,628929,628901,28,0,26802628,0,6179 94,3,2024-09-07 09:34:31:688,1,497,24,0,576,6472,497,0 95,0,2024-09-07 09:34:31:391,123513,0.3,123200,0.5,246805,0.3,329234,1.75 95,1,2024-09-07 09:34:30:855,874245,874245,0,0,410464516855,4268781898,866964,6626,655,365,391786,0 95,2,2024-09-07 09:34:31:024,624394,624394,0,0,25357225,0,3308 95,3,2024-09-07 09:34:31:725,1,497,124,0,718,7959,497,0 96,0,2024-09-07 09:34:31:035,127712,0.4,127923,0.5,255714,0.4,339707,1.75 96,1,2024-09-07 09:34:31:591,873035,873035,0,0,410070224043,4279405736,866661,5463,911,384,391955,0 96,2,2024-09-07 09:34:31:268,631199,631199,0,0,26319530,0,4180 96,3,2024-09-07 09:34:31:140,1,497,2,0,411,5364,497,0 97,0,2024-09-07 09:34:31:388,122570,0.3,122245,0.5,245607,0.3,326020,1.75 97,1,2024-09-07 09:34:30:763,874178,874178,0,0,411130815960,4266775309,868442,4793,943,367,392140,0 97,2,2024-09-07 09:34:30:608,631722,631722,0,0,25819788,0,3679 97,3,2024-09-07 09:34:30:569,1,497,1,0,242,5932,497,0 98,0,2024-09-07 09:34:31:701,123249,0.3,123458,0.4,247591,0.2,328553,1.50 98,1,2024-09-07 09:34:30:574,873773,873773,0,0,409935670347,4271584622,868383,4592,798,381,391997,0 98,2,2024-09-07 09:34:30:769,628823,628823,0,0,25697108,0,4336 98,3,2024-09-07 09:34:30:698,1,497,1,0,840,7880,497,0 99,0,2024-09-07 09:34:31:464,123452,0.3,124094,0.5,246928,0.3,329351,1.75 99,1,2024-09-07 09:34:31:725,873922,873922,0,0,409623332599,4266255086,867991,5009,922,380,392069,0 99,2,2024-09-07 09:34:31:418,625525,625525,0,0,30650138,0,4276 99,3,2024-09-07 09:34:30:588,1,497,2,0,606,5159,497,0 100,0,2024-09-07 09:34:31:501,125915,1.0,126343,1.0,251899,1.2,337006,2.50 100,1,2024-09-07 09:34:30:550,868649,868649,0,0,408131546004,4320235342,854402,11149,3098,378,391989,0 100,2,2024-09-07 09:34:31:817,626840,626829,11,0,30258103,0,5417 100,3,2024-09-07 09:34:31:736,1,497,12,0,627,8910,497,0 101,0,2024-09-07 09:34:31:700,126886,1.4,123673,1.1,242216,1.5,331245,2.50 101,1,2024-09-07 09:34:30:600,869820,869820,0,0,408629649928,4297970727,857566,9858,2396,368,391847,0 101,2,2024-09-07 09:34:31:763,626370,626370,0,0,35285618,0,4871 101,3,2024-09-07 09:34:30:945,1,497,1,0,1250,7754,497,0 102,0,2024-09-07 09:34:30:967,121630,0.6,125365,0.7,254409,0.6,332640,2.00 102,1,2024-09-07 09:34:31:152,869951,869951,0,0,409535882454,4306089600,857771,10161,2019,369,391891,0 102,2,2024-09-07 09:34:31:749,628222,628168,54,0,29905840,0,6768 102,3,2024-09-07 09:34:31:638,1,497,4,0,466,6008,497,0 103,0,2024-09-07 09:34:31:595,128001,0.5,128013,0.7,241242,0.5,331871,2.00 103,1,2024-09-07 09:34:31:638,868854,868854,0,0,408153859963,4314008901,854825,10831,3198,381,391862,0 103,2,2024-09-07 09:34:30:582,625020,625020,0,0,31382123,0,3766 103,3,2024-09-07 09:34:30:756,1,497,2,0,916,6091,497,0 104,0,2024-09-07 09:34:31:026,124694,0.8,124856,1.0,248714,0.8,333558,2.50 104,1,2024-09-07 09:34:31:608,871409,871409,0,0,408871146845,4299856386,858379,10482,2548,365,392168,0 104,2,2024-09-07 09:34:31:666,624942,624942,0,0,30259022,0,3941 104,3,2024-09-07 09:34:31:430,1,497,3,0,1245,9030,497,0 105,0,2024-09-07 09:34:31:166,125321,1.0,122116,1.1,256132,1.2,336764,2.75 105,1,2024-09-07 09:34:30:554,872449,872449,0,0,409434432076,4294290839,860872,9812,1765,366,392009,0 105,2,2024-09-07 09:34:31:333,629058,629058,0,0,30650219,0,4360 105,3,2024-09-07 09:34:31:310,1,497,14,0,399,7262,497,0 106,0,2024-09-07 09:34:31:038,119898,0.8,122764,0.9,251719,0.8,328852,2.50 106,1,2024-09-07 09:34:31:752,871698,871698,0,0,409061842027,4296717408,859097,10955,1646,368,391914,0 106,2,2024-09-07 09:34:30:757,627702,627702,0,0,28993307,0,2920 106,3,2024-09-07 09:34:30:689,1,497,38,0,1224,7697,497,0 107,0,2024-09-07 09:34:31:113,122658,0.8,122879,0.9,245760,0.8,327378,2.00 107,1,2024-09-07 09:34:30:620,869678,869678,0,0,409169252973,4318145353,856787,11498,1393,381,392234,0 107,2,2024-09-07 09:34:31:296,626529,626528,1,0,31627893,0,5024 107,3,2024-09-07 09:34:31:768,1,497,1,0,733,7735,497,0 108,0,2024-09-07 09:34:31:775,123435,0.4,124183,0.6,246946,0.4,330039,1.75 108,1,2024-09-07 09:34:31:295,872035,872035,0,0,410252104763,4282388467,864155,6912,968,367,391857,0 108,2,2024-09-07 09:34:31:758,624360,624360,0,0,28200133,0,4246 108,3,2024-09-07 09:34:31:333,1,497,0,0,749,10156,497,0 109,0,2024-09-07 09:34:31:770,127793,0.4,126774,0.6,254058,0.4,339497,1.75 109,1,2024-09-07 09:34:30:583,870218,870218,0,0,409719043076,4301283414,862056,6950,1212,382,392132,0 109,2,2024-09-07 09:34:30:923,627890,627890,0,0,27846707,0,3617 109,3,2024-09-07 09:34:31:140,1,497,22,0,630,6337,497,0 110,0,2024-09-07 09:34:31:820,122406,0.4,119139,0.6,249531,0.4,327140,1.75 110,1,2024-09-07 09:34:31:648,873670,873670,0,0,410695467307,4269349201,866151,5599,1920,368,392045,0 110,2,2024-09-07 09:34:31:306,630575,630575,0,0,26758144,0,4067 110,3,2024-09-07 09:34:30:699,1,497,10,0,722,7111,497,0 111,0,2024-09-07 09:34:31:423,123370,0.3,122719,0.5,245880,0.3,327809,1.75 111,1,2024-09-07 09:34:31:000,875113,875113,0,0,411369510502,4267538897,870573,4184,356,380,391690,0 111,2,2024-09-07 09:34:31:116,628178,628178,0,0,27226399,0,4823 111,3,2024-09-07 09:34:30:913,1,497,59,0,379,5846,497,0 112,0,2024-09-07 09:34:30:909,123901,0.3,123255,0.4,247268,0.2,328243,1.50 112,1,2024-09-07 09:34:30:824,873875,873875,0,0,410907100256,4268348715,867982,5203,690,380,391624,0 112,2,2024-09-07 09:34:31:138,624249,624248,1,0,25771818,0,5036 112,3,2024-09-07 09:34:30:592,1,497,1,0,282,4915,497,0 113,0,2024-09-07 09:34:30:910,127024,0.3,126933,0.5,254269,0.3,338765,1.75 113,1,2024-09-07 09:34:31:685,876674,876674,0,0,412370871078,4256855811,871651,4213,810,365,391661,0 113,2,2024-09-07 09:34:31:307,632295,632295,0,0,23303612,0,3813 113,3,2024-09-07 09:34:30:684,1,497,9,0,340,5379,497,0 114,0,2024-09-07 09:34:30:873,123923,0.3,124806,0.5,247955,0.3,331276,1.75 114,1,2024-09-07 09:34:30:762,874296,874296,0,0,410261404370,4262991275,867515,5165,1616,381,391565,0 114,2,2024-09-07 09:34:30:873,632828,632827,1,0,25011252,0,5069 114,3,2024-09-07 09:34:31:278,1,497,1,0,395,3981,497,0 115,0,2024-09-07 09:34:30:553,124796,0.3,125243,0.4,249791,0.2,331711,1.50 115,1,2024-09-07 09:34:30:571,874620,874620,0,0,410902930774,4272977956,867222,6041,1357,382,391757,0 115,2,2024-09-07 09:34:31:124,631490,631490,0,0,24793905,0,4382 115,3,2024-09-07 09:34:31:002,1,497,1,0,167,2938,497,0 116,0,2024-09-07 09:34:31:723,123535,0.6,123476,0.8,247413,0.6,330380,2.00 116,1,2024-09-07 09:34:30:806,870192,870192,0,0,409047896405,4314862158,859557,8192,2443,380,392089,0 116,2,2024-09-07 09:34:31:758,623837,623837,0,0,31844536,0,4475 116,3,2024-09-07 09:34:30:913,1,497,1,0,415,6258,497,0 117,0,2024-09-07 09:34:30:980,126363,0.8,125808,0.9,252210,1.0,337219,2.00 117,1,2024-09-07 09:34:31:592,870720,870720,0,0,408895780626,4284488562,860753,8742,1225,369,392033,0 117,2,2024-09-07 09:34:31:119,630204,630204,0,0,27682952,0,4303 117,3,2024-09-07 09:34:31:060,1,497,2,0,490,7022,497,0 118,0,2024-09-07 09:34:31:825,121981,0.6,125282,0.7,255445,0.6,333688,2.00 118,1,2024-09-07 09:34:30:590,870551,870551,0,0,409255758623,4306867012,857064,10368,3119,366,392054,0 118,2,2024-09-07 09:34:31:589,629205,629205,0,0,30442901,0,2842 118,3,2024-09-07 09:34:31:764,1,497,1,0,248,5837,497,0 119,0,2024-09-07 09:34:31:343,124714,0.6,124978,0.8,249862,0.6,332854,2.00 119,1,2024-09-07 09:34:30:583,870908,870908,0,0,409624931040,4296118518,859257,9886,1765,367,391857,0 119,2,2024-09-07 09:34:31:270,628561,628561,0,0,28480354,0,4174 119,3,2024-09-07 09:34:31:325,1,497,3,0,1358,9678,497,0 120,0,2024-09-07 09:34:31:580,122437,0.6,122252,0.8,245444,0.6,327412,2.25 120,1,2024-09-07 09:34:30:858,871608,871608,0,0,409018558084,4290936250,862330,8499,779,368,392144,0 120,2,2024-09-07 09:34:30:769,626316,626315,1,0,32914749,0,5281 120,3,2024-09-07 09:34:31:290,1,497,14,0,279,6312,497,0 121,0,2024-09-07 09:34:31:700,124981,1.5,124369,1.1,249366,2.0,332813,2.50 121,1,2024-09-07 09:34:31:656,872125,872125,0,0,410138531374,4290530233,863409,8015,701,367,391840,0 121,2,2024-09-07 09:34:31:128,624601,624601,0,0,30003372,0,4157 121,3,2024-09-07 09:34:30:727,1,497,8,0,330,6105,497,0 122,0,2024-09-07 09:34:31:803,126456,0.9,123084,0.9,257927,1.0,339321,2.25 122,1,2024-09-07 09:34:30:864,870358,870358,0,0,409106028316,4295158509,858023,10452,1883,366,392130,0 122,2,2024-09-07 09:34:31:324,630381,630306,75,0,34479873,0,5989 122,3,2024-09-07 09:34:30:594,1,497,1,0,512,8648,497,0 123,0,2024-09-07 09:34:31:019,122942,0.9,119714,0.9,250812,1.0,328557,2.25 123,1,2024-09-07 09:34:30:560,870820,870820,0,0,409668235156,4314692947,855686,12633,2501,369,392039,0 123,2,2024-09-07 09:34:31:020,627749,627748,1,0,29268359,0,5215 123,3,2024-09-07 09:34:31:135,1,497,1,0,478,5972,497,0 124,0,2024-09-07 09:34:30:926,126386,0.3,126368,0.5,238165,0.3,327621,1.75 124,1,2024-09-07 09:34:31:022,873845,873845,0,0,409251433605,4257955794,867044,5670,1131,365,392178,0 124,2,2024-09-07 09:34:31:010,629925,629872,53,0,26541595,0,6487 124,3,2024-09-07 09:34:30:759,1,497,1,0,490,5313,497,0 125,0,2024-09-07 09:34:31:426,123311,0.4,123055,0.6,247161,0.4,329039,1.75 125,1,2024-09-07 09:34:30:857,872316,872316,0,0,409944198757,4282044095,865356,5979,981,382,391813,0 125,2,2024-09-07 09:34:31:116,626065,626065,0,0,26532248,0,4534 125,3,2024-09-07 09:34:31:133,1,497,8,0,709,6110,497,0 126,0,2024-09-07 09:34:31:482,127721,0.4,131260,0.6,251188,0.4,340473,1.75 126,1,2024-09-07 09:34:30:551,875124,875124,0,0,411128568458,4258217342,870465,4351,308,365,391987,0 126,2,2024-09-07 09:34:30:610,631380,631380,0,0,27160199,0,4539 126,3,2024-09-07 09:34:30:913,1,497,1,0,268,5703,497,0 127,0,2024-09-07 09:34:31:593,122803,0.3,123133,0.5,245668,0.3,326363,1.75 127,1,2024-09-07 09:34:30:569,873604,873604,0,0,410195367282,4271363548,864529,7607,1468,364,392187,0 127,2,2024-09-07 09:34:30:637,629870,629866,4,0,26165376,0,5305 127,3,2024-09-07 09:34:31:267,1,497,2,0,968,5118,497,0 128,0,2024-09-07 09:34:31:524,124071,0.3,124213,0.4,248001,0.2,329455,1.50 128,1,2024-09-07 09:34:31:609,872892,872892,0,0,411095845124,4272377234,866573,5589,730,367,392031,0 128,2,2024-09-07 09:34:31:383,630456,630456,0,0,24409778,0,3171 128,3,2024-09-07 09:34:30:776,1,497,11,0,1082,7954,497,0 129,0,2024-09-07 09:34:30:990,124445,0.3,123902,0.5,248383,0.3,329795,1.50 129,1,2024-09-07 09:34:30:567,870584,870584,0,0,408788846290,4287265863,861575,7065,1944,379,391962,0 129,2,2024-09-07 09:34:30:688,625692,625688,4,0,26314624,0,5335 129,3,2024-09-07 09:34:30:692,1,497,12,0,506,7172,497,0 130,0,2024-09-07 09:34:31:751,127020,0.5,126590,0.6,254204,0.5,338471,1.75 130,1,2024-09-07 09:34:30:586,873828,873828,0,0,409830440637,4259708225,869138,4304,386,381,391825,0 130,2,2024-09-07 09:34:31:125,630310,630310,0,0,26296428,0,4067 130,3,2024-09-07 09:34:31:292,1,497,1,0,960,7228,497,0 131,0,2024-09-07 09:34:31:931,124552,0.4,124963,0.5,250451,0.3,332212,1.75 131,1,2024-09-07 09:34:31:827,873312,873312,0,0,410349515946,4284029156,866442,5679,1191,381,391865,0 131,2,2024-09-07 09:34:30:567,631881,631881,0,0,24425010,0,3979 131,3,2024-09-07 09:34:31:688,1,497,161,0,392,6061,497,0 132,0,2024-09-07 09:34:31:421,124993,0.4,126218,0.6,251066,0.4,333738,2.00 132,1,2024-09-07 09:34:30:585,869585,869585,0,0,408106398775,4297584603,857153,10241,2191,381,392532,0 132,2,2024-09-07 09:34:30:704,628115,628098,17,0,32471104,0,6451 132,3,2024-09-07 09:34:31:688,1,497,0,0,804,8651,497,0 133,0,2024-09-07 09:34:31:520,120782,0.4,123685,0.6,253599,0.4,329977,1.75 133,1,2024-09-07 09:34:30:583,869541,869541,0,0,408599408597,4311194172,857045,10808,1688,383,391914,0 133,2,2024-09-07 09:34:31:086,626270,626220,50,0,32701370,0,6861 133,3,2024-09-07 09:34:31:306,1,497,1,0,479,5672,497,0 134,0,2024-09-07 09:34:30:954,125921,0.6,125743,0.7,251774,0.6,335995,2.25 134,1,2024-09-07 09:34:30:588,870754,870754,0,0,408806317649,4289509724,859399,9209,2146,366,391718,0 134,2,2024-09-07 09:34:31:765,625652,625628,24,0,30765888,0,6207 134,3,2024-09-07 09:34:30:753,1,497,1,0,739,6213,497,0 135,0,2024-09-07 09:34:31:102,122829,1.0,122802,0.9,260569,1.2,336112,2.50 135,1,2024-09-07 09:34:31:591,870706,870706,0,0,409801335134,4313243313,859080,10061,1565,380,391805,0 135,2,2024-09-07 09:34:30:686,630131,630131,0,0,30970486,0,4503 135,3,2024-09-07 09:34:31:002,1,497,1,0,900,5196,497,0 136,0,2024-09-07 09:34:31:634,124733,0.5,124945,0.7,248798,0.5,331962,2.00 136,1,2024-09-07 09:34:31:444,872210,872210,0,0,409019724409,4291745328,861853,9090,1267,381,392135,0 136,2,2024-09-07 09:34:31:133,630038,630023,15,0,30307099,0,6007 136,3,2024-09-07 09:34:31:109,1,497,2,0,637,6147,497,0 137,0,2024-09-07 09:34:30:931,126793,0.5,123567,0.7,242162,0.5,328555,2.00 137,1,2024-09-07 09:34:30:577,870597,870597,0,0,408898892132,4290457005,857179,10748,2670,366,391898,0 137,2,2024-09-07 09:34:31:705,627107,627107,0,0,31745710,0,3185 137,3,2024-09-07 09:34:30:769,1,497,2,0,484,6435,497,0 138,0,2024-09-07 09:34:31:759,123099,0.9,123185,0.9,247125,1.1,328685,2.25 138,1,2024-09-07 09:34:31:686,871142,871142,0,0,409075267246,4295148240,858414,10760,1968,368,391954,0 138,2,2024-09-07 09:34:30:585,624137,624137,0,0,29124867,0,4988 138,3,2024-09-07 09:34:30:610,1,497,1,0,1200,8197,497,0 139,0,2024-09-07 09:34:31:395,126128,1.4,126543,1.1,253206,2.0,338052,2.50 139,1,2024-09-07 09:34:30:576,866691,866691,0,0,407099766818,4322818046,850763,12469,3459,380,392058,0 139,2,2024-09-07 09:34:30:693,625972,625972,0,0,32765704,0,3097 139,3,2024-09-07 09:34:31:665,1,497,3,0,432,5870,497,0 140,0,2024-09-07 09:34:31:602,123238,0.3,122355,0.5,245506,0.2,327443,1.75 140,1,2024-09-07 09:34:31:542,875841,875841,0,0,411847233132,4248631916,871262,4041,538,364,391606,0 140,2,2024-09-07 09:34:30:687,631451,631450,1,0,24966014,0,5036 140,3,2024-09-07 09:34:30:768,1,497,0,0,297,4805,497,0 141,0,2024-09-07 09:34:31:721,123401,0.3,126518,0.4,241588,0.2,327488,1.50 141,1,2024-09-07 09:34:30:859,874635,874635,0,0,411008674205,4272285044,867538,6012,1085,379,391614,0 141,2,2024-09-07 09:34:31:687,629118,629107,11,0,26588677,0,5369 141,3,2024-09-07 09:34:31:044,1,497,3,0,391,5504,497,0 142,0,2024-09-07 09:34:31:308,123968,0.3,123069,0.5,246825,0.3,329025,1.75 142,1,2024-09-07 09:34:30:588,872788,872788,0,0,410328669863,4279263159,867141,5188,459,382,392102,0 142,2,2024-09-07 09:34:31:298,624066,624034,32,0,26800890,0,6028 142,3,2024-09-07 09:34:31:756,1,497,0,0,484,5335,497,0 143,0,2024-09-07 09:34:31:371,126923,0.5,126914,0.6,254436,0.4,338842,1.75 143,1,2024-09-07 09:34:30:556,874713,874713,0,0,410945236693,4267875753,868644,5515,554,367,391722,0 143,2,2024-09-07 09:34:30:769,631137,631137,0,0,26400900,0,3123 143,3,2024-09-07 09:34:31:142,1,497,15,0,462,6422,497,0 144,0,2024-09-07 09:34:31:516,119736,0.7,123151,0.8,250779,0.7,328294,2.25 144,1,2024-09-07 09:34:30:571,870401,870401,0,0,407929325968,4284592742,861124,7314,1963,381,391733,0 144,2,2024-09-07 09:34:31:785,631918,631918,0,0,25097626,0,3673 144,3,2024-09-07 09:34:31:750,1,497,1,0,249,4951,497,0 145,0,2024-09-07 09:34:31:380,120332,0.5,120306,0.8,255324,0.5,328472,2.25 145,1,2024-09-07 09:34:30:560,869546,869546,0,0,408800352114,4307901208,857200,9882,2464,382,391759,0 145,2,2024-09-07 09:34:31:430,626340,626258,82,0,31287525,0,7814 145,3,2024-09-07 09:34:30:897,1,497,11,0,622,6921,497,0 146,0,2024-09-07 09:34:31:591,123940,0.6,123448,0.7,247810,0.5,328744,2.00 146,1,2024-09-07 09:34:31:585,870860,870860,0,0,408626548136,4301120997,856443,10617,3800,367,391770,0 146,2,2024-09-07 09:34:31:695,623325,623319,6,0,29285138,0,5151 146,3,2024-09-07 09:34:31:276,1,497,2,0,1520,8756,497,0 147,0,2024-09-07 09:34:31:715,126355,0.7,126065,0.8,251616,0.7,336594,2.25 147,1,2024-09-07 09:34:31:376,874110,874110,0,0,410639968206,4270215923,866832,6401,877,367,391791,0 147,2,2024-09-07 09:34:31:009,627816,627816,0,0,26302723,0,2968 147,3,2024-09-07 09:34:30:913,1,497,1,0,1626,8305,497,0 0,0,2024-09-07 09:34:41:737,119848,0.6,119850,0.7,254455,0.6,328783,2.00 0,1,2024-09-07 09:34:40:808,873203,873203,0,0,409990110053,4296745420,865698,6666,839,369,391896,0 0,2,2024-09-07 09:34:41:069,629748,629748,0,0,26291113,0,4480 0,3,2024-09-07 09:34:40:980,1,498,18,0,431,7095,498,0 1,0,2024-09-07 09:34:41:788,125101,1.1,124493,1.0,249678,1.4,333876,2.25 1,1,2024-09-07 09:34:40:795,872439,872439,0,0,409094424525,4290273729,863745,7228,1466,370,391859,0 1,2,2024-09-07 09:34:40:642,627556,627556,0,0,25553008,0,3380 1,3,2024-09-07 09:34:41:315,1,498,5,0,269,6405,498,0 2,0,2024-09-07 09:34:41:575,127183,0.6,127201,0.7,254181,0.6,339502,2.00 2,1,2024-09-07 09:34:40:861,875366,875366,0,0,411446571459,4277789477,870447,4002,917,380,391745,0 2,2,2024-09-07 09:34:41:275,632609,632609,0,0,24364765,0,3594 2,3,2024-09-07 09:34:40:693,1,498,1,0,357,4640,498,0 3,0,2024-09-07 09:34:41:746,123731,0.4,123635,0.6,246845,0.4,329315,2.00 3,1,2024-09-07 09:34:41:617,873956,873956,0,0,410869079333,4280508490,866510,6744,702,379,391716,0 3,2,2024-09-07 09:34:41:145,631439,631416,23,0,25515117,0,5851 3,3,2024-09-07 09:34:41:752,1,498,1,0,207,3153,498,0 4,0,2024-09-07 09:34:41:812,119241,0.4,122506,0.5,249945,0.3,326708,1.75 4,1,2024-09-07 09:34:40:604,870347,870347,0,0,408256479828,4329502296,854127,12537,3683,370,391992,0 4,2,2024-09-07 09:34:41:022,627022,627022,0,0,31240596,0,4534 4,3,2024-09-07 09:34:41:033,1,498,4,0,448,6542,498,0 5,0,2024-09-07 09:34:41:390,123350,0.4,123974,0.6,247352,0.4,329072,1.75 5,1,2024-09-07 09:34:40:781,871814,871814,0,0,409697075099,4316349117,859110,10291,2413,367,392005,0 5,2,2024-09-07 09:34:41:843,623251,623251,0,0,30061790,0,3582 5,3,2024-09-07 09:34:41:747,1,498,8,0,457,6953,498,0 6,0,2024-09-07 09:34:40:915,128005,0.5,127455,0.7,255389,0.5,340827,2.00 6,1,2024-09-07 09:34:40:746,873978,873978,0,0,409648581800,4282729884,864463,8059,1456,379,391702,0 6,2,2024-09-07 09:34:41:123,631462,631444,18,0,29328126,0,5535 6,3,2024-09-07 09:34:41:274,1,498,1,0,710,6181,498,0 7,0,2024-09-07 09:34:41:546,121892,0.6,122856,0.7,244473,0.5,325452,2.00 7,1,2024-09-07 09:34:40:851,871979,871979,0,0,409595636283,4306650235,859060,10688,2231,382,391747,0 7,2,2024-09-07 09:34:40:770,631816,631816,0,0,28458285,0,4791 7,3,2024-09-07 09:34:40:851,1,498,0,0,552,5849,498,0 8,0,2024-09-07 09:34:41:352,123913,0.3,123589,0.5,247882,0.3,329610,1.75 8,1,2024-09-07 09:34:41:018,870988,870988,0,0,408646235593,4300446280,856817,11045,3126,366,392853,0 8,2,2024-09-07 09:34:40:795,625521,625519,2,0,34001564,0,5112 8,3,2024-09-07 09:34:40:595,1,498,1,0,538,7673,498,0 9,0,2024-09-07 09:34:41:142,123599,0.4,120296,0.5,251768,0.3,329632,1.75 9,1,2024-09-07 09:34:40:563,870685,870685,0,0,409652165706,4318430774,857285,10753,2647,369,392001,0 9,2,2024-09-07 09:34:41:099,624851,624850,1,0,30666329,0,5281 9,3,2024-09-07 09:34:41:773,1,498,2,0,1273,8561,498,0 10,0,2024-09-07 09:34:41:600,126702,0.4,126291,0.5,253417,0.3,337977,1.75 10,1,2024-09-07 09:34:40:586,873521,873521,0,0,409242406547,4292227277,861168,10263,2090,381,391741,0 10,2,2024-09-07 09:34:40:765,630197,630197,0,0,33305439,0,4264 10,3,2024-09-07 09:34:40:876,1,498,0,0,649,5295,498,0 11,0,2024-09-07 09:34:41:026,124391,0.5,120706,0.7,252240,0.5,332290,1.75 11,1,2024-09-07 09:34:40:573,873494,873494,0,0,411004945705,4314036759,860019,10013,3462,383,391756,0 11,2,2024-09-07 09:34:41:123,631166,631166,0,0,29502622,0,4130 11,3,2024-09-07 09:34:41:298,1,498,1,0,843,6864,498,0 12,0,2024-09-07 09:34:40:987,125921,0.4,125954,0.5,251894,0.3,334392,1.75 12,1,2024-09-07 09:34:41:031,873370,873370,0,0,409855189346,4279395590,865720,6773,877,370,391960,0 12,2,2024-09-07 09:34:41:541,629570,629570,0,0,29124827,0,4390 12,3,2024-09-07 09:34:41:061,1,498,1,0,386,6891,498,0 13,0,2024-09-07 09:34:41:366,125080,0.4,124758,0.6,249001,0.4,331774,1.75 13,1,2024-09-07 09:34:41:538,871999,871999,0,0,409435483968,4307442521,862390,7316,2293,382,391740,0 13,2,2024-09-07 09:34:40:597,628686,628686,0,0,26132541,0,3287 13,3,2024-09-07 09:34:41:773,1,498,2,0,522,7119,498,0 14,0,2024-09-07 09:34:40:567,126013,0.4,127025,0.6,251861,0.4,335963,1.75 14,1,2024-09-07 09:34:41:561,877473,877473,0,0,412241418578,4269107626,870568,6177,728,364,391673,0 14,2,2024-09-07 09:34:40:765,628914,628884,30,0,27512471,0,6104 14,3,2024-09-07 09:34:41:351,1,498,4,0,1168,5805,498,0 15,0,2024-09-07 09:34:41:558,126561,0.5,126079,0.7,253135,0.4,337057,2.00 15,1,2024-09-07 09:34:41:609,874575,874575,0,0,410893520840,4282646058,866938,6152,1485,381,391619,0 15,2,2024-09-07 09:34:41:006,633729,633729,0,0,23178059,0,3622 15,3,2024-09-07 09:34:41:405,1,498,2,0,1126,7270,498,0 16,0,2024-09-07 09:34:40:989,124517,0.5,125126,0.7,249272,0.5,332007,2.00 16,1,2024-09-07 09:34:40:605,874767,874767,0,0,410436547863,4294994557,866374,7095,1298,370,392194,0 16,2,2024-09-07 09:34:41:448,629919,629919,0,0,27300314,0,4719 16,3,2024-09-07 09:34:41:146,1,498,16,0,358,6481,498,0 17,0,2024-09-07 09:34:41:788,126924,0.6,123787,0.7,242362,0.6,328939,2.00 17,1,2024-09-07 09:34:40:586,872569,872569,0,0,409573340009,4300469489,863320,7502,1747,368,392075,0 17,2,2024-09-07 09:34:41:666,631605,631604,1,0,28029155,0,5050 17,3,2024-09-07 09:34:40:586,1,498,1,0,518,7654,498,0 18,0,2024-09-07 09:34:40:947,122786,0.7,123532,0.8,246038,0.7,329233,2.25 18,1,2024-09-07 09:34:41:640,876658,876658,0,0,411684056279,4273351868,870562,5101,995,367,391725,0 18,2,2024-09-07 09:34:41:755,628973,628973,0,0,24740433,0,3541 18,3,2024-09-07 09:34:40:897,1,498,1,0,1059,4870,498,0 19,0,2024-09-07 09:34:41:539,127018,0.6,127362,0.8,253153,0.6,337410,2.25 19,1,2024-09-07 09:34:40:566,875924,875924,0,0,411255337267,4267860152,868945,5895,1084,367,391777,0 19,2,2024-09-07 09:34:41:758,634311,634311,0,0,23390264,0,3988 19,3,2024-09-07 09:34:41:133,1,498,1,0,524,4117,498,0 20,0,2024-09-07 09:34:41:384,122710,0.5,122873,0.7,245290,0.5,327527,2.00 20,1,2024-09-07 09:34:40:578,873317,873317,0,0,409768394433,4295765569,864187,7768,1362,369,391922,0 20,2,2024-09-07 09:34:40:929,630769,630769,0,0,28272095,0,3721 20,3,2024-09-07 09:34:40:588,1,498,1,0,468,8807,498,0 21,0,2024-09-07 09:34:41:147,123095,0.4,123241,0.6,246420,0.4,326871,1.75 21,1,2024-09-07 09:34:41:541,871278,871278,0,0,409415147439,4324640756,857141,11020,3117,368,392016,0 21,2,2024-09-07 09:34:41:074,626959,626939,20,0,33247950,0,5617 21,3,2024-09-07 09:34:41:405,1,498,4,0,713,6651,498,0 22,0,2024-09-07 09:34:41:725,123448,0.5,123796,0.7,247269,0.4,328111,2.00 22,1,2024-09-07 09:34:41:023,872084,872084,0,0,409838462661,4318909363,856952,11908,3224,382,391822,0 22,2,2024-09-07 09:34:40:764,624204,624178,26,0,27803843,0,6328 22,3,2024-09-07 09:34:41:070,1,498,2,0,228,4200,498,0 23,0,2024-09-07 09:34:41:374,126982,0.5,126454,0.7,253249,0.5,338106,2.25 23,1,2024-09-07 09:34:41:010,873239,873239,0,0,410534567426,4313723706,857583,11248,4408,365,391690,0 23,2,2024-09-07 09:34:41:092,632395,632395,0,0,26549713,0,3773 23,3,2024-09-07 09:34:41:754,1,498,1,0,720,6695,498,0 24,0,2024-09-07 09:34:40:861,124758,0.4,123888,0.6,249114,0.4,330463,1.75 24,1,2024-09-07 09:34:40:595,872247,872247,0,0,409266104575,4289031329,863303,7272,1672,367,392269,0 24,2,2024-09-07 09:34:41:085,631838,631838,0,0,31547664,0,4438 24,3,2024-09-07 09:34:41:687,1,498,4,0,468,6456,498,0 25,0,2024-09-07 09:34:41:368,127941,0.4,124636,0.6,244429,0.4,332667,1.75 25,1,2024-09-07 09:34:40:559,871304,871304,0,0,409376317044,4322230957,856436,11899,2969,369,391928,0 25,2,2024-09-07 09:34:41:615,628536,628536,0,0,32627645,0,3978 25,3,2024-09-07 09:34:41:006,1,498,12,0,532,5571,498,0 26,0,2024-09-07 09:34:41:736,123579,0.4,120911,0.6,253538,0.4,329508,1.75 26,1,2024-09-07 09:34:41:541,874207,874207,0,0,409649281879,4293904442,861378,10377,2452,380,391748,0 26,2,2024-09-07 09:34:40:861,625604,625604,0,0,34372712,0,4689 26,3,2024-09-07 09:34:41:715,1,498,2,0,796,5678,498,0 27,0,2024-09-07 09:34:41:736,126760,0.5,126999,0.6,252557,0.4,337373,2.25 27,1,2024-09-07 09:34:41:676,875423,875423,0,0,411210885516,4286093241,866875,7281,1267,381,391626,0 27,2,2024-09-07 09:34:40:868,627399,627334,65,0,30082657,0,5699 27,3,2024-09-07 09:34:41:015,1,498,1,0,564,4476,498,0 28,0,2024-09-07 09:34:41:391,126151,0.4,125722,0.6,252335,0.4,335866,2.00 28,1,2024-09-07 09:34:40:798,875349,875349,0,0,411373564200,4292456322,867580,6055,1714,382,391698,0 28,2,2024-09-07 09:34:41:780,632136,632136,0,0,26501580,0,2915 28,3,2024-09-07 09:34:41:776,1,498,4,0,502,5139,498,0 29,0,2024-09-07 09:34:41:407,128605,0.4,125345,0.6,245731,0.3,335164,1.75 29,1,2024-09-07 09:34:41:563,877758,877758,0,0,411685972765,4261711395,872205,4792,761,368,391809,0 29,2,2024-09-07 09:34:40:862,629624,629624,0,0,25824351,0,4986 29,3,2024-09-07 09:34:40:976,1,498,0,0,459,5654,498,0 30,0,2024-09-07 09:34:41:462,123133,0.5,119650,0.7,250584,0.4,327910,2.00 30,1,2024-09-07 09:34:40:576,876827,876827,0,0,411654493725,4276032007,869854,6071,902,380,391672,0 30,2,2024-09-07 09:34:41:281,629058,629058,0,0,24928919,0,4192 30,3,2024-09-07 09:34:40:585,1,498,14,0,519,4949,498,0 31,0,2024-09-07 09:34:41:759,124953,0.5,125160,0.7,250186,0.5,334147,2.00 31,1,2024-09-07 09:34:40:564,879506,879506,0,0,413753902980,4250927084,874184,4311,1011,356,391712,0 31,2,2024-09-07 09:34:41:278,626845,626845,0,0,27461607,0,4470 31,3,2024-09-07 09:34:41:706,1,498,1,0,220,4209,498,0 32,0,2024-09-07 09:34:41:446,127661,0.4,128372,0.5,255621,0.3,341306,1.75 32,1,2024-09-07 09:34:40:808,875735,875735,0,0,412188970483,4287374706,869942,5086,707,381,391646,0 32,2,2024-09-07 09:34:40:935,633153,633153,0,0,23765858,0,3922 32,3,2024-09-07 09:34:41:015,1,498,1,0,304,4111,498,0 33,0,2024-09-07 09:34:41:503,124282,0.3,123901,0.4,247867,0.2,330446,1.50 33,1,2024-09-07 09:34:40:576,877034,877034,0,0,412125385672,4272924543,869812,6050,1172,368,391730,0 33,2,2024-09-07 09:34:40:767,631928,631893,35,0,26533970,0,7012 33,3,2024-09-07 09:34:40:898,1,498,0,0,329,4798,498,0 34,0,2024-09-07 09:34:40:930,123228,0.3,126673,0.4,242087,0.2,325966,1.75 34,1,2024-09-07 09:34:41:122,878687,878687,0,0,412848055507,4248117476,876179,2486,22,367,391562,0 34,2,2024-09-07 09:34:40:768,631293,631293,0,0,25170262,0,4562 34,3,2024-09-07 09:34:41:688,1,498,1,0,320,3971,498,0 35,0,2024-09-07 09:34:40:858,122768,0.3,123471,0.5,248071,0.3,329814,1.75 35,1,2024-09-07 09:34:41:081,875875,875875,0,0,411757026597,4274952579,869223,5439,1213,382,391769,0 35,2,2024-09-07 09:34:41:584,625629,625629,0,0,27232236,0,4055 35,3,2024-09-07 09:34:40:909,1,498,1,0,418,4818,498,0 36,0,2024-09-07 09:34:41:573,128188,0.5,128180,0.7,256170,0.5,341465,2.25 36,1,2024-09-07 09:34:40:612,874687,874687,0,0,409660724962,4284421651,862414,10054,2219,366,391759,0 36,2,2024-09-07 09:34:41:758,632133,632133,0,0,28911958,0,3875 36,3,2024-09-07 09:34:40:863,1,498,1,0,416,7123,498,0 37,0,2024-09-07 09:34:41:402,122116,0.5,122105,0.7,244559,0.5,325886,2.25 37,1,2024-09-07 09:34:40:584,873507,873500,0,7,410160191497,4287597831,862431,8396,2673,365,391770,0 37,2,2024-09-07 09:34:41:145,629790,629775,15,0,29320381,0,5815 37,3,2024-09-07 09:34:41:771,1,498,6,0,888,7196,498,0 38,0,2024-09-07 09:34:41:438,123005,0.4,119352,0.6,249730,0.4,326773,2.00 38,1,2024-09-07 09:34:41:611,875153,875153,0,0,411302038256,4304848170,861931,10703,2519,368,391821,0 38,2,2024-09-07 09:34:40:764,628821,628774,47,0,29523420,0,6710 38,3,2024-09-07 09:34:40:999,1,498,5,0,689,6530,498,0 39,0,2024-09-07 09:34:41:763,126561,0.5,123779,0.7,241255,0.5,328946,2.00 39,1,2024-09-07 09:34:40:718,873251,873251,0,0,409552166003,4302514839,857488,12294,3469,365,391658,0 39,2,2024-09-07 09:34:41:421,626251,626251,0,0,27083057,0,3391 39,3,2024-09-07 09:34:40:720,1,498,1,0,525,5944,498,0 40,0,2024-09-07 09:34:41:511,125393,0.9,126136,1.0,251735,1.0,336276,2.75 40,1,2024-09-07 09:34:40:576,875262,875262,0,0,409731084913,4290015238,863251,9833,2178,368,391668,0 40,2,2024-09-07 09:34:41:308,629159,629158,1,0,32585274,0,5137 40,3,2024-09-07 09:34:41:143,1,498,1,0,1028,7260,498,0 41,0,2024-09-07 09:34:41:042,123760,1.4,126400,1.2,241339,2.0,328265,3.25 41,1,2024-09-07 09:34:40:773,872907,872907,0,0,409819219926,4292876805,861042,9932,1933,369,391742,0 41,2,2024-09-07 09:34:40:759,629490,629489,1,0,31323222,0,5408 41,3,2024-09-07 09:34:41:678,1,498,17,0,366,5291,498,0 42,0,2024-09-07 09:34:41:481,124466,0.8,124158,1.0,248635,0.9,329139,2.50 42,1,2024-09-07 09:34:41:439,870956,870956,0,0,409454061401,4310659022,855950,12098,2908,380,391675,0 42,2,2024-09-07 09:34:41:134,629329,629329,0,0,30117528,0,3975 42,3,2024-09-07 09:34:41:009,1,498,1,0,892,4662,498,0 43,0,2024-09-07 09:34:40:918,123097,0.7,119922,0.9,250820,0.7,328924,2.25 43,1,2024-09-07 09:34:40:576,873790,873790,0,0,411051234164,4299564690,860925,10606,2259,366,391696,0 43,2,2024-09-07 09:34:41:746,627555,627555,0,0,29955300,0,4723 43,3,2024-09-07 09:34:41:751,1,498,3,0,571,7185,498,0 44,0,2024-09-07 09:34:40:858,126117,0.4,126201,0.6,252825,0.4,336490,2.00 44,1,2024-09-07 09:34:40:566,876650,876650,0,0,411429892497,4255555883,868858,6205,1587,356,391809,0 44,2,2024-09-07 09:34:41:275,627208,627208,0,0,24785929,0,4344 44,3,2024-09-07 09:34:41:097,1,498,1,0,817,5505,498,0 45,0,2024-09-07 09:34:41:767,125373,0.5,122408,0.8,256789,0.5,337269,2.00 45,1,2024-09-07 09:34:41:007,875450,875450,0,0,412094992024,4286666262,867943,6631,876,382,391917,0 45,2,2024-09-07 09:34:41:267,633187,633187,0,0,25112464,0,3596 45,3,2024-09-07 09:34:40:939,1,498,8,0,271,4459,498,0 46,0,2024-09-07 09:34:40:956,124051,0.5,123468,0.7,247834,0.4,329272,2.00 46,1,2024-09-07 09:34:40:576,877531,877531,0,0,411907863864,4260315109,871135,5533,863,366,391709,0 46,2,2024-09-07 09:34:40:600,630681,630681,0,0,25309765,0,4443 46,3,2024-09-07 09:34:41:140,1,498,14,0,908,5935,498,0 47,0,2024-09-07 09:34:41:132,123227,0.4,123562,0.6,247538,0.3,327827,1.75 47,1,2024-09-07 09:34:40:567,877614,877614,0,0,412082648927,4259518101,872390,4385,839,366,391641,0 47,2,2024-09-07 09:34:40:910,632204,632204,0,0,25194848,0,4477 47,3,2024-09-07 09:34:41:127,1,498,7,0,600,5808,498,0 48,0,2024-09-07 09:34:41:506,124636,0.3,124556,0.4,248089,0.2,331299,1.50 48,1,2024-09-07 09:34:41:022,875893,875893,0,0,411365985826,4276434357,870143,5178,572,384,391710,0 48,2,2024-09-07 09:34:40:699,627254,627254,0,0,23057253,0,3411 48,3,2024-09-07 09:34:40:753,1,498,2,0,339,3998,498,0 49,0,2024-09-07 09:34:41:745,130757,0.4,128480,0.5,249486,0.3,341252,1.75 49,1,2024-09-07 09:34:41:021,875382,875382,0,0,411271219276,4278146306,869821,4351,1210,382,391809,0 49,2,2024-09-07 09:34:42:026,632970,632970,0,0,25615725,0,4426 49,3,2024-09-07 09:34:41:425,1,498,9,0,992,5783,498,0 50,0,2024-09-07 09:34:41:521,123499,0.3,121797,0.5,245430,0.2,327435,1.75 50,1,2024-09-07 09:34:41:011,878181,878181,0,0,412814920324,4271029302,872244,5271,666,368,391565,0 50,2,2024-09-07 09:34:41:071,631365,631365,0,0,23552024,0,4490 50,3,2024-09-07 09:34:41:292,1,498,2,0,617,5403,498,0 51,0,2024-09-07 09:34:41:685,126315,0.3,123705,0.4,240873,0.2,328391,1.75 51,1,2024-09-07 09:34:41:679,878875,878875,0,0,412826857096,4259246069,874113,3708,1054,365,391706,0 51,2,2024-09-07 09:34:41:316,630795,630795,0,0,22849727,0,3337 51,3,2024-09-07 09:34:41:027,1,498,1,0,678,3744,498,0 52,0,2024-09-07 09:34:41:425,123928,0.5,123587,0.7,247477,0.5,328994,2.00 52,1,2024-09-07 09:34:40:576,874507,874507,0,0,410266956866,4299929962,861284,11118,2105,368,391722,0 52,2,2024-09-07 09:34:41:755,622367,622329,38,0,30225167,0,6742 52,3,2024-09-07 09:34:40:677,1,498,1,0,1782,6577,498,0 53,0,2024-09-07 09:34:41:745,126538,0.7,122827,0.8,257026,0.8,337765,2.50 53,1,2024-09-07 09:34:40:771,872454,872454,0,0,410335356441,4311902332,857105,11505,3844,367,391968,0 53,2,2024-09-07 09:34:41:298,631587,631586,1,0,27171519,0,5455 53,3,2024-09-07 09:34:40:698,1,498,1,0,308,5116,498,0 54,0,2024-09-07 09:34:41:615,121743,0.6,122109,0.8,243138,0.5,324300,2.25 54,1,2024-09-07 09:34:40:580,874870,874870,0,0,411393124791,4284031338,864806,8430,1634,366,391810,0 54,2,2024-09-07 09:34:40:866,632263,632231,32,0,31051841,0,6397 54,3,2024-09-07 09:34:40:766,1,498,0,0,676,6906,498,0 55,0,2024-09-07 09:34:41:761,120194,0.6,123965,0.7,251126,0.5,327014,2.50 55,1,2024-09-07 09:34:40:765,876064,876064,0,0,411172007300,4279378051,866422,8324,1318,365,391731,0 55,2,2024-09-07 09:34:40:729,629412,629356,56,0,29441302,0,7239 55,3,2024-09-07 09:34:40:677,1,498,1,0,304,4811,498,0 56,0,2024-09-07 09:34:41:587,126648,1.1,119313,1.1,246001,1.5,328882,2.75 56,1,2024-09-07 09:34:40:593,870727,870727,0,0,409167298428,4325977848,856419,11396,2912,381,391867,0 56,2,2024-09-07 09:34:41:310,625761,625639,122,0,30555515,0,7432 56,3,2024-09-07 09:34:41:061,1,498,1,0,705,6166,498,0 57,0,2024-09-07 09:34:40:943,124654,1.7,124562,1.3,249585,2.4,333773,3.50 57,1,2024-09-07 09:34:40:990,872347,872347,0,0,409241567639,4299010175,860182,9882,2283,366,392032,0 57,2,2024-09-07 09:34:41:321,629386,629386,0,0,31465730,0,4317 57,3,2024-09-07 09:34:41:753,1,498,22,0,455,5741,498,0 58,0,2024-09-07 09:34:40:589,123864,1.0,120247,1.0,251669,1.3,329259,2.75 58,1,2024-09-07 09:34:40:584,873623,873620,0,3,411339062507,4315444170,859837,10464,3319,367,391603,3 58,2,2024-09-07 09:34:41:081,631441,631441,0,0,29846656,0,3483 58,3,2024-09-07 09:34:41:080,1,498,0,0,1043,5612,498,0 59,0,2024-09-07 09:34:41:747,124620,0.8,124389,1.0,248213,0.9,329464,2.75 59,1,2024-09-07 09:34:40:807,872494,872494,0,0,409985173381,4310906083,857757,11598,3139,369,391653,0 59,2,2024-09-07 09:34:40:587,629368,629368,0,0,28610069,0,3727 59,3,2024-09-07 09:34:41:745,1,498,3,0,1015,6357,498,0 60,0,2024-09-07 09:34:41:789,123259,0.5,123557,0.7,247176,0.5,328686,1.75 60,1,2024-09-07 09:34:40:779,876946,876946,0,0,411629459905,4274983851,870785,5229,932,370,392031,0 60,2,2024-09-07 09:34:41:141,628661,628661,0,0,27181992,0,3811 60,3,2024-09-07 09:34:41:264,1,498,1,0,409,6055,498,0 61,0,2024-09-07 09:34:41:494,125010,0.8,125559,0.9,250093,0.9,333892,2.00 61,1,2024-09-07 09:34:40:777,873784,873784,0,0,410089572236,4298650930,863918,8286,1580,382,392127,0 61,2,2024-09-07 09:34:41:121,627649,627582,67,0,28021775,0,6411 61,3,2024-09-07 09:34:41:692,1,498,135,0,607,7417,498,0 62,0,2024-09-07 09:34:41:707,128018,0.6,131276,0.7,250600,0.6,340948,2.00 62,1,2024-09-07 09:34:41:111,878900,878894,0,6,412925373371,4258264606,874744,3870,280,365,391975,6 62,2,2024-09-07 09:34:41:644,630108,630107,1,0,27281212,0,5555 62,3,2024-09-07 09:34:41:143,1,498,1,0,482,4130,498,0 63,0,2024-09-07 09:34:41:502,124194,0.4,123947,0.6,248836,0.4,330785,1.75 63,1,2024-09-07 09:34:40:807,876451,876445,0,6,412064704400,4280345460,870701,4993,751,381,391800,6 63,2,2024-09-07 09:34:40:764,630654,630654,0,0,26415641,0,4369 63,3,2024-09-07 09:34:41:733,1,498,9,0,667,5382,498,0 64,0,2024-09-07 09:34:41:530,122567,0.5,122610,0.6,244710,0.4,325229,2.00 64,1,2024-09-07 09:34:40:752,875941,875941,0,0,411309900365,4286766534,868057,6114,1770,370,391783,0 64,2,2024-09-07 09:34:41:159,633857,633838,19,0,25380444,0,6121 64,3,2024-09-07 09:34:41:142,1,498,8,0,651,5383,498,0 65,0,2024-09-07 09:34:41:765,122634,0.6,122958,0.8,245282,0.7,327194,2.00 65,1,2024-09-07 09:34:40:861,873775,873775,0,0,410270057363,4291619232,867036,5944,795,381,391901,0 65,2,2024-09-07 09:34:41:705,625990,625990,0,0,29385366,0,3367 65,3,2024-09-07 09:34:41:689,1,498,6,0,782,6076,498,0 66,0,2024-09-07 09:34:41:776,127456,0.6,126999,0.8,254249,0.5,338813,2.25 66,1,2024-09-07 09:34:41:295,875829,875829,0,0,411524397609,4286494930,869683,5424,722,380,391743,0 66,2,2024-09-07 09:34:41:134,633880,633877,3,0,26997050,0,5455 66,3,2024-09-07 09:34:41:081,1,498,1,0,291,4475,498,0 67,0,2024-09-07 09:34:41:414,122522,0.6,122202,0.7,245364,0.5,326100,2.25 67,1,2024-09-07 09:34:40:775,875749,875748,0,1,411152623026,4283207303,869493,5442,813,380,391787,1 67,2,2024-09-07 09:34:40:587,633985,633970,15,0,26265998,0,6205 67,3,2024-09-07 09:34:41:751,1,498,8,0,392,4858,498,0 68,0,2024-09-07 09:34:40:600,123872,0.5,123793,0.7,246544,0.5,329236,2.00 68,1,2024-09-07 09:34:40:586,872127,872127,0,0,409734892947,4313093494,859909,8819,3399,381,391953,0 68,2,2024-09-07 09:34:41:063,626288,626188,100,0,33860269,0,8578 68,3,2024-09-07 09:34:40:746,1,498,12,0,417,6493,498,0 69,0,2024-09-07 09:34:41:758,122978,0.7,123778,0.8,246569,0.7,327219,2.25 69,1,2024-09-07 09:34:41:018,871345,871345,0,0,408695102826,4311799762,859262,9324,2759,384,391994,0 69,2,2024-09-07 09:34:41:748,624565,624536,29,0,34524967,0,6912 69,3,2024-09-07 09:34:40:779,1,498,0,0,698,7523,498,0 70,0,2024-09-07 09:34:41:540,125306,1.0,125720,1.1,252755,1.0,335104,2.50 70,1,2024-09-07 09:34:40:809,876462,876462,0,0,412609589036,4284829196,869211,6489,762,366,391725,0 70,2,2024-09-07 09:34:41:331,630606,630606,0,0,29283954,0,4323 70,3,2024-09-07 09:34:40:745,1,498,1,0,854,5663,498,0 71,0,2024-09-07 09:34:41:377,123132,1.2,122871,1.1,246921,1.8,329347,3.00 71,1,2024-09-07 09:34:41:596,874008,874008,0,0,410750244458,4292871584,862620,10133,1255,368,391738,0 71,2,2024-09-07 09:34:41:071,631102,631102,0,0,30053564,0,4352 71,3,2024-09-07 09:34:41:751,1,498,8,0,644,6068,498,0 72,0,2024-09-07 09:34:41:035,129179,0.5,126315,0.7,246359,0.5,334618,2.00 72,1,2024-09-07 09:34:41:038,872550,872550,0,0,409918206546,4306417454,859176,11093,2281,369,391819,0 72,2,2024-09-07 09:34:41:758,628693,628693,0,0,31342590,0,3983 72,3,2024-09-07 09:34:41:756,1,498,2,0,564,7350,498,0 73,0,2024-09-07 09:34:41:126,121005,0.4,124251,0.6,253735,0.4,329884,2.00 73,1,2024-09-07 09:34:40:785,875354,875354,0,0,411080091255,4271993240,869129,5801,424,367,391858,0 73,2,2024-09-07 09:34:41:751,626469,626469,0,0,31727659,0,4728 73,3,2024-09-07 09:34:40:971,1,498,13,0,486,6376,498,0 74,0,2024-09-07 09:34:41:328,126982,0.5,129958,0.7,247998,0.5,336889,2.25 74,1,2024-09-07 09:34:40:640,874093,874093,0,0,409886513876,4285223733,863653,8517,1923,381,391762,0 74,2,2024-09-07 09:34:41:003,628046,628046,0,0,27692417,0,4253 74,3,2024-09-07 09:34:41:448,1,498,2,0,522,6120,498,0 75,0,2024-09-07 09:34:41:764,127170,0.6,126257,0.8,253714,0.6,338701,2.25 75,1,2024-09-07 09:34:41:585,874963,874963,0,0,410493233613,4283667500,867085,7018,860,380,391739,0 75,2,2024-09-07 09:34:41:349,630654,630654,0,0,33085809,0,4766 75,3,2024-09-07 09:34:41:072,1,498,1,0,702,6095,498,0 76,0,2024-09-07 09:34:40:618,123922,0.5,123241,0.7,247206,0.5,330769,2.25 76,1,2024-09-07 09:34:40:808,874056,874056,0,0,410488999705,4285235635,867438,5652,966,382,391692,0 76,2,2024-09-07 09:34:41:060,632488,632485,3,0,28009241,0,5265 76,3,2024-09-07 09:34:41:142,1,498,116,0,227,4785,498,0 77,0,2024-09-07 09:34:41:786,122662,0.5,123169,0.7,246458,0.5,326872,2.00 77,1,2024-09-07 09:34:40:839,874989,874989,0,0,410489912607,4285005508,868165,6233,591,381,391869,0 77,2,2024-09-07 09:34:41:287,629226,629226,0,0,27605075,0,3890 77,3,2024-09-07 09:34:41:097,1,498,1,0,401,5385,498,0 78,0,2024-09-07 09:34:41:731,124320,0.4,123765,0.6,248410,0.4,329917,2.00 78,1,2024-09-07 09:34:40:620,874402,874402,0,0,410191563855,4282260365,863640,8238,2524,367,391670,0 78,2,2024-09-07 09:34:41:405,627894,627881,13,0,25520555,0,8313 78,3,2024-09-07 09:34:41:140,1,498,1,0,311,4401,498,0 79,0,2024-09-07 09:34:41:356,123088,0.4,126245,0.6,258210,0.4,336184,2.25 79,1,2024-09-07 09:34:40:576,877373,877373,0,0,411926856489,4272157774,869645,6372,1356,367,391682,0 79,2,2024-09-07 09:34:41:071,632341,632341,0,0,24659093,0,4195 79,3,2024-09-07 09:34:40:753,1,498,2,0,418,6221,498,0 80,0,2024-09-07 09:34:41:307,122628,0.5,125900,0.7,241234,0.5,326708,2.00 80,1,2024-09-07 09:34:41:656,874518,874518,0,0,411435893371,4285183608,868704,5390,424,368,392269,0 80,2,2024-09-07 09:34:41:110,633295,633295,0,0,25110716,0,4433 80,3,2024-09-07 09:34:40:580,1,498,1,0,296,6042,498,0 81,0,2024-09-07 09:34:41:679,123183,0.5,126181,0.7,240649,0.5,326938,2.00 81,1,2024-09-07 09:34:41:679,873578,873578,0,0,410569255815,4294714651,866186,6755,637,382,391885,0 81,2,2024-09-07 09:34:41:129,629399,629336,63,0,28136295,0,5932 81,3,2024-09-07 09:34:41:128,1,498,1,0,719,5824,498,0 82,0,2024-09-07 09:34:41:538,123227,0.5,123323,0.7,247203,0.4,328198,2.00 82,1,2024-09-07 09:34:40:591,875935,875931,0,4,411231348430,4278216531,870854,4257,820,381,391768,4 82,2,2024-09-07 09:34:41:691,627445,627445,0,0,23325186,0,4484 82,3,2024-09-07 09:34:41:752,1,498,1,0,363,4859,498,0 83,0,2024-09-07 09:34:41:524,127308,0.7,127129,0.8,253768,0.7,338025,2.25 83,1,2024-09-07 09:34:40:555,874744,874744,0,0,411128031985,4288414250,868412,5880,452,382,391709,0 83,2,2024-09-07 09:34:40:765,631469,631444,25,0,25251807,0,5612 83,3,2024-09-07 09:34:40:752,1,498,17,0,1260,6411,498,0 84,0,2024-09-07 09:34:41:826,122607,0.8,122777,0.9,245134,0.7,327615,2.25 84,1,2024-09-07 09:34:41:041,873285,873285,0,0,410384896440,4294668637,863101,8663,1521,367,391967,0 84,2,2024-09-07 09:34:40:576,631015,630985,30,0,33544573,0,5971 84,3,2024-09-07 09:34:41:142,1,498,1,0,908,7252,498,0 85,0,2024-09-07 09:34:41:023,119734,0.6,119684,0.8,254063,0.5,328549,2.25 85,1,2024-09-07 09:34:40:559,870451,870451,0,0,408828303870,4322973293,856204,11594,2653,381,392092,0 85,2,2024-09-07 09:34:40:866,628635,628635,0,0,31276546,0,4255 85,3,2024-09-07 09:34:40:694,1,498,3,0,789,5801,498,0 86,0,2024-09-07 09:34:40:920,123823,0.6,127365,0.8,243603,0.7,329253,2.25 86,1,2024-09-07 09:34:40:830,873287,873287,0,0,409966535019,4300265307,862015,9304,1968,366,391961,0 86,2,2024-09-07 09:34:40:865,623944,623943,1,0,33589771,0,5004 86,3,2024-09-07 09:34:40:587,1,498,3,0,308,7403,498,0 87,0,2024-09-07 09:34:41:384,126529,1.0,126245,0.9,252452,1.3,337723,2.50 87,1,2024-09-07 09:34:40:567,872109,872109,0,0,409615533293,4297473951,859931,10467,1711,366,392076,0 87,2,2024-09-07 09:34:41:088,628982,628976,6,0,29464130,0,6323 87,3,2024-09-07 09:34:41:815,1,498,2,0,473,7533,498,0 88,0,2024-09-07 09:34:41:476,125652,0.5,126259,0.6,252303,0.5,335444,2.00 88,1,2024-09-07 09:34:40:585,871392,871392,0,0,409610965700,4295481987,858780,9999,2613,365,392084,0 88,2,2024-09-07 09:34:40:690,631772,631772,0,0,31978334,0,4465 88,3,2024-09-07 09:34:41:268,1,498,8,0,435,6407,498,0 89,0,2024-09-07 09:34:41:774,128765,0.4,124753,0.6,246704,0.4,335280,1.75 89,1,2024-09-07 09:34:40:552,871738,871738,0,0,410419884776,4321415464,860344,9579,1815,382,391866,0 89,2,2024-09-07 09:34:41:140,629206,629206,0,0,30377348,0,3173 89,3,2024-09-07 09:34:41:816,1,498,9,0,468,8357,498,0 90,0,2024-09-07 09:34:41:622,119751,0.4,123181,0.6,250881,0.4,327681,1.75 90,1,2024-09-07 09:34:40:589,873618,873618,0,0,410117332070,4296586512,865123,7763,732,380,391825,0 90,2,2024-09-07 09:34:41:409,626358,626358,0,0,32568074,0,3608 90,3,2024-09-07 09:34:40:945,1,498,6,0,322,6185,498,0 91,0,2024-09-07 09:34:40:936,125638,0.5,121748,0.6,254649,0.5,334421,1.75 91,1,2024-09-07 09:34:40:565,872107,872107,0,0,410030698514,4315997079,860549,9550,2008,381,392047,0 91,2,2024-09-07 09:34:41:330,628231,628231,0,0,28574657,0,4713 91,3,2024-09-07 09:34:40:598,1,498,1,0,216,4851,498,0 92,0,2024-09-07 09:34:41:512,128996,0.5,131892,0.6,251286,0.5,341137,1.75 92,1,2024-09-07 09:34:40:589,873952,873952,0,0,410201642815,4289197315,866460,6288,1204,381,392136,0 92,2,2024-09-07 09:34:41:359,632391,632391,0,0,25875616,0,3259 92,3,2024-09-07 09:34:41:009,1,498,0,0,167,4302,498,0 93,0,2024-09-07 09:34:40:996,124611,0.4,127716,0.6,243822,0.4,330791,1.75 93,1,2024-09-07 09:34:40:808,874220,874220,0,0,410127732548,4285999676,864135,8352,1733,366,391776,0 93,2,2024-09-07 09:34:40:949,630486,630486,0,0,31461596,0,4913 93,3,2024-09-07 09:34:41:407,1,498,8,0,190,4630,498,0 94,0,2024-09-07 09:34:41:631,122674,0.3,123292,0.5,246533,0.3,326937,1.75 94,1,2024-09-07 09:34:40:563,874558,874558,0,0,411536906888,4295112169,868466,5719,373,381,391850,0 94,2,2024-09-07 09:34:40:765,629939,629911,28,0,26961598,0,6179 94,3,2024-09-07 09:34:41:691,1,498,1,0,576,6473,498,0 95,0,2024-09-07 09:34:41:384,123769,0.3,123459,0.5,247374,0.3,329837,1.75 95,1,2024-09-07 09:34:40:878,875986,875986,0,0,411299380863,4277765595,868663,6668,655,365,391786,0 95,2,2024-09-07 09:34:41:016,625670,625670,0,0,25521902,0,3308 95,3,2024-09-07 09:34:41:709,1,498,1,0,718,7960,498,0 96,0,2024-09-07 09:34:41:054,128112,0.4,128333,0.5,256531,0.4,340742,1.75 96,1,2024-09-07 09:34:41:587,874774,874774,0,0,410895589348,4288158366,868398,5465,911,384,391955,0 96,2,2024-09-07 09:34:41:279,632522,632522,0,0,26598466,0,4180 96,3,2024-09-07 09:34:41:140,1,498,4,0,411,5368,498,0 97,0,2024-09-07 09:34:41:368,122735,0.3,122402,0.5,245921,0.3,326490,1.75 97,1,2024-09-07 09:34:40:768,875997,875997,0,0,412029576327,4276109030,870259,4795,943,367,392140,0 97,2,2024-09-07 09:34:40:618,632796,632796,0,0,26067261,0,3679 97,3,2024-09-07 09:34:40:577,1,498,5,0,242,5937,498,0 98,0,2024-09-07 09:34:41:705,123345,0.3,123570,0.4,247783,0.2,328815,1.50 98,1,2024-09-07 09:34:40:577,875510,875510,0,0,410744594863,4280110187,870117,4595,798,381,391997,0 98,2,2024-09-07 09:34:40:769,630215,630215,0,0,25757741,0,4336 98,3,2024-09-07 09:34:40:699,1,498,5,0,840,7885,498,0 99,0,2024-09-07 09:34:41:496,123563,0.3,124200,0.5,247144,0.3,329676,1.75 99,1,2024-09-07 09:34:41:728,875770,875770,0,0,410353943727,4273846854,869838,5009,923,380,392069,0 99,2,2024-09-07 09:34:41:419,626853,626853,0,0,30706563,0,4276 99,3,2024-09-07 09:34:40:585,1,498,6,0,606,5165,498,0 100,0,2024-09-07 09:34:41:483,126415,1.0,126777,1.0,252800,1.2,338345,2.50 100,1,2024-09-07 09:34:40:559,870386,870386,0,0,408884143043,4327955521,856137,11151,3098,378,391989,0 100,2,2024-09-07 09:34:41:818,628007,627996,11,0,30270740,0,5417 100,3,2024-09-07 09:34:41:745,1,498,4,0,627,8914,498,0 101,0,2024-09-07 09:34:41:697,127012,1.4,123767,1.1,242436,1.5,331549,2.50 101,1,2024-09-07 09:34:40:551,871546,871546,0,0,409325202763,4305176269,859286,9861,2399,368,391847,0 101,2,2024-09-07 09:34:41:755,627807,627807,0,0,35304133,0,4871 101,3,2024-09-07 09:34:40:963,1,498,8,0,1250,7762,498,0 102,0,2024-09-07 09:34:41:005,121774,0.6,125479,0.7,254662,0.6,332899,2.00 102,1,2024-09-07 09:34:41:151,871676,871676,0,0,410383068002,4314740778,859493,10164,2019,369,391891,0 102,2,2024-09-07 09:34:41:784,629698,629644,54,0,29919132,0,6768 102,3,2024-09-07 09:34:41:619,1,498,2,0,466,6010,498,0 103,0,2024-09-07 09:34:41:617,128233,0.5,128257,0.7,241715,0.5,332377,2.00 103,1,2024-09-07 09:34:41:631,870597,870597,0,0,409030915408,4322971686,856567,10832,3198,381,391862,0 103,2,2024-09-07 09:34:40:596,625724,625724,0,0,31388510,0,3766 103,3,2024-09-07 09:34:40:765,1,498,1,0,916,6092,498,0 104,0,2024-09-07 09:34:41:022,125080,0.8,125258,1.0,249467,0.8,334716,2.50 104,1,2024-09-07 09:34:41:608,873226,873226,0,0,409721183655,4308528706,860195,10482,2549,365,392168,0 104,2,2024-09-07 09:34:41:668,626441,626441,0,0,30372821,0,3941 104,3,2024-09-07 09:34:41:415,1,498,32,0,1245,9062,498,0 105,0,2024-09-07 09:34:41:049,125510,1.0,122299,1.1,256504,1.3,337053,2.75 105,1,2024-09-07 09:34:40:556,874203,874203,0,0,410234637912,4302476611,862626,9812,1765,366,392009,0 105,2,2024-09-07 09:34:41:323,630553,630553,0,0,30673888,0,4360 105,3,2024-09-07 09:34:41:311,1,498,1,0,399,7263,498,0 106,0,2024-09-07 09:34:40:976,120164,0.8,123066,0.9,252301,0.8,329612,2.50 106,1,2024-09-07 09:34:41:753,873418,873418,0,0,409897769947,4305273900,860817,10955,1646,368,391914,0 106,2,2024-09-07 09:34:40:756,628866,628866,0,0,29013056,0,2920 106,3,2024-09-07 09:34:40:690,1,498,3,0,1224,7700,498,0 107,0,2024-09-07 09:34:41:107,122856,0.8,123059,0.9,246208,0.8,327961,2.00 107,1,2024-09-07 09:34:40:587,871531,871531,0,0,410081618419,4327571513,858640,11498,1393,381,392234,0 107,2,2024-09-07 09:34:41:383,627341,627340,1,0,31641316,0,5024 107,3,2024-09-07 09:34:41:780,1,498,4,0,733,7739,498,0 108,0,2024-09-07 09:34:41:822,123861,0.4,124624,0.6,247739,0.4,331275,1.75 108,1,2024-09-07 09:34:41:297,873778,873778,0,0,411163424092,4291781942,865898,6912,968,367,391857,0 108,2,2024-09-07 09:34:41:755,625866,625866,0,0,28251968,0,4246 108,3,2024-09-07 09:34:41:337,1,498,12,0,749,10168,498,0 109,0,2024-09-07 09:34:41:789,128129,0.4,127059,0.6,254649,0.4,340210,1.75 109,1,2024-09-07 09:34:40:588,871971,871971,0,0,410266143537,4307061918,863808,6951,1212,382,392132,0 109,2,2024-09-07 09:34:40:946,629083,629083,0,0,28202626,0,3617 109,3,2024-09-07 09:34:41:155,1,498,4,0,630,6341,498,0 110,0,2024-09-07 09:34:41:767,122750,0.4,119441,0.6,250183,0.4,328116,1.75 110,1,2024-09-07 09:34:41:653,875433,875433,0,0,411410692131,4276750013,867913,5600,1920,368,392045,0 110,2,2024-09-07 09:34:41:316,631913,631913,0,0,26797411,0,4067 110,3,2024-09-07 09:34:40:705,1,498,7,0,722,7118,498,0 111,0,2024-09-07 09:34:41:412,123538,0.3,122911,0.5,246192,0.3,328288,1.75 111,1,2024-09-07 09:34:41:007,876862,876862,0,0,412287481115,4277082638,872322,4184,356,380,391690,0 111,2,2024-09-07 09:34:41:121,629401,629401,0,0,27304994,0,4823 111,3,2024-09-07 09:34:40:921,1,498,1,0,379,5847,498,0 112,0,2024-09-07 09:34:40:923,124183,0.3,123562,0.4,247874,0.2,329158,1.50 112,1,2024-09-07 09:34:40:834,875555,875555,0,0,411692457657,4276624467,869662,5203,690,380,391624,0 112,2,2024-09-07 09:34:41:145,625534,625533,1,0,25826732,0,5036 112,3,2024-09-07 09:34:40:597,1,498,1,0,282,4916,498,0 113,0,2024-09-07 09:34:40:874,127449,0.3,127364,0.5,255109,0.3,339941,1.75 113,1,2024-09-07 09:34:41:696,878301,878301,0,0,413236897419,4266655028,873056,4432,813,365,391661,0 113,2,2024-09-07 09:34:41:319,633518,633518,0,0,23396642,0,3813 113,3,2024-09-07 09:34:40:684,1,498,8,0,340,5387,498,0 114,0,2024-09-07 09:34:40:876,124045,0.3,124934,0.5,248199,0.3,331581,1.75 114,1,2024-09-07 09:34:40:725,876004,876004,0,0,411082994403,4271788827,869170,5218,1616,381,391565,0 114,2,2024-09-07 09:34:40:874,633992,633991,1,0,25469526,0,5069 114,3,2024-09-07 09:34:41:285,1,498,1,0,395,3982,498,0 115,0,2024-09-07 09:34:40:557,124912,0.3,125362,0.4,250025,0.2,332045,1.50 115,1,2024-09-07 09:34:40:573,876334,876334,0,0,411673232440,4280943225,868936,6041,1357,382,391757,0 115,2,2024-09-07 09:34:41:125,632734,632734,0,0,25030032,0,4382 115,3,2024-09-07 09:34:41:009,1,498,1,0,167,2939,498,0 116,0,2024-09-07 09:34:41:742,123581,0.6,123507,0.8,247484,0.6,330380,2.00 116,1,2024-09-07 09:34:40:807,871924,871924,0,0,409938603977,4324006205,861288,8193,2443,380,392089,0 116,2,2024-09-07 09:34:41:758,624782,624782,0,0,31854223,0,4475 116,3,2024-09-07 09:34:40:917,1,498,5,0,415,6263,498,0 117,0,2024-09-07 09:34:41:003,126757,0.8,126160,0.9,252922,1.0,338130,2.00 117,1,2024-09-07 09:34:41:585,872496,872496,0,0,409707608074,4292775566,862529,8742,1225,369,392033,0 117,2,2024-09-07 09:34:41:121,631558,631558,0,0,27701734,0,4303 117,3,2024-09-07 09:34:41:063,1,498,2,0,490,7024,498,0 118,0,2024-09-07 09:34:41:854,122070,0.6,125381,0.7,255656,0.6,333924,2.00 118,1,2024-09-07 09:34:40:588,872366,872366,0,0,410106228613,4315527674,858879,10368,3119,366,392054,0 118,2,2024-09-07 09:34:41:587,630671,630671,0,0,30457280,0,2842 118,3,2024-09-07 09:34:41:775,1,498,4,0,248,5841,498,0 119,0,2024-09-07 09:34:41:426,124931,0.6,125235,0.8,250351,0.6,333427,2.00 119,1,2024-09-07 09:34:40:556,872693,872693,0,0,410660143569,4306639600,861042,9886,1765,367,391857,0 119,2,2024-09-07 09:34:41:263,630008,630008,0,0,28496476,0,4174 119,3,2024-09-07 09:34:41:332,1,498,2,0,1358,9680,498,0 120,0,2024-09-07 09:34:41:563,122783,0.6,122581,0.8,246084,0.6,328304,2.25 120,1,2024-09-07 09:34:40:860,873380,873380,0,0,410042457570,4301333524,864102,8499,779,368,392144,0 120,2,2024-09-07 09:34:40:769,626989,626988,1,0,32928420,0,5281 120,3,2024-09-07 09:34:41:307,1,498,2,0,279,6314,498,0 121,0,2024-09-07 09:34:41:712,125263,1.5,124641,1.1,249953,2.0,333507,2.50 121,1,2024-09-07 09:34:41:659,873878,873878,0,0,410827908251,4297591201,865162,8015,701,367,391840,0 121,2,2024-09-07 09:34:41:127,626140,626140,0,0,30028193,0,4157 121,3,2024-09-07 09:34:40:742,1,498,8,0,330,6113,498,0 122,0,2024-09-07 09:34:41:786,126981,0.9,123562,0.9,259031,1.0,341167,2.25 122,1,2024-09-07 09:34:40:862,872135,872135,0,0,409943231141,4304310795,859707,10545,1883,366,392130,0 122,2,2024-09-07 09:34:41:323,631875,631800,75,0,34511758,0,5989 122,3,2024-09-07 09:34:40:595,1,498,1,0,512,8649,498,0 123,0,2024-09-07 09:34:40:955,123258,0.8,120073,0.9,251413,1.0,329402,2.25 123,1,2024-09-07 09:34:40:559,872567,872567,0,0,410328375626,4321471231,857431,12635,2501,369,392039,0 123,2,2024-09-07 09:34:41:018,628832,628831,1,0,29296289,0,5215 123,3,2024-09-07 09:34:41:133,1,498,0,0,478,5972,498,0 124,0,2024-09-07 09:34:40:959,126538,0.3,126507,0.5,238487,0.3,328042,1.75 124,1,2024-09-07 09:34:41:026,875668,875668,0,0,410193910965,4267654693,868867,5670,1131,365,392178,0 124,2,2024-09-07 09:34:41:013,631022,630969,53,0,26691866,0,6487 124,3,2024-09-07 09:34:40:758,1,498,1,0,490,5314,498,0 125,0,2024-09-07 09:34:41:467,123566,0.4,123310,0.6,247709,0.4,329668,1.75 125,1,2024-09-07 09:34:40:855,874127,874127,0,0,410728253390,4290244894,867166,5980,981,382,391813,0 125,2,2024-09-07 09:34:41:124,627533,627533,0,0,26593380,0,4534 125,3,2024-09-07 09:34:41:131,1,498,8,0,709,6118,498,0 126,0,2024-09-07 09:34:41:460,128156,0.4,131699,0.6,251913,0.4,341472,1.75 126,1,2024-09-07 09:34:40:552,876850,876850,0,0,411757598441,4264863238,872189,4352,309,365,391987,0 126,2,2024-09-07 09:34:40:609,632561,632561,0,0,27400333,0,4539 126,3,2024-09-07 09:34:40:909,1,498,1,0,268,5704,498,0 127,0,2024-09-07 09:34:41:660,122979,0.3,123283,0.5,245998,0.3,326796,1.75 127,1,2024-09-07 09:34:40:576,875375,875375,0,0,410937033553,4280273014,866017,7854,1504,364,392187,0 127,2,2024-09-07 09:34:40:644,630949,630945,4,0,26208894,0,5305 127,3,2024-09-07 09:34:41:273,1,498,21,0,968,5139,498,0 128,0,2024-09-07 09:34:41:612,124166,0.3,124313,0.4,248199,0.2,329712,1.50 128,1,2024-09-07 09:34:41:610,874733,874733,0,0,411954192394,4281647410,868324,5679,730,367,392031,0 128,2,2024-09-07 09:34:41:386,631778,631778,0,0,24485335,0,3171 128,3,2024-09-07 09:34:40:767,1,498,2,0,1082,7956,498,0 129,0,2024-09-07 09:34:41:041,124549,0.3,124003,0.5,248584,0.3,330108,1.50 129,1,2024-09-07 09:34:40:575,872329,872329,0,0,409853311433,4298383602,863319,7066,1944,379,391962,0 129,2,2024-09-07 09:34:40:690,626937,626933,4,0,26408118,0,5335 129,3,2024-09-07 09:34:40:691,1,498,39,0,506,7211,498,0 130,0,2024-09-07 09:34:41:885,127479,0.5,127074,0.6,255122,0.5,339764,1.75 130,1,2024-09-07 09:34:40:587,875481,875481,0,0,410795874182,4270174835,870698,4397,386,381,391825,0 130,2,2024-09-07 09:34:41:124,631479,631479,0,0,26574074,0,4067 130,3,2024-09-07 09:34:41:295,1,498,1,0,960,7229,498,0 131,0,2024-09-07 09:34:41:965,124658,0.4,125072,0.5,250647,0.3,332494,1.75 131,1,2024-09-07 09:34:41:821,874983,874983,0,0,411039237226,4291138008,868113,5679,1191,381,391865,0 131,2,2024-09-07 09:34:40:567,633222,633222,0,0,24696916,0,3979 131,3,2024-09-07 09:34:41:689,1,498,5,0,392,6066,498,0 132,0,2024-09-07 09:34:41:423,125106,0.4,126330,0.6,251313,0.4,334000,2.00 132,1,2024-09-07 09:34:40:581,871344,871344,0,0,408820260069,4304922955,858912,10241,2191,381,392532,0 132,2,2024-09-07 09:34:40:701,629603,629586,17,0,32483672,0,6451 132,3,2024-09-07 09:34:41:689,1,498,2,0,804,8653,498,0 133,0,2024-09-07 09:34:41:653,121037,0.4,123926,0.6,254025,0.4,330498,1.75 133,1,2024-09-07 09:34:40:603,871309,871309,0,0,409167701085,4317039690,858813,10808,1688,383,391914,0 133,2,2024-09-07 09:34:41:087,626919,626869,50,0,32708133,0,6861 133,3,2024-09-07 09:34:41:298,1,498,6,0,479,5678,498,0 134,0,2024-09-07 09:34:40:958,126311,0.6,126139,0.7,252621,0.6,337138,2.25 134,1,2024-09-07 09:34:40:587,872615,872615,0,0,409742192226,4299008045,861260,9209,2146,366,391718,0 134,2,2024-09-07 09:34:41:765,627172,627148,24,0,30780096,0,6207 134,3,2024-09-07 09:34:40:752,1,498,1,0,739,6214,498,0 135,0,2024-09-07 09:34:41:097,122995,1.0,123014,0.9,261008,1.2,336387,2.50 135,1,2024-09-07 09:34:41:586,872400,872400,0,0,410436776406,4319761352,860774,10061,1565,380,391805,0 135,2,2024-09-07 09:34:40:690,631833,631833,0,0,30988842,0,4503 135,3,2024-09-07 09:34:41:009,1,498,6,0,900,5202,498,0 136,0,2024-09-07 09:34:41:624,125009,0.5,125219,0.7,249388,0.5,332738,2.00 136,1,2024-09-07 09:34:41:443,874006,874006,0,0,409712664788,4298909526,863648,9091,1267,381,392135,0 136,2,2024-09-07 09:34:41:140,631265,631250,15,0,30351454,0,6007 136,3,2024-09-07 09:34:41:111,1,498,9,0,637,6156,498,0 137,0,2024-09-07 09:34:40:931,126997,0.5,123754,0.7,242607,0.5,329130,2.00 137,1,2024-09-07 09:34:40:577,872365,872365,0,0,409803249656,4299641563,858947,10748,2670,366,391898,0 137,2,2024-09-07 09:34:41:709,628083,628083,0,0,31762534,0,3185 137,3,2024-09-07 09:34:40:770,1,498,2,0,484,6437,498,0 138,0,2024-09-07 09:34:41:849,123527,0.9,123610,0.9,247988,1.1,329937,2.25 138,1,2024-09-07 09:34:41:693,872871,872871,0,0,410045809631,4304995797,860143,10760,1968,368,391954,0 138,2,2024-09-07 09:34:40:593,625686,625686,0,0,29147412,0,4988 138,3,2024-09-07 09:34:40:615,1,498,1,0,1200,8198,498,0 139,0,2024-09-07 09:34:41:394,126409,1.4,126839,1.1,253823,2.0,338789,2.50 139,1,2024-09-07 09:34:40:581,868423,868423,0,0,407997174277,4331983488,852495,12469,3459,380,392058,0 139,2,2024-09-07 09:34:40:694,627338,627338,0,0,32788119,0,3097 139,3,2024-09-07 09:34:41:670,1,498,5,0,432,5875,498,0 140,0,2024-09-07 09:34:41:598,123552,0.3,122654,0.5,246099,0.2,328372,1.75 140,1,2024-09-07 09:34:41:551,877626,877626,0,0,412723291237,4257548215,873047,4041,538,364,391606,0 140,2,2024-09-07 09:34:40:690,632553,632552,1,0,25052085,0,5036 140,3,2024-09-07 09:34:40:767,1,498,1,0,297,4806,498,0 141,0,2024-09-07 09:34:41:697,123574,0.3,126688,0.4,241926,0.2,327987,1.50 141,1,2024-09-07 09:34:40:862,876406,876406,0,0,411857357181,4280959140,869309,6012,1085,379,391614,0 141,2,2024-09-07 09:34:41:686,630476,630465,11,0,26616405,0,5369 141,3,2024-09-07 09:34:41:052,1,498,1,0,391,5505,498,0 142,0,2024-09-07 09:34:41:351,124322,0.3,123365,0.5,247433,0.3,329884,1.75 142,1,2024-09-07 09:34:40:592,874592,874592,0,0,411178592173,4288115045,868945,5188,459,382,392102,0 142,2,2024-09-07 09:34:41:301,625249,625217,32,0,26993081,0,6028 142,3,2024-09-07 09:34:41:753,1,498,1,0,484,5336,498,0 143,0,2024-09-07 09:34:41:374,127390,0.5,127323,0.6,255329,0.4,339978,1.75 143,1,2024-09-07 09:34:40:556,876446,876446,0,0,411719099585,4275811048,870377,5515,554,367,391722,0 143,2,2024-09-07 09:34:40:770,632448,632448,0,0,26473154,0,3123 143,3,2024-09-07 09:34:41:140,1,498,1,0,462,6423,498,0 144,0,2024-09-07 09:34:41:522,119856,0.7,123271,0.8,251049,0.7,328592,2.25 144,1,2024-09-07 09:34:40:570,872204,872204,0,0,409030461758,4296136318,862921,7320,1963,381,391733,0 144,2,2024-09-07 09:34:41:755,633279,633279,0,0,25156932,0,3673 144,3,2024-09-07 09:34:41:741,1,498,7,0,249,4958,498,0 145,0,2024-09-07 09:34:41:403,120441,0.5,120397,0.8,255573,0.5,328797,2.25 145,1,2024-09-07 09:34:40:564,871342,871342,0,0,409709737969,4317179297,858996,9882,2464,382,391759,0 145,2,2024-09-07 09:34:41:444,627727,627645,82,0,31299940,0,7814 145,3,2024-09-07 09:34:40:899,1,498,2,0,622,6923,498,0 146,0,2024-09-07 09:34:41:596,123972,0.6,123494,0.7,247895,0.5,328744,2.00 146,1,2024-09-07 09:34:41:606,872663,872663,0,0,409566727084,4310653910,858245,10618,3800,367,391770,0 146,2,2024-09-07 09:34:41:698,624400,624394,6,0,29300876,0,5151 146,3,2024-09-07 09:34:41:274,1,498,2,0,1520,8758,498,0 147,0,2024-09-07 09:34:41:791,126703,0.7,126405,0.8,252330,0.7,337511,2.25 147,1,2024-09-07 09:34:41:376,875808,875808,0,0,411792429935,4282038092,868529,6402,877,367,391791,0 147,2,2024-09-07 09:34:41:014,629181,629181,0,0,26357376,0,2968 147,3,2024-09-07 09:34:40:915,1,498,80,0,1626,8385,498,0 0,0,2024-09-07 09:34:51:714,120144,0.6,120142,0.7,255166,0.6,329685,2.00 0,1,2024-09-07 09:34:50:804,874964,874964,0,0,410641077555,4303494047,867459,6666,839,369,391896,0 0,2,2024-09-07 09:34:51:071,630471,630471,0,0,26306284,0,4480 0,3,2024-09-07 09:34:50:981,1,499,12,0,431,7107,499,0 1,0,2024-09-07 09:34:51:794,125387,1.1,124740,1.0,250213,1.4,334556,2.25 1,1,2024-09-07 09:34:50:562,874108,874108,0,0,409688186048,4296719601,865412,7230,1466,370,391859,0 1,2,2024-09-07 09:34:50:642,629060,629060,0,0,25629114,0,3380 1,3,2024-09-07 09:34:51:305,1,499,4,0,269,6409,499,0 2,0,2024-09-07 09:34:51:576,127716,0.6,127764,0.8,255156,0.6,341015,2.00 2,1,2024-09-07 09:34:50:859,877128,877128,0,0,412297278796,4286839344,872194,4017,917,380,391745,0 2,2,2024-09-07 09:34:51:267,634165,634165,0,0,24440271,0,3594 2,3,2024-09-07 09:34:50:693,1,499,2,0,357,4642,499,0 3,0,2024-09-07 09:34:51:752,124053,0.4,123919,0.6,247473,0.4,330168,2.00 3,1,2024-09-07 09:34:51:623,875712,875712,0,0,411659538873,4288579241,868266,6744,702,379,391716,0 3,2,2024-09-07 09:34:51:142,632504,632481,23,0,25575469,0,5851 3,3,2024-09-07 09:34:51:753,1,499,1,0,207,3154,499,0 4,0,2024-09-07 09:34:51:829,119405,0.4,122649,0.5,250265,0.3,327105,1.75 4,1,2024-09-07 09:34:50:614,872111,872111,0,0,409040076574,4337536100,855889,12539,3683,370,391992,0 4,2,2024-09-07 09:34:51:026,628062,628062,0,0,31252745,0,4534 4,3,2024-09-07 09:34:51:034,1,499,0,0,448,6542,499,0 5,0,2024-09-07 09:34:51:402,123600,0.4,124206,0.6,247828,0.4,329718,1.75 5,1,2024-09-07 09:34:50:764,873622,873622,0,0,410490417484,4324492044,860917,10292,2413,367,392005,0 5,2,2024-09-07 09:34:51:833,624727,624727,0,0,30076087,0,3582 5,3,2024-09-07 09:34:51:736,1,499,1,0,457,6954,499,0 6,0,2024-09-07 09:34:50:921,128405,0.5,127816,0.7,256121,0.5,341855,2.00 6,1,2024-09-07 09:34:50:746,875649,875649,0,0,410341908669,4289798233,866133,8060,1456,379,391702,0 6,2,2024-09-07 09:34:51:116,632789,632771,18,0,29340892,0,5535 6,3,2024-09-07 09:34:51:274,1,499,1,0,710,6182,499,0 7,0,2024-09-07 09:34:51:533,122056,0.5,123032,0.7,244838,0.5,325916,2.00 7,1,2024-09-07 09:34:50:854,873740,873740,0,0,410516351094,4316010825,860821,10688,2231,382,391747,0 7,2,2024-09-07 09:34:50:772,632843,632843,0,0,28467383,0,4791 7,3,2024-09-07 09:34:50:853,1,499,1,0,552,5850,499,0 8,0,2024-09-07 09:34:51:378,124026,0.3,123698,0.5,248086,0.3,329855,1.75 8,1,2024-09-07 09:34:51:019,872691,872691,0,0,409412535813,4308261443,858518,11046,3127,366,392853,0 8,2,2024-09-07 09:34:50:791,626866,626864,2,0,34027732,0,5112 8,3,2024-09-07 09:34:50:588,1,499,6,0,538,7679,499,0 9,0,2024-09-07 09:34:51:105,123716,0.4,120380,0.5,251971,0.3,329957,1.75 9,1,2024-09-07 09:34:50:579,872523,872523,0,0,410530142173,4327402390,859122,10754,2647,369,392001,0 9,2,2024-09-07 09:34:51:089,626244,626243,1,0,30686284,0,5281 9,3,2024-09-07 09:34:51:754,1,499,0,0,1273,8561,499,0 10,0,2024-09-07 09:34:51:617,127171,0.4,126768,0.5,254398,0.3,339354,1.75 10,1,2024-09-07 09:34:50:584,875267,875267,0,0,410028923481,4300272500,862914,10263,2090,381,391741,0 10,2,2024-09-07 09:34:50:762,631449,631449,0,0,33330799,0,4264 10,3,2024-09-07 09:34:50:872,1,499,2,0,649,5297,499,0 11,0,2024-09-07 09:34:51:006,124497,0.5,120816,0.7,252455,0.5,332611,1.75 11,1,2024-09-07 09:34:50:582,875353,875353,0,0,411909855910,4323266409,861877,10014,3462,383,391756,0 11,2,2024-09-07 09:34:51:123,632636,632636,0,0,29526123,0,4130 11,3,2024-09-07 09:34:51:298,1,499,1,0,843,6865,499,0 12,0,2024-09-07 09:34:50:937,126036,0.4,126057,0.5,252111,0.3,334652,1.75 12,1,2024-09-07 09:34:50:938,875208,875208,0,0,410908151696,4290241079,867556,6774,878,370,391960,0 12,2,2024-09-07 09:34:51:576,630909,630909,0,0,29259163,0,4390 12,3,2024-09-07 09:34:51:059,1,499,3,0,386,6894,499,0 13,0,2024-09-07 09:34:51:353,125284,0.4,124971,0.6,249375,0.4,332264,1.75 13,1,2024-09-07 09:34:51:530,873718,873718,0,0,410263727886,4316126375,864109,7316,2293,382,391740,0 13,2,2024-09-07 09:34:50:595,629537,629537,0,0,26157894,0,3287 13,3,2024-09-07 09:34:51:766,1,499,9,0,522,7128,499,0 14,0,2024-09-07 09:34:50:566,126417,0.4,127498,0.6,252742,0.4,337187,1.75 14,1,2024-09-07 09:34:51:566,879210,879210,0,0,412981514292,4276696089,872305,6177,728,364,391673,0 14,2,2024-09-07 09:34:50:763,630328,630298,30,0,27544755,0,6104 14,3,2024-09-07 09:34:51:115,1,499,2,0,1168,5807,499,0 15,0,2024-09-07 09:34:51:558,126709,0.5,126220,0.7,253385,0.4,337337,2.00 15,1,2024-09-07 09:34:51:608,876300,876300,0,0,411808887375,4292593888,868571,6244,1485,381,391619,0 15,2,2024-09-07 09:34:50:999,635245,635245,0,0,23224554,0,3622 15,3,2024-09-07 09:34:51:405,1,499,1,0,1126,7271,499,0 16,0,2024-09-07 09:34:51:017,124797,0.5,125409,0.7,249841,0.5,332788,2.00 16,1,2024-09-07 09:34:50:629,876481,876481,0,0,410938688481,4300231185,868087,7096,1298,370,392194,0 16,2,2024-09-07 09:34:51:449,631237,631237,0,0,27357556,0,4719 16,3,2024-09-07 09:34:51:151,1,499,16,0,358,6497,499,0 17,0,2024-09-07 09:34:51:801,127140,0.6,124000,0.7,242781,0.6,329532,2.00 17,1,2024-09-07 09:34:50:591,874275,874275,0,0,410534147309,4310454265,865025,7503,1747,368,392075,0 17,2,2024-09-07 09:34:51:686,632387,632386,1,0,28059585,0,5050 17,3,2024-09-07 09:34:50:591,1,499,1,0,518,7655,499,0 18,0,2024-09-07 09:34:50:953,123240,0.7,124001,0.8,246979,0.7,330556,2.25 18,1,2024-09-07 09:34:51:652,878448,878448,0,0,412496765916,4281754873,872352,5101,995,367,391725,0 18,2,2024-09-07 09:34:51:755,630511,630511,0,0,24832140,0,3541 18,3,2024-09-07 09:34:50:900,1,499,1,0,1059,4871,499,0 19,0,2024-09-07 09:34:51:563,127292,0.6,127617,0.8,253729,0.6,338094,2.25 19,1,2024-09-07 09:34:50:579,877657,877657,0,0,412049634598,4276262421,870671,5902,1084,367,391777,0 19,2,2024-09-07 09:34:51:756,635707,635707,0,0,23417219,0,3988 19,3,2024-09-07 09:34:51:135,1,499,5,0,524,4122,499,0 20,0,2024-09-07 09:34:51:376,123018,0.5,123197,0.7,245931,0.5,328490,2.00 20,1,2024-09-07 09:34:50:585,875051,875051,0,0,410719601998,4305440114,865921,7768,1362,369,391922,0 20,2,2024-09-07 09:34:50:928,631900,631900,0,0,28282122,0,3721 20,3,2024-09-07 09:34:50:617,1,499,11,0,468,8818,499,0 21,0,2024-09-07 09:34:51:184,123299,0.4,123399,0.6,246760,0.4,327349,1.75 21,1,2024-09-07 09:34:51:541,873104,873104,0,0,410329411425,4334011517,858967,11020,3117,368,392016,0 21,2,2024-09-07 09:34:51:075,628056,628036,20,0,33259025,0,5617 21,3,2024-09-07 09:34:51:405,1,499,1,0,713,6652,499,0 22,0,2024-09-07 09:34:51:735,123807,0.5,124134,0.6,247919,0.4,329059,2.00 22,1,2024-09-07 09:34:51:022,873911,873911,0,0,410676705034,4327432736,858779,11908,3224,382,391822,0 22,2,2024-09-07 09:34:50:771,625660,625634,26,0,27813327,0,6328 22,3,2024-09-07 09:34:51:066,1,499,1,0,228,4201,499,0 23,0,2024-09-07 09:34:51:370,127374,0.5,126899,0.7,254145,0.5,339331,2.25 23,1,2024-09-07 09:34:51:003,874972,874972,0,0,411594395520,4324426747,859316,11248,4408,365,391690,0 23,2,2024-09-07 09:34:51:095,633722,633722,0,0,26558982,0,3773 23,3,2024-09-07 09:34:51:754,1,499,1,0,720,6696,499,0 24,0,2024-09-07 09:34:50:877,124875,0.4,124004,0.6,249351,0.4,330787,1.75 24,1,2024-09-07 09:34:50:585,873993,873993,0,0,410164668743,4298201656,865048,7273,1672,367,392269,0 24,2,2024-09-07 09:34:51:085,632993,632993,0,0,31565473,0,4438 24,3,2024-09-07 09:34:51:688,1,499,17,0,468,6473,499,0 25,0,2024-09-07 09:34:51:344,128061,0.4,124748,0.6,244693,0.4,333000,1.75 25,1,2024-09-07 09:34:50:564,873056,873056,0,0,410396158950,4332593633,858188,11899,2969,369,391928,0 25,2,2024-09-07 09:34:51:606,629808,629808,0,0,32648566,0,3978 25,3,2024-09-07 09:34:51:000,1,499,0,0,532,5571,499,0 26,0,2024-09-07 09:34:51:722,123596,0.4,120924,0.6,253562,0.4,329508,1.75 26,1,2024-09-07 09:34:51:543,875956,875956,0,0,410306230189,4300654543,863127,10377,2452,380,391748,0 26,2,2024-09-07 09:34:50:862,626627,626627,0,0,34392553,0,4689 26,3,2024-09-07 09:34:51:712,1,499,3,0,796,5681,499,0 27,0,2024-09-07 09:34:51:733,127118,0.5,127366,0.6,253282,0.4,338285,2.25 27,1,2024-09-07 09:34:51:680,877180,877180,0,0,412022118748,4294394025,868631,7281,1268,381,391626,0 27,2,2024-09-07 09:34:50:869,628710,628645,65,0,30107620,0,5699 27,3,2024-09-07 09:34:51:018,1,499,1,0,564,4477,499,0 28,0,2024-09-07 09:34:51:392,126231,0.4,125810,0.6,252505,0.4,336100,2.00 28,1,2024-09-07 09:34:50:805,877174,877174,0,0,412277889488,4301831759,869405,6055,1714,382,391698,0 28,2,2024-09-07 09:34:51:766,633681,633681,0,0,26545981,0,2915 28,3,2024-09-07 09:34:51:777,1,499,1,0,502,5140,499,0 29,0,2024-09-07 09:34:51:397,128821,0.4,125557,0.6,246185,0.3,335804,1.75 29,1,2024-09-07 09:34:51:565,879567,879567,0,0,412457947775,4269832249,874014,4792,761,368,391809,0 29,2,2024-09-07 09:34:50:866,631053,631053,0,0,25906987,0,4986 29,3,2024-09-07 09:34:50:981,1,499,19,0,459,5673,499,0 30,0,2024-09-07 09:34:51:456,123421,0.5,119973,0.7,251218,0.4,328758,2.00 30,1,2024-09-07 09:34:50:580,878563,878563,0,0,412462704452,4284290446,871590,6071,902,380,391672,0 30,2,2024-09-07 09:34:51:275,629753,629753,0,0,24948867,0,4192 30,3,2024-09-07 09:34:50:583,1,499,0,0,519,4949,499,0 31,0,2024-09-07 09:34:51:765,125239,0.5,125408,0.7,250711,0.5,334857,2.00 31,1,2024-09-07 09:34:50:582,881198,881198,0,0,414438982116,4257887343,875876,4311,1011,356,391712,0 31,2,2024-09-07 09:34:51:276,628395,628395,0,0,27509353,0,4470 31,3,2024-09-07 09:34:51:713,1,499,1,0,220,4210,499,0 32,0,2024-09-07 09:34:51:472,128206,0.4,128939,0.5,256698,0.3,342837,1.75 32,1,2024-09-07 09:34:50:805,877602,877602,0,0,413274087495,4298451599,871809,5086,707,381,391646,0 32,2,2024-09-07 09:34:50:940,634698,634698,0,0,23818517,0,3922 32,3,2024-09-07 09:34:51:027,1,499,20,0,304,4131,499,0 33,0,2024-09-07 09:34:51:514,124600,0.3,124195,0.4,248549,0.2,331302,1.50 33,1,2024-09-07 09:34:50:584,878776,878776,0,0,412835531072,4280248166,871554,6050,1172,368,391730,0 33,2,2024-09-07 09:34:50:762,633010,632975,35,0,26563056,0,7012 33,3,2024-09-07 09:34:50:901,1,499,30,0,329,4828,499,0 34,0,2024-09-07 09:34:50:930,123383,0.3,126807,0.4,242401,0.2,326388,1.75 34,1,2024-09-07 09:34:51:044,880361,880361,0,0,413724355264,4257041093,877853,2486,22,367,391562,0 34,2,2024-09-07 09:34:50:775,632323,632323,0,0,25204910,0,4562 34,3,2024-09-07 09:34:51:688,1,499,1,0,320,3972,499,0 35,0,2024-09-07 09:34:50:857,122990,0.3,123715,0.5,248576,0.3,330441,1.75 35,1,2024-09-07 09:34:51:070,877644,877644,0,0,412658448911,4284145897,870992,5439,1213,382,391769,0 35,2,2024-09-07 09:34:51:585,627150,627150,0,0,27291109,0,4055 35,3,2024-09-07 09:34:50:913,1,499,1,0,418,4819,499,0 36,0,2024-09-07 09:34:51:527,128595,0.5,128539,0.7,257030,0.5,342473,2.25 36,1,2024-09-07 09:34:50:586,876427,876427,0,0,410584638249,4293777921,864153,10055,2219,366,391759,0 36,2,2024-09-07 09:34:51:755,633520,633520,0,0,28924055,0,3875 36,3,2024-09-07 09:34:50:865,1,499,0,0,416,7123,499,0 37,0,2024-09-07 09:34:51:391,122294,0.5,122263,0.7,244879,0.5,326346,2.25 37,1,2024-09-07 09:34:50:581,875267,875260,0,7,411098524155,4297118340,864190,8397,2673,365,391770,0 37,2,2024-09-07 09:34:51:142,630882,630867,15,0,29328564,0,5815 37,3,2024-09-07 09:34:51:766,1,499,1,0,888,7197,499,0 38,0,2024-09-07 09:34:51:444,123093,0.4,119435,0.6,249922,0.4,327021,2.00 38,1,2024-09-07 09:34:51:605,876935,876935,0,0,411984341087,4311776859,863713,10703,2519,368,391821,0 38,2,2024-09-07 09:34:50:763,630096,630049,47,0,29535093,0,6710 38,3,2024-09-07 09:34:50:997,1,499,3,0,689,6533,499,0 39,0,2024-09-07 09:34:51:760,126668,0.5,123896,0.7,241446,0.5,329267,2.00 39,1,2024-09-07 09:34:50:716,874995,874995,0,0,410163110910,4308720820,859232,12294,3469,365,391658,0 39,2,2024-09-07 09:34:51:419,627580,627580,0,0,27092219,0,3391 39,3,2024-09-07 09:34:50:717,1,499,0,0,525,5944,499,0 40,0,2024-09-07 09:34:51:502,125843,0.9,126631,1.0,252683,1.0,337624,2.75 40,1,2024-09-07 09:34:50:585,877006,877006,0,0,410412171261,4296976724,864995,9833,2178,368,391668,0 40,2,2024-09-07 09:34:51:303,630431,630430,1,0,32603464,0,5137 40,3,2024-09-07 09:34:51:143,1,499,7,0,1028,7267,499,0 41,0,2024-09-07 09:34:51:027,123874,1.4,126501,1.2,241557,2.0,328553,3.25 41,1,2024-09-07 09:34:50:772,874659,874659,0,0,410557082596,4300417186,862794,9932,1933,369,391742,0 41,2,2024-09-07 09:34:50:763,630878,630877,1,0,31350284,0,5408 41,3,2024-09-07 09:34:51:676,1,499,10,0,366,5301,499,0 42,0,2024-09-07 09:34:51:475,124596,0.8,124281,1.0,248836,0.9,329390,2.50 42,1,2024-09-07 09:34:51:439,872725,872725,0,0,410313537467,4319417339,857717,12100,2908,380,391675,0 42,2,2024-09-07 09:34:51:134,630678,630678,0,0,30141888,0,3975 42,3,2024-09-07 09:34:51:011,1,499,1,0,892,4663,499,0 43,0,2024-09-07 09:34:50:920,123284,0.7,120126,0.9,251248,0.7,329436,2.25 43,1,2024-09-07 09:34:50:580,875684,875684,0,0,411714206466,4306342177,862819,10606,2259,366,391696,0 43,2,2024-09-07 09:34:51:745,628432,628432,0,0,29965840,0,4723 43,3,2024-09-07 09:34:51:750,1,499,9,0,571,7194,499,0 44,0,2024-09-07 09:34:50:905,126539,0.4,126640,0.6,253656,0.4,337634,2.00 44,1,2024-09-07 09:34:50:631,878474,878474,0,0,412443533208,4265842815,870682,6205,1587,356,391809,0 44,2,2024-09-07 09:34:51:267,628651,628651,0,0,24829859,0,4344 44,3,2024-09-07 09:34:51:096,1,499,3,0,817,5508,499,0 45,0,2024-09-07 09:34:51:779,125502,0.6,122553,0.8,257065,0.5,337561,2.00 45,1,2024-09-07 09:34:51:005,877159,877159,0,0,413178351268,4297802334,869652,6631,876,382,391917,0 45,2,2024-09-07 09:34:51:283,634672,634672,0,0,25150166,0,3596 45,3,2024-09-07 09:34:50:937,1,499,1,0,271,4460,499,0 46,0,2024-09-07 09:34:50:949,124339,0.5,123750,0.7,248399,0.4,330085,2.00 46,1,2024-09-07 09:34:50:580,879295,879295,0,0,412759669292,4269134899,872899,5533,863,366,391709,0 46,2,2024-09-07 09:34:50:598,632011,632011,0,0,25389531,0,4443 46,3,2024-09-07 09:34:51:135,1,499,1,0,908,5936,499,0 47,0,2024-09-07 09:34:51:101,123474,0.4,123774,0.6,247989,0.3,328440,1.75 47,1,2024-09-07 09:34:50:576,879449,879449,0,0,413200146828,4270914676,874225,4385,839,366,391641,0 47,2,2024-09-07 09:34:50:908,633016,633016,0,0,25235021,0,4477 47,3,2024-09-07 09:34:51:115,1,499,15,0,600,5823,499,0 48,0,2024-09-07 09:34:51:527,125075,0.3,125053,0.4,249018,0.2,332576,1.50 48,1,2024-09-07 09:34:51:028,877611,877611,0,0,412322509189,4286415820,871861,5178,572,384,391710,0 48,2,2024-09-07 09:34:50:698,628811,628811,0,0,23094233,0,3411 48,3,2024-09-07 09:34:50:754,1,499,3,0,339,4001,499,0 49,0,2024-09-07 09:34:51:783,131046,0.4,128754,0.5,250026,0.3,341985,1.75 49,1,2024-09-07 09:34:51:027,877159,877159,0,0,412398356083,4289613334,871598,4351,1210,382,391809,0 49,2,2024-09-07 09:34:51:805,634529,634529,0,0,25657855,0,4426 49,3,2024-09-07 09:34:51:424,1,499,11,0,992,5794,499,0 50,0,2024-09-07 09:34:51:508,123865,0.3,122089,0.5,246094,0.2,328343,1.75 50,1,2024-09-07 09:34:51:011,880040,880040,0,0,413804734218,4281191347,874102,5272,666,368,391565,0 50,2,2024-09-07 09:34:51:067,632480,632480,0,0,23603673,0,4490 50,3,2024-09-07 09:34:51:291,1,499,1,0,617,5404,499,0 51,0,2024-09-07 09:34:51:694,126507,0.3,123870,0.4,241230,0.2,328862,1.75 51,1,2024-09-07 09:34:51:679,880634,880634,0,0,413676838826,4267915683,875872,3708,1054,365,391706,0 51,2,2024-09-07 09:34:51:316,631902,631902,0,0,22887715,0,3337 51,3,2024-09-07 09:34:51:026,1,499,0,0,678,3744,499,0 52,0,2024-09-07 09:34:51:432,124249,0.5,123908,0.7,248069,0.5,329885,2.00 52,1,2024-09-07 09:34:50:577,876311,876311,0,0,411130752564,4308701728,863088,11118,2105,368,391722,0 52,2,2024-09-07 09:34:51:762,623756,623718,38,0,30235942,0,6742 52,3,2024-09-07 09:34:50:674,1,499,1,0,1782,6578,499,0 53,0,2024-09-07 09:34:51:741,126985,0.7,123215,0.8,257927,0.8,338893,2.50 53,1,2024-09-07 09:34:50:775,874357,874357,0,0,411417436489,4322852360,859007,11506,3844,367,391968,0 53,2,2024-09-07 09:34:51:297,632880,632879,1,0,27181614,0,5455 53,3,2024-09-07 09:34:50:697,1,499,1,0,308,5117,499,0 54,0,2024-09-07 09:34:51:622,121837,0.6,122233,0.8,243359,0.5,324613,2.25 54,1,2024-09-07 09:34:50:587,876678,876678,0,0,412150508460,4291717508,866614,8430,1634,366,391810,0 54,2,2024-09-07 09:34:50:865,633459,633427,32,0,31060400,0,6397 54,3,2024-09-07 09:34:50:763,1,499,1,0,676,6907,499,0 55,0,2024-09-07 09:34:51:760,120286,0.6,124096,0.7,251368,0.5,327352,2.50 55,1,2024-09-07 09:34:50:766,877828,877828,0,0,411924879277,4287011547,868186,8324,1318,365,391731,0 55,2,2024-09-07 09:34:50:729,630686,630630,56,0,29450055,0,7239 55,3,2024-09-07 09:34:50:674,1,499,0,0,304,4811,499,0 56,0,2024-09-07 09:34:51:581,126667,1.1,119330,1.1,246030,1.5,328882,2.75 56,1,2024-09-07 09:34:50:587,872458,872458,0,0,409882152906,4333336342,858149,11397,2912,381,391867,0 56,2,2024-09-07 09:34:51:302,626826,626704,122,0,30574033,0,7432 56,3,2024-09-07 09:34:51:062,1,499,3,0,705,6169,499,0 57,0,2024-09-07 09:34:50:950,125011,1.7,124944,1.3,250288,2.4,334708,3.50 57,1,2024-09-07 09:34:50:986,874110,874110,0,0,410120812187,4308009083,861945,9882,2283,366,392032,0 57,2,2024-09-07 09:34:51:332,630805,630805,0,0,31484645,0,4317 57,3,2024-09-07 09:34:51:738,1,499,1,0,455,5742,499,0 58,0,2024-09-07 09:34:50:564,123956,1.0,120335,1.0,251848,1.3,329501,2.75 58,1,2024-09-07 09:34:50:574,875452,875449,0,3,411947351274,4321761964,861665,10465,3319,367,391603,3 58,2,2024-09-07 09:34:51:071,632838,632838,0,0,29875852,0,3483 58,3,2024-09-07 09:34:51:074,1,499,3,0,1043,5615,499,0 59,0,2024-09-07 09:34:51:745,124835,0.8,124619,1.0,248662,0.9,329969,2.75 59,1,2024-09-07 09:34:50:805,874207,874207,0,0,410831913108,4319520349,859470,11598,3139,369,391653,0 59,2,2024-09-07 09:34:50:582,630939,630939,0,0,28631256,0,3727 59,3,2024-09-07 09:34:51:742,1,499,1,0,1015,6358,499,0 60,0,2024-09-07 09:34:51:780,123612,0.5,123870,0.7,247838,0.5,329576,1.75 60,1,2024-09-07 09:34:50:787,878683,878683,0,0,412347952279,4282635920,872510,5241,932,370,392031,0 60,2,2024-09-07 09:34:51:141,629410,629410,0,0,27200326,0,3811 60,3,2024-09-07 09:34:51:260,1,499,1,0,409,6056,499,0 61,0,2024-09-07 09:34:51:508,125270,0.8,125860,0.9,250614,0.9,334586,2.00 61,1,2024-09-07 09:34:50:780,875564,875564,0,0,411137055959,4309505933,865696,8288,1580,382,392127,0 61,2,2024-09-07 09:34:51:130,629138,629071,67,0,28080847,0,6411 61,3,2024-09-07 09:34:51:688,1,499,3,0,607,7420,499,0 62,0,2024-09-07 09:34:51:708,128575,0.6,131816,0.8,251624,0.6,342622,2.00 62,1,2024-09-07 09:34:51:112,880660,880654,0,6,413854304047,4267748923,876504,3870,280,365,391975,6 62,2,2024-09-07 09:34:51:650,631646,631645,1,0,27355060,0,5555 62,3,2024-09-07 09:34:51:142,1,499,1,0,482,4131,499,0 63,0,2024-09-07 09:34:51:453,124539,0.4,124243,0.6,249417,0.4,331596,1.75 63,1,2024-09-07 09:34:50:805,878194,878188,0,6,412863395956,4288746728,872442,4995,751,381,391800,6 63,2,2024-09-07 09:34:50:765,631867,631867,0,0,26480001,0,4369 63,3,2024-09-07 09:34:51:734,1,499,23,0,667,5405,499,0 64,0,2024-09-07 09:34:51:522,122725,0.5,122766,0.6,245042,0.4,325655,2.00 64,1,2024-09-07 09:34:50:762,877700,877700,0,0,412230862776,4296203035,869816,6114,1770,370,391783,0 64,2,2024-09-07 09:34:51:141,634902,634883,19,0,25444414,0,6121 64,3,2024-09-07 09:34:51:150,1,499,6,0,651,5389,499,0 65,0,2024-09-07 09:34:51:680,122880,0.6,123195,0.8,245744,0.7,327805,2.00 65,1,2024-09-07 09:34:50:861,875564,875564,0,0,411015125709,4299353249,868824,5945,795,381,391901,0 65,2,2024-09-07 09:34:51:692,627494,627494,0,0,29473443,0,3367 65,3,2024-09-07 09:34:51:688,1,499,1,0,782,6077,499,0 66,0,2024-09-07 09:34:51:774,127826,0.6,127407,0.8,255012,0.5,339861,2.25 66,1,2024-09-07 09:34:51:342,877621,877621,0,0,412535018408,4296800483,871475,5424,722,380,391743,0 66,2,2024-09-07 09:34:51:135,635082,635079,3,0,27056606,0,5455 66,3,2024-09-07 09:34:51:079,1,499,1,0,291,4476,499,0 67,0,2024-09-07 09:34:51:413,122714,0.6,122374,0.7,245684,0.5,326569,2.25 67,1,2024-09-07 09:34:50:772,877495,877494,0,1,412256647564,4294596583,871239,5442,813,380,391787,1 67,2,2024-09-07 09:34:50:584,635157,635142,15,0,26295151,0,6205 67,3,2024-09-07 09:34:51:751,1,499,0,0,392,4858,499,0 68,0,2024-09-07 09:34:50:582,123974,0.5,123911,0.7,246750,0.5,329490,2.00 68,1,2024-09-07 09:34:50:580,873903,873903,0,0,410581623006,4321779594,861685,8819,3399,381,391953,0 68,2,2024-09-07 09:34:51:043,627632,627532,100,0,33871069,0,8578 68,3,2024-09-07 09:34:50:727,1,499,0,0,417,6493,499,0 69,0,2024-09-07 09:34:51:723,123096,0.7,123910,0.8,246818,0.7,327550,2.25 69,1,2024-09-07 09:34:51:023,873090,873090,0,0,409348218089,4318492470,861007,9324,2759,384,391994,0 69,2,2024-09-07 09:34:51:738,625854,625825,29,0,34537169,0,6912 69,3,2024-09-07 09:34:50:761,1,499,1,0,698,7524,499,0 70,0,2024-09-07 09:34:51:563,125791,1.0,126195,1.1,253744,1.0,336438,2.50 70,1,2024-09-07 09:34:50:801,878193,878193,0,0,413427259849,4293118602,870942,6489,762,366,391725,0 70,2,2024-09-07 09:34:51:328,631849,631849,0,0,29292446,0,4323 70,3,2024-09-07 09:34:50:745,1,499,1,0,854,5664,499,0 71,0,2024-09-07 09:34:51:373,123215,1.2,122967,1.1,247142,1.8,329641,3.00 71,1,2024-09-07 09:34:51:598,875731,875731,0,0,411953292740,4305014982,864342,10134,1255,368,391738,0 71,2,2024-09-07 09:34:51:067,632599,632599,0,0,30068708,0,4352 71,3,2024-09-07 09:34:51:750,1,499,1,0,644,6069,499,0 72,0,2024-09-07 09:34:51:051,129266,0.5,126410,0.7,246582,0.5,334866,2.00 72,1,2024-09-07 09:34:51:024,874262,874262,0,0,410910474494,4316504203,860888,11093,2281,369,391819,0 72,2,2024-09-07 09:34:51:762,630168,630168,0,0,31361125,0,3983 72,3,2024-09-07 09:34:51:762,1,499,2,0,564,7352,499,0 73,0,2024-09-07 09:34:51:105,121194,0.4,124471,0.6,254121,0.4,330391,2.00 73,1,2024-09-07 09:34:50:799,877152,877152,0,0,411815975033,4279484734,870926,5801,425,367,391858,0 73,2,2024-09-07 09:34:51:739,627311,627311,0,0,31746388,0,4728 73,3,2024-09-07 09:34:50:978,1,499,5,0,486,6381,499,0 74,0,2024-09-07 09:34:51:391,127434,0.5,130370,0.7,248822,0.5,338046,2.25 74,1,2024-09-07 09:34:50:644,875834,875834,0,0,410817675580,4294730523,865390,8521,1923,381,391762,0 74,2,2024-09-07 09:34:51:003,629428,629428,0,0,27705981,0,4253 74,3,2024-09-07 09:34:51:443,1,499,15,0,522,6135,499,0 75,0,2024-09-07 09:34:51:772,127313,0.6,126383,0.8,253990,0.6,338988,2.25 75,1,2024-09-07 09:34:51:590,876727,876727,0,0,411298407202,4291892778,868849,7018,860,380,391739,0 75,2,2024-09-07 09:34:51:350,631994,631994,0,0,33120283,0,4766 75,3,2024-09-07 09:34:51:076,1,499,1,0,702,6096,499,0 76,0,2024-09-07 09:34:50:584,124235,0.5,123522,0.7,247778,0.5,331353,2.25 76,1,2024-09-07 09:34:50:809,875722,875722,0,0,411297543920,4293547709,869104,5652,966,382,391692,0 76,2,2024-09-07 09:34:51:061,633796,633793,3,0,28083863,0,5265 76,3,2024-09-07 09:34:51:145,1,499,11,0,227,4796,499,0 77,0,2024-09-07 09:34:51:770,122903,0.5,123381,0.7,246900,0.5,327446,2.00 77,1,2024-09-07 09:34:50:855,876779,876779,0,0,411539655432,4295749771,869955,6233,591,381,391869,0 77,2,2024-09-07 09:34:51:281,629995,629995,0,0,27639711,0,3890 77,3,2024-09-07 09:34:51:094,1,499,0,0,401,5385,499,0 78,0,2024-09-07 09:34:51:726,124769,0.4,124239,0.6,249337,0.4,330680,2.00 78,1,2024-09-07 09:34:50:615,876225,876225,0,0,411005887424,4290652276,865463,8238,2524,367,391670,0 78,2,2024-09-07 09:34:51:404,629305,629292,13,0,25597615,0,8313 78,3,2024-09-07 09:34:51:133,1,499,81,0,311,4482,499,0 79,0,2024-09-07 09:34:51:382,123352,0.4,126478,0.6,258801,0.4,336870,2.25 79,1,2024-09-07 09:34:50:585,879100,879100,0,0,412514583692,4278192002,871371,6373,1356,367,391682,0 79,2,2024-09-07 09:34:51:087,633800,633800,0,0,24703872,0,4195 79,3,2024-09-07 09:34:50:755,1,499,1,0,418,6222,499,0 80,0,2024-09-07 09:34:51:075,122946,0.5,126238,0.7,241888,0.5,327647,2.00 80,1,2024-09-07 09:34:51:625,876325,876325,0,0,412406739324,4295219392,870511,5390,424,368,392269,0 80,2,2024-09-07 09:34:51:098,634420,634420,0,0,25143241,0,4433 80,3,2024-09-07 09:34:50:581,1,499,3,0,296,6045,499,0 81,0,2024-09-07 09:34:51:552,123380,0.5,126332,0.7,240980,0.5,327424,2.00 81,1,2024-09-07 09:34:51:656,875347,875347,0,0,411400596414,4303348712,867955,6755,637,382,391885,0 81,2,2024-09-07 09:34:51:131,630525,630462,63,0,28177761,0,5932 81,3,2024-09-07 09:34:51:120,1,499,2,0,719,5826,499,0 82,0,2024-09-07 09:34:51:543,123549,0.5,123633,0.7,247890,0.4,329080,2.00 82,1,2024-09-07 09:34:50:584,877731,877727,0,4,412326411703,4289339480,872650,4257,820,381,391768,4 82,2,2024-09-07 09:34:51:691,628858,628858,0,0,23365023,0,4484 82,3,2024-09-07 09:34:51:753,1,499,49,0,363,4908,499,0 83,0,2024-09-07 09:34:51:531,127740,0.7,127565,0.8,254661,0.7,339159,2.25 83,1,2024-09-07 09:34:50:565,876502,876502,0,0,411954366177,4296923442,870170,5880,452,382,391709,0 83,2,2024-09-07 09:34:50:765,632773,632748,25,0,25285120,0,5612 83,3,2024-09-07 09:34:50:751,1,499,5,0,1260,6416,499,0 84,0,2024-09-07 09:34:51:820,122734,0.8,122904,0.9,245358,0.7,327931,2.25 84,1,2024-09-07 09:34:51:039,875075,875075,0,0,411028365738,4301255752,864891,8663,1521,367,391967,0 84,2,2024-09-07 09:34:50:596,632226,632196,30,0,33555455,0,5971 84,3,2024-09-07 09:34:51:143,1,499,1,0,908,7253,499,0 85,0,2024-09-07 09:34:51:000,119880,0.6,119801,0.8,254322,0.5,328888,2.25 85,1,2024-09-07 09:34:50:577,872194,872194,0,0,409728998589,4332214279,857947,11594,2653,381,392092,0 85,2,2024-09-07 09:34:50:866,629927,629927,0,0,31290318,0,4255 85,3,2024-09-07 09:34:50:693,1,499,1,0,789,5802,499,0 86,0,2024-09-07 09:34:50:876,123850,0.6,127373,0.8,243636,0.7,329253,2.25 86,1,2024-09-07 09:34:50:824,875041,875041,0,0,410998969866,4310757010,863768,9305,1968,366,391961,0 86,2,2024-09-07 09:34:50:854,625057,625056,1,0,33604796,0,5004 86,3,2024-09-07 09:34:50:587,1,499,6,0,308,7409,499,0 87,0,2024-09-07 09:34:51:283,126868,1.0,126589,0.9,253141,1.3,338603,2.50 87,1,2024-09-07 09:34:50:561,873863,873863,0,0,410289789542,4304385598,861683,10469,1711,366,392076,0 87,2,2024-09-07 09:34:51:067,630301,630295,6,0,29476538,0,6323 87,3,2024-09-07 09:34:51:852,1,499,30,0,473,7563,499,0 88,0,2024-09-07 09:34:51:486,125732,0.5,126344,0.6,252471,0.5,335676,2.00 88,1,2024-09-07 09:34:50:574,873165,873165,0,0,410571324262,4305313881,860553,9999,2613,365,392084,0 88,2,2024-09-07 09:34:50:695,633289,633289,0,0,32016527,0,4465 88,3,2024-09-07 09:34:51:271,1,499,2,0,435,6409,499,0 89,0,2024-09-07 09:34:51:780,129022,0.4,124981,0.6,247104,0.4,335877,1.75 89,1,2024-09-07 09:34:50:568,873515,873515,0,0,411336967630,4330806044,862120,9580,1815,382,391866,0 89,2,2024-09-07 09:34:51:134,630634,630634,0,0,30419748,0,3173 89,3,2024-09-07 09:34:51:792,1,499,20,0,468,8377,499,0 90,0,2024-09-07 09:34:51:618,120096,0.4,123479,0.6,251525,0.4,328543,1.75 90,1,2024-09-07 09:34:50:598,875353,875353,0,0,410787971757,4303505315,866858,7763,732,380,391825,0 90,2,2024-09-07 09:34:51:410,627069,627069,0,0,32579340,0,3608 90,3,2024-09-07 09:34:50:930,1,499,1,0,322,6186,499,0 91,0,2024-09-07 09:34:50:940,125895,0.5,122026,0.6,255206,0.5,335135,1.75 91,1,2024-09-07 09:34:50:571,873826,873826,0,0,410788561646,4323836551,862266,9551,2009,381,392047,0 91,2,2024-09-07 09:34:51:332,629706,629706,0,0,28603569,0,4713 91,3,2024-09-07 09:34:50:602,1,499,3,0,216,4854,499,0 92,0,2024-09-07 09:34:51:487,129551,0.5,132385,0.6,252353,0.5,342696,1.75 92,1,2024-09-07 09:34:50:585,875735,875735,0,0,410901978369,4296564561,868241,6290,1204,381,392136,0 92,2,2024-09-07 09:34:51:351,633909,633909,0,0,25911824,0,3259 92,3,2024-09-07 09:34:51:011,1,499,1,0,167,4303,499,0 93,0,2024-09-07 09:34:50:985,124900,0.4,128023,0.6,244408,0.4,331663,1.75 93,1,2024-09-07 09:34:50:805,875966,875966,0,0,410940300838,4295196249,865694,8539,1733,366,391776,0 93,2,2024-09-07 09:34:50:930,631668,631668,0,0,31555373,0,4913 93,3,2024-09-07 09:34:51:412,1,499,3,0,190,4633,499,0 94,0,2024-09-07 09:34:51:608,122825,0.3,123443,0.5,246832,0.3,327366,1.75 94,1,2024-09-07 09:34:50:580,876334,876334,0,0,412256662031,4302563573,870242,5719,373,381,391850,0 94,2,2024-09-07 09:34:50:763,630968,630940,28,0,26993950,0,6179 94,3,2024-09-07 09:34:51:689,1,499,0,0,576,6473,499,0 95,0,2024-09-07 09:34:51:363,124005,0.3,123725,0.5,247821,0.3,330488,1.75 95,1,2024-09-07 09:34:50:854,877628,877628,0,0,411860352572,4283914374,870284,6689,655,365,391786,0 95,2,2024-09-07 09:34:51:021,627235,627235,0,0,25603551,0,3308 95,3,2024-09-07 09:34:51:713,1,499,17,0,718,7977,499,0 96,0,2024-09-07 09:34:51:029,128486,0.4,128723,0.5,257295,0.4,341830,1.75 96,1,2024-09-07 09:34:51:596,876485,876485,0,0,411548454346,4294879713,870109,5465,911,384,391955,0 96,2,2024-09-07 09:34:51:268,633773,633773,0,0,26659756,0,4180 96,3,2024-09-07 09:34:51:142,1,499,2,0,411,5370,499,0 97,0,2024-09-07 09:34:51:321,122893,0.3,122569,0.5,246253,0.3,326945,1.75 97,1,2024-09-07 09:34:50:766,877757,877757,0,0,412925023658,4285279045,872019,4795,943,367,392140,0 97,2,2024-09-07 09:34:50:613,633891,633891,0,0,26122718,0,3679 97,3,2024-09-07 09:34:50:574,1,499,2,0,242,5939,499,0 98,0,2024-09-07 09:34:51:719,123440,0.3,123657,0.4,247982,0.2,329070,1.50 98,1,2024-09-07 09:34:50:597,877284,877284,0,0,411371332606,4286595508,871891,4595,798,381,391997,0 98,2,2024-09-07 09:34:50:773,631574,631574,0,0,25800981,0,4336 98,3,2024-09-07 09:34:50:713,1,499,30,0,840,7915,499,0 99,0,2024-09-07 09:34:51:457,123689,0.3,124323,0.5,247384,0.3,330027,1.75 99,1,2024-09-07 09:34:51:724,877485,877485,0,0,411026580719,4280791060,871551,5011,923,380,392069,0 99,2,2024-09-07 09:34:51:431,628120,628120,0,0,30739493,0,4276 99,3,2024-09-07 09:34:50:583,1,499,1,0,606,5166,499,0 100,0,2024-09-07 09:34:51:671,126878,1.0,127298,1.0,253776,1.2,339692,2.50 100,1,2024-09-07 09:34:50:560,872152,872152,0,0,409734769324,4336643873,857902,11152,3098,378,391989,0 100,2,2024-09-07 09:34:52:143,629226,629215,11,0,30282831,0,5417 100,3,2024-09-07 09:34:51:732,1,499,5,0,627,8919,499,0 101,0,2024-09-07 09:34:51:699,127104,1.4,123885,1.1,242682,1.5,331846,2.50 101,1,2024-09-07 09:34:50:559,873326,873326,0,0,410175829557,4313841049,861066,9861,2399,368,391847,0 101,2,2024-09-07 09:34:51:764,629318,629318,0,0,35318841,0,4871 101,3,2024-09-07 09:34:50:944,1,499,1,0,1250,7763,499,0 102,0,2024-09-07 09:34:50:948,121876,0.6,125579,0.7,254866,0.6,333137,2.00 102,1,2024-09-07 09:34:51:143,873454,873454,0,0,411348281520,4324580169,861269,10166,2019,369,391891,0 102,2,2024-09-07 09:34:51:742,631178,631124,54,0,29933765,0,6768 102,3,2024-09-07 09:34:51:618,1,499,3,0,466,6013,499,0 103,0,2024-09-07 09:34:51:599,128452,0.5,128457,0.7,242084,0.5,332881,2.00 103,1,2024-09-07 09:34:51:624,872332,872332,0,0,410082820536,4333666758,858301,10833,3198,381,391862,0 103,2,2024-09-07 09:34:50:584,626626,626626,0,0,31397887,0,3766 103,3,2024-09-07 09:34:50:755,1,499,2,0,916,6094,499,0 104,0,2024-09-07 09:34:51:017,125495,0.8,125693,1.0,250330,0.8,335846,2.50 104,1,2024-09-07 09:34:51:602,874953,874953,0,0,410343188878,4314972566,861922,10482,2549,365,392168,0 104,2,2024-09-07 09:34:51:667,627885,627885,0,0,30397803,0,3941 104,3,2024-09-07 09:34:51:422,1,499,1,0,1245,9063,499,0 105,0,2024-09-07 09:34:51:030,125652,1.0,122447,1.1,256791,1.3,337345,2.75 105,1,2024-09-07 09:34:50:595,875934,875934,0,0,411328894365,4313599947,864356,9812,1766,366,392009,0 105,2,2024-09-07 09:34:51:332,632059,632059,0,0,30703787,0,4360 105,3,2024-09-07 09:34:51:307,1,499,16,0,399,7279,499,0 106,0,2024-09-07 09:34:50:957,120486,0.8,123350,0.9,252882,0.8,330397,2.50 106,1,2024-09-07 09:34:51:750,875217,875217,0,0,410673267259,4313188473,862616,10955,1646,368,391914,0 106,2,2024-09-07 09:34:50:756,630311,630311,0,0,29034441,0,2920 106,3,2024-09-07 09:34:50:677,1,499,1,0,1224,7701,499,0 107,0,2024-09-07 09:34:51:120,123077,0.8,123252,0.9,246618,0.8,328524,2.00 107,1,2024-09-07 09:34:50:589,873242,873242,0,0,410827967420,4335270121,860349,11500,1393,381,392234,0 107,2,2024-09-07 09:34:51:303,628157,628156,1,0,31655224,0,5024 107,3,2024-09-07 09:34:51:763,1,499,1,0,733,7740,499,0 108,0,2024-09-07 09:34:51:833,124338,0.4,125071,0.6,248676,0.4,332548,1.75 108,1,2024-09-07 09:34:51:302,875524,875524,0,0,412180269434,4302220729,867643,6913,968,367,391857,0 108,2,2024-09-07 09:34:51:775,627426,627426,0,0,28347128,0,4246 108,3,2024-09-07 09:34:51:340,1,499,12,0,749,10180,499,0 109,0,2024-09-07 09:34:51:864,128429,0.4,127333,0.6,255226,0.4,340942,1.75 109,1,2024-09-07 09:34:50:583,873612,873612,0,0,410985503591,4314786814,865428,6972,1212,382,392132,0 109,2,2024-09-07 09:34:50:931,630649,630649,0,0,28260786,0,3617 109,3,2024-09-07 09:34:51:143,1,499,28,0,630,6369,499,0 110,0,2024-09-07 09:34:51:769,123058,0.4,119745,0.6,250887,0.4,329046,1.75 110,1,2024-09-07 09:34:51:650,877166,877166,0,0,412296669168,4285845559,869646,5600,1920,368,392045,0 110,2,2024-09-07 09:34:51:307,633033,633033,0,0,26828840,0,4067 110,3,2024-09-07 09:34:50:689,1,499,1,0,722,7119,499,0 111,0,2024-09-07 09:34:51:416,123718,0.3,123088,0.5,246514,0.3,328768,1.75 111,1,2024-09-07 09:34:51:000,878592,878592,0,0,413076893438,4285188466,874052,4184,356,380,391690,0 111,2,2024-09-07 09:34:51:116,630582,630582,0,0,27425032,0,4823 111,3,2024-09-07 09:34:50:914,1,499,1,0,379,5848,499,0 112,0,2024-09-07 09:34:50:927,124508,0.3,123894,0.4,248490,0.2,330089,1.50 112,1,2024-09-07 09:34:50:826,877268,877268,0,0,412620459226,4286123225,871375,5203,690,380,391624,0 112,2,2024-09-07 09:34:51:135,626825,626824,1,0,25868079,0,5036 112,3,2024-09-07 09:34:50:592,1,499,3,0,282,4919,499,0 113,0,2024-09-07 09:34:50:900,127906,0.3,127818,0.5,255932,0.3,341120,1.75 113,1,2024-09-07 09:34:51:686,880022,880022,0,0,414053270607,4274988465,874777,4432,813,365,391661,0 113,2,2024-09-07 09:34:51:313,634863,634863,0,0,23437117,0,3813 113,3,2024-09-07 09:34:50:684,1,499,12,0,340,5399,499,0 114,0,2024-09-07 09:34:50:874,124160,0.3,125058,0.5,248432,0.3,331899,1.75 114,1,2024-09-07 09:34:50:716,877713,877713,0,0,411692229339,4278248266,870878,5219,1616,381,391565,0 114,2,2024-09-07 09:34:50:876,635065,635064,1,0,25506977,0,5069 114,3,2024-09-07 09:34:51:277,1,499,16,0,395,3998,499,0 115,0,2024-09-07 09:34:50:555,125034,0.3,125491,0.4,250279,0.2,332379,1.50 115,1,2024-09-07 09:34:50:571,878082,878082,0,0,412429790844,4288705186,870684,6041,1357,382,391757,0 115,2,2024-09-07 09:34:51:125,634075,634075,0,0,25108159,0,4382 115,3,2024-09-07 09:34:51:002,1,499,1,0,167,2940,499,0 116,0,2024-09-07 09:34:51:715,123595,0.6,123523,0.8,247528,0.6,330380,2.00 116,1,2024-09-07 09:34:50:809,873714,873714,0,0,410694544517,4331737599,863078,8193,2443,380,392089,0 116,2,2024-09-07 09:34:51:750,625865,625865,0,0,31865228,0,4475 116,3,2024-09-07 09:34:50:912,1,499,1,0,415,6264,499,0 117,0,2024-09-07 09:34:50:965,127129,0.8,126520,0.9,253596,0.9,339067,2.00 117,1,2024-09-07 09:34:51:595,874208,874208,0,0,410342826061,4299274030,864241,8742,1225,369,392033,0 117,2,2024-09-07 09:34:51:120,632817,632817,0,0,27714946,0,4303 117,3,2024-09-07 09:34:51:059,1,499,1,0,490,7025,499,0 118,0,2024-09-07 09:34:51:814,122151,0.6,125477,0.7,255831,0.6,334185,2.00 118,1,2024-09-07 09:34:50:586,874179,874179,0,0,410787486760,4322501809,860692,10368,3119,366,392054,0 118,2,2024-09-07 09:34:51:601,632196,632196,0,0,30472870,0,2842 118,3,2024-09-07 09:34:51:789,1,499,1,0,248,5842,499,0 119,0,2024-09-07 09:34:51:347,125146,0.6,125467,0.8,250771,0.6,333994,2.00 119,1,2024-09-07 09:34:50:551,874462,874462,0,0,411737780629,4317570946,862811,9886,1765,367,391857,0 119,2,2024-09-07 09:34:51:262,631425,631425,0,0,28512044,0,4174 119,3,2024-09-07 09:34:51:325,1,499,3,0,1358,9683,499,0 120,0,2024-09-07 09:34:51:593,123112,0.6,122913,0.8,246710,0.6,329206,2.25 120,1,2024-09-07 09:34:50:858,875140,875140,0,0,410823740384,4309298878,865861,8500,779,368,392144,0 120,2,2024-09-07 09:34:50:784,627613,627612,1,0,32941969,0,5281 120,3,2024-09-07 09:34:51:289,1,499,23,0,279,6337,499,0 121,0,2024-09-07 09:34:51:721,125517,1.5,124903,1.1,250501,2.1,334200,2.50 121,1,2024-09-07 09:34:51:663,875741,875741,0,0,411562436622,4305130788,867024,8016,701,367,391840,0 121,2,2024-09-07 09:34:51:125,627600,627600,0,0,30061978,0,4157 121,3,2024-09-07 09:34:50:728,1,499,6,0,330,6119,499,0 122,0,2024-09-07 09:34:51:859,127501,0.9,124112,0.9,260122,1.0,342650,2.25 122,1,2024-09-07 09:34:50:860,873830,873830,0,0,410912675520,4314143626,861402,10545,1883,366,392130,0 122,2,2024-09-07 09:34:51:328,633482,633407,75,0,34536612,0,5989 122,3,2024-09-07 09:34:50:598,1,499,2,0,512,8651,499,0 123,0,2024-09-07 09:34:50:949,123597,0.8,120364,0.9,252099,1.0,330246,2.25 123,1,2024-09-07 09:34:50:583,874385,874385,0,0,411205707606,4330390735,859249,12635,2501,369,392039,0 123,2,2024-09-07 09:34:51:019,629829,629828,1,0,29312714,0,5215 123,3,2024-09-07 09:34:51:136,1,499,4,0,478,5976,499,0 124,0,2024-09-07 09:34:50:945,126702,0.3,126678,0.5,238788,0.3,328308,1.75 124,1,2024-09-07 09:34:51:032,877506,877506,0,0,411141458387,4277389340,870704,5671,1131,365,392178,0 124,2,2024-09-07 09:34:51:011,632044,631991,53,0,26733012,0,6487 124,3,2024-09-07 09:34:50:765,1,499,3,0,490,5317,499,0 125,0,2024-09-07 09:34:51:427,123800,0.4,123545,0.6,248192,0.4,330068,1.75 125,1,2024-09-07 09:34:50:854,875868,875868,0,0,411504729787,4298347815,868904,5982,982,382,391813,0 125,2,2024-09-07 09:34:51:122,629088,629088,0,0,26645915,0,4534 125,3,2024-09-07 09:34:51:127,1,499,8,0,709,6126,499,0 126,0,2024-09-07 09:34:51:471,128546,0.4,132107,0.6,252673,0.4,341920,1.75 126,1,2024-09-07 09:34:50:563,878662,878662,0,0,412479259356,4272322056,873999,4354,309,365,391987,0 126,2,2024-09-07 09:34:50:633,633819,633819,0,0,27476449,0,4539 126,3,2024-09-07 09:34:50:907,1,499,1,0,268,5705,499,0 127,0,2024-09-07 09:34:51:603,123140,0.3,123463,0.5,246314,0.3,327194,1.75 127,1,2024-09-07 09:34:50:576,877080,877080,0,0,411602318964,4287257314,867722,7854,1504,364,392187,0 127,2,2024-09-07 09:34:50:646,632088,632084,4,0,26252024,0,5305 127,3,2024-09-07 09:34:51:270,1,499,3,0,968,5142,499,0 128,0,2024-09-07 09:34:51:564,124275,0.3,124399,0.4,248424,0.2,329965,1.50 128,1,2024-09-07 09:34:51:608,876532,876532,0,0,412905619328,4291450392,870122,5680,730,367,392031,0 128,2,2024-09-07 09:34:51:393,633023,633023,0,0,24519966,0,3171 128,3,2024-09-07 09:34:50:771,1,499,9,0,1082,7965,499,0 129,0,2024-09-07 09:34:51:007,124670,0.3,124144,0.5,248829,0.3,330432,1.50 129,1,2024-09-07 09:34:50:579,874164,874164,0,0,410792880505,4308329085,865153,7067,1944,379,391962,0 129,2,2024-09-07 09:34:50:687,628209,628205,4,0,26482283,0,5335 129,3,2024-09-07 09:34:50:689,1,499,6,0,506,7217,499,0 130,0,2024-09-07 09:34:51:717,127952,0.5,127573,0.6,256117,0.5,341144,1.75 130,1,2024-09-07 09:34:50:592,877249,877249,0,0,411501163529,4277470006,872466,4397,386,381,391825,0 130,2,2024-09-07 09:34:51:127,632694,632694,0,0,26615831,0,4067 130,3,2024-09-07 09:34:51:294,1,499,1,0,960,7230,499,0 131,0,2024-09-07 09:34:51:953,124774,0.4,125185,0.5,250879,0.3,332794,1.75 131,1,2024-09-07 09:34:51:829,876710,876710,0,0,411918786490,4300200798,869840,5679,1191,381,391865,0 131,2,2024-09-07 09:34:50:580,634612,634612,0,0,24745157,0,3979 131,3,2024-09-07 09:34:51:689,1,499,1,0,392,6067,499,0 132,0,2024-09-07 09:34:51:423,125218,0.4,126411,0.6,251526,0.4,334252,2.00 132,1,2024-09-07 09:34:50:585,873163,873163,0,0,409649166742,4313386273,860731,10241,2191,381,392532,0 132,2,2024-09-07 09:34:50:709,630978,630961,17,0,32499602,0,6451 132,3,2024-09-07 09:34:51:696,1,499,29,0,804,8682,499,0 133,0,2024-09-07 09:34:51:566,121213,0.4,124128,0.6,254423,0.4,331015,1.75 133,1,2024-09-07 09:34:50:583,873185,873185,0,0,410153953982,4327134251,860689,10808,1688,383,391914,0 133,2,2024-09-07 09:34:51:096,627759,627709,50,0,32716050,0,6861 133,3,2024-09-07 09:34:51:298,1,499,1,0,479,5679,499,0 134,0,2024-09-07 09:34:50:995,126728,0.6,126559,0.7,253507,0.6,338259,2.25 134,1,2024-09-07 09:34:50:588,874469,874469,0,0,410769386611,4309438200,863112,9211,2146,366,391718,0 134,2,2024-09-07 09:34:51:762,628638,628614,24,0,30793836,0,6207 134,3,2024-09-07 09:34:50:755,1,499,1,0,739,6215,499,0 135,0,2024-09-07 09:34:51:106,123149,1.0,123145,0.9,261292,1.2,336677,2.50 135,1,2024-09-07 09:34:51:592,874124,874124,0,0,411086740591,4326427983,862497,10062,1565,380,391805,0 135,2,2024-09-07 09:34:50:688,633374,633374,0,0,31003405,0,4503 135,3,2024-09-07 09:34:51:002,1,499,1,0,900,5203,499,0 136,0,2024-09-07 09:34:51:627,125305,0.5,125503,0.7,250009,0.5,333527,2.00 136,1,2024-09-07 09:34:51:444,875806,875806,0,0,410480496739,4306782749,865447,9092,1267,381,392135,0 136,2,2024-09-07 09:34:51:136,632591,632576,15,0,30379339,0,6007 136,3,2024-09-07 09:34:51:114,1,499,2,0,637,6158,499,0 137,0,2024-09-07 09:34:50:934,127213,0.5,123957,0.7,243010,0.5,329731,2.00 137,1,2024-09-07 09:34:50:580,874107,874107,0,0,410565972592,4307474972,860689,10748,2670,366,391898,0 137,2,2024-09-07 09:34:51:713,628844,628844,0,0,31773116,0,3185 137,3,2024-09-07 09:34:50:772,1,499,3,0,484,6440,499,0 138,0,2024-09-07 09:34:51:746,123971,0.9,124067,0.9,248912,1.1,331187,2.25 138,1,2024-09-07 09:34:51:690,874567,874567,0,0,411011568942,4314791021,861839,10760,1968,368,391954,0 138,2,2024-09-07 09:34:50:586,627288,627288,0,0,29167972,0,4988 138,3,2024-09-07 09:34:50:617,1,499,6,0,1200,8204,499,0 139,0,2024-09-07 09:34:51:375,126661,1.4,127104,1.1,254381,2.0,339486,2.50 139,1,2024-09-07 09:34:50:588,870183,870183,0,0,408843105203,4340700253,854253,12471,3459,380,392058,0 139,2,2024-09-07 09:34:50:692,628848,628848,0,0,32810962,0,3097 139,3,2024-09-07 09:34:51:665,1,499,0,0,432,5875,499,0 140,0,2024-09-07 09:34:51:617,123860,0.3,123014,0.5,246747,0.2,329298,1.75 140,1,2024-09-07 09:34:51:545,879289,879289,0,0,413212406401,4262610642,874710,4041,538,364,391606,0 140,2,2024-09-07 09:34:50:686,633677,633676,1,0,25084678,0,5036 140,3,2024-09-07 09:34:50:771,1,499,0,0,297,4806,499,0 141,0,2024-09-07 09:34:51:699,123735,0.3,126886,0.4,242276,0.2,328464,1.50 141,1,2024-09-07 09:34:50:860,878136,878136,0,0,412602087503,4288679666,871039,6012,1085,379,391614,0 141,2,2024-09-07 09:34:51:686,631584,631573,11,0,26636241,0,5369 141,3,2024-09-07 09:34:51:043,1,499,2,0,391,5507,499,0 142,0,2024-09-07 09:34:51:360,124627,0.3,123709,0.5,248096,0.3,330839,1.75 142,1,2024-09-07 09:34:50:584,876324,876324,0,0,411970889417,4296479766,870677,5188,459,382,392102,0 142,2,2024-09-07 09:34:51:299,626621,626589,32,0,27028198,0,6028 142,3,2024-09-07 09:34:51:746,1,499,1,0,484,5337,499,0 143,0,2024-09-07 09:34:51:373,127831,0.5,127752,0.6,256206,0.4,341138,1.75 143,1,2024-09-07 09:34:50:558,878162,878162,0,0,412478156183,4283660784,872093,5515,554,367,391722,0 143,2,2024-09-07 09:34:50:775,633804,633804,0,0,26540203,0,3123 143,3,2024-09-07 09:34:51:155,1,499,1,0,462,6424,499,0 144,0,2024-09-07 09:34:51:496,119964,0.7,123416,0.8,251311,0.6,328911,2.25 144,1,2024-09-07 09:34:50:582,874000,874000,0,0,409748133930,4303699650,864712,7325,1963,381,391733,0 144,2,2024-09-07 09:34:51:755,634409,634409,0,0,25198261,0,3673 144,3,2024-09-07 09:34:51:740,1,499,1,0,249,4959,499,0 145,0,2024-09-07 09:34:51:434,120551,0.5,120506,0.8,255839,0.5,329126,2.25 145,1,2024-09-07 09:34:50:562,873159,873159,0,0,410459467033,4324865641,860812,9883,2464,382,391759,0 145,2,2024-09-07 09:34:51:431,629097,629015,82,0,31312645,0,7814 145,3,2024-09-07 09:34:50:894,1,499,0,0,622,6923,499,0 146,0,2024-09-07 09:34:51:724,123987,0.6,123511,0.7,247928,0.5,328744,2.00 146,1,2024-09-07 09:34:51:607,874469,874469,0,0,410595343287,4321131572,860051,10618,3800,367,391770,0 146,2,2024-09-07 09:34:51:708,625528,625522,6,0,29320129,0,5151 146,3,2024-09-07 09:34:51:283,1,499,2,0,1520,8760,499,0 147,0,2024-09-07 09:34:51:713,127047,0.7,126736,0.8,253027,0.7,338437,2.25 147,1,2024-09-07 09:34:51:386,877677,877677,0,0,412505511937,4289465704,870397,6402,878,367,391791,0 147,2,2024-09-07 09:34:51:011,630451,630451,0,0,26385796,0,2968 147,3,2024-09-07 09:34:50:914,1,499,17,0,1626,8402,499,0 0,0,2024-09-07 09:35:01:712,120485,0.6,120505,0.7,255822,0.6,330477,2.00 0,1,2024-09-07 09:35:00:805,876660,876660,0,0,411570153132,4313027701,869155,6666,839,369,391896,0 0,2,2024-09-07 09:35:01:067,631185,631185,0,0,26324841,0,4480 0,3,2024-09-07 09:35:00:978,1,500,5,0,431,7112,500,0 1,0,2024-09-07 09:35:01:764,125634,1.1,125014,1.0,250748,1.4,335229,2.25 1,1,2024-09-07 09:35:00:557,875860,875860,0,0,410711230647,4307178297,867164,7230,1466,370,391859,0 1,2,2024-09-07 09:35:00:649,630514,630514,0,0,25659756,0,3380 1,3,2024-09-07 09:35:01:306,1,500,1,0,269,6410,500,0 2,0,2024-09-07 09:35:01:568,128248,0.6,128263,0.8,256202,0.7,341882,2.00 2,1,2024-09-07 09:35:00:861,878873,878873,0,0,413148113061,4295557132,873939,4017,917,380,391745,0 2,2,2024-09-07 09:35:01:266,635682,635682,0,0,24497352,0,3594 2,3,2024-09-07 09:35:00:706,1,500,2,0,357,4644,500,0 3,0,2024-09-07 09:35:01:750,124387,0.4,124199,0.6,248102,0.4,330992,2.00 3,1,2024-09-07 09:35:01:617,877493,877493,0,0,412532063534,4297499600,870047,6744,702,379,391716,0 3,2,2024-09-07 09:35:01:142,633786,633763,23,0,25602048,0,5851 3,3,2024-09-07 09:35:01:754,1,500,1,0,207,3155,500,0 4,0,2024-09-07 09:35:01:817,119538,0.4,122814,0.5,250569,0.3,327519,1.75 4,1,2024-09-07 09:35:00:597,873812,873812,0,0,409742893514,4344710437,857590,12539,3683,370,391992,0 4,2,2024-09-07 09:35:01:022,628964,628964,0,0,31259623,0,4534 4,3,2024-09-07 09:35:01:027,1,500,14,0,448,6556,500,0 5,0,2024-09-07 09:35:01:377,123845,0.4,124443,0.6,248340,0.4,330330,1.75 5,1,2024-09-07 09:35:00:755,875300,875300,0,0,411263040223,4332345750,862595,10292,2413,367,392005,0 5,2,2024-09-07 09:35:01:833,626347,626347,0,0,30096958,0,3582 5,3,2024-09-07 09:35:01:732,1,500,5,0,457,6959,500,0 6,0,2024-09-07 09:35:00:917,128784,0.5,128214,0.7,256863,0.5,342878,2.00 6,1,2024-09-07 09:35:00:747,877404,877404,0,0,411124566023,4297763980,867888,8060,1456,379,391702,0 6,2,2024-09-07 09:35:01:123,634146,634128,18,0,29348417,0,5535 6,3,2024-09-07 09:35:01:279,1,500,0,0,710,6182,500,0 7,0,2024-09-07 09:35:01:531,122239,0.5,123199,0.7,245169,0.5,326430,2.00 7,1,2024-09-07 09:35:00:851,875569,875569,0,0,411402980147,4325033536,862650,10688,2231,382,391747,0 7,2,2024-09-07 09:35:00:772,634075,634075,0,0,28475194,0,4791 7,3,2024-09-07 09:35:00:852,1,500,1,0,552,5851,500,0 8,0,2024-09-07 09:35:01:327,124120,0.3,123803,0.5,248263,0.3,330101,1.75 8,1,2024-09-07 09:35:01:016,874458,874458,0,0,410429580736,4318583828,860285,11046,3127,366,392853,0 8,2,2024-09-07 09:35:00:791,628306,628304,2,0,34044730,0,5112 8,3,2024-09-07 09:35:00:585,1,500,19,0,538,7698,500,0 9,0,2024-09-07 09:35:01:113,123865,0.4,120504,0.5,252238,0.3,330286,1.75 9,1,2024-09-07 09:35:00:556,874281,874281,0,0,411299935470,4335247046,860880,10754,2647,369,392001,0 9,2,2024-09-07 09:35:01:083,627465,627464,1,0,30710154,0,5281 9,3,2024-09-07 09:35:01:768,1,500,1,0,1273,8562,500,0 10,0,2024-09-07 09:35:01:602,127665,0.4,127246,0.5,255369,0.3,340668,1.75 10,1,2024-09-07 09:35:00:583,877023,877023,0,0,410958628558,4309717299,864670,10263,2090,381,391741,0 10,2,2024-09-07 09:35:00:766,632656,632656,0,0,33360206,0,4264 10,3,2024-09-07 09:35:00:871,1,500,1,0,649,5298,500,0 11,0,2024-09-07 09:35:01:013,124602,0.5,120912,0.7,252696,0.5,332900,1.75 11,1,2024-09-07 09:35:00:571,877125,877125,0,0,412712354053,4331466867,863649,10014,3462,383,391756,0 11,2,2024-09-07 09:35:01:126,633909,633909,0,0,29538570,0,4130 11,3,2024-09-07 09:35:01:298,1,500,6,0,843,6871,500,0 12,0,2024-09-07 09:35:00:951,126118,0.4,126142,0.5,252298,0.3,334901,1.75 12,1,2024-09-07 09:35:00:932,876929,876929,0,0,411836684720,4299842645,869277,6774,878,370,391960,0 12,2,2024-09-07 09:35:01:541,632230,632230,0,0,29319231,0,4390 12,3,2024-09-07 09:35:01:059,1,500,1,0,386,6895,500,0 13,0,2024-09-07 09:35:01:342,125476,0.4,125175,0.6,249744,0.4,332795,1.75 13,1,2024-09-07 09:35:01:536,875450,875450,0,0,411067742031,4324600656,865840,7317,2293,382,391740,0 13,2,2024-09-07 09:35:00:599,630481,630481,0,0,26184592,0,3287 13,3,2024-09-07 09:35:01:772,1,500,8,0,522,7136,500,0 14,0,2024-09-07 09:35:00:563,126871,0.4,127968,0.6,253584,0.4,338348,1.75 14,1,2024-09-07 09:35:01:563,881018,881018,0,0,413886839067,4285894991,874113,6177,728,364,391673,0 14,2,2024-09-07 09:35:00:766,631742,631712,30,0,27604456,0,6104 14,3,2024-09-07 09:35:01:122,1,500,1,0,1168,5808,500,0 15,0,2024-09-07 09:35:01:558,126820,0.5,126344,0.7,253608,0.4,337622,2.00 15,1,2024-09-07 09:35:01:625,878123,878123,0,0,412632054640,4301200297,870394,6244,1485,381,391619,0 15,2,2024-09-07 09:35:00:998,636698,636698,0,0,23266424,0,3622 15,3,2024-09-07 09:35:01:411,1,500,0,0,1126,7271,500,0 16,0,2024-09-07 09:35:00:930,125108,0.5,125693,0.7,250413,0.5,333558,2.00 16,1,2024-09-07 09:35:00:570,878306,878306,0,0,411714600268,4308298888,869910,7098,1298,370,392194,0 16,2,2024-09-07 09:35:01:434,632588,632588,0,0,27401293,0,4719 16,3,2024-09-07 09:35:01:145,1,500,1,0,358,6498,500,0 17,0,2024-09-07 09:35:01:800,127343,0.6,124215,0.7,243263,0.6,330134,2.00 17,1,2024-09-07 09:35:00:568,876046,876046,0,0,411263811889,4318028542,866796,7503,1747,368,392075,0 17,2,2024-09-07 09:35:01:665,633081,633080,1,0,28069816,0,5050 17,3,2024-09-07 09:35:00:579,1,500,1,0,518,7656,500,0 18,0,2024-09-07 09:35:00:938,123662,0.7,124476,0.8,247943,0.7,331769,2.25 18,1,2024-09-07 09:35:01:643,880172,880172,0,0,413287253904,4290073045,874069,5108,995,367,391725,0 18,2,2024-09-07 09:35:01:764,631998,631998,0,0,24868793,0,3541 18,3,2024-09-07 09:35:00:896,1,500,1,0,1059,4872,500,0 19,0,2024-09-07 09:35:01:540,127558,0.6,127894,0.8,254270,0.6,338913,2.25 19,1,2024-09-07 09:35:00:566,879379,879379,0,0,412919353954,4285093586,872393,5902,1084,367,391777,0 19,2,2024-09-07 09:35:01:765,637225,637225,0,0,23449823,0,3988 19,3,2024-09-07 09:35:01:135,1,500,1,0,524,4123,500,0 20,0,2024-09-07 09:35:01:353,123365,0.5,123525,0.7,246599,0.5,329412,2.00 20,1,2024-09-07 09:35:00:567,876811,876811,0,0,411611925746,4314511717,867681,7768,1362,369,391922,0 20,2,2024-09-07 09:35:00:928,632942,632942,0,0,28289878,0,3721 20,3,2024-09-07 09:35:00:589,1,500,2,0,468,8820,500,0 21,0,2024-09-07 09:35:01:136,123480,0.4,123575,0.6,247105,0.4,327848,1.75 21,1,2024-09-07 09:35:01:536,874852,874852,0,0,411181974841,4342684177,860715,11020,3117,368,392016,0 21,2,2024-09-07 09:35:01:073,629106,629086,20,0,33266898,0,5617 21,3,2024-09-07 09:35:01:407,1,500,1,0,713,6653,500,0 22,0,2024-09-07 09:35:01:725,124094,0.5,124477,0.6,248584,0.4,329923,2.00 22,1,2024-09-07 09:35:01:022,875784,875784,0,0,411290634540,4333729767,860652,11908,3224,382,391822,0 22,2,2024-09-07 09:35:00:764,627170,627144,26,0,27822636,0,6328 22,3,2024-09-07 09:35:01:066,1,500,0,0,228,4201,500,0 23,0,2024-09-07 09:35:01:381,127819,0.5,127357,0.7,254999,0.5,340488,2.25 23,1,2024-09-07 09:35:01:003,876772,876772,0,0,412290125042,4331480322,861116,11248,4408,365,391690,0 23,2,2024-09-07 09:35:01:097,635093,635093,0,0,26567261,0,3773 23,3,2024-09-07 09:35:01:754,1,500,1,0,720,6697,500,0 24,0,2024-09-07 09:35:00:829,124996,0.4,124112,0.6,249577,0.4,331117,1.75 24,1,2024-09-07 09:35:00:581,875796,875796,0,0,410997235675,4306686912,866851,7273,1672,367,392269,0 24,2,2024-09-07 09:35:01:078,634155,634155,0,0,31578711,0,4438 24,3,2024-09-07 09:35:01:686,1,500,2,0,468,6475,500,0 25,0,2024-09-07 09:35:01:342,128202,0.4,124856,0.6,244957,0.4,333348,1.75 25,1,2024-09-07 09:35:00:568,874841,874841,0,0,411294548789,4341748165,859972,11900,2969,369,391928,0 25,2,2024-09-07 09:35:01:606,631240,631240,0,0,32674354,0,3978 25,3,2024-09-07 09:35:01:002,1,500,7,0,532,5578,500,0 26,0,2024-09-07 09:35:01:718,123602,0.4,120926,0.6,253578,0.4,329510,1.75 26,1,2024-09-07 09:35:01:541,877739,877739,0,0,411168686045,4309422945,864910,10377,2452,380,391748,0 26,2,2024-09-07 09:35:00:861,627879,627879,0,0,34415198,0,4689 26,3,2024-09-07 09:35:01:711,1,500,1,0,796,5682,500,0 27,0,2024-09-07 09:35:01:771,127485,0.5,127709,0.6,253970,0.4,339248,2.25 27,1,2024-09-07 09:35:01:678,878860,878860,0,0,412909853433,4303408496,870311,7281,1268,381,391626,0 27,2,2024-09-07 09:35:00:873,629849,629784,65,0,30130341,0,5699 27,3,2024-09-07 09:35:01:016,1,500,3,0,564,4480,500,0 28,0,2024-09-07 09:35:01:400,126323,0.4,125882,0.6,252700,0.4,336341,2.00 28,1,2024-09-07 09:35:00:797,878960,878960,0,0,413236873555,4311731542,871191,6055,1714,382,391698,0 28,2,2024-09-07 09:35:01:801,635139,635139,0,0,26601565,0,2915 28,3,2024-09-07 09:35:01:778,1,500,20,0,502,5160,500,0 29,0,2024-09-07 09:35:01:358,129032,0.4,125790,0.6,246622,0.3,336395,1.75 29,1,2024-09-07 09:35:01:562,881276,881276,0,0,413368617401,4279109083,875723,4792,761,368,391809,0 29,2,2024-09-07 09:35:00:861,632476,632476,0,0,25954987,0,4986 29,3,2024-09-07 09:35:00:963,1,500,1,0,459,5674,500,0 30,0,2024-09-07 09:35:01:457,123758,0.5,120303,0.7,251876,0.4,329597,2.00 30,1,2024-09-07 09:35:00:573,880308,880308,0,0,413144988668,4291294319,873335,6071,902,380,391672,0 30,2,2024-09-07 09:35:01:277,630433,630433,0,0,24964381,0,4192 30,3,2024-09-07 09:35:00:585,1,500,1,0,519,4950,500,0 31,0,2024-09-07 09:35:01:759,125491,0.5,125669,0.7,251224,0.4,335557,2.00 31,1,2024-09-07 09:35:00:566,882951,882951,0,0,415279962244,4266435799,877629,4311,1011,356,391712,0 31,2,2024-09-07 09:35:01:277,629803,629803,0,0,27586822,0,4470 31,3,2024-09-07 09:35:01:706,1,500,1,0,220,4211,500,0 32,0,2024-09-07 09:35:01:439,128793,0.4,129510,0.5,257831,0.3,344259,1.75 32,1,2024-09-07 09:35:00:823,879377,879377,0,0,414238704811,4308332982,873584,5086,707,381,391646,0 32,2,2024-09-07 09:35:00:937,636180,636180,0,0,23860786,0,3922 32,3,2024-09-07 09:35:01:015,1,500,6,0,304,4137,500,0 33,0,2024-09-07 09:35:01:492,124879,0.3,124511,0.4,249169,0.2,332121,1.50 33,1,2024-09-07 09:35:00:575,880529,880529,0,0,413492408890,4286963663,873307,6050,1172,368,391730,0 33,2,2024-09-07 09:35:00:766,634247,634212,35,0,26589606,0,7012 33,3,2024-09-07 09:35:00:895,1,500,1,0,329,4829,500,0 34,0,2024-09-07 09:35:00:933,123539,0.3,126972,0.4,242712,0.2,326801,1.75 34,1,2024-09-07 09:35:01:044,882102,882102,0,0,414510607412,4265067270,879594,2486,22,367,391562,0 34,2,2024-09-07 09:35:00:766,633317,633317,0,0,25220545,0,4562 34,3,2024-09-07 09:35:01:688,1,500,1,0,320,3973,500,0 35,0,2024-09-07 09:35:00:863,123226,0.3,123955,0.5,249026,0.3,331063,1.75 35,1,2024-09-07 09:35:01:067,879407,879407,0,0,413264415073,4290461441,872755,5439,1213,382,391769,0 35,2,2024-09-07 09:35:01:583,628644,628644,0,0,27477440,0,4055 35,3,2024-09-07 09:35:00:907,1,500,19,0,418,4838,500,0 36,0,2024-09-07 09:35:01:517,128987,0.5,128934,0.7,257818,0.5,343495,2.25 36,1,2024-09-07 09:35:00:583,878089,878089,0,0,411513394086,4303166570,865815,10055,2219,366,391759,0 36,2,2024-09-07 09:35:01:755,634899,634899,0,0,28933689,0,3875 36,3,2024-09-07 09:35:00:871,1,500,1,0,416,7124,500,0 37,0,2024-09-07 09:35:01:368,122465,0.5,122449,0.7,245227,0.5,326828,2.25 37,1,2024-09-07 09:35:00:573,877014,877007,0,7,411876150201,4305011653,865937,8397,2673,365,391770,0 37,2,2024-09-07 09:35:01:143,632101,632086,15,0,29338166,0,5815 37,3,2024-09-07 09:35:01:767,1,500,5,0,888,7202,500,0 38,0,2024-09-07 09:35:01:437,123192,0.4,119531,0.6,250099,0.4,327315,2.00 38,1,2024-09-07 09:35:01:605,878693,878693,0,0,412630172236,4318348151,865471,10703,2519,368,391821,0 38,2,2024-09-07 09:35:00:771,631357,631310,47,0,29544203,0,6710 38,3,2024-09-07 09:35:00:997,1,500,1,0,689,6534,500,0 39,0,2024-09-07 09:35:01:764,126793,0.5,124035,0.7,241716,0.5,329707,2.00 39,1,2024-09-07 09:35:00:726,876754,876754,0,0,411016135849,4317351566,860991,12294,3469,365,391658,0 39,2,2024-09-07 09:35:01:421,629040,629040,0,0,27100016,0,3391 39,3,2024-09-07 09:35:00:717,1,500,2,0,525,5946,500,0 40,0,2024-09-07 09:35:01:500,126317,0.9,127124,1.0,253700,1.0,338918,2.75 40,1,2024-09-07 09:35:00:578,878871,878871,0,0,411210197023,4305085126,866860,9833,2178,368,391668,0 40,2,2024-09-07 09:35:01:303,631704,631703,1,0,32619551,0,5137 40,3,2024-09-07 09:35:01:152,1,500,9,0,1028,7276,500,0 41,0,2024-09-07 09:35:01:024,123979,1.4,126591,1.2,241768,2.0,328849,3.25 41,1,2024-09-07 09:35:00:768,876404,876404,0,0,411275508895,4307753472,864538,9933,1933,369,391742,0 41,2,2024-09-07 09:35:00:765,632231,632230,1,0,31370823,0,5408 41,3,2024-09-07 09:35:01:676,1,500,13,0,366,5314,500,0 42,0,2024-09-07 09:35:01:473,124678,0.8,124380,1.0,249029,0.9,329653,2.50 42,1,2024-09-07 09:35:01:439,874447,874447,0,0,411053645572,4326967665,859439,12100,2908,380,391675,0 42,2,2024-09-07 09:35:01:135,631998,631998,0,0,30156940,0,3975 42,3,2024-09-07 09:35:01:008,1,500,1,0,892,4664,500,0 43,0,2024-09-07 09:35:00:917,123487,0.7,120273,0.9,251661,0.7,329947,2.25 43,1,2024-09-07 09:35:00:576,877501,877501,0,0,412656514782,4315882576,864636,10606,2259,366,391696,0 43,2,2024-09-07 09:35:01:736,629386,629386,0,0,29976788,0,4723 43,3,2024-09-07 09:35:01:764,1,500,1,0,571,7195,500,0 44,0,2024-09-07 09:35:00:897,126957,0.4,127069,0.6,254544,0.4,338824,2.00 44,1,2024-09-07 09:35:00:569,880208,880208,0,0,413294533690,4274583310,872416,6205,1587,356,391809,0 44,2,2024-09-07 09:35:01:268,630010,630010,0,0,24881255,0,4344 44,3,2024-09-07 09:35:01:096,1,500,1,0,817,5509,500,0 45,0,2024-09-07 09:35:01:775,125616,0.6,122678,0.8,257300,0.5,337845,2.00 45,1,2024-09-07 09:35:01:005,878941,878941,0,0,414006104277,4306289116,871434,6631,876,382,391917,0 45,2,2024-09-07 09:35:01:267,636152,636152,0,0,25191617,0,3596 45,3,2024-09-07 09:35:00:934,1,500,2,0,271,4462,500,0 46,0,2024-09-07 09:35:00:948,124618,0.5,124055,0.7,248986,0.4,330839,2.00 46,1,2024-09-07 09:35:00:591,880989,880989,0,0,413498298161,4276665773,874592,5534,863,366,391709,0 46,2,2024-09-07 09:35:00:593,633454,633454,0,0,25480843,0,4443 46,3,2024-09-07 09:35:01:135,1,500,1,0,908,5937,500,0 47,0,2024-09-07 09:35:01:102,123726,0.4,123996,0.6,248423,0.3,329027,1.75 47,1,2024-09-07 09:35:00:567,881291,881291,0,0,414210657567,4281312460,876067,4385,839,366,391641,0 47,2,2024-09-07 09:35:00:908,633748,633748,0,0,25267472,0,4477 47,3,2024-09-07 09:35:01:119,1,500,0,0,600,5823,500,0 48,0,2024-09-07 09:35:01:494,125494,0.3,125492,0.4,249971,0.2,333770,1.50 48,1,2024-09-07 09:35:01:022,879376,879376,0,0,412889754874,4292275426,873626,5178,572,384,391710,0 48,2,2024-09-07 09:35:00:698,630263,630263,0,0,23132751,0,3411 48,3,2024-09-07 09:35:00:763,1,500,1,0,339,4002,500,0 49,0,2024-09-07 09:35:01:741,131337,0.4,129029,0.5,250588,0.3,342748,1.75 49,1,2024-09-07 09:35:01:023,878959,878959,0,0,413206105885,4298015233,873396,4352,1211,382,391809,0 49,2,2024-09-07 09:35:01:798,636052,636052,0,0,25688758,0,4426 49,3,2024-09-07 09:35:01:420,1,500,2,0,992,5796,500,0 50,0,2024-09-07 09:35:01:511,124216,0.3,122455,0.5,246784,0.2,329275,1.75 50,1,2024-09-07 09:35:01:010,881787,881787,0,0,414644168074,4289775715,875849,5272,666,368,391565,0 50,2,2024-09-07 09:35:01:066,633561,633561,0,0,23629124,0,4490 50,3,2024-09-07 09:35:01:291,1,500,1,0,617,5405,500,0 51,0,2024-09-07 09:35:01:684,126675,0.3,124076,0.4,241592,0.2,329335,1.75 51,1,2024-09-07 09:35:01:680,882440,882440,0,0,414592103057,4277324592,877678,3708,1054,365,391706,0 51,2,2024-09-07 09:35:01:316,632994,632994,0,0,22907463,0,3337 51,3,2024-09-07 09:35:01:027,1,500,1,0,678,3745,500,0 52,0,2024-09-07 09:35:01:432,124598,0.5,124213,0.7,248756,0.5,330800,2.00 52,1,2024-09-07 09:35:00:575,878106,878106,0,0,412217976345,4319694105,864883,11118,2105,368,391722,0 52,2,2024-09-07 09:35:01:755,625309,625271,38,0,30246240,0,6742 52,3,2024-09-07 09:35:00:675,1,500,18,0,1782,6596,500,0 53,0,2024-09-07 09:35:01:754,127415,0.7,123676,0.8,258809,0.8,340055,2.50 53,1,2024-09-07 09:35:00:772,876108,876108,0,0,412141669657,4330200113,860758,11506,3844,367,391968,0 53,2,2024-09-07 09:35:01:299,634313,634312,1,0,27189898,0,5455 53,3,2024-09-07 09:35:00:706,1,500,1,0,308,5118,500,0 54,0,2024-09-07 09:35:01:623,121940,0.6,122356,0.8,243602,0.5,324938,2.25 54,1,2024-09-07 09:35:00:580,878467,878467,0,0,412953748436,4299849702,868403,8430,1634,366,391810,0 54,2,2024-09-07 09:35:00:872,634561,634529,32,0,31068585,0,6397 54,3,2024-09-07 09:35:00:764,1,500,1,0,676,6908,500,0 55,0,2024-09-07 09:35:01:777,120423,0.6,124221,0.7,251612,0.5,327653,2.50 55,1,2024-09-07 09:35:00:768,879636,879636,0,0,412773003816,4295595538,869993,8324,1319,365,391731,0 55,2,2024-09-07 09:35:00:729,632082,632026,56,0,29457750,0,7239 55,3,2024-09-07 09:35:00:678,1,500,0,0,304,4811,500,0 56,0,2024-09-07 09:35:01:585,126675,1.1,119336,1.1,246044,1.5,328887,2.75 56,1,2024-09-07 09:35:00:570,874235,874235,0,0,410674334561,4341432711,859926,11397,2912,381,391867,0 56,2,2024-09-07 09:35:01:303,628062,627940,122,0,30590473,0,7432 56,3,2024-09-07 09:35:01:072,1,500,0,0,705,6169,500,0 57,0,2024-09-07 09:35:00:965,125331,1.7,125311,1.3,251000,2.3,335642,3.50 57,1,2024-09-07 09:35:00:988,875867,875867,0,0,410954164986,4316483445,863702,9882,2283,366,392032,0 57,2,2024-09-07 09:35:01:316,631975,631975,0,0,31502561,0,4317 57,3,2024-09-07 09:35:01:738,1,500,5,0,455,5747,500,0 58,0,2024-09-07 09:35:00:557,124075,1.0,120420,1.0,252026,1.3,329750,2.75 58,1,2024-09-07 09:35:00:575,877278,877275,0,3,412707913389,4329499986,863491,10465,3319,367,391603,3 58,2,2024-09-07 09:35:01:073,634216,634216,0,0,29895485,0,3483 58,3,2024-09-07 09:35:01:075,1,500,1,0,1043,5616,500,0 59,0,2024-09-07 09:35:01:749,125031,0.8,124837,1.0,249077,0.9,330554,2.75 59,1,2024-09-07 09:35:00:805,875987,875987,0,0,411574454034,4327091822,861250,11598,3139,369,391653,0 59,2,2024-09-07 09:35:00:582,632452,632452,0,0,28654320,0,3727 59,3,2024-09-07 09:35:01:737,1,500,1,0,1015,6359,500,0 60,0,2024-09-07 09:35:01:737,123931,0.5,124196,0.7,248490,0.5,330464,1.75 60,1,2024-09-07 09:35:00:773,880355,880355,0,0,412948049971,4288806355,874182,5241,932,370,392031,0 60,2,2024-09-07 09:35:01:150,630089,630089,0,0,27217928,0,3811 60,3,2024-09-07 09:35:01:260,1,500,1,0,409,6057,500,0 61,0,2024-09-07 09:35:01:514,125533,0.8,126127,0.9,251112,0.9,335275,2.00 61,1,2024-09-07 09:35:00:782,877272,877272,0,0,411885610698,4317190914,867404,8288,1580,382,392127,0 61,2,2024-09-07 09:35:01:142,630586,630519,67,0,28140001,0,6411 61,3,2024-09-07 09:35:01:688,1,500,2,0,607,7422,500,0 62,0,2024-09-07 09:35:01:709,129112,0.7,132369,0.8,252746,0.7,344053,2.00 62,1,2024-09-07 09:35:01:120,882400,882394,0,6,414591933410,4275308501,878244,3870,280,365,391975,6 62,2,2024-09-07 09:35:01:644,633177,633176,1,0,27441740,0,5555 62,3,2024-09-07 09:35:01:148,1,500,3,0,482,4134,500,0 63,0,2024-09-07 09:35:01:459,124837,0.4,124554,0.6,250056,0.4,332459,1.75 63,1,2024-09-07 09:35:00:805,879896,879890,0,6,413573454133,4296098984,874143,4996,751,381,391800,6 63,2,2024-09-07 09:35:00:771,633063,633063,0,0,26539396,0,4369 63,3,2024-09-07 09:35:01:732,1,500,117,0,667,5522,500,0 64,0,2024-09-07 09:35:01:517,122886,0.5,122918,0.6,245352,0.4,326068,2.00 64,1,2024-09-07 09:35:00:757,879429,879429,0,0,412964434023,4303746969,871545,6114,1770,370,391783,0 64,2,2024-09-07 09:35:01:158,635893,635874,19,0,25460260,0,6121 64,3,2024-09-07 09:35:01:154,1,500,1,0,651,5390,500,0 65,0,2024-09-07 09:35:01:675,123141,0.6,123444,0.8,246240,0.7,328406,2.00 65,1,2024-09-07 09:35:00:897,877337,877337,0,0,411719237392,4306588423,870597,5945,795,381,391901,0 65,2,2024-09-07 09:35:01:700,628986,628986,0,0,29623551,0,3367 65,3,2024-09-07 09:35:01:683,1,500,1,0,782,6078,500,0 66,0,2024-09-07 09:35:01:766,128207,0.6,127812,0.8,255712,0.5,340819,2.25 66,1,2024-09-07 09:35:01:293,879476,879476,0,0,413402292026,4305921756,873329,5425,722,380,391743,0 66,2,2024-09-07 09:35:01:135,636524,636521,3,0,27090044,0,5455 66,3,2024-09-07 09:35:01:079,1,500,1,0,291,4477,500,0 67,0,2024-09-07 09:35:01:417,122897,0.5,122556,0.7,246009,0.5,327064,2.25 67,1,2024-09-07 09:35:00:766,879216,879215,0,1,412974050119,4302045970,872959,5443,813,380,391787,1 67,2,2024-09-07 09:35:00:583,636328,636313,15,0,26320080,0,6205 67,3,2024-09-07 09:35:01:751,1,500,1,0,392,4859,500,0 68,0,2024-09-07 09:35:00:571,124073,0.5,124010,0.7,246943,0.5,329743,2.00 68,1,2024-09-07 09:35:00:570,875671,875671,0,0,411292263186,4329043499,863453,8819,3399,381,391953,0 68,2,2024-09-07 09:35:01:044,628922,628822,100,0,33879034,0,8578 68,3,2024-09-07 09:35:00:730,1,500,1,0,417,6494,500,0 69,0,2024-09-07 09:35:01:801,123230,0.7,124037,0.8,247074,0.7,327910,2.25 69,1,2024-09-07 09:35:01:021,874824,874824,0,0,409884133337,4324009548,862741,9324,2759,384,391994,0 69,2,2024-09-07 09:35:01:739,627190,627161,29,0,34548831,0,6912 69,3,2024-09-07 09:35:00:768,1,500,1,0,698,7525,500,0 70,0,2024-09-07 09:35:01:534,126299,1.0,126715,1.1,254684,1.0,337780,2.50 70,1,2024-09-07 09:35:00:801,879997,879997,0,0,414216461849,4301121974,872746,6489,762,366,391725,0 70,2,2024-09-07 09:35:01:324,633185,633185,0,0,29301549,0,4323 70,3,2024-09-07 09:35:00:746,1,500,1,0,854,5665,500,0 71,0,2024-09-07 09:35:01:364,123333,1.2,123070,1.1,247364,1.8,329942,3.00 71,1,2024-09-07 09:35:01:596,877477,877477,0,0,412627402953,4311861595,866088,10134,1255,368,391738,0 71,2,2024-09-07 09:35:01:066,633855,633855,0,0,30078296,0,4352 71,3,2024-09-07 09:35:01:751,1,500,1,0,644,6070,500,0 72,0,2024-09-07 09:35:01:035,129392,0.5,126498,0.7,246786,0.5,335130,2.00 72,1,2024-09-07 09:35:01:032,876042,876042,0,0,411654406936,4324104889,862667,11094,2281,369,391819,0 72,2,2024-09-07 09:35:01:761,631473,631473,0,0,31374890,0,3983 72,3,2024-09-07 09:35:01:765,1,500,1,0,564,7353,500,0 73,0,2024-09-07 09:35:01:105,121416,0.4,124654,0.6,254470,0.4,330900,2.00 73,1,2024-09-07 09:35:00:786,879079,879079,0,0,412781209796,4289276091,872853,5801,425,367,391858,0 73,2,2024-09-07 09:35:01:739,628361,628361,0,0,31758816,0,4728 73,3,2024-09-07 09:35:00:972,1,500,0,0,486,6381,500,0 74,0,2024-09-07 09:35:01:342,127872,0.5,130809,0.7,249645,0.5,339145,2.25 74,1,2024-09-07 09:35:00:638,877528,877528,0,0,411521505530,4301916240,867084,8521,1923,381,391762,0 74,2,2024-09-07 09:35:01:003,630790,630790,0,0,27723968,0,4253 74,3,2024-09-07 09:35:01:442,1,500,1,0,522,6136,500,0 75,0,2024-09-07 09:35:01:766,127434,0.6,126494,0.8,254227,0.6,339288,2.25 75,1,2024-09-07 09:35:01:589,878517,878517,0,0,412478977508,4303862380,870638,7019,860,380,391739,0 75,2,2024-09-07 09:35:01:353,633444,633444,0,0,33140762,0,4766 75,3,2024-09-07 09:35:01:068,1,500,0,0,702,6096,500,0 76,0,2024-09-07 09:35:00:612,124561,0.5,123849,0.7,248344,0.5,332337,2.25 76,1,2024-09-07 09:35:00:806,877374,877374,0,0,412019412411,4300952840,870756,5652,966,382,391692,0 76,2,2024-09-07 09:35:01:062,635207,635204,3,0,28125733,0,5265 76,3,2024-09-07 09:35:01:146,1,500,0,0,227,4796,500,0 77,0,2024-09-07 09:35:01:739,123131,0.5,123601,0.7,247321,0.5,328087,2.00 77,1,2024-09-07 09:35:00:835,878543,878543,0,0,412660894468,4307370100,871718,6233,592,381,391869,0 77,2,2024-09-07 09:35:01:291,630695,630695,0,0,27659546,0,3890 77,3,2024-09-07 09:35:01:109,1,500,22,0,401,5407,500,0 78,0,2024-09-07 09:35:01:717,125221,0.4,124697,0.6,250277,0.4,332251,2.00 78,1,2024-09-07 09:35:00:615,878028,878028,0,0,411878771013,4299598946,867265,8238,2525,367,391670,0 78,2,2024-09-07 09:35:01:410,630730,630717,13,0,25689048,0,8313 78,3,2024-09-07 09:35:01:135,1,500,0,0,311,4482,500,0 79,0,2024-09-07 09:35:01:347,123623,0.4,126739,0.6,259365,0.4,337608,2.25 79,1,2024-09-07 09:35:00:570,880809,880809,0,0,413140219531,4284633771,873078,6374,1357,367,391682,0 79,2,2024-09-07 09:35:01:067,635311,635311,0,0,24741265,0,4195 79,3,2024-09-07 09:35:00:755,1,500,1,0,418,6223,500,0 80,0,2024-09-07 09:35:01:084,123276,0.5,126605,0.7,242577,0.5,328606,2.00 80,1,2024-09-07 09:35:01:624,878069,878069,0,0,413235990466,4303699345,872255,5390,424,368,392269,0 80,2,2024-09-07 09:35:01:096,635524,635524,0,0,25180328,0,4433 80,3,2024-09-07 09:35:00:575,1,500,1,0,296,6046,500,0 81,0,2024-09-07 09:35:01:603,123578,0.5,126512,0.7,241357,0.5,327930,2.00 81,1,2024-09-07 09:35:01:664,877086,877086,0,0,412120928263,4310827702,869692,6756,638,382,391885,0 81,2,2024-09-07 09:35:01:133,631540,631477,63,0,28246134,0,5932 81,3,2024-09-07 09:35:01:119,1,500,0,0,719,5826,500,0 82,0,2024-09-07 09:35:01:533,123898,0.5,123956,0.7,248530,0.4,330037,2.00 82,1,2024-09-07 09:35:00:583,879490,879486,0,4,412840236318,4294818483,874408,4258,820,381,391768,4 82,2,2024-09-07 09:35:01:690,630319,630319,0,0,23397485,0,4484 82,3,2024-09-07 09:35:01:751,1,500,1,0,363,4909,500,0 83,0,2024-09-07 09:35:01:568,128174,0.7,128019,0.8,255549,0.7,340312,2.25 83,1,2024-09-07 09:35:00:556,878246,878246,0,0,412850328423,4306085443,871914,5880,452,382,391709,0 83,2,2024-09-07 09:35:00:765,634064,634039,25,0,25377240,0,5612 83,3,2024-09-07 09:35:00:749,1,500,1,0,1260,6417,500,0 84,0,2024-09-07 09:35:01:930,122845,0.8,123026,0.9,245612,0.7,328247,2.25 84,1,2024-09-07 09:35:01:044,876819,876819,0,0,411880408133,4309913746,866635,8663,1521,367,391967,0 84,2,2024-09-07 09:35:00:572,633279,633249,30,0,33563909,0,5971 84,3,2024-09-07 09:35:01:140,1,500,1,0,908,7254,500,0 85,0,2024-09-07 09:35:01:004,119993,0.6,119923,0.8,254569,0.5,329215,2.25 85,1,2024-09-07 09:35:00:564,874015,874015,0,0,410608896121,4341180009,859767,11594,2654,381,392092,0 85,2,2024-09-07 09:35:00:870,631316,631316,0,0,31302422,0,4255 85,3,2024-09-07 09:35:00:685,1,500,1,0,789,5803,500,0 86,0,2024-09-07 09:35:00:888,123857,0.6,127380,0.8,243655,0.7,329253,2.25 86,1,2024-09-07 09:35:00:826,876854,876854,0,0,411748014805,4318393466,865581,9305,1968,366,391961,0 86,2,2024-09-07 09:35:00:854,626379,626378,1,0,33615199,0,5004 86,3,2024-09-07 09:35:00:586,1,500,1,0,308,7410,500,0 87,0,2024-09-07 09:35:01:284,127239,1.0,126929,0.9,253882,1.3,339509,2.25 87,1,2024-09-07 09:35:00:558,875677,875677,0,0,411181304719,4313426732,863497,10469,1711,366,392076,0 87,2,2024-09-07 09:35:01:087,631461,631455,6,0,29486066,0,6323 87,3,2024-09-07 09:35:01:806,1,500,2,0,473,7565,500,0 88,0,2024-09-07 09:35:01:453,125833,0.5,126431,0.6,252644,0.5,335943,2.00 88,1,2024-09-07 09:35:00:573,875086,875086,0,0,411625540769,4316006650,862473,9999,2614,365,392084,0 88,2,2024-09-07 09:35:00:687,634805,634805,0,0,32043127,0,4465 88,3,2024-09-07 09:35:01:267,1,500,14,0,435,6423,500,0 89,0,2024-09-07 09:35:01:795,129239,0.4,125227,0.6,247534,0.4,336434,1.75 89,1,2024-09-07 09:35:00:551,875337,875337,0,0,411903413335,4336674514,863942,9580,1815,382,391866,0 89,2,2024-09-07 09:35:01:135,632105,632105,0,0,30440323,0,3173 89,3,2024-09-07 09:35:01:793,1,500,4,0,468,8381,500,0 90,0,2024-09-07 09:35:01:633,120395,0.4,123808,0.6,252216,0.4,329426,1.75 90,1,2024-09-07 09:35:00:591,877088,877088,0,0,411729074768,4313085380,868592,7764,732,380,391825,0 90,2,2024-09-07 09:35:01:412,627779,627779,0,0,32587929,0,3608 90,3,2024-09-07 09:35:00:935,1,500,2,0,322,6188,500,0 91,0,2024-09-07 09:35:00:929,126164,0.5,122271,0.6,255734,0.5,335830,1.75 91,1,2024-09-07 09:35:00:563,875571,875571,0,0,411343878973,4329611476,864010,9552,2009,381,392047,0 91,2,2024-09-07 09:35:01:331,631085,631085,0,0,28624131,0,4713 91,3,2024-09-07 09:35:00:604,1,500,1,0,216,4855,500,0 92,0,2024-09-07 09:35:01:464,130115,0.5,132966,0.6,253468,0.5,344112,1.75 92,1,2024-09-07 09:35:00:589,877352,877352,0,0,411811154766,4306055833,869855,6293,1204,381,392136,0 92,2,2024-09-07 09:35:01:375,635438,635438,0,0,25974025,0,3259 92,3,2024-09-07 09:35:01:008,1,500,1,0,167,4304,500,0 93,0,2024-09-07 09:35:00:971,125236,0.4,128354,0.6,245048,0.4,332493,1.75 93,1,2024-09-07 09:35:00:807,877771,877771,0,0,411845311919,4304466890,867499,8539,1733,366,391776,0 93,2,2024-09-07 09:35:00:930,632902,632902,0,0,31605302,0,4913 93,3,2024-09-07 09:35:01:406,1,500,1,0,190,4634,500,0 94,0,2024-09-07 09:35:01:613,122967,0.3,123616,0.5,247154,0.3,327737,1.75 94,1,2024-09-07 09:35:00:566,878080,878080,0,0,412960736486,4309831868,871988,5719,373,381,391850,0 94,2,2024-09-07 09:35:00:768,631976,631948,28,0,27024869,0,6179 94,3,2024-09-07 09:35:01:693,1,500,4,0,576,6477,500,0 95,0,2024-09-07 09:35:01:350,124237,0.3,123979,0.5,248309,0.3,331091,1.75 95,1,2024-09-07 09:35:00:855,879397,879397,0,0,412595853432,4291592097,872053,6689,655,365,391786,0 95,2,2024-09-07 09:35:01:018,628785,628785,0,0,25676626,0,3308 95,3,2024-09-07 09:35:01:712,1,500,3,0,718,7980,500,0 96,0,2024-09-07 09:35:01:106,128890,0.4,129110,0.5,258064,0.4,342859,1.75 96,1,2024-09-07 09:35:01:585,878247,878247,0,0,412282832081,4302467478,871870,5465,912,384,391955,0 96,2,2024-09-07 09:35:01:269,635138,635138,0,0,26694911,0,4180 96,3,2024-09-07 09:35:01:140,1,500,2,0,411,5372,500,0 97,0,2024-09-07 09:35:01:348,123077,0.3,122726,0.5,246604,0.3,327408,1.75 97,1,2024-09-07 09:35:00:776,879449,879449,0,0,413704105611,4293249994,873711,4795,943,367,392140,0 97,2,2024-09-07 09:35:00:609,635064,635064,0,0,26145168,0,3679 97,3,2024-09-07 09:35:00:578,1,500,6,0,242,5945,500,0 98,0,2024-09-07 09:35:01:732,123541,0.3,123745,0.4,248161,0.2,329331,1.50 98,1,2024-09-07 09:35:00:572,879097,879097,0,0,412058232625,4293706820,873703,4596,798,381,391997,0 98,2,2024-09-07 09:35:00:773,632826,632826,0,0,25822114,0,4336 98,3,2024-09-07 09:35:00:713,1,500,3,0,840,7918,500,0 99,0,2024-09-07 09:35:01:500,123821,0.3,124446,0.5,247614,0.3,330352,1.75 99,1,2024-09-07 09:35:01:726,879199,879199,0,0,411744748431,4288235790,873264,5012,923,380,392069,0 99,2,2024-09-07 09:35:01:418,629499,629499,0,0,30805438,0,4276 99,3,2024-09-07 09:35:00:585,1,500,17,0,606,5183,500,0 100,0,2024-09-07 09:35:01:556,127380,0.9,127754,1.0,254798,1.2,341054,2.50 100,1,2024-09-07 09:35:00:553,873954,873954,0,0,410505137736,4344530448,859704,11152,3098,378,391989,0 100,2,2024-09-07 09:35:01:818,630544,630533,11,0,30295801,0,5417 100,3,2024-09-07 09:35:01:737,1,500,6,0,627,8925,500,0 101,0,2024-09-07 09:35:01:788,127217,1.4,124002,1.1,242926,1.5,332160,2.50 101,1,2024-09-07 09:35:00:557,875153,875153,0,0,411251166084,4324734909,862893,9861,2399,368,391847,0 101,2,2024-09-07 09:35:01:758,630519,630519,0,0,35328611,0,4871 101,3,2024-09-07 09:35:00:943,1,500,1,0,1250,7764,500,0 102,0,2024-09-07 09:35:00:973,121975,0.6,125675,0.7,255082,0.6,333391,2.00 102,1,2024-09-07 09:35:01:146,875235,875235,0,0,412072278196,4331951499,863050,10166,2019,369,391891,0 102,2,2024-09-07 09:35:01:751,632514,632460,54,0,29943366,0,6768 102,3,2024-09-07 09:35:01:615,1,500,3,0,466,6016,500,0 103,0,2024-09-07 09:35:01:587,128661,0.5,128644,0.7,242459,0.5,333399,2.00 103,1,2024-09-07 09:35:01:629,874129,874129,0,0,410921298800,4342236301,860098,10833,3198,381,391862,0 103,2,2024-09-07 09:35:00:582,627494,627494,0,0,31404333,0,3766 103,3,2024-09-07 09:35:00:756,1,500,4,0,916,6098,500,0 104,0,2024-09-07 09:35:01:012,125901,0.8,126091,1.0,251132,0.8,337042,2.50 104,1,2024-09-07 09:35:01:601,876697,876697,0,0,411352727953,4325204914,863665,10483,2549,365,392168,0 104,2,2024-09-07 09:35:01:665,629292,629292,0,0,30424966,0,3941 104,3,2024-09-07 09:35:01:417,1,500,0,0,1245,9063,500,0 105,0,2024-09-07 09:35:01:043,125754,1.0,122551,1.1,257034,1.3,337628,2.75 105,1,2024-09-07 09:35:00:561,877689,877689,0,0,412112639796,4321595404,866111,9812,1766,365,392009,0 105,2,2024-09-07 09:35:01:323,633605,633605,0,0,30725152,0,4360 105,3,2024-09-07 09:35:01:307,1,500,11,0,399,7290,500,0 106,0,2024-09-07 09:35:00:957,120801,0.8,123647,0.9,253511,0.8,331176,2.50 106,1,2024-09-07 09:35:01:752,877034,877034,0,0,411385272365,4320453250,864432,10956,1646,368,391914,0 106,2,2024-09-07 09:35:00:755,631798,631798,0,0,29053005,0,2920 106,3,2024-09-07 09:35:00:680,1,500,1,0,1224,7702,500,0 107,0,2024-09-07 09:35:01:130,123309,0.8,123490,0.9,247057,0.8,329130,2.00 107,1,2024-09-07 09:35:00:585,875012,875012,0,0,411556359771,4342775170,862118,11501,1393,381,392234,0 107,2,2024-09-07 09:35:01:292,628805,628804,1,0,31665288,0,5024 107,3,2024-09-07 09:35:01:754,1,500,1,0,733,7741,500,0 108,0,2024-09-07 09:35:01:773,124763,0.4,125556,0.6,249597,0.4,333797,1.75 108,1,2024-09-07 09:35:01:295,877378,877378,0,0,413001245627,4310642853,869497,6913,968,367,391857,0 108,2,2024-09-07 09:35:01:761,628949,628949,0,0,28395060,0,4246 108,3,2024-09-07 09:35:01:330,1,500,1,0,749,10181,500,0 109,0,2024-09-07 09:35:01:754,128685,0.4,127605,0.6,255757,0.4,341662,1.75 109,1,2024-09-07 09:35:00:583,875425,875425,0,0,411573585882,4320992977,867240,6973,1212,382,392132,0 109,2,2024-09-07 09:35:00:925,632212,632212,0,0,28338896,0,3617 109,3,2024-09-07 09:35:01:140,1,500,7,0,630,6376,500,0 110,0,2024-09-07 09:35:01:768,123425,0.4,120108,0.6,251559,0.4,329979,1.75 110,1,2024-09-07 09:35:01:651,878892,878892,0,0,412803627438,4291155026,871371,5601,1920,368,392045,0 110,2,2024-09-07 09:35:01:314,634224,634224,0,0,26858153,0,4067 110,3,2024-09-07 09:35:00:696,1,500,10,0,722,7129,500,0 111,0,2024-09-07 09:35:01:437,123904,0.3,123262,0.5,246850,0.3,329245,1.75 111,1,2024-09-07 09:35:01:003,880329,880329,0,0,414088469187,4295524606,875789,4184,356,380,391690,0 111,2,2024-09-07 09:35:01:122,631629,631629,0,0,27471483,0,4823 111,3,2024-09-07 09:35:00:915,1,500,2,0,379,5850,500,0 112,0,2024-09-07 09:35:00:931,124852,0.3,124257,0.4,249160,0.2,331011,1.50 112,1,2024-09-07 09:35:00:824,879062,879062,0,0,413630183477,4296428593,873169,5203,690,380,391624,0 112,2,2024-09-07 09:35:01:138,628393,628392,1,0,25903981,0,5036 112,3,2024-09-07 09:35:00:592,1,500,1,0,282,4920,500,0 113,0,2024-09-07 09:35:00:877,128339,0.3,128249,0.5,256822,0.3,342221,1.75 113,1,2024-09-07 09:35:01:685,881873,881873,0,0,414737927649,4281997726,876628,4432,813,365,391661,0 113,2,2024-09-07 09:35:01:305,636171,636171,0,0,23459727,0,3813 113,3,2024-09-07 09:35:00:686,1,500,1,0,340,5400,500,0 114,0,2024-09-07 09:35:00:902,124286,0.3,125176,0.5,248643,0.3,332222,1.75 114,1,2024-09-07 09:35:00:716,879344,879344,0,0,412440896088,4286322745,872450,5278,1616,381,391565,0 114,2,2024-09-07 09:35:00:877,636110,636109,1,0,25533738,0,5069 114,3,2024-09-07 09:35:01:280,1,500,1,0,395,3999,500,0 115,0,2024-09-07 09:35:00:563,125145,0.3,125615,0.4,250541,0.2,332720,1.50 115,1,2024-09-07 09:35:00:572,879858,879858,0,0,413322683639,4297867164,872460,6041,1357,382,391757,0 115,2,2024-09-07 09:35:01:125,635449,635449,0,0,25148441,0,4382 115,3,2024-09-07 09:35:01:003,1,500,4,0,167,2944,500,0 116,0,2024-09-07 09:35:01:732,123600,0.6,123530,0.8,247542,0.6,330381,2.00 116,1,2024-09-07 09:35:00:807,875542,875542,0,0,411627477329,4341268731,864905,8194,2443,380,392089,0 116,2,2024-09-07 09:35:01:755,627057,627057,0,0,31873827,0,4475 116,3,2024-09-07 09:35:00:913,1,500,0,0,415,6264,500,0 117,0,2024-09-07 09:35:00:963,127492,0.8,126867,0.9,254304,0.9,339988,2.00 117,1,2024-09-07 09:35:01:581,875943,875943,0,0,411032281105,4306297420,865976,8742,1225,369,392033,0 117,2,2024-09-07 09:35:01:123,634044,634044,0,0,27725639,0,4303 117,3,2024-09-07 09:35:01:060,1,500,1,0,490,7026,500,0 118,0,2024-09-07 09:35:01:774,122239,0.6,125570,0.7,256036,0.6,334410,2.00 118,1,2024-09-07 09:35:00:585,875885,875885,0,0,411343708840,4328223911,862395,10370,3120,366,392054,0 118,2,2024-09-07 09:35:01:589,633646,633646,0,0,30484941,0,2842 118,3,2024-09-07 09:35:01:764,1,500,4,0,248,5846,500,0 119,0,2024-09-07 09:35:01:347,125351,0.6,125692,0.8,251163,0.6,334565,2.00 119,1,2024-09-07 09:35:00:559,876318,876318,0,0,412685401359,4327235489,864666,9887,1765,367,391857,0 119,2,2024-09-07 09:35:01:274,632924,632924,0,0,28530150,0,4174 119,3,2024-09-07 09:35:01:326,1,500,1,0,1358,9684,500,0 120,0,2024-09-07 09:35:01:554,123424,0.6,123232,0.8,247372,0.6,330059,2.25 120,1,2024-09-07 09:35:00:861,876941,876941,0,0,411529284725,4316485145,867662,8500,779,368,392144,0 120,2,2024-09-07 09:35:00:772,628367,628366,1,0,32952711,0,5281 120,3,2024-09-07 09:35:01:293,1,500,32,0,279,6369,500,0 121,0,2024-09-07 09:35:01:906,125791,1.5,125169,1.1,251020,2.0,334891,2.50 121,1,2024-09-07 09:35:01:671,877526,877526,0,0,412147803692,4311211564,868807,8018,701,367,391840,0 121,2,2024-09-07 09:35:01:133,629070,629070,0,0,30083313,0,4157 121,3,2024-09-07 09:35:00:731,1,500,8,0,330,6127,500,0 122,0,2024-09-07 09:35:01:782,128069,0.9,124676,0.9,261198,1.0,344143,2.25 122,1,2024-09-07 09:35:00:875,875579,875579,0,0,411703574915,4322206867,863150,10546,1883,366,392130,0 122,2,2024-09-07 09:35:01:319,634953,634878,75,0,34561229,0,5989 122,3,2024-09-07 09:35:00:594,1,500,2,0,512,8653,500,0 123,0,2024-09-07 09:35:01:008,123916,0.8,120667,0.9,252702,1.0,331086,2.25 123,1,2024-09-07 09:35:00:577,876139,876139,0,0,412101558459,4339548980,861002,12636,2501,369,392039,0 123,2,2024-09-07 09:35:01:023,631057,631056,1,0,29328058,0,5215 123,3,2024-09-07 09:35:01:132,1,500,1,0,478,5977,500,0 124,0,2024-09-07 09:35:00:985,126852,0.3,126839,0.5,239066,0.3,328838,1.75 124,1,2024-09-07 09:35:01:120,879205,879205,0,0,412085162476,4287093953,872403,5671,1131,365,392178,0 124,2,2024-09-07 09:35:01:014,632935,632882,53,0,26779198,0,6487 124,3,2024-09-07 09:35:00:769,1,500,7,0,490,5324,500,0 125,0,2024-09-07 09:35:01:426,124024,0.4,123787,0.6,248666,0.4,330827,1.75 125,1,2024-09-07 09:35:00:857,877638,877638,0,0,412583966021,4309409624,870674,5982,982,382,391813,0 125,2,2024-09-07 09:35:01:123,630668,630668,0,0,26697064,0,4534 125,3,2024-09-07 09:35:01:128,1,500,8,0,709,6134,500,0 126,0,2024-09-07 09:35:01:437,128892,0.4,132507,0.6,253448,0.4,343400,1.75 126,1,2024-09-07 09:35:00:579,880390,880390,0,0,412995592243,4277773717,875727,4354,309,365,391987,0 126,2,2024-09-07 09:35:00:610,635180,635180,0,0,27538108,0,4539 126,3,2024-09-07 09:35:00:907,1,500,1,0,268,5706,500,0 127,0,2024-09-07 09:35:01:603,123288,0.3,123624,0.5,246656,0.3,327692,1.75 127,1,2024-09-07 09:35:00:569,878907,878907,0,0,412315262145,4294627408,869549,7854,1504,364,392187,0 127,2,2024-09-07 09:35:00:655,633120,633116,4,0,26295635,0,5305 127,3,2024-09-07 09:35:01:272,1,500,0,0,968,5142,500,0 128,0,2024-09-07 09:35:01:588,124380,0.3,124485,0.4,248590,0.2,330200,1.50 128,1,2024-09-07 09:35:01:613,878255,878255,0,0,413566374354,4298705012,871785,5737,733,367,392031,0 128,2,2024-09-07 09:35:01:394,634289,634289,0,0,24553413,0,3171 128,3,2024-09-07 09:35:00:796,1,500,3,0,1082,7968,500,0 129,0,2024-09-07 09:35:01:107,124798,0.3,124290,0.5,249079,0.3,330759,1.50 129,1,2024-09-07 09:35:00:567,875971,875971,0,0,411777025733,4318472668,866958,7069,1944,379,391962,0 129,2,2024-09-07 09:35:00:693,629453,629449,4,0,26534865,0,5335 129,3,2024-09-07 09:35:00:694,1,500,8,0,506,7225,500,0 130,0,2024-09-07 09:35:01:723,128464,0.5,128082,0.6,257109,0.5,342454,1.75 130,1,2024-09-07 09:35:00:610,879022,879022,0,0,412326325677,4285934497,874237,4397,388,381,391825,0 130,2,2024-09-07 09:35:01:128,633945,633945,0,0,26649334,0,4067 130,3,2024-09-07 09:35:01:295,1,500,1,0,960,7231,500,0 131,0,2024-09-07 09:35:01:924,124883,0.4,125310,0.5,251073,0.3,333097,1.75 131,1,2024-09-07 09:35:01:830,878538,878538,0,0,412719747395,4308441813,871668,5679,1191,381,391865,0 131,2,2024-09-07 09:35:00:570,635980,635980,0,0,24781480,0,3979 131,3,2024-09-07 09:35:01:698,1,500,2,0,392,6069,500,0 132,0,2024-09-07 09:35:01:428,125326,0.4,126509,0.6,251701,0.4,334501,2.00 132,1,2024-09-07 09:35:00:576,874983,874983,0,0,410425574526,4321324190,862550,10242,2191,381,392532,0 132,2,2024-09-07 09:35:00:706,632183,632166,17,0,32509143,0,6451 132,3,2024-09-07 09:35:01:692,1,500,4,0,804,8686,500,0 133,0,2024-09-07 09:35:01:554,121395,0.4,124331,0.6,254859,0.4,331521,1.75 133,1,2024-09-07 09:35:00:585,874901,874901,0,0,410961152351,4335385444,862405,10808,1688,383,391914,0 133,2,2024-09-07 09:35:01:091,628753,628703,50,0,32722715,0,6861 133,3,2024-09-07 09:35:01:303,1,500,3,0,479,5682,500,0 134,0,2024-09-07 09:35:00:999,127133,0.6,126980,0.7,254349,0.6,339407,2.25 134,1,2024-09-07 09:35:00:584,876232,876232,0,0,411624552966,4318138074,864875,9211,2146,366,391718,0 134,2,2024-09-07 09:35:01:760,629997,629973,24,0,30808070,0,6207 134,3,2024-09-07 09:35:00:750,1,500,3,0,739,6218,500,0 135,0,2024-09-07 09:35:01:115,123273,1.0,123257,0.9,261572,1.2,336976,2.50 135,1,2024-09-07 09:35:01:591,875858,875858,0,0,411989240618,4335628630,864231,10062,1565,380,391805,0 135,2,2024-09-07 09:35:00:694,634847,634847,0,0,31015626,0,4503 135,3,2024-09-07 09:35:01:002,1,500,2,0,900,5205,500,0 136,0,2024-09-07 09:35:01:623,125570,0.5,125798,0.7,250582,0.5,334277,2.00 136,1,2024-09-07 09:35:01:443,877583,877583,0,0,411305833036,4315262494,867224,9092,1267,381,392135,0 136,2,2024-09-07 09:35:01:132,634019,634004,15,0,30398956,0,6007 136,3,2024-09-07 09:35:01:117,1,500,16,0,637,6174,500,0 137,0,2024-09-07 09:35:00:998,127446,0.5,124165,0.7,243387,0.5,330300,2.00 137,1,2024-09-07 09:35:00:601,875875,875875,0,0,411426815147,4316242775,862455,10750,2670,366,391898,0 137,2,2024-09-07 09:35:01:706,629473,629473,0,0,31780379,0,3185 137,3,2024-09-07 09:35:00:772,1,500,2,0,484,6442,500,0 138,0,2024-09-07 09:35:01:764,124435,0.9,124529,0.9,249776,1.1,332405,2.25 138,1,2024-09-07 09:35:01:692,876411,876411,0,0,411846888535,4323311878,863682,10761,1968,368,391954,0 138,2,2024-09-07 09:35:00:585,628783,628783,0,0,29191940,0,4988 138,3,2024-09-07 09:35:00:612,1,500,6,0,1200,8210,500,0 139,0,2024-09-07 09:35:01:384,126928,1.4,127376,1.1,254892,2.0,340178,2.50 139,1,2024-09-07 09:35:00:573,871984,871984,0,0,409871851755,4351269037,856054,12471,3459,380,392058,0 139,2,2024-09-07 09:35:00:698,630375,630375,0,0,32836264,0,3097 139,3,2024-09-07 09:35:01:664,1,500,1,0,432,5876,500,0 140,0,2024-09-07 09:35:01:641,124190,0.3,123331,0.5,247428,0.2,330204,1.75 140,1,2024-09-07 09:35:01:535,881049,881049,0,0,413807878695,4268737717,876470,4041,538,364,391606,0 140,2,2024-09-07 09:35:00:686,634734,634733,1,0,25107384,0,5036 140,3,2024-09-07 09:35:00:767,1,500,1,0,297,4807,500,0 141,0,2024-09-07 09:35:01:701,123905,0.3,127068,0.4,242621,0.2,328954,1.50 141,1,2024-09-07 09:35:00:860,879835,879835,0,0,413476262089,4297813294,872733,6017,1085,379,391614,0 141,2,2024-09-07 09:35:01:686,632732,632721,11,0,26660134,0,5369 141,3,2024-09-07 09:35:01:043,1,500,20,0,391,5527,500,0 142,0,2024-09-07 09:35:01:324,124973,0.3,124018,0.5,248788,0.3,331738,1.75 142,1,2024-09-07 09:35:00:584,878079,878079,0,0,412729799275,4304309304,872432,5188,459,382,392102,0 142,2,2024-09-07 09:35:01:300,628024,627992,32,0,27080313,0,6028 142,3,2024-09-07 09:35:01:754,1,500,4,0,484,5341,500,0 143,0,2024-09-07 09:35:01:421,128295,0.5,128198,0.6,257106,0.4,342281,1.75 143,1,2024-09-07 09:35:00:571,879928,879928,0,0,413540410457,4294517800,873859,5515,554,367,391722,0 143,2,2024-09-07 09:35:00:769,635040,635040,0,0,26571837,0,3123 143,3,2024-09-07 09:35:01:154,1,500,3,0,462,6427,500,0 144,0,2024-09-07 09:35:01:494,120077,0.7,123538,0.8,251540,0.6,329235,2.25 144,1,2024-09-07 09:35:00:567,875689,875689,0,0,410729433602,4314250579,866312,7414,1963,381,391733,0 144,2,2024-09-07 09:35:01:753,635437,635437,0,0,25228728,0,3673 144,3,2024-09-07 09:35:01:742,1,500,5,0,249,4964,500,0 145,0,2024-09-07 09:35:01:392,120668,0.5,120628,0.8,256116,0.5,329465,2.25 145,1,2024-09-07 09:35:00:558,874927,874927,0,0,411195356538,4332394249,862578,9885,2464,382,391759,0 145,2,2024-09-07 09:35:01:429,630448,630366,82,0,31324170,0,7814 145,3,2024-09-07 09:35:00:901,1,500,3,0,622,6926,500,0 146,0,2024-09-07 09:35:01:607,123995,0.6,123521,0.7,247938,0.5,328745,2.00 146,1,2024-09-07 09:35:01:601,876157,876157,0,0,411414825435,4329455496,861739,10618,3800,367,391770,0 146,2,2024-09-07 09:35:01:700,626712,626706,6,0,29340567,0,5151 146,3,2024-09-07 09:35:01:280,1,500,1,0,1520,8761,500,0 147,0,2024-09-07 09:35:01:706,127388,0.7,127117,0.8,253762,0.7,339337,2.25 147,1,2024-09-07 09:35:01:377,879416,879416,0,0,413345524896,4298075647,872135,6402,879,367,391791,0 147,2,2024-09-07 09:35:01:010,631666,631666,0,0,26416100,0,2968 147,3,2024-09-07 09:35:00:914,1,500,2,0,1626,8404,500,0 0,0,2024-09-07 09:35:11:731,120735,0.6,120780,0.7,256359,0.6,331117,2.00 0,1,2024-09-07 09:35:10:803,878334,878334,0,0,412332300014,4320954723,870827,6667,840,369,391896,0 0,2,2024-09-07 09:35:11:083,632021,632021,0,0,26369357,0,4480 0,3,2024-09-07 09:35:10:975,1,501,1,0,431,7113,501,0 1,0,2024-09-07 09:35:11:746,125990,1.0,125367,1.0,251462,1.4,336414,2.25 1,1,2024-09-07 09:35:10:557,877544,877544,0,0,411699264545,4317602903,868846,7232,1466,370,391859,0 1,2,2024-09-07 09:35:10:645,631919,631919,0,0,25749275,0,3380 1,3,2024-09-07 09:35:11:302,1,501,2,0,269,6412,501,0 2,0,2024-09-07 09:35:11:566,128575,0.6,128601,0.8,256881,0.7,342855,2.00 2,1,2024-09-07 09:35:10:865,880623,880623,0,0,413887703592,4303330279,875689,4017,917,380,391745,0 2,2,2024-09-07 09:35:11:268,637103,637103,0,0,24592406,0,3594 2,3,2024-09-07 09:35:10:691,1,501,1,0,357,4645,501,0 3,0,2024-09-07 09:35:11:743,124675,0.4,124512,0.6,248688,0.4,331802,2.00 3,1,2024-09-07 09:35:11:618,879293,879293,0,0,413414710671,4306876494,871828,6763,702,379,391716,0 3,2,2024-09-07 09:35:11:148,635101,635078,23,0,25646689,0,5851 3,3,2024-09-07 09:35:11:751,1,501,1,0,207,3156,501,0 4,0,2024-09-07 09:35:11:822,119715,0.4,122991,0.5,250910,0.3,328077,1.75 4,1,2024-09-07 09:35:10:606,875555,875555,0,0,410510414004,4352625614,859333,12539,3683,370,391992,0 4,2,2024-09-07 09:35:11:020,629790,629790,0,0,31269239,0,4534 4,3,2024-09-07 09:35:11:028,1,501,1,0,448,6557,501,0 5,0,2024-09-07 09:35:11:403,124194,0.4,124778,0.6,249003,0.4,331527,1.75 5,1,2024-09-07 09:35:10:776,876975,876975,0,0,412157363305,4341556985,864267,10295,2413,367,392005,0 5,2,2024-09-07 09:35:11:830,627810,627810,0,0,30117520,0,3582 5,3,2024-09-07 09:35:11:732,1,501,1,0,457,6960,501,0 6,0,2024-09-07 09:35:10:919,129112,0.5,128564,0.7,257518,0.5,343576,2.00 6,1,2024-09-07 09:35:10:748,879150,879150,0,0,412146341585,4308132644,869634,8060,1456,379,391702,0 6,2,2024-09-07 09:35:11:115,635676,635658,18,0,29362554,0,5535 6,3,2024-09-07 09:35:11:275,1,501,1,0,710,6183,501,0 7,0,2024-09-07 09:35:11:531,122513,0.5,123448,0.7,245692,0.5,327350,2.00 7,1,2024-09-07 09:35:10:852,877375,877375,0,0,412168300605,4332846403,864456,10688,2231,382,391747,0 7,2,2024-09-07 09:35:10:775,635199,635199,0,0,28488508,0,4791 7,3,2024-09-07 09:35:10:854,1,501,1,0,552,5852,501,0 8,0,2024-09-07 09:35:11:376,124250,0.3,123911,0.5,248515,0.3,330563,1.75 8,1,2024-09-07 09:35:11:015,876275,876275,0,0,411256739921,4327031334,862101,11047,3127,366,392853,0 8,2,2024-09-07 09:35:10:790,629468,629466,2,0,34059730,0,5112 8,3,2024-09-07 09:35:10:585,1,501,6,0,538,7704,501,0 9,0,2024-09-07 09:35:11:190,124084,0.4,120690,0.5,252632,0.3,331129,1.75 9,1,2024-09-07 09:35:10:571,875952,875952,0,0,412202077625,4344462571,862551,10754,2647,369,392001,0 9,2,2024-09-07 09:35:11:101,628851,628850,1,0,30731823,0,5281 9,3,2024-09-07 09:35:11:752,1,501,11,0,1273,8573,501,0 10,0,2024-09-07 09:35:11:599,128164,0.4,127717,0.5,256337,0.3,341834,1.75 10,1,2024-09-07 09:35:10:584,878819,878819,0,0,411985171152,4320132658,866465,10264,2090,381,391741,0 10,2,2024-09-07 09:35:10:765,634039,634039,0,0,33377696,0,4264 10,3,2024-09-07 09:35:10:874,1,501,1,0,649,5299,501,0 11,0,2024-09-07 09:35:11:009,124728,0.5,121040,0.7,252915,0.5,333208,1.75 11,1,2024-09-07 09:35:10:572,878961,878961,0,0,413521283498,4339747966,865485,10014,3462,383,391756,0 11,2,2024-09-07 09:35:11:123,635158,635158,0,0,29554232,0,4130 11,3,2024-09-07 09:35:11:298,1,501,2,0,843,6873,501,0 12,0,2024-09-07 09:35:10:952,126205,0.4,126254,0.5,252496,0.3,335227,1.75 12,1,2024-09-07 09:35:10:934,878606,878606,0,0,412598801985,4307678917,870954,6774,878,370,391960,0 12,2,2024-09-07 09:35:11:541,633503,633503,0,0,29347988,0,4390 12,3,2024-09-07 09:35:11:084,1,501,6,0,386,6901,501,0 13,0,2024-09-07 09:35:11:364,125583,0.4,125310,0.6,249992,0.4,332838,1.75 13,1,2024-09-07 09:35:11:667,877199,877199,0,0,411729715740,4331502306,867586,7320,2293,382,391740,0 13,2,2024-09-07 09:35:10:596,631581,631581,0,0,26205904,0,3287 13,3,2024-09-07 09:35:11:762,1,501,22,0,522,7158,501,0 14,0,2024-09-07 09:35:10:571,127213,0.4,128350,0.6,254402,0.4,339212,1.75 14,1,2024-09-07 09:35:11:561,882764,882764,0,0,414624277628,4293455176,875859,6177,728,364,391673,0 14,2,2024-09-07 09:35:10:763,632980,632950,30,0,27669171,0,6104 14,3,2024-09-07 09:35:11:115,1,501,2,0,1168,5810,501,0 15,0,2024-09-07 09:35:11:552,126921,0.5,126422,0.7,253819,0.4,337856,2.00 15,1,2024-09-07 09:35:11:608,879866,879866,0,0,413427021176,4309376242,872137,6244,1485,381,391619,0 15,2,2024-09-07 09:35:10:999,638208,638208,0,0,23315407,0,3622 15,3,2024-09-07 09:35:11:406,1,501,6,0,1126,7277,501,0 16,0,2024-09-07 09:35:10:954,125355,0.5,125978,0.7,250939,0.5,334187,2.00 16,1,2024-09-07 09:35:10:563,880066,880066,0,0,412280608382,4314240150,871670,7098,1298,370,392194,0 16,2,2024-09-07 09:35:11:434,634095,634095,0,0,27445713,0,4719 16,3,2024-09-07 09:35:11:143,1,501,1,0,358,6499,501,0 17,0,2024-09-07 09:35:11:783,127638,0.6,124452,0.7,243816,0.6,330987,2.00 17,1,2024-09-07 09:35:10:576,877885,877885,0,0,412093848677,4326565259,868635,7503,1747,368,392075,0 17,2,2024-09-07 09:35:11:681,633812,633811,1,0,28090341,0,5050 17,3,2024-09-07 09:35:10:574,1,501,1,0,518,7657,501,0 18,0,2024-09-07 09:35:10:955,124024,0.7,124875,0.8,248753,0.7,332452,2.25 18,1,2024-09-07 09:35:11:639,881975,881975,0,0,414210587874,4299474592,875872,5108,995,367,391725,0 18,2,2024-09-07 09:35:11:756,633448,633448,0,0,24944751,0,3541 18,3,2024-09-07 09:35:10:898,1,501,1,0,1059,4873,501,0 19,0,2024-09-07 09:35:11:539,128008,0.6,128333,0.8,255063,0.6,340239,2.25 19,1,2024-09-07 09:35:10:575,881143,881143,0,0,413855289851,4294782373,874156,5903,1084,367,391777,0 19,2,2024-09-07 09:35:11:752,638891,638891,0,0,23502471,0,3988 19,3,2024-09-07 09:35:11:146,1,501,1,0,524,4124,501,0 20,0,2024-09-07 09:35:11:350,123670,0.5,123852,0.7,247279,0.5,330279,2.00 20,1,2024-09-07 09:35:10:571,878624,878624,0,0,412687371156,4325458439,869493,7769,1362,369,391922,0 20,2,2024-09-07 09:35:10:931,634079,634079,0,0,28312249,0,3721 20,3,2024-09-07 09:35:10:588,1,501,4,0,468,8824,501,0 21,0,2024-09-07 09:35:11:161,123647,0.4,123754,0.6,247492,0.4,328267,1.75 21,1,2024-09-07 09:35:11:536,876679,876679,0,0,411916480391,4350257123,862542,11020,3117,368,392016,0 21,2,2024-09-07 09:35:11:075,630096,630076,20,0,33277657,0,5617 21,3,2024-09-07 09:35:11:405,1,501,1,0,713,6654,501,0 22,0,2024-09-07 09:35:11:729,124406,0.5,124795,0.6,249159,0.4,330535,2.00 22,1,2024-09-07 09:35:11:027,877574,877574,0,0,411917104600,4340164360,862442,11908,3224,382,391822,0 22,2,2024-09-07 09:35:10:759,628677,628651,26,0,27835407,0,6328 22,3,2024-09-07 09:35:11:072,1,501,1,0,228,4202,501,0 23,0,2024-09-07 09:35:11:375,128204,0.5,127774,0.7,255815,0.5,341503,2.25 23,1,2024-09-07 09:35:11:003,878566,878566,0,0,413184544869,4340538059,862910,11248,4408,365,391690,0 23,2,2024-09-07 09:35:11:098,636311,636311,0,0,26581956,0,3773 23,3,2024-09-07 09:35:11:754,1,501,45,0,720,6742,501,0 24,0,2024-09-07 09:35:10:833,125145,0.4,124274,0.6,249882,0.4,331571,1.75 24,1,2024-09-07 09:35:10:629,877537,877537,0,0,411579124011,4312673541,868592,7273,1672,367,392269,0 24,2,2024-09-07 09:35:11:099,635277,635277,0,0,31592669,0,4438 24,3,2024-09-07 09:35:11:698,1,501,9,0,468,6484,501,0 25,0,2024-09-07 09:35:11:349,128301,0.4,124967,0.6,245195,0.4,333603,1.75 25,1,2024-09-07 09:35:10:566,876561,876561,0,0,412304528703,4352043516,861690,11902,2969,369,391928,0 25,2,2024-09-07 09:35:11:606,632550,632550,0,0,32700466,0,3978 25,3,2024-09-07 09:35:11:007,1,501,11,0,532,5589,501,0 26,0,2024-09-07 09:35:11:724,123654,0.4,120979,0.6,253711,0.4,329817,1.75 26,1,2024-09-07 09:35:11:541,879544,879544,0,0,412086662053,4318765386,866715,10377,2452,380,391748,0 26,2,2024-09-07 09:35:10:865,629206,629206,0,0,34437072,0,4689 26,3,2024-09-07 09:35:11:716,1,501,3,0,796,5685,501,0 27,0,2024-09-07 09:35:11:729,127932,0.5,128134,0.6,254810,0.4,340549,2.25 27,1,2024-09-07 09:35:11:679,880566,880566,0,0,413628109418,4310736944,872017,7281,1268,381,391626,0 27,2,2024-09-07 09:35:10:867,631115,631050,65,0,30145088,0,5699 27,3,2024-09-07 09:35:11:014,1,501,8,0,564,4488,501,0 28,0,2024-09-07 09:35:11:409,126435,0.4,125970,0.6,252890,0.4,336616,2.00 28,1,2024-09-07 09:35:10:797,880690,880690,0,0,413951177351,4319155988,872920,6056,1714,382,391698,0 28,2,2024-09-07 09:35:11:764,636466,636466,0,0,26702699,0,2915 28,3,2024-09-07 09:35:11:779,1,501,4,0,502,5164,501,0 29,0,2024-09-07 09:35:11:358,129218,0.4,125949,0.6,246944,0.3,336646,1.75 29,1,2024-09-07 09:35:11:561,883062,883062,0,0,414307845865,4288644263,877508,4792,762,368,391809,0 29,2,2024-09-07 09:35:10:864,633898,633898,0,0,26004490,0,4986 29,3,2024-09-07 09:35:10:964,1,501,0,0,459,5674,501,0 30,0,2024-09-07 09:35:11:456,124027,0.5,120560,0.7,252433,0.4,330117,2.00 30,1,2024-09-07 09:35:10:571,882120,882120,0,0,414050145691,4300514611,875147,6071,902,380,391672,0 30,2,2024-09-07 09:35:11:273,631307,631307,0,0,24983591,0,4192 30,3,2024-09-07 09:35:10:581,1,501,1,0,519,4951,501,0 31,0,2024-09-07 09:35:11:757,125840,0.5,126040,0.7,251904,0.4,336755,2.00 31,1,2024-09-07 09:35:10:571,884702,884702,0,0,416185250342,4275606709,879380,4311,1011,356,391712,0 31,2,2024-09-07 09:35:11:294,631140,631140,0,0,27617697,0,4470 31,3,2024-09-07 09:35:11:705,1,501,3,0,220,4214,501,0 32,0,2024-09-07 09:35:11:440,129193,0.4,129848,0.5,258532,0.3,344570,1.75 32,1,2024-09-07 09:35:11:023,881186,881186,0,0,415017074823,4316470896,875391,5088,707,381,391646,0 32,2,2024-09-07 09:35:10:946,637675,637675,0,0,23980950,0,3922 32,3,2024-09-07 09:35:11:015,1,501,35,0,304,4172,501,0 33,0,2024-09-07 09:35:11:497,125176,0.3,124832,0.4,249824,0.2,332890,1.50 33,1,2024-09-07 09:35:10:575,882279,882279,0,0,414134267130,4293529140,875055,6052,1172,368,391730,0 33,2,2024-09-07 09:35:10:759,635649,635614,35,0,26684070,0,7012 33,3,2024-09-07 09:35:10:900,1,501,4,0,329,4833,501,0 34,0,2024-09-07 09:35:10:930,123722,0.3,127171,0.4,243029,0.2,327382,1.75 34,1,2024-09-07 09:35:11:046,883833,883833,0,0,415255099974,4272918826,881325,2486,22,367,391562,0 34,2,2024-09-07 09:35:10:767,634090,634090,0,0,25241615,0,4562 34,3,2024-09-07 09:35:11:692,1,501,0,0,320,3973,501,0 35,0,2024-09-07 09:35:10:863,123562,0.3,124300,0.5,249697,0.3,332330,1.75 35,1,2024-09-07 09:35:11:073,881169,881169,0,0,414014332833,4298201534,874517,5439,1213,382,391769,0 35,2,2024-09-07 09:35:11:589,630100,630100,0,0,27524735,0,4055 35,3,2024-09-07 09:35:10:913,1,501,0,0,418,4838,501,0 36,0,2024-09-07 09:35:11:523,129324,0.5,129240,0.7,258469,0.5,344195,2.25 36,1,2024-09-07 09:35:10:589,879886,879886,0,0,412335506787,4311521199,867611,10056,2219,366,391759,0 36,2,2024-09-07 09:35:11:751,636419,636419,0,0,28959567,0,3875 36,3,2024-09-07 09:35:10:864,1,501,2,0,416,7126,501,0 37,0,2024-09-07 09:35:11:387,122694,0.5,122671,0.7,245697,0.5,327734,2.25 37,1,2024-09-07 09:35:10:570,878789,878782,0,7,412780580546,4314172200,867712,8397,2673,365,391770,0 37,2,2024-09-07 09:35:11:146,633311,633296,15,0,29348080,0,5815 37,3,2024-09-07 09:35:11:766,1,501,1,0,888,7203,501,0 38,0,2024-09-07 09:35:11:436,123322,0.4,119668,0.6,250387,0.4,327764,2.00 38,1,2024-09-07 09:35:11:605,880451,880451,0,0,413583537735,4327983708,867229,10703,2519,368,391821,0 38,2,2024-09-07 09:35:10:760,632520,632473,47,0,29554156,0,6710 38,3,2024-09-07 09:35:10:999,1,501,1,0,689,6535,501,0 39,0,2024-09-07 09:35:11:758,127029,0.5,124269,0.7,242201,0.5,330647,2.00 39,1,2024-09-07 09:35:10:717,878572,878572,0,0,411920599580,4326527901,862809,12294,3469,365,391658,0 39,2,2024-09-07 09:35:11:415,630418,630418,0,0,27110507,0,3391 39,3,2024-09-07 09:35:10:715,1,501,1,0,525,5947,501,0 40,0,2024-09-07 09:35:11:496,126792,0.9,127582,1.0,254618,1.0,340107,2.75 40,1,2024-09-07 09:35:10:586,880706,880706,0,0,412055940213,4313683994,868694,9834,2178,368,391668,0 40,2,2024-09-07 09:35:11:302,633009,633008,1,0,32634178,0,5137 40,3,2024-09-07 09:35:11:144,1,501,0,0,1028,7276,501,0 41,0,2024-09-07 09:35:11:021,124091,1.4,126699,1.2,241984,2.0,329153,3.25 41,1,2024-09-07 09:35:10:768,878225,878225,0,0,412397500127,4319089796,866359,9933,1933,369,391742,0 41,2,2024-09-07 09:35:10:758,633398,633397,1,0,31387054,0,5408 41,3,2024-09-07 09:35:11:684,1,501,1,0,366,5315,501,0 42,0,2024-09-07 09:35:11:473,124799,0.8,124483,1.0,249270,0.9,329988,2.50 42,1,2024-09-07 09:35:11:439,876180,876180,0,0,411797856765,4334550521,861172,12100,2908,380,391675,0 42,2,2024-09-07 09:35:11:146,633366,633366,0,0,30181679,0,3975 42,3,2024-09-07 09:35:11:010,1,501,0,0,892,4664,501,0 43,0,2024-09-07 09:35:10:918,123581,0.7,120375,0.9,251902,0.7,329948,2.25 43,1,2024-09-07 09:35:10:586,879297,879297,0,0,413479846512,4324238566,866432,10606,2259,366,391696,0 43,2,2024-09-07 09:35:11:735,630534,630534,0,0,29986999,0,4723 43,3,2024-09-07 09:35:11:749,1,501,13,0,571,7208,501,0 44,0,2024-09-07 09:35:10:876,127347,0.4,127478,0.6,255328,0.4,339741,2.00 44,1,2024-09-07 09:35:10:566,881982,881982,0,0,414083800814,4282656200,874190,6205,1587,356,391809,0 44,2,2024-09-07 09:35:11:269,631256,631256,0,0,24917095,0,4344 44,3,2024-09-07 09:35:11:097,1,501,9,0,817,5518,501,0 45,0,2024-09-07 09:35:11:763,125708,0.5,122784,0.8,257537,0.5,338091,2.00 45,1,2024-09-07 09:35:11:010,880695,880695,0,0,414545962323,4311914788,873187,6632,876,382,391917,0 45,2,2024-09-07 09:35:11:268,637631,637631,0,0,25258547,0,3596 45,3,2024-09-07 09:35:10:942,1,501,12,0,271,4474,501,0 46,0,2024-09-07 09:35:10:948,124862,0.5,124335,0.7,249474,0.4,331424,2.00 46,1,2024-09-07 09:35:10:575,882693,882693,0,0,414260800415,4284435561,876296,5534,863,366,391709,0 46,2,2024-09-07 09:35:10:598,634985,634985,0,0,25510797,0,4443 46,3,2024-09-07 09:35:11:146,1,501,0,0,908,5937,501,0 47,0,2024-09-07 09:35:11:144,124000,0.4,124245,0.6,248966,0.3,329950,1.75 47,1,2024-09-07 09:35:10:570,883054,883054,0,0,415012211567,4289446620,877830,4385,839,366,391641,0 47,2,2024-09-07 09:35:10:909,634391,634391,0,0,25280252,0,4477 47,3,2024-09-07 09:35:11:116,1,501,1,0,600,5824,501,0 48,0,2024-09-07 09:35:11:524,125865,0.3,125871,0.4,250708,0.2,334419,1.50 48,1,2024-09-07 09:35:11:022,881115,881115,0,0,413761212407,4301284666,875365,5178,572,384,391710,0 48,2,2024-09-07 09:35:10:700,631742,631742,0,0,23165862,0,3411 48,3,2024-09-07 09:35:10:752,1,501,1,0,339,4003,501,0 49,0,2024-09-07 09:35:11:714,131761,0.4,129404,0.5,251404,0.3,344111,1.75 49,1,2024-09-07 09:35:11:021,880712,880712,0,0,413875989548,4304967816,875149,4352,1211,382,391809,0 49,2,2024-09-07 09:35:11:801,637547,637547,0,0,25736493,0,4426 49,3,2024-09-07 09:35:11:429,1,501,1,0,992,5797,501,0 50,0,2024-09-07 09:35:11:506,124523,0.3,122792,0.5,247456,0.2,330126,1.75 50,1,2024-09-07 09:35:11:010,883571,883571,0,0,415392016403,4297599934,877627,5277,667,368,391565,0 50,2,2024-09-07 09:35:11:070,634635,634635,0,0,23693592,0,4490 50,3,2024-09-07 09:35:11:294,1,501,0,0,617,5405,501,0 51,0,2024-09-07 09:35:11:685,126846,0.3,124221,0.4,241918,0.2,329718,1.75 51,1,2024-09-07 09:35:11:697,884202,884202,0,0,415309870042,4284835433,879440,3708,1054,365,391706,0 51,2,2024-09-07 09:35:11:316,634029,634029,0,0,22936902,0,3337 51,3,2024-09-07 09:35:11:027,1,501,1,0,678,3746,501,0 52,0,2024-09-07 09:35:11:440,124896,0.5,124514,0.7,249347,0.5,331410,2.00 52,1,2024-09-07 09:35:10:583,879903,879903,0,0,413180549575,4329446981,866680,11118,2105,368,391722,0 52,2,2024-09-07 09:35:11:755,626978,626940,38,0,30265495,0,6742 52,3,2024-09-07 09:35:10:674,1,501,20,0,1782,6616,501,0 53,0,2024-09-07 09:35:11:737,127835,0.7,124099,0.8,259640,0.8,341067,2.50 53,1,2024-09-07 09:35:10:771,877938,877938,0,0,412943211475,4338365479,862588,11506,3844,367,391968,0 53,2,2024-09-07 09:35:11:298,635560,635559,1,0,27201475,0,5455 53,3,2024-09-07 09:35:10:703,1,501,0,0,308,5118,501,0 54,0,2024-09-07 09:35:11:614,122082,0.6,122492,0.8,243890,0.5,325385,2.25 54,1,2024-09-07 09:35:10:580,880147,880147,0,0,413513582433,4305558473,870083,8430,1634,366,391810,0 54,2,2024-09-07 09:35:10:865,635595,635563,32,0,31078680,0,6397 54,3,2024-09-07 09:35:10:763,1,501,0,0,676,6908,501,0 55,0,2024-09-07 09:35:11:759,120519,0.6,124338,0.7,251833,0.5,327889,2.50 55,1,2024-09-07 09:35:10:765,881373,881373,0,0,413610760905,4304076324,871730,8324,1319,365,391731,0 55,2,2024-09-07 09:35:10:729,633342,633286,56,0,29467684,0,7239 55,3,2024-09-07 09:35:10:674,1,501,1,0,304,4812,501,0 56,0,2024-09-07 09:35:11:583,126730,1.1,119399,1.1,246140,1.5,329216,2.75 56,1,2024-09-07 09:35:10:580,876032,876032,0,0,411677575753,4351716288,861722,11398,2912,381,391867,0 56,2,2024-09-07 09:35:11:306,629418,629296,122,0,30606954,0,7432 56,3,2024-09-07 09:35:11:064,1,501,8,0,705,6177,501,0 57,0,2024-09-07 09:35:10:968,125745,1.7,125746,1.3,251800,2.3,336926,3.50 57,1,2024-09-07 09:35:10:986,877622,877622,0,0,411648575782,4323584802,865456,9883,2283,366,392032,0 57,2,2024-09-07 09:35:11:321,633277,633277,0,0,31519444,0,4317 57,3,2024-09-07 09:35:11:741,1,501,1,0,455,5748,501,0 58,0,2024-09-07 09:35:10:599,124167,1.0,120515,1.0,252219,1.3,330022,2.75 58,1,2024-09-07 09:35:10:577,879068,879065,0,3,413505526024,4337630843,865281,10465,3319,367,391603,3 58,2,2024-09-07 09:35:11:070,635728,635728,0,0,29915096,0,3483 58,3,2024-09-07 09:35:11:070,1,501,1,0,1043,5617,501,0 59,0,2024-09-07 09:35:11:738,125183,0.8,125021,1.0,249338,0.9,330807,2.75 59,1,2024-09-07 09:35:10:812,877778,877778,0,0,412700524308,4338510072,863040,11599,3139,369,391653,0 59,2,2024-09-07 09:35:10:583,633837,633837,0,0,28669238,0,3727 59,3,2024-09-07 09:35:11:737,1,501,57,0,1015,6416,501,0 60,0,2024-09-07 09:35:11:719,124192,0.5,124494,0.7,249064,0.5,330983,1.75 60,1,2024-09-07 09:35:10:773,882119,882119,0,0,413992159350,4299442661,875946,5241,932,370,392031,0 60,2,2024-09-07 09:35:11:155,630923,630923,0,0,27244554,0,3811 60,3,2024-09-07 09:35:11:260,1,501,1,0,409,6058,501,0 61,0,2024-09-07 09:35:11:504,125857,0.8,126436,0.9,251776,0.9,336409,2.00 61,1,2024-09-07 09:35:10:774,879035,879035,0,0,412660859707,4325273038,869167,8288,1580,382,392127,0 61,2,2024-09-07 09:35:11:122,632025,631958,67,0,28167353,0,6411 61,3,2024-09-07 09:35:11:692,1,501,0,0,607,7422,501,0 62,0,2024-09-07 09:35:11:708,129427,0.7,132720,0.8,253414,0.7,344384,2.00 62,1,2024-09-07 09:35:11:118,884124,884118,0,6,415372578682,4283250224,879968,3870,280,365,391975,6 62,2,2024-09-07 09:35:11:651,634668,634667,1,0,27526662,0,5555 62,3,2024-09-07 09:35:11:147,1,501,1,0,482,4135,501,0 63,0,2024-09-07 09:35:11:458,125126,0.4,124836,0.6,250689,0.4,333231,1.75 63,1,2024-09-07 09:35:10:804,881668,881662,0,6,414185845793,4302455095,875915,4996,751,381,391800,6 63,2,2024-09-07 09:35:10:782,634352,634352,0,0,26561783,0,4369 63,3,2024-09-07 09:35:11:732,1,501,5,0,667,5527,501,0 64,0,2024-09-07 09:35:11:522,123052,0.5,123109,0.6,245742,0.4,326641,2.00 64,1,2024-09-07 09:35:10:755,881174,881174,0,0,413939402805,4313722811,873290,6114,1770,370,391783,0 64,2,2024-09-07 09:35:11:148,636766,636747,19,0,25475558,0,6121 64,3,2024-09-07 09:35:11:143,1,501,1,0,651,5391,501,0 65,0,2024-09-07 09:35:11:718,123482,0.6,123812,0.8,246879,0.7,329626,2.00 65,1,2024-09-07 09:35:10:866,879119,879119,0,0,412665302130,4316376100,872378,5946,795,381,391901,0 65,2,2024-09-07 09:35:11:694,630559,630559,0,0,29708613,0,3367 65,3,2024-09-07 09:35:11:684,1,501,1,0,782,6079,501,0 66,0,2024-09-07 09:35:11:763,128539,0.6,128129,0.8,256382,0.5,341528,2.25 66,1,2024-09-07 09:35:11:294,881216,881216,0,0,413934057869,4311440918,875069,5425,722,380,391743,0 66,2,2024-09-07 09:35:11:146,638009,638006,3,0,27134814,0,5455 66,3,2024-09-07 09:35:11:082,1,501,5,0,291,4482,501,0 67,0,2024-09-07 09:35:11:413,123157,0.5,122794,0.7,246525,0.5,327997,2.25 67,1,2024-09-07 09:35:10:766,881019,881018,0,1,413831574580,4310903299,874762,5443,813,380,391787,1 67,2,2024-09-07 09:35:10:589,637468,637453,15,0,26352442,0,6205 67,3,2024-09-07 09:35:11:751,1,501,2,0,392,4861,501,0 68,0,2024-09-07 09:35:10:587,124185,0.5,124138,0.7,247175,0.5,330204,2.00 68,1,2024-09-07 09:35:10:572,877484,877484,0,0,412185602369,4338183054,865266,8819,3399,381,391953,0 68,2,2024-09-07 09:35:11:044,630057,629957,100,0,33891411,0,8578 68,3,2024-09-07 09:35:10:730,1,501,41,0,417,6535,501,0 69,0,2024-09-07 09:35:11:739,123441,0.7,124243,0.8,247528,0.7,328821,2.25 69,1,2024-09-07 09:35:11:034,876571,876571,0,0,410835420149,4333720719,864488,9324,2759,384,391994,0 69,2,2024-09-07 09:35:11:739,628616,628587,29,0,34566294,0,6912 69,3,2024-09-07 09:35:10:763,1,501,10,0,698,7535,501,0 70,0,2024-09-07 09:35:11:569,126754,1.0,127191,1.1,255623,0.9,338897,2.50 70,1,2024-09-07 09:35:10:811,881753,881753,0,0,414773905264,4306823878,874502,6489,762,366,391725,0 70,2,2024-09-07 09:35:11:325,634542,634542,0,0,29314067,0,4323 70,3,2024-09-07 09:35:10:745,1,501,1,0,854,5666,501,0 71,0,2024-09-07 09:35:11:364,123451,1.2,123175,1.1,247592,1.8,330260,3.00 71,1,2024-09-07 09:35:11:598,879338,879338,0,0,413461853802,4320330019,867949,10134,1255,368,391738,0 71,2,2024-09-07 09:35:11:087,635035,635035,0,0,30087991,0,4352 71,3,2024-09-07 09:35:11:751,1,501,0,0,644,6070,501,0 72,0,2024-09-07 09:35:11:033,129512,0.5,126607,0.7,247010,0.5,335462,2.00 72,1,2024-09-07 09:35:11:032,877829,877829,0,0,412378629903,4331517814,864454,11094,2281,369,391819,0 72,2,2024-09-07 09:35:11:756,632813,632813,0,0,31396240,0,3983 72,3,2024-09-07 09:35:11:754,1,501,10,0,564,7363,501,0 73,0,2024-09-07 09:35:11:117,121522,0.4,124779,0.6,254685,0.4,330927,2.00 73,1,2024-09-07 09:35:10:766,880830,880830,0,0,413699845309,4298580112,874604,5801,425,367,391858,0 73,2,2024-09-07 09:35:11:739,629503,629503,0,0,31772070,0,4728 73,3,2024-09-07 09:35:10:969,1,501,4,0,486,6385,501,0 74,0,2024-09-07 09:35:11:325,128260,0.5,131217,0.7,250403,0.5,340117,2.25 74,1,2024-09-07 09:35:10:646,879245,879245,0,0,412479513723,4311655892,868800,8522,1923,381,391762,0 74,2,2024-09-07 09:35:11:002,632101,632101,0,0,27738892,0,4253 74,3,2024-09-07 09:35:11:443,1,501,1,0,522,6137,501,0 75,0,2024-09-07 09:35:11:803,127553,0.6,126600,0.8,254436,0.6,339525,2.25 75,1,2024-09-07 09:35:11:587,880301,880301,0,0,413340365170,4312633321,872421,7020,860,380,391739,0 75,2,2024-09-07 09:35:11:349,634907,634907,0,0,33181448,0,4766 75,3,2024-09-07 09:35:11:069,1,501,1,0,702,6097,501,0 76,0,2024-09-07 09:35:10:637,124830,0.5,124124,0.7,248834,0.5,332921,2.25 76,1,2024-09-07 09:35:10:817,879142,879142,0,0,412909097284,4310112019,872524,5652,966,382,391692,0 76,2,2024-09-07 09:35:11:069,636714,636711,3,0,28185066,0,5265 76,3,2024-09-07 09:35:11:151,1,501,1,0,227,4797,501,0 77,0,2024-09-07 09:35:11:699,123419,0.5,123870,0.7,247842,0.5,328961,2.00 77,1,2024-09-07 09:35:10:847,880335,880335,0,0,413724983925,4318223945,873509,6234,592,381,391869,0 77,2,2024-09-07 09:35:11:296,631418,631418,0,0,27674869,0,3890 77,3,2024-09-07 09:35:11:098,1,501,48,0,401,5455,501,0 78,0,2024-09-07 09:35:11:717,125585,0.4,125095,0.6,251013,0.4,332977,2.00 78,1,2024-09-07 09:35:10:610,879709,879709,0,0,412507049396,4306051782,868946,8238,2525,367,391670,0 78,2,2024-09-07 09:35:11:405,632295,632282,13,0,25753357,0,8313 78,3,2024-09-07 09:35:11:146,1,501,0,0,311,4482,501,0 79,0,2024-09-07 09:35:11:346,124038,0.4,127140,0.6,260156,0.4,339064,2.25 79,1,2024-09-07 09:35:10:571,882585,882585,0,0,414084667249,4294200230,874854,6374,1357,367,391682,0 79,2,2024-09-07 09:35:11:071,636788,636788,0,0,24778283,0,4195 79,3,2024-09-07 09:35:10:749,1,501,1,0,418,6224,501,0 80,0,2024-09-07 09:35:11:086,123625,0.5,126925,0.7,243242,0.5,329372,2.00 80,1,2024-09-07 09:35:11:631,879825,879825,0,0,413965388564,4311245195,874011,5390,424,368,392269,0 80,2,2024-09-07 09:35:11:091,636615,636615,0,0,25218759,0,4433 80,3,2024-09-07 09:35:10:575,1,501,5,0,296,6051,501,0 81,0,2024-09-07 09:35:11:542,123761,0.5,126695,0.7,241697,0.5,328367,2.00 81,1,2024-09-07 09:35:11:650,878893,878893,0,0,412827437205,4318294068,871499,6756,638,382,391885,0 81,2,2024-09-07 09:35:11:125,632629,632566,63,0,28270419,0,5932 81,3,2024-09-07 09:35:11:124,1,501,1,0,719,5827,501,0 82,0,2024-09-07 09:35:11:539,124180,0.5,124245,0.7,249123,0.4,330662,2.00 82,1,2024-09-07 09:35:10:598,881143,881139,0,4,413482792433,4301460218,876061,4258,820,381,391768,4 82,2,2024-09-07 09:35:11:690,631879,631879,0,0,23434037,0,4484 82,3,2024-09-07 09:35:11:752,1,501,1,0,363,4910,501,0 83,0,2024-09-07 09:35:11:525,128572,0.7,128413,0.8,256410,0.7,341316,2.25 83,1,2024-09-07 09:35:10:564,880053,880053,0,0,413495178353,4313051523,873701,5900,452,382,391709,0 83,2,2024-09-07 09:35:10:766,635403,635378,25,0,25471776,0,5612 83,3,2024-09-07 09:35:10:751,1,501,6,0,1260,6423,501,0 84,0,2024-09-07 09:35:11:768,123009,0.8,123163,0.9,245883,0.7,328728,2.25 84,1,2024-09-07 09:35:11:086,878598,878598,0,0,412735401611,4318671643,868412,8665,1521,367,391967,0 84,2,2024-09-07 09:35:10:574,634412,634382,30,0,33576506,0,5971 84,3,2024-09-07 09:35:11:148,1,501,1,0,908,7255,501,0 85,0,2024-09-07 09:35:11:016,120096,0.6,120019,0.8,254795,0.5,329460,2.25 85,1,2024-09-07 09:35:10:571,875813,875813,0,0,411659557153,4351908316,861565,11594,2654,381,392092,0 85,2,2024-09-07 09:35:10:865,632735,632735,0,0,31323122,0,4255 85,3,2024-09-07 09:35:10:690,1,501,19,0,789,5822,501,0 86,0,2024-09-07 09:35:10:896,123904,0.6,127433,0.8,243764,0.7,329559,2.25 86,1,2024-09-07 09:35:10:838,878603,878603,0,0,412618369346,4327309495,867323,9307,1973,366,391995,0 86,2,2024-09-07 09:35:10:857,627764,627763,1,0,33639054,0,5004 86,3,2024-09-07 09:35:10:599,1,501,1,0,308,7411,501,0 87,0,2024-09-07 09:35:11:323,127615,1.0,127315,0.9,254688,1.3,340803,2.25 87,1,2024-09-07 09:35:10:573,877481,877481,0,0,411949722918,4321314973,865301,10469,1711,366,392076,0 87,2,2024-09-07 09:35:11:070,632710,632704,6,0,29502958,0,6323 87,3,2024-09-07 09:35:11:794,1,501,1,0,473,7566,501,0 88,0,2024-09-07 09:35:11:444,125914,0.5,126531,0.6,252837,0.5,336218,2.00 88,1,2024-09-07 09:35:10:569,876905,876905,0,0,412519430330,4325100763,864291,10000,2614,365,392084,0 88,2,2024-09-07 09:35:10:691,636264,636264,0,0,32061418,0,4465 88,3,2024-09-07 09:35:11:271,1,501,5,0,435,6428,501,0 89,0,2024-09-07 09:35:11:795,129390,0.4,125404,0.6,247864,0.4,336676,1.75 89,1,2024-09-07 09:35:10:556,877160,877160,0,0,412752752550,4345346091,865765,9580,1815,382,391866,0 89,2,2024-09-07 09:35:11:146,633479,633479,0,0,30459253,0,3173 89,3,2024-09-07 09:35:11:793,1,501,2,0,468,8383,501,0 90,0,2024-09-07 09:35:11:625,120654,0.4,124082,0.6,252762,0.4,329925,1.75 90,1,2024-09-07 09:35:10:599,878889,878889,0,0,412432335728,4320326461,870393,7764,732,380,391825,0 90,2,2024-09-07 09:35:11:405,628565,628565,0,0,32597300,0,3608 90,3,2024-09-07 09:35:10:933,1,501,0,0,322,6188,501,0 91,0,2024-09-07 09:35:10:927,126463,0.5,122581,0.6,256427,0.5,336963,1.75 91,1,2024-09-07 09:35:10:571,877352,877352,0,0,412213714367,4338547387,865790,9553,2009,381,392047,0 91,2,2024-09-07 09:35:11:336,632576,632576,0,0,28644832,0,4713 91,3,2024-09-07 09:35:10:598,1,501,1,0,216,4856,501,0 92,0,2024-09-07 09:35:11:444,130479,0.5,133350,0.6,254210,0.5,344539,1.75 92,1,2024-09-07 09:35:10:586,879151,879151,0,0,412808440221,4316270824,871654,6293,1204,381,392136,0 92,2,2024-09-07 09:35:11:367,636935,636935,0,0,25998463,0,3259 92,3,2024-09-07 09:35:11:011,1,501,1,0,167,4305,501,0 93,0,2024-09-07 09:35:10:971,125511,0.4,128672,0.6,245658,0.4,333227,1.75 93,1,2024-09-07 09:35:10:812,879566,879566,0,0,412543252916,4311611551,869293,8540,1733,366,391776,0 93,2,2024-09-07 09:35:10:933,634240,634240,0,0,31628852,0,4913 93,3,2024-09-07 09:35:11:406,1,501,0,0,190,4634,501,0 94,0,2024-09-07 09:35:11:600,123149,0.3,123799,0.5,247509,0.3,328359,1.75 94,1,2024-09-07 09:35:10:570,879879,879879,0,0,413738245992,4317823977,873787,5719,373,381,391850,0 94,2,2024-09-07 09:35:10:761,632709,632681,28,0,27043906,0,6179 94,3,2024-09-07 09:35:11:691,1,501,18,0,576,6495,501,0 95,0,2024-09-07 09:35:11:349,124561,0.3,124303,0.5,248970,0.3,332333,1.75 95,1,2024-09-07 09:35:10:852,881094,881094,0,0,413273069252,4298616431,873748,6690,656,365,391786,0 95,2,2024-09-07 09:35:11:016,630316,630316,0,0,25737591,0,3308 95,3,2024-09-07 09:35:11:720,1,501,12,0,718,7992,501,0 96,0,2024-09-07 09:35:11:088,129240,0.4,129426,0.5,258746,0.3,343600,1.75 96,1,2024-09-07 09:35:11:583,879993,879993,0,0,413324576135,4313356182,873615,5466,912,384,391955,0 96,2,2024-09-07 09:35:11:269,636643,636643,0,0,26746188,0,4180 96,3,2024-09-07 09:35:11:155,1,501,9,0,411,5381,501,0 97,0,2024-09-07 09:35:11:315,123296,0.3,122947,0.5,247096,0.3,328285,1.75 97,1,2024-09-07 09:35:10:778,881218,881218,0,0,414624022442,4302699666,875480,4795,943,367,392140,0 97,2,2024-09-07 09:35:10:611,636169,636169,0,0,26205574,0,3679 97,3,2024-09-07 09:35:10:572,1,501,13,0,242,5958,501,0 98,0,2024-09-07 09:35:11:702,123669,0.3,123858,0.4,248441,0.2,329794,1.50 98,1,2024-09-07 09:35:10:571,880753,880753,0,0,412781725650,4301165511,875358,4597,798,381,391997,0 98,2,2024-09-07 09:35:10:768,633990,633990,0,0,25869625,0,4336 98,3,2024-09-07 09:35:10:700,1,501,1,0,840,7919,501,0 99,0,2024-09-07 09:35:11:462,124023,0.3,124652,0.5,248044,0.3,331226,1.75 99,1,2024-09-07 09:35:11:723,880896,880896,0,0,412620810738,4297466122,874945,5028,923,380,392069,0 99,2,2024-09-07 09:35:11:424,630883,630883,0,0,30862906,0,4276 99,3,2024-09-07 09:35:10:592,1,501,1,0,606,5184,501,0 100,0,2024-09-07 09:35:11:474,127845,0.9,128205,1.0,255690,1.2,342215,2.50 100,1,2024-09-07 09:35:10:556,875685,875685,0,0,411194320231,4351762659,861432,11155,3098,378,391989,0 100,2,2024-09-07 09:35:11:820,631934,631923,11,0,30318099,0,5417 100,3,2024-09-07 09:35:11:737,1,501,5,0,627,8930,501,0 101,0,2024-09-07 09:35:11:707,127349,1.4,124124,1.1,243129,1.5,332466,2.50 101,1,2024-09-07 09:35:10:556,876844,876844,0,0,411936610824,4331755917,864584,9861,2399,368,391847,0 101,2,2024-09-07 09:35:11:756,631677,631677,0,0,35342209,0,4871 101,3,2024-09-07 09:35:10:946,1,501,1,0,1250,7765,501,0 102,0,2024-09-07 09:35:10:942,122076,0.6,125795,0.7,255304,0.6,333720,2.00 102,1,2024-09-07 09:35:11:146,877037,877037,0,0,412641039305,4337811621,864850,10168,2019,369,391891,0 102,2,2024-09-07 09:35:11:737,633833,633779,54,0,29957835,0,6768 102,3,2024-09-07 09:35:11:613,1,501,3,0,466,6019,501,0 103,0,2024-09-07 09:35:11:588,128767,0.5,128760,0.7,242685,0.5,333413,2.00 103,1,2024-09-07 09:35:11:626,875873,875873,0,0,411887836912,4352110721,861841,10834,3198,381,391862,0 103,2,2024-09-07 09:35:10:589,628628,628628,0,0,31416520,0,3766 103,3,2024-09-07 09:35:10:755,1,501,1,0,916,6099,501,0 104,0,2024-09-07 09:35:11:033,126306,0.8,126525,1.0,251984,0.8,337955,2.50 104,1,2024-09-07 09:35:11:601,878434,878434,0,0,411997595685,4331828842,865399,10485,2550,365,392168,0 104,2,2024-09-07 09:35:11:672,630583,630583,0,0,30446385,0,3941 104,3,2024-09-07 09:35:11:434,1,501,6,0,1245,9069,501,0 105,0,2024-09-07 09:35:11:031,125866,1.0,122660,1.1,257255,1.3,337864,2.75 105,1,2024-09-07 09:35:10:578,879450,879450,0,0,412913307548,4329818676,867871,9813,1766,365,392009,0 105,2,2024-09-07 09:35:11:323,635043,635043,0,0,30745775,0,4360 105,3,2024-09-07 09:35:11:304,1,501,1,0,399,7291,501,0 106,0,2024-09-07 09:35:10:943,121024,0.8,123946,0.9,254084,0.8,331770,2.50 106,1,2024-09-07 09:35:11:765,878758,878758,0,0,412037345735,4327150531,866156,10956,1646,368,391914,0 106,2,2024-09-07 09:35:10:756,633226,633226,0,0,29069454,0,2920 106,3,2024-09-07 09:35:10:681,1,501,0,0,1224,7702,501,0 107,0,2024-09-07 09:35:11:104,123568,0.8,123760,0.9,247551,0.8,330028,2.00 107,1,2024-09-07 09:35:10:589,876759,876759,0,0,412252102722,4350005602,863864,11502,1393,381,392234,0 107,2,2024-09-07 09:35:11:295,629546,629545,1,0,31674939,0,5024 107,3,2024-09-07 09:35:11:759,1,501,1,0,733,7742,501,0 108,0,2024-09-07 09:35:11:794,125126,0.4,125939,0.6,250349,0.4,334505,1.75 108,1,2024-09-07 09:35:11:294,879154,879154,0,0,413912462848,4319970134,871272,6914,968,367,391857,0 108,2,2024-09-07 09:35:11:758,630522,630522,0,0,28435588,0,4246 108,3,2024-09-07 09:35:11:335,1,501,1,0,749,10182,501,0 109,0,2024-09-07 09:35:11:745,129099,0.4,128020,0.6,256583,0.4,343099,1.75 109,1,2024-09-07 09:35:10:596,877197,877197,0,0,412466978528,4330230353,869012,6973,1212,382,392132,0 109,2,2024-09-07 09:35:10:921,633745,633745,0,0,28387981,0,3617 109,3,2024-09-07 09:35:11:149,1,501,0,0,630,6376,501,0 110,0,2024-09-07 09:35:11:757,123771,0.4,120389,0.6,252230,0.3,330826,1.75 110,1,2024-09-07 09:35:11:658,880597,880597,0,0,413664422759,4299913888,873076,5601,1920,368,392045,0 110,2,2024-09-07 09:35:11:304,635375,635375,0,0,26878745,0,4067 110,3,2024-09-07 09:35:10:690,1,501,1,0,722,7130,501,0 111,0,2024-09-07 09:35:11:421,124079,0.3,123449,0.5,247187,0.3,329661,1.75 111,1,2024-09-07 09:35:10:999,882118,882118,0,0,415150640151,4306359092,877578,4184,356,380,391690,0 111,2,2024-09-07 09:35:11:115,632700,632700,0,0,27494074,0,4823 111,3,2024-09-07 09:35:10:918,1,501,0,0,379,5850,501,0 112,0,2024-09-07 09:35:10:923,125188,0.3,124548,0.4,249727,0.2,331642,1.50 112,1,2024-09-07 09:35:10:824,880844,880844,0,0,414510674725,4305517747,874950,5204,690,380,391624,0 112,2,2024-09-07 09:35:11:146,629997,629996,1,0,25942016,0,5036 112,3,2024-09-07 09:35:10:592,1,501,1,0,282,4921,501,0 113,0,2024-09-07 09:35:10:889,128725,0.3,128624,0.5,257627,0.3,343219,1.75 113,1,2024-09-07 09:35:11:693,883690,883690,0,0,415495889389,4289729076,878445,4432,813,365,391661,0 113,2,2024-09-07 09:35:11:303,637429,637429,0,0,23527474,0,3813 113,3,2024-09-07 09:35:10:684,1,501,33,0,340,5433,501,0 114,0,2024-09-07 09:35:10:881,124435,0.3,125325,0.5,248910,0.3,332677,1.75 114,1,2024-09-07 09:35:10:718,881079,881079,0,0,413284636333,4294999691,874179,5284,1616,381,391565,0 114,2,2024-09-07 09:35:10:874,637176,637175,1,0,25565325,0,5069 114,3,2024-09-07 09:35:11:293,1,501,1,0,395,4000,501,0 115,0,2024-09-07 09:35:10:566,125268,0.3,125720,0.4,250757,0.2,332979,1.50 115,1,2024-09-07 09:35:10:571,881620,881620,0,0,414284453846,4307696413,874222,6041,1357,382,391757,0 115,2,2024-09-07 09:35:11:133,636759,636759,0,0,25203352,0,4382 115,3,2024-09-07 09:35:11:002,1,501,1,0,167,2945,501,0 116,0,2024-09-07 09:35:11:759,123660,0.6,123574,0.8,247633,0.6,330725,2.00 116,1,2024-09-07 09:35:10:808,877282,877282,0,0,412410787561,4349428333,866644,8195,2443,380,392089,0 116,2,2024-09-07 09:35:11:752,628305,628305,0,0,31890422,0,4475 116,3,2024-09-07 09:35:10:918,1,501,11,0,415,6275,501,0 117,0,2024-09-07 09:35:10:986,127885,0.8,127258,0.8,255096,0.9,341293,2.00 117,1,2024-09-07 09:35:11:580,877629,877629,0,0,411823637100,4314382690,867660,8744,1225,369,392033,0 117,2,2024-09-07 09:35:11:124,635155,635155,0,0,27739620,0,4303 117,3,2024-09-07 09:35:11:072,1,501,13,0,490,7039,501,0 118,0,2024-09-07 09:35:11:776,122329,0.6,125667,0.7,256229,0.6,334718,2.00 118,1,2024-09-07 09:35:10:589,877614,877614,0,0,412051391735,4335484468,864122,10372,3120,366,392054,0 118,2,2024-09-07 09:35:11:592,635125,635125,0,0,30510958,0,2842 118,3,2024-09-07 09:35:11:764,1,501,1,0,248,5847,501,0 119,0,2024-09-07 09:35:11:336,125517,0.6,125880,0.8,251526,0.6,334824,2.00 119,1,2024-09-07 09:35:10:553,878122,878122,0,0,413396525569,4334500337,866469,9888,1765,367,391857,0 119,2,2024-09-07 09:35:11:304,634361,634361,0,0,28546387,0,4174 119,3,2024-09-07 09:35:11:325,1,501,2,0,1358,9686,501,0 120,0,2024-09-07 09:35:11:570,123714,0.6,123504,0.8,247926,0.6,330595,2.25 120,1,2024-09-07 09:35:10:858,878719,878719,0,0,412470713800,4326103482,869440,8500,779,368,392144,0 120,2,2024-09-07 09:35:10:776,629293,629292,1,0,32964352,0,5281 120,3,2024-09-07 09:35:11:295,1,501,11,0,279,6380,501,0 121,0,2024-09-07 09:35:11:692,126136,1.5,125503,1.1,251714,2.0,336021,2.50 121,1,2024-09-07 09:35:11:655,879252,879252,0,0,412946228564,4319410930,870532,8019,701,367,391840,0 121,2,2024-09-07 09:35:11:147,630578,630578,0,0,30105717,0,4157 121,3,2024-09-07 09:35:10:730,1,501,1,0,330,6128,501,0 122,0,2024-09-07 09:35:11:783,128421,0.9,125044,0.9,261945,1.0,344441,2.25 122,1,2024-09-07 09:35:10:881,877366,877366,0,0,412635141108,4331690272,864937,10546,1883,366,392130,0 122,2,2024-09-07 09:35:11:319,636474,636399,75,0,34579338,0,5989 122,3,2024-09-07 09:35:10:594,1,501,1,0,512,8654,501,0 123,0,2024-09-07 09:35:10:952,124229,0.8,120988,0.9,253325,1.0,331827,2.25 123,1,2024-09-07 09:35:10:571,877946,877946,0,0,412924640301,4347948705,862807,12637,2502,369,392039,0 123,2,2024-09-07 09:35:11:019,632380,632379,1,0,29341996,0,5215 123,3,2024-09-07 09:35:11:134,1,501,1,0,478,5978,501,0 124,0,2024-09-07 09:35:10:933,127022,0.3,127024,0.5,239400,0.3,329415,1.75 124,1,2024-09-07 09:35:11:027,881046,881046,0,0,412819884129,4294765559,874243,5672,1131,365,392178,0 124,2,2024-09-07 09:35:11:015,633730,633677,53,0,26790614,0,6487 124,3,2024-09-07 09:35:10:767,1,501,25,0,490,5349,501,0 125,0,2024-09-07 09:35:11:418,124363,0.4,124124,0.6,249355,0.4,332112,1.75 125,1,2024-09-07 09:35:10:858,879432,879432,0,0,413364043428,4317415387,872468,5982,982,382,391813,0 125,2,2024-09-07 09:35:11:115,632338,632338,0,0,26729187,0,4534 125,3,2024-09-07 09:35:11:126,1,501,1,0,709,6135,501,0 126,0,2024-09-07 09:35:11:458,129221,0.4,132870,0.6,254121,0.4,344135,1.75 126,1,2024-09-07 09:35:10:553,882123,882123,0,0,413865118254,4286691857,877458,4356,309,365,391987,0 126,2,2024-09-07 09:35:10:611,636722,636722,0,0,27594989,0,4539 126,3,2024-09-07 09:35:10:908,1,501,1,0,268,5707,501,0 127,0,2024-09-07 09:35:11:608,123511,0.3,123861,0.5,247140,0.3,328620,1.75 127,1,2024-09-07 09:35:10:570,880693,880693,0,0,412878434137,4300416398,871335,7854,1504,364,392187,0 127,2,2024-09-07 09:35:10:637,634252,634248,4,0,26322541,0,5305 127,3,2024-09-07 09:35:11:269,1,501,2,0,968,5144,501,0 128,0,2024-09-07 09:35:11:549,124493,0.3,124645,0.4,248855,0.2,330668,1.50 128,1,2024-09-07 09:35:11:605,880033,880033,0,0,414288419126,4306168063,873563,5737,733,367,392031,0 128,2,2024-09-07 09:35:11:392,635571,635571,0,0,24580055,0,3171 128,3,2024-09-07 09:35:10:767,1,501,2,0,1082,7970,501,0 129,0,2024-09-07 09:35:11:007,125029,0.3,124532,0.5,249530,0.3,331683,1.50 129,1,2024-09-07 09:35:10:571,877695,877695,0,0,412521397346,4326442459,868681,7070,1944,379,391962,0 129,2,2024-09-07 09:35:10:689,630786,630782,4,0,26728159,0,5335 129,3,2024-09-07 09:35:10:688,1,501,4,0,506,7229,501,0 130,0,2024-09-07 09:35:11:735,128950,0.5,128588,0.6,258055,0.5,343605,1.75 130,1,2024-09-07 09:35:10:584,880723,880723,0,0,412933886250,4292203071,875938,4397,388,381,391825,0 130,2,2024-09-07 09:35:11:140,635337,635337,0,0,26701117,0,4067 130,3,2024-09-07 09:35:11:294,1,501,0,0,960,7231,501,0 131,0,2024-09-07 09:35:11:935,124999,0.4,125424,0.5,251272,0.3,333411,1.75 131,1,2024-09-07 09:35:11:821,880264,880264,0,0,413499129587,4316463727,873394,5679,1191,381,391865,0 131,2,2024-09-07 09:35:10:571,637122,637122,0,0,24852978,0,3979 131,3,2024-09-07 09:35:11:698,1,501,104,0,392,6173,501,0 132,0,2024-09-07 09:35:11:414,125453,0.4,126613,0.6,251924,0.4,334844,2.00 132,1,2024-09-07 09:35:10:593,876765,876765,0,0,411114177626,4328380524,864331,10243,2191,381,392532,0 132,2,2024-09-07 09:35:10:700,633442,633425,17,0,32526785,0,6451 132,3,2024-09-07 09:35:11:698,1,501,0,0,804,8686,501,0 133,0,2024-09-07 09:35:11:521,121514,0.4,124420,0.6,255040,0.4,331522,1.75 133,1,2024-09-07 09:35:10:584,876662,876662,0,0,411722717369,4343163365,864166,10808,1688,383,391914,0 133,2,2024-09-07 09:35:11:093,629823,629773,50,0,32732859,0,6861 133,3,2024-09-07 09:35:11:300,1,501,1,0,479,5683,501,0 134,0,2024-09-07 09:35:10:951,127513,0.6,127366,0.7,255107,0.6,340346,2.25 134,1,2024-09-07 09:35:10:593,877987,877987,0,0,412212260561,4324140407,866630,9211,2146,366,391718,0 134,2,2024-09-07 09:35:11:758,631341,631317,24,0,30830388,0,6207 134,3,2024-09-07 09:35:10:749,1,501,1,0,739,6219,501,0 135,0,2024-09-07 09:35:11:107,123371,1.0,123368,0.9,261785,1.2,337231,2.50 135,1,2024-09-07 09:35:11:596,877690,877690,0,0,412948914578,4345398610,866062,10063,1565,380,391805,0 135,2,2024-09-07 09:35:10:694,636228,636228,0,0,31036207,0,4503 135,3,2024-09-07 09:35:11:003,1,501,1,0,900,5206,501,0 136,0,2024-09-07 09:35:11:629,125825,0.5,126051,0.7,251074,0.5,334884,2.00 136,1,2024-09-07 09:35:11:447,879355,879355,0,0,411929303130,4321670166,868995,9093,1267,381,392135,0 136,2,2024-09-07 09:35:11:176,635403,635388,15,0,30422999,0,6007 136,3,2024-09-07 09:35:11:129,1,501,1,0,637,6175,501,0 137,0,2024-09-07 09:35:10:962,127717,0.5,124437,0.7,243893,0.5,331188,2.00 137,1,2024-09-07 09:35:10:583,877710,877710,0,0,412211308650,4324224037,864290,10750,2670,366,391898,0 137,2,2024-09-07 09:35:11:707,630181,630181,0,0,31788127,0,3185 137,3,2024-09-07 09:35:10:770,1,501,2,0,484,6444,501,0 138,0,2024-09-07 09:35:11:798,124806,0.9,124936,0.9,250483,1.1,333075,2.25 138,1,2024-09-07 09:35:11:691,878131,878131,0,0,412749653585,4332494631,865401,10761,1969,368,391954,0 138,2,2024-09-07 09:35:10:591,630302,630302,0,0,29212768,0,4988 138,3,2024-09-07 09:35:10:610,1,501,1,0,1200,8211,501,0 139,0,2024-09-07 09:35:11:358,127297,1.4,127745,1.1,255733,2.0,341581,2.50 139,1,2024-09-07 09:35:10:589,873796,873796,0,0,410864892042,4361380941,857866,12471,3459,380,392058,0 139,2,2024-09-07 09:35:10:692,631890,631890,0,0,32857647,0,3097 139,3,2024-09-07 09:35:11:679,1,501,3,0,432,5879,501,0 140,0,2024-09-07 09:35:11:588,124530,0.3,123704,0.5,248076,0.2,331044,1.75 140,1,2024-09-07 09:35:11:536,882731,882731,0,0,414441328728,4275197100,878151,4042,538,364,391606,0 140,2,2024-09-07 09:35:10:695,635775,635774,1,0,25138408,0,5036 140,3,2024-09-07 09:35:10:770,1,501,1,0,297,4808,501,0 141,0,2024-09-07 09:35:11:701,124061,0.3,127220,0.4,242926,0.2,329345,1.50 141,1,2024-09-07 09:35:10:860,881680,881680,0,0,414454307568,4307831592,874576,6019,1085,379,391614,0 141,2,2024-09-07 09:35:11:685,633764,633753,11,0,26678667,0,5369 141,3,2024-09-07 09:35:11:043,1,501,1,0,391,5528,501,0 142,0,2024-09-07 09:35:11:310,125290,0.3,124331,0.5,249374,0.3,332365,1.75 142,1,2024-09-07 09:35:10:584,879932,879932,0,0,413702111839,4314300563,874283,5190,459,382,392102,0 142,2,2024-09-07 09:35:11:300,629641,629609,32,0,27159033,0,6028 142,3,2024-09-07 09:35:11:746,1,501,1,0,484,5342,501,0 143,0,2024-09-07 09:35:11:373,128662,0.5,128596,0.6,257950,0.4,343301,1.75 143,1,2024-09-07 09:35:10:560,881667,881667,0,0,414346312939,4302837592,875598,5515,554,367,391722,0 143,2,2024-09-07 09:35:10:768,636241,636241,0,0,26622645,0,3123 143,3,2024-09-07 09:35:11:146,1,501,1,0,462,6428,501,0 144,0,2024-09-07 09:35:11:529,120220,0.7,123694,0.8,251822,0.6,329689,2.25 144,1,2024-09-07 09:35:10:580,877407,877407,0,0,411504704838,4322240875,868030,7414,1963,381,391733,0 144,2,2024-09-07 09:35:11:760,636499,636499,0,0,25276604,0,3673 144,3,2024-09-07 09:35:11:759,1,501,2,0,249,4966,501,0 145,0,2024-09-07 09:35:11:365,120789,0.5,120750,0.8,256331,0.5,329699,2.25 145,1,2024-09-07 09:35:10:575,876755,876755,0,0,411974537920,4340368824,864405,9886,2464,382,391759,0 145,2,2024-09-07 09:35:11:428,631746,631664,82,0,31338975,0,7814 145,3,2024-09-07 09:35:10:898,1,501,14,0,622,6940,501,0 146,0,2024-09-07 09:35:11:591,124056,0.5,123587,0.7,248053,0.5,329081,2.00 146,1,2024-09-07 09:35:11:584,877925,877925,0,0,412335006277,4338817564,863507,10618,3800,367,391770,0 146,2,2024-09-07 09:35:11:703,628005,627999,6,0,29355553,0,5151 146,3,2024-09-07 09:35:11:279,1,501,3,0,1520,8764,501,0 147,0,2024-09-07 09:35:11:707,127811,0.7,127525,0.8,254658,0.7,340697,2.25 147,1,2024-09-07 09:35:11:393,881248,881248,0,0,414108362805,4305915985,873967,6402,879,367,391791,0 147,2,2024-09-07 09:35:11:010,632895,632895,0,0,26436345,0,2968 147,3,2024-09-07 09:35:10:930,1,501,6,0,1626,8410,501,0 0,0,2024-09-07 09:35:21:736,120970,0.6,120982,0.7,256763,0.6,331617,2.00 0,1,2024-09-07 09:35:20:801,880075,880075,0,0,413149191930,4329383172,872568,6667,840,369,391896,0 0,2,2024-09-07 09:35:21:087,632982,632982,0,0,26394951,0,4480 0,3,2024-09-07 09:35:20:983,1,502,3,0,431,7116,502,0 1,0,2024-09-07 09:35:21:802,126391,1.0,125785,1.0,252318,1.4,337580,2.25 1,1,2024-09-07 09:35:20:599,879358,879358,0,0,412711914091,4327990951,870659,7233,1466,370,391859,0 1,2,2024-09-07 09:35:20:683,633253,633253,0,0,25792855,0,3380 1,3,2024-09-07 09:35:21:303,1,502,25,0,269,6437,502,0 2,0,2024-09-07 09:35:21:573,128785,0.6,128808,0.8,257310,0.7,343144,2.00 2,1,2024-09-07 09:35:20:860,882306,882306,0,0,414683135865,4311619588,877372,4017,917,380,391745,0 2,2,2024-09-07 09:35:21:271,638661,638661,0,0,24658621,0,3594 2,3,2024-09-07 09:35:20:692,1,502,1,0,357,4646,502,0 3,0,2024-09-07 09:35:21:775,124976,0.4,124797,0.6,249298,0.4,332563,2.00 3,1,2024-09-07 09:35:21:625,881088,881088,0,0,414144223490,4314371735,873623,6763,702,379,391716,0 3,2,2024-09-07 09:35:21:144,636504,636481,23,0,25685609,0,5851 3,3,2024-09-07 09:35:21:751,1,502,2,0,207,3158,502,0 4,0,2024-09-07 09:35:21:827,119921,0.4,123209,0.5,251326,0.3,328686,1.75 4,1,2024-09-07 09:35:20:591,877326,877326,0,0,411300847001,4360734263,861103,12540,3683,370,391992,0 4,2,2024-09-07 09:35:21:018,630520,630520,0,0,31277787,0,4534 4,3,2024-09-07 09:35:21:029,1,502,1,0,448,6558,502,0 5,0,2024-09-07 09:35:21:391,124632,0.4,125175,0.6,249843,0.4,332755,1.75 5,1,2024-09-07 09:35:20:771,878679,878679,0,0,413013384527,4350326611,865969,10297,2413,367,392005,0 5,2,2024-09-07 09:35:21:864,629348,629348,0,0,30139727,0,3582 5,3,2024-09-07 09:35:21:744,1,502,18,0,457,6978,502,0 6,0,2024-09-07 09:35:20:923,129404,0.5,128870,0.7,258111,0.5,344267,2.00 6,1,2024-09-07 09:35:20:753,880934,880934,0,0,412976235315,4316582960,871418,8060,1456,379,391702,0 6,2,2024-09-07 09:35:21:120,637243,637225,18,0,29375420,0,5535 6,3,2024-09-07 09:35:21:281,1,502,1,0,710,6184,502,0 7,0,2024-09-07 09:35:21:529,122820,0.5,123749,0.7,246320,0.5,328228,2.00 7,1,2024-09-07 09:35:20:858,879109,879109,0,0,412963331879,4340975752,866189,10689,2231,382,391747,0 7,2,2024-09-07 09:35:20:781,636237,636237,0,0,28496350,0,4791 7,3,2024-09-07 09:35:20:857,1,502,1,0,552,5853,502,0 8,0,2024-09-07 09:35:21:353,124388,0.3,124062,0.5,248869,0.3,331027,1.75 8,1,2024-09-07 09:35:21:028,878038,878038,0,0,412106446211,4335707076,863863,11047,3128,366,392853,0 8,2,2024-09-07 09:35:20:808,630561,630559,2,0,34078484,0,5112 8,3,2024-09-07 09:35:20:592,1,502,6,0,538,7710,502,0 9,0,2024-09-07 09:35:21:205,124347,0.4,120998,0.5,253251,0.3,332046,1.75 9,1,2024-09-07 09:35:20:569,877735,877735,0,0,413139053131,4354011782,864333,10755,2647,369,392001,0 9,2,2024-09-07 09:35:21:097,630251,630250,1,0,30751909,0,5281 9,3,2024-09-07 09:35:21:753,1,502,8,0,1273,8581,502,0 10,0,2024-09-07 09:35:21:611,128619,0.4,128177,0.5,257260,0.3,343010,1.75 10,1,2024-09-07 09:35:20:583,880617,880617,0,0,412992898059,4330357492,868263,10264,2090,381,391741,0 10,2,2024-09-07 09:35:20:767,635306,635306,0,0,33399322,0,4264 10,3,2024-09-07 09:35:20:871,1,502,1,0,649,5300,502,0 11,0,2024-09-07 09:35:21:017,124835,0.5,121150,0.7,253162,0.5,333541,1.75 11,1,2024-09-07 09:35:20:572,880738,880738,0,0,414363461775,4348321393,867262,10014,3462,383,391756,0 11,2,2024-09-07 09:35:21:124,636274,636274,0,0,29566558,0,4130 11,3,2024-09-07 09:35:21:320,1,502,1,0,843,6874,502,0 12,0,2024-09-07 09:35:20:965,126314,0.4,126364,0.5,252744,0.3,335559,1.75 12,1,2024-09-07 09:35:20:961,880340,880340,0,0,413363031901,4315518875,872688,6774,878,370,391960,0 12,2,2024-09-07 09:35:21:544,634829,634829,0,0,29378287,0,4390 12,3,2024-09-07 09:35:21:059,1,502,0,0,386,6901,502,0 13,0,2024-09-07 09:35:21:359,125622,0.4,125359,0.6,250066,0.4,332838,1.75 13,1,2024-09-07 09:35:21:524,878886,878886,0,0,412558342379,4340071881,869272,7321,2293,382,391740,0 13,2,2024-09-07 09:35:20:595,632789,632789,0,0,26228516,0,3287 13,3,2024-09-07 09:35:21:775,1,502,2,0,522,7160,502,0 14,0,2024-09-07 09:35:20:614,127638,0.4,128701,0.6,255118,0.4,340204,1.75 14,1,2024-09-07 09:35:21:568,884445,884445,0,0,415326803569,4300675888,877540,6177,728,364,391673,0 14,2,2024-09-07 09:35:20:764,634215,634185,30,0,27703076,0,6104 14,3,2024-09-07 09:35:21:116,1,502,16,0,1168,5826,502,0 15,0,2024-09-07 09:35:21:579,127021,0.5,126517,0.7,254017,0.4,338106,2.00 15,1,2024-09-07 09:35:21:616,881641,881641,0,0,414390708446,4319176133,873912,6244,1485,381,391619,0 15,2,2024-09-07 09:35:20:998,639670,639670,0,0,23333270,0,3622 15,3,2024-09-07 09:35:21:405,1,502,1,0,1126,7278,502,0 16,0,2024-09-07 09:35:20:972,125588,0.5,126213,0.7,251402,0.5,334801,2.00 16,1,2024-09-07 09:35:20:589,881823,881823,0,0,413381367091,4325560901,873426,7099,1298,370,392194,0 16,2,2024-09-07 09:35:21:449,635522,635522,0,0,27489983,0,4719 16,3,2024-09-07 09:35:21:145,1,502,2,0,358,6501,502,0 17,0,2024-09-07 09:35:21:841,128007,0.6,124748,0.7,244413,0.6,331888,2.00 17,1,2024-09-07 09:35:20:569,879576,879576,0,0,412783992422,4333750198,870325,7504,1747,368,392075,0 17,2,2024-09-07 09:35:21:666,634617,634616,1,0,28109997,0,5050 17,3,2024-09-07 09:35:20:581,1,502,14,0,518,7671,502,0 18,0,2024-09-07 09:35:20:960,124334,0.7,125171,0.8,249356,0.7,333134,2.25 18,1,2024-09-07 09:35:21:645,883692,883692,0,0,414923284827,4306749335,877589,5108,995,367,391725,0 18,2,2024-09-07 09:35:21:761,634931,634931,0,0,24975750,0,3541 18,3,2024-09-07 09:35:20:898,1,502,1,0,1059,4874,502,0 19,0,2024-09-07 09:35:21:539,128468,0.6,128789,0.8,256013,0.6,341888,2.25 19,1,2024-09-07 09:35:20:577,882916,882916,0,0,414610758938,4302483656,875929,5903,1084,367,391777,0 19,2,2024-09-07 09:35:21:752,640521,640521,0,0,23556618,0,3988 19,3,2024-09-07 09:35:21:129,1,502,7,0,524,4131,502,0 20,0,2024-09-07 09:35:21:406,123995,0.5,124207,0.7,247921,0.5,331128,2.00 20,1,2024-09-07 09:35:20:603,880396,880396,0,0,413392157264,4332718062,871265,7769,1362,369,391922,0 20,2,2024-09-07 09:35:20:952,635293,635293,0,0,28326677,0,3721 20,3,2024-09-07 09:35:20:593,1,502,2,0,468,8826,502,0 21,0,2024-09-07 09:35:21:142,123795,0.4,123920,0.6,247799,0.4,328682,1.75 21,1,2024-09-07 09:35:21:539,878543,878543,0,0,412847197944,4359787128,864404,11022,3117,368,392016,0 21,2,2024-09-07 09:35:21:074,631014,630994,20,0,33287935,0,5617 21,3,2024-09-07 09:35:21:404,1,502,11,0,713,6665,502,0 22,0,2024-09-07 09:35:21:730,124643,0.5,125042,0.6,249690,0.4,331162,2.00 22,1,2024-09-07 09:35:21:031,879313,879313,0,0,412805410541,4349205661,864180,11908,3225,382,391822,0 22,2,2024-09-07 09:35:20:767,630173,630147,26,0,27847156,0,6328 22,3,2024-09-07 09:35:21:070,1,502,1,0,228,4203,502,0 23,0,2024-09-07 09:35:21:368,128632,0.5,128175,0.7,256662,0.5,342536,2.25 23,1,2024-09-07 09:35:21:007,880332,880332,0,0,413943264466,4348234191,864676,11248,4408,365,391690,0 23,2,2024-09-07 09:35:21:092,637618,637618,0,0,26593202,0,3773 23,3,2024-09-07 09:35:21:754,1,502,1,0,720,6743,502,0 24,0,2024-09-07 09:35:20:825,125308,0.4,124435,0.6,250160,0.4,332000,1.75 24,1,2024-09-07 09:35:20:589,879335,879335,0,0,412441416506,4321474095,870390,7273,1672,367,392269,0 24,2,2024-09-07 09:35:21:076,636355,636355,0,0,31606819,0,4438 24,3,2024-09-07 09:35:21:693,1,502,4,0,468,6488,502,0 25,0,2024-09-07 09:35:21:347,128384,0.4,125075,0.6,245363,0.4,333861,1.75 25,1,2024-09-07 09:35:20:580,878266,878266,0,0,413034397989,4359584224,863394,11903,2969,369,391928,0 25,2,2024-09-07 09:35:21:609,633771,633771,0,0,32722028,0,3978 25,3,2024-09-07 09:35:21:014,1,502,8,0,532,5597,502,0 26,0,2024-09-07 09:35:21:725,123733,0.4,121076,0.6,253897,0.3,330148,1.75 26,1,2024-09-07 09:35:21:544,881308,881308,0,0,412877506296,4326819488,868479,10377,2452,380,391748,0 26,2,2024-09-07 09:35:20:861,630562,630562,0,0,34460729,0,4689 26,3,2024-09-07 09:35:21:714,1,502,1,0,796,5686,502,0 27,0,2024-09-07 09:35:21:724,128384,0.5,128604,0.6,255751,0.4,341874,2.25 27,1,2024-09-07 09:35:21:677,882279,882279,0,0,414622928904,4320822749,873730,7281,1268,381,391626,0 27,2,2024-09-07 09:35:20:868,632396,632331,65,0,30162250,0,5699 27,3,2024-09-07 09:35:21:015,1,502,1,0,564,4489,502,0 28,0,2024-09-07 09:35:21:387,126519,0.4,126079,0.6,253093,0.4,336914,2.00 28,1,2024-09-07 09:35:20:797,882379,882379,0,0,414701527580,4326822885,874609,6056,1714,382,391698,0 28,2,2024-09-07 09:35:21:768,637868,637868,0,0,26728366,0,2915 28,3,2024-09-07 09:35:21:781,1,502,9,0,502,5173,502,0 29,0,2024-09-07 09:35:21:359,129354,0.4,126053,0.6,247170,0.3,336890,1.75 29,1,2024-09-07 09:35:21:568,884762,884762,0,0,415023398408,4295969607,879208,4792,762,368,391809,0 29,2,2024-09-07 09:35:20:861,635167,635167,0,0,26029009,0,4986 29,3,2024-09-07 09:35:20:965,1,502,1,0,459,5675,502,0 30,0,2024-09-07 09:35:21:456,124245,0.5,120732,0.7,252890,0.4,330608,2.00 30,1,2024-09-07 09:35:20:581,883855,883855,0,0,414964828360,4309869211,876882,6071,902,380,391672,0 30,2,2024-09-07 09:35:21:279,632279,632279,0,0,25000625,0,4192 30,3,2024-09-07 09:35:20:587,1,502,2,0,519,4953,502,0 31,0,2024-09-07 09:35:21:782,126229,0.5,126436,0.7,252703,0.4,337909,2.00 31,1,2024-09-07 09:35:20:564,886481,886481,0,0,416863733389,4282579698,881159,4311,1011,356,391712,0 31,2,2024-09-07 09:35:21:293,632505,632505,0,0,27639608,0,4470 31,3,2024-09-07 09:35:21:706,1,502,1,0,220,4215,502,0 32,0,2024-09-07 09:35:21:416,129394,0.4,130057,0.5,258929,0.3,344870,1.75 32,1,2024-09-07 09:35:20:805,882960,882960,0,0,415779206080,4324277719,877164,5089,707,381,391646,0 32,2,2024-09-07 09:35:20:946,639066,639066,0,0,24046133,0,3922 32,3,2024-09-07 09:35:21:015,1,502,1,0,304,4173,502,0 33,0,2024-09-07 09:35:21:492,125496,0.3,125129,0.4,250436,0.2,333629,1.50 33,1,2024-09-07 09:35:20:577,883977,883977,0,0,414938807422,4301711645,876753,6052,1172,368,391730,0 33,2,2024-09-07 09:35:20:767,637131,637096,35,0,26723062,0,7012 33,3,2024-09-07 09:35:20:897,1,502,0,0,329,4833,502,0 34,0,2024-09-07 09:35:20:939,123941,0.3,127379,0.4,243441,0.2,327951,1.75 34,1,2024-09-07 09:35:21:045,885530,885530,0,0,415920122820,4279810100,883022,2486,22,367,391562,0 34,2,2024-09-07 09:35:20:766,634725,634725,0,0,25254845,0,4562 34,3,2024-09-07 09:35:21:698,1,502,1,0,320,3974,502,0 35,0,2024-09-07 09:35:20:864,123967,0.3,124737,0.5,250584,0.3,333585,1.75 35,1,2024-09-07 09:35:21:077,882894,882894,0,0,414772859013,4306017118,876242,5439,1213,382,391769,0 35,2,2024-09-07 09:35:21:600,631633,631633,0,0,27594876,0,4055 35,3,2024-09-07 09:35:20:915,1,502,1,0,418,4839,502,0 36,0,2024-09-07 09:35:21:554,129594,0.5,129527,0.7,259053,0.5,344911,2.25 36,1,2024-09-07 09:35:20:583,881659,881659,0,0,413178128511,4320062425,869384,10056,2219,366,391759,0 36,2,2024-09-07 09:35:21:764,637947,637947,0,0,28974863,0,3875 36,3,2024-09-07 09:35:20:865,1,502,1,0,416,7127,502,0 37,0,2024-09-07 09:35:21:422,123012,0.5,122983,0.7,246295,0.5,328649,2.25 37,1,2024-09-07 09:35:20:595,880537,880530,0,7,413615451748,4322641274,869460,8397,2673,365,391770,0 37,2,2024-09-07 09:35:21:148,634349,634334,15,0,29360194,0,5815 37,3,2024-09-07 09:35:21:767,1,502,2,0,888,7205,502,0 38,0,2024-09-07 09:35:21:442,123482,0.4,119825,0.6,250717,0.4,328240,2.00 38,1,2024-09-07 09:35:21:605,882262,882262,0,0,414496046734,4337214554,869040,10703,2519,368,391821,0 38,2,2024-09-07 09:35:20:763,633583,633536,47,0,29562429,0,6710 38,3,2024-09-07 09:35:21:002,1,502,4,0,689,6539,502,0 39,0,2024-09-07 09:35:21:770,127328,0.5,124563,0.7,242803,0.5,331576,2.00 39,1,2024-09-07 09:35:20:717,880277,880277,0,0,412799654562,4335452949,864513,12295,3469,365,391658,0 39,2,2024-09-07 09:35:21:421,631916,631916,0,0,27122502,0,3391 39,3,2024-09-07 09:35:20:717,1,502,0,0,525,5947,502,0 40,0,2024-09-07 09:35:21:522,127250,0.9,128033,1.0,255542,1.0,341219,2.75 40,1,2024-09-07 09:35:20:583,882570,882570,0,0,412964740446,4322918623,870558,9834,2178,368,391668,0 40,2,2024-09-07 09:35:21:304,634427,634426,1,0,32648628,0,5137 40,3,2024-09-07 09:35:21:144,1,502,1,0,1028,7277,502,0 41,0,2024-09-07 09:35:21:022,124217,1.4,126831,1.2,242210,2.0,329471,3.25 41,1,2024-09-07 09:35:20:776,880080,880080,0,0,413174349117,4326993825,868214,9933,1933,369,391742,0 41,2,2024-09-07 09:35:20:767,634443,634442,1,0,31400299,0,5408 41,3,2024-09-07 09:35:21:691,1,502,1,0,366,5316,502,0 42,0,2024-09-07 09:35:21:475,124915,0.8,124595,1.0,249519,0.9,330317,2.50 42,1,2024-09-07 09:35:21:439,877916,877916,0,0,412608615137,4342849690,862908,12100,2908,380,391675,0 42,2,2024-09-07 09:35:21:135,634591,634591,0,0,30198031,0,3975 42,3,2024-09-07 09:35:21:010,1,502,1,0,892,4665,502,0 43,0,2024-09-07 09:35:20:923,123611,0.7,120406,0.9,252002,0.7,329948,2.25 43,1,2024-09-07 09:35:20:582,881044,881044,0,0,414081577497,4330371738,868179,10606,2259,366,391696,0 43,2,2024-09-07 09:35:21:736,631719,631719,0,0,29997790,0,4723 43,3,2024-09-07 09:35:21:751,1,502,0,0,571,7208,502,0 44,0,2024-09-07 09:35:20:865,127742,0.4,127865,0.6,256069,0.4,340657,2.00 44,1,2024-09-07 09:35:20:568,883694,883694,0,0,414752474464,4289461930,875901,6206,1587,356,391809,0 44,2,2024-09-07 09:35:21:286,632443,632443,0,0,24938984,0,4344 44,3,2024-09-07 09:35:21:095,1,502,0,0,817,5518,502,0 45,0,2024-09-07 09:35:21:767,125801,0.5,122862,0.8,257743,0.5,338345,2.00 45,1,2024-09-07 09:35:21:011,882513,882513,0,0,415354368130,4320176440,875005,6632,876,382,391917,0 45,2,2024-09-07 09:35:21:272,639163,639163,0,0,25294033,0,3596 45,3,2024-09-07 09:35:20:934,1,502,44,0,271,4518,502,0 46,0,2024-09-07 09:35:20:975,125102,0.5,124573,0.7,249972,0.4,332014,2.00 46,1,2024-09-07 09:35:20:601,884430,884430,0,0,415042353382,4292389484,878033,5534,863,366,391709,0 46,2,2024-09-07 09:35:20:592,636552,636552,0,0,25535514,0,4443 46,3,2024-09-07 09:35:21:131,1,502,1,0,908,5938,502,0 47,0,2024-09-07 09:35:21:103,124328,0.4,124537,0.6,249602,0.3,330817,1.75 47,1,2024-09-07 09:35:20:587,884831,884831,0,0,415956010096,4298994678,879606,4386,839,366,391641,0 47,2,2024-09-07 09:35:20:912,635142,635142,0,0,25294840,0,4477 47,3,2024-09-07 09:35:21:119,1,502,1,0,600,5825,502,0 48,0,2024-09-07 09:35:21:491,126166,0.3,126201,0.4,251338,0.2,335136,1.50 48,1,2024-09-07 09:35:21:028,882945,882945,0,0,414613518651,4309986463,877195,5178,572,384,391710,0 48,2,2024-09-07 09:35:20:710,633225,633225,0,0,23204607,0,3411 48,3,2024-09-07 09:35:20:752,1,502,52,0,339,4055,502,0 49,0,2024-09-07 09:35:21:713,132269,0.4,129922,0.5,252414,0.3,345774,1.75 49,1,2024-09-07 09:35:21:021,882529,882529,0,0,414951044881,4316004404,876966,4352,1211,382,391809,0 49,2,2024-09-07 09:35:21:803,639088,639088,0,0,25784023,0,4426 49,3,2024-09-07 09:35:21:417,1,502,9,0,992,5806,502,0 50,0,2024-09-07 09:35:21:536,124860,0.3,123098,0.5,248115,0.2,330979,1.75 50,1,2024-09-07 09:35:21:010,885275,885275,0,0,416034020794,4304156019,879331,5277,667,368,391565,0 50,2,2024-09-07 09:35:21:070,635808,635808,0,0,23720525,0,4490 50,3,2024-09-07 09:35:21:291,1,502,4,0,617,5409,502,0 51,0,2024-09-07 09:35:21:683,126999,0.3,124375,0.4,242255,0.2,330136,1.75 51,1,2024-09-07 09:35:21:688,885892,885892,0,0,416120500831,4293060652,881130,3708,1054,365,391706,0 51,2,2024-09-07 09:35:21:318,634894,634894,0,0,22955605,0,3337 51,3,2024-09-07 09:35:21:029,1,502,1,0,678,3747,502,0 52,0,2024-09-07 09:35:21:418,125173,0.5,124768,0.7,249858,0.5,332039,2.00 52,1,2024-09-07 09:35:20:583,881656,881656,0,0,413999952860,4337786901,868432,11119,2105,368,391722,0 52,2,2024-09-07 09:35:21:754,628446,628408,38,0,30288291,0,6742 52,3,2024-09-07 09:35:20:679,1,502,1,0,1782,6617,502,0 53,0,2024-09-07 09:35:21:742,128216,0.7,124484,0.8,260420,0.8,342080,2.50 53,1,2024-09-07 09:35:20:771,879747,879747,0,0,413658093373,4345646924,864397,11506,3844,367,391968,0 53,2,2024-09-07 09:35:21:328,636956,636955,1,0,27212984,0,5455 53,3,2024-09-07 09:35:20:698,1,502,0,0,308,5118,502,0 54,0,2024-09-07 09:35:21:618,122253,0.6,122657,0.8,244221,0.5,325827,2.25 54,1,2024-09-07 09:35:20:581,881855,881855,0,0,414310573916,4313643005,871791,8430,1634,366,391810,0 54,2,2024-09-07 09:35:20:869,636840,636808,32,0,31094021,0,6397 54,3,2024-09-07 09:35:20:763,1,502,1,0,676,6909,502,0 55,0,2024-09-07 09:35:21:760,120610,0.6,124448,0.7,252035,0.5,328138,2.50 55,1,2024-09-07 09:35:20:768,883153,883153,0,0,414342605970,4311526281,873510,8324,1319,365,391731,0 55,2,2024-09-07 09:35:20:729,634600,634544,56,0,29481735,0,7239 55,3,2024-09-07 09:35:20:679,1,502,0,0,304,4812,502,0 56,0,2024-09-07 09:35:21:591,126839,1.1,119493,1.1,246334,1.5,329549,2.75 56,1,2024-09-07 09:35:20:578,877784,877784,0,0,412557476367,4360726319,863473,11399,2912,381,391867,0 56,2,2024-09-07 09:35:21:319,630883,630761,122,0,30625082,0,7432 56,3,2024-09-07 09:35:21:064,1,502,1,0,705,6178,502,0 57,0,2024-09-07 09:35:20:962,126220,1.7,126226,1.3,252764,2.3,338311,3.50 57,1,2024-09-07 09:35:20:998,879431,879431,0,0,412612968527,4333438397,867264,9884,2283,366,392032,0 57,2,2024-09-07 09:35:21:321,634511,634511,0,0,31534993,0,4317 57,3,2024-09-07 09:35:21:738,1,502,1,0,455,5749,502,0 58,0,2024-09-07 09:35:20:563,124269,1.0,120608,1.0,252424,1.3,330321,2.75 58,1,2024-09-07 09:35:20:577,880844,880841,0,3,414287376204,4345576296,867057,10465,3319,367,391603,3 58,2,2024-09-07 09:35:21:071,637180,637180,0,0,29928869,0,3483 58,3,2024-09-07 09:35:21:073,1,502,1,0,1043,5618,502,0 59,0,2024-09-07 09:35:21:745,125289,0.8,125148,1.0,249556,0.9,331046,2.75 59,1,2024-09-07 09:35:20:804,879540,879540,0,0,413583159327,4347472794,864801,11600,3139,369,391653,0 59,2,2024-09-07 09:35:20:583,635156,635156,0,0,28682632,0,3727 59,3,2024-09-07 09:35:21:743,1,502,1,0,1015,6417,502,0 60,0,2024-09-07 09:35:21:707,124415,0.5,124723,0.7,249527,0.5,331494,1.75 60,1,2024-09-07 09:35:20:782,883888,883888,0,0,415108715650,4310783769,877715,5241,932,370,392031,0 60,2,2024-09-07 09:35:21:141,631827,631827,0,0,27258665,0,3811 60,3,2024-09-07 09:35:21:258,1,502,4,0,409,6062,502,0 61,0,2024-09-07 09:35:21:501,126279,0.8,126851,0.9,252521,0.9,337605,2.00 61,1,2024-09-07 09:35:20:777,880786,880786,0,0,413420952175,4333065536,870918,8288,1580,382,392127,0 61,2,2024-09-07 09:35:21:116,633296,633229,67,0,28191930,0,6411 61,3,2024-09-07 09:35:21:689,1,502,1,0,607,7423,502,0 62,0,2024-09-07 09:35:21:715,129641,0.7,132933,0.8,253782,0.7,344678,2.00 62,1,2024-09-07 09:35:21:129,885884,885878,0,6,416127213813,4290923149,881728,3870,280,365,391975,6 62,2,2024-09-07 09:35:21:653,636029,636028,1,0,27551028,0,5555 62,3,2024-09-07 09:35:21:155,1,502,5,0,482,4140,502,0 63,0,2024-09-07 09:35:21:468,125426,0.4,125146,0.6,251292,0.4,334039,1.75 63,1,2024-09-07 09:35:20:804,883442,883436,0,6,414856678805,4309317756,877689,4996,751,381,391800,6 63,2,2024-09-07 09:35:20:762,635850,635850,0,0,26601893,0,4369 63,3,2024-09-07 09:35:21:733,1,502,1,0,667,5528,502,0 64,0,2024-09-07 09:35:21:515,123261,0.5,123309,0.6,246138,0.4,327205,2.00 64,1,2024-09-07 09:35:20:753,882925,882925,0,0,414856082770,4323053392,875041,6114,1770,370,391794,0 64,2,2024-09-07 09:35:21:141,637448,637429,19,0,25486029,0,6121 64,3,2024-09-07 09:35:21:140,1,502,13,0,651,5404,502,0 65,0,2024-09-07 09:35:21:691,123906,0.6,124244,0.8,247737,0.7,330895,2.00 65,1,2024-09-07 09:35:20:863,880870,880870,0,0,413512775590,4325063750,874128,5946,796,381,391901,0 65,2,2024-09-07 09:35:21:698,632027,632027,0,0,29788674,0,3367 65,3,2024-09-07 09:35:21:689,1,502,8,0,782,6087,502,0 66,0,2024-09-07 09:35:21:779,128834,0.6,128389,0.8,256939,0.5,342236,2.25 66,1,2024-09-07 09:35:21:307,882898,882898,0,0,414804741112,4320410778,876751,5425,722,380,391743,0 66,2,2024-09-07 09:35:21:132,639575,639572,3,0,27219178,0,5455 66,3,2024-09-07 09:35:21:085,1,502,3,0,291,4485,502,0 67,0,2024-09-07 09:35:21:411,123444,0.5,123084,0.7,247151,0.5,328893,2.25 67,1,2024-09-07 09:35:20:773,882798,882797,0,1,414891795811,4321792183,876541,5443,813,380,391787,1 67,2,2024-09-07 09:35:20:583,638529,638514,15,0,26384870,0,6205 67,3,2024-09-07 09:35:21:750,1,502,0,0,392,4861,502,0 68,0,2024-09-07 09:35:20:561,124361,0.5,124311,0.7,247490,0.5,330670,2.00 68,1,2024-09-07 09:35:20:578,879368,879368,0,0,412819631656,4344731577,867149,8820,3399,381,391953,0 68,2,2024-09-07 09:35:21:044,631226,631126,100,0,33901320,0,8578 68,3,2024-09-07 09:35:20:732,1,502,1,0,417,6536,502,0 69,0,2024-09-07 09:35:21:730,123711,0.7,124543,0.8,248150,0.7,329755,2.25 69,1,2024-09-07 09:35:21:023,878378,878378,0,0,411763911524,4343211909,866295,9324,2759,384,391994,0 69,2,2024-09-07 09:35:21:751,630109,630080,29,0,34587211,0,6912 69,3,2024-09-07 09:35:20:762,1,502,151,0,698,7686,502,0 70,0,2024-09-07 09:35:21:536,127168,1.0,127638,1.0,256537,0.9,340043,2.50 70,1,2024-09-07 09:35:20:826,883571,883571,0,0,415583634160,4315045494,876320,6489,762,366,391725,0 70,2,2024-09-07 09:35:21:330,635851,635851,0,0,29328105,0,4323 70,3,2024-09-07 09:35:20:745,1,502,1,0,854,5667,502,0 71,0,2024-09-07 09:35:21:401,123547,1.2,123282,1.1,247842,1.8,330568,3.00 71,1,2024-09-07 09:35:21:603,881139,881139,0,0,414243300338,4328260285,869749,10135,1255,368,391738,0 71,2,2024-09-07 09:35:21:070,636067,636067,0,0,30096829,0,4352 71,3,2024-09-07 09:35:21:750,1,502,2,0,644,6072,502,0 72,0,2024-09-07 09:35:21:041,129628,0.5,126710,0.7,247241,0.5,335790,2.00 72,1,2024-09-07 09:35:21:032,879597,879597,0,0,413120671042,4339073009,866221,11095,2281,369,391819,0 72,2,2024-09-07 09:35:21:754,634076,634076,0,0,31411414,0,3983 72,3,2024-09-07 09:35:21:754,1,502,1,0,564,7364,502,0 73,0,2024-09-07 09:35:21:106,121567,0.4,124833,0.6,254764,0.4,330927,2.00 73,1,2024-09-07 09:35:20:883,882612,882612,0,0,414554274449,4307255803,876386,5801,425,367,391858,0 73,2,2024-09-07 09:35:21:750,630723,630723,0,0,31792254,0,4728 73,3,2024-09-07 09:35:20:977,1,502,1,0,486,6386,502,0 74,0,2024-09-07 09:35:21:325,128641,0.5,131609,0.7,251122,0.5,341052,2.25 74,1,2024-09-07 09:35:20:638,881072,881072,0,0,413423930705,4321269511,870627,8522,1923,381,391762,0 74,2,2024-09-07 09:35:21:003,633189,633189,0,0,27750456,0,4253 74,3,2024-09-07 09:35:21:457,1,502,9,0,522,6146,502,0 75,0,2024-09-07 09:35:21:776,127650,0.6,126694,0.8,254626,0.6,339768,2.25 75,1,2024-09-07 09:35:21:596,882122,882122,0,0,414034243126,4319780476,874240,7022,860,380,391739,0 75,2,2024-09-07 09:35:21:358,636399,636399,0,0,33206834,0,4766 75,3,2024-09-07 09:35:21:076,1,502,0,0,702,6097,502,0 76,0,2024-09-07 09:35:20:580,125072,0.5,124358,0.7,249278,0.5,333538,2.25 76,1,2024-09-07 09:35:20:805,880877,880877,0,0,413668645976,4318008933,874258,5653,966,382,391692,0 76,2,2024-09-07 09:35:21:065,638141,638138,3,0,28210987,0,5265 76,3,2024-09-07 09:35:21:150,1,502,1,0,227,4798,502,0 77,0,2024-09-07 09:35:21:735,123720,0.5,124188,0.7,248452,0.5,329816,2.00 77,1,2024-09-07 09:35:20:832,882080,882080,0,0,414546405791,4326647073,875254,6234,592,381,391869,0 77,2,2024-09-07 09:35:21:282,632099,632099,0,0,27696786,0,3890 77,3,2024-09-07 09:35:21:095,1,502,1,0,401,5456,502,0 78,0,2024-09-07 09:35:21:723,125867,0.4,125413,0.6,251654,0.4,333679,2.00 78,1,2024-09-07 09:35:20:610,881486,881486,0,0,413346863584,4314607882,870722,8239,2525,367,391670,0 78,2,2024-09-07 09:35:21:404,633641,633628,13,0,25778846,0,8313 78,3,2024-09-07 09:35:21:138,1,502,1,0,311,4483,502,0 79,0,2024-09-07 09:35:21:347,124510,0.4,127612,0.6,261215,0.4,340572,2.25 79,1,2024-09-07 09:35:20:577,884327,884327,0,0,414780525789,4301300749,876596,6374,1357,367,391682,0 79,2,2024-09-07 09:35:21:080,638258,638258,0,0,24817148,0,4195 79,3,2024-09-07 09:35:20:752,1,502,1,0,418,6225,502,0 80,0,2024-09-07 09:35:21:153,123958,0.5,127283,0.7,243904,0.5,330287,2.00 80,1,2024-09-07 09:35:21:625,881575,881575,0,0,414765214831,4319437423,875761,5390,424,368,392269,0 80,2,2024-09-07 09:35:21:097,637817,637817,0,0,25244219,0,4433 80,3,2024-09-07 09:35:20:582,1,502,1,0,296,6052,502,0 81,0,2024-09-07 09:35:21:534,123945,0.5,126856,0.7,241978,0.5,328768,2.00 81,1,2024-09-07 09:35:21:679,880645,880645,0,0,413656700747,4326931775,873251,6756,638,382,391885,0 81,2,2024-09-07 09:35:21:126,633636,633573,63,0,28301414,0,5932 81,3,2024-09-07 09:35:21:117,1,502,1,0,719,5828,502,0 82,0,2024-09-07 09:35:21:533,124442,0.5,124491,0.7,249607,0.4,331297,2.00 82,1,2024-09-07 09:35:20:582,882930,882926,0,4,414623780190,4313094964,877848,4258,820,381,391768,4 82,2,2024-09-07 09:35:21:691,633469,633469,0,0,23468437,0,4484 82,3,2024-09-07 09:35:21:767,1,502,14,0,363,4924,502,0 83,0,2024-09-07 09:35:21:521,128944,0.7,128843,0.8,257189,0.7,342350,2.25 83,1,2024-09-07 09:35:20:568,881856,881856,0,0,414504213376,4323448638,875504,5900,452,382,391709,0 83,2,2024-09-07 09:35:20:769,636787,636762,25,0,25502656,0,5612 83,3,2024-09-07 09:35:20:751,1,502,4,0,1260,6427,502,0 84,0,2024-09-07 09:35:21:801,123173,0.8,123310,0.9,246233,0.7,329208,2.25 84,1,2024-09-07 09:35:21:057,880341,880341,0,0,413569246235,4327132867,870154,8666,1521,367,391967,0 84,2,2024-09-07 09:35:20:572,635606,635576,30,0,33587854,0,5971 84,3,2024-09-07 09:35:21:144,1,502,9,0,908,7264,502,0 85,0,2024-09-07 09:35:21:011,120181,0.6,120116,0.8,254988,0.5,329694,2.25 85,1,2024-09-07 09:35:20:584,877563,877563,0,0,412523747782,4360726359,863315,11594,2654,381,392092,0 85,2,2024-09-07 09:35:20:886,633942,633942,0,0,31336332,0,4255 85,3,2024-09-07 09:35:20:685,1,502,1,0,789,5823,502,0 86,0,2024-09-07 09:35:20:908,124006,0.6,127532,0.8,243922,0.6,329885,2.25 86,1,2024-09-07 09:35:20:839,880348,880348,0,0,413302357185,4334303091,869068,9307,1973,366,391995,0 86,2,2024-09-07 09:35:20:856,629070,629069,1,0,33653401,0,5004 86,3,2024-09-07 09:35:20:597,1,502,1,0,308,7412,502,0 87,0,2024-09-07 09:35:21:322,128076,1.0,127789,0.9,255604,1.3,342120,2.25 87,1,2024-09-07 09:35:20:567,879300,879300,0,0,412612584719,4328156344,867114,10474,1712,366,392076,0 87,2,2024-09-07 09:35:21:076,634063,634057,6,0,29518060,0,6323 87,3,2024-09-07 09:35:21:805,1,502,2,0,473,7568,502,0 88,0,2024-09-07 09:35:21:480,126021,0.5,126644,0.6,253058,0.5,336493,2.00 88,1,2024-09-07 09:35:20:577,878687,878687,0,0,413162125757,4331673201,866073,10000,2614,365,392084,0 88,2,2024-09-07 09:35:20:691,637545,637545,0,0,32085663,0,4465 88,3,2024-09-07 09:35:21:289,1,502,23,0,435,6451,502,0 89,0,2024-09-07 09:35:21:781,129520,0.4,125524,0.6,248103,0.4,336930,1.75 89,1,2024-09-07 09:35:20:554,878989,878989,0,0,413402069027,4352018312,867593,9581,1815,382,391866,0 89,2,2024-09-07 09:35:21:135,634769,634769,0,0,30478433,0,3173 89,3,2024-09-07 09:35:21:797,1,502,1,0,468,8384,502,0 90,0,2024-09-07 09:35:21:621,120865,0.4,124291,0.6,253206,0.4,330433,1.75 90,1,2024-09-07 09:35:20:606,880676,880676,0,0,413253199483,4328767487,872179,7765,732,380,391825,0 90,2,2024-09-07 09:35:21:412,629473,629473,0,0,32607725,0,3608 90,3,2024-09-07 09:35:20:952,1,502,1,0,322,6189,502,0 91,0,2024-09-07 09:35:20:941,126890,0.5,122975,0.6,257235,0.5,338122,1.75 91,1,2024-09-07 09:35:20:689,879045,879045,0,0,413019668005,4346799119,867483,9553,2009,381,392047,0 91,2,2024-09-07 09:35:21:337,633963,633963,0,0,28661945,0,4713 91,3,2024-09-07 09:35:20:600,1,502,1,0,216,4857,502,0 92,0,2024-09-07 09:35:21:490,130676,0.5,133559,0.6,254641,0.5,344839,1.75 92,1,2024-09-07 09:35:20:581,880893,880893,0,0,413672855506,4325125122,873396,6293,1204,381,392136,0 92,2,2024-09-07 09:35:21:360,638427,638427,0,0,26029058,0,3259 92,3,2024-09-07 09:35:21:009,1,502,2,0,167,4307,502,0 93,0,2024-09-07 09:35:20:993,125799,0.4,128984,0.6,246247,0.4,334033,1.75 93,1,2024-09-07 09:35:20:820,881368,881368,0,0,413572674043,4322054865,871095,8540,1733,366,391776,0 93,2,2024-09-07 09:35:20:962,635692,635692,0,0,31670129,0,4913 93,3,2024-09-07 09:35:21:419,1,502,16,0,190,4650,502,0 94,0,2024-09-07 09:35:21:665,123340,0.3,124018,0.5,247886,0.3,328965,1.75 94,1,2024-09-07 09:35:20:566,881626,881626,0,0,414682704404,4327461114,875534,5719,373,381,391850,0 94,2,2024-09-07 09:35:20:762,633355,633327,28,0,27055064,0,6179 94,3,2024-09-07 09:35:21:697,1,502,1,0,576,6496,502,0 95,0,2024-09-07 09:35:21:347,125014,0.3,124714,0.5,249838,0.3,333562,1.75 95,1,2024-09-07 09:35:20:873,882791,882791,0,0,413797280839,4304004870,875445,6690,656,365,391786,0 95,2,2024-09-07 09:35:21:017,631833,631833,0,0,25777217,0,3308 95,3,2024-09-07 09:35:21:712,1,502,1,0,718,7993,502,0 96,0,2024-09-07 09:35:21:067,129519,0.4,129690,0.5,259268,0.3,344291,1.75 96,1,2024-09-07 09:35:21:587,881697,881697,0,0,414172232383,4322046608,875319,5466,912,384,391955,0 96,2,2024-09-07 09:35:21:300,638088,638088,0,0,26787303,0,4180 96,3,2024-09-07 09:35:21:140,1,502,0,0,411,5381,502,0 97,0,2024-09-07 09:35:21:353,123612,0.3,123282,0.5,247760,0.3,329233,1.75 97,1,2024-09-07 09:35:20:784,883029,883029,0,0,415457250666,4311245908,877291,4795,943,367,392140,0 97,2,2024-09-07 09:35:20:607,637246,637246,0,0,26243076,0,3679 97,3,2024-09-07 09:35:20:576,1,502,1,0,242,5959,502,0 98,0,2024-09-07 09:35:21:765,123858,0.3,124015,0.4,248739,0.2,330311,1.50 98,1,2024-09-07 09:35:20:591,882501,882501,0,0,413471921023,4308324171,877106,4597,798,381,391997,0 98,2,2024-09-07 09:35:20:787,635027,635027,0,0,25894205,0,4336 98,3,2024-09-07 09:35:20:708,1,502,1,0,840,7920,502,0 99,0,2024-09-07 09:35:21:449,124295,0.3,124931,0.5,248620,0.3,332113,1.75 99,1,2024-09-07 09:35:21:734,882678,882678,0,0,413686765777,4308313027,876726,5028,924,380,392069,0 99,2,2024-09-07 09:35:21:418,632347,632347,0,0,30901862,0,4276 99,3,2024-09-07 09:35:20:590,1,502,4,0,606,5188,502,0 100,0,2024-09-07 09:35:21:498,128295,0.9,128681,1.0,256589,1.2,343416,2.50 100,1,2024-09-07 09:35:20:556,877481,877481,0,0,412057614939,4360572957,863228,11155,3098,378,391989,0 100,2,2024-09-07 09:35:21:820,633389,633378,11,0,30334232,0,5417 100,3,2024-09-07 09:35:21:756,1,502,18,0,627,8948,502,0 101,0,2024-09-07 09:35:21:744,127458,1.4,124246,1.1,243363,1.5,332780,2.50 101,1,2024-09-07 09:35:20:554,878633,878633,0,0,412553247954,4338105467,866373,9861,2399,368,391847,0 101,2,2024-09-07 09:35:21:765,632690,632690,0,0,35353426,0,4871 101,3,2024-09-07 09:35:20:954,1,502,3,0,1250,7768,502,0 102,0,2024-09-07 09:35:21:025,122189,0.6,125904,0.7,255573,0.6,334050,2.00 102,1,2024-09-07 09:35:21:146,878834,878834,0,0,413511811487,4346661506,866647,10168,2019,369,391891,0 102,2,2024-09-07 09:35:21:755,635158,635104,54,0,29972081,0,6768 102,3,2024-09-07 09:35:21:625,1,502,31,0,466,6050,502,0 103,0,2024-09-07 09:35:21:615,128812,0.5,128795,0.7,242779,0.5,333413,2.00 103,1,2024-09-07 09:35:21:657,877631,877631,0,0,412708983920,4360496097,863599,10834,3198,381,391862,0 103,2,2024-09-07 09:35:20:583,629898,629898,0,0,31426105,0,3766 103,3,2024-09-07 09:35:20:763,1,502,30,0,916,6129,502,0 104,0,2024-09-07 09:35:21:009,126673,0.8,126885,1.0,252743,0.8,338877,2.50 104,1,2024-09-07 09:35:21:627,880173,880173,0,0,412769195938,4339697667,867138,10485,2550,365,392168,0 104,2,2024-09-07 09:35:21:694,631807,631807,0,0,30465295,0,3941 104,3,2024-09-07 09:35:21:416,1,502,1,0,1245,9070,502,0 105,0,2024-09-07 09:35:21:029,125975,1.0,122770,1.1,257450,1.3,338113,2.75 105,1,2024-09-07 09:35:20:570,881259,881259,0,0,413589503288,4336751377,869679,9814,1766,365,392009,0 105,2,2024-09-07 09:35:21:339,636569,636569,0,0,30774867,0,4360 105,3,2024-09-07 09:35:21:324,1,502,8,0,399,7299,502,0 106,0,2024-09-07 09:35:20:953,121261,0.8,124211,0.9,254542,0.8,332366,2.50 106,1,2024-09-07 09:35:21:756,880560,880560,0,0,412894193005,4335930193,867958,10956,1646,368,391914,0 106,2,2024-09-07 09:35:20:762,634695,634695,0,0,29092000,0,2920 106,3,2024-09-07 09:35:20:682,1,502,1,0,1224,7703,502,0 107,0,2024-09-07 09:35:21:129,123898,0.8,124066,0.8,248156,0.8,330921,2.00 107,1,2024-09-07 09:35:20:599,878560,878560,0,0,413276726323,4360440739,865664,11503,1393,381,392234,0 107,2,2024-09-07 09:35:21:302,630282,630281,1,0,31682091,0,5024 107,3,2024-09-07 09:35:21:771,1,502,14,0,733,7756,502,0 108,0,2024-09-07 09:35:21:809,125428,0.4,126232,0.6,250979,0.4,335202,1.75 108,1,2024-09-07 09:35:21:315,880921,880921,0,0,414769758830,4328814743,873037,6916,968,367,391857,0 108,2,2024-09-07 09:35:21:770,632026,632026,0,0,28471552,0,4246 108,3,2024-09-07 09:35:21:335,1,502,6,0,749,10188,502,0 109,0,2024-09-07 09:35:21:842,129571,0.4,128531,0.6,257578,0.4,344665,1.75 109,1,2024-09-07 09:35:20:603,878950,878950,0,0,413242885307,4338306409,870760,6977,1213,382,392132,0 109,2,2024-09-07 09:35:20:945,635247,635247,0,0,28426515,0,3617 109,3,2024-09-07 09:35:21:143,1,502,1,0,630,6377,502,0 110,0,2024-09-07 09:35:21:757,124091,0.4,120719,0.6,252913,0.3,331659,1.75 110,1,2024-09-07 09:35:21:656,882347,882347,0,0,414420150870,4307652490,874826,5601,1920,368,392045,0 110,2,2024-09-07 09:35:21:321,636526,636526,0,0,26899214,0,4067 110,3,2024-09-07 09:35:20:694,1,502,1,0,722,7131,502,0 111,0,2024-09-07 09:35:21:417,124247,0.3,123613,0.5,247505,0.3,330057,1.75 111,1,2024-09-07 09:35:21:018,883843,883843,0,0,416290101034,4317967955,879303,4184,356,380,391690,0 111,2,2024-09-07 09:35:21:116,633701,633701,0,0,27513852,0,4823 111,3,2024-09-07 09:35:20:913,1,502,1,0,379,5851,502,0 112,0,2024-09-07 09:35:20:909,125420,0.3,124786,0.4,250299,0.2,332270,1.50 112,1,2024-09-07 09:35:20:831,882649,882649,0,0,415334771609,4314100948,876755,5204,690,380,391624,0 112,2,2024-09-07 09:35:21:136,631611,631610,1,0,25982155,0,5036 112,3,2024-09-07 09:35:20:592,1,502,0,0,282,4921,502,0 113,0,2024-09-07 09:35:20:878,129133,0.3,129013,0.5,258445,0.3,344240,1.75 113,1,2024-09-07 09:35:21:692,885518,885518,0,0,416163976343,4296542923,880273,4432,813,365,391661,0 113,2,2024-09-07 09:35:21:303,638680,638680,0,0,23620014,0,3813 113,3,2024-09-07 09:35:20:683,1,502,0,0,340,5433,502,0 114,0,2024-09-07 09:35:20:880,124597,0.3,125510,0.5,249255,0.3,333125,1.75 114,1,2024-09-07 09:35:20:715,882813,882813,0,0,414388752343,4306255236,875913,5284,1616,381,391565,0 114,2,2024-09-07 09:35:20:873,638210,638209,1,0,25616108,0,5069 114,3,2024-09-07 09:35:21:282,1,502,2,0,395,4002,502,0 115,0,2024-09-07 09:35:20:598,125374,0.3,125822,0.4,250943,0.2,333228,1.50 115,1,2024-09-07 09:35:20:578,883384,883384,0,0,415147655203,4316477350,875986,6041,1357,382,391757,0 115,2,2024-09-07 09:35:21:125,638009,638009,0,0,25224969,0,4382 115,3,2024-09-07 09:35:21:006,1,502,1,0,167,2946,502,0 116,0,2024-09-07 09:35:21:722,123755,0.6,123676,0.8,247851,0.6,331053,2.00 116,1,2024-09-07 09:35:20:804,878996,878996,0,0,412990138299,4355388162,868358,8195,2443,380,392089,0 116,2,2024-09-07 09:35:21:752,629641,629641,0,0,31902413,0,4475 116,3,2024-09-07 09:35:20:922,1,502,7,0,415,6282,502,0 117,0,2024-09-07 09:35:20:954,128388,0.8,127703,0.8,256060,0.9,342649,2.00 117,1,2024-09-07 09:35:21:595,879429,879429,0,0,412775391095,4324036824,869459,8745,1225,369,392033,0 117,2,2024-09-07 09:35:21:128,636425,636425,0,0,27752015,0,4303 117,3,2024-09-07 09:35:21:090,1,502,3,0,490,7042,502,0 118,0,2024-09-07 09:35:22:022,122422,0.6,125769,0.7,256428,0.6,335013,2.00 118,1,2024-09-07 09:35:20:631,879414,879414,0,0,412829649791,4343435718,865922,10372,3120,366,392054,0 118,2,2024-09-07 09:35:21:874,636465,636465,0,0,30533562,0,2842 118,3,2024-09-07 09:35:21:788,1,502,1,0,248,5848,502,0 119,0,2024-09-07 09:35:21:347,125626,0.6,126004,0.8,251784,0.6,335081,2.00 119,1,2024-09-07 09:35:20:556,879915,879915,0,0,414065792278,4341335104,868261,9888,1766,367,391857,0 119,2,2024-09-07 09:35:21:261,635652,635652,0,0,28558501,0,4174 119,3,2024-09-07 09:35:21:340,1,502,4,0,1358,9690,502,0 120,0,2024-09-07 09:35:21:583,123926,0.6,123712,0.8,248375,0.6,331111,2.25 120,1,2024-09-07 09:35:20:861,880444,880444,0,0,413303699547,4334576971,871163,8502,779,368,392144,0 120,2,2024-09-07 09:35:20:775,630233,630232,1,0,32977673,0,5281 120,3,2024-09-07 09:35:21:328,1,502,15,0,279,6395,502,0 121,0,2024-09-07 09:35:21:749,126502,1.5,125892,1.1,252490,2.0,337172,2.50 121,1,2024-09-07 09:35:21:657,881002,881002,0,0,413661374688,4326707779,872282,8019,701,367,391840,0 121,2,2024-09-07 09:35:21:134,632016,632016,0,0,30131429,0,4157 121,3,2024-09-07 09:35:20:728,1,502,9,0,330,6137,502,0 122,0,2024-09-07 09:35:21:819,128626,0.9,125236,0.9,262361,1.0,344723,2.25 122,1,2024-09-07 09:35:21:413,879202,879202,0,0,413636340030,4341863576,866773,10546,1883,366,392130,0 122,2,2024-09-07 09:35:21:323,638034,637959,75,0,34603066,0,5989 122,3,2024-09-07 09:35:20:628,1,502,19,0,512,8673,502,0 123,0,2024-09-07 09:35:21:047,124549,0.8,121271,0.9,253891,1.0,332591,2.25 123,1,2024-09-07 09:35:20:564,879709,879709,0,0,413643802508,4355284889,864570,12637,2502,369,392039,0 123,2,2024-09-07 09:35:21:019,633873,633872,1,0,29363880,0,5215 123,3,2024-09-07 09:35:21:139,1,502,9,0,478,5987,502,0 124,0,2024-09-07 09:35:20:968,127276,0.3,127225,0.5,239823,0.3,329991,1.75 124,1,2024-09-07 09:35:21:034,882832,882832,0,0,413728153980,4303999964,876028,5673,1131,365,392178,0 124,2,2024-09-07 09:35:21:018,634396,634343,53,0,26801193,0,6487 124,3,2024-09-07 09:35:20:763,1,502,4,0,490,5353,502,0 125,0,2024-09-07 09:35:21:458,124791,0.4,124518,0.6,250229,0.4,333421,1.75 125,1,2024-09-07 09:35:20:863,881274,881274,0,0,414014032009,4324154457,874309,5983,982,382,391813,0 125,2,2024-09-07 09:35:21:141,633899,633899,0,0,26764511,0,4534 125,3,2024-09-07 09:35:21:129,1,502,2,0,709,6137,502,0 126,0,2024-09-07 09:35:21:451,129512,0.4,133166,0.6,254648,0.4,344848,1.75 126,1,2024-09-07 09:35:20:574,883823,883823,0,0,414652019659,4294688820,879158,4356,309,365,391987,0 126,2,2024-09-07 09:35:20:617,638138,638138,0,0,27627339,0,4539 126,3,2024-09-07 09:35:20:915,1,502,3,0,268,5710,502,0 127,0,2024-09-07 09:35:21:727,123805,0.3,124165,0.5,247723,0.3,329523,1.75 127,1,2024-09-07 09:35:20:579,882425,882425,0,0,413535352527,4307132685,873067,7854,1504,364,392187,0 127,2,2024-09-07 09:35:20:642,635304,635300,4,0,26347849,0,5305 127,3,2024-09-07 09:35:21:291,1,502,2,0,968,5146,502,0 128,0,2024-09-07 09:35:21:562,124643,0.3,124790,0.4,249193,0.2,331141,1.50 128,1,2024-09-07 09:35:21:607,881729,881729,0,0,415029205647,4313831093,875257,5739,733,367,392031,0 128,2,2024-09-07 09:35:21:388,636634,636634,0,0,24600786,0,3171 128,3,2024-09-07 09:35:20:767,1,502,3,0,1082,7973,502,0 129,0,2024-09-07 09:35:21:020,125347,0.3,124828,0.5,250117,0.3,332617,1.50 129,1,2024-09-07 09:35:20:570,879485,879485,0,0,413268711187,4334268020,870469,7072,1944,379,391962,0 129,2,2024-09-07 09:35:20:691,632245,632241,4,0,26764659,0,5335 129,3,2024-09-07 09:35:20:690,1,502,17,0,506,7246,502,0 130,0,2024-09-07 09:35:21:825,129385,0.5,129022,0.6,258946,0.5,344782,1.75 130,1,2024-09-07 09:35:20:669,882434,882434,0,0,413681544551,4299896405,877649,4397,388,381,391825,0 130,2,2024-09-07 09:35:21:126,636614,636614,0,0,26729867,0,4067 130,3,2024-09-07 09:35:21:321,1,502,28,0,960,7259,502,0 131,0,2024-09-07 09:35:21:936,125115,0.4,125543,0.5,251490,0.3,333736,1.75 131,1,2024-09-07 09:35:21:830,881980,881980,0,0,414836138135,4330150287,875109,5680,1191,381,391865,0 131,2,2024-09-07 09:35:20:566,638186,638186,0,0,24875024,0,3979 131,3,2024-09-07 09:35:21:712,1,502,128,0,392,6301,502,0 132,0,2024-09-07 09:35:21:449,125585,0.4,126744,0.6,252172,0.4,335189,2.00 132,1,2024-09-07 09:35:20:583,878562,878562,0,0,411989126837,4337299960,866127,10244,2191,381,392532,0 132,2,2024-09-07 09:35:20:706,634834,634817,17,0,32540050,0,6451 132,3,2024-09-07 09:35:21:698,1,502,1,0,804,8687,502,0 133,0,2024-09-07 09:35:21:542,121555,0.4,124459,0.6,255125,0.4,331522,1.75 133,1,2024-09-07 09:35:20:583,878440,878440,0,0,412705433389,4353151931,865944,10808,1688,383,391914,0 133,2,2024-09-07 09:35:21:088,631027,630977,50,0,32741977,0,6861 133,3,2024-09-07 09:35:21:303,1,502,1,0,479,5684,502,0 134,0,2024-09-07 09:35:21:037,127886,0.6,127708,0.7,255871,0.6,341265,2.25 134,1,2024-09-07 09:35:20:605,879825,879825,0,0,413082387724,4332963685,868468,9211,2146,366,391718,0 134,2,2024-09-07 09:35:21:823,632594,632570,24,0,30842698,0,6207 134,3,2024-09-07 09:35:20:758,1,502,2,0,739,6221,502,0 135,0,2024-09-07 09:35:21:178,123455,1.0,123460,0.9,261999,1.2,337477,2.50 135,1,2024-09-07 09:35:21:590,879362,879362,0,0,413722255715,4353309803,867734,10063,1565,380,391805,0 135,2,2024-09-07 09:35:20:706,637797,637797,0,0,31054772,0,4503 135,3,2024-09-07 09:35:21:011,1,502,25,0,900,5231,502,0 136,0,2024-09-07 09:35:21:651,126052,0.5,126268,0.7,251536,0.5,335463,2.00 136,1,2024-09-07 09:35:21:449,881117,881117,0,0,412803144111,4330586411,870754,9096,1267,381,392135,0 136,2,2024-09-07 09:35:21:138,636872,636857,15,0,30439868,0,6007 136,3,2024-09-07 09:35:21:117,1,502,3,0,637,6178,502,0 137,0,2024-09-07 09:35:20:937,128021,0.5,124721,0.7,244482,0.5,332110,2.00 137,1,2024-09-07 09:35:20:583,879466,879466,0,0,413192903123,4334159269,866044,10752,2670,366,391898,0 137,2,2024-09-07 09:35:21:707,630895,630895,0,0,31795391,0,3185 137,3,2024-09-07 09:35:20:781,1,502,1,0,484,6445,502,0 138,0,2024-09-07 09:35:21:774,125075,0.9,125224,0.9,251117,1.1,333750,2.25 138,1,2024-09-07 09:35:21:698,879910,879910,0,0,413650482038,4341649586,867179,10762,1969,368,391954,0 138,2,2024-09-07 09:35:20:593,631799,631799,0,0,29236928,0,4988 138,3,2024-09-07 09:35:20:613,1,502,3,0,1200,8214,502,0 139,0,2024-09-07 09:35:21:497,127785,1.3,128245,1.1,256786,1.9,343037,2.50 139,1,2024-09-07 09:35:20:583,875542,875542,0,0,411763931212,4370541382,859612,12471,3459,380,392058,0 139,2,2024-09-07 09:35:20:695,633334,633334,0,0,32881285,0,3097 139,3,2024-09-07 09:35:21:692,1,502,3,0,432,5882,502,0 140,0,2024-09-07 09:35:21:588,124841,0.3,124018,0.5,248673,0.2,331871,1.75 140,1,2024-09-07 09:35:21:544,884453,884453,0,0,415260800570,4283495998,879873,4042,538,364,391606,0 140,2,2024-09-07 09:35:20:692,637010,637009,1,0,25173021,0,5036 140,3,2024-09-07 09:35:20:772,1,502,1,0,297,4809,502,0 141,0,2024-09-07 09:35:21:730,124226,0.3,127385,0.4,243214,0.2,329758,1.50 141,1,2024-09-07 09:35:20:859,883475,883475,0,0,415282058981,4316271342,876371,6019,1085,379,391614,0 141,2,2024-09-07 09:35:21:687,634736,634725,11,0,26696621,0,5369 141,3,2024-09-07 09:35:21:044,1,502,37,0,391,5565,502,0 142,0,2024-09-07 09:35:21:311,125540,0.3,124583,0.5,249868,0.3,332981,1.75 142,1,2024-09-07 09:35:20:589,881729,881729,0,0,414562213546,4323108040,876080,5190,459,382,392102,0 142,2,2024-09-07 09:35:21:304,631168,631136,32,0,27188391,0,6028 142,3,2024-09-07 09:35:21:753,1,502,33,0,484,5375,502,0 143,0,2024-09-07 09:35:21:388,129061,0.5,128972,0.6,258760,0.4,344342,1.75 143,1,2024-09-07 09:35:20:572,883408,883408,0,0,415161418800,4311154288,877337,5515,556,367,391722,0 143,2,2024-09-07 09:35:20:772,637655,637655,0,0,26659942,0,3123 143,3,2024-09-07 09:35:21:143,1,502,14,0,462,6442,502,0 144,0,2024-09-07 09:35:21:628,120376,0.7,123859,0.8,252154,0.6,330126,2.25 144,1,2024-09-07 09:35:20:755,879024,879024,0,0,412265173943,4330158350,869646,7414,1964,381,391733,0 144,2,2024-09-07 09:35:21:770,637627,637627,0,0,25332204,0,3673 144,3,2024-09-07 09:35:21:766,1,502,7,0,249,4973,502,0 145,0,2024-09-07 09:35:21:493,120891,0.5,120846,0.8,256559,0.5,329955,2.25 145,1,2024-09-07 09:35:20:570,878455,878455,0,0,412885323067,4349645438,866104,9887,2464,382,391759,0 145,2,2024-09-07 09:35:21:431,632997,632915,82,0,31353643,0,7814 145,3,2024-09-07 09:35:20:914,1,502,12,0,622,6952,502,0 146,0,2024-09-07 09:35:21:622,124157,0.5,123698,0.7,248265,0.5,329421,2.00 146,1,2024-09-07 09:35:21:598,879664,879664,0,0,412989798090,4345535359,865245,10618,3801,367,391770,0 146,2,2024-09-07 09:35:21:697,629359,629353,6,0,29371708,0,5151 146,3,2024-09-07 09:35:21:281,1,502,0,0,1520,8764,502,0 147,0,2024-09-07 09:35:21:822,128280,0.7,127997,0.8,255553,0.6,342057,2.25 147,1,2024-09-07 09:35:21:380,882955,882955,0,0,414943589180,4314469284,875674,6402,879,367,391791,0 147,2,2024-09-07 09:35:21:020,634295,634295,0,0,26458778,0,2968 147,3,2024-09-07 09:35:20:914,1,502,14,0,1626,8424,502,0 0,0,2024-09-07 09:35:31:724,121161,0.6,121178,0.7,257185,0.6,332154,2.00 0,1,2024-09-07 09:35:30:820,881835,881835,0,0,414010278860,4338325475,874328,6667,840,369,391896,0 0,2,2024-09-07 09:35:31:086,634073,634073,0,0,26434863,0,4480 0,3,2024-09-07 09:35:30:975,1,503,13,0,431,7129,503,0 1,0,2024-09-07 09:35:31:787,126837,1.0,126188,1.0,253176,1.4,338735,2.00 1,1,2024-09-07 09:35:30:584,881083,881083,0,0,413525949269,4336416420,872377,7239,1467,370,391859,0 1,2,2024-09-07 09:35:30:654,634541,634541,0,0,25826199,0,3380 1,3,2024-09-07 09:35:31:308,1,503,1,0,269,6438,503,0 2,0,2024-09-07 09:35:31:567,128914,0.6,128942,0.8,257586,0.7,343448,2.00 2,1,2024-09-07 09:35:30:859,884130,884130,0,0,415577868087,4320785242,879196,4017,917,380,391745,0 2,2,2024-09-07 09:35:31:269,640121,640121,0,0,24704513,0,3594 2,3,2024-09-07 09:35:30:691,1,503,1,0,357,4647,503,0 3,0,2024-09-07 09:35:31:754,125258,0.4,125082,0.6,249872,0.4,333323,2.00 3,1,2024-09-07 09:35:31:621,882835,882835,0,0,414993164021,4323158106,875370,6763,702,379,391716,0 3,2,2024-09-07 09:35:31:142,637953,637930,23,0,25713709,0,5851 3,3,2024-09-07 09:35:31:755,1,503,1,0,207,3159,503,0 4,0,2024-09-07 09:35:31:865,120124,0.4,123419,0.5,251764,0.3,329290,1.75 4,1,2024-09-07 09:35:30:593,879121,879121,0,0,412405901654,4371947291,862895,12543,3683,370,391992,0 4,2,2024-09-07 09:35:31:025,631239,631239,0,0,31288986,0,4534 4,3,2024-09-07 09:35:31:043,1,503,9,0,448,6567,503,0 5,0,2024-09-07 09:35:31:418,125077,0.4,125618,0.6,250713,0.4,333986,1.75 5,1,2024-09-07 09:35:30:761,880486,880486,0,0,413906716744,4359433927,867776,10297,2413,367,392005,0 5,2,2024-09-07 09:35:31:855,630868,630868,0,0,30156456,0,3582 5,3,2024-09-07 09:35:31:756,1,503,12,0,457,6990,503,0 6,0,2024-09-07 09:35:30:920,129719,0.5,129149,0.7,258689,0.5,344979,2.00 6,1,2024-09-07 09:35:30:746,882686,882686,0,0,413783380356,4324808252,873170,8060,1456,379,391702,0 6,2,2024-09-07 09:35:31:116,638699,638681,18,0,29390602,0,5535 6,3,2024-09-07 09:35:31:276,1,503,0,0,710,6184,503,0 7,0,2024-09-07 09:35:31:550,123189,0.5,124109,0.7,246995,0.5,329148,2.00 7,1,2024-09-07 09:35:30:863,880871,880871,0,0,413776147530,4349252055,867951,10689,2231,382,391747,0 7,2,2024-09-07 09:35:30:770,637432,637432,0,0,28506307,0,4791 7,3,2024-09-07 09:35:30:861,1,503,0,0,552,5853,503,0 8,0,2024-09-07 09:35:31:337,124557,0.3,124257,0.5,249249,0.3,331534,1.75 8,1,2024-09-07 09:35:31:019,879767,879767,0,0,412869440158,4343484015,865592,11047,3128,366,392853,0 8,2,2024-09-07 09:35:30:793,631584,631582,2,0,34088021,0,5112 8,3,2024-09-07 09:35:30:598,1,503,2,0,538,7712,503,0 9,0,2024-09-07 09:35:31:235,124663,0.4,121328,0.5,253943,0.3,332969,1.75 9,1,2024-09-07 09:35:30:581,879512,879512,0,0,413911689934,4361916507,866109,10756,2647,369,392001,0 9,2,2024-09-07 09:35:31:088,631732,631731,1,0,30771469,0,5281 9,3,2024-09-07 09:35:31:756,1,503,0,0,1273,8581,503,0 10,0,2024-09-07 09:35:31:600,129049,0.4,128604,0.5,258116,0.3,344172,1.75 10,1,2024-09-07 09:35:30:586,882361,882361,0,0,413850079562,4339098673,870005,10266,2090,381,391869,0 10,2,2024-09-07 09:35:30:769,636681,636681,0,0,33415033,0,4264 10,3,2024-09-07 09:35:30:874,1,503,5,0,649,5305,503,0 11,0,2024-09-07 09:35:31:017,124961,0.5,121265,0.7,253414,0.5,333856,1.75 11,1,2024-09-07 09:35:30:586,882543,882543,0,0,415324443093,4358084940,869067,10014,3462,383,391756,0 11,2,2024-09-07 09:35:31:135,637457,637457,0,0,29581053,0,4130 11,3,2024-09-07 09:35:31:303,1,503,9,0,843,6883,503,0 12,0,2024-09-07 09:35:30:967,126437,0.4,126493,0.5,252985,0.3,335898,1.75 12,1,2024-09-07 09:35:30:979,882088,882088,0,0,414311987636,4325218486,874434,6776,878,370,391960,0 12,2,2024-09-07 09:35:31:549,636105,636105,0,0,29400097,0,4390 12,3,2024-09-07 09:35:31:059,1,503,43,0,386,6944,503,0 13,0,2024-09-07 09:35:31:334,125640,0.4,125371,0.6,250097,0.4,332838,1.75 13,1,2024-09-07 09:35:31:530,880740,880740,0,0,413464786293,4349380490,871126,7321,2293,382,391740,0 13,2,2024-09-07 09:35:30:599,634073,634073,0,0,26257626,0,3287 13,3,2024-09-07 09:35:31:765,1,503,1,0,522,7161,503,0 14,0,2024-09-07 09:35:30:561,128014,0.4,129053,0.6,255791,0.4,341121,1.75 14,1,2024-09-07 09:35:31:561,886188,886188,0,0,416312346708,4310690464,879283,6177,728,364,391673,0 14,2,2024-09-07 09:35:30:763,635538,635508,30,0,27758829,0,6104 14,3,2024-09-07 09:35:31:120,1,503,0,0,1168,5826,503,0 15,0,2024-09-07 09:35:31:566,127128,0.5,126612,0.7,254194,0.4,338356,2.00 15,1,2024-09-07 09:35:31:608,883440,883440,0,0,415295371103,4328509373,875711,6244,1485,381,391619,0 15,2,2024-09-07 09:35:31:000,641053,641053,0,0,23353023,0,3622 15,3,2024-09-07 09:35:31:411,1,503,1,0,1126,7279,503,0 16,0,2024-09-07 09:35:31:025,125805,0.5,126406,0.7,251906,0.5,335388,2.00 16,1,2024-09-07 09:35:30:584,883570,883570,0,0,414145041749,4333408552,875173,7099,1298,370,392194,0 16,2,2024-09-07 09:35:31:455,636838,636838,0,0,27518688,0,4719 16,3,2024-09-07 09:35:31:163,1,503,2,0,358,6503,503,0 17,0,2024-09-07 09:35:31:832,128338,0.6,125094,0.7,245022,0.6,332727,2.00 17,1,2024-09-07 09:35:30:589,881245,881245,0,0,413757249146,4343788608,871992,7506,1747,368,392075,0 17,2,2024-09-07 09:35:31:675,635479,635478,1,0,28142405,0,5050 17,3,2024-09-07 09:35:30:591,1,503,4,0,518,7675,503,0 18,0,2024-09-07 09:35:30:948,124616,0.7,125449,0.8,249906,0.7,333834,2.25 18,1,2024-09-07 09:35:31:651,885470,885470,0,0,415602388726,4313777891,879367,5108,995,367,391725,0 18,2,2024-09-07 09:35:31:755,636351,636351,0,0,25009874,0,3541 18,3,2024-09-07 09:35:30:899,1,503,0,0,1059,4874,503,0 19,0,2024-09-07 09:35:31:542,128969,0.6,129366,0.8,257085,0.7,343303,2.25 19,1,2024-09-07 09:35:30:586,884682,884682,0,0,415321104314,4309765223,877695,5903,1084,367,391777,0 19,2,2024-09-07 09:35:31:757,641986,641986,0,0,23585710,0,3988 19,3,2024-09-07 09:35:31:134,1,503,3,0,524,4134,503,0 20,0,2024-09-07 09:35:31:365,124296,0.5,124550,0.7,248518,0.5,331989,2.00 20,1,2024-09-07 09:35:30:586,882229,882229,0,0,414592849847,4344907297,873097,7770,1362,369,391922,0 20,2,2024-09-07 09:35:30:945,636690,636690,0,0,28342603,0,3721 20,3,2024-09-07 09:35:30:596,1,503,0,0,468,8826,503,0 21,0,2024-09-07 09:35:31:165,123957,0.4,124089,0.6,248125,0.4,329107,1.75 21,1,2024-09-07 09:35:31:544,880362,880362,0,0,413569107493,4367180701,866223,11022,3117,368,392016,0 21,2,2024-09-07 09:35:31:068,631815,631795,20,0,33296352,0,5617 21,3,2024-09-07 09:35:31:414,1,503,1,0,713,6666,503,0 22,0,2024-09-07 09:35:31:725,124890,0.5,125275,0.6,250165,0.4,331805,2.00 22,1,2024-09-07 09:35:31:038,881102,881102,0,0,413479874072,4356102532,865968,11909,3225,382,391822,0 22,2,2024-09-07 09:35:30:760,631664,631638,26,0,27864389,0,6328 22,3,2024-09-07 09:35:31:066,1,503,1,0,228,4204,503,0 23,0,2024-09-07 09:35:31:380,129036,0.5,128547,0.7,257437,0.5,343553,2.25 23,1,2024-09-07 09:35:31:007,882078,882078,0,0,414554309239,4354502434,866422,11248,4408,365,391690,0 23,2,2024-09-07 09:35:31:092,639016,639016,0,0,26606093,0,3773 23,3,2024-09-07 09:35:31:759,1,503,1,0,720,6744,503,0 24,0,2024-09-07 09:35:30:813,125461,0.4,124590,0.6,250468,0.4,332470,1.75 24,1,2024-09-07 09:35:30:593,881021,881021,0,0,413259971073,4329778214,872075,7274,1672,367,392269,0 24,2,2024-09-07 09:35:31:068,637464,637464,0,0,31621367,0,4438 24,3,2024-09-07 09:35:31:686,1,503,2,0,468,6490,503,0 25,0,2024-09-07 09:35:31:354,128480,0.4,125182,0.6,245540,0.4,334111,1.75 25,1,2024-09-07 09:35:30:584,880058,880058,0,0,413661751835,4366020519,865186,11903,2969,369,391928,0 25,2,2024-09-07 09:35:31:607,634991,634991,0,0,32737105,0,3978 25,3,2024-09-07 09:35:31:009,1,503,7,0,532,5604,503,0 26,0,2024-09-07 09:35:31:732,123858,0.4,121176,0.6,254143,0.3,330509,1.75 26,1,2024-09-07 09:35:31:544,883056,883056,0,0,413740227802,4335582551,870227,10377,2452,380,391748,0 26,2,2024-09-07 09:35:30:864,632012,632012,0,0,34477682,0,4689 26,3,2024-09-07 09:35:31:713,1,503,1,0,796,5687,503,0 27,0,2024-09-07 09:35:31:724,128872,0.5,129092,0.6,256723,0.4,343215,2.25 27,1,2024-09-07 09:35:31:677,884060,884060,0,0,415890413722,4333632354,875511,7281,1268,381,391626,0 27,2,2024-09-07 09:35:30:877,633774,633709,65,0,30179675,0,5699 27,3,2024-09-07 09:35:31:018,1,503,0,0,564,4489,503,0 28,0,2024-09-07 09:35:31:458,126645,0.4,126196,0.6,253306,0.4,337211,2.00 28,1,2024-09-07 09:35:30:804,884143,884143,0,0,415506433229,4335049518,876373,6056,1714,382,391698,0 28,2,2024-09-07 09:35:31:776,639024,639024,0,0,26753657,0,2915 28,3,2024-09-07 09:35:31:790,1,503,8,0,502,5181,503,0 29,0,2024-09-07 09:35:31:357,129463,0.4,126149,0.6,247352,0.3,337168,1.75 29,1,2024-09-07 09:35:31:561,886427,886427,0,0,415772608080,4303589907,880873,4792,762,368,391809,0 29,2,2024-09-07 09:35:30:865,636488,636488,0,0,26077703,0,4986 29,3,2024-09-07 09:35:30:965,1,503,1,0,459,5676,503,0 30,0,2024-09-07 09:35:31:465,124432,0.5,120920,0.7,253308,0.4,331099,2.00 30,1,2024-09-07 09:35:30:578,885514,885514,0,0,415789519464,4318312381,878541,6071,902,380,391672,0 30,2,2024-09-07 09:35:31:277,633375,633375,0,0,25021615,0,4192 30,3,2024-09-07 09:35:30:584,1,503,10,0,519,4963,503,0 31,0,2024-09-07 09:35:31:762,126643,0.5,126881,0.7,253559,0.4,339067,2.00 31,1,2024-09-07 09:35:30:586,888335,888335,0,0,417642052198,4290439913,883013,4311,1011,356,391712,0 31,2,2024-09-07 09:35:31:289,633673,633673,0,0,27660194,0,4470 31,3,2024-09-07 09:35:31:705,1,503,53,0,220,4268,503,0 32,0,2024-09-07 09:35:31:479,129524,0.4,130201,0.5,259193,0.3,345179,1.75 32,1,2024-09-07 09:35:30:807,884740,884740,0,0,416429248116,4331059267,878943,5090,707,381,391646,0 32,2,2024-09-07 09:35:30:939,640394,640394,0,0,24082113,0,3922 32,3,2024-09-07 09:35:31:025,1,503,31,0,304,4204,503,0 33,0,2024-09-07 09:35:31:494,125791,0.3,125408,0.4,251036,0.2,334432,1.50 33,1,2024-09-07 09:35:30:578,885662,885662,0,0,415706893994,4309571695,878438,6052,1172,368,391730,0 33,2,2024-09-07 09:35:30:759,638599,638564,35,0,26742905,0,7012 33,3,2024-09-07 09:35:30:895,1,503,1,0,329,4834,503,0 34,0,2024-09-07 09:35:30:931,124155,0.3,127602,0.4,243782,0.2,328526,1.75 34,1,2024-09-07 09:35:31:047,887352,887352,0,0,417000614759,4290752715,884844,2486,22,367,391562,0 34,2,2024-09-07 09:35:30:765,635413,635413,0,0,25269523,0,4562 34,3,2024-09-07 09:35:31:688,1,503,1,0,320,3975,503,0 35,0,2024-09-07 09:35:30:903,124456,0.3,125233,0.5,251485,0.3,334856,1.75 35,1,2024-09-07 09:35:31:068,884672,884672,0,0,415619732457,4314749215,878019,5440,1213,382,391769,0 35,2,2024-09-07 09:35:31:585,633088,633088,0,0,27651861,0,4055 35,3,2024-09-07 09:35:30:910,1,503,6,0,418,4845,503,0 36,0,2024-09-07 09:35:31:537,129865,0.5,129814,0.7,259652,0.5,345635,2.25 36,1,2024-09-07 09:35:30:586,883380,883380,0,0,413870911270,4327139938,871105,10056,2219,366,391759,0 36,2,2024-09-07 09:35:31:760,639566,639566,0,0,28992166,0,3875 36,3,2024-09-07 09:35:30:873,1,503,1,0,416,7128,503,0 37,0,2024-09-07 09:35:31:383,123378,0.5,123308,0.7,247023,0.5,329598,2.25 37,1,2024-09-07 09:35:30:594,882317,882310,0,7,414409143038,4330692314,871240,8397,2673,365,391770,0 37,2,2024-09-07 09:35:31:142,635477,635462,15,0,29372058,0,5815 37,3,2024-09-07 09:35:31:767,1,503,3,0,888,7208,503,0 38,0,2024-09-07 09:35:31:438,123653,0.4,119974,0.6,251052,0.4,328730,2.00 38,1,2024-09-07 09:35:31:611,884048,884048,0,0,415229138517,4344668972,870824,10705,2519,368,391821,0 38,2,2024-09-07 09:35:30:766,634633,634586,47,0,29575547,0,6710 38,3,2024-09-07 09:35:31:000,1,503,4,0,689,6543,503,0 39,0,2024-09-07 09:35:31:764,127713,0.5,124887,0.7,243448,0.5,332527,2.00 39,1,2024-09-07 09:35:30:725,881993,881993,0,0,413353691722,4341102933,866229,12295,3469,365,391658,0 39,2,2024-09-07 09:35:31:421,633519,633519,0,0,27140894,0,3391 39,3,2024-09-07 09:35:30:713,1,503,1,0,525,5948,503,0 40,0,2024-09-07 09:35:31:504,127701,0.9,128442,1.0,256374,1.0,342351,2.75 40,1,2024-09-07 09:35:30:591,884353,884353,0,0,414006211353,4333493367,872341,9834,2178,368,391668,0 40,2,2024-09-07 09:35:31:303,635792,635791,1,0,32662637,0,5137 40,3,2024-09-07 09:35:31:150,1,503,1,0,1028,7278,503,0 41,0,2024-09-07 09:35:31:033,124335,1.4,126952,1.2,242453,2.0,329794,3.25 41,1,2024-09-07 09:35:30:768,881904,881904,0,0,413779354853,4333176476,870038,9933,1933,369,391742,0 41,2,2024-09-07 09:35:30:765,635570,635569,1,0,31413752,0,5408 41,3,2024-09-07 09:35:31:683,1,503,1,0,366,5317,503,0 42,0,2024-09-07 09:35:31:487,125043,0.8,124710,1.0,249746,0.9,330645,2.50 42,1,2024-09-07 09:35:31:438,879810,879810,0,0,413715067498,4354083038,864802,12100,2908,380,391675,0 42,2,2024-09-07 09:35:31:134,635923,635923,0,0,30212956,0,3975 42,3,2024-09-07 09:35:31:018,1,503,0,0,892,4665,503,0 43,0,2024-09-07 09:35:30:930,123624,0.7,120423,0.9,252020,0.7,329948,2.25 43,1,2024-09-07 09:35:30:586,882806,882806,0,0,414938003551,4339048817,869941,10606,2259,366,391696,0 43,2,2024-09-07 09:35:31:742,632945,632945,0,0,30008792,0,4723 43,3,2024-09-07 09:35:31:755,1,503,0,0,571,7208,503,0 44,0,2024-09-07 09:35:30:918,128107,0.4,128255,0.6,256794,0.4,341554,2.00 44,1,2024-09-07 09:35:30:569,885376,885376,0,0,415594384136,4297968679,877583,6206,1587,356,391809,0 44,2,2024-09-07 09:35:31:268,633552,633552,0,0,24957699,0,4344 44,3,2024-09-07 09:35:31:092,1,503,26,0,817,5544,503,0 45,0,2024-09-07 09:35:31:832,125892,0.5,122948,0.8,257952,0.5,338574,2.00 45,1,2024-09-07 09:35:31:023,884259,884259,0,0,416078650471,4327609369,876750,6633,876,382,391917,0 45,2,2024-09-07 09:35:31:267,640525,640525,0,0,25336975,0,3596 45,3,2024-09-07 09:35:30:938,1,503,5,0,271,4523,503,0 46,0,2024-09-07 09:35:30:953,125308,0.5,124801,0.7,250455,0.4,332603,2.00 46,1,2024-09-07 09:35:30:592,886157,886157,0,0,415861491119,4300747365,879760,5534,863,366,391709,0 46,2,2024-09-07 09:35:30:596,637862,637862,0,0,25562257,0,4443 46,3,2024-09-07 09:35:31:132,1,503,4,0,908,5942,503,0 47,0,2024-09-07 09:35:31:111,124664,0.4,124852,0.6,250221,0.3,331694,1.75 47,1,2024-09-07 09:35:30:573,886632,886632,0,0,416798881900,4307634045,881407,4386,839,366,391641,0 47,2,2024-09-07 09:35:30:914,635922,635922,0,0,25329102,0,4477 47,3,2024-09-07 09:35:31:120,1,503,1,0,600,5826,503,0 48,0,2024-09-07 09:35:31:517,126453,0.3,126494,0.4,251901,0.2,335851,1.50 48,1,2024-09-07 09:35:31:022,884691,884691,0,0,415477559375,4319213514,878906,5213,572,384,391710,0 48,2,2024-09-07 09:35:30:715,634642,634642,0,0,23232340,0,3411 48,3,2024-09-07 09:35:30:755,1,503,5,0,339,4060,503,0 49,0,2024-09-07 09:35:31:718,132857,0.4,130481,0.5,253499,0.3,347308,1.75 49,1,2024-09-07 09:35:31:037,884294,884294,0,0,415872687584,4325484442,878731,4352,1211,382,391809,0 49,2,2024-09-07 09:35:31:806,640533,640533,0,0,25817310,0,4426 49,3,2024-09-07 09:35:31:427,1,503,21,0,992,5827,503,0 50,0,2024-09-07 09:35:31:519,125159,0.3,123435,0.5,248764,0.2,331790,1.75 50,1,2024-09-07 09:35:31:019,887127,887127,0,0,416888466495,4312879793,881183,5277,667,368,391565,0 50,2,2024-09-07 09:35:31:067,637155,637155,0,0,23742450,0,4490 50,3,2024-09-07 09:35:31:292,1,503,9,0,617,5418,503,0 51,0,2024-09-07 09:35:31:686,127163,0.3,124513,0.4,242580,0.2,330536,1.75 51,1,2024-09-07 09:35:31:683,887652,887652,0,0,416926799343,4301246665,882890,3708,1054,365,391706,0 51,2,2024-09-07 09:35:31:315,635678,635678,0,0,22986073,0,3337 51,3,2024-09-07 09:35:31:038,1,503,8,0,678,3755,503,0 52,0,2024-09-07 09:35:31:421,125393,0.5,125042,0.7,250357,0.5,332672,2.00 52,1,2024-09-07 09:35:30:575,883498,883498,0,0,415017603743,4348093342,870274,11119,2105,368,391722,0 52,2,2024-09-07 09:35:31:760,629998,629960,38,0,30305556,0,6742 52,3,2024-09-07 09:35:30:686,1,503,1,0,1782,6618,503,0 53,0,2024-09-07 09:35:31:730,128588,0.7,124853,0.8,261262,0.8,343120,2.50 53,1,2024-09-07 09:35:30:776,881504,881504,0,0,414421260933,4353421887,866152,11508,3844,367,391968,0 53,2,2024-09-07 09:35:31:297,638411,638410,1,0,27225549,0,5455 53,3,2024-09-07 09:35:30:702,1,503,3,0,308,5121,503,0 54,0,2024-09-07 09:35:31:620,122429,0.6,122845,0.8,244531,0.5,326269,2.25 54,1,2024-09-07 09:35:30:585,883582,883582,0,0,415155219662,4322198900,873518,8430,1634,366,391810,0 54,2,2024-09-07 09:35:30:864,637889,637857,32,0,31102172,0,6397 54,3,2024-09-07 09:35:30:762,1,503,1,0,676,6910,503,0 55,0,2024-09-07 09:35:31:771,120708,0.6,124546,0.7,252234,0.5,328378,2.50 55,1,2024-09-07 09:35:30:764,884880,884880,0,0,415164516674,4319871383,875237,8324,1319,365,391731,0 55,2,2024-09-07 09:35:30:729,635684,635628,56,0,29490338,0,7239 55,3,2024-09-07 09:35:30:679,1,503,1,0,304,4813,503,0 56,0,2024-09-07 09:35:31:594,126954,1.1,119593,1.1,246556,1.5,329879,2.75 56,1,2024-09-07 09:35:30:572,879493,879493,0,0,413335021572,4368682689,865181,11400,2912,381,391867,0 56,2,2024-09-07 09:35:31:307,632297,632175,122,0,30640968,0,7432 56,3,2024-09-07 09:35:31:074,1,503,5,0,705,6183,503,0 57,0,2024-09-07 09:35:30:955,126672,1.7,126730,1.3,253752,2.3,339603,3.50 57,1,2024-09-07 09:35:30:997,881152,881152,0,0,413474300962,4342218140,868985,9884,2283,366,392032,0 57,2,2024-09-07 09:35:31:320,635890,635890,0,0,31551349,0,4317 57,3,2024-09-07 09:35:31:740,1,503,0,0,455,5749,503,0 58,0,2024-09-07 09:35:30:575,124385,1.0,120738,1.0,252654,1.3,330623,2.75 58,1,2024-09-07 09:35:30:576,882612,882609,0,3,414973650150,4352583941,868825,10465,3319,367,391603,3 58,2,2024-09-07 09:35:31:074,638393,638393,0,0,29942921,0,3483 58,3,2024-09-07 09:35:31:069,1,503,0,0,1043,5618,503,0 59,0,2024-09-07 09:35:31:739,125389,0.8,125273,1.0,249748,0.9,331298,2.75 59,1,2024-09-07 09:35:30:804,881351,881351,0,0,414419797972,4355984381,866612,11600,3139,369,391653,0 59,2,2024-09-07 09:35:30:584,636436,636436,0,0,28695378,0,3727 59,3,2024-09-07 09:35:31:736,1,503,0,0,1015,6417,503,0 60,0,2024-09-07 09:35:31:736,124616,0.5,124942,0.7,249964,0.5,332011,1.75 60,1,2024-09-07 09:35:30:781,885689,885689,0,0,415938879035,4319332378,879516,5241,932,370,392031,0 60,2,2024-09-07 09:35:31:145,632868,632868,0,0,27277461,0,3811 60,3,2024-09-07 09:35:31:257,1,503,1,0,409,6063,503,0 61,0,2024-09-07 09:35:31:524,126701,0.8,127286,0.8,253356,0.8,338766,2.00 61,1,2024-09-07 09:35:30:777,882546,882546,0,0,414147631661,4340539584,872678,8288,1580,382,392127,0 61,2,2024-09-07 09:35:31:115,634566,634499,67,0,28217520,0,6411 61,3,2024-09-07 09:35:31:687,1,503,4,0,607,7427,503,0 62,0,2024-09-07 09:35:31:709,129772,0.7,133071,0.8,254074,0.7,344980,2.00 62,1,2024-09-07 09:35:31:121,887649,887643,0,6,417046973779,4300259626,883493,3870,280,365,391975,6 62,2,2024-09-07 09:35:31:644,637473,637472,1,0,27585674,0,5555 62,3,2024-09-07 09:35:31:148,1,503,43,0,482,4183,503,0 63,0,2024-09-07 09:35:31:454,125722,0.4,125424,0.6,251869,0.4,334817,1.75 63,1,2024-09-07 09:35:30:814,885201,885195,0,6,415652348357,4317446620,879448,4996,751,381,391800,6 63,2,2024-09-07 09:35:30:761,637374,637374,0,0,26625106,0,4369 63,3,2024-09-07 09:35:31:731,1,503,1,0,667,5529,503,0 64,0,2024-09-07 09:35:31:518,123471,0.5,123508,0.6,246558,0.4,327745,2.00 64,1,2024-09-07 09:35:30:756,884705,884705,0,0,415690106690,4331625587,876821,6114,1770,370,391794,0 64,2,2024-09-07 09:35:31:142,638153,638134,19,0,25504494,0,6121 64,3,2024-09-07 09:35:31:140,1,503,1,0,651,5405,503,0 65,0,2024-09-07 09:35:31:705,124358,0.6,124704,0.8,248661,0.7,332043,2.00 65,1,2024-09-07 09:35:30:864,882604,882604,0,0,414241038931,4332520941,875862,5946,796,381,391901,0 65,2,2024-09-07 09:35:31:706,633493,633493,0,0,29824586,0,3367 65,3,2024-09-07 09:35:31:685,1,503,7,0,782,6094,503,0 66,0,2024-09-07 09:35:31:771,129101,0.6,128673,0.8,257494,0.5,342932,2.25 66,1,2024-09-07 09:35:31:307,884565,884565,0,0,415721577147,4329910390,878418,5425,722,380,391743,0 66,2,2024-09-07 09:35:31:135,641110,641107,3,0,27289681,0,5455 66,3,2024-09-07 09:35:31:079,1,503,1,0,291,4486,503,0 67,0,2024-09-07 09:35:31:414,123759,0.5,123450,0.7,247787,0.5,329780,2.00 67,1,2024-09-07 09:35:30:766,884611,884610,0,1,415790914543,4331049188,878354,5443,813,380,391787,1 67,2,2024-09-07 09:35:30:586,639674,639659,15,0,26413931,0,6205 67,3,2024-09-07 09:35:31:756,1,503,1,0,392,4862,503,0 68,0,2024-09-07 09:35:30:575,124512,0.5,124485,0.7,247867,0.5,331139,2.00 68,1,2024-09-07 09:35:30:574,881143,881143,0,0,413623658489,4352972216,868923,8821,3399,381,391953,0 68,2,2024-09-07 09:35:31:047,632264,632164,100,0,33911180,0,8578 68,3,2024-09-07 09:35:30:734,1,503,3,0,417,6539,503,0 69,0,2024-09-07 09:35:31:824,124065,0.7,124885,0.8,248796,0.7,330665,2.25 69,1,2024-09-07 09:35:31:032,880116,880116,0,0,412624067632,4351994035,868033,9324,2759,384,391994,0 69,2,2024-09-07 09:35:31:744,631715,631686,29,0,34606587,0,6912 69,3,2024-09-07 09:35:30:767,1,503,7,0,698,7693,503,0 70,0,2024-09-07 09:35:31:549,127606,1.0,128060,1.0,257369,0.9,341211,2.50 70,1,2024-09-07 09:35:30:800,885309,885309,0,0,416240345223,4321747116,878058,6489,762,366,391725,0 70,2,2024-09-07 09:35:31:328,637222,637222,0,0,29341036,0,4323 70,3,2024-09-07 09:35:30:746,1,503,1,0,854,5668,503,0 71,0,2024-09-07 09:35:31:385,123674,1.2,123399,1.1,248080,1.7,330912,3.00 71,1,2024-09-07 09:35:31:607,882914,882914,0,0,415186487965,4337840255,871524,10135,1255,368,391738,0 71,2,2024-09-07 09:35:31:067,637183,637183,0,0,30108114,0,4352 71,3,2024-09-07 09:35:31:756,1,503,1,0,644,6073,503,0 72,0,2024-09-07 09:35:31:060,129770,0.5,126852,0.7,247512,0.5,336143,2.00 72,1,2024-09-07 09:35:31:032,881312,881312,0,0,413969537379,4347716960,867935,11096,2281,369,391819,0 72,2,2024-09-07 09:35:31:763,635510,635510,0,0,31426959,0,3983 72,3,2024-09-07 09:35:31:754,1,503,1,0,564,7365,503,0 73,0,2024-09-07 09:35:31:130,121582,0.4,124843,0.6,254798,0.4,330927,2.00 73,1,2024-09-07 09:35:30:783,884419,884419,0,0,415528094012,4317115836,878193,5801,425,367,391858,0 73,2,2024-09-07 09:35:31:742,632036,632036,0,0,31806337,0,4728 73,3,2024-09-07 09:35:30:979,1,503,10,0,486,6396,503,0 74,0,2024-09-07 09:35:31:321,128986,0.5,131982,0.7,251790,0.5,341969,2.25 74,1,2024-09-07 09:35:30:645,882761,882761,0,0,414118732173,4328369146,872316,8522,1923,381,391762,0 74,2,2024-09-07 09:35:31:008,634452,634452,0,0,27766579,0,4253 74,3,2024-09-07 09:35:31:456,1,503,35,0,522,6181,503,0 75,0,2024-09-07 09:35:31:769,127747,0.6,126774,0.8,254802,0.6,340010,2.25 75,1,2024-09-07 09:35:31:596,883886,883886,0,0,414769042985,4327284105,876003,7023,860,380,391739,0 75,2,2024-09-07 09:35:31:350,637889,637889,0,0,33229107,0,4766 75,3,2024-09-07 09:35:31:067,1,503,0,0,702,6097,503,0 76,0,2024-09-07 09:35:30:670,125300,0.5,124610,0.7,249778,0.5,334124,2.25 76,1,2024-09-07 09:35:30:824,882687,882687,0,0,414480283607,4326338664,876068,5653,966,382,391692,0 76,2,2024-09-07 09:35:31:069,639512,639509,3,0,28249302,0,5265 76,3,2024-09-07 09:35:31:144,1,503,2,0,227,4800,503,0 77,0,2024-09-07 09:35:31:700,124048,0.5,124467,0.7,249103,0.5,330711,2.00 77,1,2024-09-07 09:35:30:832,883850,883850,0,0,415369643019,4335085998,877023,6235,592,381,391869,0 77,2,2024-09-07 09:35:31:297,632904,632904,0,0,27709084,0,3890 77,3,2024-09-07 09:35:31:103,1,503,6,0,401,5462,503,0 78,0,2024-09-07 09:35:31:724,126147,0.4,125667,0.6,252236,0.4,334359,2.00 78,1,2024-09-07 09:35:30:627,883304,883304,0,0,414088533228,4322182662,872540,8239,2525,367,391670,0 78,2,2024-09-07 09:35:31:408,635190,635177,13,0,25800117,0,8313 78,3,2024-09-07 09:35:31:133,1,503,1,0,311,4484,503,0 79,0,2024-09-07 09:35:31:348,125035,0.4,128147,0.6,262332,0.4,342164,2.25 79,1,2024-09-07 09:35:30:574,886134,886134,0,0,415464338800,4308281367,878403,6374,1357,367,391682,0 79,2,2024-09-07 09:35:31:070,639747,639747,0,0,24860691,0,4195 79,3,2024-09-07 09:35:30:749,1,503,9,0,418,6234,503,0 80,0,2024-09-07 09:35:31:086,124257,0.5,127621,0.7,244519,0.5,331127,2.00 80,1,2024-09-07 09:35:31:633,883372,883372,0,0,415982854887,4331807975,877558,5390,424,368,392269,0 80,2,2024-09-07 09:35:31:099,639132,639132,0,0,25296246,0,4433 80,3,2024-09-07 09:35:30:575,1,503,1,0,296,6053,503,0 81,0,2024-09-07 09:35:31:558,124084,0.5,126993,0.7,242254,0.5,329168,2.00 81,1,2024-09-07 09:35:31:657,882420,882420,0,0,414260700997,4333206906,875025,6757,638,382,391885,0 81,2,2024-09-07 09:35:31:133,634415,634352,63,0,28316690,0,5932 81,3,2024-09-07 09:35:31:120,1,503,3,0,719,5831,503,0 82,0,2024-09-07 09:35:31:569,124684,0.5,124750,0.7,250059,0.4,331925,2.00 82,1,2024-09-07 09:35:30:585,884742,884738,0,4,415639954359,4323519899,879660,4258,820,381,391768,4 82,2,2024-09-07 09:35:31:690,634971,634971,0,0,23514985,0,4484 82,3,2024-09-07 09:35:31:756,1,503,1,0,363,4925,503,0 83,0,2024-09-07 09:35:31:546,129342,0.6,129247,0.8,257943,0.7,343370,2.25 83,1,2024-09-07 09:35:30:558,883619,883619,0,0,415344347892,4332120118,877267,5900,452,382,391709,0 83,2,2024-09-07 09:35:30:763,638295,638270,25,0,25563861,0,5612 83,3,2024-09-07 09:35:30:749,1,503,9,0,1260,6436,503,0 84,0,2024-09-07 09:35:31:815,123333,0.8,123471,0.9,246566,0.7,329650,2.25 84,1,2024-09-07 09:35:31:048,882167,882167,0,0,414187871719,4333451710,871979,8667,1521,367,391967,0 84,2,2024-09-07 09:35:30:579,636786,636756,30,0,33601542,0,5971 84,3,2024-09-07 09:35:31:150,1,503,5,0,908,7269,503,0 85,0,2024-09-07 09:35:31:023,120274,0.6,120214,0.8,255166,0.5,329944,2.25 85,1,2024-09-07 09:35:30:570,879281,879281,0,0,413373208191,4369413409,865032,11595,2654,381,392092,0 85,2,2024-09-07 09:35:30:878,635094,635094,0,0,31347094,0,4255 85,3,2024-09-07 09:35:30:687,1,503,6,0,789,5829,503,0 86,0,2024-09-07 09:35:30:899,124111,0.6,127647,0.8,244134,0.6,330243,2.25 86,1,2024-09-07 09:35:30:823,882110,882110,0,0,414371432857,4345129850,870830,9307,1973,366,391995,0 86,2,2024-09-07 09:35:30:858,630498,630497,1,0,33670843,0,5004 86,3,2024-09-07 09:35:30:591,1,503,2,0,308,7414,503,0 87,0,2024-09-07 09:35:31:307,128515,0.9,128272,0.9,256527,1.3,343477,2.25 87,1,2024-09-07 09:35:30:559,881088,881088,0,0,413457032384,4336759153,868900,10475,1713,366,392076,0 87,2,2024-09-07 09:35:31:085,635409,635403,6,0,29531968,0,6323 87,3,2024-09-07 09:35:31:803,1,503,10,0,473,7578,503,0 88,0,2024-09-07 09:35:31:469,126141,0.5,126754,0.6,253248,0.5,336772,2.00 88,1,2024-09-07 09:35:30:570,880515,880515,0,0,414034303288,4340536970,867900,10001,2614,365,392084,0 88,2,2024-09-07 09:35:30:693,638790,638790,0,0,32103235,0,4465 88,3,2024-09-07 09:35:31:275,1,503,43,0,435,6494,503,0 89,0,2024-09-07 09:35:31:817,129611,0.4,125650,0.6,248303,0.4,337176,1.75 89,1,2024-09-07 09:35:30:574,880811,880811,0,0,414214367427,4360330488,869415,9581,1815,382,391866,0 89,2,2024-09-07 09:35:31:149,636163,636163,0,0,30494568,0,3173 89,3,2024-09-07 09:35:31:798,1,503,5,0,468,8389,503,0 90,0,2024-09-07 09:35:31:625,121043,0.4,124484,0.6,253610,0.4,330932,1.75 90,1,2024-09-07 09:35:30:592,882400,882400,0,0,413856080422,4334955602,873903,7765,732,380,391825,0 90,2,2024-09-07 09:35:31:414,630562,630562,0,0,32617534,0,3608 90,3,2024-09-07 09:35:30:931,1,503,0,0,322,6189,503,0 91,0,2024-09-07 09:35:30:943,127293,0.5,123390,0.6,258100,0.5,339266,1.75 91,1,2024-09-07 09:35:30:558,880808,880808,0,0,414005832508,4356831392,869245,9554,2009,381,392047,0 91,2,2024-09-07 09:35:31:368,635107,635107,0,0,28675245,0,4713 91,3,2024-09-07 09:35:30:599,1,503,1,0,216,4858,503,0 92,0,2024-09-07 09:35:31:525,130821,0.5,133714,0.6,254924,0.5,345131,1.75 92,1,2024-09-07 09:35:30:596,882611,882611,0,0,414646957753,4335070135,875113,6294,1204,381,392136,0 92,2,2024-09-07 09:35:31:412,639766,639766,0,0,26054329,0,3259 92,3,2024-09-07 09:35:31:019,1,503,23,0,167,4330,503,0 93,0,2024-09-07 09:35:30:984,126077,0.4,129288,0.6,246821,0.4,334790,1.75 93,1,2024-09-07 09:35:30:806,883110,883110,0,0,414639201523,4332901754,872837,8540,1733,366,391776,0 93,2,2024-09-07 09:35:30:943,637150,637150,0,0,31724453,0,4913 93,3,2024-09-07 09:35:31:411,1,503,1,0,190,4651,503,0 94,0,2024-09-07 09:35:31:623,123555,0.3,124212,0.5,248316,0.3,329544,1.75 94,1,2024-09-07 09:35:30:584,883373,883373,0,0,415428406221,4335170978,877280,5720,373,381,391850,0 94,2,2024-09-07 09:35:30:773,634018,633990,28,0,27070407,0,6179 94,3,2024-09-07 09:35:31:690,1,503,3,0,576,6499,503,0 95,0,2024-09-07 09:35:31:351,125497,0.3,125153,0.5,250781,0.3,334799,1.75 95,1,2024-09-07 09:35:30:865,884563,884563,0,0,414778985638,4313979695,877217,6690,656,365,391786,0 95,2,2024-09-07 09:35:31:020,633344,633344,0,0,25814436,0,3308 95,3,2024-09-07 09:35:31:710,1,503,1,0,718,7994,503,0 96,0,2024-09-07 09:35:31:053,129784,0.4,129977,0.5,259813,0.3,345032,1.75 96,1,2024-09-07 09:35:31:594,883411,883411,0,0,414813003113,4328798933,877033,5466,912,384,391955,0 96,2,2024-09-07 09:35:31:275,639664,639664,0,0,26849606,0,4180 96,3,2024-09-07 09:35:31:141,1,503,1,0,411,5382,503,0 97,0,2024-09-07 09:35:31:361,123946,0.3,123630,0.5,248424,0.3,330153,1.75 97,1,2024-09-07 09:35:30:778,884732,884732,0,0,416211534414,4318951353,878993,4796,943,367,392140,0 97,2,2024-09-07 09:35:30:607,638428,638428,0,0,26278266,0,3679 97,3,2024-09-07 09:35:30:574,1,503,1,0,242,5960,503,0 98,0,2024-09-07 09:35:31:707,124025,0.3,124183,0.4,249054,0.2,330770,1.50 98,1,2024-09-07 09:35:30:589,884260,884260,0,0,414405533316,4317895633,878864,4598,798,381,391997,0 98,2,2024-09-07 09:35:30:771,636070,636070,0,0,25917933,0,4336 98,3,2024-09-07 09:35:30:709,1,503,4,0,840,7924,503,0 99,0,2024-09-07 09:35:31:469,124635,0.3,125262,0.5,249252,0.3,333030,1.75 99,1,2024-09-07 09:35:31:801,884459,884459,0,0,414510406139,4316754498,878507,5028,924,380,392069,0 99,2,2024-09-07 09:35:31:423,633862,633862,0,0,30947487,0,4276 99,3,2024-09-07 09:35:30:597,1,503,5,0,606,5193,503,0 100,0,2024-09-07 09:35:31:480,128740,0.9,129119,1.0,257499,1.1,344563,2.50 100,1,2024-09-07 09:35:30:559,879280,879280,0,0,412859311448,4368809979,865027,11155,3098,378,391989,0 100,2,2024-09-07 09:35:31:828,634642,634631,11,0,30348605,0,5417 100,3,2024-09-07 09:35:31:741,1,503,3,0,627,8951,503,0 101,0,2024-09-07 09:35:31:865,127584,1.4,124365,1.1,243618,1.5,333119,2.50 101,1,2024-09-07 09:35:30:561,880425,880425,0,0,413330636579,4346049884,868161,9864,2400,368,391847,0 101,2,2024-09-07 09:35:31:807,633780,633780,0,0,35364282,0,4871 101,3,2024-09-07 09:35:30:957,1,503,15,0,1250,7783,503,0 102,0,2024-09-07 09:35:30:983,122306,0.6,126029,0.7,255826,0.6,334401,2.00 102,1,2024-09-07 09:35:31:154,880629,880629,0,0,414291294579,4354657588,868441,10168,2020,369,391891,0 102,2,2024-09-07 09:35:31:752,636591,636537,54,0,29986294,0,6768 102,3,2024-09-07 09:35:31:614,1,503,1,0,466,6051,503,0 103,0,2024-09-07 09:35:31:595,128830,0.5,128814,0.7,242807,0.5,333413,2.00 103,1,2024-09-07 09:35:31:626,879368,879368,0,0,413551136772,4369108912,865336,10834,3198,381,391862,0 103,2,2024-09-07 09:35:30:586,631214,631214,0,0,31439955,0,3766 103,3,2024-09-07 09:35:30:757,1,503,4,0,916,6133,503,0 104,0,2024-09-07 09:35:31:008,127035,0.8,127232,1.0,253528,0.8,339816,2.50 104,1,2024-09-07 09:35:31:604,881940,881940,0,0,413507520581,4347296016,868904,10486,2550,365,392168,0 104,2,2024-09-07 09:35:31:670,632992,632992,0,0,30481442,0,3941 104,3,2024-09-07 09:35:31:424,1,503,11,0,1245,9081,503,0 105,0,2024-09-07 09:35:31:028,126058,1.0,122863,1.1,257638,1.3,338344,2.75 105,1,2024-09-07 09:35:30:596,883024,883024,0,0,414548473912,4346484044,871442,9816,1766,365,392009,0 105,2,2024-09-07 09:35:31:322,637947,637947,0,0,30793542,0,4360 105,3,2024-09-07 09:35:31:304,1,503,3,0,399,7302,503,0 106,0,2024-09-07 09:35:30:958,121469,0.7,124425,0.9,255025,0.8,332974,2.50 106,1,2024-09-07 09:35:31:753,882334,882334,0,0,413792816857,4345064898,869732,10956,1646,368,391914,0 106,2,2024-09-07 09:35:30:758,636050,636050,0,0,29106153,0,2920 106,3,2024-09-07 09:35:30:709,1,503,1,0,1224,7704,503,0 107,0,2024-09-07 09:35:31:250,124236,0.8,124386,0.8,248816,0.8,331786,2.00 107,1,2024-09-07 09:35:30:601,880330,880330,0,0,413865419375,4366523863,867434,11503,1393,381,392234,0 107,2,2024-09-07 09:35:31:321,631208,631207,1,0,31693604,0,5024 107,3,2024-09-07 09:35:31:772,1,503,0,0,733,7756,503,0 108,0,2024-09-07 09:35:31:816,125702,0.4,126525,0.6,251534,0.4,335879,1.75 108,1,2024-09-07 09:35:31:310,882723,882723,0,0,415744863258,4338829395,874838,6917,968,367,391857,0 108,2,2024-09-07 09:35:31:768,633454,633454,0,0,28501257,0,4246 108,3,2024-09-07 09:35:31:330,1,503,2,0,749,10190,503,0 109,0,2024-09-07 09:35:31:786,130137,0.4,129046,0.6,258659,0.4,346210,1.75 109,1,2024-09-07 09:35:30:593,880702,880702,0,0,414096687259,4347049288,872512,6977,1213,382,392132,0 109,2,2024-09-07 09:35:30:922,636735,636735,0,0,28464752,0,3617 109,3,2024-09-07 09:35:31:145,1,503,14,0,630,6391,503,0 110,0,2024-09-07 09:35:31:757,124403,0.4,121017,0.6,253536,0.3,332493,1.75 110,1,2024-09-07 09:35:31:650,884146,884146,0,0,415459626365,4318194558,876624,5602,1920,368,392045,0 110,2,2024-09-07 09:35:31:309,637770,637770,0,0,26947168,0,4067 110,3,2024-09-07 09:35:30:698,1,503,11,0,722,7142,503,0 111,0,2024-09-07 09:35:31:432,124378,0.3,123763,0.5,247819,0.3,330445,1.75 111,1,2024-09-07 09:35:31:005,885567,885567,0,0,416816760571,4323560292,881026,4184,357,380,391690,0 111,2,2024-09-07 09:35:31:121,634516,634516,0,0,27524438,0,4823 111,3,2024-09-07 09:35:30:915,1,503,1,0,379,5852,503,0 112,0,2024-09-07 09:35:30:957,125619,0.3,125033,0.4,250783,0.2,332884,1.50 112,1,2024-09-07 09:35:30:825,884495,884495,0,0,416039694004,4321389970,878601,5204,690,380,391624,0 112,2,2024-09-07 09:35:31:136,633033,633032,1,0,26006160,0,5036 112,3,2024-09-07 09:35:30:597,1,503,15,0,282,4936,503,0 113,0,2024-09-07 09:35:30:873,129522,0.3,129402,0.5,259217,0.3,345278,1.75 113,1,2024-09-07 09:35:31:686,887313,887313,0,0,416794750721,4303117696,882068,4432,813,365,391661,0 113,2,2024-09-07 09:35:31:304,640170,640170,0,0,23710805,0,3813 113,3,2024-09-07 09:35:30:686,1,503,17,0,340,5450,503,0 114,0,2024-09-07 09:35:30:872,124781,0.3,125673,0.5,249553,0.3,333594,1.75 114,1,2024-09-07 09:35:30:719,884554,884554,0,0,415404215513,4316597161,877654,5284,1616,381,391565,0 114,2,2024-09-07 09:35:30:873,639337,639336,1,0,25639636,0,5069 114,3,2024-09-07 09:35:31:290,1,503,1,0,395,4003,503,0 115,0,2024-09-07 09:35:30:558,125470,0.3,125920,0.4,251147,0.2,333474,1.50 115,1,2024-09-07 09:35:30:573,885060,885060,0,0,415867736569,4323922827,877662,6041,1357,382,391757,0 115,2,2024-09-07 09:35:31:133,639175,639175,0,0,25254371,0,4382 115,3,2024-09-07 09:35:31:006,1,503,9,0,167,2955,503,0 116,0,2024-09-07 09:35:31:763,123881,0.6,123783,0.8,248060,0.6,331388,2.00 116,1,2024-09-07 09:35:30:814,880764,880764,0,0,413678891630,4362470799,870126,8195,2443,380,392089,0 116,2,2024-09-07 09:35:31:783,631092,631092,0,0,31916434,0,4475 116,3,2024-09-07 09:35:30:925,1,503,2,0,415,6284,503,0 117,0,2024-09-07 09:35:31:009,128887,0.8,128241,0.8,257065,0.9,344052,2.00 117,1,2024-09-07 09:35:31:638,881203,881203,0,0,413910243798,4335520262,871232,8746,1225,369,392033,0 117,2,2024-09-07 09:35:31:121,637815,637815,0,0,27763209,0,4303 117,3,2024-09-07 09:35:31:073,1,503,5,0,490,7047,503,0 118,0,2024-09-07 09:35:31:763,122522,0.6,125880,0.7,256648,0.6,335308,2.00 118,1,2024-09-07 09:35:30:592,881120,881120,0,0,413593454575,4351277800,867628,10372,3120,366,392054,0 118,2,2024-09-07 09:35:31:586,637654,637654,0,0,30547608,0,2842 118,3,2024-09-07 09:35:31:767,1,503,0,0,248,5848,503,0 119,0,2024-09-07 09:35:31:331,125728,0.6,126107,0.8,251990,0.6,335329,2.00 119,1,2024-09-07 09:35:30:558,881687,881687,0,0,414926742967,4350076925,870032,9889,1766,367,391857,0 119,2,2024-09-07 09:35:31:272,636965,636965,0,0,28579032,0,4174 119,3,2024-09-07 09:35:31:328,1,503,8,0,1358,9698,503,0 120,0,2024-09-07 09:35:31:560,124133,0.6,123910,0.8,248804,0.6,331631,2.25 120,1,2024-09-07 09:35:30:945,882189,882189,0,0,414061845191,4342320162,872908,8502,779,368,392144,0 120,2,2024-09-07 09:35:30:770,631447,631446,1,0,32988794,0,5281 120,3,2024-09-07 09:35:31:300,1,503,9,0,279,6404,503,0 121,0,2024-09-07 09:35:31:736,126951,1.5,126313,1.1,253322,2.0,338376,2.50 121,1,2024-09-07 09:35:31:666,882741,882741,0,0,414318191241,4333417873,874021,8019,701,367,391840,0 121,2,2024-09-07 09:35:31:155,633233,633233,0,0,30146907,0,4157 121,3,2024-09-07 09:35:30:727,1,503,1,0,330,6138,503,0 122,0,2024-09-07 09:35:31:793,128773,0.9,125380,0.9,262671,1.0,345008,2.25 122,1,2024-09-07 09:35:30:863,880952,880952,0,0,414371462331,4349391173,868522,10546,1884,366,392130,0 122,2,2024-09-07 09:35:31:319,639437,639362,75,0,34618891,0,5989 122,3,2024-09-07 09:35:30:602,1,503,10,0,512,8683,503,0 123,0,2024-09-07 09:35:30:964,124840,0.8,121574,0.9,254459,1.0,333378,2.25 123,1,2024-09-07 09:35:30:567,881480,881480,0,0,414482405631,4363873052,866339,12638,2503,369,392039,0 123,2,2024-09-07 09:35:31:027,635316,635315,1,0,29382986,0,5215 123,3,2024-09-07 09:35:31:134,1,503,1,0,478,5988,503,0 124,0,2024-09-07 09:35:31:005,127474,0.3,127452,0.5,240246,0.3,330567,1.75 124,1,2024-09-07 09:35:31:039,884549,884549,0,0,414578233397,4312884844,877745,5673,1131,365,392178,0 124,2,2024-09-07 09:35:31:041,635089,635036,53,0,26813669,0,6487 124,3,2024-09-07 09:35:30:765,1,503,22,0,490,5375,503,0 125,0,2024-09-07 09:35:31:424,125248,0.4,124949,0.6,251089,0.4,334616,1.75 125,1,2024-09-07 09:35:30:869,883094,883094,0,0,414749075677,4331705460,876129,5983,982,382,391813,0 125,2,2024-09-07 09:35:31:116,635425,635425,0,0,26792884,0,4534 125,3,2024-09-07 09:35:31:144,1,503,1,0,709,6138,503,0 126,0,2024-09-07 09:35:31:541,129808,0.4,133455,0.6,255206,0.4,345570,1.75 126,1,2024-09-07 09:35:30:559,885523,885523,0,0,415359018645,4301961598,880858,4356,309,365,391987,0 126,2,2024-09-07 09:35:30:624,639670,639670,0,0,27682540,0,4539 126,3,2024-09-07 09:35:30:906,1,503,1,0,268,5711,503,0 127,0,2024-09-07 09:35:31:643,124154,0.3,124505,0.5,248379,0.3,330461,1.75 127,1,2024-09-07 09:35:30:585,884175,884175,0,0,414433806365,4316349365,874816,7855,1504,364,392187,0 127,2,2024-09-07 09:35:30:639,636333,636329,4,0,26378195,0,5305 127,3,2024-09-07 09:35:31:316,1,503,8,0,968,5154,503,0 128,0,2024-09-07 09:35:31:537,124800,0.3,124959,0.4,249530,0.2,331619,1.50 128,1,2024-09-07 09:35:31:611,883482,883482,0,0,415840284056,4322196715,877010,5739,733,367,392031,0 128,2,2024-09-07 09:35:31:405,637626,637626,0,0,24625384,0,3171 128,3,2024-09-07 09:35:30:777,1,503,21,0,1082,7994,503,0 129,0,2024-09-07 09:35:31:001,125674,0.3,125169,0.5,250765,0.3,333512,1.50 129,1,2024-09-07 09:35:30:595,881228,881228,0,0,414260786618,4344514811,872211,7073,1944,379,391962,0 129,2,2024-09-07 09:35:30:693,633879,633875,4,0,26810309,0,5335 129,3,2024-09-07 09:35:30:691,1,503,5,0,506,7251,503,0 130,0,2024-09-07 09:35:31:800,129800,0.5,129446,0.6,259789,0.5,345946,1.75 130,1,2024-09-07 09:35:30:591,884124,884124,0,0,414602624008,4309336566,879339,4397,388,381,391825,0 130,2,2024-09-07 09:35:31:157,637881,637881,0,0,26773687,0,4067 130,3,2024-09-07 09:35:31:299,1,503,7,0,960,7266,503,0 131,0,2024-09-07 09:35:31:936,125228,0.4,125674,0.5,251713,0.3,334051,1.75 131,1,2024-09-07 09:35:31:832,883754,883754,0,0,415446836576,4336501772,876882,5681,1191,381,391865,0 131,2,2024-09-07 09:35:30:587,639274,639274,0,0,24920703,0,3979 131,3,2024-09-07 09:35:31:694,1,503,8,0,392,6309,503,0 132,0,2024-09-07 09:35:31:427,125716,0.4,126851,0.6,252411,0.4,335542,2.00 132,1,2024-09-07 09:35:30:601,880374,880374,0,0,412576687859,4343365591,867939,10244,2191,381,392532,0 132,2,2024-09-07 09:35:30:717,636302,636285,17,0,32558206,0,6451 132,3,2024-09-07 09:35:31:688,1,503,1,0,804,8688,503,0 133,0,2024-09-07 09:35:31:562,121573,0.4,124471,0.6,255157,0.4,331522,1.75 133,1,2024-09-07 09:35:30:599,880225,880225,0,0,413232277279,4358629352,867729,10808,1688,383,391914,0 133,2,2024-09-07 09:35:31:093,632360,632310,50,0,32754103,0,6861 133,3,2024-09-07 09:35:31:318,1,503,10,0,479,5694,503,0 134,0,2024-09-07 09:35:30:983,128226,0.6,128072,0.7,256576,0.6,342172,2.25 134,1,2024-09-07 09:35:30:595,881543,881543,0,0,413810538811,4340416152,870185,9212,2146,366,391718,0 134,2,2024-09-07 09:35:31:767,633836,633812,24,0,30858372,0,6207 134,3,2024-09-07 09:35:30:749,1,503,1,0,739,6222,503,0 135,0,2024-09-07 09:35:31:151,123549,1.0,123552,0.9,262198,1.2,337707,2.50 135,1,2024-09-07 09:35:31:596,881137,881137,0,0,414314490662,4359486329,869509,10063,1565,380,391805,0 135,2,2024-09-07 09:35:30:739,639299,639299,0,0,31079738,0,4503 135,3,2024-09-07 09:35:31:006,1,503,1,0,900,5232,503,0 136,0,2024-09-07 09:35:31:620,126297,0.5,126496,0.7,251974,0.5,336075,2.00 136,1,2024-09-07 09:35:31:453,882831,882831,0,0,413455224464,4337272786,872468,9096,1267,381,392135,0 136,2,2024-09-07 09:35:31:134,638331,638316,15,0,30457373,0,6007 136,3,2024-09-07 09:35:31:116,1,503,4,0,637,6182,503,0 137,0,2024-09-07 09:35:30:925,128366,0.5,125039,0.7,245123,0.5,332998,2.00 137,1,2024-09-07 09:35:30:585,881141,881141,0,0,413812264771,4340472111,867719,10752,2670,366,391898,0 137,2,2024-09-07 09:35:31:703,631724,631724,0,0,31803701,0,3185 137,3,2024-09-07 09:35:30:778,1,503,1,0,484,6446,503,0 138,0,2024-09-07 09:35:31:750,125363,0.9,125503,0.9,251664,1.1,334436,2.25 138,1,2024-09-07 09:35:31:686,881635,881635,0,0,414311527336,4348432812,868904,10762,1969,368,391954,0 138,2,2024-09-07 09:35:30:593,633159,633159,0,0,29265372,0,4988 138,3,2024-09-07 09:35:30:618,1,503,3,0,1200,8217,503,0 139,0,2024-09-07 09:35:31:421,128355,1.3,128787,1.0,257858,1.9,344608,2.50 139,1,2024-09-07 09:35:30:576,877297,877297,0,0,412688129404,4380005866,861365,12473,3459,380,392058,0 139,2,2024-09-07 09:35:30:695,634942,634942,0,0,32905545,0,3097 139,3,2024-09-07 09:35:31:665,1,503,3,0,432,5885,503,0 140,0,2024-09-07 09:35:31:591,125156,0.3,124328,0.5,249350,0.2,332763,1.75 140,1,2024-09-07 09:35:31:538,886242,886242,0,0,416332429182,4294351863,881662,4042,538,364,391606,0 140,2,2024-09-07 09:35:30:694,638388,638387,1,0,25196465,0,5036 140,3,2024-09-07 09:35:30:768,1,503,6,0,297,4815,503,0 141,0,2024-09-07 09:35:31:700,124391,0.3,127541,0.4,243517,0.2,330184,1.50 141,1,2024-09-07 09:35:30:859,885226,885226,0,0,415964727498,4323281588,878122,6019,1085,379,391614,0 141,2,2024-09-07 09:35:31:685,635516,635505,11,0,26716503,0,5369 141,3,2024-09-07 09:35:31:047,1,503,1,0,391,5566,503,0 142,0,2024-09-07 09:35:31:322,125751,0.3,124818,0.5,250358,0.3,333603,1.75 142,1,2024-09-07 09:35:30:593,883478,883478,0,0,415410224386,4331817822,877827,5192,459,382,392102,0 142,2,2024-09-07 09:35:31:299,632640,632608,32,0,27226533,0,6028 142,3,2024-09-07 09:35:31:752,1,503,1,0,484,5376,503,0 143,0,2024-09-07 09:35:31:420,129454,0.5,129343,0.6,259554,0.4,345349,1.75 143,1,2024-09-07 09:35:30:561,885226,885226,0,0,416128446405,4320994485,879155,5515,556,367,391722,0 143,2,2024-09-07 09:35:30:775,639078,639078,0,0,26686583,0,3123 143,3,2024-09-07 09:35:31:141,1,503,4,0,462,6446,503,0 144,0,2024-09-07 09:35:31:522,120523,0.7,124024,0.8,252478,0.6,330586,2.25 144,1,2024-09-07 09:35:30:587,880848,880848,0,0,413268238923,4340703527,871464,7418,1966,381,391733,0 144,2,2024-09-07 09:35:31:754,638674,638674,0,0,25383377,0,3673 144,3,2024-09-07 09:35:31:739,1,503,5,0,249,4978,503,0 145,0,2024-09-07 09:35:31:384,120986,0.5,120951,0.8,256755,0.5,330198,2.25 145,1,2024-09-07 09:35:30:559,880128,880128,0,0,413695780882,4357948892,867775,9889,2464,382,391759,0 145,2,2024-09-07 09:35:31:431,634235,634153,82,0,31367658,0,7814 145,3,2024-09-07 09:35:30:902,1,503,2,0,622,6954,503,0 146,0,2024-09-07 09:35:31:623,124271,0.5,123819,0.7,248501,0.5,329737,2.00 146,1,2024-09-07 09:35:31:591,881452,881452,0,0,413949620698,4355251438,867032,10619,3801,367,391770,0 146,2,2024-09-07 09:35:31:703,630746,630740,6,0,29386808,0,5151 146,3,2024-09-07 09:35:31:296,1,503,2,0,1520,8766,503,0 147,0,2024-09-07 09:35:31:757,128775,0.6,128503,0.8,256516,0.6,343425,2.25 147,1,2024-09-07 09:35:31:406,884790,884790,0,0,415772070012,4322953415,877509,6402,879,367,391791,0 147,2,2024-09-07 09:35:31:010,635615,635615,0,0,26495408,0,2968 147,3,2024-09-07 09:35:30:915,1,503,1,0,1626,8425,503,0 0,0,2024-09-07 09:35:41:813,121355,0.6,121356,0.7,257613,0.6,332659,2.00 0,1,2024-09-07 09:35:40:801,883555,883555,0,0,414561259654,4344091001,876048,6667,840,369,391896,0 0,2,2024-09-07 09:35:41:072,635245,635245,0,0,26464892,0,4480 0,3,2024-09-07 09:35:40:976,1,504,20,0,431,7149,504,0 1,0,2024-09-07 09:35:41:765,127234,1.0,126604,1.0,254029,1.4,339899,2.00 1,1,2024-09-07 09:35:40:567,882848,882848,0,0,414498783142,4346369757,874142,7239,1467,370,391859,0 1,2,2024-09-07 09:35:40:660,635706,635706,0,0,25882956,0,3380 1,3,2024-09-07 09:35:41:325,1,504,21,0,269,6459,504,0 2,0,2024-09-07 09:35:41:573,129039,0.6,129047,0.8,257860,0.7,343734,2.00 2,1,2024-09-07 09:35:40:863,885851,885851,0,0,416287126053,4328262499,880916,4018,917,379,391745,0 2,2,2024-09-07 09:35:41:274,641591,641591,0,0,24732232,0,3594 2,3,2024-09-07 09:35:40:690,1,504,8,0,357,4655,504,0 3,0,2024-09-07 09:35:41:760,125555,0.4,125392,0.6,250417,0.4,334082,2.00 3,1,2024-09-07 09:35:41:619,884632,884632,0,0,415785235952,4331305913,877167,6763,702,379,391716,0 3,2,2024-09-07 09:35:41:141,639427,639404,23,0,25743203,0,5851 3,3,2024-09-07 09:35:41:755,1,504,5,0,207,3164,504,0 4,0,2024-09-07 09:35:41:817,120323,0.4,123615,0.5,252208,0.3,329879,1.75 4,1,2024-09-07 09:35:40:704,880955,880955,0,0,413187548227,4379935695,864729,12543,3683,370,391992,0 4,2,2024-09-07 09:35:41:024,631998,631998,0,0,31299320,0,4534 4,3,2024-09-07 09:35:41:042,1,504,4,0,448,6571,504,0 5,0,2024-09-07 09:35:41:418,125503,0.4,126053,0.6,251641,0.4,335231,1.75 5,1,2024-09-07 09:35:40:756,882288,882288,0,0,414805368443,4368607465,869577,10298,2413,367,392005,0 5,2,2024-09-07 09:35:41:831,632319,632319,0,0,30179774,0,3582 5,3,2024-09-07 09:35:41:739,1,504,1,0,457,6991,504,0 6,0,2024-09-07 09:35:40:978,129998,0.5,129430,0.7,259259,0.5,345700,2.00 6,1,2024-09-07 09:35:40:764,884505,884505,0,0,414648502793,4333634280,874987,8062,1456,379,391702,0 6,2,2024-09-07 09:35:41:123,640222,640204,18,0,29404230,0,5535 6,3,2024-09-07 09:35:41:274,1,504,1,0,710,6185,504,0 7,0,2024-09-07 09:35:41:531,123520,0.5,124427,0.7,247715,0.5,330064,2.00 7,1,2024-09-07 09:35:40:853,882610,882610,0,0,414578355853,4357409885,869689,10690,2231,382,391747,0 7,2,2024-09-07 09:35:40:770,638564,638564,0,0,28515533,0,4791 7,3,2024-09-07 09:35:40:852,1,504,5,0,552,5858,504,0 8,0,2024-09-07 09:35:41:428,124729,0.3,124433,0.5,249594,0.3,332008,1.75 8,1,2024-09-07 09:35:41:022,881423,881423,0,0,413623915089,4351167273,867247,11048,3128,366,392853,0 8,2,2024-09-07 09:35:40:794,632546,632544,2,0,34100398,0,5112 8,3,2024-09-07 09:35:40:593,1,504,0,0,538,7712,504,0 9,0,2024-09-07 09:35:41:132,125000,0.4,121656,0.5,254626,0.3,333894,1.75 9,1,2024-09-07 09:35:40:560,881274,881274,0,0,414819442287,4371173280,867871,10756,2647,369,392001,0 9,2,2024-09-07 09:35:41:103,633218,633217,1,0,30788983,0,5281 9,3,2024-09-07 09:35:41:765,1,504,11,0,1273,8592,504,0 10,0,2024-09-07 09:35:41:605,129458,0.4,129061,0.5,258974,0.3,345304,1.75 10,1,2024-09-07 09:35:40:583,884121,884121,0,0,414477090709,4345513512,871765,10266,2090,381,391869,0 10,2,2024-09-07 09:35:40:764,638114,638114,0,0,33430697,0,4264 10,3,2024-09-07 09:35:40:873,1,504,0,0,649,5305,504,0 11,0,2024-09-07 09:35:41:015,125064,0.5,121397,0.7,253682,0.5,334184,1.75 11,1,2024-09-07 09:35:40:576,884338,884338,0,0,416082602966,4365810197,870862,10014,3462,383,391756,0 11,2,2024-09-07 09:35:41:125,638638,638638,0,0,29592061,0,4130 11,3,2024-09-07 09:35:41:301,1,504,1,0,843,6884,504,0 12,0,2024-09-07 09:35:41:024,126566,0.4,126599,0.5,253231,0.3,336232,1.75 12,1,2024-09-07 09:35:40:984,883862,883862,0,0,415091999207,4333254340,876208,6776,878,370,391960,0 12,2,2024-09-07 09:35:41:546,637396,637396,0,0,29424253,0,4390 12,3,2024-09-07 09:35:41:069,1,504,8,0,386,6952,504,0 13,0,2024-09-07 09:35:41:409,125646,0.4,125377,0.6,250106,0.4,332838,1.75 13,1,2024-09-07 09:35:41:543,882502,882502,0,0,414457613832,4359554803,872888,7321,2293,382,391740,0 13,2,2024-09-07 09:35:40:597,635412,635412,0,0,26284353,0,3287 13,3,2024-09-07 09:35:41:768,1,504,1,0,522,7162,504,0 14,0,2024-09-07 09:35:40:563,128376,0.4,129418,0.6,256447,0.4,341987,1.75 14,1,2024-09-07 09:35:41:562,888011,888011,0,0,417278786799,4320535587,881106,6177,728,364,391673,0 14,2,2024-09-07 09:35:40:768,636851,636821,30,0,27785337,0,6104 14,3,2024-09-07 09:35:41:122,1,504,0,0,1168,5826,504,0 15,0,2024-09-07 09:35:41:564,127229,0.5,126695,0.7,254371,0.4,338586,2.00 15,1,2024-09-07 09:35:41:618,885236,885236,0,0,416066570123,4336371230,877507,6244,1485,381,391619,0 15,2,2024-09-07 09:35:41:010,642331,642331,0,0,23377346,0,3622 15,3,2024-09-07 09:35:41:407,1,504,0,0,1126,7279,504,0 16,0,2024-09-07 09:35:41:018,126047,0.5,126624,0.7,252379,0.5,335988,2.00 16,1,2024-09-07 09:35:40:568,885286,885286,0,0,414851952639,4340796565,876888,7100,1298,370,392194,0 16,2,2024-09-07 09:35:41:439,638153,638153,0,0,27541441,0,4719 16,3,2024-09-07 09:35:41:147,1,504,2,0,358,6505,504,0 17,0,2024-09-07 09:35:41:885,128679,0.6,125395,0.7,245712,0.6,333627,2.00 17,1,2024-09-07 09:35:40:582,882887,882887,0,0,414842751067,4354951043,873631,7509,1747,368,392075,0 17,2,2024-09-07 09:35:41:670,636453,636452,1,0,28219454,0,5050 17,3,2024-09-07 09:35:40:588,1,504,16,0,518,7691,504,0 18,0,2024-09-07 09:35:40:967,124878,0.6,125698,0.8,250461,0.7,334497,2.25 18,1,2024-09-07 09:35:41:638,887164,887164,0,0,416475060494,4322655269,881061,5108,995,367,391725,0 18,2,2024-09-07 09:35:41:758,637782,637782,0,0,25066733,0,3541 18,3,2024-09-07 09:35:40:969,1,504,1,0,1059,4875,504,0 19,0,2024-09-07 09:35:41:541,129530,0.7,129907,0.8,258143,0.7,344722,2.25 19,1,2024-09-07 09:35:40:577,886458,886458,0,0,416368142827,4320417834,879471,5903,1084,367,391777,0 19,2,2024-09-07 09:35:41:752,643507,643507,0,0,23618248,0,3988 19,3,2024-09-07 09:35:41:129,1,504,2,0,524,4136,504,0 20,0,2024-09-07 09:35:41:417,124585,0.5,124851,0.7,249162,0.5,332823,2.00 20,1,2024-09-07 09:35:40:577,883952,883952,0,0,415508923677,4354238110,874820,7770,1362,369,391922,0 20,2,2024-09-07 09:35:40:969,638162,638162,0,0,28366830,0,3721 20,3,2024-09-07 09:35:40:590,1,504,1,0,468,8827,504,0 21,0,2024-09-07 09:35:41:220,124113,0.4,124239,0.6,248440,0.4,329524,1.75 21,1,2024-09-07 09:35:41:546,882108,882108,0,0,414372293195,4375398557,867966,11025,3117,368,392016,0 21,2,2024-09-07 09:35:41:070,632584,632564,20,0,33303556,0,5617 21,3,2024-09-07 09:35:41:417,1,504,1,0,713,6667,504,0 22,0,2024-09-07 09:35:41:748,125129,0.5,125514,0.6,250646,0.4,332467,2.00 22,1,2024-09-07 09:35:41:022,882907,882907,0,0,414338083504,4364916573,867772,11910,3225,382,391822,0 22,2,2024-09-07 09:35:40:764,633319,633293,26,0,27878196,0,6328 22,3,2024-09-07 09:35:41:069,1,504,0,0,228,4204,504,0 23,0,2024-09-07 09:35:41:369,129400,0.5,128900,0.7,258178,0.5,344576,2.25 23,1,2024-09-07 09:35:41:003,883851,883851,0,0,415580574717,4364883109,868195,11248,4408,365,391690,0 23,2,2024-09-07 09:35:41:092,640593,640593,0,0,26624001,0,3773 23,3,2024-09-07 09:35:41:754,1,504,47,0,720,6791,504,0 24,0,2024-09-07 09:35:40:846,125625,0.4,124756,0.6,250833,0.4,332946,1.75 24,1,2024-09-07 09:35:40:591,882823,882823,0,0,414361577807,4340929922,873876,7275,1672,367,392269,0 24,2,2024-09-07 09:35:41:083,638558,638558,0,0,31632625,0,4438 24,3,2024-09-07 09:35:41:690,1,504,1,0,468,6491,504,0 25,0,2024-09-07 09:35:41:414,128597,0.4,125272,0.6,245701,0.4,334380,1.75 25,1,2024-09-07 09:35:40:590,881837,881837,0,0,414475741355,4374311947,866964,11904,2969,369,391928,0 25,2,2024-09-07 09:35:41:612,636065,636065,0,0,32748751,0,3978 25,3,2024-09-07 09:35:41:002,1,504,1,0,532,5605,504,0 26,0,2024-09-07 09:35:41:721,123989,0.4,121307,0.6,254369,0.3,330883,1.75 26,1,2024-09-07 09:35:41:546,884837,884837,0,0,414490544945,4343216580,872008,10377,2452,380,391748,0 26,2,2024-09-07 09:35:40:861,633457,633457,0,0,34500708,0,4689 26,3,2024-09-07 09:35:41:729,1,504,11,0,796,5698,504,0 27,0,2024-09-07 09:35:41:762,129355,0.5,129593,0.6,257721,0.4,344561,2.25 27,1,2024-09-07 09:35:41:677,885789,885789,0,0,416732274779,4342186623,877240,7281,1268,381,391626,0 27,2,2024-09-07 09:35:40:874,635164,635099,65,0,30193432,0,5699 27,3,2024-09-07 09:35:41:015,1,504,2,0,564,4491,504,0 28,0,2024-09-07 09:35:41:387,126757,0.4,126318,0.6,253548,0.4,337491,2.00 28,1,2024-09-07 09:35:40:797,885871,885871,0,0,416323806062,4343423653,878101,6056,1714,382,391698,0 28,2,2024-09-07 09:35:41:769,640082,640082,0,0,26769024,0,2915 28,3,2024-09-07 09:35:41:776,1,504,1,0,502,5182,504,0 29,0,2024-09-07 09:35:41:358,129585,0.4,126248,0.6,247520,0.3,337421,1.75 29,1,2024-09-07 09:35:41:571,888072,888072,0,0,416287097569,4308845804,882518,4792,762,368,391809,0 29,2,2024-09-07 09:35:40:874,637841,637841,0,0,26107007,0,4986 29,3,2024-09-07 09:35:40:967,1,504,2,0,459,5678,504,0 30,0,2024-09-07 09:35:41:456,124619,0.5,121115,0.7,253703,0.4,331558,2.00 30,1,2024-09-07 09:35:40:580,887293,887293,0,0,416557730442,4326225459,880319,6072,902,380,391672,0 30,2,2024-09-07 09:35:41:274,634552,634552,0,0,25042837,0,4192 30,3,2024-09-07 09:35:40:581,1,504,1,0,519,4964,504,0 31,0,2024-09-07 09:35:41:759,127088,0.5,127321,0.7,254460,0.4,340261,2.00 31,1,2024-09-07 09:35:40:576,890193,890193,0,0,418516667550,4299295170,884871,4311,1011,356,391712,0 31,2,2024-09-07 09:35:41:274,634927,634927,0,0,27682738,0,4470 31,3,2024-09-07 09:35:41:716,1,504,0,0,220,4268,504,0 32,0,2024-09-07 09:35:41:441,129656,0.4,130326,0.5,259431,0.3,345466,1.75 32,1,2024-09-07 09:35:40:805,886485,886485,0,0,417173627453,4338735647,880688,5090,707,381,391646,0 32,2,2024-09-07 09:35:40:956,642009,642009,0,0,24143160,0,3922 32,3,2024-09-07 09:35:41:014,1,504,8,0,304,4212,504,0 33,0,2024-09-07 09:35:41:494,126074,0.3,125733,0.4,251589,0.2,335189,1.50 33,1,2024-09-07 09:35:40:576,887414,887414,0,0,416624403227,4318992449,880190,6052,1172,368,391730,0 33,2,2024-09-07 09:35:40:764,640183,640148,35,0,26795461,0,7012 33,3,2024-09-07 09:35:40:977,1,504,1,0,329,4835,504,0 34,0,2024-09-07 09:35:40:966,124358,0.3,127811,0.4,244165,0.2,329103,1.75 34,1,2024-09-07 09:35:41:044,889150,889150,0,0,417810164409,4299276956,886642,2486,22,367,391562,0 34,2,2024-09-07 09:35:40:770,636196,636196,0,0,25282339,0,4562 34,3,2024-09-07 09:35:41:690,1,504,2,0,320,3977,504,0 35,0,2024-09-07 09:35:40:889,124933,0.3,125678,0.5,252435,0.3,336077,1.75 35,1,2024-09-07 09:35:41:068,886412,886412,0,0,416366692789,4322382153,879759,5440,1213,382,391769,0 35,2,2024-09-07 09:35:41:592,634555,634555,0,0,27722426,0,4055 35,3,2024-09-07 09:35:40:969,1,504,1,0,418,4846,504,0 36,0,2024-09-07 09:35:41:533,130161,0.5,130067,0.7,260191,0.5,346402,2.25 36,1,2024-09-07 09:35:40:590,885124,885124,0,0,414682749256,4335375125,872847,10058,2219,366,391759,0 36,2,2024-09-07 09:35:41:752,641039,641039,0,0,29007301,0,3875 36,3,2024-09-07 09:35:40:873,1,504,1,0,416,7129,504,0 37,0,2024-09-07 09:35:41:372,123715,0.5,123649,0.7,247681,0.5,330513,2.25 37,1,2024-09-07 09:35:40:582,884067,884060,0,7,415209183600,4338807946,872989,8397,2674,365,391770,0 37,2,2024-09-07 09:35:41:148,636806,636791,15,0,29384220,0,5815 37,3,2024-09-07 09:35:41:774,1,504,40,0,888,7248,504,0 38,0,2024-09-07 09:35:41:461,123839,0.4,120145,0.6,251437,0.4,329200,2.00 38,1,2024-09-07 09:35:41:609,885831,885831,0,0,416067440252,4353159964,872607,10705,2519,368,391821,0 38,2,2024-09-07 09:35:40:769,635616,635569,47,0,29582330,0,6710 38,3,2024-09-07 09:35:40:998,1,504,1,0,689,6544,504,0 39,0,2024-09-07 09:35:41:760,128059,0.5,125231,0.7,244116,0.5,333368,2.00 39,1,2024-09-07 09:35:40:717,883786,883786,0,0,414225632857,4349929996,868022,12295,3469,365,391658,0 39,2,2024-09-07 09:35:41:425,634981,634981,0,0,27153724,0,3391 39,3,2024-09-07 09:35:40:716,1,504,169,0,525,6117,504,0 40,0,2024-09-07 09:35:41:487,128127,0.9,128899,1.0,257206,1.0,343493,2.75 40,1,2024-09-07 09:35:40:576,886143,886143,0,0,414749972080,4341052961,874131,9834,2178,368,391668,0 40,2,2024-09-07 09:35:41:305,637124,637123,1,0,32680306,0,5137 40,3,2024-09-07 09:35:41:157,1,504,1,0,1028,7279,504,0 41,0,2024-09-07 09:35:41:023,124459,1.4,127081,1.2,242665,2.0,330133,3.25 41,1,2024-09-07 09:35:40:772,883697,883697,0,0,414532465822,4340819340,871831,9933,1933,369,391742,0 41,2,2024-09-07 09:35:40:768,636709,636708,1,0,31435797,0,5408 41,3,2024-09-07 09:35:41:685,1,504,1,0,366,5318,504,0 42,0,2024-09-07 09:35:41:479,125174,0.8,124844,1.0,249975,0.9,330964,2.50 42,1,2024-09-07 09:35:41:441,881457,881457,0,0,414308849585,4360158886,866449,12100,2908,380,391675,0 42,2,2024-09-07 09:35:41:139,637207,637207,0,0,30225854,0,3975 42,3,2024-09-07 09:35:41:009,1,504,0,0,892,4665,504,0 43,0,2024-09-07 09:35:40:976,123630,0.7,120429,0.9,252032,0.7,329950,2.25 43,1,2024-09-07 09:35:40:582,884536,884536,0,0,415959288358,4349369776,871671,10606,2259,366,391696,0 43,2,2024-09-07 09:35:41:740,634330,634330,0,0,30026260,0,4723 43,3,2024-09-07 09:35:41:749,1,504,1,0,571,7209,504,0 44,0,2024-09-07 09:35:40:872,128466,0.4,128618,0.6,257544,0.4,342507,2.00 44,1,2024-09-07 09:35:40:570,887202,887202,0,0,416385784281,4305992821,879409,6206,1587,356,391809,0 44,2,2024-09-07 09:35:41:274,634842,634842,0,0,24990518,0,4344 44,3,2024-09-07 09:35:41:098,1,504,1,0,817,5545,504,0 45,0,2024-09-07 09:35:41:762,125972,0.5,123042,0.8,258111,0.5,338815,2.00 45,1,2024-09-07 09:35:41:006,886030,886030,0,0,416826555849,4335276197,878521,6633,876,382,391917,0 45,2,2024-09-07 09:35:41:268,641785,641785,0,0,25367543,0,3596 45,3,2024-09-07 09:35:40:958,1,504,3,0,271,4526,504,0 46,0,2024-09-07 09:35:40:960,125524,0.5,125039,0.7,250928,0.4,333215,2.00 46,1,2024-09-07 09:35:40:574,887781,887781,0,0,416678135962,4309065833,881384,5534,863,366,391709,0 46,2,2024-09-07 09:35:40:593,639227,639227,0,0,25586574,0,4443 46,3,2024-09-07 09:35:41:141,1,504,0,0,908,5942,504,0 47,0,2024-09-07 09:35:41:109,124994,0.4,125154,0.6,250844,0.3,332566,1.75 47,1,2024-09-07 09:35:40:567,888394,888394,0,0,417848368288,4318266240,883169,4386,839,366,391641,0 47,2,2024-09-07 09:35:40:970,636919,636919,0,0,25345559,0,4477 47,3,2024-09-07 09:35:41:114,1,504,2,0,600,5828,504,0 48,0,2024-09-07 09:35:41:520,126710,0.3,126747,0.4,252424,0.2,336565,1.50 48,1,2024-09-07 09:35:41:036,886437,886437,0,0,416183201435,4326474600,880652,5213,572,384,391710,0 48,2,2024-09-07 09:35:40:698,636001,636001,0,0,23270562,0,3411 48,3,2024-09-07 09:35:40:761,1,504,12,0,339,4072,504,0 49,0,2024-09-07 09:35:41:719,133415,0.4,131069,0.5,254559,0.3,348783,1.75 49,1,2024-09-07 09:35:41:023,886007,886007,0,0,416552331623,4332586228,880444,4352,1211,382,391809,0 49,2,2024-09-07 09:35:41:811,641915,641915,0,0,25909556,0,4426 49,3,2024-09-07 09:35:41:423,1,504,1,0,992,5828,504,0 50,0,2024-09-07 09:35:41:519,125485,0.3,123742,0.5,249385,0.2,332566,1.75 50,1,2024-09-07 09:35:41:009,888882,888882,0,0,417692220387,4321053784,882938,5277,667,368,391565,0 50,2,2024-09-07 09:35:41:071,638655,638655,0,0,23769323,0,4490 50,3,2024-09-07 09:35:41:291,1,504,1,0,617,5419,504,0 51,0,2024-09-07 09:35:41:700,127341,0.3,124676,0.4,242880,0.2,331002,1.75 51,1,2024-09-07 09:35:41:693,889338,889338,0,0,417666523689,4308775392,884576,3708,1054,365,391706,0 51,2,2024-09-07 09:35:41:335,636412,636412,0,0,22998700,0,3337 51,3,2024-09-07 09:35:41:034,1,504,1,0,678,3756,504,0 52,0,2024-09-07 09:35:41:430,125646,0.5,125301,0.7,250807,0.5,333310,2.00 52,1,2024-09-07 09:35:40:576,885270,885270,0,0,415694393408,4354993884,872046,11119,2105,368,391722,0 52,2,2024-09-07 09:35:41:758,631531,631493,38,0,30325562,0,6742 52,3,2024-09-07 09:35:40:682,1,504,0,0,1782,6618,504,0 53,0,2024-09-07 09:35:41:769,128999,0.7,125273,0.8,262015,0.8,344128,2.50 53,1,2024-09-07 09:35:40:783,883363,883363,0,0,415406329188,4363392892,868011,11508,3844,367,391968,0 53,2,2024-09-07 09:35:41:300,640023,640022,1,0,27239438,0,5455 53,3,2024-09-07 09:35:40:697,1,504,3,0,308,5124,504,0 54,0,2024-09-07 09:35:41:621,122595,0.6,123008,0.8,244864,0.5,326802,2.25 54,1,2024-09-07 09:35:40:590,885333,885333,0,0,415911967259,4329876206,875269,8430,1634,366,391810,0 54,2,2024-09-07 09:35:40:865,638935,638903,32,0,31111459,0,6397 54,3,2024-09-07 09:35:40:764,1,504,0,0,676,6910,504,0 55,0,2024-09-07 09:35:41:760,120796,0.6,124624,0.7,252440,0.5,328664,2.50 55,1,2024-09-07 09:35:40:768,886705,886705,0,0,416091986073,4329259818,877062,8324,1319,365,391731,0 55,2,2024-09-07 09:35:40:729,636702,636646,56,0,29499613,0,7239 55,3,2024-09-07 09:35:40:674,1,504,5,0,304,4818,504,0 56,0,2024-09-07 09:35:41:568,127076,1.1,119703,1.1,246802,1.5,330184,2.75 56,1,2024-09-07 09:35:40:569,881200,881200,0,0,414059272541,4376183484,866888,11400,2912,381,391867,0 56,2,2024-09-07 09:35:41:303,633752,633630,122,0,30659751,0,7432 56,3,2024-09-07 09:35:41:079,1,504,9,0,705,6192,504,0 57,0,2024-09-07 09:35:41:002,127199,1.7,127206,1.3,254713,2.3,340921,3.50 57,1,2024-09-07 09:35:40:990,882890,882890,0,0,414237231661,4350092238,870716,9889,2285,366,392032,0 57,2,2024-09-07 09:35:41:323,637215,637215,0,0,31568679,0,4317 57,3,2024-09-07 09:35:41:740,1,504,0,0,455,5749,504,0 58,0,2024-09-07 09:35:40:566,124506,1.0,120825,1.0,252842,1.3,330906,2.75 58,1,2024-09-07 09:35:40:578,884409,884406,0,3,415653685597,4359511054,870622,10465,3319,367,391603,3 58,2,2024-09-07 09:35:41:079,639525,639525,0,0,29960420,0,3483 58,3,2024-09-07 09:35:41:069,1,504,1,0,1043,5619,504,0 59,0,2024-09-07 09:35:41:761,125487,0.8,125377,1.0,249952,0.9,331590,2.75 59,1,2024-09-07 09:35:40:833,883156,883156,0,0,415249011713,4364409225,868417,11600,3139,369,391653,0 59,2,2024-09-07 09:35:40:582,637805,637805,0,0,28707630,0,3727 59,3,2024-09-07 09:35:41:738,1,504,1,0,1015,6418,504,0 60,0,2024-09-07 09:35:41:717,124814,0.5,125122,0.7,250360,0.5,332512,1.75 60,1,2024-09-07 09:35:40:781,887518,887518,0,0,416767199297,4327884487,881345,5241,932,370,392031,0 60,2,2024-09-07 09:35:41:141,634196,634196,0,0,27308632,0,3811 60,3,2024-09-07 09:35:41:264,1,504,1,0,409,6064,504,0 61,0,2024-09-07 09:35:41:549,127136,0.8,127703,0.8,254207,0.8,339940,2.00 61,1,2024-09-07 09:35:40:842,884313,884313,0,0,415022817731,4349496111,874444,8289,1580,382,392127,0 61,2,2024-09-07 09:35:41:118,635811,635744,67,0,28254571,0,6411 61,3,2024-09-07 09:35:41:687,1,504,1,0,607,7428,504,0 62,0,2024-09-07 09:35:41:719,129899,0.7,133192,0.8,254304,0.7,345259,2.00 62,1,2024-09-07 09:35:41:121,889434,889428,0,6,418039685708,4310337236,885277,3871,280,365,391975,6 62,2,2024-09-07 09:35:41:646,638897,638896,1,0,27609332,0,5555 62,3,2024-09-07 09:35:41:147,1,504,0,0,482,4183,504,0 63,0,2024-09-07 09:35:41:504,126029,0.4,125730,0.6,252458,0.4,335608,1.75 63,1,2024-09-07 09:35:40:848,886954,886948,0,6,416571155396,4326831010,881201,4996,751,381,391800,6 63,2,2024-09-07 09:35:40:764,638802,638802,0,0,26655804,0,4369 63,3,2024-09-07 09:35:41:738,1,504,0,0,667,5529,504,0 64,0,2024-09-07 09:35:41:518,123672,0.5,123714,0.6,246992,0.4,328280,2.00 64,1,2024-09-07 09:35:40:758,886383,886383,0,0,416441597307,4339348331,878499,6114,1770,370,391794,0 64,2,2024-09-07 09:35:41:141,638847,638828,19,0,25520802,0,6121 64,3,2024-09-07 09:35:41:141,1,504,1,0,651,5406,504,0 65,0,2024-09-07 09:35:41:697,124806,0.6,125173,0.8,249570,0.7,333268,2.00 65,1,2024-09-07 09:35:40:863,884419,884419,0,0,415113624949,4341599970,877674,5949,796,381,391901,0 65,2,2024-09-07 09:35:41:704,635024,635024,0,0,29924561,0,3367 65,3,2024-09-07 09:35:41:704,1,504,0,0,782,6094,504,0 66,0,2024-09-07 09:35:41:819,129373,0.6,128949,0.8,257979,0.5,343557,2.25 66,1,2024-09-07 09:35:41:293,886240,886240,0,0,416313912052,4335997613,880093,5425,722,380,391743,0 66,2,2024-09-07 09:35:41:134,642614,642611,3,0,27366865,0,5455 66,3,2024-09-07 09:35:41:089,1,504,1,0,291,4487,504,0 67,0,2024-09-07 09:35:41:430,124096,0.5,123797,0.7,248485,0.5,330678,2.00 67,1,2024-09-07 09:35:40:766,886380,886379,0,1,416738673941,4341044190,880110,5456,813,380,391787,1 67,2,2024-09-07 09:35:40:593,640917,640902,15,0,26444974,0,6205 67,3,2024-09-07 09:35:41:755,1,504,12,0,392,4874,504,0 68,0,2024-09-07 09:35:40:668,124693,0.5,124651,0.7,248188,0.5,331611,2.00 68,1,2024-09-07 09:35:40:572,882880,882880,0,0,414478233837,4361709585,870659,8822,3399,381,391953,0 68,2,2024-09-07 09:35:41:045,633228,633128,100,0,33919491,0,8578 68,3,2024-09-07 09:35:40:729,1,504,156,0,417,6695,504,0 69,0,2024-09-07 09:35:41:740,124394,0.7,125194,0.8,249469,0.7,331558,2.25 69,1,2024-09-07 09:35:41:027,881796,881796,0,0,413446805496,4360416656,869713,9324,2759,384,391994,0 69,2,2024-09-07 09:35:41:741,633167,633138,29,0,34625693,0,6912 69,3,2024-09-07 09:35:40:773,1,504,14,0,698,7707,504,0 70,0,2024-09-07 09:35:41:541,128048,1.0,128541,1.0,258213,0.9,342404,2.50 70,1,2024-09-07 09:35:40:801,887095,887095,0,0,417051652700,4329989766,879844,6489,762,366,391725,0 70,2,2024-09-07 09:35:41:333,638638,638638,0,0,29354197,0,4323 70,3,2024-09-07 09:35:40:751,1,504,0,0,854,5668,504,0 71,0,2024-09-07 09:35:41:376,123812,1.2,123528,1.1,248321,1.7,331244,3.00 71,1,2024-09-07 09:35:41:598,884611,884611,0,0,416085176930,4346970012,873219,10137,1255,368,391738,0 71,2,2024-09-07 09:35:41:070,638444,638444,0,0,30120484,0,4352 71,3,2024-09-07 09:35:41:754,1,504,1,0,644,6074,504,0 72,0,2024-09-07 09:35:41:055,129885,0.5,126969,0.7,247759,0.5,336482,2.00 72,1,2024-09-07 09:35:41:025,883089,883089,0,0,414838596087,4356564661,869711,11097,2281,369,391819,0 72,2,2024-09-07 09:35:41:756,636834,636834,0,0,31439316,0,3983 72,3,2024-09-07 09:35:41:756,1,504,9,0,564,7374,504,0 73,0,2024-09-07 09:35:41:101,121586,0.4,124850,0.6,254813,0.4,330927,2.00 73,1,2024-09-07 09:35:40:766,886229,886229,0,0,416194999718,4323943716,880003,5801,425,367,391858,0 73,2,2024-09-07 09:35:41:743,633386,633386,0,0,31820779,0,4728 73,3,2024-09-07 09:35:40:975,1,504,1,0,486,6397,504,0 74,0,2024-09-07 09:35:41:337,129322,0.5,132347,0.7,252516,0.5,342939,2.25 74,1,2024-09-07 09:35:40:652,884511,884511,0,0,415148071364,4338805847,874066,8522,1923,381,391762,0 74,2,2024-09-07 09:35:41:021,635766,635766,0,0,27783358,0,4253 74,3,2024-09-07 09:35:41:442,1,504,0,0,522,6181,504,0 75,0,2024-09-07 09:35:41:766,127833,0.6,126869,0.8,254996,0.6,340248,2.25 75,1,2024-09-07 09:35:41:585,885645,885645,0,0,415499686906,4334729473,877762,7023,860,380,391739,0 75,2,2024-09-07 09:35:41:358,639206,639206,0,0,33251503,0,4766 75,3,2024-09-07 09:35:41:069,1,504,36,0,702,6133,504,0 76,0,2024-09-07 09:35:40:582,125536,0.5,124811,0.7,250208,0.5,334719,2.25 76,1,2024-09-07 09:35:40:806,884496,884496,0,0,415398216768,4335718835,877877,5653,966,382,391692,0 76,2,2024-09-07 09:35:41:063,640817,640814,3,0,28269833,0,5265 76,3,2024-09-07 09:35:41:159,1,504,0,0,227,4800,504,0 77,0,2024-09-07 09:35:41:715,124349,0.5,124795,0.7,249715,0.5,331568,2.00 77,1,2024-09-07 09:35:40:842,885596,885596,0,0,416191770275,4343535832,878769,6235,592,381,391869,0 77,2,2024-09-07 09:35:41:281,633849,633849,0,0,27729362,0,3890 77,3,2024-09-07 09:35:41:094,1,504,0,0,401,5462,504,0 78,0,2024-09-07 09:35:41:722,126409,0.4,125950,0.6,252737,0.4,335094,2.00 78,1,2024-09-07 09:35:40:613,885059,885059,0,0,414863304664,4330105801,874295,8239,2525,367,391670,0 78,2,2024-09-07 09:35:41:406,636642,636629,13,0,25826375,0,8313 78,3,2024-09-07 09:35:41:134,1,504,2,0,311,4486,504,0 79,0,2024-09-07 09:35:41:418,125572,0.4,128661,0.6,263499,0.4,343649,2.25 79,1,2024-09-07 09:35:40:573,887887,887887,0,0,416423405700,4318039994,880156,6374,1357,367,391682,0 79,2,2024-09-07 09:35:41:070,641163,641163,0,0,24897628,0,4195 79,3,2024-09-07 09:35:40:757,1,504,1,0,418,6235,504,0 80,0,2024-09-07 09:35:41:076,124584,0.5,127969,0.7,245148,0.5,331955,2.00 80,1,2024-09-07 09:35:41:620,885129,885129,0,0,416538340391,4337621765,879311,5393,425,368,392269,0 80,2,2024-09-07 09:35:41:098,640477,640477,0,0,25342140,0,4433 80,3,2024-09-07 09:35:40:575,1,504,1,0,296,6054,504,0 81,0,2024-09-07 09:35:41:546,124268,0.5,127147,0.7,242548,0.5,329555,2.00 81,1,2024-09-07 09:35:41:656,884215,884215,0,0,415218200153,4343164353,876819,6758,638,382,391885,0 81,2,2024-09-07 09:35:41:130,635075,635012,63,0,28332719,0,5932 81,3,2024-09-07 09:35:41:123,1,504,5,0,719,5836,504,0 82,0,2024-09-07 09:35:41:532,124897,0.5,125003,0.7,250551,0.4,332557,2.00 82,1,2024-09-07 09:35:40:583,886462,886458,0,4,416351265124,4330848782,881380,4258,820,381,391768,4 82,2,2024-09-07 09:35:41:695,636559,636559,0,0,23556700,0,4484 82,3,2024-09-07 09:35:41:754,1,504,4,0,363,4929,504,0 83,0,2024-09-07 09:35:41:527,129687,0.6,129627,0.8,258674,0.7,344337,2.25 83,1,2024-09-07 09:35:40:579,885398,885398,0,0,416240434782,4341273831,879046,5900,452,382,391709,0 83,2,2024-09-07 09:35:40:766,639795,639770,25,0,25592878,0,5612 83,3,2024-09-07 09:35:40:757,1,504,12,0,1260,6448,504,0 84,0,2024-09-07 09:35:41:811,123495,0.8,123660,0.9,246933,0.7,330095,2.25 84,1,2024-09-07 09:35:41:039,883979,883979,0,0,415260901569,4344360589,873790,8668,1521,367,391967,0 84,2,2024-09-07 09:35:40:590,637829,637799,30,0,33621626,0,5971 84,3,2024-09-07 09:35:41:150,1,504,4,0,908,7273,504,0 85,0,2024-09-07 09:35:41:024,120366,0.6,120307,0.8,255388,0.5,330181,2.25 85,1,2024-09-07 09:35:40:565,881047,881047,0,0,414227736327,4378202635,866797,11596,2654,381,392092,0 85,2,2024-09-07 09:35:40:867,636107,636107,0,0,31358063,0,4255 85,3,2024-09-07 09:35:40:685,1,504,2,0,789,5831,504,0 86,0,2024-09-07 09:35:40:874,124208,0.6,127776,0.8,244366,0.6,330562,2.25 86,1,2024-09-07 09:35:40:823,883990,883990,0,0,415455393449,4356123601,872710,9307,1973,366,391995,0 86,2,2024-09-07 09:35:40:854,632000,631999,1,0,33687622,0,5004 86,3,2024-09-07 09:35:40:591,1,504,5,0,308,7419,504,0 87,0,2024-09-07 09:35:41:300,129010,0.9,128761,0.9,257502,1.3,344828,2.25 87,1,2024-09-07 09:35:40:558,882890,882890,0,0,414315017907,4345512914,870702,10475,1713,366,392076,0 87,2,2024-09-07 09:35:41:069,636748,636742,6,0,29548975,0,6323 87,3,2024-09-07 09:35:41:794,1,504,1,0,473,7579,504,0 88,0,2024-09-07 09:35:41:490,126256,0.5,126859,0.6,253467,0.5,337065,2.00 88,1,2024-09-07 09:35:40:568,882274,882274,0,0,414776115947,4348117639,869659,10001,2614,365,392084,0 88,2,2024-09-07 09:35:40:693,639875,639875,0,0,32118120,0,4465 88,3,2024-09-07 09:35:41:280,1,504,1,0,435,6495,504,0 89,0,2024-09-07 09:35:41:767,129700,0.4,125765,0.6,248471,0.4,337438,1.75 89,1,2024-09-07 09:35:40:566,882624,882624,0,0,415196805917,4370398239,871228,9581,1815,382,391866,0 89,2,2024-09-07 09:35:41:136,637486,637486,0,0,30511389,0,3173 89,3,2024-09-07 09:35:41:795,1,504,3,0,468,8392,504,0 90,0,2024-09-07 09:35:41:626,121246,0.4,124689,0.6,254003,0.4,331434,1.75 90,1,2024-09-07 09:35:40:599,884196,884196,0,0,414762251185,4344191736,875698,7765,733,380,391825,0 90,2,2024-09-07 09:35:41:405,631759,631759,0,0,32633185,0,3608 90,3,2024-09-07 09:35:40:954,1,504,1,0,322,6190,504,0 91,0,2024-09-07 09:35:40:975,127743,0.5,123851,0.6,258992,0.5,340435,1.75 91,1,2024-09-07 09:35:40:556,882564,882564,0,0,415099573423,4367982687,871000,9554,2010,381,392047,0 91,2,2024-09-07 09:35:41:331,636297,636297,0,0,28689046,0,4713 91,3,2024-09-07 09:35:40:597,1,504,1,0,216,4859,504,0 92,0,2024-09-07 09:35:41:485,130920,0.5,133818,0.6,255162,0.5,345425,1.75 92,1,2024-09-07 09:35:40:590,884355,884355,0,0,415432384873,4343207474,876855,6295,1205,381,392136,0 92,2,2024-09-07 09:35:41:362,641199,641199,0,0,26111803,0,3259 92,3,2024-09-07 09:35:41:009,1,504,0,0,167,4330,504,0 93,0,2024-09-07 09:35:40:987,126370,0.4,129578,0.6,247402,0.4,335550,1.75 93,1,2024-09-07 09:35:40:812,884917,884917,0,0,415291893992,4339624351,874644,8540,1733,366,391776,0 93,2,2024-09-07 09:35:40:962,638697,638697,0,0,31761452,0,4913 93,3,2024-09-07 09:35:41:413,1,504,6,0,190,4657,504,0 94,0,2024-09-07 09:35:41:617,123752,0.3,124417,0.5,248761,0.3,330168,1.75 94,1,2024-09-07 09:35:40:579,885149,885149,0,0,416253356702,4343649910,879056,5720,373,381,391850,0 94,2,2024-09-07 09:35:40:770,634728,634700,28,0,27080099,0,6179 94,3,2024-09-07 09:35:41:693,1,504,1,0,576,6500,504,0 95,0,2024-09-07 09:35:41:341,125936,0.3,125589,0.5,251652,0.3,336014,1.75 95,1,2024-09-07 09:35:40:852,886336,886336,0,0,415587280809,4322233904,878990,6690,656,365,391786,0 95,2,2024-09-07 09:35:41:015,634863,634863,0,0,25845482,0,3308 95,3,2024-09-07 09:35:41:714,1,504,1,0,718,7995,504,0 96,0,2024-09-07 09:35:41:058,130078,0.4,130231,0.5,260322,0.3,345752,1.75 96,1,2024-09-07 09:35:41:720,885188,885188,0,0,415602660152,4336977225,878808,5468,912,384,391955,0 96,2,2024-09-07 09:35:41:268,641184,641184,0,0,26883990,0,4180 96,3,2024-09-07 09:35:41:150,1,504,2,0,411,5384,504,0 97,0,2024-09-07 09:35:41:335,124298,0.3,123969,0.5,249101,0.3,331055,1.75 97,1,2024-09-07 09:35:40:770,886556,886556,0,0,417061624960,4327677488,880816,4797,943,367,392140,0 97,2,2024-09-07 09:35:40:606,639618,639618,0,0,26306074,0,3679 97,3,2024-09-07 09:35:40:580,1,504,1,0,242,5961,504,0 98,0,2024-09-07 09:35:41:942,124199,0.3,124386,0.4,249393,0.2,331253,1.50 98,1,2024-09-07 09:35:40:596,885993,885993,0,0,415183562895,4325885971,880597,4598,798,381,391997,0 98,2,2024-09-07 09:35:40:777,637007,637007,0,0,25949565,0,4336 98,3,2024-09-07 09:35:40:722,1,504,10,0,840,7934,504,0 99,0,2024-09-07 09:35:41:447,124968,0.3,125582,0.5,249933,0.3,333972,1.75 99,1,2024-09-07 09:35:41:740,886178,886178,0,0,415306591384,4325015118,880226,5028,924,380,392069,0 99,2,2024-09-07 09:35:41:437,635291,635291,0,0,31017350,0,4276 99,3,2024-09-07 09:35:40:580,1,504,4,0,606,5197,504,0 100,0,2024-09-07 09:35:41:477,129201,0.9,129571,1.0,258363,1.1,345623,2.50 100,1,2024-09-07 09:35:40:554,881119,881119,0,0,413812498428,4378561065,866865,11156,3098,378,391989,0 100,2,2024-09-07 09:35:41:818,635921,635910,11,0,30367156,0,5417 100,3,2024-09-07 09:35:41:740,1,504,3,0,627,8954,504,0 101,0,2024-09-07 09:35:41:735,127714,1.4,124471,1.1,243849,1.4,333454,2.50 101,1,2024-09-07 09:35:40:553,882247,882247,0,0,414338293140,4356356033,869980,9867,2400,368,391847,0 101,2,2024-09-07 09:35:41:767,635032,635032,0,0,35386196,0,4871 101,3,2024-09-07 09:35:40:956,1,504,1,0,1250,7784,504,0 102,0,2024-09-07 09:35:40:972,122428,0.6,126146,0.7,256054,0.6,334726,2.00 102,1,2024-09-07 09:35:41:151,882335,882335,0,0,415126086964,4363188609,870147,10168,2020,369,391891,0 102,2,2024-09-07 09:35:41:745,637816,637762,54,0,30001036,0,6768 102,3,2024-09-07 09:35:41:617,1,504,6,0,466,6057,504,0 103,0,2024-09-07 09:35:41:594,128833,0.5,128821,0.7,242812,0.5,333413,2.00 103,1,2024-09-07 09:35:41:630,881116,881116,0,0,414410562053,4377875747,867084,10834,3198,381,391862,0 103,2,2024-09-07 09:35:40:591,632539,632539,0,0,31454534,0,3766 103,3,2024-09-07 09:35:40:757,1,504,3,0,916,6136,504,0 104,0,2024-09-07 09:35:41:039,127383,0.8,127559,1.0,254225,0.8,340751,2.50 104,1,2024-09-07 09:35:41:721,883677,883677,0,0,414386983708,4356255828,870639,10487,2551,365,392168,0 104,2,2024-09-07 09:35:41:686,634305,634305,0,0,30506827,0,3941 104,3,2024-09-07 09:35:41:419,1,504,12,0,1245,9093,504,0 105,0,2024-09-07 09:35:41:096,126164,1.0,122956,1.1,257820,1.3,338579,2.75 105,1,2024-09-07 09:35:40:679,884848,884848,0,0,415583833517,4357017290,873265,9817,1766,365,392009,0 105,2,2024-09-07 09:35:41:432,639374,639374,0,0,30807993,0,4360 105,3,2024-09-07 09:35:41:328,1,504,1,0,399,7303,504,0 106,0,2024-09-07 09:35:40:964,121685,0.7,124623,0.9,255528,0.8,333576,2.50 106,1,2024-09-07 09:35:41:749,884131,884131,0,0,414504504658,4352329249,871529,10956,1646,368,391914,0 106,2,2024-09-07 09:35:40:758,637335,637335,0,0,29123337,0,2920 106,3,2024-09-07 09:35:40:680,1,504,1,0,1224,7705,504,0 107,0,2024-09-07 09:35:41:105,124568,0.8,124753,0.8,249431,0.8,332675,2.00 107,1,2024-09-07 09:35:40:590,882127,882127,0,0,414564167942,4373738406,869231,11503,1393,381,392234,0 107,2,2024-09-07 09:35:41:291,632144,632143,1,0,31704481,0,5024 107,3,2024-09-07 09:35:41:756,1,504,1,0,733,7757,504,0 108,0,2024-09-07 09:35:41:830,125964,0.4,126800,0.6,252047,0.4,336583,1.75 108,1,2024-09-07 09:35:41:324,884528,884528,0,0,416703421381,4348639237,876641,6919,968,367,391857,0 108,2,2024-09-07 09:35:41:774,634857,634857,0,0,28530433,0,4246 108,3,2024-09-07 09:35:41:334,1,504,10,0,749,10200,504,0 109,0,2024-09-07 09:35:41:812,130760,0.4,129597,0.6,259827,0.4,347764,1.75 109,1,2024-09-07 09:35:40:582,882410,882410,0,0,414992653078,4356254301,874220,6977,1213,382,392132,0 109,2,2024-09-07 09:35:40:981,638335,638335,0,0,28508835,0,3617 109,3,2024-09-07 09:35:41:141,1,504,6,0,630,6397,504,0 110,0,2024-09-07 09:35:41:772,124719,0.4,121307,0.6,254158,0.3,333316,1.75 110,1,2024-09-07 09:35:41:660,885900,885900,0,0,416265473871,4326445233,878377,5602,1921,368,392045,0 110,2,2024-09-07 09:35:41:305,639156,639156,0,0,26985009,0,4067 110,3,2024-09-07 09:35:40:700,1,504,14,0,722,7156,504,0 111,0,2024-09-07 09:35:41:421,124535,0.3,123894,0.5,248130,0.3,330866,1.75 111,1,2024-09-07 09:35:41:000,887399,887399,0,0,417681577947,4332422520,882858,4184,357,380,391690,0 111,2,2024-09-07 09:35:41:116,635218,635218,0,0,27538729,0,4823 111,3,2024-09-07 09:35:40:973,1,504,2,0,379,5854,504,0 112,0,2024-09-07 09:35:40:983,125871,0.3,125293,0.4,251276,0.2,333517,1.50 112,1,2024-09-07 09:35:40:838,886316,886316,0,0,416781418052,4329036129,880422,5204,690,380,391624,0 112,2,2024-09-07 09:35:41:134,634596,634595,1,0,26053325,0,5036 112,3,2024-09-07 09:35:40:592,1,504,1,0,282,4937,504,0 113,0,2024-09-07 09:35:40:925,129910,0.3,129795,0.5,259975,0.3,346327,1.75 113,1,2024-09-07 09:35:41:917,889159,889159,0,0,417672987516,4312081031,883914,4432,813,365,391661,0 113,2,2024-09-07 09:35:41:305,641776,641776,0,0,23764861,0,3813 113,3,2024-09-07 09:35:40:694,1,504,1,0,340,5451,504,0 114,0,2024-09-07 09:35:40:877,124948,0.3,125850,0.5,249901,0.3,334031,1.75 114,1,2024-09-07 09:35:40:718,886282,886282,0,0,416195938039,4324732989,879382,5284,1616,381,391565,0 114,2,2024-09-07 09:35:40:873,640466,640465,1,0,25689302,0,5069 114,3,2024-09-07 09:35:41:279,1,504,0,0,395,4003,504,0 115,0,2024-09-07 09:35:40:563,125561,0.3,126011,0.4,251344,0.2,333719,1.50 115,1,2024-09-07 09:35:40:571,886886,886886,0,0,416662346646,4332146477,879488,6041,1357,382,391757,0 115,2,2024-09-07 09:35:41:125,640212,640212,0,0,25267908,0,4382 115,3,2024-09-07 09:35:41:002,1,504,1,0,167,2956,504,0 116,0,2024-09-07 09:35:41:701,123999,0.6,123907,0.8,248299,0.6,331725,2.00 116,1,2024-09-07 09:35:40:810,882509,882509,0,0,414833838551,4374219283,871871,8195,2443,380,392089,0 116,2,2024-09-07 09:35:41:752,632728,632728,0,0,31940743,0,4475 116,3,2024-09-07 09:35:40:969,1,504,61,0,415,6345,504,0 117,0,2024-09-07 09:35:41:005,129349,0.8,128722,0.8,258088,0.9,345336,2.00 117,1,2024-09-07 09:35:41:584,883077,883077,0,0,414995916986,4346557349,873106,8746,1225,369,392033,0 117,2,2024-09-07 09:35:41:128,639095,639095,0,0,27778030,0,4303 117,3,2024-09-07 09:35:41:069,1,504,3,0,490,7050,504,0 118,0,2024-09-07 09:35:41:764,122620,0.6,125990,0.7,256849,0.6,335589,2.00 118,1,2024-09-07 09:35:40:591,882834,882834,0,0,414216113396,4357662444,869341,10373,3120,366,392054,0 118,2,2024-09-07 09:35:41:620,638720,638720,0,0,30559598,0,2842 118,3,2024-09-07 09:35:41:768,1,504,1,0,248,5849,504,0 119,0,2024-09-07 09:35:41:336,125820,0.6,126195,0.8,252206,0.6,335577,2.00 119,1,2024-09-07 09:35:40:555,883517,883517,0,0,415639976237,4357388134,871861,9890,1766,367,391857,0 119,2,2024-09-07 09:35:41:262,638366,638366,0,0,28593275,0,4174 119,3,2024-09-07 09:35:41:328,1,504,13,0,1358,9711,504,0 120,0,2024-09-07 09:35:41:574,124314,0.6,124091,0.8,249231,0.6,332137,2.25 120,1,2024-09-07 09:35:40:863,883968,883968,0,0,415069994959,4352555310,874686,8503,779,368,392144,0 120,2,2024-09-07 09:35:40:770,632604,632603,1,0,33004817,0,5281 120,3,2024-09-07 09:35:41:291,1,504,1,0,279,6405,504,0 121,0,2024-09-07 09:35:41:710,127359,1.4,126769,1.1,254173,2.0,339528,2.50 121,1,2024-09-07 09:35:41:655,884454,884454,0,0,415019574024,4340604015,875733,8020,701,367,391840,0 121,2,2024-09-07 09:35:41:127,634416,634416,0,0,30161270,0,4157 121,3,2024-09-07 09:35:40:727,1,504,2,0,330,6140,504,0 122,0,2024-09-07 09:35:41:761,128884,0.9,125493,0.9,262899,1.0,345303,2.25 122,1,2024-09-07 09:35:40:863,882765,882765,0,0,415208642580,4357936610,870334,10547,1884,366,392130,0 122,2,2024-09-07 09:35:41:329,641045,640970,75,0,34638352,0,5989 122,3,2024-09-07 09:35:40:603,1,504,1,0,512,8684,504,0 123,0,2024-09-07 09:35:40:975,125109,0.8,121841,0.9,255049,1.0,334143,2.25 123,1,2024-09-07 09:35:40:564,883295,883295,0,0,415238789131,4371572447,868152,12640,2503,369,392039,0 123,2,2024-09-07 09:35:41:020,636656,636655,1,0,29397673,0,5215 123,3,2024-09-07 09:35:41:137,1,504,3,0,478,5991,504,0 124,0,2024-09-07 09:35:40:983,127722,0.3,127672,0.5,240689,0.3,331151,1.75 124,1,2024-09-07 09:35:41:023,886320,886320,0,0,415339065155,4320682716,879515,5674,1131,365,392178,0 124,2,2024-09-07 09:35:41:010,635836,635783,53,0,26824697,0,6487 124,3,2024-09-07 09:35:40:768,1,504,1,0,490,5376,504,0 125,0,2024-09-07 09:35:41:416,125720,0.4,125416,0.6,252029,0.3,335918,1.75 125,1,2024-09-07 09:35:40:865,884870,884870,0,0,415536243500,4339853263,877904,5984,982,382,391813,0 125,2,2024-09-07 09:35:41:123,636987,636987,0,0,26862336,0,4534 125,3,2024-09-07 09:35:41:130,1,504,7,0,709,6145,504,0 126,0,2024-09-07 09:35:41:430,130061,0.4,133749,0.6,255723,0.4,346296,1.75 126,1,2024-09-07 09:35:40:552,887281,887281,0,0,416280471296,4311381915,882615,4357,309,365,391987,0 126,2,2024-09-07 09:35:40:624,641186,641186,0,0,27738163,0,4539 126,3,2024-09-07 09:35:40:968,1,504,0,0,268,5711,504,0 127,0,2024-09-07 09:35:41:612,124507,0.3,124840,0.5,249053,0.3,331357,1.75 127,1,2024-09-07 09:35:40:572,885947,885947,0,0,415168598498,4323950208,876586,7857,1504,364,392187,0 127,2,2024-09-07 09:35:40:645,637559,637555,4,0,26403466,0,5305 127,3,2024-09-07 09:35:41:266,1,504,4,0,968,5158,504,0 128,0,2024-09-07 09:35:41:538,124979,0.3,125147,0.4,249873,0.2,332077,1.50 128,1,2024-09-07 09:35:41:609,885292,885292,0,0,416762883045,4331620597,878819,5739,734,367,392031,0 128,2,2024-09-07 09:35:41:387,638553,638553,0,0,24657337,0,3171 128,3,2024-09-07 09:35:40:767,1,504,234,0,1082,8228,504,0 129,0,2024-09-07 09:35:41:015,126024,0.3,125539,0.5,251445,0.3,334429,1.50 129,1,2024-09-07 09:35:40:582,883034,883034,0,0,414956024531,4351740530,874017,7073,1944,379,391962,0 129,2,2024-09-07 09:35:40:685,635305,635301,4,0,26845737,0,5335 129,3,2024-09-07 09:35:40:693,1,504,0,0,506,7251,504,0 130,0,2024-09-07 09:35:41:714,130237,0.5,129897,0.6,260638,0.5,347083,1.75 130,1,2024-09-07 09:35:40:583,885921,885921,0,0,415822278318,4321778028,881136,4397,388,381,391825,0 130,2,2024-09-07 09:35:41:126,639253,639253,0,0,26830376,0,4067 130,3,2024-09-07 09:35:41:298,1,504,1,0,960,7267,504,0 131,0,2024-09-07 09:35:41:943,125348,0.4,125801,0.5,251947,0.3,334384,1.75 131,1,2024-09-07 09:35:41:834,885491,885491,0,0,416057685547,4342973881,878619,5681,1191,381,391865,0 131,2,2024-09-07 09:35:40:576,640354,640354,0,0,24955009,0,3979 131,3,2024-09-07 09:35:41:688,1,504,2,0,392,6311,504,0 132,0,2024-09-07 09:35:41:438,125861,0.4,126979,0.6,252653,0.4,335875,2.00 132,1,2024-09-07 09:35:40:599,882068,882068,0,0,413462791623,4352386474,869633,10244,2191,381,392532,0 132,2,2024-09-07 09:35:40:699,637625,637608,17,0,32570549,0,6451 132,3,2024-09-07 09:35:41:690,1,504,1,0,804,8689,504,0 133,0,2024-09-07 09:35:41:522,121577,0.4,124484,0.6,255174,0.4,331526,1.75 133,1,2024-09-07 09:35:40:585,881971,881971,0,0,414020677654,4366727094,869474,10809,1688,383,391914,0 133,2,2024-09-07 09:35:41:096,633703,633653,50,0,32770926,0,6861 133,3,2024-09-07 09:35:41:298,1,504,1,0,479,5695,504,0 134,0,2024-09-07 09:35:40:967,128548,0.6,128437,0.7,257297,0.6,343092,2.25 134,1,2024-09-07 09:35:40:596,883346,883346,0,0,414825185088,4350732777,871988,9212,2146,366,391718,0 134,2,2024-09-07 09:35:41:767,635189,635165,24,0,30873886,0,6207 134,3,2024-09-07 09:35:40:754,1,504,1,0,739,6223,504,0 135,0,2024-09-07 09:35:41:100,123658,1.0,123639,0.9,262390,1.2,337955,2.50 135,1,2024-09-07 09:35:41:585,882910,882910,0,0,415314469774,4369714193,871282,10063,1565,380,391805,0 135,2,2024-09-07 09:35:40:687,640636,640636,0,0,31099978,0,4503 135,3,2024-09-07 09:35:41:001,1,504,2,0,900,5234,504,0 136,0,2024-09-07 09:35:41:628,126533,0.5,126738,0.7,252421,0.5,336645,2.00 136,1,2024-09-07 09:35:41:444,884611,884611,0,0,414354286108,4346421850,874248,9096,1267,381,392135,0 136,2,2024-09-07 09:35:41:142,639604,639589,15,0,30477349,0,6007 136,3,2024-09-07 09:35:41:113,1,504,1,0,637,6183,504,0 137,0,2024-09-07 09:35:40:984,128680,0.5,125367,0.7,245791,0.5,333910,2.00 137,1,2024-09-07 09:35:40:580,882866,882866,0,0,414554473515,4348016291,869443,10753,2670,366,391898,0 137,2,2024-09-07 09:35:41:736,632795,632795,0,0,31812369,0,3185 137,3,2024-09-07 09:35:40:773,1,504,1,0,484,6447,504,0 138,0,2024-09-07 09:35:41:754,125624,0.9,125763,0.9,252187,1.0,335123,2.25 138,1,2024-09-07 09:35:41:694,883358,883358,0,0,415162526007,4357081514,870627,10762,1969,368,391954,0 138,2,2024-09-07 09:35:40:594,634555,634555,0,0,29281059,0,4988 138,3,2024-09-07 09:35:40:612,1,504,2,0,1200,8219,504,0 139,0,2024-09-07 09:35:41:361,128934,1.3,129391,1.0,258963,1.9,346096,2.50 139,1,2024-09-07 09:35:40:589,879107,879107,0,0,413434485018,4387695300,863175,12473,3459,380,392058,0 139,2,2024-09-07 09:35:40:699,636421,636421,0,0,32929242,0,3097 139,3,2024-09-07 09:35:41:670,1,504,38,0,432,5923,504,0 140,0,2024-09-07 09:35:41:591,125452,0.3,124645,0.5,249979,0.2,333590,1.75 140,1,2024-09-07 09:35:41:546,888009,888009,0,0,417183670333,4302984998,883429,4042,538,364,391606,0 140,2,2024-09-07 09:35:40:693,639861,639860,1,0,25226639,0,5036 140,3,2024-09-07 09:35:40:769,1,504,8,0,297,4823,504,0 141,0,2024-09-07 09:35:41:701,124541,0.3,127704,0.4,243824,0.2,330613,1.50 141,1,2024-09-07 09:35:40:861,886939,886939,0,0,416724130934,4331022369,879835,6019,1085,379,391614,0 141,2,2024-09-07 09:35:41:688,636243,636232,11,0,26728630,0,5369 141,3,2024-09-07 09:35:41:044,1,504,26,0,391,5592,504,0 142,0,2024-09-07 09:35:41:333,125979,0.3,125048,0.5,250825,0.3,334227,1.75 142,1,2024-09-07 09:35:40:591,885257,885257,0,0,416203825432,4339989674,879606,5192,459,382,392102,0 142,2,2024-09-07 09:35:41:305,634204,634172,32,0,27259792,0,6028 142,3,2024-09-07 09:35:41:746,1,504,2,0,484,5378,504,0 143,0,2024-09-07 09:35:41:400,129830,0.5,129714,0.6,260318,0.4,346399,1.75 143,1,2024-09-07 09:35:40:563,887037,887037,0,0,416894299443,4328889923,880965,5516,556,367,391722,0 143,2,2024-09-07 09:35:40:770,640582,640582,0,0,26759148,0,3123 143,3,2024-09-07 09:35:41:141,1,504,2,0,462,6448,504,0 144,0,2024-09-07 09:35:41:501,120711,0.7,124201,0.8,252838,0.6,331040,2.25 144,1,2024-09-07 09:35:40:585,882543,882543,0,0,414263303793,4350878231,873159,7418,1966,381,391733,0 144,2,2024-09-07 09:35:41:755,639676,639676,0,0,25489351,0,3673 144,3,2024-09-07 09:35:41:740,1,504,1,0,249,4979,504,0 145,0,2024-09-07 09:35:41:379,121069,0.5,121049,0.8,256966,0.5,330444,2.25 145,1,2024-09-07 09:35:40:556,881929,881929,0,0,414595206141,4367150663,869575,9890,2464,382,391759,0 145,2,2024-09-07 09:35:41:429,635303,635221,82,0,31383577,0,7814 145,3,2024-09-07 09:35:40:977,1,504,4,0,622,6958,504,0 146,0,2024-09-07 09:35:41:610,124399,0.5,123934,0.7,248729,0.5,330106,2.00 146,1,2024-09-07 09:35:41:591,883168,883168,0,0,414545580746,4361323984,868748,10619,3801,367,391770,0 146,2,2024-09-07 09:35:41:713,632299,632293,6,0,29403189,0,5151 146,3,2024-09-07 09:35:41:274,1,504,7,0,1520,8773,504,0 147,0,2024-09-07 09:35:41:716,129294,0.6,129011,0.8,257463,0.6,344743,2.25 147,1,2024-09-07 09:35:41:376,886572,886572,0,0,416730051144,4332750559,879291,6402,879,367,391791,0 147,2,2024-09-07 09:35:41:010,636943,636943,0,0,26524155,0,2968 147,3,2024-09-07 09:35:40:976,1,504,2,0,1626,8427,504,0 0,0,2024-09-07 09:35:51:705,121482,0.6,121467,0.7,257825,0.6,332673,2.00 0,1,2024-09-07 09:35:50:801,885297,885297,0,0,415511961661,4353805329,877789,6668,840,369,391896,0 0,2,2024-09-07 09:35:51:067,636538,636538,0,0,26488855,0,4480 0,3,2024-09-07 09:35:50:978,1,505,3,0,431,7152,505,0 1,0,2024-09-07 09:35:51:752,127604,1.0,126998,1.0,254816,1.4,340812,2.00 1,1,2024-09-07 09:35:50:567,884595,884595,0,0,415257710560,4354179391,875889,7239,1467,370,391859,0 1,2,2024-09-07 09:35:50:667,636933,636933,0,0,25910445,0,3380 1,3,2024-09-07 09:35:51:301,1,505,2,0,269,6461,505,0 2,0,2024-09-07 09:35:51:570,129143,0.6,129150,0.8,258073,0.7,343979,2.00 2,1,2024-09-07 09:35:50:865,887628,887628,0,0,417154206385,4337213258,882692,4019,917,379,391745,0 2,2,2024-09-07 09:35:51:280,643032,643032,0,0,24759953,0,3594 2,3,2024-09-07 09:35:50:689,1,505,1,0,357,4656,505,0 3,0,2024-09-07 09:35:51:748,125805,0.4,125631,0.6,250918,0.4,334665,2.00 3,1,2024-09-07 09:35:51:621,886425,886425,0,0,416643613396,4340077039,878960,6763,702,379,391716,0 3,2,2024-09-07 09:35:51:142,640788,640765,23,0,25778601,0,5851 3,3,2024-09-07 09:35:51:753,1,505,3,0,207,3167,505,0 4,0,2024-09-07 09:35:51:839,120585,0.4,123880,0.5,252780,0.3,330741,1.75 4,1,2024-09-07 09:35:50:595,882712,882712,0,0,413929140675,4387617094,866486,12543,3683,370,391992,0 4,2,2024-09-07 09:35:51:022,632794,632794,0,0,31321472,0,4534 4,3,2024-09-07 09:35:51:027,1,505,5,0,448,6576,505,0 5,0,2024-09-07 09:35:51:403,125858,0.4,126443,0.6,252449,0.4,335982,1.75 5,1,2024-09-07 09:35:50:771,884067,884067,0,0,415737712446,4378135751,871356,10298,2413,367,392005,0 5,2,2024-09-07 09:35:51:862,633853,633853,0,0,30218662,0,3582 5,3,2024-09-07 09:35:51:749,1,505,3,0,457,6994,505,0 6,0,2024-09-07 09:35:50:914,130420,0.5,129865,0.7,260065,0.5,347031,2.00 6,1,2024-09-07 09:35:50:746,886207,886207,0,0,415331931110,4340659031,876689,8062,1456,379,391702,0 6,2,2024-09-07 09:35:51:119,641686,641668,18,0,29442390,0,5535 6,3,2024-09-07 09:35:51:274,1,505,4,0,710,6189,505,0 7,0,2024-09-07 09:35:51:547,123838,0.5,124750,0.7,248380,0.5,330876,2.00 7,1,2024-09-07 09:35:50:852,884357,884357,0,0,415468202027,4366515828,871436,10690,2231,382,391747,0 7,2,2024-09-07 09:35:50:774,639971,639971,0,0,28546254,0,4791 7,3,2024-09-07 09:35:50:851,1,505,0,0,552,5858,505,0 8,0,2024-09-07 09:35:51:425,124899,0.3,124603,0.5,249908,0.3,332408,1.75 8,1,2024-09-07 09:35:51:016,883139,883139,0,0,414378850387,4358925796,868962,11049,3128,366,392853,0 8,2,2024-09-07 09:35:50:793,633352,633350,2,0,34108125,0,5112 8,3,2024-09-07 09:35:50:594,1,505,9,0,538,7721,505,0 9,0,2024-09-07 09:35:51:111,125298,0.4,121937,0.5,255218,0.3,334529,1.75 9,1,2024-09-07 09:35:50:578,883085,883085,0,0,415539345751,4378555913,869682,10756,2647,369,392001,0 9,2,2024-09-07 09:35:51:084,634741,634740,1,0,30815365,0,5281 9,3,2024-09-07 09:35:51:754,1,505,12,0,1273,8604,505,0 10,0,2024-09-07 09:35:51:606,129901,0.4,129473,0.5,259747,0.3,346341,1.75 10,1,2024-09-07 09:35:50:583,885934,885934,0,0,415300925665,4353915161,873578,10266,2090,381,391869,0 10,2,2024-09-07 09:35:50:766,639705,639705,0,0,33446425,0,4264 10,3,2024-09-07 09:35:50:875,1,505,1,0,649,5306,505,0 11,0,2024-09-07 09:35:51:007,125205,0.5,121540,0.7,253955,0.5,334623,1.75 11,1,2024-09-07 09:35:50:574,886104,886104,0,0,416929995769,4374430179,872628,10014,3462,383,391756,0 11,2,2024-09-07 09:35:51:126,639820,639820,0,0,29601299,0,4130 11,3,2024-09-07 09:35:51:306,1,505,0,0,843,6884,505,0 12,0,2024-09-07 09:35:50:943,126659,0.4,126701,0.5,253469,0.3,336476,1.75 12,1,2024-09-07 09:35:50:933,885626,885626,0,0,416098522588,4343603959,877972,6776,878,370,391960,0 12,2,2024-09-07 09:35:51:548,638485,638485,0,0,29439993,0,4390 12,3,2024-09-07 09:35:51:062,1,505,0,0,386,6952,505,0 13,0,2024-09-07 09:35:51:339,125687,0.4,125423,0.6,250217,0.4,333139,1.75 13,1,2024-09-07 09:35:51:526,884238,884238,0,0,415073924010,4365946928,874623,7322,2293,382,391740,0 13,2,2024-09-07 09:35:50:729,636776,636776,0,0,26313376,0,3287 13,3,2024-09-07 09:35:51:768,1,505,1,0,522,7163,505,0 14,0,2024-09-07 09:35:50:564,128796,0.4,129844,0.6,257324,0.4,343342,1.75 14,1,2024-09-07 09:35:51:561,889785,889785,0,0,418138393072,4329224796,882880,6177,728,364,391673,0 14,2,2024-09-07 09:35:50:766,638155,638125,30,0,27800050,0,6104 14,3,2024-09-07 09:35:51:118,1,505,0,0,1168,5826,505,0 15,0,2024-09-07 09:35:51:556,127321,0.5,126788,0.7,254579,0.4,338874,2.00 15,1,2024-09-07 09:35:51:608,887013,887013,0,0,416959965799,4345460883,879284,6244,1485,381,391619,0 15,2,2024-09-07 09:35:50:997,643582,643582,0,0,23391448,0,3622 15,3,2024-09-07 09:35:51:405,1,505,2,0,1126,7281,505,0 16,0,2024-09-07 09:35:50:939,126203,0.5,126792,0.7,252738,0.5,336278,2.00 16,1,2024-09-07 09:35:50:564,887042,887042,0,0,415562504021,4348121166,878644,7100,1298,370,392194,0 16,2,2024-09-07 09:35:51:449,639479,639479,0,0,27571005,0,4719 16,3,2024-09-07 09:35:51:142,1,505,1,0,358,6506,505,0 17,0,2024-09-07 09:35:51:886,128968,0.5,125664,0.7,246263,0.5,334149,2.00 17,1,2024-09-07 09:35:50:567,884844,884844,0,0,415709268038,4364174070,875563,7534,1747,368,392075,0 17,2,2024-09-07 09:35:51:675,637615,637614,1,0,28246655,0,5050 17,3,2024-09-07 09:35:50:582,1,505,7,0,518,7698,505,0 18,0,2024-09-07 09:35:50:939,125200,0.6,126035,0.8,251137,0.7,335738,2.25 18,1,2024-09-07 09:35:51:638,888954,888954,0,0,417232595268,4330411781,882851,5108,995,367,391725,0 18,2,2024-09-07 09:35:51:756,639012,639012,0,0,25085302,0,3541 18,3,2024-09-07 09:35:50:896,1,505,1,0,1059,4876,505,0 19,0,2024-09-07 09:35:51:540,129880,0.7,130222,0.8,258866,0.7,345067,2.25 19,1,2024-09-07 09:35:50:566,888167,888167,0,0,417147793862,4328405263,881180,5903,1084,367,391777,0 19,2,2024-09-07 09:35:51:754,644904,644904,0,0,23646351,0,3988 19,3,2024-09-07 09:35:51:129,1,505,10,0,524,4146,505,0 20,0,2024-09-07 09:35:51:373,124885,0.5,125165,0.7,249769,0.5,333564,2.00 20,1,2024-09-07 09:35:50:579,885606,885606,0,0,416126168827,4360651870,876474,7770,1362,369,391922,0 20,2,2024-09-07 09:35:50:934,639582,639582,0,0,28393845,0,3721 20,3,2024-09-07 09:35:50:594,1,505,1,0,468,8828,505,0 21,0,2024-09-07 09:35:51:137,124308,0.4,124420,0.6,248821,0.4,330106,1.75 21,1,2024-09-07 09:35:51:536,883875,883875,0,0,415109412029,4383008859,869732,11026,3117,368,392016,0 21,2,2024-09-07 09:35:51:067,633266,633246,20,0,33323987,0,5617 21,3,2024-09-07 09:35:51:404,1,505,2,0,713,6669,505,0 22,0,2024-09-07 09:35:51:716,125447,0.5,125895,0.6,251378,0.4,333727,2.00 22,1,2024-09-07 09:35:51:023,884759,884759,0,0,415307883805,4374797935,869624,11910,3225,382,391822,0 22,2,2024-09-07 09:35:50:760,634967,634941,26,0,27906665,0,6328 22,3,2024-09-07 09:35:51:065,1,505,120,0,228,4324,505,0 23,0,2024-09-07 09:35:51:367,129753,0.5,129253,0.7,258871,0.5,345316,2.25 23,1,2024-09-07 09:35:51:003,885631,885631,0,0,416209491975,4371323141,869975,11248,4408,365,391690,0 23,2,2024-09-07 09:35:51:092,642155,642155,0,0,26654460,0,3773 23,3,2024-09-07 09:35:51:753,1,505,0,0,720,6791,505,0 24,0,2024-09-07 09:35:50:875,125854,0.4,125006,0.6,251297,0.4,333800,1.75 24,1,2024-09-07 09:35:50:581,884560,884560,0,0,415281759449,4350277551,875612,7275,1673,367,392269,0 24,2,2024-09-07 09:35:51:079,639587,639587,0,0,31642409,0,4438 24,3,2024-09-07 09:35:51:687,1,505,1,0,468,6492,505,0 25,0,2024-09-07 09:35:51:403,128718,0.4,125401,0.6,245934,0.4,334833,1.75 25,1,2024-09-07 09:35:50:574,883672,883672,0,0,415539968784,4385114823,868799,11904,2969,369,391928,0 25,2,2024-09-07 09:35:51:613,637050,637050,0,0,32758126,0,3978 25,3,2024-09-07 09:35:51:014,1,505,3,0,532,5608,505,0 26,0,2024-09-07 09:35:51:719,124221,0.4,121544,0.6,254842,0.3,331818,1.75 26,1,2024-09-07 09:35:51:542,886611,886611,0,0,415433673141,4352778231,873782,10377,2452,380,391748,0 26,2,2024-09-07 09:35:50:866,635126,635126,0,0,34529742,0,4689 26,3,2024-09-07 09:35:51:712,1,505,1,0,796,5699,505,0 27,0,2024-09-07 09:35:51:722,129806,0.5,130056,0.6,258646,0.4,345724,2.25 27,1,2024-09-07 09:35:51:679,887537,887537,0,0,417488744554,4349882304,878988,7281,1268,381,391626,0 27,2,2024-09-07 09:35:50:867,636543,636478,65,0,30204035,0,5699 27,3,2024-09-07 09:35:51:015,1,505,1,0,564,4492,505,0 28,0,2024-09-07 09:35:51:391,126877,0.4,126437,0.6,253805,0.4,337820,2.00 28,1,2024-09-07 09:35:50:797,887633,887633,0,0,417052210531,4350863668,879863,6056,1714,382,391698,0 28,2,2024-09-07 09:35:51:766,641232,641232,0,0,26781334,0,2915 28,3,2024-09-07 09:35:51:779,1,505,0,0,502,5182,505,0 29,0,2024-09-07 09:35:51:357,129701,0.4,126380,0.6,247754,0.3,337760,1.75 29,1,2024-09-07 09:35:51:612,889821,889821,0,0,417079250887,4316878345,884266,4793,762,368,391809,0 29,2,2024-09-07 09:35:50:865,639228,639228,0,0,26129562,0,4986 29,3,2024-09-07 09:35:50:963,1,505,1,0,459,5679,505,0 30,0,2024-09-07 09:35:51:459,124701,0.5,121239,0.7,253891,0.4,331558,2.00 30,1,2024-09-07 09:35:50:580,889016,889016,0,0,417348111962,4334296324,882042,6072,902,380,391672,0 30,2,2024-09-07 09:35:51:273,635883,635883,0,0,25060568,0,4192 30,3,2024-09-07 09:35:50:583,1,505,1,0,519,4965,505,0 31,0,2024-09-07 09:35:51:761,127481,0.5,127719,0.7,255261,0.4,341181,2.00 31,1,2024-09-07 09:35:50:570,891990,891990,0,0,419250606575,4306717330,886667,4312,1011,356,391712,0 31,2,2024-09-07 09:35:51:285,636144,636144,0,0,27704025,0,4470 31,3,2024-09-07 09:35:51:707,1,505,0,0,220,4268,505,0 32,0,2024-09-07 09:35:51:452,129759,0.4,130454,0.5,259687,0.3,345703,1.75 32,1,2024-09-07 09:35:50:807,888239,888239,0,0,417853809052,4345789648,882442,5090,707,381,391646,0 32,2,2024-09-07 09:35:50:937,643491,643491,0,0,24183232,0,3922 32,3,2024-09-07 09:35:51:021,1,505,2,0,304,4214,505,0 33,0,2024-09-07 09:35:51:501,126343,0.3,125977,0.4,252098,0.2,335798,1.50 33,1,2024-09-07 09:35:50:575,889300,889300,0,0,417638237986,4329299433,882075,6053,1172,368,391730,0 33,2,2024-09-07 09:35:50:759,641673,641638,35,0,26834083,0,7012 33,3,2024-09-07 09:35:50:895,1,505,0,0,329,4835,505,0 34,0,2024-09-07 09:35:50:932,124664,0.3,128056,0.4,244659,0.2,330039,1.75 34,1,2024-09-07 09:35:51:044,890938,890938,0,0,418732862864,4308661844,888430,2486,22,367,391562,0 34,2,2024-09-07 09:35:50:766,636973,636973,0,0,25293488,0,4562 34,3,2024-09-07 09:35:51:697,1,505,6,0,320,3983,505,0 35,0,2024-09-07 09:35:50:858,125304,0.3,126042,0.5,253212,0.3,336758,1.75 35,1,2024-09-07 09:35:51:066,888168,888168,0,0,416997886153,4328871836,881515,5440,1213,382,391769,0 35,2,2024-09-07 09:35:51:583,635989,635989,0,0,27755037,0,4055 35,3,2024-09-07 09:35:50:907,1,505,1,0,418,4847,505,0 36,0,2024-09-07 09:35:51:531,130576,0.5,130503,0.7,260993,0.5,347929,2.25 36,1,2024-09-07 09:35:50:583,886902,886902,0,0,415419631806,4342927476,874624,10059,2219,366,391759,0 36,2,2024-09-07 09:35:51:754,642487,642487,0,0,29046056,0,3875 36,3,2024-09-07 09:35:50:871,1,505,1,0,416,7130,505,0 37,0,2024-09-07 09:35:51:371,124026,0.5,123992,0.7,248331,0.5,331354,2.25 37,1,2024-09-07 09:35:50:574,885823,885816,0,7,415974916988,4346632055,874745,8397,2674,365,391770,0 37,2,2024-09-07 09:35:51:142,638175,638160,15,0,29430795,0,5815 37,3,2024-09-07 09:35:51:767,1,505,6,0,888,7254,505,0 38,0,2024-09-07 09:35:51:439,124002,0.4,120324,0.6,251799,0.4,329592,2.00 38,1,2024-09-07 09:35:51:605,887607,887607,0,0,416868285512,4361492906,874383,10705,2519,368,391821,0 38,2,2024-09-07 09:35:50:769,636457,636410,47,0,29598182,0,6710 38,3,2024-09-07 09:35:50:996,1,505,5,0,689,6549,505,0 39,0,2024-09-07 09:35:51:763,128337,0.5,125507,0.7,244677,0.5,333978,2.00 39,1,2024-09-07 09:35:50:715,885507,885507,0,0,414994440796,4357840906,869741,12297,3469,365,391865,0 39,2,2024-09-07 09:35:51:416,636496,636496,0,0,27233725,0,3391 39,3,2024-09-07 09:35:50:713,1,505,2,0,525,6119,505,0 40,0,2024-09-07 09:35:51:493,128535,0.8,129311,1.0,258059,1.0,344579,2.75 40,1,2024-09-07 09:35:50:578,887975,887975,0,0,415612631413,4349822972,875962,9835,2178,368,391668,0 40,2,2024-09-07 09:35:51:303,638517,638516,1,0,32692802,0,5137 40,3,2024-09-07 09:35:51:142,1,505,6,0,1028,7285,505,0 41,0,2024-09-07 09:35:51:023,124606,1.4,127225,1.2,242966,2.0,330581,3.25 41,1,2024-09-07 09:35:50:776,885406,885406,0,0,415333072689,4348936655,873539,9934,1933,369,391742,0 41,2,2024-09-07 09:35:50:765,637876,637875,1,0,31446834,0,5408 41,3,2024-09-07 09:35:51:676,1,505,2,0,366,5320,505,0 42,0,2024-09-07 09:35:51:487,125282,0.8,124946,1.0,250203,0.9,331211,2.50 42,1,2024-09-07 09:35:51:439,883203,883203,0,0,415221507566,4369418946,868195,12100,2908,380,391675,0 42,2,2024-09-07 09:35:51:133,638378,638378,0,0,30245429,0,3975 42,3,2024-09-07 09:35:51:010,1,505,0,0,892,4665,505,0 43,0,2024-09-07 09:35:50:938,123674,0.7,120482,0.9,252146,0.7,330254,2.25 43,1,2024-09-07 09:35:50:586,886354,886354,0,0,416771171832,4357607887,873489,10606,2259,366,391696,0 43,2,2024-09-07 09:35:51:736,635669,635669,0,0,30037648,0,4723 43,3,2024-09-07 09:35:51:754,1,505,1,0,571,7210,505,0 44,0,2024-09-07 09:35:50:881,128884,0.4,129011,0.6,258379,0.4,343857,2.00 44,1,2024-09-07 09:35:50:584,889036,889036,0,0,417268422107,4314909145,881243,6206,1587,356,391809,0 44,2,2024-09-07 09:35:51:280,636146,636146,0,0,25009663,0,4344 44,3,2024-09-07 09:35:51:093,1,505,1,0,817,5546,505,0 45,0,2024-09-07 09:35:51:761,126080,0.5,123138,0.8,258331,0.5,339122,2.00 45,1,2024-09-07 09:35:51:006,887765,887765,0,0,417628406804,4343469954,880256,6633,876,382,391917,0 45,2,2024-09-07 09:35:51:267,642922,642922,0,0,25380860,0,3596 45,3,2024-09-07 09:35:50:937,1,505,0,0,271,4526,505,0 46,0,2024-09-07 09:35:50:950,125691,0.5,125191,0.7,251269,0.4,333461,2.00 46,1,2024-09-07 09:35:50:586,889564,889564,0,0,417865227670,4321056412,883163,5538,863,366,391709,0 46,2,2024-09-07 09:35:50:596,640582,640582,0,0,25602785,0,4443 46,3,2024-09-07 09:35:51:133,1,505,7,0,908,5949,505,0 47,0,2024-09-07 09:35:51:104,125259,0.4,125391,0.6,251388,0.3,333094,1.75 47,1,2024-09-07 09:35:50:567,890188,890188,0,0,418363763068,4323566692,884963,4386,839,366,391641,0 47,2,2024-09-07 09:35:50:908,637976,637976,0,0,25355098,0,4477 47,3,2024-09-07 09:35:51:121,1,505,8,0,600,5836,505,0 48,0,2024-09-07 09:35:51:511,127027,0.3,127098,0.4,253111,0.2,337745,1.50 48,1,2024-09-07 09:35:51:022,888181,888181,0,0,416986912834,4334751517,882396,5213,572,384,391710,0 48,2,2024-09-07 09:35:50:699,637229,637229,0,0,23300485,0,3411 48,3,2024-09-07 09:35:50:756,1,505,1,0,339,4073,505,0 49,0,2024-09-07 09:35:51:711,133762,0.4,131411,0.5,255226,0.3,349080,1.75 49,1,2024-09-07 09:35:51:021,887818,887818,0,0,417457253852,4341817453,882255,4352,1211,382,391809,0 49,2,2024-09-07 09:35:51:797,643479,643479,0,0,25960716,0,4426 49,3,2024-09-07 09:35:51:415,1,505,1,0,992,5829,505,0 50,0,2024-09-07 09:35:51:506,125771,0.3,124041,0.5,250002,0.2,333407,1.75 50,1,2024-09-07 09:35:51:011,890676,890676,0,0,418393403906,4328305387,884732,5277,667,368,391565,0 50,2,2024-09-07 09:35:51:067,640026,640026,0,0,23793175,0,4490 50,3,2024-09-07 09:35:51:291,1,505,1,0,617,5420,505,0 51,0,2024-09-07 09:35:51:690,127561,0.3,124824,0.4,243223,0.2,331619,1.75 51,1,2024-09-07 09:35:51:696,891171,891171,0,0,418789353013,4320149030,886409,3708,1054,365,391706,0 51,2,2024-09-07 09:35:51:319,637075,637075,0,0,23007443,0,3337 51,3,2024-09-07 09:35:51:027,1,505,1,0,678,3757,505,0 52,0,2024-09-07 09:35:51:438,125986,0.5,125633,0.7,251503,0.4,334569,2.00 52,1,2024-09-07 09:35:50:575,887064,887064,0,0,416619147872,4364451473,873840,11119,2105,368,391722,0 52,2,2024-09-07 09:35:51:755,632975,632937,38,0,30353691,0,6742 52,3,2024-09-07 09:35:50:694,1,505,1,0,1782,6619,505,0 53,0,2024-09-07 09:35:51:738,129368,0.7,125604,0.8,262660,0.8,344812,2.50 53,1,2024-09-07 09:35:50:779,885180,885180,0,0,416300156792,4372502553,869828,11508,3844,367,391968,0 53,2,2024-09-07 09:35:51:310,641738,641737,1,0,27289551,0,5455 53,3,2024-09-07 09:35:50:696,1,505,1,0,308,5125,505,0 54,0,2024-09-07 09:35:51:620,122829,0.6,123246,0.8,245386,0.5,327711,2.25 54,1,2024-09-07 09:35:50:579,887097,887097,0,0,416810988684,4339087461,877033,8430,1634,366,391810,0 54,2,2024-09-07 09:35:50:864,640060,640028,32,0,31139472,0,6397 54,3,2024-09-07 09:35:50:765,1,505,0,0,676,6910,505,0 55,0,2024-09-07 09:35:51:764,120930,0.6,124753,0.7,252700,0.5,329141,2.50 55,1,2024-09-07 09:35:50:767,888451,888451,0,0,416721696610,4335688253,878808,8324,1319,365,391731,0 55,2,2024-09-07 09:35:50:729,637756,637700,56,0,29519850,0,7239 55,3,2024-09-07 09:35:50:675,1,505,6,0,304,4824,505,0 56,0,2024-09-07 09:35:51:557,127309,1.1,119914,1.1,247197,1.5,331132,2.75 56,1,2024-09-07 09:35:50:576,882923,882923,0,0,414789448020,4383651457,868611,11400,2912,381,391867,0 56,2,2024-09-07 09:35:51:303,635198,635076,122,0,30681684,0,7432 56,3,2024-09-07 09:35:51:059,1,505,1,0,705,6193,505,0 57,0,2024-09-07 09:35:50:934,127682,1.7,127686,1.3,255593,2.3,342141,3.50 57,1,2024-09-07 09:35:50:991,884608,884608,0,0,415117118354,4359041701,872433,9890,2285,366,392032,0 57,2,2024-09-07 09:35:51:322,638518,638518,0,0,31582259,0,4317 57,3,2024-09-07 09:35:51:743,1,505,0,0,455,5749,505,0 58,0,2024-09-07 09:35:50:573,124609,1.0,120935,1.0,253083,1.3,331225,2.75 58,1,2024-09-07 09:35:50:576,886174,886171,0,3,416340030221,4366508671,872386,10465,3320,367,391603,3 58,2,2024-09-07 09:35:51:072,640590,640590,0,0,29967740,0,3483 58,3,2024-09-07 09:35:51:068,1,505,1,0,1043,5620,505,0 59,0,2024-09-07 09:35:51:744,125585,0.8,125485,1.0,250189,0.9,331916,2.75 59,1,2024-09-07 09:35:50:811,884886,884886,0,0,416202238364,4374056722,870147,11600,3139,369,391653,0 59,2,2024-09-07 09:35:50:583,639030,639030,0,0,28719560,0,3727 59,3,2024-09-07 09:35:51:737,1,505,1,0,1015,6419,505,0 60,0,2024-09-07 09:35:51:724,124918,0.5,125220,0.7,250585,0.5,332514,1.75 60,1,2024-09-07 09:35:50:774,889287,889287,0,0,417872797491,4339074346,883114,5241,932,370,392031,0 60,2,2024-09-07 09:35:51:140,635510,635510,0,0,27325983,0,3811 60,3,2024-09-07 09:35:51:258,1,505,1,0,409,6065,505,0 61,0,2024-09-07 09:35:51:522,127509,0.8,128119,0.8,254992,0.8,340840,2.00 61,1,2024-09-07 09:35:50:772,886056,886056,0,0,415882385914,4358268042,876187,8289,1580,382,392127,0 61,2,2024-09-07 09:35:51:120,637011,636944,67,0,28270921,0,6411 61,3,2024-09-07 09:35:51:687,1,505,2,0,607,7430,505,0 62,0,2024-09-07 09:35:51:709,130015,0.7,133296,0.8,254500,0.7,345506,2.00 62,1,2024-09-07 09:35:51:111,891204,891198,0,6,418772214487,4317784843,887047,3871,280,365,391975,6 62,2,2024-09-07 09:35:51:653,640352,640351,1,0,27625390,0,5555 62,3,2024-09-07 09:35:51:144,1,505,2,0,482,4185,505,0 63,0,2024-09-07 09:35:51:450,126282,0.4,125984,0.6,253007,0.4,336204,1.75 63,1,2024-09-07 09:35:50:810,888740,888734,0,6,417725530248,4338571394,882986,4997,751,381,391800,6 63,2,2024-09-07 09:35:50:766,640145,640145,0,0,26669677,0,4369 63,3,2024-09-07 09:35:51:732,1,505,4,0,667,5533,505,0 64,0,2024-09-07 09:35:51:514,123917,0.5,123964,0.6,247536,0.4,329222,2.00 64,1,2024-09-07 09:35:50:750,888201,888201,0,0,417414058516,4349263120,880316,6114,1771,370,391794,0 64,2,2024-09-07 09:35:51:140,639648,639629,19,0,25544098,0,6121 64,3,2024-09-07 09:35:51:140,1,505,4,0,651,5410,505,0 65,0,2024-09-07 09:35:51:677,125227,0.6,125575,0.8,250316,0.7,334152,2.00 65,1,2024-09-07 09:35:50:865,886176,886176,0,0,416024976537,4350926878,879431,5949,796,381,391901,0 65,2,2024-09-07 09:35:51:696,636429,636429,0,0,29957552,0,3367 65,3,2024-09-07 09:35:51:685,1,505,1,0,782,6095,505,0 66,0,2024-09-07 09:35:51:773,129795,0.6,129354,0.8,258802,0.5,344947,2.25 66,1,2024-09-07 09:35:51:294,888189,888189,0,0,417234919048,4345586264,882041,5426,722,380,391743,0 66,2,2024-09-07 09:35:51:132,644059,644056,3,0,27398146,0,5455 66,3,2024-09-07 09:35:51:079,1,505,1,0,291,4488,505,0 67,0,2024-09-07 09:35:51:412,124459,0.5,124127,0.7,249107,0.5,331583,2.00 67,1,2024-09-07 09:35:50:766,888129,888128,0,1,417482309511,4348726984,881859,5456,813,380,391787,1 67,2,2024-09-07 09:35:50:588,642303,642288,15,0,26473901,0,6205 67,3,2024-09-07 09:35:51:754,1,505,6,0,392,4880,505,0 68,0,2024-09-07 09:35:50:566,124866,0.5,124818,0.7,248539,0.5,332042,2.00 68,1,2024-09-07 09:35:50:576,884561,884561,0,0,415180759827,4368984801,872339,8823,3399,381,391953,0 68,2,2024-09-07 09:35:51:059,634043,633943,100,0,33937397,0,8578 68,3,2024-09-07 09:35:50:728,1,505,1,0,417,6696,505,0 69,0,2024-09-07 09:35:51:733,124692,0.7,125488,0.8,250079,0.7,332147,2.25 69,1,2024-09-07 09:35:51:034,883608,883608,0,0,414673362968,4372910423,871524,9325,2759,384,391994,0 69,2,2024-09-07 09:35:51:734,634618,634589,29,0,34685703,0,6912 69,3,2024-09-07 09:35:50:780,1,505,1,0,698,7708,505,0 70,0,2024-09-07 09:35:51:553,128459,1.0,128933,1.0,259096,0.9,343403,2.50 70,1,2024-09-07 09:35:50:808,888855,888855,0,0,417855916360,4338191662,881604,6489,762,366,391725,0 70,2,2024-09-07 09:35:51:324,640140,640140,0,0,29384304,0,4323 70,3,2024-09-07 09:35:50:745,1,505,1,0,854,5669,505,0 71,0,2024-09-07 09:35:51:359,123945,1.2,123673,1.1,248641,1.7,331678,3.00 71,1,2024-09-07 09:35:51:598,886465,886465,0,0,416786911142,4354180000,875073,10137,1255,368,391738,0 71,2,2024-09-07 09:35:51:065,639649,639649,0,0,30137843,0,4352 71,3,2024-09-07 09:35:51:751,1,505,6,0,644,6080,505,0 72,0,2024-09-07 09:35:51:048,129999,0.5,127089,0.7,247961,0.5,336744,2.00 72,1,2024-09-07 09:35:51:023,884905,884905,0,0,415707601646,4365397862,871527,11097,2281,369,391819,0 72,2,2024-09-07 09:35:51:754,637956,637956,0,0,31447653,0,3983 72,3,2024-09-07 09:35:51:755,1,505,0,0,564,7374,505,0 73,0,2024-09-07 09:35:51:101,121638,0.4,124897,0.6,254915,0.4,331241,2.00 73,1,2024-09-07 09:35:50:774,888113,888113,0,0,417037900656,4332512295,881887,5801,425,367,391858,0 73,2,2024-09-07 09:35:51:740,634783,634783,0,0,31836935,0,4728 73,3,2024-09-07 09:35:50:973,1,505,0,0,486,6397,505,0 74,0,2024-09-07 09:35:51:325,129727,0.5,132771,0.7,253270,0.5,344263,2.25 74,1,2024-09-07 09:35:50:635,886283,886283,0,0,416201409869,4349492511,875838,8522,1923,381,391762,0 74,2,2024-09-07 09:35:51:002,637083,637083,0,0,27794217,0,4253 74,3,2024-09-07 09:35:51:442,1,505,1,0,522,6182,505,0 75,0,2024-09-07 09:35:51:764,127910,0.6,126978,0.8,255188,0.6,340536,2.25 75,1,2024-09-07 09:35:51:587,887387,887387,0,0,416133337562,4341206422,879504,7023,860,380,391739,0 75,2,2024-09-07 09:35:51:352,640450,640450,0,0,33266206,0,4766 75,3,2024-09-07 09:35:51:067,1,505,1,0,702,6134,505,0 76,0,2024-09-07 09:35:50:589,125712,0.5,124991,0.7,250558,0.5,335007,2.25 76,1,2024-09-07 09:35:50:827,886296,886296,0,0,416123989736,4343163437,879677,5653,966,382,391692,0 76,2,2024-09-07 09:35:51:061,642126,642123,3,0,28284460,0,5265 76,3,2024-09-07 09:35:51:142,1,505,10,0,227,4810,505,0 77,0,2024-09-07 09:35:51:724,124631,0.5,125069,0.7,250288,0.5,332077,2.00 77,1,2024-09-07 09:35:50:825,887410,887410,0,0,417138207769,4353199234,880582,6235,593,381,391869,0 77,2,2024-09-07 09:35:51:283,634910,634910,0,0,27744184,0,3890 77,3,2024-09-07 09:35:51:099,1,505,3,0,401,5465,505,0 78,0,2024-09-07 09:35:51:716,126742,0.4,126319,0.6,253458,0.4,336244,2.00 78,1,2024-09-07 09:35:50:610,886843,886843,0,0,415915478689,4340772463,876079,8239,2525,367,391670,0 78,2,2024-09-07 09:35:51:407,637966,637953,13,0,25842346,0,8313 78,3,2024-09-07 09:35:51:133,1,505,2,0,311,4488,505,0 79,0,2024-09-07 09:35:51:350,125915,0.4,129007,0.6,264196,0.4,343952,2.25 79,1,2024-09-07 09:35:50:582,889665,889665,0,0,417219046519,4326180260,881934,6374,1357,367,391682,0 79,2,2024-09-07 09:35:51:067,642582,642582,0,0,24915195,0,4195 79,3,2024-09-07 09:35:50:750,1,505,1,0,418,6236,505,0 80,0,2024-09-07 09:35:51:090,124906,0.5,128313,0.7,245734,0.5,332715,2.00 80,1,2024-09-07 09:35:51:619,886910,886910,0,0,417337916127,4345800182,881091,5394,425,368,392269,0 80,2,2024-09-07 09:35:51:091,642013,642013,0,0,25412357,0,4433 80,3,2024-09-07 09:35:50:575,1,505,3,0,296,6057,505,0 81,0,2024-09-07 09:35:51:533,124441,0.5,127330,0.7,242911,0.5,330109,2.00 81,1,2024-09-07 09:35:51:653,885884,885884,0,0,415873374652,4349930498,878488,6758,638,382,391885,0 81,2,2024-09-07 09:35:51:131,635756,635693,63,0,28342143,0,5932 81,3,2024-09-07 09:35:51:125,1,505,2,0,719,5838,505,0 82,0,2024-09-07 09:35:51:536,125238,0.5,125374,0.7,251245,0.4,333785,2.00 82,1,2024-09-07 09:35:50:586,888205,888201,0,4,416991689376,4337435054,883123,4258,820,381,391768,4 82,2,2024-09-07 09:35:51:696,638138,638138,0,0,23580197,0,4484 82,3,2024-09-07 09:35:51:752,1,505,1,0,363,4930,505,0 83,0,2024-09-07 09:35:51:533,130015,0.6,129993,0.8,259365,0.7,345033,2.25 83,1,2024-09-07 09:35:50:554,887231,887231,0,0,417147781250,4350611164,880879,5900,452,382,391709,0 83,2,2024-09-07 09:35:50:768,641246,641221,25,0,25634141,0,5612 83,3,2024-09-07 09:35:50:749,1,505,1,0,1260,6449,505,0 84,0,2024-09-07 09:35:51:787,123757,0.8,123903,0.9,247446,0.7,331014,2.25 84,1,2024-09-07 09:35:51:041,885793,885793,0,0,415983935340,4351802751,875604,8668,1521,367,391967,0 84,2,2024-09-07 09:35:50:571,638891,638861,30,0,33651446,0,5971 84,3,2024-09-07 09:35:51:149,1,505,4,0,908,7277,505,0 85,0,2024-09-07 09:35:51:015,120496,0.6,120421,0.8,255669,0.5,330616,2.25 85,1,2024-09-07 09:35:50:562,882743,882743,0,0,414992664241,4386072939,868493,11596,2654,381,392092,0 85,2,2024-09-07 09:35:50:877,637098,637098,0,0,31376013,0,4255 85,3,2024-09-07 09:35:50:689,1,505,4,0,789,5835,505,0 86,0,2024-09-07 09:35:50:890,124409,0.6,127984,0.8,244793,0.6,331425,2.25 86,1,2024-09-07 09:35:50:825,885796,885796,0,0,416351398208,4365375203,874516,9307,1973,366,391995,0 86,2,2024-09-07 09:35:50:865,633621,633620,1,0,33725768,0,5004 86,3,2024-09-07 09:35:50:596,1,505,1,0,308,7420,505,0 87,0,2024-09-07 09:35:51:286,129470,0.9,129210,0.9,258441,1.2,345924,2.25 87,1,2024-09-07 09:35:50:555,884652,884652,0,0,415055596849,4353102623,872464,10475,1713,366,392076,0 87,2,2024-09-07 09:35:51:067,638005,637999,6,0,29577472,0,6323 87,3,2024-09-07 09:35:51:796,1,505,1,0,473,7580,505,0 88,0,2024-09-07 09:35:51:458,126376,0.5,126966,0.6,253705,0.5,337372,2.00 88,1,2024-09-07 09:35:50:583,884069,884069,0,0,415621538603,4356741468,871454,10001,2614,365,392084,0 88,2,2024-09-07 09:35:50:689,640996,640996,0,0,32130517,0,4465 88,3,2024-09-07 09:35:51:280,1,505,3,0,435,6498,505,0 89,0,2024-09-07 09:35:51:826,129831,0.4,125866,0.6,248682,0.4,337759,1.75 89,1,2024-09-07 09:35:50:555,884482,884482,0,0,416214700329,4380750284,873085,9582,1815,382,391866,0 89,2,2024-09-07 09:35:51:142,638825,638825,0,0,30523525,0,3173 89,3,2024-09-07 09:35:51:794,1,505,1,0,468,8393,505,0 90,0,2024-09-07 09:35:51:614,121360,0.4,124791,0.6,254218,0.4,331434,1.75 90,1,2024-09-07 09:35:50:590,885962,885962,0,0,415671163559,4353437661,877463,7766,733,380,391825,0 90,2,2024-09-07 09:35:51:405,633095,633095,0,0,32643513,0,3608 90,3,2024-09-07 09:35:50:936,1,505,1,0,322,6191,505,0 91,0,2024-09-07 09:35:50:926,128114,0.5,124240,0.6,259797,0.5,341352,1.75 91,1,2024-09-07 09:35:50:557,884287,884287,0,0,415878033031,4375921927,872723,9554,2010,381,392047,0 91,2,2024-09-07 09:35:51:331,637569,637569,0,0,28702064,0,4713 91,3,2024-09-07 09:35:50:598,1,505,1,0,216,4860,505,0 92,0,2024-09-07 09:35:51:457,131042,0.5,133924,0.6,255368,0.5,345675,1.75 92,1,2024-09-07 09:35:50:584,886099,886099,0,0,416277263167,4351820976,878598,6296,1205,381,392136,0 92,2,2024-09-07 09:35:51:351,642693,642693,0,0,26129190,0,3259 92,3,2024-09-07 09:35:51:012,1,505,2,0,167,4332,505,0 93,0,2024-09-07 09:35:50:966,126635,0.4,129874,0.6,247864,0.4,336149,1.75 93,1,2024-09-07 09:35:50:853,886685,886685,0,0,416164703234,4348531699,876410,8542,1733,366,391776,0 93,2,2024-09-07 09:35:50:932,640106,640106,0,0,31782600,0,4913 93,3,2024-09-07 09:35:51:406,1,505,2,0,190,4659,505,0 94,0,2024-09-07 09:35:51:604,124026,0.3,124659,0.5,249272,0.3,331052,1.75 94,1,2024-09-07 09:35:50:677,886894,886894,0,0,417078749126,4352068788,880801,5720,373,381,391850,0 94,2,2024-09-07 09:35:50:766,635553,635525,28,0,27092329,0,6179 94,3,2024-09-07 09:35:51:689,1,505,1,0,576,6501,505,0 95,0,2024-09-07 09:35:51:348,126293,0.3,125979,0.5,252424,0.3,336708,1.75 95,1,2024-09-07 09:35:50:861,888152,888152,0,0,416422807926,4330779523,880804,6691,657,365,391786,0 95,2,2024-09-07 09:35:51:015,636291,636291,0,0,25859525,0,3308 95,3,2024-09-07 09:35:51:709,1,505,1,0,718,7996,505,0 96,0,2024-09-07 09:35:51:026,130493,0.4,130621,0.5,261139,0.3,347276,1.75 96,1,2024-09-07 09:35:51:592,886967,886967,0,0,416225687463,4343427629,880586,5469,912,384,391955,0 96,2,2024-09-07 09:35:51:268,642642,642642,0,0,26922071,0,4180 96,3,2024-09-07 09:35:51:154,1,505,29,0,411,5413,505,0 97,0,2024-09-07 09:35:51:382,124664,0.3,124314,0.5,249748,0.3,331920,1.75 97,1,2024-09-07 09:35:50:872,888275,888275,0,0,417845913777,4335707456,882535,4797,943,367,392140,0 97,2,2024-09-07 09:35:50:607,640980,640980,0,0,26337755,0,3679 97,3,2024-09-07 09:35:50:573,1,505,0,0,242,5961,505,0 98,0,2024-09-07 09:35:51:696,124347,0.3,124545,0.4,249715,0.2,331655,1.50 98,1,2024-09-07 09:35:50:575,887777,887777,0,0,416160276909,4335865754,882381,4598,798,381,391997,0 98,2,2024-09-07 09:35:50:780,637739,637739,0,0,25964231,0,4336 98,3,2024-09-07 09:35:50:698,1,505,0,0,840,7934,505,0 99,0,2024-09-07 09:35:51:446,125278,0.3,125873,0.5,250535,0.3,334606,1.75 99,1,2024-09-07 09:35:51:735,887935,887935,0,0,416165704112,4333815445,881983,5028,924,380,392069,0 99,2,2024-09-07 09:35:51:417,636763,636763,0,0,31063053,0,4276 99,3,2024-09-07 09:35:50:581,1,505,0,0,606,5197,505,0 100,0,2024-09-07 09:35:51:473,129604,0.9,130000,1.0,259169,1.1,346731,2.50 100,1,2024-09-07 09:35:50:591,882869,882869,0,0,414516238740,4385981563,868614,11157,3098,378,391989,0 100,2,2024-09-07 09:35:51:835,637326,637315,11,0,30407676,0,5417 100,3,2024-09-07 09:35:51:731,1,505,6,0,627,8960,505,0 101,0,2024-09-07 09:35:51:720,127859,1.4,124617,1.1,244128,1.4,333903,2.50 101,1,2024-09-07 09:35:50:554,883994,883994,0,0,415079782363,4364145547,871723,9871,2400,368,391847,0 101,2,2024-09-07 09:35:51:758,636075,636075,0,0,35414390,0,4871 101,3,2024-09-07 09:35:50:945,1,505,4,0,1250,7788,505,0 102,0,2024-09-07 09:35:50:963,122538,0.6,126260,0.7,256284,0.6,335010,2.00 102,1,2024-09-07 09:35:51:143,884127,884127,0,0,415956082923,4371675361,871939,10168,2020,369,391891,0 102,2,2024-09-07 09:35:51:737,638972,638918,54,0,30025371,0,6768 102,3,2024-09-07 09:35:51:612,1,505,5,0,466,6062,505,0 103,0,2024-09-07 09:35:51:586,128888,0.5,128874,0.7,242923,0.5,333721,2.00 103,1,2024-09-07 09:35:51:626,882892,882892,0,0,415026689848,4384257234,868860,10834,3198,381,391862,0 103,2,2024-09-07 09:35:50:604,633849,633849,0,0,31486608,0,3766 103,3,2024-09-07 09:35:50:756,1,505,5,0,916,6141,505,0 104,0,2024-09-07 09:35:51:038,127780,0.8,127953,1.0,254970,0.8,342077,2.50 104,1,2024-09-07 09:35:51:605,885488,885488,0,0,415437719826,4366920184,872450,10487,2551,365,392168,0 104,2,2024-09-07 09:35:51:666,635687,635687,0,0,30520044,0,3941 104,3,2024-09-07 09:35:51:416,1,505,0,0,1245,9093,505,0 105,0,2024-09-07 09:35:51:067,126259,1.0,123070,1.1,258024,1.3,338861,2.75 105,1,2024-09-07 09:35:50:555,886596,886596,0,0,416343046712,4364735351,875012,9818,1766,365,392009,0 105,2,2024-09-07 09:35:51:325,640594,640594,0,0,30823147,0,4360 105,3,2024-09-07 09:35:51:303,1,505,1,0,399,7304,505,0 106,0,2024-09-07 09:35:50:950,121882,0.7,124801,0.9,255841,0.8,333831,2.50 106,1,2024-09-07 09:35:51:750,885837,885837,0,0,415312918796,4360547535,873235,10956,1646,368,391914,0 106,2,2024-09-07 09:35:50:756,638667,638667,0,0,29142105,0,2920 106,3,2024-09-07 09:35:50:691,1,505,14,0,1224,7719,505,0 107,0,2024-09-07 09:35:51:103,124808,0.8,125025,0.8,249956,0.8,333188,2.00 107,1,2024-09-07 09:35:50:586,883934,883934,0,0,415195900266,4380219393,871038,11503,1393,381,392234,0 107,2,2024-09-07 09:35:51:305,633282,633281,1,0,31714369,0,5024 107,3,2024-09-07 09:35:51:755,1,505,0,0,733,7757,505,0 108,0,2024-09-07 09:35:51:818,126280,0.4,127149,0.6,252709,0.4,337746,1.75 108,1,2024-09-07 09:35:51:300,886323,886323,0,0,417290650866,4354704623,878435,6920,968,367,391857,0 108,2,2024-09-07 09:35:51:765,636155,636155,0,0,28548609,0,4246 108,3,2024-09-07 09:35:51:330,1,505,1,0,749,10201,505,0 109,0,2024-09-07 09:35:51:755,131127,0.4,129935,0.6,260564,0.4,348124,1.75 109,1,2024-09-07 09:35:50:583,884221,884221,0,0,415835046073,4364894949,876030,6978,1213,382,392132,0 109,2,2024-09-07 09:35:50:926,639836,639836,0,0,28532726,0,3617 109,3,2024-09-07 09:35:51:140,1,505,1,0,630,6398,505,0 110,0,2024-09-07 09:35:51:749,125008,0.4,121598,0.6,254786,0.3,334089,1.75 110,1,2024-09-07 09:35:51:643,887629,887629,0,0,417158265889,4335523314,880104,5603,1922,368,392045,0 110,2,2024-09-07 09:35:51:303,640649,640649,0,0,27005819,0,4067 110,3,2024-09-07 09:35:50:692,1,505,1,0,722,7157,505,0 111,0,2024-09-07 09:35:51:421,124716,0.3,124083,0.5,248507,0.3,331435,1.75 111,1,2024-09-07 09:35:51:002,889209,889209,0,0,418594079234,4341708717,884668,4184,357,380,391690,0 111,2,2024-09-07 09:35:51:119,635884,635884,0,0,27546647,0,4823 111,3,2024-09-07 09:35:50:918,1,505,13,0,379,5867,505,0 112,0,2024-09-07 09:35:50:912,126221,0.3,125623,0.4,251968,0.2,334753,1.50 112,1,2024-09-07 09:35:50:824,888035,888035,0,0,417547770626,4336875287,882141,5204,690,380,391624,0 112,2,2024-09-07 09:35:51:133,636116,636115,1,0,26129430,0,5036 112,3,2024-09-07 09:35:50:600,1,505,1,0,282,4938,505,0 113,0,2024-09-07 09:35:50:896,130279,0.3,130129,0.5,260648,0.3,347091,1.75 113,1,2024-09-07 09:35:51:686,890906,890906,0,0,418474715535,4320247743,885660,4433,813,365,391661,0 113,2,2024-09-07 09:35:51:327,643357,643357,0,0,23800873,0,3813 113,3,2024-09-07 09:35:50:686,1,505,2,0,340,5453,505,0 114,0,2024-09-07 09:35:50:876,125191,0.3,126069,0.5,250414,0.3,334954,1.75 114,1,2024-09-07 09:35:50:715,887914,887914,0,0,417006488533,4333026407,881013,5285,1616,381,391565,0 114,2,2024-09-07 09:35:50:876,641525,641524,1,0,25703961,0,5069 114,3,2024-09-07 09:35:51:282,1,505,0,0,395,4003,505,0 115,0,2024-09-07 09:35:50:555,125688,0.3,126132,0.4,251610,0.2,334199,1.50 115,1,2024-09-07 09:35:50:575,888673,888673,0,0,417450463289,4340227503,881275,6041,1357,382,391757,0 115,2,2024-09-07 09:35:51:125,641206,641206,0,0,25292865,0,4382 115,3,2024-09-07 09:35:51:003,1,505,1,0,167,2957,505,0 116,0,2024-09-07 09:35:51:718,124206,0.6,124138,0.8,248734,0.6,332626,2.00 116,1,2024-09-07 09:35:50:810,884246,884246,0,0,415943853002,4385539088,873607,8196,2443,380,392089,0 116,2,2024-09-07 09:35:51:755,634194,634194,0,0,31972638,0,4475 116,3,2024-09-07 09:35:50:921,1,505,15,0,415,6360,505,0 117,0,2024-09-07 09:35:50:955,129804,0.8,129183,0.8,258987,0.9,346540,2.00 117,1,2024-09-07 09:35:51:591,884813,884813,0,0,415872233095,4355540562,874842,8746,1225,369,392033,0 117,2,2024-09-07 09:35:51:119,640365,640365,0,0,27807331,0,4303 117,3,2024-09-07 09:35:51:061,1,505,1,0,490,7051,505,0 118,0,2024-09-07 09:35:51:779,122720,0.6,126116,0.7,257085,0.6,335915,2.00 118,1,2024-09-07 09:35:50:595,884550,884550,0,0,414977386813,4365482827,871057,10373,3120,366,392054,0 118,2,2024-09-07 09:35:51:589,639953,639953,0,0,30581955,0,2842 118,3,2024-09-07 09:35:51:766,1,505,7,0,248,5856,505,0 119,0,2024-09-07 09:35:51:329,125924,0.6,126310,0.8,252417,0.6,335921,2.00 119,1,2024-09-07 09:35:50:548,885216,885216,0,0,416292083070,4364077340,873560,9890,1766,367,391857,0 119,2,2024-09-07 09:35:51:267,639684,639684,0,0,28631444,0,4174 119,3,2024-09-07 09:35:51:327,1,505,1,0,1358,9712,505,0 120,0,2024-09-07 09:35:51:555,124422,0.6,124190,0.8,249471,0.6,332148,2.25 120,1,2024-09-07 09:35:50:859,885725,885725,0,0,415942059045,4361396205,876443,8503,779,368,392144,0 120,2,2024-09-07 09:35:50:774,633944,633943,1,0,33019675,0,5281 120,3,2024-09-07 09:35:51:300,1,505,1,0,279,6406,505,0 121,0,2024-09-07 09:35:51:741,127770,1.4,127138,1.1,255014,2.0,340497,2.50 121,1,2024-09-07 09:35:51:658,886221,886221,0,0,415818196364,4348752550,877500,8020,701,367,391840,0 121,2,2024-09-07 09:35:51:170,635646,635646,0,0,30172740,0,4157 121,3,2024-09-07 09:35:50:727,1,505,2,0,330,6142,505,0 122,0,2024-09-07 09:35:51:846,128983,0.9,125595,0.9,263121,1.0,345534,2.25 122,1,2024-09-07 09:35:50:866,884511,884511,0,0,415999104864,4365974977,872079,10548,1884,366,392130,0 122,2,2024-09-07 09:35:51:324,642490,642415,75,0,34650296,0,5989 122,3,2024-09-07 09:35:50:594,1,505,2,0,512,8686,505,0 123,0,2024-09-07 09:35:50:951,125368,0.8,122107,0.9,255592,1.0,334729,2.25 123,1,2024-09-07 09:35:50:560,885088,885088,0,0,416239947612,4381725993,869945,12640,2503,369,392039,0 123,2,2024-09-07 09:35:51:021,638041,638040,1,0,29415558,0,5215 123,3,2024-09-07 09:35:51:132,1,505,3,0,478,5994,505,0 124,0,2024-09-07 09:35:50:920,127978,0.3,127963,0.5,241179,0.3,332039,1.75 124,1,2024-09-07 09:35:51:021,888083,888083,0,0,416426005187,4331707345,881277,5675,1131,365,392178,0 124,2,2024-09-07 09:35:51:012,636681,636628,53,0,26835452,0,6487 124,3,2024-09-07 09:35:50:758,1,505,2,0,490,5378,505,0 125,0,2024-09-07 09:35:51:434,126102,0.4,125797,0.6,252837,0.3,336617,1.75 125,1,2024-09-07 09:35:50:859,886621,886621,0,0,416431381597,4348976615,879655,5984,982,382,391813,0 125,2,2024-09-07 09:35:51:119,638489,638489,0,0,26879787,0,4534 125,3,2024-09-07 09:35:51:126,1,505,2,0,709,6147,505,0 126,0,2024-09-07 09:35:51:444,130457,0.4,134183,0.6,256452,0.4,347836,1.75 126,1,2024-09-07 09:35:50:554,889000,889000,0,0,417350999497,4322201453,884333,4357,310,365,391987,0 126,2,2024-09-07 09:35:50:613,642813,642813,0,0,27763098,0,4539 126,3,2024-09-07 09:35:50:907,1,505,1,0,268,5712,505,0 127,0,2024-09-07 09:35:51:604,124820,0.3,125175,0.5,249702,0.3,332199,1.75 127,1,2024-09-07 09:35:50:577,887692,887692,0,0,416322762588,4335637631,878331,7857,1504,364,392187,0 127,2,2024-09-07 09:35:50:638,639000,638996,4,0,26421966,0,5305 127,3,2024-09-07 09:35:51:271,1,505,1,0,968,5159,505,0 128,0,2024-09-07 09:35:51:526,125148,0.3,125310,0.4,250227,0.2,332521,1.50 128,1,2024-09-07 09:35:51:604,887018,887018,0,0,417485260063,4339021871,880545,5739,734,367,392031,0 128,2,2024-09-07 09:35:51:385,639306,639306,0,0,24672523,0,3171 128,3,2024-09-07 09:35:50:767,1,505,38,0,1082,8266,505,0 129,0,2024-09-07 09:35:51:008,126319,0.3,125799,0.5,252023,0.3,335056,1.50 129,1,2024-09-07 09:35:50:568,884787,884787,0,0,415955959376,4361998908,875770,7073,1944,379,391962,0 129,2,2024-09-07 09:35:50:694,636769,636765,4,0,26885543,0,5335 129,3,2024-09-07 09:35:50:689,1,505,2,0,506,7253,505,0 130,0,2024-09-07 09:35:51:754,130655,0.5,130341,0.6,261481,0.5,348089,1.75 130,1,2024-09-07 09:35:50:583,887682,887682,0,0,416692432238,4330727572,882897,4397,388,381,391825,0 130,2,2024-09-07 09:35:51:132,640653,640653,0,0,26868878,0,4067 130,3,2024-09-07 09:35:51:291,1,505,1,0,960,7268,505,0 131,0,2024-09-07 09:35:51:928,125481,0.4,125939,0.5,252256,0.3,334818,1.75 131,1,2024-09-07 09:35:51:822,887233,887233,0,0,416602406672,4348700431,880359,5683,1191,381,391865,0 131,2,2024-09-07 09:35:50:567,641467,641467,0,0,24980328,0,3979 131,3,2024-09-07 09:35:51:688,1,505,2,0,392,6313,505,0 132,0,2024-09-07 09:35:51:444,125974,0.4,127089,0.6,252873,0.4,336106,2.00 132,1,2024-09-07 09:35:50:620,883808,883808,0,0,414204669466,4359998598,871373,10244,2191,381,392532,0 132,2,2024-09-07 09:35:50:697,638685,638668,17,0,32605593,0,6451 132,3,2024-09-07 09:35:51:688,1,505,4,0,804,8693,505,0 133,0,2024-09-07 09:35:51:520,121627,0.4,124548,0.6,255296,0.4,331846,1.75 133,1,2024-09-07 09:35:50:585,883735,883735,0,0,414973405690,4376508617,871237,10810,1688,383,391914,0 133,2,2024-09-07 09:35:51:087,635098,635048,50,0,32823749,0,6861 133,3,2024-09-07 09:35:51:298,1,505,257,0,479,5952,505,0 134,0,2024-09-07 09:35:50:941,128947,0.6,128879,0.7,258147,0.6,344324,2.25 134,1,2024-09-07 09:35:50:590,885073,885073,0,0,415615147281,4358973291,873715,9212,2146,366,391718,0 134,2,2024-09-07 09:35:51:757,636516,636492,24,0,30910177,0,6207 134,3,2024-09-07 09:35:50:751,1,505,2,0,739,6225,505,0 135,0,2024-09-07 09:35:51:129,123761,1.0,123741,0.9,262595,1.2,338266,2.50 135,1,2024-09-07 09:35:51:589,884640,884640,0,0,416075610745,4377653485,873012,10063,1565,380,391805,0 135,2,2024-09-07 09:35:50:689,641813,641813,0,0,31125235,0,4503 135,3,2024-09-07 09:35:51:002,1,505,5,0,900,5239,505,0 136,0,2024-09-07 09:35:51:628,126696,0.5,126907,0.7,252737,0.5,336904,2.00 136,1,2024-09-07 09:35:51:442,886429,886429,0,0,415265133891,4355696749,876065,9097,1267,381,392135,0 136,2,2024-09-07 09:35:51:133,640964,640949,15,0,30492114,0,6007 136,3,2024-09-07 09:35:51:108,1,505,0,0,637,6183,505,0 137,0,2024-09-07 09:35:50:922,128965,0.5,125635,0.7,246337,0.5,334432,2.00 137,1,2024-09-07 09:35:50:586,884632,884632,0,0,415384549226,4356443088,871209,10753,2670,366,391898,0 137,2,2024-09-07 09:35:51:704,633902,633902,0,0,31822100,0,3185 137,3,2024-09-07 09:35:50:769,1,505,1,0,484,6448,505,0 138,0,2024-09-07 09:35:51:762,125972,0.9,126114,0.9,252870,1.0,336292,2.25 138,1,2024-09-07 09:35:51:690,885147,885147,0,0,416108374919,4366665919,872416,10762,1969,368,391954,0 138,2,2024-09-07 09:35:50:587,635829,635829,0,0,29292293,0,4988 138,3,2024-09-07 09:35:50:610,1,505,1,0,1200,8220,505,0 139,0,2024-09-07 09:35:51:423,129307,1.3,129757,1.0,259720,1.9,346475,2.50 139,1,2024-09-07 09:35:50:576,880788,880788,0,0,414217419971,4395689275,864856,12473,3459,380,392058,0 139,2,2024-09-07 09:35:50:691,637962,637962,0,0,32945393,0,3097 139,3,2024-09-07 09:35:51:665,1,505,5,0,432,5928,505,0 140,0,2024-09-07 09:35:51:589,125731,0.3,124956,0.5,250642,0.2,334363,1.75 140,1,2024-09-07 09:35:51:538,889845,889845,0,0,418093395916,4312201014,885265,4042,538,364,391606,0 140,2,2024-09-07 09:35:50:689,641321,641320,1,0,25245762,0,5036 140,3,2024-09-07 09:35:50:767,1,505,1,0,297,4824,505,0 141,0,2024-09-07 09:35:51:697,124708,0.3,127915,0.4,244179,0.2,331194,1.50 141,1,2024-09-07 09:35:50:862,888688,888688,0,0,417612055610,4340058890,881584,6019,1085,379,391614,0 141,2,2024-09-07 09:35:51:685,637002,636991,11,0,26735757,0,5369 141,3,2024-09-07 09:35:51:043,1,505,1,0,391,5593,505,0 142,0,2024-09-07 09:35:51:309,126321,0.3,125392,0.5,251535,0.3,335458,1.75 142,1,2024-09-07 09:35:50:586,887031,887031,0,0,416973160212,4347866958,881379,5193,459,382,392102,0 142,2,2024-09-07 09:35:51:299,635664,635632,32,0,27280940,0,6028 142,3,2024-09-07 09:35:51:748,1,505,5,0,484,5383,505,0 143,0,2024-09-07 09:35:51:456,130187,0.5,130039,0.6,261004,0.4,347121,1.75 143,1,2024-09-07 09:35:50:563,888793,888793,0,0,417698919427,4337070845,882721,5516,556,367,391722,0 143,2,2024-09-07 09:35:50:774,642175,642175,0,0,26790376,0,3123 143,3,2024-09-07 09:35:51:141,1,505,0,0,462,6448,505,0 144,0,2024-09-07 09:35:51:507,120938,0.7,124487,0.8,253303,0.6,331924,2.25 144,1,2024-09-07 09:35:50:592,884296,884296,0,0,415070007324,4359161276,874911,7419,1966,381,391733,0 144,2,2024-09-07 09:35:51:755,640852,640852,0,0,25513190,0,3673 144,3,2024-09-07 09:35:51:739,1,505,4,0,249,4983,505,0 145,0,2024-09-07 09:35:51:360,121208,0.5,121157,0.8,257253,0.5,330903,2.25 145,1,2024-09-07 09:35:50:552,883757,883757,0,0,415364110879,4375149152,871402,9890,2465,382,391759,0 145,2,2024-09-07 09:35:51:433,636296,636214,82,0,31399920,0,7814 145,3,2024-09-07 09:35:50:900,1,505,10,0,622,6968,505,0 146,0,2024-09-07 09:35:51:601,124635,0.5,124155,0.7,249177,0.5,331005,2.00 146,1,2024-09-07 09:35:51:586,884977,884977,0,0,415375224207,4369741230,870557,10619,3801,367,391770,0 146,2,2024-09-07 09:35:51:696,633890,633884,6,0,29419297,0,5151 146,3,2024-09-07 09:35:51:280,1,505,2,0,1520,8775,505,0 147,0,2024-09-07 09:35:51:731,129757,0.6,129462,0.8,258423,0.6,345898,2.25 147,1,2024-09-07 09:35:51:372,888391,888391,0,0,417621628021,4341804207,881110,6402,879,367,391791,0 147,2,2024-09-07 09:35:51:009,638192,638192,0,0,26539112,0,2968 147,3,2024-09-07 09:35:50:918,1,505,1,0,1626,8428,505,0 0,0,2024-09-07 09:36:01:749,121525,0.6,121515,0.7,257920,0.6,332673,2.00 0,1,2024-09-07 09:36:00:822,887072,887072,0,0,416435569770,4363222948,879563,6669,840,369,391896,0 0,2,2024-09-07 09:36:01:082,637836,637836,0,0,26514546,0,4480 0,3,2024-09-07 09:36:00:975,1,506,2,0,431,7154,506,0 1,0,2024-09-07 09:36:01:783,127983,1.0,127380,1.0,255544,1.4,341742,2.00 1,1,2024-09-07 09:36:00:770,886333,886333,0,0,416145001545,4363289790,877626,7240,1467,370,391859,0 1,2,2024-09-07 09:36:00:662,638285,638285,0,0,25941175,0,3380 1,3,2024-09-07 09:36:01:301,1,506,0,0,269,6461,506,0 2,0,2024-09-07 09:36:01:577,129245,0.6,129245,0.8,258275,0.7,344223,2.00 2,1,2024-09-07 09:36:00:863,889415,889415,0,0,418249381753,4348365938,884478,4020,917,379,391745,0 2,2,2024-09-07 09:36:01:266,644368,644368,0,0,24785997,0,3594 2,3,2024-09-07 09:36:00:696,1,506,0,0,357,4656,506,0 3,0,2024-09-07 09:36:01:756,126072,0.4,125841,0.6,251411,0.4,335271,2.00 3,1,2024-09-07 09:36:01:619,888195,888195,0,0,417426006629,4348088909,880730,6763,702,379,391716,0 3,2,2024-09-07 09:36:01:142,642146,642123,23,0,25798449,0,5851 3,3,2024-09-07 09:36:01:752,1,506,1,0,207,3168,506,0 4,0,2024-09-07 09:36:01:810,120863,0.4,124189,0.5,253371,0.3,331634,1.75 4,1,2024-09-07 09:36:00:608,884475,884475,0,0,414648436666,4395108592,868245,12547,3683,370,391992,0 4,2,2024-09-07 09:36:01:038,633820,633820,0,0,31347652,0,4534 4,3,2024-09-07 09:36:01:031,1,506,50,0,448,6626,506,0 5,0,2024-09-07 09:36:01:421,126166,0.4,126750,0.6,253064,0.4,336633,1.75 5,1,2024-09-07 09:36:00:765,885868,885868,0,0,417007980616,4391038459,873156,10299,2413,367,392005,0 5,2,2024-09-07 09:36:01:842,635219,635219,0,0,30257567,0,3582 5,3,2024-09-07 09:36:01:738,1,506,6,0,457,7000,506,0 6,0,2024-09-07 09:36:00:926,130897,0.5,130353,0.7,261052,0.5,348629,2.00 6,1,2024-09-07 09:36:00:749,887960,887960,0,0,416370206732,4351224186,878442,8062,1456,379,391702,0 6,2,2024-09-07 09:36:01:120,643130,643112,18,0,29486470,0,5535 6,3,2024-09-07 09:36:01:275,1,506,1,0,710,6190,506,0 7,0,2024-09-07 09:36:01:557,124151,0.5,125071,0.7,248985,0.5,331728,2.00 7,1,2024-09-07 09:36:00:854,886092,886092,0,0,416261381742,4374762542,873171,10690,2231,382,391747,0 7,2,2024-09-07 09:36:00:769,641364,641364,0,0,28583454,0,4791 7,3,2024-09-07 09:36:00:858,1,506,1,0,552,5859,506,0 8,0,2024-09-07 09:36:01:367,125065,0.3,124763,0.5,250237,0.3,332829,1.75 8,1,2024-09-07 09:36:01:025,884847,884847,0,0,415179285937,4367086533,870670,11049,3128,366,392853,0 8,2,2024-09-07 09:36:00:795,634046,634044,2,0,34115471,0,5112 8,3,2024-09-07 09:36:00:599,1,506,0,0,538,7721,506,0 9,0,2024-09-07 09:36:01:110,125531,0.4,122212,0.5,255700,0.3,335164,1.75 9,1,2024-09-07 09:36:00:556,884805,884805,0,0,416279140137,4386105069,871400,10758,2647,369,392001,0 9,2,2024-09-07 09:36:01:083,636152,636151,1,0,30830539,0,5281 9,3,2024-09-07 09:36:01:752,1,506,1,0,1273,8605,506,0 10,0,2024-09-07 09:36:01:602,130286,0.4,129880,0.5,260531,0.3,347367,1.75 10,1,2024-09-07 09:36:00:585,887754,887754,0,0,416074975216,4361818241,875398,10266,2090,381,391869,0 10,2,2024-09-07 09:36:00:770,641361,641361,0,0,33474045,0,4264 10,3,2024-09-07 09:36:00:871,1,506,1,0,649,5307,506,0 11,0,2024-09-07 09:36:01:014,125370,0.5,121682,0.7,254328,0.5,335103,1.75 11,1,2024-09-07 09:36:00:581,887880,887880,0,0,417897140396,4384261016,874404,10014,3462,383,391756,0 11,2,2024-09-07 09:36:01:125,640976,640976,0,0,29609911,0,4130 11,3,2024-09-07 09:36:01:297,1,506,1,0,843,6885,506,0 12,0,2024-09-07 09:36:00:945,126747,0.4,126806,0.5,253668,0.3,336716,1.75 12,1,2024-09-07 09:36:00:944,887441,887441,0,0,417046750713,4353236935,879787,6776,878,370,391960,0 12,2,2024-09-07 09:36:01:542,639617,639617,0,0,29451833,0,4390 12,3,2024-09-07 09:36:01:067,1,506,33,0,386,6985,506,0 13,0,2024-09-07 09:36:01:333,125783,0.4,125531,0.6,250409,0.4,333481,1.75 13,1,2024-09-07 09:36:01:534,886035,886035,0,0,415848387017,4373890490,876420,7322,2293,382,391740,0 13,2,2024-09-07 09:36:00:627,638308,638308,0,0,26345960,0,3287 13,3,2024-09-07 09:36:01:782,1,506,4,0,522,7167,506,0 14,0,2024-09-07 09:36:00:567,129267,0.4,130298,0.6,258262,0.4,344709,1.75 14,1,2024-09-07 09:36:01:561,891496,891496,0,0,418844609755,4336408474,884591,6177,728,364,391673,0 14,2,2024-09-07 09:36:00:772,639384,639354,30,0,27812932,0,6104 14,3,2024-09-07 09:36:01:119,1,506,1,0,1168,5827,506,0 15,0,2024-09-07 09:36:01:596,127420,0.5,126891,0.7,254780,0.4,339175,2.00 15,1,2024-09-07 09:36:01:614,888815,888815,0,0,417759139846,4353604579,881086,6244,1485,381,391619,0 15,2,2024-09-07 09:36:01:001,644718,644718,0,0,23405700,0,3622 15,3,2024-09-07 09:36:01:410,1,506,3,0,1126,7284,506,0 16,0,2024-09-07 09:36:00:930,126336,0.5,126926,0.7,252994,0.5,336543,2.00 16,1,2024-09-07 09:36:00:567,888817,888817,0,0,416631040926,4359005260,880418,7101,1298,370,392194,0 16,2,2024-09-07 09:36:01:445,640817,640817,0,0,27604299,0,4719 16,3,2024-09-07 09:36:01:158,1,506,1,0,358,6507,506,0 17,0,2024-09-07 09:36:01:767,129183,0.5,125886,0.7,246691,0.5,334639,2.00 17,1,2024-09-07 09:36:00:584,886551,886551,0,0,416597181651,4373400153,877270,7534,1747,368,392075,0 17,2,2024-09-07 09:36:01:683,638824,638823,1,0,28276962,0,5050 17,3,2024-09-07 09:36:00:586,1,506,1,0,518,7699,506,0 18,0,2024-09-07 09:36:00:959,125607,0.6,126426,0.8,251942,0.7,336896,2.25 18,1,2024-09-07 09:36:01:648,890765,890765,0,0,418136378856,4339625972,884662,5108,995,367,391725,0 18,2,2024-09-07 09:36:01:757,640341,640341,0,0,25134462,0,3541 18,3,2024-09-07 09:36:00:901,1,506,1,0,1059,4877,506,0 19,0,2024-09-07 09:36:01:552,130060,0.7,130416,0.8,259224,0.7,345366,2.25 19,1,2024-09-07 09:36:00:568,889948,889948,0,0,417884695088,4335920320,882961,5903,1084,367,391777,0 19,2,2024-09-07 09:36:01:752,646346,646346,0,0,23671012,0,3988 19,3,2024-09-07 09:36:01:138,1,506,33,0,524,4179,506,0 20,0,2024-09-07 09:36:01:369,125193,0.5,125445,0.7,250367,0.5,334340,2.00 20,1,2024-09-07 09:36:00:583,887383,887383,0,0,417120912823,4370879833,878251,7770,1362,369,391922,0 20,2,2024-09-07 09:36:00:945,640930,640930,0,0,28424773,0,3721 20,3,2024-09-07 09:36:00:598,1,506,0,0,468,8828,506,0 21,0,2024-09-07 09:36:01:151,124501,0.4,124629,0.6,249223,0.4,330672,1.75 21,1,2024-09-07 09:36:01:561,885721,885721,0,0,416093984604,4393167374,871578,11026,3117,368,392016,0 21,2,2024-09-07 09:36:01:077,634004,633984,20,0,33340189,0,5617 21,3,2024-09-07 09:36:01:409,1,506,1,0,713,6670,506,0 22,0,2024-09-07 09:36:01:730,125879,0.5,126308,0.6,252211,0.4,334942,2.00 22,1,2024-09-07 09:36:01:028,886495,886495,0,0,415952303510,4381435881,871360,11910,3225,382,391822,0 22,2,2024-09-07 09:36:00:774,636553,636527,26,0,27954190,0,6328 22,3,2024-09-07 09:36:01:070,1,506,1,0,228,4325,506,0 23,0,2024-09-07 09:36:01:367,130015,0.5,129567,0.7,259462,0.5,346013,2.25 23,1,2024-09-07 09:36:01:005,887432,887432,0,0,417201179743,4381444091,871776,11248,4408,365,391690,0 23,2,2024-09-07 09:36:01:098,643674,643674,0,0,26690498,0,3773 23,3,2024-09-07 09:36:01:760,1,506,0,0,720,6791,506,0 24,0,2024-09-07 09:36:00:884,126138,0.4,125311,0.6,251868,0.4,334696,1.75 24,1,2024-09-07 09:36:00:589,886312,886312,0,0,416046708606,4358075869,877362,7276,1674,367,392269,0 24,2,2024-09-07 09:36:01:090,640940,640940,0,0,31657436,0,4438 24,3,2024-09-07 09:36:01:692,1,506,21,0,468,6513,506,0 25,0,2024-09-07 09:36:01:397,128880,0.4,125551,0.6,246241,0.4,335316,1.75 25,1,2024-09-07 09:36:00:567,885447,885447,0,0,416278023430,4392660796,870572,11906,2969,369,391928,0 25,2,2024-09-07 09:36:01:637,637951,637951,0,0,32767063,0,3978 25,3,2024-09-07 09:36:01:019,1,506,8,0,532,5616,506,0 26,0,2024-09-07 09:36:01:725,124529,0.4,121829,0.6,255486,0.3,332746,1.75 26,1,2024-09-07 09:36:01:548,888321,888321,0,0,416234361668,4360915761,875492,10377,2452,380,391748,0 26,2,2024-09-07 09:36:00:864,636513,636513,0,0,34551090,0,4689 26,3,2024-09-07 09:36:01:719,1,506,0,0,796,5699,506,0 27,0,2024-09-07 09:36:01:733,130247,0.5,130525,0.6,259567,0.4,346920,2.25 27,1,2024-09-07 09:36:01:676,889301,889301,0,0,418245389453,4357592121,880752,7281,1268,381,391626,0 27,2,2024-09-07 09:36:00:889,637912,637847,65,0,30214761,0,5699 27,3,2024-09-07 09:36:01:019,1,506,1,0,564,4493,506,0 28,0,2024-09-07 09:36:01:389,127005,0.4,126557,0.6,254027,0.4,338133,2.00 28,1,2024-09-07 09:36:00:804,889439,889439,0,0,417885964470,4359355590,881669,6056,1714,382,391698,0 28,2,2024-09-07 09:36:01:770,642387,642387,0,0,26795624,0,2915 28,3,2024-09-07 09:36:01:776,1,506,1,0,502,5183,506,0 29,0,2024-09-07 09:36:01:376,129812,0.4,126499,0.6,247993,0.3,338081,1.75 29,1,2024-09-07 09:36:01:573,891538,891538,0,0,417921457674,4325451169,885982,4794,762,368,391809,0 29,2,2024-09-07 09:36:00:865,640431,640431,0,0,26141130,0,4986 29,3,2024-09-07 09:36:00:972,1,506,1,0,459,5680,506,0 30,0,2024-09-07 09:36:01:465,124730,0.5,121276,0.7,253969,0.4,331558,2.00 30,1,2024-09-07 09:36:00:572,890843,890843,0,0,418265955375,4343619659,883869,6072,902,380,391672,0 30,2,2024-09-07 09:36:01:274,637177,637177,0,0,25073967,0,4192 30,3,2024-09-07 09:36:00:586,1,506,1,0,519,4966,506,0 31,0,2024-09-07 09:36:01:765,127846,0.5,128080,0.7,256034,0.4,342084,2.00 31,1,2024-09-07 09:36:00:564,893771,893771,0,0,420033527385,4314608067,888448,4312,1011,356,391712,0 31,2,2024-09-07 09:36:01:274,637463,637463,0,0,27722383,0,4470 31,3,2024-09-07 09:36:01:707,1,506,1,0,220,4269,506,0 32,0,2024-09-07 09:36:01:424,129854,0.4,130555,0.5,259875,0.3,345945,1.75 32,1,2024-09-07 09:36:00:810,890063,890063,0,0,418602883147,4353464665,884266,5090,707,381,391646,0 32,2,2024-09-07 09:36:00:943,644852,644852,0,0,24234835,0,3922 32,3,2024-09-07 09:36:01:019,1,506,0,0,304,4214,506,0 33,0,2024-09-07 09:36:01:492,126564,0.3,126225,0.4,252578,0.2,336366,1.50 33,1,2024-09-07 09:36:00:583,890988,890988,0,0,418324796193,4336313597,883763,6053,1172,368,391730,0 33,2,2024-09-07 09:36:00:772,643029,642994,35,0,26862302,0,7012 33,3,2024-09-07 09:36:00:901,1,506,0,0,329,4835,506,0 34,0,2024-09-07 09:36:00:936,124971,0.3,128393,0.4,245267,0.2,330925,1.75 34,1,2024-09-07 09:36:01:044,892729,892729,0,0,419674318562,4318188938,890221,2486,22,367,391562,0 34,2,2024-09-07 09:36:00:773,637895,637895,0,0,25310321,0,4562 34,3,2024-09-07 09:36:01:692,1,506,1,0,320,3984,506,0 35,0,2024-09-07 09:36:00:884,125597,0.3,126384,0.5,253801,0.3,337443,1.75 35,1,2024-09-07 09:36:01:071,889847,889847,0,0,417797206859,4337008391,883194,5440,1213,382,391769,0 35,2,2024-09-07 09:36:01:586,637458,637458,0,0,27812697,0,4055 35,3,2024-09-07 09:36:00:914,1,506,1,0,418,4848,506,0 36,0,2024-09-07 09:36:01:540,131073,0.5,131011,0.7,262060,0.5,349368,2.25 36,1,2024-09-07 09:36:00:595,888731,888731,0,0,416472517784,4353607196,876452,10060,2219,366,391759,0 36,2,2024-09-07 09:36:01:756,643888,643888,0,0,29080213,0,3875 36,3,2024-09-07 09:36:00:874,1,506,2,0,416,7132,506,0 37,0,2024-09-07 09:36:01:379,124347,0.5,124321,0.7,248949,0.5,332151,2.25 37,1,2024-09-07 09:36:00:582,887527,887520,0,7,416704139540,4354210308,876449,8397,2674,365,391770,0 37,2,2024-09-07 09:36:01:149,639753,639738,15,0,29479582,0,5815 37,3,2024-09-07 09:36:01:782,1,506,0,0,888,7254,506,0 38,0,2024-09-07 09:36:01:447,124159,0.4,120486,0.6,252130,0.4,330003,2.00 38,1,2024-09-07 09:36:01:606,889390,889390,0,0,417686576064,4369818466,876166,10705,2519,368,391821,0 38,2,2024-09-07 09:36:00:770,637077,637030,47,0,29608048,0,6710 38,3,2024-09-07 09:36:01:005,1,506,106,0,689,6655,506,0 39,0,2024-09-07 09:36:01:760,128621,0.5,125763,0.7,245124,0.5,334597,2.00 39,1,2024-09-07 09:36:00:715,887210,887210,0,0,415930613588,4367394157,871444,12297,3469,365,391865,0 39,2,2024-09-07 09:36:01:424,638114,638114,0,0,27273015,0,3391 39,3,2024-09-07 09:36:00:721,1,506,1,0,525,6120,506,0 40,0,2024-09-07 09:36:01:505,128940,0.8,129700,1.0,258894,1.0,345596,2.75 40,1,2024-09-07 09:36:00:585,889667,889667,0,0,416402021502,4357822668,877654,9835,2178,368,391668,0 40,2,2024-09-07 09:36:01:303,640088,640087,1,0,32713034,0,5137 40,3,2024-09-07 09:36:01:150,1,506,1,0,1028,7286,506,0 41,0,2024-09-07 09:36:01:040,124788,1.4,127378,1.2,243242,2.0,331025,3.25 41,1,2024-09-07 09:36:00:774,887165,887165,0,0,416156073089,4357276350,875298,9934,1933,369,391742,0 41,2,2024-09-07 09:36:00:760,638974,638973,1,0,31459399,0,5408 41,3,2024-09-07 09:36:01:678,1,506,1,0,366,5321,506,0 42,0,2024-09-07 09:36:01:480,125385,0.8,125055,1.0,250396,0.9,331467,2.50 42,1,2024-09-07 09:36:01:455,885037,885037,0,0,416049989725,4377843698,870029,12100,2908,380,391675,0 42,2,2024-09-07 09:36:01:138,639440,639440,0,0,30262526,0,3975 42,3,2024-09-07 09:36:01:022,1,506,1,0,892,4666,506,0 43,0,2024-09-07 09:36:00:924,123766,0.7,120570,0.9,252317,0.7,330571,2.25 43,1,2024-09-07 09:36:00:583,888106,888106,0,0,417588241447,4365890415,875241,10606,2259,366,391696,0 43,2,2024-09-07 09:36:01:737,637093,637093,0,0,30049162,0,4723 43,3,2024-09-07 09:36:01:749,1,506,1,0,571,7211,506,0 44,0,2024-09-07 09:36:00:876,129367,0.4,129453,0.6,259282,0.4,345218,2.00 44,1,2024-09-07 09:36:00:568,890821,890821,0,0,418266438134,4324978416,883028,6206,1587,356,391809,0 44,2,2024-09-07 09:36:01:275,637501,637501,0,0,25025764,0,4344 44,3,2024-09-07 09:36:01:097,1,506,1,0,817,5547,506,0 45,0,2024-09-07 09:36:01:769,126171,0.5,123257,0.8,258552,0.5,339413,2.00 45,1,2024-09-07 09:36:01:015,889528,889528,0,0,418468345769,4352013946,882019,6633,876,382,391917,0 45,2,2024-09-07 09:36:01:268,643986,643986,0,0,25390967,0,3596 45,3,2024-09-07 09:36:00:943,1,506,0,0,271,4526,506,0 46,0,2024-09-07 09:36:00:952,125835,0.5,125313,0.7,251511,0.4,333720,2.00 46,1,2024-09-07 09:36:00:576,891346,891346,0,0,418628357902,4328805640,884945,5538,863,366,391709,0 46,2,2024-09-07 09:36:00:824,641842,641842,0,0,25614167,0,4443 46,3,2024-09-07 09:36:01:131,1,506,6,0,908,5955,506,0 47,0,2024-09-07 09:36:01:103,125489,0.4,125592,0.6,251867,0.3,333608,1.75 47,1,2024-09-07 09:36:00:580,891965,891965,0,0,419134959166,4331391842,886740,4386,839,366,391641,0 47,2,2024-09-07 09:36:00:908,639222,639222,0,0,25367318,0,4477 47,3,2024-09-07 09:36:01:115,1,506,1,0,600,5837,506,0 48,0,2024-09-07 09:36:01:497,127435,0.3,127525,0.4,253923,0.2,338938,1.50 48,1,2024-09-07 09:36:01:027,889883,889883,0,0,417638625154,4341446497,884096,5215,572,384,391710,0 48,2,2024-09-07 09:36:00:715,638437,638437,0,0,23362909,0,3411 48,3,2024-09-07 09:36:00:763,1,506,3,0,339,4076,506,0 49,0,2024-09-07 09:36:01:733,133987,0.4,131603,0.5,255638,0.3,349387,1.75 49,1,2024-09-07 09:36:01:023,889574,889574,0,0,418401338535,4351458306,884011,4352,1211,382,391809,0 49,2,2024-09-07 09:36:01:795,644925,644925,0,0,25994385,0,4426 49,3,2024-09-07 09:36:01:424,1,506,3,0,992,5832,506,0 50,0,2024-09-07 09:36:01:595,126079,0.3,124345,0.5,250563,0.2,334173,1.75 50,1,2024-09-07 09:36:01:023,892410,892410,0,0,419158005814,4336078818,886466,5277,667,368,391565,0 50,2,2024-09-07 09:36:01:079,641434,641434,0,0,23815265,0,4490 50,3,2024-09-07 09:36:01:291,1,506,1,0,617,5421,506,0 51,0,2024-09-07 09:36:01:733,127805,0.3,125009,0.4,243611,0.2,332217,1.75 51,1,2024-09-07 09:36:01:679,892903,892903,0,0,419498320258,4327416085,888141,3708,1054,365,391706,0 51,2,2024-09-07 09:36:01:319,637782,637782,0,0,23020338,0,3337 51,3,2024-09-07 09:36:01:030,1,506,2,0,678,3759,506,0 52,0,2024-09-07 09:36:01:418,126405,0.5,126040,0.7,252426,0.4,335818,2.00 52,1,2024-09-07 09:36:00:580,888820,888820,0,0,417437391269,4372864147,875596,11119,2105,368,391722,0 52,2,2024-09-07 09:36:01:757,634410,634372,38,0,30391118,0,6742 52,3,2024-09-07 09:36:00:677,1,506,1,0,1782,6620,506,0 53,0,2024-09-07 09:36:01:747,129681,0.7,125896,0.8,263269,0.8,345551,2.50 53,1,2024-09-07 09:36:00:774,886935,886935,0,0,417164243436,4381327802,871582,11509,3844,367,391968,0 53,2,2024-09-07 09:36:01:297,643265,643264,1,0,27314165,0,5455 53,3,2024-09-07 09:36:00:697,1,506,2,0,308,5127,506,0 54,0,2024-09-07 09:36:01:664,123150,0.6,123570,0.8,245988,0.5,328620,2.25 54,1,2024-09-07 09:36:00:581,888827,888827,0,0,417969833760,4350900370,878763,8430,1634,366,391810,0 54,2,2024-09-07 09:36:00:875,641353,641321,32,0,31196680,0,6397 54,3,2024-09-07 09:36:00:773,1,506,1,0,676,6911,506,0 55,0,2024-09-07 09:36:01:760,121061,0.6,124919,0.7,253053,0.5,329626,2.50 55,1,2024-09-07 09:36:00:774,890173,890173,0,0,417521213935,4343833331,880530,8324,1319,365,391731,0 55,2,2024-09-07 09:36:00:731,638699,638643,56,0,29537173,0,7239 55,3,2024-09-07 09:36:00:693,1,506,5,0,304,4829,506,0 56,0,2024-09-07 09:36:01:559,127618,1.1,120226,1.1,247828,1.5,332053,2.75 56,1,2024-09-07 09:36:00:604,884724,884724,0,0,415627308271,4392196359,870412,11400,2912,381,391867,0 56,2,2024-09-07 09:36:01:304,636701,636579,122,0,30697279,0,7432 56,3,2024-09-07 09:36:01:067,1,506,1,0,705,6194,506,0 57,0,2024-09-07 09:36:01:023,128134,1.7,128144,1.3,256461,2.3,343332,3.50 57,1,2024-09-07 09:36:00:986,886354,886354,0,0,416093644117,4368924790,874179,9890,2285,366,392032,0 57,2,2024-09-07 09:36:01:325,639907,639907,0,0,31596929,0,4317 57,3,2024-09-07 09:36:01:750,1,506,16,0,455,5765,506,0 58,0,2024-09-07 09:36:00:556,124724,1.0,121032,1.0,253320,1.3,331544,2.75 58,1,2024-09-07 09:36:00:590,887988,887985,0,3,417220289770,4375456583,874200,10465,3320,367,391603,3 58,2,2024-09-07 09:36:01:081,641804,641804,0,0,29977474,0,3483 58,3,2024-09-07 09:36:01:076,1,506,0,0,1043,5620,506,0 59,0,2024-09-07 09:36:01:745,125697,0.8,125634,1.0,250419,0.9,332249,2.75 59,1,2024-09-07 09:36:00:804,886601,886601,0,0,416745876717,4379598684,871862,11600,3139,369,391653,0 59,2,2024-09-07 09:36:00:585,640386,640386,0,0,28729669,0,3727 59,3,2024-09-07 09:36:01:738,1,506,1,0,1015,6420,506,0 60,0,2024-09-07 09:36:01:725,124977,0.5,125253,0.7,250677,0.5,332514,1.75 60,1,2024-09-07 09:36:00:781,891088,891088,0,0,418711232319,4347609469,884913,5243,932,370,392031,0 60,2,2024-09-07 09:36:01:142,636768,636768,0,0,27340499,0,3811 60,3,2024-09-07 09:36:01:271,1,506,1,0,409,6066,506,0 61,0,2024-09-07 09:36:01:514,127878,0.8,128486,0.8,255746,0.8,341760,2.00 61,1,2024-09-07 09:36:00:776,887776,887776,0,0,416656782049,4366176311,877907,8289,1580,382,392127,0 61,2,2024-09-07 09:36:01:125,638348,638281,67,0,28289576,0,6411 61,3,2024-09-07 09:36:01:692,1,506,2,0,607,7432,506,0 62,0,2024-09-07 09:36:01:718,130125,0.7,133409,0.8,254692,0.7,345739,2.00 62,1,2024-09-07 09:36:01:138,893018,893012,0,6,419962986139,4329795873,888861,3871,280,365,391975,6 62,2,2024-09-07 09:36:01:655,641675,641674,1,0,27640563,0,5555 62,3,2024-09-07 09:36:01:165,1,506,2,0,482,4187,506,0 63,0,2024-09-07 09:36:01:458,126545,0.4,126238,0.6,253478,0.4,336798,1.75 63,1,2024-09-07 09:36:00:808,890520,890514,0,6,418421397243,4345674778,884765,4998,751,381,391800,6 63,2,2024-09-07 09:36:00:770,641444,641444,0,0,26686083,0,4369 63,3,2024-09-07 09:36:01:743,1,506,2,0,667,5535,506,0 64,0,2024-09-07 09:36:01:575,124225,0.5,124290,0.6,248124,0.4,330108,2.00 64,1,2024-09-07 09:36:00:751,889942,889942,0,0,418124821453,4356549662,882057,6114,1771,370,391794,0 64,2,2024-09-07 09:36:01:141,640620,640601,19,0,25569702,0,6121 64,3,2024-09-07 09:36:01:152,1,506,17,0,651,5427,506,0 65,0,2024-09-07 09:36:01:703,125522,0.6,125885,0.8,250928,0.7,334835,2.00 65,1,2024-09-07 09:36:00:867,888047,888047,0,0,417086942806,4361799825,881302,5949,796,381,391901,0 65,2,2024-09-07 09:36:01:704,637925,637925,0,0,30012525,0,3367 65,3,2024-09-07 09:36:01:685,1,506,5,0,782,6100,506,0 66,0,2024-09-07 09:36:01:778,130283,0.6,129845,0.8,259870,0.6,346632,2.25 66,1,2024-09-07 09:36:01:294,889927,889927,0,0,418105864896,4354542390,883779,5426,722,380,391743,0 66,2,2024-09-07 09:36:01:139,645516,645513,3,0,27445819,0,5455 66,3,2024-09-07 09:36:01:080,1,506,2,0,291,4490,506,0 67,0,2024-09-07 09:36:01:426,124784,0.5,124457,0.7,249745,0.5,332458,2.00 67,1,2024-09-07 09:36:00:795,889908,889907,0,1,418440652022,4358513574,883638,5456,813,380,391787,1 67,2,2024-09-07 09:36:00:595,643746,643731,15,0,26502803,0,6205 67,3,2024-09-07 09:36:01:751,1,506,8,0,392,4888,506,0 68,0,2024-09-07 09:36:00:685,125033,0.5,124970,0.7,248871,0.5,332464,2.00 68,1,2024-09-07 09:36:00:583,886383,886383,0,0,416221639003,4379760158,874161,8823,3399,381,391953,0 68,2,2024-09-07 09:36:01:049,634667,634567,100,0,33956930,0,8578 68,3,2024-09-07 09:36:00:737,1,506,7,0,417,6703,506,0 69,0,2024-09-07 09:36:01:734,124910,0.7,125764,0.8,250603,0.7,332767,2.25 69,1,2024-09-07 09:36:01:032,885379,885379,0,0,415457246046,4381027296,873294,9326,2759,384,391994,0 69,2,2024-09-07 09:36:01:743,636210,636181,29,0,34770330,0,6912 69,3,2024-09-07 09:36:00:769,1,506,1,0,698,7709,506,0 70,0,2024-09-07 09:36:01:540,128866,1.0,129320,1.0,259882,0.9,344459,2.50 70,1,2024-09-07 09:36:00:815,890659,890659,0,0,418672508149,4346611289,883408,6489,762,366,391725,0 70,2,2024-09-07 09:36:01:330,641622,641622,0,0,29427469,0,4323 70,3,2024-09-07 09:36:00:747,1,506,1,0,854,5670,506,0 71,0,2024-09-07 09:36:01:358,124096,1.2,123829,1.1,248977,1.7,332157,3.00 71,1,2024-09-07 09:36:01:600,888180,888180,0,0,417741433066,4363938886,876788,10137,1255,368,391738,0 71,2,2024-09-07 09:36:01:083,640695,640695,0,0,30166135,0,4352 71,3,2024-09-07 09:36:01:751,1,506,10,0,644,6090,506,0 72,0,2024-09-07 09:36:01:049,130094,0.5,127179,0.7,248148,0.5,336981,2.00 72,1,2024-09-07 09:36:01:034,886603,886603,0,0,416650457286,4374946974,873225,11097,2281,369,391819,0 72,2,2024-09-07 09:36:01:764,639017,639017,0,0,31455362,0,3983 72,3,2024-09-07 09:36:01:762,1,506,6,0,564,7380,506,0 73,0,2024-09-07 09:36:01:120,121711,0.4,125003,0.6,255143,0.4,331562,2.00 73,1,2024-09-07 09:36:00:778,889864,889864,0,0,417708475088,4339336830,883638,5801,425,367,391858,0 73,2,2024-09-07 09:36:01:754,636237,636237,0,0,31854870,0,4728 73,3,2024-09-07 09:36:00:970,1,506,8,0,486,6405,506,0 74,0,2024-09-07 09:36:01:336,130209,0.5,133282,0.7,254199,0.5,345592,2.25 74,1,2024-09-07 09:36:00:636,888052,888052,0,0,416988916669,4357512715,877607,8522,1923,381,391762,0 74,2,2024-09-07 09:36:01:007,638398,638398,0,0,27805670,0,4253 74,3,2024-09-07 09:36:01:452,1,506,1,0,522,6183,506,0 75,0,2024-09-07 09:36:01:781,128022,0.6,127089,0.8,255393,0.6,340831,2.25 75,1,2024-09-07 09:36:01:602,889157,889157,0,0,416820344515,4348220160,881273,7024,860,380,391739,0 75,2,2024-09-07 09:36:01:362,641557,641557,0,0,33277905,0,4766 75,3,2024-09-07 09:36:01:079,1,506,1,0,702,6135,506,0 76,0,2024-09-07 09:36:00:613,125843,0.5,125129,0.7,250807,0.5,335269,2.25 76,1,2024-09-07 09:36:00:826,888072,888072,0,0,416946154914,4351550338,881453,5653,966,382,391692,0 76,2,2024-09-07 09:36:01:062,643568,643565,3,0,28303297,0,5265 76,3,2024-09-07 09:36:01:142,1,506,0,0,227,4810,506,0 77,0,2024-09-07 09:36:01:716,124851,0.5,125283,0.7,250761,0.5,332604,2.00 77,1,2024-09-07 09:36:00:869,889191,889191,0,0,417925526398,4361240129,882363,6235,593,381,391869,0 77,2,2024-09-07 09:36:01:293,636115,636115,0,0,27757204,0,3890 77,3,2024-09-07 09:36:01:111,1,506,20,0,401,5485,506,0 78,0,2024-09-07 09:36:01:735,127135,0.4,126702,0.6,254282,0.4,337410,2.00 78,1,2024-09-07 09:36:00:616,888650,888650,0,0,416580208545,4347533765,877886,8239,2525,367,391670,0 78,2,2024-09-07 09:36:01:414,639245,639232,13,0,25854186,0,8313 78,3,2024-09-07 09:36:01:140,1,506,1,0,311,4489,506,0 79,0,2024-09-07 09:36:01:349,126140,0.4,129179,0.6,264562,0.4,344241,2.25 79,1,2024-09-07 09:36:00:595,891443,891443,0,0,418244531751,4336571898,883711,6375,1357,367,391682,0 79,2,2024-09-07 09:36:01:083,644089,644089,0,0,24930894,0,4195 79,3,2024-09-07 09:36:00:749,1,506,5,0,418,6241,506,0 80,0,2024-09-07 09:36:01:106,125225,0.5,128650,0.7,246287,0.5,333515,2.00 80,1,2024-09-07 09:36:01:657,888643,888643,0,0,418163714817,4354368660,882822,5395,426,368,392269,0 80,2,2024-09-07 09:36:01:096,643545,643545,0,0,25443713,0,4433 80,3,2024-09-07 09:36:00:585,1,506,7,0,296,6064,506,0 81,0,2024-09-07 09:36:01:622,124686,0.5,127545,0.7,243316,0.5,330713,2.00 81,1,2024-09-07 09:36:01:654,887634,887634,0,0,416559978626,4357043276,880238,6758,638,382,391885,0 81,2,2024-09-07 09:36:01:127,636446,636383,63,0,28359584,0,5932 81,3,2024-09-07 09:36:01:123,1,506,2,0,719,5840,506,0 82,0,2024-09-07 09:36:01:614,125645,0.5,125758,0.7,252084,0.4,335043,2.00 82,1,2024-09-07 09:36:00:587,889951,889947,0,4,417916282951,4346882538,884869,4258,820,381,391768,4 82,2,2024-09-07 09:36:01:708,639531,639531,0,0,23619757,0,4484 82,3,2024-09-07 09:36:01:760,1,506,1,0,363,4931,506,0 83,0,2024-09-07 09:36:01:646,130329,0.6,130287,0.8,259974,0.7,345796,2.25 83,1,2024-09-07 09:36:00:558,889060,889060,0,0,417913154549,4358471450,882707,5900,453,382,391709,0 83,2,2024-09-07 09:36:00:764,642842,642817,25,0,25664747,0,5612 83,3,2024-09-07 09:36:00:749,1,506,10,0,1260,6459,506,0 84,0,2024-09-07 09:36:01:784,124050,0.8,124221,0.9,248050,0.7,331929,2.25 84,1,2024-09-07 09:36:01:040,887493,887493,0,0,416934309814,4361493740,877303,8669,1521,367,391967,0 84,2,2024-09-07 09:36:00:584,640119,640089,30,0,33676550,0,5971 84,3,2024-09-07 09:36:01:150,1,506,5,0,908,7282,506,0 85,0,2024-09-07 09:36:01:014,120631,0.6,120587,0.8,256013,0.5,331112,2.25 85,1,2024-09-07 09:36:00:581,884492,884492,0,0,415852398057,4394921260,870242,11596,2654,381,392092,0 85,2,2024-09-07 09:36:00:871,638077,638077,0,0,31397240,0,4255 85,3,2024-09-07 09:36:00:693,1,506,3,0,789,5838,506,0 86,0,2024-09-07 09:36:00:944,124717,0.6,128320,0.8,245363,0.6,332436,2.25 86,1,2024-09-07 09:36:00:884,887563,887563,0,0,417165302298,4373753977,876280,9309,1974,366,391995,0 86,2,2024-09-07 09:36:00:868,635145,635144,1,0,33770689,0,5004 86,3,2024-09-07 09:36:00:608,1,506,3,0,308,7423,506,0 87,0,2024-09-07 09:36:01:330,129895,0.9,129683,0.9,259279,1.2,347060,2.25 87,1,2024-09-07 09:36:00:575,886470,886470,0,0,415747290051,4360239009,874280,10476,1714,366,392076,0 87,2,2024-09-07 09:36:01:079,639304,639298,6,0,29624186,0,6323 87,3,2024-09-07 09:36:01:795,1,506,1,0,473,7581,506,0 88,0,2024-09-07 09:36:01:467,126500,0.5,127096,0.6,253930,0.5,337703,2.00 88,1,2024-09-07 09:36:00:582,885843,885843,0,0,416399628489,4364686721,873228,10001,2614,365,392084,0 88,2,2024-09-07 09:36:00:694,642204,642204,0,0,32143054,0,4465 88,3,2024-09-07 09:36:01:268,1,506,1,0,435,6499,506,0 89,0,2024-09-07 09:36:01:780,129956,0.4,125987,0.6,248892,0.4,338086,1.75 89,1,2024-09-07 09:36:00:558,886293,886293,0,0,417054991823,4389362037,874894,9584,1815,382,391866,0 89,2,2024-09-07 09:36:01:133,640102,640102,0,0,30534911,0,3173 89,3,2024-09-07 09:36:01:791,1,506,5,0,468,8398,506,0 90,0,2024-09-07 09:36:01:679,121400,0.4,124829,0.6,254287,0.4,331434,1.75 90,1,2024-09-07 09:36:00:887,887797,887797,0,0,416456681758,4361446689,879298,7766,733,380,391825,0 90,2,2024-09-07 09:36:01:423,634366,634366,0,0,32656398,0,3608 90,3,2024-09-07 09:36:00:934,1,506,1,0,322,6192,506,0 91,0,2024-09-07 09:36:00:967,128484,0.5,124585,0.6,260572,0.5,342330,1.75 91,1,2024-09-07 09:36:00:585,885905,885905,0,0,416461655178,4381899854,874341,9554,2010,381,392047,0 91,2,2024-09-07 09:36:01:332,638882,638882,0,0,28713410,0,4713 91,3,2024-09-07 09:36:00:599,1,506,2,0,216,4862,506,0 92,0,2024-09-07 09:36:01:500,131124,0.5,134012,0.6,255563,0.5,345934,1.75 92,1,2024-09-07 09:36:00:586,887863,887863,0,0,417040110385,4359623804,880361,6297,1205,381,392136,0 92,2,2024-09-07 09:36:01:356,644028,644028,0,0,26146776,0,3259 92,3,2024-09-07 09:36:01:030,1,506,0,0,167,4332,506,0 93,0,2024-09-07 09:36:00:957,126869,0.4,130117,0.6,248324,0.4,336731,1.75 93,1,2024-09-07 09:36:00:813,888498,888498,0,0,416943320662,4356480794,878223,8542,1733,366,391776,0 93,2,2024-09-07 09:36:00:944,641410,641410,0,0,31805327,0,4913 93,3,2024-09-07 09:36:01:420,1,506,2,0,190,4661,506,0 94,0,2024-09-07 09:36:01:614,124327,0.3,124986,0.5,249914,0.3,331960,1.75 94,1,2024-09-07 09:36:00:569,888683,888683,0,0,417958644655,4361073457,882590,5720,373,381,391850,0 94,2,2024-09-07 09:36:00:778,636523,636495,28,0,27104202,0,6179 94,3,2024-09-07 09:36:01:694,1,506,1,0,576,6502,506,0 95,0,2024-09-07 09:36:01:428,126586,0.3,126274,0.5,253052,0.3,337424,1.75 95,1,2024-09-07 09:36:00:860,889859,889859,0,0,417146767361,4338153837,882511,6691,657,365,391786,0 95,2,2024-09-07 09:36:01:024,637688,637688,0,0,25875667,0,3308 95,3,2024-09-07 09:36:01:723,1,506,1,0,718,7997,506,0 96,0,2024-09-07 09:36:01:036,130970,0.4,131107,0.5,262126,0.3,348759,1.75 96,1,2024-09-07 09:36:01:588,888713,888713,0,0,417099501098,4352393438,882332,5469,912,384,391955,0 96,2,2024-09-07 09:36:01:268,644041,644041,0,0,26949767,0,4180 96,3,2024-09-07 09:36:01:143,1,506,1,0,411,5414,506,0 97,0,2024-09-07 09:36:01:329,125013,0.3,124612,0.5,250388,0.3,332765,1.75 97,1,2024-09-07 09:36:00:780,890044,890044,0,0,418661776784,4344259219,884302,4799,943,367,392140,0 97,2,2024-09-07 09:36:00:618,642416,642416,0,0,26374968,0,3679 97,3,2024-09-07 09:36:00:569,1,506,4,0,242,5965,506,0 98,0,2024-09-07 09:36:01:711,124487,0.3,124706,0.4,250058,0.2,332058,1.50 98,1,2024-09-07 09:36:00:588,889510,889510,0,0,416895646503,4343441657,884114,4598,798,381,391997,0 98,2,2024-09-07 09:36:00:775,638330,638330,0,0,25977438,0,4336 98,3,2024-09-07 09:36:00:706,1,506,0,0,840,7934,506,0 99,0,2024-09-07 09:36:01:456,125513,0.3,126145,0.5,251036,0.3,335221,1.75 99,1,2024-09-07 09:36:01:738,889715,889715,0,0,417015875248,4342543334,883761,5029,925,380,392069,0 99,2,2024-09-07 09:36:01:433,638347,638347,0,0,31099131,0,4276 99,3,2024-09-07 09:36:00:584,1,506,1,0,606,5198,506,0 100,0,2024-09-07 09:36:01:514,130057,0.9,130394,1.0,259932,1.1,347768,2.50 100,1,2024-09-07 09:36:00:566,884636,884636,0,0,415333858370,4394444833,870381,11157,3098,378,391989,0 100,2,2024-09-07 09:36:01:820,638826,638815,11,0,30449016,0,5417 100,3,2024-09-07 09:36:01:737,1,506,1,0,627,8961,506,0 101,0,2024-09-07 09:36:01:802,128027,1.3,124772,1.1,244459,1.4,334361,2.50 101,1,2024-09-07 09:36:00:556,885712,885712,0,0,416083323119,4374409219,873441,9871,2400,368,391847,0 101,2,2024-09-07 09:36:01:788,637137,637137,0,0,35446361,0,4871 101,3,2024-09-07 09:36:00:950,1,506,1,0,1250,7789,506,0 102,0,2024-09-07 09:36:01:051,122636,0.6,126361,0.7,256488,0.6,335258,2.00 102,1,2024-09-07 09:36:01:168,885879,885879,0,0,416693760029,4379305057,873691,10168,2020,369,391891,0 102,2,2024-09-07 09:36:01:743,640034,639980,54,0,30044339,0,6768 102,3,2024-09-07 09:36:01:613,1,506,11,0,466,6073,506,0 103,0,2024-09-07 09:36:01:604,128963,0.5,128968,0.7,243103,0.5,334030,2.00 103,1,2024-09-07 09:36:01:749,884584,884584,0,0,415711700201,4391423383,870552,10834,3198,381,391862,0 103,2,2024-09-07 09:36:00:604,635455,635455,0,0,31526359,0,3766 103,3,2024-09-07 09:36:00:771,1,506,1,0,916,6142,506,0 104,0,2024-09-07 09:36:01:013,128243,0.8,128430,0.9,255863,0.7,343470,2.50 104,1,2024-09-07 09:36:01:614,887230,887230,0,0,416149233332,4374186089,874191,10488,2551,365,392168,0 104,2,2024-09-07 09:36:01:696,636980,636980,0,0,30539529,0,3941 104,3,2024-09-07 09:36:01:431,1,506,2,0,1245,9095,506,0 105,0,2024-09-07 09:36:01:033,126378,1.0,123151,1.1,258239,1.3,339154,2.75 105,1,2024-09-07 09:36:00:565,888384,888384,0,0,417266333528,4374143743,876796,9822,1766,365,392009,0 105,2,2024-09-07 09:36:01:330,641667,641667,0,0,30833451,0,4360 105,3,2024-09-07 09:36:01:306,1,506,2,0,399,7306,506,0 106,0,2024-09-07 09:36:00:964,122036,0.7,124923,0.9,256100,0.8,334086,2.50 106,1,2024-09-07 09:36:01:755,887593,887593,0,0,416142444574,4368992443,874990,10957,1646,368,391914,0 106,2,2024-09-07 09:36:00:783,640025,640025,0,0,29156839,0,2920 106,3,2024-09-07 09:36:00:713,1,506,9,0,1224,7728,506,0 107,0,2024-09-07 09:36:01:126,125009,0.8,125241,0.8,250383,0.8,333683,2.00 107,1,2024-09-07 09:36:00:593,885662,885662,0,0,415724687430,4385704478,872766,11503,1393,381,392234,0 107,2,2024-09-07 09:36:01:304,634419,634418,1,0,31727580,0,5024 107,3,2024-09-07 09:36:01:757,1,506,10,0,733,7767,506,0 108,0,2024-09-07 09:36:01:798,126683,0.4,127521,0.6,253538,0.4,338909,1.75 108,1,2024-09-07 09:36:01:296,888106,888106,0,0,418136944728,4363360256,880214,6923,969,367,391857,0 108,2,2024-09-07 09:36:01:757,637371,637371,0,0,28566680,0,4246 108,3,2024-09-07 09:36:01:344,1,506,7,0,749,10208,506,0 109,0,2024-09-07 09:36:01:791,131322,0.4,130134,0.6,260986,0.4,348431,1.75 109,1,2024-09-07 09:36:00:608,886027,886027,0,0,416591803840,4372734492,877834,6980,1213,382,392132,0 109,2,2024-09-07 09:36:00:931,641223,641223,0,0,28552569,0,3617 109,3,2024-09-07 09:36:01:158,1,506,3,0,630,6401,506,0 110,0,2024-09-07 09:36:01:782,125295,0.4,121870,0.6,255374,0.3,334869,1.75 110,1,2024-09-07 09:36:01:661,889360,889360,0,0,417904441891,4343116984,881835,5603,1922,368,392045,0 110,2,2024-09-07 09:36:01:313,642033,642033,0,0,27027550,0,4067 110,3,2024-09-07 09:36:00:693,1,506,0,0,722,7157,506,0 111,0,2024-09-07 09:36:01:433,124934,0.3,124289,0.5,248897,0.3,332023,1.75 111,1,2024-09-07 09:36:01:007,890977,890977,0,0,419605375252,4351988962,886435,4185,357,380,391690,0 111,2,2024-09-07 09:36:01:116,636618,636618,0,0,27553934,0,4823 111,3,2024-09-07 09:36:00:919,1,506,21,0,379,5888,506,0 112,0,2024-09-07 09:36:00:922,126644,0.3,126015,0.4,252802,0.2,335984,1.50 112,1,2024-09-07 09:36:00:833,889795,889795,0,0,418434995596,4345982129,883901,5204,690,380,391624,0 112,2,2024-09-07 09:36:01:139,637540,637539,1,0,26158661,0,5036 112,3,2024-09-07 09:36:00:613,1,506,3,0,282,4941,506,0 113,0,2024-09-07 09:36:00:885,130575,0.3,130418,0.5,261244,0.3,347829,1.75 113,1,2024-09-07 09:36:01:686,892609,892609,0,0,419303781728,4328696843,887363,4433,813,365,391661,0 113,2,2024-09-07 09:36:01:320,644920,644920,0,0,23843411,0,3813 113,3,2024-09-07 09:36:00:695,1,506,1,0,340,5454,506,0 114,0,2024-09-07 09:36:00:894,125532,0.3,126366,0.5,251050,0.2,335900,1.75 114,1,2024-09-07 09:36:00:724,889730,889730,0,0,418000253515,4343166639,882829,5285,1616,381,391565,0 114,2,2024-09-07 09:36:00:879,642845,642844,1,0,25740724,0,5069 114,3,2024-09-07 09:36:01:281,1,506,51,0,395,4054,506,0 115,0,2024-09-07 09:36:00:554,125857,0.3,126294,0.4,251945,0.2,334670,1.50 115,1,2024-09-07 09:36:00:578,890409,890409,0,0,418060574249,4346522222,883011,6041,1357,382,391757,0 115,2,2024-09-07 09:36:01:125,642225,642225,0,0,25312411,0,4382 115,3,2024-09-07 09:36:01:002,1,506,1,0,167,2958,506,0 116,0,2024-09-07 09:36:01:713,124500,0.6,124413,0.8,249339,0.6,333534,2.00 116,1,2024-09-07 09:36:00:810,885954,885954,0,0,416606976095,4392387272,875315,8196,2443,380,392089,0 116,2,2024-09-07 09:36:01:751,635692,635692,0,0,32011659,0,4475 116,3,2024-09-07 09:36:00:920,1,506,3,0,415,6363,506,0 117,0,2024-09-07 09:36:00:986,130279,0.8,129625,0.8,259890,0.9,347732,2.00 117,1,2024-09-07 09:36:01:587,886515,886515,0,0,416849373090,4365501538,876543,8747,1225,369,392033,0 117,2,2024-09-07 09:36:01:266,641728,641728,0,0,27839072,0,4303 117,3,2024-09-07 09:36:01:059,1,506,0,0,490,7051,506,0 118,0,2024-09-07 09:36:01:783,122828,0.6,126232,0.7,257309,0.6,336231,2.00 118,1,2024-09-07 09:36:00:590,886384,886384,0,0,415587863368,4371808678,872890,10374,3120,366,392054,0 118,2,2024-09-07 09:36:01:599,641208,641208,0,0,30624419,0,2842 118,3,2024-09-07 09:36:01:771,1,506,12,0,248,5868,506,0 119,0,2024-09-07 09:36:01:344,126030,0.6,126434,0.8,252671,0.6,336263,2.00 119,1,2024-09-07 09:36:00:548,886972,886972,0,0,417101895987,4372419946,875314,9892,1766,367,391857,0 119,2,2024-09-07 09:36:01:275,641052,641052,0,0,28664686,0,4174 119,3,2024-09-07 09:36:01:333,1,506,1,0,1358,9713,506,0 120,0,2024-09-07 09:36:01:607,124466,0.6,124240,0.8,249544,0.6,332148,2.25 120,1,2024-09-07 09:36:00:861,887432,887432,0,0,416644471207,4368565717,878149,8504,779,368,392144,0 120,2,2024-09-07 09:36:00:774,635313,635312,1,0,33033149,0,5281 120,3,2024-09-07 09:36:01:295,1,506,1,0,279,6407,506,0 121,0,2024-09-07 09:36:01:773,128142,1.4,127526,1.1,255769,2.0,341404,2.50 121,1,2024-09-07 09:36:01:659,888016,888016,0,0,416697059202,4357694929,879295,8020,701,367,391840,0 121,2,2024-09-07 09:36:01:133,636875,636875,0,0,30189873,0,4157 121,3,2024-09-07 09:36:00:755,1,506,4,0,330,6146,506,0 122,0,2024-09-07 09:36:01:771,129072,0.9,125695,0.9,263311,1.0,345773,2.25 122,1,2024-09-07 09:36:00:866,886319,886319,0,0,416891466727,4375026633,873887,10548,1884,366,392130,0 122,2,2024-09-07 09:36:01:323,643825,643750,75,0,34663230,0,5989 122,3,2024-09-07 09:36:00:605,1,506,13,0,512,8699,506,0 123,0,2024-09-07 09:36:00:990,125603,0.8,122328,0.9,256113,0.9,335300,2.25 123,1,2024-09-07 09:36:00:565,886898,886898,0,0,417060196266,4390071271,871755,12640,2503,369,392039,0 123,2,2024-09-07 09:36:01:024,639315,639314,1,0,29427636,0,5215 123,3,2024-09-07 09:36:01:153,1,506,0,0,478,5994,506,0 124,0,2024-09-07 09:36:00:937,128336,0.3,128294,0.5,241771,0.3,332934,1.75 124,1,2024-09-07 09:36:01:023,889850,889850,0,0,417111694170,4338704936,883044,5675,1131,365,392178,0 124,2,2024-09-07 09:36:01:025,637730,637677,53,0,26849004,0,6487 124,3,2024-09-07 09:36:00:773,1,506,1,0,490,5379,506,0 125,0,2024-09-07 09:36:01:447,126403,0.4,126106,0.6,253486,0.3,337303,1.75 125,1,2024-09-07 09:36:00:862,888362,888362,0,0,417297468643,4357854836,881395,5985,982,382,391813,0 125,2,2024-09-07 09:36:01:119,639880,639880,0,0,26893747,0,4534 125,3,2024-09-07 09:36:01:160,1,506,1,0,709,6148,506,0 126,0,2024-09-07 09:36:01:430,131013,0.4,134686,0.6,257473,0.4,349325,1.75 126,1,2024-09-07 09:36:00:559,890797,890797,0,0,418075344572,4329566432,886130,4357,310,365,391987,0 126,2,2024-09-07 09:36:00:619,644286,644286,0,0,27781719,0,4539 126,3,2024-09-07 09:36:00:908,1,506,36,0,268,5748,506,0 127,0,2024-09-07 09:36:01:602,125137,0.3,125510,0.5,250364,0.3,333007,1.75 127,1,2024-09-07 09:36:00:585,889451,889451,0,0,417245457134,4344996576,880089,7858,1504,364,392187,0 127,2,2024-09-07 09:36:00:668,640445,640441,4,0,26441548,0,5305 127,3,2024-09-07 09:36:01:268,1,506,2,0,968,5161,506,0 128,0,2024-09-07 09:36:01:652,125315,0.3,125466,0.4,250542,0.2,332932,1.50 128,1,2024-09-07 09:36:01:608,888754,888754,0,0,418436763755,4348803234,882280,5740,734,367,392031,0 128,2,2024-09-07 09:36:01:395,639988,639988,0,0,24688973,0,3171 128,3,2024-09-07 09:36:00:776,1,506,1,0,1082,8267,506,0 129,0,2024-09-07 09:36:01:001,126569,0.3,126083,0.5,252503,0.3,335652,1.50 129,1,2024-09-07 09:36:00:580,886611,886611,0,0,416734598398,4370154824,877594,7073,1944,379,391962,0 129,2,2024-09-07 09:36:00:694,638199,638195,4,0,26920676,0,5335 129,3,2024-09-07 09:36:00:693,1,506,3,0,506,7256,506,0 130,0,2024-09-07 09:36:01:739,131070,0.5,130725,0.6,262248,0.5,349153,1.75 130,1,2024-09-07 09:36:00:590,889387,889387,0,0,417616213457,4340187160,884602,4397,388,381,391825,0 130,2,2024-09-07 09:36:01:136,642189,642189,0,0,26919112,0,4067 130,3,2024-09-07 09:36:01:291,1,506,1,0,960,7269,506,0 131,0,2024-09-07 09:36:01:941,125643,0.4,126102,0.5,252593,0.3,335280,1.75 131,1,2024-09-07 09:36:01:819,889036,889036,0,0,417260457180,4355503465,882162,5683,1191,381,391865,0 131,2,2024-09-07 09:36:00:569,642477,642477,0,0,24997245,0,3979 131,3,2024-09-07 09:36:01:695,1,506,1,0,392,6314,506,0 132,0,2024-09-07 09:36:01:462,126084,0.4,127188,0.6,253055,0.4,336373,2.00 132,1,2024-09-07 09:36:00:606,885517,885517,0,0,415176308604,4369926515,873082,10244,2191,381,392532,0 132,2,2024-09-07 09:36:00:715,639698,639681,17,0,32627499,0,6451 132,3,2024-09-07 09:36:01:692,1,506,4,0,804,8697,506,0 133,0,2024-09-07 09:36:01:640,121719,0.4,124642,0.6,255504,0.4,332165,1.75 133,1,2024-09-07 09:36:00:625,885501,885501,0,0,415773053317,4384743945,873003,10810,1688,383,391914,0 133,2,2024-09-07 09:36:01:086,636682,636632,50,0,32873673,0,6861 133,3,2024-09-07 09:36:01:299,1,506,0,0,479,5952,506,0 134,0,2024-09-07 09:36:00:955,129452,0.6,129332,0.7,259113,0.6,345756,2.25 134,1,2024-09-07 09:36:00:618,886946,886946,0,0,416684218383,4369905605,875588,9212,2146,366,391718,0 134,2,2024-09-07 09:36:01:761,637933,637909,24,0,30935250,0,6207 134,3,2024-09-07 09:36:00:763,1,506,2,0,739,6227,506,0 135,0,2024-09-07 09:36:01:115,123879,1.0,123829,0.9,262798,1.2,338555,2.50 135,1,2024-09-07 09:36:01:600,886481,886481,0,0,417153458519,4388655373,874853,10063,1565,380,391805,0 135,2,2024-09-07 09:36:00:708,642896,642896,0,0,31152124,0,4503 135,3,2024-09-07 09:36:01:002,1,506,1,0,900,5240,506,0 136,0,2024-09-07 09:36:01:729,126833,0.5,127039,0.7,252950,0.5,337157,2.00 136,1,2024-09-07 09:36:01:456,888165,888165,0,0,416098345562,4364201010,877801,9097,1267,381,392135,0 136,2,2024-09-07 09:36:01:142,642407,642392,15,0,30510402,0,6007 136,3,2024-09-07 09:36:01:110,1,506,1,0,637,6184,506,0 137,0,2024-09-07 09:36:01:013,129205,0.5,125854,0.7,246794,0.5,334954,2.00 137,1,2024-09-07 09:36:00:575,886366,886366,0,0,416165675467,4364391787,872942,10753,2671,366,391898,0 137,2,2024-09-07 09:36:01:713,635187,635187,0,0,31834577,0,3185 137,3,2024-09-07 09:36:00:776,1,506,1,0,484,6449,506,0 138,0,2024-09-07 09:36:01:809,126376,0.9,126530,0.9,253645,1.0,337426,2.25 138,1,2024-09-07 09:36:01:686,886871,886871,0,0,416775562093,4373470605,874140,10762,1969,368,391954,0 138,2,2024-09-07 09:36:00:595,637116,637116,0,0,29304465,0,4988 138,3,2024-09-07 09:36:00:640,1,506,19,0,1200,8239,506,0 139,0,2024-09-07 09:36:01:376,129533,1.3,129949,1.0,260115,1.9,346761,2.50 139,1,2024-09-07 09:36:00:589,882587,882587,0,0,415199050080,4405702695,866655,12473,3459,380,392058,0 139,2,2024-09-07 09:36:00:715,639492,639492,0,0,32960315,0,3097 139,3,2024-09-07 09:36:01:664,1,506,0,0,432,5928,506,0 140,0,2024-09-07 09:36:01:600,126029,0.3,125256,0.5,251195,0.2,335112,1.75 140,1,2024-09-07 09:36:01:544,891634,891634,0,0,419004190061,4321422034,887054,4042,538,364,391606,0 140,2,2024-09-07 09:36:00:695,642778,642777,1,0,25260686,0,5036 140,3,2024-09-07 09:36:00:772,1,506,1,0,297,4825,506,0 141,0,2024-09-07 09:36:01:706,124926,0.3,128134,0.4,244602,0.2,331768,1.50 141,1,2024-09-07 09:36:00:864,890407,890407,0,0,418223442219,4346305928,883303,6019,1085,379,391614,0 141,2,2024-09-07 09:36:01:693,637674,637663,11,0,26743522,0,5369 141,3,2024-09-07 09:36:01:049,1,506,294,0,391,5887,506,0 142,0,2024-09-07 09:36:01:325,126788,0.3,125813,0.5,252321,0.3,336726,1.50 142,1,2024-09-07 09:36:00:603,888821,888821,0,0,417860073602,4356905380,883168,5194,459,382,392102,0 142,2,2024-09-07 09:36:01:298,637121,637089,32,0,27302918,0,6028 142,3,2024-09-07 09:36:01:746,1,506,12,0,484,5395,506,0 143,0,2024-09-07 09:36:01:396,130465,0.5,130319,0.6,261631,0.4,347819,1.75 143,1,2024-09-07 09:36:00:560,890584,890584,0,0,418577786647,4345996797,884512,5516,556,367,391722,0 143,2,2024-09-07 09:36:00:777,643728,643728,0,0,26811141,0,3123 143,3,2024-09-07 09:36:01:140,1,506,36,0,462,6484,506,0 144,0,2024-09-07 09:36:01:506,121266,0.7,124793,0.8,253881,0.6,332856,2.00 144,1,2024-09-07 09:36:00:578,886089,886089,0,0,415893636447,4367619711,876704,7419,1966,381,391733,0 144,2,2024-09-07 09:36:01:757,642073,642073,0,0,25539365,0,3673 144,3,2024-09-07 09:36:01:738,1,506,1,0,249,4984,506,0 145,0,2024-09-07 09:36:01:369,121363,0.5,121348,0.8,257621,0.5,331364,2.25 145,1,2024-09-07 09:36:00:575,885425,885425,0,0,416011106210,4381847577,873069,9890,2466,382,391759,0 145,2,2024-09-07 09:36:01:453,637247,637165,82,0,31424847,0,7814 145,3,2024-09-07 09:36:00:922,1,506,12,0,622,6980,506,0 146,0,2024-09-07 09:36:01:635,124915,0.5,124473,0.7,249720,0.5,331905,2.00 146,1,2024-09-07 09:36:01:596,886681,886681,0,0,416078348540,4376904266,872261,10619,3801,367,391770,0 146,2,2024-09-07 09:36:01:694,635459,635453,6,0,29432783,0,5151 146,3,2024-09-07 09:36:01:277,1,506,2,0,1520,8777,506,0 147,0,2024-09-07 09:36:01:714,130208,0.6,129892,0.8,259335,0.6,347054,2.25 147,1,2024-09-07 09:36:01:373,890121,890121,0,0,418580552391,4351546007,882840,6402,879,367,391791,0 147,2,2024-09-07 09:36:01:020,639529,639529,0,0,26559169,0,2968 147,3,2024-09-07 09:36:00:947,1,506,8,0,1626,8436,506,0 0,0,2024-09-07 09:36:11:710,121541,0.6,121531,0.7,257954,0.6,332673,2.00 0,1,2024-09-07 09:36:10:802,888875,888875,0,0,417385283066,4372990307,881366,6669,840,369,391896,0 0,2,2024-09-07 09:36:11:068,639189,639189,0,0,26540089,0,4480 0,3,2024-09-07 09:36:10:974,1,507,5,0,431,7159,507,0 1,0,2024-09-07 09:36:11:764,128338,1.0,127712,1.0,256239,1.4,342657,2.00 1,1,2024-09-07 09:36:10:561,888068,888068,0,0,416849112419,4370627051,879360,7241,1467,370,391859,0 1,2,2024-09-07 09:36:10:645,639600,639600,0,0,25992619,0,3380 1,3,2024-09-07 09:36:11:323,1,507,4,0,269,6465,507,0 2,0,2024-09-07 09:36:11:568,129332,0.6,129332,0.8,258434,0.7,344449,2.00 2,1,2024-09-07 09:36:10:859,891144,891144,0,0,418867854809,4354745688,886206,4021,917,379,391745,0 2,2,2024-09-07 09:36:11:266,645483,645483,0,0,24800164,0,3594 2,3,2024-09-07 09:36:10:690,1,507,1,0,357,4657,507,0 3,0,2024-09-07 09:36:11:761,126312,0.4,126083,0.6,251864,0.4,335875,2.00 3,1,2024-09-07 09:36:11:620,890009,890009,0,0,418096296195,4354966772,882544,6763,702,379,391716,0 3,2,2024-09-07 09:36:11:141,643456,643433,23,0,25818823,0,5851 3,3,2024-09-07 09:36:11:754,1,507,0,0,207,3168,507,0 4,0,2024-09-07 09:36:11:823,121197,0.4,124516,0.5,253946,0.3,332505,1.75 4,1,2024-09-07 09:36:10:595,886165,886165,0,0,415373023059,4403041825,869885,12597,3683,370,391992,0 4,2,2024-09-07 09:36:11:019,634930,634930,0,0,31382072,0,4534 4,3,2024-09-07 09:36:11:027,1,507,3,0,448,6629,507,0 5,0,2024-09-07 09:36:11:444,126445,0.4,127048,0.6,253660,0.4,337357,1.75 5,1,2024-09-07 09:36:10:771,887710,887710,0,0,417805018892,4399396054,874997,10300,2413,367,392005,0 5,2,2024-09-07 09:36:11:832,636446,636446,0,0,30282192,0,3582 5,3,2024-09-07 09:36:11:754,1,507,4,0,457,7004,507,0 6,0,2024-09-07 09:36:10:917,131428,0.5,130897,0.7,262146,0.5,350155,2.00 6,1,2024-09-07 09:36:10:750,889696,889696,0,0,417046183567,4358198530,880178,8062,1456,379,391702,0 6,2,2024-09-07 09:36:11:117,644508,644490,18,0,29528962,0,5535 6,3,2024-09-07 09:36:11:283,1,507,1,0,710,6191,507,0 7,0,2024-09-07 09:36:11:530,124447,0.5,125390,0.7,249620,0.5,332526,2.00 7,1,2024-09-07 09:36:10:852,887895,887895,0,0,417266018208,4385055124,874974,10690,2231,382,391747,0 7,2,2024-09-07 09:36:10:781,642785,642785,0,0,28627285,0,4791 7,3,2024-09-07 09:36:10:851,1,507,2,0,552,5861,507,0 8,0,2024-09-07 09:36:11:363,125230,0.3,124928,0.5,250517,0.3,333252,1.75 8,1,2024-09-07 09:36:11:017,886617,886617,0,0,416089964532,4376330673,872440,11049,3128,366,392853,0 8,2,2024-09-07 09:36:10:795,634703,634701,2,0,34122446,0,5112 8,3,2024-09-07 09:36:10:593,1,507,1,0,538,7722,507,0 9,0,2024-09-07 09:36:11:136,125784,0.4,122430,0.5,256211,0.3,335801,1.75 9,1,2024-09-07 09:36:10:560,886588,886588,0,0,417169689213,4395176633,873182,10759,2647,369,392001,0 9,2,2024-09-07 09:36:11:109,637578,637577,1,0,30847592,0,5281 9,3,2024-09-07 09:36:11:774,1,507,1,0,1273,8606,507,0 10,0,2024-09-07 09:36:11:613,130677,0.4,130280,0.5,261310,0.3,348384,1.75 10,1,2024-09-07 09:36:10:583,889496,889496,0,0,416819501500,4369409023,877140,10266,2090,381,391869,0 10,2,2024-09-07 09:36:10:762,642955,642955,0,0,33491727,0,4264 10,3,2024-09-07 09:36:10:880,1,507,1,0,649,5308,507,0 11,0,2024-09-07 09:36:11:007,125544,0.5,121835,0.7,254657,0.5,335554,1.75 11,1,2024-09-07 09:36:10:577,889674,889674,0,0,418730725921,4392768787,876198,10014,3462,383,391756,0 11,2,2024-09-07 09:36:11:123,642019,642019,0,0,29618440,0,4130 11,3,2024-09-07 09:36:11:299,1,507,1,0,843,6886,507,0 12,0,2024-09-07 09:36:10:962,126844,0.4,126920,0.5,253858,0.3,336963,1.75 12,1,2024-09-07 09:36:10:934,889176,889176,0,0,417777365563,4360691580,881522,6776,878,370,391960,0 12,2,2024-09-07 09:36:11:550,640695,640695,0,0,29477853,0,4390 12,3,2024-09-07 09:36:11:059,1,507,1,0,386,6986,507,0 13,0,2024-09-07 09:36:11:337,125897,0.4,125658,0.6,250664,0.4,333804,1.75 13,1,2024-09-07 09:36:11:524,887753,887753,0,0,416840477642,4384044827,878137,7323,2293,382,391740,0 13,2,2024-09-07 09:36:10:595,639836,639836,0,0,26367121,0,3287 13,3,2024-09-07 09:36:11:766,1,507,10,0,522,7177,507,0 14,0,2024-09-07 09:36:10:585,129767,0.4,130786,0.6,259247,0.4,346037,1.75 14,1,2024-09-07 09:36:11:562,893304,893304,0,0,419818467578,4346251636,886398,6178,728,364,391673,0 14,2,2024-09-07 09:36:10:767,640653,640623,30,0,27824459,0,6104 14,3,2024-09-07 09:36:11:118,1,507,1,0,1168,5828,507,0 15,0,2024-09-07 09:36:11:557,127529,0.5,126998,0.7,254997,0.4,339467,2.00 15,1,2024-09-07 09:36:11:609,890627,890627,0,0,418607811672,4362243717,882898,6244,1485,381,391619,0 15,2,2024-09-07 09:36:10:997,645856,645856,0,0,23415102,0,3622 15,3,2024-09-07 09:36:11:406,1,507,0,0,1126,7284,507,0 16,0,2024-09-07 09:36:10:938,126428,0.5,127033,0.7,253203,0.5,336797,2.00 16,1,2024-09-07 09:36:10:565,890568,890568,0,0,417593120320,4368955131,882168,7102,1298,370,392194,0 16,2,2024-09-07 09:36:11:444,642188,642188,0,0,27644192,0,4719 16,3,2024-09-07 09:36:11:148,1,507,0,0,358,6507,507,0 17,0,2024-09-07 09:36:11:782,129441,0.5,126109,0.7,247078,0.5,335152,2.00 17,1,2024-09-07 09:36:10:573,888278,888278,0,0,417438620261,4382046920,878996,7535,1747,368,392075,0 17,2,2024-09-07 09:36:11:667,640066,640065,1,0,28312278,0,5050 17,3,2024-09-07 09:36:10:578,1,507,12,0,518,7711,507,0 18,0,2024-09-07 09:36:10:941,126012,0.6,126852,0.8,252797,0.7,338093,2.25 18,1,2024-09-07 09:36:11:642,892579,892579,0,0,418840086301,4346911831,886476,5108,995,367,391725,0 18,2,2024-09-07 09:36:11:756,641460,641460,0,0,25157945,0,3541 18,3,2024-09-07 09:36:10:901,1,507,1,0,1059,4878,507,0 19,0,2024-09-07 09:36:11:541,130207,0.7,130572,0.8,259516,0.7,345650,2.25 19,1,2024-09-07 09:36:10:565,891600,891600,0,0,418778183041,4344998591,884613,5903,1084,367,391777,0 19,2,2024-09-07 09:36:11:753,647708,647708,0,0,23702203,0,3988 19,3,2024-09-07 09:36:11:135,1,507,1,0,524,4180,507,0 20,0,2024-09-07 09:36:11:343,125483,0.5,125706,0.7,250975,0.5,335132,2.00 20,1,2024-09-07 09:36:10:567,889211,889211,0,0,418300763368,4383104920,880076,7773,1362,369,391922,0 20,2,2024-09-07 09:36:10:929,642328,642328,0,0,28529268,0,3721 20,3,2024-09-07 09:36:10:592,1,507,1,0,468,8829,507,0 21,0,2024-09-07 09:36:11:152,124716,0.4,124845,0.6,249626,0.4,331243,1.75 21,1,2024-09-07 09:36:11:535,887505,887505,0,0,416568736679,4398211057,873361,11026,3118,368,392016,0 21,2,2024-09-07 09:36:11:067,634757,634737,20,0,33366080,0,5617 21,3,2024-09-07 09:36:11:420,1,507,1,0,713,6671,507,0 22,0,2024-09-07 09:36:11:725,126304,0.5,126789,0.6,253118,0.4,336188,2.00 22,1,2024-09-07 09:36:11:025,888236,888236,0,0,416701353818,4389314370,873101,11910,3225,382,391822,0 22,2,2024-09-07 09:36:10:759,637954,637928,26,0,27988220,0,6328 22,3,2024-09-07 09:36:11:066,1,507,3,0,228,4328,507,0 23,0,2024-09-07 09:36:11:366,130281,0.5,129844,0.7,260018,0.5,346761,2.25 23,1,2024-09-07 09:36:11:002,889211,889211,0,0,417780985031,4387472869,873555,11248,4408,365,391690,0 23,2,2024-09-07 09:36:11:094,645114,645114,0,0,26719997,0,3773 23,3,2024-09-07 09:36:11:754,1,507,0,0,720,6791,507,0 24,0,2024-09-07 09:36:10:824,126443,0.4,125666,0.6,252529,0.4,335643,1.75 24,1,2024-09-07 09:36:10:594,888109,888109,0,0,416778483820,4365554286,879158,7277,1674,367,392269,0 24,2,2024-09-07 09:36:11:069,642353,642353,0,0,31673921,0,4438 24,3,2024-09-07 09:36:11:691,1,507,19,0,468,6532,507,0 25,0,2024-09-07 09:36:11:340,129050,0.4,125736,0.6,246587,0.4,335784,1.75 25,1,2024-09-07 09:36:10:562,887215,887215,0,0,417124520758,4401375667,872337,11906,2972,369,391928,0 25,2,2024-09-07 09:36:11:613,638731,638731,0,0,32775617,0,3978 25,3,2024-09-07 09:36:11:000,1,507,1,0,532,5617,507,0 26,0,2024-09-07 09:36:11:722,124829,0.4,122129,0.6,256185,0.3,333648,1.75 26,1,2024-09-07 09:36:11:541,890111,890111,0,0,417042203750,4369124754,877282,10377,2452,380,391748,0 26,2,2024-09-07 09:36:10:865,638019,638019,0,0,34573992,0,4689 26,3,2024-09-07 09:36:11:715,1,507,2,0,796,5701,507,0 27,0,2024-09-07 09:36:11:723,130678,0.5,131028,0.6,260456,0.4,348075,2.25 27,1,2024-09-07 09:36:11:676,891115,891115,0,0,419118316306,4366451422,882566,7281,1268,381,391626,0 27,2,2024-09-07 09:36:10:870,639369,639304,65,0,30226396,0,5699 27,3,2024-09-07 09:36:11:015,1,507,2,0,564,4495,507,0 28,0,2024-09-07 09:36:11:407,127115,0.4,126673,0.6,254266,0.4,338447,2.00 28,1,2024-09-07 09:36:10:796,891175,891175,0,0,418573369482,4366404902,883405,6056,1714,382,391698,0 28,2,2024-09-07 09:36:11:764,643566,643566,0,0,26807747,0,2915 28,3,2024-09-07 09:36:11:776,1,507,1,0,502,5184,507,0 29,0,2024-09-07 09:36:11:360,129936,0.4,126623,0.6,248217,0.3,338426,1.75 29,1,2024-09-07 09:36:11:562,893297,893297,0,0,418731211717,4333727787,887741,4794,762,368,391809,0 29,2,2024-09-07 09:36:10:883,641575,641575,0,0,26160708,0,4986 29,3,2024-09-07 09:36:10:963,1,507,3,0,459,5683,507,0 30,0,2024-09-07 09:36:11:461,124740,0.5,121292,0.7,253994,0.4,331558,2.00 30,1,2024-09-07 09:36:10:585,892594,892594,0,0,419280657169,4353904262,885620,6072,902,380,391672,0 30,2,2024-09-07 09:36:11:278,638617,638617,0,0,25092994,0,4192 30,3,2024-09-07 09:36:10:580,1,507,1,0,519,4967,507,0 31,0,2024-09-07 09:36:11:774,128201,0.5,128465,0.7,256740,0.4,343035,2.00 31,1,2024-09-07 09:36:10:564,895496,895496,0,0,420999653378,4324321626,890173,4312,1011,356,391712,0 31,2,2024-09-07 09:36:11:278,638831,638831,0,0,27743859,0,4470 31,3,2024-09-07 09:36:11:708,1,507,1,0,220,4270,507,0 32,0,2024-09-07 09:36:11:419,129953,0.4,130632,0.5,260060,0.3,346179,1.75 32,1,2024-09-07 09:36:10:805,891869,891869,0,0,419651174060,4364158514,886072,5090,707,381,391646,0 32,2,2024-09-07 09:36:10:935,646046,646046,0,0,24263930,0,3922 32,3,2024-09-07 09:36:11:015,1,507,1,0,304,4215,507,0 33,0,2024-09-07 09:36:11:493,126789,0.3,126434,0.4,253034,0.2,336953,1.50 33,1,2024-09-07 09:36:10:574,892744,892744,0,0,419213477771,4345398824,885519,6053,1172,368,391730,0 33,2,2024-09-07 09:36:10:759,644431,644396,35,0,26878272,0,7012 33,3,2024-09-07 09:36:10:899,1,507,4,0,329,4839,507,0 34,0,2024-09-07 09:36:10:929,125318,0.3,128717,0.4,245918,0.2,331812,1.75 34,1,2024-09-07 09:36:11:044,894471,894471,0,0,420430607703,4325877594,891963,2486,22,367,391562,0 34,2,2024-09-07 09:36:10:770,638970,638970,0,0,25340571,0,4562 34,3,2024-09-07 09:36:11:691,1,507,2,0,320,3986,507,0 35,0,2024-09-07 09:36:10:859,125861,0.3,126663,0.5,254378,0.3,338116,1.75 35,1,2024-09-07 09:36:11:075,891664,891664,0,0,418594305724,4345147122,885010,5441,1213,382,391769,0 35,2,2024-09-07 09:36:11:584,638689,638689,0,0,27857538,0,4055 35,3,2024-09-07 09:36:10:907,1,507,1,0,418,4849,507,0 36,0,2024-09-07 09:36:11:518,131627,0.5,131546,0.7,263131,0.5,350867,2.25 36,1,2024-09-07 09:36:10:582,890578,890578,0,0,417672935349,4365829953,878298,10061,2219,366,391759,0 36,2,2024-09-07 09:36:11:755,645229,645229,0,0,29116525,0,3875 36,3,2024-09-07 09:36:10:863,1,507,3,0,416,7135,507,0 37,0,2024-09-07 09:36:11:369,124681,0.5,124646,0.7,249629,0.5,333001,2.25 37,1,2024-09-07 09:36:10:576,889337,889330,0,7,417615118010,4363498251,878259,8397,2674,365,391770,0 37,2,2024-09-07 09:36:11:146,641236,641221,15,0,29512007,0,5815 37,3,2024-09-07 09:36:11:766,1,507,1,0,888,7255,507,0 38,0,2024-09-07 09:36:11:443,124319,0.4,120644,0.6,252433,0.4,330414,2.00 38,1,2024-09-07 09:36:11:605,891089,891089,0,0,418328866284,4376393313,877865,10705,2519,368,391821,0 38,2,2024-09-07 09:36:10:760,637806,637759,47,0,29617369,0,6710 38,3,2024-09-07 09:36:10:997,1,507,1,0,689,6656,507,0 39,0,2024-09-07 09:36:11:763,128851,0.5,126020,0.7,245630,0.5,335232,2.00 39,1,2024-09-07 09:36:10:715,888977,888977,0,0,416729484599,4375663735,873209,12299,3469,365,391865,0 39,2,2024-09-07 09:36:11:418,639598,639598,0,0,27316938,0,3391 39,3,2024-09-07 09:36:10:714,1,507,1,0,525,6121,507,0 40,0,2024-09-07 09:36:11:492,129303,0.8,130067,1.0,259656,1.0,346594,2.75 40,1,2024-09-07 09:36:10:577,891505,891505,0,0,417326122613,4367192437,879492,9835,2178,368,391668,0 40,2,2024-09-07 09:36:11:307,641535,641534,1,0,32737604,0,5137 40,3,2024-09-07 09:36:11:142,1,507,1,0,1028,7287,507,0 41,0,2024-09-07 09:36:11:036,124967,1.4,127556,1.2,243600,2.0,331467,3.25 41,1,2024-09-07 09:36:10:770,888999,888999,0,0,417156831335,4367409553,877132,9934,1933,369,391742,0 41,2,2024-09-07 09:36:10:771,640093,640092,1,0,31469674,0,5408 41,3,2024-09-07 09:36:11:675,1,507,12,0,366,5333,507,0 42,0,2024-09-07 09:36:11:473,125469,0.8,125156,1.0,250575,0.9,331719,2.50 42,1,2024-09-07 09:36:11:454,886812,886812,0,0,416819808934,4385680627,871804,12100,2908,380,391675,0 42,2,2024-09-07 09:36:11:132,640430,640430,0,0,30274154,0,3975 42,3,2024-09-07 09:36:11:011,1,507,2,0,892,4668,507,0 43,0,2024-09-07 09:36:10:916,123872,0.7,120689,0.9,252583,0.7,330901,2.25 43,1,2024-09-07 09:36:10:576,889869,889869,0,0,418218859850,4372318840,877004,10606,2259,366,391696,0 43,2,2024-09-07 09:36:11:741,638634,638634,0,0,30063483,0,4723 43,3,2024-09-07 09:36:11:753,1,507,1,0,571,7212,507,0 44,0,2024-09-07 09:36:10:866,129809,0.4,129942,0.6,260289,0.4,346548,2.00 44,1,2024-09-07 09:36:10:562,892613,892613,0,0,419285386381,4335252134,884820,6206,1587,356,391809,0 44,2,2024-09-07 09:36:11:278,638803,638803,0,0,25039601,0,4344 44,3,2024-09-07 09:36:11:094,1,507,13,0,817,5560,507,0 45,0,2024-09-07 09:36:11:757,126281,0.5,123361,0.8,258785,0.5,339687,2.00 45,1,2024-09-07 09:36:11:005,891309,891309,0,0,419461520516,4362099508,883800,6633,876,382,391917,0 45,2,2024-09-07 09:36:11:268,645144,645144,0,0,25403000,0,3596 45,3,2024-09-07 09:36:10:934,1,507,1,0,271,4527,507,0 46,0,2024-09-07 09:36:10:949,125928,0.5,125419,0.7,251730,0.4,333972,2.00 46,1,2024-09-07 09:36:10:575,893167,893167,0,0,419639459685,4339044807,886766,5538,863,366,391709,0 46,2,2024-09-07 09:36:10:593,643244,643244,0,0,25633186,0,4443 46,3,2024-09-07 09:36:11:132,1,507,351,0,908,6306,507,0 47,0,2024-09-07 09:36:11:110,125681,0.4,125809,0.6,252275,0.3,334122,1.75 47,1,2024-09-07 09:36:10:567,893751,893751,0,0,419768145288,4337833695,888525,4387,839,366,391641,0 47,2,2024-09-07 09:36:10:908,640520,640520,0,0,25381724,0,4477 47,3,2024-09-07 09:36:11:121,1,507,3,0,600,5840,507,0 48,0,2024-09-07 09:36:11:546,127893,0.3,127938,0.4,254823,0.2,340105,1.50 48,1,2024-09-07 09:36:11:027,891642,891642,0,0,418728850661,4352557880,885855,5215,572,384,391710,0 48,2,2024-09-07 09:36:10:699,639666,639666,0,0,23382201,0,3411 48,3,2024-09-07 09:36:10:758,1,507,63,0,339,4139,507,0 49,0,2024-09-07 09:36:11:713,134148,0.4,131736,0.5,255915,0.3,349689,1.75 49,1,2024-09-07 09:36:11:025,891259,891259,0,0,419203998628,4359654602,885696,4352,1211,382,391809,0 49,2,2024-09-07 09:36:11:797,646501,646501,0,0,26023800,0,4426 49,3,2024-09-07 09:36:11:417,1,507,194,0,992,6026,507,0 50,0,2024-09-07 09:36:11:506,126367,0.3,124641,0.5,251175,0.2,334954,1.75 50,1,2024-09-07 09:36:11:012,894179,894179,0,0,420010953304,4344731288,888235,5277,667,368,391565,0 50,2,2024-09-07 09:36:11:066,642756,642756,0,0,23832579,0,4490 50,3,2024-09-07 09:36:11:294,1,507,1,0,617,5422,507,0 51,0,2024-09-07 09:36:11:682,128032,0.3,125214,0.4,243997,0.2,332806,1.75 51,1,2024-09-07 09:36:11:679,894640,894640,0,0,420262510143,4335193776,889878,3708,1054,365,391706,0 51,2,2024-09-07 09:36:11:315,638608,638608,0,0,23032435,0,3337 51,3,2024-09-07 09:36:11:027,1,507,0,0,678,3759,507,0 52,0,2024-09-07 09:36:11:429,126856,0.5,126467,0.7,253316,0.4,337041,2.00 52,1,2024-09-07 09:36:10:583,890618,890618,0,0,418394572337,4382620023,877394,11119,2105,368,391722,0 52,2,2024-09-07 09:36:11:756,635752,635714,38,0,30451307,0,6742 52,3,2024-09-07 09:36:10:674,1,507,1,0,1782,6621,507,0 53,0,2024-09-07 09:36:11:751,129950,0.7,126208,0.8,263823,0.8,346243,2.50 53,1,2024-09-07 09:36:10:784,888738,888738,0,0,417909167639,4388980365,873384,11510,3844,367,391968,0 53,2,2024-09-07 09:36:11:297,644738,644737,1,0,27397861,0,5455 53,3,2024-09-07 09:36:10:700,1,507,1,0,308,5128,507,0 54,0,2024-09-07 09:36:11:623,123485,0.6,123894,0.8,246671,0.5,329562,2.25 54,1,2024-09-07 09:36:10:582,890613,890613,0,0,418887120878,4360244185,880549,8430,1634,366,391810,0 54,2,2024-09-07 09:36:10:866,642700,642668,32,0,31247763,0,6397 54,3,2024-09-07 09:36:10:770,1,507,58,0,676,6969,507,0 55,0,2024-09-07 09:36:11:762,121219,0.6,125101,0.7,253376,0.5,330081,2.50 55,1,2024-09-07 09:36:10:775,891886,891886,0,0,418319720130,4352256978,882230,8337,1319,365,391731,0 55,2,2024-09-07 09:36:10:738,639429,639373,56,0,29565966,0,7239 55,3,2024-09-07 09:36:10:674,1,507,1,0,304,4830,507,0 56,0,2024-09-07 09:36:11:558,127973,1.1,120521,1.1,248509,1.5,332934,2.75 56,1,2024-09-07 09:36:10:576,886481,886481,0,0,416329045440,4399393036,872169,11400,2912,381,391867,0 56,2,2024-09-07 09:36:11:307,638253,638131,122,0,30717942,0,7432 56,3,2024-09-07 09:36:11:059,1,507,1,0,705,6195,507,0 57,0,2024-09-07 09:36:10:949,128603,1.6,128574,1.3,257294,2.3,344522,3.25 57,1,2024-09-07 09:36:10:986,888107,888107,0,0,417019467225,4378299014,875932,9890,2285,366,392032,0 57,2,2024-09-07 09:36:11:314,641430,641430,0,0,31616733,0,4317 57,3,2024-09-07 09:36:11:747,1,507,3,0,455,5768,507,0 58,0,2024-09-07 09:36:10:558,124860,1.0,121144,1.0,253558,1.3,331874,2.75 58,1,2024-09-07 09:36:10:577,889742,889739,0,3,417991895486,4383302183,875954,10465,3320,367,391603,3 58,2,2024-09-07 09:36:11:071,642943,642943,0,0,29995818,0,3483 58,3,2024-09-07 09:36:11:071,1,507,1,0,1043,5621,507,0 59,0,2024-09-07 09:36:11:758,125816,0.8,125757,1.0,250692,0.9,332578,2.75 59,1,2024-09-07 09:36:10:804,888414,888414,0,0,417695413257,4389203341,873675,11600,3139,369,391653,0 59,2,2024-09-07 09:36:10:583,641537,641537,0,0,28746495,0,3727 59,3,2024-09-07 09:36:11:749,1,507,1,0,1015,6421,507,0 60,0,2024-09-07 09:36:11:754,124988,0.5,125264,0.7,250707,0.5,332514,1.75 60,1,2024-09-07 09:36:10:787,892867,892867,0,0,419757570516,4358242646,886691,5244,932,370,392031,0 60,2,2024-09-07 09:36:11:140,638169,638169,0,0,27360658,0,3811 60,3,2024-09-07 09:36:11:259,1,507,1,0,409,6067,507,0 61,0,2024-09-07 09:36:11:489,128233,0.8,128821,0.8,256469,0.8,342665,2.00 61,1,2024-09-07 09:36:10:769,889478,889478,0,0,417205531549,4371837968,879609,8289,1580,382,392127,0 61,2,2024-09-07 09:36:11:118,639864,639797,67,0,28307820,0,6411 61,3,2024-09-07 09:36:11:692,1,507,0,0,607,7432,507,0 62,0,2024-09-07 09:36:11:712,130201,0.7,133495,0.8,254848,0.7,345985,2.00 62,1,2024-09-07 09:36:11:111,894801,894795,0,6,420873533568,4339042721,890643,3872,280,365,391975,6 62,2,2024-09-07 09:36:11:644,642866,642865,1,0,27655392,0,5555 62,3,2024-09-07 09:36:11:143,1,507,3,0,482,4190,507,0 63,0,2024-09-07 09:36:11:477,126762,0.4,126498,0.6,253938,0.4,337408,1.75 63,1,2024-09-07 09:36:10:804,892269,892263,0,6,419209500510,4353720949,886513,4999,751,381,391800,6 63,2,2024-09-07 09:36:10:762,642720,642720,0,0,26701451,0,4369 63,3,2024-09-07 09:36:11:748,1,507,9,0,667,5544,507,0 64,0,2024-09-07 09:36:11:534,124539,0.5,124602,0.6,248732,0.4,331035,2.00 64,1,2024-09-07 09:36:10:749,891757,891757,0,0,418867158554,4364142283,883872,6114,1771,370,391794,0 64,2,2024-09-07 09:36:11:140,641728,641709,19,0,25586798,0,6121 64,3,2024-09-07 09:36:11:145,1,507,5,0,651,5432,507,0 65,0,2024-09-07 09:36:11:670,125794,0.6,126161,0.8,251475,0.7,335547,2.00 65,1,2024-09-07 09:36:10:867,889851,889851,0,0,417961818066,4370762088,883106,5949,796,381,391901,0 65,2,2024-09-07 09:36:11:693,639097,639097,0,0,30047507,0,3367 65,3,2024-09-07 09:36:11:688,1,507,2,0,782,6102,507,0 66,0,2024-09-07 09:36:11:773,130833,0.6,130386,0.8,260956,0.6,348205,2.25 66,1,2024-09-07 09:36:11:296,891716,891716,0,0,418906878386,4362727906,885568,5426,722,380,391743,0 66,2,2024-09-07 09:36:11:132,646897,646894,3,0,27481720,0,5455 66,3,2024-09-07 09:36:11:091,1,507,0,0,291,4490,507,0 67,0,2024-09-07 09:36:11:436,125124,0.5,124782,0.7,250343,0.5,333283,2.00 67,1,2024-09-07 09:36:10:770,891696,891695,0,1,419493812663,4369246300,885426,5456,813,380,391787,1 67,2,2024-09-07 09:36:10:582,645226,645211,15,0,26533121,0,6205 67,3,2024-09-07 09:36:11:754,1,507,595,0,595,5483,507,0 68,0,2024-09-07 09:36:10:559,125194,0.5,125100,0.7,249176,0.5,332857,2.00 68,1,2024-09-07 09:36:10:576,888155,888155,0,0,417179033103,4389793020,875919,8837,3399,381,391953,0 68,2,2024-09-07 09:36:11:044,635365,635265,100,0,33978182,0,8578 68,3,2024-09-07 09:36:10:731,1,507,6,0,417,6709,507,0 69,0,2024-09-07 09:36:11:755,125147,0.7,126014,0.8,251035,0.7,333385,2.25 69,1,2024-09-07 09:36:11:016,887120,887120,0,0,416258962844,4389270441,875035,9326,2759,384,391994,0 69,2,2024-09-07 09:36:11:754,637727,637698,29,0,34821380,0,6912 69,3,2024-09-07 09:36:10:760,1,507,1,0,698,7710,507,0 70,0,2024-09-07 09:36:11:536,129228,1.0,129672,1.0,260644,0.9,345452,2.50 70,1,2024-09-07 09:36:10:801,892414,892414,0,0,419419719041,4354290181,885163,6489,762,366,391725,0 70,2,2024-09-07 09:36:11:325,643179,643179,0,0,29478998,0,4323 70,3,2024-09-07 09:36:10:749,1,507,1,0,854,5671,507,0 71,0,2024-09-07 09:36:11:361,124286,1.2,123980,1.1,249350,1.7,332637,3.00 71,1,2024-09-07 09:36:11:609,890013,890013,0,0,418456697554,4371307192,878621,10137,1255,368,391738,0 71,2,2024-09-07 09:36:11:067,641830,641830,0,0,30196523,0,4352 71,3,2024-09-07 09:36:11:754,1,507,1,0,644,6091,507,0 72,0,2024-09-07 09:36:11:023,130189,0.5,127278,0.7,248334,0.5,337235,2.00 72,1,2024-09-07 09:36:11:025,888377,888377,0,0,417493668426,4383509275,874997,11098,2282,369,391819,0 72,2,2024-09-07 09:36:11:758,640006,640006,0,0,31463691,0,3983 72,3,2024-09-07 09:36:11:754,1,507,1,0,564,7381,507,0 73,0,2024-09-07 09:36:11:101,121806,0.4,125110,0.6,255388,0.4,331872,2.00 73,1,2024-09-07 09:36:10:770,891643,891643,0,0,418626128825,4348671704,885417,5801,425,367,391858,0 73,2,2024-09-07 09:36:11:743,637787,637787,0,0,31869781,0,4728 73,3,2024-09-07 09:36:10:974,1,507,8,0,486,6413,507,0 74,0,2024-09-07 09:36:11:323,130718,0.5,133756,0.7,255150,0.5,346936,2.25 74,1,2024-09-07 09:36:10:638,889823,889823,0,0,417818575172,4365966342,879377,8523,1923,381,391762,0 74,2,2024-09-07 09:36:11:002,639668,639668,0,0,27817105,0,4253 74,3,2024-09-07 09:36:11:443,1,507,6,0,522,6189,507,0 75,0,2024-09-07 09:36:11:775,128137,0.6,127210,0.8,255629,0.6,341136,2.25 75,1,2024-09-07 09:36:11:603,890879,890879,0,0,417817776765,4358328412,882995,7024,860,380,391739,0 75,2,2024-09-07 09:36:11:350,642733,642733,0,0,33289066,0,4766 75,3,2024-09-07 09:36:11:067,1,507,0,0,702,6135,507,0 76,0,2024-09-07 09:36:10:635,125959,0.5,125241,0.7,251026,0.5,335515,2.25 76,1,2024-09-07 09:36:10:805,889852,889852,0,0,417494305390,4357224750,883233,5653,966,382,391692,0 76,2,2024-09-07 09:36:11:070,644933,644930,3,0,28328260,0,5265 76,3,2024-09-07 09:36:11:141,1,507,1,0,227,4811,507,0 77,0,2024-09-07 09:36:11:696,125048,0.5,125490,0.7,251161,0.5,333137,2.00 77,1,2024-09-07 09:36:10:827,890938,890938,0,0,418632977265,4368482355,884110,6235,593,381,391869,0 77,2,2024-09-07 09:36:11:286,637316,637316,0,0,27773654,0,3890 77,3,2024-09-07 09:36:11:094,1,507,1,0,401,5486,507,0 78,0,2024-09-07 09:36:11:727,127571,0.4,127114,0.6,255107,0.4,338582,2.00 78,1,2024-09-07 09:36:10:614,890459,890459,0,0,417440723518,4356306879,879693,8240,2526,367,391670,0 78,2,2024-09-07 09:36:11:409,640500,640487,13,0,25865605,0,8313 78,3,2024-09-07 09:36:11:132,1,507,7,0,311,4496,507,0 79,0,2024-09-07 09:36:11:357,126283,0.4,129321,0.6,264836,0.4,344534,2.25 79,1,2024-09-07 09:36:10:574,893239,893239,0,0,418945050468,4343690905,885507,6375,1357,367,391682,0 79,2,2024-09-07 09:36:11:067,645697,645697,0,0,24951212,0,4195 79,3,2024-09-07 09:36:10:751,1,507,3,0,418,6244,507,0 80,0,2024-09-07 09:36:11:080,125526,0.5,128968,0.7,246875,0.5,334379,2.00 80,1,2024-09-07 09:36:11:621,890372,890372,0,0,418890910506,4361808646,884551,5395,426,368,392269,0 80,2,2024-09-07 09:36:11:095,644985,644985,0,0,25478160,0,4433 80,3,2024-09-07 09:36:10:576,1,507,0,0,296,6064,507,0 81,0,2024-09-07 09:36:11:545,124909,0.5,127751,0.7,243723,0.5,331314,2.00 81,1,2024-09-07 09:36:11:655,889381,889381,0,0,417336009738,4364979031,881985,6758,638,382,391885,0 81,2,2024-09-07 09:36:11:129,637214,637151,63,0,28374405,0,5932 81,3,2024-09-07 09:36:11:120,1,507,0,0,719,5840,507,0 82,0,2024-09-07 09:36:11:537,126079,0.5,126189,0.7,253011,0.4,336252,2.00 82,1,2024-09-07 09:36:10:584,891773,891769,0,4,418827674379,4356205025,886691,4258,820,381,391768,4 82,2,2024-09-07 09:36:11:692,641021,641021,0,0,23638153,0,4484 82,3,2024-09-07 09:36:11:753,1,507,0,0,363,4931,507,0 83,0,2024-09-07 09:36:11:546,130588,0.6,130581,0.8,260557,0.7,346515,2.25 83,1,2024-09-07 09:36:10:555,890867,890867,0,0,418667188840,4366364135,884514,5900,453,382,391709,0 83,2,2024-09-07 09:36:10:773,644296,644271,25,0,25686283,0,5612 83,3,2024-09-07 09:36:10:748,1,507,1,0,1260,6460,507,0 84,0,2024-09-07 09:36:11:767,124391,0.8,124555,0.9,248674,0.7,332869,2.25 84,1,2024-09-07 09:36:11:042,889367,889367,0,0,417929709235,4371625287,879176,8670,1521,367,391967,0 84,2,2024-09-07 09:36:10:576,641511,641481,30,0,33737571,0,5971 84,3,2024-09-07 09:36:11:141,1,507,7,0,908,7289,507,0 85,0,2024-09-07 09:36:11:008,120779,0.6,120765,0.8,256373,0.5,331586,2.25 85,1,2024-09-07 09:36:10:562,886236,886236,0,0,416560635840,4402331021,871982,11598,2656,381,392092,0 85,2,2024-09-07 09:36:10:869,638772,638772,0,0,31412472,0,4255 85,3,2024-09-07 09:36:10:685,1,507,1,0,789,5839,507,0 86,0,2024-09-07 09:36:10:894,125043,0.6,128657,0.8,246023,0.6,333359,2.25 86,1,2024-09-07 09:36:10:827,889310,889310,0,0,417932227669,4381676375,878027,9309,1974,366,391995,0 86,2,2024-09-07 09:36:10:853,636645,636644,1,0,33809123,0,5004 86,3,2024-09-07 09:36:10:587,1,507,34,0,308,7457,507,0 87,0,2024-09-07 09:36:11:307,130318,0.9,130114,0.9,260149,1.2,348281,2.25 87,1,2024-09-07 09:36:10:550,888263,888263,0,0,416773339036,4370712579,876072,10476,1715,366,392076,0 87,2,2024-09-07 09:36:11:067,640755,640749,6,0,29656354,0,6323 87,3,2024-09-07 09:36:11:796,1,507,8,0,473,7589,507,0 88,0,2024-09-07 09:36:11:449,126597,0.5,127202,0.6,254175,0.5,338024,2.00 88,1,2024-09-07 09:36:10:574,887642,887642,0,0,417277486028,4373619138,875027,10001,2614,365,392084,0 88,2,2024-09-07 09:36:10:688,643399,643399,0,0,32155274,0,4465 88,3,2024-09-07 09:36:11:267,1,507,2,0,435,6501,507,0 89,0,2024-09-07 09:36:11:815,130101,0.4,126127,0.6,249143,0.4,338421,1.75 89,1,2024-09-07 09:36:10:577,888104,888104,0,0,417778962566,4396781190,876705,9584,1815,382,391866,0 89,2,2024-09-07 09:36:11:170,641291,641291,0,0,30546331,0,3173 89,3,2024-09-07 09:36:11:792,1,507,2,0,468,8400,507,0 90,0,2024-09-07 09:36:11:614,121415,0.4,124852,0.6,254327,0.4,331434,1.75 90,1,2024-09-07 09:36:10:591,889463,889463,0,0,417168563302,4368732286,880964,7766,733,380,391825,0 90,2,2024-09-07 09:36:11:408,635707,635707,0,0,32671826,0,3608 90,3,2024-09-07 09:36:10:932,1,507,1,0,322,6193,507,0 91,0,2024-09-07 09:36:10:938,128853,0.5,124927,0.6,261271,0.5,343234,1.75 91,1,2024-09-07 09:36:10:558,887655,887655,0,0,417029690305,4387766628,876091,9554,2010,381,392047,0 91,2,2024-09-07 09:36:11:331,640276,640276,0,0,28729998,0,4713 91,3,2024-09-07 09:36:10:601,1,507,1,0,216,4863,507,0 92,0,2024-09-07 09:36:11:445,131226,0.5,134095,0.6,255734,0.5,346188,1.75 92,1,2024-09-07 09:36:10:581,889594,889594,0,0,417964351958,4369041528,882092,6297,1205,381,392136,0 92,2,2024-09-07 09:36:11:350,645254,645254,0,0,26160102,0,3259 92,3,2024-09-07 09:36:11:010,1,507,0,0,167,4332,507,0 93,0,2024-09-07 09:36:10:969,127100,0.4,130338,0.6,248747,0.4,337317,1.75 93,1,2024-09-07 09:36:10:806,890230,890230,0,0,417840700958,4365585023,879953,8544,1733,366,391776,0 93,2,2024-09-07 09:36:10:930,642732,642732,0,0,31823609,0,4913 93,3,2024-09-07 09:36:11:410,1,507,1,0,190,4662,507,0 94,0,2024-09-07 09:36:11:602,124672,0.3,125351,0.5,250562,0.3,332835,1.75 94,1,2024-09-07 09:36:10:562,890442,890442,0,0,418775894745,4369518318,884348,5721,373,381,391850,0 94,2,2024-09-07 09:36:10:768,637583,637555,28,0,27123089,0,6179 94,3,2024-09-07 09:36:11:691,1,507,18,0,576,6520,507,0 95,0,2024-09-07 09:36:11:359,126867,0.3,126519,0.5,253585,0.3,338099,1.75 95,1,2024-09-07 09:36:10:886,891627,891627,0,0,417911521573,4345946059,884278,6692,657,365,391786,0 95,2,2024-09-07 09:36:11:016,638921,638921,0,0,25892523,0,3308 95,3,2024-09-07 09:36:11:716,1,507,2,0,718,7999,507,0 96,0,2024-09-07 09:36:11:034,131515,0.4,131651,0.5,263186,0.3,350262,1.75 96,1,2024-09-07 09:36:11:640,890501,890501,0,0,417875374752,4360376601,884120,5469,912,384,391955,0 96,2,2024-09-07 09:36:11:283,645477,645477,0,0,26977249,0,4180 96,3,2024-09-07 09:36:11:140,1,507,52,0,411,5466,507,0 97,0,2024-09-07 09:36:11:321,125301,0.3,124958,0.5,251017,0.3,333584,1.75 97,1,2024-09-07 09:36:10:774,891859,891859,0,0,419549385051,4353370689,886115,4801,943,367,392140,0 97,2,2024-09-07 09:36:10:611,644071,644071,0,0,26413519,0,3679 97,3,2024-09-07 09:36:10:586,1,507,5,0,242,5970,507,0 98,0,2024-09-07 09:36:11:720,124638,0.3,124871,0.4,250389,0.2,332469,1.50 98,1,2024-09-07 09:36:10:587,891285,891285,0,0,417897394006,4353656055,885888,4599,798,381,391997,0 98,2,2024-09-07 09:36:10:770,638964,638964,0,0,25987282,0,4336 98,3,2024-09-07 09:36:10:704,1,507,1,0,840,7935,507,0 99,0,2024-09-07 09:36:11:500,125760,0.3,126383,0.5,251504,0.3,335849,1.75 99,1,2024-09-07 09:36:11:724,891522,891522,0,0,417723728158,4349819044,885568,5029,925,380,392069,0 99,2,2024-09-07 09:36:11:423,639753,639753,0,0,31182006,0,4276 99,3,2024-09-07 09:36:10:581,1,507,1,0,606,5199,507,0 100,0,2024-09-07 09:36:11:479,130440,0.9,130753,1.0,260767,1.1,348759,2.50 100,1,2024-09-07 09:36:10:564,886335,886335,0,0,416035893820,4401702388,872079,11158,3098,378,391989,0 100,2,2024-09-07 09:36:11:817,640400,640389,11,0,30500844,0,5417 100,3,2024-09-07 09:36:11:743,1,507,5,0,627,8966,507,0 101,0,2024-09-07 09:36:11:703,128197,1.3,124931,1.1,244780,1.4,334824,2.50 101,1,2024-09-07 09:36:10:552,887461,887461,0,0,417010662219,4383907443,875188,9873,2400,368,391847,0 101,2,2024-09-07 09:36:11:757,638300,638300,0,0,35483660,0,4871 101,3,2024-09-07 09:36:10:947,1,507,62,0,1250,7851,507,0 102,0,2024-09-07 09:36:10:973,122705,0.6,126455,0.7,256700,0.6,335507,2.00 102,1,2024-09-07 09:36:11:142,887674,887674,0,0,417721255427,4389806382,875486,10168,2020,369,391891,0 102,2,2024-09-07 09:36:11:748,641047,640993,54,0,30061180,0,6768 102,3,2024-09-07 09:36:11:613,1,507,1,0,466,6074,507,0 103,0,2024-09-07 09:36:11:603,129066,0.5,129093,0.7,243315,0.5,334350,2.00 103,1,2024-09-07 09:36:11:629,886341,886341,0,0,416600818245,4400644274,872307,10836,3198,381,391862,0 103,2,2024-09-07 09:36:10:582,637004,637004,0,0,31567731,0,3766 103,3,2024-09-07 09:36:10:760,1,507,11,0,916,6153,507,0 104,0,2024-09-07 09:36:11:009,128723,0.8,128905,0.9,256886,0.7,344828,2.50 104,1,2024-09-07 09:36:11:603,889065,889065,0,0,416897767719,4381806874,876026,10488,2551,365,392168,0 104,2,2024-09-07 09:36:11:680,638227,638227,0,0,30553951,0,3941 104,3,2024-09-07 09:36:11:431,1,507,1,0,1245,9096,507,0 105,0,2024-09-07 09:36:11:055,126506,1.0,123264,1.1,258466,1.3,339443,2.75 105,1,2024-09-07 09:36:10:559,890185,890185,0,0,418269744612,4384336585,878596,9822,1767,365,392009,0 105,2,2024-09-07 09:36:11:322,642748,642748,0,0,30844201,0,4360 105,3,2024-09-07 09:36:11:306,1,507,4,0,399,7310,507,0 106,0,2024-09-07 09:36:10:949,122139,0.7,125033,0.9,256305,0.8,334337,2.50 106,1,2024-09-07 09:36:11:772,889325,889325,0,0,417013299124,4377853427,876722,10957,1646,368,391914,0 106,2,2024-09-07 09:36:10:757,641330,641330,0,0,29169090,0,2920 106,3,2024-09-07 09:36:10:677,1,507,1,0,1224,7729,507,0 107,0,2024-09-07 09:36:11:112,125212,0.8,125477,0.8,250791,0.8,334218,2.00 107,1,2024-09-07 09:36:10:587,887414,887414,0,0,416364266330,4392285898,874518,11503,1393,381,392234,0 107,2,2024-09-07 09:36:11:292,635746,635745,1,0,31743321,0,5024 107,3,2024-09-07 09:36:11:759,1,507,2,0,733,7769,507,0 108,0,2024-09-07 09:36:11:816,127063,0.4,127940,0.6,254415,0.4,340049,1.75 108,1,2024-09-07 09:36:11:295,889911,889911,0,0,418828925075,4370437921,882017,6924,970,367,391857,0 108,2,2024-09-07 09:36:11:775,638638,638638,0,0,28582947,0,4246 108,3,2024-09-07 09:36:11:330,1,507,2,0,749,10210,507,0 109,0,2024-09-07 09:36:11:763,131476,0.4,130292,0.6,261295,0.4,348727,1.75 109,1,2024-09-07 09:36:10:601,887768,887768,0,0,417390646837,4380966390,879571,6983,1214,382,392132,0 109,2,2024-09-07 09:36:10:939,642706,642706,0,0,28571888,0,3617 109,3,2024-09-07 09:36:11:147,1,507,54,0,630,6455,507,0 110,0,2024-09-07 09:36:11:762,125566,0.4,122176,0.6,255969,0.3,335638,1.75 110,1,2024-09-07 09:36:11:643,891110,891110,0,0,418628350196,4350490556,883585,5603,1922,368,392045,0 110,2,2024-09-07 09:36:11:306,643350,643350,0,0,27045642,0,4067 110,3,2024-09-07 09:36:10:695,1,507,29,0,722,7186,507,0 111,0,2024-09-07 09:36:11:424,125158,0.3,124497,0.5,249324,0.3,332602,1.75 111,1,2024-09-07 09:36:10:999,892675,892675,0,0,420241587323,4358524092,888133,4185,357,380,391690,0 111,2,2024-09-07 09:36:11:129,637537,637537,0,0,27563016,0,4823 111,3,2024-09-07 09:36:10:913,1,507,1,0,379,5889,507,0 112,0,2024-09-07 09:36:10:922,127091,0.3,126465,0.4,253751,0.2,337229,1.50 112,1,2024-09-07 09:36:10:838,891495,891495,0,0,419108586211,4352884829,885601,5204,690,380,391624,0 112,2,2024-09-07 09:36:11:132,638957,638956,1,0,26188973,0,5036 112,3,2024-09-07 09:36:10:593,1,507,3,0,282,4944,507,0 113,0,2024-09-07 09:36:10:866,130842,0.3,130699,0.5,261780,0.3,348510,1.75 113,1,2024-09-07 09:36:11:692,894367,894367,0,0,419983554271,4335654267,889121,4433,813,365,391661,0 113,2,2024-09-07 09:36:11:312,646411,646411,0,0,23877204,0,3813 113,3,2024-09-07 09:36:10:684,1,507,1,0,340,5455,507,0 114,0,2024-09-07 09:36:10:880,125852,0.3,126701,0.5,251719,0.2,336807,1.75 114,1,2024-09-07 09:36:10:715,891448,891448,0,0,418919914730,4352554127,884547,5285,1616,381,391565,0 114,2,2024-09-07 09:36:10:883,644267,644266,1,0,25760251,0,5069 114,3,2024-09-07 09:36:11:284,1,507,0,0,395,4054,507,0 115,0,2024-09-07 09:36:10:572,126042,0.3,126487,0.4,252298,0.2,335140,1.50 115,1,2024-09-07 09:36:10:575,892136,892136,0,0,418995688382,4356038835,884738,6041,1357,382,391757,0 115,2,2024-09-07 09:36:11:129,643012,643012,0,0,25323148,0,4382 115,3,2024-09-07 09:36:11:001,1,507,2,0,167,2960,507,0 116,0,2024-09-07 09:36:11:714,124836,0.6,124750,0.8,249925,0.6,334456,2.00 116,1,2024-09-07 09:36:10:803,887744,887744,0,0,417385006428,4400404256,877105,8196,2443,380,392089,0 116,2,2024-09-07 09:36:11:756,637246,637246,0,0,32049468,0,4475 116,3,2024-09-07 09:36:10:913,1,507,1,0,415,6364,507,0 117,0,2024-09-07 09:36:10:966,130723,0.8,130083,0.8,260833,0.9,348895,2.00 117,1,2024-09-07 09:36:11:593,888244,888244,0,0,417619027755,4373442319,878271,8748,1225,369,392033,0 117,2,2024-09-07 09:36:11:129,643061,643061,0,0,27901353,0,4303 117,3,2024-09-07 09:36:11:063,1,507,9,0,490,7060,507,0 118,0,2024-09-07 09:36:11:859,122945,0.6,126345,0.7,257545,0.6,336562,2.00 118,1,2024-09-07 09:36:10:588,888211,888211,0,0,416314932966,4379305729,874717,10374,3120,366,392054,0 118,2,2024-09-07 09:36:11:588,642321,642321,0,0,30650006,0,2842 118,3,2024-09-07 09:36:11:764,1,507,3,0,248,5871,507,0 119,0,2024-09-07 09:36:11:333,126167,0.6,126550,0.8,252920,0.6,336584,2.00 119,1,2024-09-07 09:36:10:556,888722,888722,0,0,417846653051,4380052118,877063,9893,1766,367,391857,0 119,2,2024-09-07 09:36:11:262,642242,642242,0,0,28689858,0,4174 119,3,2024-09-07 09:36:11:325,1,507,1,0,1358,9714,507,0 120,0,2024-09-07 09:36:11:544,124481,0.6,124258,0.8,249570,0.6,332148,2.25 120,1,2024-09-07 09:36:10:879,889212,889212,0,0,417791212379,4380167748,879929,8504,779,368,392144,0 120,2,2024-09-07 09:36:10:781,636844,636843,1,0,33045331,0,5281 120,3,2024-09-07 09:36:11:295,1,507,2,0,279,6409,507,0 121,0,2024-09-07 09:36:11:712,128484,1.4,127863,1.1,256490,2.0,342345,2.50 121,1,2024-09-07 09:36:11:673,889763,889763,0,0,417531502748,4366183833,881041,8021,701,367,391840,0 121,2,2024-09-07 09:36:11:133,638331,638331,0,0,30206768,0,4157 121,3,2024-09-07 09:36:10:728,1,507,8,0,330,6154,507,0 122,0,2024-09-07 09:36:11:760,129156,0.9,125764,0.9,263509,1.0,346020,2.25 122,1,2024-09-07 09:36:10:859,888109,888109,0,0,417586036083,4382106859,875677,10548,1884,366,392130,0 122,2,2024-09-07 09:36:11:321,645010,644935,75,0,34674708,0,5989 122,3,2024-09-07 09:36:10:594,1,507,1,0,512,8700,507,0 123,0,2024-09-07 09:36:10:958,125835,0.8,122536,0.9,256576,0.9,335899,2.25 123,1,2024-09-07 09:36:10:558,888682,888682,0,0,417946701507,4399079762,873539,12640,2503,369,392039,0 123,2,2024-09-07 09:36:11:030,640546,640545,1,0,29444751,0,5215 123,3,2024-09-07 09:36:11:132,1,507,1,0,478,5995,507,0 124,0,2024-09-07 09:36:10:948,128659,0.3,128594,0.5,242410,0.3,333815,1.75 124,1,2024-09-07 09:36:11:029,891670,891670,0,0,418183786299,4349578683,884864,5675,1131,365,392178,0 124,2,2024-09-07 09:36:11:010,638777,638724,53,0,26863641,0,6487 124,3,2024-09-07 09:36:10:770,1,507,2,0,490,5381,507,0 125,0,2024-09-07 09:36:11:492,126679,0.4,126365,0.6,254006,0.3,337946,1.75 125,1,2024-09-07 09:36:10:883,890112,890112,0,0,418120749215,4366301718,883144,5986,982,382,391813,0 125,2,2024-09-07 09:36:11:124,641235,641235,0,0,26913249,0,4534 125,3,2024-09-07 09:36:11:135,1,507,0,0,709,6148,507,0 126,0,2024-09-07 09:36:11:485,131548,0.4,135247,0.6,258569,0.4,350840,1.75 126,1,2024-09-07 09:36:10:554,892595,892595,0,0,418884197051,4337780292,887927,4358,310,365,391987,0 126,2,2024-09-07 09:36:10:623,645675,645675,0,0,27798800,0,4539 126,3,2024-09-07 09:36:10:907,1,507,1,0,268,5749,507,0 127,0,2024-09-07 09:36:11:634,125442,0.3,125843,0.5,250977,0.3,333827,1.75 127,1,2024-09-07 09:36:10:576,891293,891293,0,0,418176904566,4354456607,881931,7858,1504,364,392187,0 127,2,2024-09-07 09:36:10:641,641896,641892,4,0,26460506,0,5305 127,3,2024-09-07 09:36:11:267,1,507,1,0,968,5162,507,0 128,0,2024-09-07 09:36:11:552,125475,0.3,125632,0.4,250839,0.2,333342,1.50 128,1,2024-09-07 09:36:11:606,890525,890525,0,0,419231101168,4357046429,884051,5740,734,367,392031,0 128,2,2024-09-07 09:36:11:393,640720,640720,0,0,24702933,0,3171 128,3,2024-09-07 09:36:10:774,1,507,9,0,1082,8276,507,0 129,0,2024-09-07 09:36:11:324,126820,0.3,126308,0.5,253001,0.3,336266,1.50 129,1,2024-09-07 09:36:10:576,888367,888367,0,0,417460662426,4377674930,879349,7073,1945,379,391962,0 129,2,2024-09-07 09:36:10:690,639752,639748,4,0,26959015,0,5335 129,3,2024-09-07 09:36:10:690,1,507,0,0,506,7256,507,0 130,0,2024-09-07 09:36:11:751,131456,0.5,131116,0.6,263023,0.5,350198,1.75 130,1,2024-09-07 09:36:10:582,891186,891186,0,0,418525065119,4349494000,886401,4397,388,381,391825,0 130,2,2024-09-07 09:36:11:134,643622,643622,0,0,26983679,0,4067 130,3,2024-09-07 09:36:11:306,1,507,1,0,960,7270,507,0 131,0,2024-09-07 09:36:11:953,125808,0.4,126262,0.5,252967,0.3,335733,1.75 131,1,2024-09-07 09:36:11:824,890790,890790,0,0,418198499051,4365094311,883914,5685,1191,381,391865,0 131,2,2024-09-07 09:36:10:566,643623,643623,0,0,25019890,0,3979 131,3,2024-09-07 09:36:11:696,1,507,0,0,392,6314,507,0 132,0,2024-09-07 09:36:11:427,126172,0.4,127298,0.6,253256,0.4,336628,2.00 132,1,2024-09-07 09:36:10:575,887252,887252,0,0,416050185233,4379087839,874817,10244,2191,381,392532,0 132,2,2024-09-07 09:36:10:698,640846,640829,17,0,32658011,0,6451 132,3,2024-09-07 09:36:11:711,1,507,9,0,804,8706,507,0 133,0,2024-09-07 09:36:11:580,121830,0.4,124750,0.6,255745,0.4,332495,1.75 133,1,2024-09-07 09:36:10:583,887206,887206,0,0,416778857379,4395059545,874708,10810,1688,383,391914,0 133,2,2024-09-07 09:36:11:086,638323,638273,50,0,32933103,0,6861 133,3,2024-09-07 09:36:11:297,1,507,244,0,479,6196,507,0 134,0,2024-09-07 09:36:10:959,129941,0.6,129861,0.7,260044,0.5,347100,2.25 134,1,2024-09-07 09:36:10:584,888771,888771,0,0,417627096111,4379625252,877413,9212,2146,366,391718,0 134,2,2024-09-07 09:36:11:756,639168,639144,24,0,30965436,0,6207 134,3,2024-09-07 09:36:10:794,1,507,12,0,739,6239,507,0 135,0,2024-09-07 09:36:11:148,123988,1.0,123921,0.9,263015,1.2,338850,2.50 135,1,2024-09-07 09:36:11:617,888183,888183,0,0,418180359799,4399290057,876554,10064,1565,380,391805,0 135,2,2024-09-07 09:36:10:700,644071,644071,0,0,31226432,0,4503 135,3,2024-09-07 09:36:11:002,1,507,14,0,900,5254,507,0 136,0,2024-09-07 09:36:11:649,126932,0.5,127138,0.7,253161,0.5,337397,2.00 136,1,2024-09-07 09:36:11:453,889938,889938,0,0,416891128439,4372312582,879573,9098,1267,381,392135,0 136,2,2024-09-07 09:36:11:138,643728,643713,15,0,30525017,0,6007 136,3,2024-09-07 09:36:11:133,1,507,9,0,637,6193,507,0 137,0,2024-09-07 09:36:11:003,129408,0.5,126087,0.7,247170,0.5,335478,2.00 137,1,2024-09-07 09:36:10:577,888119,888119,0,0,416971096632,4372599371,874695,10753,2671,366,391898,0 137,2,2024-09-07 09:36:11:707,636407,636407,0,0,31850158,0,3185 137,3,2024-09-07 09:36:10:769,1,507,1,0,484,6450,507,0 138,0,2024-09-07 09:36:11:796,126762,0.9,126968,0.9,254482,1.0,338553,2.25 138,1,2024-09-07 09:36:11:686,888597,888597,0,0,417500165149,4380843827,875866,10762,1969,368,391954,0 138,2,2024-09-07 09:36:10:595,638343,638343,0,0,29317070,0,4988 138,3,2024-09-07 09:36:10:622,1,507,3,0,1200,8242,507,0 139,0,2024-09-07 09:36:11:370,129690,1.3,130111,1.0,260422,1.9,347045,2.50 139,1,2024-09-07 09:36:10:578,884390,884390,0,0,415960751796,4413571288,868456,12474,3460,380,392058,0 139,2,2024-09-07 09:36:10:692,640815,640815,0,0,32976378,0,3097 139,3,2024-09-07 09:36:11:661,1,507,0,0,432,5928,507,0 140,0,2024-09-07 09:36:11:595,126336,0.3,125569,0.5,251781,0.2,335897,1.75 140,1,2024-09-07 09:36:11:537,893398,893398,0,0,419660091240,4328094265,888818,4042,538,364,391606,0 140,2,2024-09-07 09:36:10:688,644161,644160,1,0,25273174,0,5036 140,3,2024-09-07 09:36:10:769,1,507,0,0,297,4825,507,0 141,0,2024-09-07 09:36:11:700,125139,0.3,128347,0.4,245020,0.2,332338,1.50 141,1,2024-09-07 09:36:10:859,892221,892221,0,0,419346243396,4357685761,885117,6019,1085,379,391614,0 141,2,2024-09-07 09:36:11:687,638538,638527,11,0,26755595,0,5369 141,3,2024-09-07 09:36:11:043,1,507,1,0,391,5888,507,0 142,0,2024-09-07 09:36:11:313,127233,0.3,126259,0.5,253180,0.3,337985,1.50 142,1,2024-09-07 09:36:10:644,890605,890605,0,0,418840188891,4366927834,884951,5195,459,382,392102,0 142,2,2024-09-07 09:36:11:300,638569,638537,32,0,27324437,0,6028 142,3,2024-09-07 09:36:11:756,1,507,6,0,484,5401,507,0 143,0,2024-09-07 09:36:11:420,130749,0.5,130590,0.6,262179,0.4,348519,1.75 143,1,2024-09-07 09:36:10:561,892374,892374,0,0,419550490307,4355858171,886299,5519,556,367,391722,0 143,2,2024-09-07 09:36:10:769,645144,645144,0,0,26829416,0,3123 143,3,2024-09-07 09:36:11:148,1,507,2,0,462,6486,507,0 144,0,2024-09-07 09:36:11:587,121591,0.7,125127,0.8,254528,0.6,333785,2.00 144,1,2024-09-07 09:36:10:565,887883,887883,0,0,416810420898,4376996577,878498,7419,1966,381,391733,0 144,2,2024-09-07 09:36:11:757,643331,643331,0,0,25560154,0,3673 144,3,2024-09-07 09:36:11:758,1,507,19,0,249,5003,507,0 145,0,2024-09-07 09:36:11:360,121519,0.5,121519,0.8,257988,0.5,331824,2.25 145,1,2024-09-07 09:36:10:561,887201,887201,0,0,416743438246,4389503916,874845,9890,2466,382,391759,0 145,2,2024-09-07 09:36:11:434,638069,637987,82,0,31455249,0,7814 145,3,2024-09-07 09:36:10:897,1,507,9,0,622,6989,507,0 146,0,2024-09-07 09:36:11:640,125210,0.5,124755,0.7,250345,0.5,332862,2.00 146,1,2024-09-07 09:36:11:592,888490,888490,0,0,417342698184,4389677442,874070,10619,3801,367,391770,0 146,2,2024-09-07 09:36:11:701,637023,637017,6,0,29455736,0,5151 146,3,2024-09-07 09:36:11:288,1,507,4,0,1520,8781,507,0 147,0,2024-09-07 09:36:11:727,130634,0.6,130321,0.8,260177,0.6,348174,2.25 147,1,2024-09-07 09:36:11:373,891875,891875,0,0,419573450851,4361626555,884594,6402,879,367,391791,0 147,2,2024-09-07 09:36:11:010,641015,641015,0,0,26578572,0,2968 147,3,2024-09-07 09:36:10:918,1,507,11,0,1626,8447,507,0 0,0,2024-09-07 09:36:21:760,121547,0.6,121539,0.7,257968,0.6,332673,2.00 0,1,2024-09-07 09:36:20:816,890679,890679,0,0,418063233279,4380019173,883169,6670,840,369,391896,0 0,2,2024-09-07 09:36:21:070,640767,640767,0,0,26564523,0,4480 0,3,2024-09-07 09:36:20:974,1,508,3,0,431,7162,508,0 1,0,2024-09-07 09:36:21:787,128704,1.0,128059,1.0,256935,1.3,343584,2.00 1,1,2024-09-07 09:36:20:557,889834,889834,0,0,417439987259,4376915885,881126,7241,1467,370,391859,0 1,2,2024-09-07 09:36:20:640,640904,640904,0,0,26041976,0,3380 1,3,2024-09-07 09:36:21:302,1,508,3,0,269,6468,508,0 2,0,2024-09-07 09:36:21:585,129416,0.6,129426,0.8,258607,0.7,344683,2.00 2,1,2024-09-07 09:36:20:858,892910,892910,0,0,419759067347,4364164229,887938,4055,917,379,391745,0 2,2,2024-09-07 09:36:21:269,646545,646545,0,0,24817618,0,3594 2,3,2024-09-07 09:36:20:697,1,508,1,0,357,4658,508,0 3,0,2024-09-07 09:36:21:745,126558,0.4,126299,0.6,252323,0.3,336467,2.00 3,1,2024-09-07 09:36:21:619,891819,891819,0,0,418928703985,4363546669,884354,6763,702,379,391716,0 3,2,2024-09-07 09:36:21:142,644828,644805,23,0,25839884,0,5851 3,3,2024-09-07 09:36:21:756,1,508,1,0,207,3169,508,0 4,0,2024-09-07 09:36:21:772,121525,0.4,124821,0.5,254626,0.3,333378,1.75 4,1,2024-09-07 09:36:20:596,887945,887945,0,0,416242085658,4412008400,871664,12598,3683,370,391992,0 4,2,2024-09-07 09:36:21:022,636073,636073,0,0,31408181,0,4534 4,3,2024-09-07 09:36:21:036,1,508,4,0,448,6633,508,0 5,0,2024-09-07 09:36:21:421,126699,0.4,127303,0.6,254195,0.4,338059,1.75 5,1,2024-09-07 09:36:20:755,889407,889407,0,0,418547347482,4407088842,876694,10300,2413,367,392005,0 5,2,2024-09-07 09:36:21:839,637730,637730,0,0,30320468,0,3582 5,3,2024-09-07 09:36:21:732,1,508,13,0,457,7017,508,0 6,0,2024-09-07 09:36:20:914,131987,0.5,131436,0.7,263287,0.5,351606,2.00 6,1,2024-09-07 09:36:20:752,891408,891408,0,0,417902918298,4367020251,881890,8062,1456,379,391702,0 6,2,2024-09-07 09:36:21:115,645976,645958,18,0,29590019,0,5535 6,3,2024-09-07 09:36:21:283,1,508,4,0,710,6195,508,0 7,0,2024-09-07 09:36:21:530,124779,0.5,125682,0.7,250219,0.5,333363,2.00 7,1,2024-09-07 09:36:20:850,889629,889629,0,0,417844326473,4391092445,876707,10690,2232,382,391747,0 7,2,2024-09-07 09:36:20:774,644367,644367,0,0,28683888,0,4791 7,3,2024-09-07 09:36:20:850,1,508,4,0,552,5865,508,0 8,0,2024-09-07 09:36:21:347,125388,0.3,125070,0.5,250826,0.3,333633,1.75 8,1,2024-09-07 09:36:21:034,888381,888381,0,0,417198334901,4387562232,874204,11049,3128,366,392853,0 8,2,2024-09-07 09:36:20:790,635464,635462,2,0,34130418,0,5112 8,3,2024-09-07 09:36:20:584,1,508,1,0,538,7723,508,0 9,0,2024-09-07 09:36:21:120,126045,0.4,122635,0.5,256669,0.3,336418,1.75 9,1,2024-09-07 09:36:20:561,888298,888298,0,0,418180409687,4405452806,874892,10759,2647,369,392001,0 9,2,2024-09-07 09:36:21:089,639102,639101,1,0,30866151,0,5281 9,3,2024-09-07 09:36:21:758,1,508,1,0,1273,8607,508,0 10,0,2024-09-07 09:36:21:600,131081,0.4,130673,0.5,262061,0.3,349372,1.75 10,1,2024-09-07 09:36:20:584,891220,891220,0,0,417738382782,4378762451,878864,10266,2090,381,391869,0 10,2,2024-09-07 09:36:20:771,644506,644506,0,0,33525359,0,4264 10,3,2024-09-07 09:36:20:871,1,508,1,0,649,5309,508,0 11,0,2024-09-07 09:36:21:008,125714,0.5,121996,0.7,255032,0.5,336031,1.75 11,1,2024-09-07 09:36:20:571,891445,891445,0,0,419481327190,4400411828,877969,10014,3462,383,391756,0 11,2,2024-09-07 09:36:21:123,643233,643233,0,0,29625969,0,4130 11,3,2024-09-07 09:36:21:305,1,508,1,0,843,6887,508,0 12,0,2024-09-07 09:36:20:995,126940,0.4,127025,0.5,254032,0.3,337215,1.75 12,1,2024-09-07 09:36:20:946,890973,890973,0,0,418504037411,4368153895,883319,6776,878,370,391960,0 12,2,2024-09-07 09:36:21:573,641675,641675,0,0,29490245,0,4390 12,3,2024-09-07 09:36:21:066,1,508,9,0,386,6995,508,0 13,0,2024-09-07 09:36:21:363,126008,0.4,125787,0.6,250908,0.4,334135,1.75 13,1,2024-09-07 09:36:21:542,889437,889437,0,0,417624398467,4392100819,879821,7323,2293,382,391740,0 13,2,2024-09-07 09:36:20:612,641401,641401,0,0,26388357,0,3287 13,3,2024-09-07 09:36:21:762,1,508,23,0,522,7200,508,0 14,0,2024-09-07 09:36:20:580,130280,0.4,131269,0.6,260224,0.4,347350,1.75 14,1,2024-09-07 09:36:21:566,895100,895100,0,0,420869845704,4356861930,888194,6178,728,364,391673,0 14,2,2024-09-07 09:36:20:770,642020,641990,30,0,27840518,0,6104 14,3,2024-09-07 09:36:21:117,1,508,1,0,1168,5829,508,0 15,0,2024-09-07 09:36:21:553,127622,0.5,127121,0.7,255230,0.4,339767,2.00 15,1,2024-09-07 09:36:21:608,892402,892402,0,0,419575440352,4372069796,884673,6244,1485,381,391619,0 15,2,2024-09-07 09:36:21:007,647039,647039,0,0,23426679,0,3622 15,3,2024-09-07 09:36:21:405,1,508,13,0,1126,7297,508,0 16,0,2024-09-07 09:36:20:943,126515,0.5,127141,0.7,253416,0.5,337041,2.00 16,1,2024-09-07 09:36:20:563,892327,892327,0,0,418489604508,4378170491,883927,7102,1298,370,392194,0 16,2,2024-09-07 09:36:21:447,643348,643348,0,0,27673875,0,4719 16,3,2024-09-07 09:36:21:142,1,508,4,0,358,6511,508,0 17,0,2024-09-07 09:36:21:817,129617,0.5,126330,0.7,247469,0.5,335651,2.00 17,1,2024-09-07 09:36:20:571,890116,890116,0,0,418327555574,4391371338,880830,7539,1747,368,392075,0 17,2,2024-09-07 09:36:21:675,641449,641448,1,0,28357082,0,5050 17,3,2024-09-07 09:36:20:574,1,508,65,0,518,7776,508,0 18,0,2024-09-07 09:36:20:941,126420,0.6,127314,0.8,253624,0.6,339272,2.25 18,1,2024-09-07 09:36:21:644,894419,894419,0,0,419743700872,4356107680,888316,5108,995,367,391725,0 18,2,2024-09-07 09:36:21:757,642778,642778,0,0,25176942,0,3541 18,3,2024-09-07 09:36:20:900,1,508,10,0,1059,4888,508,0 19,0,2024-09-07 09:36:21:544,130320,0.7,130692,0.8,259782,0.7,345945,2.25 19,1,2024-09-07 09:36:20:569,893385,893385,0,0,419496568699,4352341455,886398,5903,1084,367,391777,0 19,2,2024-09-07 09:36:21:756,649098,649098,0,0,23723009,0,3988 19,3,2024-09-07 09:36:21:129,1,508,8,0,524,4188,508,0 20,0,2024-09-07 09:36:21:405,125775,0.5,126004,0.7,251537,0.5,335928,2.00 20,1,2024-09-07 09:36:20:569,890937,890937,0,0,419061346073,4391060051,881800,7775,1362,369,391922,0 20,2,2024-09-07 09:36:20:941,643616,643616,0,0,28586824,0,3721 20,3,2024-09-07 09:36:20:595,1,508,5,0,468,8834,508,0 21,0,2024-09-07 09:36:21:126,124944,0.4,125084,0.6,250097,0.4,331871,1.75 21,1,2024-09-07 09:36:21:545,889271,889271,0,0,417564166949,4408426585,875126,11027,3118,368,392016,0 21,2,2024-09-07 09:36:21:082,635771,635751,20,0,33389497,0,5617 21,3,2024-09-07 09:36:21:404,1,508,1,0,713,6672,508,0 22,0,2024-09-07 09:36:21:733,126739,0.5,127239,0.6,254069,0.4,337399,2.00 22,1,2024-09-07 09:36:21:034,889802,889802,0,0,417512946838,4397673678,874667,11910,3225,382,391822,0 22,2,2024-09-07 09:36:20:770,639274,639248,26,0,28039992,0,6328 22,3,2024-09-07 09:36:21:066,1,508,6,0,228,4334,508,0 23,0,2024-09-07 09:36:21:367,130570,0.5,130138,0.7,260575,0.5,347517,2.25 23,1,2024-09-07 09:36:21:002,890993,890993,0,0,418639690146,4396283731,875337,11248,4408,365,391690,0 23,2,2024-09-07 09:36:21:092,646635,646635,0,0,26751502,0,3773 23,3,2024-09-07 09:36:21:758,1,508,1,0,720,6792,508,0 24,0,2024-09-07 09:36:20:848,126803,0.4,125987,0.6,253204,0.4,336553,1.75 24,1,2024-09-07 09:36:20:597,889906,889906,0,0,417705867228,4374995443,880955,7277,1674,367,392269,0 24,2,2024-09-07 09:36:21:069,643798,643798,0,0,31695306,0,4438 24,3,2024-09-07 09:36:21:693,1,508,15,0,468,6547,508,0 25,0,2024-09-07 09:36:21:360,129242,0.4,125892,0.6,246921,0.4,336284,1.75 25,1,2024-09-07 09:36:20:578,888992,888992,0,0,417718224983,4407490026,874114,11906,2972,369,391928,0 25,2,2024-09-07 09:36:21:605,639448,639448,0,0,32782643,0,3978 25,3,2024-09-07 09:36:21:008,1,508,1,0,532,5618,508,0 26,0,2024-09-07 09:36:21:728,125163,0.4,122471,0.6,256843,0.3,334549,1.75 26,1,2024-09-07 09:36:21:545,891839,891839,0,0,417962560125,4378463264,879010,10377,2452,380,391748,0 26,2,2024-09-07 09:36:20:861,639608,639608,0,0,34589135,0,4689 26,3,2024-09-07 09:36:21:712,1,508,0,0,796,5701,508,0 27,0,2024-09-07 09:36:21:739,131094,0.5,131463,0.6,261349,0.4,349174,2.25 27,1,2024-09-07 09:36:21:681,892830,892830,0,0,420047960032,4375876717,884281,7281,1268,381,391626,0 27,2,2024-09-07 09:36:20:872,640919,640854,65,0,30242877,0,5699 27,3,2024-09-07 09:36:21:016,1,508,0,0,564,4495,508,0 28,0,2024-09-07 09:36:21:396,127236,0.4,126797,0.6,254526,0.4,338769,2.00 28,1,2024-09-07 09:36:20:800,892916,892916,0,0,419579794753,4376620966,885146,6056,1714,382,391698,0 28,2,2024-09-07 09:36:21:773,644645,644645,0,0,26817037,0,2915 28,3,2024-09-07 09:36:21:778,1,508,0,0,502,5184,508,0 29,0,2024-09-07 09:36:21:361,130063,0.4,126743,0.6,248480,0.3,338751,1.75 29,1,2024-09-07 09:36:21:578,894952,894952,0,0,419520571081,4341725018,889396,4794,762,368,391809,0 29,2,2024-09-07 09:36:20:869,642629,642629,0,0,26169318,0,4986 29,3,2024-09-07 09:36:20:964,1,508,0,0,459,5683,508,0 30,0,2024-09-07 09:36:21:455,124744,0.5,121304,0.7,254005,0.4,331593,2.00 30,1,2024-09-07 09:36:20:581,894342,894342,0,0,420398666445,4365216514,887368,6072,902,380,391672,0 30,2,2024-09-07 09:36:21:274,640050,640050,0,0,25109197,0,4192 30,3,2024-09-07 09:36:20:581,1,508,0,0,519,4967,508,0 31,0,2024-09-07 09:36:21:761,128543,0.5,128832,0.7,257448,0.4,343948,2.00 31,1,2024-09-07 09:36:20:569,897299,897299,0,0,421855030156,4332928582,891976,4312,1011,356,391712,0 31,2,2024-09-07 09:36:21:274,640230,640230,0,0,27762948,0,4470 31,3,2024-09-07 09:36:21:713,1,508,1,0,220,4271,508,0 32,0,2024-09-07 09:36:21:422,130052,0.4,130711,0.5,260255,0.3,346429,1.75 32,1,2024-09-07 09:36:20:804,893636,893636,0,0,420490527553,4372720038,887839,5090,707,381,391646,0 32,2,2024-09-07 09:36:20:935,646977,646977,0,0,24277257,0,3922 32,3,2024-09-07 09:36:21:016,1,508,18,0,304,4233,508,0 33,0,2024-09-07 09:36:21:507,127003,0.3,126629,0.4,253480,0.2,337536,1.50 33,1,2024-09-07 09:36:20:575,894449,894449,0,0,420178646484,4355214936,887224,6053,1172,368,391730,0 33,2,2024-09-07 09:36:20:764,645653,645618,35,0,26898728,0,7012 33,3,2024-09-07 09:36:20:901,1,508,0,0,329,4839,508,0 34,0,2024-09-07 09:36:20:933,125637,0.3,129027,0.4,246602,0.2,332680,1.75 34,1,2024-09-07 09:36:21:049,896271,896271,0,0,421358327582,4335306107,893763,2486,22,367,391562,0 34,2,2024-09-07 09:36:20:775,640226,640226,0,0,25378693,0,4562 34,3,2024-09-07 09:36:21:688,1,508,0,0,320,3986,508,0 35,0,2024-09-07 09:36:20:858,126144,0.3,126894,0.5,254923,0.3,338835,1.75 35,1,2024-09-07 09:36:21:075,893380,893380,0,0,419239446956,4351754955,886726,5441,1213,382,391769,0 35,2,2024-09-07 09:36:21:583,639889,639889,0,0,27879048,0,4055 35,3,2024-09-07 09:36:20:907,1,508,1,0,418,4850,508,0 36,0,2024-09-07 09:36:21:529,132191,0.5,132093,0.7,264256,0.5,352377,2.25 36,1,2024-09-07 09:36:20:643,892458,892458,0,0,418767610327,4376994747,880178,10061,2219,366,391759,0 36,2,2024-09-07 09:36:21:749,646801,646801,0,0,29222647,0,3875 36,3,2024-09-07 09:36:20:868,1,508,2,0,416,7137,508,0 37,0,2024-09-07 09:36:21:384,125030,0.5,124963,0.7,250285,0.5,333850,2.25 37,1,2024-09-07 09:36:20:569,891114,891107,0,7,418549088220,4373303319,880011,8422,2674,365,391770,0 37,2,2024-09-07 09:36:21:142,642582,642567,15,0,29540544,0,5815 37,3,2024-09-07 09:36:21:782,1,508,1,0,888,7256,508,0 38,0,2024-09-07 09:36:21:443,124492,0.4,120779,0.6,252731,0.4,330846,2.00 38,1,2024-09-07 09:36:21:605,892865,892865,0,0,419216473366,4385499825,879641,10705,2519,368,391821,0 38,2,2024-09-07 09:36:20:770,638525,638478,47,0,29637504,0,6710 38,3,2024-09-07 09:36:20:997,1,508,1,0,689,6657,508,0 39,0,2024-09-07 09:36:21:761,129102,0.5,126260,0.7,246102,0.5,335978,2.00 39,1,2024-09-07 09:36:20:726,890665,890665,0,0,417577938502,4384392351,874897,12299,3469,365,391865,0 39,2,2024-09-07 09:36:21:417,641084,641084,0,0,27345609,0,3391 39,3,2024-09-07 09:36:20:720,1,508,5,0,525,6126,508,0 40,0,2024-09-07 09:36:21:497,129685,0.8,130433,1.0,260375,0.9,347609,2.75 40,1,2024-09-07 09:36:20:582,893277,893277,0,0,418122084295,4375297319,881264,9835,2178,368,391668,0 40,2,2024-09-07 09:36:21:307,642963,642962,1,0,32749442,0,5137 40,3,2024-09-07 09:36:21:151,1,508,11,0,1028,7298,508,0 41,0,2024-09-07 09:36:21:052,125126,1.4,127724,1.2,243957,2.0,331941,3.25 41,1,2024-09-07 09:36:20:789,890821,890821,0,0,418051188791,4376474625,878954,9934,1933,369,391742,0 41,2,2024-09-07 09:36:20:768,641328,641327,1,0,31481737,0,5408 41,3,2024-09-07 09:36:21:678,1,508,1,0,366,5334,508,0 42,0,2024-09-07 09:36:21:485,125579,0.8,125252,1.0,250766,0.9,332038,2.50 42,1,2024-09-07 09:36:21:439,888531,888531,0,0,417627440040,4393894847,873523,12100,2908,380,391675,0 42,2,2024-09-07 09:36:21:133,641391,641391,0,0,30284399,0,3975 42,3,2024-09-07 09:36:21:009,1,508,0,0,892,4668,508,0 43,0,2024-09-07 09:36:20:918,123991,0.7,120803,0.9,252828,0.7,331224,2.25 43,1,2024-09-07 09:36:20:576,891619,891619,0,0,419041358164,4380637410,878754,10606,2259,366,391696,0 43,2,2024-09-07 09:36:21:737,640149,640149,0,0,30077547,0,4723 43,3,2024-09-07 09:36:21:756,1,508,1,0,571,7213,508,0 44,0,2024-09-07 09:36:20:880,130313,0.4,130468,0.6,261300,0.4,347894,2.00 44,1,2024-09-07 09:36:20:563,894402,894402,0,0,419811539540,4340576017,886608,6207,1587,356,391809,0 44,2,2024-09-07 09:36:21:270,640217,640217,0,0,25054265,0,4344 44,3,2024-09-07 09:36:21:095,1,508,34,0,817,5594,508,0 45,0,2024-09-07 09:36:21:756,126413,0.5,123474,0.8,259006,0.5,340010,2.00 45,1,2024-09-07 09:36:21:009,893024,893024,0,0,420246889113,4370099240,885515,6633,876,382,391917,0 45,2,2024-09-07 09:36:21:274,646285,646285,0,0,25416065,0,3596 45,3,2024-09-07 09:36:20:935,1,508,1,0,271,4528,508,0 46,0,2024-09-07 09:36:20:976,126026,0.5,125504,0.7,251928,0.4,334218,2.00 46,1,2024-09-07 09:36:20:585,894986,894986,0,0,420619989716,4348962211,888585,5538,863,366,391709,0 46,2,2024-09-07 09:36:20:607,644583,644583,0,0,25651850,0,4443 46,3,2024-09-07 09:36:21:130,1,508,1,0,908,6307,508,0 47,0,2024-09-07 09:36:21:105,125888,0.4,126006,0.6,252703,0.3,334603,1.75 47,1,2024-09-07 09:36:20:566,895488,895488,0,0,420593853248,4346189809,890262,4387,839,366,391641,0 47,2,2024-09-07 09:36:20:908,641904,641904,0,0,25396377,0,4477 47,3,2024-09-07 09:36:21:115,1,508,1,0,600,5841,508,0 48,0,2024-09-07 09:36:21:514,128306,0.3,128376,0.4,255694,0.2,341281,1.50 48,1,2024-09-07 09:36:21:031,893349,893349,0,0,419355094775,4359025267,887562,5215,572,384,391710,0 48,2,2024-09-07 09:36:20:710,640998,640998,0,0,23400960,0,3411 48,3,2024-09-07 09:36:20:753,1,508,1,0,339,4140,508,0 49,0,2024-09-07 09:36:21:720,134291,0.4,131863,0.5,256167,0.3,349987,1.75 49,1,2024-09-07 09:36:21:030,892960,892960,0,0,420107482834,4368845354,887397,4352,1211,382,391809,0 49,2,2024-09-07 09:36:21:797,647812,647812,0,0,26044918,0,4426 49,3,2024-09-07 09:36:21:416,1,508,1,0,992,6027,508,0 50,0,2024-09-07 09:36:21:512,126664,0.3,124949,0.5,251783,0.2,335691,1.75 50,1,2024-09-07 09:36:21:010,895930,895930,0,0,420990077365,4354682258,889986,5277,667,368,391565,0 50,2,2024-09-07 09:36:21:067,644046,644046,0,0,23852777,0,4490 50,3,2024-09-07 09:36:21:295,1,508,1,0,617,5423,508,0 51,0,2024-09-07 09:36:21:684,128257,0.3,125435,0.4,244407,0.2,333392,1.75 51,1,2024-09-07 09:36:21:683,896359,896359,0,0,421026254265,4342952517,891597,3708,1054,365,391706,0 51,2,2024-09-07 09:36:21:317,639639,639639,0,0,23053227,0,3337 51,3,2024-09-07 09:36:21:027,1,508,0,0,678,3759,508,0 52,0,2024-09-07 09:36:21:474,127316,0.5,126934,0.7,254266,0.5,338303,2.00 52,1,2024-09-07 09:36:20:579,892430,892430,0,0,419211068655,4391199940,879206,11119,2105,368,391722,0 52,2,2024-09-07 09:36:21:760,637187,637149,38,0,30523838,0,6742 52,3,2024-09-07 09:36:20:675,1,508,1,0,1782,6622,508,0 53,0,2024-09-07 09:36:21:734,130231,0.7,126471,0.8,264410,0.7,346996,2.50 53,1,2024-09-07 09:36:20:771,890504,890504,0,0,418997280366,4400058755,875150,11510,3844,367,391968,0 53,2,2024-09-07 09:36:21:301,646143,646142,1,0,27442721,0,5455 53,3,2024-09-07 09:36:20:710,1,508,1,0,308,5129,508,0 54,0,2024-09-07 09:36:21:617,123835,0.6,124220,0.8,247368,0.5,330483,2.25 54,1,2024-09-07 09:36:20:582,892355,892355,0,0,419688814129,4368434019,882291,8430,1634,366,391810,0 54,2,2024-09-07 09:36:20:868,644110,644078,32,0,31283659,0,6397 54,3,2024-09-07 09:36:20:771,1,508,3,0,676,6972,508,0 55,0,2024-09-07 09:36:21:762,121394,0.6,125268,0.7,253742,0.5,330558,2.50 55,1,2024-09-07 09:36:20:772,893655,893655,0,0,419067908164,4359937601,883999,8337,1319,365,391731,0 55,2,2024-09-07 09:36:20:740,640117,640061,56,0,29580764,0,7239 55,3,2024-09-07 09:36:20:690,1,508,2,0,304,4832,508,0 56,0,2024-09-07 09:36:21:563,128339,1.1,120874,1.1,249200,1.5,333910,2.75 56,1,2024-09-07 09:36:20:661,888250,888250,0,0,417249895683,4408762220,873938,11400,2912,381,391867,0 56,2,2024-09-07 09:36:21:305,639727,639605,122,0,30731869,0,7432 56,3,2024-09-07 09:36:21:058,1,508,1,0,705,6196,508,0 57,0,2024-09-07 09:36:20:991,129060,1.6,129009,1.3,258187,2.2,345629,3.25 57,1,2024-09-07 09:36:20:987,889870,889870,0,0,418087264892,4389117091,877695,9890,2285,366,392032,0 57,2,2024-09-07 09:36:21:316,642991,642991,0,0,31636114,0,4317 57,3,2024-09-07 09:36:21:743,1,508,3,0,455,5771,508,0 58,0,2024-09-07 09:36:20:559,124981,1.0,121267,1.0,253795,1.3,332194,2.75 58,1,2024-09-07 09:36:20:575,891458,891455,0,3,418831487433,4391865757,877669,10466,3320,367,391675,3 58,2,2024-09-07 09:36:21:075,643960,643960,0,0,30004440,0,3483 58,3,2024-09-07 09:36:21:069,1,508,1,0,1043,5622,508,0 59,0,2024-09-07 09:36:21:765,125926,0.8,125894,1.0,250970,0.9,332906,2.75 59,1,2024-09-07 09:36:20:805,890186,890186,0,0,418371927706,4396099762,875447,11600,3139,369,391653,0 59,2,2024-09-07 09:36:20:584,642577,642577,0,0,28755976,0,3727 59,3,2024-09-07 09:36:21:751,1,508,0,0,1015,6421,508,0 60,0,2024-09-07 09:36:21:715,124997,0.5,125270,0.7,250718,0.5,332518,1.75 60,1,2024-09-07 09:36:20:792,894694,894694,0,0,420563872303,4366470937,888518,5244,932,370,392031,0 60,2,2024-09-07 09:36:21:141,639777,639777,0,0,27378339,0,3811 60,3,2024-09-07 09:36:21:258,1,508,1,0,409,6068,508,0 61,0,2024-09-07 09:36:21:504,128570,0.8,129157,0.8,257170,0.8,343600,2.00 61,1,2024-09-07 09:36:20:776,891276,891276,0,0,418122805085,4381180188,881406,8290,1580,382,392127,0 61,2,2024-09-07 09:36:21:121,641111,641044,67,0,28332992,0,6411 61,3,2024-09-07 09:36:21:691,1,508,5,0,607,7437,508,0 62,0,2024-09-07 09:36:21:705,130277,0.7,133595,0.8,255016,0.7,346223,2.00 62,1,2024-09-07 09:36:21:113,896551,896545,0,6,421914334605,4349565134,892393,3872,280,365,391975,6 62,2,2024-09-07 09:36:21:645,643938,643937,1,0,27668129,0,5555 62,3,2024-09-07 09:36:21:145,1,508,1,0,482,4191,508,0 63,0,2024-09-07 09:36:21:473,127013,0.4,126714,0.6,254394,0.4,337987,1.75 63,1,2024-09-07 09:36:20:804,894063,894057,0,6,419951017309,4361293367,888306,5000,751,381,391800,6 63,2,2024-09-07 09:36:20:773,644011,644011,0,0,26713265,0,4369 63,3,2024-09-07 09:36:21:732,1,508,2,0,667,5546,508,0 64,0,2024-09-07 09:36:21:531,124837,0.5,124906,0.6,249365,0.4,331910,2.00 64,1,2024-09-07 09:36:20:752,893393,893393,0,0,419588348032,4371529267,885508,6114,1771,370,391794,0 64,2,2024-09-07 09:36:21:148,642926,642907,19,0,25618146,0,6121 64,3,2024-09-07 09:36:21:150,1,508,7,0,651,5439,508,0 65,0,2024-09-07 09:36:21:676,126044,0.6,126392,0.8,252001,0.7,336277,2.00 65,1,2024-09-07 09:36:20:859,891665,891665,0,0,418734903097,4378710465,884920,5949,796,381,391901,0 65,2,2024-09-07 09:36:21:692,640326,640326,0,0,30072402,0,3367 65,3,2024-09-07 09:36:21:689,1,508,2,0,782,6104,508,0 66,0,2024-09-07 09:36:21:784,131370,0.6,130926,0.8,261990,0.6,349562,2.25 66,1,2024-09-07 09:36:21:301,893434,893434,0,0,419528460445,4369154516,887286,5426,722,380,391743,0 66,2,2024-09-07 09:36:21:132,648344,648341,3,0,27518025,0,5455 66,3,2024-09-07 09:36:21:078,1,508,1,0,291,4491,508,0 67,0,2024-09-07 09:36:21:417,125454,0.5,125078,0.7,250965,0.5,334152,2.00 67,1,2024-09-07 09:36:20:770,893450,893449,0,1,419979678339,4374325371,887179,5457,813,380,391787,1 67,2,2024-09-07 09:36:20:581,646680,646665,15,0,26573206,0,6205 67,3,2024-09-07 09:36:21:756,1,508,1,0,595,5484,508,0 68,0,2024-09-07 09:36:20:569,125357,0.5,125245,0.7,249475,0.5,333262,2.00 68,1,2024-09-07 09:36:20:570,889917,889917,0,0,418099389782,4399437478,877681,8837,3399,381,391953,0 68,2,2024-09-07 09:36:21:048,636071,635971,100,0,34005606,0,8578 68,3,2024-09-07 09:36:20:733,1,508,1,0,417,6710,508,0 69,0,2024-09-07 09:36:21:731,125399,0.7,126248,0.8,251505,0.7,333937,2.25 69,1,2024-09-07 09:36:21:026,888846,888846,0,0,417107966120,4397941549,876761,9326,2759,384,391994,0 69,2,2024-09-07 09:36:21:741,639103,639074,29,0,34918581,0,6912 69,3,2024-09-07 09:36:20:769,1,508,0,0,698,7710,508,0 70,0,2024-09-07 09:36:21:536,129624,1.0,130065,1.0,261412,0.9,346375,2.50 70,1,2024-09-07 09:36:20:804,894208,894208,0,0,420373710485,4363994105,886957,6489,762,366,391725,0 70,2,2024-09-07 09:36:21:325,644648,644648,0,0,29545858,0,4323 70,3,2024-09-07 09:36:20:751,1,508,0,0,854,5671,508,0 71,0,2024-09-07 09:36:21:357,124484,1.2,124173,1.1,249677,1.7,333072,3.00 71,1,2024-09-07 09:36:21:596,891810,891810,0,0,419047047949,4377388522,880418,10137,1255,368,391738,0 71,2,2024-09-07 09:36:21:067,643068,643068,0,0,30275017,0,4352 71,3,2024-09-07 09:36:21:756,1,508,6,0,644,6097,508,0 72,0,2024-09-07 09:36:21:039,130297,0.5,127387,0.7,248520,0.5,337500,2.00 72,1,2024-09-07 09:36:21:030,890197,890197,0,0,418329812420,4391981210,876816,11099,2282,369,391819,0 72,2,2024-09-07 09:36:21:757,640958,640958,0,0,31471487,0,3983 72,3,2024-09-07 09:36:21:758,1,508,1,0,564,7382,508,0 73,0,2024-09-07 09:36:21:101,121930,0.4,125213,0.6,255631,0.4,332192,2.00 73,1,2024-09-07 09:36:20:783,893336,893336,0,0,419416307258,4356676486,887110,5801,425,367,391858,0 73,2,2024-09-07 09:36:21:744,639327,639327,0,0,31882498,0,4728 73,3,2024-09-07 09:36:20:974,1,508,6,0,486,6419,508,0 74,0,2024-09-07 09:36:21:348,131188,0.5,134313,0.7,256112,0.5,348291,2.00 74,1,2024-09-07 09:36:20:639,891614,891614,0,0,418493896664,4372910632,881165,8525,1924,381,391762,0 74,2,2024-09-07 09:36:21:009,641088,641088,0,0,27828521,0,4253 74,3,2024-09-07 09:36:21:444,1,508,1,0,522,6190,508,0 75,0,2024-09-07 09:36:21:768,128239,0.6,127314,0.8,255825,0.6,341438,2.25 75,1,2024-09-07 09:36:21:585,892627,892627,0,0,418693724508,4367237072,884743,7024,860,380,391739,0 75,2,2024-09-07 09:36:21:350,643987,643987,0,0,33303562,0,4766 75,3,2024-09-07 09:36:21:067,1,508,11,0,702,6146,508,0 76,0,2024-09-07 09:36:20:605,126047,0.5,125336,0.7,251221,0.5,335780,2.25 76,1,2024-09-07 09:36:20:805,891626,891626,0,0,418387194695,4366303322,885007,5653,966,382,391692,0 76,2,2024-09-07 09:36:21:061,646222,646219,3,0,28339963,0,5265 76,3,2024-09-07 09:36:21:142,1,508,5,0,227,4816,508,0 77,0,2024-09-07 09:36:21:696,125246,0.5,125682,0.7,251515,0.5,333641,2.00 77,1,2024-09-07 09:36:20:826,892630,892630,0,0,419335737117,4375670458,885802,6235,593,381,391869,0 77,2,2024-09-07 09:36:21:303,638610,638610,0,0,27789089,0,3890 77,3,2024-09-07 09:36:21:103,1,508,12,0,401,5498,508,0 78,0,2024-09-07 09:36:21:724,128046,0.4,127545,0.6,255986,0.4,339755,2.00 78,1,2024-09-07 09:36:20:619,892275,892275,0,0,418311830317,4365140141,881509,8240,2526,367,391670,0 78,2,2024-09-07 09:36:21:405,641812,641799,13,0,25881034,0,8313 78,3,2024-09-07 09:36:21:133,1,508,10,0,311,4506,508,0 79,0,2024-09-07 09:36:21:353,126422,0.4,129423,0.6,265061,0.4,344821,2.25 79,1,2024-09-07 09:36:20:571,894943,894943,0,0,419921516677,4353586851,887211,6375,1357,367,391682,0 79,2,2024-09-07 09:36:21:073,647184,647184,0,0,24966668,0,4195 79,3,2024-09-07 09:36:20:751,1,508,10,0,418,6254,508,0 80,0,2024-09-07 09:36:21:078,125818,0.5,129273,0.7,247443,0.5,335166,2.00 80,1,2024-09-07 09:36:21:636,892204,892204,0,0,419513571248,4368216798,886383,5395,426,368,392269,0 80,2,2024-09-07 09:36:21:093,646373,646373,0,0,25499551,0,4433 80,3,2024-09-07 09:36:20:575,1,508,2,0,296,6066,508,0 81,0,2024-09-07 09:36:21:554,125113,0.5,127999,0.7,244133,0.5,331897,2.00 81,1,2024-09-07 09:36:21:650,891189,891189,0,0,418120778989,4373120517,883792,6759,638,382,391885,0 81,2,2024-09-07 09:36:21:129,638277,638214,63,0,28404676,0,5932 81,3,2024-09-07 09:36:21:118,1,508,60,0,719,5900,508,0 82,0,2024-09-07 09:36:21:549,126549,0.5,126712,0.7,253883,0.4,337474,2.00 82,1,2024-09-07 09:36:20:584,893508,893504,0,4,419778624486,4365927357,888426,4258,820,381,391768,4 82,2,2024-09-07 09:36:21:698,642392,642392,0,0,23658759,0,4484 82,3,2024-09-07 09:36:21:762,1,508,1,0,363,4932,508,0 83,0,2024-09-07 09:36:21:561,130870,0.6,130839,0.8,261114,0.7,347307,2.25 83,1,2024-09-07 09:36:20:557,892632,892632,0,0,419595069630,4375943293,886279,5900,453,382,391709,0 83,2,2024-09-07 09:36:20:763,645754,645729,25,0,25717147,0,5612 83,3,2024-09-07 09:36:20:751,1,508,2,0,1260,6462,508,0 84,0,2024-09-07 09:36:21:821,124752,0.8,124908,0.9,249389,0.7,333812,2.25 84,1,2024-09-07 09:36:21:052,891123,891123,0,0,418752113902,4380078756,880932,8670,1521,367,391967,0 84,2,2024-09-07 09:36:20:585,642936,642906,30,0,33776230,0,5971 84,3,2024-09-07 09:36:21:142,1,508,5,0,908,7294,508,0 85,0,2024-09-07 09:36:21:020,120964,0.6,120926,0.8,256709,0.5,332038,2.25 85,1,2024-09-07 09:36:20:574,887949,887949,0,0,417369619321,4410996054,873691,11601,2657,381,392092,0 85,2,2024-09-07 09:36:20:870,639496,639496,0,0,31435894,0,4255 85,3,2024-09-07 09:36:20:695,1,508,10,0,789,5849,508,0 86,0,2024-09-07 09:36:20:877,125401,0.6,129011,0.7,246712,0.6,334140,2.25 86,1,2024-09-07 09:36:20:831,891021,891021,0,0,418795205053,4390550704,879738,9309,1974,366,391995,0 86,2,2024-09-07 09:36:20:855,638209,638208,1,0,33850309,0,5004 86,3,2024-09-07 09:36:20:605,1,508,0,0,308,7457,508,0 87,0,2024-09-07 09:36:21:308,130706,0.9,130534,0.9,261015,1.2,349458,2.25 87,1,2024-09-07 09:36:20:556,890020,890020,0,0,417803255351,4381403940,877828,10477,1715,366,392076,0 87,2,2024-09-07 09:36:21:074,642234,642228,6,0,29686534,0,6323 87,3,2024-09-07 09:36:21:801,1,508,12,0,473,7601,508,0 88,0,2024-09-07 09:36:21:460,126703,0.5,127321,0.6,254439,0.5,338347,2.00 88,1,2024-09-07 09:36:20:569,889384,889384,0,0,418144990009,4382482528,876768,10002,2614,365,392084,0 88,2,2024-09-07 09:36:20:697,644477,644477,0,0,32169007,0,4465 88,3,2024-09-07 09:36:21:268,1,508,1,0,435,6502,508,0 89,0,2024-09-07 09:36:21:804,130258,0.4,126234,0.6,249377,0.4,338760,1.75 89,1,2024-09-07 09:36:20:580,889872,889872,0,0,418652179785,4405749210,878470,9587,1815,382,391866,0 89,2,2024-09-07 09:36:21:135,642406,642406,0,0,30557840,0,3173 89,3,2024-09-07 09:36:21:792,1,508,5,0,468,8405,508,0 90,0,2024-09-07 09:36:21:661,121419,0.4,124856,0.6,254342,0.4,331440,1.75 90,1,2024-09-07 09:36:20:614,891275,891275,0,0,418022804198,4377451565,882776,7766,733,380,391825,0 90,2,2024-09-07 09:36:21:414,637231,637231,0,0,32688443,0,3608 90,3,2024-09-07 09:36:20:952,1,508,5,0,322,6198,508,0 91,0,2024-09-07 09:36:20:936,129180,0.5,125246,0.6,261935,0.5,344157,1.75 91,1,2024-09-07 09:36:20:567,889459,889459,0,0,417961764945,4397255321,877895,9554,2010,381,392047,0 91,2,2024-09-07 09:36:21:334,641628,641628,0,0,28742214,0,4713 91,3,2024-09-07 09:36:20:611,1,508,2,0,216,4865,508,0 92,0,2024-09-07 09:36:21:490,131303,0.5,134182,0.6,255908,0.5,346425,1.75 92,1,2024-09-07 09:36:20:580,891451,891451,0,0,418893258508,4378513761,883949,6297,1205,381,392136,0 92,2,2024-09-07 09:36:21:355,646263,646263,0,0,26172021,0,3259 92,3,2024-09-07 09:36:21:012,1,508,5,0,167,4337,508,0 93,0,2024-09-07 09:36:20:976,127323,0.4,130541,0.6,249190,0.4,337896,1.75 93,1,2024-09-07 09:36:20:815,892019,892019,0,0,418755030448,4374882399,881742,8544,1733,366,391776,0 93,2,2024-09-07 09:36:20:927,644147,644147,0,0,31851205,0,4913 93,3,2024-09-07 09:36:21:414,1,508,10,0,190,4672,508,0 94,0,2024-09-07 09:36:21:605,125005,0.3,125664,0.5,251190,0.3,333721,1.75 94,1,2024-09-07 09:36:20:567,892191,892191,0,0,419775057064,4379674486,886097,5721,373,381,391850,0 94,2,2024-09-07 09:36:20:771,638816,638788,28,0,27142007,0,6179 94,3,2024-09-07 09:36:21:698,1,508,1,0,576,6521,508,0 95,0,2024-09-07 09:36:21:361,127150,0.3,126774,0.5,254104,0.3,338772,1.75 95,1,2024-09-07 09:36:20:853,893372,893372,0,0,418994518000,4356895867,886023,6692,657,365,391786,0 95,2,2024-09-07 09:36:21:028,640171,640171,0,0,25906832,0,3308 95,3,2024-09-07 09:36:21:709,1,508,1,0,718,8000,508,0 96,0,2024-09-07 09:36:21:038,132093,0.4,132231,0.5,264275,0.3,351814,1.75 96,1,2024-09-07 09:36:21:584,892216,892216,0,0,418552058774,4367338138,885835,5469,912,384,391955,0 96,2,2024-09-07 09:36:21:268,646885,646885,0,0,27017548,0,4180 96,3,2024-09-07 09:36:21:141,1,508,7,0,411,5473,508,0 97,0,2024-09-07 09:36:21:460,125607,0.3,125252,0.5,251646,0.3,334444,1.75 97,1,2024-09-07 09:36:20:785,893687,893687,0,0,420706065328,4365266901,887941,4803,943,367,392140,0 97,2,2024-09-07 09:36:20:628,645519,645519,0,0,26453824,0,3679 97,3,2024-09-07 09:36:20:587,1,508,9,0,242,5979,508,0 98,0,2024-09-07 09:36:21:712,124789,0.3,125025,0.4,250700,0.2,332862,1.50 98,1,2024-09-07 09:36:20:586,893106,893106,0,0,418923708522,4364137478,887709,4599,798,381,391997,0 98,2,2024-09-07 09:36:20:771,639722,639722,0,0,26000022,0,4336 98,3,2024-09-07 09:36:20:706,1,508,5,0,840,7940,508,0 99,0,2024-09-07 09:36:21:472,125973,0.3,126631,0.5,252008,0.3,336462,1.75 99,1,2024-09-07 09:36:21:746,893288,893288,0,0,418242424361,4355312653,887331,5031,926,380,392069,0 99,2,2024-09-07 09:36:21:432,641225,641225,0,0,31238077,0,4276 99,3,2024-09-07 09:36:20:581,1,508,2,0,606,5201,508,0 100,0,2024-09-07 09:36:21:527,130824,0.9,131140,1.0,261571,1.1,349791,2.50 100,1,2024-09-07 09:36:20:603,888184,888184,0,0,416825657488,4409926496,873926,11160,3098,378,391989,0 100,2,2024-09-07 09:36:21:827,642042,642031,11,0,30551523,0,5417 100,3,2024-09-07 09:36:21:746,1,508,1,0,627,8967,508,0 101,0,2024-09-07 09:36:21:713,128393,1.3,125098,1.1,245122,1.4,335271,2.50 101,1,2024-09-07 09:36:20:553,889237,889237,0,0,417811642541,4392247748,876964,9873,2400,368,391847,0 101,2,2024-09-07 09:36:21:803,639577,639577,0,0,35513971,0,4871 101,3,2024-09-07 09:36:20:966,1,508,8,0,1250,7859,508,0 102,0,2024-09-07 09:36:20:949,122782,0.6,126541,0.7,256885,0.6,335740,2.00 102,1,2024-09-07 09:36:21:152,889487,889487,0,0,418628853275,4399197050,877299,10168,2020,369,391891,0 102,2,2024-09-07 09:36:21:737,642132,642078,54,0,30076858,0,6768 102,3,2024-09-07 09:36:21:613,1,508,1,0,466,6075,508,0 103,0,2024-09-07 09:36:21:616,129194,0.5,129225,0.7,243540,0.5,334652,2.00 103,1,2024-09-07 09:36:21:633,888077,888077,0,0,417477264053,4409675427,874043,10836,3198,381,391862,0 103,2,2024-09-07 09:36:20:608,638477,638477,0,0,31600342,0,3766 103,3,2024-09-07 09:36:20:763,1,508,1,0,916,6154,508,0 104,0,2024-09-07 09:36:21:019,129220,0.8,129430,0.9,257892,0.7,346209,2.25 104,1,2024-09-07 09:36:21:603,890820,890820,0,0,417801357509,4391008350,877780,10489,2551,365,392168,0 104,2,2024-09-07 09:36:21:701,639627,639627,0,0,30573043,0,3941 104,3,2024-09-07 09:36:21:419,1,508,1,0,1245,9097,508,0 105,0,2024-09-07 09:36:21:104,126613,1.0,123369,1.1,258675,1.2,339742,2.75 105,1,2024-09-07 09:36:20:561,891973,891973,0,0,419093058597,4392766064,880384,9822,1767,365,392009,0 105,2,2024-09-07 09:36:21:333,643794,643794,0,0,30854201,0,4360 105,3,2024-09-07 09:36:21:304,1,508,1,0,399,7311,508,0 106,0,2024-09-07 09:36:20:940,122219,0.7,125130,0.9,256517,0.8,334577,2.50 106,1,2024-09-07 09:36:21:757,891071,891071,0,0,417608232668,4383950132,878468,10957,1646,368,391914,0 106,2,2024-09-07 09:36:20:764,642660,642660,0,0,29181779,0,2920 106,3,2024-09-07 09:36:20:677,1,508,1,0,1224,7730,508,0 107,0,2024-09-07 09:36:21:109,125423,0.8,125676,0.8,251189,0.8,334723,2.00 107,1,2024-09-07 09:36:20:590,889211,889211,0,0,417457546546,4403384705,876315,11503,1393,381,392234,0 107,2,2024-09-07 09:36:21:301,637127,637126,1,0,31759909,0,5024 107,3,2024-09-07 09:36:21:756,1,508,13,0,733,7782,508,0 108,0,2024-09-07 09:36:21:786,127462,0.4,128335,0.6,255293,0.4,341227,1.75 108,1,2024-09-07 09:36:21:315,891707,891707,0,0,419474105450,4377108567,883812,6925,970,367,391857,0 108,2,2024-09-07 09:36:21:761,639950,639950,0,0,28603482,0,4246 108,3,2024-09-07 09:36:21:359,1,508,1,0,749,10211,508,0 109,0,2024-09-07 09:36:21:837,131602,0.4,130417,0.6,261540,0.4,349023,1.75 109,1,2024-09-07 09:36:20:588,889514,889514,0,0,418146218224,4388735642,881317,6983,1214,382,392132,0 109,2,2024-09-07 09:36:20:933,644051,644051,0,0,28587019,0,3617 109,3,2024-09-07 09:36:21:140,1,508,6,0,630,6461,508,0 110,0,2024-09-07 09:36:21:749,125850,0.4,122480,0.6,256568,0.3,336411,1.75 110,1,2024-09-07 09:36:21:645,892880,892880,0,0,419728880839,4361625320,885355,5603,1922,368,392045,0 110,2,2024-09-07 09:36:21:305,644627,644627,0,0,27064318,0,4067 110,3,2024-09-07 09:36:20:701,1,508,0,0,722,7186,508,0 111,0,2024-09-07 09:36:21:432,125393,0.3,124719,0.5,249769,0.3,333190,1.75 111,1,2024-09-07 09:36:21:005,894471,894471,0,0,421088011469,4367131503,889928,4186,357,380,391690,0 111,2,2024-09-07 09:36:21:116,638446,638446,0,0,27573038,0,4823 111,3,2024-09-07 09:36:20:912,1,508,1,0,379,5890,508,0 112,0,2024-09-07 09:36:20:911,127533,0.3,126929,0.4,254665,0.2,338485,1.50 112,1,2024-09-07 09:36:20:824,893238,893238,0,0,419873900931,4360694387,887344,5204,690,380,391624,0 112,2,2024-09-07 09:36:21:133,640338,640337,1,0,26210120,0,5036 112,3,2024-09-07 09:36:20:595,1,508,1,0,282,4945,508,0 113,0,2024-09-07 09:36:20:872,131132,0.3,130972,0.5,262325,0.3,349185,1.75 113,1,2024-09-07 09:36:21:690,896166,896166,0,0,420849374297,4344474316,890919,4434,813,365,391661,0 113,2,2024-09-07 09:36:21:305,647883,647883,0,0,23906726,0,3813 113,3,2024-09-07 09:36:20:700,1,508,3,0,340,5458,508,0 114,0,2024-09-07 09:36:20:878,126162,0.3,127053,0.5,252405,0.2,337737,1.75 114,1,2024-09-07 09:36:20:732,893245,893245,0,0,419568397205,4359213716,886342,5287,1616,381,391565,0 114,2,2024-09-07 09:36:20:873,645725,645724,1,0,25793904,0,5069 114,3,2024-09-07 09:36:21:278,1,508,1,0,395,4055,508,0 115,0,2024-09-07 09:36:20:566,126209,0.3,126679,0.4,252645,0.2,335604,1.50 115,1,2024-09-07 09:36:20:576,893925,893925,0,0,419996599046,4366261329,886527,6041,1357,382,391757,0 115,2,2024-09-07 09:36:21:125,643682,643682,0,0,25334068,0,4382 115,3,2024-09-07 09:36:21:002,1,508,2,0,167,2962,508,0 116,0,2024-09-07 09:36:21:709,125202,0.6,125092,0.8,250615,0.6,335355,2.00 116,1,2024-09-07 09:36:20:806,889493,889493,0,0,418172341678,4408516958,878854,8196,2443,380,392089,0 116,2,2024-09-07 09:36:21:758,638791,638791,0,0,32113559,0,4475 116,3,2024-09-07 09:36:20:913,1,508,1,0,415,6365,508,0 117,0,2024-09-07 09:36:21:027,131144,0.8,130529,0.8,261665,0.9,350074,2.00 117,1,2024-09-07 09:36:21:595,890053,890053,0,0,418358621470,4381044569,880080,8748,1225,369,392033,0 117,2,2024-09-07 09:36:21:148,644694,644694,0,0,27968876,0,4303 117,3,2024-09-07 09:36:21:063,1,508,1,0,490,7061,508,0 118,0,2024-09-07 09:36:21:769,123044,0.6,126482,0.7,257792,0.6,336869,2.00 118,1,2024-09-07 09:36:20:587,889917,889917,0,0,416994120445,4386301414,876422,10375,3120,366,392054,0 118,2,2024-09-07 09:36:21:594,643397,643397,0,0,30686498,0,2842 118,3,2024-09-07 09:36:21:768,1,508,1,0,248,5872,508,0 119,0,2024-09-07 09:36:21:340,126283,0.6,126679,0.8,253189,0.6,336919,2.00 119,1,2024-09-07 09:36:20:566,890500,890500,0,0,418675890897,4388596849,878841,9893,1766,367,391857,0 119,2,2024-09-07 09:36:21:277,643433,643433,0,0,28711638,0,4174 119,3,2024-09-07 09:36:21:331,1,508,2,0,1358,9716,508,0 120,0,2024-09-07 09:36:21:547,124485,0.6,124264,0.8,249581,0.6,332148,2.25 120,1,2024-09-07 09:36:20:862,890987,890987,0,0,418440886728,4386811310,881704,8504,779,368,392144,0 120,2,2024-09-07 09:36:20:777,638331,638330,1,0,33059499,0,5281 120,3,2024-09-07 09:36:21:313,1,508,3,0,279,6412,508,0 121,0,2024-09-07 09:36:21:766,128849,1.4,128204,1.1,257163,1.9,343239,2.50 121,1,2024-09-07 09:36:21:656,891555,891555,0,0,418517693608,4376213059,882832,8022,701,367,391840,0 121,2,2024-09-07 09:36:21:137,639688,639688,0,0,30218509,0,4157 121,3,2024-09-07 09:36:20:736,1,508,2,0,330,6156,508,0 122,0,2024-09-07 09:36:21:785,129252,0.9,125840,0.9,263702,1.0,346275,2.25 122,1,2024-09-07 09:36:20:875,889826,889826,0,0,418399364947,4390353651,877394,10548,1884,366,392130,0 122,2,2024-09-07 09:36:21:324,646088,646013,75,0,34684907,0,5989 122,3,2024-09-07 09:36:20:598,1,508,21,0,512,8721,508,0 123,0,2024-09-07 09:36:20:955,126074,0.8,122750,0.9,257036,0.9,336499,2.25 123,1,2024-09-07 09:36:20:562,890472,890472,0,0,418605282543,4405789230,875329,12640,2503,369,392039,0 123,2,2024-09-07 09:36:21:030,641940,641939,1,0,29456277,0,5215 123,3,2024-09-07 09:36:21:141,1,508,9,0,478,6004,508,0 124,0,2024-09-07 09:36:21:003,128990,0.3,128916,0.5,243099,0.3,334727,1.75 124,1,2024-09-07 09:36:21:044,893399,893399,0,0,418902997973,4356971301,886593,5675,1131,365,392178,0 124,2,2024-09-07 09:36:21:033,639984,639931,53,0,26882024,0,6487 124,3,2024-09-07 09:36:20:773,1,508,3,0,490,5384,508,0 125,0,2024-09-07 09:36:21:462,126930,0.4,126604,0.6,254537,0.3,338666,1.75 125,1,2024-09-07 09:36:20:859,891928,891928,0,0,419174082910,4377124924,884960,5986,982,382,391813,0 125,2,2024-09-07 09:36:21:121,642468,642468,0,0,26927453,0,4534 125,3,2024-09-07 09:36:21:128,1,508,1,0,709,6149,508,0 126,0,2024-09-07 09:36:21:426,132087,0.4,135795,0.6,259689,0.4,352368,1.75 126,1,2024-09-07 09:36:20:571,894359,894359,0,0,419739310202,4346481475,889691,4358,310,365,391987,0 126,2,2024-09-07 09:36:20:609,647120,647120,0,0,27820607,0,4539 126,3,2024-09-07 09:36:20:918,1,508,0,0,268,5749,508,0 127,0,2024-09-07 09:36:21:604,125746,0.3,126140,0.5,251682,0.3,334634,1.75 127,1,2024-09-07 09:36:20:571,893007,893007,0,0,419078111199,4363587026,883645,7858,1504,364,392187,0 127,2,2024-09-07 09:36:20:638,643408,643404,4,0,26476964,0,5305 127,3,2024-09-07 09:36:21:267,1,508,0,0,968,5162,508,0 128,0,2024-09-07 09:36:21:557,125609,0.3,125772,0.4,251158,0.2,333736,1.50 128,1,2024-09-07 09:36:21:607,892350,892350,0,0,419956391044,4364485940,885876,5740,734,367,392031,0 128,2,2024-09-07 09:36:21:382,641444,641444,0,0,24716381,0,3171 128,3,2024-09-07 09:36:20:773,1,508,10,0,1082,8286,508,0 129,0,2024-09-07 09:36:21:026,127055,0.3,126565,0.5,253447,0.3,336882,1.50 129,1,2024-09-07 09:36:20:574,890197,890197,0,0,418272521932,4386208000,881176,7076,1945,379,391962,0 129,2,2024-09-07 09:36:20:706,641287,641283,4,0,26994691,0,5335 129,3,2024-09-07 09:36:20:690,1,508,11,0,506,7267,508,0 130,0,2024-09-07 09:36:21:722,131835,0.5,131482,0.6,263834,0.5,351248,1.75 130,1,2024-09-07 09:36:20:591,892926,892926,0,0,419339976018,4357820842,888140,4398,388,381,391825,0 130,2,2024-09-07 09:36:21:127,645156,645156,0,0,27014201,0,4067 130,3,2024-09-07 09:36:21:295,1,508,0,0,960,7270,508,0 131,0,2024-09-07 09:36:21:953,125967,0.4,126440,0.5,253298,0.3,336187,1.75 131,1,2024-09-07 09:36:21:839,892533,892533,0,0,419021242104,4373478216,885657,5685,1191,381,391865,0 131,2,2024-09-07 09:36:20:575,644879,644879,0,0,25061244,0,3979 131,3,2024-09-07 09:36:21:689,1,508,2,0,392,6316,508,0 132,0,2024-09-07 09:36:21:436,126260,0.4,127388,0.6,253433,0.4,336872,2.00 132,1,2024-09-07 09:36:20:584,888952,888952,0,0,416949336056,4388366492,876517,10244,2191,381,392532,0 132,2,2024-09-07 09:36:20:711,641758,641741,17,0,32694548,0,6451 132,3,2024-09-07 09:36:21:689,1,508,3,0,804,8709,508,0 133,0,2024-09-07 09:36:21:663,121942,0.4,124884,0.6,256023,0.4,332837,1.75 133,1,2024-09-07 09:36:20:588,888970,888970,0,0,417741320901,4405118364,876471,10810,1689,383,391914,0 133,2,2024-09-07 09:36:21:088,639867,639817,50,0,32989053,0,6861 133,3,2024-09-07 09:36:21:303,1,508,1,0,479,6197,508,0 134,0,2024-09-07 09:36:20:986,130454,0.6,130384,0.7,261038,0.5,348240,2.25 134,1,2024-09-07 09:36:20:644,890435,890435,0,0,418249025975,4386110966,879077,9212,2146,366,391718,0 134,2,2024-09-07 09:36:21:756,640583,640559,24,0,31011174,0,6207 134,3,2024-09-07 09:36:20:764,1,508,1,0,739,6240,508,0 135,0,2024-09-07 09:36:21:098,124079,1.0,124023,0.9,263226,1.2,339144,2.50 135,1,2024-09-07 09:36:21:584,890013,890013,0,0,419047221534,4408200431,878384,10064,1565,380,391805,0 135,2,2024-09-07 09:36:20:701,645286,645286,0,0,31257663,0,4503 135,3,2024-09-07 09:36:21:006,1,508,7,0,900,5261,508,0 136,0,2024-09-07 09:36:21:645,127026,0.5,127230,0.7,253334,0.5,337668,2.00 136,1,2024-09-07 09:36:21:451,891754,891754,0,0,417910916402,4382764496,881388,9099,1267,381,392135,0 136,2,2024-09-07 09:36:21:133,644993,644978,15,0,30547575,0,6007 136,3,2024-09-07 09:36:21:108,1,508,2,0,637,6195,508,0 137,0,2024-09-07 09:36:20:989,129635,0.5,126313,0.7,247550,0.5,335990,2.00 137,1,2024-09-07 09:36:20:582,889880,889880,0,0,417736290571,4380405266,876456,10753,2671,366,391898,0 137,2,2024-09-07 09:36:21:709,637810,637810,0,0,31870096,0,3185 137,3,2024-09-07 09:36:20:781,1,508,2,0,484,6452,508,0 138,0,2024-09-07 09:36:21:740,127197,0.9,127392,0.9,255310,1.0,339759,2.25 138,1,2024-09-07 09:36:21:686,890403,890403,0,0,418288103505,4388860700,877672,10762,1969,368,391954,0 138,2,2024-09-07 09:36:20:596,639643,639643,0,0,29328234,0,4988 138,3,2024-09-07 09:36:20:617,1,508,1,0,1200,8243,508,0 139,0,2024-09-07 09:36:21:375,129817,1.3,130219,1.0,260673,1.9,347335,2.50 139,1,2024-09-07 09:36:20:576,886101,886101,0,0,416681833354,4421008141,870167,12474,3460,380,392058,0 139,2,2024-09-07 09:36:20:698,642161,642161,0,0,32992612,0,3097 139,3,2024-09-07 09:36:21:675,1,508,4,0,432,5932,508,0 140,0,2024-09-07 09:36:21:616,126646,0.3,125870,0.5,252353,0.2,336678,1.75 140,1,2024-09-07 09:36:21:546,895160,895160,0,0,420666546910,4338249907,890580,4042,538,364,391606,0 140,2,2024-09-07 09:36:20:687,645536,645535,1,0,25285996,0,5036 140,3,2024-09-07 09:36:20:770,1,508,5,0,297,4830,508,0 141,0,2024-09-07 09:36:21:697,125349,0.3,128581,0.4,245404,0.2,332942,1.50 141,1,2024-09-07 09:36:20:860,893951,893951,0,0,420141770965,4365777040,886847,6019,1085,379,391614,0 141,2,2024-09-07 09:36:21:686,639469,639458,11,0,26765191,0,5369 141,3,2024-09-07 09:36:21:045,1,508,1,0,391,5889,508,0 142,0,2024-09-07 09:36:21:424,127697,0.3,126708,0.5,254160,0.3,339275,1.50 142,1,2024-09-07 09:36:20:591,892312,892312,0,0,419650850137,4375321840,886657,5196,459,382,392102,0 142,2,2024-09-07 09:36:21:308,639970,639938,32,0,27343396,0,6028 142,3,2024-09-07 09:36:21:745,1,508,1,0,484,5402,508,0 143,0,2024-09-07 09:36:21:404,131009,0.5,130886,0.6,262686,0.4,349226,1.75 143,1,2024-09-07 09:36:20:575,894135,894135,0,0,420226463222,4362808935,888060,5519,556,367,391722,0 143,2,2024-09-07 09:36:20:777,646595,646595,0,0,26853860,0,3123 143,3,2024-09-07 09:36:21:147,1,508,10,0,462,6496,508,0 144,0,2024-09-07 09:36:21:498,121951,0.7,125448,0.8,255190,0.6,334666,2.00 144,1,2024-09-07 09:36:20:580,889688,889688,0,0,417725125120,4386383750,880303,7419,1966,381,391733,0 144,2,2024-09-07 09:36:21:767,644725,644725,0,0,25603170,0,3673 144,3,2024-09-07 09:36:21:743,1,508,1,0,249,5004,508,0 145,0,2024-09-07 09:36:21:391,121679,0.5,121702,0.8,258370,0.5,332306,2.25 145,1,2024-09-07 09:36:20:576,888816,888816,0,0,417667722583,4399085454,876460,9890,2466,382,391759,0 145,2,2024-09-07 09:36:21:432,638755,638673,82,0,31472997,0,7814 145,3,2024-09-07 09:36:20:895,1,508,1,0,622,6990,508,0 146,0,2024-09-07 09:36:21:665,125535,0.5,125047,0.7,251020,0.5,333766,2.00 146,1,2024-09-07 09:36:21:601,890257,890257,0,0,418384836173,4400294292,875835,10621,3801,367,391770,0 146,2,2024-09-07 09:36:21:702,638587,638581,6,0,29477823,0,5151 146,3,2024-09-07 09:36:21:276,1,508,6,0,1520,8787,508,0 147,0,2024-09-07 09:36:21:706,131074,0.6,130751,0.8,261060,0.6,349324,2.25 147,1,2024-09-07 09:36:21:374,893677,893677,0,0,420402566928,4370061416,886395,6403,879,367,391791,0 147,2,2024-09-07 09:36:21:014,642555,642555,0,0,26598666,0,2968 147,3,2024-09-07 09:36:20:912,1,508,0,0,1626,8447,508,0 0,0,2024-09-07 09:36:31:714,121602,0.6,121602,0.7,258070,0.6,332975,2.00 0,1,2024-09-07 09:36:30:802,892423,892423,0,0,418915388867,4388794383,884913,6670,840,369,391896,0 0,2,2024-09-07 09:36:31:067,642275,642275,0,0,26624245,0,4480 0,3,2024-09-07 09:36:30:981,1,509,8,0,431,7170,509,0 1,0,2024-09-07 09:36:31:765,129088,1.0,128452,1.0,257753,1.3,344886,2.00 1,1,2024-09-07 09:36:30:570,891567,891567,0,0,418163693271,4384366761,882859,7241,1467,370,391859,0 1,2,2024-09-07 09:36:30:640,642259,642259,0,0,26065751,0,3380 1,3,2024-09-07 09:36:31:302,1,509,4,0,269,6472,509,0 2,0,2024-09-07 09:36:31:568,129520,0.6,129539,0.8,258818,0.7,344977,2.00 2,1,2024-09-07 09:36:30:870,894610,894610,0,0,420570367583,4372428832,889638,4055,917,379,391745,0 2,2,2024-09-07 09:36:31:271,647638,647638,0,0,24828049,0,3594 2,3,2024-09-07 09:36:30:694,1,509,2,0,357,4660,509,0 3,0,2024-09-07 09:36:31:743,126706,0.4,126479,0.6,252674,0.3,336729,2.00 3,1,2024-09-07 09:36:31:618,893578,893578,0,0,419870609946,4373119622,886112,6764,702,379,391716,0 3,2,2024-09-07 09:36:31:142,646138,646115,23,0,25870603,0,5851 3,3,2024-09-07 09:36:31:752,1,509,2,0,207,3171,509,0 4,0,2024-09-07 09:36:31:780,121772,0.4,125093,0.5,255212,0.3,333905,1.75 4,1,2024-09-07 09:36:30:592,889617,889617,0,0,417215396109,4422342859,873317,12617,3683,370,391992,0 4,2,2024-09-07 09:36:31:029,637292,637292,0,0,31441390,0,4534 4,3,2024-09-07 09:36:31:028,1,509,12,0,448,6645,509,0 5,0,2024-09-07 09:36:31:427,127041,0.4,127622,0.6,254845,0.4,339179,1.75 5,1,2024-09-07 09:36:30:778,891172,891172,0,0,419294976174,4414817660,878458,10301,2413,367,392005,0 5,2,2024-09-07 09:36:31:828,638874,638874,0,0,30362927,0,3582 5,3,2024-09-07 09:36:31:734,1,509,1,0,457,7018,509,0 6,0,2024-09-07 09:36:30:922,132290,0.5,131806,0.7,264044,0.5,351917,2.00 6,1,2024-09-07 09:36:30:746,893225,893225,0,0,418798857411,4376258655,883707,8062,1456,379,391702,0 6,2,2024-09-07 09:36:31:118,647429,647411,18,0,29613402,0,5535 6,3,2024-09-07 09:36:31:274,1,509,0,0,710,6195,509,0 7,0,2024-09-07 09:36:31:533,125082,0.5,125980,0.7,250855,0.5,334146,2.00 7,1,2024-09-07 09:36:30:860,891430,891430,0,0,418847575688,4401338255,878508,10690,2232,382,391747,0 7,2,2024-09-07 09:36:30:771,645739,645739,0,0,28717090,0,4791 7,3,2024-09-07 09:36:30:867,1,509,15,0,552,5880,509,0 8,0,2024-09-07 09:36:31:364,125546,0.3,125278,0.5,251169,0.3,334203,1.75 8,1,2024-09-07 09:36:31:041,890106,890106,0,0,418143033422,4397163968,875929,11049,3128,366,392853,0 8,2,2024-09-07 09:36:30:798,636235,636233,2,0,34139867,0,5112 8,3,2024-09-07 09:36:30:599,1,509,12,0,538,7735,509,0 9,0,2024-09-07 09:36:31:109,126358,0.4,122974,0.5,257352,0.3,337586,1.75 9,1,2024-09-07 09:36:30:550,890128,890128,0,0,419151033267,4415335784,876722,10759,2647,369,392001,0 9,2,2024-09-07 09:36:31:089,640522,640521,1,0,30882807,0,5281 9,3,2024-09-07 09:36:31:754,1,509,36,0,1273,8643,509,0 10,0,2024-09-07 09:36:31:603,131416,0.4,130988,0.5,262699,0.3,350095,1.75 10,1,2024-09-07 09:36:30:588,892965,892965,0,0,418493683720,4386466092,880609,10266,2090,381,391869,0 10,2,2024-09-07 09:36:30:762,646088,646088,0,0,33542975,0,4264 10,3,2024-09-07 09:36:30:872,1,509,3,0,649,5312,509,0 11,0,2024-09-07 09:36:31:014,125994,0.5,122228,0.7,255527,0.5,336970,1.75 11,1,2024-09-07 09:36:30:575,893210,893210,0,0,420203565465,4407800346,879734,10014,3462,383,391756,0 11,2,2024-09-07 09:36:31:123,644496,644496,0,0,29636998,0,4130 11,3,2024-09-07 09:36:31:298,1,509,2,0,843,6889,509,0 12,0,2024-09-07 09:36:30:967,127091,0.4,127149,0.5,254290,0.3,337658,1.75 12,1,2024-09-07 09:36:30:948,892809,892809,0,0,419266829159,4375959170,885154,6777,878,370,391960,0 12,2,2024-09-07 09:36:31:560,642522,642522,0,0,29501822,0,4390 12,3,2024-09-07 09:36:31:069,1,509,1,0,386,6996,509,0 13,0,2024-09-07 09:36:31:341,126236,0.4,125975,0.6,251351,0.4,335024,1.75 13,1,2024-09-07 09:36:31:525,891219,891219,0,0,418279813441,4398864659,881603,7323,2293,382,391740,0 13,2,2024-09-07 09:36:30:599,642887,642887,0,0,26412079,0,3287 13,3,2024-09-07 09:36:31:764,1,509,10,0,522,7210,509,0 14,0,2024-09-07 09:36:30:575,130752,0.4,131752,0.6,261195,0.4,348545,1.75 14,1,2024-09-07 09:36:31:560,896916,896916,0,0,421901571590,4367281913,890010,6178,728,364,391673,0 14,2,2024-09-07 09:36:30:765,643498,643468,30,0,27854337,0,6104 14,3,2024-09-07 09:36:31:117,1,509,1,0,1168,5830,509,0 15,0,2024-09-07 09:36:31:553,127726,0.5,127232,0.7,255458,0.4,340084,2.00 15,1,2024-09-07 09:36:31:610,894209,894209,0,0,420543508440,4381900500,886480,6244,1485,381,391619,0 15,2,2024-09-07 09:36:30:997,648239,648239,0,0,23439740,0,3622 15,3,2024-09-07 09:36:31:407,1,509,7,0,1126,7304,509,0 16,0,2024-09-07 09:36:30:956,126633,0.5,127257,0.7,253644,0.5,337388,2.00 16,1,2024-09-07 09:36:30:563,894082,894082,0,0,419285576342,4386384260,885681,7103,1298,370,392194,0 16,2,2024-09-07 09:36:31:433,644543,644543,0,0,27691180,0,4719 16,3,2024-09-07 09:36:31:149,1,509,4,0,358,6515,509,0 17,0,2024-09-07 09:36:31:808,129727,0.5,126447,0.7,247694,0.5,335681,2.00 17,1,2024-09-07 09:36:30:568,891897,891897,0,0,419239592180,4400688052,882611,7539,1747,368,392075,0 17,2,2024-09-07 09:36:31:672,642898,642897,1,0,28383513,0,5050 17,3,2024-09-07 09:36:30:574,1,509,1,0,518,7777,509,0 18,0,2024-09-07 09:36:30:959,126819,0.6,127699,0.8,254455,0.6,340163,2.25 18,1,2024-09-07 09:36:31:649,896052,896052,0,0,420511462571,4363923551,889946,5110,996,367,391725,0 18,2,2024-09-07 09:36:31:755,644184,644184,0,0,25229747,0,3541 18,3,2024-09-07 09:36:30:899,1,509,0,0,1059,4888,509,0 19,0,2024-09-07 09:36:31:541,130407,0.7,130794,0.8,259993,0.7,346201,2.25 19,1,2024-09-07 09:36:30:573,895093,895093,0,0,420353776615,4361072298,888106,5903,1084,367,391777,0 19,2,2024-09-07 09:36:31:762,650226,650226,0,0,23737213,0,3988 19,3,2024-09-07 09:36:31:137,1,509,1,0,524,4189,509,0 20,0,2024-09-07 09:36:31:442,126008,0.5,126276,0.7,252078,0.5,336512,2.00 20,1,2024-09-07 09:36:30:572,892603,892603,0,0,419915300077,4399956034,883465,7776,1362,369,391922,0 20,2,2024-09-07 09:36:30:929,644974,644974,0,0,28640078,0,3721 20,3,2024-09-07 09:36:30:589,1,509,17,0,468,8851,509,0 21,0,2024-09-07 09:36:31:147,125225,0.4,125326,0.6,250652,0.4,332756,1.75 21,1,2024-09-07 09:36:31:607,891052,891052,0,0,418434839407,4417357801,876907,11027,3118,368,392016,0 21,2,2024-09-07 09:36:31:068,636819,636799,20,0,33414202,0,5617 21,3,2024-09-07 09:36:31:409,1,509,1,0,713,6673,509,0 22,0,2024-09-07 09:36:31:722,127122,0.5,127613,0.6,254777,0.4,338068,2.00 22,1,2024-09-07 09:36:31:028,891761,891761,0,0,418267109853,4406002523,876536,11998,3227,382,391822,0 22,2,2024-09-07 09:36:30:761,640569,640543,26,0,28065557,0,6328 22,3,2024-09-07 09:36:31:065,1,509,1,0,228,4335,509,0 23,0,2024-09-07 09:36:31:388,131005,0.5,130556,0.7,261435,0.5,349010,2.25 23,1,2024-09-07 09:36:31:007,892674,892674,0,0,419775190515,4407979471,877018,11248,4408,365,391690,0 23,2,2024-09-07 09:36:31:094,648067,648067,0,0,26794175,0,3773 23,3,2024-09-07 09:36:31:754,1,509,1,0,720,6793,509,0 24,0,2024-09-07 09:36:30:884,127117,0.4,126319,0.6,253862,0.4,337406,1.75 24,1,2024-09-07 09:36:30:581,891670,891670,0,0,418571531815,4383823267,882719,7277,1674,367,392269,0 24,2,2024-09-07 09:36:31:079,645244,645244,0,0,31716052,0,4438 24,3,2024-09-07 09:36:31:697,1,509,27,0,468,6574,509,0 25,0,2024-09-07 09:36:31:437,129424,0.4,126056,0.6,247250,0.4,336700,1.75 25,1,2024-09-07 09:36:30:571,890805,890805,0,0,418614946028,4416640044,875926,11907,2972,369,391928,0 25,2,2024-09-07 09:36:31:621,640117,640117,0,0,32790170,0,3978 25,3,2024-09-07 09:36:31:006,1,509,17,0,532,5635,509,0 26,0,2024-09-07 09:36:31:719,125422,0.4,122730,0.6,257457,0.3,335153,1.75 26,1,2024-09-07 09:36:31:544,893696,893696,0,0,418927645455,4388264451,880867,10377,2452,380,391748,0 26,2,2024-09-07 09:36:30:880,641179,641179,0,0,34611825,0,4689 26,3,2024-09-07 09:36:31:713,1,509,1,0,796,5702,509,0 27,0,2024-09-07 09:36:31:734,131509,0.4,131851,0.6,262165,0.4,350226,2.25 27,1,2024-09-07 09:36:31:676,894655,894655,0,0,420603601036,4381568842,886105,7282,1268,381,391626,0 27,2,2024-09-07 09:36:30:871,642412,642347,65,0,30257559,0,5699 27,3,2024-09-07 09:36:31:027,1,509,1,0,564,4496,509,0 28,0,2024-09-07 09:36:31:450,127388,0.4,126940,0.6,254798,0.4,339234,2.00 28,1,2024-09-07 09:36:30:799,894663,894663,0,0,420354372490,4384512194,886893,6056,1714,382,391698,0 28,2,2024-09-07 09:36:31:764,645729,645729,0,0,26827316,0,2915 28,3,2024-09-07 09:36:31:776,1,509,1,0,502,5185,509,0 29,0,2024-09-07 09:36:31:376,130168,0.4,126869,0.6,248735,0.3,338994,1.75 29,1,2024-09-07 09:36:31:560,896728,896728,0,0,420588005945,4352511397,891172,4794,762,368,391809,0 29,2,2024-09-07 09:36:30:869,643606,643606,0,0,26177581,0,4986 29,3,2024-09-07 09:36:30:964,1,509,1,0,459,5684,509,0 30,0,2024-09-07 09:36:31:468,124810,0.5,121376,0.7,254123,0.4,331925,2.00 30,1,2024-09-07 09:36:30:572,896218,896218,0,0,421329069049,4374672022,889243,6073,902,380,391672,0 30,2,2024-09-07 09:36:31:274,641521,641521,0,0,25124787,0,4192 30,3,2024-09-07 09:36:30:587,1,509,14,0,519,4981,509,0 31,0,2024-09-07 09:36:31:763,128967,0.5,129268,0.7,258306,0.4,345264,2.00 31,1,2024-09-07 09:36:30:577,899125,899125,0,0,422565948618,4340110530,893802,4312,1011,356,391712,0 31,2,2024-09-07 09:36:31:276,641628,641628,0,0,27775816,0,4470 31,3,2024-09-07 09:36:31:715,1,509,1,0,220,4272,509,0 32,0,2024-09-07 09:36:31:419,130158,0.4,130807,0.5,260451,0.3,346724,1.75 32,1,2024-09-07 09:36:30:823,895469,895469,0,0,421391229141,4382004793,889672,5090,707,381,391646,0 32,2,2024-09-07 09:36:30:935,648101,648101,0,0,24296454,0,3922 32,3,2024-09-07 09:36:31:015,1,509,1,0,304,4234,509,0 33,0,2024-09-07 09:36:31:495,127188,0.3,126793,0.4,253804,0.2,337801,1.50 33,1,2024-09-07 09:36:30:575,896233,896233,0,0,421047861270,4364058255,889008,6053,1172,368,391730,0 33,2,2024-09-07 09:36:30:759,646991,646956,35,0,26915709,0,7012 33,3,2024-09-07 09:36:30:899,1,509,0,0,329,4839,509,0 34,0,2024-09-07 09:36:30:931,125889,0.3,129301,0.4,247153,0.2,333202,1.75 34,1,2024-09-07 09:36:31:044,898146,898146,0,0,422361941786,4345448494,895638,2486,22,367,391562,0 34,2,2024-09-07 09:36:30:769,641525,641525,0,0,25396786,0,4562 34,3,2024-09-07 09:36:31:688,1,509,1,0,320,3987,509,0 35,0,2024-09-07 09:36:30:874,126471,0.3,127261,0.5,255589,0.3,339978,1.75 35,1,2024-09-07 09:36:31:067,895161,895161,0,0,420139004122,4360925392,888507,5441,1213,382,391769,0 35,2,2024-09-07 09:36:31:602,641132,641132,0,0,27902481,0,4055 35,3,2024-09-07 09:36:30:912,1,509,0,0,418,4850,509,0 36,0,2024-09-07 09:36:31:543,132569,0.5,132452,0.7,264980,0.5,352687,2.25 36,1,2024-09-07 09:36:30:600,894285,894285,0,0,419862610568,4388330984,882005,10061,2219,366,391759,0 36,2,2024-09-07 09:36:31:757,648103,648103,0,0,29251327,0,3875 36,3,2024-09-07 09:36:30:871,1,509,9,0,416,7146,509,0 37,0,2024-09-07 09:36:31:450,125373,0.5,125276,0.7,250882,0.5,334609,2.25 37,1,2024-09-07 09:36:30:584,892784,892777,0,7,419533613793,4383313882,881681,8422,2674,365,391770,0 37,2,2024-09-07 09:36:31:154,643881,643866,15,0,29579775,0,5815 37,3,2024-09-07 09:36:31:778,1,509,6,0,888,7262,509,0 38,0,2024-09-07 09:36:31:441,124691,0.4,120938,0.6,253105,0.4,331426,2.00 38,1,2024-09-07 09:36:31:605,894568,894568,0,0,419966219307,4393300393,881344,10705,2519,368,391821,0 38,2,2024-09-07 09:36:30:761,639325,639278,47,0,29651186,0,6710 38,3,2024-09-07 09:36:30:998,1,509,1,0,689,6658,509,0 39,0,2024-09-07 09:36:31:760,129471,0.5,126614,0.7,246763,0.5,337169,2.00 39,1,2024-09-07 09:36:30:715,892422,892422,0,0,418341691670,4392280652,876654,12299,3469,365,391865,0 39,2,2024-09-07 09:36:31:417,642452,642452,0,0,27389801,0,3391 39,3,2024-09-07 09:36:30:713,1,509,1,0,525,6127,509,0 40,0,2024-09-07 09:36:31:488,130026,0.8,130784,1.0,261080,0.9,348301,2.75 40,1,2024-09-07 09:36:30:576,895096,895096,0,0,418838278072,4382660588,883082,9836,2178,368,391668,0 40,2,2024-09-07 09:36:31:309,644468,644467,1,0,32764327,0,5137 40,3,2024-09-07 09:36:31:143,1,509,7,0,1028,7305,509,0 41,0,2024-09-07 09:36:31:062,125373,1.4,128003,1.2,244437,2.0,332861,3.25 41,1,2024-09-07 09:36:30:794,892583,892583,0,0,418961102488,4385687912,880716,9934,1933,369,391742,0 41,2,2024-09-07 09:36:30:771,642752,642751,1,0,31500533,0,5408 41,3,2024-09-07 09:36:31:685,1,509,1,0,366,5335,509,0 42,0,2024-09-07 09:36:31:486,125708,0.8,125400,1.0,251048,0.8,332530,2.50 42,1,2024-09-07 09:36:31:456,890295,890295,0,0,418580642253,4403573221,875286,12101,2908,380,391675,0 42,2,2024-09-07 09:36:31:133,642172,642172,0,0,30292429,0,3975 42,3,2024-09-07 09:36:31:014,1,509,4,0,892,4672,509,0 43,0,2024-09-07 09:36:30:918,124204,0.7,121017,0.9,253266,0.7,332129,2.25 43,1,2024-09-07 09:36:30:576,893329,893329,0,0,419920362268,4389544471,880464,10606,2259,366,391696,0 43,2,2024-09-07 09:36:31:739,641778,641778,0,0,30092463,0,4723 43,3,2024-09-07 09:36:31:750,1,509,0,0,571,7213,509,0 44,0,2024-09-07 09:36:30:958,130763,0.4,130934,0.6,262242,0.4,349076,2.00 44,1,2024-09-07 09:36:30:672,896183,896183,0,0,420766640548,4350194158,888388,6208,1587,356,391809,0 44,2,2024-09-07 09:36:31:283,641719,641719,0,0,25074509,0,4344 44,3,2024-09-07 09:36:31:096,1,509,9,0,817,5603,509,0 45,0,2024-09-07 09:36:31:758,126526,0.5,123579,0.8,259253,0.5,340314,2.00 45,1,2024-09-07 09:36:31:012,894784,894784,0,0,421115212390,4378926652,887275,6633,876,382,391917,0 45,2,2024-09-07 09:36:31:272,647423,647423,0,0,25426536,0,3596 45,3,2024-09-07 09:36:30:934,1,509,1,0,271,4529,509,0 46,0,2024-09-07 09:36:30:952,126124,0.5,125626,0.7,252140,0.4,334552,2.00 46,1,2024-09-07 09:36:30:587,896774,896774,0,0,421284380240,4355710738,890373,5538,863,366,391709,0 46,2,2024-09-07 09:36:30:593,645734,645734,0,0,25662640,0,4443 46,3,2024-09-07 09:36:31:131,1,509,1,0,908,6308,509,0 47,0,2024-09-07 09:36:31:104,125996,0.4,126105,0.6,252898,0.3,334604,1.75 47,1,2024-09-07 09:36:30:567,897286,897286,0,0,421286198046,4353215048,892060,4387,839,365,391641,0 47,2,2024-09-07 09:36:30:910,643238,643238,0,0,25410086,0,4477 47,3,2024-09-07 09:36:31:122,1,509,1,0,600,5842,509,0 48,0,2024-09-07 09:36:31:498,128688,0.3,128745,0.4,256482,0.2,342197,1.50 48,1,2024-09-07 09:36:31:035,895124,895124,0,0,420098763263,4366627078,889337,5215,572,384,391710,0 48,2,2024-09-07 09:36:30:700,642410,642410,0,0,23418865,0,3411 48,3,2024-09-07 09:36:30:773,1,509,3,0,339,4143,509,0 49,0,2024-09-07 09:36:31:711,134425,0.4,131958,0.5,256386,0.3,350227,1.75 49,1,2024-09-07 09:36:31:046,894704,894704,0,0,420824499714,4376185349,889141,4352,1211,382,391809,0 49,2,2024-09-07 09:36:31:797,648941,648941,0,0,26060146,0,4426 49,3,2024-09-07 09:36:31:417,1,509,16,0,992,6043,509,0 50,0,2024-09-07 09:36:31:521,126898,0.3,125201,0.5,252273,0.2,336261,1.75 50,1,2024-09-07 09:36:31:010,897667,897667,0,0,421831832068,4363231317,891723,5277,667,368,391565,0 50,2,2024-09-07 09:36:31:066,645367,645367,0,0,23869847,0,4490 50,3,2024-09-07 09:36:31:291,1,509,1,0,617,5424,509,0 51,0,2024-09-07 09:36:31:687,128549,0.3,125729,0.4,244905,0.2,334283,1.75 51,1,2024-09-07 09:36:31:681,898096,898096,0,0,421881894206,4351613669,893334,3708,1054,365,391706,0 51,2,2024-09-07 09:36:31:320,640737,640737,0,0,23068966,0,3337 51,3,2024-09-07 09:36:31:031,1,509,1,0,678,3760,509,0 52,0,2024-09-07 09:36:31:419,127689,0.5,127295,0.7,255001,0.5,338964,2.00 52,1,2024-09-07 09:36:30:575,894197,894197,0,0,420069891533,4400039713,880973,11119,2105,368,391722,0 52,2,2024-09-07 09:36:31:754,638422,638384,38,0,30552351,0,6742 52,3,2024-09-07 09:36:30:677,1,509,10,0,1782,6632,509,0 53,0,2024-09-07 09:36:31:739,130602,0.7,126846,0.8,265215,0.8,348464,2.50 53,1,2024-09-07 09:36:30:772,892256,892256,0,0,419769816533,4408052678,876902,11510,3844,367,391968,0 53,2,2024-09-07 09:36:31:298,647595,647594,1,0,27526831,0,5455 53,3,2024-09-07 09:36:30:703,1,509,1,0,308,5130,509,0 54,0,2024-09-07 09:36:31:620,124174,0.6,124587,0.8,248021,0.5,331327,2.25 54,1,2024-09-07 09:36:30:584,894164,894164,0,0,420400236194,4375695773,884100,8430,1634,366,391810,0 54,2,2024-09-07 09:36:30:868,645640,645608,32,0,31321774,0,6397 54,3,2024-09-07 09:36:30:764,1,509,11,0,676,6983,509,0 55,0,2024-09-07 09:36:31:762,121546,0.6,125432,0.7,254061,0.5,330974,2.50 55,1,2024-09-07 09:36:30:765,895400,895400,0,0,419714178073,4366549870,885744,8337,1319,365,391731,0 55,2,2024-09-07 09:36:30:729,640842,640786,56,0,29596020,0,7239 55,3,2024-09-07 09:36:30:678,1,509,1,0,304,4833,509,0 56,0,2024-09-07 09:36:31:559,128613,1.1,121196,1.1,249778,1.5,334521,2.75 56,1,2024-09-07 09:36:30:901,890036,890036,0,0,418160953469,4418041307,875722,11402,2912,381,391867,0 56,2,2024-09-07 09:36:31:304,641268,641146,122,0,30749761,0,7432 56,3,2024-09-07 09:36:31:071,1,509,6,0,705,6202,509,0 57,0,2024-09-07 09:36:31:008,129447,1.6,129417,1.3,259024,2.2,346707,3.25 57,1,2024-09-07 09:36:30:998,891678,891678,0,0,419129088242,4399682241,879502,9891,2285,366,392032,0 57,2,2024-09-07 09:36:31:319,644586,644586,0,0,31655841,0,4317 57,3,2024-09-07 09:36:31:744,1,509,1,0,455,5772,509,0 58,0,2024-09-07 09:36:30:564,125104,1.0,121419,1.0,254117,1.2,332647,2.75 58,1,2024-09-07 09:36:30:575,893248,893245,0,3,419719505248,4400878860,879459,10466,3320,367,391675,3 58,2,2024-09-07 09:36:31:074,645085,645085,0,0,30016659,0,3483 58,3,2024-09-07 09:36:31:069,1,509,34,0,1043,5656,509,0 59,0,2024-09-07 09:36:31:762,126041,0.8,126004,1.0,251189,0.9,333153,2.75 59,1,2024-09-07 09:36:30:820,891942,891942,0,0,419036344814,4402864862,877203,11600,3139,369,391653,0 59,2,2024-09-07 09:36:30:589,643579,643579,0,0,28767248,0,3727 59,3,2024-09-07 09:36:31:740,1,509,6,0,1015,6427,509,0 60,0,2024-09-07 09:36:31:809,125052,0.5,125320,0.7,250840,0.5,332840,1.75 60,1,2024-09-07 09:36:30:786,896461,896461,0,0,421050973756,4371509891,890285,5244,932,370,392031,0 60,2,2024-09-07 09:36:31:151,641274,641274,0,0,27395894,0,3811 60,3,2024-09-07 09:36:31:275,1,509,14,0,409,6082,509,0 61,0,2024-09-07 09:36:31:564,128977,0.8,129525,0.8,257955,0.8,344967,2.00 61,1,2024-09-07 09:36:30:774,893074,893074,0,0,419004121635,4390155215,883204,8290,1580,382,392127,0 61,2,2024-09-07 09:36:31:126,642412,642345,67,0,28350058,0,6411 61,3,2024-09-07 09:36:31:702,1,509,12,0,607,7449,509,0 62,0,2024-09-07 09:36:31:716,130372,0.7,133703,0.8,255212,0.7,346520,2.00 62,1,2024-09-07 09:36:31:115,898353,898347,0,6,422788017785,4358430343,894193,3874,280,365,391975,6 62,2,2024-09-07 09:36:31:645,644984,644983,1,0,27676313,0,5555 62,3,2024-09-07 09:36:31:145,1,509,1,0,482,4192,509,0 63,0,2024-09-07 09:36:31:485,127157,0.4,126890,0.6,254744,0.4,338242,1.75 63,1,2024-09-07 09:36:30:818,895760,895754,0,6,420799560780,4369913737,890003,5000,751,381,391800,6 63,2,2024-09-07 09:36:30:762,645336,645336,0,0,26725320,0,4369 63,3,2024-09-07 09:36:31:733,1,509,1,0,667,5547,509,0 64,0,2024-09-07 09:36:31:533,125102,0.5,125174,0.6,249908,0.4,332432,2.00 64,1,2024-09-07 09:36:30:752,895223,895223,0,0,420369618147,4379545285,887336,6116,1771,370,391794,0 64,2,2024-09-07 09:36:31:143,644291,644272,19,0,25634331,0,6121 64,3,2024-09-07 09:36:31:145,1,509,1,0,651,5440,509,0 65,0,2024-09-07 09:36:31:676,126387,0.6,126732,0.8,252666,0.7,337389,2.00 65,1,2024-09-07 09:36:30:870,893456,893456,0,0,419555348766,4387128133,886711,5949,796,381,391901,0 65,2,2024-09-07 09:36:31:697,641486,641486,0,0,30092969,0,3367 65,3,2024-09-07 09:36:31:686,1,509,1,0,782,6105,509,0 66,0,2024-09-07 09:36:31:762,131710,0.6,131294,0.8,262654,0.6,349875,2.25 66,1,2024-09-07 09:36:31:293,895156,895156,0,0,420291422338,4376926184,889008,5426,722,380,391743,0 66,2,2024-09-07 09:36:31:138,649795,649792,3,0,27546833,0,5455 66,3,2024-09-07 09:36:31:080,1,509,2,0,291,4493,509,0 67,0,2024-09-07 09:36:31:425,125767,0.5,125401,0.7,251572,0.5,334904,2.00 67,1,2024-09-07 09:36:30:765,895202,895201,0,1,420619897516,4380940442,888931,5457,813,380,391787,1 67,2,2024-09-07 09:36:30:583,648122,648107,15,0,26593358,0,6205 67,3,2024-09-07 09:36:31:754,1,509,2,0,595,5486,509,0 68,0,2024-09-07 09:36:30:570,125530,0.5,125400,0.7,249815,0.5,333791,2.00 68,1,2024-09-07 09:36:30:650,891711,891711,0,0,418945460792,4408144478,879475,8837,3399,381,391953,0 68,2,2024-09-07 09:36:31:054,636925,636825,100,0,34032082,0,8578 68,3,2024-09-07 09:36:30:732,1,509,1,0,417,6711,509,0 69,0,2024-09-07 09:36:31:775,125693,0.7,126616,0.8,252142,0.7,335278,2.25 69,1,2024-09-07 09:36:31:017,890623,890623,0,0,417738294786,4404477838,878537,9327,2759,384,391994,0 69,2,2024-09-07 09:36:31:744,640570,640541,29,0,34989431,0,6912 69,3,2024-09-07 09:36:30:764,1,509,1,0,698,7711,509,0 70,0,2024-09-07 09:36:31:541,129944,0.9,130391,1.0,262090,0.9,347181,2.50 70,1,2024-09-07 09:36:30:851,896026,896026,0,0,421100201864,4371391062,888775,6489,762,366,391725,0 70,2,2024-09-07 09:36:31:325,646150,646150,0,0,29596998,0,4323 70,3,2024-09-07 09:36:30:745,1,509,12,0,854,5683,509,0 71,0,2024-09-07 09:36:31:363,124746,1.2,124415,1.1,250185,1.7,334077,3.00 71,1,2024-09-07 09:36:31:599,893599,893599,0,0,419887554235,4385966027,882207,10137,1255,368,391738,0 71,2,2024-09-07 09:36:31:066,644407,644407,0,0,30311769,0,4352 71,3,2024-09-07 09:36:31:753,1,509,1,0,644,6098,509,0 72,0,2024-09-07 09:36:31:036,130453,0.5,127516,0.7,248765,0.5,337982,2.00 72,1,2024-09-07 09:36:31:027,892023,892023,0,0,418914441235,4397968946,878641,11100,2282,369,391819,0 72,2,2024-09-07 09:36:31:755,641720,641720,0,0,31477982,0,3983 72,3,2024-09-07 09:36:31:754,1,509,1,0,564,7383,509,0 73,0,2024-09-07 09:36:31:102,122143,0.4,125401,0.6,256068,0.4,333066,2.00 73,1,2024-09-07 09:36:30:772,895225,895225,0,0,420171290658,4364360478,888999,5801,425,367,391858,0 73,2,2024-09-07 09:36:31:741,640783,640783,0,0,31920194,0,4728 73,3,2024-09-07 09:36:30:988,1,509,8,0,486,6427,509,0 74,0,2024-09-07 09:36:31:323,131622,0.5,134792,0.7,257017,0.5,349397,2.00 74,1,2024-09-07 09:36:30:635,893364,893364,0,0,419360582092,4381722082,882915,8525,1924,381,391762,0 74,2,2024-09-07 09:36:31:005,642538,642538,0,0,27844268,0,4253 74,3,2024-09-07 09:36:31:444,1,509,3,0,522,6193,509,0 75,0,2024-09-07 09:36:31:768,128340,0.6,127414,0.8,256081,0.6,341755,2.25 75,1,2024-09-07 09:36:31:608,894375,894375,0,0,419651827865,4376954171,886491,7024,860,380,391739,0 75,2,2024-09-07 09:36:31:349,645054,645054,0,0,33322091,0,4766 75,3,2024-09-07 09:36:31:068,1,509,1,0,702,6147,509,0 76,0,2024-09-07 09:36:30:602,126152,0.5,125433,0.7,251415,0.5,336107,2.25 76,1,2024-09-07 09:36:30:828,893384,893384,0,0,419165910770,4374276435,886765,5653,966,382,391692,0 76,2,2024-09-07 09:36:31:076,647300,647297,3,0,28350999,0,5265 76,3,2024-09-07 09:36:31:144,1,509,0,0,227,4816,509,0 77,0,2024-09-07 09:36:31:717,125347,0.5,125801,0.7,251729,0.5,333651,2.00 77,1,2024-09-07 09:36:30:829,894386,894386,0,0,420210184173,4384586050,887557,6236,593,381,391869,0 77,2,2024-09-07 09:36:31:284,640042,640042,0,0,27803840,0,3890 77,3,2024-09-07 09:36:31:104,1,509,2,0,401,5500,509,0 78,0,2024-09-07 09:36:31:721,128424,0.4,127924,0.6,256752,0.4,340660,2.00 78,1,2024-09-07 09:36:30:617,894056,894056,0,0,418989209939,4372041178,883290,8240,2526,367,391670,0 78,2,2024-09-07 09:36:31:408,643143,643130,13,0,25893011,0,8313 78,3,2024-09-07 09:36:31:137,1,509,1,0,311,4507,509,0 79,0,2024-09-07 09:36:31:350,126541,0.4,129506,0.6,265292,0.4,345073,2.25 79,1,2024-09-07 09:36:30:584,896743,896743,0,0,420794980403,4362446371,889011,6375,1357,367,391682,0 79,2,2024-09-07 09:36:31:068,648245,648245,0,0,24978184,0,4195 79,3,2024-09-07 09:36:30:748,1,509,1,0,418,6255,509,0 80,0,2024-09-07 09:36:31:078,126089,0.5,129557,0.7,247992,0.5,335762,2.00 80,1,2024-09-07 09:36:31:621,893927,893927,0,0,420414471517,4377397410,888105,5396,426,368,392269,0 80,2,2024-09-07 09:36:31:091,647719,647719,0,0,25519436,0,4433 80,3,2024-09-07 09:36:30:581,1,509,1,0,296,6067,509,0 81,0,2024-09-07 09:36:31:552,125406,0.5,128290,0.7,244642,0.5,332797,2.00 81,1,2024-09-07 09:36:31:650,892950,892950,0,0,418838399597,4380501559,885553,6759,638,382,391885,0 81,2,2024-09-07 09:36:31:145,639343,639280,63,0,28425553,0,5932 81,3,2024-09-07 09:36:31:134,1,509,14,0,719,5914,509,0 82,0,2024-09-07 09:36:31:530,126927,0.5,127091,0.7,254581,0.4,338182,2.00 82,1,2024-09-07 09:36:30:583,895292,895288,0,4,420645257719,4374801166,890210,4258,820,381,391768,4 82,2,2024-09-07 09:36:31:692,643678,643678,0,0,23682302,0,4484 82,3,2024-09-07 09:36:31:752,1,509,26,0,363,4958,509,0 83,0,2024-09-07 09:36:31:541,131266,0.6,131274,0.8,261924,0.7,348770,2.25 83,1,2024-09-07 09:36:30:553,894441,894441,0,0,420318375205,4383360553,888088,5900,453,382,391709,0 83,2,2024-09-07 09:36:30:764,647175,647150,25,0,25736090,0,5612 83,3,2024-09-07 09:36:30:752,1,509,0,0,1260,6462,509,0 84,0,2024-09-07 09:36:31:764,125086,0.8,125235,0.9,250054,0.7,334567,2.25 84,1,2024-09-07 09:36:31:040,892913,892913,0,0,419597010997,4388722399,882722,8670,1521,367,391967,0 84,2,2024-09-07 09:36:30:572,644399,644369,30,0,33813040,0,5971 84,3,2024-09-07 09:36:31:141,1,509,8,0,908,7302,509,0 85,0,2024-09-07 09:36:31:030,121130,0.6,121102,0.8,257033,0.5,332460,2.25 85,1,2024-09-07 09:36:30:559,889714,889714,0,0,418376199632,4421301945,875456,11601,2657,381,392092,0 85,2,2024-09-07 09:36:30:867,640217,640217,0,0,31454220,0,4255 85,3,2024-09-07 09:36:30:717,1,509,100,0,789,5949,509,0 86,0,2024-09-07 09:36:30:887,125720,0.6,129298,0.7,247312,0.6,334888,2.25 86,1,2024-09-07 09:36:30:831,892633,892633,0,0,419320143446,4396132917,881349,9310,1974,366,391995,0 86,2,2024-09-07 09:36:30:859,639696,639695,1,0,33896887,0,5004 86,3,2024-09-07 09:36:30:586,1,509,1,0,308,7458,509,0 87,0,2024-09-07 09:36:31:331,131163,0.9,130943,0.9,261841,1.2,350474,2.25 87,1,2024-09-07 09:36:30:558,891755,891755,0,0,418498762938,4388560351,879563,10477,1715,366,392076,0 87,2,2024-09-07 09:36:31:071,643792,643786,6,0,29733082,0,6323 87,3,2024-09-07 09:36:31:796,1,509,1,0,473,7602,509,0 88,0,2024-09-07 09:36:31:444,126841,0.5,127480,0.6,254753,0.5,338802,2.00 88,1,2024-09-07 09:36:30:596,891089,891089,0,0,418975313754,4390951900,878473,10002,2614,365,392084,0 88,2,2024-09-07 09:36:30:694,645617,645617,0,0,32186211,0,4465 88,3,2024-09-07 09:36:31:298,1,509,1,0,435,6503,509,0 89,0,2024-09-07 09:36:31:783,130375,0.4,126362,0.6,249597,0.4,338995,1.75 89,1,2024-09-07 09:36:30:552,891677,891677,0,0,419530051857,4414741976,880274,9588,1815,382,391866,0 89,2,2024-09-07 09:36:31:133,643433,643433,0,0,30570341,0,3173 89,3,2024-09-07 09:36:31:791,1,509,1,0,468,8406,509,0 90,0,2024-09-07 09:36:31:653,121481,0.4,124912,0.6,254453,0.4,331746,1.75 90,1,2024-09-07 09:36:30:601,893033,893033,0,0,418744439385,4384843580,884534,7766,733,380,391825,0 90,2,2024-09-07 09:36:31:431,638761,638761,0,0,32707880,0,3608 90,3,2024-09-07 09:36:30:934,1,509,27,0,322,6225,509,0 91,0,2024-09-07 09:36:30:977,129581,0.5,125656,0.6,262760,0.4,345420,1.75 91,1,2024-09-07 09:36:30:561,891229,891229,0,0,418678928983,4404590143,879665,9554,2010,381,392047,0 91,2,2024-09-07 09:36:31:342,642876,642876,0,0,28755824,0,4713 91,3,2024-09-07 09:36:30:601,1,509,1,0,216,4866,509,0 92,0,2024-09-07 09:36:31:502,131405,0.5,134285,0.6,256108,0.5,346732,1.75 92,1,2024-09-07 09:36:30:594,893242,893242,0,0,419642157311,4386185220,885740,6297,1205,381,392136,0 92,2,2024-09-07 09:36:31:359,647458,647458,0,0,26185092,0,3259 92,3,2024-09-07 09:36:31:015,1,509,2,0,167,4339,509,0 93,0,2024-09-07 09:36:30:976,127508,0.4,130710,0.6,249524,0.4,338168,1.75 93,1,2024-09-07 09:36:30:826,893766,893766,0,0,419447211264,4381969745,883489,8544,1733,366,391776,0 93,2,2024-09-07 09:36:30:929,645395,645395,0,0,31873617,0,4913 93,3,2024-09-07 09:36:31:410,1,509,0,0,190,4672,509,0 94,0,2024-09-07 09:36:31:635,125267,0.3,125928,0.5,251726,0.3,334234,1.75 94,1,2024-09-07 09:36:30:563,893986,893986,0,0,420351938060,4385618590,887892,5721,373,381,391850,0 94,2,2024-09-07 09:36:30:769,640066,640038,28,0,27155192,0,6179 94,3,2024-09-07 09:36:31:698,1,509,12,0,576,6533,509,0 95,0,2024-09-07 09:36:31:408,127491,0.3,127111,0.5,254739,0.3,339937,1.75 95,1,2024-09-07 09:36:30:889,895119,895119,0,0,420180934240,4368892614,887770,6692,657,365,391786,0 95,2,2024-09-07 09:36:31:029,641353,641353,0,0,25919849,0,3308 95,3,2024-09-07 09:36:31:713,1,509,2,0,718,8002,509,0 96,0,2024-09-07 09:36:31:154,132475,0.4,132580,0.5,265017,0.3,352165,1.75 96,1,2024-09-07 09:36:31:590,893985,893985,0,0,419362850471,4375686571,887603,5470,912,384,391955,0 96,2,2024-09-07 09:36:31:280,648315,648315,0,0,27043850,0,4180 96,3,2024-09-07 09:36:31:147,1,509,5,0,411,5478,509,0 97,0,2024-09-07 09:36:31:315,125900,0.3,125554,0.5,252265,0.3,335175,1.75 97,1,2024-09-07 09:36:30:764,895418,895418,0,0,421521135058,4373628937,889671,4804,943,367,392140,0 97,2,2024-09-07 09:36:30:608,646856,646856,0,0,26482295,0,3679 97,3,2024-09-07 09:36:30:569,1,509,2,0,242,5981,509,0 98,0,2024-09-07 09:36:31:711,124973,0.3,125184,0.4,251086,0.2,333456,1.50 98,1,2024-09-07 09:36:30:575,894848,894848,0,0,419953495631,4374604216,889451,4599,798,381,391997,0 98,2,2024-09-07 09:36:30:772,640564,640564,0,0,26010678,0,4336 98,3,2024-09-07 09:36:30:701,1,509,1,0,840,7941,509,0 99,0,2024-09-07 09:36:31:459,126338,0.3,126981,0.5,252663,0.3,337724,1.75 99,1,2024-09-07 09:36:31:735,894975,894975,0,0,419170105755,4364786693,889014,5035,926,380,392069,0 99,2,2024-09-07 09:36:31:425,642613,642613,0,0,31271642,0,4276 99,3,2024-09-07 09:36:30:580,1,509,1,0,606,5202,509,0 100,0,2024-09-07 09:36:31:523,131158,0.9,131474,1.0,262264,1.1,350505,2.50 100,1,2024-09-07 09:36:30:550,889956,889956,0,0,417807762881,4420027782,875698,11160,3098,378,391989,0 100,2,2024-09-07 09:36:31:822,643477,643466,11,0,30589544,0,5417 100,3,2024-09-07 09:36:31:735,1,509,390,0,627,9357,509,0 101,0,2024-09-07 09:36:31:719,128641,1.3,125363,1.1,245634,1.4,336208,2.50 101,1,2024-09-07 09:36:30:557,891014,891014,0,0,418562158687,4399997243,878741,9873,2400,368,391847,0 101,2,2024-09-07 09:36:31:756,641008,641008,0,0,35553783,0,4871 101,3,2024-09-07 09:36:30:945,1,509,1,0,1250,7860,509,0 102,0,2024-09-07 09:36:30:970,122914,0.6,126675,0.7,257143,0.6,336211,2.00 102,1,2024-09-07 09:36:31:161,891231,891231,0,0,419392460940,4407107180,879043,10168,2020,369,391891,0 102,2,2024-09-07 09:36:31:742,642949,642895,54,0,30090975,0,6768 102,3,2024-09-07 09:36:31:617,1,509,0,0,466,6075,509,0 103,0,2024-09-07 09:36:31:617,129418,0.5,129449,0.7,243973,0.5,335556,2.00 103,1,2024-09-07 09:36:31:641,889803,889803,0,0,418254135013,4417671585,875768,10837,3198,381,391862,0 103,2,2024-09-07 09:36:30:590,639954,639954,0,0,31661899,0,3766 103,3,2024-09-07 09:36:30:762,1,509,8,0,916,6162,509,0 104,0,2024-09-07 09:36:31:025,129692,0.8,129930,0.9,258823,0.7,347354,2.25 104,1,2024-09-07 09:36:31:599,892597,892597,0,0,418740887526,4400573788,879557,10489,2551,365,392168,0 104,2,2024-09-07 09:36:31:667,641143,641143,0,0,30596435,0,3941 104,3,2024-09-07 09:36:31:416,1,509,7,0,1245,9104,509,0 105,0,2024-09-07 09:36:31:110,126727,1.0,123494,1.1,258927,1.2,340060,2.75 105,1,2024-09-07 09:36:30:559,893755,893755,0,0,420026910933,4402279163,882165,9822,1768,365,392009,0 105,2,2024-09-07 09:36:31:338,645013,645013,0,0,30868863,0,4360 105,3,2024-09-07 09:36:31:314,1,509,9,0,399,7320,509,0 106,0,2024-09-07 09:36:30:994,122312,0.7,125242,0.9,256747,0.8,334892,2.50 106,1,2024-09-07 09:36:31:812,892906,892906,0,0,418478057439,4392811556,880303,10957,1646,368,391914,0 106,2,2024-09-07 09:36:30:765,643842,643842,0,0,29193908,0,2920 106,3,2024-09-07 09:36:30:682,1,509,2,0,1224,7732,509,0 107,0,2024-09-07 09:36:31:125,125538,0.8,125800,0.8,251420,0.8,334725,2.00 107,1,2024-09-07 09:36:30:585,890939,890939,0,0,418170317051,4410675469,878043,11503,1393,381,392234,0 107,2,2024-09-07 09:36:31:299,638425,638424,1,0,31774737,0,5024 107,3,2024-09-07 09:36:31:756,1,509,2,0,733,7784,509,0 108,0,2024-09-07 09:36:31:777,127873,0.4,128710,0.6,256095,0.4,342144,1.75 108,1,2024-09-07 09:36:31:305,893463,893463,0,0,420065765153,4383185649,885567,6926,970,367,391857,0 108,2,2024-09-07 09:36:31:756,641266,641266,0,0,28619617,0,4246 108,3,2024-09-07 09:36:31:334,1,509,13,0,749,10224,509,0 109,0,2024-09-07 09:36:31:807,131721,0.4,130519,0.6,261748,0.4,349273,1.75 109,1,2024-09-07 09:36:30:591,891277,891277,0,0,419063363402,4398100175,883079,6984,1214,382,392132,0 109,2,2024-09-07 09:36:30:930,645191,645191,0,0,28600488,0,3617 109,3,2024-09-07 09:36:31:149,1,509,14,0,630,6475,509,0 110,0,2024-09-07 09:36:31:765,126110,0.4,122725,0.6,257077,0.3,337000,1.75 110,1,2024-09-07 09:36:31:657,894596,894596,0,0,420564279976,4370102151,887071,5603,1922,368,392045,0 110,2,2024-09-07 09:36:31:305,645970,645970,0,0,27082416,0,4067 110,3,2024-09-07 09:36:30:690,1,509,2,0,722,7188,509,0 111,0,2024-09-07 09:36:31:415,125674,0.3,124993,0.5,250324,0.3,334072,1.75 111,1,2024-09-07 09:36:31:013,896236,896236,0,0,421969114008,4376121053,891693,4186,357,380,391690,0 111,2,2024-09-07 09:36:31:118,639546,639546,0,0,27583410,0,4823 111,3,2024-09-07 09:36:30:918,1,509,6,0,379,5896,509,0 112,0,2024-09-07 09:36:30:929,127908,0.3,127300,0.4,255447,0.2,339163,1.50 112,1,2024-09-07 09:36:30:831,895043,895043,0,0,420690712625,4369013503,889149,5204,690,380,391624,0 112,2,2024-09-07 09:36:31:138,641690,641689,1,0,26225767,0,5036 112,3,2024-09-07 09:36:30:592,1,509,1,0,282,4946,509,0 113,0,2024-09-07 09:36:30:885,131540,0.3,131337,0.5,263112,0.3,350645,1.75 113,1,2024-09-07 09:36:31:688,897951,897951,0,0,421983469161,4355986073,892704,4434,813,365,391661,0 113,2,2024-09-07 09:36:31:306,649348,649348,0,0,23935175,0,3813 113,3,2024-09-07 09:36:30:684,1,509,2,0,340,5460,509,0 114,0,2024-09-07 09:36:30:873,126465,0.3,127388,0.5,253067,0.2,338555,1.75 114,1,2024-09-07 09:36:30:715,894943,894943,0,0,420449520033,4368173309,888040,5287,1616,381,391565,0 114,2,2024-09-07 09:36:30:879,647180,647179,1,0,25830395,0,5069 114,3,2024-09-07 09:36:31:277,1,509,2,0,395,4057,509,0 115,0,2024-09-07 09:36:30:553,126377,0.3,126849,0.4,253005,0.2,336030,1.50 115,1,2024-09-07 09:36:30:571,895648,895648,0,0,420809183729,4374545549,888250,6041,1357,382,391757,0 115,2,2024-09-07 09:36:31:126,644384,644384,0,0,25342685,0,4382 115,3,2024-09-07 09:36:31:005,1,509,0,0,167,2962,509,0 116,0,2024-09-07 09:36:31:702,125502,0.6,125375,0.8,251215,0.6,335987,2.00 116,1,2024-09-07 09:36:30:803,891261,891261,0,0,418856253531,4415884015,880620,8197,2444,380,392089,0 116,2,2024-09-07 09:36:31:753,640295,640295,0,0,32206406,0,4475 116,3,2024-09-07 09:36:30:924,1,509,8,0,415,6373,509,0 117,0,2024-09-07 09:36:30:983,131522,0.8,130948,0.8,262495,0.9,351103,2.00 117,1,2024-09-07 09:36:31:578,891814,891814,0,0,419142511758,4389154542,881838,8751,1225,369,392033,0 117,2,2024-09-07 09:36:31:133,646125,646125,0,0,28014314,0,4303 117,3,2024-09-07 09:36:31:062,1,509,2,0,490,7063,509,0 118,0,2024-09-07 09:36:31:821,123184,0.6,126620,0.7,258049,0.6,337322,2.00 118,1,2024-09-07 09:36:30:592,891634,891634,0,0,417897757022,4395542984,878139,10375,3120,366,392054,0 118,2,2024-09-07 09:36:31:621,644481,644481,0,0,30723175,0,2842 118,3,2024-09-07 09:36:31:806,1,509,4,0,248,5876,509,0 119,0,2024-09-07 09:36:31:337,126380,0.6,126800,0.8,253422,0.6,337167,2.00 119,1,2024-09-07 09:36:30:548,892221,892221,0,0,419579275205,4397988277,880559,9896,1766,367,391857,0 119,2,2024-09-07 09:36:31:264,644477,644477,0,0,28731424,0,4174 119,3,2024-09-07 09:36:31:325,1,509,4,0,1358,9720,509,0 120,0,2024-09-07 09:36:31:544,124533,0.6,124313,0.8,249705,0.6,332461,2.25 120,1,2024-09-07 09:36:30:862,892742,892742,0,0,419200240996,4394574228,883457,8506,779,368,392144,0 120,2,2024-09-07 09:36:30:770,639884,639883,1,0,33082391,0,5281 120,3,2024-09-07 09:36:31:291,1,509,2,0,279,6414,509,0 121,0,2024-09-07 09:36:31:700,129230,1.4,128669,1.1,258028,1.9,344563,2.50 121,1,2024-09-07 09:36:31:656,893311,893311,0,0,419472773149,4385928935,884588,8022,701,367,391840,0 121,2,2024-09-07 09:36:31:128,640975,640975,0,0,30250144,0,4157 121,3,2024-09-07 09:36:30:727,1,509,3,0,330,6159,509,0 122,0,2024-09-07 09:36:31:790,129356,0.9,125926,0.9,263889,1.0,346589,2.25 122,1,2024-09-07 09:36:30:861,891654,891654,0,0,419268002438,4399217630,879221,10549,1884,366,392130,0 122,2,2024-09-07 09:36:31:323,647241,647166,75,0,34699358,0,5989 122,3,2024-09-07 09:36:30:612,1,509,23,0,512,8744,509,0 123,0,2024-09-07 09:36:30:967,126242,0.8,122907,0.9,257390,0.9,336779,2.25 123,1,2024-09-07 09:36:30:636,892195,892195,0,0,419413835961,4414011270,877052,12640,2503,369,392039,0 123,2,2024-09-07 09:36:31:047,643404,643403,1,0,29483806,0,5215 123,3,2024-09-07 09:36:31:132,1,509,7,0,478,6011,509,0 124,0,2024-09-07 09:36:30:925,129292,0.3,129216,0.5,243620,0.3,335297,1.75 124,1,2024-09-07 09:36:31:028,895202,895202,0,0,419866959819,4366772614,888396,5675,1131,365,392178,0 124,2,2024-09-07 09:36:31:016,641319,641266,53,0,26895711,0,6487 124,3,2024-09-07 09:36:30:758,1,509,4,0,490,5388,509,0 125,0,2024-09-07 09:36:31:420,127265,0.4,126945,0.6,255200,0.3,339820,1.75 125,1,2024-09-07 09:36:30:859,893699,893699,0,0,419954746356,4385114115,886731,5986,982,382,391813,0 125,2,2024-09-07 09:36:31:116,643775,643775,0,0,26940551,0,4534 125,3,2024-09-07 09:36:31:130,1,509,2,0,709,6151,509,0 126,0,2024-09-07 09:36:31:448,132448,0.4,136181,0.6,260420,0.4,352682,1.75 126,1,2024-09-07 09:36:30:555,896059,896059,0,0,420702918744,4356235187,891391,4358,310,365,391987,0 126,2,2024-09-07 09:36:30:614,648546,648546,0,0,27836381,0,4539 126,3,2024-09-07 09:36:30:935,1,509,42,0,268,5791,509,0 127,0,2024-09-07 09:36:31:611,126037,0.3,126465,0.5,252276,0.3,335412,1.75 127,1,2024-09-07 09:36:30:655,894842,894842,0,0,420027281302,4373262512,885476,7862,1504,364,392187,0 127,2,2024-09-07 09:36:30:638,644817,644813,4,0,26499284,0,5305 127,3,2024-09-07 09:36:31:270,1,509,8,0,968,5170,509,0 128,0,2024-09-07 09:36:31:542,125808,0.3,125946,0.4,251508,0.2,334324,1.50 128,1,2024-09-07 09:36:31:621,894137,894137,0,0,420597008419,4371071657,887663,5740,734,367,392031,0 128,2,2024-09-07 09:36:31:384,642300,642300,0,0,24728007,0,3171 128,3,2024-09-07 09:36:30:771,1,509,2,0,1082,8288,509,0 129,0,2024-09-07 09:36:30:999,127398,0.3,126918,0.5,254115,0.3,338103,1.50 129,1,2024-09-07 09:36:30:572,891977,891977,0,0,419018113201,4393879118,882955,7077,1945,379,391962,0 129,2,2024-09-07 09:36:30:686,642793,642789,4,0,27013405,0,5335 129,3,2024-09-07 09:36:30:699,1,509,2,0,506,7269,509,0 130,0,2024-09-07 09:36:31:739,132195,0.5,131809,0.6,264529,0.4,351976,1.75 130,1,2024-09-07 09:36:30:595,894663,894663,0,0,419969730307,4364309172,889876,4399,388,381,391825,0 130,2,2024-09-07 09:36:31:127,646652,646652,0,0,27054944,0,4067 130,3,2024-09-07 09:36:31:292,1,509,1,0,960,7271,509,0 131,0,2024-09-07 09:36:31:933,126222,0.4,126699,0.5,253806,0.3,337145,1.75 131,1,2024-09-07 09:36:31:826,894304,894304,0,0,419747150911,4380920539,887428,5685,1191,381,391865,0 131,2,2024-09-07 09:36:30:572,646234,646234,0,0,25088248,0,3979 131,3,2024-09-07 09:36:31:696,1,509,21,0,392,6337,509,0 132,0,2024-09-07 09:36:31:426,126400,0.4,127529,0.6,253707,0.4,337350,2.00 132,1,2024-09-07 09:36:30:577,890702,890702,0,0,417977421487,4398842816,878267,10244,2191,381,392532,0 132,2,2024-09-07 09:36:30:701,642547,642530,17,0,32743696,0,6451 132,3,2024-09-07 09:36:31:696,1,509,1,0,804,8710,509,0 133,0,2024-09-07 09:36:31:521,122159,0.4,125069,0.6,256473,0.4,333746,1.75 133,1,2024-09-07 09:36:30:583,890615,890615,0,0,418450166527,4412484710,878116,10810,1689,383,391914,0 133,2,2024-09-07 09:36:31:093,641442,641392,50,0,33031503,0,6861 133,3,2024-09-07 09:36:31:298,1,509,1,0,479,6198,509,0 134,0,2024-09-07 09:36:31:007,130957,0.6,130839,0.7,261977,0.5,349624,2.25 134,1,2024-09-07 09:36:30:591,892242,892242,0,0,419175039279,4395675131,880884,9212,2146,366,391718,0 134,2,2024-09-07 09:36:31:755,642142,642118,24,0,31074072,0,6207 134,3,2024-09-07 09:36:30:752,1,509,42,0,739,6282,509,0 135,0,2024-09-07 09:36:31:105,124210,1.0,124125,0.9,263472,1.2,339470,2.50 135,1,2024-09-07 09:36:31:600,891736,891736,0,0,419745744969,4415482957,880107,10064,1565,380,391805,0 135,2,2024-09-07 09:36:30:687,646406,646406,0,0,31327499,0,4503 135,3,2024-09-07 09:36:31:002,1,509,0,0,900,5261,509,0 136,0,2024-09-07 09:36:31:652,127133,0.5,127326,0.7,253571,0.5,338016,2.00 136,1,2024-09-07 09:36:31:454,893460,893460,0,0,418729066047,4391101916,883094,9099,1267,381,392135,0 136,2,2024-09-07 09:36:31:138,646126,646111,15,0,30561258,0,6007 136,3,2024-09-07 09:36:31:108,1,509,6,0,637,6201,509,0 137,0,2024-09-07 09:36:30:958,129746,0.5,126432,0.7,247773,0.5,336019,2.00 137,1,2024-09-07 09:36:30:576,891628,891628,0,0,418567054034,4388857768,878202,10755,2671,366,391898,0 137,2,2024-09-07 09:36:31:703,639240,639240,0,0,31888486,0,3185 137,3,2024-09-07 09:36:30:773,1,509,2,0,484,6454,509,0 138,0,2024-09-07 09:36:31:757,127573,0.9,127772,0.9,256128,1.0,340697,2.25 138,1,2024-09-07 09:36:31:695,892166,892166,0,0,419289498891,4399054845,879435,10762,1969,368,391954,0 138,2,2024-09-07 09:36:30:601,640988,640988,0,0,29346983,0,4988 138,3,2024-09-07 09:36:30:613,1,509,133,0,1200,8376,509,0 139,0,2024-09-07 09:36:31:440,129919,1.3,130327,1.0,260878,1.9,347587,2.50 139,1,2024-09-07 09:36:30:576,887817,887817,0,0,417233860481,4426712287,871883,12474,3460,380,392058,0 139,2,2024-09-07 09:36:30:691,643326,643326,0,0,33007522,0,3097 139,3,2024-09-07 09:36:31:663,1,509,6,0,432,5938,509,0 140,0,2024-09-07 09:36:31:602,126887,0.3,126095,0.5,252857,0.2,337237,1.75 140,1,2024-09-07 09:36:31:536,896964,896964,0,0,421521237030,4346898751,892383,4043,538,364,391606,0 140,2,2024-09-07 09:36:30:687,646838,646837,1,0,25297377,0,5036 140,3,2024-09-07 09:36:30:771,1,509,1,0,297,4831,509,0 141,0,2024-09-07 09:36:31:698,125618,0.3,128839,0.4,245966,0.2,333825,1.50 141,1,2024-09-07 09:36:30:860,895707,895707,0,0,420806146339,4372569921,888603,6019,1085,379,391614,0 141,2,2024-09-07 09:36:31:687,640578,640567,11,0,26775333,0,5369 141,3,2024-09-07 09:36:31:043,1,509,1,0,391,5890,509,0 142,0,2024-09-07 09:36:31:304,128074,0.3,127119,0.5,254904,0.3,339965,1.50 142,1,2024-09-07 09:36:30:627,894106,894106,0,0,420422147027,4383234849,888450,5197,459,382,392102,0 142,2,2024-09-07 09:36:31:299,641282,641250,32,0,27355319,0,6028 142,3,2024-09-07 09:36:31:754,1,509,1,0,484,5403,509,0 143,0,2024-09-07 09:36:31:381,131391,0.5,131318,0.6,263473,0.4,350739,1.75 143,1,2024-09-07 09:36:30:556,895909,895909,0,0,421092977555,4371631885,889832,5521,556,367,391722,0 143,2,2024-09-07 09:36:30:771,648066,648066,0,0,26867891,0,3123 143,3,2024-09-07 09:36:31:141,1,509,4,0,462,6500,509,0 144,0,2024-09-07 09:36:31:653,122266,0.7,125773,0.8,255834,0.6,335554,2.00 144,1,2024-09-07 09:36:30:567,891442,891442,0,0,418521723590,4394567537,882057,7419,1966,381,391733,0 144,2,2024-09-07 09:36:31:755,646178,646178,0,0,25624130,0,3673 144,3,2024-09-07 09:36:31:747,1,509,25,0,249,5029,509,0 145,0,2024-09-07 09:36:31:382,121827,0.5,121889,0.8,258685,0.5,332726,2.25 145,1,2024-09-07 09:36:30:575,890594,890594,0,0,418393709265,4406621053,878238,9890,2466,382,391759,0 145,2,2024-09-07 09:36:31:431,639504,639422,82,0,31491363,0,7814 145,3,2024-09-07 09:36:30:896,1,509,141,0,622,7131,509,0 146,0,2024-09-07 09:36:31:643,125819,0.5,125346,0.7,251588,0.5,334407,2.00 146,1,2024-09-07 09:36:31:611,892062,892062,0,0,419190285407,4408481147,877639,10622,3801,367,391770,0 146,2,2024-09-07 09:36:31:709,640123,640117,6,0,29499419,0,5151 146,3,2024-09-07 09:36:31:274,1,509,1,0,1520,8788,509,0 147,0,2024-09-07 09:36:31:722,131514,0.6,131178,0.8,261901,0.6,350388,2.25 147,1,2024-09-07 09:36:31:390,895427,895427,0,0,421091884776,4377076218,888145,6403,879,367,391791,0 147,2,2024-09-07 09:36:31:019,644076,644076,0,0,26618864,0,2968 147,3,2024-09-07 09:36:30:914,1,509,1,0,1626,8448,509,0 0,0,2024-09-07 09:36:41:737,121691,0.6,121710,0.7,258275,0.6,333304,2.00 0,1,2024-09-07 09:36:40:813,894160,894160,0,0,419727720484,4397130087,886650,6670,840,369,391896,0 0,2,2024-09-07 09:36:41:066,643742,643742,0,0,26659425,0,4480 0,3,2024-09-07 09:36:40:975,1,510,0,0,431,7170,510,0 1,0,2024-09-07 09:36:41:762,129550,1.0,128936,1.0,258727,1.3,346221,2.00 1,1,2024-09-07 09:36:40:595,893434,893434,0,0,418999626269,4392972333,884724,7243,1467,370,391859,0 1,2,2024-09-07 09:36:40:646,643625,643625,0,0,26090926,0,3380 1,3,2024-09-07 09:36:41:311,1,510,1,0,269,6473,510,0 2,0,2024-09-07 09:36:41:572,129628,0.6,129644,0.8,259020,0.7,345264,2.00 2,1,2024-09-07 09:36:40:862,896363,896363,0,0,421265384995,4379541505,891391,4055,917,379,391745,0 2,2,2024-09-07 09:36:41:266,648795,648795,0,0,24841637,0,3594 2,3,2024-09-07 09:36:40:694,1,510,1,0,357,4661,510,0 3,0,2024-09-07 09:36:41:755,126839,0.4,126599,0.6,252920,0.3,336989,2.00 3,1,2024-09-07 09:36:41:626,895210,895210,0,0,420854301147,4383118322,887744,6764,702,379,391716,0 3,2,2024-09-07 09:36:41:143,647409,647386,23,0,25890004,0,5851 3,3,2024-09-07 09:36:41:754,1,510,1,0,207,3172,510,0 4,0,2024-09-07 09:36:41:893,122001,0.4,125352,0.5,255657,0.3,334408,1.75 4,1,2024-09-07 09:36:40:609,891397,891397,0,0,417937489851,4430000513,875096,12618,3683,370,391992,0 4,2,2024-09-07 09:36:41:017,638600,638600,0,0,31496570,0,4534 4,3,2024-09-07 09:36:41:027,1,510,1,0,448,6646,510,0 5,0,2024-09-07 09:36:41:379,127451,0.4,128027,0.6,255630,0.4,340315,1.75 5,1,2024-09-07 09:36:40:761,892890,892890,0,0,420184744038,4424248450,880158,10319,2413,367,392005,0 5,2,2024-09-07 09:36:41:848,640171,640171,0,0,30398554,0,3582 5,3,2024-09-07 09:36:41:735,1,510,1,0,457,7019,510,0 6,0,2024-09-07 09:36:40:930,132469,0.5,131986,0.7,264457,0.5,352209,2.00 6,1,2024-09-07 09:36:40:747,894984,894984,0,0,419701465290,4385492932,885466,8062,1456,379,391702,0 6,2,2024-09-07 09:36:41:116,648776,648758,18,0,29649190,0,5535 6,3,2024-09-07 09:36:41:275,1,510,1,0,710,6196,510,0 7,0,2024-09-07 09:36:41:547,125369,0.5,126292,0.7,251444,0.5,334898,2.00 7,1,2024-09-07 09:36:40:850,893205,893205,0,0,419937543753,4412452284,880283,10690,2232,382,391747,0 7,2,2024-09-07 09:36:40:771,647003,647003,0,0,28774713,0,4791 7,3,2024-09-07 09:36:40:866,1,510,1,0,552,5881,510,0 8,0,2024-09-07 09:36:41:359,125749,0.3,125460,0.5,251621,0.3,334815,1.75 8,1,2024-09-07 09:36:41:028,891818,891818,0,0,418834044573,4404258199,877640,11050,3128,366,392853,0 8,2,2024-09-07 09:36:40:804,637156,637154,2,0,34152969,0,5112 8,3,2024-09-07 09:36:40:585,1,510,0,0,538,7735,510,0 9,0,2024-09-07 09:36:41:100,126759,0.4,123381,0.5,258160,0.3,338853,1.75 9,1,2024-09-07 09:36:40:568,891908,891908,0,0,419955494973,4423570509,878501,10760,2647,369,392001,0 9,2,2024-09-07 09:36:41:083,641921,641920,1,0,30900562,0,5281 9,3,2024-09-07 09:36:41:752,1,510,1,0,1273,8644,510,0 10,0,2024-09-07 09:36:41:601,131707,0.4,131253,0.5,263273,0.3,350813,1.75 10,1,2024-09-07 09:36:40:582,894666,894666,0,0,419239952375,4394090305,882310,10266,2090,381,391869,0 10,2,2024-09-07 09:36:40:766,647608,647608,0,0,33581028,0,4264 10,3,2024-09-07 09:36:40:871,1,510,1,0,649,5313,510,0 11,0,2024-09-07 09:36:41:008,126303,0.5,122557,0.7,256196,0.5,337881,1.75 11,1,2024-09-07 09:36:40:572,894981,894981,0,0,420921379681,4415127898,881505,10014,3462,383,391756,0 11,2,2024-09-07 09:36:41:122,646012,646012,0,0,29652713,0,4130 11,3,2024-09-07 09:36:41:300,1,510,1,0,843,6890,510,0 12,0,2024-09-07 09:36:40:967,127251,0.4,127312,0.5,254619,0.3,338155,1.75 12,1,2024-09-07 09:36:40:959,894537,894537,0,0,420082512007,4384296627,886881,6778,878,370,391960,0 12,2,2024-09-07 09:36:41:560,643214,643214,0,0,29510833,0,4390 12,3,2024-09-07 09:36:41:062,1,510,1,0,386,6997,510,0 13,0,2024-09-07 09:36:41:402,126514,0.4,126275,0.6,251956,0.4,335924,1.75 13,1,2024-09-07 09:36:41:541,892887,892887,0,0,419080352213,4407082733,883271,7323,2293,382,391740,0 13,2,2024-09-07 09:36:40:611,644386,644386,0,0,26429667,0,3287 13,3,2024-09-07 09:36:41:771,1,510,12,0,522,7222,510,0 14,0,2024-09-07 09:36:40:571,131197,0.4,132206,0.6,262125,0.4,349767,1.75 14,1,2024-09-07 09:36:41:561,898647,898647,0,0,422719826250,4375555713,891741,6178,728,364,391673,0 14,2,2024-09-07 09:36:40:766,645090,645060,30,0,27868745,0,6104 14,3,2024-09-07 09:36:41:115,1,510,1,0,1168,5831,510,0 15,0,2024-09-07 09:36:41:563,127847,0.5,127333,0.7,255687,0.4,340406,2.00 15,1,2024-09-07 09:36:41:608,895959,895959,0,0,421187090832,4388476008,888229,6245,1485,381,391619,0 15,2,2024-09-07 09:36:40:997,649232,649232,0,0,23447987,0,3622 15,3,2024-09-07 09:36:41:405,1,510,1,0,1126,7305,510,0 16,0,2024-09-07 09:36:40:951,126753,0.5,127363,0.7,253897,0.5,337721,2.00 16,1,2024-09-07 09:36:40:563,895891,895891,0,0,420287924370,4396640104,887490,7103,1298,370,392194,0 16,2,2024-09-07 09:36:41:439,645628,645628,0,0,27710449,0,4719 16,3,2024-09-07 09:36:41:144,1,510,0,0,358,6515,510,0 17,0,2024-09-07 09:36:41:760,129770,0.5,126493,0.7,247776,0.5,335681,2.00 17,1,2024-09-07 09:36:40:581,893622,893622,0,0,419969203089,4408198865,884334,7541,1747,368,392075,0 17,2,2024-09-07 09:36:41:674,644345,644344,1,0,28421902,0,5050 17,3,2024-09-07 09:36:40:582,1,510,1,0,518,7778,510,0 18,0,2024-09-07 09:36:41:003,127169,0.6,128078,0.8,255212,0.6,341106,2.25 18,1,2024-09-07 09:36:41:648,897821,897821,0,0,421234487869,4371365800,891715,5110,996,367,391725,0 18,2,2024-09-07 09:36:41:755,645523,645523,0,0,25260546,0,3541 18,3,2024-09-07 09:36:40:902,1,510,3,0,1059,4891,510,0 19,0,2024-09-07 09:36:41:562,130495,0.7,130897,0.8,260180,0.7,346456,2.25 19,1,2024-09-07 09:36:40:570,896859,896859,0,0,421368817647,4371366390,889872,5903,1084,367,391777,0 19,2,2024-09-07 09:36:41:755,651282,651282,0,0,23750894,0,3988 19,3,2024-09-07 09:36:41:129,1,510,1,0,524,4190,510,0 20,0,2024-09-07 09:36:41:390,126256,0.5,126525,0.7,252558,0.5,337097,2.00 20,1,2024-09-07 09:36:40:572,894316,894316,0,0,420790019505,4409149358,885177,7776,1363,369,391922,0 20,2,2024-09-07 09:36:40:928,646238,646238,0,0,28710601,0,3721 20,3,2024-09-07 09:36:40:608,1,510,10,0,468,8861,510,0 21,0,2024-09-07 09:36:41:134,125534,0.4,125645,0.6,251285,0.4,333558,1.75 21,1,2024-09-07 09:36:41:542,892749,892749,0,0,419346492769,4426835829,878604,11027,3118,368,392016,0 21,2,2024-09-07 09:36:41:068,637915,637895,20,0,33499051,0,5617 21,3,2024-09-07 09:36:41:416,1,510,12,0,713,6685,510,0 22,0,2024-09-07 09:36:41:732,127416,0.5,127909,0.6,255379,0.4,338730,2.00 22,1,2024-09-07 09:36:41:024,893496,893496,0,0,419266351488,4416230865,878271,11998,3227,382,391822,0 22,2,2024-09-07 09:36:40:766,641726,641700,26,0,28163796,0,6328 22,3,2024-09-07 09:36:41:065,1,510,0,0,228,4335,510,0 23,0,2024-09-07 09:36:41:368,131526,0.5,131056,0.7,262437,0.5,350457,2.25 23,1,2024-09-07 09:36:41:003,894548,894548,0,0,420474713034,4415280633,878892,11248,4408,365,391690,0 23,2,2024-09-07 09:36:41:092,649578,649578,0,0,26822472,0,3773 23,3,2024-09-07 09:36:41:753,1,510,1,0,720,6794,510,0 24,0,2024-09-07 09:36:40:822,127404,0.4,126642,0.6,254512,0.4,338236,1.75 24,1,2024-09-07 09:36:40:581,893523,893523,0,0,419524928874,4393563162,884568,7281,1674,367,392269,0 24,2,2024-09-07 09:36:41:073,646627,646627,0,0,31741579,0,4438 24,3,2024-09-07 09:36:41:687,1,510,1,0,468,6575,510,0 25,0,2024-09-07 09:36:41:384,129580,0.4,126226,0.6,247563,0.4,337118,1.75 25,1,2024-09-07 09:36:40:560,892609,892609,0,0,419391503544,4424621574,877730,11907,2972,369,391928,0 25,2,2024-09-07 09:36:41:610,640859,640859,0,0,32799187,0,3978 25,3,2024-09-07 09:36:41:000,1,510,3,0,532,5638,510,0 26,0,2024-09-07 09:36:41:736,125647,0.4,122988,0.6,258014,0.3,335755,1.75 26,1,2024-09-07 09:36:41:546,895492,895492,0,0,419835947826,4397499626,882663,10377,2452,380,391748,0 26,2,2024-09-07 09:36:40:865,642585,642585,0,0,34635870,0,4689 26,3,2024-09-07 09:36:41:713,1,510,1,0,796,5703,510,0 27,0,2024-09-07 09:36:41:734,131889,0.4,132238,0.6,262943,0.4,351230,2.25 27,1,2024-09-07 09:36:41:683,896378,896378,0,0,421372455132,4389438326,887827,7282,1269,381,391626,0 27,2,2024-09-07 09:36:40:869,643918,643853,65,0,30272416,0,5699 27,3,2024-09-07 09:36:41:015,1,510,2,0,564,4498,510,0 28,0,2024-09-07 09:36:41:389,127543,0.4,127089,0.6,255104,0.4,339686,2.00 28,1,2024-09-07 09:36:40:798,896419,896419,0,0,421131305028,4392422896,888649,6056,1714,382,391698,0 28,2,2024-09-07 09:36:41:766,646836,646836,0,0,26836739,0,2915 28,3,2024-09-07 09:36:41:776,1,510,1,0,502,5186,510,0 29,0,2024-09-07 09:36:41:389,130268,0.4,126972,0.6,248935,0.3,339252,1.75 29,1,2024-09-07 09:36:41:693,898456,898456,0,0,421167776435,4358416997,892900,4794,762,368,391809,0 29,2,2024-09-07 09:36:40:866,644585,644585,0,0,26186361,0,4986 29,3,2024-09-07 09:36:40:969,1,510,1,0,459,5685,510,0 30,0,2024-09-07 09:36:41:460,124921,0.5,121477,0.7,254331,0.4,332276,2.00 30,1,2024-09-07 09:36:40:571,898012,898012,0,0,421993512595,4381454666,891037,6073,902,380,391672,0 30,2,2024-09-07 09:36:41:275,642966,642966,0,0,25139217,0,4192 30,3,2024-09-07 09:36:40:582,1,510,3,0,519,4984,510,0 31,0,2024-09-07 09:36:41:766,129456,0.5,129783,0.7,259275,0.4,346608,2.00 31,1,2024-09-07 09:36:40:564,900937,900937,0,0,423347061544,4347973533,895614,4312,1011,356,391712,0 31,2,2024-09-07 09:36:41:282,642962,642962,0,0,27788205,0,4470 31,3,2024-09-07 09:36:41:714,1,510,2,0,220,4274,510,0 32,0,2024-09-07 09:36:41:422,130264,0.4,130892,0.5,260667,0.3,347008,1.75 32,1,2024-09-07 09:36:40:809,897265,897265,0,0,422132595436,4389612178,891468,5090,707,381,391646,0 32,2,2024-09-07 09:36:40:935,649237,649237,0,0,24317443,0,3922 32,3,2024-09-07 09:36:41:016,1,510,1,0,304,4235,510,0 33,0,2024-09-07 09:36:41:541,127317,0.3,126920,0.4,254053,0.2,338051,1.50 33,1,2024-09-07 09:36:40:606,897941,897941,0,0,421676434213,4370445029,890716,6053,1172,368,391730,0 33,2,2024-09-07 09:36:40:766,648318,648283,35,0,26935296,0,7012 33,3,2024-09-07 09:36:40:896,1,510,0,0,329,4839,510,0 34,0,2024-09-07 09:36:40:937,126105,0.3,129528,0.4,247571,0.2,333741,1.75 34,1,2024-09-07 09:36:41:046,899869,899869,0,0,422966116215,4351592293,897361,2486,22,367,391562,0 34,2,2024-09-07 09:36:40:768,642823,642823,0,0,25411070,0,4562 34,3,2024-09-07 09:36:41:690,1,510,1,0,320,3988,510,0 35,0,2024-09-07 09:36:40:858,126901,0.3,127657,0.5,256394,0.3,341140,1.75 35,1,2024-09-07 09:36:41:068,896843,896843,0,0,420976006353,4369497169,890188,5442,1213,382,391769,0 35,2,2024-09-07 09:36:41:587,642493,642493,0,0,27937749,0,4055 35,3,2024-09-07 09:36:40:908,1,510,2,0,418,4852,510,0 36,0,2024-09-07 09:36:41:528,132760,0.5,132667,0.7,265380,0.5,353001,2.25 36,1,2024-09-07 09:36:40:583,896016,896016,0,0,420500002070,4395061927,883736,10061,2219,366,391759,0 36,2,2024-09-07 09:36:41:755,649400,649400,0,0,29298416,0,3875 36,3,2024-09-07 09:36:40:877,1,510,1,0,416,7147,510,0 37,0,2024-09-07 09:36:41:380,125689,0.5,125575,0.7,251467,0.5,335413,2.25 37,1,2024-09-07 09:36:40:571,894699,894692,0,7,420387975891,4392142832,883595,8423,2674,365,391770,0 37,2,2024-09-07 09:36:41:145,645279,645264,15,0,29600219,0,5815 37,3,2024-09-07 09:36:41:768,1,510,3,0,888,7265,510,0 38,0,2024-09-07 09:36:41:439,124901,0.4,121195,0.6,253548,0.4,332030,2.00 38,1,2024-09-07 09:36:41:605,896279,896279,0,0,420837191557,4402267056,883055,10705,2519,368,391821,0 38,2,2024-09-07 09:36:40:773,640330,640283,47,0,29722820,0,6710 38,3,2024-09-07 09:36:40:997,1,510,2,0,689,6660,510,0 39,0,2024-09-07 09:36:41:760,129902,0.5,127063,0.7,247536,0.5,338470,2.00 39,1,2024-09-07 09:36:40:716,894134,894134,0,0,419223114215,4401377783,878366,12299,3469,365,391865,0 39,2,2024-09-07 09:36:41:419,643809,643809,0,0,27462597,0,3391 39,3,2024-09-07 09:36:40:720,1,510,1,0,525,6128,510,0 40,0,2024-09-07 09:36:41:499,130312,0.8,131102,1.0,261662,0.9,349018,2.75 40,1,2024-09-07 09:36:40:581,896881,896881,0,0,419590610983,4390379234,884867,9836,2178,368,391668,0 40,2,2024-09-07 09:36:41:305,645998,645997,1,0,32796156,0,5137 40,3,2024-09-07 09:36:41:144,1,510,2,0,1028,7307,510,0 41,0,2024-09-07 09:36:41:023,125706,1.4,128322,1.2,245024,2.0,333797,3.25 41,1,2024-09-07 09:36:40:769,894444,894444,0,0,419871690105,4394937944,882577,9934,1933,369,391742,0 41,2,2024-09-07 09:36:40:762,644143,644142,1,0,31521165,0,5408 41,3,2024-09-07 09:36:41:677,1,510,2,0,366,5337,510,0 42,0,2024-09-07 09:36:41:477,125883,0.8,125556,1.0,251346,0.8,333022,2.50 42,1,2024-09-07 09:36:41:449,892032,892032,0,0,419336653859,4411279943,877023,12101,2908,380,391675,0 42,2,2024-09-07 09:36:41:133,642863,642863,0,0,30300365,0,3975 42,3,2024-09-07 09:36:41:010,1,510,3,0,892,4675,510,0 43,0,2024-09-07 09:36:40:918,124501,0.7,121311,0.9,253867,0.7,333058,2.25 43,1,2024-09-07 09:36:40:583,895045,895045,0,0,420800107255,4398461672,882180,10606,2259,366,391696,0 43,2,2024-09-07 09:36:41:738,643345,643345,0,0,30108299,0,4723 43,3,2024-09-07 09:36:41:749,1,510,1,0,571,7214,510,0 44,0,2024-09-07 09:36:40:886,131234,0.4,131353,0.6,263226,0.4,350254,2.00 44,1,2024-09-07 09:36:40:566,897927,897927,0,0,421537071972,4357982796,890132,6208,1587,356,391809,0 44,2,2024-09-07 09:36:41:269,643190,643190,0,0,25089503,0,4344 44,3,2024-09-07 09:36:41:094,1,510,10,0,817,5613,510,0 45,0,2024-09-07 09:36:41:763,126646,0.5,123678,0.8,259513,0.5,340652,2.00 45,1,2024-09-07 09:36:41:014,896494,896494,0,0,421826393253,4386172067,888984,6634,876,382,391917,0 45,2,2024-09-07 09:36:41:277,648456,648456,0,0,25435961,0,3596 45,3,2024-09-07 09:36:40:936,1,510,5,0,271,4534,510,0 46,0,2024-09-07 09:36:40:952,126237,0.5,125758,0.7,252382,0.4,334892,2.00 46,1,2024-09-07 09:36:40:574,898539,898539,0,0,422183696483,4364795843,892138,5538,863,366,391709,0 46,2,2024-09-07 09:36:40:605,646891,646891,0,0,25672639,0,4443 46,3,2024-09-07 09:36:41:131,1,510,1,0,908,6309,510,0 47,0,2024-09-07 09:36:41:103,126047,0.4,126144,0.6,252983,0.3,334604,1.75 47,1,2024-09-07 09:36:40:569,899107,899107,0,0,422184664832,4362305541,893881,4387,839,365,391641,0 47,2,2024-09-07 09:36:40:908,644745,644745,0,0,25427036,0,4477 47,3,2024-09-07 09:36:41:114,1,510,2,0,600,5844,510,0 48,0,2024-09-07 09:36:41:496,129031,0.3,129103,0.4,257200,0.2,343140,1.50 48,1,2024-09-07 09:36:41:027,896867,896867,0,0,420929217144,4375150612,891078,5216,573,384,391710,0 48,2,2024-09-07 09:36:40:709,643715,643715,0,0,23441067,0,3411 48,3,2024-09-07 09:36:40:754,1,510,0,0,339,4143,510,0 49,0,2024-09-07 09:36:41:717,134524,0.4,132050,0.5,256581,0.3,350477,1.75 49,1,2024-09-07 09:36:41:030,896405,896405,0,0,421472402386,4382821590,890841,4353,1211,382,391809,0 49,2,2024-09-07 09:36:41:800,649977,649977,0,0,26085160,0,4426 49,3,2024-09-07 09:36:41:418,1,510,4,0,992,6047,510,0 50,0,2024-09-07 09:36:41:507,127138,0.3,125441,0.5,252759,0.2,336851,1.75 50,1,2024-09-07 09:36:41:011,899444,899444,0,0,422581534348,4370886934,893500,5277,667,368,391565,0 50,2,2024-09-07 09:36:41:068,646752,646752,0,0,23888042,0,4490 50,3,2024-09-07 09:36:41:296,1,510,1,0,617,5425,510,0 51,0,2024-09-07 09:36:41:692,128842,0.3,126058,0.4,245539,0.2,335166,1.75 51,1,2024-09-07 09:36:41:680,899878,899878,0,0,422566382513,4358623659,895116,3708,1054,365,391706,0 51,2,2024-09-07 09:36:41:317,641887,641887,0,0,23086386,0,3337 51,3,2024-09-07 09:36:41:035,1,510,0,0,678,3760,510,0 52,0,2024-09-07 09:36:41:435,128016,0.5,127602,0.7,255604,0.5,339660,2.00 52,1,2024-09-07 09:36:40:591,895921,895921,0,0,420697242009,4406671971,882697,11119,2105,368,391722,0 52,2,2024-09-07 09:36:41:755,639600,639562,38,0,30614833,0,6742 52,3,2024-09-07 09:36:40:674,1,510,11,0,1782,6643,510,0 53,0,2024-09-07 09:36:41:760,131121,0.7,127326,0.8,266216,0.8,349945,2.50 53,1,2024-09-07 09:36:40:788,894062,894062,0,0,420535791825,4415973734,878707,11511,3844,367,391968,0 53,2,2024-09-07 09:36:41:298,649077,649076,1,0,27570992,0,5455 53,3,2024-09-07 09:36:40:697,1,510,1,0,308,5131,510,0 54,0,2024-09-07 09:36:41:619,124482,0.6,124903,0.8,248602,0.5,332130,2.25 54,1,2024-09-07 09:36:40:581,896006,896006,0,0,421257708147,4384465485,885942,8430,1634,366,391810,0 54,2,2024-09-07 09:36:40:865,647107,647075,32,0,31366834,0,6397 54,3,2024-09-07 09:36:40:763,1,510,3,0,676,6986,510,0 55,0,2024-09-07 09:36:41:760,121682,0.6,125586,0.7,254379,0.5,331370,2.50 55,1,2024-09-07 09:36:40:763,897211,897211,0,0,420625808104,4375884458,887555,8337,1319,365,391731,0 55,2,2024-09-07 09:36:40:732,641534,641478,56,0,29606792,0,7239 55,3,2024-09-07 09:36:40:688,1,510,3,0,304,4836,510,0 56,0,2024-09-07 09:36:41:595,128885,1.1,121444,1.1,250273,1.5,335160,2.75 56,1,2024-09-07 09:36:40:587,891765,891765,0,0,419031390170,4426944019,877451,11402,2912,381,391867,0 56,2,2024-09-07 09:36:41:309,642758,642636,122,0,30767338,0,7432 56,3,2024-09-07 09:36:41:063,1,510,10,0,705,6212,510,0 57,0,2024-09-07 09:36:41:008,129834,1.6,129814,1.3,259807,2.2,347742,3.25 57,1,2024-09-07 09:36:40:995,893423,893423,0,0,419965445254,4408215862,881246,9892,2285,366,392032,0 57,2,2024-09-07 09:36:41:327,646161,646161,0,0,31682599,0,4317 57,3,2024-09-07 09:36:41:741,1,510,8,0,455,5780,510,0 58,0,2024-09-07 09:36:40:562,125293,1.0,121559,1.0,254442,1.2,333097,2.75 58,1,2024-09-07 09:36:40:581,895074,895071,0,3,420376831340,4407631087,881285,10466,3320,367,391675,3 58,2,2024-09-07 09:36:41:072,646338,646338,0,0,30027921,0,3483 58,3,2024-09-07 09:36:41:068,1,510,3,0,1043,5659,510,0 59,0,2024-09-07 09:36:41:754,126151,0.8,126112,1.0,251391,0.9,333378,2.75 59,1,2024-09-07 09:36:40:804,893727,893727,0,0,420170469124,4414330518,878987,11601,3139,369,391653,0 59,2,2024-09-07 09:36:40:583,644602,644602,0,0,28778486,0,3727 59,3,2024-09-07 09:36:41:737,1,510,1,0,1015,6428,510,0 60,0,2024-09-07 09:36:41:749,125134,0.5,125415,0.7,251012,0.5,333173,1.75 60,1,2024-09-07 09:36:40:775,898202,898202,0,0,421712082356,4378285556,892026,5244,932,370,392031,0 60,2,2024-09-07 09:36:41:156,642771,642771,0,0,27411747,0,3811 60,3,2024-09-07 09:36:41:271,1,510,1,0,409,6083,510,0 61,0,2024-09-07 09:36:41:580,129433,0.8,130000,0.8,258886,0.8,346279,2.00 61,1,2024-09-07 09:36:40:780,894763,894763,0,0,419914902263,4399452635,884893,8290,1580,382,392127,0 61,2,2024-09-07 09:36:41:115,643761,643694,67,0,28363715,0,6411 61,3,2024-09-07 09:36:41:688,1,510,2,0,607,7451,510,0 62,0,2024-09-07 09:36:41:709,130468,0.7,133823,0.8,255446,0.7,346810,2.00 62,1,2024-09-07 09:36:41:114,900112,900106,0,6,423562121074,4366262588,895952,3874,280,365,391975,6 62,2,2024-09-07 09:36:41:644,646183,646182,1,0,27686312,0,5555 62,3,2024-09-07 09:36:41:144,1,510,3,0,482,4195,510,0 63,0,2024-09-07 09:36:41:465,127286,0.4,126984,0.6,254976,0.4,338492,1.75 63,1,2024-09-07 09:36:40:804,897500,897494,0,6,421319637173,4375257930,891743,5000,751,381,391800,6 63,2,2024-09-07 09:36:40:766,646591,646591,0,0,26736438,0,4369 63,3,2024-09-07 09:36:41:737,1,510,7,0,667,5554,510,0 64,0,2024-09-07 09:36:41:591,125346,0.5,125388,0.6,250330,0.4,332913,2.00 64,1,2024-09-07 09:36:40:752,897004,897004,0,0,421086109186,4386889024,889117,6116,1771,370,391794,0 64,2,2024-09-07 09:36:41:155,645603,645584,19,0,25661187,0,6121 64,3,2024-09-07 09:36:41:147,1,510,8,0,651,5448,510,0 65,0,2024-09-07 09:36:41:740,126801,0.6,127102,0.8,253429,0.7,338517,2.00 65,1,2024-09-07 09:36:40:859,895199,895199,0,0,420311285632,4394909139,888453,5950,796,381,391901,0 65,2,2024-09-07 09:36:41:706,642798,642798,0,0,30117691,0,3367 65,3,2024-09-07 09:36:41:688,1,510,12,0,782,6117,510,0 66,0,2024-09-07 09:36:41:770,131910,0.6,131481,0.8,263063,0.6,350166,2.25 66,1,2024-09-07 09:36:41:297,896931,896931,0,0,421014585907,4384350826,890783,5426,722,380,391743,0 66,2,2024-09-07 09:36:41:131,651082,651079,3,0,27574153,0,5455 66,3,2024-09-07 09:36:41:079,1,510,2,0,291,4495,510,0 67,0,2024-09-07 09:36:41:441,126076,0.5,125689,0.7,252121,0.5,335681,2.00 67,1,2024-09-07 09:36:40:775,897019,897018,0,1,421503281139,4389933334,890748,5457,813,380,391787,1 67,2,2024-09-07 09:36:40:583,649476,649461,15,0,26618466,0,6205 67,3,2024-09-07 09:36:41:754,1,510,5,0,595,5491,510,0 68,0,2024-09-07 09:36:40:577,125743,0.5,125621,0.7,250244,0.5,334403,2.00 68,1,2024-09-07 09:36:40:672,893440,893440,0,0,419551735232,4414433244,881204,8837,3399,381,391953,0 68,2,2024-09-07 09:36:41:046,637872,637772,100,0,34059181,0,8578 68,3,2024-09-07 09:36:40:752,1,510,3,0,417,6714,510,0 69,0,2024-09-07 09:36:41:746,126085,0.7,127040,0.8,252975,0.7,336468,2.25 69,1,2024-09-07 09:36:41:026,892334,892334,0,0,418596881630,4413309526,880247,9328,2759,384,391994,0 69,2,2024-09-07 09:36:41:736,641865,641836,29,0,35069255,0,6912 69,3,2024-09-07 09:36:40:767,1,510,7,0,698,7718,510,0 70,0,2024-09-07 09:36:41:550,130230,0.9,130701,1.0,262708,0.9,347847,2.50 70,1,2024-09-07 09:36:40:800,897753,897753,0,0,421933518929,4379957142,890502,6489,762,366,391725,0 70,2,2024-09-07 09:36:41:326,647620,647620,0,0,29619557,0,4323 70,3,2024-09-07 09:36:40:745,1,510,0,0,854,5683,510,0 71,0,2024-09-07 09:36:41:386,125059,1.2,124752,1.1,250802,1.7,334979,3.00 71,1,2024-09-07 09:36:41:596,895321,895321,0,0,420457524995,4391849619,883929,10137,1255,368,391738,0 71,2,2024-09-07 09:36:41:068,645815,645815,0,0,30356219,0,4352 71,3,2024-09-07 09:36:41:750,1,510,1,0,644,6099,510,0 72,0,2024-09-07 09:36:41:055,130616,0.5,127655,0.7,249041,0.5,338434,2.00 72,1,2024-09-07 09:36:41:036,893742,893742,0,0,419750813967,4406464106,880360,11100,2282,369,391819,0 72,2,2024-09-07 09:36:41:761,642444,642444,0,0,31485201,0,3983 72,3,2024-09-07 09:36:41:760,1,510,9,0,564,7392,510,0 73,0,2024-09-07 09:36:41:176,122416,0.4,125714,0.6,256679,0.4,333980,2.00 73,1,2024-09-07 09:36:40:782,896998,896998,0,0,420992642098,4372725668,890772,5801,425,367,391858,0 73,2,2024-09-07 09:36:41:747,642312,642312,0,0,31943470,0,4728 73,3,2024-09-07 09:36:40:979,1,510,12,0,486,6439,510,0 74,0,2024-09-07 09:36:41:321,132078,0.5,135219,0.7,257935,0.5,350544,2.00 74,1,2024-09-07 09:36:40:637,895104,895104,0,0,420267670811,4390943994,884655,8525,1924,381,391762,0 74,2,2024-09-07 09:36:41:007,644055,644055,0,0,27861435,0,4253 74,3,2024-09-07 09:36:41:449,1,510,0,0,522,6193,510,0 75,0,2024-09-07 09:36:41:777,128458,0.6,127533,0.8,256328,0.6,342079,2.25 75,1,2024-09-07 09:36:41:586,896145,896145,0,0,420394249027,4384579329,888261,7024,860,380,391739,0 75,2,2024-09-07 09:36:41:361,646110,646110,0,0,33340230,0,4766 75,3,2024-09-07 09:36:41:067,1,510,4,0,702,6151,510,0 76,0,2024-09-07 09:36:40:633,126266,0.5,125547,0.7,251671,0.5,336445,2.25 76,1,2024-09-07 09:36:40:816,895218,895218,0,0,420192486082,4384719161,888599,5653,966,382,391692,0 76,2,2024-09-07 09:36:41:063,648382,648379,3,0,28360738,0,5265 76,3,2024-09-07 09:36:41:146,1,510,1,0,227,4817,510,0 77,0,2024-09-07 09:36:41:712,125387,0.5,125841,0.7,251806,0.5,333651,2.00 77,1,2024-09-07 09:36:40:839,896224,896224,0,0,421122679466,4393925606,889394,6236,594,381,391869,0 77,2,2024-09-07 09:36:41:281,641645,641645,0,0,27819993,0,3890 77,3,2024-09-07 09:36:41:094,1,510,1,0,401,5501,510,0 78,0,2024-09-07 09:36:41:737,128765,0.4,128304,0.6,257449,0.4,341537,2.00 78,1,2024-09-07 09:36:40:617,895804,895804,0,0,419885932257,4381153398,885038,8240,2526,367,391670,0 78,2,2024-09-07 09:36:41:406,644506,644493,13,0,25908079,0,8313 78,3,2024-09-07 09:36:41:133,1,510,3,0,311,4510,510,0 79,0,2024-09-07 09:36:41:345,126615,0.4,129598,0.6,265479,0.4,345295,2.25 79,1,2024-09-07 09:36:40:570,898515,898515,0,0,421560145955,4370217867,890783,6375,1357,367,391682,0 79,2,2024-09-07 09:36:41:067,649284,649284,0,0,24986010,0,4195 79,3,2024-09-07 09:36:40:757,1,510,0,0,418,6255,510,0 80,0,2024-09-07 09:36:41:103,126332,0.5,129782,0.7,248430,0.5,336325,2.00 80,1,2024-09-07 09:36:41:623,895668,895668,0,0,421073285616,4384167386,889846,5396,426,368,392269,0 80,2,2024-09-07 09:36:41:099,649009,649009,0,0,25535834,0,4433 80,3,2024-09-07 09:36:40:584,1,510,10,0,296,6077,510,0 81,0,2024-09-07 09:36:41:561,125716,0.5,128588,0.7,245251,0.5,333646,2.00 81,1,2024-09-07 09:36:41:661,894713,894713,0,0,419610241844,4388476540,887316,6759,638,382,391885,0 81,2,2024-09-07 09:36:41:128,640546,640483,63,0,28444219,0,5932 81,3,2024-09-07 09:36:41:119,1,510,15,0,719,5929,510,0 82,0,2024-09-07 09:36:41:534,127205,0.5,127365,0.7,255202,0.4,338850,2.00 82,1,2024-09-07 09:36:40:582,897020,897016,0,4,421674101754,4385275615,891938,4258,820,381,391768,4 82,2,2024-09-07 09:36:41:690,644941,644941,0,0,23707467,0,4484 82,3,2024-09-07 09:36:41:754,1,510,7,0,363,4965,510,0 83,0,2024-09-07 09:36:41:563,131757,0.6,131819,0.8,262906,0.7,350233,2.25 83,1,2024-09-07 09:36:40:563,896173,896173,0,0,421180904082,4392176814,889820,5900,453,382,391709,0 83,2,2024-09-07 09:36:40:775,648640,648615,25,0,25757222,0,5612 83,3,2024-09-07 09:36:40:748,1,510,7,0,1260,6469,510,0 84,0,2024-09-07 09:36:41:784,125385,0.8,125580,0.9,250682,0.7,335442,2.25 84,1,2024-09-07 09:36:41:055,894623,894623,0,0,420172193521,4394734675,884427,8675,1521,367,391967,0 84,2,2024-09-07 09:36:40:571,645889,645859,30,0,33870899,0,5971 84,3,2024-09-07 09:36:41:146,1,510,47,0,908,7349,510,0 85,0,2024-09-07 09:36:41:006,121293,0.6,121245,0.8,257367,0.5,332863,2.25 85,1,2024-09-07 09:36:40:560,891437,891437,0,0,419113525586,4428922587,877176,11603,2658,381,392092,0 85,2,2024-09-07 09:36:40:872,640932,640932,0,0,31496325,0,4255 85,3,2024-09-07 09:36:40:689,1,510,1,0,789,5950,510,0 86,0,2024-09-07 09:36:40:893,125982,0.6,129550,0.7,247820,0.6,335519,2.25 86,1,2024-09-07 09:36:40:830,894415,894415,0,0,420025036702,4403573681,883127,9314,1974,366,391995,0 86,2,2024-09-07 09:36:40:873,641180,641179,1,0,33939877,0,5004 86,3,2024-09-07 09:36:40:586,1,510,2,0,308,7460,510,0 87,0,2024-09-07 09:36:41:302,131572,0.9,131378,0.9,262653,1.2,351500,2.25 87,1,2024-09-07 09:36:40:551,893452,893452,0,0,419267762121,4396548044,881259,10478,1715,366,392076,0 87,2,2024-09-07 09:36:41:067,645388,645382,6,0,29770023,0,6323 87,3,2024-09-07 09:36:41:798,1,510,3,0,473,7605,510,0 88,0,2024-09-07 09:36:41:438,127010,0.5,127629,0.6,255077,0.5,339241,2.00 88,1,2024-09-07 09:36:40:581,892764,892764,0,0,419875998745,4400086561,880148,10002,2614,365,392084,0 88,2,2024-09-07 09:36:40:708,646775,646775,0,0,32202828,0,4465 88,3,2024-09-07 09:36:41:273,1,510,1,0,435,6504,510,0 89,0,2024-09-07 09:36:41:780,130476,0.4,126451,0.6,249790,0.4,339246,1.75 89,1,2024-09-07 09:36:40:560,893485,893485,0,0,420256907058,4422199421,882082,9588,1815,382,391866,0 89,2,2024-09-07 09:36:41:132,644364,644364,0,0,30583194,0,3173 89,3,2024-09-07 09:36:41:800,1,510,12,0,468,8418,510,0 90,0,2024-09-07 09:36:41:617,121580,0.4,125015,0.6,254642,0.4,332075,1.75 90,1,2024-09-07 09:36:40:591,894775,894775,0,0,419673622385,4394430671,886274,7768,733,380,391825,0 90,2,2024-09-07 09:36:41:413,640420,640420,0,0,32735713,0,3608 90,3,2024-09-07 09:36:40:936,1,510,4,0,322,6229,510,0 91,0,2024-09-07 09:36:40:946,130053,0.5,126083,0.6,263694,0.4,346752,1.75 91,1,2024-09-07 09:36:40:568,892996,892996,0,0,419469156069,4412734120,881429,9555,2012,381,392047,0 91,2,2024-09-07 09:36:41:332,644258,644258,0,0,28777074,0,4713 91,3,2024-09-07 09:36:40:605,1,510,1,0,216,4867,510,0 92,0,2024-09-07 09:36:41:451,131510,0.5,134393,0.6,256336,0.5,347016,1.75 92,1,2024-09-07 09:36:40:586,894983,894983,0,0,420301663846,4392974903,887481,6297,1205,381,392136,0 92,2,2024-09-07 09:36:41:349,648698,648698,0,0,26196989,0,3259 92,3,2024-09-07 09:36:41:011,1,510,7,0,167,4346,510,0 93,0,2024-09-07 09:36:40:960,127642,0.4,130829,0.6,249759,0.4,338421,1.75 93,1,2024-09-07 09:36:40:809,895532,895532,0,0,420293418002,4390559529,885255,8544,1733,366,391776,0 93,2,2024-09-07 09:36:40:928,646638,646638,0,0,31886353,0,4913 93,3,2024-09-07 09:36:41:406,1,510,10,0,190,4682,510,0 94,0,2024-09-07 09:36:41:606,125448,0.3,126172,0.5,252161,0.3,334765,1.75 94,1,2024-09-07 09:36:40:569,895759,895759,0,0,421186500163,4394184044,889664,5722,373,381,391850,0 94,2,2024-09-07 09:36:40:766,641359,641331,28,0,27174483,0,6179 94,3,2024-09-07 09:36:41:693,1,510,9,0,576,6542,510,0 95,0,2024-09-07 09:36:41:363,127905,0.3,127506,0.5,255597,0.3,341157,1.75 95,1,2024-09-07 09:36:40:883,897017,897017,0,0,421371693725,4380979235,889668,6692,657,365,391786,0 95,2,2024-09-07 09:36:41:025,642667,642667,0,0,25933431,0,3308 95,3,2024-09-07 09:36:41:713,1,510,12,0,718,8014,510,0 96,0,2024-09-07 09:36:41:047,132717,0.4,132786,0.5,265448,0.3,352454,1.75 96,1,2024-09-07 09:36:41:590,895687,895687,0,0,420152488537,4383804552,889304,5471,912,384,391955,0 96,2,2024-09-07 09:36:41:285,649630,649630,0,0,27069681,0,4180 96,3,2024-09-07 09:36:41:146,1,510,1,0,411,5479,510,0 97,0,2024-09-07 09:36:41:314,126177,0.3,125855,0.5,252864,0.3,335990,1.75 97,1,2024-09-07 09:36:40:771,897187,897187,0,0,422010473320,4378688284,891440,4804,943,367,392140,0 97,2,2024-09-07 09:36:40:617,648221,648221,0,0,26504668,0,3679 97,3,2024-09-07 09:36:40:572,1,510,8,0,242,5989,510,0 98,0,2024-09-07 09:36:41:696,125194,0.3,125392,0.4,251482,0.2,334040,1.50 98,1,2024-09-07 09:36:40:581,896622,896622,0,0,420643090032,4381710528,891225,4599,798,381,391997,0 98,2,2024-09-07 09:36:40:777,641519,641519,0,0,26028944,0,4336 98,3,2024-09-07 09:36:40:698,1,510,1,0,840,7942,510,0 99,0,2024-09-07 09:36:41:459,126739,0.3,127387,0.5,253498,0.3,338971,1.75 99,1,2024-09-07 09:36:41:729,896769,896769,0,0,420001424529,4373304576,890808,5035,926,380,392069,0 99,2,2024-09-07 09:36:41:418,644029,644029,0,0,31306573,0,4276 99,3,2024-09-07 09:36:40:580,1,510,2,0,606,5204,510,0 100,0,2024-09-07 09:36:41:485,131445,0.9,131778,1.0,262844,1.1,351250,2.50 100,1,2024-09-07 09:36:40:553,891659,891659,0,0,418526313492,4427623597,877400,11161,3098,378,391989,0 100,2,2024-09-07 09:36:41:825,644906,644895,11,0,30703980,0,5417 100,3,2024-09-07 09:36:41:735,1,510,1,0,627,9358,510,0 101,0,2024-09-07 09:36:41:750,128967,1.3,125690,1.1,246224,1.4,337121,2.50 101,1,2024-09-07 09:36:40:557,892833,892833,0,0,419508643077,4409828852,880560,9873,2400,368,391847,0 101,2,2024-09-07 09:36:41:755,642446,642446,0,0,35665068,0,4871 101,3,2024-09-07 09:36:40:945,1,510,1,0,1250,7861,510,0 102,0,2024-09-07 09:36:40:985,123063,0.6,126845,0.7,257447,0.6,336697,2.00 102,1,2024-09-07 09:36:41:150,893032,893032,0,0,419929484689,4412789607,880844,10168,2020,369,391891,0 102,2,2024-09-07 09:36:41:737,643662,643608,54,0,30125045,0,6768 102,3,2024-09-07 09:36:41:619,1,510,1,0,466,6076,510,0 103,0,2024-09-07 09:36:41:589,129699,0.5,129767,0.7,244572,0.5,336451,2.00 103,1,2024-09-07 09:36:41:641,891601,891601,0,0,419127967892,4426651005,877566,10837,3198,381,391862,0 103,2,2024-09-07 09:36:40:582,641386,641386,0,0,31737734,0,3766 103,3,2024-09-07 09:36:40:762,1,510,1,0,916,6163,510,0 104,0,2024-09-07 09:36:41:034,130135,0.8,130363,0.9,259774,0.7,348534,2.25 104,1,2024-09-07 09:36:41:623,894364,894364,0,0,419460499730,4407918235,881324,10489,2551,365,392168,0 104,2,2024-09-07 09:36:41:684,642679,642679,0,0,30615100,0,3941 104,3,2024-09-07 09:36:41:418,1,510,4,0,1245,9108,510,0 105,0,2024-09-07 09:36:41:075,126857,1.0,123619,1.1,259165,1.2,340387,2.75 105,1,2024-09-07 09:36:40:587,895536,895536,0,0,420937019661,4411542784,883945,9823,1768,365,392009,0 105,2,2024-09-07 09:36:41:325,646050,646050,0,0,30882709,0,4360 105,3,2024-09-07 09:36:41:310,1,510,1,0,399,7321,510,0 106,0,2024-09-07 09:36:40:948,122421,0.7,125375,0.9,257018,0.8,335221,2.50 106,1,2024-09-07 09:36:41:751,894669,894669,0,0,419617012567,4404405905,882064,10958,1647,368,391914,0 106,2,2024-09-07 09:36:40:766,644960,644960,0,0,29209589,0,2920 106,3,2024-09-07 09:36:40:687,1,510,5,0,1224,7737,510,0 107,0,2024-09-07 09:36:41:100,125582,0.8,125841,0.8,251505,0.8,334725,2.00 107,1,2024-09-07 09:36:40:586,892660,892660,0,0,418874608337,4417998834,879764,11503,1393,381,392234,0 107,2,2024-09-07 09:36:41:297,639822,639821,1,0,31809946,0,5024 107,3,2024-09-07 09:36:41:755,1,510,2,0,733,7786,510,0 108,0,2024-09-07 09:36:41:908,128255,0.4,129082,0.6,256858,0.4,343072,1.75 108,1,2024-09-07 09:36:41:311,895222,895222,0,0,420878628944,4391485784,887326,6926,970,367,391857,0 108,2,2024-09-07 09:36:41:765,642553,642553,0,0,28641970,0,4246 108,3,2024-09-07 09:36:41:334,1,510,15,0,749,10239,510,0 109,0,2024-09-07 09:36:41:761,131818,0.4,130601,0.6,261932,0.4,349507,1.75 109,1,2024-09-07 09:36:40:610,892975,892975,0,0,420012065622,4407915713,884773,6986,1216,382,392132,0 109,2,2024-09-07 09:36:40:938,646314,646314,0,0,28616141,0,3617 109,3,2024-09-07 09:36:41:144,1,510,1,0,630,6476,510,0 110,0,2024-09-07 09:36:41:902,126359,0.4,122955,0.6,257554,0.3,337582,1.75 110,1,2024-09-07 09:36:41:740,896415,896415,0,0,421545519027,4380054240,888889,5604,1922,368,392045,0 110,2,2024-09-07 09:36:41:305,647374,647374,0,0,27099011,0,4067 110,3,2024-09-07 09:36:40:701,1,510,8,0,722,7196,510,0 111,0,2024-09-07 09:36:41:422,125972,0.3,125289,0.5,250924,0.3,334948,1.75 111,1,2024-09-07 09:36:41:010,898001,898001,0,0,422664241551,4383224378,893458,4186,357,380,391690,0 111,2,2024-09-07 09:36:41:127,640886,640886,0,0,27595892,0,4823 111,3,2024-09-07 09:36:40:913,1,510,1,0,379,5897,510,0 112,0,2024-09-07 09:36:40:937,128213,0.3,127614,0.4,256043,0.2,339840,1.50 112,1,2024-09-07 09:36:40:834,896805,896805,0,0,421526976582,4377560718,890911,5204,690,380,391624,0 112,2,2024-09-07 09:36:41:133,642952,642951,1,0,26243367,0,5036 112,3,2024-09-07 09:36:40:605,1,510,1,0,282,4947,510,0 113,0,2024-09-07 09:36:40:898,132050,0.3,131837,0.5,264110,0.3,352242,1.75 113,1,2024-09-07 09:36:41:685,899766,899766,0,0,422756047572,4363881464,894519,4434,813,365,391661,0 113,2,2024-09-07 09:36:41:309,650931,650931,0,0,23970476,0,3813 113,3,2024-09-07 09:36:40:698,1,510,9,0,340,5469,510,0 114,0,2024-09-07 09:36:40:881,126761,0.3,127696,0.5,253741,0.2,339411,1.75 114,1,2024-09-07 09:36:40:726,896643,896643,0,0,421503689695,4378860789,889740,5287,1616,381,391565,0 114,2,2024-09-07 09:36:40:873,648544,648543,1,0,25856150,0,5069 114,3,2024-09-07 09:36:41:278,1,510,3,0,395,4060,510,0 115,0,2024-09-07 09:36:40:552,126527,0.3,127013,0.4,253303,0.2,336460,1.50 115,1,2024-09-07 09:36:40:585,897482,897482,0,0,421765921380,4384274485,890083,6042,1357,382,391757,0 115,2,2024-09-07 09:36:41:129,645072,645072,0,0,25351743,0,4382 115,3,2024-09-07 09:36:41:002,1,510,2,0,167,2964,510,0 116,0,2024-09-07 09:36:41:704,125742,0.6,125637,0.8,251703,0.6,336587,2.00 116,1,2024-09-07 09:36:40:808,893041,893041,0,0,419545799666,4423042399,882400,8197,2444,380,392089,0 116,2,2024-09-07 09:36:41:751,641725,641725,0,0,32279248,0,4475 116,3,2024-09-07 09:36:40:915,1,510,16,0,415,6389,510,0 117,0,2024-09-07 09:36:40:957,131903,0.8,131336,0.8,263267,0.9,352093,2.00 117,1,2024-09-07 09:36:41:586,893545,893545,0,0,419925684475,4397235270,883567,8753,1225,369,392033,0 117,2,2024-09-07 09:36:41:119,647679,647679,0,0,28058942,0,4303 117,3,2024-09-07 09:36:41:063,1,510,2,0,490,7065,510,0 118,0,2024-09-07 09:36:41:769,123319,0.6,126796,0.7,258363,0.6,337768,2.00 118,1,2024-09-07 09:36:40:587,893401,893401,0,0,418872994044,4405541141,879906,10375,3120,366,392054,0 118,2,2024-09-07 09:36:41:586,645790,645790,0,0,30753978,0,2842 118,3,2024-09-07 09:36:41:778,1,510,2,0,248,5878,510,0 119,0,2024-09-07 09:36:41:335,126484,0.6,126902,0.8,253609,0.6,337429,2.00 119,1,2024-09-07 09:36:40:551,893913,893913,0,0,420487065052,4407650234,882248,9899,1766,367,391857,0 119,2,2024-09-07 09:36:41:275,645434,645434,0,0,28747239,0,4174 119,3,2024-09-07 09:36:41:326,1,510,0,0,1358,9720,510,0 120,0,2024-09-07 09:36:41:586,124618,0.6,124423,0.8,249901,0.6,332778,2.25 120,1,2024-09-07 09:36:40:870,894609,894609,0,0,420169743989,4404440395,885323,8507,779,368,392144,0 120,2,2024-09-07 09:36:40:791,641369,641368,1,0,33101180,0,5281 120,3,2024-09-07 09:36:41:296,1,510,1,0,279,6415,510,0 121,0,2024-09-07 09:36:41:705,129704,1.4,129149,1.1,258979,1.9,345928,2.50 121,1,2024-09-07 09:36:41:672,895100,895100,0,0,420285500122,4394181847,886377,8022,701,367,391840,0 121,2,2024-09-07 09:36:41:129,642270,642270,0,0,30272686,0,4157 121,3,2024-09-07 09:36:40:731,1,510,1,0,330,6160,510,0 122,0,2024-09-07 09:36:41:767,129459,0.9,126021,0.9,264103,1.0,346876,2.25 122,1,2024-09-07 09:36:40:867,893456,893456,0,0,420261029091,4409354506,881020,10551,1885,366,392130,0 122,2,2024-09-07 09:36:41:320,648440,648365,75,0,34713172,0,5989 122,3,2024-09-07 09:36:40:601,1,510,0,0,512,8744,510,0 123,0,2024-09-07 09:36:40:952,126383,0.8,123025,0.9,257607,0.9,337032,2.25 123,1,2024-09-07 09:36:40:563,894008,894008,0,0,420245473470,4422481465,878864,12641,2503,369,392039,0 123,2,2024-09-07 09:36:41:017,644613,644612,1,0,29497189,0,5215 123,3,2024-09-07 09:36:41:132,1,510,1,0,478,6012,510,0 124,0,2024-09-07 09:36:40:920,129524,0.3,129457,0.5,244007,0.3,335794,1.75 124,1,2024-09-07 09:36:41:036,897041,897041,0,0,420944444356,4377673925,890235,5675,1131,365,392178,0 124,2,2024-09-07 09:36:41:009,642628,642575,53,0,26908496,0,6487 124,3,2024-09-07 09:36:40:773,1,510,1,0,490,5389,510,0 125,0,2024-09-07 09:36:41:420,127672,0.4,127336,0.6,256020,0.3,340977,1.75 125,1,2024-09-07 09:36:40:930,895519,895519,0,0,420797563985,4393702338,888551,5986,982,382,391813,0 125,2,2024-09-07 09:36:41:116,645055,645055,0,0,26957627,0,4534 125,3,2024-09-07 09:36:41:130,1,510,2,0,709,6153,510,0 126,0,2024-09-07 09:36:41:416,132652,0.4,136386,0.6,260808,0.4,352981,1.75 126,1,2024-09-07 09:36:40:553,897876,897876,0,0,421590363040,4365232193,893208,4358,310,365,391987,0 126,2,2024-09-07 09:36:40:610,649947,649947,0,0,27854389,0,4539 126,3,2024-09-07 09:36:40:909,1,510,3,0,268,5794,510,0 127,0,2024-09-07 09:36:41:595,126327,0.3,126785,0.5,252856,0.3,336178,1.75 127,1,2024-09-07 09:36:40:569,896582,896582,0,0,420890405666,4382014047,887216,7862,1504,364,392187,0 127,2,2024-09-07 09:36:40:636,646189,646185,4,0,26514260,0,5305 127,3,2024-09-07 09:36:41:267,1,510,1,0,968,5171,510,0 128,0,2024-09-07 09:36:41:559,125994,0.3,126139,0.4,251906,0.2,334915,1.50 128,1,2024-09-07 09:36:41:606,895815,895815,0,0,421138151134,4376649505,889341,5740,734,367,392031,0 128,2,2024-09-07 09:36:41:383,643256,643256,0,0,24745746,0,3171 128,3,2024-09-07 09:36:40:767,1,510,1,0,1082,8289,510,0 129,0,2024-09-07 09:36:41:047,127842,0.3,127342,0.5,254935,0.3,339385,1.50 129,1,2024-09-07 09:36:40:570,893670,893670,0,0,419735276496,4401231184,884648,7077,1945,379,391962,0 129,2,2024-09-07 09:36:40:686,644235,644231,4,0,27040326,0,5335 129,3,2024-09-07 09:36:40:693,1,510,0,0,506,7269,510,0 130,0,2024-09-07 09:36:41:723,132528,0.5,132094,0.6,265072,0.4,352692,1.75 130,1,2024-09-07 09:36:40:583,896498,896498,0,0,421071449197,4375489014,891711,4399,388,381,391825,0 130,2,2024-09-07 09:36:41:132,648027,648027,0,0,27080693,0,4067 130,3,2024-09-07 09:36:41:308,1,510,1,0,960,7272,510,0 131,0,2024-09-07 09:36:41:944,126509,0.4,127011,0.5,254438,0.3,338075,1.75 131,1,2024-09-07 09:36:41:825,896067,896067,0,0,420683210147,4390462838,889190,5686,1191,381,391865,0 131,2,2024-09-07 09:36:40:570,647725,647725,0,0,25110061,0,3979 131,3,2024-09-07 09:36:41:688,1,510,1,0,392,6338,510,0 132,0,2024-09-07 09:36:41:478,126556,0.4,127688,0.6,254045,0.4,337811,2.00 132,1,2024-09-07 09:36:40:593,892482,892482,0,0,418946079412,4409198010,880020,10271,2191,381,392532,0 132,2,2024-09-07 09:36:40:698,643280,643263,17,0,32769169,0,6451 132,3,2024-09-07 09:36:41:694,1,510,3,0,804,8713,510,0 133,0,2024-09-07 09:36:41:540,122460,0.4,125390,0.6,257088,0.3,334661,1.75 133,1,2024-09-07 09:36:40:613,892399,892399,0,0,419336157018,4421612275,879896,10814,1689,383,391914,0 133,2,2024-09-07 09:36:41:094,642968,642918,50,0,33064904,0,6861 133,3,2024-09-07 09:36:41:297,1,510,1,0,479,6199,510,0 134,0,2024-09-07 09:36:40:953,131442,0.6,131282,0.7,262859,0.5,350758,2.25 134,1,2024-09-07 09:36:40:601,893938,893938,0,0,419928357358,4403452305,882579,9213,2146,366,391718,0 134,2,2024-09-07 09:36:41:755,643739,643715,24,0,31132551,0,6207 134,3,2024-09-07 09:36:40:767,1,510,11,0,739,6293,510,0 135,0,2024-09-07 09:36:41:100,124321,1.0,124222,0.9,263724,1.2,339790,2.25 135,1,2024-09-07 09:36:41:588,893423,893423,0,0,420739327527,4425681212,881794,10064,1565,380,391805,0 135,2,2024-09-07 09:36:40:689,647431,647431,0,0,31349944,0,4503 135,3,2024-09-07 09:36:41:002,1,510,0,0,900,5261,510,0 136,0,2024-09-07 09:36:41:629,127258,0.5,127469,0.7,253801,0.5,338345,2.00 136,1,2024-09-07 09:36:41:440,895237,895237,0,0,419680313126,4400811446,884871,9099,1267,381,392135,0 136,2,2024-09-07 09:36:41:132,647237,647222,15,0,30574521,0,6007 136,3,2024-09-07 09:36:41:106,1,510,1,0,637,6202,510,0 137,0,2024-09-07 09:36:40:921,129785,0.5,126484,0.7,247863,0.5,336019,2.00 137,1,2024-09-07 09:36:40:581,893356,893356,0,0,419321756861,4396521124,879930,10755,2671,366,391898,0 137,2,2024-09-07 09:36:41:715,640722,640722,0,0,31905754,0,3185 137,3,2024-09-07 09:36:40:776,1,510,24,0,484,6478,510,0 138,0,2024-09-07 09:36:41:743,127931,0.9,128162,0.9,256855,1.0,341583,2.25 138,1,2024-09-07 09:36:41:685,893933,893933,0,0,420123285369,4407558618,881202,10762,1969,368,391954,0 138,2,2024-09-07 09:36:40:586,642247,642247,0,0,29364715,0,4988 138,3,2024-09-07 09:36:40:621,1,510,0,0,1200,8376,510,0 139,0,2024-09-07 09:36:41:406,130011,1.3,130433,1.0,261059,1.9,347831,2.50 139,1,2024-09-07 09:36:40:573,889591,889591,0,0,418046399862,4435131915,873657,12474,3460,380,392058,0 139,2,2024-09-07 09:36:40:692,644390,644390,0,0,33021057,0,3097 139,3,2024-09-07 09:36:41:661,1,510,0,0,432,5938,510,0 140,0,2024-09-07 09:36:41:590,127102,0.3,126353,0.5,253342,0.2,337841,1.75 140,1,2024-09-07 09:36:41:544,898758,898758,0,0,422422957255,4356025043,894177,4043,538,364,391606,0 140,2,2024-09-07 09:36:40:690,648113,648112,1,0,25309533,0,5036 140,3,2024-09-07 09:36:40:780,1,510,7,0,297,4838,510,0 141,0,2024-09-07 09:36:41:700,125916,0.3,129156,0.4,246571,0.2,334709,1.50 141,1,2024-09-07 09:36:40:859,897444,897444,0,0,421531037140,4379951534,890339,6020,1085,379,391614,0 141,2,2024-09-07 09:36:41:688,641794,641783,11,0,26785246,0,5369 141,3,2024-09-07 09:36:41:048,1,510,1,0,391,5891,510,0 142,0,2024-09-07 09:36:41:318,128368,0.3,127422,0.5,255502,0.3,340629,1.50 142,1,2024-09-07 09:36:40:593,895839,895839,0,0,421425566894,4393430212,890181,5199,459,382,392102,0 142,2,2024-09-07 09:36:41:309,642479,642447,32,0,27366393,0,6028 142,3,2024-09-07 09:36:41:747,1,510,11,0,484,5414,510,0 143,0,2024-09-07 09:36:41:373,131896,0.5,131833,0.6,264483,0.4,352240,1.75 143,1,2024-09-07 09:36:40:557,897712,897712,0,0,421659731892,4377415949,891635,5521,556,367,391722,0 143,2,2024-09-07 09:36:40:777,649605,649605,0,0,26884463,0,3123 143,3,2024-09-07 09:36:41:143,1,510,3,0,462,6503,510,0 144,0,2024-09-07 09:36:41:519,122593,0.6,126072,0.8,256519,0.6,336392,2.00 144,1,2024-09-07 09:36:40:567,893282,893282,0,0,419377767324,4403359049,883897,7419,1966,381,391733,0 144,2,2024-09-07 09:36:41:754,647740,647740,0,0,25649070,0,3673 144,3,2024-09-07 09:36:41:739,1,510,35,0,249,5064,510,0 145,0,2024-09-07 09:36:41:361,121987,0.5,122051,0.8,258975,0.5,333133,2.25 145,1,2024-09-07 09:36:40:566,892408,892408,0,0,419105808713,4414033228,880052,9890,2466,382,391759,0 145,2,2024-09-07 09:36:41:431,640232,640150,82,0,31504689,0,7814 145,3,2024-09-07 09:36:40:895,1,510,1,0,622,7132,510,0 146,0,2024-09-07 09:36:41:594,126067,0.5,125586,0.7,252069,0.5,335015,2.00 146,1,2024-09-07 09:36:41:586,893840,893840,0,0,420158565738,4418307284,879417,10622,3801,367,391770,0 146,2,2024-09-07 09:36:41:709,641579,641573,6,0,29521530,0,5151 146,3,2024-09-07 09:36:41:279,1,510,3,0,1520,8791,510,0 147,0,2024-09-07 09:36:41:702,131910,0.6,131562,0.8,262745,0.6,351441,2.25 147,1,2024-09-07 09:36:41:380,897201,897201,0,0,421938407276,4385669397,889918,6404,879,367,391791,0 147,2,2024-09-07 09:36:41:009,645597,645597,0,0,26636573,0,2968 147,3,2024-09-07 09:36:40:913,1,510,2,0,1626,8450,510,0 0,0,2024-09-07 09:36:51:738,121801,0.6,121834,0.7,258491,0.6,333647,2.00 0,1,2024-09-07 09:36:50:826,895877,895877,0,0,420632809754,4406399111,888367,6670,840,369,391896,0 0,2,2024-09-07 09:36:51:068,645240,645240,0,0,26703081,0,4480 0,3,2024-09-07 09:36:50:986,1,511,1,0,431,7171,511,0 1,0,2024-09-07 09:36:51:826,130015,1.0,129420,1.0,259684,1.3,347604,2.00 1,1,2024-09-07 09:36:50:635,895139,895139,0,0,419876587706,4402080989,886429,7243,1467,370,391859,0 1,2,2024-09-07 09:36:50:651,645063,645063,0,0,26120734,0,3380 1,3,2024-09-07 09:36:51:315,1,511,12,0,269,6485,511,0 2,0,2024-09-07 09:36:51:568,129747,0.6,129752,0.8,259243,0.7,345562,2.00 2,1,2024-09-07 09:36:50:864,898164,898164,0,0,421864369613,4385708072,893192,4055,917,379,391745,0 2,2,2024-09-07 09:36:51:282,649891,649891,0,0,24855172,0,3594 2,3,2024-09-07 09:36:50:691,1,511,71,0,357,4732,511,0 3,0,2024-09-07 09:36:51:744,126944,0.4,126716,0.6,253129,0.3,337252,2.00 3,1,2024-09-07 09:36:51:618,897022,897022,0,0,421652458555,4391400950,889556,6764,702,379,391716,0 3,2,2024-09-07 09:36:51:146,648525,648502,23,0,25904083,0,5851 3,3,2024-09-07 09:36:51:758,1,511,0,0,207,3172,511,0 4,0,2024-09-07 09:36:51:842,122197,0.4,125563,0.5,256077,0.3,334901,1.75 4,1,2024-09-07 09:36:50:610,893164,893164,0,0,418787549483,4438900539,876862,12618,3684,370,391992,0 4,2,2024-09-07 09:36:51:023,639966,639966,0,0,31535035,0,4534 4,3,2024-09-07 09:36:51:034,1,511,18,0,448,6664,511,0 5,0,2024-09-07 09:36:51:419,127899,0.4,128451,0.6,256497,0.4,341374,1.75 5,1,2024-09-07 09:36:50:760,894606,894606,0,0,420824735439,4431023674,881874,10319,2413,367,392005,0 5,2,2024-09-07 09:36:51:841,641464,641464,0,0,30447892,0,3582 5,3,2024-09-07 09:36:51:787,1,511,2,0,457,7021,511,0 6,0,2024-09-07 09:36:50:916,132614,0.5,132142,0.7,264726,0.5,352495,2.00 6,1,2024-09-07 09:36:50:746,896704,896704,0,0,420496564143,4393655125,887186,8062,1456,379,391702,0 6,2,2024-09-07 09:36:51:116,649996,649978,18,0,29708986,0,5535 6,3,2024-09-07 09:36:51:280,1,511,21,0,710,6217,511,0 7,0,2024-09-07 09:36:51:533,125643,0.5,126590,0.7,252034,0.5,335643,2.00 7,1,2024-09-07 09:36:50:864,895029,895029,0,0,420897018655,4422274461,882107,10690,2232,382,391747,0 7,2,2024-09-07 09:36:50:770,648259,648259,0,0,28814028,0,4791 7,3,2024-09-07 09:36:50:853,1,511,1,0,552,5882,511,0 8,0,2024-09-07 09:36:51:397,125975,0.3,125662,0.5,252042,0.3,335413,1.75 8,1,2024-09-07 09:36:51:017,893564,893564,0,0,419906140058,4415166264,879386,11050,3128,366,392853,0 8,2,2024-09-07 09:36:50:790,638288,638286,2,0,34166304,0,5112 8,3,2024-09-07 09:36:50:602,1,511,5,0,538,7740,511,0 9,0,2024-09-07 09:36:51:107,127235,0.3,123791,0.5,259093,0.3,340100,1.75 9,1,2024-09-07 09:36:51:001,893619,893619,0,0,420843081290,4432644846,880208,10763,2648,369,392001,0 9,2,2024-09-07 09:36:51:099,643156,643155,1,0,30915932,0,5281 9,3,2024-09-07 09:36:51:786,1,511,4,0,1273,8648,511,0 10,0,2024-09-07 09:36:51:602,131983,0.4,131502,0.5,263885,0.3,351562,1.75 10,1,2024-09-07 09:36:50:589,896306,896306,0,0,419881004346,4400631252,883950,10266,2090,381,391869,0 10,2,2024-09-07 09:36:50:762,649071,649071,0,0,33607582,0,4264 10,3,2024-09-07 09:36:50:875,1,511,4,0,649,5317,511,0 11,0,2024-09-07 09:36:51:006,126614,0.5,122888,0.7,256921,0.5,338797,1.75 11,1,2024-09-07 09:36:50:601,896772,896772,0,0,421845501291,4424517723,883296,10014,3462,383,391756,0 11,2,2024-09-07 09:36:51:129,647408,647408,0,0,29668742,0,4130 11,3,2024-09-07 09:36:51:303,1,511,0,0,843,6890,511,0 12,0,2024-09-07 09:36:51:007,127441,0.4,127491,0.5,254973,0.3,338642,1.75 12,1,2024-09-07 09:36:50:987,896343,896343,0,0,420892382439,4392579112,888687,6778,878,370,391960,0 12,2,2024-09-07 09:36:51:544,643895,643895,0,0,29518021,0,4390 12,3,2024-09-07 09:36:51:059,1,511,1,0,386,6998,511,0 13,0,2024-09-07 09:36:51:384,126844,0.4,126618,0.6,252580,0.4,336844,1.75 13,1,2024-09-07 09:36:51:525,894655,894655,0,0,419963768077,4416175857,885039,7323,2293,382,391740,0 13,2,2024-09-07 09:36:50:607,645852,645852,0,0,26447508,0,3287 13,3,2024-09-07 09:36:51:788,1,511,2,0,522,7224,511,0 14,0,2024-09-07 09:36:50:569,131636,0.4,132645,0.6,263005,0.4,350877,1.75 14,1,2024-09-07 09:36:51:561,900442,900442,0,0,423651874904,4384981785,893536,6178,728,364,391673,0 14,2,2024-09-07 09:36:50:766,646633,646603,30,0,27884636,0,6104 14,3,2024-09-07 09:36:51:119,1,511,0,0,1168,5831,511,0 15,0,2024-09-07 09:36:51:556,127962,0.5,127440,0.7,255899,0.4,340735,2.00 15,1,2024-09-07 09:36:51:609,897796,897796,0,0,422028575438,4397031363,890066,6245,1485,381,391619,0 15,2,2024-09-07 09:36:50:997,650406,650406,0,0,23457430,0,3622 15,3,2024-09-07 09:36:51:409,1,511,1,0,1126,7306,511,0 16,0,2024-09-07 09:36:50:934,126866,0.5,127483,0.7,254164,0.5,338039,2.00 16,1,2024-09-07 09:36:50:600,897635,897635,0,0,421226739133,4406214560,889233,7104,1298,370,392194,0 16,2,2024-09-07 09:36:51:434,646702,646702,0,0,27726555,0,4719 16,3,2024-09-07 09:36:51:161,1,511,0,0,358,6515,511,0 17,0,2024-09-07 09:36:51:781,129781,0.5,126504,0.7,247802,0.5,335681,2.00 17,1,2024-09-07 09:36:50:619,895381,895381,0,0,420848336112,4417261181,886092,7542,1747,368,392075,0 17,2,2024-09-07 09:36:51:666,646019,646018,1,0,28450680,0,5050 17,3,2024-09-07 09:36:50:600,1,511,8,0,518,7786,511,0 18,0,2024-09-07 09:36:50:948,127531,0.6,128415,0.8,255982,0.6,342050,2.25 18,1,2024-09-07 09:36:51:641,899550,899550,0,0,422210108444,4381314696,893444,5110,996,367,391725,0 18,2,2024-09-07 09:36:51:755,646863,646863,0,0,25278825,0,3541 18,3,2024-09-07 09:36:50:897,1,511,1,0,1059,4892,511,0 19,0,2024-09-07 09:36:51:544,130606,0.7,130977,0.8,260378,0.7,346703,2.25 19,1,2024-09-07 09:36:50:571,898606,898606,0,0,422093830820,4378730027,891619,5903,1084,367,391777,0 19,2,2024-09-07 09:36:51:752,652434,652434,0,0,23774123,0,3988 19,3,2024-09-07 09:36:51:131,1,511,0,0,524,4190,511,0 20,0,2024-09-07 09:36:51:387,126493,0.5,126750,0.7,252982,0.5,337706,2.00 20,1,2024-09-07 09:36:50:619,895988,895988,0,0,421494837192,4416841102,886797,7827,1364,369,391922,0 20,2,2024-09-07 09:36:50:934,647650,647650,0,0,28769367,0,3721 20,3,2024-09-07 09:36:50:599,1,511,8,0,468,8869,511,0 21,0,2024-09-07 09:36:51:156,125838,0.4,125953,0.6,251878,0.4,334473,1.75 21,1,2024-09-07 09:36:51:550,894579,894579,0,0,420010421657,4433932688,880434,11027,3118,368,392016,0 21,2,2024-09-07 09:36:51:073,639197,639177,20,0,33551767,0,5617 21,3,2024-09-07 09:36:51:418,1,511,0,0,713,6685,511,0 22,0,2024-09-07 09:36:51:729,127676,0.5,128181,0.6,255902,0.4,339426,2.00 22,1,2024-09-07 09:36:51:023,895291,895291,0,0,420279721561,4426578782,880065,11999,3227,382,391822,0 22,2,2024-09-07 09:36:50:761,642972,642946,26,0,28198358,0,6328 22,3,2024-09-07 09:36:51:070,1,511,1,0,228,4336,511,0 23,0,2024-09-07 09:36:51:375,132090,0.5,131624,0.7,263440,0.5,351922,2.25 23,1,2024-09-07 09:36:51:003,896344,896344,0,0,421384267541,4424592185,880688,11248,4408,365,391690,0 23,2,2024-09-07 09:36:51:104,651048,651048,0,0,26869670,0,3773 23,3,2024-09-07 09:36:51:754,1,511,855,0,855,7649,511,0 24,0,2024-09-07 09:36:50:868,127712,0.4,126976,0.6,255132,0.4,339082,1.75 24,1,2024-09-07 09:36:50:596,895240,895240,0,0,420267456006,4401140865,886284,7282,1674,367,392269,0 24,2,2024-09-07 09:36:51:078,648016,648016,0,0,31756373,0,4438 24,3,2024-09-07 09:36:51:685,1,511,0,0,468,6575,511,0 25,0,2024-09-07 09:36:51:405,129726,0.4,126374,0.6,247885,0.4,337536,1.75 25,1,2024-09-07 09:36:50:581,894363,894363,0,0,420208716190,4432948164,879484,11907,2972,369,391928,0 25,2,2024-09-07 09:36:51:626,641707,641707,0,0,32807449,0,3978 25,3,2024-09-07 09:36:50:999,1,511,0,0,532,5638,511,0 26,0,2024-09-07 09:36:51:728,125872,0.4,123207,0.6,258486,0.3,336414,1.75 26,1,2024-09-07 09:36:51:550,897202,897202,0,0,420505534931,4404338885,884373,10377,2452,380,391748,0 26,2,2024-09-07 09:36:50:861,644059,644059,0,0,34653987,0,4689 26,3,2024-09-07 09:36:51:722,1,511,1,0,796,5704,511,0 27,0,2024-09-07 09:36:51:738,132244,0.4,132636,0.6,263731,0.4,352279,2.25 27,1,2024-09-07 09:36:51:676,898149,898149,0,0,422072649138,4396580478,889598,7282,1269,381,391626,0 27,2,2024-09-07 09:36:50:867,645415,645350,65,0,30285924,0,5699 27,3,2024-09-07 09:36:51:019,1,511,0,0,564,4498,511,0 28,0,2024-09-07 09:36:51:396,127693,0.4,127270,0.6,255434,0.4,340131,2.00 28,1,2024-09-07 09:36:50:798,898121,898121,0,0,422049677678,4401747940,890351,6056,1714,382,391698,0 28,2,2024-09-07 09:36:51:782,648183,648183,0,0,26848141,0,2915 28,3,2024-09-07 09:36:51:787,1,511,0,0,502,5186,511,0 29,0,2024-09-07 09:36:51:400,130375,0.4,127059,0.6,249136,0.3,339497,1.75 29,1,2024-09-07 09:36:51:565,900194,900194,0,0,422071690915,4367564273,894638,4794,762,368,391809,0 29,2,2024-09-07 09:36:50:861,645304,645304,0,0,26192054,0,4986 29,3,2024-09-07 09:36:50:987,1,511,1,0,459,5686,511,0 30,0,2024-09-07 09:36:51:457,125037,0.5,121583,0.7,254560,0.4,332602,2.00 30,1,2024-09-07 09:36:50:598,899789,899789,0,0,422841531106,4390070251,892814,6073,902,380,391672,0 30,2,2024-09-07 09:36:51:282,644500,644500,0,0,25154549,0,4192 30,3,2024-09-07 09:36:50:588,1,511,2,0,519,4986,511,0 31,0,2024-09-07 09:36:51:763,129980,0.5,130251,0.7,260277,0.4,347960,2.00 31,1,2024-09-07 09:36:50:597,902713,902713,0,0,424347872916,4358061742,897390,4312,1011,356,391712,0 31,2,2024-09-07 09:36:51:287,644483,644483,0,0,27802347,0,4470 31,3,2024-09-07 09:36:51:710,1,511,0,0,220,4274,511,0 32,0,2024-09-07 09:36:51:425,130381,0.4,131003,0.5,260852,0.3,347294,1.75 32,1,2024-09-07 09:36:50:804,899089,899089,0,0,423013032576,4398654983,893292,5090,707,381,391646,0 32,2,2024-09-07 09:36:50:938,650346,650346,0,0,24350270,0,3922 32,3,2024-09-07 09:36:51:016,1,511,10,0,304,4245,511,0 33,0,2024-09-07 09:36:51:492,127420,0.3,127014,0.4,254257,0.2,338315,1.50 33,1,2024-09-07 09:36:50:575,899720,899720,0,0,422512764914,4379012628,892493,6054,1173,368,391730,0 33,2,2024-09-07 09:36:50:758,649434,649399,35,0,26960147,0,7012 33,3,2024-09-07 09:36:50:897,1,511,1,0,329,4840,511,0 34,0,2024-09-07 09:36:50:934,126313,0.3,129739,0.4,247952,0.2,334254,1.75 34,1,2024-09-07 09:36:51:046,901604,901604,0,0,423610345628,4358151135,899096,2486,22,367,391562,0 34,2,2024-09-07 09:36:50:772,644261,644261,0,0,25427664,0,4562 34,3,2024-09-07 09:36:51:688,1,511,5,0,320,3993,511,0 35,0,2024-09-07 09:36:50:880,127351,0.3,128090,0.5,257234,0.2,342299,1.75 35,1,2024-09-07 09:36:51:069,898576,898576,0,0,421667019009,4376568148,891920,5443,1213,382,391769,0 35,2,2024-09-07 09:36:51:584,643964,643964,0,0,27968121,0,4055 35,3,2024-09-07 09:36:50:909,1,511,2,0,418,4854,511,0 36,0,2024-09-07 09:36:51:553,132899,0.5,132825,0.7,265645,0.5,353301,2.25 36,1,2024-09-07 09:36:50:607,897856,897856,0,0,421406843578,4404394266,885576,10061,2219,366,391759,0 36,2,2024-09-07 09:36:51:758,650587,650587,0,0,29330290,0,3875 36,3,2024-09-07 09:36:50:864,1,511,1,0,416,7148,511,0 37,0,2024-09-07 09:36:51:390,125964,0.5,125893,0.7,252069,0.5,336183,2.25 37,1,2024-09-07 09:36:50:574,896498,896491,0,7,421372725980,4402192805,885394,8423,2674,365,391770,0 37,2,2024-09-07 09:36:51:152,646511,646496,15,0,29647302,0,5815 37,3,2024-09-07 09:36:51:767,1,511,1,0,888,7266,511,0 38,0,2024-09-07 09:36:51:445,125102,0.4,121374,0.6,253991,0.4,332583,2.00 38,1,2024-09-07 09:36:51:609,898029,898029,0,0,421754178539,4411730638,884805,10705,2519,368,391821,0 38,2,2024-09-07 09:36:50:764,641412,641365,47,0,29765813,0,6710 38,3,2024-09-07 09:36:50:996,1,511,1,0,689,6661,511,0 39,0,2024-09-07 09:36:51:767,130374,0.5,127514,0.7,248412,0.5,339736,2.00 39,1,2024-09-07 09:36:50:722,895896,895896,0,0,420283416374,4412628798,880104,12323,3469,365,391865,0 39,2,2024-09-07 09:36:51:424,645048,645048,0,0,27591785,0,3391 39,3,2024-09-07 09:36:50:714,1,511,53,0,525,6181,511,0 40,0,2024-09-07 09:36:51:492,130592,0.8,131361,1.0,262274,0.9,349754,2.75 40,1,2024-09-07 09:36:50:581,898669,898669,0,0,420510421495,4399695857,886655,9836,2178,368,391668,0 40,2,2024-09-07 09:36:51:306,647584,647583,1,0,32811458,0,5137 40,3,2024-09-07 09:36:51:148,1,511,0,0,1028,7307,511,0 41,0,2024-09-07 09:36:51:025,126048,1.4,128678,1.2,245674,2.0,334747,3.25 41,1,2024-09-07 09:36:50:774,896249,896249,0,0,420764524698,4404025542,884378,9937,1934,369,391878,0 41,2,2024-09-07 09:36:50:759,645600,645599,1,0,31542505,0,5408 41,3,2024-09-07 09:36:51:685,1,511,1,0,366,5338,511,0 42,0,2024-09-07 09:36:51:478,126084,0.8,125726,1.0,251720,0.8,333514,2.50 42,1,2024-09-07 09:36:51:440,893770,893770,0,0,420210219827,4420149038,878761,12101,2908,380,391675,0 42,2,2024-09-07 09:36:51:140,643545,643545,0,0,30312004,0,3975 42,3,2024-09-07 09:36:51:012,1,511,0,0,892,4675,511,0 43,0,2024-09-07 09:36:50:921,124840,0.7,121613,0.9,254580,0.7,333993,2.25 43,1,2024-09-07 09:36:50:608,896844,896844,0,0,421680599329,4407407286,883977,10607,2260,366,391696,0 43,2,2024-09-07 09:36:51:737,644856,644856,0,0,30121822,0,4723 43,3,2024-09-07 09:36:51:754,1,511,1,0,571,7215,511,0 44,0,2024-09-07 09:36:50:867,131688,0.4,131775,0.6,264067,0.4,351403,2.00 44,1,2024-09-07 09:36:50:573,899638,899638,0,0,422138756626,4364059030,891843,6208,1587,356,391809,0 44,2,2024-09-07 09:36:51:282,644751,644751,0,0,25104486,0,4344 44,3,2024-09-07 09:36:51:096,1,511,20,0,817,5633,511,0 45,0,2024-09-07 09:36:51:756,126783,0.5,123777,0.8,259742,0.5,340965,2.00 45,1,2024-09-07 09:36:51:007,898238,898238,0,0,422669966017,4394763372,890728,6634,876,382,391917,0 45,2,2024-09-07 09:36:51:286,649644,649644,0,0,25443908,0,3596 45,3,2024-09-07 09:36:50:937,1,511,5,0,271,4539,511,0 46,0,2024-09-07 09:36:50:950,126366,0.5,125881,0.7,252641,0.4,335233,2.00 46,1,2024-09-07 09:36:50:608,900313,900313,0,0,422894462088,4372027351,893911,5539,863,366,391709,0 46,2,2024-09-07 09:36:50:601,647904,647904,0,0,25681486,0,4443 46,3,2024-09-07 09:36:51:144,1,511,1,0,908,6310,511,0 47,0,2024-09-07 09:36:51:103,126067,0.4,126157,0.6,253005,0.3,334604,1.75 47,1,2024-09-07 09:36:50:573,900871,900871,0,0,423011288015,4370683845,895645,4387,839,365,391641,0 47,2,2024-09-07 09:36:50:911,646262,646262,0,0,25443707,0,4477 47,3,2024-09-07 09:36:51:115,1,511,0,0,600,5844,511,0 48,0,2024-09-07 09:36:51:490,129394,0.3,129445,0.4,257905,0.2,344077,1.50 48,1,2024-09-07 09:36:51:022,898591,898591,0,0,421618167923,4382194229,892802,5216,573,384,391710,0 48,2,2024-09-07 09:36:50:710,645044,645044,0,0,23459116,0,3411 48,3,2024-09-07 09:36:50:755,1,511,0,0,339,4143,511,0 49,0,2024-09-07 09:36:51:768,134626,0.4,132139,0.5,256770,0.3,350714,1.75 49,1,2024-09-07 09:36:51:022,898240,898240,0,0,422319610625,4391536126,892676,4353,1211,382,391809,0 49,2,2024-09-07 09:36:51:797,651095,651095,0,0,26100455,0,4426 49,3,2024-09-07 09:36:51:423,1,511,2,0,992,6049,511,0 50,0,2024-09-07 09:36:51:535,127356,0.3,125668,0.5,253238,0.2,337430,1.75 50,1,2024-09-07 09:36:51:017,901232,901232,0,0,423497550286,4380203103,895288,5277,667,368,391565,0 50,2,2024-09-07 09:36:51:074,648195,648195,0,0,23908403,0,4490 50,3,2024-09-07 09:36:51:305,1,511,1,0,617,5426,511,0 51,0,2024-09-07 09:36:51:698,129196,0.3,126374,0.4,246197,0.2,336070,1.75 51,1,2024-09-07 09:36:51:688,901679,901679,0,0,423779583230,4370957449,896915,3709,1055,365,391706,0 51,2,2024-09-07 09:36:51:324,643164,643164,0,0,23104542,0,3337 51,3,2024-09-07 09:36:51:027,1,511,1,0,678,3761,511,0 52,0,2024-09-07 09:36:51:421,128317,0.5,127878,0.7,256144,0.4,340368,2.00 52,1,2024-09-07 09:36:50:578,897609,897609,0,0,421380035236,4413700437,884385,11119,2105,368,391722,0 52,2,2024-09-07 09:36:51:757,640795,640757,38,0,30723160,0,6742 52,3,2024-09-07 09:36:50:693,1,511,4,0,1782,6647,511,0 53,0,2024-09-07 09:36:51:734,131730,0.7,127867,0.8,267352,0.8,351483,2.50 53,1,2024-09-07 09:36:50:771,895835,895835,0,0,421425813002,4425058886,880480,11511,3844,367,391968,0 53,2,2024-09-07 09:36:51:305,650557,650556,1,0,27628769,0,5455 53,3,2024-09-07 09:36:50:709,1,511,1,0,308,5132,511,0 54,0,2024-09-07 09:36:51:617,124804,0.6,125214,0.8,249201,0.5,332941,2.25 54,1,2024-09-07 09:36:50:607,897831,897831,0,0,422049433357,4392615588,887767,8430,1634,366,391810,0 54,2,2024-09-07 09:36:50:865,648645,648613,32,0,31397505,0,6397 54,3,2024-09-07 09:36:50:765,1,511,1,0,676,6987,511,0 55,0,2024-09-07 09:36:51:777,121844,0.6,125741,0.7,254690,0.5,331778,2.50 55,1,2024-09-07 09:36:50:766,898878,898878,0,0,421498252080,4384913228,889222,8337,1319,365,391731,0 55,2,2024-09-07 09:36:50:734,642251,642195,56,0,29622211,0,7239 55,3,2024-09-07 09:36:50:674,1,511,4,0,304,4840,511,0 56,0,2024-09-07 09:36:51:577,129156,1.1,121709,1.1,250784,1.5,335795,2.75 56,1,2024-09-07 09:36:50:575,893568,893568,0,0,419953522329,4436365077,879254,11402,2912,381,391867,0 56,2,2024-09-07 09:36:51:304,644264,644142,122,0,30785692,0,7432 56,3,2024-09-07 09:36:51:059,1,511,6,0,705,6218,511,0 57,0,2024-09-07 09:36:50:983,130208,1.6,130190,1.3,260628,2.2,348771,3.25 57,1,2024-09-07 09:36:50:992,895182,895182,0,0,420582675160,4414551122,883004,9893,2285,366,392032,0 57,2,2024-09-07 09:36:51:323,647610,647610,0,0,31705350,0,4317 57,3,2024-09-07 09:36:51:741,1,511,23,0,455,5803,511,0 58,0,2024-09-07 09:36:50:558,125473,1.0,121728,1.0,254794,1.2,333546,2.75 58,1,2024-09-07 09:36:50:600,896836,896833,0,3,421215178435,4416146873,883047,10466,3320,367,391675,3 58,2,2024-09-07 09:36:51:074,647684,647684,0,0,30043685,0,3483 58,3,2024-09-07 09:36:51:071,1,511,0,0,1043,5659,511,0 59,0,2024-09-07 09:36:51:743,126243,0.8,126216,1.0,251590,0.9,333651,2.75 59,1,2024-09-07 09:36:50:804,895510,895510,0,0,420873769748,4421491771,880770,11601,3139,369,391653,0 59,2,2024-09-07 09:36:50:596,645393,645393,0,0,28787504,0,3727 59,3,2024-09-07 09:36:51:738,1,511,1,0,1015,6429,511,0 60,0,2024-09-07 09:36:51:757,125243,0.5,125537,0.7,251243,0.5,333494,1.75 60,1,2024-09-07 09:36:50:785,900004,900004,0,0,422499275303,4386307006,893827,5245,932,370,392031,0 60,2,2024-09-07 09:36:51:154,644297,644297,0,0,27426886,0,3811 60,3,2024-09-07 09:36:51:269,1,511,1,0,409,6084,511,0 61,0,2024-09-07 09:36:51:507,129949,0.8,130480,0.8,259915,0.8,347595,2.00 61,1,2024-09-07 09:36:50:785,896588,896588,0,0,420895882256,4409452270,886718,8290,1580,382,392127,0 61,2,2024-09-07 09:36:51:136,645273,645206,67,0,28382084,0,6411 61,3,2024-09-07 09:36:51:687,1,511,1,0,607,7452,511,0 62,0,2024-09-07 09:36:51:720,130585,0.7,133943,0.8,255679,0.7,347110,2.00 62,1,2024-09-07 09:36:51:115,901826,901820,0,6,424230082066,4373049943,897666,3874,280,365,391975,6 62,2,2024-09-07 09:36:51:650,647337,647336,1,0,27697381,0,5555 62,3,2024-09-07 09:36:51:144,1,511,2,0,482,4197,511,0 63,0,2024-09-07 09:36:51:452,127382,0.4,127102,0.6,255187,0.4,338754,1.75 63,1,2024-09-07 09:36:50:804,899241,899235,0,6,422091723168,4383109560,893484,5000,751,381,391800,6 63,2,2024-09-07 09:36:50:762,647697,647697,0,0,26744563,0,4369 63,3,2024-09-07 09:36:51:734,1,511,1,0,667,5555,511,0 64,0,2024-09-07 09:36:51:520,125548,0.5,125571,0.6,250735,0.4,333453,2.00 64,1,2024-09-07 09:36:50:750,898802,898802,0,0,421943522703,4395620363,890914,6117,1771,370,391794,0 64,2,2024-09-07 09:36:51:154,647091,647072,19,0,25683433,0,6121 64,3,2024-09-07 09:36:51:143,1,511,2,0,651,5450,511,0 65,0,2024-09-07 09:36:51:680,127193,0.6,127504,0.8,254337,0.7,339740,2.00 65,1,2024-09-07 09:36:50:865,896986,896986,0,0,421109148611,4403145844,890240,5950,796,381,391901,0 65,2,2024-09-07 09:36:51:698,644170,644170,0,0,30153215,0,3367 65,3,2024-09-07 09:36:51:684,1,511,1,0,782,6118,511,0 66,0,2024-09-07 09:36:51:773,132057,0.6,131622,0.8,263344,0.6,350465,2.25 66,1,2024-09-07 09:36:51:304,898676,898676,0,0,421958617107,4393957129,892528,5426,722,380,391743,0 66,2,2024-09-07 09:36:51:140,652294,652291,3,0,27593516,0,5455 66,3,2024-09-07 09:36:51:079,1,511,1,0,291,4496,511,0 67,0,2024-09-07 09:36:51:429,126373,0.5,125998,0.7,252727,0.5,336488,2.00 67,1,2024-09-07 09:36:50:766,898841,898840,0,1,422233825865,4397422878,892569,5457,814,380,391787,1 67,2,2024-09-07 09:36:50:600,650695,650680,15,0,26648436,0,6205 67,3,2024-09-07 09:36:51:765,1,511,1,0,595,5492,511,0 68,0,2024-09-07 09:36:50:612,125959,0.5,125840,0.7,250711,0.5,334973,2.00 68,1,2024-09-07 09:36:50:607,895136,895136,0,0,420394460976,4423211056,882898,8838,3400,381,391953,0 68,2,2024-09-07 09:36:51:056,638989,638889,100,0,34158864,0,8578 68,3,2024-09-07 09:36:50:736,1,511,18,0,417,6732,511,0 69,0,2024-09-07 09:36:51:732,126526,0.6,127467,0.8,253889,0.7,337721,2.25 69,1,2024-09-07 09:36:51:016,894012,894012,0,0,419474714115,4422922848,881812,9441,2759,384,391994,0 69,2,2024-09-07 09:36:51:740,643248,643219,29,0,35130090,0,6912 69,3,2024-09-07 09:36:50:768,1,511,0,0,698,7718,511,0 70,0,2024-09-07 09:36:51:533,130492,0.9,130964,1.0,263253,0.9,348580,2.50 70,1,2024-09-07 09:36:50:804,899488,899488,0,0,422706517953,4387914557,892237,6489,762,366,391725,0 70,2,2024-09-07 09:36:51:326,649076,649076,0,0,29691663,0,4323 70,3,2024-09-07 09:36:50:744,1,511,0,0,854,5683,511,0 71,0,2024-09-07 09:36:51:357,125385,1.2,125087,1.1,251445,1.7,335928,3.00 71,1,2024-09-07 09:36:51:600,897079,897079,0,0,421251943470,4399968479,885687,10137,1255,368,391738,0 71,2,2024-09-07 09:36:51:070,647217,647217,0,0,30389989,0,4352 71,3,2024-09-07 09:36:51:754,1,511,1,0,644,6100,511,0 72,0,2024-09-07 09:36:51:039,130803,0.5,127835,0.7,249414,0.5,338940,2.00 72,1,2024-09-07 09:36:51:026,895481,895481,0,0,420653470113,4415653098,882099,11100,2282,369,391819,0 72,2,2024-09-07 09:36:51:766,643137,643137,0,0,31492897,0,3983 72,3,2024-09-07 09:36:51:757,1,511,1,0,564,7393,511,0 73,0,2024-09-07 09:36:51:122,122734,0.4,126016,0.6,257348,0.4,334895,2.00 73,1,2024-09-07 09:36:50:785,898816,898816,0,0,422036522655,4383346391,892590,5801,425,367,391858,0 73,2,2024-09-07 09:36:51:742,643775,643775,0,0,31964795,0,4728 73,3,2024-09-07 09:36:50:971,1,511,0,0,486,6439,511,0 74,0,2024-09-07 09:36:51:320,132509,0.5,135690,0.7,258793,0.5,351737,2.00 74,1,2024-09-07 09:36:50:635,896876,896876,0,0,420729803720,4395719178,886427,8525,1924,381,391762,0 74,2,2024-09-07 09:36:51:014,645627,645627,0,0,27876118,0,4253 74,3,2024-09-07 09:36:51:442,1,511,1,0,522,6194,511,0 75,0,2024-09-07 09:36:51:775,128557,0.6,127639,0.8,256570,0.6,342410,2.25 75,1,2024-09-07 09:36:51:584,897927,897927,0,0,421399170457,4394763638,890043,7024,860,380,391739,0 75,2,2024-09-07 09:36:51:350,647293,647293,0,0,33358006,0,4766 75,3,2024-09-07 09:36:51:070,1,511,1,0,702,6152,511,0 76,0,2024-09-07 09:36:50:611,126401,0.5,125663,0.7,251938,0.5,336788,2.25 76,1,2024-09-07 09:36:50:833,897040,897040,0,0,421157575710,4394556676,890420,5653,967,382,391692,0 76,2,2024-09-07 09:36:51:064,649397,649394,3,0,28371260,0,5265 76,3,2024-09-07 09:36:51:172,1,511,8,0,227,4825,511,0 77,0,2024-09-07 09:36:51:705,125400,0.5,125861,0.7,251839,0.5,333651,2.00 77,1,2024-09-07 09:36:50:830,898055,898055,0,0,422148821661,4404382079,891225,6236,594,381,391869,0 77,2,2024-09-07 09:36:51:281,643159,643159,0,0,27842617,0,3890 77,3,2024-09-07 09:36:51:105,1,511,2,0,401,5503,511,0 78,0,2024-09-07 09:36:51:730,129143,0.4,128671,0.6,258153,0.4,342492,2.00 78,1,2024-09-07 09:36:50:620,897541,897541,0,0,420726178606,4389668565,886775,8240,2526,367,391670,0 78,2,2024-09-07 09:36:51:410,645755,645742,13,0,25921818,0,8313 78,3,2024-09-07 09:36:51:140,1,511,3,0,311,4513,511,0 79,0,2024-09-07 09:36:51:345,126721,0.4,129689,0.6,265678,0.4,345554,2.25 79,1,2024-09-07 09:36:50:576,900288,900288,0,0,422510796474,4379849872,892556,6375,1357,367,391682,0 79,2,2024-09-07 09:36:51:071,650361,650361,0,0,24997993,0,4195 79,3,2024-09-07 09:36:50:753,1,511,1,0,418,6256,511,0 80,0,2024-09-07 09:36:51:096,126561,0.5,130020,0.7,248854,0.5,336935,2.00 80,1,2024-09-07 09:36:51:627,897453,897453,0,0,421837492344,4392068642,891631,5396,426,368,392269,0 80,2,2024-09-07 09:36:51:096,650238,650238,0,0,25566437,0,4433 80,3,2024-09-07 09:36:50:601,1,511,110,0,296,6187,511,0 81,0,2024-09-07 09:36:51:570,126033,0.5,128938,0.7,245878,0.5,334519,2.00 81,1,2024-09-07 09:36:51:665,896415,896415,0,0,420293133004,4395538007,889018,6759,638,382,391885,0 81,2,2024-09-07 09:36:51:140,641766,641703,63,0,28469357,0,5932 81,3,2024-09-07 09:36:51:144,1,511,11,0,719,5940,511,0 82,0,2024-09-07 09:36:51:589,127500,0.5,127629,0.7,255726,0.4,339522,2.00 82,1,2024-09-07 09:36:50:607,898835,898831,0,4,422648210205,4395199737,893753,4258,820,381,391768,4 82,2,2024-09-07 09:36:51:694,646139,646139,0,0,23726245,0,4484 82,3,2024-09-07 09:36:51:753,1,511,1,0,363,4966,511,0 83,0,2024-09-07 09:36:51:556,132332,0.6,132343,0.8,264018,0.7,351684,2.25 83,1,2024-09-07 09:36:50:573,897977,897977,0,0,422029258982,4400876592,891624,5900,453,382,391709,0 83,2,2024-09-07 09:36:50:775,650008,649983,25,0,25776460,0,5612 83,3,2024-09-07 09:36:50:749,1,511,0,0,1260,6469,511,0 84,0,2024-09-07 09:36:51:770,125680,0.8,125882,0.9,251392,0.7,336323,2.25 84,1,2024-09-07 09:36:51:081,896327,896327,0,0,420903465319,4402289219,886131,8675,1521,367,391967,0 84,2,2024-09-07 09:36:50:584,647306,647276,30,0,33912860,0,5971 84,3,2024-09-07 09:36:51:152,1,511,1,0,908,7350,511,0 85,0,2024-09-07 09:36:51:046,121427,0.6,121397,0.8,257689,0.5,333267,2.25 85,1,2024-09-07 09:36:50:601,893215,893215,0,0,420220841661,4440273045,878954,11603,2658,381,392092,0 85,2,2024-09-07 09:36:50:866,641740,641740,0,0,31524512,0,4255 85,3,2024-09-07 09:36:50:700,1,511,1,0,789,5951,511,0 86,0,2024-09-07 09:36:50:897,126196,0.6,129828,0.7,248298,0.6,336149,2.25 86,1,2024-09-07 09:36:50:872,896154,896154,0,0,420916392827,4412722244,884864,9315,1975,366,391995,0 86,2,2024-09-07 09:36:50:867,642655,642654,1,0,34004149,0,5004 86,3,2024-09-07 09:36:50:603,1,511,9,0,308,7469,511,0 87,0,2024-09-07 09:36:51:297,131950,0.9,131790,0.9,263448,1.2,352540,2.25 87,1,2024-09-07 09:36:50:561,895176,895176,0,0,420115226655,4405261707,882983,10478,1715,366,392076,0 87,2,2024-09-07 09:36:51:071,646903,646897,6,0,29824271,0,6323 87,3,2024-09-07 09:36:51:800,1,511,2,0,473,7607,511,0 88,0,2024-09-07 09:36:51:474,127178,0.5,127790,0.6,255408,0.5,339678,2.00 88,1,2024-09-07 09:36:50:588,894527,894527,0,0,420840986963,4409880070,881908,10005,2614,365,392084,0 88,2,2024-09-07 09:36:50:697,648067,648067,0,0,32216685,0,4465 88,3,2024-09-07 09:36:51:300,1,511,1,0,435,6505,511,0 89,0,2024-09-07 09:36:51:782,130578,0.4,126555,0.6,249967,0.4,339483,1.75 89,1,2024-09-07 09:36:50:561,895215,895215,0,0,420955148583,4429367411,883812,9588,1815,382,391866,0 89,2,2024-09-07 09:36:51:173,645175,645175,0,0,30592434,0,3173 89,3,2024-09-07 09:36:51:801,1,511,1,0,468,8419,511,0 90,0,2024-09-07 09:36:51:649,121681,0.4,125127,0.6,254856,0.4,332404,1.75 90,1,2024-09-07 09:36:50:601,896558,896558,0,0,420407478870,4401935978,888056,7769,733,380,391825,0 90,2,2024-09-07 09:36:51:414,641891,641891,0,0,32752988,0,3608 90,3,2024-09-07 09:36:50:938,1,511,3,0,322,6232,511,0 91,0,2024-09-07 09:36:50:970,130562,0.5,126574,0.6,264705,0.4,348072,1.75 91,1,2024-09-07 09:36:50:580,894793,894793,0,0,420254258175,4420781305,883225,9556,2012,381,392047,0 91,2,2024-09-07 09:36:51:337,645760,645760,0,0,28793130,0,4713 91,3,2024-09-07 09:36:50:601,1,511,2,0,216,4869,511,0 92,0,2024-09-07 09:36:51:468,131614,0.5,134506,0.6,256565,0.5,347316,1.75 92,1,2024-09-07 09:36:50:603,896793,896793,0,0,421118164907,4401330345,889289,6299,1205,381,392136,0 92,2,2024-09-07 09:36:51:357,649797,649797,0,0,26210329,0,3259 92,3,2024-09-07 09:36:51:045,1,511,5,0,167,4351,511,0 93,0,2024-09-07 09:36:51:007,127740,0.4,130928,0.6,249967,0.4,338661,1.75 93,1,2024-09-07 09:36:50:819,897305,897305,0,0,421098621785,4398795450,887027,8545,1733,366,391776,0 93,2,2024-09-07 09:36:50:935,647796,647796,0,0,31905092,0,4913 93,3,2024-09-07 09:36:51:410,1,511,2,0,190,4684,511,0 94,0,2024-09-07 09:36:51:652,125636,0.3,126393,0.5,252564,0.3,335270,1.75 94,1,2024-09-07 09:36:50:596,897557,897557,0,0,422078644406,4403293011,891462,5722,373,381,391850,0 94,2,2024-09-07 09:36:50:763,642807,642779,28,0,27189702,0,6179 94,3,2024-09-07 09:36:51:688,1,511,0,0,576,6542,511,0 95,0,2024-09-07 09:36:51:433,128336,0.3,127939,0.5,256454,0.3,342327,1.75 95,1,2024-09-07 09:36:50:863,898724,898724,0,0,422187939671,4389278215,891375,6692,657,365,391786,0 95,2,2024-09-07 09:36:51:054,644049,644049,0,0,25946416,0,3308 95,3,2024-09-07 09:36:51:710,1,511,2,0,718,8016,511,0 96,0,2024-09-07 09:36:51:119,132855,0.4,132922,0.5,265743,0.3,352743,1.75 96,1,2024-09-07 09:36:51:602,897435,897435,0,0,420879528475,4391281392,891052,5471,912,384,391955,0 96,2,2024-09-07 09:36:51:282,650945,650945,0,0,27096803,0,4180 96,3,2024-09-07 09:36:51:146,1,511,4,0,411,5483,511,0 97,0,2024-09-07 09:36:51:372,126451,0.3,126178,0.5,253449,0.3,336746,1.75 97,1,2024-09-07 09:36:50:764,898963,898963,0,0,422846327985,4387253916,893216,4804,943,367,392140,0 97,2,2024-09-07 09:36:50:619,649501,649501,0,0,26525739,0,3679 97,3,2024-09-07 09:36:50:583,1,511,1,0,242,5990,511,0 98,0,2024-09-07 09:36:51:699,125414,0.3,125599,0.4,251905,0.2,334645,1.50 98,1,2024-09-07 09:36:50:613,898397,898397,0,0,421679164793,4392315301,893000,4599,798,381,391997,0 98,2,2024-09-07 09:36:50:790,642649,642649,0,0,26056088,0,4336 98,3,2024-09-07 09:36:50:710,1,511,17,0,840,7959,511,0 99,0,2024-09-07 09:36:51:449,127228,0.3,127841,0.5,254402,0.3,340216,1.75 99,1,2024-09-07 09:36:51:723,898572,898572,0,0,420898072693,4382532048,892611,5035,926,380,392069,0 99,2,2024-09-07 09:36:51:425,645347,645347,0,0,31341144,0,4276 99,3,2024-09-07 09:36:50:600,1,511,1,0,606,5205,511,0 100,0,2024-09-07 09:36:51:487,131702,0.9,132039,1.0,263379,1.1,351968,2.50 100,1,2024-09-07 09:36:50:561,893346,893346,0,0,419268392354,4435722717,879013,11235,3098,378,391989,0 100,2,2024-09-07 09:36:51:821,646347,646336,11,0,30815990,0,5417 100,3,2024-09-07 09:36:51:732,1,511,4,0,627,9362,511,0 101,0,2024-09-07 09:36:51:700,129317,1.3,126041,1.1,246881,1.4,338076,2.50 101,1,2024-09-07 09:36:50:573,894596,894596,0,0,420259247120,4417584402,882323,9873,2400,368,391847,0 101,2,2024-09-07 09:36:51:755,643883,643883,0,0,35820823,0,4871 101,3,2024-09-07 09:36:50:963,1,511,40,0,1250,7901,511,0 102,0,2024-09-07 09:36:51:019,123222,0.6,127009,0.7,257832,0.6,337167,2.00 102,1,2024-09-07 09:36:51:152,894785,894785,0,0,420698603419,4420681510,882597,10168,2020,369,391891,0 102,2,2024-09-07 09:36:51:737,644249,644195,54,0,30139501,0,6768 102,3,2024-09-07 09:36:51:617,1,511,0,0,466,6076,511,0 103,0,2024-09-07 09:36:51:601,130034,0.5,130119,0.7,245251,0.5,337407,2.00 103,1,2024-09-07 09:36:51:638,893463,893463,0,0,419991631822,4435612266,879427,10838,3198,381,391862,0 103,2,2024-09-07 09:36:50:596,642832,642832,0,0,31804267,0,3766 103,3,2024-09-07 09:36:50:774,1,511,2,0,916,6165,511,0 104,0,2024-09-07 09:36:51:044,130581,0.7,130770,0.9,260680,0.7,349676,2.25 104,1,2024-09-07 09:36:51:628,896178,896178,0,0,420218164880,4415698965,883137,10490,2551,365,392168,0 104,2,2024-09-07 09:36:51:667,644209,644209,0,0,30636472,0,3941 104,3,2024-09-07 09:36:51:420,1,511,2,0,1245,9110,511,0 105,0,2024-09-07 09:36:51:044,126973,1.0,123728,1.1,259403,1.2,340692,2.75 105,1,2024-09-07 09:36:50:579,897344,897344,0,0,421554772461,4417849198,885753,9823,1768,365,392009,0 105,2,2024-09-07 09:36:51:327,647192,647192,0,0,30896126,0,4360 105,3,2024-09-07 09:36:51:306,1,511,1,0,399,7322,511,0 106,0,2024-09-07 09:36:50:961,122549,0.7,125495,0.9,257270,0.8,335569,2.50 106,1,2024-09-07 09:36:51:804,896426,896426,0,0,420437999298,4412767214,883821,10958,1647,368,391914,0 106,2,2024-09-07 09:36:50:771,646059,646059,0,0,29221028,0,2920 106,3,2024-09-07 09:36:50:723,1,511,10,0,1224,7747,511,0 107,0,2024-09-07 09:36:51:102,125596,0.8,125856,0.8,251534,0.8,334725,2.00 107,1,2024-09-07 09:36:50:596,894372,894372,0,0,419550255183,4424925421,881476,11503,1393,381,392234,0 107,2,2024-09-07 09:36:51:305,641400,641399,1,0,31827496,0,5024 107,3,2024-09-07 09:36:51:760,1,511,21,0,733,7807,511,0 108,0,2024-09-07 09:36:51:858,128639,0.4,129444,0.6,257549,0.4,344036,1.75 108,1,2024-09-07 09:36:51:326,896926,896926,0,0,421600477491,4398867098,889027,6929,970,367,391857,0 108,2,2024-09-07 09:36:51:790,643770,643770,0,0,28655774,0,4246 108,3,2024-09-07 09:36:51:337,1,511,26,0,749,10265,511,0 109,0,2024-09-07 09:36:51:866,131920,0.4,130699,0.6,262108,0.4,349755,1.75 109,1,2024-09-07 09:36:50:622,894732,894732,0,0,420791158013,4415917951,886525,6991,1216,382,392132,0 109,2,2024-09-07 09:36:50:945,647496,647496,0,0,28637392,0,3617 109,3,2024-09-07 09:36:51:150,1,511,16,0,630,6492,511,0 110,0,2024-09-07 09:36:51:795,126590,0.4,123151,0.6,258011,0.3,338155,1.75 110,1,2024-09-07 09:36:51:652,898195,898195,0,0,422151839153,4386316625,890667,5606,1922,368,392045,0 110,2,2024-09-07 09:36:51:304,648710,648710,0,0,27126408,0,4067 110,3,2024-09-07 09:36:50:692,1,511,1,0,722,7197,511,0 111,0,2024-09-07 09:36:51:422,126303,0.3,125626,0.5,251614,0.3,335847,1.75 111,1,2024-09-07 09:36:51:002,899861,899861,0,0,423432521214,4391079818,895318,4186,357,380,391690,0 111,2,2024-09-07 09:36:51:120,642183,642183,0,0,27607667,0,4823 111,3,2024-09-07 09:36:50:913,1,511,0,0,379,5897,511,0 112,0,2024-09-07 09:36:50:911,128472,0.3,127888,0.4,256586,0.2,340535,1.50 112,1,2024-09-07 09:36:50:828,898556,898556,0,0,422144144673,4383891465,892662,5204,690,380,391624,0 112,2,2024-09-07 09:36:51:147,644145,644144,1,0,26271704,0,5036 112,3,2024-09-07 09:36:50:600,1,511,0,0,282,4947,511,0 113,0,2024-09-07 09:36:50:873,132605,0.3,132395,0.5,265210,0.3,353770,1.75 113,1,2024-09-07 09:36:51:687,901495,901495,0,0,423470021461,4371168511,896248,4434,813,365,391661,0 113,2,2024-09-07 09:36:51:304,652422,652422,0,0,23990863,0,3813 113,3,2024-09-07 09:36:50:693,1,511,1,0,340,5470,511,0 114,0,2024-09-07 09:36:50:886,127109,0.3,128023,0.5,254389,0.2,340253,1.75 114,1,2024-09-07 09:36:50:716,898392,898392,0,0,422408123076,4388056178,891487,5289,1616,381,391565,0 114,2,2024-09-07 09:36:50:875,649892,649891,1,0,25871062,0,5069 114,3,2024-09-07 09:36:51:285,1,511,173,0,395,4233,511,0 115,0,2024-09-07 09:36:50:563,126691,0.3,127178,0.4,253602,0.2,336876,1.50 115,1,2024-09-07 09:36:50:571,899224,899224,0,0,422460053673,4391411323,891825,6042,1357,382,391757,0 115,2,2024-09-07 09:36:51:130,645969,645969,0,0,25361935,0,4382 115,3,2024-09-07 09:36:51:001,1,511,1,0,167,2965,511,0 116,0,2024-09-07 09:36:51:714,125982,0.6,125866,0.8,252182,0.6,337232,2.00 116,1,2024-09-07 09:36:50:804,894821,894821,0,0,420428216471,4432184438,884179,8198,2444,380,392089,0 116,2,2024-09-07 09:36:51:759,643152,643152,0,0,32345511,0,4475 116,3,2024-09-07 09:36:50:918,1,511,3,0,415,6392,511,0 117,0,2024-09-07 09:36:51:015,132239,0.8,131749,0.8,263999,0.9,353144,2.00 117,1,2024-09-07 09:36:51:586,895321,895321,0,0,420912684469,4407307343,885341,8755,1225,369,392033,0 117,2,2024-09-07 09:36:51:133,649075,649075,0,0,28168885,0,4303 117,3,2024-09-07 09:36:51:079,1,511,8,0,490,7073,511,0 118,0,2024-09-07 09:36:51:803,123476,0.6,126950,0.7,258725,0.6,338239,2.00 118,1,2024-09-07 09:36:50:612,895142,895142,0,0,419901353961,4416103643,881647,10375,3120,366,392054,0 118,2,2024-09-07 09:36:51:601,647180,647180,0,0,30823927,0,2842 118,3,2024-09-07 09:36:51:763,1,511,1,0,248,5879,511,0 119,0,2024-09-07 09:36:51:341,126576,0.6,127008,0.8,253796,0.6,337673,2.00 119,1,2024-09-07 09:36:50:573,895726,895726,0,0,421118331600,4414495699,884008,9952,1766,367,391857,0 119,2,2024-09-07 09:36:51:282,646228,646228,0,0,28761990,0,4174 119,3,2024-09-07 09:36:51:336,1,511,0,0,1358,9720,511,0 120,0,2024-09-07 09:36:51:564,124742,0.6,124524,0.8,250133,0.6,333110,2.25 120,1,2024-09-07 09:36:50:864,896423,896423,0,0,421181884710,4414733043,887137,8507,779,368,392144,0 120,2,2024-09-07 09:36:50:774,642896,642895,1,0,33119760,0,5281 120,3,2024-09-07 09:36:51:306,1,511,1,0,279,6416,511,0 121,0,2024-09-07 09:36:51:722,130189,1.4,129671,1.1,259933,1.9,347282,2.50 121,1,2024-09-07 09:36:51:657,896838,896838,0,0,421138597027,4402866470,888113,8024,701,367,391840,0 121,2,2024-09-07 09:36:51:133,643675,643675,0,0,30287835,0,4157 121,3,2024-09-07 09:36:50:729,1,511,2,0,330,6162,511,0 122,0,2024-09-07 09:36:51:778,129571,0.9,126124,0.9,264309,1.0,347165,2.25 122,1,2024-09-07 09:36:50:864,895246,895246,0,0,421080929649,4417676482,882810,10551,1885,366,392130,0 122,2,2024-09-07 09:36:51:330,649587,649512,75,0,34723511,0,5989 122,3,2024-09-07 09:36:50:620,1,511,2,0,512,8746,511,0 123,0,2024-09-07 09:36:51:003,126485,0.8,123128,0.9,257840,0.9,337283,2.25 123,1,2024-09-07 09:36:50:597,895825,895825,0,0,420721958862,4427383839,880681,12641,2503,369,392039,0 123,2,2024-09-07 09:36:51:025,645761,645760,1,0,29509658,0,5215 123,3,2024-09-07 09:36:51:144,1,511,1,0,478,6013,511,0 124,0,2024-09-07 09:36:50:942,129711,0.3,129668,0.5,244438,0.3,336312,1.75 124,1,2024-09-07 09:36:51:022,898884,898884,0,0,421910401280,4387464518,892078,5675,1131,365,392178,0 124,2,2024-09-07 09:36:51:013,643981,643928,53,0,26922955,0,6487 124,3,2024-09-07 09:36:50:768,1,511,0,0,490,5389,511,0 125,0,2024-09-07 09:36:51:439,128068,0.4,127768,0.6,256899,0.3,342136,1.75 125,1,2024-09-07 09:36:50:864,897320,897320,0,0,421423993415,4400142019,890351,5987,982,382,391813,0 125,2,2024-09-07 09:36:51:123,646537,646537,0,0,26976561,0,4534 125,3,2024-09-07 09:36:51:133,1,511,1,0,709,6154,511,0 126,0,2024-09-07 09:36:51:451,132797,0.4,136534,0.6,261109,0.4,353269,1.75 126,1,2024-09-07 09:36:50:597,899672,899672,0,0,422306372890,4372505056,895004,4358,310,365,391987,0 126,2,2024-09-07 09:36:50:610,651120,651120,0,0,27865798,0,4539 126,3,2024-09-07 09:36:50:907,1,511,2,0,268,5796,511,0 127,0,2024-09-07 09:36:51:613,126617,0.3,127052,0.5,253374,0.3,336931,1.75 127,1,2024-09-07 09:36:50:582,898379,898379,0,0,421750183839,4390716217,889013,7862,1504,364,392187,0 127,2,2024-09-07 09:36:50:638,647572,647568,4,0,26526032,0,5305 127,3,2024-09-07 09:36:51:293,1,511,3,0,968,5174,511,0 128,0,2024-09-07 09:36:51:548,126227,0.3,126378,0.4,252326,0.2,335480,1.50 128,1,2024-09-07 09:36:51:618,897540,897540,0,0,421770038200,4383243424,891065,5740,735,367,392031,0 128,2,2024-09-07 09:36:51:401,644307,644307,0,0,24764289,0,3171 128,3,2024-09-07 09:36:50:773,1,511,16,0,1082,8305,511,0 129,0,2024-09-07 09:36:51:001,128282,0.3,127803,0.5,255831,0.3,340589,1.50 129,1,2024-09-07 09:36:50:571,895429,895429,0,0,420510540569,4409283222,886406,7078,1945,379,391962,0 129,2,2024-09-07 09:36:50:696,645524,645520,4,0,27060245,0,5335 129,3,2024-09-07 09:36:50:693,1,511,0,0,506,7269,511,0 130,0,2024-09-07 09:36:51:798,132829,0.5,132389,0.6,265612,0.4,353412,1.75 130,1,2024-09-07 09:36:50:585,898275,898275,0,0,421865920735,4383677600,893487,4400,388,381,391825,0 130,2,2024-09-07 09:36:51:132,649566,649566,0,0,27105458,0,4067 130,3,2024-09-07 09:36:51:303,1,511,3,0,960,7275,511,0 131,0,2024-09-07 09:36:51:934,126878,0.4,127395,0.5,255099,0.3,338932,1.75 131,1,2024-09-07 09:36:51:827,897836,897836,0,0,421699861022,4400825388,890959,5686,1191,381,391865,0 131,2,2024-09-07 09:36:50:596,649234,649234,0,0,25128330,0,3979 131,3,2024-09-07 09:36:51:693,1,511,3,0,392,6341,511,0 132,0,2024-09-07 09:36:51:436,126746,0.4,127853,0.6,254388,0.4,338302,2.00 132,1,2024-09-07 09:36:50:616,894192,894192,0,0,419816913854,4418148863,881730,10271,2191,381,392532,0 132,2,2024-09-07 09:36:50:720,644025,644008,17,0,32786576,0,6451 132,3,2024-09-07 09:36:51:691,1,511,3,0,804,8716,511,0 133,0,2024-09-07 09:36:51:537,122769,0.4,125705,0.6,257757,0.3,335594,1.75 133,1,2024-09-07 09:36:50:596,894139,894139,0,0,420023808039,4428846759,881635,10814,1690,383,391914,0 133,2,2024-09-07 09:36:51:097,644480,644430,50,0,33123319,0,6861 133,3,2024-09-07 09:36:51:303,1,511,0,0,479,6199,511,0 134,0,2024-09-07 09:36:50:961,131898,0.6,131722,0.7,263747,0.5,351923,2.25 134,1,2024-09-07 09:36:50:617,895753,895753,0,0,420764148345,4412304920,884374,9233,2146,366,391718,0 134,2,2024-09-07 09:36:51:756,645319,645295,24,0,31189234,0,6207 134,3,2024-09-07 09:36:50:789,1,511,3,0,739,6296,511,0 135,0,2024-09-07 09:36:51:111,124442,1.0,124343,0.9,263962,1.2,340106,2.25 135,1,2024-09-07 09:36:51:595,895186,895186,0,0,421601399705,4434560778,883557,10064,1565,380,391805,0 135,2,2024-09-07 09:36:50:694,648539,648539,0,0,31366058,0,4503 135,3,2024-09-07 09:36:51:001,1,511,0,0,900,5261,511,0 136,0,2024-09-07 09:36:51:638,127382,0.5,127588,0.7,254061,0.5,338687,2.00 136,1,2024-09-07 09:36:51:441,897003,897003,0,0,420616217286,4410342841,886637,9099,1267,381,392135,0 136,2,2024-09-07 09:36:51:141,648231,648216,15,0,30585431,0,6007 136,3,2024-09-07 09:36:51:107,1,511,3,0,637,6205,511,0 137,0,2024-09-07 09:36:50:934,129807,0.5,126498,0.7,247900,0.5,336019,2.00 137,1,2024-09-07 09:36:50:577,895071,895071,0,0,420157765052,4405073477,881645,10755,2671,366,391898,0 137,2,2024-09-07 09:36:51:716,642327,642327,0,0,31922840,0,3185 137,3,2024-09-07 09:36:50:777,1,511,2,0,484,6480,511,0 138,0,2024-09-07 09:36:51:751,128296,0.8,128517,0.9,257558,1.0,342471,2.25 138,1,2024-09-07 09:36:51:687,895673,895673,0,0,420987091904,4416348070,882942,10762,1969,368,391954,0 138,2,2024-09-07 09:36:50:606,643463,643463,0,0,29379469,0,4988 138,3,2024-09-07 09:36:50:610,1,511,0,0,1200,8376,511,0 139,0,2024-09-07 09:36:51:393,130100,1.3,130529,1.0,261248,1.9,348087,2.50 139,1,2024-09-07 09:36:50:584,891355,891355,0,0,419042567829,4445262803,875420,12474,3461,380,392058,0 139,2,2024-09-07 09:36:50:708,645560,645560,0,0,33034163,0,3097 139,3,2024-09-07 09:36:51:668,1,511,16,0,432,5954,511,0 140,0,2024-09-07 09:36:51:591,127347,0.3,126596,0.5,253798,0.2,338447,1.75 140,1,2024-09-07 09:36:51:546,900587,900587,0,0,423441237000,4366317159,896006,4043,538,364,391606,0 140,2,2024-09-07 09:36:50:703,649380,649379,1,0,25321959,0,5036 140,3,2024-09-07 09:36:50:776,1,511,1,0,297,4839,511,0 141,0,2024-09-07 09:36:51:703,126218,0.3,129491,0.4,247170,0.2,335596,1.50 141,1,2024-09-07 09:36:50:866,899219,899219,0,0,422589134823,4390680896,892114,6020,1085,379,391614,0 141,2,2024-09-07 09:36:51:686,643093,643082,11,0,26796337,0,5369 141,3,2024-09-07 09:36:51:045,1,511,0,0,391,5891,511,0 142,0,2024-09-07 09:36:51:312,128660,0.3,127696,0.5,256061,0.3,341315,1.50 142,1,2024-09-07 09:36:50:597,897603,897603,0,0,422296481970,4402305111,891944,5200,459,382,392102,0 142,2,2024-09-07 09:36:51:306,643831,643799,32,0,27379418,0,6028 142,3,2024-09-07 09:36:51:749,1,511,1,0,484,5415,511,0 143,0,2024-09-07 09:36:51:377,132437,0.5,132351,0.6,265602,0.4,353731,1.75 143,1,2024-09-07 09:36:50:571,899491,899491,0,0,422543624653,4386400548,893414,5521,556,367,391722,0 143,2,2024-09-07 09:36:50:777,651063,651063,0,0,26899109,0,3123 143,3,2024-09-07 09:36:51:145,1,511,2,0,462,6505,511,0 144,0,2024-09-07 09:36:51:508,122880,0.6,126419,0.8,257142,0.6,337195,2.00 144,1,2024-09-07 09:36:50:573,895072,895072,0,0,420035988256,4410160629,885687,7419,1966,381,391733,0 144,2,2024-09-07 09:36:51:760,649055,649055,0,0,25693860,0,3673 144,3,2024-09-07 09:36:51:750,1,511,5,0,249,5069,511,0 145,0,2024-09-07 09:36:51:453,122160,0.5,122199,0.8,259290,0.5,333534,2.25 145,1,2024-09-07 09:36:50:589,894218,894218,0,0,420152784217,4424738908,881862,9890,2466,382,391759,0 145,2,2024-09-07 09:36:51:447,641063,640981,82,0,31541526,0,7814 145,3,2024-09-07 09:36:50:905,1,511,0,0,622,7132,511,0 146,0,2024-09-07 09:36:51:607,126303,0.5,125829,0.7,252542,0.5,335627,2.00 146,1,2024-09-07 09:36:51:585,895592,895592,0,0,420860851092,4425473801,881169,10622,3801,367,391770,0 146,2,2024-09-07 09:36:51:696,643058,643052,6,0,29544339,0,5151 146,3,2024-09-07 09:36:51:298,1,511,0,0,1520,8791,511,0 147,0,2024-09-07 09:36:51:707,132277,0.6,131950,0.8,263524,0.6,352462,2.25 147,1,2024-09-07 09:36:51:381,899029,899029,0,0,422970064892,4396118042,891746,6404,879,367,391791,0 147,2,2024-09-07 09:36:51:015,647084,647084,0,0,26647364,0,2968 147,3,2024-09-07 09:36:50:913,1,511,1,0,1626,8451,511,0 0,0,2024-09-07 09:37:01:709,121926,0.6,121945,0.7,258759,0.6,334008,2.00 0,1,2024-09-07 09:37:00:803,897704,897704,0,0,421467442196,4414981266,890194,6670,840,369,391896,0 0,2,2024-09-07 09:37:01:069,646710,646710,0,0,26735229,0,4480 0,3,2024-09-07 09:37:00:984,1,512,13,0,431,7184,512,0 1,0,2024-09-07 09:37:01:763,130505,1.0,129921,1.0,260690,1.3,348955,2.00 1,1,2024-09-07 09:37:00:560,896927,896927,0,0,420631638565,4409871303,888217,7243,1467,370,391859,0 1,2,2024-09-07 09:37:00:641,646649,646649,0,0,26152111,0,3380 1,3,2024-09-07 09:37:01:323,1,512,3,0,269,6488,512,0 2,0,2024-09-07 09:37:01:566,129850,0.6,129856,0.8,259451,0.7,345863,2.00 2,1,2024-09-07 09:37:00:864,899889,899889,0,0,422726298669,4394533824,894917,4055,917,379,391745,0 2,2,2024-09-07 09:37:01:266,650923,650923,0,0,24866382,0,3594 2,3,2024-09-07 09:37:00:692,1,512,8,0,357,4740,512,0 3,0,2024-09-07 09:37:01:784,127044,0.4,126801,0.6,253328,0.3,337508,2.00 3,1,2024-09-07 09:37:01:618,898709,898709,0,0,422440213357,4399438565,891243,6764,702,379,391716,0 3,2,2024-09-07 09:37:01:142,649624,649601,23,0,25918262,0,5851 3,3,2024-09-07 09:37:01:753,1,512,53,0,207,3225,512,0 4,0,2024-09-07 09:37:01:793,122379,0.4,125758,0.5,256467,0.3,335449,1.75 4,1,2024-09-07 09:37:00:601,894941,894941,0,0,419532732818,4446632638,878639,12618,3684,370,391992,0 4,2,2024-09-07 09:37:01:025,641420,641420,0,0,31581207,0,4534 4,3,2024-09-07 09:37:01:027,1,512,1,0,448,6665,512,0 5,0,2024-09-07 09:37:01:376,128351,0.4,128845,0.6,257405,0.4,342654,1.75 5,1,2024-09-07 09:37:00:764,896342,896342,0,0,421697778082,4439982595,883609,10320,2413,367,392005,0 5,2,2024-09-07 09:37:01:832,642854,642854,0,0,30523765,0,3582 5,3,2024-09-07 09:37:01:752,1,512,1,0,457,7022,512,0 6,0,2024-09-07 09:37:00:922,132747,0.5,132273,0.7,264976,0.5,352793,2.00 6,1,2024-09-07 09:37:00:750,898436,898436,0,0,421458584417,4403460712,888918,8062,1456,379,391702,0 6,2,2024-09-07 09:37:01:118,651056,651038,18,0,29768239,0,5535 6,3,2024-09-07 09:37:01:279,1,512,1,0,710,6218,512,0 7,0,2024-09-07 09:37:01:530,125955,0.5,126863,0.7,252581,0.5,336433,2.00 7,1,2024-09-07 09:37:00:852,896836,896836,0,0,421527783178,4428801800,883914,10690,2232,382,391747,0 7,2,2024-09-07 09:37:00:769,649496,649496,0,0,28912568,0,4791 7,3,2024-09-07 09:37:00:851,1,512,1,0,552,5883,512,0 8,0,2024-09-07 09:37:01:331,126203,0.3,125858,0.5,252474,0.3,335990,1.75 8,1,2024-09-07 09:37:01:019,895270,895270,0,0,420870415572,4424978401,881092,11050,3128,366,392853,0 8,2,2024-09-07 09:37:00:793,639501,639499,2,0,34181725,0,5112 8,3,2024-09-07 09:37:00:589,1,512,2,0,538,7742,512,0 9,0,2024-09-07 09:37:01:129,127709,0.3,124191,0.5,260057,0.3,341320,1.75 9,1,2024-09-07 09:37:00:550,895362,895362,0,0,421571383191,4440186514,881949,10765,2648,369,392001,0 9,2,2024-09-07 09:37:01:097,644305,644304,1,0,30937936,0,5281 9,3,2024-09-07 09:37:01:752,1,512,3,0,1273,8651,512,0 10,0,2024-09-07 09:37:01:599,132252,0.4,131786,0.5,264458,0.3,352258,1.75 10,1,2024-09-07 09:37:00:583,898026,898026,0,0,420660728050,4408589284,885669,10267,2090,381,391869,0 10,2,2024-09-07 09:37:00:770,650561,650561,0,0,33634199,0,4264 10,3,2024-09-07 09:37:00:871,1,512,1,0,649,5318,512,0 11,0,2024-09-07 09:37:01:007,126973,0.5,123230,0.7,257609,0.5,339709,1.75 11,1,2024-09-07 09:37:00:571,898616,898616,0,0,422463450488,4430860761,885140,10014,3462,383,391756,0 11,2,2024-09-07 09:37:01:122,648879,648879,0,0,29683341,0,4130 11,3,2024-09-07 09:37:01:308,1,512,1,0,843,6891,512,0 12,0,2024-09-07 09:37:00:943,127629,0.4,127661,0.5,255328,0.3,339138,1.75 12,1,2024-09-07 09:37:00:939,898171,898171,0,0,421826429388,4402103677,890514,6779,878,370,391960,0 12,2,2024-09-07 09:37:01:540,644628,644628,0,0,29527803,0,4390 12,3,2024-09-07 09:37:01:059,1,512,1,0,386,6999,512,0 13,0,2024-09-07 09:37:01:336,127182,0.4,126947,0.6,253283,0.4,337746,1.75 13,1,2024-09-07 09:37:01:524,896327,896327,0,0,420746845095,4424196546,886709,7325,2293,382,391740,0 13,2,2024-09-07 09:37:00:599,647365,647365,0,0,26469023,0,3287 13,3,2024-09-07 09:37:01:765,1,512,0,0,522,7224,512,0 14,0,2024-09-07 09:37:00:562,132080,0.4,133069,0.6,263865,0.4,352062,1.75 14,1,2024-09-07 09:37:01:562,902214,902214,0,0,424405984520,4392627520,895308,6178,728,364,391673,0 14,2,2024-09-07 09:37:00:765,648142,648112,30,0,27900284,0,6104 14,3,2024-09-07 09:37:01:120,1,512,1,0,1168,5832,512,0 15,0,2024-09-07 09:37:01:553,128102,0.5,127553,0.7,256139,0.4,341079,2.00 15,1,2024-09-07 09:37:01:607,899680,899680,0,0,422870409057,4405614953,891950,6245,1485,381,391619,0 15,2,2024-09-07 09:37:00:998,651754,651754,0,0,23469453,0,3622 15,3,2024-09-07 09:37:01:407,1,512,0,0,1126,7306,512,0 16,0,2024-09-07 09:37:00:935,126999,0.5,127606,0.7,254428,0.5,338367,2.00 16,1,2024-09-07 09:37:00:565,899405,899405,0,0,421832845285,4412519174,890999,7108,1298,370,392194,0 16,2,2024-09-07 09:37:01:438,647650,647650,0,0,27741158,0,4719 16,3,2024-09-07 09:37:01:145,1,512,1,0,358,6516,512,0 17,0,2024-09-07 09:37:01:806,129787,0.5,126508,0.7,247814,0.5,335681,2.00 17,1,2024-09-07 09:37:00:567,897171,897171,0,0,421714879523,4426165366,887880,7544,1747,368,392075,0 17,2,2024-09-07 09:37:01:666,647453,647452,1,0,28481222,0,5050 17,3,2024-09-07 09:37:00:574,1,512,1,0,518,7787,512,0 18,0,2024-09-07 09:37:00:942,127888,0.6,128815,0.8,256734,0.6,343010,2.25 18,1,2024-09-07 09:37:01:639,901379,901379,0,0,422979056249,4389154552,895271,5112,996,367,391725,0 18,2,2024-09-07 09:37:01:756,648223,648223,0,0,25300658,0,3541 18,3,2024-09-07 09:37:00:899,1,512,2,0,1059,4894,512,0 19,0,2024-09-07 09:37:01:541,130688,0.7,131068,0.8,260547,0.7,346943,2.25 19,1,2024-09-07 09:37:00:566,900424,900424,0,0,423037526913,4388295557,893437,5903,1084,367,391777,0 19,2,2024-09-07 09:37:01:752,653621,653621,0,0,23787112,0,3988 19,3,2024-09-07 09:37:01:128,1,512,1,0,524,4191,512,0 20,0,2024-09-07 09:37:01:379,126692,0.5,126994,0.7,253437,0.5,338312,2.00 20,1,2024-09-07 09:37:00:579,897769,897769,0,0,422322430470,4425417459,888578,7827,1364,369,391922,0 20,2,2024-09-07 09:37:00:947,648877,648877,0,0,28833914,0,3721 20,3,2024-09-07 09:37:00:589,1,512,1,0,468,8870,512,0 21,0,2024-09-07 09:37:01:179,126156,0.4,126304,0.6,252567,0.4,335348,1.75 21,1,2024-09-07 09:37:01:546,896330,896330,0,0,421031996507,4444525204,882185,11027,3118,368,392016,0 21,2,2024-09-07 09:37:01:074,640490,640470,20,0,33626256,0,5617 21,3,2024-09-07 09:37:01:413,1,512,15,0,713,6700,512,0 22,0,2024-09-07 09:37:01:716,127907,0.5,128462,0.6,256413,0.4,340194,2.00 22,1,2024-09-07 09:37:01:024,896959,896959,0,0,421105765418,4435124877,881732,12000,3227,382,391822,0 22,2,2024-09-07 09:37:00:760,644261,644235,26,0,28241240,0,6328 22,3,2024-09-07 09:37:01:073,1,512,75,0,228,4411,512,0 23,0,2024-09-07 09:37:01:382,132645,0.5,132184,0.7,264551,0.5,353406,2.25 23,1,2024-09-07 09:37:01:011,898101,898101,0,0,421964922573,4430585202,882445,11248,4408,365,391690,0 23,2,2024-09-07 09:37:01:098,652408,652408,0,0,26911745,0,3773 23,3,2024-09-07 09:37:01:753,1,512,1,0,855,7650,512,0 24,0,2024-09-07 09:37:00:876,128008,0.4,127279,0.6,255789,0.4,339892,1.75 24,1,2024-09-07 09:37:00:581,897000,897000,0,0,421136073332,4410013694,888044,7282,1674,367,392269,0 24,2,2024-09-07 09:37:01:076,649401,649401,0,0,31774264,0,4438 24,3,2024-09-07 09:37:01:691,1,512,2,0,468,6577,512,0 25,0,2024-09-07 09:37:01:379,129881,0.4,126525,0.6,248199,0.4,337936,1.75 25,1,2024-09-07 09:37:00:560,896209,896209,0,0,421257181643,4443658544,881329,11908,2972,369,391928,0 25,2,2024-09-07 09:37:01:608,642675,642675,0,0,32821478,0,3978 25,3,2024-09-07 09:37:01:000,1,512,1,0,532,5639,512,0 26,0,2024-09-07 09:37:01:725,126106,0.4,123420,0.6,259000,0.3,337089,1.75 26,1,2024-09-07 09:37:01:541,898965,898965,0,0,421444467551,4413880885,886136,10377,2452,380,391748,0 26,2,2024-09-07 09:37:00:864,645426,645426,0,0,34673791,0,4689 26,3,2024-09-07 09:37:01:712,1,512,2,0,796,5706,512,0 27,0,2024-09-07 09:37:01:723,132601,0.4,133006,0.6,264450,0.4,353299,2.25 27,1,2024-09-07 09:37:01:678,899920,899920,0,0,422981547342,4405835440,891369,7282,1269,381,391626,0 27,2,2024-09-07 09:37:00:875,646860,646795,65,0,30304153,0,5699 27,3,2024-09-07 09:37:01:015,1,512,1,0,564,4499,512,0 28,0,2024-09-07 09:37:01:395,127850,0.4,127449,0.6,255790,0.4,340622,2.00 28,1,2024-09-07 09:37:00:798,899888,899888,0,0,422880657340,4410235942,892118,6056,1714,382,391698,0 28,2,2024-09-07 09:37:01:764,649652,649652,0,0,26860778,0,2915 28,3,2024-09-07 09:37:01:776,1,512,1,0,502,5187,512,0 29,0,2024-09-07 09:37:01:392,130466,0.3,127152,0.6,249327,0.3,339746,1.75 29,1,2024-09-07 09:37:01:562,901990,901990,0,0,423086451837,4377837283,896434,4794,762,367,391809,0 29,2,2024-09-07 09:37:00:866,645977,645977,0,0,26199731,0,4986 29,3,2024-09-07 09:37:00:972,1,512,0,0,459,5686,512,0 30,0,2024-09-07 09:37:01:456,125137,0.5,121722,0.7,254816,0.4,332980,2.00 30,1,2024-09-07 09:37:00:580,901558,901558,0,0,423793434569,4399727108,894583,6073,902,380,391672,0 30,2,2024-09-07 09:37:01:275,645978,645978,0,0,25171195,0,4192 30,3,2024-09-07 09:37:00:581,1,512,1,0,519,4987,512,0 31,0,2024-09-07 09:37:01:759,130503,0.5,130762,0.7,261251,0.4,349284,2.00 31,1,2024-09-07 09:37:00:565,904566,904566,0,0,425442359207,4369074492,899243,4312,1011,356,391712,0 31,2,2024-09-07 09:37:01:282,645968,645968,0,0,27819719,0,4470 31,3,2024-09-07 09:37:01:706,1,512,1,0,220,4275,512,0 32,0,2024-09-07 09:37:01:420,130497,0.4,131120,0.5,261067,0.3,347600,1.75 32,1,2024-09-07 09:37:00:804,900910,900910,0,0,423971013402,4408419849,895113,5090,707,381,391646,0 32,2,2024-09-07 09:37:00:949,651375,651375,0,0,24382007,0,3922 32,3,2024-09-07 09:37:01:015,1,512,1,0,304,4246,512,0 33,0,2024-09-07 09:37:01:556,127518,0.3,127100,0.4,254440,0.2,338603,1.50 33,1,2024-09-07 09:37:00:575,901533,901533,0,0,423471313296,4388734947,894306,6054,1173,368,391730,0 33,2,2024-09-07 09:37:00:768,650594,650559,35,0,26976410,0,7012 33,3,2024-09-07 09:37:00:902,1,512,3,0,329,4843,512,0 34,0,2024-09-07 09:37:00:930,126523,0.3,129946,0.4,248334,0.2,334792,1.75 34,1,2024-09-07 09:37:01:054,903313,903313,0,0,424384011751,4366008067,900805,2486,22,367,391562,0 34,2,2024-09-07 09:37:00:770,645728,645728,0,0,25446027,0,4562 34,3,2024-09-07 09:37:01:689,1,512,1,0,320,3994,512,0 35,0,2024-09-07 09:37:00:863,127774,0.3,128507,0.5,258132,0.2,343465,1.75 35,1,2024-09-07 09:37:01:069,900369,900369,0,0,422505575845,4385142892,893712,5444,1213,382,391769,0 35,2,2024-09-07 09:37:01:583,645288,645288,0,0,27991734,0,4055 35,3,2024-09-07 09:37:00:907,1,512,1,0,418,4855,512,0 36,0,2024-09-07 09:37:01:532,133048,0.5,132919,0.7,265889,0.5,353594,2.25 36,1,2024-09-07 09:37:00:590,899719,899719,0,0,422212613052,4412660867,887439,10061,2219,366,391759,0 36,2,2024-09-07 09:37:01:752,651640,651640,0,0,29354725,0,3875 36,3,2024-09-07 09:37:00:865,1,512,2,0,416,7150,512,0 37,0,2024-09-07 09:37:01:369,126210,0.5,126165,0.7,252621,0.5,336977,2.25 37,1,2024-09-07 09:37:00:569,898323,898316,0,7,422120023447,4409870707,887219,8423,2674,365,391770,0 37,2,2024-09-07 09:37:01:140,647756,647741,15,0,29704261,0,5815 37,3,2024-09-07 09:37:01:766,1,512,3,0,888,7269,512,0 38,0,2024-09-07 09:37:01:440,125317,0.4,121587,0.6,254444,0.4,333224,2.00 38,1,2024-09-07 09:37:01:604,899760,899760,0,0,422745848163,4422017337,886533,10708,2519,368,391821,0 38,2,2024-09-07 09:37:00:759,642529,642482,47,0,29791130,0,6710 38,3,2024-09-07 09:37:00:998,1,512,2,0,689,6663,512,0 39,0,2024-09-07 09:37:01:770,130824,0.5,127983,0.7,249292,0.5,340894,2.00 39,1,2024-09-07 09:37:00:716,897650,897650,0,0,421174162678,4421788169,881858,12323,3469,365,391865,0 39,2,2024-09-07 09:37:01:421,646223,646223,0,0,27626709,0,3391 39,3,2024-09-07 09:37:00:712,1,512,1,0,525,6182,512,0 40,0,2024-09-07 09:37:01:494,130883,0.8,131651,1.0,262882,0.9,350466,2.75 40,1,2024-09-07 09:37:00:576,900372,900372,0,0,421147051446,4406184702,888358,9836,2178,368,391668,0 40,2,2024-09-07 09:37:01:308,649046,649045,1,0,32829080,0,5137 40,3,2024-09-07 09:37:01:141,1,512,11,0,1028,7318,512,0 41,0,2024-09-07 09:37:01:028,126405,1.3,129042,1.2,246362,2.0,335698,3.25 41,1,2024-09-07 09:37:00:773,897984,897984,0,0,421474894956,4411252889,886113,9937,1934,369,391878,0 41,2,2024-09-07 09:37:00:759,647080,647079,1,0,31567827,0,5408 41,3,2024-09-07 09:37:01:682,1,512,1,0,366,5339,512,0 42,0,2024-09-07 09:37:01:472,126238,0.8,125882,1.0,252065,0.8,333966,2.50 42,1,2024-09-07 09:37:01:442,895617,895617,0,0,420990962780,4428120595,880608,12101,2908,380,391675,0 42,2,2024-09-07 09:37:01:133,644288,644288,0,0,30320190,0,3975 42,3,2024-09-07 09:37:01:010,1,512,1,0,892,4676,512,0 43,0,2024-09-07 09:37:00:916,125183,0.7,121951,0.9,255252,0.7,334915,2.25 43,1,2024-09-07 09:37:00:584,898689,898689,0,0,422506188634,4415809615,885822,10607,2260,366,391696,0 43,2,2024-09-07 09:37:01:752,646293,646293,0,0,30142191,0,4723 43,3,2024-09-07 09:37:01:751,1,512,0,0,571,7215,512,0 44,0,2024-09-07 09:37:00:871,132121,0.4,132212,0.6,264960,0.4,352602,2.00 44,1,2024-09-07 09:37:00:563,901363,901363,0,0,423051469396,4373253503,893568,6208,1587,356,391809,0 44,2,2024-09-07 09:37:01:269,646341,646341,0,0,25122514,0,4344 44,3,2024-09-07 09:37:01:104,1,512,9,0,817,5642,512,0 45,0,2024-09-07 09:37:01:764,126907,0.5,123895,0.8,259998,0.5,341324,2.00 45,1,2024-09-07 09:37:01:017,900031,900031,0,0,423770210033,4405919125,892521,6634,876,382,391917,0 45,2,2024-09-07 09:37:01:268,650816,650816,0,0,25457217,0,3596 45,3,2024-09-07 09:37:00:938,1,512,1,0,271,4540,512,0 46,0,2024-09-07 09:37:00:958,126516,0.5,125992,0.7,252908,0.4,335549,2.00 46,1,2024-09-07 09:37:00:580,901991,901991,0,0,423454187519,4377728018,895589,5539,863,366,391709,0 46,2,2024-09-07 09:37:00:593,648915,648915,0,0,25688646,0,4443 46,3,2024-09-07 09:37:01:131,1,512,1,0,908,6311,512,0 47,0,2024-09-07 09:37:01:115,126076,0.4,126168,0.6,253013,0.3,334627,1.75 47,1,2024-09-07 09:37:00:567,902599,902599,0,0,423956785867,4380238148,897373,4387,839,365,391641,0 47,2,2024-09-07 09:37:00:908,647736,647736,0,0,25462512,0,4477 47,3,2024-09-07 09:37:01:118,1,512,49,0,600,5893,512,0 48,0,2024-09-07 09:37:01:493,129738,0.3,129808,0.4,258641,0.2,344973,1.50 48,1,2024-09-07 09:37:01:030,900320,900320,0,0,422585109454,4392028753,894531,5216,573,384,391710,0 48,2,2024-09-07 09:37:00:699,646332,646332,0,0,23477791,0,3411 48,3,2024-09-07 09:37:00:753,1,512,1,0,339,4144,512,0 49,0,2024-09-07 09:37:01:715,134722,0.4,132227,0.5,256958,0.3,350955,1.75 49,1,2024-09-07 09:37:01:029,900018,900018,0,0,423150894610,4400023515,894453,4354,1211,382,391809,0 49,2,2024-09-07 09:37:01:802,652289,652289,0,0,26126198,0,4426 49,3,2024-09-07 09:37:01:421,1,512,0,0,992,6049,512,0 50,0,2024-09-07 09:37:01:506,127588,0.3,125888,0.5,253671,0.2,337976,1.75 50,1,2024-09-07 09:37:01:011,903013,903013,0,0,424285221535,4388221103,897069,5277,667,368,391565,0 50,2,2024-09-07 09:37:01:065,649478,649478,0,0,23920830,0,4490 50,3,2024-09-07 09:37:01:292,1,512,0,0,617,5426,512,0 51,0,2024-09-07 09:37:01:688,129554,0.3,126713,0.4,246843,0.2,336904,1.75 51,1,2024-09-07 09:37:01:687,903475,903475,0,0,424533640787,4378603721,898711,3709,1055,365,391706,0 51,2,2024-09-07 09:37:01:318,644422,644422,0,0,23127046,0,3337 51,3,2024-09-07 09:37:01:028,1,512,1,0,678,3762,512,0 52,0,2024-09-07 09:37:01:444,128588,0.5,128127,0.7,256689,0.4,341066,2.00 52,1,2024-09-07 09:37:00:576,899424,899424,0,0,422017435974,4420385831,886199,11120,2105,368,391722,0 52,2,2024-09-07 09:37:01:755,642036,641998,38,0,30776372,0,6742 52,3,2024-09-07 09:37:00:675,1,512,2,0,1782,6649,512,0 53,0,2024-09-07 09:37:01:760,132307,0.7,128387,0.8,268447,0.8,353053,2.50 53,1,2024-09-07 09:37:00:770,897622,897622,0,0,422246582358,4433460734,882267,11511,3844,367,391968,0 53,2,2024-09-07 09:37:01:308,651855,651854,1,0,27745012,0,5455 53,3,2024-09-07 09:37:00:697,1,512,1,0,308,5133,512,0 54,0,2024-09-07 09:37:01:614,125125,0.6,125507,0.8,249853,0.5,333793,2.25 54,1,2024-09-07 09:37:00:581,899596,899596,0,0,423140475936,4403858366,889532,8430,1634,366,391810,0 54,2,2024-09-07 09:37:00:870,649930,649898,32,0,31444582,0,6397 54,3,2024-09-07 09:37:00:764,1,512,1,0,676,6988,512,0 55,0,2024-09-07 09:37:01:758,121969,0.6,125905,0.7,254990,0.5,332205,2.50 55,1,2024-09-07 09:37:00:769,900606,900606,0,0,422067291977,4390821629,890947,8340,1319,365,391731,0 55,2,2024-09-07 09:37:00:729,643163,643107,56,0,29639447,0,7239 55,3,2024-09-07 09:37:00:674,1,512,24,0,304,4864,512,0 56,0,2024-09-07 09:37:01:553,129387,1.1,121942,1.1,251275,1.4,336416,2.75 56,1,2024-09-07 09:37:00:575,895338,895338,0,0,420971855640,4446736810,881024,11402,2912,381,391867,0 56,2,2024-09-07 09:37:01:480,645596,645474,122,0,30805866,0,7432 56,3,2024-09-07 09:37:01:062,1,512,2,0,705,6220,512,0 57,0,2024-09-07 09:37:00:965,130576,1.6,130536,1.3,261411,2.2,349764,3.25 57,1,2024-09-07 09:37:00:994,896937,896937,0,0,421227154624,4421130078,884758,9894,2285,366,392032,0 57,2,2024-09-07 09:37:01:322,649107,649107,0,0,31723676,0,4317 57,3,2024-09-07 09:37:01:752,1,512,1,0,455,5804,512,0 58,0,2024-09-07 09:37:00:588,125636,1.0,121903,1.0,255150,1.2,334001,2.75 58,1,2024-09-07 09:37:00:575,898615,898612,0,3,422071170423,4424913673,884826,10466,3320,367,391675,3 58,2,2024-09-07 09:37:01:071,649162,649162,0,0,30058220,0,3483 58,3,2024-09-07 09:37:01:068,1,512,3,0,1043,5662,512,0 59,0,2024-09-07 09:37:01:738,126329,0.8,126313,1.0,251800,0.9,333947,2.75 59,1,2024-09-07 09:37:00:804,897309,897309,0,0,421592844674,4428824774,882569,11601,3139,369,391653,0 59,2,2024-09-07 09:37:00:583,646050,646050,0,0,28793815,0,3727 59,3,2024-09-07 09:37:01:752,1,512,34,0,1015,6463,512,0 60,0,2024-09-07 09:37:01:711,125368,0.5,125662,0.7,251491,0.5,333840,1.75 60,1,2024-09-07 09:37:00:777,901722,901722,0,0,423463517166,4396145931,895544,5246,932,370,392031,0 60,2,2024-09-07 09:37:01:142,645712,645712,0,0,27446744,0,3811 60,3,2024-09-07 09:37:01:259,1,512,2,0,409,6086,512,0 61,0,2024-09-07 09:37:01:501,130401,0.7,130993,0.8,260904,0.8,348959,2.00 61,1,2024-09-07 09:37:00:779,898334,898334,0,0,421686869974,4417522124,888463,8291,1580,382,392127,0 61,2,2024-09-07 09:37:01:119,646842,646775,67,0,28401299,0,6411 61,3,2024-09-07 09:37:01:696,1,512,1,0,607,7453,512,0 62,0,2024-09-07 09:37:01:707,130704,0.7,134058,0.8,255917,0.7,347409,2.00 62,1,2024-09-07 09:37:01:111,903585,903579,0,6,424955253956,4380401201,899425,3874,280,365,391975,6 62,2,2024-09-07 09:37:01:649,648455,648454,1,0,27706835,0,5555 62,3,2024-09-07 09:37:01:145,1,512,21,0,482,4218,512,0 63,0,2024-09-07 09:37:01:472,127468,0.4,127213,0.6,255389,0.4,339013,1.75 63,1,2024-09-07 09:37:00:805,901036,901030,0,6,423032183758,4392652017,895279,5000,751,381,391800,6 63,2,2024-09-07 09:37:00:769,648944,648944,0,0,26755176,0,4369 63,3,2024-09-07 09:37:01:751,1,512,1,0,667,5556,512,0 64,0,2024-09-07 09:37:01:519,125755,0.5,125767,0.6,251138,0.4,333964,2.00 64,1,2024-09-07 09:37:00:751,900659,900659,0,0,422785978932,4404269947,892770,6118,1771,370,391794,0 64,2,2024-09-07 09:37:01:142,648602,648583,19,0,25710163,0,6121 64,3,2024-09-07 09:37:01:141,1,512,8,0,651,5458,512,0 65,0,2024-09-07 09:37:01:676,127625,0.6,127907,0.8,255171,0.7,340914,2.00 65,1,2024-09-07 09:37:00:866,898774,898774,0,0,421814374428,4410391291,892028,5950,796,381,391901,0 65,2,2024-09-07 09:37:01:692,645418,645418,0,0,30170793,0,3367 65,3,2024-09-07 09:37:01:683,1,512,2,0,782,6120,512,0 66,0,2024-09-07 09:37:01:770,132190,0.6,131747,0.8,263603,0.6,350755,2.25 66,1,2024-09-07 09:37:01:293,900417,900417,0,0,422689962439,4401441672,894269,5426,722,380,391743,0 66,2,2024-09-07 09:37:01:131,653358,653355,3,0,27613598,0,5455 66,3,2024-09-07 09:37:01:088,1,512,1,0,291,4497,512,0 67,0,2024-09-07 09:37:01:428,126670,0.5,126303,0.7,253294,0.5,337255,2.00 67,1,2024-09-07 09:37:00:765,900675,900674,0,1,423191868399,4407242097,894403,5457,814,380,391787,1 67,2,2024-09-07 09:37:00:586,651991,651976,15,0,26665554,0,6205 67,3,2024-09-07 09:37:01:751,1,512,13,0,595,5505,512,0 68,0,2024-09-07 09:37:00:600,126171,0.5,126053,0.7,251180,0.5,335593,2.00 68,1,2024-09-07 09:37:00:569,896939,896939,0,0,421259583323,4432116152,884701,8838,3400,381,391953,0 68,2,2024-09-07 09:37:01:054,640190,640090,100,0,34188228,0,8578 68,3,2024-09-07 09:37:00:734,1,512,1,0,417,6733,512,0 69,0,2024-09-07 09:37:01:784,126988,0.6,127903,0.8,254776,0.7,338982,2.25 69,1,2024-09-07 09:37:01:028,895717,895717,0,0,420401231673,4432388277,883517,9441,2759,384,391994,0 69,2,2024-09-07 09:37:01:759,644393,644364,29,0,35204288,0,6912 69,3,2024-09-07 09:37:00:765,1,512,19,0,698,7737,512,0 70,0,2024-09-07 09:37:01:531,130732,0.9,131230,1.0,263787,0.9,349238,2.50 70,1,2024-09-07 09:37:00:803,901276,901276,0,0,423485712320,4396174731,894022,6492,762,366,391725,0 70,2,2024-09-07 09:37:01:330,650606,650606,0,0,29739367,0,4323 70,3,2024-09-07 09:37:00:749,1,512,1,0,854,5684,512,0 71,0,2024-09-07 09:37:01:358,125718,1.2,125408,1.1,252120,1.7,336819,3.00 71,1,2024-09-07 09:37:01:596,898891,898891,0,0,422268237647,4410324841,887499,10137,1255,368,391738,0 71,2,2024-09-07 09:37:01:070,648634,648634,0,0,30425150,0,4352 71,3,2024-09-07 09:37:01:751,1,512,1,0,644,6101,512,0 72,0,2024-09-07 09:37:01:039,130983,0.5,128032,0.7,249771,0.5,339444,2.00 72,1,2024-09-07 09:37:01:028,897190,897190,0,0,421339217212,4422650267,883808,11100,2282,369,391819,0 72,2,2024-09-07 09:37:01:760,643813,643813,0,0,31500469,0,3983 72,3,2024-09-07 09:37:01:759,1,512,1,0,564,7394,512,0 73,0,2024-09-07 09:37:01:106,123059,0.4,126341,0.6,258076,0.4,335823,2.00 73,1,2024-09-07 09:37:00:778,900579,900579,0,0,422666000687,4389772069,894353,5801,425,367,391858,0 73,2,2024-09-07 09:37:01:752,645310,645310,0,0,31983558,0,4728 73,3,2024-09-07 09:37:00:969,1,512,9,0,486,6448,512,0 74,0,2024-09-07 09:37:01:325,132947,0.5,136131,0.7,259668,0.5,352899,2.00 74,1,2024-09-07 09:37:00:635,898615,898615,0,0,421578029493,4404341830,888166,8525,1924,381,391762,0 74,2,2024-09-07 09:37:01:005,647159,647159,0,0,27892008,0,4253 74,3,2024-09-07 09:37:01:443,1,512,1,0,522,6195,512,0 75,0,2024-09-07 09:37:01:764,128663,0.6,127768,0.8,256813,0.6,342746,2.25 75,1,2024-09-07 09:37:01:585,899659,899659,0,0,422097957056,4401881824,891775,7024,860,380,391739,0 75,2,2024-09-07 09:37:01:351,648561,648561,0,0,33380666,0,4766 75,3,2024-09-07 09:37:01:066,1,512,1,0,702,6153,512,0 76,0,2024-09-07 09:37:00:618,126515,0.5,125787,0.7,252198,0.5,337122,2.25 76,1,2024-09-07 09:37:00:813,898803,898803,0,0,422092181167,4404075375,892183,5653,967,382,391692,0 76,2,2024-09-07 09:37:01:070,650412,650409,3,0,28380516,0,5265 76,3,2024-09-07 09:37:01:142,1,512,1,0,227,4826,512,0 77,0,2024-09-07 09:37:01:706,125403,0.5,125864,0.7,251853,0.5,333653,2.00 77,1,2024-09-07 09:37:00:825,899789,899789,0,0,422831861308,4411381037,892957,6237,595,381,391869,0 77,2,2024-09-07 09:37:01:297,644673,644673,0,0,27859232,0,3890 77,3,2024-09-07 09:37:01:100,1,512,7,0,401,5510,512,0 78,0,2024-09-07 09:37:01:716,129480,0.4,129042,0.6,258860,0.4,343433,2.00 78,1,2024-09-07 09:37:00:612,899267,899267,0,0,421601964928,4398555593,888501,8240,2526,367,391670,0 78,2,2024-09-07 09:37:01:411,647091,647078,13,0,25933143,0,8313 78,3,2024-09-07 09:37:01:133,1,512,1,0,311,4514,512,0 79,0,2024-09-07 09:37:01:348,126794,0.4,129774,0.6,265865,0.4,345794,2.25 79,1,2024-09-07 09:37:00:571,902062,902062,0,0,423405457949,4388915289,894330,6375,1357,367,391682,0 79,2,2024-09-07 09:37:01:067,651499,651499,0,0,25009234,0,4195 79,3,2024-09-07 09:37:00:749,1,512,14,0,418,6270,512,0 80,0,2024-09-07 09:37:01:085,126788,0.5,130245,0.7,249279,0.5,337537,2.00 80,1,2024-09-07 09:37:01:625,899249,899249,0,0,422745638561,4401330559,893427,5396,426,368,392269,0 80,2,2024-09-07 09:37:01:092,651543,651543,0,0,25591604,0,4433 80,3,2024-09-07 09:37:00:577,1,512,1,0,296,6188,512,0 81,0,2024-09-07 09:37:01:582,126327,0.5,129313,0.7,246589,0.5,335402,2.00 81,1,2024-09-07 09:37:01:699,898167,898167,0,0,421061632935,4403409161,890770,6759,638,382,391885,0 81,2,2024-09-07 09:37:01:133,643234,643171,63,0,28505823,0,5932 81,3,2024-09-07 09:37:01:119,1,512,1,0,719,5941,512,0 82,0,2024-09-07 09:37:01:531,127778,0.5,127908,0.7,256280,0.4,340212,2.00 82,1,2024-09-07 09:37:00:591,900528,900524,0,4,423362894479,4402537956,895446,4258,820,381,391768,4 82,2,2024-09-07 09:37:01:696,647430,647430,0,0,23747015,0,4484 82,3,2024-09-07 09:37:01:752,1,512,1,0,363,4967,512,0 83,0,2024-09-07 09:37:01:527,132885,0.6,132906,0.8,265131,0.7,353119,2.25 83,1,2024-09-07 09:37:00:551,899744,899744,0,0,422749170501,4408255148,893390,5900,454,382,391709,0 83,2,2024-09-07 09:37:00:765,651298,651273,25,0,25799693,0,5612 83,3,2024-09-07 09:37:00:749,1,512,8,0,1260,6477,512,0 84,0,2024-09-07 09:37:01:764,126030,0.8,126208,0.9,252008,0.7,337147,2.25 84,1,2024-09-07 09:37:01:038,898163,898163,0,0,421613732815,4409830866,887958,8683,1522,367,391967,0 84,2,2024-09-07 09:37:00:579,648583,648553,30,0,33938628,0,5971 84,3,2024-09-07 09:37:01:140,1,512,7,0,908,7357,512,0 85,0,2024-09-07 09:37:01:051,121588,0.6,121550,0.8,257969,0.5,333696,2.25 85,1,2024-09-07 09:37:00:567,894944,894944,0,0,421340632917,4451763222,880682,11604,2658,381,392092,0 85,2,2024-09-07 09:37:00:867,642601,642601,0,0,31563507,0,4255 85,3,2024-09-07 09:37:00:686,1,512,13,0,789,5964,512,0 86,0,2024-09-07 09:37:00:902,126451,0.6,130057,0.7,248735,0.6,336770,2.25 86,1,2024-09-07 09:37:00:826,897945,897945,0,0,421875888310,4422590689,886653,9316,1976,366,391995,0 86,2,2024-09-07 09:37:00:863,643988,643987,1,0,34043565,0,5004 86,3,2024-09-07 09:37:00:601,1,512,0,0,308,7469,512,0 87,0,2024-09-07 09:37:01:292,132345,0.9,132198,0.9,264197,1.2,353565,2.25 87,1,2024-09-07 09:37:00:567,896953,896953,0,0,421112161641,4415464508,884760,10478,1715,366,392076,0 87,2,2024-09-07 09:37:01:074,648409,648403,6,0,29904553,0,6323 87,3,2024-09-07 09:37:01:802,1,512,9,0,473,7616,512,0 88,0,2024-09-07 09:37:01:442,127351,0.5,127961,0.6,255715,0.5,340156,2.00 88,1,2024-09-07 09:37:00:585,896297,896297,0,0,421684641673,4418487830,883678,10005,2614,365,392084,0 88,2,2024-09-07 09:37:00:692,649489,649489,0,0,32239788,0,4465 88,3,2024-09-07 09:37:01:267,1,512,12,0,435,6517,512,0 89,0,2024-09-07 09:37:01:789,130682,0.4,126661,0.6,250142,0.4,339717,1.75 89,1,2024-09-07 09:37:00:551,896958,896958,0,0,421910090894,4439131305,885554,9589,1815,382,391866,0 89,2,2024-09-07 09:37:01:134,645785,645785,0,0,30603347,0,3173 89,3,2024-09-07 09:37:01:791,1,512,1,0,468,8420,512,0 90,0,2024-09-07 09:37:01:639,121805,0.4,125254,0.6,255111,0.4,332734,1.75 90,1,2024-09-07 09:37:00:593,898364,898364,0,0,421189110983,4409950736,889861,7770,733,380,391825,0 90,2,2024-09-07 09:37:01:408,643392,643392,0,0,32769919,0,3608 90,3,2024-09-07 09:37:00:931,1,512,26,0,322,6258,512,0 91,0,2024-09-07 09:37:00:934,131083,0.5,127038,0.6,265704,0.4,349432,1.75 91,1,2024-09-07 09:37:00:568,896621,896621,0,0,421241119642,4430871337,885052,9557,2012,381,392047,0 91,2,2024-09-07 09:37:01:356,647285,647285,0,0,28817103,0,4713 91,3,2024-09-07 09:37:00:601,1,512,5,0,216,4874,512,0 92,0,2024-09-07 09:37:01:462,131720,0.5,134605,0.6,256790,0.5,347614,1.75 92,1,2024-09-07 09:37:00:580,898504,898504,0,0,422024422816,4410597500,891000,6299,1205,381,392136,0 92,2,2024-09-07 09:37:01:351,650763,650763,0,0,26221419,0,3259 92,3,2024-09-07 09:37:01:012,1,512,153,0,167,4504,512,0 93,0,2024-09-07 09:37:00:967,127830,0.4,131031,0.6,250156,0.4,338911,1.75 93,1,2024-09-07 09:37:00:805,899111,899111,0,0,422111241259,4409128550,888831,8547,1733,366,391776,0 93,2,2024-09-07 09:37:00:928,648893,648893,0,0,31918623,0,4913 93,3,2024-09-07 09:37:01:407,1,512,0,0,190,4684,512,0 94,0,2024-09-07 09:37:01:626,125841,0.3,126585,0.5,252919,0.3,335772,1.75 94,1,2024-09-07 09:37:00:565,899285,899285,0,0,422867514326,4411352847,893190,5722,373,381,391850,0 94,2,2024-09-07 09:37:00:778,644347,644319,28,0,27207194,0,6179 94,3,2024-09-07 09:37:01:696,1,512,4,0,576,6546,512,0 95,0,2024-09-07 09:37:01:372,128755,0.3,128411,0.5,257315,0.3,343468,1.75 95,1,2024-09-07 09:37:00:854,900527,900527,0,0,423012556445,4397687685,893178,6692,657,365,391786,0 95,2,2024-09-07 09:37:01:016,645319,645319,0,0,25963284,0,3308 95,3,2024-09-07 09:37:01:709,1,512,2,0,718,8018,512,0 96,0,2024-09-07 09:37:01:026,132988,0.4,133052,0.5,265999,0.3,353047,1.75 96,1,2024-09-07 09:37:01:583,899198,899198,0,0,421633836498,4399046948,892814,5472,912,384,391955,0 96,2,2024-09-07 09:37:01:268,652062,652062,0,0,27112794,0,4180 96,3,2024-09-07 09:37:01:139,1,512,1,0,411,5484,512,0 97,0,2024-09-07 09:37:01:326,126707,0.3,126486,0.5,254015,0.3,337488,1.75 97,1,2024-09-07 09:37:00:774,900739,900739,0,0,423436766280,4393339072,894992,4804,943,367,392140,0 97,2,2024-09-07 09:37:00:608,650860,650860,0,0,26583956,0,3679 97,3,2024-09-07 09:37:00:571,1,512,6,0,242,5996,512,0 98,0,2024-09-07 09:37:01:732,125622,0.3,125834,0.4,252332,0.2,335254,1.50 98,1,2024-09-07 09:37:00:572,900134,900134,0,0,422444228060,4400254212,894733,4601,800,381,391997,0 98,2,2024-09-07 09:37:00:777,643877,643877,0,0,26070340,0,4336 98,3,2024-09-07 09:37:00:712,1,512,2,0,840,7961,512,0 99,0,2024-09-07 09:37:01:480,127699,0.3,128300,0.5,255351,0.3,341491,1.75 99,1,2024-09-07 09:37:01:723,900273,900273,0,0,421910813385,4392818707,894312,5035,926,380,392069,0 99,2,2024-09-07 09:37:01:418,646536,646536,0,0,31360368,0,4276 99,3,2024-09-07 09:37:00:584,1,512,3,0,606,5208,512,0 100,0,2024-09-07 09:37:01:497,131973,0.9,132319,1.0,263938,1.0,352668,2.50 100,1,2024-09-07 09:37:00:559,895079,895079,0,0,420080060141,4444283720,880745,11236,3098,378,391989,0 100,2,2024-09-07 09:37:01:822,647815,647804,11,0,30860849,0,5417 100,3,2024-09-07 09:37:01:751,1,512,1,0,627,9363,512,0 101,0,2024-09-07 09:37:01:702,129679,1.3,126363,1.1,247539,1.3,338982,2.50 101,1,2024-09-07 09:37:00:551,896307,896307,0,0,421225916443,4427554514,884032,9875,2400,368,391847,0 101,2,2024-09-07 09:37:01:756,645402,645402,0,0,35871732,0,4871 101,3,2024-09-07 09:37:00:945,1,512,1,0,1250,7902,512,0 102,0,2024-09-07 09:37:00:975,123380,0.6,127196,0.7,258206,0.6,337640,2.00 102,1,2024-09-07 09:37:01:146,896613,896613,0,0,421345570349,4427716994,884409,10184,2020,369,391891,0 102,2,2024-09-07 09:37:01:752,644976,644922,54,0,30171870,0,6768 102,3,2024-09-07 09:37:01:613,1,512,1,0,466,6077,512,0 103,0,2024-09-07 09:37:01:590,130386,0.5,130433,0.7,245899,0.5,338345,2.00 103,1,2024-09-07 09:37:01:629,895168,895168,0,0,420917343318,4445102937,881130,10839,3199,381,391862,0 103,2,2024-09-07 09:37:00:584,644358,644358,0,0,31910025,0,3766 103,3,2024-09-07 09:37:00:755,1,512,2,0,916,6167,512,0 104,0,2024-09-07 09:37:01:046,131041,0.7,131198,0.9,261554,0.7,350819,2.25 104,1,2024-09-07 09:37:01:601,897937,897937,0,0,420992446460,4423628718,884895,10491,2551,365,392168,0 104,2,2024-09-07 09:37:01:666,645533,645533,0,0,30659241,0,3941 104,3,2024-09-07 09:37:01:420,1,512,1,0,1245,9111,512,0 105,0,2024-09-07 09:37:01:076,127097,1.0,123844,1.1,259636,1.2,341022,2.75 105,1,2024-09-07 09:37:00:555,899136,899136,0,0,422124373228,4423744694,887545,9823,1768,365,392009,0 105,2,2024-09-07 09:37:01:327,648477,648477,0,0,30912666,0,4360 105,3,2024-09-07 09:37:01:310,1,512,22,0,399,7344,512,0 106,0,2024-09-07 09:37:00:980,122668,0.7,125637,0.9,257508,0.8,335909,2.50 106,1,2024-09-07 09:37:01:755,898208,898208,0,0,421263551612,4421212695,885602,10959,1647,368,391914,0 106,2,2024-09-07 09:37:00:785,647031,647031,0,0,29233357,0,2920 106,3,2024-09-07 09:37:00:682,1,512,1,0,1224,7748,512,0 107,0,2024-09-07 09:37:01:099,125598,0.8,125857,0.8,251540,0.8,334725,2.00 107,1,2024-09-07 09:37:00:591,896129,896129,0,0,420301614020,4432668382,883232,11504,1393,381,392234,0 107,2,2024-09-07 09:37:01:296,642902,642901,1,0,31848102,0,5024 107,3,2024-09-07 09:37:01:755,1,512,7,0,733,7814,512,0 108,0,2024-09-07 09:37:01:766,129005,0.4,129796,0.6,258199,0.4,344945,1.75 108,1,2024-09-07 09:37:01:295,898673,898673,0,0,422337212486,4406415579,890773,6930,970,367,391857,0 108,2,2024-09-07 09:37:01:756,645102,645102,0,0,28670709,0,4246 108,3,2024-09-07 09:37:01:343,1,512,4,0,749,10269,512,0 109,0,2024-09-07 09:37:01:774,132013,0.4,130789,0.6,262277,0.4,349987,1.75 109,1,2024-09-07 09:37:00:587,896440,896440,0,0,421521002058,4423413908,888233,6991,1216,382,392132,0 109,2,2024-09-07 09:37:00:928,648726,648726,0,0,28656778,0,3617 109,3,2024-09-07 09:37:01:139,1,512,1,0,630,6493,512,0 110,0,2024-09-07 09:37:01:760,126793,0.4,123374,0.6,258484,0.3,338748,1.75 110,1,2024-09-07 09:37:01:647,899923,899923,0,0,422938742535,4394297172,892395,5606,1922,368,392045,0 110,2,2024-09-07 09:37:01:308,649872,649872,0,0,27139966,0,4067 110,3,2024-09-07 09:37:00:699,1,512,11,0,722,7208,512,0 111,0,2024-09-07 09:37:01:439,126622,0.3,125943,0.5,252284,0.3,336724,1.75 111,1,2024-09-07 09:37:01:009,901640,901640,0,0,424304400916,4399943515,897097,4186,357,380,391690,0 111,2,2024-09-07 09:37:01:121,643518,643518,0,0,27624297,0,4823 111,3,2024-09-07 09:37:00:913,1,512,1,0,379,5898,512,0 112,0,2024-09-07 09:37:00:964,128764,0.3,128162,0.4,257131,0.2,341236,1.50 112,1,2024-09-07 09:37:00:827,900283,900283,0,0,422833210744,4390972611,894389,5204,690,380,391624,0 112,2,2024-09-07 09:37:01:133,645432,645431,1,0,26286935,0,5036 112,3,2024-09-07 09:37:00:608,1,512,3,0,282,4950,512,0 113,0,2024-09-07 09:37:00:873,133168,0.3,132994,0.5,266271,0.3,355273,1.75 113,1,2024-09-07 09:37:01:692,903231,903231,0,0,424370528072,4380315292,897983,4435,813,365,391661,0 113,2,2024-09-07 09:37:01:316,653739,653739,0,0,24011486,0,3813 113,3,2024-09-07 09:37:00:686,1,512,3,0,340,5473,512,0 114,0,2024-09-07 09:37:00:882,127448,0.3,128326,0.5,255035,0.2,341079,1.75 114,1,2024-09-07 09:37:00:716,900174,900174,0,0,423226575775,4396517967,893269,5289,1616,381,391565,0 114,2,2024-09-07 09:37:00:878,651242,651241,1,0,25893450,0,5069 114,3,2024-09-07 09:37:01:278,1,512,0,0,395,4233,512,0 115,0,2024-09-07 09:37:00:555,126835,0.3,127333,0.4,253894,0.2,337271,1.50 115,1,2024-09-07 09:37:00:571,900979,900979,0,0,423134725652,4398308638,893579,6043,1357,382,391757,0 115,2,2024-09-07 09:37:01:126,646879,646879,0,0,25374091,0,4382 115,3,2024-09-07 09:37:01:002,1,512,1,0,167,2966,512,0 116,0,2024-09-07 09:37:01:699,126211,0.6,126113,0.8,252654,0.6,337860,2.00 116,1,2024-09-07 09:37:00:805,896488,896488,0,0,421284531933,4441011093,885845,8199,2444,380,392089,0 116,2,2024-09-07 09:37:01:752,644494,644494,0,0,32372875,0,4475 116,3,2024-09-07 09:37:00:912,1,512,2,0,415,6394,512,0 117,0,2024-09-07 09:37:01:002,132656,0.8,132115,0.8,264781,0.9,354178,2.00 117,1,2024-09-07 09:37:01:584,897109,897109,0,0,421832136823,4416804524,887124,8760,1225,369,392033,0 117,2,2024-09-07 09:37:01:119,650557,650557,0,0,28243456,0,4303 117,3,2024-09-07 09:37:01:079,1,512,10,0,490,7083,512,0 118,0,2024-09-07 09:37:01:764,123637,0.6,127101,0.7,259087,0.6,338716,2.00 118,1,2024-09-07 09:37:00:585,896926,896926,0,0,420822942270,4425522939,883431,10375,3120,366,392054,0 118,2,2024-09-07 09:37:01:586,648639,648639,0,0,30886068,0,2842 118,3,2024-09-07 09:37:01:763,1,512,2,0,248,5881,512,0 119,0,2024-09-07 09:37:01:361,126679,0.6,127101,0.8,253979,0.6,337924,2.00 119,1,2024-09-07 09:37:00:548,897448,897448,0,0,421835093636,4421976410,885728,9954,1766,367,391857,0 119,2,2024-09-07 09:37:01:265,646911,646911,0,0,28794375,0,4174 119,3,2024-09-07 09:37:01:325,1,512,2,0,1358,9722,512,0 120,0,2024-09-07 09:37:01:655,124882,0.6,124642,0.8,250375,0.6,333456,2.25 120,1,2024-09-07 09:37:00:864,898205,898205,0,0,421909568305,4422166067,888918,8508,779,368,392144,0 120,2,2024-09-07 09:37:00:778,644449,644448,1,0,33142237,0,5281 120,3,2024-09-07 09:37:01:293,1,512,1,0,279,6417,512,0 121,0,2024-09-07 09:37:01:692,130747,1.4,130183,1.1,260911,1.9,348622,2.50 121,1,2024-09-07 09:37:01:655,898664,898664,0,0,422062319208,4412261389,889938,8025,701,367,391840,0 121,2,2024-09-07 09:37:01:133,645230,645230,0,0,30309925,0,4157 121,3,2024-09-07 09:37:00:727,1,512,2,0,330,6164,512,0 122,0,2024-09-07 09:37:01:775,129688,0.9,126234,0.9,264536,1.0,347462,2.25 122,1,2024-09-07 09:37:00:868,897048,897048,0,0,422098513303,4428020725,884612,10551,1885,366,392130,0 122,2,2024-09-07 09:37:01:318,650749,650674,75,0,34737561,0,5989 122,3,2024-09-07 09:37:00:594,1,512,2,0,512,8748,512,0 123,0,2024-09-07 09:37:00:976,126584,0.8,123221,0.9,258037,0.9,337530,2.25 123,1,2024-09-07 09:37:00:572,897510,897510,0,0,421358304888,4433875782,882366,12641,2503,369,392039,0 123,2,2024-09-07 09:37:01:037,646835,646834,1,0,29521331,0,5215 123,3,2024-09-07 09:37:01:135,1,512,16,0,478,6029,512,0 124,0,2024-09-07 09:37:00:978,129924,0.3,129850,0.5,244819,0.3,336823,1.75 124,1,2024-09-07 09:37:01:032,900650,900650,0,0,422522992050,4393748167,893844,5675,1131,365,392178,0 124,2,2024-09-07 09:37:01:011,645415,645362,53,0,26940301,0,6487 124,3,2024-09-07 09:37:00:758,1,512,1,0,490,5390,512,0 125,0,2024-09-07 09:37:01:444,128481,0.4,128193,0.6,257739,0.3,343256,1.75 125,1,2024-09-07 09:37:00:887,899186,899186,0,0,422330674421,4409402396,892217,5987,982,382,391813,0 125,2,2024-09-07 09:37:01:121,647875,647875,0,0,26991438,0,4534 125,3,2024-09-07 09:37:01:129,1,512,2,0,709,6156,512,0 126,0,2024-09-07 09:37:01:445,132896,0.4,136657,0.6,261363,0.4,353559,1.75 126,1,2024-09-07 09:37:00:571,901463,901463,0,0,423280046220,4382375029,896794,4359,310,365,391987,0 126,2,2024-09-07 09:37:00:618,652223,652223,0,0,27878717,0,4539 126,3,2024-09-07 09:37:00:907,1,512,5,0,268,5801,512,0 127,0,2024-09-07 09:37:01:613,126877,0.3,127303,0.5,253932,0.3,337713,1.75 127,1,2024-09-07 09:37:00:574,900103,900103,0,0,422599512439,4399361515,890736,7863,1504,364,392187,0 127,2,2024-09-07 09:37:00:642,649038,649034,4,0,26547831,0,5305 127,3,2024-09-07 09:37:01:268,1,512,1,0,968,5175,512,0 128,0,2024-09-07 09:37:01:544,126442,0.3,126613,0.4,252754,0.2,336068,1.50 128,1,2024-09-07 09:37:01:607,899350,899350,0,0,422762880911,4393345379,892875,5740,735,367,392031,0 128,2,2024-09-07 09:37:01:395,645504,645504,0,0,24778398,0,3171 128,3,2024-09-07 09:37:00:776,1,512,9,0,1082,8314,512,0 129,0,2024-09-07 09:37:01:018,128761,0.3,128279,0.5,256744,0.3,341888,1.50 129,1,2024-09-07 09:37:00:573,897183,897183,0,0,421205925366,4416445934,888160,7078,1945,379,391962,0 129,2,2024-09-07 09:37:00:685,646780,646776,4,0,27087432,0,5335 129,3,2024-09-07 09:37:00:694,1,512,1,0,506,7270,512,0 130,0,2024-09-07 09:37:01:729,133116,0.5,132647,0.6,266162,0.4,354159,1.75 130,1,2024-09-07 09:37:00:583,900046,900046,0,0,422569252148,4390888678,895257,4401,388,381,391825,0 130,2,2024-09-07 09:37:01:147,651030,651030,0,0,27124784,0,4067 130,3,2024-09-07 09:37:01:310,1,512,3,0,960,7278,512,0 131,0,2024-09-07 09:37:01:952,127196,0.4,127720,0.5,255813,0.3,339893,1.75 131,1,2024-09-07 09:37:01:820,899615,899615,0,0,422415471441,4408250449,892737,5686,1192,381,391865,0 131,2,2024-09-07 09:37:00:573,650660,650660,0,0,25161910,0,3979 131,3,2024-09-07 09:37:01:689,1,512,0,0,392,6341,512,0 132,0,2024-09-07 09:37:01:411,126942,0.4,128024,0.6,254747,0.4,338795,2.00 132,1,2024-09-07 09:37:00:578,895924,895924,0,0,420863986331,4429074614,883447,10286,2191,381,392532,0 132,2,2024-09-07 09:37:00:698,644759,644742,17,0,32802350,0,6451 132,3,2024-09-07 09:37:01:689,1,512,3,0,804,8719,512,0 133,0,2024-09-07 09:37:01:547,123053,0.4,126033,0.6,258467,0.3,336493,1.75 133,1,2024-09-07 09:37:00:589,895850,895850,0,0,420943594263,4438281285,883344,10815,1691,383,391914,0 133,2,2024-09-07 09:37:01:087,645921,645871,50,0,33165503,0,6861 133,3,2024-09-07 09:37:01:307,1,512,2,0,479,6201,512,0 134,0,2024-09-07 09:37:00:969,132297,0.6,132174,0.7,264627,0.5,352987,2.25 134,1,2024-09-07 09:37:00:612,897544,897544,0,0,421434577059,4419278560,886163,9235,2146,366,391718,0 134,2,2024-09-07 09:37:01:756,646808,646784,24,0,31228992,0,6207 134,3,2024-09-07 09:37:00:751,1,512,8,0,739,6304,512,0 135,0,2024-09-07 09:37:01:119,124566,1.0,124454,0.9,264231,1.2,340425,2.25 135,1,2024-09-07 09:37:01:588,896987,896987,0,0,422376724426,4442595958,885358,10064,1565,380,391805,0 135,2,2024-09-07 09:37:00:696,649767,649767,0,0,31459780,0,4503 135,3,2024-09-07 09:37:01:012,1,512,1,0,900,5262,512,0 136,0,2024-09-07 09:37:01:627,127497,0.5,127701,0.7,254332,0.5,339004,2.00 136,1,2024-09-07 09:37:01:441,898732,898732,0,0,421288563673,4417261567,888364,9100,1268,381,392135,0 136,2,2024-09-07 09:37:01:133,649222,649207,15,0,30598056,0,6007 136,3,2024-09-07 09:37:01:112,1,512,0,0,637,6205,512,0 137,0,2024-09-07 09:37:01:019,129814,0.5,126503,0.7,247908,0.5,336019,2.00 137,1,2024-09-07 09:37:00:581,896811,896811,0,0,420887533463,4412528366,883384,10756,2671,366,391898,0 137,2,2024-09-07 09:37:01:705,643752,643752,0,0,31954147,0,3185 137,3,2024-09-07 09:37:00:786,1,512,12,0,484,6492,512,0 138,0,2024-09-07 09:37:01:762,128629,0.8,128871,0.9,258281,1.0,343362,2.25 138,1,2024-09-07 09:37:01:684,897405,897405,0,0,421599475535,4422642285,884674,10762,1969,368,391954,0 138,2,2024-09-07 09:37:00:586,644766,644766,0,0,29397904,0,4988 138,3,2024-09-07 09:37:00:610,1,512,3,0,1200,8379,512,0 139,0,2024-09-07 09:37:01:397,130193,1.3,130626,1.0,261420,1.9,348324,2.50 139,1,2024-09-07 09:37:00:571,893183,893183,0,0,420119435518,4456278890,877246,12475,3462,380,392058,0 139,2,2024-09-07 09:37:00:692,646790,646790,0,0,33049318,0,3097 139,3,2024-09-07 09:37:01:662,1,512,2,0,432,5956,512,0 140,0,2024-09-07 09:37:01:606,127571,0.3,126807,0.5,254219,0.2,339008,1.75 140,1,2024-09-07 09:37:01:542,902447,902447,0,0,424445657017,4376469794,897866,4043,538,364,391606,0 140,2,2024-09-07 09:37:00:687,650750,650749,1,0,25333050,0,5036 140,3,2024-09-07 09:37:00:767,1,512,1,0,297,4840,512,0 141,0,2024-09-07 09:37:01:701,126551,0.3,129825,0.4,247892,0.2,336471,1.50 141,1,2024-09-07 09:37:00:866,900921,900921,0,0,423505710793,4399974418,893815,6021,1085,379,391614,0 141,2,2024-09-07 09:37:01:686,644431,644420,11,0,26808674,0,5369 141,3,2024-09-07 09:37:01:053,1,512,1,0,391,5892,512,0 142,0,2024-09-07 09:37:01:328,128955,0.3,127985,0.5,256584,0.3,342021,1.50 142,1,2024-09-07 09:37:00:587,899386,899386,0,0,423165728289,4411202480,893727,5200,459,382,392102,0 142,2,2024-09-07 09:37:01:305,645074,645042,32,0,27391492,0,6028 142,3,2024-09-07 09:37:01:755,1,512,2,0,484,5417,512,0 143,0,2024-09-07 09:37:01:393,132981,0.4,132885,0.6,266684,0.4,355222,1.75 143,1,2024-09-07 09:37:00:560,901231,901231,0,0,423135982488,4392468575,895154,5521,556,367,391722,0 143,2,2024-09-07 09:37:00:793,652368,652368,0,0,26913276,0,3123 143,3,2024-09-07 09:37:01:145,1,512,1,0,462,6506,512,0 144,0,2024-09-07 09:37:01:499,123200,0.6,126722,0.8,257790,0.6,338011,2.00 144,1,2024-09-07 09:37:00:571,896798,896798,0,0,420768116659,4417748675,887413,7419,1966,381,391733,0 144,2,2024-09-07 09:37:01:755,650357,650357,0,0,25717483,0,3673 144,3,2024-09-07 09:37:01:753,1,512,2,0,249,5071,512,0 145,0,2024-09-07 09:37:01:368,122310,0.5,122353,0.8,259608,0.5,333961,2.25 145,1,2024-09-07 09:37:00:552,895973,895973,0,0,420787716353,4431450673,883617,9890,2466,382,391759,0 145,2,2024-09-07 09:37:01:438,642004,641922,82,0,31576072,0,7814 145,3,2024-09-07 09:37:00:899,1,512,3,0,622,7135,512,0 146,0,2024-09-07 09:37:01:621,126550,0.5,126044,0.7,253005,0.5,336258,2.00 146,1,2024-09-07 09:37:01:590,897415,897415,0,0,421591827464,4432937824,882991,10623,3801,367,391770,0 146,2,2024-09-07 09:37:01:699,644434,644428,6,0,29563272,0,5151 146,3,2024-09-07 09:37:01:280,1,512,8,0,1520,8799,512,0 147,0,2024-09-07 09:37:01:723,132654,0.6,132319,0.8,264324,0.6,353475,2.25 147,1,2024-09-07 09:37:01:382,900830,900830,0,0,423911785754,4405688061,893547,6404,879,367,391791,0 147,2,2024-09-07 09:37:01:013,648592,648592,0,0,26668363,0,2968 147,3,2024-09-07 09:37:00:913,1,512,0,0,1626,8451,512,0 0,0,2024-09-07 09:37:11:727,122165,0.6,122148,0.7,259219,0.6,334953,2.00 0,1,2024-09-07 09:37:10:800,899420,899420,0,0,422170683152,4422180245,891910,6670,840,369,391896,0 0,2,2024-09-07 09:37:11:067,648224,648224,0,0,26752890,0,4480 0,3,2024-09-07 09:37:10:976,1,513,2,0,431,7186,513,0 1,0,2024-09-07 09:37:11:767,130996,1.0,130384,1.0,261601,1.3,350128,2.00 1,1,2024-09-07 09:37:10:558,898706,898706,0,0,421426733048,4417990958,889996,7243,1467,370,391859,0 1,2,2024-09-07 09:37:10:642,648275,648275,0,0,26172471,0,3380 1,3,2024-09-07 09:37:11:304,1,513,6,0,269,6494,513,0 2,0,2024-09-07 09:37:11:565,129971,0.6,129967,0.8,259657,0.7,346181,2.00 2,1,2024-09-07 09:37:10:859,901631,901631,0,0,423441698685,4401841853,896659,4055,917,379,391745,0 2,2,2024-09-07 09:37:11:266,652015,652015,0,0,24875729,0,3594 2,3,2024-09-07 09:37:10:691,1,513,0,0,357,4740,513,0 3,0,2024-09-07 09:37:11:750,127168,0.4,126902,0.6,253528,0.3,337860,2.00 3,1,2024-09-07 09:37:11:622,900463,900463,0,0,423304143766,4408213416,892997,6764,702,379,391716,0 3,2,2024-09-07 09:37:11:142,650645,650622,23,0,25925879,0,5851 3,3,2024-09-07 09:37:11:754,1,513,13,0,207,3238,513,0 4,0,2024-09-07 09:37:11:766,122509,0.4,125868,0.5,256672,0.3,335485,1.75 4,1,2024-09-07 09:37:10:601,896703,896703,0,0,420407209258,4455716087,880398,12620,3685,370,391992,0 4,2,2024-09-07 09:37:11:026,642996,642996,0,0,31616385,0,4534 4,3,2024-09-07 09:37:11:035,1,513,7,0,448,6672,513,0 5,0,2024-09-07 09:37:11:379,128771,0.4,129247,0.6,258211,0.4,343599,1.75 5,1,2024-09-07 09:37:10:755,898115,898115,0,0,422632875945,4449667907,885381,10321,2413,367,392005,0 5,2,2024-09-07 09:37:11:838,644055,644055,0,0,30555488,0,3582 5,3,2024-09-07 09:37:11:732,1,513,30,0,457,7052,513,0 6,0,2024-09-07 09:37:10:918,132828,0.5,132391,0.7,265187,0.5,353026,2.00 6,1,2024-09-07 09:37:10:752,900208,900208,0,0,422213848598,4411177219,890690,8062,1456,379,391702,0 6,2,2024-09-07 09:37:11:118,652213,652195,18,0,29786098,0,5535 6,3,2024-09-07 09:37:11:274,1,513,1,0,710,6219,513,0 7,0,2024-09-07 09:37:11:537,126250,0.5,127108,0.7,253142,0.5,337016,2.00 7,1,2024-09-07 09:37:10:850,898580,898580,0,0,422363197430,4437457165,885658,10690,2232,382,391747,0 7,2,2024-09-07 09:37:10:779,650789,650789,0,0,28974115,0,4791 7,3,2024-09-07 09:37:10:851,1,513,0,0,552,5883,513,0 8,0,2024-09-07 09:37:11:378,126462,0.3,126120,0.5,253059,0.3,336837,1.75 8,1,2024-09-07 09:37:11:034,896984,896984,0,0,421895586157,4435633977,882795,11060,3129,366,392853,0 8,2,2024-09-07 09:37:10:796,640663,640661,2,0,34266833,0,5112 8,3,2024-09-07 09:37:10:595,1,513,23,0,538,7765,513,0 9,0,2024-09-07 09:37:11:104,128094,0.3,124537,0.5,260838,0.3,342114,1.75 9,1,2024-09-07 09:37:10:556,897156,897156,0,0,422549051207,4450174787,883743,10765,2648,369,392001,0 9,2,2024-09-07 09:37:11:082,645564,645563,1,0,30972199,0,5281 9,3,2024-09-07 09:37:11:753,1,513,1,0,1273,8652,513,0 10,0,2024-09-07 09:37:11:599,132696,0.4,132209,0.5,265290,0.3,353332,1.75 10,1,2024-09-07 09:37:10:582,899732,899732,0,0,421603569189,4418279556,887374,10268,2090,381,391869,0 10,2,2024-09-07 09:37:10:762,652081,652081,0,0,33707028,0,4264 10,3,2024-09-07 09:37:10:874,1,513,1,0,649,5319,513,0 11,0,2024-09-07 09:37:11:006,127323,0.5,123555,0.7,258306,0.5,340577,1.75 11,1,2024-09-07 09:37:10:577,900408,900408,0,0,423310091273,4439548031,886932,10014,3462,383,391756,0 11,2,2024-09-07 09:37:11:122,650236,650236,0,0,29716009,0,4130 11,3,2024-09-07 09:37:11:308,1,513,52,0,843,6943,513,0 12,0,2024-09-07 09:37:10:956,127817,0.4,127825,0.5,255662,0.3,339531,1.75 12,1,2024-09-07 09:37:10:939,899924,899924,0,0,422697199093,4410991682,892266,6780,878,370,391960,0 12,2,2024-09-07 09:37:11:552,645438,645438,0,0,29534959,0,4390 12,3,2024-09-07 09:37:11:071,1,513,10,0,386,7009,513,0 13,0,2024-09-07 09:37:11:376,127490,0.4,127232,0.6,253849,0.4,338397,1.75 13,1,2024-09-07 09:37:11:556,898080,898080,0,0,421546915278,4432388517,888462,7325,2293,382,391740,0 13,2,2024-09-07 09:37:10:598,648784,648784,0,0,26482635,0,3287 13,3,2024-09-07 09:37:11:772,1,513,0,0,522,7224,513,0 14,0,2024-09-07 09:37:10:571,132503,0.4,133477,0.6,264752,0.4,353142,1.75 14,1,2024-09-07 09:37:11:564,904055,904055,0,0,425107140627,4399743018,897149,6178,728,364,391673,0 14,2,2024-09-07 09:37:10:765,649632,649602,30,0,27911676,0,6104 14,3,2024-09-07 09:37:11:117,1,513,0,0,1168,5832,513,0 15,0,2024-09-07 09:37:11:563,128237,0.5,127704,0.7,256449,0.4,341539,2.00 15,1,2024-09-07 09:37:11:611,901389,901389,0,0,423601844900,4413067301,893659,6245,1485,381,391619,0 15,2,2024-09-07 09:37:10:998,653197,653197,0,0,23479061,0,3622 15,3,2024-09-07 09:37:11:408,1,513,0,0,1126,7306,513,0 16,0,2024-09-07 09:37:10:943,127107,0.5,127708,0.7,254651,0.5,338641,2.00 16,1,2024-09-07 09:37:10:563,901175,901175,0,0,422881978264,4423159226,892769,7108,1298,370,392194,0 16,2,2024-09-07 09:37:11:436,648436,648436,0,0,27751891,0,4719 16,3,2024-09-07 09:37:11:145,1,513,0,0,358,6516,513,0 17,0,2024-09-07 09:37:11:824,129844,0.5,126561,0.7,247915,0.5,335995,2.00 17,1,2024-09-07 09:37:10:629,898937,898937,0,0,422474196704,4433914766,889646,7544,1747,368,392075,0 17,2,2024-09-07 09:37:11:684,648985,648984,1,0,28499996,0,5050 17,3,2024-09-07 09:37:10:605,1,513,1,0,518,7788,513,0 18,0,2024-09-07 09:37:10:940,128290,0.6,129210,0.8,257596,0.6,344306,2.25 18,1,2024-09-07 09:37:11:686,903198,903198,0,0,423853183525,4398016002,897090,5112,996,367,391725,0 18,2,2024-09-07 09:37:11:755,649713,649713,0,0,25313574,0,3541 18,3,2024-09-07 09:37:10:895,1,513,1,0,1059,4895,513,0 19,0,2024-09-07 09:37:11:544,130789,0.7,131181,0.8,260741,0.7,347224,2.25 19,1,2024-09-07 09:37:10:566,902140,902140,0,0,423913133456,4397161350,895153,5903,1084,367,391777,0 19,2,2024-09-07 09:37:11:752,654787,654787,0,0,23801683,0,3988 19,3,2024-09-07 09:37:11:130,1,513,3,0,524,4194,513,0 20,0,2024-09-07 09:37:11:372,126860,0.5,127156,0.7,253779,0.5,338574,2.00 20,1,2024-09-07 09:37:10:587,899566,899566,0,0,422949616620,4431892583,890375,7827,1364,369,391922,0 20,2,2024-09-07 09:37:10:933,650091,650091,0,0,28858187,0,3721 20,3,2024-09-07 09:37:10:614,1,513,14,0,468,8884,513,0 21,0,2024-09-07 09:37:11:200,126425,0.4,126579,0.6,253135,0.4,335905,1.75 21,1,2024-09-07 09:37:11:550,898157,898157,0,0,421787411894,4452506979,884009,11029,3119,368,392016,0 21,2,2024-09-07 09:37:11:067,641968,641948,20,0,33674772,0,5617 21,3,2024-09-07 09:37:11:411,1,513,5,0,713,6705,513,0 22,0,2024-09-07 09:37:11:731,128271,0.4,128812,0.6,257101,0.4,341368,2.00 22,1,2024-09-07 09:37:11:024,898780,898780,0,0,421942106530,4443676934,883553,12000,3227,382,391822,0 22,2,2024-09-07 09:37:10:760,645557,645531,26,0,28283322,0,6328 22,3,2024-09-07 09:37:11:067,1,513,1,0,228,4412,513,0 23,0,2024-09-07 09:37:11:370,132978,0.5,132559,0.7,265250,0.5,353752,2.25 23,1,2024-09-07 09:37:11:005,899804,899804,0,0,422693405657,4438093691,884147,11249,4408,365,391690,0 23,2,2024-09-07 09:37:11:094,653578,653578,0,0,26980752,0,3773 23,3,2024-09-07 09:37:11:753,1,513,0,0,855,7650,513,0 24,0,2024-09-07 09:37:10:841,128305,0.4,127570,0.6,256400,0.4,340668,1.75 24,1,2024-09-07 09:37:10:581,898797,898797,0,0,421947886996,4418359067,889841,7282,1674,367,392269,0 24,2,2024-09-07 09:37:11:076,650740,650740,0,0,31843963,0,4438 24,3,2024-09-07 09:37:11:686,1,513,3,0,468,6580,513,0 25,0,2024-09-07 09:37:11:361,130071,0.4,126713,0.6,248539,0.4,338473,1.75 25,1,2024-09-07 09:37:10:557,897974,897974,0,0,422044173512,4452087246,883051,11951,2972,369,391928,0 25,2,2024-09-07 09:37:11:610,643750,643750,0,0,32841845,0,3978 25,3,2024-09-07 09:37:11:001,1,513,1,0,532,5640,513,0 26,0,2024-09-07 09:37:11:736,126453,0.4,123740,0.6,259700,0.3,338323,1.75 26,1,2024-09-07 09:37:11:553,900720,900720,0,0,422301232338,4422637826,887891,10377,2452,380,391748,0 26,2,2024-09-07 09:37:10:860,646660,646660,0,0,34747338,0,4689 26,3,2024-09-07 09:37:11:716,1,513,1,0,796,5707,513,0 27,0,2024-09-07 09:37:11:726,132911,0.4,133324,0.6,265081,0.4,353955,2.25 27,1,2024-09-07 09:37:11:679,901658,901658,0,0,424011958859,4416305585,893107,7282,1269,381,391626,0 27,2,2024-09-07 09:37:10:873,648333,648268,65,0,30340982,0,5699 27,3,2024-09-07 09:37:11:017,1,513,1,0,564,4500,513,0 28,0,2024-09-07 09:37:11:415,128089,0.4,127691,0.6,256285,0.4,341521,2.00 28,1,2024-09-07 09:37:10:797,901692,901692,0,0,423658767757,4418166172,893922,6056,1714,382,391698,0 28,2,2024-09-07 09:37:11:765,651179,651179,0,0,26871981,0,2915 28,3,2024-09-07 09:37:11:785,1,513,30,0,502,5217,513,0 29,0,2024-09-07 09:37:11:357,130620,0.3,127289,0.6,249601,0.3,340214,1.75 29,1,2024-09-07 09:37:11:561,903740,903740,0,0,424028139495,4387368629,898184,4794,762,367,391809,0 29,2,2024-09-07 09:37:10:860,646654,646654,0,0,26204061,0,4986 29,3,2024-09-07 09:37:10:969,1,513,1,0,459,5687,513,0 30,0,2024-09-07 09:37:11:469,125352,0.5,121930,0.7,255318,0.4,333890,2.00 30,1,2024-09-07 09:37:10:576,903335,903335,0,0,424544663839,4407376387,896360,6073,902,380,391672,0 30,2,2024-09-07 09:37:11:273,647431,647431,0,0,25181700,0,4192 30,3,2024-09-07 09:37:10:581,1,513,1,0,519,4988,513,0 31,0,2024-09-07 09:37:11:756,130965,0.5,131249,0.7,262144,0.4,350469,2.00 31,1,2024-09-07 09:37:10:566,906298,906298,0,0,426237678705,4377085311,900975,4312,1011,356,391712,0 31,2,2024-09-07 09:37:11:274,647603,647603,0,0,27831403,0,4470 31,3,2024-09-07 09:37:11:718,1,513,114,0,220,4389,513,0 32,0,2024-09-07 09:37:11:437,130615,0.4,131250,0.5,261277,0.3,347930,1.75 32,1,2024-09-07 09:37:10:816,902663,902663,0,0,424752141124,4416422375,896866,5090,707,381,391646,0 32,2,2024-09-07 09:37:10:947,652496,652496,0,0,24392732,0,3922 32,3,2024-09-07 09:37:11:016,1,513,1,0,304,4247,513,0 33,0,2024-09-07 09:37:11:498,127641,0.3,127217,0.4,254681,0.2,338940,1.50 33,1,2024-09-07 09:37:10:575,903266,903266,0,0,424498443505,4399117678,896038,6055,1173,368,391730,0 33,2,2024-09-07 09:37:10:765,651645,651610,35,0,26986671,0,7012 33,3,2024-09-07 09:37:10:895,1,513,4,0,329,4847,513,0 34,0,2024-09-07 09:37:10:938,126627,0.3,130055,0.4,248547,0.2,334794,1.75 34,1,2024-09-07 09:37:11:044,905071,905071,0,0,425180023699,4374058910,902563,2486,22,367,391562,0 34,2,2024-09-07 09:37:10:765,647378,647378,0,0,25460405,0,4562 34,3,2024-09-07 09:37:11:700,1,513,1,0,320,3995,513,0 35,0,2024-09-07 09:37:10:858,128179,0.3,128909,0.5,258910,0.2,344365,1.75 35,1,2024-09-07 09:37:11:067,902119,902119,0,0,423237916314,4392635786,895462,5444,1213,382,391769,0 35,2,2024-09-07 09:37:11:590,646548,646548,0,0,28002711,0,4055 35,3,2024-09-07 09:37:10:906,1,513,1,0,418,4856,513,0 36,0,2024-09-07 09:37:11:523,133160,0.5,133030,0.7,266105,0.5,353837,2.25 36,1,2024-09-07 09:37:10:592,901526,901526,0,0,423055707084,4421394955,889246,10061,2219,366,391759,0 36,2,2024-09-07 09:37:11:751,652749,652749,0,0,29379352,0,3875 36,3,2024-09-07 09:37:10:865,1,513,1,0,416,7151,513,0 37,0,2024-09-07 09:37:11:405,126481,0.5,126424,0.7,253119,0.5,337578,2.25 37,1,2024-09-07 09:37:10:569,900011,900004,0,7,422925147678,4418123973,888907,8423,2674,365,391770,0 37,2,2024-09-07 09:37:11:142,649101,649086,15,0,29736229,0,5815 37,3,2024-09-07 09:37:11:772,1,513,0,0,888,7269,513,0 38,0,2024-09-07 09:37:11:440,125602,0.4,121836,0.6,254982,0.4,334139,2.00 38,1,2024-09-07 09:37:11:610,901542,901542,0,0,423629191321,4431067803,888314,10709,2519,368,391821,0 38,2,2024-09-07 09:37:10:760,643872,643825,47,0,29843063,0,6710 38,3,2024-09-07 09:37:10:997,1,513,0,0,689,6663,513,0 39,0,2024-09-07 09:37:11:761,131175,0.5,128368,0.7,249978,0.5,341583,2.00 39,1,2024-09-07 09:37:10:716,899321,899321,0,0,422160023326,4432041091,883527,12325,3469,365,391865,0 39,2,2024-09-07 09:37:11:416,647433,647433,0,0,27650533,0,3391 39,3,2024-09-07 09:37:10:715,1,513,0,0,525,6182,513,0 40,0,2024-09-07 09:37:11:510,131297,0.8,132099,1.0,263713,0.9,351916,2.75 40,1,2024-09-07 09:37:10:576,902090,902090,0,0,421929443391,4414271533,890076,9836,2178,368,391668,0 40,2,2024-09-07 09:37:11:310,650533,650532,1,0,32868821,0,5137 40,3,2024-09-07 09:37:11:146,1,513,30,0,1028,7348,513,0 41,0,2024-09-07 09:37:11:030,126732,1.3,129389,1.2,247015,1.9,336504,3.25 41,1,2024-09-07 09:37:10:768,899817,899817,0,0,422242124475,4419079738,887945,9938,1934,369,391878,0 41,2,2024-09-07 09:37:10:759,648539,648538,1,0,31632597,0,5408 41,3,2024-09-07 09:37:11:681,1,513,1,0,366,5340,513,0 42,0,2024-09-07 09:37:11:473,126402,0.8,126050,1.0,252372,0.8,334371,2.50 42,1,2024-09-07 09:37:11:444,897301,897301,0,0,421679438742,4435219274,882292,12101,2908,380,391675,0 42,2,2024-09-07 09:37:11:133,645067,645067,0,0,30335719,0,3975 42,3,2024-09-07 09:37:11:010,1,513,1,0,892,4677,513,0 43,0,2024-09-07 09:37:10:920,125490,0.7,122234,0.9,255858,0.7,335543,2.25 43,1,2024-09-07 09:37:10:587,900424,900424,0,0,423487356483,4425878990,887557,10607,2260,366,391696,0 43,2,2024-09-07 09:37:11:739,647720,647720,0,0,30196746,0,4723 43,3,2024-09-07 09:37:11:750,1,513,1,0,571,7216,513,0 44,0,2024-09-07 09:37:10:866,132542,0.4,132621,0.6,265736,0.4,353614,2.00 44,1,2024-09-07 09:37:10:603,903174,903174,0,0,423733706356,4380138665,895379,6208,1587,356,391809,0 44,2,2024-09-07 09:37:11:277,647748,647748,0,0,25132767,0,4344 44,3,2024-09-07 09:37:11:093,1,513,1,0,817,5643,513,0 45,0,2024-09-07 09:37:11:757,127033,0.5,124025,0.8,260277,0.5,341767,2.00 45,1,2024-09-07 09:37:11:011,901845,901845,0,0,424527527671,4413647262,894334,6635,876,382,391917,0 45,2,2024-09-07 09:37:11:278,652117,652117,0,0,25470966,0,3596 45,3,2024-09-07 09:37:10:940,1,513,0,0,271,4540,513,0 46,0,2024-09-07 09:37:10:949,126632,0.5,126104,0.7,253127,0.4,335796,2.00 46,1,2024-09-07 09:37:10:581,903714,903714,0,0,424105129673,4384334631,897312,5539,863,366,391709,0 46,2,2024-09-07 09:37:10:596,649716,649716,0,0,25694542,0,4443 46,3,2024-09-07 09:37:11:131,1,513,1,0,908,6312,513,0 47,0,2024-09-07 09:37:11:111,126144,0.4,126212,0.6,253143,0.3,334948,1.75 47,1,2024-09-07 09:37:10:567,904468,904468,0,0,424831164576,4389101986,899242,4387,839,365,391641,0 47,2,2024-09-07 09:37:10:912,649259,649259,0,0,25474825,0,4477 47,3,2024-09-07 09:37:11:117,1,513,1,0,600,5894,513,0 48,0,2024-09-07 09:37:11:531,130135,0.3,130225,0.4,259486,0.2,346327,1.50 48,1,2024-09-07 09:37:11:026,902083,902083,0,0,423635408698,4402695219,896294,5216,573,384,391710,0 48,2,2024-09-07 09:37:10:701,647758,647758,0,0,23490627,0,3411 48,3,2024-09-07 09:37:10:753,1,513,1,0,339,4145,513,0 49,0,2024-09-07 09:37:11:790,134836,0.4,132331,0.5,257156,0.3,351245,1.75 49,1,2024-09-07 09:37:11:021,901745,901745,0,0,423781419668,4406484943,896180,4354,1211,382,391809,0 49,2,2024-09-07 09:37:11:803,653466,653466,0,0,26135797,0,4426 49,3,2024-09-07 09:37:11:416,1,513,2,0,992,6051,513,0 50,0,2024-09-07 09:37:11:527,127748,0.3,126033,0.5,254034,0.2,338234,1.75 50,1,2024-09-07 09:37:11:012,904836,904836,0,0,425298824193,4398464575,898892,5277,667,368,391565,0 50,2,2024-09-07 09:37:11:067,650610,650610,0,0,23929364,0,4490 50,3,2024-09-07 09:37:11:294,1,513,2,0,617,5428,513,0 51,0,2024-09-07 09:37:11:684,129817,0.3,126990,0.4,247378,0.2,337400,1.75 51,1,2024-09-07 09:37:11:684,905227,905227,0,0,425213379380,4385503636,900463,3709,1055,365,391706,0 51,2,2024-09-07 09:37:11:316,645863,645863,0,0,23139787,0,3337 51,3,2024-09-07 09:37:11:027,1,513,2,0,678,3764,513,0 52,0,2024-09-07 09:37:11:431,128917,0.5,128460,0.7,257340,0.4,342185,2.00 52,1,2024-09-07 09:37:10:600,901187,901187,0,0,422806932399,4428501262,887962,11120,2105,368,391722,0 52,2,2024-09-07 09:37:11:776,643378,643340,38,0,30804040,0,6742 52,3,2024-09-07 09:37:10:680,1,513,6,0,1782,6655,513,0 53,0,2024-09-07 09:37:11:730,132648,0.7,128731,0.8,269170,0.8,353343,2.50 53,1,2024-09-07 09:37:10:778,899308,899308,0,0,422932576505,4440478565,883953,11511,3844,367,391968,0 53,2,2024-09-07 09:37:11:298,652951,652950,1,0,27760220,0,5455 53,3,2024-09-07 09:37:10:707,1,513,0,0,308,5133,513,0 54,0,2024-09-07 09:37:11:617,125411,0.6,125799,0.8,250448,0.5,334569,2.25 54,1,2024-09-07 09:37:10:583,901380,901380,0,0,423977746123,4412372862,891316,8430,1634,366,391810,0 54,2,2024-09-07 09:37:10:865,651222,651190,32,0,31483355,0,6397 54,3,2024-09-07 09:37:10:763,1,513,1,0,676,6989,513,0 55,0,2024-09-07 09:37:11:772,122138,0.6,126089,0.7,255386,0.5,332788,2.50 55,1,2024-09-07 09:37:10:765,902347,902347,0,0,422714903996,4397473436,892688,8340,1319,365,391731,0 55,2,2024-09-07 09:37:10:729,644308,644252,56,0,29667268,0,7239 55,3,2024-09-07 09:37:10:674,1,513,2,0,304,4866,513,0 56,0,2024-09-07 09:37:11:560,129739,1.1,122253,1.1,251922,1.4,337649,2.75 56,1,2024-09-07 09:37:10:580,897118,897118,0,0,421913769332,4456401426,882804,11402,2912,381,391867,0 56,2,2024-09-07 09:37:11:304,646857,646735,122,0,30829549,0,7432 56,3,2024-09-07 09:37:11:059,1,513,0,0,705,6220,513,0 57,0,2024-09-07 09:37:10:947,130896,1.6,130860,1.3,262083,2.2,350525,3.25 57,1,2024-09-07 09:37:10:989,898783,898783,0,0,422210387409,4431151126,886604,9894,2285,366,392032,0 57,2,2024-09-07 09:37:11:316,650534,650534,0,0,31757791,0,4317 57,3,2024-09-07 09:37:11:738,1,513,9,0,455,5813,513,0 58,0,2024-09-07 09:37:10:631,125885,1.0,122175,1.0,255652,1.2,334893,2.75 58,1,2024-09-07 09:37:10:585,900401,900398,0,3,422841436514,4432943579,886612,10466,3320,367,391675,3 58,2,2024-09-07 09:37:11:071,650630,650630,0,0,30085430,0,3483 58,3,2024-09-07 09:37:11:068,1,513,1,0,1043,5663,513,0 59,0,2024-09-07 09:37:11:738,126472,0.8,126436,1.0,252082,0.9,334413,2.75 59,1,2024-09-07 09:37:10:808,899144,899144,0,0,422423408806,4437397174,884404,11601,3139,369,391653,0 59,2,2024-09-07 09:37:10:581,646752,646752,0,0,28810541,0,3727 59,3,2024-09-07 09:37:11:739,1,513,0,0,1015,6463,513,0 60,0,2024-09-07 09:37:11:802,125594,0.5,125868,0.7,251942,0.5,334752,1.75 60,1,2024-09-07 09:37:10:783,903465,903465,0,0,424457439772,4406209676,897287,5246,932,370,392031,0 60,2,2024-09-07 09:37:11:140,647333,647333,0,0,27460229,0,3811 60,3,2024-09-07 09:37:11:260,1,513,1,0,409,6087,513,0 61,0,2024-09-07 09:37:11:576,130880,0.7,131441,0.8,261884,0.8,350120,2.00 61,1,2024-09-07 09:37:10:778,900085,900085,0,0,422475213142,4425557644,890214,8291,1580,382,392127,0 61,2,2024-09-07 09:37:11:122,648398,648331,67,0,28414103,0,6411 61,3,2024-09-07 09:37:11:693,1,513,1,0,607,7454,513,0 62,0,2024-09-07 09:37:11:716,130814,0.7,134186,0.8,256152,0.7,347752,2.00 62,1,2024-09-07 09:37:11:111,905414,905408,0,6,426004370481,4390998771,901254,3874,280,365,391975,6 62,2,2024-09-07 09:37:11:655,649600,649599,1,0,27716875,0,5555 62,3,2024-09-07 09:37:11:144,1,513,2,0,482,4220,513,0 63,0,2024-09-07 09:37:11:460,127594,0.4,127324,0.6,255600,0.4,339341,1.75 63,1,2024-09-07 09:37:10:819,902776,902770,0,6,423969129150,4402160728,897019,5000,751,381,391800,6 63,2,2024-09-07 09:37:10:762,650084,650084,0,0,26762425,0,4369 63,3,2024-09-07 09:37:11:732,1,513,1,0,667,5557,513,0 64,0,2024-09-07 09:37:11:517,125864,0.5,125881,0.6,251353,0.4,333970,2.00 64,1,2024-09-07 09:37:10:762,902422,902422,0,0,423686611702,4413415081,894533,6118,1771,370,391794,0 64,2,2024-09-07 09:37:11:158,650159,650140,19,0,25727770,0,6121 64,3,2024-09-07 09:37:11:140,1,513,1,0,651,5459,513,0 65,0,2024-09-07 09:37:11:688,128009,0.6,128305,0.8,255945,0.7,341872,2.00 65,1,2024-09-07 09:37:10:861,900547,900547,0,0,422752844103,4419945943,893801,5950,796,381,391901,0 65,2,2024-09-07 09:37:11:696,646707,646707,0,0,30186874,0,3367 65,3,2024-09-07 09:37:11:689,1,513,1,0,782,6121,513,0 66,0,2024-09-07 09:37:11:771,132297,0.6,131846,0.8,263818,0.6,350990,2.25 66,1,2024-09-07 09:37:11:295,902194,902194,0,0,423301984801,4407701122,896046,5426,722,380,391743,0 66,2,2024-09-07 09:37:11:132,654471,654468,3,0,27621951,0,5455 66,3,2024-09-07 09:37:11:080,1,513,1,0,291,4498,513,0 67,0,2024-09-07 09:37:11:425,126941,0.5,126556,0.7,253805,0.5,337837,2.00 67,1,2024-09-07 09:37:10:775,902521,902520,0,1,424032660075,4415814985,896249,5457,814,380,391787,1 67,2,2024-09-07 09:37:10:582,653311,653296,15,0,26678019,0,6205 67,3,2024-09-07 09:37:11:750,1,513,1,0,595,5506,513,0 68,0,2024-09-07 09:37:10:565,126446,0.5,126310,0.7,251682,0.5,336406,2.00 68,1,2024-09-07 09:37:10:577,898706,898706,0,0,422003816577,4439927865,886467,8839,3400,381,391953,0 68,2,2024-09-07 09:37:11:044,641471,641371,100,0,34216313,0,8578 68,3,2024-09-07 09:37:10:728,1,513,24,0,417,6757,513,0 69,0,2024-09-07 09:37:11:724,127371,0.6,128284,0.8,255528,0.6,339679,2.25 69,1,2024-09-07 09:37:11:019,897504,897504,0,0,421299909634,4441650247,885304,9441,2759,384,391994,0 69,2,2024-09-07 09:37:11:732,645605,645576,29,0,35236340,0,6912 69,3,2024-09-07 09:37:10:761,1,513,1,0,698,7738,513,0 70,0,2024-09-07 09:37:11:546,131169,0.9,131635,1.0,264645,0.8,350735,2.50 70,1,2024-09-07 09:37:10:800,902997,902997,0,0,424228761613,4403838323,895742,6493,762,366,391725,0 70,2,2024-09-07 09:37:11:328,652009,652009,0,0,29770959,0,4323 70,3,2024-09-07 09:37:10:747,1,513,1,0,854,5685,513,0 71,0,2024-09-07 09:37:11:357,126058,1.2,125750,1.1,252770,1.6,337635,3.00 71,1,2024-09-07 09:37:11:602,900636,900636,0,0,423013425927,4417952318,889244,10137,1255,368,391738,0 71,2,2024-09-07 09:37:11:067,649993,649993,0,0,30456392,0,4352 71,3,2024-09-07 09:37:11:750,1,513,1,0,644,6102,513,0 72,0,2024-09-07 09:37:11:023,131167,0.5,128169,0.7,250091,0.5,339885,2.00 72,1,2024-09-07 09:37:11:021,898936,898936,0,0,422322519514,4432605771,885554,11100,2282,369,391819,0 72,2,2024-09-07 09:37:11:754,644592,644592,0,0,31518781,0,3983 72,3,2024-09-07 09:37:11:756,1,513,40,0,564,7434,513,0 73,0,2024-09-07 09:37:11:111,123365,0.4,126629,0.6,258650,0.4,336498,2.00 73,1,2024-09-07 09:37:10:766,902332,902332,0,0,423609924925,4399398540,896105,5802,425,367,391858,0 73,2,2024-09-07 09:37:11:743,646651,646651,0,0,32015703,0,4728 73,3,2024-09-07 09:37:10:969,1,513,51,0,486,6499,513,0 74,0,2024-09-07 09:37:11:324,133391,0.5,136552,0.7,260424,0.5,353916,2.00 74,1,2024-09-07 09:37:10:635,900441,900441,0,0,422455813664,4413299585,889992,8525,1924,381,391762,0 74,2,2024-09-07 09:37:11:005,648664,648664,0,0,27985475,0,4253 74,3,2024-09-07 09:37:11:443,1,513,1,0,522,6196,513,0 75,0,2024-09-07 09:37:11:768,128816,0.6,127913,0.8,257138,0.6,343185,2.25 75,1,2024-09-07 09:37:11:589,901407,901407,0,0,422869769601,4409886204,893522,7024,861,380,391739,0 75,2,2024-09-07 09:37:11:349,649908,649908,0,0,33425674,0,4766 75,3,2024-09-07 09:37:11:067,1,513,1,0,702,6154,513,0 76,0,2024-09-07 09:37:10:585,126629,0.5,125887,0.7,252403,0.5,337360,2.25 76,1,2024-09-07 09:37:10:808,900588,900588,0,0,422928132682,4412606490,893965,5656,967,382,391692,0 76,2,2024-09-07 09:37:11:061,651238,651235,3,0,28386786,0,5265 76,3,2024-09-07 09:37:11:142,1,513,1,0,227,4827,513,0 77,0,2024-09-07 09:37:11:697,125458,0.5,125920,0.7,251982,0.5,333980,2.00 77,1,2024-09-07 09:37:10:828,901561,901561,0,0,423738081675,4420607702,894729,6237,595,381,391869,0 77,2,2024-09-07 09:37:11:281,646228,646228,0,0,27872404,0,3890 77,3,2024-09-07 09:37:11:094,1,513,0,0,401,5510,513,0 78,0,2024-09-07 09:37:11:727,129954,0.4,129476,0.6,259678,0.4,344779,2.00 78,1,2024-09-07 09:37:10:614,901062,901062,0,0,422558152883,4408228460,890296,8240,2526,367,391670,0 78,2,2024-09-07 09:37:11:404,648533,648520,13,0,25945593,0,8313 78,3,2024-09-07 09:37:11:133,1,513,2,0,311,4516,513,0 79,0,2024-09-07 09:37:11:346,126882,0.4,129878,0.6,266073,0.4,346090,2.25 79,1,2024-09-07 09:37:10:577,903826,903826,0,0,424120312393,4396183132,896093,6376,1357,367,391682,0 79,2,2024-09-07 09:37:11:068,652587,652587,0,0,25018336,0,4195 79,3,2024-09-07 09:37:10:749,1,513,6,0,418,6276,513,0 80,0,2024-09-07 09:37:11:089,126936,0.5,130422,0.7,249616,0.5,337807,2.00 80,1,2024-09-07 09:37:11:622,900974,900974,0,0,423552251683,4409526684,895152,5396,426,368,392269,0 80,2,2024-09-07 09:37:11:093,652653,652653,0,0,25604297,0,4433 80,3,2024-09-07 09:37:10:577,1,513,0,0,296,6188,513,0 81,0,2024-09-07 09:37:11:547,126609,0.5,129605,0.7,247098,0.5,335936,2.00 81,1,2024-09-07 09:37:11:657,899995,899995,0,0,421785147108,4410824994,892598,6759,638,382,391885,0 81,2,2024-09-07 09:37:11:124,644617,644554,63,0,28518366,0,5932 81,3,2024-09-07 09:37:11:118,1,513,0,0,719,5941,513,0 82,0,2024-09-07 09:37:11:548,128140,0.5,128262,0.7,256998,0.4,341357,2.00 82,1,2024-09-07 09:37:10:587,902348,902344,0,4,424285284801,4411919713,897266,4258,820,381,391768,4 82,2,2024-09-07 09:37:11:692,648854,648854,0,0,23762540,0,4484 82,3,2024-09-07 09:37:11:752,1,513,5,0,363,4972,513,0 83,0,2024-09-07 09:37:11:538,133263,0.6,133277,0.8,265839,0.7,353406,2.25 83,1,2024-09-07 09:37:10:551,901508,901508,0,0,423506422063,4415974870,895154,5900,454,382,391709,0 83,2,2024-09-07 09:37:10:775,652535,652510,25,0,25811510,0,5612 83,3,2024-09-07 09:37:10:755,1,513,3,0,1260,6480,513,0 84,0,2024-09-07 09:37:11:780,126333,0.8,126508,0.9,252632,0.7,337925,2.25 84,1,2024-09-07 09:37:11:047,899888,899888,0,0,422544071184,4419439274,889680,8685,1523,367,391967,0 84,2,2024-09-07 09:37:10:584,649842,649812,30,0,33964715,0,5971 84,3,2024-09-07 09:37:11:141,1,513,4,0,908,7361,513,0 85,0,2024-09-07 09:37:11:024,121750,0.6,121757,0.8,258380,0.5,334257,2.25 85,1,2024-09-07 09:37:10:562,896721,896721,0,0,421999254460,4458658695,882459,11604,2658,381,392092,0 85,2,2024-09-07 09:37:10:865,643611,643611,0,0,31583804,0,4255 85,3,2024-09-07 09:37:10:689,1,513,2,0,789,5966,513,0 86,0,2024-09-07 09:37:10:903,126766,0.6,130407,0.7,249413,0.6,338010,2.25 86,1,2024-09-07 09:37:10:830,899783,899783,0,0,422856980975,4432648745,888491,9316,1976,366,391995,0 86,2,2024-09-07 09:37:10:854,645296,645295,1,0,34072344,0,5004 86,3,2024-09-07 09:37:10:585,1,513,1,0,308,7470,513,0 87,0,2024-09-07 09:37:11:293,132660,0.9,132524,0.9,264833,1.2,354301,2.25 87,1,2024-09-07 09:37:10:553,898736,898736,0,0,421959160382,4424143855,886543,10478,1715,366,392076,0 87,2,2024-09-07 09:37:11:067,649847,649841,6,0,29934627,0,6323 87,3,2024-09-07 09:37:11:796,1,513,0,0,473,7616,513,0 88,0,2024-09-07 09:37:11:457,127597,0.5,128204,0.6,256220,0.5,341054,2.00 88,1,2024-09-07 09:37:10:632,898064,898064,0,0,422262689515,4424534594,885444,10006,2614,365,392084,0 88,2,2024-09-07 09:37:10:863,651035,651035,0,0,32265202,0,4465 88,3,2024-09-07 09:37:11:275,1,513,7,0,435,6524,513,0 89,0,2024-09-07 09:37:11:771,130810,0.4,126803,0.6,250403,0.4,340188,1.75 89,1,2024-09-07 09:37:10:582,898738,898738,0,0,422485979289,4445168760,887334,9589,1815,382,391866,0 89,2,2024-09-07 09:37:11:134,646474,646474,0,0,30620968,0,3173 89,3,2024-09-07 09:37:11:791,1,513,42,0,468,8462,513,0 90,0,2024-09-07 09:37:11:616,122015,0.4,125459,0.6,255555,0.4,333643,1.75 90,1,2024-09-07 09:37:10:607,900054,900054,0,0,422062929394,4419000258,891550,7771,733,380,391825,0 90,2,2024-09-07 09:37:11:411,644854,644854,0,0,32801318,0,3608 90,3,2024-09-07 09:37:10:933,1,513,1,0,322,6259,513,0 91,0,2024-09-07 09:37:10:962,131517,0.5,127478,0.6,266697,0.4,350449,1.75 91,1,2024-09-07 09:37:10:577,898331,898331,0,0,422103324218,4439762707,886760,9559,2012,381,392047,0 91,2,2024-09-07 09:37:11:337,648748,648748,0,0,28906966,0,4713 91,3,2024-09-07 09:37:10:601,1,513,4,0,216,4878,513,0 92,0,2024-09-07 09:37:11:445,131823,0.5,134725,0.6,257006,0.5,347936,1.75 92,1,2024-09-07 09:37:10:583,900271,900271,0,0,422907757716,4419594402,892767,6299,1205,381,392136,0 92,2,2024-09-07 09:37:11:349,651894,651894,0,0,26230333,0,3259 92,3,2024-09-07 09:37:11:012,1,513,1,0,167,4505,513,0 93,0,2024-09-07 09:37:10:992,127946,0.4,131155,0.6,250373,0.4,339247,1.75 93,1,2024-09-07 09:37:10:805,900916,900916,0,0,423118928811,4419329942,890636,8547,1733,366,391776,0 93,2,2024-09-07 09:37:10:927,649964,649964,0,0,31929342,0,4913 93,3,2024-09-07 09:37:11:411,1,513,7,0,190,4691,513,0 94,0,2024-09-07 09:37:11:600,125964,0.3,126696,0.5,253149,0.3,335787,1.75 94,1,2024-09-07 09:37:10:562,901084,901084,0,0,423659927075,4419444872,894989,5722,373,381,391850,0 94,2,2024-09-07 09:37:10:761,645946,645918,28,0,27223316,0,6179 94,3,2024-09-07 09:37:11:688,1,513,1,0,576,6547,513,0 95,0,2024-09-07 09:37:11:346,129141,0.3,128813,0.5,258093,0.3,344380,1.75 95,1,2024-09-07 09:37:10:851,902273,902273,0,0,423818206605,4405859845,894924,6692,657,365,391786,0 95,2,2024-09-07 09:37:11:017,646589,646589,0,0,25973535,0,3308 95,3,2024-09-07 09:37:11:716,1,513,5,0,718,8023,513,0 96,0,2024-09-07 09:37:11:079,133094,0.4,133164,0.5,266217,0.3,353277,1.75 96,1,2024-09-07 09:37:11:584,901043,901043,0,0,422412086478,4406994802,894658,5472,913,384,391955,0 96,2,2024-09-07 09:37:11:274,653200,653200,0,0,27122340,0,4180 96,3,2024-09-07 09:37:11:142,1,513,0,0,411,5484,513,0 97,0,2024-09-07 09:37:11:312,126973,0.3,126752,0.5,254554,0.3,338066,1.75 97,1,2024-09-07 09:37:10:767,902513,902513,0,0,424362682248,4402767381,896766,4804,943,367,392140,0 97,2,2024-09-07 09:37:10:611,652130,652130,0,0,26598888,0,3679 97,3,2024-09-07 09:37:10:577,1,513,1,0,242,5997,513,0 98,0,2024-09-07 09:37:11:704,125915,0.3,126122,0.4,252860,0.2,336142,1.50 98,1,2024-09-07 09:37:10:587,901914,901914,0,0,423409297539,4410099290,896511,4603,800,381,391997,0 98,2,2024-09-07 09:37:10:769,645187,645187,0,0,26085845,0,4336 98,3,2024-09-07 09:37:10:702,1,513,33,0,840,7994,513,0 99,0,2024-09-07 09:37:11:565,128092,0.3,128661,0.5,256115,0.3,342163,1.75 99,1,2024-09-07 09:37:11:732,901981,901981,0,0,422664796947,4400510332,896020,5035,926,380,392069,0 99,2,2024-09-07 09:37:11:422,647743,647743,0,0,31377159,0,4276 99,3,2024-09-07 09:37:10:587,1,513,1,0,606,5209,513,0 100,0,2024-09-07 09:37:11:460,132418,0.9,132705,1.0,264675,1.0,354199,2.50 100,1,2024-09-07 09:37:10:547,896948,896948,0,0,421156603052,4455316146,882614,11236,3098,378,391989,0 100,2,2024-09-07 09:37:11:827,649322,649311,11,0,30882270,0,5417 100,3,2024-09-07 09:37:11:731,1,513,1,0,627,9364,513,0 101,0,2024-09-07 09:37:11:713,129979,1.3,126687,1.1,248221,1.3,339824,2.50 101,1,2024-09-07 09:37:10:550,898153,898153,0,0,422224266271,4437747635,885877,9875,2401,368,391847,0 101,2,2024-09-07 09:37:11:763,646723,646723,0,0,35899555,0,4871 101,3,2024-09-07 09:37:10:942,1,513,56,0,1250,7958,513,0 102,0,2024-09-07 09:37:10:954,123569,0.6,127364,0.7,258544,0.6,338064,2.00 102,1,2024-09-07 09:37:11:143,898340,898340,0,0,422001191974,4434520732,886136,10184,2020,369,391891,0 102,2,2024-09-07 09:37:11:743,645801,645747,54,0,30194040,0,6768 102,3,2024-09-07 09:37:11:623,1,513,3,0,466,6080,513,0 103,0,2024-09-07 09:37:11:601,130700,0.5,130707,0.7,246468,0.5,339011,2.00 103,1,2024-09-07 09:37:11:625,896919,896919,0,0,421464680476,4450792023,882881,10839,3199,381,391862,0 103,2,2024-09-07 09:37:10:606,645780,645780,0,0,31953972,0,3766 103,3,2024-09-07 09:37:10:755,1,513,4,0,916,6171,513,0 104,0,2024-09-07 09:37:11:034,131453,0.7,131642,0.9,262404,0.7,351847,2.25 104,1,2024-09-07 09:37:11:599,899766,899766,0,0,421854902185,4432412652,886724,10491,2551,365,392168,0 104,2,2024-09-07 09:37:11:676,647065,647065,0,0,30768260,0,3941 104,3,2024-09-07 09:37:11:417,1,513,0,0,1245,9111,513,0 105,0,2024-09-07 09:37:11:036,127240,1.0,123980,1.1,259904,1.2,341454,2.75 105,1,2024-09-07 09:37:10:574,900901,900901,0,0,422948058006,4432231340,889310,9823,1768,365,392009,0 105,2,2024-09-07 09:37:11:324,649731,649731,0,0,30948755,0,4360 105,3,2024-09-07 09:37:11:304,1,513,10,0,399,7354,513,0 106,0,2024-09-07 09:37:10:949,122799,0.7,125748,0.9,257721,0.8,336162,2.50 106,1,2024-09-07 09:37:11:749,899890,899890,0,0,422043782465,4429197869,887283,10960,1647,368,391914,0 106,2,2024-09-07 09:37:10:756,647847,647847,0,0,29273662,0,2920 106,3,2024-09-07 09:37:10:681,1,513,1,0,1224,7749,513,0 107,0,2024-09-07 09:37:11:122,125651,0.8,125908,0.8,251646,0.8,335044,2.00 107,1,2024-09-07 09:37:10:591,897889,897889,0,0,421204958331,4442104962,884990,11506,1393,381,392234,0 107,2,2024-09-07 09:37:11:295,644375,644374,1,0,31911928,0,5024 107,3,2024-09-07 09:37:11:763,1,513,2,0,733,7816,513,0 108,0,2024-09-07 09:37:11:789,129469,0.4,130225,0.6,259054,0.4,346289,1.75 108,1,2024-09-07 09:37:11:296,900530,900530,0,0,423541618004,4418612347,892630,6930,970,367,391857,0 108,2,2024-09-07 09:37:11:771,646544,646544,0,0,28686968,0,4246 108,3,2024-09-07 09:37:11:330,1,513,9,0,749,10278,513,0 109,0,2024-09-07 09:37:11:778,132111,0.4,130884,0.6,262475,0.4,350268,1.75 109,1,2024-09-07 09:37:10:602,898177,898177,0,0,422233721221,4430740139,889969,6992,1216,382,392132,0 109,2,2024-09-07 09:37:10:921,649931,649931,0,0,28667667,0,3617 109,3,2024-09-07 09:37:11:149,1,513,1,0,630,6494,513,0 110,0,2024-09-07 09:37:11:761,126964,0.4,123552,0.6,258821,0.3,339007,1.75 110,1,2024-09-07 09:37:11:669,901698,901698,0,0,423780811832,4402826938,894170,5606,1922,368,392045,0 110,2,2024-09-07 09:37:11:313,651073,651073,0,0,27148250,0,4067 110,3,2024-09-07 09:37:10:690,1,513,0,0,722,7208,513,0 111,0,2024-09-07 09:37:11:417,126885,0.3,126229,0.5,252821,0.3,337251,1.75 111,1,2024-09-07 09:37:11:000,903449,903449,0,0,425265974275,4409713470,898906,4186,357,380,391690,0 111,2,2024-09-07 09:37:11:118,645016,645016,0,0,27638097,0,4823 111,3,2024-09-07 09:37:10:913,1,513,1,0,379,5899,513,0 112,0,2024-09-07 09:37:10:919,129095,0.3,128465,0.4,257806,0.2,342390,1.50 112,1,2024-09-07 09:37:10:829,902080,902080,0,0,423602984907,4398832234,896185,5204,691,380,391624,0 112,2,2024-09-07 09:37:11:133,646779,646778,1,0,26301180,0,5036 112,3,2024-09-07 09:37:10:597,1,513,1,0,282,4951,513,0 113,0,2024-09-07 09:37:10:878,133537,0.3,133407,0.5,267013,0.3,355613,1.75 113,1,2024-09-07 09:37:11:693,904930,904930,0,0,425275275554,4389468671,899681,4436,813,365,391661,0 113,2,2024-09-07 09:37:11:308,654951,654951,0,0,24020016,0,3813 113,3,2024-09-07 09:37:10:684,1,513,1,0,340,5474,513,0 114,0,2024-09-07 09:37:10:876,127748,0.3,128618,0.5,255666,0.2,341885,1.75 114,1,2024-09-07 09:37:10:716,901884,901884,0,0,424034592306,4404739274,894979,5289,1616,381,391565,0 114,2,2024-09-07 09:37:10:873,652672,652671,1,0,25908058,0,5069 114,3,2024-09-07 09:37:11:280,1,513,1,0,395,4234,513,0 115,0,2024-09-07 09:37:10:559,126997,0.3,127522,0.4,254263,0.2,337846,1.50 115,1,2024-09-07 09:37:10:576,902761,902761,0,0,423928397981,4406375714,895361,6043,1357,382,391757,0 115,2,2024-09-07 09:37:11:125,647974,647974,0,0,25381820,0,4382 115,3,2024-09-07 09:37:11:004,1,513,1,0,167,2967,513,0 116,0,2024-09-07 09:37:11:695,126556,0.6,126464,0.8,253327,0.6,339108,2.00 116,1,2024-09-07 09:37:10:820,898270,898270,0,0,422127861423,4449829990,887626,8200,2444,380,392089,0 116,2,2024-09-07 09:37:11:760,645797,645797,0,0,32410867,0,4475 116,3,2024-09-07 09:37:10:912,1,513,1,0,415,6395,513,0 117,0,2024-09-07 09:37:10:989,133022,0.8,132446,0.8,265478,0.9,354917,2.00 117,1,2024-09-07 09:37:11:579,898786,898786,0,0,422696974271,4425863232,888799,8762,1225,369,392033,0 117,2,2024-09-07 09:37:11:118,651991,651991,0,0,28336532,0,4303 117,3,2024-09-07 09:37:11:072,1,513,5,0,490,7088,513,0 118,0,2024-09-07 09:37:11:770,123865,0.6,127359,0.7,259590,0.6,339614,2.00 118,1,2024-09-07 09:37:10:585,898503,898503,0,0,421557463180,4433104291,885008,10375,3120,366,392054,0 118,2,2024-09-07 09:37:11:589,650033,650033,0,0,30937743,0,2842 118,3,2024-09-07 09:37:11:766,1,513,0,0,248,5881,513,0 119,0,2024-09-07 09:37:11:334,126800,0.6,127215,0.8,254260,0.6,338415,2.00 119,1,2024-09-07 09:37:10:548,899304,899304,0,0,422946517481,4433323425,887584,9954,1766,367,391857,0 119,2,2024-09-07 09:37:11:262,647599,647599,0,0,28810043,0,4174 119,3,2024-09-07 09:37:11:330,1,513,0,0,1358,9722,513,0 120,0,2024-09-07 09:37:11:593,125093,0.6,124875,0.8,250836,0.6,334320,2.25 120,1,2024-09-07 09:37:10:876,900002,900002,0,0,422903644672,4432350437,890714,8509,779,368,392144,0 120,2,2024-09-07 09:37:10:777,645950,645949,1,0,33176108,0,5281 120,3,2024-09-07 09:37:11:295,1,513,1,0,279,6418,513,0 121,0,2024-09-07 09:37:11:713,131182,1.4,130667,1.1,261827,1.9,349750,2.50 121,1,2024-09-07 09:37:11:657,900413,900413,0,0,422638353666,4418258776,891687,8025,701,367,391840,0 121,2,2024-09-07 09:37:11:129,646752,646752,0,0,30363657,0,4157 121,3,2024-09-07 09:37:10:727,1,513,1,0,330,6165,513,0 122,0,2024-09-07 09:37:11:759,129803,0.9,126349,0.9,264720,1.0,347786,2.25 122,1,2024-09-07 09:37:10:860,898761,898761,0,0,422995561397,4437294470,886325,10551,1885,366,392130,0 122,2,2024-09-07 09:37:11:321,651852,651777,75,0,34765919,0,5989 122,3,2024-09-07 09:37:10:602,1,513,4,0,512,8752,513,0 123,0,2024-09-07 09:37:10:975,126690,0.8,123321,0.9,258240,0.9,337861,2.25 123,1,2024-09-07 09:37:10:557,899360,899360,0,0,422295552529,4443484984,884215,12642,2503,369,392039,0 123,2,2024-09-07 09:37:11:028,647922,647921,1,0,29552840,0,5215 123,3,2024-09-07 09:37:11:138,1,513,1,0,478,6030,513,0 124,0,2024-09-07 09:37:10:928,130043,0.3,129979,0.5,245038,0.3,336846,1.75 124,1,2024-09-07 09:37:11:034,902459,902459,0,0,423095245969,4399628860,895653,5675,1131,365,392178,0 124,2,2024-09-07 09:37:11:049,647022,646969,53,0,26956858,0,6487 124,3,2024-09-07 09:37:10:760,1,513,2,0,490,5392,513,0 125,0,2024-09-07 09:37:11:435,128867,0.4,128593,0.6,258519,0.3,344199,1.75 125,1,2024-09-07 09:37:10:860,900930,900930,0,0,423157208431,4417850527,893961,5987,982,382,391813,0 125,2,2024-09-07 09:37:11:117,649239,649239,0,0,27004597,0,4534 125,3,2024-09-07 09:37:11:128,1,513,2,0,709,6158,513,0 126,0,2024-09-07 09:37:11:446,133001,0.4,136759,0.6,261587,0.4,353780,1.75 126,1,2024-09-07 09:37:10:592,903311,903311,0,0,424178554519,4391483040,898641,4360,310,365,391987,0 126,2,2024-09-07 09:37:10:623,653277,653277,0,0,27889287,0,4539 126,3,2024-09-07 09:37:10:934,1,513,0,0,268,5801,513,0 127,0,2024-09-07 09:37:11:592,127134,0.3,127536,0.5,254493,0.3,338318,1.75 127,1,2024-09-07 09:37:10:570,901895,901895,0,0,423373267747,4407245508,892528,7863,1504,364,392187,0 127,2,2024-09-07 09:37:10:638,650397,650393,4,0,26559440,0,5305 127,3,2024-09-07 09:37:11:271,1,513,1,0,968,5176,513,0 128,0,2024-09-07 09:37:11:547,126726,0.3,126855,0.4,253321,0.2,336951,1.50 128,1,2024-09-07 09:37:11:610,901009,901009,0,0,423607144055,4401928138,894534,5740,735,367,392031,0 128,2,2024-09-07 09:37:11:386,646697,646697,0,0,24791064,0,3171 128,3,2024-09-07 09:37:10:793,1,513,1,0,1082,8315,513,0 129,0,2024-09-07 09:37:11:006,129169,0.3,128649,0.5,257505,0.3,342609,1.50 129,1,2024-09-07 09:37:10:583,898918,898918,0,0,421873068652,4423297323,889895,7078,1945,379,391962,0 129,2,2024-09-07 09:37:10:703,648015,648011,4,0,27099068,0,5335 129,3,2024-09-07 09:37:10:691,1,513,1,0,506,7271,513,0 130,0,2024-09-07 09:37:11:722,133546,0.5,133043,0.6,266943,0.4,355662,1.75 130,1,2024-09-07 09:37:10:688,901843,901843,0,0,423306211432,4398465761,897053,4402,388,381,391825,0 130,2,2024-09-07 09:37:11:127,652523,652523,0,0,27143797,0,4067 130,3,2024-09-07 09:37:11:297,1,513,1,0,960,7279,513,0 131,0,2024-09-07 09:37:11:931,127547,0.4,128040,0.5,256434,0.3,340752,1.75 131,1,2024-09-07 09:37:11:827,901416,901416,0,0,423264629245,4416924616,894538,5686,1192,381,391865,0 131,2,2024-09-07 09:37:10:569,651991,651991,0,0,25174644,0,3979 131,3,2024-09-07 09:37:11:703,1,513,1,0,392,6342,513,0 132,0,2024-09-07 09:37:11:514,127099,0.4,128180,0.6,255091,0.4,339204,2.00 132,1,2024-09-07 09:37:10:576,897700,897700,0,0,421742712995,4438078468,885223,10286,2191,381,392532,0 132,2,2024-09-07 09:37:10:710,645629,645612,17,0,32819079,0,6451 132,3,2024-09-07 09:37:11:703,1,513,3,0,804,8722,513,0 133,0,2024-09-07 09:37:11:543,123359,0.4,126321,0.6,259062,0.3,337134,1.75 133,1,2024-09-07 09:37:10:604,897610,897610,0,0,421721830501,4446287553,885103,10816,1691,383,391914,0 133,2,2024-09-07 09:37:11:085,647404,647354,50,0,33194103,0,6861 133,3,2024-09-07 09:37:11:308,1,513,5,0,479,6206,513,0 134,0,2024-09-07 09:37:10:984,132709,0.6,132573,0.7,265488,0.5,354171,2.25 134,1,2024-09-07 09:37:10:588,899295,899295,0,0,422357301708,4428750851,887914,9235,2146,366,391718,0 134,2,2024-09-07 09:37:11:767,648359,648335,24,0,31263484,0,6207 134,3,2024-09-07 09:37:10:751,1,513,5,0,739,6309,513,0 135,0,2024-09-07 09:37:11:120,124717,1.0,124613,0.9,264508,1.2,340874,2.25 135,1,2024-09-07 09:37:11:590,898717,898717,0,0,423094843854,4450025488,887087,10064,1566,380,391805,0 135,2,2024-09-07 09:37:10:695,651084,651084,0,0,31496247,0,4503 135,3,2024-09-07 09:37:11:008,1,513,1,0,900,5263,513,0 136,0,2024-09-07 09:37:11:620,127624,0.5,127796,0.7,254541,0.5,339251,2.00 136,1,2024-09-07 09:37:11:449,900433,900433,0,0,422229086315,4426865241,890064,9101,1268,381,392135,0 136,2,2024-09-07 09:37:11:215,650025,650010,15,0,30619906,0,6007 136,3,2024-09-07 09:37:11:203,1,513,4,0,637,6209,513,0 137,0,2024-09-07 09:37:10:933,129868,0.5,126557,0.7,248003,0.5,336331,2.00 137,1,2024-09-07 09:37:10:579,898591,898591,0,0,422030759367,4424181340,885164,10756,2671,366,391898,0 137,2,2024-09-07 09:37:11:716,645213,645213,0,0,31996032,0,3185 137,3,2024-09-07 09:37:10:770,1,513,18,0,484,6510,513,0 138,0,2024-09-07 09:37:11:745,129037,0.8,129282,0.9,259164,1.0,344702,2.00 138,1,2024-09-07 09:37:11:685,899121,899121,0,0,422296109107,4429843980,886388,10764,1969,368,391954,0 138,2,2024-09-07 09:37:10:591,646204,646204,0,0,29429427,0,4988 138,3,2024-09-07 09:37:10:618,1,513,2,0,1200,8381,513,0 139,0,2024-09-07 09:37:11:386,130292,1.3,130719,1.0,261600,1.9,348618,2.50 139,1,2024-09-07 09:37:10:585,894920,894920,0,0,421017958839,4465553993,878982,12476,3462,380,392058,0 139,2,2024-09-07 09:37:10:702,647872,647872,0,0,33081951,0,3097 139,3,2024-09-07 09:37:11:678,1,513,3,0,432,5959,513,0 140,0,2024-09-07 09:37:11:595,127750,0.3,126942,0.5,254555,0.2,339266,1.75 140,1,2024-09-07 09:37:11:535,904294,904294,0,0,425501201786,4387138779,899713,4043,538,364,391606,0 140,2,2024-09-07 09:37:10:688,651915,651914,1,0,25344795,0,5036 140,3,2024-09-07 09:37:10:767,1,513,4,0,297,4844,513,0 141,0,2024-09-07 09:37:11:699,126811,0.3,130072,0.4,248431,0.2,336971,1.50 141,1,2024-09-07 09:37:10:860,902650,902650,0,0,424276608304,4407820024,895544,6021,1085,379,391614,0 141,2,2024-09-07 09:37:11:696,645800,645789,11,0,26819013,0,5369 141,3,2024-09-07 09:37:11:043,1,513,1,0,391,5893,513,0 142,0,2024-09-07 09:37:11:337,129256,0.3,128317,0.5,257328,0.3,343185,1.50 142,1,2024-09-07 09:37:10:640,901205,901205,0,0,424117992574,4420894526,895545,5201,459,382,392102,0 142,2,2024-09-07 09:37:11:307,646435,646403,32,0,27402885,0,6028 142,3,2024-09-07 09:37:11:749,1,513,2,0,484,5419,513,0 143,0,2024-09-07 09:37:11:375,133343,0.4,133257,0.6,267433,0.4,355532,1.75 143,1,2024-09-07 09:37:10:562,902938,902938,0,0,423962106981,4400839145,896861,5521,556,367,391722,0 143,2,2024-09-07 09:37:10:805,653527,653527,0,0,26922972,0,3123 143,3,2024-09-07 09:37:11:141,1,513,0,0,462,6506,513,0 144,0,2024-09-07 09:37:11:561,123505,0.6,127029,0.8,258388,0.6,338780,2.00 144,1,2024-09-07 09:37:10:584,898516,898516,0,0,421544449470,4425693552,889131,7419,1966,381,391733,0 144,2,2024-09-07 09:37:11:755,651639,651639,0,0,25730085,0,3673 144,3,2024-09-07 09:37:11:740,1,513,1,0,249,5072,513,0 145,0,2024-09-07 09:37:11:378,122472,0.5,122515,0.8,260005,0.5,334537,2.25 145,1,2024-09-07 09:37:10:559,897584,897584,0,0,421883877026,4442791376,885226,9892,2466,382,391759,0 145,2,2024-09-07 09:37:11:443,643080,642998,82,0,31597570,0,7814 145,3,2024-09-07 09:37:10:896,1,513,39,0,622,7174,513,0 146,0,2024-09-07 09:37:11:595,126886,0.5,126393,0.7,253672,0.5,337404,2.00 146,1,2024-09-07 09:37:11:585,899191,899191,0,0,422341000795,4440689705,884767,10623,3801,367,391770,0 146,2,2024-09-07 09:37:11:709,645715,645709,6,0,29593099,0,5151 146,3,2024-09-07 09:37:11:280,1,513,1,0,1520,8800,513,0 147,0,2024-09-07 09:37:11:698,132987,0.6,132638,0.8,264967,0.6,354193,2.25 147,1,2024-09-07 09:37:11:385,902604,902604,0,0,424605515521,4412770096,895321,6404,879,367,391791,0 147,2,2024-09-07 09:37:11:012,650022,650022,0,0,26680779,0,2968 147,3,2024-09-07 09:37:10:926,1,513,2,0,1626,8453,513,0 0,0,2024-09-07 09:37:21:706,122456,0.6,122431,0.7,259850,0.6,335871,2.00 0,1,2024-09-07 09:37:20:810,901171,901171,0,0,422943151265,4430083578,893661,6670,840,369,391896,0 0,2,2024-09-07 09:37:21:071,649734,649734,0,0,26767151,0,4480 0,3,2024-09-07 09:37:20:979,1,514,6,0,431,7192,514,0 1,0,2024-09-07 09:37:21:769,131459,1.0,130815,1.0,262497,1.3,351315,2.00 1,1,2024-09-07 09:37:20:579,900433,900433,0,0,422373060648,4427651923,891722,7244,1467,370,391859,0 1,2,2024-09-07 09:37:20:657,649831,649831,0,0,26189578,0,3380 1,3,2024-09-07 09:37:21:302,1,514,1,0,269,6495,514,0 2,0,2024-09-07 09:37:21:566,130097,0.6,130098,0.8,259908,0.7,346507,2.00 2,1,2024-09-07 09:37:20:868,903380,903380,0,0,424137095686,4408937093,898408,4055,917,379,391745,0 2,2,2024-09-07 09:37:21:274,653274,653274,0,0,24886349,0,3594 2,3,2024-09-07 09:37:20:694,1,514,1,0,357,4741,514,0 3,0,2024-09-07 09:37:21:743,127295,0.4,127046,0.6,253750,0.3,338206,2.00 3,1,2024-09-07 09:37:21:618,902256,902256,0,0,424002785087,4415372745,894789,6765,702,379,391716,0 3,2,2024-09-07 09:37:21:146,651595,651572,23,0,25932017,0,5851 3,3,2024-09-07 09:37:21:758,1,514,0,0,207,3238,514,0 4,0,2024-09-07 09:37:21:775,122551,0.4,125916,0.5,256773,0.3,335485,1.75 4,1,2024-09-07 09:37:20:613,898455,898455,0,0,421251389724,4464770528,882114,12656,3685,370,391992,0 4,2,2024-09-07 09:37:21:018,644496,644496,0,0,31656104,0,4534 4,3,2024-09-07 09:37:21:032,1,514,7,0,448,6679,514,0 5,0,2024-09-07 09:37:21:427,129158,0.4,129571,0.6,258935,0.4,344524,1.75 5,1,2024-09-07 09:37:20:761,899851,899851,0,0,423492651821,4458553429,887117,10321,2413,367,392005,0 5,2,2024-09-07 09:37:21:851,645309,645309,0,0,30582575,0,3582 5,3,2024-09-07 09:37:21:734,1,514,1,0,457,7053,514,0 6,0,2024-09-07 09:37:20:918,132934,0.5,132486,0.7,265376,0.5,353284,2.00 6,1,2024-09-07 09:37:20:757,902013,902013,0,0,423132208727,4420560200,892495,8062,1456,379,391702,0 6,2,2024-09-07 09:37:21:116,653429,653411,18,0,29801204,0,5535 6,3,2024-09-07 09:37:21:279,1,514,0,0,710,6219,514,0 7,0,2024-09-07 09:37:21:533,126506,0.5,127318,0.7,253578,0.5,337609,2.00 7,1,2024-09-07 09:37:20:852,900277,900277,0,0,423087723417,4444897469,887355,10690,2232,382,391747,0 7,2,2024-09-07 09:37:20:780,652030,652030,0,0,28997942,0,4791 7,3,2024-09-07 09:37:20:862,1,514,1,0,552,5884,514,0 8,0,2024-09-07 09:37:21:385,126779,0.3,126444,0.5,253697,0.3,337726,1.75 8,1,2024-09-07 09:37:21:028,898748,898748,0,0,422777606166,4444629992,884559,11060,3129,366,392853,0 8,2,2024-09-07 09:37:20:830,641959,641957,2,0,34303720,0,5112 8,3,2024-09-07 09:37:20:600,1,514,3,0,538,7768,514,0 9,0,2024-09-07 09:37:21:118,128424,0.3,124845,0.5,261451,0.3,342798,1.75 9,1,2024-09-07 09:37:20:582,898858,898858,0,0,423184644782,4457083730,885440,10770,2648,369,392001,0 9,2,2024-09-07 09:37:21:085,646889,646888,1,0,31002841,0,5281 9,3,2024-09-07 09:37:21:755,1,514,2,0,1273,8654,514,0 10,0,2024-09-07 09:37:21:601,133204,0.4,132678,0.5,266272,0.3,354991,1.75 10,1,2024-09-07 09:37:20:589,901472,901472,0,0,422235387368,4424850720,889114,10268,2090,381,391869,0 10,2,2024-09-07 09:37:20:773,653465,653465,0,0,33733330,0,4264 10,3,2024-09-07 09:37:20:880,1,514,3,0,649,5322,514,0 11,0,2024-09-07 09:37:21:008,127668,0.5,123885,0.7,258964,0.4,341416,1.75 11,1,2024-09-07 09:37:20:645,902161,902161,0,0,424076259425,4447474013,888685,10014,3462,383,391756,0 11,2,2024-09-07 09:37:21:124,651565,651565,0,0,29743963,0,4130 11,3,2024-09-07 09:37:21:300,1,514,0,0,843,6943,514,0 12,0,2024-09-07 09:37:20:981,127972,0.4,127974,0.5,255974,0.3,339952,1.75 12,1,2024-09-07 09:37:21:005,901730,901730,0,0,423492881890,4419219777,894068,6782,880,370,391960,0 12,2,2024-09-07 09:37:21:556,646437,646437,0,0,29545908,0,4390 12,3,2024-09-07 09:37:21:064,1,514,1,0,386,7010,514,0 13,0,2024-09-07 09:37:21:332,127753,0.4,127496,0.6,254366,0.4,339038,1.75 13,1,2024-09-07 09:37:21:525,899793,899793,0,0,422383071168,4440953090,890174,7326,2293,382,391740,0 13,2,2024-09-07 09:37:20:605,650168,650168,0,0,26497233,0,3287 13,3,2024-09-07 09:37:21:772,1,514,15,0,522,7239,514,0 14,0,2024-09-07 09:37:20:559,132921,0.4,133856,0.6,265537,0.4,354158,1.75 14,1,2024-09-07 09:37:21:577,905888,905888,0,0,426214811059,4410929207,898982,6178,728,364,391673,0 14,2,2024-09-07 09:37:20:768,651176,651146,30,0,27922784,0,6104 14,3,2024-09-07 09:37:21:126,1,514,4,0,1168,5836,514,0 15,0,2024-09-07 09:37:21:552,128403,0.5,127872,0.7,256768,0.4,341994,2.00 15,1,2024-09-07 09:37:21:608,903213,903213,0,0,424445973689,4421661718,895483,6245,1485,381,391619,0 15,2,2024-09-07 09:37:21:001,654619,654619,0,0,23495104,0,3622 15,3,2024-09-07 09:37:21:406,1,514,0,0,1126,7306,514,0 16,0,2024-09-07 09:37:20:962,127221,0.5,127800,0.7,254869,0.5,338897,2.00 16,1,2024-09-07 09:37:20:579,902948,902948,0,0,423775197660,4432341735,894534,7114,1300,370,392194,0 16,2,2024-09-07 09:37:21:451,649106,649106,0,0,27759637,0,4719 16,3,2024-09-07 09:37:21:145,1,514,1,0,358,6517,514,0 17,0,2024-09-07 09:37:21:804,129929,0.5,126647,0.7,248096,0.5,336303,2.00 17,1,2024-09-07 09:37:20:570,900704,900704,0,0,423300717405,4442368343,891413,7544,1747,368,392075,0 17,2,2024-09-07 09:37:21:697,650486,650485,1,0,28519290,0,5050 17,3,2024-09-07 09:37:20:584,1,514,0,0,518,7788,514,0 18,0,2024-09-07 09:37:20:950,128762,0.6,129664,0.8,258549,0.6,345595,2.25 18,1,2024-09-07 09:37:21:641,904987,904987,0,0,424668089944,4406281091,898879,5112,996,367,391725,0 18,2,2024-09-07 09:37:21:756,651338,651338,0,0,25328646,0,3541 18,3,2024-09-07 09:37:20:898,1,514,9,0,1059,4904,514,0 19,0,2024-09-07 09:37:21:546,130891,0.7,131288,0.8,260958,0.7,347516,2.25 19,1,2024-09-07 09:37:20:566,903936,903936,0,0,425016930585,4408307593,896949,5903,1084,367,391777,0 19,2,2024-09-07 09:37:21:756,655849,655849,0,0,23810922,0,3988 19,3,2024-09-07 09:37:21:140,1,514,1,0,524,4195,514,0 20,0,2024-09-07 09:37:21:430,126985,0.5,127272,0.7,254034,0.5,338835,2.00 20,1,2024-09-07 09:37:20:567,901335,901335,0,0,424083692052,4443481801,892142,7829,1364,369,391922,0 20,2,2024-09-07 09:37:20:928,651167,651167,0,0,28878682,0,3721 20,3,2024-09-07 09:37:20:589,1,514,1,0,468,8885,514,0 21,0,2024-09-07 09:37:21:172,126645,0.4,126782,0.6,253587,0.4,336433,1.75 21,1,2024-09-07 09:37:21:544,899888,899888,0,0,422766464194,4462572516,885740,11029,3119,368,392016,0 21,2,2024-09-07 09:37:21:078,643467,643447,20,0,33703265,0,5617 21,3,2024-09-07 09:37:21:414,1,514,6,0,713,6711,514,0 22,0,2024-09-07 09:37:21:720,128717,0.4,129205,0.6,257916,0.4,342535,2.00 22,1,2024-09-07 09:37:21:023,900525,900525,0,0,422700550887,4451520282,885298,12000,3227,382,391822,0 22,2,2024-09-07 09:37:20:764,646886,646860,26,0,28303048,0,6328 22,3,2024-09-07 09:37:21:073,1,514,2,0,228,4414,514,0 23,0,2024-09-07 09:37:21:369,133192,0.5,132766,0.7,265636,0.5,354049,2.25 23,1,2024-09-07 09:37:21:006,901552,901552,0,0,423422840160,4445567265,885895,11249,4408,365,391690,0 23,2,2024-09-07 09:37:21:095,654744,654744,0,0,27010703,0,3773 23,3,2024-09-07 09:37:21:753,1,514,0,0,855,7650,514,0 24,0,2024-09-07 09:37:20:900,128612,0.4,127862,0.6,257037,0.4,341441,1.75 24,1,2024-09-07 09:37:20:616,900543,900543,0,0,422584428821,4424912330,891586,7283,1674,367,392269,0 24,2,2024-09-07 09:37:21:085,652156,652156,0,0,31902067,0,4438 24,3,2024-09-07 09:37:21:689,1,514,8,0,468,6588,514,0 25,0,2024-09-07 09:37:21:413,130280,0.4,126905,0.6,248944,0.3,339058,1.75 25,1,2024-09-07 09:37:20:587,899746,899746,0,0,423064450316,4462637805,884822,11952,2972,369,391928,0 25,2,2024-09-07 09:37:21:610,644921,644921,0,0,32873598,0,3978 25,3,2024-09-07 09:37:21:005,1,514,1,0,532,5641,514,0 26,0,2024-09-07 09:37:21:723,126893,0.4,124162,0.6,260551,0.3,339573,1.75 26,1,2024-09-07 09:37:21:541,902510,902510,0,0,422968486057,4429577387,889681,10377,2452,380,391748,0 26,2,2024-09-07 09:37:20:866,647836,647836,0,0,34801823,0,4689 26,3,2024-09-07 09:37:21:714,1,514,2,0,796,5709,514,0 27,0,2024-09-07 09:37:21:726,133189,0.4,133623,0.6,265644,0.4,354681,2.25 27,1,2024-09-07 09:37:21:676,903393,903393,0,0,424959805025,4426025444,894841,7283,1269,381,391626,0 27,2,2024-09-07 09:37:20:879,649801,649736,65,0,30380540,0,5699 27,3,2024-09-07 09:37:21:016,1,514,19,0,564,4519,514,0 28,0,2024-09-07 09:37:21:392,128402,0.4,128022,0.6,256912,0.4,342429,2.00 28,1,2024-09-07 09:37:20:802,903486,903486,0,0,424495509804,4426689293,895716,6056,1714,382,391698,0 28,2,2024-09-07 09:37:21:768,652667,652667,0,0,26882387,0,2915 28,3,2024-09-07 09:37:21:775,1,514,4,0,502,5221,514,0 29,0,2024-09-07 09:37:21:363,130773,0.3,127461,0.6,249915,0.3,340690,1.75 29,1,2024-09-07 09:37:21:570,905525,905525,0,0,424797985314,4395169985,899969,4794,762,367,391809,0 29,2,2024-09-07 09:37:20:866,647342,647342,0,0,26209847,0,4986 29,3,2024-09-07 09:37:20:964,1,514,12,0,459,5699,514,0 30,0,2024-09-07 09:37:21:475,125676,0.5,122230,0.7,255926,0.4,334821,2.00 30,1,2024-09-07 09:37:20:572,905137,905137,0,0,425484110511,4416919610,898162,6073,902,380,391672,0 30,2,2024-09-07 09:37:21:274,648947,648947,0,0,25196097,0,4192 30,3,2024-09-07 09:37:20:584,1,514,6,0,519,4994,514,0 31,0,2024-09-07 09:37:21:782,131408,0.5,131718,0.7,263069,0.4,351591,2.00 31,1,2024-09-07 09:37:20:569,908100,908100,0,0,427100798956,4385781649,902776,4313,1011,356,391712,0 31,2,2024-09-07 09:37:21:275,649070,649070,0,0,27847190,0,4470 31,3,2024-09-07 09:37:21:709,1,514,4,0,220,4393,514,0 32,0,2024-09-07 09:37:21:421,130755,0.4,131370,0.5,261532,0.3,348264,1.75 32,1,2024-09-07 09:37:20:809,904439,904439,0,0,425594877042,4425001960,898641,5091,707,381,391646,0 32,2,2024-09-07 09:37:20:941,653704,653704,0,0,24415577,0,3922 32,3,2024-09-07 09:37:21:015,1,514,0,0,304,4247,514,0 33,0,2024-09-07 09:37:21:491,127768,0.3,127330,0.4,254912,0.2,339267,1.50 33,1,2024-09-07 09:37:20:579,905041,905041,0,0,425386972240,4408121053,897813,6055,1173,368,391730,0 33,2,2024-09-07 09:37:20:763,652640,652605,35,0,26994973,0,7012 33,3,2024-09-07 09:37:20:895,1,514,1,0,329,4848,514,0 34,0,2024-09-07 09:37:20:941,126661,0.3,130098,0.4,248639,0.2,334794,1.75 34,1,2024-09-07 09:37:21:044,906818,906818,0,0,425983025591,4382189584,904310,2486,22,367,391562,0 34,2,2024-09-07 09:37:20:773,648838,648838,0,0,25471468,0,4562 34,3,2024-09-07 09:37:21:688,1,514,1,0,320,3996,514,0 35,0,2024-09-07 09:37:20:878,128551,0.3,129288,0.5,259653,0.2,345283,1.75 35,1,2024-09-07 09:37:21:067,903862,903862,0,0,424142426981,4401818022,897205,5444,1213,382,391769,0 35,2,2024-09-07 09:37:21:583,647999,647999,0,0,28013389,0,4055 35,3,2024-09-07 09:37:20:914,1,514,0,0,418,4856,514,0 36,0,2024-09-07 09:37:21:523,133255,0.5,133123,0.7,266271,0.5,354063,2.25 36,1,2024-09-07 09:37:20:602,903307,903307,0,0,423836779678,4429361936,891027,10061,2219,366,391759,0 36,2,2024-09-07 09:37:21:754,653845,653845,0,0,29397853,0,3875 36,3,2024-09-07 09:37:20:874,1,514,0,0,416,7151,514,0 37,0,2024-09-07 09:37:21:398,126713,0.5,126658,0.7,253568,0.5,338177,2.25 37,1,2024-09-07 09:37:20:587,901794,901787,0,7,423814655409,4427500032,890682,8431,2674,365,391770,0 37,2,2024-09-07 09:37:21:146,650265,650250,15,0,29924413,0,5815 37,3,2024-09-07 09:37:21:772,1,514,0,0,888,7269,514,0 38,0,2024-09-07 09:37:21:436,125908,0.4,122150,0.6,255594,0.4,335032,2.00 38,1,2024-09-07 09:37:21:610,903358,903358,0,0,424490693263,4439817993,890130,10709,2519,368,391821,0 38,2,2024-09-07 09:37:20:759,645163,645116,47,0,29903173,0,6710 38,3,2024-09-07 09:37:21:005,1,514,1,0,689,6664,514,0 39,0,2024-09-07 09:37:21:761,131494,0.5,128675,0.7,250580,0.5,342270,2.00 39,1,2024-09-07 09:37:20:715,901158,901158,0,0,423403842359,4444663360,885364,12325,3469,365,391865,0 39,2,2024-09-07 09:37:21:419,648743,648743,0,0,27670058,0,3391 39,3,2024-09-07 09:37:20:723,1,514,0,0,525,6182,514,0 40,0,2024-09-07 09:37:21:522,131813,0.8,132585,1.0,264637,1.0,353010,2.75 40,1,2024-09-07 09:37:20:603,903812,903812,0,0,422786194686,4423041390,891798,9836,2178,368,391668,0 40,2,2024-09-07 09:37:21:304,651871,651870,1,0,32896664,0,5137 40,3,2024-09-07 09:37:21:143,1,514,9,0,1028,7357,514,0 41,0,2024-09-07 09:37:21:023,127027,1.3,129717,1.2,247647,1.9,337305,3.25 41,1,2024-09-07 09:37:20:825,901576,901576,0,0,422981172518,4426646685,889704,9938,1934,369,391878,0 41,2,2024-09-07 09:37:20:766,649857,649856,1,0,31665823,0,5408 41,3,2024-09-07 09:37:21:677,1,514,1,0,366,5341,514,0 42,0,2024-09-07 09:37:21:496,126586,0.8,126219,1.0,252709,0.8,334816,2.50 42,1,2024-09-07 09:37:21:439,899084,899084,0,0,422407628223,4442834580,884075,12101,2908,380,391675,0 42,2,2024-09-07 09:37:21:147,646092,646092,0,0,30368664,0,3975 42,3,2024-09-07 09:37:21:009,1,514,1,0,892,4678,514,0 43,0,2024-09-07 09:37:20:931,125762,0.7,122463,0.9,256382,0.7,336170,2.25 43,1,2024-09-07 09:37:20:583,902242,902242,0,0,424389937659,4435091979,889375,10607,2260,366,391696,0 43,2,2024-09-07 09:37:21:736,649072,649072,0,0,30230530,0,4723 43,3,2024-09-07 09:37:21:749,1,514,4,0,571,7220,514,0 44,0,2024-09-07 09:37:20:857,132916,0.4,133028,0.6,266549,0.4,354613,2.00 44,1,2024-09-07 09:37:20:584,904882,904882,0,0,424615013307,4389033411,897086,6209,1587,356,391809,0 44,2,2024-09-07 09:37:21:274,649263,649263,0,0,25145649,0,4344 44,3,2024-09-07 09:37:21:099,1,514,1,0,817,5644,514,0 45,0,2024-09-07 09:37:21:759,127196,0.5,124183,0.7,260596,0.5,342247,2.00 45,1,2024-09-07 09:37:21:009,903578,903578,0,0,425303687602,4421556479,896067,6635,876,382,391917,0 45,2,2024-09-07 09:37:21:274,653567,653567,0,0,25482350,0,3596 45,3,2024-09-07 09:37:20:940,1,514,1,0,271,4541,514,0 46,0,2024-09-07 09:37:20:958,126726,0.5,126216,0.7,253326,0.4,336038,2.00 46,1,2024-09-07 09:37:20:583,905382,905382,0,0,424997071921,4393349109,898980,5539,863,366,391709,0 46,2,2024-09-07 09:37:20:603,650456,650456,0,0,25699573,0,4443 46,3,2024-09-07 09:37:21:135,1,514,1,0,908,6313,514,0 47,0,2024-09-07 09:37:21:107,126241,0.4,126316,0.6,253342,0.3,335278,1.75 47,1,2024-09-07 09:37:20:581,906229,906229,0,0,425565414642,4396536977,901003,4387,839,365,391641,0 47,2,2024-09-07 09:37:20:911,650838,650838,0,0,25488687,0,4477 47,3,2024-09-07 09:37:21:127,1,514,1,0,600,5895,514,0 48,0,2024-09-07 09:37:21:496,130605,0.3,130671,0.4,260400,0.2,347668,1.50 48,1,2024-09-07 09:37:21:022,903842,903842,0,0,424253211258,4409039133,898053,5216,573,384,391710,0 48,2,2024-09-07 09:37:20:702,649215,649215,0,0,23503548,0,3411 48,3,2024-09-07 09:37:20:759,1,514,1,0,339,4146,514,0 49,0,2024-09-07 09:37:21:709,134961,0.4,132427,0.5,257390,0.3,351531,1.75 49,1,2024-09-07 09:37:21:023,903606,903606,0,0,424605314629,4414909324,898041,4354,1211,382,391809,0 49,2,2024-09-07 09:37:21:796,654467,654467,0,0,26144438,0,4426 49,3,2024-09-07 09:37:21:419,1,514,1,0,992,6052,514,0 50,0,2024-09-07 09:37:21:522,127853,0.3,126166,0.5,254253,0.2,338481,1.75 50,1,2024-09-07 09:37:21:010,906660,906660,0,0,426235486608,4407944409,900716,5277,667,368,391565,0 50,2,2024-09-07 09:37:21:070,651654,651654,0,0,23935403,0,4490 50,3,2024-09-07 09:37:21:298,1,514,0,0,617,5428,514,0 51,0,2024-09-07 09:37:21:688,130030,0.3,127217,0.4,247818,0.2,337943,1.75 51,1,2024-09-07 09:37:21:687,906947,906947,0,0,426147052645,4394929509,902183,3709,1055,365,391706,0 51,2,2024-09-07 09:37:21:316,647343,647343,0,0,23151422,0,3337 51,3,2024-09-07 09:37:21:030,1,514,2,0,678,3766,514,0 52,0,2024-09-07 09:37:21:422,129338,0.5,128849,0.7,258190,0.4,343355,2.00 52,1,2024-09-07 09:37:20:580,902933,902933,0,0,423603074406,4436582473,889708,11120,2105,368,391722,0 52,2,2024-09-07 09:37:21:761,644727,644689,38,0,30837566,0,6742 52,3,2024-09-07 09:37:20:684,1,514,1,0,1782,6656,514,0 53,0,2024-09-07 09:37:21:732,132848,0.7,128927,0.8,269559,0.8,353630,2.50 53,1,2024-09-07 09:37:20:777,901086,901086,0,0,423754135252,4448859951,885731,11511,3844,367,391968,0 53,2,2024-09-07 09:37:21:301,653961,653960,1,0,27777766,0,5455 53,3,2024-09-07 09:37:20:706,1,514,1,0,308,5134,514,0 54,0,2024-09-07 09:37:21:617,125737,0.6,126110,0.8,251027,0.5,335374,2.25 54,1,2024-09-07 09:37:20:603,903119,903119,0,0,424692837390,4419646940,893055,8430,1634,366,391810,0 54,2,2024-09-07 09:37:20:874,652518,652486,32,0,31508671,0,6397 54,3,2024-09-07 09:37:20:766,1,514,15,0,676,7004,514,0 55,0,2024-09-07 09:37:21:761,122349,0.6,126298,0.7,255845,0.5,333358,2.50 55,1,2024-09-07 09:37:20:767,904140,904140,0,0,423382728903,4404321795,894480,8340,1320,365,391731,0 55,2,2024-09-07 09:37:20:732,645512,645456,56,0,29684622,0,7239 55,3,2024-09-07 09:37:20:686,1,514,1,0,304,4867,514,0 56,0,2024-09-07 09:37:21:665,130178,1.1,122689,1.1,252782,1.4,338855,2.50 56,1,2024-09-07 09:37:20:613,898879,898879,0,0,422648491805,4464126907,884564,11403,2912,381,391867,0 56,2,2024-09-07 09:37:21:312,647949,647827,122,0,30850741,0,7432 56,3,2024-09-07 09:37:21:076,1,514,8,0,705,6228,514,0 57,0,2024-09-07 09:37:20:938,131162,1.6,131140,1.3,262709,2.2,351267,3.25 57,1,2024-09-07 09:37:20:990,900500,900500,0,0,423127042425,4440589941,888320,9895,2285,366,392032,0 57,2,2024-09-07 09:37:21:317,651964,651964,0,0,31800260,0,4317 57,3,2024-09-07 09:37:21:738,1,514,3,0,455,5816,514,0 58,0,2024-09-07 09:37:20:560,126185,1.0,122498,1.0,256294,1.2,335806,2.75 58,1,2024-09-07 09:37:20:575,902166,902163,0,3,423699377578,4441724297,888377,10466,3320,367,391675,3 58,2,2024-09-07 09:37:21:079,652162,652162,0,0,30174211,0,3483 58,3,2024-09-07 09:37:21:078,1,514,23,0,1043,5686,514,0 59,0,2024-09-07 09:37:21:761,126642,0.8,126596,1.0,252408,0.9,334884,2.75 59,1,2024-09-07 09:37:20:812,900829,900829,0,0,423210151220,4445475943,886089,11601,3139,369,391653,0 59,2,2024-09-07 09:37:20:583,647391,647391,0,0,28823490,0,3727 59,3,2024-09-07 09:37:21:737,1,514,8,0,1015,6471,514,0 60,0,2024-09-07 09:37:21:792,125891,0.5,126133,0.7,252520,0.5,335674,1.75 60,1,2024-09-07 09:37:20:778,905302,905302,0,0,425287147051,4414637692,899123,5247,932,370,392031,0 60,2,2024-09-07 09:37:21:146,648733,648733,0,0,27474288,0,3811 60,3,2024-09-07 09:37:21:269,1,514,0,0,409,6087,514,0 61,0,2024-09-07 09:37:21:547,131352,0.7,131906,0.8,262819,0.8,351265,2.00 61,1,2024-09-07 09:37:20:778,901805,901805,0,0,423223231465,4433229713,891932,8293,1580,382,392127,0 61,2,2024-09-07 09:37:21:130,649914,649847,67,0,28429111,0,6411 61,3,2024-09-07 09:37:21:690,1,514,8,0,607,7462,514,0 62,0,2024-09-07 09:37:21:707,130938,0.7,134302,0.8,256382,0.7,348068,2.00 62,1,2024-09-07 09:37:21:111,907157,907151,0,6,427075373688,4401805693,902997,3874,280,365,391975,6 62,2,2024-09-07 09:37:21:655,650878,650877,1,0,27726182,0,5555 62,3,2024-09-07 09:37:21:144,1,514,2,0,482,4222,514,0 63,0,2024-09-07 09:37:21:452,127729,0.4,127457,0.6,255828,0.4,339665,1.75 63,1,2024-09-07 09:37:20:815,904605,904599,0,6,425048707865,4413114791,898848,5000,751,381,391800,6 63,2,2024-09-07 09:37:20:785,651111,651111,0,0,26769490,0,4369 63,3,2024-09-07 09:37:21:734,1,514,3,0,667,5560,514,0 64,0,2024-09-07 09:37:21:535,125895,0.5,125927,0.6,251423,0.4,333970,2.00 64,1,2024-09-07 09:37:20:755,904252,904252,0,0,424624661512,4422952018,896363,6118,1771,370,391794,0 64,2,2024-09-07 09:37:21:151,651692,651673,19,0,25748772,0,6121 64,3,2024-09-07 09:37:21:149,1,514,1,0,651,5460,514,0 65,0,2024-09-07 09:37:21:694,128377,0.6,128659,0.8,256713,0.7,342771,2.00 65,1,2024-09-07 09:37:20:868,902401,902401,0,0,423562781242,4428209052,895655,5950,796,381,391901,0 65,2,2024-09-07 09:37:21:703,648021,648021,0,0,30200698,0,3367 65,3,2024-09-07 09:37:21:685,1,514,34,0,782,6155,514,0 66,0,2024-09-07 09:37:21:770,132413,0.6,131944,0.8,264007,0.6,351224,2.25 66,1,2024-09-07 09:37:21:298,903967,903967,0,0,424292996640,4417765026,897819,5426,722,380,391743,0 66,2,2024-09-07 09:37:21:140,655647,655644,3,0,27630054,0,5455 66,3,2024-09-07 09:37:21:079,1,514,1,0,291,4499,514,0 67,0,2024-09-07 09:37:21:437,127194,0.5,126794,0.7,254288,0.5,338426,2.00 67,1,2024-09-07 09:37:20:766,904250,904249,0,1,424874780859,4424390447,897978,5457,814,380,391787,1 67,2,2024-09-07 09:37:20:589,654481,654466,15,0,26685430,0,6205 67,3,2024-09-07 09:37:21:766,1,514,6,0,595,5512,514,0 68,0,2024-09-07 09:37:20:566,126730,0.5,126606,0.7,252304,0.5,337321,2.00 68,1,2024-09-07 09:37:20:587,900382,900382,0,0,422903785127,4449100397,888143,8839,3400,381,391953,0 68,2,2024-09-07 09:37:21:053,642742,642642,100,0,34256449,0,8578 68,3,2024-09-07 09:37:20:745,1,514,1,0,417,6758,514,0 69,0,2024-09-07 09:37:21:759,127681,0.6,128586,0.8,256136,0.6,340366,2.25 69,1,2024-09-07 09:37:21:017,899227,899227,0,0,422305952366,4452298648,886979,9489,2759,384,391994,0 69,2,2024-09-07 09:37:21:744,646879,646850,29,0,35319129,0,6912 69,3,2024-09-07 09:37:20:760,1,514,1,0,698,7739,514,0 70,0,2024-09-07 09:37:21:531,131671,0.9,132123,1.0,265644,0.8,352088,2.50 70,1,2024-09-07 09:37:20:802,904733,904733,0,0,425033138764,4412010562,897478,6493,762,366,391725,0 70,2,2024-09-07 09:37:21:325,653201,653201,0,0,29794877,0,4323 70,3,2024-09-07 09:37:20:753,1,514,1,0,854,5686,514,0 71,0,2024-09-07 09:37:21:360,126379,1.2,126064,1.1,253423,1.6,338419,3.00 71,1,2024-09-07 09:37:21:597,902413,902413,0,0,423811036905,4426054509,891020,10138,1255,368,391738,0 71,2,2024-09-07 09:37:21:070,651389,651389,0,0,30478053,0,4352 71,3,2024-09-07 09:37:21:749,1,514,1,0,644,6103,514,0 72,0,2024-09-07 09:37:21:024,131333,0.5,128344,0.7,250428,0.5,340297,2.00 72,1,2024-09-07 09:37:21:023,900728,900728,0,0,423181111087,4441449019,887346,11100,2282,369,391819,0 72,2,2024-09-07 09:37:21:762,645555,645555,0,0,31547681,0,3983 72,3,2024-09-07 09:37:21:758,1,514,2,0,564,7436,514,0 73,0,2024-09-07 09:37:21:115,123627,0.4,126903,0.6,259170,0.4,337115,2.00 73,1,2024-09-07 09:37:20:796,904155,904155,0,0,424606735213,4409562986,897927,5803,425,367,391858,0 73,2,2024-09-07 09:37:21:739,648039,648039,0,0,32063427,0,4728 73,3,2024-09-07 09:37:20:977,1,514,27,0,486,6526,514,0 74,0,2024-09-07 09:37:21:320,133798,0.5,136963,0.7,261222,0.5,354935,2.00 74,1,2024-09-07 09:37:20:652,902176,902176,0,0,423146134503,4420404726,891727,8525,1924,381,391762,0 74,2,2024-09-07 09:37:21:001,650072,650072,0,0,28058080,0,4253 74,3,2024-09-07 09:37:21:452,1,514,1,0,522,6197,514,0 75,0,2024-09-07 09:37:21:771,128984,0.6,128092,0.8,257459,0.6,343652,2.25 75,1,2024-09-07 09:37:21:589,903194,903194,0,0,423636615682,4417857977,895307,7026,861,380,391739,0 75,2,2024-09-07 09:37:21:354,651408,651408,0,0,33491621,0,4766 75,3,2024-09-07 09:37:21:067,1,514,1,0,702,6155,514,0 76,0,2024-09-07 09:37:20:594,126736,0.5,125980,0.7,252591,0.5,337616,2.25 76,1,2024-09-07 09:37:20:829,902416,902416,0,0,424048914322,4423989962,895792,5657,967,382,391692,0 76,2,2024-09-07 09:37:21:064,651928,651925,3,0,28392568,0,5265 76,3,2024-09-07 09:37:21:163,1,514,6,0,227,4833,514,0 77,0,2024-09-07 09:37:21:703,125554,0.5,126018,0.7,252151,0.5,334306,2.00 77,1,2024-09-07 09:37:20:824,903324,903324,0,0,424566982668,4429068629,896492,6237,595,381,391869,0 77,2,2024-09-07 09:37:21:283,647756,647756,0,0,27888146,0,3890 77,3,2024-09-07 09:37:21:100,1,514,22,0,401,5532,514,0 78,0,2024-09-07 09:37:21:723,130469,0.4,129959,0.6,260690,0.4,346094,2.00 78,1,2024-09-07 09:37:20:613,902863,902863,0,0,423599078043,4418752868,892097,8240,2526,367,391670,0 78,2,2024-09-07 09:37:21:405,650020,650007,13,0,25960609,0,8313 78,3,2024-09-07 09:37:21:140,1,514,5,0,311,4521,514,0 79,0,2024-09-07 09:37:21:351,126975,0.4,129963,0.6,266267,0.4,346386,2.25 79,1,2024-09-07 09:37:20:571,905583,905583,0,0,424962992586,4404719475,897850,6376,1357,367,391682,0 79,2,2024-09-07 09:37:21:079,653660,653660,0,0,25025989,0,4195 79,3,2024-09-07 09:37:20:759,1,514,0,0,418,6276,514,0 80,0,2024-09-07 09:37:21:085,127061,0.5,130534,0.7,249852,0.5,338052,2.00 80,1,2024-09-07 09:37:21:622,902743,902743,0,0,424356771897,4417724362,896920,5397,426,368,392269,0 80,2,2024-09-07 09:37:21:105,653783,653783,0,0,25614427,0,4433 80,3,2024-09-07 09:37:20:588,1,514,7,0,296,6195,514,0 81,0,2024-09-07 09:37:21:589,126831,0.5,129843,0.7,247548,0.5,336447,2.00 81,1,2024-09-07 09:37:21:679,901704,901704,0,0,422652468617,4419682783,894307,6759,638,382,391885,0 81,2,2024-09-07 09:37:21:128,646017,645954,63,0,28532744,0,5932 81,3,2024-09-07 09:37:21:129,1,514,9,0,719,5950,514,0 82,0,2024-09-07 09:37:21:531,128570,0.5,128641,0.7,257799,0.4,342479,2.00 82,1,2024-09-07 09:37:20:583,904182,904178,0,4,424993150180,4419164633,899100,4258,820,381,391768,4 82,2,2024-09-07 09:37:21:690,650194,650194,0,0,23772911,0,4484 82,3,2024-09-07 09:37:21:756,1,514,4,0,363,4976,514,0 83,0,2024-09-07 09:37:21:533,133458,0.6,133472,0.8,266241,0.7,353696,2.25 83,1,2024-09-07 09:37:20:561,903289,903289,0,0,424083883384,4421931854,896934,5901,454,382,391709,0 83,2,2024-09-07 09:37:20:769,653610,653585,25,0,25820833,0,5612 83,3,2024-09-07 09:37:20:754,1,514,1,0,1260,6481,514,0 84,0,2024-09-07 09:37:21:816,126628,0.8,126787,0.9,253197,0.7,338674,2.25 84,1,2024-09-07 09:37:21:049,901674,901674,0,0,423302180467,4427470847,891442,8707,1525,367,391967,0 84,2,2024-09-07 09:37:20:608,651341,651311,30,0,33992227,0,5971 84,3,2024-09-07 09:37:21:145,1,514,11,0,908,7372,514,0 85,0,2024-09-07 09:37:21:026,121937,0.6,121938,0.8,258798,0.5,334833,2.25 85,1,2024-09-07 09:37:20:563,898485,898485,0,0,422854543800,4467467896,884221,11606,2658,381,392092,0 85,2,2024-09-07 09:37:21:019,644795,644795,0,0,31603374,0,4255 85,3,2024-09-07 09:37:20:687,1,514,2,0,789,5968,514,0 86,0,2024-09-07 09:37:20:912,127190,0.6,130848,0.7,250244,0.6,339255,2.25 86,1,2024-09-07 09:37:20:825,901608,901608,0,0,423842705894,4442659587,890316,9316,1976,366,391995,0 86,2,2024-09-07 09:37:20:859,646564,646563,1,0,34090961,0,5004 86,3,2024-09-07 09:37:20:602,1,514,16,0,308,7486,514,0 87,0,2024-09-07 09:37:21:350,132973,0.9,132810,0.9,265419,1.2,355019,2.25 87,1,2024-09-07 09:37:20:558,900467,900467,0,0,422702907172,4431721538,888274,10478,1715,366,392076,0 87,2,2024-09-07 09:37:21:078,651398,651392,6,0,29966484,0,6323 87,3,2024-09-07 09:37:21:794,1,514,2,0,473,7618,514,0 88,0,2024-09-07 09:37:21:491,127913,0.5,128508,0.6,256855,0.5,341986,2.00 88,1,2024-09-07 09:37:20:585,899886,899886,0,0,423167790454,4433787238,887266,10006,2614,365,392084,0 88,2,2024-09-07 09:37:20:696,652480,652480,0,0,32309191,0,4465 88,3,2024-09-07 09:37:21:282,1,514,12,0,435,6536,514,0 89,0,2024-09-07 09:37:21:786,130955,0.4,126959,0.6,250740,0.4,340687,1.75 89,1,2024-09-07 09:37:20:569,900546,900546,0,0,423283624724,4453520703,889142,9589,1815,382,391866,0 89,2,2024-09-07 09:37:21:145,647151,647151,0,0,30640311,0,3173 89,3,2024-09-07 09:37:21:791,1,514,13,0,468,8475,514,0 90,0,2024-09-07 09:37:21:643,122322,0.4,125742,0.6,256175,0.4,334572,1.75 90,1,2024-09-07 09:37:20:603,901881,901881,0,0,423266987555,4431253524,893377,7771,733,380,391825,0 90,2,2024-09-07 09:37:21:416,646318,646318,0,0,32825342,0,3608 90,3,2024-09-07 09:37:20:944,1,514,1,0,322,6260,514,0 91,0,2024-09-07 09:37:20:927,131967,0.5,127944,0.6,267576,0.4,351684,1.75 91,1,2024-09-07 09:37:20:583,900097,900097,0,0,422780258352,4446864497,888525,9560,2012,381,392047,0 91,2,2024-09-07 09:37:21:332,650215,650215,0,0,28950878,0,4713 91,3,2024-09-07 09:37:20:627,1,514,9,0,216,4887,514,0 92,0,2024-09-07 09:37:21:511,131949,0.5,134866,0.6,257255,0.5,348260,1.75 92,1,2024-09-07 09:37:20:586,902043,902043,0,0,423703546365,4427722627,894539,6299,1205,381,392136,0 92,2,2024-09-07 09:37:21:355,653243,653243,0,0,26242246,0,3259 92,3,2024-09-07 09:37:21:009,1,514,6,0,167,4511,514,0 93,0,2024-09-07 09:37:21:032,128066,0.4,131292,0.6,250597,0.4,339595,1.75 93,1,2024-09-07 09:37:20:810,902709,902709,0,0,424131554699,4429570385,892429,8547,1733,366,391776,0 93,2,2024-09-07 09:37:20:946,650918,650918,0,0,31938394,0,4913 93,3,2024-09-07 09:37:21:415,1,514,7,0,190,4698,514,0 94,0,2024-09-07 09:37:21:647,126002,0.3,126734,0.5,253229,0.3,335787,1.75 94,1,2024-09-07 09:37:20:573,902888,902888,0,0,424430159896,4427354430,896793,5722,373,381,391850,0 94,2,2024-09-07 09:37:20:764,647490,647462,28,0,27237814,0,6179 94,3,2024-09-07 09:37:21:691,1,514,20,0,576,6567,514,0 95,0,2024-09-07 09:37:21:433,129498,0.3,129175,0.5,258838,0.3,345296,1.75 95,1,2024-09-07 09:37:20:863,904100,904100,0,0,424702619614,4414824764,896751,6692,657,365,391786,0 95,2,2024-09-07 09:37:21:017,648033,648033,0,0,25986834,0,3308 95,3,2024-09-07 09:37:21:717,1,514,3,0,718,8026,514,0 96,0,2024-09-07 09:37:21:075,133168,0.4,133258,0.5,266402,0.3,353524,1.75 96,1,2024-09-07 09:37:21:593,902820,902820,0,0,423146760863,4414502437,896435,5472,913,384,391955,0 96,2,2024-09-07 09:37:21:275,654325,654325,0,0,27132503,0,4180 96,3,2024-09-07 09:37:21:144,1,514,1,0,411,5485,514,0 97,0,2024-09-07 09:37:21:340,127190,0.3,127005,0.5,255042,0.3,338665,1.75 97,1,2024-09-07 09:37:20:773,904324,904324,0,0,425182403760,4411082098,898576,4805,943,367,392140,0 97,2,2024-09-07 09:37:20:612,653371,653371,0,0,26609203,0,3679 97,3,2024-09-07 09:37:20:579,1,514,1,0,242,5998,514,0 98,0,2024-09-07 09:37:21:713,126232,0.3,126436,0.4,253475,0.2,337019,1.50 98,1,2024-09-07 09:37:20:576,903672,903672,0,0,424133242868,4417538089,898267,4605,800,381,391997,0 98,2,2024-09-07 09:37:20:771,646546,646546,0,0,26096955,0,4336 98,3,2024-09-07 09:37:20:702,1,514,2,0,840,7996,514,0 99,0,2024-09-07 09:37:21:496,128384,0.3,129016,0.5,256747,0.3,342851,1.75 99,1,2024-09-07 09:37:21:746,903810,903810,0,0,423471181014,4408758559,897849,5035,926,380,392069,0 99,2,2024-09-07 09:37:21:421,649121,649121,0,0,31399652,0,4276 99,3,2024-09-07 09:37:20:588,1,514,2,0,606,5211,514,0 100,0,2024-09-07 09:37:21:665,132925,0.9,133184,1.0,265698,1.0,355714,2.50 100,1,2024-09-07 09:37:20:562,898734,898734,0,0,422010860425,4464095261,884400,11236,3098,378,391989,0 100,2,2024-09-07 09:37:21:819,650622,650611,11,0,30930377,0,5417 100,3,2024-09-07 09:37:21:736,1,514,1,0,627,9365,514,0 101,0,2024-09-07 09:37:21:750,130278,1.3,127040,1.1,248845,1.3,340702,2.50 101,1,2024-09-07 09:37:20:563,899835,899835,0,0,423068782682,4446896997,887480,9954,2401,368,391847,0 101,2,2024-09-07 09:37:21:764,648020,648020,0,0,35922906,0,4871 101,3,2024-09-07 09:37:20:943,1,514,2,0,1250,7960,514,0 102,0,2024-09-07 09:37:20:951,123722,0.6,127506,0.7,258846,0.6,338473,2.00 102,1,2024-09-07 09:37:21:167,900170,900170,0,0,422776489189,4442429165,887966,10184,2020,369,391891,0 102,2,2024-09-07 09:37:21:743,646722,646668,54,0,30250312,0,6768 102,3,2024-09-07 09:37:21:615,1,514,4,0,466,6084,514,0 103,0,2024-09-07 09:37:21:681,131007,0.5,130955,0.7,246985,0.5,339649,2.00 103,1,2024-09-07 09:37:21:634,898668,898668,0,0,422287189940,4459264076,884630,10839,3199,381,391862,0 103,2,2024-09-07 09:37:20:583,647193,647193,0,0,31978476,0,3766 103,3,2024-09-07 09:37:20:764,1,514,1,0,916,6172,514,0 104,0,2024-09-07 09:37:21:057,131829,0.7,132048,0.9,263177,0.7,352864,2.25 104,1,2024-09-07 09:37:21:599,901480,901480,0,0,422684241861,4441160435,888432,10497,2551,365,392168,0 104,2,2024-09-07 09:37:21:674,648501,648501,0,0,30848472,0,3941 104,3,2024-09-07 09:37:21:426,1,514,1,0,1245,9112,514,0 105,0,2024-09-07 09:37:21:102,127377,1.0,124129,1.1,260222,1.2,341921,2.75 105,1,2024-09-07 09:37:20:572,902612,902612,0,0,423874420262,4441722365,891019,9825,1768,365,392009,0 105,2,2024-09-07 09:37:21:345,651065,651065,0,0,30982709,0,4360 105,3,2024-09-07 09:37:21:304,1,514,4,0,399,7358,514,0 106,0,2024-09-07 09:37:21:003,122892,0.7,125843,0.9,257926,0.8,336407,2.50 106,1,2024-09-07 09:37:21:800,901629,901629,0,0,422818223081,4437181021,889019,10962,1648,368,391914,0 106,2,2024-09-07 09:37:20:763,648577,648577,0,0,29292200,0,2920 106,3,2024-09-07 09:37:20:687,1,514,7,0,1224,7756,514,0 107,0,2024-09-07 09:37:21:206,125757,0.8,126007,0.8,251830,0.8,335396,2.00 107,1,2024-09-07 09:37:20:603,899674,899674,0,0,422103537851,4451330882,886774,11507,1393,381,392234,0 107,2,2024-09-07 09:37:21:300,645918,645917,1,0,32008941,0,5024 107,3,2024-09-07 09:37:21:759,1,514,5,0,733,7821,514,0 108,0,2024-09-07 09:37:21:979,129943,0.4,130682,0.6,260015,0.4,347599,1.75 108,1,2024-09-07 09:37:21:541,902345,902345,0,0,424435618136,4427869198,894441,6933,971,367,391857,0 108,2,2024-09-07 09:37:21:766,648142,648142,0,0,28711677,0,4246 108,3,2024-09-07 09:37:21:342,1,514,8,0,749,10286,514,0 109,0,2024-09-07 09:37:21:811,132199,0.4,130978,0.6,262677,0.4,350548,1.75 109,1,2024-09-07 09:37:20:588,899875,899875,0,0,423163702554,4440229883,891667,6992,1216,382,392132,0 109,2,2024-09-07 09:37:20:925,651036,651036,0,0,28681557,0,3617 109,3,2024-09-07 09:37:21:166,1,514,1,0,630,6495,514,0 110,0,2024-09-07 09:37:21:809,127086,0.4,123663,0.6,259073,0.3,339276,1.75 110,1,2024-09-07 09:37:21:648,903458,903458,0,0,424707033282,4412238586,895930,5606,1922,368,392045,0 110,2,2024-09-07 09:37:21:312,652088,652088,0,0,27156752,0,4067 110,3,2024-09-07 09:37:20:713,1,514,0,0,722,7208,514,0 111,0,2024-09-07 09:37:21:421,127105,0.3,126448,0.5,253251,0.3,337804,1.75 111,1,2024-09-07 09:37:21:001,905182,905182,0,0,426186174435,4419057464,900639,4186,357,380,391690,0 111,2,2024-09-07 09:37:21:127,646529,646529,0,0,27651487,0,4823 111,3,2024-09-07 09:37:20:921,1,514,1,0,379,5900,514,0 112,0,2024-09-07 09:37:20:915,129491,0.3,128868,0.4,258578,0.2,343499,1.50 112,1,2024-09-07 09:37:20:828,903788,903788,0,0,424348406639,4406438215,897892,5205,691,380,391624,0 112,2,2024-09-07 09:37:21:141,648157,648156,1,0,26313184,0,5036 112,3,2024-09-07 09:37:20:592,1,514,14,0,282,4965,514,0 113,0,2024-09-07 09:37:20:864,133741,0.3,133618,0.5,267404,0.3,355920,1.75 113,1,2024-09-07 09:37:21:685,906600,906600,0,0,426156047889,4398366463,901351,4436,813,365,391661,0 113,2,2024-09-07 09:37:21:307,656054,656054,0,0,24027696,0,3813 113,3,2024-09-07 09:37:20:684,1,514,0,0,340,5474,514,0 114,0,2024-09-07 09:37:20:878,128057,0.3,128931,0.5,256246,0.2,342681,1.75 114,1,2024-09-07 09:37:20:755,903636,903636,0,0,424952897577,4414052094,896730,5290,1616,381,391565,0 114,2,2024-09-07 09:37:20:881,653949,653948,1,0,25917133,0,5069 114,3,2024-09-07 09:37:21:281,1,514,1,0,395,4235,514,0 115,0,2024-09-07 09:37:20:572,127178,0.3,127719,0.4,254673,0.2,338431,1.50 115,1,2024-09-07 09:37:20:577,904542,904542,0,0,424652031031,4413761386,897142,6043,1357,382,391757,0 115,2,2024-09-07 09:37:21:126,649234,649234,0,0,25394476,0,4382 115,3,2024-09-07 09:37:21:002,1,514,1,0,167,2968,514,0 116,0,2024-09-07 09:37:21:705,126983,0.6,126942,0.8,254228,0.6,340341,2.00 116,1,2024-09-07 09:37:20:819,900029,900029,0,0,423057646213,4459396862,889384,8201,2444,380,392089,0 116,2,2024-09-07 09:37:21:753,646970,646970,0,0,32435845,0,4475 116,3,2024-09-07 09:37:20:921,1,514,3,0,415,6398,514,0 117,0,2024-09-07 09:37:20:965,133311,0.8,132751,0.8,266089,0.9,355618,2.00 117,1,2024-09-07 09:37:21:662,900499,900499,0,0,423376890442,4432858399,890512,8762,1225,369,392033,0 117,2,2024-09-07 09:37:21:136,653520,653520,0,0,28381100,0,4303 117,3,2024-09-07 09:37:21:061,1,514,6,0,490,7094,514,0 118,0,2024-09-07 09:37:21:900,124190,0.6,127666,0.7,260224,0.6,340568,2.00 118,1,2024-09-07 09:37:20:602,900393,900393,0,0,422271242505,4440572423,886897,10376,3120,366,392054,0 118,2,2024-09-07 09:37:21:592,651434,651434,0,0,30977757,0,2842 118,3,2024-09-07 09:37:21:773,1,514,1,0,248,5882,514,0 119,0,2024-09-07 09:37:21:364,126954,0.6,127361,0.8,254581,0.6,338890,2.00 119,1,2024-09-07 09:37:20:553,901111,901111,0,0,423742536324,4441492984,889389,9956,1766,367,391857,0 119,2,2024-09-07 09:37:21:267,648255,648255,0,0,28820332,0,4174 119,3,2024-09-07 09:37:21:331,1,514,2,0,1358,9724,514,0 120,0,2024-09-07 09:37:21:565,125370,0.6,125156,0.8,251457,0.6,335242,2.25 120,1,2024-09-07 09:37:20:871,901562,901562,0,0,423538950250,4438899772,892271,8512,779,368,392144,0 120,2,2024-09-07 09:37:20:778,647502,647501,1,0,33210579,0,5281 120,3,2024-09-07 09:37:21:290,1,514,62,0,279,6480,514,0 121,0,2024-09-07 09:37:21:757,131651,1.4,131124,1.1,262764,1.9,350879,2.50 121,1,2024-09-07 09:37:21:660,902142,902142,0,0,423389013926,4425988624,893415,8026,701,367,391840,0 121,2,2024-09-07 09:37:21:138,648325,648325,0,0,30435974,0,4157 121,3,2024-09-07 09:37:20:726,1,514,42,0,330,6207,514,0 122,0,2024-09-07 09:37:21:778,129926,0.9,126466,0.9,264981,1.0,348121,2.25 122,1,2024-09-07 09:37:20:858,900530,900530,0,0,423682606585,4444448879,888094,10551,1885,366,392130,0 122,2,2024-09-07 09:37:21:319,653096,653021,75,0,34801584,0,5989 122,3,2024-09-07 09:37:20:602,1,514,2,0,512,8754,514,0 123,0,2024-09-07 09:37:20:955,126812,0.8,123446,0.9,258455,0.9,338189,2.25 123,1,2024-09-07 09:37:20:565,901009,901009,0,0,423066804938,4451512543,885864,12642,2503,369,392039,0 123,2,2024-09-07 09:37:21:022,648863,648862,1,0,29576567,0,5215 123,3,2024-09-07 09:37:21:145,1,514,2,0,478,6032,514,0 124,0,2024-09-07 09:37:20:925,130091,0.3,130025,0.5,245122,0.3,336846,1.75 124,1,2024-09-07 09:37:21:022,904169,904169,0,0,423849821032,4407297358,897363,5675,1131,365,392178,0 124,2,2024-09-07 09:37:21:015,648499,648446,53,0,26973000,0,6487 124,3,2024-09-07 09:37:20:759,1,514,2,0,490,5394,514,0 125,0,2024-09-07 09:37:21:435,129205,0.4,128946,0.6,259262,0.3,345147,1.75 125,1,2024-09-07 09:37:20:868,902722,902722,0,0,424171514165,4428201592,895750,5990,982,382,391813,0 125,2,2024-09-07 09:37:21:159,650647,650647,0,0,27017748,0,4534 125,3,2024-09-07 09:37:21:145,1,514,1,0,709,6159,514,0 126,0,2024-09-07 09:37:21:434,133095,0.4,136849,0.6,261790,0.4,354020,1.75 126,1,2024-09-07 09:37:20:569,905167,905167,0,0,424982877810,4399655718,900496,4361,310,365,391987,0 126,2,2024-09-07 09:37:20:610,654523,654523,0,0,27901250,0,4539 126,3,2024-09-07 09:37:20:918,1,514,1,0,268,5802,514,0 127,0,2024-09-07 09:37:21:627,127357,0.3,127780,0.5,254954,0.3,338901,1.75 127,1,2024-09-07 09:37:20:583,903710,903710,0,0,424236320323,4416007839,894343,7863,1504,364,392187,0 127,2,2024-09-07 09:37:20:652,651621,651617,4,0,26571489,0,5305 127,3,2024-09-07 09:37:21:270,1,514,1,0,968,5177,514,0 128,0,2024-09-07 09:37:21:530,127033,0.3,127172,0.4,253903,0.2,337829,1.50 128,1,2024-09-07 09:37:21:623,902766,902766,0,0,424453711929,4410530430,896291,5740,735,367,392031,0 128,2,2024-09-07 09:37:21:387,648069,648069,0,0,24803599,0,3171 128,3,2024-09-07 09:37:20:770,1,514,3,0,1082,8318,514,0 129,0,2024-09-07 09:37:20:998,129446,0.3,128949,0.5,258144,0.3,343298,1.50 129,1,2024-09-07 09:37:20:571,900617,900617,0,0,422523960026,4429954408,891594,7078,1945,379,391962,0 129,2,2024-09-07 09:37:20:701,649324,649320,4,0,27134136,0,5335 129,3,2024-09-07 09:37:20:703,1,514,11,0,506,7282,514,0 130,0,2024-09-07 09:37:21:749,134096,0.5,133530,0.6,267898,0.4,357233,1.75 130,1,2024-09-07 09:37:20:625,903577,903577,0,0,424059893394,4406159396,898787,4402,388,381,391825,0 130,2,2024-09-07 09:37:21:137,653920,653920,0,0,27156113,0,4067 130,3,2024-09-07 09:37:21:298,1,514,1,0,960,7280,514,0 131,0,2024-09-07 09:37:21:930,127873,0.4,128348,0.5,257077,0.3,341599,1.75 131,1,2024-09-07 09:37:21:832,903175,903175,0,0,424277717758,4427237862,896297,5686,1192,381,391865,0 131,2,2024-09-07 09:37:20:569,653392,653392,0,0,25186644,0,3979 131,3,2024-09-07 09:37:21:701,1,514,1,0,392,6343,514,0 132,0,2024-09-07 09:37:21:422,127268,0.4,128340,0.6,255410,0.4,339598,2.00 132,1,2024-09-07 09:37:20:601,899458,899458,0,0,422596341079,4446854754,886981,10286,2191,381,392532,0 132,2,2024-09-07 09:37:20:702,646556,646539,17,0,32931214,0,6451 132,3,2024-09-07 09:37:21:689,1,514,1,0,804,8723,514,0 133,0,2024-09-07 09:37:21:519,123641,0.4,126563,0.6,259588,0.3,337771,1.75 133,1,2024-09-07 09:37:20:593,899289,899289,0,0,422376482841,4453045783,886782,10816,1691,383,391914,0 133,2,2024-09-07 09:37:21:093,648869,648819,50,0,33327362,0,6861 133,3,2024-09-07 09:37:21:302,1,514,1,0,479,6207,514,0 134,0,2024-09-07 09:37:20:959,133107,0.5,132986,0.7,266294,0.5,355098,2.25 134,1,2024-09-07 09:37:20:604,901083,901083,0,0,423076982774,4436105805,889702,9235,2146,366,391718,0 134,2,2024-09-07 09:37:21:762,649794,649770,24,0,31285217,0,6207 134,3,2024-09-07 09:37:20:765,1,514,1,0,739,6310,514,0 135,0,2024-09-07 09:37:21:096,124869,1.0,124783,0.9,264834,1.2,341329,2.25 135,1,2024-09-07 09:37:21:603,900485,900485,0,0,423941617632,4458824616,888855,10064,1566,380,391805,0 135,2,2024-09-07 09:37:20:687,652457,652457,0,0,31650396,0,4503 135,3,2024-09-07 09:37:21:005,1,514,7,0,900,5270,514,0 136,0,2024-09-07 09:37:21:637,127728,0.5,127904,0.7,254716,0.5,339501,2.00 136,1,2024-09-07 09:37:21:468,902199,902199,0,0,422923956753,4434151165,891830,9101,1268,381,392135,0 136,2,2024-09-07 09:37:21:145,650760,650745,15,0,30631995,0,6007 136,3,2024-09-07 09:37:21:110,1,514,21,0,637,6230,514,0 137,0,2024-09-07 09:37:20:921,129991,0.5,126656,0.7,248175,0.5,336638,2.00 137,1,2024-09-07 09:37:20:615,900267,900267,0,0,422872173779,4432828459,886840,10756,2671,366,391898,0 137,2,2024-09-07 09:37:21:705,646753,646753,0,0,32050559,0,3185 137,3,2024-09-07 09:37:20:771,1,514,5,0,484,6515,514,0 138,0,2024-09-07 09:37:21:806,129514,0.8,129735,0.9,260146,1.0,346057,2.00 138,1,2024-09-07 09:37:21:685,900901,900901,0,0,423058692979,4437657792,888168,10764,1969,368,391954,0 138,2,2024-09-07 09:37:20:593,647754,647754,0,0,29496260,0,4988 138,3,2024-09-07 09:37:20:619,1,514,0,0,1200,8381,514,0 139,0,2024-09-07 09:37:21:365,130389,1.3,130807,1.0,261780,1.9,348899,2.50 139,1,2024-09-07 09:37:20:591,896672,896672,0,0,421800480628,4473696087,880733,12477,3462,380,392058,0 139,2,2024-09-07 09:37:20:692,649039,649039,0,0,33115484,0,3097 139,3,2024-09-07 09:37:21:662,1,514,5,0,432,5964,514,0 140,0,2024-09-07 09:37:21:586,127863,0.3,127053,0.5,254813,0.2,339522,1.75 140,1,2024-09-07 09:37:21:544,906071,906071,0,0,426406811598,4396304736,901490,4043,538,364,391606,0 140,2,2024-09-07 09:37:20:687,653037,653036,1,0,25355012,0,5036 140,3,2024-09-07 09:37:20:770,1,514,1,0,297,4845,514,0 141,0,2024-09-07 09:37:21:698,127041,0.3,130286,0.4,248877,0.2,337477,1.50 141,1,2024-09-07 09:37:20:858,904404,904404,0,0,425183730571,4417028740,897298,6021,1085,379,391614,0 141,2,2024-09-07 09:37:21:689,647260,647249,11,0,26830574,0,5369 141,3,2024-09-07 09:37:21:045,1,514,1,0,391,5894,514,0 142,0,2024-09-07 09:37:21:360,129664,0.3,128770,0.5,258141,0.3,344400,1.50 142,1,2024-09-07 09:37:20:589,902940,902940,0,0,424795357342,4427850224,897280,5201,459,382,392102,0 142,2,2024-09-07 09:37:21:299,647695,647663,32,0,27413384,0,6028 142,3,2024-09-07 09:37:21:751,1,514,1,0,484,5420,514,0 143,0,2024-09-07 09:37:21:376,133540,0.4,133456,0.6,267838,0.4,355824,1.75 143,1,2024-09-07 09:37:20:567,904635,904635,0,0,424831545635,4409628535,898558,5521,556,367,391722,0 143,2,2024-09-07 09:37:20:769,654602,654602,0,0,26932040,0,3123 143,3,2024-09-07 09:37:21:164,1,514,2,0,462,6508,514,0 144,0,2024-09-07 09:37:21:500,123793,0.6,127343,0.8,258966,0.6,339579,2.00 144,1,2024-09-07 09:37:20:570,900268,900268,0,0,422304724381,4433464952,890882,7420,1966,381,391733,0 144,2,2024-09-07 09:37:21:758,653035,653035,0,0,25741659,0,3673 144,3,2024-09-07 09:37:21:768,1,514,1,0,249,5073,514,0 145,0,2024-09-07 09:37:21:372,122653,0.5,122727,0.8,260383,0.5,335104,2.25 145,1,2024-09-07 09:37:20:553,899547,899547,0,0,422710573688,4451425247,887179,9902,2466,382,391759,0 145,2,2024-09-07 09:37:21:440,644171,644089,82,0,31616990,0,7814 145,3,2024-09-07 09:37:20:895,1,514,2,0,622,7176,514,0 146,0,2024-09-07 09:37:21:701,127303,0.5,126806,0.7,254519,0.5,338745,2.00 146,1,2024-09-07 09:37:21:649,900826,900826,0,0,423014921681,4447640044,886402,10623,3801,367,391770,0 146,2,2024-09-07 09:37:21:697,646934,646928,6,0,29687308,0,5151 146,3,2024-09-07 09:37:21:281,1,514,1,0,1520,8801,514,0 147,0,2024-09-07 09:37:21:712,133252,0.6,132940,0.8,265554,0.6,354908,2.25 147,1,2024-09-07 09:37:21:375,904283,904283,0,0,425432164996,4421150160,897000,6404,879,367,391791,0 147,2,2024-09-07 09:37:21:010,651494,651494,0,0,26693627,0,2968 147,3,2024-09-07 09:37:20:922,1,514,1,0,1626,8454,514,0 0,0,2024-09-07 09:37:31:850,122800,0.6,122746,0.7,260497,0.6,336788,2.00 0,1,2024-09-07 09:37:30:805,902931,902931,0,0,423821529381,4439023772,895421,6670,840,369,391896,0 0,2,2024-09-07 09:37:31:071,651126,651126,0,0,26779015,0,4480 0,3,2024-09-07 09:37:30:974,1,515,1,0,431,7193,515,0 1,0,2024-09-07 09:37:31:815,131897,1.0,131232,1.0,263343,1.3,352475,2.00 1,1,2024-09-07 09:37:30:579,902215,902215,0,0,423225013543,4436388232,893503,7245,1467,370,391859,0 1,2,2024-09-07 09:37:30:643,651385,651385,0,0,26206088,0,3380 1,3,2024-09-07 09:37:31:302,1,515,2,0,269,6497,515,0 2,0,2024-09-07 09:37:31:571,130218,0.6,130186,0.8,260145,0.7,346834,2.00 2,1,2024-09-07 09:37:30:861,905161,905161,0,0,425192425030,4419638590,900189,4055,917,379,391745,0 2,2,2024-09-07 09:37:31:267,654634,654634,0,0,24896332,0,3594 2,3,2024-09-07 09:37:30:694,1,515,1,0,357,4742,515,0 3,0,2024-09-07 09:37:31:747,127413,0.4,127163,0.6,254002,0.3,338554,2.00 3,1,2024-09-07 09:37:31:623,904112,904112,0,0,424716390759,4422676138,896643,6766,703,379,391716,0 3,2,2024-09-07 09:37:31:146,652442,652419,23,0,25939320,0,5851 3,3,2024-09-07 09:37:31:755,1,515,2,0,207,3240,515,0 4,0,2024-09-07 09:37:31:771,122566,0.4,125932,0.5,256797,0.3,335485,1.75 4,1,2024-09-07 09:37:30:591,900205,900205,0,0,422362334411,4476058951,883862,12657,3686,370,391992,0 4,2,2024-09-07 09:37:31:025,645976,645976,0,0,31688097,0,4534 4,3,2024-09-07 09:37:31:031,1,515,13,0,448,6692,515,0 5,0,2024-09-07 09:37:31:377,129539,0.4,129924,0.6,259634,0.4,345445,1.75 5,1,2024-09-07 09:37:30:765,901585,901585,0,0,424315966004,4467033445,888848,10324,2413,367,392005,0 5,2,2024-09-07 09:37:31:832,646729,646729,0,0,30623972,0,3582 5,3,2024-09-07 09:37:31:732,1,515,20,0,457,7073,515,0 6,0,2024-09-07 09:37:30:925,133022,0.5,132590,0.7,265545,0.5,353534,2.00 6,1,2024-09-07 09:37:30:749,903714,903714,0,0,424098410650,4430376739,894196,8062,1456,379,391702,0 6,2,2024-09-07 09:37:31:126,654546,654528,18,0,29814782,0,5535 6,3,2024-09-07 09:37:31:274,1,515,11,0,710,6230,515,0 7,0,2024-09-07 09:37:31:538,126722,0.5,127511,0.7,254011,0.5,338201,2.00 7,1,2024-09-07 09:37:30:857,902093,902093,0,0,423969225894,4453937977,889170,10691,2232,382,391747,0 7,2,2024-09-07 09:37:30:771,653164,653164,0,0,29016333,0,4791 7,3,2024-09-07 09:37:30:860,1,515,1,0,552,5885,515,0 8,0,2024-09-07 09:37:31:329,127129,0.3,126753,0.5,254306,0.3,338617,1.75 8,1,2024-09-07 09:37:31:018,900487,900487,0,0,423474851833,4451757717,886296,11062,3129,366,392853,0 8,2,2024-09-07 09:37:30:790,643328,643326,2,0,34338730,0,5112 8,3,2024-09-07 09:37:30:585,1,515,10,0,538,7778,515,0 9,0,2024-09-07 09:37:31:113,128724,0.3,125100,0.5,262011,0.3,343474,1.75 9,1,2024-09-07 09:37:30:550,900694,900694,0,0,423917785477,4464706636,887276,10770,2648,369,392001,0 9,2,2024-09-07 09:37:31:084,648248,648247,1,0,31036387,0,5281 9,3,2024-09-07 09:37:31:763,1,515,3,0,1273,8657,515,0 10,0,2024-09-07 09:37:31:617,133745,0.4,133246,0.5,267378,0.3,356521,1.75 10,1,2024-09-07 09:37:30:583,903210,903210,0,0,423145622142,4434136970,890851,10269,2090,381,391869,0 10,2,2024-09-07 09:37:30:762,654625,654625,0,0,33761817,0,4264 10,3,2024-09-07 09:37:30:872,1,515,1,0,649,5323,515,0 11,0,2024-09-07 09:37:31:020,127951,0.5,124179,0.7,259560,0.4,342267,1.75 11,1,2024-09-07 09:37:30:572,903899,903899,0,0,424755882156,4454446874,890423,10014,3462,383,391756,0 11,2,2024-09-07 09:37:31:126,652763,652763,0,0,29797626,0,4130 11,3,2024-09-07 09:37:31:305,1,515,9,0,843,6952,515,0 12,0,2024-09-07 09:37:30:952,128146,0.4,128115,0.5,256281,0.3,340361,1.75 12,1,2024-09-07 09:37:30:945,903464,903464,0,0,424356322525,4428004825,895802,6782,880,370,391960,0 12,2,2024-09-07 09:37:31:546,647597,647597,0,0,29560442,0,4390 12,3,2024-09-07 09:37:31:067,1,515,5,0,386,7015,515,0 13,0,2024-09-07 09:37:31:341,127987,0.4,127735,0.6,254877,0.4,339664,1.75 13,1,2024-09-07 09:37:31:536,901543,901543,0,0,423180962963,4449186123,891920,7329,2294,382,391740,0 13,2,2024-09-07 09:37:30:599,651526,651526,0,0,26510137,0,3287 13,3,2024-09-07 09:37:31:762,1,515,0,0,522,7239,515,0 14,0,2024-09-07 09:37:30:569,133281,0.4,134242,0.6,266303,0.4,355137,1.75 14,1,2024-09-07 09:37:31:563,907721,907721,0,0,427181642407,4420711793,900815,6178,728,364,391673,0 14,2,2024-09-07 09:37:30:764,652669,652639,30,0,27932904,0,6104 14,3,2024-09-07 09:37:31:120,1,515,2,0,1168,5838,515,0 15,0,2024-09-07 09:37:31:552,128552,0.5,128033,0.7,257103,0.4,342446,2.00 15,1,2024-09-07 09:37:31:608,905053,905053,0,0,425169317499,4429060612,897322,6246,1485,381,391619,0 15,2,2024-09-07 09:37:31:000,656077,656077,0,0,23504917,0,3622 15,3,2024-09-07 09:37:31:405,1,515,1,0,1126,7307,515,0 16,0,2024-09-07 09:37:30:983,127313,0.5,127897,0.7,255070,0.5,339143,2.00 16,1,2024-09-07 09:37:30:563,904734,904734,0,0,424730351036,4442051244,896320,7114,1300,370,392194,0 16,2,2024-09-07 09:37:31:447,649749,649749,0,0,27766970,0,4719 16,3,2024-09-07 09:37:31:154,1,515,7,0,358,6524,515,0 17,0,2024-09-07 09:37:31:821,130046,0.5,126756,0.7,248317,0.5,336624,2.00 17,1,2024-09-07 09:37:30:568,902519,902519,0,0,424122690645,4450759350,893227,7545,1747,368,392075,0 17,2,2024-09-07 09:37:31:687,651978,651977,1,0,28537158,0,5050 17,3,2024-09-07 09:37:30:574,1,515,11,0,518,7799,515,0 18,0,2024-09-07 09:37:30:943,129256,0.6,130146,0.8,259487,0.6,346905,2.25 18,1,2024-09-07 09:37:31:655,906794,906794,0,0,425521498764,4414932672,900685,5112,997,367,391725,0 18,2,2024-09-07 09:37:31:757,652850,652850,0,0,25341810,0,3541 18,3,2024-09-07 09:37:30:896,1,515,1,0,1059,4905,515,0 19,0,2024-09-07 09:37:31:545,130985,0.7,131397,0.8,261169,0.7,347809,2.25 19,1,2024-09-07 09:37:30:576,905751,905751,0,0,425864558826,4416898134,898764,5903,1084,367,391777,0 19,2,2024-09-07 09:37:31:755,656900,656900,0,0,23818746,0,3988 19,3,2024-09-07 09:37:31:129,1,515,38,0,524,4233,515,0 20,0,2024-09-07 09:37:31:352,127090,0.5,127371,0.7,254256,0.5,339104,2.00 20,1,2024-09-07 09:37:30:574,903077,903077,0,0,424855213884,4451453586,893884,7829,1364,369,391922,0 20,2,2024-09-07 09:37:30:935,652205,652205,0,0,28900375,0,3721 20,3,2024-09-07 09:37:30:589,1,515,0,0,468,8885,515,0 21,0,2024-09-07 09:37:31:138,126851,0.4,127010,0.6,254024,0.4,336964,1.75 21,1,2024-09-07 09:37:31:538,901629,901629,0,0,423406032860,4469202707,887481,11029,3119,368,392016,0 21,2,2024-09-07 09:37:31:074,644960,644940,20,0,33740054,0,5617 21,3,2024-09-07 09:37:31:411,1,515,1,0,713,6712,515,0 22,0,2024-09-07 09:37:31:717,129174,0.4,129645,0.6,258806,0.4,343731,2.00 22,1,2024-09-07 09:37:31:024,902207,902207,0,0,423331333454,4458018893,886980,12000,3227,382,391822,0 22,2,2024-09-07 09:37:30:760,648045,648019,26,0,28319164,0,6328 22,3,2024-09-07 09:37:31:076,1,515,6,0,228,4420,515,0 23,0,2024-09-07 09:37:31:367,133348,0.5,132931,0.7,265900,0.5,354349,2.25 23,1,2024-09-07 09:37:31:008,903237,903237,0,0,424227038520,4453763412,887578,11251,4408,365,391690,0 23,2,2024-09-07 09:37:31:092,655758,655758,0,0,27029783,0,3773 23,3,2024-09-07 09:37:31:756,1,515,3,0,855,7653,515,0 24,0,2024-09-07 09:37:30:860,128900,0.4,128116,0.6,257651,0.4,342210,1.75 24,1,2024-09-07 09:37:30:581,902306,902306,0,0,423392519972,4433436144,893348,7284,1674,367,392269,0 24,2,2024-09-07 09:37:31:073,653404,653404,0,0,31954287,0,4438 24,3,2024-09-07 09:37:31:690,1,515,15,0,468,6603,515,0 25,0,2024-09-07 09:37:31:376,130510,0.4,127141,0.6,249371,0.3,339653,1.75 25,1,2024-09-07 09:37:30:560,901450,901450,0,0,423858930892,4470938495,886526,11952,2972,369,391928,0 25,2,2024-09-07 09:37:31:632,646271,646271,0,0,32929473,0,3978 25,3,2024-09-07 09:37:31:011,1,515,39,0,532,5680,515,0 26,0,2024-09-07 09:37:31:719,127317,0.4,124630,0.6,261495,0.3,340783,1.75 26,1,2024-09-07 09:37:31:541,904270,904270,0,0,423574287546,4435845512,891441,10377,2452,380,391748,0 26,2,2024-09-07 09:37:30:861,649152,649152,0,0,34873347,0,4689 26,3,2024-09-07 09:37:31:712,1,515,1,0,796,5710,515,0 27,0,2024-09-07 09:37:31:722,133475,0.4,133917,0.6,266222,0.4,355394,2.25 27,1,2024-09-07 09:37:31:678,905081,905081,0,0,425888951738,4435625790,896529,7283,1269,381,391626,0 27,2,2024-09-07 09:37:30:867,651295,651230,65,0,30415254,0,5699 27,3,2024-09-07 09:37:31:022,1,515,5,0,564,4524,515,0 28,0,2024-09-07 09:37:31:391,128727,0.4,128366,0.6,257586,0.4,343367,2.00 28,1,2024-09-07 09:37:30:797,905240,905240,0,0,425287982193,4434766140,897470,6056,1714,382,391698,0 28,2,2024-09-07 09:37:31:763,654038,654038,0,0,26890871,0,2915 28,3,2024-09-07 09:37:31:776,1,515,1,0,502,5222,515,0 29,0,2024-09-07 09:37:31:354,130947,0.3,127632,0.6,250263,0.3,341167,1.75 29,1,2024-09-07 09:37:31:570,907336,907336,0,0,425815883768,4405458121,901780,4794,762,367,391809,0 29,2,2024-09-07 09:37:30:861,648269,648269,0,0,26217293,0,4986 29,3,2024-09-07 09:37:30:971,1,515,11,0,459,5710,515,0 30,0,2024-09-07 09:37:31:459,126017,0.5,122534,0.6,256577,0.4,335725,2.00 30,1,2024-09-07 09:37:30:571,906920,906920,0,0,426387339293,4426100610,899945,6073,902,380,391672,0 30,2,2024-09-07 09:37:31:274,650323,650323,0,0,25208247,0,4192 30,3,2024-09-07 09:37:30:581,1,515,1,0,519,4995,515,0 31,0,2024-09-07 09:37:31:759,131844,0.5,132131,0.6,263986,0.4,352761,2.00 31,1,2024-09-07 09:37:30:564,909903,909903,0,0,427909998873,4393929435,904579,4313,1011,356,391712,0 31,2,2024-09-07 09:37:31:275,650508,650508,0,0,27857850,0,4470 31,3,2024-09-07 09:37:31:706,1,515,2,0,220,4395,515,0 32,0,2024-09-07 09:37:31:418,130883,0.4,131501,0.5,261768,0.3,348569,1.75 32,1,2024-09-07 09:37:30:805,906250,906250,0,0,426334256103,4432537082,900452,5091,707,381,391646,0 32,2,2024-09-07 09:37:30:935,655136,655136,0,0,24428702,0,3922 32,3,2024-09-07 09:37:31:019,1,515,1,0,304,4248,515,0 33,0,2024-09-07 09:37:31:511,127896,0.3,127453,0.4,255155,0.2,339594,1.50 33,1,2024-09-07 09:37:30:575,906787,906787,0,0,426433935470,4418691797,899559,6055,1173,368,391730,0 33,2,2024-09-07 09:37:30:758,653444,653409,35,0,27000229,0,7012 33,3,2024-09-07 09:37:30:895,1,515,1,0,329,4849,515,0 34,0,2024-09-07 09:37:30:931,126676,0.3,130113,0.4,248660,0.2,334794,1.75 34,1,2024-09-07 09:37:31:044,908576,908576,0,0,426832742071,4390780130,906068,2486,22,367,391562,0 34,2,2024-09-07 09:37:30:766,650299,650299,0,0,25483945,0,4562 34,3,2024-09-07 09:37:31:690,1,515,1,0,320,3997,515,0 35,0,2024-09-07 09:37:30:863,128902,0.3,129650,0.5,260342,0.2,346204,1.75 35,1,2024-09-07 09:37:31:070,905676,905676,0,0,425121849548,4411752254,899019,5444,1213,382,391769,0 35,2,2024-09-07 09:37:31:583,649495,649495,0,0,28028083,0,4055 35,3,2024-09-07 09:37:30:907,1,515,1,0,418,4857,515,0 36,0,2024-09-07 09:37:31:516,133345,0.5,133224,0.7,266459,0.5,354302,2.25 36,1,2024-09-07 09:37:30:588,905055,905055,0,0,424435700795,4435497082,892774,10062,2219,366,391759,0 36,2,2024-09-07 09:37:31:752,654992,654992,0,0,29422281,0,3875 36,3,2024-09-07 09:37:30:865,1,515,1,0,416,7152,515,0 37,0,2024-09-07 09:37:31:379,126929,0.5,126872,0.7,253999,0.5,338749,2.25 37,1,2024-09-07 09:37:30:570,903574,903567,0,7,424764605662,4437173996,892462,8431,2674,365,391770,0 37,2,2024-09-07 09:37:31:146,651500,651485,15,0,29971921,0,5815 37,3,2024-09-07 09:37:31:766,1,515,1,0,888,7270,515,0 38,0,2024-09-07 09:37:31:442,126232,0.4,122454,0.6,256254,0.3,335892,2.00 38,1,2024-09-07 09:37:31:616,905125,905125,0,0,425224405713,4447308801,891897,10709,2519,368,391821,0 38,2,2024-09-07 09:37:30:760,646599,646552,47,0,29927119,0,6710 38,3,2024-09-07 09:37:30:997,1,515,7,0,689,6671,515,0 39,0,2024-09-07 09:37:31:759,131768,0.5,128955,0.7,251118,0.5,342934,2.00 39,1,2024-09-07 09:37:30:719,902929,902929,0,0,424210782154,4452916192,887134,12326,3469,365,391865,0 39,2,2024-09-07 09:37:31:418,650253,650253,0,0,27712088,0,3391 39,3,2024-09-07 09:37:30:717,1,515,0,0,525,6182,515,0 40,0,2024-09-07 09:37:31:499,132371,0.9,133091,1.0,265680,1.0,354751,2.75 40,1,2024-09-07 09:37:30:576,905630,905630,0,0,423732187556,4432692116,893616,9836,2178,368,391668,0 40,2,2024-09-07 09:37:31:303,653043,653042,1,0,32919469,0,5137 40,3,2024-09-07 09:37:31:148,1,515,1,0,1028,7358,515,0 41,0,2024-09-07 09:37:31:051,127366,1.3,130051,1.2,248215,1.9,338129,3.25 41,1,2024-09-07 09:37:30:770,903318,903318,0,0,423894750637,4436036731,891446,9938,1934,369,391878,0 41,2,2024-09-07 09:37:30:760,651124,651123,1,0,31722193,0,5408 41,3,2024-09-07 09:37:31:691,1,515,1,0,366,5342,515,0 42,0,2024-09-07 09:37:31:474,126724,0.8,126362,1.0,253038,0.8,335210,2.50 42,1,2024-09-07 09:37:31:440,900852,900852,0,0,423210273832,4451138280,885843,12101,2908,380,391675,0 42,2,2024-09-07 09:37:31:136,647254,647254,0,0,30423300,0,3975 42,3,2024-09-07 09:37:31:009,1,515,0,0,892,4678,515,0 43,0,2024-09-07 09:37:30:934,125993,0.7,122691,0.9,256850,0.7,336763,2.25 43,1,2024-09-07 09:37:30:577,904032,904032,0,0,425029826493,4441744067,891164,10608,2260,366,391696,0 43,2,2024-09-07 09:37:31:742,650326,650326,0,0,30260173,0,4723 43,3,2024-09-07 09:37:31:762,1,515,1,0,571,7221,515,0 44,0,2024-09-07 09:37:30:872,133317,0.4,133423,0.6,267339,0.4,355661,2.00 44,1,2024-09-07 09:37:30:564,906633,906633,0,0,425459571196,4397558855,898837,6209,1587,356,391809,0 44,2,2024-09-07 09:37:31:266,650717,650717,0,0,25160488,0,4344 44,3,2024-09-07 09:37:31:093,1,515,1,0,817,5645,515,0 45,0,2024-09-07 09:37:31:771,127366,0.5,124365,0.7,260943,0.5,342687,2.00 45,1,2024-09-07 09:37:31:007,905326,905326,0,0,425906905010,4427732414,897815,6635,876,382,391917,0 45,2,2024-09-07 09:37:31:275,655056,655056,0,0,25495060,0,3596 45,3,2024-09-07 09:37:30:934,1,515,0,0,271,4541,515,0 46,0,2024-09-07 09:37:30:950,126837,0.5,126315,0.7,253517,0.4,336287,2.00 46,1,2024-09-07 09:37:30:575,907179,907179,0,0,425783648165,4401314868,900777,5539,863,366,391709,0 46,2,2024-09-07 09:37:30:593,651095,651095,0,0,25704086,0,4443 46,3,2024-09-07 09:37:31:139,1,515,3,0,908,6316,515,0 47,0,2024-09-07 09:37:31:106,126343,0.4,126435,0.6,253566,0.3,335605,1.75 47,1,2024-09-07 09:37:30:567,907921,907921,0,0,426451551769,4405490179,902695,4387,839,365,391641,0 47,2,2024-09-07 09:37:30:907,652468,652468,0,0,25500673,0,4477 47,3,2024-09-07 09:37:31:115,1,515,1,0,600,5896,515,0 48,0,2024-09-07 09:37:31:496,131100,0.3,131138,0.4,261398,0.2,348955,1.50 48,1,2024-09-07 09:37:31:021,905581,905581,0,0,425174756700,4418411072,899792,5216,573,384,391710,0 48,2,2024-09-07 09:37:30:699,650849,650849,0,0,23518265,0,3411 48,3,2024-09-07 09:37:30:753,1,515,1,0,339,4147,515,0 49,0,2024-09-07 09:37:31:710,135060,0.4,132540,0.5,257613,0.3,351820,1.75 49,1,2024-09-07 09:37:31:030,905349,905349,0,0,425482472221,4423830864,899784,4354,1211,382,391809,0 49,2,2024-09-07 09:37:31:813,655529,655529,0,0,26152285,0,4426 49,3,2024-09-07 09:37:31:416,1,515,9,0,992,6061,515,0 50,0,2024-09-07 09:37:31:507,127969,0.3,126277,0.5,254491,0.2,338743,1.75 50,1,2024-09-07 09:37:31:010,908413,908413,0,0,427081431151,4416499457,902469,5277,667,368,391565,0 50,2,2024-09-07 09:37:31:067,652678,652678,0,0,23942678,0,4490 50,3,2024-09-07 09:37:31:293,1,515,3,0,617,5431,515,0 51,0,2024-09-07 09:37:31:684,130236,0.3,127446,0.4,248239,0.2,338470,1.75 51,1,2024-09-07 09:37:31:680,908774,908774,0,0,427382349753,4407380221,904010,3709,1055,365,391706,0 51,2,2024-09-07 09:37:31:315,648864,648864,0,0,23164572,0,3337 51,3,2024-09-07 09:37:31:027,1,515,1,0,678,3767,515,0 52,0,2024-09-07 09:37:31:415,129731,0.5,129265,0.7,259016,0.4,344530,2.00 52,1,2024-09-07 09:37:30:576,904744,904744,0,0,424461299541,4445405687,891519,11120,2105,368,391722,0 52,2,2024-09-07 09:37:31:763,645992,645954,38,0,30865240,0,6742 52,3,2024-09-07 09:37:30:674,1,515,1,0,1782,6657,515,0 53,0,2024-09-07 09:37:31:738,132985,0.7,129058,0.8,269867,0.8,353923,2.50 53,1,2024-09-07 09:37:30:771,902868,902868,0,0,424354501701,4455044662,887513,11511,3844,367,391968,0 53,2,2024-09-07 09:37:31:302,655069,655068,1,0,27816454,0,5455 53,3,2024-09-07 09:37:30:697,1,515,1,0,308,5135,515,0 54,0,2024-09-07 09:37:31:625,125982,0.6,126432,0.8,251600,0.5,336147,2.25 54,1,2024-09-07 09:37:30:580,904927,904927,0,0,425477781200,4427662149,894863,8430,1634,366,391810,0 54,2,2024-09-07 09:37:30:866,653850,653818,32,0,31553193,0,6397 54,3,2024-09-07 09:37:30:763,1,515,2,0,676,7006,515,0 55,0,2024-09-07 09:37:31:758,122560,0.6,126510,0.7,256247,0.5,333941,2.50 55,1,2024-09-07 09:37:30:764,905925,905925,0,0,424244617718,4413105858,896265,8340,1320,365,391731,0 55,2,2024-09-07 09:37:30:729,646761,646705,56,0,29703260,0,7239 55,3,2024-09-07 09:37:30:673,1,515,2,0,304,4869,515,0 56,0,2024-09-07 09:37:31:612,130662,1.1,123128,1.1,253678,1.4,340157,2.50 56,1,2024-09-07 09:37:30:569,900594,900594,0,0,423363422617,4471640685,886279,11403,2912,381,391867,0 56,2,2024-09-07 09:37:31:315,649081,648959,122,0,30876163,0,7432 56,3,2024-09-07 09:37:31:082,1,515,0,0,705,6228,515,0 57,0,2024-09-07 09:37:30:946,131412,1.6,131408,1.3,263256,2.2,351983,3.25 57,1,2024-09-07 09:37:30:987,902288,902288,0,0,423942257730,4448937085,890108,9895,2285,366,392032,0 57,2,2024-09-07 09:37:31:316,653429,653429,0,0,31894283,0,4317 57,3,2024-09-07 09:37:31:739,1,515,1,0,455,5817,515,0 58,0,2024-09-07 09:37:30:555,126512,1.0,122799,1.0,257016,1.2,336771,2.75 58,1,2024-09-07 09:37:30:575,903905,903902,0,3,424503871373,4449997006,890116,10466,3320,367,391675,3 58,2,2024-09-07 09:37:31:073,653598,653598,0,0,30211825,0,3483 58,3,2024-09-07 09:37:31:300,1,515,8,0,1043,5694,515,0 59,0,2024-09-07 09:37:31:772,126835,0.8,126779,1.0,252738,0.9,335310,2.75 59,1,2024-09-07 09:37:30:811,902617,902617,0,0,424255236857,4456298541,887874,11604,3139,369,391653,0 59,2,2024-09-07 09:37:30:582,648188,648188,0,0,28843902,0,3727 59,3,2024-09-07 09:37:31:742,1,515,11,0,1015,6482,515,0 60,0,2024-09-07 09:37:31:737,126246,0.5,126469,0.7,253188,0.5,336585,1.75 60,1,2024-09-07 09:37:30:772,907075,907075,0,0,426043951935,4422354285,900895,5247,933,370,392031,0 60,2,2024-09-07 09:37:31:142,650220,650220,0,0,27487926,0,3811 60,3,2024-09-07 09:37:31:270,1,515,1,0,409,6088,515,0 61,0,2024-09-07 09:37:31:502,131771,0.7,132329,0.8,263646,0.7,352397,2.00 61,1,2024-09-07 09:37:30:771,903469,903469,0,0,423971167296,4440877070,893594,8295,1580,382,392127,0 61,2,2024-09-07 09:37:31:129,651417,651350,67,0,28442803,0,6411 61,3,2024-09-07 09:37:31:690,1,515,1,0,607,7463,515,0 62,0,2024-09-07 09:37:31:717,131059,0.7,134431,0.8,256612,0.7,348379,2.00 62,1,2024-09-07 09:37:31:120,908903,908897,0,6,427749145063,4408649261,904741,3876,280,365,391975,6 62,2,2024-09-07 09:37:31:651,652298,652297,1,0,27737658,0,5555 62,3,2024-09-07 09:37:31:147,1,515,0,0,482,4222,515,0 63,0,2024-09-07 09:37:31:453,127854,0.4,127579,0.6,256067,0.4,339995,1.75 63,1,2024-09-07 09:37:30:804,906367,906361,0,6,425914805085,4421920101,900608,5002,751,381,391800,6 63,2,2024-09-07 09:37:30:762,651843,651843,0,0,26774384,0,4369 63,3,2024-09-07 09:37:31:745,1,515,6,0,667,5566,515,0 64,0,2024-09-07 09:37:31:515,125910,0.5,125938,0.6,251461,0.4,333970,2.00 64,1,2024-09-07 09:37:30:750,906010,906010,0,0,425286728858,4429725362,898121,6118,1771,370,391794,0 64,2,2024-09-07 09:37:31:143,653219,653200,19,0,25764443,0,6121 64,3,2024-09-07 09:37:31:141,1,515,1,0,651,5461,515,0 65,0,2024-09-07 09:37:31:680,128705,0.6,129021,0.8,257462,0.7,343708,2.00 65,1,2024-09-07 09:37:30:860,904176,904176,0,0,424162981041,4434431986,897430,5950,796,381,391901,0 65,2,2024-09-07 09:37:31:692,649461,649461,0,0,30217703,0,3367 65,3,2024-09-07 09:37:31:685,1,515,2,0,782,6157,515,0 66,0,2024-09-07 09:37:31:764,132520,0.6,132033,0.8,264203,0.6,351469,2.25 66,1,2024-09-07 09:37:31:294,905740,905740,0,0,425241060211,4427393835,899592,5426,722,380,391743,0 66,2,2024-09-07 09:37:31:136,656737,656734,3,0,27639391,0,5455 66,3,2024-09-07 09:37:31:079,1,515,1,0,291,4500,515,0 67,0,2024-09-07 09:37:31:415,127411,0.5,127015,0.7,254775,0.5,338997,2.00 67,1,2024-09-07 09:37:30:767,906026,906025,0,1,425791284681,4433705109,899753,5458,814,380,391787,1 67,2,2024-09-07 09:37:30:583,655608,655593,15,0,26695230,0,6205 67,3,2024-09-07 09:37:31:755,1,515,4,0,595,5516,515,0 68,0,2024-09-07 09:37:30:565,127067,0.5,126921,0.7,252884,0.5,338190,2.00 68,1,2024-09-07 09:37:30:607,902109,902109,0,0,423571428226,4456023353,889870,8839,3400,381,391953,0 68,2,2024-09-07 09:37:31:056,644111,644011,100,0,34287861,0,8578 68,3,2024-09-07 09:37:30:731,1,515,23,0,417,6781,515,0 69,0,2024-09-07 09:37:31:736,127949,0.6,128862,0.8,256678,0.6,341016,2.25 69,1,2024-09-07 09:37:31:026,900995,900995,0,0,423367547778,4463225792,888747,9489,2759,384,391994,0 69,2,2024-09-07 09:37:31:733,648204,648175,29,0,35346398,0,6912 69,3,2024-09-07 09:37:30:760,1,515,1,0,698,7740,515,0 70,0,2024-09-07 09:37:31:535,132226,0.9,132658,1.0,266747,0.8,353779,2.50 70,1,2024-09-07 09:37:30:808,906552,906552,0,0,426029642133,4422138007,899297,6493,762,366,391725,0 70,2,2024-09-07 09:37:31:328,654389,654389,0,0,29817150,0,4323 70,3,2024-09-07 09:37:30:748,1,515,1,0,854,5687,515,0 71,0,2024-09-07 09:37:31:355,126698,1.2,126399,1.1,254039,1.6,339317,3.00 71,1,2024-09-07 09:37:31:601,904219,904219,0,0,424645343657,4434605717,892826,10138,1255,368,391738,0 71,2,2024-09-07 09:37:31:067,652598,652598,0,0,30500149,0,4352 71,3,2024-09-07 09:37:31:768,1,515,10,0,644,6113,515,0 72,0,2024-09-07 09:37:31:028,131486,0.5,128501,0.7,250747,0.5,340696,2.00 72,1,2024-09-07 09:37:31:033,902539,902539,0,0,424343775251,4453267003,889157,11100,2282,369,391819,0 72,2,2024-09-07 09:37:31:762,646654,646654,0,0,31572694,0,3983 72,3,2024-09-07 09:37:31:788,1,515,0,0,564,7436,515,0 73,0,2024-09-07 09:37:31:102,123887,0.4,127127,0.6,259650,0.4,337740,2.00 73,1,2024-09-07 09:37:30:784,905955,905955,0,0,425349783392,4417226303,899727,5803,425,367,391858,0 73,2,2024-09-07 09:37:31:739,649340,649340,0,0,32111455,0,4728 73,3,2024-09-07 09:37:30:974,1,515,1,0,486,6527,515,0 74,0,2024-09-07 09:37:31:324,134208,0.5,137331,0.7,261999,0.5,355942,2.00 74,1,2024-09-07 09:37:30:642,903850,903850,0,0,424110985316,4430246080,893401,8525,1924,381,391762,0 74,2,2024-09-07 09:37:31:008,651450,651450,0,0,28083548,0,4253 74,3,2024-09-07 09:37:31:450,1,515,42,0,522,6239,515,0 75,0,2024-09-07 09:37:31:781,129133,0.6,128275,0.8,257787,0.6,344113,2.25 75,1,2024-09-07 09:37:31:590,904892,904892,0,0,424393496843,4425603613,897005,7026,861,380,391739,0 75,2,2024-09-07 09:37:31:349,652836,652836,0,0,33551002,0,4766 75,3,2024-09-07 09:37:31:067,1,515,4,0,702,6159,515,0 76,0,2024-09-07 09:37:30:620,126830,0.5,126071,0.7,252778,0.5,337852,2.25 76,1,2024-09-07 09:37:30:811,904200,904200,0,0,424959883964,4433275712,897575,5658,967,382,391692,0 76,2,2024-09-07 09:37:31:064,652632,652629,3,0,28400225,0,5265 76,3,2024-09-07 09:37:31:145,1,515,1,0,227,4834,515,0 77,0,2024-09-07 09:37:31:701,125677,0.5,126132,0.7,252379,0.5,334614,2.00 77,1,2024-09-07 09:37:30:827,905088,905088,0,0,425296552568,4436528345,898256,6237,595,381,391869,0 77,2,2024-09-07 09:37:31:288,649326,649326,0,0,27904536,0,3890 77,3,2024-09-07 09:37:31:094,1,515,1,0,401,5533,515,0 78,0,2024-09-07 09:37:31:717,130963,0.4,130421,0.6,261665,0.4,347368,2.00 78,1,2024-09-07 09:37:30:612,904650,904650,0,0,424442366007,4427296344,893883,8241,2526,367,391670,0 78,2,2024-09-07 09:37:31:407,651683,651670,13,0,25972497,0,8313 78,3,2024-09-07 09:37:31:139,1,515,0,0,311,4521,515,0 79,0,2024-09-07 09:37:31:346,127080,0.4,130080,0.6,266490,0.4,346676,2.25 79,1,2024-09-07 09:37:30:571,907302,907302,0,0,425735120521,4412543057,899568,6377,1357,367,391682,0 79,2,2024-09-07 09:37:31:068,654759,654759,0,0,25035526,0,4195 79,3,2024-09-07 09:37:30:749,1,515,1,0,418,6277,515,0 80,0,2024-09-07 09:37:31:075,127160,0.5,130631,0.7,250059,0.5,338291,2.00 80,1,2024-09-07 09:37:31:625,904411,904411,0,0,425123879717,4425542488,898588,5397,426,368,392269,0 80,2,2024-09-07 09:37:31:105,654775,654775,0,0,25621633,0,4433 80,3,2024-09-07 09:37:30:575,1,515,1,0,296,6196,515,0 81,0,2024-09-07 09:37:31:560,127034,0.5,130055,0.7,247973,0.5,336994,2.00 81,1,2024-09-07 09:37:31:656,903508,903508,0,0,423808352929,4431447016,896110,6760,638,382,391885,0 81,2,2024-09-07 09:37:31:125,647613,647550,63,0,28551786,0,5932 81,3,2024-09-07 09:37:31:121,1,515,0,0,719,5950,515,0 82,0,2024-09-07 09:37:31:533,129003,0.5,129115,0.7,258677,0.4,343688,2.00 82,1,2024-09-07 09:37:30:587,906003,905999,0,4,426286364717,4432258129,900921,4258,820,381,391768,4 82,2,2024-09-07 09:37:31:691,651563,651563,0,0,23785643,0,4484 82,3,2024-09-07 09:37:31:782,1,515,2,0,363,4978,515,0 83,0,2024-09-07 09:37:31:527,133597,0.6,133614,0.8,266503,0.7,353977,2.25 83,1,2024-09-07 09:37:30:551,905075,905075,0,0,424794499537,4429190291,898720,5901,454,382,391709,0 83,2,2024-09-07 09:37:30:766,654711,654686,25,0,25829266,0,5612 83,3,2024-09-07 09:37:30:749,1,515,1,0,1260,6482,515,0 84,0,2024-09-07 09:37:31:769,126890,0.8,127089,0.9,253765,0.7,339454,2.25 84,1,2024-09-07 09:37:31:049,903416,903416,0,0,424128568277,4435902269,893182,8709,1525,367,391967,0 84,2,2024-09-07 09:37:30:573,652609,652579,30,0,34019963,0,5971 84,3,2024-09-07 09:37:31:150,1,515,10,0,908,7382,515,0 85,0,2024-09-07 09:37:31:027,122134,0.6,122140,0.8,259235,0.5,335420,2.25 85,1,2024-09-07 09:37:30:565,900277,900277,0,0,423486566093,4474031541,886013,11606,2658,381,392092,0 85,2,2024-09-07 09:37:30:866,646086,646086,0,0,31628075,0,4255 85,3,2024-09-07 09:37:30:686,1,515,1,0,789,5969,515,0 86,0,2024-09-07 09:37:30:924,127653,0.6,131370,0.7,251145,0.6,340586,2.25 86,1,2024-09-07 09:37:30:831,903376,903376,0,0,424507612987,4449496755,892084,9316,1976,366,391995,0 86,2,2024-09-07 09:37:30:859,647826,647825,1,0,34125579,0,5004 86,3,2024-09-07 09:37:30:587,1,515,1,0,308,7487,515,0 87,0,2024-09-07 09:37:31:282,133228,0.9,133087,0.9,265964,1.2,355714,2.25 87,1,2024-09-07 09:37:30:557,902185,902185,0,0,423531959952,4440291572,889991,10479,1715,366,392076,0 87,2,2024-09-07 09:37:31:071,652909,652903,6,0,30005197,0,6323 87,3,2024-09-07 09:37:31:818,1,515,10,0,473,7628,515,0 88,0,2024-09-07 09:37:31:461,128234,0.5,128841,0.6,257489,0.5,342934,2.00 88,1,2024-09-07 09:37:30:577,901645,901645,0,0,424299616648,4445368293,889023,10008,2614,365,392084,0 88,2,2024-09-07 09:37:30:691,653909,653909,0,0,32391800,0,4465 88,3,2024-09-07 09:37:31:271,1,515,3,0,435,6539,515,0 89,0,2024-09-07 09:37:32:133,131159,0.4,127146,0.6,251092,0.4,341162,1.75 89,1,2024-09-07 09:37:30:554,902351,902351,0,0,424218236104,4463203665,890947,9589,1815,382,391866,0 89,2,2024-09-07 09:37:31:193,647967,647967,0,0,30677208,0,3173 89,3,2024-09-07 09:37:31:837,1,515,35,0,468,8510,515,0 90,0,2024-09-07 09:37:31:633,122640,0.4,126077,0.6,256816,0.4,335504,1.75 90,1,2024-09-07 09:37:30:590,903627,903627,0,0,423972008485,4438554051,895123,7771,733,380,391825,0 90,2,2024-09-07 09:37:31:412,647800,647800,0,0,32884028,0,3608 90,3,2024-09-07 09:37:30:951,1,515,2,0,322,6262,515,0 91,0,2024-09-07 09:37:30:941,132407,0.5,128394,0.6,268528,0.4,352925,1.75 91,1,2024-09-07 09:37:30:557,901833,901833,0,0,423388517761,4453203871,890261,9560,2012,381,392047,0 91,2,2024-09-07 09:37:31:341,651670,651670,0,0,28984325,0,4713 91,3,2024-09-07 09:37:30:600,1,515,6,0,216,4893,515,0 92,0,2024-09-07 09:37:31:489,132067,0.5,134981,0.6,257509,0.5,348587,1.75 92,1,2024-09-07 09:37:30:581,903807,903807,0,0,424468934283,4435539542,896303,6299,1205,381,392136,0 92,2,2024-09-07 09:37:31:350,654636,654636,0,0,26255432,0,3259 92,3,2024-09-07 09:37:31:013,1,515,2,0,167,4513,515,0 93,0,2024-09-07 09:37:31:024,128170,0.4,131424,0.6,250825,0.3,339934,1.75 93,1,2024-09-07 09:37:30:807,904488,904488,0,0,424884201732,4437230348,894208,8547,1733,366,391776,0 93,2,2024-09-07 09:37:30:952,651729,651729,0,0,31945685,0,4913 93,3,2024-09-07 09:37:31:406,1,515,3,0,190,4701,515,0 94,0,2024-09-07 09:37:31:662,126014,0.3,126749,0.5,253252,0.3,335787,1.75 94,1,2024-09-07 09:37:30:563,904712,904712,0,0,425187976372,4435123435,898617,5722,373,381,391850,0 94,2,2024-09-07 09:37:30:764,648995,648967,28,0,27257610,0,6179 94,3,2024-09-07 09:37:31:691,1,515,2,0,576,6569,515,0 95,0,2024-09-07 09:37:31:352,129847,0.3,129529,0.5,259537,0.3,346218,1.75 95,1,2024-09-07 09:37:30:854,905877,905877,0,0,425346077528,4421393335,898528,6692,657,365,391786,0 95,2,2024-09-07 09:37:31:022,649516,649516,0,0,26000170,0,3308 95,3,2024-09-07 09:37:31:718,1,515,1,0,718,8027,515,0 96,0,2024-09-07 09:37:31:053,133235,0.4,133363,0.5,266584,0.3,353764,1.75 96,1,2024-09-07 09:37:31:605,904520,904520,0,0,423980765586,4423019212,898135,5472,913,384,391955,0 96,2,2024-09-07 09:37:31:277,655442,655442,0,0,27142285,0,4180 96,3,2024-09-07 09:37:31:163,1,515,1,0,411,5486,515,0 97,0,2024-09-07 09:37:31:366,127418,0.3,127235,0.5,255476,0.3,339221,1.75 97,1,2024-09-07 09:37:30:770,906156,906156,0,0,426020379874,4419635423,900408,4805,943,367,392140,0 97,2,2024-09-07 09:37:30:617,654524,654524,0,0,26619081,0,3679 97,3,2024-09-07 09:37:30:570,1,515,1,0,242,5999,515,0 98,0,2024-09-07 09:37:31:799,126542,0.3,126761,0.4,254089,0.2,337878,1.50 98,1,2024-09-07 09:37:30:577,905434,905434,0,0,424796244848,4424363627,900028,4606,800,381,391997,0 98,2,2024-09-07 09:37:30:789,647984,647984,0,0,26110334,0,4336 98,3,2024-09-07 09:37:30:704,1,515,5,0,840,8001,515,0 99,0,2024-09-07 09:37:31:494,128648,0.3,129305,0.5,257303,0.3,343530,1.75 99,1,2024-09-07 09:37:31:735,905559,905559,0,0,424035144772,4414585474,899594,5038,927,380,392069,0 99,2,2024-09-07 09:37:31:417,650453,650453,0,0,31420942,0,4276 99,3,2024-09-07 09:37:30:596,1,515,3,0,606,5214,515,0 100,0,2024-09-07 09:37:31:494,133493,0.9,133746,1.0,266795,1.0,357249,2.50 100,1,2024-09-07 09:37:30:553,900492,900492,0,0,422918908908,4473490253,886156,11238,3098,378,391989,0 100,2,2024-09-07 09:37:31:851,651851,651840,11,0,30951758,0,5417 100,3,2024-09-07 09:37:31:769,1,515,2,0,627,9367,515,0 101,0,2024-09-07 09:37:31:737,130574,1.3,127344,1.1,249488,1.3,341547,2.50 101,1,2024-09-07 09:37:30:560,901668,901668,0,0,424073430497,4457154158,889313,9954,2401,368,391847,0 101,2,2024-09-07 09:37:31:758,649334,649334,0,0,35959147,0,4871 101,3,2024-09-07 09:37:30:943,1,515,4,0,1250,7964,515,0 102,0,2024-09-07 09:37:30:976,123864,0.6,127663,0.7,259130,0.6,338879,2.00 102,1,2024-09-07 09:37:31:171,901992,901992,0,0,423394422342,4448826565,889788,10184,2020,369,391891,0 102,2,2024-09-07 09:37:31:872,647728,647674,54,0,30278840,0,6768 102,3,2024-09-07 09:37:31:620,1,515,6,0,466,6090,515,0 103,0,2024-09-07 09:37:31:652,131238,0.5,131206,0.7,247461,0.5,340263,2.00 103,1,2024-09-07 09:37:31:635,900474,900474,0,0,423051329528,4467164489,886435,10840,3199,381,391862,0 103,2,2024-09-07 09:37:30:582,648567,648567,0,0,32044885,0,3766 103,3,2024-09-07 09:37:30:760,1,515,1,0,916,6173,515,0 104,0,2024-09-07 09:37:31:079,132173,0.7,132414,0.9,263963,0.7,353858,2.25 104,1,2024-09-07 09:37:31:727,903283,903283,0,0,423406128941,4448524122,890234,10498,2551,365,392168,0 104,2,2024-09-07 09:37:31:670,649917,649917,0,0,30893768,0,3941 104,3,2024-09-07 09:37:31:425,1,515,8,0,1245,9120,515,0 105,0,2024-09-07 09:37:31:049,127564,1.0,124285,1.1,260549,1.2,342358,2.75 105,1,2024-09-07 09:37:30:609,904335,904335,0,0,424685530958,4450032912,892742,9825,1768,365,392009,0 105,2,2024-09-07 09:37:31:326,652541,652541,0,0,31017726,0,4360 105,3,2024-09-07 09:37:31:310,1,515,1,0,399,7359,515,0 106,0,2024-09-07 09:37:30:981,122986,0.7,125923,0.9,258138,0.8,336648,2.50 106,1,2024-09-07 09:37:31:762,903453,903453,0,0,423633453299,4445648796,890842,10963,1648,368,391914,0 106,2,2024-09-07 09:37:30:764,649260,649260,0,0,29305710,0,2920 106,3,2024-09-07 09:37:30:684,1,515,1,0,1224,7757,515,0 107,0,2024-09-07 09:37:31:098,125868,0.7,126132,0.8,252037,0.8,335721,2.00 107,1,2024-09-07 09:37:30:587,901493,901493,0,0,422972318774,4460313900,888593,11507,1393,381,392234,0 107,2,2024-09-07 09:37:31:293,647411,647410,1,0,32042543,0,5024 107,3,2024-09-07 09:37:31:775,1,515,23,0,733,7844,515,0 108,0,2024-09-07 09:37:31:807,130473,0.4,131158,0.6,260959,0.4,348942,1.75 108,1,2024-09-07 09:37:31:298,904160,904160,0,0,425349355025,4437194229,896254,6935,971,367,391857,0 108,2,2024-09-07 09:37:31:768,649654,649654,0,0,28729161,0,4246 108,3,2024-09-07 09:37:31:340,1,515,1,0,749,10287,515,0 109,0,2024-09-07 09:37:31:818,132309,0.4,131082,0.6,262906,0.4,350840,1.75 109,1,2024-09-07 09:37:30:589,901673,901673,0,0,423902202708,4447820105,893465,6992,1216,382,392132,0 109,2,2024-09-07 09:37:30:929,652147,652147,0,0,28692771,0,3617 109,3,2024-09-07 09:37:31:141,1,515,7,0,630,6502,515,0 110,0,2024-09-07 09:37:31:784,127196,0.4,123765,0.6,259281,0.3,339539,1.75 110,1,2024-09-07 09:37:31:668,905207,905207,0,0,425746297361,4422748455,897679,5606,1922,368,392045,0 110,2,2024-09-07 09:37:31:308,653121,653121,0,0,27166749,0,4067 110,3,2024-09-07 09:37:30:690,1,515,1,0,722,7209,515,0 111,0,2024-09-07 09:37:31:412,127334,0.3,126671,0.5,253636,0.3,338321,1.75 111,1,2024-09-07 09:37:31:001,906906,906906,0,0,426838679911,4425739395,902363,4186,357,380,391690,0 111,2,2024-09-07 09:37:31:116,648051,648051,0,0,27664090,0,4823 111,3,2024-09-07 09:37:30:921,1,515,4,0,379,5904,515,0 112,0,2024-09-07 09:37:30:919,129907,0.3,129302,0.4,259401,0.2,344658,1.50 112,1,2024-09-07 09:37:30:831,905555,905555,0,0,425130054051,4414407431,899658,5206,691,380,391624,0 112,2,2024-09-07 09:37:31:136,649518,649517,1,0,26325198,0,5036 112,3,2024-09-07 09:37:30:592,1,515,1,0,282,4966,515,0 113,0,2024-09-07 09:37:30:869,133879,0.3,133767,0.5,267714,0.3,356192,1.75 113,1,2024-09-07 09:37:31:686,908351,908351,0,0,426851230873,4405431228,903102,4436,813,365,391661,0 113,2,2024-09-07 09:37:31:308,657247,657247,0,0,24037439,0,3813 113,3,2024-09-07 09:37:30:684,1,515,1,0,340,5475,515,0 114,0,2024-09-07 09:37:30:873,128335,0.3,129218,0.5,256857,0.2,343472,1.75 114,1,2024-09-07 09:37:30:717,905477,905477,0,0,425896225674,4423619502,898571,5290,1616,381,391565,0 114,2,2024-09-07 09:37:30:873,655312,655311,1,0,25927949,0,5069 114,3,2024-09-07 09:37:31:285,1,515,1,0,395,4236,515,0 115,0,2024-09-07 09:37:30:554,127378,0.3,127955,0.4,255122,0.2,339005,1.50 115,1,2024-09-07 09:37:30:571,906327,906327,0,0,425523108436,4422606933,898927,6043,1357,382,391757,0 115,2,2024-09-07 09:37:31:125,650586,650586,0,0,25405534,0,4382 115,3,2024-09-07 09:37:31:009,1,515,39,0,167,3007,515,0 116,0,2024-09-07 09:37:31:886,127492,0.6,127369,0.8,255124,0.6,341582,2.00 116,1,2024-09-07 09:37:30:839,901757,901757,0,0,423849909755,4467583469,891111,8201,2445,380,392089,0 116,2,2024-09-07 09:37:31:828,648290,648290,0,0,32463755,0,4475 116,3,2024-09-07 09:37:30:919,1,515,2,0,415,6400,515,0 117,0,2024-09-07 09:37:31:029,133611,0.8,133030,0.8,266664,0.9,356376,2.00 117,1,2024-09-07 09:37:31:603,902276,902276,0,0,424354675284,4442867413,892287,8764,1225,369,392033,0 117,2,2024-09-07 09:37:31:126,654956,654956,0,0,28413655,0,4303 117,3,2024-09-07 09:37:31:071,1,515,1,0,490,7095,515,0 118,0,2024-09-07 09:37:31:776,124534,0.6,128002,0.7,260892,0.6,341498,2.00 118,1,2024-09-07 09:37:30:607,902103,902103,0,0,423111524046,4449196862,888607,10376,3120,366,392054,0 118,2,2024-09-07 09:37:31:594,652849,652849,0,0,31011891,0,2842 118,3,2024-09-07 09:37:31:772,1,515,1,0,248,5883,515,0 119,0,2024-09-07 09:37:31:334,127130,0.6,127546,0.8,254937,0.6,339372,2.00 119,1,2024-09-07 09:37:30:557,902857,902857,0,0,424461828579,4448840319,891135,9956,1766,367,391857,0 119,2,2024-09-07 09:37:31:261,649072,649072,0,0,28831107,0,4174 119,3,2024-09-07 09:37:31:325,1,515,0,0,1358,9724,515,0 120,0,2024-09-07 09:37:31:604,125730,0.6,125469,0.8,252103,0.6,336166,2.25 120,1,2024-09-07 09:37:30:861,903438,903438,0,0,424256704851,4446359382,894147,8512,779,368,392144,0 120,2,2024-09-07 09:37:30:775,648843,648842,1,0,33251823,0,5281 120,3,2024-09-07 09:37:31:301,1,515,1,0,279,6481,515,0 121,0,2024-09-07 09:37:31:777,132110,1.4,131568,1.1,263636,1.9,352048,2.50 121,1,2024-09-07 09:37:31:670,903922,903922,0,0,424230820554,4434692718,895194,8027,701,367,391840,0 121,2,2024-09-07 09:37:31:135,649808,649808,0,0,30466810,0,4157 121,3,2024-09-07 09:37:30:729,1,515,1,0,330,6208,515,0 122,0,2024-09-07 09:37:31:861,130064,0.9,126574,0.9,265197,1.0,348454,2.25 122,1,2024-09-07 09:37:30:859,902327,902327,0,0,424249955085,4450360303,889891,10551,1885,366,392130,0 122,2,2024-09-07 09:37:31:322,654512,654437,75,0,34832044,0,5989 122,3,2024-09-07 09:37:30:597,1,515,1,0,512,8755,515,0 123,0,2024-09-07 09:37:30:951,126937,0.8,123558,0.9,258685,0.9,338513,2.25 123,1,2024-09-07 09:37:30:558,902838,902838,0,0,423949562909,4460706244,887693,12642,2503,369,392039,0 123,2,2024-09-07 09:37:31:020,649694,649693,1,0,29606301,0,5215 123,3,2024-09-07 09:37:31:139,1,515,1,0,478,6033,515,0 124,0,2024-09-07 09:37:30:969,130109,0.3,130041,0.5,245156,0.3,336846,1.75 124,1,2024-09-07 09:37:31:027,905903,905903,0,0,424885151796,4417800413,899096,5676,1131,365,392178,0 124,2,2024-09-07 09:37:31:019,650012,649959,53,0,26986836,0,6487 124,3,2024-09-07 09:37:30:774,1,515,19,0,490,5413,515,0 125,0,2024-09-07 09:37:31:422,129557,0.4,129298,0.6,259992,0.3,346053,1.75 125,1,2024-09-07 09:37:30:860,904436,904436,0,0,424940200373,4436060514,897463,5991,982,382,391813,0 125,2,2024-09-07 09:37:31:116,652044,652044,0,0,27029509,0,4534 125,3,2024-09-07 09:37:31:127,1,515,0,0,709,6159,515,0 126,0,2024-09-07 09:37:31:447,133182,0.4,136936,0.6,261946,0.4,354263,1.75 126,1,2024-09-07 09:37:30:559,906955,906955,0,0,425663360087,4406565609,902284,4361,310,365,391987,0 126,2,2024-09-07 09:37:30:613,655743,655743,0,0,27911316,0,4539 126,3,2024-09-07 09:37:30:909,1,515,4,0,268,5806,515,0 127,0,2024-09-07 09:37:31:605,127617,0.3,127996,0.5,255408,0.3,339485,1.75 127,1,2024-09-07 09:37:30:569,905552,905552,0,0,425002664023,4423798233,896185,7863,1504,364,392187,0 127,2,2024-09-07 09:37:30:644,652778,652774,4,0,26581089,0,5305 127,3,2024-09-07 09:37:31:267,1,515,0,0,968,5177,515,0 128,0,2024-09-07 09:37:31:523,127387,0.3,127486,0.4,254539,0.2,338718,1.50 128,1,2024-09-07 09:37:31:604,904595,904595,0,0,425384815578,4420020825,898120,5740,735,367,392031,0 128,2,2024-09-07 09:37:31:383,649392,649392,0,0,24815597,0,3171 128,3,2024-09-07 09:37:30:767,1,515,3,0,1082,8321,515,0 129,0,2024-09-07 09:37:31:011,129706,0.3,129220,0.5,258698,0.3,343971,1.50 129,1,2024-09-07 09:37:30:573,902368,902368,0,0,423348886836,4438379591,893344,7079,1945,379,391962,0 129,2,2024-09-07 09:37:30:686,650632,650628,4,0,27148625,0,5335 129,3,2024-09-07 09:37:30:690,1,515,15,0,506,7297,515,0 130,0,2024-09-07 09:37:31:728,134621,0.5,134065,0.6,268997,0.4,358777,1.75 130,1,2024-09-07 09:37:30:583,905283,905283,0,0,424895886294,4414673907,900492,4403,388,381,391825,0 130,2,2024-09-07 09:37:31:126,655057,655057,0,0,27166055,0,4067 130,3,2024-09-07 09:37:31:293,1,515,10,0,960,7290,515,0 131,0,2024-09-07 09:37:31:934,128177,0.4,128661,0.5,257722,0.3,342422,1.75 131,1,2024-09-07 09:37:31:849,904888,904888,0,0,425035670222,4434976681,898010,5686,1192,381,391865,0 131,2,2024-09-07 09:37:30:568,654719,654719,0,0,25197040,0,3979 131,3,2024-09-07 09:37:31:693,1,515,2,0,392,6345,515,0 132,0,2024-09-07 09:37:31:435,127428,0.4,128513,0.6,255729,0.4,340023,2.00 132,1,2024-09-07 09:37:30:578,901286,901286,0,0,423423436131,4455374114,888809,10286,2191,381,392532,0 132,2,2024-09-07 09:37:30:701,647642,647625,17,0,32955429,0,6451 132,3,2024-09-07 09:37:31:700,1,515,2,0,804,8725,515,0 133,0,2024-09-07 09:37:31:548,123877,0.4,126779,0.6,260077,0.3,338363,1.75 133,1,2024-09-07 09:37:30:610,900926,900926,0,0,423355145944,4463015664,888419,10816,1691,383,391914,0 133,2,2024-09-07 09:37:31:086,650143,650093,50,0,33357185,0,6861 133,3,2024-09-07 09:37:31:305,1,515,3,0,479,6210,515,0 134,0,2024-09-07 09:37:30:938,133483,0.5,133380,0.7,267076,0.5,356142,2.25 134,1,2024-09-07 09:37:30:584,902851,902851,0,0,423793824179,4443498301,891470,9235,2146,366,391718,0 134,2,2024-09-07 09:37:31:784,651236,651212,24,0,31304456,0,6207 134,3,2024-09-07 09:37:30:749,1,515,4,0,739,6314,515,0 135,0,2024-09-07 09:37:31:106,125017,1.0,124941,0.9,265200,1.2,341783,2.25 135,1,2024-09-07 09:37:31:586,902214,902214,0,0,424492186923,4464511953,890583,10065,1566,380,391805,0 135,2,2024-09-07 09:37:30:687,653913,653913,0,0,31677682,0,4503 135,3,2024-09-07 09:37:31:009,1,515,1,0,900,5271,515,0 136,0,2024-09-07 09:37:31:659,127826,0.5,128006,0.7,254884,0.5,339750,2.00 136,1,2024-09-07 09:37:31:450,903910,903910,0,0,423698513741,4442198883,893536,9106,1268,381,392135,0 136,2,2024-09-07 09:37:31:132,651449,651434,15,0,30645436,0,6007 136,3,2024-09-07 09:37:31:108,1,515,1,0,637,6231,515,0 137,0,2024-09-07 09:37:30:937,130113,0.5,126788,0.7,248401,0.5,336966,2.00 137,1,2024-09-07 09:37:30:574,901972,901972,0,0,423507702121,4439365989,888545,10756,2671,366,391898,0 137,2,2024-09-07 09:37:31:718,648171,648171,0,0,32074998,0,3185 137,3,2024-09-07 09:37:30:774,1,515,3,0,484,6518,515,0 138,0,2024-09-07 09:37:31:821,130040,0.8,130271,0.9,261129,1.0,347399,2.00 138,1,2024-09-07 09:37:31:752,902712,902712,0,0,424193261959,4449151921,889979,10764,1969,368,391954,0 138,2,2024-09-07 09:37:30:586,649375,649375,0,0,29563171,0,4988 138,3,2024-09-07 09:37:30:612,1,515,2,0,1200,8383,515,0 139,0,2024-09-07 09:37:31:440,130490,1.3,130919,1.0,262010,1.9,349192,2.50 139,1,2024-09-07 09:37:30:571,898520,898520,0,0,422507547849,4481081466,882581,12477,3462,380,392058,0 139,2,2024-09-07 09:37:30:692,650086,650086,0,0,33139281,0,3097 139,3,2024-09-07 09:37:31:676,1,515,9,0,432,5973,515,0 140,0,2024-09-07 09:37:31:600,127964,0.3,127155,0.5,255028,0.2,339791,1.75 140,1,2024-09-07 09:37:31:538,907923,907923,0,0,427434607877,4406673400,903342,4043,538,364,391606,0 140,2,2024-09-07 09:37:30:687,654074,654073,1,0,25363441,0,5036 140,3,2024-09-07 09:37:30:767,1,515,1,0,297,4846,515,0 141,0,2024-09-07 09:37:31:699,127236,0.3,130506,0.4,249305,0.2,337992,1.50 141,1,2024-09-07 09:37:30:859,906158,906158,0,0,425985792851,4425183598,899052,6021,1085,379,391614,0 141,2,2024-09-07 09:37:31:685,648804,648793,11,0,26842718,0,5369 141,3,2024-09-07 09:37:31:043,1,515,2,0,391,5896,515,0 142,0,2024-09-07 09:37:31:327,130096,0.3,129185,0.5,259025,0.3,345566,1.50 142,1,2024-09-07 09:37:30:586,904762,904762,0,0,425667028584,4436771667,899102,5201,459,382,392102,0 142,2,2024-09-07 09:37:31:301,649056,649024,32,0,27429884,0,6028 142,3,2024-09-07 09:37:31:752,1,515,1,0,484,5421,515,0 143,0,2024-09-07 09:37:31:383,133671,0.4,133608,0.6,268127,0.4,356123,1.75 143,1,2024-09-07 09:37:30:559,906448,906448,0,0,425676044941,4418261793,900370,5522,556,367,391722,0 143,2,2024-09-07 09:37:30:771,655771,655771,0,0,26944545,0,3123 143,3,2024-09-07 09:37:31:140,1,515,7,0,462,6515,515,0 144,0,2024-09-07 09:37:31:516,124083,0.6,127637,0.8,259551,0.6,340353,2.00 144,1,2024-09-07 09:37:30:579,902031,902031,0,0,423233091984,4442912143,892645,7420,1966,381,391733,0 144,2,2024-09-07 09:37:31:790,654395,654395,0,0,25756903,0,3673 144,3,2024-09-07 09:37:31:772,1,515,3,0,249,5076,515,0 145,0,2024-09-07 09:37:31:365,122834,0.5,122949,0.8,260852,0.5,335699,2.25 145,1,2024-09-07 09:37:30:553,901347,901347,0,0,423465071472,4459174983,888979,9902,2466,382,391759,0 145,2,2024-09-07 09:37:31:429,645510,645428,82,0,31643461,0,7814 145,3,2024-09-07 09:37:30:895,1,515,1,0,622,7177,515,0 146,0,2024-09-07 09:37:31:599,127753,0.5,127258,0.7,255441,0.5,340003,2.00 146,1,2024-09-07 09:37:31:592,902586,902586,0,0,423833491742,4456142474,888161,10624,3801,367,391770,0 146,2,2024-09-07 09:37:31:700,648135,648129,6,0,29720074,0,5151 146,3,2024-09-07 09:37:31:281,1,515,1,0,1520,8802,515,0 147,0,2024-09-07 09:37:31:744,133536,0.6,133223,0.8,266114,0.6,355614,2.25 147,1,2024-09-07 09:37:31:378,906040,906040,0,0,426126229764,4428201055,898757,6404,879,367,391791,0 147,2,2024-09-07 09:37:31:016,652960,652960,0,0,26704997,0,2968 147,3,2024-09-07 09:37:30:912,1,515,0,0,1626,8454,515,0 0,0,2024-09-07 09:37:41:756,123133,0.5,123060,0.7,261143,0.6,337703,2.00 0,1,2024-09-07 09:37:40:811,904612,904612,0,0,424554641246,4446534412,897102,6670,840,369,391896,0 0,2,2024-09-07 09:37:41:075,652469,652469,0,0,26795974,0,4480 0,3,2024-09-07 09:37:40:975,1,516,0,0,431,7193,516,0 1,0,2024-09-07 09:37:41:818,132353,1.0,131665,1.0,264217,1.3,353597,2.00 1,1,2024-09-07 09:37:40:568,903929,903929,0,0,423849742597,4442806849,895217,7245,1467,370,391859,0 1,2,2024-09-07 09:37:40:661,652861,652861,0,0,26220892,0,3380 1,3,2024-09-07 09:37:41:302,1,516,8,0,269,6505,516,0 2,0,2024-09-07 09:37:41:572,130322,0.6,130309,0.8,260390,0.7,347152,2.00 2,1,2024-09-07 09:37:40:875,906903,906903,0,0,426064771161,4428514592,901931,4055,917,379,391745,0 2,2,2024-09-07 09:37:41:285,656051,656051,0,0,24908544,0,3594 2,3,2024-09-07 09:37:40:691,1,516,1,0,357,4743,516,0 3,0,2024-09-07 09:37:41:745,127547,0.4,127277,0.6,254236,0.3,338878,2.00 3,1,2024-09-07 09:37:41:618,905880,905880,0,0,425763338954,4433287782,898411,6766,703,379,391716,0 3,2,2024-09-07 09:37:41:141,653107,653084,23,0,25944909,0,5851 3,3,2024-09-07 09:37:41:752,1,516,0,0,207,3240,516,0 4,0,2024-09-07 09:37:41:780,122571,0.4,125941,0.5,256806,0.3,335485,1.75 4,1,2024-09-07 09:37:40:592,902012,902012,0,0,423473475463,4487551955,885669,12657,3686,370,391992,0 4,2,2024-09-07 09:37:41:018,647504,647504,0,0,31730273,0,4534 4,3,2024-09-07 09:37:41:027,1,516,1,0,448,6693,516,0 5,0,2024-09-07 09:37:41:388,129866,0.4,130286,0.6,260383,0.4,346383,1.75 5,1,2024-09-07 09:37:40:784,903345,903345,0,0,425283563727,4476994647,890607,10325,2413,367,392005,0 5,2,2024-09-07 09:37:41:829,648214,648214,0,0,30678083,0,3582 5,3,2024-09-07 09:37:41:749,1,516,3,0,457,7076,516,0 6,0,2024-09-07 09:37:40:919,133109,0.5,132678,0.7,265761,0.5,353781,2.00 6,1,2024-09-07 09:37:40:749,905528,905528,0,0,425004362777,4439668566,896010,8062,1456,379,391702,0 6,2,2024-09-07 09:37:41:117,655663,655645,18,0,29843870,0,5535 6,3,2024-09-07 09:37:41:274,1,516,0,0,710,6230,516,0 7,0,2024-09-07 09:37:41:537,126937,0.5,127769,0.7,254442,0.5,338749,2.00 7,1,2024-09-07 09:37:40:850,903826,903826,0,0,424690814434,4461415693,890903,10691,2232,382,391747,0 7,2,2024-09-07 09:37:40:771,654304,654304,0,0,29047696,0,4791 7,3,2024-09-07 09:37:40:851,1,516,1,0,552,5886,516,0 8,0,2024-09-07 09:37:41:364,127444,0.3,127082,0.5,254984,0.3,339481,1.75 8,1,2024-09-07 09:37:41:018,902266,902266,0,0,424314094710,4460422890,888074,11062,3130,366,392853,0 8,2,2024-09-07 09:37:40:796,644813,644811,2,0,34381441,0,5112 8,3,2024-09-07 09:37:40:591,1,516,29,0,538,7807,516,0 9,0,2024-09-07 09:37:41:181,128976,0.3,125347,0.5,262528,0.3,344132,1.75 9,1,2024-09-07 09:37:40:569,902486,902486,0,0,424620583435,4471948588,889068,10770,2648,369,392001,0 9,2,2024-09-07 09:37:41:107,649565,649564,1,0,31068159,0,5281 9,3,2024-09-07 09:37:41:785,1,516,6,0,1273,8663,516,0 10,0,2024-09-07 09:37:41:602,134258,0.4,133809,0.5,268459,0.3,358092,1.75 10,1,2024-09-07 09:37:40:583,904878,904878,0,0,423902641354,4441952002,892519,10269,2090,381,391869,0 10,2,2024-09-07 09:37:40:765,655703,655703,0,0,33789202,0,4264 10,3,2024-09-07 09:37:40:874,1,516,5,0,649,5328,516,0 11,0,2024-09-07 09:37:41:007,128256,0.5,124464,0.7,260202,0.4,343049,1.75 11,1,2024-09-07 09:37:40:572,905696,905696,0,0,425462643469,4461761199,892220,10014,3462,383,391756,0 11,2,2024-09-07 09:37:41:123,654166,654166,0,0,29856688,0,4130 11,3,2024-09-07 09:37:41:298,1,516,98,0,843,7050,516,0 12,0,2024-09-07 09:37:41:013,128315,0.4,128276,0.5,256592,0.3,340756,1.75 12,1,2024-09-07 09:37:40:948,905257,905257,0,0,425306979932,4437695935,897595,6782,880,370,391960,0 12,2,2024-09-07 09:37:41:541,648767,648767,0,0,29573792,0,4390 12,3,2024-09-07 09:37:41:059,1,516,1,0,386,7016,516,0 13,0,2024-09-07 09:37:41:337,128223,0.4,127967,0.6,255314,0.4,340278,1.75 13,1,2024-09-07 09:37:41:530,903307,903307,0,0,424018017916,4457788889,893682,7331,2294,382,391740,0 13,2,2024-09-07 09:37:40:595,652810,652810,0,0,26523789,0,3287 13,3,2024-09-07 09:37:41:763,1,516,12,0,522,7251,516,0 14,0,2024-09-07 09:37:40:568,133684,0.4,134638,0.6,267099,0.4,356187,1.75 14,1,2024-09-07 09:37:41:562,909456,909456,0,0,427843208277,4427437074,902549,6179,728,364,391673,0 14,2,2024-09-07 09:37:40:773,654201,654171,30,0,27943799,0,6104 14,3,2024-09-07 09:37:41:115,1,516,1,0,1168,5839,516,0 15,0,2024-09-07 09:37:41:552,128704,0.5,128205,0.7,257434,0.4,342920,2.00 15,1,2024-09-07 09:37:41:608,906834,906834,0,0,425899925112,4436506689,899102,6247,1485,381,391619,0 15,2,2024-09-07 09:37:40:998,657470,657470,0,0,23514579,0,3622 15,3,2024-09-07 09:37:41:405,1,516,1,0,1126,7308,516,0 16,0,2024-09-07 09:37:40:986,127396,0.5,127978,0.7,255254,0.5,339384,2.00 16,1,2024-09-07 09:37:40:569,906451,906451,0,0,425447974548,4449444177,898035,7115,1301,370,392194,0 16,2,2024-09-07 09:37:41:436,650490,650490,0,0,27775231,0,4719 16,3,2024-09-07 09:37:41:144,1,516,5,0,358,6529,516,0 17,0,2024-09-07 09:37:41:824,130183,0.5,126879,0.7,248567,0.5,336946,2.00 17,1,2024-09-07 09:37:40:573,904315,904315,0,0,425040528815,4460113475,895023,7545,1747,368,392075,0 17,2,2024-09-07 09:37:41:689,653498,653497,1,0,28554832,0,5050 17,3,2024-09-07 09:37:40:577,1,516,6,0,518,7805,516,0 18,0,2024-09-07 09:37:40:949,129729,0.6,130718,0.8,260536,0.6,348341,2.25 18,1,2024-09-07 09:37:41:639,908540,908540,0,0,426588233069,4425713374,902431,5112,997,367,391725,0 18,2,2024-09-07 09:37:41:761,654312,654312,0,0,25354093,0,3541 18,3,2024-09-07 09:37:40:897,1,516,1,0,1059,4906,516,0 19,0,2024-09-07 09:37:41:540,131098,0.7,131529,0.8,261402,0.7,348103,2.25 19,1,2024-09-07 09:37:40:567,907581,907581,0,0,426991273340,4428272695,900594,5903,1084,367,391777,0 19,2,2024-09-07 09:37:41:751,658090,658090,0,0,23828541,0,3988 19,3,2024-09-07 09:37:41:132,1,516,1,0,524,4234,516,0 20,0,2024-09-07 09:37:41:349,127190,0.5,127488,0.7,254472,0.5,339347,2.00 20,1,2024-09-07 09:37:40:573,904801,904801,0,0,425406601692,4457262300,895606,7831,1364,369,391922,0 20,2,2024-09-07 09:37:40:935,653167,653167,0,0,28917948,0,3721 20,3,2024-09-07 09:37:40:589,1,516,1,0,468,8886,516,0 21,0,2024-09-07 09:37:41:157,127061,0.4,127221,0.6,254421,0.4,337473,1.75 21,1,2024-09-07 09:37:41:543,903433,903433,0,0,424268400912,4478168176,889283,11031,3119,368,392016,0 21,2,2024-09-07 09:37:41:084,646517,646497,20,0,33799114,0,5617 21,3,2024-09-07 09:37:41:404,1,516,2,0,713,6714,516,0 22,0,2024-09-07 09:37:41:719,129591,0.4,130120,0.6,259636,0.4,344883,2.00 22,1,2024-09-07 09:37:41:023,903998,903998,0,0,424081643922,4465769974,888770,12001,3227,382,391822,0 22,2,2024-09-07 09:37:40:760,649413,649387,26,0,28346141,0,6328 22,3,2024-09-07 09:37:41:074,1,516,1,0,228,4421,516,0 23,0,2024-09-07 09:37:41:382,133485,0.5,133041,0.7,266134,0.5,354657,2.25 23,1,2024-09-07 09:37:41:003,904982,904982,0,0,425102309258,4462772055,889323,11251,4408,365,391690,0 23,2,2024-09-07 09:37:41:092,656982,656982,0,0,27063302,0,3773 23,3,2024-09-07 09:37:41:757,1,516,1,0,855,7654,516,0 24,0,2024-09-07 09:37:40:827,129207,0.4,128401,0.6,258232,0.4,342972,1.75 24,1,2024-09-07 09:37:40:592,903983,903983,0,0,424105529990,4440979859,895024,7285,1674,367,392269,0 24,2,2024-09-07 09:37:41:075,654621,654621,0,0,31994307,0,4438 24,3,2024-09-07 09:37:41:696,1,516,1,0,468,6604,516,0 25,0,2024-09-07 09:37:41:352,130719,0.4,127358,0.6,249808,0.3,340252,1.75 25,1,2024-09-07 09:37:40:559,903120,903120,0,0,424664043238,4479513972,888191,11957,2972,369,391928,0 25,2,2024-09-07 09:37:41:613,647708,647708,0,0,32985590,0,3978 25,3,2024-09-07 09:37:41:004,1,516,1,0,532,5681,516,0 26,0,2024-09-07 09:37:41:753,127738,0.4,125090,0.6,262441,0.3,341999,1.75 26,1,2024-09-07 09:37:41:542,906014,906014,0,0,424238129143,4442744875,893185,10377,2452,380,391748,0 26,2,2024-09-07 09:37:40:863,650423,650423,0,0,34922164,0,4689 26,3,2024-09-07 09:37:41:738,1,516,5,0,796,5715,516,0 27,0,2024-09-07 09:37:41:749,133747,0.4,134204,0.6,266795,0.4,356092,2.25 27,1,2024-09-07 09:37:41:683,906726,906726,0,0,426803210636,4445154377,898174,7283,1269,381,391626,0 27,2,2024-09-07 09:37:40:866,652570,652505,65,0,30449578,0,5699 27,3,2024-09-07 09:37:41:015,1,516,1,0,564,4525,516,0 28,0,2024-09-07 09:37:41:397,129050,0.4,128694,0.6,258283,0.4,344295,2.00 28,1,2024-09-07 09:37:40:797,907015,907015,0,0,425982467624,4441859126,899245,6056,1714,382,391698,0 28,2,2024-09-07 09:37:41:775,655394,655394,0,0,26902979,0,2915 28,3,2024-09-07 09:37:41:776,1,516,1,0,502,5223,516,0 29,0,2024-09-07 09:37:41:360,131143,0.3,127791,0.6,250607,0.3,341631,1.75 29,1,2024-09-07 09:37:41:566,909124,909124,0,0,426635324839,4413766561,903568,4794,762,367,391809,0 29,2,2024-09-07 09:37:40:862,649263,649263,0,0,26224962,0,4986 29,3,2024-09-07 09:37:40:965,1,516,0,0,459,5710,516,0 30,0,2024-09-07 09:37:41:462,126344,0.5,122838,0.6,257236,0.4,336600,2.00 30,1,2024-09-07 09:37:40:580,908757,908757,0,0,427249417949,4434895206,901782,6073,902,380,391672,0 30,2,2024-09-07 09:37:41:274,651774,651774,0,0,25219718,0,4192 30,3,2024-09-07 09:37:40:583,1,516,0,0,519,4995,516,0 31,0,2024-09-07 09:37:41:771,132296,0.5,132558,0.6,264827,0.4,353887,2.00 31,1,2024-09-07 09:37:40:566,911705,911705,0,0,428825088062,4403134167,906381,4313,1011,356,391712,0 31,2,2024-09-07 09:37:41:277,651914,651914,0,0,27869509,0,4470 31,3,2024-09-07 09:37:41:706,1,516,8,0,220,4403,516,0 32,0,2024-09-07 09:37:41:432,131003,0.4,131595,0.5,261998,0.3,348902,1.75 32,1,2024-09-07 09:37:40:808,908032,908032,0,0,427019082168,4439540786,902234,5091,707,381,391646,0 32,2,2024-09-07 09:37:40:938,656606,656606,0,0,24440023,0,3922 32,3,2024-09-07 09:37:41:015,1,516,1,0,304,4249,516,0 33,0,2024-09-07 09:37:41:509,128006,0.3,127576,0.4,255406,0.2,339917,1.50 33,1,2024-09-07 09:37:40:577,908617,908617,0,0,427191075815,4426385256,901389,6055,1173,368,391730,0 33,2,2024-09-07 09:37:40:759,654076,654041,35,0,27004921,0,7012 33,3,2024-09-07 09:37:40:895,1,516,1,0,329,4850,516,0 34,0,2024-09-07 09:37:40:931,126686,0.3,130119,0.4,248670,0.2,334795,1.75 34,1,2024-09-07 09:37:41:046,910369,910369,0,0,427670710621,4399253571,907861,2486,22,367,391562,0 34,2,2024-09-07 09:37:40:766,651936,651936,0,0,25494769,0,4562 34,3,2024-09-07 09:37:41:688,1,516,10,0,320,4007,516,0 35,0,2024-09-07 09:37:40:861,129298,0.3,130005,0.5,261054,0.2,347090,1.75 35,1,2024-09-07 09:37:41:075,907455,907455,0,0,425997750415,4420653235,900798,5444,1213,382,391769,0 35,2,2024-09-07 09:37:41:590,650957,650957,0,0,28041756,0,4055 35,3,2024-09-07 09:37:40:907,1,516,0,0,418,4857,516,0 36,0,2024-09-07 09:37:41:522,133430,0.5,133322,0.7,266638,0.5,354548,2.25 36,1,2024-09-07 09:37:40:583,906790,906790,0,0,425178463504,4443082874,894508,10063,2219,366,391759,0 36,2,2024-09-07 09:37:41:759,656017,656017,0,0,29486496,0,3875 36,3,2024-09-07 09:37:40:863,1,516,1,0,416,7153,516,0 37,0,2024-09-07 09:37:41:373,127176,0.5,127119,0.7,254427,0.5,339323,2.25 37,1,2024-09-07 09:37:40:568,905312,905305,0,7,425629544679,4446114989,894200,8431,2674,365,391770,0 37,2,2024-09-07 09:37:41:161,652613,652598,15,0,29990387,0,5815 37,3,2024-09-07 09:37:41:773,1,516,5,0,888,7275,516,0 38,0,2024-09-07 09:37:41:435,126529,0.4,122788,0.6,256911,0.3,336666,2.00 38,1,2024-09-07 09:37:41:613,906850,906850,0,0,425923204916,4454427989,893622,10709,2519,368,391821,0 38,2,2024-09-07 09:37:40:760,647994,647947,47,0,29959441,0,6710 38,3,2024-09-07 09:37:40:997,1,516,7,0,689,6678,516,0 39,0,2024-09-07 09:37:41:767,132039,0.5,129243,0.7,251650,0.5,343730,2.00 39,1,2024-09-07 09:37:40:721,904725,904725,0,0,425013869315,4461083969,888930,12326,3469,365,391865,0 39,2,2024-09-07 09:37:41:417,651667,651667,0,0,27734402,0,3391 39,3,2024-09-07 09:37:40:718,1,516,1,0,525,6183,516,0 40,0,2024-09-07 09:37:41:493,132932,0.9,133632,1.0,266798,1.1,356422,2.75 40,1,2024-09-07 09:37:40:576,907420,907420,0,0,424680468431,4442338655,895401,9840,2179,368,391668,0 40,2,2024-09-07 09:37:41:307,654174,654173,1,0,32954036,0,5137 40,3,2024-09-07 09:37:41:143,1,516,7,0,1028,7365,516,0 41,0,2024-09-07 09:37:41:025,127678,1.3,130367,1.2,248825,1.9,338996,3.00 41,1,2024-09-07 09:37:40:794,905091,905091,0,0,424825465225,4445512704,893219,9938,1934,369,391878,0 41,2,2024-09-07 09:37:40:766,652429,652428,1,0,31816488,0,5408 41,3,2024-09-07 09:37:41:681,1,516,1,0,366,5343,516,0 42,0,2024-09-07 09:37:41:474,126881,0.8,126502,1.0,253380,0.8,335653,2.50 42,1,2024-09-07 09:37:41:439,902573,902573,0,0,423976033539,4459006975,887564,12101,2908,380,391675,0 42,2,2024-09-07 09:37:41:133,648338,648338,0,0,30623144,0,3975 42,3,2024-09-07 09:37:41:009,1,516,13,0,892,4691,516,0 43,0,2024-09-07 09:37:40:919,126220,0.7,122927,0.9,257328,0.7,337362,2.25 43,1,2024-09-07 09:37:40:584,905790,905790,0,0,425997924538,4451739832,892922,10608,2260,366,391696,0 43,2,2024-09-07 09:37:41:739,651536,651536,0,0,30298543,0,4723 43,3,2024-09-07 09:37:41:750,1,516,1,0,571,7222,516,0 44,0,2024-09-07 09:37:40:856,133703,0.4,133780,0.6,268108,0.4,356708,2.00 44,1,2024-09-07 09:37:40:565,908435,908435,0,0,426294314700,4406003232,900639,6209,1587,356,391809,0 44,2,2024-09-07 09:37:41:276,652127,652127,0,0,25173820,0,4344 44,3,2024-09-07 09:37:41:109,1,516,1,0,817,5646,516,0 45,0,2024-09-07 09:37:41:764,127543,0.5,124527,0.7,261300,0.5,343151,2.00 45,1,2024-09-07 09:37:41:005,907103,907103,0,0,426878195428,4437603516,899592,6635,876,382,391917,0 45,2,2024-09-07 09:37:41:266,656458,656458,0,0,25507570,0,3596 45,3,2024-09-07 09:37:40:937,1,516,0,0,271,4541,516,0 46,0,2024-09-07 09:37:40:957,126946,0.5,126411,0.7,253701,0.4,336562,2.00 46,1,2024-09-07 09:37:40:613,908932,908932,0,0,426494828946,4408527509,902530,5539,863,366,391709,0 46,2,2024-09-07 09:37:40:593,651817,651817,0,0,25709029,0,4443 46,3,2024-09-07 09:37:41:134,1,516,0,0,908,6316,516,0 47,0,2024-09-07 09:37:41:117,126478,0.4,126556,0.6,253848,0.3,335966,1.75 47,1,2024-09-07 09:37:40:577,909705,909705,0,0,427430609924,4415375824,904479,4387,839,365,391641,0 47,2,2024-09-07 09:37:40:908,653896,653896,0,0,25516735,0,4477 47,3,2024-09-07 09:37:41:115,1,516,1,0,600,5897,516,0 48,0,2024-09-07 09:37:41:504,131615,0.3,131661,0.4,262443,0.2,350283,1.50 48,1,2024-09-07 09:37:41:022,907360,907360,0,0,426247232801,4429282925,901570,5217,573,384,391710,0 48,2,2024-09-07 09:37:40:699,652357,652357,0,0,23528490,0,3411 48,3,2024-09-07 09:37:40:759,1,516,1,0,339,4148,516,0 49,0,2024-09-07 09:37:41:713,135157,0.4,132661,0.5,257826,0.3,352120,1.75 49,1,2024-09-07 09:37:41:029,907027,907027,0,0,426225003791,4431396533,901462,4354,1211,382,391809,0 49,2,2024-09-07 09:37:41:796,656753,656753,0,0,26163363,0,4426 49,3,2024-09-07 09:37:41:416,1,516,1,0,992,6062,516,0 50,0,2024-09-07 09:37:41:508,128069,0.3,126377,0.5,254672,0.2,339002,1.75 50,1,2024-09-07 09:37:41:017,910167,910167,0,0,427998393482,4425768762,904223,5277,667,368,391565,0 50,2,2024-09-07 09:37:41:078,653619,653619,0,0,23948578,0,4490 50,3,2024-09-07 09:37:41:291,1,516,1,0,617,5432,516,0 51,0,2024-09-07 09:37:41:688,130421,0.3,127650,0.4,248620,0.2,338933,1.75 51,1,2024-09-07 09:37:41:682,910584,910584,0,0,428299820702,4416654131,905820,3709,1055,365,391706,0 51,2,2024-09-07 09:37:41:319,650396,650396,0,0,23175401,0,3337 51,3,2024-09-07 09:37:41:027,1,516,1,0,678,3768,516,0 52,0,2024-09-07 09:37:41:413,130177,0.5,129717,0.7,259858,0.4,345732,2.00 52,1,2024-09-07 09:37:40:584,906565,906565,0,0,425087104148,4451818432,893338,11122,2105,368,391722,0 52,2,2024-09-07 09:37:41:993,647313,647275,38,0,30884861,0,6742 52,3,2024-09-07 09:37:40:674,1,516,2,0,1782,6659,516,0 53,0,2024-09-07 09:37:41:752,133108,0.7,129185,0.8,270117,0.8,354230,2.50 53,1,2024-09-07 09:37:40:771,904633,904633,0,0,425064273758,4462370502,889278,11511,3844,367,391968,0 53,2,2024-09-07 09:37:41:299,656270,656269,1,0,27845079,0,5455 53,3,2024-09-07 09:37:40:701,1,516,0,0,308,5135,516,0 54,0,2024-09-07 09:37:41:623,126301,0.6,126734,0.8,252207,0.5,336889,2.25 54,1,2024-09-07 09:37:40:584,906742,906742,0,0,426308886762,4436094537,896678,8430,1634,366,391810,0 54,2,2024-09-07 09:37:40:865,655091,655059,32,0,31604879,0,6397 54,3,2024-09-07 09:37:40:772,1,516,10,0,676,7016,516,0 55,0,2024-09-07 09:37:41:770,122782,0.6,126717,0.7,256681,0.5,334584,2.50 55,1,2024-09-07 09:37:40:770,907700,907700,0,0,425190238590,4422751057,898040,8340,1320,365,391731,0 55,2,2024-09-07 09:37:40:730,648099,648043,56,0,29725271,0,7239 55,3,2024-09-07 09:37:40:674,1,516,2,0,304,4871,516,0 56,0,2024-09-07 09:37:41:588,131137,1.1,123541,1.1,254590,1.4,341384,2.75 56,1,2024-09-07 09:37:40:577,902272,902272,0,0,424124015318,4479471908,887957,11403,2912,381,391867,0 56,2,2024-09-07 09:37:41:306,650430,650308,122,0,31004999,0,7432 56,3,2024-09-07 09:37:41:061,1,516,1,0,705,6229,516,0 57,0,2024-09-07 09:37:40:952,131699,1.6,131702,1.3,263821,2.2,352680,3.25 57,1,2024-09-07 09:37:40:990,904059,904059,0,0,424652790034,4456241296,891879,9895,2285,366,392032,0 57,2,2024-09-07 09:37:41:317,654649,654649,0,0,31921877,0,4317 57,3,2024-09-07 09:37:41:738,1,516,1,0,455,5818,516,0 58,0,2024-09-07 09:37:40:583,126865,1.0,123147,1.0,257693,1.2,337687,2.75 58,1,2024-09-07 09:37:40:582,905634,905631,0,3,425043326546,4455541747,891845,10466,3320,367,391675,3 58,2,2024-09-07 09:37:41:075,654769,654769,0,0,30250951,0,3483 58,3,2024-09-07 09:37:41:074,1,516,1,0,1043,5695,516,0 59,0,2024-09-07 09:37:41:741,127015,0.8,126954,0.9,253090,0.9,335813,2.75 59,1,2024-09-07 09:37:40:804,904362,904362,0,0,424994882875,4464055861,889619,11604,3139,369,391653,0 59,2,2024-09-07 09:37:40:585,649101,649101,0,0,28857587,0,3727 59,3,2024-09-07 09:37:41:737,1,516,1,0,1015,6483,516,0 60,0,2024-09-07 09:37:41:721,126588,0.5,126797,0.7,253842,0.5,337489,1.75 60,1,2024-09-07 09:37:40:772,908828,908828,0,0,426944560198,4431510218,902645,5249,934,370,392031,0 60,2,2024-09-07 09:37:41:145,651617,651617,0,0,27501115,0,3811 60,3,2024-09-07 09:37:41:262,1,516,1,0,409,6089,516,0 61,0,2024-09-07 09:37:41:552,132236,0.7,132747,0.8,264490,0.7,353587,2.00 61,1,2024-09-07 09:37:40:772,905308,905308,0,0,425130201223,4452636599,895432,8296,1580,382,392127,0 61,2,2024-09-07 09:37:41:118,652947,652880,67,0,28456998,0,6411 61,3,2024-09-07 09:37:41:688,1,516,2,0,607,7465,516,0 62,0,2024-09-07 09:37:41:710,131181,0.7,134561,0.8,256868,0.7,348719,2.00 62,1,2024-09-07 09:37:41:112,910722,910716,0,6,428426987996,4415528664,906560,3876,280,365,391975,6 62,2,2024-09-07 09:37:41:647,653729,653728,1,0,27751667,0,5555 62,3,2024-09-07 09:37:41:143,1,516,1,0,482,4223,516,0 63,0,2024-09-07 09:37:41:456,127982,0.4,127703,0.6,256349,0.3,340329,1.75 63,1,2024-09-07 09:37:40:804,908066,908060,0,6,426672496514,4429633120,902307,5002,751,381,391800,6 63,2,2024-09-07 09:37:40:765,652563,652563,0,0,26780927,0,4369 63,3,2024-09-07 09:37:41:739,1,516,1,0,667,5567,516,0 64,0,2024-09-07 09:37:41:600,125916,0.5,125943,0.6,251473,0.4,333978,2.00 64,1,2024-09-07 09:37:40:749,907800,907800,0,0,426063341773,4437621869,899911,6118,1771,370,391794,0 64,2,2024-09-07 09:37:41:144,654754,654735,19,0,25779820,0,6121 64,3,2024-09-07 09:37:41:144,1,516,10,0,651,5471,516,0 65,0,2024-09-07 09:37:41:687,129036,0.6,129382,0.8,258168,0.7,344652,2.00 65,1,2024-09-07 09:37:40:881,905920,905920,0,0,425071687732,4443701172,899173,5951,796,381,391901,0 65,2,2024-09-07 09:37:41:693,651003,651003,0,0,30235695,0,3367 65,3,2024-09-07 09:37:41:688,1,516,1,0,782,6158,516,0 66,0,2024-09-07 09:37:42:001,132612,0.6,132116,0.8,264406,0.6,351730,2.25 66,1,2024-09-07 09:37:41:295,907545,907545,0,0,426094768661,4436075726,901397,5426,722,380,391743,0 66,2,2024-09-07 09:37:41:132,657830,657827,3,0,27647123,0,5455 66,3,2024-09-07 09:37:41:086,1,516,0,0,291,4500,516,0 67,0,2024-09-07 09:37:41:419,127617,0.5,127242,0.7,255192,0.5,339535,2.00 67,1,2024-09-07 09:37:40:765,907789,907788,0,1,426485857120,4440790996,901516,5458,814,380,391787,1 67,2,2024-09-07 09:37:40:584,656640,656625,15,0,26702017,0,6205 67,3,2024-09-07 09:37:41:758,1,516,1,0,595,5517,516,0 68,0,2024-09-07 09:37:40:605,127442,0.5,127270,0.7,253519,0.5,339051,2.00 68,1,2024-09-07 09:37:40:570,903874,903874,0,0,424273005919,4463236806,891635,8839,3400,381,391953,0 68,2,2024-09-07 09:37:41:044,645606,645506,100,0,34371865,0,8578 68,3,2024-09-07 09:37:40:738,1,516,1,0,417,6782,516,0 69,0,2024-09-07 09:37:41:734,128223,0.6,129072,0.8,257229,0.6,341767,2.25 69,1,2024-09-07 09:37:41:016,902759,902759,0,0,424089586757,4470667631,890511,9489,2759,384,391994,0 69,2,2024-09-07 09:37:41:733,649472,649443,29,0,35395541,0,6912 69,3,2024-09-07 09:37:40:759,1,516,1,0,698,7741,516,0 70,0,2024-09-07 09:37:41:533,132758,0.9,133182,1.0,267831,0.8,355291,2.50 70,1,2024-09-07 09:37:40:802,908312,908312,0,0,427166558587,4433667036,901057,6493,762,366,391725,0 70,2,2024-09-07 09:37:41:325,655438,655438,0,0,29875154,0,4323 70,3,2024-09-07 09:37:40:745,1,516,0,0,854,5687,516,0 71,0,2024-09-07 09:37:41:365,126994,1.2,126725,1.1,254639,1.6,340151,3.00 71,1,2024-09-07 09:37:41:607,906025,906025,0,0,425486952387,4443191806,894632,10138,1255,368,391738,0 71,2,2024-09-07 09:37:41:075,653931,653931,0,0,30524150,0,4352 71,3,2024-09-07 09:37:41:758,1,516,1,0,644,6114,516,0 72,0,2024-09-07 09:37:41:022,131642,0.5,128645,0.7,251050,0.5,341095,2.00 72,1,2024-09-07 09:37:41:024,904372,904372,0,0,425107286499,4461126903,890990,11100,2282,369,391819,0 72,2,2024-09-07 09:37:41:759,647932,647932,0,0,31650264,0,3983 72,3,2024-09-07 09:37:41:753,1,516,0,0,564,7436,516,0 73,0,2024-09-07 09:37:41:132,124097,0.4,127372,0.6,260172,0.4,338360,2.00 73,1,2024-09-07 09:37:40:785,907745,907745,0,0,425991265240,4423838999,901517,5803,425,367,391858,0 73,2,2024-09-07 09:37:41:760,650538,650538,0,0,32144398,0,4728 73,3,2024-09-07 09:37:40:979,1,516,1,0,486,6528,516,0 74,0,2024-09-07 09:37:41:324,134588,0.5,137715,0.7,262782,0.5,356966,2.00 74,1,2024-09-07 09:37:40:635,905599,905599,0,0,424703418213,4436411441,895150,8525,1924,381,391762,0 74,2,2024-09-07 09:37:41:002,652957,652957,0,0,28140621,0,4253 74,3,2024-09-07 09:37:41:443,1,516,1,0,522,6240,516,0 75,0,2024-09-07 09:37:41:771,129330,0.6,128443,0.8,258134,0.6,344642,2.25 75,1,2024-09-07 09:37:41:589,906644,906644,0,0,425255589030,4434397348,898757,7026,861,380,391739,0 75,2,2024-09-07 09:37:41:349,654217,654217,0,0,33607277,0,4766 75,3,2024-09-07 09:37:41:071,1,516,1,0,702,6160,516,0 76,0,2024-09-07 09:37:40:607,126936,0.5,126188,0.7,252977,0.5,338094,2.25 76,1,2024-09-07 09:37:40:810,905987,905987,0,0,425789369355,4441728116,899361,5659,967,382,391692,0 76,2,2024-09-07 09:37:41:062,653305,653302,3,0,28406022,0,5265 76,3,2024-09-07 09:37:41:146,1,516,3,0,227,4837,516,0 77,0,2024-09-07 09:37:41:705,125799,0.5,126275,0.7,252608,0.5,334971,2.00 77,1,2024-09-07 09:37:40:828,906865,906865,0,0,425982759743,4443543987,900033,6237,595,381,391869,0 77,2,2024-09-07 09:37:41:286,650763,650763,0,0,27918244,0,3890 77,3,2024-09-07 09:37:41:097,1,516,1,0,401,5534,516,0 78,0,2024-09-07 09:37:41:715,131442,0.4,130924,0.6,262673,0.4,348707,2.00 78,1,2024-09-07 09:37:40:614,906435,906435,0,0,425207177236,4435067102,895668,8241,2526,367,391670,0 78,2,2024-09-07 09:37:41:404,653301,653288,13,0,25985327,0,8313 78,3,2024-09-07 09:37:41:133,1,516,1,0,311,4522,516,0 79,0,2024-09-07 09:37:41:347,127203,0.4,130197,0.6,266711,0.4,346979,2.25 79,1,2024-09-07 09:37:40:574,909135,909135,0,0,426523446076,4420538859,901401,6377,1357,367,391682,0 79,2,2024-09-07 09:37:41:075,655981,655981,0,0,25044131,0,4195 79,3,2024-09-07 09:37:40:757,1,516,1,0,418,6278,516,0 80,0,2024-09-07 09:37:41:077,127256,0.5,130746,0.7,250239,0.5,338542,2.00 80,1,2024-09-07 09:37:41:619,906205,906205,0,0,425899404446,4433430941,900382,5397,426,368,392269,0 80,2,2024-09-07 09:37:41:094,655724,655724,0,0,25629660,0,4433 80,3,2024-09-07 09:37:40:579,1,516,1,0,296,6197,516,0 81,0,2024-09-07 09:37:41:539,127233,0.5,130242,0.7,248383,0.5,337530,2.00 81,1,2024-09-07 09:37:41:664,905284,905284,0,0,424743841798,4440982959,897886,6760,638,382,391885,0 81,2,2024-09-07 09:37:41:125,649148,649085,63,0,28567686,0,5932 81,3,2024-09-07 09:37:41:118,1,516,1,0,719,5951,516,0 82,0,2024-09-07 09:37:41:557,129438,0.5,129533,0.7,259606,0.4,344836,2.00 82,1,2024-09-07 09:37:40:595,907799,907795,0,4,426938434515,4438939104,902717,4258,820,381,391768,4 82,2,2024-09-07 09:37:41:701,652987,652987,0,0,23795725,0,4484 82,3,2024-09-07 09:37:41:754,1,516,1,0,363,4979,516,0 83,0,2024-09-07 09:37:41:522,133698,0.6,133716,0.8,266744,0.7,354262,2.25 83,1,2024-09-07 09:37:40:553,906857,906857,0,0,425736259302,4438765134,900502,5901,454,382,391709,0 83,2,2024-09-07 09:37:40:766,655960,655935,25,0,25838057,0,5612 83,3,2024-09-07 09:37:40:748,1,516,1,0,1260,6483,516,0 84,0,2024-09-07 09:37:41:777,127174,0.8,127384,0.9,254327,0.7,340234,2.25 84,1,2024-09-07 09:37:41:040,905116,905116,0,0,424945437074,4444386875,894882,8709,1525,367,391967,0 84,2,2024-09-07 09:37:40:576,653895,653865,30,0,34046230,0,5971 84,3,2024-09-07 09:37:41:144,1,516,1,0,908,7383,516,0 85,0,2024-09-07 09:37:41:041,122346,0.6,122331,0.8,259719,0.5,335987,2.25 85,1,2024-09-07 09:37:40:563,901962,901962,0,0,424096994270,4480363650,887698,11606,2658,381,392092,0 85,2,2024-09-07 09:37:40:865,647392,647392,0,0,31651576,0,4255 85,3,2024-09-07 09:37:40:692,1,516,0,0,789,5969,516,0 86,0,2024-09-07 09:37:40:931,128128,0.6,131819,0.7,252051,0.6,341818,2.25 86,1,2024-09-07 09:37:40:828,905115,905115,0,0,425231282604,4456924340,893822,9317,1976,366,391995,0 86,2,2024-09-07 09:37:40:868,649083,649082,1,0,34161896,0,5004 86,3,2024-09-07 09:37:40:586,1,516,26,0,308,7513,516,0 87,0,2024-09-07 09:37:41:299,133525,0.9,133376,0.9,266515,1.2,356425,2.25 87,1,2024-09-07 09:37:40:559,903935,903935,0,0,424367484052,4448898121,891740,10480,1715,366,392076,0 87,2,2024-09-07 09:37:41:076,654280,654274,6,0,30032980,0,6323 87,3,2024-09-07 09:37:41:794,1,516,1,0,473,7629,516,0 88,0,2024-09-07 09:37:41:495,128567,0.5,129193,0.6,258209,0.4,343854,2.00 88,1,2024-09-07 09:37:40:573,903274,903274,0,0,425062828595,4453249671,890650,10010,2614,365,392084,0 88,2,2024-09-07 09:37:40:688,655229,655229,0,0,32443707,0,4465 88,3,2024-09-07 09:37:41:269,1,516,2,0,435,6541,516,0 89,0,2024-09-07 09:37:42:007,131333,0.4,127305,0.6,251435,0.4,341637,1.75 89,1,2024-09-07 09:37:40:560,904067,904067,0,0,425041055694,4472046426,892658,9593,1816,382,391866,0 89,2,2024-09-07 09:37:41:136,648967,648967,0,0,30727679,0,3173 89,3,2024-09-07 09:37:41:804,1,516,5,0,468,8515,516,0 90,0,2024-09-07 09:37:41:613,122978,0.4,126446,0.6,257506,0.4,336379,1.75 90,1,2024-09-07 09:37:40:599,905404,905404,0,0,424651555763,4445576792,896898,7773,733,380,391825,0 90,2,2024-09-07 09:37:41:413,649177,649177,0,0,32917692,0,3608 90,3,2024-09-07 09:37:40:942,1,516,1,0,322,6263,516,0 91,0,2024-09-07 09:37:40:968,132841,0.5,128818,0.6,269376,0.4,354082,1.75 91,1,2024-09-07 09:37:40:564,903577,903577,0,0,424232232392,4461875431,892005,9560,2012,381,392047,0 91,2,2024-09-07 09:37:41:333,653106,653106,0,0,29058989,0,4713 91,3,2024-09-07 09:37:40:600,1,516,3,0,216,4896,516,0 92,0,2024-09-07 09:37:41:452,132200,0.5,135111,0.6,257733,0.5,348897,1.75 92,1,2024-09-07 09:37:40:588,905580,905580,0,0,425692504652,4447981112,898076,6299,1205,381,392136,0 92,2,2024-09-07 09:37:41:351,655987,655987,0,0,26269614,0,3259 92,3,2024-09-07 09:37:41:010,1,516,7,0,167,4520,516,0 93,0,2024-09-07 09:37:40:965,128280,0.4,131542,0.6,251073,0.3,340261,1.75 93,1,2024-09-07 09:37:40:813,906232,906232,0,0,425565450321,4444164789,895952,8547,1733,366,391776,0 93,2,2024-09-07 09:37:40:929,652435,652435,0,0,31952897,0,4913 93,3,2024-09-07 09:37:41:407,1,516,3,0,190,4704,516,0 94,0,2024-09-07 09:37:41:603,126017,0.3,126755,0.5,253263,0.3,335801,1.75 94,1,2024-09-07 09:37:40:573,906477,906477,0,0,426115066796,4444583497,900380,5724,373,381,391850,0 94,2,2024-09-07 09:37:40:762,650563,650535,28,0,27272607,0,6179 94,3,2024-09-07 09:37:41:691,1,516,1,0,576,6570,516,0 95,0,2024-09-07 09:37:41:411,130192,0.3,129919,0.5,260229,0.3,347114,1.75 95,1,2024-09-07 09:37:40:867,907646,907646,0,0,426146147374,4429537213,900297,6692,657,365,391786,0 95,2,2024-09-07 09:37:41:017,650983,650983,0,0,26014593,0,3308 95,3,2024-09-07 09:37:41:708,1,516,4,0,718,8031,516,0 96,0,2024-09-07 09:37:41:121,133329,0.4,133459,0.5,266760,0.3,353997,1.75 96,1,2024-09-07 09:37:41:608,906252,906252,0,0,424881272683,4432223325,899866,5473,913,384,391955,0 96,2,2024-09-07 09:37:41:272,656442,656442,0,0,27154602,0,4180 96,3,2024-09-07 09:37:41:153,1,516,5,0,411,5491,516,0 97,0,2024-09-07 09:37:41:440,127637,0.3,127460,0.5,255945,0.3,339803,1.50 97,1,2024-09-07 09:37:40:779,907962,907962,0,0,426859755943,4428181894,902213,4806,943,367,392140,0 97,2,2024-09-07 09:37:40:617,655650,655650,0,0,26630375,0,3679 97,3,2024-09-07 09:37:40:569,1,516,1,0,242,6000,516,0 98,0,2024-09-07 09:37:41:702,126865,0.3,127088,0.4,254719,0.2,338767,1.50 98,1,2024-09-07 09:37:40:580,907171,907171,0,0,425649259566,4433048896,901765,4606,800,381,391997,0 98,2,2024-09-07 09:37:40:774,649479,649479,0,0,26123757,0,4336 98,3,2024-09-07 09:37:40:698,1,516,3,0,840,8004,516,0 99,0,2024-09-07 09:37:41:460,128913,0.3,129546,0.5,257832,0.3,344219,1.75 99,1,2024-09-07 09:37:41:724,907276,907276,0,0,424925769187,4423656218,901311,5038,927,380,392069,0 99,2,2024-09-07 09:37:41:424,651789,651789,0,0,31435900,0,4276 99,3,2024-09-07 09:37:40:581,1,516,1,0,606,5215,516,0 100,0,2024-09-07 09:37:41:474,134015,0.9,134285,1.0,267945,1.0,358735,2.50 100,1,2024-09-07 09:37:40:561,902274,902274,0,0,423864811751,4483257506,887936,11239,3099,378,391989,0 100,2,2024-09-07 09:37:41:821,652858,652847,11,0,30971745,0,5417 100,3,2024-09-07 09:37:41:738,1,516,5,0,627,9372,516,0 101,0,2024-09-07 09:37:41:708,130916,1.3,127658,1.1,250132,1.3,342357,2.50 101,1,2024-09-07 09:37:40:559,903498,903498,0,0,425136678718,4468204853,891139,9958,2401,368,391847,0 101,2,2024-09-07 09:37:41:758,650765,650765,0,0,35985384,0,4871 101,3,2024-09-07 09:37:40:949,1,516,1,0,1250,7965,516,0 102,0,2024-09-07 09:37:40:984,124031,0.6,127832,0.7,259479,0.6,339278,2.00 102,1,2024-09-07 09:37:41:143,903692,903692,0,0,424029721694,4455540539,891487,10185,2020,369,391891,0 102,2,2024-09-07 09:37:41:737,648920,648866,54,0,30304446,0,6768 102,3,2024-09-07 09:37:41:613,1,516,0,0,466,6090,516,0 103,0,2024-09-07 09:37:41:600,131514,0.5,131432,0.7,247912,0.5,340891,2.00 103,1,2024-09-07 09:37:41:633,902250,902250,0,0,423877151090,4475693159,888210,10841,3199,381,391862,0 103,2,2024-09-07 09:37:40:593,649754,649754,0,0,32106571,0,3766 103,3,2024-09-07 09:37:40:759,1,516,4,0,916,6177,516,0 104,0,2024-09-07 09:37:41:050,132542,0.7,132783,0.9,264718,0.7,354887,2.25 104,1,2024-09-07 09:37:41:620,905070,905070,0,0,424318972239,4457955458,892020,10499,2551,365,392168,0 104,2,2024-09-07 09:37:41:678,651459,651459,0,0,30949518,0,3941 104,3,2024-09-07 09:37:41:416,1,516,5,0,1245,9125,516,0 105,0,2024-09-07 09:37:41:065,127719,1.0,124445,1.1,260886,1.2,342809,2.75 105,1,2024-09-07 09:37:40:631,906185,906185,0,0,425715929373,4460531390,894592,9825,1768,365,392009,0 105,2,2024-09-07 09:37:41:328,654051,654051,0,0,31072671,0,4360 105,3,2024-09-07 09:37:41:312,1,516,81,0,399,7440,516,0 106,0,2024-09-07 09:37:40:960,123053,0.7,126024,0.9,258348,0.8,336874,2.50 106,1,2024-09-07 09:37:41:754,905197,905197,0,0,424462476101,4454148573,892586,10963,1648,368,391914,0 106,2,2024-09-07 09:37:40:758,650029,650029,0,0,29323722,0,2920 106,3,2024-09-07 09:37:40:678,1,516,6,0,1224,7763,516,0 107,0,2024-09-07 09:37:41:147,126001,0.7,126236,0.8,252277,0.8,336047,2.00 107,1,2024-09-07 09:37:40:587,903273,903273,0,0,423852592184,4469484248,890373,11507,1393,381,392234,0 107,2,2024-09-07 09:37:41:291,648849,648848,1,0,32076654,0,5024 107,3,2024-09-07 09:37:41:757,1,516,0,0,733,7844,516,0 108,0,2024-09-07 09:37:41:836,130938,0.4,131615,0.6,261980,0.4,350231,1.75 108,1,2024-09-07 09:37:41:304,905928,905928,0,0,426274125108,4446629487,898021,6936,971,367,391857,0 108,2,2024-09-07 09:37:41:799,651184,651184,0,0,28747495,0,4246 108,3,2024-09-07 09:37:41:334,1,516,3,0,749,10290,516,0 109,0,2024-09-07 09:37:41:818,132429,0.4,131218,0.6,263106,0.4,351144,1.75 109,1,2024-09-07 09:37:40:592,903468,903468,0,0,424699579712,4455980198,895260,6992,1216,382,392132,0 109,2,2024-09-07 09:37:40:936,653343,653343,0,0,28703906,0,3617 109,3,2024-09-07 09:37:41:144,1,516,0,0,630,6502,516,0 110,0,2024-09-07 09:37:41:784,127301,0.4,123854,0.6,259471,0.3,339808,1.75 110,1,2024-09-07 09:37:41:647,906997,906997,0,0,426521563329,4430614793,899469,5606,1922,368,392045,0 110,2,2024-09-07 09:37:41:306,654117,654117,0,0,27175147,0,4067 110,3,2024-09-07 09:37:40:691,1,516,0,0,722,7209,516,0 111,0,2024-09-07 09:37:41:413,127526,0.3,126874,0.5,254047,0.3,338821,1.75 111,1,2024-09-07 09:37:41:000,908715,908715,0,0,427695407536,4434450639,904172,4186,357,380,391690,0 111,2,2024-09-07 09:37:41:116,649697,649697,0,0,27677648,0,4823 111,3,2024-09-07 09:37:40:914,1,516,0,0,379,5904,516,0 112,0,2024-09-07 09:37:40:933,130352,0.3,129745,0.4,260304,0.2,345768,1.50 112,1,2024-09-07 09:37:40:824,907284,907284,0,0,426199753608,4425252338,901387,5206,691,380,391624,0 112,2,2024-09-07 09:37:41:133,650889,650888,1,0,26335291,0,5036 112,3,2024-09-07 09:37:40:592,1,516,1,0,282,4967,516,0 113,0,2024-09-07 09:37:40:870,133987,0.3,133877,0.5,267982,0.3,356484,1.75 113,1,2024-09-07 09:37:41:689,910066,910066,0,0,427586056396,4412881616,904816,4437,813,365,391661,0 113,2,2024-09-07 09:37:41:304,658482,658482,0,0,24045720,0,3813 113,3,2024-09-07 09:37:40:684,1,516,19,0,340,5494,516,0 114,0,2024-09-07 09:37:40:878,128659,0.3,129510,0.5,257456,0.2,344249,1.75 114,1,2024-09-07 09:37:40:723,907229,907229,0,0,426530937338,4430099855,900323,5290,1616,381,391565,0 114,2,2024-09-07 09:37:40:877,656597,656596,1,0,25937196,0,5069 114,3,2024-09-07 09:37:41:283,1,516,1,0,395,4237,516,0 115,0,2024-09-07 09:37:40:573,127604,0.3,128157,0.4,255558,0.2,339592,1.50 115,1,2024-09-07 09:37:40:571,908061,908061,0,0,426565354643,4433173267,900661,6043,1357,382,391757,0 115,2,2024-09-07 09:37:41:126,651981,651981,0,0,25417801,0,4382 115,3,2024-09-07 09:37:41:004,1,516,1,0,167,3008,516,0 116,0,2024-09-07 09:37:41:727,127929,0.6,127820,0.8,256085,0.6,342841,2.00 116,1,2024-09-07 09:37:40:810,903445,903445,0,0,424651632917,4475855593,892798,8202,2445,380,392089,0 116,2,2024-09-07 09:37:41:761,649667,649667,0,0,32532874,0,4475 116,3,2024-09-07 09:37:40:929,1,516,1,0,415,6401,516,0 117,0,2024-09-07 09:37:41:043,133893,0.8,133307,0.8,267207,0.9,357089,2.00 117,1,2024-09-07 09:37:41:595,904065,904065,0,0,425235986588,4451850488,894075,8765,1225,369,392033,0 117,2,2024-09-07 09:37:41:126,656322,656322,0,0,28446788,0,4303 117,3,2024-09-07 09:37:41:066,1,516,13,0,490,7108,516,0 118,0,2024-09-07 09:37:41:779,124819,0.6,128318,0.7,261558,0.6,342417,2.00 118,1,2024-09-07 09:37:40:586,903852,903852,0,0,423742128723,4455714851,890356,10376,3120,366,392054,0 118,2,2024-09-07 09:37:41:594,654147,654147,0,0,31046919,0,2842 118,3,2024-09-07 09:37:41:768,1,516,4,0,248,5887,516,0 119,0,2024-09-07 09:37:41:345,127308,0.6,127712,0.8,255331,0.6,339858,2.00 119,1,2024-09-07 09:37:40:549,904645,904645,0,0,425244962493,4456956261,892922,9957,1766,367,391857,0 119,2,2024-09-07 09:37:41:263,649999,649999,0,0,28861618,0,4174 119,3,2024-09-07 09:37:41:328,1,516,1,0,1358,9725,516,0 120,0,2024-09-07 09:37:41:639,126060,0.6,125798,0.8,252764,0.6,337083,2.25 120,1,2024-09-07 09:37:40:920,905130,905130,0,0,425015650151,4454361211,895839,8512,779,368,392144,0 120,2,2024-09-07 09:37:40:773,650190,650189,1,0,33317206,0,5281 120,3,2024-09-07 09:37:41:299,1,516,9,0,279,6490,516,0 121,0,2024-09-07 09:37:41:721,132582,1.3,131988,1.1,264507,1.8,353169,2.50 121,1,2024-09-07 09:37:41:664,905692,905692,0,0,424993273806,4442686153,896964,8027,701,367,391840,0 121,2,2024-09-07 09:37:41:130,651253,651253,0,0,30526863,0,4157 121,3,2024-09-07 09:37:40:727,1,516,2,0,330,6210,516,0 122,0,2024-09-07 09:37:41:757,130193,0.9,126681,0.9,265444,1.0,348770,2.25 122,1,2024-09-07 09:37:40:868,904105,904105,0,0,425011612407,4458409310,891667,10553,1885,366,392130,0 122,2,2024-09-07 09:37:41:321,655926,655851,75,0,34870377,0,5989 122,3,2024-09-07 09:37:40:599,1,516,10,0,512,8765,516,0 123,0,2024-09-07 09:37:40:993,127062,0.8,123672,0.9,258945,0.9,338836,2.25 123,1,2024-09-07 09:37:40:578,904605,904605,0,0,424700600918,4468447606,889458,12643,2504,369,392039,0 123,2,2024-09-07 09:37:41:024,650346,650345,1,0,29621801,0,5215 123,3,2024-09-07 09:37:41:137,1,516,2,0,478,6035,516,0 124,0,2024-09-07 09:37:40:921,130109,0.3,130046,0.5,245163,0.3,336846,1.75 124,1,2024-09-07 09:37:41:032,907719,907719,0,0,425750449574,4426585131,900912,5676,1131,365,392178,0 124,2,2024-09-07 09:37:41:011,651576,651523,53,0,27000973,0,6487 124,3,2024-09-07 09:37:40:759,1,516,2,0,490,5415,516,0 125,0,2024-09-07 09:37:41:470,129885,0.4,129619,0.6,260698,0.3,346962,1.75 125,1,2024-09-07 09:37:40:862,906204,906204,0,0,425646768468,4443315093,899231,5991,982,382,391813,0 125,2,2024-09-07 09:37:41:117,653669,653669,0,0,27045678,0,4534 125,3,2024-09-07 09:37:41:126,1,516,1,0,709,6160,516,0 126,0,2024-09-07 09:37:41:413,133292,0.4,137030,0.6,262103,0.4,354527,1.75 126,1,2024-09-07 09:37:40:560,908790,908790,0,0,426528458257,4415338422,904119,4361,310,365,391987,0 126,2,2024-09-07 09:37:40:614,656825,656825,0,0,27921945,0,4539 126,3,2024-09-07 09:37:40:907,1,516,0,0,268,5806,516,0 127,0,2024-09-07 09:37:41:603,127836,0.3,128213,0.5,255846,0.3,340051,1.75 127,1,2024-09-07 09:37:40:576,907331,907331,0,0,425787887853,4431820155,897964,7863,1504,364,392187,0 127,2,2024-09-07 09:37:40:649,653900,653896,4,0,26592675,0,5305 127,3,2024-09-07 09:37:41:274,1,516,1,0,968,5178,516,0 128,0,2024-09-07 09:37:41:620,127711,0.3,127805,0.4,255184,0.2,339627,1.50 128,1,2024-09-07 09:37:41:611,906381,906381,0,0,426103004771,4427339117,899906,5740,735,367,392031,0 128,2,2024-09-07 09:37:41:394,650825,650825,0,0,24830733,0,3171 128,3,2024-09-07 09:37:40:768,1,516,2,0,1082,8323,516,0 129,0,2024-09-07 09:37:41:014,129961,0.3,129452,0.5,259249,0.3,344674,1.50 129,1,2024-09-07 09:37:40:574,904100,904100,0,0,424299577606,4448058371,895076,7079,1945,379,391962,0 129,2,2024-09-07 09:37:40:686,651952,651948,4,0,27161963,0,5335 129,3,2024-09-07 09:37:40:690,1,516,4,0,506,7301,516,0 130,0,2024-09-07 09:37:41:712,135145,0.5,134635,0.6,270085,0.4,360230,1.75 130,1,2024-09-07 09:37:40:584,907047,907047,0,0,425651384138,4422397651,902256,4403,388,381,391825,0 130,2,2024-09-07 09:37:41:125,656209,656209,0,0,27178324,0,4067 130,3,2024-09-07 09:37:41:291,1,516,1,0,960,7291,516,0 131,0,2024-09-07 09:37:41:941,128538,0.4,128944,0.5,258347,0.3,343272,1.75 131,1,2024-09-07 09:37:41:827,906651,906651,0,0,425792187787,4442707352,899773,5686,1192,381,391865,0 131,2,2024-09-07 09:37:40:573,656011,656011,0,0,25212342,0,3979 131,3,2024-09-07 09:37:41:690,1,516,2,0,392,6347,516,0 132,0,2024-09-07 09:37:41:428,127594,0.4,128662,0.6,256024,0.4,340431,2.00 132,1,2024-09-07 09:37:40:576,902991,902991,0,0,424086426136,4462344065,890514,10286,2191,381,392532,0 132,2,2024-09-07 09:37:40:698,648866,648849,17,0,32980314,0,6451 132,3,2024-09-07 09:37:41:689,1,516,1,0,804,8726,516,0 133,0,2024-09-07 09:37:41:549,124087,0.4,127040,0.6,260569,0.3,338986,1.75 133,1,2024-09-07 09:37:40:587,902724,902724,0,0,424176095798,4471487662,890217,10816,1691,383,391914,0 133,2,2024-09-07 09:37:41:092,651379,651329,50,0,33386093,0,6861 133,3,2024-09-07 09:37:41:305,1,516,1,0,479,6211,516,0 134,0,2024-09-07 09:37:40:948,133878,0.5,133775,0.7,267848,0.5,357222,2.25 134,1,2024-09-07 09:37:40:587,904631,904631,0,0,424597208429,4451788749,893249,9236,2146,366,391718,0 134,2,2024-09-07 09:37:41:756,652859,652835,24,0,31383134,0,6207 134,3,2024-09-07 09:37:40:749,1,516,1,0,739,6315,516,0 135,0,2024-09-07 09:37:41:145,125184,1.0,125104,0.9,265586,1.2,342246,2.25 135,1,2024-09-07 09:37:41:590,904018,904018,0,0,425339847457,4473231904,892387,10065,1566,380,391805,0 135,2,2024-09-07 09:37:40:687,655510,655510,0,0,31711356,0,4503 135,3,2024-09-07 09:37:41:007,1,516,2,0,900,5273,516,0 136,0,2024-09-07 09:37:41:724,127929,0.5,128110,0.7,255078,0.5,340015,2.00 136,1,2024-09-07 09:37:41:441,905606,905606,0,0,424354396268,4449058615,895230,9108,1268,381,392135,0 136,2,2024-09-07 09:37:41:132,652190,652175,15,0,30670257,0,6007 136,3,2024-09-07 09:37:41:115,1,516,4,0,637,6235,516,0 137,0,2024-09-07 09:37:40:929,130214,0.5,126912,0.7,248673,0.5,337297,2.00 137,1,2024-09-07 09:37:40:584,903655,903655,0,0,424225332960,4446687815,890228,10756,2671,366,391898,0 137,2,2024-09-07 09:37:41:717,649679,649679,0,0,32122321,0,3185 137,3,2024-09-07 09:37:40:774,1,516,5,0,484,6523,516,0 138,0,2024-09-07 09:37:41:739,130519,0.8,130754,0.9,262124,1.0,348714,2.00 138,1,2024-09-07 09:37:41:689,904477,904477,0,0,425149858909,4458915630,891744,10764,1969,368,391954,0 138,2,2024-09-07 09:37:40:587,650893,650893,0,0,29659302,0,4988 138,3,2024-09-07 09:37:40:613,1,516,14,0,1200,8397,516,0 139,0,2024-09-07 09:37:41:402,130610,1.3,131045,1.0,262230,1.9,349488,2.50 139,1,2024-09-07 09:37:40:572,900291,900291,0,0,423536515246,4491593055,884351,12478,3462,380,392058,0 139,2,2024-09-07 09:37:40:692,651224,651224,0,0,33167609,0,3097 139,3,2024-09-07 09:37:41:662,1,516,1,0,432,5974,516,0 140,0,2024-09-07 09:37:41:597,128049,0.3,127262,0.5,255229,0.2,340071,1.75 140,1,2024-09-07 09:37:41:536,909728,909728,0,0,428206471503,4414481468,905147,4043,538,364,391606,0 140,2,2024-09-07 09:37:40:686,655082,655081,1,0,25372231,0,5036 140,3,2024-09-07 09:37:40:768,1,516,1,0,297,4847,516,0 141,0,2024-09-07 09:37:41:698,127422,0.3,130719,0.4,249694,0.2,338455,1.50 141,1,2024-09-07 09:37:40:862,907905,907905,0,0,426795135134,4433402803,900799,6021,1085,379,391614,0 141,2,2024-09-07 09:37:41:689,650309,650298,11,0,26853832,0,5369 141,3,2024-09-07 09:37:41:043,1,516,2,0,391,5898,516,0 142,0,2024-09-07 09:37:41:326,130532,0.3,129603,0.5,259834,0.3,346738,1.50 142,1,2024-09-07 09:37:40:586,906589,906589,0,0,426466026711,4444960750,900928,5202,459,382,392102,0 142,2,2024-09-07 09:37:41:300,650354,650322,32,0,27441270,0,6028 142,3,2024-09-07 09:37:41:760,1,516,2,0,484,5423,516,0 143,0,2024-09-07 09:37:41:383,133801,0.4,133721,0.6,268363,0.4,356425,1.75 143,1,2024-09-07 09:37:40:561,908177,908177,0,0,426395076753,4425589169,902099,5522,556,367,391722,0 143,2,2024-09-07 09:37:40:777,657048,657048,0,0,26956103,0,3123 143,3,2024-09-07 09:37:41:158,1,516,3,0,462,6518,516,0 144,0,2024-09-07 09:37:41:494,124388,0.6,127918,0.8,260160,0.6,341155,2.00 144,1,2024-09-07 09:37:40:579,903825,903825,0,0,424091655069,4451685487,894439,7420,1966,381,391733,0 144,2,2024-09-07 09:37:41:989,655700,655700,0,0,25768186,0,3673 144,3,2024-09-07 09:37:41:745,1,516,2,0,249,5078,516,0 145,0,2024-09-07 09:37:41:360,123067,0.5,123138,0.8,261292,0.5,336302,2.25 145,1,2024-09-07 09:37:40:558,903043,903043,0,0,424463795107,4469363543,890675,9902,2466,382,391759,0 145,2,2024-09-07 09:37:41:429,646900,646818,82,0,31707108,0,7814 145,3,2024-09-07 09:37:40:895,1,516,2,0,622,7179,516,0 146,0,2024-09-07 09:37:41:614,128211,0.5,127706,0.7,256354,0.5,341263,2.00 146,1,2024-09-07 09:37:41:593,904380,904380,0,0,424838144451,4466628345,889955,10624,3801,367,391770,0 146,2,2024-09-07 09:37:41:696,649573,649567,6,0,29757193,0,5151 146,3,2024-09-07 09:37:41:283,1,516,13,0,1520,8815,516,0 147,0,2024-09-07 09:37:41:696,133771,0.6,133498,0.8,266664,0.6,356337,2.25 147,1,2024-09-07 09:37:41:386,907797,907797,0,0,427055041322,4437622077,900514,6404,879,367,391791,0 147,2,2024-09-07 09:37:41:010,654212,654212,0,0,26715955,0,2968 147,3,2024-09-07 09:37:40:914,1,516,0,0,1626,8454,516,0 0,0,2024-09-07 09:37:51:709,123422,0.5,123333,0.7,261805,0.6,338334,2.00 0,1,2024-09-07 09:37:50:802,906371,906371,0,0,425353704176,4454680245,898861,6670,840,369,391896,0 0,2,2024-09-07 09:37:51:071,653740,653740,0,0,26809032,0,4480 0,3,2024-09-07 09:37:50:974,1,517,1,0,431,7194,517,0 1,0,2024-09-07 09:37:51:766,132765,1.0,132041,1.0,265048,1.3,354633,2.00 1,1,2024-09-07 09:37:50:608,905672,905672,0,0,424697139085,4451433734,896958,7247,1467,370,391859,0 1,2,2024-09-07 09:37:50:638,654298,654298,0,0,26235136,0,3380 1,3,2024-09-07 09:37:51:303,1,517,6,0,269,6511,517,0 2,0,2024-09-07 09:37:51:568,130447,0.6,130461,0.8,260688,0.7,347590,2.00 2,1,2024-09-07 09:37:50:859,908718,908718,0,0,426812835424,4436145511,903746,4055,917,379,391745,0 2,2,2024-09-07 09:37:51:281,657585,657585,0,0,24918146,0,3594 2,3,2024-09-07 09:37:50:694,1,517,1,0,357,4744,517,0 3,0,2024-09-07 09:37:51:741,127647,0.4,127374,0.6,254440,0.3,339121,2.00 3,1,2024-09-07 09:37:51:619,907572,907572,0,0,426801033801,4443794805,900103,6766,703,379,391716,0 3,2,2024-09-07 09:37:51:145,653775,653752,23,0,25948918,0,5851 3,3,2024-09-07 09:37:51:752,1,517,1,0,207,3241,517,0 4,0,2024-09-07 09:37:51:771,122615,0.4,126000,0.5,256916,0.3,335819,1.75 4,1,2024-09-07 09:37:50:594,903772,903772,0,0,424426126568,4497336126,887428,12658,3686,370,391992,0 4,2,2024-09-07 09:37:51:018,649003,649003,0,0,31761383,0,4534 4,3,2024-09-07 09:37:51:027,1,517,1,0,448,6694,517,0 5,0,2024-09-07 09:37:51:438,130271,0.4,130713,0.6,261208,0.4,347729,1.75 5,1,2024-09-07 09:37:50:779,905116,905116,0,0,426358476498,4487982667,892376,10326,2414,367,392005,0 5,2,2024-09-07 09:37:51:839,649820,649820,0,0,30713270,0,3582 5,3,2024-09-07 09:37:51:734,1,517,3,0,457,7079,517,0 6,0,2024-09-07 09:37:50:915,133196,0.5,132774,0.7,265948,0.5,354072,2.00 6,1,2024-09-07 09:37:50:756,907274,907274,0,0,425865611154,4448471509,897756,8062,1456,379,391702,0 6,2,2024-09-07 09:37:51:124,656681,656663,18,0,29859878,0,5535 6,3,2024-09-07 09:37:51:282,1,517,5,0,710,6235,517,0 7,0,2024-09-07 09:37:51:530,127093,0.5,127907,0.7,254779,0.5,338989,2.00 7,1,2024-09-07 09:37:50:850,905602,905602,0,0,425451231939,4469219169,892679,10691,2232,382,391747,0 7,2,2024-09-07 09:37:50:770,655307,655307,0,0,29064098,0,4791 7,3,2024-09-07 09:37:50:851,1,517,1,0,552,5887,517,0 8,0,2024-09-07 09:37:51:368,127724,0.3,127355,0.5,255524,0.3,340049,1.75 8,1,2024-09-07 09:37:51:017,904024,904024,0,0,425197450037,4469619218,889831,11063,3130,366,392853,0 8,2,2024-09-07 09:37:50:795,646331,646329,2,0,34454887,0,5112 8,3,2024-09-07 09:37:50:587,1,517,1,0,538,7808,517,0 9,0,2024-09-07 09:37:51:139,129276,0.3,125663,0.5,263197,0.3,345214,1.75 9,1,2024-09-07 09:37:50:565,904182,904182,0,0,425245826974,4478805842,890711,10821,2650,369,392001,0 9,2,2024-09-07 09:37:51:105,650898,650897,1,0,31172086,0,5281 9,3,2024-09-07 09:37:51:754,1,517,1,0,1273,8664,517,0 10,0,2024-09-07 09:37:51:600,134590,0.4,134166,0.5,269136,0.3,358528,1.75 10,1,2024-09-07 09:37:50:584,906595,906595,0,0,424676101688,4449896997,894236,10269,2090,381,391869,0 10,2,2024-09-07 09:37:50:763,656783,656783,0,0,33843755,0,4264 10,3,2024-09-07 09:37:50:871,1,517,1,0,649,5329,517,0 11,0,2024-09-07 09:37:51:006,128553,0.5,124763,0.7,260821,0.4,343864,1.75 11,1,2024-09-07 09:37:50:579,907495,907495,0,0,426002534488,4467505719,894019,10014,3462,383,391756,0 11,2,2024-09-07 09:37:51:122,655497,655497,0,0,29900618,0,4130 11,3,2024-09-07 09:37:51:302,1,517,1,0,843,7051,517,0 12,0,2024-09-07 09:37:50:981,128467,0.4,128455,0.5,256905,0.3,341304,1.75 12,1,2024-09-07 09:37:50:959,907033,907033,0,0,426056650234,4445355323,899370,6783,880,370,391960,0 12,2,2024-09-07 09:37:51:543,650110,650110,0,0,29593981,0,4390 12,3,2024-09-07 09:37:51:060,1,517,1,0,386,7017,517,0 13,0,2024-09-07 09:37:51:368,128570,0.4,128303,0.6,255970,0.4,341463,1.75 13,1,2024-09-07 09:37:51:526,904993,904993,0,0,424932016194,4467140355,895367,7332,2294,382,391740,0 13,2,2024-09-07 09:37:50:609,653971,653971,0,0,26538825,0,3287 13,3,2024-09-07 09:37:51:767,1,517,1,0,522,7252,517,0 14,0,2024-09-07 09:37:50:564,134033,0.4,134979,0.6,267820,0.4,356921,1.75 14,1,2024-09-07 09:37:51:561,911366,911366,0,0,428789824468,4437028442,904459,6179,728,364,391673,0 14,2,2024-09-07 09:37:50:764,655685,655655,30,0,27959372,0,6104 14,3,2024-09-07 09:37:51:130,1,517,21,0,1168,5860,517,0 15,0,2024-09-07 09:37:51:553,128979,0.5,128464,0.7,257924,0.4,343853,2.00 15,1,2024-09-07 09:37:51:607,908581,908581,0,0,426783612185,4445493105,900849,6247,1485,381,391619,0 15,2,2024-09-07 09:37:50:998,658903,658903,0,0,23527685,0,3622 15,3,2024-09-07 09:37:51:417,1,517,1,0,1126,7309,517,0 16,0,2024-09-07 09:37:50:940,127508,0.5,128111,0.7,255509,0.5,339826,2.00 16,1,2024-09-07 09:37:50:595,908232,908232,0,0,426203435513,4457178513,899816,7115,1301,370,392194,0 16,2,2024-09-07 09:37:51:434,651310,651310,0,0,27782708,0,4719 16,3,2024-09-07 09:37:51:146,1,517,1,0,358,6530,517,0 17,0,2024-09-07 09:37:51:818,130391,0.5,127109,0.7,249000,0.5,337841,2.00 17,1,2024-09-07 09:37:50:586,906095,906095,0,0,425740289254,4467280381,896803,7545,1747,368,392075,0 17,2,2024-09-07 09:37:51:670,654960,654959,1,0,28569388,0,5050 17,3,2024-09-07 09:37:50:581,1,517,8,0,518,7813,517,0 18,0,2024-09-07 09:37:50:959,130199,0.6,131214,0.8,261442,0.6,349502,2.25 18,1,2024-09-07 09:37:51:638,910274,910274,0,0,427220168814,4432142303,904164,5113,997,367,391725,0 18,2,2024-09-07 09:37:51:756,655889,655889,0,0,25363748,0,3541 18,3,2024-09-07 09:37:50:899,1,517,1,0,1059,4907,517,0 19,0,2024-09-07 09:37:51:539,131202,0.7,131648,0.8,261667,0.7,348413,2.25 19,1,2024-09-07 09:37:50:571,909395,909395,0,0,427774367593,4436223169,902408,5903,1084,367,391777,0 19,2,2024-09-07 09:37:51:751,659439,659439,0,0,23837373,0,3988 19,3,2024-09-07 09:37:51:128,1,517,1,0,524,4235,517,0 20,0,2024-09-07 09:37:51:348,127299,0.5,127610,0.7,254672,0.5,339682,2.00 20,1,2024-09-07 09:37:50:584,906596,906596,0,0,426118120797,4464588604,897400,7832,1364,369,391922,0 20,2,2024-09-07 09:37:50:937,654028,654028,0,0,28934270,0,3721 20,3,2024-09-07 09:37:50:594,1,517,1,0,468,8887,517,0 21,0,2024-09-07 09:37:51:137,127192,0.4,127337,0.6,254656,0.4,337497,1.75 21,1,2024-09-07 09:37:51:543,905160,905160,0,0,425104658104,4486752369,891010,11031,3119,368,392016,0 21,2,2024-09-07 09:37:51:071,647961,647941,20,0,33836768,0,5617 21,3,2024-09-07 09:37:51:405,1,517,15,0,713,6729,517,0 22,0,2024-09-07 09:37:51:714,129997,0.4,130496,0.6,260460,0.4,345834,2.00 22,1,2024-09-07 09:37:51:023,905761,905761,0,0,425098229075,4476126148,890533,12001,3227,382,391822,0 22,2,2024-09-07 09:37:50:763,650863,650837,26,0,28364944,0,6328 22,3,2024-09-07 09:37:51:070,1,517,3,0,228,4424,517,0 23,0,2024-09-07 09:37:51:376,133597,0.5,133141,0.7,266342,0.5,354897,2.25 23,1,2024-09-07 09:37:51:003,906734,906734,0,0,425902412805,4470949916,891075,11251,4408,365,391690,0 23,2,2024-09-07 09:37:51:092,658071,658071,0,0,27082894,0,3773 23,3,2024-09-07 09:37:51:754,1,517,1,0,855,7655,517,0 24,0,2024-09-07 09:37:50:829,129458,0.4,128655,0.6,258823,0.4,343607,1.75 24,1,2024-09-07 09:37:50:594,905748,905748,0,0,425053623551,4450734358,896789,7285,1674,367,392269,0 24,2,2024-09-07 09:37:51:069,655824,655824,0,0,32036195,0,4438 24,3,2024-09-07 09:37:51:686,1,517,5,0,468,6609,517,0 25,0,2024-09-07 09:37:51:388,131008,0.4,127625,0.6,250335,0.3,341066,1.75 25,1,2024-09-07 09:37:50:592,904924,904924,0,0,425344184644,4486881140,889976,11976,2972,369,391928,0 25,2,2024-09-07 09:37:51:606,649053,649053,0,0,33048495,0,3978 25,3,2024-09-07 09:37:51:000,1,517,9,0,532,5690,517,0 26,0,2024-09-07 09:37:51:720,128078,0.4,125425,0.6,263202,0.3,342688,1.75 26,1,2024-09-07 09:37:51:541,907767,907767,0,0,425347095203,4454288930,894929,10386,2452,380,391748,0 26,2,2024-09-07 09:37:50:864,651765,651765,0,0,34956676,0,4689 26,3,2024-09-07 09:37:51:712,1,517,3,0,796,5718,517,0 27,0,2024-09-07 09:37:51:722,134139,0.4,134645,0.6,267683,0.4,357560,2.25 27,1,2024-09-07 09:37:51:679,908551,908551,0,0,427595080552,4453346031,899999,7283,1269,381,391626,0 27,2,2024-09-07 09:37:50:868,653798,653733,65,0,30485561,0,5699 27,3,2024-09-07 09:37:51:016,1,517,1,0,564,4526,517,0 28,0,2024-09-07 09:37:51:390,129409,0.4,128990,0.6,258898,0.3,345128,2.00 28,1,2024-09-07 09:37:50:800,908792,908792,0,0,426981480059,4452023214,901022,6056,1714,382,391698,0 28,2,2024-09-07 09:37:51:768,656717,656717,0,0,26915782,0,2915 28,3,2024-09-07 09:37:51:776,1,517,1,0,502,5224,517,0 29,0,2024-09-07 09:37:51:377,131323,0.3,127960,0.6,250936,0.3,342058,1.75 29,1,2024-09-07 09:37:51:562,910932,910932,0,0,427519801347,4422750585,905376,4794,762,367,391809,0 29,2,2024-09-07 09:37:50:865,650355,650355,0,0,26233409,0,4986 29,3,2024-09-07 09:37:50:963,1,517,0,0,459,5710,517,0 30,0,2024-09-07 09:37:51:462,126614,0.5,123096,0.6,257841,0.4,337221,2.00 30,1,2024-09-07 09:37:50:573,910545,910545,0,0,428036494683,4442910685,903570,6073,902,380,391672,0 30,2,2024-09-07 09:37:51:274,653034,653034,0,0,25242767,0,4192 30,3,2024-09-07 09:37:50:583,1,517,47,0,519,5042,517,0 31,0,2024-09-07 09:37:51:758,132663,0.5,132995,0.6,265658,0.4,354929,2.00 31,1,2024-09-07 09:37:50:571,913481,913481,0,0,429672841157,4411679040,908157,4313,1011,356,391712,0 31,2,2024-09-07 09:37:51:274,653404,653404,0,0,27885375,0,4470 31,3,2024-09-07 09:37:51:705,1,517,1,0,220,4404,517,0 32,0,2024-09-07 09:37:51:416,131156,0.4,131746,0.5,262290,0.3,349350,1.75 32,1,2024-09-07 09:37:50:804,909863,909863,0,0,427638060402,4445884710,904062,5093,708,381,391646,0 32,2,2024-09-07 09:37:50:935,658084,658084,0,0,24450044,0,3922 32,3,2024-09-07 09:37:51:015,1,517,1,0,304,4250,517,0 33,0,2024-09-07 09:37:51:507,128115,0.3,127711,0.4,255621,0.2,340166,1.50 33,1,2024-09-07 09:37:50:581,910469,910469,0,0,427796584859,4432562893,903241,6055,1173,368,391730,0 33,2,2024-09-07 09:37:50:759,654731,654696,35,0,27009210,0,7012 33,3,2024-09-07 09:37:50:898,1,517,1,0,329,4851,517,0 34,0,2024-09-07 09:37:50:932,126743,0.3,130179,0.4,248783,0.2,335118,1.75 34,1,2024-09-07 09:37:51:052,912132,912132,0,0,428697161250,4409617002,909624,2486,22,367,391562,0 34,2,2024-09-07 09:37:50:768,653428,653428,0,0,25505803,0,4562 34,3,2024-09-07 09:37:51:693,1,517,1,0,320,4008,517,0 35,0,2024-09-07 09:37:50:857,129730,0.3,130417,0.5,261867,0.2,348416,1.75 35,1,2024-09-07 09:37:51:067,909208,909208,0,0,426668098696,4427493638,902551,5444,1213,382,391769,0 35,2,2024-09-07 09:37:51:583,652578,652578,0,0,28054493,0,4055 35,3,2024-09-07 09:37:50:907,1,517,1,0,418,4858,517,0 36,0,2024-09-07 09:37:51:532,133550,0.5,133444,0.7,266853,0.5,354842,2.25 36,1,2024-09-07 09:37:50:583,908676,908676,0,0,426197356154,4453473886,896393,10063,2220,366,391759,0 36,2,2024-09-07 09:37:51:750,657095,657095,0,0,29506180,0,3875 36,3,2024-09-07 09:37:51:078,1,517,3,0,416,7156,517,0 37,0,2024-09-07 09:37:51:388,127362,0.5,127280,0.7,254740,0.5,339576,2.25 37,1,2024-09-07 09:37:50:607,907079,907072,0,7,426476443336,4454768500,895965,8433,2674,365,391770,0 37,2,2024-09-07 09:37:51:148,653666,653651,15,0,30007137,0,5815 37,3,2024-09-07 09:37:51:766,1,517,60,0,888,7335,517,0 38,0,2024-09-07 09:37:51:441,126838,0.4,123024,0.6,257450,0.3,337190,2.00 38,1,2024-09-07 09:37:51:605,908564,908564,0,0,426807014432,4463406606,895335,10710,2519,368,391821,0 38,2,2024-09-07 09:37:50:761,649538,649491,47,0,29995799,0,6710 38,3,2024-09-07 09:37:50:997,1,517,2,0,689,6680,517,0 39,0,2024-09-07 09:37:51:761,132385,0.5,129597,0.7,252319,0.5,344865,2.00 39,1,2024-09-07 09:37:50:719,906529,906529,0,0,425899016185,4470091023,890734,12326,3469,365,391865,0 39,2,2024-09-07 09:37:51:422,652955,652955,0,0,27759927,0,3391 39,3,2024-09-07 09:37:50:718,1,517,1,0,525,6184,517,0 40,0,2024-09-07 09:37:51:492,133283,0.9,133973,1.0,267493,1.1,356744,2.75 40,1,2024-09-07 09:37:50:584,909132,909132,0,0,425471536747,4450422977,897113,9840,2179,368,391668,0 40,2,2024-09-07 09:37:51:311,655230,655229,1,0,32992024,0,5137 40,3,2024-09-07 09:37:51:145,1,517,4,0,1028,7369,517,0 41,0,2024-09-07 09:37:51:027,127959,1.3,130701,1.2,249394,1.9,339774,3.00 41,1,2024-09-07 09:37:50:769,906810,906810,0,0,425800775353,4455512159,894938,9938,1934,369,391878,0 41,2,2024-09-07 09:37:50:767,653713,653712,1,0,31863933,0,5408 41,3,2024-09-07 09:37:51:677,1,517,1,0,366,5344,517,0 42,0,2024-09-07 09:37:51:474,127068,0.8,126654,1.0,253733,0.8,336243,2.50 42,1,2024-09-07 09:37:51:439,904376,904376,0,0,424757437076,4467116380,889367,12101,2908,380,391675,0 42,2,2024-09-07 09:37:51:134,649580,649580,0,0,30777643,0,3975 42,3,2024-09-07 09:37:51:009,1,517,2,0,892,4693,517,0 43,0,2024-09-07 09:37:50:916,126560,0.7,123261,0.9,258022,0.7,338612,2.25 43,1,2024-09-07 09:37:50:581,907590,907590,0,0,426806219596,4460001220,894722,10608,2260,366,391696,0 43,2,2024-09-07 09:37:51:738,652712,652712,0,0,30338552,0,4723 43,3,2024-09-07 09:37:51:749,1,517,1,0,571,7223,517,0 44,0,2024-09-07 09:37:50:857,134050,0.4,134099,0.6,268805,0.4,357428,2.00 44,1,2024-09-07 09:37:50:571,910237,910237,0,0,427382467341,4416952748,902440,6210,1587,356,391809,0 44,2,2024-09-07 09:37:51:274,653540,653540,0,0,25187484,0,4344 44,3,2024-09-07 09:37:51:092,1,517,0,0,817,5646,517,0 45,0,2024-09-07 09:37:51:757,127818,0.5,124784,0.7,261837,0.5,344061,2.00 45,1,2024-09-07 09:37:51:004,908917,908917,0,0,427954970315,4448531846,901406,6635,876,382,391917,0 45,2,2024-09-07 09:37:51:274,657832,657832,0,0,25522501,0,3596 45,3,2024-09-07 09:37:50:934,1,517,1,0,271,4542,517,0 46,0,2024-09-07 09:37:50:949,127066,0.5,126533,0.7,253972,0.4,337029,2.00 46,1,2024-09-07 09:37:50:582,910765,910765,0,0,427566046925,4419348162,904363,5539,863,366,391709,0 46,2,2024-09-07 09:37:50:592,652682,652682,0,0,25716426,0,4443 46,3,2024-09-07 09:37:51:131,1,517,1,0,908,6317,517,0 47,0,2024-09-07 09:37:51:104,126672,0.4,126783,0.6,254284,0.3,336907,1.75 47,1,2024-09-07 09:37:50:569,911469,911469,0,0,428085672598,4422040364,906243,4387,839,365,391641,0 47,2,2024-09-07 09:37:50:909,655403,655403,0,0,25530502,0,4477 47,3,2024-09-07 09:37:51:115,1,517,1,0,600,5898,517,0 48,0,2024-09-07 09:37:51:512,132044,0.3,132092,0.4,263416,0.2,351489,1.50 48,1,2024-09-07 09:37:51:024,909163,909163,0,0,427184088491,4438800148,903373,5217,573,384,391710,0 48,2,2024-09-07 09:37:50:712,653872,653872,0,0,23539093,0,3411 48,3,2024-09-07 09:37:50:755,1,517,2,0,339,4150,517,0 49,0,2024-09-07 09:37:51:714,135284,0.4,132779,0.5,258043,0.3,352440,1.75 49,1,2024-09-07 09:37:51:022,908808,908808,0,0,426925445765,4438555708,903243,4354,1211,382,391809,0 49,2,2024-09-07 09:37:51:804,658182,658182,0,0,26172748,0,4426 49,3,2024-09-07 09:37:51:421,1,517,1,0,992,6063,517,0 50,0,2024-09-07 09:37:51:507,128185,0.3,126500,0.5,254893,0.2,339341,1.75 50,1,2024-09-07 09:37:51:010,912036,912036,0,0,429079894898,4436688218,906092,5277,667,368,391565,0 50,2,2024-09-07 09:37:51:067,654377,654377,0,0,23952349,0,4490 50,3,2024-09-07 09:37:51:291,1,517,1,0,617,5433,517,0 51,0,2024-09-07 09:37:51:686,130517,0.3,127751,0.4,248825,0.2,338935,1.75 51,1,2024-09-07 09:37:51:680,912327,912327,0,0,429186801865,4425620593,907563,3709,1055,365,391706,0 51,2,2024-09-07 09:37:51:317,651976,651976,0,0,23183666,0,3337 51,3,2024-09-07 09:37:51:027,1,517,1,0,678,3769,517,0 52,0,2024-09-07 09:37:51:413,130563,0.5,130114,0.7,260604,0.4,346656,2.00 52,1,2024-09-07 09:37:50:581,908311,908311,0,0,426026564648,4461492748,895084,11122,2105,368,391722,0 52,2,2024-09-07 09:37:51:768,648900,648862,38,0,30917203,0,6742 52,3,2024-09-07 09:37:50:674,1,517,1,0,1782,6660,517,0 53,0,2024-09-07 09:37:51:729,133225,0.7,129278,0.8,270320,0.8,354461,2.50 53,1,2024-09-07 09:37:50:775,906454,906454,0,0,425871649320,4470621903,891099,11511,3844,367,391968,0 53,2,2024-09-07 09:37:51:303,657394,657393,1,0,27862754,0,5455 53,3,2024-09-07 09:37:50:696,1,517,1,0,308,5136,517,0 54,0,2024-09-07 09:37:51:621,126553,0.6,127007,0.8,252747,0.5,337456,2.25 54,1,2024-09-07 09:37:50:581,908476,908476,0,0,427099732936,4444183826,898412,8430,1634,366,391810,0 54,2,2024-09-07 09:37:50:865,656301,656269,32,0,31619673,0,6397 54,3,2024-09-07 09:37:50:763,1,517,1,0,676,7017,517,0 55,0,2024-09-07 09:37:51:764,123056,0.6,127012,0.7,257213,0.5,335474,2.50 55,1,2024-09-07 09:37:50:764,909493,909493,0,0,425974719367,4430776957,899833,8340,1320,365,391731,0 55,2,2024-09-07 09:37:50:735,649433,649377,56,0,29749229,0,7239 55,3,2024-09-07 09:37:50:674,1,517,2,0,304,4873,517,0 56,0,2024-09-07 09:37:51:594,131479,1.1,123860,1.1,255371,1.4,342113,2.75 56,1,2024-09-07 09:37:50:587,904146,904146,0,0,425295940257,4491486056,889831,11403,2912,381,391867,0 56,2,2024-09-07 09:37:51:312,651738,651616,122,0,31068612,0,7432 56,3,2024-09-07 09:37:51:061,1,517,2,0,705,6231,517,0 57,0,2024-09-07 09:37:50:948,132100,1.6,132108,1.3,264621,2.2,354050,3.25 57,1,2024-09-07 09:37:50:991,905769,905769,0,0,425502311750,4465026506,893587,9897,2285,366,392032,0 57,2,2024-09-07 09:37:51:320,655861,655861,0,0,31992683,0,4317 57,3,2024-09-07 09:37:51:737,1,517,1,0,455,5819,517,0 58,0,2024-09-07 09:37:50:575,127206,1.0,123501,1.0,258372,1.2,338555,2.75 58,1,2024-09-07 09:37:50:578,907398,907395,0,3,426162608543,4467301015,893593,10481,3321,367,391675,3 58,2,2024-09-07 09:37:51:070,656079,656079,0,0,30390894,0,3483 58,3,2024-09-07 09:37:51:068,1,517,2,0,1043,5697,517,0 59,0,2024-09-07 09:37:51:738,127181,0.8,127118,0.9,253431,0.9,336251,2.75 59,1,2024-09-07 09:37:50:804,906134,906134,0,0,425755312176,4471877624,891391,11604,3139,369,391653,0 59,2,2024-09-07 09:37:50:586,650201,650201,0,0,28885417,0,3727 59,3,2024-09-07 09:37:51:736,1,517,1,0,1015,6484,517,0 60,0,2024-09-07 09:37:51:719,126876,0.5,127117,0.7,254412,0.5,338105,1.75 60,1,2024-09-07 09:37:50:799,910638,910638,0,0,427705318654,4439262472,904455,5249,934,370,392031,0 60,2,2024-09-07 09:37:51:146,652928,652928,0,0,27520090,0,3811 60,3,2024-09-07 09:37:51:269,1,517,0,0,409,6089,517,0 61,0,2024-09-07 09:37:51:512,132616,0.7,133199,0.8,265293,0.7,354582,2.00 61,1,2024-09-07 09:37:50:780,907106,907106,0,0,426231809644,4463813098,897230,8296,1580,382,392127,0 61,2,2024-09-07 09:37:51:116,654383,654316,67,0,28476214,0,6411 61,3,2024-09-07 09:37:51:694,1,517,5,0,607,7470,517,0 62,0,2024-09-07 09:37:51:705,131333,0.7,134712,0.8,257132,0.7,349169,2.00 62,1,2024-09-07 09:37:51:123,912577,912571,0,6,429423806215,4425611905,908415,3876,280,365,391975,6 62,2,2024-09-07 09:37:51:643,655161,655160,1,0,27766970,0,5555 62,3,2024-09-07 09:37:51:144,1,517,0,0,482,4223,517,0 63,0,2024-09-07 09:37:51:450,128102,0.4,127823,0.6,256571,0.3,340593,1.75 63,1,2024-09-07 09:37:50:811,909840,909834,0,6,427611256353,4439158951,904079,5004,751,381,391800,6 63,2,2024-09-07 09:37:50:764,653356,653356,0,0,26788985,0,4369 63,3,2024-09-07 09:37:51:732,1,517,1,0,667,5568,517,0 64,0,2024-09-07 09:37:51:508,125961,0.5,125994,0.6,251604,0.4,334298,2.00 64,1,2024-09-07 09:37:50:759,909520,909520,0,0,426892018209,4446044077,901630,6119,1771,370,391794,0 64,2,2024-09-07 09:37:51:147,656339,656320,19,0,25793214,0,6121 64,3,2024-09-07 09:37:51:147,1,517,1,0,651,5472,517,0 65,0,2024-09-07 09:37:51:675,129450,0.6,129737,0.8,258949,0.7,345964,2.00 65,1,2024-09-07 09:37:50:865,907648,907648,0,0,425920447958,4452358167,900901,5951,796,381,391901,0 65,2,2024-09-07 09:37:51:696,652460,652460,0,0,30249482,0,3367 65,3,2024-09-07 09:37:51:683,1,517,7,0,782,6165,517,0 66,0,2024-09-07 09:37:51:774,132706,0.6,132219,0.8,264581,0.6,352040,2.25 66,1,2024-09-07 09:37:51:293,909294,909294,0,0,427073567763,4446004237,903146,5426,722,380,391743,0 66,2,2024-09-07 09:37:51:133,658975,658972,3,0,27656106,0,5455 66,3,2024-09-07 09:37:51:079,1,517,1,0,291,4501,517,0 67,0,2024-09-07 09:37:51:412,127759,0.5,127399,0.7,255534,0.5,339786,2.00 67,1,2024-09-07 09:37:50:767,909539,909538,0,1,427317301825,4449242693,903266,5458,814,380,391787,1 67,2,2024-09-07 09:37:50:583,657666,657651,15,0,26708608,0,6205 67,3,2024-09-07 09:37:51:750,1,517,1,0,595,5518,517,0 68,0,2024-09-07 09:37:50:613,127715,0.5,127571,0.7,254071,0.5,339663,2.00 68,1,2024-09-07 09:37:50:592,905666,905666,0,0,425142544056,4472143991,893427,8839,3400,381,391953,0 68,2,2024-09-07 09:37:51:046,647119,647019,100,0,34404926,0,8578 68,3,2024-09-07 09:37:50:735,1,517,0,0,417,6782,517,0 69,0,2024-09-07 09:37:51:729,128563,0.6,129391,0.8,257922,0.6,342899,2.25 69,1,2024-09-07 09:37:51:016,904498,904498,0,0,424987562518,4480068029,892249,9490,2759,384,391994,0 69,2,2024-09-07 09:37:51:732,650756,650727,29,0,35427885,0,6912 69,3,2024-09-07 09:37:50:764,1,517,0,0,698,7741,517,0 70,0,2024-09-07 09:37:51:530,133109,0.9,133498,1.0,268526,0.8,355588,2.50 70,1,2024-09-07 09:37:50:802,910064,910064,0,0,427903888468,4441203153,902809,6493,762,366,391725,0 70,2,2024-09-07 09:37:51:325,656586,656586,0,0,29889472,0,4323 70,3,2024-09-07 09:37:50:745,1,517,1,0,854,5688,517,0 71,0,2024-09-07 09:37:51:360,127284,1.2,127059,1.1,255328,1.6,340899,3.00 71,1,2024-09-07 09:37:51:595,907790,907790,0,0,426377501427,4452259650,896397,10138,1255,368,391738,0 71,2,2024-09-07 09:37:51:067,655295,655295,0,0,30542517,0,4352 71,3,2024-09-07 09:37:51:769,1,517,1,0,644,6115,517,0 72,0,2024-09-07 09:37:51:029,131818,0.5,128806,0.7,251416,0.5,341663,2.00 72,1,2024-09-07 09:37:51:021,906025,906025,0,0,425980527346,4470108499,892643,11100,2282,369,391819,0 72,2,2024-09-07 09:37:51:770,649262,649262,0,0,31722996,0,3983 72,3,2024-09-07 09:37:51:762,1,517,14,0,564,7450,517,0 73,0,2024-09-07 09:37:51:096,124407,0.4,127686,0.6,260869,0.4,339539,2.00 73,1,2024-09-07 09:37:50:770,909445,909445,0,0,426992183439,4434018655,903217,5803,425,367,391858,0 73,2,2024-09-07 09:37:51:738,651874,651874,0,0,32198927,0,4728 73,3,2024-09-07 09:37:50:976,1,517,2,0,486,6530,517,0 74,0,2024-09-07 09:37:51:376,134931,0.5,138064,0.7,263421,0.5,357696,2.00 74,1,2024-09-07 09:37:50:641,907346,907346,0,0,425459679441,4444220906,896895,8527,1924,381,391762,0 74,2,2024-09-07 09:37:51:002,654482,654482,0,0,28188250,0,4253 74,3,2024-09-07 09:37:51:442,1,517,26,0,522,6266,517,0 75,0,2024-09-07 09:37:51:780,129580,0.6,128730,0.8,258585,0.6,345579,2.25 75,1,2024-09-07 09:37:51:585,908427,908427,0,0,426099835059,4443033815,900540,7026,861,380,391739,0 75,2,2024-09-07 09:37:51:350,655528,655528,0,0,33703881,0,4766 75,3,2024-09-07 09:37:51:067,1,517,5,0,702,6165,517,0 76,0,2024-09-07 09:37:50:611,127064,0.5,126311,0.7,253256,0.5,338564,2.25 76,1,2024-09-07 09:37:50:808,907846,907846,0,0,426713745834,4451158174,901220,5659,967,382,391692,0 76,2,2024-09-07 09:37:51:062,654170,654167,3,0,28414052,0,5265 76,3,2024-09-07 09:37:51:150,1,517,1,0,227,4838,517,0 77,0,2024-09-07 09:37:51:701,126038,0.5,126513,0.7,253010,0.5,335889,2.00 77,1,2024-09-07 09:37:50:826,908630,908630,0,0,426784486211,4451763311,901797,6238,595,381,391869,0 77,2,2024-09-07 09:37:51:286,652175,652175,0,0,27936638,0,3890 77,3,2024-09-07 09:37:51:094,1,517,19,0,401,5553,517,0 78,0,2024-09-07 09:37:51:732,131894,0.4,131401,0.6,263607,0.4,349876,2.00 78,1,2024-09-07 09:37:50:612,908231,908231,0,0,426016162031,4443277260,897464,8241,2526,367,391670,0 78,2,2024-09-07 09:37:51:418,654866,654853,13,0,26001005,0,8313 78,3,2024-09-07 09:37:51:132,1,517,1,0,311,4523,517,0 79,0,2024-09-07 09:37:51:351,127327,0.4,130320,0.6,266973,0.4,347300,2.25 79,1,2024-09-07 09:37:50:572,910850,910850,0,0,427341303206,4428813553,903116,6377,1357,367,391682,0 79,2,2024-09-07 09:37:51:069,657305,657305,0,0,25055570,0,4195 79,3,2024-09-07 09:37:50:753,1,517,2,0,418,6280,517,0 80,0,2024-09-07 09:37:51:078,127380,0.5,130850,0.7,250467,0.5,338861,2.00 80,1,2024-09-07 09:37:51:619,907970,907970,0,0,426476166386,4439319306,902147,5397,426,368,392269,0 80,2,2024-09-07 09:37:51:091,656493,656493,0,0,25634388,0,4433 80,3,2024-09-07 09:37:50:579,1,517,2,0,296,6199,517,0 81,0,2024-09-07 09:37:51:533,127351,0.5,130364,0.7,248593,0.5,337530,2.00 81,1,2024-09-07 09:37:51:649,906989,906989,0,0,425473862291,4448449026,899591,6760,638,382,391885,0 81,2,2024-09-07 09:37:51:125,650709,650646,63,0,28583050,0,5932 81,3,2024-09-07 09:37:51:117,1,517,1,0,719,5952,517,0 82,0,2024-09-07 09:37:51:535,129842,0.5,129943,0.7,260426,0.4,345773,2.00 82,1,2024-09-07 09:37:50:584,909488,909484,0,4,427758988313,4447303498,904406,4258,820,381,391768,4 82,2,2024-09-07 09:37:51:694,654419,654419,0,0,23805422,0,4484 82,3,2024-09-07 09:37:51:754,1,517,1,0,363,4980,517,0 83,0,2024-09-07 09:37:51:521,133791,0.6,133816,0.8,266964,0.7,354509,2.25 83,1,2024-09-07 09:37:50:551,908612,908612,0,0,426582769857,4447387627,902257,5901,454,382,391709,0 83,2,2024-09-07 09:37:50:764,657078,657053,25,0,25844845,0,5612 83,3,2024-09-07 09:37:50:756,1,517,11,0,1260,6494,517,0 84,0,2024-09-07 09:37:51:794,127445,0.8,127624,0.9,254831,0.7,340835,2.25 84,1,2024-09-07 09:37:51:053,906900,906900,0,0,425924659881,4454453386,896665,8710,1525,367,391967,0 84,2,2024-09-07 09:37:50:583,655065,655035,30,0,34067551,0,5971 84,3,2024-09-07 09:37:51:150,1,517,6,0,908,7389,517,0 85,0,2024-09-07 09:37:51:012,122599,0.6,122586,0.8,260299,0.5,336841,2.25 85,1,2024-09-07 09:37:50:583,903719,903719,0,0,424869707046,4488358350,889454,11607,2658,381,392092,0 85,2,2024-09-07 09:37:50:867,648814,648814,0,0,31687948,0,4255 85,3,2024-09-07 09:37:50:693,1,517,28,0,789,5997,517,0 86,0,2024-09-07 09:37:51:156,128530,0.6,132201,0.7,252797,0.6,342516,2.25 86,1,2024-09-07 09:37:50:835,906799,906799,0,0,426268237617,4467482692,895505,9318,1976,366,391995,0 86,2,2024-09-07 09:37:50:871,650511,650510,1,0,34194368,0,5004 86,3,2024-09-07 09:37:50:594,1,517,5,0,308,7518,517,0 87,0,2024-09-07 09:37:51:319,133920,0.9,133781,0.9,267379,1.2,357909,2.25 87,1,2024-09-07 09:37:50:564,905603,905603,0,0,425015028827,4455602476,893406,10481,1716,366,392076,0 87,2,2024-09-07 09:37:51:114,655561,655555,6,0,30061694,0,6323 87,3,2024-09-07 09:37:51:806,1,517,1,0,473,7630,517,0 88,0,2024-09-07 09:37:51:470,128894,0.5,129538,0.6,258848,0.4,344690,2.00 88,1,2024-09-07 09:37:50:614,905187,905187,0,0,425784858182,4461107437,892548,10023,2616,365,392084,0 88,2,2024-09-07 09:37:50:703,656509,656509,0,0,32514648,0,4465 88,3,2024-09-07 09:37:51:273,1,517,54,0,435,6595,517,0 89,0,2024-09-07 09:37:51:786,131491,0.4,127493,0.6,251783,0.4,342044,1.75 89,1,2024-09-07 09:37:50:576,905757,905757,0,0,425795798468,4480091504,894348,9593,1816,382,391866,0 89,2,2024-09-07 09:37:51:132,650048,650048,0,0,30766513,0,3173 89,3,2024-09-07 09:37:51:799,1,517,4,0,468,8519,517,0 90,0,2024-09-07 09:37:51:653,123247,0.4,126732,0.6,258076,0.4,336983,1.75 90,1,2024-09-07 09:37:50:594,907117,907117,0,0,425477733478,4454139651,898611,7773,733,380,391825,0 90,2,2024-09-07 09:37:51:416,650425,650425,0,0,32964233,0,3608 90,3,2024-09-07 09:37:50:967,1,517,0,0,322,6263,517,0 91,0,2024-09-07 09:37:51:014,133253,0.5,129233,0.6,270235,0.4,355139,1.75 91,1,2024-09-07 09:37:50:602,905240,905240,0,0,424876017631,4468581607,893667,9561,2012,381,392047,0 91,2,2024-09-07 09:37:51:337,654543,654543,0,0,29117351,0,4713 91,3,2024-09-07 09:37:50:622,1,517,16,0,216,4912,517,0 92,0,2024-09-07 09:37:51:484,132336,0.5,135264,0.6,258031,0.5,349338,1.75 92,1,2024-09-07 09:37:50:591,907386,907386,0,0,426507263575,4456362753,899878,6302,1206,381,392136,0 92,2,2024-09-07 09:37:51:350,657576,657576,0,0,26293950,0,3259 92,3,2024-09-07 09:37:51:019,1,517,2,0,167,4522,517,0 93,0,2024-09-07 09:37:51:013,128394,0.4,131660,0.6,251284,0.3,340500,1.75 93,1,2024-09-07 09:37:50:826,907939,907939,0,0,426294940166,4451640112,897659,8547,1733,366,391776,0 93,2,2024-09-07 09:37:50:933,653136,653136,0,0,31962449,0,4913 93,3,2024-09-07 09:37:51:410,1,517,1,0,190,4705,517,0 94,0,2024-09-07 09:37:51:609,126069,0.3,126806,0.5,253388,0.3,336129,1.75 94,1,2024-09-07 09:37:50:574,908333,908333,0,0,427018387496,4453842817,902236,5724,373,381,391850,0 94,2,2024-09-07 09:37:50:788,652043,652015,28,0,27297062,0,6179 94,3,2024-09-07 09:37:51:698,1,517,0,0,576,6570,517,0 95,0,2024-09-07 09:37:51:391,130628,0.3,130383,0.5,261076,0.3,348433,1.75 95,1,2024-09-07 09:37:50:857,909426,909426,0,0,427220063115,4440486309,902077,6692,657,365,391786,0 95,2,2024-09-07 09:37:51:023,652435,652435,0,0,26036960,0,3308 95,3,2024-09-07 09:37:51:713,1,517,5,0,718,8036,517,0 96,0,2024-09-07 09:37:51:055,133420,0.4,133569,0.5,266944,0.3,354276,1.75 96,1,2024-09-07 09:37:51:583,907957,907957,0,0,425631236721,4440097318,901568,5476,913,384,391955,0 96,2,2024-09-07 09:37:51:285,657471,657471,0,0,27166003,0,4180 96,3,2024-09-07 09:37:51:145,1,517,0,0,411,5491,517,0 97,0,2024-09-07 09:37:51:332,127794,0.3,127619,0.5,256317,0.3,340057,1.50 97,1,2024-09-07 09:37:50:778,909720,909720,0,0,427719766888,4436951761,903970,4806,944,367,392140,0 97,2,2024-09-07 09:37:50:611,656701,656701,0,0,26641096,0,3679 97,3,2024-09-07 09:37:50:580,1,517,7,0,242,6007,517,0 98,0,2024-09-07 09:37:51:754,127123,0.3,127331,0.4,255283,0.2,339272,1.50 98,1,2024-09-07 09:37:50:622,908940,908940,0,0,426433407713,4441097071,903533,4607,800,381,391997,0 98,2,2024-09-07 09:37:50:771,651008,651008,0,0,26139496,0,4336 98,3,2024-09-07 09:37:50:705,1,517,8,0,840,8012,517,0 99,0,2024-09-07 09:37:51:499,129247,0.3,129915,0.5,258483,0.3,345378,1.75 99,1,2024-09-07 09:37:51:767,909048,909048,0,0,425621585496,4430816551,903083,5038,927,380,392069,0 99,2,2024-09-07 09:37:51:431,652992,652992,0,0,31449221,0,4276 99,3,2024-09-07 09:37:50:588,1,517,2,0,606,5217,517,0 100,0,2024-09-07 09:37:51:506,134397,0.8,134639,1.0,268686,1.0,359060,2.50 100,1,2024-09-07 09:37:50:577,904012,904012,0,0,424818803832,4493136537,889674,11239,3099,378,391989,0 100,2,2024-09-07 09:37:51:819,653841,653830,11,0,30991797,0,5417 100,3,2024-09-07 09:37:51:734,1,517,6,0,627,9378,517,0 101,0,2024-09-07 09:37:51:855,131213,1.3,127943,1.1,250701,1.3,343089,2.50 101,1,2024-09-07 09:37:50:571,905248,905248,0,0,425908197662,4476270619,892887,9960,2401,368,391847,0 101,2,2024-09-07 09:37:51:767,652025,652025,0,0,36008568,0,4871 101,3,2024-09-07 09:37:50:944,1,517,4,0,1250,7969,517,0 102,0,2024-09-07 09:37:51:123,124190,0.6,128045,0.7,259835,0.6,339841,2.00 102,1,2024-09-07 09:37:51:158,905422,905422,0,0,424886051821,4464334294,893212,10189,2021,369,391891,0 102,2,2024-09-07 09:37:51:736,650175,650121,54,0,30325234,0,6768 102,3,2024-09-07 09:37:51:617,1,517,3,0,466,6093,517,0 103,0,2024-09-07 09:37:51:641,131896,0.5,131817,0.7,248503,0.5,342142,2.00 103,1,2024-09-07 09:37:51:626,903987,903987,0,0,424800532825,4485186323,889947,10841,3199,381,391862,0 103,2,2024-09-07 09:37:50:591,651000,651000,0,0,32135712,0,3766 103,3,2024-09-07 09:37:50:758,1,517,1,0,916,6178,517,0 104,0,2024-09-07 09:37:51:046,132868,0.7,133120,0.9,265401,0.7,355622,2.25 104,1,2024-09-07 09:37:51:602,906850,906850,0,0,425241385354,4467660485,893798,10501,2551,365,392168,0 104,2,2024-09-07 09:37:51:666,652849,652849,0,0,30998178,0,3941 104,3,2024-09-07 09:37:51:417,1,517,1,0,1245,9126,517,0 105,0,2024-09-07 09:37:51:078,127970,1.0,124685,1.1,261387,1.2,343656,2.75 105,1,2024-09-07 09:37:50:600,907947,907947,0,0,426658169441,4470177914,896353,9826,1768,365,392009,0 105,2,2024-09-07 09:37:51:329,655438,655438,0,0,31174478,0,4360 105,3,2024-09-07 09:37:51:304,1,517,1,0,399,7441,517,0 106,0,2024-09-07 09:37:50:957,123172,0.7,126165,0.9,258608,0.8,337342,2.50 106,1,2024-09-07 09:37:51:752,906937,906937,0,0,425347973955,4463321004,894326,10963,1648,368,391914,0 106,2,2024-09-07 09:37:50:769,650889,650889,0,0,29360169,0,2920 106,3,2024-09-07 09:37:50:682,1,517,2,0,1224,7765,517,0 107,0,2024-09-07 09:37:51:239,126214,0.7,126464,0.8,252687,0.8,336936,2.00 107,1,2024-09-07 09:37:50:588,905095,905095,0,0,424724794524,4478488997,892195,11507,1393,381,392234,0 107,2,2024-09-07 09:37:51:296,650201,650200,1,0,32137058,0,5024 107,3,2024-09-07 09:37:51:757,1,517,11,0,733,7855,517,0 108,0,2024-09-07 09:37:51:828,131400,0.4,132137,0.6,262959,0.4,351397,1.75 108,1,2024-09-07 09:37:51:328,907728,907728,0,0,426956791296,4453708338,899819,6938,971,367,391857,0 108,2,2024-09-07 09:37:51:772,652685,652685,0,0,28773069,0,4246 108,3,2024-09-07 09:37:51:334,1,517,6,0,749,10296,517,0 109,0,2024-09-07 09:37:51:856,132568,0.4,131328,0.6,263319,0.4,351449,1.75 109,1,2024-09-07 09:37:50:588,905183,905183,0,0,425722715295,4466445480,896974,6993,1216,382,392132,0 109,2,2024-09-07 09:37:51:031,654784,654784,0,0,28732876,0,3617 109,3,2024-09-07 09:37:51:151,1,517,1,0,630,6503,517,0 110,0,2024-09-07 09:37:51:763,127398,0.4,123955,0.6,259695,0.3,340153,1.75 110,1,2024-09-07 09:37:51:648,908821,908821,0,0,427319138587,4438729432,901292,5607,1922,368,392045,0 110,2,2024-09-07 09:37:51:303,654871,654871,0,0,27182453,0,4067 110,3,2024-09-07 09:37:50:694,1,517,4,0,722,7213,517,0 111,0,2024-09-07 09:37:51:421,127642,0.3,126973,0.5,254268,0.3,338824,1.75 111,1,2024-09-07 09:37:51:000,910516,910516,0,0,428299582455,4440639309,905973,4186,357,380,391690,0 111,2,2024-09-07 09:37:51:115,651255,651255,0,0,27693846,0,4823 111,3,2024-09-07 09:37:50:924,1,517,1,0,379,5905,517,0 112,0,2024-09-07 09:37:50:915,130720,0.3,130152,0.4,261110,0.2,346715,1.50 112,1,2024-09-07 09:37:50:836,909005,909005,0,0,427102712529,4434419349,903108,5206,691,380,391624,0 112,2,2024-09-07 09:37:51:133,652384,652383,1,0,26344636,0,5036 112,3,2024-09-07 09:37:50:593,1,517,0,0,282,4967,517,0 113,0,2024-09-07 09:37:50:872,134082,0.3,133985,0.5,268163,0.3,356714,1.75 113,1,2024-09-07 09:37:51:685,911813,911813,0,0,428596388824,4423079060,906563,4437,813,365,391661,0 113,2,2024-09-07 09:37:51:303,659642,659642,0,0,24052904,0,3813 113,3,2024-09-07 09:37:50:688,1,517,1,0,340,5495,517,0 114,0,2024-09-07 09:37:50:872,128914,0.3,129770,0.5,257983,0.2,344817,1.75 114,1,2024-09-07 09:37:50:716,909050,909050,0,0,427533810150,4440267194,902144,5290,1616,381,391565,0 114,2,2024-09-07 09:37:50:873,657764,657763,1,0,25944365,0,5069 114,3,2024-09-07 09:37:51:285,1,517,1,0,395,4238,517,0 115,0,2024-09-07 09:37:50:560,127875,0.3,128452,0.4,256092,0.2,340474,1.50 115,1,2024-09-07 09:37:50:571,909769,909769,0,0,427161671595,4439270401,902369,6043,1357,382,391757,0 115,2,2024-09-07 09:37:51:125,653306,653306,0,0,25427793,0,4382 115,3,2024-09-07 09:37:51:003,1,517,1,0,167,3009,517,0 116,0,2024-09-07 09:37:51:865,128300,0.6,128251,0.8,256826,0.6,343550,2.00 116,1,2024-09-07 09:37:50:845,905135,905135,0,0,425662555614,4486365279,894488,8202,2445,380,392089,0 116,2,2024-09-07 09:37:51:766,650972,650972,0,0,32563831,0,4475 116,3,2024-09-07 09:37:50:922,1,517,19,0,415,6420,517,0 117,0,2024-09-07 09:37:51:085,134309,0.8,133681,0.8,267928,0.8,358481,2.00 117,1,2024-09-07 09:37:51:583,905876,905876,0,0,426006509057,4459814030,895886,8765,1225,369,392033,0 117,2,2024-09-07 09:37:51:118,657557,657557,0,0,28485896,0,4303 117,3,2024-09-07 09:37:51:062,1,517,1,0,490,7109,517,0 118,0,2024-09-07 09:37:51:788,125143,0.6,128631,0.7,262221,0.6,343224,2.00 118,1,2024-09-07 09:37:50:586,905612,905612,0,0,424946056791,4468037185,892114,10377,3121,366,392054,0 118,2,2024-09-07 09:37:51:590,655393,655393,0,0,31101843,0,2842 118,3,2024-09-07 09:37:51:772,1,517,2,0,248,5889,517,0 119,0,2024-09-07 09:37:51:363,127470,0.6,127871,0.8,255644,0.6,340264,2.00 119,1,2024-09-07 09:37:50:558,906464,906464,0,0,426411052186,4468851861,894738,9959,1767,367,391857,0 119,2,2024-09-07 09:37:51:275,651091,651091,0,0,28879018,0,4174 119,3,2024-09-07 09:37:51:337,1,517,8,0,1358,9733,517,0 120,0,2024-09-07 09:37:51:553,126363,0.6,126073,0.8,253379,0.6,337714,2.25 120,1,2024-09-07 09:37:50:868,907001,907001,0,0,425668363466,4461277041,897710,8512,779,368,392144,0 120,2,2024-09-07 09:37:50:775,651503,651502,1,0,33359728,0,5281 120,3,2024-09-07 09:37:51:295,1,517,1,0,279,6491,517,0 121,0,2024-09-07 09:37:51:719,133015,1.3,132393,1.1,265326,1.8,354206,2.50 121,1,2024-09-07 09:37:51:671,907407,907407,0,0,425871356383,4451786113,898675,8031,701,367,391840,0 121,2,2024-09-07 09:37:51:133,652806,652806,0,0,30627263,0,4157 121,3,2024-09-07 09:37:50:742,1,517,32,0,330,6242,517,0 122,0,2024-09-07 09:37:51:788,130347,0.9,126808,0.9,265714,1.0,349205,2.25 122,1,2024-09-07 09:37:50:869,905874,905874,0,0,425923434531,4467876175,893436,10553,1885,366,392130,0 122,2,2024-09-07 09:37:51:320,657296,657221,75,0,34936325,0,5989 122,3,2024-09-07 09:37:50:596,1,517,1,0,512,8766,517,0 123,0,2024-09-07 09:37:50:962,127173,0.8,123782,0.9,259196,0.9,339105,2.25 123,1,2024-09-07 09:37:50:558,906359,906359,0,0,425380697366,4475527029,891212,12643,2504,369,392039,0 123,2,2024-09-07 09:37:51:019,651029,651028,1,0,29641483,0,5215 123,3,2024-09-07 09:37:51:132,1,517,10,0,478,6045,517,0 124,0,2024-09-07 09:37:50:950,130157,0.3,130105,0.5,245283,0.3,337167,1.75 124,1,2024-09-07 09:37:51:023,909487,909487,0,0,426448515174,4433759605,902680,5676,1131,365,392178,0 124,2,2024-09-07 09:37:51:010,653117,653064,53,0,27020267,0,6487 124,3,2024-09-07 09:37:50:767,1,517,1,0,490,5416,517,0 125,0,2024-09-07 09:37:51:431,130306,0.4,130024,0.6,261465,0.3,348289,1.75 125,1,2024-09-07 09:37:50:873,907964,907964,0,0,426612932837,4453243291,900990,5992,982,382,391813,0 125,2,2024-09-07 09:37:51:115,655196,655196,0,0,27071295,0,4534 125,3,2024-09-07 09:37:51:125,1,517,1,0,709,6161,517,0 126,0,2024-09-07 09:37:51:511,133392,0.4,137126,0.6,262307,0.4,354831,1.75 126,1,2024-09-07 09:37:50:568,910607,910607,0,0,427444441356,4424628680,905936,4361,310,365,391987,0 126,2,2024-09-07 09:37:50:628,657813,657813,0,0,27939455,0,4539 126,3,2024-09-07 09:37:50:908,1,517,1,0,268,5807,517,0 127,0,2024-09-07 09:37:51:598,127996,0.3,128386,0.5,256170,0.3,340302,1.75 127,1,2024-09-07 09:37:50:579,909113,909113,0,0,426397439752,4438056467,899746,7863,1504,364,392187,0 127,2,2024-09-07 09:37:50:638,654921,654917,4,0,26606991,0,5305 127,3,2024-09-07 09:37:51:277,1,517,0,0,968,5178,517,0 128,0,2024-09-07 09:37:51:552,127969,0.3,128090,0.4,255718,0.2,340158,1.50 128,1,2024-09-07 09:37:51:607,908133,908133,0,0,426889629432,4435347396,901658,5740,735,367,392031,0 128,2,2024-09-07 09:37:51:385,652380,652380,0,0,24852523,0,3171 128,3,2024-09-07 09:37:50:770,1,517,4,0,1082,8327,517,0 129,0,2024-09-07 09:37:51:026,130337,0.3,129790,0.5,259946,0.3,345808,1.50 129,1,2024-09-07 09:37:50:571,905794,905794,0,0,424914159648,4454405316,896768,7081,1945,379,391962,0 129,2,2024-09-07 09:37:50:691,653265,653261,4,0,27174604,0,5335 129,3,2024-09-07 09:37:50:690,1,517,3,0,506,7304,517,0 130,0,2024-09-07 09:37:51:719,135511,0.5,134967,0.6,270810,0.4,360552,1.75 130,1,2024-09-07 09:37:50:583,908782,908782,0,0,426675008939,4432800995,903991,4403,388,381,391825,0 130,2,2024-09-07 09:37:51:125,657335,657335,0,0,27186688,0,4067 130,3,2024-09-07 09:37:51:291,1,517,1,0,960,7292,517,0 131,0,2024-09-07 09:37:52:006,128870,0.4,129241,0.5,258991,0.3,344054,1.75 131,1,2024-09-07 09:37:51:835,908388,908388,0,0,426537699296,4450326740,901510,5686,1192,381,391865,0 131,2,2024-09-07 09:37:50:571,657281,657281,0,0,25220779,0,3979 131,3,2024-09-07 09:37:51:695,1,517,1,0,392,6348,517,0 132,0,2024-09-07 09:37:51:419,127791,0.4,128837,0.6,256380,0.4,341008,2.00 132,1,2024-09-07 09:37:50:586,904749,904749,0,0,424697629546,4468764981,892266,10288,2195,381,392532,0 132,2,2024-09-07 09:37:50:731,650123,650106,17,0,33008049,0,6451 132,3,2024-09-07 09:37:51:693,1,517,1,0,804,8727,517,0 133,0,2024-09-07 09:37:51:522,124442,0.4,127365,0.6,261267,0.3,340184,1.75 133,1,2024-09-07 09:37:50:594,904515,904515,0,0,425102256650,4481021106,892006,10818,1691,383,391914,0 133,2,2024-09-07 09:37:51:105,652630,652580,50,0,33409872,0,6861 133,3,2024-09-07 09:37:51:301,1,517,2,0,479,6213,517,0 134,0,2024-09-07 09:37:50:937,134193,0.5,134099,0.7,268540,0.5,357869,2.25 134,1,2024-09-07 09:37:50:593,906399,906399,0,0,425330390786,4459333920,895016,9237,2146,366,391718,0 134,2,2024-09-07 09:37:51:756,654174,654150,24,0,31424042,0,6207 134,3,2024-09-07 09:37:50:767,1,517,1,0,739,6316,517,0 135,0,2024-09-07 09:37:51:104,125422,1.0,125341,0.9,266079,1.2,343126,2.25 135,1,2024-09-07 09:37:51:592,905795,905795,0,0,426054170251,4480633106,894163,10066,1566,380,391805,0 135,2,2024-09-07 09:37:50:687,656898,656898,0,0,31739815,0,4503 135,3,2024-09-07 09:37:51:009,1,517,1,0,900,5274,517,0 136,0,2024-09-07 09:37:51:616,128064,0.5,128254,0.7,255347,0.5,340503,2.00 136,1,2024-09-07 09:37:51:467,907373,907373,0,0,425285004722,4458689690,896997,9108,1268,381,392135,0 136,2,2024-09-07 09:37:51:132,653050,653035,15,0,30746321,0,6007 136,3,2024-09-07 09:37:51:106,1,517,10,0,637,6245,517,0 137,0,2024-09-07 09:37:50:938,130411,0.5,127129,0.7,249095,0.5,338181,2.00 137,1,2024-09-07 09:37:50:593,905476,905476,0,0,425019726521,4455019801,892045,10759,2672,366,391898,0 137,2,2024-09-07 09:37:51:705,651152,651152,0,0,32217032,0,3185 137,3,2024-09-07 09:37:50:771,1,517,62,0,484,6585,517,0 138,0,2024-09-07 09:37:51:751,131039,0.8,131241,0.9,263091,1.0,349849,2.00 138,1,2024-09-07 09:37:51:687,906146,906146,0,0,425832610478,4466092488,893412,10765,1969,368,391954,0 138,2,2024-09-07 09:37:50:587,652389,652389,0,0,29730154,0,4988 138,3,2024-09-07 09:37:50:611,1,517,3,0,1200,8400,517,0 139,0,2024-09-07 09:37:51:365,130741,1.3,131157,1.0,262457,1.9,349808,2.50 139,1,2024-09-07 09:37:50:573,902102,902102,0,0,424538193826,4501965465,886162,12478,3462,380,392058,0 139,2,2024-09-07 09:37:50:692,652639,652639,0,0,33226610,0,3097 139,3,2024-09-07 09:37:51:662,1,517,1,0,432,5975,517,0 140,0,2024-09-07 09:37:51:587,128159,0.3,127386,0.5,255452,0.2,340400,1.75 140,1,2024-09-07 09:37:51:536,911530,911530,0,0,429159654164,4424140936,906949,4043,538,364,391606,0 140,2,2024-09-07 09:37:50:692,655912,655911,1,0,25378687,0,5036 140,3,2024-09-07 09:37:50:775,1,517,10,0,297,4857,517,0 141,0,2024-09-07 09:37:51:701,127520,0.3,130827,0.4,249894,0.2,338458,1.50 141,1,2024-09-07 09:37:50:865,909688,909688,0,0,427826034585,4443861908,902582,6021,1085,379,391614,0 141,2,2024-09-07 09:37:51:687,651866,651855,11,0,26872184,0,5369 141,3,2024-09-07 09:37:51:046,1,517,2,0,391,5900,517,0 142,0,2024-09-07 09:37:51:314,130949,0.3,130006,0.5,260591,0.3,347650,1.50 142,1,2024-09-07 09:37:50:640,908324,908324,0,0,427094595419,4451449097,902663,5202,459,382,392102,0 142,2,2024-09-07 09:37:51:311,651815,651783,32,0,27464375,0,6028 142,3,2024-09-07 09:37:51:751,1,517,0,0,484,5423,517,0 143,0,2024-09-07 09:37:51:376,133909,0.4,133827,0.6,268552,0.4,356656,1.75 143,1,2024-09-07 09:37:50:563,909931,909931,0,0,427280646609,4434624273,903851,5524,556,367,391722,0 143,2,2024-09-07 09:37:50:769,658123,658123,0,0,26970162,0,3123 143,3,2024-09-07 09:37:51:145,1,517,0,0,462,6518,517,0 144,0,2024-09-07 09:37:51:545,124633,0.6,128183,0.8,260719,0.6,341737,2.00 144,1,2024-09-07 09:37:50:571,905589,905589,0,0,424873032779,4459664943,896203,7420,1966,381,391733,0 144,2,2024-09-07 09:37:51:767,656777,656777,0,0,25777176,0,3673 144,3,2024-09-07 09:37:51:740,1,517,1,0,249,5079,517,0 145,0,2024-09-07 09:37:51:373,123359,0.5,123401,0.8,261839,0.5,337163,2.25 145,1,2024-09-07 09:37:50:558,904790,904790,0,0,425314936757,4478061471,892421,9903,2466,382,391759,0 145,2,2024-09-07 09:37:51:429,648245,648163,82,0,31743759,0,7814 145,3,2024-09-07 09:37:50:897,1,517,21,0,622,7200,517,0 146,0,2024-09-07 09:37:51:593,128599,0.5,128057,0.7,257112,0.5,341940,2.00 146,1,2024-09-07 09:37:51:585,906149,906149,0,0,425503370270,4473780261,891707,10641,3801,367,391770,0 146,2,2024-09-07 09:37:51:695,650899,650893,6,0,29845378,0,5151 146,3,2024-09-07 09:37:51:274,1,517,1,0,1520,8816,517,0 147,0,2024-09-07 09:37:51:728,134183,0.6,133879,0.8,267465,0.6,357817,2.25 147,1,2024-09-07 09:37:51:377,909596,909596,0,0,428013529697,4447348582,902313,6404,879,367,391791,0 147,2,2024-09-07 09:37:51:011,655336,655336,0,0,26734883,0,2968 147,3,2024-09-07 09:37:50:924,1,517,2,0,1626,8456,517,0 0,0,2024-09-07 09:38:01:729,123660,0.5,123602,0.7,262311,0.6,338967,2.00 0,1,2024-09-07 09:38:00:801,908192,908192,0,0,426233930077,4463692121,900682,6670,840,369,391896,0 0,2,2024-09-07 09:38:01:067,654921,654921,0,0,26822184,0,4480 0,3,2024-09-07 09:38:00:982,1,518,5,0,431,7199,518,0 1,0,2024-09-07 09:38:01:784,133169,1.0,132461,1.0,265883,1.2,355650,2.00 1,1,2024-09-07 09:38:00:559,907513,907513,0,0,425608369148,4460749674,898799,7247,1467,370,391859,0 1,2,2024-09-07 09:38:00:644,655759,655759,0,0,26250628,0,3380 1,3,2024-09-07 09:38:01:302,1,518,2,0,269,6513,518,0 2,0,2024-09-07 09:38:01:565,130578,0.6,130621,0.8,261017,0.7,348023,2.00 2,1,2024-09-07 09:38:00:859,910498,910498,0,0,427750671274,4445710531,905526,4055,917,379,391745,0 2,2,2024-09-07 09:38:01:271,659023,659023,0,0,24928836,0,3594 2,3,2024-09-07 09:38:00:690,1,518,1,0,357,4745,518,0 3,0,2024-09-07 09:38:01:741,127759,0.4,127485,0.6,254649,0.3,339361,2.00 3,1,2024-09-07 09:38:01:624,909316,909316,0,0,427547840097,4451408697,901847,6766,703,379,391716,0 3,2,2024-09-07 09:38:01:142,654537,654514,23,0,25954346,0,5851 3,3,2024-09-07 09:38:01:751,1,518,1,0,207,3242,518,0 4,0,2024-09-07 09:38:01:762,122723,0.4,126102,0.5,257123,0.3,336132,1.75 4,1,2024-09-07 09:38:00:590,905549,905549,0,0,425236641964,4505759431,889205,12658,3686,370,391992,0 4,2,2024-09-07 09:38:01:022,650479,650479,0,0,31799018,0,4534 4,3,2024-09-07 09:38:01:026,1,518,3,0,448,6697,518,0 5,0,2024-09-07 09:38:01:379,130756,0.4,131199,0.6,262146,0.4,349054,1.75 5,1,2024-09-07 09:38:00:759,906837,906837,0,0,427265894720,4497246078,894094,10329,2414,367,392005,0 5,2,2024-09-07 09:38:01:847,651317,651317,0,0,30770797,0,3582 5,3,2024-09-07 09:38:01:732,1,518,1,0,457,7080,518,0 6,0,2024-09-07 09:38:00:922,133305,0.5,132873,0.7,266152,0.5,354368,2.00 6,1,2024-09-07 09:38:00:746,909087,909087,0,0,426892635216,4458949573,899568,8063,1456,379,391702,0 6,2,2024-09-07 09:38:01:125,658007,657989,18,0,29888418,0,5535 6,3,2024-09-07 09:38:01:274,1,518,5,0,710,6240,518,0 7,0,2024-09-07 09:38:01:529,127223,0.5,128035,0.7,254988,0.5,339239,2.00 7,1,2024-09-07 09:38:00:850,907321,907321,0,0,426210949211,4476968599,894396,10693,2232,382,391747,0 7,2,2024-09-07 09:38:00:776,656248,656248,0,0,29077344,0,4791 7,3,2024-09-07 09:38:00:852,1,518,10,0,552,5897,518,0 8,0,2024-09-07 09:38:01:345,127950,0.3,127563,0.5,255978,0.3,340541,1.75 8,1,2024-09-07 09:38:01:038,905642,905642,0,0,425972044574,4477606800,891448,11064,3130,366,392853,0 8,2,2024-09-07 09:38:00:815,647873,647871,2,0,34515270,0,5112 8,3,2024-09-07 09:38:00:585,1,518,2,0,538,7810,518,0 9,0,2024-09-07 09:38:01:136,129684,0.3,126062,0.5,264017,0.3,346422,1.75 9,1,2024-09-07 09:38:00:554,905981,905981,0,0,426011257816,4486859848,892509,10821,2651,369,392001,0 9,2,2024-09-07 09:38:01:082,652265,652264,1,0,31213774,0,5281 9,3,2024-09-07 09:38:01:759,1,518,1,0,1273,8665,518,0 10,0,2024-09-07 09:38:01:601,134786,0.4,134375,0.5,269587,0.3,358828,1.75 10,1,2024-09-07 09:38:00:588,908449,908449,0,0,425859413407,4461955478,896090,10269,2090,381,391869,0 10,2,2024-09-07 09:38:00:761,657927,657927,0,0,33891369,0,4264 10,3,2024-09-07 09:38:00:872,1,518,0,0,649,5329,518,0 11,0,2024-09-07 09:38:01:011,128835,0.5,125054,0.7,261418,0.4,344661,1.75 11,1,2024-09-07 09:38:00:571,909284,909284,0,0,426969871950,4477416949,895808,10014,3462,383,391756,0 11,2,2024-09-07 09:38:01:123,656793,656793,0,0,29934723,0,4130 11,3,2024-09-07 09:38:01:299,1,518,1,0,843,7052,518,0 12,0,2024-09-07 09:38:00:950,128682,0.4,128670,0.5,257293,0.3,341895,1.75 12,1,2024-09-07 09:38:00:939,908775,908775,0,0,426775101459,4452708571,901111,6783,881,370,391960,0 12,2,2024-09-07 09:38:01:546,651393,651393,0,0,29611466,0,4390 12,3,2024-09-07 09:38:01:058,1,518,1,0,386,7018,518,0 13,0,2024-09-07 09:38:01:383,128980,0.4,128755,0.6,256762,0.4,342702,1.75 13,1,2024-09-07 09:38:01:524,906736,906736,0,0,425805346507,4476094828,897110,7332,2294,382,391740,0 13,2,2024-09-07 09:38:00:599,655247,655247,0,0,26558594,0,3287 13,3,2024-09-07 09:38:01:762,1,518,1,0,522,7253,518,0 14,0,2024-09-07 09:38:00:562,134321,0.4,135273,0.6,268388,0.4,357599,1.75 14,1,2024-09-07 09:38:01:561,913153,913153,0,0,429522144250,4444453130,906246,6179,728,364,391673,0 14,2,2024-09-07 09:38:00:779,657013,656983,30,0,27974234,0,6104 14,3,2024-09-07 09:38:01:124,1,518,2,0,1168,5862,518,0 15,0,2024-09-07 09:38:01:554,129302,0.5,128784,0.7,258526,0.4,344781,2.00 15,1,2024-09-07 09:38:01:616,910338,910338,0,0,427560715859,4453429253,902606,6247,1485,381,391619,0 15,2,2024-09-07 09:38:00:999,660198,660198,0,0,23538028,0,3622 15,3,2024-09-07 09:38:01:405,1,518,2,0,1126,7311,518,0 16,0,2024-09-07 09:38:00:997,127656,0.5,128272,0.7,255842,0.5,340312,2.00 16,1,2024-09-07 09:38:00:568,910001,910001,0,0,426868009608,4463984298,901585,7115,1301,370,392194,0 16,2,2024-09-07 09:38:01:443,652217,652217,0,0,27791004,0,4719 16,3,2024-09-07 09:38:01:142,1,518,1,0,358,6531,518,0 17,0,2024-09-07 09:38:01:786,130697,0.5,127397,0.7,249623,0.5,338782,2.00 17,1,2024-09-07 09:38:00:589,907835,907835,0,0,426546324604,4475544703,898542,7546,1747,368,392075,0 17,2,2024-09-07 09:38:01:667,656214,656213,1,0,28581584,0,5050 17,3,2024-09-07 09:38:00:581,1,518,1,0,518,7814,518,0 18,0,2024-09-07 09:38:00:942,130671,0.6,131676,0.8,262351,0.6,350553,2.25 18,1,2024-09-07 09:38:01:638,912103,912103,0,0,427848897737,4438541829,905992,5114,997,367,391725,0 18,2,2024-09-07 09:38:01:756,657364,657364,0,0,25374625,0,3541 18,3,2024-09-07 09:38:00:897,1,518,1,0,1059,4908,518,0 19,0,2024-09-07 09:38:01:538,131345,0.7,131764,0.8,261922,0.7,348756,2.25 19,1,2024-09-07 09:38:00:573,911117,911117,0,0,428659610387,4445179158,904129,5904,1084,367,391777,0 19,2,2024-09-07 09:38:01:751,660865,660865,0,0,23845949,0,3988 19,3,2024-09-07 09:38:01:129,1,518,1,0,524,4236,518,0 20,0,2024-09-07 09:38:01:355,127422,0.5,127736,0.7,254917,0.5,340006,2.00 20,1,2024-09-07 09:38:00:583,908286,908286,0,0,426783538280,4471568061,899089,7832,1365,369,391922,0 20,2,2024-09-07 09:38:00:929,654750,654750,0,0,28947809,0,3721 20,3,2024-09-07 09:38:00:594,1,518,7,0,468,8894,518,0 21,0,2024-09-07 09:38:01:135,127241,0.4,127372,0.6,254743,0.4,337497,1.75 21,1,2024-09-07 09:38:01:536,906892,906892,0,0,425832294954,4494329106,892742,11031,3119,368,392016,0 21,2,2024-09-07 09:38:01:067,649478,649458,20,0,33874766,0,5617 21,3,2024-09-07 09:38:01:405,1,518,3,0,713,6732,518,0 22,0,2024-09-07 09:38:01:729,130360,0.4,130893,0.6,261164,0.4,346747,2.00 22,1,2024-09-07 09:38:01:023,907515,907515,0,0,426031521168,4485650034,892287,12001,3227,382,391822,0 22,2,2024-09-07 09:38:00:761,652390,652364,26,0,28387679,0,6328 22,3,2024-09-07 09:38:01:067,1,518,4,0,228,4428,518,0 23,0,2024-09-07 09:38:01:373,133679,0.5,133248,0.7,266523,0.5,355151,2.25 23,1,2024-09-07 09:38:01:005,908541,908541,0,0,426755291089,4479658196,892881,11252,4408,365,391690,0 23,2,2024-09-07 09:38:01:095,659207,659207,0,0,27108930,0,3773 23,3,2024-09-07 09:38:01:754,1,518,1,0,855,7656,518,0 24,0,2024-09-07 09:38:00:863,129645,0.4,128912,0.6,259301,0.4,344174,1.75 24,1,2024-09-07 09:38:00:592,907424,907424,0,0,425854535539,4458976746,898465,7285,1674,367,392269,0 24,2,2024-09-07 09:38:01:071,656801,656801,0,0,32076911,0,4438 24,3,2024-09-07 09:38:01:686,1,518,11,0,468,6620,518,0 25,0,2024-09-07 09:38:01:370,131342,0.4,127958,0.6,250934,0.3,341935,1.75 25,1,2024-09-07 09:38:00:558,906680,906680,0,0,426099545222,4494806764,891732,11976,2972,369,391928,0 25,2,2024-09-07 09:38:01:616,650481,650481,0,0,33088488,0,3978 25,3,2024-09-07 09:38:01:003,1,518,0,0,532,5690,518,0 26,0,2024-09-07 09:38:01:725,128396,0.4,125683,0.6,263804,0.3,343390,1.75 26,1,2024-09-07 09:38:01:546,909547,909547,0,0,426062615659,4461646593,896708,10387,2452,380,391748,0 26,2,2024-09-07 09:38:00:861,652961,652961,0,0,34997512,0,4689 26,3,2024-09-07 09:38:01:719,1,518,1,0,796,5719,518,0 27,0,2024-09-07 09:38:01:738,134643,0.4,135157,0.6,268778,0.4,359077,2.25 27,1,2024-09-07 09:38:01:676,910302,910302,0,0,428510665591,4462778525,901750,7283,1269,381,391626,0 27,2,2024-09-07 09:38:00:875,654846,654781,65,0,30511188,0,5699 27,3,2024-09-07 09:38:01:022,1,518,1,0,564,4527,518,0 28,0,2024-09-07 09:38:01:388,129717,0.4,129293,0.6,259514,0.3,345935,2.00 28,1,2024-09-07 09:38:00:797,910606,910606,0,0,427924687906,4461625097,902834,6058,1714,382,391698,0 28,2,2024-09-07 09:38:01:764,658140,658140,0,0,26928557,0,2915 28,3,2024-09-07 09:38:01:776,1,518,1,0,502,5225,518,0 29,0,2024-09-07 09:38:01:359,131464,0.3,128119,0.6,251285,0.3,342475,1.75 29,1,2024-09-07 09:38:01:561,912707,912707,0,0,428496301886,4432623865,907151,4794,762,367,391809,0 29,2,2024-09-07 09:38:00:860,651591,651591,0,0,26243762,0,4986 29,3,2024-09-07 09:38:00:966,1,518,1,0,459,5711,518,0 30,0,2024-09-07 09:38:01:462,126856,0.5,123326,0.6,258363,0.4,337828,2.00 30,1,2024-09-07 09:38:00:572,912358,912358,0,0,428959358484,4452323956,905382,6074,902,380,391672,0 30,2,2024-09-07 09:38:01:274,654239,654239,0,0,25257589,0,4192 30,3,2024-09-07 09:38:00:581,1,518,1,0,519,5043,518,0 31,0,2024-09-07 09:38:01:805,133096,0.5,133387,0.6,266428,0.4,355952,2.00 31,1,2024-09-07 09:38:00:564,915235,915235,0,0,430538315331,4420394282,909911,4313,1011,356,391712,0 31,2,2024-09-07 09:38:01:282,654916,654916,0,0,27906575,0,4470 31,3,2024-09-07 09:38:01:711,1,518,1,0,220,4405,518,0 32,0,2024-09-07 09:38:01:416,131303,0.4,131917,0.5,262639,0.3,349790,1.75 32,1,2024-09-07 09:38:00:807,911640,911640,0,0,428547865470,4455121815,905839,5093,708,381,391646,0 32,2,2024-09-07 09:38:00:935,659477,659477,0,0,24459882,0,3922 32,3,2024-09-07 09:38:01:015,1,518,6,0,304,4256,518,0 33,0,2024-09-07 09:38:01:500,128213,0.3,127805,0.4,255824,0.2,340413,1.50 33,1,2024-09-07 09:38:00:581,912289,912289,0,0,428579494616,4440510659,905060,6055,1174,368,391730,0 33,2,2024-09-07 09:38:00:760,655409,655374,35,0,27013412,0,7012 33,3,2024-09-07 09:38:00:905,1,518,9,0,329,4860,518,0 34,0,2024-09-07 09:38:00:932,126838,0.3,130285,0.4,248992,0.2,335439,1.75 34,1,2024-09-07 09:38:01:044,913894,913894,0,0,429556887309,4418311888,911385,2487,22,367,391562,0 34,2,2024-09-07 09:38:00:766,654913,654913,0,0,25515696,0,4562 34,3,2024-09-07 09:38:01:688,1,518,1,0,320,4009,518,0 35,0,2024-09-07 09:38:00:869,130220,0.3,130893,0.5,262817,0.2,349733,1.75 35,1,2024-09-07 09:38:01:068,910943,910943,0,0,427608583555,4437038430,904286,5444,1213,382,391769,0 35,2,2024-09-07 09:38:01:588,654131,654131,0,0,28070549,0,4055 35,3,2024-09-07 09:38:00:908,1,518,1,0,418,4859,518,0 36,0,2024-09-07 09:38:01:517,133654,0.5,133566,0.7,267090,0.5,355156,2.25 36,1,2024-09-07 09:38:00:583,910434,910434,0,0,427115984922,4462842413,898151,10063,2220,366,391759,0 36,2,2024-09-07 09:38:01:749,658320,658320,0,0,29555951,0,3875 36,3,2024-09-07 09:38:00:876,1,518,3,0,416,7159,518,0 37,0,2024-09-07 09:38:01:374,127481,0.5,127389,0.7,254982,0.5,339842,2.25 37,1,2024-09-07 09:38:00:569,908870,908863,0,7,427574837683,4466294233,897746,8442,2675,365,391770,0 37,2,2024-09-07 09:38:01:141,654632,654617,15,0,30020498,0,5815 37,3,2024-09-07 09:38:01:774,1,518,4,0,888,7339,518,0 38,0,2024-09-07 09:38:01:439,127073,0.4,123234,0.6,257904,0.3,337737,2.00 38,1,2024-09-07 09:38:01:616,910268,910268,0,0,427500583661,4470526532,897039,10710,2519,368,391821,0 38,2,2024-09-07 09:38:00:760,651043,650996,47,0,30068335,0,6710 38,3,2024-09-07 09:38:01:004,1,518,4,0,689,6684,518,0 39,0,2024-09-07 09:38:01:760,132802,0.5,129991,0.7,253119,0.5,346068,2.00 39,1,2024-09-07 09:38:00:716,908307,908307,0,0,426867178363,4480075535,892512,12326,3469,365,391865,0 39,2,2024-09-07 09:38:01:418,654272,654272,0,0,27787310,0,3391 39,3,2024-09-07 09:38:00:713,1,518,82,0,525,6266,518,0 40,0,2024-09-07 09:38:01:511,133492,0.9,134155,1.0,267877,1.1,357034,2.75 40,1,2024-09-07 09:38:00:581,910822,910822,0,0,426412264766,4460045757,898803,9840,2179,368,391668,0 40,2,2024-09-07 09:38:01:312,656357,656356,1,0,33040266,0,5137 40,3,2024-09-07 09:38:01:142,1,518,3,0,1028,7372,518,0 41,0,2024-09-07 09:38:01:027,128252,1.3,131026,1.2,250005,1.9,340539,3.00 41,1,2024-09-07 09:38:00:768,908637,908637,0,0,426681388208,4464522579,896765,9938,1934,369,391878,0 41,2,2024-09-07 09:38:00:767,654970,654969,1,0,31915005,0,5408 41,3,2024-09-07 09:38:01:676,1,518,42,0,366,5386,518,0 42,0,2024-09-07 09:38:01:477,127278,0.8,126839,1.0,254137,0.8,336817,2.50 42,1,2024-09-07 09:38:01:439,906124,906124,0,0,425246012656,4472198897,891115,12101,2908,380,391675,0 42,2,2024-09-07 09:38:01:134,650897,650897,0,0,30833415,0,3975 42,3,2024-09-07 09:38:01:012,1,518,1,0,892,4694,518,0 43,0,2024-09-07 09:38:00:921,126972,0.7,123696,0.9,258867,0.7,339854,2.25 43,1,2024-09-07 09:38:00:578,909454,909454,0,0,427785605002,4470081902,896585,10609,2260,366,391696,0 43,2,2024-09-07 09:38:01:735,653968,653968,0,0,30367555,0,4723 43,3,2024-09-07 09:38:01:748,1,518,1,0,571,7224,518,0 44,0,2024-09-07 09:38:00:864,134344,0.4,134391,0.6,269429,0.4,358157,2.00 44,1,2024-09-07 09:38:00:563,912045,912045,0,0,428440029321,4427618735,904248,6210,1587,356,391809,0 44,2,2024-09-07 09:38:01:280,654850,654850,0,0,25199805,0,4344 44,3,2024-09-07 09:38:01:100,1,518,2,0,817,5648,518,0 45,0,2024-09-07 09:38:01:758,128120,0.5,125101,0.7,262498,0.5,344983,2.00 45,1,2024-09-07 09:38:01:007,910691,910691,0,0,429148590521,4460626319,903180,6635,876,382,391917,0 45,2,2024-09-07 09:38:01:280,659218,659218,0,0,25538220,0,3596 45,3,2024-09-07 09:38:00:934,1,518,2,0,271,4544,518,0 46,0,2024-09-07 09:38:00:960,127240,0.5,126713,0.7,254314,0.4,337526,2.00 46,1,2024-09-07 09:38:00:578,912596,912596,0,0,428287497303,4426689619,906194,5539,863,366,391709,0 46,2,2024-09-07 09:38:00:593,653627,653627,0,0,25723660,0,4443 46,3,2024-09-07 09:38:01:131,1,518,1,0,908,6318,518,0 47,0,2024-09-07 09:38:01:111,126956,0.4,127093,0.6,254904,0.3,337828,1.75 47,1,2024-09-07 09:38:00:567,913245,913245,0,0,428964626638,4430925906,908019,4387,839,365,391641,0 47,2,2024-09-07 09:38:00:908,656846,656846,0,0,25544835,0,4477 47,3,2024-09-07 09:38:01:114,1,518,2,0,600,5900,518,0 48,0,2024-09-07 09:38:01:488,132472,0.3,132531,0.4,264355,0.2,352582,1.50 48,1,2024-09-07 09:38:01:028,910981,910981,0,0,428098809055,4448114590,905191,5217,573,384,391710,0 48,2,2024-09-07 09:38:00:699,655332,655332,0,0,23551499,0,3411 48,3,2024-09-07 09:38:00:754,1,518,2,0,339,4152,518,0 49,0,2024-09-07 09:38:01:713,135426,0.4,132893,0.5,258288,0.3,352753,1.75 49,1,2024-09-07 09:38:01:020,910557,910557,0,0,427783500529,4447285533,904991,4355,1211,382,391809,0 49,2,2024-09-07 09:38:01:797,659631,659631,0,0,26182335,0,4426 49,3,2024-09-07 09:38:01:417,1,518,0,0,992,6063,518,0 50,0,2024-09-07 09:38:01:509,128311,0.3,126607,0.5,255160,0.2,339689,1.75 50,1,2024-09-07 09:38:01:014,913839,913839,0,0,429690695723,4442914332,907895,5277,667,368,391565,0 50,2,2024-09-07 09:38:01:068,655107,655107,0,0,23956592,0,4490 50,3,2024-09-07 09:38:01:292,1,518,1,0,617,5434,518,0 51,0,2024-09-07 09:38:01:685,130566,0.3,127783,0.4,248905,0.2,338935,1.75 51,1,2024-09-07 09:38:01:682,914069,914069,0,0,430131283447,4435157866,909304,3710,1055,365,391706,0 51,2,2024-09-07 09:38:01:316,653530,653530,0,0,23194948,0,3337 51,3,2024-09-07 09:38:01:028,1,518,5,0,678,3774,518,0 52,0,2024-09-07 09:38:01:431,130916,0.5,130515,0.7,261366,0.4,347550,2.00 52,1,2024-09-07 09:38:00:581,910152,910152,0,0,426776215475,4469207858,896925,11122,2105,368,391722,0 52,2,2024-09-07 09:38:01:755,650436,650398,38,0,30946679,0,6742 52,3,2024-09-07 09:38:00:674,1,518,0,0,1782,6660,518,0 53,0,2024-09-07 09:38:01:767,133334,0.7,129362,0.8,270499,0.8,354708,2.50 53,1,2024-09-07 09:38:00:781,908183,908183,0,0,426546397149,4477563764,892828,11511,3844,367,391968,0 53,2,2024-09-07 09:38:01:298,658433,658432,1,0,27887787,0,5455 53,3,2024-09-07 09:38:00:698,1,518,2,0,308,5138,518,0 54,0,2024-09-07 09:38:01:614,126772,0.6,127232,0.8,253188,0.5,338036,2.25 54,1,2024-09-07 09:38:00:581,910168,910168,0,0,427789881867,4451191863,900104,8430,1634,366,391810,0 54,2,2024-09-07 09:38:00:872,657311,657279,32,0,31634094,0,6397 54,3,2024-09-07 09:38:00:767,1,518,1,0,676,7018,518,0 55,0,2024-09-07 09:38:01:762,123351,0.6,127316,0.7,257829,0.5,336336,2.50 55,1,2024-09-07 09:38:00:767,911218,911218,0,0,427050594136,4441667214,901558,8340,1320,365,391731,0 55,2,2024-09-07 09:38:00:733,650908,650852,56,0,29769837,0,7239 55,3,2024-09-07 09:38:00:674,1,518,4,0,304,4877,518,0 56,0,2024-09-07 09:38:01:570,131797,1.1,124166,1.1,255990,1.4,342804,2.75 56,1,2024-09-07 09:38:00:580,905907,905907,0,0,426169002031,4500449419,891592,11403,2912,381,391867,0 56,2,2024-09-07 09:38:01:310,653059,652937,122,0,31094235,0,7432 56,3,2024-09-07 09:38:01:059,1,518,7,0,705,6238,518,0 57,0,2024-09-07 09:38:00:940,132599,1.6,132607,1.3,265566,2.2,355566,3.25 57,1,2024-09-07 09:38:00:996,907537,907537,0,0,426305809460,4473288306,895355,9897,2285,366,392032,0 57,2,2024-09-07 09:38:01:316,656969,656969,0,0,32020092,0,4317 57,3,2024-09-07 09:38:01:740,1,518,12,0,455,5831,518,0 58,0,2024-09-07 09:38:00:603,127503,1.0,123815,1.0,258989,1.2,339348,2.75 58,1,2024-09-07 09:38:00:582,909236,909233,0,3,427328182909,4479175349,895430,10482,3321,367,391675,3 58,2,2024-09-07 09:38:01:072,657348,657348,0,0,30451028,0,3483 58,3,2024-09-07 09:38:01:069,1,518,1,0,1043,5698,518,0 59,0,2024-09-07 09:38:01:739,127347,0.8,127277,0.9,253740,0.9,336641,2.75 59,1,2024-09-07 09:38:00:809,907627,907627,0,0,426619676197,4480863955,892855,11633,3139,369,391653,0 59,2,2024-09-07 09:38:00:588,651495,651495,0,0,28916194,0,3727 59,3,2024-09-07 09:38:01:736,1,518,3,0,1015,6487,518,0 60,0,2024-09-07 09:38:01:746,127147,0.5,127370,0.7,254938,0.5,338720,1.75 60,1,2024-09-07 09:38:00:785,912425,912425,0,0,428537333824,4447734930,906242,5249,934,370,392031,0 60,2,2024-09-07 09:38:01:141,654213,654213,0,0,27534551,0,3811 60,3,2024-09-07 09:38:01:258,1,518,9,0,409,6098,518,0 61,0,2024-09-07 09:38:01:516,133034,0.7,133585,0.8,266103,0.7,355640,2.00 61,1,2024-09-07 09:38:00:775,908904,908904,0,0,427155999839,4473255930,899026,8298,1580,382,392127,0 61,2,2024-09-07 09:38:01:121,655806,655739,67,0,28495492,0,6411 61,3,2024-09-07 09:38:01:687,1,518,6,0,607,7476,518,0 62,0,2024-09-07 09:38:01:717,131466,0.7,134889,0.8,257461,0.7,349616,2.00 62,1,2024-09-07 09:38:01:112,914334,914328,0,6,430076044599,4432238966,910172,3876,280,365,391975,6 62,2,2024-09-07 09:38:01:645,656653,656652,1,0,27784160,0,5555 62,3,2024-09-07 09:38:01:144,1,518,1,0,482,4224,518,0 63,0,2024-09-07 09:38:01:467,128199,0.4,127924,0.6,256773,0.3,340839,1.75 63,1,2024-09-07 09:38:00:806,911628,911622,0,6,428441865235,4447617899,905867,5004,751,381,391800,6 63,2,2024-09-07 09:38:00:761,654125,654125,0,0,26797908,0,4369 63,3,2024-09-07 09:38:01:732,1,518,3,0,667,5571,518,0 64,0,2024-09-07 09:38:01:567,126051,0.5,126100,0.6,251778,0.4,334637,2.00 64,1,2024-09-07 09:38:00:784,911254,911254,0,0,427918245609,4456425657,903364,6119,1771,370,391794,0 64,2,2024-09-07 09:38:01:142,657905,657886,19,0,25804838,0,6121 64,3,2024-09-07 09:38:01:140,1,518,1,0,651,5473,518,0 65,0,2024-09-07 09:38:01:687,129881,0.6,130171,0.8,259893,0.6,347306,2.00 65,1,2024-09-07 09:38:00:869,909464,909464,0,0,426892571253,4462264602,902716,5951,797,381,391901,0 65,2,2024-09-07 09:38:01:711,654045,654045,0,0,30264072,0,3367 65,3,2024-09-07 09:38:01:684,1,518,0,0,782,6165,518,0 66,0,2024-09-07 09:38:01:762,132813,0.6,132333,0.8,264812,0.6,352353,2.25 66,1,2024-09-07 09:38:01:293,911065,911065,0,0,427681911832,4452251730,904917,5426,722,380,391743,0 66,2,2024-09-07 09:38:01:132,660226,660223,3,0,27664029,0,5455 66,3,2024-09-07 09:38:01:080,1,518,1,0,291,4502,518,0 67,0,2024-09-07 09:38:01:413,127888,0.5,127533,0.7,255766,0.5,340041,2.00 67,1,2024-09-07 09:38:00:769,911395,911394,0,1,428188562668,4458103343,905122,5458,814,380,391787,1 67,2,2024-09-07 09:38:00:587,658568,658553,15,0,26714585,0,6205 67,3,2024-09-07 09:38:01:749,1,518,1,0,595,5519,518,0 68,0,2024-09-07 09:38:00:577,127932,0.5,127797,0.7,254526,0.5,340157,2.00 68,1,2024-09-07 09:38:00:571,907347,907347,0,0,425734056824,4478944246,894978,8968,3401,381,391953,0 68,2,2024-09-07 09:38:01:049,648586,648486,100,0,34457913,0,8578 68,3,2024-09-07 09:38:00:729,1,518,0,0,417,6782,518,0 69,0,2024-09-07 09:38:01:806,128942,0.6,129823,0.8,258810,0.6,343999,2.25 69,1,2024-09-07 09:38:01:028,906258,906258,0,0,426091082644,4491308499,894007,9492,2759,384,391994,0 69,2,2024-09-07 09:38:01:775,652152,652123,29,0,35490666,0,6912 69,3,2024-09-07 09:38:00:766,1,518,12,0,698,7753,518,0 70,0,2024-09-07 09:38:01:556,133303,0.9,133698,1.0,268944,0.8,355878,2.50 70,1,2024-09-07 09:38:00:801,911824,911824,0,0,428759497003,4449960094,904569,6493,762,366,391725,0 70,2,2024-09-07 09:38:01:328,657745,657745,0,0,29905290,0,4323 70,3,2024-09-07 09:38:00:745,1,518,1,0,854,5689,518,0 71,0,2024-09-07 09:38:01:356,127604,1.2,127356,1.1,255934,1.6,341699,3.00 71,1,2024-09-07 09:38:01:595,909642,909642,0,0,427078654221,4459423784,898249,10138,1255,368,391738,0 71,2,2024-09-07 09:38:01:067,656529,656529,0,0,30556961,0,4352 71,3,2024-09-07 09:38:01:750,1,518,1,0,644,6116,518,0 72,0,2024-09-07 09:38:01:028,132033,0.5,129004,0.7,251824,0.5,342233,2.00 72,1,2024-09-07 09:38:01:022,907783,907783,0,0,426606783258,4476549546,894401,11100,2282,369,391819,0 72,2,2024-09-07 09:38:01:755,650612,650612,0,0,31764436,0,3983 72,3,2024-09-07 09:38:01:754,1,518,76,0,564,7526,518,0 73,0,2024-09-07 09:38:01:121,124821,0.4,128104,0.6,261749,0.4,340791,2.00 73,1,2024-09-07 09:38:00:933,911124,911124,0,0,427524980291,4439558241,904896,5803,425,367,391858,0 73,2,2024-09-07 09:38:01:740,653180,653180,0,0,32236838,0,4728 73,3,2024-09-07 09:38:00:970,1,518,0,0,486,6530,518,0 74,0,2024-09-07 09:38:01:340,135198,0.5,138359,0.7,263992,0.5,358395,2.00 74,1,2024-09-07 09:38:00:646,909033,909033,0,0,426244106939,4452283087,898582,8527,1924,381,391762,0 74,2,2024-09-07 09:38:01:008,655918,655918,0,0,28219296,0,4253 74,3,2024-09-07 09:38:01:444,1,518,1,0,522,6267,518,0 75,0,2024-09-07 09:38:01:764,129912,0.6,129068,0.8,259194,0.6,346473,2.25 75,1,2024-09-07 09:38:01:591,910222,910222,0,0,427105104099,4453275145,902333,7028,861,380,391739,0 75,2,2024-09-07 09:38:01:353,656791,656791,0,0,33747171,0,4766 75,3,2024-09-07 09:38:01:068,1,518,1,0,702,6166,518,0 76,0,2024-09-07 09:38:00:587,127219,0.5,126487,0.7,253561,0.5,339048,2.25 76,1,2024-09-07 09:38:00:807,909601,909601,0,0,427375477288,4457946933,902975,5659,967,382,391692,0 76,2,2024-09-07 09:38:01:067,655103,655100,3,0,28423555,0,5265 76,3,2024-09-07 09:38:01:142,1,518,1,0,227,4839,518,0 77,0,2024-09-07 09:38:01:773,126333,0.5,126800,0.7,253641,0.5,336852,2.00 77,1,2024-09-07 09:38:00:852,910374,910374,0,0,427415123424,4458234982,903541,6238,595,381,391869,0 77,2,2024-09-07 09:38:01:281,653554,653554,0,0,27953088,0,3890 77,3,2024-09-07 09:38:01:098,1,518,6,0,401,5559,518,0 78,0,2024-09-07 09:38:01:720,132338,0.4,131844,0.6,264528,0.4,351011,2.00 78,1,2024-09-07 09:38:00:620,909926,909926,0,0,426923113727,4452468801,899159,8241,2526,367,391670,0 78,2,2024-09-07 09:38:01:405,656424,656411,13,0,26017256,0,8313 78,3,2024-09-07 09:38:01:134,1,518,0,0,311,4523,518,0 79,0,2024-09-07 09:38:01:349,127464,0.4,130455,0.6,267204,0.4,347622,2.25 79,1,2024-09-07 09:38:00:571,912641,912641,0,0,428216960007,4437682732,904906,6378,1357,367,391682,0 79,2,2024-09-07 09:38:01:068,658784,658784,0,0,25073369,0,4195 79,3,2024-09-07 09:38:00:755,1,518,1,0,418,6281,518,0 80,0,2024-09-07 09:38:01:082,127500,0.5,130979,0.7,250703,0.5,339197,2.00 80,1,2024-09-07 09:38:01:625,909706,909706,0,0,427268505290,4447359702,903883,5397,426,368,392269,0 80,2,2024-09-07 09:38:01:091,657184,657184,0,0,25639298,0,4433 80,3,2024-09-07 09:38:00:576,1,518,0,0,296,6199,518,0 81,0,2024-09-07 09:38:01:595,127390,0.5,130403,0.7,248666,0.5,337530,2.00 81,1,2024-09-07 09:38:01:664,908758,908758,0,0,426408906517,4457982012,901360,6760,638,382,391885,0 81,2,2024-09-07 09:38:01:138,652303,652240,63,0,28599486,0,5932 81,3,2024-09-07 09:38:01:124,1,518,3,0,719,5955,518,0 82,0,2024-09-07 09:38:01:531,130177,0.5,130315,0.7,261131,0.4,346673,2.00 82,1,2024-09-07 09:38:00:587,911286,911282,0,4,428421654588,4454095689,906204,4258,820,381,391768,4 82,2,2024-09-07 09:38:01:694,656038,656038,0,0,23817406,0,4484 82,3,2024-09-07 09:38:01:751,1,518,1,0,363,4981,518,0 83,0,2024-09-07 09:38:01:546,133878,0.6,133903,0.8,267147,0.7,354754,2.25 83,1,2024-09-07 09:38:00:550,910324,910324,0,0,427375333794,4455449980,903969,5901,454,382,391709,0 83,2,2024-09-07 09:38:00:767,658158,658133,25,0,25852359,0,5612 83,3,2024-09-07 09:38:00:754,1,518,0,0,1260,6494,518,0 84,0,2024-09-07 09:38:01:803,127668,0.8,127860,0.9,255286,0.7,341437,2.25 84,1,2024-09-07 09:38:01:044,908657,908657,0,0,426666087238,4462060509,898421,8711,1525,367,391967,0 84,2,2024-09-07 09:38:00:571,656231,656201,30,0,34094207,0,5971 84,3,2024-09-07 09:38:01:142,1,518,2,0,908,7391,518,0 85,0,2024-09-07 09:38:01:015,122878,0.6,122865,0.8,260970,0.5,337714,2.25 85,1,2024-09-07 09:38:00:560,905476,905476,0,0,425782911653,4497743632,891211,11607,2658,381,392092,0 85,2,2024-09-07 09:38:00:865,650282,650282,0,0,31738472,0,4255 85,3,2024-09-07 09:38:00:685,1,518,1,0,789,5998,518,0 86,0,2024-09-07 09:38:00:910,128842,0.6,132508,0.7,253456,0.6,343214,2.25 86,1,2024-09-07 09:38:00:849,908585,908585,0,0,427182814279,4476872313,897291,9318,1976,366,391995,0 86,2,2024-09-07 09:38:00:859,651857,651856,1,0,34224066,0,5004 86,3,2024-09-07 09:38:00:587,1,518,2,0,308,7520,518,0 87,0,2024-09-07 09:38:01:293,134463,0.9,134310,0.9,268386,1.2,359349,2.25 87,1,2024-09-07 09:38:00:551,907360,907360,0,0,425806606613,4463751866,895163,10481,1716,366,392076,0 87,2,2024-09-07 09:38:01:072,656606,656600,6,0,30129323,0,6323 87,3,2024-09-07 09:38:01:795,1,518,1,0,473,7631,518,0 88,0,2024-09-07 09:38:01:490,129208,0.5,129872,0.6,259448,0.4,345585,2.00 88,1,2024-09-07 09:38:00:569,906984,906984,0,0,426575858974,4469283944,894345,10023,2616,365,392084,0 88,2,2024-09-07 09:38:00:697,657799,657799,0,0,32548308,0,4465 88,3,2024-09-07 09:38:01:271,1,518,2,0,435,6597,518,0 89,0,2024-09-07 09:38:01:822,131646,0.4,127668,0.6,252123,0.4,342464,1.75 89,1,2024-09-07 09:38:00:550,907577,907577,0,0,426805612943,4490541444,896167,9594,1816,382,391866,0 89,2,2024-09-07 09:38:01:145,651328,651328,0,0,30799173,0,3173 89,3,2024-09-07 09:38:01:796,1,518,25,0,468,8544,518,0 90,0,2024-09-07 09:38:01:658,123478,0.4,126959,0.6,258589,0.4,337608,1.75 90,1,2024-09-07 09:38:00:598,908741,908741,0,0,426164370674,4461528344,900231,7777,733,380,391825,0 90,2,2024-09-07 09:38:01:405,651623,651623,0,0,33049162,0,3608 90,3,2024-09-07 09:38:00:930,1,518,15,0,322,6278,518,0 91,0,2024-09-07 09:38:00:933,133656,0.5,129620,0.6,271054,0.4,356165,1.75 91,1,2024-09-07 09:38:00:564,906960,906960,0,0,425543725110,4475648459,895387,9561,2012,381,392047,0 91,2,2024-09-07 09:38:01:334,655991,655991,0,0,29166192,0,4713 91,3,2024-09-07 09:38:00:617,1,518,12,0,216,4924,518,0 92,0,2024-09-07 09:38:01:508,132495,0.5,135435,0.6,258346,0.5,349812,1.75 92,1,2024-09-07 09:38:00:580,909214,909214,0,0,427205525968,4463579051,901706,6302,1206,381,392136,0 92,2,2024-09-07 09:38:01:353,658937,658937,0,0,26311808,0,3259 92,3,2024-09-07 09:38:01:008,1,518,1,0,167,4523,518,0 93,0,2024-09-07 09:38:00:955,128498,0.4,131768,0.6,251493,0.3,340759,1.75 93,1,2024-09-07 09:38:00:806,909755,909755,0,0,427227758311,4461117628,899474,8548,1733,366,391776,0 93,2,2024-09-07 09:38:00:930,653794,653794,0,0,31972304,0,4913 93,3,2024-09-07 09:38:01:406,1,518,3,0,190,4708,518,0 94,0,2024-09-07 09:38:01:616,126168,0.3,126885,0.5,253614,0.3,336468,1.75 94,1,2024-09-07 09:38:00:566,910069,910069,0,0,427771346987,4461554547,903972,5724,373,381,391850,0 94,2,2024-09-07 09:38:00:761,653581,653553,28,0,27313220,0,6179 94,3,2024-09-07 09:38:01:696,1,518,1,0,576,6571,518,0 95,0,2024-09-07 09:38:01:370,131131,0.3,130845,0.5,262054,0.3,349787,1.75 95,1,2024-09-07 09:38:00:853,911148,911148,0,0,428086940018,4449324911,903799,6692,657,365,391786,0 95,2,2024-09-07 09:38:01:016,654020,654020,0,0,26061426,0,3308 95,3,2024-09-07 09:38:01:712,1,518,1,0,718,8037,518,0 96,0,2024-09-07 09:38:01:067,133522,0.4,133670,0.5,267160,0.3,354569,1.75 96,1,2024-09-07 09:38:01:607,909789,909789,0,0,426378917486,4447773337,903400,5476,913,384,391955,0 96,2,2024-09-07 09:38:01:272,658681,658681,0,0,27175998,0,4180 96,3,2024-09-07 09:38:01:145,1,518,2,0,411,5493,518,0 97,0,2024-09-07 09:38:01:335,127915,0.3,127762,0.5,256559,0.3,340321,1.50 97,1,2024-09-07 09:38:00:770,911557,911557,0,0,428604210490,4445956617,905806,4806,945,367,392140,0 97,2,2024-09-07 09:38:00:620,657691,657691,0,0,26648480,0,3679 97,3,2024-09-07 09:38:00:576,1,518,3,0,242,6010,518,0 98,0,2024-09-07 09:38:01:708,127375,0.3,127572,0.4,255735,0.2,339779,1.50 98,1,2024-09-07 09:38:00:578,910662,910662,0,0,427345738294,4450410530,905255,4607,800,381,391997,0 98,2,2024-09-07 09:38:00:779,652611,652611,0,0,26160625,0,4336 98,3,2024-09-07 09:38:00:719,1,518,1,0,840,8013,518,0 99,0,2024-09-07 09:38:01:450,129634,0.3,130310,0.5,259334,0.3,346577,1.75 99,1,2024-09-07 09:38:01:723,910786,910786,0,0,426522307101,4440002948,904821,5038,927,380,392069,0 99,2,2024-09-07 09:38:01:417,654376,654376,0,0,31464111,0,4276 99,3,2024-09-07 09:38:00:588,1,518,2,0,606,5219,518,0 100,0,2024-09-07 09:38:01:615,134579,0.8,134838,1.0,269079,1.0,359330,2.50 100,1,2024-09-07 09:38:00:566,905820,905820,0,0,425731803888,4502544548,891481,11240,3099,378,391989,0 100,2,2024-09-07 09:38:01:826,655118,655107,11,0,31036297,0,5417 100,3,2024-09-07 09:38:01:736,1,518,9,0,627,9387,518,0 101,0,2024-09-07 09:38:01:842,131523,1.3,128231,1.1,251302,1.3,343908,2.50 101,1,2024-09-07 09:38:00:550,906969,906969,0,0,426636598535,4484213973,894574,9994,2401,368,391847,0 101,2,2024-09-07 09:38:01:780,653348,653348,0,0,36038696,0,4871 101,3,2024-09-07 09:38:00:941,1,518,2,0,1250,7971,518,0 102,0,2024-09-07 09:38:00:958,124396,0.6,128230,0.7,260212,0.6,340419,2.00 102,1,2024-09-07 09:38:01:218,907258,907258,0,0,425767814294,4473414801,895048,10189,2021,369,391891,0 102,2,2024-09-07 09:38:01:737,651486,651432,54,0,30357491,0,6768 102,3,2024-09-07 09:38:01:627,1,518,2,0,466,6095,518,0 103,0,2024-09-07 09:38:01:593,132311,0.5,132228,0.7,249325,0.5,343356,2.00 103,1,2024-09-07 09:38:01:628,905731,905731,0,0,425664965679,4494780400,891542,10990,3199,381,391862,0 103,2,2024-09-07 09:38:00:595,652234,652234,0,0,32175751,0,3766 103,3,2024-09-07 09:38:00:765,1,518,56,0,916,6234,518,0 104,0,2024-09-07 09:38:01:088,133171,0.7,133394,0.9,265959,0.7,356358,2.25 104,1,2024-09-07 09:38:01:621,908531,908531,0,0,426169787804,4477284983,895477,10503,2551,365,392168,0 104,2,2024-09-07 09:38:01:667,654194,654194,0,0,31030199,0,3941 104,3,2024-09-07 09:38:01:487,1,518,6,0,1245,9132,518,0 105,0,2024-09-07 09:38:01:111,128273,1.0,124948,1.1,262014,1.2,344568,2.75 105,1,2024-09-07 09:38:00:553,909696,909696,0,0,427455300084,4478378905,898101,9827,1768,365,392009,0 105,2,2024-09-07 09:38:01:328,656748,656748,0,0,31257582,0,4360 105,3,2024-09-07 09:38:01:306,1,518,5,0,399,7446,518,0 106,0,2024-09-07 09:38:00:939,123336,0.7,126329,0.9,258908,0.8,337843,2.50 106,1,2024-09-07 09:38:01:749,908696,908696,0,0,426171071473,4471836472,896084,10964,1648,368,391914,0 106,2,2024-09-07 09:38:00:762,651872,651872,0,0,29385910,0,2920 106,3,2024-09-07 09:38:00:677,1,518,0,0,1224,7765,518,0 107,0,2024-09-07 09:38:01:121,126510,0.7,126727,0.8,253294,0.8,337846,2.00 107,1,2024-09-07 09:38:00:596,906768,906768,0,0,425888980156,4490551158,893866,11509,1393,381,392234,0 107,2,2024-09-07 09:38:01:291,651591,651590,1,0,32183876,0,5024 107,3,2024-09-07 09:38:01:763,1,518,1,0,733,7856,518,0 108,0,2024-09-07 09:38:01:874,131828,0.4,132593,0.6,263880,0.4,352535,1.75 108,1,2024-09-07 09:38:01:318,909486,909486,0,0,427836639966,4462738099,901574,6941,971,367,391857,0 108,2,2024-09-07 09:38:01:761,654203,654203,0,0,28795495,0,4246 108,3,2024-09-07 09:38:01:330,1,518,1,0,749,10297,518,0 109,0,2024-09-07 09:38:01:750,132680,0.4,131434,0.6,263530,0.4,351770,1.75 109,1,2024-09-07 09:38:00:589,906994,906994,0,0,426533356311,4474778412,898785,6993,1216,382,392132,0 109,2,2024-09-07 09:38:00:920,656266,656266,0,0,28758109,0,3617 109,3,2024-09-07 09:38:01:140,1,518,1,0,630,6504,518,0 110,0,2024-09-07 09:38:01:758,127521,0.4,124070,0.6,259926,0.3,340488,1.75 110,1,2024-09-07 09:38:01:651,910581,910581,0,0,428349934142,4449174325,903052,5607,1922,368,392045,0 110,2,2024-09-07 09:38:01:303,655581,655581,0,0,27190554,0,4067 110,3,2024-09-07 09:38:00:690,1,518,2,0,722,7215,518,0 111,0,2024-09-07 09:38:01:414,127684,0.3,127004,0.5,254346,0.3,338824,1.75 111,1,2024-09-07 09:38:01:004,912281,912281,0,0,429248586982,4450279263,907738,4186,357,380,391690,0 111,2,2024-09-07 09:38:01:125,652788,652788,0,0,27716779,0,4823 111,3,2024-09-07 09:38:00:921,1,518,1,0,379,5906,518,0 112,0,2024-09-07 09:38:00:919,131092,0.3,130517,0.4,261840,0.2,347658,1.50 112,1,2024-09-07 09:38:00:827,910731,910731,0,0,427657527232,4440131489,904833,5207,691,380,391624,0 112,2,2024-09-07 09:38:01:132,654006,654005,1,0,26360192,0,5036 112,3,2024-09-07 09:38:00:592,1,518,1,0,282,4968,518,0 113,0,2024-09-07 09:38:00:869,134179,0.3,134086,0.5,268347,0.3,356963,1.75 113,1,2024-09-07 09:38:01:686,913546,913546,0,0,429122078573,4428433080,908296,4437,813,365,391661,0 113,2,2024-09-07 09:38:01:304,660711,660711,0,0,24059915,0,3813 113,3,2024-09-07 09:38:00:687,1,518,1,0,340,5496,518,0 114,0,2024-09-07 09:38:00:883,129124,0.3,129994,0.5,258400,0.2,345408,1.75 114,1,2024-09-07 09:38:00:715,910882,910882,0,0,428250538091,4447568398,903976,5290,1616,381,391565,0 114,2,2024-09-07 09:38:00:881,658797,658796,1,0,25955341,0,5069 114,3,2024-09-07 09:38:01:279,1,518,1,0,395,4239,518,0 115,0,2024-09-07 09:38:00:556,128232,0.3,128744,0.4,256683,0.2,341327,1.50 115,1,2024-09-07 09:38:00:571,911594,911594,0,0,428079356166,4448589685,904194,6043,1357,382,391757,0 115,2,2024-09-07 09:38:01:130,654770,654770,0,0,25444762,0,4382 115,3,2024-09-07 09:38:01:004,1,518,1,0,167,3010,518,0 116,0,2024-09-07 09:38:01:718,128626,0.6,128545,0.8,257485,0.6,344248,2.00 116,1,2024-09-07 09:38:00:815,906903,906903,0,0,426377681881,4493891157,896255,8203,2445,380,392089,0 116,2,2024-09-07 09:38:01:763,652310,652310,0,0,32614243,0,4475 116,3,2024-09-07 09:38:00:920,1,518,9,0,415,6429,518,0 117,0,2024-09-07 09:38:01:008,134810,0.8,134189,0.8,268908,0.8,360027,2.00 117,1,2024-09-07 09:38:01:595,907619,907619,0,0,426792207461,4468259945,897592,8802,1225,369,392033,0 117,2,2024-09-07 09:38:01:119,658643,658643,0,0,28506967,0,4303 117,3,2024-09-07 09:38:01:067,1,518,28,0,490,7137,518,0 118,0,2024-09-07 09:38:01:764,125467,0.6,128981,0.7,262869,0.6,344091,2.00 118,1,2024-09-07 09:38:00:585,907365,907365,0,0,425793408183,4476919699,893866,10378,3121,366,392054,0 118,2,2024-09-07 09:38:01:585,656736,656736,0,0,31129244,0,2842 118,3,2024-09-07 09:38:01:764,1,518,0,0,248,5889,518,0 119,0,2024-09-07 09:38:01:369,127622,0.6,128035,0.8,255953,0.6,340673,2.00 119,1,2024-09-07 09:38:00:550,908283,908283,0,0,427400722915,4478963250,896557,9959,1767,367,391857,0 119,2,2024-09-07 09:38:01:266,652276,652276,0,0,28913011,0,4174 119,3,2024-09-07 09:38:01:339,1,518,8,0,1358,9741,518,0 120,0,2024-09-07 09:38:01:589,126632,0.6,126301,0.8,253917,0.6,338350,2.25 120,1,2024-09-07 09:38:00:864,908807,908807,0,0,426691753321,4471788169,899515,8512,780,368,392144,0 120,2,2024-09-07 09:38:00:780,652664,652663,1,0,33394419,0,5281 120,3,2024-09-07 09:38:01:290,1,518,2,0,279,6493,518,0 121,0,2024-09-07 09:38:01:763,133437,1.3,132786,1.1,266127,1.8,355252,2.50 121,1,2024-09-07 09:38:01:684,909170,909170,0,0,426713855712,4460397389,900437,8032,701,366,391840,0 121,2,2024-09-07 09:38:01:132,654203,654203,0,0,30704881,0,4157 121,3,2024-09-07 09:38:00:728,1,518,4,0,330,6246,518,0 122,0,2024-09-07 09:38:01:841,130505,0.9,126956,0.9,266013,1.0,349686,2.25 122,1,2024-09-07 09:38:00:889,907582,907582,0,0,426979553373,4478690415,895144,10553,1885,366,392130,0 122,2,2024-09-07 09:38:01:319,658739,658664,75,0,34984022,0,5989 122,3,2024-09-07 09:38:00:595,1,518,1,0,512,8767,518,0 123,0,2024-09-07 09:38:00:956,127260,0.8,123875,0.9,259392,0.9,339352,2.25 123,1,2024-09-07 09:38:00:558,908126,908126,0,0,426306504263,4484999196,892979,12643,2504,369,392039,0 123,2,2024-09-07 09:38:01:021,651757,651756,1,0,29656816,0,5215 123,3,2024-09-07 09:38:01:134,1,518,1,0,478,6046,518,0 124,0,2024-09-07 09:38:00:963,130265,0.3,130210,0.5,245505,0.3,337489,1.75 124,1,2024-09-07 09:38:01:028,911264,911264,0,0,427416084446,4443606512,904457,5676,1131,365,392178,0 124,2,2024-09-07 09:38:01:020,654613,654560,53,0,27035526,0,6487 124,3,2024-09-07 09:38:00:763,1,518,14,0,490,5430,518,0 125,0,2024-09-07 09:38:01:436,130768,0.4,130456,0.6,262419,0.3,349659,1.75 125,1,2024-09-07 09:38:00:856,909741,909741,0,0,427376682251,4461043661,902764,5994,983,382,391813,0 125,2,2024-09-07 09:38:01:132,656699,656699,0,0,27089241,0,4534 125,3,2024-09-07 09:38:01:127,1,518,2,0,709,6163,518,0 126,0,2024-09-07 09:38:01:427,133484,0.4,137250,0.6,262533,0.4,355154,1.75 126,1,2024-09-07 09:38:00:555,912415,912415,0,0,428222773973,4432535790,907743,4362,310,365,391987,0 126,2,2024-09-07 09:38:00:610,658957,658957,0,0,27953492,0,4539 126,3,2024-09-07 09:38:00:916,1,518,12,0,268,5819,518,0 127,0,2024-09-07 09:38:01:592,128127,0.3,128497,0.5,256394,0.3,340554,1.75 127,1,2024-09-07 09:38:00:570,910836,910836,0,0,427194473271,4446125901,901468,7864,1504,364,392187,0 127,2,2024-09-07 09:38:00:637,655893,655889,4,0,26619268,0,5305 127,3,2024-09-07 09:38:01:271,1,518,1,0,968,5179,518,0 128,0,2024-09-07 09:38:01:667,128200,0.3,128316,0.4,256217,0.2,340669,1.50 128,1,2024-09-07 09:38:01:656,909891,909891,0,0,427692272427,4443492483,903415,5741,735,367,392031,0 128,2,2024-09-07 09:38:01:382,654061,654061,0,0,24869996,0,3171 128,3,2024-09-07 09:38:00:768,1,518,1,0,1082,8328,518,0 129,0,2024-09-07 09:38:01:006,130754,0.3,130172,0.5,260754,0.3,346988,1.50 129,1,2024-09-07 09:38:00:569,907509,907509,0,0,425779205633,4463221917,898483,7081,1945,379,391962,0 129,2,2024-09-07 09:38:00:688,654618,654614,4,0,27185453,0,5335 129,3,2024-09-07 09:38:00:689,1,518,1,0,506,7305,518,0 130,0,2024-09-07 09:38:01:731,135700,0.5,135189,0.6,271242,0.4,360845,1.75 130,1,2024-09-07 09:38:00:588,910614,910614,0,0,427518634318,4441393315,905821,4405,388,381,391825,0 130,2,2024-09-07 09:38:01:124,658514,658514,0,0,27195786,0,4067 130,3,2024-09-07 09:38:01:291,1,518,1,0,960,7293,518,0 131,0,2024-09-07 09:38:01:964,129186,0.4,129547,0.5,259589,0.3,344836,1.75 131,1,2024-09-07 09:38:01:824,910144,910144,0,0,427370021794,4458798003,903266,5686,1192,381,391865,0 131,2,2024-09-07 09:38:00:567,658595,658595,0,0,25230213,0,3979 131,3,2024-09-07 09:38:01:695,1,518,5,0,392,6353,518,0 132,0,2024-09-07 09:38:01:433,127979,0.4,129058,0.6,256783,0.4,341607,2.00 132,1,2024-09-07 09:38:00:588,906534,906534,0,0,425403217369,4476013981,894051,10288,2195,381,392532,0 132,2,2024-09-07 09:38:00:698,651547,651530,17,0,33043126,0,6451 132,3,2024-09-07 09:38:01:695,1,518,2,0,804,8729,518,0 133,0,2024-09-07 09:38:01:563,124814,0.4,127774,0.6,262141,0.3,341414,1.75 133,1,2024-09-07 09:38:00:588,906265,906265,0,0,425883509480,4489035301,893756,10818,1691,383,391914,0 133,2,2024-09-07 09:38:01:087,653927,653877,50,0,33464157,0,6861 133,3,2024-09-07 09:38:01:297,1,518,3,0,479,6216,518,0 134,0,2024-09-07 09:38:00:946,134504,0.5,134371,0.7,269147,0.5,358522,2.25 134,1,2024-09-07 09:38:00:622,908106,908106,0,0,426190833827,4468238403,896721,9238,2147,366,391718,0 134,2,2024-09-07 09:38:01:785,655517,655493,24,0,31518546,0,6207 134,3,2024-09-07 09:38:00:759,1,518,1,0,739,6317,518,0 135,0,2024-09-07 09:38:01:096,125715,1.0,125633,0.9,266718,1.2,344065,2.25 135,1,2024-09-07 09:38:01:645,907561,907561,0,0,426856045135,4488825256,895929,10066,1566,380,391805,0 135,2,2024-09-07 09:38:00:688,658145,658145,0,0,31758425,0,4503 135,3,2024-09-07 09:38:01:007,1,518,63,0,900,5337,518,0 136,0,2024-09-07 09:38:01:634,128211,0.5,128432,0.7,255672,0.5,340961,2.00 136,1,2024-09-07 09:38:01:458,909139,909139,0,0,426113903915,4467217752,898763,9108,1268,381,392135,0 136,2,2024-09-07 09:38:01:133,654000,653985,15,0,30768970,0,6007 136,3,2024-09-07 09:38:01:111,1,518,1,0,637,6246,518,0 137,0,2024-09-07 09:38:00:924,130710,0.5,127399,0.7,249681,0.5,339068,2.00 137,1,2024-09-07 09:38:00:578,907148,907148,0,0,426050126064,4465474874,893717,10759,2672,366,391898,0 137,2,2024-09-07 09:38:01:712,652536,652536,0,0,32253879,0,3185 137,3,2024-09-07 09:38:00:779,1,518,1,0,484,6586,518,0 138,0,2024-09-07 09:38:01:760,131476,0.8,131688,0.9,264035,1.0,351003,2.00 138,1,2024-09-07 09:38:01:685,907916,907916,0,0,426728308914,4475249478,895182,10765,1969,368,391954,0 138,2,2024-09-07 09:38:00:590,653865,653865,0,0,29794438,0,4988 138,3,2024-09-07 09:38:00:613,1,518,9,0,1200,8409,518,0 139,0,2024-09-07 09:38:01:387,130862,1.3,131266,1.0,262684,1.9,350105,2.50 139,1,2024-09-07 09:38:00:579,903911,903911,0,0,425245380145,4509300994,887971,12478,3462,380,392058,0 139,2,2024-09-07 09:38:00:691,654038,654038,0,0,33256233,0,3097 139,3,2024-09-07 09:38:01:665,1,518,0,0,432,5975,518,0 140,0,2024-09-07 09:38:01:594,128275,0.3,127481,0.5,255701,0.2,340733,1.75 140,1,2024-09-07 09:38:01:536,913306,913306,0,0,429791964356,4430565917,908724,4044,538,364,391606,0 140,2,2024-09-07 09:38:00:688,656603,656602,1,0,25386620,0,5036 140,3,2024-09-07 09:38:00:767,1,518,11,0,297,4868,518,0 141,0,2024-09-07 09:38:01:711,127552,0.3,130859,0.4,249958,0.2,338458,1.50 141,1,2024-09-07 09:38:00:861,911438,911438,0,0,428606527028,4451793406,904332,6021,1085,379,391614,0 141,2,2024-09-07 09:38:01:686,653298,653287,11,0,26889438,0,5369 141,3,2024-09-07 09:38:01:043,1,518,1,0,391,5901,518,0 142,0,2024-09-07 09:38:01:312,131323,0.3,130351,0.5,261320,0.3,348559,1.50 142,1,2024-09-07 09:38:00:587,910100,910100,0,0,427845644045,4459126013,904439,5202,459,382,392102,0 142,2,2024-09-07 09:38:01:299,653276,653244,32,0,27483163,0,6028 142,3,2024-09-07 09:38:01:747,1,518,7,0,484,5430,518,0 143,0,2024-09-07 09:38:01:386,133989,0.4,133921,0.6,268761,0.4,356895,1.75 143,1,2024-09-07 09:38:00:556,911689,911689,0,0,428245226717,4444389674,905609,5524,556,367,391722,0 143,2,2024-09-07 09:38:00:769,659252,659252,0,0,26981932,0,3123 143,3,2024-09-07 09:38:01:141,1,518,5,0,462,6523,518,0 144,0,2024-09-07 09:38:01:567,124878,0.6,128437,0.8,261261,0.6,342360,2.00 144,1,2024-09-07 09:38:00:566,907325,907325,0,0,425705322034,4468153055,897938,7421,1966,381,391733,0 144,2,2024-09-07 09:38:01:755,657802,657802,0,0,25785826,0,3673 144,3,2024-09-07 09:38:01:739,1,518,5,0,249,5084,518,0 145,0,2024-09-07 09:38:01:367,123677,0.5,123700,0.8,262441,0.5,338092,2.25 145,1,2024-09-07 09:38:00:563,906568,906568,0,0,426051389235,4485626842,894199,9903,2466,382,391759,0 145,2,2024-09-07 09:38:01:429,649721,649639,82,0,31797312,0,7814 145,3,2024-09-07 09:38:00:898,1,518,1,0,622,7201,518,0 146,0,2024-09-07 09:38:01:660,128892,0.5,128339,0.7,257723,0.5,342673,2.00 146,1,2024-09-07 09:38:01:594,907884,907884,0,0,426279023013,4481685520,893442,10641,3801,367,391770,0 146,2,2024-09-07 09:38:01:697,652196,652190,6,0,29885078,0,5151 146,3,2024-09-07 09:38:01:277,1,518,5,0,1520,8821,518,0 147,0,2024-09-07 09:38:01:713,134717,0.6,134409,0.8,268453,0.6,359399,2.25 147,1,2024-09-07 09:38:01:377,911294,911294,0,0,428813823546,4455465281,904011,6404,879,367,391791,0 147,2,2024-09-07 09:38:01:014,656335,656335,0,0,26745187,0,2968 147,3,2024-09-07 09:38:00:913,1,518,1,0,1626,8457,518,0 0,0,2024-09-07 09:38:11:722,123894,0.5,123848,0.7,262815,0.6,339588,2.00 0,1,2024-09-07 09:38:10:815,909945,909945,0,0,427201559617,4473558187,902435,6670,840,369,391896,0 0,2,2024-09-07 09:38:11:067,656176,656176,0,0,26833828,0,4480 0,3,2024-09-07 09:38:10:978,1,519,0,0,431,7199,519,0 1,0,2024-09-07 09:38:11:830,133562,1.0,132808,1.0,266653,1.2,356647,2.00 1,1,2024-09-07 09:38:10:568,909323,909323,0,0,426590982922,4470731013,900608,7248,1467,370,391859,0 1,2,2024-09-07 09:38:10:646,657192,657192,0,0,26262197,0,3380 1,3,2024-09-07 09:38:11:319,1,519,8,0,269,6521,519,0 2,0,2024-09-07 09:38:11:565,130751,0.6,130814,0.8,261318,0.7,348493,2.00 2,1,2024-09-07 09:38:10:865,912226,912226,0,0,428550601024,4453856546,907254,4055,917,379,391745,0 2,2,2024-09-07 09:38:11:267,660313,660313,0,0,24937251,0,3594 2,3,2024-09-07 09:38:10:690,1,519,1,0,357,4746,519,0 3,0,2024-09-07 09:38:11:767,127856,0.4,127577,0.6,254856,0.3,339609,2.00 3,1,2024-09-07 09:38:11:621,911089,911089,0,0,428306067432,4459125348,903620,6766,703,379,391716,0 3,2,2024-09-07 09:38:11:142,655361,655338,23,0,25959735,0,5851 3,3,2024-09-07 09:38:11:752,1,519,1,0,207,3243,519,0 4,0,2024-09-07 09:38:11:827,122830,0.4,126211,0.5,257383,0.3,336465,1.75 4,1,2024-09-07 09:38:10:831,907387,907387,0,0,426125440442,4514906358,891043,12658,3686,370,391992,0 4,2,2024-09-07 09:38:11:018,651892,651892,0,0,31835037,0,4534 4,3,2024-09-07 09:38:11:032,1,519,1,0,448,6698,519,0 5,0,2024-09-07 09:38:11:446,131254,0.4,131660,0.6,263124,0.4,350452,1.75 5,1,2024-09-07 09:38:10:757,908563,908563,0,0,427973981052,4504512167,895819,10330,2414,367,392005,0 5,2,2024-09-07 09:38:11:829,652835,652835,0,0,30804218,0,3582 5,3,2024-09-07 09:38:11:735,1,519,1,0,457,7081,519,0 6,0,2024-09-07 09:38:10:916,133419,0.5,132982,0.7,266342,0.5,354646,2.00 6,1,2024-09-07 09:38:10:755,910833,910833,0,0,427582963512,4466521556,901261,8116,1456,379,391702,0 6,2,2024-09-07 09:38:11:117,659308,659290,18,0,29916928,0,5535 6,3,2024-09-07 09:38:11:289,1,519,1,0,710,6241,519,0 7,0,2024-09-07 09:38:11:531,127327,0.5,128145,0.7,255200,0.5,339487,2.00 7,1,2024-09-07 09:38:10:850,909080,909080,0,0,427227523652,4487315247,896155,10693,2232,382,391747,0 7,2,2024-09-07 09:38:10:779,657013,657013,0,0,29092413,0,4791 7,3,2024-09-07 09:38:10:851,1,519,1,0,552,5898,519,0 8,0,2024-09-07 09:38:11:353,128149,0.3,127762,0.5,256414,0.3,341061,1.75 8,1,2024-09-07 09:38:11:016,907467,907467,0,0,426842615729,4486634385,893272,11065,3130,366,392853,0 8,2,2024-09-07 09:38:10:790,649381,649379,2,0,34576575,0,5112 8,3,2024-09-07 09:38:10:589,1,519,11,0,538,7821,519,0 9,0,2024-09-07 09:38:11:105,130092,0.3,126493,0.5,264897,0.3,347582,1.75 9,1,2024-09-07 09:38:10:549,907690,907690,0,0,426842143511,4495507857,894218,10821,2651,369,392001,0 9,2,2024-09-07 09:38:11:083,653673,653672,1,0,31258772,0,5281 9,3,2024-09-07 09:38:11:752,1,519,0,0,1273,8665,519,0 10,0,2024-09-07 09:38:11:603,134935,0.4,134513,0.5,269846,0.3,359125,1.75 10,1,2024-09-07 09:38:10:583,910172,910172,0,0,426600600323,4469663859,897813,10269,2090,381,391869,0 10,2,2024-09-07 09:38:10:766,659038,659038,0,0,33949489,0,4264 10,3,2024-09-07 09:38:10:879,1,519,0,0,649,5329,519,0 11,0,2024-09-07 09:38:11:007,129152,0.5,125342,0.7,262003,0.4,345418,1.75 11,1,2024-09-07 09:38:10:573,910992,910992,0,0,427739680664,4485363034,897516,10014,3462,383,391756,0 11,2,2024-09-07 09:38:11:123,657968,657968,0,0,29962068,0,4130 11,3,2024-09-07 09:38:11:298,1,519,1,0,843,7053,519,0 12,0,2024-09-07 09:38:10:959,128909,0.4,128878,0.5,257710,0.3,342479,1.75 12,1,2024-09-07 09:38:10:944,910510,910510,0,0,427694112949,4462069367,902844,6783,883,370,391960,0 12,2,2024-09-07 09:38:11:540,652735,652735,0,0,29628938,0,4390 12,3,2024-09-07 09:38:11:073,1,519,0,0,386,7018,519,0 13,0,2024-09-07 09:38:11:404,129427,0.4,129185,0.5,257660,0.4,343943,1.75 13,1,2024-09-07 09:38:11:524,908519,908519,0,0,426620212378,4484452711,898892,7332,2295,382,391740,0 13,2,2024-09-07 09:38:10:598,656580,656580,0,0,26576975,0,3287 13,3,2024-09-07 09:38:11:764,1,519,1,0,522,7254,519,0 14,0,2024-09-07 09:38:10:563,134587,0.4,135557,0.6,268908,0.4,358334,1.75 14,1,2024-09-07 09:38:11:561,914949,914949,0,0,430309260020,4452430558,908041,6180,728,364,391673,0 14,2,2024-09-07 09:38:10:771,658229,658199,30,0,27985018,0,6104 14,3,2024-09-07 09:38:11:117,1,519,1,0,1168,5863,519,0 15,0,2024-09-07 09:38:11:551,129643,0.5,129106,0.7,259205,0.4,345693,2.00 15,1,2024-09-07 09:38:11:611,912084,912084,0,0,428533847139,4463365058,904352,6247,1485,381,391619,0 15,2,2024-09-07 09:38:11:008,661468,661468,0,0,23550145,0,3622 15,3,2024-09-07 09:38:11:408,1,519,1,0,1126,7312,519,0 16,0,2024-09-07 09:38:10:927,127818,0.5,128448,0.7,256190,0.5,340782,2.00 16,1,2024-09-07 09:38:10:563,911798,911798,0,0,427528158674,4470749265,903382,7115,1301,370,392194,0 16,2,2024-09-07 09:38:11:434,653380,653380,0,0,27800642,0,4719 16,3,2024-09-07 09:38:11:142,1,519,1,0,358,6532,519,0 17,0,2024-09-07 09:38:11:823,131030,0.5,127722,0.7,250262,0.5,339701,2.00 17,1,2024-09-07 09:38:10:573,909648,909648,0,0,427332945002,4483565572,900352,7548,1748,368,392075,0 17,2,2024-09-07 09:38:11:691,657525,657524,1,0,28596960,0,5050 17,3,2024-09-07 09:38:10:576,1,519,1,0,518,7815,519,0 18,0,2024-09-07 09:38:10:948,131137,0.6,132131,0.8,263196,0.6,351794,2.25 18,1,2024-09-07 09:38:11:638,913845,913845,0,0,428596492938,4446120099,907734,5114,997,367,391725,0 18,2,2024-09-07 09:38:11:755,658935,658935,0,0,25388338,0,3541 18,3,2024-09-07 09:38:10:899,1,519,1,0,1059,4909,519,0 19,0,2024-09-07 09:38:11:537,131499,0.7,131888,0.8,262202,0.7,349080,2.25 19,1,2024-09-07 09:38:10:570,912894,912894,0,0,429448653868,4453179922,905906,5904,1084,367,391777,0 19,2,2024-09-07 09:38:11:753,662339,662339,0,0,23855600,0,3988 19,3,2024-09-07 09:38:11:130,1,519,0,0,524,4236,519,0 20,0,2024-09-07 09:38:11:379,127575,0.5,127863,0.7,255188,0.5,340345,2.00 20,1,2024-09-07 09:38:10:578,909987,909987,0,0,427393779032,4477849146,900790,7832,1365,369,391922,0 20,2,2024-09-07 09:38:10:945,655485,655485,0,0,28963602,0,3721 20,3,2024-09-07 09:38:10:614,1,519,5,0,468,8899,519,0 21,0,2024-09-07 09:38:11:161,127255,0.4,127383,0.6,254772,0.4,337497,1.75 21,1,2024-09-07 09:38:11:535,908681,908681,0,0,426756731326,4503844589,894529,11033,3119,368,392016,0 21,2,2024-09-07 09:38:11:070,650990,650970,20,0,33923087,0,5617 21,3,2024-09-07 09:38:11:404,1,519,3,0,713,6735,519,0 22,0,2024-09-07 09:38:11:721,130717,0.4,131266,0.6,261821,0.4,347651,2.00 22,1,2024-09-07 09:38:11:023,909275,909275,0,0,426894582681,4494606249,894045,12003,3227,382,391822,0 22,2,2024-09-07 09:38:10:766,653872,653846,26,0,28428839,0,6328 22,3,2024-09-07 09:38:11:073,1,519,1,0,228,4429,519,0 23,0,2024-09-07 09:38:11:369,133782,0.5,133336,0.7,266682,0.5,355383,2.25 23,1,2024-09-07 09:38:11:003,910294,910294,0,0,427856917057,4491255527,894588,11298,4408,365,391690,0 23,2,2024-09-07 09:38:11:093,660255,660255,0,0,27128364,0,3773 23,3,2024-09-07 09:38:11:754,1,519,0,0,855,7656,519,0 24,0,2024-09-07 09:38:10:819,129873,0.4,129145,0.6,259769,0.4,344766,1.75 24,1,2024-09-07 09:38:10:826,909157,909157,0,0,426680711921,4467653131,900198,7285,1674,367,392269,0 24,2,2024-09-07 09:38:11:073,657820,657820,0,0,32109601,0,4438 24,3,2024-09-07 09:38:11:690,1,519,21,0,468,6641,519,0 25,0,2024-09-07 09:38:11:369,131675,0.4,128280,0.6,251588,0.3,342819,1.75 25,1,2024-09-07 09:38:10:558,908347,908347,0,0,426915641172,4503328749,893398,11977,2972,369,391928,0 25,2,2024-09-07 09:38:11:611,652055,652055,0,0,33188387,0,3978 25,3,2024-09-07 09:38:11:007,1,519,1,0,532,5691,519,0 26,0,2024-09-07 09:38:11:721,128667,0.4,125973,0.6,264339,0.3,344068,1.75 26,1,2024-09-07 09:38:11:540,911266,911266,0,0,426890669982,4470186302,898427,10387,2452,380,391748,0 26,2,2024-09-07 09:38:10:869,654275,654275,0,0,35085974,0,4689 26,3,2024-09-07 09:38:11:713,1,519,8,0,796,5727,519,0 27,0,2024-09-07 09:38:11:729,135230,0.4,135715,0.6,269877,0.4,360601,2.25 27,1,2024-09-07 09:38:11:676,912056,912056,0,0,429366771742,4471523251,903504,7283,1269,381,391626,0 27,2,2024-09-07 09:38:10:879,655920,655855,65,0,30546400,0,5699 27,3,2024-09-07 09:38:11:018,1,519,11,0,564,4538,519,0 28,0,2024-09-07 09:38:11:388,130017,0.4,129606,0.6,260146,0.3,346762,2.00 28,1,2024-09-07 09:38:10:800,912336,912336,0,0,428682029886,4469366203,904563,6059,1714,382,391698,0 28,2,2024-09-07 09:38:11:765,659487,659487,0,0,26941613,0,2915 28,3,2024-09-07 09:38:11:776,1,519,1,0,502,5226,519,0 29,0,2024-09-07 09:38:11:364,131628,0.3,128261,0.6,251598,0.3,342863,1.75 29,1,2024-09-07 09:38:11:561,914499,914499,0,0,429454786328,4442360910,908943,4794,762,367,391809,0 29,2,2024-09-07 09:38:10:870,652865,652865,0,0,26260535,0,4986 29,3,2024-09-07 09:38:10:970,1,519,5,0,459,5716,519,0 30,0,2024-09-07 09:38:11:476,127106,0.5,123555,0.6,258873,0.4,338433,2.00 30,1,2024-09-07 09:38:10:572,914181,914181,0,0,429680159668,4459679684,907205,6074,902,380,391672,0 30,2,2024-09-07 09:38:11:274,655469,655469,0,0,25289778,0,4192 30,3,2024-09-07 09:38:10:582,1,519,5,0,519,5048,519,0 31,0,2024-09-07 09:38:11:760,133485,0.5,133818,0.6,267224,0.4,357020,2.00 31,1,2024-09-07 09:38:10:564,916991,916991,0,0,431399134043,4429057067,911667,4313,1011,356,391712,0 31,2,2024-09-07 09:38:11:283,656415,656415,0,0,27922958,0,4470 31,3,2024-09-07 09:38:11:709,1,519,9,0,220,4414,519,0 32,0,2024-09-07 09:38:11:442,131445,0.4,132083,0.5,263006,0.3,350228,1.75 32,1,2024-09-07 09:38:10:814,913394,913394,0,0,429186581656,4461654766,907592,5093,709,381,391646,0 32,2,2024-09-07 09:38:10:944,660774,660774,0,0,24471041,0,3922 32,3,2024-09-07 09:38:11:026,1,519,6,0,304,4262,519,0 33,0,2024-09-07 09:38:11:497,128321,0.3,127885,0.4,256002,0.2,340654,1.50 33,1,2024-09-07 09:38:10:575,914034,914034,0,0,429389425289,4448711909,906804,6056,1174,368,391730,0 33,2,2024-09-07 09:38:10:771,656279,656244,35,0,27018785,0,7012 33,3,2024-09-07 09:38:10:902,1,519,6,0,329,4866,519,0 34,0,2024-09-07 09:38:10:931,126955,0.3,130416,0.4,249234,0.2,335781,1.75 34,1,2024-09-07 09:38:11:047,915697,915697,0,0,430246468326,4425311840,913188,2487,22,367,391562,0 34,2,2024-09-07 09:38:10:769,656311,656311,0,0,25527390,0,4562 34,3,2024-09-07 09:38:11:695,1,519,0,0,320,4009,519,0 35,0,2024-09-07 09:38:10:864,130713,0.3,131375,0.5,263833,0.2,351089,1.75 35,1,2024-09-07 09:38:11:073,912636,912636,0,0,428595648715,4447039793,905979,5444,1213,382,391769,0 35,2,2024-09-07 09:38:11:585,655689,655689,0,0,28086636,0,4055 35,3,2024-09-07 09:38:10:906,1,519,39,0,418,4898,519,0 36,0,2024-09-07 09:38:11:516,133761,0.5,133689,0.7,267305,0.5,355442,2.00 36,1,2024-09-07 09:38:10:583,912175,912175,0,0,427893776930,4470767162,899892,10063,2220,366,391759,0 36,2,2024-09-07 09:38:11:756,659613,659613,0,0,29580514,0,3875 36,3,2024-09-07 09:38:10:869,1,519,3,0,416,7162,519,0 37,0,2024-09-07 09:38:11:391,127583,0.5,127480,0.7,255206,0.5,340120,2.25 37,1,2024-09-07 09:38:10:577,910712,910705,0,7,428333327898,4474064058,899588,8442,2675,365,391770,0 37,2,2024-09-07 09:38:11:145,655367,655352,15,0,30036892,0,5815 37,3,2024-09-07 09:38:11:772,1,519,0,0,888,7339,519,0 38,0,2024-09-07 09:38:11:437,127242,0.4,123463,0.6,258292,0.3,338245,2.00 38,1,2024-09-07 09:38:11:611,912039,912039,0,0,428243331199,4478092290,898810,10710,2519,368,391821,0 38,2,2024-09-07 09:38:10:762,652545,652498,47,0,30154771,0,6710 38,3,2024-09-07 09:38:11:000,1,519,1,0,689,6685,519,0 39,0,2024-09-07 09:38:11:764,133286,0.5,130414,0.7,253988,0.5,347229,2.00 39,1,2024-09-07 09:38:10:721,910146,910146,0,0,427659881165,4488138927,894351,12326,3469,365,391865,0 39,2,2024-09-07 09:38:11:423,655772,655772,0,0,27838017,0,3391 39,3,2024-09-07 09:38:10:720,1,519,1,0,525,6267,519,0 40,0,2024-09-07 09:38:11:490,133644,0.9,134293,1.0,268174,1.1,357333,2.75 40,1,2024-09-07 09:38:10:598,912558,912558,0,0,426979171041,4465950940,900539,9840,2179,368,391668,0 40,2,2024-09-07 09:38:11:303,657532,657531,1,0,33109394,0,5137 40,3,2024-09-07 09:38:11:143,1,519,1,0,1028,7373,519,0 41,0,2024-09-07 09:38:11:031,128560,1.3,131330,1.2,250585,1.9,341272,3.00 41,1,2024-09-07 09:38:10:783,910468,910468,0,0,427673944986,4474797794,898594,9940,1934,369,391878,0 41,2,2024-09-07 09:38:10:767,656063,656062,1,0,31975470,0,5408 41,3,2024-09-07 09:38:11:685,1,519,6,0,366,5392,519,0 42,0,2024-09-07 09:38:11:475,127471,0.8,127075,0.9,254575,0.8,337408,2.50 42,1,2024-09-07 09:38:11:439,907914,907914,0,0,426091563282,4481002405,892905,12101,2908,380,391675,0 42,2,2024-09-07 09:38:11:133,652373,652373,0,0,30892222,0,3975 42,3,2024-09-07 09:38:11:009,1,519,2,0,892,4696,519,0 43,0,2024-09-07 09:38:10:918,127448,0.7,124137,0.9,259807,0.7,341024,2.25 43,1,2024-09-07 09:38:10:597,911256,911256,0,0,428669681799,4479253532,898387,10609,2260,366,391696,0 43,2,2024-09-07 09:38:11:736,655310,655310,0,0,30399890,0,4723 43,3,2024-09-07 09:38:11:754,1,519,4,0,571,7228,519,0 44,0,2024-09-07 09:38:10:870,134641,0.4,134633,0.6,269972,0.4,358847,2.00 44,1,2024-09-07 09:38:10:567,913852,913852,0,0,429120916583,4434546230,906055,6210,1587,356,391809,0 44,2,2024-09-07 09:38:11:267,655999,655999,0,0,25210683,0,4344 44,3,2024-09-07 09:38:11:097,1,519,0,0,817,5648,519,0 45,0,2024-09-07 09:38:11:760,128442,0.5,125448,0.7,263162,0.5,345904,2.00 45,1,2024-09-07 09:38:11:008,912497,912497,0,0,429979395754,4469092121,904985,6636,876,382,391917,0 45,2,2024-09-07 09:38:11:268,660495,660495,0,0,25552280,0,3596 45,3,2024-09-07 09:38:10:943,1,519,1,0,271,4545,519,0 46,0,2024-09-07 09:38:10:950,127418,0.5,126882,0.7,254671,0.4,338002,2.00 46,1,2024-09-07 09:38:10:598,914312,914312,0,0,429208889093,4436039198,907908,5541,863,366,391709,0 46,2,2024-09-07 09:38:10:592,654675,654675,0,0,25734126,0,4443 46,3,2024-09-07 09:38:11:131,1,519,1,0,908,6319,519,0 47,0,2024-09-07 09:38:11:105,127262,0.4,127424,0.6,255566,0.3,338751,1.75 47,1,2024-09-07 09:38:10:570,915035,915035,0,0,429755650681,4438949232,909809,4387,839,365,391641,0 47,2,2024-09-07 09:38:10:908,658087,658087,0,0,25560673,0,4477 47,3,2024-09-07 09:38:11:116,1,519,4,0,600,5904,519,0 48,0,2024-09-07 09:38:11:495,132883,0.3,132955,0.4,265235,0.2,353752,1.50 48,1,2024-09-07 09:38:11:036,912742,912742,0,0,428923541911,4456509343,906951,5218,573,384,391710,0 48,2,2024-09-07 09:38:10:700,656778,656778,0,0,23560395,0,3411 48,3,2024-09-07 09:38:10:764,1,519,4,0,339,4156,519,0 49,0,2024-09-07 09:38:11:764,135537,0.4,133004,0.5,258520,0.3,353072,1.75 49,1,2024-09-07 09:38:11:027,912399,912399,0,0,428584438080,4455460041,906833,4355,1211,382,391809,0 49,2,2024-09-07 09:38:11:799,661165,661165,0,0,26193655,0,4426 49,3,2024-09-07 09:38:11:421,1,519,0,0,992,6063,519,0 50,0,2024-09-07 09:38:11:538,128441,0.3,126718,0.5,255445,0.2,340000,1.75 50,1,2024-09-07 09:38:11:022,915634,915634,0,0,430385893318,4449972711,909689,5278,667,368,391565,0 50,2,2024-09-07 09:38:11:067,655781,655781,0,0,23961850,0,4490 50,3,2024-09-07 09:38:11:292,1,519,0,0,617,5434,519,0 51,0,2024-09-07 09:38:11:686,130578,0.3,127794,0.4,248923,0.2,338935,1.75 51,1,2024-09-07 09:38:11:684,915883,915883,0,0,431008090226,4444028899,911118,3710,1055,365,391706,0 51,2,2024-09-07 09:38:11:327,655023,655023,0,0,23205330,0,3337 51,3,2024-09-07 09:38:11:032,1,519,0,0,678,3774,519,0 52,0,2024-09-07 09:38:11:420,131246,0.5,130862,0.7,262052,0.4,348461,2.00 52,1,2024-09-07 09:38:10:575,911925,911925,0,0,427625700930,4477891532,898697,11123,2105,368,391722,0 52,2,2024-09-07 09:38:11:755,651892,651854,38,0,30981279,0,6742 52,3,2024-09-07 09:38:10:674,1,519,0,0,1782,6660,519,0 53,0,2024-09-07 09:38:11:742,133410,0.7,129427,0.8,270676,0.8,354940,2.50 53,1,2024-09-07 09:38:10:781,909913,909913,0,0,427564660762,4488175580,894552,11517,3844,367,391968,0 53,2,2024-09-07 09:38:11:298,659558,659557,1,0,27903428,0,5455 53,3,2024-09-07 09:38:10:697,1,519,6,0,308,5144,519,0 54,0,2024-09-07 09:38:11:616,126999,0.6,127457,0.8,253663,0.5,338640,2.25 54,1,2024-09-07 09:38:10:598,911961,911961,0,0,428452808572,4457961952,901897,8430,1634,366,391810,0 54,2,2024-09-07 09:38:10:871,658312,658280,32,0,31648288,0,6397 54,3,2024-09-07 09:38:10:774,1,519,1,0,676,7019,519,0 55,0,2024-09-07 09:38:11:766,123647,0.5,127612,0.7,258516,0.5,337230,2.25 55,1,2024-09-07 09:38:10:771,912992,912992,0,0,427835902948,4449972393,903310,8362,1320,365,391731,0 55,2,2024-09-07 09:38:10:728,652449,652393,56,0,29833185,0,7239 55,3,2024-09-07 09:38:10:674,1,519,1,0,304,4878,519,0 56,0,2024-09-07 09:38:11:555,132093,1.1,124444,1.1,256564,1.4,343476,2.75 56,1,2024-09-07 09:38:10:571,907674,907674,0,0,427110370514,4510330455,893358,11404,2912,381,391867,0 56,2,2024-09-07 09:38:11:318,654329,654207,122,0,31141018,0,7432 56,3,2024-09-07 09:38:11:073,1,519,5,0,705,6243,519,0 57,0,2024-09-07 09:38:10:943,133146,1.6,133141,1.3,266576,2.1,356965,3.25 57,1,2024-09-07 09:38:10:990,909243,909243,0,0,426981242924,4480381158,897061,9897,2285,366,392032,0 57,2,2024-09-07 09:38:11:327,658180,658180,0,0,32050201,0,4317 57,3,2024-09-07 09:38:11:741,1,519,6,0,455,5837,519,0 58,0,2024-09-07 09:38:10:574,127800,1.0,124139,1.0,259618,1.2,340141,2.75 58,1,2024-09-07 09:38:10:576,911009,911006,0,3,428144901472,4487652802,897203,10482,3321,367,391675,3 58,2,2024-09-07 09:38:11:074,658733,658733,0,0,30489896,0,3483 58,3,2024-09-07 09:38:11:073,1,519,2,0,1043,5700,519,0 59,0,2024-09-07 09:38:11:745,127518,0.8,127439,0.9,254065,0.9,337058,2.75 59,1,2024-09-07 09:38:10:804,909709,909709,0,0,427720799532,4492686485,894850,11720,3139,369,391653,0 59,2,2024-09-07 09:38:10:584,652769,652769,0,0,28957550,0,3727 59,3,2024-09-07 09:38:11:741,1,519,0,0,1015,6487,519,0 60,0,2024-09-07 09:38:11:708,127399,0.5,127627,0.7,255380,0.5,339364,1.75 60,1,2024-09-07 09:38:10:772,914201,914201,0,0,429247627912,4455030530,908018,5249,934,370,392031,0 60,2,2024-09-07 09:38:11:144,655427,655427,0,0,27550493,0,3811 60,3,2024-09-07 09:38:11:264,1,519,1,0,409,6099,519,0 61,0,2024-09-07 09:38:11:492,133446,0.7,133996,0.8,266874,0.7,356664,2.00 61,1,2024-09-07 09:38:10:770,910681,910681,0,0,428051457086,4482403535,900803,8298,1580,382,392127,0 61,2,2024-09-07 09:38:11:126,657265,657198,67,0,28514373,0,6411 61,3,2024-09-07 09:38:11:687,1,519,3,0,607,7479,519,0 62,0,2024-09-07 09:38:11:727,131613,0.7,135070,0.8,257766,0.7,350090,2.00 62,1,2024-09-07 09:38:11:111,916135,916129,0,6,430954778255,4441142913,911973,3876,280,365,391975,6 62,2,2024-09-07 09:38:11:655,658068,658067,1,0,27798268,0,5555 62,3,2024-09-07 09:38:11:144,1,519,1,0,482,4225,519,0 63,0,2024-09-07 09:38:11:454,128290,0.4,128031,0.6,256973,0.3,341087,1.75 63,1,2024-09-07 09:38:10:804,913409,913403,0,6,429265764899,4456026894,907648,5004,751,381,391800,6 63,2,2024-09-07 09:38:10:772,654878,654878,0,0,26805120,0,4369 63,3,2024-09-07 09:38:11:736,1,519,0,0,667,5571,519,0 64,0,2024-09-07 09:38:11:521,126172,0.5,126222,0.6,252015,0.4,334962,2.00 64,1,2024-09-07 09:38:10:761,913047,913047,0,0,428527974248,4462680964,905156,6120,1771,370,391794,0 64,2,2024-09-07 09:38:11:157,659337,659318,19,0,25817318,0,6121 64,3,2024-09-07 09:38:11:141,1,519,2,0,651,5475,519,0 65,0,2024-09-07 09:38:11:671,130394,0.6,130671,0.7,260895,0.6,348625,2.00 65,1,2024-09-07 09:38:10:875,911210,911210,0,0,427542351941,4468984632,904462,5951,797,381,391901,0 65,2,2024-09-07 09:38:11:701,655551,655551,0,0,30281617,0,3367 65,3,2024-09-07 09:38:11:690,1,519,1,0,782,6166,519,0 66,0,2024-09-07 09:38:11:768,132952,0.6,132442,0.8,265045,0.6,352654,2.25 66,1,2024-09-07 09:38:11:302,912901,912901,0,0,428450352102,4460086733,906753,5426,722,380,391743,0 66,2,2024-09-07 09:38:11:131,661693,661690,3,0,27673632,0,5455 66,3,2024-09-07 09:38:11:080,1,519,2,0,291,4504,519,0 67,0,2024-09-07 09:38:11:417,127995,0.5,127650,0.7,255970,0.5,340305,2.00 67,1,2024-09-07 09:38:10:767,913102,913101,0,1,428920784341,4465570177,906829,5458,814,380,391787,1 67,2,2024-09-07 09:38:10:583,659404,659389,15,0,26720692,0,6205 67,3,2024-09-07 09:38:11:752,1,519,1,0,595,5520,519,0 68,0,2024-09-07 09:38:10:575,128134,0.5,128009,0.7,254960,0.5,340676,2.00 68,1,2024-09-07 09:38:10:575,909007,909007,0,0,426342078291,4485552749,896612,8994,3401,381,391953,0 68,2,2024-09-07 09:38:11:048,650135,650035,100,0,34505459,0,8578 68,3,2024-09-07 09:38:10:728,1,519,1,0,417,6783,519,0 69,0,2024-09-07 09:38:11:749,129372,0.6,130190,0.8,259613,0.6,345191,2.25 69,1,2024-09-07 09:38:11:016,908026,908026,0,0,427127549111,4501952895,895775,9492,2759,384,391994,0 69,2,2024-09-07 09:38:11:736,653542,653513,29,0,35542279,0,6912 69,3,2024-09-07 09:38:10:766,1,519,3,0,698,7756,519,0 70,0,2024-09-07 09:38:11:534,133461,0.9,133830,1.0,269225,0.8,356170,2.50 70,1,2024-09-07 09:38:10:806,913704,913704,0,0,429592521645,4458475020,906449,6493,762,366,391725,0 70,2,2024-09-07 09:38:11:329,658872,658872,0,0,29930299,0,4323 70,3,2024-09-07 09:38:10:754,1,519,1,0,854,5690,519,0 71,0,2024-09-07 09:38:11:368,127896,1.1,127644,1.1,256516,1.6,342505,2.75 71,1,2024-09-07 09:38:11:596,911389,911389,0,0,428260431632,4471460415,899996,10138,1255,368,391738,0 71,2,2024-09-07 09:38:11:067,657735,657735,0,0,30581256,0,4352 71,3,2024-09-07 09:38:11:749,1,519,118,0,644,6234,519,0 72,0,2024-09-07 09:38:11:033,132285,0.5,129239,0.7,252298,0.5,342804,2.00 72,1,2024-09-07 09:38:11:026,909620,909620,0,0,427783312390,4488602183,896238,11100,2282,369,391819,0 72,2,2024-09-07 09:38:11:756,652025,652025,0,0,31828080,0,3983 72,3,2024-09-07 09:38:11:762,1,519,8,0,564,7534,519,0 73,0,2024-09-07 09:38:11:170,125256,0.4,128548,0.6,262647,0.4,342021,2.00 73,1,2024-09-07 09:38:10:771,912819,912819,0,0,428251598998,4447132560,906591,5803,425,367,391858,0 73,2,2024-09-07 09:38:11:747,654580,654580,0,0,32273534,0,4728 73,3,2024-09-07 09:38:10:977,1,519,5,0,486,6535,519,0 74,0,2024-09-07 09:38:11:334,135492,0.5,138660,0.7,264519,0.4,359083,2.00 74,1,2024-09-07 09:38:10:635,910892,910892,0,0,427132817306,4461520941,900441,8527,1924,381,391762,0 74,2,2024-09-07 09:38:11:014,657120,657120,0,0,28248596,0,4253 74,3,2024-09-07 09:38:11:444,1,519,1,0,522,6268,519,0 75,0,2024-09-07 09:38:11:773,130265,0.6,129444,0.8,259878,0.6,347391,2.25 75,1,2024-09-07 09:38:11:599,911996,911996,0,0,427787922794,4460542900,904104,7031,861,380,391739,0 75,2,2024-09-07 09:38:11:356,658091,658091,0,0,33797800,0,4766 75,3,2024-09-07 09:38:11:074,1,519,22,0,702,6188,519,0 76,0,2024-09-07 09:38:10:589,127370,0.5,126667,0.7,253928,0.5,339532,2.25 76,1,2024-09-07 09:38:10:814,911360,911360,0,0,428104756788,4465420914,904734,5659,967,382,391692,0 76,2,2024-09-07 09:38:11:064,656120,656117,3,0,28436453,0,5265 76,3,2024-09-07 09:38:11:144,1,519,1,0,227,4840,519,0 77,0,2024-09-07 09:38:11:694,126712,0.5,127130,0.7,254305,0.5,337813,2.00 77,1,2024-09-07 09:38:10:842,912044,912044,0,0,428040989982,4464673354,905211,6238,595,381,391869,0 77,2,2024-09-07 09:38:11:290,654757,654757,0,0,27967163,0,3890 77,3,2024-09-07 09:38:11:100,1,519,2,0,401,5561,519,0 78,0,2024-09-07 09:38:11:725,132741,0.4,132265,0.6,265419,0.4,352163,2.00 78,1,2024-09-07 09:38:10:611,911740,911740,0,0,427896772989,4462362289,900972,8242,2526,367,391670,0 78,2,2024-09-07 09:38:11:411,657892,657879,13,0,26032376,0,8313 78,3,2024-09-07 09:38:11:133,1,519,1,0,311,4524,519,0 79,0,2024-09-07 09:38:11:356,127593,0.4,130574,0.6,267441,0.4,347932,2.25 79,1,2024-09-07 09:38:10:578,914489,914489,0,0,429066523135,4446342162,906754,6378,1357,367,391682,0 79,2,2024-09-07 09:38:11:073,660196,660196,0,0,25087161,0,4195 79,3,2024-09-07 09:38:10:757,1,519,1,0,418,6282,519,0 80,0,2024-09-07 09:38:11:083,127610,0.5,131100,0.7,250952,0.5,339547,2.00 80,1,2024-09-07 09:38:11:625,911516,911516,0,0,428034437560,4455164408,905693,5397,426,368,392269,0 80,2,2024-09-07 09:38:11:099,657804,657804,0,0,25644514,0,4433 80,3,2024-09-07 09:38:10:575,1,519,1,0,296,6200,519,0 81,0,2024-09-07 09:38:11:539,127414,0.5,130419,0.7,248698,0.5,337530,2.00 81,1,2024-09-07 09:38:11:651,910530,910530,0,0,427371190476,4467778282,903131,6761,638,382,391885,0 81,2,2024-09-07 09:38:11:125,653863,653800,63,0,28613716,0,5932 81,3,2024-09-07 09:38:11:124,1,519,1,0,719,5956,519,0 82,0,2024-09-07 09:38:11:539,130535,0.5,130652,0.7,261895,0.4,347606,2.00 82,1,2024-09-07 09:38:10:584,913036,913032,0,4,429120433515,4461253826,907954,4258,820,381,391768,4 82,2,2024-09-07 09:38:11:691,657542,657542,0,0,23828313,0,4484 82,3,2024-09-07 09:38:11:753,1,519,1,0,363,4982,519,0 83,0,2024-09-07 09:38:11:533,133983,0.6,134010,0.8,267335,0.7,354991,2.25 83,1,2024-09-07 09:38:10:564,912045,912045,0,0,428158744994,4463433085,905690,5901,454,382,391709,0 83,2,2024-09-07 09:38:10:771,659348,659323,25,0,25862258,0,5612 83,3,2024-09-07 09:38:10:758,1,519,1,0,1260,6495,519,0 84,0,2024-09-07 09:38:11:775,127879,0.8,128085,0.9,255785,0.7,342036,2.25 84,1,2024-09-07 09:38:11:049,910451,910451,0,0,427467558427,4470264421,900213,8713,1525,367,391967,0 84,2,2024-09-07 09:38:10:578,657324,657294,30,0,34109783,0,5971 84,3,2024-09-07 09:38:11:143,1,519,1,0,908,7392,519,0 85,0,2024-09-07 09:38:11:039,123189,0.6,123220,0.8,261566,0.5,338586,2.25 85,1,2024-09-07 09:38:10:565,907190,907190,0,0,426673664409,4507114058,892921,11611,2658,381,392092,0 85,2,2024-09-07 09:38:10:871,651803,651803,0,0,31786118,0,4255 85,3,2024-09-07 09:38:10:687,1,519,31,0,789,6029,519,0 86,0,2024-09-07 09:38:10:905,129093,0.6,132790,0.7,253982,0.6,343898,2.25 86,1,2024-09-07 09:38:10:829,910302,910302,0,0,427773178384,4483064697,899008,9318,1976,366,391995,0 86,2,2024-09-07 09:38:10:868,653208,653207,1,0,34261326,0,5004 86,3,2024-09-07 09:38:10:593,1,519,7,0,308,7527,519,0 87,0,2024-09-07 09:38:11:292,134997,0.9,134827,0.9,269469,1.1,360843,2.25 87,1,2024-09-07 09:38:10:550,909103,909103,0,0,426698592716,4472899758,896904,10483,1716,366,392076,0 87,2,2024-09-07 09:38:11:074,657693,657687,6,0,30273582,0,6323 87,3,2024-09-07 09:38:11:796,1,519,13,0,473,7644,519,0 88,0,2024-09-07 09:38:11:473,129533,0.5,130191,0.6,260081,0.4,346426,2.00 88,1,2024-09-07 09:38:10:573,908823,908823,0,0,427437543633,4478172176,896184,10023,2616,365,392084,0 88,2,2024-09-07 09:38:10:689,659175,659175,0,0,32595541,0,4465 88,3,2024-09-07 09:38:11:267,1,519,70,0,435,6667,519,0 89,0,2024-09-07 09:38:11:792,131779,0.4,127816,0.6,252414,0.4,342881,1.75 89,1,2024-09-07 09:38:10:557,909327,909327,0,0,427673103742,4499649588,897916,9595,1816,382,391866,0 89,2,2024-09-07 09:38:11:141,652615,652615,0,0,30874506,0,3173 89,3,2024-09-07 09:38:11:791,1,519,1,0,468,8545,519,0 90,0,2024-09-07 09:38:11:650,123708,0.4,127204,0.6,259080,0.4,338211,1.75 90,1,2024-09-07 09:38:10:591,910499,910499,0,0,427054604302,4470620085,901988,7778,733,380,391825,0 90,2,2024-09-07 09:38:11:411,652846,652846,0,0,33077703,0,3608 90,3,2024-09-07 09:38:10:930,1,519,89,0,322,6367,519,0 91,0,2024-09-07 09:38:10:933,134059,0.5,130011,0.6,271871,0.4,357177,1.75 91,1,2024-09-07 09:38:10:574,908807,908807,0,0,426447943854,4484906065,897234,9561,2012,381,392047,0 91,2,2024-09-07 09:38:11:334,657422,657422,0,0,29284438,0,4713 91,3,2024-09-07 09:38:10:608,1,519,15,0,216,4939,519,0 92,0,2024-09-07 09:38:11:471,132702,0.5,135598,0.6,258673,0.5,350265,1.75 92,1,2024-09-07 09:38:10:682,911036,911036,0,0,428247258780,4474195371,903527,6302,1207,381,392136,0 92,2,2024-09-07 09:38:11:355,660267,660267,0,0,26329504,0,3259 92,3,2024-09-07 09:38:11:019,1,519,1,0,167,4524,519,0 93,0,2024-09-07 09:38:10:958,128605,0.4,131872,0.6,251676,0.3,341003,1.75 93,1,2024-09-07 09:38:10:806,911597,911597,0,0,428243538794,4471442433,901316,8548,1733,366,391776,0 93,2,2024-09-07 09:38:10:928,654691,654691,0,0,31984728,0,4913 93,3,2024-09-07 09:38:11:405,1,519,2,0,190,4710,519,0 94,0,2024-09-07 09:38:11:605,126295,0.3,126979,0.5,253836,0.3,336795,1.75 94,1,2024-09-07 09:38:10:564,911869,911869,0,0,428790941661,4471946626,905772,5724,373,381,391850,0 94,2,2024-09-07 09:38:10:804,655055,655027,28,0,27337303,0,6179 94,3,2024-09-07 09:38:11:697,1,519,3,0,576,6574,519,0 95,0,2024-09-07 09:38:11:353,131602,0.3,131349,0.5,262994,0.3,351088,1.75 95,1,2024-09-07 09:38:10:858,912862,912862,0,0,428683440780,4455488839,905512,6693,657,365,391786,0 95,2,2024-09-07 09:38:11:016,655508,655508,0,0,26078325,0,3308 95,3,2024-09-07 09:38:11:713,1,519,2,0,718,8039,519,0 96,0,2024-09-07 09:38:11:061,133627,0.4,133764,0.5,267359,0.3,354858,1.75 96,1,2024-09-07 09:38:11:588,911464,911464,0,0,427444389002,4458695518,905074,5477,913,384,391955,0 96,2,2024-09-07 09:38:11:281,660055,660055,0,0,27191956,0,4180 96,3,2024-09-07 09:38:11:170,1,519,32,0,411,5525,519,0 97,0,2024-09-07 09:38:11:335,128032,0.3,127863,0.5,256780,0.3,340579,1.50 97,1,2024-09-07 09:38:10:772,913281,913281,0,0,429298891418,4453050428,907527,4809,945,367,392140,0 97,2,2024-09-07 09:38:10:616,658438,658438,0,0,26654514,0,3679 97,3,2024-09-07 09:38:10:576,1,519,1,0,242,6011,519,0 98,0,2024-09-07 09:38:11:714,127570,0.3,127772,0.4,256173,0.2,340274,1.50 98,1,2024-09-07 09:38:10:593,912401,912401,0,0,428030692549,4457434402,906994,4607,800,381,391997,0 98,2,2024-09-07 09:38:10:779,654172,654172,0,0,26174680,0,4336 98,3,2024-09-07 09:38:10:702,1,519,2,0,840,8015,519,0 99,0,2024-09-07 09:38:11:459,130068,0.3,130739,0.5,260210,0.3,347734,1.75 99,1,2024-09-07 09:38:11:723,912537,912537,0,0,427214813893,4447112471,906572,5038,927,380,392069,0 99,2,2024-09-07 09:38:11:416,655887,655887,0,0,31480465,0,4276 99,3,2024-09-07 09:38:10:589,1,519,1,0,606,5220,519,0 100,0,2024-09-07 09:38:11:488,134717,0.8,134969,1.0,269393,1.0,359617,2.50 100,1,2024-09-07 09:38:10:564,907557,907557,0,0,426689636854,4512447570,893218,11240,3099,378,391989,0 100,2,2024-09-07 09:38:11:817,656347,656336,11,0,31083900,0,5417 100,3,2024-09-07 09:38:11:731,1,519,1,0,627,9388,519,0 101,0,2024-09-07 09:38:11:705,131829,1.2,128517,1.1,251896,1.3,344713,2.25 101,1,2024-09-07 09:38:10:560,908757,908757,0,0,427542750298,4493502631,896362,9994,2401,368,391847,0 101,2,2024-09-07 09:38:11:756,654533,654533,0,0,36064144,0,4871 101,3,2024-09-07 09:38:10:943,1,519,104,0,1250,8075,519,0 102,0,2024-09-07 09:38:10:951,124590,0.6,128461,0.7,260649,0.6,341003,2.00 102,1,2024-09-07 09:38:11:145,909045,909045,0,0,426681486062,4482802391,896834,10190,2021,369,391891,0 102,2,2024-09-07 09:38:11:737,652924,652870,54,0,30381224,0,6768 102,3,2024-09-07 09:38:11:621,1,519,1,0,466,6096,519,0 103,0,2024-09-07 09:38:11:642,132753,0.5,132703,0.7,250228,0.5,344651,2.00 103,1,2024-09-07 09:38:11:644,907494,907494,0,0,426770705846,4506117958,893305,10990,3199,381,391862,0 103,2,2024-09-07 09:38:10:586,653626,653626,0,0,32203124,0,3766 103,3,2024-09-07 09:38:10:766,1,519,2,0,916,6236,519,0 104,0,2024-09-07 09:38:11:083,133429,0.7,133655,0.9,266504,0.7,357061,2.25 104,1,2024-09-07 09:38:11:618,910346,910346,0,0,426892948914,4484798856,897290,10505,2551,365,392168,0 104,2,2024-09-07 09:38:11:673,655328,655328,0,0,31061150,0,3941 104,3,2024-09-07 09:38:11:421,1,519,12,0,1245,9144,519,0 105,0,2024-09-07 09:38:11:114,128589,1.0,125270,1.1,262714,1.2,345507,2.75 105,1,2024-09-07 09:38:10:574,911440,911440,0,0,428409369218,4488167269,899844,9828,1768,365,392009,0 105,2,2024-09-07 09:38:11:329,658048,658048,0,0,31296030,0,4360 105,3,2024-09-07 09:38:11:306,1,519,0,0,399,7446,519,0 106,0,2024-09-07 09:38:10:936,123528,0.7,126524,0.9,259274,0.8,338307,2.50 106,1,2024-09-07 09:38:11:755,910454,910454,0,0,427255551687,4482906831,897842,10964,1648,368,391914,0 106,2,2024-09-07 09:38:10:756,652916,652916,0,0,29436774,0,2920 106,3,2024-09-07 09:38:10:677,1,519,1,0,1224,7766,519,0 107,0,2024-09-07 09:38:11:099,126843,0.7,127046,0.8,253903,0.8,338795,2.00 107,1,2024-09-07 09:38:10:602,908533,908533,0,0,426605205589,4498095614,895630,11510,1393,381,392234,0 107,2,2024-09-07 09:38:11:295,652833,652832,1,0,32265585,0,5024 107,3,2024-09-07 09:38:11:762,1,519,1,0,733,7857,519,0 108,0,2024-09-07 09:38:11:800,132265,0.4,133019,0.6,264738,0.4,353705,1.75 108,1,2024-09-07 09:38:11:293,911242,911242,0,0,428670566916,4471259304,903330,6941,971,367,391857,0 108,2,2024-09-07 09:38:11:764,655684,655684,0,0,28822103,0,4246 108,3,2024-09-07 09:38:11:342,1,519,0,0,749,10297,519,0 109,0,2024-09-07 09:38:11:764,132811,0.4,131552,0.6,263788,0.4,352080,1.75 109,1,2024-09-07 09:38:10:584,908822,908822,0,0,427510392981,4484743347,900613,6993,1216,382,392132,0 109,2,2024-09-07 09:38:10:920,657767,657767,0,0,28776544,0,3617 109,3,2024-09-07 09:38:11:143,1,519,1,0,630,6505,519,0 110,0,2024-09-07 09:38:11:757,127643,0.4,124197,0.6,260172,0.3,340819,1.75 110,1,2024-09-07 09:38:11:653,912272,912272,0,0,429106581319,4456864261,904743,5607,1922,368,392045,0 110,2,2024-09-07 09:38:11:307,656318,656318,0,0,27198870,0,4067 110,3,2024-09-07 09:38:10:690,1,519,1,0,722,7216,519,0 111,0,2024-09-07 09:38:11:411,127695,0.3,127017,0.5,254365,0.3,338824,1.75 111,1,2024-09-07 09:38:11:019,913958,913958,0,0,430168849304,4459638942,909414,4187,357,380,391690,0 111,2,2024-09-07 09:38:11:120,654261,654261,0,0,27743794,0,4823 111,3,2024-09-07 09:38:10:914,1,519,3,0,379,5909,519,0 112,0,2024-09-07 09:38:10:929,131451,0.3,130880,0.4,262534,0.2,348597,1.50 112,1,2024-09-07 09:38:10:828,912522,912522,0,0,428507994526,4448789814,906623,5208,691,380,391624,0 112,2,2024-09-07 09:38:11:132,655510,655509,1,0,26373087,0,5036 112,3,2024-09-07 09:38:10:597,1,519,3,0,282,4971,519,0 113,0,2024-09-07 09:38:10:883,134256,0.3,134162,0.5,268536,0.3,357200,1.75 113,1,2024-09-07 09:38:11:691,915417,915417,0,0,430100434831,4438325223,910167,4437,813,365,391661,0 113,2,2024-09-07 09:38:11:307,661757,661757,0,0,24067737,0,3813 113,3,2024-09-07 09:38:10:685,1,519,2,0,340,5498,519,0 114,0,2024-09-07 09:38:10:876,129330,0.3,130213,0.5,258837,0.2,346010,1.75 114,1,2024-09-07 09:38:10:725,912651,912651,0,0,429013637868,4455338283,905745,5290,1616,381,391565,0 114,2,2024-09-07 09:38:10:879,659754,659753,1,0,25962213,0,5069 114,3,2024-09-07 09:38:11:282,1,519,0,0,395,4239,519,0 115,0,2024-09-07 09:38:10:557,128549,0.3,129080,0.4,257322,0.2,342265,1.50 115,1,2024-09-07 09:38:10:571,913330,913330,0,0,428899199565,4456939472,905930,6043,1357,382,391757,0 115,2,2024-09-07 09:38:11:124,656305,656305,0,0,25458006,0,4382 115,3,2024-09-07 09:38:11:013,1,519,1,0,167,3011,519,0 116,0,2024-09-07 09:38:11:699,128890,0.6,128824,0.8,258058,0.6,344942,2.00 116,1,2024-09-07 09:38:10:812,908554,908554,0,0,427036726783,4501097204,897896,8213,2445,380,392089,0 116,2,2024-09-07 09:38:11:753,653706,653706,0,0,32652182,0,4475 116,3,2024-09-07 09:38:10:913,1,519,1,0,415,6430,519,0 117,0,2024-09-07 09:38:10:972,135357,0.8,134702,0.8,269921,0.8,361509,2.00 117,1,2024-09-07 09:38:11:584,909373,909373,0,0,427603386031,4476620650,899346,8802,1225,369,392033,0 117,2,2024-09-07 09:38:11:126,659771,659771,0,0,28635939,0,4303 117,3,2024-09-07 09:38:11:082,1,519,1,0,490,7138,519,0 118,0,2024-09-07 09:38:11:772,125751,0.6,129305,0.7,263493,0.6,344928,2.00 118,1,2024-09-07 09:38:10:594,909073,909073,0,0,426520374827,4484412879,895574,10378,3121,366,392054,0 118,2,2024-09-07 09:38:11:587,658039,658039,0,0,31152886,0,2842 118,3,2024-09-07 09:38:11:766,1,519,3,0,248,5892,519,0 119,0,2024-09-07 09:38:11:336,127765,0.6,128211,0.8,256261,0.6,341079,2.00 119,1,2024-09-07 09:38:10:549,910090,910090,0,0,428145938201,4486639433,898363,9960,1767,367,391857,0 119,2,2024-09-07 09:38:11:284,653544,653544,0,0,28937449,0,4174 119,3,2024-09-07 09:38:11:325,1,519,1,0,1358,9742,519,0 120,0,2024-09-07 09:38:11:547,126885,0.6,126555,0.8,254417,0.6,338988,2.25 120,1,2024-09-07 09:38:10:865,910490,910490,0,0,427268479889,4477867143,901194,8516,780,368,392144,0 120,2,2024-09-07 09:38:10:774,653891,653890,1,0,33470342,0,5281 120,3,2024-09-07 09:38:11:292,1,519,18,0,279,6511,519,0 121,0,2024-09-07 09:38:11:693,133796,1.3,133165,1.1,266895,1.8,356242,2.50 121,1,2024-09-07 09:38:11:658,910858,910858,0,0,427275027445,4466246875,902124,8033,701,366,391840,0 121,2,2024-09-07 09:38:11:126,655678,655678,0,0,30747699,0,4157 121,3,2024-09-07 09:38:10:737,1,519,3,0,330,6249,519,0 122,0,2024-09-07 09:38:11:777,130673,0.9,127101,0.9,266356,1.0,350131,2.25 122,1,2024-09-07 09:38:10:875,909430,909430,0,0,427618299485,4485579379,896981,10564,1885,366,392130,0 122,2,2024-09-07 09:38:11:326,660144,660069,75,0,35022860,0,5989 122,3,2024-09-07 09:38:10:602,1,519,6,0,512,8773,519,0 123,0,2024-09-07 09:38:10:963,127354,0.8,123962,0.9,259574,0.9,339605,2.25 123,1,2024-09-07 09:38:10:736,909991,909991,0,0,427072601253,4492978919,894839,12648,2504,369,392039,0 123,2,2024-09-07 09:38:11:019,652581,652580,1,0,29681386,0,5215 123,3,2024-09-07 09:38:11:156,1,519,1,0,478,6047,519,0 124,0,2024-09-07 09:38:10:926,130387,0.3,130342,0.5,245763,0.3,337826,1.75 124,1,2024-09-07 09:38:11:022,913028,913028,0,0,428229600136,4451907831,906221,5676,1131,365,392178,0 124,2,2024-09-07 09:38:11:013,656137,656084,53,0,27053646,0,6487 124,3,2024-09-07 09:38:10:770,1,519,13,0,490,5443,519,0 125,0,2024-09-07 09:38:11:418,131302,0.4,130942,0.6,263341,0.3,351044,1.75 125,1,2024-09-07 09:38:10:867,911438,911438,0,0,428201093202,4469611540,904461,5994,983,382,391813,0 125,2,2024-09-07 09:38:11:124,658145,658145,0,0,27110501,0,4534 125,3,2024-09-07 09:38:11:136,1,519,1,0,709,6164,519,0 126,0,2024-09-07 09:38:11:482,133598,0.4,137352,0.6,262754,0.4,355470,1.75 126,1,2024-09-07 09:38:10:597,914211,914211,0,0,428838210065,4438837132,909539,4362,310,365,391987,0 126,2,2024-09-07 09:38:10:609,660274,660274,0,0,27970098,0,4539 126,3,2024-09-07 09:38:10:913,1,519,1,0,268,5820,519,0 127,0,2024-09-07 09:38:11:591,128225,0.3,128600,0.5,256590,0.3,340806,1.75 127,1,2024-09-07 09:38:10:570,912569,912569,0,0,427916691579,4453500686,903201,7864,1504,364,392187,0 127,2,2024-09-07 09:38:10:644,656682,656678,4,0,26628802,0,5305 127,3,2024-09-07 09:38:11:271,1,519,1,0,968,5180,519,0 128,0,2024-09-07 09:38:11:534,128397,0.3,128502,0.4,256588,0.2,341180,1.50 128,1,2024-09-07 09:38:11:607,911679,911679,0,0,428589589456,4452593845,905203,5741,735,367,392031,0 128,2,2024-09-07 09:38:11:382,655601,655601,0,0,24882221,0,3171 128,3,2024-09-07 09:38:10:769,1,519,1,0,1082,8329,519,0 129,0,2024-09-07 09:38:11:011,131174,0.3,130564,0.4,261588,0.3,348141,1.50 129,1,2024-09-07 09:38:10:571,909184,909184,0,0,426458415852,4470172430,900157,7082,1945,379,391962,0 129,2,2024-09-07 09:38:10:695,656122,656118,4,0,27197471,0,5335 129,3,2024-09-07 09:38:10:693,1,519,2,0,506,7307,519,0 130,0,2024-09-07 09:38:11:724,135831,0.4,135328,0.6,271510,0.4,361114,1.75 130,1,2024-09-07 09:38:10:802,912460,912460,0,0,428155880118,4447948178,907665,4407,388,381,391825,0 130,2,2024-09-07 09:38:11:135,659713,659713,0,0,27205695,0,4067 130,3,2024-09-07 09:38:11:294,1,519,1,0,960,7294,519,0 131,0,2024-09-07 09:38:11:943,129455,0.4,129836,0.5,260165,0.3,345607,1.75 131,1,2024-09-07 09:38:11:841,911833,911833,0,0,428250637401,4467775846,904954,5687,1192,381,391865,0 131,2,2024-09-07 09:38:10:571,659730,659730,0,0,25238259,0,3979 131,3,2024-09-07 09:38:11:697,1,519,1,0,392,6354,519,0 132,0,2024-09-07 09:38:11:421,128196,0.4,129252,0.6,257204,0.4,342215,2.00 132,1,2024-09-07 09:38:10:607,908215,908215,0,0,426174984764,4483980628,895732,10288,2195,381,392532,0 132,2,2024-09-07 09:38:10:700,652916,652899,17,0,33074134,0,6451 132,3,2024-09-07 09:38:11:695,1,519,0,0,804,8729,519,0 133,0,2024-09-07 09:38:11:539,125278,0.4,128216,0.6,263077,0.3,342744,1.75 133,1,2024-09-07 09:38:10:590,908002,908002,0,0,426634147441,4496799961,895493,10818,1691,383,391914,0 133,2,2024-09-07 09:38:11:091,655286,655236,50,0,33502503,0,6861 133,3,2024-09-07 09:38:11:300,1,519,3,0,479,6219,519,0 134,0,2024-09-07 09:38:10:939,134775,0.5,134667,0.7,269701,0.5,359363,2.25 134,1,2024-09-07 09:38:10:584,909889,909889,0,0,426982372431,4476786165,898493,9249,2147,366,391718,0 134,2,2024-09-07 09:38:11:756,656741,656717,24,0,31553371,0,6207 134,3,2024-09-07 09:38:10:750,1,519,1,0,739,6318,519,0 135,0,2024-09-07 09:38:11:121,126033,0.9,125971,0.9,267417,1.2,344998,2.25 135,1,2024-09-07 09:38:11:585,909350,909350,0,0,427539194245,4495966080,897717,10067,1566,380,391805,0 135,2,2024-09-07 09:38:10:690,659506,659506,0,0,31784008,0,4503 135,3,2024-09-07 09:38:11:001,1,519,1,0,900,5338,519,0 136,0,2024-09-07 09:38:11:619,128379,0.5,128584,0.7,256018,0.5,341463,2.00 136,1,2024-09-07 09:38:11:450,910798,910798,0,0,427033907023,4476749926,900422,9108,1268,381,392135,0 136,2,2024-09-07 09:38:11:144,655067,655052,15,0,30837358,0,6007 136,3,2024-09-07 09:38:11:106,1,519,1,0,637,6247,519,0 137,0,2024-09-07 09:38:10:923,131056,0.5,127708,0.7,250315,0.5,340016,2.00 137,1,2024-09-07 09:38:10:601,908894,908894,0,0,426843890339,4473629315,895463,10759,2672,366,391898,0 137,2,2024-09-07 09:38:11:727,653781,653781,0,0,32328083,0,3185 137,3,2024-09-07 09:38:10:772,1,519,2,0,484,6588,519,0 138,0,2024-09-07 09:38:11:759,131920,0.8,132117,0.9,264912,1.0,352162,2.00 138,1,2024-09-07 09:38:11:688,909699,909699,0,0,427754167829,4485723742,896964,10766,1969,368,391954,0 138,2,2024-09-07 09:38:10:596,655232,655232,0,0,29845128,0,4988 138,3,2024-09-07 09:38:10:615,1,519,0,0,1200,8409,519,0 139,0,2024-09-07 09:38:11:372,130962,1.3,131406,1.0,262918,1.9,350458,2.50 139,1,2024-09-07 09:38:10:572,905669,905669,0,0,425901717427,4516196951,889729,12478,3462,380,392058,0 139,2,2024-09-07 09:38:10:755,655491,655491,0,0,33293580,0,3097 139,3,2024-09-07 09:38:11:667,1,519,1,0,432,5976,519,0 140,0,2024-09-07 09:38:11:587,128403,0.3,127596,0.5,255938,0.2,341064,1.75 140,1,2024-09-07 09:38:11:535,915103,915103,0,0,430617355121,4438918223,910521,4044,538,364,391606,0 140,2,2024-09-07 09:38:10:690,657295,657294,1,0,25394503,0,5036 140,3,2024-09-07 09:38:10:773,1,519,2,0,297,4870,519,0 141,0,2024-09-07 09:38:11:697,127560,0.3,130875,0.4,249988,0.2,338458,1.50 141,1,2024-09-07 09:38:10:859,913256,913256,0,0,429633407979,4462203779,906150,6021,1085,379,391614,0 141,2,2024-09-07 09:38:11:687,654789,654778,11,0,26914979,0,5369 141,3,2024-09-07 09:38:11:043,1,519,1,0,391,5902,519,0 142,0,2024-09-07 09:38:11:321,131664,0.3,130702,0.5,262034,0.3,349494,1.50 142,1,2024-09-07 09:38:10:585,911837,911837,0,0,428602625553,4466861485,906175,5203,459,382,392102,0 142,2,2024-09-07 09:38:11:299,654804,654772,32,0,27501496,0,6028 142,3,2024-09-07 09:38:11:749,1,519,2,0,484,5432,519,0 143,0,2024-09-07 09:38:11:390,134087,0.4,134024,0.6,268959,0.4,357160,1.75 143,1,2024-09-07 09:38:10:556,913444,913444,0,0,429216620399,4454255133,907363,5524,557,367,391722,0 143,2,2024-09-07 09:38:10:774,660324,660324,0,0,26993911,0,3123 143,3,2024-09-07 09:38:11:142,1,519,0,0,462,6523,519,0 144,0,2024-09-07 09:38:11:505,125091,0.6,128655,0.8,261690,0.6,342904,2.00 144,1,2024-09-07 09:38:10:584,909093,909093,0,0,426727785296,4478545826,899705,7422,1966,381,391733,0 144,2,2024-09-07 09:38:11:755,658919,658919,0,0,25794435,0,3673 144,3,2024-09-07 09:38:11:742,1,519,1,0,249,5085,519,0 145,0,2024-09-07 09:38:11:363,123977,0.5,124022,0.8,263123,0.5,339003,2.25 145,1,2024-09-07 09:38:10:571,908321,908321,0,0,426984861735,4495229012,895951,9904,2466,382,391759,0 145,2,2024-09-07 09:38:11:429,651272,651190,82,0,31841678,0,7814 145,3,2024-09-07 09:38:10:897,1,519,237,0,622,7438,519,0 146,0,2024-09-07 09:38:11:594,129170,0.5,128618,0.7,258321,0.5,343381,2.00 146,1,2024-09-07 09:38:11:590,909568,909568,0,0,426786053783,4487040894,895126,10641,3801,367,391770,0 146,2,2024-09-07 09:38:11:701,653433,653427,6,0,29930404,0,5151 146,3,2024-09-07 09:38:11:282,1,519,1,0,1520,8822,519,0 147,0,2024-09-07 09:38:11:699,135262,0.6,134984,0.7,269513,0.6,360852,2.25 147,1,2024-09-07 09:38:11:388,913031,913031,0,0,429566667699,4463136098,905748,6404,879,367,391791,0 147,2,2024-09-07 09:38:11:012,657523,657523,0,0,26757921,0,2968 147,3,2024-09-07 09:38:10:913,1,519,2,0,1626,8459,519,0 0,0,2024-09-07 09:38:21:741,124087,0.5,124081,0.7,263293,0.6,340206,2.00 0,1,2024-09-07 09:38:20:814,911791,911791,0,0,428026965599,4481990908,904280,6671,840,369,391896,0 0,2,2024-09-07 09:38:21:078,657470,657470,0,0,26845035,0,4480 0,3,2024-09-07 09:38:20:981,1,520,1,0,431,7200,520,0 1,0,2024-09-07 09:38:21:788,133958,1.0,133168,0.9,267435,1.2,357666,2.00 1,1,2024-09-07 09:38:20:576,911015,911015,0,0,427478019919,4479809424,902299,7249,1467,370,391859,0 1,2,2024-09-07 09:38:20:646,658530,658530,0,0,26275332,0,3380 1,3,2024-09-07 09:38:21:302,1,520,1,0,269,6522,520,0 2,0,2024-09-07 09:38:21:573,130915,0.6,130990,0.8,261629,0.7,348954,2.00 2,1,2024-09-07 09:38:20:865,914018,914018,0,0,429346479295,4461959967,909046,4055,917,379,391745,0 2,2,2024-09-07 09:38:21:265,661596,661596,0,0,24944997,0,3594 2,3,2024-09-07 09:38:20:691,1,520,0,0,357,4746,520,0 3,0,2024-09-07 09:38:21:750,127954,0.4,127679,0.6,255041,0.3,339864,2.00 3,1,2024-09-07 09:38:21:628,912895,912895,0,0,429029117520,4466499516,905426,6766,703,379,391716,0 3,2,2024-09-07 09:38:21:148,656341,656318,23,0,25965532,0,5851 3,3,2024-09-07 09:38:21:752,1,520,1,0,207,3244,520,0 4,0,2024-09-07 09:38:21:788,122959,0.4,126332,0.5,257614,0.3,336793,1.75 4,1,2024-09-07 09:38:20:617,909195,909195,0,0,426888208882,4522766466,892851,12658,3686,370,391992,0 4,2,2024-09-07 09:38:21:020,653167,653167,0,0,31862881,0,4534 4,3,2024-09-07 09:38:21:029,1,520,3,0,448,6701,520,0 5,0,2024-09-07 09:38:21:397,131745,0.4,132116,0.6,264099,0.4,351775,1.75 5,1,2024-09-07 09:38:20:775,910393,910393,0,0,428929552108,4514309757,897649,10330,2414,367,392005,0 5,2,2024-09-07 09:38:21:836,654381,654381,0,0,30869078,0,3582 5,3,2024-09-07 09:38:21:744,1,520,13,0,457,7094,520,0 6,0,2024-09-07 09:38:20:917,133528,0.5,133101,0.7,266558,0.5,354933,2.00 6,1,2024-09-07 09:38:20:771,912637,912637,0,0,428273401156,4473600765,903064,8117,1456,379,391702,0 6,2,2024-09-07 09:38:21:116,660770,660752,18,0,29934615,0,5535 6,3,2024-09-07 09:38:21:275,1,520,1,0,710,6242,520,0 7,0,2024-09-07 09:38:21:538,127436,0.5,128251,0.7,255410,0.5,339748,2.00 7,1,2024-09-07 09:38:20:854,910847,910847,0,0,428122314778,4496445761,897922,10693,2232,382,391747,0 7,2,2024-09-07 09:38:20:770,657741,657741,0,0,29104278,0,4791 7,3,2024-09-07 09:38:20:853,1,520,1,0,552,5899,520,0 8,0,2024-09-07 09:38:21:394,128363,0.3,127941,0.5,256810,0.3,341590,1.75 8,1,2024-09-07 09:38:21:041,909131,909131,0,0,427789309159,4496376104,894936,11065,3130,366,392853,0 8,2,2024-09-07 09:38:20:798,650898,650896,2,0,34640172,0,5112 8,3,2024-09-07 09:38:20:585,1,520,1,0,538,7822,520,0 9,0,2024-09-07 09:38:21:174,130501,0.3,126883,0.5,265791,0.3,348757,1.75 9,1,2024-09-07 09:38:20:550,909431,909431,0,0,427803526096,4505748027,895942,10838,2651,369,392001,0 9,2,2024-09-07 09:38:21:083,655218,655217,1,0,31316722,0,5281 9,3,2024-09-07 09:38:21:753,1,520,20,0,1273,8685,520,0 10,0,2024-09-07 09:38:21:609,135054,0.4,134641,0.5,270128,0.3,359424,1.75 10,1,2024-09-07 09:38:20:584,911863,911863,0,0,427369571921,4477755542,899497,10276,2090,381,391869,0 10,2,2024-09-07 09:38:20:775,660094,660094,0,0,34018559,0,4264 10,3,2024-09-07 09:38:20:872,1,520,0,0,649,5329,520,0 11,0,2024-09-07 09:38:21:007,129431,0.5,125624,0.7,262572,0.4,346185,1.75 11,1,2024-09-07 09:38:20:572,912823,912823,0,0,428631110891,4494479435,899347,10014,3462,383,391756,0 11,2,2024-09-07 09:38:21:123,658958,658958,0,0,29989484,0,4130 11,3,2024-09-07 09:38:21:300,1,520,13,0,843,7066,520,0 12,0,2024-09-07 09:38:20:983,129131,0.4,129123,0.5,258130,0.3,343030,1.75 12,1,2024-09-07 09:38:20:947,912266,912266,0,0,428524498353,4470548817,904599,6784,883,370,391960,0 12,2,2024-09-07 09:38:21:549,654194,654194,0,0,29651693,0,4390 12,3,2024-09-07 09:38:21:063,1,520,11,0,386,7029,520,0 13,0,2024-09-07 09:38:21:386,129874,0.4,129693,0.5,258540,0.4,345166,1.75 13,1,2024-09-07 09:38:21:530,910244,910244,0,0,427370185889,4492156436,900617,7332,2295,382,391740,0 13,2,2024-09-07 09:38:20:600,657857,657857,0,0,26594179,0,3287 13,3,2024-09-07 09:38:21:782,1,520,2,0,522,7256,520,0 14,0,2024-09-07 09:38:20:562,134829,0.4,135833,0.6,269476,0.4,359001,1.75 14,1,2024-09-07 09:38:21:561,916733,916733,0,0,431195129540,4461430475,909824,6181,728,364,391673,0 14,2,2024-09-07 09:38:20:773,659324,659294,30,0,27993994,0,6104 14,3,2024-09-07 09:38:21:115,1,520,1,0,1168,5864,520,0 15,0,2024-09-07 09:38:21:560,129975,0.4,129446,0.7,259868,0.4,346628,2.00 15,1,2024-09-07 09:38:21:613,913860,913860,0,0,429265177064,4470816008,906128,6247,1485,381,391619,0 15,2,2024-09-07 09:38:21:008,662740,662740,0,0,23563326,0,3622 15,3,2024-09-07 09:38:21:416,1,520,1,0,1126,7313,520,0 16,0,2024-09-07 09:38:20:943,127996,0.5,128618,0.7,256523,0.5,341241,2.00 16,1,2024-09-07 09:38:20:564,913501,913501,0,0,428367592064,4479294376,905083,7117,1301,370,392194,0 16,2,2024-09-07 09:38:21:454,654628,654628,0,0,27811921,0,4719 16,3,2024-09-07 09:38:21:148,1,520,11,0,358,6543,520,0 17,0,2024-09-07 09:38:21:806,131398,0.5,128065,0.7,250939,0.5,340603,2.00 17,1,2024-09-07 09:38:20:568,911488,911488,0,0,427942536622,4489826821,902192,7548,1748,368,392075,0 17,2,2024-09-07 09:38:21:686,658772,658771,1,0,28609465,0,5050 17,3,2024-09-07 09:38:20:576,1,520,1,0,518,7816,520,0 18,0,2024-09-07 09:38:20:940,131560,0.6,132550,0.8,264071,0.6,352953,2.25 18,1,2024-09-07 09:38:21:643,915668,915668,0,0,429390091095,4454170922,909557,5114,997,367,391725,0 18,2,2024-09-07 09:38:21:755,660393,660393,0,0,25398431,0,3541 18,3,2024-09-07 09:38:20:900,1,520,2,0,1059,4911,520,0 19,0,2024-09-07 09:38:21:548,131607,0.7,132010,0.8,262438,0.7,349422,2.25 19,1,2024-09-07 09:38:20:566,914695,914695,0,0,430484670473,4463642575,907707,5904,1084,367,391777,0 19,2,2024-09-07 09:38:21:753,663854,663854,0,0,23867224,0,3988 19,3,2024-09-07 09:38:21:129,1,520,5,0,524,4241,520,0 20,0,2024-09-07 09:38:21:368,127716,0.5,127993,0.7,255440,0.5,340680,2.00 20,1,2024-09-07 09:38:20:567,911744,911744,0,0,428325356331,4487365300,902547,7832,1365,369,391922,0 20,2,2024-09-07 09:38:20:928,656155,656155,0,0,28973936,0,3721 20,3,2024-09-07 09:38:20:594,1,520,0,0,468,8899,520,0 21,0,2024-09-07 09:38:21:125,127259,0.4,127391,0.6,254781,0.4,337497,1.75 21,1,2024-09-07 09:38:21:560,910439,910439,0,0,427626818923,4512727762,896286,11034,3119,368,392016,0 21,2,2024-09-07 09:38:21:079,652507,652487,20,0,33948580,0,5617 21,3,2024-09-07 09:38:21:410,1,520,1,0,713,6736,520,0 22,0,2024-09-07 09:38:21:732,131043,0.4,131608,0.6,262455,0.4,348573,2.00 22,1,2024-09-07 09:38:21:026,911102,911102,0,0,427805751595,4503885956,895872,12003,3227,382,391822,0 22,2,2024-09-07 09:38:20:767,655550,655524,26,0,28455363,0,6328 22,3,2024-09-07 09:38:21:073,1,520,0,0,228,4429,520,0 23,0,2024-09-07 09:38:21:392,133875,0.5,133430,0.7,266861,0.5,355615,2.25 23,1,2024-09-07 09:38:21:003,911973,911973,0,0,428795817304,4500850225,896267,11298,4408,365,391690,0 23,2,2024-09-07 09:38:21:100,661511,661511,0,0,27146929,0,3773 23,3,2024-09-07 09:38:21:756,1,520,0,0,855,7656,520,0 24,0,2024-09-07 09:38:20:857,130094,0.4,129359,0.6,260183,0.4,345375,1.75 24,1,2024-09-07 09:38:20:585,910977,910977,0,0,427763944944,4478795887,902017,7286,1674,367,392269,0 24,2,2024-09-07 09:38:21:093,658811,658811,0,0,32142396,0,4438 24,3,2024-09-07 09:38:21:686,1,520,1,0,468,6642,520,0 25,0,2024-09-07 09:38:21:359,132007,0.4,128598,0.6,252211,0.3,343723,1.75 25,1,2024-09-07 09:38:20:558,910176,910176,0,0,427851627681,4513292846,895200,12004,2972,369,391928,0 25,2,2024-09-07 09:38:21:607,653540,653540,0,0,33267483,0,3978 25,3,2024-09-07 09:38:21:000,1,520,1,0,532,5692,520,0 26,0,2024-09-07 09:38:21:724,128937,0.4,126236,0.6,264921,0.3,344785,1.75 26,1,2024-09-07 09:38:21:548,912906,912906,0,0,427624594352,4477747089,900067,10387,2452,380,391748,0 26,2,2024-09-07 09:38:20:871,655497,655497,0,0,35273954,0,4689 26,3,2024-09-07 09:38:21:727,1,520,0,0,796,5727,520,0 27,0,2024-09-07 09:38:21:727,135749,0.4,136264,0.6,270964,0.4,362066,2.25 27,1,2024-09-07 09:38:21:677,913719,913719,0,0,430195396631,4480220566,905163,7287,1269,381,391626,0 27,2,2024-09-07 09:38:20:872,657077,657012,65,0,30591558,0,5699 27,3,2024-09-07 09:38:21:016,1,520,1,0,564,4539,520,0 28,0,2024-09-07 09:38:21:404,130342,0.4,129947,0.6,260799,0.3,347582,2.00 28,1,2024-09-07 09:38:20:812,914103,914103,0,0,429552219861,4478225845,906330,6059,1714,382,391698,0 28,2,2024-09-07 09:38:21:767,660800,660800,0,0,26955851,0,2915 28,3,2024-09-07 09:38:21:777,1,520,2,0,502,5228,520,0 29,0,2024-09-07 09:38:21:389,131789,0.3,128413,0.6,251918,0.3,343282,1.75 29,1,2024-09-07 09:38:21:577,916303,916303,0,0,430221387928,4450171123,910747,4794,762,367,391809,0 29,2,2024-09-07 09:38:20:863,654236,654236,0,0,26273907,0,4986 29,3,2024-09-07 09:38:20:967,1,520,6,0,459,5722,520,0 30,0,2024-09-07 09:38:21:467,127373,0.5,123842,0.6,259375,0.4,339127,2.00 30,1,2024-09-07 09:38:20:585,915880,915880,0,0,430423614313,4467291042,908904,6074,902,380,391672,0 30,2,2024-09-07 09:38:21:280,656808,656808,0,0,25304264,0,4192 30,3,2024-09-07 09:38:20:582,1,520,1,0,519,5049,520,0 31,0,2024-09-07 09:38:21:764,133875,0.5,134202,0.6,267994,0.4,358028,2.00 31,1,2024-09-07 09:38:20:564,918733,918733,0,0,432005786728,4435185681,913409,4313,1011,356,391712,0 31,2,2024-09-07 09:38:21:279,657688,657688,0,0,27938419,0,4470 31,3,2024-09-07 09:38:21:706,1,520,1,0,220,4415,520,0 32,0,2024-09-07 09:38:21:439,131599,0.4,132259,0.5,263329,0.3,350688,1.75 32,1,2024-09-07 09:38:20:820,915186,915186,0,0,429921366967,4469163796,909384,5093,709,381,391646,0 32,2,2024-09-07 09:38:20:935,662172,662172,0,0,24481771,0,3922 32,3,2024-09-07 09:38:21:016,1,520,10,0,304,4272,520,0 33,0,2024-09-07 09:38:21:502,128414,0.3,127988,0.4,256187,0.2,340906,1.50 33,1,2024-09-07 09:38:20:575,915783,915783,0,0,430229990108,4457239470,908552,6057,1174,368,391730,0 33,2,2024-09-07 09:38:20:773,657227,657192,35,0,27025794,0,7012 33,3,2024-09-07 09:38:20:900,1,520,1,0,329,4867,520,0 34,0,2024-09-07 09:38:20:930,127068,0.3,130548,0.4,249462,0.2,336124,1.75 34,1,2024-09-07 09:38:21:044,917477,917477,0,0,431214412814,4435105856,914968,2487,22,367,391637,0 34,2,2024-09-07 09:38:20:772,657601,657601,0,0,25540422,0,4562 34,3,2024-09-07 09:38:21:694,1,520,1,0,320,4010,520,0 35,0,2024-09-07 09:38:20:862,131187,0.3,131853,0.5,264818,0.2,352443,1.75 35,1,2024-09-07 09:38:21:067,914463,914463,0,0,429544102790,4456666163,907806,5444,1213,382,391769,0 35,2,2024-09-07 09:38:21:583,657306,657306,0,0,28109590,0,4055 35,3,2024-09-07 09:38:20:909,1,520,1,0,418,4899,520,0 36,0,2024-09-07 09:38:21:535,133868,0.5,133804,0.7,267541,0.5,355744,2.00 36,1,2024-09-07 09:38:20:584,913938,913938,0,0,428777986666,4479816341,901655,10063,2220,366,391759,0 36,2,2024-09-07 09:38:21:757,661060,661060,0,0,29602418,0,3875 36,3,2024-09-07 09:38:20:867,1,520,1,0,416,7163,520,0 37,0,2024-09-07 09:38:21:384,127681,0.5,127580,0.7,255405,0.5,340407,2.25 37,1,2024-09-07 09:38:20:569,912538,912531,0,7,429164828568,4482546008,901413,8443,2675,365,391770,0 37,2,2024-09-07 09:38:21:142,656033,656018,15,0,30053249,0,5815 37,3,2024-09-07 09:38:21:778,1,520,11,0,888,7350,520,0 38,0,2024-09-07 09:38:21:437,127442,0.4,123637,0.6,258694,0.3,338707,2.00 38,1,2024-09-07 09:38:21:613,913858,913858,0,0,429142307240,4487257817,900628,10711,2519,368,391821,0 38,2,2024-09-07 09:38:20:760,654116,654069,47,0,30184695,0,6710 38,3,2024-09-07 09:38:20:997,1,520,1,0,689,6686,520,0 39,0,2024-09-07 09:38:21:759,133736,0.5,130854,0.7,254846,0.5,348353,2.00 39,1,2024-09-07 09:38:20:716,911924,911924,0,0,428408361559,4495733725,896129,12326,3469,365,391865,0 39,2,2024-09-07 09:38:21:417,657248,657248,0,0,27873153,0,3391 39,3,2024-09-07 09:38:20:718,1,520,1,0,525,6268,520,0 40,0,2024-09-07 09:38:21:514,133772,0.9,134420,1.0,268405,1.1,357618,2.75 40,1,2024-09-07 09:38:20:581,914300,914300,0,0,427752547432,4474015547,902280,9841,2179,368,391668,0 40,2,2024-09-07 09:38:21:312,658579,658578,1,0,33138291,0,5137 40,3,2024-09-07 09:38:21:147,1,520,0,0,1028,7373,520,0 41,0,2024-09-07 09:38:21:028,128853,1.3,131650,1.1,251134,1.9,341999,3.00 41,1,2024-09-07 09:38:20:773,912272,912272,0,0,428431936709,4482904433,900389,9948,1935,369,391878,0 41,2,2024-09-07 09:38:20:777,657175,657174,1,0,32008446,0,5408 41,3,2024-09-07 09:38:21:678,1,520,24,0,366,5416,520,0 42,0,2024-09-07 09:38:21:474,127673,0.8,127300,0.9,254980,0.8,337984,2.50 42,1,2024-09-07 09:38:21:455,909657,909657,0,0,427014191668,4490405123,894648,12101,2908,380,391675,0 42,2,2024-09-07 09:38:21:135,653745,653745,0,0,30950679,0,3975 42,3,2024-09-07 09:38:21:012,1,520,1,0,892,4697,520,0 43,0,2024-09-07 09:38:20:919,127897,0.7,124550,0.9,260797,0.7,342281,2.25 43,1,2024-09-07 09:38:20:587,912944,912944,0,0,429373729618,4486529296,900075,10609,2260,366,391696,0 43,2,2024-09-07 09:38:21:741,656600,656600,0,0,30438287,0,4723 43,3,2024-09-07 09:38:21:756,1,520,1,0,571,7229,520,0 44,0,2024-09-07 09:38:20:866,134924,0.4,134926,0.6,270513,0.4,359581,2.00 44,1,2024-09-07 09:38:20:563,915654,915654,0,0,429783754782,4441274792,907857,6210,1587,356,391809,0 44,2,2024-09-07 09:38:21:266,657085,657085,0,0,25223354,0,4344 44,3,2024-09-07 09:38:21:107,1,520,1,0,817,5649,520,0 45,0,2024-09-07 09:38:21:773,128748,0.5,125764,0.7,263930,0.5,346802,2.00 45,1,2024-09-07 09:38:21:017,914251,914251,0,0,430674762979,4476213245,906738,6637,876,382,391917,0 45,2,2024-09-07 09:38:21:274,661726,661726,0,0,25566838,0,3596 45,3,2024-09-07 09:38:20:940,1,520,16,0,271,4561,520,0 46,0,2024-09-07 09:38:20:950,127594,0.5,127048,0.7,255016,0.4,338483,2.00 46,1,2024-09-07 09:38:20:576,916026,916026,0,0,429976918942,4443818646,909622,5541,863,366,391709,0 46,2,2024-09-07 09:38:20:597,655888,655888,0,0,25749267,0,4443 46,3,2024-09-07 09:38:21:131,1,520,1,0,908,6320,520,0 47,0,2024-09-07 09:38:21:110,127591,0.4,127766,0.6,256289,0.3,339665,1.75 47,1,2024-09-07 09:38:20:567,916897,916897,0,0,430846201297,4449986955,911669,4389,839,365,391641,0 47,2,2024-09-07 09:38:20:910,659371,659371,0,0,25583019,0,4477 47,3,2024-09-07 09:38:21:120,1,520,1,0,600,5905,520,0 48,0,2024-09-07 09:38:21:491,133307,0.3,133388,0.4,266127,0.2,354898,1.50 48,1,2024-09-07 09:38:21:033,914495,914495,0,0,429886071702,4466325601,908703,5219,573,384,391710,0 48,2,2024-09-07 09:38:20:709,658158,658158,0,0,23575557,0,3411 48,3,2024-09-07 09:38:20:753,1,520,0,0,339,4156,520,0 49,0,2024-09-07 09:38:21:724,135666,0.4,133121,0.5,258765,0.3,353388,1.75 49,1,2024-09-07 09:38:21:021,914161,914161,0,0,429526387771,4465036056,908595,4355,1211,382,391809,0 49,2,2024-09-07 09:38:21:798,662645,662645,0,0,26204867,0,4426 49,3,2024-09-07 09:38:21:416,1,520,1,0,992,6064,520,0 50,0,2024-09-07 09:38:21:516,128565,0.3,126843,0.5,255677,0.2,340320,1.75 50,1,2024-09-07 09:38:21:012,917369,917369,0,0,431450064298,4460706674,911424,5278,667,368,391565,0 50,2,2024-09-07 09:38:21:079,656514,656514,0,0,23966110,0,4490 50,3,2024-09-07 09:38:21:297,1,520,0,0,617,5434,520,0 51,0,2024-09-07 09:38:21:684,130586,0.3,127801,0.4,248939,0.2,338973,1.75 51,1,2024-09-07 09:38:21:683,917725,917725,0,0,432001874024,4454067505,912960,3710,1055,365,391706,0 51,2,2024-09-07 09:38:21:319,656494,656494,0,0,23216350,0,3337 51,3,2024-09-07 09:38:21:029,1,520,1,0,678,3775,520,0 52,0,2024-09-07 09:38:21:421,131620,0.5,131191,0.7,262715,0.4,349368,2.00 52,1,2024-09-07 09:38:20:576,913638,913638,0,0,428466239060,4486446285,900410,11123,2105,368,391722,0 52,2,2024-09-07 09:38:21:765,653346,653308,38,0,31020962,0,6742 52,3,2024-09-07 09:38:20:674,1,520,1,0,1782,6661,520,0 53,0,2024-09-07 09:38:21:735,133487,0.7,129504,0.8,270878,0.8,355171,2.50 53,1,2024-09-07 09:38:20:772,911656,911656,0,0,428481005351,4497500299,896293,11519,3844,367,391968,0 53,2,2024-09-07 09:38:21:305,660804,660803,1,0,27936571,0,5455 53,3,2024-09-07 09:38:20:699,1,520,1,0,308,5145,520,0 54,0,2024-09-07 09:38:21:620,127224,0.6,127657,0.8,254109,0.5,339216,2.25 54,1,2024-09-07 09:38:20:583,913716,913716,0,0,429163649902,4465206181,903652,8430,1634,366,391810,0 54,2,2024-09-07 09:38:20:865,659225,659193,32,0,31670484,0,6397 54,3,2024-09-07 09:38:20:772,1,520,1,0,676,7020,520,0 55,0,2024-09-07 09:38:21:790,123959,0.5,127926,0.7,259158,0.5,338056,2.25 55,1,2024-09-07 09:38:20:764,914751,914751,0,0,428558046858,4457413124,905069,8362,1320,365,391731,0 55,2,2024-09-07 09:38:20:729,653876,653820,56,0,29850392,0,7239 55,3,2024-09-07 09:38:20:674,1,520,1,0,304,4879,520,0 56,0,2024-09-07 09:38:21:561,132375,1.1,124685,1.1,257125,1.4,344205,2.75 56,1,2024-09-07 09:38:20:583,909413,909413,0,0,427842410526,4517954439,895096,11405,2912,381,391867,0 56,2,2024-09-07 09:38:21:309,655699,655577,122,0,31170105,0,7432 56,3,2024-09-07 09:38:21:060,1,520,1,0,705,6244,520,0 57,0,2024-09-07 09:38:20:971,133675,1.6,133651,1.3,267573,2.2,358214,3.25 57,1,2024-09-07 09:38:21:009,911034,911034,0,0,427751548111,4488516146,898850,9899,2285,366,392032,0 57,2,2024-09-07 09:38:21:320,659320,659320,0,0,32099616,0,4317 57,3,2024-09-07 09:38:21:748,1,520,0,0,455,5837,520,0 58,0,2024-09-07 09:38:20:555,128119,1.0,124454,1.0,260257,1.2,341010,2.75 58,1,2024-09-07 09:38:20:575,912750,912747,0,3,428737311240,4493865278,898944,10482,3321,367,391675,3 58,2,2024-09-07 09:38:21:086,659960,659960,0,0,30532400,0,3483 58,3,2024-09-07 09:38:21:077,1,520,109,0,1043,5809,520,0 59,0,2024-09-07 09:38:21:744,127661,0.8,127603,0.9,254376,0.9,337485,2.75 59,1,2024-09-07 09:38:20:804,911480,911480,0,0,428653191756,4502579261,896572,11769,3139,369,391653,0 59,2,2024-09-07 09:38:20:592,654150,654150,0,0,28995825,0,3727 59,3,2024-09-07 09:38:21:737,1,520,1,0,1015,6488,520,0 60,0,2024-09-07 09:38:21:712,127635,0.5,127863,0.7,255831,0.5,339991,1.75 60,1,2024-09-07 09:38:20:773,915986,915986,0,0,430158265192,4464273029,909800,5252,934,370,392031,0 60,2,2024-09-07 09:38:21:144,656708,656708,0,0,27566347,0,3811 60,3,2024-09-07 09:38:21:269,1,520,4,0,409,6103,520,0 61,0,2024-09-07 09:38:21:514,133823,0.7,134418,0.8,267612,0.7,357670,2.00 61,1,2024-09-07 09:38:20:776,912500,912500,0,0,428946004465,4491572741,902622,8298,1580,382,392127,0 61,2,2024-09-07 09:38:21:117,658630,658563,67,0,28533755,0,6411 61,3,2024-09-07 09:38:21:691,1,520,1,0,607,7480,520,0 62,0,2024-09-07 09:38:21:712,131795,0.7,135222,0.8,258113,0.7,350590,2.00 62,1,2024-09-07 09:38:21:121,917812,917806,0,6,431557700621,4447291428,913650,3876,280,365,391975,6 62,2,2024-09-07 09:38:21:648,659457,659456,1,0,27813835,0,5555 62,3,2024-09-07 09:38:21:148,1,520,0,0,482,4225,520,0 63,0,2024-09-07 09:38:21:463,128393,0.4,128118,0.6,257169,0.3,341334,1.75 63,1,2024-09-07 09:38:20:806,915150,915144,0,6,429966023258,4463177283,909389,5004,751,381,391800,6 63,2,2024-09-07 09:38:20:762,655910,655910,0,0,26813630,0,4369 63,3,2024-09-07 09:38:21:738,1,520,1,0,667,5572,520,0 64,0,2024-09-07 09:38:21:538,126332,0.5,126352,0.6,252265,0.4,335309,2.00 64,1,2024-09-07 09:38:20:764,914806,914806,0,0,429495992716,4472510805,906915,6120,1771,370,391794,0 64,2,2024-09-07 09:38:21:147,660771,660752,19,0,25829073,0,6121 64,3,2024-09-07 09:38:21:146,1,520,14,0,651,5489,520,0 65,0,2024-09-07 09:38:21:674,130875,0.6,131188,0.7,261867,0.6,349962,2.00 65,1,2024-09-07 09:38:20:872,913073,913073,0,0,428626716198,4480043395,906325,5951,797,381,391901,0 65,2,2024-09-07 09:38:21:710,657088,657088,0,0,30296010,0,3367 65,3,2024-09-07 09:38:21:691,1,520,1,0,782,6167,520,0 66,0,2024-09-07 09:38:21:782,133080,0.6,132544,0.8,265252,0.6,352955,2.25 66,1,2024-09-07 09:38:21:298,914686,914686,0,0,429276352734,4468486915,908538,5426,722,380,391743,0 66,2,2024-09-07 09:38:21:131,663007,663004,3,0,27683538,0,5455 66,3,2024-09-07 09:38:21:100,1,520,1,0,291,4505,520,0 67,0,2024-09-07 09:38:21:417,128093,0.5,127765,0.7,256187,0.5,340556,2.00 67,1,2024-09-07 09:38:20:773,914877,914876,0,1,429667311705,4473199274,908604,5458,814,380,391787,1 67,2,2024-09-07 09:38:20:592,660066,660051,15,0,26725467,0,6205 67,3,2024-09-07 09:38:21:752,1,520,2,0,595,5522,520,0 68,0,2024-09-07 09:38:20:569,128303,0.5,128192,0.7,255364,0.5,341205,2.00 68,1,2024-09-07 09:38:20:578,910771,910771,0,0,427073396363,4493081677,898376,8994,3401,381,391953,0 68,2,2024-09-07 09:38:21:049,651641,651541,100,0,34533030,0,8578 68,3,2024-09-07 09:38:20:727,1,520,3,0,417,6786,520,0 69,0,2024-09-07 09:38:21:766,129823,0.6,130623,0.8,260515,0.6,346371,2.25 69,1,2024-09-07 09:38:21:018,909821,909821,0,0,428021287329,4511182136,897570,9492,2759,384,391994,0 69,2,2024-09-07 09:38:21:743,654939,654910,29,0,35577620,0,6912 69,3,2024-09-07 09:38:20:772,1,520,2,0,698,7758,520,0 70,0,2024-09-07 09:38:21:536,133570,0.9,133940,1.0,269486,0.8,356457,2.50 70,1,2024-09-07 09:38:20:809,915426,915426,0,0,430396033452,4466626821,908171,6493,762,366,391725,0 70,2,2024-09-07 09:38:21:325,659906,659906,0,0,29943267,0,4323 70,3,2024-09-07 09:38:20:769,1,520,0,0,854,5690,520,0 71,0,2024-09-07 09:38:21:358,128196,1.1,127942,1.1,257105,1.6,343258,2.75 71,1,2024-09-07 09:38:21:596,913110,913110,0,0,429058828541,4479673729,901717,10138,1255,368,391738,0 71,2,2024-09-07 09:38:21:079,658807,658807,0,0,30599011,0,4352 71,3,2024-09-07 09:38:21:756,1,520,2,0,644,6236,520,0 72,0,2024-09-07 09:38:21:068,132504,0.5,129468,0.7,252746,0.5,343381,2.00 72,1,2024-09-07 09:38:21:027,911405,911405,0,0,428744699430,4498885470,897955,11168,2282,369,391819,0 72,2,2024-09-07 09:38:21:759,653525,653525,0,0,31889715,0,3983 72,3,2024-09-07 09:38:21:759,1,520,3,0,564,7537,520,0 73,0,2024-09-07 09:38:21:106,125680,0.4,128992,0.6,263595,0.4,343289,2.00 73,1,2024-09-07 09:38:20:776,914671,914671,0,0,429234282315,4457184423,908442,5804,425,367,391858,0 73,2,2024-09-07 09:38:21:743,656026,656026,0,0,32319693,0,4728 73,3,2024-09-07 09:38:20:978,1,520,17,0,486,6552,520,0 74,0,2024-09-07 09:38:21:335,135766,0.5,138941,0.7,265093,0.4,359828,2.00 74,1,2024-09-07 09:38:20:646,912662,912662,0,0,428035677626,4470738385,902211,8527,1924,381,391762,0 74,2,2024-09-07 09:38:21:007,658128,658128,0,0,28267348,0,4253 74,3,2024-09-07 09:38:21:444,1,520,0,0,522,6268,520,0 75,0,2024-09-07 09:38:21:772,130646,0.6,129768,0.8,260525,0.6,348290,2.25 75,1,2024-09-07 09:38:21:585,913705,913705,0,0,428858002642,4471443288,905811,7032,862,380,391739,0 75,2,2024-09-07 09:38:21:353,659429,659429,0,0,33900545,0,4766 75,3,2024-09-07 09:38:21:067,1,520,22,0,702,6210,520,0 76,0,2024-09-07 09:38:20:594,127538,0.5,126864,0.7,254297,0.5,340021,2.25 76,1,2024-09-07 09:38:20:807,913143,913143,0,0,428898359932,4473533492,906516,5660,967,382,391692,0 76,2,2024-09-07 09:38:21:061,657302,657299,3,0,28451221,0,5265 76,3,2024-09-07 09:38:21:147,1,520,3,0,227,4843,520,0 77,0,2024-09-07 09:38:21:699,127083,0.5,127474,0.7,255008,0.5,338718,2.00 77,1,2024-09-07 09:38:20:837,913788,913788,0,0,428911818145,4473568622,906954,6239,595,381,391869,0 77,2,2024-09-07 09:38:21:286,656022,656022,0,0,27985111,0,3890 77,3,2024-09-07 09:38:21:112,1,520,12,0,401,5573,520,0 78,0,2024-09-07 09:38:21:727,133189,0.4,132688,0.6,266339,0.4,353322,2.00 78,1,2024-09-07 09:38:20:610,913502,913502,0,0,428929443613,4472806441,902734,8242,2526,367,391670,0 78,2,2024-09-07 09:38:21:408,659353,659340,13,0,26054756,0,8313 78,3,2024-09-07 09:38:21:143,1,520,9,0,311,4533,520,0 79,0,2024-09-07 09:38:21:350,127711,0.4,130692,0.6,267673,0.4,348248,2.25 79,1,2024-09-07 09:38:20:571,916222,916222,0,0,429657778132,4452362409,908487,6378,1357,367,391682,0 79,2,2024-09-07 09:38:21:069,661708,661708,0,0,25101509,0,4195 79,3,2024-09-07 09:38:20:752,1,520,0,0,418,6282,520,0 80,0,2024-09-07 09:38:21:109,127747,0.5,131248,0.7,251194,0.5,339888,2.00 80,1,2024-09-07 09:38:21:634,913351,913351,0,0,429340737592,4468382370,907528,5397,426,368,392269,0 80,2,2024-09-07 09:38:21:093,658558,658558,0,0,25650652,0,4433 80,3,2024-09-07 09:38:20:574,1,520,2,0,296,6202,520,0 81,0,2024-09-07 09:38:21:545,127423,0.5,130428,0.7,248713,0.5,337533,2.00 81,1,2024-09-07 09:38:21:651,912325,912325,0,0,428532958143,4479582750,904924,6762,639,382,391885,0 81,2,2024-09-07 09:38:21:130,655421,655358,63,0,28628514,0,5932 81,3,2024-09-07 09:38:21:119,1,520,5,0,719,5961,520,0 82,0,2024-09-07 09:38:21:544,130875,0.5,130997,0.7,262568,0.4,348551,2.00 82,1,2024-09-07 09:38:20:592,914780,914776,0,4,429941487434,4469614528,909698,4258,820,381,391768,4 82,2,2024-09-07 09:38:21:697,659141,659141,0,0,23839174,0,4484 82,3,2024-09-07 09:38:21:756,1,520,1,0,363,4983,520,0 83,0,2024-09-07 09:38:21:565,134081,0.6,134111,0.8,267523,0.7,355229,2.25 83,1,2024-09-07 09:38:20:551,913836,913836,0,0,429012138289,4472112863,907481,5901,454,382,391709,0 83,2,2024-09-07 09:38:20:771,660572,660547,25,0,25870588,0,5612 83,3,2024-09-07 09:38:20:752,1,520,0,0,1260,6495,520,0 84,0,2024-09-07 09:38:21:805,128110,0.7,128300,0.9,256237,0.7,342610,2.25 84,1,2024-09-07 09:38:21:049,912198,912198,0,0,428342270979,4479173806,901960,8713,1525,367,391967,0 84,2,2024-09-07 09:38:20:588,658300,658270,30,0,34126150,0,5971 84,3,2024-09-07 09:38:21:148,1,520,1,0,908,7393,520,0 85,0,2024-09-07 09:38:21:011,123507,0.6,123582,0.8,262215,0.5,339482,2.25 85,1,2024-09-07 09:38:20:573,909003,909003,0,0,427383827485,4514426085,894733,11612,2658,381,392092,0 85,2,2024-09-07 09:38:20:865,653368,653368,0,0,31812432,0,4255 85,3,2024-09-07 09:38:20:696,1,520,9,0,789,6038,520,0 86,0,2024-09-07 09:38:20:939,129365,0.6,133061,0.7,254530,0.6,344583,2.25 86,1,2024-09-07 09:38:20:837,912055,912055,0,0,428637149360,4491875067,900760,9319,1976,366,391995,0 86,2,2024-09-07 09:38:20:861,654536,654535,1,0,34285833,0,5004 86,3,2024-09-07 09:38:20:586,1,520,10,0,308,7537,520,0 87,0,2024-09-07 09:38:21:305,135563,0.9,135392,0.9,270570,1.1,362382,2.25 87,1,2024-09-07 09:38:20:560,910816,910816,0,0,427569670333,4481879841,898616,10484,1716,366,392076,0 87,2,2024-09-07 09:38:21:074,658856,658850,6,0,30295367,0,6323 87,3,2024-09-07 09:38:21:798,1,520,1,0,473,7645,520,0 88,0,2024-09-07 09:38:21:455,129858,0.5,130527,0.6,260721,0.4,347259,2.00 88,1,2024-09-07 09:38:20:574,910575,910575,0,0,428206058045,4486071958,897936,10023,2616,365,392084,0 88,2,2024-09-07 09:38:20:705,660414,660414,0,0,32720001,0,4465 88,3,2024-09-07 09:38:21:268,1,520,1,0,435,6668,520,0 89,0,2024-09-07 09:38:21:835,131951,0.4,127966,0.6,252737,0.4,343297,1.75 89,1,2024-09-07 09:38:20:551,911017,911017,0,0,428495041097,4508247983,899605,9596,1816,382,391866,0 89,2,2024-09-07 09:38:21:132,653967,653967,0,0,30920411,0,3173 89,3,2024-09-07 09:38:21:797,1,520,77,0,468,8622,520,0 90,0,2024-09-07 09:38:21:665,123938,0.4,127422,0.6,259594,0.4,338779,1.75 90,1,2024-09-07 09:38:20:596,912216,912216,0,0,428005683296,4480379701,903705,7778,733,380,391825,0 90,2,2024-09-07 09:38:21:408,654124,654124,0,0,33171959,0,3608 90,3,2024-09-07 09:38:20:931,1,520,21,0,322,6388,520,0 91,0,2024-09-07 09:38:20:945,134473,0.5,130370,0.6,272664,0.4,358178,1.75 91,1,2024-09-07 09:38:20:569,910527,910527,0,0,427365478444,4494676371,898929,9586,2012,381,392047,0 91,2,2024-09-07 09:38:21:337,658744,658744,0,0,29321041,0,4713 91,3,2024-09-07 09:38:20:603,1,520,14,0,216,4953,520,0 92,0,2024-09-07 09:38:21:522,132897,0.5,135785,0.6,258998,0.5,350744,1.75 92,1,2024-09-07 09:38:20:603,912764,912764,0,0,429141829133,4483325376,905254,6303,1207,381,392136,0 92,2,2024-09-07 09:38:21:355,661554,661554,0,0,26346875,0,3259 92,3,2024-09-07 09:38:21:011,1,520,0,0,167,4524,520,0 93,0,2024-09-07 09:38:20:982,128699,0.4,131965,0.6,251854,0.3,341283,1.75 93,1,2024-09-07 09:38:20:808,913323,913323,0,0,429097496871,4480106682,903042,8548,1733,366,391776,0 93,2,2024-09-07 09:38:20:927,655614,655614,0,0,31995984,0,4913 93,3,2024-09-07 09:38:21:408,1,520,0,0,190,4710,520,0 94,0,2024-09-07 09:38:21:731,126398,0.3,127106,0.5,254074,0.3,337119,1.75 94,1,2024-09-07 09:38:20:575,913584,913584,0,0,429670177948,4480914348,907487,5724,373,381,391850,0 94,2,2024-09-07 09:38:20:773,656360,656332,28,0,27353110,0,6179 94,3,2024-09-07 09:38:21:688,1,520,2,0,576,6576,520,0 95,0,2024-09-07 09:38:21:356,132063,0.3,131802,0.5,263923,0.3,352436,1.75 95,1,2024-09-07 09:38:20:854,914689,914689,0,0,429546118081,4464273555,907339,6693,657,365,391786,0 95,2,2024-09-07 09:38:21:019,656897,656897,0,0,26096038,0,3308 95,3,2024-09-07 09:38:21:720,1,520,6,0,718,8045,520,0 96,0,2024-09-07 09:38:21:038,133735,0.4,133852,0.5,267573,0.3,355140,1.75 96,1,2024-09-07 09:38:21:611,913280,913280,0,0,428297164416,4467395086,906889,5478,913,384,391955,0 96,2,2024-09-07 09:38:21:267,661509,661509,0,0,27204550,0,4180 96,3,2024-09-07 09:38:21:142,1,520,1,0,411,5526,520,0 97,0,2024-09-07 09:38:21:352,128121,0.3,127942,0.5,256989,0.3,340813,1.50 97,1,2024-09-07 09:38:20:766,915015,915015,0,0,430036914925,4460557656,909261,4809,945,367,392140,0 97,2,2024-09-07 09:38:20:614,659193,659193,0,0,26660353,0,3679 97,3,2024-09-07 09:38:20:569,1,520,1,0,242,6012,520,0 98,0,2024-09-07 09:38:21:713,127757,0.3,127968,0.4,256586,0.2,340765,1.50 98,1,2024-09-07 09:38:20:570,914118,914118,0,0,428719556535,4464554176,908710,4608,800,381,391997,0 98,2,2024-09-07 09:38:20:773,655708,655708,0,0,26188388,0,4336 98,3,2024-09-07 09:38:20:702,1,520,5,0,840,8020,520,0 99,0,2024-09-07 09:38:21:505,130501,0.3,131161,0.4,261137,0.3,348895,1.75 99,1,2024-09-07 09:38:21:728,914295,914295,0,0,428227841476,4457452917,908329,5038,928,380,392069,0 99,2,2024-09-07 09:38:21:429,657283,657283,0,0,31494211,0,4276 99,3,2024-09-07 09:38:20:586,1,520,0,0,606,5220,520,0 100,0,2024-09-07 09:38:21:467,134836,0.8,135109,1.0,269631,1.0,359903,2.50 100,1,2024-09-07 09:38:20:554,909350,909350,0,0,427372103381,4519520094,895011,11240,3099,378,391989,0 100,2,2024-09-07 09:38:21:819,657513,657502,11,0,31100562,0,5417 100,3,2024-09-07 09:38:21:737,1,520,13,0,627,9401,520,0 101,0,2024-09-07 09:38:21:753,132114,1.2,128818,1.1,252503,1.2,345501,2.25 101,1,2024-09-07 09:38:20:574,910571,910571,0,0,428382747889,4502088287,898176,9994,2401,368,391847,0 101,2,2024-09-07 09:38:21:777,655618,655618,0,0,36078677,0,4871 101,3,2024-09-07 09:38:20:943,1,520,1,0,1250,8076,520,0 102,0,2024-09-07 09:38:20:994,124830,0.6,128678,0.7,261079,0.5,341580,2.00 102,1,2024-09-07 09:38:21:148,910728,910728,0,0,427331607482,4489507994,898517,10190,2021,369,391891,0 102,2,2024-09-07 09:38:21:783,654479,654425,54,0,30410473,0,6768 102,3,2024-09-07 09:38:21:621,1,520,7,0,466,6103,520,0 103,0,2024-09-07 09:38:21:621,133231,0.5,133204,0.7,251102,0.5,345954,2.00 103,1,2024-09-07 09:38:21:650,909289,909289,0,0,427737357894,4516047840,895100,10990,3199,381,391862,0 103,2,2024-09-07 09:38:20:582,654992,654992,0,0,32237732,0,3766 103,3,2024-09-07 09:38:20:771,1,520,1,0,916,6237,520,0 104,0,2024-09-07 09:38:21:009,133724,0.7,133923,0.9,267072,0.7,357759,2.25 104,1,2024-09-07 09:38:21:609,912085,912085,0,0,427429275253,4490327465,899029,10505,2551,365,392168,0 104,2,2024-09-07 09:38:21:676,656446,656446,0,0,31088249,0,3941 104,3,2024-09-07 09:38:21:415,1,520,3,0,1245,9147,520,0 105,0,2024-09-07 09:38:21:116,128953,1.0,125643,1.1,263372,1.2,346414,2.75 105,1,2024-09-07 09:38:20:564,913237,913237,0,0,429086287413,4495153747,901640,9829,1768,365,392009,0 105,2,2024-09-07 09:38:21:322,659422,659422,0,0,31341036,0,4360 105,3,2024-09-07 09:38:21:310,1,520,0,0,399,7446,520,0 106,0,2024-09-07 09:38:20:949,123705,0.7,126681,0.9,259610,0.8,338763,2.50 106,1,2024-09-07 09:38:21:769,912320,912320,0,0,428208249615,4492929665,899706,10966,1648,368,391914,0 106,2,2024-09-07 09:38:20:773,654053,654053,0,0,29498438,0,2920 106,3,2024-09-07 09:38:20:679,1,520,1,0,1224,7767,520,0 107,0,2024-09-07 09:38:21:102,127167,0.7,127376,0.8,254553,0.8,339722,2.00 107,1,2024-09-07 09:38:20:590,910208,910208,0,0,427368567585,4506065880,897305,11510,1393,381,392234,0 107,2,2024-09-07 09:38:21:299,654005,654004,1,0,32312267,0,5024 107,3,2024-09-07 09:38:21:760,1,520,17,0,733,7874,520,0 108,0,2024-09-07 09:38:21:785,132717,0.4,133440,0.6,265616,0.4,354877,1.75 108,1,2024-09-07 09:38:21:303,913013,913013,0,0,429651610545,4481269831,905099,6943,971,367,391857,0 108,2,2024-09-07 09:38:21:769,657229,657229,0,0,28845091,0,4246 108,3,2024-09-07 09:38:21:333,1,520,1,0,749,10298,520,0 109,0,2024-09-07 09:38:21:767,132944,0.4,131690,0.6,264052,0.4,352417,1.75 109,1,2024-09-07 09:38:20:599,910503,910503,0,0,427954494420,4489372521,902294,6993,1216,382,392132,0 109,2,2024-09-07 09:38:20:932,659133,659133,0,0,28792578,0,3617 109,3,2024-09-07 09:38:21:142,1,520,2,0,630,6507,520,0 110,0,2024-09-07 09:38:21:783,127782,0.4,124318,0.6,260401,0.3,341160,1.75 110,1,2024-09-07 09:38:21:654,914099,914099,0,0,429938653631,4465337945,906570,5607,1922,368,392045,0 110,2,2024-09-07 09:38:21:319,657049,657049,0,0,27206750,0,4067 110,3,2024-09-07 09:38:20:699,1,520,6,0,722,7222,520,0 111,0,2024-09-07 09:38:21:415,127701,0.3,127031,0.5,254379,0.3,338841,1.75 111,1,2024-09-07 09:38:20:999,915749,915749,0,0,430920432458,4467305893,911205,4187,357,380,391690,0 111,2,2024-09-07 09:38:21:116,655667,655667,0,0,27760185,0,4823 111,3,2024-09-07 09:38:20:914,1,520,2,0,379,5911,520,0 112,0,2024-09-07 09:38:20:930,131818,0.3,131222,0.4,263202,0.2,349543,1.50 112,1,2024-09-07 09:38:20:891,914240,914240,0,0,429289794534,4456756968,908341,5208,691,380,391624,0 112,2,2024-09-07 09:38:21:133,657076,657075,1,0,26385635,0,5036 112,3,2024-09-07 09:38:20:596,1,520,9,0,282,4980,520,0 113,0,2024-09-07 09:38:20:871,134346,0.3,134245,0.5,268728,0.3,357450,1.75 113,1,2024-09-07 09:38:21:692,917190,917190,0,0,431074243710,4448174068,911940,4437,813,365,391661,0 113,2,2024-09-07 09:38:21:303,663093,663093,0,0,24076425,0,3813 113,3,2024-09-07 09:38:20:685,1,520,1,0,340,5499,520,0 114,0,2024-09-07 09:38:20:886,129583,0.3,130426,0.5,259264,0.2,346588,1.75 114,1,2024-09-07 09:38:20:723,914408,914408,0,0,429822655539,4463566526,907501,5291,1616,381,391565,0 114,2,2024-09-07 09:38:20:878,660738,660737,1,0,25967503,0,5069 114,3,2024-09-07 09:38:21:280,1,520,0,0,395,4239,520,0 115,0,2024-09-07 09:38:20:576,128889,0.3,129409,0.4,257961,0.2,343173,1.50 115,1,2024-09-07 09:38:20:573,915012,915012,0,0,429619752482,4464278539,907612,6043,1357,382,391757,0 115,2,2024-09-07 09:38:21:125,657784,657784,0,0,25470879,0,4382 115,3,2024-09-07 09:38:21:003,1,520,1,0,167,3012,520,0 116,0,2024-09-07 09:38:21:718,129138,0.6,129073,0.8,258595,0.6,345609,2.00 116,1,2024-09-07 09:38:20:805,910203,910203,0,0,427473100331,4505702643,899545,8213,2445,380,392089,0 116,2,2024-09-07 09:38:21:755,655065,655065,0,0,32673458,0,4475 116,3,2024-09-07 09:38:20:912,1,520,1,0,415,6431,520,0 117,0,2024-09-07 09:38:20:979,135866,0.7,135276,0.8,271028,0.8,362991,2.00 117,1,2024-09-07 09:38:21:582,911185,911185,0,0,428458462164,4485347970,901158,8802,1225,369,392033,0 117,2,2024-09-07 09:38:21:118,660950,660950,0,0,28652517,0,4303 117,3,2024-09-07 09:38:21:063,1,520,2,0,490,7140,520,0 118,0,2024-09-07 09:38:21:768,126055,0.6,129621,0.7,264126,0.6,345793,2.00 118,1,2024-09-07 09:38:20:586,910848,910848,0,0,427461516497,4494207508,897345,10381,3122,366,392054,0 118,2,2024-09-07 09:38:21:596,659251,659251,0,0,31181613,0,2842 118,3,2024-09-07 09:38:21:772,1,520,1,0,248,5893,520,0 119,0,2024-09-07 09:38:21:332,127923,0.6,128357,0.8,256598,0.6,341480,2.00 119,1,2024-09-07 09:38:20:730,911801,911801,0,0,428950897378,4494911594,900074,9960,1767,367,391857,0 119,2,2024-09-07 09:38:21:265,654911,654911,0,0,28960214,0,4174 119,3,2024-09-07 09:38:21:325,1,520,3,0,1358,9745,520,0 120,0,2024-09-07 09:38:21:548,127112,0.6,126795,0.8,254894,0.6,339601,2.25 120,1,2024-09-07 09:38:20:860,912224,912224,0,0,428067990949,4486027483,902928,8516,780,368,392144,0 120,2,2024-09-07 09:38:20:773,655250,655249,1,0,33515167,0,5281 120,3,2024-09-07 09:38:21:298,1,520,2,0,279,6513,520,0 121,0,2024-09-07 09:38:21:753,134166,1.3,133564,1.1,267650,1.8,357286,2.25 121,1,2024-09-07 09:38:21:661,912537,912537,0,0,428058156774,4474278169,903803,8033,701,366,391840,0 121,2,2024-09-07 09:38:21:131,657104,657104,0,0,30800229,0,4157 121,3,2024-09-07 09:38:20:729,1,520,1,0,330,6250,520,0 122,0,2024-09-07 09:38:21:792,130842,0.9,127261,0.9,266694,1.0,350584,2.25 122,1,2024-09-07 09:38:20:860,911150,911150,0,0,428386435152,4493701384,898694,10571,1885,366,392130,0 122,2,2024-09-07 09:38:21:322,661469,661394,75,0,35061994,0,5989 122,3,2024-09-07 09:38:20:596,1,520,14,0,512,8787,520,0 123,0,2024-09-07 09:38:21:121,127464,0.8,124044,0.9,259748,0.9,339862,2.25 123,1,2024-09-07 09:38:20:567,911520,911520,0,0,427696414697,4499647226,896354,12662,2504,369,392039,0 123,2,2024-09-07 09:38:21:029,653505,653504,1,0,29702280,0,5215 123,3,2024-09-07 09:38:21:151,1,520,12,0,478,6059,520,0 124,0,2024-09-07 09:38:20:924,130536,0.3,130468,0.5,245962,0.3,338160,1.75 124,1,2024-09-07 09:38:21:022,914785,914785,0,0,429098142621,4460738757,907977,5677,1131,365,392178,0 124,2,2024-09-07 09:38:21:009,657471,657418,53,0,27069243,0,6487 124,3,2024-09-07 09:38:20:770,1,520,0,0,490,5443,520,0 125,0,2024-09-07 09:38:21:445,131799,0.4,131430,0.6,264312,0.3,352357,1.75 125,1,2024-09-07 09:38:20:869,913177,913177,0,0,428891496085,4476673754,906200,5994,983,382,391813,0 125,2,2024-09-07 09:38:21:116,659621,659621,0,0,27139685,0,4534 125,3,2024-09-07 09:38:21:133,1,520,1,0,709,6165,520,0 126,0,2024-09-07 09:38:21:443,133706,0.4,137449,0.6,262976,0.4,355751,1.75 126,1,2024-09-07 09:38:20:551,915981,915981,0,0,429654150706,4447177748,911308,4363,310,365,391987,0 126,2,2024-09-07 09:38:20:634,661703,661703,0,0,27988212,0,4539 126,3,2024-09-07 09:38:20:913,1,520,4,0,268,5824,520,0 127,0,2024-09-07 09:38:21:629,128344,0.3,128707,0.5,256785,0.3,341063,1.75 127,1,2024-09-07 09:38:20:568,914278,914278,0,0,428719326420,4461639714,904910,7864,1504,364,392187,0 127,2,2024-09-07 09:38:20:643,657351,657347,4,0,26635226,0,5305 127,3,2024-09-07 09:38:21:268,1,520,1,0,968,5181,520,0 128,0,2024-09-07 09:38:21:543,128613,0.3,128712,0.4,257011,0.2,341695,1.50 128,1,2024-09-07 09:38:21:606,913409,913409,0,0,429511197394,4461928764,906933,5741,735,367,392031,0 128,2,2024-09-07 09:38:21:385,657126,657126,0,0,24893575,0,3171 128,3,2024-09-07 09:38:20:770,1,520,1,0,1082,8330,520,0 129,0,2024-09-07 09:38:20:992,131625,0.3,131007,0.4,262457,0.3,349270,1.50 129,1,2024-09-07 09:38:20:574,910869,910869,0,0,427334332945,4479083289,901842,7082,1945,379,391962,0 129,2,2024-09-07 09:38:20:686,657630,657626,4,0,27209469,0,5335 129,3,2024-09-07 09:38:20:697,1,520,66,0,506,7373,520,0 130,0,2024-09-07 09:38:21:813,135945,0.4,135460,0.6,271763,0.4,361413,1.75 130,1,2024-09-07 09:38:20:586,914219,914219,0,0,429243824966,4458988564,909424,4407,388,381,391825,0 130,2,2024-09-07 09:38:21:134,660763,660763,0,0,27214132,0,4067 130,3,2024-09-07 09:38:21:303,1,520,2,0,960,7296,520,0 131,0,2024-09-07 09:38:21:967,129750,0.4,130111,0.5,260725,0.3,346357,1.75 131,1,2024-09-07 09:38:21:820,913621,913621,0,0,429000807325,4475449342,906741,5688,1192,381,391865,0 131,2,2024-09-07 09:38:20:574,660819,660819,0,0,25246133,0,3979 131,3,2024-09-07 09:38:21:689,1,520,8,0,392,6362,520,0 132,0,2024-09-07 09:38:21:415,128452,0.4,129444,0.6,257648,0.4,342810,2.00 132,1,2024-09-07 09:38:20:580,910048,910048,0,0,427082332417,4493241964,897565,10288,2195,381,392532,0 132,2,2024-09-07 09:38:20:722,654539,654522,17,0,33116132,0,6451 132,3,2024-09-07 09:38:21:688,1,520,1,0,804,8730,520,0 133,0,2024-09-07 09:38:21:534,125767,0.4,128650,0.6,264000,0.3,344003,1.75 133,1,2024-09-07 09:38:20:583,909796,909796,0,0,427400297958,4504717376,897286,10819,1691,383,391914,0 133,2,2024-09-07 09:38:21:092,656685,656635,50,0,33532635,0,6861 133,3,2024-09-07 09:38:21:309,1,520,1,0,479,6220,520,0 134,0,2024-09-07 09:38:21:005,135087,0.5,134944,0.7,270262,0.5,360088,2.25 134,1,2024-09-07 09:38:20:600,911661,911661,0,0,427786575664,4485003062,900265,9249,2147,366,391718,0 134,2,2024-09-07 09:38:21:769,657823,657799,24,0,31571598,0,6207 134,3,2024-09-07 09:38:20:756,1,520,2,0,739,6320,520,0 135,0,2024-09-07 09:38:21:115,126360,0.9,126309,0.9,268151,1.2,345950,2.25 135,1,2024-09-07 09:38:21:600,911129,911129,0,0,428425518139,4505137554,899494,10069,1566,380,391805,0 135,2,2024-09-07 09:38:20:694,660821,660821,0,0,31809531,0,4503 135,3,2024-09-07 09:38:21:005,1,520,5,0,900,5343,520,0 136,0,2024-09-07 09:38:21:649,128558,0.5,128750,0.7,256343,0.5,341945,2.00 136,1,2024-09-07 09:38:21:466,912589,912589,0,0,427707556727,4483960340,902209,9112,1268,381,392135,0 136,2,2024-09-07 09:38:21:133,656301,656286,15,0,30907632,0,6007 136,3,2024-09-07 09:38:21:109,1,520,1,0,637,6248,520,0 137,0,2024-09-07 09:38:20:945,131391,0.5,128070,0.7,250977,0.5,340964,2.00 137,1,2024-09-07 09:38:20:575,910564,910564,0,0,427829000360,4483650472,897133,10759,2672,366,391898,0 137,2,2024-09-07 09:38:21:705,654924,654924,0,0,32514729,0,3185 137,3,2024-09-07 09:38:20:769,1,520,0,0,484,6588,520,0 138,0,2024-09-07 09:38:21:750,132317,0.8,132541,0.9,265774,0.9,353306,2.00 138,1,2024-09-07 09:38:21:688,911534,911534,0,0,428647006418,4494912003,898798,10767,1969,368,391954,0 138,2,2024-09-07 09:38:20:589,656808,656808,0,0,29913968,0,4988 138,3,2024-09-07 09:38:20:611,1,520,111,0,1200,8520,520,0 139,0,2024-09-07 09:38:21:408,131082,1.3,131522,1.0,263175,1.9,350786,2.50 139,1,2024-09-07 09:38:20:572,907435,907435,0,0,426559365800,4523113896,891495,12478,3462,380,392058,0 139,2,2024-09-07 09:38:20:693,656911,656911,0,0,33336574,0,3097 139,3,2024-09-07 09:38:21:671,1,520,2,0,432,5978,520,0 140,0,2024-09-07 09:38:21:594,128536,0.3,127719,0.5,256203,0.2,341403,1.75 140,1,2024-09-07 09:38:21:550,916847,916847,0,0,431635470069,4449201620,912265,4044,538,364,391606,0 140,2,2024-09-07 09:38:20:687,658009,658008,1,0,25401163,0,5036 140,3,2024-09-07 09:38:20:770,1,520,1,0,297,4871,520,0 141,0,2024-09-07 09:38:21:697,127568,0.3,130882,0.4,250000,0.2,338485,1.50 141,1,2024-09-07 09:38:20:858,914950,914950,0,0,430295249132,4468967963,907844,6021,1085,379,391614,0 141,2,2024-09-07 09:38:21:690,656280,656269,11,0,26932254,0,5369 141,3,2024-09-07 09:38:21:045,1,520,29,0,391,5931,520,0 142,0,2024-09-07 09:38:21:304,131998,0.3,131025,0.5,262705,0.3,350407,1.50 142,1,2024-09-07 09:38:20:592,913615,913615,0,0,429214997586,4473153061,907953,5203,459,382,392102,0 142,2,2024-09-07 09:38:21:311,656311,656279,32,0,27518522,0,6028 142,3,2024-09-07 09:38:21:753,1,520,1,0,484,5433,520,0 143,0,2024-09-07 09:38:21:387,134169,0.4,134104,0.6,269147,0.4,357405,1.75 143,1,2024-09-07 09:38:20:556,915228,915228,0,0,430107896683,4463303953,909147,5524,557,367,391722,0 143,2,2024-09-07 09:38:20:770,661555,661555,0,0,27013581,0,3123 143,3,2024-09-07 09:38:21:144,1,520,29,0,462,6552,520,0 144,0,2024-09-07 09:38:21:508,125348,0.6,128860,0.8,262102,0.6,343495,2.00 144,1,2024-09-07 09:38:20:572,910906,910906,0,0,427572654454,4487190210,901518,7422,1966,381,391733,0 144,2,2024-09-07 09:38:21:757,659896,659896,0,0,25801783,0,3673 144,3,2024-09-07 09:38:21:741,1,520,2,0,249,5087,520,0 145,0,2024-09-07 09:38:21:459,124284,0.5,124329,0.8,263814,0.5,339862,2.25 145,1,2024-09-07 09:38:20:556,910036,910036,0,0,427672097033,4502294726,897665,9904,2467,382,391759,0 145,2,2024-09-07 09:38:21:435,652778,652696,82,0,31877697,0,7814 145,3,2024-09-07 09:38:20:900,1,520,0,0,622,7438,520,0 146,0,2024-09-07 09:38:21:604,129461,0.5,128876,0.7,258903,0.5,344038,2.00 146,1,2024-09-07 09:38:21:609,911396,911396,0,0,427552982925,4494876506,896954,10641,3801,367,391770,0 146,2,2024-09-07 09:38:21:696,654792,654786,6,0,29978395,0,5151 146,3,2024-09-07 09:38:21:279,1,520,1,0,1520,8823,520,0 147,0,2024-09-07 09:38:21:806,135819,0.6,135536,0.7,270598,0.6,362363,2.25 147,1,2024-09-07 09:38:21:382,914853,914853,0,0,430727300844,4474897739,907569,6405,879,367,391791,0 147,2,2024-09-07 09:38:21:014,658716,658716,0,0,26774544,0,2968 147,3,2024-09-07 09:38:20:922,1,520,3,0,1626,8462,520,0 0,0,2024-09-07 09:38:31:714,124399,0.5,124387,0.7,263999,0.6,341421,2.00 0,1,2024-09-07 09:38:30:801,913597,913597,0,0,428816742756,4490063928,906085,6672,840,369,391896,0 0,2,2024-09-07 09:38:31:069,658903,658903,0,0,26861405,0,4480 0,3,2024-09-07 09:38:30:975,1,521,24,0,431,7224,521,0 1,0,2024-09-07 09:38:31:748,134283,1.0,133520,0.9,268122,1.2,358383,2.00 1,1,2024-09-07 09:38:30:575,912827,912827,0,0,428395983036,4489192648,904111,7249,1467,370,391859,0 1,2,2024-09-07 09:38:30:649,659798,659798,0,0,26287569,0,3380 1,3,2024-09-07 09:38:31:317,1,521,1,0,269,6523,521,0 2,0,2024-09-07 09:38:31:568,131173,0.6,131238,0.8,262172,0.7,349873,2.00 2,1,2024-09-07 09:38:30:866,915827,915827,0,0,430381265998,4472466354,910855,4055,917,379,391745,0 2,2,2024-09-07 09:38:31:269,662806,662806,0,0,24954710,0,3594 2,3,2024-09-07 09:38:30:690,1,521,1,0,357,4747,521,0 3,0,2024-09-07 09:38:31:745,128093,0.4,127819,0.6,255330,0.3,340351,2.00 3,1,2024-09-07 09:38:31:621,914624,914624,0,0,429947846254,4475839810,907155,6766,703,379,391716,0 3,2,2024-09-07 09:38:31:149,657459,657436,23,0,25973043,0,5851 3,3,2024-09-07 09:38:31:753,1,521,1,0,207,3245,521,0 4,0,2024-09-07 09:38:31:762,123176,0.4,126532,0.5,258054,0.3,337667,1.75 4,1,2024-09-07 09:38:30:592,910938,910938,0,0,427675284113,4530795795,894594,12658,3686,370,391992,0 4,2,2024-09-07 09:38:31:068,654589,654589,0,0,31881563,0,4534 4,3,2024-09-07 09:38:31:051,1,521,1,0,448,6702,521,0 5,0,2024-09-07 09:38:31:378,132215,0.4,132586,0.6,265056,0.4,352955,1.75 5,1,2024-09-07 09:38:30:789,912171,912171,0,0,429664089983,4521804004,899427,10330,2414,367,392005,0 5,2,2024-09-07 09:38:31:828,655901,655901,0,0,30890465,0,3582 5,3,2024-09-07 09:38:31:741,1,521,10,0,457,7104,521,0 6,0,2024-09-07 09:38:30:914,133638,0.5,133206,0.7,266772,0.5,355257,2.00 6,1,2024-09-07 09:38:30:751,914439,914439,0,0,429308401443,4484104878,904865,8117,1457,379,391702,0 6,2,2024-09-07 09:38:31:116,662319,662301,18,0,29947008,0,5535 6,3,2024-09-07 09:38:31:273,1,521,3,0,710,6245,521,0 7,0,2024-09-07 09:38:31:531,127557,0.5,128368,0.7,255636,0.5,340080,2.00 7,1,2024-09-07 09:38:30:850,912566,912566,0,0,428807094457,4503451993,899641,10693,2232,382,391747,0 7,2,2024-09-07 09:38:30:769,658430,658430,0,0,29109706,0,4791 7,3,2024-09-07 09:38:30:855,1,521,2,0,552,5901,521,0 8,0,2024-09-07 09:38:31:334,128486,0.3,128071,0.5,257034,0.3,341638,1.75 8,1,2024-09-07 09:38:31:083,911002,911002,0,0,428646641740,4505342918,896807,11065,3130,366,392853,0 8,2,2024-09-07 09:38:30:798,652446,652444,2,0,34676193,0,5112 8,3,2024-09-07 09:38:30:585,1,521,5,0,538,7827,521,0 9,0,2024-09-07 09:38:31:206,130889,0.3,127284,0.5,266593,0.3,349700,1.75 9,1,2024-09-07 09:38:30:562,911209,911209,0,0,428606120258,4514126510,897720,10838,2651,369,392001,0 9,2,2024-09-07 09:38:31:093,656776,656775,1,0,31357228,0,5281 9,3,2024-09-07 09:38:31:764,1,521,12,0,1273,8697,521,0 10,0,2024-09-07 09:38:31:600,135155,0.4,134738,0.5,270320,0.3,359670,1.75 10,1,2024-09-07 09:38:30:590,913583,913583,0,0,428170244122,4485952607,901217,10276,2090,381,391869,0 10,2,2024-09-07 09:38:30:765,661225,661225,0,0,34069243,0,4264 10,3,2024-09-07 09:38:30:878,1,521,1,0,649,5330,521,0 11,0,2024-09-07 09:38:31:016,129684,0.5,125866,0.7,263089,0.4,346787,1.75 11,1,2024-09-07 09:38:30:573,914500,914500,0,0,429496819253,4503334460,901024,10014,3462,383,391756,0 11,2,2024-09-07 09:38:31:132,660021,660021,0,0,30020889,0,4130 11,3,2024-09-07 09:38:31:297,1,521,1,0,843,7067,521,0 12,0,2024-09-07 09:38:30:967,129393,0.4,129396,0.5,258679,0.3,343881,1.75 12,1,2024-09-07 09:38:30:943,914026,914026,0,0,429215264135,4477715344,906356,6787,883,370,391960,0 12,2,2024-09-07 09:38:31:548,655727,655727,0,0,29681220,0,4390 12,3,2024-09-07 09:38:31:066,1,521,3,0,386,7032,521,0 13,0,2024-09-07 09:38:31:364,130247,0.4,130054,0.5,259318,0.4,345909,1.75 13,1,2024-09-07 09:38:31:528,911968,911968,0,0,428343748473,4502102734,902341,7332,2295,382,391740,0 13,2,2024-09-07 09:38:30:595,659148,659148,0,0,26627669,0,3287 13,3,2024-09-07 09:38:31:762,1,521,5,0,522,7261,521,0 14,0,2024-09-07 09:38:30:563,135230,0.4,136232,0.6,270285,0.4,360452,1.75 14,1,2024-09-07 09:38:31:561,918441,918441,0,0,431971319422,4469322952,911531,6182,728,364,391673,0 14,2,2024-09-07 09:38:30:764,660550,660520,30,0,28057712,0,6104 14,3,2024-09-07 09:38:31:115,1,521,1,0,1168,5865,521,0 15,0,2024-09-07 09:38:31:552,130336,0.4,129778,0.7,260544,0.4,347462,2.00 15,1,2024-09-07 09:38:31:610,915710,915710,0,0,430260746343,4480933762,907978,6247,1485,381,391619,0 15,2,2024-09-07 09:38:31:011,664107,664107,0,0,23601925,0,3622 15,3,2024-09-07 09:38:31:407,1,521,1,0,1126,7314,521,0 16,0,2024-09-07 09:38:31:014,128159,0.5,128807,0.7,256863,0.5,341645,2.00 16,1,2024-09-07 09:38:30:579,915204,915204,0,0,429072463207,4486486334,906786,7117,1301,370,392194,0 16,2,2024-09-07 09:38:31:434,655952,655952,0,0,27823856,0,4719 16,3,2024-09-07 09:38:31:143,1,521,1,0,358,6544,521,0 17,0,2024-09-07 09:38:31:760,131711,0.5,128384,0.7,251529,0.5,341273,2.00 17,1,2024-09-07 09:38:30:570,913188,913188,0,0,428815583691,4498731342,903892,7548,1748,368,392075,0 17,2,2024-09-07 09:38:31:667,659998,659997,1,0,28623829,0,5050 17,3,2024-09-07 09:38:30:579,1,521,1,0,518,7817,521,0 18,0,2024-09-07 09:38:30:950,132011,0.6,132961,0.8,264870,0.6,354000,2.25 18,1,2024-09-07 09:38:31:637,917449,917449,0,0,430157449964,4461980576,911337,5115,997,367,391725,0 18,2,2024-09-07 09:38:31:758,661788,661788,0,0,25411104,0,3541 18,3,2024-09-07 09:38:30:896,1,521,1,0,1059,4912,521,0 19,0,2024-09-07 09:38:31:538,131741,0.7,132151,0.8,262727,0.7,349863,2.25 19,1,2024-09-07 09:38:30:579,916510,916510,0,0,431181251255,4470716843,909522,5904,1084,367,391777,0 19,2,2024-09-07 09:38:31:751,665292,665292,0,0,23884108,0,3988 19,3,2024-09-07 09:38:31:133,1,521,1,0,524,4242,521,0 20,0,2024-09-07 09:38:31:411,127810,0.5,128091,0.7,255679,0.5,340945,2.00 20,1,2024-09-07 09:38:30:574,913477,913477,0,0,429039443867,4494661975,904280,7832,1365,369,391922,0 20,2,2024-09-07 09:38:30:949,656955,656955,0,0,28982668,0,3721 20,3,2024-09-07 09:38:30:597,1,521,1,0,468,8900,521,0 21,0,2024-09-07 09:38:31:158,127313,0.4,127431,0.6,254890,0.4,337790,1.75 21,1,2024-09-07 09:38:31:548,912202,912202,0,0,428296310487,4519604271,898048,11035,3119,368,392016,0 21,2,2024-09-07 09:38:31:067,654059,654039,20,0,33969684,0,5617 21,3,2024-09-07 09:38:31:422,1,521,10,0,713,6746,521,0 22,0,2024-09-07 09:38:31:724,131490,0.4,132057,0.6,263245,0.4,349913,2.00 22,1,2024-09-07 09:38:31:054,912933,912933,0,0,428427108832,4510260137,897703,12003,3227,382,391822,0 22,2,2024-09-07 09:38:30:760,657027,657001,26,0,28470118,0,6328 22,3,2024-09-07 09:38:31:069,1,521,1,0,228,4430,521,0 23,0,2024-09-07 09:38:31:369,133989,0.5,133529,0.7,267058,0.5,355904,2.25 23,1,2024-09-07 09:38:31:010,913788,913788,0,0,429736801625,4510408805,898082,11298,4408,365,391690,0 23,2,2024-09-07 09:38:31:092,662880,662880,0,0,27158025,0,3773 23,3,2024-09-07 09:38:31:754,1,521,1,0,855,7657,521,0 24,0,2024-09-07 09:38:30:826,130268,0.4,129527,0.6,260548,0.4,345682,1.75 24,1,2024-09-07 09:38:30:587,912794,912794,0,0,428507664685,4486446585,903833,7287,1674,367,392269,0 24,2,2024-09-07 09:38:31:078,659647,659647,0,0,32159924,0,4438 24,3,2024-09-07 09:38:31:688,1,521,3,0,468,6645,521,0 25,0,2024-09-07 09:38:31:400,132274,0.4,128892,0.6,252767,0.3,344319,1.75 25,1,2024-09-07 09:38:30:558,911956,911956,0,0,428691313547,4521956214,896980,12004,2972,369,391928,0 25,2,2024-09-07 09:38:31:607,655062,655062,0,0,33318236,0,3978 25,3,2024-09-07 09:38:31:016,1,521,5,0,532,5697,521,0 26,0,2024-09-07 09:38:31:722,129318,0.4,126608,0.6,265682,0.3,345950,1.75 26,1,2024-09-07 09:38:31:549,914710,914710,0,0,428432121623,4486081081,901871,10387,2452,380,391748,0 26,2,2024-09-07 09:38:30:866,656932,656932,0,0,35318105,0,4689 26,3,2024-09-07 09:38:31:713,1,521,21,0,796,5748,521,0 27,0,2024-09-07 09:38:31:723,136076,0.4,136629,0.6,271660,0.4,362381,2.25 27,1,2024-09-07 09:38:31:678,915502,915502,0,0,431268469331,4491214442,906946,7287,1269,381,391626,0 27,2,2024-09-07 09:38:30:869,658197,658132,65,0,30610850,0,5699 27,3,2024-09-07 09:38:31:070,1,521,1,0,564,4540,521,0 28,0,2024-09-07 09:38:31:398,130624,0.4,130270,0.6,261389,0.3,348372,2.00 28,1,2024-09-07 09:38:30:800,915843,915843,0,0,430560061641,4488503470,908070,6059,1714,382,391698,0 28,2,2024-09-07 09:38:31:763,661917,661917,0,0,26975861,0,2915 28,3,2024-09-07 09:38:31:778,1,521,0,0,502,5228,521,0 29,0,2024-09-07 09:38:31:363,131967,0.3,128590,0.6,252270,0.3,343857,1.75 29,1,2024-09-07 09:38:31:563,918061,918061,0,0,431103256995,4459161955,912505,4794,762,367,391809,0 29,2,2024-09-07 09:38:30:867,655531,655531,0,0,26302581,0,4986 29,3,2024-09-07 09:38:30:967,1,521,10,0,459,5732,521,0 30,0,2024-09-07 09:38:31:458,127705,0.5,124169,0.6,260114,0.4,340358,2.00 30,1,2024-09-07 09:38:30:573,917750,917750,0,0,431497079775,4478228223,910773,6075,902,380,391672,0 30,2,2024-09-07 09:38:31:275,658108,658108,0,0,25361320,0,4192 30,3,2024-09-07 09:38:30:584,1,521,1,0,519,5050,521,0 31,0,2024-09-07 09:38:31:757,134190,0.5,134534,0.6,268626,0.4,358746,2.00 31,1,2024-09-07 09:38:30:567,920532,920532,0,0,432995230514,4445203442,915208,4313,1011,356,391712,0 31,2,2024-09-07 09:38:31:275,658925,658925,0,0,27955468,0,4470 31,3,2024-09-07 09:38:31:708,1,521,6,0,220,4421,521,0 32,0,2024-09-07 09:38:31:457,131832,0.4,132505,0.5,263806,0.3,351578,1.75 32,1,2024-09-07 09:38:30:815,916962,916962,0,0,430646472656,4476582913,911160,5093,709,381,391646,0 32,2,2024-09-07 09:38:30:947,663505,663505,0,0,24493623,0,3922 32,3,2024-09-07 09:38:31:069,1,521,2,0,304,4274,521,0 33,0,2024-09-07 09:38:31:492,128565,0.3,128132,0.4,256453,0.2,341405,1.50 33,1,2024-09-07 09:38:30:580,917544,917544,0,0,430879319109,4463836843,910312,6058,1174,368,391730,0 33,2,2024-09-07 09:38:30:768,658329,658294,35,0,27034573,0,7012 33,3,2024-09-07 09:38:30:898,1,521,5,0,329,4872,521,0 34,0,2024-09-07 09:38:30:931,127303,0.3,130791,0.4,249927,0.2,337076,1.75 34,1,2024-09-07 09:38:31:049,919217,919217,0,0,431887840180,4441939756,916708,2487,22,367,391637,0 34,2,2024-09-07 09:38:30:769,658908,658908,0,0,25551576,0,4562 34,3,2024-09-07 09:38:31:689,1,521,1,0,320,4011,521,0 35,0,2024-09-07 09:38:30:857,131648,0.3,132352,0.5,265764,0.2,353625,1.75 35,1,2024-09-07 09:38:31:070,916273,916273,0,0,430213715894,4463518939,909616,5444,1213,382,391769,0 35,2,2024-09-07 09:38:31:583,658732,658732,0,0,28121910,0,4055 35,3,2024-09-07 09:38:30:909,1,521,1,0,418,4900,521,0 36,0,2024-09-07 09:38:31:530,133998,0.5,133915,0.7,267773,0.5,356067,2.00 36,1,2024-09-07 09:38:30:603,915814,915814,0,0,429583385671,4488061686,903531,10063,2220,366,391759,0 36,2,2024-09-07 09:38:31:751,662603,662603,0,0,29615536,0,3875 36,3,2024-09-07 09:38:30:877,1,521,7,0,416,7170,521,0 37,0,2024-09-07 09:38:31:369,127799,0.5,127681,0.7,255602,0.5,340744,2.25 37,1,2024-09-07 09:38:30:585,914344,914337,0,7,430093749183,4491962411,903219,8443,2675,365,391770,0 37,2,2024-09-07 09:38:31:144,656748,656733,15,0,30058780,0,5815 37,3,2024-09-07 09:38:31:766,1,521,1,0,888,7351,521,0 38,0,2024-09-07 09:38:31:436,127543,0.4,123743,0.6,258901,0.3,338710,2.00 38,1,2024-09-07 09:38:31:605,915617,915617,0,0,429749286992,4493447676,902387,10711,2519,368,391821,0 38,2,2024-09-07 09:38:30:763,655551,655504,47,0,30197957,0,6710 38,3,2024-09-07 09:38:30:999,1,521,9,0,689,6695,521,0 39,0,2024-09-07 09:38:31:760,134162,0.5,131244,0.7,255633,0.5,349329,2.00 39,1,2024-09-07 09:38:30:718,913707,913707,0,0,429187088841,4503617769,897912,12326,3469,365,391865,0 39,2,2024-09-07 09:38:31:419,658735,658735,0,0,27889242,0,3391 39,3,2024-09-07 09:38:30:713,1,521,3,0,525,6271,521,0 40,0,2024-09-07 09:38:31:494,133863,0.9,134528,1.0,268596,1.1,357845,2.75 40,1,2024-09-07 09:38:30:590,916050,916050,0,0,428626935587,4483064806,904030,9841,2179,368,391668,0 40,2,2024-09-07 09:38:31:302,659555,659554,1,0,33166986,0,5137 40,3,2024-09-07 09:38:31:150,1,521,1,0,1028,7374,521,0 41,0,2024-09-07 09:38:31:026,129150,1.3,131900,1.1,251669,1.9,342659,3.00 41,1,2024-09-07 09:38:30:770,914032,914032,0,0,429428256775,4493430714,902103,9994,1935,369,391878,0 41,2,2024-09-07 09:38:30:767,658238,658237,1,0,32029826,0,5408 41,3,2024-09-07 09:38:31:676,1,521,2,0,366,5418,521,0 42,0,2024-09-07 09:38:31:485,127948,0.8,127564,0.9,255503,0.8,338908,2.50 42,1,2024-09-07 09:38:31:440,911365,911365,0,0,427700622100,4497431055,896356,12101,2908,380,391675,0 42,2,2024-09-07 09:38:31:134,655436,655436,0,0,31023294,0,3975 42,3,2024-09-07 09:38:31:010,1,521,2,0,892,4699,521,0 43,0,2024-09-07 09:38:30:946,128274,0.7,124895,0.9,261553,0.7,342999,2.25 43,1,2024-09-07 09:38:30:577,914692,914692,0,0,430187913081,4494907111,901823,10609,2260,366,391696,0 43,2,2024-09-07 09:38:31:739,657823,657823,0,0,30489308,0,4723 43,3,2024-09-07 09:38:31:749,1,521,1,0,571,7230,521,0 44,0,2024-09-07 09:38:30:883,135320,0.4,135313,0.6,271328,0.4,361074,2.00 44,1,2024-09-07 09:38:30:564,917464,917464,0,0,430603723801,4449703334,909666,6211,1587,356,391809,0 44,2,2024-09-07 09:38:31:275,658247,658247,0,0,25244698,0,4344 44,3,2024-09-07 09:38:31:094,1,521,15,0,817,5664,521,0 45,0,2024-09-07 09:38:31:758,129073,0.5,126108,0.7,264576,0.5,347639,2.00 45,1,2024-09-07 09:38:31:012,915999,915999,0,0,431509102404,4484738640,908486,6637,876,382,391917,0 45,2,2024-09-07 09:38:31:286,663039,663039,0,0,25595689,0,3596 45,3,2024-09-07 09:38:30:948,1,521,365,0,365,4926,521,0 46,0,2024-09-07 09:38:30:949,127766,0.5,127240,0.7,255339,0.4,338892,2.00 46,1,2024-09-07 09:38:30:578,917833,917833,0,0,430748945906,4451720541,911429,5541,863,366,391709,0 46,2,2024-09-07 09:38:30:597,657104,657104,0,0,25771913,0,4443 46,3,2024-09-07 09:38:31:131,1,521,1,0,908,6321,521,0 47,0,2024-09-07 09:38:31:105,127879,0.4,128036,0.6,256886,0.3,340298,1.75 47,1,2024-09-07 09:38:30:566,918618,918618,0,0,431603334839,4457794455,913389,4390,839,365,391641,0 47,2,2024-09-07 09:38:30:908,660585,660585,0,0,25603974,0,4477 47,3,2024-09-07 09:38:31:129,1,521,1,0,600,5906,521,0 48,0,2024-09-07 09:38:31:492,133722,0.3,133807,0.4,266932,0.2,355896,1.50 48,1,2024-09-07 09:38:31:068,916297,916297,0,0,430846434586,4476079936,910504,5220,573,384,391710,0 48,2,2024-09-07 09:38:30:704,659635,659635,0,0,23586797,0,3411 48,3,2024-09-07 09:38:30:757,1,521,8,0,339,4164,521,0 49,0,2024-09-07 09:38:31:713,135815,0.4,133273,0.5,259060,0.3,353870,1.75 49,1,2024-09-07 09:38:31:068,915939,915939,0,0,430287074094,4472798214,910373,4355,1211,382,391809,0 49,2,2024-09-07 09:38:31:797,663964,663964,0,0,26215038,0,4426 49,3,2024-09-07 09:38:31:418,1,521,1,0,992,6065,521,0 50,0,2024-09-07 09:38:31:507,128666,0.3,126956,0.5,255898,0.2,340561,1.75 50,1,2024-09-07 09:38:31:071,919171,919171,0,0,432297846389,4469295845,913226,5278,667,368,391565,0 50,2,2024-09-07 09:38:31:068,657313,657313,0,0,23971817,0,4490 50,3,2024-09-07 09:38:31:295,1,521,1,0,617,5435,521,0 51,0,2024-09-07 09:38:31:687,130657,0.3,127866,0.4,249048,0.2,339293,1.75 51,1,2024-09-07 09:38:31:689,919525,919525,0,0,432806850560,4462236534,914759,3711,1055,365,391706,0 51,2,2024-09-07 09:38:31:316,658014,658014,0,0,23228519,0,3337 51,3,2024-09-07 09:38:31:051,1,521,1,0,678,3776,521,0 52,0,2024-09-07 09:38:31:418,132028,0.5,131582,0.7,263567,0.4,350722,2.00 52,1,2024-09-07 09:38:30:581,915320,915320,0,0,429083972102,4492740993,902092,11123,2105,368,391722,0 52,2,2024-09-07 09:38:31:754,654880,654842,38,0,31034609,0,6742 52,3,2024-09-07 09:38:30:675,1,521,0,0,1782,6661,521,0 53,0,2024-09-07 09:38:31:738,133580,0.7,129606,0.8,271057,0.8,355451,2.50 53,1,2024-09-07 09:38:30:771,913391,913391,0,0,429146054871,4504269324,898028,11519,3844,367,391968,0 53,2,2024-09-07 09:38:31:298,662135,662134,1,0,27946780,0,5455 53,3,2024-09-07 09:38:30:699,1,521,0,0,308,5145,521,0 54,0,2024-09-07 09:38:31:617,127385,0.6,127790,0.8,254444,0.5,339481,2.25 54,1,2024-09-07 09:38:30:580,915480,915480,0,0,429853126409,4472210120,905415,8431,1634,366,391810,0 54,2,2024-09-07 09:38:30:877,660102,660070,32,0,31678472,0,6397 54,3,2024-09-07 09:38:30:763,1,521,1,0,676,7021,521,0 55,0,2024-09-07 09:38:31:764,124201,0.5,128191,0.7,259688,0.5,338556,2.25 55,1,2024-09-07 09:38:30:764,916538,916538,0,0,429390616149,4465857911,906856,8362,1320,365,391731,0 55,2,2024-09-07 09:38:30:736,655352,655296,56,0,29862977,0,7239 55,3,2024-09-07 09:38:30:675,1,521,1,0,304,4880,521,0 56,0,2024-09-07 09:38:31:561,132720,1.1,125034,1.1,257790,1.4,345364,2.50 56,1,2024-09-07 09:38:30:572,911168,911168,0,0,428854207984,4528570573,896845,11411,2912,381,391867,0 56,2,2024-09-07 09:38:31:307,657102,656980,122,0,31220719,0,7432 56,3,2024-09-07 09:38:31:070,1,521,2,0,705,6246,521,0 57,0,2024-09-07 09:38:31:003,134053,1.6,134028,1.3,268445,2.2,359079,3.25 57,1,2024-09-07 09:38:30:994,912760,912760,0,0,428535042995,4496518804,900576,9899,2285,366,392032,0 57,2,2024-09-07 09:38:31:319,660383,660383,0,0,32161696,0,4317 57,3,2024-09-07 09:38:31:739,1,521,0,0,455,5837,521,0 58,0,2024-09-07 09:38:30:564,128410,1.0,124759,1.0,260908,1.2,341808,2.75 58,1,2024-09-07 09:38:30:580,914545,914542,0,3,429766302714,4504305459,900739,10482,3321,367,391675,3 58,2,2024-09-07 09:38:31:077,661168,661168,0,0,30574870,0,3483 58,3,2024-09-07 09:38:31:077,1,521,1,0,1043,5810,521,0 59,0,2024-09-07 09:38:31:739,127863,0.8,127795,0.9,254735,0.9,338107,2.75 59,1,2024-09-07 09:38:30:803,913225,913225,0,0,429468576308,4511096559,898314,11772,3139,369,391653,0 59,2,2024-09-07 09:38:30:586,655557,655557,0,0,29038042,0,3727 59,3,2024-09-07 09:38:31:738,1,521,4,0,1015,6492,521,0 60,0,2024-09-07 09:38:31:721,127982,0.5,128238,0.7,256471,0.5,341257,1.75 60,1,2024-09-07 09:38:30:785,917767,917767,0,0,430861571383,4471528340,911581,5252,934,370,392031,0 60,2,2024-09-07 09:38:31:143,658068,658068,0,0,27593716,0,3811 60,3,2024-09-07 09:38:31:258,1,521,2,0,409,6105,521,0 61,0,2024-09-07 09:38:31:499,134154,0.7,134762,0.8,268326,0.7,358381,2.00 61,1,2024-09-07 09:38:30:773,914226,914226,0,0,429601972560,4498306455,904347,8299,1580,382,392127,0 61,2,2024-09-07 09:38:31:120,659790,659723,67,0,28553841,0,6411 61,3,2024-09-07 09:38:31:691,1,521,15,0,607,7495,521,0 62,0,2024-09-07 09:38:31:718,132080,0.7,135460,0.8,258577,0.7,351527,2.00 62,1,2024-09-07 09:38:31:113,919619,919613,0,6,432293076899,4454781354,915457,3876,280,365,391975,6 62,2,2024-09-07 09:38:31:644,660747,660746,1,0,27838835,0,5555 62,3,2024-09-07 09:38:31:147,1,521,1,0,482,4226,521,0 63,0,2024-09-07 09:38:31:453,128531,0.4,128248,0.6,257433,0.3,341813,1.75 63,1,2024-09-07 09:38:30:803,916905,916899,0,6,430630326785,4469977688,911144,5004,751,381,391800,6 63,2,2024-09-07 09:38:30:762,657024,657024,0,0,26832310,0,4369 63,3,2024-09-07 09:38:31:732,1,521,1,0,667,5573,521,0 64,0,2024-09-07 09:38:31:524,126554,0.5,126572,0.6,252662,0.4,336211,2.00 64,1,2024-09-07 09:38:30:752,916543,916543,0,0,430290545491,4480605360,908652,6120,1771,370,391794,0 64,2,2024-09-07 09:38:31:149,662008,661989,19,0,25839902,0,6121 64,3,2024-09-07 09:38:31:146,1,521,1,0,651,5490,521,0 65,0,2024-09-07 09:38:31:678,131382,0.6,131657,0.7,262809,0.6,351126,2.00 65,1,2024-09-07 09:38:30:859,914887,914887,0,0,429415156251,4488100823,908139,5951,797,381,391901,0 65,2,2024-09-07 09:38:31:692,658528,658528,0,0,30312247,0,3367 65,3,2024-09-07 09:38:31:687,1,521,1,0,782,6168,521,0 66,0,2024-09-07 09:38:31:761,133196,0.6,132643,0.8,265486,0.6,353302,2.25 66,1,2024-09-07 09:38:31:298,916489,916489,0,0,430055288262,4476456659,910341,5426,722,380,391743,0 66,2,2024-09-07 09:38:31:133,664458,664455,3,0,27696003,0,5455 66,3,2024-09-07 09:38:31:084,1,521,2,0,291,4507,521,0 67,0,2024-09-07 09:38:31:423,128197,0.5,127873,0.7,256422,0.5,340886,2.00 67,1,2024-09-07 09:38:30:765,916614,916613,0,1,430473156770,4481425589,910341,5458,814,380,391787,1 67,2,2024-09-07 09:38:30:586,660792,660777,15,0,26731403,0,6205 67,3,2024-09-07 09:38:31:750,1,521,5,0,595,5527,521,0 68,0,2024-09-07 09:38:30:574,128443,0.5,128310,0.7,255591,0.5,341268,2.00 68,1,2024-09-07 09:38:30:573,912531,912531,0,0,427685419730,4499408677,900136,8994,3401,381,391953,0 68,2,2024-09-07 09:38:31:056,653146,653046,100,0,34548487,0,8578 68,3,2024-09-07 09:38:30:734,1,521,1,0,417,6787,521,0 69,0,2024-09-07 09:38:31:724,130212,0.6,131050,0.8,261301,0.6,347307,2.25 69,1,2024-09-07 09:38:31:073,911634,911634,0,0,428883385139,4520002360,899382,9493,2759,384,391994,0 69,2,2024-09-07 09:38:31:732,656514,656485,29,0,35603592,0,6912 69,3,2024-09-07 09:38:30:762,1,521,0,0,698,7758,521,0 70,0,2024-09-07 09:38:31:536,133677,0.9,134061,1.0,269703,0.8,356702,2.50 70,1,2024-09-07 09:38:30:801,917204,917204,0,0,431079183511,4473574360,909949,6493,762,366,391725,0 70,2,2024-09-07 09:38:31:331,661041,661041,0,0,29955177,0,4323 70,3,2024-09-07 09:38:30:745,1,521,1,0,854,5691,521,0 71,0,2024-09-07 09:38:31:363,128438,1.1,128191,1.1,257612,1.5,343848,2.75 71,1,2024-09-07 09:38:31:595,914883,914883,0,0,429954703328,4488740813,903490,10138,1255,368,391738,0 71,2,2024-09-07 09:38:31:075,659890,659890,0,0,30608142,0,4352 71,3,2024-09-07 09:38:31:752,1,521,0,0,644,6236,521,0 72,0,2024-09-07 09:38:31:072,132750,0.5,129766,0.7,253271,0.5,344270,2.00 72,1,2024-09-07 09:38:31:068,913171,913171,0,0,429636706307,4508010502,899719,11170,2282,369,391819,0 72,2,2024-09-07 09:38:31:756,655015,655015,0,0,31988686,0,3983 72,3,2024-09-07 09:38:31:754,1,521,1,0,564,7538,521,0 73,0,2024-09-07 09:38:31:111,126075,0.4,129332,0.6,264386,0.4,344027,2.00 73,1,2024-09-07 09:38:30:775,916386,916386,0,0,429874589180,4463757417,910157,5804,425,367,391858,0 73,2,2024-09-07 09:38:31:739,657375,657375,0,0,32354607,0,4728 73,3,2024-09-07 09:38:30:984,1,521,4,0,486,6556,521,0 74,0,2024-09-07 09:38:31:321,136179,0.5,139352,0.7,265890,0.4,361348,2.00 74,1,2024-09-07 09:38:30:636,914493,914493,0,0,429100292490,4481568334,904042,8527,1924,381,391762,0 74,2,2024-09-07 09:38:31:010,659201,659201,0,0,28316383,0,4253 74,3,2024-09-07 09:38:31:447,1,521,10,0,522,6278,521,0 75,0,2024-09-07 09:38:31:788,130964,0.6,130096,0.8,261188,0.6,349161,2.25 75,1,2024-09-07 09:38:31:585,915432,915432,0,0,429584589541,4478910376,907538,7032,862,380,391739,0 75,2,2024-09-07 09:38:31:353,660753,660753,0,0,33938979,0,4766 75,3,2024-09-07 09:38:31:069,1,521,0,0,702,6210,521,0 76,0,2024-09-07 09:38:30:585,127702,0.5,127025,0.7,254626,0.5,340447,2.25 76,1,2024-09-07 09:38:30:808,914926,914926,0,0,429643087468,4481238176,908299,5660,967,382,391692,0 76,2,2024-09-07 09:38:31:063,658642,658639,3,0,28482486,0,5265 76,3,2024-09-07 09:38:31:150,1,521,4,0,227,4847,521,0 77,0,2024-09-07 09:38:31:693,127374,0.5,127770,0.7,255629,0.5,339363,2.00 77,1,2024-09-07 09:38:30:830,915605,915605,0,0,430038773261,4485087108,908771,6239,595,381,391869,0 77,2,2024-09-07 09:38:31:285,657146,657146,0,0,28021818,0,3890 77,3,2024-09-07 09:38:31:112,1,521,1,0,401,5574,521,0 78,0,2024-09-07 09:38:31:715,133607,0.4,133095,0.6,267163,0.4,354338,2.00 78,1,2024-09-07 09:38:30:715,915275,915275,0,0,429728526889,4480979226,904507,8242,2526,367,391670,0 78,2,2024-09-07 09:38:31:409,660801,660788,13,0,26089207,0,8313 78,3,2024-09-07 09:38:31:133,1,521,5,0,311,4538,521,0 79,0,2024-09-07 09:38:31:344,127846,0.4,130855,0.6,267985,0.4,348708,2.25 79,1,2024-09-07 09:38:30:573,918021,918021,0,0,430603181383,4461977490,910286,6378,1357,367,391682,0 79,2,2024-09-07 09:38:31:069,663053,663053,0,0,25124200,0,4195 79,3,2024-09-07 09:38:30:750,1,521,2,0,418,6284,521,0 80,0,2024-09-07 09:38:31:131,127852,0.5,131362,0.7,251420,0.5,340137,2.00 80,1,2024-09-07 09:38:31:630,915157,915157,0,0,430026794700,4475393948,909334,5397,426,368,392269,0 80,2,2024-09-07 09:38:31:108,659367,659367,0,0,25658523,0,4433 80,3,2024-09-07 09:38:30:576,1,521,1,0,296,6203,521,0 81,0,2024-09-07 09:38:31:536,127482,0.5,130502,0.7,248797,0.5,337859,2.00 81,1,2024-09-07 09:38:31:650,914080,914080,0,0,429517706583,4489608964,906679,6762,639,382,391885,0 81,2,2024-09-07 09:38:31:129,656922,656859,63,0,28643641,0,5932 81,3,2024-09-07 09:38:31:118,1,521,1,0,719,5962,521,0 82,0,2024-09-07 09:38:31:534,131269,0.5,131433,0.7,263411,0.4,349876,2.00 82,1,2024-09-07 09:38:30:596,916593,916589,0,4,430917164434,4479530554,911511,4258,820,381,391768,4 82,2,2024-09-07 09:38:31:690,660593,660593,0,0,23851578,0,4484 82,3,2024-09-07 09:38:31:753,1,521,1,0,363,4984,521,0 83,0,2024-09-07 09:38:31:529,134202,0.6,134220,0.8,267733,0.7,355515,2.25 83,1,2024-09-07 09:38:30:556,915606,915606,0,0,429765661641,4479795558,909251,5901,454,382,391709,0 83,2,2024-09-07 09:38:30:764,661947,661922,25,0,25883842,0,5612 83,3,2024-09-07 09:38:30:752,1,521,5,0,1260,6500,521,0 84,0,2024-09-07 09:38:31:763,128269,0.7,128470,0.9,256576,0.7,342857,2.25 84,1,2024-09-07 09:38:31:100,913948,913948,0,0,429277022734,4488653142,903710,8713,1525,367,391967,0 84,2,2024-09-07 09:38:30:576,659091,659061,30,0,34133594,0,5971 84,3,2024-09-07 09:38:31:142,1,521,2,0,908,7395,521,0 85,0,2024-09-07 09:38:31:016,123800,0.6,123865,0.8,262775,0.5,340027,2.25 85,1,2024-09-07 09:38:30:560,910754,910754,0,0,428249543582,4523288901,896482,11614,2658,381,392092,0 85,2,2024-09-07 09:38:30:866,654884,654884,0,0,31829885,0,4255 85,3,2024-09-07 09:38:30:690,1,521,2,0,789,6040,521,0 86,0,2024-09-07 09:38:30:885,129709,0.6,133350,0.7,255167,0.6,345743,2.25 86,1,2024-09-07 09:38:30:824,913734,913734,0,0,429302996724,4498737901,902438,9320,1976,366,391995,0 86,2,2024-09-07 09:38:30:875,656017,656016,1,0,34326089,0,5004 86,3,2024-09-07 09:38:30:591,1,521,4,0,308,7541,521,0 87,0,2024-09-07 09:38:31:289,135937,0.9,135785,0.9,271338,1.1,362792,2.25 87,1,2024-09-07 09:38:30:557,912568,912568,0,0,428402097440,4490401470,900368,10484,1716,366,392076,0 87,2,2024-09-07 09:38:31:078,660005,659999,6,0,30308706,0,6323 87,3,2024-09-07 09:38:31:798,1,521,1,0,473,7646,521,0 88,0,2024-09-07 09:38:31:471,130162,0.5,130856,0.6,261315,0.4,348018,2.00 88,1,2024-09-07 09:38:30:571,912341,912341,0,0,428997981996,4494198499,899701,10024,2616,365,392084,0 88,2,2024-09-07 09:38:30:690,661597,661597,0,0,32745909,0,4465 88,3,2024-09-07 09:38:31:267,1,521,7,0,435,6675,521,0 89,0,2024-09-07 09:38:31:788,132155,0.4,128145,0.6,253085,0.4,343860,1.75 89,1,2024-09-07 09:38:30:557,912766,912766,0,0,429166974980,4515324608,901353,9597,1816,382,391866,0 89,2,2024-09-07 09:38:31:140,655306,655306,0,0,30962676,0,3173 89,3,2024-09-07 09:38:31:795,1,521,0,0,468,8622,521,0 90,0,2024-09-07 09:38:31:656,124258,0.4,127767,0.6,260269,0.4,340047,1.75 90,1,2024-09-07 09:38:30:594,913981,913981,0,0,428724018885,4487825181,905470,7778,733,380,391825,0 90,2,2024-09-07 09:38:31:414,655578,655578,0,0,33230236,0,3608 90,3,2024-09-07 09:38:30:938,1,521,1,0,322,6389,521,0 91,0,2024-09-07 09:38:30:974,134804,0.5,130692,0.6,273333,0.4,358914,1.75 91,1,2024-09-07 09:38:30:673,912339,912339,0,0,428199608732,4503338221,900740,9587,2012,381,392047,0 91,2,2024-09-07 09:38:31:335,659929,659929,0,0,29346473,0,4713 91,3,2024-09-07 09:38:30:630,1,521,6,0,216,4959,521,0 92,0,2024-09-07 09:38:31:458,133160,0.5,136053,0.6,259495,0.5,351683,1.75 92,1,2024-09-07 09:38:30:581,914555,914555,0,0,429819338334,4490377304,907045,6303,1207,381,392136,0 92,2,2024-09-07 09:38:31:353,662797,662797,0,0,26370283,0,3259 92,3,2024-09-07 09:38:31:010,1,521,0,0,167,4524,521,0 93,0,2024-09-07 09:38:30:973,128817,0.4,132086,0.6,252093,0.3,341759,1.75 93,1,2024-09-07 09:38:30:807,915195,915195,0,0,430113497798,4490454525,904913,8549,1733,366,391776,0 93,2,2024-09-07 09:38:30:938,656677,656677,0,0,32024044,0,4913 93,3,2024-09-07 09:38:31:425,1,521,1,0,190,4711,521,0 94,0,2024-09-07 09:38:31:650,126604,0.3,127326,0.5,254555,0.3,338026,1.75 94,1,2024-09-07 09:38:30:586,915322,915322,0,0,430557550589,4490011564,909223,5726,373,381,391850,0 94,2,2024-09-07 09:38:30:766,657638,657610,28,0,27374737,0,6179 94,3,2024-09-07 09:38:31:688,1,521,6,0,576,6582,521,0 95,0,2024-09-07 09:38:31:366,132525,0.3,132268,0.5,264917,0.3,353615,1.75 95,1,2024-09-07 09:38:30:860,916479,916479,0,0,430403234641,4473060749,909125,6696,658,365,391786,0 95,2,2024-09-07 09:38:31:016,658369,658369,0,0,26134931,0,3308 95,3,2024-09-07 09:38:31:712,1,521,1,0,718,8046,521,0 96,0,2024-09-07 09:38:31:024,133844,0.4,133976,0.5,267796,0.3,355459,1.75 96,1,2024-09-07 09:38:31:582,914971,914971,0,0,429001705343,4474605326,908580,5478,913,384,391955,0 96,2,2024-09-07 09:38:31:275,662908,662908,0,0,27218249,0,4180 96,3,2024-09-07 09:38:31:140,1,521,1,0,411,5527,521,0 97,0,2024-09-07 09:38:31:320,128226,0.3,128064,0.5,257211,0.3,341130,1.50 97,1,2024-09-07 09:38:30:765,916761,916761,0,0,430717643542,4467507956,911006,4810,945,367,392140,0 97,2,2024-09-07 09:38:30:608,659899,659899,0,0,26666322,0,3679 97,3,2024-09-07 09:38:30:573,1,521,1,0,242,6013,521,0 98,0,2024-09-07 09:38:31:745,127854,0.3,128056,0.4,256795,0.2,340765,1.50 98,1,2024-09-07 09:38:30:596,915916,915916,0,0,429663375089,4474178712,910508,4608,800,381,391997,0 98,2,2024-09-07 09:38:30:770,657205,657205,0,0,26203091,0,4336 98,3,2024-09-07 09:38:30:708,1,521,2,0,840,8022,521,0 99,0,2024-09-07 09:38:31:547,130901,0.3,131560,0.4,261899,0.3,349800,1.75 99,1,2024-09-07 09:38:31:740,916080,916080,0,0,429130214987,4466725851,910113,5039,928,380,392069,0 99,2,2024-09-07 09:38:31:429,658830,658830,0,0,31516308,0,4276 99,3,2024-09-07 09:38:30:588,1,521,7,0,606,5227,521,0 100,0,2024-09-07 09:38:31:514,134938,0.8,135201,1.0,269821,1.0,360148,2.50 100,1,2024-09-07 09:38:30:550,911099,911099,0,0,428170203361,4527719739,896759,11241,3099,378,391989,0 100,2,2024-09-07 09:38:31:818,658685,658674,11,0,31113817,0,5417 100,3,2024-09-07 09:38:31:732,1,521,1,0,627,9402,521,0 101,0,2024-09-07 09:38:31:702,132387,1.2,129068,1.1,252997,1.2,346081,2.25 101,1,2024-09-07 09:38:30:555,912361,912361,0,0,429193481581,4510363644,899966,9994,2401,368,391847,0 101,2,2024-09-07 09:38:31:756,656720,656720,0,0,36090270,0,4871 101,3,2024-09-07 09:38:30:949,1,521,1,0,1250,8077,521,0 102,0,2024-09-07 09:38:30:964,125078,0.6,128963,0.7,261596,0.5,342448,2.00 102,1,2024-09-07 09:38:31:148,912536,912536,0,0,428175496001,4498177831,900325,10190,2021,369,391891,0 102,2,2024-09-07 09:38:31:758,655933,655879,54,0,30428607,0,6768 102,3,2024-09-07 09:38:31:620,1,521,5,0,466,6108,521,0 103,0,2024-09-07 09:38:31:641,133623,0.5,133621,0.7,251866,0.5,346689,2.00 103,1,2024-09-07 09:38:31:625,911004,911004,0,0,428572165383,4524580479,896814,10991,3199,381,391862,0 103,2,2024-09-07 09:38:30:586,656182,656182,0,0,32252870,0,3766 103,3,2024-09-07 09:38:30:759,1,521,1,0,916,6238,521,0 104,0,2024-09-07 09:38:31:009,134100,0.7,134286,0.9,267866,0.7,359160,2.25 104,1,2024-09-07 09:38:31:600,913815,913815,0,0,428359432530,4499815254,900759,10505,2551,365,392168,0 104,2,2024-09-07 09:38:31:668,657545,657545,0,0,31193216,0,3941 104,3,2024-09-07 09:38:31:421,1,521,4,0,1245,9151,521,0 105,0,2024-09-07 09:38:31:091,129310,1.0,125971,1.1,264034,1.2,347266,2.75 105,1,2024-09-07 09:38:30:562,914953,914953,0,0,429790141066,4502546587,903355,9830,1768,365,392009,0 105,2,2024-09-07 09:38:31:325,660758,660758,0,0,31388784,0,4360 105,3,2024-09-07 09:38:31:311,1,521,1,0,399,7447,521,0 106,0,2024-09-07 09:38:31:003,123860,0.7,126861,0.9,259944,0.8,339170,2.50 106,1,2024-09-07 09:38:31:755,914067,914067,0,0,429046449695,4501506599,901449,10969,1649,368,391914,0 106,2,2024-09-07 09:38:30:771,655302,655302,0,0,29526768,0,2920 106,3,2024-09-07 09:38:30:684,1,521,3,0,1224,7770,521,0 107,0,2024-09-07 09:38:31:115,127477,0.7,127674,0.8,255154,0.8,340369,2.00 107,1,2024-09-07 09:38:30:592,912063,912063,0,0,428120414785,4514008462,899160,11510,1393,381,392234,0 107,2,2024-09-07 09:38:31:299,655160,655159,1,0,32342299,0,5024 107,3,2024-09-07 09:38:31:755,1,521,5,0,733,7879,521,0 108,0,2024-09-07 09:38:31:807,133116,0.4,133863,0.6,266439,0.4,355902,1.75 108,1,2024-09-07 09:38:31:297,914789,914789,0,0,430472479204,4489683103,906875,6943,971,367,391857,0 108,2,2024-09-07 09:38:31:765,658672,658672,0,0,28907633,0,4246 108,3,2024-09-07 09:38:31:339,1,521,0,0,749,10298,521,0 109,0,2024-09-07 09:38:31:764,133093,0.4,131815,0.6,264335,0.4,352869,1.75 109,1,2024-09-07 09:38:30:595,912246,912246,0,0,428713082218,4497201457,904035,6995,1216,382,392132,0 109,2,2024-09-07 09:38:30:943,660587,660587,0,0,28830682,0,3617 109,3,2024-09-07 09:38:31:159,1,521,13,0,630,6520,521,0 110,0,2024-09-07 09:38:31:755,127878,0.4,124437,0.6,260634,0.3,341405,1.75 110,1,2024-09-07 09:38:31:644,915841,915841,0,0,430840474053,4474705584,908311,5608,1922,368,392045,0 110,2,2024-09-07 09:38:31:302,657843,657843,0,0,27218808,0,4067 110,3,2024-09-07 09:38:30:695,1,521,1,0,722,7223,521,0 111,0,2024-09-07 09:38:31:429,127770,0.3,127089,0.5,254495,0.3,339176,1.75 111,1,2024-09-07 09:38:31:000,917660,917660,0,0,431796061661,4476320141,913116,4187,357,380,391690,0 111,2,2024-09-07 09:38:31:116,657137,657137,0,0,27792666,0,4823 111,3,2024-09-07 09:38:30:921,1,521,0,0,379,5911,521,0 112,0,2024-09-07 09:38:30:927,132239,0.3,131671,0.4,264064,0.2,350854,1.50 112,1,2024-09-07 09:38:30:824,915981,915981,0,0,430140700538,4465418444,910082,5208,691,380,391624,0 112,2,2024-09-07 09:38:31:134,658429,658428,1,0,26397008,0,5036 112,3,2024-09-07 09:38:30:593,1,521,1,0,282,4981,521,0 113,0,2024-09-07 09:38:30:866,134439,0.3,134354,0.5,268918,0.3,357761,1.75 113,1,2024-09-07 09:38:31:689,918979,918979,0,0,431793369973,4455490399,913727,4439,813,365,391661,0 113,2,2024-09-07 09:38:31:303,664519,664519,0,0,24089253,0,3813 113,3,2024-09-07 09:38:30:701,1,521,3,0,340,5502,521,0 114,0,2024-09-07 09:38:30:875,129758,0.3,130602,0.5,259594,0.2,346850,1.75 114,1,2024-09-07 09:38:30:718,916103,916103,0,0,430546940284,4470947936,909196,5291,1616,381,391565,0 114,2,2024-09-07 09:38:30:873,661559,661558,1,0,25973066,0,5069 114,3,2024-09-07 09:38:31:293,1,521,0,0,395,4239,521,0 115,0,2024-09-07 09:38:30:560,129144,0.3,129675,0.4,258485,0.2,343679,1.50 115,1,2024-09-07 09:38:30:573,916781,916781,0,0,430529005712,4473517575,909381,6043,1357,382,391757,0 115,2,2024-09-07 09:38:31:125,659475,659475,0,0,25485424,0,4382 115,3,2024-09-07 09:38:31:009,1,521,0,0,167,3012,521,0 116,0,2024-09-07 09:38:31:712,129459,0.6,129387,0.8,259262,0.6,346747,2.00 116,1,2024-09-07 09:38:30:808,912085,912085,0,0,428368368552,4515043970,901425,8215,2445,380,392089,0 116,2,2024-09-07 09:38:31:751,656574,656574,0,0,32686063,0,4475 116,3,2024-09-07 09:38:30:913,1,521,1,0,415,6432,521,0 117,0,2024-09-07 09:38:30:976,136234,0.7,135643,0.8,271834,0.8,363420,2.00 117,1,2024-09-07 09:38:31:582,912964,912964,0,0,429423625664,4495144682,902936,8803,1225,369,392033,0 117,2,2024-09-07 09:38:31:120,662058,662058,0,0,28663832,0,4303 117,3,2024-09-07 09:38:31:067,1,521,7,0,490,7147,521,0 118,0,2024-09-07 09:38:31:796,126362,0.6,129912,0.7,264759,0.6,346587,2.00 118,1,2024-09-07 09:38:30:586,912628,912628,0,0,428328066717,4503034194,899125,10381,3122,366,392054,0 118,2,2024-09-07 09:38:31:588,660405,660405,0,0,31195580,0,2842 118,3,2024-09-07 09:38:31:783,1,521,8,0,248,5901,521,0 119,0,2024-09-07 09:38:31:357,128098,0.6,128543,0.8,256950,0.6,342057,2.00 119,1,2024-09-07 09:38:30:548,913592,913592,0,0,429706749442,4502689101,901864,9961,1767,367,391857,0 119,2,2024-09-07 09:38:31:269,656341,656341,0,0,28976594,0,4174 119,3,2024-09-07 09:38:31:337,1,521,1,0,1358,9746,521,0 120,0,2024-09-07 09:38:31:546,127469,0.6,127135,0.8,255566,0.6,340814,2.25 120,1,2024-09-07 09:38:30:870,914026,914026,0,0,428954054782,4495292184,904730,8516,780,368,392144,0 120,2,2024-09-07 09:38:30:770,656641,656640,1,0,33547687,0,5281 120,3,2024-09-07 09:38:31:290,1,521,1,0,279,6514,521,0 121,0,2024-09-07 09:38:31:694,134499,1.3,133926,1.1,268291,1.8,357997,2.25 121,1,2024-09-07 09:38:31:656,914316,914316,0,0,429050640265,4484412577,905582,8033,701,366,391840,0 121,2,2024-09-07 09:38:31:125,658251,658251,0,0,30826030,0,4157 121,3,2024-09-07 09:38:30:737,1,521,3,0,330,6253,521,0 122,0,2024-09-07 09:38:31:787,131076,0.9,127507,0.9,267203,1.0,351491,2.25 122,1,2024-09-07 09:38:30:870,912863,912863,0,0,429091858219,4500946567,900407,10571,1885,366,392130,0 122,2,2024-09-07 09:38:31:323,662716,662641,75,0,35101515,0,5989 122,3,2024-09-07 09:38:30:598,1,521,11,0,512,8798,521,0 123,0,2024-09-07 09:38:30:990,127589,0.8,124167,0.9,260029,0.9,340331,2.25 123,1,2024-09-07 09:38:30:571,913413,913413,0,0,428631139171,4509488734,898205,12703,2505,369,392039,0 123,2,2024-09-07 09:38:31:062,654592,654591,1,0,29738563,0,5215 123,3,2024-09-07 09:38:31:137,1,521,1,0,478,6060,521,0 124,0,2024-09-07 09:38:30:916,130744,0.3,130666,0.5,246372,0.3,339056,1.75 124,1,2024-09-07 09:38:31:064,916543,916543,0,0,430047530048,4470488636,909734,5677,1132,365,392178,0 124,2,2024-09-07 09:38:31:012,658766,658713,53,0,27091886,0,6487 124,3,2024-09-07 09:38:30:759,1,521,15,0,490,5458,521,0 125,0,2024-09-07 09:38:31:462,132279,0.4,131917,0.6,265280,0.3,353545,1.75 125,1,2024-09-07 09:38:30:856,914988,914988,0,0,429712438624,4485132260,908010,5995,983,382,391813,0 125,2,2024-09-07 09:38:31:116,661094,661094,0,0,27166830,0,4534 125,3,2024-09-07 09:38:31:126,1,521,1,0,709,6166,521,0 126,0,2024-09-07 09:38:31:443,133821,0.4,137564,0.6,263231,0.4,356082,1.75 126,1,2024-09-07 09:38:30:721,917777,917777,0,0,430464988793,4455587527,913103,4364,310,365,391987,0 126,2,2024-09-07 09:38:30:618,663120,663120,0,0,28020348,0,4539 126,3,2024-09-07 09:38:30:918,1,521,5,0,268,5829,521,0 127,0,2024-09-07 09:38:31:591,128448,0.3,128835,0.5,257046,0.3,341390,1.75 127,1,2024-09-07 09:38:30:589,916009,916009,0,0,429704872420,4471739753,906639,7866,1504,364,392187,0 127,2,2024-09-07 09:38:30:653,657996,657992,4,0,26649056,0,5305 127,3,2024-09-07 09:38:31:276,1,521,8,0,968,5189,521,0 128,0,2024-09-07 09:38:31:551,128754,0.3,128815,0.4,257224,0.2,341697,1.50 128,1,2024-09-07 09:38:31:611,915171,915171,0,0,430416759463,4471122828,908695,5741,735,367,392031,0 128,2,2024-09-07 09:38:31:388,658654,658654,0,0,24907434,0,3171 128,3,2024-09-07 09:38:30:782,1,521,5,0,1082,8335,521,0 129,0,2024-09-07 09:38:31:004,132063,0.3,131414,0.4,263269,0.3,350177,1.50 129,1,2024-09-07 09:38:30:568,912655,912655,0,0,428145323237,4487378396,903628,7082,1945,379,391962,0 129,2,2024-09-07 09:38:30:696,659079,659075,4,0,27228017,0,5335 129,3,2024-09-07 09:38:30:690,1,521,2,0,506,7375,521,0 130,0,2024-09-07 09:38:31:725,136047,0.4,135574,0.6,271955,0.4,361638,1.75 130,1,2024-09-07 09:38:30:600,916011,916011,0,0,430475313717,4471472234,911216,4407,388,381,391825,0 130,2,2024-09-07 09:38:31:132,661948,661948,0,0,27227318,0,4067 130,3,2024-09-07 09:38:31:331,1,521,5,0,960,7301,521,0 131,0,2024-09-07 09:38:31:923,130010,0.4,130388,0.5,261245,0.3,346938,1.75 131,1,2024-09-07 09:38:31:823,915436,915436,0,0,429827018685,4483920670,908554,5690,1192,381,391865,0 131,2,2024-09-07 09:38:30:567,661767,661767,0,0,25254505,0,3979 131,3,2024-09-07 09:38:31:689,1,521,3,0,392,6365,521,0 132,0,2024-09-07 09:38:31:468,128714,0.4,129739,0.6,258159,0.4,343664,1.75 132,1,2024-09-07 09:38:30:590,911843,911843,0,0,427897932591,4501589584,899359,10288,2196,381,392532,0 132,2,2024-09-07 09:38:30:699,656171,656154,17,0,33132555,0,6451 132,3,2024-09-07 09:38:31:687,1,521,1,0,804,8731,521,0 133,0,2024-09-07 09:38:31:522,126150,0.4,129002,0.6,264722,0.3,344704,1.75 133,1,2024-09-07 09:38:30:586,911480,911480,0,0,428100965154,4511907170,898970,10819,1691,383,391914,0 133,2,2024-09-07 09:38:31:087,657984,657934,50,0,33544649,0,6861 133,3,2024-09-07 09:38:31:297,1,521,1,0,479,6221,521,0 134,0,2024-09-07 09:38:31:005,135463,0.5,135358,0.7,271097,0.5,361551,2.25 134,1,2024-09-07 09:38:30:588,913377,913377,0,0,428393631376,4491231715,901980,9250,2147,366,391718,0 134,2,2024-09-07 09:38:31:769,658898,658874,24,0,31584180,0,6207 134,3,2024-09-07 09:38:30:773,1,521,1,0,739,6321,521,0 135,0,2024-09-07 09:38:31:127,126671,0.9,126628,0.9,268821,1.2,346779,2.25 135,1,2024-09-07 09:38:31:586,912921,912921,0,0,429302485817,4514119252,901286,10069,1566,380,391805,0 135,2,2024-09-07 09:38:30:694,662148,662148,0,0,31826216,0,4503 135,3,2024-09-07 09:38:31:063,1,521,5,0,900,5348,521,0 136,0,2024-09-07 09:38:31:669,128725,0.5,128923,0.7,256672,0.5,342372,2.00 136,1,2024-09-07 09:38:31:452,914299,914299,0,0,428554119282,4492638821,903918,9112,1269,381,392135,0 136,2,2024-09-07 09:38:31:132,657637,657622,15,0,30933146,0,6007 136,3,2024-09-07 09:38:31:112,1,521,10,0,637,6258,521,0 137,0,2024-09-07 09:38:30:931,131684,0.5,128383,0.7,251533,0.5,341611,2.00 137,1,2024-09-07 09:38:30:583,912286,912286,0,0,428922679832,4495229933,898829,10785,2672,366,391898,0 137,2,2024-09-07 09:38:31:705,656149,656149,0,0,32542698,0,3185 137,3,2024-09-07 09:38:30:781,1,521,2,0,484,6590,521,0 138,0,2024-09-07 09:38:31:754,132733,0.8,132943,0.9,266617,0.9,354308,2.00 138,1,2024-09-07 09:38:31:687,913286,913286,0,0,429538798840,4504064876,900549,10768,1969,368,391954,0 138,2,2024-09-07 09:38:30:594,658316,658316,0,0,29957901,0,4988 138,3,2024-09-07 09:38:30:629,1,521,8,0,1200,8528,521,0 139,0,2024-09-07 09:38:31:414,131249,1.3,131669,1.0,263476,1.9,351253,2.50 139,1,2024-09-07 09:38:30:587,909132,909132,0,0,427420858099,4532032579,893191,12479,3462,380,392058,0 139,2,2024-09-07 09:38:30:705,658272,658272,0,0,33366718,0,3097 139,3,2024-09-07 09:38:31:673,1,521,4,0,432,5982,521,0 140,0,2024-09-07 09:38:31:588,128658,0.3,127826,0.5,256455,0.2,341648,1.75 140,1,2024-09-07 09:38:31:538,918566,918566,0,0,432384421514,4456793708,913984,4044,538,364,391606,0 140,2,2024-09-07 09:38:30:695,658814,658813,1,0,25413433,0,5036 140,3,2024-09-07 09:38:30:768,1,521,1,0,297,4872,521,0 141,0,2024-09-07 09:38:31:697,127620,0.3,130939,0.4,250108,0.2,338800,1.50 141,1,2024-09-07 09:38:30:860,916820,916820,0,0,431615634447,4482334404,909714,6021,1085,379,391614,0 141,2,2024-09-07 09:38:31:686,657762,657751,11,0,26977297,0,5369 141,3,2024-09-07 09:38:31:046,1,521,1,0,391,5932,521,0 142,0,2024-09-07 09:38:31:311,132385,0.3,131441,0.5,263500,0.3,351765,1.50 142,1,2024-09-07 09:38:30:584,915443,915443,0,0,430226649869,4483575394,909781,5203,459,382,392102,0 142,2,2024-09-07 09:38:31:299,657771,657739,32,0,27570436,0,6028 142,3,2024-09-07 09:38:31:749,1,521,2,0,484,5435,521,0 143,0,2024-09-07 09:38:31:420,134271,0.4,134220,0.6,269362,0.4,357704,1.75 143,1,2024-09-07 09:38:30:564,917054,917054,0,0,431238307567,4474795385,910973,5524,557,367,391722,0 143,2,2024-09-07 09:38:30:783,662863,662863,0,0,27059343,0,3123 143,3,2024-09-07 09:38:31:148,1,521,11,0,462,6563,521,0 144,0,2024-09-07 09:38:31:496,125502,0.6,129048,0.8,262413,0.6,343784,2.00 144,1,2024-09-07 09:38:30:574,912689,912689,0,0,428595579562,4497631077,903298,7424,1967,381,391733,0 144,2,2024-09-07 09:38:31:760,660693,660693,0,0,25809404,0,3673 144,3,2024-09-07 09:38:31:739,1,521,1,0,249,5088,521,0 145,0,2024-09-07 09:38:31:422,124572,0.5,124585,0.7,264363,0.5,340403,2.25 145,1,2024-09-07 09:38:30:559,911851,911851,0,0,428498921982,4510754483,899480,9904,2467,382,391759,0 145,2,2024-09-07 09:38:31:428,654290,654208,82,0,31894413,0,7814 145,3,2024-09-07 09:38:30:895,1,521,1,0,622,7439,521,0 146,0,2024-09-07 09:38:31:609,129804,0.5,129233,0.7,259572,0.5,345291,2.00 146,1,2024-09-07 09:38:31:590,913076,913076,0,0,428540243356,4504969373,898634,10641,3801,367,391770,0 146,2,2024-09-07 09:38:31:705,656300,656294,6,0,30040634,0,5151 146,3,2024-09-07 09:38:31:284,1,521,0,0,1520,8823,521,0 147,0,2024-09-07 09:38:31:727,136195,0.6,135893,0.7,271308,0.6,362686,2.25 147,1,2024-09-07 09:38:31:374,916595,916595,0,0,431737159664,4485158159,909311,6405,879,367,391791,0 147,2,2024-09-07 09:38:31:010,659799,659799,0,0,26806772,0,2968 147,3,2024-09-07 09:38:30:913,1,521,8,0,1626,8470,521,0 0,0,2024-09-07 09:38:41:709,124769,0.5,124779,0.7,264865,0.6,342685,2.00 0,1,2024-09-07 09:38:40:813,915374,915374,0,0,429381845336,4495997568,907854,6678,842,369,391896,0 0,2,2024-09-07 09:38:41:078,660280,660280,0,0,26876837,0,4480 0,3,2024-09-07 09:38:40:975,1,522,6,0,431,7230,522,0 1,0,2024-09-07 09:38:41:781,134593,1.0,133785,0.9,268671,1.2,359079,2.00 1,1,2024-09-07 09:38:40:560,914506,914506,0,0,429196742408,4497363085,905790,7249,1467,370,391859,0 1,2,2024-09-07 09:38:40:640,660910,660910,0,0,26296645,0,3380 1,3,2024-09-07 09:38:41:314,1,522,4,0,269,6527,522,0 2,0,2024-09-07 09:38:41:571,131498,0.6,131561,0.8,262826,0.7,350800,2.00 2,1,2024-09-07 09:38:40:895,917537,917537,0,0,431148333293,4480277494,912565,4055,917,379,391745,0 2,2,2024-09-07 09:38:41:267,664197,664197,0,0,24964875,0,3594 2,3,2024-09-07 09:38:40:699,1,522,0,0,357,4747,522,0 3,0,2024-09-07 09:38:41:756,128254,0.4,127989,0.6,255620,0.3,340846,2.00 3,1,2024-09-07 09:38:41:627,916376,916376,0,0,430729699697,4483861387,908907,6766,703,379,391716,0 3,2,2024-09-07 09:38:41:148,658695,658672,23,0,25981998,0,5851 3,3,2024-09-07 09:38:41:756,1,522,1,0,207,3246,522,0 4,0,2024-09-07 09:38:41:780,123440,0.4,126809,0.5,258648,0.3,338541,1.75 4,1,2024-09-07 09:38:40:606,912801,912801,0,0,428666448818,4540881534,896456,12659,3686,370,391992,0 4,2,2024-09-07 09:38:41:022,655670,655670,0,0,31892157,0,4534 4,3,2024-09-07 09:38:41:027,1,522,1,0,448,6703,522,0 5,0,2024-09-07 09:38:41:388,132693,0.4,133025,0.6,265970,0.4,354109,1.75 5,1,2024-09-07 09:38:40:762,913913,913913,0,0,430178671281,4527118162,901169,10330,2414,367,392005,0 5,2,2024-09-07 09:38:41:829,657427,657427,0,0,30909439,0,3582 5,3,2024-09-07 09:38:41:734,1,522,22,0,457,7126,522,0 6,0,2024-09-07 09:38:40:917,133746,0.5,133325,0.7,267020,0.5,355571,2.00 6,1,2024-09-07 09:38:40:746,916190,916190,0,0,430100432034,4492161644,906616,8117,1457,379,391702,0 6,2,2024-09-07 09:38:41:127,663788,663770,18,0,29958560,0,5535 6,3,2024-09-07 09:38:41:274,1,522,1,0,710,6246,522,0 7,0,2024-09-07 09:38:41:537,127675,0.5,128486,0.7,255858,0.5,340418,2.00 7,1,2024-09-07 09:38:40:850,914333,914333,0,0,429633814578,4511879903,901408,10693,2232,382,391747,0 7,2,2024-09-07 09:38:40:790,659165,659165,0,0,29115278,0,4791 7,3,2024-09-07 09:38:40:851,1,522,0,0,552,5901,522,0 8,0,2024-09-07 09:38:41:349,128525,0.3,128108,0.5,257132,0.3,341638,1.75 8,1,2024-09-07 09:38:41:021,912681,912681,0,0,429422690971,4513369234,898486,11065,3130,366,392853,0 8,2,2024-09-07 09:38:40:800,653864,653862,2,0,34733272,0,5112 8,3,2024-09-07 09:38:40:596,1,522,2,0,538,7829,522,0 9,0,2024-09-07 09:38:41:137,131248,0.3,127632,0.5,267364,0.3,350612,1.75 9,1,2024-09-07 09:38:40:560,912878,912878,0,0,429587418481,4524201237,899388,10839,2651,369,392001,0 9,2,2024-09-07 09:38:41:083,658293,658292,1,0,31402237,0,5281 9,3,2024-09-07 09:38:41:755,1,522,3,0,1273,8700,522,0 10,0,2024-09-07 09:38:41:602,135254,0.4,134826,0.5,270492,0.3,359916,1.75 10,1,2024-09-07 09:38:40:595,915331,915331,0,0,429193850559,4496449479,902965,10276,2090,381,391869,0 10,2,2024-09-07 09:38:40:775,662572,662572,0,0,34121132,0,4264 10,3,2024-09-07 09:38:40:871,1,522,1,0,649,5331,522,0 11,0,2024-09-07 09:38:41:008,129910,0.5,126102,0.6,263558,0.4,347379,1.75 11,1,2024-09-07 09:38:40:573,916344,916344,0,0,430385751486,4512455738,902868,10014,3462,383,391756,0 11,2,2024-09-07 09:38:41:122,660988,660988,0,0,30038496,0,4130 11,3,2024-09-07 09:38:41:298,1,522,1,0,843,7068,522,0 12,0,2024-09-07 09:38:40:957,129678,0.4,129690,0.5,259314,0.3,344800,1.75 12,1,2024-09-07 09:38:40:946,915768,915768,0,0,429952673880,4485268777,908096,6788,884,370,391960,0 12,2,2024-09-07 09:38:41:551,657280,657280,0,0,29749281,0,4390 12,3,2024-09-07 09:38:41:072,1,522,0,0,386,7032,522,0 13,0,2024-09-07 09:38:41:336,130569,0.4,130385,0.5,259929,0.4,346619,1.75 13,1,2024-09-07 09:38:41:544,913777,913777,0,0,429141356062,4510571553,904150,7332,2295,382,391740,0 13,2,2024-09-07 09:38:40:620,660488,660488,0,0,26654719,0,3287 13,3,2024-09-07 09:38:41:762,1,522,1,0,522,7262,522,0 14,0,2024-09-07 09:38:40:579,135719,0.4,136773,0.6,271274,0.4,361611,1.75 14,1,2024-09-07 09:38:41:564,920220,920220,0,0,432817720259,4477935453,913309,6183,728,364,391673,0 14,2,2024-09-07 09:38:40:776,661800,661770,30,0,28082887,0,6104 14,3,2024-09-07 09:38:41:118,1,522,97,0,1168,5962,522,0 15,0,2024-09-07 09:38:41:572,130693,0.4,130101,0.7,261201,0.4,348306,2.00 15,1,2024-09-07 09:38:41:607,917489,917489,0,0,431207269482,4490624140,909757,6247,1485,381,391619,0 15,2,2024-09-07 09:38:41:003,665361,665361,0,0,23648934,0,3622 15,3,2024-09-07 09:38:41:406,1,522,0,0,1126,7314,522,0 16,0,2024-09-07 09:38:40:954,128279,0.5,128983,0.7,257166,0.5,342026,2.00 16,1,2024-09-07 09:38:40:563,916982,916982,0,0,429878546162,4494702338,908563,7118,1301,370,392194,0 16,2,2024-09-07 09:38:41:437,657259,657259,0,0,27837018,0,4719 16,3,2024-09-07 09:38:41:150,1,522,5,0,358,6549,522,0 17,0,2024-09-07 09:38:41:783,131975,0.5,128652,0.7,252108,0.5,341897,2.00 17,1,2024-09-07 09:38:40:582,914921,914921,0,0,429727663893,4508002569,905625,7548,1748,368,392075,0 17,2,2024-09-07 09:38:41:670,661258,661257,1,0,28635501,0,5050 17,3,2024-09-07 09:38:40:594,1,522,0,0,518,7817,522,0 18,0,2024-09-07 09:38:40:944,132375,0.6,133313,0.8,265687,0.6,355047,2.25 18,1,2024-09-07 09:38:41:638,919197,919197,0,0,431108182307,4471596782,913085,5115,997,367,391725,0 18,2,2024-09-07 09:38:41:756,663108,663108,0,0,25420862,0,3541 18,3,2024-09-07 09:38:40:900,1,522,1,0,1059,4913,522,0 19,0,2024-09-07 09:38:41:543,131915,0.7,132317,0.8,263061,0.7,350329,2.25 19,1,2024-09-07 09:38:40:574,918285,918285,0,0,431903782098,4478055061,911297,5904,1084,367,391777,0 19,2,2024-09-07 09:38:41:757,666595,666595,0,0,23894829,0,3988 19,3,2024-09-07 09:38:41:137,1,522,4,0,524,4246,522,0 20,0,2024-09-07 09:38:41:344,127921,0.5,128193,0.7,255877,0.5,341177,2.00 20,1,2024-09-07 09:38:40:570,915235,915235,0,0,429688125837,4501303322,906037,7833,1365,369,391922,0 20,2,2024-09-07 09:38:40:941,657917,657917,0,0,28991562,0,3721 20,3,2024-09-07 09:38:40:596,1,522,2,0,468,8902,522,0 21,0,2024-09-07 09:38:41:172,127407,0.4,127529,0.6,255082,0.4,338129,1.75 21,1,2024-09-07 09:38:41:745,913945,913945,0,0,429040857268,4527215224,899790,11036,3119,368,392016,0 21,2,2024-09-07 09:38:41:089,655565,655545,20,0,33986277,0,5617 21,3,2024-09-07 09:38:41:405,1,522,1,0,713,6747,522,0 22,0,2024-09-07 09:38:41:719,131927,0.4,132545,0.6,264233,0.4,351233,2.00 22,1,2024-09-07 09:38:41:027,914711,914711,0,0,429379045061,4519949750,899481,12003,3227,382,391822,0 22,2,2024-09-07 09:38:40:766,658582,658556,26,0,28483182,0,6328 22,3,2024-09-07 09:38:41:071,1,522,2,0,228,4432,522,0 23,0,2024-09-07 09:38:41:372,134084,0.5,133625,0.7,267251,0.5,356200,2.25 23,1,2024-09-07 09:38:41:003,915597,915597,0,0,430362841541,4516808986,899891,11298,4408,365,391690,0 23,2,2024-09-07 09:38:41:092,664371,664371,0,0,27171673,0,3773 23,3,2024-09-07 09:38:41:754,1,522,0,0,855,7657,522,0 24,0,2024-09-07 09:38:40:828,130413,0.4,129658,0.6,260813,0.4,345940,1.75 24,1,2024-09-07 09:38:40:587,914574,914574,0,0,429221262931,4493804889,905613,7287,1674,367,392269,0 24,2,2024-09-07 09:38:41:071,660301,660301,0,0,32174507,0,4438 24,3,2024-09-07 09:38:41:688,1,522,1,0,468,6646,522,0 25,0,2024-09-07 09:38:41:367,132548,0.4,129096,0.6,253176,0.3,344841,1.75 25,1,2024-09-07 09:38:40:580,913682,913682,0,0,429341014921,4528888008,898705,12005,2972,369,391928,0 25,2,2024-09-07 09:38:41:606,656583,656583,0,0,33369189,0,3978 25,3,2024-09-07 09:38:41:004,1,522,8,0,532,5705,522,0 26,0,2024-09-07 09:38:41:720,129689,0.4,127053,0.6,266530,0.3,347127,1.75 26,1,2024-09-07 09:38:41:554,916489,916489,0,0,429479108527,4496797472,903649,10388,2452,380,391748,0 26,2,2024-09-07 09:38:40:861,658500,658500,0,0,35376494,0,4689 26,3,2024-09-07 09:38:41:712,1,522,1,0,796,5749,522,0 27,0,2024-09-07 09:38:41:724,136240,0.4,136839,0.6,272089,0.4,362655,2.25 27,1,2024-09-07 09:38:41:682,917342,917342,0,0,432002150674,4498748367,908786,7287,1269,381,391626,0 27,2,2024-09-07 09:38:40:868,659208,659143,65,0,30637580,0,5699 27,3,2024-09-07 09:38:41:014,1,522,3,0,564,4543,522,0 28,0,2024-09-07 09:38:41:396,130905,0.4,130580,0.6,261942,0.3,349119,2.00 28,1,2024-09-07 09:38:40:798,917732,917732,0,0,431706210772,4500194303,909959,6059,1714,382,391698,0 28,2,2024-09-07 09:38:41:768,662916,662916,0,0,26997194,0,2915 28,3,2024-09-07 09:38:41:781,1,522,5,0,502,5233,522,0 29,0,2024-09-07 09:38:41:359,132206,0.3,128798,0.6,252665,0.3,344430,1.75 29,1,2024-09-07 09:38:41:562,919805,919805,0,0,431738712007,4465683748,914249,4794,762,367,391809,0 29,2,2024-09-07 09:38:40:863,657008,657008,0,0,26373094,0,4986 29,3,2024-09-07 09:38:40:973,1,522,6,0,459,5738,522,0 30,0,2024-09-07 09:38:41:463,128106,0.5,124596,0.6,261037,0.4,341590,2.00 30,1,2024-09-07 09:38:40:576,919539,919539,0,0,432399141095,4487458591,912562,6075,902,380,391672,0 30,2,2024-09-07 09:38:41:274,659410,659410,0,0,25401505,0,4192 30,3,2024-09-07 09:38:40:582,1,522,12,0,519,5062,522,0 31,0,2024-09-07 09:38:41:763,134493,0.5,134827,0.6,269220,0.4,359458,2.00 31,1,2024-09-07 09:38:40:570,922365,922365,0,0,433673296256,4452079873,917041,4313,1011,356,391712,0 31,2,2024-09-07 09:38:41:281,660042,660042,0,0,27980712,0,4470 31,3,2024-09-07 09:38:41:705,1,522,1,0,220,4422,522,0 32,0,2024-09-07 09:38:41:432,132123,0.4,132806,0.5,264418,0.3,352500,1.75 32,1,2024-09-07 09:38:40:814,918714,918714,0,0,431498581491,4485297606,912912,5093,709,381,391646,0 32,2,2024-09-07 09:38:40:937,664820,664820,0,0,24504033,0,3922 32,3,2024-09-07 09:38:41:016,1,522,0,0,304,4274,522,0 33,0,2024-09-07 09:38:41:520,128746,0.3,128285,0.4,256791,0.2,341884,1.50 33,1,2024-09-07 09:38:40:597,919331,919331,0,0,431651376815,4471696052,912099,6058,1174,368,391730,0 33,2,2024-09-07 09:38:40:775,659535,659500,35,0,27042616,0,7012 33,3,2024-09-07 09:38:40:898,1,522,2,0,329,4874,522,0 34,0,2024-09-07 09:38:40:930,127637,0.3,131103,0.4,250510,0.2,337971,1.75 34,1,2024-09-07 09:38:41:044,920943,920943,0,0,432687927778,4450026256,918434,2487,22,367,391637,0 34,2,2024-09-07 09:38:40:767,660120,660120,0,0,25560016,0,4562 34,3,2024-09-07 09:38:41:688,1,522,1,0,320,4012,522,0 35,0,2024-09-07 09:38:40:860,132087,0.3,132782,0.5,266701,0.2,354781,1.75 35,1,2024-09-07 09:38:41:070,918045,918045,0,0,430911952458,4470631446,911388,5444,1213,382,391769,0 35,2,2024-09-07 09:38:41:592,660189,660189,0,0,28136261,0,4055 35,3,2024-09-07 09:38:40:910,1,522,1,0,418,4901,522,0 36,0,2024-09-07 09:38:41:520,134101,0.5,134051,0.7,268006,0.5,356382,2.00 36,1,2024-09-07 09:38:40:587,917513,917513,0,0,430205093797,4494401204,905229,10064,2220,366,391759,0 36,2,2024-09-07 09:38:41:756,664084,664084,0,0,29633762,0,3875 36,3,2024-09-07 09:38:40:863,1,522,1,0,416,7171,522,0 37,0,2024-09-07 09:38:41:395,127902,0.5,127803,0.7,255819,0.5,341081,2.25 37,1,2024-09-07 09:38:40:593,916127,916120,0,7,430719956126,4498338692,905002,8443,2675,365,391770,0 37,2,2024-09-07 09:38:41:141,657456,657441,15,0,30064420,0,5815 37,3,2024-09-07 09:38:41:767,1,522,1,0,888,7352,522,0 38,0,2024-09-07 09:38:41:437,127571,0.4,123792,0.6,258971,0.3,338710,2.00 38,1,2024-09-07 09:38:41:606,917344,917344,0,0,430463499240,4500737890,904113,10712,2519,368,391821,0 38,2,2024-09-07 09:38:40:764,657135,657088,47,0,30209744,0,6710 38,3,2024-09-07 09:38:40:996,1,522,1,0,689,6696,522,0 39,0,2024-09-07 09:38:41:765,134553,0.5,131613,0.7,256350,0.5,350256,2.00 39,1,2024-09-07 09:38:40:726,915460,915460,0,0,429938004998,4511241518,899665,12326,3469,365,391865,0 39,2,2024-09-07 09:38:41:416,660287,660287,0,0,27903322,0,3391 39,3,2024-09-07 09:38:40:713,1,522,0,0,525,6271,522,0 40,0,2024-09-07 09:38:41:510,133966,0.9,134616,1.0,268783,1.1,358090,2.75 40,1,2024-09-07 09:38:40:595,917851,917851,0,0,429578391193,4492742244,905831,9841,2179,368,391668,0 40,2,2024-09-07 09:38:41:305,660855,660854,1,0,33215380,0,5137 40,3,2024-09-07 09:38:41:149,1,522,1,0,1028,7375,522,0 41,0,2024-09-07 09:38:41:051,129400,1.3,132134,1.1,252126,1.9,343266,3.00 41,1,2024-09-07 09:38:40:773,915849,915849,0,0,430140664755,4500818549,903920,9994,1935,369,391878,0 41,2,2024-09-07 09:38:40:766,659195,659194,1,0,32055476,0,5408 41,3,2024-09-07 09:38:41:680,1,522,253,0,366,5671,522,0 42,0,2024-09-07 09:38:41:480,128263,0.8,127916,0.9,256096,0.8,339796,2.50 42,1,2024-09-07 09:38:41:439,913126,913126,0,0,428498308590,4505925728,898113,12105,2908,380,391675,0 42,2,2024-09-07 09:38:41:141,656879,656879,0,0,31067062,0,3975 42,3,2024-09-07 09:38:41:008,1,522,2,0,892,4701,522,0 43,0,2024-09-07 09:38:40:916,128576,0.7,125187,0.9,262149,0.7,343710,2.25 43,1,2024-09-07 09:38:40:587,916558,916558,0,0,431156012521,4504767629,903689,10609,2260,366,391696,0 43,2,2024-09-07 09:38:41:742,659113,659113,0,0,30522250,0,4723 43,3,2024-09-07 09:38:41:749,1,522,24,0,571,7254,522,0 44,0,2024-09-07 09:38:40:859,135866,0.4,135833,0.6,272317,0.4,362490,2.00 44,1,2024-09-07 09:38:40:573,919186,919186,0,0,431365724208,4457485277,911388,6211,1587,356,391809,0 44,2,2024-09-07 09:38:41:267,659435,659435,0,0,25265584,0,4344 44,3,2024-09-07 09:38:41:096,1,522,1,0,817,5665,522,0 45,0,2024-09-07 09:38:41:759,129375,0.5,126407,0.7,265243,0.5,348483,2.00 45,1,2024-09-07 09:38:41:248,917750,917750,0,0,432494696774,4494782157,910237,6637,876,382,391917,0 45,2,2024-09-07 09:38:41:283,664278,664278,0,0,25621338,0,3596 45,3,2024-09-07 09:38:40:934,1,522,0,0,365,4926,522,0 46,0,2024-09-07 09:38:40:954,127929,0.5,127408,0.7,255654,0.4,339285,2.00 46,1,2024-09-07 09:38:40:587,919582,919582,0,0,431732101847,4461668232,913178,5541,863,366,391709,0 46,2,2024-09-07 09:38:40:593,658500,658500,0,0,25796421,0,4443 46,3,2024-09-07 09:38:41:140,1,522,8,0,908,6329,522,0 47,0,2024-09-07 09:38:41:106,128125,0.4,128268,0.6,257433,0.3,340919,1.75 47,1,2024-09-07 09:38:40:572,920301,920301,0,0,432583941604,4467778456,915072,4390,839,365,391641,0 47,2,2024-09-07 09:38:40:911,661891,661891,0,0,25635433,0,4477 47,3,2024-09-07 09:38:41:119,1,522,6,0,600,5912,522,0 48,0,2024-09-07 09:38:41:492,134098,0.3,134212,0.4,267737,0.2,356843,1.50 48,1,2024-09-07 09:38:41:022,918112,918112,0,0,431718283882,4484987086,912318,5221,573,384,391710,0 48,2,2024-09-07 09:38:40:701,661058,661058,0,0,23598895,0,3411 48,3,2024-09-07 09:38:40:787,1,522,5,0,339,4169,522,0 49,0,2024-09-07 09:38:41:717,135976,0.4,133430,0.5,259372,0.3,354334,1.75 49,1,2024-09-07 09:38:41:021,917667,917667,0,0,431056825929,4480644487,912101,4355,1211,382,391809,0 49,2,2024-09-07 09:38:41:802,665280,665280,0,0,26226690,0,4426 49,3,2024-09-07 09:38:41:415,1,522,2,0,992,6067,522,0 50,0,2024-09-07 09:38:41:507,128761,0.3,127059,0.5,256122,0.2,340798,1.75 50,1,2024-09-07 09:38:41:010,920871,920871,0,0,433025450884,4476670368,914926,5278,667,368,391565,0 50,2,2024-09-07 09:38:41:078,658222,658222,0,0,23977456,0,4490 50,3,2024-09-07 09:38:41:300,1,522,4,0,617,5439,522,0 51,0,2024-09-07 09:38:41:686,130773,0.3,127970,0.4,249260,0.2,339608,1.75 51,1,2024-09-07 09:38:41:682,921339,921339,0,0,433509274224,4469359968,916573,3711,1055,365,391706,0 51,2,2024-09-07 09:38:41:315,659311,659311,0,0,23238919,0,3337 51,3,2024-09-07 09:38:41:027,1,522,1,0,678,3777,522,0 52,0,2024-09-07 09:38:41:415,132519,0.5,132054,0.7,264508,0.4,352075,2.00 52,1,2024-09-07 09:38:40:594,917124,917124,0,0,429800982747,4500050020,903896,11123,2105,368,391722,0 52,2,2024-09-07 09:38:41:758,656505,656467,38,0,31048734,0,6742 52,3,2024-09-07 09:38:40:680,1,522,1,0,1782,6662,522,0 53,0,2024-09-07 09:38:41:774,133688,0.7,129703,0.8,271273,0.8,355729,2.50 53,1,2024-09-07 09:38:40:773,915146,915146,0,0,429980501197,4512732697,899783,11519,3844,367,391968,0 53,2,2024-09-07 09:38:41:298,663538,663537,1,0,27961151,0,5455 53,3,2024-09-07 09:38:40:705,1,522,1,0,308,5146,522,0 54,0,2024-09-07 09:38:41:614,127507,0.6,127912,0.8,254685,0.5,339742,2.25 54,1,2024-09-07 09:38:40:593,917313,917313,0,0,430733773475,4481128719,907247,8432,1634,366,391810,0 54,2,2024-09-07 09:38:40:864,660844,660812,32,0,31682910,0,6397 54,3,2024-09-07 09:38:40:774,1,522,19,0,676,7040,522,0 55,0,2024-09-07 09:38:41:789,124454,0.5,128416,0.7,260174,0.5,339069,2.25 55,1,2024-09-07 09:38:40:764,918345,918345,0,0,430411825816,4476190409,908663,8362,1320,365,391731,0 55,2,2024-09-07 09:38:40:735,656836,656780,56,0,29874271,0,7239 55,3,2024-09-07 09:38:40:680,1,522,1,0,304,4881,522,0 56,0,2024-09-07 09:38:41:602,133149,1.1,125449,1.1,258584,1.4,346552,2.50 56,1,2024-09-07 09:38:40:588,912949,912949,0,0,429556342112,4535799417,898626,11411,2912,381,391867,0 56,2,2024-09-07 09:38:41:302,658612,658490,122,0,31276955,0,7432 56,3,2024-09-07 09:38:41:067,1,522,0,0,705,6246,522,0 57,0,2024-09-07 09:38:41:000,134275,1.6,134241,1.3,268882,2.2,359377,3.25 57,1,2024-09-07 09:38:40:986,914523,914523,0,0,429373318058,4505099022,902339,9899,2285,366,392032,0 57,2,2024-09-07 09:38:41:318,661457,661457,0,0,32194003,0,4317 57,3,2024-09-07 09:38:41:753,1,522,1,0,455,5838,522,0 58,0,2024-09-07 09:38:40:562,128699,1.0,125044,1.0,261526,1.2,342578,2.75 58,1,2024-09-07 09:38:40:594,916266,916263,0,3,430470148952,4511684112,902460,10482,3321,367,391675,3 58,2,2024-09-07 09:38:41:070,662211,662211,0,0,30599968,0,3483 58,3,2024-09-07 09:38:41:068,1,522,1,0,1043,5811,522,0 59,0,2024-09-07 09:38:41:740,128067,0.8,128005,0.9,255115,0.9,338665,2.75 59,1,2024-09-07 09:38:40:809,915009,915009,0,0,430082939056,4517602141,900098,11772,3139,369,391653,0 59,2,2024-09-07 09:38:40:582,657113,657113,0,0,29063639,0,3727 59,3,2024-09-07 09:38:41:746,1,522,1,0,1015,6493,522,0 60,0,2024-09-07 09:38:41:729,128422,0.5,128671,0.7,257315,0.5,342532,1.75 60,1,2024-09-07 09:38:40:777,919495,919495,0,0,431639451531,4479536754,913309,5252,934,370,392031,0 60,2,2024-09-07 09:38:41:140,659435,659435,0,0,27628384,0,3811 60,3,2024-09-07 09:38:41:260,1,522,1,0,409,6106,522,0 61,0,2024-09-07 09:38:41:494,134434,0.7,135063,0.8,268882,0.7,359090,2.00 61,1,2024-09-07 09:38:40:775,915979,915979,0,0,430664805398,4509476652,906058,8341,1580,382,392127,0 61,2,2024-09-07 09:38:41:120,660846,660779,67,0,28571667,0,6411 61,3,2024-09-07 09:38:41:689,1,522,0,0,607,7495,522,0 62,0,2024-09-07 09:38:41:712,132390,0.7,135784,0.8,259213,0.7,352421,2.00 62,1,2024-09-07 09:38:41:115,921436,921430,0,6,433211902725,4464160672,917274,3876,280,365,391975,6 62,2,2024-09-07 09:38:41:646,661978,661977,1,0,27863197,0,5555 62,3,2024-09-07 09:38:41:147,1,522,2,0,482,4228,522,0 63,0,2024-09-07 09:38:41:470,128708,0.4,128418,0.6,257764,0.3,342296,1.75 63,1,2024-09-07 09:38:40:808,918666,918660,0,6,431450756913,4478377783,912905,5004,751,381,391800,6 63,2,2024-09-07 09:38:40:767,658266,658266,0,0,26856347,0,4369 63,3,2024-09-07 09:38:41:740,1,522,1,0,667,5574,522,0 64,0,2024-09-07 09:38:41:524,126839,0.5,126887,0.6,253232,0.4,337124,2.00 64,1,2024-09-07 09:38:40:766,918318,918318,0,0,431093186694,4488794115,910426,6121,1771,370,391794,0 64,2,2024-09-07 09:38:41:148,663270,663251,19,0,25849749,0,6121 64,3,2024-09-07 09:38:41:140,1,522,1,0,651,5491,522,0 65,0,2024-09-07 09:38:41:737,131875,0.6,132066,0.7,263719,0.6,352291,2.00 65,1,2024-09-07 09:38:40:858,916629,916629,0,0,430170574130,4495823585,909881,5951,797,381,391901,0 65,2,2024-09-07 09:38:41:714,660064,660064,0,0,30327655,0,3367 65,3,2024-09-07 09:38:41:695,1,522,5,0,782,6173,522,0 66,0,2024-09-07 09:38:41:770,133309,0.6,132764,0.8,265721,0.6,353624,2.25 66,1,2024-09-07 09:38:41:294,918267,918267,0,0,430929173616,4485340956,912119,5426,722,380,391743,0 66,2,2024-09-07 09:38:41:138,665896,665893,3,0,27707254,0,5455 66,3,2024-09-07 09:38:41:082,1,522,2,0,291,4509,522,0 67,0,2024-09-07 09:38:41:415,128324,0.5,128009,0.7,256675,0.5,341202,2.00 67,1,2024-09-07 09:38:40:788,918373,918372,0,1,431381391990,4490651742,912100,5458,814,380,391787,1 67,2,2024-09-07 09:38:40:583,661533,661518,15,0,26736620,0,6205 67,3,2024-09-07 09:38:41:756,1,522,2,0,595,5529,522,0 68,0,2024-09-07 09:38:40:607,128489,0.5,128354,0.7,255663,0.5,341268,2.00 68,1,2024-09-07 09:38:40:575,914277,914277,0,0,428506295533,4507799004,901881,8995,3401,381,391953,0 68,2,2024-09-07 09:38:41:049,654573,654473,100,0,34562385,0,8578 68,3,2024-09-07 09:38:40:741,1,522,1,0,417,6788,522,0 69,0,2024-09-07 09:38:41:756,130551,0.6,131436,0.8,262062,0.6,348229,2.25 69,1,2024-09-07 09:38:41:016,913410,913410,0,0,429768316778,4529124695,901152,9497,2761,384,391994,0 69,2,2024-09-07 09:38:41:736,658091,658062,29,0,35623590,0,6912 69,3,2024-09-07 09:38:40:776,1,522,6,0,698,7764,522,0 70,0,2024-09-07 09:38:41:575,133764,0.9,134156,1.0,269887,0.8,356938,2.50 70,1,2024-09-07 09:38:40:801,918904,918904,0,0,431731689423,4480229707,911649,6493,762,366,391725,0 70,2,2024-09-07 09:38:41:325,662316,662316,0,0,29965038,0,4323 70,3,2024-09-07 09:38:40:749,1,522,0,0,854,5691,522,0 71,0,2024-09-07 09:38:41:356,128670,1.1,128425,1.1,258085,1.5,344441,2.75 71,1,2024-09-07 09:38:41:600,916686,916686,0,0,430810489425,4497414248,905293,10138,1255,368,391738,0 71,2,2024-09-07 09:38:41:078,660812,660812,0,0,30614996,0,4352 71,3,2024-09-07 09:38:41:764,1,522,7,0,644,6243,522,0 72,0,2024-09-07 09:38:41:031,133092,0.5,130091,0.7,253858,0.5,345191,2.00 72,1,2024-09-07 09:38:41:027,914972,914972,0,0,430375506690,4515632399,901520,11170,2282,369,391819,0 72,2,2024-09-07 09:38:41:757,656484,656484,0,0,32074059,0,3983 72,3,2024-09-07 09:38:41:758,1,522,7,0,564,7545,522,0 73,0,2024-09-07 09:38:41:120,126376,0.4,129633,0.6,265001,0.4,344732,2.00 73,1,2024-09-07 09:38:40:767,918115,918115,0,0,430715303157,4472407630,911886,5804,425,367,391858,0 73,2,2024-09-07 09:38:41:747,658774,658774,0,0,32404073,0,4728 73,3,2024-09-07 09:38:40:973,1,522,1,0,486,6557,522,0 74,0,2024-09-07 09:38:41:327,136734,0.5,139881,0.7,266855,0.5,362795,2.00 74,1,2024-09-07 09:38:40:644,916253,916253,0,0,430032290907,4491066394,905802,8527,1924,381,391762,0 74,2,2024-09-07 09:38:41:002,660491,660491,0,0,28358342,0,4253 74,3,2024-09-07 09:38:41:442,1,522,11,0,522,6289,522,0 75,0,2024-09-07 09:38:41:776,131271,0.6,130418,0.8,261835,0.6,349969,2.25 75,1,2024-09-07 09:38:41:592,917210,917210,0,0,430557957000,4488800829,909316,7032,862,380,391739,0 75,2,2024-09-07 09:38:41:350,662091,662091,0,0,33981513,0,4766 75,3,2024-09-07 09:38:41:069,1,522,0,0,702,6210,522,0 76,0,2024-09-07 09:38:40:593,127872,0.5,127192,0.7,254950,0.5,340858,2.25 76,1,2024-09-07 09:38:40:809,916708,916708,0,0,430622552543,4491265091,910081,5660,967,382,391692,0 76,2,2024-09-07 09:38:41:078,660035,660032,3,0,28513435,0,5265 76,3,2024-09-07 09:38:41:149,1,522,4,0,227,4851,522,0 77,0,2024-09-07 09:38:41:755,127633,0.5,128034,0.7,256136,0.5,339988,2.00 77,1,2024-09-07 09:38:40:836,917359,917359,0,0,431012395948,4495046281,910525,6239,595,381,391869,0 77,2,2024-09-07 09:38:41:289,658495,658495,0,0,28057388,0,3890 77,3,2024-09-07 09:38:41:121,1,522,13,0,401,5587,522,0 78,0,2024-09-07 09:38:41:754,134004,0.4,133497,0.6,267950,0.4,355388,2.00 78,1,2024-09-07 09:38:40:614,917020,917020,0,0,430768761553,4491529432,906250,8244,2526,367,391670,0 78,2,2024-09-07 09:38:41:406,662267,662254,13,0,26137098,0,8313 78,3,2024-09-07 09:38:41:137,1,522,10,0,311,4548,522,0 79,0,2024-09-07 09:38:41:350,128005,0.4,131000,0.6,268329,0.4,349160,2.25 79,1,2024-09-07 09:38:40:582,919741,919741,0,0,431435309437,4470492354,912004,6380,1357,367,391682,0 79,2,2024-09-07 09:38:41:071,664349,664349,0,0,25148543,0,4195 79,3,2024-09-07 09:38:40:761,1,522,16,0,418,6300,522,0 80,0,2024-09-07 09:38:41:104,127960,0.5,131467,0.7,251608,0.5,340388,2.00 80,1,2024-09-07 09:38:41:674,916965,916965,0,0,430783382232,4483116857,911140,5398,427,368,392269,0 80,2,2024-09-07 09:38:41:092,660359,660359,0,0,25666357,0,4433 80,3,2024-09-07 09:38:40:575,1,522,9,0,296,6212,522,0 81,0,2024-09-07 09:38:41:566,127580,0.5,130619,0.7,249007,0.5,338174,2.00 81,1,2024-09-07 09:38:41:657,915876,915876,0,0,430575713601,4500463671,908474,6763,639,382,391885,0 81,2,2024-09-07 09:38:41:128,658308,658245,63,0,28656089,0,5932 81,3,2024-09-07 09:38:41:123,1,522,9,0,719,5971,522,0 82,0,2024-09-07 09:38:41:568,131800,0.5,131967,0.7,264384,0.4,351196,2.00 82,1,2024-09-07 09:38:40:584,918356,918352,0,4,431818216253,4488687706,913274,4258,820,381,391768,4 82,2,2024-09-07 09:38:41:694,662134,662134,0,0,23863659,0,4484 82,3,2024-09-07 09:38:41:754,1,522,1,0,363,4985,522,0 83,0,2024-09-07 09:38:41:543,134306,0.6,134322,0.8,267959,0.7,355827,2.25 83,1,2024-09-07 09:38:40:601,917405,917405,0,0,430654839332,4488834726,911049,5902,454,382,391709,0 83,2,2024-09-07 09:38:40:767,663448,663423,25,0,25894570,0,5612 83,3,2024-09-07 09:38:40:759,1,522,1,0,1260,6501,522,0 84,0,2024-09-07 09:38:41:892,128387,0.7,128602,0.9,256791,0.7,343107,2.25 84,1,2024-09-07 09:38:41:064,915725,915725,0,0,430121014356,4497229105,905487,8713,1525,367,391967,0 84,2,2024-09-07 09:38:40:572,659786,659756,30,0,34140538,0,5971 84,3,2024-09-07 09:38:41:141,1,522,6,0,908,7401,522,0 85,0,2024-09-07 09:38:41:004,124029,0.5,124086,0.8,263239,0.5,340553,2.25 85,1,2024-09-07 09:38:40:574,912507,912507,0,0,429238569351,4533381201,898235,11614,2658,381,392092,0 85,2,2024-09-07 09:38:40:867,656438,656438,0,0,31846417,0,4255 85,3,2024-09-07 09:38:40:690,1,522,1,0,789,6041,522,0 86,0,2024-09-07 09:38:40:937,130130,0.6,133737,0.7,255966,0.6,346918,2.25 86,1,2024-09-07 09:38:41:127,915528,915528,0,0,430397948639,4509818144,904230,9321,1977,366,391995,0 86,2,2024-09-07 09:38:40:857,657533,657532,1,0,34342630,0,5004 86,3,2024-09-07 09:38:40:601,1,522,1,0,308,7542,522,0 87,0,2024-09-07 09:38:41:344,136162,0.9,135974,0.9,271758,1.1,363081,2.25 87,1,2024-09-07 09:38:40:558,914332,914332,0,0,429335529136,4499876674,902132,10484,1716,366,392076,0 87,2,2024-09-07 09:38:41:087,661080,661074,6,0,30318114,0,6323 87,3,2024-09-07 09:38:41:803,1,522,1,0,473,7647,522,0 88,0,2024-09-07 09:38:41:438,130467,0.5,131162,0.6,261940,0.4,348789,2.00 88,1,2024-09-07 09:38:40:572,914090,914090,0,0,429691650316,4501344208,901449,10025,2616,365,392084,0 88,2,2024-09-07 09:38:40:700,662609,662609,0,0,32772913,0,4465 88,3,2024-09-07 09:38:41:267,1,522,5,0,435,6680,522,0 89,0,2024-09-07 09:38:41:792,132366,0.4,128360,0.6,253444,0.4,344448,1.75 89,1,2024-09-07 09:38:40:561,914577,914577,0,0,430026960447,4524307682,903162,9598,1817,382,391866,0 89,2,2024-09-07 09:38:41:133,656804,656804,0,0,31032792,0,3173 89,3,2024-09-07 09:38:41:792,1,522,8,0,468,8630,522,0 90,0,2024-09-07 09:38:41:772,124674,0.4,128192,0.6,261153,0.4,341307,1.75 90,1,2024-09-07 09:38:40:615,915760,915760,0,0,429829212615,4499062416,907249,7778,733,380,391825,0 90,2,2024-09-07 09:38:41:430,656844,656844,0,0,33254291,0,3608 90,3,2024-09-07 09:38:40:955,1,522,2,0,322,6391,522,0 91,0,2024-09-07 09:38:40:944,135091,0.5,130998,0.6,273936,0.4,359632,1.75 91,1,2024-09-07 09:38:40:573,914072,914072,0,0,428952495419,4511098446,902472,9588,2012,381,392047,0 91,2,2024-09-07 09:38:41:342,660921,660921,0,0,29365071,0,4713 91,3,2024-09-07 09:38:40:630,1,522,1,0,216,4960,522,0 92,0,2024-09-07 09:38:41:484,133468,0.5,136420,0.6,260079,0.5,352616,1.75 92,1,2024-09-07 09:38:40:593,916333,916333,0,0,430829440448,4500723910,908822,6304,1207,381,392136,0 92,2,2024-09-07 09:38:41:354,664107,664107,0,0,26399428,0,3259 92,3,2024-09-07 09:38:41:010,1,522,1,0,167,4525,522,0 93,0,2024-09-07 09:38:40:958,128989,0.4,132236,0.6,252412,0.3,342252,1.75 93,1,2024-09-07 09:38:40:810,916969,916969,0,0,430985185966,4499340880,906687,8549,1733,366,391776,0 93,2,2024-09-07 09:38:40:930,657819,657819,0,0,32042594,0,4913 93,3,2024-09-07 09:38:41:406,1,522,1,0,190,4712,522,0 94,0,2024-09-07 09:38:41:622,126908,0.3,127648,0.5,255105,0.3,338801,1.75 94,1,2024-09-07 09:38:40:581,917113,917113,0,0,431432164275,4498991308,911014,5726,373,381,391850,0 94,2,2024-09-07 09:38:40:785,658916,658888,28,0,27395342,0,6179 94,3,2024-09-07 09:38:41:697,1,522,4,0,576,6586,522,0 95,0,2024-09-07 09:38:41:397,132975,0.3,132717,0.5,265808,0.3,354733,1.75 95,1,2024-09-07 09:38:40:877,918274,918274,0,0,431200159378,4481274050,910920,6696,658,365,391786,0 95,2,2024-09-07 09:38:41:034,659873,659873,0,0,26161716,0,3308 95,3,2024-09-07 09:38:41:718,1,522,16,0,718,8062,522,0 96,0,2024-09-07 09:38:41:023,133965,0.4,134076,0.5,268023,0.3,355769,1.75 96,1,2024-09-07 09:38:41:583,916757,916757,0,0,429895690578,4483759649,910366,5478,913,384,391955,0 96,2,2024-09-07 09:38:41:267,664392,664392,0,0,27234707,0,4180 96,3,2024-09-07 09:38:41:153,1,522,0,0,411,5527,522,0 97,0,2024-09-07 09:38:41:324,128348,0.3,128185,0.5,257438,0.3,341460,1.50 97,1,2024-09-07 09:38:40:763,918516,918516,0,0,431504561034,4475516493,912760,4811,945,367,392140,0 97,2,2024-09-07 09:38:40:631,660670,660670,0,0,26673551,0,3679 97,3,2024-09-07 09:38:40:571,1,522,2,0,242,6015,522,0 98,0,2024-09-07 09:38:41:742,127884,0.3,128085,0.4,256880,0.2,340765,1.50 98,1,2024-09-07 09:38:40:582,917776,917776,0,0,430584868786,4483592084,912368,4608,800,381,391997,0 98,2,2024-09-07 09:38:40:774,658859,658859,0,0,26217283,0,4336 98,3,2024-09-07 09:38:40:700,1,522,1,0,840,8023,522,0 99,0,2024-09-07 09:38:41:606,131278,0.3,131920,0.4,262637,0.3,350727,1.75 99,1,2024-09-07 09:38:41:744,917883,917883,0,0,429838070256,4474010277,911914,5041,928,380,392069,0 99,2,2024-09-07 09:38:41:420,660321,660321,0,0,31539089,0,4276 99,3,2024-09-07 09:38:40:598,1,522,13,0,606,5240,522,0 100,0,2024-09-07 09:38:41:473,135034,0.8,135297,1.0,270039,1.0,360392,2.50 100,1,2024-09-07 09:38:40:558,912923,912923,0,0,428959644791,4535816718,898581,11243,3099,378,391989,0 100,2,2024-09-07 09:38:41:823,659946,659935,11,0,31127076,0,5417 100,3,2024-09-07 09:38:41:732,1,522,8,0,627,9410,522,0 101,0,2024-09-07 09:38:41:717,132645,1.2,129314,1.1,253465,1.2,346694,2.25 101,1,2024-09-07 09:38:40:555,914155,914155,0,0,429865129871,4517219880,901760,9994,2401,368,391847,0 101,2,2024-09-07 09:38:41:756,657617,657617,0,0,36097935,0,4871 101,3,2024-09-07 09:38:40:956,1,522,5,0,1250,8082,522,0 102,0,2024-09-07 09:38:41:008,125355,0.6,129252,0.7,262211,0.5,343318,2.00 102,1,2024-09-07 09:38:41:222,914331,914331,0,0,428965957586,4506224826,902120,10190,2021,369,391891,0 102,2,2024-09-07 09:38:41:738,657512,657458,54,0,30446669,0,6768 102,3,2024-09-07 09:38:41:615,1,522,5,0,466,6113,522,0 103,0,2024-09-07 09:38:41:621,133954,0.5,133915,0.7,252460,0.5,347405,2.00 103,1,2024-09-07 09:38:41:629,912768,912768,0,0,429220802128,4531250208,898578,10991,3199,381,391862,0 103,2,2024-09-07 09:38:40:582,657581,657581,0,0,32265935,0,3766 103,3,2024-09-07 09:38:40:758,1,522,2,0,916,6240,522,0 104,0,2024-09-07 09:38:41:064,134644,0.7,134738,0.9,268837,0.7,360418,2.25 104,1,2024-09-07 09:38:41:622,915604,915604,0,0,429081382147,4507293965,902548,10505,2551,365,392168,0 104,2,2024-09-07 09:38:41:669,658742,658742,0,0,31237544,0,3941 104,3,2024-09-07 09:38:41:416,1,522,4,0,1245,9155,522,0 105,0,2024-09-07 09:38:41:029,129616,1.0,126270,1.1,264692,1.2,348074,2.75 105,1,2024-09-07 09:38:40:558,916778,916778,0,0,430635213091,4511269358,905180,9830,1768,365,392009,0 105,2,2024-09-07 09:38:41:327,662034,662034,0,0,31432646,0,4360 105,3,2024-09-07 09:38:41:311,1,522,1,0,399,7448,522,0 106,0,2024-09-07 09:38:40:964,124012,0.7,127023,0.9,260248,0.8,339556,2.50 106,1,2024-09-07 09:38:41:750,915851,915851,0,0,429923371741,4510492361,903233,10969,1649,368,391914,0 106,2,2024-09-07 09:38:40:759,656645,656645,0,0,29555136,0,2920 106,3,2024-09-07 09:38:40:681,1,522,15,0,1224,7785,522,0 107,0,2024-09-07 09:38:41:234,127757,0.7,127936,0.8,255667,0.8,340965,2.00 107,1,2024-09-07 09:38:40:610,913882,913882,0,0,428759450061,4520676976,900979,11510,1393,381,392234,0 107,2,2024-09-07 09:38:41:373,656520,656519,1,0,32384327,0,5024 107,3,2024-09-07 09:38:41:767,1,522,30,0,733,7909,522,0 108,0,2024-09-07 09:38:41:804,133494,0.4,134233,0.6,267249,0.4,356933,1.75 108,1,2024-09-07 09:38:41:312,916519,916519,0,0,431555219134,4500834626,908604,6944,971,367,391857,0 108,2,2024-09-07 09:38:41:757,660009,660009,0,0,28934133,0,4246 108,3,2024-09-07 09:38:41:336,1,522,1,0,749,10299,522,0 109,0,2024-09-07 09:38:41:767,133262,0.4,131972,0.6,264595,0.4,353337,1.75 109,1,2024-09-07 09:38:40:592,913988,913988,0,0,429390196897,4504226892,905777,6995,1216,382,392132,0 109,2,2024-09-07 09:38:40:921,662046,662046,0,0,28867896,0,3617 109,3,2024-09-07 09:38:41:139,1,522,2,0,630,6522,522,0 110,0,2024-09-07 09:38:41:758,127980,0.4,124525,0.6,260837,0.3,341638,1.75 110,1,2024-09-07 09:38:41:646,917614,917614,0,0,431465530327,4481174072,910084,5608,1922,368,392045,0 110,2,2024-09-07 09:38:41:316,658800,658800,0,0,27238760,0,4067 110,3,2024-09-07 09:38:40:698,1,522,48,0,722,7271,522,0 111,0,2024-09-07 09:38:41:412,127883,0.3,127182,0.5,254676,0.3,339507,1.75 111,1,2024-09-07 09:38:41:003,919403,919403,0,0,432742453788,4486069017,914859,4187,357,380,391690,0 111,2,2024-09-07 09:38:41:134,658544,658544,0,0,27824298,0,4823 111,3,2024-09-07 09:38:40:914,1,522,9,0,379,5920,522,0 112,0,2024-09-07 09:38:40:912,132699,0.3,132142,0.4,265037,0.2,352211,1.50 112,1,2024-09-07 09:38:40:829,917709,917709,0,0,431067636153,4474832047,911810,5208,691,380,391624,0 112,2,2024-09-07 09:38:41:138,659994,659993,1,0,26410626,0,5036 112,3,2024-09-07 09:38:40:602,1,522,0,0,282,4981,522,0 113,0,2024-09-07 09:38:40:882,134548,0.3,134472,0.5,269118,0.3,358059,1.75 113,1,2024-09-07 09:38:41:689,920719,920719,0,0,432643155695,4464094087,915467,4439,813,365,391661,0 113,2,2024-09-07 09:38:41:303,665993,665993,0,0,24100448,0,3813 113,3,2024-09-07 09:38:40:701,1,522,1,0,340,5503,522,0 114,0,2024-09-07 09:38:40:874,129883,0.3,130719,0.5,259823,0.2,347099,1.75 114,1,2024-09-07 09:38:40:726,917901,917901,0,0,431455066457,4480165587,910994,5291,1616,381,391565,0 114,2,2024-09-07 09:38:40:875,662189,662188,1,0,25977633,0,5069 114,3,2024-09-07 09:38:41:290,1,522,2,0,395,4241,522,0 115,0,2024-09-07 09:38:40:582,129367,0.3,129904,0.4,258951,0.2,344189,1.50 115,1,2024-09-07 09:38:40:572,918592,918592,0,0,431364008283,4482008043,911192,6043,1357,382,391757,0 115,2,2024-09-07 09:38:41:124,660995,660995,0,0,25497030,0,4382 115,3,2024-09-07 09:38:41:002,1,522,1,0,167,3013,522,0 116,0,2024-09-07 09:38:41:742,129836,0.6,129812,0.8,260080,0.6,347899,2.00 116,1,2024-09-07 09:38:40:809,913846,913846,0,0,429199454389,4523559746,903184,8216,2446,380,392089,0 116,2,2024-09-07 09:38:41:754,658180,658180,0,0,32702183,0,4475 116,3,2024-09-07 09:38:40:911,1,522,2,0,415,6434,522,0 117,0,2024-09-07 09:38:40:957,136432,0.7,135845,0.8,272254,0.8,363716,2.00 117,1,2024-09-07 09:38:41:717,914792,914792,0,0,430061320072,4501652546,904764,8803,1225,369,392033,0 117,2,2024-09-07 09:38:41:121,663167,663167,0,0,28682196,0,4303 117,3,2024-09-07 09:38:41:072,1,522,14,0,490,7161,522,0 118,0,2024-09-07 09:38:41:836,126661,0.6,130202,0.7,265311,0.6,347342,2.00 118,1,2024-09-07 09:38:40:592,914394,914394,0,0,429158515607,4511462368,900891,10381,3122,366,392054,0 118,2,2024-09-07 09:38:41:626,661488,661488,0,0,31209530,0,2842 118,3,2024-09-07 09:38:41:791,1,522,1,0,248,5902,522,0 119,0,2024-09-07 09:38:41:364,128299,0.6,128765,0.8,257351,0.6,342647,2.00 119,1,2024-09-07 09:38:40:556,915373,915373,0,0,430624210978,4512008993,903645,9961,1767,367,391857,0 119,2,2024-09-07 09:38:41:269,657827,657827,0,0,28996248,0,4174 119,3,2024-09-07 09:38:41:327,1,522,2,0,1358,9748,522,0 120,0,2024-09-07 09:38:41:614,127920,0.6,127549,0.8,256383,0.6,342060,2.25 120,1,2024-09-07 09:38:40:865,915676,915676,0,0,429666832546,4502655077,906379,8517,780,368,392144,0 120,2,2024-09-07 09:38:40:777,657988,657987,1,0,33573143,0,5281 120,3,2024-09-07 09:38:41:296,1,522,10,0,279,6524,522,0 121,0,2024-09-07 09:38:41:696,134798,1.3,134225,1.1,268867,1.8,358709,2.25 121,1,2024-09-07 09:38:41:662,916056,916056,0,0,429979579684,4493908547,907318,8037,701,366,391840,0 121,2,2024-09-07 09:38:41:125,659389,659389,0,0,30852082,0,4157 121,3,2024-09-07 09:38:40:730,1,522,1,0,330,6254,522,0 122,0,2024-09-07 09:38:41:773,131375,0.9,127802,0.9,267838,1.0,352397,2.25 122,1,2024-09-07 09:38:40:862,914632,914632,0,0,429592040557,4506121375,902175,10571,1886,366,392130,0 122,2,2024-09-07 09:38:41:319,664037,663962,75,0,35128739,0,5989 122,3,2024-09-07 09:38:40:607,1,522,6,0,512,8804,522,0 123,0,2024-09-07 09:38:40:959,127748,0.8,124318,0.9,260354,0.9,340812,2.25 123,1,2024-09-07 09:38:40:561,915111,915111,0,0,429499440817,4518369458,899903,12703,2505,369,392039,0 123,2,2024-09-07 09:38:41:019,655902,655901,1,0,29777957,0,5215 123,3,2024-09-07 09:38:41:133,1,522,27,0,478,6087,522,0 124,0,2024-09-07 09:38:40:924,131019,0.3,130968,0.5,246900,0.3,339963,1.75 124,1,2024-09-07 09:38:41:022,918252,918252,0,0,430906740966,4479352304,911442,5678,1132,365,392178,0 124,2,2024-09-07 09:38:41:010,659998,659945,53,0,27126663,0,6487 124,3,2024-09-07 09:38:40:758,1,522,1,0,490,5459,522,0 125,0,2024-09-07 09:38:41:441,132714,0.4,132394,0.5,266161,0.3,354685,1.75 125,1,2024-09-07 09:38:40:862,916748,916748,0,0,430473441364,4492972202,909767,5997,984,382,391813,0 125,2,2024-09-07 09:38:41:115,662674,662674,0,0,27219639,0,4534 125,3,2024-09-07 09:38:41:138,1,522,2,0,709,6168,522,0 126,0,2024-09-07 09:38:41:430,133945,0.4,137682,0.6,263463,0.4,356408,1.75 126,1,2024-09-07 09:38:40:559,919525,919525,0,0,431358811218,4464703728,914851,4364,310,365,391987,0 126,2,2024-09-07 09:38:40:637,664586,664586,0,0,28046967,0,4539 126,3,2024-09-07 09:38:40:911,1,522,11,0,268,5840,522,0 127,0,2024-09-07 09:38:41:607,128584,0.3,128948,0.5,257288,0.3,341737,1.75 127,1,2024-09-07 09:38:40:582,917841,917841,0,0,430646517824,4481365974,908471,7866,1504,364,392187,0 127,2,2024-09-07 09:38:40:637,658731,658727,4,0,26659436,0,5305 127,3,2024-09-07 09:38:41:274,1,522,2,0,968,5191,522,0 128,0,2024-09-07 09:38:41:613,128803,0.3,128846,0.4,257293,0.2,341697,1.50 128,1,2024-09-07 09:38:41:618,916857,916857,0,0,431156151215,4478709261,910380,5741,736,367,392031,0 128,2,2024-09-07 09:38:41:385,660124,660124,0,0,24920405,0,3171 128,3,2024-09-07 09:38:40:767,1,522,0,0,1082,8335,522,0 129,0,2024-09-07 09:38:41:002,132406,0.3,131762,0.4,264031,0.3,351116,1.50 129,1,2024-09-07 09:38:40:572,914422,914422,0,0,428863653259,4494745635,905393,7084,1945,379,391962,0 129,2,2024-09-07 09:38:40:698,660624,660620,4,0,27242812,0,5335 129,3,2024-09-07 09:38:40:697,1,522,1,0,506,7376,522,0 130,0,2024-09-07 09:38:41:716,136141,0.4,135659,0.6,272129,0.4,361864,1.75 130,1,2024-09-07 09:38:40:604,917839,917839,0,0,431553407830,4482424999,913044,4407,388,381,391825,0 130,2,2024-09-07 09:38:41:126,663192,663192,0,0,27238713,0,4067 130,3,2024-09-07 09:38:41:295,1,522,0,0,960,7301,522,0 131,0,2024-09-07 09:38:41:930,130249,0.4,130648,0.5,261701,0.3,347540,1.75 131,1,2024-09-07 09:38:41:838,917275,917275,0,0,430669452393,4492512334,910393,5690,1192,381,391865,0 131,2,2024-09-07 09:38:40:572,662756,662756,0,0,25261075,0,3979 131,3,2024-09-07 09:38:41:688,1,522,1,0,392,6366,522,0 132,0,2024-09-07 09:38:41:430,129008,0.4,130037,0.6,258763,0.4,344553,1.75 132,1,2024-09-07 09:38:40:584,913608,913608,0,0,428776104947,4510572559,901123,10289,2196,381,392532,0 132,2,2024-09-07 09:38:40:705,657718,657701,17,0,33150983,0,6451 132,3,2024-09-07 09:38:41:687,1,522,1,0,804,8732,522,0 133,0,2024-09-07 09:38:41:553,126460,0.4,129312,0.6,265317,0.3,345398,1.75 133,1,2024-09-07 09:38:40:598,913290,913290,0,0,428987523126,4520979203,900780,10819,1691,383,391914,0 133,2,2024-09-07 09:38:41:093,659376,659326,50,0,33561885,0,6861 133,3,2024-09-07 09:38:41:297,1,522,0,0,479,6221,522,0 134,0,2024-09-07 09:38:40:954,135981,0.5,135852,0.7,272112,0.5,362847,2.00 134,1,2024-09-07 09:38:40:594,915155,915155,0,0,429348516792,4500924897,903758,9250,2147,366,391718,0 134,2,2024-09-07 09:38:41:756,660036,660012,24,0,31592926,0,6207 134,3,2024-09-07 09:38:40:761,1,522,10,0,739,6331,522,0 135,0,2024-09-07 09:38:41:150,126985,0.9,126924,0.9,269521,1.2,347568,2.25 135,1,2024-09-07 09:38:41:593,914719,914719,0,0,430177141975,4523044376,903084,10069,1566,380,391805,0 135,2,2024-09-07 09:38:40:698,663499,663499,0,0,31838943,0,4503 135,3,2024-09-07 09:38:41:002,1,522,1,0,900,5349,522,0 136,0,2024-09-07 09:38:41:650,128891,0.5,129088,0.7,256988,0.5,342789,2.00 136,1,2024-09-07 09:38:41:442,916020,916020,0,0,429232482850,4499630118,905639,9112,1269,381,392135,0 136,2,2024-09-07 09:38:41:138,658890,658875,15,0,30971134,0,6007 136,3,2024-09-07 09:38:41:109,1,522,23,0,637,6281,522,0 137,0,2024-09-07 09:38:40:929,131978,0.5,128671,0.7,252061,0.5,342241,2.00 137,1,2024-09-07 09:38:40:600,914015,914015,0,0,429756789681,4503794512,900558,10785,2672,366,391898,0 137,2,2024-09-07 09:38:41:709,657516,657516,0,0,32618814,0,3185 137,3,2024-09-07 09:38:40:777,1,522,1,0,484,6591,522,0 138,0,2024-09-07 09:38:41:742,133088,0.8,133340,0.9,267429,0.9,355362,2.00 138,1,2024-09-07 09:38:41:703,914955,914955,0,0,430338475520,4512355466,902216,10769,1970,368,391954,0 138,2,2024-09-07 09:38:40:599,659629,659629,0,0,29993409,0,4988 138,3,2024-09-07 09:38:40:613,1,522,9,0,1200,8537,522,0 139,0,2024-09-07 09:38:41:367,131424,1.3,131844,1.0,263803,1.9,351696,2.50 139,1,2024-09-07 09:38:40:579,910873,910873,0,0,428294044166,4540972341,894932,12479,3462,380,392058,0 139,2,2024-09-07 09:38:40:702,659443,659443,0,0,33419221,0,3097 139,3,2024-09-07 09:38:41:670,1,522,21,0,432,6003,522,0 140,0,2024-09-07 09:38:41:587,128754,0.3,127911,0.5,256637,0.2,341891,1.75 140,1,2024-09-07 09:38:41:536,920379,920379,0,0,433427206090,4467376022,915797,4044,538,364,391606,0 140,2,2024-09-07 09:38:40:698,659699,659698,1,0,25427552,0,5036 140,3,2024-09-07 09:38:40:772,1,522,14,0,297,4886,522,0 141,0,2024-09-07 09:38:41:699,127712,0.3,131064,0.4,250291,0.2,339140,1.50 141,1,2024-09-07 09:38:40:861,918544,918544,0,0,432456355242,4490942829,911438,6021,1085,379,391614,0 141,2,2024-09-07 09:38:41:688,659097,659086,11,0,27023631,0,5369 141,3,2024-09-07 09:38:41:043,1,522,1,0,391,5933,522,0 142,0,2024-09-07 09:38:41:336,132879,0.3,131886,0.5,264411,0.3,353088,1.50 142,1,2024-09-07 09:38:40:597,917189,917189,0,0,430920348934,4490747635,911526,5204,459,382,392102,0 142,2,2024-09-07 09:38:41:315,659356,659324,32,0,27611327,0,6028 142,3,2024-09-07 09:38:41:747,1,522,6,0,484,5441,522,0 143,0,2024-09-07 09:38:41:396,134377,0.4,134332,0.6,269592,0.4,358009,1.75 143,1,2024-09-07 09:38:40:557,918824,918824,0,0,431998944314,4482556539,912742,5524,558,367,391722,0 143,2,2024-09-07 09:38:40:773,664298,664298,0,0,27082471,0,3123 143,3,2024-09-07 09:38:41:141,1,522,2,0,462,6565,522,0 144,0,2024-09-07 09:38:41:503,125594,0.6,129181,0.8,262668,0.6,344029,2.00 144,1,2024-09-07 09:38:40:581,914354,914354,0,0,429215161278,4504064285,904963,7424,1967,381,391733,0 144,2,2024-09-07 09:38:41:757,661310,661310,0,0,25814153,0,3673 144,3,2024-09-07 09:38:41:742,1,522,1,0,249,5089,522,0 145,0,2024-09-07 09:38:41:363,124813,0.5,124783,0.7,264849,0.5,340906,2.25 145,1,2024-09-07 09:38:40:557,913726,913726,0,0,429273337187,4518688317,901355,9904,2467,382,391759,0 145,2,2024-09-07 09:38:41:430,655772,655690,82,0,31909284,0,7814 145,3,2024-09-07 09:38:40:899,1,522,1,0,622,7440,522,0 146,0,2024-09-07 09:38:41:629,130224,0.5,129620,0.7,260377,0.5,346443,2.00 146,1,2024-09-07 09:38:41:599,914890,914890,0,0,429323056635,4513137474,900447,10642,3801,367,391770,0 146,2,2024-09-07 09:38:41:700,657828,657822,6,0,30095774,0,5151 146,3,2024-09-07 09:38:41:291,1,522,4,0,1520,8827,522,0 147,0,2024-09-07 09:38:41:697,136376,0.6,136092,0.7,271717,0.6,362971,2.25 147,1,2024-09-07 09:38:41:380,918398,918398,0,0,432495270825,4493051332,911113,6406,879,367,391791,0 147,2,2024-09-07 09:38:41:011,660861,660861,0,0,26824777,0,2968 147,3,2024-09-07 09:38:40:921,1,522,0,0,1626,8470,522,0 0,0,2024-09-07 09:38:51:750,125210,0.5,125194,0.7,265838,0.5,343838,2.00 0,1,2024-09-07 09:38:50:801,917198,917198,0,0,430337159997,4505724265,909677,6679,842,369,391896,0 0,2,2024-09-07 09:38:51:074,661532,661532,0,0,26892023,0,4480 0,3,2024-09-07 09:38:50:974,1,523,19,0,431,7249,523,0 1,0,2024-09-07 09:38:51:758,134902,0.9,134048,0.9,269224,1.2,359769,2.00 1,1,2024-09-07 09:38:50:562,916299,916299,0,0,429893750696,4504500702,907583,7249,1467,370,391859,0 1,2,2024-09-07 09:38:50:639,662038,662038,0,0,26307025,0,3380 1,3,2024-09-07 09:38:51:323,1,523,0,0,269,6527,523,0 2,0,2024-09-07 09:38:51:577,131842,0.6,131894,0.8,263448,0.7,351735,2.00 2,1,2024-09-07 09:38:50:859,919347,919347,0,0,431954675559,4488509109,914375,4055,917,379,391745,0 2,2,2024-09-07 09:38:51:272,665550,665550,0,0,24973537,0,3594 2,3,2024-09-07 09:38:50:695,1,523,1,0,357,4748,523,0 3,0,2024-09-07 09:38:51:748,128441,0.4,128182,0.6,255960,0.3,341331,2.00 3,1,2024-09-07 09:38:51:618,918098,918098,0,0,431696482013,4493674733,910629,6766,703,379,391716,0 3,2,2024-09-07 09:38:51:157,659940,659917,23,0,25999517,0,5851 3,3,2024-09-07 09:38:51:752,1,523,0,0,207,3246,523,0 4,0,2024-09-07 09:38:51:773,123759,0.4,127159,0.5,259281,0.3,339465,1.75 4,1,2024-09-07 09:38:50:591,914662,914662,0,0,429449407482,4548967434,898314,12662,3686,370,391992,0 4,2,2024-09-07 09:38:51:030,656925,656925,0,0,31907806,0,4534 4,3,2024-09-07 09:38:51:029,1,523,4,0,448,6707,523,0 5,0,2024-09-07 09:38:51:379,133146,0.4,133440,0.6,266849,0.4,355220,1.75 5,1,2024-09-07 09:38:50:755,915671,915671,0,0,430851523960,4534041747,902927,10330,2414,367,392005,0 5,2,2024-09-07 09:38:51:828,658855,658855,0,0,30934098,0,3582 5,3,2024-09-07 09:38:51:735,1,523,1,0,457,7127,523,0 6,0,2024-09-07 09:38:50:915,133859,0.5,133453,0.7,267275,0.5,355886,2.00 6,1,2024-09-07 09:38:50:758,917904,917904,0,0,430801148774,4499326499,908329,8118,1457,379,391702,0 6,2,2024-09-07 09:38:51:125,665214,665196,18,0,29984845,0,5535 6,3,2024-09-07 09:38:51:274,1,523,1,0,710,6247,523,0 7,0,2024-09-07 09:38:51:533,127794,0.5,128615,0.7,256098,0.5,340742,2.00 7,1,2024-09-07 09:38:50:850,916068,916068,0,0,430341523376,4519103201,903143,10693,2232,382,391747,0 7,2,2024-09-07 09:38:50:770,660003,660003,0,0,29121495,0,4791 7,3,2024-09-07 09:38:50:851,1,523,1,0,552,5902,523,0 8,0,2024-09-07 09:38:51:339,128547,0.3,128131,0.5,257157,0.3,341638,1.75 8,1,2024-09-07 09:38:51:093,914453,914453,0,0,430193753523,4521305676,900258,11065,3130,366,392853,0 8,2,2024-09-07 09:38:50:795,655216,655214,2,0,34774687,0,5112 8,3,2024-09-07 09:38:50:590,1,523,1,0,538,7830,523,0 9,0,2024-09-07 09:38:51:102,131557,0.3,127946,0.5,268127,0.3,351549,1.75 9,1,2024-09-07 09:38:50:553,914699,914699,0,0,430315068329,4531728280,901208,10840,2651,369,392001,0 9,2,2024-09-07 09:38:51:090,659813,659812,1,0,31466197,0,5281 9,3,2024-09-07 09:38:51:752,1,523,1,0,1273,8701,523,0 10,0,2024-09-07 09:38:51:603,135350,0.4,134927,0.5,270672,0.3,360173,1.75 10,1,2024-09-07 09:38:50:589,917130,917130,0,0,430094441989,4505698348,904764,10276,2090,381,391869,0 10,2,2024-09-07 09:38:50:764,663990,663990,0,0,34213656,0,4264 10,3,2024-09-07 09:38:50:875,1,523,0,0,649,5331,523,0 11,0,2024-09-07 09:38:51:008,130120,0.5,126323,0.6,264070,0.4,347979,1.75 11,1,2024-09-07 09:38:50:578,918138,918138,0,0,431218580346,4521006752,904661,10015,3462,383,391756,0 11,2,2024-09-07 09:38:51:130,661764,661764,0,0,30050659,0,4130 11,3,2024-09-07 09:38:51:302,1,523,30,0,843,7098,523,0 12,0,2024-09-07 09:38:50:955,129993,0.4,130009,0.5,259992,0.3,345711,1.75 12,1,2024-09-07 09:38:50:934,917613,917613,0,0,430850366397,4494455242,909940,6789,884,370,391960,0 12,2,2024-09-07 09:38:51:541,658856,658856,0,0,29799867,0,4390 12,3,2024-09-07 09:38:51:070,1,523,10,0,386,7042,523,0 13,0,2024-09-07 09:38:51:359,130856,0.4,130645,0.5,260467,0.4,347309,1.75 13,1,2024-09-07 09:38:51:534,915489,915489,0,0,429929014877,4518735649,905861,7333,2295,382,391740,0 13,2,2024-09-07 09:38:50:604,661811,661811,0,0,26687848,0,3287 13,3,2024-09-07 09:38:51:764,1,523,16,0,522,7278,523,0 14,0,2024-09-07 09:38:50:559,136265,0.4,137306,0.6,272398,0.4,363403,1.75 14,1,2024-09-07 09:38:51:571,922004,922004,0,0,433446786234,4484334255,915093,6183,728,364,391673,0 14,2,2024-09-07 09:38:50:766,662983,662953,30,0,28100063,0,6104 14,3,2024-09-07 09:38:51:117,1,523,2,0,1168,5964,523,0 15,0,2024-09-07 09:38:51:560,131017,0.4,130449,0.7,261849,0.4,349164,2.00 15,1,2024-09-07 09:38:51:609,919193,919193,0,0,431869455495,4497411697,911461,6247,1485,381,391619,0 15,2,2024-09-07 09:38:51:012,666474,666474,0,0,23669176,0,3622 15,3,2024-09-07 09:38:51:405,1,523,1,0,1126,7315,523,0 16,0,2024-09-07 09:38:50:937,128412,0.5,129132,0.7,257492,0.5,342433,2.00 16,1,2024-09-07 09:38:50:567,918714,918714,0,0,430723896082,4503321466,910294,7119,1301,370,392194,0 16,2,2024-09-07 09:38:51:434,658600,658600,0,0,27851820,0,4719 16,3,2024-09-07 09:38:51:143,1,523,10,0,358,6559,523,0 17,0,2024-09-07 09:38:51:815,132224,0.5,128903,0.7,252607,0.5,342525,2.00 17,1,2024-09-07 09:38:50:577,916703,916703,0,0,430567865140,4516569310,907405,7550,1748,368,392075,0 17,2,2024-09-07 09:38:51:666,662577,662576,1,0,28647990,0,5050 17,3,2024-09-07 09:38:50:578,1,523,1,0,518,7818,523,0 18,0,2024-09-07 09:38:50:940,132768,0.6,133702,0.8,266425,0.6,356100,2.25 18,1,2024-09-07 09:38:51:639,920871,920871,0,0,431819120254,4478853547,914759,5115,997,367,391725,0 18,2,2024-09-07 09:38:51:755,664281,664281,0,0,25430567,0,3541 18,3,2024-09-07 09:38:50:896,1,523,1,0,1059,4914,523,0 19,0,2024-09-07 09:38:51:540,132086,0.7,132475,0.8,263377,0.7,350791,2.25 19,1,2024-09-07 09:38:50:566,920072,920072,0,0,432811709877,4487247073,913084,5904,1084,367,391777,0 19,2,2024-09-07 09:38:51:751,667939,667939,0,0,23903936,0,3988 19,3,2024-09-07 09:38:51:134,1,523,1,0,524,4247,523,0 20,0,2024-09-07 09:38:51:351,128021,0.5,128302,0.7,256063,0.5,341426,2.00 20,1,2024-09-07 09:38:50:573,916980,916980,0,0,430421462734,4508822525,907782,7833,1365,369,391922,0 20,2,2024-09-07 09:38:50:928,658958,658958,0,0,29009801,0,3721 20,3,2024-09-07 09:38:50:590,1,523,1,0,468,8903,523,0 21,0,2024-09-07 09:38:51:134,127492,0.4,127653,0.6,255309,0.4,338468,1.75 21,1,2024-09-07 09:38:51:558,915645,915645,0,0,429615497132,4533110282,901490,11036,3119,368,392016,0 21,2,2024-09-07 09:38:51:081,656840,656820,20,0,34001116,0,5617 21,3,2024-09-07 09:38:51:406,1,523,0,0,713,6747,523,0 22,0,2024-09-07 09:38:51:731,132401,0.4,133001,0.6,265213,0.4,352595,2.00 22,1,2024-09-07 09:38:51:032,916423,916423,0,0,430235767250,4528746552,901191,12005,3227,382,391822,0 22,2,2024-09-07 09:38:50:764,659953,659927,26,0,28499073,0,6328 22,3,2024-09-07 09:38:51:090,1,523,1,0,228,4433,523,0 23,0,2024-09-07 09:38:51:372,134204,0.5,133736,0.7,267455,0.5,356506,2.25 23,1,2024-09-07 09:38:51:006,917350,917350,0,0,431167231112,4524982945,901644,11298,4408,365,391690,0 23,2,2024-09-07 09:38:51:092,665822,665822,0,0,27184388,0,3773 23,3,2024-09-07 09:38:51:754,1,523,1,0,855,7658,523,0 24,0,2024-09-07 09:38:50:826,130500,0.4,129769,0.6,261032,0.4,346196,1.75 24,1,2024-09-07 09:38:50:581,916322,916322,0,0,430078451890,4502593207,907361,7287,1674,367,392269,0 24,2,2024-09-07 09:38:51:075,660960,660960,0,0,32222714,0,4438 24,3,2024-09-07 09:38:51:686,1,523,1,0,468,6647,523,0 25,0,2024-09-07 09:38:51:345,132735,0.4,129308,0.6,253575,0.3,345344,1.75 25,1,2024-09-07 09:38:50:562,915460,915460,0,0,430117561159,4536995512,900483,12005,2972,369,391928,0 25,2,2024-09-07 09:38:51:607,658019,658019,0,0,33490532,0,3978 25,3,2024-09-07 09:38:51:000,1,523,6,0,532,5711,523,0 26,0,2024-09-07 09:38:51:721,130145,0.4,127493,0.6,267370,0.3,348303,1.75 26,1,2024-09-07 09:38:51:541,918236,918236,0,0,430223942034,4504492966,905396,10388,2452,380,391748,0 26,2,2024-09-07 09:38:50:861,660014,660014,0,0,35480879,0,4689 26,3,2024-09-07 09:38:51:713,1,523,0,0,796,5749,523,0 27,0,2024-09-07 09:38:51:725,136391,0.4,136962,0.6,272367,0.4,362945,2.25 27,1,2024-09-07 09:38:51:676,919127,919127,0,0,432811299206,4507077788,910571,7287,1269,381,391626,0 27,2,2024-09-07 09:38:50:867,660377,660312,65,0,30664389,0,5699 27,3,2024-09-07 09:38:51:015,1,523,0,0,564,4543,523,0 28,0,2024-09-07 09:38:51:390,131196,0.4,130868,0.6,262507,0.3,349875,2.00 28,1,2024-09-07 09:38:50:797,919531,919531,0,0,432551690328,4508828338,911758,6059,1714,382,391698,0 28,2,2024-09-07 09:38:51:764,664044,664044,0,0,27014514,0,2915 28,3,2024-09-07 09:38:51:776,1,523,16,0,502,5249,523,0 29,0,2024-09-07 09:38:51:396,132416,0.3,129003,0.6,253069,0.3,345023,1.75 29,1,2024-09-07 09:38:51:578,921618,921618,0,0,432951677375,4477999352,916062,4794,762,367,391809,0 29,2,2024-09-07 09:38:50:861,658646,658646,0,0,26401826,0,4986 29,3,2024-09-07 09:38:50:967,1,523,1,0,459,5739,523,0 30,0,2024-09-07 09:38:51:467,128522,0.5,125039,0.6,261946,0.4,342791,2.00 30,1,2024-09-07 09:38:50:575,921317,921317,0,0,433157535614,4495243884,914340,6075,902,380,391672,0 30,2,2024-09-07 09:38:51:283,660702,660702,0,0,25429950,0,4192 30,3,2024-09-07 09:38:50:581,1,523,1,0,519,5063,523,0 31,0,2024-09-07 09:38:51:759,134771,0.5,135097,0.6,269801,0.4,360248,2.00 31,1,2024-09-07 09:38:50:564,924114,924114,0,0,434826206065,4463719063,918790,4313,1011,356,391712,0 31,2,2024-09-07 09:38:51:280,661131,661131,0,0,28006484,0,4470 31,3,2024-09-07 09:38:51:707,1,523,17,0,220,4439,523,0 32,0,2024-09-07 09:38:51:426,132459,0.4,133125,0.5,265046,0.3,353430,1.75 32,1,2024-09-07 09:38:50:806,920420,920420,0,0,432274878629,4493201947,914618,5093,709,381,391646,0 32,2,2024-09-07 09:38:50:935,666202,666202,0,0,24518164,0,3922 32,3,2024-09-07 09:38:51:015,1,523,0,0,304,4274,523,0 33,0,2024-09-07 09:38:51:499,128899,0.3,128432,0.4,257140,0.2,342337,1.50 33,1,2024-09-07 09:38:50:594,921074,921074,0,0,432543536316,4480710948,913842,6058,1174,368,391730,0 33,2,2024-09-07 09:38:50:760,660839,660804,35,0,27052484,0,7012 33,3,2024-09-07 09:38:50:895,1,523,5,0,329,4879,523,0 34,0,2024-09-07 09:38:50:941,127961,0.3,131435,0.4,251126,0.2,338882,1.75 34,1,2024-09-07 09:38:51:048,922777,922777,0,0,433374167169,4456986827,920268,2487,22,367,391637,0 34,2,2024-09-07 09:38:50:767,661320,661320,0,0,25568520,0,4562 34,3,2024-09-07 09:38:51:689,1,523,1,0,320,4013,523,0 35,0,2024-09-07 09:38:50:862,132513,0.3,133195,0.5,267570,0.2,355930,1.75 35,1,2024-09-07 09:38:51:077,919807,919807,0,0,431744613139,4479102299,913150,5444,1213,382,391769,0 35,2,2024-09-07 09:38:51:583,661681,661681,0,0,28148713,0,4055 35,3,2024-09-07 09:38:50:911,1,523,2,0,418,4903,523,0 36,0,2024-09-07 09:38:51:565,134232,0.5,134179,0.7,268235,0.5,356709,2.00 36,1,2024-09-07 09:38:50:583,919316,919316,0,0,431044364476,4502922759,907031,10065,2220,366,391759,0 36,2,2024-09-07 09:38:51:750,665462,665462,0,0,29649970,0,3875 36,3,2024-09-07 09:38:50:869,1,523,1,0,416,7172,523,0 37,0,2024-09-07 09:38:51:385,128033,0.5,127935,0.7,256068,0.5,341417,2.25 37,1,2024-09-07 09:38:50:574,917870,917863,0,7,431372835121,4504986331,906745,8443,2675,365,391770,0 37,2,2024-09-07 09:38:51:147,658269,658254,15,0,30071353,0,5815 37,3,2024-09-07 09:38:51:766,1,523,1,0,888,7353,523,0 38,0,2024-09-07 09:38:51:453,127584,0.4,123811,0.6,258998,0.3,338710,2.00 38,1,2024-09-07 09:38:51:609,919134,919134,0,0,431375968931,4509968469,905903,10712,2519,368,391821,0 38,2,2024-09-07 09:38:50:761,658619,658572,47,0,30221875,0,6710 38,3,2024-09-07 09:38:50:997,1,523,1,0,689,6697,523,0 39,0,2024-09-07 09:38:51:775,134897,0.5,131972,0.7,257070,0.5,351196,2.00 39,1,2024-09-07 09:38:50:716,917278,917278,0,0,430882467386,4520825098,901483,12326,3469,365,391865,0 39,2,2024-09-07 09:38:51:420,661748,661748,0,0,27914374,0,3391 39,3,2024-09-07 09:38:50:714,1,523,1,0,525,6272,523,0 40,0,2024-09-07 09:38:51:501,134060,0.9,134699,1.0,268970,1.1,358351,2.75 40,1,2024-09-07 09:38:50:579,919578,919578,0,0,430183251434,4498991236,907558,9841,2179,368,391668,0 40,2,2024-09-07 09:38:51:302,662267,662266,1,0,33264802,0,5137 40,3,2024-09-07 09:38:51:151,1,523,7,0,1028,7382,523,0 41,0,2024-09-07 09:38:51:030,129620,1.3,132404,1.1,252622,1.9,343866,3.00 41,1,2024-09-07 09:38:50:777,917688,917688,0,0,431038411807,4510060914,905759,9994,1935,369,391878,0 41,2,2024-09-07 09:38:50:762,660025,660024,1,0,32095445,0,5408 41,3,2024-09-07 09:38:51:676,1,523,1,0,366,5672,523,0 42,0,2024-09-07 09:38:51:478,128589,0.8,128250,0.9,256764,0.8,340640,2.50 42,1,2024-09-07 09:38:51:444,914905,914905,0,0,429513042886,4516257220,899892,12105,2908,380,391675,0 42,2,2024-09-07 09:38:51:134,658357,658357,0,0,31108364,0,3975 42,3,2024-09-07 09:38:51:015,1,523,1,0,892,4702,523,0 43,0,2024-09-07 09:38:50:922,128837,0.7,125475,0.9,262701,0.7,344385,2.25 43,1,2024-09-07 09:38:50:576,918373,918373,0,0,431947481004,4512894702,905504,10609,2260,366,391696,0 43,2,2024-09-07 09:38:51:736,660558,660558,0,0,30545022,0,4723 43,3,2024-09-07 09:38:51:748,1,523,0,0,571,7254,523,0 44,0,2024-09-07 09:38:50:870,136408,0.4,136382,0.6,273374,0.4,364046,2.00 44,1,2024-09-07 09:38:50:563,921003,921003,0,0,431983523803,4463792269,913205,6211,1587,356,391809,0 44,2,2024-09-07 09:38:51:270,660551,660551,0,0,25285327,0,4344 44,3,2024-09-07 09:38:51:094,1,523,5,0,817,5670,523,0 45,0,2024-09-07 09:38:51:755,129713,0.5,126691,0.7,265870,0.5,349325,2.00 45,1,2024-09-07 09:38:51:012,919598,919598,0,0,433452343781,4504580797,912085,6637,876,382,391917,0 45,2,2024-09-07 09:38:51:281,665358,665358,0,0,25646024,0,3596 45,3,2024-09-07 09:38:50:934,1,523,32,0,365,4958,523,0 46,0,2024-09-07 09:38:50:950,128059,0.5,127578,0.7,255964,0.4,339684,2.00 46,1,2024-09-07 09:38:50:575,921321,921321,0,0,432298815220,4467472836,914917,5541,863,366,391709,0 46,2,2024-09-07 09:38:50:592,659936,659936,0,0,25822231,0,4443 46,3,2024-09-07 09:38:51:134,1,523,1,0,908,6330,523,0 47,0,2024-09-07 09:38:51:100,128364,0.4,128514,0.6,257904,0.3,341543,1.75 47,1,2024-09-07 09:38:50:567,922190,922190,0,0,433451536846,4476853544,916950,4401,839,365,391641,0 47,2,2024-09-07 09:38:50:908,663269,663269,0,0,25658706,0,4477 47,3,2024-09-07 09:38:51:116,1,523,0,0,600,5912,523,0 48,0,2024-09-07 09:38:51:499,134506,0.3,134625,0.4,268511,0.2,357923,1.50 48,1,2024-09-07 09:38:51:072,919869,919869,0,0,432453689211,4492479383,914075,5221,573,384,391710,0 48,2,2024-09-07 09:38:50:697,662224,662224,0,0,23608307,0,3411 48,3,2024-09-07 09:38:50:754,1,523,1,0,339,4170,523,0 49,0,2024-09-07 09:38:51:713,136144,0.4,133618,0.5,259698,0.3,354790,1.75 49,1,2024-09-07 09:38:51:023,919400,919400,0,0,431876090023,4488968991,913834,4355,1211,382,391809,0 49,2,2024-09-07 09:38:51:797,666590,666590,0,0,26235143,0,4426 49,3,2024-09-07 09:38:51:418,1,523,1,0,992,6068,523,0 50,0,2024-09-07 09:38:51:533,128861,0.3,127147,0.5,256307,0.2,341045,1.75 50,1,2024-09-07 09:38:51:019,922736,922736,0,0,433767165925,4484202517,916791,5278,667,368,391565,0 50,2,2024-09-07 09:38:51:076,659289,659289,0,0,23983797,0,4490 50,3,2024-09-07 09:38:51:295,1,523,0,0,617,5439,523,0 51,0,2024-09-07 09:38:51:703,130915,0.3,128086,0.4,249473,0.2,339933,1.75 51,1,2024-09-07 09:38:51:682,923123,923123,0,0,434358089251,4477938201,918357,3711,1055,365,391706,0 51,2,2024-09-07 09:38:51:317,660540,660540,0,0,23248462,0,3337 51,3,2024-09-07 09:38:51:029,1,523,1,0,678,3778,523,0 52,0,2024-09-07 09:38:51:422,132978,0.5,132547,0.7,265556,0.4,353405,2.00 52,1,2024-09-07 09:38:50:577,918834,918834,0,0,430441576300,4506586692,905606,11123,2105,368,391722,0 52,2,2024-09-07 09:38:51:755,657935,657897,38,0,31065986,0,6742 52,3,2024-09-07 09:38:50:674,1,523,1,0,1782,6663,523,0 53,0,2024-09-07 09:38:51:736,133786,0.7,129782,0.8,271514,0.8,356037,2.50 53,1,2024-09-07 09:38:50:779,916927,916927,0,0,430615222414,4519210234,901564,11519,3844,367,391968,0 53,2,2024-09-07 09:38:51:299,664993,664992,1,0,27974331,0,5455 53,3,2024-09-07 09:38:50:697,1,523,1,0,308,5147,523,0 54,0,2024-09-07 09:38:51:645,127623,0.6,128006,0.8,254887,0.5,339996,2.25 54,1,2024-09-07 09:38:50:580,919066,919066,0,0,431532012958,4489218900,909000,8432,1634,366,391810,0 54,2,2024-09-07 09:38:50:868,661566,661534,32,0,31689203,0,6397 54,3,2024-09-07 09:38:50:763,1,523,1,0,676,7041,523,0 55,0,2024-09-07 09:38:51:764,124647,0.5,128595,0.7,260581,0.5,339580,2.25 55,1,2024-09-07 09:38:50:764,920059,920059,0,0,431404657183,4486257573,910377,8362,1320,365,391731,0 55,2,2024-09-07 09:38:50:729,658295,658239,56,0,29885288,0,7239 55,3,2024-09-07 09:38:50:674,1,523,1,0,304,4882,523,0 56,0,2024-09-07 09:38:51:559,133587,1.1,125840,1.1,259442,1.4,347624,2.50 56,1,2024-09-07 09:38:50:577,914725,914725,0,0,430481707719,4545242621,900402,11411,2912,381,391867,0 56,2,2024-09-07 09:38:51:303,660171,660049,122,0,31337909,0,7432 56,3,2024-09-07 09:38:51:062,1,523,3,0,705,6249,523,0 57,0,2024-09-07 09:38:50:967,134427,1.6,134387,1.3,269149,2.2,359666,3.25 57,1,2024-09-07 09:38:51:003,916252,916252,0,0,430066153042,4512500435,904049,9918,2285,366,392032,0 57,2,2024-09-07 09:38:51:322,662515,662515,0,0,32214318,0,4317 57,3,2024-09-07 09:38:51:751,1,523,34,0,455,5872,523,0 58,0,2024-09-07 09:38:50:563,129024,1.0,125304,1.0,262123,1.2,343363,2.75 58,1,2024-09-07 09:38:50:616,918035,918032,0,3,431262417569,4519803326,904229,10482,3321,367,391675,3 58,2,2024-09-07 09:38:51:302,663286,663286,0,0,30646544,0,3483 58,3,2024-09-07 09:38:51:079,1,523,1,0,1043,5812,523,0 59,0,2024-09-07 09:38:51:746,128267,0.8,128223,0.9,255543,0.9,339254,2.75 59,1,2024-09-07 09:38:50:804,916787,916787,0,0,430943437392,4526380084,901875,11773,3139,369,391653,0 59,2,2024-09-07 09:38:50:583,658582,658582,0,0,29158157,0,3727 59,3,2024-09-07 09:38:51:740,1,523,1,0,1015,6494,523,0 60,0,2024-09-07 09:38:51:723,128886,0.5,129151,0.7,258244,0.5,343788,1.75 60,1,2024-09-07 09:38:50:787,921268,921268,0,0,432458776066,4488006832,915081,5253,934,370,392031,0 60,2,2024-09-07 09:38:51:158,660660,660660,0,0,27650258,0,3811 60,3,2024-09-07 09:38:51:259,1,523,2,0,409,6108,523,0 61,0,2024-09-07 09:38:51:543,134716,0.7,135344,0.8,269452,0.7,359816,2.00 61,1,2024-09-07 09:38:50:798,917728,917728,0,0,431453127984,4517542644,907806,8342,1580,382,392127,0 61,2,2024-09-07 09:38:51:117,661960,661893,67,0,28604978,0,6411 61,3,2024-09-07 09:38:51:689,1,523,0,0,607,7495,523,0 62,0,2024-09-07 09:38:51:707,132732,0.7,136098,0.8,259874,0.7,353323,2.00 62,1,2024-09-07 09:38:51:114,923251,923245,0,6,434173810342,4473946141,919089,3876,280,365,391975,6 62,2,2024-09-07 09:38:51:643,663258,663257,1,0,27886137,0,5555 62,3,2024-09-07 09:38:51:156,1,523,1,0,482,4229,523,0 63,0,2024-09-07 09:38:51:459,128888,0.4,128578,0.6,258108,0.3,342771,1.75 63,1,2024-09-07 09:38:50:810,920394,920388,0,6,432244652569,4486516186,914633,5004,751,381,391800,6 63,2,2024-09-07 09:38:50:771,659622,659622,0,0,26879615,0,4369 63,3,2024-09-07 09:38:51:731,1,523,2,0,667,5576,523,0 64,0,2024-09-07 09:38:51:551,127144,0.5,127237,0.6,253891,0.4,338016,2.00 64,1,2024-09-07 09:38:50:751,920044,920044,0,0,432004891014,4498039999,912152,6121,1771,370,391794,0 64,2,2024-09-07 09:38:51:156,664501,664482,19,0,25859144,0,6121 64,3,2024-09-07 09:38:51:145,1,523,1,0,651,5492,523,0 65,0,2024-09-07 09:38:51:679,132294,0.6,132512,0.7,264586,0.6,353435,2.00 65,1,2024-09-07 09:38:50:861,918480,918480,0,0,431163593126,4505916275,911732,5951,797,381,391901,0 65,2,2024-09-07 09:38:51:709,661561,661561,0,0,30344914,0,3367 65,3,2024-09-07 09:38:51:689,1,523,1,0,782,6174,523,0 66,0,2024-09-07 09:38:51:770,133426,0.6,132896,0.8,265971,0.6,353950,2.25 66,1,2024-09-07 09:38:51:296,919999,919999,0,0,432026618960,4496467614,913851,5426,722,380,391743,0 66,2,2024-09-07 09:38:51:134,667247,667244,3,0,27716963,0,5455 66,3,2024-09-07 09:38:51:085,1,523,1,0,291,4510,523,0 67,0,2024-09-07 09:38:51:415,128443,0.5,128135,0.7,256921,0.5,341538,2.00 67,1,2024-09-07 09:38:50:767,920158,920157,0,1,432198852989,4498981335,913885,5458,814,380,391787,1 67,2,2024-09-07 09:38:50:583,662356,662341,15,0,26742411,0,6205 67,3,2024-09-07 09:38:51:750,1,523,1,0,595,5530,523,0 68,0,2024-09-07 09:38:50:583,128505,0.5,128374,0.7,255690,0.5,341268,2.00 68,1,2024-09-07 09:38:50:581,916014,916014,0,0,429259422341,4515520464,903618,8995,3401,381,391953,0 68,2,2024-09-07 09:38:51:048,655995,655895,100,0,34576327,0,8578 68,3,2024-09-07 09:38:50:728,1,523,0,0,417,6788,523,0 69,0,2024-09-07 09:38:51:745,130911,0.6,131770,0.8,262767,0.6,349160,2.25 69,1,2024-09-07 09:38:51:028,915125,915125,0,0,430490479990,4536504907,902867,9497,2761,384,391994,0 69,2,2024-09-07 09:38:51:732,659460,659431,29,0,35644224,0,6912 69,3,2024-09-07 09:38:50:772,1,523,1,0,698,7765,523,0 70,0,2024-09-07 09:38:51:537,133857,0.9,134244,1.0,270090,0.8,357186,2.50 70,1,2024-09-07 09:38:50:801,920659,920659,0,0,432543921376,4488462718,913404,6493,762,366,391725,0 70,2,2024-09-07 09:38:51:326,663664,663664,0,0,29978414,0,4323 70,3,2024-09-07 09:38:50:750,1,523,0,0,854,5691,523,0 71,0,2024-09-07 09:38:51:375,128909,1.1,128676,1.1,258557,1.5,345046,2.75 71,1,2024-09-07 09:38:51:596,918388,918388,0,0,431497131330,4504388888,906995,10138,1255,368,391738,0 71,2,2024-09-07 09:38:51:076,661601,661601,0,0,30620287,0,4352 71,3,2024-09-07 09:38:51:750,1,523,1,0,644,6244,523,0 72,0,2024-09-07 09:38:51:123,133438,0.5,130422,0.7,254479,0.5,346070,2.00 72,1,2024-09-07 09:38:51:026,916798,916798,0,0,431171765696,4523825818,903346,11170,2282,369,391819,0 72,2,2024-09-07 09:38:51:762,657994,657994,0,0,32108114,0,3983 72,3,2024-09-07 09:38:51:757,1,523,1,0,564,7546,523,0 73,0,2024-09-07 09:38:51:102,126628,0.4,129892,0.6,265541,0.4,345400,2.00 73,1,2024-09-07 09:38:50:766,919828,919828,0,0,431705091017,4482688296,913594,5809,425,367,391858,0 73,2,2024-09-07 09:38:51:745,660192,660192,0,0,32522350,0,4728 73,3,2024-09-07 09:38:50:975,1,523,1,0,486,6558,523,0 74,0,2024-09-07 09:38:51:322,137253,0.5,140463,0.7,267973,0.5,364341,2.00 74,1,2024-09-07 09:38:50:635,918031,918031,0,0,431068031970,4501599455,907580,8527,1924,381,391762,0 74,2,2024-09-07 09:38:51:013,661652,661652,0,0,28377098,0,4253 74,3,2024-09-07 09:38:51:442,1,523,1,0,522,6290,523,0 75,0,2024-09-07 09:38:51:763,131586,0.6,130745,0.8,262485,0.6,350819,2.25 75,1,2024-09-07 09:38:51:591,919012,919012,0,0,431344681420,4496861866,911118,7032,862,380,391739,0 75,2,2024-09-07 09:38:51:349,663213,663213,0,0,34066245,0,4766 75,3,2024-09-07 09:38:51:075,1,523,1,0,702,6211,523,0 76,0,2024-09-07 09:38:50:613,128013,0.5,127345,0.7,255248,0.5,341274,2.25 76,1,2024-09-07 09:38:50:812,918483,918483,0,0,431400406944,4499231015,911856,5660,967,382,391692,0 76,2,2024-09-07 09:38:51:088,661361,661358,3,0,28546083,0,5265 76,3,2024-09-07 09:38:51:151,1,523,0,0,227,4851,523,0 77,0,2024-09-07 09:38:51:708,127864,0.5,128269,0.7,256596,0.5,340625,2.00 77,1,2024-09-07 09:38:50:825,919063,919063,0,0,431585078373,4500965083,912229,6239,595,381,391869,0 77,2,2024-09-07 09:38:51:282,659813,659813,0,0,28087441,0,3890 77,3,2024-09-07 09:38:51:109,1,523,13,0,401,5600,523,0 78,0,2024-09-07 09:38:51:717,134416,0.4,133904,0.6,268727,0.4,356412,2.00 78,1,2024-09-07 09:38:50:614,918793,918793,0,0,431749609737,4501603308,908023,8244,2526,367,391670,0 78,2,2024-09-07 09:38:51:407,663307,663294,13,0,26162430,0,8313 78,3,2024-09-07 09:38:51:133,1,523,0,0,311,4548,523,0 79,0,2024-09-07 09:38:51:348,128180,0.4,131173,0.6,268678,0.4,349621,2.25 79,1,2024-09-07 09:38:50:580,921531,921531,0,0,432363843217,4479985347,913794,6380,1357,367,391682,0 79,2,2024-09-07 09:38:51:091,665632,665632,0,0,25178086,0,4195 79,3,2024-09-07 09:38:50:750,1,523,1,0,418,6301,523,0 80,0,2024-09-07 09:38:51:082,128066,0.5,131560,0.7,251792,0.5,340636,2.00 80,1,2024-09-07 09:38:51:626,918716,918716,0,0,431786400645,4493268661,912891,5398,427,368,392269,0 80,2,2024-09-07 09:38:51:096,661368,661368,0,0,25674352,0,4433 80,3,2024-09-07 09:38:50:580,1,523,1,0,296,6213,523,0 81,0,2024-09-07 09:38:51:595,127708,0.5,130720,0.7,249255,0.5,338482,2.00 81,1,2024-09-07 09:38:51:712,917686,917686,0,0,431316060710,4508061122,910284,6763,639,382,391885,0 81,2,2024-09-07 09:38:51:129,659583,659520,63,0,28675488,0,5932 81,3,2024-09-07 09:38:51:117,1,523,2,0,719,5973,523,0 82,0,2024-09-07 09:38:51:530,132252,0.5,132471,0.7,265371,0.4,352583,2.00 82,1,2024-09-07 09:38:50:583,920197,920193,0,4,432681221037,4497485858,915113,4259,821,381,391768,4 82,2,2024-09-07 09:38:51:694,663516,663516,0,0,23876373,0,4484 82,3,2024-09-07 09:38:51:752,1,523,1,0,363,4986,523,0 83,0,2024-09-07 09:38:51:522,134404,0.6,134423,0.8,268170,0.7,356115,2.25 83,1,2024-09-07 09:38:50:551,919180,919180,0,0,431302999625,4495490479,912823,5902,455,382,391709,0 83,2,2024-09-07 09:38:50:763,664856,664831,25,0,25903661,0,5612 83,3,2024-09-07 09:38:50:749,1,523,1,0,1260,6502,523,0 84,0,2024-09-07 09:38:51:839,128511,0.7,128707,0.9,257013,0.7,343354,2.25 84,1,2024-09-07 09:38:51:054,917501,917501,0,0,430877657108,4504952061,907263,8713,1525,367,391967,0 84,2,2024-09-07 09:38:50:581,660463,660433,30,0,34147450,0,5971 84,3,2024-09-07 09:38:51:159,1,523,1,0,908,7402,523,0 85,0,2024-09-07 09:38:51:001,124202,0.5,124278,0.7,263670,0.5,341067,2.25 85,1,2024-09-07 09:38:50:559,914277,914277,0,0,430152880835,4542726300,900005,11614,2658,381,392092,0 85,2,2024-09-07 09:38:50:870,657986,657986,0,0,31869570,0,4255 85,3,2024-09-07 09:38:50:685,1,523,6,0,789,6047,523,0 86,0,2024-09-07 09:38:50:907,130548,0.6,134191,0.7,256825,0.6,348098,2.25 86,1,2024-09-07 09:38:50:844,917240,917240,0,0,431161126733,4517700742,905939,9324,1977,366,391995,0 86,2,2024-09-07 09:38:50:871,659093,659092,1,0,34363127,0,5004 86,3,2024-09-07 09:38:50:596,1,523,9,0,308,7551,523,0 87,0,2024-09-07 09:38:51:380,136305,0.9,136129,0.9,272053,1.1,363382,2.25 87,1,2024-09-07 09:38:50:550,916112,916112,0,0,430251922785,4509274941,903907,10489,1716,366,392076,0 87,2,2024-09-07 09:38:51:079,662178,662172,6,0,30332818,0,6323 87,3,2024-09-07 09:38:51:798,1,523,1,0,473,7648,523,0 88,0,2024-09-07 09:38:51:497,130757,0.5,131443,0.6,262543,0.4,349618,2.00 88,1,2024-09-07 09:38:50:575,915855,915855,0,0,430609258999,4510790135,903212,10027,2616,365,392084,0 88,2,2024-09-07 09:38:50:694,663674,663674,0,0,32793504,0,4465 88,3,2024-09-07 09:38:51:290,1,523,2,0,435,6682,523,0 89,0,2024-09-07 09:38:51:790,132588,0.4,128570,0.6,253890,0.4,345016,1.75 89,1,2024-09-07 09:38:50:558,916315,916315,0,0,430684751285,4531175300,904900,9598,1817,382,391866,0 89,2,2024-09-07 09:38:51:161,658497,658497,0,0,31097226,0,3173 89,3,2024-09-07 09:38:51:798,1,523,14,0,468,8644,523,0 90,0,2024-09-07 09:38:51:630,125137,0.4,128652,0.6,262092,0.4,342520,1.75 90,1,2024-09-07 09:38:50:611,917536,917536,0,0,430624660599,4507507880,909025,7778,733,380,391825,0 90,2,2024-09-07 09:38:51:413,658074,658074,0,0,33304497,0,3608 90,3,2024-09-07 09:38:50:938,1,523,2,0,322,6393,523,0 91,0,2024-09-07 09:38:50:931,135355,0.5,131290,0.6,274484,0.4,360357,1.75 91,1,2024-09-07 09:38:50:569,915738,915738,0,0,429424807670,4516063133,904138,9588,2012,381,392047,0 91,2,2024-09-07 09:38:51:337,662020,662020,0,0,29444079,0,4713 91,3,2024-09-07 09:38:50:599,1,523,5,0,216,4965,523,0 92,0,2024-09-07 09:38:51:474,133802,0.5,136749,0.6,260711,0.5,353541,1.75 92,1,2024-09-07 09:38:50:581,918122,918122,0,0,431603186413,4508712749,910611,6304,1207,381,392136,0 92,2,2024-09-07 09:38:51:350,665457,665457,0,0,26438324,0,3259 92,3,2024-09-07 09:38:51:011,1,523,1,0,167,4526,523,0 93,0,2024-09-07 09:38:50:979,129163,0.4,132406,0.6,252722,0.3,342734,1.75 93,1,2024-09-07 09:38:50:808,918755,918755,0,0,431673385813,4506452377,908472,8550,1733,366,391776,0 93,2,2024-09-07 09:38:50:931,659149,659149,0,0,32086253,0,4913 93,3,2024-09-07 09:38:51:407,1,523,1,0,190,4713,523,0 94,0,2024-09-07 09:38:51:610,127229,0.3,127985,0.5,255780,0.3,339831,1.75 94,1,2024-09-07 09:38:50:563,918856,918856,0,0,432341874646,4508348180,912756,5727,373,381,391850,0 94,2,2024-09-07 09:38:50:766,660131,660103,28,0,27418982,0,6179 94,3,2024-09-07 09:38:51:689,1,523,0,0,576,6586,523,0 95,0,2024-09-07 09:38:51:349,133431,0.3,133181,0.5,266660,0.3,355925,1.75 95,1,2024-09-07 09:38:50:852,920047,920047,0,0,432191750840,4491424071,912692,6696,659,365,391852,0 95,2,2024-09-07 09:38:51:018,661308,661308,0,0,26207173,0,3308 95,3,2024-09-07 09:38:51:717,1,523,2,0,718,8064,523,0 96,0,2024-09-07 09:38:51:039,134074,0.4,134188,0.5,268275,0.3,356069,1.75 96,1,2024-09-07 09:38:51:588,918479,918479,0,0,430797954644,4492968270,912087,5479,913,384,391955,0 96,2,2024-09-07 09:38:51:272,665806,665806,0,0,27246798,0,4180 96,3,2024-09-07 09:38:51:390,1,523,1,0,411,5528,523,0 97,0,2024-09-07 09:38:51:331,128454,0.3,128310,0.5,257697,0.3,341790,1.50 97,1,2024-09-07 09:38:50:765,920295,920295,0,0,432310685997,4483745834,914538,4812,945,367,392140,0 97,2,2024-09-07 09:38:50:609,661476,661476,0,0,26680418,0,3679 97,3,2024-09-07 09:38:50:575,1,523,2,0,242,6017,523,0 98,0,2024-09-07 09:38:51:717,127906,0.3,128102,0.4,256912,0.2,340765,1.50 98,1,2024-09-07 09:38:50:578,919586,919586,0,0,431554406627,4493479292,914176,4610,800,381,391997,0 98,2,2024-09-07 09:38:50:782,660253,660253,0,0,26229391,0,4336 98,3,2024-09-07 09:38:50:697,1,523,2,0,840,8025,523,0 99,0,2024-09-07 09:38:51:494,131623,0.3,132291,0.4,263399,0.3,351656,1.75 99,1,2024-09-07 09:38:51:730,919573,919573,0,0,431146335644,4487252163,913604,5041,928,380,392069,0 99,2,2024-09-07 09:38:51:434,661735,661735,0,0,31554295,0,4276 99,3,2024-09-07 09:38:50:586,1,523,1,0,606,5241,523,0 100,0,2024-09-07 09:38:51:477,135116,0.8,135397,1.0,270207,1.0,360635,2.50 100,1,2024-09-07 09:38:50:554,914710,914710,0,0,429808487328,4544569416,900368,11243,3099,378,391989,0 100,2,2024-09-07 09:38:51:824,661394,661383,11,0,31142702,0,5417 100,3,2024-09-07 09:38:51:739,1,523,8,0,627,9418,523,0 101,0,2024-09-07 09:38:51:893,132878,1.2,129530,1.1,253911,1.2,347306,2.25 101,1,2024-09-07 09:38:50:555,915899,915899,0,0,430644735572,4525177452,903504,9994,2401,368,391847,0 101,2,2024-09-07 09:38:51:773,658438,658438,0,0,36107293,0,4871 101,3,2024-09-07 09:38:50:944,1,523,1,0,1250,8083,523,0 102,0,2024-09-07 09:38:50:983,125669,0.6,129550,0.7,262877,0.5,344196,2.00 102,1,2024-09-07 09:38:51:158,916041,916041,0,0,429629761813,4513034702,903830,10190,2021,369,391891,0 102,2,2024-09-07 09:38:51:746,658983,658929,54,0,30463775,0,6768 102,3,2024-09-07 09:38:51:616,1,523,0,0,466,6113,523,0 103,0,2024-09-07 09:38:51:604,134227,0.5,134186,0.7,252975,0.5,348103,2.00 103,1,2024-09-07 09:38:51:638,914613,914613,0,0,430018463385,4539446459,900423,10991,3199,381,391862,0 103,2,2024-09-07 09:38:50:582,658978,658978,0,0,32281675,0,3766 103,3,2024-09-07 09:38:50:756,1,523,4,0,916,6244,523,0 104,0,2024-09-07 09:38:51:020,135181,0.7,135284,0.9,269984,0.7,362214,2.25 104,1,2024-09-07 09:38:51:603,917308,917308,0,0,429907896845,4515899895,904250,10507,2551,365,392168,0 104,2,2024-09-07 09:38:51:674,659862,659862,0,0,31286677,0,3941 104,3,2024-09-07 09:38:51:419,1,523,1,0,1245,9156,523,0 105,0,2024-09-07 09:38:51:030,129915,1.0,126565,1.1,265357,1.1,348903,2.75 105,1,2024-09-07 09:38:50:556,918491,918491,0,0,431573187662,4520887840,906892,9831,1768,365,392009,0 105,2,2024-09-07 09:38:51:325,663132,663132,0,0,31489570,0,4360 105,3,2024-09-07 09:38:51:310,1,523,4,0,399,7452,523,0 106,0,2024-09-07 09:38:50:948,124171,0.7,127200,0.9,260567,0.8,339988,2.50 106,1,2024-09-07 09:38:51:750,917524,917524,0,0,430687734616,4518353021,904906,10969,1649,368,391914,0 106,2,2024-09-07 09:38:50:756,658027,658027,0,0,29575592,0,2920 106,3,2024-09-07 09:38:50:683,1,523,1,0,1224,7786,523,0 107,0,2024-09-07 09:38:51:111,128025,0.7,128156,0.8,256131,0.8,341603,2.00 107,1,2024-09-07 09:38:50:597,915610,915610,0,0,429612486525,4529524583,902707,11510,1393,381,392234,0 107,2,2024-09-07 09:38:51:306,657878,657877,1,0,32416221,0,5024 107,3,2024-09-07 09:38:51:755,1,523,1,0,733,7910,523,0 108,0,2024-09-07 09:38:51:770,133875,0.4,134649,0.6,268047,0.4,357955,1.75 108,1,2024-09-07 09:38:51:304,918258,918258,0,0,432174220180,4507271885,910343,6944,971,367,391857,0 108,2,2024-09-07 09:38:51:771,661106,661106,0,0,28957577,0,4246 108,3,2024-09-07 09:38:51:330,1,523,1,0,749,10300,523,0 109,0,2024-09-07 09:38:51:754,133418,0.4,132146,0.6,264914,0.4,353783,1.75 109,1,2024-09-07 09:38:50:583,915768,915768,0,0,430296707953,4513606843,907557,6995,1216,382,392132,0 109,2,2024-09-07 09:38:50:921,663422,663422,0,0,28927375,0,3617 109,3,2024-09-07 09:38:51:145,1,523,10,0,630,6532,523,0 110,0,2024-09-07 09:38:51:777,128059,0.4,124628,0.6,261032,0.3,341877,1.75 110,1,2024-09-07 09:38:51:649,919446,919446,0,0,432268380595,4489377287,911916,5608,1922,368,392045,0 110,2,2024-09-07 09:38:51:311,659815,659815,0,0,27265098,0,4067 110,3,2024-09-07 09:38:50:696,1,523,1,0,722,7272,523,0 111,0,2024-09-07 09:38:51:416,127985,0.3,127283,0.5,254903,0.3,339831,1.75 111,1,2024-09-07 09:38:51:007,921254,921254,0,0,433803919144,4496871054,916710,4187,357,380,391690,0 111,2,2024-09-07 09:38:51:116,659853,659853,0,0,27865664,0,4823 111,3,2024-09-07 09:38:50:922,1,523,35,0,379,5955,523,0 112,0,2024-09-07 09:38:50:910,133178,0.3,132622,0.4,266032,0.2,353550,1.50 112,1,2024-09-07 09:38:50:827,919576,919576,0,0,431863221157,4482935480,913677,5208,691,380,391624,0 112,2,2024-09-07 09:38:51:134,661539,661538,1,0,26422735,0,5036 112,3,2024-09-07 09:38:50:592,1,523,1,0,282,4982,523,0 113,0,2024-09-07 09:38:50:866,134652,0.3,134586,0.5,269333,0.3,358352,1.75 113,1,2024-09-07 09:38:51:685,922480,922480,0,0,433405319427,4471822584,917228,4439,813,365,391661,0 113,2,2024-09-07 09:38:51:308,667525,667525,0,0,24112642,0,3813 113,3,2024-09-07 09:38:50:685,1,523,9,0,340,5512,523,0 114,0,2024-09-07 09:38:50:875,129990,0.3,130821,0.5,260019,0.2,347344,1.75 114,1,2024-09-07 09:38:50:718,919663,919663,0,0,432334485369,4489090692,912755,5292,1616,381,391565,0 114,2,2024-09-07 09:38:50:876,662903,662902,1,0,25981576,0,5069 114,3,2024-09-07 09:38:51:280,1,523,1,0,395,4242,523,0 115,0,2024-09-07 09:38:50:557,129581,0.3,130078,0.4,259341,0.2,344713,1.50 115,1,2024-09-07 09:38:50:572,920389,920389,0,0,432143162059,4489939225,912989,6043,1357,382,391757,0 115,2,2024-09-07 09:38:51:132,662455,662455,0,0,25510088,0,4382 115,3,2024-09-07 09:38:51:006,1,523,1,0,167,3014,523,0 116,0,2024-09-07 09:38:51:733,130226,0.6,130258,0.8,260958,0.6,349079,2.00 116,1,2024-09-07 09:38:50:810,915598,915598,0,0,430053758478,4532348499,904936,8216,2446,380,392089,0 116,2,2024-09-07 09:38:51:752,659762,659762,0,0,32719913,0,4475 116,3,2024-09-07 09:38:50:913,1,523,3,0,415,6437,523,0 117,0,2024-09-07 09:38:50:958,136566,0.7,136002,0.8,272554,0.8,364024,2.00 117,1,2024-09-07 09:38:51:588,916620,916620,0,0,430955045608,4510771233,906592,8803,1225,369,392033,0 117,2,2024-09-07 09:38:51:125,664217,664217,0,0,28692915,0,4303 117,3,2024-09-07 09:38:51:065,1,523,1,0,490,7162,523,0 118,0,2024-09-07 09:38:51:767,126965,0.6,130493,0.7,265903,0.6,348075,2.00 118,1,2024-09-07 09:38:50:590,916172,916172,0,0,429849003829,4518553581,902668,10382,3122,366,392054,0 118,2,2024-09-07 09:38:51:586,662454,662454,0,0,31220670,0,2842 118,3,2024-09-07 09:38:51:775,1,523,1,0,248,5903,523,0 119,0,2024-09-07 09:38:51:345,128509,0.6,128969,0.8,257767,0.6,343230,2.00 119,1,2024-09-07 09:38:50:549,917130,917130,0,0,431346630197,4519389439,905402,9961,1767,367,391857,0 119,2,2024-09-07 09:38:51:271,659452,659452,0,0,29020661,0,4174 119,3,2024-09-07 09:38:51:326,1,523,1,0,1358,9749,523,0 120,0,2024-09-07 09:38:51:546,128370,0.6,127976,0.8,257276,0.6,343361,2.25 120,1,2024-09-07 09:38:50:866,917502,917502,0,0,430518416196,4511362782,908204,8518,780,367,392144,0 120,2,2024-09-07 09:38:50:769,659286,659285,1,0,33622157,0,5281 120,3,2024-09-07 09:38:51:301,1,523,4,0,279,6528,523,0 121,0,2024-09-07 09:38:51:728,135061,1.3,134499,1.1,269450,1.8,359473,2.25 121,1,2024-09-07 09:38:51:657,917808,917808,0,0,430645496394,4500773936,909069,8038,701,366,391840,0 121,2,2024-09-07 09:38:51:135,660463,660463,0,0,30910820,0,4157 121,3,2024-09-07 09:38:50:806,1,523,39,0,330,6293,523,0 122,0,2024-09-07 09:38:51:878,131707,0.9,128141,0.9,268509,1.0,353349,2.25 122,1,2024-09-07 09:38:50:859,916308,916308,0,0,430378951110,4514219243,903851,10571,1886,366,392130,0 122,2,2024-09-07 09:38:51:327,665230,665155,75,0,35160275,0,5989 122,3,2024-09-07 09:38:50:596,1,523,31,0,512,8835,523,0 123,0,2024-09-07 09:38:50:950,127906,0.8,124497,0.9,260686,0.9,341290,2.25 123,1,2024-09-07 09:38:50:561,916937,916937,0,0,430540517777,4529004871,901726,12706,2505,369,392039,0 123,2,2024-09-07 09:38:51:025,657167,657166,1,0,29811204,0,5215 123,3,2024-09-07 09:38:51:133,1,523,9,0,478,6096,523,0 124,0,2024-09-07 09:38:50:929,131374,0.3,131324,0.5,247512,0.3,340927,1.75 124,1,2024-09-07 09:38:51:023,919968,919968,0,0,431713357193,4487600361,913158,5678,1132,365,392178,0 124,2,2024-09-07 09:38:51:011,661238,661185,53,0,27150312,0,6487 124,3,2024-09-07 09:38:50:763,1,523,11,0,490,5470,523,0 125,0,2024-09-07 09:38:51:431,133167,0.4,132817,0.5,267043,0.3,355836,1.75 125,1,2024-09-07 09:38:50:860,918544,918544,0,0,431190586811,4500431831,911563,5997,984,382,391813,0 125,2,2024-09-07 09:38:51:117,664036,664036,0,0,27247207,0,4534 125,3,2024-09-07 09:38:51:146,1,523,1,0,709,6169,523,0 126,0,2024-09-07 09:38:51:535,134049,0.4,137810,0.6,263687,0.4,356720,1.75 126,1,2024-09-07 09:38:50:552,921324,921324,0,0,432261071443,4473940171,916649,4365,310,365,391987,0 126,2,2024-09-07 09:38:50:614,666094,666094,0,0,28073555,0,4539 126,3,2024-09-07 09:38:50:909,1,523,1,0,268,5841,523,0 127,0,2024-09-07 09:38:51:604,128711,0.3,129072,0.5,257536,0.3,342083,1.75 127,1,2024-09-07 09:38:50:581,919596,919596,0,0,431451954103,4489615032,910226,7866,1504,364,392187,0 127,2,2024-09-07 09:38:50:637,659572,659568,4,0,26677950,0,5305 127,3,2024-09-07 09:38:51:283,1,523,2,0,968,5193,523,0 128,0,2024-09-07 09:38:51:527,128814,0.3,128865,0.4,257323,0.2,341697,1.50 128,1,2024-09-07 09:38:51:605,918591,918591,0,0,431839688081,4485677505,912114,5741,736,367,392031,0 128,2,2024-09-07 09:38:51:383,661505,661505,0,0,24931901,0,3171 128,3,2024-09-07 09:38:50:767,1,523,2,0,1082,8337,523,0 129,0,2024-09-07 09:38:50:997,132749,0.3,132113,0.4,264767,0.3,352037,1.50 129,1,2024-09-07 09:38:50:567,916168,916168,0,0,429825488312,4504527290,907139,7084,1945,379,391962,0 129,2,2024-09-07 09:38:50:689,662098,662094,4,0,27255610,0,5335 129,3,2024-09-07 09:38:50:694,1,523,1,0,506,7377,523,0 130,0,2024-09-07 09:38:51:721,136232,0.4,135745,0.6,272306,0.4,362110,1.75 130,1,2024-09-07 09:38:50:583,919616,919616,0,0,432482115511,4491889034,914820,4408,388,381,391825,0 130,2,2024-09-07 09:38:51:129,664576,664576,0,0,27249747,0,4067 130,3,2024-09-07 09:38:51:294,1,523,0,0,960,7301,523,0 131,0,2024-09-07 09:38:51:978,130480,0.4,130869,0.5,262166,0.3,348131,1.75 131,1,2024-09-07 09:38:51:822,919042,919042,0,0,431457419287,4500555523,912159,5691,1192,381,391865,0 131,2,2024-09-07 09:38:50:567,663491,663491,0,0,25266465,0,3979 131,3,2024-09-07 09:38:51:690,1,523,1,0,392,6367,523,0 132,0,2024-09-07 09:38:51:411,129311,0.4,130311,0.6,259402,0.4,345453,1.75 132,1,2024-09-07 09:38:50:578,915389,915389,0,0,429848617611,4521502974,902904,10289,2196,381,392532,0 132,2,2024-09-07 09:38:50:700,659295,659278,17,0,33180277,0,6451 132,3,2024-09-07 09:38:51:694,1,523,0,0,804,8732,523,0 133,0,2024-09-07 09:38:51:520,126719,0.4,129556,0.6,265906,0.3,346061,1.75 133,1,2024-09-07 09:38:50:582,915077,915077,0,0,429719214563,4528489686,902567,10819,1691,383,391914,0 133,2,2024-09-07 09:38:51:096,660897,660847,50,0,33578043,0,6861 133,3,2024-09-07 09:38:51:298,1,523,9,0,479,6230,523,0 134,0,2024-09-07 09:38:50:938,136533,0.5,136410,0.7,273173,0.5,364322,2.00 134,1,2024-09-07 09:38:50:584,916969,916969,0,0,430158715000,4509163146,905572,9250,2147,366,391718,0 134,2,2024-09-07 09:38:51:758,661077,661053,24,0,31612218,0,6207 134,3,2024-09-07 09:38:50:756,1,523,1,0,739,6332,523,0 135,0,2024-09-07 09:38:51:127,127263,0.9,127270,0.9,270150,1.1,348408,2.25 135,1,2024-09-07 09:38:51:585,916503,916503,0,0,430933310905,4530791813,904867,10070,1566,380,391805,0 135,2,2024-09-07 09:38:50:686,664555,664555,0,0,31847922,0,4503 135,3,2024-09-07 09:38:51:006,1,523,1,0,900,5350,523,0 136,0,2024-09-07 09:38:51:623,129055,0.5,129233,0.7,257312,0.5,343199,2.00 136,1,2024-09-07 09:38:51:463,917734,917734,0,0,429917663153,4506695475,907353,9112,1269,381,392135,0 136,2,2024-09-07 09:38:51:134,660253,660238,15,0,30997070,0,6007 136,3,2024-09-07 09:38:51:107,1,523,4,0,637,6285,523,0 137,0,2024-09-07 09:38:50:925,132239,0.5,128919,0.7,252539,0.5,342881,2.00 137,1,2024-09-07 09:38:50:577,915725,915725,0,0,430567952186,4512061460,902267,10786,2672,366,391898,0 137,2,2024-09-07 09:38:51:713,658877,658877,0,0,32648292,0,3185 137,3,2024-09-07 09:38:50:769,1,523,3,0,484,6594,523,0 138,0,2024-09-07 09:38:51:763,133493,0.8,133754,0.9,268180,0.9,356377,2.00 138,1,2024-09-07 09:38:51:695,916812,916812,0,0,431270660379,4521945870,904073,10769,1970,368,391954,0 138,2,2024-09-07 09:38:50:591,660845,660845,0,0,30012233,0,4988 138,3,2024-09-07 09:38:50:618,1,523,4,0,1200,8541,523,0 139,0,2024-09-07 09:38:51:386,131591,1.3,132020,1.0,264137,1.9,352164,2.50 139,1,2024-09-07 09:38:50:588,912699,912699,0,0,429081300038,4549055172,896758,12479,3462,380,392058,0 139,2,2024-09-07 09:38:50:697,660780,660780,0,0,33453982,0,3097 139,3,2024-09-07 09:38:51:664,1,523,1,0,432,6004,523,0 140,0,2024-09-07 09:38:51:610,128843,0.3,128009,0.5,256818,0.2,342129,1.75 140,1,2024-09-07 09:38:51:536,922165,922165,0,0,434315966028,4476395176,917583,4044,538,364,391606,0 140,2,2024-09-07 09:38:50:686,660764,660763,1,0,25448450,0,5036 140,3,2024-09-07 09:38:50:768,1,523,0,0,297,4886,523,0 141,0,2024-09-07 09:38:51:700,127823,0.3,131182,0.4,250508,0.2,339469,1.50 141,1,2024-09-07 09:38:50:859,920390,920390,0,0,433198598661,4498617982,913284,6021,1085,379,391614,0 141,2,2024-09-07 09:38:51:686,660335,660324,11,0,27044497,0,5369 141,3,2024-09-07 09:38:51:049,1,523,2,0,391,5935,523,0 142,0,2024-09-07 09:38:51:307,133358,0.3,132371,0.5,265406,0.3,354437,1.50 142,1,2024-09-07 09:38:50:584,918893,918893,0,0,431493764305,4496824228,913229,5205,459,382,392102,0 142,2,2024-09-07 09:38:51:302,660786,660754,32,0,27641289,0,6028 142,3,2024-09-07 09:38:51:746,1,523,5,0,484,5446,523,0 143,0,2024-09-07 09:38:51:372,134490,0.4,134456,0.6,269801,0.4,358291,1.75 143,1,2024-09-07 09:38:50:556,920634,920634,0,0,433011093160,4492822281,914552,5524,558,367,391722,0 143,2,2024-09-07 09:38:50:768,665855,665855,0,0,27129666,0,3123 143,3,2024-09-07 09:38:51:142,1,523,1,0,462,6566,523,0 144,0,2024-09-07 09:38:51:522,125683,0.6,129285,0.8,262880,0.6,344288,2.00 144,1,2024-09-07 09:38:50:565,916124,916124,0,0,430152561513,4513644827,906730,7426,1968,381,391733,0 144,2,2024-09-07 09:38:51:755,662027,662027,0,0,25820477,0,3673 144,3,2024-09-07 09:38:51:739,1,523,1,0,249,5090,523,0 145,0,2024-09-07 09:38:51:410,125015,0.5,124967,0.7,265293,0.5,341406,2.25 145,1,2024-09-07 09:38:50:554,915507,915507,0,0,430068978625,4526830591,903136,9904,2467,382,391759,0 145,2,2024-09-07 09:38:51:431,657311,657229,82,0,31929953,0,7814 145,3,2024-09-07 09:38:50:896,1,523,1,0,622,7441,523,0 146,0,2024-09-07 09:38:51:593,130678,0.5,130048,0.7,261235,0.5,347661,2.00 146,1,2024-09-07 09:38:51:592,916658,916658,0,0,430212797760,4522246694,902214,10643,3801,367,391770,0 146,2,2024-09-07 09:38:51:696,659374,659368,6,0,30128735,0,5151 146,3,2024-09-07 09:38:51:282,1,523,1,0,1520,8828,523,0 147,0,2024-09-07 09:38:51:720,136504,0.6,136240,0.7,271988,0.6,363267,2.25 147,1,2024-09-07 09:38:51:390,920170,920170,0,0,433152074933,4499763836,912885,6406,879,367,391791,0 147,2,2024-09-07 09:38:51:012,661994,661994,0,0,26847394,0,2968 147,3,2024-09-07 09:38:50:919,1,523,1,0,1626,8471,523,0 0,0,2024-09-07 09:39:01:770,125687,0.5,125649,0.7,266815,0.5,345170,2.00 0,1,2024-09-07 09:39:00:821,918929,918929,0,0,431305074515,4515604847,911408,6679,842,369,391896,0 0,2,2024-09-07 09:39:01:070,662912,662912,0,0,26907756,0,4480 0,3,2024-09-07 09:39:00:976,1,524,1,0,431,7250,524,0 1,0,2024-09-07 09:39:01:804,135173,0.9,134315,0.9,269767,1.2,360497,2.00 1,1,2024-09-07 09:39:00:602,917991,917991,0,0,430621500350,4511984180,909275,7249,1467,370,391859,0 1,2,2024-09-07 09:39:00:640,663252,663252,0,0,26323333,0,3380 1,3,2024-09-07 09:39:01:304,1,524,7,0,269,6534,524,0 2,0,2024-09-07 09:39:01:568,132195,0.6,132250,0.8,264153,0.7,352675,2.00 2,1,2024-09-07 09:39:00:862,921158,921158,0,0,433087670650,4499992477,916186,4055,917,379,391745,0 2,2,2024-09-07 09:39:01:265,666801,666801,0,0,24982638,0,3594 2,3,2024-09-07 09:39:00:691,1,524,1,0,357,4749,524,0 3,0,2024-09-07 09:39:01:742,128586,0.4,128364,0.6,256315,0.3,341798,2.00 3,1,2024-09-07 09:39:01:627,919873,919873,0,0,432501428005,4501876514,912404,6766,703,379,391716,0 3,2,2024-09-07 09:39:01:144,661224,661201,23,0,26009563,0,5851 3,3,2024-09-07 09:39:01:752,1,524,1,0,207,3247,524,0 4,0,2024-09-07 09:39:01:769,124087,0.4,127496,0.5,259959,0.3,340384,1.75 4,1,2024-09-07 09:39:00:598,916395,916395,0,0,430287491233,4557529261,900046,12663,3686,370,391992,0 4,2,2024-09-07 09:39:01:023,658299,658299,0,0,31923306,0,4534 4,3,2024-09-07 09:39:01:060,1,524,12,0,448,6719,524,0 5,0,2024-09-07 09:39:01:418,133589,0.4,133881,0.6,267781,0.4,356409,1.75 5,1,2024-09-07 09:39:00:784,917421,917421,0,0,431627630061,4542093422,904673,10334,2414,367,392005,0 5,2,2024-09-07 09:39:01:833,660164,660164,0,0,30955245,0,3582 5,3,2024-09-07 09:39:01:744,1,524,9,0,457,7136,524,0 6,0,2024-09-07 09:39:00:920,134000,0.5,133595,0.7,267527,0.5,356231,2.00 6,1,2024-09-07 09:39:00:754,919674,919674,0,0,431630991876,4507774768,910099,8118,1457,379,391702,0 6,2,2024-09-07 09:39:01:116,666534,666516,18,0,29994323,0,5535 6,3,2024-09-07 09:39:01:277,1,524,1,0,710,6248,524,0 7,0,2024-09-07 09:39:01:542,127902,0.5,128731,0.7,256343,0.5,341070,2.00 7,1,2024-09-07 09:39:00:862,917870,917870,0,0,430917087974,4525055074,904945,10693,2232,382,391747,0 7,2,2024-09-07 09:39:00:778,660959,660959,0,0,29129958,0,4791 7,3,2024-09-07 09:39:00:855,1,524,0,0,552,5902,524,0 8,0,2024-09-07 09:39:01:337,128551,0.3,128135,0.5,257169,0.3,341638,1.75 8,1,2024-09-07 09:39:01:024,916152,916152,0,0,431140225263,4531026435,901957,11065,3130,366,392853,0 8,2,2024-09-07 09:39:00:808,656618,656616,2,0,34803919,0,5112 8,3,2024-09-07 09:39:00:607,1,524,1,0,538,7831,524,0 9,0,2024-09-07 09:39:01:133,131906,0.3,128297,0.5,268844,0.3,352488,1.75 9,1,2024-09-07 09:39:00:566,916449,916449,0,0,431176573406,4540566604,902957,10841,2651,369,392001,0 9,2,2024-09-07 09:39:01:087,661296,661295,1,0,31508175,0,5281 9,3,2024-09-07 09:39:01:752,1,524,13,0,1273,8714,524,0 10,0,2024-09-07 09:39:01:607,135455,0.4,135016,0.5,270850,0.3,360431,1.75 10,1,2024-09-07 09:39:00:588,918911,918911,0,0,430810869468,4513020293,906545,10276,2090,381,391869,0 10,2,2024-09-07 09:39:00:772,665401,665401,0,0,34246240,0,4264 10,3,2024-09-07 09:39:00:871,1,524,170,0,649,5501,524,0 11,0,2024-09-07 09:39:01:008,130356,0.5,126530,0.6,264524,0.4,348588,1.75 11,1,2024-09-07 09:39:00:578,919939,919939,0,0,432184574296,4530848325,906462,10015,3462,383,391756,0 11,2,2024-09-07 09:39:01:128,662423,662423,0,0,30064534,0,4130 11,3,2024-09-07 09:39:01:302,1,524,1,0,843,7099,524,0 12,0,2024-09-07 09:39:00:948,130312,0.4,130352,0.5,260671,0.3,346559,1.75 12,1,2024-09-07 09:39:00:964,919374,919374,0,0,431492447737,4501081062,911700,6790,884,370,391960,0 12,2,2024-09-07 09:39:01:570,660441,660441,0,0,29849854,0,4390 12,3,2024-09-07 09:39:01:072,1,524,0,0,386,7042,524,0 13,0,2024-09-07 09:39:01:348,131106,0.4,130914,0.5,260973,0.4,347997,1.75 13,1,2024-09-07 09:39:01:554,917261,917261,0,0,430833527182,4528064475,907631,7335,2295,382,391740,0 13,2,2024-09-07 09:39:00:598,663349,663349,0,0,26750098,0,3287 13,3,2024-09-07 09:39:01:771,1,524,23,0,522,7301,524,0 14,0,2024-09-07 09:39:00:566,136776,0.4,137804,0.6,273534,0.4,364967,1.75 14,1,2024-09-07 09:39:01:568,923783,923783,0,0,434402271378,4494071174,916872,6183,728,364,391673,0 14,2,2024-09-07 09:39:00:771,664030,664000,30,0,28125878,0,6104 14,3,2024-09-07 09:39:01:122,1,524,0,0,1168,5964,524,0 15,0,2024-09-07 09:39:01:560,131322,0.4,130790,0.7,262463,0.4,350011,2.00 15,1,2024-09-07 09:39:01:609,920944,920944,0,0,432675122313,4505661774,913212,6247,1485,381,391619,0 15,2,2024-09-07 09:39:01:003,667608,667608,0,0,23687230,0,3622 15,3,2024-09-07 09:39:01:408,1,524,1,0,1126,7316,524,0 16,0,2024-09-07 09:39:00:948,128567,0.5,129286,0.7,257805,0.5,342864,2.00 16,1,2024-09-07 09:39:00:575,920512,920512,0,0,431589485737,4512177586,912091,7119,1302,370,392194,0 16,2,2024-09-07 09:39:01:453,660104,660104,0,0,27868639,0,4719 16,3,2024-09-07 09:39:01:147,1,524,20,0,358,6579,524,0 17,0,2024-09-07 09:39:01:770,132425,0.5,129136,0.7,253039,0.5,343134,2.00 17,1,2024-09-07 09:39:00:585,918530,918530,0,0,431281574147,4523897994,909231,7551,1748,368,392075,0 17,2,2024-09-07 09:39:01:676,663916,663915,1,0,28663162,0,5050 17,3,2024-09-07 09:39:00:583,1,524,1,0,518,7819,524,0 18,0,2024-09-07 09:39:00:945,133163,0.6,134104,0.8,267194,0.6,357060,2.25 18,1,2024-09-07 09:39:01:646,922601,922601,0,0,432659035222,4487366857,916489,5115,997,367,391725,0 18,2,2024-09-07 09:39:01:756,665420,665420,0,0,25440624,0,3541 18,3,2024-09-07 09:39:00:896,1,524,1,0,1059,4915,524,0 19,0,2024-09-07 09:39:01:542,132272,0.7,132631,0.8,263762,0.7,351327,2.25 19,1,2024-09-07 09:39:00:575,921817,921817,0,0,433600308609,4495245055,914829,5904,1084,367,391777,0 19,2,2024-09-07 09:39:01:752,669237,669237,0,0,23912880,0,3988 19,3,2024-09-07 09:39:01:130,1,524,1,0,524,4248,524,0 20,0,2024-09-07 09:39:01:365,128107,0.5,128382,0.7,256252,0.5,341675,2.00 20,1,2024-09-07 09:39:00:578,918785,918785,0,0,431393145678,4518725212,909586,7834,1365,369,391922,0 20,2,2024-09-07 09:39:00:935,660089,660089,0,0,29023323,0,3721 20,3,2024-09-07 09:39:00:599,1,524,6,0,468,8909,524,0 21,0,2024-09-07 09:39:01:161,127612,0.4,127772,0.6,255545,0.4,338806,1.75 21,1,2024-09-07 09:39:01:550,917439,917439,0,0,430244175152,4539596285,903284,11036,3119,368,392016,0 21,2,2024-09-07 09:39:01:070,658046,658026,20,0,34017468,0,5617 21,3,2024-09-07 09:39:01:418,1,524,14,0,713,6761,524,0 22,0,2024-09-07 09:39:01:721,132880,0.4,133481,0.6,266224,0.4,353945,2.00 22,1,2024-09-07 09:39:01:023,918160,918160,0,0,430998916788,4536542595,902928,12005,3227,382,391822,0 22,2,2024-09-07 09:39:00:767,661447,661421,26,0,28510995,0,6328 22,3,2024-09-07 09:39:01:066,1,524,1,0,228,4434,524,0 23,0,2024-09-07 09:39:01:371,134321,0.5,133841,0.7,267657,0.5,356815,2.25 23,1,2024-09-07 09:39:01:008,919118,919118,0,0,431991872166,4533347031,903412,11298,4408,365,391690,0 23,2,2024-09-07 09:39:01:097,667273,667273,0,0,27197219,0,3773 23,3,2024-09-07 09:39:01:755,1,524,2,0,855,7660,524,0 24,0,2024-09-07 09:39:00:883,130607,0.4,129852,0.6,261241,0.4,346441,1.75 24,1,2024-09-07 09:39:00:597,918045,918045,0,0,430811404398,4510144317,909084,7287,1674,367,392269,0 24,2,2024-09-07 09:39:01:075,661659,661659,0,0,32237546,0,4438 24,3,2024-09-07 09:39:01:711,1,524,3,0,468,6650,524,0 25,0,2024-09-07 09:39:01:380,132919,0.4,129503,0.6,253926,0.3,345871,1.75 25,1,2024-09-07 09:39:00:566,917296,917296,0,0,431170864813,4547749749,902319,12005,2972,369,391928,0 25,2,2024-09-07 09:39:01:613,659395,659395,0,0,33527916,0,3978 25,3,2024-09-07 09:39:01:003,1,524,1,0,532,5712,524,0 26,0,2024-09-07 09:39:01:728,130568,0.4,127909,0.6,268297,0.3,349454,1.75 26,1,2024-09-07 09:39:01:550,920001,920001,0,0,431404654945,4516490606,907161,10388,2452,380,391748,0 26,2,2024-09-07 09:39:00:861,661558,661558,0,0,35535672,0,4689 26,3,2024-09-07 09:39:01:712,1,524,11,0,796,5760,524,0 27,0,2024-09-07 09:39:01:731,136534,0.4,137102,0.6,272580,0.4,363246,2.25 27,1,2024-09-07 09:39:01:679,920954,920954,0,0,433654708033,4515674606,912398,7287,1269,381,391626,0 27,2,2024-09-07 09:39:00:869,661515,661450,65,0,30687352,0,5699 27,3,2024-09-07 09:39:01:016,1,524,1,0,564,4544,524,0 28,0,2024-09-07 09:39:01:403,131520,0.4,131153,0.6,263094,0.3,350628,2.00 28,1,2024-09-07 09:39:00:805,921312,921312,0,0,433386716153,4517549320,913537,6061,1714,382,391698,0 28,2,2024-09-07 09:39:01:770,664921,664921,0,0,27026074,0,2915 28,3,2024-09-07 09:39:01:775,1,524,1,0,502,5250,524,0 29,0,2024-09-07 09:39:01:358,132646,0.3,129187,0.6,253484,0.3,345625,1.75 29,1,2024-09-07 09:39:01:564,923489,923489,0,0,433813353181,4486764500,917933,4794,762,367,391809,0 29,2,2024-09-07 09:39:00:861,660132,660132,0,0,26432738,0,4986 29,3,2024-09-07 09:39:00:968,1,524,1,0,459,5740,524,0 30,0,2024-09-07 09:39:01:461,128945,0.5,125463,0.6,262892,0.4,343962,2.00 30,1,2024-09-07 09:39:00:583,923065,923065,0,0,434135215930,4505245736,916088,6075,902,380,391672,0 30,2,2024-09-07 09:39:01:279,662108,662108,0,0,25457547,0,4192 30,3,2024-09-07 09:39:00:586,1,524,1,0,519,5064,524,0 31,0,2024-09-07 09:39:01:769,135031,0.5,135394,0.6,270371,0.4,361001,2.00 31,1,2024-09-07 09:39:00:568,925852,925852,0,0,435799442224,4473698541,920528,4313,1011,356,391712,0 31,2,2024-09-07 09:39:01:281,662339,662339,0,0,28033062,0,4470 31,3,2024-09-07 09:39:01:712,1,524,5,0,220,4444,524,0 32,0,2024-09-07 09:39:01:437,132780,0.4,133479,0.5,265732,0.3,354310,1.75 32,1,2024-09-07 09:39:00:808,922140,922140,0,0,433106924605,4501661572,916338,5093,709,381,391646,0 32,2,2024-09-07 09:39:00:942,667441,667441,0,0,24528110,0,3922 32,3,2024-09-07 09:39:01:023,1,524,1,0,304,4275,524,0 33,0,2024-09-07 09:39:01:499,129079,0.3,128605,0.4,257485,0.2,342819,1.50 33,1,2024-09-07 09:39:00:583,922807,922807,0,0,433376444818,4489136109,915575,6058,1174,368,391730,0 33,2,2024-09-07 09:39:00:766,662220,662185,35,0,27061300,0,7012 33,3,2024-09-07 09:39:00:909,1,524,1,0,329,4880,524,0 34,0,2024-09-07 09:39:00:937,128267,0.3,131743,0.4,251803,0.2,339783,1.75 34,1,2024-09-07 09:39:01:049,924553,924553,0,0,434204322243,4465382035,922044,2487,22,367,391637,0 34,2,2024-09-07 09:39:00:770,662613,662613,0,0,25579182,0,4562 34,3,2024-09-07 09:39:01:701,1,524,2,0,320,4015,524,0 35,0,2024-09-07 09:39:00:864,132943,0.3,133594,0.5,268475,0.2,357042,1.75 35,1,2024-09-07 09:39:01:067,921581,921581,0,0,432732300117,4489168332,914924,5444,1213,382,391769,0 35,2,2024-09-07 09:39:01:582,663068,663068,0,0,28161225,0,4055 35,3,2024-09-07 09:39:00:909,1,524,1,0,418,4904,524,0 36,0,2024-09-07 09:39:01:570,134341,0.5,134306,0.7,268485,0.5,357040,2.00 36,1,2024-09-07 09:39:00:586,921112,921112,0,0,431878554785,4511387725,908826,10065,2221,366,391759,0 36,2,2024-09-07 09:39:01:751,666765,666765,0,0,29662182,0,3875 36,3,2024-09-07 09:39:00:869,1,524,1,0,416,7173,524,0 37,0,2024-09-07 09:39:01:407,128145,0.5,128055,0.7,256350,0.5,341746,2.25 37,1,2024-09-07 09:39:00:569,919653,919646,0,7,432304301361,4514446846,908526,8444,2676,365,391770,0 37,2,2024-09-07 09:39:01:150,659213,659198,15,0,30083006,0,5815 37,3,2024-09-07 09:39:01:774,1,524,4,0,888,7357,524,0 38,0,2024-09-07 09:39:01:445,127591,0.4,123816,0.6,259004,0.3,338736,2.00 38,1,2024-09-07 09:39:01:609,920839,920839,0,0,432239834920,4518701911,907608,10712,2519,368,391821,0 38,2,2024-09-07 09:39:00:767,660023,659976,47,0,30233701,0,6710 38,3,2024-09-07 09:39:01:006,1,524,1,0,689,6698,524,0 39,0,2024-09-07 09:39:01:760,135251,0.5,132275,0.7,257742,0.5,352227,2.00 39,1,2024-09-07 09:39:00:721,919036,919036,0,0,431678463086,4528886401,903241,12326,3469,365,391865,0 39,2,2024-09-07 09:39:01:423,663179,663179,0,0,27925410,0,3391 39,3,2024-09-07 09:39:00:721,1,524,1,0,525,6273,524,0 40,0,2024-09-07 09:39:01:513,134161,0.9,134790,1.0,269133,1.1,358590,2.75 40,1,2024-09-07 09:39:00:583,921303,921303,0,0,430884745427,4506154990,909283,9841,2179,368,391668,0 40,2,2024-09-07 09:39:01:303,663711,663710,1,0,33299751,0,5137 40,3,2024-09-07 09:39:01:145,1,524,1,0,1028,7383,524,0 41,0,2024-09-07 09:39:01:058,129818,1.3,132653,1.1,253081,1.9,344454,3.00 41,1,2024-09-07 09:39:00:767,919556,919556,0,0,431971176707,4519596019,907627,9994,1935,369,391878,0 41,2,2024-09-07 09:39:00:763,660773,660772,1,0,32110137,0,5408 41,3,2024-09-07 09:39:01:682,1,524,2,0,366,5674,524,0 42,0,2024-09-07 09:39:01:484,128940,0.8,128567,0.9,257415,0.8,341465,2.50 42,1,2024-09-07 09:39:01:451,916597,916597,0,0,430435930421,4525635009,901583,12106,2908,380,391675,0 42,2,2024-09-07 09:39:01:133,659863,659863,0,0,31157734,0,3975 42,3,2024-09-07 09:39:01:010,1,524,1,0,892,4703,524,0 43,0,2024-09-07 09:39:00:916,129121,0.7,125728,0.9,263223,0.7,345106,2.25 43,1,2024-09-07 09:39:00:580,920136,920136,0,0,432744037485,4521035740,907267,10609,2260,366,391696,0 43,2,2024-09-07 09:39:01:736,662073,662073,0,0,30587712,0,4723 43,3,2024-09-07 09:39:01:750,1,524,1,0,571,7255,524,0 44,0,2024-09-07 09:39:00:869,136924,0.4,136936,0.6,274446,0.4,365535,1.75 44,1,2024-09-07 09:39:00:608,922736,922736,0,0,432742926623,4471609464,914938,6211,1587,356,391809,0 44,2,2024-09-07 09:39:01:266,661608,661608,0,0,25303764,0,4344 44,3,2024-09-07 09:39:01:102,1,524,1,0,817,5671,524,0 45,0,2024-09-07 09:39:01:778,130026,0.5,126981,0.7,266504,0.5,350127,2.00 45,1,2024-09-07 09:39:01:011,921418,921418,0,0,434396824703,4514194875,913905,6637,876,382,391917,0 45,2,2024-09-07 09:39:01:267,666377,666377,0,0,25684989,0,3596 45,3,2024-09-07 09:39:00:937,1,524,1,0,365,4959,524,0 46,0,2024-09-07 09:39:00:951,128226,0.5,127723,0.7,256280,0.4,340099,2.00 46,1,2024-09-07 09:39:00:578,923133,923133,0,0,433281062314,4477590852,916729,5541,863,366,391709,0 46,2,2024-09-07 09:39:00:597,661395,661395,0,0,25892713,0,4443 46,3,2024-09-07 09:39:01:132,1,524,0,0,908,6330,524,0 47,0,2024-09-07 09:39:01:103,128629,0.4,128721,0.6,258386,0.3,342203,1.75 47,1,2024-09-07 09:39:00:590,924089,924089,0,0,434604014119,4488537434,918849,4401,839,365,391641,0 47,2,2024-09-07 09:39:00:910,664598,664598,0,0,25677260,0,4477 47,3,2024-09-07 09:39:01:125,1,524,1,0,600,5913,524,0 48,0,2024-09-07 09:39:01:497,134908,0.3,134939,0.4,269309,0.2,358909,1.50 48,1,2024-09-07 09:39:01:022,921614,921614,0,0,433159349993,4499678264,915820,5221,573,384,391710,0 48,2,2024-09-07 09:39:00:703,663365,663365,0,0,23616088,0,3411 48,3,2024-09-07 09:39:00:753,1,524,1,0,339,4171,524,0 49,0,2024-09-07 09:39:01:733,136338,0.4,133768,0.5,260042,0.3,355300,1.75 49,1,2024-09-07 09:39:01:036,921144,921144,0,0,432764662888,4498003900,915578,4355,1211,382,391809,0 49,2,2024-09-07 09:39:01:808,667927,667927,0,0,26246249,0,4426 49,3,2024-09-07 09:39:01:422,1,524,1,0,992,6069,524,0 50,0,2024-09-07 09:39:01:518,128939,0.3,127263,0.5,256481,0.2,341313,1.75 50,1,2024-09-07 09:39:01:015,924511,924511,0,0,434497980695,4491616017,918565,5279,667,368,391565,0 50,2,2024-09-07 09:39:01:068,660511,660511,0,0,23994786,0,4490 50,3,2024-09-07 09:39:01:299,1,524,7,0,617,5446,524,0 51,0,2024-09-07 09:39:01:689,131052,0.3,128195,0.4,249710,0.2,340350,1.75 51,1,2024-09-07 09:39:01:684,924946,924946,0,0,435210840007,4486568095,920180,3711,1055,365,391706,0 51,2,2024-09-07 09:39:01:322,661859,661859,0,0,23259754,0,3337 51,3,2024-09-07 09:39:01:030,1,524,6,0,678,3784,524,0 52,0,2024-09-07 09:39:01:424,133436,0.5,133108,0.7,266574,0.4,354716,2.00 52,1,2024-09-07 09:39:00:584,920602,920602,0,0,431215859622,4514460959,907372,11125,2105,368,391722,0 52,2,2024-09-07 09:39:01:766,659471,659433,38,0,31079254,0,6742 52,3,2024-09-07 09:39:00:685,1,524,5,0,1782,6668,524,0 53,0,2024-09-07 09:39:01:785,133897,0.7,129880,0.8,271731,0.8,356330,2.50 53,1,2024-09-07 09:39:00:778,918670,918670,0,0,431520786279,4528386459,903307,11519,3844,367,391968,0 53,2,2024-09-07 09:39:01:310,666515,666514,1,0,27988750,0,5455 53,3,2024-09-07 09:39:00:697,1,524,1,0,308,5148,524,0 54,0,2024-09-07 09:39:01:628,127729,0.6,128110,0.8,255077,0.5,340260,2.25 54,1,2024-09-07 09:39:00:580,920848,920848,0,0,432244677171,4496461193,910781,8433,1634,366,391810,0 54,2,2024-09-07 09:39:00:865,662314,662282,32,0,31694037,0,6397 54,3,2024-09-07 09:39:00:770,1,524,2,0,676,7043,524,0 55,0,2024-09-07 09:39:01:764,124853,0.5,128796,0.7,260971,0.5,340007,2.25 55,1,2024-09-07 09:39:00:768,921872,921872,0,0,432336844814,4495686717,912189,8362,1321,365,391731,0 55,2,2024-09-07 09:39:00:735,659834,659778,56,0,29896846,0,7239 55,3,2024-09-07 09:39:00:674,1,524,1,0,304,4883,524,0 56,0,2024-09-07 09:39:01:553,134055,1.1,126257,1.1,260276,1.4,348902,2.50 56,1,2024-09-07 09:39:00:575,916514,916514,0,0,430970164581,4550355756,902191,11411,2912,381,391867,0 56,2,2024-09-07 09:39:01:305,661737,661615,122,0,31377762,0,7432 56,3,2024-09-07 09:39:01:063,1,524,2,0,705,6251,524,0 57,0,2024-09-07 09:39:00:944,134573,1.6,134492,1.3,269408,2.2,359977,3.25 57,1,2024-09-07 09:39:00:998,918092,918092,0,0,430956436911,4521594578,905889,9918,2285,366,392032,0 57,2,2024-09-07 09:39:01:318,663753,663753,0,0,32244504,0,4317 57,3,2024-09-07 09:39:01:741,1,524,1,0,455,5873,524,0 58,0,2024-09-07 09:39:00:573,129308,1.0,125592,1.0,262770,1.2,344112,2.75 58,1,2024-09-07 09:39:00:579,919775,919772,0,3,432364624908,4530998205,905969,10482,3321,367,391675,3 58,2,2024-09-07 09:39:01:076,664227,664227,0,0,30662547,0,3483 58,3,2024-09-07 09:39:01:069,1,524,0,0,1043,5812,524,0 59,0,2024-09-07 09:39:01:738,128482,0.8,128422,0.9,255953,0.9,339824,2.75 59,1,2024-09-07 09:39:00:811,918444,918444,0,0,431523870103,4532431746,903532,11773,3139,369,391653,0 59,2,2024-09-07 09:39:00:594,660039,660039,0,0,29186302,0,3727 59,3,2024-09-07 09:39:01:738,1,524,3,0,1015,6497,524,0 60,0,2024-09-07 09:39:01:716,129344,0.5,129615,0.7,259187,0.5,345046,1.75 60,1,2024-09-07 09:39:00:788,923065,923065,0,0,433341808398,4497061439,916877,5254,934,370,392031,0 60,2,2024-09-07 09:39:01:146,662043,662043,0,0,27678251,0,3811 60,3,2024-09-07 09:39:01:266,1,524,1,0,409,6109,524,0 61,0,2024-09-07 09:39:01:519,135003,0.7,135631,0.8,270010,0.7,360534,2.00 61,1,2024-09-07 09:39:00:790,919587,919587,0,0,432538533742,4528703182,909664,8343,1580,382,392127,0 61,2,2024-09-07 09:39:01:115,663152,663085,67,0,28637925,0,6411 61,3,2024-09-07 09:39:01:692,1,524,0,0,607,7495,524,0 62,0,2024-09-07 09:39:01:707,133057,0.7,136469,0.8,260509,0.7,354198,2.00 62,1,2024-09-07 09:39:01:113,925103,925097,0,6,435028951364,4482817826,920940,3877,280,365,391975,6 62,2,2024-09-07 09:39:01:643,664555,664554,1,0,27912549,0,5555 62,3,2024-09-07 09:39:01:143,1,524,1,0,482,4230,524,0 63,0,2024-09-07 09:39:01:480,129062,0.4,128761,0.6,258505,0.3,343265,1.75 63,1,2024-09-07 09:39:00:808,922131,922125,0,6,433113206476,4495388949,916369,5005,751,381,391800,6 63,2,2024-09-07 09:39:00:769,660958,660958,0,0,26920851,0,4369 63,3,2024-09-07 09:39:01:732,1,524,1,0,667,5577,524,0 64,0,2024-09-07 09:39:01:561,127480,0.5,127563,0.6,254566,0.4,338917,2.00 64,1,2024-09-07 09:39:00:750,921789,921789,0,0,432746483413,4505590226,913897,6121,1771,370,391794,0 64,2,2024-09-07 09:39:01:151,665758,665739,19,0,25868938,0,6121 64,3,2024-09-07 09:39:01:144,1,524,1,0,651,5493,524,0 65,0,2024-09-07 09:39:01:739,132753,0.6,132968,0.7,265469,0.6,354573,2.00 65,1,2024-09-07 09:39:00:865,920222,920222,0,0,431967159551,4514120703,913474,5951,797,381,391901,0 65,2,2024-09-07 09:39:01:705,662908,662908,0,0,30360255,0,3367 65,3,2024-09-07 09:39:01:683,1,524,0,0,782,6174,524,0 66,0,2024-09-07 09:39:01:763,133551,0.6,133018,0.8,266195,0.6,354285,2.25 66,1,2024-09-07 09:39:01:299,921767,921767,0,0,432863213347,4504984469,915619,5426,722,380,391743,0 66,2,2024-09-07 09:39:01:131,668548,668545,3,0,27726428,0,5455 66,3,2024-09-07 09:39:01:079,1,524,0,0,291,4510,524,0 67,0,2024-09-07 09:39:01:420,128563,0.5,128266,0.7,257145,0.5,341873,2.00 67,1,2024-09-07 09:39:00:774,921946,921945,0,1,433064840215,4507805013,915672,5459,814,380,391787,1 67,2,2024-09-07 09:39:00:587,663276,663261,15,0,26750370,0,6205 67,3,2024-09-07 09:39:01:750,1,524,1,0,595,5531,524,0 68,0,2024-09-07 09:39:00:634,128513,0.5,128385,0.7,255704,0.5,341268,2.00 68,1,2024-09-07 09:39:00:573,917741,917741,0,0,430051157674,4523603546,905345,8995,3401,381,391953,0 68,2,2024-09-07 09:39:01:050,657380,657280,100,0,34597322,0,8578 68,3,2024-09-07 09:39:00:736,1,524,1,0,417,6789,524,0 69,0,2024-09-07 09:39:01:729,131268,0.6,132123,0.8,263446,0.6,350118,2.25 69,1,2024-09-07 09:39:01:024,916885,916885,0,0,431272656090,4544504271,904627,9497,2761,384,391994,0 69,2,2024-09-07 09:39:01:733,660883,660854,29,0,35658509,0,6912 69,3,2024-09-07 09:39:00:765,1,524,1,0,698,7766,524,0 70,0,2024-09-07 09:39:01:548,133927,0.9,134333,1.0,270265,0.8,357424,2.50 70,1,2024-09-07 09:39:00:816,922481,922481,0,0,433565872361,4498796906,915226,6493,762,366,391725,0 70,2,2024-09-07 09:39:01:326,665184,665184,0,0,29990815,0,4323 70,3,2024-09-07 09:39:00:748,1,524,1,0,854,5692,524,0 71,0,2024-09-07 09:39:01:360,129138,1.1,128886,1.1,259032,1.5,345610,2.75 71,1,2024-09-07 09:39:01:601,920220,920220,0,0,432488203129,4514419732,908827,10138,1255,368,391738,0 71,2,2024-09-07 09:39:01:078,662337,662337,0,0,30625959,0,4352 71,3,2024-09-07 09:39:01:750,1,524,8,0,644,6252,524,0 72,0,2024-09-07 09:39:01:048,133760,0.5,130754,0.7,255139,0.5,346961,2.00 72,1,2024-09-07 09:39:01:025,918635,918635,0,0,431962352072,4531933283,905183,11170,2282,369,391819,0 72,2,2024-09-07 09:39:01:757,659497,659497,0,0,32138817,0,3983 72,3,2024-09-07 09:39:01:754,1,524,0,0,564,7546,524,0 73,0,2024-09-07 09:39:01:106,126863,0.4,130142,0.6,266092,0.4,346097,2.00 73,1,2024-09-07 09:39:00:801,921522,921522,0,0,432192417271,4487753832,915286,5811,425,367,391858,0 73,2,2024-09-07 09:39:01:740,661759,661759,0,0,32550650,0,4728 73,3,2024-09-07 09:39:00:973,1,524,2,0,486,6560,524,0 74,0,2024-09-07 09:39:01:329,137790,0.5,141018,0.7,269018,0.5,365838,2.00 74,1,2024-09-07 09:39:00:641,919868,919868,0,0,431803307882,4509140279,909417,8527,1924,381,391762,0 74,2,2024-09-07 09:39:01:003,662726,662726,0,0,28405889,0,4253 74,3,2024-09-07 09:39:01:450,1,524,9,0,522,6299,524,0 75,0,2024-09-07 09:39:01:768,131894,0.6,131048,0.8,263096,0.6,351657,2.25 75,1,2024-09-07 09:39:01:590,920856,920856,0,0,432254308075,4506195907,912962,7032,862,380,391739,0 75,2,2024-09-07 09:39:01:353,664255,664255,0,0,34101964,0,4766 75,3,2024-09-07 09:39:01:066,1,524,1,0,702,6212,524,0 76,0,2024-09-07 09:39:00:638,128183,0.5,127511,0.7,255568,0.5,341672,2.25 76,1,2024-09-07 09:39:00:808,920257,920257,0,0,432362095279,4509136089,913628,5662,967,382,391723,0 76,2,2024-09-07 09:39:01:071,662834,662831,3,0,28577713,0,5265 76,3,2024-09-07 09:39:01:150,1,524,6,0,227,4857,524,0 77,0,2024-09-07 09:39:01:697,128117,0.5,128500,0.7,257074,0.5,341233,2.00 77,1,2024-09-07 09:39:00:846,920786,920786,0,0,432406015927,4509489435,913951,6240,595,381,391869,0 77,2,2024-09-07 09:39:01:283,661153,661153,0,0,28124933,0,3890 77,3,2024-09-07 09:39:01:102,1,524,1,0,401,5601,524,0 78,0,2024-09-07 09:39:01:727,134790,0.4,134293,0.6,269531,0.4,357390,2.00 78,1,2024-09-07 09:39:00:609,920576,920576,0,0,432697505612,4511286801,909806,8244,2526,367,391670,0 78,2,2024-09-07 09:39:01:408,664435,664422,13,0,26184716,0,8313 78,3,2024-09-07 09:39:01:133,1,524,17,0,311,4565,524,0 79,0,2024-09-07 09:39:01:356,128362,0.4,131335,0.6,269019,0.4,350034,2.25 79,1,2024-09-07 09:39:00:578,923261,923261,0,0,433120389997,4487706925,915524,6380,1357,367,391682,0 79,2,2024-09-07 09:39:01:068,667025,667025,0,0,25227773,0,4195 79,3,2024-09-07 09:39:00:753,1,524,2,0,418,6303,524,0 80,0,2024-09-07 09:39:01:090,128171,0.5,131656,0.7,251987,0.5,340896,2.00 80,1,2024-09-07 09:39:01:638,920516,920516,0,0,432570243846,4501302837,914687,5401,428,368,392269,0 80,2,2024-09-07 09:39:01:096,662556,662556,0,0,25690014,0,4433 80,3,2024-09-07 09:39:00:588,1,524,2,0,296,6215,524,0 81,0,2024-09-07 09:39:01:543,127820,0.5,130847,0.7,249516,0.5,338804,2.00 81,1,2024-09-07 09:39:01:662,919426,919426,0,0,432216783222,4517243924,912024,6763,639,382,391885,0 81,2,2024-09-07 09:39:01:127,660787,660724,63,0,28685588,0,5932 81,3,2024-09-07 09:39:01:125,1,524,1,0,719,5974,524,0 82,0,2024-09-07 09:39:01:561,132772,0.5,132978,0.7,266330,0.4,353906,2.00 82,1,2024-09-07 09:39:00:583,921958,921954,0,4,433406711710,4504906178,916874,4259,821,381,391768,4 82,2,2024-09-07 09:39:01:690,664947,664947,0,0,23888431,0,4484 82,3,2024-09-07 09:39:01:752,1,524,1,0,363,4987,524,0 83,0,2024-09-07 09:39:01:528,134505,0.6,134544,0.8,268396,0.7,356402,2.25 83,1,2024-09-07 09:39:00:610,920971,920971,0,0,432121729848,4503857963,914614,5902,455,382,391709,0 83,2,2024-09-07 09:39:00:766,666328,666303,25,0,25914434,0,5612 83,3,2024-09-07 09:39:00:757,1,524,0,0,1260,6502,524,0 84,0,2024-09-07 09:39:01:767,128608,0.7,128811,0.9,257227,0.7,343614,2.25 84,1,2024-09-07 09:39:01:040,919219,919219,0,0,431642288424,4512729795,908981,8713,1525,367,391967,0 84,2,2024-09-07 09:39:00:583,661165,661135,30,0,34154984,0,5971 84,3,2024-09-07 09:39:01:149,1,524,1,0,908,7403,524,0 85,0,2024-09-07 09:39:01:009,124404,0.5,124464,0.7,264071,0.5,341567,2.25 85,1,2024-09-07 09:39:00:621,916016,916016,0,0,430949920097,4550902441,901743,11615,2658,381,392092,0 85,2,2024-09-07 09:39:00:865,659505,659505,0,0,31887740,0,4255 85,3,2024-09-07 09:39:00:698,1,524,3,0,789,6050,524,0 86,0,2024-09-07 09:39:00:899,130978,0.6,134611,0.7,257673,0.6,349239,2.25 86,1,2024-09-07 09:39:00:829,918965,918965,0,0,431888278217,4525157568,907662,9326,1977,366,391995,0 86,2,2024-09-07 09:39:00:858,660622,660621,1,0,34379585,0,5004 86,3,2024-09-07 09:39:00:604,1,524,0,0,308,7551,524,0 87,0,2024-09-07 09:39:01:297,136419,0.9,136237,0.9,272291,1.1,363678,2.25 87,1,2024-09-07 09:39:00:572,917948,917948,0,0,431266696331,4519641896,905742,10490,1716,366,392076,0 87,2,2024-09-07 09:39:01:070,663483,663477,6,0,30347720,0,6323 87,3,2024-09-07 09:39:01:800,1,524,1,0,473,7649,524,0 88,0,2024-09-07 09:39:01:505,131090,0.5,131732,0.6,263120,0.4,350409,2.00 88,1,2024-09-07 09:39:00:635,917593,917593,0,0,431290032625,4517837159,904949,10028,2616,365,392084,0 88,2,2024-09-07 09:39:00:695,664635,664635,0,0,32809315,0,4465 88,3,2024-09-07 09:39:01:267,1,524,3,0,435,6685,524,0 89,0,2024-09-07 09:39:01:786,132824,0.4,128783,0.6,254304,0.4,345621,1.75 89,1,2024-09-07 09:39:00:556,918133,918133,0,0,431250259660,4537109818,906717,9599,1817,382,391866,0 89,2,2024-09-07 09:39:01:136,659997,659997,0,0,31126655,0,3173 89,3,2024-09-07 09:39:01:792,1,524,7,0,468,8651,524,0 90,0,2024-09-07 09:39:01:646,125565,0.4,129111,0.6,263064,0.4,343742,1.75 90,1,2024-09-07 09:39:00:602,919326,919326,0,0,431453141190,4516016319,910814,7779,733,380,391825,0 90,2,2024-09-07 09:39:01:421,659496,659496,0,0,33343641,0,3608 90,3,2024-09-07 09:39:00:934,1,524,1,0,322,6394,524,0 91,0,2024-09-07 09:39:00:931,135652,0.5,131523,0.6,275034,0.4,361084,1.75 91,1,2024-09-07 09:39:00:570,917463,917463,0,0,430313962092,4525247400,905863,9588,2012,381,392047,0 91,2,2024-09-07 09:39:01:334,663204,663204,0,0,29462947,0,4713 91,3,2024-09-07 09:39:00:598,1,524,9,0,216,4974,524,0 92,0,2024-09-07 09:39:01:461,134115,0.5,137109,0.6,261376,0.5,354482,1.75 92,1,2024-09-07 09:39:00:581,919890,919890,0,0,432449708852,4517451026,912378,6305,1207,381,392136,0 92,2,2024-09-07 09:39:01:381,666698,666698,0,0,26483704,0,3259 92,3,2024-09-07 09:39:01:013,1,524,1,0,167,4527,524,0 93,0,2024-09-07 09:39:00:967,129325,0.4,132581,0.6,253114,0.3,343207,1.75 93,1,2024-09-07 09:39:00:811,920558,920558,0,0,432469674503,4514606759,910274,8550,1734,366,391776,0 93,2,2024-09-07 09:39:00:948,660458,660458,0,0,32125669,0,4913 93,3,2024-09-07 09:39:01:411,1,524,13,0,190,4726,524,0 94,0,2024-09-07 09:39:01:628,127560,0.3,128318,0.5,256434,0.3,340745,1.75 94,1,2024-09-07 09:39:00:581,920604,920604,0,0,432918644397,4514347698,914501,5728,375,381,391850,0 94,2,2024-09-07 09:39:00:766,661376,661348,28,0,27450873,0,6179 94,3,2024-09-07 09:39:01:700,1,524,3,0,576,6589,524,0 95,0,2024-09-07 09:39:01:378,133876,0.3,133624,0.5,267557,0.3,357060,1.75 95,1,2024-09-07 09:39:00:857,921794,921794,0,0,432992568178,4499649125,914438,6697,659,365,391852,0 95,2,2024-09-07 09:39:01:037,662611,662611,0,0,26248805,0,3308 95,3,2024-09-07 09:39:01:736,1,524,16,0,718,8080,524,0 96,0,2024-09-07 09:39:01:032,134188,0.4,134314,0.5,268496,0.3,356402,1.75 96,1,2024-09-07 09:39:01:588,920192,920192,0,0,431555698747,4500732146,913798,5481,913,384,391955,0 96,2,2024-09-07 09:39:01:274,667129,667129,0,0,27260429,0,4180 96,3,2024-09-07 09:39:01:145,1,524,18,0,411,5546,524,0 97,0,2024-09-07 09:39:01:359,128576,0.3,128437,0.5,257935,0.3,342123,1.50 97,1,2024-09-07 09:39:00:770,922101,922101,0,0,433030193482,4491067785,916344,4812,945,367,392140,0 97,2,2024-09-07 09:39:00:623,662454,662454,0,0,26687778,0,3679 97,3,2024-09-07 09:39:00:579,1,524,43,0,242,6060,524,0 98,0,2024-09-07 09:39:01:701,127914,0.3,128105,0.4,256923,0.2,340778,1.50 98,1,2024-09-07 09:39:00:585,921365,921365,0,0,432546543178,4503565581,915955,4610,800,381,391997,0 98,2,2024-09-07 09:39:00:778,661615,661615,0,0,26241534,0,4336 98,3,2024-09-07 09:39:00:703,1,524,2,0,840,8027,524,0 99,0,2024-09-07 09:39:01:505,131956,0.3,132682,0.4,264059,0.3,352594,1.75 99,1,2024-09-07 09:39:01:725,921345,921345,0,0,432082546614,4496779387,915376,5041,928,380,392069,0 99,2,2024-09-07 09:39:01:419,663222,663222,0,0,31571805,0,4276 99,3,2024-09-07 09:39:00:584,1,524,1,0,606,5242,524,0 100,0,2024-09-07 09:39:01:476,135204,0.8,135492,1.0,270409,1.0,360890,2.50 100,1,2024-09-07 09:39:00:564,916475,916475,0,0,430529824432,4551983631,902133,11243,3099,378,391989,0 100,2,2024-09-07 09:39:01:825,662906,662895,11,0,31158310,0,5417 100,3,2024-09-07 09:39:01:731,1,524,1,0,627,9419,524,0 101,0,2024-09-07 09:39:01:712,133101,1.2,129757,1.1,254356,1.2,347895,2.25 101,1,2024-09-07 09:39:00:558,917740,917740,0,0,431485553655,4533734941,905344,9995,2401,368,391847,0 101,2,2024-09-07 09:39:01:756,659197,659197,0,0,36114059,0,4871 101,3,2024-09-07 09:39:00:956,1,524,4,0,1250,8087,524,0 102,0,2024-09-07 09:39:00:955,125986,0.6,129863,0.7,263553,0.5,345071,2.00 102,1,2024-09-07 09:39:01:168,917833,917833,0,0,430457388918,4521487207,905621,10191,2021,369,391891,0 102,2,2024-09-07 09:39:01:742,660518,660464,54,0,30482179,0,6768 102,3,2024-09-07 09:39:01:624,1,524,2,0,466,6115,524,0 103,0,2024-09-07 09:39:01:614,134505,0.5,134452,0.7,253484,0.5,348794,2.00 103,1,2024-09-07 09:39:01:642,916377,916377,0,0,430943812712,4548894443,902187,10991,3199,381,391862,0 103,2,2024-09-07 09:39:00:592,660465,660465,0,0,32303824,0,3766 103,3,2024-09-07 09:39:00:767,1,524,10,0,916,6254,524,0 104,0,2024-09-07 09:39:01:007,135714,0.7,135843,0.9,271093,0.7,363482,2.25 104,1,2024-09-07 09:39:01:599,919098,919098,0,0,430813452525,4525216132,906039,10508,2551,365,392168,0 104,2,2024-09-07 09:39:01:666,660940,660940,0,0,31316681,0,3941 104,3,2024-09-07 09:39:01:433,1,524,3,0,1245,9159,524,0 105,0,2024-09-07 09:39:01:030,130222,1.0,126897,1.1,265987,1.1,349725,2.75 105,1,2024-09-07 09:39:00:569,920219,920219,0,0,432397656432,4529321610,908619,9832,1768,365,392009,0 105,2,2024-09-07 09:39:01:324,664190,664190,0,0,31507471,0,4360 105,3,2024-09-07 09:39:01:316,1,524,5,0,399,7457,524,0 106,0,2024-09-07 09:39:00:952,124318,0.7,127347,0.9,260883,0.8,340401,2.50 106,1,2024-09-07 09:39:01:759,919347,919347,0,0,431519671899,4526879164,906729,10969,1649,368,391914,0 106,2,2024-09-07 09:39:00:775,659473,659473,0,0,29627002,0,2920 106,3,2024-09-07 09:39:00:686,1,524,142,0,1224,7928,524,0 107,0,2024-09-07 09:39:01:101,128304,0.7,128381,0.8,256645,0.8,342230,2.00 107,1,2024-09-07 09:39:00:650,917378,917378,0,0,430562974750,4539421169,904474,11511,1393,381,392234,0 107,2,2024-09-07 09:39:01:308,659213,659212,1,0,32443985,0,5024 107,3,2024-09-07 09:39:01:767,1,524,6,0,733,7916,524,0 108,0,2024-09-07 09:39:01:826,134304,0.4,135045,0.6,268810,0.4,359003,1.75 108,1,2024-09-07 09:39:01:299,920027,920027,0,0,433036065079,4516141669,912112,6944,971,367,391857,0 108,2,2024-09-07 09:39:01:768,662211,662211,0,0,28975898,0,4246 108,3,2024-09-07 09:39:01:339,1,524,1,0,749,10301,524,0 109,0,2024-09-07 09:39:01:754,133590,0.4,132322,0.6,265268,0.4,354241,1.75 109,1,2024-09-07 09:39:00:592,917458,917458,0,0,431102152666,4522043806,909247,6995,1216,382,392132,0 109,2,2024-09-07 09:39:00:937,664784,664784,0,0,28955558,0,3617 109,3,2024-09-07 09:39:01:145,1,524,1,0,630,6533,524,0 110,0,2024-09-07 09:39:01:758,128153,0.4,124720,0.6,261196,0.3,342132,1.75 110,1,2024-09-07 09:39:01:643,921186,921186,0,0,433070757457,4497551024,913656,5608,1922,368,392045,0 110,2,2024-09-07 09:39:01:307,661111,661111,0,0,27293330,0,4067 110,3,2024-09-07 09:39:00:690,1,524,2,0,722,7274,524,0 111,0,2024-09-07 09:39:01:417,128098,0.3,127387,0.5,255168,0.3,340155,1.75 111,1,2024-09-07 09:39:01:003,922939,922939,0,0,434577711272,4504791953,918395,4187,357,380,391690,0 111,2,2024-09-07 09:39:01:123,661080,661080,0,0,27914510,0,4823 111,3,2024-09-07 09:39:00:936,1,524,0,0,379,5955,524,0 112,0,2024-09-07 09:39:00:940,133686,0.3,133124,0.4,267073,0.2,354925,1.50 112,1,2024-09-07 09:39:00:829,921368,921368,0,0,432845056969,4492902055,915469,5208,691,380,391624,0 112,2,2024-09-07 09:39:01:133,662998,662997,1,0,26434794,0,5036 112,3,2024-09-07 09:39:00:599,1,524,1,0,282,4983,524,0 113,0,2024-09-07 09:39:00:870,134766,0.3,134687,0.5,269548,0.3,358633,1.75 113,1,2024-09-07 09:39:01:687,924256,924256,0,0,434052762699,4478403666,919004,4439,813,365,391661,0 113,2,2024-09-07 09:39:01:304,668966,668966,0,0,24122539,0,3813 113,3,2024-09-07 09:39:00:684,1,524,2,0,340,5514,524,0 114,0,2024-09-07 09:39:00:874,130115,0.3,130914,0.5,260207,0.2,347611,1.75 114,1,2024-09-07 09:39:00:721,921465,921465,0,0,433197713746,4497855205,914556,5293,1616,381,391565,0 114,2,2024-09-07 09:39:00:873,663593,663592,1,0,25987133,0,5069 114,3,2024-09-07 09:39:01:277,1,524,1,0,395,4243,524,0 115,0,2024-09-07 09:39:00:555,129775,0.3,130284,0.4,259729,0.2,345249,1.50 115,1,2024-09-07 09:39:00:581,922142,922142,0,0,432913395587,4497774761,914742,6043,1357,382,391757,0 115,2,2024-09-07 09:39:01:139,663878,663878,0,0,25523631,0,4382 115,3,2024-09-07 09:39:01:002,1,524,1,0,167,3015,524,0 116,0,2024-09-07 09:39:01:715,130658,0.6,130666,0.8,261790,0.6,350229,2.00 116,1,2024-09-07 09:39:00:810,917345,917345,0,0,430952755816,4541525056,906683,8216,2446,380,392089,0 116,2,2024-09-07 09:39:01:755,661285,661285,0,0,32739330,0,4475 116,3,2024-09-07 09:39:00:922,1,524,1,0,415,6438,524,0 117,0,2024-09-07 09:39:00:950,136705,0.7,136129,0.8,272797,0.8,364328,2.00 117,1,2024-09-07 09:39:01:647,918438,918438,0,0,431959562126,4520952636,908410,8803,1225,369,392033,0 117,2,2024-09-07 09:39:01:118,665440,665440,0,0,28707863,0,4303 117,3,2024-09-07 09:39:01:061,1,524,10,0,490,7172,524,0 118,0,2024-09-07 09:39:01:835,127235,0.6,130775,0.7,266497,0.6,348885,2.00 118,1,2024-09-07 09:39:00:620,917872,917872,0,0,430675597520,4526986125,904367,10382,3123,366,392054,0 118,2,2024-09-07 09:39:01:601,663345,663345,0,0,31229802,0,2842 118,3,2024-09-07 09:39:01:764,1,524,1,0,248,5904,524,0 119,0,2024-09-07 09:39:01:339,128716,0.6,129186,0.8,258163,0.6,343812,2.00 119,1,2024-09-07 09:39:00:553,918859,918859,0,0,432182630419,4527896457,907131,9961,1767,367,391857,0 119,2,2024-09-07 09:39:01:261,661032,661032,0,0,29037717,0,4174 119,3,2024-09-07 09:39:01:326,1,524,2,0,1358,9751,524,0 120,0,2024-09-07 09:39:01:607,128800,0.6,128446,0.8,258198,0.6,344604,2.25 120,1,2024-09-07 09:39:00:860,919323,919323,0,0,431278837602,4519172886,910023,8520,780,367,392144,0 120,2,2024-09-07 09:39:00:778,660632,660631,1,0,33665872,0,5281 120,3,2024-09-07 09:39:01:295,1,524,7,0,279,6535,524,0 121,0,2024-09-07 09:39:01:726,135331,1.3,134767,1.1,269976,1.8,360173,2.25 121,1,2024-09-07 09:39:01:671,919628,919628,0,0,431770256943,4512209570,910889,8038,701,366,391840,0 121,2,2024-09-07 09:39:01:168,661667,661667,0,0,30934036,0,4157 121,3,2024-09-07 09:39:00:736,1,524,1,0,330,6294,524,0 122,0,2024-09-07 09:39:01:773,132070,0.9,128461,0.9,269230,1.0,354333,2.25 122,1,2024-09-07 09:39:00:860,918061,918061,0,0,431559067478,4526324052,905604,10571,1886,366,392130,0 122,2,2024-09-07 09:39:01:327,666458,666383,75,0,35191490,0,5989 122,3,2024-09-07 09:39:00:600,1,524,1,0,512,8836,524,0 123,0,2024-09-07 09:39:00:954,128083,0.8,124654,0.9,261039,0.9,341787,2.25 123,1,2024-09-07 09:39:00:569,918722,918722,0,0,431356977776,4537428715,903511,12706,2505,369,392039,0 123,2,2024-09-07 09:39:01:033,658510,658509,1,0,29852987,0,5215 123,3,2024-09-07 09:39:01:132,1,524,2,0,478,6098,524,0 124,0,2024-09-07 09:39:00:962,131726,0.3,131655,0.5,248149,0.3,341825,1.75 124,1,2024-09-07 09:39:01:036,921665,921665,0,0,432363642938,4494471244,914851,5682,1132,365,392178,0 124,2,2024-09-07 09:39:01:014,662572,662519,53,0,27198924,0,6487 124,3,2024-09-07 09:39:00:765,1,524,1,0,490,5471,524,0 125,0,2024-09-07 09:39:01:489,133619,0.4,133277,0.5,267944,0.3,356980,1.75 125,1,2024-09-07 09:39:00:856,920265,920265,0,0,431943382173,4508243664,913283,5998,984,382,391813,0 125,2,2024-09-07 09:39:01:127,665436,665436,0,0,27290770,0,4534 125,3,2024-09-07 09:39:01:126,1,524,6,0,709,6175,524,0 126,0,2024-09-07 09:39:01:465,134174,0.4,137946,0.6,263936,0.4,357055,1.75 126,1,2024-09-07 09:39:00:568,923078,923078,0,0,433197341918,4483537924,918401,4366,311,365,391987,0 126,2,2024-09-07 09:39:00:609,667426,667426,0,0,28098778,0,4539 126,3,2024-09-07 09:39:00:912,1,524,1,0,268,5842,524,0 127,0,2024-09-07 09:39:01:630,128852,0.3,129189,0.5,257778,0.3,342402,1.75 127,1,2024-09-07 09:39:00:577,921330,921330,0,0,432102994191,4496343981,911960,7866,1504,364,392187,0 127,2,2024-09-07 09:39:00:647,660490,660486,4,0,26711132,0,5305 127,3,2024-09-07 09:39:01:267,1,524,3,0,968,5196,524,0 128,0,2024-09-07 09:39:01:595,128819,0.3,128872,0.4,257331,0.2,341699,1.50 128,1,2024-09-07 09:39:01:758,920310,920310,0,0,432685512385,4494268947,913833,5741,736,367,392031,0 128,2,2024-09-07 09:39:01:410,662899,662899,0,0,24943395,0,3171 128,3,2024-09-07 09:39:00:777,1,524,1,0,1082,8338,524,0 129,0,2024-09-07 09:39:01:019,133095,0.3,132457,0.4,265481,0.3,352979,1.50 129,1,2024-09-07 09:39:00:579,917885,917885,0,0,430494186347,4511370566,908856,7084,1945,379,391962,0 129,2,2024-09-07 09:39:00:691,663485,663481,4,0,27269297,0,5335 129,3,2024-09-07 09:39:00:695,1,524,1,0,506,7378,524,0 130,0,2024-09-07 09:39:01:734,136327,0.4,135845,0.6,272483,0.4,362347,1.75 130,1,2024-09-07 09:39:00:592,921357,921357,0,0,433325745801,4500499746,916561,4408,388,381,391825,0 130,2,2024-09-07 09:39:01:127,666031,666031,0,0,27262549,0,4067 130,3,2024-09-07 09:39:01:298,1,524,16,0,960,7317,524,0 131,0,2024-09-07 09:39:01:943,130687,0.4,131068,0.5,262613,0.3,348695,1.75 131,1,2024-09-07 09:39:01:833,920868,920868,0,0,432188747507,4508062715,913985,5691,1192,381,391865,0 131,2,2024-09-07 09:39:00:582,664270,664270,0,0,25274316,0,3979 131,3,2024-09-07 09:39:01:689,1,524,14,0,392,6381,524,0 132,0,2024-09-07 09:39:01:416,129646,0.4,130643,0.6,260078,0.4,346354,1.75 132,1,2024-09-07 09:39:00:576,917137,917137,0,0,430535308439,4528542762,904652,10289,2196,381,392532,0 132,2,2024-09-07 09:39:00:700,660831,660814,17,0,33198094,0,6451 132,3,2024-09-07 09:39:01:689,1,524,2,0,804,8734,524,0 133,0,2024-09-07 09:39:01:541,127008,0.4,129827,0.6,266502,0.3,346772,1.75 133,1,2024-09-07 09:39:00:622,916814,916814,0,0,430589487500,4537374927,904303,10820,1691,383,391914,0 133,2,2024-09-07 09:39:01:087,662571,662521,50,0,33595336,0,6861 133,3,2024-09-07 09:39:01:303,1,524,53,0,479,6283,524,0 134,0,2024-09-07 09:39:00:980,137088,0.5,136975,0.7,274306,0.5,366073,2.00 134,1,2024-09-07 09:39:00:597,918792,918792,0,0,430986843163,4517596300,907394,9251,2147,366,391718,0 134,2,2024-09-07 09:39:01:762,662229,662205,24,0,31623050,0,6207 134,3,2024-09-07 09:39:00:749,1,524,1,0,739,6333,524,0 135,0,2024-09-07 09:39:01:104,127554,0.9,127589,0.9,270779,1.1,349253,2.25 135,1,2024-09-07 09:39:01:646,918206,918206,0,0,431778292974,4539448775,906569,10071,1566,380,391805,0 135,2,2024-09-07 09:39:00:693,665603,665603,0,0,31860872,0,4503 135,3,2024-09-07 09:39:01:006,1,524,1,0,900,5351,524,0 136,0,2024-09-07 09:39:01:702,129217,0.5,129384,0.7,257611,0.5,343612,2.00 136,1,2024-09-07 09:39:01:453,919515,919515,0,0,430744727081,4515153561,909133,9113,1269,381,392135,0 136,2,2024-09-07 09:39:01:133,661769,661754,15,0,31024444,0,6007 136,3,2024-09-07 09:39:01:110,1,524,2,0,637,6287,524,0 137,0,2024-09-07 09:39:00:962,132517,0.5,129128,0.7,253012,0.5,343529,2.00 137,1,2024-09-07 09:39:00:579,917544,917544,0,0,431415489006,4520745470,904086,10786,2672,366,391898,0 137,2,2024-09-07 09:39:01:704,660144,660144,0,0,32696540,0,3185 137,3,2024-09-07 09:39:00:777,1,524,240,0,484,6834,524,0 138,0,2024-09-07 09:39:01:762,133870,0.8,134139,0.9,268901,0.9,357406,2.00 138,1,2024-09-07 09:39:01:687,918628,918628,0,0,432220752968,4531634833,905889,10769,1970,368,391954,0 138,2,2024-09-07 09:39:00:596,662063,662063,0,0,30050124,0,4988 138,3,2024-09-07 09:39:00:616,1,524,15,0,1200,8556,524,0 139,0,2024-09-07 09:39:01:386,131767,1.3,132201,1.0,264495,1.9,352631,2.50 139,1,2024-09-07 09:39:00:583,914499,914499,0,0,429910352687,4557593905,898558,12479,3462,380,392058,0 139,2,2024-09-07 09:39:00:691,662115,662115,0,0,33483183,0,3097 139,3,2024-09-07 09:39:01:670,1,524,3,0,432,6007,524,0 140,0,2024-09-07 09:39:01:595,128932,0.3,128099,0.5,257003,0.2,342427,1.75 140,1,2024-09-07 09:39:01:536,923982,923982,0,0,435098950550,4484364710,919400,4044,538,364,391606,0 140,2,2024-09-07 09:39:00:691,661996,661995,1,0,25471625,0,5036 140,3,2024-09-07 09:39:00:770,1,524,0,0,297,4886,524,0 141,0,2024-09-07 09:39:01:731,127946,0.3,131313,0.4,250731,0.2,339845,1.50 141,1,2024-09-07 09:39:00:860,922188,922188,0,0,434202171468,4508826097,915082,6021,1085,379,391614,0 141,2,2024-09-07 09:39:01:688,661455,661444,11,0,27065471,0,5369 141,3,2024-09-07 09:39:01:043,1,524,1,0,391,5936,524,0 142,0,2024-09-07 09:39:01:359,133858,0.3,132901,0.5,266367,0.3,355776,1.50 142,1,2024-09-07 09:39:00:612,920653,920653,0,0,432482316747,4506889619,914989,5205,459,382,392102,0 142,2,2024-09-07 09:39:01:303,662338,662306,32,0,27677253,0,6028 142,3,2024-09-07 09:39:01:755,1,524,13,0,484,5459,524,0 143,0,2024-09-07 09:39:01:406,134581,0.4,134574,0.6,270015,0.4,358572,1.75 143,1,2024-09-07 09:39:00:569,922423,922423,0,0,433755178889,4500559728,916341,5524,558,367,391722,0 143,2,2024-09-07 09:39:00:778,667348,667348,0,0,27181580,0,3123 143,3,2024-09-07 09:39:01:145,1,524,7,0,462,6573,524,0 144,0,2024-09-07 09:39:01:575,125770,0.6,129378,0.8,263101,0.6,344543,2.00 144,1,2024-09-07 09:39:00:567,917840,917840,0,0,430763010664,4519923502,908445,7427,1968,381,391733,0 144,2,2024-09-07 09:39:01:758,662728,662728,0,0,25825749,0,3673 144,3,2024-09-07 09:39:01:763,1,524,13,0,249,5103,524,0 145,0,2024-09-07 09:39:01:396,125206,0.5,125153,0.7,265713,0.5,341949,2.25 145,1,2024-09-07 09:39:00:557,917317,917317,0,0,430793700981,4534265931,904946,9904,2467,382,391759,0 145,2,2024-09-07 09:39:01:442,658806,658724,82,0,31947377,0,7814 145,3,2024-09-07 09:39:00:895,1,524,1,0,622,7442,524,0 146,0,2024-09-07 09:39:01:629,131080,0.5,130455,0.7,262111,0.5,348841,2.00 146,1,2024-09-07 09:39:01:609,918349,918349,0,0,431049403276,4530786513,903905,10643,3801,367,391770,0 146,2,2024-09-07 09:39:01:712,661025,661019,6,0,30191506,0,5151 146,3,2024-09-07 09:39:01:273,1,524,200,0,1520,9028,524,0 147,0,2024-09-07 09:39:01:705,136613,0.6,136372,0.7,272257,0.6,363546,2.25 147,1,2024-09-07 09:39:01:378,921887,921887,0,0,433794965596,4506467580,914602,6406,879,367,391791,0 147,2,2024-09-07 09:39:01:014,663239,663239,0,0,26865319,0,2968 147,3,2024-09-07 09:39:00:936,1,524,1,0,1626,8472,524,0 0,0,2024-09-07 09:39:11:712,126070,0.5,126024,0.7,267580,0.5,345915,2.00 0,1,2024-09-07 09:39:10:805,920660,920660,0,0,432298098725,4525756591,913138,6680,842,369,391896,0 0,2,2024-09-07 09:39:11:066,664377,664377,0,0,26928600,0,4480 0,3,2024-09-07 09:39:10:973,1,525,2,0,431,7252,525,0 1,0,2024-09-07 09:39:11:755,135521,0.9,134717,0.9,270608,1.2,361960,2.00 1,1,2024-09-07 09:39:10:559,919837,919837,0,0,431541319335,4521357963,911119,7250,1468,370,391859,0 1,2,2024-09-07 09:39:10:652,664413,664413,0,0,26336927,0,3380 1,3,2024-09-07 09:39:11:302,1,525,10,0,269,6544,525,0 2,0,2024-09-07 09:39:11:581,132535,0.6,132561,0.8,264807,0.7,353518,2.00 2,1,2024-09-07 09:39:10:869,922927,922927,0,0,433703582968,4506297154,917955,4055,917,379,391745,0 2,2,2024-09-07 09:39:11:267,667903,667903,0,0,24995641,0,3594 2,3,2024-09-07 09:39:10:692,1,525,1,0,357,4750,525,0 3,0,2024-09-07 09:39:11:754,128730,0.4,128554,0.6,256668,0.3,342205,2.00 3,1,2024-09-07 09:39:11:623,921674,921674,0,0,433274998315,4509756485,914205,6766,703,379,391716,0 3,2,2024-09-07 09:39:11:160,662727,662704,23,0,26024848,0,5851 3,3,2024-09-07 09:39:11:755,1,525,6,0,207,3253,525,0 4,0,2024-09-07 09:39:11:770,124387,0.3,127782,0.5,260590,0.3,340997,1.75 4,1,2024-09-07 09:39:10:594,918198,918198,0,0,431026063168,4565075503,901849,12663,3686,370,391992,0 4,2,2024-09-07 09:39:11:018,659648,659648,0,0,31935196,0,4534 4,3,2024-09-07 09:39:11:030,1,525,1,0,448,6720,525,0 5,0,2024-09-07 09:39:11:374,134031,0.4,134296,0.6,268622,0.4,357476,1.75 5,1,2024-09-07 09:39:10:757,919249,919249,0,0,432416257586,4550163037,906500,10335,2414,367,392005,0 5,2,2024-09-07 09:39:11:838,661371,661371,0,0,30965144,0,3582 5,3,2024-09-07 09:39:11:742,1,525,27,0,457,7163,525,0 6,0,2024-09-07 09:39:10:915,134156,0.5,133743,0.7,267840,0.5,356683,2.00 6,1,2024-09-07 09:39:10:748,921390,921390,0,0,432243246084,4514034143,911815,8118,1457,379,391702,0 6,2,2024-09-07 09:39:11:131,667901,667883,18,0,30001718,0,5535 6,3,2024-09-07 09:39:11:279,1,525,8,0,710,6256,525,0 7,0,2024-09-07 09:39:11:541,127996,0.5,128851,0.7,256550,0.5,341317,2.00 7,1,2024-09-07 09:39:10:889,919705,919705,0,0,431968839425,4535721231,906780,10693,2232,382,391747,0 7,2,2024-09-07 09:39:10:774,662014,662014,0,0,29135179,0,4791 7,3,2024-09-07 09:39:10:852,1,525,1,0,552,5903,525,0 8,0,2024-09-07 09:39:11:367,128602,0.3,128172,0.5,257262,0.3,341960,1.75 8,1,2024-09-07 09:39:11:016,917867,917867,0,0,431862813800,4538519463,903672,11065,3130,366,392853,0 8,2,2024-09-07 09:39:10:799,657874,657872,2,0,34833727,0,5112 8,3,2024-09-07 09:39:10:586,1,525,1,0,538,7832,525,0 9,0,2024-09-07 09:39:11:133,132272,0.3,128710,0.5,269653,0.3,353784,1.75 9,1,2024-09-07 09:39:10:569,918310,918310,0,0,432050385684,4549594186,904818,10841,2651,369,392001,0 9,2,2024-09-07 09:39:11:099,662714,662713,1,0,31535927,0,5281 9,3,2024-09-07 09:39:11:758,1,525,6,0,1273,8720,525,0 10,0,2024-09-07 09:39:11:603,135547,0.4,135127,0.5,271039,0.3,360749,1.75 10,1,2024-09-07 09:39:10:588,920742,920742,0,0,431533570350,4520424942,908375,10277,2090,381,391869,0 10,2,2024-09-07 09:39:10:771,666840,666840,0,0,34286263,0,4264 10,3,2024-09-07 09:39:10:875,1,525,6,0,649,5507,525,0 11,0,2024-09-07 09:39:11:005,130510,0.5,126667,0.6,264879,0.4,348852,1.75 11,1,2024-09-07 09:39:10:574,921715,921715,0,0,432995941717,4539155740,908238,10015,3462,383,391756,0 11,2,2024-09-07 09:39:11:127,663207,663207,0,0,30073673,0,4130 11,3,2024-09-07 09:39:11:301,1,525,18,0,843,7117,525,0 12,0,2024-09-07 09:39:10:958,130587,0.4,130639,0.5,261245,0.3,347139,1.75 12,1,2024-09-07 09:39:10:945,921151,921151,0,0,432340194185,4509773590,913477,6790,884,370,391960,0 12,2,2024-09-07 09:39:11:564,661940,661940,0,0,29894017,0,4390 12,3,2024-09-07 09:39:11:087,1,525,9,0,386,7051,525,0 13,0,2024-09-07 09:39:11:394,131418,0.4,131256,0.5,261657,0.4,349056,1.75 13,1,2024-09-07 09:39:11:524,918978,918978,0,0,431532032839,4535277859,909348,7335,2295,382,391740,0 13,2,2024-09-07 09:39:10:594,664895,664895,0,0,26791379,0,3287 13,3,2024-09-07 09:39:11:764,1,525,2,0,522,7303,525,0 14,0,2024-09-07 09:39:10:562,137149,0.4,138175,0.6,274214,0.4,365307,1.75 14,1,2024-09-07 09:39:11:562,925524,925524,0,0,435112655736,4501380567,918613,6183,728,364,391673,0 14,2,2024-09-07 09:39:10:765,665083,665053,30,0,28144436,0,6104 14,3,2024-09-07 09:39:11:117,1,525,49,0,1168,6013,525,0 15,0,2024-09-07 09:39:11:553,131616,0.4,131059,0.7,263055,0.4,350776,2.00 15,1,2024-09-07 09:39:11:608,922676,922676,0,0,433399293406,4513150693,914942,6249,1485,381,391619,0 15,2,2024-09-07 09:39:10:997,668618,668618,0,0,23704193,0,3622 15,3,2024-09-07 09:39:11:413,1,525,1,0,1126,7317,525,0 16,0,2024-09-07 09:39:11:002,128730,0.5,129473,0.7,258166,0.5,343444,2.00 16,1,2024-09-07 09:39:10:563,922196,922196,0,0,432269846962,4519168001,913774,7120,1302,370,392194,0 16,2,2024-09-07 09:39:11:434,661555,661555,0,0,27890851,0,4719 16,3,2024-09-07 09:39:11:152,1,525,15,0,358,6594,525,0 17,0,2024-09-07 09:39:11:764,132751,0.5,129486,0.7,253701,0.5,344373,2.00 17,1,2024-09-07 09:39:10:570,920274,920274,0,0,432065996407,4531931852,910975,7551,1748,368,392075,0 17,2,2024-09-07 09:39:11:685,665272,665271,1,0,28679737,0,5050 17,3,2024-09-07 09:39:10:585,1,525,11,0,518,7830,525,0 18,0,2024-09-07 09:39:10:948,133547,0.6,134401,0.8,267874,0.6,357736,2.25 18,1,2024-09-07 09:39:11:646,924373,924373,0,0,433796083198,4498878987,918261,5115,997,367,391725,0 18,2,2024-09-07 09:39:11:759,666518,666518,0,0,25454928,0,3541 18,3,2024-09-07 09:39:10:901,1,525,1,0,1059,4916,525,0 19,0,2024-09-07 09:39:11:555,132511,0.7,132882,0.8,264274,0.7,352216,2.25 19,1,2024-09-07 09:39:10:565,923631,923631,0,0,434459802324,4503961975,916643,5904,1084,367,391777,0 19,2,2024-09-07 09:39:11:759,670620,670620,0,0,23927278,0,3988 19,3,2024-09-07 09:39:11:136,1,525,2,0,524,4250,525,0 20,0,2024-09-07 09:39:11:350,128205,0.5,128501,0.7,256488,0.5,342126,2.00 20,1,2024-09-07 09:39:10:582,920509,920509,0,0,432302841123,4527976146,911310,7834,1365,369,391922,0 20,2,2024-09-07 09:39:10:927,661390,661390,0,0,29063209,0,3721 20,3,2024-09-07 09:39:10:599,1,525,1,0,468,8910,525,0 21,0,2024-09-07 09:39:11:128,127802,0.4,127980,0.6,255946,0.4,339676,1.75 21,1,2024-09-07 09:39:11:544,919132,919132,0,0,430843300746,4545749386,904976,11037,3119,368,392016,0 21,2,2024-09-07 09:39:11:086,659270,659250,20,0,34027753,0,5617 21,3,2024-09-07 09:39:11:412,1,525,1,0,713,6762,525,0 22,0,2024-09-07 09:39:11:722,133365,0.4,133947,0.6,267175,0.4,355150,2.00 22,1,2024-09-07 09:39:11:029,919889,919889,0,0,431738485956,4544078964,904657,12005,3227,382,391822,0 22,2,2024-09-07 09:39:10:771,662913,662887,26,0,28518514,0,6328 22,3,2024-09-07 09:39:11:071,1,525,1,0,228,4435,525,0 23,0,2024-09-07 09:39:11:367,134438,0.5,133949,0.7,267864,0.5,357153,2.25 23,1,2024-09-07 09:39:11:010,920888,920888,0,0,432931399404,4542842704,905182,11298,4408,365,391690,0 23,2,2024-09-07 09:39:11:092,668749,668749,0,0,27205441,0,3773 23,3,2024-09-07 09:39:11:758,1,525,5,0,855,7665,525,0 24,0,2024-09-07 09:39:10:871,130715,0.4,129962,0.6,261449,0.4,346769,1.75 24,1,2024-09-07 09:39:10:585,919786,919786,0,0,431566590319,4517867236,910825,7287,1674,367,392269,0 24,2,2024-09-07 09:39:11:086,662455,662455,0,0,32252556,0,4438 24,3,2024-09-07 09:39:11:696,1,525,2,0,468,6652,525,0 25,0,2024-09-07 09:39:11:351,133041,0.4,129617,0.6,254162,0.3,345927,1.75 25,1,2024-09-07 09:39:10:558,919079,919079,0,0,431908727528,4555423937,904102,12005,2972,369,391928,0 25,2,2024-09-07 09:39:11:609,660769,660769,0,0,33563910,0,3978 25,3,2024-09-07 09:39:11:000,1,525,1,0,532,5713,525,0 26,0,2024-09-07 09:39:11:728,130972,0.4,128265,0.6,269091,0.3,350367,1.75 26,1,2024-09-07 09:39:11:544,921816,921816,0,0,432355871454,4526241938,908976,10388,2452,380,391748,0 26,2,2024-09-07 09:39:10:864,663079,663079,0,0,35574649,0,4689 26,3,2024-09-07 09:39:11:712,1,525,1,0,796,5761,525,0 27,0,2024-09-07 09:39:11:722,136641,0.4,137222,0.6,272783,0.4,363497,2.25 27,1,2024-09-07 09:39:11:691,922676,922676,0,0,434239954867,4521695886,914120,7287,1269,381,391626,0 27,2,2024-09-07 09:39:10:868,662800,662735,65,0,30722772,0,5699 27,3,2024-09-07 09:39:11:016,1,525,0,0,564,4544,525,0 28,0,2024-09-07 09:39:11:406,131769,0.4,131433,0.6,263602,0.3,351209,2.00 28,1,2024-09-07 09:39:10:798,923094,923094,0,0,434042997616,4524309583,915318,6062,1714,382,391698,0 28,2,2024-09-07 09:39:11:766,665737,665737,0,0,27038529,0,2915 28,3,2024-09-07 09:39:11:780,1,525,0,0,502,5250,525,0 29,0,2024-09-07 09:39:11:380,132932,0.3,129409,0.6,254038,0.3,346492,1.75 29,1,2024-09-07 09:39:11:562,925279,925279,0,0,434634870820,4495139458,919723,4794,762,367,391809,0 29,2,2024-09-07 09:39:10:862,661685,661685,0,0,26465350,0,4986 29,3,2024-09-07 09:39:10:973,1,525,1,0,459,5741,525,0 30,0,2024-09-07 09:39:11:463,129314,0.5,125809,0.6,263648,0.4,344661,2.00 30,1,2024-09-07 09:39:10:572,924846,924846,0,0,434888181295,4513064385,917869,6075,902,380,391672,0 30,2,2024-09-07 09:39:11:274,663536,663536,0,0,25514417,0,4192 30,3,2024-09-07 09:39:10:585,1,525,1,0,519,5065,525,0 31,0,2024-09-07 09:39:11:782,135426,0.5,135800,0.6,271250,0.4,362504,2.00 31,1,2024-09-07 09:39:10:564,927627,927627,0,0,436510964265,4480927520,922303,4313,1011,356,391712,0 31,2,2024-09-07 09:39:11:277,663475,663475,0,0,28057496,0,4470 31,3,2024-09-07 09:39:11:707,1,525,8,0,220,4452,525,0 32,0,2024-09-07 09:39:11:429,133143,0.3,133813,0.5,266425,0.3,355165,1.75 32,1,2024-09-07 09:39:10:805,923905,923905,0,0,434053825038,4511302942,918101,5095,709,381,391646,0 32,2,2024-09-07 09:39:10:937,668585,668585,0,0,24538573,0,3922 32,3,2024-09-07 09:39:11:015,1,525,1,0,304,4276,525,0 33,0,2024-09-07 09:39:11:508,129252,0.3,128781,0.4,257836,0.2,343233,1.50 33,1,2024-09-07 09:39:10:578,924518,924518,0,0,434075118736,4496228435,917286,6058,1174,368,391730,0 33,2,2024-09-07 09:39:10:763,663537,663502,35,0,27074987,0,7012 33,3,2024-09-07 09:39:10:897,1,525,7,0,329,4887,525,0 34,0,2024-09-07 09:39:10:929,128547,0.3,132033,0.4,252372,0.2,340402,1.75 34,1,2024-09-07 09:39:11:044,926325,926325,0,0,434991290879,4473362511,923816,2487,22,367,391637,0 34,2,2024-09-07 09:39:10:786,664065,664065,0,0,25592396,0,4562 34,3,2024-09-07 09:39:11:693,1,525,13,0,320,4028,525,0 35,0,2024-09-07 09:39:10:866,133371,0.3,134033,0.5,269274,0.2,358050,1.75 35,1,2024-09-07 09:39:11:086,923391,923391,0,0,433543274860,4497443692,916733,5445,1213,382,391769,0 35,2,2024-09-07 09:39:11:588,664195,664195,0,0,28185145,0,4055 35,3,2024-09-07 09:39:10:925,1,525,0,0,418,4904,525,0 36,0,2024-09-07 09:39:11:523,134493,0.5,134431,0.7,268781,0.5,357478,2.00 36,1,2024-09-07 09:39:10:596,922838,922838,0,0,432624673563,4518961731,910552,10065,2221,366,391759,0 36,2,2024-09-07 09:39:11:759,668097,668097,0,0,29669248,0,3875 36,3,2024-09-07 09:39:10:864,1,525,0,0,416,7173,525,0 37,0,2024-09-07 09:39:11:402,128243,0.5,128149,0.7,256549,0.5,341991,2.00 37,1,2024-09-07 09:39:10:569,921392,921385,0,7,433205309580,4523606031,910263,8446,2676,365,391770,0 37,2,2024-09-07 09:39:11:142,660292,660277,15,0,30089189,0,5815 37,3,2024-09-07 09:39:11:780,1,525,12,0,888,7369,525,0 38,0,2024-09-07 09:39:11:448,127648,0.4,123877,0.6,259141,0.3,339063,2.00 38,1,2024-09-07 09:39:11:605,922587,922587,0,0,433042308602,4526826565,909356,10712,2519,368,391821,0 38,2,2024-09-07 09:39:10:771,661334,661287,47,0,30240829,0,6710 38,3,2024-09-07 09:39:10:998,1,525,1,0,689,6699,525,0 39,0,2024-09-07 09:39:11:763,135670,0.5,132713,0.7,258582,0.5,353537,2.00 39,1,2024-09-07 09:39:10:716,920900,920900,0,0,432424938116,4536452541,905105,12326,3469,365,391865,0 39,2,2024-09-07 09:39:11:417,664584,664584,0,0,27932710,0,3391 39,3,2024-09-07 09:39:10:713,1,525,1,0,525,6274,525,0 40,0,2024-09-07 09:39:11:494,134261,0.9,134891,1.0,269326,1.1,358872,2.75 40,1,2024-09-07 09:39:10:578,923035,923035,0,0,431722955721,4514675935,911015,9841,2179,368,391668,0 40,2,2024-09-07 09:39:11:307,665157,665156,1,0,33328464,0,5137 40,3,2024-09-07 09:39:11:150,1,525,1,0,1028,7384,525,0 41,0,2024-09-07 09:39:11:025,129983,1.3,132838,1.1,253385,1.8,344711,3.00 41,1,2024-09-07 09:39:10:775,921248,921248,0,0,432784446502,4527865094,909319,9994,1935,369,391878,0 41,2,2024-09-07 09:39:10:762,661425,661424,1,0,32118767,0,5408 41,3,2024-09-07 09:39:11:679,1,525,2,0,366,5676,525,0 42,0,2024-09-07 09:39:11:476,129219,0.8,128841,0.9,257928,0.8,341980,2.50 42,1,2024-09-07 09:39:11:453,918306,918306,0,0,431394962241,4535441330,903292,12106,2908,380,391675,0 42,2,2024-09-07 09:39:11:133,661338,661338,0,0,31210138,0,3975 42,3,2024-09-07 09:39:11:009,1,525,0,0,892,4703,525,0 43,0,2024-09-07 09:39:10:917,129443,0.7,126077,0.9,263920,0.7,346279,2.25 43,1,2024-09-07 09:39:10:576,921948,921948,0,0,433515114922,4528978059,909079,10609,2260,366,391696,0 43,2,2024-09-07 09:39:11:742,663704,663704,0,0,30622537,0,4723 43,3,2024-09-07 09:39:11:758,1,525,1,0,571,7256,525,0 44,0,2024-09-07 09:39:10:863,137306,0.4,137289,0.6,275175,0.4,365838,1.75 44,1,2024-09-07 09:39:10:565,924539,924539,0,0,433546238723,4480015076,916741,6211,1587,356,391809,0 44,2,2024-09-07 09:39:11:267,662811,662811,0,0,25324258,0,4344 44,3,2024-09-07 09:39:11:093,1,525,17,0,817,5688,525,0 45,0,2024-09-07 09:39:11:766,130327,0.5,127271,0.7,267141,0.5,350947,2.00 45,1,2024-09-07 09:39:11:008,923205,923205,0,0,435261443657,4523136234,915692,6637,876,382,391917,0 45,2,2024-09-07 09:39:11:270,667381,667381,0,0,25711163,0,3596 45,3,2024-09-07 09:39:10:937,1,525,5,0,365,4964,525,0 46,0,2024-09-07 09:39:10:951,128409,0.5,127873,0.7,256661,0.4,340675,2.00 46,1,2024-09-07 09:39:10:578,924928,924928,0,0,434000672170,4485037478,918523,5542,863,366,391709,0 46,2,2024-09-07 09:39:10:594,663093,663093,0,0,25931021,0,4443 46,3,2024-09-07 09:39:11:130,1,525,2,0,908,6332,525,0 47,0,2024-09-07 09:39:11:106,128993,0.4,129048,0.6,259066,0.3,343444,1.75 47,1,2024-09-07 09:39:10:569,925854,925854,0,0,435481410176,4497535239,920614,4401,839,365,391641,0 47,2,2024-09-07 09:39:10:910,665895,665895,0,0,25702867,0,4477 47,3,2024-09-07 09:39:11:125,1,525,1,0,600,5914,525,0 48,0,2024-09-07 09:39:11:508,135226,0.3,135286,0.4,269956,0.2,359630,1.50 48,1,2024-09-07 09:39:11:027,923426,923426,0,0,433965349778,4507897657,917632,5221,573,384,391710,0 48,2,2024-09-07 09:39:10:705,664492,664492,0,0,23627650,0,3411 48,3,2024-09-07 09:39:10:774,1,525,3,0,339,4174,525,0 49,0,2024-09-07 09:39:11:713,136620,0.4,134026,0.5,260553,0.3,356241,1.75 49,1,2024-09-07 09:39:11:021,922967,922967,0,0,433813311453,4508691147,917399,4357,1211,382,391809,0 49,2,2024-09-07 09:39:11:798,669192,669192,0,0,26258002,0,4426 49,3,2024-09-07 09:39:11:416,1,525,1,0,992,6070,525,0 50,0,2024-09-07 09:39:11:509,129094,0.3,127397,0.5,256742,0.2,341797,1.75 50,1,2024-09-07 09:39:11:010,926252,926252,0,0,435350257619,4500255293,920306,5279,667,368,391565,0 50,2,2024-09-07 09:39:11:071,661788,661788,0,0,24006991,0,4490 50,3,2024-09-07 09:39:11:291,1,525,1,0,617,5447,525,0 51,0,2024-09-07 09:39:11:690,131289,0.3,128389,0.4,250140,0.2,341248,1.75 51,1,2024-09-07 09:39:11:686,926748,926748,0,0,436081835568,4495375208,921982,3711,1055,365,391706,0 51,2,2024-09-07 09:39:11:325,663144,663144,0,0,23275058,0,3337 51,3,2024-09-07 09:39:11:027,1,525,1,0,678,3785,525,0 52,0,2024-09-07 09:39:11:444,133927,0.5,133604,0.7,267494,0.4,355871,2.00 52,1,2024-09-07 09:39:10:575,922329,922329,0,0,432098839097,4523393837,909099,11125,2105,368,391722,0 52,2,2024-09-07 09:39:11:761,660953,660915,38,0,31087619,0,6742 52,3,2024-09-07 09:39:10:682,1,525,0,0,1782,6668,525,0 53,0,2024-09-07 09:39:11:732,134023,0.7,129978,0.8,271967,0.8,356646,2.50 53,1,2024-09-07 09:39:10:783,920413,920413,0,0,432043482326,4533724434,905050,11519,3844,367,391968,0 53,2,2024-09-07 09:39:11:302,667819,667818,1,0,27995930,0,5455 53,3,2024-09-07 09:39:10:697,1,525,2,0,308,5150,525,0 54,0,2024-09-07 09:39:11:614,127846,0.6,128220,0.8,255286,0.5,340596,2.25 54,1,2024-09-07 09:39:10:588,922600,922600,0,0,432975603775,4503872979,912533,8433,1634,366,391810,0 54,2,2024-09-07 09:39:10:866,663144,663112,32,0,31698340,0,6397 54,3,2024-09-07 09:39:10:763,1,525,0,0,676,7043,525,0 55,0,2024-09-07 09:39:11:763,124958,0.5,128882,0.7,261170,0.5,340007,2.25 55,1,2024-09-07 09:39:10:772,923691,923691,0,0,433274237592,4505159501,914008,8362,1321,365,391731,0 55,2,2024-09-07 09:39:10:729,661233,661177,56,0,29904165,0,7239 55,3,2024-09-07 09:39:10:695,1,525,1,0,304,4884,525,0 56,0,2024-09-07 09:39:11:617,134461,1.1,126666,1.1,261105,1.4,349836,2.50 56,1,2024-09-07 09:39:10:572,918275,918275,0,0,431850146389,4559355422,903951,11412,2912,381,391867,0 56,2,2024-09-07 09:39:11:310,663238,663116,122,0,31401551,0,7432 56,3,2024-09-07 09:39:11:061,1,525,15,0,705,6266,525,0 57,0,2024-09-07 09:39:10:956,134685,1.6,134585,1.3,269637,2.2,360226,3.25 57,1,2024-09-07 09:39:10:991,919807,919807,0,0,431836414947,4530577203,907603,9919,2285,366,392032,0 57,2,2024-09-07 09:39:11:320,665081,665081,0,0,32272183,0,4317 57,3,2024-09-07 09:39:11:738,1,525,5,0,455,5878,525,0 58,0,2024-09-07 09:39:10:560,129591,1.0,125864,1.0,263259,1.2,344701,2.75 58,1,2024-09-07 09:39:10:574,921504,921501,0,3,433033308989,4537823591,907698,10482,3321,367,391675,3 58,2,2024-09-07 09:39:11:071,665044,665044,0,0,30682624,0,3483 58,3,2024-09-07 09:39:11:070,1,525,1,0,1043,5813,525,0 59,0,2024-09-07 09:39:11:746,128749,0.8,128707,0.9,256500,0.8,340717,2.75 59,1,2024-09-07 09:39:10:809,920233,920233,0,0,432461901224,4541975021,905320,11774,3139,369,391653,0 59,2,2024-09-07 09:39:10:582,661634,661634,0,0,29240337,0,3727 59,3,2024-09-07 09:39:11:739,1,525,1,0,1015,6498,525,0 60,0,2024-09-07 09:39:11:734,129725,0.5,130007,0.7,259902,0.4,345731,1.75 60,1,2024-09-07 09:39:10:787,924868,924868,0,0,434097165392,4504825391,918680,5254,934,370,392031,0 60,2,2024-09-07 09:39:11:154,663448,663448,0,0,27716504,0,3811 60,3,2024-09-07 09:39:11:261,1,525,1,0,409,6110,525,0 61,0,2024-09-07 09:39:11:503,135399,0.7,136034,0.8,270739,0.7,362049,2.00 61,1,2024-09-07 09:39:10:794,921400,921400,0,0,433198365834,4535516027,911477,8343,1580,382,392127,0 61,2,2024-09-07 09:39:11:116,664354,664287,67,0,28670421,0,6411 61,3,2024-09-07 09:39:11:699,1,525,8,0,607,7503,525,0 62,0,2024-09-07 09:39:11:714,133407,0.7,136808,0.8,261169,0.7,355024,2.00 62,1,2024-09-07 09:39:11:116,926820,926814,0,6,435734163705,4490036397,922656,3878,280,365,391975,6 62,2,2024-09-07 09:39:11:645,665706,665705,1,0,27936049,0,5555 62,3,2024-09-07 09:39:11:150,1,525,1,0,482,4231,525,0 63,0,2024-09-07 09:39:11:471,129224,0.4,128938,0.6,258834,0.3,343658,1.75 63,1,2024-09-07 09:39:10:804,923923,923917,0,6,433952068066,4503979359,918159,5007,751,381,391800,6 63,2,2024-09-07 09:39:10:766,662364,662364,0,0,26965911,0,4369 63,3,2024-09-07 09:39:11:733,1,525,1,0,667,5578,525,0 64,0,2024-09-07 09:39:11:539,127776,0.5,127869,0.6,255168,0.4,339546,2.00 64,1,2024-09-07 09:39:10:772,923514,923514,0,0,433568324784,4514021011,915622,6121,1771,370,391794,0 64,2,2024-09-07 09:39:11:163,667177,667158,19,0,25892464,0,6121 64,3,2024-09-07 09:39:11:146,1,525,2,0,651,5495,525,0 65,0,2024-09-07 09:39:11:693,133185,0.6,133367,0.7,266268,0.6,355606,2.00 65,1,2024-09-07 09:39:10:890,922070,922070,0,0,432963101355,4524337212,915318,5954,798,381,391901,0 65,2,2024-09-07 09:39:11:700,664004,664004,0,0,30375167,0,3367 65,3,2024-09-07 09:39:11:693,1,525,1,0,782,6175,525,0 66,0,2024-09-07 09:39:11:780,133721,0.6,133190,0.8,266492,0.6,354753,2.25 66,1,2024-09-07 09:39:11:412,923511,923511,0,0,433675702381,4513289211,917363,5426,722,380,391743,0 66,2,2024-09-07 09:39:11:132,669876,669873,3,0,27740031,0,5455 66,3,2024-09-07 09:39:11:079,1,525,2,0,291,4512,525,0 67,0,2024-09-07 09:39:11:447,128693,0.5,128383,0.7,257337,0.5,342143,2.00 67,1,2024-09-07 09:39:10:768,923671,923670,0,1,433832177192,4515637216,917396,5460,814,380,391787,1 67,2,2024-09-07 09:39:10:586,664295,664280,15,0,26759810,0,6205 67,3,2024-09-07 09:39:11:755,1,525,0,0,595,5531,525,0 68,0,2024-09-07 09:39:10:576,128574,0.5,128435,0.7,255801,0.5,341556,2.00 68,1,2024-09-07 09:39:10:572,919450,919450,0,0,430744891225,4530715316,907054,8995,3401,381,391953,0 68,2,2024-09-07 09:39:11:051,658613,658513,100,0,34606951,0,8578 68,3,2024-09-07 09:39:10:747,1,525,12,0,417,6801,525,0 69,0,2024-09-07 09:39:11:725,131674,0.6,132569,0.8,264306,0.6,351450,2.25 69,1,2024-09-07 09:39:11:033,918659,918659,0,0,432081237404,4552770301,906400,9498,2761,384,391994,0 69,2,2024-09-07 09:39:11:733,662402,662373,29,0,35672674,0,6912 69,3,2024-09-07 09:39:10:763,1,525,1,0,698,7767,525,0 70,0,2024-09-07 09:39:11:531,134021,0.9,134431,1.0,270468,0.8,357708,2.50 70,1,2024-09-07 09:39:10:808,924315,924315,0,0,434529228037,4508546683,917060,6493,762,366,391725,0 70,2,2024-09-07 09:39:11:325,666701,666701,0,0,30000045,0,4323 70,3,2024-09-07 09:39:10:779,1,525,1,0,854,5693,525,0 71,0,2024-09-07 09:39:11:360,129293,1.1,129043,1.1,259336,1.5,345867,2.75 71,1,2024-09-07 09:39:11:596,921962,921962,0,0,433144174993,4521088843,910569,10138,1255,368,391738,0 71,2,2024-09-07 09:39:11:077,663000,663000,0,0,30629676,0,4352 71,3,2024-09-07 09:39:11:755,1,525,1,0,644,6253,525,0 72,0,2024-09-07 09:39:11:049,134066,0.5,131055,0.7,255675,0.5,347518,2.00 72,1,2024-09-07 09:39:11:028,920452,920452,0,0,432551430180,4537999413,907000,11170,2282,369,391819,0 72,2,2024-09-07 09:39:11:769,660947,660947,0,0,32175163,0,3983 72,3,2024-09-07 09:39:11:755,1,525,0,0,564,7546,525,0 73,0,2024-09-07 09:39:11:202,127188,0.4,130482,0.6,266809,0.4,347229,2.00 73,1,2024-09-07 09:39:10:776,923307,923307,0,0,433241949286,4498384398,917071,5811,425,367,391858,0 73,2,2024-09-07 09:39:11:745,663355,663355,0,0,32591523,0,4728 73,3,2024-09-07 09:39:10:974,1,525,1,0,486,6561,525,0 74,0,2024-09-07 09:39:11:332,138158,0.5,141390,0.7,269686,0.5,366139,2.00 74,1,2024-09-07 09:39:10:638,921535,921535,0,0,432604753193,4517341239,911084,8527,1924,381,391762,0 74,2,2024-09-07 09:39:11:002,663764,663764,0,0,28426756,0,4253 74,3,2024-09-07 09:39:11:442,1,525,1,0,522,6300,525,0 75,0,2024-09-07 09:39:11:779,132190,0.6,131332,0.8,263726,0.6,352428,2.25 75,1,2024-09-07 09:39:11:590,922640,922640,0,0,433209450777,4515915611,914746,7032,862,380,391739,0 75,2,2024-09-07 09:39:11:351,665339,665339,0,0,34125341,0,4766 75,3,2024-09-07 09:39:11:071,1,525,4,0,702,6216,525,0 76,0,2024-09-07 09:39:10:675,128370,0.5,127688,0.7,255904,0.5,342283,2.25 76,1,2024-09-07 09:39:10:805,922009,922009,0,0,433216295118,4517880105,915380,5662,967,382,391723,0 76,2,2024-09-07 09:39:11:068,664372,664369,3,0,28626230,0,5265 76,3,2024-09-07 09:39:11:159,1,525,1,0,227,4858,525,0 77,0,2024-09-07 09:39:11:701,128452,0.5,128820,0.7,257807,0.5,342489,2.00 77,1,2024-09-07 09:39:10:825,922442,922442,0,0,433248065865,4518107536,915605,6242,595,381,391869,0 77,2,2024-09-07 09:39:11:285,662353,662353,0,0,28211809,0,3890 77,3,2024-09-07 09:39:11:095,1,525,1,0,401,5602,525,0 78,0,2024-09-07 09:39:11:721,135143,0.4,134641,0.6,270197,0.4,358108,2.00 78,1,2024-09-07 09:39:10:620,922289,922289,0,0,433454049833,4519220392,911517,8246,2526,367,391670,0 78,2,2024-09-07 09:39:11:412,665584,665571,13,0,26203071,0,8313 78,3,2024-09-07 09:39:11:140,1,525,15,0,311,4580,525,0 79,0,2024-09-07 09:39:11:351,128580,0.4,131586,0.6,269547,0.4,350965,2.25 79,1,2024-09-07 09:39:10:572,925000,925000,0,0,433935884206,4495992399,917263,6380,1357,367,391682,0 79,2,2024-09-07 09:39:11:085,668266,668266,0,0,25254724,0,4195 79,3,2024-09-07 09:39:10:766,1,525,1,0,418,6304,525,0 80,0,2024-09-07 09:39:11:096,128302,0.5,131793,0.7,252238,0.5,341373,2.00 80,1,2024-09-07 09:39:11:634,922238,922238,0,0,433363856026,4509403593,916409,5401,428,368,392269,0 80,2,2024-09-07 09:39:11:092,663888,663888,0,0,25708819,0,4433 80,3,2024-09-07 09:39:10:576,1,525,1,0,296,6216,525,0 81,0,2024-09-07 09:39:11:554,128018,0.5,131070,0.7,249925,0.5,339692,2.00 81,1,2024-09-07 09:39:11:661,921165,921165,0,0,433058975125,4525851771,913763,6763,639,382,391885,0 81,2,2024-09-07 09:39:11:126,662023,661960,63,0,28701559,0,5932 81,3,2024-09-07 09:39:11:125,1,525,0,0,719,5974,525,0 82,0,2024-09-07 09:39:11:563,133216,0.5,133452,0.7,267260,0.4,355055,2.00 82,1,2024-09-07 09:39:10:583,923739,923735,0,4,434236478632,4513366292,918655,4259,821,381,391768,4 82,2,2024-09-07 09:39:11:698,666321,666321,0,0,23908928,0,4484 82,3,2024-09-07 09:39:11:758,1,525,1,0,363,4988,525,0 83,0,2024-09-07 09:39:11:529,134606,0.6,134648,0.8,268623,0.7,356718,2.25 83,1,2024-09-07 09:39:10:557,922699,922699,0,0,432843233886,4511236047,916342,5902,455,382,391709,0 83,2,2024-09-07 09:39:10:766,667697,667672,25,0,25937895,0,5612 83,3,2024-09-07 09:39:10:761,1,525,8,0,1260,6510,525,0 84,0,2024-09-07 09:39:11:780,128716,0.7,128930,0.9,257414,0.7,343953,2.25 84,1,2024-09-07 09:39:11:073,921029,921029,0,0,432504228278,4521485886,910791,8713,1525,367,391967,0 84,2,2024-09-07 09:39:10:720,662083,662053,30,0,34161463,0,5971 84,3,2024-09-07 09:39:11:142,1,525,1,0,908,7404,525,0 85,0,2024-09-07 09:39:11:008,124516,0.5,124578,0.7,264327,0.5,341612,2.25 85,1,2024-09-07 09:39:10:560,917820,917820,0,0,431924087901,4560826649,903546,11616,2658,381,392092,0 85,2,2024-09-07 09:39:10:866,660982,660982,0,0,31896565,0,4255 85,3,2024-09-07 09:39:10:691,1,525,6,0,789,6056,525,0 86,0,2024-09-07 09:39:10:983,131410,0.6,135017,0.7,258372,0.6,350200,2.25 86,1,2024-09-07 09:39:10:828,920728,920728,0,0,432773063436,4534114192,909425,9326,1977,366,391995,0 86,2,2024-09-07 09:39:10:863,662242,662241,1,0,34389783,0,5004 86,3,2024-09-07 09:39:10:587,1,525,0,0,308,7551,525,0 87,0,2024-09-07 09:39:11:318,136525,0.9,136332,0.9,272527,1.1,363910,2.25 87,1,2024-09-07 09:39:10:557,919719,919719,0,0,432213223401,4529242603,907513,10490,1716,366,392076,0 87,2,2024-09-07 09:39:11:074,664808,664802,6,0,30357437,0,6323 87,3,2024-09-07 09:39:11:803,1,525,10,0,473,7659,525,0 88,0,2024-09-07 09:39:11:505,131343,0.5,131987,0.6,263663,0.4,350995,1.75 88,1,2024-09-07 09:39:10:575,919310,919310,0,0,432031014762,4525380104,906665,10029,2616,365,392084,0 88,2,2024-09-07 09:39:10:695,665387,665387,0,0,32823240,0,4465 88,3,2024-09-07 09:39:11:268,1,525,3,0,435,6688,525,0 89,0,2024-09-07 09:39:11:811,133106,0.4,129048,0.6,254860,0.4,346529,1.75 89,1,2024-09-07 09:39:10:560,919879,919879,0,0,432069227149,4545505956,908462,9600,1817,382,391866,0 89,2,2024-09-07 09:39:11:164,661632,661632,0,0,31165704,0,3173 89,3,2024-09-07 09:39:11:799,1,525,10,0,468,8661,525,0 90,0,2024-09-07 09:39:11:646,125916,0.4,129485,0.6,263851,0.4,344434,1.75 90,1,2024-09-07 09:39:10:601,921002,921002,0,0,432373979458,4525452716,912488,7781,733,380,391825,0 90,2,2024-09-07 09:39:11:413,661000,661000,0,0,33391515,0,3608 90,3,2024-09-07 09:39:10:931,1,525,17,0,322,6411,525,0 91,0,2024-09-07 09:39:10:951,136051,0.5,131895,0.6,275815,0.4,362513,1.75 91,1,2024-09-07 09:39:10:558,919247,919247,0,0,431296851562,4535298272,907647,9588,2012,381,392047,0 91,2,2024-09-07 09:39:11:345,664310,664310,0,0,29480111,0,4713 91,3,2024-09-07 09:39:10:600,1,525,9,0,216,4983,525,0 92,0,2024-09-07 09:39:11:471,134457,0.5,137454,0.6,262015,0.5,355289,1.75 92,1,2024-09-07 09:39:10:596,921569,921569,0,0,433050711615,4523786550,914056,6306,1207,381,392136,0 92,2,2024-09-07 09:39:11:354,667904,667904,0,0,26525309,0,3259 92,3,2024-09-07 09:39:11:009,1,525,2,0,167,4529,525,0 93,0,2024-09-07 09:39:11:005,129499,0.4,132766,0.6,253436,0.3,343613,1.75 93,1,2024-09-07 09:39:10:806,922314,922314,0,0,433303329824,4523185621,912030,8550,1734,366,391776,0 93,2,2024-09-07 09:39:10:935,661875,661875,0,0,32160622,0,4913 93,3,2024-09-07 09:39:11:415,1,525,7,0,190,4733,525,0 94,0,2024-09-07 09:39:11:655,127837,0.3,128598,0.5,257034,0.3,341365,1.75 94,1,2024-09-07 09:39:10:563,922376,922376,0,0,433796516296,4523378228,916273,5728,375,381,391850,0 94,2,2024-09-07 09:39:10:767,662723,662695,28,0,27515502,0,6179 94,3,2024-09-07 09:39:11:706,1,525,1,0,576,6590,525,0 95,0,2024-09-07 09:39:11:404,134253,0.3,134046,0.5,268423,0.3,358093,1.75 95,1,2024-09-07 09:39:10:854,923523,923523,0,0,433906886112,4508937572,916165,6699,659,365,391852,0 95,2,2024-09-07 09:39:11:022,663741,663741,0,0,26276622,0,3308 95,3,2024-09-07 09:39:11:715,1,525,81,0,718,8161,525,0 96,0,2024-09-07 09:39:11:030,134330,0.4,134459,0.5,268760,0.3,356837,1.75 96,1,2024-09-07 09:39:11:584,921936,921936,0,0,432344059789,4508784056,915541,5481,914,384,391955,0 96,2,2024-09-07 09:39:11:268,668454,668454,0,0,27279587,0,4180 96,3,2024-09-07 09:39:11:149,1,525,0,0,411,5546,525,0 97,0,2024-09-07 09:39:11:320,128674,0.3,128550,0.5,258142,0.3,342354,1.50 97,1,2024-09-07 09:39:10:766,923935,923935,0,0,433859672829,4499483803,918177,4813,945,367,392140,0 97,2,2024-09-07 09:39:10:620,663523,663523,0,0,26698798,0,3679 97,3,2024-09-07 09:39:10:570,1,525,1,0,242,6061,525,0 98,0,2024-09-07 09:39:11:703,127977,0.3,128150,0.4,257046,0.2,341105,1.50 98,1,2024-09-07 09:39:10:586,923087,923087,0,0,433118277229,4509447892,917677,4610,800,381,391997,0 98,2,2024-09-07 09:39:10:776,662883,662883,0,0,26256474,0,4336 98,3,2024-09-07 09:39:10:704,1,525,71,0,840,8098,525,0 99,0,2024-09-07 09:39:11:586,132360,0.3,133104,0.4,264902,0.3,353950,1.75 99,1,2024-09-07 09:39:11:731,923173,923173,0,0,433043583410,4506574149,917203,5042,928,380,392069,0 99,2,2024-09-07 09:39:11:437,664678,664678,0,0,31595662,0,4276 99,3,2024-09-07 09:39:10:588,1,525,10,0,606,5252,525,0 100,0,2024-09-07 09:39:11:473,135314,0.8,135604,1.0,270588,1.0,361181,2.50 100,1,2024-09-07 09:39:10:564,918216,918216,0,0,431142859151,4558278071,903874,11243,3099,378,391989,0 100,2,2024-09-07 09:39:11:819,664458,664447,11,0,31172361,0,5417 100,3,2024-09-07 09:39:11:737,1,525,0,0,627,9419,525,0 101,0,2024-09-07 09:39:11:724,133282,1.2,129925,1.1,254663,1.2,348160,2.25 101,1,2024-09-07 09:39:10:559,919529,919529,0,0,432105379203,4540087553,907133,9995,2401,368,391847,0 101,2,2024-09-07 09:39:11:759,659866,659866,0,0,36118601,0,4871 101,3,2024-09-07 09:39:10:947,1,525,0,0,1250,8087,525,0 102,0,2024-09-07 09:39:11:031,126264,0.6,130151,0.7,264177,0.5,345643,2.00 102,1,2024-09-07 09:39:11:170,919585,919585,0,0,431263436077,4529681243,907372,10192,2021,369,391891,0 102,2,2024-09-07 09:39:11:748,662024,661970,54,0,30492217,0,6768 102,3,2024-09-07 09:39:11:622,1,525,3,0,466,6118,525,0 103,0,2024-09-07 09:39:11:747,134827,0.5,134819,0.7,254121,0.5,349908,2.00 103,1,2024-09-07 09:39:11:652,918102,918102,0,0,431668369563,4556296770,903911,10992,3199,381,391862,0 103,2,2024-09-07 09:39:10:590,662024,662024,0,0,32314002,0,3766 103,3,2024-09-07 09:39:10:769,1,525,8,0,916,6262,525,0 104,0,2024-09-07 09:39:11:035,136119,0.7,136244,0.9,271894,0.7,364118,2.25 104,1,2024-09-07 09:39:11:620,920833,920833,0,0,431739962582,4534751635,907773,10509,2551,365,392168,0 104,2,2024-09-07 09:39:11:685,662011,662011,0,0,31344153,0,3941 104,3,2024-09-07 09:39:11:416,1,525,2,0,1245,9161,525,0 105,0,2024-09-07 09:39:11:049,130523,1.0,127230,1.1,266560,1.1,350489,2.75 105,1,2024-09-07 09:39:10:559,922016,922016,0,0,433139579386,4536989784,910415,9833,1768,365,392009,0 105,2,2024-09-07 09:39:11:333,665186,665186,0,0,31529104,0,4360 105,3,2024-09-07 09:39:11:306,1,525,4,0,399,7461,525,0 106,0,2024-09-07 09:39:11:091,124516,0.7,127505,0.9,261235,0.8,340977,2.50 106,1,2024-09-07 09:39:11:760,921055,921055,0,0,432399197161,4535857222,908436,10970,1649,368,391914,0 106,2,2024-09-07 09:39:10:763,661040,661040,0,0,29658976,0,2920 106,3,2024-09-07 09:39:10:693,1,525,5,0,1224,7933,525,0 107,0,2024-09-07 09:39:11:101,128613,0.7,128700,0.8,257309,0.8,343453,2.00 107,1,2024-09-07 09:39:10:588,919140,919140,0,0,431307503932,4547079725,906236,11511,1393,381,392234,0 107,2,2024-09-07 09:39:11:295,660567,660566,1,0,32471871,0,5024 107,3,2024-09-07 09:39:11:762,1,525,29,0,733,7945,525,0 108,0,2024-09-07 09:39:11:801,134621,0.4,135361,0.6,269469,0.4,359679,1.75 108,1,2024-09-07 09:39:11:303,921810,921810,0,0,433780551386,4524038701,913895,6944,971,367,391857,0 108,2,2024-09-07 09:39:11:759,663320,663320,0,0,28999036,0,4246 108,3,2024-09-07 09:39:11:338,1,525,2,0,749,10303,525,0 109,0,2024-09-07 09:39:11:795,133835,0.4,132593,0.6,265745,0.4,355129,1.75 109,1,2024-09-07 09:39:10:596,919220,919220,0,0,431860418916,4530400928,910924,7080,1216,382,392132,0 109,2,2024-09-07 09:39:10:928,666060,666060,0,0,29012087,0,3617 109,3,2024-09-07 09:39:11:141,1,525,12,0,630,6545,525,0 110,0,2024-09-07 09:39:11:755,128318,0.4,124840,0.6,261476,0.3,342626,1.75 110,1,2024-09-07 09:39:11:646,922984,922984,0,0,433988158973,4506940526,915453,5609,1922,368,392045,0 110,2,2024-09-07 09:39:11:314,662427,662427,0,0,27325736,0,4067 110,3,2024-09-07 09:39:10:698,1,525,8,0,722,7282,525,0 111,0,2024-09-07 09:39:11:437,128302,0.3,127632,0.5,255600,0.3,341012,1.75 111,1,2024-09-07 09:39:11:000,924642,924642,0,0,435291325459,4512242371,920098,4187,357,380,391690,0 111,2,2024-09-07 09:39:11:117,662260,662260,0,0,27943812,0,4823 111,3,2024-09-07 09:39:10:917,1,525,7,0,379,5962,525,0 112,0,2024-09-07 09:39:10:937,134201,0.3,133569,0.4,267983,0.2,356037,1.50 112,1,2024-09-07 09:39:10:825,923144,923144,0,0,433670827806,4501334263,917244,5209,691,380,391624,0 112,2,2024-09-07 09:39:11:137,664355,664354,1,0,26453051,0,5036 112,3,2024-09-07 09:39:10:596,1,525,6,0,282,4989,525,0 113,0,2024-09-07 09:39:10:870,134879,0.3,134785,0.5,269768,0.3,358937,1.75 113,1,2024-09-07 09:39:11:687,926063,926063,0,0,434821855193,4486193298,920811,4439,813,365,391661,0 113,2,2024-09-07 09:39:11:302,670333,670333,0,0,24135649,0,3813 113,3,2024-09-07 09:39:10:692,1,525,5,0,340,5519,525,0 114,0,2024-09-07 09:39:10:880,130229,0.3,131034,0.5,260436,0.2,347945,1.75 114,1,2024-09-07 09:39:10:716,923282,923282,0,0,433920177144,4505249157,916373,5293,1616,381,391565,0 114,2,2024-09-07 09:39:10:880,664421,664420,1,0,25995554,0,5069 114,3,2024-09-07 09:39:11:278,1,525,1,0,395,4244,525,0 115,0,2024-09-07 09:39:10:561,129873,0.3,130404,0.4,259969,0.2,345262,1.50 115,1,2024-09-07 09:39:10:571,923948,923948,0,0,433662054757,4505405494,916548,6043,1357,382,391757,0 115,2,2024-09-07 09:39:11:127,665192,665192,0,0,25541285,0,4382 115,3,2024-09-07 09:39:11:002,1,525,1,0,167,3016,525,0 116,0,2024-09-07 09:39:11:756,131055,0.6,131064,0.8,262566,0.6,351199,2.00 116,1,2024-09-07 09:39:10:809,919118,919118,0,0,431737278305,4549535848,908456,8216,2446,380,392089,0 116,2,2024-09-07 09:39:11:768,662830,662830,0,0,32750795,0,4475 116,3,2024-09-07 09:39:10:928,1,525,38,0,415,6476,525,0 117,0,2024-09-07 09:39:10:960,136821,0.7,136234,0.8,273006,0.8,364577,2.00 117,1,2024-09-07 09:39:11:583,920161,920161,0,0,432537630387,4526857277,910133,8803,1225,369,392033,0 117,2,2024-09-07 09:39:11:118,666764,666764,0,0,28717434,0,4303 117,3,2024-09-07 09:39:11:062,1,525,5,0,490,7177,525,0 118,0,2024-09-07 09:39:11:789,127479,0.6,131026,0.7,267030,0.6,349475,2.00 118,1,2024-09-07 09:39:10:621,919622,919622,0,0,431458425594,4534952118,906117,10382,3123,366,392054,0 118,2,2024-09-07 09:39:11:586,664202,664202,0,0,31235962,0,2842 118,3,2024-09-07 09:39:11:777,1,525,1,0,248,5905,525,0 119,0,2024-09-07 09:39:11:358,128983,0.6,129453,0.8,258675,0.6,344680,2.00 119,1,2024-09-07 09:39:10:548,920663,920663,0,0,433089139218,4537107809,908934,9962,1767,367,391857,0 119,2,2024-09-07 09:39:11:267,662494,662494,0,0,29047489,0,4174 119,3,2024-09-07 09:39:11:358,1,525,2,0,1358,9753,525,0 120,0,2024-09-07 09:39:11:571,129159,0.6,128806,0.8,258980,0.6,345319,2.25 120,1,2024-09-07 09:39:10:865,921074,921074,0,0,432211600323,4528765645,911774,8520,780,367,392144,0 120,2,2024-09-07 09:39:10:799,662064,662063,1,0,33697918,0,5281 120,3,2024-09-07 09:39:11:303,1,525,17,0,279,6552,525,0 121,0,2024-09-07 09:39:11:717,135725,1.3,135163,1.1,270758,1.8,361651,2.25 121,1,2024-09-07 09:39:11:666,921415,921415,0,0,432568968796,4520449029,912676,8038,701,366,391840,0 121,2,2024-09-07 09:39:11:131,662829,662829,0,0,30960505,0,4157 121,3,2024-09-07 09:39:10:736,1,525,1,0,330,6295,525,0 122,0,2024-09-07 09:39:11:765,132376,0.9,128791,0.9,269864,1.0,355148,2.25 122,1,2024-09-07 09:39:10:864,919848,919848,0,0,432316367569,4534177997,907391,10571,1886,366,392130,0 122,2,2024-09-07 09:39:11:325,667666,667591,75,0,35217465,0,5989 122,3,2024-09-07 09:39:10:595,1,525,1,0,512,8837,525,0 123,0,2024-09-07 09:39:10:954,128254,0.8,124819,0.9,261405,0.9,342205,2.25 123,1,2024-09-07 09:39:10:557,920426,920426,0,0,432238087629,4546447557,905214,12707,2505,369,392039,0 123,2,2024-09-07 09:39:11:039,659939,659938,1,0,29878240,0,5215 123,3,2024-09-07 09:39:11:132,1,525,1,0,478,6099,525,0 124,0,2024-09-07 09:39:10:939,132025,0.3,131997,0.5,248730,0.3,342472,1.75 124,1,2024-09-07 09:39:11:030,923467,923467,0,0,432883557059,4499867080,916653,5682,1132,365,392178,0 124,2,2024-09-07 09:39:11:010,664050,663997,53,0,27242221,0,6487 124,3,2024-09-07 09:39:10:771,1,525,2,0,490,5473,525,0 125,0,2024-09-07 09:39:11:440,134032,0.4,133707,0.5,268778,0.3,358044,1.75 125,1,2024-09-07 09:39:10:870,922053,922053,0,0,432796470816,4516994638,915071,5998,984,382,391813,0 125,2,2024-09-07 09:39:11:116,666602,666602,0,0,27313679,0,4534 125,3,2024-09-07 09:39:11:125,1,525,0,0,709,6175,525,0 126,0,2024-09-07 09:39:11:447,134298,0.4,138100,0.6,264217,0.4,357507,1.75 126,1,2024-09-07 09:39:10:558,924850,924850,0,0,433978661953,4491756777,920164,4375,311,365,391987,0 126,2,2024-09-07 09:39:10:612,668766,668766,0,0,28123200,0,4539 126,3,2024-09-07 09:39:10:916,1,525,1,0,268,5843,525,0 127,0,2024-09-07 09:39:11:596,128961,0.3,129296,0.5,258002,0.3,342653,1.75 127,1,2024-09-07 09:39:10:569,923101,923101,0,0,432752009332,4503144538,913731,7866,1504,364,392187,0 127,2,2024-09-07 09:39:10:638,661659,661655,4,0,26745619,0,5305 127,3,2024-09-07 09:39:11:267,1,525,5,0,968,5201,525,0 128,0,2024-09-07 09:39:11:525,128890,0.3,128931,0.4,257428,0.2,342030,1.50 128,1,2024-09-07 09:39:11:624,922030,922030,0,0,433532222811,4502934652,915553,5741,736,367,392031,0 128,2,2024-09-07 09:39:11:387,664188,664188,0,0,24961057,0,3171 128,3,2024-09-07 09:39:10:794,1,525,10,0,1082,8348,525,0 129,0,2024-09-07 09:39:10:996,133484,0.3,132851,0.4,266319,0.3,354239,1.50 129,1,2024-09-07 09:39:10:571,919589,919589,0,0,431075388880,4517343113,910560,7084,1945,379,391962,0 129,2,2024-09-07 09:39:10:692,664934,664930,4,0,27286983,0,5335 129,3,2024-09-07 09:39:10:691,1,525,1,0,506,7379,525,0 130,0,2024-09-07 09:39:11:717,136442,0.4,135962,0.6,272688,0.4,362634,1.75 130,1,2024-09-07 09:39:10:626,923145,923145,0,0,434239688551,4509809068,918348,4409,388,381,391825,0 130,2,2024-09-07 09:39:11:127,667451,667451,0,0,27302165,0,4067 130,3,2024-09-07 09:39:11:290,1,525,1,0,960,7318,525,0 131,0,2024-09-07 09:39:11:925,130851,0.4,131235,0.5,262969,0.3,348954,1.75 131,1,2024-09-07 09:39:11:829,922668,922668,0,0,433095457061,4517291528,915784,5692,1192,381,391865,0 131,2,2024-09-07 09:39:10:573,664922,664922,0,0,25280958,0,3979 131,3,2024-09-07 09:39:11:692,1,525,1,0,392,6382,525,0 132,0,2024-09-07 09:39:11:437,129904,0.4,130916,0.6,260601,0.4,346864,1.75 132,1,2024-09-07 09:39:10:577,918929,918929,0,0,431560064923,4538957229,906444,10289,2196,381,392532,0 132,2,2024-09-07 09:39:10:698,662375,662358,17,0,33209670,0,6451 132,3,2024-09-07 09:39:11:695,1,525,1,0,804,8735,525,0 133,0,2024-09-07 09:39:11:529,127339,0.4,130139,0.6,267199,0.3,347899,1.75 133,1,2024-09-07 09:39:10:588,918583,918583,0,0,431614243472,4547786333,906072,10820,1691,383,391914,0 133,2,2024-09-07 09:39:11:088,664160,664110,50,0,33608151,0,6861 133,3,2024-09-07 09:39:11:302,1,525,1,0,479,6284,525,0 134,0,2024-09-07 09:39:10:949,137447,0.5,137357,0.7,275076,0.5,366409,2.00 134,1,2024-09-07 09:39:10:587,920597,920597,0,0,431641304745,4524269048,909198,9252,2147,366,391718,0 134,2,2024-09-07 09:39:11:757,663320,663296,24,0,31629171,0,6207 134,3,2024-09-07 09:39:10:771,1,525,1,0,739,6334,525,0 135,0,2024-09-07 09:39:11:107,127859,0.9,127878,0.9,271453,1.1,350049,2.25 135,1,2024-09-07 09:39:11:588,920043,920043,0,0,432579800020,4547785449,908406,10071,1566,380,391805,0 135,2,2024-09-07 09:39:10:692,666691,666691,0,0,31870744,0,4503 135,3,2024-09-07 09:39:11:002,1,525,1,0,900,5352,525,0 136,0,2024-09-07 09:39:11:677,129397,0.5,129582,0.7,258025,0.5,344185,2.00 136,1,2024-09-07 09:39:11:442,921250,921250,0,0,431341300527,4521353060,910866,9115,1269,381,392135,0 136,2,2024-09-07 09:39:11:136,663325,663310,15,0,31059050,0,6007 136,3,2024-09-07 09:39:11:106,1,525,1,0,637,6288,525,0 137,0,2024-09-07 09:39:10:934,132835,0.5,129478,0.7,253611,0.5,344696,2.00 137,1,2024-09-07 09:39:10:585,919285,919285,0,0,432360482697,4530443267,905826,10787,2672,366,391898,0 137,2,2024-09-07 09:39:11:704,661429,661429,0,0,32721308,0,3185 137,3,2024-09-07 09:39:10:772,1,525,3,0,484,6837,525,0 138,0,2024-09-07 09:39:11:745,134218,0.8,134478,0.9,269506,0.9,358082,2.00 138,1,2024-09-07 09:39:11:694,920447,920447,0,0,433167649960,4541292358,907708,10769,1970,368,391954,0 138,2,2024-09-07 09:39:10:590,663215,663215,0,0,30077351,0,4988 138,3,2024-09-07 09:39:10:615,1,525,8,0,1200,8564,525,0 139,0,2024-09-07 09:39:11:368,132013,1.3,132438,1.0,264927,1.9,353448,2.50 139,1,2024-09-07 09:39:10:583,916226,916226,0,0,430923550231,4567954924,900283,12481,3462,380,392058,0 139,2,2024-09-07 09:39:10:809,663386,663386,0,0,33507227,0,3097 139,3,2024-09-07 09:39:11:666,1,525,4,0,432,6011,525,0 140,0,2024-09-07 09:39:11:595,129082,0.3,128235,0.5,257274,0.2,342924,1.75 140,1,2024-09-07 09:39:11:536,925679,925679,0,0,435753451610,4491201247,921097,4044,538,364,391606,0 140,2,2024-09-07 09:39:10:692,663340,663339,1,0,25493126,0,5036 140,3,2024-09-07 09:39:10:785,1,525,0,0,297,4886,525,0 141,0,2024-09-07 09:39:11:704,128155,0.3,131554,0.4,251183,0.2,340764,1.50 141,1,2024-09-07 09:39:10:862,923995,923995,0,0,435197140862,4519120612,916888,6022,1085,379,391614,0 141,2,2024-09-07 09:39:11:686,662635,662624,11,0,27098729,0,5369 141,3,2024-09-07 09:39:11:045,1,525,0,0,391,5936,525,0 142,0,2024-09-07 09:39:11:354,134350,0.3,133373,0.5,267307,0.3,356945,1.50 142,1,2024-09-07 09:39:10:586,922310,922310,0,0,433092824752,4513249590,916646,5205,459,382,392102,0 142,2,2024-09-07 09:39:11:309,663819,663787,32,0,27708939,0,6028 142,3,2024-09-07 09:39:11:748,1,525,9,0,484,5468,525,0 143,0,2024-09-07 09:39:11:379,134700,0.4,134679,0.6,270235,0.4,358900,1.75 143,1,2024-09-07 09:39:10:556,924229,924229,0,0,434641975859,4509580122,918147,5524,558,367,391722,0 143,2,2024-09-07 09:39:10:772,668731,668731,0,0,27204102,0,3123 143,3,2024-09-07 09:39:11:153,1,525,1,0,462,6574,525,0 144,0,2024-09-07 09:39:11:511,125869,0.6,129487,0.8,263316,0.6,344879,2.00 144,1,2024-09-07 09:39:10:571,919569,919569,0,0,431512619277,4527614641,910174,7427,1968,381,391733,0 144,2,2024-09-07 09:39:11:759,663629,663629,0,0,25837865,0,3673 144,3,2024-09-07 09:39:11:740,1,525,1,0,249,5104,525,0 145,0,2024-09-07 09:39:11:365,125313,0.5,125246,0.7,265967,0.5,341957,2.25 145,1,2024-09-07 09:39:10:568,919152,919152,0,0,431881433788,4545331868,906780,9905,2467,382,391759,0 145,2,2024-09-07 09:39:11:429,660227,660145,82,0,31958350,0,7814 145,3,2024-09-07 09:39:10:905,1,525,7,0,622,7449,525,0 146,0,2024-09-07 09:39:11:612,131487,0.5,130858,0.7,262905,0.5,349765,2.00 146,1,2024-09-07 09:39:11:596,920118,920118,0,0,431757607830,4538000655,905674,10643,3801,367,391770,0 146,2,2024-09-07 09:39:11:700,662476,662470,6,0,30233905,0,5151 146,3,2024-09-07 09:39:11:274,1,525,1,0,1520,9029,525,0 147,0,2024-09-07 09:39:11:700,136691,0.6,136494,0.7,272462,0.6,363774,2.25 147,1,2024-09-07 09:39:11:372,923771,923771,0,0,434536231987,4514089805,916484,6408,879,367,391791,0 147,2,2024-09-07 09:39:11:013,664601,664601,0,0,26901651,0,2968 147,3,2024-09-07 09:39:10:918,1,525,1,0,1626,8473,525,0 0,0,2024-09-07 09:39:21:785,126379,0.5,126318,0.7,268199,0.5,346589,2.00 0,1,2024-09-07 09:39:20:801,922430,922430,0,0,433288990491,4535827183,914908,6680,842,369,391896,0 0,2,2024-09-07 09:39:21:067,665835,665835,0,0,26947279,0,4480 0,3,2024-09-07 09:39:20:974,1,526,1,0,431,7253,526,0 1,0,2024-09-07 09:39:21:783,136002,0.9,135161,0.9,271617,1.2,363360,2.00 1,1,2024-09-07 09:39:20:558,921602,921602,0,0,432379501379,4530003359,912881,7253,1468,370,391859,0 1,2,2024-09-07 09:39:20:638,665524,665524,0,0,26351134,0,3380 1,3,2024-09-07 09:39:21:309,1,526,7,0,269,6551,526,0 2,0,2024-09-07 09:39:21:583,132881,0.6,132902,0.8,265437,0.7,354363,2.00 2,1,2024-09-07 09:39:20:868,924673,924673,0,0,434478017135,4514212318,919701,4055,917,379,391745,0 2,2,2024-09-07 09:39:21:266,668983,668983,0,0,25010147,0,3594 2,3,2024-09-07 09:39:20:690,1,526,1,0,357,4751,526,0 3,0,2024-09-07 09:39:21:747,128897,0.4,128723,0.6,256991,0.3,342618,2.00 3,1,2024-09-07 09:39:21:619,923398,923398,0,0,434122773176,4518376515,915929,6766,703,379,391716,0 3,2,2024-09-07 09:39:21:142,664252,664229,23,0,26041407,0,5851 3,3,2024-09-07 09:39:21:757,1,526,1,0,207,3254,526,0 4,0,2024-09-07 09:39:21:786,124634,0.3,128031,0.5,261118,0.3,341627,1.75 4,1,2024-09-07 09:39:20:599,919965,919965,0,0,431849538826,4573461315,903616,12663,3686,370,391992,0 4,2,2024-09-07 09:39:21:017,660959,660959,0,0,31945714,0,4534 4,3,2024-09-07 09:39:21:029,1,526,1,0,448,6721,526,0 5,0,2024-09-07 09:39:21:386,134433,0.4,134697,0.6,269409,0.4,358479,1.75 5,1,2024-09-07 09:39:20:754,921005,921005,0,0,433367247211,4559810143,908256,10335,2414,367,392005,0 5,2,2024-09-07 09:39:21:849,662427,662427,0,0,30975210,0,3582 5,3,2024-09-07 09:39:21:744,1,526,1,0,457,7164,526,0 6,0,2024-09-07 09:39:20:917,134314,0.5,133910,0.7,268140,0.5,357130,2.00 6,1,2024-09-07 09:39:20:746,923171,923171,0,0,433247242345,4524218024,913596,8118,1457,379,391702,0 6,2,2024-09-07 09:39:21:120,669226,669208,18,0,30010764,0,5535 6,3,2024-09-07 09:39:21:279,1,526,1,0,710,6257,526,0 7,0,2024-09-07 09:39:21:538,128112,0.5,128950,0.7,256748,0.5,341555,2.00 7,1,2024-09-07 09:39:20:850,921505,921505,0,0,432815540719,4544343217,908580,10693,2232,382,391747,0 7,2,2024-09-07 09:39:20:770,663183,663183,0,0,29142938,0,4791 7,3,2024-09-07 09:39:20:855,1,526,1,0,552,5904,526,0 8,0,2024-09-07 09:39:21:396,128694,0.3,128255,0.5,257429,0.3,342298,1.75 8,1,2024-09-07 09:39:21:015,919562,919562,0,0,432493809944,4545004737,905367,11065,3130,366,392853,0 8,2,2024-09-07 09:39:20:791,659139,659137,2,0,34861202,0,5112 8,3,2024-09-07 09:39:20:587,1,526,0,0,538,7832,526,0 9,0,2024-09-07 09:39:21:107,132724,0.3,129148,0.5,270597,0.3,355111,1.75 9,1,2024-09-07 09:39:20:550,920072,920072,0,0,432888697954,4558191520,906580,10841,2651,369,392001,0 9,2,2024-09-07 09:39:21:091,664121,664120,1,0,31561793,0,5281 9,3,2024-09-07 09:39:21:771,1,526,27,0,1273,8747,526,0 10,0,2024-09-07 09:39:21:604,135664,0.4,135259,0.5,271243,0.3,361051,1.75 10,1,2024-09-07 09:39:20:587,922369,922369,0,0,432224644954,4527515994,910002,10277,2090,381,391869,0 10,2,2024-09-07 09:39:20:763,668266,668266,0,0,34317305,0,4264 10,3,2024-09-07 09:39:20:872,1,526,0,0,649,5507,526,0 11,0,2024-09-07 09:39:21:006,130619,0.5,126795,0.6,265103,0.4,349104,1.75 11,1,2024-09-07 09:39:20:572,923511,923511,0,0,434011278175,4549525662,910034,10015,3462,383,391756,0 11,2,2024-09-07 09:39:21:124,663955,663955,0,0,30087279,0,4130 11,3,2024-09-07 09:39:21:301,1,526,0,0,843,7117,526,0 12,0,2024-09-07 09:39:20:973,130800,0.4,130867,0.5,261700,0.3,347634,1.75 12,1,2024-09-07 09:39:20:945,922966,922966,0,0,433083309386,4517390784,915292,6790,884,370,391960,0 12,2,2024-09-07 09:39:21:555,663307,663307,0,0,29931528,0,4390 12,3,2024-09-07 09:39:21:059,1,526,3,0,386,7054,526,0 13,0,2024-09-07 09:39:21:352,131791,0.4,131635,0.5,262468,0.4,350328,1.75 13,1,2024-09-07 09:39:21:527,920641,920641,0,0,432376961039,4543984396,911011,7335,2295,382,391740,0 13,2,2024-09-07 09:39:20:613,666380,666380,0,0,26831357,0,3287 13,3,2024-09-07 09:39:21:765,1,526,5,0,522,7308,526,0 14,0,2024-09-07 09:39:20:560,137366,0.4,138377,0.6,274629,0.4,365599,1.75 14,1,2024-09-07 09:39:21:568,927260,927260,0,0,435677230129,4507215608,920348,6184,728,364,391673,0 14,2,2024-09-07 09:39:20:764,666335,666305,30,0,28167637,0,6104 14,3,2024-09-07 09:39:21:120,1,526,11,0,1168,6024,526,0 15,0,2024-09-07 09:39:21:574,131910,0.4,131371,0.7,263634,0.4,351535,2.00 15,1,2024-09-07 09:39:21:608,924456,924456,0,0,434136674802,4520711827,916722,6249,1485,381,391619,0 15,2,2024-09-07 09:39:20:997,669599,669599,0,0,23727806,0,3622 15,3,2024-09-07 09:39:21:406,1,526,0,0,1126,7317,526,0 16,0,2024-09-07 09:39:20:933,128932,0.5,129675,0.7,258570,0.5,344034,2.00 16,1,2024-09-07 09:39:20:577,923986,923986,0,0,433158154279,4528233508,915563,7121,1302,370,392194,0 16,2,2024-09-07 09:39:21:435,663154,663154,0,0,27930230,0,4719 16,3,2024-09-07 09:39:21:142,1,526,7,0,358,6601,526,0 17,0,2024-09-07 09:39:21:883,133191,0.5,129914,0.7,254515,0.5,345622,2.00 17,1,2024-09-07 09:39:20:580,922017,922017,0,0,432767295713,4539178564,912714,7554,1749,368,392075,0 17,2,2024-09-07 09:39:21:673,666618,666617,1,0,28698988,0,5050 17,3,2024-09-07 09:39:20:575,1,526,1,0,518,7831,526,0 18,0,2024-09-07 09:39:20:945,133834,0.6,134696,0.8,268467,0.6,358431,2.25 18,1,2024-09-07 09:39:21:646,926160,926160,0,0,434510790515,4506144527,920048,5115,997,367,391725,0 18,2,2024-09-07 09:39:21:766,667750,667750,0,0,25467985,0,3541 18,3,2024-09-07 09:39:20:896,1,526,1,0,1059,4917,526,0 19,0,2024-09-07 09:39:21:541,132840,0.7,133174,0.8,264919,0.7,353138,2.25 19,1,2024-09-07 09:39:20:566,925417,925417,0,0,435296568730,4512470397,918429,5904,1084,367,391777,0 19,2,2024-09-07 09:39:21:770,671862,671862,0,0,23943582,0,3988 19,3,2024-09-07 09:39:21:130,1,526,0,0,524,4250,526,0 20,0,2024-09-07 09:39:21:390,128386,0.5,128676,0.7,256816,0.5,342618,2.00 20,1,2024-09-07 09:39:20:567,922268,922268,0,0,433075832526,4535838823,913069,7834,1365,369,391922,0 20,2,2024-09-07 09:39:20:928,662703,662703,0,0,29072822,0,3721 20,3,2024-09-07 09:39:20:589,1,526,1,0,468,8911,526,0 21,0,2024-09-07 09:39:21:132,128111,0.4,128285,0.6,256507,0.4,340581,1.75 21,1,2024-09-07 09:39:21:554,920924,920924,0,0,431629805672,4553760795,906768,11037,3119,368,392016,0 21,2,2024-09-07 09:39:21:067,660573,660553,20,0,34039271,0,5617 21,3,2024-09-07 09:39:21:427,1,526,1,0,713,6763,526,0 22,0,2024-09-07 09:39:21:725,133791,0.4,134414,0.6,268073,0.4,356298,2.00 22,1,2024-09-07 09:39:21:023,921686,921686,0,0,432522295251,4552078356,906454,12005,3227,382,391822,0 22,2,2024-09-07 09:39:20:760,664242,664216,26,0,28526031,0,6328 22,3,2024-09-07 09:39:21:066,1,526,1,0,228,4436,526,0 23,0,2024-09-07 09:39:21:370,134561,0.5,134078,0.7,268079,0.5,357461,2.25 23,1,2024-09-07 09:39:21:003,922692,922692,0,0,433659688428,4550235253,906986,11298,4408,365,391690,0 23,2,2024-09-07 09:39:21:092,670143,670143,0,0,27213590,0,3773 23,3,2024-09-07 09:39:21:763,1,526,1,0,855,7666,526,0 24,0,2024-09-07 09:39:20:834,130826,0.4,130059,0.6,261667,0.4,347090,1.75 24,1,2024-09-07 09:39:20:581,921548,921548,0,0,432515118601,4527534116,912586,7287,1675,367,392269,0 24,2,2024-09-07 09:39:21:069,663368,663368,0,0,32266622,0,4438 24,3,2024-09-07 09:39:21:700,1,526,18,0,468,6670,526,0 25,0,2024-09-07 09:39:21:343,133093,0.4,129664,0.6,254231,0.3,345927,1.75 25,1,2024-09-07 09:39:20:561,920821,920821,0,0,432706370782,4563575888,905844,12005,2972,369,391928,0 25,2,2024-09-07 09:39:21:618,662172,662172,0,0,33602931,0,3978 25,3,2024-09-07 09:39:20:999,1,526,18,0,532,5731,526,0 26,0,2024-09-07 09:39:21:724,131349,0.4,128595,0.6,269869,0.3,351302,1.75 26,1,2024-09-07 09:39:21:547,923625,923625,0,0,433288841667,4535720919,910785,10388,2452,380,391748,0 26,2,2024-09-07 09:39:20:864,664540,664540,0,0,35632044,0,4689 26,3,2024-09-07 09:39:21:717,1,526,5,0,796,5766,526,0 27,0,2024-09-07 09:39:21:725,136743,0.4,137329,0.6,272966,0.4,363730,2.25 27,1,2024-09-07 09:39:21:679,924495,924495,0,0,435139738475,4530897105,915939,7287,1269,381,391626,0 27,2,2024-09-07 09:39:20:867,664197,664132,65,0,30752392,0,5699 27,3,2024-09-07 09:39:21:014,1,526,14,0,564,4558,526,0 28,0,2024-09-07 09:39:21:387,132002,0.4,131704,0.6,264068,0.3,351807,2.00 28,1,2024-09-07 09:39:20:801,924835,924835,0,0,434799245004,4532096960,917059,6062,1714,382,391698,0 28,2,2024-09-07 09:39:21:766,666434,666434,0,0,27049650,0,2915 28,3,2024-09-07 09:39:21:781,1,526,1,0,502,5251,526,0 29,0,2024-09-07 09:39:21:359,133265,0.3,129711,0.6,254593,0.3,347377,1.75 29,1,2024-09-07 09:39:21:568,927072,927072,0,0,435668479043,4505653876,921515,4795,762,367,391809,0 29,2,2024-09-07 09:39:20:864,663213,663213,0,0,26523220,0,4986 29,3,2024-09-07 09:39:20:964,1,526,19,0,459,5760,526,0 30,0,2024-09-07 09:39:21:463,129605,0.5,126119,0.6,264256,0.4,345372,2.00 30,1,2024-09-07 09:39:20:575,926564,926564,0,0,435765155910,4521988839,919587,6075,902,380,391672,0 30,2,2024-09-07 09:39:21:274,664944,664944,0,0,25546388,0,4192 30,3,2024-09-07 09:39:20:583,1,526,2,0,519,5067,526,0 31,0,2024-09-07 09:39:21:779,135944,0.5,136356,0.6,272250,0.4,364018,2.00 31,1,2024-09-07 09:39:20:565,929479,929479,0,0,437466009393,4490580290,924155,4313,1011,356,391712,0 31,2,2024-09-07 09:39:21:279,664600,664600,0,0,28078461,0,4470 31,3,2024-09-07 09:39:21:712,1,526,0,0,220,4452,526,0 32,0,2024-09-07 09:39:21:419,133454,0.3,134149,0.5,267106,0.3,355995,1.75 32,1,2024-09-07 09:39:20:820,925666,925666,0,0,434812563623,4519046354,919862,5095,709,381,391646,0 32,2,2024-09-07 09:39:20:935,669597,669597,0,0,24549962,0,3922 32,3,2024-09-07 09:39:21:014,1,526,4,0,304,4280,526,0 33,0,2024-09-07 09:39:21:496,129411,0.3,128936,0.4,258175,0.2,343608,1.50 33,1,2024-09-07 09:39:20:575,926273,926273,0,0,434995202569,4505539943,919040,6059,1174,368,391730,0 33,2,2024-09-07 09:39:20:764,665074,665039,35,0,27091430,0,7012 33,3,2024-09-07 09:39:20:895,1,526,1,0,329,4888,526,0 34,0,2024-09-07 09:39:20:929,128796,0.3,132304,0.4,252859,0.2,341005,1.75 34,1,2024-09-07 09:39:21:044,928048,928048,0,0,435637192380,4479930353,925538,2488,22,367,391637,0 34,2,2024-09-07 09:39:20:766,665369,665369,0,0,25607709,0,4562 34,3,2024-09-07 09:39:21:700,1,526,1,0,320,4029,526,0 35,0,2024-09-07 09:39:20:863,133757,0.3,134429,0.5,270059,0.2,359050,1.75 35,1,2024-09-07 09:39:21:067,925118,925118,0,0,434410787071,4506296252,918460,5445,1213,382,391769,0 35,2,2024-09-07 09:39:21:589,665322,665322,0,0,28200970,0,4055 35,3,2024-09-07 09:39:20:909,1,526,2,0,418,4906,526,0 36,0,2024-09-07 09:39:21:565,134658,0.5,134602,0.7,269109,0.5,357918,2.00 36,1,2024-09-07 09:39:20:586,924569,924569,0,0,433514043003,4527969303,912283,10065,2221,366,391759,0 36,2,2024-09-07 09:39:21:767,669446,669446,0,0,29678064,0,3875 36,3,2024-09-07 09:39:20:863,1,526,1,0,416,7174,526,0 37,0,2024-09-07 09:39:21:393,128346,0.5,128249,0.7,256738,0.5,342240,2.00 37,1,2024-09-07 09:39:20:575,923260,923253,0,7,434120493054,4532883995,912130,8447,2676,365,391770,0 37,2,2024-09-07 09:39:21:140,661534,661519,15,0,30098226,0,5815 37,3,2024-09-07 09:39:21:772,1,526,1,0,888,7370,526,0 38,0,2024-09-07 09:39:21:443,127748,0.4,123965,0.6,259343,0.3,339384,2.00 38,1,2024-09-07 09:39:21:608,924356,924356,0,0,433966795122,4536173809,911125,10712,2519,368,391821,0 38,2,2024-09-07 09:39:20:760,662595,662548,47,0,30247787,0,6710 38,3,2024-09-07 09:39:20:996,1,526,1,0,689,6700,526,0 39,0,2024-09-07 09:39:21:767,136155,0.5,133198,0.7,259543,0.4,354897,2.00 39,1,2024-09-07 09:39:20:717,922698,922698,0,0,433163744383,4543942855,906902,12327,3469,365,391865,0 39,2,2024-09-07 09:39:21:418,665988,665988,0,0,27940724,0,3391 39,3,2024-09-07 09:39:20:714,1,526,1,0,525,6275,526,0 40,0,2024-09-07 09:39:21:487,134380,0.9,135005,1.0,269520,1.1,359173,2.75 40,1,2024-09-07 09:39:20:576,924764,924764,0,0,432474055152,4522353778,912744,9841,2179,368,391668,0 40,2,2024-09-07 09:39:21:302,666502,666501,1,0,33357685,0,5137 40,3,2024-09-07 09:39:21:141,1,526,1,0,1028,7385,526,0 41,0,2024-09-07 09:39:21:026,130114,1.3,132950,1.1,253631,1.8,344955,3.00 41,1,2024-09-07 09:39:20:769,923005,923005,0,0,433536145191,4535554698,911076,9994,1935,369,391878,0 41,2,2024-09-07 09:39:20:760,662092,662091,1,0,32131489,0,5408 41,3,2024-09-07 09:39:21:677,1,526,0,0,366,5676,526,0 42,0,2024-09-07 09:39:21:473,129402,0.8,129080,0.9,258379,0.8,342493,2.50 42,1,2024-09-07 09:39:21:438,920096,920096,0,0,432228084481,4543961246,905081,12107,2908,380,391675,0 42,2,2024-09-07 09:39:21:132,662817,662817,0,0,31249309,0,3975 42,3,2024-09-07 09:39:21:010,1,526,1,0,892,4704,526,0 43,0,2024-09-07 09:39:20:935,129825,0.7,126461,0.9,264670,0.7,347469,2.25 43,1,2024-09-07 09:39:20:579,923699,923699,0,0,434153908921,4535502846,910830,10609,2260,366,391696,0 43,2,2024-09-07 09:39:21:742,665048,665048,0,0,30658058,0,4723 43,3,2024-09-07 09:39:21:749,1,526,4,0,571,7260,526,0 44,0,2024-09-07 09:39:20:856,137517,0.4,137488,0.6,275606,0.4,366129,1.75 44,1,2024-09-07 09:39:20:566,926267,926267,0,0,434329081764,4488026405,918469,6211,1587,356,391809,0 44,2,2024-09-07 09:39:21:287,664010,664010,0,0,25366936,0,4344 44,3,2024-09-07 09:39:21:095,1,526,0,0,817,5688,526,0 45,0,2024-09-07 09:39:21:789,130595,0.5,127562,0.7,267820,0.5,351733,2.00 45,1,2024-09-07 09:39:21:005,924946,924946,0,0,435879435853,4529528055,917433,6637,876,382,391917,0 45,2,2024-09-07 09:39:21:272,668281,668281,0,0,25728069,0,3596 45,3,2024-09-07 09:39:20:934,1,526,2,0,365,4966,526,0 46,0,2024-09-07 09:39:20:955,128631,0.5,128075,0.7,257091,0.4,341256,2.00 46,1,2024-09-07 09:39:20:588,926729,926729,0,0,434984240172,4495085915,920324,5542,863,366,391709,0 46,2,2024-09-07 09:39:20:593,664693,664693,0,0,25973660,0,4443 46,3,2024-09-07 09:39:21:130,1,526,1,0,908,6333,526,0 47,0,2024-09-07 09:39:21:101,129411,0.4,129490,0.6,259927,0.3,344695,1.75 47,1,2024-09-07 09:39:20:568,927704,927704,0,0,436201815252,4504872360,922464,4401,839,365,391641,0 47,2,2024-09-07 09:39:20:909,667309,667309,0,0,25736294,0,4477 47,3,2024-09-07 09:39:21:120,1,526,10,0,600,5924,526,0 48,0,2024-09-07 09:39:21:488,135479,0.3,135573,0.4,270534,0.2,360360,1.50 48,1,2024-09-07 09:39:21:023,925282,925282,0,0,434901224480,4517435215,919488,5221,573,384,391710,0 48,2,2024-09-07 09:39:20:710,665637,665637,0,0,23646188,0,3411 48,3,2024-09-07 09:39:20:756,1,526,1,0,339,4175,526,0 49,0,2024-09-07 09:39:21:726,136957,0.4,134318,0.5,261170,0.3,357157,1.75 49,1,2024-09-07 09:39:21:024,924719,924719,0,0,434555489187,4516282427,919151,4357,1211,382,391809,0 49,2,2024-09-07 09:39:21:799,670516,670516,0,0,26271333,0,4426 49,3,2024-09-07 09:39:21:418,1,526,1,0,992,6071,526,0 50,0,2024-09-07 09:39:21:507,129284,0.3,127578,0.5,257079,0.2,342276,1.75 50,1,2024-09-07 09:39:21:011,928058,928058,0,0,436213934873,4509022584,922112,5279,667,368,391565,0 50,2,2024-09-07 09:39:21:066,663116,663116,0,0,24021070,0,4490 50,3,2024-09-07 09:39:21:293,1,526,1,0,617,5448,526,0 51,0,2024-09-07 09:39:21:717,131558,0.3,128710,0.4,250762,0.2,342146,1.75 51,1,2024-09-07 09:39:21:682,928594,928594,0,0,436900522839,4503679713,923828,3711,1055,365,391706,0 51,2,2024-09-07 09:39:21:316,664399,664399,0,0,23287226,0,3337 51,3,2024-09-07 09:39:21:029,1,526,0,0,678,3785,526,0 52,0,2024-09-07 09:39:21:423,134405,0.5,134056,0.7,268390,0.4,357065,2.00 52,1,2024-09-07 09:39:20:575,924102,924102,0,0,432902263905,4531563323,910872,11125,2105,368,391722,0 52,2,2024-09-07 09:39:21:758,662264,662226,38,0,31096960,0,6742 52,3,2024-09-07 09:39:20:675,1,526,1,0,1782,6669,526,0 53,0,2024-09-07 09:39:21:730,134140,0.7,130101,0.8,272221,0.8,356987,2.50 53,1,2024-09-07 09:39:20:772,922152,922152,0,0,433047189967,4543870935,906789,11519,3844,367,391968,0 53,2,2024-09-07 09:39:21:298,669119,669118,1,0,28003140,0,5455 53,3,2024-09-07 09:39:20:698,1,526,1,0,308,5151,526,0 54,0,2024-09-07 09:39:21:614,127973,0.6,128331,0.8,255533,0.5,340939,2.25 54,1,2024-09-07 09:39:20:581,924325,924325,0,0,433830786388,4512541690,914258,8433,1634,366,391810,0 54,2,2024-09-07 09:39:20:865,664077,664045,32,0,31703475,0,6397 54,3,2024-09-07 09:39:20:764,1,526,0,0,676,7043,526,0 55,0,2024-09-07 09:39:21:767,124989,0.5,128932,0.7,261243,0.5,340007,2.25 55,1,2024-09-07 09:39:20:764,925482,925482,0,0,434108838448,4513608571,915799,8362,1321,365,391731,0 55,2,2024-09-07 09:39:20:733,662592,662536,56,0,29913271,0,7239 55,3,2024-09-07 09:39:20:674,1,526,1,0,304,4885,526,0 56,0,2024-09-07 09:39:21:553,134831,1.1,127005,1.1,261849,1.4,350763,2.50 56,1,2024-09-07 09:39:20:577,920060,920060,0,0,432611840467,4567194602,905736,11412,2912,381,391867,0 56,2,2024-09-07 09:39:21:303,664700,664578,122,0,31435441,0,7432 56,3,2024-09-07 09:39:21:058,1,526,1,0,705,6267,526,0 57,0,2024-09-07 09:39:20:949,134773,1.6,134683,1.3,269817,2.2,360467,3.25 57,1,2024-09-07 09:39:20:988,921649,921649,0,0,432777814102,4540216747,909443,9921,2285,366,392032,0 57,2,2024-09-07 09:39:21:316,666573,666573,0,0,32309204,0,4317 57,3,2024-09-07 09:39:21:745,1,526,1,0,455,5879,526,0 58,0,2024-09-07 09:39:20:554,129830,1.0,126100,1.0,263738,1.2,345284,2.75 58,1,2024-09-07 09:39:20:575,923297,923294,0,3,434054364217,4548183790,909491,10482,3321,367,391675,3 58,2,2024-09-07 09:39:21:071,665755,665755,0,0,30694080,0,3483 58,3,2024-09-07 09:39:21:068,1,526,1,0,1043,5814,526,0 59,0,2024-09-07 09:39:21:742,129051,0.8,129030,0.9,257109,0.8,341581,2.75 59,1,2024-09-07 09:39:20:814,921925,921925,0,0,433186833985,4549403909,907012,11774,3139,369,391653,0 59,2,2024-09-07 09:39:20:582,663065,663065,0,0,29280694,0,3727 59,3,2024-09-07 09:39:21:749,1,526,1,0,1015,6499,526,0 60,0,2024-09-07 09:39:21:722,130003,0.5,130325,0.7,260494,0.4,346421,1.75 60,1,2024-09-07 09:39:20:776,926580,926580,0,0,434986927980,4513931430,920392,5254,934,370,392031,0 60,2,2024-09-07 09:39:21:141,665044,665044,0,0,27746625,0,3811 60,3,2024-09-07 09:39:21:263,1,526,4,0,409,6114,526,0 61,0,2024-09-07 09:39:21:491,135917,0.7,136489,0.8,271750,0.7,363532,2.00 61,1,2024-09-07 09:39:20:773,923259,923259,0,0,434087615706,4544669266,913332,8346,1581,382,392127,0 61,2,2024-09-07 09:39:21:120,665475,665408,67,0,28700935,0,6411 61,3,2024-09-07 09:39:21:695,1,526,4,0,607,7507,526,0 62,0,2024-09-07 09:39:21:712,133704,0.7,137133,0.8,261850,0.7,355870,2.00 62,1,2024-09-07 09:39:21:111,928593,928587,0,6,436375125472,4496641826,924428,3879,280,365,391975,6 62,2,2024-09-07 09:39:21:644,666815,666814,1,0,27963393,0,5555 62,3,2024-09-07 09:39:21:143,1,526,3,0,482,4234,526,0 63,0,2024-09-07 09:39:21:465,129364,0.4,129099,0.6,259120,0.3,344062,1.75 63,1,2024-09-07 09:39:20:811,925621,925615,0,6,434749284025,4512124626,919857,5007,751,381,391800,6 63,2,2024-09-07 09:39:20:762,663731,663731,0,0,26992325,0,4369 63,3,2024-09-07 09:39:21:732,1,526,1,0,667,5579,526,0 64,0,2024-09-07 09:39:21:532,128020,0.5,128163,0.6,255645,0.4,340159,2.00 64,1,2024-09-07 09:39:20:754,925242,925242,0,0,434233830824,4520825875,917350,6121,1771,370,391794,0 64,2,2024-09-07 09:39:21:141,668495,668476,19,0,25916046,0,6121 64,3,2024-09-07 09:39:21:139,1,526,1,0,651,5496,526,0 65,0,2024-09-07 09:39:21:679,133542,0.6,133756,0.7,267109,0.6,356640,2.00 65,1,2024-09-07 09:39:20:863,923850,923850,0,0,433721856750,4532207390,917096,5956,798,381,391901,0 65,2,2024-09-07 09:39:21:707,665113,665113,0,0,30398843,0,3367 65,3,2024-09-07 09:39:21:706,1,526,5,0,782,6180,526,0 66,0,2024-09-07 09:39:21:782,133869,0.6,133343,0.8,266799,0.6,355203,2.25 66,1,2024-09-07 09:39:21:296,925325,925325,0,0,434414697432,4520876608,919177,5426,722,380,391743,0 66,2,2024-09-07 09:39:21:130,671161,671158,3,0,27778902,0,5455 66,3,2024-09-07 09:39:21:078,1,526,1,0,291,4513,526,0 67,0,2024-09-07 09:39:21:417,128783,0.5,128488,0.7,257548,0.5,342386,2.00 67,1,2024-09-07 09:39:20:775,925426,925425,0,1,434635862199,4523857014,919151,5460,814,380,391787,1 67,2,2024-09-07 09:39:20:582,665471,665456,15,0,26781022,0,6205 67,3,2024-09-07 09:39:21:758,1,526,15,0,595,5546,526,0 68,0,2024-09-07 09:39:20:575,128674,0.5,128547,0.7,255995,0.5,341883,2.00 68,1,2024-09-07 09:39:20:573,921154,921154,0,0,431521362129,4538631161,908758,8995,3401,381,391953,0 68,2,2024-09-07 09:39:21:050,659928,659828,100,0,34617486,0,8578 68,3,2024-09-07 09:39:20:737,1,526,3,0,417,6804,526,0 69,0,2024-09-07 09:39:21:761,132154,0.6,133078,0.8,265281,0.6,352784,2.25 69,1,2024-09-07 09:39:21:016,920438,920438,0,0,432930076875,4561464768,908179,9498,2761,384,391994,0 69,2,2024-09-07 09:39:21:736,663933,663904,29,0,35691545,0,6912 69,3,2024-09-07 09:39:20:768,1,526,12,0,698,7779,526,0 70,0,2024-09-07 09:39:21:532,134136,0.9,134533,1.0,270688,0.8,358001,2.50 70,1,2024-09-07 09:39:20:800,926064,926064,0,0,435479668812,4518167460,918809,6493,762,366,391725,0 70,2,2024-09-07 09:39:21:325,668218,668218,0,0,30010032,0,4323 70,3,2024-09-07 09:39:20:745,1,526,1,0,854,5694,526,0 71,0,2024-09-07 09:39:21:373,129413,1.1,129153,1.1,259578,1.5,346117,2.75 71,1,2024-09-07 09:39:21:611,923696,923696,0,0,434009707584,4529840999,912303,10138,1255,368,391738,0 71,2,2024-09-07 09:39:21:066,663782,663782,0,0,30633609,0,4352 71,3,2024-09-07 09:39:21:758,1,526,0,0,644,6253,526,0 72,0,2024-09-07 09:39:21:036,134294,0.5,131271,0.7,256178,0.5,348028,2.00 72,1,2024-09-07 09:39:21:023,922272,922272,0,0,433328213389,4546030401,908818,11171,2283,369,391819,0 72,2,2024-09-07 09:39:21:772,662389,662389,0,0,32203573,0,3983 72,3,2024-09-07 09:39:21:756,1,526,7,0,564,7553,526,0 73,0,2024-09-07 09:39:21:117,127550,0.4,130861,0.6,267621,0.4,348407,2.00 73,1,2024-09-07 09:39:20:766,925109,925109,0,0,433997835564,4506115062,918872,5812,425,367,391858,0 73,2,2024-09-07 09:39:21:749,664915,664915,0,0,32645138,0,4728 73,3,2024-09-07 09:39:20:970,1,526,1,0,486,6562,526,0 74,0,2024-09-07 09:39:21:342,138373,0.5,141578,0.7,270093,0.5,366455,2.00 74,1,2024-09-07 09:39:20:635,923313,923313,0,0,433408888043,4525617899,912861,8528,1924,381,391762,0 74,2,2024-09-07 09:39:21:001,665045,665045,0,0,28460329,0,4253 74,3,2024-09-07 09:39:21:443,1,526,4,0,522,6304,526,0 75,0,2024-09-07 09:39:21:783,132506,0.6,131611,0.8,264278,0.6,353212,2.25 75,1,2024-09-07 09:39:21:585,924406,924406,0,0,433999274684,4524078964,916510,7033,863,380,391739,0 75,2,2024-09-07 09:39:21:355,666278,666278,0,0,34142492,0,4766 75,3,2024-09-07 09:39:21:067,1,526,0,0,702,6216,526,0 76,0,2024-09-07 09:39:20:611,128555,0.5,127904,0.7,256295,0.5,342861,2.25 76,1,2024-09-07 09:39:20:826,923818,923818,0,0,433823924779,4524277062,917189,5662,967,382,391723,0 76,2,2024-09-07 09:39:21:071,665856,665853,3,0,28678142,0,5265 76,3,2024-09-07 09:39:21:150,1,526,4,0,227,4862,526,0 77,0,2024-09-07 09:39:21:732,128853,0.5,129247,0.7,258654,0.5,343703,2.00 77,1,2024-09-07 09:39:20:834,924122,924122,0,0,433756618808,4523443400,917285,6242,595,381,391869,0 77,2,2024-09-07 09:39:21:296,663715,663715,0,0,28246802,0,3890 77,3,2024-09-07 09:39:21:095,1,526,1,0,401,5603,526,0 78,0,2024-09-07 09:39:21:718,135433,0.4,134952,0.6,270805,0.4,358822,2.00 78,1,2024-09-07 09:39:20:610,924152,924152,0,0,434353712628,4528498202,913380,8246,2526,367,391670,0 78,2,2024-09-07 09:39:21:405,666852,666839,13,0,26245161,0,8313 78,3,2024-09-07 09:39:21:133,1,526,1,0,311,4581,526,0 79,0,2024-09-07 09:39:21:361,128865,0.4,131899,0.6,270183,0.4,351862,2.25 79,1,2024-09-07 09:39:20:580,926703,926703,0,0,434654465128,4503319095,918966,6380,1357,367,391682,0 79,2,2024-09-07 09:39:21:067,669597,669597,0,0,25292240,0,4195 79,3,2024-09-07 09:39:20:752,1,526,1,0,418,6305,526,0 80,0,2024-09-07 09:39:21:077,128449,0.5,131965,0.7,252559,0.5,341859,2.00 80,1,2024-09-07 09:39:21:637,924032,924032,0,0,434178506034,4517713270,918203,5401,428,368,392269,0 80,2,2024-09-07 09:39:21:091,665144,665144,0,0,25730680,0,4433 80,3,2024-09-07 09:39:20:575,1,526,1,0,296,6217,526,0 81,0,2024-09-07 09:39:21:542,128343,0.5,131363,0.7,250500,0.5,340603,2.00 81,1,2024-09-07 09:39:21:667,922929,922929,0,0,433691774114,4532402269,915527,6763,639,382,391885,0 81,2,2024-09-07 09:39:21:127,663296,663233,63,0,28718912,0,5932 81,3,2024-09-07 09:39:21:120,1,526,1,0,719,5975,526,0 82,0,2024-09-07 09:39:21:533,133667,0.5,133899,0.7,268189,0.4,356223,2.00 82,1,2024-09-07 09:39:20:583,925471,925467,0,4,434986701556,4521039256,920387,4259,821,381,391768,4 82,2,2024-09-07 09:39:21:701,667638,667638,0,0,23922275,0,4484 82,3,2024-09-07 09:39:21:758,1,526,14,0,363,5002,526,0 83,0,2024-09-07 09:39:21:548,134716,0.6,134758,0.8,268856,0.7,357047,2.25 83,1,2024-09-07 09:39:20:551,924489,924489,0,0,433825538830,4521265709,918132,5902,455,382,391709,0 83,2,2024-09-07 09:39:20:770,669027,669002,25,0,25954057,0,5612 83,3,2024-09-07 09:39:20:749,1,526,1,0,1260,6511,526,0 84,0,2024-09-07 09:39:21:776,128842,0.7,129059,0.9,257674,0.7,344285,2.25 84,1,2024-09-07 09:39:21:040,922851,922851,0,0,433438775961,4530974946,912613,8713,1525,367,391967,0 84,2,2024-09-07 09:39:20:573,663058,663028,30,0,34168901,0,5971 84,3,2024-09-07 09:39:21:140,1,526,1,0,908,7405,526,0 85,0,2024-09-07 09:39:21:021,124565,0.5,124613,0.7,264406,0.5,341612,2.25 85,1,2024-09-07 09:39:20:559,919600,919600,0,0,432774153060,4569517032,905326,11616,2658,381,392092,0 85,2,2024-09-07 09:39:20:865,662269,662269,0,0,31907368,0,4255 85,3,2024-09-07 09:39:20:685,1,526,1,0,789,6057,526,0 86,0,2024-09-07 09:39:20:904,131765,0.6,135432,0.7,259077,0.6,351100,2.25 86,1,2024-09-07 09:39:20:834,922523,922523,0,0,433780554904,4544345711,911220,9326,1977,366,391995,0 86,2,2024-09-07 09:39:20:862,663706,663705,1,0,34402526,0,5004 86,3,2024-09-07 09:39:20:587,1,526,3,0,308,7554,526,0 87,0,2024-09-07 09:39:21:311,136616,0.9,136429,0.9,272717,1.1,364152,2.25 87,1,2024-09-07 09:39:20:552,921486,921486,0,0,433148193233,4538764674,909280,10490,1716,366,392076,0 87,2,2024-09-07 09:39:21:073,666324,666318,6,0,30371905,0,6323 87,3,2024-09-07 09:39:21:799,1,526,3,0,473,7662,526,0 88,0,2024-09-07 09:39:21:444,131588,0.5,132226,0.6,264134,0.4,351582,1.75 88,1,2024-09-07 09:39:20:569,921117,921117,0,0,432844366807,4533756206,908470,10030,2617,365,392084,0 88,2,2024-09-07 09:39:20:696,666078,666078,0,0,32838567,0,4465 88,3,2024-09-07 09:39:21:272,1,526,3,0,435,6691,526,0 89,0,2024-09-07 09:39:21:766,133435,0.4,129353,0.6,255488,0.4,347409,1.75 89,1,2024-09-07 09:39:20:559,921658,921658,0,0,432811280057,4553153385,910240,9601,1817,382,391866,0 89,2,2024-09-07 09:39:21:134,663149,663149,0,0,31205247,0,3173 89,3,2024-09-07 09:39:21:800,1,526,2,0,468,8663,526,0 90,0,2024-09-07 09:39:21:615,126192,0.4,129806,0.6,264435,0.4,345138,1.75 90,1,2024-09-07 09:39:20:594,922721,922721,0,0,432961235247,4531664318,914207,7781,733,380,391825,0 90,2,2024-09-07 09:39:21:409,662496,662496,0,0,33435271,0,3608 90,3,2024-09-07 09:39:20:936,1,526,65,0,322,6476,526,0 91,0,2024-09-07 09:39:20:945,136556,0.5,132345,0.6,276862,0.4,364033,1.75 91,1,2024-09-07 09:39:20:556,920978,920978,0,0,432250903745,4545039997,909375,9591,2012,381,392047,0 91,2,2024-09-07 09:39:21:342,665368,665368,0,0,29496877,0,4713 91,3,2024-09-07 09:39:20:599,1,526,12,0,216,4995,526,0 92,0,2024-09-07 09:39:21:444,134789,0.5,137825,0.6,262626,0.5,356128,1.75 92,1,2024-09-07 09:39:20:584,923310,923310,0,0,433896065592,4532468069,915797,6306,1207,381,392136,0 92,2,2024-09-07 09:39:21:351,668938,668938,0,0,26553246,0,3259 92,3,2024-09-07 09:39:21:009,1,526,0,0,167,4529,526,0 93,0,2024-09-07 09:39:20:961,129667,0.4,132924,0.6,253781,0.3,344013,1.75 93,1,2024-09-07 09:39:20:812,924099,924099,0,0,433876821343,4529126929,913809,8556,1734,366,391776,0 93,2,2024-09-07 09:39:20:938,663291,663291,0,0,32195828,0,4913 93,3,2024-09-07 09:39:21:408,1,526,1,0,190,4734,526,0 94,0,2024-09-07 09:39:21:601,128098,0.3,128844,0.5,257543,0.3,341999,1.75 94,1,2024-09-07 09:39:20:564,924122,924122,0,0,434767467519,4533332471,918016,5731,375,381,391850,0 94,2,2024-09-07 09:39:20:763,664019,663991,28,0,27541450,0,6179 94,3,2024-09-07 09:39:21:700,1,526,5,0,576,6595,526,0 95,0,2024-09-07 09:39:21:374,134615,0.3,134433,0.5,269167,0.3,359109,1.75 95,1,2024-09-07 09:39:20:850,925379,925379,0,0,434758050128,4517637383,918021,6699,659,365,391852,0 95,2,2024-09-07 09:39:21:021,664766,664766,0,0,26300985,0,3308 95,3,2024-09-07 09:39:21:713,1,526,26,0,718,8187,526,0 96,0,2024-09-07 09:39:21:057,134484,0.4,134607,0.5,269100,0.3,357304,1.75 96,1,2024-09-07 09:39:21:589,923650,923650,0,0,433237811704,4517874799,917254,5481,915,384,391955,0 96,2,2024-09-07 09:39:21:268,669795,669795,0,0,27294863,0,4180 96,3,2024-09-07 09:39:21:144,1,526,0,0,411,5546,526,0 97,0,2024-09-07 09:39:21:338,128772,0.3,128661,0.5,258343,0.3,342599,1.50 97,1,2024-09-07 09:39:20:780,925700,925700,0,0,434722889483,4508273707,919942,4813,945,367,392140,0 97,2,2024-09-07 09:39:20:607,664769,664769,0,0,26716046,0,3679 97,3,2024-09-07 09:39:20:568,1,526,2,0,242,6063,526,0 98,0,2024-09-07 09:39:21:702,128071,0.3,128240,0.4,257268,0.2,341415,1.50 98,1,2024-09-07 09:39:20:575,924775,924775,0,0,433884478784,4517285014,919363,4612,800,381,391997,0 98,2,2024-09-07 09:39:20:769,664165,664165,0,0,26274673,0,4336 98,3,2024-09-07 09:39:20:704,1,526,2,0,840,8100,526,0 99,0,2024-09-07 09:39:21:462,132792,0.3,133586,0.4,265771,0.3,355274,1.75 99,1,2024-09-07 09:39:21:723,924875,924875,0,0,433591990306,4512247822,918903,5044,928,380,392069,0 99,2,2024-09-07 09:39:21:418,666062,666062,0,0,31638062,0,4276 99,3,2024-09-07 09:39:20:602,1,526,12,0,606,5264,526,0 100,0,2024-09-07 09:39:21:482,135422,0.8,135708,1.0,270804,1.0,361486,2.50 100,1,2024-09-07 09:39:20:548,919994,919994,0,0,431808299239,4565143415,905652,11243,3099,378,391989,0 100,2,2024-09-07 09:39:21:857,665946,665935,11,0,31184731,0,5417 100,3,2024-09-07 09:39:21:744,1,526,1,0,627,9420,526,0 101,0,2024-09-07 09:39:21:724,133396,1.2,130039,1.1,254892,1.2,348410,2.25 101,1,2024-09-07 09:39:20:553,921375,921375,0,0,433022119380,4549427097,908979,9995,2401,368,391847,0 101,2,2024-09-07 09:39:21:770,660605,660605,0,0,36125159,0,4871 101,3,2024-09-07 09:39:20:944,1,526,1,0,1250,8088,526,0 102,0,2024-09-07 09:39:20:988,126478,0.6,130380,0.7,264584,0.5,346150,2.00 102,1,2024-09-07 09:39:21:166,921324,921324,0,0,432112269135,4538338353,909110,10193,2021,369,391891,0 102,2,2024-09-07 09:39:21:743,663450,663396,54,0,30503463,0,6768 102,3,2024-09-07 09:39:21:617,1,526,0,0,466,6118,526,0 103,0,2024-09-07 09:39:21:596,135237,0.5,135227,0.7,254895,0.5,351108,2.00 103,1,2024-09-07 09:39:21:625,919867,919867,0,0,432454601095,4564371207,905675,10993,3199,381,391862,0 103,2,2024-09-07 09:39:20:583,663580,663580,0,0,32329056,0,3766 103,3,2024-09-07 09:39:20:755,1,526,2,0,916,6264,526,0 104,0,2024-09-07 09:39:21:009,136327,0.7,136439,0.9,272310,0.7,364405,2.25 104,1,2024-09-07 09:39:21:608,922640,922640,0,0,432509528375,4542638860,909579,10510,2551,365,392168,0 104,2,2024-09-07 09:39:21:668,663246,663246,0,0,31371624,0,3941 104,3,2024-09-07 09:39:21:420,1,526,1,0,1245,9162,526,0 105,0,2024-09-07 09:39:21:033,130809,1.0,127537,1.1,267134,1.1,351275,2.75 105,1,2024-09-07 09:39:20:558,923790,923790,0,0,433869570106,4544557862,912187,9834,1769,365,392009,0 105,2,2024-09-07 09:39:21:330,666127,666127,0,0,31548157,0,4360 105,3,2024-09-07 09:39:21:303,1,526,0,0,399,7461,526,0 106,0,2024-09-07 09:39:20:983,124722,0.7,127715,0.9,261668,0.8,341554,2.50 106,1,2024-09-07 09:39:21:750,922746,922746,0,0,433303183614,4545072172,910127,10970,1649,368,391914,0 106,2,2024-09-07 09:39:20:760,662557,662557,0,0,29701786,0,2920 106,3,2024-09-07 09:39:20:683,1,526,7,0,1224,7940,526,0 107,0,2024-09-07 09:39:21:111,129038,0.7,129126,0.8,258177,0.8,344700,2.00 107,1,2024-09-07 09:39:20:590,920887,920887,0,0,432094879717,4555224975,907983,11511,1393,381,392234,0 107,2,2024-09-07 09:39:21:292,661904,661903,1,0,32501980,0,5024 107,3,2024-09-07 09:39:21:764,1,526,10,0,733,7955,526,0 108,0,2024-09-07 09:39:21:784,134926,0.4,135641,0.6,270005,0.4,360440,1.75 108,1,2024-09-07 09:39:21:301,923605,923605,0,0,434585422714,4532307019,915690,6944,971,367,391857,0 108,2,2024-09-07 09:39:21:768,664410,664410,0,0,29024852,0,4246 108,3,2024-09-07 09:39:21:330,1,526,1,0,749,10304,526,0 109,0,2024-09-07 09:39:21:775,134145,0.4,132880,0.6,266353,0.4,356050,1.75 109,1,2024-09-07 09:39:20:590,920977,920977,0,0,432977908037,4541842207,912681,7080,1216,382,392132,0 109,2,2024-09-07 09:39:20:922,667351,667351,0,0,29043444,0,3617 109,3,2024-09-07 09:39:21:140,1,526,1,0,630,6546,526,0 110,0,2024-09-07 09:39:21:772,128482,0.4,125009,0.6,261808,0.3,343126,1.75 110,1,2024-09-07 09:39:21:647,924722,924722,0,0,434712886341,4514360494,917191,5609,1922,368,392045,0 110,2,2024-09-07 09:39:21:304,663774,663774,0,0,27364438,0,4067 110,3,2024-09-07 09:39:20:690,1,526,1,0,722,7283,526,0 111,0,2024-09-07 09:39:21:419,128613,0.3,127938,0.5,256222,0.3,341967,1.75 111,1,2024-09-07 09:39:20:999,926422,926422,0,0,435886208613,4518367689,921878,4187,357,380,391690,0 111,2,2024-09-07 09:39:21:120,663518,663518,0,0,27971440,0,4823 111,3,2024-09-07 09:39:20:913,1,526,2,0,379,5964,526,0 112,0,2024-09-07 09:39:20:917,134685,0.3,134025,0.4,268848,0.2,357205,1.50 112,1,2024-09-07 09:39:20:824,925061,925061,0,0,434873478584,4513542206,919161,5209,691,380,391624,0 112,2,2024-09-07 09:39:21:132,665756,665755,1,0,26474739,0,5036 112,3,2024-09-07 09:39:20:593,1,526,32,0,282,5021,526,0 113,0,2024-09-07 09:39:20:901,135012,0.3,134897,0.5,270005,0.3,359263,1.75 113,1,2024-09-07 09:39:21:697,927839,927839,0,0,435808006424,4496165490,922587,4439,813,365,391661,0 113,2,2024-09-07 09:39:21:303,671738,671738,0,0,24156214,0,3813 113,3,2024-09-07 09:39:20:685,1,526,9,0,340,5528,526,0 114,0,2024-09-07 09:39:20:876,130333,0.3,131129,0.5,260688,0.2,348273,1.75 114,1,2024-09-07 09:39:20:716,924991,924991,0,0,434716538700,4513358179,918082,5293,1616,381,391565,0 114,2,2024-09-07 09:39:20:873,665375,665374,1,0,26005127,0,5069 114,3,2024-09-07 09:39:21:280,1,526,0,0,395,4244,526,0 115,0,2024-09-07 09:39:20:554,129909,0.3,130443,0.4,260039,0.2,345262,1.50 115,1,2024-09-07 09:39:20:575,925693,925693,0,0,434846100049,4517387407,918293,6043,1357,382,391757,0 115,2,2024-09-07 09:39:21:125,666510,666510,0,0,25560089,0,4382 115,3,2024-09-07 09:39:21:002,1,526,1,0,167,3017,526,0 116,0,2024-09-07 09:39:21:705,131445,0.6,131399,0.8,263325,0.6,352099,2.00 116,1,2024-09-07 09:39:20:802,920920,920920,0,0,432838243332,4560730101,910257,8217,2446,380,392089,0 116,2,2024-09-07 09:39:21:774,664330,664330,0,0,32765490,0,4475 116,3,2024-09-07 09:39:20:912,1,526,1,0,415,6477,526,0 117,0,2024-09-07 09:39:20:955,136918,0.7,136317,0.8,273207,0.8,364815,2.00 117,1,2024-09-07 09:39:21:618,921980,921980,0,0,433228425667,4533954803,911952,8803,1225,369,392033,0 117,2,2024-09-07 09:39:21:120,668276,668276,0,0,28735101,0,4303 117,3,2024-09-07 09:39:21:063,1,526,1,0,490,7178,526,0 118,0,2024-09-07 09:39:21:803,127689,0.6,131264,0.7,267527,0.6,350055,2.00 118,1,2024-09-07 09:39:20:589,921352,921352,0,0,432200110665,4542514877,907847,10382,3123,366,392054,0 118,2,2024-09-07 09:39:21:587,664862,664862,0,0,31241388,0,2842 118,3,2024-09-07 09:39:21:774,1,526,1,0,248,5906,526,0 119,0,2024-09-07 09:39:21:345,129257,0.6,129740,0.8,259251,0.6,345600,2.00 119,1,2024-09-07 09:39:20:549,922466,922466,0,0,433905297214,4545426384,910736,9962,1768,367,391857,0 119,2,2024-09-07 09:39:21:265,664118,664118,0,0,29062777,0,4174 119,3,2024-09-07 09:39:21:326,1,526,29,0,1358,9782,526,0 120,0,2024-09-07 09:39:21:646,129476,0.6,129091,0.8,259607,0.6,346007,2.25 120,1,2024-09-07 09:39:20:862,922853,922853,0,0,432909525969,4535920856,913553,8520,780,367,392144,0 120,2,2024-09-07 09:39:20:770,663524,663523,1,0,33726781,0,5281 120,3,2024-09-07 09:39:21:296,1,526,1,0,279,6553,526,0 121,0,2024-09-07 09:39:21:695,136229,1.3,135682,1.1,271749,1.7,363142,2.25 121,1,2024-09-07 09:39:21:666,923195,923195,0,0,433330178151,4528243851,914456,8038,701,366,391840,0 121,2,2024-09-07 09:39:21:125,663998,663998,0,0,30985763,0,4157 121,3,2024-09-07 09:39:20:738,1,526,1,0,330,6296,526,0 122,0,2024-09-07 09:39:21:775,132685,0.9,129116,0.9,270515,1.0,355987,2.25 122,1,2024-09-07 09:39:20:862,921588,921588,0,0,433042123206,4541700439,909131,10571,1886,366,392130,0 122,2,2024-09-07 09:39:21:321,668701,668626,75,0,35242244,0,5989 122,3,2024-09-07 09:39:20:599,1,526,2,0,512,8839,526,0 123,0,2024-09-07 09:39:21:004,128425,0.8,124951,0.9,261702,0.9,342603,2.25 123,1,2024-09-07 09:39:20:562,922146,922146,0,0,432943207888,4553656081,906934,12707,2505,369,392039,0 123,2,2024-09-07 09:39:21:018,661391,661390,1,0,29904430,0,5215 123,3,2024-09-07 09:39:21:134,1,526,1,0,478,6100,526,0 124,0,2024-09-07 09:39:20:918,132285,0.3,132250,0.5,249221,0.3,343089,1.75 124,1,2024-09-07 09:39:21:022,925224,925224,0,0,433658077419,4507785243,918409,5683,1132,365,392178,0 124,2,2024-09-07 09:39:21:011,665349,665296,53,0,27266919,0,6487 124,3,2024-09-07 09:39:20:763,1,526,3,0,490,5476,526,0 125,0,2024-09-07 09:39:21:618,134421,0.4,134108,0.5,269525,0.3,359007,1.75 125,1,2024-09-07 09:39:20:879,923771,923771,0,0,433507315366,4524301606,916789,5998,984,382,391813,0 125,2,2024-09-07 09:39:21:120,667728,667728,0,0,27334961,0,4534 125,3,2024-09-07 09:39:21:128,1,526,7,0,709,6182,526,0 126,0,2024-09-07 09:39:21:438,134454,0.4,138275,0.6,264510,0.4,357970,1.75 126,1,2024-09-07 09:39:20:562,926617,926617,0,0,434869145587,4500803454,921931,4375,311,365,391987,0 126,2,2024-09-07 09:39:20:627,670104,670104,0,0,28165849,0,4539 126,3,2024-09-07 09:39:20:911,1,526,1,0,268,5844,526,0 127,0,2024-09-07 09:39:21:633,129059,0.3,129408,0.5,258178,0.3,342914,1.75 127,1,2024-09-07 09:39:20:575,924868,924868,0,0,433541228509,4511175134,915497,7867,1504,364,392187,0 127,2,2024-09-07 09:39:20:638,662920,662916,4,0,26770707,0,5305 127,3,2024-09-07 09:39:21:274,1,526,1,0,968,5202,526,0 128,0,2024-09-07 09:39:21:567,128997,0.3,129033,0.4,257627,0.2,342361,1.50 128,1,2024-09-07 09:39:21:621,923702,923702,0,0,434303147932,4510773600,917225,5741,736,367,392031,0 128,2,2024-09-07 09:39:21:399,665425,665425,0,0,24981478,0,3171 128,3,2024-09-07 09:39:20:769,1,526,10,0,1082,8358,526,0 129,0,2024-09-07 09:39:21:005,134000,0.3,133359,0.4,267265,0.3,355694,1.50 129,1,2024-09-07 09:39:20:569,921378,921378,0,0,431921606779,4525978310,912349,7084,1945,379,391962,0 129,2,2024-09-07 09:39:20:687,666484,666480,4,0,27308704,0,5335 129,3,2024-09-07 09:39:20:695,1,526,1,0,506,7380,526,0 130,0,2024-09-07 09:39:21:739,136544,0.4,136077,0.6,272906,0.4,362937,1.75 130,1,2024-09-07 09:39:20:583,924941,924941,0,0,435107043658,4518673875,920144,4409,388,381,391825,0 130,2,2024-09-07 09:39:21:130,668848,668848,0,0,27322916,0,4067 130,3,2024-09-07 09:39:21:293,1,526,2,0,960,7320,526,0 131,0,2024-09-07 09:39:21:970,130962,0.4,131359,0.5,263215,0.3,349218,1.75 131,1,2024-09-07 09:39:21:850,924420,924420,0,0,434186568254,4528370311,917535,5693,1192,381,391865,0 131,2,2024-09-07 09:39:20:575,665625,665625,0,0,25291061,0,3979 131,3,2024-09-07 09:39:21:700,1,526,3,0,392,6385,526,0 132,0,2024-09-07 09:39:21:417,130136,0.4,131130,0.6,261079,0.4,347376,1.75 132,1,2024-09-07 09:39:20:576,920687,920687,0,0,432545438362,4548971002,908202,10289,2196,381,392532,0 132,2,2024-09-07 09:39:20:698,663872,663855,17,0,33220991,0,6451 132,3,2024-09-07 09:39:21:701,1,526,1,0,804,8736,526,0 133,0,2024-09-07 09:39:21:621,127740,0.4,130518,0.6,268003,0.3,349095,1.75 133,1,2024-09-07 09:39:20:588,920403,920403,0,0,432645769079,4558285081,907892,10820,1691,383,391914,0 133,2,2024-09-07 09:39:21:114,665759,665709,50,0,33619641,0,6861 133,3,2024-09-07 09:39:21:302,1,526,3,0,479,6287,526,0 134,0,2024-09-07 09:39:20:978,137639,0.5,137559,0.7,275452,0.5,366716,2.00 134,1,2024-09-07 09:39:20:590,922376,922376,0,0,432404386595,4532020826,910977,9252,2147,366,391718,0 134,2,2024-09-07 09:39:21:778,664573,664549,24,0,31638246,0,6207 134,3,2024-09-07 09:39:20:752,1,526,4,0,739,6338,526,0 135,0,2024-09-07 09:39:21:144,128146,0.9,128170,0.9,272065,1.1,350813,2.25 135,1,2024-09-07 09:39:21:610,921809,921809,0,0,433303292714,4555191624,910172,10071,1566,380,391805,0 135,2,2024-09-07 09:39:20:686,667653,667653,0,0,31879131,0,4503 135,3,2024-09-07 09:39:21:005,1,526,2,0,900,5354,526,0 136,0,2024-09-07 09:39:21:645,129603,0.5,129788,0.7,258485,0.5,344805,2.00 136,1,2024-09-07 09:39:21:445,922956,922956,0,0,432043960000,4528561697,912571,9116,1269,381,392135,0 136,2,2024-09-07 09:39:21:135,664881,664866,15,0,31099867,0,6007 136,3,2024-09-07 09:39:21:124,1,526,3,0,637,6291,526,0 137,0,2024-09-07 09:39:20:919,133217,0.5,129886,0.7,254474,0.5,345964,2.00 137,1,2024-09-07 09:39:20:612,921020,921020,0,0,433165580997,4538633925,907561,10787,2672,366,391898,0 137,2,2024-09-07 09:39:21:714,662747,662747,0,0,32749272,0,3185 137,3,2024-09-07 09:39:20:769,1,526,2,0,484,6839,526,0 138,0,2024-09-07 09:39:21:774,134494,0.8,134760,0.9,270101,0.9,358807,2.00 138,1,2024-09-07 09:39:21:692,922185,922185,0,0,434069336202,4550462618,909445,10769,1971,368,391954,0 138,2,2024-09-07 09:39:20:588,664457,664457,0,0,30094731,0,4988 138,3,2024-09-07 09:39:20:610,1,526,6,0,1200,8570,526,0 139,0,2024-09-07 09:39:21:406,132325,1.3,132765,1.0,265582,1.9,354409,2.50 139,1,2024-09-07 09:39:20:574,918118,918118,0,0,431740733300,4576459432,902175,12481,3462,380,392058,0 139,2,2024-09-07 09:39:20:693,664630,664630,0,0,33527641,0,3097 139,3,2024-09-07 09:39:21:664,1,526,4,0,432,6015,526,0 140,0,2024-09-07 09:39:21:588,129229,0.3,128375,0.5,257576,0.2,343397,1.75 140,1,2024-09-07 09:39:21:540,927484,927484,0,0,436367200059,4497466988,922902,4044,538,364,391606,0 140,2,2024-09-07 09:39:20:687,664643,664642,1,0,25519824,0,5036 140,3,2024-09-07 09:39:20:769,1,526,1,0,297,4887,526,0 141,0,2024-09-07 09:39:21:698,128454,0.3,131857,0.4,251837,0.2,341667,1.50 141,1,2024-09-07 09:39:20:862,925771,925771,0,0,436034007499,4527670485,918664,6022,1085,379,391614,0 141,2,2024-09-07 09:39:21:688,664019,664008,11,0,27125825,0,5369 141,3,2024-09-07 09:39:21:043,1,526,1,0,391,5937,526,0 142,0,2024-09-07 09:39:21:317,134778,0.3,133830,0.5,268154,0.3,357975,1.50 142,1,2024-09-07 09:39:20:586,924008,924008,0,0,433898792754,4521485543,918344,5205,459,382,392102,0 142,2,2024-09-07 09:39:21:299,665180,665148,32,0,27752539,0,6028 142,3,2024-09-07 09:39:21:755,1,526,11,0,484,5479,526,0 143,0,2024-09-07 09:39:21:393,134799,0.4,134805,0.6,270480,0.4,359223,1.75 143,1,2024-09-07 09:39:20:556,925960,925960,0,0,435131382326,4514701572,919878,5524,558,367,391722,0 143,2,2024-09-07 09:39:20:773,669988,669988,0,0,27233376,0,3123 143,3,2024-09-07 09:39:21:140,1,526,1,0,462,6575,526,0 144,0,2024-09-07 09:39:21:519,125979,0.6,129611,0.8,263598,0.6,345198,2.00 144,1,2024-09-07 09:39:20:572,921302,921302,0,0,432468957358,4537348335,911907,7427,1968,381,391733,0 144,2,2024-09-07 09:39:21:768,664562,664562,0,0,25850193,0,3673 144,3,2024-09-07 09:39:21:745,1,526,1,0,249,5105,526,0 145,0,2024-09-07 09:39:21:378,125343,0.5,125282,0.7,266044,0.5,341957,2.25 145,1,2024-09-07 09:39:20:554,920924,920924,0,0,432559235064,4552264112,908552,9905,2467,382,391759,0 145,2,2024-09-07 09:39:21:433,661648,661566,82,0,31970230,0,7814 145,3,2024-09-07 09:39:20:897,1,526,0,0,622,7449,526,0 146,0,2024-09-07 09:39:21:612,131899,0.5,131215,0.7,263645,0.5,350653,2.00 146,1,2024-09-07 09:39:21:594,921895,921895,0,0,432758048310,4548151391,907451,10643,3801,367,391770,0 146,2,2024-09-07 09:39:21:702,663992,663986,6,0,30264170,0,5151 146,3,2024-09-07 09:39:21:287,1,526,1,0,1520,9030,526,0 147,0,2024-09-07 09:39:21:707,136787,0.6,136591,0.7,272658,0.6,364008,2.25 147,1,2024-09-07 09:39:21:377,925516,925516,0,0,435199827592,4520900143,918229,6408,879,367,391791,0 147,2,2024-09-07 09:39:21:013,665981,665981,0,0,26947275,0,2968 147,3,2024-09-07 09:39:20:916,1,526,62,0,1626,8535,526,0 0,0,2024-09-07 09:39:31:755,126637,0.5,126609,0.7,268799,0.5,347283,2.00 0,1,2024-09-07 09:39:30:801,924196,924196,0,0,434019176847,4543341099,916674,6680,842,369,391896,0 0,2,2024-09-07 09:39:31:068,667482,667482,0,0,26978050,0,4480 0,3,2024-09-07 09:39:30:991,1,527,8,0,431,7261,527,0 1,0,2024-09-07 09:39:31:818,136588,0.9,135685,0.9,272745,1.2,364979,2.00 1,1,2024-09-07 09:39:30:575,923370,923370,0,0,433228239026,4538667651,914649,7253,1468,370,391859,0 1,2,2024-09-07 09:39:30:655,666584,666584,0,0,26360920,0,3380 1,3,2024-09-07 09:39:31:302,1,527,25,0,269,6576,527,0 2,0,2024-09-07 09:39:31:577,133203,0.6,133186,0.8,266084,0.7,355235,2.00 2,1,2024-09-07 09:39:30:886,926496,926496,0,0,435628134844,4525870136,921524,4055,917,379,391745,0 2,2,2024-09-07 09:39:31:268,670007,670007,0,0,25021240,0,3594 2,3,2024-09-07 09:39:30:696,1,527,1,0,357,4752,527,0 3,0,2024-09-07 09:39:31:749,129058,0.4,128886,0.6,257336,0.3,343030,2.00 3,1,2024-09-07 09:39:31:624,925083,925083,0,0,434782421084,4525125947,917614,6766,703,379,391716,0 3,2,2024-09-07 09:39:31:142,665758,665735,23,0,26058693,0,5851 3,3,2024-09-07 09:39:31:752,1,527,3,0,207,3257,527,0 4,0,2024-09-07 09:39:31:796,124875,0.3,128307,0.5,261611,0.3,342240,1.75 4,1,2024-09-07 09:39:30:610,921706,921706,0,0,432596940195,4581116255,905354,12664,3688,370,391992,0 4,2,2024-09-07 09:39:31:024,662255,662255,0,0,31956938,0,4534 4,3,2024-09-07 09:39:31:040,1,527,5,0,448,6726,527,0 5,0,2024-09-07 09:39:31:394,134829,0.4,135120,0.6,270191,0.4,359518,1.75 5,1,2024-09-07 09:39:30:766,922788,922788,0,0,434149024054,4567771575,910038,10335,2415,367,392005,0 5,2,2024-09-07 09:39:31:841,663554,663554,0,0,30984740,0,3582 5,3,2024-09-07 09:39:31:742,1,527,4,0,457,7168,527,0 6,0,2024-09-07 09:39:30:929,134457,0.5,134085,0.7,268473,0.5,357584,2.00 6,1,2024-09-07 09:39:30:755,924882,924882,0,0,433810158037,4529977686,915306,8118,1458,379,391702,0 6,2,2024-09-07 09:39:31:118,670510,670492,18,0,30018098,0,5535 6,3,2024-09-07 09:39:31:279,1,527,0,0,710,6257,527,0 7,0,2024-09-07 09:39:31:535,128221,0.5,129057,0.7,256954,0.5,341800,2.00 7,1,2024-09-07 09:39:30:856,923202,923202,0,0,433684503183,4553181263,910276,10694,2232,382,391747,0 7,2,2024-09-07 09:39:30:772,664481,664481,0,0,29150277,0,4791 7,3,2024-09-07 09:39:30:857,1,527,2,0,552,5906,527,0 8,0,2024-09-07 09:39:31:360,128795,0.3,128382,0.5,257659,0.3,342628,1.75 8,1,2024-09-07 09:39:31:024,921266,921266,0,0,433062962739,4551366053,907003,11131,3132,366,392853,0 8,2,2024-09-07 09:39:30:811,660330,660328,2,0,34887144,0,5112 8,3,2024-09-07 09:39:30:593,1,527,8,0,538,7840,527,0 9,0,2024-09-07 09:39:31:128,133230,0.3,129677,0.5,271587,0.3,356452,1.75 9,1,2024-09-07 09:39:30:557,921788,921788,0,0,433708511500,4566585151,908296,10841,2651,369,392001,0 9,2,2024-09-07 09:39:31:090,665544,665543,1,0,31607981,0,5281 9,3,2024-09-07 09:39:31:753,1,527,1,0,1273,8748,527,0 10,0,2024-09-07 09:39:31:600,135774,0.4,135353,0.5,271441,0.3,361369,1.75 10,1,2024-09-07 09:39:30:588,924058,924058,0,0,433041768391,4535903367,911691,10277,2090,381,391869,0 10,2,2024-09-07 09:39:30:783,669631,669631,0,0,34346486,0,4264 10,3,2024-09-07 09:39:30:872,1,527,11,0,649,5518,527,0 11,0,2024-09-07 09:39:31:031,130720,0.5,126882,0.6,265321,0.4,349363,1.75 11,1,2024-09-07 09:39:30:580,925293,925293,0,0,434759099625,4557203513,911816,10015,3462,383,391756,0 11,2,2024-09-07 09:39:31:133,664798,664798,0,0,30095336,0,4130 11,3,2024-09-07 09:39:31:307,1,527,2,0,843,7119,527,0 12,0,2024-09-07 09:39:30:983,131001,0.4,131070,0.5,262103,0.3,348155,1.75 12,1,2024-09-07 09:39:30:949,924730,924730,0,0,434044441039,4527220821,917056,6790,884,370,391960,0 12,2,2024-09-07 09:39:31:541,664762,664762,0,0,29960957,0,4390 12,3,2024-09-07 09:39:31:068,1,527,9,0,386,7063,527,0 13,0,2024-09-07 09:39:31:336,132221,0.4,132052,0.5,263377,0.4,351488,1.75 13,1,2024-09-07 09:39:31:533,922359,922359,0,0,433295053828,4553455354,912728,7336,2295,382,391740,0 13,2,2024-09-07 09:39:30:610,667819,667819,0,0,26880626,0,3287 13,3,2024-09-07 09:39:31:772,1,527,19,0,522,7327,527,0 14,0,2024-09-07 09:39:30:564,137526,0.4,138510,0.6,274941,0.4,365884,1.75 14,1,2024-09-07 09:39:31:564,929041,929041,0,0,436482442339,4515385756,922129,6184,728,364,391673,0 14,2,2024-09-07 09:39:30:782,667843,667813,30,0,28191907,0,6104 14,3,2024-09-07 09:39:31:119,1,527,1,0,1168,6025,527,0 15,0,2024-09-07 09:39:31:556,132197,0.4,131685,0.7,264240,0.4,352283,2.00 15,1,2024-09-07 09:39:31:608,926267,926267,0,0,434802191751,4527545013,918533,6249,1485,381,391619,0 15,2,2024-09-07 09:39:31:000,670422,670422,0,0,23737118,0,3622 15,3,2024-09-07 09:39:31:412,1,527,1,0,1126,7318,527,0 16,0,2024-09-07 09:39:30:991,129140,0.5,129879,0.7,258975,0.5,344638,2.00 16,1,2024-09-07 09:39:30:590,925750,925750,0,0,434173765436,4538580425,917327,7121,1302,370,392194,0 16,2,2024-09-07 09:39:31:447,664663,664663,0,0,27962431,0,4719 16,3,2024-09-07 09:39:31:145,1,527,1,0,358,6602,527,0 17,0,2024-09-07 09:39:31:836,133672,0.5,130316,0.7,255456,0.5,346846,2.00 17,1,2024-09-07 09:39:30:573,923844,923844,0,0,433936173259,4551073655,914541,7554,1749,368,392075,0 17,2,2024-09-07 09:39:31:685,668155,668154,1,0,28726798,0,5050 17,3,2024-09-07 09:39:30:579,1,527,7,0,518,7838,527,0 18,0,2024-09-07 09:39:30:945,134107,0.6,134955,0.8,269002,0.6,359180,2.25 18,1,2024-09-07 09:39:31:638,927915,927915,0,0,435326122880,4514451144,921803,5115,997,367,391725,0 18,2,2024-09-07 09:39:31:757,668879,668879,0,0,25483962,0,3541 18,3,2024-09-07 09:39:30:909,1,527,5,0,1059,4922,527,0 19,0,2024-09-07 09:39:31:546,133185,0.7,133500,0.8,265573,0.7,354028,2.25 19,1,2024-09-07 09:39:30:566,927270,927270,0,0,436062768394,4520289504,920282,5904,1084,367,391777,0 19,2,2024-09-07 09:39:31:753,673101,673101,0,0,23956611,0,3988 19,3,2024-09-07 09:39:31:140,1,527,4,0,524,4254,527,0 20,0,2024-09-07 09:39:31:404,128560,0.5,128854,0.7,257173,0.5,343120,2.00 20,1,2024-09-07 09:39:30:567,924072,924072,0,0,433975623440,4544986779,914873,7834,1365,369,391922,0 20,2,2024-09-07 09:39:30:942,664119,664119,0,0,29083578,0,3721 20,3,2024-09-07 09:39:30:614,1,527,6,0,468,8917,527,0 21,0,2024-09-07 09:39:31:145,128447,0.4,128600,0.6,257154,0.4,341504,1.75 21,1,2024-09-07 09:39:31:548,922648,922648,0,0,432534325790,4562974270,908491,11038,3119,368,392016,0 21,2,2024-09-07 09:39:31:067,661931,661911,20,0,34052416,0,5617 21,3,2024-09-07 09:39:31:404,1,527,6,0,713,6769,527,0 22,0,2024-09-07 09:39:31:727,134265,0.4,134866,0.6,268986,0.4,357460,2.00 22,1,2024-09-07 09:39:31:023,923445,923445,0,0,433176398829,4558768648,908213,12005,3227,382,391822,0 22,2,2024-09-07 09:39:30:769,665397,665371,26,0,28532161,0,6328 22,3,2024-09-07 09:39:31:066,1,527,1,0,228,4437,527,0 23,0,2024-09-07 09:39:31:367,134670,0.5,134210,0.7,268341,0.5,357779,2.25 23,1,2024-09-07 09:39:31:006,924521,924521,0,0,434575018647,4559493150,908815,11298,4408,365,391690,0 23,2,2024-09-07 09:39:31:099,671416,671416,0,0,27220581,0,3773 23,3,2024-09-07 09:39:31:756,1,527,1,0,855,7667,527,0 24,0,2024-09-07 09:39:30:920,130934,0.4,130176,0.6,261936,0.4,347438,1.75 24,1,2024-09-07 09:39:30:600,923286,923286,0,0,433461319101,4537168966,914324,7287,1675,367,392269,0 24,2,2024-09-07 09:39:31:069,664418,664418,0,0,32285063,0,4438 24,3,2024-09-07 09:39:31:696,1,527,10,0,468,6680,527,0 25,0,2024-09-07 09:39:31:358,133113,0.4,129682,0.6,254265,0.3,345927,1.75 25,1,2024-09-07 09:39:30:585,922621,922621,0,0,433390412974,4570591902,907643,12006,2972,369,391928,0 25,2,2024-09-07 09:39:31:606,663516,663516,0,0,33652009,0,3978 25,3,2024-09-07 09:39:31:003,1,527,0,0,532,5731,527,0 26,0,2024-09-07 09:39:31:722,131734,0.4,128957,0.6,270550,0.3,352214,1.75 26,1,2024-09-07 09:39:31:545,925401,925401,0,0,433989710980,4542885867,912561,10388,2452,380,391748,0 26,2,2024-09-07 09:39:30:864,666085,666085,0,0,35665526,0,4689 26,3,2024-09-07 09:39:31:719,1,527,1,0,796,5767,527,0 27,0,2024-09-07 09:39:31:744,136812,0.4,137412,0.6,273173,0.4,363973,2.25 27,1,2024-09-07 09:39:31:681,926232,926232,0,0,435979542040,4539472085,917676,7287,1269,381,391626,0 27,2,2024-09-07 09:39:30:875,665679,665614,65,0,30811899,0,5699 27,3,2024-09-07 09:39:31:026,1,527,1,0,564,4559,527,0 28,0,2024-09-07 09:39:31:457,132229,0.4,131938,0.6,264513,0.3,352379,1.75 28,1,2024-09-07 09:39:30:801,926641,926641,0,0,435666747551,4540981269,918865,6062,1714,382,391698,0 28,2,2024-09-07 09:39:31:774,667129,667129,0,0,27066564,0,2915 28,3,2024-09-07 09:39:31:789,1,527,8,0,502,5259,527,0 29,0,2024-09-07 09:39:31:373,133615,0.3,130029,0.6,255248,0.3,348245,1.75 29,1,2024-09-07 09:39:31:560,928836,928836,0,0,436403277927,4513142175,923279,4795,762,367,391809,0 29,2,2024-09-07 09:39:30:867,664618,664618,0,0,26553560,0,4986 29,3,2024-09-07 09:39:30:973,1,527,1,0,459,5761,527,0 30,0,2024-09-07 09:39:31:466,129877,0.5,126389,0.6,264818,0.4,346054,2.00 30,1,2024-09-07 09:39:30:573,928345,928345,0,0,436392822443,4528450211,921368,6075,902,380,391672,0 30,2,2024-09-07 09:39:31:280,666452,666452,0,0,25578591,0,4192 30,3,2024-09-07 09:39:30:593,1,527,1,0,519,5068,527,0 31,0,2024-09-07 09:39:31:791,136512,0.5,136931,0.6,273328,0.4,365550,2.00 31,1,2024-09-07 09:39:30:571,931245,931245,0,0,438076879686,4496792076,925921,4313,1011,356,391712,0 31,2,2024-09-07 09:39:31:275,665703,665703,0,0,28097074,0,4470 31,3,2024-09-07 09:39:31:706,1,527,1,0,220,4453,527,0 32,0,2024-09-07 09:39:31:423,133786,0.3,134453,0.5,267751,0.3,356837,1.75 32,1,2024-09-07 09:39:30:818,927482,927482,0,0,435872124447,4529825779,921678,5095,709,381,391646,0 32,2,2024-09-07 09:39:30:946,670658,670658,0,0,24562064,0,3922 32,3,2024-09-07 09:39:31:033,1,527,93,0,304,4373,527,0 33,0,2024-09-07 09:39:31:561,129558,0.3,129095,0.4,258504,0.2,344018,1.50 33,1,2024-09-07 09:39:30:578,927982,927982,0,0,435967505952,4515411877,920748,6060,1174,368,391730,0 33,2,2024-09-07 09:39:30:783,666544,666509,35,0,27115095,0,7012 33,3,2024-09-07 09:39:30:903,1,527,1,0,329,4889,527,0 34,0,2024-09-07 09:39:30:954,129050,0.3,132569,0.4,253356,0.2,341631,1.75 34,1,2024-09-07 09:39:31:045,929839,929839,0,0,436601624667,4489731203,927329,2488,22,367,391637,0 34,2,2024-09-07 09:39:30:766,666664,666664,0,0,25630465,0,4562 34,3,2024-09-07 09:39:31:695,1,527,1,0,320,4030,527,0 35,0,2024-09-07 09:39:30:867,134120,0.3,134814,0.5,270865,0.2,360085,1.75 35,1,2024-09-07 09:39:31:067,926872,926872,0,0,435051407184,4512853514,920214,5445,1213,382,391769,0 35,2,2024-09-07 09:39:31:591,666525,666525,0,0,28223188,0,4055 35,3,2024-09-07 09:39:30:917,1,527,0,0,418,4906,527,0 36,0,2024-09-07 09:39:31:539,134841,0.5,134779,0.7,269417,0.5,358381,2.00 36,1,2024-09-07 09:39:30:588,926363,926363,0,0,434557404863,4538516725,914077,10065,2221,366,391759,0 36,2,2024-09-07 09:39:31:752,670756,670756,0,0,29687231,0,3875 36,3,2024-09-07 09:39:30:867,1,527,2,0,416,7176,527,0 37,0,2024-09-07 09:39:31:379,128435,0.5,128356,0.7,256918,0.5,342475,2.00 37,1,2024-09-07 09:39:30:568,925032,925025,0,7,434962911203,4541428173,913902,8447,2676,365,391770,0 37,2,2024-09-07 09:39:31:144,662730,662715,15,0,30105916,0,5815 37,3,2024-09-07 09:39:31:767,1,527,2,0,888,7372,527,0 38,0,2024-09-07 09:39:31:439,127853,0.4,124080,0.6,259562,0.3,339706,2.00 38,1,2024-09-07 09:39:31:605,926149,926149,0,0,434710537603,4543710835,912918,10712,2519,368,391821,0 38,2,2024-09-07 09:39:30:760,663845,663798,47,0,30254833,0,6710 38,3,2024-09-07 09:39:30:999,1,527,2,0,689,6702,527,0 39,0,2024-09-07 09:39:31:763,136640,0.5,133722,0.7,260469,0.4,356263,2.00 39,1,2024-09-07 09:39:30:726,924470,924470,0,0,434138365901,4553802403,908674,12327,3469,365,391865,0 39,2,2024-09-07 09:39:31:423,667502,667502,0,0,27947936,0,3391 39,3,2024-09-07 09:39:30:734,1,527,1,0,525,6276,527,0 40,0,2024-09-07 09:39:31:545,134499,0.9,135101,1.0,269746,1.1,359499,2.75 40,1,2024-09-07 09:39:30:577,926450,926450,0,0,433307370804,4530856104,914430,9841,2179,368,391668,0 40,2,2024-09-07 09:39:31:310,667883,667882,1,0,33384398,0,5137 40,3,2024-09-07 09:39:31:144,1,527,1,0,1028,7386,527,0 41,0,2024-09-07 09:39:31:034,130232,1.3,133046,1.1,253827,1.8,345195,3.00 41,1,2024-09-07 09:39:30:769,924829,924829,0,0,434356688070,4544004513,912900,9994,1935,369,391878,0 41,2,2024-09-07 09:39:30:783,662951,662950,1,0,32145540,0,5408 41,3,2024-09-07 09:39:31:680,1,527,2,0,366,5678,527,0 42,0,2024-09-07 09:39:31:501,129589,0.8,129288,0.9,258793,0.8,342998,2.50 42,1,2024-09-07 09:39:31:446,921867,921867,0,0,432916075995,4550986265,906852,12107,2908,380,391675,0 42,2,2024-09-07 09:39:31:133,664135,664135,0,0,31276437,0,3975 42,3,2024-09-07 09:39:31:008,1,527,1,0,892,4705,527,0 43,0,2024-09-07 09:39:30:939,130270,0.6,126907,0.9,265506,0.6,348624,2.25 43,1,2024-09-07 09:39:30:576,925450,925450,0,0,435097909652,4545131680,912580,10610,2260,366,391696,0 43,2,2024-09-07 09:39:31:752,666560,666560,0,0,30684959,0,4723 43,3,2024-09-07 09:39:31:752,1,527,1,0,571,7261,527,0 44,0,2024-09-07 09:39:30:860,137657,0.4,137635,0.6,275910,0.4,366406,1.75 44,1,2024-09-07 09:39:30:570,928041,928041,0,0,435137939654,4496244361,920243,6211,1587,356,391809,0 44,2,2024-09-07 09:39:31:272,665354,665354,0,0,25390938,0,4344 44,3,2024-09-07 09:39:31:093,1,527,1,0,817,5689,527,0 45,0,2024-09-07 09:39:31:763,130884,0.5,127840,0.7,268413,0.5,352459,2.00 45,1,2024-09-07 09:39:31:017,926796,926796,0,0,436877956902,4539756972,919283,6637,876,382,391917,0 45,2,2024-09-07 09:39:31:273,669030,669030,0,0,25741922,0,3596 45,3,2024-09-07 09:39:30:946,1,527,2,0,365,4968,527,0 46,0,2024-09-07 09:39:30:957,128847,0.5,128271,0.7,257509,0.4,341834,2.00 46,1,2024-09-07 09:39:30:575,928436,928436,0,0,435979865296,4505238262,922031,5542,863,366,391709,0 46,2,2024-09-07 09:39:30:601,666268,666268,0,0,26020544,0,4443 46,3,2024-09-07 09:39:31:133,1,527,23,0,908,6356,527,0 47,0,2024-09-07 09:39:31:111,129826,0.4,129934,0.6,260851,0.3,345951,1.75 47,1,2024-09-07 09:39:30:573,929497,929497,0,0,437155762837,4514578304,924257,4401,839,365,391641,0 47,2,2024-09-07 09:39:30:909,668746,668746,0,0,25786209,0,4477 47,3,2024-09-07 09:39:31:121,1,527,21,0,600,5945,527,0 48,0,2024-09-07 09:39:31:500,135772,0.3,135887,0.4,271125,0.2,361062,1.50 48,1,2024-09-07 09:39:31:024,927080,927080,0,0,435971912414,4528315061,921286,5221,573,384,391710,0 48,2,2024-09-07 09:39:30:709,666795,666795,0,0,23658830,0,3411 48,3,2024-09-07 09:39:30:754,1,527,0,0,339,4175,527,0 49,0,2024-09-07 09:39:31:719,137301,0.4,134671,0.5,261835,0.3,358085,1.75 49,1,2024-09-07 09:39:31:037,926513,926513,0,0,435384281586,4524791465,920945,4357,1211,382,391809,0 49,2,2024-09-07 09:39:31:797,671712,671712,0,0,26285112,0,4426 49,3,2024-09-07 09:39:31:426,1,527,1,0,992,6072,527,0 50,0,2024-09-07 09:39:31:510,129458,0.3,127777,0.5,257412,0.2,342761,1.75 50,1,2024-09-07 09:39:31:020,929843,929843,0,0,436881855844,4515822173,923897,5279,667,368,391565,0 50,2,2024-09-07 09:39:31:067,664541,664541,0,0,24037589,0,4490 50,3,2024-09-07 09:39:31:295,1,527,1,0,617,5449,527,0 51,0,2024-09-07 09:39:31:709,131874,0.3,129038,0.4,251413,0.2,343058,1.75 51,1,2024-09-07 09:39:31:688,930415,930415,0,0,437793429138,4512735395,925649,3711,1055,365,391706,0 51,2,2024-09-07 09:39:31:329,665747,665747,0,0,23310461,0,3337 51,3,2024-09-07 09:39:31:039,1,527,1,0,678,3786,527,0 52,0,2024-09-07 09:39:31:430,134864,0.5,134480,0.7,269258,0.4,358242,2.00 52,1,2024-09-07 09:39:30:575,925836,925836,0,0,433645836947,4539109556,912606,11125,2105,368,391722,0 52,2,2024-09-07 09:39:31:762,663425,663387,38,0,31103796,0,6742 52,3,2024-09-07 09:39:30:680,1,527,0,0,1782,6669,527,0 53,0,2024-09-07 09:39:31:740,134269,0.7,130222,0.8,272474,0.8,357311,2.50 53,1,2024-09-07 09:39:30:773,924020,924020,0,0,434057712806,4554109636,908657,11519,3844,367,391968,0 53,2,2024-09-07 09:39:31:308,670492,670491,1,0,28010569,0,5455 53,3,2024-09-07 09:39:30:714,1,527,1,0,308,5152,527,0 54,0,2024-09-07 09:39:31:626,128097,0.6,128457,0.8,255795,0.5,341286,2.25 54,1,2024-09-07 09:39:30:585,926062,926062,0,0,434661918818,4520955430,915995,8433,1634,366,391810,0 54,2,2024-09-07 09:39:30:882,665105,665073,32,0,31709014,0,6397 54,3,2024-09-07 09:39:30:782,1,527,1,0,676,7044,527,0 55,0,2024-09-07 09:39:31:761,125002,0.5,128946,0.7,261265,0.5,340007,2.25 55,1,2024-09-07 09:39:30:765,927295,927295,0,0,435185781510,4524490122,917612,8362,1321,365,391731,0 55,2,2024-09-07 09:39:30:734,663867,663811,56,0,29920795,0,7239 55,3,2024-09-07 09:39:30:674,1,527,6,0,304,4891,527,0 56,0,2024-09-07 09:39:31:567,135203,1.1,127329,1.1,262539,1.4,351679,2.50 56,1,2024-09-07 09:39:30:574,921825,921825,0,0,433342461238,4574740665,907501,11412,2912,381,391867,0 56,2,2024-09-07 09:39:31:304,666140,666018,122,0,31461747,0,7432 56,3,2024-09-07 09:39:31:059,1,527,1,0,705,6268,527,0 57,0,2024-09-07 09:39:30:939,134862,1.6,134775,1.3,270001,2.2,360714,3.25 57,1,2024-09-07 09:39:30:992,923415,923415,0,0,433851138203,4551109647,911208,9922,2285,366,392032,0 57,2,2024-09-07 09:39:31:323,668026,668026,0,0,32345533,0,4317 57,3,2024-09-07 09:39:31:752,1,527,3,0,455,5882,527,0 58,0,2024-09-07 09:39:30:565,130057,1.0,126339,1.0,264203,1.2,345897,2.75 58,1,2024-09-07 09:39:30:576,925088,925085,0,3,434865054232,4556430838,911281,10483,3321,367,391675,3 58,2,2024-09-07 09:39:31:073,666490,666490,0,0,30710588,0,3483 58,3,2024-09-07 09:39:31:068,1,527,1,0,1043,5815,527,0 59,0,2024-09-07 09:39:31:743,129355,0.8,129373,0.9,257756,0.8,342482,2.75 59,1,2024-09-07 09:39:30:810,923721,923721,0,0,433969823188,4557368932,908808,11774,3139,369,391653,0 59,2,2024-09-07 09:39:30:587,664672,664672,0,0,29333782,0,3727 59,3,2024-09-07 09:39:31:736,1,527,1,0,1015,6500,527,0 60,0,2024-09-07 09:39:31:722,130261,0.5,130607,0.7,261044,0.4,347125,1.75 60,1,2024-09-07 09:39:30:771,928319,928319,0,0,435807890935,4522369343,922131,5254,934,370,392031,0 60,2,2024-09-07 09:39:31:143,666726,666726,0,0,27773540,0,3811 60,3,2024-09-07 09:39:31:259,1,527,1,0,409,6115,527,0 61,0,2024-09-07 09:39:31:511,136474,0.7,137039,0.8,272889,0.7,365050,2.00 61,1,2024-09-07 09:39:30:778,924964,924964,0,0,434842047046,4552459056,915036,8347,1581,382,392127,0 61,2,2024-09-07 09:39:31:131,666601,666534,67,0,28730142,0,6411 61,3,2024-09-07 09:39:31:697,1,527,0,0,607,7507,527,0 62,0,2024-09-07 09:39:31:712,134026,0.6,137489,0.8,262471,0.7,356693,2.00 62,1,2024-09-07 09:39:31:112,930322,930316,0,6,437052998355,4503547877,926157,3879,280,365,391975,6 62,2,2024-09-07 09:39:31:645,667908,667907,1,0,27981835,0,5555 62,3,2024-09-07 09:39:31:148,1,527,12,0,482,4246,527,0 63,0,2024-09-07 09:39:31:461,129514,0.4,129248,0.6,259435,0.3,344454,1.75 63,1,2024-09-07 09:39:30:806,927367,927361,0,6,435706477825,4521841583,921603,5007,751,381,391800,6 63,2,2024-09-07 09:39:30:766,665310,665310,0,0,27020421,0,4369 63,3,2024-09-07 09:39:31:732,1,527,1,0,667,5580,527,0 64,0,2024-09-07 09:39:31:546,128257,0.5,128407,0.6,256141,0.4,340791,2.00 64,1,2024-09-07 09:39:30:761,926985,926985,0,0,434986642697,4528559572,919092,6122,1771,370,391794,0 64,2,2024-09-07 09:39:31:143,669733,669714,19,0,25931557,0,6121 64,3,2024-09-07 09:39:31:143,1,527,1,0,651,5497,527,0 65,0,2024-09-07 09:39:31:692,133907,0.6,134150,0.7,267907,0.6,357664,2.00 65,1,2024-09-07 09:39:30:868,925543,925543,0,0,434442303456,4539609040,918789,5956,798,381,391901,0 65,2,2024-09-07 09:39:31:697,666276,666276,0,0,30418431,0,3367 65,3,2024-09-07 09:39:31:687,1,527,1,0,782,6181,527,0 66,0,2024-09-07 09:39:31:764,134031,0.6,133531,0.8,267114,0.6,355652,2.25 66,1,2024-09-07 09:39:31:296,927110,927110,0,0,435165019173,4528588445,920962,5426,722,380,391743,0 66,2,2024-09-07 09:39:31:133,672499,672496,3,0,27802676,0,5455 66,3,2024-09-07 09:39:31:079,1,527,1,0,291,4514,527,0 67,0,2024-09-07 09:39:31:412,128871,0.5,128587,0.7,257729,0.5,342634,2.00 67,1,2024-09-07 09:39:30:784,927191,927190,0,1,435444444057,4532133771,920915,5461,814,380,391787,1 67,2,2024-09-07 09:39:30:593,666681,666666,15,0,26798257,0,6205 67,3,2024-09-07 09:39:31:751,1,527,7,0,595,5553,527,0 68,0,2024-09-07 09:39:30:562,128787,0.5,128670,0.7,256252,0.5,342216,2.00 68,1,2024-09-07 09:39:30:573,922901,922901,0,0,432441556560,4548010804,910505,8995,3401,381,391953,0 68,2,2024-09-07 09:39:31:045,661107,661007,100,0,34625922,0,8578 68,3,2024-09-07 09:39:30:736,1,527,0,0,417,6804,527,0 69,0,2024-09-07 09:39:31:752,132651,0.6,133582,0.8,266282,0.6,354119,2.25 69,1,2024-09-07 09:39:31:034,922174,922174,0,0,433635905237,4568694397,909915,9498,2761,384,391994,0 69,2,2024-09-07 09:39:31:733,665351,665322,29,0,35704203,0,6912 69,3,2024-09-07 09:39:30:788,1,527,6,0,698,7785,527,0 70,0,2024-09-07 09:39:31:533,134234,0.9,134637,1.0,270892,0.8,358295,2.50 70,1,2024-09-07 09:39:30:810,927927,927927,0,0,436535728650,4528840386,920672,6493,762,366,391725,0 70,2,2024-09-07 09:39:31:324,669687,669687,0,0,30018394,0,4323 70,3,2024-09-07 09:39:30:745,1,527,4,0,854,5698,527,0 71,0,2024-09-07 09:39:31:360,129512,1.1,129267,1.1,259790,1.5,346354,2.75 71,1,2024-09-07 09:39:31:607,925432,925432,0,0,434788214302,4537758501,914038,10138,1256,368,391738,0 71,2,2024-09-07 09:39:31:067,664630,664630,0,0,30638603,0,4352 71,3,2024-09-07 09:39:31:752,1,527,0,0,644,6253,527,0 72,0,2024-09-07 09:39:31:032,134536,0.5,131486,0.7,256573,0.5,348537,2.00 72,1,2024-09-07 09:39:31:021,924114,924114,0,0,434060137084,4553495348,910660,11171,2283,369,391819,0 72,2,2024-09-07 09:39:31:757,663767,663767,0,0,32247539,0,3983 72,3,2024-09-07 09:39:31:756,1,527,0,0,564,7553,527,0 73,0,2024-09-07 09:39:31:112,128013,0.4,131251,0.6,268469,0.4,349599,2.00 73,1,2024-09-07 09:39:30:779,926832,926832,0,0,434830191843,4514607291,920594,5813,425,367,391858,0 73,2,2024-09-07 09:39:31:738,666419,666419,0,0,32679198,0,4728 73,3,2024-09-07 09:39:30:973,1,527,1,0,486,6563,527,0 74,0,2024-09-07 09:39:31:339,138530,0.5,141713,0.7,270382,0.5,366749,2.00 74,1,2024-09-07 09:39:30:641,925022,925022,0,0,434106149243,4532742858,914569,8528,1925,381,391762,0 74,2,2024-09-07 09:39:31:024,666438,666438,0,0,28487336,0,4253 74,3,2024-09-07 09:39:31:455,1,527,38,0,522,6342,527,0 75,0,2024-09-07 09:39:31:769,132804,0.6,131935,0.8,264905,0.6,353983,2.25 75,1,2024-09-07 09:39:31:588,926187,926187,0,0,434575857010,4530000894,918291,7033,863,380,391739,0 75,2,2024-09-07 09:39:31:349,667082,667082,0,0,34165749,0,4766 75,3,2024-09-07 09:39:31:072,1,527,3,0,702,6219,527,0 76,0,2024-09-07 09:39:30:691,128768,0.5,128113,0.7,256751,0.5,343447,2.25 76,1,2024-09-07 09:39:30:814,925609,925609,0,0,434606744960,4532333797,918980,5662,967,382,391723,0 76,2,2024-09-07 09:39:31:063,667329,667326,3,0,28712913,0,5265 76,3,2024-09-07 09:39:31:159,1,527,17,0,227,4879,527,0 77,0,2024-09-07 09:39:31:705,129302,0.5,129661,0.7,259541,0.5,344924,2.00 77,1,2024-09-07 09:39:30:829,925878,925878,0,0,434545740318,4531678764,919041,6242,595,381,391869,0 77,2,2024-09-07 09:39:31:280,665141,665141,0,0,28275648,0,3890 77,3,2024-09-07 09:39:31:094,1,527,1,0,401,5604,527,0 78,0,2024-09-07 09:39:31:717,135693,0.4,135217,0.6,271313,0.4,359526,2.00 78,1,2024-09-07 09:39:30:615,925961,925961,0,0,435056487368,4535772483,915189,8246,2526,367,391670,0 78,2,2024-09-07 09:39:31:413,668005,667992,13,0,26266749,0,8313 78,3,2024-09-07 09:39:31:132,1,527,1,0,311,4582,527,0 79,0,2024-09-07 09:39:31:345,129200,0.4,132251,0.6,270861,0.4,352800,2.25 79,1,2024-09-07 09:39:30:577,928492,928492,0,0,435482451830,4511766447,920755,6380,1357,367,391682,0 79,2,2024-09-07 09:39:31:073,670762,670762,0,0,25314304,0,4195 79,3,2024-09-07 09:39:30:752,1,527,1,0,418,6306,527,0 80,0,2024-09-07 09:39:31:095,128596,0.5,132166,0.7,252870,0.5,342327,2.00 80,1,2024-09-07 09:39:31:620,925732,925732,0,0,435001142628,4526140111,919903,5401,428,368,392269,0 80,2,2024-09-07 09:39:31:094,666574,666574,0,0,25749472,0,4433 80,3,2024-09-07 09:39:30:587,1,527,11,0,296,6228,527,0 81,0,2024-09-07 09:39:31:555,128675,0.5,131686,0.7,251196,0.5,341511,2.00 81,1,2024-09-07 09:39:31:681,924767,924767,0,0,434528718540,4541027023,917365,6763,639,382,391885,0 81,2,2024-09-07 09:39:31:133,664642,664579,63,0,28742859,0,5932 81,3,2024-09-07 09:39:31:122,1,527,8,0,719,5983,527,0 82,0,2024-09-07 09:39:31:538,134096,0.5,134361,0.7,269074,0.4,357378,2.00 82,1,2024-09-07 09:39:30:593,927314,927310,0,4,436091662002,4532290270,922230,4259,821,381,391768,4 82,2,2024-09-07 09:39:31:697,668817,668817,0,0,23943732,0,4484 82,3,2024-09-07 09:39:31:752,1,527,1,0,363,5003,527,0 83,0,2024-09-07 09:39:31:520,134852,0.6,134888,0.8,269097,0.7,357368,2.25 83,1,2024-09-07 09:39:30:551,926141,926141,0,0,434614068958,4529316937,919784,5902,455,382,391709,0 83,2,2024-09-07 09:39:30:766,670399,670374,25,0,25980892,0,5612 83,3,2024-09-07 09:39:30:765,1,527,1,0,1260,6512,527,0 84,0,2024-09-07 09:39:31:771,128976,0.7,129185,0.9,257931,0.7,344613,2.25 84,1,2024-09-07 09:39:31:045,924629,924629,0,0,434190426950,4538627471,914389,8715,1525,367,391967,0 84,2,2024-09-07 09:39:30:577,664198,664168,30,0,34176709,0,5971 84,3,2024-09-07 09:39:31:143,1,527,3,0,908,7408,527,0 85,0,2024-09-07 09:39:31:001,124581,0.5,124628,0.7,264441,0.5,341612,2.25 85,1,2024-09-07 09:39:30:562,921316,921316,0,0,433450465373,4576456107,907041,11617,2658,381,392092,0 85,2,2024-09-07 09:39:30:873,663555,663555,0,0,31917567,0,4255 85,3,2024-09-07 09:39:30:686,1,527,3,0,789,6060,527,0 86,0,2024-09-07 09:39:30:892,132126,0.6,135808,0.7,259758,0.6,352016,2.25 86,1,2024-09-07 09:39:30:835,924272,924272,0,0,434669824623,4553367650,912968,9327,1977,366,391995,0 86,2,2024-09-07 09:39:30:858,665177,665176,1,0,34415296,0,5004 86,3,2024-09-07 09:39:30:591,1,527,1,0,308,7555,527,0 87,0,2024-09-07 09:39:31:372,136708,0.9,136505,0.9,272889,1.1,364398,2.25 87,1,2024-09-07 09:39:30:556,923189,923189,0,0,434022921559,4547652021,910983,10490,1716,366,392076,0 87,2,2024-09-07 09:39:31:067,667707,667701,6,0,30382556,0,6323 87,3,2024-09-07 09:39:31:794,1,527,1,0,473,7663,527,0 88,0,2024-09-07 09:39:31:442,131836,0.5,132454,0.6,264606,0.4,352178,1.75 88,1,2024-09-07 09:39:30:577,922833,922833,0,0,433663544626,4542074605,910186,10030,2617,365,392084,0 88,2,2024-09-07 09:39:30:691,666737,666737,0,0,32847151,0,4465 88,3,2024-09-07 09:39:31:272,1,527,27,0,435,6718,527,0 89,0,2024-09-07 09:39:31:763,133776,0.4,129698,0.6,256096,0.4,348337,1.75 89,1,2024-09-07 09:39:30:612,923467,923467,0,0,433710736096,4562531976,912035,9615,1817,382,391866,0 89,2,2024-09-07 09:39:31:133,664601,664601,0,0,31236585,0,3173 89,3,2024-09-07 09:39:31:801,1,527,23,0,468,8686,527,0 90,0,2024-09-07 09:39:31:624,126456,0.4,130064,0.6,265005,0.4,345877,1.75 90,1,2024-09-07 09:39:30:604,924486,924486,0,0,433764525623,4539910706,915972,7781,733,380,391825,0 90,2,2024-09-07 09:39:31:412,664005,664005,0,0,33455775,0,3608 90,3,2024-09-07 09:39:30:951,1,527,10,0,322,6486,527,0 91,0,2024-09-07 09:39:30:940,137086,0.5,132845,0.6,277972,0.4,365550,1.75 91,1,2024-09-07 09:39:30:567,922696,922696,0,0,433204198864,4554814221,911092,9592,2012,381,392047,0 91,2,2024-09-07 09:39:31:331,666517,666517,0,0,29515515,0,4713 91,3,2024-09-07 09:39:30:608,1,527,1,0,216,4996,527,0 92,0,2024-09-07 09:39:31:450,135128,0.5,138148,0.6,263240,0.5,356947,1.75 92,1,2024-09-07 09:39:30:585,925057,925057,0,0,435048389212,4544202609,917543,6307,1207,381,392136,0 92,2,2024-09-07 09:39:31:350,670046,670046,0,0,26583536,0,3259 92,3,2024-09-07 09:39:31:023,1,527,1,0,167,4530,527,0 93,0,2024-09-07 09:39:30:957,129820,0.4,133088,0.6,254070,0.3,344422,1.75 93,1,2024-09-07 09:39:30:810,925770,925770,0,0,434574204353,4536253288,915480,8556,1734,366,391776,0 93,2,2024-09-07 09:39:30:942,664883,664883,0,0,32241122,0,4913 93,3,2024-09-07 09:39:31:407,1,527,14,0,190,4748,527,0 94,0,2024-09-07 09:39:31:607,128321,0.3,129104,0.5,258002,0.3,342575,1.75 94,1,2024-09-07 09:39:30:577,925935,925935,0,0,435314974450,4539077600,919828,5731,376,381,391850,0 94,2,2024-09-07 09:39:30:790,665337,665309,28,0,27564693,0,6179 94,3,2024-09-07 09:39:31:697,1,527,4,0,576,6599,527,0 95,0,2024-09-07 09:39:31:348,135005,0.3,134831,0.5,269949,0.3,360106,1.75 95,1,2024-09-07 09:39:30:895,927160,927160,0,0,435441426241,4524649846,919802,6699,659,365,391852,0 95,2,2024-09-07 09:39:31:023,665985,665985,0,0,26329831,0,3308 95,3,2024-09-07 09:39:31:709,1,527,1,0,718,8188,527,0 96,0,2024-09-07 09:39:31:039,134644,0.4,134773,0.5,269423,0.3,357755,1.75 96,1,2024-09-07 09:39:31:594,925454,925454,0,0,434110656527,4526793545,919058,5481,915,384,391955,0 96,2,2024-09-07 09:39:31:268,671213,671213,0,0,27312502,0,4180 96,3,2024-09-07 09:39:31:143,1,527,6,0,411,5552,527,0 97,0,2024-09-07 09:39:31:310,128859,0.3,128764,0.5,258525,0.3,342852,1.50 97,1,2024-09-07 09:39:30:768,927507,927507,0,0,435629198777,4517501295,921748,4814,945,367,392140,0 97,2,2024-09-07 09:39:30:612,666070,666070,0,0,26733579,0,3679 97,3,2024-09-07 09:39:30:586,1,527,3,0,242,6066,527,0 98,0,2024-09-07 09:39:31:707,128194,0.3,128347,0.4,257501,0.2,341735,1.50 98,1,2024-09-07 09:39:30:573,926631,926631,0,0,434764970694,4526289758,921219,4612,800,381,391997,0 98,2,2024-09-07 09:39:30:783,665349,665349,0,0,26290842,0,4336 98,3,2024-09-07 09:39:30:708,1,527,2,0,840,8102,527,0 99,0,2024-09-07 09:39:31:452,133295,0.3,134067,0.4,266799,0.3,356630,1.75 99,1,2024-09-07 09:39:31:729,926690,926690,0,0,434496983145,4521507697,920718,5044,928,380,392069,0 99,2,2024-09-07 09:39:31:423,667533,667533,0,0,31666575,0,4276 99,3,2024-09-07 09:39:30:584,1,527,5,0,606,5269,527,0 100,0,2024-09-07 09:39:31:472,135515,0.8,135796,1.0,271024,1.0,361774,2.50 100,1,2024-09-07 09:39:30:554,921690,921690,0,0,432735975574,4574583527,907348,11243,3099,378,391989,0 100,2,2024-09-07 09:39:31:817,667360,667349,11,0,31194066,0,5417 100,3,2024-09-07 09:39:31:740,1,527,1,0,627,9421,527,0 101,0,2024-09-07 09:39:31:708,133503,1.2,130142,1.1,255122,1.2,348647,2.25 101,1,2024-09-07 09:39:30:557,923147,923147,0,0,433775608518,4557093905,910751,9995,2401,368,391847,0 101,2,2024-09-07 09:39:31:756,661452,661452,0,0,36130243,0,4871 101,3,2024-09-07 09:39:30:952,1,527,1,0,1250,8089,527,0 102,0,2024-09-07 09:39:30:967,126678,0.6,130598,0.7,265001,0.5,346674,2.00 102,1,2024-09-07 09:39:31:143,923028,923028,0,0,432835390959,4545760442,910813,10193,2022,369,391891,0 102,2,2024-09-07 09:39:31:742,664820,664766,54,0,30513030,0,6768 102,3,2024-09-07 09:39:31:616,1,527,34,0,466,6152,527,0 103,0,2024-09-07 09:39:31:599,135646,0.5,135657,0.7,255691,0.5,352286,2.00 103,1,2024-09-07 09:39:31:634,921636,921636,0,0,433153140370,4571540631,907443,10994,3199,381,391862,0 103,2,2024-09-07 09:39:30:590,665079,665079,0,0,32339912,0,3766 103,3,2024-09-07 09:39:30:766,1,527,1,0,916,6265,527,0 104,0,2024-09-07 09:39:31:021,136472,0.7,136585,0.9,272627,0.7,364697,2.25 104,1,2024-09-07 09:39:31:607,924373,924373,0,0,433485827287,4552679466,911312,10510,2551,365,392168,0 104,2,2024-09-07 09:39:31:683,664631,664631,0,0,31400923,0,3941 104,3,2024-09-07 09:39:31:423,1,527,23,0,1245,9185,527,0 105,0,2024-09-07 09:39:31:055,131124,1.0,127798,1.1,267750,1.1,352055,2.75 105,1,2024-09-07 09:39:30:555,925568,925568,0,0,434649127845,4552589594,913963,9836,1769,365,392009,0 105,2,2024-09-07 09:39:31:329,666980,666980,0,0,31559026,0,4360 105,3,2024-09-07 09:39:31:309,1,527,2,0,399,7463,527,0 106,0,2024-09-07 09:39:30:991,124923,0.7,127923,0.9,262101,0.7,342177,2.50 106,1,2024-09-07 09:39:31:754,924467,924467,0,0,434089156932,4553102261,911848,10970,1649,368,391914,0 106,2,2024-09-07 09:39:30:766,664100,664100,0,0,29731074,0,2920 106,3,2024-09-07 09:39:30:684,1,527,2,0,1224,7942,527,0 107,0,2024-09-07 09:39:31:142,129489,0.7,129614,0.8,259070,0.8,345915,2.00 107,1,2024-09-07 09:39:30:587,922642,922642,0,0,432832328725,4562815882,909738,11511,1393,381,392234,0 107,2,2024-09-07 09:39:31:313,663425,663424,1,0,32529347,0,5024 107,3,2024-09-07 09:39:31:762,1,527,2,0,733,7957,527,0 108,0,2024-09-07 09:39:31:901,135220,0.4,135917,0.6,270541,0.4,361150,1.75 108,1,2024-09-07 09:39:31:300,925343,925343,0,0,435450096413,4541240362,917428,6944,971,367,391857,0 108,2,2024-09-07 09:39:31:757,665454,665454,0,0,29046244,0,4246 108,3,2024-09-07 09:39:31:344,1,527,6,0,749,10310,527,0 109,0,2024-09-07 09:39:31:761,134454,0.4,133207,0.6,267034,0.4,356988,1.75 109,1,2024-09-07 09:39:30:610,922731,922731,0,0,433813886459,4550504508,914434,7080,1217,382,392132,0 109,2,2024-09-07 09:39:30:939,668570,668570,0,0,29069276,0,3617 109,3,2024-09-07 09:39:31:145,1,527,11,0,630,6557,527,0 110,0,2024-09-07 09:39:31:791,128666,0.4,125189,0.6,262158,0.3,343585,1.75 110,1,2024-09-07 09:39:31:648,926552,926552,0,0,435596001916,4523334833,919021,5609,1922,368,392045,0 110,2,2024-09-07 09:39:31:313,665059,665059,0,0,27407995,0,4067 110,3,2024-09-07 09:39:30:696,1,527,1,0,722,7284,527,0 111,0,2024-09-07 09:39:31:418,128984,0.3,128278,0.5,256926,0.3,342877,1.75 111,1,2024-09-07 09:39:31:023,928160,928160,0,0,436645568428,4526174544,923616,4187,357,380,391690,0 111,2,2024-09-07 09:39:31:125,664814,664814,0,0,27999025,0,4823 111,3,2024-09-07 09:39:30:922,1,527,1,0,379,5965,527,0 112,0,2024-09-07 09:39:30:913,135116,0.3,134480,0.4,269765,0.2,358365,1.50 112,1,2024-09-07 09:39:30:831,926825,926825,0,0,435652700318,4521558118,920924,5210,691,380,391624,0 112,2,2024-09-07 09:39:31:133,666926,666925,1,0,26501153,0,5036 112,3,2024-09-07 09:39:30:608,1,527,1,0,282,5022,527,0 113,0,2024-09-07 09:39:30:877,135120,0.3,135014,0.5,270244,0.3,359570,1.75 113,1,2024-09-07 09:39:31:695,929616,929616,0,0,436811480392,4506335083,924363,4440,813,365,391661,0 113,2,2024-09-07 09:39:31:303,673021,673021,0,0,24171860,0,3813 113,3,2024-09-07 09:39:30:689,1,527,1,0,340,5529,527,0 114,0,2024-09-07 09:39:30:885,130454,0.3,131250,0.5,260932,0.2,348618,1.75 114,1,2024-09-07 09:39:30:735,926679,926679,0,0,435548763699,4521871855,919770,5293,1616,381,391565,0 114,2,2024-09-07 09:39:30:878,666401,666400,1,0,26013880,0,5069 114,3,2024-09-07 09:39:31:279,1,527,0,0,395,4244,527,0 115,0,2024-09-07 09:39:30:561,129925,0.3,130457,0.4,260067,0.2,345262,1.50 115,1,2024-09-07 09:39:30:573,927454,927454,0,0,435875988562,4527841107,920054,6043,1357,382,391757,0 115,2,2024-09-07 09:39:31:128,667830,667830,0,0,25581018,0,4382 115,3,2024-09-07 09:39:31:023,1,527,1,0,167,3018,527,0 116,0,2024-09-07 09:39:31:709,131793,0.6,131784,0.8,264061,0.5,353068,2.00 116,1,2024-09-07 09:39:30:807,922696,922696,0,0,433683504364,4569371904,912033,8217,2446,380,392089,0 116,2,2024-09-07 09:39:31:752,665764,665764,0,0,32775788,0,4475 116,3,2024-09-07 09:39:30:917,1,527,1,0,415,6478,527,0 117,0,2024-09-07 09:39:30:958,136994,0.7,136412,0.8,273364,0.8,365064,2.00 117,1,2024-09-07 09:39:31:578,923738,923738,0,0,433966592882,4541453822,913710,8803,1225,369,392033,0 117,2,2024-09-07 09:39:31:125,669711,669711,0,0,28744649,0,4303 117,3,2024-09-07 09:39:31:068,1,527,1,0,490,7179,527,0 118,0,2024-09-07 09:39:31:770,127912,0.6,131499,0.7,268014,0.6,350635,2.00 118,1,2024-09-07 09:39:30:585,923095,923095,0,0,433088122024,4551529021,909590,10382,3123,366,392054,0 118,2,2024-09-07 09:39:31:594,665573,665573,0,0,31246346,0,2842 118,3,2024-09-07 09:39:31:779,1,527,1,0,248,5907,527,0 119,0,2024-09-07 09:39:31:341,129604,0.6,130087,0.8,259882,0.6,346469,2.00 119,1,2024-09-07 09:39:30:548,924293,924293,0,0,434811561471,4554672794,912563,9962,1768,367,391857,0 119,2,2024-09-07 09:39:31:268,665564,665564,0,0,29074816,0,4174 119,3,2024-09-07 09:39:31:336,1,527,2,0,1358,9784,527,0 120,0,2024-09-07 09:39:31:597,129735,0.6,129381,0.8,260149,0.6,346711,2.25 120,1,2024-09-07 09:39:30:863,924677,924677,0,0,433736360506,4544365538,915377,8520,780,367,392144,0 120,2,2024-09-07 09:39:30:790,665085,665084,1,0,33756505,0,5281 120,3,2024-09-07 09:39:31:300,1,527,1,0,279,6554,527,0 121,0,2024-09-07 09:39:31:693,136755,1.3,136212,1.1,272844,1.7,364653,2.25 121,1,2024-09-07 09:39:31:743,925003,925003,0,0,434227471410,4537419018,916264,8038,701,366,391840,0 121,2,2024-09-07 09:39:31:128,665104,665104,0,0,31005142,0,4157 121,3,2024-09-07 09:39:30:727,1,527,2,0,330,6298,527,0 122,0,2024-09-07 09:39:31:764,133013,0.9,129395,0.9,271134,1.0,356826,2.25 122,1,2024-09-07 09:39:30:874,923333,923333,0,0,433899017582,4550502355,910876,10571,1886,366,392130,0 122,2,2024-09-07 09:39:31:353,669722,669647,75,0,35262912,0,5989 122,3,2024-09-07 09:39:30:614,1,527,2,0,512,8841,527,0 123,0,2024-09-07 09:39:31:005,128583,0.8,125094,0.9,262019,0.9,343007,2.25 123,1,2024-09-07 09:39:30:563,923965,923965,0,0,433845392693,4562901516,908753,12707,2505,369,392039,0 123,2,2024-09-07 09:39:31:030,662851,662850,1,0,29931055,0,5215 123,3,2024-09-07 09:39:31:135,1,527,2,0,478,6102,527,0 124,0,2024-09-07 09:39:30:924,132543,0.3,132545,0.5,249717,0.3,343746,1.75 124,1,2024-09-07 09:39:31:036,926896,926896,0,0,434127861606,4512646702,920081,5683,1132,365,392178,0 124,2,2024-09-07 09:39:31:024,666580,666527,53,0,27294225,0,6487 124,3,2024-09-07 09:39:30:764,1,527,1,0,490,5477,527,0 125,0,2024-09-07 09:39:31:437,134788,0.4,134517,0.5,270334,0.3,360080,1.75 125,1,2024-09-07 09:39:30:869,925582,925582,0,0,434361009103,4533050018,918599,5999,984,382,391813,0 125,2,2024-09-07 09:39:31:118,668859,668859,0,0,27352494,0,4534 125,3,2024-09-07 09:39:31:126,1,527,7,0,709,6189,527,0 126,0,2024-09-07 09:39:31:466,134627,0.4,138436,0.6,264873,0.4,358447,1.75 126,1,2024-09-07 09:39:30:556,928440,928440,0,0,435725067165,4509532000,923754,4375,311,365,391987,0 126,2,2024-09-07 09:39:30:628,671421,671421,0,0,28195438,0,4539 126,3,2024-09-07 09:39:30:923,1,527,18,0,268,5862,527,0 127,0,2024-09-07 09:39:31:629,129141,0.3,129480,0.5,258389,0.3,343167,1.75 127,1,2024-09-07 09:39:30:573,926611,926611,0,0,434445324005,4520387826,917240,7867,1504,364,392187,0 127,2,2024-09-07 09:39:30:661,664197,664193,4,0,26804836,0,5305 127,3,2024-09-07 09:39:31:273,1,527,12,0,968,5214,527,0 128,0,2024-09-07 09:39:31:556,129109,0.3,129147,0.4,257861,0.2,342696,1.50 128,1,2024-09-07 09:39:31:618,925493,925493,0,0,435287745176,4520826209,919016,5741,736,367,392031,0 128,2,2024-09-07 09:39:31:386,666649,666649,0,0,24995954,0,3171 128,3,2024-09-07 09:39:30:766,1,527,1,0,1082,8359,527,0 129,0,2024-09-07 09:39:31:005,134498,0.3,133857,0.4,268243,0.3,356990,1.50 129,1,2024-09-07 09:39:30:573,923130,923130,0,0,432831099197,4535277445,914100,7085,1945,379,391962,0 129,2,2024-09-07 09:39:30:689,668008,668004,4,0,27326004,0,5335 129,3,2024-09-07 09:39:30:690,1,527,3,0,506,7383,527,0 130,0,2024-09-07 09:39:31:732,136668,0.4,136170,0.6,273118,0.4,363232,1.75 130,1,2024-09-07 09:39:30:590,926658,926658,0,0,435897918067,4526759487,921861,4409,388,381,391825,0 130,2,2024-09-07 09:39:31:128,670210,670210,0,0,27345353,0,4067 130,3,2024-09-07 09:39:31:310,1,527,1,0,960,7321,527,0 131,0,2024-09-07 09:39:31:968,131061,0.4,131475,0.5,263417,0.3,349480,1.75 131,1,2024-09-07 09:39:31:824,926103,926103,0,0,434800311534,4534702953,919218,5693,1192,381,391865,0 131,2,2024-09-07 09:39:30:570,666417,666417,0,0,25300541,0,3979 131,3,2024-09-07 09:39:31:703,1,527,77,0,392,6462,527,0 132,0,2024-09-07 09:39:31:444,130319,0.4,131356,0.6,261492,0.4,347885,1.75 132,1,2024-09-07 09:39:30:591,922476,922476,0,0,433517814191,4558852577,909991,10289,2196,381,392532,0 132,2,2024-09-07 09:39:30:721,665305,665288,17,0,33231983,0,6451 132,3,2024-09-07 09:39:31:697,1,527,5,0,804,8741,527,0 133,0,2024-09-07 09:39:31:556,128123,0.4,130910,0.6,268901,0.3,350247,1.75 133,1,2024-09-07 09:39:30:587,922183,922183,0,0,433526468407,4567258106,909672,10820,1691,383,391914,0 133,2,2024-09-07 09:39:31:099,667284,667234,50,0,33629605,0,6861 133,3,2024-09-07 09:39:31:307,1,527,1,0,479,6288,527,0 134,0,2024-09-07 09:39:30:984,137768,0.5,137713,0.7,275762,0.5,367007,2.00 134,1,2024-09-07 09:39:30:590,924152,924152,0,0,433119898658,4539309766,912753,9252,2147,366,391718,0 134,2,2024-09-07 09:39:31:761,665958,665934,24,0,31648175,0,6207 134,3,2024-09-07 09:39:30:759,1,527,2,0,739,6340,527,0 135,0,2024-09-07 09:39:31:114,128419,0.9,128434,0.9,272682,1.1,351576,2.25 135,1,2024-09-07 09:39:31:591,923601,923601,0,0,434218754613,4564520579,911964,10071,1566,380,391805,0 135,2,2024-09-07 09:39:30:694,668414,668414,0,0,31884429,0,4503 135,3,2024-09-07 09:39:31:011,1,527,1,0,900,5355,527,0 136,0,2024-09-07 09:39:31:618,129806,0.5,129982,0.7,258949,0.5,345377,2.00 136,1,2024-09-07 09:39:31:483,924668,924668,0,0,432801326518,4536313811,914282,9116,1270,381,392135,0 136,2,2024-09-07 09:39:31:142,666453,666438,15,0,31126968,0,6007 136,3,2024-09-07 09:39:31:122,1,527,1,0,637,6292,527,0 137,0,2024-09-07 09:39:30:935,133689,0.5,130346,0.7,255379,0.5,347248,2.00 137,1,2024-09-07 09:39:30:580,922756,922756,0,0,433900487301,4546101776,909297,10787,2672,366,391898,0 137,2,2024-09-07 09:39:31:721,664134,664134,0,0,32780933,0,3185 137,3,2024-09-07 09:39:30:778,1,527,18,0,484,6857,527,0 138,0,2024-09-07 09:39:31:784,134779,0.8,135039,0.9,270626,0.9,359537,2.00 138,1,2024-09-07 09:39:31:690,923966,923966,0,0,434764472005,4557605437,911226,10769,1971,368,391954,0 138,2,2024-09-07 09:39:30:593,665645,665645,0,0,30125653,0,4988 138,3,2024-09-07 09:39:30:625,1,527,1,0,1200,8571,527,0 139,0,2024-09-07 09:39:31:411,132685,1.3,133096,1.0,266279,1.8,355340,2.50 139,1,2024-09-07 09:39:30:577,919937,919937,0,0,432375934477,4583099614,903992,12483,3462,380,392058,0 139,2,2024-09-07 09:39:30:700,665808,665808,0,0,33545914,0,3097 139,3,2024-09-07 09:39:31:665,1,527,1,0,432,6016,527,0 140,0,2024-09-07 09:39:31:599,129423,0.3,128569,0.5,257946,0.2,343864,1.75 140,1,2024-09-07 09:39:31:542,929234,929234,0,0,437387590976,4507866866,924652,4044,538,364,391606,0 140,2,2024-09-07 09:39:30:693,666019,666018,1,0,25556533,0,5036 140,3,2024-09-07 09:39:30:767,1,527,4,0,297,4891,527,0 141,0,2024-09-07 09:39:31:716,128761,0.3,132223,0.4,252520,0.2,342571,1.50 141,1,2024-09-07 09:39:30:867,927568,927568,0,0,436774628812,4535250108,920461,6022,1085,379,391614,0 141,2,2024-09-07 09:39:31:695,665423,665412,11,0,27172982,0,5369 141,3,2024-09-07 09:39:31:050,1,527,6,0,391,5943,527,0 142,0,2024-09-07 09:39:31:312,135247,0.3,134264,0.5,269008,0.3,359175,1.50 142,1,2024-09-07 09:39:30:604,925765,925765,0,0,434778881029,4530493560,920101,5205,459,382,392102,0 142,2,2024-09-07 09:39:31:298,666332,666300,32,0,27781093,0,6028 142,3,2024-09-07 09:39:31:752,1,527,1,0,484,5480,527,0 143,0,2024-09-07 09:39:31:401,134912,0.4,134920,0.6,270698,0.4,359546,1.75 143,1,2024-09-07 09:39:30:565,927659,927659,0,0,435876647885,4522295217,921577,5524,558,367,391722,0 143,2,2024-09-07 09:39:30:769,671290,671290,0,0,27273248,0,3123 143,3,2024-09-07 09:39:31:144,1,527,2,0,462,6577,527,0 144,0,2024-09-07 09:39:31:577,126114,0.6,129736,0.8,263860,0.6,345524,2.00 144,1,2024-09-07 09:39:30:587,923045,923045,0,0,433228325327,4545236243,913650,7427,1968,381,391733,0 144,2,2024-09-07 09:39:31:756,665594,665594,0,0,25867030,0,3673 144,3,2024-09-07 09:39:31:744,1,527,1,0,249,5106,527,0 145,0,2024-09-07 09:39:31:402,125356,0.5,125290,0.7,266073,0.5,341957,2.25 145,1,2024-09-07 09:39:30:566,922641,922641,0,0,433315054484,4559992994,910268,9906,2467,382,391759,0 145,2,2024-09-07 09:39:31:445,662918,662836,82,0,31980193,0,7814 145,3,2024-09-07 09:39:30:908,1,527,5,0,622,7454,527,0 146,0,2024-09-07 09:39:31:601,132221,0.5,131606,0.7,264354,0.5,351585,2.00 146,1,2024-09-07 09:39:31:595,923761,923761,0,0,433608382066,4556800444,909317,10643,3801,367,391770,0 146,2,2024-09-07 09:39:31:699,665522,665516,6,0,30293236,0,5151 146,3,2024-09-07 09:39:31:278,1,527,1,0,1520,9031,527,0 147,0,2024-09-07 09:39:31:712,136882,0.6,136674,0.7,272835,0.6,364247,2.25 147,1,2024-09-07 09:39:31:377,927251,927251,0,0,435962738845,4528712352,919963,6409,879,367,391791,0 147,2,2024-09-07 09:39:31:037,667454,667454,0,0,26987586,0,2968 147,3,2024-09-07 09:39:30:921,1,527,2,0,1626,8537,527,0 0,0,2024-09-07 09:39:41:742,126898,0.5,126880,0.7,269359,0.5,347937,2.00 0,1,2024-09-07 09:39:40:802,925966,925966,0,0,434994627153,4553314298,918444,6680,842,369,391896,0 0,2,2024-09-07 09:39:41:070,669070,669070,0,0,27002166,0,4480 0,3,2024-09-07 09:39:40:979,1,528,0,0,431,7261,528,0 1,0,2024-09-07 09:39:41:799,137143,0.9,136239,0.9,273864,1.2,366425,2.00 1,1,2024-09-07 09:39:40:557,925109,925109,0,0,433937532400,4546024429,916388,7253,1468,370,391859,0 1,2,2024-09-07 09:39:40:641,667822,667822,0,0,26380374,0,3380 1,3,2024-09-07 09:39:41:301,1,528,1,0,269,6577,528,0 2,0,2024-09-07 09:39:41:571,133528,0.6,133495,0.8,266710,0.7,356066,2.00 2,1,2024-09-07 09:39:40:861,928248,928248,0,0,436255836285,4532324760,923276,4055,917,379,391745,0 2,2,2024-09-07 09:39:41:266,670900,670900,0,0,25031646,0,3594 2,3,2024-09-07 09:39:40:690,1,528,1,0,357,4753,528,0 3,0,2024-09-07 09:39:41:745,129196,0.4,129074,0.6,257662,0.3,343426,2.00 3,1,2024-09-07 09:39:41:625,926866,926866,0,0,435841335281,4535857580,919397,6766,703,379,391716,0 3,2,2024-09-07 09:39:41:142,667299,667276,23,0,26080266,0,5851 3,3,2024-09-07 09:39:41:755,1,528,0,0,207,3257,528,0 4,0,2024-09-07 09:39:41:770,125101,0.3,128534,0.5,262119,0.3,342869,1.75 4,1,2024-09-07 09:39:40:591,923496,923496,0,0,433352512646,4588888533,907142,12666,3688,370,391992,0 4,2,2024-09-07 09:39:41:017,663610,663610,0,0,31969399,0,4534 4,3,2024-09-07 09:39:41:028,1,528,1,0,448,6727,528,0 5,0,2024-09-07 09:39:41:390,135221,0.4,135512,0.5,270967,0.4,360520,1.75 5,1,2024-09-07 09:39:40:758,924523,924523,0,0,434811861555,4574597556,911773,10335,2415,367,392005,0 5,2,2024-09-07 09:39:41:829,664757,664757,0,0,30996828,0,3582 5,3,2024-09-07 09:39:41:738,1,528,0,0,457,7168,528,0 6,0,2024-09-07 09:39:40:919,134618,0.5,134255,0.7,268784,0.5,358029,2.00 6,1,2024-09-07 09:39:40:752,926619,926619,0,0,434538220425,4537394012,917043,8118,1458,379,391702,0 6,2,2024-09-07 09:39:41:120,671754,671736,18,0,30024095,0,5535 6,3,2024-09-07 09:39:41:274,1,528,5,0,710,6262,528,0 7,0,2024-09-07 09:39:41:533,128310,0.5,129148,0.7,257136,0.5,342064,2.00 7,1,2024-09-07 09:39:40:850,925015,925015,0,0,434543839715,4561923598,912089,10694,2232,382,391747,0 7,2,2024-09-07 09:39:40:769,665818,665818,0,0,29157023,0,4791 7,3,2024-09-07 09:39:40:860,1,528,1,0,552,5907,528,0 8,0,2024-09-07 09:39:41:369,128923,0.3,128500,0.5,257914,0.3,342978,1.75 8,1,2024-09-07 09:39:41:015,923061,923061,0,0,433776275948,4558710684,908798,11131,3132,366,392853,0 8,2,2024-09-07 09:39:40:802,661619,661617,2,0,34921487,0,5112 8,3,2024-09-07 09:39:40:586,1,528,1,0,538,7841,528,0 9,0,2024-09-07 09:39:41:133,133765,0.3,130122,0.5,272572,0.3,357777,1.75 9,1,2024-09-07 09:39:40:846,923618,923618,0,0,434327021561,4573128372,910125,10841,2652,369,392001,0 9,2,2024-09-07 09:39:41:091,666957,666956,1,0,31641248,0,5281 9,3,2024-09-07 09:39:41:765,1,528,45,0,1273,8793,528,0 10,0,2024-09-07 09:39:41:599,135892,0.4,135458,0.5,271671,0.3,361661,1.75 10,1,2024-09-07 09:39:40:583,925814,925814,0,0,433883621184,4544467078,913447,10277,2090,381,391869,0 10,2,2024-09-07 09:39:40:761,670923,670923,0,0,34401271,0,4264 10,3,2024-09-07 09:39:40:875,1,528,1,0,649,5519,528,0 11,0,2024-09-07 09:39:41:007,130829,0.5,126973,0.6,265526,0.4,349624,1.75 11,1,2024-09-07 09:39:40:571,927054,927054,0,0,435359476164,4563372118,913577,10015,3462,383,391756,0 11,2,2024-09-07 09:39:41:128,665706,665706,0,0,30109795,0,4130 11,3,2024-09-07 09:39:41:308,1,528,1,0,843,7120,528,0 12,0,2024-09-07 09:39:40:938,131212,0.4,131284,0.5,262509,0.3,348655,1.75 12,1,2024-09-07 09:39:40:933,926482,926482,0,0,434846245474,4535562188,918807,6791,884,370,391960,0 12,2,2024-09-07 09:39:41:548,666071,666071,0,0,29989913,0,4390 12,3,2024-09-07 09:39:41:058,1,528,1,0,386,7064,528,0 13,0,2024-09-07 09:39:41:345,132650,0.4,132471,0.5,264242,0.4,352621,1.75 13,1,2024-09-07 09:39:41:531,924185,924185,0,0,434068758252,4561480413,914554,7336,2295,382,391740,0 13,2,2024-09-07 09:39:40:595,669354,669354,0,0,26932271,0,3287 13,3,2024-09-07 09:39:41:770,1,528,5,0,522,7332,528,0 14,0,2024-09-07 09:39:40:561,137638,0.4,138619,0.6,275160,0.4,366168,1.75 14,1,2024-09-07 09:39:41:575,930781,930781,0,0,437529205226,4526049414,923868,6185,728,364,391673,0 14,2,2024-09-07 09:39:40:763,669261,669231,30,0,28221156,0,6104 14,3,2024-09-07 09:39:41:131,1,528,3,0,1168,6028,528,0 15,0,2024-09-07 09:39:41:557,132523,0.4,132003,0.7,264828,0.4,353031,2.00 15,1,2024-09-07 09:39:41:608,928011,928011,0,0,435682442890,4536608815,920277,6249,1485,381,391619,0 15,2,2024-09-07 09:39:40:998,671081,671081,0,0,23754665,0,3622 15,3,2024-09-07 09:39:41:410,1,528,1,0,1126,7319,528,0 16,0,2024-09-07 09:39:40:946,129335,0.5,130094,0.7,259413,0.5,345241,2.00 16,1,2024-09-07 09:39:40:569,927519,927519,0,0,435103874571,4548062725,919096,7121,1302,370,392194,0 16,2,2024-09-07 09:39:41:450,666210,666210,0,0,27996687,0,4719 16,3,2024-09-07 09:39:41:141,1,528,38,0,358,6640,528,0 17,0,2024-09-07 09:39:41:787,134184,0.5,130795,0.7,256393,0.5,348102,2.00 17,1,2024-09-07 09:39:40:568,925669,925669,0,0,434829961169,4560238420,916365,7555,1749,368,392075,0 17,2,2024-09-07 09:39:41:674,669640,669639,1,0,28750119,0,5050 17,3,2024-09-07 09:39:40:574,1,528,2,0,518,7840,528,0 18,0,2024-09-07 09:39:41:000,134387,0.6,135237,0.8,269569,0.6,359835,2.25 18,1,2024-09-07 09:39:41:638,929726,929726,0,0,436023965890,4521562546,923614,5115,997,367,391725,0 18,2,2024-09-07 09:39:41:756,670124,670124,0,0,25497141,0,3541 18,3,2024-09-07 09:39:40:902,1,528,1,0,1059,4923,528,0 19,0,2024-09-07 09:39:41:540,133495,0.7,133866,0.8,266283,0.7,354969,2.25 19,1,2024-09-07 09:39:40:567,929116,929116,0,0,436898814117,4528773695,922128,5904,1084,367,391777,0 19,2,2024-09-07 09:39:41:751,674083,674083,0,0,23966566,0,3988 19,3,2024-09-07 09:39:41:129,1,528,1,0,524,4255,528,0 20,0,2024-09-07 09:39:41:352,128746,0.5,129028,0.7,257516,0.5,343595,2.00 20,1,2024-09-07 09:39:40:570,925863,925863,0,0,434686436173,4552369580,916655,7839,1369,369,391922,0 20,2,2024-09-07 09:39:40:928,665586,665586,0,0,29097988,0,3721 20,3,2024-09-07 09:39:40:589,1,528,1,0,468,8918,528,0 21,0,2024-09-07 09:39:41:126,128823,0.4,128942,0.6,257836,0.4,342415,1.75 21,1,2024-09-07 09:39:41:538,924438,924438,0,0,433220768409,4570002185,910280,11039,3119,368,392016,0 21,2,2024-09-07 09:39:41:070,663233,663213,20,0,34063590,0,5617 21,3,2024-09-07 09:39:41:403,1,528,0,0,713,6769,528,0 22,0,2024-09-07 09:39:41:723,134702,0.4,135330,0.6,269894,0.4,358621,2.00 22,1,2024-09-07 09:39:41:027,925202,925202,0,0,434078782163,4567939565,909970,12005,3227,382,391822,0 22,2,2024-09-07 09:39:40:759,666433,666407,26,0,28537374,0,6328 22,3,2024-09-07 09:39:41:073,1,528,1,0,228,4438,528,0 23,0,2024-09-07 09:39:41:373,134805,0.5,134362,0.7,268595,0.5,358100,2.25 23,1,2024-09-07 09:39:41:004,926298,926298,0,0,435424207285,4568094852,910592,11298,4408,365,391690,0 23,2,2024-09-07 09:39:41:091,672690,672690,0,0,27227627,0,3773 23,3,2024-09-07 09:39:41:759,1,528,648,0,855,8315,528,0 24,0,2024-09-07 09:39:40:850,131044,0.4,130289,0.6,262187,0.4,347780,1.75 24,1,2024-09-07 09:39:40:585,925096,925096,0,0,434342810442,4546179293,916132,7288,1676,367,392269,0 24,2,2024-09-07 09:39:41:074,665654,665654,0,0,32304490,0,4438 24,3,2024-09-07 09:39:41:700,1,528,0,0,468,6680,528,0 25,0,2024-09-07 09:39:41:355,133119,0.4,129688,0.6,254279,0.3,345927,1.75 25,1,2024-09-07 09:39:40:559,924365,924365,0,0,434173391011,4578623160,909387,12006,2972,369,391928,0 25,2,2024-09-07 09:39:41:606,664723,664723,0,0,33682583,0,3978 25,3,2024-09-07 09:39:41:000,1,528,169,0,532,5900,528,0 26,0,2024-09-07 09:39:41:733,132070,0.4,129302,0.6,271243,0.3,353129,1.75 26,1,2024-09-07 09:39:41:541,927187,927187,0,0,434843110920,4551569510,914347,10388,2452,380,391748,0 26,2,2024-09-07 09:39:40:862,667629,667629,0,0,35709865,0,4689 26,3,2024-09-07 09:39:41:720,1,528,5,0,796,5772,528,0 27,0,2024-09-07 09:39:41:727,136897,0.4,137520,0.6,273374,0.4,364212,2.25 27,1,2024-09-07 09:39:41:684,928055,928055,0,0,436810904210,4547942452,919499,7287,1269,381,391626,0 27,2,2024-09-07 09:39:40:867,667113,667048,65,0,30835833,0,5699 27,3,2024-09-07 09:39:41:021,1,528,24,0,564,4583,528,0 28,0,2024-09-07 09:39:41:387,132461,0.4,132168,0.6,264969,0.3,352940,1.75 28,1,2024-09-07 09:39:40:802,928479,928479,0,0,436394158502,4548519311,920703,6062,1714,382,391698,0 28,2,2024-09-07 09:39:41:771,667949,667949,0,0,27080556,0,2915 28,3,2024-09-07 09:39:41:782,1,528,1,0,502,5260,528,0 29,0,2024-09-07 09:39:41:363,133938,0.3,130361,0.6,255901,0.3,349141,1.75 29,1,2024-09-07 09:39:41:567,930569,930569,0,0,437403512339,4523289370,925012,4795,762,367,391809,0 29,2,2024-09-07 09:39:40:863,666171,666171,0,0,26597066,0,4986 29,3,2024-09-07 09:39:40:963,1,528,1,0,459,5762,528,0 30,0,2024-09-07 09:39:41:486,130148,0.5,126665,0.6,265358,0.4,346833,2.00 30,1,2024-09-07 09:39:40:571,930085,930085,0,0,437282696824,4537555179,923108,6075,902,380,391672,0 30,2,2024-09-07 09:39:41:276,668010,668010,0,0,25611540,0,4192 30,3,2024-09-07 09:39:40:582,1,528,39,0,519,5107,528,0 31,0,2024-09-07 09:39:41:758,137082,0.5,137470,0.6,274370,0.4,366997,2.00 31,1,2024-09-07 09:39:40:569,933086,933086,0,0,438991199465,4506027959,927762,4313,1011,356,391712,0 31,2,2024-09-07 09:39:41:275,666967,666967,0,0,28131690,0,4470 31,3,2024-09-07 09:39:41:717,1,528,13,0,220,4466,528,0 32,0,2024-09-07 09:39:41:414,134084,0.3,134795,0.5,268400,0.3,357717,1.75 32,1,2024-09-07 09:39:40:804,929167,929167,0,0,436535259806,4536616074,923363,5095,709,381,391646,0 32,2,2024-09-07 09:39:40:935,671588,671588,0,0,24574174,0,3922 32,3,2024-09-07 09:39:41:015,1,528,0,0,304,4373,528,0 33,0,2024-09-07 09:39:41:490,129734,0.3,129254,0.4,258819,0.2,344433,1.50 33,1,2024-09-07 09:39:40:574,929744,929744,0,0,436818127232,4524047600,922510,6060,1174,368,391730,0 33,2,2024-09-07 09:39:40:759,668012,667977,35,0,27139837,0,7012 33,3,2024-09-07 09:39:40:906,1,528,6,0,329,4895,528,0 34,0,2024-09-07 09:39:41:165,129283,0.3,132832,0.4,253841,0.2,342279,1.75 34,1,2024-09-07 09:39:41:045,931641,931641,0,0,437694656561,4500767529,929131,2488,22,367,391637,0 34,2,2024-09-07 09:39:40:767,667959,667959,0,0,25646126,0,4562 34,3,2024-09-07 09:39:41:700,1,528,42,0,320,4072,528,0 35,0,2024-09-07 09:39:40:889,134530,0.3,135184,0.5,271636,0.2,361092,1.75 35,1,2024-09-07 09:39:41:070,928538,928538,0,0,435794988780,4520450540,921880,5445,1213,382,391769,0 35,2,2024-09-07 09:39:41:591,667739,667739,0,0,28246215,0,4055 35,3,2024-09-07 09:39:40:907,1,528,2,0,418,4908,528,0 36,0,2024-09-07 09:39:41:528,135006,0.5,134914,0.7,269767,0.5,358829,2.00 36,1,2024-09-07 09:39:40:583,928138,928138,0,0,435238586848,4545441123,915851,10066,2221,366,391759,0 36,2,2024-09-07 09:39:41:752,672023,672023,0,0,29695343,0,3875 36,3,2024-09-07 09:39:40:863,1,528,1,0,416,7177,528,0 37,0,2024-09-07 09:39:41:373,128540,0.5,128449,0.7,257135,0.5,342720,2.00 37,1,2024-09-07 09:39:40:569,926810,926803,0,7,435633635169,4548247018,915680,8447,2676,365,391770,0 37,2,2024-09-07 09:39:41:141,664093,664078,15,0,30113197,0,5815 37,3,2024-09-07 09:39:41:775,1,528,2,0,888,7374,528,0 38,0,2024-09-07 09:39:41:455,127981,0.4,124197,0.6,259778,0.3,340094,2.00 38,1,2024-09-07 09:39:41:605,927911,927911,0,0,435510104413,4551811746,914680,10712,2519,368,391821,0 38,2,2024-09-07 09:39:40:760,665156,665109,47,0,30261689,0,6710 38,3,2024-09-07 09:39:40:997,1,528,1,0,689,6703,528,0 39,0,2024-09-07 09:39:41:762,137155,0.5,134210,0.7,261432,0.4,357569,2.00 39,1,2024-09-07 09:39:40:715,926225,926225,0,0,435155044247,4564074503,910428,12327,3470,365,391865,0 39,2,2024-09-07 09:39:41:415,668883,668883,0,0,27955817,0,3391 39,3,2024-09-07 09:39:40:715,1,528,1,0,525,6277,528,0 40,0,2024-09-07 09:39:41:494,134615,0.9,135218,1.0,269963,1.1,359789,2.75 40,1,2024-09-07 09:39:40:582,928266,928266,0,0,434188551954,4539869740,916246,9841,2179,368,391668,0 40,2,2024-09-07 09:39:41:303,669208,669207,1,0,33404814,0,5137 40,3,2024-09-07 09:39:41:142,1,528,1,0,1028,7387,528,0 41,0,2024-09-07 09:39:41:030,130335,1.3,133158,1.1,254013,1.8,345447,3.00 41,1,2024-09-07 09:39:40:767,926609,926609,0,0,435217971121,4552747942,914680,9994,1935,369,391878,0 41,2,2024-09-07 09:39:40:758,663909,663908,1,0,32162837,0,5408 41,3,2024-09-07 09:39:41:682,1,528,83,0,366,5761,528,0 42,0,2024-09-07 09:39:41:479,129768,0.8,129492,0.9,259182,0.8,343474,2.50 42,1,2024-09-07 09:39:41:449,923626,923626,0,0,433569421280,4557773634,908611,12107,2908,380,391675,0 42,2,2024-09-07 09:39:41:132,665530,665530,0,0,31313641,0,3975 42,3,2024-09-07 09:39:41:009,1,528,2,0,892,4707,528,0 43,0,2024-09-07 09:39:40:919,130711,0.6,127320,0.9,266402,0.6,349759,2.25 43,1,2024-09-07 09:39:40:576,927156,927156,0,0,436011223659,4554434836,914286,10610,2260,366,391696,0 43,2,2024-09-07 09:39:41:736,667950,667950,0,0,30713887,0,4723 43,3,2024-09-07 09:39:41:752,1,528,74,0,571,7335,528,0 44,0,2024-09-07 09:39:40:883,137795,0.4,137762,0.6,276180,0.4,366699,1.75 44,1,2024-09-07 09:39:40:571,929790,929790,0,0,435992596137,4504926950,921992,6211,1587,356,391809,0 44,2,2024-09-07 09:39:41:278,666775,666775,0,0,25438169,0,4344 44,3,2024-09-07 09:39:41:093,1,528,0,0,817,5689,528,0 45,0,2024-09-07 09:39:41:758,131161,0.5,128138,0.7,268965,0.5,353231,2.00 45,1,2024-09-07 09:39:41:011,928586,928586,0,0,437475149978,4545946369,921073,6637,876,382,391917,0 45,2,2024-09-07 09:39:41:267,669779,669779,0,0,25755744,0,3596 45,3,2024-09-07 09:39:40:935,1,528,13,0,365,4981,528,0 46,0,2024-09-07 09:39:40:952,129068,0.5,128470,0.7,257949,0.4,342414,2.00 46,1,2024-09-07 09:39:40:575,930148,930148,0,0,436756565532,4513193339,923743,5542,863,366,391709,0 46,2,2024-09-07 09:39:40:593,667765,667765,0,0,26064078,0,4443 46,3,2024-09-07 09:39:41:131,1,528,25,0,908,6381,528,0 47,0,2024-09-07 09:39:41:100,130267,0.4,130408,0.6,261787,0.3,347155,1.75 47,1,2024-09-07 09:39:40:568,931317,931317,0,0,437891467741,4522112166,926077,4401,839,365,391641,0 47,2,2024-09-07 09:39:40:914,670264,670264,0,0,25825226,0,4477 47,3,2024-09-07 09:39:41:118,1,528,6,0,600,5951,528,0 48,0,2024-09-07 09:39:41:505,136024,0.3,136159,0.4,271661,0.2,361826,1.50 48,1,2024-09-07 09:39:41:024,928855,928855,0,0,436583516929,4534596498,923061,5221,573,384,391710,0 48,2,2024-09-07 09:39:40:699,667943,667943,0,0,23673862,0,3411 48,3,2024-09-07 09:39:40:754,1,528,1,0,339,4176,528,0 49,0,2024-09-07 09:39:41:713,137649,0.4,135040,0.5,262517,0.3,358972,1.75 49,1,2024-09-07 09:39:41:022,928305,928305,0,0,436083002447,4531954736,922737,4357,1211,382,391809,0 49,2,2024-09-07 09:39:41:797,672746,672746,0,0,26295745,0,4426 49,3,2024-09-07 09:39:41:415,1,528,1,0,992,6073,528,0 50,0,2024-09-07 09:39:41:513,129651,0.3,127961,0.5,257738,0.2,343235,1.75 50,1,2024-09-07 09:39:41:010,931685,931685,0,0,437627861791,4523414074,925739,5279,667,368,391565,0 50,2,2024-09-07 09:39:41:068,665971,665971,0,0,24056293,0,4490 50,3,2024-09-07 09:39:41:296,1,528,6,0,617,5455,528,0 51,0,2024-09-07 09:39:41:694,132223,0.3,129376,0.4,252101,0.2,343993,1.75 51,1,2024-09-07 09:39:41:684,932225,932225,0,0,438500534728,4519948014,927458,3712,1055,365,391706,0 51,2,2024-09-07 09:39:41:315,667078,667078,0,0,23328538,0,3337 51,3,2024-09-07 09:39:41:030,1,528,1,0,678,3787,528,0 52,0,2024-09-07 09:39:41:427,135283,0.5,134936,0.7,270126,0.4,359404,2.00 52,1,2024-09-07 09:39:40:575,927653,927653,0,0,434417427914,4546929921,914423,11125,2105,368,391722,0 52,2,2024-09-07 09:39:41:756,664482,664444,38,0,31110005,0,6742 52,3,2024-09-07 09:39:40:674,1,528,26,0,1782,6695,528,0 53,0,2024-09-07 09:39:41:732,134386,0.7,130342,0.8,272712,0.8,357651,2.50 53,1,2024-09-07 09:39:40:775,925806,925806,0,0,434667567046,4560322543,910443,11519,3844,367,391968,0 53,2,2024-09-07 09:39:41:299,672004,672003,1,0,28019201,0,5455 53,3,2024-09-07 09:39:40:700,1,528,1,0,308,5153,528,0 54,0,2024-09-07 09:39:41:613,128223,0.6,128579,0.8,256049,0.5,341629,2.25 54,1,2024-09-07 09:39:40:592,927819,927819,0,0,435595760821,4530407476,917751,8434,1634,366,391810,0 54,2,2024-09-07 09:39:40:866,666375,666343,32,0,31716216,0,6397 54,3,2024-09-07 09:39:40:763,1,528,1,0,676,7045,528,0 55,0,2024-09-07 09:39:41:779,125012,0.5,128959,0.7,261281,0.5,340044,2.25 55,1,2024-09-07 09:39:40:764,929065,929065,0,0,436019499530,4532939602,919382,8362,1321,365,391731,0 55,2,2024-09-07 09:39:40:729,665008,664952,56,0,29926696,0,7239 55,3,2024-09-07 09:39:40:684,1,528,0,0,304,4891,528,0 56,0,2024-09-07 09:39:41:617,135533,1.1,127708,1.1,263197,1.4,352585,2.50 56,1,2024-09-07 09:39:40:586,923612,923612,0,0,434148752161,4582998472,909288,11412,2912,381,391867,0 56,2,2024-09-07 09:39:41:303,667606,667484,122,0,31502509,0,7432 56,3,2024-09-07 09:39:41:059,1,528,1,0,705,6269,528,0 57,0,2024-09-07 09:39:40:943,134949,1.6,134858,1.3,270200,2.2,360943,3.25 57,1,2024-09-07 09:39:40:990,925232,925232,0,0,434838657647,4561143068,913025,9922,2285,366,392032,0 57,2,2024-09-07 09:39:41:325,669515,669515,0,0,32376486,0,4317 57,3,2024-09-07 09:39:41:738,1,528,2,0,455,5884,528,0 58,0,2024-09-07 09:39:40:556,130289,1.0,126550,1.0,264678,1.2,346495,2.75 58,1,2024-09-07 09:39:40:575,926817,926814,0,3,435518574272,4563116260,913010,10483,3321,367,391675,3 58,2,2024-09-07 09:39:41:087,667173,667173,0,0,30719266,0,3483 58,3,2024-09-07 09:39:41:069,1,528,1,0,1043,5816,528,0 59,0,2024-09-07 09:39:41:739,129704,0.8,129693,0.9,258399,0.8,343334,2.75 59,1,2024-09-07 09:39:40:804,925520,925520,0,0,434686327527,4564755985,910607,11774,3139,369,391653,0 59,2,2024-09-07 09:39:40:585,666097,666097,0,0,29353127,0,3727 59,3,2024-09-07 09:39:41:737,1,528,1,0,1015,6501,528,0 60,0,2024-09-07 09:39:41:736,130533,0.5,130856,0.7,261552,0.4,347841,1.75 60,1,2024-09-07 09:39:40:771,930114,930114,0,0,436632034224,4530844379,923925,5254,935,370,392031,0 60,2,2024-09-07 09:39:41:159,668290,668290,0,0,27826071,0,3811 60,3,2024-09-07 09:39:41:270,1,528,14,0,409,6129,528,0 61,0,2024-09-07 09:39:41:545,137010,0.7,137606,0.8,274005,0.7,366563,2.00 61,1,2024-09-07 09:39:40:778,926717,926717,0,0,435582390650,4560128444,916789,8347,1581,382,392127,0 61,2,2024-09-07 09:39:41:126,667869,667802,67,0,28765246,0,6411 61,3,2024-09-07 09:39:41:693,1,528,8,0,607,7515,528,0 62,0,2024-09-07 09:39:41:715,134350,0.6,137772,0.8,263096,0.7,357526,2.00 62,1,2024-09-07 09:39:41:110,932099,932093,0,6,438003546879,4513203246,927934,3879,280,365,391975,6 62,2,2024-09-07 09:39:41:648,668961,668960,1,0,27996810,0,5555 62,3,2024-09-07 09:39:41:142,1,528,1,0,482,4247,528,0 63,0,2024-09-07 09:39:41:474,129668,0.4,129381,0.6,259744,0.3,344841,1.75 63,1,2024-09-07 09:39:40:804,929156,929150,0,6,436619387450,4531175437,923392,5007,751,381,391800,6 63,2,2024-09-07 09:39:40:761,666764,666764,0,0,27067677,0,4369 63,3,2024-09-07 09:39:41:739,1,528,1,0,667,5581,528,0 64,0,2024-09-07 09:39:41:633,128496,0.5,128637,0.6,256612,0.4,341451,2.00 64,1,2024-09-07 09:39:40:767,928716,928716,0,0,435839537243,4537266409,920823,6122,1771,370,391794,0 64,2,2024-09-07 09:39:41:148,671143,671124,19,0,25955990,0,6121 64,3,2024-09-07 09:39:41:139,1,528,0,0,651,5497,528,0 65,0,2024-09-07 09:39:41:702,134290,0.6,134555,0.7,268693,0.6,358637,2.00 65,1,2024-09-07 09:39:40:860,927298,927298,0,0,434975951301,4545172042,920544,5956,798,381,391901,0 65,2,2024-09-07 09:39:41:705,667413,667413,0,0,30445915,0,3367 65,3,2024-09-07 09:39:41:701,1,528,2,0,782,6183,528,0 66,0,2024-09-07 09:39:41:783,134212,0.6,133725,0.8,267455,0.6,356169,2.25 66,1,2024-09-07 09:39:41:299,928934,928934,0,0,436052347698,4537660495,922786,5426,722,380,391743,0 66,2,2024-09-07 09:39:41:131,673881,673878,3,0,27833621,0,5455 66,3,2024-09-07 09:39:41:079,1,528,1,0,291,4515,528,0 67,0,2024-09-07 09:39:41:411,128964,0.5,128683,0.7,257918,0.5,342897,2.00 67,1,2024-09-07 09:39:40:765,928950,928949,0,1,436033381902,4538199248,922674,5461,814,380,391787,1 67,2,2024-09-07 09:39:40:583,668042,668027,15,0,26816220,0,6205 67,3,2024-09-07 09:39:41:750,1,528,1,0,595,5554,528,0 68,0,2024-09-07 09:39:40:593,128918,0.5,128797,0.7,256506,0.5,342546,2.00 68,1,2024-09-07 09:39:40:575,924707,924707,0,0,433355588198,4557321608,912311,8995,3401,381,391953,0 68,2,2024-09-07 09:39:41:044,662507,662407,100,0,34637069,0,8578 68,3,2024-09-07 09:39:40:728,1,528,1,0,417,6805,528,0 69,0,2024-09-07 09:39:41:754,133125,0.6,134133,0.8,267313,0.6,355440,2.25 69,1,2024-09-07 09:39:41:027,923988,923988,0,0,434441746367,4576937775,911729,9498,2761,384,391994,0 69,2,2024-09-07 09:39:41:739,666784,666755,29,0,35716005,0,6912 69,3,2024-09-07 09:39:40:761,1,528,0,0,698,7785,528,0 70,0,2024-09-07 09:39:41:536,134333,0.9,134751,1.0,271125,0.8,358579,2.50 70,1,2024-09-07 09:39:40:803,929729,929729,0,0,437575622809,4539337358,922474,6493,762,366,391725,0 70,2,2024-09-07 09:39:41:325,671063,671063,0,0,30025399,0,4323 70,3,2024-09-07 09:39:40:746,1,528,1,0,854,5699,528,0 71,0,2024-09-07 09:39:41:357,129606,1.1,129366,1.1,260007,1.5,346603,2.75 71,1,2024-09-07 09:39:41:596,927178,927178,0,0,435589278896,4545871854,915783,10139,1256,368,391738,0 71,2,2024-09-07 09:39:41:069,665690,665690,0,0,30644898,0,4352 71,3,2024-09-07 09:39:41:749,1,528,1,0,644,6254,528,0 72,0,2024-09-07 09:39:41:037,134740,0.5,131693,0.7,256990,0.5,349058,2.00 72,1,2024-09-07 09:39:41:031,925877,925877,0,0,434885703378,4561919651,912423,11171,2283,369,391819,0 72,2,2024-09-07 09:39:41:755,665080,665080,0,0,32267766,0,3983 72,3,2024-09-07 09:39:41:759,1,528,1,0,564,7554,528,0 73,0,2024-09-07 09:39:41:106,128476,0.4,131693,0.6,269348,0.4,350708,2.00 73,1,2024-09-07 09:39:40:774,928603,928603,0,0,435497827578,4521431683,922365,5813,425,367,391858,0 73,2,2024-09-07 09:39:41:744,667914,667914,0,0,32707727,0,4728 73,3,2024-09-07 09:39:40:972,1,528,1,0,486,6564,528,0 74,0,2024-09-07 09:39:41:326,138651,0.5,141840,0.7,270628,0.5,367025,2.00 74,1,2024-09-07 09:39:40:635,926803,926803,0,0,434863791625,4540470411,916350,8528,1925,381,391762,0 74,2,2024-09-07 09:39:41:006,667902,667902,0,0,28510449,0,4253 74,3,2024-09-07 09:39:41:443,1,528,12,0,522,6354,528,0 75,0,2024-09-07 09:39:41:766,133083,0.6,132242,0.8,265521,0.6,354746,2.25 75,1,2024-09-07 09:39:41:584,927981,927981,0,0,435421827032,4538647377,920085,7033,863,380,391739,0 75,2,2024-09-07 09:39:41:350,667740,667740,0,0,34196803,0,4766 75,3,2024-09-07 09:39:41:072,1,528,1,0,702,6220,528,0 76,0,2024-09-07 09:39:40:581,128970,0.5,128304,0.7,257182,0.5,344048,2.25 76,1,2024-09-07 09:39:40:815,927436,927436,0,0,435418046056,4540766741,920807,5662,967,382,391723,0 76,2,2024-09-07 09:39:41:062,668864,668861,3,0,28762732,0,5265 76,3,2024-09-07 09:39:41:147,1,528,1,0,227,4880,528,0 77,0,2024-09-07 09:39:41:694,129777,0.5,130107,0.7,260446,0.5,346156,2.00 77,1,2024-09-07 09:39:40:837,927621,927621,0,0,435544407457,4541938070,920778,6247,596,381,391869,0 77,2,2024-09-07 09:39:41:289,666639,666639,0,0,28307031,0,3890 77,3,2024-09-07 09:39:41:094,1,528,2,0,401,5606,528,0 78,0,2024-09-07 09:39:41:773,135976,0.4,135520,0.6,271849,0.4,360322,2.00 78,1,2024-09-07 09:39:40:612,927730,927730,0,0,435946905577,4544887626,916957,8246,2527,367,391670,0 78,2,2024-09-07 09:39:41:407,669014,669001,13,0,26286838,0,8313 78,3,2024-09-07 09:39:41:133,1,528,1,0,311,4583,528,0 79,0,2024-09-07 09:39:41:346,129503,0.4,132586,0.6,271551,0.4,353736,2.25 79,1,2024-09-07 09:39:40:571,930281,930281,0,0,436468346769,4521766335,922544,6380,1357,367,391682,0 79,2,2024-09-07 09:39:41:073,671889,671889,0,0,25344512,0,4195 79,3,2024-09-07 09:39:40:749,1,528,1,0,418,6307,528,0 80,0,2024-09-07 09:39:41:091,128768,0.5,132367,0.7,253240,0.5,342775,2.00 80,1,2024-09-07 09:39:41:678,927484,927484,0,0,435680420608,4533103779,921655,5401,428,368,392269,0 80,2,2024-09-07 09:39:41:090,668103,668103,0,0,25775767,0,4433 80,3,2024-09-07 09:39:40:575,1,528,1,0,296,6229,528,0 81,0,2024-09-07 09:39:41:600,129064,0.5,132052,0.7,251834,0.5,342424,2.00 81,1,2024-09-07 09:39:41:660,926491,926491,0,0,435273620760,4548713205,919089,6763,639,382,391885,0 81,2,2024-09-07 09:39:41:126,665825,665762,63,0,28764581,0,5932 81,3,2024-09-07 09:39:41:119,1,528,1,0,719,5984,528,0 82,0,2024-09-07 09:39:41:538,134535,0.5,134822,0.7,269980,0.4,358542,2.00 82,1,2024-09-07 09:39:40:583,929070,929066,0,4,436907978048,4540609804,923986,4259,821,381,391768,4 82,2,2024-09-07 09:39:41:697,669880,669880,0,0,23956172,0,4484 82,3,2024-09-07 09:39:41:756,1,528,8,0,363,5011,528,0 83,0,2024-09-07 09:39:41:529,134981,0.6,135006,0.8,269317,0.7,357699,2.25 83,1,2024-09-07 09:39:40:550,927891,927891,0,0,435516569830,4538556807,921533,5903,455,382,391709,0 83,2,2024-09-07 09:39:40:764,671764,671739,25,0,25999928,0,5612 83,3,2024-09-07 09:39:40:749,1,528,1,0,1260,6513,528,0 84,0,2024-09-07 09:39:41:818,129111,0.7,129299,0.9,258195,0.7,344971,2.25 84,1,2024-09-07 09:39:41:041,926458,926458,0,0,434905741585,4545913299,916218,8715,1525,367,391967,0 84,2,2024-09-07 09:39:40:571,665326,665296,30,0,34186432,0,5971 84,3,2024-09-07 09:39:41:173,1,528,2,0,908,7410,528,0 85,0,2024-09-07 09:39:41:005,124585,0.5,124633,0.7,264454,0.5,341612,2.25 85,1,2024-09-07 09:39:40:559,923080,923080,0,0,434350456779,4585616989,908805,11617,2658,381,392092,0 85,2,2024-09-07 09:39:40:865,664803,664803,0,0,31926822,0,4255 85,3,2024-09-07 09:39:40:685,1,528,5,0,789,6065,528,0 86,0,2024-09-07 09:39:40:884,132478,0.6,136143,0.7,260433,0.6,352970,2.25 86,1,2024-09-07 09:39:40:830,925963,925963,0,0,435252984417,4559319968,914659,9327,1977,366,391995,0 86,2,2024-09-07 09:39:40:873,666678,666677,1,0,34428230,0,5004 86,3,2024-09-07 09:39:40:586,1,528,3,0,308,7558,528,0 87,0,2024-09-07 09:39:41:302,136784,0.9,136600,0.9,273079,1.1,364649,2.25 87,1,2024-09-07 09:39:40:552,924952,924952,0,0,434670283996,4554272109,912745,10491,1716,366,392076,0 87,2,2024-09-07 09:39:41:070,669126,669120,6,0,30396886,0,6323 87,3,2024-09-07 09:39:42:030,1,528,8,0,473,7671,528,0 88,0,2024-09-07 09:39:41:473,132058,0.5,132668,0.6,265072,0.4,352768,1.75 88,1,2024-09-07 09:39:40:571,924609,924609,0,0,434578871050,4551383004,911961,10031,2617,365,392084,0 88,2,2024-09-07 09:39:40:687,667421,667421,0,0,32861282,0,4465 88,3,2024-09-07 09:39:41:275,1,528,5,0,435,6723,528,0 89,0,2024-09-07 09:39:41:771,134115,0.4,130041,0.6,256744,0.4,349223,1.75 89,1,2024-09-07 09:39:40:550,925211,925211,0,0,434339823923,4568995411,913779,9615,1817,382,391866,0 89,2,2024-09-07 09:39:41:131,666033,666033,0,0,31256890,0,3173 89,3,2024-09-07 09:39:41:791,1,528,2,0,468,8688,528,0 90,0,2024-09-07 09:39:41:630,126763,0.4,130314,0.6,265484,0.4,346568,1.75 90,1,2024-09-07 09:39:40:590,926245,926245,0,0,434659467719,4549067508,917731,7781,733,380,391825,0 90,2,2024-09-07 09:39:41:407,665446,665446,0,0,33484542,0,3608 90,3,2024-09-07 09:39:40:930,1,528,0,0,322,6486,528,0 91,0,2024-09-07 09:39:40:965,137609,0.5,133430,0.6,279066,0.4,367047,1.75 91,1,2024-09-07 09:39:40:556,924419,924419,0,0,433905206317,4562040463,912815,9592,2012,381,392047,0 91,2,2024-09-07 09:39:41:331,667843,667843,0,0,29530800,0,4713 91,3,2024-09-07 09:39:40:598,1,528,3,0,216,4999,528,0 92,0,2024-09-07 09:39:41:450,135479,0.5,138453,0.6,263873,0.5,357790,1.75 92,1,2024-09-07 09:39:40:585,926771,926771,0,0,435904303723,4552954778,919257,6307,1207,381,392136,0 92,2,2024-09-07 09:39:41:350,670979,670979,0,0,26601480,0,3259 92,3,2024-09-07 09:39:41:009,1,528,8,0,167,4538,528,0 93,0,2024-09-07 09:39:40:950,129973,0.4,133258,0.6,254373,0.3,344825,1.75 93,1,2024-09-07 09:39:40:807,927524,927524,0,0,435319729877,4543916096,917234,8556,1734,366,391776,0 93,2,2024-09-07 09:39:40:928,666486,666486,0,0,32278488,0,4913 93,3,2024-09-07 09:39:41:410,1,528,2,0,190,4750,528,0 94,0,2024-09-07 09:39:41:635,128534,0.3,129330,0.5,258462,0.3,343185,1.75 94,1,2024-09-07 09:39:40:568,927711,927711,0,0,436197954627,4548185442,921600,5735,376,381,391850,0 94,2,2024-09-07 09:39:40:770,666694,666666,28,0,27600321,0,6179 94,3,2024-09-07 09:39:41:697,1,528,24,0,576,6623,528,0 95,0,2024-09-07 09:39:41:351,135380,0.3,135218,0.5,270709,0.3,361146,1.75 95,1,2024-09-07 09:39:40:868,928976,928976,0,0,436409155871,4534537078,921618,6699,659,365,391852,0 95,2,2024-09-07 09:39:41:023,667086,667086,0,0,26351873,0,3308 95,3,2024-09-07 09:39:41:709,1,528,1,0,718,8189,528,0 96,0,2024-09-07 09:39:41:033,134795,0.4,134957,0.5,269769,0.3,358187,1.75 96,1,2024-09-07 09:39:41:591,927281,927281,0,0,434890773121,4534763657,920885,5481,915,384,391955,0 96,2,2024-09-07 09:39:41:273,672492,672492,0,0,27332749,0,4180 96,3,2024-09-07 09:39:41:139,1,528,1,0,411,5553,528,0 97,0,2024-09-07 09:39:41:314,128946,0.3,128866,0.5,258703,0.3,343097,1.50 97,1,2024-09-07 09:39:40:767,929272,929272,0,0,436441822209,4525737966,923513,4814,945,367,392140,0 97,2,2024-09-07 09:39:40:609,667419,667419,0,0,26752993,0,3679 97,3,2024-09-07 09:39:40:571,1,528,1,0,242,6067,528,0 98,0,2024-09-07 09:39:41:712,128344,0.3,128487,0.4,257760,0.2,342096,1.50 98,1,2024-09-07 09:39:40:571,928327,928327,0,0,435383487122,4532660455,922915,4612,800,381,391997,0 98,2,2024-09-07 09:39:40:769,666677,666677,0,0,26313249,0,4336 98,3,2024-09-07 09:39:40:698,1,528,155,0,840,8257,528,0 99,0,2024-09-07 09:39:41:451,133792,0.3,134586,0.4,267773,0.3,357951,1.75 99,1,2024-09-07 09:39:41:728,928428,928428,0,0,435199008451,4528718117,922456,5044,928,380,392069,0 99,2,2024-09-07 09:39:41:415,668904,668904,0,0,31690364,0,4276 99,3,2024-09-07 09:39:40:583,1,528,2,0,606,5271,528,0 100,0,2024-09-07 09:39:41:477,135635,0.8,135901,0.9,271246,1.0,362079,2.50 100,1,2024-09-07 09:39:40:548,923350,923350,0,0,433729952039,4584679522,909008,11243,3099,378,391989,0 100,2,2024-09-07 09:39:41:824,668700,668689,11,0,31203332,0,5417 100,3,2024-09-07 09:39:41:732,1,528,14,0,627,9435,528,0 101,0,2024-09-07 09:39:41:744,133598,1.2,130239,1.1,255307,1.2,348892,2.25 101,1,2024-09-07 09:39:40:550,924936,924936,0,0,434472317382,4564193955,912539,9996,2401,368,391847,0 101,2,2024-09-07 09:39:41:757,662407,662407,0,0,36138030,0,4871 101,3,2024-09-07 09:39:40:951,1,528,1,0,1250,8090,528,0 102,0,2024-09-07 09:39:40:962,126854,0.6,130800,0.7,265428,0.5,347187,2.00 102,1,2024-09-07 09:39:41:154,924782,924782,0,0,433631402653,4553866086,912567,10193,2022,369,391891,0 102,2,2024-09-07 09:39:41:739,666074,666020,54,0,30521953,0,6768 102,3,2024-09-07 09:39:41:619,1,528,1,0,466,6153,528,0 103,0,2024-09-07 09:39:41:592,136108,0.5,136126,0.7,256521,0.5,353457,2.00 103,1,2024-09-07 09:39:41:635,923353,923353,0,0,433693808912,4577122803,909160,10994,3199,381,391862,0 103,2,2024-09-07 09:39:40:588,666586,666586,0,0,32351145,0,3766 103,3,2024-09-07 09:39:40:756,1,528,2,0,916,6267,528,0 104,0,2024-09-07 09:39:41:031,136585,0.7,136688,0.9,272869,0.7,364988,2.25 104,1,2024-09-07 09:39:41:598,926180,926180,0,0,434362394578,4561614205,913119,10510,2551,365,392168,0 104,2,2024-09-07 09:39:41:681,666051,666051,0,0,31423563,0,3941 104,3,2024-09-07 09:39:41:423,1,528,10,0,1245,9195,528,0 105,0,2024-09-07 09:39:41:044,131433,1.0,128084,1.1,268381,1.1,352825,2.75 105,1,2024-09-07 09:39:40:554,927415,927415,0,0,435516417418,4561509421,915810,9836,1769,365,392009,0 105,2,2024-09-07 09:39:41:326,667665,667665,0,0,31570651,0,4360 105,3,2024-09-07 09:39:41:304,1,528,1,0,399,7464,528,0 106,0,2024-09-07 09:39:40:966,125116,0.7,128137,0.9,262496,0.7,342736,2.50 106,1,2024-09-07 09:39:41:753,926238,926238,0,0,435057103020,4562938905,913619,10970,1649,368,391914,0 106,2,2024-09-07 09:39:40:757,665601,665601,0,0,29752122,0,2920 106,3,2024-09-07 09:39:40:690,1,528,5,0,1224,7947,528,0 107,0,2024-09-07 09:39:41:105,129983,0.7,130075,0.8,260011,0.7,347192,2.00 107,1,2024-09-07 09:39:40:597,924384,924384,0,0,433743129759,4572171365,911480,11511,1393,381,392234,0 107,2,2024-09-07 09:39:41:296,665062,665061,1,0,32560820,0,5024 107,3,2024-09-07 09:39:41:755,1,528,1,0,733,7958,528,0 108,0,2024-09-07 09:39:41:806,135507,0.4,136162,0.6,271129,0.4,361868,1.75 108,1,2024-09-07 09:39:41:297,927159,927159,0,0,436017845425,4547205367,919242,6946,971,367,391857,0 108,2,2024-09-07 09:39:41:771,666486,666486,0,0,29081962,0,4246 108,3,2024-09-07 09:39:41:340,1,528,1,0,749,10311,528,0 109,0,2024-09-07 09:39:41:746,134805,0.4,133543,0.6,267719,0.4,357895,1.75 109,1,2024-09-07 09:39:40:583,924514,924514,0,0,434609179000,4558795980,916216,7081,1217,382,392132,0 109,2,2024-09-07 09:39:40:923,669622,669622,0,0,29096166,0,3617 109,3,2024-09-07 09:39:41:139,1,528,1,0,630,6558,528,0 110,0,2024-09-07 09:39:41:760,128839,0.4,125365,0.6,262516,0.3,344063,1.75 110,1,2024-09-07 09:39:41:653,928325,928325,0,0,436393600385,4531550321,920793,5610,1922,368,392045,0 110,2,2024-09-07 09:39:41:308,666603,666603,0,0,27454019,0,4067 110,3,2024-09-07 09:39:40:697,1,528,16,0,722,7300,528,0 111,0,2024-09-07 09:39:41:412,129324,0.3,128584,0.5,257585,0.3,343750,1.75 111,1,2024-09-07 09:39:41:000,929914,929914,0,0,437321640205,4533168204,925370,4187,357,380,391690,0 111,2,2024-09-07 09:39:41:120,666177,666177,0,0,28034700,0,4823 111,3,2024-09-07 09:39:40:913,1,528,24,0,379,5989,528,0 112,0,2024-09-07 09:39:40:912,135574,0.3,134899,0.4,270625,0.2,359526,1.50 112,1,2024-09-07 09:39:40:830,928623,928623,0,0,436563440383,4530846475,922720,5212,691,380,391624,0 112,2,2024-09-07 09:39:41:132,668024,668023,1,0,26514509,0,5036 112,3,2024-09-07 09:39:40:594,1,528,27,0,282,5049,528,0 113,0,2024-09-07 09:39:40:872,135247,0.3,135132,0.5,270455,0.3,359883,1.75 113,1,2024-09-07 09:39:41:713,931373,931373,0,0,437615203450,4514500933,926120,4440,813,365,391661,0 113,2,2024-09-07 09:39:41:303,674404,674404,0,0,24191002,0,3813 113,3,2024-09-07 09:39:40:689,1,528,0,0,340,5529,528,0 114,0,2024-09-07 09:39:40:875,130572,0.3,131373,0.5,261168,0.2,348945,1.75 114,1,2024-09-07 09:39:40:716,928459,928459,0,0,436509298810,4531642587,921550,5293,1616,381,391565,0 114,2,2024-09-07 09:39:40:873,667619,667618,1,0,26026159,0,5069 114,3,2024-09-07 09:39:41:278,1,528,1,0,395,4245,528,0 115,0,2024-09-07 09:39:40:558,129931,0.3,130461,0.4,260085,0.2,345262,1.50 115,1,2024-09-07 09:39:40:571,929179,929179,0,0,436702672812,4536302334,921779,6043,1357,382,391757,0 115,2,2024-09-07 09:39:41:125,669045,669045,0,0,25602807,0,4382 115,3,2024-09-07 09:39:41:006,1,528,1,0,167,3019,528,0 116,0,2024-09-07 09:39:41:714,132151,0.6,132091,0.8,264767,0.5,353997,2.00 116,1,2024-09-07 09:39:40:803,924525,924525,0,0,434567099459,4578376226,913862,8217,2446,380,392089,0 116,2,2024-09-07 09:39:41:752,667232,667232,0,0,32787343,0,4475 116,3,2024-09-07 09:39:40:912,1,528,1,0,415,6479,528,0 117,0,2024-09-07 09:39:40:950,137098,0.7,136511,0.8,273517,0.8,365312,2.00 117,1,2024-09-07 09:39:41:595,925545,925545,0,0,435076293036,4552669970,915517,8803,1225,369,392033,0 117,2,2024-09-07 09:39:41:118,671137,671137,0,0,28755010,0,4303 117,3,2024-09-07 09:39:41:062,1,528,3,0,490,7182,528,0 118,0,2024-09-07 09:39:41:773,128126,0.6,131717,0.7,268450,0.6,351227,2.00 118,1,2024-09-07 09:39:40:585,924819,924819,0,0,434055941036,4561334630,911314,10382,3123,366,392054,0 118,2,2024-09-07 09:39:41:594,666323,666323,0,0,31251680,0,2842 118,3,2024-09-07 09:39:41:767,1,528,2,0,248,5909,528,0 119,0,2024-09-07 09:39:41:371,129928,0.6,130402,0.8,260510,0.6,347364,2.00 119,1,2024-09-07 09:39:40:547,926061,926061,0,0,435514371248,4561830025,914331,9962,1768,367,391857,0 119,2,2024-09-07 09:39:41:270,667077,667077,0,0,29085085,0,4174 119,3,2024-09-07 09:39:41:324,1,528,1,0,1358,9785,528,0 120,0,2024-09-07 09:39:41:583,129988,0.6,129646,0.8,260666,0.6,347360,2.25 120,1,2024-09-07 09:39:40:863,926434,926434,0,0,434564904046,4552840482,917134,8520,780,367,392144,0 120,2,2024-09-07 09:39:40:774,666759,666758,1,0,33788492,0,5281 120,3,2024-09-07 09:39:41:303,1,528,0,0,279,6554,528,0 121,0,2024-09-07 09:39:41:771,137343,1.3,136747,1.1,273961,1.7,366164,2.25 121,1,2024-09-07 09:39:41:661,926788,926788,0,0,434996385086,4545362135,918048,8039,701,366,391840,0 121,2,2024-09-07 09:39:41:147,666345,666345,0,0,31023739,0,4157 121,3,2024-09-07 09:39:40:728,1,528,0,0,330,6298,528,0 122,0,2024-09-07 09:39:41:780,133341,0.9,129693,0.9,271760,1.0,357632,2.25 122,1,2024-09-07 09:39:40:869,925235,925235,0,0,434867807300,4560357080,912777,10572,1886,366,392130,0 122,2,2024-09-07 09:39:41:324,670736,670661,75,0,35278864,0,5989 122,3,2024-09-07 09:39:40:594,1,528,9,0,512,8850,528,0 123,0,2024-09-07 09:39:40:956,128750,0.8,125248,0.9,262335,0.9,343418,2.25 123,1,2024-09-07 09:39:40:559,925788,925788,0,0,434625356437,4570847406,910576,12707,2505,369,392039,0 123,2,2024-09-07 09:39:41:018,664288,664287,1,0,29962079,0,5215 123,3,2024-09-07 09:39:41:132,1,528,1,0,478,6103,528,0 124,0,2024-09-07 09:39:40:941,132793,0.3,132779,0.5,250168,0.3,344393,1.75 124,1,2024-09-07 09:39:41:022,928620,928620,0,0,435209927959,4523639171,921804,5684,1132,365,392178,0 124,2,2024-09-07 09:39:41:009,667951,667898,53,0,27345682,0,6487 124,3,2024-09-07 09:39:40:764,1,528,3,0,490,5480,528,0 125,0,2024-09-07 09:39:41:439,135158,0.4,134925,0.5,271087,0.3,361121,1.75 125,1,2024-09-07 09:39:40:859,927315,927315,0,0,435110669875,4540834749,920332,5999,984,382,391813,0 125,2,2024-09-07 09:39:41:119,670015,670015,0,0,27374617,0,4534 125,3,2024-09-07 09:39:41:126,1,528,77,0,709,6266,528,0 126,0,2024-09-07 09:39:41:424,134804,0.4,138601,0.6,265188,0.4,358891,1.75 126,1,2024-09-07 09:39:40:556,930173,930173,0,0,436768404616,4520219315,925487,4375,311,365,391987,0 126,2,2024-09-07 09:39:40:611,672620,672620,0,0,28230434,0,4539 126,3,2024-09-07 09:39:40:912,1,528,2,0,268,5864,528,0 127,0,2024-09-07 09:39:41:598,129247,0.3,129588,0.5,258583,0.3,343399,1.75 127,1,2024-09-07 09:39:40:573,928409,928409,0,0,435421946381,4530365716,919038,7867,1504,364,392187,0 127,2,2024-09-07 09:39:40:641,665620,665616,4,0,26846091,0,5305 127,3,2024-09-07 09:39:41:269,1,528,24,0,968,5238,528,0 128,0,2024-09-07 09:39:41:539,129240,0.3,129278,0.4,258080,0.2,343016,1.50 128,1,2024-09-07 09:39:41:605,927299,927299,0,0,436094238987,4529035006,920822,5741,736,367,392031,0 128,2,2024-09-07 09:39:41:384,667909,667909,0,0,25016910,0,3171 128,3,2024-09-07 09:39:40:767,1,528,2,0,1082,8361,528,0 129,0,2024-09-07 09:39:40:993,134978,0.3,134341,0.4,269233,0.3,358356,1.50 129,1,2024-09-07 09:39:40:570,924879,924879,0,0,433784809518,4545040445,915849,7085,1945,379,391962,0 129,2,2024-09-07 09:39:40:686,669398,669394,4,0,27343285,0,5335 129,3,2024-09-07 09:39:40:688,1,528,2,0,506,7385,528,0 130,0,2024-09-07 09:39:41:730,136750,0.4,136289,0.6,273336,0.4,363535,1.75 130,1,2024-09-07 09:39:40:588,928414,928414,0,0,436826540640,4536211373,923617,4409,388,381,391825,0 130,2,2024-09-07 09:39:41:124,671594,671594,0,0,27366325,0,4067 130,3,2024-09-07 09:39:41:298,1,528,2,0,960,7323,528,0 131,0,2024-09-07 09:39:41:932,131161,0.4,131595,0.5,263617,0.3,349741,1.75 131,1,2024-09-07 09:39:41:836,927991,927991,0,0,435857943815,4545491696,921105,5694,1192,381,391865,0 131,2,2024-09-07 09:39:40:572,667329,667329,0,0,25310686,0,3979 131,3,2024-09-07 09:39:41:704,1,528,43,0,392,6505,528,0 132,0,2024-09-07 09:39:41:438,130495,0.4,131555,0.6,261902,0.4,348384,1.75 132,1,2024-09-07 09:39:40:586,924229,924229,0,0,434282096522,4566645701,911742,10290,2197,381,392532,0 132,2,2024-09-07 09:39:40:698,666713,666696,17,0,33241966,0,6451 132,3,2024-09-07 09:39:41:692,1,528,1,0,804,8742,528,0 133,0,2024-09-07 09:39:41:545,128546,0.4,131365,0.6,269735,0.3,351438,1.75 133,1,2024-09-07 09:39:40:592,923912,923912,0,0,434453443518,4576703855,911401,10820,1691,383,391914,0 133,2,2024-09-07 09:39:41:087,668701,668651,50,0,33638993,0,6861 133,3,2024-09-07 09:39:41:299,1,528,4,0,479,6292,528,0 134,0,2024-09-07 09:39:40:979,137904,0.5,137840,0.7,275995,0.5,367307,2.00 134,1,2024-09-07 09:39:40:589,925905,925905,0,0,433886082295,4547103289,914506,9252,2147,366,391718,0 134,2,2024-09-07 09:39:41:756,667540,667516,24,0,31659447,0,6207 134,3,2024-09-07 09:39:40:750,1,528,1,0,739,6341,528,0 135,0,2024-09-07 09:39:41:113,128721,0.9,128716,0.9,273301,1.1,352378,2.25 135,1,2024-09-07 09:39:41:584,925460,925460,0,0,434930698708,4571809982,913823,10071,1566,380,391805,0 135,2,2024-09-07 09:39:40:696,669103,669103,0,0,31888747,0,4503 135,3,2024-09-07 09:39:41:007,1,528,1,0,900,5356,528,0 136,0,2024-09-07 09:39:41:619,130032,0.5,130195,0.7,259392,0.5,345969,2.00 136,1,2024-09-07 09:39:41:441,926410,926410,0,0,433686610705,4545351623,916023,9117,1270,381,392135,0 136,2,2024-09-07 09:39:41:133,667972,667957,15,0,31152173,0,6007 136,3,2024-09-07 09:39:41:111,1,528,1,0,637,6293,528,0 137,0,2024-09-07 09:39:40:978,134201,0.5,130793,0.7,256197,0.5,348502,2.00 137,1,2024-09-07 09:39:40:575,924478,924478,0,0,434631497909,4553559334,911018,10788,2672,366,391898,0 137,2,2024-09-07 09:39:41:709,665590,665590,0,0,32799558,0,3185 137,3,2024-09-07 09:39:40:779,1,528,1,0,484,6858,528,0 138,0,2024-09-07 09:39:41:752,135044,0.8,135334,0.9,271149,0.9,360257,2.00 138,1,2024-09-07 09:39:41:689,925706,925706,0,0,435515765250,4565298524,912964,10771,1971,368,391954,0 138,2,2024-09-07 09:39:40:586,666685,666685,0,0,30140737,0,4988 138,3,2024-09-07 09:39:40:615,1,528,1,0,1200,8572,528,0 139,0,2024-09-07 09:39:41:358,133026,1.3,133438,1.0,266982,1.8,356316,2.50 139,1,2024-09-07 09:39:40:577,921744,921744,0,0,433137418641,4590943573,905798,12484,3462,380,392058,0 139,2,2024-09-07 09:39:40:700,666921,666921,0,0,33562392,0,3097 139,3,2024-09-07 09:39:41:674,1,528,3,0,432,6019,528,0 140,0,2024-09-07 09:39:41:596,129585,0.3,128735,0.5,258303,0.2,344329,1.75 140,1,2024-09-07 09:39:41:536,931092,931092,0,0,438256071747,4516755563,926508,4046,538,364,391606,0 140,2,2024-09-07 09:39:40:701,667486,667485,1,0,25589045,0,5036 140,3,2024-09-07 09:39:40:767,1,528,1,0,297,4892,528,0 141,0,2024-09-07 09:39:41:700,129101,0.3,132564,0.4,253153,0.2,343479,1.50 141,1,2024-09-07 09:39:40:862,929386,929386,0,0,437497420923,4542780069,922276,6024,1086,379,391614,0 141,2,2024-09-07 09:39:41:695,666800,666789,11,0,27203359,0,5369 141,3,2024-09-07 09:39:41:043,1,528,1,0,391,5944,528,0 142,0,2024-09-07 09:39:41:306,135698,0.3,134678,0.5,269894,0.3,360421,1.50 142,1,2024-09-07 09:39:40:584,927474,927474,0,0,435626231184,4539165951,921809,5206,459,382,392102,0 142,2,2024-09-07 09:39:41:299,667363,667331,32,0,27799287,0,6028 142,3,2024-09-07 09:39:41:747,1,528,1,0,484,5481,528,0 143,0,2024-09-07 09:39:41:400,135023,0.4,135030,0.6,270931,0.4,359873,1.75 143,1,2024-09-07 09:39:40:565,929455,929455,0,0,436676290391,4530481220,923373,5524,558,367,391722,0 143,2,2024-09-07 09:39:40:771,672560,672560,0,0,27309020,0,3123 143,3,2024-09-07 09:39:41:140,1,528,1,0,462,6578,528,0 144,0,2024-09-07 09:39:41:516,126210,0.6,129843,0.8,264143,0.6,345840,2.00 144,1,2024-09-07 09:39:40:724,924881,924881,0,0,434468839152,4557827147,915486,7427,1968,381,391733,0 144,2,2024-09-07 09:39:41:783,666725,666725,0,0,25893895,0,3673 144,3,2024-09-07 09:39:41:743,1,528,5,0,249,5111,528,0 145,0,2024-09-07 09:39:41:372,125364,0.5,125293,0.7,266085,0.5,341957,2.25 145,1,2024-09-07 09:39:40:553,924369,924369,0,0,434088351592,4567880008,911996,9906,2467,382,391759,0 145,2,2024-09-07 09:39:41:429,664248,664166,82,0,31989665,0,7814 145,3,2024-09-07 09:39:40:895,1,528,1,0,622,7455,528,0 146,0,2024-09-07 09:39:41:605,132586,0.5,131993,0.7,265064,0.5,352507,2.00 146,1,2024-09-07 09:39:41:594,925529,925529,0,0,434558982968,4566427446,911085,10643,3801,367,391770,0 146,2,2024-09-07 09:39:41:705,667008,667002,6,0,30319465,0,5151 146,3,2024-09-07 09:39:41:284,1,528,10,0,1520,9041,528,0 147,0,2024-09-07 09:39:41:725,136955,0.6,136758,0.7,273021,0.6,364495,2.25 147,1,2024-09-07 09:39:41:383,929013,929013,0,0,436788173622,4537139695,921725,6409,879,367,391791,0 147,2,2024-09-07 09:39:41:011,668868,668868,0,0,27020925,0,2968 147,3,2024-09-07 09:39:40:952,1,528,1,0,1626,8538,528,0 0,0,2024-09-07 09:39:51:731,127208,0.5,127236,0.7,270010,0.5,349106,2.00 0,1,2024-09-07 09:39:50:812,927736,927736,0,0,435839484207,4561997588,920214,6680,842,369,391896,0 0,2,2024-09-07 09:39:51:073,670676,670676,0,0,27045225,0,4480 0,3,2024-09-07 09:39:50:983,1,529,1,0,431,7262,529,0 1,0,2024-09-07 09:39:51:759,137522,0.9,136597,0.9,274566,1.2,366920,2.00 1,1,2024-09-07 09:39:50:560,926814,926814,0,0,434661134711,4553501731,918092,7254,1468,370,391859,0 1,2,2024-09-07 09:39:50:659,669250,669250,0,0,26415856,0,3380 1,3,2024-09-07 09:39:51:312,1,529,2,0,269,6579,529,0 2,0,2024-09-07 09:39:51:568,133842,0.6,133799,0.8,267363,0.7,356873,2.00 2,1,2024-09-07 09:39:50:859,929987,929987,0,0,437175799848,4541778259,925014,4056,917,379,391745,0 2,2,2024-09-07 09:39:51:275,671722,671722,0,0,25049017,0,3594 2,3,2024-09-07 09:39:50:690,1,529,1,0,357,4754,529,0 3,0,2024-09-07 09:39:51:744,129388,0.4,129258,0.6,258049,0.3,343996,2.00 3,1,2024-09-07 09:39:51:620,928655,928655,0,0,436592259390,4543590515,921186,6766,703,379,391716,0 3,2,2024-09-07 09:39:51:142,668797,668774,23,0,26117099,0,5851 3,3,2024-09-07 09:39:51:752,1,529,275,0,275,3532,529,0 4,0,2024-09-07 09:39:51:812,125393,0.3,128864,0.5,262767,0.3,344058,1.75 4,1,2024-09-07 09:39:50:603,925302,925302,0,0,434044429753,4595987991,908948,12666,3688,370,391992,0 4,2,2024-09-07 09:39:51:019,665175,665175,0,0,31987458,0,4534 4,3,2024-09-07 09:39:51:027,1,529,5,0,448,6732,529,0 5,0,2024-09-07 09:39:51:428,135540,0.4,135846,0.5,271603,0.4,361252,1.75 5,1,2024-09-07 09:39:50:755,926307,926307,0,0,435530455182,4581978463,913557,10335,2415,367,392005,0 5,2,2024-09-07 09:39:51:829,665841,665841,0,0,31008646,0,3582 5,3,2024-09-07 09:39:51:740,1,529,8,0,457,7176,529,0 6,0,2024-09-07 09:39:50:921,134861,0.5,134501,0.7,269289,0.5,358923,2.00 6,1,2024-09-07 09:39:50:745,928317,928317,0,0,435250259814,4544896445,918740,8118,1459,379,391702,0 6,2,2024-09-07 09:39:51:121,672938,672920,18,0,30033719,0,5535 6,3,2024-09-07 09:39:51:275,1,529,1,0,710,6263,529,0 7,0,2024-09-07 09:39:51:541,128457,0.5,129292,0.7,257402,0.5,342547,2.00 7,1,2024-09-07 09:39:50:855,926789,926789,0,0,435297404623,4569676614,913863,10694,2232,382,391747,0 7,2,2024-09-07 09:39:50:770,667128,667128,0,0,29166024,0,4791 7,3,2024-09-07 09:39:50:851,1,529,3,0,552,5910,529,0 8,0,2024-09-07 09:39:51:344,129155,0.3,128686,0.5,258325,0.3,343829,1.75 8,1,2024-09-07 09:39:51:036,924802,924802,0,0,434530358066,4566404622,910539,11131,3132,366,392853,0 8,2,2024-09-07 09:39:50:793,662869,662867,2,0,34943309,0,5112 8,3,2024-09-07 09:39:50:596,1,529,2,0,538,7843,529,0 9,0,2024-09-07 09:39:51:208,134231,0.3,130586,0.5,273519,0.3,358958,1.75 9,1,2024-09-07 09:39:50:575,925427,925427,0,0,435180137697,4581848669,911933,10842,2652,369,392001,0 9,2,2024-09-07 09:39:51:097,668201,668200,1,0,31655765,0,5281 9,3,2024-09-07 09:39:51:765,1,529,1,0,1273,8794,529,0 10,0,2024-09-07 09:39:51:611,135984,0.4,135563,0.5,271872,0.3,361979,1.75 10,1,2024-09-07 09:39:50:583,927602,927602,0,0,434969811019,4555510166,915235,10277,2090,381,391869,0 10,2,2024-09-07 09:39:50:762,672281,672281,0,0,34431696,0,4264 10,3,2024-09-07 09:39:50:875,1,529,1,0,649,5520,529,0 11,0,2024-09-07 09:39:51:008,130948,0.5,127078,0.6,265750,0.4,349961,1.75 11,1,2024-09-07 09:39:50:571,928874,928874,0,0,436319895027,4573155768,915397,10015,3462,383,391766,0 11,2,2024-09-07 09:39:51:128,666835,666835,0,0,30119436,0,4130 11,3,2024-09-07 09:39:51:300,1,529,1,0,843,7121,529,0 12,0,2024-09-07 09:39:50:981,131341,0.4,131430,0.5,262743,0.3,348716,1.75 12,1,2024-09-07 09:39:50:949,928245,928245,0,0,435612059073,4543520295,920569,6792,884,370,391960,0 12,2,2024-09-07 09:39:51:576,667256,667256,0,0,30017433,0,4390 12,3,2024-09-07 09:39:51:080,1,529,7,0,386,7071,529,0 13,0,2024-09-07 09:39:51:344,133031,0.4,132911,0.5,265041,0.4,353575,1.75 13,1,2024-09-07 09:39:51:532,925963,925963,0,0,434850358588,4569552070,916332,7336,2295,382,391740,0 13,2,2024-09-07 09:39:50:595,670838,670838,0,0,26959799,0,3287 13,3,2024-09-07 09:39:51:762,1,529,6,0,522,7338,529,0 14,0,2024-09-07 09:39:50:563,137752,0.4,138719,0.6,275361,0.4,366435,1.75 14,1,2024-09-07 09:39:51:566,932535,932535,0,0,438348449163,4534358354,925622,6185,728,364,391673,0 14,2,2024-09-07 09:39:50:771,670734,670704,30,0,28297562,0,6104 14,3,2024-09-07 09:39:51:116,1,529,0,0,1168,6028,529,0 15,0,2024-09-07 09:39:51:561,132787,0.4,132231,0.7,265324,0.4,353640,2.00 15,1,2024-09-07 09:39:51:609,929772,929772,0,0,436557215017,4545570987,922038,6249,1485,381,391619,0 15,2,2024-09-07 09:39:50:999,671886,671886,0,0,23772511,0,3622 15,3,2024-09-07 09:39:51:407,1,529,1,0,1126,7320,529,0 16,0,2024-09-07 09:39:50:962,129587,0.5,130320,0.7,259927,0.5,346095,2.00 16,1,2024-09-07 09:39:50:563,929339,929339,0,0,436143949457,4558816410,920916,7121,1302,370,392194,0 16,2,2024-09-07 09:39:51:440,667686,667686,0,0,28024407,0,4719 16,3,2024-09-07 09:39:51:143,1,529,2,0,358,6642,529,0 17,0,2024-09-07 09:39:51:764,134597,0.5,131156,0.7,257118,0.5,348848,2.00 17,1,2024-09-07 09:39:50:570,927512,927512,0,0,435801631623,4570226502,918206,7557,1749,368,392075,0 17,2,2024-09-07 09:39:51:681,671163,671162,1,0,28778311,0,5050 17,3,2024-09-07 09:39:50:575,1,529,3,0,518,7843,529,0 18,0,2024-09-07 09:39:50:944,134797,0.6,135634,0.8,270414,0.6,361208,2.25 18,1,2024-09-07 09:39:51:650,931574,931574,0,0,436840223635,4529879860,925462,5115,997,367,391725,0 18,2,2024-09-07 09:39:51:755,671278,671278,0,0,25521345,0,3541 18,3,2024-09-07 09:39:50:904,1,529,2,0,1059,4925,529,0 19,0,2024-09-07 09:39:51:543,133811,0.7,134147,0.8,266946,0.7,355757,2.25 19,1,2024-09-07 09:39:50:570,930829,930829,0,0,437838462415,4538384502,923840,5904,1085,367,391777,0 19,2,2024-09-07 09:39:51:752,675061,675061,0,0,23993853,0,3988 19,3,2024-09-07 09:39:51:132,1,529,0,0,524,4255,529,0 20,0,2024-09-07 09:39:51:354,128919,0.5,129197,0.7,257871,0.5,344007,2.00 20,1,2024-09-07 09:39:50:575,927651,927651,0,0,435710873724,4562823405,918442,7840,1369,369,391922,0 20,2,2024-09-07 09:39:50:930,667151,667151,0,0,29116960,0,3721 20,3,2024-09-07 09:39:50:592,1,529,3,0,468,8921,529,0 21,0,2024-09-07 09:39:51:151,129096,0.4,129225,0.6,258409,0.4,343027,1.75 21,1,2024-09-07 09:39:51:554,926215,926215,0,0,433991646381,4577870199,912055,11041,3119,368,392016,0 21,2,2024-09-07 09:39:51:077,664567,664547,20,0,34078664,0,5617 21,3,2024-09-07 09:39:51:408,1,529,6,0,713,6775,529,0 22,0,2024-09-07 09:39:51:736,135114,0.4,135726,0.6,270732,0.4,359643,2.00 22,1,2024-09-07 09:39:51:031,926963,926963,0,0,434861863411,4575925666,911730,12006,3227,382,391822,0 22,2,2024-09-07 09:39:50:759,667612,667586,26,0,28546712,0,6328 22,3,2024-09-07 09:39:51:073,1,529,1,0,228,4439,529,0 23,0,2024-09-07 09:39:51:368,134955,0.5,134504,0.7,268879,0.5,358556,2.25 23,1,2024-09-07 09:39:51:009,928028,928028,0,0,436091830502,4574883576,912322,11298,4408,365,391690,0 23,2,2024-09-07 09:39:51:095,674023,674023,0,0,27239075,0,3773 23,3,2024-09-07 09:39:51:759,1,529,2,0,855,8317,529,0 24,0,2024-09-07 09:39:50:883,131171,0.4,130395,0.6,262417,0.4,348024,1.75 24,1,2024-09-07 09:39:50:593,926901,926901,0,0,435396640056,4556875610,917936,7288,1677,367,392269,0 24,2,2024-09-07 09:39:51:080,666923,666923,0,0,32322596,0,4438 24,3,2024-09-07 09:39:51:693,1,529,1,0,468,6681,529,0 25,0,2024-09-07 09:39:51:418,133164,0.4,129741,0.6,254391,0.3,346217,1.75 25,1,2024-09-07 09:39:50:566,926143,926143,0,0,435139817129,4588497737,911164,12007,2972,369,391928,0 25,2,2024-09-07 09:39:51:613,665999,665999,0,0,33703472,0,3978 25,3,2024-09-07 09:39:51:008,1,529,7,0,532,5907,529,0 26,0,2024-09-07 09:39:51:732,132505,0.4,129690,0.6,272103,0.3,354438,1.75 26,1,2024-09-07 09:39:51:547,928965,928965,0,0,435863633277,4561930218,916125,10388,2452,380,391748,0 26,2,2024-09-07 09:39:50:860,669180,669180,0,0,35729356,0,4689 26,3,2024-09-07 09:39:51:724,1,529,0,0,796,5772,529,0 27,0,2024-09-07 09:39:51:734,137011,0.4,137635,0.6,273551,0.4,364499,2.25 27,1,2024-09-07 09:39:51:677,929814,929814,0,0,437765393716,4557629497,921258,7287,1269,381,391626,0 27,2,2024-09-07 09:39:50:867,668639,668574,65,0,30852885,0,5699 27,3,2024-09-07 09:39:51:015,1,529,1,0,564,4584,529,0 28,0,2024-09-07 09:39:51:397,132617,0.4,132341,0.6,265268,0.3,353213,1.75 28,1,2024-09-07 09:39:50:797,930266,930266,0,0,437244655126,4557255845,922490,6062,1714,382,391698,0 28,2,2024-09-07 09:39:51:769,668876,668876,0,0,27097891,0,2915 28,3,2024-09-07 09:39:51:777,1,529,9,0,502,5269,529,0 29,0,2024-09-07 09:39:51:419,134228,0.3,130629,0.6,256383,0.3,349672,1.75 29,1,2024-09-07 09:39:51:568,932365,932365,0,0,438202430932,4531479344,926808,4795,762,367,391809,0 29,2,2024-09-07 09:39:50:863,667595,667595,0,0,26626067,0,4986 29,3,2024-09-07 09:39:50:981,1,529,1,0,459,5763,529,0 30,0,2024-09-07 09:39:51:457,130501,0.5,127003,0.6,266126,0.4,347996,2.00 30,1,2024-09-07 09:39:50:571,931877,931877,0,0,438171357265,4546609762,924899,6076,902,380,391672,0 30,2,2024-09-07 09:39:51:274,669468,669468,0,0,25654901,0,4192 30,3,2024-09-07 09:39:50:589,1,529,0,0,519,5107,529,0 31,0,2024-09-07 09:39:51:761,137421,0.5,137844,0.6,275022,0.4,367287,2.00 31,1,2024-09-07 09:39:50:563,934841,934841,0,0,439836241327,4514593006,929517,4313,1011,356,391712,0 31,2,2024-09-07 09:39:51:276,668367,668367,0,0,28167534,0,4470 31,3,2024-09-07 09:39:51:724,1,529,1,0,220,4467,529,0 32,0,2024-09-07 09:39:51:427,134389,0.3,135080,0.5,269033,0.3,358480,1.75 32,1,2024-09-07 09:39:50:813,930901,930901,0,0,437341281792,4544989433,925097,5095,709,381,391646,0 32,2,2024-09-07 09:39:50:947,672350,672350,0,0,24588173,0,3922 32,3,2024-09-07 09:39:51:016,1,529,2,0,304,4375,529,0 33,0,2024-09-07 09:39:51:498,129924,0.3,129456,0.4,259188,0.2,345042,1.50 33,1,2024-09-07 09:39:50:576,931544,931544,0,0,437595739476,4531988834,924310,6060,1174,368,391730,0 33,2,2024-09-07 09:39:50:766,669477,669442,35,0,27182892,0,7012 33,3,2024-09-07 09:39:50:903,1,529,1,0,329,4896,529,0 34,0,2024-09-07 09:39:50:944,129619,0.3,133191,0.4,254522,0.2,343552,1.75 34,1,2024-09-07 09:39:51:045,933381,933381,0,0,438465386701,4508644162,930871,2488,22,367,391637,0 34,2,2024-09-07 09:39:50:766,669488,669488,0,0,25678557,0,4562 34,3,2024-09-07 09:39:51:695,1,529,0,0,320,4072,529,0 35,0,2024-09-07 09:39:50:867,134855,0.3,135570,0.5,272302,0.2,361819,1.75 35,1,2024-09-07 09:39:51:072,930305,930305,0,0,436552301101,4528208555,923647,5445,1213,382,391769,0 35,2,2024-09-07 09:39:51:584,668876,668876,0,0,28279982,0,4055 35,3,2024-09-07 09:39:50:910,1,529,0,0,418,4908,529,0 36,0,2024-09-07 09:39:51:536,135298,0.5,135171,0.7,270249,0.5,359762,2.00 36,1,2024-09-07 09:39:50:584,929957,929957,0,0,436014369784,4553320023,917670,10066,2221,366,391759,0 36,2,2024-09-07 09:39:51:751,673220,673220,0,0,29705374,0,3875 36,3,2024-09-07 09:39:50:879,1,529,1,0,416,7178,529,0 37,0,2024-09-07 09:39:51:389,128675,0.5,128580,0.7,257430,0.5,343213,2.00 37,1,2024-09-07 09:39:50:574,928562,928555,0,7,436597882159,4558007964,917432,8447,2676,365,391770,0 37,2,2024-09-07 09:39:51:142,665412,665397,15,0,30123703,0,5815 37,3,2024-09-07 09:39:51:766,1,529,1,0,888,7375,529,0 38,0,2024-09-07 09:39:51:437,128219,0.4,124436,0.6,260242,0.3,341038,2.00 38,1,2024-09-07 09:39:51:609,929695,929695,0,0,436336880433,4560186795,916464,10712,2519,368,391821,0 38,2,2024-09-07 09:39:50:760,666551,666504,47,0,30271177,0,6710 38,3,2024-09-07 09:39:51:002,1,529,0,0,689,6703,529,0 39,0,2024-09-07 09:39:51:766,137625,0.5,134665,0.7,262377,0.4,358727,2.00 39,1,2024-09-07 09:39:50:717,927933,927933,0,0,435799454559,4570610291,912136,12327,3470,365,391865,0 39,2,2024-09-07 09:39:51:418,670091,670091,0,0,27964300,0,3391 39,3,2024-09-07 09:39:50:716,1,529,0,0,525,6277,529,0 40,0,2024-09-07 09:39:51:498,134735,0.9,135329,1.0,270177,1.1,360119,2.75 40,1,2024-09-07 09:39:50:595,930098,930098,0,0,435008306984,4548214494,918078,9841,2179,368,391668,0 40,2,2024-09-07 09:39:51:310,670538,670537,1,0,33420305,0,5137 40,3,2024-09-07 09:39:51:143,1,529,5,0,1028,7392,529,0 41,0,2024-09-07 09:39:51:030,130460,1.3,133259,1.1,254218,1.8,345764,3.00 41,1,2024-09-07 09:39:50:775,928426,928426,0,0,436126916207,4561966843,916497,9994,1935,369,391878,0 41,2,2024-09-07 09:39:50:759,664960,664959,1,0,32171664,0,5408 41,3,2024-09-07 09:39:51:676,1,529,0,0,366,5761,529,0 42,0,2024-09-07 09:39:51:477,129876,0.8,129595,0.9,259378,0.8,343474,2.50 42,1,2024-09-07 09:39:51:439,925386,925386,0,0,434545796225,4567676572,910371,12107,2908,380,391675,0 42,2,2024-09-07 09:39:51:134,666801,666801,0,0,31333787,0,3975 42,3,2024-09-07 09:39:51:010,1,529,4,0,892,4711,529,0 43,0,2024-09-07 09:39:50:921,131087,0.6,127704,0.9,267264,0.6,350700,2.25 43,1,2024-09-07 09:39:50:575,928909,928909,0,0,436727709332,4561709318,916039,10610,2260,366,391696,0 43,2,2024-09-07 09:39:51:738,669520,669520,0,0,30729818,0,4723 43,3,2024-09-07 09:39:51:749,1,529,1,0,571,7336,529,0 44,0,2024-09-07 09:39:50:865,137924,0.4,137881,0.6,276413,0.4,366947,1.75 44,1,2024-09-07 09:39:50:569,931567,931567,0,0,436852015590,4513870057,923767,6213,1587,356,391809,0 44,2,2024-09-07 09:39:51:267,668226,668226,0,0,25469627,0,4344 44,3,2024-09-07 09:39:51:097,1,529,87,0,817,5776,529,0 45,0,2024-09-07 09:39:51:756,131415,0.5,128381,0.7,269474,0.5,353818,2.00 45,1,2024-09-07 09:39:51:010,930309,930309,0,0,438123628246,4552624175,922796,6637,876,382,391917,0 45,2,2024-09-07 09:39:51:270,670385,670385,0,0,25764580,0,3596 45,3,2024-09-07 09:39:50:946,1,529,11,0,365,4992,529,0 46,0,2024-09-07 09:39:50:948,129344,0.5,128728,0.7,258479,0.4,343297,2.00 46,1,2024-09-07 09:39:50:576,931892,931892,0,0,437635839593,4522156808,925486,5542,864,366,391709,0 46,2,2024-09-07 09:39:50:594,669208,669208,0,0,26115077,0,4443 46,3,2024-09-07 09:39:51:136,1,529,1,0,908,6382,529,0 47,0,2024-09-07 09:39:51:128,130649,0.4,130776,0.6,262544,0.3,347877,1.75 47,1,2024-09-07 09:39:50:711,933110,933110,0,0,438531702886,4528673834,927870,4401,839,365,391641,0 47,2,2024-09-07 09:39:50:915,671833,671833,0,0,25872554,0,4477 47,3,2024-09-07 09:39:51:120,1,529,30,0,600,5981,529,0 48,0,2024-09-07 09:39:51:489,136437,0.3,136532,0.4,272450,0.2,363303,1.50 48,1,2024-09-07 09:39:51:025,930612,930612,0,0,437288921632,4541932624,924817,5221,574,384,391710,0 48,2,2024-09-07 09:39:50:702,669020,669020,0,0,23696305,0,3411 48,3,2024-09-07 09:39:50:761,1,529,1,0,339,4177,529,0 49,0,2024-09-07 09:39:51:726,137999,0.4,135382,0.5,263145,0.3,359803,1.75 49,1,2024-09-07 09:39:51:029,930142,930142,0,0,436843492011,4539828756,924574,4357,1211,382,391809,0 49,2,2024-09-07 09:39:51:800,673805,673805,0,0,26318415,0,4426 49,3,2024-09-07 09:39:51:425,1,529,2,0,992,6075,529,0 50,0,2024-09-07 09:39:51:514,129832,0.3,128140,0.5,258088,0.2,343645,1.75 50,1,2024-09-07 09:39:51:010,933428,933428,0,0,438363748015,4530931242,927482,5279,667,368,391565,0 50,2,2024-09-07 09:39:51:074,667508,667508,0,0,24080252,0,4490 50,3,2024-09-07 09:39:51:299,1,529,0,0,617,5455,529,0 51,0,2024-09-07 09:39:51:731,132519,0.3,129677,0.4,252636,0.2,344626,1.75 51,1,2024-09-07 09:39:51:684,933996,933996,0,0,439272534792,4527883753,929229,3712,1055,365,391706,0 51,2,2024-09-07 09:39:51:325,668380,668380,0,0,23358189,0,3337 51,3,2024-09-07 09:39:51:028,1,529,4,0,678,3791,529,0 52,0,2024-09-07 09:39:51:439,135660,0.5,135320,0.7,270977,0.4,360439,2.00 52,1,2024-09-07 09:39:50:587,929431,929431,0,0,435085880315,4553736194,916201,11125,2105,368,391722,0 52,2,2024-09-07 09:39:51:756,665595,665557,38,0,31124783,0,6742 52,3,2024-09-07 09:39:50:678,1,529,1,0,1782,6696,529,0 53,0,2024-09-07 09:39:51:750,134547,0.7,130480,0.8,272979,0.8,358113,2.50 53,1,2024-09-07 09:39:50:776,927616,927616,0,0,435436921768,4568148421,912253,11519,3844,367,391968,0 53,2,2024-09-07 09:39:51:301,673371,673370,1,0,28029853,0,5455 53,3,2024-09-07 09:39:50:698,1,529,0,0,308,5153,529,0 54,0,2024-09-07 09:39:51:619,128334,0.6,128679,0.8,256269,0.5,341874,2.25 54,1,2024-09-07 09:39:50:580,929551,929551,0,0,436717461718,4541720428,919483,8434,1634,366,391810,0 54,2,2024-09-07 09:39:50:866,667660,667628,32,0,31725541,0,6397 54,3,2024-09-07 09:39:50:765,1,529,1,0,676,7046,529,0 55,0,2024-09-07 09:39:51:761,125063,0.5,129017,0.7,261419,0.5,340359,2.25 55,1,2024-09-07 09:39:50:771,930827,930827,0,0,436844270527,4541296374,921144,8362,1321,365,391731,0 55,2,2024-09-07 09:39:50:729,666321,666265,56,0,29946678,0,7239 55,3,2024-09-07 09:39:50:680,1,529,2,0,304,4893,529,0 56,0,2024-09-07 09:39:51:582,135956,1.1,128086,1.1,263996,1.4,353902,2.50 56,1,2024-09-07 09:39:50:576,925415,925415,0,0,434976457120,4591480520,911091,11412,2912,381,391867,0 56,2,2024-09-07 09:39:51:310,669051,668929,122,0,31524378,0,7432 56,3,2024-09-07 09:39:51:058,1,529,2,0,705,6271,529,0 57,0,2024-09-07 09:39:50:959,135058,1.6,134982,1.3,270391,2.2,361226,3.25 57,1,2024-09-07 09:39:50:986,927025,927025,0,0,435607996341,4568971399,914817,9923,2285,366,392032,0 57,2,2024-09-07 09:39:51:319,670915,670915,0,0,32399538,0,4317 57,3,2024-09-07 09:39:51:738,1,529,1,0,455,5885,529,0 58,0,2024-09-07 09:39:50:557,130485,1.0,126709,1.0,265033,1.2,346773,2.75 58,1,2024-09-07 09:39:50:575,928524,928521,0,3,436485353517,4572933089,914717,10483,3321,367,391675,3 58,2,2024-09-07 09:39:51:074,668018,668018,0,0,30729491,0,3483 58,3,2024-09-07 09:39:51:073,1,529,1,0,1043,5817,529,0 59,0,2024-09-07 09:39:51:745,129962,0.8,129913,0.9,258954,0.8,343829,2.75 59,1,2024-09-07 09:39:50:804,927241,927241,0,0,435680320895,4574821579,912328,11774,3139,369,391653,0 59,2,2024-09-07 09:39:50:592,667579,667579,0,0,29368691,0,3727 59,3,2024-09-07 09:39:51:737,1,529,7,0,1015,6508,529,0 60,0,2024-09-07 09:39:51:741,130868,0.5,131198,0.7,262214,0.4,349026,1.75 60,1,2024-09-07 09:39:50:772,931931,931931,0,0,437284260710,4537634260,925742,5254,935,370,392031,0 60,2,2024-09-07 09:39:51:143,669734,669734,0,0,27862284,0,3811 60,3,2024-09-07 09:39:51:276,1,529,3,0,409,6132,529,0 61,0,2024-09-07 09:39:51:491,137394,0.7,137968,0.8,274749,0.7,366901,2.00 61,1,2024-09-07 09:39:50:787,928539,928539,0,0,436494009946,4569445786,918611,8347,1581,382,392127,0 61,2,2024-09-07 09:39:51:121,669257,669190,67,0,28787119,0,6411 61,3,2024-09-07 09:39:51:706,1,529,1,0,607,7516,529,0 62,0,2024-09-07 09:39:51:710,134664,0.6,138095,0.8,263699,0.7,358303,2.00 62,1,2024-09-07 09:39:51:110,933800,933794,0,6,438703441761,4520314727,929635,3879,280,365,391975,6 62,2,2024-09-07 09:39:51:644,669776,669775,1,0,28007397,0,5555 62,3,2024-09-07 09:39:51:142,1,529,2,0,482,4249,529,0 63,0,2024-09-07 09:39:51:452,129864,0.4,129548,0.6,260107,0.3,345424,1.75 63,1,2024-09-07 09:39:50:810,930860,930854,0,6,437449049288,4539752346,925096,5007,751,381,391800,6 63,2,2024-09-07 09:39:50:765,668260,668260,0,0,27101970,0,4369 63,3,2024-09-07 09:39:51:736,1,529,1,0,667,5582,529,0 64,0,2024-09-07 09:39:51:528,128845,0.5,129002,0.6,257320,0.4,342671,2.00 64,1,2024-09-07 09:39:50:755,930503,930503,0,0,436586275879,4545020435,922610,6122,1771,370,391794,0 64,2,2024-09-07 09:39:51:148,672600,672581,19,0,25994916,0,6121 64,3,2024-09-07 09:39:51:141,1,529,1,0,651,5498,529,0 65,0,2024-09-07 09:39:51:687,134611,0.6,134903,0.7,269385,0.6,359334,2.00 65,1,2024-09-07 09:39:50:866,929076,929076,0,0,435666105276,4552552710,922321,5957,798,381,391901,0 65,2,2024-09-07 09:39:51:706,668497,668497,0,0,30472952,0,3367 65,3,2024-09-07 09:39:51:705,1,529,5,0,782,6188,529,0 66,0,2024-09-07 09:39:51:762,134475,0.6,133965,0.8,267966,0.6,357091,2.25 66,1,2024-09-07 09:39:51:308,930695,930695,0,0,437099063641,4548318048,924545,5428,722,380,391743,0 66,2,2024-09-07 09:39:51:132,674980,674977,3,0,27854491,0,5455 66,3,2024-09-07 09:39:51:079,1,529,1,0,291,4516,529,0 67,0,2024-09-07 09:39:51:414,129121,0.5,128807,0.7,258189,0.5,343380,2.00 67,1,2024-09-07 09:39:50:771,930663,930662,0,1,436614493073,4544187267,924387,5461,814,380,391787,1 67,2,2024-09-07 09:39:50:589,669440,669425,15,0,26853118,0,6205 67,3,2024-09-07 09:39:51:750,1,529,1,0,595,5555,529,0 68,0,2024-09-07 09:39:50:604,129133,0.5,128997,0.7,256898,0.5,343370,2.00 68,1,2024-09-07 09:39:50:590,926489,926489,0,0,434091947173,4564872090,914092,8996,3401,381,391953,0 68,2,2024-09-07 09:39:51:048,663919,663819,100,0,34650957,0,8578 68,3,2024-09-07 09:39:50:728,1,529,1,0,417,6806,529,0 69,0,2024-09-07 09:39:51:745,133574,0.6,134591,0.8,268281,0.6,356632,2.25 69,1,2024-09-07 09:39:51:026,925765,925765,0,0,435204174056,4584760594,913505,9499,2761,384,391994,0 69,2,2024-09-07 09:39:51:737,667911,667882,29,0,35728306,0,6912 69,3,2024-09-07 09:39:50:762,1,529,4,0,698,7789,529,0 70,0,2024-09-07 09:39:51:535,134460,0.9,134873,1.0,271393,0.8,358919,2.50 70,1,2024-09-07 09:39:50:801,931527,931527,0,0,438189595297,4545603928,924272,6493,762,366,391725,0 70,2,2024-09-07 09:39:51:325,672423,672423,0,0,30034790,0,4323 70,3,2024-09-07 09:39:50:745,1,529,5,0,854,5704,529,0 71,0,2024-09-07 09:39:51:358,129720,1.1,129502,1.1,260219,1.5,346974,2.75 71,1,2024-09-07 09:39:51:611,928981,928981,0,0,436519271546,4555286679,917586,10139,1256,368,391738,0 71,2,2024-09-07 09:39:51:074,666826,666826,0,0,30651151,0,4352 71,3,2024-09-07 09:39:51:750,1,529,1,0,644,6255,529,0 72,0,2024-09-07 09:39:51:105,134890,0.5,131804,0.7,257218,0.5,349112,2.00 72,1,2024-09-07 09:39:51:059,927590,927590,0,0,435641696292,4569626258,914136,11171,2283,369,391819,0 72,2,2024-09-07 09:39:51:763,666297,666297,0,0,32282850,0,3983 72,3,2024-09-07 09:39:51:754,1,529,1,0,564,7555,529,0 73,0,2024-09-07 09:39:51:136,128890,0.4,132083,0.6,270177,0.4,351709,2.00 73,1,2024-09-07 09:39:50:776,930383,930383,0,0,436390353461,4530513777,924145,5813,425,367,391858,0 73,2,2024-09-07 09:39:51:738,669350,669350,0,0,32726576,0,4728 73,3,2024-09-07 09:39:50:988,1,529,0,0,486,6564,529,0 74,0,2024-09-07 09:39:51:325,138739,0.5,141959,0.7,270819,0.5,367282,2.00 74,1,2024-09-07 09:39:50:635,928617,928617,0,0,436290972357,4554910448,918164,8528,1925,381,391762,0 74,2,2024-09-07 09:39:51:006,669407,669407,0,0,28524142,0,4253 74,3,2024-09-07 09:39:51:444,1,529,2,0,522,6356,529,0 75,0,2024-09-07 09:39:51:788,133370,0.6,132514,0.8,266086,0.6,355352,2.25 75,1,2024-09-07 09:39:51:592,929786,929786,0,0,436288776628,4547536342,921890,7033,863,380,391739,0 75,2,2024-09-07 09:39:51:350,668465,668465,0,0,34204485,0,4766 75,3,2024-09-07 09:39:51:088,1,529,10,0,702,6230,529,0 76,0,2024-09-07 09:39:50:654,129247,0.5,128565,0.7,257687,0.5,344854,2.25 76,1,2024-09-07 09:39:50:809,929255,929255,0,0,436046902739,4547320765,922625,5663,967,382,391723,0 76,2,2024-09-07 09:39:51:060,670308,670305,3,0,28792437,0,5265 76,3,2024-09-07 09:39:51:147,1,529,1,0,227,4881,529,0 77,0,2024-09-07 09:39:51:707,130179,0.5,130497,0.7,261189,0.5,346829,2.00 77,1,2024-09-07 09:39:50:830,929367,929367,0,0,436368690345,4550395190,922524,6247,596,381,391869,0 77,2,2024-09-07 09:39:51:281,668191,668191,0,0,28336804,0,3890 77,3,2024-09-07 09:39:51:097,1,529,9,0,401,5615,529,0 78,0,2024-09-07 09:39:51:744,136420,0.4,135955,0.6,272675,0.4,361533,2.00 78,1,2024-09-07 09:39:50:611,929449,929449,0,0,436790976883,4553527406,918676,8246,2527,367,391670,0 78,2,2024-09-07 09:39:51:413,670173,670160,13,0,26331659,0,8313 78,3,2024-09-07 09:39:51:134,1,529,7,0,311,4590,529,0 79,0,2024-09-07 09:39:51:347,129804,0.4,132911,0.6,272169,0.4,354498,2.25 79,1,2024-09-07 09:39:50:574,932012,932012,0,0,437219936134,4529430273,924273,6382,1357,367,391682,0 79,2,2024-09-07 09:39:51:074,673046,673046,0,0,25362874,0,4195 79,3,2024-09-07 09:39:50:756,1,529,1,0,418,6308,529,0 80,0,2024-09-07 09:39:51:134,128926,0.5,132537,0.7,253553,0.5,343184,2.00 80,1,2024-09-07 09:39:51:643,929194,929194,0,0,436618927860,4542826999,923364,5402,428,368,392269,0 80,2,2024-09-07 09:39:51:104,669612,669612,0,0,25819795,0,4433 80,3,2024-09-07 09:39:50:584,1,529,1,0,296,6230,529,0 81,0,2024-09-07 09:39:51:550,129365,0.5,132368,0.7,252446,0.5,343036,2.00 81,1,2024-09-07 09:39:51:663,928331,928331,0,0,435979693753,4556068759,920928,6764,639,382,391885,0 81,2,2024-09-07 09:39:51:134,667016,666953,63,0,28789877,0,5932 81,3,2024-09-07 09:39:51:117,1,529,23,0,719,6007,529,0 82,0,2024-09-07 09:39:51:533,134941,0.5,135210,0.7,270777,0.4,359546,2.00 82,1,2024-09-07 09:39:50:594,930827,930823,0,4,437615796917,4547888486,925742,4260,821,381,391768,4 82,2,2024-09-07 09:39:51:702,670885,670885,0,0,23976314,0,4484 82,3,2024-09-07 09:39:51:752,1,529,1,0,363,5012,529,0 83,0,2024-09-07 09:39:51:543,135182,0.6,135163,0.8,269649,0.7,358166,2.25 83,1,2024-09-07 09:39:50:559,929658,929658,0,0,436340580307,4547016821,923298,5903,457,382,391709,0 83,2,2024-09-07 09:39:50:764,673035,673010,25,0,26034839,0,5612 83,3,2024-09-07 09:39:50:754,1,529,1,0,1260,6514,529,0 84,0,2024-09-07 09:39:51:794,129234,0.7,129406,0.9,258428,0.7,345245,2.25 84,1,2024-09-07 09:39:51:044,928349,928349,0,0,435738309757,4554403556,918107,8717,1525,367,391967,0 84,2,2024-09-07 09:39:50:685,666686,666656,30,0,34200065,0,5971 84,3,2024-09-07 09:39:51:141,1,529,1,0,908,7411,529,0 85,0,2024-09-07 09:39:51:010,124648,0.5,124692,0.7,264560,0.5,341919,2.25 85,1,2024-09-07 09:39:50:566,924869,924869,0,0,435021419102,4592503619,910590,11621,2658,381,392092,0 85,2,2024-09-07 09:39:50:865,666045,666045,0,0,31938444,0,4255 85,3,2024-09-07 09:39:50:687,1,529,1,0,789,6066,529,0 86,0,2024-09-07 09:39:50:906,132902,0.6,136538,0.7,261209,0.6,354314,2.25 86,1,2024-09-07 09:39:50:829,927747,927747,0,0,436223126368,4569130736,916443,9327,1977,366,391995,0 86,2,2024-09-07 09:39:50:861,668197,668196,1,0,34441697,0,5004 86,3,2024-09-07 09:39:50:592,1,529,3,0,308,7561,529,0 87,0,2024-09-07 09:39:51:296,136878,0.9,136688,0.9,273261,1.1,364942,2.25 87,1,2024-09-07 09:39:50:552,926695,926695,0,0,435506173758,4562758609,914488,10491,1716,366,392076,0 87,2,2024-09-07 09:39:51:066,670518,670512,6,0,30409344,0,6323 87,3,2024-09-07 09:39:51:800,1,529,5,0,473,7676,529,0 88,0,2024-09-07 09:39:51:451,132246,0.5,132845,0.6,265410,0.4,353036,1.75 88,1,2024-09-07 09:39:50:588,926371,926371,0,0,435627088954,4562020550,913723,10031,2617,365,392084,0 88,2,2024-09-07 09:39:50:700,668358,668358,0,0,32877173,0,4465 88,3,2024-09-07 09:39:51:276,1,529,36,0,435,6759,529,0 89,0,2024-09-07 09:39:51:777,134378,0.4,130287,0.6,257317,0.4,349737,1.75 89,1,2024-09-07 09:39:50:554,926964,926964,0,0,435215730944,4577937273,915531,9616,1817,382,391866,0 89,2,2024-09-07 09:39:51:137,667461,667461,0,0,31274559,0,3173 89,3,2024-09-07 09:39:51:792,1,529,1,0,468,8689,529,0 90,0,2024-09-07 09:39:51:629,127079,0.4,130672,0.6,266180,0.4,347693,1.75 90,1,2024-09-07 09:39:50:599,928068,928068,0,0,435373701054,4556425959,919554,7781,733,380,391825,0 90,2,2024-09-07 09:39:51:426,666977,666977,0,0,33503602,0,3608 90,3,2024-09-07 09:39:50:933,1,529,6,0,322,6492,529,0 91,0,2024-09-07 09:39:50:933,137972,0.5,133826,0.6,279782,0.4,367417,1.75 91,1,2024-09-07 09:39:50:556,926188,926188,0,0,434802859998,4571178365,914584,9592,2012,381,392047,0 91,2,2024-09-07 09:39:51:341,669201,669201,0,0,29548950,0,4713 91,3,2024-09-07 09:39:50:602,1,529,1,0,216,5000,529,0 92,0,2024-09-07 09:39:51:461,135802,0.5,138758,0.6,264518,0.5,358555,1.75 92,1,2024-09-07 09:39:50:585,928520,928520,0,0,436939261090,4563500507,921006,6307,1207,381,392136,0 92,2,2024-09-07 09:39:51:350,671705,671705,0,0,26617306,0,3259 92,3,2024-09-07 09:39:51:010,1,529,11,0,167,4549,529,0 93,0,2024-09-07 09:39:50:994,130190,0.4,133440,0.6,254767,0.3,345396,1.75 93,1,2024-09-07 09:39:50:812,929298,929298,0,0,436152631873,4552429872,919007,8557,1734,366,391776,0 93,2,2024-09-07 09:39:50:931,667963,667963,0,0,32305328,0,4913 93,3,2024-09-07 09:39:51:410,1,529,2,0,190,4752,529,0 94,0,2024-09-07 09:39:51:614,128868,0.3,129640,0.5,259154,0.3,344368,1.75 94,1,2024-09-07 09:39:50:563,929474,929474,0,0,437092335247,4557338756,923363,5735,376,381,391850,0 94,2,2024-09-07 09:39:50:766,668139,668111,28,0,27624060,0,6179 94,3,2024-09-07 09:39:51:700,1,529,38,0,576,6661,529,0 95,0,2024-09-07 09:39:51:425,135718,0.3,135550,0.5,271388,0.3,361891,1.75 95,1,2024-09-07 09:39:50:859,930743,930743,0,0,437406001713,4544654169,923385,6699,659,365,391852,0 95,2,2024-09-07 09:39:51:016,668317,668317,0,0,26370941,0,3308 95,3,2024-09-07 09:39:51:709,1,529,2,0,718,8191,529,0 96,0,2024-09-07 09:39:51:089,135040,0.4,135211,0.5,270283,0.3,359110,1.75 96,1,2024-09-07 09:39:51:583,929015,929015,0,0,435580589593,4541888238,922619,5481,915,384,391955,0 96,2,2024-09-07 09:39:51:274,673660,673660,0,0,27368557,0,4180 96,3,2024-09-07 09:39:51:141,1,529,30,0,411,5583,529,0 97,0,2024-09-07 09:39:51:352,129084,0.3,128999,0.5,258958,0.3,343561,1.50 97,1,2024-09-07 09:39:50:779,931085,931085,0,0,437198269449,4533527893,925326,4814,945,367,392140,0 97,2,2024-09-07 09:39:50:616,668865,668865,0,0,26785394,0,3679 97,3,2024-09-07 09:39:50:585,1,529,3,0,242,6070,529,0 98,0,2024-09-07 09:39:51:755,128557,0.3,128697,0.4,258241,0.2,342988,1.50 98,1,2024-09-07 09:39:50:570,930133,930133,0,0,436248544810,4541521014,924721,4612,800,381,391997,0 98,2,2024-09-07 09:39:50:769,668038,668038,0,0,26338206,0,4336 98,3,2024-09-07 09:39:50:701,1,529,1,0,840,8258,529,0 99,0,2024-09-07 09:39:51:519,134274,0.3,135078,0.4,268726,0.3,359120,1.75 99,1,2024-09-07 09:39:51:728,930189,930189,0,0,435828120066,4535343252,924217,5044,928,380,392069,0 99,2,2024-09-07 09:39:51:428,670032,670032,0,0,31728618,0,4276 99,3,2024-09-07 09:39:50:594,1,529,7,0,606,5278,529,0 100,0,2024-09-07 09:39:51:466,135764,0.8,136007,0.9,271451,1.0,362389,2.50 100,1,2024-09-07 09:39:50:572,925114,925114,0,0,434412048432,4591671629,910771,11244,3099,378,391989,0 100,2,2024-09-07 09:39:51:818,669982,669971,11,0,31216215,0,5417 100,3,2024-09-07 09:39:51:736,1,529,1,0,627,9436,529,0 101,0,2024-09-07 09:39:51:712,133715,1.2,130360,1.1,255512,1.2,349225,2.25 101,1,2024-09-07 09:39:50:566,926580,926580,0,0,435097846148,4570595315,914183,9996,2401,368,391847,0 101,2,2024-09-07 09:39:51:756,663468,663468,0,0,36148749,0,4871 101,3,2024-09-07 09:39:50:949,1,529,11,0,1250,8101,529,0 102,0,2024-09-07 09:39:50:943,126958,0.6,130911,0.7,265681,0.5,347212,2.00 102,1,2024-09-07 09:39:51:142,926582,926582,0,0,434432705573,4562019922,914367,10193,2022,369,391891,0 102,2,2024-09-07 09:39:51:739,667441,667387,54,0,30533178,0,6768 102,3,2024-09-07 09:39:51:617,1,529,1,0,466,6154,529,0 103,0,2024-09-07 09:39:51:628,136550,0.5,136523,0.7,257287,0.5,354382,2.00 103,1,2024-09-07 09:39:51:644,925139,925139,0,0,434602253333,4586380903,910946,10994,3199,381,391862,0 103,2,2024-09-07 09:39:50:586,667982,667982,0,0,32362325,0,3766 103,3,2024-09-07 09:39:50:765,1,529,1,0,916,6268,529,0 104,0,2024-09-07 09:39:51:045,136708,0.7,136790,0.9,273076,0.7,365217,2.25 104,1,2024-09-07 09:39:51:612,927998,927998,0,0,435190326106,4570037350,914937,10510,2551,365,392168,0 104,2,2024-09-07 09:39:51:678,667514,667514,0,0,31443955,0,3941 104,3,2024-09-07 09:39:51:426,1,529,1,0,1245,9196,529,0 105,0,2024-09-07 09:39:51:045,131685,0.9,128316,1.1,268941,1.1,353425,2.75 105,1,2024-09-07 09:39:50:556,929145,929145,0,0,436341810301,4569889299,917540,9836,1769,365,392009,0 105,2,2024-09-07 09:39:51:513,668346,668346,0,0,31582234,0,4360 105,3,2024-09-07 09:39:51:312,1,529,4,0,399,7468,529,0 106,0,2024-09-07 09:39:50:973,125364,0.7,128414,0.9,263042,0.7,343617,2.50 106,1,2024-09-07 09:39:51:750,928101,928101,0,0,436011831473,4572625624,915482,10970,1649,368,391914,0 106,2,2024-09-07 09:39:50:760,667176,667176,0,0,29772403,0,2920 106,3,2024-09-07 09:39:50:684,1,529,1,0,1224,7948,529,0 107,0,2024-09-07 09:39:51:112,130390,0.7,130420,0.8,260779,0.7,347873,2.00 107,1,2024-09-07 09:39:50:604,926159,926159,0,0,434550855750,4580448751,913254,11512,1393,381,392234,0 107,2,2024-09-07 09:39:51:296,666614,666613,1,0,32587562,0,5024 107,3,2024-09-07 09:39:51:762,1,529,1,0,733,7959,529,0 108,0,2024-09-07 09:39:51:831,135901,0.4,136588,0.6,271902,0.4,363263,1.75 108,1,2024-09-07 09:39:51:311,928899,928899,0,0,436899797533,4556243785,920982,6946,971,367,391857,0 108,2,2024-09-07 09:39:51:762,667532,667532,0,0,29099202,0,4246 108,3,2024-09-07 09:39:51:335,1,529,3,0,749,10314,529,0 109,0,2024-09-07 09:39:51:780,135132,0.4,133882,0.6,268448,0.4,358730,1.75 109,1,2024-09-07 09:39:50:584,926269,926269,0,0,435510331476,4568052511,917969,7083,1217,382,392132,0 109,2,2024-09-07 09:39:50:932,670641,670641,0,0,29135879,0,3617 109,3,2024-09-07 09:39:51:144,1,529,6,0,630,6564,529,0 110,0,2024-09-07 09:39:51:750,129014,0.4,125536,0.6,262860,0.3,344473,1.75 110,1,2024-09-07 09:39:51:656,930126,930126,0,0,437459882106,4542354542,922594,5610,1922,368,392045,0 110,2,2024-09-07 09:39:51:311,668095,668095,0,0,27477246,0,4067 110,3,2024-09-07 09:39:50:698,1,529,4,0,722,7304,529,0 111,0,2024-09-07 09:39:51:415,129620,0.3,128868,0.5,258172,0.3,344352,1.75 111,1,2024-09-07 09:39:51:008,931587,931587,0,0,437852560484,4538663268,927043,4187,357,380,391690,0 111,2,2024-09-07 09:39:51:117,667475,667475,0,0,28054715,0,4823 111,3,2024-09-07 09:39:50:915,1,529,18,0,379,6007,529,0 112,0,2024-09-07 09:39:50:943,135992,0.3,135307,0.4,271475,0.2,360547,1.50 112,1,2024-09-07 09:39:50:836,930423,930423,0,0,437330267434,4538714526,924520,5212,691,380,391624,0 112,2,2024-09-07 09:39:51:133,669014,669013,1,0,26532530,0,5036 112,3,2024-09-07 09:39:50:599,1,529,3,0,282,5052,529,0 113,0,2024-09-07 09:39:50:887,135365,0.3,135265,0.5,270746,0.3,360328,1.75 113,1,2024-09-07 09:39:51:703,933159,933159,0,0,438232804788,4520815502,927906,4440,813,365,391661,0 113,2,2024-09-07 09:39:51:320,675745,675745,0,0,24220373,0,3813 113,3,2024-09-07 09:39:50:698,1,529,1,0,340,5530,529,0 114,0,2024-09-07 09:39:50:878,130701,0.3,131482,0.5,261384,0.2,349193,1.75 114,1,2024-09-07 09:39:50:717,930283,930283,0,0,437706994995,4543849042,923374,5293,1616,381,391565,0 114,2,2024-09-07 09:39:50:873,668877,668876,1,0,26044949,0,5069 114,3,2024-09-07 09:39:51:279,1,529,1,0,395,4246,529,0 115,0,2024-09-07 09:39:50:559,129986,0.3,130509,0.4,260188,0.2,345590,1.50 115,1,2024-09-07 09:39:50:571,931024,931024,0,0,437734716417,4546810740,923624,6043,1357,382,391757,0 115,2,2024-09-07 09:39:51:128,670275,670275,0,0,25631719,0,4382 115,3,2024-09-07 09:39:51:008,1,529,1,0,167,3020,529,0 116,0,2024-09-07 09:39:51:710,132564,0.6,132519,0.8,265571,0.5,355323,2.00 116,1,2024-09-07 09:39:50:841,926240,926240,0,0,435344360582,4586325867,915577,8217,2446,380,392089,0 116,2,2024-09-07 09:39:51:755,668825,668825,0,0,32804636,0,4475 116,3,2024-09-07 09:39:50:939,1,529,9,0,415,6488,529,0 117,0,2024-09-07 09:39:50:978,137203,0.7,136612,0.8,273701,0.8,365592,2.00 117,1,2024-09-07 09:39:51:593,927337,927337,0,0,435823915031,4560367494,917307,8805,1225,369,392033,0 117,2,2024-09-07 09:39:51:123,672457,672457,0,0,28767497,0,4303 117,3,2024-09-07 09:39:51:073,1,529,2,0,490,7184,529,0 118,0,2024-09-07 09:39:51:785,128294,0.6,131862,0.7,268780,0.6,351502,2.00 118,1,2024-09-07 09:39:50:592,926598,926598,0,0,435080822088,4571705632,913093,10382,3123,366,392054,0 118,2,2024-09-07 09:39:51:596,667245,667245,0,0,31260117,0,2842 118,3,2024-09-07 09:39:51:790,1,529,1,0,248,5910,529,0 119,0,2024-09-07 09:39:51:352,130222,0.6,130658,0.8,261051,0.6,347880,2.00 119,1,2024-09-07 09:39:50:552,927854,927854,0,0,436280221632,4569599719,916124,9962,1768,367,391857,0 119,2,2024-09-07 09:39:51:261,668568,668568,0,0,29100328,0,4174 119,3,2024-09-07 09:39:51:329,1,529,1,0,1358,9786,529,0 120,0,2024-09-07 09:39:51:575,130382,0.6,129982,0.8,261342,0.6,348522,2.25 120,1,2024-09-07 09:39:50:884,928143,928143,0,0,435602519187,4563358956,918842,8520,781,367,392144,0 120,2,2024-09-07 09:39:50:772,668260,668259,1,0,33809336,0,5281 120,3,2024-09-07 09:39:51:305,1,529,2,0,279,6556,529,0 121,0,2024-09-07 09:39:51:720,137708,1.3,137111,1.1,274691,1.7,366496,2.25 121,1,2024-09-07 09:39:51:662,928485,928485,0,0,435698508324,4552532167,919745,8039,701,366,391840,0 121,2,2024-09-07 09:39:51:130,667740,667740,0,0,31042462,0,4157 121,3,2024-09-07 09:39:50:728,1,529,2,0,330,6300,529,0 122,0,2024-09-07 09:39:51:765,133615,0.9,129963,0.9,272363,1.0,358401,2.25 122,1,2024-09-07 09:39:50:861,927018,927018,0,0,435544279001,4567252515,914560,10572,1886,366,392130,0 122,2,2024-09-07 09:39:51:329,671482,671407,75,0,35287826,0,5989 122,3,2024-09-07 09:39:50:600,1,529,1,0,512,8851,529,0 123,0,2024-09-07 09:39:50:967,128905,0.8,125418,0.9,262692,0.9,343992,2.25 123,1,2024-09-07 09:39:50:568,927616,927616,0,0,435569163568,4580410397,912404,12707,2505,369,392039,0 123,2,2024-09-07 09:39:51:024,665838,665837,1,0,29980340,0,5215 123,3,2024-09-07 09:39:51:137,1,529,1,0,478,6104,529,0 124,0,2024-09-07 09:39:50:923,133130,0.3,133111,0.5,250808,0.3,345545,1.75 124,1,2024-09-07 09:39:51:026,930294,930294,0,0,435804392079,4529737005,923478,5684,1132,365,392178,0 124,2,2024-09-07 09:39:51:009,669345,669292,53,0,27366857,0,6487 124,3,2024-09-07 09:39:50:761,1,529,2,0,490,5482,529,0 125,0,2024-09-07 09:39:51:435,135498,0.4,135226,0.5,271753,0.3,361835,1.75 125,1,2024-09-07 09:39:50:880,929056,929056,0,0,435859627029,4548528200,922073,5999,984,382,391813,0 125,2,2024-09-07 09:39:51:129,671139,671139,0,0,27390770,0,4534 125,3,2024-09-07 09:39:51:126,1,529,13,0,709,6279,529,0 126,0,2024-09-07 09:39:51:448,135045,0.4,138862,0.6,265657,0.4,359801,1.75 126,1,2024-09-07 09:39:50:552,931863,931863,0,0,437421775795,4526870918,927177,4375,311,365,391987,0 126,2,2024-09-07 09:39:50:612,673810,673810,0,0,28256469,0,4539 126,3,2024-09-07 09:39:50:914,1,529,33,0,268,5897,529,0 127,0,2024-09-07 09:39:51:618,129386,0.3,129721,0.5,258839,0.3,343870,1.75 127,1,2024-09-07 09:39:50:574,930116,930116,0,0,436039220459,4536738706,920745,7867,1504,364,392187,0 127,2,2024-09-07 09:39:50:650,667032,667028,4,0,26875630,0,5305 127,3,2024-09-07 09:39:51:275,1,529,1,0,968,5239,529,0 128,0,2024-09-07 09:39:51:532,129456,0.3,129490,0.4,258525,0.2,343899,1.50 128,1,2024-09-07 09:39:51:609,929112,929112,0,0,436987399455,4538223851,922635,5741,736,367,392031,0 128,2,2024-09-07 09:39:51:384,669305,669305,0,0,25050032,0,3171 128,3,2024-09-07 09:39:50:781,1,529,9,0,1082,8370,529,0 129,0,2024-09-07 09:39:50:997,135452,0.3,134801,0.4,270190,0.3,359463,1.50 129,1,2024-09-07 09:39:50:569,926658,926658,0,0,434747769420,4554876138,917627,7086,1945,379,391962,0 129,2,2024-09-07 09:39:50:690,670561,670557,4,0,27379393,0,5335 129,3,2024-09-07 09:39:50:689,1,529,0,0,506,7385,529,0 130,0,2024-09-07 09:39:51:722,136869,0.4,136410,0.6,273575,0.4,363869,1.75 130,1,2024-09-07 09:39:50:587,930144,930144,0,0,437566212296,4543808129,925346,4410,388,381,391825,0 130,2,2024-09-07 09:39:51:128,672965,672965,0,0,27405131,0,4067 130,3,2024-09-07 09:39:51:298,1,529,2,0,960,7325,529,0 131,0,2024-09-07 09:39:51:924,131278,0.4,131691,0.5,263820,0.3,350088,1.75 131,1,2024-09-07 09:39:51:833,929842,929842,0,0,436906576925,4556163178,922956,5694,1192,381,391865,0 131,2,2024-09-07 09:39:50:567,668429,668429,0,0,25336035,0,3979 131,3,2024-09-07 09:39:51:689,1,529,2,0,392,6507,529,0 132,0,2024-09-07 09:39:51:412,130616,0.4,131664,0.6,262099,0.4,348385,1.75 132,1,2024-09-07 09:39:50:577,926061,926061,0,0,435082021568,4574817057,913574,10290,2197,381,392532,0 132,2,2024-09-07 09:39:50:702,667976,667959,17,0,33253381,0,6451 132,3,2024-09-07 09:39:51:695,1,529,1,0,804,8743,529,0 133,0,2024-09-07 09:39:51:563,128953,0.4,131783,0.6,270558,0.3,352356,1.75 133,1,2024-09-07 09:39:50:589,925686,925686,0,0,435355183419,4585894534,913175,10820,1691,383,391914,0 133,2,2024-09-07 09:39:51:089,670194,670144,50,0,33653747,0,6861 133,3,2024-09-07 09:39:51:298,1,529,1,0,479,6293,529,0 134,0,2024-09-07 09:39:50:986,138014,0.5,137954,0.7,276232,0.5,367550,2.00 134,1,2024-09-07 09:39:50:584,927753,927753,0,0,434844800672,4556831872,916354,9252,2147,366,391718,0 134,2,2024-09-07 09:39:51:760,669056,669032,24,0,31673890,0,6207 134,3,2024-09-07 09:39:50:793,1,529,8,0,739,6349,529,0 135,0,2024-09-07 09:39:51:114,128981,0.9,128971,0.9,273799,1.1,352936,2.25 135,1,2024-09-07 09:39:51:592,927273,927273,0,0,436006990272,4582754266,915636,10071,1566,380,391805,0 135,2,2024-09-07 09:39:50:690,669802,669802,0,0,31895510,0,4503 135,3,2024-09-07 09:39:51:016,1,529,8,0,900,5364,529,0 136,0,2024-09-07 09:39:51:620,130283,0.5,130469,0.7,259898,0.5,346827,2.00 136,1,2024-09-07 09:39:51:453,928181,928181,0,0,434487284867,4553519665,917794,9117,1270,381,392135,0 136,2,2024-09-07 09:39:51:134,669416,669401,15,0,31169207,0,6007 136,3,2024-09-07 09:39:51:107,1,529,0,0,637,6293,529,0 137,0,2024-09-07 09:39:50:918,134567,0.5,131170,0.7,256903,0.5,349210,2.00 137,1,2024-09-07 09:39:50:576,926247,926247,0,0,435377870218,4561163201,912787,10788,2672,366,391898,0 137,2,2024-09-07 09:39:51:725,667120,667120,0,0,32819730,0,3185 137,3,2024-09-07 09:39:50:769,1,529,1,0,484,6859,529,0 138,0,2024-09-07 09:39:51:746,135453,0.8,135741,0.9,271985,0.9,361775,2.00 138,1,2024-09-07 09:39:51:692,927512,927512,0,0,436352831081,4573813833,914769,10772,1971,368,391954,0 138,2,2024-09-07 09:39:50:601,667764,667764,0,0,30154825,0,4988 138,3,2024-09-07 09:39:50:612,1,529,1,0,1200,8573,529,0 139,0,2024-09-07 09:39:51:439,133349,1.3,133770,1.0,267615,1.8,357154,2.50 139,1,2024-09-07 09:39:50:574,923555,923555,0,0,433919642777,4599029480,907608,12485,3462,380,392109,0 139,2,2024-09-07 09:39:50:698,667945,667945,0,0,33575122,0,3097 139,3,2024-09-07 09:39:51:668,1,529,4,0,432,6023,529,0 140,0,2024-09-07 09:39:51:591,129750,0.3,128900,0.5,258651,0.2,344737,1.75 140,1,2024-09-07 09:39:51:549,932876,932876,0,0,439051266049,4524817843,928292,4046,538,364,391606,0 140,2,2024-09-07 09:39:50:690,668973,668972,1,0,25616460,0,5036 140,3,2024-09-07 09:39:50:767,1,529,1,0,297,4893,529,0 141,0,2024-09-07 09:39:51:709,129412,0.3,132862,0.4,253701,0.2,344110,1.50 141,1,2024-09-07 09:39:50:859,931216,931216,0,0,438099376079,4548976149,924106,6024,1086,379,391614,0 141,2,2024-09-07 09:39:51:702,668076,668065,11,0,27259828,0,5369 141,3,2024-09-07 09:39:51:042,1,529,2,0,391,5946,529,0 142,0,2024-09-07 09:39:51:308,136113,0.3,135089,0.5,270727,0.3,361487,1.50 142,1,2024-09-07 09:39:50:594,929283,929283,0,0,436524657359,4548385811,923618,5206,459,382,392102,0 142,2,2024-09-07 09:39:51:299,668555,668523,32,0,27817190,0,6028 142,3,2024-09-07 09:39:51:745,1,529,1,0,484,5482,529,0 143,0,2024-09-07 09:39:51:370,135177,0.4,135157,0.6,271206,0.4,360323,1.75 143,1,2024-09-07 09:39:50:560,931226,931226,0,0,437907288634,4542944610,925144,5524,558,367,391722,0 143,2,2024-09-07 09:39:50:769,673802,673802,0,0,27329617,0,3123 143,3,2024-09-07 09:39:51:140,1,529,11,0,462,6589,529,0 144,0,2024-09-07 09:39:51:508,126335,0.6,129939,0.8,264388,0.6,346096,2.00 144,1,2024-09-07 09:39:50:566,926587,926587,0,0,435205977247,4565453091,917192,7427,1968,381,391733,0 144,2,2024-09-07 09:39:51:755,668049,668049,0,0,25919400,0,3673 144,3,2024-09-07 09:39:51:740,1,529,2,0,249,5113,529,0 145,0,2024-09-07 09:39:51:363,125431,0.5,125362,0.7,266205,0.4,342294,2.25 145,1,2024-09-07 09:39:50:553,926150,926150,0,0,434738290946,4574546423,913777,9906,2467,382,391759,0 145,2,2024-09-07 09:39:51:429,665494,665412,82,0,32002113,0,7814 145,3,2024-09-07 09:39:50:901,1,529,6,0,622,7461,529,0 146,0,2024-09-07 09:39:51:609,132992,0.5,132389,0.7,265885,0.5,353819,2.00 146,1,2024-09-07 09:39:51:593,927280,927280,0,0,435463311450,4575613663,912836,10643,3801,367,391770,0 146,2,2024-09-07 09:39:51:710,668402,668396,6,0,30337155,0,5151 146,3,2024-09-07 09:39:51:274,1,529,1,0,1520,9042,529,0 147,0,2024-09-07 09:39:51:707,137071,0.6,136854,0.7,273235,0.6,364797,2.25 147,1,2024-09-07 09:39:51:386,930768,930768,0,0,437661998958,4546033251,923480,6409,879,367,391791,0 147,2,2024-09-07 09:39:51:015,670213,670213,0,0,27048935,0,2968 147,3,2024-09-07 09:39:50:931,1,529,5,0,1626,8543,529,0 0,0,2024-09-07 09:40:01:764,127584,0.5,127647,0.7,270811,0.5,350295,2.00 0,1,2024-09-07 09:40:00:802,929359,929359,0,0,436625486025,4570110473,921837,6680,842,369,391896,0 0,2,2024-09-07 09:40:01:066,672120,672120,0,0,27074718,0,4480 0,3,2024-09-07 09:40:00:986,1,530,14,0,431,7276,530,0 1,0,2024-09-07 09:40:01:797,137738,0.9,136807,0.9,275037,1.2,367209,2.00 1,1,2024-09-07 09:40:00:557,928558,928558,0,0,435508141669,4562252903,919836,7254,1468,370,391859,0 1,2,2024-09-07 09:40:00:639,670768,670768,0,0,26469465,0,3380 1,3,2024-09-07 09:40:01:307,1,530,12,0,269,6591,530,0 2,0,2024-09-07 09:40:01:602,134142,0.6,134128,0.8,267945,0.7,357651,2.00 2,1,2024-09-07 09:40:00:860,931722,931722,0,0,437934791677,4549842430,926733,4072,917,379,391745,0 2,2,2024-09-07 09:40:01:269,672382,672382,0,0,25089135,0,3594 2,3,2024-09-07 09:40:00:691,1,530,3,0,357,4757,530,0 3,0,2024-09-07 09:40:01:751,129572,0.4,129450,0.6,258446,0.3,344574,2.00 3,1,2024-09-07 09:40:01:623,930534,930534,0,0,437669383473,4554633844,923065,6766,703,379,391716,0 3,2,2024-09-07 09:40:01:145,670200,670177,23,0,26210049,0,5851 3,3,2024-09-07 09:40:01:762,1,530,10,0,275,3542,530,0 4,0,2024-09-07 09:40:01:830,125783,0.3,129311,0.5,263599,0.3,345349,1.75 4,1,2024-09-07 09:40:00:601,927092,927092,0,0,434855961926,4604251069,910737,12667,3688,370,391992,0 4,2,2024-09-07 09:40:01:023,666659,666659,0,0,32007451,0,4534 4,3,2024-09-07 09:40:01:028,1,530,1,0,448,6733,530,0 5,0,2024-09-07 09:40:01:452,135831,0.4,136165,0.5,272155,0.4,361996,1.75 5,1,2024-09-07 09:40:00:756,928066,928066,0,0,436587870213,4592720663,915316,10335,2415,367,392005,0 5,2,2024-09-07 09:40:01:833,666948,666948,0,0,31019431,0,3582 5,3,2024-09-07 09:40:01:751,1,530,14,0,457,7190,530,0 6,0,2024-09-07 09:40:00:936,135174,0.5,134815,0.7,269897,0.5,359854,2.00 6,1,2024-09-07 09:40:00:748,930112,930112,0,0,436470983156,4557260372,920535,8118,1459,379,391702,0 6,2,2024-09-07 09:40:01:119,674095,674077,18,0,30042021,0,5535 6,3,2024-09-07 09:40:01:275,1,530,1,0,710,6264,530,0 7,0,2024-09-07 09:40:01:549,128620,0.5,129476,0.7,257717,0.5,343038,2.00 7,1,2024-09-07 09:40:00:888,928540,928540,0,0,435994621585,4576809030,915614,10694,2232,382,391747,0 7,2,2024-09-07 09:40:00:785,668663,668663,0,0,29177646,0,4791 7,3,2024-09-07 09:40:00:851,1,530,0,0,552,5910,530,0 8,0,2024-09-07 09:40:01:362,129478,0.3,128982,0.5,258917,0.3,344750,1.50 8,1,2024-09-07 09:40:01:042,926589,926589,0,0,435565525835,4576895961,912323,11134,3132,366,392853,0 8,2,2024-09-07 09:40:00:790,664234,664232,2,0,34958958,0,5112 8,3,2024-09-07 09:40:00:593,1,530,5,0,538,7848,530,0 9,0,2024-09-07 09:40:01:144,134672,0.3,131014,0.5,274438,0.3,360126,1.75 9,1,2024-09-07 09:40:00:564,927177,927177,0,0,435993982511,4590168430,913683,10842,2652,369,392001,0 9,2,2024-09-07 09:40:01:090,669292,669291,1,0,31673842,0,5281 9,3,2024-09-07 09:40:01:774,1,530,1,0,1273,8795,530,0 10,0,2024-09-07 09:40:01:602,136095,0.4,135676,0.5,272119,0.3,362290,1.75 10,1,2024-09-07 09:40:00:583,929409,929409,0,0,435828956534,4564259428,917041,10278,2090,381,391869,0 10,2,2024-09-07 09:40:00:770,673689,673689,0,0,34454840,0,4264 10,3,2024-09-07 09:40:00:872,1,530,1,0,649,5521,530,0 11,0,2024-09-07 09:40:01:009,131071,0.5,127173,0.6,265978,0.4,350288,1.75 11,1,2024-09-07 09:40:00:574,930626,930626,0,0,436989014166,4580001337,917149,10015,3462,383,391766,0 11,2,2024-09-07 09:40:01:123,668105,668105,0,0,30133871,0,4130 11,3,2024-09-07 09:40:01:319,1,530,1,0,843,7122,530,0 12,0,2024-09-07 09:40:00:992,131384,0.4,131471,0.5,262835,0.3,348716,1.75 12,1,2024-09-07 09:40:00:943,930022,930022,0,0,436400467502,4551660138,922344,6793,885,370,391960,0 12,2,2024-09-07 09:40:01:557,668449,668449,0,0,30045442,0,4390 12,3,2024-09-07 09:40:01:062,1,530,1,0,386,7072,530,0 13,0,2024-09-07 09:40:01:365,133418,0.4,133271,0.5,265755,0.4,354499,1.75 13,1,2024-09-07 09:40:01:572,927722,927722,0,0,435525663567,4576543805,918091,7336,2295,382,391740,0 13,2,2024-09-07 09:40:00:754,672347,672347,0,0,26987466,0,3287 13,3,2024-09-07 09:40:01:793,1,530,9,0,522,7347,530,0 14,0,2024-09-07 09:40:00:562,137856,0.4,138820,0.6,275546,0.4,366677,1.75 14,1,2024-09-07 09:40:01:562,934321,934321,0,0,438966231525,4540677607,927406,6187,728,364,391673,0 14,2,2024-09-07 09:40:00:765,672264,672234,30,0,28322366,0,6104 14,3,2024-09-07 09:40:01:131,1,530,9,0,1168,6037,530,0 15,0,2024-09-07 09:40:01:571,133038,0.4,132445,0.7,265771,0.4,354230,2.00 15,1,2024-09-07 09:40:01:608,931491,931491,0,0,437235900316,4552577232,923757,6249,1485,381,391619,0 15,2,2024-09-07 09:40:01:000,672650,672650,0,0,23789142,0,3622 15,3,2024-09-07 09:40:01:406,1,530,0,0,1126,7320,530,0 16,0,2024-09-07 09:40:00:987,129870,0.5,130635,0.7,260594,0.5,346955,2.00 16,1,2024-09-07 09:40:00:563,931100,931100,0,0,437015971329,4567798611,922675,7123,1302,370,392194,0 16,2,2024-09-07 09:40:01:437,669147,669147,0,0,28067299,0,4719 16,3,2024-09-07 09:40:01:164,1,530,5,0,358,6647,530,0 17,0,2024-09-07 09:40:01:788,134927,0.5,131450,0.7,257684,0.5,349598,2.00 17,1,2024-09-07 09:40:00:575,929268,929268,0,0,436387201672,4576341032,919962,7557,1749,368,392075,0 17,2,2024-09-07 09:40:01:680,672686,672685,1,0,28844872,0,5050 17,3,2024-09-07 09:40:00:582,1,530,2,0,518,7845,530,0 18,0,2024-09-07 09:40:00:963,135259,0.6,136118,0.8,271343,0.6,362723,2.25 18,1,2024-09-07 09:40:01:667,933345,933345,0,0,437605727025,4537715809,927232,5116,997,367,391725,0 18,2,2024-09-07 09:40:01:759,672546,672546,0,0,25571159,0,3541 18,3,2024-09-07 09:40:00:898,1,530,1,0,1059,4926,530,0 19,0,2024-09-07 09:40:01:567,134116,0.7,134459,0.8,267587,0.7,356606,2.25 19,1,2024-09-07 09:40:00:565,932614,932614,0,0,438770419237,4547870577,925625,5904,1085,367,391777,0 19,2,2024-09-07 09:40:01:753,676051,676051,0,0,24019826,0,3988 19,3,2024-09-07 09:40:01:131,1,530,1,0,524,4256,530,0 20,0,2024-09-07 09:40:01:373,129065,0.5,129357,0.7,258184,0.5,344422,2.00 20,1,2024-09-07 09:40:00:577,929351,929351,0,0,436494501546,4570800413,920142,7840,1369,369,391922,0 20,2,2024-09-07 09:40:00:942,668643,668643,0,0,29131868,0,3721 20,3,2024-09-07 09:40:00:598,1,530,0,0,468,8921,530,0 21,0,2024-09-07 09:40:01:169,129331,0.4,129484,0.6,258933,0.4,343674,1.75 21,1,2024-09-07 09:40:01:577,927965,927965,0,0,434729150442,4585376975,913805,11041,3119,368,392016,0 21,2,2024-09-07 09:40:01:067,666045,666025,20,0,34098960,0,5617 21,3,2024-09-07 09:40:01:431,1,530,13,0,713,6788,530,0 22,0,2024-09-07 09:40:01:743,135506,0.4,136146,0.6,271489,0.4,360659,2.00 22,1,2024-09-07 09:40:01:026,928704,928704,0,0,435542987306,4582912639,913471,12006,3227,382,391822,0 22,2,2024-09-07 09:40:00:770,668740,668714,26,0,28558764,0,6328 22,3,2024-09-07 09:40:01:068,1,530,1,0,228,4440,530,0 23,0,2024-09-07 09:40:01:369,135094,0.5,134660,0.7,269211,0.5,358998,2.25 23,1,2024-09-07 09:40:01:011,929775,929775,0,0,436916208530,4583260058,914069,11298,4408,365,391690,0 23,2,2024-09-07 09:40:01:092,675225,675225,0,0,27249050,0,3773 23,3,2024-09-07 09:40:01:756,1,530,1,0,855,8318,530,0 24,0,2024-09-07 09:40:00:838,131270,0.4,130506,0.6,262622,0.4,348282,1.75 24,1,2024-09-07 09:40:00:593,928660,928660,0,0,436194783606,4565013751,919694,7289,1677,367,392269,0 24,2,2024-09-07 09:40:01:071,668259,668259,0,0,32340300,0,4438 24,3,2024-09-07 09:40:01:695,1,530,3,0,468,6684,530,0 25,0,2024-09-07 09:40:01:407,133259,0.4,129852,0.6,254591,0.3,346539,1.75 25,1,2024-09-07 09:40:00:558,927883,927883,0,0,436071939940,4598001394,912904,12007,2972,369,391928,0 25,2,2024-09-07 09:40:01:614,667293,667293,0,0,33721262,0,3978 25,3,2024-09-07 09:40:01:010,1,530,2,0,532,5909,530,0 26,0,2024-09-07 09:40:01:739,132978,0.4,130121,0.6,273032,0.3,355802,1.75 26,1,2024-09-07 09:40:01:561,930784,930784,0,0,436807380121,4571527859,917944,10388,2452,380,391748,0 26,2,2024-09-07 09:40:00:900,670547,670547,0,0,35756956,0,4689 26,3,2024-09-07 09:40:01:725,1,530,0,0,796,5772,530,0 27,0,2024-09-07 09:40:01:731,137115,0.4,137748,0.6,273768,0.4,364797,2.25 27,1,2024-09-07 09:40:01:679,931572,931572,0,0,438510733962,4565234527,923016,7287,1269,381,391626,0 27,2,2024-09-07 09:40:00:867,669985,669920,65,0,30868334,0,5699 27,3,2024-09-07 09:40:01:015,1,530,1,0,564,4585,530,0 28,0,2024-09-07 09:40:01:401,132727,0.4,132463,0.6,265481,0.3,353479,1.75 28,1,2024-09-07 09:40:00:797,932083,932083,0,0,437962879555,4564616327,924307,6062,1714,382,391698,0 28,2,2024-09-07 09:40:01:770,669850,669850,0,0,27111063,0,2915 28,3,2024-09-07 09:40:01:792,1,530,53,0,502,5322,530,0 29,0,2024-09-07 09:40:01:356,134441,0.3,130847,0.6,256870,0.3,350177,1.75 29,1,2024-09-07 09:40:01:562,934131,934131,0,0,438921919198,4538840132,928574,4795,762,367,391809,0 29,2,2024-09-07 09:40:00:899,669063,669063,0,0,26652285,0,4986 29,3,2024-09-07 09:40:00:966,1,530,0,0,459,5763,530,0 30,0,2024-09-07 09:40:01:458,130909,0.5,127400,0.6,266943,0.4,349185,2.00 30,1,2024-09-07 09:40:00:574,933588,933588,0,0,438869121802,4553726851,926610,6076,902,380,391672,0 30,2,2024-09-07 09:40:01:274,670848,670848,0,0,25671657,0,4192 30,3,2024-09-07 09:40:00:582,1,530,0,0,519,5107,530,0 31,0,2024-09-07 09:40:01:756,137596,0.5,138048,0.6,275396,0.4,367572,2.00 31,1,2024-09-07 09:40:00:565,936622,936622,0,0,440627058539,4522570407,931298,4313,1011,356,391712,0 31,2,2024-09-07 09:40:01:274,669836,669836,0,0,28196046,0,4470 31,3,2024-09-07 09:40:01:710,1,530,3,0,220,4470,530,0 32,0,2024-09-07 09:40:01:422,134654,0.3,135374,0.5,269596,0.3,359233,1.75 32,1,2024-09-07 09:40:00:804,932672,932672,0,0,437950179456,4551432486,926867,5096,709,381,391646,0 32,2,2024-09-07 09:40:00:943,673122,673122,0,0,24605945,0,3922 32,3,2024-09-07 09:40:01:014,1,530,2,0,304,4377,530,0 33,0,2024-09-07 09:40:01:499,130088,0.3,129667,0.4,259591,0.2,345591,1.50 33,1,2024-09-07 09:40:00:592,933322,933322,0,0,438584322210,4542091840,926088,6060,1174,368,391730,0 33,2,2024-09-07 09:40:00:762,671027,670992,35,0,27231097,0,7012 33,3,2024-09-07 09:40:00:894,1,530,49,0,329,4945,530,0 34,0,2024-09-07 09:40:00:932,130065,0.3,133622,0.4,255320,0.2,344830,1.75 34,1,2024-09-07 09:40:01:044,935142,935142,0,0,439354941234,4517666360,932631,2489,22,367,391637,0 34,2,2024-09-07 09:40:00:767,670994,670994,0,0,25708916,0,4562 34,3,2024-09-07 09:40:01:694,1,530,0,0,320,4072,530,0 35,0,2024-09-07 09:40:00:861,135157,0.3,135859,0.5,272902,0.2,362538,1.75 35,1,2024-09-07 09:40:01:068,931990,931990,0,0,437406610661,4536951572,925332,5445,1213,382,391769,0 35,2,2024-09-07 09:40:01:585,669874,669874,0,0,28305592,0,4055 35,3,2024-09-07 09:40:00:907,1,530,1,0,418,4909,530,0 36,0,2024-09-07 09:40:01:567,135613,0.5,135488,0.7,270894,0.5,360707,2.00 36,1,2024-09-07 09:40:00:584,931797,931797,0,0,436987984477,4563203844,919509,10066,2222,366,391759,0 36,2,2024-09-07 09:40:01:752,674302,674302,0,0,29714904,0,3875 36,3,2024-09-07 09:40:00:899,1,530,1,0,416,7179,530,0 37,0,2024-09-07 09:40:01:378,128853,0.5,128740,0.7,257740,0.5,343698,2.00 37,1,2024-09-07 09:40:00:575,930382,930375,0,7,437385158080,4566023563,919252,8447,2676,365,391770,0 37,2,2024-09-07 09:40:01:150,666855,666840,15,0,30137591,0,5815 37,3,2024-09-07 09:40:01:770,1,530,3,0,888,7378,530,0 38,0,2024-09-07 09:40:01:439,128544,0.4,124713,0.6,260864,0.3,341949,2.00 38,1,2024-09-07 09:40:01:605,931465,931465,0,0,437252253777,4569443368,918234,10712,2519,368,391821,0 38,2,2024-09-07 09:40:00:776,667907,667860,47,0,30279888,0,6710 38,3,2024-09-07 09:40:01:001,1,530,1,0,689,6704,530,0 39,0,2024-09-07 09:40:01:764,138069,0.5,135140,0.7,263283,0.4,359924,2.00 39,1,2024-09-07 09:40:00:721,929666,929666,0,0,436617026777,4578900598,913869,12327,3470,365,391865,0 39,2,2024-09-07 09:40:01:422,671157,671157,0,0,27973309,0,3391 39,3,2024-09-07 09:40:00:713,1,530,1,0,525,6278,530,0 40,0,2024-09-07 09:40:01:498,134855,0.9,135435,1.0,270408,1.1,360434,2.75 40,1,2024-09-07 09:40:00:576,931836,931836,0,0,435862442588,4556883839,919816,9841,2179,368,391668,0 40,2,2024-09-07 09:40:01:304,671840,671839,1,0,33446107,0,5137 40,3,2024-09-07 09:40:01:161,1,530,1,0,1028,7393,530,0 41,0,2024-09-07 09:40:01:065,130583,1.3,133401,1.1,254480,1.8,346091,3.00 41,1,2024-09-07 09:40:00:772,930218,930218,0,0,436704648943,4567895646,918289,9994,1935,369,391878,0 41,2,2024-09-07 09:40:00:778,666140,666139,1,0,32185072,0,5408 41,3,2024-09-07 09:40:01:695,1,530,1,0,366,5762,530,0 42,0,2024-09-07 09:40:01:706,129914,0.8,129643,0.9,259461,0.8,343474,2.50 42,1,2024-09-07 09:40:01:445,927155,927155,0,0,435270677095,4575072129,912140,12107,2908,380,391675,0 42,2,2024-09-07 09:40:01:133,667982,667982,0,0,31352762,0,3975 42,3,2024-09-07 09:40:01:012,1,530,1,0,892,4712,530,0 43,0,2024-09-07 09:40:00:917,131453,0.6,128034,0.9,268035,0.6,351616,2.25 43,1,2024-09-07 09:40:00:576,930662,930662,0,0,437468388860,4569251528,917792,10610,2260,366,391696,0 43,2,2024-09-07 09:40:01:745,671048,671048,0,0,30751665,0,4723 43,3,2024-09-07 09:40:01:750,1,530,0,0,571,7336,530,0 44,0,2024-09-07 09:40:00:883,138020,0.4,137964,0.6,276607,0.4,367186,1.75 44,1,2024-09-07 09:40:00:585,933317,933317,0,0,437764170488,4523097531,925517,6213,1587,356,391809,0 44,2,2024-09-07 09:40:01:266,669524,669524,0,0,25495166,0,4344 44,3,2024-09-07 09:40:01:094,1,530,1,0,817,5777,530,0 45,0,2024-09-07 09:40:01:781,131658,0.5,128622,0.7,269920,0.5,354418,2.00 45,1,2024-09-07 09:40:01:013,932169,932169,0,0,439101158016,4562607644,924655,6638,876,382,391917,0 45,2,2024-09-07 09:40:01:270,671007,671007,0,0,25773053,0,3596 45,3,2024-09-07 09:40:00:942,1,530,1,0,365,4993,530,0 46,0,2024-09-07 09:40:00:951,129658,0.5,129059,0.7,259071,0.4,344194,2.00 46,1,2024-09-07 09:40:00:576,933674,933674,0,0,438602170172,4531975413,927268,5542,864,366,391709,0 46,2,2024-09-07 09:40:00:593,670786,670786,0,0,26144270,0,4443 46,3,2024-09-07 09:40:01:131,1,530,2,0,908,6384,530,0 47,0,2024-09-07 09:40:01:136,130950,0.4,131066,0.6,263128,0.3,348548,1.75 47,1,2024-09-07 09:40:00:575,934937,934937,0,0,439524785614,4538744067,929697,4401,839,365,391641,0 47,2,2024-09-07 09:40:00:918,673339,673339,0,0,25904450,0,4477 47,3,2024-09-07 09:40:01:122,1,530,1,0,600,5982,530,0 48,0,2024-09-07 09:40:01:526,136911,0.3,137048,0.4,273455,0.2,364714,1.50 48,1,2024-09-07 09:40:01:027,932313,932313,0,0,437828603277,4547534923,926518,5221,574,384,391710,0 48,2,2024-09-07 09:40:00:715,670247,670247,0,0,23736749,0,3411 48,3,2024-09-07 09:40:00:753,1,530,5,0,339,4182,530,0 49,0,2024-09-07 09:40:01:717,138316,0.4,135710,0.5,263743,0.3,360605,1.75 49,1,2024-09-07 09:40:01:022,931897,931897,0,0,437666108559,4548293045,926329,4357,1211,382,391809,0 49,2,2024-09-07 09:40:01:799,674740,674740,0,0,26331312,0,4426 49,3,2024-09-07 09:40:01:422,1,530,1,0,992,6076,530,0 50,0,2024-09-07 09:40:01:530,130017,0.3,128318,0.5,258416,0.2,344032,1.75 50,1,2024-09-07 09:40:01:011,935190,935190,0,0,438874453462,4536292835,929244,5279,667,368,391565,0 50,2,2024-09-07 09:40:01:067,669071,669071,0,0,24126651,0,4490 50,3,2024-09-07 09:40:01:293,1,530,1,0,617,5456,530,0 51,0,2024-09-07 09:40:01:684,132777,0.3,129938,0.4,253132,0.2,345205,1.75 51,1,2024-09-07 09:40:01:680,935770,935770,0,0,440217054625,4537473581,931003,3712,1055,365,391706,0 51,2,2024-09-07 09:40:01:318,669747,669747,0,0,23386070,0,3337 51,3,2024-09-07 09:40:01:027,1,530,0,0,678,3791,530,0 52,0,2024-09-07 09:40:01:419,136059,0.5,135700,0.7,271770,0.4,361430,2.00 52,1,2024-09-07 09:40:00:575,931134,931134,0,0,435894434345,4561947145,917904,11125,2105,368,391722,0 52,2,2024-09-07 09:40:01:759,666770,666732,38,0,31135592,0,6742 52,3,2024-09-07 09:40:00:677,1,530,1,0,1782,6697,530,0 53,0,2024-09-07 09:40:01:743,134718,0.7,130630,0.8,273250,0.8,358579,2.50 53,1,2024-09-07 09:40:00:772,929325,929325,0,0,436266753065,4576563016,913962,11519,3844,367,391968,0 53,2,2024-09-07 09:40:01:314,674659,674658,1,0,28039168,0,5455 53,3,2024-09-07 09:40:00:703,1,530,23,0,308,5176,530,0 54,0,2024-09-07 09:40:01:613,128453,0.6,128771,0.8,256476,0.5,342138,2.25 54,1,2024-09-07 09:40:00:582,931350,931350,0,0,437564973277,4550308499,921282,8434,1634,366,391810,0 54,2,2024-09-07 09:40:00:900,668943,668911,32,0,31734051,0,6397 54,3,2024-09-07 09:40:00:763,1,530,1,0,676,7047,530,0 55,0,2024-09-07 09:40:01:758,125166,0.5,129133,0.7,261624,0.5,340669,2.25 55,1,2024-09-07 09:40:00:765,932547,932547,0,0,437658649262,4549543243,922863,8363,1321,365,391731,0 55,2,2024-09-07 09:40:00:737,667649,667593,56,0,29961630,0,7239 55,3,2024-09-07 09:40:00:675,1,530,4,0,304,4897,530,0 56,0,2024-09-07 09:40:01:589,136460,1.0,128517,1.1,264954,1.3,355240,2.50 56,1,2024-09-07 09:40:00:610,927183,927183,0,0,435800642198,4599996029,912854,11416,2913,381,391867,0 56,2,2024-09-07 09:40:01:327,670459,670337,122,0,31544117,0,7432 56,3,2024-09-07 09:40:01:071,1,530,12,0,705,6283,530,0 57,0,2024-09-07 09:40:00:933,135174,1.6,135103,1.3,270603,2.2,361518,3.25 57,1,2024-09-07 09:40:00:992,928795,928795,0,0,436468638939,4577714444,916587,9923,2285,366,392032,0 57,2,2024-09-07 09:40:01:315,672248,672248,0,0,32419757,0,4317 57,3,2024-09-07 09:40:01:744,1,530,0,0,455,5885,530,0 58,0,2024-09-07 09:40:00:558,130597,1.0,126829,1.0,265268,1.2,347024,2.75 58,1,2024-09-07 09:40:00:575,930315,930312,0,3,437275971312,4580983418,916508,10483,3321,367,391675,3 58,2,2024-09-07 09:40:01:071,668997,668997,0,0,30740315,0,3483 58,3,2024-09-07 09:40:01:069,1,530,0,0,1043,5817,530,0 59,0,2024-09-07 09:40:01:741,130169,0.8,130131,0.9,259392,0.8,344347,2.75 59,1,2024-09-07 09:40:00:804,929018,929018,0,0,436693339953,4585078096,914105,11774,3139,369,391653,0 59,2,2024-09-07 09:40:00:595,668923,668923,0,0,29379865,0,3727 59,3,2024-09-07 09:40:01:743,1,530,1,0,1015,6509,530,0 60,0,2024-09-07 09:40:01:725,131277,0.5,131638,0.7,263013,0.4,350185,1.75 60,1,2024-09-07 09:40:00:774,933698,933698,0,0,438212875147,4547088711,927508,5254,936,370,392031,0 60,2,2024-09-07 09:40:01:150,671234,671234,0,0,27888576,0,3811 60,3,2024-09-07 09:40:01:262,1,530,1,0,409,6133,530,0 61,0,2024-09-07 09:40:01:529,137621,0.7,138174,0.8,275149,0.7,367199,2.00 61,1,2024-09-07 09:40:00:772,930303,930303,0,0,437300760242,4577736709,920375,8347,1581,382,392127,0 61,2,2024-09-07 09:40:01:117,670713,670646,67,0,28812110,0,6411 61,3,2024-09-07 09:40:01:698,1,530,5,0,607,7521,530,0 62,0,2024-09-07 09:40:01:707,134966,0.6,138420,0.8,264327,0.7,359114,2.00 62,1,2024-09-07 09:40:01:122,935583,935577,0,6,439401557441,4527469534,931416,3881,280,365,391975,6 62,2,2024-09-07 09:40:01:647,670466,670465,1,0,28017292,0,5555 62,3,2024-09-07 09:40:01:144,1,530,2,0,482,4251,530,0 63,0,2024-09-07 09:40:01:474,130073,0.4,129748,0.6,260544,0.3,346035,1.75 63,1,2024-09-07 09:40:00:813,932680,932674,0,6,438368079059,4549127271,926916,5007,751,381,391800,6 63,2,2024-09-07 09:40:00:763,669803,669803,0,0,27129446,0,4369 63,3,2024-09-07 09:40:01:733,1,530,0,0,667,5582,530,0 64,0,2024-09-07 09:40:01:590,129272,0.5,129440,0.6,258154,0.4,343952,2.00 64,1,2024-09-07 09:40:00:774,932205,932205,0,0,437288610430,4552291311,924311,6123,1771,370,391794,0 64,2,2024-09-07 09:40:01:144,674183,674164,19,0,26026662,0,6121 64,3,2024-09-07 09:40:01:145,1,530,19,0,651,5517,530,0 65,0,2024-09-07 09:40:01:684,134864,0.6,135201,0.7,269946,0.6,360050,2.00 65,1,2024-09-07 09:40:00:899,930773,930773,0,0,436519641218,4561384176,924018,5957,798,381,391901,0 65,2,2024-09-07 09:40:01:696,669539,669539,0,0,30499728,0,3367 65,3,2024-09-07 09:40:01:686,1,530,27,0,782,6215,530,0 66,0,2024-09-07 09:40:01:762,134805,0.6,134301,0.8,268595,0.6,357978,2.25 66,1,2024-09-07 09:40:01:295,932398,932398,0,0,438159824259,4559145644,926248,5428,722,380,391743,0 66,2,2024-09-07 09:40:01:132,676107,676104,3,0,27875616,0,5455 66,3,2024-09-07 09:40:01:079,1,530,13,0,291,4529,530,0 67,0,2024-09-07 09:40:01:429,129290,0.5,128973,0.7,258507,0.5,343868,2.00 67,1,2024-09-07 09:40:00:771,932408,932407,0,1,437363826325,4552192029,926126,5467,814,380,391787,1 67,2,2024-09-07 09:40:00:583,670951,670936,15,0,26883524,0,6205 67,3,2024-09-07 09:40:01:750,1,530,1,0,595,5556,530,0 68,0,2024-09-07 09:40:00:571,129390,0.5,129266,0.7,257486,0.5,344273,2.00 68,1,2024-09-07 09:40:00:577,928200,928200,0,0,434919036975,4573349413,915803,8996,3401,381,391953,0 68,2,2024-09-07 09:40:01:043,665160,665060,100,0,34664279,0,8578 68,3,2024-09-07 09:40:00:742,1,530,4,0,417,6810,530,0 69,0,2024-09-07 09:40:01:785,134007,0.6,135029,0.8,269151,0.6,357803,2.25 69,1,2024-09-07 09:40:01:026,927492,927492,0,0,436265715686,4595566230,915232,9499,2761,384,391994,0 69,2,2024-09-07 09:40:01:732,669003,668974,29,0,35739839,0,6912 69,3,2024-09-07 09:40:00:764,1,530,1,0,698,7790,530,0 70,0,2024-09-07 09:40:01:591,134599,0.9,134986,1.0,271649,0.8,359244,2.50 70,1,2024-09-07 09:40:00:853,933404,933404,0,0,438967711027,4553510691,926148,6494,762,366,391725,0 70,2,2024-09-07 09:40:01:325,673798,673798,0,0,30046352,0,4323 70,3,2024-09-07 09:40:00:746,1,530,1,0,854,5705,530,0 71,0,2024-09-07 09:40:01:360,129843,1.1,129614,1.1,260441,1.5,347332,2.75 71,1,2024-09-07 09:40:01:596,930750,930750,0,0,437511809498,4565337863,919355,10139,1256,368,391738,0 71,2,2024-09-07 09:40:01:067,668089,668089,0,0,30659996,0,4352 71,3,2024-09-07 09:40:01:752,1,530,11,0,644,6266,530,0 72,0,2024-09-07 09:40:01:023,134929,0.5,131847,0.7,257306,0.5,349112,2.00 72,1,2024-09-07 09:40:01:021,929438,929438,0,0,436522847569,4578574231,915984,11171,2283,369,391819,0 72,2,2024-09-07 09:40:01:761,667489,667489,0,0,32294751,0,3983 72,3,2024-09-07 09:40:01:759,1,530,0,0,564,7555,530,0 73,0,2024-09-07 09:40:01:166,129241,0.4,132418,0.6,270915,0.4,352635,2.00 73,1,2024-09-07 09:40:00:818,932123,932123,0,0,437205557718,4538810550,925885,5813,425,367,391858,0 73,2,2024-09-07 09:40:01:750,670927,670927,0,0,32754257,0,4728 73,3,2024-09-07 09:40:00:975,1,530,7,0,486,6571,530,0 74,0,2024-09-07 09:40:01:331,138836,0.5,142074,0.7,270989,0.5,367520,2.00 74,1,2024-09-07 09:40:00:641,930359,930359,0,0,437178738419,4563941841,919906,8528,1925,381,391762,0 74,2,2024-09-07 09:40:01:007,670880,670880,0,0,28548761,0,4253 74,3,2024-09-07 09:40:01:443,1,530,0,0,522,6356,530,0 75,0,2024-09-07 09:40:01:767,133587,0.6,132739,0.8,266515,0.6,355960,2.25 75,1,2024-09-07 09:40:01:585,931552,931552,0,0,437180738130,4556597275,923656,7033,863,380,391739,0 75,2,2024-09-07 09:40:01:352,669220,669220,0,0,34219469,0,4766 75,3,2024-09-07 09:40:01:069,1,530,0,0,702,6230,530,0 76,0,2024-09-07 09:40:00:593,129549,0.5,128868,0.7,258320,0.5,345794,2.25 76,1,2024-09-07 09:40:00:805,930990,930990,0,0,437075734100,4557805484,924359,5664,967,382,391723,0 76,2,2024-09-07 09:40:01:063,671772,671769,3,0,28816495,0,5265 76,3,2024-09-07 09:40:01:163,1,530,33,0,227,4914,530,0 77,0,2024-09-07 09:40:01:734,130500,0.5,130806,0.7,261748,0.5,347531,2.00 77,1,2024-09-07 09:40:00:832,931077,931077,0,0,437221526019,4559098785,924234,6247,596,381,391869,0 77,2,2024-09-07 09:40:01:281,669703,669703,0,0,28374204,0,3890 77,3,2024-09-07 09:40:01:096,1,530,1,0,401,5616,530,0 78,0,2024-09-07 09:40:01:730,136927,0.4,136500,0.6,273707,0.4,363132,2.00 78,1,2024-09-07 09:40:00:613,931307,931307,0,0,437493998134,4560780576,920534,8246,2527,367,391670,0 78,2,2024-09-07 09:40:01:404,671387,671374,13,0,26350856,0,8313 78,3,2024-09-07 09:40:01:142,1,530,11,0,311,4601,530,0 79,0,2024-09-07 09:40:01:376,130114,0.4,133224,0.6,272811,0.4,355355,2.25 79,1,2024-09-07 09:40:00:570,933805,933805,0,0,438276674779,4540152330,926066,6382,1357,367,391682,0 79,2,2024-09-07 09:40:01:070,673962,673962,0,0,25374357,0,4195 79,3,2024-09-07 09:40:00:751,1,530,6,0,418,6314,530,0 80,0,2024-09-07 09:40:01:111,129087,0.5,132691,0.7,253849,0.5,343617,2.00 80,1,2024-09-07 09:40:01:623,930981,930981,0,0,437555007653,4552417975,925151,5402,428,368,392269,0 80,2,2024-09-07 09:40:01:102,671215,671215,0,0,25850852,0,4433 80,3,2024-09-07 09:40:00:575,1,530,2,0,296,6232,530,0 81,0,2024-09-07 09:40:01:596,129609,0.5,132623,0.7,252949,0.5,343645,2.00 81,1,2024-09-07 09:40:01:692,930081,930081,0,0,436902688583,4565654620,922678,6764,639,382,391885,0 81,2,2024-09-07 09:40:01:135,668371,668308,63,0,28820745,0,5932 81,3,2024-09-07 09:40:01:117,1,530,1,0,719,6008,530,0 82,0,2024-09-07 09:40:01:556,135348,0.5,135626,0.7,271634,0.4,360605,2.00 82,1,2024-09-07 09:40:00:585,932574,932570,0,4,438378219764,4555833678,927488,4261,821,381,391768,4 82,2,2024-09-07 09:40:01:699,671990,671990,0,0,24008227,0,4484 82,3,2024-09-07 09:40:01:753,1,530,0,0,363,5012,530,0 83,0,2024-09-07 09:40:01:521,135333,0.6,135313,0.8,269972,0.7,358605,2.25 83,1,2024-09-07 09:40:00:551,931416,931416,0,0,437040935771,4554270966,925056,5903,457,382,391709,0 83,2,2024-09-07 09:40:00:764,674354,674329,25,0,26057922,0,5612 83,3,2024-09-07 09:40:00:761,1,530,0,0,1260,6514,530,0 84,0,2024-09-07 09:40:01:771,129317,0.7,129483,0.9,258602,0.7,345491,2.25 84,1,2024-09-07 09:40:01:048,930063,930063,0,0,436521488175,4562399877,919821,8717,1525,367,391967,0 84,2,2024-09-07 09:40:00:575,667950,667920,30,0,34213078,0,5971 84,3,2024-09-07 09:40:01:144,1,530,1,0,908,7412,530,0 85,0,2024-09-07 09:40:01:043,124738,0.5,124778,0.7,264783,0.5,342241,2.25 85,1,2024-09-07 09:40:00:560,926670,926670,0,0,435896890545,4601431469,912391,11621,2658,381,392092,0 85,2,2024-09-07 09:40:00:870,667367,667367,0,0,31950124,0,4255 85,3,2024-09-07 09:40:00:684,1,530,1,0,789,6067,530,0 86,0,2024-09-07 09:40:00:875,133364,0.6,137032,0.7,262164,0.6,355642,2.25 86,1,2024-09-07 09:40:00:831,929491,929491,0,0,437074587034,4577774790,918186,9328,1977,366,391995,0 86,2,2024-09-07 09:40:00:855,669567,669566,1,0,34452508,0,5004 86,3,2024-09-07 09:40:00:593,1,530,7,0,308,7568,530,0 87,0,2024-09-07 09:40:01:346,136978,0.9,136775,0.9,273493,1.1,365256,2.25 87,1,2024-09-07 09:40:00:550,928451,928451,0,0,436164258577,4569472321,916244,10491,1716,366,392076,0 87,2,2024-09-07 09:40:01:066,671837,671831,6,0,30424353,0,6323 87,3,2024-09-07 09:40:01:803,1,530,3,0,473,7679,530,0 88,0,2024-09-07 09:40:01:441,132372,0.5,132954,0.6,265688,0.4,353305,1.75 88,1,2024-09-07 09:40:00:579,928118,928118,0,0,436590889848,4571798800,915470,10031,2617,365,392084,0 88,2,2024-09-07 09:40:00:689,669316,669316,0,0,32889693,0,4465 88,3,2024-09-07 09:40:01:268,1,530,1,0,435,6760,530,0 89,0,2024-09-07 09:40:01:787,134577,0.4,130501,0.6,257771,0.4,350245,1.75 89,1,2024-09-07 09:40:00:566,928693,928693,0,0,436000845954,4585957564,917260,9616,1817,382,391866,0 89,2,2024-09-07 09:40:01:133,668874,668874,0,0,31290352,0,3173 89,3,2024-09-07 09:40:01:790,1,530,1,0,468,8690,530,0 90,0,2024-09-07 09:40:01:620,127461,0.4,131058,0.6,267023,0.4,348846,1.75 90,1,2024-09-07 09:40:00:593,929824,929824,0,0,436304569622,4565896288,921309,7782,733,380,391825,0 90,2,2024-09-07 09:40:01:423,668548,668548,0,0,33523795,0,3608 90,3,2024-09-07 09:40:00:933,1,530,1,0,322,6493,530,0 91,0,2024-09-07 09:40:00:947,138195,0.5,134022,0.6,280237,0.4,367721,1.75 91,1,2024-09-07 09:40:00:556,927947,927947,0,0,435782315028,4581181063,916342,9593,2012,381,392047,0 91,2,2024-09-07 09:40:01:346,670679,670679,0,0,29563777,0,4713 91,3,2024-09-07 09:40:00:598,1,530,1,0,216,5001,530,0 92,0,2024-09-07 09:40:01:444,136128,0.5,139037,0.6,265125,0.5,359322,1.75 92,1,2024-09-07 09:40:00:582,930336,930336,0,0,438031482662,4574657943,922820,6309,1207,381,392136,0 92,2,2024-09-07 09:40:01:351,672400,672400,0,0,26629897,0,3259 92,3,2024-09-07 09:40:01:011,1,530,1,0,167,4550,530,0 93,0,2024-09-07 09:40:00:991,130399,0.4,133655,0.6,255151,0.3,345992,1.75 93,1,2024-09-07 09:40:00:806,931062,931062,0,0,436783385400,4558931527,920770,8558,1734,366,391776,0 93,2,2024-09-07 09:40:00:928,669482,669482,0,0,32340384,0,4913 93,3,2024-09-07 09:40:01:419,1,530,1,0,190,4753,530,0 94,0,2024-09-07 09:40:01:616,129274,0.3,130038,0.5,260003,0.3,345646,1.75 94,1,2024-09-07 09:40:00:571,931277,931277,0,0,438085768657,4567484478,925166,5735,376,381,391850,0 94,2,2024-09-07 09:40:00:770,669824,669796,28,0,27652675,0,6179 94,3,2024-09-07 09:40:01:693,1,530,2,0,576,6663,530,0 95,0,2024-09-07 09:40:01:394,135985,0.3,135884,0.5,271985,0.3,362612,1.75 95,1,2024-09-07 09:40:00:855,932536,932536,0,0,438229143767,4553057679,925178,6699,659,365,391852,0 95,2,2024-09-07 09:40:01:018,669384,669384,0,0,26390492,0,3308 95,3,2024-09-07 09:40:01:718,1,530,1,0,718,8192,530,0 96,0,2024-09-07 09:40:01:044,135362,0.4,135489,0.5,270918,0.3,360069,1.75 96,1,2024-09-07 09:40:01:649,930803,930803,0,0,436618163339,4552548311,924406,5482,915,384,391955,0 96,2,2024-09-07 09:40:01:275,674710,674710,0,0,27406142,0,4180 96,3,2024-09-07 09:40:01:144,1,530,62,0,411,5645,530,0 97,0,2024-09-07 09:40:01:319,129252,0.3,129169,0.5,259300,0.3,344037,1.50 97,1,2024-09-07 09:40:00:771,932878,932878,0,0,438215080980,4543950934,927119,4814,945,367,392140,0 97,2,2024-09-07 09:40:00:611,670380,670380,0,0,26849483,0,3679 97,3,2024-09-07 09:40:00:575,1,530,3,0,242,6073,530,0 98,0,2024-09-07 09:40:01:711,128856,0.3,128995,0.4,258872,0.2,343914,1.50 98,1,2024-09-07 09:40:00:576,931887,931887,0,0,436906563548,4548308553,926474,4613,800,381,391997,0 98,2,2024-09-07 09:40:00:789,669402,669402,0,0,26391389,0,4336 98,3,2024-09-07 09:40:00:706,1,530,0,0,840,8258,530,0 99,0,2024-09-07 09:40:01:463,134745,0.3,135530,0.4,269616,0.3,360320,1.75 99,1,2024-09-07 09:40:01:730,931958,931958,0,0,436566565718,4543033710,925982,5048,928,380,392069,0 99,2,2024-09-07 09:40:01:434,671154,671154,0,0,31772820,0,4276 99,3,2024-09-07 09:40:00:581,1,530,2,0,606,5280,530,0 100,0,2024-09-07 09:40:01:461,135880,0.8,136117,0.9,271727,1.0,362728,2.50 100,1,2024-09-07 09:40:00:550,926893,926893,0,0,435164588658,4599422069,912549,11245,3099,378,391989,0 100,2,2024-09-07 09:40:01:823,671287,671276,11,0,31231555,0,5417 100,3,2024-09-07 09:40:01:732,1,530,3,0,627,9439,530,0 101,0,2024-09-07 09:40:01:748,133860,1.2,130465,1.1,255751,1.2,349551,2.25 101,1,2024-09-07 09:40:00:558,928352,928352,0,0,436025221107,4580044719,915954,9997,2401,368,391847,0 101,2,2024-09-07 09:40:01:759,664695,664695,0,0,36159879,0,4871 101,3,2024-09-07 09:40:00:950,1,530,13,0,1250,8114,530,0 102,0,2024-09-07 09:40:00:954,127009,0.6,130953,0.7,265770,0.5,347212,2.00 102,1,2024-09-07 09:40:01:145,928334,928334,0,0,435309809152,4570932454,916119,10193,2022,369,391891,0 102,2,2024-09-07 09:40:01:740,668620,668566,54,0,30545362,0,6768 102,3,2024-09-07 09:40:01:620,1,530,1,0,466,6155,530,0 103,0,2024-09-07 09:40:01:593,136928,0.5,136904,0.7,257976,0.5,355334,2.00 103,1,2024-09-07 09:40:01:632,926886,926886,0,0,435330034314,4593918614,912687,10999,3200,381,391862,0 103,2,2024-09-07 09:40:00:582,669645,669645,0,0,32378524,0,3766 103,3,2024-09-07 09:40:00:761,1,530,1,0,916,6269,530,0 104,0,2024-09-07 09:40:01:011,136792,0.7,136904,0.9,273293,0.7,365463,2.25 104,1,2024-09-07 09:40:01:619,929703,929703,0,0,435919456862,4577483266,916640,10512,2551,365,392168,0 104,2,2024-09-07 09:40:01:674,668866,668866,0,0,31466350,0,3941 104,3,2024-09-07 09:40:01:421,1,530,1,0,1245,9197,530,0 105,0,2024-09-07 09:40:01:045,131921,0.9,128560,1.1,269439,1.1,354011,2.75 105,1,2024-09-07 09:40:00:557,930856,930856,0,0,437067921403,4577296546,919251,9836,1769,365,392009,0 105,2,2024-09-07 09:40:01:326,669093,669093,0,0,31590307,0,4360 105,3,2024-09-07 09:40:01:315,1,530,10,0,399,7478,530,0 106,0,2024-09-07 09:40:00:949,125667,0.7,128734,0.9,263660,0.7,344495,2.50 106,1,2024-09-07 09:40:01:750,929953,929953,0,0,436820628168,4580887514,917334,10970,1649,368,391914,0 106,2,2024-09-07 09:40:00:767,668705,668705,0,0,29792254,0,2920 106,3,2024-09-07 09:40:00:683,1,530,3,0,1224,7951,530,0 107,0,2024-09-07 09:40:01:102,130709,0.7,130735,0.8,261425,0.7,348602,2.00 107,1,2024-09-07 09:40:00:585,927954,927954,0,0,435330751714,4588464311,915049,11512,1393,381,392234,0 107,2,2024-09-07 09:40:01:290,668020,668019,1,0,32613734,0,5024 107,3,2024-09-07 09:40:01:759,1,530,2,0,733,7961,530,0 108,0,2024-09-07 09:40:01:873,136374,0.4,137067,0.6,272937,0.4,364713,1.75 108,1,2024-09-07 09:40:01:294,930564,930564,0,0,437387373012,4561305185,922647,6946,971,367,391857,0 108,2,2024-09-07 09:40:01:777,668712,668712,0,0,29124771,0,4246 108,3,2024-09-07 09:40:01:335,1,530,58,0,749,10372,530,0 109,0,2024-09-07 09:40:01:766,135442,0.4,134214,0.6,269117,0.4,359552,1.75 109,1,2024-09-07 09:40:00:590,928084,928084,0,0,436432057780,4577519188,919783,7084,1217,382,392132,0 109,2,2024-09-07 09:40:00:928,671564,671564,0,0,29152342,0,3617 109,3,2024-09-07 09:40:01:151,1,530,3,0,630,6567,530,0 110,0,2024-09-07 09:40:01:777,129184,0.4,125698,0.6,263160,0.3,344898,1.75 110,1,2024-09-07 09:40:01:653,931897,931897,0,0,438199413515,4549893126,924364,5611,1922,368,392045,0 110,2,2024-09-07 09:40:01:305,669587,669587,0,0,27508072,0,4067 110,3,2024-09-07 09:40:00:692,1,530,1,0,722,7305,530,0 111,0,2024-09-07 09:40:01:434,129872,0.3,129123,0.5,258715,0.3,344952,1.75 111,1,2024-09-07 09:40:01:000,933380,933380,0,0,438734811747,4547661465,928836,4187,357,380,391690,0 111,2,2024-09-07 09:40:01:117,668799,668799,0,0,28076811,0,4823 111,3,2024-09-07 09:40:00:918,1,530,24,0,379,6031,530,0 112,0,2024-09-07 09:40:00:923,136394,0.3,135728,0.4,272251,0.2,361562,1.50 112,1,2024-09-07 09:40:00:832,932285,932285,0,0,438064326893,4546279945,926382,5212,691,380,391624,0 112,2,2024-09-07 09:40:01:133,670115,670114,1,0,26580230,0,5036 112,3,2024-09-07 09:40:00:592,1,530,1,0,282,5053,530,0 113,0,2024-09-07 09:40:00:867,135513,0.3,135416,0.5,271046,0.3,360786,1.75 113,1,2024-09-07 09:40:01:692,934876,934876,0,0,439031777860,4529032221,929623,4440,813,365,391661,0 113,2,2024-09-07 09:40:01:304,677038,677038,0,0,24240228,0,3813 113,3,2024-09-07 09:40:00:685,1,530,1,0,340,5531,530,0 114,0,2024-09-07 09:40:00:889,130809,0.3,131578,0.5,261592,0.2,349444,1.75 114,1,2024-09-07 09:40:00:740,932051,932051,0,0,438431247038,4551399279,925142,5293,1616,381,391565,0 114,2,2024-09-07 09:40:00:873,670189,670188,1,0,26131284,0,5069 114,3,2024-09-07 09:40:01:278,1,530,1,0,395,4247,530,0 115,0,2024-09-07 09:40:00:554,130086,0.3,130602,0.4,260359,0.2,345913,1.50 115,1,2024-09-07 09:40:00:580,932820,932820,0,0,438507100837,4554701070,925419,6044,1357,382,391757,0 115,2,2024-09-07 09:40:01:125,671648,671648,0,0,25657479,0,4382 115,3,2024-09-07 09:40:01:007,1,530,1,0,167,3021,530,0 116,0,2024-09-07 09:40:01:721,133039,0.6,132990,0.8,266472,0.5,356644,2.00 116,1,2024-09-07 09:40:00:804,928048,928048,0,0,435968805536,4592786971,917383,8219,2446,380,392089,0 116,2,2024-09-07 09:40:01:752,670156,670156,0,0,32817757,0,4475 116,3,2024-09-07 09:40:00:917,1,530,3,0,415,6491,530,0 117,0,2024-09-07 09:40:00:990,137318,0.7,136708,0.8,273929,0.8,365877,2.00 117,1,2024-09-07 09:40:01:638,929218,929218,0,0,436843605348,4570734127,919188,8805,1225,369,392033,0 117,2,2024-09-07 09:40:01:133,673775,673775,0,0,28780087,0,4303 117,3,2024-09-07 09:40:01:067,1,530,1,0,490,7185,530,0 118,0,2024-09-07 09:40:01:775,128404,0.6,131975,0.7,269048,0.6,351756,2.00 118,1,2024-09-07 09:40:00:585,928323,928323,0,0,435809491153,4579126250,914817,10383,3123,366,392054,0 118,2,2024-09-07 09:40:01:603,668271,668271,0,0,31269366,0,2842 118,3,2024-09-07 09:40:01:764,1,530,3,0,248,5913,530,0 119,0,2024-09-07 09:40:01:342,130454,0.6,130894,0.8,261488,0.6,348399,2.00 119,1,2024-09-07 09:40:00:550,929565,929565,0,0,436991103275,4576846617,917834,9963,1768,367,391857,0 119,2,2024-09-07 09:40:01:269,669954,669954,0,0,29114570,0,4174 119,3,2024-09-07 09:40:01:325,1,530,1,0,1358,9787,530,0 120,0,2024-09-07 09:40:01:571,130780,0.6,130400,0.8,262145,0.6,349693,2.25 120,1,2024-09-07 09:40:00:860,930022,930022,0,0,436480101857,4572331492,920721,8520,781,367,392144,0 120,2,2024-09-07 09:40:00:777,669690,669689,1,0,33829408,0,5281 120,3,2024-09-07 09:40:01:294,1,530,1,0,279,6557,530,0 121,0,2024-09-07 09:40:01:698,137919,1.3,137318,1.1,275085,1.7,366779,2.25 121,1,2024-09-07 09:40:01:674,930200,930200,0,0,436432784978,4560017185,921460,8039,701,366,391840,0 121,2,2024-09-07 09:40:01:137,669161,669161,0,0,31061612,0,4157 121,3,2024-09-07 09:40:00:736,1,530,16,0,330,6316,530,0 122,0,2024-09-07 09:40:01:767,133901,0.9,130281,0.9,272921,1.0,359181,2.25 122,1,2024-09-07 09:40:00:860,928846,928846,0,0,436463071434,4576592143,916388,10572,1886,366,392130,0 122,2,2024-09-07 09:40:01:323,672168,672093,75,0,35295306,0,5989 122,3,2024-09-07 09:40:00:598,1,530,1,0,512,8852,530,0 123,0,2024-09-07 09:40:00:954,129117,0.8,125623,0.9,263099,0.9,344591,2.25 123,1,2024-09-07 09:40:00:558,929432,929432,0,0,436417795959,4589021595,914219,12708,2505,369,392039,0 123,2,2024-09-07 09:40:01:065,667402,667401,1,0,30007816,0,5215 123,3,2024-09-07 09:40:01:147,1,530,16,0,478,6120,530,0 124,0,2024-09-07 09:40:00:936,133559,0.3,133561,0.5,251595,0.3,346814,1.75 124,1,2024-09-07 09:40:01:023,932018,932018,0,0,436419531354,4536058364,925202,5684,1132,365,392178,0 124,2,2024-09-07 09:40:01:013,670922,670869,53,0,27394694,0,6487 124,3,2024-09-07 09:40:00:773,1,530,2,0,490,5484,530,0 125,0,2024-09-07 09:40:01:439,135782,0.4,135532,0.5,272304,0.3,362534,1.75 125,1,2024-09-07 09:40:00:858,930765,930765,0,0,436452451343,4554640921,923781,6000,984,382,391813,0 125,2,2024-09-07 09:40:01:117,672229,672229,0,0,27410202,0,4534 125,3,2024-09-07 09:40:01:131,1,530,1,0,709,6280,530,0 126,0,2024-09-07 09:40:01:451,135378,0.4,139198,0.6,266278,0.4,360719,1.75 126,1,2024-09-07 09:40:00:551,933648,933648,0,0,438396177781,4536819683,928962,4375,311,365,391987,0 126,2,2024-09-07 09:40:00:613,674898,674898,0,0,28284461,0,4539 126,3,2024-09-07 09:40:00:907,1,530,1,0,268,5898,530,0 127,0,2024-09-07 09:40:01:600,129505,0.3,129862,0.5,259163,0.3,344353,1.75 127,1,2024-09-07 09:40:00:575,931886,931886,0,0,436817851472,4544673471,922513,7869,1504,364,392187,0 127,2,2024-09-07 09:40:00:642,668508,668504,4,0,26902392,0,5305 127,3,2024-09-07 09:40:01:267,1,530,8,0,968,5247,530,0 128,0,2024-09-07 09:40:01:525,129774,0.3,129765,0.4,259103,0.2,344834,1.50 128,1,2024-09-07 09:40:01:605,930880,930880,0,0,437825552835,4546974867,924402,5742,736,367,392031,0 128,2,2024-09-07 09:40:01:382,670552,670552,0,0,25123002,0,3171 128,3,2024-09-07 09:40:00:773,1,530,2,0,1082,8372,530,0 129,0,2024-09-07 09:40:01:221,135935,0.3,135265,0.4,271117,0.3,360668,1.50 129,1,2024-09-07 09:40:00:582,928400,928400,0,0,435947193113,4567102681,919368,7087,1945,379,391962,0 129,2,2024-09-07 09:40:00:686,671653,671649,4,0,27407487,0,5335 129,3,2024-09-07 09:40:00:690,1,530,6,0,506,7391,530,0 130,0,2024-09-07 09:40:01:724,136971,0.4,136535,0.6,273811,0.4,364197,1.75 130,1,2024-09-07 09:40:00:585,931950,931950,0,0,438370221905,4552062178,927152,4410,388,381,391825,0 130,2,2024-09-07 09:40:01:125,674272,674272,0,0,27438822,0,4067 130,3,2024-09-07 09:40:01:293,1,530,73,0,960,7398,530,0 131,0,2024-09-07 09:40:01:933,131406,0.4,131817,0.5,264090,0.3,350418,1.75 131,1,2024-09-07 09:40:01:830,931596,931596,0,0,437801123287,4565327679,924708,5696,1192,381,391865,0 131,2,2024-09-07 09:40:00:575,669648,669648,0,0,25356898,0,3979 131,3,2024-09-07 09:40:01:696,1,530,19,0,392,6526,530,0 132,0,2024-09-07 09:40:01:426,130657,0.4,131710,0.6,262184,0.4,348385,1.75 132,1,2024-09-07 09:40:00:581,927823,927823,0,0,435607496174,4580276534,915335,10290,2198,381,392532,0 132,2,2024-09-07 09:40:00:706,669145,669128,17,0,33264519,0,6451 132,3,2024-09-07 09:40:01:694,1,530,0,0,804,8743,530,0 133,0,2024-09-07 09:40:01:525,129309,0.4,132136,0.6,271385,0.3,353268,1.75 133,1,2024-09-07 09:40:00:597,927503,927503,0,0,436222590792,4594753117,914992,10820,1691,383,391914,0 133,2,2024-09-07 09:40:01:089,671716,671666,50,0,33671112,0,6861 133,3,2024-09-07 09:40:01:300,1,530,1,0,479,6294,530,0 134,0,2024-09-07 09:40:00:943,138097,0.5,138045,0.7,276423,0.5,367798,2.00 134,1,2024-09-07 09:40:00:584,929503,929503,0,0,435543323552,4563947393,918104,9252,2147,366,391718,0 134,2,2024-09-07 09:40:01:756,670540,670516,24,0,31687511,0,6207 134,3,2024-09-07 09:40:00:756,1,530,1,0,739,6350,530,0 135,0,2024-09-07 09:40:01:110,129200,0.9,129200,0.9,274277,1.1,353536,2.25 135,1,2024-09-07 09:40:01:585,929045,929045,0,0,436707324682,4589926052,917408,10071,1566,380,391805,0 135,2,2024-09-07 09:40:00:686,670552,670552,0,0,31902810,0,4503 135,3,2024-09-07 09:40:01:014,1,530,1,0,900,5365,530,0 136,0,2024-09-07 09:40:01:641,130582,0.5,130790,0.7,260520,0.5,347724,2.00 136,1,2024-09-07 09:40:01:442,929925,929925,0,0,435503007778,4563838806,919537,9118,1270,381,392135,0 136,2,2024-09-07 09:40:01:147,670899,670884,15,0,31184508,0,6007 136,3,2024-09-07 09:40:01:112,1,530,7,0,637,6300,530,0 137,0,2024-09-07 09:40:00:935,134861,0.5,131513,0.7,257502,0.5,349873,2.00 137,1,2024-09-07 09:40:00:576,928034,928034,0,0,436176391536,4569276232,914574,10788,2672,366,391898,0 137,2,2024-09-07 09:40:01:718,668655,668655,0,0,32835803,0,3185 137,3,2024-09-07 09:40:00:782,1,530,65,0,484,6924,530,0 138,0,2024-09-07 09:40:01:744,135969,0.8,136266,0.9,273025,0.9,363304,2.00 138,1,2024-09-07 09:40:01:686,929258,929258,0,0,436982437316,4580287289,916513,10774,1971,368,391954,0 138,2,2024-09-07 09:40:00:594,669037,669037,0,0,30170640,0,4988 138,3,2024-09-07 09:40:00:610,1,530,15,0,1200,8588,530,0 139,0,2024-09-07 09:40:01:389,133656,1.3,134107,1.0,268188,1.8,357969,2.50 139,1,2024-09-07 09:40:00:575,925347,925347,0,0,434661181243,4606652969,909400,12485,3462,380,392109,0 139,2,2024-09-07 09:40:00:695,669001,669001,0,0,33588054,0,3097 139,3,2024-09-07 09:40:01:675,1,530,28,0,432,6051,530,0 140,0,2024-09-07 09:40:01:603,129912,0.3,129043,0.5,258991,0.2,345149,1.75 140,1,2024-09-07 09:40:01:561,934658,934658,0,0,439792349019,4532337769,930074,4046,538,364,391606,0 140,2,2024-09-07 09:40:00:685,670498,670497,1,0,25646527,0,5036 140,3,2024-09-07 09:40:00:772,1,530,1,0,297,4894,530,0 141,0,2024-09-07 09:40:01:707,129708,0.3,133127,0.4,254205,0.2,344734,1.50 141,1,2024-09-07 09:40:00:860,933016,933016,0,0,439000002479,4558130873,925906,6024,1086,379,391614,0 141,2,2024-09-07 09:40:01:686,669453,669442,11,0,27289585,0,5369 141,3,2024-09-07 09:40:01:054,1,530,1,0,391,5947,530,0 142,0,2024-09-07 09:40:01:335,136482,0.3,135459,0.5,271514,0.3,362507,1.50 142,1,2024-09-07 09:40:00:585,931080,931080,0,0,437240011115,4555737685,925414,5207,459,382,392102,0 142,2,2024-09-07 09:40:01:302,669701,669669,32,0,27837088,0,6028 142,3,2024-09-07 09:40:01:747,1,530,11,0,484,5493,530,0 143,0,2024-09-07 09:40:01:385,135331,0.4,135339,0.6,271514,0.4,360775,1.75 143,1,2024-09-07 09:40:00:557,932989,932989,0,0,438724451922,4551264382,926907,5524,558,367,391722,0 143,2,2024-09-07 09:40:00:773,675016,675016,0,0,27349702,0,3123 143,3,2024-09-07 09:40:01:144,1,530,1,0,462,6590,530,0 144,0,2024-09-07 09:40:01:506,126436,0.6,130042,0.8,264598,0.6,346347,2.00 144,1,2024-09-07 09:40:00:571,928392,928392,0,0,435946481693,4573320153,918995,7429,1968,381,391733,0 144,2,2024-09-07 09:40:01:760,669355,669355,0,0,25965511,0,3673 144,3,2024-09-07 09:40:01:741,1,530,2,0,249,5115,530,0 145,0,2024-09-07 09:40:01:357,125524,0.5,125483,0.7,266389,0.4,342614,2.25 145,1,2024-09-07 09:40:00:552,927909,927909,0,0,435575761736,4583089944,915535,9907,2467,382,391759,0 145,2,2024-09-07 09:40:01:434,666806,666724,82,0,32016676,0,7814 145,3,2024-09-07 09:40:00:899,1,530,1,0,622,7462,530,0 146,0,2024-09-07 09:40:01:591,133455,0.5,132879,0.7,266844,0.5,355133,2.00 146,1,2024-09-07 09:40:01:585,929107,929107,0,0,436501006881,4586138462,914662,10643,3802,367,391770,0 146,2,2024-09-07 09:40:01:699,669685,669679,6,0,30361964,0,5151 146,3,2024-09-07 09:40:01:273,1,530,1,0,1520,9043,530,0 147,0,2024-09-07 09:40:01:702,137186,0.6,136949,0.7,273465,0.6,365076,2.25 147,1,2024-09-07 09:40:01:375,932544,932544,0,0,438378008723,4553337355,925256,6409,879,367,391791,0 147,2,2024-09-07 09:40:01:012,671573,671573,0,0,27080262,0,2968 147,3,2024-09-07 09:40:00:918,1,530,3,0,1626,8546,530,0 0,0,2024-09-07 09:40:11:731,127983,0.5,128053,0.7,271669,0.5,351411,2.00 0,1,2024-09-07 09:40:10:818,931197,931197,0,0,437518620022,4579429728,923673,6681,843,369,391896,0 0,2,2024-09-07 09:40:11:074,673530,673530,0,0,27108612,0,4480 0,3,2024-09-07 09:40:10:980,1,531,79,0,431,7355,531,0 1,0,2024-09-07 09:40:11:855,137889,0.9,136933,0.9,275364,1.2,367500,2.00 1,1,2024-09-07 09:40:10:564,930376,930376,0,0,436415039650,4571690155,921654,7254,1468,370,391859,0 1,2,2024-09-07 09:40:10:639,672266,672266,0,0,26497399,0,3380 1,3,2024-09-07 09:40:11:309,1,531,10,0,269,6601,531,0 2,0,2024-09-07 09:40:11:586,134439,0.6,134424,0.8,268512,0.7,358436,2.00 2,1,2024-09-07 09:40:10:866,933420,933420,0,0,438717383398,4558031978,928431,4072,917,379,391745,0 2,2,2024-09-07 09:40:11:274,673064,673064,0,0,25103412,0,3594 2,3,2024-09-07 09:40:10:690,1,531,1,0,357,4758,531,0 3,0,2024-09-07 09:40:11:745,129779,0.4,129667,0.6,258854,0.3,345151,2.00 3,1,2024-09-07 09:40:11:618,932242,932242,0,0,438302965874,4561192906,924773,6766,703,379,391716,0 3,2,2024-09-07 09:40:11:144,671705,671682,23,0,26245146,0,5851 3,3,2024-09-07 09:40:11:752,1,531,1,0,275,3543,531,0 4,0,2024-09-07 09:40:11:825,126274,0.3,129768,0.5,264504,0.3,346541,1.75 4,1,2024-09-07 09:40:10:595,928879,928879,0,0,435744692687,4613363183,912524,12667,3688,370,391992,0 4,2,2024-09-07 09:40:11:018,668296,668296,0,0,32027348,0,4534 4,3,2024-09-07 09:40:11:034,1,531,3,0,448,6736,531,0 5,0,2024-09-07 09:40:11:426,136098,0.4,136422,0.5,272680,0.4,362703,1.75 5,1,2024-09-07 09:40:10:756,929902,929902,0,0,437345737475,4600529590,917151,10336,2415,367,392005,0 5,2,2024-09-07 09:40:11:830,668126,668126,0,0,31033113,0,3582 5,3,2024-09-07 09:40:11:738,1,531,10,0,457,7200,531,0 6,0,2024-09-07 09:40:10:916,135500,0.5,135142,0.7,270608,0.5,360827,2.00 6,1,2024-09-07 09:40:10:755,931845,931845,0,0,437471354980,4567399947,922268,8118,1459,379,391702,0 6,2,2024-09-07 09:40:11:118,675000,674982,18,0,30047581,0,5535 6,3,2024-09-07 09:40:11:275,1,531,0,0,710,6264,531,0 7,0,2024-09-07 09:40:11:534,128778,0.5,129631,0.7,258089,0.5,343525,2.00 7,1,2024-09-07 09:40:10:853,930380,930380,0,0,436765163964,4584672892,917454,10694,2232,382,391747,0 7,2,2024-09-07 09:40:10:769,670299,670299,0,0,29190448,0,4791 7,3,2024-09-07 09:40:10:883,1,531,0,0,552,5910,531,0 8,0,2024-09-07 09:40:11:372,129829,0.3,129325,0.5,259562,0.3,345702,1.50 8,1,2024-09-07 09:40:11:025,928315,928315,0,0,436621613630,4587613680,914049,11134,3132,366,392853,0 8,2,2024-09-07 09:40:10:789,665592,665590,2,0,34979307,0,5112 8,3,2024-09-07 09:40:10:593,1,531,0,0,538,7848,531,0 9,0,2024-09-07 09:40:11:135,135137,0.3,131437,0.5,275315,0.3,361306,1.75 9,1,2024-09-07 09:40:10:554,928953,928953,0,0,436809395983,4598539756,915457,10844,2652,369,392001,0 9,2,2024-09-07 09:40:11:085,670392,670391,1,0,31689380,0,5281 9,3,2024-09-07 09:40:11:754,1,531,31,0,1273,8826,531,0 10,0,2024-09-07 09:40:11:602,136210,0.4,135783,0.5,272332,0.3,362600,1.75 10,1,2024-09-07 09:40:10:591,931185,931185,0,0,436806783033,4574192900,918817,10278,2090,381,391869,0 10,2,2024-09-07 09:40:10:768,675020,675020,0,0,34478466,0,4264 10,3,2024-09-07 09:40:10:877,1,531,1,0,649,5522,531,0 11,0,2024-09-07 09:40:11:009,131192,0.5,127284,0.6,266244,0.4,350636,1.75 11,1,2024-09-07 09:40:10:571,932401,932401,0,0,437808981618,4588377173,918924,10015,3462,383,391766,0 11,2,2024-09-07 09:40:11:128,669488,669488,0,0,30148372,0,4130 11,3,2024-09-07 09:40:11:330,1,531,1,0,843,7123,531,0 12,0,2024-09-07 09:40:10:960,131400,0.4,131480,0.5,262868,0.3,348716,1.75 12,1,2024-09-07 09:40:10:935,931794,931794,0,0,437343223811,4561265013,924114,6795,885,370,391960,0 12,2,2024-09-07 09:40:11:548,669623,669623,0,0,30069970,0,4390 12,3,2024-09-07 09:40:11:059,1,531,8,0,386,7080,531,0 13,0,2024-09-07 09:40:11:386,133796,0.4,133631,0.5,266449,0.4,355415,1.75 13,1,2024-09-07 09:40:11:524,929496,929496,0,0,436617787231,4587714158,919865,7336,2295,382,391740,0 13,2,2024-09-07 09:40:10:601,673783,673783,0,0,27016250,0,3287 13,3,2024-09-07 09:40:11:766,1,531,32,0,522,7379,531,0 14,0,2024-09-07 09:40:10:564,137943,0.4,138900,0.6,275707,0.4,366913,1.75 14,1,2024-09-07 09:40:11:563,936002,936002,0,0,439573300702,4546861825,929087,6187,728,364,391673,0 14,2,2024-09-07 09:40:10:764,673549,673519,30,0,28347030,0,6104 14,3,2024-09-07 09:40:11:121,1,531,1,0,1168,6038,531,0 15,0,2024-09-07 09:40:11:558,133249,0.4,132651,0.7,266214,0.4,354820,2.00 15,1,2024-09-07 09:40:11:618,933325,933325,0,0,438154560043,4561967539,925591,6249,1485,381,391619,0 15,2,2024-09-07 09:40:11:003,673471,673471,0,0,23802882,0,3622 15,3,2024-09-07 09:40:11:409,1,531,3,0,1126,7323,531,0 16,0,2024-09-07 09:40:10:936,130184,0.5,130985,0.7,261239,0.5,347840,2.00 16,1,2024-09-07 09:40:10:564,932829,932829,0,0,437773228111,4575663754,924402,7125,1302,370,392194,0 16,2,2024-09-07 09:40:11:434,670574,670574,0,0,28103531,0,4719 16,3,2024-09-07 09:40:11:144,1,531,3,0,358,6650,531,0 17,0,2024-09-07 09:40:11:821,135212,0.5,131703,0.7,258276,0.5,350258,2.00 17,1,2024-09-07 09:40:10:574,931024,931024,0,0,437251284151,4585227390,921718,7557,1749,368,392075,0 17,2,2024-09-07 09:40:11:669,674176,674175,1,0,28888722,0,5050 17,3,2024-09-07 09:40:10:573,1,531,2,0,518,7847,531,0 18,0,2024-09-07 09:40:10:940,135818,0.6,136657,0.8,272360,0.6,364088,2.25 18,1,2024-09-07 09:40:11:645,935059,935059,0,0,438416247077,4546068768,928946,5116,997,367,391725,0 18,2,2024-09-07 09:40:11:772,673959,673959,0,0,25602789,0,3541 18,3,2024-09-07 09:40:10:899,1,531,0,0,1059,4926,531,0 19,0,2024-09-07 09:40:11:547,134464,0.7,134765,0.8,268206,0.7,357467,2.25 19,1,2024-09-07 09:40:10:566,934411,934411,0,0,439610809690,4556461960,927422,5904,1085,367,391777,0 19,2,2024-09-07 09:40:11:759,676822,676822,0,0,24034881,0,3988 19,3,2024-09-07 09:40:11:139,1,531,1,0,524,4257,531,0 20,0,2024-09-07 09:40:11:414,129212,0.5,129515,0.7,258515,0.5,344809,2.00 20,1,2024-09-07 09:40:10:581,931109,931109,0,0,437299690968,4579044428,921899,7841,1369,369,391922,0 20,2,2024-09-07 09:40:10:956,670177,670177,0,0,29147779,0,3721 20,3,2024-09-07 09:40:10:600,1,531,2,0,468,8923,531,0 21,0,2024-09-07 09:40:11:143,129560,0.4,129732,0.6,259443,0.4,344293,1.75 21,1,2024-09-07 09:40:11:551,929685,929685,0,0,435563999289,4593908995,915525,11041,3119,368,392016,0 21,2,2024-09-07 09:40:11:071,667535,667515,20,0,34121257,0,5617 21,3,2024-09-07 09:40:11:415,1,531,1,0,713,6789,531,0 22,0,2024-09-07 09:40:11:720,135901,0.4,136555,0.6,272257,0.4,361653,2.00 22,1,2024-09-07 09:40:11:028,930472,930472,0,0,436237381461,4590004114,915239,12006,3227,382,391822,0 22,2,2024-09-07 09:40:10:760,669870,669844,26,0,28568422,0,6328 22,3,2024-09-07 09:40:11:066,1,531,2,0,228,4442,531,0 23,0,2024-09-07 09:40:11:372,135244,0.5,134816,0.7,269555,0.5,359444,2.25 23,1,2024-09-07 09:40:11:004,931506,931506,0,0,437749192350,4591695854,915800,11298,4408,365,391690,0 23,2,2024-09-07 09:40:11:092,676298,676298,0,0,27256423,0,3773 23,3,2024-09-07 09:40:11:754,1,531,1,0,855,8319,531,0 24,0,2024-09-07 09:40:10:829,131363,0.4,130588,0.6,262818,0.4,348546,1.75 24,1,2024-09-07 09:40:10:582,930449,930449,0,0,436922042064,4572472989,921483,7289,1677,367,392269,0 24,2,2024-09-07 09:40:11:074,669723,669723,0,0,32363074,0,4438 24,3,2024-09-07 09:40:11:695,1,531,11,0,468,6695,531,0 25,0,2024-09-07 09:40:11:358,133357,0.4,129964,0.6,254814,0.3,346867,1.75 25,1,2024-09-07 09:40:10:569,929651,929651,0,0,436778410514,4605349050,914670,12009,2972,369,391928,0 25,2,2024-09-07 09:40:11:614,668690,668690,0,0,33747050,0,3978 25,3,2024-09-07 09:40:11:009,1,531,5,0,532,5914,531,0 26,0,2024-09-07 09:40:11:727,133497,0.4,130602,0.6,274022,0.3,357154,1.75 26,1,2024-09-07 09:40:11:546,932579,932579,0,0,437617637788,4579786098,919739,10388,2452,380,391748,0 26,2,2024-09-07 09:40:10:862,671780,671780,0,0,35772662,0,4689 26,3,2024-09-07 09:40:11:716,1,531,0,0,796,5772,531,0 27,0,2024-09-07 09:40:11:737,137225,0.4,137870,0.6,274005,0.4,365098,2.25 27,1,2024-09-07 09:40:11:681,933290,933290,0,0,439342558251,4573701521,924734,7287,1269,381,391626,0 27,2,2024-09-07 09:40:10:873,671311,671246,65,0,30883168,0,5699 27,3,2024-09-07 09:40:11:017,1,531,1,0,564,4586,531,0 28,0,2024-09-07 09:40:11:404,132850,0.4,132573,0.6,265698,0.3,353732,1.75 28,1,2024-09-07 09:40:10:798,933864,933864,0,0,438924876520,4574453345,926088,6062,1714,382,391698,0 28,2,2024-09-07 09:40:11:763,670974,670974,0,0,27130586,0,2915 28,3,2024-09-07 09:40:11:781,1,531,0,0,502,5322,531,0 29,0,2024-09-07 09:40:11:394,134650,0.3,131076,0.6,257243,0.3,350698,1.75 29,1,2024-09-07 09:40:11:564,935962,935962,0,0,440067726586,4550445282,930405,4795,762,367,391809,0 29,2,2024-09-07 09:40:10:890,670348,670348,0,0,26674254,0,4986 29,3,2024-09-07 09:40:10:969,1,531,1,0,459,5764,531,0 30,0,2024-09-07 09:40:11:464,131326,0.4,127800,0.6,267838,0.4,350348,2.00 30,1,2024-09-07 09:40:10:572,935448,935448,0,0,439684089531,4562063347,928470,6076,902,380,391672,0 30,2,2024-09-07 09:40:11:283,672380,672380,0,0,25697451,0,4192 30,3,2024-09-07 09:40:10:593,1,531,0,0,519,5107,531,0 31,0,2024-09-07 09:40:11:759,137742,0.5,138204,0.6,275712,0.4,367854,2.00 31,1,2024-09-07 09:40:10:565,938444,938444,0,0,441398276365,4530372887,933120,4313,1011,356,391712,0 31,2,2024-09-07 09:40:11:278,671357,671357,0,0,28216415,0,4470 31,3,2024-09-07 09:40:11:706,1,531,25,0,220,4495,531,0 32,0,2024-09-07 09:40:11:426,134937,0.3,135657,0.5,270177,0.3,359981,1.75 32,1,2024-09-07 09:40:10:813,934422,934422,0,0,438869616583,4560834981,928617,5096,709,381,391646,0 32,2,2024-09-07 09:40:10:941,673833,673833,0,0,24621323,0,3922 32,3,2024-09-07 09:40:11:017,1,531,0,0,304,4377,531,0 33,0,2024-09-07 09:40:11:503,130297,0.3,129905,0.4,260053,0.2,346177,1.50 33,1,2024-09-07 09:40:10:594,935021,935021,0,0,439193391668,4548410880,927787,6060,1174,368,391730,0 33,2,2024-09-07 09:40:10:761,672461,672426,35,0,27264907,0,7012 33,3,2024-09-07 09:40:10:922,1,531,1,0,329,4946,531,0 34,0,2024-09-07 09:40:10:945,130508,0.3,134086,0.4,256206,0.2,346031,1.75 34,1,2024-09-07 09:40:11:058,936907,936907,0,0,440056310353,4524825749,934396,2489,22,367,391637,0 34,2,2024-09-07 09:40:10:770,672598,672598,0,0,25797215,0,4562 34,3,2024-09-07 09:40:11:698,1,531,1,0,320,4073,531,0 35,0,2024-09-07 09:40:10:858,135432,0.3,136105,0.5,273498,0.2,363243,1.75 35,1,2024-09-07 09:40:11:070,933767,933767,0,0,438494826005,4548176699,927108,5446,1213,382,391769,0 35,2,2024-09-07 09:40:11:584,670921,670921,0,0,28345399,0,4055 35,3,2024-09-07 09:40:10:907,1,531,1,0,418,4910,531,0 36,0,2024-09-07 09:40:11:536,135928,0.5,135823,0.7,271534,0.5,361605,2.00 36,1,2024-09-07 09:40:10:583,933551,933551,0,0,437869700847,4572158067,921262,10067,2222,366,391759,0 36,2,2024-09-07 09:40:11:765,675349,675349,0,0,29724425,0,3875 36,3,2024-09-07 09:40:10:879,1,531,10,0,416,7189,531,0 37,0,2024-09-07 09:40:11:390,129023,0.5,128906,0.7,258067,0.5,344175,2.00 37,1,2024-09-07 09:40:10:570,932205,932198,0,7,438163138127,4573939275,921075,8447,2676,365,391770,0 37,2,2024-09-07 09:40:11:144,668407,668392,15,0,30151222,0,5815 37,3,2024-09-07 09:40:11:765,1,531,5,0,888,7383,531,0 38,0,2024-09-07 09:40:11:446,128871,0.4,125010,0.6,261584,0.3,342885,2.00 38,1,2024-09-07 09:40:11:609,933225,933225,0,0,437943271451,4576479768,919994,10712,2519,368,391821,0 38,2,2024-09-07 09:40:10:807,669143,669096,47,0,30289297,0,6710 38,3,2024-09-07 09:40:10:997,1,531,1,0,689,6705,531,0 39,0,2024-09-07 09:40:11:769,138567,0.5,135585,0.7,264121,0.4,361124,2.00 39,1,2024-09-07 09:40:10:716,931467,931467,0,0,437292688425,4585771555,915670,12327,3470,365,391865,0 39,2,2024-09-07 09:40:11:425,672283,672283,0,0,27980624,0,3391 39,3,2024-09-07 09:40:10:713,1,531,1,0,525,6279,531,0 40,0,2024-09-07 09:40:11:512,134974,0.9,135545,1.0,270637,1.1,360744,2.75 40,1,2024-09-07 09:40:10:576,933628,933628,0,0,436643768022,4564836321,921607,9842,2179,368,391668,0 40,2,2024-09-07 09:40:11:303,673193,673192,1,0,33468067,0,5137 40,3,2024-09-07 09:40:11:143,1,531,1,0,1028,7394,531,0 41,0,2024-09-07 09:40:11:038,130697,1.3,133551,1.1,254719,1.8,346416,3.00 41,1,2024-09-07 09:40:10:771,931991,931991,0,0,437336843785,4574358732,920062,9994,1935,369,391878,0 41,2,2024-09-07 09:40:10:766,667414,667413,1,0,32204115,0,5408 41,3,2024-09-07 09:40:11:682,1,531,1,0,366,5763,531,0 42,0,2024-09-07 09:40:11:497,129928,0.8,129659,0.9,259485,0.8,343474,2.50 42,1,2024-09-07 09:40:11:447,928881,928881,0,0,436022398601,4582795448,913866,12107,2908,380,391675,0 42,2,2024-09-07 09:40:11:133,669260,669260,0,0,31375782,0,3975 42,3,2024-09-07 09:40:11:012,1,531,4,0,892,4716,531,0 43,0,2024-09-07 09:40:10:927,131807,0.6,128390,0.9,268753,0.6,352564,2.25 43,1,2024-09-07 09:40:10:576,932435,932435,0,0,438256179733,4577234084,919564,10611,2260,366,391696,0 43,2,2024-09-07 09:40:11:739,672489,672489,0,0,30766835,0,4723 43,3,2024-09-07 09:40:11:751,1,531,3,0,571,7339,531,0 44,0,2024-09-07 09:40:10:906,138106,0.4,138051,0.6,276817,0.4,367422,1.75 44,1,2024-09-07 09:40:10:565,935055,935055,0,0,438684307256,4532388708,927255,6213,1587,356,391809,0 44,2,2024-09-07 09:40:11:267,670942,670942,0,0,25517853,0,4344 44,3,2024-09-07 09:40:11:092,1,531,1,0,817,5778,531,0 45,0,2024-09-07 09:40:11:806,131879,0.5,128823,0.7,270400,0.5,355000,2.00 45,1,2024-09-07 09:40:11:005,933963,933963,0,0,439834186687,4570109422,926449,6638,876,382,391917,0 45,2,2024-09-07 09:40:11:268,671884,671884,0,0,25784718,0,3596 45,3,2024-09-07 09:40:10:933,1,531,2,0,365,4995,531,0 46,0,2024-09-07 09:40:10:955,129988,0.5,129391,0.7,259713,0.4,345073,2.00 46,1,2024-09-07 09:40:10:594,935421,935421,0,0,439468485066,4540772734,929014,5543,864,366,391709,0 46,2,2024-09-07 09:40:10:593,672210,672210,0,0,26178732,0,4443 46,3,2024-09-07 09:40:11:132,1,531,2,0,908,6386,531,0 47,0,2024-09-07 09:40:11:101,131222,0.4,131328,0.6,263690,0.3,349228,1.75 47,1,2024-09-07 09:40:10:567,936732,936732,0,0,440597811923,4549610062,931492,4401,839,365,391641,0 47,2,2024-09-07 09:40:10:908,674845,674845,0,0,25931449,0,4477 47,3,2024-09-07 09:40:11:117,1,531,2,0,600,5984,531,0 48,0,2024-09-07 09:40:11:500,137484,0.3,137602,0.4,274582,0.2,366243,1.50 48,1,2024-09-07 09:40:11:030,934049,934049,0,0,438729105831,4556724562,928254,5221,574,384,391710,0 48,2,2024-09-07 09:40:10:701,671657,671657,0,0,23767910,0,3411 48,3,2024-09-07 09:40:10:755,1,531,3,0,339,4185,531,0 49,0,2024-09-07 09:40:11:752,138668,0.4,136026,0.5,264370,0.3,361500,1.75 49,1,2024-09-07 09:40:11:027,933577,933577,0,0,438595713361,4557830111,928009,4357,1211,382,391809,0 49,2,2024-09-07 09:40:11:803,675466,675466,0,0,26341815,0,4426 49,3,2024-09-07 09:40:11:421,1,531,124,0,992,6200,531,0 50,0,2024-09-07 09:40:11:508,130175,0.3,128458,0.5,258746,0.2,344468,1.75 50,1,2024-09-07 09:40:11:012,937016,937016,0,0,439752889521,4545398921,931070,5279,667,368,391565,0 50,2,2024-09-07 09:40:11:068,670519,670519,0,0,24150314,0,4490 50,3,2024-09-07 09:40:11:295,1,531,1,0,617,5457,531,0 51,0,2024-09-07 09:40:11:685,133062,0.3,130184,0.4,253599,0.2,345861,1.75 51,1,2024-09-07 09:40:11:688,937569,937569,0,0,441257789799,4548144849,932801,3712,1056,365,391706,0 51,2,2024-09-07 09:40:11:316,671170,671170,0,0,23436129,0,3337 51,3,2024-09-07 09:40:11:026,1,531,1,0,678,3792,531,0 52,0,2024-09-07 09:40:11:419,136443,0.5,136098,0.6,272566,0.4,362459,2.00 52,1,2024-09-07 09:40:10:575,932854,932854,0,0,436625132567,4569378464,919624,11125,2105,368,391722,0 52,2,2024-09-07 09:40:11:763,667926,667888,38,0,31144856,0,6742 52,3,2024-09-07 09:40:10:683,1,531,1,0,1782,6698,531,0 53,0,2024-09-07 09:40:11:768,134857,0.7,130765,0.8,273579,0.8,359016,2.50 53,1,2024-09-07 09:40:10:809,931065,931065,0,0,437239712785,4586416006,915702,11519,3844,367,391968,0 53,2,2024-09-07 09:40:11:321,675766,675765,1,0,28045606,0,5455 53,3,2024-09-07 09:40:10:707,1,531,1,0,308,5177,531,0 54,0,2024-09-07 09:40:11:622,128555,0.6,128868,0.8,256672,0.5,342393,2.25 54,1,2024-09-07 09:40:10:582,933037,933037,0,0,438290189052,4557665747,922969,8434,1634,366,391810,0 54,2,2024-09-07 09:40:10:872,670304,670272,32,0,31743670,0,6397 54,3,2024-09-07 09:40:10:768,1,531,1,0,676,7048,531,0 55,0,2024-09-07 09:40:11:762,125260,0.5,129236,0.7,261864,0.5,340989,2.25 55,1,2024-09-07 09:40:10:764,934343,934343,0,0,438532816594,4558413559,924658,8364,1321,365,391731,0 55,2,2024-09-07 09:40:10:731,669021,668965,56,0,29971887,0,7239 55,3,2024-09-07 09:40:10:677,1,531,0,0,304,4897,531,0 56,0,2024-09-07 09:40:11:621,136942,1.0,128998,1.1,265923,1.3,356551,2.50 56,1,2024-09-07 09:40:10:592,928930,928930,0,0,436242169228,4604609549,914601,11416,2913,381,391867,0 56,2,2024-09-07 09:40:11:340,671565,671443,122,0,31556329,0,7432 56,3,2024-09-07 09:40:11:059,1,531,1,0,705,6284,531,0 57,0,2024-09-07 09:40:10:934,135294,1.6,135213,1.3,270845,2.2,361808,3.25 57,1,2024-09-07 09:40:10:986,930536,930536,0,0,437390364971,4587085955,918328,9923,2285,366,392032,0 57,2,2024-09-07 09:40:11:318,673528,673528,0,0,32443764,0,4317 57,3,2024-09-07 09:40:11:738,1,531,4,0,455,5889,531,0 58,0,2024-09-07 09:40:10:569,130710,1.0,126937,1.0,265474,1.2,347277,2.75 58,1,2024-09-07 09:40:10:580,932163,932160,0,3,438020404281,4588555712,918356,10483,3321,367,391675,3 58,2,2024-09-07 09:40:11:078,670118,670118,0,0,30762486,0,3483 58,3,2024-09-07 09:40:11:076,1,531,1,0,1043,5818,531,0 59,0,2024-09-07 09:40:11:747,130367,0.8,130318,0.9,259836,0.8,344868,2.75 59,1,2024-09-07 09:40:10:809,930780,930780,0,0,437448824521,4592747082,915867,11774,3139,369,391653,0 59,2,2024-09-07 09:40:10:588,670137,670137,0,0,29390312,0,3727 59,3,2024-09-07 09:40:11:743,1,531,1,0,1015,6510,531,0 60,0,2024-09-07 09:40:11:716,131706,0.5,132060,0.7,263884,0.4,351318,1.75 60,1,2024-09-07 09:40:10:772,935420,935420,0,0,438978788367,4554901051,929230,5254,936,370,392031,0 60,2,2024-09-07 09:40:11:152,672663,672663,0,0,27914712,0,3811 60,3,2024-09-07 09:40:11:263,1,531,0,0,409,6133,531,0 61,0,2024-09-07 09:40:11:508,137768,0.7,138325,0.8,275420,0.7,367508,2.00 61,1,2024-09-07 09:40:10:770,932119,932119,0,0,438244093677,4587443220,922191,8347,1581,382,392127,0 61,2,2024-09-07 09:40:11:126,672274,672207,67,0,28843043,0,6411 61,3,2024-09-07 09:40:11:696,1,531,1,0,607,7522,531,0 62,0,2024-09-07 09:40:11:713,135233,0.6,138693,0.8,264886,0.7,359904,2.00 62,1,2024-09-07 09:40:11:111,937315,937309,0,6,440168699687,4535321936,933147,3882,280,365,391975,6 62,2,2024-09-07 09:40:11:655,671210,671209,1,0,28028160,0,5555 62,3,2024-09-07 09:40:11:152,1,531,0,0,482,4251,531,0 63,0,2024-09-07 09:40:11:476,130307,0.4,129983,0.6,260964,0.3,346615,1.75 63,1,2024-09-07 09:40:10:805,934489,934483,0,6,438987084073,4555475822,928725,5007,751,381,391800,6 63,2,2024-09-07 09:40:10:769,671293,671293,0,0,27156728,0,4369 63,3,2024-09-07 09:40:11:738,1,531,1,0,667,5583,531,0 64,0,2024-09-07 09:40:11:572,129765,0.5,129877,0.6,259031,0.4,345208,1.75 64,1,2024-09-07 09:40:10:767,933953,933953,0,0,438114322817,4560700453,926059,6123,1771,370,391794,0 64,2,2024-09-07 09:40:11:143,675769,675750,19,0,26072459,0,6121 64,3,2024-09-07 09:40:11:145,1,531,5,0,651,5522,531,0 65,0,2024-09-07 09:40:11:711,135138,0.6,135494,0.7,270531,0.6,360748,2.00 65,1,2024-09-07 09:40:10:867,932501,932501,0,0,437442686505,4570913181,925746,5957,798,381,391901,0 65,2,2024-09-07 09:40:11:703,670607,670607,0,0,30547685,0,3367 65,3,2024-09-07 09:40:11:695,1,531,26,0,782,6241,531,0 66,0,2024-09-07 09:40:11:765,135117,0.6,134613,0.8,269274,0.6,358880,2.25 66,1,2024-09-07 09:40:11:306,934151,934151,0,0,438879754252,4566554305,928001,5428,722,380,391743,0 66,2,2024-09-07 09:40:11:132,677064,677061,3,0,27894069,0,5455 66,3,2024-09-07 09:40:11:080,1,531,1,0,291,4530,531,0 67,0,2024-09-07 09:40:11:417,129468,0.5,129137,0.7,258842,0.5,344329,2.00 67,1,2024-09-07 09:40:10:768,934273,934272,0,1,438202511289,4560800635,927991,5467,814,380,391787,1 67,2,2024-09-07 09:40:10:582,672467,672452,15,0,26925006,0,6205 67,3,2024-09-07 09:40:11:752,1,531,13,0,595,5569,531,0 68,0,2024-09-07 09:40:10:582,129731,0.5,129602,0.7,258134,0.5,345208,2.00 68,1,2024-09-07 09:40:10:588,930005,930005,0,0,435718696682,4581556780,917606,8998,3401,381,391953,0 68,2,2024-09-07 09:40:11:043,666403,666303,100,0,34677956,0,8578 68,3,2024-09-07 09:40:10:741,1,531,9,0,417,6819,531,0 69,0,2024-09-07 09:40:11:741,134437,0.6,135478,0.8,270007,0.6,359003,2.25 69,1,2024-09-07 09:40:11:018,929291,929291,0,0,437354458498,4606668453,917031,9499,2761,384,391994,0 69,2,2024-09-07 09:40:11:740,670124,670095,29,0,35751646,0,6912 69,3,2024-09-07 09:40:10:766,1,531,4,0,698,7794,531,0 70,0,2024-09-07 09:40:11:543,134713,0.9,135090,1.0,271910,0.8,359565,2.50 70,1,2024-09-07 09:40:10:801,935165,935165,0,0,440045888093,4564418542,927908,6495,762,366,391725,0 70,2,2024-09-07 09:40:11:325,675081,675081,0,0,30055787,0,4323 70,3,2024-09-07 09:40:10:749,1,531,1,0,854,5706,531,0 71,0,2024-09-07 09:40:11:375,129951,1.1,129733,1.1,260672,1.5,347677,2.75 71,1,2024-09-07 09:40:11:608,932594,932594,0,0,438374878166,4574095116,921199,10139,1256,368,391738,0 71,2,2024-09-07 09:40:11:074,669442,669442,0,0,30669084,0,4352 71,3,2024-09-07 09:40:11:753,1,531,3,0,644,6269,531,0 72,0,2024-09-07 09:40:11:055,134942,0.5,131863,0.7,257336,0.5,349112,2.00 72,1,2024-09-07 09:40:11:024,931269,931269,0,0,437336885141,4586877714,917815,11171,2283,369,391819,0 72,2,2024-09-07 09:40:11:763,668662,668662,0,0,32311473,0,3983 72,3,2024-09-07 09:40:11:762,1,531,0,0,564,7555,531,0 73,0,2024-09-07 09:40:11:117,129583,0.4,132741,0.6,271627,0.4,353561,2.00 73,1,2024-09-07 09:40:10:779,933977,933977,0,0,438281034932,4549751606,927737,5815,425,367,391858,0 73,2,2024-09-07 09:40:11:742,672326,672326,0,0,32774159,0,4728 73,3,2024-09-07 09:40:10:976,1,531,1,0,486,6572,531,0 74,0,2024-09-07 09:40:11:348,138918,0.5,142179,0.7,271188,0.5,367752,2.00 74,1,2024-09-07 09:40:10:636,932125,932125,0,0,437930302609,4571622575,921672,8528,1925,381,391762,0 74,2,2024-09-07 09:40:11:004,672299,672299,0,0,28564614,0,4253 74,3,2024-09-07 09:40:11:442,1,531,3,0,522,6359,531,0 75,0,2024-09-07 09:40:11:774,133801,0.6,132991,0.8,266999,0.6,356535,2.25 75,1,2024-09-07 09:40:11:598,933261,933261,0,0,438020630723,4565140506,925365,7033,863,380,391739,0 75,2,2024-09-07 09:40:11:354,670056,670056,0,0,34236101,0,4766 75,3,2024-09-07 09:40:11:071,1,531,1,0,702,6231,531,0 76,0,2024-09-07 09:40:10:591,129887,0.5,129178,0.7,258940,0.5,346710,2.25 76,1,2024-09-07 09:40:10:806,932734,932734,0,0,437771282253,4564971783,926101,5666,967,382,391723,0 76,2,2024-09-07 09:40:11:070,673165,673162,3,0,28843970,0,5265 76,3,2024-09-07 09:40:11:144,1,531,1,0,227,4915,531,0 77,0,2024-09-07 09:40:11:706,130762,0.5,131055,0.7,262298,0.5,348216,2.00 77,1,2024-09-07 09:40:10:829,932883,932883,0,0,438041037835,4567568469,926039,6248,596,381,391869,0 77,2,2024-09-07 09:40:11:293,671254,671254,0,0,28408658,0,3890 77,3,2024-09-07 09:40:11:094,1,531,1,0,401,5617,531,0 78,0,2024-09-07 09:40:11:751,137515,0.4,137021,0.6,274809,0.4,364831,2.00 78,1,2024-09-07 09:40:10:616,933101,933101,0,0,438171139198,4567699203,922328,8246,2527,367,391670,0 78,2,2024-09-07 09:40:11:407,672857,672844,13,0,26387870,0,8313 78,3,2024-09-07 09:40:11:144,1,531,2,0,311,4603,531,0 79,0,2024-09-07 09:40:11:350,130417,0.4,133545,0.6,273431,0.4,356213,2.25 79,1,2024-09-07 09:40:10:573,935557,935557,0,0,439235722710,4549884045,927818,6382,1357,367,391682,0 79,2,2024-09-07 09:40:11:068,674674,674674,0,0,25385567,0,4195 79,3,2024-09-07 09:40:10:752,1,531,1,0,418,6315,531,0 80,0,2024-09-07 09:40:11:092,129263,0.5,132859,0.7,254152,0.5,344008,2.00 80,1,2024-09-07 09:40:11:647,932748,932748,0,0,438345175422,4560542314,926917,5403,428,368,392269,0 80,2,2024-09-07 09:40:11:104,672811,672811,0,0,25897633,0,4433 80,3,2024-09-07 09:40:10:580,1,531,9,0,296,6241,531,0 81,0,2024-09-07 09:40:11:541,129845,0.5,132891,0.7,253407,0.5,344271,2.00 81,1,2024-09-07 09:40:11:663,931817,931817,0,0,437731130939,4574254682,924413,6765,639,382,391885,0 81,2,2024-09-07 09:40:11:133,669763,669700,63,0,28870099,0,5932 81,3,2024-09-07 09:40:11:117,1,531,2,0,719,6010,531,0 82,0,2024-09-07 09:40:11:532,135721,0.5,136001,0.7,272408,0.4,361601,2.00 82,1,2024-09-07 09:40:10:594,934374,934370,0,4,439311932137,4565357707,929288,4261,821,381,391768,4 82,2,2024-09-07 09:40:11:701,673152,673152,0,0,24041882,0,4484 82,3,2024-09-07 09:40:11:752,1,531,2,0,363,5014,531,0 83,0,2024-09-07 09:40:11:528,135494,0.6,135469,0.8,270318,0.7,359053,2.25 83,1,2024-09-07 09:40:10:552,933184,933184,0,0,437798927868,4562106131,926823,5904,457,382,391709,0 83,2,2024-09-07 09:40:10:769,675524,675499,25,0,26078381,0,5612 83,3,2024-09-07 09:40:10:753,1,531,1,0,1260,6515,531,0 84,0,2024-09-07 09:40:11:793,129410,0.7,129582,0.9,258799,0.7,345743,2.25 84,1,2024-09-07 09:40:11:042,931824,931824,0,0,437170054941,4569045466,921580,8717,1527,367,391967,0 84,2,2024-09-07 09:40:10:577,669392,669362,30,0,34227228,0,5971 84,3,2024-09-07 09:40:11:146,1,531,1,0,908,7413,531,0 85,0,2024-09-07 09:40:11:028,124839,0.5,124879,0.7,265037,0.5,342554,2.25 85,1,2024-09-07 09:40:10:562,928493,928493,0,0,436884942178,4611511110,914214,11621,2658,381,392092,0 85,2,2024-09-07 09:40:10:884,668662,668662,0,0,31967156,0,4255 85,3,2024-09-07 09:40:10:689,1,531,0,0,789,6067,531,0 86,0,2024-09-07 09:40:10:915,133885,0.6,137569,0.7,263111,0.6,356981,2.25 86,1,2024-09-07 09:40:10:835,931266,931266,0,0,437899668893,4586167134,919961,9328,1977,366,391995,0 86,2,2024-09-07 09:40:10:881,670785,670784,1,0,34464674,0,5004 86,3,2024-09-07 09:40:10:596,1,531,6,0,308,7574,531,0 87,0,2024-09-07 09:40:11:294,137102,0.9,136873,0.9,273701,1.1,365555,2.25 87,1,2024-09-07 09:40:10:565,930230,930230,0,0,437024314179,4578207635,918023,10491,1716,366,392076,0 87,2,2024-09-07 09:40:11:067,673041,673035,6,0,30435580,0,6323 87,3,2024-09-07 09:40:11:795,1,531,2,0,473,7681,531,0 88,0,2024-09-07 09:40:11:461,132472,0.5,133054,0.6,265899,0.4,353563,1.75 88,1,2024-09-07 09:40:10:571,929887,929887,0,0,437483255426,4580859429,917238,10032,2617,365,392084,0 88,2,2024-09-07 09:40:10:701,670410,670410,0,0,32905206,0,4465 88,3,2024-09-07 09:40:11:282,1,531,9,0,435,6769,531,0 89,0,2024-09-07 09:40:11:799,134798,0.4,130706,0.6,258145,0.4,350754,1.75 89,1,2024-09-07 09:40:10:556,930406,930406,0,0,436752762603,4593676392,918972,9617,1817,382,391866,0 89,2,2024-09-07 09:40:11:133,670142,670142,0,0,31306668,0,3173 89,3,2024-09-07 09:40:11:797,1,531,3,0,468,8693,531,0 90,0,2024-09-07 09:40:11:650,127883,0.4,131510,0.6,267910,0.4,350020,1.75 90,1,2024-09-07 09:40:10:622,931575,931575,0,0,437149034931,4574510258,923060,7782,733,380,391825,0 90,2,2024-09-07 09:40:11:411,670069,670069,0,0,33545683,0,3608 90,3,2024-09-07 09:40:10:931,1,531,1,0,322,6494,531,0 91,0,2024-09-07 09:40:10:965,138344,0.5,134169,0.6,280534,0.4,368015,1.75 91,1,2024-09-07 09:40:10:567,929749,929749,0,0,436696936254,4590570334,918141,9596,2012,381,392047,0 91,2,2024-09-07 09:40:11:346,672193,672193,0,0,29581952,0,4713 91,3,2024-09-07 09:40:10:603,1,531,10,0,216,5011,531,0 92,0,2024-09-07 09:40:11:487,136424,0.5,139350,0.6,265684,0.5,360081,1.75 92,1,2024-09-07 09:40:10:583,932108,932108,0,0,438836239562,4582914357,924592,6309,1207,381,392136,0 92,2,2024-09-07 09:40:11:366,673079,673079,0,0,26645268,0,3259 92,3,2024-09-07 09:40:11:011,1,531,1,0,167,4551,531,0 93,0,2024-09-07 09:40:10:962,130620,0.4,133888,0.6,255574,0.3,346556,1.75 93,1,2024-09-07 09:40:10:873,932784,932784,0,0,437623427487,4567520827,922492,8558,1734,366,391776,0 93,2,2024-09-07 09:40:10:928,671082,671082,0,0,32373233,0,4913 93,3,2024-09-07 09:40:11:415,1,531,1,0,190,4754,531,0 94,0,2024-09-07 09:40:11:652,129667,0.3,130489,0.5,260907,0.3,346875,1.75 94,1,2024-09-07 09:40:10:569,933081,933081,0,0,438996870233,4576798925,926970,5735,376,381,391850,0 94,2,2024-09-07 09:40:10:769,671316,671288,28,0,27680614,0,6179 94,3,2024-09-07 09:40:11:688,1,531,2,0,576,6665,531,0 95,0,2024-09-07 09:40:11:377,136258,0.3,136138,0.5,272538,0.3,363314,1.75 95,1,2024-09-07 09:40:10:857,934348,934348,0,0,439265249250,4563580110,926990,6699,659,365,391852,0 95,2,2024-09-07 09:40:11:032,670392,670392,0,0,26407732,0,3308 95,3,2024-09-07 09:40:11:714,1,531,2,0,718,8194,531,0 96,0,2024-09-07 09:40:11:026,135694,0.4,135787,0.5,271536,0.3,360988,1.75 96,1,2024-09-07 09:40:11:601,932546,932546,0,0,437536509358,4561979900,926149,5482,915,384,391955,0 96,2,2024-09-07 09:40:11:310,675738,675738,0,0,27437167,0,4180 96,3,2024-09-07 09:40:11:146,1,531,6,0,411,5651,531,0 97,0,2024-09-07 09:40:11:339,129418,0.3,129323,0.5,259671,0.3,344512,1.50 97,1,2024-09-07 09:40:10:768,934675,934675,0,0,439319545578,4555181157,928915,4815,945,367,392140,0 97,2,2024-09-07 09:40:10:606,671803,671803,0,0,26887941,0,3679 97,3,2024-09-07 09:40:10:571,1,531,1,0,242,6074,531,0 98,0,2024-09-07 09:40:11:740,129178,0.3,129310,0.4,259527,0.2,344837,1.50 98,1,2024-09-07 09:40:10:619,933592,933592,0,0,437697281371,4556557553,928178,4614,800,381,391997,0 98,2,2024-09-07 09:40:10:773,670616,670616,0,0,26422909,0,4336 98,3,2024-09-07 09:40:10:699,1,531,1,0,840,8259,531,0 99,0,2024-09-07 09:40:11:499,135215,0.3,135939,0.4,270464,0.3,361458,1.75 99,1,2024-09-07 09:40:11:732,933667,933667,0,0,437304532655,4550690566,927691,5048,928,380,392069,0 99,2,2024-09-07 09:40:11:453,672251,672251,0,0,31830649,0,4276 99,3,2024-09-07 09:40:10:586,1,531,15,0,606,5295,531,0 100,0,2024-09-07 09:40:11:508,136003,0.8,136229,0.9,271967,1.0,363052,2.50 100,1,2024-09-07 09:40:10:552,928634,928634,0,0,435980418857,4607821900,914287,11248,3099,378,391989,0 100,2,2024-09-07 09:40:11:827,672519,672508,11,0,31243073,0,5417 100,3,2024-09-07 09:40:11:739,1,531,30,0,627,9469,531,0 101,0,2024-09-07 09:40:11:720,133976,1.2,130612,1.1,255988,1.2,349873,2.25 101,1,2024-09-07 09:40:10:562,930085,930085,0,0,436807725402,4588004435,917687,9997,2401,368,391847,0 101,2,2024-09-07 09:40:11:763,665959,665959,0,0,36170733,0,4871 101,3,2024-09-07 09:40:10:947,1,531,2,0,1250,8116,531,0 102,0,2024-09-07 09:40:11:005,127023,0.6,130964,0.7,265802,0.5,347212,2.00 102,1,2024-09-07 09:40:11:148,930095,930095,0,0,436321012277,4581195120,917880,10193,2022,369,391891,0 102,2,2024-09-07 09:40:11:739,669862,669808,54,0,30555623,0,6768 102,3,2024-09-07 09:40:11:618,1,531,3,0,466,6158,531,0 103,0,2024-09-07 09:40:11:633,137310,0.5,137249,0.7,258675,0.5,356315,2.00 103,1,2024-09-07 09:40:11:640,928643,928643,0,0,436197481257,4602773052,914444,10999,3200,381,391862,0 103,2,2024-09-07 09:40:10:583,671082,671082,0,0,32392516,0,3766 103,3,2024-09-07 09:40:10:760,1,531,1,0,916,6270,531,0 104,0,2024-09-07 09:40:11:012,136894,0.7,136986,0.9,273493,0.7,365712,2.25 104,1,2024-09-07 09:40:11:617,931556,931556,0,0,436774708373,4586221061,918492,10513,2551,365,392168,0 104,2,2024-09-07 09:40:11:674,670150,670150,0,0,31489251,0,3941 104,3,2024-09-07 09:40:11:425,1,531,14,0,1245,9211,531,0 105,0,2024-09-07 09:40:11:038,132155,0.9,128777,1.1,269907,1.1,354608,2.75 105,1,2024-09-07 09:40:10:557,932602,932602,0,0,438073020708,4587482278,920997,9836,1769,365,392009,0 105,2,2024-09-07 09:40:11:464,669926,669926,0,0,31599460,0,4360 105,3,2024-09-07 09:40:11:330,1,531,1,0,399,7479,531,0 106,0,2024-09-07 09:40:10:969,125987,0.7,129031,0.9,264336,0.7,345371,2.50 106,1,2024-09-07 09:40:11:752,931705,931705,0,0,437561719707,4588441048,919086,10970,1649,368,391914,0 106,2,2024-09-07 09:40:10:779,670054,670054,0,0,29810583,0,2920 106,3,2024-09-07 09:40:10:695,1,531,30,0,1224,7981,531,0 107,0,2024-09-07 09:40:11:113,131002,0.7,131004,0.8,261986,0.7,349301,2.00 107,1,2024-09-07 09:40:10:589,929634,929634,0,0,436267421650,4598001534,916728,11513,1393,381,392234,0 107,2,2024-09-07 09:40:11:292,669460,669459,1,0,32630754,0,5024 107,3,2024-09-07 09:40:11:762,1,531,1,0,733,7962,531,0 108,0,2024-09-07 09:40:11:885,136947,0.4,137600,0.6,274079,0.4,366251,1.75 108,1,2024-09-07 09:40:11:320,932333,932333,0,0,438277789967,4570490209,924416,6946,971,367,391857,0 108,2,2024-09-07 09:40:11:773,670254,670254,0,0,29180398,0,4246 108,3,2024-09-07 09:40:11:367,1,531,3,0,749,10375,531,0 109,0,2024-09-07 09:40:11:792,135741,0.4,134543,0.6,269737,0.4,360372,1.75 109,1,2024-09-07 09:40:10:595,929862,929862,0,0,437310933868,4586592278,921559,7086,1217,382,392132,0 109,2,2024-09-07 09:40:10:932,672366,672366,0,0,29168829,0,3617 109,3,2024-09-07 09:40:11:144,1,531,10,0,630,6577,531,0 110,0,2024-09-07 09:40:11:753,129339,0.4,125850,0.6,263457,0.3,345293,1.75 110,1,2024-09-07 09:40:11:651,933664,933664,0,0,438847322609,4556552056,926130,5612,1922,368,392045,0 110,2,2024-09-07 09:40:11:317,671090,671090,0,0,27551175,0,4067 110,3,2024-09-07 09:40:10:700,1,531,26,0,722,7331,531,0 111,0,2024-09-07 09:40:11:460,130136,0.3,129369,0.5,259211,0.3,345568,1.75 111,1,2024-09-07 09:40:11:003,935106,935106,0,0,439411157565,4554580343,930562,4187,357,380,391690,0 111,2,2024-09-07 09:40:11:121,670324,670324,0,0,28102214,0,4823 111,3,2024-09-07 09:40:10:915,1,531,7,0,379,6038,531,0 112,0,2024-09-07 09:40:10:920,136810,0.3,136138,0.4,273027,0.2,362608,1.50 112,1,2024-09-07 09:40:10:834,934054,934054,0,0,438877285861,4554632937,928151,5212,691,380,391624,0 112,2,2024-09-07 09:40:11:132,671316,671315,1,0,26606331,0,5036 112,3,2024-09-07 09:40:10:593,1,531,0,0,282,5053,531,0 113,0,2024-09-07 09:40:10:923,135670,0.3,135600,0.5,271394,0.3,361247,1.75 113,1,2024-09-07 09:40:11:689,936661,936661,0,0,440126712490,4540164468,931407,4440,814,365,391661,0 113,2,2024-09-07 09:40:11:303,678163,678163,0,0,24259737,0,3813 113,3,2024-09-07 09:40:10:684,1,531,10,0,340,5541,531,0 114,0,2024-09-07 09:40:10:889,130890,0.3,131696,0.5,261806,0.2,349686,1.75 114,1,2024-09-07 09:40:10:716,933786,933786,0,0,439353015248,4560854602,926877,5293,1616,381,391565,0 114,2,2024-09-07 09:40:10:873,671552,671551,1,0,26167451,0,5069 114,3,2024-09-07 09:40:11:277,1,531,1,0,395,4248,531,0 115,0,2024-09-07 09:40:10:561,130199,0.3,130737,0.4,260571,0.2,346234,1.50 115,1,2024-09-07 09:40:10:572,934535,934535,0,0,439240231278,4562197739,927133,6045,1357,382,391757,0 115,2,2024-09-07 09:40:11:129,673041,673041,0,0,25689900,0,4382 115,3,2024-09-07 09:40:11:004,1,531,0,0,167,3021,531,0 116,0,2024-09-07 09:40:11:781,133512,0.6,133454,0.8,267478,0.5,357974,2.00 116,1,2024-09-07 09:40:10:803,929725,929725,0,0,436741527823,4600697874,919057,8222,2446,380,392089,0 116,2,2024-09-07 09:40:11:755,671287,671287,0,0,32828312,0,4475 116,3,2024-09-07 09:40:10:919,1,531,2,0,415,6493,531,0 117,0,2024-09-07 09:40:10:971,137435,0.7,136819,0.8,274175,0.8,366179,2.00 117,1,2024-09-07 09:40:11:585,930980,930980,0,0,437514504007,4577600890,920950,8805,1225,369,392033,0 117,2,2024-09-07 09:40:11:118,675094,675094,0,0,28791447,0,4303 117,3,2024-09-07 09:40:11:060,1,531,3,0,490,7188,531,0 118,0,2024-09-07 09:40:11:784,128506,0.6,132074,0.7,269276,0.6,352008,2.00 118,1,2024-09-07 09:40:10:604,930123,930123,0,0,436610691434,4587289185,916616,10384,3123,366,392054,0 118,2,2024-09-07 09:40:11:613,669360,669360,0,0,31279134,0,2842 118,3,2024-09-07 09:40:11:766,1,531,1,0,248,5914,531,0 119,0,2024-09-07 09:40:11:368,130671,0.6,131121,0.8,261882,0.6,348937,2.00 119,1,2024-09-07 09:40:10:559,931324,931324,0,0,437576066309,4582834817,919593,9963,1768,367,391857,0 119,2,2024-09-07 09:40:11:284,671220,671220,0,0,29125243,0,4174 119,3,2024-09-07 09:40:11:340,1,531,0,0,1358,9787,531,0 120,0,2024-09-07 09:40:11:586,131183,0.6,130868,0.8,262961,0.6,350880,2.25 120,1,2024-09-07 09:40:10:866,931848,931848,0,0,437264584619,4580349977,922547,8520,781,367,392144,0 120,2,2024-09-07 09:40:10:775,671115,671114,1,0,33848932,0,5281 120,3,2024-09-07 09:40:11:290,1,531,10,0,279,6567,531,0 121,0,2024-09-07 09:40:11:737,138076,1.3,137459,1.1,275382,1.7,367073,2.25 121,1,2024-09-07 09:40:11:671,931936,931936,0,0,437127742858,4567116463,923196,8039,701,366,391840,0 121,2,2024-09-07 09:40:11:130,670723,670723,0,0,31084457,0,4157 121,3,2024-09-07 09:40:10:728,1,531,3,0,330,6319,531,0 122,0,2024-09-07 09:40:11:792,134193,0.9,130601,0.9,273484,1.0,359955,2.25 122,1,2024-09-07 09:40:10:871,930663,930663,0,0,437366619585,4585785575,918202,10575,1886,366,392130,0 122,2,2024-09-07 09:40:11:340,672854,672779,75,0,35305050,0,5989 122,3,2024-09-07 09:40:10:594,1,531,24,0,512,8876,531,0 123,0,2024-09-07 09:40:11:036,129356,0.8,125850,0.9,263509,0.9,345175,2.25 123,1,2024-09-07 09:40:10:561,931199,931199,0,0,437193743365,4596947246,915985,12709,2505,369,392039,0 123,2,2024-09-07 09:40:11:023,668895,668894,1,0,30026816,0,5215 123,3,2024-09-07 09:40:11:132,1,531,1,0,478,6121,531,0 124,0,2024-09-07 09:40:10:973,134042,0.3,134003,0.5,252466,0.3,348091,1.75 124,1,2024-09-07 09:40:11:023,933750,933750,0,0,437294329526,4544957095,926934,5684,1132,365,392178,0 124,2,2024-09-07 09:40:11:013,672470,672417,53,0,27418286,0,6487 124,3,2024-09-07 09:40:10:757,1,531,1,0,490,5485,531,0 125,0,2024-09-07 09:40:11:431,136051,0.4,135803,0.5,272869,0.3,363258,1.75 125,1,2024-09-07 09:40:10:866,932544,932544,0,0,437193930126,4562243037,925560,6000,984,382,391813,0 125,2,2024-09-07 09:40:11:126,673270,673270,0,0,27430521,0,4534 125,3,2024-09-07 09:40:11:131,1,531,1,0,709,6281,531,0 126,0,2024-09-07 09:40:11:434,135682,0.4,139554,0.6,266949,0.4,361656,1.75 126,1,2024-09-07 09:40:10:563,935436,935436,0,0,439065478312,4543675426,930749,4376,311,365,391987,0 126,2,2024-09-07 09:40:10:616,675913,675913,0,0,28298314,0,4539 126,3,2024-09-07 09:40:10:910,1,531,3,0,268,5901,531,0 127,0,2024-09-07 09:40:11:690,129699,0.3,130033,0.5,259482,0.3,344823,1.75 127,1,2024-09-07 09:40:10:574,933636,933636,0,0,437423512422,4550908008,924262,7870,1504,364,392187,0 127,2,2024-09-07 09:40:10:637,670143,670139,4,0,26945821,0,5305 127,3,2024-09-07 09:40:11:276,1,531,9,0,968,5256,531,0 128,0,2024-09-07 09:40:11:541,130079,0.3,130103,0.4,259774,0.2,345727,1.50 128,1,2024-09-07 09:40:11:629,932583,932583,0,0,438786951241,4556856796,926105,5742,736,367,392031,0 128,2,2024-09-07 09:40:11:387,671854,671854,0,0,25167829,0,3171 128,3,2024-09-07 09:40:10:766,1,531,10,0,1082,8382,531,0 129,0,2024-09-07 09:40:11:006,136357,0.3,135681,0.4,272004,0.3,361801,1.50 129,1,2024-09-07 09:40:10:587,930039,930039,0,0,436671977168,4574581433,921007,7087,1945,379,391962,0 129,2,2024-09-07 09:40:10:699,672789,672785,4,0,27438463,0,5335 129,3,2024-09-07 09:40:10:700,1,531,1,0,506,7392,531,0 130,0,2024-09-07 09:40:11:733,137100,0.4,136654,0.6,274056,0.4,364523,1.75 130,1,2024-09-07 09:40:10:601,933732,933732,0,0,439214083139,4560755268,928932,4412,388,381,391825,0 130,2,2024-09-07 09:40:11:129,675551,675551,0,0,27496741,0,4067 130,3,2024-09-07 09:40:11:307,1,531,4,0,960,7402,531,0 131,0,2024-09-07 09:40:12:022,131529,0.4,131928,0.5,264345,0.3,350762,1.75 131,1,2024-09-07 09:40:11:845,933377,933377,0,0,438507395424,4572599109,926489,5696,1192,381,391865,0 131,2,2024-09-07 09:40:10:567,670947,670947,0,0,25396039,0,3979 131,3,2024-09-07 09:40:11:714,1,531,10,0,392,6536,531,0 132,0,2024-09-07 09:40:11:431,130675,0.4,131730,0.6,262220,0.4,348385,1.75 132,1,2024-09-07 09:40:10:576,929594,929594,0,0,436374360210,4588139021,917106,10290,2198,381,392532,0 132,2,2024-09-07 09:40:10:699,670408,670391,17,0,33276118,0,6451 132,3,2024-09-07 09:40:11:699,1,531,1,0,804,8744,531,0 133,0,2024-09-07 09:40:11:564,129668,0.4,132526,0.6,272092,0.3,354182,1.75 133,1,2024-09-07 09:40:10:584,929291,929291,0,0,437089155402,4603600088,916780,10820,1691,383,391914,0 133,2,2024-09-07 09:40:11:087,673270,673220,50,0,33685579,0,6861 133,3,2024-09-07 09:40:11:339,1,531,1,0,479,6295,531,0 134,0,2024-09-07 09:40:10:985,138177,0.5,138133,0.7,276612,0.5,368028,2.00 134,1,2024-09-07 09:40:10:584,931287,931287,0,0,436529924954,4573962918,919888,9252,2147,366,391718,0 134,2,2024-09-07 09:40:11:762,671984,671960,24,0,31700800,0,6207 134,3,2024-09-07 09:40:10:752,1,531,1,0,739,6351,531,0 135,0,2024-09-07 09:40:11:123,129418,0.9,129410,0.9,274732,1.1,354110,2.25 135,1,2024-09-07 09:40:11:597,930800,930800,0,0,437751735537,4600565449,919161,10072,1567,380,391805,0 135,2,2024-09-07 09:40:10:690,671383,671383,0,0,31909583,0,4503 135,3,2024-09-07 09:40:11:007,1,531,1,0,900,5366,531,0 136,0,2024-09-07 09:40:11:638,130895,0.5,131126,0.7,261180,0.5,348581,2.00 136,1,2024-09-07 09:40:11:440,931695,931695,0,0,436256299399,4571573135,921307,9118,1270,381,392135,0 136,2,2024-09-07 09:40:11:132,672384,672369,15,0,31203312,0,6007 136,3,2024-09-07 09:40:11:108,1,531,0,0,637,6300,531,0 137,0,2024-09-07 09:40:10:932,135167,0.5,131820,0.7,258038,0.5,350530,2.00 137,1,2024-09-07 09:40:10:577,929812,929812,0,0,436955640349,4577221952,916352,10788,2672,366,391898,0 137,2,2024-09-07 09:40:11:714,670091,670091,0,0,32854178,0,3185 137,3,2024-09-07 09:40:10:771,1,531,2,0,484,6926,531,0 138,0,2024-09-07 09:40:11:856,136526,0.8,136812,0.9,274131,0.9,364803,2.00 138,1,2024-09-07 09:40:11:693,931039,931039,0,0,437728062561,4587928140,918294,10774,1971,368,391954,0 138,2,2024-09-07 09:40:10:600,670481,670481,0,0,30186751,0,4988 138,3,2024-09-07 09:40:10:612,1,531,3,0,1200,8591,531,0 139,0,2024-09-07 09:40:11:397,134003,1.3,134422,1.0,268809,1.8,358819,2.50 139,1,2024-09-07 09:40:10:572,927094,927094,0,0,435353108874,4613825521,911146,12486,3462,380,392109,0 139,2,2024-09-07 09:40:10:707,669813,669813,0,0,33602499,0,3097 139,3,2024-09-07 09:40:11:668,1,531,0,0,432,6051,531,0 140,0,2024-09-07 09:40:11:605,130058,0.3,129196,0.5,259276,0.2,345541,1.75 140,1,2024-09-07 09:40:11:546,936411,936411,0,0,440790113077,4542414571,931827,4046,538,364,391606,0 140,2,2024-09-07 09:40:10:691,671880,671879,1,0,25666169,0,5036 140,3,2024-09-07 09:40:10:770,1,531,1,0,297,4895,531,0 141,0,2024-09-07 09:40:11:727,129939,0.3,133364,0.4,254693,0.2,345355,1.50 141,1,2024-09-07 09:40:10:866,934797,934797,0,0,439755112581,4565876518,927687,6024,1086,379,391614,0 141,2,2024-09-07 09:40:11:686,670936,670925,11,0,27318522,0,5369 141,3,2024-09-07 09:40:11:043,1,531,26,0,391,5973,531,0 142,0,2024-09-07 09:40:11:331,136865,0.3,135841,0.5,272283,0.3,363543,1.50 142,1,2024-09-07 09:40:10:589,932883,932883,0,0,438071929850,4564238695,927216,5208,459,382,392102,0 142,2,2024-09-07 09:40:11:316,670764,670732,32,0,27853583,0,6028 142,3,2024-09-07 09:40:11:751,1,531,6,0,484,5499,531,0 143,0,2024-09-07 09:40:11:385,135504,0.4,135498,0.6,271847,0.4,361244,1.75 143,1,2024-09-07 09:40:10:563,934781,934781,0,0,439314285817,4557512843,928696,5526,559,367,391722,0 143,2,2024-09-07 09:40:10:770,676220,676220,0,0,27372595,0,3123 143,3,2024-09-07 09:40:11:144,1,531,1,0,462,6591,531,0 144,0,2024-09-07 09:40:11:528,126516,0.6,130132,0.8,264787,0.6,346593,2.00 144,1,2024-09-07 09:40:10:566,930089,930089,0,0,436610601901,4580214389,920691,7430,1968,381,391733,0 144,2,2024-09-07 09:40:11:763,670783,670783,0,0,25987410,0,3673 144,3,2024-09-07 09:40:11:743,1,531,2,0,249,5117,531,0 145,0,2024-09-07 09:40:11:376,125636,0.5,125604,0.7,266630,0.4,342928,2.25 145,1,2024-09-07 09:40:10:553,929702,929702,0,0,436503330640,4592564341,917326,9909,2467,382,391759,0 145,2,2024-09-07 09:40:11:435,668195,668113,82,0,32030408,0,7814 145,3,2024-09-07 09:40:10:922,1,531,6,0,622,7468,531,0 146,0,2024-09-07 09:40:11:644,133939,0.5,133392,0.7,267830,0.5,356496,2.00 146,1,2024-09-07 09:40:11:597,930982,930982,0,0,437297792431,4594262511,916537,10643,3802,367,391770,0 146,2,2024-09-07 09:40:11:697,670907,670901,6,0,30374028,0,5151 146,3,2024-09-07 09:40:11:281,1,531,1,0,1520,9044,531,0 147,0,2024-09-07 09:40:11:705,137274,0.6,137052,0.7,273689,0.6,365361,2.25 147,1,2024-09-07 09:40:11:375,934301,934301,0,0,439035842497,4560074386,927013,6409,879,367,391791,0 147,2,2024-09-07 09:40:11:013,672944,672944,0,0,27108626,0,2968 147,3,2024-09-07 09:40:10:919,1,531,1,0,1626,8547,531,0 0,0,2024-09-07 09:40:21:716,128404,0.5,128498,0.7,272565,0.5,352552,2.00 0,1,2024-09-07 09:40:20:801,932910,932910,0,0,438375322047,4588241688,925386,6681,843,369,391896,0 0,2,2024-09-07 09:40:21:067,674977,674977,0,0,27146723,0,4480 0,3,2024-09-07 09:40:20:974,1,532,1,0,431,7356,532,0 1,0,2024-09-07 09:40:21:767,138022,0.9,137066,0.9,275604,1.2,367786,2.00 1,1,2024-09-07 09:40:20:561,932033,932033,0,0,437325533275,4581063888,923309,7256,1468,370,391859,0 1,2,2024-09-07 09:40:20:644,673780,673780,0,0,26533314,0,3380 1,3,2024-09-07 09:40:21:302,1,532,1,0,269,6602,532,0 2,0,2024-09-07 09:40:21:572,134753,0.6,134725,0.8,269104,0.7,359233,2.00 2,1,2024-09-07 09:40:20:868,935055,935055,0,0,439481022812,4566019560,930066,4072,917,379,391745,0 2,2,2024-09-07 09:40:21:266,673851,673851,0,0,25121540,0,3594 2,3,2024-09-07 09:40:20:692,1,532,1,0,357,4759,532,0 3,0,2024-09-07 09:40:21:743,129987,0.4,129896,0.6,259264,0.3,345742,2.00 3,1,2024-09-07 09:40:21:619,934066,934066,0,0,439219400741,4570608917,926597,6766,703,379,391716,0 3,2,2024-09-07 09:40:21:142,673175,673152,23,0,26289539,0,5851 3,3,2024-09-07 09:40:21:752,1,532,2,0,275,3545,532,0 4,0,2024-09-07 09:40:21:820,126746,0.3,130252,0.5,265427,0.3,347832,1.75 4,1,2024-09-07 09:40:20:611,930697,930697,0,0,436630236158,4622429804,914339,12669,3689,370,391992,0 4,2,2024-09-07 09:40:21:018,669780,669780,0,0,32045039,0,4534 4,3,2024-09-07 09:40:21:026,1,532,1,0,448,6737,532,0 5,0,2024-09-07 09:40:21:411,136407,0.4,136678,0.5,273220,0.4,363417,1.75 5,1,2024-09-07 09:40:20:767,931698,931698,0,0,438317296309,4610425636,918946,10337,2415,367,392005,0 5,2,2024-09-07 09:40:21:829,669502,669502,0,0,31048646,0,3582 5,3,2024-09-07 09:40:21:734,1,532,1,0,457,7201,532,0 6,0,2024-09-07 09:40:20:922,135870,0.5,135468,0.7,271294,0.5,361762,2.00 6,1,2024-09-07 09:40:20:750,933663,933663,0,0,438340730233,4576250545,924086,8118,1459,379,391702,0 6,2,2024-09-07 09:40:21:116,675964,675946,18,0,30055266,0,5535 6,3,2024-09-07 09:40:21:275,1,532,1,0,710,6265,532,0 7,0,2024-09-07 09:40:21:568,128962,0.5,129791,0.7,258475,0.5,344000,2.00 7,1,2024-09-07 09:40:20:856,932115,932115,0,0,437526097285,4592450451,919189,10694,2232,382,391747,0 7,2,2024-09-07 09:40:20:770,671810,671810,0,0,29203913,0,4791 7,3,2024-09-07 09:40:20:853,1,532,1,0,552,5911,532,0 8,0,2024-09-07 09:40:21:327,130170,0.3,129685,0.5,260202,0.3,346615,1.50 8,1,2024-09-07 09:40:21:025,930124,930124,0,0,437405728719,4595624442,915858,11134,3132,366,392853,0 8,2,2024-09-07 09:40:20:798,666940,666938,2,0,34997423,0,5112 8,3,2024-09-07 09:40:20:589,1,532,1,0,538,7849,532,0 9,0,2024-09-07 09:40:21:114,135552,0.3,131844,0.5,276209,0.3,362506,1.75 9,1,2024-09-07 09:40:20:550,930719,930719,0,0,437529379904,4605925444,917222,10845,2652,369,392001,0 9,2,2024-09-07 09:40:21:092,671586,671585,1,0,31705672,0,5281 9,3,2024-09-07 09:40:21:752,1,532,7,0,1273,8833,532,0 10,0,2024-09-07 09:40:21:601,136320,0.4,135913,0.5,272571,0.3,362958,1.75 10,1,2024-09-07 09:40:20:583,932951,932951,0,0,437680856648,4583078764,920583,10278,2090,381,391869,0 10,2,2024-09-07 09:40:20:772,676272,676272,0,0,34496371,0,4264 10,3,2024-09-07 09:40:20:875,1,532,0,0,649,5522,532,0 11,0,2024-09-07 09:40:21:008,131315,0.5,127415,0.6,266496,0.4,350986,1.75 11,1,2024-09-07 09:40:20:571,934221,934221,0,0,438402132249,4594474666,920744,10015,3462,383,391766,0 11,2,2024-09-07 09:40:21:123,670790,670790,0,0,30162071,0,4130 11,3,2024-09-07 09:40:21:298,1,532,0,0,843,7123,532,0 12,0,2024-09-07 09:40:20:968,131408,0.4,131487,0.5,262878,0.3,348716,1.75 12,1,2024-09-07 09:40:20:935,933563,933563,0,0,438029959119,4568350012,925883,6795,885,370,391960,0 12,2,2024-09-07 09:40:21:541,670948,670948,0,0,30099034,0,4390 12,3,2024-09-07 09:40:21:059,1,532,10,0,386,7090,532,0 13,0,2024-09-07 09:40:21:363,134171,0.4,133962,0.5,267182,0.4,356391,1.75 13,1,2024-09-07 09:40:21:539,931282,931282,0,0,437614246657,4597941534,921651,7336,2295,382,391740,0 13,2,2024-09-07 09:40:20:595,675178,675178,0,0,27044404,0,3287 13,3,2024-09-07 09:40:21:814,1,532,2,0,522,7381,532,0 14,0,2024-09-07 09:40:20:573,138052,0.4,138991,0.6,275895,0.4,367142,1.75 14,1,2024-09-07 09:40:21:561,937793,937793,0,0,440335574149,4554598268,930877,6188,728,364,391673,0 14,2,2024-09-07 09:40:20:772,674872,674842,30,0,28380339,0,6104 14,3,2024-09-07 09:40:21:115,1,532,2,0,1168,6040,532,0 15,0,2024-09-07 09:40:21:554,133466,0.4,132878,0.7,266651,0.4,355412,2.00 15,1,2024-09-07 09:40:21:614,935100,935100,0,0,438925584045,4569835987,927366,6249,1485,381,391619,0 15,2,2024-09-07 09:40:20:997,674391,674391,0,0,23816261,0,3622 15,3,2024-09-07 09:40:21:404,1,532,1,0,1126,7324,532,0 16,0,2024-09-07 09:40:20:933,130523,0.5,131317,0.7,261957,0.5,348741,2.00 16,1,2024-09-07 09:40:20:569,934672,934672,0,0,438757542629,4585696938,926245,7125,1302,370,392194,0 16,2,2024-09-07 09:40:21:437,671944,671944,0,0,28131134,0,4719 16,3,2024-09-07 09:40:21:147,1,532,6,0,358,6656,532,0 17,0,2024-09-07 09:40:21:778,135501,0.5,131947,0.7,258815,0.5,350960,2.00 17,1,2024-09-07 09:40:20:572,932790,932790,0,0,438025576539,4593277236,923483,7557,1750,368,392075,0 17,2,2024-09-07 09:40:21:676,675566,675565,1,0,28941813,0,5050 17,3,2024-09-07 09:40:20:574,1,532,1,0,518,7848,532,0 18,0,2024-09-07 09:40:20:941,136295,0.7,137189,0.8,273347,0.7,365526,2.25 18,1,2024-09-07 09:40:21:640,936770,936770,0,0,439362152484,4555726068,930654,5119,997,367,391725,0 18,2,2024-09-07 09:40:21:791,675432,675432,0,0,25665229,0,3541 18,3,2024-09-07 09:40:20:895,1,532,0,0,1059,4926,532,0 19,0,2024-09-07 09:40:21:542,134797,0.7,135098,0.8,268877,0.7,358248,2.25 19,1,2024-09-07 09:40:20:569,936181,936181,0,0,440533612011,4565974413,929192,5904,1085,367,391777,0 19,2,2024-09-07 09:40:21:797,677560,677560,0,0,24044990,0,3988 19,3,2024-09-07 09:40:21:129,1,532,0,0,524,4257,532,0 20,0,2024-09-07 09:40:21:380,129374,0.5,129689,0.7,258823,0.5,345237,2.00 20,1,2024-09-07 09:40:20:571,932889,932889,0,0,438126093085,4587507782,923679,7841,1369,369,391922,0 20,2,2024-09-07 09:40:20:929,671616,671616,0,0,29163211,0,3721 20,3,2024-09-07 09:40:20:590,1,532,1,0,468,8924,532,0 21,0,2024-09-07 09:40:21:128,129805,0.4,129968,0.6,259924,0.4,344906,1.75 21,1,2024-09-07 09:40:21:536,931416,931416,0,0,436305381069,4601504116,917256,11041,3119,368,392016,0 21,2,2024-09-07 09:40:21:069,669069,669049,20,0,34140664,0,5617 21,3,2024-09-07 09:40:21:403,1,532,1,0,713,6790,532,0 22,0,2024-09-07 09:40:21:718,136264,0.4,136915,0.6,273083,0.4,362626,2.00 22,1,2024-09-07 09:40:21:023,932237,932237,0,0,437202585474,4599815359,917004,12006,3227,382,391822,0 22,2,2024-09-07 09:40:20:764,670985,670959,26,0,28577009,0,6328 22,3,2024-09-07 09:40:21:066,1,532,1,0,228,4443,532,0 23,0,2024-09-07 09:40:21:368,135401,0.5,135006,0.7,269889,0.5,359891,2.25 23,1,2024-09-07 09:40:21:004,933241,933241,0,0,438784653027,4602160207,917535,11298,4408,365,391690,0 23,2,2024-09-07 09:40:21:092,677391,677391,0,0,27266430,0,3773 23,3,2024-09-07 09:40:21:758,1,532,1,0,855,8320,532,0 24,0,2024-09-07 09:40:20:829,131462,0.4,130691,0.6,262993,0.4,348784,1.75 24,1,2024-09-07 09:40:20:590,932280,932280,0,0,437647200385,4579983160,923313,7290,1677,367,392269,0 24,2,2024-09-07 09:40:21:079,671137,671137,0,0,32381366,0,4438 24,3,2024-09-07 09:40:21:686,1,532,26,0,468,6721,532,0 25,0,2024-09-07 09:40:21:358,133466,0.4,130098,0.6,255060,0.3,347196,1.75 25,1,2024-09-07 09:40:20:559,931493,931493,0,0,437600956860,4613750056,916512,12009,2972,369,391928,0 25,2,2024-09-07 09:40:21:606,670019,670019,0,0,33769380,0,3978 25,3,2024-09-07 09:40:21:000,1,532,10,0,532,5924,532,0 26,0,2024-09-07 09:40:21:736,133958,0.4,131096,0.6,275049,0.3,358457,1.75 26,1,2024-09-07 09:40:21:541,934322,934322,0,0,438383331560,4587577314,921482,10388,2452,380,391748,0 26,2,2024-09-07 09:40:20:863,672866,672866,0,0,35786153,0,4689 26,3,2024-09-07 09:40:21:719,1,532,11,0,796,5783,532,0 27,0,2024-09-07 09:40:21:725,137318,0.4,137983,0.6,274231,0.4,365392,2.25 27,1,2024-09-07 09:40:21:676,935095,935095,0,0,440041726064,4580834299,926539,7287,1269,381,391626,0 27,2,2024-09-07 09:40:20:868,672683,672618,65,0,30895371,0,5699 27,3,2024-09-07 09:40:21:016,1,532,1,0,564,4587,532,0 28,0,2024-09-07 09:40:21:387,132934,0.4,132675,0.6,265909,0.3,353997,1.75 28,1,2024-09-07 09:40:20:800,935675,935675,0,0,439723517919,4582637721,927898,6063,1714,382,391698,0 28,2,2024-09-07 09:40:21:815,672229,672229,0,0,27155813,0,2915 28,3,2024-09-07 09:40:21:803,1,532,2,0,502,5324,532,0 29,0,2024-09-07 09:40:21:371,134856,0.3,131293,0.6,257626,0.3,351203,1.75 29,1,2024-09-07 09:40:21:561,937752,937752,0,0,440841131614,4558327662,932194,4796,762,367,391809,0 29,2,2024-09-07 09:40:20:862,671535,671535,0,0,26698281,0,4986 29,3,2024-09-07 09:40:20:962,1,532,10,0,459,5774,532,0 30,0,2024-09-07 09:40:21:463,131724,0.4,128218,0.6,268716,0.4,351478,2.00 30,1,2024-09-07 09:40:20:572,937167,937167,0,0,440378714471,4569170299,930189,6076,902,380,391672,0 30,2,2024-09-07 09:40:21:275,673913,673913,0,0,25721458,0,4192 30,3,2024-09-07 09:40:20:581,1,532,1,0,519,5108,532,0 31,0,2024-09-07 09:40:21:757,137868,0.5,138338,0.6,275943,0.4,368146,2.00 31,1,2024-09-07 09:40:20:568,940245,940245,0,0,442376803908,4540226501,934921,4313,1011,356,391712,0 31,2,2024-09-07 09:40:21:274,672769,672769,0,0,28241855,0,4470 31,3,2024-09-07 09:40:21:705,1,532,9,0,220,4504,532,0 32,0,2024-09-07 09:40:21:420,135223,0.3,135958,0.5,270764,0.3,360755,1.75 32,1,2024-09-07 09:40:20:804,936206,936206,0,0,439932787146,4571665205,930401,5096,709,381,391646,0 32,2,2024-09-07 09:40:20:935,674454,674454,0,0,24645943,0,3922 32,3,2024-09-07 09:40:21:016,1,532,1,0,304,4378,532,0 33,0,2024-09-07 09:40:21:503,130533,0.3,130120,0.4,260497,0.2,346812,1.50 33,1,2024-09-07 09:40:20:582,936687,936687,0,0,440155444609,4558344492,929450,6063,1174,368,391730,0 33,2,2024-09-07 09:40:20:759,673943,673908,35,0,27297084,0,7012 33,3,2024-09-07 09:40:20:896,1,532,0,0,329,4946,532,0 34,0,2024-09-07 09:40:20:933,130955,0.3,134593,0.4,257139,0.2,347282,1.75 34,1,2024-09-07 09:40:21:043,938697,938697,0,0,440882828064,4533236248,936186,2489,22,367,391637,0 34,2,2024-09-07 09:40:20:766,674094,674094,0,0,25841844,0,4562 34,3,2024-09-07 09:40:21:688,1,532,4,0,320,4077,532,0 35,0,2024-09-07 09:40:20:866,135688,0.3,136395,0.5,274051,0.2,363935,1.75 35,1,2024-09-07 09:40:21:067,935562,935562,0,0,439257231069,4556053849,928902,5446,1214,382,391769,0 35,2,2024-09-07 09:40:21:583,672163,672163,0,0,28390727,0,4055 35,3,2024-09-07 09:40:20:907,1,532,3,0,418,4913,532,0 36,0,2024-09-07 09:40:21:520,136299,0.5,136165,0.7,272234,0.5,362547,2.00 36,1,2024-09-07 09:40:20:583,935266,935266,0,0,438370993328,4577315051,922977,10067,2222,366,391759,0 36,2,2024-09-07 09:40:21:757,676261,676261,0,0,29731373,0,3875 36,3,2024-09-07 09:40:20:864,1,532,1,0,416,7190,532,0 37,0,2024-09-07 09:40:21:379,129191,0.5,129091,0.7,258390,0.5,344666,2.00 37,1,2024-09-07 09:40:20:571,933974,933967,0,7,438978924087,4582228105,922844,8447,2676,365,391770,0 37,2,2024-09-07 09:40:21:151,669869,669854,15,0,30167120,0,5815 37,3,2024-09-07 09:40:21:814,1,532,10,0,888,7393,532,0 38,0,2024-09-07 09:40:21:442,129179,0.4,125362,0.6,262259,0.3,343735,2.00 38,1,2024-09-07 09:40:21:606,935010,935010,0,0,438856427522,4585726783,921779,10712,2519,368,391821,0 38,2,2024-09-07 09:40:20:759,670601,670554,47,0,30302200,0,6710 38,3,2024-09-07 09:40:20:996,1,532,1,0,689,6706,532,0 39,0,2024-09-07 09:40:21:808,139045,0.5,135976,0.7,264987,0.4,362249,2.00 39,1,2024-09-07 09:40:20:717,933213,933213,0,0,438043377326,4593396372,917416,12327,3470,365,391865,0 39,2,2024-09-07 09:40:21:417,673468,673468,0,0,27999579,0,3391 39,3,2024-09-07 09:40:20:715,1,532,2,0,525,6281,532,0 40,0,2024-09-07 09:40:21:509,135095,0.9,135678,1.0,270901,1.1,361088,2.75 40,1,2024-09-07 09:40:20:589,935403,935403,0,0,437379583418,4572329252,923382,9842,2179,368,391668,0 40,2,2024-09-07 09:40:21:308,674437,674436,1,0,33479613,0,5137 40,3,2024-09-07 09:40:21:142,1,532,2,0,1028,7396,532,0 41,0,2024-09-07 09:40:21:037,130815,1.3,133668,1.1,254973,1.8,346762,3.00 41,1,2024-09-07 09:40:20:775,933790,933790,0,0,438227103247,4583395947,921861,9994,1935,369,391878,0 41,2,2024-09-07 09:40:20:878,668769,668768,1,0,32216459,0,5408 41,3,2024-09-07 09:40:21:675,1,532,4,0,366,5767,532,0 42,0,2024-09-07 09:40:21:476,129938,0.8,129667,0.9,259503,0.8,343488,2.50 42,1,2024-09-07 09:40:21:439,930629,930629,0,0,437034523531,4593074931,915614,12107,2908,380,391675,0 42,2,2024-09-07 09:40:21:135,670558,670558,0,0,31392343,0,3975 42,3,2024-09-07 09:40:21:013,1,532,0,0,892,4716,532,0 43,0,2024-09-07 09:40:20:916,132126,0.6,128728,0.9,269508,0.6,353510,2.25 43,1,2024-09-07 09:40:20:576,934276,934276,0,0,439146385477,4586252183,921405,10611,2260,366,391696,0 43,2,2024-09-07 09:40:21:736,673836,673836,0,0,30777890,0,4723 43,3,2024-09-07 09:40:21:751,1,532,1,0,571,7340,532,0 44,0,2024-09-07 09:40:20:861,138203,0.4,138167,0.6,277015,0.4,367666,1.75 44,1,2024-09-07 09:40:20:563,936795,936795,0,0,439465937034,4540309082,928994,6214,1587,356,391809,0 44,2,2024-09-07 09:40:21:268,672249,672249,0,0,25541184,0,4344 44,3,2024-09-07 09:40:21:111,1,532,9,0,817,5787,532,0 45,0,2024-09-07 09:40:21:766,132064,0.5,129032,0.7,270860,0.5,355571,2.00 45,1,2024-09-07 09:40:21:012,935753,935753,0,0,440663818393,4578634695,928239,6638,876,382,391917,0 45,2,2024-09-07 09:40:21:268,672844,672844,0,0,25798573,0,3596 45,3,2024-09-07 09:40:20:934,1,532,3,0,365,4998,532,0 46,0,2024-09-07 09:40:20:954,130301,0.4,129742,0.7,260343,0.4,345971,2.00 46,1,2024-09-07 09:40:20:575,937128,937128,0,0,440014046566,4546363438,930721,5543,864,366,391709,0 46,2,2024-09-07 09:40:20:592,673588,673588,0,0,26206514,0,4443 46,3,2024-09-07 09:40:21:132,1,532,1,0,908,6387,532,0 47,0,2024-09-07 09:40:21:106,131505,0.4,131556,0.6,264226,0.3,349909,1.75 47,1,2024-09-07 09:40:20:570,938481,938481,0,0,441460698080,4558382250,933241,4401,839,365,391641,0 47,2,2024-09-07 09:40:20:914,676315,676315,0,0,25966535,0,4477 47,3,2024-09-07 09:40:21:116,1,532,1,0,600,5985,532,0 48,0,2024-09-07 09:40:21:497,138013,0.3,138173,0.4,275664,0.2,367700,1.50 48,1,2024-09-07 09:40:21:022,935842,935842,0,0,439648558260,4566162989,930047,5221,574,384,391710,0 48,2,2024-09-07 09:40:20:699,673066,673066,0,0,23797853,0,3411 48,3,2024-09-07 09:40:20:754,1,532,1,0,339,4186,532,0 49,0,2024-09-07 09:40:21:720,139013,0.4,136334,0.5,264998,0.3,362333,1.75 49,1,2024-09-07 09:40:21:021,935291,935291,0,0,439272909202,4564847943,929723,4357,1211,382,391809,0 49,2,2024-09-07 09:40:21:798,676142,676142,0,0,26361426,0,4426 49,3,2024-09-07 09:40:21:416,1,532,1,0,992,6201,532,0 50,0,2024-09-07 09:40:21:515,130324,0.3,128597,0.5,259102,0.2,344856,1.75 50,1,2024-09-07 09:40:21:012,938689,938689,0,0,440593445604,4553964222,932743,5279,667,368,391565,0 50,2,2024-09-07 09:40:21:067,672018,672018,0,0,24173784,0,4490 50,3,2024-09-07 09:40:21:291,1,532,3,0,617,5460,532,0 51,0,2024-09-07 09:40:21:747,133315,0.3,130434,0.4,254086,0.2,346546,1.75 51,1,2024-09-07 09:40:21:683,939346,939346,0,0,442123527774,4556929365,934578,3712,1056,365,391706,0 51,2,2024-09-07 09:40:21:316,672625,672625,0,0,23464316,0,3337 51,3,2024-09-07 09:40:21:027,1,532,1,0,678,3793,532,0 52,0,2024-09-07 09:40:21:427,136834,0.5,136498,0.6,273312,0.4,363474,2.00 52,1,2024-09-07 09:40:20:579,934538,934538,0,0,437413603249,4577366794,921308,11125,2105,368,391722,0 52,2,2024-09-07 09:40:21:758,669002,668964,38,0,31158753,0,6742 52,3,2024-09-07 09:40:20:675,1,532,0,0,1782,6698,532,0 53,0,2024-09-07 09:40:21:728,135043,0.7,130938,0.8,273950,0.8,359449,2.50 53,1,2024-09-07 09:40:20:770,932859,932859,0,0,438190893783,4596059160,917496,11519,3844,367,391968,0 53,2,2024-09-07 09:40:21:297,676773,676772,1,0,28055070,0,5455 53,3,2024-09-07 09:40:20:698,1,532,1,0,308,5178,532,0 54,0,2024-09-07 09:40:21:620,128650,0.6,128970,0.8,256868,0.5,342666,2.25 54,1,2024-09-07 09:40:20:580,934846,934846,0,0,439219359769,4567061638,924778,8434,1634,366,391810,0 54,2,2024-09-07 09:40:20:865,671792,671760,32,0,31754793,0,6397 54,3,2024-09-07 09:40:20:771,1,532,1,0,676,7049,532,0 55,0,2024-09-07 09:40:21:765,125371,0.5,129366,0.7,262149,0.5,341426,2.25 55,1,2024-09-07 09:40:20:771,936114,936114,0,0,439184593066,4565050600,926429,8364,1321,365,391731,0 55,2,2024-09-07 09:40:20:730,670407,670351,56,0,29989873,0,7239 55,3,2024-09-07 09:40:20:675,1,532,1,0,304,4898,532,0 56,0,2024-09-07 09:40:21:564,137441,1.0,129487,1.1,266907,1.3,357900,2.50 56,1,2024-09-07 09:40:20:570,930684,930684,0,0,437095274468,4613310520,916355,11416,2913,381,391867,0 56,2,2024-09-07 09:40:21:309,672630,672508,122,0,31567758,0,7432 56,3,2024-09-07 09:40:21:072,1,532,11,0,705,6295,532,0 57,0,2024-09-07 09:40:20:999,135408,1.6,135346,1.3,271069,2.2,362096,3.25 57,1,2024-09-07 09:40:20:988,932350,932350,0,0,438216862830,4595486725,920142,9923,2285,366,392032,0 57,2,2024-09-07 09:40:21:324,674882,674882,0,0,32463483,0,4317 57,3,2024-09-07 09:40:21:754,1,532,12,0,455,5901,532,0 58,0,2024-09-07 09:40:20:578,130786,1.0,127040,1.0,265688,1.2,347532,2.75 58,1,2024-09-07 09:40:20:576,933926,933923,0,3,438673402072,4595220598,920119,10483,3321,367,391675,3 58,2,2024-09-07 09:40:21:079,671295,671295,0,0,30773766,0,3483 58,3,2024-09-07 09:40:21:072,1,532,7,0,1043,5825,532,0 59,0,2024-09-07 09:40:21:746,130547,0.8,130521,0.9,260210,0.8,345246,2.75 59,1,2024-09-07 09:40:20:809,932556,932556,0,0,438188577282,4600280026,917643,11774,3139,369,391653,0 59,2,2024-09-07 09:40:20:583,671354,671354,0,0,29403378,0,3727 59,3,2024-09-07 09:40:21:750,1,532,1,0,1015,6511,532,0 60,0,2024-09-07 09:40:21:722,132143,0.5,132516,0.7,264761,0.4,352514,1.75 60,1,2024-09-07 09:40:20:779,937176,937176,0,0,439792108206,4563171981,930986,5254,936,370,392031,0 60,2,2024-09-07 09:40:21:141,674091,674091,0,0,27937608,0,3811 60,3,2024-09-07 09:40:21:271,1,532,2,0,409,6135,532,0 61,0,2024-09-07 09:40:21:562,137898,0.7,138462,0.8,275685,0.7,367808,2.00 61,1,2024-09-07 09:40:20:786,933882,933882,0,0,439045293234,4595646099,923953,8348,1581,382,392127,0 61,2,2024-09-07 09:40:21:139,673804,673737,67,0,28869597,0,6411 61,3,2024-09-07 09:40:21:696,1,532,1,0,607,7523,532,0 62,0,2024-09-07 09:40:21:711,135529,0.6,138992,0.8,265480,0.7,360675,2.00 62,1,2024-09-07 09:40:21:113,939083,939077,0,6,440985185400,4543611045,934914,3883,280,365,391975,6 62,2,2024-09-07 09:40:21:645,671915,671914,1,0,28039910,0,5555 62,3,2024-09-07 09:40:21:143,1,532,1,0,482,4252,532,0 63,0,2024-09-07 09:40:21:465,130533,0.4,130217,0.6,261407,0.3,347211,1.75 63,1,2024-09-07 09:40:20:809,936303,936297,0,6,439856513882,4564366026,930539,5007,751,381,391800,6 63,2,2024-09-07 09:40:20:764,672817,672817,0,0,27192883,0,4369 63,3,2024-09-07 09:40:21:734,1,532,1,0,667,5584,532,0 64,0,2024-09-07 09:40:21:525,130227,0.5,130359,0.6,259934,0.4,346420,1.75 64,1,2024-09-07 09:40:20:761,935653,935653,0,0,438911713947,4568875380,927759,6123,1771,370,391794,0 64,2,2024-09-07 09:40:21:153,677246,677227,19,0,26105084,0,6121 64,3,2024-09-07 09:40:21:142,1,532,1,0,651,5523,532,0 65,0,2024-09-07 09:40:21:700,135417,0.6,135732,0.7,271101,0.6,361412,2.00 65,1,2024-09-07 09:40:20:863,934266,934266,0,0,438199355430,4578719678,927511,5957,798,381,391901,0 65,2,2024-09-07 09:40:21:696,671888,671888,0,0,30585179,0,3367 65,3,2024-09-07 09:40:21:695,1,532,2,0,782,6243,532,0 66,0,2024-09-07 09:40:21:764,135432,0.6,134931,0.8,269935,0.6,359739,2.25 66,1,2024-09-07 09:40:21:294,935946,935946,0,0,439878792668,4576839733,929796,5428,722,380,391743,0 66,2,2024-09-07 09:40:21:133,678006,678003,3,0,27922604,0,5455 66,3,2024-09-07 09:40:21:079,1,532,4,0,291,4534,532,0 67,0,2024-09-07 09:40:21:412,129638,0.5,129327,0.7,259172,0.5,344810,2.00 67,1,2024-09-07 09:40:20:772,936046,936045,0,1,439017928543,4569239030,929764,5467,814,380,391787,1 67,2,2024-09-07 09:40:20:582,674051,674036,15,0,26992498,0,6205 67,3,2024-09-07 09:40:21:750,1,532,151,0,595,5720,532,0 68,0,2024-09-07 09:40:20:584,130037,0.5,129938,0.7,258782,0.5,346112,2.00 68,1,2024-09-07 09:40:20:571,931773,931773,0,0,437088599293,4595425318,919374,8998,3401,381,391953,0 68,2,2024-09-07 09:40:21:047,667706,667606,100,0,34689992,0,8578 68,3,2024-09-07 09:40:20:730,1,532,2,0,417,6821,532,0 69,0,2024-09-07 09:40:21:746,134865,0.6,135925,0.8,270910,0.6,360158,2.25 69,1,2024-09-07 09:40:21:025,931090,931090,0,0,438281227091,4616114057,918830,9499,2761,384,391994,0 69,2,2024-09-07 09:40:21:733,671330,671301,29,0,35766507,0,6912 69,3,2024-09-07 09:40:20:774,1,532,1,0,698,7795,532,0 70,0,2024-09-07 09:40:21:531,134841,0.9,135192,1.0,272136,0.8,359882,2.50 70,1,2024-09-07 09:40:20:801,936944,936944,0,0,440811671045,4572185963,929687,6495,762,366,391725,0 70,2,2024-09-07 09:40:21:324,676374,676374,0,0,30066442,0,4323 70,3,2024-09-07 09:40:20:749,1,532,2,0,854,5708,532,0 71,0,2024-09-07 09:40:21:366,130086,1.1,129857,1.1,260902,1.5,348004,2.75 71,1,2024-09-07 09:40:21:596,934320,934320,0,0,438919139097,4579673047,922925,10139,1256,368,391738,0 71,2,2024-09-07 09:40:21:066,670795,670795,0,0,30680218,0,4352 71,3,2024-09-07 09:40:21:756,1,532,0,0,644,6269,532,0 72,0,2024-09-07 09:40:21:034,134947,0.5,131870,0.7,257348,0.5,349112,2.00 72,1,2024-09-07 09:40:21:021,933016,933016,0,0,438179955097,4595430782,919562,11171,2283,369,391819,0 72,2,2024-09-07 09:40:21:794,670003,670003,0,0,32327110,0,3983 72,3,2024-09-07 09:40:21:797,1,532,0,0,564,7555,532,0 73,0,2024-09-07 09:40:21:141,129898,0.4,133071,0.6,272349,0.4,354453,2.00 73,1,2024-09-07 09:40:20:766,935803,935803,0,0,439193244008,4558991612,929562,5816,425,367,391858,0 73,2,2024-09-07 09:40:21:738,673651,673651,0,0,32791673,0,4728 73,3,2024-09-07 09:40:20:974,1,532,7,0,486,6579,532,0 74,0,2024-09-07 09:40:21:325,139002,0.5,142276,0.7,271365,0.5,367973,2.00 74,1,2024-09-07 09:40:20:635,933851,933851,0,0,438587913322,4578368421,923397,8529,1925,381,391762,0 74,2,2024-09-07 09:40:21:002,673521,673521,0,0,28576328,0,4253 74,3,2024-09-07 09:40:21:442,1,532,8,0,522,6367,532,0 75,0,2024-09-07 09:40:21:775,134020,0.6,133221,0.8,267382,0.6,357111,2.25 75,1,2024-09-07 09:40:21:585,935024,935024,0,0,438855486817,4573644950,927128,7033,863,380,391739,0 75,2,2024-09-07 09:40:21:353,670987,670987,0,0,34247190,0,4766 75,3,2024-09-07 09:40:21:069,1,532,1,0,702,6232,532,0 76,0,2024-09-07 09:40:20:637,130224,0.5,129516,0.7,259579,0.5,347522,2.25 76,1,2024-09-07 09:40:20:815,934498,934498,0,0,439063915540,4578115757,927865,5666,967,382,391723,0 76,2,2024-09-07 09:40:21:069,674572,674569,3,0,28865737,0,5265 76,3,2024-09-07 09:40:21:145,1,532,3,0,227,4918,532,0 77,0,2024-09-07 09:40:21:719,131030,0.5,131323,0.7,262806,0.5,348889,2.00 77,1,2024-09-07 09:40:20:836,934673,934673,0,0,438793225909,4575283411,927829,6248,596,381,391869,0 77,2,2024-09-07 09:40:21:287,672791,672791,0,0,28443474,0,3890 77,3,2024-09-07 09:40:21:097,1,532,1,0,401,5618,532,0 78,0,2024-09-07 09:40:21:715,138086,0.4,137599,0.6,275957,0.4,366210,2.00 78,1,2024-09-07 09:40:20:611,934879,934879,0,0,438990988594,4576071325,924105,8247,2527,367,391670,0 78,2,2024-09-07 09:40:21:405,674319,674306,13,0,26417203,0,8313 78,3,2024-09-07 09:40:21:134,1,532,1,0,311,4604,532,0 79,0,2024-09-07 09:40:21:347,130697,0.4,133835,0.6,274062,0.4,357022,2.25 79,1,2024-09-07 09:40:20:578,937320,937320,0,0,440026021611,4557915215,929581,6382,1357,367,391682,0 79,2,2024-09-07 09:40:21:070,675340,675340,0,0,25395129,0,4195 79,3,2024-09-07 09:40:20:749,1,532,1,0,418,6316,532,0 80,0,2024-09-07 09:40:21:136,129412,0.5,133004,0.7,254436,0.5,344416,2.00 80,1,2024-09-07 09:40:21:624,934619,934619,0,0,439366583882,4571023849,928787,5404,428,368,392269,0 80,2,2024-09-07 09:40:21:122,674325,674325,0,0,25940429,0,4433 80,3,2024-09-07 09:40:20:576,1,532,4,0,296,6245,532,0 81,0,2024-09-07 09:40:21:613,130061,0.5,133088,0.7,253886,0.5,344900,2.00 81,1,2024-09-07 09:40:21:732,933569,933569,0,0,438677121532,4583963166,926163,6767,639,382,391885,0 81,2,2024-09-07 09:40:21:147,671317,671254,63,0,28901001,0,5932 81,3,2024-09-07 09:40:21:123,1,532,1,0,719,6011,532,0 82,0,2024-09-07 09:40:21:535,136126,0.5,136381,0.7,273184,0.4,362599,2.00 82,1,2024-09-07 09:40:20:589,936145,936141,0,4,440051883725,4572939792,931058,4262,821,381,391768,4 82,2,2024-09-07 09:40:21:696,674202,674202,0,0,24070564,0,4484 82,3,2024-09-07 09:40:21:752,1,532,1,0,363,5015,532,0 83,0,2024-09-07 09:40:21:525,135649,0.6,135644,0.8,270670,0.7,359548,2.25 83,1,2024-09-07 09:40:20:551,934883,934883,0,0,438345764865,4567842695,928522,5904,457,382,391709,0 83,2,2024-09-07 09:40:20:765,676706,676681,25,0,26094824,0,5612 83,3,2024-09-07 09:40:20:749,1,532,2,0,1260,6517,532,0 84,0,2024-09-07 09:40:21:811,129502,0.7,129671,0.9,258982,0.7,345993,2.25 84,1,2024-09-07 09:40:21:042,933598,933598,0,0,437906509683,4576567727,923352,8719,1527,367,391967,0 84,2,2024-09-07 09:40:20:602,670875,670845,30,0,34242457,0,5971 84,3,2024-09-07 09:40:21:141,1,532,2,0,908,7415,532,0 85,0,2024-09-07 09:40:21:053,124950,0.5,124986,0.7,265293,0.5,342895,2.25 85,1,2024-09-07 09:40:20:580,930303,930303,0,0,437742793556,4620301768,916024,11621,2658,381,392092,0 85,2,2024-09-07 09:40:20:867,670015,670015,0,0,31982149,0,4255 85,3,2024-09-07 09:40:20:687,1,532,1,0,789,6068,532,0 86,0,2024-09-07 09:40:20:877,134416,0.6,138059,0.7,264054,0.6,358325,2.25 86,1,2024-09-07 09:40:20:835,933003,933003,0,0,438637979101,4593667905,921698,9328,1977,366,391995,0 86,2,2024-09-07 09:40:20:856,671939,671938,1,0,34474953,0,5004 86,3,2024-09-07 09:40:20:588,1,532,1,0,308,7575,532,0 87,0,2024-09-07 09:40:21:312,137225,0.9,136986,0.9,273912,1.1,365851,2.25 87,1,2024-09-07 09:40:20:554,931995,931995,0,0,437771739263,4585808640,919788,10491,1716,366,392076,0 87,2,2024-09-07 09:40:21:068,674381,674375,6,0,30449589,0,6323 87,3,2024-09-07 09:40:21:806,1,532,0,0,473,7681,532,0 88,0,2024-09-07 09:40:21:461,132577,0.5,133173,0.6,266100,0.4,353810,1.75 88,1,2024-09-07 09:40:20:569,931747,931747,0,0,438427954699,4590430451,919097,10033,2617,365,392084,0 88,2,2024-09-07 09:40:20:693,671567,671567,0,0,32917316,0,4465 88,3,2024-09-07 09:40:21:268,1,532,4,0,435,6773,532,0 89,0,2024-09-07 09:40:21:877,135010,0.4,130894,0.6,258554,0.4,351287,1.75 89,1,2024-09-07 09:40:20:552,932185,932185,0,0,437734253472,4603692294,920751,9617,1817,382,391866,0 89,2,2024-09-07 09:40:21:132,671224,671224,0,0,31321416,0,3173 89,3,2024-09-07 09:40:21:813,1,532,6,0,468,8699,532,0 90,0,2024-09-07 09:40:21:617,128301,0.4,131924,0.6,268807,0.4,351211,1.75 90,1,2024-09-07 09:40:20:590,933290,933290,0,0,437822789890,4581440497,924772,7784,734,380,391825,0 90,2,2024-09-07 09:40:21:407,671564,671564,0,0,33562780,0,3608 90,3,2024-09-07 09:40:20:930,1,532,1,0,322,6495,532,0 91,0,2024-09-07 09:40:20:945,138462,0.5,134287,0.6,280768,0.4,368329,1.75 91,1,2024-09-07 09:40:20:557,931478,931478,0,0,437546516402,4599230591,919870,9596,2012,381,392047,0 91,2,2024-09-07 09:40:21:348,673715,673715,0,0,29597411,0,4713 91,3,2024-09-07 09:40:20:602,1,532,0,0,216,5011,532,0 92,0,2024-09-07 09:40:21:449,136704,0.5,139621,0.6,266236,0.5,360869,1.75 92,1,2024-09-07 09:40:20:581,933900,933900,0,0,439731299367,4592094549,926384,6309,1207,381,392136,0 92,2,2024-09-07 09:40:21:357,673723,673723,0,0,26655267,0,3259 92,3,2024-09-07 09:40:21:009,1,532,2,0,167,4553,532,0 93,0,2024-09-07 09:40:20:957,130832,0.4,134132,0.6,255998,0.3,347109,1.75 93,1,2024-09-07 09:40:20:810,934517,934517,0,0,438509175783,4576544173,924225,8558,1734,366,391776,0 93,2,2024-09-07 09:40:20:930,672583,672583,0,0,32405568,0,4913 93,3,2024-09-07 09:40:21:407,1,532,5,0,190,4759,532,0 94,0,2024-09-07 09:40:21:618,130132,0.3,130997,0.5,261834,0.3,348118,1.75 94,1,2024-09-07 09:40:20:568,934861,934861,0,0,439796987865,4584993945,928750,5735,376,381,391850,0 94,2,2024-09-07 09:40:20:771,672781,672753,28,0,27730596,0,6179 94,3,2024-09-07 09:40:21:688,1,532,1,0,576,6666,532,0 95,0,2024-09-07 09:40:21:352,136504,0.3,136440,0.5,273041,0.3,364016,1.75 95,1,2024-09-07 09:40:20:857,936072,936072,0,0,440092484905,4571992944,928714,6699,659,365,391852,0 95,2,2024-09-07 09:40:21:022,671746,671746,0,0,26443172,0,3308 95,3,2024-09-07 09:40:21:712,1,532,4,0,718,8198,532,0 96,0,2024-09-07 09:40:21:037,136026,0.4,136145,0.5,272216,0.3,361920,1.75 96,1,2024-09-07 09:40:21:586,934341,934341,0,0,438510928853,4572130755,927943,5483,915,384,391964,0 96,2,2024-09-07 09:40:21:312,676655,676655,0,0,27458950,0,4180 96,3,2024-09-07 09:40:21:141,1,532,2,0,411,5653,532,0 97,0,2024-09-07 09:40:21:324,129584,0.3,129519,0.5,260043,0.3,345007,1.50 97,1,2024-09-07 09:40:20:792,936482,936482,0,0,440124734443,4563432741,930721,4816,945,367,392140,0 97,2,2024-09-07 09:40:20:615,673351,673351,0,0,26940072,0,3679 97,3,2024-09-07 09:40:20:581,1,532,5,0,242,6079,532,0 98,0,2024-09-07 09:40:21:735,129516,0.3,129657,0.4,260170,0.2,345750,1.50 98,1,2024-09-07 09:40:20:578,935489,935489,0,0,438483421505,4564723816,930072,4617,800,381,391997,0 98,2,2024-09-07 09:40:20:775,672082,672082,0,0,26459971,0,4336 98,3,2024-09-07 09:40:20:697,1,532,3,0,840,8262,532,0 99,0,2024-09-07 09:40:21:469,135649,0.3,136358,0.4,271331,0.3,362628,1.75 99,1,2024-09-07 09:40:21:728,935472,935472,0,0,438355039898,4561656251,929496,5048,928,380,392069,0 99,2,2024-09-07 09:40:21:421,673439,673439,0,0,31876172,0,4276 99,3,2024-09-07 09:40:20:581,1,532,0,0,606,5295,532,0 100,0,2024-09-07 09:40:21:687,136104,0.8,136340,0.9,272236,1.0,363362,2.50 100,1,2024-09-07 09:40:20:589,930386,930386,0,0,436644878312,4614668692,916038,11249,3099,378,391989,0 100,2,2024-09-07 09:40:21:843,673801,673790,11,0,31256963,0,5417 100,3,2024-09-07 09:40:21:732,1,532,2,0,627,9471,532,0 101,0,2024-09-07 09:40:22:017,134120,1.2,130744,1.1,256223,1.2,350225,2.25 101,1,2024-09-07 09:40:20:560,931854,931854,0,0,437877568456,4598859144,919455,9997,2402,368,391847,0 101,2,2024-09-07 09:40:21:812,667336,667336,0,0,36184879,0,4871 101,3,2024-09-07 09:40:20:946,1,532,5,0,1250,8121,532,0 102,0,2024-09-07 09:40:20:963,127027,0.6,130968,0.7,265814,0.5,347212,2.00 102,1,2024-09-07 09:40:21:150,931886,931886,0,0,437172525048,4589843434,919671,10193,2022,369,391891,0 102,2,2024-09-07 09:40:21:737,671215,671161,54,0,30568167,0,6768 102,3,2024-09-07 09:40:21:613,1,532,3,0,466,6161,532,0 103,0,2024-09-07 09:40:21:605,137607,0.5,137623,0.7,259393,0.5,357222,2.00 103,1,2024-09-07 09:40:21:625,930352,930352,0,0,436989038630,4610838248,916153,10999,3200,381,391862,0 103,2,2024-09-07 09:40:20:583,672497,672497,0,0,32403090,0,3766 103,3,2024-09-07 09:40:20:759,1,532,1,0,916,6271,532,0 104,0,2024-09-07 09:40:21:104,136992,0.7,137074,0.9,273669,0.7,365946,2.25 104,1,2024-09-07 09:40:21:609,933298,933298,0,0,437600108027,4594657458,920234,10513,2551,365,392168,0 104,2,2024-09-07 09:40:21:677,671490,671490,0,0,31508019,0,3941 104,3,2024-09-07 09:40:21:420,1,532,6,0,1245,9217,532,0 105,0,2024-09-07 09:40:21:073,132364,0.9,128964,1.1,270345,1.1,355212,2.75 105,1,2024-09-07 09:40:20:563,934355,934355,0,0,439203296251,4598942567,922750,9836,1769,365,392009,0 105,2,2024-09-07 09:40:21:325,670843,670843,0,0,31611984,0,4360 105,3,2024-09-07 09:40:21:324,1,532,2,0,399,7481,532,0 106,0,2024-09-07 09:40:20:946,126282,0.7,129327,0.9,265028,0.7,346253,2.50 106,1,2024-09-07 09:40:21:750,933546,933546,0,0,438353231693,4596490930,920927,10970,1649,368,391914,0 106,2,2024-09-07 09:40:20:756,671395,671395,0,0,29826127,0,2920 106,3,2024-09-07 09:40:20:677,1,532,1,0,1224,7982,532,0 107,0,2024-09-07 09:40:21:103,131257,0.7,131275,0.8,262533,0.7,349962,2.00 107,1,2024-09-07 09:40:20:589,931405,931405,0,0,436977155782,4605279205,918499,11513,1393,381,392234,0 107,2,2024-09-07 09:40:21:310,670855,670854,1,0,32644915,0,5024 107,3,2024-09-07 09:40:21:768,1,532,8,0,733,7970,532,0 108,0,2024-09-07 09:40:21:785,137537,0.4,138175,0.6,275157,0.4,367748,1.75 108,1,2024-09-07 09:40:21:295,934146,934146,0,0,439150073554,4579439925,926228,6947,971,367,391894,0 108,2,2024-09-07 09:40:21:798,671775,671775,0,0,29213795,0,4246 108,3,2024-09-07 09:40:21:329,1,532,6,0,749,10381,532,0 109,0,2024-09-07 09:40:21:789,136046,0.4,134875,0.6,270325,0.4,361203,1.75 109,1,2024-09-07 09:40:20:583,931607,931607,0,0,438194031702,4595713766,923301,7089,1217,382,392132,0 109,2,2024-09-07 09:40:20:925,672996,672996,0,0,29180545,0,3617 109,3,2024-09-07 09:40:21:150,1,532,2,0,630,6579,532,0 110,0,2024-09-07 09:40:21:797,129512,0.4,126006,0.6,263802,0.3,345686,1.75 110,1,2024-09-07 09:40:21:654,935479,935479,0,0,439858973483,4566858917,927945,5612,1922,368,392045,0 110,2,2024-09-07 09:40:21:305,672683,672683,0,0,27578937,0,4067 110,3,2024-09-07 09:40:20:697,1,532,2,0,722,7333,532,0 111,0,2024-09-07 09:40:21:415,130375,0.3,129629,0.5,259676,0.3,346186,1.75 111,1,2024-09-07 09:40:20:999,936952,936952,0,0,440306990686,4563789372,932408,4187,357,380,391690,0 111,2,2024-09-07 09:40:21:125,671811,671811,0,0,28134631,0,4823 111,3,2024-09-07 09:40:20:913,1,532,1,0,379,6039,532,0 112,0,2024-09-07 09:40:20:920,137175,0.3,136509,0.4,273848,0.2,363662,1.50 112,1,2024-09-07 09:40:20:835,935756,935756,0,0,439850232115,4564582130,929853,5212,691,380,391624,0 112,2,2024-09-07 09:40:21:137,672336,672335,1,0,26632770,0,5036 112,3,2024-09-07 09:40:20:592,1,532,1,0,282,5054,532,0 113,0,2024-09-07 09:40:20:882,135825,0.3,135749,0.5,271751,0.3,361704,1.75 113,1,2024-09-07 09:40:21:696,938425,938425,0,0,440881029971,4547909337,933170,4440,815,365,391664,0 113,2,2024-09-07 09:40:21:307,679173,679173,0,0,24278897,0,3813 113,3,2024-09-07 09:40:20:696,1,532,70,0,340,5611,532,0 114,0,2024-09-07 09:40:20:872,130998,0.3,131776,0.5,261997,0.2,349929,1.75 114,1,2024-09-07 09:40:20:717,935546,935546,0,0,440387826130,4571405197,928637,5293,1616,381,391565,0 114,2,2024-09-07 09:40:20:875,672918,672917,1,0,26221784,0,5069 114,3,2024-09-07 09:40:21:277,1,532,1,0,395,4249,532,0 115,0,2024-09-07 09:40:20:565,130313,0.3,130857,0.4,260814,0.2,346555,1.50 115,1,2024-09-07 09:40:20:571,936283,936283,0,0,440064113076,4570651949,928881,6045,1357,382,391757,0 115,2,2024-09-07 09:40:21:125,674390,674390,0,0,25715682,0,4382 115,3,2024-09-07 09:40:21:002,1,532,2,0,167,3023,532,0 116,0,2024-09-07 09:40:21:852,133982,0.6,133963,0.8,268455,0.5,359279,2.00 116,1,2024-09-07 09:40:20:821,931436,931436,0,0,437373878147,4607222664,920767,8223,2446,380,392089,0 116,2,2024-09-07 09:40:21:806,672361,672361,0,0,32842491,0,4475 116,3,2024-09-07 09:40:20:913,1,532,5,0,415,6498,532,0 117,0,2024-09-07 09:40:21:046,137544,0.7,136923,0.8,274421,0.8,366479,2.00 117,1,2024-09-07 09:40:21:599,932780,932780,0,0,438393565995,4586557640,922748,8807,1225,369,392033,0 117,2,2024-09-07 09:40:21:124,676415,676415,0,0,28807645,0,4303 117,3,2024-09-07 09:40:21:069,1,532,3,0,490,7191,532,0 118,0,2024-09-07 09:40:21:785,128602,0.6,132183,0.7,269463,0.6,352254,2.00 118,1,2024-09-07 09:40:20:588,931950,931950,0,0,437603520094,4597345696,918443,10384,3123,366,392054,0 118,2,2024-09-07 09:40:21:589,670594,670594,0,0,31290323,0,2842 118,3,2024-09-07 09:40:21:804,1,532,0,0,248,5914,532,0 119,0,2024-09-07 09:40:21:351,130860,0.6,131333,0.7,262264,0.6,349447,2.00 119,1,2024-09-07 09:40:20:555,933081,933081,0,0,438280974444,4590010047,921350,9963,1768,367,391857,0 119,2,2024-09-07 09:40:21:268,672530,672530,0,0,29137648,0,4174 119,3,2024-09-07 09:40:21:334,1,532,5,0,1358,9792,532,0 120,0,2024-09-07 09:40:21:627,131587,0.6,131303,0.8,263854,0.6,352023,2.25 120,1,2024-09-07 09:40:20:866,933660,933660,0,0,438049440056,4588385384,924359,8520,781,367,392144,0 120,2,2024-09-07 09:40:20:778,672639,672638,1,0,33869035,0,5281 120,3,2024-09-07 09:40:21:292,1,532,6,0,279,6573,532,0 121,0,2024-09-07 09:40:21:713,138197,1.3,137575,1.1,275610,1.7,367373,2.25 121,1,2024-09-07 09:40:21:671,933668,933668,0,0,438040413544,4576415253,924927,8040,701,366,391840,0 121,2,2024-09-07 09:40:21:128,672234,672234,0,0,31105903,0,4157 121,3,2024-09-07 09:40:20:738,1,532,3,0,330,6322,532,0 122,0,2024-09-07 09:40:21:826,134502,0.9,130903,0.9,274132,1.0,360750,2.25 122,1,2024-09-07 09:40:20:862,932438,932438,0,0,438415844702,4596453444,919975,10576,1887,366,392130,0 122,2,2024-09-07 09:40:21:318,673530,673455,75,0,35313099,0,5989 122,3,2024-09-07 09:40:20:594,1,532,3,0,512,8879,532,0 123,0,2024-09-07 09:40:20:962,129598,0.8,126030,0.9,263947,0.9,345747,2.25 123,1,2024-09-07 09:40:20:559,932939,932939,0,0,437893255042,4604082517,917725,12709,2505,369,392039,0 123,2,2024-09-07 09:40:21:019,670352,670351,1,0,30042082,0,5215 123,3,2024-09-07 09:40:21:134,1,532,1,0,478,6122,532,0 124,0,2024-09-07 09:40:20:927,134463,0.3,134486,0.5,253343,0.3,349369,1.75 124,1,2024-09-07 09:40:21:023,935493,935493,0,0,437941327055,4551621162,928677,5684,1132,365,392178,0 124,2,2024-09-07 09:40:21:010,673933,673880,53,0,27445792,0,6487 124,3,2024-09-07 09:40:20:758,1,532,1,0,490,5486,532,0 125,0,2024-09-07 09:40:21:426,136339,0.4,136057,0.5,273429,0.3,363953,1.75 125,1,2024-09-07 09:40:20:859,934300,934300,0,0,437887652802,4569394621,927316,6000,984,382,391813,0 125,2,2024-09-07 09:40:21:116,674506,674506,0,0,27455751,0,4534 125,3,2024-09-07 09:40:21:128,1,532,1,0,709,6282,532,0 126,0,2024-09-07 09:40:21:425,136059,0.4,139907,0.6,267647,0.4,362593,1.75 126,1,2024-09-07 09:40:20:553,937278,937278,0,0,440135470681,4554564544,932590,4377,311,365,391987,0 126,2,2024-09-07 09:40:20:629,676887,676887,0,0,28319435,0,4539 126,3,2024-09-07 09:40:20:909,1,532,15,0,268,5916,532,0 127,0,2024-09-07 09:40:21:591,129888,0.3,130207,0.5,259866,0.3,345315,1.75 127,1,2024-09-07 09:40:20:572,935355,935355,0,0,438407007912,4560874817,925981,7870,1504,364,392187,0 127,2,2024-09-07 09:40:20:637,671703,671699,4,0,26983646,0,5305 127,3,2024-09-07 09:40:21:268,1,532,2,0,968,5258,532,0 128,0,2024-09-07 09:40:21:523,130442,0.3,130437,0.4,260418,0.2,346631,1.50 128,1,2024-09-07 09:40:21:605,934409,934409,0,0,439503257537,4564541157,927901,5772,736,367,392031,0 128,2,2024-09-07 09:40:21:383,673224,673224,0,0,25204579,0,3171 128,3,2024-09-07 09:40:20:767,1,532,1,0,1082,8383,532,0 129,0,2024-09-07 09:40:20:993,136777,0.3,136149,0.4,272857,0.3,362941,1.50 129,1,2024-09-07 09:40:20:570,931815,931815,0,0,437503507130,4583209046,922783,7087,1945,379,391962,0 129,2,2024-09-07 09:40:20:686,673944,673940,4,0,27475286,0,5335 129,3,2024-09-07 09:40:20:693,1,532,1,0,506,7393,532,0 130,0,2024-09-07 09:40:21:725,137210,0.4,136761,0.6,274280,0.4,364847,1.75 130,1,2024-09-07 09:40:20:585,935571,935571,0,0,439790246739,4566787588,930770,4413,388,381,391825,0 130,2,2024-09-07 09:40:21:125,676781,676781,0,0,27524352,0,4067 130,3,2024-09-07 09:40:21:293,1,532,1,0,960,7403,532,0 131,0,2024-09-07 09:40:21:927,131665,0.4,132065,0.5,264585,0.3,351096,1.75 131,1,2024-09-07 09:40:21:825,935189,935189,0,0,439255085312,4580387061,928301,5696,1192,381,391865,0 131,2,2024-09-07 09:40:20:573,672221,672221,0,0,25419727,0,3979 131,3,2024-09-07 09:40:21:698,1,532,25,0,392,6561,532,0 132,0,2024-09-07 09:40:21:422,130678,0.4,131739,0.6,262229,0.4,348390,1.75 132,1,2024-09-07 09:40:20:580,931355,931355,0,0,437223625817,4596806158,918866,10291,2198,381,392532,0 132,2,2024-09-07 09:40:20:697,671659,671642,17,0,33288307,0,6451 132,3,2024-09-07 09:40:21:690,1,532,0,0,804,8744,532,0 133,0,2024-09-07 09:40:21:532,129988,0.4,132884,0.6,272813,0.3,355124,1.75 133,1,2024-09-07 09:40:20:583,930951,930951,0,0,437868949735,4611588076,918440,10820,1691,383,391914,0 133,2,2024-09-07 09:40:21:086,674582,674532,50,0,33697934,0,6861 133,3,2024-09-07 09:40:21:297,1,532,1,0,479,6296,532,0 134,0,2024-09-07 09:40:20:975,138273,0.5,138223,0.7,276777,0.5,368266,2.00 134,1,2024-09-07 09:40:20:587,933008,933008,0,0,437266877006,4581470277,921609,9252,2147,366,391718,0 134,2,2024-09-07 09:40:21:807,673280,673256,24,0,31721989,0,6207 134,3,2024-09-07 09:40:20:749,1,532,0,0,739,6351,532,0 135,0,2024-09-07 09:40:21:120,129623,0.9,129643,0.9,275177,1.1,354702,2.25 135,1,2024-09-07 09:40:21:588,932566,932566,0,0,438638276857,4609603885,920927,10072,1567,380,391805,0 135,2,2024-09-07 09:40:20:699,672332,672332,0,0,31918618,0,4503 135,3,2024-09-07 09:40:21:003,1,532,1,0,900,5367,532,0 136,0,2024-09-07 09:40:21:616,131207,0.5,131440,0.7,261811,0.5,349434,2.00 136,1,2024-09-07 09:40:21:441,933445,933445,0,0,437429230460,4583465291,923057,9118,1270,381,392135,0 136,2,2024-09-07 09:40:21:135,673754,673739,15,0,31215910,0,6007 136,3,2024-09-07 09:40:21:112,1,532,1,0,637,6301,532,0 137,0,2024-09-07 09:40:20:928,135425,0.5,132067,0.7,258561,0.5,351214,2.00 137,1,2024-09-07 09:40:20:575,931629,931629,0,0,437856694487,4586368235,918168,10789,2672,366,391898,0 137,2,2024-09-07 09:40:21:707,671619,671619,0,0,32873314,0,3185 137,3,2024-09-07 09:40:20:769,1,532,1,0,484,6927,532,0 138,0,2024-09-07 09:40:21:770,137067,0.8,137381,0.9,275255,0.9,366284,2.00 138,1,2024-09-07 09:40:21:689,932775,932775,0,0,438672800819,4597512461,920029,10775,1971,368,391954,0 138,2,2024-09-07 09:40:20:590,671889,671889,0,0,30208457,0,4988 138,3,2024-09-07 09:40:20:612,1,532,3,0,1200,8594,532,0 139,0,2024-09-07 09:40:21:357,134328,1.2,134728,1.0,269445,1.8,359681,2.50 139,1,2024-09-07 09:40:20:591,928896,928896,0,0,436253539161,4623027154,912948,12486,3462,380,392109,0 139,2,2024-09-07 09:40:20:701,670582,670582,0,0,33610040,0,3097 139,3,2024-09-07 09:40:21:663,1,532,1,0,432,6052,532,0 140,0,2024-09-07 09:40:21:592,130194,0.3,129343,0.5,259615,0.2,345954,1.75 140,1,2024-09-07 09:40:21:537,938193,938193,0,0,441675273758,4551375882,933609,4046,538,364,391606,0 140,2,2024-09-07 09:40:20:685,673393,673392,1,0,25697643,0,5036 140,3,2024-09-07 09:40:20:767,1,532,1,0,297,4896,532,0 141,0,2024-09-07 09:40:21:699,130158,0.3,133599,0.4,255168,0.2,345990,1.50 141,1,2024-09-07 09:40:20:862,936541,936541,0,0,440509509963,4573601789,929431,6024,1086,379,391614,0 141,2,2024-09-07 09:40:21:686,672456,672445,11,0,27348068,0,5369 141,3,2024-09-07 09:40:21:043,1,532,1,0,391,5974,532,0 142,0,2024-09-07 09:40:21:312,137264,0.3,136223,0.5,273037,0.3,364471,1.50 142,1,2024-09-07 09:40:20:584,934661,934661,0,0,438965179233,4573380524,928993,5209,459,382,392102,0 142,2,2024-09-07 09:40:21:298,671826,671794,32,0,27869423,0,6028 142,3,2024-09-07 09:40:21:746,1,532,1,0,484,5500,532,0 143,0,2024-09-07 09:40:21:370,135655,0.4,135667,0.6,272162,0.4,361704,1.75 143,1,2024-09-07 09:40:20:558,936569,936569,0,0,440143135434,4565979914,930482,5528,559,367,391722,0 143,2,2024-09-07 09:40:20:768,677290,677290,0,0,27386793,0,3123 143,3,2024-09-07 09:40:21:140,1,532,2,0,462,6593,532,0 144,0,2024-09-07 09:40:21:498,126592,0.6,130205,0.8,265004,0.6,346827,2.00 144,1,2024-09-07 09:40:20:579,931848,931848,0,0,437564990026,4590009805,922450,7430,1968,381,391733,0 144,2,2024-09-07 09:40:21:795,672166,672166,0,0,26107063,0,3673 144,3,2024-09-07 09:40:21:742,1,532,28,0,249,5145,532,0 145,0,2024-09-07 09:40:21:366,125771,0.5,125723,0.7,266901,0.4,343253,2.25 145,1,2024-09-07 09:40:20:557,931491,931491,0,0,437287776724,4600581180,919114,9910,2467,382,391759,0 145,2,2024-09-07 09:40:21:435,669446,669364,82,0,32045478,0,7814 145,3,2024-09-07 09:40:20:896,1,532,8,0,622,7476,532,0 146,0,2024-09-07 09:40:21:612,134436,0.5,133887,0.7,268782,0.5,357788,2.00 146,1,2024-09-07 09:40:21:585,932780,932780,0,0,438272374540,4604173238,918334,10643,3803,367,391770,0 146,2,2024-09-07 09:40:21:696,671904,671898,6,0,30386223,0,5151 146,3,2024-09-07 09:40:21:278,1,532,3,0,1520,9047,532,0 147,0,2024-09-07 09:40:21:697,137381,0.6,137161,0.7,273914,0.6,365652,2.25 147,1,2024-09-07 09:40:21:371,936027,936027,0,0,439911628874,4569013352,928738,6409,880,367,391791,0 147,2,2024-09-07 09:40:21:011,674280,674280,0,0,27136261,0,2968 147,3,2024-09-07 09:40:20:920,1,532,0,0,1626,8547,532,0 0,0,2024-09-07 09:40:31:801,128808,0.5,128882,0.7,273406,0.5,353556,2.00 0,1,2024-09-07 09:40:30:817,934695,934695,0,0,439451694213,4599481100,927154,6698,843,369,391896,0 0,2,2024-09-07 09:40:31:082,676478,676478,0,0,27187813,0,4480 0,3,2024-09-07 09:40:30:979,1,533,253,0,431,7609,533,0 1,0,2024-09-07 09:40:31:762,138131,0.9,137165,0.9,275830,1.2,368035,2.00 1,1,2024-09-07 09:40:30:556,933858,933858,0,0,438120275700,4589551593,925106,7284,1468,370,391859,0 1,2,2024-09-07 09:40:30:642,675131,675131,0,0,26563935,0,3380 1,3,2024-09-07 09:40:31:302,1,533,1,0,269,6603,533,0 2,0,2024-09-07 09:40:31:573,135025,0.6,134972,0.8,269621,0.7,359827,2.00 2,1,2024-09-07 09:40:30:864,936951,936951,0,0,440192706502,4573960398,931843,4180,928,379,391745,0 2,2,2024-09-07 09:40:31:271,674613,674613,0,0,25134037,0,3594 2,3,2024-09-07 09:40:30:690,1,533,1,0,357,4760,533,0 3,0,2024-09-07 09:40:31:776,130250,0.4,130181,0.6,259793,0.3,346647,2.00 3,1,2024-09-07 09:40:31:623,935808,935808,0,0,439984163723,4578463019,928339,6766,703,379,391716,0 3,2,2024-09-07 09:40:31:142,674623,674600,23,0,26329182,0,5851 3,3,2024-09-07 09:40:31:756,1,533,2,0,275,3547,533,0 4,0,2024-09-07 09:40:31:809,127128,0.3,130626,0.5,266159,0.3,348550,1.75 4,1,2024-09-07 09:40:30:597,932477,932477,0,0,437323156820,4629557202,916119,12669,3689,370,391992,0 4,2,2024-09-07 09:40:31:026,671275,671275,0,0,32081745,0,4534 4,3,2024-09-07 09:40:31:027,1,533,6,0,448,6743,533,0 5,0,2024-09-07 09:40:31:370,136795,0.4,137031,0.5,274045,0.4,364885,1.75 5,1,2024-09-07 09:40:30:759,933496,933496,0,0,439121235067,4618689234,920743,10338,2415,367,392005,0 5,2,2024-09-07 09:40:31:836,670800,670800,0,0,31084999,0,3582 5,3,2024-09-07 09:40:31:737,1,533,25,0,457,7226,533,0 6,0,2024-09-07 09:40:30:919,136195,0.5,135812,0.7,271944,0.5,362617,2.00 6,1,2024-09-07 09:40:30:750,935441,935441,0,0,439126757813,4584284720,925864,8118,1459,379,391702,0 6,2,2024-09-07 09:40:31:117,676816,676798,18,0,30063822,0,5535 6,3,2024-09-07 09:40:31:274,1,533,1,0,710,6266,533,0 7,0,2024-09-07 09:40:31:533,129127,0.5,129957,0.7,258803,0.5,344401,2.00 7,1,2024-09-07 09:40:30:850,933915,933915,0,0,438429265201,4601812120,920989,10694,2232,382,391747,0 7,2,2024-09-07 09:40:30:770,673336,673336,0,0,29228299,0,4791 7,3,2024-09-07 09:40:30:859,1,533,1,0,552,5912,533,0 8,0,2024-09-07 09:40:31:330,130500,0.3,129983,0.5,260795,0.3,347295,1.50 8,1,2024-09-07 09:40:31:024,931873,931873,0,0,438458920668,4606289819,917607,11134,3132,366,392853,0 8,2,2024-09-07 09:40:30:794,668408,668406,2,0,35014588,0,5112 8,3,2024-09-07 09:40:30:584,1,533,4,0,538,7853,533,0 9,0,2024-09-07 09:40:31:104,135971,0.3,132253,0.5,277098,0.3,363540,1.75 9,1,2024-09-07 09:40:30:581,932549,932549,0,0,438389313889,4614670848,919051,10846,2652,369,392001,0 9,2,2024-09-07 09:40:31:082,672696,672695,1,0,31719806,0,5281 9,3,2024-09-07 09:40:31:759,1,533,1,0,1273,8834,533,0 10,0,2024-09-07 09:40:31:601,136449,0.4,136054,0.5,272838,0.3,363391,1.75 10,1,2024-09-07 09:40:30:583,934700,934700,0,0,438680061422,4593232796,922332,10278,2090,381,391869,0 10,2,2024-09-07 09:40:30:763,677529,677529,0,0,34521677,0,4264 10,3,2024-09-07 09:40:30:880,1,533,0,0,649,5522,533,0 11,0,2024-09-07 09:40:31:029,131419,0.5,127529,0.6,266742,0.4,351232,1.75 11,1,2024-09-07 09:40:30:581,936062,936062,0,0,439062736842,4601242208,922584,10016,3462,383,391766,0 11,2,2024-09-07 09:40:31:123,672242,672242,0,0,30177210,0,4130 11,3,2024-09-07 09:40:31:299,1,533,1,0,843,7124,533,0 12,0,2024-09-07 09:40:31:067,131456,0.4,131526,0.5,262960,0.3,349014,1.75 12,1,2024-09-07 09:40:30:947,935300,935300,0,0,438758302308,4575808284,927620,6795,885,370,391960,0 12,2,2024-09-07 09:40:31:595,672334,672334,0,0,30122254,0,4390 12,3,2024-09-07 09:40:31:059,1,533,11,0,386,7101,533,0 13,0,2024-09-07 09:40:31:360,134583,0.4,134366,0.5,267980,0.4,357714,1.75 13,1,2024-09-07 09:40:31:539,933048,933048,0,0,438277222966,4604778609,923415,7338,2295,382,391740,0 13,2,2024-09-07 09:40:30:594,676357,676357,0,0,27063102,0,3287 13,3,2024-09-07 09:40:31:779,1,533,1,0,522,7382,533,0 14,0,2024-09-07 09:40:30:566,138142,0.4,139091,0.6,276088,0.4,367431,1.75 14,1,2024-09-07 09:40:31:566,939585,939585,0,0,441298865524,4564375766,932668,6189,728,364,391673,0 14,2,2024-09-07 09:40:30:773,676212,676182,30,0,28406428,0,6104 14,3,2024-09-07 09:40:31:115,1,533,1,0,1168,6041,533,0 15,0,2024-09-07 09:40:31:570,133626,0.4,133052,0.7,266959,0.4,355660,2.00 15,1,2024-09-07 09:40:31:614,936975,936975,0,0,439859336104,4579386535,929241,6249,1485,381,391619,0 15,2,2024-09-07 09:40:31:230,675458,675458,0,0,23834553,0,3622 15,3,2024-09-07 09:40:31:410,1,533,1,0,1126,7325,533,0 16,0,2024-09-07 09:40:30:954,130826,0.5,131609,0.7,262477,0.5,349300,2.00 16,1,2024-09-07 09:40:30:567,936511,936511,0,0,439669588498,4595044457,928084,7125,1302,370,392194,0 16,2,2024-09-07 09:40:31:434,673276,673276,0,0,28159730,0,4719 16,3,2024-09-07 09:40:31:154,1,533,1,0,358,6657,533,0 17,0,2024-09-07 09:40:31:863,135834,0.5,132280,0.7,259500,0.5,352156,2.00 17,1,2024-09-07 09:40:30:581,934593,934593,0,0,438883817128,4602083674,925285,7558,1750,368,392075,0 17,2,2024-09-07 09:40:31:694,677029,677028,1,0,28973354,0,5050 17,3,2024-09-07 09:40:30:585,1,533,1,0,518,7849,533,0 18,0,2024-09-07 09:40:30:943,136699,0.7,137549,0.8,274094,0.7,366142,2.25 18,1,2024-09-07 09:40:31:639,938611,938611,0,0,440108687086,4563359368,932495,5119,997,367,391725,0 18,2,2024-09-07 09:40:31:772,676955,676955,0,0,25707738,0,3541 18,3,2024-09-07 09:40:30:901,1,533,31,0,1059,4957,533,0 19,0,2024-09-07 09:40:31:574,135096,0.7,135375,0.8,269496,0.7,359105,2.25 19,1,2024-09-07 09:40:30:567,937925,937925,0,0,441378746757,4574591603,930935,5905,1085,367,391777,0 19,2,2024-09-07 09:40:31:773,678252,678252,0,0,24057130,0,3988 19,3,2024-09-07 09:40:31:130,1,533,8,0,524,4265,533,0 20,0,2024-09-07 09:40:31:348,129552,0.5,129845,0.7,259183,0.5,345789,2.00 20,1,2024-09-07 09:40:30:581,934609,934609,0,0,438965303246,4596082577,925399,7841,1369,369,391922,0 20,2,2024-09-07 09:40:30:938,673145,673145,0,0,29187504,0,3721 20,3,2024-09-07 09:40:30:588,1,533,1,0,468,8925,533,0 21,0,2024-09-07 09:40:31:147,130162,0.4,130299,0.6,260572,0.4,345976,1.75 21,1,2024-09-07 09:40:31:538,933173,933173,0,0,437160605190,4610262664,919013,11041,3119,368,392016,0 21,2,2024-09-07 09:40:31:070,670585,670565,20,0,34205192,0,5617 21,3,2024-09-07 09:40:31:408,1,533,0,0,713,6790,533,0 22,0,2024-09-07 09:40:31:732,136591,0.4,137249,0.6,273764,0.4,363362,2.00 22,1,2024-09-07 09:40:31:024,933993,933993,0,0,438000815519,4607974273,918760,12006,3227,382,391822,0 22,2,2024-09-07 09:40:30:762,672153,672127,26,0,28601683,0,6328 22,3,2024-09-07 09:40:31:069,1,533,1,0,228,4444,533,0 23,0,2024-09-07 09:40:31:367,135644,0.5,135236,0.7,270390,0.5,360809,2.25 23,1,2024-09-07 09:40:31:008,935006,935006,0,0,439598411627,4610466255,919299,11299,4408,365,391690,0 23,2,2024-09-07 09:40:31:104,678356,678356,0,0,27283780,0,3773 23,3,2024-09-07 09:40:31:772,1,533,1,0,855,8321,533,0 24,0,2024-09-07 09:40:30:863,131593,0.4,130829,0.6,263207,0.4,349241,1.75 24,1,2024-09-07 09:40:30:603,934153,934153,0,0,438527390727,4588928330,925186,7290,1677,367,392269,0 24,2,2024-09-07 09:40:31:072,672678,672678,0,0,32401826,0,4438 24,3,2024-09-07 09:40:31:698,1,533,1,0,468,6722,533,0 25,0,2024-09-07 09:40:31:364,133676,0.4,130307,0.6,255502,0.3,348006,1.75 25,1,2024-09-07 09:40:30:558,933265,933265,0,0,438247052380,4620380652,918282,12011,2972,369,391928,0 25,2,2024-09-07 09:40:31:618,671288,671288,0,0,33794641,0,3978 25,3,2024-09-07 09:40:31:027,1,533,1,0,532,5925,533,0 26,0,2024-09-07 09:40:31:720,134430,0.4,131549,0.6,276033,0.3,359613,1.75 26,1,2024-09-07 09:40:31:567,936077,936077,0,0,439373125971,4597616875,923237,10388,2452,380,391748,0 26,2,2024-09-07 09:40:30:871,673989,673989,0,0,35801011,0,4689 26,3,2024-09-07 09:40:31:712,1,533,2,0,796,5785,533,0 27,0,2024-09-07 09:40:31:739,137437,0.4,138103,0.6,274452,0.4,365722,2.25 27,1,2024-09-07 09:40:31:681,936811,936811,0,0,440889584692,4589447156,928255,7287,1269,381,391626,0 27,2,2024-09-07 09:40:30:874,673969,673904,65,0,30907400,0,5699 27,3,2024-09-07 09:40:31:023,1,533,1,0,564,4588,533,0 28,0,2024-09-07 09:40:31:408,133036,0.4,132789,0.6,266108,0.3,354339,1.75 28,1,2024-09-07 09:40:30:800,937497,937497,0,0,440513784166,4590708115,929720,6063,1714,382,391698,0 28,2,2024-09-07 09:40:31:771,673604,673604,0,0,27174744,0,2915 28,3,2024-09-07 09:40:31:793,1,533,3,0,502,5327,533,0 29,0,2024-09-07 09:40:31:354,134996,0.3,131392,0.6,257809,0.3,351207,1.75 29,1,2024-09-07 09:40:31:563,939457,939457,0,0,441537799595,4565449951,933899,4796,762,367,391809,0 29,2,2024-09-07 09:40:31:091,672744,672744,0,0,26724693,0,4986 29,3,2024-09-07 09:40:30:966,1,533,10,0,459,5784,533,0 30,0,2024-09-07 09:40:31:470,132117,0.4,128595,0.6,269538,0.4,352430,2.00 30,1,2024-09-07 09:40:30:571,938966,938966,0,0,441493472520,4580462595,931988,6076,902,380,391672,0 30,2,2024-09-07 09:40:31:274,675467,675467,0,0,25749401,0,4192 30,3,2024-09-07 09:40:30:581,1,533,18,0,519,5126,533,0 31,0,2024-09-07 09:40:31:805,137969,0.5,138450,0.6,276161,0.4,368374,2.00 31,1,2024-09-07 09:40:30:567,942048,942048,0,0,443264810149,4549160527,936724,4313,1011,356,391712,0 31,2,2024-09-07 09:40:31:274,674153,674153,0,0,28262596,0,4470 31,3,2024-09-07 09:40:31:718,1,533,1,0,220,4505,533,0 32,0,2024-09-07 09:40:31:415,135483,0.3,136239,0.5,271311,0.3,361358,1.75 32,1,2024-09-07 09:40:30:804,937857,937857,0,0,440512267053,4577678848,932052,5096,709,381,391646,0 32,2,2024-09-07 09:40:30:939,675298,675298,0,0,24673234,0,3922 32,3,2024-09-07 09:40:31:023,1,533,13,0,304,4391,533,0 33,0,2024-09-07 09:40:31:504,130814,0.3,130414,0.4,261048,0.2,347689,1.50 33,1,2024-09-07 09:40:30:582,938520,938520,0,0,441103201754,4568298656,931259,6087,1174,368,391730,0 33,2,2024-09-07 09:40:30:762,675337,675302,35,0,27356610,0,7012 33,3,2024-09-07 09:40:30:896,1,533,0,0,329,4946,533,0 34,0,2024-09-07 09:40:30:941,131361,0.3,134975,0.4,257868,0.2,347987,1.75 34,1,2024-09-07 09:40:31:044,940449,940449,0,0,441833698319,4542987100,937938,2489,22,367,391637,0 34,2,2024-09-07 09:40:30:774,675429,675429,0,0,25882918,0,4562 34,3,2024-09-07 09:40:31:688,1,533,1,0,320,4078,533,0 35,0,2024-09-07 09:40:30:872,136088,0.3,136780,0.5,274855,0.2,365444,1.75 35,1,2024-09-07 09:40:31:070,937288,937288,0,0,440060792189,4564289115,930628,5446,1214,382,391769,0 35,2,2024-09-07 09:40:31:583,673554,673554,0,0,28423990,0,4055 35,3,2024-09-07 09:40:30:913,1,533,1,0,418,4914,533,0 36,0,2024-09-07 09:40:31:514,136615,0.5,136475,0.7,272890,0.5,363392,2.00 36,1,2024-09-07 09:40:30:583,937066,937066,0,0,439119601834,4584939029,924777,10067,2222,366,391759,0 36,2,2024-09-07 09:40:31:774,677093,677093,0,0,29741785,0,3875 36,3,2024-09-07 09:40:30:868,1,533,3,0,416,7193,533,0 37,0,2024-09-07 09:40:31:391,129375,0.5,129266,0.7,258747,0.5,345083,2.00 37,1,2024-09-07 09:40:30:583,935838,935831,0,7,439772701364,4590441521,924708,8447,2676,365,391770,0 37,2,2024-09-07 09:40:31:142,671372,671357,15,0,30186594,0,5815 37,3,2024-09-07 09:40:31:767,1,533,1,0,888,7394,533,0 38,0,2024-09-07 09:40:31:443,129465,0.4,125625,0.6,262852,0.3,344333,2.00 38,1,2024-09-07 09:40:31:615,936760,936760,0,0,439879255415,4596091695,923529,10712,2519,368,391821,0 38,2,2024-09-07 09:40:30:763,671978,671931,47,0,30317408,0,6710 38,3,2024-09-07 09:40:30:996,1,533,1,0,689,6707,533,0 39,0,2024-09-07 09:40:31:784,139468,0.5,136393,0.7,265745,0.4,363254,2.00 39,1,2024-09-07 09:40:30:716,934956,934956,0,0,438891999526,4601998750,919159,12327,3470,365,391865,0 39,2,2024-09-07 09:40:31:425,674605,674605,0,0,28019342,0,3391 39,3,2024-09-07 09:40:30:712,1,533,2,0,525,6283,533,0 40,0,2024-09-07 09:40:31:496,135251,0.9,135800,1.0,271187,1.1,361527,2.75 40,1,2024-09-07 09:40:30:591,937146,937146,0,0,438236486099,4581015735,925125,9842,2179,368,391668,0 40,2,2024-09-07 09:40:31:314,675679,675678,1,0,33488794,0,5137 40,3,2024-09-07 09:40:31:143,1,533,2,0,1028,7398,533,0 41,0,2024-09-07 09:40:31:046,130940,1.3,133782,1.1,255197,1.8,347026,3.00 41,1,2024-09-07 09:40:30:776,935544,935544,0,0,439020816660,4591445939,923615,9994,1935,369,391878,0 41,2,2024-09-07 09:40:30:769,670191,670190,1,0,32234148,0,5408 41,3,2024-09-07 09:40:31:686,1,533,4,0,366,5771,533,0 42,0,2024-09-07 09:40:31:488,129999,0.8,129727,0.9,259633,0.8,343823,2.50 42,1,2024-09-07 09:40:31:453,932374,932374,0,0,438009262984,4602951889,917359,12107,2908,380,391675,0 42,2,2024-09-07 09:40:31:133,671894,671894,0,0,31405987,0,3975 42,3,2024-09-07 09:40:31:023,1,533,1,0,892,4717,533,0 43,0,2024-09-07 09:40:30:926,132541,0.6,129117,0.9,270383,0.6,354873,2.25 43,1,2024-09-07 09:40:30:581,936022,936022,0,0,439966205267,4594558123,923151,10611,2260,366,391696,0 43,2,2024-09-07 09:40:31:768,674985,674985,0,0,30802856,0,4723 43,3,2024-09-07 09:40:31:772,1,533,1,0,571,7341,533,0 44,0,2024-09-07 09:40:30:857,138296,0.4,138259,0.6,277222,0.4,367958,1.75 44,1,2024-09-07 09:40:30:562,938582,938582,0,0,440316102455,4548933681,930780,6215,1587,356,391809,0 44,2,2024-09-07 09:40:31:271,673645,673645,0,0,25573105,0,4344 44,3,2024-09-07 09:40:31:101,1,533,3,0,817,5790,533,0 45,0,2024-09-07 09:40:31:765,132223,0.5,129177,0.7,271168,0.5,355815,2.00 45,1,2024-09-07 09:40:31:023,937540,937540,0,0,441450516329,4586672911,930026,6638,876,382,391917,0 45,2,2024-09-07 09:40:31:269,674012,674012,0,0,25826735,0,3596 45,3,2024-09-07 09:40:30:938,1,533,1,0,365,4999,533,0 46,0,2024-09-07 09:40:30:951,130552,0.4,129997,0.7,260862,0.4,346486,2.00 46,1,2024-09-07 09:40:30:580,938876,938876,0,0,441105938851,4557391563,932469,5543,864,366,391709,0 46,2,2024-09-07 09:40:30:591,674833,674833,0,0,26224485,0,4443 46,3,2024-09-07 09:40:31:148,1,533,0,0,908,6387,533,0 47,0,2024-09-07 09:40:31:102,131828,0.4,131908,0.6,264875,0.3,351086,1.75 47,1,2024-09-07 09:40:30:574,940235,940235,0,0,442377171421,4567657614,934995,4401,839,365,391641,0 47,2,2024-09-07 09:40:30:911,677689,677689,0,0,25985466,0,4477 47,3,2024-09-07 09:40:31:115,1,533,1,0,600,5986,533,0 48,0,2024-09-07 09:40:31:504,138338,0.3,138543,0.4,276360,0.2,367995,1.50 48,1,2024-09-07 09:40:31:024,937661,937661,0,0,440601950326,4575922885,931866,5221,574,384,391710,0 48,2,2024-09-07 09:40:30:700,674592,674592,0,0,23843835,0,3411 48,3,2024-09-07 09:40:30:754,1,533,1,0,339,4187,533,0 49,0,2024-09-07 09:40:31:735,139331,0.4,136683,0.5,265581,0.3,363114,1.75 49,1,2024-09-07 09:40:31:024,937055,937055,0,0,440271109385,4575085413,931486,4358,1211,382,391809,0 49,2,2024-09-07 09:40:31:797,676814,676814,0,0,26373678,0,4426 49,3,2024-09-07 09:40:31:420,1,533,2,0,992,6203,533,0 50,0,2024-09-07 09:40:31:511,130517,0.3,128761,0.5,259451,0.2,345458,1.75 50,1,2024-09-07 09:40:31:034,940474,940474,0,0,441468564291,4562899435,934527,5279,668,368,391565,0 50,2,2024-09-07 09:40:31:070,673562,673562,0,0,24221636,0,4490 50,3,2024-09-07 09:40:31:291,1,533,0,0,617,5460,533,0 51,0,2024-09-07 09:40:31:690,133679,0.3,130841,0.4,254778,0.2,347777,1.75 51,1,2024-09-07 09:40:31:690,941190,941190,0,0,442985993246,4565689088,936422,3712,1056,365,391706,0 51,2,2024-09-07 09:40:31:317,674220,674220,0,0,23504164,0,3337 51,3,2024-09-07 09:40:31:029,1,533,10,0,678,3803,533,0 52,0,2024-09-07 09:40:31:437,137170,0.5,136800,0.6,273974,0.4,364250,2.00 52,1,2024-09-07 09:40:30:583,936355,936355,0,0,438397918655,4587338795,923125,11125,2105,368,391722,0 52,2,2024-09-07 09:40:31:770,670125,670087,38,0,31189434,0,6742 52,3,2024-09-07 09:40:30:676,1,533,3,0,1782,6701,533,0 53,0,2024-09-07 09:40:31:785,135317,0.7,131172,0.8,274475,0.8,360346,2.50 53,1,2024-09-07 09:40:30:776,934647,934647,0,0,439050383727,4604776440,919283,11520,3844,367,391968,0 53,2,2024-09-07 09:40:31:298,677773,677772,1,0,28080789,0,5455 53,3,2024-09-07 09:40:30:700,1,533,0,0,308,5178,533,0 54,0,2024-09-07 09:40:31:623,128782,0.6,129127,0.8,257122,0.5,343159,2.25 54,1,2024-09-07 09:40:30:582,936639,936639,0,0,439840774073,4573420304,926571,8434,1634,366,391810,0 54,2,2024-09-07 09:40:30:873,673372,673340,32,0,31781190,0,6397 54,3,2024-09-07 09:40:30:772,1,533,8,0,676,7057,533,0 55,0,2024-09-07 09:40:31:777,125600,0.5,129593,0.7,262634,0.5,342340,2.25 55,1,2024-09-07 09:40:30:773,937967,937967,0,0,439938955817,4572724512,928281,8365,1321,365,391731,0 55,2,2024-09-07 09:40:30:729,671597,671541,56,0,30002511,0,7239 55,3,2024-09-07 09:40:30:676,1,533,1,0,304,4899,533,0 56,0,2024-09-07 09:40:31:561,137946,1.0,129983,1.0,267862,1.3,359065,2.50 56,1,2024-09-07 09:40:30:583,932474,932474,0,0,437926979348,4621822003,918144,11417,2913,381,391867,0 56,2,2024-09-07 09:40:31:313,673692,673570,122,0,31579604,0,7432 56,3,2024-09-07 09:40:31:061,1,533,0,0,705,6295,533,0 57,0,2024-09-07 09:40:30:990,135509,1.6,135440,1.3,271297,2.2,362421,3.25 57,1,2024-09-07 09:40:31:000,934147,934147,0,0,439177896986,4605237122,921938,9924,2285,366,392032,0 57,2,2024-09-07 09:40:31:316,676258,676258,0,0,32477145,0,4317 57,3,2024-09-07 09:40:31:743,1,533,1,0,455,5902,533,0 58,0,2024-09-07 09:40:30:557,130898,1.0,127157,1.0,265943,1.2,347869,2.75 58,1,2024-09-07 09:40:30:577,935743,935740,0,3,439734133120,4605966235,921936,10483,3321,367,391675,3 58,2,2024-09-07 09:40:31:072,672556,672556,0,0,30789958,0,3483 58,3,2024-09-07 09:40:31:080,1,533,5,0,1043,5830,533,0 59,0,2024-09-07 09:40:31:773,130656,0.8,130627,0.9,260418,0.8,345246,2.75 59,1,2024-09-07 09:40:30:818,934325,934325,0,0,438875868767,4607273194,919412,11774,3139,369,391653,0 59,2,2024-09-07 09:40:30:583,672614,672614,0,0,29417330,0,3727 59,3,2024-09-07 09:40:31:743,1,533,2,0,1015,6513,533,0 60,0,2024-09-07 09:40:31:735,132518,0.5,132944,0.7,265485,0.4,353433,1.75 60,1,2024-09-07 09:40:30:773,939028,939028,0,0,440743840872,4572905075,932838,5254,936,370,392031,0 60,2,2024-09-07 09:40:31:157,675500,675500,0,0,27972739,0,3811 60,3,2024-09-07 09:40:31:266,1,533,2,0,409,6137,533,0 61,0,2024-09-07 09:40:31:518,138016,0.7,138574,0.8,275898,0.7,368033,2.00 61,1,2024-09-07 09:40:30:777,935696,935696,0,0,439732968592,4602727329,925767,8348,1581,382,392127,0 61,2,2024-09-07 09:40:31:119,675096,675029,67,0,28888394,0,6411 61,3,2024-09-07 09:40:31:691,1,533,18,0,607,7541,533,0 62,0,2024-09-07 09:40:31:707,135763,0.6,139292,0.8,265980,0.7,361252,2.00 62,1,2024-09-07 09:40:31:112,940810,940804,0,6,441843371379,4552319276,936641,3883,280,365,391975,6 62,2,2024-09-07 09:40:31:649,672728,672727,1,0,28049263,0,5555 62,3,2024-09-07 09:40:31:144,1,533,2,0,482,4254,533,0 63,0,2024-09-07 09:40:31:487,130799,0.4,130487,0.6,261934,0.3,348078,1.75 63,1,2024-09-07 09:40:30:805,938094,938088,0,6,440814692599,4574093026,932329,5008,751,381,391800,6 63,2,2024-09-07 09:40:30:773,674219,674219,0,0,27209720,0,4369 63,3,2024-09-07 09:40:31:758,1,533,0,0,667,5584,533,0 64,0,2024-09-07 09:40:31:522,130616,0.5,130721,0.6,260669,0.4,347175,1.75 64,1,2024-09-07 09:40:30:752,937356,937356,0,0,439933135110,4579560661,929460,6124,1772,370,391794,0 64,2,2024-09-07 09:40:31:155,678630,678611,19,0,26141710,0,6121 64,3,2024-09-07 09:40:31:144,1,533,12,0,651,5535,533,0 65,0,2024-09-07 09:40:31:776,135784,0.6,136143,0.7,271872,0.6,362880,2.00 65,1,2024-09-07 09:40:30:864,935945,935945,0,0,438811164569,4585106377,929189,5958,798,381,391901,0 65,2,2024-09-07 09:40:31:697,673305,673305,0,0,30645250,0,3367 65,3,2024-09-07 09:40:31:694,1,533,13,0,782,6256,533,0 66,0,2024-09-07 09:40:31:797,135764,0.6,135242,0.8,270620,0.6,360612,2.25 66,1,2024-09-07 09:40:31:300,937748,937748,0,0,440646683050,4584691667,931598,5428,722,380,391743,0 66,2,2024-09-07 09:40:31:131,678795,678792,3,0,27939319,0,5455 66,3,2024-09-07 09:40:31:091,1,533,2,0,291,4536,533,0 67,0,2024-09-07 09:40:31:421,129799,0.5,129518,0.7,259510,0.5,345200,2.00 67,1,2024-09-07 09:40:30:773,937869,937868,0,1,440087616292,4580130048,931587,5467,814,380,391787,1 67,2,2024-09-07 09:40:30:590,675561,675546,15,0,27060002,0,6205 67,3,2024-09-07 09:40:31:774,1,533,1,0,595,5721,533,0 68,0,2024-09-07 09:40:30:652,130341,0.5,130213,0.7,259380,0.5,346810,2.00 68,1,2024-09-07 09:40:30:579,933514,933514,0,0,437827850058,4603019494,921115,8998,3401,381,391953,0 68,2,2024-09-07 09:40:31:052,669182,669082,100,0,34742181,0,8578 68,3,2024-09-07 09:40:30:739,1,533,10,0,417,6831,533,0 69,0,2024-09-07 09:40:31:783,135327,0.6,136350,0.8,271728,0.6,361170,2.25 69,1,2024-09-07 09:40:31:024,932860,932860,0,0,438927449271,4622812523,920599,9499,2762,383,391994,0 69,2,2024-09-07 09:40:31:760,672582,672553,29,0,35795709,0,6912 69,3,2024-09-07 09:40:30:780,1,533,5,0,698,7800,533,0 70,0,2024-09-07 09:40:31:544,135002,0.9,135350,1.0,272388,0.8,360340,2.50 70,1,2024-09-07 09:40:30:804,938710,938710,0,0,441800773607,4582259577,931453,6495,762,366,391725,0 70,2,2024-09-07 09:40:31:325,677551,677551,0,0,30083755,0,4323 70,3,2024-09-07 09:40:30:747,1,533,0,0,854,5708,533,0 71,0,2024-09-07 09:40:31:369,130200,1.1,129971,1.1,261129,1.5,348244,2.75 71,1,2024-09-07 09:40:31:602,936194,936194,0,0,439841672296,4589123799,924799,10139,1256,368,391738,0 71,2,2024-09-07 09:40:31:070,672165,672165,0,0,30714546,0,4352 71,3,2024-09-07 09:40:31:771,1,533,4,0,644,6273,533,0 72,0,2024-09-07 09:40:31:039,134987,0.5,131919,0.7,257419,0.5,349404,2.00 72,1,2024-09-07 09:40:31:025,934878,934878,0,0,439127660472,4605054806,921422,11173,2283,369,391819,0 72,2,2024-09-07 09:40:31:775,671340,671340,0,0,32338962,0,3983 72,3,2024-09-07 09:40:31:772,1,533,1,0,564,7556,533,0 73,0,2024-09-07 09:40:31:124,130298,0.4,133507,0.6,273199,0.4,355799,2.00 73,1,2024-09-07 09:40:30:783,937568,937568,0,0,439776081590,4564959966,931327,5816,425,367,391858,0 73,2,2024-09-07 09:40:31:771,674810,674810,0,0,32803466,0,4728 73,3,2024-09-07 09:40:30:976,1,533,2,0,486,6581,533,0 74,0,2024-09-07 09:40:31:330,139087,0.5,142389,0.7,271552,0.5,368274,2.00 74,1,2024-09-07 09:40:30:645,935659,935659,0,0,439308479959,4585724094,925205,8529,1925,381,391762,0 74,2,2024-09-07 09:40:31:024,674880,674880,0,0,28589121,0,4253 74,3,2024-09-07 09:40:31:446,1,533,2,0,522,6369,533,0 75,0,2024-09-07 09:40:31:809,134191,0.6,133375,0.8,267699,0.6,357372,2.25 75,1,2024-09-07 09:40:31:594,936834,936834,0,0,439662014143,4581871770,928938,7033,863,380,391739,0 75,2,2024-09-07 09:40:31:350,672116,672116,0,0,34260116,0,4766 75,3,2024-09-07 09:40:31:069,1,533,1,0,702,6233,533,0 76,0,2024-09-07 09:40:30:589,130519,0.5,129785,0.7,260107,0.5,348144,2.25 76,1,2024-09-07 09:40:30:812,936264,936264,0,0,439887770070,4586560799,929631,5666,967,382,391723,0 76,2,2024-09-07 09:40:31:099,675867,675864,3,0,28892871,0,5265 76,3,2024-09-07 09:40:31:145,1,533,1,0,227,4919,533,0 77,0,2024-09-07 09:40:31:725,131353,0.5,131683,0.7,263475,0.5,350070,2.00 77,1,2024-09-07 09:40:30:832,936544,936544,0,0,439734260668,4584938607,929698,6250,596,381,391869,0 77,2,2024-09-07 09:40:31:282,674160,674160,0,0,28464031,0,3890 77,3,2024-09-07 09:40:31:107,1,533,1,0,401,5619,533,0 78,0,2024-09-07 09:40:31:733,138448,0.4,137911,0.6,276647,0.4,366525,2.00 78,1,2024-09-07 09:40:30:613,936670,936670,0,0,439773933344,4584095574,925896,8247,2527,367,391670,0 78,2,2024-09-07 09:40:31:408,675756,675743,13,0,26433249,0,8313 78,3,2024-09-07 09:40:31:142,1,533,4,0,311,4608,533,0 79,0,2024-09-07 09:40:31:399,131006,0.4,134145,0.6,274729,0.4,357849,2.25 79,1,2024-09-07 09:40:30:586,939084,939084,0,0,440753297934,4565311431,931345,6382,1357,367,391682,0 79,2,2024-09-07 09:40:31:073,676000,676000,0,0,25401335,0,4195 79,3,2024-09-07 09:40:30:749,1,533,1,0,418,6317,533,0 80,0,2024-09-07 09:40:31:088,129597,0.5,133211,0.7,254801,0.5,344962,2.00 80,1,2024-09-07 09:40:31:650,936444,936444,0,0,440155405226,4579142552,930612,5404,428,368,392269,0 80,2,2024-09-07 09:40:31:099,675807,675807,0,0,25974725,0,4433 80,3,2024-09-07 09:40:30:579,1,533,2,0,296,6247,533,0 81,0,2024-09-07 09:40:31:544,130372,0.5,133430,0.7,254536,0.5,346095,2.00 81,1,2024-09-07 09:40:31:681,935442,935442,0,0,439474288383,4592196264,928036,6767,639,382,391885,0 81,2,2024-09-07 09:40:31:124,672868,672805,63,0,28941329,0,5932 81,3,2024-09-07 09:40:31:117,1,533,2,0,719,6013,533,0 82,0,2024-09-07 09:40:31:546,136446,0.5,136706,0.7,273858,0.4,363313,2.00 82,1,2024-09-07 09:40:30:583,937872,937868,0,4,440945084956,4582171328,932785,4262,821,381,391768,4 82,2,2024-09-07 09:40:31:697,675337,675337,0,0,24099745,0,4484 82,3,2024-09-07 09:40:31:777,1,533,1,0,363,5016,533,0 83,0,2024-09-07 09:40:31:541,135899,0.6,135895,0.8,271225,0.6,360483,2.25 83,1,2024-09-07 09:40:30:551,936653,936653,0,0,439237282927,4576960809,930292,5904,457,382,391709,0 83,2,2024-09-07 09:40:30:777,677733,677708,25,0,26115558,0,5612 83,3,2024-09-07 09:40:30:749,1,533,6,0,1260,6523,533,0 84,0,2024-09-07 09:40:31:804,129626,0.7,129810,0.9,259240,0.7,346453,2.25 84,1,2024-09-07 09:40:31:052,935423,935423,0,0,438782819634,4585517470,925177,8719,1527,367,391967,0 84,2,2024-09-07 09:40:30:572,672448,672418,30,0,34275265,0,5971 84,3,2024-09-07 09:40:31:155,1,533,11,0,908,7426,533,0 85,0,2024-09-07 09:40:31:034,125140,0.5,125198,0.7,265709,0.5,343735,2.25 85,1,2024-09-07 09:40:30:568,932049,932049,0,0,438669923639,4629775397,917770,11621,2658,381,392092,0 85,2,2024-09-07 09:40:30:870,671356,671356,0,0,32012248,0,4255 85,3,2024-09-07 09:40:30:686,1,533,1,0,789,6069,533,0 86,0,2024-09-07 09:40:30:983,134869,0.6,138548,0.7,265045,0.6,359508,2.25 86,1,2024-09-07 09:40:30:824,934808,934808,0,0,439633645858,4603813803,923502,9328,1978,366,391995,0 86,2,2024-09-07 09:40:30:867,673046,673045,1,0,34493140,0,5004 86,3,2024-09-07 09:40:30:592,1,533,14,0,308,7589,533,0 87,0,2024-09-07 09:40:31:358,137352,0.9,137094,0.9,274161,1.1,366154,2.25 87,1,2024-09-07 09:40:30:562,933754,933754,0,0,438636585394,4594603091,921546,10492,1716,366,392076,0 87,2,2024-09-07 09:40:31:071,675738,675732,6,0,30471709,0,6323 87,3,2024-09-07 09:40:31:805,1,533,265,0,473,7946,533,0 88,0,2024-09-07 09:40:31:441,132689,0.5,133285,0.6,266314,0.4,354146,1.75 88,1,2024-09-07 09:40:30:568,933569,933569,0,0,439066504724,4596962059,920919,10033,2617,365,392084,0 88,2,2024-09-07 09:40:30:687,672868,672868,0,0,32929491,0,4465 88,3,2024-09-07 09:40:31:271,1,533,6,0,435,6779,533,0 89,0,2024-09-07 09:40:31:781,135138,0.4,130999,0.6,258770,0.4,351293,1.75 89,1,2024-09-07 09:40:30:556,933988,933988,0,0,438515658930,4611717480,922554,9617,1817,382,391866,0 89,2,2024-09-07 09:40:31:131,672490,672490,0,0,31336669,0,3173 89,3,2024-09-07 09:40:31:796,1,533,1,0,468,8700,533,0 90,0,2024-09-07 09:40:31:659,128702,0.4,132315,0.6,269615,0.4,352189,1.75 90,1,2024-09-07 09:40:30:590,935047,935047,0,0,438807812296,4591434934,926528,7785,734,380,391825,0 90,2,2024-09-07 09:40:31:418,672964,672964,0,0,33581917,0,3608 90,3,2024-09-07 09:40:30:948,1,533,2,0,322,6497,533,0 91,0,2024-09-07 09:40:30:947,138568,0.5,134371,0.6,280977,0.4,368570,1.75 91,1,2024-09-07 09:40:30:556,933136,933136,0,0,438425492743,4608228419,921526,9598,2012,381,392047,0 91,2,2024-09-07 09:40:31:354,675114,675114,0,0,29612209,0,4713 91,3,2024-09-07 09:40:30:606,1,533,7,0,216,5018,533,0 92,0,2024-09-07 09:40:31:453,136953,0.5,139905,0.6,266773,0.5,361480,1.75 92,1,2024-09-07 09:40:30:582,935699,935699,0,0,440608685281,4601076370,928182,6310,1207,381,392136,0 92,2,2024-09-07 09:40:31:355,674607,674607,0,0,26668513,0,3259 92,3,2024-09-07 09:40:31:043,1,533,15,0,167,4568,533,0 93,0,2024-09-07 09:40:30:959,131080,0.4,134411,0.6,256504,0.3,347948,1.75 93,1,2024-09-07 09:40:30:823,936285,936285,0,0,439341915386,4585057951,925991,8560,1734,366,391776,0 93,2,2024-09-07 09:40:30:933,674123,674123,0,0,32429560,0,4913 93,3,2024-09-07 09:40:31:407,1,533,1,0,190,4760,533,0 94,0,2024-09-07 09:40:31:633,130492,0.3,131377,0.5,262632,0.3,348811,1.75 94,1,2024-09-07 09:40:30:587,936654,936654,0,0,440460910932,4591806519,930542,5736,376,381,391850,0 94,2,2024-09-07 09:40:30:773,674367,674339,28,0,27763859,0,6179 94,3,2024-09-07 09:40:31:697,1,533,2,0,576,6668,533,0 95,0,2024-09-07 09:40:31:342,136878,0.3,136839,0.5,273838,0.3,365422,1.75 95,1,2024-09-07 09:40:30:870,937809,937809,0,0,440890303296,4580112411,930451,6699,659,365,391852,0 95,2,2024-09-07 09:40:31:025,673126,673126,0,0,26461777,0,3308 95,3,2024-09-07 09:40:31:714,1,533,1,0,718,8199,533,0 96,0,2024-09-07 09:40:31:041,136352,0.4,136454,0.5,272857,0.3,362758,1.75 96,1,2024-09-07 09:40:31:583,936094,936094,0,0,439349490629,4580830072,929695,5484,915,384,391964,0 96,2,2024-09-07 09:40:31:270,677427,677427,0,0,27481915,0,4180 96,3,2024-09-07 09:40:31:148,1,533,7,0,411,5660,533,0 97,0,2024-09-07 09:40:31:363,129736,0.3,129687,0.5,260386,0.3,345416,1.50 97,1,2024-09-07 09:40:30:775,938245,938245,0,0,440936800344,4571761031,932483,4817,945,367,392140,0 97,2,2024-09-07 09:40:30:609,674898,674898,0,0,26976032,0,3679 97,3,2024-09-07 09:40:30:570,1,533,7,0,242,6086,533,0 98,0,2024-09-07 09:40:31:710,129840,0.3,129926,0.4,260736,0.2,346386,1.50 98,1,2024-09-07 09:40:30:581,937284,937284,0,0,439367327901,4573795973,931867,4617,800,381,391997,0 98,2,2024-09-07 09:40:30:774,673561,673561,0,0,26522351,0,4336 98,3,2024-09-07 09:40:30:700,1,533,7,0,840,8269,533,0 99,0,2024-09-07 09:40:31:711,136040,0.3,136760,0.4,272185,0.3,363678,1.75 99,1,2024-09-07 09:40:31:731,937226,937226,0,0,439353729825,4571953091,931249,5049,928,380,392069,0 99,2,2024-09-07 09:40:31:439,674637,674637,0,0,31912485,0,4276 99,3,2024-09-07 09:40:30:581,1,533,3,0,606,5298,533,0 100,0,2024-09-07 09:40:31:479,136219,0.8,136470,0.9,272513,1.0,363824,2.50 100,1,2024-09-07 09:40:30:548,932171,932171,0,0,437349827882,4621913234,917823,11249,3099,378,391989,0 100,2,2024-09-07 09:40:31:829,674918,674907,11,0,31273786,0,5417 100,3,2024-09-07 09:40:31:732,1,533,5,0,627,9476,533,0 101,0,2024-09-07 09:40:31:711,134228,1.2,130856,1.1,256434,1.2,350472,2.25 101,1,2024-09-07 09:40:30:550,933642,933642,0,0,438507972281,4605559667,921242,9998,2402,368,391847,0 101,2,2024-09-07 09:40:31:775,668749,668749,0,0,36209799,0,4871 101,3,2024-09-07 09:40:30:947,1,533,2,0,1250,8123,533,0 102,0,2024-09-07 09:40:30:975,127080,0.6,131030,0.7,265924,0.5,347516,2.00 102,1,2024-09-07 09:40:31:145,933656,933656,0,0,438140751929,4599744689,921440,10194,2022,369,391891,0 102,2,2024-09-07 09:40:31:820,672529,672475,54,0,30599734,0,6768 102,3,2024-09-07 09:40:31:623,1,533,7,0,466,6168,533,0 103,0,2024-09-07 09:40:31:605,138048,0.5,138060,0.7,260202,0.5,358537,2.00 103,1,2024-09-07 09:40:31:640,932217,932217,0,0,438018737304,4621338519,918017,11000,3200,381,391862,0 103,2,2024-09-07 09:40:30:603,673741,673741,0,0,32449775,0,3766 103,3,2024-09-07 09:40:30:760,1,533,43,0,916,6314,533,0 104,0,2024-09-07 09:40:31:027,137089,0.7,137189,0.9,273848,0.7,366231,2.25 104,1,2024-09-07 09:40:31:601,935082,935082,0,0,438658237558,4605394337,922017,10514,2551,365,392168,0 104,2,2024-09-07 09:40:31:666,672877,672877,0,0,31534192,0,3941 104,3,2024-09-07 09:40:31:420,1,533,1,0,1245,9218,533,0 105,0,2024-09-07 09:40:31:075,132540,0.9,129123,1.1,270720,1.1,355494,2.75 105,1,2024-09-07 09:40:30:555,936186,936186,0,0,439814440568,4605224183,924580,9837,1769,365,392009,0 105,2,2024-09-07 09:40:31:326,671933,671933,0,0,31621704,0,4360 105,3,2024-09-07 09:40:31:304,1,533,3,0,399,7484,533,0 106,0,2024-09-07 09:40:30:943,126564,0.7,129602,0.9,265604,0.7,346795,2.50 106,1,2024-09-07 09:40:31:773,935256,935256,0,0,438975963727,4602892309,922637,10970,1649,368,391914,0 106,2,2024-09-07 09:40:30:766,672671,672671,0,0,29839588,0,2920 106,3,2024-09-07 09:40:30:684,1,533,1,0,1224,7983,533,0 107,0,2024-09-07 09:40:31:201,131612,0.7,131615,0.8,263208,0.7,351110,2.00 107,1,2024-09-07 09:40:30:587,933091,933091,0,0,437643141950,4612102576,920185,11513,1393,381,392234,0 107,2,2024-09-07 09:40:31:291,672252,672251,1,0,32663957,0,5024 107,3,2024-09-07 09:40:31:759,1,533,1,0,733,7971,533,0 108,0,2024-09-07 09:40:31:817,137919,0.4,138534,0.6,275876,0.4,368082,1.75 108,1,2024-09-07 09:40:31:301,935904,935904,0,0,440109275306,4589256141,927986,6947,971,367,391894,0 108,2,2024-09-07 09:40:31:792,673280,673280,0,0,29231568,0,4246 108,3,2024-09-07 09:40:31:329,1,533,2,0,749,10383,533,0 109,0,2024-09-07 09:40:31:792,136336,0.4,135192,0.6,270938,0.4,361986,1.75 109,1,2024-09-07 09:40:30:583,933334,933334,0,0,438968995426,4603640697,925028,7089,1217,382,392132,0 109,2,2024-09-07 09:40:30:923,673672,673672,0,0,29187558,0,3617 109,3,2024-09-07 09:40:31:144,1,533,11,0,630,6590,533,0 110,0,2024-09-07 09:40:31:845,129715,0.4,126172,0.6,264166,0.3,346271,1.75 110,1,2024-09-07 09:40:31:677,937224,937224,0,0,440781249101,4576231398,929690,5612,1922,368,392045,0 110,2,2024-09-07 09:40:31:303,674169,674169,0,0,27608193,0,4067 110,3,2024-09-07 09:40:30:692,1,533,5,0,722,7338,533,0 111,0,2024-09-07 09:40:31:421,130691,0.3,129982,0.5,260352,0.3,347426,1.75 111,1,2024-09-07 09:40:31:004,938685,938685,0,0,441178610459,4572655067,934140,4188,357,380,391690,0 111,2,2024-09-07 09:40:31:118,673367,673367,0,0,28157247,0,4823 111,3,2024-09-07 09:40:30:921,1,533,0,0,379,6039,533,0 112,0,2024-09-07 09:40:30:911,137513,0.3,136847,0.4,274535,0.2,364391,1.50 112,1,2024-09-07 09:40:30:828,937545,937545,0,0,440870161833,4575160933,931639,5215,691,380,391624,0 112,2,2024-09-07 09:40:31:133,673548,673547,1,0,26652280,0,5036 112,3,2024-09-07 09:40:30:592,1,533,1,0,282,5055,533,0 113,0,2024-09-07 09:40:30:880,136073,0.3,135999,0.5,272274,0.3,362618,1.75 113,1,2024-09-07 09:40:31:709,940109,940109,0,0,441584859481,4555115438,934854,4440,815,365,391664,0 113,2,2024-09-07 09:40:31:312,680305,680305,0,0,24295652,0,3813 113,3,2024-09-07 09:40:30:684,1,533,2,0,340,5613,533,0 114,0,2024-09-07 09:40:30:892,131119,0.3,131923,0.5,262249,0.2,350393,1.75 114,1,2024-09-07 09:40:30:718,937289,937289,0,0,441048122995,4578328287,930378,5295,1616,381,391565,0 114,2,2024-09-07 09:40:30:880,674443,674442,1,0,26309826,0,5069 114,3,2024-09-07 09:40:31:278,1,533,1,0,395,4250,533,0 115,0,2024-09-07 09:40:30:585,130535,0.3,131101,0.4,261287,0.2,347415,1.50 115,1,2024-09-07 09:40:30:577,938033,938033,0,0,440732496132,4577592649,930631,6045,1357,382,391757,0 115,2,2024-09-07 09:40:31:125,675580,675580,0,0,25761515,0,4382 115,3,2024-09-07 09:40:31:007,1,533,1,0,167,3024,533,0 116,0,2024-09-07 09:40:31:737,134478,0.6,134426,0.8,269370,0.5,360458,2.00 116,1,2024-09-07 09:40:30:805,933173,933173,0,0,438303172126,4616722748,922504,8223,2446,380,392089,0 116,2,2024-09-07 09:40:31:780,673490,673490,0,0,32898974,0,4475 116,3,2024-09-07 09:40:30:912,1,533,1,0,415,6499,533,0 117,0,2024-09-07 09:40:30:971,137658,0.7,137035,0.8,274618,0.8,366801,2.00 117,1,2024-09-07 09:40:31:593,934510,934510,0,0,439240865473,4595364752,924478,8807,1225,369,392033,0 117,2,2024-09-07 09:40:31:118,677779,677779,0,0,28830665,0,4303 117,3,2024-09-07 09:40:31:072,1,533,6,0,490,7197,533,0 118,0,2024-09-07 09:40:31:776,128706,0.6,132276,0.7,269679,0.6,352608,2.00 118,1,2024-09-07 09:40:30:585,933625,933625,0,0,438430398242,4605764316,920118,10384,3123,366,392054,0 118,2,2024-09-07 09:40:31:599,671925,671925,0,0,31318639,0,2842 118,3,2024-09-07 09:40:31:780,1,533,1,0,248,5915,533,0 119,0,2024-09-07 09:40:31:429,130962,0.6,131448,0.7,262496,0.6,349454,2.00 119,1,2024-09-07 09:40:30:551,934899,934899,0,0,439117646091,4598651586,923167,9964,1768,367,391857,0 119,2,2024-09-07 09:40:31:264,673715,673715,0,0,29171618,0,4174 119,3,2024-09-07 09:40:31:329,1,533,25,0,1358,9817,533,0 120,0,2024-09-07 09:40:31:563,131982,0.6,131685,0.8,264650,0.6,352921,2.25 120,1,2024-09-07 09:40:30:872,935445,935445,0,0,438887466851,4596946093,926143,8521,781,367,392144,0 120,2,2024-09-07 09:40:30:774,674086,674085,1,0,33896752,0,5281 120,3,2024-09-07 09:40:31:305,1,533,11,0,279,6584,533,0 121,0,2024-09-07 09:40:31:706,138309,1.3,137671,1.1,275801,1.7,367599,2.25 121,1,2024-09-07 09:40:31:666,935465,935465,0,0,438761068369,4583761779,926724,8040,701,366,391840,0 121,2,2024-09-07 09:40:31:126,673578,673578,0,0,31122014,0,4157 121,3,2024-09-07 09:40:30:727,1,533,1,0,330,6323,533,0 122,0,2024-09-07 09:40:31:786,134768,0.9,131156,0.9,274687,1.0,361328,2.25 122,1,2024-09-07 09:40:30:873,934288,934288,0,0,439297775834,4605430339,921824,10577,1887,366,392130,0 122,2,2024-09-07 09:40:31:325,674377,674302,75,0,35320818,0,5989 122,3,2024-09-07 09:40:30:594,1,533,2,0,512,8881,533,0 123,0,2024-09-07 09:40:30:999,129875,0.8,126288,0.8,264473,0.9,346620,2.25 123,1,2024-09-07 09:40:30:567,934660,934660,0,0,438798799748,4613302914,919445,12710,2505,369,392039,0 123,2,2024-09-07 09:40:31:027,671758,671757,1,0,30054608,0,5215 123,3,2024-09-07 09:40:31:143,1,533,8,0,478,6130,533,0 124,0,2024-09-07 09:40:30:931,134872,0.3,134882,0.5,254111,0.3,350118,1.75 124,1,2024-09-07 09:40:31:028,937235,937235,0,0,438894940516,4561302844,930418,5684,1133,365,392178,0 124,2,2024-09-07 09:40:31:018,675375,675322,53,0,27474857,0,6487 124,3,2024-09-07 09:40:30:758,1,533,11,0,490,5497,533,0 125,0,2024-09-07 09:40:31:416,136757,0.4,136453,0.5,274236,0.3,365459,1.75 125,1,2024-09-07 09:40:30:860,935998,935998,0,0,438702314971,4577720387,929014,6000,984,382,391813,0 125,2,2024-09-07 09:40:31:116,675956,675956,0,0,27487143,0,4534 125,3,2024-09-07 09:40:31:126,1,533,1,0,709,6283,533,0 126,0,2024-09-07 09:40:31:502,136406,0.4,140243,0.6,268259,0.4,363445,1.75 126,1,2024-09-07 09:40:30:551,939044,939044,0,0,441020131763,4563569632,934356,4377,311,365,391987,0 126,2,2024-09-07 09:40:30:614,677690,677690,0,0,28330043,0,4539 126,3,2024-09-07 09:40:30:922,1,533,16,0,268,5932,533,0 127,0,2024-09-07 09:40:31:601,130050,0.3,130386,0.5,260193,0.3,345723,1.75 127,1,2024-09-07 09:40:30:576,937063,937063,0,0,439097845540,4567931561,927689,7870,1504,364,392187,0 127,2,2024-09-07 09:40:30:642,673251,673247,4,0,27005162,0,5305 127,3,2024-09-07 09:40:31:277,1,533,1,0,968,5259,533,0 128,0,2024-09-07 09:40:31:533,130752,0.3,130725,0.4,261013,0.2,347248,1.50 128,1,2024-09-07 09:40:31:605,936193,936193,0,0,440238516485,4572232671,929685,5772,736,367,392031,0 128,2,2024-09-07 09:40:31:383,674609,674609,0,0,25247939,0,3171 128,3,2024-09-07 09:40:30:777,1,533,2,0,1082,8385,533,0 129,0,2024-09-07 09:40:31:013,137187,0.3,136573,0.4,273699,0.3,364005,1.50 129,1,2024-09-07 09:40:30:567,933581,933581,0,0,438273041341,4591361810,924548,7088,1945,379,391962,0 129,2,2024-09-07 09:40:30:686,675069,675065,4,0,27508077,0,5335 129,3,2024-09-07 09:40:30:692,1,533,4,0,506,7397,533,0 130,0,2024-09-07 09:40:31:734,137357,0.4,136904,0.6,274557,0.4,365301,1.75 130,1,2024-09-07 09:40:30:583,937359,937359,0,0,440526773470,4574367599,932558,4413,388,381,391825,0 130,2,2024-09-07 09:40:31:125,677971,677971,0,0,27552698,0,4067 130,3,2024-09-07 09:40:31:291,1,533,8,0,960,7411,533,0 131,0,2024-09-07 09:40:31:933,131779,0.4,132183,0.5,264803,0.3,351339,1.75 131,1,2024-09-07 09:40:31:839,937058,937058,0,0,440120999707,4589280519,930167,5699,1192,381,391865,0 131,2,2024-09-07 09:40:30:570,673633,673633,0,0,25458059,0,3979 131,3,2024-09-07 09:40:31:703,1,533,6,0,392,6567,533,0 132,0,2024-09-07 09:40:31:422,130731,0.4,131787,0.6,262332,0.4,348710,1.75 132,1,2024-09-07 09:40:30:582,933111,933111,0,0,438319409206,4607960568,920622,10291,2198,381,392532,0 132,2,2024-09-07 09:40:30:701,673078,673061,17,0,33312155,0,6451 132,3,2024-09-07 09:40:31:697,1,533,23,0,804,8767,533,0 133,0,2024-09-07 09:40:31:518,130352,0.4,133291,0.6,273677,0.3,356429,1.75 133,1,2024-09-07 09:40:30:585,932765,932765,0,0,438741759962,4620535287,920254,10820,1691,383,391914,0 133,2,2024-09-07 09:40:31:098,675772,675722,50,0,33721741,0,6861 133,3,2024-09-07 09:40:31:299,1,533,1,0,479,6297,533,0 134,0,2024-09-07 09:40:31:003,138366,0.5,138331,0.7,276995,0.5,368537,2.00 134,1,2024-09-07 09:40:30:589,934749,934749,0,0,438119016320,4590165103,923350,9252,2147,366,391718,0 134,2,2024-09-07 09:40:31:776,674702,674678,24,0,31747484,0,6207 134,3,2024-09-07 09:40:30:749,1,533,1,0,739,6352,533,0 135,0,2024-09-07 09:40:31:126,129778,0.9,129816,0.9,275531,1.1,354976,2.25 135,1,2024-09-07 09:40:31:607,934386,934386,0,0,439340065412,4616839569,922745,10074,1567,380,391805,0 135,2,2024-09-07 09:40:30:689,673474,673474,0,0,31934212,0,4503 135,3,2024-09-07 09:40:31:011,1,533,7,0,900,5374,533,0 136,0,2024-09-07 09:40:31:623,131464,0.5,131724,0.7,262341,0.5,349939,2.00 136,1,2024-09-07 09:40:31:440,935164,935164,0,0,438332359147,4592651454,924775,9119,1270,381,392135,0 136,2,2024-09-07 09:40:31:133,675006,674991,15,0,31228298,0,6007 136,3,2024-09-07 09:40:31:113,1,533,1,0,637,6302,533,0 137,0,2024-09-07 09:40:30:927,135776,0.5,132424,0.7,259247,0.5,352343,2.00 137,1,2024-09-07 09:40:30:591,933407,933407,0,0,438915280997,4597143668,919944,10790,2673,366,391898,0 137,2,2024-09-07 09:40:31:720,673084,673084,0,0,32889852,0,3185 137,3,2024-09-07 09:40:30:772,1,533,1,0,484,6928,533,0 138,0,2024-09-07 09:40:31:747,137437,0.8,137763,0.9,275970,0.9,366593,2.00 138,1,2024-09-07 09:40:31:699,934518,934518,0,0,439334130603,4604265582,921771,10776,1971,368,391954,0 138,2,2024-09-07 09:40:30:584,673401,673401,0,0,30226479,0,4988 138,3,2024-09-07 09:40:30:623,1,533,1,0,1200,8595,533,0 139,0,2024-09-07 09:40:31:373,134650,1.2,135029,1.0,270073,1.8,360482,2.50 139,1,2024-09-07 09:40:30:683,930732,930732,0,0,436922949595,4629938410,914783,12487,3462,380,392109,0 139,2,2024-09-07 09:40:30:695,671337,671337,0,0,33616871,0,3097 139,3,2024-09-07 09:40:31:668,1,533,1,0,432,6053,533,0 140,0,2024-09-07 09:40:31:588,130386,0.3,129529,0.5,259960,0.2,346547,1.75 140,1,2024-09-07 09:40:31:566,939932,939932,0,0,442355444420,4558288381,935348,4046,538,364,391606,0 140,2,2024-09-07 09:40:30:690,674840,674839,1,0,25728801,0,5036 140,3,2024-09-07 09:40:30:776,1,533,0,0,297,4896,533,0 141,0,2024-09-07 09:40:31:718,130488,0.3,133956,0.4,255853,0.2,347277,1.50 141,1,2024-09-07 09:40:30:858,938320,938320,0,0,441224146738,4580879460,931210,6024,1086,379,391614,0 141,2,2024-09-07 09:40:31:706,674023,674012,11,0,27370408,0,5369 141,3,2024-09-07 09:40:31:043,1,533,10,0,391,5984,533,0 142,0,2024-09-07 09:40:31:306,137579,0.3,136564,0.5,273717,0.3,365268,1.50 142,1,2024-09-07 09:40:30:587,936376,936376,0,0,439705517857,4580975299,930708,5209,459,382,392102,0 142,2,2024-09-07 09:40:31:299,672939,672907,32,0,27895389,0,6028 142,3,2024-09-07 09:40:31:758,1,533,15,0,484,5515,533,0 143,0,2024-09-07 09:40:31:394,135893,0.4,135897,0.6,272630,0.4,362622,1.75 143,1,2024-09-07 09:40:30:575,938318,938318,0,0,440671321153,4571387940,932231,5528,559,367,391722,0 143,2,2024-09-07 09:40:30:769,678347,678347,0,0,27402384,0,3123 143,3,2024-09-07 09:40:31:139,1,533,1,0,462,6594,533,0 144,0,2024-09-07 09:40:31:552,126710,0.6,130344,0.8,265296,0.6,347324,2.00 144,1,2024-09-07 09:40:30:581,933576,933576,0,0,438241311315,4597021368,924178,7430,1968,381,391733,0 144,2,2024-09-07 09:40:31:774,673801,673801,0,0,26181398,0,3673 144,3,2024-09-07 09:40:31:756,1,533,2,0,249,5147,533,0 145,0,2024-09-07 09:40:31:362,125973,0.5,125939,0.7,267365,0.4,344143,2.25 145,1,2024-09-07 09:40:30:554,933329,933329,0,0,438123552539,4609249642,920951,9910,2468,382,391759,0 145,2,2024-09-07 09:40:31:435,670785,670703,82,0,32085173,0,7814 145,3,2024-09-07 09:40:30:900,1,533,4,0,622,7480,533,0 146,0,2024-09-07 09:40:31:604,134926,0.5,134363,0.7,269754,0.5,358957,2.00 146,1,2024-09-07 09:40:31:595,934562,934562,0,0,438843925672,4610024094,920116,10643,3803,367,391770,0 146,2,2024-09-07 09:40:31:702,673137,673131,6,0,30397409,0,5151 146,3,2024-09-07 09:40:31:274,1,533,0,0,1520,9047,533,0 147,0,2024-09-07 09:40:31:735,137484,0.6,137285,0.7,274146,0.6,365964,2.25 147,1,2024-09-07 09:40:31:372,937782,937782,0,0,440899543221,4579072457,930493,6409,880,367,391791,0 147,2,2024-09-07 09:40:31:023,675695,675695,0,0,27159215,0,2968 147,3,2024-09-07 09:40:30:918,1,533,56,0,1626,8603,533,0 0,0,2024-09-07 09:40:41:705,129138,0.5,129226,0.7,274185,0.5,354495,2.00 0,1,2024-09-07 09:40:40:817,936508,936508,0,0,440237748191,4607622628,928965,6700,843,369,391896,0 0,2,2024-09-07 09:40:41:068,677800,677800,0,0,27285660,0,4480 0,3,2024-09-07 09:40:40:975,1,534,21,0,431,7630,534,0 1,0,2024-09-07 09:40:41:903,138224,0.9,137265,0.9,276015,1.2,368271,2.00 1,1,2024-09-07 09:40:40:558,935541,935541,0,0,438993256211,4598589965,926788,7284,1469,370,391859,0 1,2,2024-09-07 09:40:40:642,676415,676415,0,0,26607813,0,3380 1,3,2024-09-07 09:40:41:302,1,534,2,0,269,6605,534,0 2,0,2024-09-07 09:40:41:601,135254,0.6,135196,0.8,270035,0.7,360413,2.00 2,1,2024-09-07 09:40:40:859,938712,938712,0,0,440942462902,4581626695,933604,4180,928,379,391745,0 2,2,2024-09-07 09:40:41:270,675548,675548,0,0,25149323,0,3594 2,3,2024-09-07 09:40:40:690,1,534,1,0,357,4761,534,0 3,0,2024-09-07 09:40:41:783,130579,0.4,130491,0.6,260421,0.3,347524,2.00 3,1,2024-09-07 09:40:41:628,937588,937588,0,0,440621209952,4585056601,930119,6766,703,379,391716,0 3,2,2024-09-07 09:40:41:142,675931,675908,23,0,26383593,0,5851 3,3,2024-09-07 09:40:41:753,1,534,6,0,275,3553,534,0 4,0,2024-09-07 09:40:41:780,127455,0.3,130902,0.5,266840,0.3,349239,1.75 4,1,2024-09-07 09:40:40:596,934247,934247,0,0,438179621341,4638289118,917889,12669,3689,370,391992,0 4,2,2024-09-07 09:40:41:021,672774,672774,0,0,32104412,0,4534 4,3,2024-09-07 09:40:41:028,1,534,1,0,448,6744,534,0 5,0,2024-09-07 09:40:41:368,137321,0.4,137542,0.5,275093,0.4,366320,1.75 5,1,2024-09-07 09:40:40:754,935275,935275,0,0,440083061584,4628535400,922522,10338,2415,367,392005,0 5,2,2024-09-07 09:40:41:837,672240,672240,0,0,31112639,0,3582 5,3,2024-09-07 09:40:41:732,1,534,1,0,457,7227,534,0 6,0,2024-09-07 09:40:40:920,136532,0.5,136122,0.7,272598,0.5,363439,2.00 6,1,2024-09-07 09:40:40:746,937163,937163,0,0,439993808206,4593096259,927586,8118,1459,379,391702,0 6,2,2024-09-07 09:40:41:119,677517,677499,18,0,30071862,0,5535 6,3,2024-09-07 09:40:41:280,1,534,1,0,710,6267,534,0 7,0,2024-09-07 09:40:41:657,129283,0.5,130110,0.7,259116,0.5,344798,2.00 7,1,2024-09-07 09:40:40:851,935655,935655,0,0,439738384804,4615120365,922727,10696,2232,382,391747,0 7,2,2024-09-07 09:40:40:770,674811,674811,0,0,29248194,0,4791 7,3,2024-09-07 09:40:40:855,1,534,0,0,552,5912,534,0 8,0,2024-09-07 09:40:41:364,130742,0.3,130246,0.5,261278,0.3,347908,1.50 8,1,2024-09-07 09:40:41:036,933588,933588,0,0,439229332731,4614139020,919322,11134,3132,366,392853,0 8,2,2024-09-07 09:40:40:793,669941,669939,2,0,35033084,0,5112 8,3,2024-09-07 09:40:40:585,1,534,7,0,538,7860,534,0 9,0,2024-09-07 09:40:41:122,136326,0.3,132644,0.5,277899,0.3,364543,1.75 9,1,2024-09-07 09:40:40:550,934312,934312,0,0,439246654974,4623402942,920814,10846,2652,369,392001,0 9,2,2024-09-07 09:40:41:083,673838,673837,1,0,31734526,0,5281 9,3,2024-09-07 09:40:41:752,1,534,1,0,1273,8835,534,0 10,0,2024-09-07 09:40:41:601,136616,0.4,136207,0.5,273146,0.3,363856,1.75 10,1,2024-09-07 09:40:40:582,936450,936450,0,0,439611055394,4602680167,924082,10278,2090,381,391869,0 10,2,2024-09-07 09:40:40:762,678570,678570,0,0,34532173,0,4264 10,3,2024-09-07 09:40:40:871,1,534,1,0,649,5523,534,0 11,0,2024-09-07 09:40:41:008,131515,0.5,127616,0.6,266921,0.4,351487,1.75 11,1,2024-09-07 09:40:40:571,937819,937819,0,0,439821059916,4608966374,924341,10016,3462,383,391766,0 11,2,2024-09-07 09:40:41:129,673663,673663,0,0,30187952,0,4130 11,3,2024-09-07 09:40:41:297,1,534,2,0,843,7126,534,0 12,0,2024-09-07 09:40:40:989,131547,0.4,131606,0.5,263150,0.3,349344,1.75 12,1,2024-09-07 09:40:40:933,937089,937089,0,0,439615346519,4584581844,929409,6795,885,370,391960,0 12,2,2024-09-07 09:40:41:577,673674,673674,0,0,30143859,0,4390 12,3,2024-09-07 09:40:41:062,1,534,1,0,386,7102,534,0 13,0,2024-09-07 09:40:41:335,135009,0.4,134809,0.5,268878,0.4,359075,1.75 13,1,2024-09-07 09:40:41:526,934834,934834,0,0,439139305721,4613662337,925199,7339,2296,382,391740,0 13,2,2024-09-07 09:40:40:605,677458,677458,0,0,27083725,0,3287 13,3,2024-09-07 09:40:41:763,1,534,1,0,522,7383,534,0 14,0,2024-09-07 09:40:40:560,138241,0.4,139203,0.6,276296,0.4,367721,1.75 14,1,2024-09-07 09:40:41:574,941352,941352,0,0,442104074310,4572590010,934435,6189,728,364,391673,0 14,2,2024-09-07 09:40:40:764,677594,677564,30,0,28441980,0,6104 14,3,2024-09-07 09:40:41:119,1,534,0,0,1168,6041,534,0 15,0,2024-09-07 09:40:41:599,133742,0.4,133154,0.7,267199,0.4,355907,2.00 15,1,2024-09-07 09:40:41:616,938666,938666,0,0,440780114628,4588744158,930932,6249,1485,381,391619,0 15,2,2024-09-07 09:40:40:998,676640,676640,0,0,23848718,0,3622 15,3,2024-09-07 09:40:41:406,1,534,4,0,1126,7329,534,0 16,0,2024-09-07 09:40:40:936,131048,0.5,131837,0.7,262897,0.5,349819,2.00 16,1,2024-09-07 09:40:40:567,938300,938300,0,0,440187717137,4600449282,929873,7125,1302,370,392194,0 16,2,2024-09-07 09:40:41:434,674436,674436,0,0,28205140,0,4719 16,3,2024-09-07 09:40:41:142,1,534,1,0,358,6658,534,0 17,0,2024-09-07 09:40:41:816,136277,0.5,132708,0.7,260233,0.5,353351,2.00 17,1,2024-09-07 09:40:40:568,936266,936266,0,0,439567072093,4609151603,926956,7559,1751,368,392075,0 17,2,2024-09-07 09:40:41:666,678514,678513,1,0,29011665,0,5050 17,3,2024-09-07 09:40:40:579,1,534,6,0,518,7855,534,0 18,0,2024-09-07 09:40:40:968,136876,0.7,137773,0.8,274477,0.7,366455,2.25 18,1,2024-09-07 09:40:41:639,940327,940327,0,0,440767962640,4570125976,934211,5119,997,367,391725,0 18,2,2024-09-07 09:40:41:754,678308,678308,0,0,25738291,0,3541 18,3,2024-09-07 09:40:40:902,1,534,6,0,1059,4963,534,0 19,0,2024-09-07 09:40:41:602,135386,0.7,135670,0.8,270154,0.7,359855,2.25 19,1,2024-09-07 09:40:40:566,939743,939743,0,0,442351538258,4584578146,932753,5905,1085,367,391777,0 19,2,2024-09-07 09:40:41:754,678991,678991,0,0,24068506,0,3988 19,3,2024-09-07 09:40:41:133,1,534,5,0,524,4270,534,0 20,0,2024-09-07 09:40:41:351,129747,0.5,130050,0.7,259624,0.5,346375,2.00 20,1,2024-09-07 09:40:40:567,936350,936350,0,0,439897626681,4605706256,927139,7842,1369,369,391922,0 20,2,2024-09-07 09:40:40:965,674547,674547,0,0,29232038,0,3721 20,3,2024-09-07 09:40:40:589,1,534,1,0,468,8926,534,0 21,0,2024-09-07 09:40:41:170,130584,0.4,130734,0.6,261448,0.4,347315,1.75 21,1,2024-09-07 09:40:41:580,934907,934907,0,0,438256443489,4621442627,920747,11041,3119,368,392016,0 21,2,2024-09-07 09:40:41:082,672040,672020,20,0,34239681,0,5617 21,3,2024-09-07 09:40:41:407,1,534,3,0,713,6793,534,0 22,0,2024-09-07 09:40:41:732,136873,0.4,137522,0.6,274346,0.4,364078,2.00 22,1,2024-09-07 09:40:41:027,935721,935721,0,0,438623236356,4614371553,920488,12006,3227,382,391822,0 22,2,2024-09-07 09:40:40:760,673376,673350,26,0,28616092,0,6328 22,3,2024-09-07 09:40:41:066,1,534,0,0,228,4444,534,0 23,0,2024-09-07 09:40:41:371,135961,0.5,135538,0.7,270970,0.5,361724,2.25 23,1,2024-09-07 09:40:41:008,936760,936760,0,0,440544196125,4620044338,921053,11299,4408,365,391690,0 23,2,2024-09-07 09:40:41:096,679357,679357,0,0,27295571,0,3773 23,3,2024-09-07 09:40:41:754,1,534,15,0,855,8336,534,0 24,0,2024-09-07 09:40:40:874,131761,0.4,130990,0.6,263512,0.4,349724,1.75 24,1,2024-09-07 09:40:40:598,935856,935856,0,0,439261050191,4596434569,926887,7292,1677,367,392269,0 24,2,2024-09-07 09:40:41:077,674123,674123,0,0,32418602,0,4438 24,3,2024-09-07 09:40:41:686,1,534,1,0,468,6723,534,0 25,0,2024-09-07 09:40:41:336,133985,0.4,130604,0.6,256059,0.3,348915,1.75 25,1,2024-09-07 09:40:40:558,934953,934953,0,0,438915521520,4627244021,919968,12012,2973,369,391928,0 25,2,2024-09-07 09:40:41:606,672576,672576,0,0,33811410,0,3978 25,3,2024-09-07 09:40:41:000,1,534,0,0,532,5925,534,0 26,0,2024-09-07 09:40:41:738,134887,0.4,131992,0.6,276919,0.3,360757,1.75 26,1,2024-09-07 09:40:41:573,937875,937875,0,0,440202327326,4606053674,925035,10388,2452,380,391748,0 26,2,2024-09-07 09:40:40:861,675157,675157,0,0,35812737,0,4689 26,3,2024-09-07 09:40:41:715,1,534,11,0,796,5796,534,0 27,0,2024-09-07 09:40:41:740,137541,0.4,138213,0.6,274651,0.4,366040,2.25 27,1,2024-09-07 09:40:41:676,938612,938612,0,0,441829082691,4598983852,930056,7287,1269,381,391626,0 27,2,2024-09-07 09:40:40:872,675258,675193,65,0,30919006,0,5699 27,3,2024-09-07 09:40:41:021,1,534,131,0,564,4719,534,0 28,0,2024-09-07 09:40:41:390,133168,0.4,132910,0.6,266330,0.3,354679,1.75 28,1,2024-09-07 09:40:40:802,939337,939337,0,0,441459538194,4600331121,931560,6063,1714,382,391698,0 28,2,2024-09-07 09:40:41:764,674859,674859,0,0,27197099,0,2915 28,3,2024-09-07 09:40:41:778,1,534,1,0,502,5328,534,0 29,0,2024-09-07 09:40:41:366,135037,0.3,131438,0.6,257883,0.3,351207,1.75 29,1,2024-09-07 09:40:41:572,941204,941204,0,0,442267146594,4572878165,935646,4796,762,367,391809,0 29,2,2024-09-07 09:40:40:861,674002,674002,0,0,26750176,0,4986 29,3,2024-09-07 09:40:40:963,1,534,1,0,459,5785,534,0 30,0,2024-09-07 09:40:41:457,132470,0.4,128958,0.6,270313,0.4,353324,2.00 30,1,2024-09-07 09:40:40:574,940706,940706,0,0,442426106304,4589971217,933728,6076,902,380,391672,0 30,2,2024-09-07 09:40:41:284,676788,676788,0,0,25773161,0,4192 30,3,2024-09-07 09:40:40:582,1,534,1,0,519,5127,534,0 31,0,2024-09-07 09:40:41:761,138068,0.5,138568,0.6,276358,0.4,368600,2.00 31,1,2024-09-07 09:40:40:564,943830,943830,0,0,443891706218,4555563702,938506,4313,1011,356,391712,0 31,2,2024-09-07 09:40:41:280,675407,675407,0,0,28277689,0,4470 31,3,2024-09-07 09:40:41:717,1,534,2,0,220,4507,534,0 32,0,2024-09-07 09:40:41:443,135723,0.3,136463,0.5,271777,0.3,361952,1.75 32,1,2024-09-07 09:40:40:810,939721,939721,0,0,441572420263,4588630828,933912,5100,709,381,391646,0 32,2,2024-09-07 09:40:40:948,676232,676232,0,0,24697233,0,3922 32,3,2024-09-07 09:40:41:019,1,534,8,0,304,4399,534,0 33,0,2024-09-07 09:40:41:491,131141,0.3,130743,0.4,261657,0.2,348583,1.50 33,1,2024-09-07 09:40:40:575,940333,940333,0,0,441909745691,4576515990,933071,6088,1174,368,391730,0 33,2,2024-09-07 09:40:40:758,676657,676622,35,0,27381075,0,7012 33,3,2024-09-07 09:40:40:901,1,534,1,0,329,4947,534,0 34,0,2024-09-07 09:40:40:932,131649,0.3,135282,0.4,258466,0.2,348703,1.75 34,1,2024-09-07 09:40:41:045,942237,942237,0,0,442632058208,4551143266,939726,2489,22,367,391637,0 34,2,2024-09-07 09:40:40:765,676946,676946,0,0,25918022,0,4562 34,3,2024-09-07 09:40:41:688,1,534,31,0,320,4109,534,0 35,0,2024-09-07 09:40:40:856,136609,0.3,137302,0.5,275854,0.2,366987,1.75 35,1,2024-09-07 09:40:41:069,939007,939007,0,0,440927758174,4573156437,932346,5447,1214,382,391769,0 35,2,2024-09-07 09:40:41:588,675071,675071,0,0,28470199,0,4055 35,3,2024-09-07 09:40:40:907,1,534,8,0,418,4922,534,0 36,0,2024-09-07 09:40:41:521,136952,0.5,136793,0.7,273514,0.5,364240,2.00 36,1,2024-09-07 09:40:40:582,938875,938875,0,0,439970972286,4593645991,926586,10067,2222,366,391759,0 36,2,2024-09-07 09:40:41:765,677738,677738,0,0,29752408,0,3875 36,3,2024-09-07 09:40:40:868,1,534,2,0,416,7195,534,0 37,0,2024-09-07 09:40:41:375,129516,0.5,129423,0.7,259066,0.5,345487,2.00 37,1,2024-09-07 09:40:40:579,937608,937601,0,7,440416233507,4597039121,926477,8448,2676,365,391770,0 37,2,2024-09-07 09:40:41:143,672905,672890,15,0,30211657,0,5815 37,3,2024-09-07 09:40:41:766,1,534,32,0,888,7426,534,0 38,0,2024-09-07 09:40:41:461,129708,0.4,125871,0.6,263349,0.3,344970,2.00 38,1,2024-09-07 09:40:41:606,938567,938567,0,0,440810995434,4605547524,925336,10712,2519,368,391821,0 38,2,2024-09-07 09:40:40:759,673507,673460,47,0,30343407,0,6710 38,3,2024-09-07 09:40:40:997,1,534,15,0,689,6722,534,0 39,0,2024-09-07 09:40:41:786,139875,0.5,136765,0.7,266558,0.4,364266,2.00 39,1,2024-09-07 09:40:40:715,936752,936752,0,0,439507644819,4608288815,920955,12327,3470,365,391865,0 39,2,2024-09-07 09:40:41:436,675654,675654,0,0,28033361,0,3391 39,3,2024-09-07 09:40:40:714,1,534,16,0,525,6299,534,0 40,0,2024-09-07 09:40:41:512,135410,0.9,135974,1.0,271521,1.1,361960,2.75 40,1,2024-09-07 09:40:40:577,938932,938932,0,0,438897176813,4587750061,926911,9842,2179,368,391668,0 40,2,2024-09-07 09:40:41:303,676716,676715,1,0,33496619,0,5137 40,3,2024-09-07 09:40:41:142,1,534,1,0,1028,7399,534,0 41,0,2024-09-07 09:40:41:025,131041,1.3,133891,1.1,255385,1.8,347270,3.00 41,1,2024-09-07 09:40:40:775,937358,937358,0,0,439885905410,4600240276,925429,9994,1935,369,391878,0 41,2,2024-09-07 09:40:40:768,671616,671615,1,0,32249431,0,5408 41,3,2024-09-07 09:40:41:676,1,534,2,0,366,5773,534,0 42,0,2024-09-07 09:40:41:473,130117,0.8,129817,0.9,259840,0.8,344150,2.50 42,1,2024-09-07 09:40:41:439,934139,934139,0,0,438851770677,4611507137,919124,12107,2908,380,391675,0 42,2,2024-09-07 09:40:41:134,673284,673284,0,0,31430606,0,3975 42,3,2024-09-07 09:40:41:009,1,534,1,0,892,4718,534,0 43,0,2024-09-07 09:40:40:918,132982,0.6,129583,0.9,271349,0.6,356241,2.25 43,1,2024-09-07 09:40:40:576,937764,937764,0,0,440649252036,4601487772,924893,10611,2260,366,391696,0 43,2,2024-09-07 09:40:41:736,676105,676105,0,0,30810464,0,4723 43,3,2024-09-07 09:40:41:750,1,534,1,0,571,7342,534,0 44,0,2024-09-07 09:40:40:857,138409,0.4,138357,0.6,277428,0.4,368248,1.75 44,1,2024-09-07 09:40:40:571,940339,940339,0,0,441211458296,4557999044,932537,6215,1587,356,391809,0 44,2,2024-09-07 09:40:41:269,675017,675017,0,0,25589266,0,4344 44,3,2024-09-07 09:40:41:095,1,534,1,0,817,5791,534,0 45,0,2024-09-07 09:40:41:764,132333,0.5,129290,0.7,271441,0.5,356080,2.00 45,1,2024-09-07 09:40:41:008,939279,939279,0,0,442241223691,4594750413,931765,6638,876,382,391917,0 45,2,2024-09-07 09:40:41:271,675325,675325,0,0,25843333,0,3596 45,3,2024-09-07 09:40:40:934,1,534,2,0,365,5001,534,0 46,0,2024-09-07 09:40:40:949,130795,0.4,130228,0.7,261304,0.4,346992,2.00 46,1,2024-09-07 09:40:40:575,940639,940639,0,0,441993715079,4566366752,934232,5543,864,366,391709,0 46,2,2024-09-07 09:40:40:597,676037,676037,0,0,26239885,0,4443 46,3,2024-09-07 09:40:41:132,1,534,3,0,908,6390,534,0 47,0,2024-09-07 09:40:41:103,132182,0.4,132274,0.6,265666,0.3,352225,1.75 47,1,2024-09-07 09:40:40:567,942013,942013,0,0,443205827911,4576072673,936773,4401,839,365,391641,0 47,2,2024-09-07 09:40:40:908,679185,679185,0,0,26015937,0,4477 47,3,2024-09-07 09:40:41:120,1,534,1,0,600,5987,534,0 48,0,2024-09-07 09:40:41:489,138557,0.3,138759,0.4,276748,0.2,368271,1.50 48,1,2024-09-07 09:40:41:021,939434,939434,0,0,441533137895,4585578079,933636,5222,576,384,391710,0 48,2,2024-09-07 09:40:40:703,676167,676167,0,0,23873152,0,3411 48,3,2024-09-07 09:40:40:755,1,534,1,0,339,4188,534,0 49,0,2024-09-07 09:40:41:709,139624,0.4,136988,0.5,266166,0.3,363903,1.75 49,1,2024-09-07 09:40:41:021,938777,938777,0,0,440988183409,4582502514,933208,4358,1211,382,391809,0 49,2,2024-09-07 09:40:41:803,677542,677542,0,0,26386555,0,4426 49,3,2024-09-07 09:40:41:424,1,534,1,0,992,6204,534,0 50,0,2024-09-07 09:40:41:516,130714,0.3,128966,0.5,259875,0.2,346033,1.75 50,1,2024-09-07 09:40:41:010,942267,942267,0,0,442367406886,4572024942,936320,5279,668,368,391565,0 50,2,2024-09-07 09:40:41:067,674955,674955,0,0,24241461,0,4490 50,3,2024-09-07 09:40:41:291,1,534,1,0,617,5461,534,0 51,0,2024-09-07 09:40:41:685,134119,0.3,131269,0.4,255673,0.2,348998,1.75 51,1,2024-09-07 09:40:41:680,943008,943008,0,0,443824504419,4574391148,938239,3713,1056,365,391706,0 51,2,2024-09-07 09:40:41:315,675731,675731,0,0,23533614,0,3337 51,3,2024-09-07 09:40:41:035,1,534,1,0,678,3804,534,0 52,0,2024-09-07 09:40:41:413,137455,0.5,137108,0.6,274549,0.4,364970,2.00 52,1,2024-09-07 09:40:40:576,938083,938083,0,0,439284065430,4596377568,924853,11125,2105,368,391722,0 52,2,2024-09-07 09:40:41:755,671392,671354,38,0,31209749,0,6742 52,3,2024-09-07 09:40:40:681,1,534,8,0,1782,6709,534,0 53,0,2024-09-07 09:40:41:743,135624,0.7,131501,0.8,275107,0.8,361278,2.50 53,1,2024-09-07 09:40:40:783,936349,936349,0,0,439599509021,4610415716,920984,11521,3844,367,391968,0 53,2,2024-09-07 09:40:41:298,678679,678678,1,0,28092433,0,5455 53,3,2024-09-07 09:40:40:702,1,534,1,0,308,5179,534,0 54,0,2024-09-07 09:40:41:618,128940,0.6,129281,0.8,257426,0.5,343630,2.25 54,1,2024-09-07 09:40:40:580,938459,938459,0,0,440842580669,4583594079,928391,8434,1634,366,391810,0 54,2,2024-09-07 09:40:40:868,674851,674819,32,0,31819279,0,6397 54,3,2024-09-07 09:40:40:763,1,534,1,0,676,7058,534,0 55,0,2024-09-07 09:40:41:761,125896,0.5,129923,0.7,263268,0.5,343246,2.25 55,1,2024-09-07 09:40:40:764,939781,939781,0,0,440743502386,4580904747,930095,8365,1321,365,391731,0 55,2,2024-09-07 09:40:40:737,672922,672866,56,0,30032557,0,7239 55,3,2024-09-07 09:40:40:674,1,534,0,0,304,4899,534,0 56,0,2024-09-07 09:40:41:618,138377,1.0,130421,1.0,268748,1.3,360246,2.50 56,1,2024-09-07 09:40:40:572,934266,934266,0,0,438616487891,4628899709,919936,11417,2913,381,391867,0 56,2,2024-09-07 09:40:41:309,674878,674756,122,0,31595094,0,7432 56,3,2024-09-07 09:40:41:069,1,534,1,0,705,6296,534,0 57,0,2024-09-07 09:40:40:961,135631,1.6,135559,1.3,271530,2.2,362729,3.25 57,1,2024-09-07 09:40:40:986,935874,935874,0,0,439980038922,4613407018,923665,9924,2285,366,392032,0 57,2,2024-09-07 09:40:41:317,677530,677530,0,0,32493012,0,4317 57,3,2024-09-07 09:40:41:738,1,534,7,0,455,5909,534,0 58,0,2024-09-07 09:40:40:561,131036,0.9,127272,1.0,266192,1.1,348193,2.75 58,1,2024-09-07 09:40:40:581,937509,937506,0,3,440444335368,4613221624,923702,10483,3321,367,391675,3 58,2,2024-09-07 09:40:41:079,673904,673904,0,0,30804078,0,3483 58,3,2024-09-07 09:40:41:068,1,534,1,0,1043,5831,534,0 59,0,2024-09-07 09:40:41:740,130687,0.8,130666,0.9,260488,0.8,345246,2.75 59,1,2024-09-07 09:40:40:808,936149,936149,0,0,439804278045,4616691969,921236,11774,3139,369,391653,0 59,2,2024-09-07 09:40:40:583,673941,673941,0,0,29429867,0,3727 59,3,2024-09-07 09:40:41:737,1,534,1,0,1015,6514,534,0 60,0,2024-09-07 09:40:41:712,132922,0.5,133323,0.7,266251,0.4,354399,1.75 60,1,2024-09-07 09:40:40:779,940801,940801,0,0,441415507427,4579832193,934610,5254,937,370,392031,0 60,2,2024-09-07 09:40:41:142,676828,676828,0,0,27995237,0,3811 60,3,2024-09-07 09:40:41:262,1,534,2,0,409,6139,534,0 61,0,2024-09-07 09:40:41:498,138106,0.7,138666,0.8,276090,0.7,368285,2.00 61,1,2024-09-07 09:40:40:778,937477,937477,0,0,440428433005,4609872194,927548,8348,1581,382,392127,0 61,2,2024-09-07 09:40:41:117,676410,676343,67,0,28907023,0,6411 61,3,2024-09-07 09:40:41:698,1,534,1,0,607,7542,534,0 62,0,2024-09-07 09:40:41:715,136005,0.6,139526,0.8,266434,0.6,361825,2.00 62,1,2024-09-07 09:40:41:113,942566,942560,0,6,442540006763,4559408329,938397,3883,280,365,391975,6 62,2,2024-09-07 09:40:41:647,673653,673652,1,0,28062192,0,5555 62,3,2024-09-07 09:40:41:143,1,534,1,0,482,4255,534,0 63,0,2024-09-07 09:40:41:451,131129,0.4,130808,0.6,262581,0.3,348943,1.75 63,1,2024-09-07 09:40:40:809,939834,939828,0,6,441676483857,4582888819,934067,5010,751,381,391800,6 63,2,2024-09-07 09:40:40:762,675510,675510,0,0,27233779,0,4369 63,3,2024-09-07 09:40:41:732,1,534,19,0,667,5603,534,0 64,0,2024-09-07 09:40:41:559,130904,0.5,131029,0.6,261259,0.4,347857,1.75 64,1,2024-09-07 09:40:40:751,939157,939157,0,0,441025526398,4590655018,931261,6124,1772,370,391794,0 64,2,2024-09-07 09:40:41:143,680051,680032,19,0,26177684,0,6121 64,3,2024-09-07 09:40:41:150,1,534,1,0,651,5536,534,0 65,0,2024-09-07 09:40:41:702,136250,0.6,136631,0.7,272855,0.6,364393,2.00 65,1,2024-09-07 09:40:40:859,937686,937686,0,0,439588892100,4593163589,930930,5958,798,381,391901,0 65,2,2024-09-07 09:40:41:698,674716,674716,0,0,30751169,0,3367 65,3,2024-09-07 09:40:41:685,1,534,4,0,782,6260,534,0 66,0,2024-09-07 09:40:41:769,136030,0.6,135544,0.8,271276,0.6,361468,2.25 66,1,2024-09-07 09:40:41:293,939510,939510,0,0,441354709342,4592081412,933360,5428,722,380,391743,0 66,2,2024-09-07 09:40:41:134,679514,679511,3,0,27950988,0,5455 66,3,2024-09-07 09:40:41:079,1,534,1,0,291,4537,534,0 67,0,2024-09-07 09:40:41:425,129970,0.5,129649,0.7,259837,0.5,345618,2.00 67,1,2024-09-07 09:40:40:765,939576,939575,0,1,441010087630,4589646656,933294,5467,814,380,391787,1 67,2,2024-09-07 09:40:40:589,677093,677078,15,0,27229795,0,6205 67,3,2024-09-07 09:40:41:750,1,534,1,0,595,5722,534,0 68,0,2024-09-07 09:40:40:595,130593,0.5,130461,0.7,259928,0.5,347416,2.00 68,1,2024-09-07 09:40:40:582,935263,935263,0,0,438812797195,4613079015,922864,8998,3401,381,391953,0 68,2,2024-09-07 09:40:41:050,670644,670544,100,0,34777448,0,8578 68,3,2024-09-07 09:40:40:734,1,534,8,0,417,6839,534,0 69,0,2024-09-07 09:40:41:756,135740,0.6,136716,0.8,272485,0.6,362154,2.25 69,1,2024-09-07 09:40:41:018,934653,934653,0,0,439661846043,4630366717,922392,9499,2762,383,391994,0 69,2,2024-09-07 09:40:41:736,673651,673622,29,0,35815128,0,6912 69,3,2024-09-07 09:40:40:760,1,534,1,0,698,7801,534,0 70,0,2024-09-07 09:40:41:532,135150,0.9,135526,1.0,272702,0.8,360810,2.50 70,1,2024-09-07 09:40:40:812,940577,940577,0,0,442597877337,4590415196,933320,6495,762,366,391725,0 70,2,2024-09-07 09:40:41:325,678602,678602,0,0,30096660,0,4323 70,3,2024-09-07 09:40:40:745,1,534,1,0,854,5709,534,0 71,0,2024-09-07 09:40:41:357,130311,1.1,130067,1.1,261315,1.5,348487,2.75 71,1,2024-09-07 09:40:41:597,937942,937942,0,0,440590910122,4596758161,926547,10139,1256,368,391738,0 71,2,2024-09-07 09:40:41:067,673616,673616,0,0,30738032,0,4352 71,3,2024-09-07 09:40:41:750,1,534,1,0,644,6274,534,0 72,0,2024-09-07 09:40:41:044,135090,0.5,132026,0.7,257588,0.5,349718,2.00 72,1,2024-09-07 09:40:41:025,936659,936659,0,0,439864518911,4612571520,923202,11174,2283,369,391819,0 72,2,2024-09-07 09:40:41:764,672668,672668,0,0,32353665,0,3983 72,3,2024-09-07 09:40:41:754,1,534,17,0,564,7573,534,0 73,0,2024-09-07 09:40:41:124,130789,0.4,133976,0.6,274172,0.4,357131,2.00 73,1,2024-09-07 09:40:40:770,939353,939353,0,0,440454729920,4571881088,933112,5816,425,367,391858,0 73,2,2024-09-07 09:40:41:740,675868,675868,0,0,32815399,0,4728 73,3,2024-09-07 09:40:40:977,1,534,5,0,486,6586,534,0 74,0,2024-09-07 09:40:41:328,139202,0.5,142506,0.7,271762,0.5,368566,2.00 74,1,2024-09-07 09:40:40:636,937463,937463,0,0,440129910885,4594084864,927009,8529,1925,381,391762,0 74,2,2024-09-07 09:40:41:002,676212,676212,0,0,28601181,0,4253 74,3,2024-09-07 09:40:41:451,1,534,1,0,522,6370,534,0 75,0,2024-09-07 09:40:41:792,134312,0.6,133493,0.8,267889,0.5,357647,2.25 75,1,2024-09-07 09:40:41:594,938623,938623,0,0,440625415452,4591665853,930727,7033,863,380,391739,0 75,2,2024-09-07 09:40:41:372,673355,673355,0,0,34275313,0,4766 75,3,2024-09-07 09:40:41:067,1,534,1,0,702,6234,534,0 76,0,2024-09-07 09:40:40:581,130723,0.5,130009,0.7,260553,0.5,348657,2.25 76,1,2024-09-07 09:40:40:812,938116,938116,0,0,440733407784,4595225392,931483,5666,967,382,391723,0 76,2,2024-09-07 09:40:41:062,677101,677098,3,0,28914446,0,5265 76,3,2024-09-07 09:40:41:142,1,534,1,0,227,4920,534,0 77,0,2024-09-07 09:40:41:704,131750,0.5,132075,0.7,264273,0.5,351207,2.00 77,1,2024-09-07 09:40:40:828,938292,938292,0,0,440433501249,4592150575,931446,6250,596,381,391869,0 77,2,2024-09-07 09:40:41:284,675737,675737,0,0,28501817,0,3890 77,3,2024-09-07 09:40:41:099,1,534,1,0,401,5620,534,0 78,0,2024-09-07 09:40:41:723,138639,0.4,138101,0.6,277037,0.4,366810,2.00 78,1,2024-09-07 09:40:40:613,938464,938464,0,0,440460173160,4591127863,927690,8247,2527,367,391670,0 78,2,2024-09-07 09:40:41:406,677177,677164,13,0,26455711,0,8313 78,3,2024-09-07 09:40:41:133,1,534,1,0,311,4609,534,0 79,0,2024-09-07 09:40:41:383,131297,0.4,134432,0.6,275355,0.4,358624,2.25 79,1,2024-09-07 09:40:40:570,940781,940781,0,0,441640163105,4574296297,933042,6382,1357,367,391682,0 79,2,2024-09-07 09:40:41:067,676704,676704,0,0,25409388,0,4195 79,3,2024-09-07 09:40:40:753,1,534,16,0,418,6333,534,0 80,0,2024-09-07 09:40:41:090,129780,0.5,133451,0.7,255221,0.5,345492,2.00 80,1,2024-09-07 09:40:41:624,938133,938133,0,0,441043644108,4588358533,932301,5404,428,368,392269,0 80,2,2024-09-07 09:40:41:098,677263,677263,0,0,26006242,0,4433 80,3,2024-09-07 09:40:40:575,1,534,8,0,296,6255,534,0 81,0,2024-09-07 09:40:41:533,130830,0.5,133880,0.7,255411,0.5,347318,2.00 81,1,2024-09-07 09:40:41:650,937212,937212,0,0,440335455568,4601190994,929806,6767,639,382,391885,0 81,2,2024-09-07 09:40:41:138,674443,674380,63,0,28976426,0,5932 81,3,2024-09-07 09:40:41:118,1,534,1,0,719,6014,534,0 82,0,2024-09-07 09:40:41:577,136753,0.5,137004,0.7,274448,0.4,364013,2.00 82,1,2024-09-07 09:40:40:582,939665,939661,0,4,441643165493,4589374826,934578,4262,821,381,391768,4 82,2,2024-09-07 09:40:41:701,676585,676585,0,0,24117908,0,4484 82,3,2024-09-07 09:40:41:752,1,534,1,0,363,5017,534,0 83,0,2024-09-07 09:40:41:519,136220,0.6,136202,0.8,271840,0.6,361370,2.25 83,1,2024-09-07 09:40:40:570,938361,938361,0,0,440053879883,4585302413,932000,5904,457,382,391709,0 83,2,2024-09-07 09:40:40:770,678689,678664,25,0,26134341,0,5612 83,3,2024-09-07 09:40:40:749,1,534,1,0,1260,6524,534,0 84,0,2024-09-07 09:40:41:773,129787,0.7,129976,0.9,259565,0.7,346958,2.25 84,1,2024-09-07 09:40:41:044,937216,937216,0,0,439783780582,4595689171,926970,8719,1527,367,391967,0 84,2,2024-09-07 09:40:40:594,673986,673956,30,0,34308375,0,5971 84,3,2024-09-07 09:40:41:140,1,534,1,0,908,7427,534,0 85,0,2024-09-07 09:40:41:069,125434,0.5,125448,0.7,266305,0.5,344674,2.25 85,1,2024-09-07 09:40:40:566,933871,933871,0,0,439497868280,4638320348,919591,11622,2658,381,392092,0 85,2,2024-09-07 09:40:40:872,672826,672826,0,0,32034323,0,4255 85,3,2024-09-07 09:40:40:693,1,534,1,0,789,6070,534,0 86,0,2024-09-07 09:40:40:988,135338,0.6,138997,0.7,265920,0.6,360675,2.25 86,1,2024-09-07 09:40:40:830,936519,936519,0,0,440542170348,4613096880,925213,9328,1978,366,391995,0 86,2,2024-09-07 09:40:40:868,674209,674208,1,0,34522035,0,5004 86,3,2024-09-07 09:40:40:614,1,534,1,0,308,7590,534,0 87,0,2024-09-07 09:40:41:295,137476,0.9,137201,0.9,274384,1.1,366481,2.25 87,1,2024-09-07 09:40:40:566,935567,935567,0,0,439394562242,4602555823,923358,10493,1716,366,392076,0 87,2,2024-09-07 09:40:41:090,677074,677068,6,0,30495470,0,6323 87,3,2024-09-07 09:40:41:796,1,534,2,0,473,7948,534,0 88,0,2024-09-07 09:40:41:473,132813,0.5,133395,0.6,266567,0.4,354474,1.75 88,1,2024-09-07 09:40:40:574,935333,935333,0,0,439914037001,4605592812,922682,10033,2618,365,392084,0 88,2,2024-09-07 09:40:40:702,674185,674185,0,0,32943565,0,4465 88,3,2024-09-07 09:40:41:268,1,534,1,0,435,6780,534,0 89,0,2024-09-07 09:40:41:771,135168,0.4,131040,0.6,258852,0.4,351293,1.75 89,1,2024-09-07 09:40:40:563,935762,935762,0,0,439196843672,4618713231,924326,9618,1818,382,391866,0 89,2,2024-09-07 09:40:41:137,673789,673789,0,0,31351564,0,3173 89,3,2024-09-07 09:40:41:790,1,534,1,0,468,8701,534,0 90,0,2024-09-07 09:40:41:614,129087,0.4,132644,0.6,270360,0.4,353090,1.75 90,1,2024-09-07 09:40:40:590,936862,936862,0,0,439764081397,4601221485,928339,7789,734,380,391825,0 90,2,2024-09-07 09:40:41:409,674228,674228,0,0,33596994,0,3608 90,3,2024-09-07 09:40:40:931,1,534,5,0,322,6502,534,0 91,0,2024-09-07 09:40:40:929,138666,0.5,134478,0.6,281165,0.4,368820,1.75 91,1,2024-09-07 09:40:40:559,934843,934843,0,0,439352799917,4617666729,923232,9598,2013,381,392047,0 91,2,2024-09-07 09:40:41:339,676405,676405,0,0,29626050,0,4713 91,3,2024-09-07 09:40:40:601,1,534,1,0,216,5019,534,0 92,0,2024-09-07 09:40:41:483,137167,0.5,140122,0.6,267268,0.5,362070,1.75 92,1,2024-09-07 09:40:40:758,937520,937520,0,0,441356965166,4608866455,929997,6316,1207,381,392136,0 92,2,2024-09-07 09:40:41:355,675561,675561,0,0,26681775,0,3259 92,3,2024-09-07 09:40:41:009,1,534,6,0,167,4574,534,0 93,0,2024-09-07 09:40:40:967,131384,0.4,134712,0.6,257121,0.3,348843,1.75 93,1,2024-09-07 09:40:40:820,938067,938067,0,0,439999026485,4591794907,927771,8562,1734,366,391776,0 93,2,2024-09-07 09:40:40:929,675559,675559,0,0,32451046,0,4913 93,3,2024-09-07 09:40:41:407,1,534,6,0,190,4766,534,0 94,0,2024-09-07 09:40:41:626,130798,0.3,131682,0.5,263230,0.3,349510,1.75 94,1,2024-09-07 09:40:40:564,938478,938478,0,0,441207629836,4599591200,932366,5736,376,381,391850,0 94,2,2024-09-07 09:40:40:787,675843,675815,28,0,27787004,0,6179 94,3,2024-09-07 09:40:41:704,1,534,15,0,576,6683,534,0 95,0,2024-09-07 09:40:41:373,137391,0.3,137366,0.5,274835,0.3,366966,1.75 95,1,2024-09-07 09:40:40:854,939641,939641,0,0,441946352834,4590854379,932283,6699,659,365,391852,0 95,2,2024-09-07 09:40:41:018,674635,674635,0,0,26501244,0,3308 95,3,2024-09-07 09:40:41:719,1,534,8,0,718,8207,534,0 96,0,2024-09-07 09:40:41:077,136673,0.4,136800,0.5,273499,0.3,363603,1.75 96,1,2024-09-07 09:40:41:603,937834,937834,0,0,440202094958,4589594556,931435,5484,915,384,391964,0 96,2,2024-09-07 09:40:41:269,678097,678097,0,0,27498374,0,4180 96,3,2024-09-07 09:40:41:139,1,534,2,0,411,5662,534,0 97,0,2024-09-07 09:40:41:314,129886,0.3,129829,0.5,260731,0.3,345809,1.50 97,1,2024-09-07 09:40:40:764,939970,939970,0,0,441548644367,4578322523,934199,4826,945,367,392140,0 97,2,2024-09-07 09:40:40:621,676534,676534,0,0,27010739,0,3679 97,3,2024-09-07 09:40:40:570,1,534,0,0,242,6086,534,0 98,0,2024-09-07 09:40:41:726,130088,0.3,130155,0.4,261258,0.2,347016,1.50 98,1,2024-09-07 09:40:40:571,939001,939001,0,0,440185005643,4582284774,933578,4622,801,381,391997,0 98,2,2024-09-07 09:40:40:771,675053,675053,0,0,26559485,0,4336 98,3,2024-09-07 09:40:40:705,1,534,2,0,840,8271,534,0 99,0,2024-09-07 09:40:41:457,136432,0.3,137117,0.4,272942,0.3,364664,1.75 99,1,2024-09-07 09:40:41:723,939030,939030,0,0,440190857620,4580741243,933051,5050,929,380,392069,0 99,2,2024-09-07 09:40:41:428,675757,675757,0,0,32009562,0,4276 99,3,2024-09-07 09:40:40:581,1,534,11,0,606,5309,534,0 100,0,2024-09-07 09:40:41:508,136400,0.8,136636,0.9,272861,1.0,364273,2.50 100,1,2024-09-07 09:40:40:550,933982,933982,0,0,438249943886,4631127158,919634,11249,3099,378,391989,0 100,2,2024-09-07 09:40:41:819,675946,675935,11,0,31289342,0,5417 100,3,2024-09-07 09:40:41:731,1,534,1,0,627,9477,534,0 101,0,2024-09-07 09:40:41:712,134322,1.2,130944,1.1,256636,1.2,350715,2.25 101,1,2024-09-07 09:40:41:035,935375,935375,0,0,439156043348,4612241760,922973,10000,2402,368,391847,0 101,2,2024-09-07 09:40:41:762,670235,670235,0,0,36247567,0,4871 101,3,2024-09-07 09:40:40:950,1,534,7,0,1250,8130,534,0 102,0,2024-09-07 09:40:40:953,127176,0.6,131129,0.7,266109,0.5,347837,2.00 102,1,2024-09-07 09:40:41:149,935381,935381,0,0,438985785425,4608440128,923165,10194,2022,369,391891,0 102,2,2024-09-07 09:40:41:743,673945,673891,54,0,30636922,0,6768 102,3,2024-09-07 09:40:41:613,1,534,6,0,466,6174,534,0 103,0,2024-09-07 09:40:41:593,138533,0.5,138565,0.7,261072,0.5,359902,2.00 103,1,2024-09-07 09:40:41:625,934022,934022,0,0,438578850544,4627154257,919822,11000,3200,381,391862,0 103,2,2024-09-07 09:40:40:598,674853,674853,0,0,32466166,0,3766 103,3,2024-09-07 09:40:40:755,1,534,0,0,916,6314,534,0 104,0,2024-09-07 09:40:41:025,137184,0.7,137297,0.9,274041,0.7,366523,2.25 104,1,2024-09-07 09:40:41:602,936886,936886,0,0,439777729004,4616738176,923820,10515,2551,365,392168,0 104,2,2024-09-07 09:40:41:666,674131,674131,0,0,31552389,0,3941 104,3,2024-09-07 09:40:41:426,1,534,3,0,1245,9221,534,0 105,0,2024-09-07 09:40:41:103,132653,0.9,129232,1.1,270974,1.1,355734,2.75 105,1,2024-09-07 09:40:40:968,938035,938035,0,0,440749768616,4614717224,926429,9837,1769,365,392009,0 105,2,2024-09-07 09:40:41:321,673143,673143,0,0,31633636,0,4360 105,3,2024-09-07 09:40:41:310,1,534,2,0,399,7486,534,0 106,0,2024-09-07 09:40:40:959,126764,0.7,129815,0.9,266029,0.7,347306,2.50 106,1,2024-09-07 09:40:41:752,937060,937060,0,0,439732051308,4610613002,924440,10971,1649,368,391914,0 106,2,2024-09-07 09:40:40:764,673849,673849,0,0,29853125,0,2920 106,3,2024-09-07 09:40:40:681,1,534,0,0,1224,7983,534,0 107,0,2024-09-07 09:40:41:118,132021,0.7,132021,0.8,264016,0.7,352279,2.00 107,1,2024-09-07 09:40:40:592,934831,934831,0,0,438682526109,4622752799,921924,11514,1393,381,392234,0 107,2,2024-09-07 09:40:41:291,673716,673715,1,0,32682657,0,5024 107,3,2024-09-07 09:40:41:764,1,534,2,0,733,7973,534,0 108,0,2024-09-07 09:40:41:829,138127,0.4,138749,0.6,276289,0.4,368395,1.75 108,1,2024-09-07 09:40:41:293,937631,937631,0,0,440927099825,4597623388,929711,6948,972,367,391894,0 108,2,2024-09-07 09:40:41:776,674675,674675,0,0,29261555,0,4246 108,3,2024-09-07 09:40:41:331,1,534,14,0,749,10397,534,0 109,0,2024-09-07 09:40:41:745,136623,0.4,135504,0.6,271514,0.4,362779,1.75 109,1,2024-09-07 09:40:40:590,935056,935056,0,0,439774628361,4611933112,926749,7090,1217,382,392132,0 109,2,2024-09-07 09:40:40:920,674398,674398,0,0,29201269,0,3617 109,3,2024-09-07 09:40:41:143,1,534,21,0,630,6611,534,0 110,0,2024-09-07 09:40:41:805,129960,0.4,126386,0.6,264619,0.3,346886,1.75 110,1,2024-09-07 09:40:41:658,938931,938931,0,0,441526356585,4583836805,931396,5613,1922,368,392045,0 110,2,2024-09-07 09:40:41:321,675647,675647,0,0,27631174,0,4067 110,3,2024-09-07 09:40:40:691,1,534,1,0,722,7339,534,0 111,0,2024-09-07 09:40:41:414,131136,0.3,130410,0.5,261218,0.3,348665,1.75 111,1,2024-09-07 09:40:41:000,940392,940392,0,0,441931938629,4580350379,935847,4188,357,380,391690,0 111,2,2024-09-07 09:40:41:125,674901,674901,0,0,28181986,0,4823 111,3,2024-09-07 09:40:40:920,1,534,1,0,379,6040,534,0 112,0,2024-09-07 09:40:40:921,137824,0.3,137131,0.4,275080,0.2,365097,1.50 112,1,2024-09-07 09:40:40:832,939305,939305,0,0,441491716871,4581574458,933398,5216,691,380,391624,0 112,2,2024-09-07 09:40:41:134,674760,674759,1,0,26690368,0,5036 112,3,2024-09-07 09:40:40:595,1,534,0,0,282,5055,534,0 113,0,2024-09-07 09:40:40:873,136365,0.3,136294,0.5,272891,0.3,363510,1.75 113,1,2024-09-07 09:40:41:685,941897,941897,0,0,442648917189,4565904672,936642,4440,815,365,391664,0 113,2,2024-09-07 09:40:41:303,681174,681174,0,0,24309999,0,3813 113,3,2024-09-07 09:40:40:691,1,534,1,0,340,5614,534,0 114,0,2024-09-07 09:40:40:876,131270,0.3,132090,0.5,262557,0.2,350870,1.75 114,1,2024-09-07 09:40:40:719,939009,939009,0,0,441797456667,4586024426,932098,5295,1616,381,391565,0 114,2,2024-09-07 09:40:40:874,675982,675981,1,0,26348816,0,5069 114,3,2024-09-07 09:40:41:280,1,534,1,0,395,4251,534,0 115,0,2024-09-07 09:40:40:554,130842,0.3,131431,0.4,261887,0.2,348332,1.50 115,1,2024-09-07 09:40:40:571,939818,939818,0,0,441710417399,4587598718,932416,6045,1357,382,391757,0 115,2,2024-09-07 09:40:41:124,676957,676957,0,0,25784834,0,4382 115,3,2024-09-07 09:40:41:010,1,534,1,0,167,3025,534,0 116,0,2024-09-07 09:40:41:712,134949,0.6,134832,0.8,270298,0.5,361596,2.00 116,1,2024-09-07 09:40:40:812,934933,934933,0,0,439374961137,4627660736,924263,8224,2446,380,392089,0 116,2,2024-09-07 09:40:41:752,674733,674733,0,0,32918651,0,4475 116,3,2024-09-07 09:40:40:919,1,534,2,0,415,6501,534,0 117,0,2024-09-07 09:40:40:982,137774,0.7,137156,0.8,274852,0.8,367135,2.00 117,1,2024-09-07 09:40:41:580,936265,936265,0,0,440092200327,4604062047,926232,8808,1225,369,392033,0 117,2,2024-09-07 09:40:41:130,678997,678997,0,0,28849618,0,4303 117,3,2024-09-07 09:40:41:063,1,534,2,0,490,7199,534,0 118,0,2024-09-07 09:40:41:772,128804,0.6,132395,0.7,269922,0.6,352947,2.00 118,1,2024-09-07 09:40:40:585,935336,935336,0,0,439173071008,4613395904,921829,10384,3123,366,392054,0 118,2,2024-09-07 09:40:41:608,673280,673280,0,0,31346124,0,2842 118,3,2024-09-07 09:40:41:764,1,534,2,0,248,5917,534,0 119,0,2024-09-07 09:40:41:372,131000,0.6,131486,0.7,262579,0.6,349454,2.00 119,1,2024-09-07 09:40:40:549,936632,936632,0,0,440063757428,4608292544,924899,9965,1768,367,391857,0 119,2,2024-09-07 09:40:41:262,675107,675107,0,0,29197755,0,4174 119,3,2024-09-07 09:40:41:325,1,534,1,0,1358,9818,534,0 120,0,2024-09-07 09:40:41:586,132338,0.6,132052,0.8,265383,0.6,353820,2.25 120,1,2024-09-07 09:40:40:896,937241,937241,0,0,439844798045,4606678687,927938,8522,781,367,392144,0 120,2,2024-09-07 09:40:40:825,675493,675492,1,0,33914438,0,5281 120,3,2024-09-07 09:40:41:290,1,534,17,0,279,6601,534,0 121,0,2024-09-07 09:40:41:709,138403,1.3,137757,1.1,276021,1.7,367830,2.25 121,1,2024-09-07 09:40:41:656,937258,937258,0,0,439740373241,4593683549,928516,8041,701,366,391840,0 121,2,2024-09-07 09:40:41:134,674910,674910,0,0,31137693,0,4157 121,3,2024-09-07 09:40:40:727,1,534,3,0,330,6326,534,0 122,0,2024-09-07 09:40:41:837,134996,0.9,131389,0.9,275178,1.0,361910,2.25 122,1,2024-09-07 09:40:40:861,936064,936064,0,0,440074849723,4613351384,923600,10577,1887,366,392130,0 122,2,2024-09-07 09:40:41:324,675328,675253,75,0,35330581,0,5989 122,3,2024-09-07 09:40:40:596,1,534,1,0,512,8882,534,0 123,0,2024-09-07 09:40:40:951,130167,0.8,126594,0.8,265089,0.9,347506,2.25 123,1,2024-09-07 09:40:40:558,936486,936486,0,0,439769892024,4623168301,921271,12710,2505,369,392039,0 123,2,2024-09-07 09:40:41:018,673043,673042,1,0,30069691,0,5215 123,3,2024-09-07 09:40:41:132,1,534,1,0,478,6131,534,0 124,0,2024-09-07 09:40:40:949,135169,0.3,135185,0.5,254690,0.3,350782,1.75 124,1,2024-09-07 09:40:41:021,938970,938970,0,0,440019661996,4572675933,932153,5684,1133,365,392178,0 124,2,2024-09-07 09:40:41:011,676775,676722,53,0,27496137,0,6487 124,3,2024-09-07 09:40:40:771,1,534,17,0,490,5514,534,0 125,0,2024-09-07 09:40:41:452,137246,0.4,137025,0.5,275236,0.3,366926,1.75 125,1,2024-09-07 09:40:40:861,937751,937751,0,0,439435063350,4585246345,930767,6000,984,382,391813,0 125,2,2024-09-07 09:40:41:117,677407,677407,0,0,27509395,0,4534 125,3,2024-09-07 09:40:41:130,1,534,1,0,709,6284,534,0 126,0,2024-09-07 09:40:41:440,136705,0.4,140573,0.6,268930,0.4,364294,1.75 126,1,2024-09-07 09:40:40:551,940869,940869,0,0,441767944998,4571197208,936180,4377,312,365,391987,0 126,2,2024-09-07 09:40:40:635,678412,678412,0,0,28339477,0,4539 126,3,2024-09-07 09:40:40:907,1,534,1,0,268,5933,534,0 127,0,2024-09-07 09:40:41:604,130217,0.3,130547,0.5,260497,0.3,346148,1.75 127,1,2024-09-07 09:40:40:569,938800,938800,0,0,439996081788,4577097860,929425,7870,1505,364,392187,0 127,2,2024-09-07 09:40:40:637,674735,674731,4,0,27032086,0,5305 127,3,2024-09-07 09:40:41:267,1,534,22,0,968,5281,534,0 128,0,2024-09-07 09:40:41:522,131008,0.3,131026,0.4,261500,0.2,347883,1.50 128,1,2024-09-07 09:40:41:612,937932,937932,0,0,441330653766,4583323273,931424,5772,736,367,392031,0 128,2,2024-09-07 09:40:41:391,676100,676100,0,0,25301648,0,3171 128,3,2024-09-07 09:40:40:767,1,534,1,0,1082,8386,534,0 129,0,2024-09-07 09:40:41:009,137547,0.3,136974,0.4,274437,0.3,365072,1.50 129,1,2024-09-07 09:40:40:567,935293,935293,0,0,439102236718,4599959106,926260,7088,1945,379,391962,0 129,2,2024-09-07 09:40:40:689,676145,676141,4,0,27600128,0,5335 129,3,2024-09-07 09:40:40:690,1,534,0,0,506,7397,534,0 130,0,2024-09-07 09:40:41:755,137536,0.4,137061,0.6,274873,0.4,365750,1.75 130,1,2024-09-07 09:40:40:583,939085,939085,0,0,441160413676,4580975771,934281,4416,388,381,391825,0 130,2,2024-09-07 09:40:41:125,678997,678997,0,0,27592788,0,4067 130,3,2024-09-07 09:40:41:290,1,534,10,0,960,7421,534,0 131,0,2024-09-07 09:40:41:943,131873,0.4,132288,0.5,264998,0.3,351580,1.75 131,1,2024-09-07 09:40:41:821,938845,938845,0,0,440886925289,4597169019,931954,5699,1192,381,391865,0 131,2,2024-09-07 09:40:40:576,675004,675004,0,0,25594391,0,3979 131,3,2024-09-07 09:40:41:688,1,534,1,0,392,6568,534,0 132,0,2024-09-07 09:40:41:437,130840,0.4,131875,0.6,262506,0.4,349057,1.75 132,1,2024-09-07 09:40:40:576,934935,934935,0,0,439206126151,4617032950,922446,10291,2198,381,392532,0 132,2,2024-09-07 09:40:40:705,674390,674373,17,0,33334140,0,6451 132,3,2024-09-07 09:40:41:699,1,534,1,0,804,8768,534,0 133,0,2024-09-07 09:40:41:519,130816,0.4,133800,0.6,274666,0.3,357777,1.75 133,1,2024-09-07 09:40:40:592,934564,934564,0,0,439742992834,4630814446,922052,10821,1691,383,391914,0 133,2,2024-09-07 09:40:41:087,676831,676781,50,0,33744101,0,6861 133,3,2024-09-07 09:40:41:297,1,534,0,0,479,6297,534,0 134,0,2024-09-07 09:40:40:941,138464,0.5,138429,0.7,277217,0.5,368819,2.00 134,1,2024-09-07 09:40:40:596,936478,936478,0,0,438935401152,4598499652,925079,9252,2147,366,391718,0 134,2,2024-09-07 09:40:41:767,675982,675958,24,0,31765189,0,6207 134,3,2024-09-07 09:40:40:749,1,534,1,0,739,6353,534,0 135,0,2024-09-07 09:40:41:108,129905,0.9,129935,0.9,275768,1.1,355224,2.25 135,1,2024-09-07 09:40:41:607,936188,936188,0,0,440294007289,4626602504,924546,10075,1567,380,391805,0 135,2,2024-09-07 09:40:40:688,674740,674740,0,0,31948864,0,4503 135,3,2024-09-07 09:40:41:007,1,534,1,0,900,5375,534,0 136,0,2024-09-07 09:40:41:613,131676,0.5,131940,0.7,262771,0.5,350444,2.00 136,1,2024-09-07 09:40:41:457,936908,936908,0,0,439226111757,4601750143,926519,9119,1270,381,392135,0 136,2,2024-09-07 09:40:41:135,676192,676177,15,0,31238795,0,6007 136,3,2024-09-07 09:40:41:107,1,534,5,0,637,6307,534,0 137,0,2024-09-07 09:40:40:954,136197,0.5,132803,0.7,260069,0.5,353501,2.00 137,1,2024-09-07 09:40:40:574,935250,935250,0,0,439577355253,4603887371,921787,10790,2673,366,391898,0 137,2,2024-09-07 09:40:41:712,674629,674629,0,0,32905816,0,3185 137,3,2024-09-07 09:40:40:771,1,534,0,0,484,6928,534,0 138,0,2024-09-07 09:40:41:762,137630,0.8,137962,0.9,276384,0.9,366883,2.00 138,1,2024-09-07 09:40:41:685,936283,936283,0,0,440262395558,4613674781,923536,10776,1971,368,391954,0 138,2,2024-09-07 09:40:40:585,674859,674859,0,0,30246604,0,4988 138,3,2024-09-07 09:40:40:623,1,534,1,0,1200,8596,534,0 139,0,2024-09-07 09:40:41:421,134962,1.2,135335,1.0,270689,1.8,361233,2.50 139,1,2024-09-07 09:40:40:573,932449,932449,0,0,437610292544,4637018803,916499,12488,3462,380,392109,0 139,2,2024-09-07 09:40:40:693,671995,671995,0,0,33623508,0,3097 139,3,2024-09-07 09:40:41:668,1,534,1,0,432,6054,534,0 140,0,2024-09-07 09:40:41:615,130579,0.3,129714,0.5,260384,0.2,347119,1.75 140,1,2024-09-07 09:40:41:578,941710,941710,0,0,443058397051,4565436656,937126,4046,538,364,391606,0 140,2,2024-09-07 09:40:40:693,676281,676280,1,0,25748581,0,5036 140,3,2024-09-07 09:40:40:770,1,534,3,0,297,4899,534,0 141,0,2024-09-07 09:40:41:702,130899,0.3,134417,0.4,256708,0.2,348440,1.50 141,1,2024-09-07 09:40:40:860,940094,940094,0,0,442027146747,4589094017,932984,6024,1086,379,391614,0 141,2,2024-09-07 09:40:41:690,675613,675602,11,0,27395124,0,5369 141,3,2024-09-07 09:40:41:044,1,534,10,0,391,5994,534,0 142,0,2024-09-07 09:40:41:303,137869,0.3,136865,0.5,274327,0.3,365977,1.50 142,1,2024-09-07 09:40:40:583,938038,938038,0,0,440425065913,4588380261,932370,5209,459,382,392102,0 142,2,2024-09-07 09:40:41:299,674183,674151,32,0,27910966,0,6028 142,3,2024-09-07 09:40:41:747,1,534,42,0,484,5557,534,0 143,0,2024-09-07 09:40:41:374,136207,0.4,136172,0.6,273246,0.4,363503,1.75 143,1,2024-09-07 09:40:40:556,940122,940122,0,0,441563406094,4580455114,934034,5529,559,367,391722,0 143,2,2024-09-07 09:40:40:775,679347,679347,0,0,27416268,0,3123 143,3,2024-09-07 09:40:41:141,1,534,1,0,462,6595,534,0 144,0,2024-09-07 09:40:41:509,126877,0.6,130501,0.8,265608,0.6,347790,2.00 144,1,2024-09-07 09:40:40:568,935406,935406,0,0,439329686087,4608234546,926007,7431,1968,381,391733,0 144,2,2024-09-07 09:40:41:769,675257,675257,0,0,26291341,0,3673 144,3,2024-09-07 09:40:41:751,1,534,62,0,249,5209,534,0 145,0,2024-09-07 09:40:41:366,126282,0.5,126205,0.7,267974,0.4,345085,2.25 145,1,2024-09-07 09:40:40:561,935163,935163,0,0,439090767173,4619185559,922785,9910,2468,382,391759,0 145,2,2024-09-07 09:40:41:448,672118,672036,82,0,32106510,0,7814 145,3,2024-09-07 09:40:40:901,1,534,2,0,622,7482,534,0 146,0,2024-09-07 09:40:41:611,135350,0.5,134770,0.7,270688,0.5,360020,2.00 146,1,2024-09-07 09:40:41:585,936354,936354,0,0,439840108901,4620120167,921908,10643,3803,367,391770,0 146,2,2024-09-07 09:40:41:699,674222,674216,6,0,30406605,0,5151 146,3,2024-09-07 09:40:41:279,1,534,1,0,1520,9048,534,0 147,0,2024-09-07 09:40:41:718,137593,0.6,137395,0.7,274398,0.6,366274,2.25 147,1,2024-09-07 09:40:41:373,939562,939562,0,0,441621470961,4586477470,932270,6412,880,367,391791,0 147,2,2024-09-07 09:40:41:009,677043,677043,0,0,27191123,0,2968 147,3,2024-09-07 09:40:40:913,1,534,1,0,1626,8604,534,0 0,0,2024-09-07 09:40:51:707,129516,0.5,129576,0.7,274877,0.5,355409,2.00 0,1,2024-09-07 09:40:50:818,938219,938219,0,0,440982660556,4615524349,930660,6716,843,369,391896,0 0,2,2024-09-07 09:40:51:100,678903,678903,0,0,27317348,0,4480 0,3,2024-09-07 09:40:50:981,1,535,2,0,431,7632,535,0 1,0,2024-09-07 09:40:51:759,138332,0.9,137364,0.9,276211,1.2,368519,2.00 1,1,2024-09-07 09:40:50:563,937277,937277,0,0,439840963387,4607310968,928523,7285,1469,370,391859,0 1,2,2024-09-07 09:40:50:666,677669,677669,0,0,26638329,0,3380 1,3,2024-09-07 09:40:51:318,1,535,12,0,269,6617,535,0 2,0,2024-09-07 09:40:51:566,135476,0.6,135398,0.8,270476,0.6,360997,2.00 2,1,2024-09-07 09:40:50:865,940450,940450,0,0,441833987364,4590736972,935342,4180,928,379,391745,0 2,2,2024-09-07 09:40:51:285,676621,676621,0,0,25169764,0,3594 2,3,2024-09-07 09:40:50:693,1,535,1,0,357,4762,535,0 3,0,2024-09-07 09:40:51:744,130907,0.4,130832,0.6,261053,0.3,348404,2.00 3,1,2024-09-07 09:40:51:623,939324,939324,0,0,441516835481,4594333031,931855,6766,703,379,391716,0 3,2,2024-09-07 09:40:51:158,677225,677202,23,0,26417811,0,5851 3,3,2024-09-07 09:40:51:754,1,535,0,0,275,3553,535,0 4,0,2024-09-07 09:40:51:869,127712,0.3,131168,0.5,267423,0.3,349937,1.75 4,1,2024-09-07 09:40:50:614,935992,935992,0,0,438854693536,4645258089,919634,12669,3689,370,391992,0 4,2,2024-09-07 09:40:51:059,674264,674264,0,0,32151652,0,4534 4,3,2024-09-07 09:40:51:036,1,535,25,0,448,6769,535,0 5,0,2024-09-07 09:40:51:408,137865,0.4,138093,0.5,276137,0.4,367886,1.75 5,1,2024-09-07 09:40:50:778,937064,937064,0,0,440855974056,4636460268,924307,10342,2415,367,392005,0 5,2,2024-09-07 09:40:51:838,673710,673710,0,0,31147562,0,3582 5,3,2024-09-07 09:40:51:732,1,535,1,0,457,7228,535,0 6,0,2024-09-07 09:40:50:922,136867,0.5,136477,0.7,273236,0.5,364292,2.00 6,1,2024-09-07 09:40:50:750,938960,938960,0,0,440704967255,4600376606,929383,8118,1459,379,391702,0 6,2,2024-09-07 09:40:51:119,678271,678253,18,0,30082376,0,5535 6,3,2024-09-07 09:40:51:279,1,535,0,0,710,6267,535,0 7,0,2024-09-07 09:40:51:532,129432,0.5,130282,0.7,259432,0.5,345205,2.00 7,1,2024-09-07 09:40:50:870,937546,937546,0,0,440610018818,4624131646,924618,10696,2232,382,391747,0 7,2,2024-09-07 09:40:50:772,676278,676278,0,0,29272498,0,4791 7,3,2024-09-07 09:40:50:869,1,535,2,0,552,5914,535,0 8,0,2024-09-07 09:40:51:378,130980,0.3,130486,0.5,261763,0.3,348546,1.50 8,1,2024-09-07 09:40:51:040,935429,935429,0,0,440077628345,4622781412,921163,11134,3132,366,392853,0 8,2,2024-09-07 09:40:50:803,671718,671716,2,0,35056609,0,5112 8,3,2024-09-07 09:40:50:589,1,535,0,0,538,7860,535,0 9,0,2024-09-07 09:40:51:127,136685,0.3,132985,0.5,278695,0.3,365571,1.75 9,1,2024-09-07 09:40:50:571,936099,936099,0,0,439966888901,4630822835,922600,10846,2653,369,392001,0 9,2,2024-09-07 09:40:51:122,674916,674915,1,0,31747212,0,5281 9,3,2024-09-07 09:40:51:753,1,535,0,0,1273,8835,535,0 10,0,2024-09-07 09:40:51:602,136773,0.4,136366,0.5,273450,0.3,364322,1.75 10,1,2024-09-07 09:40:50:593,938163,938163,0,0,440441577968,4611144273,925795,10278,2090,381,391869,0 10,2,2024-09-07 09:40:50:769,679701,679701,0,0,34543552,0,4264 10,3,2024-09-07 09:40:50:871,1,535,14,0,649,5537,535,0 11,0,2024-09-07 09:40:51:016,131614,0.5,127707,0.6,267110,0.4,351741,1.75 11,1,2024-09-07 09:40:50:578,939580,939580,0,0,440605684181,4616972537,926102,10016,3462,383,391766,0 11,2,2024-09-07 09:40:51:123,675114,675114,0,0,30204673,0,4130 11,3,2024-09-07 09:40:51:304,1,535,1,0,843,7127,535,0 12,0,2024-09-07 09:40:50:947,131664,0.4,131719,0.5,263383,0.3,349683,1.75 12,1,2024-09-07 09:40:50:938,938764,938764,0,0,440305243859,4591634235,931084,6795,885,370,391960,0 12,2,2024-09-07 09:40:51:542,674959,674959,0,0,30171555,0,4390 12,3,2024-09-07 09:40:51:072,1,535,1,0,386,7103,535,0 13,0,2024-09-07 09:40:51:344,135501,0.4,135298,0.5,269883,0.4,360434,1.75 13,1,2024-09-07 09:40:51:540,936588,936588,0,0,439679536738,4619292922,926950,7342,2296,382,391740,0 13,2,2024-09-07 09:40:50:602,678557,678557,0,0,27105974,0,3287 13,3,2024-09-07 09:40:51:776,1,535,1,0,522,7384,535,0 14,0,2024-09-07 09:40:50:582,138351,0.4,139317,0.6,276507,0.4,368003,1.75 14,1,2024-09-07 09:40:51:566,943019,943019,0,0,442838948956,4580037577,936102,6189,728,364,391673,0 14,2,2024-09-07 09:40:50:763,679000,678970,30,0,28464963,0,6104 14,3,2024-09-07 09:40:51:129,1,535,14,0,1168,6055,535,0 15,0,2024-09-07 09:40:51:552,133850,0.4,133260,0.7,267434,0.4,356149,2.00 15,1,2024-09-07 09:40:51:622,940544,940544,0,0,441641086839,4597554887,932807,6251,1486,381,391619,0 15,2,2024-09-07 09:40:51:223,677953,677953,0,0,23879909,0,3622 15,3,2024-09-07 09:40:51:413,1,535,0,0,1126,7329,535,0 16,0,2024-09-07 09:40:51:030,131277,0.5,132025,0.7,263335,0.5,350318,2.00 16,1,2024-09-07 09:40:50:575,940172,940172,0,0,441155517955,4610366072,931744,7126,1302,370,392194,0 16,2,2024-09-07 09:40:51:448,675664,675664,0,0,28225763,0,4719 16,3,2024-09-07 09:40:51:147,1,535,3,0,358,6661,535,0 17,0,2024-09-07 09:40:51:771,136673,0.5,133140,0.7,261084,0.5,354487,2.00 17,1,2024-09-07 09:40:50:574,938094,938094,0,0,440552244781,4619245666,928783,7560,1751,368,392075,0 17,2,2024-09-07 09:40:51:666,680012,680011,1,0,29062728,0,5050 17,3,2024-09-07 09:40:50:576,1,535,1,0,518,7856,535,0 18,0,2024-09-07 09:40:50:989,137008,0.7,137926,0.8,274773,0.7,366732,2.25 18,1,2024-09-07 09:40:51:638,942088,942088,0,0,441476548125,4577383823,935972,5119,997,367,391725,0 18,2,2024-09-07 09:40:51:756,679725,679725,0,0,25768424,0,3541 18,3,2024-09-07 09:40:50:905,1,535,0,0,1059,4963,535,0 19,0,2024-09-07 09:40:51:542,135680,0.7,135966,0.8,270742,0.7,360606,2.25 19,1,2024-09-07 09:40:50:577,941447,941447,0,0,443039102416,4591696909,934456,5906,1085,367,391777,0 19,2,2024-09-07 09:40:51:755,679801,679801,0,0,24084106,0,3988 19,3,2024-09-07 09:40:51:135,1,535,1,0,524,4271,535,0 20,0,2024-09-07 09:40:51:381,129959,0.5,130279,0.7,260072,0.5,346933,2.00 20,1,2024-09-07 09:40:50:571,938118,938118,0,0,440712079387,4614080056,928907,7842,1369,369,391922,0 20,2,2024-09-07 09:40:50:930,675879,675879,0,0,29250585,0,3721 20,3,2024-09-07 09:40:50:601,1,535,8,0,468,8934,535,0 21,0,2024-09-07 09:40:51:194,131016,0.4,131203,0.6,262353,0.4,348559,1.75 21,1,2024-09-07 09:40:51:567,936633,936633,0,0,439108939743,4630196382,922473,11041,3119,368,392016,0 21,2,2024-09-07 09:40:51:074,673508,673488,20,0,34273540,0,5617 21,3,2024-09-07 09:40:51:473,1,535,8,0,713,6801,535,0 22,0,2024-09-07 09:40:51:717,137182,0.4,137816,0.6,274883,0.4,364801,2.00 22,1,2024-09-07 09:40:51:025,937479,937479,0,0,439442991296,4622767226,922246,12006,3227,382,391822,0 22,2,2024-09-07 09:40:50:761,674675,674649,26,0,28639511,0,6328 22,3,2024-09-07 09:40:51:070,1,535,0,0,228,4444,535,0 23,0,2024-09-07 09:40:51:373,136292,0.5,135888,0.7,271653,0.5,362657,2.25 23,1,2024-09-07 09:40:51:008,938527,938527,0,0,441373460638,4628499897,922820,11299,4408,365,391690,0 23,2,2024-09-07 09:40:51:102,680117,680117,0,0,27309060,0,3773 23,3,2024-09-07 09:40:51:754,1,535,1,0,855,8337,535,0 24,0,2024-09-07 09:40:50:863,131953,0.4,131173,0.6,263864,0.4,350208,1.75 24,1,2024-09-07 09:40:50:589,937591,937591,0,0,440079284051,4604788454,928622,7292,1677,367,392269,0 24,2,2024-09-07 09:40:51:080,675557,675557,0,0,32433838,0,4438 24,3,2024-09-07 09:40:51:694,1,535,9,0,468,6732,535,0 25,0,2024-09-07 09:40:51:378,134328,0.4,130931,0.6,256657,0.3,349823,1.75 25,1,2024-09-07 09:40:50:558,936699,936699,0,0,439583839945,4634072635,921714,12012,2973,369,391928,0 25,2,2024-09-07 09:40:51:629,674091,674091,0,0,33837099,0,3978 25,3,2024-09-07 09:40:51:251,1,535,2,0,532,5927,535,0 26,0,2024-09-07 09:40:51:720,135318,0.4,132441,0.6,277799,0.3,361899,1.75 26,1,2024-09-07 09:40:51:541,939693,939693,0,0,441255892145,4616741024,926853,10388,2452,380,391748,0 26,2,2024-09-07 09:40:50:861,676292,676292,0,0,35829504,0,4689 26,3,2024-09-07 09:40:51:712,1,535,1,0,796,5797,535,0 27,0,2024-09-07 09:40:51:724,137648,0.4,138336,0.6,274873,0.4,366362,2.25 27,1,2024-09-07 09:40:51:676,940386,940386,0,0,442724906583,4608067055,931830,7287,1269,381,391626,0 27,2,2024-09-07 09:40:50:882,676344,676279,65,0,30928229,0,5699 27,3,2024-09-07 09:40:51:015,1,535,2,0,564,4721,535,0 28,0,2024-09-07 09:40:51:454,133296,0.4,133026,0.6,266607,0.3,354996,1.75 28,1,2024-09-07 09:40:50:809,941149,941149,0,0,442316024615,4609084825,933372,6063,1714,382,391698,0 28,2,2024-09-07 09:40:51:771,676312,676312,0,0,27219275,0,2915 28,3,2024-09-07 09:40:51:779,1,535,1,0,502,5329,535,0 29,0,2024-09-07 09:40:51:357,135049,0.3,131447,0.6,257908,0.3,351207,1.75 29,1,2024-09-07 09:40:51:580,942989,942989,0,0,443190552533,4582239260,937431,4796,762,367,391809,0 29,2,2024-09-07 09:40:50:908,675385,675385,0,0,26767995,0,4986 29,3,2024-09-07 09:40:50:963,1,535,1,0,459,5786,535,0 30,0,2024-09-07 09:40:51:462,132813,0.4,129311,0.6,270970,0.4,354224,2.00 30,1,2024-09-07 09:40:50:574,942490,942490,0,0,443148779198,4597354602,935512,6076,902,380,391672,0 30,2,2024-09-07 09:40:51:273,677946,677946,0,0,25786730,0,4192 30,3,2024-09-07 09:40:50:581,1,535,1,0,519,5128,535,0 31,0,2024-09-07 09:40:51:763,138171,0.5,138668,0.6,276541,0.4,368851,2.00 31,1,2024-09-07 09:40:50:572,945629,945629,0,0,444643576616,4563157811,940303,4315,1011,356,391712,0 31,2,2024-09-07 09:40:51:280,676822,676822,0,0,28292964,0,4470 31,3,2024-09-07 09:40:51:709,1,535,1,0,220,4508,535,0 32,0,2024-09-07 09:40:51:439,135960,0.3,136675,0.5,272255,0.3,362566,1.75 32,1,2024-09-07 09:40:50:809,941468,941468,0,0,442363082423,4596736924,935659,5100,709,381,391646,0 32,2,2024-09-07 09:40:50:947,677345,677345,0,0,24721649,0,3922 32,3,2024-09-07 09:40:51:035,1,535,14,0,304,4413,535,0 33,0,2024-09-07 09:40:51:495,131435,0.3,131080,0.4,262331,0.2,349468,1.50 33,1,2024-09-07 09:40:50:575,942078,942078,0,0,442765303660,4585216784,934816,6088,1174,368,391730,0 33,2,2024-09-07 09:40:50:771,677836,677801,35,0,27408773,0,7012 33,3,2024-09-07 09:40:50:913,1,535,1,0,329,4948,535,0 34,0,2024-09-07 09:40:50:932,131911,0.3,135557,0.4,258988,0.2,349380,1.75 34,1,2024-09-07 09:40:51:045,943998,943998,0,0,443494629736,4559928554,941487,2489,22,367,391637,0 34,2,2024-09-07 09:40:50:776,678409,678409,0,0,25947961,0,4562 34,3,2024-09-07 09:40:51:688,1,535,8,0,320,4117,535,0 35,0,2024-09-07 09:40:50:867,137136,0.3,137866,0.5,276913,0.2,368514,1.75 35,1,2024-09-07 09:40:51:071,940818,940818,0,0,442005630694,4584115664,934157,5447,1214,382,391769,0 35,2,2024-09-07 09:40:51:589,676503,676503,0,0,28527739,0,4055 35,3,2024-09-07 09:40:50:909,1,535,0,0,418,4922,535,0 36,0,2024-09-07 09:40:51:515,137255,0.5,137105,0.7,274154,0.5,365079,2.00 36,1,2024-09-07 09:40:50:588,940654,940654,0,0,440829598202,4602413018,928365,10067,2222,366,391759,0 36,2,2024-09-07 09:40:51:754,678425,678425,0,0,29763022,0,3875 36,3,2024-09-07 09:40:50:863,1,535,1,0,416,7196,535,0 37,0,2024-09-07 09:40:51:384,129664,0.5,129568,0.7,259359,0.5,345864,2.00 37,1,2024-09-07 09:40:50:574,939462,939455,0,7,441312792942,4606192802,928331,8448,2676,365,391770,0 37,2,2024-09-07 09:40:51:158,674415,674400,15,0,30229333,0,5815 37,3,2024-09-07 09:40:51:769,1,535,1,0,888,7427,535,0 38,0,2024-09-07 09:40:51:454,129966,0.4,126118,0.6,263870,0.3,345597,2.00 38,1,2024-09-07 09:40:51:608,940294,940294,0,0,441588889954,4613455106,927063,10712,2519,368,391821,0 38,2,2024-09-07 09:40:50:767,675090,675043,47,0,30370694,0,6710 38,3,2024-09-07 09:40:51:003,1,535,0,0,689,6722,535,0 39,0,2024-09-07 09:40:51:761,140279,0.5,137167,0.7,267340,0.4,365241,2.00 39,1,2024-09-07 09:40:50:735,938438,938438,0,0,440488080112,4618263954,922641,12327,3470,365,391865,0 39,2,2024-09-07 09:40:51:421,676694,676694,0,0,28045774,0,3391 39,3,2024-09-07 09:40:50:720,1,535,1,0,525,6300,535,0 40,0,2024-09-07 09:40:51:497,135565,0.9,136158,1.0,271834,1.1,362417,2.75 40,1,2024-09-07 09:40:50:579,940666,940666,0,0,439410016126,4592995518,928645,9842,2179,368,391668,0 40,2,2024-09-07 09:40:51:313,677739,677738,1,0,33505007,0,5137 40,3,2024-09-07 09:40:51:145,1,535,1,0,1028,7400,535,0 41,0,2024-09-07 09:40:51:035,131136,1.3,134006,1.1,255561,1.8,347528,3.00 41,1,2024-09-07 09:40:50:768,939078,939078,0,0,440530353517,4606804825,927149,9994,1935,369,391878,0 41,2,2024-09-07 09:40:50:760,673199,673198,1,0,32267975,0,5408 41,3,2024-09-07 09:40:51:678,1,535,1,0,366,5774,535,0 42,0,2024-09-07 09:40:51:481,130229,0.8,129930,0.9,260074,0.8,344491,2.50 42,1,2024-09-07 09:40:51:439,935907,935907,0,0,439580058721,4618935347,920891,12108,2908,380,391675,0 42,2,2024-09-07 09:40:51:158,674571,674571,0,0,31443910,0,3975 42,3,2024-09-07 09:40:51:020,1,535,1,0,892,4719,535,0 43,0,2024-09-07 09:40:50:930,133479,0.6,130076,0.9,272332,0.6,357562,2.25 43,1,2024-09-07 09:40:50:580,939509,939509,0,0,441254533487,4607650176,926638,10611,2260,366,391696,0 43,2,2024-09-07 09:40:51:736,677342,677342,0,0,30819369,0,4723 43,3,2024-09-07 09:40:51:754,1,535,1,0,571,7343,535,0 44,0,2024-09-07 09:40:50:866,138537,0.4,138476,0.6,277642,0.4,368561,1.75 44,1,2024-09-07 09:40:50:570,942158,942158,0,0,442022255621,4566206014,934356,6215,1587,356,391809,0 44,2,2024-09-07 09:40:51:286,676334,676334,0,0,25625386,0,4344 44,3,2024-09-07 09:40:51:094,1,535,1,0,817,5792,535,0 45,0,2024-09-07 09:40:51:782,132444,0.5,129403,0.7,271668,0.5,356340,2.00 45,1,2024-09-07 09:40:51:008,941072,941072,0,0,442816848625,4600711906,933557,6639,876,382,391917,0 45,2,2024-09-07 09:40:51:487,676663,676663,0,0,25866390,0,3596 45,3,2024-09-07 09:40:50:943,1,535,5,0,365,5006,535,0 46,0,2024-09-07 09:40:50:985,130995,0.4,130429,0.7,261702,0.4,347504,2.00 46,1,2024-09-07 09:40:50:579,942482,942482,0,0,442780031741,4574359248,936075,5543,864,366,391709,0 46,2,2024-09-07 09:40:50:592,677165,677165,0,0,26255464,0,4443 46,3,2024-09-07 09:40:51:140,1,535,0,0,908,6390,535,0 47,0,2024-09-07 09:40:51:113,132589,0.4,132727,0.6,266503,0.3,353375,1.75 47,1,2024-09-07 09:40:50:567,943855,943855,0,0,443739302006,4581534928,938615,4401,839,365,391641,0 47,2,2024-09-07 09:40:50:914,680629,680629,0,0,26034885,0,4477 47,3,2024-09-07 09:40:51:118,1,535,1,0,600,5988,535,0 48,0,2024-09-07 09:40:51:495,138680,0.3,138890,0.4,277063,0.2,368571,1.50 48,1,2024-09-07 09:40:51:036,941258,941258,0,0,442180876405,4592616407,935440,5242,576,384,391710,0 48,2,2024-09-07 09:40:50:702,677514,677514,0,0,23892042,0,3411 48,3,2024-09-07 09:40:50:754,1,535,1,0,339,4189,535,0 49,0,2024-09-07 09:40:51:710,139929,0.4,137309,0.5,266783,0.3,364673,1.75 49,1,2024-09-07 09:40:51:024,940527,940527,0,0,441834815998,4591241727,934958,4358,1211,382,391809,0 49,2,2024-09-07 09:40:51:799,678382,678382,0,0,26408425,0,4426 49,3,2024-09-07 09:40:51:437,1,535,1,0,992,6205,535,0 50,0,2024-09-07 09:40:51:506,130924,0.3,129173,0.5,260302,0.2,346620,1.75 50,1,2024-09-07 09:40:51:017,944042,944042,0,0,443359936153,4582162191,938095,5279,668,368,391565,0 50,2,2024-09-07 09:40:51:071,676313,676313,0,0,24263074,0,4490 50,3,2024-09-07 09:40:51:295,1,535,8,0,617,5469,535,0 51,0,2024-09-07 09:40:51:694,134621,0.3,131712,0.4,256607,0.2,350213,1.75 51,1,2024-09-07 09:40:51:682,944804,944804,0,0,444575224544,4582111501,940035,3713,1056,365,391706,0 51,2,2024-09-07 09:40:51:317,677291,677291,0,0,23571553,0,3337 51,3,2024-09-07 09:40:51:032,1,535,1,0,678,3805,535,0 52,0,2024-09-07 09:40:51:439,137746,0.5,137381,0.6,275103,0.4,365697,2.00 52,1,2024-09-07 09:40:50:575,939799,939799,0,0,440081939828,4604490432,926569,11125,2105,368,391722,0 52,2,2024-09-07 09:40:51:756,672891,672853,38,0,31263060,0,6742 52,3,2024-09-07 09:40:50:679,1,535,0,0,1782,6709,535,0 53,0,2024-09-07 09:40:51:735,135957,0.7,131855,0.8,275755,0.8,362224,2.50 53,1,2024-09-07 09:40:50:782,938166,938166,0,0,440302175978,4617568629,922801,11521,3844,367,391968,0 53,2,2024-09-07 09:40:51:299,679527,679526,1,0,28113612,0,5455 53,3,2024-09-07 09:40:50:702,1,535,0,0,308,5179,535,0 54,0,2024-09-07 09:40:51:614,129121,0.6,129447,0.8,257771,0.5,344115,2.25 54,1,2024-09-07 09:40:50:588,940273,940273,0,0,441710778409,4592409283,930204,8435,1634,366,391810,0 54,2,2024-09-07 09:40:50:865,676313,676281,32,0,31846059,0,6397 54,3,2024-09-07 09:40:50:768,1,535,1,0,676,7059,535,0 55,0,2024-09-07 09:40:51:761,126231,0.5,130242,0.7,263995,0.5,344120,2.25 55,1,2024-09-07 09:40:50:764,941516,941516,0,0,441419642718,4587833671,931829,8366,1321,365,391731,0 55,2,2024-09-07 09:40:50:736,674310,674254,56,0,30053786,0,7239 55,3,2024-09-07 09:40:50:679,1,535,1,0,304,4900,535,0 56,0,2024-09-07 09:40:51:566,138821,1.0,130840,1.0,269641,1.3,361429,2.50 56,1,2024-09-07 09:40:50:577,936016,936016,0,0,439718116895,4640136639,921686,11417,2913,381,391867,0 56,2,2024-09-07 09:40:51:310,675981,675859,122,0,31608000,0,7432 56,3,2024-09-07 09:40:51:074,1,535,6,0,705,6302,535,0 57,0,2024-09-07 09:40:50:951,135754,1.6,135684,1.3,271779,2.2,363042,3.25 57,1,2024-09-07 09:40:50:988,937713,937713,0,0,440735125324,4621126920,925503,9925,2285,366,392032,0 57,2,2024-09-07 09:40:51:316,678717,678717,0,0,32504969,0,4317 57,3,2024-09-07 09:40:51:738,1,535,1,0,455,5910,535,0 58,0,2024-09-07 09:40:50:555,131183,0.9,127385,1.0,266428,1.1,348540,2.75 58,1,2024-09-07 09:40:50:587,939343,939340,0,3,441198308545,4620912402,925536,10483,3321,367,391675,3 58,2,2024-09-07 09:40:51:073,675278,675278,0,0,30816296,0,3483 58,3,2024-09-07 09:40:51:080,1,535,1,0,1043,5832,535,0 59,0,2024-09-07 09:40:51:746,130702,0.8,130682,0.9,260515,0.8,345246,2.75 59,1,2024-09-07 09:40:50:805,937838,937838,0,0,440654726094,4625321555,922925,11774,3139,369,391653,0 59,2,2024-09-07 09:40:50:590,675408,675408,0,0,29446563,0,3727 59,3,2024-09-07 09:40:51:747,1,535,1,0,1015,6515,535,0 60,0,2024-09-07 09:40:51:709,133259,0.5,133681,0.7,266959,0.4,355308,1.75 60,1,2024-09-07 09:40:50:773,942530,942530,0,0,442122167946,4587035389,936339,5254,937,370,392031,0 60,2,2024-09-07 09:40:51:141,678051,678051,0,0,28020409,0,3811 60,3,2024-09-07 09:40:51:274,1,535,3,0,409,6142,535,0 61,0,2024-09-07 09:40:51:515,138188,0.7,138751,0.8,276256,0.7,368529,2.00 61,1,2024-09-07 09:40:50:785,939231,939231,0,0,441089375289,4616682253,929302,8348,1581,382,392127,0 61,2,2024-09-07 09:40:51:158,677795,677728,67,0,28929071,0,6411 61,3,2024-09-07 09:40:51:687,1,535,1,0,607,7543,535,0 62,0,2024-09-07 09:40:51:710,136236,0.6,139760,0.8,266862,0.6,362395,2.00 62,1,2024-09-07 09:40:51:119,944377,944371,0,6,443489079511,4569108586,940207,3884,280,365,391975,6 62,2,2024-09-07 09:40:51:644,674738,674737,1,0,28076011,0,5555 62,3,2024-09-07 09:40:51:144,1,535,0,0,482,4255,535,0 63,0,2024-09-07 09:40:51:492,131455,0.4,131145,0.6,263207,0.3,349818,1.75 63,1,2024-09-07 09:40:50:809,941510,941504,0,6,442369175781,4589973600,935742,5011,751,381,391800,6 63,2,2024-09-07 09:40:50:762,676842,676842,0,0,27255122,0,4369 63,3,2024-09-07 09:40:51:732,1,535,1,0,667,5604,535,0 64,0,2024-09-07 09:40:51:517,131198,0.5,131294,0.6,261804,0.4,348541,1.75 64,1,2024-09-07 09:40:50:757,940938,940938,0,0,441780014595,4598469386,933041,6125,1772,370,391794,0 64,2,2024-09-07 09:40:51:148,681518,681499,19,0,26228914,0,6121 64,3,2024-09-07 09:40:51:141,1,535,1,0,651,5537,535,0 65,0,2024-09-07 09:40:51:726,136789,0.6,137175,0.7,273924,0.6,365838,2.00 65,1,2024-09-07 09:40:50:867,939450,939450,0,0,440240781056,4599892107,932694,5958,798,381,391901,0 65,2,2024-09-07 09:40:51:699,676135,676135,0,0,30800388,0,3367 65,3,2024-09-07 09:40:51:690,1,535,8,0,782,6268,535,0 66,0,2024-09-07 09:40:51:769,136358,0.6,135845,0.8,271880,0.6,362245,2.25 66,1,2024-09-07 09:40:51:317,941260,941260,0,0,442245753111,4601154369,935110,5428,722,380,391743,0 66,2,2024-09-07 09:40:51:158,680195,680192,3,0,27959874,0,5455 66,3,2024-09-07 09:40:51:086,1,535,20,0,291,4557,535,0 67,0,2024-09-07 09:40:51:421,130110,0.5,129800,0.7,260138,0.5,346038,2.00 67,1,2024-09-07 09:40:50:765,941368,941367,0,1,441757704518,4597322816,935085,5468,814,380,391787,1 67,2,2024-09-07 09:40:50:588,678592,678577,15,0,27255776,0,6205 67,3,2024-09-07 09:40:51:754,1,535,8,0,595,5730,535,0 68,0,2024-09-07 09:40:50:650,130815,0.5,130711,0.7,260361,0.5,348019,2.00 68,1,2024-09-07 09:40:50:595,937024,937024,0,0,439718287457,4622381801,924625,8998,3401,381,391953,0 68,2,2024-09-07 09:40:51:043,672172,672072,100,0,34799250,0,8578 68,3,2024-09-07 09:40:50:750,1,535,13,0,417,6852,535,0 69,0,2024-09-07 09:40:51:732,136154,0.6,137144,0.8,273224,0.6,363219,2.25 69,1,2024-09-07 09:40:51:016,936362,936362,0,0,440319288311,4637164964,924099,9501,2762,383,391994,0 69,2,2024-09-07 09:40:51:745,674788,674759,29,0,35851723,0,6912 69,3,2024-09-07 09:40:50:766,1,535,4,0,698,7805,535,0 70,0,2024-09-07 09:40:51:533,135293,0.9,135708,1.0,273040,0.8,361259,2.50 70,1,2024-09-07 09:40:50:804,942393,942393,0,0,443257010666,4597168734,935136,6495,762,366,391725,0 70,2,2024-09-07 09:40:51:328,679662,679662,0,0,30110719,0,4323 70,3,2024-09-07 09:40:50:745,1,535,1,0,854,5710,535,0 71,0,2024-09-07 09:40:51:378,130411,1.1,130159,1.1,261500,1.5,348735,2.75 71,1,2024-09-07 09:40:51:606,939750,939750,0,0,441412029505,4605153080,928355,10139,1256,368,391738,0 71,2,2024-09-07 09:40:51:087,675160,675160,0,0,30772909,0,4352 71,3,2024-09-07 09:40:51:753,1,535,2,0,644,6276,535,0 72,0,2024-09-07 09:40:51:024,135198,0.5,132129,0.7,257818,0.5,350055,2.00 72,1,2024-09-07 09:40:51:023,938442,938442,0,0,440715456428,4621232009,924985,11174,2283,369,391819,0 72,2,2024-09-07 09:40:51:755,673939,673939,0,0,32366154,0,3983 72,3,2024-09-07 09:40:51:754,1,535,0,0,564,7573,535,0 73,0,2024-09-07 09:40:51:145,131278,0.4,134458,0.6,275197,0.4,358455,2.00 73,1,2024-09-07 09:40:50:768,941136,941136,0,0,441337258540,4580843473,934895,5816,425,367,391858,0 73,2,2024-09-07 09:40:51:741,677039,677039,0,0,32827041,0,4728 73,3,2024-09-07 09:40:50:969,1,535,5,0,486,6591,535,0 74,0,2024-09-07 09:40:51:321,139311,0.5,142643,0.7,271970,0.5,368860,2.00 74,1,2024-09-07 09:40:50:638,939177,939177,0,0,440869953347,4601639142,928722,8530,1925,381,391762,0 74,2,2024-09-07 09:40:51:030,677630,677630,0,0,28613872,0,4253 74,3,2024-09-07 09:40:51:452,1,535,0,0,522,6370,535,0 75,0,2024-09-07 09:40:51:778,134427,0.6,133586,0.8,268076,0.5,357893,2.25 75,1,2024-09-07 09:40:51:587,940419,940419,0,0,441359676263,4599143377,932523,7033,863,380,391739,0 75,2,2024-09-07 09:40:51:372,674576,674576,0,0,34288865,0,4766 75,3,2024-09-07 09:40:51:072,1,535,10,0,702,6244,535,0 76,0,2024-09-07 09:40:50:586,130892,0.5,130222,0.7,260954,0.5,349150,2.25 76,1,2024-09-07 09:40:50:813,939938,939938,0,0,441492567707,4603039693,933305,5666,967,382,391723,0 76,2,2024-09-07 09:40:51:075,678262,678259,3,0,28929044,0,5265 76,3,2024-09-07 09:40:51:144,1,535,1,0,227,4921,535,0 77,0,2024-09-07 09:40:51:765,132148,0.5,132518,0.7,265172,0.5,352361,2.00 77,1,2024-09-07 09:40:50:887,940207,940207,0,0,441658373679,4604623604,933361,6250,596,381,391869,0 77,2,2024-09-07 09:40:51:296,677200,677200,0,0,28524589,0,3890 77,3,2024-09-07 09:40:51:107,1,535,2,0,401,5622,535,0 78,0,2024-09-07 09:40:51:724,138796,0.4,138253,0.6,277309,0.4,367111,2.00 78,1,2024-09-07 09:40:50:610,940175,940175,0,0,441091448841,4597565405,929401,8247,2527,367,391670,0 78,2,2024-09-07 09:40:51:414,678616,678603,13,0,26486650,0,8313 78,3,2024-09-07 09:40:51:158,1,535,0,0,311,4609,535,0 79,0,2024-09-07 09:40:51:362,131571,0.4,134747,0.6,275988,0.4,359384,2.25 79,1,2024-09-07 09:40:50:574,942659,942659,0,0,442405691519,4582112541,934920,6382,1357,367,391682,0 79,2,2024-09-07 09:40:51:071,677546,677546,0,0,25425303,0,4195 79,3,2024-09-07 09:40:50:769,1,535,4,0,418,6337,535,0 80,0,2024-09-07 09:40:51:124,129998,0.5,133696,0.7,255643,0.5,346143,2.00 80,1,2024-09-07 09:40:51:621,939869,939869,0,0,441635952364,4594529359,934037,5404,428,368,392269,0 80,2,2024-09-07 09:40:51:112,678671,678671,0,0,26032090,0,4433 80,3,2024-09-07 09:40:50:578,1,535,10,0,296,6265,535,0 81,0,2024-09-07 09:40:51:539,131267,0.5,134345,0.7,256327,0.5,348556,2.00 81,1,2024-09-07 09:40:51:650,938936,938936,0,0,441062694991,4608659838,931530,6767,639,382,391885,0 81,2,2024-09-07 09:40:51:158,675950,675887,63,0,29020634,0,5932 81,3,2024-09-07 09:40:51:123,1,535,7,0,719,6021,535,0 82,0,2024-09-07 09:40:51:536,137033,0.5,137305,0.7,274960,0.4,364713,2.00 82,1,2024-09-07 09:40:50:586,941407,941403,0,4,442469511602,4597864698,936320,4262,821,381,391768,4 82,2,2024-09-07 09:40:51:696,677934,677934,0,0,24147337,0,4484 82,3,2024-09-07 09:40:51:754,1,535,1,0,363,5018,535,0 83,0,2024-09-07 09:40:51:522,136563,0.6,136514,0.8,272502,0.6,362325,2.25 83,1,2024-09-07 09:40:50:555,940131,940131,0,0,440844707202,4593376562,933770,5904,457,382,391709,0 83,2,2024-09-07 09:40:50:769,679502,679477,25,0,26153051,0,5612 83,3,2024-09-07 09:40:50:754,1,535,1,0,1260,6525,535,0 84,0,2024-09-07 09:40:51:768,129935,0.7,130142,0.9,259890,0.7,347417,2.25 84,1,2024-09-07 09:40:51:040,939021,939021,0,0,440429477508,4602311501,928774,8720,1527,367,391967,0 84,2,2024-09-07 09:40:50:576,675468,675438,30,0,34337289,0,5971 84,3,2024-09-07 09:40:51:144,1,535,3,0,908,7430,535,0 85,0,2024-09-07 09:40:51:011,125740,0.5,125743,0.7,266916,0.5,345571,2.25 85,1,2024-09-07 09:40:50:567,935630,935630,0,0,440216991429,4645779633,921350,11622,2658,381,392092,0 85,2,2024-09-07 09:40:50:885,674273,674273,0,0,32059021,0,4255 85,3,2024-09-07 09:40:50:691,1,535,9,0,789,6079,535,0 86,0,2024-09-07 09:40:50:905,135801,0.6,139498,0.7,266731,0.6,361825,2.25 86,1,2024-09-07 09:40:50:834,938298,938298,0,0,441158114491,4619409674,926991,9329,1978,366,391995,0 86,2,2024-09-07 09:40:50:896,675378,675377,1,0,34538599,0,5004 86,3,2024-09-07 09:40:50:593,1,535,1,0,308,7591,535,0 87,0,2024-09-07 09:40:51:289,137596,0.9,137309,0.9,274618,1.1,366798,2.25 87,1,2024-09-07 09:40:50:551,937297,937297,0,0,440284675041,4611689373,925088,10493,1716,366,392076,0 87,2,2024-09-07 09:40:51:074,678266,678260,6,0,30525052,0,6323 87,3,2024-09-07 09:40:51:802,1,535,4,0,473,7952,535,0 88,0,2024-09-07 09:40:51:468,132925,0.5,133519,0.6,266818,0.4,354816,1.75 88,1,2024-09-07 09:40:50:574,937130,937130,0,0,440851131273,4615116831,924478,10034,2618,365,392084,0 88,2,2024-09-07 09:40:50:693,675559,675559,0,0,32964153,0,4465 88,3,2024-09-07 09:40:51:273,1,535,2,0,435,6782,535,0 89,0,2024-09-07 09:40:51:771,135182,0.4,131051,0.6,258887,0.4,351293,1.75 89,1,2024-09-07 09:40:50:550,937544,937544,0,0,439985504740,4626771146,926108,9618,1818,382,391866,0 89,2,2024-09-07 09:40:51:141,675118,675118,0,0,31367080,0,3173 89,3,2024-09-07 09:40:51:796,1,535,4,0,468,8705,535,0 90,0,2024-09-07 09:40:51:636,129461,0.4,132968,0.6,271070,0.4,354001,1.75 90,1,2024-09-07 09:40:50:592,938643,938643,0,0,440611211373,4609870584,930120,7789,734,380,391825,0 90,2,2024-09-07 09:40:51:416,675303,675303,0,0,33610847,0,3608 90,3,2024-09-07 09:40:50:934,1,535,5,0,322,6507,535,0 91,0,2024-09-07 09:40:51:009,138774,0.5,134572,0.6,281357,0.4,369060,1.75 91,1,2024-09-07 09:40:50:593,936597,936597,0,0,440615404023,4630464856,924985,9599,2013,381,392047,0 91,2,2024-09-07 09:40:51:333,677721,677721,0,0,29644019,0,4713 91,3,2024-09-07 09:40:50:609,1,535,1,0,216,5020,535,0 92,0,2024-09-07 09:40:51:456,137402,0.5,140335,0.6,267714,0.5,362669,1.75 92,1,2024-09-07 09:40:50:588,939287,939287,0,0,442108240530,4616578626,931763,6317,1207,381,392136,0 92,2,2024-09-07 09:40:51:361,676696,676696,0,0,26697982,0,3259 92,3,2024-09-07 09:40:51:013,1,535,1,0,167,4575,535,0 93,0,2024-09-07 09:40:50:948,131692,0.4,135033,0.6,257754,0.3,349740,1.75 93,1,2024-09-07 09:40:50:809,939808,939808,0,0,440925576293,4601248518,929511,8563,1734,366,391776,0 93,2,2024-09-07 09:40:50:937,676841,676841,0,0,32473402,0,4913 93,3,2024-09-07 09:40:51:405,1,535,1,0,190,4767,535,0 94,0,2024-09-07 09:40:51:636,131073,0.3,131973,0.5,263797,0.3,350190,1.75 94,1,2024-09-07 09:40:50:579,940261,940261,0,0,441903568960,4606745434,934148,5737,376,381,391850,0 94,2,2024-09-07 09:40:50:771,677273,677245,28,0,27808836,0,6179 94,3,2024-09-07 09:40:51:689,1,535,1,0,576,6684,535,0 95,0,2024-09-07 09:40:51:393,137922,0.3,137920,0.5,275948,0.3,368469,1.75 95,1,2024-09-07 09:40:50:973,941463,941463,0,0,442797648442,4599549958,934105,6699,659,365,391852,0 95,2,2024-09-07 09:40:51:040,676003,676003,0,0,26526397,0,3308 95,3,2024-09-07 09:40:51:714,1,535,5,0,718,8212,535,0 96,0,2024-09-07 09:40:51:025,136976,0.4,137123,0.5,274100,0.3,364464,1.75 96,1,2024-09-07 09:40:51:589,939572,939572,0,0,440891991436,4596746113,933173,5484,915,384,391964,0 96,2,2024-09-07 09:40:51:272,678734,678734,0,0,27513946,0,4180 96,3,2024-09-07 09:40:51:141,1,535,2,0,411,5664,535,0 97,0,2024-09-07 09:40:51:315,130042,0.3,129977,0.5,261052,0.3,346218,1.50 97,1,2024-09-07 09:40:50:762,941751,941751,0,0,442309303510,4586140126,935980,4826,945,367,392140,0 97,2,2024-09-07 09:40:50:617,677982,677982,0,0,27042227,0,3679 97,3,2024-09-07 09:40:50:576,1,535,6,0,242,6092,535,0 98,0,2024-09-07 09:40:51:753,130315,0.3,130385,0.4,261774,0.2,347659,1.50 98,1,2024-09-07 09:40:50:575,940775,940775,0,0,441089814652,4591505812,935352,4622,801,381,391997,0 98,2,2024-09-07 09:40:50:792,676710,676710,0,0,26614055,0,4336 98,3,2024-09-07 09:40:50:732,1,535,1,0,840,8272,535,0 99,0,2024-09-07 09:40:51:544,136834,0.3,137504,0.4,273716,0.3,365682,1.75 99,1,2024-09-07 09:40:51:724,940772,940772,0,0,440876418936,4587799987,934793,5050,929,380,392069,0 99,2,2024-09-07 09:40:51:439,676781,676781,0,0,32046212,0,4276 99,3,2024-09-07 09:40:50:581,1,535,1,0,606,5310,535,0 100,0,2024-09-07 09:40:51:476,136559,0.8,136820,0.9,273219,1.0,364740,2.50 100,1,2024-09-07 09:40:50:552,935745,935745,0,0,439120069634,4640077045,921396,11250,3099,378,391989,0 100,2,2024-09-07 09:40:51:827,677026,677015,11,0,31319205,0,5417 100,3,2024-09-07 09:40:51:734,1,535,3,0,627,9480,535,0 101,0,2024-09-07 09:40:51:712,134417,1.2,131024,1.1,256833,1.2,350967,2.25 101,1,2024-09-07 09:40:50:561,937070,937070,0,0,439813774206,4619089510,924668,10000,2402,368,391847,0 101,2,2024-09-07 09:40:51:758,671785,671785,0,0,36270371,0,4871 101,3,2024-09-07 09:40:50:942,1,535,197,0,1250,8327,535,0 102,0,2024-09-07 09:40:50:953,127280,0.6,131243,0.7,266327,0.5,348173,2.00 102,1,2024-09-07 09:40:51:158,937173,937173,0,0,439716861556,4615917536,924957,10194,2022,369,391891,0 102,2,2024-09-07 09:40:51:777,675317,675263,54,0,30665972,0,6768 102,3,2024-09-07 09:40:51:615,1,535,7,0,466,6181,535,0 103,0,2024-09-07 09:40:51:633,139044,0.5,139087,0.7,262005,0.5,361263,2.00 103,1,2024-09-07 09:40:51:627,935810,935810,0,0,439420601932,4635862391,921609,11001,3200,381,391862,0 103,2,2024-09-07 09:40:50:588,675900,675900,0,0,32481769,0,3766 103,3,2024-09-07 09:40:50:763,1,535,1,0,916,6315,535,0 104,0,2024-09-07 09:40:51:019,137294,0.7,137420,0.9,274243,0.7,366819,2.25 104,1,2024-09-07 09:40:51:607,938576,938576,0,0,440413427836,4623220805,925510,10515,2551,365,392168,0 104,2,2024-09-07 09:40:51:676,675422,675422,0,0,31569025,0,3941 104,3,2024-09-07 09:40:51:440,1,535,3,0,1245,9224,535,0 105,0,2024-09-07 09:40:51:035,132759,0.9,129333,1.1,271189,1.1,355976,2.75 105,1,2024-09-07 09:40:50:556,939798,939798,0,0,441620719765,4623605249,928192,9837,1769,365,392009,0 105,2,2024-09-07 09:40:51:323,674426,674426,0,0,31645723,0,4360 105,3,2024-09-07 09:40:51:304,1,535,1,0,399,7487,535,0 106,0,2024-09-07 09:40:50:965,126952,0.7,130023,0.9,266455,0.7,347839,2.50 106,1,2024-09-07 09:40:51:761,938786,938786,0,0,440673874605,4620165829,926166,10971,1649,368,391914,0 106,2,2024-09-07 09:40:50:767,675062,675062,0,0,29865953,0,2920 106,3,2024-09-07 09:40:50:678,1,535,5,0,1224,7988,535,0 107,0,2024-09-07 09:40:51:163,132450,0.7,132469,0.8,264894,0.7,353451,2.00 107,1,2024-09-07 09:40:50:592,936671,936671,0,0,439588705538,4632000700,923762,11516,1393,381,392234,0 107,2,2024-09-07 09:40:51:320,675136,675135,1,0,32704008,0,5024 107,3,2024-09-07 09:40:51:757,1,535,1,0,733,7974,535,0 108,0,2024-09-07 09:40:51:887,138280,0.4,138896,0.6,276593,0.4,368692,1.75 108,1,2024-09-07 09:40:51:323,939371,939371,0,0,441484821471,4603397910,931450,6949,972,367,391894,0 108,2,2024-09-07 09:40:51:777,676125,676125,0,0,29284582,0,4246 108,3,2024-09-07 09:40:51:350,1,535,7,0,749,10404,535,0 109,0,2024-09-07 09:40:51:798,136925,0.4,135767,0.6,272094,0.4,363568,1.75 109,1,2024-09-07 09:40:50:591,936842,936842,0,0,440614805153,4620566637,928534,7091,1217,382,392132,0 109,2,2024-09-07 09:40:50:943,675223,675223,0,0,29214094,0,3617 109,3,2024-09-07 09:40:51:157,1,535,3,0,630,6614,535,0 110,0,2024-09-07 09:40:51:786,130165,0.4,126574,0.6,265064,0.3,347469,1.75 110,1,2024-09-07 09:40:51:643,940722,940722,0,0,442279268323,4591539141,933187,5613,1922,368,392045,0 110,2,2024-09-07 09:40:51:308,677087,677087,0,0,27654746,0,4067 110,3,2024-09-07 09:40:50:703,1,535,1,0,722,7340,535,0 111,0,2024-09-07 09:40:51:414,131581,0.3,130855,0.5,262120,0.3,349867,1.75 111,1,2024-09-07 09:40:51:016,942134,942134,0,0,442764729321,4588841766,937589,4188,357,380,391690,0 111,2,2024-09-07 09:40:51:119,676387,676387,0,0,28204988,0,4823 111,3,2024-09-07 09:40:50:915,1,535,0,0,379,6040,535,0 112,0,2024-09-07 09:40:50:952,138090,0.3,137398,0.4,275617,0.2,365842,1.50 112,1,2024-09-07 09:40:50:842,941073,941073,0,0,442199894505,4588838317,935166,5216,691,380,391624,0 112,2,2024-09-07 09:40:51:158,676171,676170,1,0,26714762,0,5036 112,3,2024-09-07 09:40:50:592,1,535,1,0,282,5056,535,0 113,0,2024-09-07 09:40:50:876,136695,0.3,136600,0.5,273513,0.3,364421,1.75 113,1,2024-09-07 09:40:51:685,943655,943655,0,0,443498405979,4574528722,938400,4440,815,365,391664,0 113,2,2024-09-07 09:40:51:313,681909,681909,0,0,24333393,0,3813 113,3,2024-09-07 09:40:50:690,1,535,1,0,340,5615,535,0 114,0,2024-09-07 09:40:50:892,131442,0.3,132251,0.5,262907,0.2,351361,1.75 114,1,2024-09-07 09:40:50:742,940839,940839,0,0,442614601211,4594383929,933928,5295,1616,381,391565,0 114,2,2024-09-07 09:40:50:873,677486,677485,1,0,26372651,0,5069 114,3,2024-09-07 09:40:51:285,1,535,1,0,395,4252,535,0 115,0,2024-09-07 09:40:50:558,131143,0.3,131779,0.4,262567,0.2,349232,1.50 115,1,2024-09-07 09:40:50:577,941640,941640,0,0,442486416407,4595600826,934238,6045,1357,382,391757,0 115,2,2024-09-07 09:40:51:124,678421,678421,0,0,25840798,0,4382 115,3,2024-09-07 09:40:51:007,1,535,1,0,167,3026,535,0 116,0,2024-09-07 09:40:51:700,135413,0.6,135270,0.8,271157,0.5,362742,2.00 116,1,2024-09-07 09:40:50:804,936671,936671,0,0,440274101689,4636844329,926001,8224,2446,380,392089,0 116,2,2024-09-07 09:40:51:756,675952,675952,0,0,32938962,0,4475 116,3,2024-09-07 09:40:50:912,1,535,6,0,415,6507,535,0 117,0,2024-09-07 09:40:50:981,137886,0.7,137278,0.8,275095,0.8,367460,2.00 117,1,2024-09-07 09:40:51:588,938114,938114,0,0,441005880739,4613508975,928080,8809,1225,369,392033,0 117,2,2024-09-07 09:40:51:132,680200,680200,0,0,28867552,0,4303 117,3,2024-09-07 09:40:51:080,1,535,1,0,490,7200,535,0 118,0,2024-09-07 09:40:51:778,128909,0.6,132514,0.7,270186,0.6,353262,2.00 118,1,2024-09-07 09:40:50:590,937120,937120,0,0,440081366714,4622619890,923613,10384,3123,366,392054,0 118,2,2024-09-07 09:40:51:609,674614,674614,0,0,31365884,0,2842 118,3,2024-09-07 09:40:51:767,1,535,4,0,248,5921,535,0 119,0,2024-09-07 09:40:51:379,131019,0.6,131496,0.7,262613,0.6,349454,2.00 119,1,2024-09-07 09:40:50:549,938401,938401,0,0,441010168364,4617917636,926668,9965,1768,367,391857,0 119,2,2024-09-07 09:40:51:267,676406,676406,0,0,29226140,0,4174 119,3,2024-09-07 09:40:51:326,1,535,8,0,1358,9826,535,0 120,0,2024-09-07 09:40:51:545,132685,0.6,132376,0.8,266075,0.6,354737,2.25 120,1,2024-09-07 09:40:50:862,939053,939053,0,0,440737955090,4615771278,929750,8522,781,367,392144,0 120,2,2024-09-07 09:40:50:773,676725,676724,1,0,33926112,0,5281 120,3,2024-09-07 09:40:51:294,1,535,3,0,279,6604,535,0 121,0,2024-09-07 09:40:51:693,138501,1.3,137846,1.1,276199,1.7,368069,2.25 121,1,2024-09-07 09:40:51:657,939001,939001,0,0,440712734607,4603601686,930259,8041,701,366,391840,0 121,2,2024-09-07 09:40:51:134,676252,676252,0,0,31162024,0,4157 121,3,2024-09-07 09:40:50:727,1,535,0,0,330,6326,535,0 122,0,2024-09-07 09:40:51:763,135205,0.9,131607,0.9,275630,1.0,362514,2.25 122,1,2024-09-07 09:40:50:877,937861,937861,0,0,440868186453,4621445727,925397,10577,1887,366,392130,0 122,2,2024-09-07 09:40:51:324,676392,676317,75,0,35347792,0,5989 122,3,2024-09-07 09:40:50:599,1,535,1,0,512,8883,535,0 123,0,2024-09-07 09:40:50:959,130500,0.8,126883,0.8,265745,0.9,348403,2.25 123,1,2024-09-07 09:40:50:567,938238,938238,0,0,440612780044,4631829220,923023,12710,2505,369,392039,0 123,2,2024-09-07 09:40:51:032,674249,674248,1,0,30083244,0,5215 123,3,2024-09-07 09:40:51:140,1,535,3,0,478,6134,535,0 124,0,2024-09-07 09:40:50:927,135469,0.3,135459,0.5,255243,0.3,351489,1.75 124,1,2024-09-07 09:40:51:032,940754,940754,0,0,441028056437,4582913333,933936,5685,1133,365,392178,0 124,2,2024-09-07 09:40:51:028,678373,678320,53,0,27514747,0,6487 124,3,2024-09-07 09:40:50:766,1,535,1,0,490,5515,535,0 125,0,2024-09-07 09:40:51:453,137797,0.4,137568,0.5,276321,0.3,368405,1.75 125,1,2024-09-07 09:40:50:865,939475,939475,0,0,440118502751,4592239668,932491,6000,984,382,391813,0 125,2,2024-09-07 09:40:51:164,678971,678971,0,0,27530189,0,4534 125,3,2024-09-07 09:40:51:140,1,535,1,0,709,6285,535,0 126,0,2024-09-07 09:40:51:456,137026,0.4,140902,0.6,269526,0.4,365114,1.75 126,1,2024-09-07 09:40:50:566,942604,942604,0,0,442476312045,4578438633,937915,4377,312,365,391987,0 126,2,2024-09-07 09:40:50:610,679067,679067,0,0,28347279,0,4539 126,3,2024-09-07 09:40:50:919,1,535,4,0,268,5937,535,0 127,0,2024-09-07 09:40:51:621,130358,0.3,130684,0.5,260791,0.3,346532,1.75 127,1,2024-09-07 09:40:50:633,940597,940597,0,0,440968075721,4586972634,931222,7870,1505,364,392187,0 127,2,2024-09-07 09:40:50:681,676268,676264,4,0,27050849,0,5305 127,3,2024-09-07 09:40:51:270,1,535,42,0,968,5323,535,0 128,0,2024-09-07 09:40:51:524,131247,0.3,131269,0.4,261981,0.2,348503,1.50 128,1,2024-09-07 09:40:51:606,939755,939755,0,0,442001823500,4590224773,933247,5772,736,367,392031,0 128,2,2024-09-07 09:40:51:396,677674,677674,0,0,25366393,0,3171 128,3,2024-09-07 09:40:50:768,1,535,1,0,1082,8387,535,0 129,0,2024-09-07 09:40:51:011,137927,0.3,137339,0.4,275235,0.3,366097,1.50 129,1,2024-09-07 09:40:50:573,937076,937076,0,0,439884737721,4608018630,928043,7088,1945,379,391962,0 129,2,2024-09-07 09:40:50:694,677268,677264,4,0,27617085,0,5335 129,3,2024-09-07 09:40:50:690,1,535,5,0,506,7402,535,0 130,0,2024-09-07 09:40:51:841,137709,0.4,137215,0.6,275210,0.4,366204,1.75 130,1,2024-09-07 09:40:50:740,940885,940885,0,0,441937485807,4589033157,936081,4416,388,381,391825,0 130,2,2024-09-07 09:40:51:161,680030,680030,0,0,27620716,0,4067 130,3,2024-09-07 09:40:51:319,1,535,4,0,960,7425,535,0 131,0,2024-09-07 09:40:51:962,131959,0.4,132391,0.5,265165,0.3,351835,1.75 131,1,2024-09-07 09:40:51:823,940611,940611,0,0,441697020302,4605547542,933716,5703,1192,381,391865,0 131,2,2024-09-07 09:40:50:579,676454,676454,0,0,25623021,0,3979 131,3,2024-09-07 09:40:51:692,1,535,2,0,392,6570,535,0 132,0,2024-09-07 09:40:51:423,130943,0.4,131982,0.6,262725,0.4,349373,1.75 132,1,2024-09-07 09:40:50:579,936702,936702,0,0,439919966871,4624418210,924213,10291,2198,381,392532,0 132,2,2024-09-07 09:40:50:698,675655,675638,17,0,33364782,0,6451 132,3,2024-09-07 09:40:51:689,1,535,1,0,804,8769,535,0 133,0,2024-09-07 09:40:51:520,131279,0.4,134293,0.6,275605,0.3,359112,1.75 133,1,2024-09-07 09:40:50:585,936398,936398,0,0,440485112382,4638424921,923886,10821,1691,383,391914,0 133,2,2024-09-07 09:40:51:093,677937,677887,50,0,33765322,0,6861 133,3,2024-09-07 09:40:51:309,1,535,7,0,479,6304,535,0 134,0,2024-09-07 09:40:50:939,138561,0.5,138543,0.7,277427,0.5,369114,2.00 134,1,2024-09-07 09:40:50:588,938273,938273,0,0,439667455487,4605994591,926874,9252,2147,366,391718,0 134,2,2024-09-07 09:40:51:757,677336,677312,24,0,31787082,0,6207 134,3,2024-09-07 09:40:50:755,1,535,2,0,739,6355,535,0 135,0,2024-09-07 09:40:51:115,130020,0.9,130025,0.9,275990,1.1,355472,2.25 135,1,2024-09-07 09:40:51:610,937925,937925,0,0,441040874950,4634239019,926281,10077,1567,380,391805,0 135,2,2024-09-07 09:40:50:691,675983,675983,0,0,31968915,0,4503 135,3,2024-09-07 09:40:51:007,1,535,2,0,900,5377,535,0 136,0,2024-09-07 09:40:51:652,131908,0.5,132134,0.7,263197,0.5,350955,2.00 136,1,2024-09-07 09:40:51:455,938674,938674,0,0,439986882588,4609500984,928285,9119,1270,381,392135,0 136,2,2024-09-07 09:40:51:135,677348,677333,15,0,31252601,0,6007 136,3,2024-09-07 09:40:51:123,1,535,2,0,637,6309,535,0 137,0,2024-09-07 09:40:50:923,136603,0.5,133234,0.7,260855,0.5,354674,2.00 137,1,2024-09-07 09:40:50:574,937018,937018,0,0,440425113963,4612473472,923555,10790,2673,366,391898,0 137,2,2024-09-07 09:40:51:709,676102,676102,0,0,32920309,0,3185 137,3,2024-09-07 09:40:50:774,1,535,34,0,484,6962,535,0 138,0,2024-09-07 09:40:51:752,137789,0.8,138085,0.9,276677,0.9,367198,2.00 138,1,2024-09-07 09:40:51:689,938104,938104,0,0,441265207357,4623853361,925357,10776,1971,368,391954,0 138,2,2024-09-07 09:40:50:599,676274,676274,0,0,30261320,0,4988 138,3,2024-09-07 09:40:50:622,1,535,9,0,1200,8605,535,0 139,0,2024-09-07 09:40:51:383,135265,1.2,135664,1.0,271275,1.8,362046,2.50 139,1,2024-09-07 09:40:50:602,934258,934258,0,0,438537015143,4646509084,918307,12489,3462,380,392109,0 139,2,2024-09-07 09:40:50:704,672783,672783,0,0,33631981,0,3097 139,3,2024-09-07 09:40:51:686,1,535,12,0,432,6066,535,0 140,0,2024-09-07 09:40:51:592,130790,0.3,129919,0.5,260795,0.2,347721,1.75 140,1,2024-09-07 09:40:51:542,943550,943550,0,0,444069440904,4575659287,938966,4046,538,364,391606,0 140,2,2024-09-07 09:40:50:691,677733,677732,1,0,25769397,0,5036 140,3,2024-09-07 09:40:50:767,1,535,1,0,297,4900,535,0 141,0,2024-09-07 09:40:51:698,131346,0.3,134907,0.4,257651,0.2,349714,1.50 141,1,2024-09-07 09:40:50:865,941898,941898,0,0,442747193572,4596437459,934788,6024,1086,379,391614,0 141,2,2024-09-07 09:40:51:686,677136,677125,11,0,27420014,0,5369 141,3,2024-09-07 09:40:51:044,1,535,0,0,391,5994,535,0 142,0,2024-09-07 09:40:51:314,138122,0.3,137146,0.5,274897,0.3,366709,1.50 142,1,2024-09-07 09:40:50:594,939771,939771,0,0,441406615431,4598356596,934100,5211,460,382,392102,0 142,2,2024-09-07 09:40:51:304,675597,675565,32,0,27928779,0,6028 142,3,2024-09-07 09:40:51:746,1,535,2,0,484,5559,535,0 143,0,2024-09-07 09:40:51:383,136531,0.4,136512,0.6,273893,0.4,364444,1.75 143,1,2024-09-07 09:40:50:571,941888,941888,0,0,442274876472,4587683283,935800,5529,559,367,391722,0 143,2,2024-09-07 09:40:50:776,680068,680068,0,0,27424891,0,3123 143,3,2024-09-07 09:40:51:157,1,535,0,0,462,6595,535,0 144,0,2024-09-07 09:40:51:517,127033,0.6,130676,0.8,265956,0.6,348253,2.00 144,1,2024-09-07 09:40:50:571,937192,937192,0,0,440179517811,4616995002,927793,7431,1968,381,391733,0 144,2,2024-09-07 09:40:51:757,676640,676640,0,0,26327319,0,3673 144,3,2024-09-07 09:40:51:753,1,535,20,0,249,5229,535,0 145,0,2024-09-07 09:40:51:405,126584,0.5,126551,0.7,268635,0.4,346021,2.25 145,1,2024-09-07 09:40:50:855,936908,936908,0,0,439648248709,4625006815,924527,9912,2469,382,391759,0 145,2,2024-09-07 09:40:51:442,673536,673454,82,0,32135921,0,7814 145,3,2024-09-07 09:40:50:906,1,535,3,0,622,7485,535,0 146,0,2024-09-07 09:40:51:654,135810,0.5,135207,0.7,271584,0.5,361261,2.00 146,1,2024-09-07 09:40:51:587,938111,938111,0,0,440556651138,4627408643,923664,10644,3803,367,391770,0 146,2,2024-09-07 09:40:51:700,675287,675281,6,0,30418593,0,5151 146,3,2024-09-07 09:40:51:277,1,535,5,0,1520,9053,535,0 147,0,2024-09-07 09:40:51:716,137717,0.6,137542,0.7,274630,0.6,366591,2.25 147,1,2024-09-07 09:40:51:377,941343,941343,0,0,442266355398,4593068392,934050,6413,880,367,391791,0 147,2,2024-09-07 09:40:51:031,678197,678197,0,0,27210892,0,2968 147,3,2024-09-07 09:40:50:919,1,535,2,0,1626,8606,535,0 0,0,2024-09-07 09:41:01:733,129861,0.5,129909,0.7,275608,0.5,356370,2.00 0,1,2024-09-07 09:41:00:802,939992,939992,0,0,441890979565,4624916919,932433,6716,843,369,391896,0 0,2,2024-09-07 09:41:01:084,679981,679981,0,0,27333495,0,4480 0,3,2024-09-07 09:41:00:974,1,536,21,0,431,7653,536,0 1,0,2024-09-07 09:41:01:746,138424,0.9,137465,0.9,276399,1.2,368768,2.00 1,1,2024-09-07 09:41:00:589,939018,939018,0,0,440434381495,4613507339,930263,7285,1470,370,391859,0 1,2,2024-09-07 09:41:00:642,679056,679056,0,0,26678891,0,3380 1,3,2024-09-07 09:41:01:304,1,536,1,0,269,6618,536,0 2,0,2024-09-07 09:41:01:572,135675,0.6,135600,0.8,270898,0.6,361572,2.00 2,1,2024-09-07 09:41:00:859,942199,942199,0,0,442742576674,4599987153,937091,4180,928,379,391745,0 2,2,2024-09-07 09:41:01:266,677761,677761,0,0,25202392,0,3594 2,3,2024-09-07 09:41:00:689,1,536,3,0,357,4765,536,0 3,0,2024-09-07 09:41:01:746,131225,0.4,131157,0.6,261670,0.3,349248,2.00 3,1,2024-09-07 09:41:01:618,941219,941219,0,0,442582113908,4605254266,933750,6766,703,379,391716,0 3,2,2024-09-07 09:41:01:142,678426,678403,23,0,26435363,0,5851 3,3,2024-09-07 09:41:01:752,1,536,1,0,275,3554,536,0 4,0,2024-09-07 09:41:01:775,127969,0.3,131443,0.5,267978,0.3,350624,1.75 4,1,2024-09-07 09:41:00:607,937777,937777,0,0,440099163526,4657917195,921419,12669,3689,370,391992,0 4,2,2024-09-07 09:41:01:027,675838,675838,0,0,32178338,0,4534 4,3,2024-09-07 09:41:01:029,1,536,0,0,448,6769,536,0 5,0,2024-09-07 09:41:01:390,138419,0.4,138615,0.5,277277,0.4,369391,1.75 5,1,2024-09-07 09:41:00:772,938821,938821,0,0,441658399955,4644663929,926063,10343,2415,367,392005,0 5,2,2024-09-07 09:41:01:843,675183,675183,0,0,31185059,0,3582 5,3,2024-09-07 09:41:01:740,1,536,0,0,457,7228,536,0 6,0,2024-09-07 09:41:00:920,137184,0.5,136780,0.7,273871,0.5,365117,2.00 6,1,2024-09-07 09:41:00:754,940762,940762,0,0,441603225804,4609534502,931185,8118,1459,379,391702,0 6,2,2024-09-07 09:41:01:123,679005,678987,18,0,30092351,0,5535 6,3,2024-09-07 09:41:01:274,1,536,1,0,710,6268,536,0 7,0,2024-09-07 09:41:01:536,129579,0.5,130436,0.7,259756,0.5,345619,2.00 7,1,2024-09-07 09:41:00:867,939379,939379,0,0,441467505820,4632894556,926451,10696,2232,382,391747,0 7,2,2024-09-07 09:41:00:769,677697,677697,0,0,29289637,0,4791 7,3,2024-09-07 09:41:00:851,1,536,1,0,552,5915,536,0 8,0,2024-09-07 09:41:01:355,131207,0.3,130719,0.5,262225,0.3,349142,1.50 8,1,2024-09-07 09:41:01:016,937206,937206,0,0,440756994018,4629716988,922940,11134,3132,366,392853,0 8,2,2024-09-07 09:41:00:796,673159,673157,2,0,35073308,0,5112 8,3,2024-09-07 09:41:00:594,1,536,12,0,538,7872,536,0 9,0,2024-09-07 09:41:01:175,137073,0.3,133359,0.5,279474,0.3,366557,1.75 9,1,2024-09-07 09:41:00:555,937856,937856,0,0,440909871462,4640401084,924357,10846,2653,369,392001,0 9,2,2024-09-07 09:41:01:107,676145,676144,1,0,31760158,0,5281 9,3,2024-09-07 09:41:01:759,1,536,1,0,1273,8836,536,0 10,0,2024-09-07 09:41:01:599,136947,0.4,136535,0.5,273790,0.3,364846,1.75 10,1,2024-09-07 09:41:00:585,939949,939949,0,0,441363429944,4620513869,927581,10278,2090,381,391869,0 10,2,2024-09-07 09:41:00:765,680678,680678,0,0,34553424,0,4264 10,3,2024-09-07 09:41:00:873,1,536,0,0,649,5537,536,0 11,0,2024-09-07 09:41:01:011,131696,0.5,127788,0.6,267311,0.4,351973,1.75 11,1,2024-09-07 09:41:00:571,941418,941418,0,0,441367660351,4624766815,927940,10016,3462,383,391766,0 11,2,2024-09-07 09:41:01:123,676595,676595,0,0,30216884,0,4130 11,3,2024-09-07 09:41:01:298,1,536,1,0,843,7128,536,0 12,0,2024-09-07 09:41:01:011,131784,0.4,131850,0.5,263627,0.3,350034,1.75 12,1,2024-09-07 09:41:00:942,940561,940561,0,0,441328266885,4602002300,932881,6795,885,370,391960,0 12,2,2024-09-07 09:41:01:542,676324,676324,0,0,30190689,0,4390 12,3,2024-09-07 09:41:01:064,1,536,2,0,386,7105,536,0 13,0,2024-09-07 09:41:01:391,135991,0.4,135764,0.5,270888,0.4,361764,1.75 13,1,2024-09-07 09:41:01:540,938359,938359,0,0,440616314351,4628880770,928720,7343,2296,382,391740,0 13,2,2024-09-07 09:41:00:609,679690,679690,0,0,27122052,0,3287 13,3,2024-09-07 09:41:01:763,1,536,4,0,522,7388,536,0 14,0,2024-09-07 09:41:00:565,138452,0.4,139426,0.6,276761,0.4,368284,1.75 14,1,2024-09-07 09:41:01:562,944810,944810,0,0,443568913958,4587458393,937893,6189,728,364,391673,0 14,2,2024-09-07 09:41:00:764,680316,680286,30,0,28482781,0,6104 14,3,2024-09-07 09:41:01:115,1,536,2,0,1168,6057,536,0 15,0,2024-09-07 09:41:01:563,133960,0.4,133357,0.7,267635,0.4,356405,2.00 15,1,2024-09-07 09:41:01:615,942393,942393,0,0,442478171367,4606091032,934656,6251,1486,381,391619,0 15,2,2024-09-07 09:41:01:004,679210,679210,0,0,23912540,0,3622 15,3,2024-09-07 09:41:01:406,1,536,1,0,1126,7330,536,0 16,0,2024-09-07 09:41:01:031,131463,0.5,132231,0.7,263742,0.5,350839,2.00 16,1,2024-09-07 09:41:00:583,941942,941942,0,0,441966122780,4618695748,933514,7126,1302,370,392194,0 16,2,2024-09-07 09:41:01:449,676972,676972,0,0,28277955,0,4719 16,3,2024-09-07 09:41:01:141,1,536,10,0,358,6671,536,0 17,0,2024-09-07 09:41:01:835,137095,0.5,133553,0.7,261970,0.5,355625,2.00 17,1,2024-09-07 09:41:00:578,939791,939791,0,0,441193169689,4625869255,930479,7561,1751,368,392075,0 17,2,2024-09-07 09:41:01:669,681375,681374,1,0,29092307,0,5050 17,3,2024-09-07 09:41:00:595,1,536,45,0,518,7901,536,0 18,0,2024-09-07 09:41:00:948,137148,0.7,138055,0.8,275021,0.7,367021,2.25 18,1,2024-09-07 09:41:01:638,943831,943831,0,0,442562141719,4588388787,937715,5119,997,367,391725,0 18,2,2024-09-07 09:41:01:760,680994,680994,0,0,25806896,0,3541 18,3,2024-09-07 09:41:00:896,1,536,1,0,1059,4964,536,0 19,0,2024-09-07 09:41:01:539,135963,0.7,136232,0.8,271332,0.7,361341,2.25 19,1,2024-09-07 09:41:00:566,943230,943230,0,0,443946625184,4600973596,936238,5907,1085,367,391777,0 19,2,2024-09-07 09:41:01:755,680751,680751,0,0,24101717,0,3988 19,3,2024-09-07 09:41:01:136,1,536,2,0,524,4273,536,0 20,0,2024-09-07 09:41:01:353,130180,0.5,130490,0.7,260521,0.5,347508,2.00 20,1,2024-09-07 09:41:00:576,939891,939891,0,0,441275349274,4619867477,930680,7842,1369,369,391922,0 20,2,2024-09-07 09:41:00:939,677276,677276,0,0,29276317,0,3721 20,3,2024-09-07 09:41:00:597,1,536,2,0,468,8936,536,0 21,0,2024-09-07 09:41:01:140,131489,0.4,131652,0.6,263323,0.4,349888,1.75 21,1,2024-09-07 09:41:01:542,938375,938375,0,0,439869568323,4638042117,924215,11041,3119,368,392016,0 21,2,2024-09-07 09:41:01:086,674964,674944,20,0,34305570,0,5617 21,3,2024-09-07 09:41:01:403,1,536,1,0,713,6802,536,0 22,0,2024-09-07 09:41:01:718,137473,0.4,138073,0.6,275487,0.4,365551,2.00 22,1,2024-09-07 09:41:01:026,939256,939256,0,0,440539535527,4633922888,924023,12006,3227,382,391822,0 22,2,2024-09-07 09:41:00:760,676114,676088,26,0,28659009,0,6328 22,3,2024-09-07 09:41:01:066,1,536,1,0,228,4445,536,0 23,0,2024-09-07 09:41:01:366,136619,0.5,136221,0.7,272377,0.5,363610,2.25 23,1,2024-09-07 09:41:01:008,940348,940348,0,0,442221935102,4637109941,924641,11299,4408,365,391690,0 23,2,2024-09-07 09:41:01:106,680768,680768,0,0,27316636,0,3773 23,3,2024-09-07 09:41:01:754,1,536,1,0,855,8338,536,0 24,0,2024-09-07 09:41:00:842,132121,0.4,131330,0.6,264241,0.4,350694,1.75 24,1,2024-09-07 09:41:00:585,939374,939374,0,0,440961249795,4613748520,930405,7292,1677,367,392269,0 24,2,2024-09-07 09:41:01:084,677073,677073,0,0,32450197,0,4438 24,3,2024-09-07 09:41:01:685,1,536,3,0,468,6735,536,0 25,0,2024-09-07 09:41:01:360,134667,0.4,131274,0.6,257322,0.3,350730,1.75 25,1,2024-09-07 09:41:00:559,938455,938455,0,0,440485727857,4643223725,923470,12012,2973,369,391928,0 25,2,2024-09-07 09:41:01:624,675574,675574,0,0,33875038,0,3978 25,3,2024-09-07 09:41:01:019,1,536,0,0,532,5927,536,0 26,0,2024-09-07 09:41:01:726,135740,0.4,132873,0.6,278724,0.3,363082,1.75 26,1,2024-09-07 09:41:01:544,941466,941466,0,0,442160749496,4625944227,928626,10388,2452,380,391748,0 26,2,2024-09-07 09:41:00:871,677363,677363,0,0,35839115,0,4689 26,3,2024-09-07 09:41:01:716,1,536,1,0,796,5798,536,0 27,0,2024-09-07 09:41:01:726,137777,0.4,138460,0.6,275098,0.4,366711,2.25 27,1,2024-09-07 09:41:01:676,942142,942142,0,0,443735609098,4618318623,933586,7287,1269,381,391626,0 27,2,2024-09-07 09:41:00:867,677415,677350,65,0,30936262,0,5699 27,3,2024-09-07 09:41:01:015,1,536,1,0,564,4722,536,0 28,0,2024-09-07 09:41:01:399,133426,0.4,133158,0.6,266833,0.3,355321,1.75 28,1,2024-09-07 09:41:00:802,942992,942992,0,0,443272047636,4618809855,935215,6063,1714,382,391698,0 28,2,2024-09-07 09:41:01:772,677893,677893,0,0,27236784,0,2915 28,3,2024-09-07 09:41:01:781,1,536,0,0,502,5329,536,0 29,0,2024-09-07 09:41:01:363,135056,0.3,131452,0.6,257921,0.3,351220,1.75 29,1,2024-09-07 09:41:01:561,944849,944849,0,0,444022043973,4590704691,939290,4797,762,367,391809,0 29,2,2024-09-07 09:41:00:870,676692,676692,0,0,26782975,0,4986 29,3,2024-09-07 09:41:00:972,1,536,1,0,459,5787,536,0 30,0,2024-09-07 09:41:01:477,133148,0.4,129630,0.6,271684,0.4,355173,2.00 30,1,2024-09-07 09:41:00:582,944292,944292,0,0,443962568192,4605672862,937313,6077,902,380,391672,0 30,2,2024-09-07 09:41:01:276,678943,678943,0,0,25796586,0,4192 30,3,2024-09-07 09:41:00:581,1,536,3,0,519,5131,536,0 31,0,2024-09-07 09:41:01:780,138260,0.5,138755,0.6,276728,0.4,369113,2.00 31,1,2024-09-07 09:41:00:569,947379,947379,0,0,445207470568,4569016037,942049,4319,1011,356,391712,0 31,2,2024-09-07 09:41:01:275,678166,678166,0,0,28312449,0,4470 31,3,2024-09-07 09:41:01:709,1,536,0,0,220,4508,536,0 32,0,2024-09-07 09:41:01:433,136181,0.3,136868,0.5,272690,0.3,363144,1.75 32,1,2024-09-07 09:41:00:803,943328,943328,0,0,443117578899,4604515371,937519,5100,709,381,391646,0 32,2,2024-09-07 09:41:00:938,678629,678629,0,0,24752474,0,3922 32,3,2024-09-07 09:41:01:017,1,536,2,0,304,4415,536,0 33,0,2024-09-07 09:41:01:498,131799,0.3,131433,0.4,262953,0.2,350344,1.50 33,1,2024-09-07 09:41:00:579,943913,943913,0,0,443737699664,4595103028,936651,6088,1174,368,391730,0 33,2,2024-09-07 09:41:00:759,679071,679036,35,0,27432199,0,7012 33,3,2024-09-07 09:41:00:908,1,536,4,0,329,4952,536,0 34,0,2024-09-07 09:41:00:930,132171,0.3,135833,0.4,259511,0.2,350057,1.75 34,1,2024-09-07 09:41:01:044,945742,945742,0,0,444328835337,4568400228,943231,2489,22,367,391637,0 34,2,2024-09-07 09:41:00:769,679835,679835,0,0,25981648,0,4562 34,3,2024-09-07 09:41:01:690,1,536,3,0,320,4120,536,0 35,0,2024-09-07 09:41:00:869,137703,0.3,138392,0.5,278032,0.2,370036,1.75 35,1,2024-09-07 09:41:01:074,942616,942616,0,0,442861325954,4592852170,935955,5447,1214,382,391769,0 35,2,2024-09-07 09:41:01:595,677952,677952,0,0,28574151,0,4055 35,3,2024-09-07 09:41:00:911,1,536,1,0,418,4923,536,0 36,0,2024-09-07 09:41:01:527,137569,0.5,137421,0.7,274755,0.5,365930,2.00 36,1,2024-09-07 09:41:00:599,942431,942431,0,0,441742832858,4611714870,930140,10069,2222,366,391759,0 36,2,2024-09-07 09:41:01:751,679111,679111,0,0,29778902,0,3875 36,3,2024-09-07 09:41:00:866,1,536,6,0,416,7202,536,0 37,0,2024-09-07 09:41:01:443,129819,0.5,129715,0.7,259675,0.5,346266,2.00 37,1,2024-09-07 09:41:00:571,941292,941285,0,7,441975580597,4613018036,930161,8448,2676,365,391770,0 37,2,2024-09-07 09:41:01:151,675878,675863,15,0,30252848,0,5815 37,3,2024-09-07 09:41:01:777,1,536,1,0,888,7428,536,0 38,0,2024-09-07 09:41:01:440,130273,0.4,126365,0.6,264338,0.3,346361,2.00 38,1,2024-09-07 09:41:01:616,942106,942106,0,0,442304869774,4620785874,928874,10713,2519,368,391821,0 38,2,2024-09-07 09:41:00:760,676617,676570,47,0,30395439,0,6710 38,3,2024-09-07 09:41:00:999,1,536,3,0,689,6725,536,0 39,0,2024-09-07 09:41:01:768,140664,0.5,137546,0.7,268099,0.4,366232,2.00 39,1,2024-09-07 09:41:00:720,940253,940253,0,0,441295429323,4626496759,924456,12327,3470,365,391865,0 39,2,2024-09-07 09:41:01:422,677882,677882,0,0,28065058,0,3391 39,3,2024-09-07 09:41:00:722,1,536,1,0,525,6301,536,0 40,0,2024-09-07 09:41:01:492,135733,0.9,136327,1.0,272210,1.1,362912,2.75 40,1,2024-09-07 09:41:00:589,942374,942374,0,0,440247069067,4601470999,930353,9842,2179,368,391668,0 40,2,2024-09-07 09:41:01:311,678751,678750,1,0,33511646,0,5137 40,3,2024-09-07 09:41:01:141,1,536,1,0,1028,7401,536,0 41,0,2024-09-07 09:41:01:030,131214,1.3,134115,1.1,255763,1.8,347778,3.00 41,1,2024-09-07 09:41:00:769,940818,940818,0,0,441287983769,4614508561,928886,9997,1935,369,391878,0 41,2,2024-09-07 09:41:00:763,674752,674751,1,0,32281064,0,5408 41,3,2024-09-07 09:41:01:683,1,536,0,0,366,5774,536,0 42,0,2024-09-07 09:41:01:478,130358,0.8,130013,0.9,260356,0.8,344896,2.50 42,1,2024-09-07 09:41:01:440,937691,937691,0,0,440487596117,4628155260,922675,12108,2908,380,391675,0 42,2,2024-09-07 09:41:01:138,675920,675920,0,0,31458672,0,3975 42,3,2024-09-07 09:41:01:013,1,536,1,0,892,4720,536,0 43,0,2024-09-07 09:41:00:915,133996,0.6,130541,0.9,273325,0.6,358884,2.25 43,1,2024-09-07 09:41:00:598,941308,941308,0,0,442178306601,4617016785,928437,10611,2260,366,391696,0 43,2,2024-09-07 09:41:01:740,678527,678527,0,0,30827444,0,4723 43,3,2024-09-07 09:41:01:749,1,536,2,0,571,7345,536,0 44,0,2024-09-07 09:41:00:884,138651,0.4,138595,0.6,277858,0.4,368850,1.75 44,1,2024-09-07 09:41:00:570,943908,943908,0,0,442699251907,4573054691,936106,6215,1587,356,391809,0 44,2,2024-09-07 09:41:01:267,677722,677722,0,0,25645039,0,4344 44,3,2024-09-07 09:41:01:108,1,536,1,0,817,5793,536,0 45,0,2024-09-07 09:41:01:767,132547,0.5,129504,0.7,271866,0.5,356604,2.00 45,1,2024-09-07 09:41:01:007,942927,942927,0,0,443737195203,4610084379,935412,6639,876,382,391917,0 45,2,2024-09-07 09:41:01:273,677985,677985,0,0,25883698,0,3596 45,3,2024-09-07 09:41:00:937,1,536,1,0,365,5007,536,0 46,0,2024-09-07 09:41:00:952,131195,0.4,130633,0.7,262074,0.4,348005,2.00 46,1,2024-09-07 09:41:00:578,944222,944222,0,0,443491521375,4581588783,937815,5543,864,366,391709,0 46,2,2024-09-07 09:41:00:598,678467,678467,0,0,26278750,0,4443 46,3,2024-09-07 09:41:01:144,1,536,0,0,908,6390,536,0 47,0,2024-09-07 09:41:01:113,133039,0.4,133200,0.6,267395,0.3,354569,1.75 47,1,2024-09-07 09:41:00:567,945670,945670,0,0,444416825828,4588428178,940430,4401,839,365,391641,0 47,2,2024-09-07 09:41:00:908,681982,681982,0,0,26058137,0,4477 47,3,2024-09-07 09:41:01:121,1,536,3,0,600,5991,536,0 48,0,2024-09-07 09:41:01:518,138790,0.3,139010,0.4,277313,0.2,368871,1.50 48,1,2024-09-07 09:41:01:022,943018,943018,0,0,443084535735,4601905711,937200,5242,576,384,391710,0 48,2,2024-09-07 09:41:00:701,678763,678763,0,0,23919777,0,3411 48,3,2024-09-07 09:41:00:756,1,536,1,0,339,4190,536,0 49,0,2024-09-07 09:41:01:718,140207,0.4,137599,0.5,267355,0.3,365447,1.75 49,1,2024-09-07 09:41:01:020,942221,942221,0,0,442752788496,4600621244,936652,4358,1211,382,391809,0 49,2,2024-09-07 09:41:01:797,679385,679385,0,0,26428252,0,4426 49,3,2024-09-07 09:41:01:421,1,536,11,0,992,6216,536,0 50,0,2024-09-07 09:41:01:525,131138,0.3,129385,0.5,260717,0.2,347226,1.75 50,1,2024-09-07 09:41:01:010,945791,945791,0,0,444249888004,4591268823,939844,5279,668,368,391565,0 50,2,2024-09-07 09:41:01:066,677709,677709,0,0,24285194,0,4490 50,3,2024-09-07 09:41:01:291,1,536,14,0,617,5483,536,0 51,0,2024-09-07 09:41:01:685,135100,0.3,132230,0.4,257469,0.2,351445,1.75 51,1,2024-09-07 09:41:01:681,946562,946562,0,0,445317458979,4589698342,941793,3713,1056,365,391706,0 51,2,2024-09-07 09:41:01:315,678659,678659,0,0,23612508,0,3337 51,3,2024-09-07 09:41:01:039,1,536,1,0,678,3806,536,0 52,0,2024-09-07 09:41:01:426,137999,0.5,137637,0.6,275643,0.4,366418,2.00 52,1,2024-09-07 09:41:00:578,941556,941556,0,0,441011752680,4613914243,928326,11125,2105,368,391722,0 52,2,2024-09-07 09:41:01:766,674283,674245,38,0,31299073,0,6742 52,3,2024-09-07 09:41:00:674,1,536,0,0,1782,6709,536,0 53,0,2024-09-07 09:41:01:775,136325,0.7,132209,0.8,276464,0.8,363148,2.50 53,1,2024-09-07 09:41:00:782,939887,939887,0,0,441027547973,4624974329,924522,11521,3844,367,391968,0 53,2,2024-09-07 09:41:01:298,680214,680213,1,0,28121140,0,5455 53,3,2024-09-07 09:41:00:696,1,536,1,0,308,5180,536,0 54,0,2024-09-07 09:41:01:614,129310,0.6,129611,0.8,258093,0.5,344593,2.25 54,1,2024-09-07 09:41:00:583,942097,942097,0,0,442634201115,4601789648,932028,8435,1634,366,391810,0 54,2,2024-09-07 09:41:00:867,677905,677873,32,0,31870941,0,6397 54,3,2024-09-07 09:41:00:764,1,536,1,0,676,7060,536,0 55,0,2024-09-07 09:41:01:759,126568,0.5,130584,0.7,264696,0.5,345069,2.25 55,1,2024-09-07 09:41:00:764,943327,943327,0,0,442184095958,4595648474,933640,8366,1321,365,391731,0 55,2,2024-09-07 09:41:00:729,675759,675703,56,0,30069821,0,7239 55,3,2024-09-07 09:41:00:674,1,536,0,0,304,4900,536,0 56,0,2024-09-07 09:41:01:561,139261,1.0,131274,1.0,270445,1.3,362604,2.50 56,1,2024-09-07 09:41:00:570,937749,937749,0,0,440568431200,4648880478,923418,11418,2913,381,391867,0 56,2,2024-09-07 09:41:01:306,677026,676904,122,0,31617415,0,7432 56,3,2024-09-07 09:41:01:066,1,536,2,0,705,6304,536,0 57,0,2024-09-07 09:41:00:946,135882,1.6,135825,1.3,272051,2.2,363353,3.25 57,1,2024-09-07 09:41:00:997,939492,939492,0,0,441566020900,4629575333,927282,9925,2285,366,392032,0 57,2,2024-09-07 09:41:01:329,679707,679707,0,0,32516871,0,4317 57,3,2024-09-07 09:41:01:744,1,536,1,0,455,5911,536,0 58,0,2024-09-07 09:41:00:599,131303,0.9,127509,1.0,266694,1.1,348866,2.75 58,1,2024-09-07 09:41:00:582,941053,941050,0,3,442054930352,4629606746,927246,10483,3321,367,391675,3 58,2,2024-09-07 09:41:01:085,676794,676794,0,0,30831829,0,3483 58,3,2024-09-07 09:41:01:085,1,536,7,0,1043,5839,536,0 59,0,2024-09-07 09:41:01:748,130708,0.8,130690,0.9,260526,0.8,345331,2.75 59,1,2024-09-07 09:41:00:813,939592,939592,0,0,441440288261,4633295428,924679,11774,3139,369,391653,0 59,2,2024-09-07 09:41:00:587,676773,676773,0,0,29458986,0,3727 59,3,2024-09-07 09:41:01:739,1,536,1,0,1015,6516,536,0 60,0,2024-09-07 09:41:01:710,133586,0.5,134037,0.6,267695,0.4,356239,1.75 60,1,2024-09-07 09:41:00:783,944313,944313,0,0,443022622387,4596202363,938122,5254,937,370,392031,0 60,2,2024-09-07 09:41:01:146,679142,679142,0,0,28032261,0,3811 60,3,2024-09-07 09:41:01:261,1,536,1,0,409,6143,536,0 61,0,2024-09-07 09:41:01:555,138285,0.7,138837,0.8,276446,0.7,368760,2.00 61,1,2024-09-07 09:41:00:773,940981,940981,0,0,441996552183,4625916528,931052,8348,1581,382,392127,0 61,2,2024-09-07 09:41:01:119,679109,679042,67,0,28945761,0,6411 61,3,2024-09-07 09:41:01:690,1,536,1,0,607,7544,536,0 62,0,2024-09-07 09:41:01:711,136441,0.6,139989,0.8,267296,0.6,362934,2.00 62,1,2024-09-07 09:41:01:120,946096,946090,0,6,444547831703,4579832595,941925,3885,280,365,391975,6 62,2,2024-09-07 09:41:01:645,675995,675994,1,0,28091163,0,5555 62,3,2024-09-07 09:41:01:142,1,536,39,0,482,4294,536,0 63,0,2024-09-07 09:41:01:468,131756,0.4,131492,0.6,263907,0.3,350681,1.75 63,1,2024-09-07 09:41:00:803,943284,943278,0,6,443588763598,4602334788,937516,5011,751,381,391800,6 63,2,2024-09-07 09:41:00:762,678025,678025,0,0,27270633,0,4369 63,3,2024-09-07 09:41:01:731,1,536,1,0,667,5605,536,0 64,0,2024-09-07 09:41:01:521,131442,0.5,131589,0.6,262375,0.4,349207,1.75 64,1,2024-09-07 09:41:00:757,942695,942695,0,0,442769496365,4608614298,934798,6125,1772,370,391794,0 64,2,2024-09-07 09:41:01:140,683025,683006,19,0,26274919,0,6121 64,3,2024-09-07 09:41:01:147,1,536,44,0,651,5581,536,0 65,0,2024-09-07 09:41:01:850,137351,0.6,137727,0.7,275049,0.6,367463,2.00 65,1,2024-09-07 09:41:00:859,941158,941158,0,0,441209031999,4609966007,934402,5958,798,381,391901,0 65,2,2024-09-07 09:41:01:715,677499,677499,0,0,30833020,0,3367 65,3,2024-09-07 09:41:01:688,1,536,14,0,782,6282,536,0 66,0,2024-09-07 09:41:01:764,136652,0.6,136170,0.8,272483,0.6,363093,2.25 66,1,2024-09-07 09:41:01:294,942985,942985,0,0,442756652691,4606467182,936835,5428,722,380,391743,0 66,2,2024-09-07 09:41:01:132,680892,680889,3,0,27978851,0,5455 66,3,2024-09-07 09:41:01:082,1,536,1,0,291,4558,536,0 67,0,2024-09-07 09:41:01:416,130267,0.5,129971,0.7,260460,0.5,346489,2.00 67,1,2024-09-07 09:41:00:767,943149,943148,0,1,442581151012,4605733044,936866,5468,814,380,391787,1 67,2,2024-09-07 09:41:00:596,680161,680146,15,0,27292832,0,6205 67,3,2024-09-07 09:41:01:749,1,536,1,0,595,5731,536,0 68,0,2024-09-07 09:41:00:598,131069,0.5,130960,0.7,260805,0.5,348642,2.00 68,1,2024-09-07 09:41:00:573,938742,938742,0,0,440536388874,4630772075,926342,8999,3401,381,391953,0 68,2,2024-09-07 09:41:01:044,673704,673604,100,0,34828879,0,8578 68,3,2024-09-07 09:41:00:732,1,536,1,0,417,6853,536,0 69,0,2024-09-07 09:41:01:753,136527,0.6,137541,0.7,274024,0.6,364216,2.25 69,1,2024-09-07 09:41:01:016,938032,938032,0,0,441137540015,4645535278,925769,9501,2762,383,391994,0 69,2,2024-09-07 09:41:01:743,676033,676004,29,0,35871022,0,6912 69,3,2024-09-07 09:41:00:760,1,536,2,0,698,7807,536,0 70,0,2024-09-07 09:41:01:546,135451,0.9,135874,1.0,273376,0.8,361740,2.50 70,1,2024-09-07 09:41:00:802,944181,944181,0,0,444070946234,4605427938,936924,6495,762,366,391725,0 70,2,2024-09-07 09:41:01:326,680550,680550,0,0,30122481,0,4323 70,3,2024-09-07 09:41:00:749,1,536,2,0,854,5712,536,0 71,0,2024-09-07 09:41:01:359,130517,1.1,130267,1.1,261692,1.5,348995,2.75 71,1,2024-09-07 09:41:01:599,941534,941534,0,0,442095901990,4612139936,930138,10140,1256,368,391738,0 71,2,2024-09-07 09:41:01:088,676763,676763,0,0,30797860,0,4352 71,3,2024-09-07 09:41:01:750,1,536,1,0,644,6277,536,0 72,0,2024-09-07 09:41:01:023,135334,0.5,132253,0.7,258036,0.5,350382,2.00 72,1,2024-09-07 09:41:01:021,940234,940234,0,0,441702704123,4631238952,926775,11176,2283,369,391819,0 72,2,2024-09-07 09:41:01:767,675297,675297,0,0,32378547,0,3983 72,3,2024-09-07 09:41:01:755,1,536,2,0,564,7575,536,0 73,0,2024-09-07 09:41:01:127,131721,0.4,134919,0.6,276196,0.4,359770,2.00 73,1,2024-09-07 09:41:00:773,942900,942900,0,0,442203160896,4589613963,936659,5816,425,367,391858,0 73,2,2024-09-07 09:41:01:745,678208,678208,0,0,32840461,0,4728 73,3,2024-09-07 09:41:00:971,1,536,2,0,486,6593,536,0 74,0,2024-09-07 09:41:01:336,139418,0.5,142757,0.7,272197,0.5,369167,2.00 74,1,2024-09-07 09:41:00:639,940952,940952,0,0,441777250741,4610879918,930497,8530,1925,381,391762,0 74,2,2024-09-07 09:41:01:004,678887,678887,0,0,28622838,0,4253 74,3,2024-09-07 09:41:01:450,1,536,0,0,522,6370,536,0 75,0,2024-09-07 09:41:01:767,134528,0.6,133696,0.8,268290,0.5,358163,2.25 75,1,2024-09-07 09:41:01:597,942194,942194,0,0,442073693784,4606420995,934298,7033,863,380,391739,0 75,2,2024-09-07 09:41:01:353,675930,675930,0,0,34305942,0,4766 75,3,2024-09-07 09:41:01:090,1,536,8,0,702,6252,536,0 76,0,2024-09-07 09:41:00:584,131090,0.5,130413,0.7,261327,0.5,349685,2.25 76,1,2024-09-07 09:41:00:807,941772,941772,0,0,442165100870,4609942557,935138,5667,967,382,391723,0 76,2,2024-09-07 09:41:01:067,679531,679528,3,0,28947581,0,5265 76,3,2024-09-07 09:41:01:147,1,536,4,0,227,4925,536,0 77,0,2024-09-07 09:41:01:701,132559,0.5,132972,0.7,266006,0.5,353518,2.00 77,1,2024-09-07 09:41:00:828,941993,941993,0,0,442678407340,4615022935,935147,6250,596,381,391869,0 77,2,2024-09-07 09:41:01:285,678550,678550,0,0,28548688,0,3890 77,3,2024-09-07 09:41:01:102,1,536,25,0,401,5647,536,0 78,0,2024-09-07 09:41:01:720,138914,0.4,138348,0.6,277547,0.4,367392,2.00 78,1,2024-09-07 09:41:00:610,942062,942062,0,0,442095778641,4607795306,931287,8248,2527,367,391670,0 78,2,2024-09-07 09:41:01:405,680025,680012,13,0,26505167,0,8313 78,3,2024-09-07 09:41:01:138,1,536,0,0,311,4609,536,0 79,0,2024-09-07 09:41:01:357,131827,0.4,135010,0.6,276575,0.4,360180,2.25 79,1,2024-09-07 09:41:00:573,944476,944476,0,0,443266091852,4590883318,936736,6383,1357,367,391682,0 79,2,2024-09-07 09:41:01:068,678529,678529,0,0,25436209,0,4195 79,3,2024-09-07 09:41:00:770,1,536,4,0,418,6341,536,0 80,0,2024-09-07 09:41:01:089,130221,0.5,133900,0.7,256057,0.5,346717,2.00 80,1,2024-09-07 09:41:01:622,941539,941539,0,0,442518181827,4603650380,935707,5404,428,368,392269,0 80,2,2024-09-07 09:41:01:096,680087,680087,0,0,26070596,0,4433 80,3,2024-09-07 09:41:00:582,1,536,11,0,296,6276,536,0 81,0,2024-09-07 09:41:01:545,131753,0.5,134825,0.7,257201,0.5,349814,2.00 81,1,2024-09-07 09:41:01:650,940780,940780,0,0,441994757496,4618239031,933373,6768,639,382,391885,0 81,2,2024-09-07 09:41:01:130,677398,677335,63,0,29052588,0,5932 81,3,2024-09-07 09:41:01:122,1,536,7,0,719,6028,536,0 82,0,2024-09-07 09:41:01:530,137307,0.4,137586,0.7,275551,0.4,365419,2.00 82,1,2024-09-07 09:41:00:596,943209,943205,0,4,443311451291,4606587952,938122,4262,821,381,391768,4 82,2,2024-09-07 09:41:01:693,679456,679456,0,0,24175242,0,4484 82,3,2024-09-07 09:41:01:752,1,536,1,0,363,5019,536,0 83,0,2024-09-07 09:41:01:528,136887,0.6,136829,0.8,273215,0.6,363207,2.25 83,1,2024-09-07 09:41:00:555,941888,941888,0,0,441620558962,4601368096,935527,5904,457,382,391709,0 83,2,2024-09-07 09:41:00:767,680203,680178,25,0,26182873,0,5612 83,3,2024-09-07 09:41:00:756,1,536,1,0,1260,6526,536,0 84,0,2024-09-07 09:41:01:787,130112,0.7,130333,0.9,260255,0.7,347900,2.25 84,1,2024-09-07 09:41:01:043,940824,940824,0,0,441267820361,4610895188,930577,8720,1527,367,391967,0 84,2,2024-09-07 09:41:00:604,677038,677008,30,0,34368402,0,5971 84,3,2024-09-07 09:41:01:186,1,536,1,0,908,7431,536,0 85,0,2024-09-07 09:41:01:197,126036,0.5,126036,0.7,267575,0.5,346486,2.25 85,1,2024-09-07 09:41:00:616,937371,937371,0,0,441041951532,4654270114,923091,11622,2658,381,392092,0 85,2,2024-09-07 09:41:00:990,675784,675784,0,0,32084843,0,4255 85,3,2024-09-07 09:41:00:688,1,536,14,0,789,6093,536,0 86,0,2024-09-07 09:41:00:877,136232,0.6,139943,0.7,267639,0.6,362970,2.25 86,1,2024-09-07 09:41:00:823,940007,940007,0,0,441979523798,4627767254,928700,9329,1978,366,391995,0 86,2,2024-09-07 09:41:00:854,676426,676425,1,0,34558120,0,5004 86,3,2024-09-07 09:41:00:595,1,536,8,0,308,7599,536,0 87,0,2024-09-07 09:41:01:282,137722,0.9,137427,0.9,274878,1.1,367110,2.25 87,1,2024-09-07 09:41:00:550,939111,939111,0,0,441151171019,4620528854,926901,10494,1716,366,392076,0 87,2,2024-09-07 09:41:01:076,679253,679247,6,0,30539940,0,6323 87,3,2024-09-07 09:41:01:797,1,536,7,0,473,7959,536,0 88,0,2024-09-07 09:41:01:577,133049,0.5,133636,0.6,267069,0.4,355139,1.75 88,1,2024-09-07 09:41:00:571,938893,938893,0,0,441642530811,4623145106,926241,10034,2618,365,392084,0 88,2,2024-09-07 09:41:00:912,677069,677069,0,0,32985767,0,4465 88,3,2024-09-07 09:41:01:267,1,536,11,0,435,6793,536,0 89,0,2024-09-07 09:41:01:849,135189,0.4,131058,0.6,258894,0.4,351293,1.75 89,1,2024-09-07 09:41:00:555,939235,939235,0,0,440797038205,4635053501,927798,9619,1818,382,391866,0 89,2,2024-09-07 09:41:01:191,676392,676392,0,0,31382146,0,3173 89,3,2024-09-07 09:41:01:796,1,536,14,0,468,8719,536,0 90,0,2024-09-07 09:41:01:638,129804,0.4,133300,0.6,271821,0.4,354920,1.75 90,1,2024-09-07 09:41:00:590,940407,940407,0,0,441630689391,4620268183,931884,7789,734,380,391825,0 90,2,2024-09-07 09:41:01:406,676432,676432,0,0,33622328,0,3608 90,3,2024-09-07 09:41:00:930,1,536,1,0,322,6508,536,0 91,0,2024-09-07 09:41:00:938,138873,0.5,134665,0.6,281538,0.4,369299,1.75 91,1,2024-09-07 09:41:00:566,938374,938374,0,0,441437465387,4638874175,926762,9599,2013,381,392047,0 91,2,2024-09-07 09:41:01:337,679058,679058,0,0,29655568,0,4713 91,3,2024-09-07 09:41:00:602,1,536,11,0,216,5031,536,0 92,0,2024-09-07 09:41:01:545,137601,0.5,140562,0.6,268145,0.5,363267,1.75 92,1,2024-09-07 09:41:00:595,941045,941045,0,0,442767396503,4623349786,933521,6317,1207,381,392136,0 92,2,2024-09-07 09:41:01:350,677935,677935,0,0,26734843,0,3259 92,3,2024-09-07 09:41:01:015,1,536,29,0,167,4604,536,0 93,0,2024-09-07 09:41:01:182,132061,0.4,135368,0.6,258399,0.3,350607,1.75 93,1,2024-09-07 09:41:00:901,941519,941519,0,0,441773092847,4610172902,931205,8580,1734,366,391776,0 93,2,2024-09-07 09:41:00:938,677997,677997,0,0,32493357,0,4913 93,3,2024-09-07 09:41:01:411,1,536,3,0,190,4770,536,0 94,0,2024-09-07 09:41:01:619,131321,0.3,132240,0.5,264324,0.3,350891,1.75 94,1,2024-09-07 09:41:00:571,942002,942002,0,0,442648336700,4614385260,935889,5737,376,381,391850,0 94,2,2024-09-07 09:41:00:766,678731,678703,28,0,27828194,0,6179 94,3,2024-09-07 09:41:01:716,1,536,3,0,576,6687,536,0 95,0,2024-09-07 09:41:01:403,138466,0.3,138448,0.5,277050,0.3,369942,1.75 95,1,2024-09-07 09:41:00:870,943278,943278,0,0,443743898604,4609154008,935920,6699,659,365,391852,0 95,2,2024-09-07 09:41:01:016,677540,677540,0,0,26544527,0,3308 95,3,2024-09-07 09:41:01:711,1,536,5,0,718,8217,536,0 96,0,2024-09-07 09:41:01:123,137276,0.4,137429,0.5,274732,0.3,365306,1.75 96,1,2024-09-07 09:41:01:611,941263,941263,0,0,441739812100,4605457884,934862,5486,915,384,391964,0 96,2,2024-09-07 09:41:01:269,679454,679454,0,0,27530738,0,4180 96,3,2024-09-07 09:41:01:140,1,536,2,0,411,5666,536,0 97,0,2024-09-07 09:41:01:345,130188,0.3,130136,0.5,261325,0.3,346616,1.50 97,1,2024-09-07 09:41:00:804,943555,943555,0,0,443183289910,4595053141,937784,4826,945,367,392140,0 97,2,2024-09-07 09:41:00:736,679448,679448,0,0,27085722,0,3679 97,3,2024-09-07 09:41:00:571,1,536,2,0,242,6094,536,0 98,0,2024-09-07 09:41:01:784,130549,0.3,130630,0.4,262235,0.2,348304,1.50 98,1,2024-09-07 09:41:00:583,942527,942527,0,0,441797648488,4598781238,937104,4622,801,381,391997,0 98,2,2024-09-07 09:41:00:768,678201,678201,0,0,26657397,0,4336 98,3,2024-09-07 09:41:00:698,1,536,2,0,840,8274,536,0 99,0,2024-09-07 09:41:01:455,137216,0.3,137886,0.4,274499,0.3,366728,1.75 99,1,2024-09-07 09:41:01:724,942609,942609,0,0,441888621553,4598194361,936630,5050,929,380,392069,0 99,2,2024-09-07 09:41:01:426,678170,678170,0,0,32083279,0,4276 99,3,2024-09-07 09:41:00:589,1,536,1,0,606,5311,536,0 100,0,2024-09-07 09:41:01:668,136704,0.8,137003,0.9,273583,1.0,365179,2.50 100,1,2024-09-07 09:41:00:566,937430,937430,0,0,440007695476,4649180856,923080,11250,3100,378,391989,0 100,2,2024-09-07 09:41:01:817,678017,678006,11,0,31331813,0,5417 100,3,2024-09-07 09:41:01:731,1,536,77,0,627,9557,536,0 101,0,2024-09-07 09:41:01:731,134522,1.2,131117,1.1,257008,1.2,351200,2.25 101,1,2024-09-07 09:41:00:557,938859,938859,0,0,440616615960,4627301361,926456,10001,2402,368,391847,0 101,2,2024-09-07 09:41:01:757,673247,673247,0,0,36306214,0,4871 101,3,2024-09-07 09:41:00:944,1,536,11,0,1250,8338,536,0 102,0,2024-09-07 09:41:00:949,127380,0.6,131370,0.7,266583,0.5,348489,2.00 102,1,2024-09-07 09:41:01:143,938912,938912,0,0,440742345799,4626328109,926695,10195,2022,369,391891,0 102,2,2024-09-07 09:41:01:740,676658,676604,54,0,30684660,0,6768 102,3,2024-09-07 09:41:01:614,1,536,38,0,466,6219,536,0 103,0,2024-09-07 09:41:01:597,139551,0.5,139603,0.7,263005,0.5,362605,2.00 103,1,2024-09-07 09:41:01:624,937516,937516,0,0,440353123562,4645421788,923313,11003,3200,381,391862,0 103,2,2024-09-07 09:41:00:593,677118,677118,0,0,32501924,0,3766 103,3,2024-09-07 09:41:00:796,1,536,1,0,916,6316,536,0 104,0,2024-09-07 09:41:01:037,137409,0.7,137539,0.9,274486,0.7,367118,2.25 104,1,2024-09-07 09:41:01:604,940392,940392,0,0,441201930799,4631231281,927326,10515,2551,365,392168,0 104,2,2024-09-07 09:41:01:666,676731,676731,0,0,31582259,0,3941 104,3,2024-09-07 09:41:01:424,1,536,4,0,1245,9228,536,0 105,0,2024-09-07 09:41:01:063,132846,0.9,129435,1.1,271384,1.1,356215,2.75 105,1,2024-09-07 09:41:00:561,941584,941584,0,0,442439467939,4631920565,929977,9838,1769,365,392009,0 105,2,2024-09-07 09:41:01:326,675813,675813,0,0,31659602,0,4360 105,3,2024-09-07 09:41:01:306,1,536,6,0,399,7493,536,0 106,0,2024-09-07 09:41:00:935,127152,0.7,130232,0.9,266887,0.7,348337,2.50 106,1,2024-09-07 09:41:01:750,940640,940640,0,0,441496469497,4628572092,928019,10972,1649,368,391914,0 106,2,2024-09-07 09:41:00:768,676378,676378,0,0,29877526,0,2920 106,3,2024-09-07 09:41:00:676,1,536,2,0,1224,7990,536,0 107,0,2024-09-07 09:41:01:144,132902,0.7,132872,0.8,265704,0.7,354626,2.00 107,1,2024-09-07 09:41:00:586,938488,938488,0,0,440475494136,4641048492,925579,11516,1393,381,392234,0 107,2,2024-09-07 09:41:01:294,676489,676488,1,0,32717509,0,5024 107,3,2024-09-07 09:41:01:755,1,536,2,0,733,7976,536,0 108,0,2024-09-07 09:41:01:762,138397,0.4,139012,0.6,276816,0.4,368991,1.75 108,1,2024-09-07 09:41:01:296,941094,941094,0,0,442138259732,4610087243,933173,6949,972,367,391894,0 108,2,2024-09-07 09:41:01:760,677498,677498,0,0,29304707,0,4246 108,3,2024-09-07 09:41:01:334,1,536,1,0,749,10405,536,0 109,0,2024-09-07 09:41:01:772,137210,0.4,136039,0.6,272706,0.4,364375,1.75 109,1,2024-09-07 09:41:00:585,938576,938576,0,0,441540935184,4630020281,930268,7091,1217,382,392132,0 109,2,2024-09-07 09:41:00:928,676201,676201,0,0,29227419,0,3617 109,3,2024-09-07 09:41:01:151,1,536,0,0,630,6614,536,0 110,0,2024-09-07 09:41:01:751,130360,0.4,126791,0.6,265496,0.3,348054,1.75 110,1,2024-09-07 09:41:01:653,942472,942472,0,0,443215585351,4601039864,934937,5613,1922,368,392045,0 110,2,2024-09-07 09:41:01:312,678444,678444,0,0,27683182,0,4067 110,3,2024-09-07 09:41:00:691,1,536,22,0,722,7362,536,0 111,0,2024-09-07 09:41:01:420,132031,0.3,131330,0.5,263035,0.2,351128,1.75 111,1,2024-09-07 09:41:01:000,943880,943880,0,0,443750810274,4598871638,939335,4188,357,380,391690,0 111,2,2024-09-07 09:41:01:122,677857,677857,0,0,28243526,0,4823 111,3,2024-09-07 09:41:00:913,1,536,1,0,379,6041,536,0 112,0,2024-09-07 09:41:00:919,138358,0.3,137643,0.4,276141,0.2,366542,1.50 112,1,2024-09-07 09:41:00:825,942873,942873,0,0,443026079524,4597303830,936966,5216,691,380,391624,0 112,2,2024-09-07 09:41:01:139,677484,677483,1,0,26745740,0,5036 112,3,2024-09-07 09:41:00:597,1,536,15,0,282,5071,536,0 113,0,2024-09-07 09:41:00:873,137032,0.3,136944,0.5,274161,0.3,365328,1.75 113,1,2024-09-07 09:41:01:686,945425,945425,0,0,444221504151,4581988565,940170,4440,815,365,391664,0 113,2,2024-09-07 09:41:01:304,682535,682535,0,0,24351989,0,3813 113,3,2024-09-07 09:41:00:686,1,536,2,0,340,5617,536,0 114,0,2024-09-07 09:41:00:875,131613,0.3,132428,0.5,263250,0.2,351836,1.75 114,1,2024-09-07 09:41:00:721,942644,942644,0,0,443438918917,4602818352,935733,5295,1616,381,391565,0 114,2,2024-09-07 09:41:00:873,678958,678957,1,0,26405277,0,5069 114,3,2024-09-07 09:41:01:277,1,536,1,0,395,4253,536,0 115,0,2024-09-07 09:41:00:553,131471,0.3,132143,0.4,263200,0.2,350137,1.50 115,1,2024-09-07 09:41:00:571,943398,943398,0,0,443235375222,4603373471,935995,6046,1357,382,391757,0 115,2,2024-09-07 09:41:01:125,680000,680000,0,0,25878386,0,4382 115,3,2024-09-07 09:41:01:006,1,536,1,0,167,3027,536,0 116,0,2024-09-07 09:41:01:721,135826,0.6,135711,0.8,272028,0.5,363964,2.00 116,1,2024-09-07 09:41:00:822,938390,938390,0,0,441399867146,4648290281,927719,8225,2446,380,392089,0 116,2,2024-09-07 09:41:01:754,677080,677080,0,0,32959251,0,4475 116,3,2024-09-07 09:41:00:974,1,536,39,0,415,6546,536,0 117,0,2024-09-07 09:41:00:996,137993,0.7,137386,0.8,275352,0.8,367764,2.00 117,1,2024-09-07 09:41:01:588,939765,939765,0,0,441844302162,4622057358,929730,8810,1225,369,392033,0 117,2,2024-09-07 09:41:01:123,681293,681293,0,0,28892339,0,4303 117,3,2024-09-07 09:41:01:066,1,536,10,0,490,7210,536,0 118,0,2024-09-07 09:41:01:785,129049,0.6,132626,0.7,270430,0.6,353595,2.00 118,1,2024-09-07 09:41:00:590,938876,938876,0,0,440877050020,4630790350,925368,10384,3124,366,392054,0 118,2,2024-09-07 09:41:01:607,676135,676135,0,0,31391272,0,2842 118,3,2024-09-07 09:41:01:764,1,536,14,0,248,5935,536,0 119,0,2024-09-07 09:41:01:376,131024,0.6,131503,0.7,262629,0.6,349454,2.00 119,1,2024-09-07 09:41:00:551,940191,940191,0,0,441906915561,4627054307,928458,9965,1768,367,391857,0 119,2,2024-09-07 09:41:01:262,677726,677726,0,0,29247779,0,4174 119,3,2024-09-07 09:41:01:325,1,536,1,0,1358,9827,536,0 120,0,2024-09-07 09:41:01:563,133040,0.6,132754,0.8,266813,0.6,355621,2.25 120,1,2024-09-07 09:41:00:863,940790,940790,0,0,441533215949,4623885031,931486,8523,781,367,392144,0 120,2,2024-09-07 09:41:00:820,677767,677766,1,0,33941338,0,5281 120,3,2024-09-07 09:41:01:292,1,536,14,0,279,6618,536,0 121,0,2024-09-07 09:41:01:750,138608,1.3,137936,1.1,276382,1.7,368321,2.25 121,1,2024-09-07 09:41:01:678,940818,940818,0,0,441536052257,4611984424,932076,8041,701,366,391840,0 121,2,2024-09-07 09:41:01:152,677586,677586,0,0,31176326,0,4157 121,3,2024-09-07 09:41:00:729,1,536,4,0,330,6330,536,0 122,0,2024-09-07 09:41:01:783,135436,0.9,131809,0.9,276097,1.0,363119,2.25 122,1,2024-09-07 09:41:00:859,939691,939691,0,0,441943621078,4632344528,927227,10577,1887,366,392130,0 122,2,2024-09-07 09:41:01:318,677618,677543,75,0,35360288,0,5989 122,3,2024-09-07 09:41:00:594,1,536,3,0,512,8886,536,0 123,0,2024-09-07 09:41:00:964,130864,0.8,127199,0.8,266448,0.9,349290,2.25 123,1,2024-09-07 09:41:00:572,940018,940018,0,0,441298616192,4638810256,924803,12710,2505,369,392039,0 123,2,2024-09-07 09:41:01:024,675525,675524,1,0,30093710,0,5215 123,3,2024-09-07 09:41:01:138,1,536,2,0,478,6136,536,0 124,0,2024-09-07 09:41:00:917,135765,0.3,135727,0.5,255729,0.3,352156,1.75 124,1,2024-09-07 09:41:01:034,942523,942523,0,0,441812586231,4590871857,935705,5685,1133,365,392178,0 124,2,2024-09-07 09:41:01:026,679861,679808,53,0,27536083,0,6487 124,3,2024-09-07 09:41:00:758,1,536,3,0,490,5518,536,0 125,0,2024-09-07 09:41:01:458,138316,0.4,138134,0.5,277393,0.3,369890,1.75 125,1,2024-09-07 09:41:00:856,941264,941264,0,0,441003944961,4601279784,934280,6000,984,382,391813,0 125,2,2024-09-07 09:41:01:117,680407,680407,0,0,27556598,0,4534 125,3,2024-09-07 09:41:01:126,1,536,6,0,709,6291,536,0 126,0,2024-09-07 09:41:01:450,137358,0.4,141251,0.6,270205,0.4,365958,1.75 126,1,2024-09-07 09:41:00:555,944407,944407,0,0,443375092677,4587578895,939718,4377,312,365,391987,0 126,2,2024-09-07 09:41:00:612,679735,679735,0,0,28356353,0,4539 126,3,2024-09-07 09:41:00:912,1,536,1,0,268,5938,536,0 127,0,2024-09-07 09:41:01:601,130506,0.3,130831,0.5,261101,0.3,346927,1.75 127,1,2024-09-07 09:41:00:705,942376,942376,0,0,441977245794,4597291103,933001,7870,1505,364,392187,0 127,2,2024-09-07 09:41:00:657,677757,677753,4,0,27080737,0,5305 127,3,2024-09-07 09:41:01:273,1,536,5,0,968,5328,536,0 128,0,2024-09-07 09:41:01:543,131475,0.3,131491,0.4,262479,0.2,349131,1.50 128,1,2024-09-07 09:41:01:609,941547,941547,0,0,443171025839,4602206130,935037,5774,736,367,392031,0 128,2,2024-09-07 09:41:01:385,679237,679237,0,0,25398502,0,3171 128,3,2024-09-07 09:41:00:771,1,536,165,0,1082,8552,536,0 129,0,2024-09-07 09:41:01:017,138312,0.3,137699,0.4,275966,0.3,367108,1.50 129,1,2024-09-07 09:41:00:568,938822,938822,0,0,440904609723,4618453915,929789,7088,1945,379,391962,0 129,2,2024-09-07 09:41:00:685,678565,678561,4,0,27647468,0,5335 129,3,2024-09-07 09:41:00:687,1,536,2,0,506,7404,536,0 130,0,2024-09-07 09:41:01:733,137875,0.4,137385,0.6,275582,0.4,366680,1.75 130,1,2024-09-07 09:41:00:583,942644,942644,0,0,442682765769,4596730094,937840,4416,388,381,391825,0 130,2,2024-09-07 09:41:01:132,680965,680965,0,0,27635672,0,4067 130,3,2024-09-07 09:41:01:293,1,536,5,0,960,7430,536,0 131,0,2024-09-07 09:41:01:958,132059,0.4,132495,0.5,265351,0.3,352079,1.75 131,1,2024-09-07 09:41:01:823,942323,942323,0,0,442643292118,4615207621,935428,5703,1192,381,391865,0 131,2,2024-09-07 09:41:00:572,677946,677946,0,0,25658102,0,3979 131,3,2024-09-07 09:41:01:690,1,536,1,0,392,6571,536,0 132,0,2024-09-07 09:41:01:445,131073,0.4,132095,0.6,262972,0.4,349686,1.75 132,1,2024-09-07 09:41:00:600,938433,938433,0,0,440698447869,4632378484,925944,10291,2198,381,392532,0 132,2,2024-09-07 09:41:00:698,677004,676987,17,0,33385897,0,6451 132,3,2024-09-07 09:41:01:693,1,536,1,0,804,8770,536,0 133,0,2024-09-07 09:41:01:522,131730,0.4,134788,0.6,276571,0.3,360406,1.75 133,1,2024-09-07 09:41:00:598,938235,938235,0,0,441173954247,4645497877,925722,10822,1691,383,391914,0 133,2,2024-09-07 09:41:01:114,679174,679124,50,0,33791324,0,6861 133,3,2024-09-07 09:41:01:309,1,536,1,0,479,6305,536,0 134,0,2024-09-07 09:41:00:948,138678,0.5,138644,0.7,277637,0.5,369407,2.00 134,1,2024-09-07 09:41:00:586,939989,939989,0,0,440361771332,4613119433,928590,9252,2147,366,391718,0 134,2,2024-09-07 09:41:01:763,678639,678615,24,0,31803099,0,6207 134,3,2024-09-07 09:41:00:766,1,536,1,0,739,6356,536,0 135,0,2024-09-07 09:41:01:102,130107,0.9,130112,0.9,276217,1.1,355712,2.25 135,1,2024-09-07 09:41:01:586,939703,939703,0,0,441905173826,4643087559,928059,10077,1567,380,391805,0 135,2,2024-09-07 09:41:00:690,677325,677325,0,0,31993775,0,4503 135,3,2024-09-07 09:41:01:007,1,536,9,0,900,5386,536,0 136,0,2024-09-07 09:41:01:630,132088,0.5,132342,0.7,263537,0.5,351408,2.00 136,1,2024-09-07 09:41:01:452,940415,940415,0,0,440765142264,4617441807,930026,9119,1270,381,392135,0 136,2,2024-09-07 09:41:01:141,678639,678624,15,0,31264434,0,6007 136,3,2024-09-07 09:41:01:109,1,536,2,0,637,6311,536,0 137,0,2024-09-07 09:41:00:974,137050,0.5,133652,0.7,261699,0.5,355871,2.00 137,1,2024-09-07 09:41:00:584,938774,938774,0,0,441286741589,4621212773,925310,10791,2673,366,391898,0 137,2,2024-09-07 09:41:01:713,677403,677403,0,0,32932618,0,3185 137,3,2024-09-07 09:41:00:773,1,536,30,0,484,6992,536,0 138,0,2024-09-07 09:41:01:769,137922,0.8,138198,0.9,276921,0.9,367514,2.00 138,1,2024-09-07 09:41:01:688,939899,939899,0,0,442378008993,4635119155,927152,10776,1971,368,391954,0 138,2,2024-09-07 09:41:00:590,677672,677672,0,0,30273421,0,4988 138,3,2024-09-07 09:41:00:610,1,536,1,0,1200,8606,536,0 139,0,2024-09-07 09:41:01:403,135535,1.2,135921,1.0,271810,1.8,362817,2.50 139,1,2024-09-07 09:41:00:611,936002,936002,0,0,439541139593,4656747974,920048,12492,3462,380,392109,0 139,2,2024-09-07 09:41:00:692,673769,673769,0,0,33640661,0,3097 139,3,2024-09-07 09:41:01:663,1,536,1,0,432,6067,536,0 140,0,2024-09-07 09:41:01:588,131002,0.3,130125,0.5,261220,0.2,348338,1.75 140,1,2024-09-07 09:41:01:542,945340,945340,0,0,444981587029,4584924695,940755,4047,538,364,391606,0 140,2,2024-09-07 09:41:00:688,679090,679089,1,0,25785401,0,5036 140,3,2024-09-07 09:41:00:768,1,536,1,0,297,4901,536,0 141,0,2024-09-07 09:41:01:697,131814,0.3,135356,0.4,258555,0.2,350944,1.50 141,1,2024-09-07 09:41:00:859,943674,943674,0,0,443558548157,4604706565,936564,6024,1086,379,391614,0 141,2,2024-09-07 09:41:01:686,678579,678568,11,0,27451392,0,5369 141,3,2024-09-07 09:41:01:051,1,536,4,0,391,5998,536,0 142,0,2024-09-07 09:41:01:320,138364,0.3,137442,0.5,275464,0.3,367416,1.50 142,1,2024-09-07 09:41:00:585,941581,941581,0,0,442418262805,4608796802,935909,5212,460,382,392102,0 142,2,2024-09-07 09:41:01:299,677084,677052,32,0,27961916,0,6028 142,3,2024-09-07 09:41:01:748,1,536,2,0,484,5561,536,0 143,0,2024-09-07 09:41:01:406,136868,0.4,136856,0.6,274612,0.4,365364,1.75 143,1,2024-09-07 09:41:00:582,943782,943782,0,0,443260171490,4597655099,937694,5529,559,367,391722,0 143,2,2024-09-07 09:41:00:775,680871,680871,0,0,27433840,0,3123 143,3,2024-09-07 09:41:01:140,1,536,5,0,462,6600,536,0 144,0,2024-09-07 09:41:01:584,127210,0.6,130863,0.8,266334,0.6,348725,2.00 144,1,2024-09-07 09:41:00:567,939048,939048,0,0,441139800340,4626857573,929649,7431,1968,381,391733,0 144,2,2024-09-07 09:41:01:763,678233,678233,0,0,26393351,0,3673 144,3,2024-09-07 09:41:01:755,1,536,20,0,249,5249,536,0 145,0,2024-09-07 09:41:01:362,126909,0.5,126872,0.7,269306,0.4,346917,2.25 145,1,2024-09-07 09:41:00:556,938750,938750,0,0,440590415617,4634667398,926369,9912,2469,382,391759,0 145,2,2024-09-07 09:41:01:447,674929,674847,82,0,32157397,0,7814 145,3,2024-09-07 09:41:00:906,1,536,2,0,622,7487,536,0 146,0,2024-09-07 09:41:01:595,136231,0.5,135657,0.7,272442,0.5,362438,2.00 146,1,2024-09-07 09:41:01:595,939910,939910,0,0,441305275216,4635037512,925463,10644,3803,367,391770,0 146,2,2024-09-07 09:41:01:698,676360,676354,6,0,30427674,0,5151 146,3,2024-09-07 09:41:01:275,1,536,2,0,1520,9055,536,0 147,0,2024-09-07 09:41:01:725,137859,0.6,137649,0.7,274858,0.6,366926,2.25 147,1,2024-09-07 09:41:01:372,943091,943091,0,0,442976200600,4600280585,935798,6413,880,367,391791,0 147,2,2024-09-07 09:41:01:010,679160,679160,0,0,27226368,0,2968 147,3,2024-09-07 09:41:00:915,1,536,1,0,1626,8607,536,0 0,0,2024-09-07 09:41:11:765,130255,0.5,130317,0.7,276456,0.5,357616,2.00 0,1,2024-09-07 09:41:10:839,941632,941632,0,0,442560720098,4631817868,934073,6716,843,369,391896,0 0,2,2024-09-07 09:41:11:071,681212,681212,0,0,27355262,0,4480 0,3,2024-09-07 09:41:10:980,1,537,5,0,431,7658,537,0 1,0,2024-09-07 09:41:11:791,138509,0.9,137552,0.9,276597,1.2,369049,2.00 1,1,2024-09-07 09:41:10:559,940754,940754,0,0,441294430139,4622303551,931999,7285,1470,370,391859,0 1,2,2024-09-07 09:41:10:637,680330,680330,0,0,26697588,0,3380 1,3,2024-09-07 09:41:11:302,1,537,1,0,269,6619,537,0 2,0,2024-09-07 09:41:11:565,135845,0.6,135765,0.8,271249,0.6,361839,2.00 2,1,2024-09-07 09:41:10:859,943919,943919,0,0,443522002349,4607974894,938811,4180,928,379,391745,0 2,2,2024-09-07 09:41:11:266,678995,678995,0,0,25221520,0,3594 2,3,2024-09-07 09:41:10:693,1,537,1,0,357,4766,537,0 3,0,2024-09-07 09:41:11:756,131505,0.4,131427,0.6,262212,0.3,349771,2.00 3,1,2024-09-07 09:41:11:618,943014,943014,0,0,443334938404,4612994867,935545,6766,703,379,391716,0 3,2,2024-09-07 09:41:11:141,679597,679574,23,0,26453185,0,5851 3,3,2024-09-07 09:41:11:757,1,537,1,0,275,3555,537,0 4,0,2024-09-07 09:41:11:784,128307,0.3,131797,0.5,268694,0.3,351738,1.75 4,1,2024-09-07 09:41:10:595,939554,939554,0,0,440960015887,4666805074,923196,12669,3689,370,391992,0 4,2,2024-09-07 09:41:11:018,677181,677181,0,0,32208116,0,4534 4,3,2024-09-07 09:41:11:037,1,537,91,0,448,6860,537,0 5,0,2024-09-07 09:41:11:407,138806,0.4,139005,0.5,278031,0.4,369787,1.75 5,1,2024-09-07 09:41:10:755,940613,940613,0,0,442775225966,4656083129,927855,10343,2415,367,392005,0 5,2,2024-09-07 09:41:11:829,676577,676577,0,0,31220493,0,3582 5,3,2024-09-07 09:41:11:741,1,537,2,0,457,7230,537,0 6,0,2024-09-07 09:41:10:933,137487,0.5,137081,0.7,274496,0.5,365896,2.00 6,1,2024-09-07 09:41:10:758,942582,942582,0,0,442221935895,4615981972,933005,8118,1459,379,391702,0 6,2,2024-09-07 09:41:11:124,679867,679849,18,0,30104845,0,5535 6,3,2024-09-07 09:41:11:278,1,537,54,0,710,6322,537,0 7,0,2024-09-07 09:41:11:531,129751,0.5,130616,0.7,260101,0.5,346195,2.00 7,1,2024-09-07 09:41:10:851,941133,941133,0,0,442211058294,4640538469,928205,10696,2232,382,391747,0 7,2,2024-09-07 09:41:10:769,679120,679120,0,0,29327839,0,4791 7,3,2024-09-07 09:41:10:855,1,537,2,0,552,5917,537,0 8,0,2024-09-07 09:41:11:356,131502,0.3,131023,0.5,262824,0.3,350308,1.50 8,1,2024-09-07 09:41:11:017,938986,938986,0,0,441742440200,4639722579,924720,11134,3132,366,392853,0 8,2,2024-09-07 09:41:10:802,674648,674646,2,0,35096175,0,5112 8,3,2024-09-07 09:41:10:593,1,537,6,0,538,7878,537,0 9,0,2024-09-07 09:41:11:106,137381,0.3,133730,0.5,280176,0.3,367362,1.75 9,1,2024-09-07 09:41:10:550,939618,939618,0,0,441572338376,4647215412,926117,10848,2653,369,392001,0 9,2,2024-09-07 09:41:11:084,677562,677561,1,0,31776548,0,5281 9,3,2024-09-07 09:41:11:794,1,537,5,0,1273,8841,537,0 10,0,2024-09-07 09:41:11:601,137211,0.4,136769,0.5,274304,0.3,365764,1.75 10,1,2024-09-07 09:41:10:585,941704,941704,0,0,442121474433,4628261554,929336,10278,2090,381,391869,0 10,2,2024-09-07 09:41:10:760,681496,681496,0,0,34563048,0,4264 10,3,2024-09-07 09:41:10:871,1,537,1,0,649,5538,537,0 11,0,2024-09-07 09:41:11:008,131816,0.5,127920,0.6,267587,0.4,352447,1.75 11,1,2024-09-07 09:41:10:587,943116,943116,0,0,442351045725,4634776042,929638,10016,3462,383,391766,0 11,2,2024-09-07 09:41:11:123,678138,678138,0,0,30235688,0,4130 11,3,2024-09-07 09:41:11:301,1,537,1,0,843,7129,537,0 12,0,2024-09-07 09:41:11:036,131977,0.3,132083,0.5,264027,0.3,350873,1.75 12,1,2024-09-07 09:41:10:939,942296,942296,0,0,442076838453,4609659655,934615,6795,886,370,391960,0 12,2,2024-09-07 09:41:11:546,677705,677705,0,0,30210720,0,4390 12,3,2024-09-07 09:41:11:074,1,537,1,0,386,7106,537,0 13,0,2024-09-07 09:41:11:332,136473,0.4,136218,0.5,271854,0.4,362944,1.75 13,1,2024-09-07 09:41:11:524,940194,940194,0,0,441594326368,4638868961,930555,7343,2296,382,391740,0 13,2,2024-09-07 09:41:10:595,680893,680893,0,0,27135325,0,3287 13,3,2024-09-07 09:41:11:780,1,537,14,0,522,7402,537,0 14,0,2024-09-07 09:41:10:563,138568,0.4,139535,0.6,276990,0.4,368604,1.75 14,1,2024-09-07 09:41:11:567,946588,946588,0,0,444389831745,4595760408,939671,6189,728,364,391673,0 14,2,2024-09-07 09:41:10:763,681432,681402,30,0,28495661,0,6104 14,3,2024-09-07 09:41:11:114,1,537,1,0,1168,6058,537,0 15,0,2024-09-07 09:41:11:581,134089,0.4,133465,0.7,267840,0.4,356741,2.00 15,1,2024-09-07 09:41:11:614,944169,944169,0,0,443051525728,4611978816,936431,6252,1486,381,391619,0 15,2,2024-09-07 09:41:10:998,680620,680620,0,0,23922884,0,3622 15,3,2024-09-07 09:41:11:406,1,537,0,0,1126,7330,537,0 16,0,2024-09-07 09:41:10:964,131605,0.5,132356,0.7,263985,0.5,350924,2.00 16,1,2024-09-07 09:41:10:565,943750,943750,0,0,442654893244,4625762002,935321,7127,1302,370,392194,0 16,2,2024-09-07 09:41:11:442,678404,678404,0,0,28308421,0,4719 16,3,2024-09-07 09:41:11:142,1,537,1,0,358,6672,537,0 17,0,2024-09-07 09:41:11:850,137458,0.5,133926,0.7,262751,0.5,356527,2.00 17,1,2024-09-07 09:41:10:657,941563,941563,0,0,442063003326,4634878233,932250,7562,1751,368,392075,0 17,2,2024-09-07 09:41:11:679,682512,682511,1,0,29113500,0,5050 17,3,2024-09-07 09:41:10:589,1,537,17,0,518,7918,537,0 18,0,2024-09-07 09:41:10:940,137259,0.7,138172,0.8,275247,0.7,367276,2.25 18,1,2024-09-07 09:41:11:643,945620,945620,0,0,443510084369,4598045710,939504,5119,997,367,391725,0 18,2,2024-09-07 09:41:11:794,682272,682272,0,0,25825245,0,3541 18,3,2024-09-07 09:41:10:902,1,537,1,0,1059,4965,537,0 19,0,2024-09-07 09:41:11:540,136215,0.7,136489,0.8,271899,0.7,361931,2.25 19,1,2024-09-07 09:41:10:569,944973,944973,0,0,444749505829,4609148922,937981,5907,1085,367,391777,0 19,2,2024-09-07 09:41:11:755,681952,681952,0,0,24118266,0,3988 19,3,2024-09-07 09:41:11:129,1,537,1,0,524,4274,537,0 20,0,2024-09-07 09:41:11:345,130492,0.5,130778,0.7,261093,0.5,348082,2.00 20,1,2024-09-07 09:41:10:578,941626,941626,0,0,442094106115,4628327752,932414,7843,1369,369,391922,0 20,2,2024-09-07 09:41:10:928,678587,678587,0,0,29322631,0,3721 20,3,2024-09-07 09:41:10:596,1,537,9,0,468,8945,537,0 21,0,2024-09-07 09:41:11:130,131910,0.4,132026,0.6,264122,0.4,350450,1.75 21,1,2024-09-07 09:41:11:536,940010,940010,0,0,440770103501,4647281142,925848,11043,3119,368,392016,0 21,2,2024-09-07 09:41:11:071,676533,676513,20,0,34342350,0,5617 21,3,2024-09-07 09:41:11:407,1,537,1,0,713,6803,537,0 22,0,2024-09-07 09:41:11:720,137879,0.4,138479,0.6,276295,0.4,366555,2.00 22,1,2024-09-07 09:41:11:025,940964,940964,0,0,441288093815,4641606192,925731,12006,3227,382,391822,0 22,2,2024-09-07 09:41:10:760,677562,677536,26,0,28691023,0,6328 22,3,2024-09-07 09:41:11:066,1,537,1,0,228,4446,537,0 23,0,2024-09-07 09:41:11:367,136922,0.5,136539,0.7,273060,0.5,364250,2.25 23,1,2024-09-07 09:41:11:003,942115,942115,0,0,443071006387,4645963898,926408,11299,4408,365,391690,0 23,2,2024-09-07 09:41:11:099,681442,681442,0,0,27328061,0,3773 23,3,2024-09-07 09:41:11:797,1,537,58,0,855,8396,537,0 24,0,2024-09-07 09:41:10:858,132296,0.4,131515,0.6,264586,0.4,351094,1.75 24,1,2024-09-07 09:41:10:584,941223,941223,0,0,442019543425,4624503955,932254,7292,1677,367,392269,0 24,2,2024-09-07 09:41:11:084,678564,678564,0,0,32473408,0,4438 24,3,2024-09-07 09:41:11:697,1,537,2,0,468,6737,537,0 25,0,2024-09-07 09:41:11:347,134964,0.4,131576,0.6,257904,0.3,351426,1.75 25,1,2024-09-07 09:41:10:558,940249,940249,0,0,441567070776,4654220284,925264,12012,2973,369,391928,0 25,2,2024-09-07 09:41:11:611,677111,677111,0,0,33907618,0,3978 25,3,2024-09-07 09:41:11:002,1,537,1,0,532,5928,537,0 26,0,2024-09-07 09:41:11:726,136160,0.4,133290,0.6,279513,0.3,364120,1.75 26,1,2024-09-07 09:41:11:541,943277,943277,0,0,443127335406,4635753069,930437,10388,2452,380,391748,0 26,2,2024-09-07 09:41:10:861,678490,678490,0,0,35856015,0,4689 26,3,2024-09-07 09:41:11:712,1,537,1,0,796,5799,537,0 27,0,2024-09-07 09:41:11:725,137908,0.4,138610,0.6,275377,0.4,367151,2.25 27,1,2024-09-07 09:41:11:681,943862,943862,0,0,444656336704,4627683040,935306,7287,1269,381,391626,0 27,2,2024-09-07 09:41:10:867,678440,678375,65,0,30946422,0,5699 27,3,2024-09-07 09:41:11:020,1,537,20,0,564,4742,537,0 28,0,2024-09-07 09:41:11:407,133524,0.4,133271,0.6,267062,0.3,355580,1.75 28,1,2024-09-07 09:41:10:800,944784,944784,0,0,443889109119,4625160004,937007,6063,1714,382,391698,0 28,2,2024-09-07 09:41:11:777,679395,679395,0,0,27249913,0,2915 28,3,2024-09-07 09:41:11:790,1,537,1,0,502,5330,537,0 29,0,2024-09-07 09:41:11:359,135111,0.3,131525,0.6,258054,0.3,351570,1.75 29,1,2024-09-07 09:41:11:568,946655,946655,0,0,444854547282,4599155065,941096,4797,762,367,391809,0 29,2,2024-09-07 09:41:10:863,677896,677896,0,0,26793372,0,4986 29,3,2024-09-07 09:41:10:963,1,537,1,0,459,5788,537,0 30,0,2024-09-07 09:41:11:460,133584,0.4,130047,0.6,272547,0.4,356487,2.00 30,1,2024-09-07 09:41:10:572,946091,946091,0,0,444885240323,4615044645,939112,6077,902,380,391672,0 30,2,2024-09-07 09:41:11:274,680036,680036,0,0,25806071,0,4192 30,3,2024-09-07 09:41:10:583,1,537,0,0,519,5131,537,0 31,0,2024-09-07 09:41:11:767,138343,0.5,138861,0.6,276951,0.4,369387,2.00 31,1,2024-09-07 09:41:10:565,949076,949076,0,0,445801585161,4575002147,943746,4319,1011,356,391712,0 31,2,2024-09-07 09:41:11:275,679492,679492,0,0,28324263,0,4470 31,3,2024-09-07 09:41:11:712,1,537,1,0,220,4509,537,0 32,0,2024-09-07 09:41:11:444,136335,0.3,137028,0.5,273006,0.3,363390,1.75 32,1,2024-09-07 09:41:10:804,945085,945085,0,0,443778850421,4611409797,939276,5100,709,381,391646,0 32,2,2024-09-07 09:41:10:937,679963,679963,0,0,24783185,0,3922 32,3,2024-09-07 09:41:11:016,1,537,1,0,304,4416,537,0 33,0,2024-09-07 09:41:11:521,132061,0.3,131701,0.4,263484,0.2,350836,1.50 33,1,2024-09-07 09:41:10:580,945604,945604,0,0,444682715394,4604670531,938342,6088,1174,368,391730,0 33,2,2024-09-07 09:41:10:759,680317,680282,35,0,27456539,0,7012 33,3,2024-09-07 09:41:10:894,1,537,1,0,329,4953,537,0 34,0,2024-09-07 09:41:10:929,132524,0.3,136143,0.4,260198,0.2,351192,1.75 34,1,2024-09-07 09:41:11:045,947516,947516,0,0,445131310133,4576582714,945004,2490,22,367,391637,0 34,2,2024-09-07 09:41:10:766,681529,681529,0,0,26016566,0,4562 34,3,2024-09-07 09:41:11:697,1,537,8,0,320,4128,537,0 35,0,2024-09-07 09:41:10:864,138092,0.3,138758,0.5,278762,0.2,370349,1.75 35,1,2024-09-07 09:41:11:071,944371,944371,0,0,443741629562,4601807330,937709,5448,1214,382,391769,0 35,2,2024-09-07 09:41:11:593,679331,679331,0,0,28595549,0,4055 35,3,2024-09-07 09:41:10:911,1,537,466,0,466,5389,537,0 36,0,2024-09-07 09:41:11:529,137867,0.5,137718,0.7,275340,0.5,366699,2.00 36,1,2024-09-07 09:41:10:584,944204,944204,0,0,442494722855,4619484929,931913,10069,2222,366,391759,0 36,2,2024-09-07 09:41:11:764,679961,679961,0,0,29791775,0,3875 36,3,2024-09-07 09:41:10:868,1,537,6,0,416,7208,537,0 37,0,2024-09-07 09:41:11:402,129972,0.5,129891,0.7,260090,0.5,346829,2.00 37,1,2024-09-07 09:41:10:569,942996,942989,0,7,442979017476,4623384359,931865,8448,2676,365,391770,0 37,2,2024-09-07 09:41:11:142,677316,677301,15,0,30301105,0,5815 37,3,2024-09-07 09:41:11:777,1,537,14,0,888,7442,537,0 38,0,2024-09-07 09:41:11:438,130655,0.4,126694,0.6,265021,0.3,347565,2.00 38,1,2024-09-07 09:41:11:608,943841,943841,0,0,443004994122,4627925869,930609,10713,2519,368,391821,0 38,2,2024-09-07 09:41:10:760,678195,678148,47,0,30430970,0,6710 38,3,2024-09-07 09:41:10:997,1,537,1,0,689,6726,537,0 39,0,2024-09-07 09:41:11:790,140999,0.5,137853,0.7,268777,0.4,366971,2.00 39,1,2024-09-07 09:41:10:718,942053,942053,0,0,442384646901,4637525603,926256,12327,3470,365,391865,0 39,2,2024-09-07 09:41:11:417,679226,679226,0,0,28098766,0,3391 39,3,2024-09-07 09:41:10:729,1,537,1,0,525,6302,537,0 40,0,2024-09-07 09:41:11:495,136022,0.9,136597,1.0,272728,1.1,363868,2.75 40,1,2024-09-07 09:41:10:579,944103,944103,0,0,440956322095,4608678948,932082,9842,2179,368,391668,0 40,2,2024-09-07 09:41:11:306,679525,679524,1,0,33521163,0,5137 40,3,2024-09-07 09:41:11:145,1,537,1,0,1028,7402,537,0 41,0,2024-09-07 09:41:11:033,131348,1.3,134254,1.1,256027,1.8,348243,3.00 41,1,2024-09-07 09:41:10:776,942581,942581,0,0,442184172612,4623642999,930648,9998,1935,369,391878,0 41,2,2024-09-07 09:41:10:758,676218,676217,1,0,32302167,0,5408 41,3,2024-09-07 09:41:11:680,1,537,0,0,366,5774,537,0 42,0,2024-09-07 09:41:11:474,130583,0.7,130250,0.9,260832,0.8,345871,2.50 42,1,2024-09-07 09:41:11:442,939471,939471,0,0,441288145239,4636332589,924454,12109,2908,380,391675,0 42,2,2024-09-07 09:41:11:132,677452,677452,0,0,31480085,0,3975 42,3,2024-09-07 09:41:11:017,1,537,4,0,892,4724,537,0 43,0,2024-09-07 09:41:10:936,134457,0.6,130996,0.8,274310,0.6,360047,2.25 43,1,2024-09-07 09:41:10:583,943090,943090,0,0,442874653659,4624110903,930219,10611,2260,366,391696,0 43,2,2024-09-07 09:41:11:741,679612,679612,0,0,30837557,0,4723 43,3,2024-09-07 09:41:11:754,1,537,3,0,571,7348,537,0 44,0,2024-09-07 09:41:10:925,138763,0.4,138721,0.6,278086,0.4,369185,1.75 44,1,2024-09-07 09:41:10:583,945697,945697,0,0,443791259645,4584050230,937895,6215,1587,356,391809,0 44,2,2024-09-07 09:41:11:267,678958,678958,0,0,25656217,0,4344 44,3,2024-09-07 09:41:11:096,1,537,1,0,817,5794,537,0 45,0,2024-09-07 09:41:11:769,132652,0.5,129599,0.7,272075,0.5,356942,2.00 45,1,2024-09-07 09:41:11:005,944644,944644,0,0,444447412492,4617341919,937129,6639,876,382,391917,0 45,2,2024-09-07 09:41:11:268,679381,679381,0,0,25897071,0,3596 45,3,2024-09-07 09:41:10:936,1,537,1,0,365,5008,537,0 46,0,2024-09-07 09:41:10:952,131308,0.4,130764,0.7,262279,0.4,348006,2.00 46,1,2024-09-07 09:41:10:582,945980,945980,0,0,444640639009,4593187675,939573,5543,864,366,391709,0 46,2,2024-09-07 09:41:10:596,679853,679853,0,0,26290710,0,4443 46,3,2024-09-07 09:41:11:131,1,537,1,0,908,6391,537,0 47,0,2024-09-07 09:41:11:112,133427,0.4,133595,0.6,268126,0.3,355494,1.75 47,1,2024-09-07 09:41:10:567,947438,947438,0,0,445155693208,4595916592,942198,4401,839,365,391641,0 47,2,2024-09-07 09:41:10:908,683105,683105,0,0,26066899,0,4477 47,3,2024-09-07 09:41:11:124,1,537,1,0,600,5992,537,0 48,0,2024-09-07 09:41:11:495,138917,0.3,139109,0.4,277548,0.2,369114,1.50 48,1,2024-09-07 09:41:11:024,944747,944747,0,0,443903642713,4610252146,938929,5242,576,384,391710,0 48,2,2024-09-07 09:41:10:701,680151,680151,0,0,23936663,0,3411 48,3,2024-09-07 09:41:10:753,1,537,1,0,339,4191,537,0 49,0,2024-09-07 09:41:11:713,140476,0.4,137886,0.5,267901,0.3,366037,1.75 49,1,2024-09-07 09:41:11:034,944060,944060,0,0,443775738641,4611058934,938491,4358,1211,382,391809,0 49,2,2024-09-07 09:41:11:796,680520,680520,0,0,26448548,0,4426 49,3,2024-09-07 09:41:11:416,1,537,7,0,992,6223,537,0 50,0,2024-09-07 09:41:11:519,131448,0.3,129659,0.5,261250,0.2,348053,1.75 50,1,2024-09-07 09:41:11:015,947640,947640,0,0,445044600702,4599364551,941693,5279,668,368,391565,0 50,2,2024-09-07 09:41:11:067,678865,678865,0,0,24300081,0,4490 50,3,2024-09-07 09:41:11:297,1,537,1,0,617,5484,537,0 51,0,2024-09-07 09:41:11:689,135459,0.3,132610,0.4,258206,0.2,352136,1.75 51,1,2024-09-07 09:41:11:683,948423,948423,0,0,446183993933,4598478434,943654,3713,1056,365,391706,0 51,2,2024-09-07 09:41:11:315,680010,680010,0,0,23658473,0,3337 51,3,2024-09-07 09:41:11:027,1,537,1,0,678,3807,537,0 52,0,2024-09-07 09:41:11:419,138415,0.5,138045,0.6,276479,0.4,367887,2.00 52,1,2024-09-07 09:41:10:578,943317,943317,0,0,441907633091,4623042194,930087,11125,2105,368,391722,0 52,2,2024-09-07 09:41:11:755,675735,675697,38,0,31334575,0,6742 52,3,2024-09-07 09:41:10:673,1,537,1,0,1782,6710,537,0 53,0,2024-09-07 09:41:11:747,136667,0.7,132563,0.8,277152,0.8,363942,2.50 53,1,2024-09-07 09:41:10:822,941752,941752,0,0,442036214829,4635318062,926387,11521,3844,367,391968,0 53,2,2024-09-07 09:41:11:298,680841,680840,1,0,28132865,0,5455 53,3,2024-09-07 09:41:10:697,1,537,1,0,308,5181,537,0 54,0,2024-09-07 09:41:11:617,129483,0.6,129772,0.8,258441,0.5,345014,2.25 54,1,2024-09-07 09:41:10:580,943819,943819,0,0,443415372222,4609716477,933750,8435,1634,366,391810,0 54,2,2024-09-07 09:41:10:870,679423,679391,32,0,31920309,0,6397 54,3,2024-09-07 09:41:10:769,1,537,8,0,676,7068,537,0 55,0,2024-09-07 09:41:11:768,126860,0.5,130926,0.7,265263,0.5,345679,2.25 55,1,2024-09-07 09:41:10:764,945092,945092,0,0,443065536497,4604634368,935404,8367,1321,365,391731,0 55,2,2024-09-07 09:41:10:730,677307,677251,56,0,30142454,0,7239 55,3,2024-09-07 09:41:10:673,1,537,1,0,304,4901,537,0 56,0,2024-09-07 09:41:11:548,139698,1.0,131691,1.0,271262,1.3,363617,2.50 56,1,2024-09-07 09:41:10:570,939581,939581,0,0,441579269589,4659184549,925250,11418,2913,381,391867,0 56,2,2024-09-07 09:41:11:302,678089,677967,122,0,31631253,0,7432 56,3,2024-09-07 09:41:11:068,1,537,3,0,705,6307,537,0 57,0,2024-09-07 09:41:10:962,136033,1.6,135959,1.3,272305,2.2,363792,3.25 57,1,2024-09-07 09:41:10:992,941319,941319,0,0,442378044855,4637840226,929109,9925,2285,366,392032,0 57,2,2024-09-07 09:41:11:316,680777,680777,0,0,32533843,0,4317 57,3,2024-09-07 09:41:11:749,1,537,2,0,455,5913,537,0 58,0,2024-09-07 09:41:10:598,131415,0.9,127624,1.0,266901,1.1,349112,2.75 58,1,2024-09-07 09:41:10:580,942832,942829,0,3,442939440891,4638599308,929024,10484,3321,367,391675,3 58,2,2024-09-07 09:41:11:073,678475,678475,0,0,30853725,0,3483 58,3,2024-09-07 09:41:11:068,1,537,2,0,1043,5841,537,0 59,0,2024-09-07 09:41:11:740,130763,0.8,130744,0.9,260644,0.8,345648,2.75 59,1,2024-09-07 09:41:10:804,941387,941387,0,0,442355893621,4642595858,926473,11775,3139,369,391653,0 59,2,2024-09-07 09:41:10:589,678024,678024,0,0,29474941,0,3727 59,3,2024-09-07 09:41:11:744,1,537,18,0,1015,6534,537,0 60,0,2024-09-07 09:41:11:748,134003,0.5,134441,0.6,268518,0.4,357539,1.75 60,1,2024-09-07 09:41:10:772,946113,946113,0,0,443999620091,4606191070,939920,5255,938,370,392031,0 60,2,2024-09-07 09:41:11:144,680151,680151,0,0,28041250,0,3811 60,3,2024-09-07 09:41:11:258,1,537,1,0,409,6144,537,0 61,0,2024-09-07 09:41:11:501,138375,0.7,138946,0.8,276649,0.7,369049,2.00 61,1,2024-09-07 09:41:10:770,942788,942788,0,0,442908815829,4635207252,932859,8348,1581,382,392127,0 61,2,2024-09-07 09:41:11:120,680354,680287,67,0,28958851,0,6411 61,3,2024-09-07 09:41:11:698,1,537,10,0,607,7554,537,0 62,0,2024-09-07 09:41:11:706,136608,0.6,140188,0.8,267642,0.6,363193,2.00 62,1,2024-09-07 09:41:11:120,947848,947842,0,6,445313714333,4587589332,943677,3885,280,365,391975,6 62,2,2024-09-07 09:41:11:648,677234,677233,1,0,28099442,0,5555 62,3,2024-09-07 09:41:11:145,1,537,1,0,482,4295,537,0 63,0,2024-09-07 09:41:11:450,132037,0.4,131739,0.6,264455,0.3,351191,1.75 63,1,2024-09-07 09:41:10:804,945084,945078,0,6,444342689154,4610012706,939316,5011,751,381,391800,6 63,2,2024-09-07 09:41:10:761,679227,679227,0,0,27279989,0,4369 63,3,2024-09-07 09:41:11:735,1,537,39,0,667,5644,537,0 64,0,2024-09-07 09:41:11:545,131828,0.5,131942,0.6,263043,0.4,350443,1.75 64,1,2024-09-07 09:41:10:758,944519,944519,0,0,443825972054,4619361461,936622,6125,1772,370,391794,0 64,2,2024-09-07 09:41:11:158,684417,684398,19,0,26295970,0,6121 64,3,2024-09-07 09:41:11:143,1,537,1,0,651,5582,537,0 65,0,2024-09-07 09:41:11:674,137731,0.6,138082,0.7,275726,0.6,367758,2.00 65,1,2024-09-07 09:41:10:859,942905,942905,0,0,442007367501,4618158889,936148,5959,798,381,391901,0 65,2,2024-09-07 09:41:11:699,678769,678769,0,0,30878214,0,3367 65,3,2024-09-07 09:41:11:688,1,537,1,0,782,6283,537,0 66,0,2024-09-07 09:41:11:770,136971,0.6,136465,0.8,273093,0.6,363870,2.25 66,1,2024-09-07 09:41:11:300,944812,944812,0,0,443778528647,4616874289,938662,5428,722,380,391743,0 66,2,2024-09-07 09:41:11:131,681661,681658,3,0,27993471,0,5455 66,3,2024-09-07 09:41:11:082,1,537,1,0,291,4559,537,0 67,0,2024-09-07 09:41:11:434,130460,0.5,130158,0.7,260811,0.5,347089,2.00 67,1,2024-09-07 09:41:10:771,944914,944913,0,1,443462200986,4614739074,938631,5468,814,380,391787,1 67,2,2024-09-07 09:41:10:587,681575,681560,15,0,27310287,0,6205 67,3,2024-09-07 09:41:11:754,1,537,1,0,595,5732,537,0 68,0,2024-09-07 09:41:10:597,131404,0.5,131255,0.7,261440,0.5,349737,2.00 68,1,2024-09-07 09:41:10:575,940536,940536,0,0,441323780025,4638889621,928136,8999,3401,381,391953,0 68,2,2024-09-07 09:41:11:044,675127,675027,100,0,34869493,0,8578 68,3,2024-09-07 09:41:10:728,1,537,1,0,417,6854,537,0 69,0,2024-09-07 09:41:11:765,136849,0.6,137859,0.7,274690,0.6,364953,2.25 69,1,2024-09-07 09:41:11:016,939894,939894,0,0,442100052911,4655444013,927631,9501,2762,383,391994,0 69,2,2024-09-07 09:41:11:736,677394,677365,29,0,35909798,0,6912 69,3,2024-09-07 09:41:10:760,1,537,7,0,698,7814,537,0 70,0,2024-09-07 09:41:11:532,135686,0.9,136116,1.0,273886,0.8,362662,2.50 70,1,2024-09-07 09:41:10:802,945906,945906,0,0,444690302486,4611772124,938648,6496,762,366,391725,0 70,2,2024-09-07 09:41:11:329,681379,681379,0,0,30136478,0,4323 70,3,2024-09-07 09:41:10:745,1,537,2,0,854,5714,537,0 71,0,2024-09-07 09:41:11:355,130643,1.1,130399,1.1,261950,1.5,349470,2.75 71,1,2024-09-07 09:41:11:597,943334,943334,0,0,442935380279,4620693420,931938,10140,1256,368,391738,0 71,2,2024-09-07 09:41:11:067,678203,678203,0,0,30861120,0,4352 71,3,2024-09-07 09:41:11:764,1,537,1,0,644,6278,537,0 72,0,2024-09-07 09:41:11:022,135571,0.5,132445,0.7,258443,0.5,351265,2.00 72,1,2024-09-07 09:41:11:045,942051,942051,0,0,442312204641,4637464325,928592,11176,2283,369,391819,0 72,2,2024-09-07 09:41:11:797,676756,676756,0,0,32402832,0,3983 72,3,2024-09-07 09:41:11:798,1,537,4,0,564,7579,537,0 73,0,2024-09-07 09:41:11:098,132188,0.4,135381,0.6,277107,0.4,360905,2.00 73,1,2024-09-07 09:41:10:766,944653,944653,0,0,442908537035,4596821573,938411,5817,425,367,391858,0 73,2,2024-09-07 09:41:11:746,679320,679320,0,0,32852133,0,4728 73,3,2024-09-07 09:41:10:985,1,537,1,0,486,6594,537,0 74,0,2024-09-07 09:41:11:329,139558,0.5,142858,0.7,272430,0.5,369506,2.00 74,1,2024-09-07 09:41:10:634,942745,942745,0,0,442654350312,4619796209,932289,8531,1925,381,391762,0 74,2,2024-09-07 09:41:11:002,680037,680037,0,0,28635021,0,4253 74,3,2024-09-07 09:41:11:448,1,537,0,0,522,6370,537,0 75,0,2024-09-07 09:41:11:804,134624,0.6,133797,0.8,268516,0.5,358502,2.25 75,1,2024-09-07 09:41:11:588,943958,943958,0,0,442774460448,4613584279,936062,7033,863,380,391739,0 75,2,2024-09-07 09:41:11:349,677272,677272,0,0,34329456,0,4766 75,3,2024-09-07 09:41:11:067,1,537,1,0,702,6253,537,0 76,0,2024-09-07 09:41:10:588,131218,0.5,130530,0.7,261572,0.5,349703,2.25 76,1,2024-09-07 09:41:10:813,943598,943598,0,0,443260475542,4621066856,936964,5667,967,382,391723,0 76,2,2024-09-07 09:41:11:079,680957,680954,3,0,28961443,0,5265 76,3,2024-09-07 09:41:11:146,1,537,7,0,227,4932,537,0 77,0,2024-09-07 09:41:11:733,132905,0.5,133389,0.7,266808,0.5,354456,2.00 77,1,2024-09-07 09:41:10:824,943712,943712,0,0,443458312150,4622986113,936866,6250,596,381,391869,0 77,2,2024-09-07 09:41:11:300,679750,679750,0,0,28559371,0,3890 77,3,2024-09-07 09:41:11:098,1,537,9,0,401,5656,537,0 78,0,2024-09-07 09:41:11:717,139008,0.4,138451,0.6,277767,0.4,367634,2.00 78,1,2024-09-07 09:41:10:610,943785,943785,0,0,442588015100,4612824563,933009,8249,2527,367,391670,0 78,2,2024-09-07 09:41:11:412,681392,681379,13,0,26515555,0,8313 78,3,2024-09-07 09:41:11:132,1,537,1,0,311,4610,537,0 79,0,2024-09-07 09:41:11:345,132067,0.4,135259,0.6,277095,0.4,360756,2.25 79,1,2024-09-07 09:41:10:582,946257,946257,0,0,444104952094,4599421463,938517,6383,1357,367,391682,0 79,2,2024-09-07 09:41:11:069,679599,679599,0,0,25445000,0,4195 79,3,2024-09-07 09:41:10:749,1,537,6,0,418,6347,537,0 80,0,2024-09-07 09:41:11:082,130499,0.5,134150,0.6,256532,0.5,347551,2.00 80,1,2024-09-07 09:41:11:619,943365,943365,0,0,443389558163,4612547933,937533,5404,428,368,392269,0 80,2,2024-09-07 09:41:11:098,681358,681358,0,0,26095350,0,4433 80,3,2024-09-07 09:41:10:576,1,537,8,0,296,6284,537,0 81,0,2024-09-07 09:41:11:610,132115,0.5,135203,0.7,257948,0.5,350525,2.00 81,1,2024-09-07 09:41:11:689,942552,942552,0,0,442742271957,4625929376,935145,6768,639,382,391885,0 81,2,2024-09-07 09:41:11:127,678836,678773,63,0,29076563,0,5932 81,3,2024-09-07 09:41:11:126,1,537,5,0,719,6033,537,0 82,0,2024-09-07 09:41:11:538,137750,0.4,138002,0.7,276432,0.4,366889,2.00 82,1,2024-09-07 09:41:10:585,944985,944981,0,4,444059279870,4614275816,939898,4262,821,381,391768,4 82,2,2024-09-07 09:41:11:703,680958,680958,0,0,24195762,0,4484 82,3,2024-09-07 09:41:11:773,1,537,1,0,363,5020,537,0 83,0,2024-09-07 09:41:11:523,137206,0.6,137153,0.8,273880,0.6,364040,2.25 83,1,2024-09-07 09:41:10:552,943649,943649,0,0,442491820042,4610275098,937288,5904,457,382,391709,0 83,2,2024-09-07 09:41:10:774,680897,680872,25,0,26198255,0,5612 83,3,2024-09-07 09:41:10:754,1,537,2,0,1260,6528,537,0 84,0,2024-09-07 09:41:11:841,130263,0.7,130486,0.9,260592,0.7,348290,2.25 84,1,2024-09-07 09:41:11:046,942611,942611,0,0,442064034732,4619060166,932362,8722,1527,367,391967,0 84,2,2024-09-07 09:41:10:570,678559,678529,30,0,34424598,0,5971 84,3,2024-09-07 09:41:11:142,1,537,7,0,908,7438,537,0 85,0,2024-09-07 09:41:11:002,126335,0.5,126333,0.7,268173,0.5,347113,2.25 85,1,2024-09-07 09:41:10:559,939193,939193,0,0,442025336019,4664418508,924909,11626,2658,381,392092,0 85,2,2024-09-07 09:41:10:865,677235,677235,0,0,32122977,0,4255 85,3,2024-09-07 09:41:10:686,1,537,3,0,789,6096,537,0 86,0,2024-09-07 09:41:10:909,136651,0.6,140349,0.7,268448,0.6,364005,2.25 86,1,2024-09-07 09:41:10:829,941787,941787,0,0,442938506653,4637988049,930461,9347,1979,366,391995,0 86,2,2024-09-07 09:41:10:854,677566,677565,1,0,34587807,0,5004 86,3,2024-09-07 09:41:10:597,1,537,8,0,308,7607,537,0 87,0,2024-09-07 09:41:11:358,137840,0.9,137578,0.9,275171,1.1,367546,2.25 87,1,2024-09-07 09:41:10:552,940926,940926,0,0,442086615791,4630191165,928715,10495,1716,366,392076,0 87,2,2024-09-07 09:41:11:070,680190,680184,6,0,30564885,0,6323 87,3,2024-09-07 09:41:11:794,1,537,1,0,473,7960,537,0 88,0,2024-09-07 09:41:11:467,133164,0.5,133729,0.6,267295,0.4,355417,1.75 88,1,2024-09-07 09:41:10:569,940646,940646,0,0,442510059251,4631965172,927993,10035,2618,365,392084,0 88,2,2024-09-07 09:41:10:688,678588,678588,0,0,33010394,0,4465 88,3,2024-09-07 09:41:11:267,1,537,6,0,435,6799,537,0 89,0,2024-09-07 09:41:11:849,135245,0.4,131108,0.6,259014,0.4,351626,1.75 89,1,2024-09-07 09:41:10:556,940928,940928,0,0,441411498725,4641404452,929489,9621,1818,382,391866,0 89,2,2024-09-07 09:41:11:167,677651,677651,0,0,31398140,0,3173 89,3,2024-09-07 09:41:11:803,1,537,26,0,468,8745,537,0 90,0,2024-09-07 09:41:11:618,130224,0.4,133707,0.6,272656,0.4,356255,1.75 90,1,2024-09-07 09:41:10:595,942166,942166,0,0,442455659316,4628727302,933643,7789,734,380,391825,0 90,2,2024-09-07 09:41:11:408,677510,677510,0,0,33643161,0,3608 90,3,2024-09-07 09:41:10:930,1,537,0,0,322,6508,537,0 91,0,2024-09-07 09:41:10:927,138961,0.5,134768,0.6,281739,0.4,369576,1.75 91,1,2024-09-07 09:41:10:556,940254,940254,0,0,442301708447,4647714546,928642,9599,2013,381,392047,0 91,2,2024-09-07 09:41:11:335,680319,680319,0,0,29671875,0,4713 91,3,2024-09-07 09:41:10:606,1,537,1,0,216,5032,537,0 92,0,2024-09-07 09:41:11:497,137741,0.5,140731,0.6,268478,0.5,363558,1.75 92,1,2024-09-07 09:41:10:610,942911,942911,0,0,443544667663,4631320188,935387,6317,1207,381,392136,0 92,2,2024-09-07 09:41:11:352,679206,679206,0,0,26748761,0,3259 92,3,2024-09-07 09:41:11:023,1,537,0,0,167,4604,537,0 93,0,2024-09-07 09:41:10:952,132318,0.4,135695,0.6,258908,0.3,351156,1.75 93,1,2024-09-07 09:41:10:807,943259,943259,0,0,442401841615,4616599552,932944,8581,1734,366,391776,0 93,2,2024-09-07 09:41:10:939,679323,679323,0,0,32509666,0,4913 93,3,2024-09-07 09:41:11:408,1,537,4,0,190,4774,537,0 94,0,2024-09-07 09:41:11:605,131649,0.3,132610,0.5,264977,0.3,352071,1.75 94,1,2024-09-07 09:41:10:565,943733,943733,0,0,443345588184,4621520488,937620,5737,376,381,391850,0 94,2,2024-09-07 09:41:10:774,680241,680213,28,0,27840960,0,6179 94,3,2024-09-07 09:41:11:696,1,537,2,0,576,6689,537,0 95,0,2024-09-07 09:41:11:360,138841,0.3,138834,0.5,277796,0.3,370314,1.75 95,1,2024-09-07 09:41:10:851,945134,945134,0,0,444456781350,4616421627,937774,6701,659,365,391852,0 95,2,2024-09-07 09:41:11:017,678960,678960,0,0,26563562,0,3308 95,3,2024-09-07 09:41:11:709,1,537,7,0,718,8224,537,0 96,0,2024-09-07 09:41:11:035,137554,0.4,137728,0.5,275297,0.3,366070,1.75 96,1,2024-09-07 09:41:11:586,943064,943064,0,0,442703958444,4615329928,936662,5487,915,384,391964,0 96,2,2024-09-07 09:41:11:268,680280,680280,0,0,27543276,0,4180 96,3,2024-09-07 09:41:11:140,1,537,0,0,411,5666,537,0 97,0,2024-09-07 09:41:11:382,130382,0.3,130311,0.5,261676,0.3,347202,1.50 97,1,2024-09-07 09:41:10:765,945319,945319,0,0,444026535724,4603631055,939548,4826,945,367,392140,0 97,2,2024-09-07 09:41:10:609,680879,680879,0,0,27111382,0,3679 97,3,2024-09-07 09:41:10:578,1,537,2,0,242,6096,537,0 98,0,2024-09-07 09:41:11:720,130879,0.3,130972,0.4,262935,0.2,349443,1.50 98,1,2024-09-07 09:41:10:601,944227,944227,0,0,442642640797,4607507366,938803,4623,801,381,391997,0 98,2,2024-09-07 09:41:10:773,679660,679660,0,0,26687439,0,4336 98,3,2024-09-07 09:41:10:702,1,537,29,0,840,8303,537,0 99,0,2024-09-07 09:41:11:450,137545,0.3,138207,0.4,275152,0.3,367438,1.75 99,1,2024-09-07 09:41:11:732,944470,944470,0,0,442844521138,4607977988,938490,5051,929,380,392069,0 99,2,2024-09-07 09:41:11:416,679537,679537,0,0,32111797,0,4276 99,3,2024-09-07 09:41:10:580,1,537,1,0,606,5312,537,0 100,0,2024-09-07 09:41:11:496,136933,0.8,137253,0.9,274111,1.0,366099,2.50 100,1,2024-09-07 09:41:10:549,939283,939283,0,0,440797042528,4657479058,924931,11252,3100,378,391989,0 100,2,2024-09-07 09:41:11:827,678761,678750,11,0,31354509,0,5417 100,3,2024-09-07 09:41:11:732,1,537,1,0,627,9558,537,0 101,0,2024-09-07 09:41:11:724,134676,1.2,131247,1.1,257286,1.2,351660,2.25 101,1,2024-09-07 09:41:10:551,940616,940616,0,0,441572618281,4637244403,928212,10002,2402,368,391847,0 101,2,2024-09-07 09:41:11:999,674793,674793,0,0,36344116,0,4871 101,3,2024-09-07 09:41:10:944,1,537,1,0,1250,8339,537,0 102,0,2024-09-07 09:41:10:949,127608,0.6,131597,0.7,266989,0.5,349320,2.00 102,1,2024-09-07 09:41:11:152,940763,940763,0,0,441589286288,4635054293,928545,10196,2022,369,391891,0 102,2,2024-09-07 09:41:11:742,678238,678184,54,0,30760442,0,6768 102,3,2024-09-07 09:41:11:613,1,537,2,0,466,6221,537,0 103,0,2024-09-07 09:41:11:592,140046,0.5,140095,0.7,263900,0.5,363786,2.00 103,1,2024-09-07 09:41:11:625,939292,939292,0,0,441142609259,4653546979,925088,11004,3200,381,391862,0 103,2,2024-09-07 09:41:10:584,678229,678229,0,0,32523627,0,3766 103,3,2024-09-07 09:41:10:759,1,537,7,0,916,6323,537,0 104,0,2024-09-07 09:41:11:024,137534,0.7,137645,0.9,274711,0.7,367444,2.25 104,1,2024-09-07 09:41:11:607,942132,942132,0,0,442058896113,4639967839,929066,10515,2551,365,392168,0 104,2,2024-09-07 09:41:11:671,677859,677859,0,0,31598581,0,3941 104,3,2024-09-07 09:41:11:416,1,537,41,0,1245,9269,537,0 105,0,2024-09-07 09:41:11:028,132973,0.9,129557,1.1,271581,1.1,356549,2.75 105,1,2024-09-07 09:41:10:560,943391,943391,0,0,443252764917,4640274075,931783,9839,1769,365,392009,0 105,2,2024-09-07 09:41:11:350,677212,677212,0,0,31679640,0,4360 105,3,2024-09-07 09:41:11:311,1,537,10,0,399,7503,537,0 106,0,2024-09-07 09:41:10:938,127259,0.7,130358,0.9,267110,0.7,348361,2.50 106,1,2024-09-07 09:41:11:800,942438,942438,0,0,442537586265,4639128166,929817,10972,1649,368,391914,0 106,2,2024-09-07 09:41:10:756,677800,677800,0,0,29900894,0,2920 106,3,2024-09-07 09:41:10:678,1,537,1,0,1224,7991,537,0 107,0,2024-09-07 09:41:11:120,133319,0.7,133283,0.8,266519,0.7,355564,2.00 107,1,2024-09-07 09:41:10:586,940190,940190,0,0,441455463917,4651026407,927281,11516,1393,381,392234,0 107,2,2024-09-07 09:41:11:300,677629,677628,1,0,32733527,0,5024 107,3,2024-09-07 09:41:11:776,1,537,2,0,733,7978,537,0 108,0,2024-09-07 09:41:12:028,138492,0.4,139124,0.6,277041,0.4,369242,1.75 108,1,2024-09-07 09:41:11:310,942852,942852,0,0,442884606248,4617693993,934930,6950,972,367,391894,0 108,2,2024-09-07 09:41:11:795,678860,678860,0,0,29320862,0,4246 108,3,2024-09-07 09:41:11:337,1,537,1,0,749,10406,537,0 109,0,2024-09-07 09:41:11:780,137461,0.4,136313,0.6,273192,0.4,364973,1.75 109,1,2024-09-07 09:41:10:585,940296,940296,0,0,442359994443,4638411810,931986,7093,1217,382,392132,0 109,2,2024-09-07 09:41:10:921,677236,677236,0,0,29237771,0,3617 109,3,2024-09-07 09:41:11:140,1,537,1,0,630,6615,537,0 110,0,2024-09-07 09:41:11:762,130641,0.4,127061,0.6,266026,0.3,348947,1.75 110,1,2024-09-07 09:41:11:649,944215,944215,0,0,443986634570,4608910426,936680,5613,1922,368,392045,0 110,2,2024-09-07 09:41:11:309,679685,679685,0,0,27703960,0,4067 110,3,2024-09-07 09:41:10:693,1,537,1,0,722,7363,537,0 111,0,2024-09-07 09:41:11:431,132445,0.3,131712,0.5,263767,0.2,351808,1.75 111,1,2024-09-07 09:41:11:006,945640,945640,0,0,444636400723,4607880171,941095,4188,357,380,391690,0 111,2,2024-09-07 09:41:11:125,679320,679320,0,0,28265536,0,4823 111,3,2024-09-07 09:41:10:918,1,537,1,0,379,6042,537,0 112,0,2024-09-07 09:41:10:939,138733,0.3,138055,0.4,276944,0.2,368063,1.50 112,1,2024-09-07 09:41:10:847,944625,944625,0,0,443855202398,4605758208,938718,5216,691,380,391624,0 112,2,2024-09-07 09:41:11:134,678956,678955,1,0,26768310,0,5036 112,3,2024-09-07 09:41:10:593,1,537,4,0,282,5075,537,0 113,0,2024-09-07 09:41:10:874,137349,0.3,137274,0.5,274866,0.3,366199,1.75 113,1,2024-09-07 09:41:11:693,947185,947185,0,0,444999956874,4589919163,941930,4440,815,365,391664,0 113,2,2024-09-07 09:41:11:309,683240,683240,0,0,24361438,0,3813 113,3,2024-09-07 09:41:10:683,1,537,510,0,510,6127,537,0 114,0,2024-09-07 09:41:10:877,131762,0.3,132608,0.5,263603,0.2,352249,1.75 114,1,2024-09-07 09:41:10:719,944433,944433,0,0,444458252952,4613261604,937520,5297,1616,381,391565,0 114,2,2024-09-07 09:41:10:885,680451,680450,1,0,26433790,0,5069 114,3,2024-09-07 09:41:11:282,1,537,1,0,395,4254,537,0 115,0,2024-09-07 09:41:10:555,131760,0.3,132426,0.4,263822,0.2,350766,1.50 115,1,2024-09-07 09:41:10:573,945174,945174,0,0,443986419120,4611039888,937771,6046,1357,382,391757,0 115,2,2024-09-07 09:41:11:125,681554,681554,0,0,25907148,0,4382 115,3,2024-09-07 09:41:11:002,1,537,1,0,167,3028,537,0 116,0,2024-09-07 09:41:11:700,136282,0.6,136139,0.8,272889,0.5,364995,2.00 116,1,2024-09-07 09:41:10:804,940218,940218,0,0,442414223714,4658784323,929547,8225,2446,380,392089,0 116,2,2024-09-07 09:41:11:764,678208,678208,0,0,32996370,0,4475 116,3,2024-09-07 09:41:10:926,1,537,105,0,415,6651,537,0 117,0,2024-09-07 09:41:10:954,138152,0.7,137514,0.8,275643,0.8,368226,2.00 117,1,2024-09-07 09:41:11:580,941648,941648,0,0,442720169359,4631104838,931612,8811,1225,369,392033,0 117,2,2024-09-07 09:41:11:127,682260,682260,0,0,28916863,0,4303 117,3,2024-09-07 09:41:11:063,1,537,1,0,490,7211,537,0 118,0,2024-09-07 09:41:11:775,129172,0.6,132735,0.7,270667,0.6,353841,2.00 118,1,2024-09-07 09:41:10:591,940699,940699,0,0,441681080524,4639080245,927191,10384,3124,366,392054,0 118,2,2024-09-07 09:41:11:594,677725,677725,0,0,31463832,0,2842 118,3,2024-09-07 09:41:11:771,1,537,1,0,248,5936,537,0 119,0,2024-09-07 09:41:11:368,131061,0.6,131562,0.7,262727,0.6,349775,2.00 119,1,2024-09-07 09:41:10:549,941945,941945,0,0,442572662116,4633910320,930211,9966,1768,367,391857,0 119,2,2024-09-07 09:41:11:268,678936,678936,0,0,29280795,0,4174 119,3,2024-09-07 09:41:11:330,1,537,12,0,1358,9839,537,0 120,0,2024-09-07 09:41:11:556,133441,0.6,133170,0.8,267608,0.6,356945,2.25 120,1,2024-09-07 09:41:10:861,942560,942560,0,0,442368600977,4632435833,933256,8523,781,367,392144,0 120,2,2024-09-07 09:41:10:777,678819,678818,1,0,33955720,0,5281 120,3,2024-09-07 09:41:11:299,1,537,1,0,279,6619,537,0 121,0,2024-09-07 09:41:11:696,138698,1.3,138035,1.1,276575,1.7,368620,2.25 121,1,2024-09-07 09:41:11:668,942624,942624,0,0,442336375639,4620152878,933882,8041,701,366,391840,0 121,2,2024-09-07 09:41:11:132,678882,678882,0,0,31193784,0,4157 121,3,2024-09-07 09:41:10:729,1,537,7,0,330,6337,537,0 122,0,2024-09-07 09:41:11:781,135612,0.9,131960,0.9,276448,1.0,363376,2.25 122,1,2024-09-07 09:41:10:859,941455,941455,0,0,442621088523,4639276978,928991,10577,1887,366,392130,0 122,2,2024-09-07 09:41:11:356,678917,678842,75,0,35379072,0,5989 122,3,2024-09-07 09:41:10:595,1,537,5,0,512,8891,537,0 123,0,2024-09-07 09:41:11:102,131160,0.8,127472,0.8,267015,0.9,349816,2.25 123,1,2024-09-07 09:41:10:558,941753,941753,0,0,442004231915,4646004865,926538,12710,2505,369,392039,0 123,2,2024-09-07 09:41:11:052,676739,676738,1,0,30115119,0,5215 123,3,2024-09-07 09:41:11:137,1,537,8,0,478,6144,537,0 124,0,2024-09-07 09:41:10:935,136094,0.3,136092,0.5,256361,0.3,353258,1.75 124,1,2024-09-07 09:41:11:025,944281,944281,0,0,442568957590,4598647896,937463,5685,1133,365,392178,0 124,2,2024-09-07 09:41:11:017,681329,681276,53,0,27559858,0,6487 124,3,2024-09-07 09:41:10:758,1,537,3,0,490,5521,537,0 125,0,2024-09-07 09:41:11:431,138668,0.4,138498,0.5,278153,0.3,370293,1.75 125,1,2024-09-07 09:41:10:858,942944,942944,0,0,441716033021,4608562265,935960,6000,984,382,391813,0 125,2,2024-09-07 09:41:11:115,681831,681831,0,0,27569561,0,4534 125,3,2024-09-07 09:41:11:125,1,537,29,0,709,6320,537,0 126,0,2024-09-07 09:41:11:459,137648,0.4,141582,0.6,270805,0.4,366734,1.75 126,1,2024-09-07 09:41:10:551,946115,946115,0,0,444171660529,4595676051,941426,4377,312,365,391987,0 126,2,2024-09-07 09:41:10:614,680597,680597,0,0,28364365,0,4539 126,3,2024-09-07 09:41:10:917,1,537,5,0,268,5943,537,0 127,0,2024-09-07 09:41:11:613,130708,0.3,131002,0.5,261467,0.3,347513,1.75 127,1,2024-09-07 09:41:10:572,944051,944051,0,0,442731079632,4604946995,934675,7871,1505,364,392187,0 127,2,2024-09-07 09:41:10:638,679201,679197,4,0,27094108,0,5305 127,3,2024-09-07 09:41:11:270,1,537,1,0,968,5329,537,0 128,0,2024-09-07 09:41:11:523,131813,0.3,131827,0.4,263117,0.2,350359,1.50 128,1,2024-09-07 09:41:11:607,943406,943406,0,0,444257064392,4613251873,936896,5774,736,367,392031,0 128,2,2024-09-07 09:41:11:382,680746,680746,0,0,25423473,0,3171 128,3,2024-09-07 09:41:10:768,1,537,3,0,1082,8555,537,0 129,0,2024-09-07 09:41:11:061,138644,0.3,138022,0.4,276608,0.3,367835,1.50 129,1,2024-09-07 09:41:10:568,940629,940629,0,0,441577200462,4625410640,931596,7088,1945,379,391962,0 129,2,2024-09-07 09:41:10:690,679871,679867,4,0,27666468,0,5335 129,3,2024-09-07 09:41:10:690,1,537,2,0,506,7406,537,0 130,0,2024-09-07 09:41:11:730,138123,0.4,137646,0.6,276089,0.4,367579,1.75 130,1,2024-09-07 09:41:10:590,944445,944445,0,0,443588564341,4605964541,939639,4418,388,381,391825,0 130,2,2024-09-07 09:41:11:127,681720,681720,0,0,27646608,0,4067 130,3,2024-09-07 09:41:11:297,1,537,13,0,960,7443,537,0 131,0,2024-09-07 09:41:11:937,132189,0.4,132606,0.5,265617,0.3,352542,1.75 131,1,2024-09-07 09:41:11:828,944112,944112,0,0,443377332731,4622778540,937216,5704,1192,381,391865,0 131,2,2024-09-07 09:41:10:573,679549,679549,0,0,25685589,0,3979 131,3,2024-09-07 09:41:11:698,1,537,2,0,392,6573,537,0 132,0,2024-09-07 09:41:11:429,131289,0.4,132304,0.6,263425,0.4,350595,1.75 132,1,2024-09-07 09:41:10:586,940179,940179,0,0,441551388773,4641192911,927690,10291,2198,381,392532,0 132,2,2024-09-07 09:41:10:699,678399,678382,17,0,33419278,0,6451 132,3,2024-09-07 09:41:11:697,1,537,0,0,804,8770,537,0 133,0,2024-09-07 09:41:11:518,132192,0.4,135302,0.6,277509,0.3,361600,1.75 133,1,2024-09-07 09:41:10:675,940012,940012,0,0,441835666057,4652408313,927499,10822,1691,383,391914,0 133,2,2024-09-07 09:41:11:096,680307,680257,50,0,33830592,0,6861 133,3,2024-09-07 09:41:11:299,1,537,2,0,479,6307,537,0 134,0,2024-09-07 09:41:10:957,138800,0.5,138761,0.7,277859,0.5,369709,2.00 134,1,2024-09-07 09:41:10:590,941729,941729,0,0,441051774451,4620215113,930330,9252,2147,366,391718,0 134,2,2024-09-07 09:41:11:799,679860,679836,24,0,31839775,0,6207 134,3,2024-09-07 09:41:10:748,1,537,4,0,739,6360,537,0 135,0,2024-09-07 09:41:11:132,130206,0.9,130221,0.9,276448,1.1,356039,2.25 135,1,2024-09-07 09:41:11:586,941489,941489,0,0,442575380946,4650245751,929845,10077,1567,380,391805,0 135,2,2024-09-07 09:41:10:691,678628,678628,0,0,32029601,0,4503 135,3,2024-09-07 09:41:11:003,1,537,1,0,900,5387,537,0 136,0,2024-09-07 09:41:11:613,132207,0.5,132446,0.7,263754,0.5,351409,2.00 136,1,2024-09-07 09:41:11:449,942217,942217,0,0,441680858279,4626764432,931828,9119,1270,381,392135,0 136,2,2024-09-07 09:41:11:132,679943,679928,15,0,31282625,0,6007 136,3,2024-09-07 09:41:11:116,1,537,10,0,637,6321,537,0 137,0,2024-09-07 09:41:10:965,137461,0.5,134041,0.7,262515,0.5,356779,2.00 137,1,2024-09-07 09:41:10:586,940603,940603,0,0,442235230385,4630845673,927139,10791,2673,366,391898,0 137,2,2024-09-07 09:41:11:711,678621,678621,0,0,32947975,0,3185 137,3,2024-09-07 09:41:10:770,1,537,1,0,484,6993,537,0 138,0,2024-09-07 09:41:11:740,138046,0.8,138308,0.9,277140,0.9,367777,2.00 138,1,2024-09-07 09:41:11:692,941689,941689,0,0,443176344349,4643234929,928942,10776,1971,368,391954,0 138,2,2024-09-07 09:41:10:646,678912,678912,0,0,30296589,0,4988 138,3,2024-09-07 09:41:10:617,1,537,1,0,1200,8607,537,0 139,0,2024-09-07 09:41:11:395,135799,1.2,136196,1.0,272339,1.8,363427,2.50 139,1,2024-09-07 09:41:10:700,937764,937764,0,0,440282036414,4664365978,921808,12494,3462,380,392109,0 139,2,2024-09-07 09:41:10:733,674872,674872,0,0,33655086,0,3097 139,3,2024-09-07 09:41:11:684,1,537,10,0,432,6077,537,0 140,0,2024-09-07 09:41:11:613,131282,0.3,130415,0.5,261760,0.2,349237,1.75 140,1,2024-09-07 09:41:11:536,947111,947111,0,0,445691417687,4592133136,942526,4047,538,364,391606,0 140,2,2024-09-07 09:41:10:688,680382,680381,1,0,25802898,0,5036 140,3,2024-09-07 09:41:10:767,1,537,1,0,297,4902,537,0 141,0,2024-09-07 09:41:11:698,132137,0.3,135731,0.4,259281,0.2,351641,1.50 141,1,2024-09-07 09:41:10:859,945416,945416,0,0,444201402213,4611270367,938306,6024,1086,379,391614,0 141,2,2024-09-07 09:41:11:689,679944,679933,11,0,27468257,0,5369 141,3,2024-09-07 09:41:11:044,1,537,3,0,391,6001,537,0 142,0,2024-09-07 09:41:11:321,138761,0.3,137843,0.5,276245,0.3,368918,1.50 142,1,2024-09-07 09:41:10:587,943311,943311,0,0,443208299593,4616862125,937639,5212,460,382,392102,0 142,2,2024-09-07 09:41:11:298,678630,678598,32,0,27974268,0,6028 142,3,2024-09-07 09:41:11:756,1,537,2,0,484,5563,537,0 143,0,2024-09-07 09:41:11:399,137214,0.4,137194,0.6,275267,0.4,366171,1.75 143,1,2024-09-07 09:41:10:560,945556,945556,0,0,444022348801,4605415626,939468,5529,559,367,391722,0 143,2,2024-09-07 09:41:10:769,681553,681553,0,0,27440512,0,3123 143,3,2024-09-07 09:41:11:140,1,537,1,0,462,6601,537,0 144,0,2024-09-07 09:41:11:509,127362,0.6,131037,0.8,266683,0.6,349106,2.00 144,1,2024-09-07 09:41:10:568,940824,940824,0,0,442314429943,4638854308,931424,7432,1968,381,391733,0 144,2,2024-09-07 09:41:11:755,679695,679695,0,0,26419909,0,3673 144,3,2024-09-07 09:41:11:739,1,537,1,0,249,5250,537,0 145,0,2024-09-07 09:41:11:385,127170,0.5,127173,0.7,269955,0.4,347533,2.25 145,1,2024-09-07 09:41:10:568,940497,940497,0,0,441348084888,4642537238,928116,9912,2469,382,391759,0 145,2,2024-09-07 09:41:11:429,676489,676407,82,0,32245052,0,7814 145,3,2024-09-07 09:41:10:915,1,537,1,0,622,7488,537,0 146,0,2024-09-07 09:41:11:595,136649,0.5,136076,0.7,273298,0.5,363477,2.00 146,1,2024-09-07 09:41:11:593,941773,941773,0,0,442157440778,4643733750,927324,10646,3803,367,391770,0 146,2,2024-09-07 09:41:11:695,677546,677540,6,0,30445789,0,5151 146,3,2024-09-07 09:41:11:275,1,537,1,0,1520,9056,537,0 147,0,2024-09-07 09:41:11:723,137991,0.6,137783,0.7,275154,0.6,367382,2.25 147,1,2024-09-07 09:41:11:371,944872,944872,0,0,443831236045,4608986119,937579,6413,880,367,391791,0 147,2,2024-09-07 09:41:11:010,680178,680178,0,0,27236166,0,2968 147,3,2024-09-07 09:41:10:924,1,537,1,0,1626,8608,537,0 0,0,2024-09-07 09:41:21:752,130720,0.5,130760,0.7,277428,0.5,359052,2.00 0,1,2024-09-07 09:41:20:824,943399,943399,0,0,443398574238,4640448598,935839,6717,843,369,391896,0 0,2,2024-09-07 09:41:21:069,682392,682392,0,0,27380005,0,4480 0,3,2024-09-07 09:41:20:981,1,538,2,0,431,7660,538,0 1,0,2024-09-07 09:41:21:757,138617,0.9,137644,0.9,276779,1.2,369368,2.00 1,1,2024-09-07 09:41:20:564,942546,942546,0,0,442150283825,4631214903,933790,7286,1470,370,391859,0 1,2,2024-09-07 09:41:20:651,681557,681557,0,0,26754205,0,3380 1,3,2024-09-07 09:41:21:321,1,538,0,0,269,6619,538,0 2,0,2024-09-07 09:41:21:566,135971,0.6,135874,0.8,271498,0.6,362092,2.00 2,1,2024-09-07 09:41:20:860,945719,945719,0,0,444205991410,4615008948,940611,4180,928,379,391745,0 2,2,2024-09-07 09:41:21:275,680335,680335,0,0,25246222,0,3594 2,3,2024-09-07 09:41:20:690,1,538,1,0,357,4767,538,0 3,0,2024-09-07 09:41:21:748,131734,0.4,131649,0.6,262653,0.3,350288,2.00 3,1,2024-09-07 09:41:21:627,944847,944847,0,0,444236406579,4622171055,937378,6766,703,379,391716,0 3,2,2024-09-07 09:41:21:142,680945,680922,23,0,26478740,0,5851 3,3,2024-09-07 09:41:21:752,1,538,12,0,275,3567,538,0 4,0,2024-09-07 09:41:21:768,128718,0.3,132204,0.5,269540,0.3,352941,1.75 4,1,2024-09-07 09:41:20:596,941268,941268,0,0,441489371067,4672606139,924910,12669,3689,370,391992,0 4,2,2024-09-07 09:41:21:020,678629,678629,0,0,32411465,0,4534 4,3,2024-09-07 09:41:21:027,1,538,1,0,448,6861,538,0 5,0,2024-09-07 09:41:21:414,139037,0.4,139206,0.5,278445,0.4,370069,1.75 5,1,2024-09-07 09:41:20:768,942386,942386,0,0,443509564100,4664048891,929616,10355,2415,367,392005,0 5,2,2024-09-07 09:41:21:829,677824,677824,0,0,31286366,0,3582 5,3,2024-09-07 09:41:21:732,1,538,1,0,457,7231,538,0 6,0,2024-09-07 09:41:20:930,137790,0.5,137375,0.7,275108,0.5,366675,2.00 6,1,2024-09-07 09:41:20:754,944334,944334,0,0,442898809243,4622942481,934757,8118,1459,379,391702,0 6,2,2024-09-07 09:41:21:124,680818,680800,18,0,30131058,0,5535 6,3,2024-09-07 09:41:21:280,1,538,35,0,710,6357,538,0 7,0,2024-09-07 09:41:21:545,129968,0.5,130845,0.7,260537,0.5,346776,2.00 7,1,2024-09-07 09:41:20:863,942966,942966,0,0,443091318511,4649800824,930038,10696,2232,382,391747,0 7,2,2024-09-07 09:41:20:776,680528,680528,0,0,29365409,0,4791 7,3,2024-09-07 09:41:20:859,1,538,1,0,552,5918,538,0 8,0,2024-09-07 09:41:21:336,131898,0.3,131425,0.5,263695,0.3,351579,1.50 8,1,2024-09-07 09:41:21:022,940764,940764,0,0,442575873426,4648240124,926498,11134,3132,366,392853,0 8,2,2024-09-07 09:41:20:801,676064,676062,2,0,35116096,0,5112 8,3,2024-09-07 09:41:20:588,1,538,2,0,538,7880,538,0 9,0,2024-09-07 09:41:21:110,137687,0.3,134016,0.5,280749,0.3,368076,1.75 9,1,2024-09-07 09:41:20:571,941324,941324,0,0,442406300671,4655748709,927822,10849,2653,369,392001,0 9,2,2024-09-07 09:41:21:083,678994,678993,1,0,31796814,0,5281 9,3,2024-09-07 09:41:21:757,1,538,11,0,1273,8852,538,0 10,0,2024-09-07 09:41:21:609,137515,0.4,137078,0.5,274922,0.3,366696,1.75 10,1,2024-09-07 09:41:20:583,943462,943462,0,0,442782868306,4635027179,931094,10278,2090,381,391869,0 10,2,2024-09-07 09:41:20:767,682152,682152,0,0,34570202,0,4264 10,3,2024-09-07 09:41:20:875,1,538,1,0,649,5539,538,0 11,0,2024-09-07 09:41:21:018,131981,0.5,128069,0.6,267917,0.4,352956,1.75 11,1,2024-09-07 09:41:20:572,944965,944965,0,0,443215835798,4643580473,931487,10016,3462,383,391766,0 11,2,2024-09-07 09:41:21:123,679712,679712,0,0,30252815,0,4130 11,3,2024-09-07 09:41:21:307,1,538,1,0,843,7130,538,0 12,0,2024-09-07 09:41:20:981,132276,0.3,132359,0.5,264659,0.3,351775,1.75 12,1,2024-09-07 09:41:20:955,944155,944155,0,0,443052967063,4619710595,936472,6797,886,370,391960,0 12,2,2024-09-07 09:41:21:545,679274,679274,0,0,30234480,0,4390 12,3,2024-09-07 09:41:21:060,1,538,15,0,386,7121,538,0 13,0,2024-09-07 09:41:21:338,136913,0.4,136683,0.5,272718,0.4,364086,1.75 13,1,2024-09-07 09:41:21:530,942017,942017,0,0,442595251923,4649126418,932375,7346,2296,382,391740,0 13,2,2024-09-07 09:41:20:599,681956,681956,0,0,27149285,0,3287 13,3,2024-09-07 09:41:21:773,1,538,2,0,522,7404,538,0 14,0,2024-09-07 09:41:20:587,138693,0.4,139666,0.6,277236,0.4,368929,1.75 14,1,2024-09-07 09:41:21:575,948414,948414,0,0,445418121912,4606138853,941497,6189,728,364,391673,0 14,2,2024-09-07 09:41:20:763,682497,682467,30,0,28504248,0,6104 14,3,2024-09-07 09:41:21:121,1,538,1,0,1168,6059,538,0 15,0,2024-09-07 09:41:21:554,134209,0.4,133593,0.6,268072,0.4,357073,2.00 15,1,2024-09-07 09:41:21:614,945950,945950,0,0,443819860646,4619817461,938212,6252,1486,381,391619,0 15,2,2024-09-07 09:41:21:003,682130,682130,0,0,23939426,0,3622 15,3,2024-09-07 09:41:21:409,1,538,1,0,1126,7331,538,0 16,0,2024-09-07 09:41:20:943,131654,0.5,132402,0.7,264088,0.5,350924,2.00 16,1,2024-09-07 09:41:20:575,945540,945540,0,0,443519611993,4634679087,937111,7127,1302,370,392194,0 16,2,2024-09-07 09:41:21:441,679782,679782,0,0,28340800,0,4719 16,3,2024-09-07 09:41:21:141,1,538,1,0,358,6673,538,0 17,0,2024-09-07 09:41:21:805,137848,0.5,134285,0.7,263445,0.5,357501,2.00 17,1,2024-09-07 09:41:20:575,943304,943304,0,0,443074102473,4645380562,933989,7564,1751,368,392075,0 17,2,2024-09-07 09:41:21:684,683640,683639,1,0,29132253,0,5050 17,3,2024-09-07 09:41:20:577,1,538,1,0,518,7919,538,0 18,0,2024-09-07 09:41:20:942,137334,0.7,138240,0.8,275442,0.7,367512,2.25 18,1,2024-09-07 09:41:21:642,947397,947397,0,0,444428059895,4607394099,941281,5119,997,367,391725,0 18,2,2024-09-07 09:41:21:759,683623,683623,0,0,25857446,0,3541 18,3,2024-09-07 09:41:20:903,1,538,0,0,1059,4965,538,0 19,0,2024-09-07 09:41:21:539,136447,0.7,136759,0.8,272360,0.7,362529,2.25 19,1,2024-09-07 09:41:20:578,946772,946772,0,0,445775035636,4619599228,939780,5907,1085,367,391777,0 19,2,2024-09-07 09:41:21:752,683145,683145,0,0,24133531,0,3988 19,3,2024-09-07 09:41:21:131,1,538,1,0,524,4275,538,0 20,0,2024-09-07 09:41:21:410,130786,0.5,131094,0.7,261663,0.5,349198,2.00 20,1,2024-09-07 09:41:20:586,943474,943474,0,0,443181213526,4639421589,934261,7844,1369,369,391922,0 20,2,2024-09-07 09:41:20:939,679832,679832,0,0,29377925,0,3721 20,3,2024-09-07 09:41:20:589,1,538,1,0,468,8946,538,0 21,0,2024-09-07 09:41:21:133,132230,0.4,132325,0.6,264732,0.4,351355,1.75 21,1,2024-09-07 09:41:21:536,941834,941834,0,0,441696076660,4657133205,927671,11043,3120,368,392016,0 21,2,2024-09-07 09:41:21:067,677956,677936,20,0,34431509,0,5617 21,3,2024-09-07 09:41:21:415,1,538,1,0,713,6804,538,0 22,0,2024-09-07 09:41:21:723,138353,0.4,138964,0.6,277236,0.4,368056,2.00 22,1,2024-09-07 09:41:21:023,942628,942628,0,0,442079266662,4649779068,927395,12006,3227,382,391822,0 22,2,2024-09-07 09:41:20:767,679103,679077,26,0,28749380,0,6328 22,3,2024-09-07 09:41:21:068,1,538,2,0,228,4448,538,0 23,0,2024-09-07 09:41:21:373,137240,0.5,136864,0.7,273696,0.5,365278,2.25 23,1,2024-09-07 09:41:21:012,943934,943934,0,0,443906482472,4654513426,928227,11299,4408,365,391690,0 23,2,2024-09-07 09:41:21:092,682185,682185,0,0,27346614,0,3773 23,3,2024-09-07 09:41:21:757,1,538,1,0,855,8397,538,0 24,0,2024-09-07 09:41:20:848,132428,0.4,131673,0.6,264890,0.4,351494,1.75 24,1,2024-09-07 09:41:20:594,942941,942941,0,0,442780135686,4632311250,933970,7294,1677,367,392269,0 24,2,2024-09-07 09:41:21:076,680220,680220,0,0,32499393,0,4438 24,3,2024-09-07 09:41:21:690,1,538,23,0,468,6760,538,0 25,0,2024-09-07 09:41:21:337,135239,0.4,131817,0.6,258396,0.3,352053,1.75 25,1,2024-09-07 09:41:20:565,941947,941947,0,0,442184823812,4660608477,926961,12013,2973,369,391928,0 25,2,2024-09-07 09:41:21:615,678604,678604,0,0,33936865,0,3978 25,3,2024-09-07 09:41:21:012,1,538,0,0,532,5928,538,0 26,0,2024-09-07 09:41:21:726,136566,0.4,133682,0.5,280318,0.3,365137,1.75 26,1,2024-09-07 09:41:21:543,945124,945124,0,0,444130107326,4645922561,932284,10388,2452,380,391748,0 26,2,2024-09-07 09:41:20:861,679723,679723,0,0,35877265,0,4689 26,3,2024-09-07 09:41:21:712,1,538,1,0,796,5800,538,0 27,0,2024-09-07 09:41:21:737,138073,0.4,138781,0.6,275687,0.4,367606,2.25 27,1,2024-09-07 09:41:21:679,945634,945634,0,0,445352232862,4634787375,937078,7287,1269,381,391626,0 27,2,2024-09-07 09:41:20:867,679362,679297,65,0,30954294,0,5699 27,3,2024-09-07 09:41:21:016,1,538,1,0,564,4743,538,0 28,0,2024-09-07 09:41:21:395,133635,0.4,133374,0.6,267268,0.3,355835,1.75 28,1,2024-09-07 09:41:20:800,946540,946540,0,0,444568024876,4632100413,938762,6064,1714,382,391698,0 28,2,2024-09-07 09:41:21:765,680773,680773,0,0,27260466,0,2915 28,3,2024-09-07 09:41:21:778,1,538,1,0,502,5331,538,0 29,0,2024-09-07 09:41:21:397,135201,0.3,131609,0.6,258262,0.3,351888,1.75 29,1,2024-09-07 09:41:21:581,948464,948464,0,0,445897459857,4609701046,942905,4797,762,367,391809,0 29,2,2024-09-07 09:41:20:860,679226,679226,0,0,26805049,0,4986 29,3,2024-09-07 09:41:20:983,1,538,6,0,459,5794,538,0 30,0,2024-09-07 09:41:21:457,134065,0.4,130490,0.6,273482,0.4,357847,2.00 30,1,2024-09-07 09:41:20:580,947831,947831,0,0,445462418547,4620947409,940851,6078,902,380,391672,0 30,2,2024-09-07 09:41:21:276,681255,681255,0,0,25816204,0,4192 30,3,2024-09-07 09:41:20:581,1,538,1,0,519,5132,538,0 31,0,2024-09-07 09:41:21:762,138439,0.5,138951,0.6,277137,0.4,369681,2.00 31,1,2024-09-07 09:41:20:564,950820,950820,0,0,446532981668,4582364936,945490,4319,1011,356,391712,0 31,2,2024-09-07 09:41:21:275,680798,680798,0,0,28337222,0,4470 31,3,2024-09-07 09:41:21:712,1,538,1,0,220,4510,538,0 32,0,2024-09-07 09:41:21:462,136452,0.3,137157,0.5,273244,0.3,363648,1.75 32,1,2024-09-07 09:41:20:804,946867,946867,0,0,444568994356,4619469353,941058,5100,709,381,391646,0 32,2,2024-09-07 09:41:20:936,681335,681335,0,0,24803927,0,3922 32,3,2024-09-07 09:41:21:018,1,538,0,0,304,4416,538,0 33,0,2024-09-07 09:41:21:501,132279,0.3,131910,0.4,263925,0.2,351354,1.50 33,1,2024-09-07 09:41:20:575,947452,947452,0,0,445554857503,4613636922,940190,6088,1174,368,391730,0 33,2,2024-09-07 09:41:20:767,681646,681611,35,0,27530906,0,7012 33,3,2024-09-07 09:41:20:908,1,538,2,0,329,4955,538,0 34,0,2024-09-07 09:41:20:934,132953,0.3,136517,0.4,260964,0.2,352348,1.75 34,1,2024-09-07 09:41:21:043,949280,949280,0,0,445846333307,4583913018,946767,2491,22,367,391637,0 34,2,2024-09-07 09:41:20:773,682870,682870,0,0,26056650,0,4562 34,3,2024-09-07 09:41:21:688,1,538,200,0,320,4328,538,0 35,0,2024-09-07 09:41:20:858,138273,0.3,138984,0.5,279193,0.2,370634,1.75 35,1,2024-09-07 09:41:21:068,946129,946129,0,0,444691514724,4611473698,939467,5448,1214,382,391769,0 35,2,2024-09-07 09:41:21:583,680589,680589,0,0,28627532,0,4055 35,3,2024-09-07 09:41:20:912,1,538,14,0,466,5403,538,0 36,0,2024-09-07 09:41:21:520,138177,0.5,138004,0.7,275921,0.5,367522,2.00 36,1,2024-09-07 09:41:20:583,945908,945908,0,0,443322537133,4628098027,933616,10070,2222,366,391759,0 36,2,2024-09-07 09:41:21:781,680947,680947,0,0,29817892,0,3875 36,3,2024-09-07 09:41:20:864,1,538,1,0,416,7209,538,0 37,0,2024-09-07 09:41:21:607,130174,0.5,130104,0.7,260532,0.5,347428,2.00 37,1,2024-09-07 09:41:20:580,944719,944712,0,7,443638914624,4630354936,933588,8448,2676,365,391770,0 37,2,2024-09-07 09:41:21:141,678749,678734,15,0,30338359,0,5815 37,3,2024-09-07 09:41:21:766,1,538,1,0,888,7443,538,0 38,0,2024-09-07 09:41:21:448,131064,0.4,127134,0.6,265898,0.3,348704,2.00 38,1,2024-09-07 09:41:21:606,945649,945649,0,0,444002987603,4638206816,932416,10714,2519,368,391821,0 38,2,2024-09-07 09:41:20:769,679796,679749,47,0,30499341,0,6710 38,3,2024-09-07 09:41:20:999,1,538,3,0,689,6729,538,0 39,0,2024-09-07 09:41:21:781,141289,0.5,138118,0.7,269323,0.4,367678,2.00 39,1,2024-09-07 09:41:20:723,943895,943895,0,0,443175139751,4645618596,928098,12327,3470,365,391865,0 39,2,2024-09-07 09:41:21:428,680669,680669,0,0,28141633,0,3391 39,3,2024-09-07 09:41:20:718,1,538,1,0,525,6303,538,0 40,0,2024-09-07 09:41:21:506,136372,0.9,136910,1.0,273360,1.1,364789,2.75 40,1,2024-09-07 09:41:20:583,945851,945851,0,0,442171399368,4620946646,933829,9843,2179,368,391668,0 40,2,2024-09-07 09:41:21:311,680260,680259,1,0,33528486,0,5137 40,3,2024-09-07 09:41:21:147,1,538,2,0,1028,7404,538,0 41,0,2024-09-07 09:41:21:061,131507,1.2,134405,1.1,256360,1.8,348723,3.00 41,1,2024-09-07 09:41:20:768,944386,944386,0,0,443052140119,4632469514,932453,9998,1935,369,391878,0 41,2,2024-09-07 09:41:20:771,677738,677737,1,0,32317301,0,5408 41,3,2024-09-07 09:41:21:678,1,538,0,0,366,5774,538,0 42,0,2024-09-07 09:41:21:474,130878,0.7,130570,0.9,261424,0.8,346743,2.50 42,1,2024-09-07 09:41:21:443,941236,941236,0,0,442250064936,4646092195,926219,12109,2908,380,391675,0 42,2,2024-09-07 09:41:21:132,678956,678956,0,0,31509893,0,3975 42,3,2024-09-07 09:41:21:013,1,538,1,0,892,4725,538,0 43,0,2024-09-07 09:41:20:928,134941,0.6,131426,0.8,275165,0.6,361174,2.25 43,1,2024-09-07 09:41:20:576,944865,944865,0,0,443740053947,4632883353,931994,10611,2260,366,391696,0 43,2,2024-09-07 09:41:21:736,680702,680702,0,0,30845765,0,4723 43,3,2024-09-07 09:41:21:751,1,538,11,0,571,7359,538,0 44,0,2024-09-07 09:41:20:879,138896,0.4,138852,0.6,278318,0.4,369494,1.75 44,1,2024-09-07 09:41:20:573,947439,947439,0,0,444538685367,4591595581,939636,6216,1587,356,391809,0 44,2,2024-09-07 09:41:21:280,680086,680086,0,0,25664468,0,4344 44,3,2024-09-07 09:41:21:093,1,538,5,0,817,5799,538,0 45,0,2024-09-07 09:41:21:765,132779,0.5,129736,0.7,272299,0.5,357286,2.00 45,1,2024-09-07 09:41:21:012,946406,946406,0,0,444934487551,4622375919,938890,6640,876,382,391917,0 45,2,2024-09-07 09:41:21:276,680788,680788,0,0,25909975,0,3596 45,3,2024-09-07 09:41:20:935,1,538,1,0,365,5009,538,0 46,0,2024-09-07 09:41:20:994,131347,0.4,130797,0.7,262367,0.4,348006,2.00 46,1,2024-09-07 09:41:20:579,947786,947786,0,0,445392677375,4600808279,941379,5543,864,366,391709,0 46,2,2024-09-07 09:41:20:595,681149,681149,0,0,26302391,0,4443 46,3,2024-09-07 09:41:21:132,1,538,1,0,908,6392,538,0 47,0,2024-09-07 09:41:21:105,133811,0.4,133969,0.6,268819,0.3,356426,1.75 47,1,2024-09-07 09:41:20:567,949184,949184,0,0,445975361023,4604224194,943943,4402,839,365,391641,0 47,2,2024-09-07 09:41:20:919,684124,684124,0,0,26077989,0,4477 47,3,2024-09-07 09:41:21:115,1,538,4,0,600,5996,538,0 48,0,2024-09-07 09:41:21:509,138993,0.3,139192,0.4,277753,0.2,369354,1.50 48,1,2024-09-07 09:41:21:026,946515,946515,0,0,444598542035,4617407100,940697,5242,576,384,391710,0 48,2,2024-09-07 09:41:20:703,681437,681437,0,0,23952060,0,3411 48,3,2024-09-07 09:41:20:760,1,538,6,0,339,4197,538,0 49,0,2024-09-07 09:41:21:720,140706,0.4,138102,0.5,268324,0.3,366615,1.75 49,1,2024-09-07 09:41:21:021,945781,945781,0,0,444612123854,4619603967,940212,4358,1211,382,391809,0 49,2,2024-09-07 09:41:21:796,681720,681720,0,0,26469225,0,4426 49,3,2024-09-07 09:41:21:427,1,538,1,0,992,6224,538,0 50,0,2024-09-07 09:41:21:513,131727,0.3,129955,0.5,261861,0.2,348974,1.75 50,1,2024-09-07 09:41:21:014,949396,949396,0,0,445710757709,4606253576,943449,5279,668,368,391565,0 50,2,2024-09-07 09:41:21:068,679973,679973,0,0,24367286,0,4490 50,3,2024-09-07 09:41:21:293,1,538,0,0,617,5484,538,0 51,0,2024-09-07 09:41:21:685,135749,0.3,132882,0.4,258769,0.2,352815,1.75 51,1,2024-09-07 09:41:21:701,950233,950233,0,0,447004721513,4606825102,945464,3713,1056,365,391706,0 51,2,2024-09-07 09:41:21:331,681542,681542,0,0,23780162,0,3337 51,3,2024-09-07 09:41:21:027,1,538,1,0,678,3808,538,0 52,0,2024-09-07 09:41:21:460,138943,0.5,138573,0.6,277475,0.4,369375,2.00 52,1,2024-09-07 09:41:20:578,945052,945052,0,0,442742057177,4631584049,931822,11125,2105,368,391722,0 52,2,2024-09-07 09:41:21:762,677245,677207,38,0,31402408,0,6742 52,3,2024-09-07 09:41:20:684,1,538,92,0,1782,6802,538,0 53,0,2024-09-07 09:41:21:750,136971,0.7,132865,0.8,277818,0.8,364785,2.50 53,1,2024-09-07 09:41:20:777,943493,943493,0,0,443025237608,4645528704,928128,11521,3844,367,391968,0 53,2,2024-09-07 09:41:21:298,681572,681571,1,0,28153147,0,5455 53,3,2024-09-07 09:41:20:702,1,538,1,0,308,5182,538,0 54,0,2024-09-07 09:41:21:621,129632,0.6,129933,0.8,258806,0.5,345454,2.25 54,1,2024-09-07 09:41:20:580,945571,945571,0,0,443948480492,4615254924,935502,8435,1634,366,391810,0 54,2,2024-09-07 09:41:20:865,680940,680908,32,0,31970908,0,6397 54,3,2024-09-07 09:41:20:766,1,538,1,0,676,7069,538,0 55,0,2024-09-07 09:41:21:765,127100,0.5,131160,0.7,265823,0.5,346309,2.25 55,1,2024-09-07 09:41:20:765,946864,946864,0,0,444166787200,4615938171,937176,8367,1321,365,391731,0 55,2,2024-09-07 09:41:20:732,678807,678751,56,0,30194341,0,7239 55,3,2024-09-07 09:41:20:674,1,538,1,0,304,4902,538,0 56,0,2024-09-07 09:41:21:605,140147,1.0,132055,1.0,272059,1.3,364641,2.50 56,1,2024-09-07 09:41:20:574,941325,941325,0,0,442748724065,4671069368,926993,11419,2913,381,391867,0 56,2,2024-09-07 09:41:21:317,679329,679207,122,0,31645152,0,7432 56,3,2024-09-07 09:41:21:064,1,538,30,0,705,6337,538,0 57,0,2024-09-07 09:41:20:942,136206,1.6,136120,1.3,272614,2.2,364226,3.25 57,1,2024-09-07 09:41:20:988,943093,943093,0,0,443123441783,4645530739,930881,9927,2285,366,392032,0 57,2,2024-09-07 09:41:21:321,681661,681661,0,0,32551062,0,4317 57,3,2024-09-07 09:41:21:741,1,538,1,0,455,5914,538,0 58,0,2024-09-07 09:41:20:579,131518,0.9,127721,1.0,267080,1.1,349354,2.75 58,1,2024-09-07 09:41:20:576,944539,944536,0,3,444029384227,4649624098,930731,10484,3321,367,391675,3 58,2,2024-09-07 09:41:21:071,680071,680071,0,0,30871180,0,3483 58,3,2024-09-07 09:41:21:068,1,538,1,0,1043,5842,538,0 59,0,2024-09-07 09:41:21:745,130853,0.8,130837,0.9,260838,0.8,345981,2.75 59,1,2024-09-07 09:41:20:818,943181,943181,0,0,443173965215,4650908738,928267,11775,3139,369,391653,0 59,2,2024-09-07 09:41:20:588,679358,679358,0,0,29491210,0,3727 59,3,2024-09-07 09:41:21:747,1,538,1,0,1015,6535,538,0 60,0,2024-09-07 09:41:21:756,134478,0.5,134898,0.6,269432,0.4,358901,1.75 60,1,2024-09-07 09:41:20:779,947933,947933,0,0,445019359576,4616554737,941740,5255,938,370,392031,0 60,2,2024-09-07 09:41:21:149,681347,681347,0,0,28054560,0,3811 60,3,2024-09-07 09:41:21:264,1,538,1,0,409,6145,538,0 61,0,2024-09-07 09:41:21:508,138484,0.7,139048,0.8,276877,0.7,369338,2.00 61,1,2024-09-07 09:41:20:777,944521,944521,0,0,443640391312,4642711774,934592,8348,1581,382,392127,0 61,2,2024-09-07 09:41:21:123,681578,681511,67,0,28971679,0,6411 61,3,2024-09-07 09:41:21:689,1,538,1,0,607,7555,538,0 62,0,2024-09-07 09:41:21:709,136729,0.6,140315,0.8,267869,0.6,363450,2.00 62,1,2024-09-07 09:41:21:111,949634,949628,0,6,446426083531,4598813031,945463,3885,280,365,391975,6 62,2,2024-09-07 09:41:21:643,678557,678556,1,0,28109584,0,5555 62,3,2024-09-07 09:41:21:146,1,538,0,0,482,4295,538,0 63,0,2024-09-07 09:41:21:457,132273,0.4,131967,0.6,264874,0.3,351708,1.75 63,1,2024-09-07 09:41:20:804,946924,946918,0,6,445242220353,4619148124,941156,5011,751,381,391800,6 63,2,2024-09-07 09:41:20:762,680526,680526,0,0,27290590,0,4369 63,3,2024-09-07 09:41:21:735,1,538,1,0,667,5645,538,0 64,0,2024-09-07 09:41:21:524,132186,0.5,132342,0.6,263809,0.4,351576,1.75 64,1,2024-09-07 09:41:20:756,946241,946241,0,0,444652302589,4627856212,938343,6126,1772,370,391794,0 64,2,2024-09-07 09:41:21:150,685886,685867,19,0,26333153,0,6121 64,3,2024-09-07 09:41:21:148,1,538,0,0,651,5582,538,0 65,0,2024-09-07 09:41:21:730,137949,0.6,138280,0.7,276090,0.6,368050,2.00 65,1,2024-09-07 09:41:20:878,944622,944622,0,0,442757901773,4625908951,937865,5959,798,381,391901,0 65,2,2024-09-07 09:41:21:696,680095,680095,0,0,30928919,0,3367 65,3,2024-09-07 09:41:21:687,1,538,2,0,782,6285,538,0 66,0,2024-09-07 09:41:21:769,137246,0.6,136788,0.8,273700,0.6,364665,2.25 66,1,2024-09-07 09:41:21:294,946612,946612,0,0,444690766493,4626172409,940462,5428,722,380,391743,0 66,2,2024-09-07 09:41:21:132,682688,682685,3,0,28007250,0,5455 66,3,2024-09-07 09:41:21:079,1,538,5,0,291,4564,538,0 67,0,2024-09-07 09:41:21:439,130658,0.5,130364,0.7,261242,0.5,347681,2.00 67,1,2024-09-07 09:41:20:766,946671,946670,0,1,444403202137,4624399831,940388,5468,814,380,391787,1 67,2,2024-09-07 09:41:20:583,683040,683025,15,0,27333877,0,6205 67,3,2024-09-07 09:41:21:752,1,538,3,0,595,5735,538,0 68,0,2024-09-07 09:41:20:586,131798,0.5,131670,0.7,262298,0.5,351037,2.00 68,1,2024-09-07 09:41:20:576,942243,942243,0,0,442233899655,4648519379,929827,9015,3401,381,391953,0 68,2,2024-09-07 09:41:21:056,676571,676471,100,0,34920398,0,8578 68,3,2024-09-07 09:41:20:736,1,538,18,0,417,6872,538,0 69,0,2024-09-07 09:41:21:735,137158,0.6,138153,0.7,275304,0.6,365659,2.25 69,1,2024-09-07 09:41:21:031,941731,941731,0,0,442826429459,4663048481,929468,9501,2762,383,391994,0 69,2,2024-09-07 09:41:21:762,678803,678774,29,0,35991378,0,6912 69,3,2024-09-07 09:41:20:760,1,538,49,0,698,7863,538,0 70,0,2024-09-07 09:41:21:536,136018,0.9,136415,1.0,274510,0.8,363594,2.50 70,1,2024-09-07 09:41:20:801,947726,947726,0,0,445432786520,4619514396,940468,6496,762,366,391725,0 70,2,2024-09-07 09:41:21:327,682060,682060,0,0,30158231,0,4323 70,3,2024-09-07 09:41:20:745,1,538,1,0,854,5715,538,0 71,0,2024-09-07 09:41:21:372,130796,1.1,130573,1.1,262295,1.5,349951,2.75 71,1,2024-09-07 09:41:21:743,945112,945112,0,0,443694376769,4628523170,933715,10141,1256,368,391738,0 71,2,2024-09-07 09:41:21:068,679765,679765,0,0,30913807,0,4352 71,3,2024-09-07 09:41:21:751,1,538,0,0,644,6278,538,0 72,0,2024-09-07 09:41:21:031,135848,0.5,132761,0.7,259077,0.5,352213,2.00 72,1,2024-09-07 09:41:21:026,943781,943781,0,0,443313959257,4647622538,930320,11177,2284,369,391819,0 72,2,2024-09-07 09:41:21:763,678277,678277,0,0,32424767,0,3983 72,3,2024-09-07 09:41:21:763,1,538,1,0,564,7580,538,0 73,0,2024-09-07 09:41:21:109,132611,0.4,135829,0.6,277991,0.4,362038,2.00 73,1,2024-09-07 09:41:20:776,946391,946391,0,0,443741482456,4605289930,940149,5817,425,367,391858,0 73,2,2024-09-07 09:41:21:748,680407,680407,0,0,32864170,0,4728 73,3,2024-09-07 09:41:20:983,1,538,75,0,486,6669,538,0 74,0,2024-09-07 09:41:21:337,139660,0.5,142978,0.7,272658,0.5,369820,2.00 74,1,2024-09-07 09:41:20:637,944498,944498,0,0,443483571166,4628246235,934042,8531,1925,381,391762,0 74,2,2024-09-07 09:41:21:001,681084,681084,0,0,28645285,0,4253 74,3,2024-09-07 09:41:21:446,1,538,1,0,522,6371,538,0 75,0,2024-09-07 09:41:21:781,134741,0.6,133894,0.8,268750,0.5,358831,2.25 75,1,2024-09-07 09:41:21:597,945709,945709,0,0,443635624331,4622359191,937813,7033,863,380,391739,0 75,2,2024-09-07 09:41:21:353,678800,678800,0,0,34356533,0,4766 75,3,2024-09-07 09:41:21:068,1,538,5,0,702,6258,538,0 76,0,2024-09-07 09:41:20:662,131260,0.5,130586,0.7,261663,0.5,349703,2.25 76,1,2024-09-07 09:41:20:818,945361,945361,0,0,444107030750,4629734783,938726,5668,967,382,391723,0 76,2,2024-09-07 09:41:21:069,682255,682252,3,0,28975507,0,5265 76,3,2024-09-07 09:41:21:153,1,538,9,0,227,4941,538,0 77,0,2024-09-07 09:41:21:725,133242,0.5,133767,0.7,267534,0.5,355372,2.00 77,1,2024-09-07 09:41:20:832,945500,945500,0,0,444478139089,4633413739,938653,6251,596,381,391869,0 77,2,2024-09-07 09:41:21:286,680897,680897,0,0,28571402,0,3890 77,3,2024-09-07 09:41:21:094,1,538,8,0,401,5664,538,0 78,0,2024-09-07 09:41:21:757,139126,0.4,138541,0.6,277932,0.4,367879,2.00 78,1,2024-09-07 09:41:20:614,945615,945615,0,0,443636947083,4623432101,934839,8249,2527,367,391670,0 78,2,2024-09-07 09:41:21:409,682763,682750,13,0,26525270,0,8313 78,3,2024-09-07 09:41:21:133,1,538,1,0,311,4611,538,0 79,0,2024-09-07 09:41:21:349,132295,0.4,135498,0.6,277572,0.4,361350,2.25 79,1,2024-09-07 09:41:20:572,948042,948042,0,0,444907612603,4607562542,940302,6383,1357,367,391682,0 79,2,2024-09-07 09:41:21:076,680811,680811,0,0,25454307,0,4195 79,3,2024-09-07 09:41:20:759,1,538,1,0,418,6348,538,0 80,0,2024-09-07 09:41:21:304,130804,0.5,134491,0.6,257111,0.5,348490,2.00 80,1,2024-09-07 09:41:21:629,945066,945066,0,0,444349797442,4622409275,939233,5404,429,368,392269,0 80,2,2024-09-07 09:41:21:111,682613,682613,0,0,26116513,0,4433 80,3,2024-09-07 09:41:20:582,1,538,10,0,296,6294,538,0 81,0,2024-09-07 09:41:21:550,132439,0.5,135539,0.7,258526,0.5,351223,2.00 81,1,2024-09-07 09:41:21:661,944307,944307,0,0,443556772088,4634317358,936899,6769,639,382,391885,0 81,2,2024-09-07 09:41:21:154,680313,680250,63,0,29110950,0,5932 81,3,2024-09-07 09:41:21:125,1,538,33,0,719,6066,538,0 82,0,2024-09-07 09:41:21:531,138287,0.4,138462,0.7,277378,0.4,368404,2.00 82,1,2024-09-07 09:41:20:583,946782,946778,0,4,444738053266,4621270463,941695,4262,821,381,391768,4 82,2,2024-09-07 09:41:21:695,682408,682408,0,0,24213535,0,4484 82,3,2024-09-07 09:41:21:760,1,538,2,0,363,5022,538,0 83,0,2024-09-07 09:41:21:520,137557,0.6,137482,0.8,274532,0.6,364857,2.25 83,1,2024-09-07 09:41:20:558,945467,945467,0,0,443477574513,4620364317,939105,5905,457,382,391709,0 83,2,2024-09-07 09:41:20:763,681632,681607,25,0,26207039,0,5612 83,3,2024-09-07 09:41:20:755,1,538,11,0,1260,6539,538,0 84,0,2024-09-07 09:41:21:793,130428,0.7,130642,0.9,260891,0.7,348681,2.25 84,1,2024-09-07 09:41:21:042,944377,944377,0,0,442865745390,4627360949,934127,8723,1527,367,391967,0 84,2,2024-09-07 09:41:20:576,679986,679956,30,0,34475607,0,5971 84,3,2024-09-07 09:41:21:148,1,538,2,0,908,7440,538,0 85,0,2024-09-07 09:41:21:008,126565,0.5,126571,0.7,268697,0.5,347724,2.25 85,1,2024-09-07 09:41:20:559,940950,940950,0,0,442805048057,4672590953,926662,11630,2658,381,392092,0 85,2,2024-09-07 09:41:20:879,678781,678781,0,0,32169290,0,4255 85,3,2024-09-07 09:41:20:685,1,538,6,0,789,6102,538,0 86,0,2024-09-07 09:41:20:892,137046,0.6,140777,0.7,269270,0.6,365006,2.25 86,1,2024-09-07 09:41:20:827,943568,943568,0,0,444084033988,4649823182,932242,9347,1979,366,391995,0 86,2,2024-09-07 09:41:20:855,678814,678813,1,0,34613949,0,5004 86,3,2024-09-07 09:41:20:604,1,538,8,0,308,7615,538,0 87,0,2024-09-07 09:41:21:520,137984,0.9,137728,0.9,275487,1.1,367992,2.25 87,1,2024-09-07 09:41:20:565,942617,942617,0,0,442931602811,4639158286,930396,10505,1716,366,392076,0 87,2,2024-09-07 09:41:21:069,681122,681116,6,0,30593087,0,6323 87,3,2024-09-07 09:41:21:800,1,538,2,0,473,7962,538,0 88,0,2024-09-07 09:41:21:467,133259,0.5,133837,0.6,267494,0.4,355687,1.75 88,1,2024-09-07 09:41:20:572,942449,942449,0,0,443321429025,4640231192,929796,10035,2618,365,392084,0 88,2,2024-09-07 09:41:20:702,680068,680068,0,0,33027596,0,4465 88,3,2024-09-07 09:41:21:275,1,538,2,0,435,6801,538,0 89,0,2024-09-07 09:41:21:778,135354,0.4,131207,0.6,259221,0.4,351960,1.75 89,1,2024-09-07 09:41:20:561,942572,942572,0,0,442161840560,4649084974,931132,9621,1819,382,391866,0 89,2,2024-09-07 09:41:21:143,678973,678973,0,0,31413261,0,3173 89,3,2024-09-07 09:41:21:799,1,538,1,0,468,8746,538,0 90,0,2024-09-07 09:41:21:625,130677,0.4,134209,0.6,273564,0.4,357578,1.75 90,1,2024-09-07 09:41:20:599,944007,944007,0,0,443313275471,4637513169,935484,7789,734,380,391825,0 90,2,2024-09-07 09:41:21:414,678641,678641,0,0,33655003,0,3608 90,3,2024-09-07 09:41:20:930,1,538,1,0,322,6509,538,0 91,0,2024-09-07 09:41:20:933,139049,0.5,134853,0.6,281950,0.4,369894,1.75 91,1,2024-09-07 09:41:20:562,942030,942030,0,0,443303262676,4657906943,930417,9600,2013,381,392047,0 91,2,2024-09-07 09:41:21:335,681536,681536,0,0,29692445,0,4713 91,3,2024-09-07 09:41:20:598,1,538,1,0,216,5033,538,0 92,0,2024-09-07 09:41:21:456,137870,0.5,140864,0.6,268696,0.5,363814,1.75 92,1,2024-09-07 09:41:20:583,944713,944713,0,0,444342024196,4639464076,937188,6317,1208,381,392136,0 92,2,2024-09-07 09:41:21:365,680461,680461,0,0,26758714,0,3259 92,3,2024-09-07 09:41:21:013,1,538,1,0,167,4605,538,0 93,0,2024-09-07 09:41:20:969,132547,0.4,135870,0.6,259399,0.3,351641,1.75 93,1,2024-09-07 09:41:20:813,945036,945036,0,0,443251587751,4625248785,934720,8582,1734,366,391776,0 93,2,2024-09-07 09:41:20:936,680647,680647,0,0,32523313,0,4913 93,3,2024-09-07 09:41:21:410,1,538,3,0,190,4777,538,0 94,0,2024-09-07 09:41:21:632,132061,0.3,133000,0.5,265735,0.3,353112,1.75 94,1,2024-09-07 09:41:20:564,945530,945530,0,0,444208798922,4630329264,939417,5737,376,381,391850,0 94,2,2024-09-07 09:41:20:768,681623,681595,28,0,27854464,0,6179 94,3,2024-09-07 09:41:21:695,1,538,2,0,576,6691,538,0 95,0,2024-09-07 09:41:21:345,139034,0.3,139038,0.5,278259,0.3,370613,1.75 95,1,2024-09-07 09:41:20:855,946975,946975,0,0,445261681493,4624606773,939615,6701,659,365,391852,0 95,2,2024-09-07 09:41:21:017,680203,680203,0,0,26576208,0,3308 95,3,2024-09-07 09:41:21:716,1,538,2,0,718,8226,538,0 96,0,2024-09-07 09:41:21:030,137825,0.4,138010,0.5,275868,0.3,366828,1.75 96,1,2024-09-07 09:41:21:593,944879,944879,0,0,443583251949,4624373792,938472,5492,915,384,391964,0 96,2,2024-09-07 09:41:21:269,681232,681232,0,0,27555137,0,4180 96,3,2024-09-07 09:41:21:141,1,538,9,0,411,5675,538,0 97,0,2024-09-07 09:41:21:394,130606,0.3,130499,0.5,262100,0.3,347808,1.50 97,1,2024-09-07 09:41:20:777,947060,947060,0,0,444609266779,4609630669,941289,4826,945,367,392140,0 97,2,2024-09-07 09:41:20:607,682257,682257,0,0,27140155,0,3679 97,3,2024-09-07 09:41:20:570,1,538,0,0,242,6096,538,0 98,0,2024-09-07 09:41:21:731,131289,0.3,131391,0.4,263786,0.2,350794,1.50 98,1,2024-09-07 09:41:20:576,945956,945956,0,0,443222499796,4613494472,940532,4623,801,381,391997,0 98,2,2024-09-07 09:41:20:769,681065,681065,0,0,26719637,0,4336 98,3,2024-09-07 09:41:20:703,1,538,1,0,840,8304,538,0 99,0,2024-09-07 09:41:21:450,137844,0.3,138502,0.4,275727,0.3,368143,1.75 99,1,2024-09-07 09:41:21:725,946289,946289,0,0,443711233469,4616823080,940309,5051,929,380,392069,0 99,2,2024-09-07 09:41:21:417,681020,681020,0,0,32234964,0,4276 99,3,2024-09-07 09:41:20:582,1,538,2,0,606,5314,538,0 100,0,2024-09-07 09:41:21:498,137256,0.8,137579,0.9,274762,1.0,366973,2.50 100,1,2024-09-07 09:41:20:552,941054,941054,0,0,441515275837,4664947693,926701,11253,3100,378,391989,0 100,2,2024-09-07 09:41:21:817,679459,679448,11,0,31378844,0,5417 100,3,2024-09-07 09:41:21:734,1,538,1,0,627,9559,538,0 101,0,2024-09-07 09:41:21:768,134846,1.2,131419,1.1,257645,1.1,352136,2.25 101,1,2024-09-07 09:41:20:560,942435,942435,0,0,442614532745,4648204842,930011,10022,2402,368,391847,0 101,2,2024-09-07 09:41:21:772,676223,676223,0,0,36390332,0,4871 101,3,2024-09-07 09:41:20:943,1,538,1,0,1250,8340,538,0 102,0,2024-09-07 09:41:20:978,127922,0.6,131883,0.7,267543,0.5,350219,2.00 102,1,2024-09-07 09:41:21:142,942510,942510,0,0,442584634541,4645480185,930290,10198,2022,369,391891,0 102,2,2024-09-07 09:41:21:741,679844,679790,54,0,30811353,0,6768 102,3,2024-09-07 09:41:21:627,1,538,3,0,466,6224,538,0 103,0,2024-09-07 09:41:21:645,140538,0.5,140496,0.7,264764,0.5,364822,2.00 103,1,2024-09-07 09:41:21:629,941071,941071,0,0,442008973646,4662570316,926867,11004,3200,381,391862,0 103,2,2024-09-07 09:41:20:582,679232,679232,0,0,32557358,0,3766 103,3,2024-09-07 09:41:20:763,1,538,6,0,916,6329,538,0 104,0,2024-09-07 09:41:21:129,137653,0.7,137762,0.9,274965,0.7,367784,2.25 104,1,2024-09-07 09:41:21:601,943917,943917,0,0,442737622460,4646962839,930850,10516,2551,365,392168,0 104,2,2024-09-07 09:41:21:673,678960,678960,0,0,31611135,0,3941 104,3,2024-09-07 09:41:21:427,1,538,1,0,1245,9270,538,0 105,0,2024-09-07 09:41:21:032,133091,0.9,129677,1.1,271825,1.1,356892,2.75 105,1,2024-09-07 09:41:20:558,945096,945096,0,0,443875938191,4646670436,933488,9839,1769,365,392009,0 105,2,2024-09-07 09:41:21:330,678702,678702,0,0,31697750,0,4360 105,3,2024-09-07 09:41:21:308,1,538,1,0,399,7504,538,0 106,0,2024-09-07 09:41:20:938,127304,0.7,130395,0.9,267205,0.7,348361,2.50 106,1,2024-09-07 09:41:21:757,944215,944215,0,0,443397104676,4647858181,931594,10972,1649,368,391914,0 106,2,2024-09-07 09:41:20:768,679171,679171,0,0,29918396,0,2920 106,3,2024-09-07 09:41:20:677,1,538,1,0,1224,7992,538,0 107,0,2024-09-07 09:41:21:102,133694,0.7,133616,0.8,267310,0.7,356435,2.00 107,1,2024-09-07 09:41:20:597,941991,941991,0,0,442245287782,4659207491,929082,11516,1393,381,392234,0 107,2,2024-09-07 09:41:21:292,678727,678726,1,0,32747993,0,5024 107,3,2024-09-07 09:41:21:760,1,538,7,0,733,7985,538,0 108,0,2024-09-07 09:41:21:773,138574,0.4,139219,0.6,277221,0.4,369471,1.75 108,1,2024-09-07 09:41:21:303,944589,944589,0,0,443466363834,4623750330,936666,6951,972,367,391894,0 108,2,2024-09-07 09:41:21:759,680153,680153,0,0,29340744,0,4246 108,3,2024-09-07 09:41:21:337,1,538,0,0,749,10406,538,0 109,0,2024-09-07 09:41:21:770,137712,0.4,136548,0.6,273656,0.4,365578,1.75 109,1,2024-09-07 09:41:20:584,942021,942021,0,0,443159019268,4646650133,933710,7094,1217,382,392132,0 109,2,2024-09-07 09:41:20:944,678461,678461,0,0,29254608,0,3617 109,3,2024-09-07 09:41:21:144,1,538,12,0,630,6627,538,0 110,0,2024-09-07 09:41:21:769,130959,0.4,127377,0.6,266647,0.3,349839,1.75 110,1,2024-09-07 09:41:21:663,945971,945971,0,0,444909992701,4618280222,938436,5613,1922,368,392045,0 110,2,2024-09-07 09:41:21:303,680881,680881,0,0,27716335,0,4067 110,3,2024-09-07 09:41:20:699,1,538,1,0,722,7364,538,0 111,0,2024-09-07 09:41:21:489,132750,0.3,132028,0.5,264354,0.2,352484,1.75 111,1,2024-09-07 09:41:21:012,947444,947444,0,0,445483793608,4616516090,942899,4188,357,380,391690,0 111,2,2024-09-07 09:41:21:123,680838,680838,0,0,28279999,0,4823 111,3,2024-09-07 09:41:20:914,1,538,1,0,379,6043,538,0 112,0,2024-09-07 09:41:20:914,139249,0.3,138553,0.4,277963,0.2,369522,1.50 112,1,2024-09-07 09:41:20:837,946374,946374,0,0,444624260924,4613657318,940467,5216,691,380,391624,0 112,2,2024-09-07 09:41:21:134,680464,680463,1,0,26792505,0,5036 112,3,2024-09-07 09:41:20:598,1,538,1,0,282,5076,538,0 113,0,2024-09-07 09:41:20:865,137684,0.3,137602,0.5,275567,0.3,367047,1.75 113,1,2024-09-07 09:41:21:688,949035,949035,0,0,445776646532,4597833695,943779,4441,815,365,391664,0 113,2,2024-09-07 09:41:21:303,683937,683937,0,0,24372631,0,3813 113,3,2024-09-07 09:41:20:685,1,538,4,0,510,6131,538,0 114,0,2024-09-07 09:41:20:893,131936,0.3,132766,0.5,263917,0.2,352680,1.75 114,1,2024-09-07 09:41:20:717,946250,946250,0,0,445090810298,4619813238,939336,5298,1616,381,391565,0 114,2,2024-09-07 09:41:20:883,681911,681910,1,0,26483831,0,5069 114,3,2024-09-07 09:41:21:511,1,538,6,0,395,4260,538,0 115,0,2024-09-07 09:41:20:557,132033,0.3,132694,0.4,264311,0.2,351372,1.50 115,1,2024-09-07 09:41:20:571,946904,946904,0,0,444778526343,4619147143,939501,6046,1357,382,391757,0 115,2,2024-09-07 09:41:21:124,683040,683040,0,0,25943366,0,4382 115,3,2024-09-07 09:41:21:016,1,538,0,0,167,3028,538,0 116,0,2024-09-07 09:41:21:724,136696,0.6,136510,0.8,273659,0.5,366015,2.00 116,1,2024-09-07 09:41:20:806,942011,942011,0,0,443112714040,4666045050,931340,8225,2446,380,392089,0 116,2,2024-09-07 09:41:21:751,679482,679482,0,0,33088281,0,4475 116,3,2024-09-07 09:41:20:927,1,538,19,0,415,6670,538,0 117,0,2024-09-07 09:41:20:971,138302,0.7,137651,0.8,275939,0.8,368688,2.00 117,1,2024-09-07 09:41:21:581,943427,943427,0,0,443523941108,4639428499,933390,8811,1226,369,392033,0 117,2,2024-09-07 09:41:21:118,683141,683141,0,0,28939682,0,4303 117,3,2024-09-07 09:41:21:061,1,538,59,0,490,7270,538,0 118,0,2024-09-07 09:41:21:781,129265,0.6,132837,0.7,270885,0.6,354082,2.00 118,1,2024-09-07 09:41:20:586,942377,942377,0,0,442764963623,4650105806,928869,10384,3124,366,392054,0 118,2,2024-09-07 09:41:21:600,679215,679215,0,0,31518836,0,2842 118,3,2024-09-07 09:41:21:766,1,538,4,0,248,5940,538,0 119,0,2024-09-07 09:41:21:338,131147,0.6,131656,0.7,262927,0.6,350099,2.00 119,1,2024-09-07 09:41:20:566,943683,943683,0,0,443256394574,4641000351,931948,9967,1768,367,391857,0 119,2,2024-09-07 09:41:21:267,680253,680253,0,0,29323417,0,4174 119,3,2024-09-07 09:41:21:325,1,538,1,0,1358,9840,538,0 120,0,2024-09-07 09:41:21:549,133892,0.6,133634,0.8,268538,0.6,358266,2.25 120,1,2024-09-07 09:41:20:864,944329,944329,0,0,443064358721,4639557084,935025,8523,781,367,392144,0 120,2,2024-09-07 09:41:20:776,680007,680006,1,0,33974469,0,5281 120,3,2024-09-07 09:41:21:291,1,538,7,0,279,6626,538,0 121,0,2024-09-07 09:41:21:699,138795,1.3,138142,1.1,276810,1.7,368914,2.25 121,1,2024-09-07 09:41:21:664,944342,944342,0,0,443388071921,4630818727,935599,8042,701,366,391840,0 121,2,2024-09-07 09:41:21:130,680139,680139,0,0,31211414,0,4157 121,3,2024-09-07 09:41:20:732,1,538,2,0,330,6339,538,0 122,0,2024-09-07 09:41:21:800,135740,0.8,132052,0.9,276709,1.0,363641,2.25 122,1,2024-09-07 09:41:20:858,943242,943242,0,0,443511512846,4648335394,930778,10577,1887,366,392130,0 122,2,2024-09-07 09:41:21:326,680294,680219,75,0,35398110,0,5989 122,3,2024-09-07 09:41:20:594,1,538,2,0,512,8893,538,0 123,0,2024-09-07 09:41:20:968,131403,0.8,127709,0.8,267443,0.9,350341,2.25 123,1,2024-09-07 09:41:20:561,943507,943507,0,0,442728701428,4653385342,928290,12712,2505,369,392039,0 123,2,2024-09-07 09:41:21:019,678018,678017,1,0,30131715,0,5215 123,3,2024-09-07 09:41:21:132,1,538,1,0,478,6145,538,0 124,0,2024-09-07 09:41:20:924,136496,0.3,136485,0.5,257116,0.3,354443,1.75 124,1,2024-09-07 09:41:21:022,946046,946046,0,0,443488255169,4607991676,939228,5685,1133,365,392178,0 124,2,2024-09-07 09:41:21:012,682750,682697,53,0,27575833,0,6487 124,3,2024-09-07 09:41:20:766,1,538,1,0,490,5522,538,0 125,0,2024-09-07 09:41:21:469,138859,0.4,138691,0.5,278563,0.3,370584,1.75 125,1,2024-09-07 09:41:20:860,944738,944738,0,0,442626489835,4617844028,937753,6001,984,382,391813,0 125,2,2024-09-07 09:41:21:115,683154,683154,0,0,27583516,0,4534 125,3,2024-09-07 09:41:21:142,1,538,14,0,709,6334,538,0 126,0,2024-09-07 09:41:21:422,137945,0.4,141871,0.6,271425,0.4,367521,1.75 126,1,2024-09-07 09:41:20:565,947859,947859,0,0,444855731437,4602675770,943169,4378,312,365,391987,0 126,2,2024-09-07 09:41:20:622,681543,681543,0,0,28373157,0,4539 126,3,2024-09-07 09:41:20:918,1,538,1,0,268,5944,538,0 127,0,2024-09-07 09:41:21:595,130893,0.3,131222,0.5,261882,0.3,348095,1.75 127,1,2024-09-07 09:41:20:577,945885,945885,0,0,443499840163,4612848197,936508,7871,1506,364,392187,0 127,2,2024-09-07 09:41:20:637,680526,680522,4,0,27109989,0,5305 127,3,2024-09-07 09:41:21:269,1,538,1,0,968,5330,538,0 128,0,2024-09-07 09:41:21:529,132230,0.3,132224,0.4,264000,0.2,351601,1.50 128,1,2024-09-07 09:41:21:612,945207,945207,0,0,445028056253,4621133819,938697,5774,736,367,392031,0 128,2,2024-09-07 09:41:21:383,682148,682148,0,0,25444942,0,3171 128,3,2024-09-07 09:41:20:767,1,538,24,0,1082,8579,538,0 129,0,2024-09-07 09:41:20:995,138949,0.3,138323,0.4,277178,0.2,368550,1.50 129,1,2024-09-07 09:41:20:567,942368,942368,0,0,442607578508,4635896201,933335,7088,1945,379,391962,0 129,2,2024-09-07 09:41:20:699,681352,681348,4,0,27698388,0,5335 129,3,2024-09-07 09:41:20:699,1,538,1,0,506,7407,538,0 130,0,2024-09-07 09:41:21:772,138446,0.4,137947,0.6,276745,0.4,368529,1.75 130,1,2024-09-07 09:41:20:583,946272,946272,0,0,444295044732,4613222727,941465,4419,388,381,391825,0 130,2,2024-09-07 09:41:21:123,682439,682439,0,0,27657873,0,4067 130,3,2024-09-07 09:41:21:303,1,538,1,0,960,7444,538,0 131,0,2024-09-07 09:41:21:930,132350,0.4,132775,0.5,265920,0.3,353024,1.75 131,1,2024-09-07 09:41:21:824,945919,945919,0,0,444214855005,4631353559,939023,5704,1192,381,391865,0 131,2,2024-09-07 09:41:20:572,681148,681148,0,0,25710373,0,3979 131,3,2024-09-07 09:41:21:705,1,538,8,0,392,6581,538,0 132,0,2024-09-07 09:41:21:463,131583,0.4,132604,0.6,264050,0.4,351546,1.75 132,1,2024-09-07 09:41:20:576,941992,941992,0,0,442328087299,4649186328,929503,10291,2198,381,392532,0 132,2,2024-09-07 09:41:20:701,679890,679873,17,0,33461985,0,6451 132,3,2024-09-07 09:41:21:697,1,538,1,0,804,8771,538,0 133,0,2024-09-07 09:41:21:526,132600,0.4,135732,0.6,278444,0.3,362735,1.75 133,1,2024-09-07 09:41:20:583,941843,941843,0,0,442609126614,4660542765,929328,10824,1691,383,391914,0 133,2,2024-09-07 09:41:21:088,681300,681250,50,0,33867849,0,6861 133,3,2024-09-07 09:41:21:326,1,538,3,0,479,6310,538,0 134,0,2024-09-07 09:41:20:940,138921,0.5,138869,0.7,278078,0.5,370026,2.00 134,1,2024-09-07 09:41:20:634,943453,943453,0,0,442097057858,4630954240,932054,9252,2147,366,391718,0 134,2,2024-09-07 09:41:21:759,680901,680877,24,0,31879919,0,6207 134,3,2024-09-07 09:41:20:755,1,538,1,0,739,6361,538,0 135,0,2024-09-07 09:41:21:099,130310,0.9,130348,0.9,276687,1.1,356347,2.25 135,1,2024-09-07 09:41:21:589,943219,943219,0,0,443317443531,4657981815,931574,10078,1567,380,391805,0 135,2,2024-09-07 09:41:20:687,680126,680126,0,0,32093784,0,4503 135,3,2024-09-07 09:41:21:012,1,538,0,0,900,5387,538,0 136,0,2024-09-07 09:41:21:633,132234,0.5,132483,0.7,263836,0.5,351409,2.00 136,1,2024-09-07 09:41:21:454,943927,943927,0,0,442434390267,4634466352,933538,9119,1270,381,392135,0 136,2,2024-09-07 09:41:21:133,681224,681209,15,0,31297504,0,6007 136,3,2024-09-07 09:41:21:108,1,538,1,0,637,6322,538,0 137,0,2024-09-07 09:41:20:924,137841,0.5,134408,0.7,263241,0.5,357695,2.00 137,1,2024-09-07 09:41:20:584,942404,942404,0,0,443129644403,4639941725,928939,10792,2673,366,391898,0 137,2,2024-09-07 09:41:21:750,679685,679685,0,0,32958351,0,3185 137,3,2024-09-07 09:41:20:769,1,538,85,0,484,7078,538,0 138,0,2024-09-07 09:41:21:755,138132,0.8,138389,0.9,277330,0.9,368027,2.00 138,1,2024-09-07 09:41:21:698,943416,943416,0,0,443888047424,4650474686,930669,10776,1971,368,391954,0 138,2,2024-09-07 09:41:20:588,680287,680287,0,0,30310691,0,4988 138,3,2024-09-07 09:41:20:623,1,538,7,0,1200,8614,538,0 139,0,2024-09-07 09:41:21:362,136029,1.2,136434,1.0,272823,1.8,363997,2.50 139,1,2024-09-07 09:41:20:572,939519,939519,0,0,440946155439,4671195059,923562,12495,3462,380,392109,0 139,2,2024-09-07 09:41:20:699,676137,676137,0,0,33668146,0,3097 139,3,2024-09-07 09:41:21:668,1,538,1,0,432,6078,538,0 140,0,2024-09-07 09:41:21:590,131595,0.3,130692,0.5,262375,0.2,350123,1.75 140,1,2024-09-07 09:41:21:536,948967,948967,0,0,446502564685,4600346543,944382,4047,538,364,391606,0 140,2,2024-09-07 09:41:20:687,681671,681670,1,0,25813737,0,5036 140,3,2024-09-07 09:41:20:771,1,538,1,0,297,4903,538,0 141,0,2024-09-07 09:41:21:700,132443,0.3,136064,0.4,259891,0.2,352320,1.50 141,1,2024-09-07 09:41:20:861,947111,947111,0,0,444975793852,4619143550,940001,6024,1086,379,391614,0 141,2,2024-09-07 09:41:21:693,681505,681494,11,0,27482451,0,5369 141,3,2024-09-07 09:41:21:042,1,538,0,0,391,6001,538,0 142,0,2024-09-07 09:41:21:339,139288,0.3,138365,0.5,277189,0.3,370408,1.50 142,1,2024-09-07 09:41:20:585,945065,945065,0,0,444239935435,4627346399,939393,5212,460,382,392102,0 142,2,2024-09-07 09:41:21:304,679984,679952,32,0,27986614,0,6028 142,3,2024-09-07 09:41:21:749,1,538,0,0,484,5563,538,0 143,0,2024-09-07 09:41:21:613,137535,0.4,137532,0.6,275935,0.4,367003,1.75 143,1,2024-09-07 09:41:20:600,947416,947416,0,0,444856195183,4613881532,941328,5529,559,367,391722,0 143,2,2024-09-07 09:41:20:776,682253,682253,0,0,27446892,0,3123 143,3,2024-09-07 09:41:21:140,1,538,2,0,462,6603,538,0 144,0,2024-09-07 09:41:21:504,127505,0.6,131208,0.8,267015,0.6,349527,2.00 144,1,2024-09-07 09:41:20:573,942616,942616,0,0,443182632207,4647743561,933216,7432,1968,381,391733,0 144,2,2024-09-07 09:41:21:759,681192,681192,0,0,26453200,0,3673 144,3,2024-09-07 09:41:21:741,1,538,2,0,249,5252,538,0 145,0,2024-09-07 09:41:21:357,127407,0.5,127413,0.7,270505,0.4,348177,2.25 145,1,2024-09-07 09:41:20:553,942201,942201,0,0,442214522032,4651555299,929819,9913,2469,382,391759,0 145,2,2024-09-07 09:41:21:429,678019,677937,82,0,32303401,0,7814 145,3,2024-09-07 09:41:20:908,1,538,1,0,622,7489,538,0 146,0,2024-09-07 09:41:21:637,137020,0.5,136489,0.7,274079,0.5,364486,2.00 146,1,2024-09-07 09:41:21:615,943602,943602,0,0,443096512600,4653314633,929150,10649,3803,367,391770,0 146,2,2024-09-07 09:41:21:703,678703,678697,6,0,30458876,0,5151 146,3,2024-09-07 09:41:21:280,1,538,1,0,1520,9057,538,0 147,0,2024-09-07 09:41:21:731,138149,0.6,137939,0.7,275471,0.6,367854,2.25 147,1,2024-09-07 09:41:21:380,946645,946645,0,0,444639764880,4617200101,939352,6413,880,367,391791,0 147,2,2024-09-07 09:41:21:009,681204,681204,0,0,27244506,0,2968 147,3,2024-09-07 09:41:20:915,1,538,3,0,1626,8611,538,0 0,0,2024-09-07 09:41:31:732,131182,0.5,131249,0.7,278435,0.5,360409,2.00 0,1,2024-09-07 09:41:30:818,945210,945210,0,0,444307137297,4649773680,937650,6717,843,369,391896,0 0,2,2024-09-07 09:41:31:267,683565,683565,0,0,27407187,0,4480 0,3,2024-09-07 09:41:30:975,1,539,12,0,431,7672,539,0 1,0,2024-09-07 09:41:31:825,138725,0.9,137759,0.9,277001,1.2,369678,2.00 1,1,2024-09-07 09:41:30:577,944315,944315,0,0,442978497841,4639759820,935559,7286,1470,370,391859,0 1,2,2024-09-07 09:41:30:652,682750,682750,0,0,26794914,0,3380 1,3,2024-09-07 09:41:31:303,1,539,12,0,269,6631,539,0 2,0,2024-09-07 09:41:31:567,136090,0.6,135972,0.8,271685,0.6,362343,2.00 2,1,2024-09-07 09:41:30:869,947464,947464,0,0,444924112949,4622344966,942356,4180,928,379,391745,0 2,2,2024-09-07 09:41:31:266,681755,681755,0,0,25270387,0,3594 2,3,2024-09-07 09:41:30:692,1,539,1,0,357,4768,539,0 3,0,2024-09-07 09:41:31:742,131889,0.4,131831,0.6,263070,0.3,350817,2.00 3,1,2024-09-07 09:41:31:617,946617,946617,0,0,444921646310,4629173945,939148,6766,703,379,391716,0 3,2,2024-09-07 09:41:31:142,682362,682339,23,0,26503645,0,5851 3,3,2024-09-07 09:41:31:757,1,539,1,0,275,3568,539,0 4,0,2024-09-07 09:41:31:908,129139,0.3,132637,0.5,270417,0.3,354137,1.75 4,1,2024-09-07 09:41:30:595,942969,942969,0,0,442154611912,4679441667,926611,12669,3689,370,391992,0 4,2,2024-09-07 09:41:31:021,679748,679748,0,0,32498461,0,4534 4,3,2024-09-07 09:41:31:039,1,539,6,0,448,6867,539,0 5,0,2024-09-07 09:41:31:390,139204,0.4,139369,0.5,278728,0.4,370361,1.75 5,1,2024-09-07 09:41:30:761,944136,944136,0,0,444455735904,4673865492,931360,10360,2416,367,392005,0 5,2,2024-09-07 09:41:31:909,679209,679209,0,0,31335864,0,3582 5,3,2024-09-07 09:41:31:732,1,539,3,0,457,7234,539,0 6,0,2024-09-07 09:41:30:931,138079,0.5,137669,0.7,275688,0.5,367446,2.00 6,1,2024-09-07 09:41:30:761,946029,946029,0,0,443845006008,4632739315,936451,8119,1459,379,391702,0 6,2,2024-09-07 09:41:31:118,681897,681879,18,0,30174899,0,5535 6,3,2024-09-07 09:41:31:274,1,539,1,0,710,6358,539,0 7,0,2024-09-07 09:41:31:531,130162,0.5,131068,0.7,260964,0.5,347360,2.00 7,1,2024-09-07 09:41:30:856,944695,944695,0,0,443896342417,4658112880,931767,10696,2232,382,391747,0 7,2,2024-09-07 09:41:30:779,681782,681782,0,0,29413526,0,4791 7,3,2024-09-07 09:41:30:853,1,539,1,0,552,5919,539,0 8,0,2024-09-07 09:41:31:331,132408,0.3,131882,0.5,264579,0.3,352859,1.50 8,1,2024-09-07 09:41:31:018,942651,942651,0,0,443432010185,4656960034,928385,11134,3132,366,392853,0 8,2,2024-09-07 09:41:30:791,677475,677473,2,0,35134433,0,5112 8,3,2024-09-07 09:41:30:585,1,539,1,0,538,7881,539,0 9,0,2024-09-07 09:41:31:129,137983,0.3,134261,0.5,281326,0.3,368782,1.75 9,1,2024-09-07 09:41:30:563,943105,943105,0,0,443083001351,4662696668,929602,10849,2654,369,392001,0 9,2,2024-09-07 09:41:31:083,680455,680454,1,0,31813971,0,5281 9,3,2024-09-07 09:41:31:752,1,539,1,0,1273,8853,539,0 10,0,2024-09-07 09:41:31:608,137864,0.4,137448,0.5,275598,0.3,367649,1.75 10,1,2024-09-07 09:41:30:596,945159,945159,0,0,443689591836,4644228084,932791,10278,2090,381,391869,0 10,2,2024-09-07 09:41:30:766,682899,682899,0,0,34577963,0,4264 10,3,2024-09-07 09:41:30:872,1,539,0,0,649,5539,539,0 11,0,2024-09-07 09:41:31:020,132190,0.5,128229,0.6,268247,0.4,353432,1.75 11,1,2024-09-07 09:41:30:591,946754,946754,0,0,443912158890,4650729577,933273,10018,3463,383,391766,0 11,2,2024-09-07 09:41:31:126,681178,681178,0,0,30266523,0,4130 11,3,2024-09-07 09:41:31:304,1,539,2,0,843,7132,539,0 12,0,2024-09-07 09:41:30:958,132634,0.3,132700,0.5,265286,0.3,352690,1.75 12,1,2024-09-07 09:41:30:944,945904,945904,0,0,443878581071,4628133005,938220,6798,886,370,391960,0 12,2,2024-09-07 09:41:31:544,680759,680759,0,0,30252076,0,4390 12,3,2024-09-07 09:41:31:060,1,539,2,0,386,7123,539,0 13,0,2024-09-07 09:41:31:375,137332,0.4,137099,0.5,273639,0.4,365243,1.75 13,1,2024-09-07 09:41:31:523,943796,943796,0,0,443621600245,4659626681,934150,7349,2297,382,391740,0 13,2,2024-09-07 09:41:30:599,683030,683030,0,0,27161215,0,3287 13,3,2024-09-07 09:41:31:768,1,539,4,0,522,7408,539,0 14,0,2024-09-07 09:41:30:560,138816,0.4,139786,0.6,277492,0.4,369244,1.75 14,1,2024-09-07 09:41:31:562,950196,950196,0,0,446336434847,4615418592,943279,6189,728,364,391673,0 14,2,2024-09-07 09:41:30:766,683592,683562,30,0,28511765,0,6104 14,3,2024-09-07 09:41:31:115,1,539,1,0,1168,6060,539,0 15,0,2024-09-07 09:41:31:552,134346,0.4,133738,0.6,268322,0.4,357386,2.00 15,1,2024-09-07 09:41:31:608,947611,947611,0,0,444543995663,4627197861,939873,6252,1486,381,391619,0 15,2,2024-09-07 09:41:31:001,683626,683626,0,0,23955316,0,3622 15,3,2024-09-07 09:41:31:405,1,539,6,0,1126,7337,539,0 16,0,2024-09-07 09:41:30:953,131661,0.5,132425,0.7,264123,0.5,350924,2.00 16,1,2024-09-07 09:41:30:619,947309,947309,0,0,444469962733,4644579406,938879,7128,1302,370,392194,0 16,2,2024-09-07 09:41:31:434,681037,681037,0,0,28363467,0,4719 16,3,2024-09-07 09:41:31:145,1,539,1,0,358,6674,539,0 17,0,2024-09-07 09:41:31:796,138227,0.5,134670,0.7,264147,0.5,358406,2.00 17,1,2024-09-07 09:41:30:568,945054,945054,0,0,443755618460,4652401292,935739,7564,1751,368,392075,0 17,2,2024-09-07 09:41:31:671,684773,684772,1,0,29151239,0,5050 17,3,2024-09-07 09:41:30:577,1,539,7,0,518,7926,539,0 18,0,2024-09-07 09:41:30:963,137439,0.7,138321,0.8,275648,0.7,367748,2.25 18,1,2024-09-07 09:41:31:638,949218,949218,0,0,445323372655,4616519339,943102,5119,997,367,391725,0 18,2,2024-09-07 09:41:31:758,684909,684909,0,0,25886314,0,3541 18,3,2024-09-07 09:41:30:913,1,539,8,0,1059,4973,539,0 19,0,2024-09-07 09:41:31:543,136665,0.7,137006,0.8,272831,0.7,363097,2.25 19,1,2024-09-07 09:41:30:570,948584,948584,0,0,446592642567,4627957598,941592,5907,1085,367,391777,0 19,2,2024-09-07 09:41:31:752,684450,684450,0,0,24163662,0,3988 19,3,2024-09-07 09:41:31:130,1,539,0,0,524,4275,539,0 20,0,2024-09-07 09:41:31:352,131114,0.5,131430,0.7,262307,0.5,350019,2.00 20,1,2024-09-07 09:41:30:599,945157,945157,0,0,443837673249,4646319100,935944,7844,1369,369,391922,0 20,2,2024-09-07 09:41:30:942,681044,681044,0,0,29415651,0,3721 20,3,2024-09-07 09:41:30:601,1,539,3,0,468,8949,539,0 21,0,2024-09-07 09:41:31:143,132482,0.4,132649,0.6,265314,0.4,352072,1.75 21,1,2024-09-07 09:41:31:542,943623,943623,0,0,442603484904,4666656577,929459,11044,3120,368,392016,0 21,2,2024-09-07 09:41:31:072,679355,679335,20,0,34478792,0,5617 21,3,2024-09-07 09:41:31:404,1,539,1,0,713,6805,539,0 22,0,2024-09-07 09:41:31:722,138888,0.4,139513,0.6,278337,0.4,369812,2.00 22,1,2024-09-07 09:41:31:033,944464,944464,0,0,442892760236,4658422453,929206,12031,3227,382,391822,0 22,2,2024-09-07 09:41:30:766,680499,680473,26,0,28786647,0,6328 22,3,2024-09-07 09:41:31:066,1,539,29,0,228,4477,539,0 23,0,2024-09-07 09:41:31:378,137575,0.5,137209,0.7,274344,0.5,366114,2.25 23,1,2024-09-07 09:41:31:004,945709,945709,0,0,444725834519,4663216220,930001,11300,4408,365,391690,0 23,2,2024-09-07 09:41:31:097,683014,683014,0,0,27457729,0,3773 23,3,2024-09-07 09:41:31:757,1,539,1,0,855,8398,539,0 24,0,2024-09-07 09:41:30:923,132569,0.4,131855,0.5,265194,0.4,351909,1.75 24,1,2024-09-07 09:41:30:600,944788,944788,0,0,443540752490,4640095713,935817,7294,1677,367,392269,0 24,2,2024-09-07 09:41:31:079,681659,681659,0,0,32518610,0,4438 24,3,2024-09-07 09:41:31:686,1,539,8,0,468,6768,539,0 25,0,2024-09-07 09:41:31:342,135495,0.4,132047,0.6,258840,0.3,352661,1.75 25,1,2024-09-07 09:41:30:568,943724,943724,0,0,442831112644,4667277309,928738,12013,2973,369,391928,0 25,2,2024-09-07 09:41:31:609,680057,680057,0,0,33963228,0,3978 25,3,2024-09-07 09:41:31:003,1,539,1,0,532,5929,539,0 26,0,2024-09-07 09:41:31:719,136923,0.4,134046,0.5,281177,0.3,366184,1.75 26,1,2024-09-07 09:41:31:541,946952,946952,0,0,444838807045,4653190033,934111,10389,2452,380,391748,0 26,2,2024-09-07 09:41:30:883,681043,681043,0,0,35900618,0,4689 26,3,2024-09-07 09:41:31:943,1,539,0,0,796,5800,539,0 27,0,2024-09-07 09:41:31:724,138222,0.4,138937,0.6,276004,0.4,368059,2.25 27,1,2024-09-07 09:41:31:676,947381,947381,0,0,446332910732,4644730513,938825,7287,1269,381,391626,0 27,2,2024-09-07 09:41:30:867,680110,680045,65,0,30961019,0,5699 27,3,2024-09-07 09:41:31:016,1,539,1,0,564,4744,539,0 28,0,2024-09-07 09:41:31:390,133728,0.4,133486,0.6,267457,0.3,356080,1.75 28,1,2024-09-07 09:41:30:796,948330,948330,0,0,445581854595,4642398204,940552,6064,1714,382,391698,0 28,2,2024-09-07 09:41:31:768,682302,682302,0,0,27273771,0,2915 28,3,2024-09-07 09:41:31:779,1,539,1,0,502,5332,539,0 29,0,2024-09-07 09:41:31:364,135318,0.3,131749,0.6,258491,0.3,352219,1.75 29,1,2024-09-07 09:41:31:567,950208,950208,0,0,446788064136,4618713552,944649,4797,762,367,391809,0 29,2,2024-09-07 09:41:30:865,680650,680650,0,0,26818382,0,4986 29,3,2024-09-07 09:41:30:962,1,539,11,0,459,5805,539,0 30,0,2024-09-07 09:41:31:459,134539,0.4,130938,0.6,274511,0.4,359179,2.00 30,1,2024-09-07 09:41:30:575,949569,949569,0,0,446168452617,4628146055,942589,6078,902,380,391672,0 30,2,2024-09-07 09:41:31:276,682376,682376,0,0,25827678,0,4192 30,3,2024-09-07 09:41:30:582,1,539,1,0,519,5133,539,0 31,0,2024-09-07 09:41:31:762,138533,0.5,139044,0.6,277367,0.4,369975,2.00 31,1,2024-09-07 09:41:30:573,952545,952545,0,0,447349884297,4590586527,947214,4320,1011,356,391712,0 31,2,2024-09-07 09:41:31:275,681940,681940,0,0,28345693,0,4470 31,3,2024-09-07 09:41:31:705,1,539,1,0,220,4511,539,0 32,0,2024-09-07 09:41:31:465,136552,0.3,137268,0.5,273450,0.3,363887,1.75 32,1,2024-09-07 09:41:30:804,948644,948644,0,0,445577483390,4629743656,942835,5100,709,381,391646,0 32,2,2024-09-07 09:41:30:954,682725,682725,0,0,24826934,0,3922 32,3,2024-09-07 09:41:31:018,1,539,11,0,304,4427,539,0 33,0,2024-09-07 09:41:31:494,132478,0.3,132111,0.4,264287,0.2,351858,1.50 33,1,2024-09-07 09:41:30:576,949197,949197,0,0,446466398775,4622894103,941935,6088,1174,368,391730,0 33,2,2024-09-07 09:41:30:762,682898,682863,35,0,27617863,0,7012 33,3,2024-09-07 09:41:30:902,1,539,3,0,329,4958,539,0 34,0,2024-09-07 09:41:30:946,133364,0.3,136970,0.4,261790,0.2,353468,1.75 34,1,2024-09-07 09:41:31:044,951030,951030,0,0,446477665769,4590345713,948517,2491,22,367,391637,0 34,2,2024-09-07 09:41:30:766,684035,684035,0,0,26112739,0,4562 34,3,2024-09-07 09:41:31:688,1,539,0,0,320,4328,539,0 35,0,2024-09-07 09:41:30:881,138415,0.3,139106,0.5,279457,0.2,370950,1.75 35,1,2024-09-07 09:41:31:067,947869,947869,0,0,445557541921,4620274317,941207,5448,1214,382,391769,0 35,2,2024-09-07 09:41:31:583,681941,681941,0,0,28649932,0,4055 35,3,2024-09-07 09:41:30:916,1,539,2,0,466,5405,539,0 36,0,2024-09-07 09:41:31:521,138458,0.5,138296,0.7,276496,0.5,368291,2.00 36,1,2024-09-07 09:41:30:596,947625,947625,0,0,444015430385,4635383589,935332,10070,2223,366,391759,0 36,2,2024-09-07 09:41:31:752,682066,682066,0,0,29874184,0,3875 36,3,2024-09-07 09:41:30:864,1,539,0,0,416,7209,539,0 37,0,2024-09-07 09:41:31:398,130393,0.5,130331,0.7,260963,0.5,348023,2.00 37,1,2024-09-07 09:41:30:578,946448,946441,0,7,444463689352,4638812001,935315,8450,2676,365,391770,0 37,2,2024-09-07 09:41:31:143,679976,679961,15,0,30385836,0,5815 37,3,2024-09-07 09:41:31:767,1,539,1,0,888,7444,539,0 38,0,2024-09-07 09:41:31:437,131539,0.4,127574,0.6,266795,0.3,349957,2.00 38,1,2024-09-07 09:41:31:605,947385,947385,0,0,444760123169,4646010434,934152,10714,2519,368,391821,0 38,2,2024-09-07 09:41:30:762,681181,681134,47,0,30602852,0,6710 38,3,2024-09-07 09:41:31:002,1,539,1,0,689,6730,539,0 39,0,2024-09-07 09:41:31:766,141546,0.5,138408,0.7,269851,0.4,368392,2.00 39,1,2024-09-07 09:41:30:725,945657,945657,0,0,443934202010,4653591920,929860,12327,3470,365,391865,0 39,2,2024-09-07 09:41:31:417,682075,682075,0,0,28172698,0,3391 39,3,2024-09-07 09:41:30:715,1,539,104,0,525,6407,539,0 40,0,2024-09-07 09:41:31:496,136703,0.9,137253,1.0,273995,1.1,365747,2.75 40,1,2024-09-07 09:41:30:578,947599,947599,0,0,443180986306,4631171620,935577,9843,2179,368,391668,0 40,2,2024-09-07 09:41:31:303,680887,680886,1,0,33532829,0,5137 40,3,2024-09-07 09:41:31:142,1,539,1,0,1028,7405,539,0 41,0,2024-09-07 09:41:31:028,131673,1.2,134574,1.1,256687,1.8,349186,3.00 41,1,2024-09-07 09:41:30:768,946138,946138,0,0,443765731960,4639717215,934205,9998,1935,369,391878,0 41,2,2024-09-07 09:41:30:763,679271,679270,1,0,32332337,0,5408 41,3,2024-09-07 09:41:31:684,1,539,1,0,366,5775,539,0 42,0,2024-09-07 09:41:31:499,131229,0.7,130922,0.9,262057,0.8,347706,2.50 42,1,2024-09-07 09:41:31:441,943060,943060,0,0,443359167387,4657365894,928043,12109,2908,380,391675,0 42,2,2024-09-07 09:41:31:139,680576,680576,0,0,31533630,0,3975 42,3,2024-09-07 09:41:31:009,1,539,1,0,892,4726,539,0 43,0,2024-09-07 09:41:30:931,135385,0.6,131843,0.8,276061,0.6,362360,2.25 43,1,2024-09-07 09:41:30:577,946608,946608,0,0,444551934504,4641141366,933737,10611,2260,366,391696,0 43,2,2024-09-07 09:41:31:805,681717,681717,0,0,30860769,0,4723 43,3,2024-09-07 09:41:31:763,1,539,13,0,571,7372,539,0 44,0,2024-09-07 09:41:30:866,139029,0.4,138987,0.6,278586,0.4,369819,1.75 44,1,2024-09-07 09:41:30:569,949186,949186,0,0,445563538608,4601909050,941383,6216,1587,356,391809,0 44,2,2024-09-07 09:41:31:267,681127,681127,0,0,25672641,0,4344 44,3,2024-09-07 09:41:31:096,1,539,1,0,817,5800,539,0 45,0,2024-09-07 09:41:31:778,132913,0.5,129849,0.7,272558,0.5,357603,2.00 45,1,2024-09-07 09:41:31:012,948104,948104,0,0,446141052431,4634591708,940588,6640,876,382,391917,0 45,2,2024-09-07 09:41:31:268,682381,682381,0,0,25929122,0,3596 45,3,2024-09-07 09:41:30:937,1,539,1,0,365,5010,539,0 46,0,2024-09-07 09:41:30:954,131359,0.4,130805,0.7,262389,0.4,348006,2.00 46,1,2024-09-07 09:41:30:575,949616,949616,0,0,446344242174,4610433275,943208,5544,864,366,391709,0 46,2,2024-09-07 09:41:30:599,682386,682386,0,0,26315137,0,4443 46,3,2024-09-07 09:41:31:131,1,539,1,0,908,6393,539,0 47,0,2024-09-07 09:41:31:114,134166,0.4,134345,0.6,269515,0.3,357308,1.75 47,1,2024-09-07 09:41:30:578,950900,950900,0,0,446686089245,4611419239,945659,4402,839,365,391641,0 47,2,2024-09-07 09:41:30:914,685214,685214,0,0,26088813,0,4477 47,3,2024-09-07 09:41:31:150,1,539,1,0,600,5997,539,0 48,0,2024-09-07 09:41:31:496,139084,0.3,139282,0.4,277914,0.2,369582,1.50 48,1,2024-09-07 09:41:31:022,948270,948270,0,0,445348004245,4625086704,942452,5242,576,384,391710,0 48,2,2024-09-07 09:41:30:702,682845,682845,0,0,23973525,0,3411 48,3,2024-09-07 09:41:30:761,1,539,15,0,339,4212,539,0 49,0,2024-09-07 09:41:31:775,140943,0.3,138317,0.5,268791,0.3,367222,1.75 49,1,2024-09-07 09:41:31:024,947583,947583,0,0,445495095623,4628596071,942014,4358,1211,382,391809,0 49,2,2024-09-07 09:41:31:808,683107,683107,0,0,26500510,0,4426 49,3,2024-09-07 09:41:31:416,1,539,0,0,992,6224,539,0 50,0,2024-09-07 09:41:31:515,132053,0.3,130288,0.5,262514,0.2,349872,1.75 50,1,2024-09-07 09:41:31:016,951123,951123,0,0,446336920152,4612636251,945176,5279,668,368,391565,0 50,2,2024-09-07 09:41:31:073,681262,681262,0,0,24397534,0,4490 50,3,2024-09-07 09:41:31:294,1,539,9,0,617,5493,539,0 51,0,2024-09-07 09:41:31:698,136027,0.3,133162,0.4,259329,0.2,353505,1.75 51,1,2024-09-07 09:41:31:680,951982,951982,0,0,447722301772,4614162695,947212,3714,1056,365,391706,0 51,2,2024-09-07 09:41:31:316,682929,682929,0,0,23808945,0,3337 51,3,2024-09-07 09:41:31:038,1,539,11,0,678,3819,539,0 52,0,2024-09-07 09:41:31:421,139475,0.5,139109,0.6,278521,0.4,370860,2.00 52,1,2024-09-07 09:41:30:576,946846,946846,0,0,443801214840,4642395125,933613,11127,2106,368,391722,0 52,2,2024-09-07 09:41:31:758,678615,678577,38,0,31451878,0,6742 52,3,2024-09-07 09:41:30:681,1,539,1,0,1782,6803,539,0 53,0,2024-09-07 09:41:31:729,137281,0.7,133164,0.8,278508,0.8,365583,2.50 53,1,2024-09-07 09:41:30:774,945188,945188,0,0,443657808257,4652241644,929817,11527,3844,367,391968,0 53,2,2024-09-07 09:41:31:298,682381,682380,1,0,28179444,0,5455 53,3,2024-09-07 09:41:30:697,1,539,4,0,308,5186,539,0 54,0,2024-09-07 09:41:31:622,129779,0.6,130114,0.8,259127,0.5,345848,2.25 54,1,2024-09-07 09:41:30:582,947290,947290,0,0,444732589301,4623357658,937221,8435,1634,366,391810,0 54,2,2024-09-07 09:41:30:868,682445,682413,32,0,32033645,0,6397 54,3,2024-09-07 09:41:30:765,1,539,47,0,676,7116,539,0 55,0,2024-09-07 09:41:31:772,127357,0.5,131385,0.7,266294,0.4,346958,2.25 55,1,2024-09-07 09:41:30:765,948749,948749,0,0,444885697210,4623556096,939061,8367,1321,365,391731,0 55,2,2024-09-07 09:41:30:734,680288,680232,56,0,30240152,0,7239 55,3,2024-09-07 09:41:30:681,1,539,3,0,304,4905,539,0 56,0,2024-09-07 09:41:31:555,140531,1.0,132411,1.0,272873,1.3,365671,2.50 56,1,2024-09-07 09:41:30:579,943180,943180,0,0,443819282071,4682007839,928847,11420,2913,381,391867,0 56,2,2024-09-07 09:41:31:315,680680,680558,122,0,31675559,0,7432 56,3,2024-09-07 09:41:31:059,1,539,7,0,705,6344,539,0 57,0,2024-09-07 09:41:30:956,136350,1.6,136283,1.3,272936,2.2,364658,3.25 57,1,2024-09-07 09:41:31:014,944799,944799,0,0,443763086411,4652077419,932586,9928,2285,366,392032,0 57,2,2024-09-07 09:41:31:316,682474,682474,0,0,32560158,0,4317 57,3,2024-09-07 09:41:31:741,1,539,1,0,455,5915,539,0 58,0,2024-09-07 09:41:30:564,131615,0.9,127812,1.0,267267,1.1,349604,2.75 58,1,2024-09-07 09:41:30:576,946400,946397,0,3,444870242737,4658180464,932592,10484,3321,367,391675,3 58,2,2024-09-07 09:41:31:071,681538,681538,0,0,30884526,0,3483 58,3,2024-09-07 09:41:31:069,1,539,2,0,1043,5844,539,0 59,0,2024-09-07 09:41:31:743,130956,0.8,130951,0.9,261095,0.8,346314,2.75 59,1,2024-09-07 09:41:30:816,945047,945047,0,0,444039783414,4659707638,930132,11775,3140,369,391653,0 59,2,2024-09-07 09:41:30:585,680857,680857,0,0,29506405,0,3727 59,3,2024-09-07 09:41:31:736,1,539,1,0,1015,6536,539,0 60,0,2024-09-07 09:41:31:702,134960,0.5,135362,0.6,270430,0.4,360262,1.75 60,1,2024-09-07 09:41:30:774,949761,949761,0,0,445878007606,4625326739,943565,5257,939,370,392031,0 60,2,2024-09-07 09:41:31:152,682368,682368,0,0,28067423,0,3811 60,3,2024-09-07 09:41:31:258,1,539,5,0,409,6150,539,0 61,0,2024-09-07 09:41:31:495,138583,0.7,139161,0.8,277110,0.7,369623,2.00 61,1,2024-09-07 09:41:30:770,946362,946362,0,0,444484189390,4651366047,936433,8348,1581,382,392127,0 61,2,2024-09-07 09:41:31:117,682725,682658,67,0,28983491,0,6411 61,3,2024-09-07 09:41:31:687,1,539,4,0,607,7559,539,0 62,0,2024-09-07 09:41:31:709,136850,0.6,140421,0.8,268070,0.6,363694,2.00 62,1,2024-09-07 09:41:31:115,951402,951396,0,6,447379172931,4608439563,947230,3886,280,365,391975,6 62,2,2024-09-07 09:41:31:646,679963,679962,1,0,28120960,0,5555 62,3,2024-09-07 09:41:31:145,1,539,1,0,482,4296,539,0 63,0,2024-09-07 09:41:31:460,132485,0.4,132203,0.6,265310,0.3,352209,1.75 63,1,2024-09-07 09:41:30:806,948761,948755,0,6,445896569564,4625826090,942993,5011,751,381,391800,6 63,2,2024-09-07 09:41:30:768,681939,681939,0,0,27306821,0,4369 63,3,2024-09-07 09:41:31:732,1,539,0,0,667,5645,539,0 64,0,2024-09-07 09:41:31:523,132645,0.5,132747,0.6,264630,0.4,352735,1.75 64,1,2024-09-07 09:41:30:763,947968,947968,0,0,445288477226,4634457633,940070,6126,1772,370,391794,0 64,2,2024-09-07 09:41:31:170,687085,687066,19,0,26357421,0,6121 64,3,2024-09-07 09:41:31:141,1,539,10,0,651,5592,539,0 65,0,2024-09-07 09:41:31:687,138105,0.6,138438,0.7,276378,0.6,368329,2.00 65,1,2024-09-07 09:41:30:869,946367,946367,0,0,443474997079,4633290157,939609,5960,798,381,391901,0 65,2,2024-09-07 09:41:31:694,681523,681523,0,0,30954916,0,3367 65,3,2024-09-07 09:41:31:683,1,539,37,0,782,6322,539,0 66,0,2024-09-07 09:41:31:764,137545,0.6,137088,0.8,274267,0.6,365433,2.25 66,1,2024-09-07 09:41:31:294,948352,948352,0,0,445405966611,4633489960,942202,5428,722,380,391743,0 66,2,2024-09-07 09:41:31:133,683777,683774,3,0,28020888,0,5455 66,3,2024-09-07 09:41:31:085,1,539,0,0,291,4564,539,0 67,0,2024-09-07 09:41:31:413,130879,0.5,130581,0.7,261701,0.5,348242,2.00 67,1,2024-09-07 09:41:30:766,948479,948478,0,1,445192685578,4632491564,942196,5468,814,380,391787,1 67,2,2024-09-07 09:41:30:585,684351,684336,15,0,27353690,0,6205 67,3,2024-09-07 09:41:31:751,1,539,1,0,595,5736,539,0 68,0,2024-09-07 09:41:30:596,132280,0.5,132128,0.7,263208,0.5,352303,2.00 68,1,2024-09-07 09:41:30:586,944044,944044,0,0,443022733126,4656787279,931628,9015,3401,381,391953,0 68,2,2024-09-07 09:41:31:044,678014,677914,100,0,34967332,0,8578 68,3,2024-09-07 09:41:30:733,1,539,15,0,417,6887,539,0 69,0,2024-09-07 09:41:31:749,137440,0.6,138444,0.7,275914,0.6,366410,2.25 69,1,2024-09-07 09:41:31:023,943494,943494,0,0,443576240441,4670782352,931231,9501,2762,383,391994,0 69,2,2024-09-07 09:41:31:738,680113,680084,29,0,36084313,0,6912 69,3,2024-09-07 09:41:30:761,1,539,0,0,698,7863,539,0 70,0,2024-09-07 09:41:31:539,136327,0.9,136751,1.0,275146,0.8,364538,2.50 70,1,2024-09-07 09:41:30:800,949459,949459,0,0,446152755776,4626888762,942201,6496,762,366,391725,0 70,2,2024-09-07 09:41:31:327,682744,682744,0,0,30175608,0,4323 70,3,2024-09-07 09:41:30:745,1,539,97,0,854,5812,539,0 71,0,2024-09-07 09:41:31:355,130948,1.1,130752,1.1,262633,1.5,350430,2.75 71,1,2024-09-07 09:41:31:601,946865,946865,0,0,444334274048,4635180370,935467,10142,1256,368,391738,0 71,2,2024-09-07 09:41:31:067,681342,681342,0,0,30986587,0,4352 71,3,2024-09-07 09:41:31:751,1,539,3,0,644,6281,539,0 72,0,2024-09-07 09:41:31:028,136191,0.5,133073,0.7,259723,0.5,353176,2.00 72,1,2024-09-07 09:41:31:022,945584,945584,0,0,444346721654,4658141950,932122,11178,2284,369,391819,0 72,2,2024-09-07 09:41:31:765,679894,679894,0,0,32453499,0,3983 72,3,2024-09-07 09:41:31:757,1,539,2,0,564,7582,539,0 73,0,2024-09-07 09:41:31:134,133042,0.4,136236,0.6,278904,0.4,363187,2.00 73,1,2024-09-07 09:41:30:773,948118,948118,0,0,444524053331,4613270622,941876,5817,425,367,391858,0 73,2,2024-09-07 09:41:31:738,681501,681501,0,0,32875455,0,4728 73,3,2024-09-07 09:41:30:971,1,539,4,0,486,6673,539,0 74,0,2024-09-07 09:41:31:321,139772,0.5,143090,0.7,272909,0.5,370155,2.00 74,1,2024-09-07 09:41:30:636,946191,946191,0,0,444325152036,4636798292,935735,8531,1925,381,391762,0 74,2,2024-09-07 09:41:31:004,682123,682123,0,0,28657999,0,4253 74,3,2024-09-07 09:41:31:442,1,539,0,0,522,6371,539,0 75,0,2024-09-07 09:41:31:774,134880,0.6,134020,0.8,268992,0.5,359177,2.25 75,1,2024-09-07 09:41:31:585,947457,947457,0,0,444591102970,4632072102,939561,7033,863,380,391739,0 75,2,2024-09-07 09:41:31:349,680385,680385,0,0,34381948,0,4766 75,3,2024-09-07 09:41:31:067,1,539,1,0,702,6259,539,0 76,0,2024-09-07 09:41:30:611,131274,0.5,130603,0.7,261695,0.5,349703,2.25 76,1,2024-09-07 09:41:30:812,947074,947074,0,0,444686717039,4635738945,940438,5669,967,382,391723,0 76,2,2024-09-07 09:41:31:060,683593,683590,3,0,28989927,0,5265 76,3,2024-09-07 09:41:31:151,1,539,6,0,227,4947,539,0 77,0,2024-09-07 09:41:31:693,133581,0.5,134084,0.7,268266,0.5,356317,2.00 77,1,2024-09-07 09:41:30:845,947205,947205,0,0,445179187191,4640657616,940357,6252,596,381,391869,0 77,2,2024-09-07 09:41:31:282,681937,681937,0,0,28583785,0,3890 77,3,2024-09-07 09:41:31:095,1,539,22,0,401,5686,539,0 78,0,2024-09-07 09:41:31:718,139209,0.4,138624,0.6,278137,0.4,368118,2.00 78,1,2024-09-07 09:41:30:628,947307,947307,0,0,444329450195,4630500749,936531,8249,2527,367,391670,0 78,2,2024-09-07 09:41:31:414,684089,684076,13,0,26536601,0,8313 78,3,2024-09-07 09:41:31:133,1,539,1,0,311,4612,539,0 79,0,2024-09-07 09:41:31:349,132525,0.4,135729,0.6,278056,0.4,361919,2.25 79,1,2024-09-07 09:41:30:584,949874,949874,0,0,445854033565,4617135896,942134,6383,1357,367,391682,0 79,2,2024-09-07 09:41:31:070,682075,682075,0,0,25465142,0,4195 79,3,2024-09-07 09:41:30:751,1,539,1,0,418,6349,539,0 80,0,2024-09-07 09:41:31:086,131125,0.5,134800,0.6,257755,0.5,349288,2.00 80,1,2024-09-07 09:41:31:621,946853,946853,0,0,445250051073,4631626948,941020,5404,429,368,392269,0 80,2,2024-09-07 09:41:31:097,683898,683898,0,0,26140068,0,4433 80,3,2024-09-07 09:41:30:575,1,539,1,0,296,6295,539,0 81,0,2024-09-07 09:41:31:541,132709,0.5,135850,0.7,259043,0.5,351913,2.00 81,1,2024-09-07 09:41:31:654,946034,946034,0,0,444171001450,4640673947,938625,6769,640,382,391885,0 81,2,2024-09-07 09:41:31:130,681815,681752,63,0,29136237,0,5932 81,3,2024-09-07 09:41:31:131,1,539,6,0,719,6072,539,0 82,0,2024-09-07 09:41:31:538,138836,0.4,138973,0.7,278484,0.4,369893,2.00 82,1,2024-09-07 09:41:30:582,948632,948628,0,4,445631719208,4630419074,943545,4262,821,381,391768,4 82,2,2024-09-07 09:41:31:691,683774,683774,0,0,24233573,0,4484 82,3,2024-09-07 09:41:31:752,1,539,1,0,363,5023,539,0 83,0,2024-09-07 09:41:31:537,137858,0.6,137801,0.8,275174,0.6,365693,2.25 83,1,2024-09-07 09:41:30:551,947181,947181,0,0,444162316888,4627406134,940818,5906,457,382,391709,0 83,2,2024-09-07 09:41:30:763,682427,682402,25,0,26221843,0,5612 83,3,2024-09-07 09:41:30:753,1,539,1,0,1260,6540,539,0 84,0,2024-09-07 09:41:31:808,130571,0.7,130810,0.9,261215,0.7,349104,2.25 84,1,2024-09-07 09:41:31:068,946169,946169,0,0,443885835997,4637801065,935919,8723,1527,367,391967,0 84,2,2024-09-07 09:41:30:591,681384,681354,30,0,34529947,0,5971 84,3,2024-09-07 09:41:31:150,1,539,14,0,908,7454,539,0 85,0,2024-09-07 09:41:31:110,126833,0.5,126813,0.7,269189,0.5,348326,2.25 85,1,2024-09-07 09:41:30:569,942673,942673,0,0,443743083033,4682424637,928380,11635,2658,381,392092,0 85,2,2024-09-07 09:41:30:868,680307,680307,0,0,32235815,0,4255 85,3,2024-09-07 09:41:30:685,1,539,38,0,789,6140,539,0 86,0,2024-09-07 09:41:30:879,137439,0.6,141164,0.7,269995,0.6,366026,2.25 86,1,2024-09-07 09:41:30:825,945368,945368,0,0,444995804987,4659226594,934042,9347,1979,366,391995,0 86,2,2024-09-07 09:41:30:857,680128,680127,1,0,34644745,0,5004 86,3,2024-09-07 09:41:30:600,1,539,2,0,308,7617,539,0 87,0,2024-09-07 09:41:31:354,138144,0.9,137876,0.9,275769,1.1,368441,2.25 87,1,2024-09-07 09:41:30:567,944325,944325,0,0,443610626566,4646186211,932103,10506,1716,366,392076,0 87,2,2024-09-07 09:41:31:067,681905,681899,6,0,30631947,0,6323 87,3,2024-09-07 09:41:31:803,1,539,11,0,473,7973,539,0 88,0,2024-09-07 09:41:31:442,133348,0.5,133931,0.6,267671,0.4,355932,1.75 88,1,2024-09-07 09:41:30:570,944173,944173,0,0,444014939656,4647312841,931520,10035,2618,365,392084,0 88,2,2024-09-07 09:41:30:688,681572,681572,0,0,33045321,0,4465 88,3,2024-09-07 09:41:31:267,1,539,2,0,435,6803,539,0 89,0,2024-09-07 09:41:31:776,135476,0.4,131335,0.6,259456,0.4,352300,1.75 89,1,2024-09-07 09:41:30:551,944298,944298,0,0,443073749202,4658378278,932857,9622,1819,382,391866,0 89,2,2024-09-07 09:41:31:141,680386,680386,0,0,31432641,0,3173 89,3,2024-09-07 09:41:31:806,1,539,0,0,468,8746,539,0 90,0,2024-09-07 09:41:31:634,131155,0.4,134666,0.6,274538,0.4,358858,1.75 90,1,2024-09-07 09:41:30:604,945797,945797,0,0,444169868007,4646276856,937272,7790,735,380,391825,0 90,2,2024-09-07 09:41:31:409,679709,679709,0,0,33672174,0,3608 90,3,2024-09-07 09:41:30:937,1,539,2,0,322,6511,539,0 91,0,2024-09-07 09:41:30:970,139156,0.5,134938,0.6,282167,0.4,370173,1.75 91,1,2024-09-07 09:41:30:563,943764,943764,0,0,444164242597,4666674665,932151,9600,2013,381,392047,0 91,2,2024-09-07 09:41:31:334,682616,682616,0,0,29704348,0,4713 91,3,2024-09-07 09:41:30:621,1,539,21,0,216,5054,539,0 92,0,2024-09-07 09:41:31:445,137964,0.5,140955,0.6,268896,0.5,364063,1.75 92,1,2024-09-07 09:41:30:582,946549,946549,0,0,445178624796,4648024260,939023,6318,1208,381,392136,0 92,2,2024-09-07 09:41:31:349,681841,681841,0,0,26775016,0,3259 92,3,2024-09-07 09:41:31:015,1,539,5,0,167,4610,539,0 93,0,2024-09-07 09:41:30:978,132774,0.4,136075,0.6,259843,0.3,352155,1.75 93,1,2024-09-07 09:41:30:821,946756,946756,0,0,443939921037,4632242408,936439,8583,1734,366,391776,0 93,2,2024-09-07 09:41:30:941,682035,682035,0,0,32536236,0,4913 93,3,2024-09-07 09:41:31:406,1,539,0,0,190,4777,539,0 94,0,2024-09-07 09:41:31:770,132466,0.3,133385,0.5,266593,0.3,354390,1.75 94,1,2024-09-07 09:41:30:577,947298,947298,0,0,444917508952,4637571422,941184,5738,376,381,391850,0 94,2,2024-09-07 09:41:30:768,682865,682837,28,0,27866077,0,6179 94,3,2024-09-07 09:41:31:693,1,539,12,0,576,6703,539,0 95,0,2024-09-07 09:41:31:345,139166,0.3,139186,0.5,278529,0.3,370903,1.75 95,1,2024-09-07 09:41:30:867,948713,948713,0,0,445917795193,4631285300,941352,6702,659,365,391852,0 95,2,2024-09-07 09:41:31:017,681527,681527,0,0,26587505,0,3308 95,3,2024-09-07 09:41:31:714,1,539,1,0,718,8227,539,0 96,0,2024-09-07 09:41:31:038,138134,0.4,138285,0.5,276496,0.3,367636,1.75 96,1,2024-09-07 09:41:31:594,946601,946601,0,0,444406828146,4632868882,940192,5494,915,384,391964,0 96,2,2024-09-07 09:41:31:268,682225,682225,0,0,27575953,0,4180 96,3,2024-09-07 09:41:31:149,1,539,2,0,411,5677,539,0 97,0,2024-09-07 09:41:31:347,130793,0.3,130705,0.5,262546,0.3,348367,1.50 97,1,2024-09-07 09:41:30:769,948813,948813,0,0,445424360466,4617949326,943042,4826,945,367,392140,0 97,2,2024-09-07 09:41:30:611,683570,683570,0,0,27171541,0,3679 97,3,2024-09-07 09:41:30:577,1,539,0,0,242,6096,539,0 98,0,2024-09-07 09:41:31:723,131775,0.3,131875,0.4,264660,0.2,352072,1.50 98,1,2024-09-07 09:41:30:576,947784,947784,0,0,444178843724,4623252159,942360,4623,801,381,391997,0 98,2,2024-09-07 09:41:30:769,682550,682550,0,0,26742780,0,4336 98,3,2024-09-07 09:41:30:701,1,539,3,0,840,8307,539,0 99,0,2024-09-07 09:41:31:570,138137,0.3,138771,0.4,276275,0.3,368853,1.75 99,1,2024-09-07 09:41:31:726,948076,948076,0,0,444449224548,4624391522,942096,5051,929,380,392069,0 99,2,2024-09-07 09:41:31:417,682563,682563,0,0,32270150,0,4276 99,3,2024-09-07 09:41:30:581,1,539,2,0,606,5316,539,0 100,0,2024-09-07 09:41:31:476,137576,0.8,137888,0.9,275395,0.9,367977,2.50 100,1,2024-09-07 09:41:30:552,942683,942683,0,0,442147069826,4671604716,928327,11256,3100,378,391989,0 100,2,2024-09-07 09:41:31:823,680151,680140,11,0,31419590,0,5417 100,3,2024-09-07 09:41:31:733,1,539,9,0,627,9568,539,0 101,0,2024-09-07 09:41:31:722,135035,1.2,131584,1.1,257962,1.1,352639,2.25 101,1,2024-09-07 09:41:30:559,944174,944174,0,0,443360024015,4655866891,931750,10022,2402,368,391847,0 101,2,2024-09-07 09:41:31:758,677668,677668,0,0,36432254,0,4871 101,3,2024-09-07 09:41:30:942,1,539,2,0,1250,8342,539,0 102,0,2024-09-07 09:41:30:946,128268,0.6,132210,0.7,268232,0.5,351164,2.00 102,1,2024-09-07 09:41:31:142,944212,944212,0,0,443196988776,4651953783,931989,10201,2022,369,391891,0 102,2,2024-09-07 09:41:31:741,681282,681228,54,0,30846559,0,6768 102,3,2024-09-07 09:41:31:617,1,539,5,0,466,6229,539,0 103,0,2024-09-07 09:41:31:600,141002,0.5,140960,0.7,265683,0.5,366080,2.00 103,1,2024-09-07 09:41:31:635,942831,942831,0,0,443079215877,4673553268,928627,11004,3200,381,391862,0 103,2,2024-09-07 09:41:30:583,680247,680247,0,0,32582973,0,3766 103,3,2024-09-07 09:41:30:762,1,539,1,0,916,6330,539,0 104,0,2024-09-07 09:41:31:077,137774,0.7,137900,0.9,275201,0.7,368094,2.25 104,1,2024-09-07 09:41:31:602,945717,945717,0,0,443668430540,4656431831,932650,10516,2551,365,392168,0 104,2,2024-09-07 09:41:31:678,679940,679940,0,0,31621510,0,3941 104,3,2024-09-07 09:41:31:424,1,539,26,0,1245,9296,539,0 105,0,2024-09-07 09:41:31:064,133216,0.9,129788,1.1,272073,1.1,357215,2.75 105,1,2024-09-07 09:41:30:564,946789,946789,0,0,444634466751,4654440938,935181,9839,1769,364,392009,0 105,2,2024-09-07 09:41:31:324,680162,680162,0,0,31719878,0,4360 105,3,2024-09-07 09:41:31:306,1,539,1,0,399,7505,539,0 106,0,2024-09-07 09:41:30:948,127320,0.7,130409,0.9,267240,0.7,348361,2.50 106,1,2024-09-07 09:41:31:758,946009,946009,0,0,444088079842,4654903554,933387,10972,1650,368,391914,0 106,2,2024-09-07 09:41:30:765,680380,680380,0,0,29932210,0,2920 106,3,2024-09-07 09:41:30:685,1,539,2,0,1224,7994,539,0 107,0,2024-09-07 09:41:31:105,134051,0.7,133957,0.8,268048,0.7,357394,2.00 107,1,2024-09-07 09:41:30:585,943808,943808,0,0,443135620858,4668310032,930898,11517,1393,381,392234,0 107,2,2024-09-07 09:41:31:294,679776,679775,1,0,32758951,0,5024 107,3,2024-09-07 09:41:31:758,1,539,2,0,733,7987,539,0 108,0,2024-09-07 09:41:31:774,138663,0.4,139305,0.6,277438,0.4,369717,1.75 108,1,2024-09-07 09:41:31:308,946300,946300,0,0,444383536990,4633103575,938376,6952,972,367,391894,0 108,2,2024-09-07 09:41:31:759,681545,681545,0,0,29355553,0,4246 108,3,2024-09-07 09:41:31:342,1,539,8,0,749,10414,539,0 109,0,2024-09-07 09:41:31:744,137940,0.4,136789,0.6,274134,0.4,366175,1.75 109,1,2024-09-07 09:41:30:583,943832,943832,0,0,444122060613,4656501008,935520,7095,1217,382,392132,0 109,2,2024-09-07 09:41:30:924,679736,679736,0,0,29268318,0,3617 109,3,2024-09-07 09:41:31:141,1,539,5,0,630,6632,539,0 110,0,2024-09-07 09:41:31:766,131269,0.4,127683,0.6,267264,0.3,350713,1.75 110,1,2024-09-07 09:41:31:643,947843,947843,0,0,445958583778,4628963886,940308,5613,1922,368,392045,0 110,2,2024-09-07 09:41:31:304,682132,682132,0,0,27733318,0,4067 110,3,2024-09-07 09:41:30:697,1,539,0,0,722,7364,539,0 111,0,2024-09-07 09:41:31:421,133035,0.3,132317,0.5,264896,0.2,353213,1.75 111,1,2024-09-07 09:41:31:003,949230,949230,0,0,446253700444,4624362860,944685,4188,357,380,391690,0 111,2,2024-09-07 09:41:31:151,682326,682326,0,0,28296248,0,4823 111,3,2024-09-07 09:41:30:937,1,539,1,0,379,6044,539,0 112,0,2024-09-07 09:41:30:933,139769,0.3,139108,0.4,279080,0.2,371100,1.50 112,1,2024-09-07 09:41:30:827,948176,948176,0,0,445251224280,4620118529,942268,5217,691,380,391624,0 112,2,2024-09-07 09:41:31:133,681908,681907,1,0,26813340,0,5036 112,3,2024-09-07 09:41:30:604,1,539,32,0,282,5108,539,0 113,0,2024-09-07 09:41:30:913,138001,0.3,137918,0.5,276201,0.3,367900,1.75 113,1,2024-09-07 09:41:31:685,950733,950733,0,0,446466189249,4604880741,945477,4441,815,365,391664,0 113,2,2024-09-07 09:41:31:303,684749,684749,0,0,24382397,0,3813 113,3,2024-09-07 09:41:30:700,1,539,1,0,510,6132,539,0 114,0,2024-09-07 09:41:30:875,132098,0.3,132939,0.5,264269,0.2,353106,1.75 114,1,2024-09-07 09:41:30:716,948127,948127,0,0,446214850808,4631217248,941213,5298,1616,381,391565,0 114,2,2024-09-07 09:41:30:874,683306,683305,1,0,26573369,0,5069 114,3,2024-09-07 09:41:31:278,1,539,36,0,395,4296,539,0 115,0,2024-09-07 09:41:30:562,132267,0.3,132945,0.4,264821,0.2,351973,1.50 115,1,2024-09-07 09:41:30:573,948676,948676,0,0,445873929059,4630313325,941273,6046,1357,382,391757,0 115,2,2024-09-07 09:41:31:130,684560,684560,0,0,25973426,0,4382 115,3,2024-09-07 09:41:31:003,1,539,0,0,167,3028,539,0 116,0,2024-09-07 09:41:31:700,137105,0.6,136869,0.8,274494,0.5,367043,2.00 116,1,2024-09-07 09:41:30:804,943841,943841,0,0,443938442674,4674828658,933170,8225,2446,380,392089,0 116,2,2024-09-07 09:41:31:762,680893,680893,0,0,33125427,0,4475 116,3,2024-09-07 09:41:30:918,1,539,1,0,415,6671,539,0 117,0,2024-09-07 09:41:30:970,138454,0.7,137835,0.8,276275,0.8,369117,2.00 117,1,2024-09-07 09:41:31:581,945101,945101,0,0,444133789634,4645746613,935062,8813,1226,369,392033,0 117,2,2024-09-07 09:41:31:152,683876,683876,0,0,28987605,0,4303 117,3,2024-09-07 09:41:31:062,1,539,1,0,490,7271,539,0 118,0,2024-09-07 09:41:31:772,129352,0.6,132922,0.7,271051,0.6,354324,2.00 118,1,2024-09-07 09:41:30:588,944216,944216,0,0,443600591129,4658715063,930708,10384,3124,366,392054,0 118,2,2024-09-07 09:41:31:592,680621,680621,0,0,31586759,0,2842 118,3,2024-09-07 09:41:31:769,1,539,2,0,248,5942,539,0 119,0,2024-09-07 09:41:31:375,131250,0.6,131767,0.7,263149,0.6,350436,2.00 119,1,2024-09-07 09:41:30:551,945433,945433,0,0,444163902129,4650391597,933698,9967,1768,367,391857,0 119,2,2024-09-07 09:41:31:270,681746,681746,0,0,29366988,0,4174 119,3,2024-09-07 09:41:31:330,1,539,12,0,1358,9852,539,0 120,0,2024-09-07 09:41:31:564,134401,0.6,134147,0.8,269552,0.6,359592,2.25 120,1,2024-09-07 09:41:30:940,946140,946140,0,0,443843002674,4647492318,936836,8523,781,367,392144,0 120,2,2024-09-07 09:41:30:771,681128,681127,1,0,33996336,0,5281 120,3,2024-09-07 09:41:31:293,1,539,6,0,279,6632,539,0 121,0,2024-09-07 09:41:31:715,138901,1.2,138239,1.1,277033,1.7,369208,2.25 121,1,2024-09-07 09:41:31:655,946071,946071,0,0,444064758275,4637719156,937327,8043,701,366,391840,0 121,2,2024-09-07 09:41:31:131,681315,681315,0,0,31222403,0,4157 121,3,2024-09-07 09:41:30:734,1,539,2,0,330,6341,539,0 122,0,2024-09-07 09:41:31:762,135861,0.8,132164,0.9,276920,1.0,363889,2.25 122,1,2024-09-07 09:41:30:870,945069,945069,0,0,444413069436,4657515776,932605,10577,1887,366,392130,0 122,2,2024-09-07 09:41:31:319,681748,681673,75,0,35415077,0,5989 122,3,2024-09-07 09:41:30:597,1,539,1,0,512,8894,539,0 123,0,2024-09-07 09:41:30:950,131619,0.8,127917,0.8,267844,0.9,350861,2.25 123,1,2024-09-07 09:41:30:564,945276,945276,0,0,443525213881,4661537252,930058,12713,2505,369,392039,0 123,2,2024-09-07 09:41:31:025,679399,679398,1,0,30146976,0,5215 123,3,2024-09-07 09:41:31:151,1,539,1,0,478,6146,539,0 124,0,2024-09-07 09:41:30:953,136900,0.3,136946,0.5,257973,0.3,355593,1.75 124,1,2024-09-07 09:41:31:042,947768,947768,0,0,444281320399,4616036170,940950,5685,1133,365,392178,0 124,2,2024-09-07 09:41:31:022,683918,683865,53,0,27585027,0,6487 124,3,2024-09-07 09:41:30:761,1,539,8,0,490,5530,539,0 125,0,2024-09-07 09:41:31:422,139029,0.4,138849,0.5,278852,0.3,370874,1.75 125,1,2024-09-07 09:41:30:863,946478,946478,0,0,443732669369,4629079724,939493,6001,984,382,391813,0 125,2,2024-09-07 09:41:31:116,684490,684490,0,0,27595418,0,4534 125,3,2024-09-07 09:41:31:151,1,539,1,0,709,6335,539,0 126,0,2024-09-07 09:41:31:441,138230,0.4,142186,0.6,271973,0.4,368292,1.75 126,1,2024-09-07 09:41:30:551,949622,949622,0,0,445874095637,4612985657,944931,4379,312,365,391987,0 126,2,2024-09-07 09:41:30:610,682669,682669,0,0,28383852,0,4539 126,3,2024-09-07 09:41:30:912,1,539,0,0,268,5944,539,0 127,0,2024-09-07 09:41:31:624,131102,0.3,131410,0.5,262318,0.3,348668,1.75 127,1,2024-09-07 09:41:30:576,947715,947715,0,0,444344747151,4621438557,938338,7871,1506,364,392187,0 127,2,2024-09-07 09:41:30:654,681873,681869,4,0,27124474,0,5305 127,3,2024-09-07 09:41:31:267,1,539,1,0,968,5331,539,0 128,0,2024-09-07 09:41:31:522,132670,0.3,132665,0.4,264953,0.2,352862,1.50 128,1,2024-09-07 09:41:31:605,946993,946993,0,0,445950703812,4630642042,940483,5774,736,367,392031,0 128,2,2024-09-07 09:41:31:383,683568,683568,0,0,25467165,0,3171 128,3,2024-09-07 09:41:30:767,1,539,4,0,1082,8583,539,0 129,0,2024-09-07 09:41:31:001,139233,0.3,138600,0.4,277773,0.2,369239,1.50 129,1,2024-09-07 09:41:30:569,944171,944171,0,0,443228512447,4642426574,935137,7088,1946,379,391962,0 129,2,2024-09-07 09:41:30:695,682854,682850,4,0,27741299,0,5335 129,3,2024-09-07 09:41:30:697,1,539,1,0,506,7408,539,0 130,0,2024-09-07 09:41:31:718,138790,0.4,138266,0.6,277448,0.4,369444,1.75 130,1,2024-09-07 09:41:30:584,948024,948024,0,0,445160047226,4622046258,943217,4419,388,381,391825,0 130,2,2024-09-07 09:41:31:126,683191,683191,0,0,27669366,0,4067 130,3,2024-09-07 09:41:31:305,1,539,2,0,960,7446,539,0 131,0,2024-09-07 09:41:31:953,132528,0.4,132978,0.5,266266,0.3,353506,1.75 131,1,2024-09-07 09:41:31:825,947707,947707,0,0,445209904961,4641514735,940811,5704,1192,381,391865,0 131,2,2024-09-07 09:41:30:571,682623,682623,0,0,25739504,0,3979 131,3,2024-09-07 09:41:31:693,1,539,9,0,392,6590,539,0 132,0,2024-09-07 09:41:31:422,131932,0.4,132892,0.6,264673,0.4,352457,1.75 132,1,2024-09-07 09:41:30:593,943741,943741,0,0,443204675450,4658153756,931251,10292,2198,381,392532,0 132,2,2024-09-07 09:41:30:709,681497,681480,17,0,33509979,0,6451 132,3,2024-09-07 09:41:31:688,1,539,2,0,804,8773,539,0 133,0,2024-09-07 09:41:31:525,133053,0.4,136222,0.6,279388,0.3,363932,1.75 133,1,2024-09-07 09:41:30:684,943555,943555,0,0,443559677660,4670844523,930965,10899,1691,383,391914,0 133,2,2024-09-07 09:41:31:115,682405,682355,50,0,33902971,0,6861 133,3,2024-09-07 09:41:31:303,1,539,6,0,479,6316,539,0 134,0,2024-09-07 09:41:30:974,139038,0.5,138986,0.7,278308,0.5,370331,2.00 134,1,2024-09-07 09:41:30:598,945286,945286,0,0,443064570336,4641056416,933885,9254,2147,366,391718,0 134,2,2024-09-07 09:41:31:758,681941,681917,24,0,31919938,0,6207 134,3,2024-09-07 09:41:30:753,1,539,1,0,739,6362,539,0 135,0,2024-09-07 09:41:31:101,130428,0.9,130458,0.9,276947,1.1,356681,2.25 135,1,2024-09-07 09:41:31:593,944981,944981,0,0,444001264314,4665172607,933336,10078,1567,380,391805,0 135,2,2024-09-07 09:41:30:687,681658,681658,0,0,32149745,0,4503 135,3,2024-09-07 09:41:31:003,1,539,1,0,900,5388,539,0 136,0,2024-09-07 09:41:31:621,132256,0.5,132497,0.7,263868,0.5,351409,2.00 136,1,2024-09-07 09:41:31:441,945744,945744,0,0,443309773789,4643376842,935355,9119,1270,381,392135,0 136,2,2024-09-07 09:41:31:140,682518,682503,15,0,31309192,0,6007 136,3,2024-09-07 09:41:31:107,1,539,1,0,637,6323,539,0 137,0,2024-09-07 09:41:30:948,138213,0.5,134740,0.7,263970,0.5,358619,2.00 137,1,2024-09-07 09:41:30:580,944105,944105,0,0,443804972784,4646836139,930640,10792,2673,366,391898,0 137,2,2024-09-07 09:41:31:706,680821,680821,0,0,32968397,0,3185 137,3,2024-09-07 09:41:30:769,1,539,10,0,484,7088,539,0 138,0,2024-09-07 09:41:31:743,138222,0.8,138490,0.9,277499,0.9,368256,2.00 138,1,2024-09-07 09:41:31:685,945209,945209,0,0,444561454832,4657342281,932462,10776,1971,368,391954,0 138,2,2024-09-07 09:41:30:586,681576,681576,0,0,30327283,0,4988 138,3,2024-09-07 09:41:30:632,1,539,7,0,1200,8621,539,0 139,0,2024-09-07 09:41:31:368,136241,1.2,136654,1.0,273253,1.8,364588,2.50 139,1,2024-09-07 09:41:30:595,941297,941297,0,0,441726182385,4679190824,925339,12496,3462,380,392109,0 139,2,2024-09-07 09:41:30:694,677497,677497,0,0,33686059,0,3097 139,3,2024-09-07 09:41:31:669,1,539,1,0,432,6079,539,0 140,0,2024-09-07 09:41:31:592,131937,0.3,131023,0.5,263041,0.2,351026,1.75 140,1,2024-09-07 09:41:31:537,950708,950708,0,0,447375785294,4609179619,946123,4047,538,364,391606,0 140,2,2024-09-07 09:41:30:698,682854,682853,1,0,25823393,0,5036 140,3,2024-09-07 09:41:30:767,1,539,1,0,297,4904,539,0 141,0,2024-09-07 09:41:31:704,132707,0.3,136323,0.4,260398,0.2,353023,1.50 141,1,2024-09-07 09:41:30:866,948907,948907,0,0,445981752690,4629339294,941797,6024,1086,379,391614,0 141,2,2024-09-07 09:41:31:686,682910,682899,11,0,27492729,0,5369 141,3,2024-09-07 09:41:31:045,1,539,20,0,391,6021,539,0 142,0,2024-09-07 09:41:31:307,139851,0.3,138883,0.5,278283,0.3,371920,1.50 142,1,2024-09-07 09:41:30:595,946836,946836,0,0,445169071460,4636794953,941164,5212,460,382,392102,0 142,2,2024-09-07 09:41:31:299,681308,681276,32,0,27999127,0,6028 142,3,2024-09-07 09:41:31:751,1,539,0,0,484,5563,539,0 143,0,2024-09-07 09:41:31:370,137816,0.4,137856,0.6,276547,0.4,367815,1.75 143,1,2024-09-07 09:41:30:593,949147,949147,0,0,445803717891,4623481977,943059,5529,559,367,391722,0 143,2,2024-09-07 09:41:30:780,683079,683079,0,0,27454558,0,3123 143,3,2024-09-07 09:41:31:139,1,539,2,0,462,6605,539,0 144,0,2024-09-07 09:41:31:510,127670,0.6,131365,0.8,267313,0.6,349926,2.00 144,1,2024-09-07 09:41:30:570,944346,944346,0,0,443842845106,4654599250,934945,7433,1968,381,391733,0 144,2,2024-09-07 09:41:31:758,682592,682592,0,0,26472872,0,3673 144,3,2024-09-07 09:41:31:744,1,539,59,0,249,5311,539,0 145,0,2024-09-07 09:41:31:367,127647,0.5,127651,0.7,270993,0.4,348788,2.25 145,1,2024-09-07 09:41:30:553,943978,943978,0,0,442971056246,4659408028,931595,9914,2469,382,391759,0 145,2,2024-09-07 09:41:31:429,679489,679407,82,0,32359037,0,7814 145,3,2024-09-07 09:41:30:911,1,539,1,0,622,7490,539,0 146,0,2024-09-07 09:41:31:608,137397,0.5,136885,0.7,274879,0.5,365517,2.00 146,1,2024-09-07 09:41:31:609,945343,945343,0,0,443999736255,4662483731,930891,10649,3803,367,391770,0 146,2,2024-09-07 09:41:31:701,680136,680130,6,0,30477431,0,5151 146,3,2024-09-07 09:41:31:274,1,539,3,0,1520,9060,539,0 147,0,2024-09-07 09:41:31:713,138292,0.6,138101,0.7,275808,0.6,368324,2.25 147,1,2024-09-07 09:41:31:374,948363,948363,0,0,445711701213,4628048137,941069,6414,880,367,391791,0 147,2,2024-09-07 09:41:31:017,682040,682040,0,0,27253732,0,2968 147,3,2024-09-07 09:41:30:942,1,539,1,0,1626,8612,539,0 0,0,2024-09-07 09:41:41:737,131670,0.5,131752,0.7,279523,0.5,361730,2.00 0,1,2024-09-07 09:41:40:817,947048,947048,0,0,445234352154,4659281686,939487,6718,843,369,391896,0 0,2,2024-09-07 09:41:41:076,684572,684572,0,0,27476950,0,4480 0,3,2024-09-07 09:41:40:982,1,540,6,0,431,7678,540,0 1,0,2024-09-07 09:41:41:774,138852,0.9,137855,0.9,277193,1.2,369966,2.00 1,1,2024-09-07 09:41:40:561,946052,946052,0,0,443679241356,4647035632,937295,7287,1470,370,391859,0 1,2,2024-09-07 09:41:40:650,683874,683874,0,0,26827170,0,3380 1,3,2024-09-07 09:41:41:320,1,540,2,0,269,6633,540,0 2,0,2024-09-07 09:41:41:579,136196,0.6,136086,0.8,271897,0.6,362587,2.00 2,1,2024-09-07 09:41:40:869,949248,949248,0,0,445942973556,4632807036,944140,4180,928,379,391745,0 2,2,2024-09-07 09:41:41:266,683201,683201,0,0,25312402,0,3594 2,3,2024-09-07 09:41:40:690,1,540,2,0,357,4770,540,0 3,0,2024-09-07 09:41:41:753,132106,0.4,132021,0.6,263451,0.3,351284,2.00 3,1,2024-09-07 09:41:41:628,948366,948366,0,0,445806011409,4638204787,940897,6766,703,379,391716,0 3,2,2024-09-07 09:41:41:143,683599,683576,23,0,26526017,0,5851 3,3,2024-09-07 09:41:41:754,1,540,2,0,275,3570,540,0 4,0,2024-09-07 09:41:41:831,129544,0.3,133073,0.5,271337,0.3,355214,1.75 4,1,2024-09-07 09:41:40:615,944743,944743,0,0,442846563582,4687037375,928350,12704,3689,370,391992,0 4,2,2024-09-07 09:41:41:028,680711,680711,0,0,32547583,0,4534 4,3,2024-09-07 09:41:41:035,1,540,13,0,448,6880,540,0 5,0,2024-09-07 09:41:41:516,139315,0.4,139495,0.5,278967,0.4,370645,1.75 5,1,2024-09-07 09:41:40:773,945838,945838,0,0,445297407186,4682671455,933061,10361,2416,367,392005,0 5,2,2024-09-07 09:41:41:848,680548,680548,0,0,31383129,0,3582 5,3,2024-09-07 09:41:41:739,1,540,10,0,457,7244,540,0 6,0,2024-09-07 09:41:40:918,138375,0.5,137968,0.7,276296,0.5,368194,2.00 6,1,2024-09-07 09:41:40:758,947717,947717,0,0,444758653396,4642189904,938138,8120,1459,379,391702,0 6,2,2024-09-07 09:41:41:119,683037,683019,18,0,30257680,0,5535 6,3,2024-09-07 09:41:41:278,1,540,327,0,710,6685,540,0 7,0,2024-09-07 09:41:41:539,130389,0.5,131270,0.7,261387,0.5,347914,2.00 7,1,2024-09-07 09:41:40:854,946536,946536,0,0,444790127578,4667486268,933607,10697,2232,382,391747,0 7,2,2024-09-07 09:41:40:770,682932,682932,0,0,29479204,0,4791 7,3,2024-09-07 09:41:40:851,1,540,2,0,552,5921,540,0 8,0,2024-09-07 09:41:41:375,132901,0.3,132339,0.5,265562,0.3,354085,1.50 8,1,2024-09-07 09:41:41:025,944506,944506,0,0,444481325863,4667639583,930239,11135,3132,366,392853,0 8,2,2024-09-07 09:41:40:804,678963,678961,2,0,35160729,0,5112 8,3,2024-09-07 09:41:40:597,1,540,13,0,538,7894,540,0 9,0,2024-09-07 09:41:41:127,138248,0.3,134553,0.5,281928,0.3,369515,1.75 9,1,2024-09-07 09:41:40:561,944878,944878,0,0,443778646973,4669809507,931374,10849,2655,369,392001,0 9,2,2024-09-07 09:41:41:095,681964,681963,1,0,31835750,0,5281 9,3,2024-09-07 09:41:41:752,1,540,43,0,1273,8896,540,0 10,0,2024-09-07 09:41:41:615,138225,0.4,137818,0.5,276309,0.3,368529,1.75 10,1,2024-09-07 09:41:40:586,946867,946867,0,0,444416093731,4651673310,934498,10279,2090,381,391869,0 10,2,2024-09-07 09:41:40:763,683579,683579,0,0,34589179,0,4264 10,3,2024-09-07 09:41:40:871,1,540,5,0,649,5544,540,0 11,0,2024-09-07 09:41:41:026,132396,0.5,128389,0.6,268613,0.4,353943,1.75 11,1,2024-09-07 09:41:40:572,948512,948512,0,0,444822149143,4660018618,935031,10018,3463,383,391766,0 11,2,2024-09-07 09:41:41:123,682633,682633,0,0,30296348,0,4130 11,3,2024-09-07 09:41:41:303,1,540,1,0,843,7133,540,0 12,0,2024-09-07 09:41:41:013,132944,0.3,133023,0.5,266003,0.3,353591,1.75 12,1,2024-09-07 09:41:40:949,947652,947652,0,0,444710324446,4636610819,939968,6798,886,370,391960,0 12,2,2024-09-07 09:41:41:553,682293,682293,0,0,30271356,0,4390 12,3,2024-09-07 09:41:41:068,1,540,1,0,386,7124,540,0 13,0,2024-09-07 09:41:41:358,137765,0.4,137538,0.5,274536,0.4,366397,1.75 13,1,2024-09-07 09:41:41:523,945568,945568,0,0,444527992143,4668912281,935921,7350,2297,382,391740,0 13,2,2024-09-07 09:41:40:604,684266,684266,0,0,27178389,0,3287 13,3,2024-09-07 09:41:41:763,1,540,1,0,522,7409,540,0 14,0,2024-09-07 09:41:40:582,138927,0.4,139907,0.6,277719,0.4,369551,1.75 14,1,2024-09-07 09:41:41:560,951955,951955,0,0,447027310743,4622425602,945038,6189,728,364,391673,0 14,2,2024-09-07 09:41:40:786,684499,684469,30,0,28519599,0,6104 14,3,2024-09-07 09:41:41:120,1,540,3,0,1168,6063,540,0 15,0,2024-09-07 09:41:41:558,134463,0.4,133857,0.6,268599,0.4,357735,2.00 15,1,2024-09-07 09:41:41:609,949392,949392,0,0,445155691033,4633461290,941654,6252,1486,381,391619,0 15,2,2024-09-07 09:41:40:998,685238,685238,0,0,23967307,0,3622 15,3,2024-09-07 09:41:41:405,1,540,2,0,1126,7339,540,0 16,0,2024-09-07 09:41:40:932,131669,0.5,132433,0.7,264133,0.5,350924,2.00 16,1,2024-09-07 09:41:40:569,949025,949025,0,0,445286430583,4652905855,940594,7129,1302,370,392194,0 16,2,2024-09-07 09:41:41:447,682385,682385,0,0,28431295,0,4719 16,3,2024-09-07 09:41:41:142,1,540,3,0,358,6677,540,0 17,0,2024-09-07 09:41:41:818,138583,0.5,135021,0.7,264814,0.5,359347,2.00 17,1,2024-09-07 09:41:40:574,946846,946846,0,0,444712372745,4662208783,937531,7564,1751,368,392075,0 17,2,2024-09-07 09:41:41:671,685942,685941,1,0,29169071,0,5050 17,3,2024-09-07 09:41:40:580,1,540,6,0,518,7932,540,0 18,0,2024-09-07 09:41:40:944,137531,0.7,138396,0.8,275817,0.7,367985,2.25 18,1,2024-09-07 09:41:41:639,950997,950997,0,0,446211311002,4625552522,944881,5119,997,367,391725,0 18,2,2024-09-07 09:41:41:755,686233,686233,0,0,25904927,0,3541 18,3,2024-09-07 09:41:40:898,1,540,8,0,1059,4981,540,0 19,0,2024-09-07 09:41:41:548,136897,0.6,137216,0.8,273256,0.7,363641,2.25 19,1,2024-09-07 09:41:40:566,950409,950409,0,0,447505083173,4637277320,943417,5907,1085,367,391777,0 19,2,2024-09-07 09:41:41:753,685804,685804,0,0,24181304,0,3988 19,3,2024-09-07 09:41:41:128,1,540,5,0,524,4280,540,0 20,0,2024-09-07 09:41:41:377,131464,0.5,131759,0.7,262937,0.5,350900,2.00 20,1,2024-09-07 09:41:40:598,946919,946919,0,0,444785141611,4656070834,937706,7844,1369,369,391922,0 20,2,2024-09-07 09:41:40:931,682364,682364,0,0,29469718,0,3721 20,3,2024-09-07 09:41:40:601,1,540,1,0,468,8950,540,0 21,0,2024-09-07 09:41:41:146,132747,0.4,132897,0.6,265783,0.4,352767,1.75 21,1,2024-09-07 09:41:41:563,945344,945344,0,0,443412319204,4675123881,931180,11044,3120,368,392016,0 21,2,2024-09-07 09:41:41:083,680715,680695,20,0,34550994,0,5617 21,3,2024-09-07 09:41:41:419,1,540,19,0,713,6824,540,0 22,0,2024-09-07 09:41:41:729,139483,0.5,140044,0.6,279432,0.4,370845,2.00 22,1,2024-09-07 09:41:41:023,946276,946276,0,0,443688043278,4666620059,931018,12031,3227,382,391822,0 22,2,2024-09-07 09:41:40:786,681742,681716,26,0,28818795,0,6328 22,3,2024-09-07 09:41:41:066,1,540,1,0,228,4478,540,0 23,0,2024-09-07 09:41:41:366,137906,0.5,137526,0.7,274976,0.5,366972,2.25 23,1,2024-09-07 09:41:41:008,947464,947464,0,0,445531267571,4671535958,931756,11300,4408,365,391690,0 23,2,2024-09-07 09:41:41:093,684000,684000,0,0,27490047,0,3773 23,3,2024-09-07 09:41:41:754,1,540,6,0,855,8404,540,0 24,0,2024-09-07 09:41:40:818,132727,0.4,132011,0.5,265513,0.4,352324,1.75 24,1,2024-09-07 09:41:40:583,946527,946527,0,0,444242302955,4647275491,937554,7295,1678,367,392269,0 24,2,2024-09-07 09:41:41:071,682986,682986,0,0,32543810,0,4438 24,3,2024-09-07 09:41:41:686,1,540,6,0,468,6774,540,0 25,0,2024-09-07 09:41:41:344,135749,0.4,132285,0.6,259315,0.3,353309,1.75 25,1,2024-09-07 09:41:40:562,945455,945455,0,0,443828563520,4677442560,930467,12015,2973,369,391928,0 25,2,2024-09-07 09:41:41:623,681514,681514,0,0,33992936,0,3978 25,3,2024-09-07 09:41:41:012,1,540,10,0,532,5939,540,0 26,0,2024-09-07 09:41:41:729,137290,0.4,134433,0.5,281939,0.3,367178,1.75 26,1,2024-09-07 09:41:41:553,948742,948742,0,0,445737566093,4662327162,935901,10389,2452,380,391748,0 26,2,2024-09-07 09:41:40:860,682521,682521,0,0,35919426,0,4689 26,3,2024-09-07 09:41:41:715,1,540,8,0,796,5808,540,0 27,0,2024-09-07 09:41:41:735,138408,0.4,139098,0.6,276355,0.4,368495,2.25 27,1,2024-09-07 09:41:41:687,949095,949095,0,0,447154881023,4653089649,940538,7288,1269,381,391626,0 27,2,2024-09-07 09:41:40:869,680763,680698,65,0,30966068,0,5699 27,3,2024-09-07 09:41:41:019,1,540,0,0,564,4744,540,0 28,0,2024-09-07 09:41:41:406,133814,0.4,133591,0.6,267641,0.3,356325,1.75 28,1,2024-09-07 09:41:40:797,950102,950102,0,0,446432877242,4651068748,942324,6064,1714,382,391698,0 28,2,2024-09-07 09:41:41:765,683869,683869,0,0,27295504,0,2915 28,3,2024-09-07 09:41:41:777,1,540,0,0,502,5332,540,0 29,0,2024-09-07 09:41:41:360,135451,0.3,131874,0.6,258736,0.3,352580,1.75 29,1,2024-09-07 09:41:41:560,951977,951977,0,0,447751148256,4628472313,946418,4797,762,367,391809,0 29,2,2024-09-07 09:41:40:861,682197,682197,0,0,26837623,0,4986 29,3,2024-09-07 09:41:40:965,1,540,20,0,459,5825,540,0 30,0,2024-09-07 09:41:41:455,134991,0.4,131438,0.6,275522,0.4,360467,2.00 30,1,2024-09-07 09:41:40:572,951365,951365,0,0,447338034293,4639977404,944385,6078,902,380,391672,0 30,2,2024-09-07 09:41:41:275,683450,683450,0,0,25837807,0,4192 30,3,2024-09-07 09:41:40:585,1,540,7,0,519,5140,540,0 31,0,2024-09-07 09:41:41:776,138648,0.5,139149,0.6,277600,0.4,370283,2.00 31,1,2024-09-07 09:41:40:567,954234,954234,0,0,448160653660,4598740998,948902,4321,1011,356,391712,0 31,2,2024-09-07 09:41:41:275,683047,683047,0,0,28357196,0,4470 31,3,2024-09-07 09:41:41:706,1,540,1,0,220,4512,540,0 32,0,2024-09-07 09:41:41:418,136643,0.3,137367,0.5,273676,0.3,364154,1.75 32,1,2024-09-07 09:41:40:807,950495,950495,0,0,446460411800,4638750856,944685,5101,709,381,391646,0 32,2,2024-09-07 09:41:40:941,684197,684197,0,0,24849379,0,3922 32,3,2024-09-07 09:41:41:017,1,540,1,0,304,4428,540,0 33,0,2024-09-07 09:41:41:492,132657,0.3,132289,0.4,264689,0.2,352321,1.50 33,1,2024-09-07 09:41:40:663,950954,950954,0,0,447095962830,4629344795,943692,6088,1174,368,391730,0 33,2,2024-09-07 09:41:40:758,684217,684182,35,0,27645566,0,7012 33,3,2024-09-07 09:41:40:898,1,540,1,0,329,4959,540,0 34,0,2024-09-07 09:41:40:946,133773,0.3,137387,0.4,262614,0.2,354631,1.75 34,1,2024-09-07 09:41:41:044,952886,952886,0,0,447744749517,4603254474,950373,2491,22,367,391637,0 34,2,2024-09-07 09:41:40:788,685132,685132,0,0,26133795,0,4562 34,3,2024-09-07 09:41:41:691,1,540,1,0,320,4329,540,0 35,0,2024-09-07 09:41:40:859,138536,0.3,139231,0.5,279717,0.2,371232,1.75 35,1,2024-09-07 09:41:41:067,949674,949674,0,0,446462916691,4629591104,943012,5448,1214,382,391769,0 35,2,2024-09-07 09:41:41:582,683344,683344,0,0,28679473,0,4055 35,3,2024-09-07 09:41:40:912,1,540,2,0,466,5407,540,0 36,0,2024-09-07 09:41:41:519,138751,0.5,138585,0.7,277047,0.5,369040,2.00 36,1,2024-09-07 09:41:40:584,949372,949372,0,0,444739307105,4642855369,937079,10070,2223,366,391759,0 36,2,2024-09-07 09:41:41:750,683264,683264,0,0,29901632,0,3875 36,3,2024-09-07 09:41:40:866,1,540,1,0,416,7210,540,0 37,0,2024-09-07 09:41:41:389,130592,0.5,130560,0.7,261441,0.5,348590,2.00 37,1,2024-09-07 09:41:40:569,948269,948262,0,7,445362040766,4648191256,937130,8456,2676,365,391770,0 37,2,2024-09-07 09:41:41:142,681200,681185,15,0,30428319,0,5815 37,3,2024-09-07 09:41:41:766,1,540,1,0,888,7445,540,0 38,0,2024-09-07 09:41:41:450,131980,0.4,128019,0.6,267702,0.3,351182,2.00 38,1,2024-09-07 09:41:41:605,949122,949122,0,0,445671489807,4655350528,935889,10714,2519,368,391821,0 38,2,2024-09-07 09:41:40:762,682702,682655,47,0,30696824,0,6710 38,3,2024-09-07 09:41:41:007,1,540,5,0,689,6735,540,0 39,0,2024-09-07 09:41:41:769,141856,0.5,138661,0.6,270411,0.4,369107,2.00 39,1,2024-09-07 09:41:40:715,947426,947426,0,0,444765342288,4662120595,931629,12327,3470,365,391865,0 39,2,2024-09-07 09:41:41:423,683543,683543,0,0,28216390,0,3391 39,3,2024-09-07 09:41:40:716,1,540,43,0,525,6450,540,0 40,0,2024-09-07 09:41:41:501,137011,0.9,137582,1.0,274662,1.1,366603,2.75 40,1,2024-09-07 09:41:40:578,949390,949390,0,0,444031237632,4639843824,937368,9843,2179,368,391668,0 40,2,2024-09-07 09:41:41:302,681584,681583,1,0,33541341,0,5137 40,3,2024-09-07 09:41:41:150,1,540,10,0,1028,7415,540,0 41,0,2024-09-07 09:41:41:062,131843,1.2,134766,1.1,257008,1.8,349643,3.00 41,1,2024-09-07 09:41:40:786,947920,947920,0,0,444497863180,4647169764,935986,9999,1935,369,391878,0 41,2,2024-09-07 09:41:40:760,680784,680783,1,0,32354708,0,5408 41,3,2024-09-07 09:41:41:681,1,540,5,0,366,5780,540,0 42,0,2024-09-07 09:41:41:479,131573,0.7,131274,0.9,262714,0.8,348570,2.50 42,1,2024-09-07 09:41:41:443,944918,944918,0,0,444386293709,4667791790,929901,12109,2908,380,391675,0 42,2,2024-09-07 09:41:41:133,682110,682110,0,0,31557636,0,3975 42,3,2024-09-07 09:41:41:017,1,540,12,0,892,4738,540,0 43,0,2024-09-07 09:41:40:922,135828,0.6,132242,0.8,276961,0.6,363465,2.25 43,1,2024-09-07 09:41:40:577,948333,948333,0,0,445565790769,4651378906,935462,10611,2260,366,391696,0 43,2,2024-09-07 09:41:41:735,683035,683035,0,0,30875848,0,4723 43,3,2024-09-07 09:41:41:749,1,540,2,0,571,7374,540,0 44,0,2024-09-07 09:41:40:866,139134,0.4,139104,0.6,278834,0.4,370136,1.75 44,1,2024-09-07 09:41:40:566,950989,950989,0,0,446388984384,4610253453,943185,6217,1587,356,391809,0 44,2,2024-09-07 09:41:41:266,682089,682089,0,0,25680520,0,4344 44,3,2024-09-07 09:41:41:102,1,540,0,0,817,5800,540,0 45,0,2024-09-07 09:41:41:756,133031,0.5,129962,0.7,272843,0.5,357932,2.00 45,1,2024-09-07 09:41:41:012,949939,949939,0,0,446977876095,4643139677,942423,6640,876,382,391917,0 45,2,2024-09-07 09:41:41:267,683856,683856,0,0,25944953,0,3596 45,3,2024-09-07 09:41:40:934,1,540,1,0,365,5011,540,0 46,0,2024-09-07 09:41:40:972,131364,0.4,130811,0.7,262399,0.4,348007,2.00 46,1,2024-09-07 09:41:40:578,951363,951363,0,0,447036893562,4617475640,944954,5545,864,366,391709,0 46,2,2024-09-07 09:41:40:596,683744,683744,0,0,26324802,0,4443 46,3,2024-09-07 09:41:41:131,1,540,5,0,908,6398,540,0 47,0,2024-09-07 09:41:41:107,134518,0.4,134671,0.6,270219,0.3,358245,1.75 47,1,2024-09-07 09:41:40:567,952714,952714,0,0,447635969994,4621026413,947473,4402,839,365,391641,0 47,2,2024-09-07 09:41:40:909,686464,686464,0,0,26099420,0,4477 47,3,2024-09-07 09:41:41:118,1,540,0,0,600,5997,540,0 48,0,2024-09-07 09:41:41:491,139171,0.3,139362,0.4,278117,0.2,369821,1.50 48,1,2024-09-07 09:41:41:027,950057,950057,0,0,446129910113,4633105919,944239,5242,576,384,391710,0 48,2,2024-09-07 09:41:40:699,684105,684105,0,0,23991864,0,3411 48,3,2024-09-07 09:41:40:753,1,540,2,0,339,4214,540,0 49,0,2024-09-07 09:41:41:733,141164,0.3,138522,0.5,269235,0.3,367792,1.75 49,1,2024-09-07 09:41:41:027,949324,949324,0,0,446499834165,4638846435,943755,4358,1211,382,391809,0 49,2,2024-09-07 09:41:41:798,684364,684364,0,0,26557520,0,4426 49,3,2024-09-07 09:41:41:428,1,540,1,0,992,6225,540,0 50,0,2024-09-07 09:41:41:512,132385,0.3,130625,0.5,263149,0.2,350693,1.75 50,1,2024-09-07 09:41:41:011,952879,952879,0,0,446986661227,4619278742,946932,5279,668,368,391565,0 50,2,2024-09-07 09:41:41:066,682522,682522,0,0,24420021,0,4490 50,3,2024-09-07 09:41:41:291,1,540,1,0,617,5494,540,0 51,0,2024-09-07 09:41:41:711,136316,0.3,133442,0.4,259822,0.2,354226,1.75 51,1,2024-09-07 09:41:41:691,953776,953776,0,0,448531325250,4622388474,949006,3714,1056,365,391706,0 51,2,2024-09-07 09:41:41:315,684199,684199,0,0,23826086,0,3337 51,3,2024-09-07 09:41:41:028,1,540,0,0,678,3819,540,0 52,0,2024-09-07 09:41:41:433,140034,0.5,139639,0.7,279596,0.4,372348,2.00 52,1,2024-09-07 09:41:40:578,948485,948485,0,0,444776400900,4652392761,935251,11128,2106,368,391722,0 52,2,2024-09-07 09:41:41:755,679920,679882,38,0,31556599,0,6742 52,3,2024-09-07 09:41:40:674,1,540,1,0,1782,6804,540,0 53,0,2024-09-07 09:41:41:739,137603,0.7,133449,0.8,279164,0.8,366426,2.50 53,1,2024-09-07 09:41:40:778,946959,946959,0,0,444502403156,4660922235,931588,11527,3844,367,391968,0 53,2,2024-09-07 09:41:41:301,683351,683350,1,0,28202738,0,5455 53,3,2024-09-07 09:41:40:697,1,540,1,0,308,5187,540,0 54,0,2024-09-07 09:41:41:630,129939,0.6,130257,0.8,259429,0.4,346265,2.25 54,1,2024-09-07 09:41:40:584,949039,949039,0,0,445773528136,4634233898,938967,8438,1634,366,391810,0 54,2,2024-09-07 09:41:40:872,683794,683762,32,0,32062631,0,6397 54,3,2024-09-07 09:41:40:768,1,540,243,0,676,7359,540,0 55,0,2024-09-07 09:41:41:776,127603,0.5,131622,0.7,266794,0.4,347700,2.25 55,1,2024-09-07 09:41:40:790,950524,950524,0,0,445640268877,4631292595,940836,8367,1321,365,391731,0 55,2,2024-09-07 09:41:40:738,681727,681671,56,0,30344306,0,7239 55,3,2024-09-07 09:41:40:674,1,540,5,0,304,4910,540,0 56,0,2024-09-07 09:41:41:576,140877,1.0,132787,1.0,273624,1.3,366656,2.50 56,1,2024-09-07 09:41:40:581,945000,945000,0,0,444838730772,4692406244,930666,11421,2913,381,391867,0 56,2,2024-09-07 09:41:41:315,682057,681935,122,0,31697758,0,7432 56,3,2024-09-07 09:41:41:059,1,540,1,0,705,6345,540,0 57,0,2024-09-07 09:41:40:959,136528,1.6,136468,1.3,273275,2.2,365107,3.25 57,1,2024-09-07 09:41:40:988,946518,946518,0,0,444343053384,4657999614,934305,9928,2285,366,392032,0 57,2,2024-09-07 09:41:41:326,683253,683253,0,0,32573835,0,4317 57,3,2024-09-07 09:41:41:745,1,540,182,0,455,6097,540,0 58,0,2024-09-07 09:41:40:563,131714,0.9,127918,1.0,267472,1.1,349848,2.50 58,1,2024-09-07 09:41:40:584,948158,948155,0,3,445550198612,4665092380,934350,10484,3321,367,391675,3 58,2,2024-09-07 09:41:41:071,682966,682966,0,0,30904122,0,3483 58,3,2024-09-07 09:41:41:068,1,540,3,0,1043,5847,540,0 59,0,2024-09-07 09:41:41:739,131079,0.8,131089,0.9,261328,0.8,346744,2.75 59,1,2024-09-07 09:41:40:822,946819,946819,0,0,444759972204,4667035670,931903,11776,3140,369,391653,0 59,2,2024-09-07 09:41:40:587,682455,682455,0,0,29535696,0,3727 59,3,2024-09-07 09:41:41:737,1,540,1,0,1015,6537,540,0 60,0,2024-09-07 09:41:41:737,135445,0.5,135885,0.6,271477,0.4,361603,1.75 60,1,2024-09-07 09:41:40:788,951532,951532,0,0,446849084472,4635270568,945336,5257,939,370,392031,0 60,2,2024-09-07 09:41:41:143,683436,683436,0,0,28075668,0,3811 60,3,2024-09-07 09:41:41:279,1,540,0,0,409,6150,540,0 61,0,2024-09-07 09:41:41:519,138700,0.7,139283,0.8,277354,0.7,369912,2.00 61,1,2024-09-07 09:41:40:790,948126,948126,0,0,445310870361,4659804028,938197,8348,1581,382,392127,0 61,2,2024-09-07 09:41:41:122,683816,683749,67,0,28992947,0,6411 61,3,2024-09-07 09:41:41:695,1,540,7,0,607,7566,540,0 62,0,2024-09-07 09:41:41:714,136964,0.6,140512,0.8,268229,0.6,363964,2.00 62,1,2024-09-07 09:41:41:111,953277,953271,0,6,448284373123,4617590379,949105,3886,280,365,391975,6 62,2,2024-09-07 09:41:41:645,681463,681462,1,0,28135000,0,5555 62,3,2024-09-07 09:41:41:143,1,540,3,0,482,4299,540,0 63,0,2024-09-07 09:41:41:484,132681,0.4,132395,0.6,265678,0.3,352703,1.75 63,1,2024-09-07 09:41:40:804,950551,950545,0,6,446722069028,4634222977,944783,5011,751,381,391800,6 63,2,2024-09-07 09:41:40:779,683314,683314,0,0,27324747,0,4369 63,3,2024-09-07 09:41:41:732,1,540,1,0,667,5646,540,0 64,0,2024-09-07 09:41:41:544,133049,0.5,133150,0.6,265492,0.4,353839,1.75 64,1,2024-09-07 09:41:40:769,949703,949703,0,0,445945226739,4641327221,941803,6128,1772,370,391794,0 64,2,2024-09-07 09:41:41:144,688117,688098,19,0,26379386,0,6121 64,3,2024-09-07 09:41:41:144,1,540,1,0,651,5593,540,0 65,0,2024-09-07 09:41:41:719,138241,0.6,138573,0.7,276639,0.6,368639,2.00 65,1,2024-09-07 09:41:40:873,948170,948170,0,0,444214470502,4640956889,941412,5960,798,381,391901,0 65,2,2024-09-07 09:41:41:695,682924,682924,0,0,30981687,0,3367 65,3,2024-09-07 09:41:41:693,1,540,16,0,782,6338,540,0 66,0,2024-09-07 09:41:41:764,137854,0.6,137395,0.8,274824,0.6,366194,2.25 66,1,2024-09-07 09:41:41:293,950198,950198,0,0,446200693676,4641636395,944048,5428,722,380,391743,0 66,2,2024-09-07 09:41:41:133,685000,684997,3,0,28038671,0,5455 66,3,2024-09-07 09:41:41:083,1,540,8,0,291,4572,540,0 67,0,2024-09-07 09:41:41:448,131085,0.5,130784,0.7,262138,0.5,348856,2.00 67,1,2024-09-07 09:41:40:777,950273,950272,0,1,445995629467,4640679147,943988,5470,814,380,391787,1 67,2,2024-09-07 09:41:40:587,685597,685582,15,0,27381627,0,6205 67,3,2024-09-07 09:41:41:750,1,540,1,0,595,5737,540,0 68,0,2024-09-07 09:41:40:652,132729,0.5,132577,0.7,264142,0.5,353543,2.00 68,1,2024-09-07 09:41:40:581,945787,945787,0,0,443855399948,4665366334,933369,9017,3401,381,391953,0 68,2,2024-09-07 09:41:41:061,679483,679383,100,0,35022944,0,8578 68,3,2024-09-07 09:41:40:751,1,540,8,0,417,6895,540,0 69,0,2024-09-07 09:41:41:754,137731,0.6,138736,0.7,276448,0.6,367107,2.25 69,1,2024-09-07 09:41:41:020,945313,945313,0,0,444398906257,4679366847,933050,9501,2762,383,391994,0 69,2,2024-09-07 09:41:41:756,681663,681634,29,0,36178240,0,6912 69,3,2024-09-07 09:41:40:762,1,540,1,0,698,7864,540,0 70,0,2024-09-07 09:41:41:532,136664,0.9,137083,1.0,275856,0.8,365453,2.50 70,1,2024-09-07 09:41:40:817,951225,951225,0,0,446903742558,4634609209,943966,6497,762,366,391725,0 70,2,2024-09-07 09:41:41:324,683398,683398,0,0,30199866,0,4323 70,3,2024-09-07 09:41:40:744,1,540,1,0,854,5813,540,0 71,0,2024-09-07 09:41:41:359,131120,1.1,130940,1.1,262972,1.5,350908,2.75 71,1,2024-09-07 09:41:41:598,948564,948564,0,0,445029664953,4642390966,937166,10142,1256,368,391738,0 71,2,2024-09-07 09:41:41:066,682841,682841,0,0,31075805,0,4352 71,3,2024-09-07 09:41:41:750,1,540,1,0,644,6282,540,0 72,0,2024-09-07 09:41:41:060,136539,0.5,133415,0.7,260402,0.5,354061,2.00 72,1,2024-09-07 09:41:41:032,947370,947370,0,0,445104293101,4665880469,933906,11179,2285,369,391819,0 72,2,2024-09-07 09:41:41:759,681422,681422,0,0,32480186,0,3983 72,3,2024-09-07 09:41:41:758,1,540,0,0,564,7582,540,0 73,0,2024-09-07 09:41:41:150,133491,0.4,136697,0.6,279848,0.4,364373,2.00 73,1,2024-09-07 09:41:40:769,949889,949889,0,0,445177477705,4619937972,943647,5817,425,367,391858,0 73,2,2024-09-07 09:41:41:749,682867,682867,0,0,32894069,0,4728 73,3,2024-09-07 09:41:40:973,1,540,1,0,486,6674,540,0 74,0,2024-09-07 09:41:41:322,139892,0.5,143220,0.7,273125,0.5,370490,2.00 74,1,2024-09-07 09:41:40:635,947969,947969,0,0,445160433408,4645287051,937512,8532,1925,381,391762,0 74,2,2024-09-07 09:41:41:004,683111,683111,0,0,28668638,0,4253 74,3,2024-09-07 09:41:41:447,1,540,12,0,522,6383,540,0 75,0,2024-09-07 09:41:41:768,134995,0.6,134175,0.8,269235,0.5,359513,2.25 75,1,2024-09-07 09:41:41:591,949154,949154,0,0,445416959770,4640467767,941258,7033,863,380,391739,0 75,2,2024-09-07 09:41:41:350,681956,681956,0,0,34419083,0,4766 75,3,2024-09-07 09:41:41:066,1,540,2,0,702,6261,540,0 76,0,2024-09-07 09:41:40:597,131277,0.5,130605,0.7,261705,0.5,349703,2.25 76,1,2024-09-07 09:41:40:826,948839,948839,0,0,445408732780,4643119454,942203,5669,967,382,391723,0 76,2,2024-09-07 09:41:41:069,685022,685019,3,0,29005817,0,5265 76,3,2024-09-07 09:41:41:141,1,540,5,0,227,4952,540,0 77,0,2024-09-07 09:41:41:719,133966,0.5,134426,0.7,268945,0.5,357243,2.00 77,1,2024-09-07 09:41:40:828,948988,948988,0,0,445938032860,4648436880,942140,6252,596,381,391869,0 77,2,2024-09-07 09:41:41:282,683120,683120,0,0,28598983,0,3890 77,3,2024-09-07 09:41:41:103,1,540,10,0,401,5696,540,0 78,0,2024-09-07 09:41:41:724,139290,0.4,138726,0.6,278334,0.4,368360,2.00 78,1,2024-09-07 09:41:40:611,949045,949045,0,0,445025892095,4637602448,938269,8249,2527,367,391670,0 78,2,2024-09-07 09:41:41:405,685305,685292,13,0,26545945,0,8313 78,3,2024-09-07 09:41:41:133,1,540,4,0,311,4616,540,0 79,0,2024-09-07 09:41:41:346,132730,0.4,135919,0.6,278505,0.4,362472,2.25 79,1,2024-09-07 09:41:40:577,951687,951687,0,0,446691822036,4625619335,943947,6383,1357,367,391682,0 79,2,2024-09-07 09:41:41:068,683480,683480,0,0,25477923,0,4195 79,3,2024-09-07 09:41:40:751,1,540,4,0,418,6353,540,0 80,0,2024-09-07 09:41:41:091,131448,0.5,135123,0.6,258385,0.5,350152,2.00 80,1,2024-09-07 09:41:41:633,948623,948623,0,0,445990593186,4639231532,942790,5404,429,368,392269,0 80,2,2024-09-07 09:41:41:093,685225,685225,0,0,26161057,0,4433 80,3,2024-09-07 09:41:40:585,1,540,3,0,296,6298,540,0 81,0,2024-09-07 09:41:41:599,132971,0.5,136135,0.7,259536,0.5,352609,2.00 81,1,2024-09-07 09:41:41:651,947757,947757,0,0,445092018605,4650096626,940348,6769,640,382,391885,0 81,2,2024-09-07 09:41:41:125,683077,683014,63,0,29162703,0,5932 81,3,2024-09-07 09:41:41:121,1,540,3,0,719,6075,540,0 82,0,2024-09-07 09:41:41:532,139361,0.4,139501,0.7,279681,0.4,371399,2.00 82,1,2024-09-07 09:41:40:589,950482,950478,0,4,446530495226,4639580114,945395,4262,821,381,391768,4 82,2,2024-09-07 09:41:41:695,685239,685239,0,0,24265450,0,4484 82,3,2024-09-07 09:41:41:755,1,540,11,0,363,5034,540,0 83,0,2024-09-07 09:41:41:540,138176,0.6,138093,0.7,275768,0.6,366533,2.25 83,1,2024-09-07 09:41:40:551,948956,948956,0,0,444994848830,4635907927,942593,5906,457,382,391709,0 83,2,2024-09-07 09:41:40:769,683416,683391,25,0,26239538,0,5612 83,3,2024-09-07 09:41:40:750,1,540,1,0,1260,6541,540,0 84,0,2024-09-07 09:41:41:782,130729,0.7,130956,0.9,261542,0.7,349478,2.25 84,1,2024-09-07 09:41:41:038,947933,947933,0,0,444725389884,4646490968,937683,8723,1527,367,391967,0 84,2,2024-09-07 09:41:40:603,682724,682694,30,0,34627680,0,5971 84,3,2024-09-07 09:41:41:145,1,540,0,0,908,7454,540,0 85,0,2024-09-07 09:41:41:030,127044,0.5,127055,0.7,269682,0.5,348944,2.25 85,1,2024-09-07 09:41:40:569,944402,944402,0,0,444583403689,4691265974,930100,11644,2658,381,392092,0 85,2,2024-09-07 09:41:40:869,681805,681805,0,0,32277195,0,4255 85,3,2024-09-07 09:41:40:686,1,540,17,0,789,6157,540,0 86,0,2024-09-07 09:41:40:882,137810,0.6,141536,0.7,270730,0.6,366848,2.25 86,1,2024-09-07 09:41:40:828,947099,947099,0,0,445684447052,4666437261,935772,9348,1979,366,391995,0 86,2,2024-09-07 09:41:40:856,681589,681588,1,0,34710261,0,5004 86,3,2024-09-07 09:41:40:587,1,540,38,0,308,7655,540,0 87,0,2024-09-07 09:41:41:298,138305,0.9,138031,0.9,276095,1.1,368853,2.25 87,1,2024-09-07 09:41:40:561,946138,946138,0,0,444500042436,4655303254,933916,10506,1716,366,392076,0 87,2,2024-09-07 09:41:41:069,682668,682662,6,0,30653638,0,6323 87,3,2024-09-07 09:41:41:797,1,540,113,0,473,8086,540,0 88,0,2024-09-07 09:41:41:483,133454,0.5,134029,0.6,267842,0.4,356189,1.75 88,1,2024-09-07 09:41:40:576,945913,945913,0,0,444670992479,4654042303,933259,10036,2618,365,392084,0 88,2,2024-09-07 09:41:40:688,683086,683086,0,0,33067471,0,4465 88,3,2024-09-07 09:41:41:267,1,540,1,0,435,6804,540,0 89,0,2024-09-07 09:41:41:824,135588,0.4,131457,0.6,259687,0.4,352630,1.75 89,1,2024-09-07 09:41:40:551,946053,946053,0,0,443861890504,4666478936,934611,9623,1819,382,391866,0 89,2,2024-09-07 09:41:41:143,681883,681883,0,0,31463208,0,3173 89,3,2024-09-07 09:41:41:800,1,540,0,0,468,8746,540,0 90,0,2024-09-07 09:41:41:689,131682,0.4,135169,0.6,275568,0.4,360244,1.75 90,1,2024-09-07 09:41:40:609,947469,947469,0,0,444912918848,4653879873,938944,7790,735,380,391825,0 90,2,2024-09-07 09:41:41:406,680804,680804,0,0,33686973,0,3608 90,3,2024-09-07 09:41:40:930,1,540,2,0,322,6513,540,0 91,0,2024-09-07 09:41:40:940,139259,0.5,135058,0.6,282407,0.4,370482,1.75 91,1,2024-09-07 09:41:40:558,945488,945488,0,0,444917213607,4674358115,933875,9600,2013,381,392047,0 91,2,2024-09-07 09:41:41:331,683696,683696,0,0,29715727,0,4713 91,3,2024-09-07 09:41:40:601,1,540,4,0,216,5058,540,0 92,0,2024-09-07 09:41:41:453,138067,0.5,141064,0.6,269110,0.5,364300,1.75 92,1,2024-09-07 09:41:40:582,948337,948337,0,0,445785518179,4654271330,940811,6318,1208,381,392136,0 92,2,2024-09-07 09:41:41:350,683277,683277,0,0,26789688,0,3259 92,3,2024-09-07 09:41:41:010,1,540,1,0,167,4611,540,0 93,0,2024-09-07 09:41:40:991,132966,0.4,136290,0.6,260247,0.3,352650,1.75 93,1,2024-09-07 09:41:40:817,948531,948531,0,0,444721990539,4640198456,938213,8584,1734,366,391776,0 93,2,2024-09-07 09:41:40:928,683331,683331,0,0,32549293,0,4913 93,3,2024-09-07 09:41:41:409,1,540,4,0,190,4781,540,0 94,0,2024-09-07 09:41:41:624,132897,0.3,133814,0.5,267442,0.3,355480,1.75 94,1,2024-09-07 09:41:40:566,949093,949093,0,0,445822588135,4646807260,942978,5739,376,381,391850,0 94,2,2024-09-07 09:41:40:762,683958,683930,28,0,27878106,0,6179 94,3,2024-09-07 09:41:41:691,1,540,12,0,576,6715,540,0 95,0,2024-09-07 09:41:41:342,139295,0.3,139311,0.5,278791,0.3,371195,1.75 95,1,2024-09-07 09:41:40:852,950510,950510,0,0,446778843063,4640039735,943149,6702,659,365,391852,0 95,2,2024-09-07 09:41:41:019,682844,682844,0,0,26605135,0,3308 95,3,2024-09-07 09:41:41:716,1,540,0,0,718,8227,540,0 96,0,2024-09-07 09:41:41:037,138382,0.4,138589,0.5,277055,0.3,368411,1.75 96,1,2024-09-07 09:41:41:604,948321,948321,0,0,445327520046,4642268215,941912,5494,915,384,391964,0 96,2,2024-09-07 09:41:41:273,683437,683437,0,0,27592998,0,4180 96,3,2024-09-07 09:41:41:140,1,540,28,0,411,5705,540,0 97,0,2024-09-07 09:41:41:334,131012,0.3,130942,0.5,262975,0.3,348933,1.50 97,1,2024-09-07 09:41:40:769,950498,950498,0,0,446067504226,4624562771,944726,4827,945,367,392140,0 97,2,2024-09-07 09:41:40:619,684855,684855,0,0,27200069,0,3679 97,3,2024-09-07 09:41:40:582,1,540,2,0,242,6098,540,0 98,0,2024-09-07 09:41:41:700,132200,0.3,132362,0.4,265560,0.2,353315,1.50 98,1,2024-09-07 09:41:40:578,949572,949572,0,0,445112439698,4632758206,944148,4623,801,381,391997,0 98,2,2024-09-07 09:41:40:776,683961,683961,0,0,26763528,0,4336 98,3,2024-09-07 09:41:40:711,1,540,1,0,840,8308,540,0 99,0,2024-09-07 09:41:41:453,138418,0.3,139059,0.4,276767,0.3,369604,1.75 99,1,2024-09-07 09:41:41:733,949780,949780,0,0,445171183885,4631859347,943800,5051,929,380,392069,0 99,2,2024-09-07 09:41:41:423,684031,684031,0,0,32304580,0,4276 99,3,2024-09-07 09:41:40:583,1,540,21,0,606,5337,540,0 100,0,2024-09-07 09:41:41:512,137936,0.8,138249,0.9,276080,0.9,368803,2.50 100,1,2024-09-07 09:41:40:553,944504,944504,0,0,443219814078,4682777398,930148,11256,3100,378,391989,0 100,2,2024-09-07 09:41:41:817,680860,680849,11,0,31444484,0,5417 100,3,2024-09-07 09:41:41:735,1,540,2,0,627,9570,540,0 101,0,2024-09-07 09:41:41:800,135236,1.2,131775,1.1,258337,1.1,353126,2.25 101,1,2024-09-07 09:41:40:551,946011,946011,0,0,444241818458,4664869923,933587,10022,2402,368,391847,0 101,2,2024-09-07 09:41:41:842,679129,679129,0,0,36501170,0,4871 101,3,2024-09-07 09:41:40:944,1,540,0,0,1250,8342,540,0 102,0,2024-09-07 09:41:40:946,128594,0.6,132551,0.7,268923,0.5,352068,2.00 102,1,2024-09-07 09:41:41:142,945971,945971,0,0,443915654482,4659469851,933747,10202,2022,369,391891,0 102,2,2024-09-07 09:41:41:737,682834,682780,54,0,30898508,0,6768 102,3,2024-09-07 09:41:41:641,1,540,5,0,466,6234,540,0 103,0,2024-09-07 09:41:41:630,141441,0.5,141429,0.7,266587,0.5,367198,2.00 103,1,2024-09-07 09:41:41:633,944673,944673,0,0,444083711064,4684003600,930467,11006,3200,381,391862,0 103,2,2024-09-07 09:41:40:585,681449,681449,0,0,32627479,0,3766 103,3,2024-09-07 09:41:40:756,1,540,2,0,916,6332,540,0 104,0,2024-09-07 09:41:41:005,137888,0.7,138001,0.9,275422,0.7,368399,2.25 104,1,2024-09-07 09:41:41:605,947489,947489,0,0,444639318508,4666355234,934422,10516,2551,365,392168,0 104,2,2024-09-07 09:41:41:666,680910,680910,0,0,31634568,0,3941 104,3,2024-09-07 09:41:41:423,1,540,4,0,1245,9300,540,0 105,0,2024-09-07 09:41:41:030,133339,0.9,129913,1.1,272326,1.1,357534,2.75 105,1,2024-09-07 09:41:40:583,948514,948514,0,0,445485622475,4663097240,936906,9839,1769,364,392009,0 105,2,2024-09-07 09:41:41:321,681714,681714,0,0,31744557,0,4360 105,3,2024-09-07 09:41:41:305,1,540,4,0,399,7509,540,0 106,0,2024-09-07 09:41:40:936,127326,0.7,130413,0.9,267250,0.7,348361,2.50 106,1,2024-09-07 09:41:41:750,947731,947731,0,0,444670841178,4660853541,935109,10972,1650,368,391914,0 106,2,2024-09-07 09:41:40:755,681752,681752,0,0,29950263,0,2920 106,3,2024-09-07 09:41:40:677,1,540,4,0,1224,7998,540,0 107,0,2024-09-07 09:41:41:116,134386,0.7,134304,0.8,268721,0.7,358294,2.00 107,1,2024-09-07 09:41:40:590,945652,945652,0,0,444074335086,4677881024,932742,11517,1393,381,392234,0 107,2,2024-09-07 09:41:41:290,680981,680980,1,0,32780285,0,5024 107,3,2024-09-07 09:41:41:755,1,540,1,0,733,7988,540,0 108,0,2024-09-07 09:41:41:777,138760,0.4,139389,0.6,277608,0.4,369961,1.75 108,1,2024-09-07 09:41:41:304,948006,948006,0,0,445198460642,4641396582,940082,6952,972,367,391894,0 108,2,2024-09-07 09:41:41:755,682857,682857,0,0,29372546,0,4246 108,3,2024-09-07 09:41:41:330,1,540,2,0,749,10416,540,0 109,0,2024-09-07 09:41:41:762,138168,0.4,136993,0.6,274604,0.4,366804,1.75 109,1,2024-09-07 09:41:40:585,945606,945606,0,0,444969629383,4665151710,937293,7096,1217,382,392132,0 109,2,2024-09-07 09:41:40:922,680974,680974,0,0,29283420,0,3617 109,3,2024-09-07 09:41:41:142,1,540,9,0,630,6641,540,0 110,0,2024-09-07 09:41:41:823,131583,0.4,128014,0.6,267954,0.3,351612,1.75 110,1,2024-09-07 09:41:41:657,949639,949639,0,0,447023394558,4639738441,942104,5613,1922,368,392045,0 110,2,2024-09-07 09:41:41:312,683470,683470,0,0,27749110,0,4067 110,3,2024-09-07 09:41:40:703,1,540,1,0,722,7365,540,0 111,0,2024-09-07 09:41:41:411,133296,0.3,132567,0.5,265462,0.2,353940,1.75 111,1,2024-09-07 09:41:41:007,951016,951016,0,0,447023526043,4632214024,946471,4188,357,380,391690,0 111,2,2024-09-07 09:41:41:122,683630,683630,0,0,28313182,0,4823 111,3,2024-09-07 09:41:40:913,1,540,4,0,379,6048,540,0 112,0,2024-09-07 09:41:40:914,140305,0.3,139670,0.4,280150,0.2,372580,1.50 112,1,2024-09-07 09:41:40:827,950021,950021,0,0,446101273738,4628839187,944113,5217,691,380,391624,0 112,2,2024-09-07 09:41:41:133,683318,683317,1,0,26839807,0,5036 112,3,2024-09-07 09:41:40:594,1,540,12,0,282,5120,540,0 113,0,2024-09-07 09:41:40:867,138313,0.3,138251,0.5,276792,0.3,368721,1.75 113,1,2024-09-07 09:41:41:685,952494,952494,0,0,447383128479,4614180852,947238,4441,815,365,391664,0 113,2,2024-09-07 09:41:41:303,685788,685788,0,0,24396331,0,3813 113,3,2024-09-07 09:41:40:684,1,540,6,0,510,6138,540,0 114,0,2024-09-07 09:41:40:890,132265,0.3,133074,0.5,264564,0.2,353540,1.75 114,1,2024-09-07 09:41:40:715,949892,949892,0,0,446947770070,4638698860,942978,5298,1616,381,391565,0 114,2,2024-09-07 09:41:40:872,684654,684653,1,0,26594526,0,5069 114,3,2024-09-07 09:41:41:278,1,540,9,0,395,4305,540,0 115,0,2024-09-07 09:41:40:563,132524,0.3,133154,0.4,265274,0.2,352605,1.50 115,1,2024-09-07 09:41:40:577,950436,950436,0,0,446726040584,4639053968,943032,6047,1357,382,391757,0 115,2,2024-09-07 09:41:41:125,686022,686022,0,0,26036662,0,4382 115,3,2024-09-07 09:41:41:011,1,540,0,0,167,3028,540,0 116,0,2024-09-07 09:41:41:709,137488,0.6,137284,0.8,275289,0.5,368060,2.00 116,1,2024-09-07 09:41:40:817,945570,945570,0,0,444775343947,4683570116,934897,8227,2446,380,392089,0 116,2,2024-09-07 09:41:41:755,682310,682310,0,0,33183960,0,4475 116,3,2024-09-07 09:41:40:913,1,540,1,0,415,6672,540,0 117,0,2024-09-07 09:41:40:960,138599,0.7,137993,0.8,276597,0.8,369573,2.00 117,1,2024-09-07 09:41:41:582,946922,946922,0,0,444877538210,4653641429,936881,8814,1227,369,392033,0 117,2,2024-09-07 09:41:41:135,684597,684597,0,0,29020780,0,4303 117,3,2024-09-07 09:41:41:067,1,540,1,0,490,7272,540,0 118,0,2024-09-07 09:41:41:777,129438,0.6,133004,0.7,271241,0.6,354579,2.00 118,1,2024-09-07 09:41:40:585,945979,945979,0,0,444475617801,4667863543,932469,10385,3125,366,392054,0 118,2,2024-09-07 09:41:41:609,682214,682214,0,0,31658496,0,2842 118,3,2024-09-07 09:41:41:763,1,540,9,0,248,5951,540,0 119,0,2024-09-07 09:41:41:334,131365,0.6,131899,0.7,263382,0.6,350763,2.00 119,1,2024-09-07 09:41:40:551,947165,947165,0,0,445100896435,4659928763,935428,9969,1768,367,391857,0 119,2,2024-09-07 09:41:41:261,683277,683277,0,0,29431465,0,4174 119,3,2024-09-07 09:41:41:328,1,540,1,0,1358,9853,540,0 120,0,2024-09-07 09:41:41:584,134845,0.6,134667,0.8,270577,0.6,360890,2.25 120,1,2024-09-07 09:41:40:862,947931,947931,0,0,444717018029,4656372765,938626,8524,781,367,392144,0 120,2,2024-09-07 09:41:40:775,682278,682277,1,0,34011124,0,5281 120,3,2024-09-07 09:41:41:290,1,540,21,0,279,6653,540,0 121,0,2024-09-07 09:41:41:722,139018,1.2,138335,1.1,277263,1.7,369486,2.25 121,1,2024-09-07 09:41:41:671,947848,947848,0,0,444881069382,4646060575,939104,8043,701,366,391840,0 121,2,2024-09-07 09:41:41:129,682463,682463,0,0,31236712,0,4157 121,3,2024-09-07 09:41:40:751,1,540,1,0,330,6342,540,0 122,0,2024-09-07 09:41:41:766,135963,0.8,132246,0.9,277136,1.0,364134,2.25 122,1,2024-09-07 09:41:40:860,946898,946898,0,0,445040105097,4663937209,934434,10577,1887,366,392130,0 122,2,2024-09-07 09:41:41:318,683157,683082,75,0,35433124,0,5989 122,3,2024-09-07 09:41:40:600,1,540,127,0,512,9021,540,0 123,0,2024-09-07 09:41:40:965,131834,0.8,128098,0.8,268240,0.9,351389,2.25 123,1,2024-09-07 09:41:40:574,947077,947077,0,0,444315431332,4669602875,931858,12714,2505,369,392039,0 123,2,2024-09-07 09:41:41:019,680792,680791,1,0,30166360,0,5215 123,3,2024-09-07 09:41:41:136,1,540,1,0,478,6147,540,0 124,0,2024-09-07 09:41:40:925,137334,0.3,137382,0.5,258894,0.3,356801,1.75 124,1,2024-09-07 09:41:41:030,949575,949575,0,0,445209535222,4625476055,942757,5685,1133,365,392178,0 124,2,2024-09-07 09:41:41:014,685037,684984,53,0,27597795,0,6487 124,3,2024-09-07 09:41:40:762,1,540,4,0,490,5534,540,0 125,0,2024-09-07 09:41:41:457,139153,0.4,138974,0.5,279097,0.3,371158,1.75 125,1,2024-09-07 09:41:40:947,948149,948149,0,0,444370199007,4635599426,941164,6001,984,382,391813,0 125,2,2024-09-07 09:41:41:138,685897,685897,0,0,27610060,0,4534 125,3,2024-09-07 09:41:41:140,1,540,67,0,709,6402,540,0 126,0,2024-09-07 09:41:41:424,138498,0.4,142464,0.6,272542,0.4,369061,1.75 126,1,2024-09-07 09:41:40:558,951423,951423,0,0,446821946554,4622589719,946731,4380,312,365,391987,0 126,2,2024-09-07 09:41:40:621,683978,683978,0,0,28396176,0,4539 126,3,2024-09-07 09:41:40:908,1,540,3,0,268,5947,540,0 127,0,2024-09-07 09:41:41:597,131318,0.3,131647,0.5,262746,0.3,349244,1.75 127,1,2024-09-07 09:41:40:580,949469,949469,0,0,445012110909,4628234984,940092,7871,1506,364,392187,0 127,2,2024-09-07 09:41:40:701,683061,683057,4,0,27140545,0,5305 127,3,2024-09-07 09:41:41:271,1,540,11,0,968,5342,540,0 128,0,2024-09-07 09:41:41:535,133126,0.3,133106,0.4,265865,0.2,354127,1.50 128,1,2024-09-07 09:41:41:606,948818,948818,0,0,446925306384,4640579492,942308,5774,736,367,392031,0 128,2,2024-09-07 09:41:41:384,685001,685001,0,0,25493498,0,3171 128,3,2024-09-07 09:41:40:771,1,540,2,0,1082,8585,540,0 129,0,2024-09-07 09:41:40:998,139511,0.3,138852,0.4,278312,0.2,369928,1.50 129,1,2024-09-07 09:41:40:576,945915,945915,0,0,444182074893,4652188193,936881,7088,1946,379,391962,0 129,2,2024-09-07 09:41:40:686,684378,684374,4,0,27772237,0,5335 129,3,2024-09-07 09:41:40:688,1,540,2,0,506,7410,540,0 130,0,2024-09-07 09:41:41:735,139138,0.4,138628,0.6,278134,0.4,370395,1.75 130,1,2024-09-07 09:41:40:590,949818,949818,0,0,445919744494,4629872706,945011,4419,388,381,391825,0 130,2,2024-09-07 09:41:41:126,683953,683953,0,0,27695094,0,4067 130,3,2024-09-07 09:41:41:302,1,540,4,0,960,7450,540,0 131,0,2024-09-07 09:41:41:926,132698,0.4,133156,0.5,266643,0.3,353991,1.75 131,1,2024-09-07 09:41:41:822,949434,949434,0,0,445733096604,4646965043,942538,5704,1192,381,391865,0 131,2,2024-09-07 09:41:40:579,684124,684124,0,0,25762337,0,3979 131,3,2024-09-07 09:41:41:693,1,540,1,0,392,6591,540,0 132,0,2024-09-07 09:41:41:427,132296,0.4,133219,0.6,265362,0.4,353311,1.75 132,1,2024-09-07 09:41:40:577,945549,945549,0,0,444038073552,4666703534,933059,10292,2198,381,392532,0 132,2,2024-09-07 09:41:40:704,682912,682895,17,0,33557797,0,6451 132,3,2024-09-07 09:41:41:692,1,540,0,0,804,8773,540,0 133,0,2024-09-07 09:41:41:572,133500,0.4,136644,0.6,280301,0.3,365020,1.75 133,1,2024-09-07 09:41:40:589,945413,945413,0,0,444169597783,4677241589,932823,10899,1691,383,391914,0 133,2,2024-09-07 09:41:41:092,683652,683602,50,0,33937658,0,6861 133,3,2024-09-07 09:41:41:300,1,540,528,0,528,6844,540,0 134,0,2024-09-07 09:41:40:983,139175,0.5,139110,0.7,278585,0.5,370628,2.00 134,1,2024-09-07 09:41:40:585,947066,947066,0,0,443714923199,4647795015,935665,9254,2147,366,391718,0 134,2,2024-09-07 09:41:41:757,682857,682833,24,0,31948884,0,6207 134,3,2024-09-07 09:41:40:748,1,540,3,0,739,6365,540,0 135,0,2024-09-07 09:41:41:114,130548,0.9,130565,0.9,277257,1.1,356977,2.25 135,1,2024-09-07 09:41:41:589,946751,946751,0,0,444877525723,4674172438,935104,10080,1567,380,391805,0 135,2,2024-09-07 09:41:40:688,683188,683188,0,0,32263944,0,4503 135,3,2024-09-07 09:41:41:007,1,540,6,0,900,5394,540,0 136,0,2024-09-07 09:41:41:657,132262,0.5,132500,0.7,263881,0.5,351426,2.00 136,1,2024-09-07 09:41:41:445,947422,947422,0,0,444095833091,4651407363,937032,9119,1271,381,392135,0 136,2,2024-09-07 09:41:41:133,683946,683931,15,0,31323915,0,6007 136,3,2024-09-07 09:41:41:107,1,540,48,0,637,6371,540,0 137,0,2024-09-07 09:41:40:922,138591,0.5,135085,0.7,264650,0.5,359563,2.00 137,1,2024-09-07 09:41:40:577,945911,945911,0,0,444746654706,4656498516,932445,10792,2674,366,391898,0 137,2,2024-09-07 09:41:41:716,681892,681892,0,0,32979810,0,3185 137,3,2024-09-07 09:41:40:777,1,540,1,0,484,7089,540,0 138,0,2024-09-07 09:41:41:771,138307,0.8,138586,0.9,277698,0.9,368521,2.00 138,1,2024-09-07 09:41:41:685,946912,946912,0,0,445195011217,4663873732,934165,10776,1971,368,391954,0 138,2,2024-09-07 09:41:40:597,682826,682826,0,0,30342002,0,4988 138,3,2024-09-07 09:41:40:610,1,540,19,0,1200,8640,540,0 139,0,2024-09-07 09:41:41:370,136455,1.2,136863,1.0,273730,1.8,365185,2.50 139,1,2024-09-07 09:41:40:583,943066,943066,0,0,442588333288,4687992845,927108,12496,3462,380,392109,0 139,2,2024-09-07 09:41:40:705,678885,678885,0,0,33701038,0,3097 139,3,2024-09-07 09:41:41:666,1,540,0,0,432,6079,540,0 140,0,2024-09-07 09:41:41:597,132240,0.3,131375,0.5,263702,0.2,351878,1.75 140,1,2024-09-07 09:41:41:536,952499,952499,0,0,448156499347,4617088610,947914,4047,538,364,391606,0 140,2,2024-09-07 09:41:40:693,684155,684154,1,0,25834227,0,5036 140,3,2024-09-07 09:41:40:773,1,540,0,0,297,4904,540,0 141,0,2024-09-07 09:41:41:718,132999,0.3,136595,0.4,260898,0.2,353747,1.50 141,1,2024-09-07 09:41:40:862,950701,950701,0,0,446803158311,4637713784,943591,6024,1086,379,391614,0 141,2,2024-09-07 09:41:41:686,684228,684217,11,0,27508928,0,5369 141,3,2024-09-07 09:41:41:044,1,540,5,0,391,6026,540,0 142,0,2024-09-07 09:41:41:318,140436,0.3,139419,0.5,279396,0.3,373412,1.50 142,1,2024-09-07 09:41:40:590,948667,948667,0,0,446013580870,4645401803,942995,5212,460,382,392102,0 142,2,2024-09-07 09:41:41:300,682607,682575,32,0,28016866,0,6028 142,3,2024-09-07 09:41:41:754,1,540,9,0,484,5572,540,0 143,0,2024-09-07 09:41:41:484,138110,0.4,138179,0.6,277142,0.4,368629,1.75 143,1,2024-09-07 09:41:40:562,950960,950960,0,0,446638337422,4631953435,944872,5529,559,367,391722,0 143,2,2024-09-07 09:41:40:772,684048,684048,0,0,27472036,0,3123 143,3,2024-09-07 09:41:41:140,1,540,4,0,462,6609,540,0 144,0,2024-09-07 09:41:41:501,127827,0.6,131533,0.8,267596,0.6,350382,2.00 144,1,2024-09-07 09:41:40:566,946119,946119,0,0,444655409951,4663100036,936718,7433,1968,381,391733,0 144,2,2024-09-07 09:41:41:755,683927,683927,0,0,26493709,0,3673 144,3,2024-09-07 09:41:41:746,1,540,2,0,249,5313,540,0 145,0,2024-09-07 09:41:41:389,127871,0.5,127887,0.7,271497,0.4,349385,2.25 145,1,2024-09-07 09:41:40:568,945797,945797,0,0,443839832423,4668358211,933414,9914,2469,382,391759,0 145,2,2024-09-07 09:41:41:444,681079,680997,82,0,32423413,0,7814 145,3,2024-09-07 09:41:40:897,1,540,2,0,622,7492,540,0 146,0,2024-09-07 09:41:41:620,137786,0.5,137260,0.7,275618,0.5,366542,2.00 146,1,2024-09-07 09:41:41:609,947154,947154,0,0,444912920849,4671764728,932702,10649,3803,367,391770,0 146,2,2024-09-07 09:41:41:695,681693,681687,6,0,30511449,0,5151 146,3,2024-09-07 09:41:41:275,1,540,2,0,1520,9062,540,0 147,0,2024-09-07 09:41:41:723,138487,0.6,138283,0.7,276146,0.6,368760,2.25 147,1,2024-09-07 09:41:41:373,950125,950125,0,0,446183374365,4632899903,942831,6414,880,367,391791,0 147,2,2024-09-07 09:41:41:013,682710,682710,0,0,27260905,0,2968 147,3,2024-09-07 09:41:40:923,1,540,2,0,1626,8614,540,0 0,0,2024-09-07 09:41:51:712,132114,0.5,132200,0.7,280517,0.5,362745,2.00 0,1,2024-09-07 09:41:50:810,948851,948851,0,0,446202859963,4669318607,941290,6718,843,369,391896,0 0,2,2024-09-07 09:41:51:068,685580,685580,0,0,27525074,0,4480 0,3,2024-09-07 09:41:50:974,1,541,1,0,431,7679,541,0 1,0,2024-09-07 09:41:51:820,138952,0.9,137991,0.9,277454,1.2,370249,2.00 1,1,2024-09-07 09:41:50:571,947792,947792,0,0,444492963477,4655723117,939034,7288,1470,370,391859,0 1,2,2024-09-07 09:41:50:646,684889,684889,0,0,26854339,0,3380 1,3,2024-09-07 09:41:51:312,1,541,7,0,269,6640,541,0 2,0,2024-09-07 09:41:51:591,136311,0.6,136190,0.8,272148,0.6,362937,2.00 2,1,2024-09-07 09:41:50:859,951137,951137,0,0,447161303795,4645231979,946028,4180,929,379,391745,0 2,2,2024-09-07 09:41:51:267,684637,684637,0,0,25386560,0,3594 2,3,2024-09-07 09:41:50:691,1,541,10,0,357,4780,541,0 3,0,2024-09-07 09:41:51:763,132198,0.4,132129,0.6,263658,0.3,351294,2.00 3,1,2024-09-07 09:41:51:619,950216,950216,0,0,446563333092,4646034177,942747,6766,703,379,391716,0 3,2,2024-09-07 09:41:51:147,684867,684844,23,0,26611873,0,5851 3,3,2024-09-07 09:41:51:753,1,541,6,0,275,3576,541,0 4,0,2024-09-07 09:41:51:812,129890,0.3,133449,0.5,272137,0.3,356156,1.75 4,1,2024-09-07 09:41:50:611,946165,946165,0,0,443467367652,4694084423,929712,12764,3689,370,391992,0 4,2,2024-09-07 09:41:51:041,681845,681845,0,0,32634403,0,4534 4,3,2024-09-07 09:41:51:038,1,541,13,0,448,6893,541,0 5,0,2024-09-07 09:41:51:446,139429,0.4,139602,0.5,279180,0.4,370881,1.75 5,1,2024-09-07 09:41:50:756,947632,947632,0,0,446082528019,4691625752,934762,10453,2417,367,392005,0 5,2,2024-09-07 09:41:51:829,681788,681788,0,0,31606726,0,3582 5,3,2024-09-07 09:41:51:749,1,541,12,0,457,7256,541,0 6,0,2024-09-07 09:41:50:914,138645,0.5,138231,0.7,276853,0.5,368796,2.00 6,1,2024-09-07 09:41:50:750,949381,949381,0,0,445770196102,4653082723,939711,8211,1459,379,391702,0 6,2,2024-09-07 09:41:51:120,684268,684250,18,0,30406568,0,5535 6,3,2024-09-07 09:41:51:275,1,541,8,0,710,6693,541,0 7,0,2024-09-07 09:41:51:533,130653,0.5,131531,0.7,261894,0.5,348783,2.00 7,1,2024-09-07 09:41:50:850,948145,948145,0,0,445731300779,4677897114,935103,10810,2232,382,391747,0 7,2,2024-09-07 09:41:50:770,684198,684198,0,0,29553611,0,4791 7,3,2024-09-07 09:41:50:855,1,541,1,0,552,5922,541,0 8,0,2024-09-07 09:41:51:370,133278,0.3,132736,0.5,266375,0.3,354861,1.50 8,1,2024-09-07 09:41:51:036,946275,946275,0,0,445563406338,4678943566,931993,11149,3133,366,392853,0 8,2,2024-09-07 09:41:50:794,680341,680339,2,0,35212089,0,5112 8,3,2024-09-07 09:41:50:588,1,541,12,0,538,7906,541,0 9,0,2024-09-07 09:41:51:104,138582,0.3,134905,0.5,282708,0.3,370851,1.75 9,1,2024-09-07 09:41:50:557,946606,946606,0,0,444545085991,4678338223,933076,10875,2655,369,392001,0 9,2,2024-09-07 09:41:51:083,683138,683137,1,0,32192980,0,5281 9,3,2024-09-07 09:41:51:766,1,541,7,0,1273,8903,541,0 10,0,2024-09-07 09:41:51:622,138544,0.4,138137,0.5,276954,0.3,369369,1.75 10,1,2024-09-07 09:41:50:591,948571,948571,0,0,445248566373,4660772171,936144,10337,2090,381,391869,0 10,2,2024-09-07 09:41:50:768,684383,684383,0,0,34646166,0,4264 10,3,2024-09-07 09:41:50:871,1,541,12,0,649,5556,541,0 11,0,2024-09-07 09:41:51:011,132567,0.5,128537,0.6,268950,0.4,354344,1.75 11,1,2024-09-07 09:41:50:589,950315,950315,0,0,445750454181,4669689306,936834,10018,3463,383,391766,0 11,2,2024-09-07 09:41:51:126,684066,684066,0,0,30388364,0,4130 11,3,2024-09-07 09:41:51:298,1,541,1,0,843,7134,541,0 12,0,2024-09-07 09:41:50:984,133249,0.3,133344,0.5,266603,0.3,354276,1.75 12,1,2024-09-07 09:41:50:955,949430,949430,0,0,445325789350,4643056094,941743,6801,886,370,391960,0 12,2,2024-09-07 09:41:51:551,683752,683752,0,0,30313780,0,4390 12,3,2024-09-07 09:41:51:062,1,541,1,0,386,7125,541,0 13,0,2024-09-07 09:41:51:459,138178,0.4,137935,0.5,275404,0.3,367435,1.75 13,1,2024-09-07 09:41:51:551,947360,947360,0,0,445354177644,4677745558,937699,7364,2297,382,391740,0 13,2,2024-09-07 09:41:50:595,685555,685555,0,0,27209462,0,3287 13,3,2024-09-07 09:41:51:774,1,541,9,0,522,7418,541,0 14,0,2024-09-07 09:41:50:564,139061,0.4,140040,0.6,277997,0.4,370010,1.75 14,1,2024-09-07 09:41:51:579,953748,953748,0,0,447711861074,4629397463,946831,6189,728,364,391673,0 14,2,2024-09-07 09:41:50:774,685205,685175,30,0,28532248,0,6104 14,3,2024-09-07 09:41:51:119,1,541,12,0,1168,6075,541,0 15,0,2024-09-07 09:41:51:551,134578,0.4,133960,0.6,268809,0.4,357989,2.00 15,1,2024-09-07 09:41:51:614,951154,951154,0,0,446100624547,4643091826,943416,6252,1486,381,391619,0 15,2,2024-09-07 09:41:51:003,686793,686793,0,0,24025918,0,3622 15,3,2024-09-07 09:41:51:405,1,541,2,0,1126,7341,541,0 16,0,2024-09-07 09:41:51:012,131715,0.5,132473,0.7,264236,0.5,351199,2.00 16,1,2024-09-07 09:41:50:577,950691,950691,0,0,446002606107,4660292715,942260,7129,1302,370,392194,0 16,2,2024-09-07 09:41:51:447,683724,683724,0,0,28473478,0,4719 16,3,2024-09-07 09:41:51:148,1,541,36,0,358,6713,541,0 17,0,2024-09-07 09:41:51:887,139007,0.5,135440,0.7,265631,0.5,360641,2.00 17,1,2024-09-07 09:41:50:573,948635,948635,0,0,445628490066,4671723633,939320,7564,1751,368,392075,0 17,2,2024-09-07 09:41:51:669,687222,687221,1,0,29253753,0,5050 17,3,2024-09-07 09:41:50:588,1,541,13,0,518,7945,541,0 18,0,2024-09-07 09:41:50:944,137641,0.7,138490,0.8,276005,0.7,368277,2.25 18,1,2024-09-07 09:41:51:644,952776,952776,0,0,446754141080,4631269872,946660,5119,997,367,391725,0 18,2,2024-09-07 09:41:51:755,687384,687384,0,0,25957784,0,3541 18,3,2024-09-07 09:41:50:896,1,541,7,0,1059,4988,541,0 19,0,2024-09-07 09:41:51:551,137052,0.6,137373,0.8,273583,0.7,363900,2.25 19,1,2024-09-07 09:41:50:570,952188,952188,0,0,448377593654,4646176803,945196,5907,1085,367,391777,0 19,2,2024-09-07 09:41:51:755,687208,687208,0,0,24232808,0,3988 19,3,2024-09-07 09:41:51:134,1,541,8,0,524,4288,541,0 20,0,2024-09-07 09:41:51:387,131756,0.5,132016,0.7,263498,0.5,351433,2.00 20,1,2024-09-07 09:41:50:589,948653,948653,0,0,445797072154,4666655254,939435,7849,1369,369,391922,0 20,2,2024-09-07 09:41:50:934,683697,683697,0,0,29721200,0,3721 20,3,2024-09-07 09:41:50:592,1,541,29,0,468,8979,541,0 21,0,2024-09-07 09:41:51:181,133077,0.4,133235,0.6,266425,0.4,353870,1.75 21,1,2024-09-07 09:41:51:535,947076,947076,0,0,444170687428,4683457963,932860,11096,3120,368,392016,0 21,2,2024-09-07 09:41:51:067,681925,681905,20,0,34655954,0,5617 21,3,2024-09-07 09:41:51:411,1,541,13,0,713,6837,541,0 22,0,2024-09-07 09:41:51:718,139848,0.5,140356,0.7,280100,0.4,371674,2.00 22,1,2024-09-07 09:41:51:030,947932,947932,0,0,444451759213,4675875560,932350,12276,3306,382,391822,0 22,2,2024-09-07 09:41:50:770,683035,683009,26,0,28885570,0,6328 22,3,2024-09-07 09:41:51:071,1,541,8,0,228,4486,541,0 23,0,2024-09-07 09:41:51:372,138215,0.5,137821,0.7,275627,0.5,367747,2.25 23,1,2024-09-07 09:41:51:006,948986,948986,0,0,446131235669,4678788911,933078,11446,4462,365,391690,0 23,2,2024-09-07 09:41:51:101,685167,685167,0,0,27564960,0,3773 23,3,2024-09-07 09:41:51:762,1,541,0,0,855,8404,541,0 24,0,2024-09-07 09:41:50:888,132899,0.4,132206,0.5,265855,0.4,352855,1.75 24,1,2024-09-07 09:41:50:587,948285,948285,0,0,445112117000,4656301405,939312,7295,1678,367,392269,0 24,2,2024-09-07 09:41:51:091,684185,684185,0,0,32717900,0,4438 24,3,2024-09-07 09:41:51:700,1,541,0,0,468,6774,541,0 25,0,2024-09-07 09:41:51:369,136104,0.4,132637,0.6,259936,0.3,354414,1.75 25,1,2024-09-07 09:41:50:791,947150,947150,0,0,444651730587,4686251150,932160,12017,2973,369,391928,0 25,2,2024-09-07 09:41:51:615,682931,682931,0,0,34179319,0,3978 25,3,2024-09-07 09:41:51:025,1,541,9,0,532,5948,541,0 26,0,2024-09-07 09:41:51:720,137609,0.4,134754,0.5,282593,0.3,367889,1.75 26,1,2024-09-07 09:41:51:540,950333,950333,0,0,446387179591,4669457127,937462,10419,2452,380,391748,0 26,2,2024-09-07 09:41:50:865,683980,683980,0,0,36016551,0,4689 26,3,2024-09-07 09:41:51:712,1,541,13,0,796,5821,541,0 27,0,2024-09-07 09:41:51:724,138660,0.4,139360,0.6,276875,0.4,369438,2.25 27,1,2024-09-07 09:41:51:677,950909,950909,0,0,447892196596,4660755411,942352,7288,1269,381,391626,0 27,2,2024-09-07 09:41:50:869,681412,681347,65,0,31027627,0,5699 27,3,2024-09-07 09:41:51:016,1,541,1,0,564,4745,541,0 28,0,2024-09-07 09:41:51:420,133953,0.4,133707,0.6,267914,0.3,356807,1.75 28,1,2024-09-07 09:41:50:800,951845,951845,0,0,447225673225,4659278335,944067,6064,1714,382,391698,0 28,2,2024-09-07 09:41:51:771,685328,685328,0,0,27333411,0,2915 28,3,2024-09-07 09:41:51:777,1,541,19,0,502,5351,541,0 29,0,2024-09-07 09:41:51:360,135659,0.3,132095,0.6,259165,0.3,353494,1.75 29,1,2024-09-07 09:41:51:567,953768,953768,0,0,448434898276,4635511808,948209,4797,762,367,391809,0 29,2,2024-09-07 09:41:50:866,683770,683770,0,0,26879186,0,4986 29,3,2024-09-07 09:41:50:965,1,541,4,0,459,5829,541,0 30,0,2024-09-07 09:41:51:462,135456,0.4,131945,0.6,276445,0.4,361631,2.00 30,1,2024-09-07 09:41:50:589,953053,953053,0,0,448126185322,4648055736,946072,6079,902,380,391672,0 30,2,2024-09-07 09:41:51:281,684566,684566,0,0,25879161,0,4192 30,3,2024-09-07 09:41:50:588,1,541,15,0,519,5155,541,0 31,0,2024-09-07 09:41:51:774,138749,0.5,139254,0.6,277832,0.4,370617,2.00 31,1,2024-09-07 09:41:50:570,955970,955970,0,0,448866424698,4605961504,950638,4321,1011,356,391712,0 31,2,2024-09-07 09:41:51:275,684029,684029,0,0,28382610,0,4470 31,3,2024-09-07 09:41:51:706,1,541,1,0,220,4513,541,0 32,0,2024-09-07 09:41:51:414,136755,0.3,137475,0.5,273908,0.3,364476,1.75 32,1,2024-09-07 09:41:50:819,952293,952293,0,0,447204790419,4646521550,946483,5101,709,381,391646,0 32,2,2024-09-07 09:41:50:936,685700,685700,0,0,24915238,0,3922 32,3,2024-09-07 09:41:51:018,1,541,2,0,304,4430,541,0 33,0,2024-09-07 09:41:51:515,132763,0.3,132395,0.4,264893,0.2,352321,1.50 33,1,2024-09-07 09:41:50:578,952716,952716,0,0,447864530132,4637254967,945454,6088,1174,368,391730,0 33,2,2024-09-07 09:41:50:765,685483,685448,35,0,27733776,0,7012 33,3,2024-09-07 09:41:50:895,1,541,1,0,329,4960,541,0 34,0,2024-09-07 09:41:50:929,134140,0.3,137802,0.4,263368,0.2,355549,1.75 34,1,2024-09-07 09:41:51:048,954680,954680,0,0,448665912768,4612708330,952167,2491,22,367,391637,0 34,2,2024-09-07 09:41:50:765,686156,686156,0,0,26189297,0,4562 34,3,2024-09-07 09:41:51:698,1,541,8,0,320,4337,541,0 35,0,2024-09-07 09:41:50:867,138649,0.3,139345,0.5,279926,0.2,371470,1.75 35,1,2024-09-07 09:41:51:068,951402,951402,0,0,447246532780,4637613202,944740,5448,1214,382,391769,0 35,2,2024-09-07 09:41:51:583,684617,684617,0,0,28724090,0,4055 35,3,2024-09-07 09:41:50:909,1,541,1,0,466,5408,541,0 36,0,2024-09-07 09:41:51:522,139031,0.5,138848,0.7,277565,0.5,369680,2.00 36,1,2024-09-07 09:41:50:589,951083,951083,0,0,445616543952,4652053035,938787,10073,2223,366,391759,0 36,2,2024-09-07 09:41:51:755,684568,684568,0,0,29988551,0,3875 36,3,2024-09-07 09:41:50:865,1,541,1,0,416,7211,541,0 37,0,2024-09-07 09:41:51:371,130867,0.5,130842,0.7,261946,0.5,349470,2.00 37,1,2024-09-07 09:41:50:578,950020,950013,0,7,446036963904,4655294922,938880,8457,2676,365,391770,0 37,2,2024-09-07 09:41:51:147,682385,682370,15,0,30519390,0,5815 37,3,2024-09-07 09:41:51:770,1,541,2,0,888,7447,541,0 38,0,2024-09-07 09:41:51:448,132353,0.4,128380,0.6,268443,0.3,351929,2.00 38,1,2024-09-07 09:41:51:613,950851,950851,0,0,446307511840,4662516641,937548,10784,2519,368,391821,0 38,2,2024-09-07 09:41:50:765,684188,684141,47,0,30759412,0,6710 38,3,2024-09-07 09:41:51:005,1,541,9,0,689,6744,541,0 39,0,2024-09-07 09:41:51:763,142243,0.5,139068,0.7,271110,0.4,370322,2.00 39,1,2024-09-07 09:41:50:729,948974,948974,0,0,445513003949,4670551719,933087,12417,3470,365,391865,0 39,2,2024-09-07 09:41:51:416,684891,684891,0,0,28344588,0,3391 39,3,2024-09-07 09:41:50:713,1,541,26,0,525,6476,541,0 40,0,2024-09-07 09:41:51:494,137360,0.9,137950,1.0,275312,1.1,367304,2.75 40,1,2024-09-07 09:41:50:582,951130,951130,0,0,445076871959,4651101454,939031,9920,2179,368,391668,0 40,2,2024-09-07 09:41:51:310,682417,682416,1,0,33636745,0,5137 40,3,2024-09-07 09:41:51:147,1,541,0,0,1028,7415,541,0 41,0,2024-09-07 09:41:51:036,132006,1.2,134938,1.1,257340,1.8,350069,3.00 41,1,2024-09-07 09:41:50:768,949589,949589,0,0,444994545082,4652392459,937655,9999,1935,369,391878,0 41,2,2024-09-07 09:41:50:769,682109,682108,1,0,32427952,0,5408 41,3,2024-09-07 09:41:51:676,1,541,5,0,366,5785,541,0 42,0,2024-09-07 09:41:51:474,131867,0.7,131583,0.9,263235,0.8,349190,2.50 42,1,2024-09-07 09:41:51:442,946637,946637,0,0,445307393848,4677705287,931564,12165,2908,380,391675,0 42,2,2024-09-07 09:41:51:132,683585,683585,0,0,31732007,0,3975 42,3,2024-09-07 09:41:51:026,1,541,8,0,892,4746,541,0 43,0,2024-09-07 09:41:50:916,136253,0.6,132629,0.8,277793,0.6,364402,2.25 43,1,2024-09-07 09:41:50:589,950074,950074,0,0,446435488715,4660457807,937201,10613,2260,366,391696,0 43,2,2024-09-07 09:41:51:736,684353,684353,0,0,30932914,0,4723 43,3,2024-09-07 09:41:51:755,1,541,1,0,571,7375,541,0 44,0,2024-09-07 09:41:50:860,139277,0.4,139237,0.6,279124,0.4,370578,1.75 44,1,2024-09-07 09:41:50:564,952745,952745,0,0,447275758176,4619294298,944941,6217,1587,356,391809,0 44,2,2024-09-07 09:41:51:266,682913,682913,0,0,25698754,0,4344 44,3,2024-09-07 09:41:51:094,1,541,1,0,817,5801,541,0 45,0,2024-09-07 09:41:51:759,133149,0.5,130059,0.7,273075,0.5,358186,2.00 45,1,2024-09-07 09:41:51:006,951758,951758,0,0,447699210532,4650607242,944242,6640,876,382,391917,0 45,2,2024-09-07 09:41:51:268,685430,685430,0,0,25987007,0,3596 45,3,2024-09-07 09:41:50:934,1,541,7,0,365,5018,541,0 46,0,2024-09-07 09:41:50:947,131409,0.4,130863,0.7,262493,0.4,348339,2.00 46,1,2024-09-07 09:41:50:577,953155,953155,0,0,447743150251,4624687555,946746,5545,864,366,391709,0 46,2,2024-09-07 09:41:50:593,685334,685334,0,0,26365713,0,4443 46,3,2024-09-07 09:41:51:131,1,541,8,0,908,6406,541,0 47,0,2024-09-07 09:41:51:101,134929,0.4,135073,0.5,271061,0.3,359574,1.75 47,1,2024-09-07 09:41:50:573,954552,954552,0,0,448320835805,4628109449,949310,4403,839,365,391641,0 47,2,2024-09-07 09:41:50:908,687615,687615,0,0,26153593,0,4477 47,3,2024-09-07 09:41:51:114,1,541,1,0,600,5998,541,0 48,0,2024-09-07 09:41:51:493,139271,0.3,139459,0.4,278303,0.2,370097,1.50 48,1,2024-09-07 09:41:51:032,951805,951805,0,0,446972724094,4641921601,945987,5242,576,384,391710,0 48,2,2024-09-07 09:41:50:700,685391,685391,0,0,24020583,0,3411 48,3,2024-09-07 09:41:50:753,1,541,1,0,339,4215,541,0 49,0,2024-09-07 09:41:51:719,141326,0.3,138669,0.5,269558,0.3,368031,1.75 49,1,2024-09-07 09:41:51:026,951129,951129,0,0,447543680705,4649623262,945560,4358,1211,382,391809,0 49,2,2024-09-07 09:41:51:800,685711,685711,0,0,26595519,0,4426 49,3,2024-09-07 09:41:51:415,1,541,1,0,992,6226,541,0 50,0,2024-09-07 09:41:51:507,132670,0.3,130904,0.5,263705,0.2,351200,1.75 50,1,2024-09-07 09:41:51:014,954592,954592,0,0,447855080932,4628293701,948645,5279,668,368,391565,0 50,2,2024-09-07 09:41:51:071,683818,683818,0,0,24474176,0,4490 50,3,2024-09-07 09:41:51:295,1,541,8,0,617,5502,541,0 51,0,2024-09-07 09:41:51:726,136687,0.3,133798,0.4,260467,0.2,355349,1.75 51,1,2024-09-07 09:41:51:682,955462,955462,0,0,449424119622,4631568852,950691,3715,1056,365,391706,0 51,2,2024-09-07 09:41:51:320,685351,685351,0,0,23858155,0,3337 51,3,2024-09-07 09:41:51:035,1,541,0,0,678,3819,541,0 52,0,2024-09-07 09:41:51:412,140371,0.5,139995,0.7,280302,0.5,372647,2.00 52,1,2024-09-07 09:41:50:578,950246,950246,0,0,445497276472,4660120587,937010,11130,2106,368,391722,0 52,2,2024-09-07 09:41:51:755,681120,681082,38,0,31696196,0,6742 52,3,2024-09-07 09:41:50:675,1,541,16,0,1782,6820,541,0 53,0,2024-09-07 09:41:51:755,137898,0.7,133749,0.8,279787,0.8,367145,2.50 53,1,2024-09-07 09:41:50:771,948538,948538,0,0,445520970069,4671519192,933167,11527,3844,367,391968,0 53,2,2024-09-07 09:41:51:297,684427,684426,1,0,28295943,0,5455 53,3,2024-09-07 09:41:50:697,1,541,2,0,308,5189,541,0 54,0,2024-09-07 09:41:51:614,130114,0.6,130443,0.8,259813,0.4,346823,2.25 54,1,2024-09-07 09:41:50:583,950902,950902,0,0,446709898437,4643937935,940830,8438,1634,366,391810,0 54,2,2024-09-07 09:41:50:865,685020,684988,32,0,32167148,0,6397 54,3,2024-09-07 09:41:50:764,1,541,10,0,676,7369,541,0 55,0,2024-09-07 09:41:51:768,127943,0.5,131972,0.7,267459,0.4,348517,2.25 55,1,2024-09-07 09:41:50:764,952226,952226,0,0,446310395889,4638829349,942438,8467,1321,365,391731,0 55,2,2024-09-07 09:41:50:738,683085,683029,56,0,30471336,0,7239 55,3,2024-09-07 09:41:50:673,1,541,1,0,304,4911,541,0 56,0,2024-09-07 09:41:51:590,141212,1.0,133131,1.0,274300,1.3,367349,2.50 56,1,2024-09-07 09:41:50:576,946597,946597,0,0,445736966954,4703105690,931962,11692,2943,381,391867,0 56,2,2024-09-07 09:41:51:310,683450,683328,122,0,31777040,0,7432 56,3,2024-09-07 09:41:51:059,1,541,2,0,705,6347,541,0 57,0,2024-09-07 09:41:50:956,136747,1.6,136704,1.3,273757,2.2,365949,3.25 57,1,2024-09-07 09:41:50:995,948013,948013,0,0,445171248591,4667385773,935662,10066,2285,366,392032,0 57,2,2024-09-07 09:41:51:328,684010,684010,0,0,32641537,0,4317 57,3,2024-09-07 09:41:51:747,1,541,7,0,455,6104,541,0 58,0,2024-09-07 09:41:50:596,131844,0.9,128014,1.0,267748,1.1,350325,2.50 58,1,2024-09-07 09:41:50:582,949735,949732,0,3,446009480867,4670127982,935922,10489,3321,367,391675,3 58,2,2024-09-07 09:41:51:074,684384,684384,0,0,31028822,0,3483 58,3,2024-09-07 09:41:51:067,1,541,13,0,1043,5860,541,0 59,0,2024-09-07 09:41:51:764,131319,0.8,131326,0.9,261788,0.8,347595,2.75 59,1,2024-09-07 09:41:50:809,948313,948313,0,0,445509208789,4674869317,933389,11784,3140,369,391653,0 59,2,2024-09-07 09:41:50:591,683864,683864,0,0,29587883,0,3727 59,3,2024-09-07 09:41:51:747,1,541,23,0,1015,6560,541,0 60,0,2024-09-07 09:41:51:764,135940,0.5,136320,0.6,272444,0.4,362797,1.75 60,1,2024-09-07 09:41:50:772,953219,953219,0,0,447750449562,4644456928,947023,5257,939,370,392031,0 60,2,2024-09-07 09:41:51:150,684587,684587,0,0,28099645,0,3811 60,3,2024-09-07 09:41:51:258,1,541,37,0,409,6187,541,0 61,0,2024-09-07 09:41:51:526,138810,0.7,139386,0.8,277623,0.7,370229,2.00 61,1,2024-09-07 09:41:50:770,949888,949888,0,0,446347831067,4670378262,939959,8348,1581,382,392127,0 61,2,2024-09-07 09:41:51:116,684784,684717,67,0,29006940,0,6411 61,3,2024-09-07 09:41:51:687,1,541,1,0,607,7567,541,0 62,0,2024-09-07 09:41:51:708,137073,0.6,140619,0.8,268440,0.6,364307,2.00 62,1,2024-09-07 09:41:51:166,955081,955075,0,6,449070229681,4625617910,950909,3886,280,365,391975,6 62,2,2024-09-07 09:41:51:646,683059,683058,1,0,28183958,0,5555 62,3,2024-09-07 09:41:51:147,1,541,7,0,482,4306,541,0 63,0,2024-09-07 09:41:51:452,132787,0.4,132499,0.6,265891,0.3,352703,1.75 63,1,2024-09-07 09:41:50:827,952350,952344,0,6,447466718651,4641910906,946582,5011,751,381,391800,6 63,2,2024-09-07 09:41:50:764,684646,684646,0,0,27370046,0,4369 63,3,2024-09-07 09:41:51:745,1,541,4,0,667,5650,541,0 64,0,2024-09-07 09:41:51:549,133415,0.5,133555,0.6,266270,0.4,354774,1.75 64,1,2024-09-07 09:41:50:757,951409,951409,0,0,446681772614,4648876233,943508,6129,1772,370,391794,0 64,2,2024-09-07 09:41:51:151,689198,689179,19,0,26430028,0,6121 64,3,2024-09-07 09:41:51:148,1,541,8,0,651,5601,541,0 65,0,2024-09-07 09:41:51:673,138346,0.6,138672,0.7,276842,0.6,368867,2.00 65,1,2024-09-07 09:41:50:863,949909,949909,0,0,445059464495,4649714484,943151,5960,798,381,391901,0 65,2,2024-09-07 09:41:51:700,684336,684336,0,0,31038322,0,3367 65,3,2024-09-07 09:41:51:690,1,541,2,0,782,6340,541,0 66,0,2024-09-07 09:41:51:765,138145,0.6,137646,0.8,275309,0.5,366783,2.25 66,1,2024-09-07 09:41:51:293,951986,951986,0,0,446927802675,4649203352,945835,5429,722,380,391743,0 66,2,2024-09-07 09:41:51:133,686308,686305,3,0,28073828,0,5455 66,3,2024-09-07 09:41:51:092,1,541,12,0,291,4584,541,0 67,0,2024-09-07 09:41:51:421,131362,0.5,131044,0.7,262708,0.5,349747,2.00 67,1,2024-09-07 09:41:50:766,951968,951967,0,1,446734668475,4648296073,945683,5470,814,380,391787,1 67,2,2024-09-07 09:41:50:589,686767,686752,15,0,27413732,0,6205 67,3,2024-09-07 09:41:51:755,1,541,1,0,595,5738,541,0 68,0,2024-09-07 09:41:50:758,133126,0.5,132970,0.7,264886,0.5,354318,2.00 68,1,2024-09-07 09:41:50:609,947404,947404,0,0,444846700938,4675773073,934984,9019,3401,381,391953,0 68,2,2024-09-07 09:41:51:072,680909,680809,100,0,35203594,0,8578 68,3,2024-09-07 09:41:50:735,1,541,5,0,417,6900,541,0 69,0,2024-09-07 09:41:51:757,138133,0.6,139122,0.7,277225,0.6,368230,2.25 69,1,2024-09-07 09:41:51:017,947084,947084,0,0,445242360763,4688267295,934821,9501,2762,383,391994,0 69,2,2024-09-07 09:41:51:749,682905,682876,29,0,36293378,0,6912 69,3,2024-09-07 09:41:50:764,1,541,0,0,698,7864,541,0 70,0,2024-09-07 09:41:51:535,136995,0.9,137415,1.0,276529,0.8,366248,2.50 70,1,2024-09-07 09:41:50:812,952985,952985,0,0,447766678389,4643973880,945725,6498,762,366,391725,0 70,2,2024-09-07 09:41:51:325,684206,684206,0,0,30261833,0,4323 70,3,2024-09-07 09:41:50:746,1,541,7,0,854,5820,541,0 71,0,2024-09-07 09:41:51:369,131294,1.1,131087,1.1,263303,1.5,351312,2.75 71,1,2024-09-07 09:41:51:597,950218,950218,0,0,445998343174,4653746984,938513,10356,1349,368,391738,0 71,2,2024-09-07 09:41:51:075,684248,684248,0,0,31235741,0,4352 71,3,2024-09-07 09:41:51:755,1,541,13,0,644,6295,541,0 72,0,2024-09-07 09:41:51:034,136840,0.5,133703,0.7,260969,0.5,354688,2.00 72,1,2024-09-07 09:41:51:026,949027,949027,0,0,445693564452,4672029828,935561,11180,2286,369,391819,0 72,2,2024-09-07 09:41:51:755,682854,682854,0,0,32545978,0,3983 72,3,2024-09-07 09:41:51:756,1,541,2,0,564,7584,541,0 73,0,2024-09-07 09:41:51:115,133885,0.4,137107,0.6,280692,0.4,365393,2.00 73,1,2024-09-07 09:41:50:858,951399,951399,0,0,446107064735,4632347341,944540,6099,760,367,391858,0 73,2,2024-09-07 09:41:51:749,684270,684270,0,0,32938291,0,4728 73,3,2024-09-07 09:41:50:974,1,541,43,0,486,6717,541,0 74,0,2024-09-07 09:41:51:329,140026,0.5,143374,0.7,273417,0.5,370931,2.00 74,1,2024-09-07 09:41:50:641,949628,949628,0,0,445860669431,4652705133,939170,8533,1925,381,391762,0 74,2,2024-09-07 09:41:51:013,683877,683877,0,0,28711789,0,4253 74,3,2024-09-07 09:41:51:447,1,541,0,0,522,6383,541,0 75,0,2024-09-07 09:41:51:783,135082,0.6,134271,0.8,269452,0.5,359769,2.25 75,1,2024-09-07 09:41:51:587,950970,950970,0,0,446555108725,4652172597,943074,7033,863,380,391739,0 75,2,2024-09-07 09:41:51:359,683345,683345,0,0,34559080,0,4766 75,3,2024-09-07 09:41:51:071,1,541,1,0,702,6262,541,0 76,0,2024-09-07 09:41:50:594,131333,0.5,130652,0.7,261800,0.5,350037,2.25 76,1,2024-09-07 09:41:50:828,950588,950588,0,0,446215924191,4651475281,943952,5669,967,382,391723,0 76,2,2024-09-07 09:41:51:060,686393,686390,3,0,29068587,0,5265 76,3,2024-09-07 09:41:51:147,1,541,1,0,227,4953,541,0 77,0,2024-09-07 09:41:51:702,134401,0.5,134851,0.7,269812,0.4,358579,2.00 77,1,2024-09-07 09:41:50:836,950734,950734,0,0,446791812114,4657264153,943886,6252,596,381,391869,0 77,2,2024-09-07 09:41:51:285,684204,684204,0,0,28656541,0,3890 77,3,2024-09-07 09:41:51:104,1,541,17,0,401,5713,541,0 78,0,2024-09-07 09:41:51:717,139384,0.4,138839,0.6,278547,0.4,368651,2.00 78,1,2024-09-07 09:41:50:610,950768,950768,0,0,445686970976,4644443576,939991,8250,2527,367,391670,0 78,2,2024-09-07 09:41:51:406,686506,686493,13,0,26576972,0,8313 78,3,2024-09-07 09:41:51:134,1,541,7,0,311,4623,541,0 79,0,2024-09-07 09:41:51:351,132868,0.4,136084,0.6,278856,0.4,362707,2.25 79,1,2024-09-07 09:41:50:582,953489,953489,0,0,447592822073,4634802769,945748,6384,1357,367,391682,0 79,2,2024-09-07 09:41:51:071,684863,684863,0,0,25528335,0,4195 79,3,2024-09-07 09:41:50:749,1,541,10,0,418,6363,541,0 80,0,2024-09-07 09:41:51:077,131691,0.5,135414,0.6,258900,0.5,350736,2.00 80,1,2024-09-07 09:41:51:627,950362,950362,0,0,447133559685,4651329746,944497,5436,429,368,392269,0 80,2,2024-09-07 09:41:51:093,686554,686554,0,0,26255128,0,4433 80,3,2024-09-07 09:41:50:590,1,541,681,0,681,6979,541,0 81,0,2024-09-07 09:41:51:548,133328,0.5,136461,0.7,260228,0.5,353753,2.00 81,1,2024-09-07 09:41:51:656,949499,949499,0,0,445860218019,4658090367,942089,6770,640,382,391885,0 81,2,2024-09-07 09:41:51:133,684285,684222,63,0,29218750,0,5932 81,3,2024-09-07 09:41:51:119,1,541,6,0,719,6081,541,0 82,0,2024-09-07 09:41:51:531,139694,0.4,139830,0.7,280369,0.4,371714,2.00 82,1,2024-09-07 09:41:50:589,952251,952247,0,4,447345280201,4648010024,947164,4262,821,381,391768,4 82,2,2024-09-07 09:41:51:691,686471,686471,0,0,24306319,0,4484 82,3,2024-09-07 09:41:51:755,1,541,7,0,363,5041,541,0 83,0,2024-09-07 09:41:51:534,138471,0.6,138384,0.7,276307,0.6,367270,2.25 83,1,2024-09-07 09:41:50:552,950608,950608,0,0,445896791555,4645403860,944242,5909,457,382,391709,0 83,2,2024-09-07 09:41:50:764,684456,684431,25,0,26265856,0,5612 83,3,2024-09-07 09:41:50:751,1,541,7,0,1260,6548,541,0 84,0,2024-09-07 09:41:51:917,130893,0.7,131149,0.9,261924,0.7,350120,2.25 84,1,2024-09-07 09:41:51:039,949258,949258,0,0,445395064910,4653698938,938967,8763,1528,367,391967,0 84,2,2024-09-07 09:41:50:571,683943,683913,30,0,34746673,0,5971 84,3,2024-09-07 09:41:51:148,1,541,2,0,908,7456,541,0 85,0,2024-09-07 09:41:51:005,127358,0.5,127323,0.7,270367,0.5,350134,2.00 85,1,2024-09-07 09:41:50:582,946185,946185,0,0,445449525259,4701127728,931781,11746,2658,381,392092,0 85,2,2024-09-07 09:41:50:865,683181,683181,0,0,32462304,0,4255 85,3,2024-09-07 09:41:50:687,1,541,8,0,789,6165,541,0 86,0,2024-09-07 09:41:50:917,138146,0.6,141916,0.7,271426,0.6,367678,2.25 86,1,2024-09-07 09:41:50:824,948797,948797,0,0,446694155851,4677447612,937348,9470,1979,366,391995,0 86,2,2024-09-07 09:41:50:864,683097,683096,1,0,34775222,0,5004 86,3,2024-09-07 09:41:50:592,1,541,8,0,308,7663,541,0 87,0,2024-09-07 09:41:51:286,138549,0.9,138300,0.9,276591,1.1,369777,2.25 87,1,2024-09-07 09:41:50:554,947934,947934,0,0,445400063323,4664613792,935712,10506,1716,366,392076,0 87,2,2024-09-07 09:41:51:066,683275,683269,6,0,30712172,0,6323 87,3,2024-09-07 09:41:51:794,1,541,1,0,473,8087,541,0 88,0,2024-09-07 09:41:51:467,133590,0.5,134151,0.6,268091,0.4,356661,1.75 88,1,2024-09-07 09:41:50:571,947677,947677,0,0,445691685457,4665431292,934843,10205,2629,365,392084,0 88,2,2024-09-07 09:41:50:687,684495,684495,0,0,33351976,0,4465 88,3,2024-09-07 09:41:51:268,1,541,301,0,435,7105,541,0 89,0,2024-09-07 09:41:51:781,135800,0.4,131666,0.6,260120,0.4,353559,1.75 89,1,2024-09-07 09:41:50:553,947792,947792,0,0,444679130856,4675869358,936138,9813,1841,382,391866,0 89,2,2024-09-07 09:41:51:154,683469,683469,0,0,31566982,0,3173 89,3,2024-09-07 09:41:51:801,1,541,12,0,468,8758,541,0 90,0,2024-09-07 09:41:51:635,132130,0.4,135659,0.6,276530,0.4,361303,1.75 90,1,2024-09-07 09:41:50:593,949109,949109,0,0,445474613840,4660142321,940572,7802,735,380,391825,0 90,2,2024-09-07 09:41:51:406,681797,681797,0,0,33733815,0,3608 90,3,2024-09-07 09:41:50:942,1,541,9,0,322,6522,541,0 91,0,2024-09-07 09:41:50:929,139373,0.5,135148,0.6,282645,0.4,370825,1.75 91,1,2024-09-07 09:41:50:566,947246,947246,0,0,445675354120,4682317521,935633,9600,2013,381,392047,0 91,2,2024-09-07 09:41:51:338,684804,684804,0,0,29855299,0,4713 91,3,2024-09-07 09:41:50:610,1,541,2,0,216,5060,541,0 92,0,2024-09-07 09:41:51:443,138184,0.5,141182,0.6,269315,0.5,364624,1.75 92,1,2024-09-07 09:41:50:581,950068,950068,0,0,446501093886,4661730710,942542,6318,1208,381,392136,0 92,2,2024-09-07 09:41:51:350,684832,684832,0,0,26853168,0,3259 92,3,2024-09-07 09:41:51:015,1,541,2,0,167,4613,541,0 93,0,2024-09-07 09:41:50:954,133084,0.4,136419,0.6,260500,0.3,352700,1.75 93,1,2024-09-07 09:41:50:811,950265,950265,0,0,445486567327,4648076447,939947,8584,1734,366,391776,0 93,2,2024-09-07 09:41:50:928,684549,684549,0,0,32595433,0,4913 93,3,2024-09-07 09:41:51:406,1,541,8,0,190,4789,541,0 94,0,2024-09-07 09:41:51:610,133300,0.3,134210,0.5,268195,0.3,356461,1.75 94,1,2024-09-07 09:41:50:564,950845,950845,0,0,446527964786,4654185528,944730,5739,376,381,391850,0 94,2,2024-09-07 09:41:50:765,684940,684912,28,0,27908227,0,6179 94,3,2024-09-07 09:41:51:694,1,541,8,0,576,6723,541,0 95,0,2024-09-07 09:41:51:346,139390,0.3,139428,0.5,279005,0.3,371427,1.75 95,1,2024-09-07 09:41:50:855,952228,952228,0,0,447416813008,4646695723,944867,6702,659,365,391852,0 95,2,2024-09-07 09:41:51:018,684015,684015,0,0,26639481,0,3308 95,3,2024-09-07 09:41:51:710,1,541,3,0,718,8230,541,0 96,0,2024-09-07 09:41:51:027,138617,0.4,138836,0.5,277602,0.3,369011,1.75 96,1,2024-09-07 09:41:51:588,950002,950002,0,0,445988847467,4649246141,943593,5494,915,384,391964,0 96,2,2024-09-07 09:41:51:268,684686,684686,0,0,27645820,0,4180 96,3,2024-09-07 09:41:51:140,1,541,2,0,411,5707,541,0 97,0,2024-09-07 09:41:51:320,131265,0.3,131205,0.5,263480,0.3,349823,1.50 97,1,2024-09-07 09:41:50:776,952227,952227,0,0,446780677054,4631873954,946455,4827,945,367,392140,0 97,2,2024-09-07 09:41:50:609,686008,686008,0,0,27252772,0,3679 97,3,2024-09-07 09:41:50:570,1,541,1,0,242,6099,541,0 98,0,2024-09-07 09:41:51:781,132578,0.3,132717,0.4,266261,0.2,354006,1.50 98,1,2024-09-07 09:41:50:571,951254,951254,0,0,445890772161,4641182910,945776,4677,801,381,391997,0 98,2,2024-09-07 09:41:50:770,685291,685291,0,0,26821798,0,4336 98,3,2024-09-07 09:41:50:709,1,541,11,0,840,8319,541,0 99,0,2024-09-07 09:41:51:554,138851,0.3,139462,0.4,277570,0.3,371034,1.75 99,1,2024-09-07 09:41:51:740,951466,951466,0,0,446167043963,4642437402,945439,5098,929,380,392069,0 99,2,2024-09-07 09:41:51:438,685341,685341,0,0,32372459,0,4276 99,3,2024-09-07 09:41:50:586,1,541,7,0,606,5344,541,0 100,0,2024-09-07 09:41:51:501,138282,0.8,138568,0.9,276795,0.9,369689,2.50 100,1,2024-09-07 09:41:50:563,946302,946302,0,0,443945038836,4690603149,931920,11282,3100,378,391989,0 100,2,2024-09-07 09:41:51:823,681756,681745,11,0,31489726,0,5417 100,3,2024-09-07 09:41:51:744,1,541,3,0,627,9573,541,0 101,0,2024-09-07 09:41:51:739,135419,1.2,131963,1.1,258692,1.1,353530,2.25 101,1,2024-09-07 09:41:50:564,947660,947660,0,0,444987063276,4673065496,935166,10092,2402,368,391847,0 101,2,2024-09-07 09:41:51:765,680640,680640,0,0,36677778,0,4871 101,3,2024-09-07 09:41:50:942,1,541,1,0,1250,8343,541,0 102,0,2024-09-07 09:41:50:961,128855,0.6,132826,0.7,269480,0.5,352736,2.00 102,1,2024-09-07 09:41:51:156,947612,947612,0,0,444703507303,4668716282,935177,10369,2066,369,391891,0 102,2,2024-09-07 09:41:51:748,684315,684261,54,0,31022812,0,6768 102,3,2024-09-07 09:41:51:613,1,541,21,0,466,6255,541,0 103,0,2024-09-07 09:41:51:610,141861,0.5,141853,0.7,267381,0.5,368268,2.00 103,1,2024-09-07 09:41:51:697,946153,946153,0,0,444972810797,4693750760,931846,11106,3201,381,391862,0 103,2,2024-09-07 09:41:50:583,682732,682732,0,0,32726421,0,3766 103,3,2024-09-07 09:41:50:763,1,541,20,0,916,6352,541,0 104,0,2024-09-07 09:41:51:014,138035,0.7,138144,0.9,275704,0.7,368856,2.25 104,1,2024-09-07 09:41:51:598,949019,949019,0,0,445393611177,4675081070,935747,10710,2562,365,392168,0 104,2,2024-09-07 09:41:51:665,681665,681665,0,0,31653066,0,3941 104,3,2024-09-07 09:41:51:424,1,541,4,0,1245,9304,541,0 105,0,2024-09-07 09:41:51:031,133454,0.9,130009,1.1,272581,1.0,357779,2.75 105,1,2024-09-07 09:41:50:558,950285,950285,0,0,446364379528,4672141501,938677,9839,1769,364,392009,0 105,2,2024-09-07 09:41:51:330,682984,682984,0,0,32016793,0,4360 105,3,2024-09-07 09:41:51:308,1,541,1,0,399,7510,541,0 106,0,2024-09-07 09:41:51:009,127389,0.7,130469,0.9,267364,0.7,348662,2.50 106,1,2024-09-07 09:41:51:758,949373,949373,0,0,445320524803,4667816251,936750,10973,1650,368,391914,0 106,2,2024-09-07 09:41:50:763,683148,683148,0,0,30005584,0,2920 106,3,2024-09-07 09:41:50:682,1,541,18,0,1224,8016,541,0 107,0,2024-09-07 09:41:51:114,134805,0.7,134711,0.8,269538,0.7,359470,2.00 107,1,2024-09-07 09:41:50:586,947305,947305,0,0,444775201346,4685439926,934394,11518,1393,381,392234,0 107,2,2024-09-07 09:41:51:291,682037,682036,1,0,32839529,0,5024 107,3,2024-09-07 09:41:51:757,1,541,15,0,733,8003,541,0 108,0,2024-09-07 09:41:51:806,138845,0.4,139486,0.6,277797,0.4,370264,1.75 108,1,2024-09-07 09:41:51:324,949726,949726,0,0,446004917998,4649762670,941802,6952,972,367,391894,0 108,2,2024-09-07 09:41:51:764,683975,683975,0,0,29404169,0,4246 108,3,2024-09-07 09:41:51:342,1,541,5,0,749,10421,541,0 109,0,2024-09-07 09:41:51:812,138332,0.4,137156,0.6,274972,0.4,367070,1.75 109,1,2024-09-07 09:41:50:584,947380,947380,0,0,445979798912,4675579877,939066,7097,1217,382,392132,0 109,2,2024-09-07 09:41:50:936,682331,682331,0,0,29333616,0,3617 109,3,2024-09-07 09:41:51:146,1,541,1,0,630,6642,541,0 110,0,2024-09-07 09:41:51:767,131858,0.4,128255,0.6,268515,0.3,352129,1.75 110,1,2024-09-07 09:41:51:643,951378,951378,0,0,447762043865,4647417286,943841,5614,1923,368,392045,0 110,2,2024-09-07 09:41:51:311,684784,684784,0,0,27789730,0,4067 110,3,2024-09-07 09:41:50:700,1,541,12,0,722,7377,541,0 111,0,2024-09-07 09:41:51:463,133643,0.3,132890,0.5,266120,0.2,355099,1.75 111,1,2024-09-07 09:41:51:006,952817,952817,0,0,448155834862,4643822191,948272,4188,357,380,391690,0 111,2,2024-09-07 09:41:51:116,684783,684783,0,0,28342334,0,4823 111,3,2024-09-07 09:41:50:913,1,541,2,0,379,6050,541,0 112,0,2024-09-07 09:41:50:919,140673,0.3,140050,0.4,280913,0.2,372911,1.50 112,1,2024-09-07 09:41:50:826,951777,951777,0,0,446940548932,4637658278,945869,5217,691,380,391624,0 112,2,2024-09-07 09:41:51:135,684698,684697,1,0,26895287,0,5036 112,3,2024-09-07 09:41:50:593,1,541,1,0,282,5121,541,0 113,0,2024-09-07 09:41:50:866,138607,0.3,138561,0.5,277410,0.2,369455,1.75 113,1,2024-09-07 09:41:51:685,954203,954203,0,0,448175693504,4622336384,948947,4441,815,365,391664,0 113,2,2024-09-07 09:41:51:313,686932,686932,0,0,24428368,0,3813 113,3,2024-09-07 09:41:50:689,1,541,1,0,510,6139,541,0 114,0,2024-09-07 09:41:50:881,132460,0.3,133253,0.5,264925,0.2,354125,1.75 114,1,2024-09-07 09:41:50:737,951746,951746,0,0,447729441569,4646756920,944832,5298,1616,381,391565,0 114,2,2024-09-07 09:41:50:872,685983,685982,1,0,26651154,0,5069 114,3,2024-09-07 09:41:51:278,1,541,8,0,395,4313,541,0 115,0,2024-09-07 09:41:50:581,132843,0.3,133494,0.4,265933,0.2,353799,1.50 115,1,2024-09-07 09:41:50:571,952239,952239,0,0,447428694507,4646490047,944835,6047,1357,382,391757,0 115,2,2024-09-07 09:41:51:125,687455,687455,0,0,26065825,0,4382 115,3,2024-09-07 09:41:51:005,1,541,1,0,167,3029,541,0 116,0,2024-09-07 09:41:51:709,137848,0.6,137630,0.8,275987,0.5,368791,2.00 116,1,2024-09-07 09:41:50:827,947350,947350,0,0,445551091750,4691982284,936674,8230,2446,380,392089,0 116,2,2024-09-07 09:41:51:781,683758,683758,0,0,33300108,0,4475 116,3,2024-09-07 09:41:50:912,1,541,1,0,415,6673,541,0 117,0,2024-09-07 09:41:50:950,138840,0.7,138262,0.8,277074,0.8,370418,2.00 117,1,2024-09-07 09:41:51:580,948682,948682,0,0,445518953869,4660534833,938638,8817,1227,369,392033,0 117,2,2024-09-07 09:41:51:120,685314,685314,0,0,29082181,0,4303 117,3,2024-09-07 09:41:51:059,1,541,2,0,490,7274,541,0 118,0,2024-09-07 09:41:51:772,129548,0.6,133151,0.7,271505,0.6,355026,2.00 118,1,2024-09-07 09:41:50:585,947632,947632,0,0,445446484687,4678387603,934093,10414,3125,366,392054,0 118,2,2024-09-07 09:41:51:586,683647,683647,0,0,31867185,0,2842 118,3,2024-09-07 09:41:51:776,1,541,8,0,248,5959,541,0 119,0,2024-09-07 09:41:51:359,131551,0.6,132104,0.7,263797,0.6,351621,2.00 119,1,2024-09-07 09:41:50:550,948861,948861,0,0,445671772716,4666080543,937124,9969,1768,367,391857,0 119,2,2024-09-07 09:41:51:269,684794,684794,0,0,29545431,0,4174 119,3,2024-09-07 09:41:51:326,1,541,3,0,1358,9856,541,0 120,0,2024-09-07 09:41:51:654,135288,0.6,135142,0.8,271537,0.6,362078,2.25 120,1,2024-09-07 09:41:50:858,949549,949549,0,0,445523888284,4664751238,940244,8524,781,367,392144,0 120,2,2024-09-07 09:41:50:770,683317,683316,1,0,34181084,0,5281 120,3,2024-09-07 09:41:51:291,1,541,2,0,279,6655,541,0 121,0,2024-09-07 09:41:51:694,139144,1.2,138448,1.1,277476,1.7,369790,2.25 121,1,2024-09-07 09:41:51:664,949666,949666,0,0,445620732829,4653779863,940922,8043,701,366,391840,0 121,2,2024-09-07 09:41:51:133,683490,683490,0,0,31329434,0,4157 121,3,2024-09-07 09:41:50:737,1,541,23,0,330,6365,541,0 122,0,2024-09-07 09:41:51:762,136080,0.8,132347,0.9,277342,1.0,364487,2.25 122,1,2024-09-07 09:41:50:863,948517,948517,0,0,445910165833,4673683990,935902,10724,1891,366,392130,0 122,2,2024-09-07 09:41:51:320,684541,684466,75,0,35517956,0,5989 122,3,2024-09-07 09:41:50:594,1,541,8,0,512,9029,541,0 123,0,2024-09-07 09:41:50:970,131938,0.8,128199,0.8,268499,0.9,351412,2.25 123,1,2024-09-07 09:41:50:571,948699,948699,0,0,445112038197,4678163414,933467,12726,2506,369,392039,0 123,2,2024-09-07 09:41:51:025,682135,682134,1,0,30281880,0,5215 123,3,2024-09-07 09:41:51:133,1,541,100,0,478,6247,541,0 124,0,2024-09-07 09:41:50:948,137742,0.3,137803,0.5,259618,0.3,357740,1.75 124,1,2024-09-07 09:41:51:030,951322,951322,0,0,446280258704,4636359782,944502,5687,1133,365,392178,0 124,2,2024-09-07 09:41:51:017,686114,686061,53,0,27629390,0,6487 124,3,2024-09-07 09:41:50:765,1,541,1,0,490,5535,541,0 125,0,2024-09-07 09:41:51:464,139262,0.4,139083,0.5,279296,0.3,371407,1.75 125,1,2024-09-07 09:41:50:855,949803,949803,0,0,445429762191,4646421114,942818,6001,984,382,391813,0 125,2,2024-09-07 09:41:51:115,687241,687241,0,0,27661533,0,4534 125,3,2024-09-07 09:41:51:126,1,541,4,0,709,6406,541,0 126,0,2024-09-07 09:41:51:414,138768,0.4,142727,0.6,273046,0.4,369632,1.75 126,1,2024-09-07 09:41:50:552,953131,953131,0,0,447585698937,4630433166,948439,4380,312,365,391987,0 126,2,2024-09-07 09:41:50:610,685279,685279,0,0,28436594,0,4539 126,3,2024-09-07 09:41:50:907,1,541,8,0,268,5955,541,0 127,0,2024-09-07 09:41:51:609,131559,0.3,131899,0.5,263268,0.3,350107,1.75 127,1,2024-09-07 09:41:50:571,951125,951125,0,0,445751694986,4635846709,941748,7871,1506,364,392187,0 127,2,2024-09-07 09:41:50:646,684329,684325,4,0,27179318,0,5305 127,3,2024-09-07 09:41:51:268,1,541,13,0,968,5355,541,0 128,0,2024-09-07 09:41:51:535,133515,0.3,133481,0.4,266673,0.2,354825,1.50 128,1,2024-09-07 09:41:51:611,950532,950532,0,0,447681819941,4648544999,944022,5774,736,367,392031,0 128,2,2024-09-07 09:41:51:385,686486,686486,0,0,25533322,0,3171 128,3,2024-09-07 09:41:50:768,1,541,1,0,1082,8586,541,0 129,0,2024-09-07 09:41:50:999,139915,0.3,139272,0.4,279074,0.2,371296,1.50 129,1,2024-09-07 09:41:50:572,947620,947620,0,0,445347191765,4664325955,938583,7091,1946,379,391962,0 129,2,2024-09-07 09:41:50:687,685681,685677,4,0,27815617,0,5335 129,3,2024-09-07 09:41:50:700,1,541,10,0,506,7420,541,0 130,0,2024-09-07 09:41:51:720,139465,0.4,138947,0.6,278758,0.4,371211,1.75 130,1,2024-09-07 09:41:50:603,951551,951551,0,0,446538376577,4636253069,946744,4419,388,381,391825,0 130,2,2024-09-07 09:41:51:128,684764,684764,0,0,27742542,0,4067 130,3,2024-09-07 09:41:51:294,1,541,8,0,960,7458,541,0 131,0,2024-09-07 09:41:51:955,132874,0.4,133334,0.5,266981,0.3,354416,1.75 131,1,2024-09-07 09:41:51:843,951063,951063,0,0,446644860853,4656508889,944165,5706,1192,381,391865,0 131,2,2024-09-07 09:41:50:580,685600,685600,0,0,25817693,0,3979 131,3,2024-09-07 09:41:51:704,1,541,42,0,392,6633,541,0 132,0,2024-09-07 09:41:51:413,132572,0.4,133500,0.6,265910,0.4,353933,1.75 132,1,2024-09-07 09:41:50:594,947259,947259,0,0,444693593225,4674104223,934710,10351,2198,381,392532,0 132,2,2024-09-07 09:41:50:705,684364,684347,17,0,33699870,0,6451 132,3,2024-09-07 09:41:51:700,1,541,22,0,804,8795,541,0 133,0,2024-09-07 09:41:51:589,133940,0.4,137024,0.6,281119,0.3,365923,1.75 133,1,2024-09-07 09:41:50:600,947040,947040,0,0,445041698144,4687206324,934305,11044,1691,383,391914,0 133,2,2024-09-07 09:41:51:087,685014,684964,50,0,34002957,0,6861 133,3,2024-09-07 09:41:51:298,1,541,1,0,528,6845,541,0 134,0,2024-09-07 09:41:50:948,139307,0.5,139257,0.7,278882,0.5,371051,2.00 134,1,2024-09-07 09:41:50:592,948786,948786,0,0,444591571181,4656884241,937385,9254,2147,366,391718,0 134,2,2024-09-07 09:41:51:756,683581,683557,24,0,32002636,0,6207 134,3,2024-09-07 09:41:50:749,1,541,7,0,739,6372,541,0 135,0,2024-09-07 09:41:51:126,130653,0.9,130667,0.9,277482,1.1,357251,2.25 135,1,2024-09-07 09:41:51:584,948437,948437,0,0,445641599314,4682498153,936789,10081,1567,380,391805,0 135,2,2024-09-07 09:41:50:686,684685,684685,0,0,32371254,0,4503 135,3,2024-09-07 09:41:51:007,1,541,0,0,900,5394,541,0 136,0,2024-09-07 09:41:51:625,132325,0.5,132561,0.7,264010,0.5,351760,2.00 136,1,2024-09-07 09:41:51:457,949180,949180,0,0,444850373486,4659383685,938786,9123,1271,381,392135,0 136,2,2024-09-07 09:41:51:132,685193,685178,15,0,31465939,0,6007 136,3,2024-09-07 09:41:51:107,1,541,40,0,637,6411,541,0 137,0,2024-09-07 09:41:50:941,139012,0.5,135511,0.7,265455,0.5,360869,2.00 137,1,2024-09-07 09:41:50:583,947569,947569,0,0,445642363066,4666320725,934012,10883,2674,366,391898,0 137,2,2024-09-07 09:41:51:715,682978,682978,0,0,33079129,0,3185 137,3,2024-09-07 09:41:50:771,1,541,1,0,484,7090,541,0 138,0,2024-09-07 09:41:51:751,138392,0.8,138684,0.9,277908,0.9,368814,2.00 138,1,2024-09-07 09:41:51:685,948633,948633,0,0,445959853747,4672459502,935814,10848,1971,368,391954,0 138,2,2024-09-07 09:41:50:599,684011,684011,0,0,30424444,0,4988 138,3,2024-09-07 09:41:50:616,1,541,8,0,1200,8648,541,0 139,0,2024-09-07 09:41:51:386,136594,1.2,137016,1.0,274062,1.8,365460,2.50 139,1,2024-09-07 09:41:50:589,944688,944688,0,0,443482994263,4698510971,928452,12716,3520,380,392109,0 139,2,2024-09-07 09:41:50:693,680225,680225,0,0,33868964,0,3097 139,3,2024-09-07 09:41:51:674,1,541,14,0,432,6093,541,0 140,0,2024-09-07 09:41:51:592,132515,0.3,131612,0.5,264206,0.2,352408,1.75 140,1,2024-09-07 09:41:51:548,954322,954322,0,0,449129338277,4627071380,949737,4047,538,364,391606,0 140,2,2024-09-07 09:41:50:687,685374,685373,1,0,25861778,0,5036 140,3,2024-09-07 09:41:50:768,1,541,2,0,297,4906,541,0 141,0,2024-09-07 09:41:51:702,133330,0.3,136940,0.4,261606,0.2,354874,1.50 141,1,2024-09-07 09:41:50:859,952475,952475,0,0,447644596861,4646489180,945362,6027,1086,379,391614,0 141,2,2024-09-07 09:41:51:686,685343,685332,11,0,27529057,0,5369 141,3,2024-09-07 09:41:51:047,1,541,2,0,391,6028,541,0 142,0,2024-09-07 09:41:51:337,140805,0.3,139786,0.5,280095,0.3,373722,1.50 142,1,2024-09-07 09:41:50:584,950404,950404,0,0,446657802755,4652039690,944730,5214,460,382,392102,0 142,2,2024-09-07 09:41:51:298,683884,683852,32,0,28049224,0,6028 142,3,2024-09-07 09:41:51:747,1,541,11,0,484,5583,541,0 143,0,2024-09-07 09:41:51:379,138443,0.4,138436,0.6,277750,0.4,369365,1.75 143,1,2024-09-07 09:41:50:564,952701,952701,0,0,447383145763,4639598807,946613,5529,559,367,391722,0 143,2,2024-09-07 09:41:50:773,685275,685275,0,0,27499002,0,3123 143,3,2024-09-07 09:41:51:146,1,541,1,0,462,6610,541,0 144,0,2024-09-07 09:41:51:547,128024,0.6,131698,0.8,267963,0.6,350936,2.00 144,1,2024-09-07 09:41:50:570,947955,947955,0,0,445488998991,4671920081,938539,7448,1968,381,391733,0 144,2,2024-09-07 09:41:51:761,685241,685241,0,0,26536013,0,3673 144,3,2024-09-07 09:41:51:746,1,541,2,0,249,5315,541,0 145,0,2024-09-07 09:41:51:406,128202,0.5,128211,0.7,272215,0.4,350427,2.25 145,1,2024-09-07 09:41:50:564,947421,947421,0,0,444627682075,4676727331,935036,9916,2469,382,391759,0 145,2,2024-09-07 09:41:51:435,682551,682469,82,0,32576850,0,7814 145,3,2024-09-07 09:41:50:895,1,541,13,0,622,7505,541,0 146,0,2024-09-07 09:41:51:601,138131,0.5,137604,0.7,276257,0.5,367260,2.00 146,1,2024-09-07 09:41:51:589,948511,948511,0,0,445800879134,4682543804,933713,10854,3944,367,391770,0 146,2,2024-09-07 09:41:51:700,683104,683098,6,0,30636294,0,5151 146,3,2024-09-07 09:41:51:275,1,541,8,0,1520,9070,541,0 147,0,2024-09-07 09:41:51:705,138776,0.6,138537,0.7,276671,0.6,369689,2.25 147,1,2024-09-07 09:41:51:378,951909,951909,0,0,447077192691,4642119621,944615,6414,880,367,391791,0 147,2,2024-09-07 09:41:51:014,683325,683325,0,0,27284618,0,2968 147,3,2024-09-07 09:41:50:920,1,541,1,0,1626,8615,541,0 0,0,2024-09-07 09:42:01:725,132583,0.5,132621,0.7,281364,0.5,364073,2.00 0,1,2024-09-07 09:42:00:811,950574,950574,0,0,447001165298,4677616819,943013,6718,843,369,391896,0 0,2,2024-09-07 09:42:01:067,686817,686817,0,0,27606270,0,4480 0,3,2024-09-07 09:42:00:975,1,542,85,0,431,7764,542,0 1,0,2024-09-07 09:42:01:781,139070,0.9,138094,0.9,277689,1.2,370581,2.00 1,1,2024-09-07 09:42:00:564,949527,949527,0,0,445377588031,4664984650,940768,7288,1471,370,391859,0 1,2,2024-09-07 09:42:00:639,685848,685848,0,0,26880576,0,3380 1,3,2024-09-07 09:42:01:312,1,542,6,0,269,6646,542,0 2,0,2024-09-07 09:42:01:565,136425,0.6,136295,0.8,272395,0.6,363284,2.00 2,1,2024-09-07 09:42:00:865,952991,952991,0,0,448063810081,4654499778,947882,4180,929,379,391745,0 2,2,2024-09-07 09:42:01:271,686247,686247,0,0,25470534,0,3594 2,3,2024-09-07 09:42:00:689,1,542,9,0,357,4789,542,0 3,0,2024-09-07 09:42:01:754,132229,0.4,132175,0.6,263721,0.3,351294,2.00 3,1,2024-09-07 09:42:01:623,952012,952012,0,0,447229654855,4653569397,944493,6816,703,379,391716,0 3,2,2024-09-07 09:42:01:142,686244,686221,23,0,26724413,0,5851 3,3,2024-09-07 09:42:01:755,1,542,1,0,275,3577,542,0 4,0,2024-09-07 09:42:01:774,130228,0.3,133831,0.5,272900,0.3,357138,1.75 4,1,2024-09-07 09:42:00:591,948139,948139,0,0,444343198202,4704409806,931393,13042,3704,370,391992,0 4,2,2024-09-07 09:42:01:021,682960,682960,0,0,32719432,0,4534 4,3,2024-09-07 09:42:01:029,1,542,2,0,448,6895,542,0 5,0,2024-09-07 09:42:01:369,139524,0.4,139691,0.5,279365,0.4,371115,1.75 5,1,2024-09-07 09:42:00:805,949307,949307,0,0,446984921385,4701756538,936331,10559,2417,367,392005,0 5,2,2024-09-07 09:42:01:843,683001,683001,0,0,31657686,0,3582 5,3,2024-09-07 09:42:01:761,1,542,0,0,457,7256,542,0 6,0,2024-09-07 09:42:00:920,138878,0.5,138504,0.7,277314,0.5,369381,2.00 6,1,2024-09-07 09:42:00:766,951229,951229,0,0,446450652420,4660788318,941472,8298,1459,379,391702,0 6,2,2024-09-07 09:42:01:115,685517,685499,18,0,30478080,0,5535 6,3,2024-09-07 09:42:01:330,1,542,26,0,710,6719,542,0 7,0,2024-09-07 09:42:01:535,130954,0.5,131841,0.7,262460,0.5,349726,2.00 7,1,2024-09-07 09:42:00:857,949964,949964,0,0,446449581520,4686199792,936816,10916,2232,382,391747,0 7,2,2024-09-07 09:42:00:770,685402,685402,0,0,29631914,0,4791 7,3,2024-09-07 09:42:00:850,1,542,1,0,552,5923,542,0 8,0,2024-09-07 09:42:01:328,133570,0.3,133061,0.5,267000,0.3,355473,1.50 8,1,2024-09-07 09:42:01:021,948095,948095,0,0,446342635376,4687355047,933813,11149,3133,366,392853,0 8,2,2024-09-07 09:42:00:797,681703,681701,2,0,35325813,0,5112 8,3,2024-09-07 09:42:00:588,1,542,8,0,538,7914,542,0 9,0,2024-09-07 09:42:01:105,139076,0.3,135360,0.5,283689,0.3,372101,1.75 9,1,2024-09-07 09:42:00:561,948296,948296,0,0,445411556585,4687638588,934698,10943,2655,369,392001,0 9,2,2024-09-07 09:42:01:092,684525,684524,1,0,32316196,0,5281 9,3,2024-09-07 09:42:01:757,1,542,6,0,1273,8909,542,0 10,0,2024-09-07 09:42:01:598,138859,0.4,138446,0.5,277557,0.3,369860,1.75 10,1,2024-09-07 09:42:00:583,950261,950261,0,0,445987031935,4669708811,937589,10486,2186,381,391869,0 10,2,2024-09-07 09:42:00:765,685343,685343,0,0,34702912,0,4264 10,3,2024-09-07 09:42:00:872,1,542,8,0,649,5564,542,0 11,0,2024-09-07 09:42:01:008,132731,0.5,128696,0.6,269290,0.4,354730,1.75 11,1,2024-09-07 09:42:00:571,952104,952104,0,0,446979378020,4682467779,938623,10018,3463,383,391766,0 11,2,2024-09-07 09:42:01:125,685353,685353,0,0,30568162,0,4130 11,3,2024-09-07 09:42:01:319,1,542,16,0,843,7150,542,0 12,0,2024-09-07 09:42:00:950,133496,0.3,133586,0.5,267164,0.3,354898,1.75 12,1,2024-09-07 09:42:00:938,951184,951184,0,0,445851398066,4648618742,943496,6802,886,370,391960,0 12,2,2024-09-07 09:42:01:544,685197,685197,0,0,30360868,0,4390 12,3,2024-09-07 09:42:01:059,1,542,3,0,386,7128,542,0 13,0,2024-09-07 09:42:01:347,138560,0.4,138333,0.5,276185,0.3,368456,1.75 13,1,2024-09-07 09:42:01:539,949131,949131,0,0,445999592671,4684648535,939470,7364,2297,382,391740,0 13,2,2024-09-07 09:42:00:595,686927,686927,0,0,27252952,0,3287 13,3,2024-09-07 09:42:01:773,1,542,3,0,522,7421,542,0 14,0,2024-09-07 09:42:00:573,139214,0.4,140205,0.6,278302,0.4,370462,1.75 14,1,2024-09-07 09:42:01:561,955505,955505,0,0,448493960772,4637417706,948588,6189,728,364,391673,0 14,2,2024-09-07 09:42:00:766,685901,685871,30,0,28552402,0,6104 14,3,2024-09-07 09:42:01:115,1,542,12,0,1168,6087,542,0 15,0,2024-09-07 09:42:01:551,134672,0.4,134070,0.6,269006,0.4,358233,2.00 15,1,2024-09-07 09:42:01:613,952865,952865,0,0,446725838600,4649553086,945127,6252,1486,381,391619,0 15,2,2024-09-07 09:42:00:997,688269,688269,0,0,24070568,0,3622 15,3,2024-09-07 09:42:01:407,1,542,4,0,1126,7345,542,0 16,0,2024-09-07 09:42:00:953,131805,0.5,132571,0.7,264418,0.5,351524,2.00 16,1,2024-09-07 09:42:00:567,952450,952450,0,0,446855514992,4669366177,944012,7136,1302,370,392194,0 16,2,2024-09-07 09:42:01:434,685340,685340,0,0,28527192,0,4719 16,3,2024-09-07 09:42:01:148,1,542,1,0,358,6714,542,0 17,0,2024-09-07 09:42:01:805,139500,0.5,135916,0.7,266512,0.5,361815,2.00 17,1,2024-09-07 09:42:00:585,950359,950359,0,0,446388161618,4679897322,941029,7579,1751,368,392075,0 17,2,2024-09-07 09:42:01:666,688285,688284,1,0,29292854,0,5050 17,3,2024-09-07 09:42:00:585,1,542,4,0,518,7949,542,0 18,0,2024-09-07 09:42:00:948,137754,0.7,138606,0.8,276207,0.7,368561,2.25 18,1,2024-09-07 09:42:01:638,954501,954501,0,0,447841655550,4642466056,948385,5119,997,367,391725,0 18,2,2024-09-07 09:42:01:764,688420,688420,0,0,25987680,0,3541 18,3,2024-09-07 09:42:00:907,1,542,12,0,1059,5000,542,0 19,0,2024-09-07 09:42:01:543,137173,0.6,137502,0.8,273824,0.7,364156,2.25 19,1,2024-09-07 09:42:00:566,953939,953939,0,0,449195704682,4654603209,946947,5907,1085,367,391777,0 19,2,2024-09-07 09:42:01:758,688722,688722,0,0,24284369,0,3988 19,3,2024-09-07 09:42:01:129,1,542,2,0,524,4290,542,0 20,0,2024-09-07 09:42:01:355,131995,0.5,132232,0.7,263967,0.5,351984,2.00 20,1,2024-09-07 09:42:00:580,950312,950312,0,0,446476457080,4674519290,940923,8017,1372,369,391922,0 20,2,2024-09-07 09:42:00:928,684961,684961,0,0,29801392,0,3721 20,3,2024-09-07 09:42:00:600,1,542,9,0,468,8988,542,0 21,0,2024-09-07 09:42:01:138,133475,0.4,133624,0.6,267200,0.4,355014,1.75 21,1,2024-09-07 09:42:01:552,948878,948878,0,0,444894015337,4691126870,934662,11096,3120,368,392016,0 21,2,2024-09-07 09:42:01:079,682920,682900,20,0,34721449,0,5617 21,3,2024-09-07 09:42:01:413,1,542,0,0,713,6837,542,0 22,0,2024-09-07 09:42:01:733,140032,0.5,140550,0.7,280490,0.4,371981,2.00 22,1,2024-09-07 09:42:01:030,949583,949583,0,0,445221487127,4684830337,933783,12484,3316,382,391822,0 22,2,2024-09-07 09:42:00:770,684357,684331,26,0,28966308,0,6328 22,3,2024-09-07 09:42:01:072,1,542,13,0,228,4499,542,0 23,0,2024-09-07 09:42:01:372,138551,0.5,138105,0.7,276216,0.5,368554,2.25 23,1,2024-09-07 09:42:01:006,950819,950819,0,0,447252523592,4690784099,934828,11529,4462,365,391690,0 23,2,2024-09-07 09:42:01:096,686391,686391,0,0,27643750,0,3773 23,3,2024-09-07 09:42:01:767,1,542,1,0,855,8405,542,0 24,0,2024-09-07 09:42:00:861,133085,0.4,132425,0.5,266245,0.4,353420,1.75 24,1,2024-09-07 09:42:00:581,950104,950104,0,0,445941647340,4665064584,941131,7295,1678,367,392269,0 24,2,2024-09-07 09:42:01:085,685352,685352,0,0,32830305,0,4438 24,3,2024-09-07 09:42:01:686,1,542,1,0,468,6775,542,0 25,0,2024-09-07 09:42:01:387,136519,0.4,133048,0.6,260758,0.3,355672,1.75 25,1,2024-09-07 09:42:00:563,948976,948976,0,0,445595584394,4696421436,933965,12037,2974,369,391928,0 25,2,2024-09-07 09:42:01:632,684337,684337,0,0,34305163,0,3978 25,3,2024-09-07 09:42:01:000,1,542,1,0,532,5949,542,0 26,0,2024-09-07 09:42:01:733,137897,0.4,135051,0.5,283161,0.3,368622,1.75 26,1,2024-09-07 09:42:01:541,952201,952201,0,0,447122160914,4677682780,939249,10500,2452,380,391748,0 26,2,2024-09-07 09:42:00:867,685442,685442,0,0,36097202,0,4689 26,3,2024-09-07 09:42:01:712,1,542,8,0,796,5829,542,0 27,0,2024-09-07 09:42:01:727,138993,0.4,139673,0.6,277454,0.4,370361,2.25 27,1,2024-09-07 09:42:01:675,952691,952691,0,0,448627391492,4669196001,944011,7411,1269,381,391626,0 27,2,2024-09-07 09:42:00:880,682091,682026,65,0,31059117,0,5699 27,3,2024-09-07 09:42:01:021,1,542,1,0,564,4746,542,0 28,0,2024-09-07 09:42:01:406,134106,0.4,133865,0.6,268259,0.3,357322,1.75 28,1,2024-09-07 09:42:00:831,953576,953576,0,0,447992685395,4667200435,945798,6064,1714,382,391698,0 28,2,2024-09-07 09:42:01:767,686773,686773,0,0,27370129,0,2915 28,3,2024-09-07 09:42:01:780,1,542,24,0,502,5375,542,0 29,0,2024-09-07 09:42:01:361,135979,0.3,132390,0.6,259752,0.3,354380,1.75 29,1,2024-09-07 09:42:01:563,955537,955537,0,0,449308819044,4644376662,949977,4798,762,367,391809,0 29,2,2024-09-07 09:42:00:865,685235,685235,0,0,26924904,0,4986 29,3,2024-09-07 09:42:00:967,1,542,30,0,459,5859,542,0 30,0,2024-09-07 09:42:01:458,135887,0.4,132392,0.6,277323,0.4,362779,2.00 30,1,2024-09-07 09:42:00:587,954738,954738,0,0,449051917380,4657570264,947756,6080,902,380,391672,0 30,2,2024-09-07 09:42:01:275,685822,685822,0,0,25922619,0,4192 30,3,2024-09-07 09:42:00:584,1,542,15,0,519,5170,542,0 31,0,2024-09-07 09:42:01:761,138858,0.5,139369,0.6,278078,0.4,370929,2.00 31,1,2024-09-07 09:42:00:565,957783,957783,0,0,449519086124,4612612056,952451,4321,1011,356,391712,0 31,2,2024-09-07 09:42:01:275,685045,685045,0,0,28398082,0,4470 31,3,2024-09-07 09:42:01:709,1,542,1,0,220,4514,542,0 32,0,2024-09-07 09:42:01:425,136871,0.3,137597,0.5,274160,0.3,364811,1.75 32,1,2024-09-07 09:42:00:805,954038,954038,0,0,447815163752,4652879436,948228,5101,709,381,391646,0 32,2,2024-09-07 09:42:00:940,687243,687243,0,0,24998477,0,3922 32,3,2024-09-07 09:42:01:014,1,542,8,0,304,4438,542,0 33,0,2024-09-07 09:42:01:513,132805,0.3,132426,0.4,264981,0.2,352321,1.50 33,1,2024-09-07 09:42:00:579,954427,954427,0,0,448676908298,4645679420,947165,6088,1174,368,391730,0 33,2,2024-09-07 09:42:00:769,686722,686687,35,0,27786259,0,7012 33,3,2024-09-07 09:42:00:899,1,542,1,0,329,4961,542,0 34,0,2024-09-07 09:42:00:934,134485,0.3,138173,0.4,264114,0.2,356483,1.75 34,1,2024-09-07 09:42:01:044,956411,956411,0,0,449613326686,4622436788,953897,2492,22,367,391637,0 34,2,2024-09-07 09:42:00:768,687410,687410,0,0,26217036,0,4562 34,3,2024-09-07 09:42:01:693,1,542,20,0,320,4357,542,0 35,0,2024-09-07 09:42:00:861,138735,0.3,139435,0.5,280131,0.2,371712,1.75 35,1,2024-09-07 09:42:01:073,953160,953160,0,0,448149953180,4646991179,946498,5448,1214,382,391769,0 35,2,2024-09-07 09:42:01:587,685928,685928,0,0,28813989,0,4055 35,3,2024-09-07 09:42:00:906,1,542,1,0,466,5409,542,0 36,0,2024-09-07 09:42:01:519,139274,0.5,139078,0.7,278059,0.5,370258,2.00 36,1,2024-09-07 09:42:00:583,952851,952851,0,0,446324890507,4659571967,940555,10073,2223,366,391759,0 36,2,2024-09-07 09:42:01:753,685852,685852,0,0,30094969,0,3875 36,3,2024-09-07 09:42:00:863,1,542,1,0,416,7212,542,0 37,0,2024-09-07 09:42:01:368,131167,0.5,131141,0.7,262585,0.5,350366,2.25 37,1,2024-09-07 09:42:00:572,951660,951653,0,7,446846824151,4664789163,940296,8645,2712,365,391770,0 37,2,2024-09-07 09:42:01:153,683574,683559,15,0,30620368,0,5815 37,3,2024-09-07 09:42:01:773,1,542,1,0,888,7448,542,0 38,0,2024-09-07 09:42:01:454,132634,0.4,128652,0.6,269066,0.3,352611,2.00 38,1,2024-09-07 09:42:01:617,952532,952532,0,0,447161570245,4671549078,939213,10800,2519,368,391821,0 38,2,2024-09-07 09:42:00:769,685443,685396,47,0,30808408,0,6710 38,3,2024-09-07 09:42:00:997,1,542,8,0,689,6752,542,0 39,0,2024-09-07 09:42:01:789,142621,0.5,139510,0.7,271570,0.4,371336,2.00 39,1,2024-09-07 09:42:00:715,950256,950256,0,0,446324768822,4679305465,934312,12474,3470,365,391865,0 39,2,2024-09-07 09:42:01:417,686126,686126,0,0,28403633,0,3391 39,3,2024-09-07 09:42:00:713,1,542,5,0,525,6481,542,0 40,0,2024-09-07 09:42:01:492,137708,0.9,138271,1.0,275941,1.1,368345,2.75 40,1,2024-09-07 09:42:00:582,952888,952888,0,0,446012613595,4660821331,940788,9920,2180,368,391668,0 40,2,2024-09-07 09:42:01:317,683471,683470,1,0,33673411,0,5137 40,3,2024-09-07 09:42:01:142,1,542,4,0,1028,7419,542,0 41,0,2024-09-07 09:42:01:033,132188,1.2,135124,1.1,257684,1.8,350497,3.00 41,1,2024-09-07 09:42:00:770,951308,951308,0,0,445893881210,4661967044,939356,10017,1935,369,391878,0 41,2,2024-09-07 09:42:00:767,683417,683416,1,0,32537312,0,5408 41,3,2024-09-07 09:42:01:684,1,542,0,0,366,5785,542,0 42,0,2024-09-07 09:42:01:477,132125,0.7,131838,0.9,263741,0.8,349826,2.50 42,1,2024-09-07 09:42:01:439,948432,948432,0,0,446189446438,4687193785,933346,12178,2908,380,391675,0 42,2,2024-09-07 09:42:01:134,684999,684999,0,0,31966645,0,3975 42,3,2024-09-07 09:42:01:009,1,542,13,0,892,4759,542,0 43,0,2024-09-07 09:42:00:918,136646,0.6,132996,0.8,278600,0.6,365493,2.25 43,1,2024-09-07 09:42:00:576,951822,951822,0,0,447282710357,4669295734,938947,10614,2261,366,391696,0 43,2,2024-09-07 09:42:01:738,685919,685919,0,0,31144219,0,4723 43,3,2024-09-07 09:42:01:754,1,542,74,0,571,7449,542,0 44,0,2024-09-07 09:42:00:903,139457,0.4,139403,0.6,279429,0.4,371038,1.75 44,1,2024-09-07 09:42:00:583,954406,954406,0,0,447951451080,4626378136,946593,6226,1587,356,391809,0 44,2,2024-09-07 09:42:01:281,683617,683617,0,0,25713885,0,4344 44,3,2024-09-07 09:42:01:093,1,542,1,0,817,5802,542,0 45,0,2024-09-07 09:42:01:759,133254,0.5,130169,0.7,273271,0.5,358429,2.00 45,1,2024-09-07 09:42:01:014,953577,953577,0,0,448453946033,4658350660,946061,6640,876,382,391917,0 45,2,2024-09-07 09:42:01:275,686978,686978,0,0,26056405,0,3596 45,3,2024-09-07 09:42:00:936,1,542,1,0,365,5019,542,0 46,0,2024-09-07 09:42:00:949,131511,0.4,130980,0.7,262687,0.4,348666,2.00 46,1,2024-09-07 09:42:00:637,954963,954963,0,0,448762764438,4635097784,948553,5546,864,366,391709,0 46,2,2024-09-07 09:42:00:593,686832,686832,0,0,26411809,0,4443 46,3,2024-09-07 09:42:01:131,1,542,8,0,908,6414,542,0 47,0,2024-09-07 09:42:01:101,135418,0.4,135545,0.5,272031,0.3,360908,1.75 47,1,2024-09-07 09:42:00:567,956319,956319,0,0,449398381083,4639407822,951031,4449,839,365,391641,0 47,2,2024-09-07 09:42:00:908,688666,688666,0,0,26179940,0,4477 47,3,2024-09-07 09:42:01:115,1,542,1,0,600,5999,542,0 48,0,2024-09-07 09:42:01:490,139375,0.3,139569,0.4,278518,0.2,370391,1.50 48,1,2024-09-07 09:42:01:022,953578,953578,0,0,447809203634,4650509461,947760,5242,576,384,391710,0 48,2,2024-09-07 09:42:00:703,686404,686404,0,0,24064170,0,3411 48,3,2024-09-07 09:42:00:768,1,542,1,0,339,4216,542,0 49,0,2024-09-07 09:42:01:719,141445,0.3,138794,0.5,269770,0.3,368284,1.75 49,1,2024-09-07 09:42:01:021,952898,952898,0,0,448369232779,4658175774,947329,4358,1211,382,391809,0 49,2,2024-09-07 09:42:01:798,687134,687134,0,0,26689229,0,4426 49,3,2024-09-07 09:42:01:416,1,542,1,0,992,6227,542,0 50,0,2024-09-07 09:42:01:512,132876,0.3,131110,0.5,264158,0.2,351707,1.75 50,1,2024-09-07 09:42:01:010,956381,956381,0,0,448638721565,4636447205,950434,5279,668,368,391565,0 50,2,2024-09-07 09:42:01:073,685134,685134,0,0,24510675,0,4490 50,3,2024-09-07 09:42:01:322,1,542,12,0,617,5514,542,0 51,0,2024-09-07 09:42:01:688,137131,0.3,134169,0.4,261281,0.2,356572,1.75 51,1,2024-09-07 09:42:01:683,957235,957235,0,0,450138989041,4638943420,952464,3715,1056,365,391706,0 51,2,2024-09-07 09:42:01:316,686442,686442,0,0,23888168,0,3337 51,3,2024-09-07 09:42:01:030,1,542,0,0,678,3819,542,0 52,0,2024-09-07 09:42:01:418,140595,0.5,140171,0.7,280713,0.5,372943,2.00 52,1,2024-09-07 09:42:00:575,951975,951975,0,0,446254456136,4669568412,938393,11384,2198,368,391722,0 52,2,2024-09-07 09:42:01:764,682516,682478,38,0,31815555,0,6742 52,3,2024-09-07 09:42:00:674,1,542,1,0,1782,6821,542,0 53,0,2024-09-07 09:42:01:754,138192,0.7,134012,0.8,280374,0.8,367785,2.50 53,1,2024-09-07 09:42:00:780,950408,950408,0,0,446357488494,4680429991,935019,11545,3844,367,391968,0 53,2,2024-09-07 09:42:01:338,685617,685616,1,0,28373547,0,5455 53,3,2024-09-07 09:42:00:702,1,542,1,0,308,5190,542,0 54,0,2024-09-07 09:42:01:617,130325,0.6,130653,0.8,260234,0.4,347362,2.25 54,1,2024-09-07 09:42:00:580,952661,952661,0,0,447450729322,4651842474,942589,8438,1634,366,391810,0 54,2,2024-09-07 09:42:00:865,686313,686281,32,0,32263496,0,6397 54,3,2024-09-07 09:42:00:765,1,542,8,0,676,7377,542,0 55,0,2024-09-07 09:42:01:808,128317,0.5,132383,0.7,268209,0.5,349606,2.25 55,1,2024-09-07 09:42:00:767,953868,953868,0,0,447199283970,4649067517,943932,8599,1337,365,391731,0 55,2,2024-09-07 09:42:00:735,684633,684577,56,0,30561344,0,7239 55,3,2024-09-07 09:42:00:674,1,542,163,0,304,5074,542,0 56,0,2024-09-07 09:42:01:555,141518,1.0,133433,1.0,274882,1.2,368084,2.50 56,1,2024-09-07 09:42:00:573,948464,948464,0,0,446520921651,4711637407,933827,11694,2943,381,391867,0 56,2,2024-09-07 09:42:01:320,684843,684721,122,0,31849811,0,7432 56,3,2024-09-07 09:42:01:060,1,542,0,0,705,6347,542,0 57,0,2024-09-07 09:42:00:947,137046,1.6,137020,1.3,274327,2.2,366867,3.25 57,1,2024-09-07 09:42:00:992,949936,949936,0,0,445890631893,4675591878,937443,10165,2328,366,392032,0 57,2,2024-09-07 09:42:01:320,684695,684695,0,0,32680607,0,4317 57,3,2024-09-07 09:42:01:748,1,542,6,0,455,6110,542,0 58,0,2024-09-07 09:42:00:555,132015,0.9,128160,1.0,268059,1.1,350802,2.50 58,1,2024-09-07 09:42:00:574,951528,951525,0,3,446942505043,4680044500,937709,10495,3321,367,391675,3 58,2,2024-09-07 09:42:01:073,685803,685803,0,0,31102583,0,3483 58,3,2024-09-07 09:42:01:072,1,542,8,0,1043,5868,542,0 59,0,2024-09-07 09:42:01:749,131662,0.8,131638,0.9,262433,0.8,348473,2.75 59,1,2024-09-07 09:42:00:811,950239,950239,0,0,446309787995,4683895254,935201,11898,3140,369,391653,0 59,2,2024-09-07 09:42:00:582,685387,685387,0,0,29693624,0,3727 59,3,2024-09-07 09:42:01:738,1,542,1,0,1015,6561,542,0 60,0,2024-09-07 09:42:01:713,136400,0.5,136766,0.6,273330,0.4,363975,1.75 60,1,2024-09-07 09:42:00:772,955092,955092,0,0,448417523955,4651481137,948896,5257,939,370,392031,0 60,2,2024-09-07 09:42:01:141,685792,685792,0,0,28139504,0,3811 60,3,2024-09-07 09:42:01:266,1,542,2,0,409,6189,542,0 61,0,2024-09-07 09:42:01:514,138924,0.7,139523,0.8,277878,0.7,370552,2.00 61,1,2024-09-07 09:42:00:796,951629,951629,0,0,447071190044,4677853735,941700,8348,1581,382,392127,0 61,2,2024-09-07 09:42:01:124,685820,685753,67,0,29034364,0,6411 61,3,2024-09-07 09:42:01:686,1,542,7,0,607,7574,542,0 62,0,2024-09-07 09:42:01:717,137206,0.6,140736,0.8,268693,0.6,364641,2.00 62,1,2024-09-07 09:42:01:111,956866,956860,0,6,450065600047,4635768099,952694,3886,280,365,391975,6 62,2,2024-09-07 09:42:01:646,684603,684602,1,0,28216029,0,5555 62,3,2024-09-07 09:42:01:143,1,542,8,0,482,4314,542,0 63,0,2024-09-07 09:42:01:452,132821,0.4,132546,0.6,265974,0.3,352703,1.75 63,1,2024-09-07 09:42:00:814,954133,954127,0,6,448335615667,4650868406,948365,5011,751,381,391800,6 63,2,2024-09-07 09:42:00:768,686020,686020,0,0,27398876,0,4369 63,3,2024-09-07 09:42:01:757,1,542,17,0,667,5667,542,0 64,0,2024-09-07 09:42:01:531,133810,0.5,133943,0.6,266981,0.4,355719,1.75 64,1,2024-09-07 09:42:00:757,953179,953179,0,0,447322854902,4655670429,945277,6130,1772,370,391794,0 64,2,2024-09-07 09:42:01:140,690393,690374,19,0,26461814,0,6121 64,3,2024-09-07 09:42:01:141,1,542,5,0,651,5606,542,0 65,0,2024-09-07 09:42:01:692,138444,0.6,138758,0.7,277045,0.6,369110,2.00 65,1,2024-09-07 09:42:00:865,951612,951612,0,0,445778460611,4657208136,944854,5960,798,381,391901,0 65,2,2024-09-07 09:42:01:694,685532,685532,0,0,31099236,0,3367 65,3,2024-09-07 09:42:01:687,1,542,0,0,782,6340,542,0 66,0,2024-09-07 09:42:01:764,138360,0.6,137885,0.8,275796,0.5,367356,2.25 66,1,2024-09-07 09:42:01:327,953715,953715,0,0,447585301426,4656199726,947564,5429,722,380,391743,0 66,2,2024-09-07 09:42:01:133,687657,687654,3,0,28130918,0,5455 66,3,2024-09-07 09:42:01:080,1,542,9,0,291,4593,542,0 67,0,2024-09-07 09:42:01:429,131663,0.5,131328,0.7,263386,0.5,350633,2.00 67,1,2024-09-07 09:42:00:768,953738,953737,0,1,447438953866,4655696852,947453,5470,814,380,391787,1 67,2,2024-09-07 09:42:00:583,688110,688095,15,0,27498130,0,6205 67,3,2024-09-07 09:42:01:754,1,542,2,0,595,5740,542,0 68,0,2024-09-07 09:42:00:605,133429,0.5,133303,0.7,265469,0.5,355001,2.00 68,1,2024-09-07 09:42:00:575,949290,949290,0,0,445839543467,4686171502,936870,9019,3401,381,391953,0 68,2,2024-09-07 09:42:01:047,681909,681809,100,0,35568539,0,8578 68,3,2024-09-07 09:42:00:728,1,542,1,0,417,6901,542,0 69,0,2024-09-07 09:42:01:806,138620,0.6,139600,0.8,278146,0.6,369858,2.25 69,1,2024-09-07 09:42:01:036,948854,948854,0,0,446031562912,4696547543,936591,9501,2762,383,391994,0 69,2,2024-09-07 09:42:01:754,684124,684095,29,0,36783219,0,6912 69,3,2024-09-07 09:42:00:772,1,542,163,0,698,8027,542,0 70,0,2024-09-07 09:42:01:539,137321,0.8,137753,1.0,277168,0.8,367184,2.50 70,1,2024-09-07 09:42:00:806,954639,954639,0,0,448439078796,4651010759,947379,6498,762,366,391725,0 70,2,2024-09-07 09:42:01:325,685158,685158,0,0,30330125,0,4323 70,3,2024-09-07 09:42:00:744,1,542,14,0,854,5834,542,0 71,0,2024-09-07 09:42:01:367,131471,1.1,131254,1.1,263625,1.4,351730,2.75 71,1,2024-09-07 09:42:01:600,951956,951956,0,0,446781990467,4661930035,940251,10356,1349,368,391738,0 71,2,2024-09-07 09:42:01:071,685557,685557,0,0,31326336,0,4352 71,3,2024-09-07 09:42:01:755,1,542,1,0,644,6296,542,0 72,0,2024-09-07 09:42:01:031,137083,0.5,133984,0.7,261479,0.5,355291,2.00 72,1,2024-09-07 09:42:01:024,950756,950756,0,0,446432476176,4679788776,937290,11180,2286,369,391819,0 72,2,2024-09-07 09:42:01:766,684081,684081,0,0,32615708,0,3983 72,3,2024-09-07 09:42:01:756,1,542,1,0,564,7585,542,0 73,0,2024-09-07 09:42:01:106,134314,0.4,137527,0.6,281507,0.4,366349,2.00 73,1,2024-09-07 09:42:00:770,953070,953070,0,0,446787545416,4639949159,946185,6105,780,367,391858,0 73,2,2024-09-07 09:42:01:739,685502,685502,0,0,33040196,0,4728 73,3,2024-09-07 09:42:00:974,1,542,1,0,486,6718,542,0 74,0,2024-09-07 09:42:01:324,140187,0.5,143526,0.7,273708,0.4,371380,2.00 74,1,2024-09-07 09:42:00:635,951601,951601,0,0,446922546161,4663860216,941142,8534,1925,381,391762,0 74,2,2024-09-07 09:42:01:001,684576,684576,0,0,28769161,0,4253 74,3,2024-09-07 09:42:01:443,1,542,12,0,522,6395,542,0 75,0,2024-09-07 09:42:01:778,135191,0.6,134370,0.8,269647,0.5,360029,2.25 75,1,2024-09-07 09:42:01:587,952806,952806,0,0,447612311815,4663251197,944910,7033,863,380,391739,0 75,2,2024-09-07 09:42:01:359,684812,684812,0,0,34716952,0,4766 75,3,2024-09-07 09:42:01:067,1,542,1,0,702,6263,542,0 76,0,2024-09-07 09:42:00:588,131421,0.5,130751,0.7,261962,0.5,350371,2.25 76,1,2024-09-07 09:42:00:815,952371,952371,0,0,446930198139,4658876683,945735,5669,967,382,391723,0 76,2,2024-09-07 09:42:01:061,687902,687899,3,0,29114104,0,5265 76,3,2024-09-07 09:42:01:142,1,542,2,0,227,4955,542,0 77,0,2024-09-07 09:42:01:692,134877,0.5,135282,0.7,270770,0.4,359907,2.00 77,1,2024-09-07 09:42:00:829,952501,952501,0,0,447593707076,4665657998,945653,6252,596,381,391869,0 77,2,2024-09-07 09:42:01:331,685283,685283,0,0,28686467,0,3890 77,3,2024-09-07 09:42:01:093,1,542,9,0,401,5722,542,0 78,0,2024-09-07 09:42:01:720,139500,0.4,138939,0.6,278734,0.4,368950,2.00 78,1,2024-09-07 09:42:00:610,952443,952443,0,0,446617012148,4653976578,941666,8250,2527,367,391670,0 78,2,2024-09-07 09:42:01:405,687570,687557,13,0,26610087,0,8313 78,3,2024-09-07 09:42:01:133,1,542,8,0,311,4631,542,0 79,0,2024-09-07 09:42:01:345,132991,0.4,136191,0.6,279137,0.4,362970,2.25 79,1,2024-09-07 09:42:00:592,955157,955157,0,0,448433001281,4643488868,947416,6384,1357,367,391682,0 79,2,2024-09-07 09:42:01:073,686231,686231,0,0,25562856,0,4195 79,3,2024-09-07 09:42:00:752,1,542,11,0,418,6374,542,0 80,0,2024-09-07 09:42:01:081,131931,0.5,135635,0.6,259364,0.5,351231,2.00 80,1,2024-09-07 09:42:01:635,952126,952126,0,0,447994136360,4660280447,946261,5436,429,368,392269,0 80,2,2024-09-07 09:42:01:096,687952,687952,0,0,26293031,0,4433 80,3,2024-09-07 09:42:00:579,1,542,9,0,681,6988,542,0 81,0,2024-09-07 09:42:01:574,133769,0.5,136881,0.7,261032,0.5,354906,2.00 81,1,2024-09-07 09:42:01:653,951218,951218,0,0,446752226928,4667325984,943806,6772,640,382,391885,0 81,2,2024-09-07 09:42:01:125,685397,685334,63,0,29251738,0,5932 81,3,2024-09-07 09:42:01:117,1,542,1,0,719,6082,542,0 82,0,2024-09-07 09:42:01:540,139896,0.4,140030,0.7,280758,0.4,372016,2.00 82,1,2024-09-07 09:42:00:583,953988,953984,0,4,447995044616,4655036939,948881,4282,821,381,391768,4 82,2,2024-09-07 09:42:01:694,687833,687833,0,0,24343425,0,4484 82,3,2024-09-07 09:42:01:757,1,542,17,0,363,5058,542,0 83,0,2024-09-07 09:42:01:576,138753,0.6,138688,0.7,276900,0.6,368018,2.25 83,1,2024-09-07 09:42:00:553,952438,952438,0,0,446568050708,4652555670,946069,5912,457,382,391709,0 83,2,2024-09-07 09:42:00:770,685547,685522,25,0,26314467,0,5612 83,3,2024-09-07 09:42:00:752,1,542,0,0,1260,6548,542,0 84,0,2024-09-07 09:42:01:769,131096,0.7,131348,0.9,262289,0.7,350649,2.25 84,1,2024-09-07 09:42:01:039,951157,951157,0,0,446417699847,4665904507,940498,9050,1609,367,391967,0 84,2,2024-09-07 09:42:00:581,685101,685071,30,0,34919220,0,5971 84,3,2024-09-07 09:42:01:147,1,542,7,0,908,7463,542,0 85,0,2024-09-07 09:42:01:022,127756,0.5,127738,0.7,271228,0.5,351317,2.25 85,1,2024-09-07 09:42:00:563,947824,947824,0,0,446264407388,4710475931,933194,11970,2660,381,392092,0 85,2,2024-09-07 09:42:00:871,684727,684727,0,0,32588221,0,4255 85,3,2024-09-07 09:42:00:686,1,542,1,0,789,6166,542,0 86,0,2024-09-07 09:42:00:880,138449,0.6,142221,0.7,271990,0.6,368444,2.25 86,1,2024-09-07 09:42:00:825,950044,950044,0,0,447328126502,4684241536,938567,9498,1979,366,391995,0 86,2,2024-09-07 09:42:00:860,684588,684587,1,0,34854417,0,5004 86,3,2024-09-07 09:42:00:586,1,542,8,0,308,7671,542,0 87,0,2024-09-07 09:42:01:302,138847,0.8,138616,0.9,277218,1.1,370692,2.25 87,1,2024-09-07 09:42:00:551,949687,949687,0,0,446126367296,4672248006,937464,10507,1716,366,392076,0 87,2,2024-09-07 09:42:01:067,683958,683952,6,0,30738315,0,6323 87,3,2024-09-07 09:42:01:797,1,542,1,0,473,8088,542,0 88,0,2024-09-07 09:42:01:443,133766,0.5,134302,0.6,268410,0.4,357158,1.75 88,1,2024-09-07 09:42:00:572,949416,949416,0,0,446455760894,4673577783,936582,10205,2629,365,392084,0 88,2,2024-09-07 09:42:00:688,686028,686028,0,0,33418351,0,4465 88,3,2024-09-07 09:42:01:274,1,542,7,0,435,7112,542,0 89,0,2024-09-07 09:42:01:825,136107,0.4,131984,0.6,260752,0.4,354486,1.75 89,1,2024-09-07 09:42:00:554,949483,949483,0,0,445379451318,4683243207,937826,9816,1841,382,391866,0 89,2,2024-09-07 09:42:01:132,684917,684917,0,0,31695847,0,3173 89,3,2024-09-07 09:42:01:793,1,542,4,0,468,8762,542,0 90,0,2024-09-07 09:42:01:634,132550,0.4,136117,0.6,277424,0.4,362627,1.75 90,1,2024-09-07 09:42:00:590,950950,950950,0,0,446407183471,4669970636,942412,7803,735,380,391825,0 90,2,2024-09-07 09:42:01:405,682979,682979,0,0,33859617,0,3608 90,3,2024-09-07 09:42:00:946,1,542,8,0,322,6530,542,0 91,0,2024-09-07 09:42:00:930,139507,0.5,135265,0.6,282865,0.4,371152,1.75 91,1,2024-09-07 09:42:00:555,948996,948996,0,0,446457487660,4692310835,936956,9878,2162,381,392047,0 91,2,2024-09-07 09:42:01:330,685760,685760,0,0,29903430,0,4713 91,3,2024-09-07 09:42:00:603,1,542,1,0,216,5061,542,0 92,0,2024-09-07 09:42:01:444,138295,0.5,141304,0.6,269531,0.5,364970,1.75 92,1,2024-09-07 09:42:00:580,951869,951869,0,0,447373515023,4670716040,944342,6319,1208,381,392136,0 92,2,2024-09-07 09:42:01:358,686329,686329,0,0,26894519,0,3259 92,3,2024-09-07 09:42:01:009,1,542,1,0,167,4614,542,0 93,0,2024-09-07 09:42:00:951,133127,0.4,136458,0.6,260592,0.3,352700,1.75 93,1,2024-09-07 09:42:00:808,952020,952020,0,0,446557175908,4659075591,941702,8584,1734,366,391776,0 93,2,2024-09-07 09:42:00:928,685941,685941,0,0,32636573,0,4913 93,3,2024-09-07 09:42:01:406,1,542,1,0,190,4790,542,0 94,0,2024-09-07 09:42:01:604,133670,0.3,134592,0.5,268913,0.3,357399,1.75 94,1,2024-09-07 09:42:00:570,952625,952625,0,0,447447173534,4663627884,946510,5739,376,381,391850,0 94,2,2024-09-07 09:42:00:765,686115,686087,28,0,27940788,0,6179 94,3,2024-09-07 09:42:01:692,1,542,9,0,576,6732,542,0 95,0,2024-09-07 09:42:01:368,139491,0.3,139532,0.5,279192,0.3,371689,1.75 95,1,2024-09-07 09:42:00:860,953964,953964,0,0,448207300756,4654896870,946601,6704,659,365,391852,0 95,2,2024-09-07 09:42:01:022,685330,685330,0,0,26673251,0,3308 95,3,2024-09-07 09:42:01:709,1,542,1,0,718,8231,542,0 96,0,2024-09-07 09:42:01:026,138863,0.4,139067,0.5,278075,0.3,369603,1.75 96,1,2024-09-07 09:42:01:586,951806,951806,0,0,446787431088,4657492786,945397,5494,915,384,391964,0 96,2,2024-09-07 09:42:01:275,686016,686016,0,0,27709354,0,4180 96,3,2024-09-07 09:42:01:148,1,542,0,0,411,5707,542,0 97,0,2024-09-07 09:42:01:350,131556,0.3,131501,0.5,264085,0.3,350705,1.50 97,1,2024-09-07 09:42:00:771,954024,954024,0,0,447551870420,4639805176,948252,4827,945,367,392140,0 97,2,2024-09-07 09:42:00:610,687270,687270,0,0,27313390,0,3679 97,3,2024-09-07 09:42:00:570,1,542,13,0,242,6112,542,0 98,0,2024-09-07 09:42:01:700,132870,0.3,133023,0.4,266873,0.2,354702,1.50 98,1,2024-09-07 09:42:00:573,952941,952941,0,0,446698300419,4649623867,947460,4680,801,381,391997,0 98,2,2024-09-07 09:42:00:769,686654,686654,0,0,26882466,0,4336 98,3,2024-09-07 09:42:00:702,1,542,52,0,840,8371,542,0 99,0,2024-09-07 09:42:01:452,139359,0.3,139947,0.5,278621,0.3,372510,1.75 99,1,2024-09-07 09:42:01:727,953229,953229,0,0,446795199527,4648936129,947202,5098,929,380,392069,0 99,2,2024-09-07 09:42:01:417,686693,686693,0,0,32421167,0,4276 99,3,2024-09-07 09:42:00:581,1,542,1,0,606,5345,542,0 100,0,2024-09-07 09:42:01:464,138577,0.8,138859,0.9,277448,0.9,370439,2.50 100,1,2024-09-07 09:42:00:554,948025,948025,0,0,444569076067,4697670437,933606,11319,3100,378,391989,0 100,2,2024-09-07 09:42:01:828,682796,682785,11,0,31559024,0,5417 100,3,2024-09-07 09:42:01:735,1,542,1,0,627,9574,542,0 101,0,2024-09-07 09:42:01:713,135579,1.2,132151,1.1,258986,1.1,353913,2.25 101,1,2024-09-07 09:42:00:550,949517,949517,0,0,445791287831,4681527275,937023,10092,2402,368,391847,0 101,2,2024-09-07 09:42:01:767,681974,681974,0,0,36730787,0,4871 101,3,2024-09-07 09:42:00:947,1,542,35,0,1250,8378,542,0 102,0,2024-09-07 09:42:00:950,129090,0.6,133097,0.7,270029,0.5,353193,2.00 102,1,2024-09-07 09:42:01:142,949300,949300,0,0,445533379346,4677680919,936863,10371,2066,369,391891,0 102,2,2024-09-07 09:42:01:739,685745,685691,54,0,31145529,0,6768 102,3,2024-09-07 09:42:01:612,1,542,12,0,466,6267,542,0 103,0,2024-09-07 09:42:01:591,142292,0.5,142234,0.7,268052,0.5,369016,2.00 103,1,2024-09-07 09:42:01:633,948224,948224,0,0,445949292149,4705009976,933715,11189,3320,381,391862,0 103,2,2024-09-07 09:42:00:582,684123,684123,0,0,32807319,0,3766 103,3,2024-09-07 09:42:00:768,1,542,37,0,916,6389,542,0 104,0,2024-09-07 09:42:01:020,138200,0.7,138303,0.9,276054,0.7,369341,2.25 104,1,2024-09-07 09:42:01:599,950887,950887,0,0,446307685789,4684872604,937560,10765,2562,365,392168,0 104,2,2024-09-07 09:42:01:667,682338,682338,0,0,31685610,0,3941 104,3,2024-09-07 09:42:01:417,1,542,2,0,1245,9306,542,0 105,0,2024-09-07 09:42:01:027,133547,0.9,130130,1.1,272785,1.0,358027,2.75 105,1,2024-09-07 09:42:00:554,952027,952027,0,0,447099491224,4680499407,940293,9965,1769,364,392009,0 105,2,2024-09-07 09:42:01:323,684599,684599,0,0,32272239,0,4360 105,3,2024-09-07 09:42:01:312,1,542,1,0,399,7511,542,0 106,0,2024-09-07 09:42:00:939,127491,0.7,130569,0.9,267556,0.7,348996,2.50 106,1,2024-09-07 09:42:01:762,951163,951163,0,0,446235011910,4678066711,938481,11032,1650,368,391914,0 106,2,2024-09-07 09:42:00:766,684749,684749,0,0,30143449,0,2920 106,3,2024-09-07 09:42:00:676,1,542,8,0,1224,8024,542,0 107,0,2024-09-07 09:42:01:102,135250,0.7,135147,0.8,270516,0.7,360898,2.00 107,1,2024-09-07 09:42:00:586,949040,949040,0,0,445630561211,4694995817,936052,11595,1393,381,392234,0 107,2,2024-09-07 09:42:01:327,683039,683038,1,0,32882724,0,5024 107,3,2024-09-07 09:42:01:763,1,542,1,0,733,8004,542,0 108,0,2024-09-07 09:42:01:784,138958,0.4,139595,0.6,278017,0.4,370555,1.75 108,1,2024-09-07 09:42:01:333,951590,951590,0,0,446941347352,4659473482,943664,6952,974,367,391894,0 108,2,2024-09-07 09:42:01:764,684984,684984,0,0,29426943,0,4246 108,3,2024-09-07 09:42:01:330,1,542,1,0,749,10422,542,0 109,0,2024-09-07 09:42:01:790,138459,0.4,137283,0.6,275220,0.4,367332,1.75 109,1,2024-09-07 09:42:00:594,949129,949129,0,0,446842851902,4684484645,940814,7098,1217,382,392132,0 109,2,2024-09-07 09:42:00:923,683799,683799,0,0,29399454,0,3617 109,3,2024-09-07 09:42:01:139,1,542,1,0,630,6643,542,0 110,0,2024-09-07 09:42:01:758,132066,0.4,128484,0.6,268989,0.3,352640,1.75 110,1,2024-09-07 09:42:01:643,953195,953195,0,0,448655301306,4656565850,945658,5614,1923,368,392045,0 110,2,2024-09-07 09:42:01:319,686188,686188,0,0,27816928,0,4067 110,3,2024-09-07 09:42:00:689,1,542,9,0,722,7386,542,0 111,0,2024-09-07 09:42:01:418,134095,0.3,133295,0.5,266932,0.2,356274,1.75 111,1,2024-09-07 09:42:01:005,954537,954537,0,0,449127682473,4653811621,949991,4189,357,380,391690,0 111,2,2024-09-07 09:42:01:120,685879,685879,0,0,28378724,0,4823 111,3,2024-09-07 09:42:00:917,1,542,1,0,379,6051,542,0 112,0,2024-09-07 09:42:00:923,140865,0.3,140256,0.4,281347,0.2,373219,1.50 112,1,2024-09-07 09:42:00:829,953536,953536,0,0,447734540736,4645871396,947627,5218,691,380,391624,0 112,2,2024-09-07 09:42:01:134,685929,685928,1,0,26947045,0,5036 112,3,2024-09-07 09:42:00:592,1,542,2,0,282,5123,542,0 113,0,2024-09-07 09:42:00:903,138914,0.3,138857,0.5,278034,0.3,370275,1.75 113,1,2024-09-07 09:42:01:685,955925,955925,0,0,448808131733,4629013212,950669,4441,815,365,391664,0 113,2,2024-09-07 09:42:01:313,688110,688110,0,0,24455497,0,3813 113,3,2024-09-07 09:42:00:689,1,542,2,0,510,6141,542,0 114,0,2024-09-07 09:42:00:884,132674,0.3,133456,0.5,265359,0.2,354713,1.75 114,1,2024-09-07 09:42:00:716,953478,953478,0,0,448623131271,4655993421,946564,5298,1616,381,391565,0 114,2,2024-09-07 09:42:00:873,687199,687198,1,0,26693210,0,5069 114,3,2024-09-07 09:42:01:333,1,542,12,0,395,4325,542,0 115,0,2024-09-07 09:42:00:557,133236,0.3,133936,0.4,266736,0.2,355058,1.50 115,1,2024-09-07 09:42:00:571,954004,954004,0,0,448345553302,4655960817,946599,6048,1357,382,391757,0 115,2,2024-09-07 09:42:01:125,688877,688877,0,0,26116741,0,4382 115,3,2024-09-07 09:42:01:006,1,542,151,0,167,3180,542,0 116,0,2024-09-07 09:42:01:730,138150,0.6,137935,0.8,276565,0.5,369531,2.00 116,1,2024-09-07 09:42:00:803,948550,948550,0,0,446286809579,4699852517,937855,8248,2447,380,392089,0 116,2,2024-09-07 09:42:01:750,685155,685155,0,0,33343593,0,4475 116,3,2024-09-07 09:42:00:912,1,542,1,0,415,6674,542,0 117,0,2024-09-07 09:42:00:955,139182,0.7,138578,0.8,277714,0.8,371365,2.00 117,1,2024-09-07 09:42:01:579,950193,950193,0,0,446228800338,4670338188,939596,9136,1461,369,392033,0 117,2,2024-09-07 09:42:01:118,686007,686007,0,0,29121555,0,4303 117,3,2024-09-07 09:42:01:059,1,542,1,0,490,7275,542,0 118,0,2024-09-07 09:42:01:811,129712,0.6,133302,0.7,271845,0.6,355514,2.00 118,1,2024-09-07 09:42:00:585,948949,948949,0,0,446228035160,4686648199,935408,10416,3125,366,392054,0 118,2,2024-09-07 09:42:01:587,685107,685107,0,0,31974942,0,2842 118,3,2024-09-07 09:42:01:781,1,542,15,0,248,5974,542,0 119,0,2024-09-07 09:42:01:334,131846,0.6,132415,0.7,264407,0.6,352546,2.00 119,1,2024-09-07 09:42:00:550,950068,950068,0,0,446360018114,4673313906,938330,9970,1768,367,391857,0 119,2,2024-09-07 09:42:01:261,686333,686333,0,0,29684442,0,4174 119,3,2024-09-07 09:42:01:325,1,542,352,0,1358,10208,542,0 120,0,2024-09-07 09:42:01:572,135748,0.6,135590,0.8,272348,0.6,363240,2.25 120,1,2024-09-07 09:42:00:862,951442,951442,0,0,446326049706,4673402257,942125,8536,781,367,392144,0 120,2,2024-09-07 09:42:00:770,684479,684478,1,0,34247967,0,5281 120,3,2024-09-07 09:42:01:327,1,542,2,0,279,6657,542,0 121,0,2024-09-07 09:42:01:731,139277,1.2,138573,1.1,277714,1.7,370131,2.25 121,1,2024-09-07 09:42:01:661,951092,951092,0,0,446407411836,4662307327,942281,8107,704,366,391840,0 121,2,2024-09-07 09:42:01:127,684391,684391,0,0,31383334,0,4157 121,3,2024-09-07 09:42:00:730,1,542,6,0,330,6371,542,0 122,0,2024-09-07 09:42:01:765,136205,0.8,132489,0.9,277570,1.0,364829,2.25 122,1,2024-09-07 09:42:00:868,950298,950298,0,0,446894483840,4684566418,937564,10843,1891,366,392130,0 122,2,2024-09-07 09:42:01:319,686130,686055,75,0,35710622,0,5989 122,3,2024-09-07 09:42:00:594,1,542,9,0,512,9038,542,0 123,0,2024-09-07 09:42:00:964,131987,0.8,128247,0.8,268579,0.9,351412,2.25 123,1,2024-09-07 09:42:00:562,950522,950522,0,0,445797452151,4685492394,935290,12726,2506,369,392039,0 123,2,2024-09-07 09:42:01:028,683490,683489,1,0,30323240,0,5215 123,3,2024-09-07 09:42:01:133,1,542,1,0,478,6248,542,0 124,0,2024-09-07 09:42:00:925,138121,0.3,138152,0.5,260278,0.3,358651,1.75 124,1,2024-09-07 09:42:01:027,953159,953159,0,0,447075440822,4644523050,946339,5687,1133,365,392178,0 124,2,2024-09-07 09:42:01:013,687342,687289,53,0,27655811,0,6487 124,3,2024-09-07 09:42:00:773,1,542,33,0,490,5568,542,0 125,0,2024-09-07 09:42:01:440,139362,0.4,139178,0.5,279450,0.3,371667,1.75 125,1,2024-09-07 09:42:00:857,951527,951527,0,0,446359562770,4655932105,944542,6001,984,382,391813,0 125,2,2024-09-07 09:42:01:115,688487,688487,0,0,27694677,0,4534 125,3,2024-09-07 09:42:01:127,1,542,1,0,709,6407,542,0 126,0,2024-09-07 09:42:01:423,139019,0.4,142947,0.6,273553,0.4,370233,1.75 126,1,2024-09-07 09:42:00:554,954912,954912,0,0,448361480984,4638449847,950220,4380,312,365,391987,0 126,2,2024-09-07 09:42:00:613,686595,686595,0,0,28485802,0,4539 126,3,2024-09-07 09:42:00:909,1,542,8,0,268,5963,542,0 127,0,2024-09-07 09:42:01:618,131892,0.3,132180,0.5,263887,0.3,351005,1.75 127,1,2024-09-07 09:42:00:576,952938,952938,0,0,446733634045,4645984350,943561,7871,1506,364,392187,0 127,2,2024-09-07 09:42:00:637,685541,685537,4,0,27220666,0,5305 127,3,2024-09-07 09:42:01:294,1,542,2,0,968,5357,542,0 128,0,2024-09-07 09:42:01:623,133847,0.3,133765,0.4,267272,0.2,355499,1.50 128,1,2024-09-07 09:42:01:609,952298,952298,0,0,448206244262,4655251561,945502,6016,780,367,392031,0 128,2,2024-09-07 09:42:01:401,687742,687742,0,0,25632688,0,3171 128,3,2024-09-07 09:42:00:783,1,542,6,0,1082,8592,542,0 129,0,2024-09-07 09:42:00:997,140422,0.3,139751,0.4,280066,0.3,372777,1.50 129,1,2024-09-07 09:42:00:567,949435,949435,0,0,446019206628,4671409080,940396,7093,1946,379,391962,0 129,2,2024-09-07 09:42:00:686,686891,686887,4,0,27899960,0,5335 129,3,2024-09-07 09:42:00:687,1,542,1,0,506,7421,542,0 130,0,2024-09-07 09:42:01:736,139787,0.4,139275,0.6,279392,0.4,372033,1.75 130,1,2024-09-07 09:42:00:583,953301,953301,0,0,447349797426,4645004992,948447,4466,388,381,391825,0 130,2,2024-09-07 09:42:01:125,685733,685733,0,0,27762381,0,4067 130,3,2024-09-07 09:42:01:332,1,542,8,0,960,7466,542,0 131,0,2024-09-07 09:42:01:968,133012,0.4,133490,0.5,267293,0.3,354834,1.75 131,1,2024-09-07 09:42:01:822,952846,952846,0,0,447447728963,4664845741,945948,5706,1192,381,391865,0 131,2,2024-09-07 09:42:00:567,686788,686788,0,0,25913790,0,3979 131,3,2024-09-07 09:42:01:696,1,542,1,0,392,6634,542,0 132,0,2024-09-07 09:42:01:410,132854,0.4,133775,0.6,266437,0.4,354567,1.75 132,1,2024-09-07 09:42:00:576,949032,949032,0,0,445642942421,4684059907,936483,10351,2198,381,392532,0 132,2,2024-09-07 09:42:00:700,685863,685846,17,0,33774636,0,6451 132,3,2024-09-07 09:42:01:694,1,542,11,0,804,8806,542,0 133,0,2024-09-07 09:42:01:534,134313,0.4,137434,0.6,281918,0.3,367132,1.75 133,1,2024-09-07 09:42:00:583,948763,948763,0,0,446078199054,4698138629,936025,11047,1691,383,391914,0 133,2,2024-09-07 09:42:01:086,686291,686241,50,0,34283620,0,6861 133,3,2024-09-07 09:42:01:327,1,542,7,0,528,6852,542,0 134,0,2024-09-07 09:42:00:950,139461,0.5,139406,0.7,279205,0.5,371554,2.00 134,1,2024-09-07 09:42:00:584,950483,950483,0,0,445505039997,4667227854,938898,9438,2147,366,391718,0 134,2,2024-09-07 09:42:01:778,684274,684250,24,0,32045386,0,6207 134,3,2024-09-07 09:42:00:752,1,542,8,0,739,6380,542,0 135,0,2024-09-07 09:42:01:099,130777,0.9,130771,0.9,277654,1.1,357491,2.25 135,1,2024-09-07 09:42:01:595,950224,950224,0,0,446173106876,4688239732,938573,10084,1567,380,391805,0 135,2,2024-09-07 09:42:00:692,686195,686195,0,0,32465786,0,4503 135,3,2024-09-07 09:42:01:002,1,542,1,0,900,5395,542,0 136,0,2024-09-07 09:42:01:639,132435,0.5,132672,0.7,264208,0.5,352107,2.00 136,1,2024-09-07 09:42:01:443,950894,950894,0,0,445627588149,4667464108,940500,9123,1271,381,392135,0 136,2,2024-09-07 09:42:01:139,686797,686782,15,0,31607182,0,6007 136,3,2024-09-07 09:42:01:107,1,542,1,0,637,6412,542,0 137,0,2024-09-07 09:42:00:934,139491,0.5,136000,0.7,266381,0.5,362224,2.00 137,1,2024-09-07 09:42:00:581,949371,949371,0,0,446752947423,4677849395,935809,10888,2674,366,391898,0 137,2,2024-09-07 09:42:01:711,684016,684016,0,0,33159661,0,3185 137,3,2024-09-07 09:42:00:769,1,542,1,0,484,7091,542,0 138,0,2024-09-07 09:42:01:742,138498,0.8,138781,0.9,278125,0.9,369107,2.00 138,1,2024-09-07 09:42:01:685,950368,950368,0,0,446860751206,4681863462,937549,10848,1971,368,391954,0 138,2,2024-09-07 09:42:00:587,685103,685103,0,0,30488289,0,4988 138,3,2024-09-07 09:42:00:610,1,542,25,0,1200,8673,542,0 139,0,2024-09-07 09:42:01:366,136719,1.2,137144,1.0,274323,1.8,365707,2.50 139,1,2024-09-07 09:42:00:570,946423,946423,0,0,444292336766,4707057356,930187,12716,3520,380,392109,0 139,2,2024-09-07 09:42:00:691,681640,681640,0,0,33954544,0,3097 139,3,2024-09-07 09:42:01:661,1,542,2,0,432,6095,542,0 140,0,2024-09-07 09:42:01:594,132733,0.3,131853,0.5,264624,0.2,352935,1.75 140,1,2024-09-07 09:42:01:536,956129,956129,0,0,449915684301,4635179954,951544,4047,538,364,391606,0 140,2,2024-09-07 09:42:00:687,686758,686757,1,0,25911090,0,5036 140,3,2024-09-07 09:42:00:769,1,542,1,0,297,4907,542,0 141,0,2024-09-07 09:42:01:698,133742,0.3,137363,0.4,262391,0.2,356020,1.50 141,1,2024-09-07 09:42:00:871,954256,954256,0,0,448784899587,4658354877,947134,6036,1086,379,391614,0 141,2,2024-09-07 09:42:01:685,686422,686411,11,0,27546696,0,5369 141,3,2024-09-07 09:42:01:043,1,542,197,0,391,6225,542,0 142,0,2024-09-07 09:42:01:357,140988,0.3,140002,0.5,280482,0.3,374036,1.50 142,1,2024-09-07 09:42:00:589,952193,952193,0,0,447454755834,4660318275,946519,5214,460,382,392102,0 142,2,2024-09-07 09:42:01:318,685190,685158,32,0,28080654,0,6028 142,3,2024-09-07 09:42:01:749,1,542,9,0,484,5592,542,0 143,0,2024-09-07 09:42:01:400,138728,0.4,138730,0.6,278330,0.4,370143,1.75 143,1,2024-09-07 09:42:00:556,954516,954516,0,0,448088460749,4646896496,948424,5533,559,367,391722,0 143,2,2024-09-07 09:42:00:778,686508,686508,0,0,27529263,0,3123 143,3,2024-09-07 09:42:01:139,1,542,1,0,462,6611,542,0 144,0,2024-09-07 09:42:01:544,128216,0.6,131904,0.8,268382,0.6,351507,2.00 144,1,2024-09-07 09:42:00:566,949752,949752,0,0,446322298917,4680506472,940336,7448,1968,381,391733,0 144,2,2024-09-07 09:42:01:768,686535,686535,0,0,26595797,0,3673 144,3,2024-09-07 09:42:01:743,1,542,5,0,249,5320,542,0 145,0,2024-09-07 09:42:01:378,128634,0.5,128600,0.7,273071,0.4,351710,2.25 145,1,2024-09-07 09:42:00:558,948615,948615,0,0,445599923516,4686958832,936171,9973,2471,382,391759,0 145,2,2024-09-07 09:42:01:433,684023,683941,82,0,32630971,0,7814 145,3,2024-09-07 09:42:00:898,1,542,1,0,622,7506,542,0 146,0,2024-09-07 09:42:01:630,138424,0.5,137892,0.7,276867,0.5,367968,2.00 146,1,2024-09-07 09:42:01:587,950383,950383,0,0,446454441072,4690885576,935292,11071,4020,367,391770,0 146,2,2024-09-07 09:42:01:696,684544,684538,6,0,30780050,0,5151 146,3,2024-09-07 09:42:01:332,1,542,49,0,1520,9119,542,0 147,0,2024-09-07 09:42:01:707,139106,0.6,138846,0.7,277288,0.6,370575,2.25 147,1,2024-09-07 09:42:01:382,953697,953697,0,0,447916022581,4650743416,946403,6414,880,367,391791,0 147,2,2024-09-07 09:42:01:014,683977,683977,0,0,27296120,0,2968 147,3,2024-09-07 09:42:00:922,1,542,1,0,1626,8616,542,0 0,0,2024-09-07 09:42:11:715,133011,0.5,133012,0.7,282285,0.5,365233,2.00 0,1,2024-09-07 09:42:10:802,952189,952189,0,0,447833428935,4686307466,944626,6720,843,369,391896,0 0,2,2024-09-07 09:42:11:107,688027,688027,0,0,27673748,0,4480 0,3,2024-09-07 09:42:10:987,1,543,10,0,431,7774,543,0 1,0,2024-09-07 09:42:11:770,139165,0.9,138217,0.9,277898,1.1,370919,2.00 1,1,2024-09-07 09:42:10:574,951205,951205,0,0,446202404927,4673611058,942443,7291,1471,370,391859,0 1,2,2024-09-07 09:42:10:650,686584,686584,0,0,26910409,0,3380 1,3,2024-09-07 09:42:11:331,1,543,2,0,269,6648,543,0 2,0,2024-09-07 09:42:11:571,136546,0.6,136415,0.8,272626,0.6,363616,2.00 2,1,2024-09-07 09:42:10:864,954695,954695,0,0,448846625242,4662830708,949570,4196,929,379,391745,0 2,2,2024-09-07 09:42:11:266,687675,687675,0,0,25591610,0,3594 2,3,2024-09-07 09:42:10:698,1,543,8,0,357,4797,543,0 3,0,2024-09-07 09:42:11:754,132246,0.4,132188,0.6,263745,0.3,351294,2.00 3,1,2024-09-07 09:42:11:618,953667,953667,0,0,447849793871,4660019591,946148,6816,703,379,391716,0 3,2,2024-09-07 09:42:11:158,687689,687666,23,0,26810236,0,5851 3,3,2024-09-07 09:42:11:754,1,543,3,0,275,3580,543,0 4,0,2024-09-07 09:42:11:764,130580,0.3,134210,0.5,273642,0.3,358084,1.75 4,1,2024-09-07 09:42:10:595,949793,949793,0,0,445065839245,4713046078,932866,13223,3704,370,391992,0 4,2,2024-09-07 09:42:11:018,684145,684145,0,0,32814709,0,4534 4,3,2024-09-07 09:42:11:033,1,543,22,0,448,6917,543,0 5,0,2024-09-07 09:42:11:386,139620,0.4,139765,0.5,279559,0.4,371355,1.75 5,1,2024-09-07 09:42:10:766,950616,950616,0,0,447517419549,4707968543,937539,10656,2421,367,392005,0 5,2,2024-09-07 09:42:11:838,684163,684163,0,0,31777149,0,3582 5,3,2024-09-07 09:42:11:746,1,543,1,0,457,7257,543,0 6,0,2024-09-07 09:42:10:936,139107,0.5,138711,0.7,277765,0.5,369961,2.00 6,1,2024-09-07 09:42:10:749,952837,952837,0,0,447328454740,4670503432,942989,8387,1461,379,391702,0 6,2,2024-09-07 09:42:11:133,686824,686806,18,0,30567166,0,5535 6,3,2024-09-07 09:42:11:295,1,543,13,0,710,6732,543,0 7,0,2024-09-07 09:42:11:531,131283,0.5,132165,0.7,263084,0.5,350622,2.00 7,1,2024-09-07 09:42:10:853,951542,951542,0,0,447238118297,4694712759,938393,10917,2232,382,391747,0 7,2,2024-09-07 09:42:10:771,686672,686672,0,0,29759724,0,4791 7,3,2024-09-07 09:42:10:857,1,543,167,0,552,6090,543,0 8,0,2024-09-07 09:42:11:348,133837,0.3,133340,0.5,267534,0.3,356237,1.50 8,1,2024-09-07 09:42:11:018,949825,949825,0,0,446930114102,4693768726,935543,11149,3133,366,392853,0 8,2,2024-09-07 09:42:10:791,682798,682796,2,0,35373130,0,5112 8,3,2024-09-07 09:42:10:594,1,543,0,0,538,7914,543,0 9,0,2024-09-07 09:42:11:158,139563,0.3,135861,0.5,284651,0.3,373561,1.75 9,1,2024-09-07 09:42:10:574,950165,950165,0,0,446458631790,4698547016,936542,10968,2655,369,392001,0 9,2,2024-09-07 09:42:11:086,685876,685875,1,0,32391731,0,5281 9,3,2024-09-07 09:42:11:773,1,543,2,0,1273,8911,543,0 10,0,2024-09-07 09:42:11:608,139170,0.4,138765,0.5,278191,0.3,371015,1.75 10,1,2024-09-07 09:42:10:587,951995,951995,0,0,446865851723,4679353569,939214,10594,2187,381,391869,0 10,2,2024-09-07 09:42:10:764,686378,686378,0,0,34834194,0,4264 10,3,2024-09-07 09:42:10:875,1,543,12,0,649,5576,543,0 11,0,2024-09-07 09:42:11:015,132886,0.5,128844,0.6,269584,0.4,355189,1.75 11,1,2024-09-07 09:42:10:574,953827,953827,0,0,447710351798,4690284699,940337,10027,3463,383,391766,0 11,2,2024-09-07 09:42:11:133,686626,686626,0,0,30619851,0,4130 11,3,2024-09-07 09:42:11:298,1,543,68,0,843,7218,543,0 12,0,2024-09-07 09:42:10:976,133727,0.3,133817,0.5,267645,0.3,355505,1.75 12,1,2024-09-07 09:42:10:953,953018,953018,0,0,446785480031,4658143521,945330,6802,886,370,391960,0 12,2,2024-09-07 09:42:11:560,686645,686645,0,0,30417100,0,4390 12,3,2024-09-07 09:42:11:070,1,543,25,0,386,7153,543,0 13,0,2024-09-07 09:42:11:372,138968,0.4,138729,0.5,276937,0.3,369484,1.75 13,1,2024-09-07 09:42:11:534,950828,950828,0,0,446570178813,4690698679,941167,7364,2297,382,391740,0 13,2,2024-09-07 09:42:10:595,688364,688364,0,0,27305678,0,3287 13,3,2024-09-07 09:42:11:762,1,543,8,0,522,7429,543,0 14,0,2024-09-07 09:42:10:562,139364,0.4,140392,0.6,278607,0.4,370922,1.75 14,1,2024-09-07 09:42:11:561,957249,957249,0,0,449287596104,4645599569,950332,6189,728,364,391673,0 14,2,2024-09-07 09:42:10:764,686532,686502,30,0,28564175,0,6104 14,3,2024-09-07 09:42:11:121,1,543,8,0,1168,6095,543,0 15,0,2024-09-07 09:42:11:553,134758,0.4,134170,0.6,269197,0.4,358465,2.00 15,1,2024-09-07 09:42:11:608,954633,954633,0,0,447535197718,4657886781,946894,6253,1486,381,391619,0 15,2,2024-09-07 09:42:11:004,689813,689813,0,0,24124066,0,3622 15,3,2024-09-07 09:42:11:405,1,543,41,0,1126,7386,543,0 16,0,2024-09-07 09:42:11:002,131915,0.5,132671,0.7,264644,0.5,351866,2.00 16,1,2024-09-07 09:42:10:572,954287,954287,0,0,447789785915,4678932078,945849,7136,1302,370,392194,0 16,2,2024-09-07 09:42:11:442,686830,686830,0,0,28568397,0,4719 16,3,2024-09-07 09:42:11:149,1,543,6,0,358,6720,543,0 17,0,2024-09-07 09:42:11:802,140024,0.5,136411,0.7,267478,0.5,363301,2.00 17,1,2024-09-07 09:42:10:635,952216,952216,0,0,447424816410,4690672130,942886,7579,1751,368,392075,0 17,2,2024-09-07 09:42:11:666,689290,689289,1,0,29327477,0,5050 17,3,2024-09-07 09:42:10:604,1,543,11,0,518,7960,543,0 18,0,2024-09-07 09:42:10:952,137862,0.7,138732,0.8,276431,0.7,368864,2.25 18,1,2024-09-07 09:42:11:638,956263,956263,0,0,448656123489,4650949092,950147,5119,997,367,391725,0 18,2,2024-09-07 09:42:11:768,689406,689406,0,0,26056133,0,3541 18,3,2024-09-07 09:42:10:895,1,543,8,0,1059,5008,543,0 19,0,2024-09-07 09:42:11:556,137272,0.6,137604,0.8,274032,0.7,364409,2.25 19,1,2024-09-07 09:42:10:568,955683,955683,0,0,449762586126,4660523156,948691,5907,1085,367,391777,0 19,2,2024-09-07 09:42:11:752,690273,690273,0,0,24368405,0,3988 19,3,2024-09-07 09:42:11:138,1,543,1,0,524,4291,543,0 20,0,2024-09-07 09:42:11:350,132218,0.5,132441,0.7,264371,0.5,352514,2.00 20,1,2024-09-07 09:42:10:589,952024,952024,0,0,447315329859,4683434976,942635,8017,1372,369,391922,0 20,2,2024-09-07 09:42:10:928,686205,686205,0,0,29978631,0,3721 20,3,2024-09-07 09:42:10:594,1,543,0,0,468,8988,543,0 21,0,2024-09-07 09:42:11:172,133877,0.4,134027,0.6,268042,0.4,356241,1.75 21,1,2024-09-07 09:42:11:538,950563,950563,0,0,445701753521,4699834867,936344,11098,3121,368,392016,0 21,2,2024-09-07 09:42:11:083,684008,683988,20,0,34828305,0,5617 21,3,2024-09-07 09:42:11:430,1,543,1,0,713,6838,543,0 22,0,2024-09-07 09:42:11:719,140171,0.5,140697,0.7,280768,0.4,372279,2.00 22,1,2024-09-07 09:42:11:029,951140,951140,0,0,446061955781,4694488429,935149,12659,3332,382,391822,0 22,2,2024-09-07 09:42:10:760,685594,685568,26,0,29089319,0,6328 22,3,2024-09-07 09:42:11:076,1,543,8,0,228,4507,543,0 23,0,2024-09-07 09:42:11:379,138855,0.5,138407,0.7,276801,0.5,369348,2.25 23,1,2024-09-07 09:42:11:003,952093,952093,0,0,448037689785,4699051773,936060,11566,4467,365,391690,0 23,2,2024-09-07 09:42:11:128,687651,687651,0,0,27738450,0,3773 23,3,2024-09-07 09:42:11:754,1,543,1,0,855,8406,543,0 24,0,2024-09-07 09:42:10:856,133279,0.4,132623,0.5,266650,0.4,353992,1.75 24,1,2024-09-07 09:42:10:586,951835,951835,0,0,446593173937,4672061869,942857,7300,1678,367,392269,0 24,2,2024-09-07 09:42:11:069,686546,686546,0,0,32922528,0,4438 24,3,2024-09-07 09:42:11:700,1,543,8,0,468,6783,543,0 25,0,2024-09-07 09:42:11:400,136976,0.4,133484,0.6,261673,0.4,356977,1.75 25,1,2024-09-07 09:42:10:577,950604,950604,0,0,446311289749,4703871081,935592,12038,2974,369,391928,0 25,2,2024-09-07 09:42:11:607,685779,685779,0,0,34392269,0,3978 25,3,2024-09-07 09:42:11:010,1,543,18,0,532,5967,543,0 26,0,2024-09-07 09:42:11:721,138184,0.4,135274,0.5,283777,0.3,369359,1.75 26,1,2024-09-07 09:42:11:542,953978,953978,0,0,447915112109,4685925147,941026,10500,2452,380,391748,0 26,2,2024-09-07 09:42:10:863,686783,686783,0,0,36281104,0,4689 26,3,2024-09-07 09:42:11:712,1,543,8,0,796,5837,543,0 27,0,2024-09-07 09:42:11:784,139360,0.4,140032,0.6,278120,0.4,371295,2.25 27,1,2024-09-07 09:42:11:682,954256,954256,0,0,449204201129,4675177902,945576,7411,1269,381,391626,0 27,2,2024-09-07 09:42:10:874,682881,682816,65,0,31100590,0,5699 27,3,2024-09-07 09:42:11:017,1,543,0,0,564,4746,543,0 28,0,2024-09-07 09:42:11:403,134322,0.4,134039,0.6,268614,0.3,357823,1.75 28,1,2024-09-07 09:42:10:800,955234,955234,0,0,449007434705,4677581931,947455,6065,1714,382,391698,0 28,2,2024-09-07 09:42:11:763,688208,688208,0,0,27404137,0,2915 28,3,2024-09-07 09:42:11:789,1,543,8,0,502,5383,543,0 29,0,2024-09-07 09:42:11:385,136329,0.3,132709,0.6,260392,0.3,355300,1.75 29,1,2024-09-07 09:42:11:562,957385,957385,0,0,450164136719,4653103778,951825,4798,762,367,391809,0 29,2,2024-09-07 09:42:10:864,686630,686630,0,0,26976672,0,4986 29,3,2024-09-07 09:42:10:975,1,543,5,0,459,5864,543,0 30,0,2024-09-07 09:42:11:473,136309,0.4,132803,0.6,278242,0.4,363950,2.00 30,1,2024-09-07 09:42:10:576,956565,956565,0,0,449854615010,4665974760,949583,6080,902,380,391672,0 30,2,2024-09-07 09:42:11:278,687140,687140,0,0,26007785,0,4192 30,3,2024-09-07 09:42:10:584,1,543,18,0,519,5188,543,0 31,0,2024-09-07 09:42:11:759,138968,0.5,139482,0.6,278303,0.4,371249,2.00 31,1,2024-09-07 09:42:10:565,959524,959524,0,0,450243830466,4620066780,954192,4321,1011,356,391712,0 31,2,2024-09-07 09:42:11:285,685877,685877,0,0,28412523,0,4470 31,3,2024-09-07 09:42:11:707,1,543,2,0,220,4516,543,0 32,0,2024-09-07 09:42:11:422,136989,0.3,137700,0.5,274401,0.3,365150,1.75 32,1,2024-09-07 09:42:10:804,955844,955844,0,0,448851233581,4663655170,950033,5102,709,381,391646,0 32,2,2024-09-07 09:42:10:938,688690,688690,0,0,25053286,0,3922 32,3,2024-09-07 09:42:11:015,1,543,1,0,304,4439,543,0 33,0,2024-09-07 09:42:11:505,132820,0.3,132441,0.4,265008,0.2,352321,1.50 33,1,2024-09-07 09:42:10:583,956120,956120,0,0,449457269612,4653748851,948858,6088,1174,368,391730,0 33,2,2024-09-07 09:42:10:761,688181,688146,35,0,27848533,0,7012 33,3,2024-09-07 09:42:10:899,1,543,9,0,329,4970,543,0 34,0,2024-09-07 09:42:10:930,134880,0.3,138532,0.4,264792,0.2,357436,1.75 34,1,2024-09-07 09:42:11:045,958234,958234,0,0,450410669540,4630628108,955718,2494,22,367,391637,0 34,2,2024-09-07 09:42:10:767,688578,688578,0,0,26283597,0,4562 34,3,2024-09-07 09:42:11:687,1,543,13,0,320,4370,543,0 35,0,2024-09-07 09:42:10:864,138841,0.3,139523,0.5,280278,0.2,371947,1.75 35,1,2024-09-07 09:42:11:076,954927,954927,0,0,448938535342,4655073918,948265,5448,1214,382,391769,0 35,2,2024-09-07 09:42:11:586,687081,687081,0,0,28843874,0,4055 35,3,2024-09-07 09:42:10:907,1,543,1,0,466,5410,543,0 36,0,2024-09-07 09:42:11:551,139487,0.5,139318,0.7,278478,0.5,370841,2.00 36,1,2024-09-07 09:42:10:593,954472,954472,0,0,447152988774,4668674278,942117,10132,2223,366,391759,0 36,2,2024-09-07 09:42:11:752,687193,687193,0,0,30203573,0,3875 36,3,2024-09-07 09:42:10:863,1,543,1,0,416,7213,543,0 37,0,2024-09-07 09:42:11:385,131480,0.5,131475,0.7,263211,0.5,351208,2.25 37,1,2024-09-07 09:42:10:580,953427,953420,0,7,447367884545,4670459221,942063,8645,2712,365,391770,0 37,2,2024-09-07 09:42:11:159,684898,684883,15,0,30731719,0,5815 37,3,2024-09-07 09:42:11:770,1,543,2,0,888,7450,543,0 38,0,2024-09-07 09:42:11:436,132894,0.4,128894,0.6,269598,0.3,353240,2.00 38,1,2024-09-07 09:42:11:607,954340,954340,0,0,448020818919,4680564971,941021,10800,2519,368,391821,0 38,2,2024-09-07 09:42:10:759,686681,686634,47,0,30889928,0,6710 38,3,2024-09-07 09:42:11:001,1,543,9,0,689,6761,543,0 39,0,2024-09-07 09:42:11:764,143004,0.5,139874,0.7,272572,0.5,372330,2.00 39,1,2024-09-07 09:42:10:719,952298,952298,0,0,447329645856,4692178090,935845,12758,3695,365,391865,0 39,2,2024-09-07 09:42:11:421,687500,687500,0,0,28521220,0,3391 39,3,2024-09-07 09:42:10:713,1,543,0,0,525,6481,543,0 40,0,2024-09-07 09:42:11:495,138020,0.9,138593,1.0,276600,1.0,369015,2.75 40,1,2024-09-07 09:42:10:583,954656,954656,0,0,446786023379,4669141613,942556,9920,2180,368,391668,0 40,2,2024-09-07 09:42:11:323,684498,684497,1,0,33749234,0,5137 40,3,2024-09-07 09:42:11:151,1,543,3,0,1028,7422,543,0 41,0,2024-09-07 09:42:11:067,132339,1.2,135275,1.1,257994,1.8,350855,3.00 41,1,2024-09-07 09:42:10:768,953209,953209,0,0,446843151648,4671873309,941255,10019,1935,369,391878,0 41,2,2024-09-07 09:42:10:758,684607,684606,1,0,32591000,0,5408 41,3,2024-09-07 09:42:11:679,1,543,1,0,366,5786,543,0 42,0,2024-09-07 09:42:11:476,132377,0.7,132086,0.9,264222,0.8,350446,2.50 42,1,2024-09-07 09:42:11:440,950163,950163,0,0,446865955727,4694222433,935077,12178,2908,380,391675,0 42,2,2024-09-07 09:42:11:132,686414,686414,0,0,32173795,0,3975 42,3,2024-09-07 09:42:11:011,1,543,9,0,892,4768,543,0 43,0,2024-09-07 09:42:10:920,137031,0.6,133344,0.8,279367,0.6,366318,2.25 43,1,2024-09-07 09:42:10:577,953495,953495,0,0,448017891778,4677171007,940585,10649,2261,366,391696,0 43,2,2024-09-07 09:42:11:741,687368,687368,0,0,31190358,0,4723 43,3,2024-09-07 09:42:11:752,1,543,201,0,571,7650,543,0 44,0,2024-09-07 09:42:10:922,139618,0.4,139573,0.6,279751,0.4,371482,1.75 44,1,2024-09-07 09:42:10:570,956313,956313,0,0,448866791158,4635869292,948496,6230,1587,356,391809,0 44,2,2024-09-07 09:42:11:267,684312,684312,0,0,25727048,0,4344 44,3,2024-09-07 09:42:11:120,1,543,1,0,817,5803,543,0 45,0,2024-09-07 09:42:11:761,133341,0.5,130258,0.7,273468,0.5,358677,2.00 45,1,2024-09-07 09:42:11:005,955336,955336,0,0,449386146889,4668096999,947820,6640,876,382,391917,0 45,2,2024-09-07 09:42:11:269,688461,688461,0,0,26165211,0,3596 45,3,2024-09-07 09:42:10:939,1,543,0,0,365,5019,543,0 46,0,2024-09-07 09:42:10:954,131630,0.4,131104,0.7,262912,0.4,348998,2.00 46,1,2024-09-07 09:42:10:577,956747,956747,0,0,449782058968,4645435504,950337,5546,864,366,391709,0 46,2,2024-09-07 09:42:10:595,688382,688382,0,0,26452188,0,4443 46,3,2024-09-07 09:42:11:132,1,543,8,0,908,6422,543,0 47,0,2024-09-07 09:42:11:109,135901,0.4,136055,0.5,272987,0.3,362272,1.75 47,1,2024-09-07 09:42:10:566,958121,958121,0,0,450296662096,4648539088,952832,4450,839,365,391641,0 47,2,2024-09-07 09:42:10:912,689776,689776,0,0,26206214,0,4477 47,3,2024-09-07 09:42:11:127,1,543,1,0,600,6000,543,0 48,0,2024-09-07 09:42:11:491,139491,0.3,139668,0.4,278727,0.2,370673,1.50 48,1,2024-09-07 09:42:11:034,955281,955281,0,0,448881871184,4662097117,949361,5344,576,384,391710,0 48,2,2024-09-07 09:42:10:699,687404,687404,0,0,24126490,0,3411 48,3,2024-09-07 09:42:10:756,1,543,2,0,339,4218,543,0 49,0,2024-09-07 09:42:11:716,141553,0.3,138884,0.5,269973,0.3,368530,1.75 49,1,2024-09-07 09:42:11:020,954681,954681,0,0,449176362787,4666567641,949111,4358,1212,382,391809,0 49,2,2024-09-07 09:42:11:796,688668,688668,0,0,26769904,0,4426 49,3,2024-09-07 09:42:11:420,1,543,6,0,992,6233,543,0 50,0,2024-09-07 09:42:11:509,133085,0.3,131310,0.5,264568,0.2,352232,1.75 50,1,2024-09-07 09:42:11:012,958095,958095,0,0,449395641716,4644411162,952137,5290,668,368,391565,0 50,2,2024-09-07 09:42:11:079,686401,686401,0,0,24557086,0,4490 50,3,2024-09-07 09:42:11:296,1,543,8,0,617,5522,543,0 51,0,2024-09-07 09:42:11:690,137550,0.3,134602,0.4,262102,0.2,357723,1.75 51,1,2024-09-07 09:42:11:683,958977,958977,0,0,450743360156,4645504013,954182,3739,1056,365,391706,0 51,2,2024-09-07 09:42:11:318,687551,687551,0,0,23924459,0,3337 51,3,2024-09-07 09:42:11:031,1,543,7,0,678,3826,543,0 52,0,2024-09-07 09:42:11:438,140732,0.5,140316,0.7,280989,0.5,373245,2.00 52,1,2024-09-07 09:42:10:580,953705,953705,0,0,447025255814,4677649571,940123,11384,2198,368,391722,0 52,2,2024-09-07 09:42:11:764,683734,683696,38,0,32030951,0,6742 52,3,2024-09-07 09:42:10:675,1,543,1,0,1782,6822,543,0 53,0,2024-09-07 09:42:11:744,138483,0.7,134297,0.8,280943,0.8,368710,2.50 53,1,2024-09-07 09:42:10:770,952185,952185,0,0,447209740984,4689274650,936796,11545,3844,367,391968,0 53,2,2024-09-07 09:42:11:298,686986,686985,1,0,28448669,0,5455 53,3,2024-09-07 09:42:10:698,1,543,0,0,308,5190,543,0 54,0,2024-09-07 09:42:11:619,130535,0.6,130855,0.8,260627,0.4,347969,2.25 54,1,2024-09-07 09:42:10:580,954386,954386,0,0,448173290607,4659700986,944266,8486,1634,366,391810,0 54,2,2024-09-07 09:42:10:866,687468,687436,32,0,32336425,0,6397 54,3,2024-09-07 09:42:10:762,1,543,12,0,676,7389,543,0 55,0,2024-09-07 09:42:11:785,128731,0.6,132804,0.7,269116,0.5,351045,2.50 55,1,2024-09-07 09:42:10:768,955540,955540,0,0,448129607754,4659779091,945377,8784,1379,365,391731,0 55,2,2024-09-07 09:42:10:734,686018,685962,56,0,30708112,0,7239 55,3,2024-09-07 09:42:10:676,1,543,1,0,304,5075,543,0 56,0,2024-09-07 09:42:11:555,141791,1.0,133703,1.0,275450,1.2,368797,2.50 56,1,2024-09-07 09:42:10:583,950242,950242,0,0,447184541743,4718981525,935586,11713,2943,381,391867,0 56,2,2024-09-07 09:42:11:311,686183,686061,122,0,31931260,0,7432 56,3,2024-09-07 09:42:11:068,1,543,10,0,705,6357,543,0 57,0,2024-09-07 09:42:10:952,137405,1.6,137377,1.3,274995,2.2,367834,3.25 57,1,2024-09-07 09:42:11:005,951648,951648,0,0,446842367322,4685854725,939139,10181,2328,366,392032,0 57,2,2024-09-07 09:42:11:322,685543,685543,0,0,32707337,0,4317 57,3,2024-09-07 09:42:11:745,1,543,6,0,455,6116,543,0 58,0,2024-09-07 09:42:10:565,132195,0.9,128310,1.0,268408,1.1,351300,2.50 58,1,2024-09-07 09:42:10:582,953309,953306,0,3,447812910347,4689322537,939490,10495,3321,367,391675,3 58,2,2024-09-07 09:42:11:076,687272,687272,0,0,31184788,0,3483 58,3,2024-09-07 09:42:11:077,1,543,14,0,1043,5882,543,0 59,0,2024-09-07 09:42:11:746,132002,0.8,131958,0.9,263089,0.8,349398,2.75 59,1,2024-09-07 09:42:10:805,951908,951908,0,0,447200347587,4693675609,936786,11982,3140,369,391653,0 59,2,2024-09-07 09:42:10:594,686839,686839,0,0,29798368,0,3727 59,3,2024-09-07 09:42:11:740,1,543,4,0,1015,6565,543,0 60,0,2024-09-07 09:42:11:717,136846,0.5,137185,0.6,274199,0.4,365138,1.75 60,1,2024-09-07 09:42:10:777,956886,956886,0,0,449130423681,4659402599,950604,5343,939,370,392031,0 60,2,2024-09-07 09:42:11:156,687201,687201,0,0,28182242,0,3811 60,3,2024-09-07 09:42:11:261,1,543,3,0,409,6192,543,0 61,0,2024-09-07 09:42:11:522,139058,0.7,139649,0.8,278099,0.7,370872,2.00 61,1,2024-09-07 09:42:10:777,953343,953343,0,0,447931646907,4686831317,943414,8348,1581,382,392127,0 61,2,2024-09-07 09:42:11:139,686634,686567,67,0,29052207,0,6411 61,3,2024-09-07 09:42:11:687,1,543,0,0,607,7574,543,0 62,0,2024-09-07 09:42:11:707,137315,0.6,140867,0.8,268927,0.6,364969,2.00 62,1,2024-09-07 09:42:11:121,958702,958696,0,6,450925520869,4644676124,954529,3887,280,365,391975,6 62,2,2024-09-07 09:42:11:644,686160,686159,1,0,28313928,0,5555 62,3,2024-09-07 09:42:11:147,1,543,8,0,482,4322,543,0 63,0,2024-09-07 09:42:11:496,132832,0.4,132555,0.6,265994,0.3,352703,1.75 63,1,2024-09-07 09:42:10:804,955891,955885,0,6,449061878990,4658509953,950121,5013,751,381,391800,6 63,2,2024-09-07 09:42:10:764,687407,687407,0,0,27437559,0,4369 63,3,2024-09-07 09:42:11:740,1,543,1,0,667,5668,543,0 64,0,2024-09-07 09:42:11:551,134176,0.5,134320,0.6,267682,0.4,356625,1.75 64,1,2024-09-07 09:42:10:768,954897,954897,0,0,448309502251,4665832023,946994,6130,1773,370,391794,0 64,2,2024-09-07 09:42:11:158,691489,691470,19,0,26497416,0,6121 64,3,2024-09-07 09:42:11:144,1,543,5,0,651,5611,543,0 65,0,2024-09-07 09:42:11:675,138525,0.6,138846,0.7,277218,0.6,369349,2.00 65,1,2024-09-07 09:42:10:864,953354,953354,0,0,446811619513,4667894279,946595,5961,798,381,391901,0 65,2,2024-09-07 09:42:11:711,686727,686727,0,0,31157428,0,3367 65,3,2024-09-07 09:42:11:689,1,543,25,0,782,6365,543,0 66,0,2024-09-07 09:42:11:763,138610,0.6,138153,0.8,276241,0.5,367950,2.25 66,1,2024-09-07 09:42:11:297,955458,955458,0,0,448547278187,4666310821,949296,5440,722,380,391743,0 66,2,2024-09-07 09:42:11:130,688898,688895,3,0,28190945,0,5455 66,3,2024-09-07 09:42:11:097,1,543,8,0,291,4601,543,0 67,0,2024-09-07 09:42:11:424,131986,0.5,131616,0.7,264008,0.5,351489,2.00 67,1,2024-09-07 09:42:10:766,955463,955462,0,1,448275956737,4664500733,949178,5470,814,380,391787,1 67,2,2024-09-07 09:42:10:589,689554,689539,15,0,27554822,0,6205 67,3,2024-09-07 09:42:11:751,1,543,1,0,595,5741,543,0 68,0,2024-09-07 09:42:10:561,133728,0.5,133569,0.7,266027,0.5,355718,2.00 68,1,2024-09-07 09:42:10:574,950930,950930,0,0,446435818926,4692566657,938510,9019,3401,381,391953,0 68,2,2024-09-07 09:42:11:050,683204,683104,100,0,35926269,0,8578 68,3,2024-09-07 09:42:10:730,1,543,15,0,417,6916,543,0 69,0,2024-09-07 09:42:11:757,139098,0.6,140085,0.8,279097,0.6,371260,2.25 69,1,2024-09-07 09:42:11:017,950513,950513,0,0,446608099717,4703189293,938182,9569,2762,383,391994,0 69,2,2024-09-07 09:42:11:746,685259,685230,29,0,36861589,0,6912 69,3,2024-09-07 09:42:10:769,1,543,93,0,698,8120,543,0 70,0,2024-09-07 09:42:11:534,137629,0.8,138067,1.0,277791,0.7,367967,2.50 70,1,2024-09-07 09:42:10:800,956366,956366,0,0,449345009495,4660954465,949053,6551,762,366,391725,0 70,2,2024-09-07 09:42:11:330,686289,686289,0,0,30416216,0,4323 70,3,2024-09-07 09:42:10:746,1,543,14,0,854,5848,543,0 71,0,2024-09-07 09:42:11:362,131641,1.1,131418,1.1,263954,1.4,352140,2.75 71,1,2024-09-07 09:42:11:601,953719,953719,0,0,447451050942,4669556049,941960,10410,1349,368,391738,0 71,2,2024-09-07 09:42:11:078,686880,686880,0,0,31417031,0,4352 71,3,2024-09-07 09:42:11:754,1,543,136,0,644,6432,543,0 72,0,2024-09-07 09:42:11:030,137305,0.5,134221,0.7,261961,0.5,355921,2.00 72,1,2024-09-07 09:42:11:039,952395,952395,0,0,447111917701,4686818057,938929,11180,2286,369,391819,0 72,2,2024-09-07 09:42:11:765,685588,685588,0,0,32871936,0,3983 72,3,2024-09-07 09:42:11:758,1,543,4,0,564,7589,543,0 73,0,2024-09-07 09:42:11:153,134673,0.4,137890,0.6,282327,0.3,367406,2.00 73,1,2024-09-07 09:42:10:767,954779,954779,0,0,447516394936,4647685665,947889,6110,780,367,391858,0 73,2,2024-09-07 09:42:11:744,687061,687061,0,0,33237069,0,4728 73,3,2024-09-07 09:42:10:973,1,543,12,0,486,6730,543,0 74,0,2024-09-07 09:42:11:327,140348,0.5,143695,0.7,274019,0.4,371856,2.00 74,1,2024-09-07 09:42:10:635,953327,953327,0,0,447786047902,4672717977,942868,8534,1925,381,391762,0 74,2,2024-09-07 09:42:11:005,685215,685215,0,0,28784333,0,4253 74,3,2024-09-07 09:42:11:443,1,543,11,0,522,6406,543,0 75,0,2024-09-07 09:42:11:767,135305,0.6,134454,0.8,269870,0.5,360274,2.25 75,1,2024-09-07 09:42:11:586,954608,954608,0,0,448516036775,4672647858,946711,7033,864,380,391739,0 75,2,2024-09-07 09:42:11:350,686215,686215,0,0,34787758,0,4766 75,3,2024-09-07 09:42:11:069,1,543,1,0,702,6264,543,0 76,0,2024-09-07 09:42:10:599,131515,0.5,130871,0.7,262156,0.5,350672,2.25 76,1,2024-09-07 09:42:10:807,954069,954069,0,0,447605056730,4665971122,947432,5669,968,382,391723,0 76,2,2024-09-07 09:42:11:079,689463,689460,3,0,29169299,0,5265 76,3,2024-09-07 09:42:11:157,1,543,0,0,227,4955,543,0 77,0,2024-09-07 09:42:11:744,135380,0.5,135772,0.7,271764,0.4,361261,2.00 77,1,2024-09-07 09:42:10:828,954217,954217,0,0,448408141876,4674108755,947368,6253,596,381,391869,0 77,2,2024-09-07 09:42:11:284,686403,686403,0,0,28733151,0,3890 77,3,2024-09-07 09:42:11:108,1,543,37,0,401,5759,543,0 78,0,2024-09-07 09:42:11:720,139600,0.4,139053,0.6,278965,0.4,369235,2.00 78,1,2024-09-07 09:42:10:609,954274,954274,0,0,447530260220,4663339396,943497,8250,2527,367,391670,0 78,2,2024-09-07 09:42:11:405,688502,688489,13,0,26714512,0,8313 78,3,2024-09-07 09:42:11:158,1,543,8,0,311,4639,543,0 79,0,2024-09-07 09:42:11:353,133092,0.4,136293,0.6,279377,0.3,363230,2.25 79,1,2024-09-07 09:42:10:574,956898,956898,0,0,449167383524,4651015336,949157,6384,1357,367,391682,0 79,2,2024-09-07 09:42:11:076,687782,687782,0,0,25611775,0,4195 79,3,2024-09-07 09:42:10:749,1,543,1,0,418,6375,543,0 80,0,2024-09-07 09:42:11:095,132121,0.5,135863,0.6,259770,0.5,351748,2.00 80,1,2024-09-07 09:42:11:631,953942,953942,0,0,449039404780,4671078619,948077,5436,429,368,392269,0 80,2,2024-09-07 09:42:11:136,689258,689258,0,0,26344434,0,4433 80,3,2024-09-07 09:42:10:579,1,543,0,0,681,6988,543,0 81,0,2024-09-07 09:42:11:549,134168,0.5,137309,0.7,261832,0.5,356035,2.00 81,1,2024-09-07 09:42:11:650,953000,953000,0,0,447486098172,4675200171,945582,6778,640,382,391885,0 81,2,2024-09-07 09:42:11:133,686467,686404,63,0,29298403,0,5932 81,3,2024-09-07 09:42:11:130,1,543,6,0,719,6088,543,0 82,0,2024-09-07 09:42:11:532,140038,0.4,140182,0.7,281054,0.4,372303,2.00 82,1,2024-09-07 09:42:10:589,955740,955736,0,4,449131748616,4666648347,950633,4282,821,381,391768,4 82,2,2024-09-07 09:42:11:697,689117,689117,0,0,24414150,0,4484 82,3,2024-09-07 09:42:11:764,1,543,8,0,363,5066,543,0 83,0,2024-09-07 09:42:11:527,139012,0.6,139007,0.7,277502,0.6,368822,2.25 83,1,2024-09-07 09:42:10:573,954079,954079,0,0,447532707846,4662705319,947696,5926,457,382,391709,0 83,2,2024-09-07 09:42:10:763,686671,686646,25,0,26354543,0,5612 83,3,2024-09-07 09:42:10:749,1,543,23,0,1260,6571,543,0 84,0,2024-09-07 09:42:11:833,131323,0.7,131565,0.9,262692,0.6,351230,2.25 84,1,2024-09-07 09:42:11:040,952909,952909,0,0,447131473087,4673885897,942113,9186,1610,367,391967,0 84,2,2024-09-07 09:42:10:574,686346,686316,30,0,34990822,0,5971 84,3,2024-09-07 09:42:11:170,1,543,58,0,908,7521,543,0 85,0,2024-09-07 09:42:11:003,128181,0.6,128192,0.8,272055,0.5,352406,2.25 85,1,2024-09-07 09:42:10:559,949388,949388,0,0,447083352174,4719497113,934728,12000,2660,381,392092,0 85,2,2024-09-07 09:42:10:866,686090,686090,0,0,32680486,0,4255 85,3,2024-09-07 09:42:10:684,1,543,4,0,789,6170,543,0 86,0,2024-09-07 09:42:10:903,138710,0.6,142545,0.7,272545,0.6,369087,2.25 86,1,2024-09-07 09:42:10:975,951681,951681,0,0,448028957590,4695576473,939402,9825,2454,366,391995,0 86,2,2024-09-07 09:42:10:860,685970,685969,1,0,34932341,0,5004 86,3,2024-09-07 09:42:10:586,1,543,107,0,308,7778,543,0 87,0,2024-09-07 09:42:11:335,139183,0.8,138947,0.9,277907,1.1,371566,2.25 87,1,2024-09-07 09:42:10:559,951430,951430,0,0,447152485361,4683073951,939207,10507,1716,366,392076,0 87,2,2024-09-07 09:42:11:092,684637,684631,6,0,30784381,0,6323 87,3,2024-09-07 09:42:11:798,1,543,4,0,473,8092,543,0 88,0,2024-09-07 09:42:11:532,133945,0.5,134474,0.6,268728,0.4,357639,1.75 88,1,2024-09-07 09:42:10:573,951206,951206,0,0,447318638897,4682631117,938372,10205,2629,365,392084,0 88,2,2024-09-07 09:42:10:688,687494,687494,0,0,33518654,0,4465 88,3,2024-09-07 09:42:11:321,1,543,1,0,435,7113,543,0 89,0,2024-09-07 09:42:11:832,136425,0.4,132308,0.6,261413,0.4,355379,1.75 89,1,2024-09-07 09:42:10:557,951197,951197,0,0,446307281003,4692908878,939539,9817,1841,382,391866,0 89,2,2024-09-07 09:42:11:133,686320,686320,0,0,31775992,0,3173 89,3,2024-09-07 09:42:11:801,1,543,11,0,468,8773,543,0 90,0,2024-09-07 09:42:11:621,132982,0.4,136513,0.6,278303,0.4,363699,1.75 90,1,2024-09-07 09:42:10:596,952712,952712,0,0,447057652501,4676817914,944174,7803,735,380,391825,0 90,2,2024-09-07 09:42:11:444,684271,684271,0,0,33959621,0,3608 90,3,2024-09-07 09:42:10:940,1,543,15,0,322,6545,543,0 91,0,2024-09-07 09:42:10:939,139615,0.5,135373,0.6,283111,0.4,371460,1.75 91,1,2024-09-07 09:42:10:557,950690,950690,0,0,447168600698,4699833263,938650,9878,2162,381,392047,0 91,2,2024-09-07 09:42:11:334,686510,686510,0,0,29944821,0,4713 91,3,2024-09-07 09:42:10:605,1,543,1,0,216,5062,543,0 92,0,2024-09-07 09:42:11:502,138420,0.5,141432,0.6,269743,0.5,365303,1.75 92,1,2024-09-07 09:42:10:580,953653,953653,0,0,448296237929,4680253652,946126,6319,1208,381,392136,0 92,2,2024-09-07 09:42:11:360,687770,687770,0,0,26937947,0,3259 92,3,2024-09-07 09:42:11:011,1,543,55,0,167,4669,543,0 93,0,2024-09-07 09:42:10:951,133140,0.4,136476,0.6,260627,0.3,352700,1.75 93,1,2024-09-07 09:42:10:805,953852,953852,0,0,447373809550,4667441678,943534,8584,1734,366,391776,0 93,2,2024-09-07 09:42:10:928,687332,687332,0,0,32725644,0,4913 93,3,2024-09-07 09:42:11:416,1,543,42,0,190,4832,543,0 94,0,2024-09-07 09:42:11:616,134020,0.3,134957,0.5,269648,0.3,358285,1.75 94,1,2024-09-07 09:42:10:563,954477,954477,0,0,448558175547,4674965192,948362,5739,376,381,391850,0 94,2,2024-09-07 09:42:10:763,687298,687270,28,0,27965053,0,6179 94,3,2024-09-07 09:42:11:689,1,543,17,0,576,6749,543,0 95,0,2024-09-07 09:42:11:360,139580,0.3,139615,0.5,279370,0.3,371936,1.75 95,1,2024-09-07 09:42:10:859,955705,955705,0,0,448891690303,4661982020,948342,6704,659,365,391852,0 95,2,2024-09-07 09:42:11:018,686513,686513,0,0,26723761,0,3308 95,3,2024-09-07 09:42:11:713,1,543,1,0,718,8232,543,0 96,0,2024-09-07 09:42:11:024,139068,0.4,139272,0.5,278516,0.3,370162,1.75 96,1,2024-09-07 09:42:11:590,953512,953512,0,0,447637423558,4666541112,947088,5509,915,384,391964,0 96,2,2024-09-07 09:42:11:268,687419,687419,0,0,27757834,0,4180 96,3,2024-09-07 09:42:11:154,1,543,10,0,411,5717,543,0 97,0,2024-09-07 09:42:11:350,131885,0.3,131832,0.5,264708,0.3,351588,1.50 97,1,2024-09-07 09:42:10:797,955691,955691,0,0,448177468638,4646691553,949886,4860,945,367,392140,0 97,2,2024-09-07 09:42:10:607,688625,688625,0,0,27372281,0,3679 97,3,2024-09-07 09:42:10:574,1,543,1,0,242,6113,543,0 98,0,2024-09-07 09:42:11:723,133137,0.3,133278,0.4,267431,0.2,355376,1.50 98,1,2024-09-07 09:42:10:574,954700,954700,0,0,447659176325,4659498839,949219,4680,801,381,391997,0 98,2,2024-09-07 09:42:10:771,687784,687784,0,0,26954011,0,4336 98,3,2024-09-07 09:42:10:698,1,543,8,0,840,8379,543,0 99,0,2024-09-07 09:42:11:500,139874,0.3,140479,0.5,279696,0.3,374082,1.75 99,1,2024-09-07 09:42:11:727,954937,954937,0,0,447675205787,4658133059,948907,5101,929,380,392069,0 99,2,2024-09-07 09:42:11:420,687952,687952,0,0,32475499,0,4276 99,3,2024-09-07 09:42:10:588,1,543,1,0,606,5346,543,0 100,0,2024-09-07 09:42:11:507,138884,0.8,139168,0.9,278082,0.9,371460,2.50 100,1,2024-09-07 09:42:10:550,949772,949772,0,0,445478619721,4707382810,935339,11333,3100,378,391989,0 100,2,2024-09-07 09:42:11:866,683866,683855,11,0,31612901,0,5417 100,3,2024-09-07 09:42:11:741,1,543,1,0,627,9575,543,0 101,0,2024-09-07 09:42:11:725,135734,1.2,132314,1.1,259305,1.1,354309,2.25 101,1,2024-09-07 09:42:10:561,951218,951218,0,0,446853907508,4692518548,938723,10093,2402,368,391847,0 101,2,2024-09-07 09:42:11:881,683270,683270,0,0,36825674,0,4871 101,3,2024-09-07 09:42:10:944,1,543,53,0,1250,8431,543,0 102,0,2024-09-07 09:42:10:975,129322,0.6,133372,0.7,270537,0.5,353944,2.00 102,1,2024-09-07 09:42:11:156,951047,951047,0,0,446527078005,4688256132,938573,10408,2066,369,391891,0 102,2,2024-09-07 09:42:11:742,687032,686978,54,0,31325318,0,6768 102,3,2024-09-07 09:42:11:617,1,543,9,0,466,6276,543,0 103,0,2024-09-07 09:42:11:600,142666,0.5,142634,0.7,268784,0.5,370049,2.00 103,1,2024-09-07 09:42:11:626,950055,950055,0,0,446599315556,4711974024,935544,11189,3322,381,391862,0 103,2,2024-09-07 09:42:10:581,685484,685484,0,0,32919476,0,3766 103,3,2024-09-07 09:42:10:755,1,543,169,0,916,6558,543,0 104,0,2024-09-07 09:42:11:015,138369,0.7,138471,0.9,276394,0.7,369766,2.25 104,1,2024-09-07 09:42:11:609,952670,952670,0,0,447138303043,4693595610,939343,10765,2562,365,392168,0 104,2,2024-09-07 09:42:11:667,683075,683075,0,0,31708956,0,3941 104,3,2024-09-07 09:42:11:418,1,543,9,0,1245,9315,543,0 105,0,2024-09-07 09:42:11:036,133624,0.9,130235,1.1,272981,1.0,358268,2.75 105,1,2024-09-07 09:42:10:563,953676,953676,0,0,448078041471,4690784410,941935,9972,1769,364,392009,0 105,2,2024-09-07 09:42:11:328,686132,686132,0,0,32332317,0,4360 105,3,2024-09-07 09:42:11:310,1,543,3,0,399,7514,543,0 106,0,2024-09-07 09:42:10:959,127597,0.7,130671,0.9,267790,0.7,349305,2.50 106,1,2024-09-07 09:42:11:764,952871,952871,0,0,446926706060,4685555061,940170,11051,1650,368,391914,0 106,2,2024-09-07 09:42:10:763,686189,686189,0,0,30310363,0,2920 106,3,2024-09-07 09:42:10:687,1,543,12,0,1224,8036,543,0 107,0,2024-09-07 09:42:11:164,135714,0.7,135643,0.8,271483,0.7,362123,2.00 107,1,2024-09-07 09:42:10:586,950849,950849,0,0,446417618701,4703334615,937861,11595,1393,381,392234,0 107,2,2024-09-07 09:42:11:310,684094,684093,1,0,32967132,0,5024 107,3,2024-09-07 09:42:11:770,1,543,5,0,733,8009,543,0 108,0,2024-09-07 09:42:11:792,139069,0.4,139704,0.6,278259,0.4,370841,1.75 108,1,2024-09-07 09:42:11:327,953415,953415,0,0,447767833618,4667983461,945489,6952,974,367,391894,0 108,2,2024-09-07 09:42:11:765,685973,685973,0,0,29461581,0,4246 108,3,2024-09-07 09:42:11:331,1,543,66,0,749,10488,543,0 109,0,2024-09-07 09:42:11:793,138543,0.4,137375,0.6,275419,0.4,367580,1.75 109,1,2024-09-07 09:42:10:592,950821,950821,0,0,447572586479,4692240944,942502,7102,1217,382,392132,0 109,2,2024-09-07 09:42:10:927,685383,685383,0,0,29503896,0,3617 109,3,2024-09-07 09:42:11:161,1,543,34,0,630,6677,543,0 110,0,2024-09-07 09:42:11:760,132303,0.4,128687,0.6,269390,0.3,353163,1.75 110,1,2024-09-07 09:42:11:650,954993,954993,0,0,449474503798,4664892211,947455,5614,1924,368,392045,0 110,2,2024-09-07 09:42:11:321,687419,687419,0,0,27850817,0,4067 110,3,2024-09-07 09:42:10:693,1,543,12,0,722,7398,543,0 111,0,2024-09-07 09:42:11:439,134474,0.3,133699,0.5,267775,0.2,357452,1.75 111,1,2024-09-07 09:42:11:007,956412,956412,0,0,450053596599,4663608754,951848,4207,357,380,391690,0 111,2,2024-09-07 09:42:11:128,687064,687064,0,0,28408392,0,4823 111,3,2024-09-07 09:42:10:913,1,543,10,0,379,6061,543,0 112,0,2024-09-07 09:42:10:947,141022,0.3,140410,0.4,281633,0.2,373534,1.50 112,1,2024-09-07 09:42:10:844,955269,955269,0,0,448586155185,4654628814,949360,5218,691,380,391624,0 112,2,2024-09-07 09:42:11:147,687177,687176,1,0,26989415,0,5036 112,3,2024-09-07 09:42:10:593,1,543,1,0,282,5124,543,0 113,0,2024-09-07 09:42:10:872,139198,0.3,139143,0.5,278642,0.2,371030,1.75 113,1,2024-09-07 09:42:11:685,957629,957629,0,0,449707358893,4638290756,952371,4443,815,365,391664,0 113,2,2024-09-07 09:42:11:314,689345,689345,0,0,24516743,0,3813 113,3,2024-09-07 09:42:10:693,1,543,2,0,510,6143,543,0 114,0,2024-09-07 09:42:10:875,132875,0.3,133694,0.5,265761,0.2,355280,1.75 114,1,2024-09-07 09:42:10:720,955245,955245,0,0,449229076734,4662228801,948331,5298,1616,381,391565,0 114,2,2024-09-07 09:42:10:876,688475,688474,1,0,26749230,0,5069 114,3,2024-09-07 09:42:11:284,1,543,12,0,395,4337,543,0 115,0,2024-09-07 09:42:10:555,133694,0.3,134385,0.4,267709,0.2,356303,1.50 115,1,2024-09-07 09:42:10:574,955709,955709,0,0,449068605875,4663552745,948304,6048,1357,382,391757,0 115,2,2024-09-07 09:42:11:133,690283,690283,0,0,26202639,0,4382 115,3,2024-09-07 09:42:11:004,1,543,1,0,167,3181,543,0 116,0,2024-09-07 09:42:11:826,138455,0.6,138206,0.8,277105,0.5,370272,2.00 116,1,2024-09-07 09:42:10:803,950669,950669,0,0,447069083875,4711670483,939253,8526,2890,380,392089,0 116,2,2024-09-07 09:42:11:763,686480,686480,0,0,33439252,0,4475 116,3,2024-09-07 09:42:10:913,1,543,1,0,415,6675,543,0 117,0,2024-09-07 09:42:10:953,139507,0.7,138887,0.8,278343,0.8,372225,2.00 117,1,2024-09-07 09:42:11:583,952020,952020,0,0,447018584341,4678819494,941422,9137,1461,369,392033,0 117,2,2024-09-07 09:42:11:128,686735,686735,0,0,29173860,0,4303 117,3,2024-09-07 09:42:11:069,1,543,4,0,490,7279,543,0 118,0,2024-09-07 09:42:11:765,129879,0.6,133460,0.7,272215,0.6,355984,2.00 118,1,2024-09-07 09:42:10:605,950974,950974,0,0,446996309033,4697219909,936875,10748,3351,366,392054,0 118,2,2024-09-07 09:42:11:586,686531,686531,0,0,32090695,0,2842 118,3,2024-09-07 09:42:11:764,1,543,8,0,248,5982,543,0 119,0,2024-09-07 09:42:11:348,132163,0.6,132730,0.7,265062,0.6,353371,2.00 119,1,2024-09-07 09:42:10:550,952076,952076,0,0,447089212527,4683592079,939747,10294,2035,367,391857,0 119,2,2024-09-07 09:42:11:263,687780,687780,0,0,29762940,0,4174 119,3,2024-09-07 09:42:11:327,1,543,2,0,1358,10210,543,0 120,0,2024-09-07 09:42:11:638,136203,0.6,136054,0.8,273223,0.6,364449,2.25 120,1,2024-09-07 09:42:10:860,953261,953261,0,0,447288604786,4683476868,943941,8539,781,367,392144,0 120,2,2024-09-07 09:42:10:770,685901,685900,1,0,34511387,0,5281 120,3,2024-09-07 09:42:11:309,1,543,24,0,279,6681,543,0 121,0,2024-09-07 09:42:11:706,139392,1.2,138704,1.1,277947,1.7,370449,2.25 121,1,2024-09-07 09:42:11:664,953151,953151,0,0,447443220809,4673885510,944175,8236,740,366,391840,0 121,2,2024-09-07 09:42:11:128,685150,685150,0,0,31429155,0,4157 121,3,2024-09-07 09:42:10:727,1,543,2,0,330,6373,543,0 122,0,2024-09-07 09:42:11:778,136341,0.8,132610,0.9,277803,1.0,365178,2.25 122,1,2024-09-07 09:42:10:862,952023,952023,0,0,447590201280,4692284431,939273,10859,1891,366,392130,0 122,2,2024-09-07 09:42:11:319,687550,687475,75,0,35811318,0,5989 122,3,2024-09-07 09:42:10:594,1,543,13,0,512,9051,543,0 123,0,2024-09-07 09:42:10:986,132004,0.8,128256,0.8,268612,0.9,351412,2.25 123,1,2024-09-07 09:42:10:565,952237,952237,0,0,446644556160,4694492952,936984,12747,2506,369,392039,0 123,2,2024-09-07 09:42:11:029,684873,684872,1,0,30378747,0,5215 123,3,2024-09-07 09:42:11:143,1,543,2,0,478,6250,543,0 124,0,2024-09-07 09:42:10:924,138470,0.3,138492,0.5,260916,0.3,359551,1.75 124,1,2024-09-07 09:42:11:040,954892,954892,0,0,448045121240,4654515543,948072,5687,1133,365,392178,0 124,2,2024-09-07 09:42:11:017,688434,688381,53,0,27689325,0,6487 124,3,2024-09-07 09:42:10:758,1,543,1,0,490,5569,543,0 125,0,2024-09-07 09:42:11:425,139453,0.4,139287,0.5,279640,0.3,371918,1.75 125,1,2024-09-07 09:42:10:856,953311,953311,0,0,447296339600,4665512957,946326,6001,984,382,391813,0 125,2,2024-09-07 09:42:11:141,689593,689593,0,0,27721516,0,4534 125,3,2024-09-07 09:42:11:132,1,543,11,0,709,6418,543,0 126,0,2024-09-07 09:42:11:461,139232,0.4,143165,0.6,273994,0.4,370814,1.75 126,1,2024-09-07 09:42:10:562,956628,956628,0,0,449129395306,4646275989,951936,4380,312,365,391987,0 126,2,2024-09-07 09:42:10:632,688011,688011,0,0,28526556,0,4539 126,3,2024-09-07 09:42:10:912,1,543,12,0,268,5975,543,0 127,0,2024-09-07 09:42:11:601,132191,0.3,132501,0.5,264543,0.3,351835,1.75 127,1,2024-09-07 09:42:10:582,954803,954803,0,0,447664884768,4655740784,945410,7886,1507,364,392187,0 127,2,2024-09-07 09:42:10:636,686959,686955,4,0,27333360,0,5305 127,3,2024-09-07 09:42:11:267,1,543,2,0,968,5359,543,0 128,0,2024-09-07 09:42:11:521,134127,0.3,134041,0.4,267820,0.2,356192,1.50 128,1,2024-09-07 09:42:11:605,954060,954060,0,0,449048615472,4664368298,947259,6021,780,367,392031,0 128,2,2024-09-07 09:42:11:385,688916,688916,0,0,25673548,0,3171 128,3,2024-09-07 09:42:10:773,1,543,13,0,1082,8605,543,0 129,0,2024-09-07 09:42:11:016,140934,0.3,140285,0.5,281162,0.3,374294,1.50 129,1,2024-09-07 09:42:10:567,951075,951075,0,0,447105124458,4682596059,942034,7094,1947,379,391962,0 129,2,2024-09-07 09:42:10:685,688237,688233,4,0,27983518,0,5335 129,3,2024-09-07 09:42:10:698,1,543,305,0,506,7726,543,0 130,0,2024-09-07 09:42:11:719,140083,0.4,139598,0.6,280025,0.4,372868,1.75 130,1,2024-09-07 09:42:10:607,955113,955113,0,0,448116204597,4653026411,950258,4466,389,381,391825,0 130,2,2024-09-07 09:42:11:135,686793,686793,0,0,27792338,0,4067 130,3,2024-09-07 09:42:11:296,1,543,9,0,960,7475,543,0 131,0,2024-09-07 09:42:11:995,133179,0.4,133648,0.5,267602,0.3,355250,1.75 131,1,2024-09-07 09:42:11:846,954586,954586,0,0,448222608536,4672853851,947688,5706,1192,381,391865,0 131,2,2024-09-07 09:42:10:569,688056,688056,0,0,25948264,0,3979 131,3,2024-09-07 09:42:11:688,1,543,4,0,392,6638,543,0 132,0,2024-09-07 09:42:11:419,133089,0.4,134022,0.6,266956,0.4,355186,1.75 132,1,2024-09-07 09:42:10:580,950463,950463,0,0,446509809466,4693356187,937896,10368,2199,381,392532,0 132,2,2024-09-07 09:42:10:710,687223,687206,17,0,33875702,0,6451 132,3,2024-09-07 09:42:11:713,1,543,16,0,804,8822,543,0 133,0,2024-09-07 09:42:11:531,134692,0.4,137819,0.6,282713,0.3,368127,1.75 133,1,2024-09-07 09:42:10:589,950494,950494,0,0,447116927785,4709461162,937694,11109,1691,383,391914,0 133,2,2024-09-07 09:42:11:103,687640,687590,50,0,34406939,0,6861 133,3,2024-09-07 09:42:11:315,1,543,31,0,528,6883,543,0 134,0,2024-09-07 09:42:10:983,139640,0.5,139553,0.7,279532,0.5,371975,2.00 134,1,2024-09-07 09:42:10:598,952257,952257,0,0,446361439526,4676298233,940670,9440,2147,366,391718,0 134,2,2024-09-07 09:42:11:756,684909,684885,24,0,32082964,0,6207 134,3,2024-09-07 09:42:10:749,1,543,8,0,739,6388,543,0 135,0,2024-09-07 09:42:11:190,130879,0.9,130862,0.9,277838,1.1,357734,2.25 135,1,2024-09-07 09:42:11:586,951922,951922,0,0,447218053420,4699130083,940271,10084,1567,380,391805,0 135,2,2024-09-07 09:42:10:698,687672,687672,0,0,32548511,0,4503 135,3,2024-09-07 09:42:11:013,1,543,8,0,900,5403,543,0 136,0,2024-09-07 09:42:11:617,132544,0.5,132779,0.7,264408,0.5,352445,2.00 136,1,2024-09-07 09:42:11:442,952614,952614,0,0,446584617606,4677439907,942219,9124,1271,381,392135,0 136,2,2024-09-07 09:42:11:152,688376,688361,15,0,31791283,0,6007 136,3,2024-09-07 09:42:11:121,1,543,1,0,637,6413,543,0 137,0,2024-09-07 09:42:10:969,140016,0.5,136471,0.7,267369,0.5,363515,2.00 137,1,2024-09-07 09:42:10:577,950996,950996,0,0,447488948750,4686716230,937172,11116,2708,366,391898,0 137,2,2024-09-07 09:42:11:744,685143,685143,0,0,33220030,0,3185 137,3,2024-09-07 09:42:10:770,1,543,2,0,484,7093,543,0 138,0,2024-09-07 09:42:11:750,138617,0.8,138900,0.9,278350,0.9,369392,2.00 138,1,2024-09-07 09:42:11:690,952141,952141,0,0,447714965741,4690736526,939322,10848,1971,368,391954,0 138,2,2024-09-07 09:42:10:589,686141,686141,0,0,30626954,0,4988 138,3,2024-09-07 09:42:10:611,1,543,22,0,1200,8695,543,0 139,0,2024-09-07 09:42:11:376,136828,1.2,137250,1.0,274541,1.8,365972,2.50 139,1,2024-09-07 09:42:10:579,948164,948164,0,0,445347985995,4718019541,931928,12716,3520,380,392109,0 139,2,2024-09-07 09:42:10:702,683083,683083,0,0,34106627,0,3097 139,3,2024-09-07 09:42:11:663,1,543,9,0,432,6104,543,0 140,0,2024-09-07 09:42:11:589,132922,0.3,132042,0.5,264999,0.2,353439,1.75 140,1,2024-09-07 09:42:11:536,957885,957885,0,0,450617029917,4642324534,953300,4047,538,364,391606,0 140,2,2024-09-07 09:42:10:699,688106,688105,1,0,25936352,0,5036 140,3,2024-09-07 09:42:10:767,1,543,2,0,297,4909,543,0 141,0,2024-09-07 09:42:11:703,134176,0.3,137819,0.4,263241,0.2,357209,1.50 141,1,2024-09-07 09:42:10:859,956108,956108,0,0,449729944839,4668008942,948986,6036,1086,379,391614,0 141,2,2024-09-07 09:42:11:686,687477,687466,11,0,27596406,0,5369 141,3,2024-09-07 09:42:11:043,1,543,23,0,391,6248,543,0 142,0,2024-09-07 09:42:11:351,141146,0.3,140154,0.5,280779,0.3,374327,1.50 142,1,2024-09-07 09:42:10:585,953997,953997,0,0,448409942633,4670318899,948323,5214,460,382,392102,0 142,2,2024-09-07 09:42:11:312,686478,686446,32,0,28109067,0,6028 142,3,2024-09-07 09:42:11:751,1,543,9,0,484,5601,543,0 143,0,2024-09-07 09:42:11:433,138995,0.4,139033,0.6,278887,0.4,370928,1.75 143,1,2024-09-07 09:42:10:565,956369,956369,0,0,449177695701,4657991000,950276,5534,559,367,391722,0 143,2,2024-09-07 09:42:10:769,687762,687762,0,0,27565637,0,3123 143,3,2024-09-07 09:42:11:157,1,543,3,0,462,6614,543,0 144,0,2024-09-07 09:42:11:520,128414,0.6,132142,0.8,268816,0.6,352100,2.00 144,1,2024-09-07 09:42:10:575,951479,951479,0,0,446909137026,4686997501,942056,7455,1968,381,391733,0 144,2,2024-09-07 09:42:11:755,687787,687787,0,0,26671220,0,3673 144,3,2024-09-07 09:42:11:741,1,543,2,0,249,5322,543,0 145,0,2024-09-07 09:42:11:379,129079,0.5,129096,0.7,273960,0.4,352924,2.25 145,1,2024-09-07 09:42:10:558,950532,950532,0,0,446573363798,4699383818,937520,10374,2638,382,391759,0 145,2,2024-09-07 09:42:11:433,685530,685448,82,0,32737342,0,7814 145,3,2024-09-07 09:42:10:898,1,543,1,0,622,7507,543,0 146,0,2024-09-07 09:42:11:614,138694,0.5,138189,0.7,277449,0.5,368738,2.00 146,1,2024-09-07 09:42:11:586,952196,952196,0,0,447055062912,4697350590,937104,11072,4020,367,391770,0 146,2,2024-09-07 09:42:11:699,685898,685892,6,0,30825261,0,5151 146,3,2024-09-07 09:42:11:286,1,543,50,0,1520,9169,543,0 147,0,2024-09-07 09:42:11:811,139419,0.6,139177,0.7,278012,0.6,371507,2.25 147,1,2024-09-07 09:42:11:372,955344,955344,0,0,448720929425,4659099196,948049,6415,880,367,391791,0 147,2,2024-09-07 09:42:11:026,684788,684788,0,0,27317369,0,2968 147,3,2024-09-07 09:42:10:915,1,543,6,0,1626,8622,543,0 0,0,2024-09-07 09:42:21:723,133463,0.5,133430,0.7,283195,0.5,366379,2.00 0,1,2024-09-07 09:42:20:804,954178,954178,0,0,448488466190,4693452369,946605,6730,843,369,391896,0 0,2,2024-09-07 09:42:21:075,689494,689494,0,0,27768135,0,4480 0,3,2024-09-07 09:42:20:974,1,544,6,0,431,7780,544,0 1,0,2024-09-07 09:42:21:765,139284,0.9,138312,0.9,278139,1.1,371237,2.00 1,1,2024-09-07 09:42:20:568,952887,952887,0,0,446945071087,4681296299,944123,7293,1471,370,391859,0 1,2,2024-09-07 09:42:20:639,687275,687275,0,0,26931350,0,3380 1,3,2024-09-07 09:42:21:304,1,544,3,0,269,6651,544,0 2,0,2024-09-07 09:42:21:573,136681,0.6,136536,0.8,272884,0.6,363949,2.00 2,1,2024-09-07 09:42:20:868,956449,956449,0,0,449619157886,4671165371,951287,4232,930,379,391745,0 2,2,2024-09-07 09:42:21:266,689198,689198,0,0,25656349,0,3594 2,3,2024-09-07 09:42:20:690,1,544,8,0,357,4805,544,0 3,0,2024-09-07 09:42:21:743,132255,0.4,132191,0.6,263760,0.3,351306,2.00 3,1,2024-09-07 09:42:21:623,955396,955396,0,0,448527349166,4666987852,947877,6816,703,379,391716,0 3,2,2024-09-07 09:42:21:142,689172,689149,23,0,26859735,0,5851 3,3,2024-09-07 09:42:21:751,1,544,1,0,275,3581,544,0 4,0,2024-09-07 09:42:21:770,130912,0.3,134551,0.5,274325,0.3,359024,1.75 4,1,2024-09-07 09:42:20:619,951592,951592,0,0,445949104541,4723314015,934469,13418,3705,370,391992,0 4,2,2024-09-07 09:42:21:032,685280,685280,0,0,32932986,0,4534 4,3,2024-09-07 09:42:21:032,1,544,1,0,448,6918,544,0 5,0,2024-09-07 09:42:21:440,139719,0.4,139879,0.5,279749,0.4,371605,1.75 5,1,2024-09-07 09:42:20:758,952457,952457,0,0,448344650048,4719538696,938895,10825,2737,367,392005,0 5,2,2024-09-07 09:42:21:835,685169,685169,0,0,31867244,0,3582 5,3,2024-09-07 09:42:21:734,1,544,0,0,457,7257,544,0 6,0,2024-09-07 09:42:20:915,139317,0.5,138942,0.7,278222,0.5,370558,2.00 6,1,2024-09-07 09:42:20:747,954622,954622,0,0,448279942703,4681079157,944669,8492,1461,379,391702,0 6,2,2024-09-07 09:42:21:117,688301,688283,18,0,30758516,0,5535 6,3,2024-09-07 09:42:21:275,1,544,16,0,710,6748,544,0 7,0,2024-09-07 09:42:21:535,131636,0.5,132498,0.7,263758,0.5,351511,2.00 7,1,2024-09-07 09:42:20:880,953242,953242,0,0,447980985551,4704161000,939723,11159,2360,382,391747,0 7,2,2024-09-07 09:42:20:775,687973,687973,0,0,29906306,0,4791 7,3,2024-09-07 09:42:20:859,1,544,24,0,552,6114,544,0 8,0,2024-09-07 09:42:21:332,134103,0.3,133608,0.5,268023,0.3,356884,1.50 8,1,2024-09-07 09:42:21:031,951606,951606,0,0,447765329923,4702866223,937279,11194,3133,366,392853,0 8,2,2024-09-07 09:42:20:793,683826,683824,2,0,35468588,0,5112 8,3,2024-09-07 09:42:20:585,1,544,1,0,538,7915,544,0 9,0,2024-09-07 09:42:21:104,140072,0.4,136370,0.5,285724,0.3,375210,1.75 9,1,2024-09-07 09:42:20:557,951844,951844,0,0,447149720427,4706112464,938171,11017,2656,369,392001,0 9,2,2024-09-07 09:42:21:090,687130,687129,1,0,32466562,0,5281 9,3,2024-09-07 09:42:21:752,1,544,120,0,1273,9031,544,0 10,0,2024-09-07 09:42:21:607,139505,0.4,139035,0.5,278835,0.3,371870,1.75 10,1,2024-09-07 09:42:20:601,953784,953784,0,0,447782921127,4689029615,940991,10606,2187,381,391869,0 10,2,2024-09-07 09:42:20:761,687504,687504,0,0,34882590,0,4264 10,3,2024-09-07 09:42:20:882,1,544,8,0,649,5584,544,0 11,0,2024-09-07 09:42:21:006,133036,0.5,129019,0.6,269891,0.4,355601,1.75 11,1,2024-09-07 09:42:20:605,955456,955456,0,0,448521252879,4699029369,941956,10037,3463,383,391766,0 11,2,2024-09-07 09:42:21:124,687826,687826,0,0,30725434,0,4130 11,3,2024-09-07 09:42:21:297,1,544,1,0,843,7219,544,0 12,0,2024-09-07 09:42:20:986,133982,0.3,134047,0.5,268143,0.3,356122,1.75 12,1,2024-09-07 09:42:20:943,954747,954747,0,0,447680764121,4667554127,947058,6803,886,370,391960,0 12,2,2024-09-07 09:42:21:559,688102,688102,0,0,30443872,0,4390 12,3,2024-09-07 09:42:21:062,1,544,1,0,386,7154,544,0 13,0,2024-09-07 09:42:21:395,139359,0.4,139107,0.5,277713,0.3,370502,1.75 13,1,2024-09-07 09:42:21:544,952620,952620,0,0,447452874202,4699926500,942959,7364,2297,382,391740,0 13,2,2024-09-07 09:42:20:601,689805,689805,0,0,27355898,0,3287 13,3,2024-09-07 09:42:21:773,1,544,17,0,522,7446,544,0 14,0,2024-09-07 09:42:20:568,139512,0.4,140559,0.6,278936,0.4,371376,1.75 14,1,2024-09-07 09:42:21:562,959011,959011,0,0,449933802883,4652332507,952094,6189,728,364,391673,0 14,2,2024-09-07 09:42:20:763,687229,687199,30,0,28592540,0,6104 14,3,2024-09-07 09:42:21:117,1,544,8,0,1168,6103,544,0 15,0,2024-09-07 09:42:21:571,134868,0.4,134266,0.6,269383,0.4,358736,2.00 15,1,2024-09-07 09:42:21:607,956304,956304,0,0,448528757794,4668075505,948564,6254,1486,381,391619,0 15,2,2024-09-07 09:42:20:997,691264,691264,0,0,24221869,0,3622 15,3,2024-09-07 09:42:21:405,1,544,2,0,1126,7388,544,0 16,0,2024-09-07 09:42:20:969,132026,0.5,132790,0.7,264881,0.5,352215,2.00 16,1,2024-09-07 09:42:20:574,956088,956088,0,0,448649391806,4687822601,947650,7136,1302,370,392194,0 16,2,2024-09-07 09:42:21:434,688339,688339,0,0,28662637,0,4719 16,3,2024-09-07 09:42:21:141,1,544,12,0,358,6732,544,0 17,0,2024-09-07 09:42:21:855,140530,0.5,136897,0.7,268465,0.5,364701,2.00 17,1,2024-09-07 09:42:20:575,953994,953994,0,0,448210751685,4699546364,944556,7686,1752,368,392075,0 17,2,2024-09-07 09:42:21:676,690451,690450,1,0,29382698,0,5050 17,3,2024-09-07 09:42:20:594,1,544,36,0,518,7996,544,0 18,0,2024-09-07 09:42:20:947,137980,0.7,138849,0.8,276665,0.7,369155,2.25 18,1,2024-09-07 09:42:21:651,957970,957970,0,0,449476610904,4659327961,951854,5119,997,367,391725,0 18,2,2024-09-07 09:42:21:760,690356,690356,0,0,26086591,0,3541 18,3,2024-09-07 09:42:20:896,1,544,8,0,1059,5016,544,0 19,0,2024-09-07 09:42:21:544,137367,0.6,137701,0.8,274242,0.7,364657,2.25 19,1,2024-09-07 09:42:20:566,957442,957442,0,0,450439376252,4667996532,950413,5944,1085,367,391777,0 19,2,2024-09-07 09:42:21:752,691741,691741,0,0,24450199,0,3988 19,3,2024-09-07 09:42:21:129,1,544,3,0,524,4294,544,0 20,0,2024-09-07 09:42:21:395,132397,0.5,132643,0.7,264767,0.5,352995,2.00 20,1,2024-09-07 09:42:20:572,953743,953743,0,0,448171200613,4693656260,944050,8243,1450,369,391922,0 20,2,2024-09-07 09:42:20:955,687512,687512,0,0,30066583,0,3721 20,3,2024-09-07 09:42:20:612,1,544,7,0,468,8995,544,0 21,0,2024-09-07 09:42:21:125,134327,0.4,134470,0.6,268897,0.4,357309,1.75 21,1,2024-09-07 09:42:21:562,952190,952190,0,0,446429717847,4707604168,937970,11099,3121,368,392016,0 21,2,2024-09-07 09:42:21:067,685074,685054,20,0,34919790,0,5617 21,3,2024-09-07 09:42:21:406,1,544,598,0,713,7436,544,0 22,0,2024-09-07 09:42:21:722,140293,0.5,140802,0.7,280996,0.4,372589,2.00 22,1,2024-09-07 09:42:21:024,952830,952830,0,0,446942038151,4703832908,936832,12666,3332,382,391822,0 22,2,2024-09-07 09:42:20:761,686817,686791,26,0,29325625,0,6328 22,3,2024-09-07 09:42:21:066,1,544,17,0,228,4524,544,0 23,0,2024-09-07 09:42:21:401,139141,0.5,138702,0.7,277389,0.5,370114,2.25 23,1,2024-09-07 09:42:21:004,953773,953773,0,0,448866196097,4711117064,937196,11728,4849,365,391690,0 23,2,2024-09-07 09:42:21:093,688968,688968,0,0,27821060,0,3773 23,3,2024-09-07 09:42:21:758,1,544,5,0,855,8411,544,0 24,0,2024-09-07 09:42:20:942,133525,0.4,132859,0.5,267098,0.4,354569,1.75 24,1,2024-09-07 09:42:20:598,953453,953453,0,0,447229914604,4678878446,944465,7310,1678,367,392269,0 24,2,2024-09-07 09:42:21:077,687803,687803,0,0,33006370,0,4438 24,3,2024-09-07 09:42:21:685,1,544,12,0,468,6795,544,0 25,0,2024-09-07 09:42:21:349,137454,0.4,133949,0.6,262612,0.4,358228,1.75 25,1,2024-09-07 09:42:20:560,952292,952292,0,0,447107291024,4712559998,937238,12080,2974,369,391928,0 25,2,2024-09-07 09:42:21:612,687070,687070,0,0,34508354,0,3978 25,3,2024-09-07 09:42:20:999,1,544,0,0,532,5967,544,0 26,0,2024-09-07 09:42:21:760,138487,0.4,135545,0.5,284322,0.3,370083,1.75 26,1,2024-09-07 09:42:21:549,955752,955752,0,0,448682731282,4694069230,942800,10500,2452,380,391748,0 26,2,2024-09-07 09:42:20:867,688116,688116,0,0,36340255,0,4689 26,3,2024-09-07 09:42:21:712,1,544,8,0,796,5845,544,0 27,0,2024-09-07 09:42:21:733,139733,0.4,140352,0.6,278799,0.4,372179,2.25 27,1,2024-09-07 09:42:21:677,955980,955980,0,0,450091148162,4684704641,947294,7417,1269,381,391626,0 27,2,2024-09-07 09:42:20:874,683749,683684,65,0,31138533,0,5699 27,3,2024-09-07 09:42:21:023,1,544,1,0,564,4747,544,0 28,0,2024-09-07 09:42:21:399,134494,0.4,134189,0.6,268974,0.3,358297,1.75 28,1,2024-09-07 09:42:20:796,957128,957128,0,0,449894391851,4686959868,949348,6066,1714,382,391698,0 28,2,2024-09-07 09:42:21:767,689555,689555,0,0,27467159,0,2915 28,3,2024-09-07 09:42:21:775,1,544,1,0,502,5384,544,0 29,0,2024-09-07 09:42:21:361,136693,0.3,133043,0.6,261074,0.3,356211,1.75 29,1,2024-09-07 09:42:21:561,959200,959200,0,0,451030500484,4661992766,953639,4799,762,367,391809,0 29,2,2024-09-07 09:42:20:868,688070,688070,0,0,27052123,0,4986 29,3,2024-09-07 09:42:20:966,1,544,1,0,459,5865,544,0 30,0,2024-09-07 09:42:21:482,136726,0.4,133238,0.6,279099,0.4,365088,2.00 30,1,2024-09-07 09:42:20:578,958299,958299,0,0,450813435986,4675783207,951317,6080,902,380,391672,0 30,2,2024-09-07 09:42:21:276,688590,688590,0,0,26065488,0,4192 30,3,2024-09-07 09:42:20:584,1,544,13,0,519,5201,544,0 31,0,2024-09-07 09:42:21:756,139073,0.4,139607,0.6,278557,0.4,371565,2.00 31,1,2024-09-07 09:42:20:624,961304,961304,0,0,451167145152,4629467611,955972,4321,1011,356,391712,0 31,2,2024-09-07 09:42:21:274,686509,686509,0,0,28441358,0,4470 31,3,2024-09-07 09:42:21:707,1,544,0,0,220,4516,544,0 32,0,2024-09-07 09:42:21:437,137118,0.3,137829,0.5,274639,0.3,365486,1.75 32,1,2024-09-07 09:42:20:811,957645,957645,0,0,449463870350,4670119086,951833,5103,709,381,391646,0 32,2,2024-09-07 09:42:20:938,690130,690130,0,0,25154563,0,3922 32,3,2024-09-07 09:42:21:024,1,544,5,0,304,4444,544,0 33,0,2024-09-07 09:42:21:506,132825,0.3,132449,0.4,265022,0.2,352339,1.50 33,1,2024-09-07 09:42:20:575,957850,957850,0,0,450491899384,4664228490,950588,6088,1174,368,391730,0 33,2,2024-09-07 09:42:20:761,689737,689702,35,0,27900704,0,7012 33,3,2024-09-07 09:42:20:898,1,544,0,0,329,4970,544,0 34,0,2024-09-07 09:42:20:929,135230,0.3,138884,0.4,265490,0.2,358361,1.75 34,1,2024-09-07 09:42:21:044,960055,960055,0,0,451429082012,4641049862,957539,2494,22,367,391637,0 34,2,2024-09-07 09:42:20:766,689663,689663,0,0,26329032,0,4562 34,3,2024-09-07 09:42:21:687,1,544,10,0,320,4380,544,0 35,0,2024-09-07 09:42:20:873,138919,0.3,139623,0.5,280459,0.2,372193,1.75 35,1,2024-09-07 09:42:21:066,956375,956375,0,0,449716450750,4663169468,949712,5449,1214,382,391769,0 35,2,2024-09-07 09:42:21:590,688086,688086,0,0,28883739,0,4055 35,3,2024-09-07 09:42:20:907,1,544,6,0,466,5416,544,0 36,0,2024-09-07 09:42:21:534,139726,0.5,139553,0.7,278874,0.5,371401,2.00 36,1,2024-09-07 09:42:20:601,956235,956235,0,0,448103016929,4679144477,943821,10191,2223,366,391759,0 36,2,2024-09-07 09:42:21:757,688727,688727,0,0,30332503,0,3875 36,3,2024-09-07 09:42:20:879,1,544,10,0,416,7223,544,0 37,0,2024-09-07 09:42:21:613,131801,0.5,131804,0.7,263882,0.5,352112,2.25 37,1,2024-09-07 09:42:20:570,955218,955211,0,7,448234796745,4679494181,943853,8646,2712,365,391770,0 37,2,2024-09-07 09:42:21:142,686088,686073,15,0,30911428,0,5815 37,3,2024-09-07 09:42:21:773,1,544,1,0,888,7451,544,0 38,0,2024-09-07 09:42:21:437,133158,0.4,129168,0.6,270168,0.3,353927,2.00 38,1,2024-09-07 09:42:21:612,955958,955958,0,0,448976340421,4690846115,942614,10825,2519,368,391821,0 38,2,2024-09-07 09:42:20:762,687773,687726,47,0,30955667,0,6710 38,3,2024-09-07 09:42:20:997,1,544,12,0,689,6773,544,0 39,0,2024-09-07 09:42:21:758,143411,0.5,140286,0.7,273040,0.5,373776,2.00 39,1,2024-09-07 09:42:20:719,954038,954038,0,0,448175169914,4701548415,937520,12823,3695,365,391865,0 39,2,2024-09-07 09:42:21:421,688777,688777,0,0,28620556,0,3391 39,3,2024-09-07 09:42:20:713,1,544,2,0,525,6483,544,0 40,0,2024-09-07 09:42:21:494,138343,0.9,138931,1.0,277227,1.0,369936,2.75 40,1,2024-09-07 09:42:20:576,956363,956363,0,0,447658219288,4678189918,944263,9920,2180,368,391668,0 40,2,2024-09-07 09:42:21:306,685714,685713,1,0,33823465,0,5137 40,3,2024-09-07 09:42:21:142,1,544,506,0,1028,7928,544,0 41,0,2024-09-07 09:42:21:036,132469,1.2,135449,1.1,258293,1.8,351275,3.00 41,1,2024-09-07 09:42:20:774,954996,954996,0,0,447731140927,4681056432,943042,10019,1935,369,391878,0 41,2,2024-09-07 09:42:20:762,685858,685857,1,0,32679566,0,5408 41,3,2024-09-07 09:42:21:676,1,544,2,0,366,5788,544,0 42,0,2024-09-07 09:42:21:473,132633,0.7,132333,0.9,264718,0.7,351128,2.50 42,1,2024-09-07 09:42:21:439,951776,951776,0,0,447786608027,4703919617,936683,12185,2908,380,391675,0 42,2,2024-09-07 09:42:21:141,687858,687858,0,0,32276601,0,3975 42,3,2024-09-07 09:42:21:011,1,544,9,0,892,4777,544,0 43,0,2024-09-07 09:42:20:922,137398,0.6,133730,0.8,280167,0.6,367494,2.25 43,1,2024-09-07 09:42:20:594,955229,955229,0,0,448935561634,4686594579,942319,10649,2261,366,391696,0 43,2,2024-09-07 09:42:21:736,688724,688724,0,0,31341531,0,4723 43,3,2024-09-07 09:42:21:749,1,544,105,0,571,7755,544,0 44,0,2024-09-07 09:42:20:861,139823,0.4,139742,0.6,280117,0.4,371940,1.75 44,1,2024-09-07 09:42:20:569,958158,958158,0,0,449716786597,4644591487,950341,6230,1587,356,391809,0 44,2,2024-09-07 09:42:21:268,685008,685008,0,0,25746545,0,4344 44,3,2024-09-07 09:42:21:095,1,544,1,0,817,5804,544,0 45,0,2024-09-07 09:42:21:769,133428,0.5,130360,0.7,273674,0.5,358946,2.00 45,1,2024-09-07 09:42:21:005,957103,957103,0,0,450297480051,4677526702,949587,6640,876,382,391917,0 45,2,2024-09-07 09:42:21:268,689818,689818,0,0,26218698,0,3596 45,3,2024-09-07 09:42:20:942,1,544,1,0,365,5020,544,0 46,0,2024-09-07 09:42:20:960,131748,0.4,131226,0.7,263125,0.4,349323,2.00 46,1,2024-09-07 09:42:20:575,958547,958547,0,0,450563279693,4653509636,952135,5548,864,366,391709,0 46,2,2024-09-07 09:42:20:601,689835,689835,0,0,26516308,0,4443 46,3,2024-09-07 09:42:21:142,1,544,12,0,908,6434,544,0 47,0,2024-09-07 09:42:21:101,136390,0.4,136529,0.5,274080,0.3,363612,1.75 47,1,2024-09-07 09:42:20:567,959837,959837,0,0,450948975548,4655356095,954548,4450,839,365,391641,0 47,2,2024-09-07 09:42:20:913,690991,690991,0,0,26247690,0,4477 47,3,2024-09-07 09:42:21:114,1,544,61,0,600,6061,544,0 48,0,2024-09-07 09:42:21:495,139598,0.3,139782,0.4,278955,0.2,370957,1.50 48,1,2024-09-07 09:42:21:022,957086,957086,0,0,449695097838,4670748620,951150,5360,576,384,391710,0 48,2,2024-09-07 09:42:20:701,688357,688357,0,0,24180189,0,3411 48,3,2024-09-07 09:42:20:757,1,544,1,0,339,4219,544,0 49,0,2024-09-07 09:42:21:715,141669,0.3,138989,0.5,270173,0.3,368801,1.75 49,1,2024-09-07 09:42:21:021,956448,956448,0,0,449628132650,4671443844,950878,4358,1212,382,391809,0 49,2,2024-09-07 09:42:21:797,690164,690164,0,0,26833682,0,4426 49,3,2024-09-07 09:42:21:420,1,544,1,0,992,6234,544,0 50,0,2024-09-07 09:42:21:509,133295,0.3,131506,0.5,264963,0.2,352686,1.75 50,1,2024-09-07 09:42:21:009,959863,959863,0,0,450224064799,4653311588,953839,5356,668,368,391565,0 50,2,2024-09-07 09:42:21:067,687653,687653,0,0,24595852,0,4490 50,3,2024-09-07 09:42:21:292,1,544,15,0,617,5537,544,0 51,0,2024-09-07 09:42:21:696,138008,0.3,135075,0.4,262938,0.2,358911,1.50 51,1,2024-09-07 09:42:21:680,960617,960617,0,0,451654760155,4654888773,955822,3739,1056,365,391706,0 51,2,2024-09-07 09:42:21:323,688687,688687,0,0,24005597,0,3337 51,3,2024-09-07 09:42:21:030,1,544,4,0,678,3830,544,0 52,0,2024-09-07 09:42:21:435,140847,0.5,140448,0.7,281253,0.5,373547,2.00 52,1,2024-09-07 09:42:20:582,955412,955412,0,0,447967792547,4687888338,941826,11388,2198,368,391722,0 52,2,2024-09-07 09:42:21:761,684967,684929,38,0,32123075,0,6742 52,3,2024-09-07 09:42:20:681,1,544,65,0,1782,6887,544,0 53,0,2024-09-07 09:42:21:730,138756,0.7,134560,0.8,281496,0.8,369470,2.50 53,1,2024-09-07 09:42:20:772,953915,953915,0,0,448074941315,4698320659,938526,11545,3844,367,391968,0 53,2,2024-09-07 09:42:21:298,688205,688204,1,0,28526261,0,5455 53,3,2024-09-07 09:42:20:700,1,544,1,0,308,5191,544,0 54,0,2024-09-07 09:42:21:629,130770,0.6,131072,0.8,261097,0.4,348624,2.25 54,1,2024-09-07 09:42:20:599,956011,956011,0,0,449022713302,4668885119,945855,8522,1634,366,391810,0 54,2,2024-09-07 09:42:20:881,688676,688644,32,0,32440610,0,6397 54,3,2024-09-07 09:42:20:763,1,544,8,0,676,7397,544,0 55,0,2024-09-07 09:42:21:763,129133,0.6,133211,0.7,270002,0.5,352071,2.50 55,1,2024-09-07 09:42:20:766,957376,957376,0,0,448705742096,4665924565,947213,8784,1379,365,391731,0 55,2,2024-09-07 09:42:20:736,687238,687182,56,0,30835186,0,7239 55,3,2024-09-07 09:42:20:681,1,544,16,0,304,5091,544,0 56,0,2024-09-07 09:42:21:609,142098,1.0,133966,1.0,276006,1.2,369454,2.50 56,1,2024-09-07 09:42:20:584,951981,951981,0,0,447823421379,4725912971,937325,11713,2943,381,391867,0 56,2,2024-09-07 09:42:21:305,687556,687434,122,0,31993896,0,7432 56,3,2024-09-07 09:42:21:065,1,544,1,0,705,6358,544,0 57,0,2024-09-07 09:42:20:975,137751,1.6,137747,1.2,275697,2.2,368725,3.25 57,1,2024-09-07 09:42:20:990,953298,953298,0,0,447467051391,4693089967,940687,10283,2328,366,392032,0 57,2,2024-09-07 09:42:21:316,686463,686463,0,0,32762447,0,4317 57,3,2024-09-07 09:42:21:738,1,544,2,0,455,6118,544,0 58,0,2024-09-07 09:42:20:557,132376,0.9,128464,1.0,268787,1.1,351756,2.50 58,1,2024-09-07 09:42:20:576,954836,954833,0,3,448518912737,4696772062,941017,10495,3321,367,391675,3 58,2,2024-09-07 09:42:21:076,688615,688615,0,0,31281717,0,3483 58,3,2024-09-07 09:42:21:075,1,544,11,0,1043,5893,544,0 59,0,2024-09-07 09:42:21:739,132335,0.8,132280,0.9,263749,0.8,350135,2.75 59,1,2024-09-07 09:42:20:804,953784,953784,0,0,448151954174,4703910604,938627,12017,3140,369,391653,0 59,2,2024-09-07 09:42:20:595,688311,688311,0,0,29850951,0,3727 59,3,2024-09-07 09:42:21:737,1,544,4,0,1015,6569,544,0 60,0,2024-09-07 09:42:21:733,137274,0.5,137666,0.6,275103,0.4,366272,1.75 60,1,2024-09-07 09:42:20:776,958473,958473,0,0,449868126985,4667083589,952190,5344,939,370,392031,0 60,2,2024-09-07 09:42:21:141,688564,688564,0,0,28265387,0,3811 60,3,2024-09-07 09:42:21:267,1,544,7,0,409,6199,544,0 61,0,2024-09-07 09:42:21:501,139161,0.7,139766,0.8,278337,0.7,371187,2.00 61,1,2024-09-07 09:42:20:775,955144,955144,0,0,448703463127,4694936264,945213,8349,1582,382,392127,0 61,2,2024-09-07 09:42:21:126,687293,687226,67,0,29078614,0,6411 61,3,2024-09-07 09:42:21:687,1,544,2,0,607,7576,544,0 62,0,2024-09-07 09:42:21:711,137419,0.6,141000,0.8,269168,0.6,365282,2.00 62,1,2024-09-07 09:42:21:111,960524,960518,0,6,451808419296,4653818385,956351,3887,280,365,391975,6 62,2,2024-09-07 09:42:21:644,687683,687682,1,0,28365059,0,5555 62,3,2024-09-07 09:42:21:143,1,544,8,0,482,4330,544,0 63,0,2024-09-07 09:42:21:459,132841,0.4,132560,0.6,266008,0.3,352707,1.75 63,1,2024-09-07 09:42:20:939,957619,957613,0,6,449844333420,4666603727,951848,5014,751,381,391800,6 63,2,2024-09-07 09:42:20:763,688918,688918,0,0,27475242,0,4369 63,3,2024-09-07 09:42:21:732,1,544,16,0,667,5684,544,0 64,0,2024-09-07 09:42:21:529,134544,0.5,134669,0.6,268375,0.4,357563,1.75 64,1,2024-09-07 09:42:20:764,956398,956398,0,0,449087376835,4674348216,948415,6210,1773,370,391794,0 64,2,2024-09-07 09:42:21:142,692539,692520,19,0,26552599,0,6121 64,3,2024-09-07 09:42:21:142,1,544,2,0,651,5613,544,0 65,0,2024-09-07 09:42:21:678,138627,0.6,138930,0.7,277389,0.6,369581,2.00 65,1,2024-09-07 09:42:20:886,955093,955093,0,0,447533572383,4675437545,948334,5961,798,381,391901,0 65,2,2024-09-07 09:42:21:692,687728,687728,0,0,31219229,0,3367 65,3,2024-09-07 09:42:21:694,1,544,1,0,782,6366,544,0 66,0,2024-09-07 09:42:21:764,138834,0.6,138352,0.8,276694,0.5,368498,2.25 66,1,2024-09-07 09:42:21:303,957204,957204,0,0,449346605465,4674637815,951042,5440,722,380,391743,0 66,2,2024-09-07 09:42:21:131,690525,690522,3,0,28288075,0,5455 66,3,2024-09-07 09:42:21:082,1,544,17,0,291,4618,544,0 67,0,2024-09-07 09:42:21:413,132295,0.5,131970,0.7,264659,0.5,352349,2.00 67,1,2024-09-07 09:42:20:766,957214,957213,0,1,449092473867,4672978021,950929,5470,814,380,391787,1 67,2,2024-09-07 09:42:20:598,690894,690879,15,0,27584354,0,6205 67,3,2024-09-07 09:42:21:750,1,544,1,0,595,5742,544,0 68,0,2024-09-07 09:42:20:615,133996,0.5,133863,0.7,266560,0.5,356356,2.00 68,1,2024-09-07 09:42:20:578,952710,952710,0,0,447107652434,4700252309,940214,9094,3402,381,391953,0 68,2,2024-09-07 09:42:21:049,684231,684131,100,0,36021827,0,8578 68,3,2024-09-07 09:42:20:733,1,544,1,0,417,6917,544,0 69,0,2024-09-07 09:42:21:741,139517,0.7,140526,0.8,279758,0.7,372020,2.25 69,1,2024-09-07 09:42:21:024,952242,952242,0,0,447392615080,4712187085,939810,9670,2762,383,391994,0 69,2,2024-09-07 09:42:21:733,686438,686409,29,0,37014923,0,6912 69,3,2024-09-07 09:42:20:761,1,544,1,0,698,8121,544,0 70,0,2024-09-07 09:42:21:536,137964,0.8,138368,1.0,278419,0.7,368533,2.50 70,1,2024-09-07 09:42:20:800,958030,958030,0,0,450062033416,4668618370,950703,6565,762,366,391725,0 70,2,2024-09-07 09:42:21:324,687403,687403,0,0,30478040,0,4323 70,3,2024-09-07 09:42:20:746,1,544,8,0,854,5856,544,0 71,0,2024-09-07 09:42:21:380,131796,1.1,131564,1.1,264243,1.4,352552,2.75 71,1,2024-09-07 09:42:21:596,955535,955535,0,0,448254219822,4677903685,943776,10410,1349,368,391738,0 71,2,2024-09-07 09:42:21:066,687985,687985,0,0,31504791,0,4352 71,3,2024-09-07 09:42:21:750,1,544,24,0,644,6456,544,0 72,0,2024-09-07 09:42:21:036,137569,0.5,134442,0.7,262423,0.5,356511,2.00 72,1,2024-09-07 09:42:21:031,954192,954192,0,0,447981667367,4696059379,940723,11182,2287,369,391819,0 72,2,2024-09-07 09:42:21:761,687135,687135,0,0,32950757,0,3983 72,3,2024-09-07 09:42:21:753,1,544,6,0,564,7595,544,0 73,0,2024-09-07 09:42:21:101,135042,0.4,138285,0.6,283093,0.3,368353,2.00 73,1,2024-09-07 09:42:20:768,956499,956499,0,0,448292761770,4655769568,949608,6111,780,367,391858,0 73,2,2024-09-07 09:42:21:739,688406,688406,0,0,33313041,0,4728 73,3,2024-09-07 09:42:20:970,1,544,1,0,486,6731,544,0 74,0,2024-09-07 09:42:21:328,140541,0.5,143851,0.7,274383,0.4,372304,2.00 74,1,2024-09-07 09:42:20:634,955130,955130,0,0,448712525107,4682300991,944670,8534,1926,381,391762,0 74,2,2024-09-07 09:42:21:002,685895,685895,0,0,28811158,0,4253 74,3,2024-09-07 09:42:21:442,1,544,8,0,522,6414,544,0 75,0,2024-09-07 09:42:21:782,135400,0.6,134551,0.8,270072,0.5,360523,2.25 75,1,2024-09-07 09:42:21:593,956377,956377,0,0,449398943858,4681881769,948480,7033,864,380,391739,0 75,2,2024-09-07 09:42:21:349,687683,687683,0,0,34879545,0,4766 75,3,2024-09-07 09:42:21:067,1,544,85,0,702,6349,544,0 76,0,2024-09-07 09:42:20:603,131637,0.5,130994,0.7,262414,0.5,351018,2.25 76,1,2024-09-07 09:42:20:811,955874,955874,0,0,448432987749,4674576624,949237,5669,968,382,391723,0 76,2,2024-09-07 09:42:21:061,690964,690961,3,0,29216017,0,5265 76,3,2024-09-07 09:42:21:143,1,544,5,0,227,4960,544,0 77,0,2024-09-07 09:42:21:721,135859,0.5,136280,0.7,272740,0.4,362586,2.00 77,1,2024-09-07 09:42:20:828,956076,956076,0,0,449575733469,4686121261,949226,6254,596,381,391869,0 77,2,2024-09-07 09:42:21:295,687668,687668,0,0,28801465,0,3890 77,3,2024-09-07 09:42:21:096,1,544,10,0,401,5769,544,0 78,0,2024-09-07 09:42:21:731,139729,0.4,139174,0.6,279165,0.4,369524,2.00 78,1,2024-09-07 09:42:20:612,955958,955958,0,0,448409439373,4672835146,945082,8349,2527,367,391670,0 78,2,2024-09-07 09:42:21:405,689470,689457,13,0,26745583,0,8313 78,3,2024-09-07 09:42:21:136,1,544,12,0,311,4651,544,0 79,0,2024-09-07 09:42:21:392,133185,0.4,136397,0.6,279558,0.3,363496,2.25 79,1,2024-09-07 09:42:20:570,958685,958685,0,0,449993545499,4659565087,950941,6387,1357,367,391682,0 79,2,2024-09-07 09:42:21:066,689235,689235,0,0,25642984,0,4195 79,3,2024-09-07 09:42:20:756,1,544,1,0,418,6376,544,0 80,0,2024-09-07 09:42:21:082,132329,0.5,136077,0.6,260155,0.5,352257,2.00 80,1,2024-09-07 09:42:21:621,955740,955740,0,0,449875291622,4679805171,949873,5438,429,368,392269,0 80,2,2024-09-07 09:42:21:090,690624,690624,0,0,26386199,0,4433 80,3,2024-09-07 09:42:20:579,1,544,4,0,681,6992,544,0 81,0,2024-09-07 09:42:21:653,134585,0.5,137794,0.7,262721,0.5,357215,2.00 81,1,2024-09-07 09:42:21:666,954811,954811,0,0,448207965633,4682807078,947387,6782,642,382,391885,0 81,2,2024-09-07 09:42:21:145,687592,687529,63,0,29334313,0,5932 81,3,2024-09-07 09:42:21:124,1,544,10,0,719,6098,544,0 82,0,2024-09-07 09:42:21:536,140179,0.4,140330,0.7,281292,0.4,372610,2.00 82,1,2024-09-07 09:42:20:598,957572,957568,0,4,449848607433,4674193947,952465,4282,821,381,391768,4 82,2,2024-09-07 09:42:21:690,690421,690421,0,0,24462957,0,4484 82,3,2024-09-07 09:42:21:756,1,544,12,0,363,5078,544,0 83,0,2024-09-07 09:42:21:528,139333,0.6,139289,0.7,278095,0.6,369589,2.25 83,1,2024-09-07 09:42:20:551,955759,955759,0,0,448360300470,4671585321,949353,5949,457,382,391709,0 83,2,2024-09-07 09:42:20:769,688052,688027,25,0,26460472,0,5612 83,3,2024-09-07 09:42:20:758,1,544,31,0,1260,6602,544,0 84,0,2024-09-07 09:42:21:771,131528,0.7,131777,0.9,263141,0.6,351821,2.25 84,1,2024-09-07 09:42:21:039,954584,954584,0,0,447841393505,4682126261,943642,9328,1614,367,391967,0 84,2,2024-09-07 09:42:20:575,687603,687573,30,0,35099050,0,5971 84,3,2024-09-07 09:42:21:174,1,544,12,0,908,7533,544,0 85,0,2024-09-07 09:42:21:024,128638,0.6,128628,0.8,272987,0.5,353553,2.25 85,1,2024-09-07 09:42:20:562,951183,951183,0,0,447846227019,4728915760,936174,12280,2729,381,392092,0 85,2,2024-09-07 09:42:20:874,687567,687567,0,0,32856683,0,4255 85,3,2024-09-07 09:42:20:689,1,544,1,0,789,6171,544,0 86,0,2024-09-07 09:42:20:913,138983,0.6,142814,0.7,273064,0.6,369868,2.25 86,1,2024-09-07 09:42:20:866,953600,953600,0,0,448981360121,4707608403,940891,10073,2636,366,391995,0 86,2,2024-09-07 09:42:20:855,687389,687388,1,0,35073665,0,5004 86,3,2024-09-07 09:42:20:586,1,544,51,0,308,7829,544,0 87,0,2024-09-07 09:42:21:287,139539,0.8,139291,0.9,278601,1.1,372499,2.25 87,1,2024-09-07 09:42:20:560,953129,953129,0,0,447899190030,4690969557,940906,10507,1716,366,392076,0 87,2,2024-09-07 09:42:21:068,685697,685691,6,0,30870335,0,6323 87,3,2024-09-07 09:42:21:793,1,544,105,0,473,8197,544,0 88,0,2024-09-07 09:42:21:438,134128,0.5,134652,0.6,269076,0.4,358125,1.75 88,1,2024-09-07 09:42:20:600,952913,952913,0,0,448136971449,4691146361,940079,10205,2629,365,392084,0 88,2,2024-09-07 09:42:20:689,688687,688687,0,0,33693911,0,4465 88,3,2024-09-07 09:42:21:268,1,544,3,0,435,7116,544,0 89,0,2024-09-07 09:42:21:805,136790,0.4,132644,0.6,262091,0.4,356294,1.75 89,1,2024-09-07 09:42:20:551,952917,952917,0,0,447032894922,4700540487,941258,9818,1841,382,391866,0 89,2,2024-09-07 09:42:21:137,687781,687781,0,0,31927473,0,3173 89,3,2024-09-07 09:42:21:791,1,544,5,0,468,8778,544,0 90,0,2024-09-07 09:42:21:615,133419,0.4,136971,0.6,279231,0.4,364856,1.75 90,1,2024-09-07 09:42:20:593,954425,954425,0,0,447889564857,4686318679,945766,7916,743,380,391825,0 90,2,2024-09-07 09:42:21:417,685690,685690,0,0,34078451,0,3608 90,3,2024-09-07 09:42:20:938,1,544,16,0,322,6561,544,0 91,0,2024-09-07 09:42:20:933,139748,0.5,135497,0.6,283372,0.4,371795,1.75 91,1,2024-09-07 09:42:20:557,952362,952362,0,0,447932906561,4707800174,940320,9880,2162,381,392047,0 91,2,2024-09-07 09:42:21:331,687258,687258,0,0,29965344,0,4713 91,3,2024-09-07 09:42:20:603,1,544,2,0,216,5064,544,0 92,0,2024-09-07 09:42:21:451,138550,0.5,141543,0.6,269978,0.5,365616,1.75 92,1,2024-09-07 09:42:20:599,955524,955524,0,0,449066572086,4688231701,947997,6319,1208,381,392136,0 92,2,2024-09-07 09:42:21:373,689261,689261,0,0,27003032,0,3259 92,3,2024-09-07 09:42:21:009,1,544,27,0,167,4696,544,0 93,0,2024-09-07 09:42:20:951,133150,0.4,136484,0.6,260638,0.3,352700,1.75 93,1,2024-09-07 09:42:20:806,955607,955607,0,0,448322994916,4677285210,945288,8585,1734,366,391776,0 93,2,2024-09-07 09:42:20:940,688784,688784,0,0,32786166,0,4913 93,3,2024-09-07 09:42:21:407,1,544,0,0,190,4832,544,0 94,0,2024-09-07 09:42:21:608,134376,0.3,135288,0.5,270302,0.3,359204,1.75 94,1,2024-09-07 09:42:20:577,956264,956264,0,0,449087856922,4680597557,950149,5739,376,381,391850,0 94,2,2024-09-07 09:42:20:772,688329,688301,28,0,27996473,0,6179 94,3,2024-09-07 09:42:21:688,1,544,12,0,576,6761,544,0 95,0,2024-09-07 09:42:21:348,139675,0.3,139701,0.5,279578,0.3,372185,1.75 95,1,2024-09-07 09:42:20:856,957466,957466,0,0,449491441074,4668583842,950074,6733,659,365,391852,0 95,2,2024-09-07 09:42:21:024,687515,687515,0,0,26763071,0,3308 95,3,2024-09-07 09:42:21:708,1,544,1,0,718,8233,544,0 96,0,2024-09-07 09:42:21:026,139296,0.4,139489,0.5,278955,0.3,370757,1.75 96,1,2024-09-07 09:42:21:587,955243,955243,0,0,448643077674,4677055109,948808,5520,915,384,391964,0 96,2,2024-09-07 09:42:21:276,688905,688905,0,0,27821273,0,4180 96,3,2024-09-07 09:42:21:142,1,544,14,0,411,5731,544,0 97,0,2024-09-07 09:42:21:325,132201,0.3,132141,0.5,265377,0.3,352456,1.50 97,1,2024-09-07 09:42:20:767,957515,957515,0,0,449295941591,4658202773,951709,4861,945,367,392140,0 97,2,2024-09-07 09:42:20:608,690002,690002,0,0,27432350,0,3679 97,3,2024-09-07 09:42:20:571,1,544,2,0,242,6115,544,0 98,0,2024-09-07 09:42:21:689,133373,0.3,133530,0.4,267986,0.2,356087,1.50 98,1,2024-09-07 09:42:20:576,956508,956508,0,0,448550436013,4668727829,951027,4680,801,381,391997,0 98,2,2024-09-07 09:42:20:773,688917,688917,0,0,26982387,0,4336 98,3,2024-09-07 09:42:20:701,1,544,9,0,840,8388,544,0 99,0,2024-09-07 09:42:21:450,140437,0.3,141069,0.5,280728,0.3,375566,1.75 99,1,2024-09-07 09:42:21:731,956799,956799,0,0,448652778172,4668196998,950769,5101,929,380,392069,0 99,2,2024-09-07 09:42:21:425,689183,689183,0,0,32595464,0,4276 99,3,2024-09-07 09:42:20:597,1,544,1,0,606,5347,544,0 100,0,2024-09-07 09:42:21:464,139188,0.8,139505,0.9,278690,0.9,372242,2.50 100,1,2024-09-07 09:42:20:552,951194,951194,0,0,446041845320,4713917287,936688,11402,3104,378,391989,0 100,2,2024-09-07 09:42:21:818,684896,684885,11,0,31675333,0,5417 100,3,2024-09-07 09:42:21:732,1,544,4,0,627,9579,544,0 101,0,2024-09-07 09:42:21:718,135917,1.2,132468,1.1,259648,1.1,354705,2.25 101,1,2024-09-07 09:42:20:551,953077,953077,0,0,447609669768,4701184002,940468,10207,2402,368,391847,0 101,2,2024-09-07 09:42:21:759,684357,684357,0,0,37011133,0,4871 101,3,2024-09-07 09:42:20:943,1,544,2,0,1250,8433,544,0 102,0,2024-09-07 09:42:20:951,129574,0.6,133583,0.7,270987,0.5,354530,2.00 102,1,2024-09-07 09:42:21:142,952694,952694,0,0,447469962232,4697978721,940220,10408,2066,369,391891,0 102,2,2024-09-07 09:42:21:736,688574,688520,54,0,31421749,0,6768 102,3,2024-09-07 09:42:21:613,1,544,9,0,466,6285,544,0 103,0,2024-09-07 09:42:21:587,143079,0.5,143036,0.7,269591,0.5,371336,2.00 103,1,2024-09-07 09:42:21:626,951648,951648,0,0,447204560601,4718678444,937107,11219,3322,381,392077,0 103,2,2024-09-07 09:42:20:585,686900,686900,0,0,33070750,0,3766 103,3,2024-09-07 09:42:20:764,1,544,266,0,916,6824,544,0 104,0,2024-09-07 09:42:21:020,138517,0.7,138635,0.9,276728,0.7,370233,2.25 104,1,2024-09-07 09:42:21:602,954385,954385,0,0,448010185496,4702608252,941058,10765,2562,365,392168,0 104,2,2024-09-07 09:42:21:666,683832,683832,0,0,31754980,0,3941 104,3,2024-09-07 09:42:21:431,1,544,3,0,1245,9318,544,0 105,0,2024-09-07 09:42:21:031,133732,0.9,130320,1.1,273167,1.0,358506,2.75 105,1,2024-09-07 09:42:20:553,955463,955463,0,0,449017331054,4700730327,943720,9974,1769,364,392009,0 105,2,2024-09-07 09:42:21:322,687551,687551,0,0,32392238,0,4360 105,3,2024-09-07 09:42:21:306,1,544,1,0,399,7515,544,0 106,0,2024-09-07 09:42:21:015,127719,0.7,130801,0.9,268046,0.7,349626,2.50 106,1,2024-09-07 09:42:21:750,954358,954358,0,0,447742260818,4694671691,941580,11128,1650,368,391914,0 106,2,2024-09-07 09:42:20:758,687712,687712,0,0,30452936,0,2920 106,3,2024-09-07 09:42:20:679,1,544,13,0,1224,8049,544,0 107,0,2024-09-07 09:42:21:097,136229,0.7,136143,0.8,272489,0.8,363539,2.00 107,1,2024-09-07 09:42:20:585,952647,952647,0,0,447188506748,4711479459,939659,11595,1393,381,392234,0 107,2,2024-09-07 09:42:21:292,685345,685344,1,0,33096614,0,5024 107,3,2024-09-07 09:42:21:760,1,544,15,0,733,8024,544,0 108,0,2024-09-07 09:42:21:767,139196,0.4,139801,0.6,278476,0.4,371140,1.75 108,1,2024-09-07 09:42:21:298,955142,955142,0,0,448700513066,4677578199,947216,6952,974,367,391894,0 108,2,2024-09-07 09:42:21:756,686920,686920,0,0,29530989,0,4246 108,3,2024-09-07 09:42:21:372,1,544,9,0,749,10497,544,0 109,0,2024-09-07 09:42:21:752,138634,0.4,137472,0.6,275652,0.4,367842,1.75 109,1,2024-09-07 09:42:20:585,952644,952644,0,0,448353919930,4700537915,944324,7103,1217,382,392132,0 109,2,2024-09-07 09:42:20:921,686855,686855,0,0,29548248,0,3617 109,3,2024-09-07 09:42:21:140,1,544,2,0,630,6679,544,0 110,0,2024-09-07 09:42:21:760,132481,0.4,128859,0.6,269806,0.3,353667,1.75 110,1,2024-09-07 09:42:21:647,956790,956790,0,0,450332304306,4673654739,949252,5614,1924,368,392045,0 110,2,2024-09-07 09:42:21:306,688863,688863,0,0,27910526,0,4067 110,3,2024-09-07 09:42:20:690,1,544,12,0,722,7410,544,0 111,0,2024-09-07 09:42:21:428,134906,0.3,134117,0.5,268641,0.2,358609,1.75 111,1,2024-09-07 09:42:20:999,958101,958101,0,0,451001415241,4673260776,953537,4207,357,380,391690,0 111,2,2024-09-07 09:42:21:116,688235,688235,0,0,28429398,0,4823 111,3,2024-09-07 09:42:20:913,1,544,1,0,379,6062,544,0 112,0,2024-09-07 09:42:20:921,141144,0.3,140532,0.4,281868,0.2,373814,1.50 112,1,2024-09-07 09:42:20:866,956383,956383,0,0,449157751878,4660738149,950452,5237,694,380,391624,0 112,2,2024-09-07 09:42:21:145,688403,688402,1,0,27046757,0,5036 112,3,2024-09-07 09:42:20:600,1,544,93,0,282,5217,544,0 113,0,2024-09-07 09:42:20:879,139483,0.3,139430,0.5,279210,0.2,371761,1.75 113,1,2024-09-07 09:42:21:697,958977,958977,0,0,450640069613,4648512360,953580,4550,847,365,391664,0 113,2,2024-09-07 09:42:21:305,690619,690619,0,0,24607248,0,3813 113,3,2024-09-07 09:42:20:693,1,544,1,0,510,6144,544,0 114,0,2024-09-07 09:42:20:911,133069,0.3,133917,0.5,266185,0.2,355789,1.75 114,1,2024-09-07 09:42:20:720,957030,957030,0,0,449971028184,4670103154,950116,5298,1616,381,391565,0 114,2,2024-09-07 09:42:20:882,689754,689753,1,0,26789825,0,5069 114,3,2024-09-07 09:42:21:291,1,544,7,0,395,4344,544,0 115,0,2024-09-07 09:42:20:599,134178,0.3,134849,0.4,268651,0.2,357382,1.50 115,1,2024-09-07 09:42:20:593,957505,957505,0,0,449708207161,4670504288,950084,6064,1357,382,391757,0 115,2,2024-09-07 09:42:21:125,691716,691716,0,0,26268806,0,4382 115,3,2024-09-07 09:42:21:005,1,544,0,0,167,3181,544,0 116,0,2024-09-07 09:42:21:740,138733,0.6,138478,0.8,277631,0.5,370984,2.00 116,1,2024-09-07 09:42:20:804,952158,952158,0,0,447745153012,4718852031,940742,8526,2890,380,392089,0 116,2,2024-09-07 09:42:21:750,687794,687794,0,0,33555511,0,4475 116,3,2024-09-07 09:42:20:912,1,544,4,0,415,6679,544,0 117,0,2024-09-07 09:42:20:949,139818,0.7,139212,0.8,279017,0.8,373190,2.00 117,1,2024-09-07 09:42:21:612,953609,953609,0,0,447995403538,4688953119,943011,9137,1461,369,392033,0 117,2,2024-09-07 09:42:21:118,687659,687659,0,0,29254149,0,4303 117,3,2024-09-07 09:42:21:059,1,544,2,0,490,7281,544,0 118,0,2024-09-07 09:42:21:762,130082,0.6,133654,0.7,272588,0.6,356530,2.00 118,1,2024-09-07 09:42:20:588,952565,952565,0,0,447548627663,4705388125,937961,10999,3605,366,392054,0 118,2,2024-09-07 09:42:21:587,687881,687881,0,0,32231501,0,2842 118,3,2024-09-07 09:42:21:764,1,544,8,0,248,5990,544,0 119,0,2024-09-07 09:42:21:335,132519,0.6,133069,0.7,265751,0.6,354370,2.00 119,1,2024-09-07 09:42:20:554,953795,953795,0,0,447942980858,4692987723,941451,10309,2035,367,391857,0 119,2,2024-09-07 09:42:21:261,689016,689016,0,0,29861097,0,4174 119,3,2024-09-07 09:42:21:325,1,544,6,0,1358,10216,544,0 120,0,2024-09-07 09:42:21:546,136619,0.6,136515,0.8,274061,0.6,365552,2.25 120,1,2024-09-07 09:42:20:872,954947,954947,0,0,448137017379,4692618442,945620,8546,781,367,392144,0 120,2,2024-09-07 09:42:20:772,687226,687225,1,0,34594229,0,5281 120,3,2024-09-07 09:42:21:292,1,544,2,0,279,6683,544,0 121,0,2024-09-07 09:42:21:700,139505,1.2,138822,1.1,278209,1.7,370758,2.25 121,1,2024-09-07 09:42:21:667,954872,954872,0,0,448249664704,4682678478,945864,8268,740,366,391840,0 121,2,2024-09-07 09:42:21:126,685814,685814,0,0,31462836,0,4157 121,3,2024-09-07 09:42:20:739,1,544,10,0,330,6383,544,0 122,0,2024-09-07 09:42:21:763,136449,0.8,132717,0.9,278029,1.0,365517,2.25 122,1,2024-09-07 09:42:20:878,953538,953538,0,0,448476951752,4701588383,940782,10865,1891,366,392130,0 122,2,2024-09-07 09:42:21:320,689099,689024,75,0,35908473,0,5989 122,3,2024-09-07 09:42:20:600,1,544,8,0,512,9059,544,0 123,0,2024-09-07 09:42:20:952,132006,0.8,128261,0.8,268625,0.9,351412,2.25 123,1,2024-09-07 09:42:20:560,953959,953959,0,0,447450348318,4702997092,938706,12747,2506,369,392039,0 123,2,2024-09-07 09:42:21:024,686237,686236,1,0,30564332,0,5215 123,3,2024-09-07 09:42:21:138,1,544,68,0,478,6318,544,0 124,0,2024-09-07 09:42:20:924,138841,0.3,138831,0.5,261567,0.3,360481,1.75 124,1,2024-09-07 09:42:21:023,956672,956672,0,0,448825169251,4662513520,949852,5687,1133,365,392178,0 124,2,2024-09-07 09:42:21:009,689516,689463,53,0,27763427,0,6487 124,3,2024-09-07 09:42:20:763,1,544,1,0,490,5570,544,0 125,0,2024-09-07 09:42:21:417,139542,0.4,139375,0.5,279830,0.3,372155,1.75 125,1,2024-09-07 09:42:20:868,955041,955041,0,0,447871061603,4671499109,948056,6001,984,382,391813,0 125,2,2024-09-07 09:42:21:117,690606,690606,0,0,27747119,0,4534 125,3,2024-09-07 09:42:21:127,1,544,1,0,709,6419,544,0 126,0,2024-09-07 09:42:21:425,139475,0.4,143412,0.6,274424,0.4,371400,1.75 126,1,2024-09-07 09:42:20:562,958454,958454,0,0,450013023591,4655549358,953760,4382,312,365,391987,0 126,2,2024-09-07 09:42:20:618,689446,689446,0,0,28590782,0,4539 126,3,2024-09-07 09:42:20:910,1,544,12,0,268,5987,544,0 127,0,2024-09-07 09:42:21:598,132464,0.3,132815,0.5,265210,0.3,352691,1.75 127,1,2024-09-07 09:42:20:570,956472,956472,0,0,448534421955,4664646331,947079,7886,1507,364,392187,0 127,2,2024-09-07 09:42:20:646,688218,688214,4,0,27438682,0,5305 127,3,2024-09-07 09:42:21:275,1,544,1,0,968,5360,544,0 128,0,2024-09-07 09:42:21:538,134404,0.3,134314,0.4,268372,0.2,356883,1.50 128,1,2024-09-07 09:42:21:604,955524,955524,0,0,449915863281,4673505199,948707,6037,780,367,392031,0 128,2,2024-09-07 09:42:21:398,689895,689895,0,0,25705585,0,3171 128,3,2024-09-07 09:42:20:768,1,544,88,0,1082,8693,544,0 129,0,2024-09-07 09:42:20:993,141465,0.3,140856,0.5,282357,0.3,375899,1.50 129,1,2024-09-07 09:42:20:569,952621,952621,0,0,447951616530,4691627559,943568,7106,1947,379,391962,0 129,2,2024-09-07 09:42:20:689,689534,689530,4,0,28077772,0,5335 129,3,2024-09-07 09:42:20:688,1,544,2,0,506,7728,544,0 130,0,2024-09-07 09:42:21:713,140419,0.4,139889,0.6,280639,0.4,373697,1.75 130,1,2024-09-07 09:42:20:585,956869,956869,0,0,448940056591,4661693957,952014,4466,389,381,391825,0 130,2,2024-09-07 09:42:21:128,688003,688003,0,0,27839224,0,4067 130,3,2024-09-07 09:42:21:291,1,544,8,0,960,7483,544,0 131,0,2024-09-07 09:42:21:940,133301,0.4,133791,0.5,267899,0.3,355654,1.75 131,1,2024-09-07 09:42:21:821,956362,956362,0,0,448914105107,4680049116,949464,5706,1192,381,391865,0 131,2,2024-09-07 09:42:20:566,689285,689285,0,0,25986446,0,3979 131,3,2024-09-07 09:42:21:688,1,544,29,0,392,6667,544,0 132,0,2024-09-07 09:42:21:413,133347,0.4,134260,0.6,267387,0.4,355622,1.75 132,1,2024-09-07 09:42:20:593,952400,952400,0,0,447148516186,4701055863,939612,10588,2200,381,392532,0 132,2,2024-09-07 09:42:20:701,688743,688726,17,0,34092940,0,6451 132,3,2024-09-07 09:42:21:688,1,544,3,0,804,8825,544,0 133,0,2024-09-07 09:42:21:515,135012,0.4,138192,0.6,283421,0.3,368704,1.75 133,1,2024-09-07 09:42:20:601,952277,952277,0,0,448065697945,4719527539,939477,11109,1691,383,391914,0 133,2,2024-09-07 09:42:21:095,689157,689107,50,0,34580503,0,6861 133,3,2024-09-07 09:42:21:297,1,544,2,0,528,6885,544,0 134,0,2024-09-07 09:42:20:955,139833,0.5,139707,0.7,279890,0.5,372296,2.00 134,1,2024-09-07 09:42:20:601,953854,953854,0,0,447291390857,4686533628,942194,9513,2147,366,391718,0 134,2,2024-09-07 09:42:21:759,685651,685627,24,0,32151967,0,6207 134,3,2024-09-07 09:42:20:757,1,544,7,0,739,6395,544,0 135,0,2024-09-07 09:42:21:102,130972,0.9,130953,0.9,278047,1.1,357932,2.25 135,1,2024-09-07 09:42:21:600,953692,953692,0,0,448118512329,4708659459,942038,10086,1568,380,391805,0 135,2,2024-09-07 09:42:20:690,689076,689076,0,0,32668943,0,4503 135,3,2024-09-07 09:42:21:004,1,544,5,0,900,5408,544,0 136,0,2024-09-07 09:42:21:616,132674,0.5,132917,0.7,264654,0.5,352743,2.00 136,1,2024-09-07 09:42:21:441,954430,954430,0,0,447671123029,4688610294,944035,9124,1271,381,392135,0 136,2,2024-09-07 09:42:21:141,689948,689933,15,0,31888112,0,6007 136,3,2024-09-07 09:42:21:107,1,544,1,0,637,6414,544,0 137,0,2024-09-07 09:42:20:920,140558,0.5,136961,0.7,268320,0.5,364780,2.00 137,1,2024-09-07 09:42:20:607,952765,952765,0,0,448393683392,4696071794,938941,11116,2708,366,391898,0 137,2,2024-09-07 09:42:21:705,686258,686258,0,0,33292400,0,3185 137,3,2024-09-07 09:42:20:772,1,544,1,0,484,7094,544,0 138,0,2024-09-07 09:42:21:748,138729,0.8,139007,0.9,278570,0.9,369669,2.00 138,1,2024-09-07 09:42:21:692,954013,954013,0,0,448548213388,4699646979,941188,10854,1971,368,391954,0 138,2,2024-09-07 09:42:20:586,687151,687151,0,0,30663923,0,4988 138,3,2024-09-07 09:42:20:614,1,544,24,0,1200,8719,544,0 139,0,2024-09-07 09:42:21:365,136933,1.2,137356,1.0,274734,1.7,366212,2.25 139,1,2024-09-07 09:42:20:570,949663,949663,0,0,446137596774,4726416753,933425,12718,3520,380,392109,0 139,2,2024-09-07 09:42:20:700,684548,684548,0,0,34208387,0,3097 139,3,2024-09-07 09:42:21:662,1,544,2,0,432,6106,544,0 140,0,2024-09-07 09:42:21:595,133121,0.3,132240,0.5,265351,0.2,353875,1.75 140,1,2024-09-07 09:42:21:548,959668,959668,0,0,451302219664,4649450996,955083,4047,538,364,391606,0 140,2,2024-09-07 09:42:20:690,689492,689491,1,0,26009442,0,5036 140,3,2024-09-07 09:42:20:771,1,544,19,0,297,4928,544,0 141,0,2024-09-07 09:42:21:703,134615,0.3,138285,0.4,264114,0.2,358367,1.50 141,1,2024-09-07 09:42:20:865,957847,957847,0,0,450658727522,4677604402,950725,6036,1086,379,391614,0 141,2,2024-09-07 09:42:21:686,688528,688517,11,0,27633312,0,5369 141,3,2024-09-07 09:42:21:042,1,544,3,0,391,6251,544,0 142,0,2024-09-07 09:42:21:315,141267,0.3,140278,0.5,281029,0.3,374647,1.50 142,1,2024-09-07 09:42:20:593,955754,955754,0,0,449177142221,4678416177,950080,5214,460,382,392102,0 142,2,2024-09-07 09:42:21:298,687640,687608,32,0,28152870,0,6028 142,3,2024-09-07 09:42:21:775,1,544,64,0,484,5665,544,0 143,0,2024-09-07 09:42:21:614,139281,0.4,139313,0.6,279495,0.4,371715,1.75 143,1,2024-09-07 09:42:20:556,958119,958119,0,0,450039951079,4666888332,952026,5534,559,367,391722,0 143,2,2024-09-07 09:42:20:775,689098,689098,0,0,27596039,0,3123 143,3,2024-09-07 09:42:21:141,1,544,0,0,462,6614,544,0 144,0,2024-09-07 09:42:21:537,128620,0.6,132354,0.8,269251,0.6,352663,2.00 144,1,2024-09-07 09:42:20:569,953223,953223,0,0,447743409824,4695739137,943800,7455,1968,381,391733,0 144,2,2024-09-07 09:42:21:757,689068,689068,0,0,26922494,0,3673 144,3,2024-09-07 09:42:21:742,1,544,1,0,249,5323,544,0 145,0,2024-09-07 09:42:21:363,129554,0.5,129525,0.7,274910,0.5,354145,2.25 145,1,2024-09-07 09:42:20:552,952621,952621,0,0,447567904957,4710316608,939474,10487,2660,382,391759,0 145,2,2024-09-07 09:42:21:429,686625,686543,82,0,32906052,0,7814 145,3,2024-09-07 09:42:20:895,1,544,2,0,622,7509,544,0 146,0,2024-09-07 09:42:21:594,138957,0.5,138436,0.7,278018,0.5,369463,2.00 146,1,2024-09-07 09:42:21:587,953700,953700,0,0,447797644799,4706165233,938324,11315,4061,367,391770,0 146,2,2024-09-07 09:42:21:695,687236,687230,6,0,30898846,0,5151 146,3,2024-09-07 09:42:21:275,1,544,18,0,1520,9187,544,0 147,0,2024-09-07 09:42:21:707,139769,0.6,139517,0.7,278688,0.6,372430,2.25 147,1,2024-09-07 09:42:21:373,957121,957121,0,0,449523334391,4667345077,949826,6415,880,367,391791,0 147,2,2024-09-07 09:42:21:013,685836,685836,0,0,27339669,0,2968 147,3,2024-09-07 09:42:20:913,1,544,1,0,1626,8623,544,0 0,0,2024-09-07 09:42:31:717,133864,0.5,133819,0.7,284031,0.5,367338,2.00 0,1,2024-09-07 09:42:30:811,955979,955979,0,0,448988562769,4698662183,948406,6730,843,369,391896,0 0,2,2024-09-07 09:42:31:067,690955,690955,0,0,27912514,0,4480 0,3,2024-09-07 09:42:30:974,1,545,2,0,431,7782,545,0 1,0,2024-09-07 09:42:31:766,139421,0.9,138468,0.9,278422,1.1,371685,2.00 1,1,2024-09-07 09:42:30:559,954666,954666,0,0,447764164100,4689773684,945899,7295,1472,370,391859,0 1,2,2024-09-07 09:42:30:658,687979,687979,0,0,26955339,0,3380 1,3,2024-09-07 09:42:31:308,1,545,5,0,269,6656,545,0 2,0,2024-09-07 09:42:31:571,136797,0.6,136659,0.8,273125,0.6,364191,2.00 2,1,2024-09-07 09:42:30:862,958186,958186,0,0,450528739626,4680792351,953020,4236,930,379,391745,0 2,2,2024-09-07 09:42:31:274,690655,690655,0,0,25846057,0,3594 2,3,2024-09-07 09:42:30:692,1,545,14,0,357,4819,545,0 3,0,2024-09-07 09:42:31:756,132312,0.4,132254,0.6,263872,0.3,351619,2.00 3,1,2024-09-07 09:42:31:623,957089,957089,0,0,449327953961,4675426173,949569,6817,703,379,391716,0 3,2,2024-09-07 09:42:31:145,690669,690646,23,0,26951209,0,5851 3,3,2024-09-07 09:42:31:752,1,545,1,0,275,3582,545,0 4,0,2024-09-07 09:42:31:838,131272,0.3,134961,0.5,275146,0.3,360286,1.75 4,1,2024-09-07 09:42:30:600,953321,953321,0,0,446723761957,4731839687,936168,13448,3705,370,391992,0 4,2,2024-09-07 09:42:31:018,686293,686293,0,0,33229989,0,4534 4,3,2024-09-07 09:42:31:026,1,545,3,0,448,6921,545,0 5,0,2024-09-07 09:42:31:391,139822,0.4,139974,0.5,279943,0.4,371883,1.75 5,1,2024-09-07 09:42:30:759,954199,954199,0,0,449089212058,4727492158,940629,10833,2737,367,392005,0 5,2,2024-09-07 09:42:31:834,686178,686178,0,0,31944887,0,3582 5,3,2024-09-07 09:42:31:733,1,545,13,0,457,7270,545,0 6,0,2024-09-07 09:42:30:932,139471,0.5,139113,0.7,278540,0.5,370820,2.00 6,1,2024-09-07 09:42:30:745,956350,956350,0,0,449101696332,4689881592,946387,8502,1461,379,391702,0 6,2,2024-09-07 09:42:31:120,689704,689686,18,0,31324846,0,5535 6,3,2024-09-07 09:42:31:274,1,545,23,0,710,6771,545,0 7,0,2024-09-07 09:42:31:551,131896,0.5,132752,0.7,264294,0.5,352052,2.00 7,1,2024-09-07 09:42:30:852,955133,955133,0,0,448842615288,4713502050,941527,11246,2360,382,391747,0 7,2,2024-09-07 09:42:30:770,689202,689202,0,0,29965721,0,4791 7,3,2024-09-07 09:42:30:851,1,545,139,0,552,6253,545,0 8,0,2024-09-07 09:42:31:344,134447,0.3,133918,0.5,268680,0.3,357912,1.50 8,1,2024-09-07 09:42:31:017,953165,953165,0,0,448865860484,4714640205,938770,11262,3133,366,392853,0 8,2,2024-09-07 09:42:30:795,684870,684868,2,0,35548451,0,5112 8,3,2024-09-07 09:42:30:591,1,545,1,0,538,7916,545,0 9,0,2024-09-07 09:42:31:114,140471,0.4,136722,0.5,286573,0.3,375784,1.75 9,1,2024-09-07 09:42:30:557,953672,953672,0,0,448066328044,4716399282,939914,11102,2656,369,392001,0 9,2,2024-09-07 09:42:31:091,688379,688378,1,0,32612700,0,5281 9,3,2024-09-07 09:42:31:765,1,545,2,0,1273,9033,545,0 10,0,2024-09-07 09:42:31:602,139766,0.4,139326,0.5,279416,0.3,372383,1.75 10,1,2024-09-07 09:42:30:592,955476,955476,0,0,448544072517,4697129474,942678,10611,2187,381,391869,0 10,2,2024-09-07 09:42:30:761,688807,688807,0,0,34987344,0,4264 10,3,2024-09-07 09:42:30:872,1,545,12,0,649,5596,545,0 11,0,2024-09-07 09:42:31:006,133225,0.5,129209,0.6,270255,0.4,356127,1.75 11,1,2024-09-07 09:42:30:571,956815,956815,0,0,449310954449,4708475089,943070,10200,3545,383,391766,0 11,2,2024-09-07 09:42:31:123,689075,689075,0,0,30834608,0,4130 11,3,2024-09-07 09:42:31:309,1,545,25,0,843,7244,545,0 12,0,2024-09-07 09:42:30:956,134298,0.3,134381,0.5,268767,0.3,357262,1.75 12,1,2024-09-07 09:42:30:933,956480,956480,0,0,448468072713,4676079296,948775,6819,886,370,391960,0 12,2,2024-09-07 09:42:31:548,689560,689560,0,0,30529799,0,4390 12,3,2024-09-07 09:42:31:063,1,545,3,0,386,7157,545,0 13,0,2024-09-07 09:42:31:339,139695,0.4,139467,0.5,278395,0.3,371265,1.75 13,1,2024-09-07 09:42:31:545,954334,954334,0,0,448172397229,4707780235,944638,7399,2297,382,391740,0 13,2,2024-09-07 09:42:30:606,691148,691148,0,0,27395432,0,3287 13,3,2024-09-07 09:42:31:762,1,545,1,0,522,7447,545,0 14,0,2024-09-07 09:42:30:573,139798,0.4,140801,0.6,279399,0.4,372298,1.75 14,1,2024-09-07 09:42:31:564,960756,960756,0,0,450874247776,4662156050,953817,6211,728,364,391673,0 14,2,2024-09-07 09:42:30:766,688039,688009,30,0,28644133,0,6104 14,3,2024-09-07 09:42:31:115,1,545,23,0,1168,6126,545,0 15,0,2024-09-07 09:42:31:555,135006,0.4,134407,0.6,269691,0.4,359211,2.00 15,1,2024-09-07 09:42:31:609,958154,958154,0,0,449237663136,4675470115,950412,6256,1486,381,391619,0 15,2,2024-09-07 09:42:30:999,692633,692633,0,0,24383369,0,3622 15,3,2024-09-07 09:42:31:435,1,545,29,0,1126,7417,545,0 16,0,2024-09-07 09:42:30:937,132236,0.5,132977,0.7,265282,0.5,353029,2.00 16,1,2024-09-07 09:42:30:563,957869,957869,0,0,449582433348,4697411383,949431,7136,1302,370,392194,0 16,2,2024-09-07 09:42:31:440,689844,689844,0,0,28720529,0,4719 16,3,2024-09-07 09:42:31:147,1,545,10,0,358,6742,545,0 17,0,2024-09-07 09:42:31:792,141030,0.5,137383,0.7,269376,0.5,365808,1.75 17,1,2024-09-07 09:42:30:577,955728,955728,0,0,448981756112,4707604082,946289,7687,1752,368,392075,0 17,2,2024-09-07 09:42:31:668,691827,691826,1,0,29457508,0,5050 17,3,2024-09-07 09:42:30:582,1,545,18,0,518,8014,545,0 18,0,2024-09-07 09:42:30:945,138074,0.7,138958,0.8,276915,0.7,369476,2.25 18,1,2024-09-07 09:42:31:637,959803,959803,0,0,450433894033,4669192136,953686,5120,997,367,391725,0 18,2,2024-09-07 09:42:31:761,691121,691121,0,0,26121061,0,3541 18,3,2024-09-07 09:42:30:896,1,545,10,0,1059,5026,545,0 19,0,2024-09-07 09:42:31:553,137463,0.6,137805,0.8,274461,0.7,364992,2.25 19,1,2024-09-07 09:42:30:566,959258,959258,0,0,451334749263,4677263555,952229,5944,1085,367,391777,0 19,2,2024-09-07 09:42:31:752,693185,693185,0,0,24490033,0,3988 19,3,2024-09-07 09:42:31:129,1,545,1,0,524,4295,545,0 20,0,2024-09-07 09:42:31:434,132512,0.5,132757,0.7,265038,0.5,353047,2.00 20,1,2024-09-07 09:42:30:567,955469,955469,0,0,449428019588,4706852175,945752,8267,1450,369,391922,0 20,2,2024-09-07 09:42:30:937,688899,688899,0,0,30589602,0,4321 20,3,2024-09-07 09:42:30:588,1,545,3,0,468,8998,545,0 21,0,2024-09-07 09:42:31:150,134718,0.4,134887,0.6,269665,0.4,358378,1.75 21,1,2024-09-07 09:42:31:540,953980,953980,0,0,447176152298,4715631639,939759,11099,3122,368,392016,0 21,2,2024-09-07 09:42:31:067,686170,686150,20,0,35026721,0,5617 21,3,2024-09-07 09:42:31:411,1,545,105,0,713,7541,545,0 22,0,2024-09-07 09:42:31:748,140400,0.5,140908,0.7,281202,0.4,372840,2.00 22,1,2024-09-07 09:42:31:064,954541,954541,0,0,447777274128,4712984879,938429,12774,3338,382,391822,0 22,2,2024-09-07 09:42:30:761,687962,687936,26,0,29396311,0,6328 22,3,2024-09-07 09:42:31:066,1,545,15,0,228,4539,545,0 23,0,2024-09-07 09:42:31:372,139377,0.5,138965,0.7,277919,0.5,370727,2.25 23,1,2024-09-07 09:42:31:003,955856,955856,0,0,449724175806,4721169993,939093,11914,4849,365,391690,0 23,2,2024-09-07 09:42:31:092,690292,690292,0,0,27929599,0,3773 23,3,2024-09-07 09:42:31:760,1,545,0,0,855,8411,545,0 24,0,2024-09-07 09:42:30:829,133793,0.4,133101,0.6,267627,0.4,355374,1.75 24,1,2024-09-07 09:42:30:582,955218,955218,0,0,447987483366,4687239159,946201,7339,1678,367,392269,0 24,2,2024-09-07 09:42:31:069,689196,689196,0,0,33124741,0,4438 24,3,2024-09-07 09:42:31:691,1,545,17,0,468,6812,545,0 25,0,2024-09-07 09:42:31:369,137824,0.4,134380,0.6,263351,0.4,359036,1.75 25,1,2024-09-07 09:42:30:558,953935,953935,0,0,447928522712,4721612291,938807,12154,2974,369,391928,0 25,2,2024-09-07 09:42:31:625,688221,688221,0,0,34579563,0,3978 25,3,2024-09-07 09:42:31:006,1,545,6,0,532,5973,545,0 26,0,2024-09-07 09:42:31:725,138860,0.4,135902,0.6,285030,0.3,370861,1.75 26,1,2024-09-07 09:42:31:542,957545,957545,0,0,449462913292,4702630024,944508,10585,2452,380,391748,0 26,2,2024-09-07 09:42:30:863,689399,689399,0,0,36503246,0,4689 26,3,2024-09-07 09:42:31:712,1,545,13,0,796,5858,545,0 27,0,2024-09-07 09:42:31:731,140054,0.4,140690,0.6,279460,0.4,373016,2.25 27,1,2024-09-07 09:42:31:677,957696,957696,0,0,450918141171,4693549694,949009,7418,1269,381,391626,0 27,2,2024-09-07 09:42:30:867,684859,684794,65,0,31241836,0,5699 27,3,2024-09-07 09:42:31:014,1,545,1,0,564,4748,545,0 28,0,2024-09-07 09:42:31:388,134669,0.4,134351,0.6,269308,0.3,358693,1.75 28,1,2024-09-07 09:42:30:797,958901,958901,0,0,450835677768,4696670825,951121,6066,1714,382,391698,0 28,2,2024-09-07 09:42:31:763,690798,690798,0,0,27712157,0,2915 28,3,2024-09-07 09:42:31:788,1,545,2,0,502,5386,545,0 29,0,2024-09-07 09:42:31:362,136984,0.3,133354,0.6,261669,0.3,356827,1.75 29,1,2024-09-07 09:42:31:569,961062,961062,0,0,451776458055,4669889084,955501,4799,762,367,391809,0 29,2,2024-09-07 09:42:30:862,689550,689550,0,0,27135191,0,4986 29,3,2024-09-07 09:42:30:963,1,545,1,0,459,5866,545,0 30,0,2024-09-07 09:42:31:457,137162,0.4,133638,0.6,280001,0.4,366114,2.00 30,1,2024-09-07 09:42:30:571,960052,960052,0,0,451366033845,4681708939,953070,6080,902,380,391672,0 30,2,2024-09-07 09:42:31:274,690008,690008,0,0,26128209,0,4192 30,3,2024-09-07 09:42:30:581,1,545,7,0,519,5208,545,0 31,0,2024-09-07 09:42:31:755,139212,0.4,139755,0.6,278826,0.4,372027,2.00 31,1,2024-09-07 09:42:30:563,962947,962947,0,0,451914731814,4637146222,957615,4321,1011,356,391712,0 31,2,2024-09-07 09:42:31:282,687208,687208,0,0,28587463,0,4470 31,3,2024-09-07 09:42:31:706,1,545,239,0,239,4755,545,0 32,0,2024-09-07 09:42:31:454,137214,0.3,137958,0.5,274847,0.3,365724,1.75 32,1,2024-09-07 09:42:30:811,959236,959236,0,0,450425637202,4680201987,953424,5103,709,381,391646,0 32,2,2024-09-07 09:42:30:933,691693,691693,0,0,25244411,0,3922 32,3,2024-09-07 09:42:31:016,1,545,1,0,304,4445,545,0 33,0,2024-09-07 09:42:31:501,132886,0.3,132500,0.4,265140,0.2,352678,1.50 33,1,2024-09-07 09:42:30:578,959700,959700,0,0,451452503264,4674046500,952438,6088,1174,368,391730,0 33,2,2024-09-07 09:42:30:760,691337,691302,35,0,27965261,0,7012 33,3,2024-09-07 09:42:30:895,1,545,2,0,329,4972,545,0 34,0,2024-09-07 09:42:30:935,135643,0.3,139329,0.4,266315,0.2,359690,1.75 34,1,2024-09-07 09:42:31:048,961743,961743,0,0,451960547063,4646631412,959227,2494,22,367,391637,0 34,2,2024-09-07 09:42:30:772,690721,690721,0,0,26395276,0,4562 34,3,2024-09-07 09:42:31:690,1,545,25,0,320,4405,545,0 35,0,2024-09-07 09:42:30:870,139022,0.3,139719,0.5,280693,0.2,372494,1.75 35,1,2024-09-07 09:42:31:067,958393,958393,0,0,450654796003,4675280435,951197,5712,1484,382,391769,0 35,2,2024-09-07 09:42:31:590,689176,689176,0,0,28921997,0,4055 35,3,2024-09-07 09:42:30:917,1,545,10,0,466,5426,545,0 36,0,2024-09-07 09:42:31:521,139888,0.5,139711,0.7,279234,0.5,371661,2.00 36,1,2024-09-07 09:42:30:589,957782,957782,0,0,449095982884,4689860992,945288,10271,2223,366,391759,0 36,2,2024-09-07 09:42:31:751,690257,690257,0,0,30614704,0,3875 36,3,2024-09-07 09:42:30:863,1,545,1,0,416,7224,545,0 37,0,2024-09-07 09:42:31:367,132067,0.5,132063,0.7,264397,0.5,352648,2.25 37,1,2024-09-07 09:42:30:569,957023,957016,0,7,448962601956,4687041577,945658,8646,2712,365,391770,0 37,2,2024-09-07 09:42:31:147,687305,687290,15,0,31008388,0,5815 37,3,2024-09-07 09:42:31:767,1,545,4,0,888,7455,545,0 38,0,2024-09-07 09:42:31:440,133519,0.4,129526,0.6,270888,0.3,355152,2.00 38,1,2024-09-07 09:42:31:605,957692,957692,0,0,449641097514,4698037300,944345,10828,2519,368,391821,0 38,2,2024-09-07 09:42:30:761,688942,688895,47,0,31049436,0,6710 38,3,2024-09-07 09:42:30:997,1,545,12,0,689,6785,545,0 39,0,2024-09-07 09:42:31:763,143738,0.5,140559,0.7,274150,0.5,374417,2.00 39,1,2024-09-07 09:42:30:718,955810,955810,0,0,448923408054,4709689837,939227,12888,3695,365,391865,0 39,2,2024-09-07 09:42:31:417,690025,690025,0,0,28720272,0,3391 39,3,2024-09-07 09:42:30:717,1,545,0,0,525,6483,545,0 40,0,2024-09-07 09:42:31:492,138624,0.9,139230,1.0,277821,1.0,370764,2.75 40,1,2024-09-07 09:42:30:582,958077,958077,0,0,448616602156,4688971792,945781,10111,2185,368,391668,0 40,2,2024-09-07 09:42:31:306,687018,687017,1,0,33894991,0,5137 40,3,2024-09-07 09:42:31:144,1,545,0,0,1028,7928,545,0 41,0,2024-09-07 09:42:31:032,132641,1.2,135668,1.1,258692,1.7,351892,3.00 41,1,2024-09-07 09:42:30:771,956390,956390,0,0,448621636371,4690744201,944355,10100,1935,369,391878,0 41,2,2024-09-07 09:42:30:758,687034,687033,1,0,32794318,0,5408 41,3,2024-09-07 09:42:31:678,1,545,58,0,366,5846,545,0 42,0,2024-09-07 09:42:31:482,132963,0.7,132691,0.9,265339,0.8,352189,2.50 42,1,2024-09-07 09:42:31:460,953453,953453,0,0,448481590508,4711793178,938297,12247,2909,380,391675,0 42,2,2024-09-07 09:42:31:132,689200,689200,0,0,32588349,0,3975 42,3,2024-09-07 09:42:31:011,1,545,13,0,892,4790,545,0 43,0,2024-09-07 09:42:30:918,137694,0.6,134055,0.8,280838,0.6,368245,2.25 43,1,2024-09-07 09:42:30:580,956762,956762,0,0,449943765791,4697262319,943825,10676,2261,366,391696,0 43,2,2024-09-07 09:42:31:738,690122,690122,0,0,31447054,0,4723 43,3,2024-09-07 09:42:31:749,1,545,152,0,571,7907,545,0 44,0,2024-09-07 09:42:30:861,140080,0.4,139992,0.6,280591,0.4,372837,1.75 44,1,2024-09-07 09:42:30:571,959880,959880,0,0,450867179944,4656353149,952063,6230,1587,356,391809,0 44,2,2024-09-07 09:42:31:270,685747,685747,0,0,25775410,0,4344 44,3,2024-09-07 09:42:31:093,1,545,1,0,817,5805,545,0 45,0,2024-09-07 09:42:31:757,133557,0.5,130495,0.7,273947,0.5,359417,2.00 45,1,2024-09-07 09:42:31:004,958857,958857,0,0,450916509646,4684220988,951332,6649,876,382,391917,0 45,2,2024-09-07 09:42:31:274,691260,691260,0,0,26336208,0,3596 45,3,2024-09-07 09:42:30:934,1,545,6,0,365,5026,545,0 46,0,2024-09-07 09:42:30:954,131973,0.4,131483,0.7,263542,0.4,350210,2.00 46,1,2024-09-07 09:42:30:578,960239,960239,0,0,451319171760,4661536368,953792,5583,864,366,391709,0 46,2,2024-09-07 09:42:30:598,691315,691315,0,0,26683154,0,4443 46,3,2024-09-07 09:42:31:131,1,545,8,0,908,6442,545,0 47,0,2024-09-07 09:42:31:106,136879,0.4,136984,0.5,274996,0.3,364724,1.75 47,1,2024-09-07 09:42:30:569,961628,961628,0,0,451706018560,4663165877,956339,4450,839,365,391641,0 47,2,2024-09-07 09:42:30:913,692341,692341,0,0,26271467,0,4477 47,3,2024-09-07 09:42:31:115,1,545,1,0,600,6062,545,0 48,0,2024-09-07 09:42:31:513,139700,0.3,139876,0.4,279223,0.2,371269,1.50 48,1,2024-09-07 09:42:31:022,958899,958899,0,0,450524831995,4679399784,952963,5360,576,384,391710,0 48,2,2024-09-07 09:42:30:707,689204,689204,0,0,24204018,0,3411 48,3,2024-09-07 09:42:30:758,1,545,6,0,339,4225,545,0 49,0,2024-09-07 09:42:31:713,141778,0.3,139103,0.5,270414,0.3,369144,1.75 49,1,2024-09-07 09:42:31:021,958214,958214,0,0,450562174936,4681347258,952599,4403,1212,382,391809,0 49,2,2024-09-07 09:42:31:796,691652,691652,0,0,26907588,0,4426 49,3,2024-09-07 09:42:31:417,1,545,21,0,992,6255,545,0 50,0,2024-09-07 09:42:31:507,133384,0.3,131597,0.5,265185,0.2,352687,1.75 50,1,2024-09-07 09:42:31:012,961576,961576,0,0,451301270846,4664258019,955552,5356,668,368,391565,0 50,2,2024-09-07 09:42:31:067,689038,689038,0,0,24626426,0,4490 50,3,2024-09-07 09:42:31:295,1,545,11,0,617,5548,545,0 51,0,2024-09-07 09:42:31:690,138411,0.3,135482,0.4,263680,0.2,359866,1.50 51,1,2024-09-07 09:42:31:684,962425,962425,0,0,452665295928,4665453823,957611,3758,1056,365,391706,0 51,2,2024-09-07 09:42:31:317,689812,689812,0,0,24143264,0,3337 51,3,2024-09-07 09:42:31:027,1,545,5,0,678,3835,545,0 52,0,2024-09-07 09:42:31:424,140956,0.5,140556,0.7,281472,0.5,373776,2.00 52,1,2024-09-07 09:42:30:577,957144,957144,0,0,448983264831,4698688454,943522,11424,2198,368,391722,0 52,2,2024-09-07 09:42:31:757,686181,686143,38,0,32203319,0,6742 52,3,2024-09-07 09:42:30:677,1,545,1,0,1782,6888,545,0 53,0,2024-09-07 09:42:31:733,139023,0.7,134798,0.8,282022,0.8,370052,2.50 53,1,2024-09-07 09:42:30:771,955700,955700,0,0,449118371226,4709236630,940282,11574,3844,367,391968,0 53,2,2024-09-07 09:42:31:309,689474,689473,1,0,28930001,0,5455 53,3,2024-09-07 09:42:30:707,1,545,0,0,308,5191,545,0 54,0,2024-09-07 09:42:31:617,131039,0.6,131342,0.8,261654,0.4,349551,2.25 54,1,2024-09-07 09:42:30:580,957844,957844,0,0,449878091396,4678295875,947616,8594,1634,366,391810,0 54,2,2024-09-07 09:42:30:865,689948,689916,32,0,33004217,0,6397 54,3,2024-09-07 09:42:30:762,1,545,9,0,676,7406,545,0 55,0,2024-09-07 09:42:31:759,129525,0.6,133599,0.8,270818,0.5,353271,2.50 55,1,2024-09-07 09:42:30:765,959027,959027,0,0,449416744222,4674064205,948761,8886,1380,365,391731,0 55,2,2024-09-07 09:42:30:733,688481,688425,56,0,30973165,0,7239 55,3,2024-09-07 09:42:30:694,1,545,1,0,304,5092,545,0 56,0,2024-09-07 09:42:31:559,142458,1.0,134317,1.0,276673,1.3,370454,2.50 56,1,2024-09-07 09:42:30:580,953575,953575,0,0,448442940678,4733568920,938740,11818,3017,381,391867,0 56,2,2024-09-07 09:42:31:310,688897,688775,122,0,32089044,0,7432 56,3,2024-09-07 09:42:31:068,1,545,7,0,705,6365,545,0 57,0,2024-09-07 09:42:30:943,138100,1.6,138085,1.2,276356,2.2,369628,3.25 57,1,2024-09-07 09:42:30:986,955060,955060,0,0,448461376443,4703884995,942394,10338,2328,366,392032,0 57,2,2024-09-07 09:42:31:316,687529,687529,0,0,32855553,0,4317 57,3,2024-09-07 09:42:31:762,1,545,123,0,455,6241,545,0 58,0,2024-09-07 09:42:30:558,132559,0.9,128633,1.0,269112,1.1,352190,2.50 58,1,2024-09-07 09:42:30:578,956693,956690,0,3,449374808066,4706212859,942794,10575,3321,367,391675,3 58,2,2024-09-07 09:42:31:073,689953,689953,0,0,31416347,0,3483 58,3,2024-09-07 09:42:31:073,1,545,11,0,1043,5904,545,0 59,0,2024-09-07 09:42:31:740,132636,0.8,132564,0.9,264360,0.8,350920,2.75 59,1,2024-09-07 09:42:30:808,955518,955518,0,0,448968332528,4712775366,940303,12075,3140,369,391653,0 59,2,2024-09-07 09:42:30:589,689808,689808,0,0,30014156,0,3727 59,3,2024-09-07 09:42:31:737,1,545,19,0,1015,6588,545,0 60,0,2024-09-07 09:42:31:799,137664,0.5,138069,0.6,275920,0.4,367272,1.75 60,1,2024-09-07 09:42:30:772,960333,960333,0,0,450951763312,4678298604,954046,5348,939,370,392031,0 60,2,2024-09-07 09:42:31:141,689937,689937,0,0,28322905,0,3811 60,3,2024-09-07 09:42:31:258,1,545,223,0,409,6422,545,0 61,0,2024-09-07 09:42:31:495,139288,0.7,139898,0.8,278608,0.7,371649,2.00 61,1,2024-09-07 09:42:30:771,956940,956940,0,0,449348882442,4701756490,947008,8350,1582,382,392127,0 61,2,2024-09-07 09:42:31:115,687933,687866,67,0,29111078,0,6411 61,3,2024-09-07 09:42:31:687,1,545,1,0,607,7577,545,0 62,0,2024-09-07 09:42:31:705,137535,0.6,141101,0.8,269407,0.6,365521,2.00 62,1,2024-09-07 09:42:31:111,962296,962290,0,6,452391580384,4660069395,958103,3907,280,365,391975,6 62,2,2024-09-07 09:42:31:646,689109,689108,1,0,28637765,0,5555 62,3,2024-09-07 09:42:31:144,1,545,8,0,482,4338,545,0 63,0,2024-09-07 09:42:31:470,132903,0.4,132618,0.6,266106,0.3,353038,1.75 63,1,2024-09-07 09:42:30:810,958879,958873,0,6,450621153027,4675278854,952935,5169,769,381,391800,6 63,2,2024-09-07 09:42:30:772,690451,690451,0,0,27524986,0,4369 63,3,2024-09-07 09:42:31:733,1,545,1,0,667,5685,545,0 64,0,2024-09-07 09:42:31:531,134966,0.5,135069,0.6,269196,0.4,358879,1.75 64,1,2024-09-07 09:42:30:751,958292,958292,0,0,449991420595,4684586896,950062,6419,1811,370,391794,0 64,2,2024-09-07 09:42:31:141,693734,693715,19,0,26577904,0,6121 64,3,2024-09-07 09:42:31:148,1,545,8,0,651,5621,545,0 65,0,2024-09-07 09:42:31:710,138718,0.6,139035,0.7,277576,0.6,369876,2.00 65,1,2024-09-07 09:42:30:864,956772,956772,0,0,448124755347,4681600527,950012,5962,798,381,391901,0 65,2,2024-09-07 09:42:31:714,688772,688772,0,0,31240892,0,3367 65,3,2024-09-07 09:42:31:683,1,545,1,0,782,6367,545,0 66,0,2024-09-07 09:42:31:761,139007,0.6,138501,0.8,277010,0.5,368758,2.25 66,1,2024-09-07 09:42:31:296,958975,958975,0,0,450092902661,4682417109,952812,5441,722,380,391743,0 66,2,2024-09-07 09:42:31:132,691955,691952,3,0,28340997,0,5455 66,3,2024-09-07 09:42:31:085,1,545,11,0,291,4629,545,0 67,0,2024-09-07 09:42:31:419,132555,0.5,132224,0.7,265193,0.5,352888,2.00 67,1,2024-09-07 09:42:30:772,958868,958867,0,1,449812439253,4680394710,952583,5470,814,380,391787,1 67,2,2024-09-07 09:42:30:590,692093,692078,15,0,27700739,0,6205 67,3,2024-09-07 09:42:31:750,1,545,71,0,595,5813,545,0 68,0,2024-09-07 09:42:30:567,134299,0.5,134173,0.7,267111,0.5,357025,2.00 68,1,2024-09-07 09:42:30:585,954353,954353,0,0,448047338991,4710969583,941629,9269,3455,381,391953,0 68,2,2024-09-07 09:42:31:053,685378,685278,100,0,36260736,0,8578 68,3,2024-09-07 09:42:30:733,1,545,0,0,417,6917,545,0 69,0,2024-09-07 09:42:31:732,139925,0.7,140830,0.8,280620,0.7,373026,2.25 69,1,2024-09-07 09:42:31:018,954028,954028,0,0,448337824736,4722132336,941594,9672,2762,383,391994,0 69,2,2024-09-07 09:42:31:734,687712,687683,29,0,37367848,0,6912 69,3,2024-09-07 09:42:30:762,1,545,8,0,698,8129,545,0 70,0,2024-09-07 09:42:31:539,138292,0.8,138645,1.0,278961,0.7,369366,2.50 70,1,2024-09-07 09:42:30:801,959590,959590,0,0,450831915770,4677337362,952121,6707,762,366,391725,0 70,2,2024-09-07 09:42:31:331,688692,688692,0,0,30766565,0,4323 70,3,2024-09-07 09:42:30:755,1,545,8,0,854,5864,545,0 71,0,2024-09-07 09:42:31:364,131964,1.1,131769,1.1,264575,1.4,353114,2.75 71,1,2024-09-07 09:42:31:600,957315,957315,0,0,449056417552,4686522879,945507,10459,1349,368,391738,0 71,2,2024-09-07 09:42:31:069,689131,689131,0,0,31839586,0,4352 71,3,2024-09-07 09:42:31:750,1,545,1,0,644,6457,545,0 72,0,2024-09-07 09:42:31:034,137863,0.5,134766,0.7,263083,0.5,357638,2.00 72,1,2024-09-07 09:42:31:024,955924,955924,0,0,448898058181,4705850038,942446,11191,2287,369,391819,0 72,2,2024-09-07 09:42:31:760,688558,688558,0,0,33079529,0,3983 72,3,2024-09-07 09:42:31:754,1,545,41,0,564,7636,545,0 73,0,2024-09-07 09:42:31:112,135342,0.4,138648,0.6,283804,0.3,369125,2.00 73,1,2024-09-07 09:42:30:771,958114,958114,0,0,449170587373,4665042201,951206,6128,780,367,391858,0 73,2,2024-09-07 09:42:31:753,689666,689666,0,0,33448387,0,4728 73,3,2024-09-07 09:42:30:974,1,545,6,0,486,6737,545,0 74,0,2024-09-07 09:42:31:345,140807,0.5,144112,0.7,274881,0.4,373205,2.00 74,1,2024-09-07 09:42:30:635,956819,956819,0,0,449560770349,4691634333,946323,8570,1926,381,391762,0 74,2,2024-09-07 09:42:31:004,686585,686585,0,0,28849850,0,4253 74,3,2024-09-07 09:42:31:470,1,545,8,0,522,6422,545,0 75,0,2024-09-07 09:42:31:772,135538,0.6,134671,0.8,270309,0.5,360997,2.25 75,1,2024-09-07 09:42:31:585,958249,958249,0,0,450377616864,4692009578,950352,7033,864,380,391739,0 75,2,2024-09-07 09:42:31:350,689053,689053,0,0,35056036,0,4766 75,3,2024-09-07 09:42:31:072,1,545,1,0,702,6350,545,0 76,0,2024-09-07 09:42:30:712,131823,0.5,131200,0.7,262827,0.5,351899,2.25 76,1,2024-09-07 09:42:30:810,957621,957621,0,0,449066692565,4681389212,950981,5672,968,382,391723,0 76,2,2024-09-07 09:42:31:077,692438,692435,3,0,29284404,0,5265 76,3,2024-09-07 09:42:31:146,1,545,4,0,227,4964,545,0 77,0,2024-09-07 09:42:31:708,136332,0.5,136753,0.7,273693,0.4,363762,2.00 77,1,2024-09-07 09:42:30:836,957850,957850,0,0,450270353459,4693376079,950999,6255,596,381,391869,0 77,2,2024-09-07 09:42:31:284,689146,689146,0,0,28865285,0,3890 77,3,2024-09-07 09:42:31:097,1,545,14,0,401,5783,545,0 78,0,2024-09-07 09:42:31:715,139849,0.4,139281,0.6,279378,0.4,369841,2.00 78,1,2024-09-07 09:42:30:610,957728,957728,0,0,449292129198,4682077261,946852,8349,2527,367,391670,0 78,2,2024-09-07 09:42:31:412,690162,690149,13,0,26758881,0,8313 78,3,2024-09-07 09:42:31:133,1,545,12,0,311,4663,545,0 79,0,2024-09-07 09:42:31:356,133286,0.4,136511,0.6,279762,0.3,363842,2.00 79,1,2024-09-07 09:42:30:571,960509,960509,0,0,451011178462,4669951862,952765,6387,1357,367,391682,0 79,2,2024-09-07 09:42:31:073,690786,690786,0,0,25725481,0,4195 79,3,2024-09-07 09:42:30:750,1,545,1,0,418,6377,545,0 80,0,2024-09-07 09:42:31:091,132447,0.5,136218,0.6,260373,0.5,352283,2.00 80,1,2024-09-07 09:42:31:619,957489,957489,0,0,450596355170,4687542087,951621,5439,429,368,392269,0 80,2,2024-09-07 09:42:31:092,692015,692015,0,0,26419077,0,4433 80,3,2024-09-07 09:42:30:578,1,545,6,0,681,6998,545,0 81,0,2024-09-07 09:42:31:564,135010,0.5,138185,0.7,263536,0.5,358102,2.00 81,1,2024-09-07 09:42:31:654,956506,956506,0,0,449147340082,4692556996,949082,6782,642,382,391885,0 81,2,2024-09-07 09:42:31:125,688730,688667,63,0,29495514,0,5932 81,3,2024-09-07 09:42:31:121,1,545,1,0,719,6099,545,0 82,0,2024-09-07 09:42:31:543,140282,0.4,140432,0.7,281486,0.4,372870,2.00 82,1,2024-09-07 09:42:30:590,959260,959256,0,4,450651781661,4682557360,954153,4282,821,381,391768,4 82,2,2024-09-07 09:42:31:690,691477,691477,0,0,24504974,0,4484 82,3,2024-09-07 09:42:31:759,1,545,8,0,363,5086,545,0 83,0,2024-09-07 09:42:31:530,139565,0.6,139556,0.7,278614,0.6,370202,2.25 83,1,2024-09-07 09:42:30:553,957523,957523,0,0,449201231689,4680304421,951117,5949,457,382,391709,0 83,2,2024-09-07 09:42:30:765,689474,689449,25,0,26511778,0,5612 83,3,2024-09-07 09:42:30:750,1,545,2,0,1260,6604,545,0 84,0,2024-09-07 09:42:31:784,131795,0.7,132053,0.9,263681,0.6,352679,2.25 84,1,2024-09-07 09:42:31:039,956482,956482,0,0,448887602489,4693896090,945337,9492,1653,367,391967,0 84,2,2024-09-07 09:42:30:577,688913,688883,30,0,35203139,0,5971 84,3,2024-09-07 09:42:31:141,1,545,2,0,908,7535,545,0 85,0,2024-09-07 09:42:31:016,128998,0.6,129005,0.8,273803,0.5,354515,2.25 85,1,2024-09-07 09:42:30:559,952951,952951,0,0,448568268877,4737259741,937831,12374,2746,381,392092,0 85,2,2024-09-07 09:42:30:865,688642,688642,0,0,32977674,0,4255 85,3,2024-09-07 09:42:30:690,1,545,2,0,789,6173,545,0 86,0,2024-09-07 09:42:30:881,139353,0.6,143212,0.7,273831,0.6,370938,2.25 86,1,2024-09-07 09:42:30:830,955303,955303,0,0,449512200576,4714070105,942454,10194,2655,366,391995,0 86,2,2024-09-07 09:42:30:865,688634,688633,1,0,35154949,0,5004 86,3,2024-09-07 09:42:30:589,1,545,8,0,308,7837,545,0 87,0,2024-09-07 09:42:31:296,139876,0.8,139619,0.9,279306,1.1,373326,2.25 87,1,2024-09-07 09:42:30:552,954862,954862,0,0,448699487618,4699375513,942639,10507,1716,366,392076,0 87,2,2024-09-07 09:42:31:082,686762,686756,6,0,30926372,0,6323 87,3,2024-09-07 09:42:31:794,1,545,4,0,473,8201,545,0 88,0,2024-09-07 09:42:31:479,134297,0.5,134815,0.6,269396,0.4,358559,1.75 88,1,2024-09-07 09:42:30:569,954558,954558,0,0,449141798224,4701721439,941724,10205,2629,365,392084,0 88,2,2024-09-07 09:42:30:690,689773,689773,0,0,33787509,0,4465 88,3,2024-09-07 09:42:31:268,1,545,1,0,435,7117,545,0 89,0,2024-09-07 09:42:31:777,137106,0.4,132919,0.6,262636,0.4,356920,1.75 89,1,2024-09-07 09:42:30:553,954617,954617,0,0,447948509605,4710187409,942957,9819,1841,382,391866,0 89,2,2024-09-07 09:42:31:134,689153,689153,0,0,32038899,0,3173 89,3,2024-09-07 09:42:31:794,1,545,153,0,468,8931,545,0 90,0,2024-09-07 09:42:31:622,133792,0.4,137390,0.6,280022,0.4,365913,1.75 90,1,2024-09-07 09:42:30:641,955971,955971,0,0,448596891086,4694865826,947073,8116,782,380,391825,0 90,2,2024-09-07 09:42:31:412,687184,687184,0,0,34186982,0,3608 90,3,2024-09-07 09:42:30:944,1,545,15,0,322,6576,545,0 91,0,2024-09-07 09:42:30:966,139896,0.5,135611,0.6,283623,0.4,372238,1.75 91,1,2024-09-07 09:42:30:558,954009,954009,0,0,448460523602,4714590469,941737,10050,2222,381,392047,0 91,2,2024-09-07 09:42:31:331,687949,687949,0,0,30004169,0,4713 91,3,2024-09-07 09:42:30:605,1,545,4,0,216,5068,545,0 92,0,2024-09-07 09:42:31:517,138663,0.5,141673,0.6,270210,0.5,365851,1.75 92,1,2024-09-07 09:42:30:580,957208,957208,0,0,449652727022,4694500343,949680,6320,1208,381,392136,0 92,2,2024-09-07 09:42:31:350,690787,690787,0,0,27063401,0,3259 92,3,2024-09-07 09:42:31:011,1,545,1,0,167,4697,545,0 93,0,2024-09-07 09:42:30:957,133200,0.4,136544,0.6,260747,0.3,352995,1.75 93,1,2024-09-07 09:42:30:811,957447,957447,0,0,449048407975,4684997713,947126,8587,1734,366,391776,0 93,2,2024-09-07 09:42:30:931,690283,690283,0,0,32851673,0,4913 93,3,2024-09-07 09:42:31:410,1,545,6,0,190,4838,545,0 94,0,2024-09-07 09:42:31:663,134797,0.3,135690,0.5,271117,0.3,360464,1.75 94,1,2024-09-07 09:42:30:574,957750,957750,0,0,450014621666,4690534958,951541,5832,377,381,391850,0 94,2,2024-09-07 09:42:30:761,689465,689437,28,0,28028633,0,6179 94,3,2024-09-07 09:42:31:691,1,545,7,0,576,6768,545,0 95,0,2024-09-07 09:42:31:344,139776,0.3,139806,0.5,279799,0.3,372472,1.75 95,1,2024-09-07 09:42:30:852,959191,959191,0,0,450352403614,4677443987,951798,6734,659,365,391852,0 95,2,2024-09-07 09:42:31:023,688613,688613,0,0,26955794,0,3308 95,3,2024-09-07 09:42:31:724,1,545,1,0,718,8234,545,0 96,0,2024-09-07 09:42:31:033,139457,0.4,139686,0.5,279300,0.3,371048,1.75 96,1,2024-09-07 09:42:31:588,956996,956996,0,0,449658197643,4687549544,950558,5523,915,384,391964,0 96,2,2024-09-07 09:42:31:268,690376,690376,0,0,27872870,0,4180 96,3,2024-09-07 09:42:31:141,1,545,47,0,411,5778,545,0 97,0,2024-09-07 09:42:31:349,132481,0.3,132417,0.5,265945,0.3,352986,1.50 97,1,2024-09-07 09:42:30:767,959288,959288,0,0,450091024906,4666486474,953481,4862,945,367,392140,0 97,2,2024-09-07 09:42:30:617,691247,691247,0,0,27498063,0,3679 97,3,2024-09-07 09:42:30:578,1,545,1,0,242,6116,545,0 98,0,2024-09-07 09:42:31:693,133718,0.3,133881,0.4,268716,0.2,357253,1.50 98,1,2024-09-07 09:42:30:599,958318,958318,0,0,449498671238,4678435810,952836,4680,802,381,391997,0 98,2,2024-09-07 09:42:30:777,690000,690000,0,0,27003927,0,4336 98,3,2024-09-07 09:42:30:707,1,545,13,0,840,8401,545,0 99,0,2024-09-07 09:42:31:451,140822,0.3,141438,0.5,281450,0.3,375973,1.75 99,1,2024-09-07 09:42:31:735,958658,958658,0,0,449451515163,4676426600,952628,5101,929,380,392069,0 99,2,2024-09-07 09:42:31:422,690494,690494,0,0,32660309,0,4276 99,3,2024-09-07 09:42:30:584,1,545,3,0,606,5350,545,0 100,0,2024-09-07 09:42:31:536,139476,0.8,139800,0.9,279339,0.9,373124,2.50 100,1,2024-09-07 09:42:30:553,953093,953093,0,0,446756396238,4723253650,938187,11631,3275,378,391989,0 100,2,2024-09-07 09:42:31:829,686225,686214,11,0,31879183,0,5417 100,3,2024-09-07 09:42:31:743,1,545,1,0,627,9580,545,0 101,0,2024-09-07 09:42:31:710,136100,1.1,132642,1.1,259990,1.1,355273,2.25 101,1,2024-09-07 09:42:30:550,954820,954820,0,0,448347395382,4709210590,942203,10215,2402,368,391847,0 101,2,2024-09-07 09:42:31:761,685572,685572,0,0,37096532,0,4871 101,3,2024-09-07 09:42:30:945,1,545,153,0,1250,8586,545,0 102,0,2024-09-07 09:42:30:974,129903,0.6,133877,0.7,271610,0.5,355634,2.00 102,1,2024-09-07 09:42:31:142,954395,954395,0,0,448426615710,4709364718,941558,10724,2113,369,391891,0 102,2,2024-09-07 09:42:31:738,690022,689968,54,0,31512191,0,6768 102,3,2024-09-07 09:42:31:625,1,545,20,0,466,6305,545,0 103,0,2024-09-07 09:42:31:597,143438,0.5,143385,0.7,270254,0.5,372178,2.00 103,1,2024-09-07 09:42:31:625,953473,953473,0,0,448054725486,4729816717,938472,11535,3466,381,392077,0 103,2,2024-09-07 09:42:30:601,688182,688182,0,0,33482805,0,3766 103,3,2024-09-07 09:42:30:760,1,545,43,0,916,6867,545,0 104,0,2024-09-07 09:42:31:012,138758,0.7,138886,0.9,277199,0.7,371057,2.25 104,1,2024-09-07 09:42:31:599,956151,956151,0,0,448951530724,4713168386,942715,10874,2562,365,392168,0 104,2,2024-09-07 09:42:31:676,684646,684646,0,0,31819299,0,3941 104,3,2024-09-07 09:42:31:434,1,545,13,0,1245,9331,545,0 105,0,2024-09-07 09:42:31:024,133876,0.9,130447,1.1,273465,1.0,358960,2.75 105,1,2024-09-07 09:42:30:554,957093,957093,0,0,449878147680,4709795203,945350,9974,1769,364,392009,0 105,2,2024-09-07 09:42:31:329,688936,688936,0,0,32500365,0,4360 105,3,2024-09-07 09:42:31:308,1,545,467,0,467,7982,545,0 106,0,2024-09-07 09:42:30:993,127931,0.7,131000,0.9,268458,0.7,350476,2.50 106,1,2024-09-07 09:42:31:750,956238,956238,0,0,448542752649,4703468513,943371,11217,1650,368,391914,0 106,2,2024-09-07 09:42:30:756,689161,689161,0,0,30558417,0,2920 106,3,2024-09-07 09:42:30:678,1,545,8,0,1224,8057,545,0 107,0,2024-09-07 09:42:31:124,136676,0.7,136616,0.8,273399,0.8,364488,2.00 107,1,2024-09-07 09:42:30:619,954296,954296,0,0,448176676926,4722129823,941291,11612,1393,381,392234,0 107,2,2024-09-07 09:42:31:292,686709,686708,1,0,33224140,0,5024 107,3,2024-09-07 09:42:31:760,1,545,5,0,733,8029,545,0 108,0,2024-09-07 09:42:31:794,139305,0.4,139908,0.6,278710,0.4,371463,1.75 108,1,2024-09-07 09:42:31:295,956825,956825,0,0,449606071218,4686870732,948899,6952,974,367,391894,0 108,2,2024-09-07 09:42:31:762,687682,687682,0,0,29553667,0,4246 108,3,2024-09-07 09:42:31:336,1,545,1,0,749,10498,545,0 109,0,2024-09-07 09:42:31:838,138753,0.4,137582,0.6,275872,0.4,368179,1.75 109,1,2024-09-07 09:42:30:601,954478,954478,0,0,449361270817,4710874727,946158,7103,1217,382,392132,0 109,2,2024-09-07 09:42:30:953,688357,688357,0,0,29657937,0,3617 109,3,2024-09-07 09:42:31:144,1,545,91,0,630,6770,545,0 110,0,2024-09-07 09:42:31:779,132586,0.4,128969,0.6,270027,0.3,353676,1.75 110,1,2024-09-07 09:42:31:661,958577,958577,0,0,451221146324,4682771586,951039,5614,1924,368,392045,0 110,2,2024-09-07 09:42:31:306,690425,690425,0,0,27980995,0,4067 110,3,2024-09-07 09:42:30:733,1,545,14,0,722,7424,545,0 111,0,2024-09-07 09:42:31:419,135320,0.3,134495,0.5,269404,0.2,359521,1.75 111,1,2024-09-07 09:42:30:999,959897,959897,0,0,451751736682,4681062292,955332,4208,357,380,391690,0 111,2,2024-09-07 09:42:31:116,689312,689312,0,0,28477906,0,4823 111,3,2024-09-07 09:42:30:913,1,545,1,0,379,6063,545,0 112,0,2024-09-07 09:42:30:911,141235,0.3,140642,0.4,282087,0.2,374087,1.50 112,1,2024-09-07 09:42:30:824,958459,958459,0,0,450242462970,4675004014,951938,5436,1085,380,391624,0 112,2,2024-09-07 09:42:31:133,689657,689656,1,0,27071296,0,5036 112,3,2024-09-07 09:42:30:598,1,545,4,0,282,5221,545,0 113,0,2024-09-07 09:42:30:870,139745,0.3,139672,0.5,279736,0.2,372436,1.75 113,1,2024-09-07 09:42:31:685,961053,961053,0,0,451734992011,4660361854,955477,4701,875,365,391664,0 113,2,2024-09-07 09:42:31:309,691998,691998,0,0,24655843,0,3813 113,3,2024-09-07 09:42:30:696,1,545,1,0,510,6145,545,0 114,0,2024-09-07 09:42:30:878,133314,0.3,134162,0.5,266713,0.2,356733,1.75 114,1,2024-09-07 09:42:30:718,958812,958812,0,0,450838287060,4678972128,951898,5298,1616,381,391565,0 114,2,2024-09-07 09:42:30:874,691000,690999,1,0,26814765,0,5069 114,3,2024-09-07 09:42:31:279,1,545,8,0,395,4352,545,0 115,0,2024-09-07 09:42:30:596,134552,0.3,135229,0.4,269395,0.2,358265,1.50 115,1,2024-09-07 09:42:30:571,959172,959172,0,0,450404459276,4677707401,951751,6064,1357,382,391757,0 115,2,2024-09-07 09:42:31:125,692884,692884,0,0,26357759,0,4382 115,3,2024-09-07 09:42:31:002,1,545,1,0,167,3182,545,0 116,0,2024-09-07 09:42:31:723,139047,0.6,138842,0.8,278225,0.5,371647,2.00 116,1,2024-09-07 09:42:30:810,954009,954009,0,0,448587823816,4728510631,942403,8703,2903,380,392089,0 116,2,2024-09-07 09:42:31:752,688996,688996,0,0,33686794,0,4475 116,3,2024-09-07 09:42:30:913,1,545,448,0,448,7127,545,0 117,0,2024-09-07 09:42:30:959,140180,0.7,139527,0.8,279703,0.8,373942,2.00 117,1,2024-09-07 09:42:31:589,955296,955296,0,0,448815886003,4697691558,944696,9139,1461,369,392033,0 117,2,2024-09-07 09:42:31:120,688742,688742,0,0,29537135,0,4303 117,3,2024-09-07 09:42:31:058,1,545,3,0,490,7284,545,0 118,0,2024-09-07 09:42:31:767,130240,0.6,133827,0.7,272946,0.6,356940,2.00 118,1,2024-09-07 09:42:30:601,954319,954319,0,0,448370743723,4714073228,939713,11001,3605,366,392054,0 118,2,2024-09-07 09:42:31:589,689061,689061,0,0,32324852,0,2842 118,3,2024-09-07 09:42:31:764,1,545,8,0,248,5998,545,0 119,0,2024-09-07 09:42:31:330,132795,0.6,133373,0.7,266338,0.6,354984,2.00 119,1,2024-09-07 09:42:30:575,955604,955604,0,0,448794950336,4702057825,943253,10315,2036,367,391857,0 119,2,2024-09-07 09:42:31:266,690501,690501,0,0,30423180,0,4309 119,3,2024-09-07 09:42:31:338,1,545,2,0,1358,10218,545,0 120,0,2024-09-07 09:42:31:591,137040,0.6,136953,0.8,274921,0.6,366464,2.25 120,1,2024-09-07 09:42:30:865,956754,956754,0,0,448887017918,4700912307,947415,8558,781,367,392144,0 120,2,2024-09-07 09:42:30:769,688642,688641,1,0,34718556,0,5281 120,3,2024-09-07 09:42:31:305,1,545,4,0,279,6687,545,0 121,0,2024-09-07 09:42:31:693,139638,1.2,138958,1.1,278472,1.7,371172,2.25 121,1,2024-09-07 09:42:31:661,956387,956387,0,0,448997745171,4690664815,947374,8273,740,366,391840,0 121,2,2024-09-07 09:42:31:125,686507,686507,0,0,31500862,0,4157 121,3,2024-09-07 09:42:30:732,1,545,84,0,330,6467,545,0 122,0,2024-09-07 09:42:31:758,136550,0.8,132811,0.9,278248,1.0,365750,2.25 122,1,2024-09-07 09:42:30:865,955428,955428,0,0,449313792184,4710862131,942629,10908,1891,366,392130,0 122,2,2024-09-07 09:42:31:320,690504,690429,75,0,36002021,0,5989 122,3,2024-09-07 09:42:30:598,1,545,13,0,512,9072,545,0 123,0,2024-09-07 09:42:30:961,132059,0.8,128314,0.8,268728,0.9,351705,2.25 123,1,2024-09-07 09:42:30:558,955600,955600,0,0,448180408198,4710986818,940335,12759,2506,369,392039,0 123,2,2024-09-07 09:42:31:018,687736,687735,1,0,30692330,0,5215 123,3,2024-09-07 09:42:31:135,1,545,9,0,478,6327,545,0 124,0,2024-09-07 09:42:30:941,139269,0.3,139234,0.5,262337,0.3,361717,1.75 124,1,2024-09-07 09:42:31:022,958343,958343,0,0,449624762889,4670821175,951523,5687,1133,365,392178,0 124,2,2024-09-07 09:42:31:012,690640,690587,53,0,27849088,0,6487 124,3,2024-09-07 09:42:30:761,1,545,3,0,490,5573,545,0 125,0,2024-09-07 09:42:31:423,139614,0.4,139470,0.5,280035,0.3,372441,1.75 125,1,2024-09-07 09:42:30:870,956825,956825,0,0,448656824597,4679775179,949840,6001,984,382,391813,0 125,2,2024-09-07 09:42:31:119,691625,691625,0,0,27778669,0,4534 125,3,2024-09-07 09:42:31:127,1,545,22,0,709,6441,545,0 126,0,2024-09-07 09:42:31:440,139636,0.4,143584,0.6,274748,0.4,371651,1.75 126,1,2024-09-07 09:42:30:554,960189,960189,0,0,450550142850,4661553297,955461,4416,312,365,391987,0 126,2,2024-09-07 09:42:30:613,690891,690891,0,0,28646763,0,4539 126,3,2024-09-07 09:42:30:919,1,545,10,0,268,5997,545,0 127,0,2024-09-07 09:42:31:591,132720,0.3,133113,0.5,265743,0.3,353230,1.75 127,1,2024-09-07 09:42:30:569,958196,958196,0,0,449330403088,4672888329,948803,7886,1507,364,392187,0 127,2,2024-09-07 09:42:30:644,689534,689530,4,0,27507448,0,5305 127,3,2024-09-07 09:42:31:267,1,545,49,0,968,5409,545,0 128,0,2024-09-07 09:42:31:536,134729,0.3,134660,0.4,268998,0.2,358059,1.50 128,1,2024-09-07 09:42:31:615,957619,957619,0,0,450801496729,4684057324,950470,6287,862,367,392031,0 128,2,2024-09-07 09:42:31:396,690898,690898,0,0,25754045,0,3171 128,3,2024-09-07 09:42:30:780,1,545,1,0,1082,8694,545,0 129,0,2024-09-07 09:42:30:994,141837,0.3,141197,0.5,283127,0.3,376305,1.50 129,1,2024-09-07 09:42:30:570,954621,954621,0,0,448851663576,4702225071,945225,7394,2002,379,391962,0 129,2,2024-09-07 09:42:30:693,690805,690801,4,0,28116165,0,5335 129,3,2024-09-07 09:42:30:697,1,545,1,0,506,7729,545,0 130,0,2024-09-07 09:42:31:729,140746,0.4,140181,0.6,281248,0.4,374476,1.75 130,1,2024-09-07 09:42:30:586,958619,958619,0,0,449839736977,4670968159,953763,4467,389,381,391825,0 130,2,2024-09-07 09:42:31:125,689303,689303,0,0,27862947,0,4067 130,3,2024-09-07 09:42:31:297,1,545,9,0,960,7492,545,0 131,0,2024-09-07 09:42:31:938,133479,0.4,133989,0.5,268294,0.3,356234,1.75 131,1,2024-09-07 09:42:31:823,958118,958118,0,0,450024111598,4691387876,951218,5708,1192,381,391865,0 131,2,2024-09-07 09:42:30:568,690490,690490,0,0,26019520,0,3979 131,3,2024-09-07 09:42:31:695,1,545,0,0,392,6667,545,0 132,0,2024-09-07 09:42:31:412,133717,0.4,134596,0.6,268069,0.4,356967,2.00 132,1,2024-09-07 09:42:30:593,954014,954014,0,0,448088273185,4713955170,940576,10913,2525,381,392532,0 132,2,2024-09-07 09:42:30:728,690146,690129,17,0,34333437,0,6451 132,3,2024-09-07 09:42:31:694,1,545,1,0,804,8826,545,0 133,0,2024-09-07 09:42:31:528,135346,0.4,138531,0.6,284137,0.3,369886,1.75 133,1,2024-09-07 09:42:30:590,953951,953951,0,0,448685020187,4726571323,941077,11183,1691,383,391914,0 133,2,2024-09-07 09:42:31:087,690454,690404,50,0,34637972,0,6861 133,3,2024-09-07 09:42:31:309,1,545,0,0,528,6885,545,0 134,0,2024-09-07 09:42:30:968,140092,0.5,139950,0.7,280395,0.5,373212,2.00 134,1,2024-09-07 09:42:30:584,955806,955806,0,0,448176481109,4696250806,944119,9540,2147,366,391781,0 134,2,2024-09-07 09:42:31:763,686457,686433,24,0,32242746,0,6207 134,3,2024-09-07 09:42:30:748,1,545,7,0,739,6402,545,0 135,0,2024-09-07 09:42:31:131,131090,0.9,131085,0.9,278300,1.1,358463,2.25 135,1,2024-09-07 09:42:31:586,955408,955408,0,0,448732212759,4715904226,943637,10203,1568,380,391805,0 135,2,2024-09-07 09:42:30:726,690536,690536,0,0,32755198,0,4503 135,3,2024-09-07 09:42:31:002,1,545,15,0,900,5423,545,0 136,0,2024-09-07 09:42:31:644,132917,0.5,133139,0.7,265103,0.5,353653,2.00 136,1,2024-09-07 09:42:31:461,956133,956133,0,0,448643362070,4698852404,945738,9124,1271,381,392135,0 136,2,2024-09-07 09:42:31:133,691320,691305,15,0,32091902,0,6007 136,3,2024-09-07 09:42:31:105,1,545,1,0,637,6415,545,0 137,0,2024-09-07 09:42:30:934,141065,0.5,137490,0.7,269230,0.5,366033,2.00 137,1,2024-09-07 09:42:30:578,954531,954531,0,0,449087753436,4703852244,940607,11216,2708,366,391898,0 137,2,2024-09-07 09:42:31:710,687601,687601,0,0,33373504,0,3185 137,3,2024-09-07 09:42:30:776,1,545,1,0,484,7095,545,0 138,0,2024-09-07 09:42:31:752,138870,0.8,139115,0.9,278792,0.9,370005,2.00 138,1,2024-09-07 09:42:31:692,955686,955686,0,0,449439541948,4709623030,942752,10963,1971,368,391954,0 138,2,2024-09-07 09:42:30:592,687936,687936,0,0,30735029,0,4988 138,3,2024-09-07 09:42:30:615,1,545,18,0,1200,8737,545,0 139,0,2024-09-07 09:42:31:363,137029,1.2,137480,1.0,274954,1.7,366539,2.25 139,1,2024-09-07 09:42:30:583,951236,951236,0,0,447192992147,4738265996,934806,12887,3543,380,392109,0 139,2,2024-09-07 09:42:30:717,686149,686149,0,0,34354232,0,3097 139,3,2024-09-07 09:42:31:665,1,545,3,0,432,6109,545,0 140,0,2024-09-07 09:42:31:599,133234,0.3,132340,0.5,265560,0.2,353883,1.75 140,1,2024-09-07 09:42:31:537,961470,961470,0,0,451949138736,4656128103,956885,4047,538,364,391606,0 140,2,2024-09-07 09:42:30:693,691028,691027,1,0,26093040,0,5036 140,3,2024-09-07 09:42:30:771,1,545,1,0,297,4929,545,0 141,0,2024-09-07 09:42:31:700,135045,0.3,138673,0.4,264887,0.2,359317,1.50 141,1,2024-09-07 09:42:30:871,959375,959375,0,0,451669940938,4688817818,952054,6224,1097,379,391614,0 141,2,2024-09-07 09:42:31:687,689642,689631,11,0,27682656,0,5369 141,3,2024-09-07 09:42:31:051,1,545,2,0,391,6253,545,0 142,0,2024-09-07 09:42:31:336,141376,0.3,140375,0.5,281232,0.3,374894,1.50 142,1,2024-09-07 09:42:30:592,957505,957505,0,0,449892767181,4685857943,951831,5214,460,382,392102,0 142,2,2024-09-07 09:42:31:307,688706,688674,32,0,28284918,0,6028 142,3,2024-09-07 09:42:31:748,1,545,20,0,484,5685,545,0 143,0,2024-09-07 09:42:31:386,139562,0.4,139581,0.6,280032,0.4,372301,1.75 143,1,2024-09-07 09:42:30:558,959647,959647,0,0,450715090934,4673831929,953549,5539,559,367,391722,0 143,2,2024-09-07 09:42:30:775,690547,690547,0,0,27672132,0,3123 143,3,2024-09-07 09:42:31:141,1,545,11,0,462,6625,545,0 144,0,2024-09-07 09:42:31:506,128879,0.6,132614,0.8,269767,0.6,353518,2.00 144,1,2024-09-07 09:42:30:565,955013,955013,0,0,448605826091,4704696763,945588,7457,1968,381,391733,0 144,2,2024-09-07 09:42:31:755,690398,690398,0,0,26961246,0,3673 144,3,2024-09-07 09:42:31:742,1,545,1,0,249,5324,545,0 145,0,2024-09-07 09:42:31:373,129951,0.5,129870,0.7,275698,0.5,355071,2.25 145,1,2024-09-07 09:42:30:554,954379,954379,0,0,448419618771,4719619121,941164,10555,2660,382,391759,0 145,2,2024-09-07 09:42:31:440,687872,687790,82,0,33224748,0,7814 145,3,2024-09-07 09:42:30:896,1,545,83,0,622,7592,545,0 146,0,2024-09-07 09:42:31:611,139314,0.5,138812,0.7,278718,0.5,370240,2.00 146,1,2024-09-07 09:42:31:591,955230,955230,0,0,448463715374,4714522678,939586,11479,4165,367,391770,0 146,2,2024-09-07 09:42:31:696,688537,688531,6,0,31021787,0,5151 146,3,2024-09-07 09:42:31:283,1,545,35,0,1520,9222,545,0 147,0,2024-09-07 09:42:31:708,140113,0.6,139835,0.7,279397,0.6,373284,2.25 147,1,2024-09-07 09:42:31:388,958866,958866,0,0,450407208871,4676524780,951571,6415,880,367,391791,0 147,2,2024-09-07 09:42:31:020,686993,686993,0,0,27367715,0,2968 147,3,2024-09-07 09:42:30:913,1,545,1,0,1626,8624,545,0 0,0,2024-09-07 09:42:41:778,134291,0.5,134169,0.7,284882,0.5,368441,2.00 0,1,2024-09-07 09:42:40:803,957711,957711,0,0,449587182114,4704943078,950137,6731,843,369,391896,0 0,2,2024-09-07 09:42:41:085,692401,692401,0,0,27966659,0,4480 0,3,2024-09-07 09:42:40:981,1,546,2,0,431,7784,546,0 1,0,2024-09-07 09:42:41:836,139572,0.9,138606,0.9,278715,1.1,372141,2.00 1,1,2024-09-07 09:42:40:564,956381,956381,0,0,448425404897,4696738719,947614,7295,1472,370,391859,0 1,2,2024-09-07 09:42:40:655,688689,688689,0,0,26969461,0,3380 1,3,2024-09-07 09:42:41:344,1,546,7,0,269,6663,546,0 2,0,2024-09-07 09:42:41:565,136908,0.6,136751,0.8,273308,0.6,364431,2.00 2,1,2024-09-07 09:42:40:859,959902,959902,0,0,451328285485,4689033752,954736,4236,930,379,391745,0 2,2,2024-09-07 09:42:41:266,692143,692143,0,0,25896111,0,3594 2,3,2024-09-07 09:42:40:695,1,546,8,0,357,4827,546,0 3,0,2024-09-07 09:42:41:765,132415,0.4,132359,0.6,264054,0.3,351972,2.00 3,1,2024-09-07 09:42:41:631,958877,958877,0,0,450133827771,4683799192,951357,6817,703,379,391716,0 3,2,2024-09-07 09:42:41:146,692202,692179,23,0,27006132,0,5851 3,3,2024-09-07 09:42:41:755,1,546,1,0,275,3583,546,0 4,0,2024-09-07 09:42:41:812,131741,0.3,135430,0.5,276033,0.3,361439,1.75 4,1,2024-09-07 09:42:40:611,954970,954970,0,0,447615590778,4741384418,937812,13452,3706,370,391992,0 4,2,2024-09-07 09:42:41:027,687444,687444,0,0,33346720,0,4534 4,3,2024-09-07 09:42:41:047,1,546,0,0,448,6921,546,0 5,0,2024-09-07 09:42:41:464,139931,0.4,140084,0.5,280161,0.4,372154,1.75 5,1,2024-09-07 09:42:40:762,956009,956009,0,0,449844853604,4735853884,942386,10886,2737,367,392005,0 5,2,2024-09-07 09:42:41:829,687091,687091,0,0,31988375,0,3582 5,3,2024-09-07 09:42:41:734,1,546,9,0,457,7279,546,0 6,0,2024-09-07 09:42:40:917,139592,0.5,139236,0.7,278773,0.4,371067,2.00 6,1,2024-09-07 09:42:40:750,958114,958114,0,0,449926661488,4698563932,948148,8505,1461,379,391702,0 6,2,2024-09-07 09:42:41:117,691233,691215,18,0,31413563,0,5535 6,3,2024-09-07 09:42:41:283,1,546,8,0,710,6779,546,0 7,0,2024-09-07 09:42:41:537,132099,0.5,132981,0.7,264710,0.5,352567,2.00 7,1,2024-09-07 09:42:40:857,956726,956726,0,0,449760753225,4724153508,942856,11466,2404,382,391747,0 7,2,2024-09-07 09:42:40:777,690490,690490,0,0,30121423,0,4791 7,3,2024-09-07 09:42:40:851,1,546,0,0,552,6253,546,0 8,0,2024-09-07 09:42:41:557,134791,0.3,134295,0.5,269461,0.3,359031,1.75 8,1,2024-09-07 09:42:41:033,954733,954733,0,0,449702437217,4724621769,940013,11549,3171,366,392853,0 8,2,2024-09-07 09:42:40:793,686051,686049,2,0,35658517,0,5112 8,3,2024-09-07 09:42:40:589,1,546,12,0,538,7928,546,0 9,0,2024-09-07 09:42:41:205,140734,0.4,136928,0.5,286999,0.3,376089,1.75 9,1,2024-09-07 09:42:40:565,955113,955113,0,0,448781853981,4724585144,941255,11194,2664,369,392001,0 9,2,2024-09-07 09:42:41:096,689569,689568,1,0,32724053,0,5281 9,3,2024-09-07 09:42:41:753,1,546,0,0,1273,9033,546,0 10,0,2024-09-07 09:42:41:617,140045,0.4,139591,0.5,280014,0.3,373452,1.75 10,1,2024-09-07 09:42:40:587,957337,957337,0,0,449486805539,4707158258,944533,10617,2187,381,391869,0 10,2,2024-09-07 09:42:40:763,690206,690206,0,0,35066007,0,4264 10,3,2024-09-07 09:42:40:877,1,546,7,0,649,5603,546,0 11,0,2024-09-07 09:42:41:008,133443,0.5,129431,0.6,270652,0.4,356770,1.75 11,1,2024-09-07 09:42:40:576,958724,958724,0,0,450132537897,4719229411,944600,10363,3761,383,391766,0 11,2,2024-09-07 09:42:41:134,690317,690317,0,0,30987768,0,4130 11,3,2024-09-07 09:42:41:313,1,546,94,0,843,7338,546,0 12,0,2024-09-07 09:42:40:989,134717,0.3,134784,0.5,269529,0.3,358430,1.75 12,1,2024-09-07 09:42:40:934,958219,958219,0,0,449304403798,4684810560,950513,6820,886,370,391960,0 12,2,2024-09-07 09:42:41:560,690896,690896,0,0,30565609,0,4390 12,3,2024-09-07 09:42:41:090,1,546,3,0,386,7160,546,0 13,0,2024-09-07 09:42:41:344,140003,0.4,139798,0.5,279015,0.3,371934,1.75 13,1,2024-09-07 09:42:41:565,956051,956051,0,0,449167415768,4718206075,946353,7400,2298,382,391740,0 13,2,2024-09-07 09:42:40:604,692388,692388,0,0,27429392,0,3287 13,3,2024-09-07 09:42:41:779,1,546,12,0,522,7459,546,0 14,0,2024-09-07 09:42:40:564,140120,0.4,141125,0.6,279990,0.4,373182,1.75 14,1,2024-09-07 09:42:41:607,962610,962610,0,0,451780128153,4671410484,955671,6211,728,364,391673,0 14,2,2024-09-07 09:42:40:772,688948,688918,30,0,28664489,0,6104 14,3,2024-09-07 09:42:41:119,1,546,8,0,1168,6134,546,0 15,0,2024-09-07 09:42:41:561,135168,0.4,134562,0.6,270015,0.4,359694,2.00 15,1,2024-09-07 09:42:41:637,959882,959882,0,0,449981338918,4683137989,952140,6256,1486,381,391619,0 15,2,2024-09-07 09:42:41:018,693992,693992,0,0,24408599,0,3622 15,3,2024-09-07 09:42:41:430,1,546,0,0,1126,7417,546,0 16,0,2024-09-07 09:42:40:935,132525,0.5,133239,0.7,265920,0.5,353947,2.00 16,1,2024-09-07 09:42:40:573,959682,959682,0,0,450300994951,4704915255,951244,7136,1302,370,392194,0 16,2,2024-09-07 09:42:41:448,691286,691286,0,0,28764297,0,4719 16,3,2024-09-07 09:42:41:151,1,546,2,0,358,6744,546,0 17,0,2024-09-07 09:42:41:769,141493,0.5,137839,0.7,270232,0.5,366985,1.75 17,1,2024-09-07 09:42:40:621,957597,957597,0,0,449839135748,4716405079,948157,7688,1752,368,392075,0 17,2,2024-09-07 09:42:41:671,693212,693211,1,0,29495758,0,5050 17,3,2024-09-07 09:42:40:620,1,546,13,0,518,8027,546,0 18,0,2024-09-07 09:42:40:940,138194,0.7,139075,0.8,277136,0.7,369806,2.25 18,1,2024-09-07 09:42:41:643,961556,961556,0,0,451085219511,4675931170,955439,5120,997,367,391725,0 18,2,2024-09-07 09:42:41:754,691772,691772,0,0,26148449,0,3541 18,3,2024-09-07 09:42:40:897,1,546,12,0,1059,5038,546,0 19,0,2024-09-07 09:42:41:577,137592,0.6,137916,0.8,274722,0.7,365330,2.25 19,1,2024-09-07 09:42:40:570,961027,961027,0,0,452239713496,4686543524,953998,5944,1085,367,391777,0 19,2,2024-09-07 09:42:41:758,694618,694618,0,0,24540512,0,3988 19,3,2024-09-07 09:42:41:139,1,546,2,0,524,4297,546,0 20,0,2024-09-07 09:42:41:400,132547,0.5,132790,0.7,265105,0.5,353047,2.00 20,1,2024-09-07 09:42:40:586,957150,957150,0,0,450245439337,4716258977,947261,8420,1469,369,391922,0 20,2,2024-09-07 09:42:40:927,690339,690339,0,0,30702469,0,4321 20,3,2024-09-07 09:42:40:599,1,546,1,0,468,8999,546,0 21,0,2024-09-07 09:42:41:144,135102,0.4,135255,0.6,270393,0.4,359300,1.75 21,1,2024-09-07 09:42:41:545,955647,955647,0,0,448446032655,4728675296,941426,11099,3122,368,392016,0 21,2,2024-09-07 09:42:41:071,687184,687164,20,0,35100930,0,5617 21,3,2024-09-07 09:42:41:427,1,546,1,0,713,7542,546,0 22,0,2024-09-07 09:42:41:732,140491,0.5,141003,0.7,281414,0.4,373076,2.00 22,1,2024-09-07 09:42:41:037,956444,956444,0,0,448949349227,4725735965,940224,12882,3338,382,391822,0 22,2,2024-09-07 09:42:40:773,688966,688940,26,0,29493385,0,6328 22,3,2024-09-07 09:42:41:071,1,546,9,0,228,4548,546,0 23,0,2024-09-07 09:42:41:406,139598,0.5,139175,0.7,278368,0.5,371309,2.25 23,1,2024-09-07 09:42:41:008,957554,957554,0,0,450502063848,4729276056,940791,11914,4849,365,391690,0 23,2,2024-09-07 09:42:41:110,691714,691714,0,0,28090172,0,3773 23,3,2024-09-07 09:42:41:753,1,546,9,0,855,8420,546,0 24,0,2024-09-07 09:42:40:882,134110,0.4,133394,0.6,268274,0.4,356235,1.75 24,1,2024-09-07 09:42:40:603,956984,956984,0,0,448807026763,4696134309,947950,7356,1678,367,392269,0 24,2,2024-09-07 09:42:41:076,690532,690532,0,0,33263660,0,4438 24,3,2024-09-07 09:42:41:697,1,546,1,0,468,6813,546,0 25,0,2024-09-07 09:42:41:346,138158,0.4,134674,0.6,263938,0.4,359702,1.75 25,1,2024-09-07 09:42:40:572,955306,955306,0,0,448776078400,4730989070,940046,12273,2987,369,391928,0 25,2,2024-09-07 09:42:41:628,689220,689220,0,0,34799169,0,3978 25,3,2024-09-07 09:42:41:011,1,546,34,0,532,6007,546,0 26,0,2024-09-07 09:42:41:728,139219,0.4,136324,0.6,285838,0.3,372240,1.75 26,1,2024-09-07 09:42:41:541,959262,959262,0,0,450275966580,4711165197,946225,10585,2452,380,391748,0 26,2,2024-09-07 09:42:40:862,690740,690740,0,0,36650403,0,4689 26,3,2024-09-07 09:42:41:733,1,546,8,0,796,5866,546,0 27,0,2024-09-07 09:42:41:729,140384,0.4,141022,0.6,280085,0.4,373875,2.25 27,1,2024-09-07 09:42:41:685,959334,959334,0,0,451705034446,4702277903,950580,7485,1269,381,391626,0 27,2,2024-09-07 09:42:40:875,685941,685876,65,0,31355778,0,5699 27,3,2024-09-07 09:42:41:047,1,546,0,0,564,4748,546,0 28,0,2024-09-07 09:42:41:402,134823,0.4,134501,0.6,269630,0.3,359120,1.75 28,1,2024-09-07 09:42:40:801,960705,960705,0,0,451545622518,4703973618,952925,6066,1714,382,391698,0 28,2,2024-09-07 09:42:41:766,691976,691976,0,0,27743625,0,2915 28,3,2024-09-07 09:42:41:787,1,546,5,0,502,5391,546,0 29,0,2024-09-07 09:42:41:375,137228,0.3,133635,0.6,262204,0.3,357469,1.75 29,1,2024-09-07 09:42:41:566,962837,962837,0,0,452824168416,4680645991,957276,4799,762,367,391809,0 29,2,2024-09-07 09:42:40:862,691090,691090,0,0,27181252,0,4986 29,3,2024-09-07 09:42:40:968,1,546,2,0,459,5868,546,0 30,0,2024-09-07 09:42:41:458,137545,0.4,134032,0.6,280747,0.4,367140,2.00 30,1,2024-09-07 09:42:40:576,961829,961829,0,0,452095285121,4689224608,954847,6080,902,380,391672,0 30,2,2024-09-07 09:42:41:278,691404,691404,0,0,26181796,0,4192 30,3,2024-09-07 09:42:40:589,1,546,13,0,519,5221,546,0 31,0,2024-09-07 09:42:41:767,139371,0.4,139937,0.6,279143,0.4,372497,2.00 31,1,2024-09-07 09:42:40:565,964648,964648,0,0,452691749543,4645255233,959316,4321,1011,356,391712,0 31,2,2024-09-07 09:42:41:313,687918,687918,0,0,28617027,0,4470 31,3,2024-09-07 09:42:41:712,1,546,1,0,239,4756,546,0 32,0,2024-09-07 09:42:41:436,137297,0.3,138071,0.5,275049,0.3,365981,1.75 32,1,2024-09-07 09:42:40:805,961129,961129,0,0,451348331598,4689866264,955314,5106,709,381,391646,0 32,2,2024-09-07 09:42:40:938,693282,693282,0,0,25317113,0,3922 32,3,2024-09-07 09:42:41:021,1,546,0,0,304,4445,546,0 33,0,2024-09-07 09:42:41:503,132997,0.3,132581,0.4,265337,0.2,352993,1.50 33,1,2024-09-07 09:42:40:587,961413,961413,0,0,452340443392,4683053910,954151,6088,1174,368,391730,0 33,2,2024-09-07 09:42:40:767,692866,692831,35,0,28016866,0,7012 33,3,2024-09-07 09:42:40:903,1,546,2,0,329,4974,546,0 34,0,2024-09-07 09:42:40:934,136111,0.3,139806,0.4,267227,0.2,361028,1.75 34,1,2024-09-07 09:42:41:045,963657,963657,0,0,453140936182,4658760511,961141,2494,22,367,391637,0 34,2,2024-09-07 09:42:40:772,691970,691970,0,0,26420660,0,4562 34,3,2024-09-07 09:42:41:698,1,546,8,0,320,4413,546,0 35,0,2024-09-07 09:42:40:856,139113,0.3,139820,0.5,280876,0.2,372793,1.75 35,1,2024-09-07 09:42:41:072,960183,960183,0,0,451402609397,4682943239,952987,5712,1484,382,391769,0 35,2,2024-09-07 09:42:41:623,690170,690170,0,0,28938201,0,4055 35,3,2024-09-07 09:42:40:909,1,546,0,0,466,5426,546,0 36,0,2024-09-07 09:42:41:531,140001,0.5,139813,0.7,279447,0.5,371919,2.00 36,1,2024-09-07 09:42:40:588,959603,959603,0,0,449920309886,4698618558,947047,10331,2225,366,391759,0 36,2,2024-09-07 09:42:41:757,691678,691678,0,0,30770140,0,3875 36,3,2024-09-07 09:42:40:864,1,546,182,0,416,7406,546,0 37,0,2024-09-07 09:42:41:387,132279,0.5,132258,0.7,264851,0.5,353167,2.25 37,1,2024-09-07 09:42:40:573,958721,958714,0,7,449757921018,4695333093,947356,8646,2712,365,391770,0 37,2,2024-09-07 09:42:41:157,688621,688606,15,0,31076058,0,5815 37,3,2024-09-07 09:42:41:765,1,546,1,0,888,7456,546,0 38,0,2024-09-07 09:42:41:443,133924,0.4,129924,0.6,271671,0.3,356348,2.00 38,1,2024-09-07 09:42:41:605,959484,959484,0,0,450606784489,4708214681,946121,10844,2519,368,391821,0 38,2,2024-09-07 09:42:40:760,690094,690047,47,0,31127563,0,6710 38,3,2024-09-07 09:42:41:000,1,546,10,0,689,6795,546,0 39,0,2024-09-07 09:42:41:765,143906,0.5,140731,0.7,274447,0.5,374720,2.00 39,1,2024-09-07 09:42:40:722,957574,957574,0,0,449926620016,4720230390,940979,12900,3695,365,391865,0 39,2,2024-09-07 09:42:41:443,691227,691227,0,0,28793371,0,3391 39,3,2024-09-07 09:42:40:721,1,546,9,0,525,6492,546,0 40,0,2024-09-07 09:42:41:502,138918,0.9,139530,1.0,278400,1.0,371370,2.75 40,1,2024-09-07 09:42:40:600,959574,959574,0,0,449383953792,4698112445,947040,10323,2211,368,391668,0 40,2,2024-09-07 09:42:41:306,688253,688252,1,0,33951337,0,5137 40,3,2024-09-07 09:42:41:151,1,546,1,0,1028,7929,546,0 41,0,2024-09-07 09:42:41:094,132848,1.2,135874,1.1,259109,1.7,352446,3.00 41,1,2024-09-07 09:42:40:774,958452,958452,0,0,449448661248,4700862652,946150,10238,2064,369,391878,0 41,2,2024-09-07 09:42:40:763,688281,688280,1,0,32907383,0,5408 41,3,2024-09-07 09:42:41:698,1,546,34,0,366,5880,546,0 42,0,2024-09-07 09:42:41:476,133319,0.8,133115,0.9,266112,0.8,353254,2.50 42,1,2024-09-07 09:42:41:443,955154,955154,0,0,449335797431,4721299455,939915,12330,2909,380,391675,0 42,2,2024-09-07 09:42:41:139,690462,690462,0,0,32753337,0,3975 42,3,2024-09-07 09:42:41:013,1,546,12,0,892,4802,546,0 43,0,2024-09-07 09:42:40:921,137983,0.6,134323,0.9,281435,0.6,368922,2.25 43,1,2024-09-07 09:42:40:579,958651,958651,0,0,450716012810,4705978840,945604,10750,2297,366,391696,0 43,2,2024-09-07 09:42:41:755,691408,691408,0,0,31526252,0,4723 43,3,2024-09-07 09:42:41:760,1,546,4,0,571,7911,546,0 44,0,2024-09-07 09:42:40:858,140416,0.4,140311,0.6,281224,0.4,373735,1.75 44,1,2024-09-07 09:42:40:565,961647,961647,0,0,451586318027,4663793699,953829,6231,1587,356,391809,0 44,2,2024-09-07 09:42:41:285,686724,686724,0,0,25800805,0,4344 44,3,2024-09-07 09:42:41:108,1,546,11,0,817,5816,546,0 45,0,2024-09-07 09:42:41:774,133723,0.5,130628,0.7,274281,0.5,359907,2.00 45,1,2024-09-07 09:42:41:011,960647,960647,0,0,451931809939,4694612425,953122,6649,876,382,391917,0 45,2,2024-09-07 09:42:41:284,692519,692519,0,0,26383783,0,3596 45,3,2024-09-07 09:42:40:943,1,546,1,0,365,5027,546,0 46,0,2024-09-07 09:42:40:955,132260,0.4,131787,0.7,264196,0.4,351129,2.00 46,1,2024-09-07 09:42:40:580,962036,962036,0,0,452110462065,4669628849,955589,5583,864,366,391709,0 46,2,2024-09-07 09:42:40:607,692681,692681,0,0,26736892,0,4443 46,3,2024-09-07 09:42:41:139,1,546,50,0,908,6492,546,0 47,0,2024-09-07 09:42:41:110,137355,0.4,137432,0.5,275914,0.3,365937,1.75 47,1,2024-09-07 09:42:40:571,963389,963389,0,0,452565248584,4671958218,958099,4451,839,365,391641,0 47,2,2024-09-07 09:42:40:910,693804,693804,0,0,26304395,0,4477 47,3,2024-09-07 09:42:41:124,1,546,0,0,600,6062,546,0 48,0,2024-09-07 09:42:41:509,139849,0.3,139991,0.4,279452,0.2,371602,1.50 48,1,2024-09-07 09:42:41:038,960681,960681,0,0,451523250043,4689773909,954745,5360,576,384,391710,0 48,2,2024-09-07 09:42:40:711,689836,689836,0,0,24223517,0,3411 48,3,2024-09-07 09:42:40:768,1,546,6,0,339,4231,546,0 49,0,2024-09-07 09:42:41:747,141887,0.3,139209,0.5,270652,0.3,369489,1.75 49,1,2024-09-07 09:42:41:021,960010,960010,0,0,451201189530,4687961166,954395,4403,1212,382,391809,0 49,2,2024-09-07 09:42:41:812,693210,693210,0,0,27009257,0,4426 49,3,2024-09-07 09:42:41:421,1,546,1,0,992,6256,546,0 50,0,2024-09-07 09:42:41:508,133424,0.3,131641,0.5,265260,0.2,352687,1.75 50,1,2024-09-07 09:42:41:014,963337,963337,0,0,452259248858,4673975604,957313,5356,668,368,391565,0 50,2,2024-09-07 09:42:41:076,690596,690596,0,0,24658473,0,4490 50,3,2024-09-07 09:42:41:303,1,546,9,0,617,5557,546,0 51,0,2024-09-07 09:42:41:684,138774,0.3,135864,0.4,264396,0.2,360809,1.50 51,1,2024-09-07 09:42:41:695,964241,964241,0,0,453430986110,4673260477,959427,3758,1056,365,391706,0 51,2,2024-09-07 09:42:41:337,690940,690940,0,0,24179229,0,3337 51,3,2024-09-07 09:42:41:047,1,546,1,0,678,3836,546,0 52,0,2024-09-07 09:42:41:423,141040,0.5,140644,0.7,281639,0.5,374014,2.00 52,1,2024-09-07 09:42:40:590,958835,958835,0,0,450004221713,4709710194,945102,11535,2198,368,391805,0 52,2,2024-09-07 09:42:41:755,687129,687091,38,0,32336961,0,6742 52,3,2024-09-07 09:42:40:676,1,546,1,0,1782,6889,546,0 53,0,2024-09-07 09:42:41:748,139249,0.7,134996,0.8,282491,0.8,370637,2.50 53,1,2024-09-07 09:42:40:780,957261,957261,0,0,449849532410,4716906708,941843,11574,3844,367,391968,0 53,2,2024-09-07 09:42:41:313,690814,690813,1,0,29052427,0,5455 53,3,2024-09-07 09:42:40:704,1,546,1,0,308,5192,546,0 54,0,2024-09-07 09:42:41:634,131351,0.6,131657,0.8,262291,0.4,350313,2.25 54,1,2024-09-07 09:42:40:593,959566,959566,0,0,450808259656,4688074435,949336,8596,1634,366,391810,0 54,2,2024-09-07 09:42:40:871,691202,691170,32,0,33128747,0,6397 54,3,2024-09-07 09:42:40:763,1,546,8,0,676,7414,546,0 55,0,2024-09-07 09:42:41:760,129813,0.6,133886,0.8,271408,0.5,353903,2.50 55,1,2024-09-07 09:42:40:773,960771,960771,0,0,450116179877,4681856534,950495,8896,1380,365,391731,0 55,2,2024-09-07 09:42:40:729,689482,689426,56,0,31076520,0,7239 55,3,2024-09-07 09:42:40:683,1,546,1,0,304,5093,546,0 56,0,2024-09-07 09:42:41:636,142840,1.0,134719,1.1,277362,1.3,371661,2.50 56,1,2024-09-07 09:42:40:576,954913,954913,0,0,449224268832,4743217211,939729,12066,3118,381,391867,0 56,2,2024-09-07 09:42:41:306,690121,689999,122,0,32258527,0,7432 56,3,2024-09-07 09:42:41:067,1,546,1,0,705,6366,546,0 57,0,2024-09-07 09:42:40:950,138423,1.6,138431,1.2,276994,2.1,370446,3.25 57,1,2024-09-07 09:42:40:996,956745,956745,0,0,449190962960,4711959324,944035,10382,2328,366,392032,0 57,2,2024-09-07 09:42:41:336,688785,688785,0,0,32969336,0,4317 57,3,2024-09-07 09:42:41:749,1,546,24,0,455,6265,546,0 58,0,2024-09-07 09:42:40:556,132729,0.9,128769,1.0,269420,1.1,352603,2.50 58,1,2024-09-07 09:42:40:580,958419,958416,0,3,450246577421,4715924864,944405,10690,3321,367,391675,3 58,2,2024-09-07 09:42:41:072,691183,691183,0,0,31511426,0,3483 58,3,2024-09-07 09:42:41:070,1,546,8,0,1043,5912,546,0 59,0,2024-09-07 09:42:41:745,132879,0.8,132822,0.9,264871,0.8,351551,2.75 59,1,2024-09-07 09:42:40:804,957240,957240,0,0,449982400127,4724315640,941787,12281,3172,369,391653,0 59,2,2024-09-07 09:42:40:587,691207,691207,0,0,30086746,0,3727 59,3,2024-09-07 09:42:41:751,1,546,1,0,1015,6589,546,0 60,0,2024-09-07 09:42:41:739,138072,0.5,138491,0.6,276708,0.4,368306,1.75 60,1,2024-09-07 09:42:40:786,962053,962053,0,0,451645746219,4685702220,955766,5348,939,370,392031,0 60,2,2024-09-07 09:42:41:144,691393,691393,0,0,28360591,0,3811 60,3,2024-09-07 09:42:41:298,1,546,0,0,409,6422,546,0 61,0,2024-09-07 09:42:41:505,139446,0.7,140056,0.8,278934,0.7,372103,2.00 61,1,2024-09-07 09:42:40:789,958667,958667,0,0,450305023467,4711586779,948735,8350,1582,382,392127,0 61,2,2024-09-07 09:42:41:129,688564,688497,67,0,29137444,0,6411 61,3,2024-09-07 09:42:41:693,1,546,8,0,607,7585,546,0 62,0,2024-09-07 09:42:41:719,137630,0.6,141210,0.8,269603,0.6,365778,2.00 62,1,2024-09-07 09:42:41:140,964054,964048,0,6,453219465169,4668621001,959861,3907,280,365,391975,6 62,2,2024-09-07 09:42:41:648,690538,690537,1,0,28778120,0,5555 62,3,2024-09-07 09:42:41:145,1,546,8,0,482,4346,546,0 63,0,2024-09-07 09:42:41:460,132992,0.4,132722,0.6,266307,0.3,353347,1.75 63,1,2024-09-07 09:42:40:805,961001,960995,0,6,451603893884,4686390862,954809,5346,840,381,391800,6 63,2,2024-09-07 09:42:40:763,692120,692120,0,0,27577773,0,4369 63,3,2024-09-07 09:42:41:738,1,546,279,0,667,5964,546,0 64,0,2024-09-07 09:42:41:512,135401,0.5,135527,0.6,270171,0.4,360161,1.75 64,1,2024-09-07 09:42:40:775,960047,960047,0,0,450721401321,4692109189,951817,6419,1811,370,391794,0 64,2,2024-09-07 09:42:41:152,694942,694923,19,0,26644117,0,6121 64,3,2024-09-07 09:42:41:163,1,546,1,0,651,5622,546,0 65,0,2024-09-07 09:42:41:682,138820,0.6,139126,0.7,277816,0.6,370170,2.00 65,1,2024-09-07 09:42:40:867,958521,958521,0,0,448968942976,4690286369,951761,5962,798,381,391901,0 65,2,2024-09-07 09:42:41:712,689664,689664,0,0,31272061,0,3367 65,3,2024-09-07 09:42:41:694,1,546,1,0,782,6368,546,0 66,0,2024-09-07 09:42:41:761,139118,0.6,138631,0.8,277267,0.5,369024,2.25 66,1,2024-09-07 09:42:41:304,960656,960656,0,0,450721166319,4688944245,954493,5441,722,380,391743,0 66,2,2024-09-07 09:42:41:136,693554,693551,3,0,28388351,0,5455 66,3,2024-09-07 09:42:41:083,1,546,9,0,291,4638,546,0 67,0,2024-09-07 09:42:41:416,132766,0.5,132438,0.7,265602,0.5,353380,2.00 67,1,2024-09-07 09:42:40:773,960601,960600,0,1,450624109176,4688791913,954316,5470,814,380,391787,1 67,2,2024-09-07 09:42:40:585,693457,693442,15,0,27738600,0,6205 67,3,2024-09-07 09:42:41:757,1,546,13,0,595,5826,546,0 68,0,2024-09-07 09:42:40:592,134660,0.5,134516,0.7,267820,0.5,358241,2.00 68,1,2024-09-07 09:42:40:594,956107,956107,0,0,448928011798,4720596711,943293,9358,3456,381,391953,0 68,2,2024-09-07 09:42:41:048,686545,686445,100,0,36310795,0,8578 68,3,2024-09-07 09:42:40:728,1,546,176,0,417,7093,546,0 69,0,2024-09-07 09:42:41:772,140171,0.7,141041,0.8,281137,0.7,373360,2.25 69,1,2024-09-07 09:42:41:029,955771,955771,0,0,449056873435,4730497312,943181,9828,2762,383,391994,0 69,2,2024-09-07 09:42:41:762,688871,688842,29,0,37479157,0,6912 69,3,2024-09-07 09:42:40:769,1,546,8,0,698,8137,546,0 70,0,2024-09-07 09:42:41:541,138557,0.8,138961,1.0,279574,0.7,370398,2.50 70,1,2024-09-07 09:42:40:801,961326,961326,0,0,451571410604,4685757667,953778,6785,763,366,391725,0 70,2,2024-09-07 09:42:41:359,689889,689889,0,0,30902191,0,4323 70,3,2024-09-07 09:42:40:754,1,546,8,0,854,5872,546,0 71,0,2024-09-07 09:42:41:365,132183,1.1,131992,1.1,265002,1.4,353755,2.75 71,1,2024-09-07 09:42:41:608,959019,959019,0,0,449689369293,4693278481,947211,10459,1349,368,391738,0 71,2,2024-09-07 09:42:41:071,690318,690318,0,0,31910790,0,4352 71,3,2024-09-07 09:42:41:757,1,546,0,0,644,6457,546,0 72,0,2024-09-07 09:42:41:030,138310,0.5,135184,0.7,263892,0.5,359005,2.00 72,1,2024-09-07 09:42:41:048,957625,957625,0,0,449740751266,4716189664,943784,11447,2394,369,391819,0 72,2,2024-09-07 09:42:41:757,689862,689862,0,0,33192010,0,3983 72,3,2024-09-07 09:42:41:762,1,546,1,0,564,7637,546,0 73,0,2024-09-07 09:42:41:118,135624,0.4,138926,0.6,284415,0.3,369916,2.00 73,1,2024-09-07 09:42:40:775,959485,959485,0,0,450216162739,4677179213,952299,6321,865,367,391858,0 73,2,2024-09-07 09:42:41:757,691040,691040,0,0,33522301,0,4728 73,3,2024-09-07 09:42:40:983,1,546,57,0,486,6794,546,0 74,0,2024-09-07 09:42:41:329,141110,0.5,144431,0.7,275499,0.4,374102,2.00 74,1,2024-09-07 09:42:40:636,958725,958725,0,0,450423672516,4700630502,948229,8570,1926,381,391762,0 74,2,2024-09-07 09:42:41:006,687671,687671,0,0,29050486,0,4253 74,3,2024-09-07 09:42:41:449,1,546,8,0,522,6430,546,0 75,0,2024-09-07 09:42:41:769,135687,0.6,134822,0.8,270649,0.5,361487,2.25 75,1,2024-09-07 09:42:41:829,959951,959951,0,0,451309015878,4702220003,952008,7079,864,380,391739,0 75,2,2024-09-07 09:42:41:352,690449,690449,0,0,35198939,0,4766 75,3,2024-09-07 09:42:41:070,1,546,1,0,702,6351,546,0 76,0,2024-09-07 09:42:40:588,132118,0.5,131538,0.7,263415,0.5,352781,2.25 76,1,2024-09-07 09:42:40:807,959420,959420,0,0,449976031193,4690954947,952780,5672,968,382,391723,0 76,2,2024-09-07 09:42:41:061,694030,694027,3,0,29346951,0,5265 76,3,2024-09-07 09:42:41:151,1,546,0,0,227,4964,546,0 77,0,2024-09-07 09:42:41:769,136777,0.5,137222,0.7,274601,0.4,364889,2.00 77,1,2024-09-07 09:42:40:837,959494,959494,0,0,451079068386,4701870625,952643,6255,596,381,391869,0 77,2,2024-09-07 09:42:41:313,690624,690624,0,0,28915730,0,3890 77,3,2024-09-07 09:42:41:111,1,546,1,0,401,5784,546,0 78,0,2024-09-07 09:42:41:739,139995,0.4,139404,0.6,279623,0.4,370173,2.00 78,1,2024-09-07 09:42:40:611,959569,959569,0,0,450346896387,4693010694,948693,8349,2527,367,391670,0 78,2,2024-09-07 09:42:41:408,690940,690927,13,0,26783853,0,8313 78,3,2024-09-07 09:42:41:139,1,546,8,0,311,4671,546,0 79,0,2024-09-07 09:42:41:352,133394,0.4,136635,0.6,280016,0.3,364185,2.00 79,1,2024-09-07 09:42:40:574,962252,962252,0,0,451894273359,4678991541,954508,6387,1357,367,391682,0 79,2,2024-09-07 09:42:41:072,692307,692307,0,0,25764327,0,4195 79,3,2024-09-07 09:42:40:751,1,546,9,0,418,6386,546,0 80,0,2024-09-07 09:42:41:085,132484,0.5,136250,0.6,260446,0.5,352283,2.00 80,1,2024-09-07 09:42:41:644,959218,959218,0,0,451408552821,4695940589,953350,5439,429,368,392269,0 80,2,2024-09-07 09:42:41:101,693478,693478,0,0,26502192,0,4433 80,3,2024-09-07 09:42:40:590,1,546,9,0,681,7007,546,0 81,0,2024-09-07 09:42:41:567,135411,0.5,138597,0.7,264262,0.5,359038,2.00 81,1,2024-09-07 09:42:41:671,958265,958265,0,0,449742483073,4698807528,950841,6782,642,382,391885,0 81,2,2024-09-07 09:42:41:130,689783,689720,63,0,29539750,0,5932 81,3,2024-09-07 09:42:41:121,1,546,156,0,719,6255,546,0 82,0,2024-09-07 09:42:41:535,140383,0.4,140527,0.7,281658,0.4,373107,2.00 82,1,2024-09-07 09:42:40:586,961094,961090,0,4,451244430375,4688748389,955987,4282,821,381,391768,4 82,2,2024-09-07 09:42:41:694,692595,692595,0,0,24532048,0,4484 82,3,2024-09-07 09:42:41:755,1,546,17,0,363,5103,546,0 83,0,2024-09-07 09:42:41:530,139791,0.6,139798,0.7,279087,0.6,370794,2.25 83,1,2024-09-07 09:42:40:565,959359,959359,0,0,450266173906,4691228388,952953,5949,457,382,391709,0 83,2,2024-09-07 09:42:40:765,690867,690842,25,0,26579558,0,5612 83,3,2024-09-07 09:42:40:751,1,546,109,0,1260,6713,546,0 84,0,2024-09-07 09:42:41:799,132101,0.7,132393,0.9,264309,0.6,353567,2.25 84,1,2024-09-07 09:42:41:049,958175,958175,0,0,449543803215,4700803885,947030,9492,1653,367,391967,0 84,2,2024-09-07 09:42:40:579,690232,690202,30,0,35275601,0,5971 84,3,2024-09-07 09:42:41:143,1,546,28,0,908,7563,546,0 85,0,2024-09-07 09:42:41:023,129307,0.6,129325,0.8,274413,0.5,355188,2.25 85,1,2024-09-07 09:42:40:602,954719,954719,0,0,449379590639,4746048178,939552,12421,2746,381,392092,0 85,2,2024-09-07 09:42:40:880,689746,689746,0,0,33025565,0,4255 85,3,2024-09-07 09:42:40:691,1,546,0,0,789,6173,546,0 86,0,2024-09-07 09:42:40:902,139799,0.6,143692,0.7,274648,0.6,371973,2.25 86,1,2024-09-07 09:42:40:832,957031,957031,0,0,450272611154,4722514319,944171,10205,2655,366,391995,0 86,2,2024-09-07 09:42:40:858,689909,689908,1,0,35205389,0,5004 86,3,2024-09-07 09:42:40:587,1,546,9,0,308,7846,546,0 87,0,2024-09-07 09:42:41:319,140194,0.8,139956,0.9,279926,1.0,374141,2.25 87,1,2024-09-07 09:42:40:558,956670,956670,0,0,449620398200,4709024818,944447,10507,1716,366,392076,0 87,2,2024-09-07 09:42:41:076,687878,687872,6,0,31081872,0,6323 87,3,2024-09-07 09:42:41:800,1,546,1,0,473,8202,546,0 88,0,2024-09-07 09:42:41:458,134445,0.5,134960,0.6,269686,0.4,358971,1.75 88,1,2024-09-07 09:42:40:571,956267,956267,0,0,449651406220,4707445094,943403,10235,2629,365,392084,0 88,2,2024-09-07 09:42:40:703,690946,690946,0,0,34007621,0,4465 88,3,2024-09-07 09:42:41:312,1,546,0,0,435,7117,546,0 89,0,2024-09-07 09:42:41:854,137362,0.4,133169,0.6,263102,0.4,357526,1.75 89,1,2024-09-07 09:42:40:561,956334,956334,0,0,448781568866,4719294689,944666,9827,1841,382,391866,0 89,2,2024-09-07 09:42:41:141,690644,690644,0,0,32129654,0,3173 89,3,2024-09-07 09:42:41:813,1,546,3,0,468,8934,546,0 90,0,2024-09-07 09:42:41:663,134167,0.4,137780,0.6,280861,0.4,366911,1.75 90,1,2024-09-07 09:42:40:590,957628,957628,0,0,449454341257,4704273013,948702,8144,782,380,391825,0 90,2,2024-09-07 09:42:41:448,688682,688682,0,0,34327561,0,3608 90,3,2024-09-07 09:42:40:932,1,546,14,0,322,6590,546,0 91,0,2024-09-07 09:42:40:930,140063,0.5,135775,0.6,283940,0.4,372687,1.75 91,1,2024-09-07 09:42:40:571,955622,955622,0,0,449218580551,4722988817,943302,10098,2222,381,392047,0 91,2,2024-09-07 09:42:41:345,688624,688624,0,0,30052928,0,4713 91,3,2024-09-07 09:42:40:602,1,546,3,0,216,5071,546,0 92,0,2024-09-07 09:42:41:452,138753,0.5,141777,0.6,270398,0.5,366099,1.75 92,1,2024-09-07 09:42:40:587,959038,959038,0,0,450515972426,4703475015,951509,6320,1209,381,392136,0 92,2,2024-09-07 09:42:41:353,692279,692279,0,0,27109446,0,3259 92,3,2024-09-07 09:42:41:013,1,546,7,0,167,4704,546,0 93,0,2024-09-07 09:42:40:959,133290,0.4,136645,0.6,260933,0.3,353341,1.75 93,1,2024-09-07 09:42:40:806,959198,959198,0,0,449956793659,4694321141,948875,8589,1734,366,391776,0 93,2,2024-09-07 09:42:40:928,691741,691741,0,0,32941839,0,4913 93,3,2024-09-07 09:42:41:415,1,546,1,0,190,4839,546,0 94,0,2024-09-07 09:42:41:836,135304,0.3,136147,0.5,272104,0.3,361902,1.75 94,1,2024-09-07 09:42:40:571,959745,959745,0,0,450910024633,4700525414,953377,5944,424,381,391850,0 94,2,2024-09-07 09:42:40:763,690700,690672,28,0,28094394,0,6179 94,3,2024-09-07 09:42:41:693,1,546,13,0,576,6781,546,0 95,0,2024-09-07 09:42:41:353,139905,0.3,139914,0.5,280020,0.3,372777,1.75 95,1,2024-09-07 09:42:40:851,960998,960998,0,0,451244194493,4686613570,953605,6734,659,365,391852,0 95,2,2024-09-07 09:42:41:026,689527,689527,0,0,26991332,0,3308 95,3,2024-09-07 09:42:41:720,1,546,1,0,718,8235,546,0 96,0,2024-09-07 09:42:41:031,139596,0.4,139814,0.5,279535,0.3,371298,1.75 96,1,2024-09-07 09:42:41:597,958639,958639,0,0,450433918375,4695484600,952201,5523,915,384,391964,0 96,2,2024-09-07 09:42:41:309,691909,691909,0,0,27923969,0,4180 96,3,2024-09-07 09:42:41:150,1,546,0,0,411,5778,546,0 97,0,2024-09-07 09:42:41:324,132685,0.3,132654,0.5,266392,0.3,353499,1.50 97,1,2024-09-07 09:42:40:774,961090,961090,0,0,451010837794,4675852939,955283,4862,945,367,392140,0 97,2,2024-09-07 09:42:40:607,692571,692571,0,0,27536812,0,3679 97,3,2024-09-07 09:42:40:577,1,546,1,0,242,6117,546,0 98,0,2024-09-07 09:42:41:709,134119,0.3,134251,0.4,269509,0.2,358433,1.50 98,1,2024-09-07 09:42:40:571,960068,960068,0,0,450108620836,4684882998,954580,4686,802,381,391997,0 98,2,2024-09-07 09:42:40:780,691170,691170,0,0,27035980,0,4336 98,3,2024-09-07 09:42:40:705,1,546,7,0,840,8408,546,0 99,0,2024-09-07 09:42:41:449,141070,0.3,141656,0.5,281902,0.3,376264,1.75 99,1,2024-09-07 09:42:41:785,960393,960393,0,0,450225846344,4684408886,954363,5101,929,380,392069,0 99,2,2024-09-07 09:42:41:427,691731,691731,0,0,32712064,0,4276 99,3,2024-09-07 09:42:40:590,1,546,9,0,606,5359,546,0 100,0,2024-09-07 09:42:41:471,139784,0.8,140105,0.9,279899,0.9,373868,2.50 100,1,2024-09-07 09:42:40:555,954838,954838,0,0,447648209211,4732575864,939930,11633,3275,378,391989,0 100,2,2024-09-07 09:42:41:829,687541,687530,11,0,31929414,0,5417 100,3,2024-09-07 09:42:41:734,1,546,134,0,627,9714,546,0 101,0,2024-09-07 09:42:41:713,136316,1.1,132847,1.1,260390,1.1,355881,2.25 101,1,2024-09-07 09:42:40:578,956530,956530,0,0,449163814467,4717769447,943913,10215,2402,368,391847,0 101,2,2024-09-07 09:42:41:756,686913,686913,0,0,37169702,0,4871 101,3,2024-09-07 09:42:40:942,1,546,2,0,1250,8588,546,0 102,0,2024-09-07 09:42:40:944,130342,0.6,134306,0.7,272440,0.5,356886,2.00 102,1,2024-09-07 09:42:41:151,956038,956038,0,0,449352937073,4720294503,942917,10970,2151,369,391891,0 102,2,2024-09-07 09:42:41:755,691265,691211,54,0,31561007,0,6768 102,3,2024-09-07 09:42:41:632,1,546,12,0,466,6317,546,0 103,0,2024-09-07 09:42:41:603,143746,0.5,143679,0.7,270835,0.5,372918,2.00 103,1,2024-09-07 09:42:41:643,955053,955053,0,0,448773009194,4737502366,940052,11535,3466,381,392077,0 103,2,2024-09-07 09:42:40:586,689371,689371,0,0,33551224,0,3766 103,3,2024-09-07 09:42:40:760,1,546,1,0,916,6868,546,0 104,0,2024-09-07 09:42:41:007,139059,0.7,139180,0.9,277809,0.7,371895,2.25 104,1,2024-09-07 09:42:41:606,957520,957520,0,0,449647286481,4721158217,943957,10993,2570,365,392168,0 104,2,2024-09-07 09:42:41:674,685667,685667,0,0,31996989,0,3941 104,3,2024-09-07 09:42:41:424,1,546,2,0,1245,9333,546,0 105,0,2024-09-07 09:42:41:063,134029,0.9,130591,1.1,273782,1.0,359423,2.75 105,1,2024-09-07 09:42:40:560,958801,958801,0,0,450746119387,4719299403,946947,10085,1769,364,392009,0 105,2,2024-09-07 09:42:41:359,690352,690352,0,0,32608366,0,4360 105,3,2024-09-07 09:42:41:320,1,546,30,0,467,8012,546,0 106,0,2024-09-07 09:42:40:969,128214,0.7,131275,0.9,269055,0.7,351264,2.50 106,1,2024-09-07 09:42:41:753,957946,957946,0,0,449257953029,4711494887,945002,11294,1650,368,391914,0 106,2,2024-09-07 09:42:40:755,690466,690466,0,0,30789325,0,2920 106,3,2024-09-07 09:42:40:694,1,546,23,0,1224,8080,546,0 107,0,2024-09-07 09:42:41:106,137105,0.7,137052,0.8,274220,0.8,365694,2.00 107,1,2024-09-07 09:42:40:586,956067,956067,0,0,449102804249,4732316716,942991,11683,1393,381,392234,0 107,2,2024-09-07 09:42:41:304,688103,688102,1,0,33471895,0,5024 107,3,2024-09-07 09:42:41:757,1,546,44,0,733,8073,546,0 108,0,2024-09-07 09:42:41:793,139431,0.4,140028,0.6,278932,0.4,371801,1.75 108,1,2024-09-07 09:42:41:342,958630,958630,0,0,450328991345,4694732003,950701,6955,974,367,391894,0 108,2,2024-09-07 09:42:41:754,688333,688333,0,0,29577305,0,4246 108,3,2024-09-07 09:42:41:334,1,546,1,0,749,10499,546,0 109,0,2024-09-07 09:42:41:818,138883,0.4,137701,0.6,276111,0.4,368535,1.75 109,1,2024-09-07 09:42:40:592,956240,956240,0,0,450131870834,4719013266,947919,7104,1217,382,392132,0 109,2,2024-09-07 09:42:40:923,689877,689877,0,0,29717454,0,3617 109,3,2024-09-07 09:42:41:154,1,546,45,0,630,6815,546,0 110,0,2024-09-07 09:42:41:770,132618,0.4,129005,0.6,270117,0.3,353676,1.75 110,1,2024-09-07 09:42:41:650,960352,960352,0,0,452004376433,4690909010,952809,5619,1924,368,392045,0 110,2,2024-09-07 09:42:41:305,692004,692004,0,0,28033836,0,4067 110,3,2024-09-07 09:42:40:699,1,546,9,0,722,7433,546,0 111,0,2024-09-07 09:42:41:415,135661,0.3,134851,0.5,270123,0.2,360432,1.75 111,1,2024-09-07 09:42:41:005,961750,961750,0,0,452809703396,4691898041,957185,4208,357,380,391690,0 111,2,2024-09-07 09:42:41:125,690367,690367,0,0,28511469,0,4823 111,3,2024-09-07 09:42:40:913,1,546,22,0,379,6085,546,0 112,0,2024-09-07 09:42:40:924,141324,0.3,140761,0.4,282296,0.2,374317,1.50 112,1,2024-09-07 09:42:40:830,960195,960195,0,0,451047081471,4683279879,953674,5436,1085,380,391624,0 112,2,2024-09-07 09:42:41:139,690710,690709,1,0,27106525,0,5036 112,3,2024-09-07 09:42:40:604,1,546,1,0,282,5222,546,0 113,0,2024-09-07 09:42:40:876,139986,0.3,139915,0.5,280206,0.2,373033,1.75 113,1,2024-09-07 09:42:41:690,962797,962797,0,0,452627466975,4669442304,957220,4702,875,365,391664,0 113,2,2024-09-07 09:42:41:306,693548,693548,0,0,24737675,0,3813 113,3,2024-09-07 09:42:40:688,1,546,0,0,510,6145,546,0 114,0,2024-09-07 09:42:40:874,133640,0.3,134465,0.5,267356,0.2,357604,1.75 114,1,2024-09-07 09:42:40:722,960538,960538,0,0,451807352083,4688907928,953624,5298,1616,381,391565,0 114,2,2024-09-07 09:42:40:877,692491,692490,1,0,27019760,0,5069 114,3,2024-09-07 09:42:41:309,1,546,8,0,395,4360,546,0 115,0,2024-09-07 09:42:40:567,134886,0.3,135507,0.4,270037,0.2,358919,1.50 115,1,2024-09-07 09:42:40:576,960890,960890,0,0,451160183596,4685467248,953469,6064,1357,382,391757,0 115,2,2024-09-07 09:42:41:128,693946,693946,0,0,26387048,0,4382 115,3,2024-09-07 09:42:41:006,1,546,0,0,167,3182,546,0 116,0,2024-09-07 09:42:41:752,139475,0.6,139243,0.8,279095,0.5,373339,2.00 116,1,2024-09-07 09:42:40:810,955351,955351,0,0,449305073993,4736147903,943723,8724,2904,380,392089,0 116,2,2024-09-07 09:42:41:760,690256,690256,0,0,33796119,0,4475 116,3,2024-09-07 09:42:40:912,1,546,1,0,448,7128,546,0 117,0,2024-09-07 09:42:40:957,140479,0.7,139858,0.8,280343,0.8,374881,2.00 117,1,2024-09-07 09:42:41:608,957168,957168,0,0,449557851397,4705782564,946538,9169,1461,369,392033,0 117,2,2024-09-07 09:42:41:140,689951,689951,0,0,29647934,0,4303 117,3,2024-09-07 09:42:41:059,1,546,3,0,490,7287,546,0 118,0,2024-09-07 09:42:41:780,130402,0.6,133993,0.7,273292,0.6,357314,2.00 118,1,2024-09-07 09:42:40:587,955916,955916,0,0,449149337841,4722684327,941255,11055,3606,366,392054,0 118,2,2024-09-07 09:42:41:829,690239,690239,0,0,32474408,0,2842 118,3,2024-09-07 09:42:41:774,1,546,8,0,248,6006,546,0 119,0,2024-09-07 09:42:41:343,133046,0.6,133602,0.7,266849,0.6,355652,2.00 119,1,2024-09-07 09:42:40:594,957385,957385,0,0,449771565798,4712247187,945034,10315,2036,367,391857,0 119,2,2024-09-07 09:42:41:263,691959,691959,0,0,30532067,0,4309 119,3,2024-09-07 09:42:41:345,1,546,0,0,1358,10218,546,0 120,0,2024-09-07 09:42:41:555,137456,0.6,137328,0.8,275722,0.6,367571,2.25 120,1,2024-09-07 09:42:40:862,958512,958512,0,0,449825709214,4710993164,949147,8584,781,367,392144,0 120,2,2024-09-07 09:42:40:783,690103,690102,1,0,34927888,0,5281 120,3,2024-09-07 09:42:41:305,1,546,11,0,279,6698,546,0 121,0,2024-09-07 09:42:41:703,139787,1.2,139124,1.1,278796,1.7,371673,2.25 121,1,2024-09-07 09:42:41:667,958319,958319,0,0,449739484480,4699880971,949053,8514,752,366,391840,0 121,2,2024-09-07 09:42:41:134,687212,687212,0,0,31544076,0,4157 121,3,2024-09-07 09:42:40:727,1,546,54,0,330,6521,546,0 122,0,2024-09-07 09:42:41:767,136644,0.8,132922,0.9,278460,1.0,365999,2.25 122,1,2024-09-07 09:42:40:865,957162,957162,0,0,450167608376,4719948125,944361,10910,1891,366,392130,0 122,2,2024-09-07 09:42:41:324,692010,691935,75,0,36140331,0,5989 122,3,2024-09-07 09:42:40:602,1,546,13,0,512,9085,546,0 123,0,2024-09-07 09:42:40:960,132170,0.8,128409,0.8,268943,0.9,352050,2.25 123,1,2024-09-07 09:42:40:662,957414,957414,0,0,449369815877,4723522048,942112,12795,2507,369,392039,0 123,2,2024-09-07 09:42:41:027,689184,689183,1,0,30805518,0,5215 123,3,2024-09-07 09:42:41:139,1,546,1,0,478,6328,546,0 124,0,2024-09-07 09:42:40:929,139745,0.3,139720,0.5,263234,0.3,363097,1.75 124,1,2024-09-07 09:42:41:047,960266,960266,0,0,450477163654,4679963409,953394,5739,1133,365,392178,0 124,2,2024-09-07 09:42:41:018,691849,691796,53,0,27892330,0,6487 124,3,2024-09-07 09:42:40:763,1,546,2,0,490,5575,546,0 125,0,2024-09-07 09:42:41:434,139725,0.4,139579,0.5,280240,0.3,372737,1.75 125,1,2024-09-07 09:42:40:857,958513,958513,0,0,449496027893,4688660738,951490,6039,984,382,391813,0 125,2,2024-09-07 09:42:41:128,692665,692665,0,0,27805823,0,4534 125,3,2024-09-07 09:42:41:163,1,546,2,0,709,6443,546,0 126,0,2024-09-07 09:42:41:432,139736,0.4,143695,0.6,274986,0.4,371898,1.75 126,1,2024-09-07 09:42:40:582,961992,961992,0,0,451194659140,4668226679,957264,4416,312,365,391987,0 126,2,2024-09-07 09:42:40:622,692394,692394,0,0,28735377,0,4539 126,3,2024-09-07 09:42:40:917,1,546,14,0,268,6011,546,0 127,0,2024-09-07 09:42:41:617,132958,0.3,133331,0.5,266180,0.3,353764,1.75 127,1,2024-09-07 09:42:40:574,959888,959888,0,0,450255335782,4682451453,950495,7886,1507,364,392187,0 127,2,2024-09-07 09:42:40:642,690734,690730,4,0,27562284,0,5305 127,3,2024-09-07 09:42:41:309,1,546,1,0,968,5410,546,0 128,0,2024-09-07 09:42:41:562,135115,0.3,135048,0.4,269835,0.2,359200,1.50 128,1,2024-09-07 09:42:41:619,959483,959483,0,0,451754016969,4693962017,952334,6287,862,367,392031,0 128,2,2024-09-07 09:42:41:401,692241,692241,0,0,25784949,0,3171 128,3,2024-09-07 09:42:40:782,1,546,19,0,1082,8713,546,0 129,0,2024-09-07 09:42:40:990,142026,0.3,141404,0.5,283547,0.3,376596,1.50 129,1,2024-09-07 09:42:40:745,956399,956399,0,0,449930909816,4713414712,947003,7394,2002,379,391962,0 129,2,2024-09-07 09:42:40:705,692048,692044,4,0,28144387,0,5335 129,3,2024-09-07 09:42:40:703,1,546,2,0,506,7731,546,0 130,0,2024-09-07 09:42:41:728,141057,0.4,140483,0.6,281842,0.4,375232,1.75 130,1,2024-09-07 09:42:40:595,960425,960425,0,0,450609486819,4678926025,955567,4469,389,381,391825,0 130,2,2024-09-07 09:42:41:141,690657,690657,0,0,27912923,0,4067 130,3,2024-09-07 09:42:41:300,1,546,12,0,960,7504,546,0 131,0,2024-09-07 09:42:41:944,133674,0.4,134198,0.5,268658,0.3,356804,1.75 131,1,2024-09-07 09:42:41:829,959681,959681,0,0,450767751901,4699021889,952781,5708,1192,381,391865,0 131,2,2024-09-07 09:42:40:574,691829,691829,0,0,26063102,0,3979 131,3,2024-09-07 09:42:41:712,1,546,93,0,392,6760,546,0 132,0,2024-09-07 09:42:41:419,134122,0.4,135021,0.6,268867,0.4,358094,2.00 132,1,2024-09-07 09:42:40:579,955797,955797,0,0,449054078992,4724315850,942336,10936,2525,381,392532,0 132,2,2024-09-07 09:42:40:704,691525,691508,17,0,34430638,0,6451 132,3,2024-09-07 09:42:41:706,1,546,1,0,804,8827,546,0 133,0,2024-09-07 09:42:41:526,135638,0.4,138817,0.6,284750,0.3,370611,1.75 133,1,2024-09-07 09:42:40:588,955698,955698,0,0,449384468880,4734265407,942809,11198,1691,383,391914,0 133,2,2024-09-07 09:42:41:086,691708,691658,50,0,34748260,0,6861 133,3,2024-09-07 09:42:41:313,1,546,3,0,528,6888,546,0 134,0,2024-09-07 09:42:40:950,140406,0.5,140287,0.7,281012,0.5,374063,2.00 134,1,2024-09-07 09:42:40:596,957545,957545,0,0,449018647725,4705398627,945787,9611,2147,366,391781,0 134,2,2024-09-07 09:42:41:763,687374,687350,24,0,32285424,0,6207 134,3,2024-09-07 09:42:40:755,1,546,9,0,739,6411,546,0 135,0,2024-09-07 09:42:41:102,131230,0.9,131247,0.9,278618,1.1,358966,2.25 135,1,2024-09-07 09:42:41:601,957188,957188,0,0,449431098989,4723545226,945416,10204,1568,380,391805,0 135,2,2024-09-07 09:42:40:697,691826,691826,0,0,32920715,0,4503 135,3,2024-09-07 09:42:41:006,1,546,4,0,900,5427,546,0 136,0,2024-09-07 09:42:41:654,133185,0.5,133437,0.7,265724,0.5,354560,2.00 136,1,2024-09-07 09:42:41:451,957545,957545,0,0,449718208166,4710352053,947106,9168,1271,381,392135,0 136,2,2024-09-07 09:42:41:140,692778,692763,15,0,32201505,0,6007 136,3,2024-09-07 09:42:41:139,1,546,44,0,637,6459,546,0 137,0,2024-09-07 09:42:40:941,141506,0.5,137941,0.7,270139,0.5,367145,2.00 137,1,2024-09-07 09:42:40:598,956192,956192,0,0,449997558908,4714308423,942078,11338,2776,366,391898,0 137,2,2024-09-07 09:42:41:714,689079,689079,0,0,33523307,0,3185 137,3,2024-09-07 09:42:40:773,1,546,2,0,484,7097,546,0 138,0,2024-09-07 09:42:41:777,138991,0.8,139224,0.9,278999,0.9,370329,2.00 138,1,2024-09-07 09:42:41:691,957254,957254,0,0,450216325167,4718136150,944290,10993,1971,368,391954,0 138,2,2024-09-07 09:42:40:593,688598,688598,0,0,30791128,0,4988 138,3,2024-09-07 09:42:40:614,1,546,25,0,1200,8762,546,0 139,0,2024-09-07 09:42:41:367,137134,1.2,137599,1.0,275208,1.7,366873,2.25 139,1,2024-09-07 09:42:40:576,953145,953145,0,0,448197276601,4749870256,936484,13079,3582,380,392109,0 139,2,2024-09-07 09:42:40:695,687452,687452,0,0,34600638,0,3097 139,3,2024-09-07 09:42:41:684,1,546,3,0,432,6112,546,0 140,0,2024-09-07 09:42:41:836,133273,0.3,132375,0.5,265644,0.2,353883,1.75 140,1,2024-09-07 09:42:41:536,963208,963208,0,0,452700461110,4663949340,958622,4048,538,364,391606,0 140,2,2024-09-07 09:42:40:703,692516,692515,1,0,26138478,0,5036 140,3,2024-09-07 09:42:40:772,1,546,0,0,297,4929,546,0 141,0,2024-09-07 09:42:41:700,135409,0.3,139033,0.4,265641,0.2,360256,1.50 141,1,2024-09-07 09:42:40:863,961209,961209,0,0,452451127853,4697501143,953771,6305,1133,379,391614,0 141,2,2024-09-07 09:42:41:690,690696,690685,11,0,27712640,0,5369 141,3,2024-09-07 09:42:41:047,1,546,0,0,391,6253,546,0 142,0,2024-09-07 09:42:41:321,141472,0.3,140456,0.5,281436,0.3,375150,1.50 142,1,2024-09-07 09:42:40:591,959257,959257,0,0,450704151715,4694353760,953583,5214,460,382,392102,0 142,2,2024-09-07 09:42:41:304,689720,689688,32,0,28304055,0,6028 142,3,2024-09-07 09:42:41:760,1,546,9,0,484,5694,546,0 143,0,2024-09-07 09:42:41:388,139779,0.4,139815,0.6,280567,0.4,372876,1.75 143,1,2024-09-07 09:42:40:557,961586,961586,0,0,451400252984,4681339252,955443,5584,559,367,391722,0 143,2,2024-09-07 09:42:40:782,692017,692017,0,0,27751971,0,3123 143,3,2024-09-07 09:42:41:151,1,546,0,0,462,6625,546,0 144,0,2024-09-07 09:42:41:500,129199,0.6,132925,0.8,270415,0.6,354405,2.00 144,1,2024-09-07 09:42:40:570,956715,956715,0,0,449272832943,4711625100,947290,7457,1968,381,391733,0 144,2,2024-09-07 09:42:41:755,691725,691725,0,0,26998706,0,3673 144,3,2024-09-07 09:42:41:766,1,546,3,0,249,5327,546,0 145,0,2024-09-07 09:42:41:365,130242,0.5,130184,0.7,276328,0.5,355790,2.25 145,1,2024-09-07 09:42:40:562,956107,956107,0,0,449269522436,4728768954,942868,10579,2660,382,391759,0 145,2,2024-09-07 09:42:41:439,688890,688808,82,0,33335671,0,7814 145,3,2024-09-07 09:42:40:896,1,546,1,0,622,7593,546,0 146,0,2024-09-07 09:42:41:609,139722,0.5,139251,0.7,279528,0.5,371553,2.00 146,1,2024-09-07 09:42:41:842,956959,956959,0,0,449192679572,4724798745,940831,11724,4404,367,391770,0 146,2,2024-09-07 09:42:41:695,689874,689868,6,0,31121251,0,5151 146,3,2024-09-07 09:42:41:309,1,546,26,0,1520,9248,546,0 147,0,2024-09-07 09:42:41:702,140412,0.6,140137,0.7,280046,0.6,374115,2.25 147,1,2024-09-07 09:42:41:425,960601,960601,0,0,451468747946,4687389680,953306,6415,880,367,391791,0 147,2,2024-09-07 09:42:41:011,688253,688253,0,0,27411113,0,2968 147,3,2024-09-07 09:42:40:913,1,546,1,0,1626,8625,546,0 0,0,2024-09-07 09:42:51:718,134676,0.5,134589,0.7,285663,0.5,369443,2.00 0,1,2024-09-07 09:42:50:845,959441,959441,0,0,450543079956,4715125457,951850,6748,843,369,391896,0 0,2,2024-09-07 09:42:51:083,693720,693720,0,0,28020130,0,4480 0,3,2024-09-07 09:42:50:999,1,547,13,0,431,7797,547,0 1,0,2024-09-07 09:42:51:770,139722,0.9,138756,0.9,279053,1.1,372587,2.00 1,1,2024-09-07 09:42:50:565,958058,958058,0,0,449451079998,4707323917,949289,7297,1472,370,391859,0 1,2,2024-09-07 09:42:50:676,689468,689468,0,0,26988572,0,3380 1,3,2024-09-07 09:42:51:310,1,547,8,0,269,6671,547,0 2,0,2024-09-07 09:42:51:572,137005,0.6,136827,0.8,273475,0.6,364691,2.00 2,1,2024-09-07 09:42:50:865,961674,961674,0,0,452182090745,4697857157,956508,4236,930,379,391745,0 2,2,2024-09-07 09:42:51:269,693551,693551,0,0,25932763,0,3594 2,3,2024-09-07 09:42:50:707,1,547,12,0,357,4839,547,0 3,0,2024-09-07 09:42:51:743,132518,0.4,132478,0.6,264261,0.3,352318,2.00 3,1,2024-09-07 09:42:51:621,960647,960647,0,0,451124191991,4693912652,953127,6817,703,379,391716,0 3,2,2024-09-07 09:42:51:142,693665,693642,23,0,27039064,0,5851 3,3,2024-09-07 09:42:51:751,1,547,1,0,275,3584,547,0 4,0,2024-09-07 09:42:51:846,132257,0.4,135877,0.5,276996,0.3,362967,1.75 4,1,2024-09-07 09:42:50:623,956652,956652,0,0,448216212873,4748331600,939407,13539,3706,370,391992,0 4,2,2024-09-07 09:42:51:035,688690,688690,0,0,33513410,0,4534 4,3,2024-09-07 09:42:51:029,1,547,1,0,448,6922,547,0 5,0,2024-09-07 09:42:51:499,140047,0.4,140193,0.5,280402,0.4,372456,1.75 5,1,2024-09-07 09:42:50:770,957690,957690,0,0,450528869946,4743197064,944066,10887,2737,367,392005,0 5,2,2024-09-07 09:42:51:829,687841,687841,0,0,32042555,0,3582 5,3,2024-09-07 09:42:51:744,1,547,3,0,457,7282,547,0 6,0,2024-09-07 09:42:50:944,139686,0.5,139348,0.7,278975,0.4,371351,2.00 6,1,2024-09-07 09:42:50:756,959796,959796,0,0,450716393567,4707005175,949785,8550,1461,379,391702,0 6,2,2024-09-07 09:42:51:116,692817,692799,18,0,31586093,0,5535 6,3,2024-09-07 09:42:51:275,1,547,16,0,710,6795,547,0 7,0,2024-09-07 09:42:51:538,132310,0.5,133197,0.7,265150,0.5,353101,2.00 7,1,2024-09-07 09:42:50:864,958510,958510,0,0,450693185278,4733997855,944634,11472,2404,382,391747,0 7,2,2024-09-07 09:42:50:771,691890,691890,0,0,30209801,0,4791 7,3,2024-09-07 09:42:50:863,1,547,2,0,552,6255,547,0 8,0,2024-09-07 09:42:51:387,135170,0.3,134724,0.5,270341,0.3,360294,1.75 8,1,2024-09-07 09:42:51:018,956595,956595,0,0,450570745463,4735424032,941529,11776,3290,366,392853,0 8,2,2024-09-07 09:42:50:800,687135,687133,2,0,35790659,0,5112 8,3,2024-09-07 09:42:50:600,1,547,6,0,538,7934,547,0 9,0,2024-09-07 09:42:51:105,140877,0.4,137113,0.5,287285,0.3,376372,1.75 9,1,2024-09-07 09:42:50:552,957001,957001,0,0,449573288504,4734961451,942685,11547,2769,369,392001,0 9,2,2024-09-07 09:42:51:084,690728,690727,1,0,32790962,0,5281 9,3,2024-09-07 09:42:51:751,1,547,21,0,1273,9054,547,0 10,0,2024-09-07 09:42:51:613,140359,0.4,139906,0.5,280622,0.3,374208,1.75 10,1,2024-09-07 09:42:50:589,958776,958776,0,0,450188080756,4716135197,945650,10782,2344,381,391869,0 10,2,2024-09-07 09:42:50:766,691564,691564,0,0,35202120,0,4264 10,3,2024-09-07 09:42:50:873,1,547,7,0,649,5610,547,0 11,0,2024-09-07 09:42:51:042,133676,0.5,129639,0.6,271117,0.4,357360,1.75 11,1,2024-09-07 09:42:50:587,960545,960545,0,0,450842659572,4726850468,946421,10363,3761,383,391766,0 11,2,2024-09-07 09:42:51:134,691520,691520,0,0,31093168,0,4130 11,3,2024-09-07 09:42:51:311,1,547,9,0,843,7347,547,0 12,0,2024-09-07 09:42:50:983,135181,0.4,135219,0.5,270411,0.3,359700,1.75 12,1,2024-09-07 09:42:50:954,959894,959894,0,0,450169760264,4693882815,952187,6821,886,370,391960,0 12,2,2024-09-07 09:42:51:553,691998,691998,0,0,30651722,0,4390 12,3,2024-09-07 09:42:51:073,1,547,13,0,386,7173,547,0 13,0,2024-09-07 09:42:51:392,140288,0.4,140080,0.5,279619,0.3,372706,1.75 13,1,2024-09-07 09:42:51:532,957862,957862,0,0,450053164226,4727709098,948141,7423,2298,382,391740,0 13,2,2024-09-07 09:42:50:616,693737,693737,0,0,27498242,0,3287 13,3,2024-09-07 09:42:51:766,1,547,12,0,522,7471,547,0 14,0,2024-09-07 09:42:50:562,140464,0.4,141485,0.6,280697,0.4,374105,1.75 14,1,2024-09-07 09:42:51:567,964415,964415,0,0,452258438737,4676590925,957476,6211,728,364,391673,0 14,2,2024-09-07 09:42:50:775,690105,690075,30,0,28767075,0,6104 14,3,2024-09-07 09:42:51:118,1,547,8,0,1168,6142,547,0 15,0,2024-09-07 09:42:51:562,135334,0.4,134733,0.6,270343,0.4,360176,2.00 15,1,2024-09-07 09:42:51:616,961622,961622,0,0,450778200600,4691517993,953876,6260,1486,381,391619,0 15,2,2024-09-07 09:42:51:001,695317,695317,0,0,24483681,0,3622 15,3,2024-09-07 09:42:51:420,1,547,90,0,1126,7507,547,0 16,0,2024-09-07 09:42:50:945,132838,0.5,133586,0.7,266570,0.5,354882,2.00 16,1,2024-09-07 09:42:50:567,961454,961454,0,0,451491332573,4717139461,953013,7138,1303,370,392194,0 16,2,2024-09-07 09:42:51:446,692721,692721,0,0,28836576,0,4719 16,3,2024-09-07 09:42:51:153,1,547,10,0,358,6754,547,0 17,0,2024-09-07 09:42:51:762,141915,0.5,138306,0.7,271096,0.5,368193,1.75 17,1,2024-09-07 09:42:50:587,959358,959358,0,0,450602927836,4724410476,949917,7689,1752,368,392075,0 17,2,2024-09-07 09:42:51:676,694660,694659,1,0,29549509,0,5050 17,3,2024-09-07 09:42:50:575,1,547,1,0,518,8028,547,0 18,0,2024-09-07 09:42:50:958,138309,0.7,139205,0.8,277356,0.7,370127,2.25 18,1,2024-09-07 09:42:51:647,963414,963414,0,0,451928449713,4684592276,957297,5120,997,367,391725,0 18,2,2024-09-07 09:42:51:754,692446,692446,0,0,26165099,0,3541 18,3,2024-09-07 09:42:50:899,1,547,7,0,1059,5045,547,0 19,0,2024-09-07 09:42:51:547,137732,0.6,138056,0.8,274955,0.7,365664,2.25 19,1,2024-09-07 09:42:50:577,962803,962803,0,0,453058151597,4694959143,955774,5944,1085,367,391777,0 19,2,2024-09-07 09:42:51:751,696024,696024,0,0,24671170,0,3988 19,3,2024-09-07 09:42:51:129,1,547,1,0,524,4298,547,0 20,0,2024-09-07 09:42:51:381,132564,0.5,132801,0.7,265139,0.5,353047,2.00 20,1,2024-09-07 09:42:50:587,958840,958840,0,0,451263440737,4728022719,948735,8605,1500,369,391922,0 20,2,2024-09-07 09:42:50:931,691932,691932,0,0,31043184,0,4321 20,3,2024-09-07 09:42:50:589,1,547,25,0,468,9024,547,0 21,0,2024-09-07 09:42:51:143,135480,0.4,135602,0.6,271081,0.4,360219,1.75 21,1,2024-09-07 09:42:51:550,957342,957342,0,0,449254529755,4737553629,943104,11116,3122,368,392016,0 21,2,2024-09-07 09:42:51:084,688348,688328,20,0,35163693,0,5617 21,3,2024-09-07 09:42:51:414,1,547,4,0,713,7546,547,0 22,0,2024-09-07 09:42:51:719,140582,0.5,141077,0.7,281609,0.4,373315,2.00 22,1,2024-09-07 09:42:51:031,958198,958198,0,0,449850382429,4735281324,941977,12883,3338,382,391822,0 22,2,2024-09-07 09:42:50:760,690047,690021,26,0,29550834,0,6328 22,3,2024-09-07 09:42:51:068,1,547,14,0,228,4562,547,0 23,0,2024-09-07 09:42:51:371,139832,0.5,139394,0.7,278823,0.5,371890,2.25 23,1,2024-09-07 09:42:51:008,959379,959379,0,0,451534664062,4740272905,942570,11960,4849,365,391690,0 23,2,2024-09-07 09:42:51:099,693288,693288,0,0,28210839,0,3773 23,3,2024-09-07 09:42:51:754,1,547,1,0,855,8421,547,0 24,0,2024-09-07 09:42:50:833,134432,0.4,133696,0.6,268847,0.4,357153,1.75 24,1,2024-09-07 09:42:50:615,958668,958668,0,0,449739412063,4706091544,949620,7369,1679,367,392269,0 24,2,2024-09-07 09:42:51:073,691807,691807,0,0,33578430,0,4438 24,3,2024-09-07 09:42:51:700,1,547,12,0,468,6825,547,0 25,0,2024-09-07 09:42:51:428,138464,0.4,134962,0.6,264473,0.4,360398,1.75 25,1,2024-09-07 09:42:50:572,956947,956947,0,0,449697301764,4745275245,940941,12528,3478,369,391928,0 25,2,2024-09-07 09:42:51:607,690250,690250,0,0,34917566,0,3978 25,3,2024-09-07 09:42:51:004,1,547,9,0,532,6016,547,0 26,0,2024-09-07 09:42:51:725,139602,0.4,136752,0.6,286484,0.3,373471,1.75 26,1,2024-09-07 09:42:51:550,961074,961074,0,0,450906341040,4718156918,948032,10590,2452,380,391748,0 26,2,2024-09-07 09:42:50:864,691964,691964,0,0,36810268,0,4689 26,3,2024-09-07 09:42:51:712,1,547,19,0,796,5885,547,0 27,0,2024-09-07 09:42:51:729,140707,0.4,141360,0.6,280732,0.4,374731,2.25 27,1,2024-09-07 09:42:51:683,961132,961132,0,0,452455871332,4710678735,952320,7543,1269,381,391626,0 27,2,2024-09-07 09:42:50:867,687301,687236,65,0,31468685,0,5699 27,3,2024-09-07 09:42:51:017,1,547,1,0,564,4749,547,0 28,0,2024-09-07 09:42:51:400,134974,0.4,134656,0.6,269916,0.3,359520,1.75 28,1,2024-09-07 09:42:50:799,962470,962470,0,0,452264653633,4711386064,954690,6066,1714,382,391698,0 28,2,2024-09-07 09:42:51:763,693209,693209,0,0,27789058,0,2915 28,3,2024-09-07 09:42:51:789,1,547,1,0,502,5392,547,0 29,0,2024-09-07 09:42:51:364,137488,0.3,133868,0.6,262652,0.3,358079,1.75 29,1,2024-09-07 09:42:51:567,964729,964729,0,0,453487372110,4687564325,959168,4799,762,367,391809,0 29,2,2024-09-07 09:42:50:865,692662,692662,0,0,27262025,0,4986 29,3,2024-09-07 09:42:50:967,1,547,3,0,459,5871,547,0 30,0,2024-09-07 09:42:51:472,137933,0.4,134412,0.6,281523,0.4,368181,2.00 30,1,2024-09-07 09:42:50:585,963578,963578,0,0,452723125240,4695690460,956596,6080,902,380,391672,0 30,2,2024-09-07 09:42:51:274,692843,692843,0,0,26234552,0,4192 30,3,2024-09-07 09:42:50:589,1,547,12,0,519,5233,547,0 31,0,2024-09-07 09:42:51:758,139538,0.4,140096,0.6,279448,0.4,372939,2.00 31,1,2024-09-07 09:42:50:565,966405,966405,0,0,453722731876,4655760567,961072,4322,1011,356,391712,0 31,2,2024-09-07 09:42:51:285,688674,688674,0,0,28642889,0,4470 31,3,2024-09-07 09:42:51:705,1,547,10,0,239,4766,547,0 32,0,2024-09-07 09:42:51:426,137404,0.3,138154,0.5,275228,0.3,366240,1.75 32,1,2024-09-07 09:42:50:810,962924,962924,0,0,452346143045,4700035092,957109,5106,709,381,391646,0 32,2,2024-09-07 09:42:50:934,694738,694738,0,0,25398743,0,3922 32,3,2024-09-07 09:42:51:017,1,547,1,0,304,4446,547,0 33,0,2024-09-07 09:42:51:497,133104,0.3,132686,0.4,265590,0.2,353341,1.50 33,1,2024-09-07 09:42:50:584,963232,963232,0,0,453176778569,4691654257,955970,6088,1174,368,391730,0 33,2,2024-09-07 09:42:50:770,694370,694335,35,0,28069115,0,7012 33,3,2024-09-07 09:42:50:895,1,547,1,0,329,4975,547,0 34,0,2024-09-07 09:42:50:936,136621,0.3,140313,0.4,268227,0.2,362415,1.75 34,1,2024-09-07 09:42:51:047,965435,965435,0,0,453958550669,4667113730,962919,2494,22,367,391637,0 34,2,2024-09-07 09:42:50:780,693266,693266,0,0,26453801,0,4562 34,3,2024-09-07 09:42:51:695,1,547,8,0,320,4421,547,0 35,0,2024-09-07 09:42:50:863,139208,0.3,139952,0.5,281116,0.2,373090,1.75 35,1,2024-09-07 09:42:51:069,961988,961988,0,0,452343985379,4692694391,954792,5712,1484,382,391769,0 35,2,2024-09-07 09:42:51:590,690934,690934,0,0,28958459,0,4055 35,3,2024-09-07 09:42:50:910,1,547,8,0,466,5434,547,0 36,0,2024-09-07 09:42:51:518,140132,0.5,139912,0.7,279676,0.5,372174,2.00 36,1,2024-09-07 09:42:50:588,961150,961150,0,0,450500771759,4705447980,948421,10502,2227,366,391759,0 36,2,2024-09-07 09:42:51:751,693144,693144,0,0,30870849,0,3875 36,3,2024-09-07 09:42:50:867,1,547,5,0,416,7411,547,0 37,0,2024-09-07 09:42:51:376,132496,0.5,132492,0.7,265266,0.5,353683,2.25 37,1,2024-09-07 09:42:50:577,960355,960348,0,7,450586743134,4704697139,948813,8822,2713,365,391770,0 37,2,2024-09-07 09:42:51:153,690014,689999,15,0,31143693,0,5815 37,3,2024-09-07 09:42:51:768,1,547,0,0,888,7456,547,0 38,0,2024-09-07 09:42:51:449,134335,0.4,130327,0.6,272564,0.3,357506,2.00 38,1,2024-09-07 09:42:51:605,961202,961202,0,0,451380152557,4717312405,947585,11045,2572,368,391821,0 38,2,2024-09-07 09:42:50:760,691084,691037,47,0,31229052,0,6710 38,3,2024-09-07 09:42:50:998,1,547,12,0,689,6807,547,0 39,0,2024-09-07 09:42:51:770,144050,0.5,140881,0.7,274678,0.5,375020,2.00 39,1,2024-09-07 09:42:50:734,959353,959353,0,0,450718566916,4728465277,942758,12900,3695,365,391865,0 39,2,2024-09-07 09:42:51:425,692335,692335,0,0,28823880,0,3391 39,3,2024-09-07 09:42:50:717,1,547,1,0,525,6493,547,0 40,0,2024-09-07 09:42:51:520,139208,0.9,139828,1.0,278974,1.0,372175,2.75 40,1,2024-09-07 09:42:50:584,961414,961414,0,0,450489231650,4710285952,948764,10439,2211,368,391668,0 40,2,2024-09-07 09:42:51:312,689571,689570,1,0,34112054,0,5137 40,3,2024-09-07 09:42:51:154,1,547,93,0,1028,8022,547,0 41,0,2024-09-07 09:42:51:040,133101,1.2,136106,1.1,259502,1.7,352991,3.00 41,1,2024-09-07 09:42:50:768,960181,960181,0,0,450159734007,4708352542,947879,10238,2064,369,391878,0 41,2,2024-09-07 09:42:50:760,689607,689606,1,0,33005614,0,5408 41,3,2024-09-07 09:42:51:680,1,547,1,0,366,5881,547,0 42,0,2024-09-07 09:42:51:483,133691,0.8,133535,0.9,266837,0.8,354358,2.50 42,1,2024-09-07 09:42:51:442,956882,956882,0,0,450374375994,4732379650,941636,12337,2909,380,391675,0 42,2,2024-09-07 09:42:51:132,691592,691592,0,0,32841523,0,3975 42,3,2024-09-07 09:42:51:015,1,547,12,0,892,4814,547,0 43,0,2024-09-07 09:42:50:922,138267,0.6,134588,0.9,282016,0.6,369626,2.25 43,1,2024-09-07 09:42:50:588,960089,960089,0,0,451579017374,4715161822,947012,10780,2297,366,391696,0 43,2,2024-09-07 09:42:51:736,692680,692680,0,0,31678377,0,4723 43,3,2024-09-07 09:42:51:751,1,547,0,0,571,7911,547,0 44,0,2024-09-07 09:42:50:875,140733,0.4,140664,0.6,281927,0.4,374623,1.75 44,1,2024-09-07 09:42:50:575,963368,963368,0,0,452353423237,4671950321,955534,6247,1587,356,391809,0 44,2,2024-09-07 09:42:51:275,687731,687731,0,0,25830730,0,4344 44,3,2024-09-07 09:42:51:093,1,547,82,0,817,5898,547,0 45,0,2024-09-07 09:42:51:770,133911,0.5,130801,0.7,274643,0.5,360394,2.00 45,1,2024-09-07 09:42:51:024,962391,962391,0,0,452663479677,4702137202,954866,6649,876,382,391917,0 45,2,2024-09-07 09:42:51:271,693780,693780,0,0,26436014,0,3596 45,3,2024-09-07 09:42:50:947,1,547,1,0,365,5028,547,0 46,0,2024-09-07 09:42:50:958,132574,0.4,132135,0.7,264855,0.4,352035,2.00 46,1,2024-09-07 09:42:50:588,963838,963838,0,0,453017598469,4678984174,957391,5583,864,366,391709,0 46,2,2024-09-07 09:42:50:600,694031,694031,0,0,26835746,0,4443 46,3,2024-09-07 09:42:51:131,1,547,9,0,908,6501,547,0 47,0,2024-09-07 09:42:51:106,137797,0.4,137884,0.5,276776,0.3,367040,1.75 47,1,2024-09-07 09:42:50:567,965211,965211,0,0,453181583251,4678631148,959906,4465,840,365,391641,0 47,2,2024-09-07 09:42:50:911,695242,695242,0,0,26357000,0,4477 47,3,2024-09-07 09:42:51:121,1,547,1,0,600,6063,547,0 48,0,2024-09-07 09:42:51:500,139957,0.3,140119,0.4,279682,0.2,371916,1.50 48,1,2024-09-07 09:42:51:035,962420,962420,0,0,452129104882,4696067591,956484,5360,576,384,391710,0 48,2,2024-09-07 09:42:50:701,690533,690533,0,0,24247291,0,3411 48,3,2024-09-07 09:42:50:753,1,547,5,0,339,4236,547,0 49,0,2024-09-07 09:42:51:717,142026,0.3,139315,0.5,270906,0.3,369819,1.75 49,1,2024-09-07 09:42:51:021,961823,961823,0,0,451759601470,4694042558,956206,4405,1212,382,391809,0 49,2,2024-09-07 09:42:51:797,694676,694676,0,0,27065045,0,4426 49,3,2024-09-07 09:42:51:426,1,547,114,0,992,6370,547,0 50,0,2024-09-07 09:42:51:520,133438,0.3,131658,0.5,265287,0.2,352687,1.75 50,1,2024-09-07 09:42:51:012,965075,965075,0,0,453204496226,4683624577,959051,5356,668,368,391565,0 50,2,2024-09-07 09:42:51:073,692089,692089,0,0,24707802,0,4490 50,3,2024-09-07 09:42:51:299,1,547,8,0,617,5565,547,0 51,0,2024-09-07 09:42:51:701,139132,0.3,136204,0.4,265067,0.2,361748,1.50 51,1,2024-09-07 09:42:51:681,966046,966046,0,0,454309614558,4682201066,961232,3758,1056,365,391706,0 51,2,2024-09-07 09:42:51:323,692058,692058,0,0,24207485,0,3337 51,3,2024-09-07 09:42:51:030,1,547,0,0,678,3836,547,0 52,0,2024-09-07 09:42:51:418,141114,0.5,140728,0.7,281811,0.5,374243,2.00 52,1,2024-09-07 09:42:50:585,960522,960522,0,0,450976942558,4719896442,946789,11535,2198,368,391805,0 52,2,2024-09-07 09:42:51:753,688085,688047,38,0,32406903,0,6742 52,3,2024-09-07 09:42:50:685,1,547,36,0,1782,6925,547,0 53,0,2024-09-07 09:42:51:795,139465,0.7,135243,0.8,282923,0.8,371239,2.50 53,1,2024-09-07 09:42:50:772,959015,959015,0,0,450561155043,4726882348,943014,12000,4001,367,391968,0 53,2,2024-09-07 09:42:51:304,692371,692370,1,0,29248795,0,5455 53,3,2024-09-07 09:42:50:700,1,547,1,0,308,5193,547,0 54,0,2024-09-07 09:42:51:621,131667,0.6,131960,0.8,262926,0.4,351323,2.25 54,1,2024-09-07 09:42:50:588,961153,961153,0,0,451483760450,4696529362,950551,8942,1660,366,391810,0 54,2,2024-09-07 09:42:50:872,692488,692456,32,0,33240271,0,6397 54,3,2024-09-07 09:42:50:766,1,547,8,0,676,7422,547,0 55,0,2024-09-07 09:42:51:761,130083,0.6,134132,0.8,271973,0.5,354633,2.50 55,1,2024-09-07 09:42:50:768,962475,962475,0,0,450841811285,4689683197,952195,8900,1380,365,391731,0 55,2,2024-09-07 09:42:50:733,690512,690456,56,0,31130859,0,7239 55,3,2024-09-07 09:42:50:682,1,547,0,0,304,5093,547,0 56,0,2024-09-07 09:42:51:599,143247,1.1,135111,1.1,278099,1.4,372734,2.75 56,1,2024-09-07 09:42:50:591,956735,956735,0,0,449871271444,4752196451,941072,12317,3346,381,391867,0 56,2,2024-09-07 09:42:51:315,691326,691204,122,0,32375535,0,7432 56,3,2024-09-07 09:42:51:075,1,547,10,0,705,6376,547,0 57,0,2024-09-07 09:42:50:949,138739,1.6,138754,1.2,277600,2.1,371254,3.25 57,1,2024-09-07 09:42:50:991,958509,958509,0,0,450018175187,4720981875,945781,10400,2328,366,392032,0 57,2,2024-09-07 09:42:51:325,690029,690029,0,0,33093521,0,4317 57,3,2024-09-07 09:42:51:738,1,547,11,0,455,6276,547,0 58,0,2024-09-07 09:42:50:558,132894,0.9,128932,1.0,269742,1.1,353009,2.50 58,1,2024-09-07 09:42:50:594,960122,960119,0,3,451140421819,4725567031,946035,10762,3322,367,391675,3 58,2,2024-09-07 09:42:51:076,692384,692384,0,0,31590113,0,3483 58,3,2024-09-07 09:42:51:068,1,547,8,0,1043,5920,547,0 59,0,2024-09-07 09:42:51:755,133126,0.8,133073,0.9,265370,0.8,352111,2.75 59,1,2024-09-07 09:42:50:812,958734,958734,0,0,450658956999,4731578109,943268,12294,3172,369,391653,0 59,2,2024-09-07 09:42:50:584,692551,692551,0,0,30284879,0,3727 59,3,2024-09-07 09:42:51:748,1,547,193,0,1015,6782,547,0 60,0,2024-09-07 09:42:51:745,138420,0.4,138891,0.6,277472,0.4,369327,1.75 60,1,2024-09-07 09:42:50:773,963806,963806,0,0,452656459902,4696045123,957519,5348,939,370,392031,0 60,2,2024-09-07 09:42:51:151,692864,692864,0,0,28445514,0,3811 60,3,2024-09-07 09:42:51:269,1,547,1,0,409,6423,547,0 61,0,2024-09-07 09:42:51:510,139619,0.7,140251,0.8,279244,0.7,372562,2.00 61,1,2024-09-07 09:42:50:784,960246,960246,0,0,451172173549,4720841675,950285,8379,1582,382,392127,0 61,2,2024-09-07 09:42:51:126,689335,689268,67,0,29170346,0,6411 61,3,2024-09-07 09:42:51:692,1,547,12,0,607,7597,547,0 62,0,2024-09-07 09:42:51:706,137723,0.6,141309,0.8,269792,0.6,366014,2.00 62,1,2024-09-07 09:42:51:113,965705,965699,0,6,454020105326,4677697213,961354,4063,282,365,391975,6 62,2,2024-09-07 09:42:51:647,691981,691980,1,0,28867053,0,5555 62,3,2024-09-07 09:42:51:153,1,547,8,0,482,4354,547,0 63,0,2024-09-07 09:42:51:459,133109,0.4,132835,0.6,266520,0.3,353687,1.75 63,1,2024-09-07 09:42:50:807,962624,962618,0,6,452604926072,4696761377,956431,5347,840,381,391800,6 63,2,2024-09-07 09:42:50:768,693708,693708,0,0,27615873,0,4369 63,3,2024-09-07 09:42:51:735,1,547,1,0,667,5965,547,0 64,0,2024-09-07 09:42:51:607,135896,0.5,135978,0.6,271154,0.4,361556,1.75 64,1,2024-09-07 09:42:50:760,961831,961831,0,0,451486068832,4699951563,953601,6419,1811,370,391794,0 64,2,2024-09-07 09:42:51:150,696342,696323,19,0,26682143,0,6121 64,3,2024-09-07 09:42:51:158,1,547,4,0,651,5626,547,0 65,0,2024-09-07 09:42:51:688,138936,0.6,139233,0.7,278037,0.6,370468,2.00 65,1,2024-09-07 09:42:50:867,960249,960249,0,0,449607083502,4696900803,953489,5962,798,381,391901,0 65,2,2024-09-07 09:42:51:698,690427,690427,0,0,31294735,0,3367 65,3,2024-09-07 09:42:51:700,1,547,1,0,782,6369,547,0 66,0,2024-09-07 09:42:51:775,139239,0.6,138725,0.8,277477,0.5,369297,2.25 66,1,2024-09-07 09:42:51:300,962436,962436,0,0,451576044755,4698130985,956219,5495,722,380,391743,0 66,2,2024-09-07 09:42:51:144,695116,695113,3,0,28430013,0,5455 66,3,2024-09-07 09:42:51:094,1,547,8,0,291,4646,547,0 67,0,2024-09-07 09:42:51:419,132934,0.5,132654,0.7,266017,0.5,353887,2.00 67,1,2024-09-07 09:42:50:833,962381,962380,0,1,451367938112,4696523936,956095,5470,815,380,391787,1 67,2,2024-09-07 09:42:50:594,694927,694912,15,0,27797529,0,6205 67,3,2024-09-07 09:42:51:751,1,547,1,0,595,5827,547,0 68,0,2024-09-07 09:42:50:565,135104,0.5,134918,0.7,268687,0.5,359598,2.00 68,1,2024-09-07 09:42:50:589,957836,957836,0,0,449697818485,4728726815,945020,9360,3456,381,391953,0 68,2,2024-09-07 09:42:51:059,687707,687607,100,0,36357483,0,8578 68,3,2024-09-07 09:42:50:735,1,547,1,0,417,7094,547,0 69,0,2024-09-07 09:42:51:724,140306,0.7,141192,0.8,281403,0.7,373638,2.25 69,1,2024-09-07 09:42:51:022,957471,957471,0,0,449657985116,4737138230,944880,9829,2762,383,391994,0 69,2,2024-09-07 09:42:51:736,689946,689917,29,0,37542247,0,6912 69,3,2024-09-07 09:42:50:771,1,547,1,0,698,8138,547,0 70,0,2024-09-07 09:42:51:535,138850,0.8,139265,1.0,280191,0.7,371167,2.50 70,1,2024-09-07 09:42:50:814,963214,963214,0,0,452435500589,4694829215,955632,6819,763,366,391725,0 70,2,2024-09-07 09:42:51:325,691275,691275,0,0,30997429,0,4323 70,3,2024-09-07 09:42:50:755,1,547,7,0,854,5879,547,0 71,0,2024-09-07 09:42:51:374,132450,1.1,132189,1.1,265436,1.4,354315,2.75 71,1,2024-09-07 09:42:51:604,960621,960621,0,0,450711925780,4703888987,948813,10459,1349,368,391738,0 71,2,2024-09-07 09:42:51:069,691680,691680,0,0,31981072,0,4352 71,3,2024-09-07 09:42:51:751,1,547,1,0,644,6458,547,0 72,0,2024-09-07 09:42:51:035,138786,0.5,135629,0.7,264741,0.5,359994,2.00 72,1,2024-09-07 09:42:51:021,959302,959302,0,0,450800435389,4727213109,945461,11447,2394,369,391819,0 72,2,2024-09-07 09:42:51:759,691006,691006,0,0,33265782,0,3983 72,3,2024-09-07 09:42:51:759,1,547,1,0,564,7638,547,0 73,0,2024-09-07 09:42:51:127,135886,0.4,139209,0.6,284998,0.3,370580,2.00 73,1,2024-09-07 09:42:50:788,961375,961375,0,0,450984531262,4686658761,953903,6545,927,367,391858,0 73,2,2024-09-07 09:42:51:739,692376,692376,0,0,33608856,0,4728 73,3,2024-09-07 09:42:50:991,1,547,8,0,486,6802,547,0 74,0,2024-09-07 09:42:51:322,141457,0.5,144775,0.7,276145,0.4,374993,2.00 74,1,2024-09-07 09:42:50:646,960455,960455,0,0,451064862662,4707689243,949931,8598,1926,381,391762,0 74,2,2024-09-07 09:42:51:008,688773,688773,0,0,29124505,0,4253 74,3,2024-09-07 09:42:51:446,1,547,21,0,522,6451,547,0 75,0,2024-09-07 09:42:51:794,135867,0.6,135017,0.8,270981,0.5,361931,2.25 75,1,2024-09-07 09:42:51:600,961708,961708,0,0,451935128935,4709050361,953760,7084,864,380,391739,0 75,2,2024-09-07 09:42:51:364,691568,691568,0,0,35274621,0,4766 75,3,2024-09-07 09:42:51:068,1,547,80,0,702,6431,547,0 76,0,2024-09-07 09:42:50:612,132429,0.5,131873,0.7,264075,0.5,353491,2.25 76,1,2024-09-07 09:42:50:809,961240,961240,0,0,450766695472,4699107853,954599,5673,968,382,391723,0 76,2,2024-09-07 09:42:51:067,695521,695518,3,0,29435133,0,5265 76,3,2024-09-07 09:42:51:152,1,547,2,0,227,4966,547,0 77,0,2024-09-07 09:42:51:701,137157,0.5,137658,0.7,275409,0.4,365977,2.00 77,1,2024-09-07 09:42:50:836,961306,961306,0,0,451766692080,4709133587,954455,6255,596,381,391869,0 77,2,2024-09-07 09:42:51:284,692159,692159,0,0,28994593,0,3890 77,3,2024-09-07 09:42:51:094,1,547,0,0,401,5784,547,0 78,0,2024-09-07 09:42:51:717,140106,0.4,139519,0.6,279887,0.4,370490,2.00 78,1,2024-09-07 09:42:50:615,961335,961335,0,0,451219511732,4702021514,950459,8349,2527,367,391670,0 78,2,2024-09-07 09:42:51:412,691609,691596,13,0,26817643,0,8313 78,3,2024-09-07 09:42:51:140,1,547,8,0,311,4679,547,0 79,0,2024-09-07 09:42:51:355,133523,0.4,136773,0.6,280271,0.3,364512,2.00 79,1,2024-09-07 09:42:50:581,964091,964091,0,0,452823014921,4688600270,956347,6387,1357,367,391682,0 79,2,2024-09-07 09:42:51:069,693735,693735,0,0,25843231,0,4195 79,3,2024-09-07 09:42:50:755,1,547,0,0,418,6386,547,0 80,0,2024-09-07 09:42:51:085,132495,0.5,136269,0.6,260482,0.5,352283,2.00 80,1,2024-09-07 09:42:51:621,961029,961029,0,0,452401535021,4706316322,955160,5440,429,368,392269,0 80,2,2024-09-07 09:42:51:095,695036,695036,0,0,26546658,0,4433 80,3,2024-09-07 09:42:50:592,1,547,4,0,681,7011,547,0 81,0,2024-09-07 09:42:51:573,135772,0.5,138969,0.7,264989,0.5,359946,2.00 81,1,2024-09-07 09:42:51:665,960015,960015,0,0,450650392817,4708141034,952591,6782,642,382,391885,0 81,2,2024-09-07 09:42:51:132,690770,690707,63,0,29593444,0,5932 81,3,2024-09-07 09:42:51:128,1,547,9,0,719,6264,547,0 82,0,2024-09-07 09:42:51:538,140475,0.4,140606,0.7,281845,0.4,373335,2.00 82,1,2024-09-07 09:42:50:587,962871,962867,0,4,452061959966,4697267705,957764,4282,821,381,391768,4 82,2,2024-09-07 09:42:51:693,693605,693605,0,0,24646136,0,4484 82,3,2024-09-07 09:42:51:752,1,547,13,0,363,5116,547,0 83,0,2024-09-07 09:42:51:525,140032,0.6,140029,0.7,279528,0.6,371364,2.25 83,1,2024-09-07 09:42:50:551,961134,961134,0,0,451098483978,4699773553,954728,5949,457,382,391709,0 83,2,2024-09-07 09:42:50:767,692377,692352,25,0,26643313,0,5612 83,3,2024-09-07 09:42:50:980,1,547,1,0,1260,6714,547,0 84,0,2024-09-07 09:42:51:783,132396,0.7,132739,0.9,264914,0.6,354462,2.25 84,1,2024-09-07 09:42:51:054,959696,959696,0,0,450303647651,4709155186,948452,9590,1654,367,391967,0 84,2,2024-09-07 09:42:50:581,691544,691514,30,0,35400667,0,5971 84,3,2024-09-07 09:42:51:151,1,547,13,0,908,7576,547,0 85,0,2024-09-07 09:42:51:037,129540,0.6,129578,0.8,274972,0.5,355909,2.25 85,1,2024-09-07 09:42:50:580,956204,956204,0,0,450209765064,4754847716,941027,12431,2746,381,392092,0 85,2,2024-09-07 09:42:50:886,690855,690855,0,0,33120020,0,4255 85,3,2024-09-07 09:42:50:686,1,547,14,0,789,6187,547,0 86,0,2024-09-07 09:42:50:898,140227,0.6,144169,0.7,275542,0.6,373334,2.25 86,1,2024-09-07 09:42:50:838,958766,958766,0,0,451053009967,4731058526,945860,10251,2655,366,391995,0 86,2,2024-09-07 09:42:50:878,691180,691179,1,0,35451439,0,5004 86,3,2024-09-07 09:42:50:586,1,547,17,0,308,7863,547,0 87,0,2024-09-07 09:42:51:296,140522,0.8,140263,0.9,280489,1.0,375033,2.25 87,1,2024-09-07 09:42:50:556,958391,958391,0,0,450550713999,4718892976,946163,10512,1716,366,392076,0 87,2,2024-09-07 09:42:51:069,689134,689128,6,0,31288600,0,6323 87,3,2024-09-07 09:42:51:794,1,547,94,0,473,8296,547,0 88,0,2024-09-07 09:42:51:548,134582,0.5,135110,0.6,270030,0.4,359379,1.75 88,1,2024-09-07 09:42:50:574,957949,957949,0,0,450503576014,4717165924,944948,10354,2647,365,392084,0 88,2,2024-09-07 09:42:50:697,692155,692155,0,0,34192535,0,4465 88,3,2024-09-07 09:42:51:284,1,547,205,0,435,7322,547,0 89,0,2024-09-07 09:42:51:814,137621,0.4,133419,0.6,263552,0.4,358127,1.75 89,1,2024-09-07 09:42:50:552,958093,958093,0,0,449705809733,4729370321,946372,9880,1841,382,391866,0 89,2,2024-09-07 09:42:51:132,692115,692115,0,0,32274957,0,3173 89,3,2024-09-07 09:42:51:791,1,547,1,0,468,8935,547,0 90,0,2024-09-07 09:42:51:624,134525,0.4,138155,0.6,281665,0.4,367924,1.75 90,1,2024-09-07 09:42:50:590,959389,959389,0,0,450262064429,4713989180,950259,8294,836,380,391825,0 90,2,2024-09-07 09:42:51:434,689965,689965,0,0,34429448,0,3608 90,3,2024-09-07 09:42:50:931,1,547,12,0,322,6602,547,0 91,0,2024-09-07 09:42:50:938,140234,0.5,135939,0.6,284277,0.4,373141,1.75 91,1,2024-09-07 09:42:50:573,956880,956880,0,0,449846565109,4730786902,944280,10285,2315,381,392047,0 91,2,2024-09-07 09:42:51:338,689457,689457,0,0,30101855,0,4713 91,3,2024-09-07 09:42:50:623,1,547,3,0,216,5074,547,0 92,0,2024-09-07 09:42:51:490,138849,0.5,141884,0.6,270594,0.5,366352,1.75 92,1,2024-09-07 09:42:50:588,960793,960793,0,0,451108804608,4709952524,953241,6343,1209,381,392136,0 92,2,2024-09-07 09:42:51:365,693721,693721,0,0,27191405,0,3259 92,3,2024-09-07 09:42:51:020,1,547,10,0,167,4714,547,0 93,0,2024-09-07 09:42:50:958,133389,0.4,136759,0.6,261138,0.3,353672,1.75 93,1,2024-09-07 09:42:50:819,961027,961027,0,0,451102449139,4706179158,950704,8589,1734,366,391776,0 93,2,2024-09-07 09:42:50:939,693068,693068,0,0,33142371,0,4913 93,3,2024-09-07 09:42:51:412,1,547,5,0,190,4844,547,0 94,0,2024-09-07 09:42:51:648,135790,0.3,136606,0.5,273039,0.3,363273,1.75 94,1,2024-09-07 09:42:50:565,961438,961438,0,0,451575976987,4707595591,955070,5944,424,381,391850,0 94,2,2024-09-07 09:42:50:766,692059,692031,28,0,28149302,0,6179 94,3,2024-09-07 09:42:51:693,1,547,12,0,576,6793,547,0 95,0,2024-09-07 09:42:51:377,140016,0.3,140034,0.5,280260,0.3,373075,1.75 95,1,2024-09-07 09:42:50:864,962772,962772,0,0,452140157941,4696541952,955256,6835,681,365,391852,0 95,2,2024-09-07 09:42:51:022,690336,690336,0,0,27026685,0,3308 95,3,2024-09-07 09:42:51:710,1,547,1,0,718,8236,547,0 96,0,2024-09-07 09:42:51:074,139708,0.4,139921,0.5,279738,0.3,371557,1.75 96,1,2024-09-07 09:42:51:604,960480,960480,0,0,451261493275,4704047028,954041,5524,915,384,391964,0 96,2,2024-09-07 09:42:51:275,693427,693427,0,0,28004955,0,4180 96,3,2024-09-07 09:42:51:144,1,547,1,0,411,5779,547,0 97,0,2024-09-07 09:42:51:337,132912,0.3,132867,0.5,266790,0.3,354017,1.50 97,1,2024-09-07 09:42:50:769,962900,962900,0,0,452282542595,4688795131,957093,4862,945,367,392140,0 97,2,2024-09-07 09:42:50:615,693996,693996,0,0,27573802,0,3679 97,3,2024-09-07 09:42:50:580,1,547,11,0,242,6128,547,0 98,0,2024-09-07 09:42:51:720,134562,0.3,134709,0.4,270377,0.2,359600,1.50 98,1,2024-09-07 09:42:50:587,961799,961799,0,0,450999536377,4694101793,956311,4686,802,381,391997,0 98,2,2024-09-07 09:42:50:777,692300,692300,0,0,27137236,0,4336 98,3,2024-09-07 09:42:50:707,1,547,17,0,840,8425,547,0 99,0,2024-09-07 09:42:51:453,141224,0.3,141812,0.5,282207,0.3,376547,1.75 99,1,2024-09-07 09:42:51:722,962132,962132,0,0,451164702273,4694075908,956102,5101,929,380,392069,0 99,2,2024-09-07 09:42:51:420,692854,692854,0,0,32764921,0,4276 99,3,2024-09-07 09:42:50:600,1,547,4,0,606,5363,547,0 100,0,2024-09-07 09:42:51:543,140074,0.8,140402,0.9,280453,0.9,374601,2.50 100,1,2024-09-07 09:42:50:560,956354,956354,0,0,448515272333,4742445513,941305,11769,3280,378,391989,0 100,2,2024-09-07 09:42:51:821,688823,688812,11,0,32338745,0,5417 100,3,2024-09-07 09:42:51:741,1,547,2,0,627,9716,547,0 101,0,2024-09-07 09:42:51:707,136530,1.1,133082,1.1,260761,1.1,356449,2.25 101,1,2024-09-07 09:42:50:558,958358,958358,0,0,450133106054,4728085976,945736,10220,2402,368,391847,0 101,2,2024-09-07 09:42:51:770,688189,688189,0,0,37304172,0,4871 101,3,2024-09-07 09:42:50:955,1,547,4,0,1250,8592,547,0 102,0,2024-09-07 09:42:51:003,130698,0.6,134708,0.7,273276,0.6,357696,2.00 102,1,2024-09-07 09:42:51:154,957844,957844,0,0,449871969359,4726077259,944692,11001,2151,369,391891,0 102,2,2024-09-07 09:42:51:741,692476,692422,54,0,31652761,0,6768 102,3,2024-09-07 09:42:51:617,1,547,8,0,466,6325,547,0 103,0,2024-09-07 09:42:51:615,144014,0.5,143957,0.7,271361,0.5,373409,2.00 103,1,2024-09-07 09:42:51:649,956867,956867,0,0,449825294997,4749663158,941529,11853,3485,381,392077,0 103,2,2024-09-07 09:42:50:588,690590,690590,0,0,33649097,0,3766 103,3,2024-09-07 09:42:50:759,1,547,25,0,916,6893,547,0 104,0,2024-09-07 09:42:51:008,139401,0.7,139522,0.9,278474,0.7,372762,2.25 104,1,2024-09-07 09:42:51:613,959404,959404,0,0,450406944259,4730368560,945583,11233,2588,365,392168,0 104,2,2024-09-07 09:42:51:677,686765,686765,0,0,32096076,0,3941 104,3,2024-09-07 09:42:51:424,1,547,28,0,1245,9361,547,0 105,0,2024-09-07 09:42:51:079,134194,0.9,130752,1.1,274160,1.0,359881,2.75 105,1,2024-09-07 09:42:50:566,960331,960331,0,0,451586371567,4728572880,948414,10146,1771,364,392009,0 105,2,2024-09-07 09:42:51:321,691628,691628,0,0,32772844,0,4360 105,3,2024-09-07 09:42:51:429,1,547,32,0,467,8044,547,0 106,0,2024-09-07 09:42:50:940,128527,0.7,131579,0.9,269713,0.7,352189,2.50 106,1,2024-09-07 09:42:51:751,959725,959725,0,0,450236424198,4722425936,946601,11473,1651,368,391914,0 106,2,2024-09-07 09:42:50:767,691968,691968,0,0,30905331,0,2920 106,3,2024-09-07 09:42:50:677,1,547,11,0,1224,8091,547,0 107,0,2024-09-07 09:42:51:106,137508,0.8,137422,0.8,274976,0.8,366443,2.00 107,1,2024-09-07 09:42:50:598,957467,957467,0,0,449863290760,4740558282,944361,11713,1393,381,392234,0 107,2,2024-09-07 09:42:51:296,689575,689574,1,0,33607109,0,5024 107,3,2024-09-07 09:42:51:767,1,547,61,0,733,8134,547,0 108,0,2024-09-07 09:42:51:783,139557,0.4,140153,0.6,279141,0.4,372127,1.75 108,1,2024-09-07 09:42:51:512,960382,960382,0,0,451044336555,4702142722,952452,6956,974,367,391894,0 108,2,2024-09-07 09:42:51:758,688985,688985,0,0,29600481,0,4246 108,3,2024-09-07 09:42:51:350,1,547,3,0,749,10502,547,0 109,0,2024-09-07 09:42:51:796,139010,0.4,137813,0.6,276360,0.4,368866,1.75 109,1,2024-09-07 09:42:50:607,957960,957960,0,0,451222703008,4730280474,949639,7104,1217,382,392132,0 109,2,2024-09-07 09:42:50:944,691412,691412,0,0,29901938,0,3617 109,3,2024-09-07 09:42:51:152,1,547,17,0,630,6832,547,0 110,0,2024-09-07 09:42:51:785,132636,0.4,129021,0.6,270141,0.3,353676,1.75 110,1,2024-09-07 09:42:51:657,962145,962145,0,0,452740870498,4698549870,954602,5619,1924,368,392045,0 110,2,2024-09-07 09:42:51:309,693522,693522,0,0,28090786,0,4067 110,3,2024-09-07 09:42:50:694,1,547,9,0,722,7442,547,0 111,0,2024-09-07 09:42:51:419,136039,0.3,135200,0.5,270785,0.2,361368,1.75 111,1,2024-09-07 09:42:51:004,963499,963499,0,0,453367908498,4697865164,958934,4208,357,380,391690,0 111,2,2024-09-07 09:42:51:120,691517,691517,0,0,28561227,0,4823 111,3,2024-09-07 09:42:50:917,1,547,9,0,379,6094,547,0 112,0,2024-09-07 09:42:50:971,141413,0.3,140856,0.4,282491,0.2,374566,1.50 112,1,2024-09-07 09:42:50:837,961971,961971,0,0,451831866022,4691357760,955450,5436,1085,380,391624,0 112,2,2024-09-07 09:42:51:140,691704,691703,1,0,27124548,0,5036 112,3,2024-09-07 09:42:50:599,1,547,0,0,282,5222,547,0 113,0,2024-09-07 09:42:50:885,140231,0.3,140130,0.5,280654,0.2,373634,1.75 113,1,2024-09-07 09:42:51:697,964565,964565,0,0,453615801624,4679526242,958988,4702,875,365,391664,0 113,2,2024-09-07 09:42:51:312,695067,695067,0,0,24792832,0,3813 113,3,2024-09-07 09:42:50:686,1,547,2,0,510,6147,547,0 114,0,2024-09-07 09:42:50:881,133953,0.3,134805,0.5,267996,0.2,358500,1.75 114,1,2024-09-07 09:42:50:733,962363,962363,0,0,452701551766,4698093917,955448,5298,1617,381,391565,0 114,2,2024-09-07 09:42:50:874,693818,693817,1,0,27059874,0,5069 114,3,2024-09-07 09:42:51:279,1,547,15,0,395,4375,547,0 115,0,2024-09-07 09:42:50:637,135180,0.3,135802,0.4,270575,0.2,359611,1.50 115,1,2024-09-07 09:42:50:591,962704,962704,0,0,451924231195,4693369541,955282,6064,1358,382,391757,0 115,2,2024-09-07 09:42:51:132,695024,695024,0,0,26405556,0,4382 115,3,2024-09-07 09:42:51:020,1,547,0,0,167,3182,547,0 116,0,2024-09-07 09:42:51:710,139992,0.6,139758,0.8,280100,0.6,374866,2.00 116,1,2024-09-07 09:42:50:812,957305,957305,0,0,450028137526,4745636914,945302,8951,3052,380,392089,0 116,2,2024-09-07 09:42:51:769,691694,691694,0,0,33884150,0,4475 116,3,2024-09-07 09:42:50:922,1,547,7,0,448,7135,547,0 117,0,2024-09-07 09:42:50:976,140790,0.7,140173,0.8,280995,0.8,375715,2.00 117,1,2024-09-07 09:42:51:579,958989,958989,0,0,450444622564,4715025999,948359,9169,1461,369,392033,0 117,2,2024-09-07 09:42:51:122,691198,691198,0,0,29729736,0,4303 117,3,2024-09-07 09:42:51:071,1,547,1,0,490,7288,547,0 118,0,2024-09-07 09:42:51:787,130553,0.6,134126,0.7,273611,0.5,357718,2.00 118,1,2024-09-07 09:42:50:607,957724,957724,0,0,450001179602,4732617561,942882,11233,3609,366,392054,0 118,2,2024-09-07 09:42:51:593,691416,691416,0,0,32545908,0,2842 118,3,2024-09-07 09:42:51:771,1,547,8,0,248,6014,547,0 119,0,2024-09-07 09:42:51:370,133285,0.6,133861,0.7,267331,0.6,356251,2.00 119,1,2024-09-07 09:42:50:567,958578,958578,0,0,450366277487,4719090702,946076,10436,2066,367,391857,0 119,2,2024-09-07 09:42:51:274,693288,693288,0,0,30614457,0,4309 119,3,2024-09-07 09:42:51:351,1,547,505,0,1358,10723,547,0 120,0,2024-09-07 09:42:51:561,137837,0.6,137699,0.8,276522,0.6,368709,2.25 120,1,2024-09-07 09:42:50:862,960290,960290,0,0,450520593428,4718736067,950891,8618,781,367,392144,0 120,2,2024-09-07 09:42:50:776,691475,691474,1,0,35078852,0,5281 120,3,2024-09-07 09:42:51:295,1,547,197,0,279,6895,547,0 121,0,2024-09-07 09:42:51:708,139973,1.2,139318,1.1,279089,1.6,372136,2.25 121,1,2024-09-07 09:42:51:663,959946,959946,0,0,450562952492,4709983411,950314,8783,849,366,391840,0 121,2,2024-09-07 09:42:51:137,687993,687993,0,0,31663598,0,4157 121,3,2024-09-07 09:42:50:733,1,547,1,0,330,6522,547,0 122,0,2024-09-07 09:42:51:893,136730,0.8,133006,0.9,278663,1.0,366266,2.25 122,1,2024-09-07 09:42:50:863,958665,958665,0,0,450836566468,4728152134,945623,11092,1950,366,392130,0 122,2,2024-09-07 09:42:51:322,693441,693366,75,0,36213787,0,5989 122,3,2024-09-07 09:42:50:598,1,547,8,0,512,9093,547,0 123,0,2024-09-07 09:42:51:062,132275,0.8,128507,0.8,269148,0.9,352368,2.25 123,1,2024-09-07 09:42:50:565,958952,958952,0,0,450214326992,4732641228,943639,12806,2507,369,392039,0 123,2,2024-09-07 09:42:51:024,690714,690713,1,0,30926915,0,5215 123,3,2024-09-07 09:42:51:132,1,547,0,0,478,6328,547,0 124,0,2024-09-07 09:42:50:923,140179,0.3,140233,0.5,264237,0.3,364362,1.75 124,1,2024-09-07 09:42:51:022,962051,962051,0,0,451489298294,4690300893,955179,5739,1133,365,392178,0 124,2,2024-09-07 09:42:51:016,693142,693089,53,0,27932872,0,6487 124,3,2024-09-07 09:42:50:759,1,547,3,0,490,5578,547,0 125,0,2024-09-07 09:42:51:429,139827,0.4,139678,0.5,280469,0.3,373006,1.75 125,1,2024-09-07 09:42:50:871,960317,960317,0,0,450441583916,4698487095,953294,6039,984,382,391813,0 125,2,2024-09-07 09:42:51:120,693411,693411,0,0,27826494,0,4534 125,3,2024-09-07 09:42:51:132,1,547,4,0,709,6447,547,0 126,0,2024-09-07 09:42:51:434,139837,0.4,143804,0.6,275201,0.4,372142,1.75 126,1,2024-09-07 09:42:50:557,963763,963763,0,0,451901357537,4675517569,959035,4416,312,365,391987,0 126,2,2024-09-07 09:42:50:629,693898,693898,0,0,28809423,0,4539 126,3,2024-09-07 09:42:50:910,1,547,13,0,268,6024,547,0 127,0,2024-09-07 09:42:51:607,133170,0.3,133526,0.5,266572,0.3,354290,1.75 127,1,2024-09-07 09:42:50:580,961710,961710,0,0,451193524152,4692226488,952317,7886,1507,364,392187,0 127,2,2024-09-07 09:42:50:644,692160,692156,4,0,27671274,0,5305 127,3,2024-09-07 09:42:51:270,1,547,43,0,968,5453,547,0 128,0,2024-09-07 09:42:51:530,135537,0.3,135469,0.4,270753,0.2,360404,1.50 128,1,2024-09-07 09:42:51:616,961220,961220,0,0,452476003904,4701486557,954071,6287,862,367,392031,0 128,2,2024-09-07 09:42:51:392,693392,693392,0,0,25913238,0,3171 128,3,2024-09-07 09:42:50:771,1,547,0,0,1082,8713,547,0 129,0,2024-09-07 09:42:50:997,142198,0.3,141563,0.5,283823,0.3,376898,1.50 129,1,2024-09-07 09:42:50:567,958073,958073,0,0,450866685455,4723258036,948676,7395,2002,379,391962,0 129,2,2024-09-07 09:42:50:685,693274,693270,4,0,28180471,0,5335 129,3,2024-09-07 09:42:50:699,1,547,1,0,506,7732,547,0 130,0,2024-09-07 09:42:51:744,141356,0.4,140772,0.6,282415,0.4,376012,1.75 130,1,2024-09-07 09:42:50:586,962283,962283,0,0,451452775602,4687783735,957425,4469,389,381,391825,0 130,2,2024-09-07 09:42:51:140,691952,691952,0,0,27974695,0,4067 130,3,2024-09-07 09:42:51:299,1,547,8,0,960,7512,547,0 131,0,2024-09-07 09:42:51:990,133873,0.4,134406,0.5,269078,0.3,357405,1.75 131,1,2024-09-07 09:42:51:821,961616,961616,0,0,451806043708,4709808693,954716,5708,1192,381,391865,0 131,2,2024-09-07 09:42:50:579,693229,693229,0,0,26144277,0,3979 131,3,2024-09-07 09:42:51:700,1,547,1,0,392,6761,547,0 132,0,2024-09-07 09:42:51:424,134558,0.4,135474,0.6,269682,0.4,359031,2.00 132,1,2024-09-07 09:42:50:590,957630,957630,0,0,449687197857,4731362429,944130,10975,2525,381,392532,0 132,2,2024-09-07 09:42:50:708,692688,692671,17,0,34500948,0,6451 132,3,2024-09-07 09:42:51:705,1,547,58,0,804,8885,547,0 133,0,2024-09-07 09:42:51:521,135891,0.4,139079,0.6,285301,0.3,371002,1.75 133,1,2024-09-07 09:42:50:585,957481,957481,0,0,450252507188,4743848118,944536,11253,1692,383,391914,0 133,2,2024-09-07 09:42:51:098,693062,693012,50,0,34824769,0,6861 133,3,2024-09-07 09:42:51:312,1,547,0,0,528,6888,547,0 134,0,2024-09-07 09:42:51:003,140720,0.5,140620,0.7,281666,0.5,374849,2.00 134,1,2024-09-07 09:42:50:588,959344,959344,0,0,450033024010,4715991663,947585,9612,2147,366,391781,0 134,2,2024-09-07 09:42:51:769,688372,688348,24,0,32346021,0,6207 134,3,2024-09-07 09:42:50:751,1,547,8,0,739,6419,547,0 135,0,2024-09-07 09:42:51:166,131383,0.9,131412,0.9,278989,1.1,359323,2.25 135,1,2024-09-07 09:42:51:603,958629,958629,0,0,450165841357,4731710058,946775,10285,1569,380,391805,0 135,2,2024-09-07 09:42:50:697,693131,693131,0,0,33063732,0,4503 135,3,2024-09-07 09:42:51:008,1,547,1,0,900,5428,547,0 136,0,2024-09-07 09:42:51:620,133531,0.5,133799,0.7,266322,0.5,355448,2.00 136,1,2024-09-07 09:42:51:464,959443,959443,0,0,450489859529,4719607758,948783,9367,1293,381,392135,0 136,2,2024-09-07 09:42:51:143,694172,694157,15,0,32337440,0,6007 136,3,2024-09-07 09:42:51:114,1,547,11,0,637,6470,547,0 137,0,2024-09-07 09:42:50:929,141910,0.5,138368,0.7,271088,0.5,368297,2.00 137,1,2024-09-07 09:42:50:587,957822,957822,0,0,450966406078,4725134757,943586,11454,2782,366,391898,0 137,2,2024-09-07 09:42:51:715,690533,690533,0,0,33650309,0,3185 137,3,2024-09-07 09:42:50:775,1,547,26,0,484,7123,547,0 138,0,2024-09-07 09:42:51:772,139130,0.8,139330,0.9,279238,0.9,370638,2.00 138,1,2024-09-07 09:42:51:699,959089,959089,0,0,451245095723,4729367057,946078,11040,1971,368,391954,0 138,2,2024-09-07 09:42:50:588,689253,689253,0,0,30864110,0,4988 138,3,2024-09-07 09:42:50:615,1,547,22,0,1200,8784,547,0 139,0,2024-09-07 09:42:51:362,137257,1.2,137727,1.0,275439,1.7,367202,2.25 139,1,2024-09-07 09:42:50:577,954891,954891,0,0,449068638869,4760509529,937910,13296,3685,380,392109,0 139,2,2024-09-07 09:42:50:693,688986,688986,0,0,34814170,0,3097 139,3,2024-09-07 09:42:51:662,1,547,3,0,432,6115,547,0 140,0,2024-09-07 09:42:51:588,133281,0.3,132383,0.5,265673,0.2,353883,1.75 140,1,2024-09-07 09:42:51:555,964942,964942,0,0,453469326758,4671902752,960356,4048,538,364,391606,0 140,2,2024-09-07 09:42:50:690,694015,694014,1,0,26227189,0,5036 140,3,2024-09-07 09:42:50:772,1,547,1,0,297,4930,547,0 141,0,2024-09-07 09:42:51:700,135789,0.3,139397,0.4,266370,0.2,361177,1.50 141,1,2024-09-07 09:42:50:859,962969,962969,0,0,453065680593,4704051965,955531,6305,1133,379,391614,0 141,2,2024-09-07 09:42:51:692,691844,691833,11,0,27748149,0,5369 141,3,2024-09-07 09:42:51:060,1,547,5,0,391,6258,547,0 142,0,2024-09-07 09:42:51:338,141570,0.3,140540,0.5,281617,0.3,375403,1.50 142,1,2024-09-07 09:42:50:588,960998,960998,0,0,451707526707,4704708262,955323,5215,460,382,392102,0 142,2,2024-09-07 09:42:51:304,690770,690738,32,0,28339402,0,6028 142,3,2024-09-07 09:42:51:753,1,547,11,0,484,5705,547,0 143,0,2024-09-07 09:42:51:395,140003,0.4,140058,0.6,281004,0.4,373459,1.75 143,1,2024-09-07 09:42:50:565,963327,963327,0,0,452220189152,4689903137,957184,5584,559,367,391722,0 143,2,2024-09-07 09:42:50:777,693559,693559,0,0,27923166,0,3123 143,3,2024-09-07 09:42:51:145,1,547,3,0,462,6628,547,0 144,0,2024-09-07 09:42:51:508,129534,0.6,133277,0.8,271074,0.6,355199,2.00 144,1,2024-09-07 09:42:50:575,958487,958487,0,0,450090415675,4720100325,949062,7457,1968,381,391733,0 144,2,2024-09-07 09:42:51:765,692979,692979,0,0,27062347,0,3673 144,3,2024-09-07 09:42:51:747,1,547,1,0,249,5328,547,0 145,0,2024-09-07 09:42:51:367,130502,0.5,130463,0.7,276913,0.5,356460,2.25 145,1,2024-09-07 09:42:50:555,957895,957895,0,0,450108393592,4738023629,944647,10588,2660,382,391759,0 145,2,2024-09-07 09:42:51:447,690046,689964,82,0,33387817,0,7814 145,3,2024-09-07 09:42:50:896,1,547,5,0,622,7598,547,0 146,0,2024-09-07 09:42:51:599,140124,0.5,139707,0.7,280227,0.5,372838,2.00 146,1,2024-09-07 09:42:51:592,958577,958577,0,0,450296411232,4736936855,942302,11859,4416,367,391770,0 146,2,2024-09-07 09:42:51:702,691141,691135,6,0,31289712,0,5151 146,3,2024-09-07 09:42:51:279,1,547,25,0,1520,9273,547,0 147,0,2024-09-07 09:42:51:739,140734,0.6,140424,0.7,280678,0.6,374912,2.25 147,1,2024-09-07 09:42:51:377,962474,962474,0,0,452612808636,4699176919,955179,6415,880,367,391791,0 147,2,2024-09-07 09:42:51:033,689500,689500,0,0,27447584,0,2968 147,3,2024-09-07 09:42:50:924,1,547,3,0,1626,8628,547,0 0,0,2024-09-07 09:43:01:786,135014,0.5,134985,0.7,286441,0.5,370485,2.00 0,1,2024-09-07 09:43:00:821,961197,961197,0,0,451417527204,4724148196,953605,6749,843,369,391896,0 0,2,2024-09-07 09:43:01:070,695045,695045,0,0,28045969,0,4480 0,3,2024-09-07 09:43:00:976,1,548,81,0,431,7878,548,0 1,0,2024-09-07 09:43:01:780,139889,0.9,138932,0.9,279396,1.1,373052,2.00 1,1,2024-09-07 09:43:00:564,959825,959825,0,0,450168400566,4714686891,951056,7297,1472,370,391859,0 1,2,2024-09-07 09:43:00:639,690402,690402,0,0,27021802,0,3380 1,3,2024-09-07 09:43:01:310,1,548,0,0,269,6671,548,0 2,0,2024-09-07 09:43:01:576,137109,0.6,136899,0.8,273643,0.6,364935,2.00 2,1,2024-09-07 09:43:00:858,963369,963369,0,0,452900854821,4705321019,958203,4236,930,379,391745,0 2,2,2024-09-07 09:43:01:265,694812,694812,0,0,25953192,0,3594 2,3,2024-09-07 09:43:00:690,1,548,1,0,357,4840,548,0 3,0,2024-09-07 09:43:01:747,132642,0.4,132611,0.6,264505,0.3,352671,2.00 3,1,2024-09-07 09:43:01:649,962422,962422,0,0,452135705439,4704196976,954902,6817,703,379,391716,0 3,2,2024-09-07 09:43:01:158,695163,695140,23,0,27064581,0,5851 3,3,2024-09-07 09:43:01:761,1,548,1,0,275,3585,548,0 4,0,2024-09-07 09:43:01:837,132769,0.4,136402,0.5,277998,0.3,364299,1.75 4,1,2024-09-07 09:43:00:592,958491,958491,0,0,449293315536,4759479124,941243,13541,3707,370,391992,0 4,2,2024-09-07 09:43:01:024,690188,690188,0,0,33575857,0,4534 4,3,2024-09-07 09:43:01:040,1,548,15,0,448,6937,548,0 5,0,2024-09-07 09:43:01:386,140161,0.4,140317,0.5,280614,0.4,372732,1.75 5,1,2024-09-07 09:43:00:762,959505,959505,0,0,451130085583,4749670037,945880,10888,2737,367,392005,0 5,2,2024-09-07 09:43:01:836,688502,688502,0,0,32078731,0,3582 5,3,2024-09-07 09:43:01:743,1,548,130,0,457,7412,548,0 6,0,2024-09-07 09:43:00:932,139786,0.5,139464,0.7,279155,0.4,371605,2.00 6,1,2024-09-07 09:43:00:755,961169,961169,0,0,451393148752,4715199588,950890,8801,1478,379,391702,0 6,2,2024-09-07 09:43:01:115,694325,694307,18,0,31642810,0,5535 6,3,2024-09-07 09:43:01:273,1,548,29,0,710,6824,548,0 7,0,2024-09-07 09:43:01:566,132487,0.5,133381,0.7,265534,0.5,353581,2.00 7,1,2024-09-07 09:43:00:850,960310,960310,0,0,451448266743,4741810625,946434,11472,2404,382,391747,0 7,2,2024-09-07 09:43:00:770,693342,693342,0,0,30320469,0,4791 7,3,2024-09-07 09:43:00:852,1,548,1,0,552,6256,548,0 8,0,2024-09-07 09:43:01:363,135581,0.3,135139,0.5,271251,0.3,361441,1.75 8,1,2024-09-07 09:43:01:027,957962,957962,0,0,451349183493,4743848227,942839,11828,3295,366,392853,0 8,2,2024-09-07 09:43:00:793,688226,688224,2,0,35837287,0,5112 8,3,2024-09-07 09:43:00:591,1,548,1,0,538,7935,548,0 9,0,2024-09-07 09:43:01:166,140997,0.4,137227,0.5,287563,0.3,376661,1.75 9,1,2024-09-07 09:43:00:550,958361,958361,0,0,450562564391,4745427223,944017,11573,2771,369,392001,0 9,2,2024-09-07 09:43:01:093,691795,691794,1,0,32870118,0,5281 9,3,2024-09-07 09:43:01:769,1,548,76,0,1273,9130,548,0 10,0,2024-09-07 09:43:01:601,140650,0.4,140185,0.5,281219,0.3,374982,1.75 10,1,2024-09-07 09:43:00:583,960483,960483,0,0,450956256320,4725102921,947170,10896,2417,381,391869,0 10,2,2024-09-07 09:43:00:765,692981,692981,0,0,35306825,0,4264 10,3,2024-09-07 09:43:00:880,1,548,103,0,649,5713,548,0 11,0,2024-09-07 09:43:01:022,133899,0.5,129850,0.6,271535,0.4,357953,1.75 11,1,2024-09-07 09:43:00:576,962203,962203,0,0,451698467789,4735760612,948078,10363,3762,383,391766,0 11,2,2024-09-07 09:43:01:153,692880,692880,0,0,31297559,0,4130 11,3,2024-09-07 09:43:01:300,1,548,28,0,843,7375,548,0 12,0,2024-09-07 09:43:00:987,135647,0.4,135670,0.5,271405,0.3,361057,1.75 12,1,2024-09-07 09:43:01:177,961813,961813,0,0,451077840438,4703481668,954087,6840,886,370,391960,0 12,2,2024-09-07 09:43:01:555,693037,693037,0,0,30701828,0,4390 12,3,2024-09-07 09:43:01:071,1,548,7,0,386,7180,548,0 13,0,2024-09-07 09:43:01:358,140580,0.4,140366,0.5,280152,0.3,373462,1.75 13,1,2024-09-07 09:43:01:548,959591,959591,0,0,450752815157,4735047145,949869,7424,2298,382,391740,0 13,2,2024-09-07 09:43:00:595,695049,695049,0,0,27540830,0,3287 13,3,2024-09-07 09:43:01:764,1,548,1,0,522,7472,548,0 14,0,2024-09-07 09:43:00:562,140795,0.4,141825,0.6,281389,0.4,375066,1.75 14,1,2024-09-07 09:43:01:565,966153,966153,0,0,453156947316,4685721491,959214,6211,728,364,391673,0 14,2,2024-09-07 09:43:00:765,691202,691172,30,0,28820409,0,6104 14,3,2024-09-07 09:43:01:127,1,548,9,0,1168,6151,548,0 15,0,2024-09-07 09:43:01:557,135517,0.4,134904,0.6,270697,0.4,360678,2.00 15,1,2024-09-07 09:43:01:616,963337,963337,0,0,451555929563,4699540647,955591,6260,1486,381,391619,0 15,2,2024-09-07 09:43:01:004,696550,696550,0,0,24529746,0,3622 15,3,2024-09-07 09:43:01:406,1,548,0,0,1126,7507,548,0 16,0,2024-09-07 09:43:00:996,133151,0.5,133945,0.7,267250,0.5,355821,2.00 16,1,2024-09-07 09:43:00:577,963280,963280,0,0,452409440415,4726525564,954839,7138,1303,370,392194,0 16,2,2024-09-07 09:43:01:463,694123,694123,0,0,28891808,0,4719 16,3,2024-09-07 09:43:01:163,1,548,9,0,358,6763,548,0 17,0,2024-09-07 09:43:01:784,142374,0.5,138728,0.7,271912,0.5,369427,1.75 17,1,2024-09-07 09:43:00:568,961048,961048,0,0,451412226441,4733387350,951495,7801,1752,368,392075,0 17,2,2024-09-07 09:43:01:666,696150,696149,1,0,29578793,0,5050 17,3,2024-09-07 09:43:00:573,1,548,66,0,518,8094,548,0 18,0,2024-09-07 09:43:00:956,138425,0.7,139331,0.8,277594,0.7,370468,2.25 18,1,2024-09-07 09:43:01:659,965199,965199,0,0,452874270140,4694252225,959082,5120,997,367,391725,0 18,2,2024-09-07 09:43:01:763,693152,693152,0,0,26183335,0,3541 18,3,2024-09-07 09:43:00:905,1,548,29,0,1059,5074,548,0 19,0,2024-09-07 09:43:01:549,137862,0.6,138175,0.8,275226,0.7,365980,2.25 19,1,2024-09-07 09:43:00:566,964565,964565,0,0,453980364176,4704441032,957536,5944,1085,367,391777,0 19,2,2024-09-07 09:43:01:766,697548,697548,0,0,24822961,0,3988 19,3,2024-09-07 09:43:01:139,1,548,1,0,524,4299,548,0 20,0,2024-09-07 09:43:01:379,132574,0.5,132803,0.7,265152,0.5,353047,2.00 20,1,2024-09-07 09:43:00:569,960555,960555,0,0,451987395320,4735619580,950450,8605,1500,369,391922,0 20,2,2024-09-07 09:43:00:936,693323,693323,0,0,31109802,0,4321 20,3,2024-09-07 09:43:00:594,1,548,13,0,468,9037,548,0 21,0,2024-09-07 09:43:01:168,135819,0.4,135948,0.6,271796,0.4,361139,1.75 21,1,2024-09-07 09:43:01:551,959204,959204,0,0,449997400329,4747104556,944528,11413,3263,368,392016,0 21,2,2024-09-07 09:43:01:075,689659,689639,20,0,35232568,0,5617 21,3,2024-09-07 09:43:01:431,1,548,11,0,713,7557,548,0 22,0,2024-09-07 09:43:01:717,140679,0.5,141164,0.7,281770,0.4,373563,2.00 22,1,2024-09-07 09:43:01:023,959995,959995,0,0,450845939120,4745656119,943770,12887,3338,382,391822,0 22,2,2024-09-07 09:43:00:767,690988,690962,26,0,29567627,0,6328 22,3,2024-09-07 09:43:01:070,1,548,17,0,228,4579,548,0 23,0,2024-09-07 09:43:01:370,140047,0.5,139609,0.7,279244,0.5,372488,2.25 23,1,2024-09-07 09:43:01:014,961174,961174,0,0,452304376714,4748544940,944321,12004,4849,365,391690,0 23,2,2024-09-07 09:43:01:099,694870,694870,0,0,28327058,0,3773 23,3,2024-09-07 09:43:01:761,1,548,1,0,855,8422,548,0 24,0,2024-09-07 09:43:00:831,134738,0.4,134032,0.6,269558,0.4,358048,1.75 24,1,2024-09-07 09:43:00:593,960233,960233,0,0,450459012839,4713977875,951181,7373,1679,367,392269,0 24,2,2024-09-07 09:43:01:077,692991,692991,0,0,33699991,0,4438 24,3,2024-09-07 09:43:01:700,1,548,15,0,468,6840,548,0 25,0,2024-09-07 09:43:01:365,138735,0.4,135220,0.6,265013,0.4,361056,1.75 25,1,2024-09-07 09:43:00:561,958858,958858,0,0,450340239853,4752995295,942667,12672,3519,369,391928,0 25,2,2024-09-07 09:43:01:607,691324,691324,0,0,34982587,0,3978 25,3,2024-09-07 09:43:01:000,1,548,2,0,532,6018,548,0 26,0,2024-09-07 09:43:01:723,140119,0.4,137199,0.6,287483,0.4,374883,2.00 26,1,2024-09-07 09:43:01:546,962821,962821,0,0,451732543804,4727429496,949678,10684,2459,380,391748,0 26,2,2024-09-07 09:43:00:861,693183,693183,0,0,36969411,0,4689 26,3,2024-09-07 09:43:01:715,1,548,2,0,796,5887,548,0 27,0,2024-09-07 09:43:01:726,141039,0.4,141670,0.6,281353,0.4,375569,2.25 27,1,2024-09-07 09:43:01:683,962943,962943,0,0,453074379899,4717404726,954129,7545,1269,381,391626,0 27,2,2024-09-07 09:43:00:872,688600,688535,65,0,31554096,0,5699 27,3,2024-09-07 09:43:01:026,1,548,11,0,564,4760,548,0 28,0,2024-09-07 09:43:01:386,135134,0.4,134810,0.6,270211,0.3,359933,1.75 28,1,2024-09-07 09:43:00:799,964231,964231,0,0,453053245423,4719785535,956451,6066,1714,382,391698,0 28,2,2024-09-07 09:43:01:769,694493,694493,0,0,27919557,0,2915 28,3,2024-09-07 09:43:01:783,1,548,0,0,502,5392,548,0 29,0,2024-09-07 09:43:01:372,137745,0.3,134085,0.6,263119,0.3,358740,1.75 29,1,2024-09-07 09:43:01:565,966552,966552,0,0,454454949801,4697420118,960990,4799,763,367,391809,0 29,2,2024-09-07 09:43:00:866,693953,693953,0,0,27350172,0,4986 29,3,2024-09-07 09:43:00:963,1,548,1,0,459,5872,548,0 30,0,2024-09-07 09:43:01:489,138314,0.4,134789,0.6,282356,0.4,369185,2.00 30,1,2024-09-07 09:43:00:571,965351,965351,0,0,453405893899,4702702147,958369,6080,902,380,391672,0 30,2,2024-09-07 09:43:01:284,694167,694167,0,0,26309408,0,4192 30,3,2024-09-07 09:43:00:582,1,548,4,0,519,5237,548,0 31,0,2024-09-07 09:43:01:772,139704,0.4,140283,0.6,279795,0.4,373404,2.00 31,1,2024-09-07 09:43:00:564,968235,968235,0,0,454608678467,4664698182,962902,4322,1011,356,391712,0 31,2,2024-09-07 09:43:01:273,689661,689661,0,0,28678876,0,4470 31,3,2024-09-07 09:43:01:707,1,548,1,0,239,4767,548,0 32,0,2024-09-07 09:43:01:429,137489,0.3,138253,0.5,275424,0.3,366491,1.75 32,1,2024-09-07 09:43:00:812,964732,964732,0,0,453306357907,4709806922,958917,5106,709,381,391646,0 32,2,2024-09-07 09:43:00:957,696126,696126,0,0,25420781,0,3922 32,3,2024-09-07 09:43:01:026,1,548,1,0,304,4447,548,0 33,0,2024-09-07 09:43:01:527,133252,0.3,132799,0.4,265827,0.2,353718,1.50 33,1,2024-09-07 09:43:00:575,965028,965028,0,0,454060446287,4700662720,957766,6088,1174,368,391730,0 33,2,2024-09-07 09:43:00:758,695809,695774,35,0,28089998,0,7012 33,3,2024-09-07 09:43:00:906,1,548,0,0,329,4975,548,0 34,0,2024-09-07 09:43:00:942,137107,0.3,140818,0.4,269203,0.2,363798,1.75 34,1,2024-09-07 09:43:01:049,967227,967227,0,0,454757630031,4675221984,964711,2494,22,367,391637,0 34,2,2024-09-07 09:43:00:766,694750,694750,0,0,26492371,0,4562 34,3,2024-09-07 09:43:01:695,1,548,7,0,320,4428,548,0 35,0,2024-09-07 09:43:00:858,139316,0.3,140058,0.5,281344,0.2,373400,1.75 35,1,2024-09-07 09:43:01:075,963840,963840,0,0,453330870616,4702777384,956644,5712,1484,382,391769,0 35,2,2024-09-07 09:43:01:594,691663,691663,0,0,28971318,0,4055 35,3,2024-09-07 09:43:00:915,1,548,1,0,466,5435,548,0 36,0,2024-09-07 09:43:01:516,140224,0.5,140010,0.7,279881,0.5,372446,2.00 36,1,2024-09-07 09:43:00:583,963044,963044,0,0,451248003524,4713750002,950215,10599,2230,366,391759,0 36,2,2024-09-07 09:43:01:761,694547,694547,0,0,30968987,0,3875 36,3,2024-09-07 09:43:00:863,1,548,2,0,416,7413,548,0 37,0,2024-09-07 09:43:01:372,132711,0.5,132692,0.7,265629,0.5,354227,2.25 37,1,2024-09-07 09:43:00:572,962063,962056,0,7,451231854573,4711367359,950521,8822,2713,365,391770,0 37,2,2024-09-07 09:43:01:152,691451,691436,15,0,31420610,0,5815 37,3,2024-09-07 09:43:01:775,1,548,1,0,888,7457,548,0 38,0,2024-09-07 09:43:01:447,134763,0.4,130768,0.6,273432,0.3,358776,2.00 38,1,2024-09-07 09:43:01:608,963021,963021,0,0,452255967761,4726407088,949404,11045,2572,368,391821,0 38,2,2024-09-07 09:43:00:758,692172,692125,47,0,31298459,0,6710 38,3,2024-09-07 09:43:00:997,1,548,0,0,689,6807,548,0 39,0,2024-09-07 09:43:01:770,144182,0.5,140993,0.7,274893,0.5,375320,2.00 39,1,2024-09-07 09:43:00:717,961279,961279,0,0,451667836855,4738190006,944684,12900,3695,365,391865,0 39,2,2024-09-07 09:43:01:430,693384,693384,0,0,28876425,0,3391 39,3,2024-09-07 09:43:00:716,1,548,1,0,525,6494,548,0 40,0,2024-09-07 09:43:01:499,139486,0.9,140113,1.0,279511,1.0,373047,2.75 40,1,2024-09-07 09:43:00:578,962606,962606,0,0,451302183907,4719222210,949821,10572,2213,368,391668,0 40,2,2024-09-07 09:43:01:322,690914,690913,1,0,34207380,0,5137 40,3,2024-09-07 09:43:01:155,1,548,1,0,1028,8023,548,0 41,0,2024-09-07 09:43:01:029,133354,1.2,136330,1.1,259914,1.7,353623,3.00 41,1,2024-09-07 09:43:00:768,961913,961913,0,0,451059367052,4717926294,949586,10263,2064,369,391878,0 41,2,2024-09-07 09:43:00:757,690920,690919,1,0,33131587,0,5408 41,3,2024-09-07 09:43:01:680,1,548,1,0,366,5882,548,0 42,0,2024-09-07 09:43:01:479,134155,0.8,133965,1.0,267688,0.9,355755,2.75 42,1,2024-09-07 09:43:01:453,958723,958723,0,0,451146326706,4740918157,943429,12385,2909,380,391675,0 42,2,2024-09-07 09:43:01:136,692657,692657,0,0,32966870,0,3975 42,3,2024-09-07 09:43:01:013,1,548,9,0,892,4823,548,0 43,0,2024-09-07 09:43:00:923,138521,0.6,134829,0.9,282567,0.6,370362,2.25 43,1,2024-09-07 09:43:00:576,962134,962134,0,0,452316896512,4723713512,948870,10907,2357,366,391696,0 43,2,2024-09-07 09:43:01:736,694045,694045,0,0,31747043,0,4723 43,3,2024-09-07 09:43:01:761,1,548,2,0,571,7913,548,0 44,0,2024-09-07 09:43:00:858,141080,0.4,141001,0.6,282578,0.4,375557,1.75 44,1,2024-09-07 09:43:00:563,965149,965149,0,0,453222211603,4680809465,957315,6247,1587,356,391809,0 44,2,2024-09-07 09:43:01:272,688918,688918,0,0,25861202,0,4344 44,3,2024-09-07 09:43:01:093,1,548,1,0,817,5899,548,0 45,0,2024-09-07 09:43:01:769,134078,0.5,130970,0.7,275029,0.5,360859,2.00 45,1,2024-09-07 09:43:01:005,964172,964172,0,0,453327274051,4708984551,956647,6649,876,382,391917,0 45,2,2024-09-07 09:43:01:275,695017,695017,0,0,26471107,0,3596 45,3,2024-09-07 09:43:01:176,1,548,1,0,365,5029,548,0 46,0,2024-09-07 09:43:00:950,132933,0.4,132471,0.7,265521,0.4,352958,2.00 46,1,2024-09-07 09:43:00:575,965653,965653,0,0,453912503799,4688118841,959206,5583,864,366,391709,0 46,2,2024-09-07 09:43:00:593,695396,695396,0,0,26893702,0,4443 46,3,2024-09-07 09:43:01:139,1,548,1,0,908,6502,548,0 47,0,2024-09-07 09:43:01:102,138243,0.4,138315,0.6,277623,0.3,368241,1.75 47,1,2024-09-07 09:43:00:568,967029,967029,0,0,453891793155,4685985606,961724,4465,840,365,391641,0 47,2,2024-09-07 09:43:00:916,696752,696752,0,0,26379202,0,4477 47,3,2024-09-07 09:43:01:119,1,548,1,0,600,6064,548,0 48,0,2024-09-07 09:43:01:516,140064,0.3,140250,0.4,279940,0.2,372244,1.50 48,1,2024-09-07 09:43:01:027,964211,964211,0,0,452803932673,4703022424,958275,5360,576,384,391710,0 48,2,2024-09-07 09:43:00:700,691207,691207,0,0,24274323,0,3411 48,3,2024-09-07 09:43:00:753,1,548,1,0,339,4237,548,0 49,0,2024-09-07 09:43:01:727,142159,0.3,139435,0.5,271137,0.3,370158,1.75 49,1,2024-09-07 09:43:01:026,963646,963646,0,0,452702255399,4703705272,958028,4406,1212,382,391809,0 49,2,2024-09-07 09:43:01:796,696098,696098,0,0,27089154,0,4426 49,3,2024-09-07 09:43:01:416,1,548,1,0,992,6371,548,0 50,0,2024-09-07 09:43:01:510,133441,0.3,131666,0.5,265300,0.2,352733,1.75 50,1,2024-09-07 09:43:01:023,966833,966833,0,0,454041176922,4692209567,960809,5356,668,368,391565,0 50,2,2024-09-07 09:43:01:073,693767,693767,0,0,24745944,0,4490 50,3,2024-09-07 09:43:01:295,1,548,1,0,617,5566,548,0 51,0,2024-09-07 09:43:01:705,139517,0.3,136529,0.4,265762,0.2,362724,1.50 51,1,2024-09-07 09:43:01:694,967867,967867,0,0,455229057165,4691572686,963053,3758,1056,365,391706,0 51,2,2024-09-07 09:43:01:316,693211,693211,0,0,24238950,0,3337 51,3,2024-09-07 09:43:01:034,1,548,2,0,678,3838,548,0 52,0,2024-09-07 09:43:01:416,141203,0.5,140816,0.7,281995,0.5,374496,2.00 52,1,2024-09-07 09:43:00:574,962251,962251,0,0,451651515097,4728149162,948267,11774,2210,368,391805,0 52,2,2024-09-07 09:43:01:761,688954,688916,38,0,32528279,0,6742 52,3,2024-09-07 09:43:00:674,1,548,1,0,1782,6926,548,0 53,0,2024-09-07 09:43:01:732,139666,0.7,135484,0.8,283341,0.7,371813,2.50 53,1,2024-09-07 09:43:00:772,960635,960635,0,0,451481422649,4737525067,944366,12242,4027,367,391968,0 53,2,2024-09-07 09:43:01:304,693837,693836,1,0,29386782,0,5455 53,3,2024-09-07 09:43:00:699,1,548,1,0,308,5194,548,0 54,0,2024-09-07 09:43:01:622,132028,0.6,132328,0.8,263603,0.4,352168,2.25 54,1,2024-09-07 09:43:00:580,963000,963000,0,0,452284732943,4704962571,952394,8944,1662,366,391810,0 54,2,2024-09-07 09:43:00:865,693885,693853,32,0,33288545,0,6397 54,3,2024-09-07 09:43:00:765,1,548,0,0,676,7422,548,0 55,0,2024-09-07 09:43:01:770,130331,0.6,134400,0.8,272520,0.5,355366,2.50 55,1,2024-09-07 09:43:00:787,964274,964274,0,0,451851881508,4700156248,953991,8902,1381,365,391731,0 55,2,2024-09-07 09:43:00:730,691594,691538,56,0,31337134,0,7239 55,3,2024-09-07 09:43:00:674,1,548,3,0,304,5096,548,0 56,0,2024-09-07 09:43:01:580,143627,1.1,135490,1.1,278691,1.4,373938,2.75 56,1,2024-09-07 09:43:00:582,958662,958662,0,0,450587004436,4760108046,942905,12411,3346,381,391867,0 56,2,2024-09-07 09:43:01:311,692636,692514,122,0,32430254,0,7432 56,3,2024-09-07 09:43:01:084,1,548,33,0,705,6409,548,0 57,0,2024-09-07 09:43:00:997,139060,1.6,139068,1.2,278246,2.1,372166,3.25 57,1,2024-09-07 09:43:00:996,960222,960222,0,0,450952851111,4730890119,947472,10421,2329,366,392032,0 57,2,2024-09-07 09:43:01:323,691322,691322,0,0,33281433,0,4317 57,3,2024-09-07 09:43:01:738,1,548,59,0,455,6335,548,0 58,0,2024-09-07 09:43:00:563,133040,0.9,129095,1.0,270054,1.1,353410,2.50 58,1,2024-09-07 09:43:00:575,961910,961907,0,3,451798744444,4732566862,947811,10774,3322,367,391675,3 58,2,2024-09-07 09:43:01:089,693597,693597,0,0,31659388,0,3483 58,3,2024-09-07 09:43:01:074,1,548,92,0,1043,6012,548,0 59,0,2024-09-07 09:43:01:756,133367,0.8,133334,0.9,265854,0.8,352704,2.75 59,1,2024-09-07 09:43:00:806,960269,960269,0,0,451483477321,4740724939,944655,12437,3177,369,391653,0 59,2,2024-09-07 09:43:00:590,694046,694046,0,0,30406525,0,3727 59,3,2024-09-07 09:43:01:742,1,548,1,0,1015,6783,548,0 60,0,2024-09-07 09:43:01:829,138810,0.4,139271,0.6,278234,0.4,370395,1.75 60,1,2024-09-07 09:43:00:782,965518,965518,0,0,453348910349,4703332836,959229,5350,939,370,392031,0 60,2,2024-09-07 09:43:01:148,694190,694190,0,0,28476579,0,3811 60,3,2024-09-07 09:43:01:270,1,548,15,0,409,6438,548,0 61,0,2024-09-07 09:43:01:517,139786,0.7,140416,0.8,279563,0.7,373037,2.00 61,1,2024-09-07 09:43:00:775,962036,962036,0,0,451883204234,4728396783,952075,8379,1582,382,392127,0 61,2,2024-09-07 09:43:01:132,690375,690308,67,0,29197783,0,6411 61,3,2024-09-07 09:43:01:704,1,548,11,0,607,7608,548,0 62,0,2024-09-07 09:43:01:706,137807,0.6,141408,0.8,269985,0.6,366294,2.00 62,1,2024-09-07 09:43:01:119,967457,967451,0,6,454978281448,4687443803,963106,4063,282,365,391975,6 62,2,2024-09-07 09:43:01:648,693330,693329,1,0,28898820,0,5555 62,3,2024-09-07 09:43:01:157,1,548,31,0,482,4385,548,0 63,0,2024-09-07 09:43:01:463,133221,0.4,132973,0.6,266767,0.3,354031,1.75 63,1,2024-09-07 09:43:00:808,964553,964547,0,6,453631763784,4707437899,958360,5347,840,381,391800,6 63,2,2024-09-07 09:43:00:767,695188,695188,0,0,27646340,0,4369 63,3,2024-09-07 09:43:01:747,1,548,1,0,667,5966,548,0 64,0,2024-09-07 09:43:01:526,136397,0.5,136501,0.6,272147,0.4,362970,1.75 64,1,2024-09-07 09:43:00:764,963568,963568,0,0,452284721140,4708125631,955338,6419,1811,370,391794,0 64,2,2024-09-07 09:43:01:158,697661,697642,19,0,26708896,0,6121 64,3,2024-09-07 09:43:01:159,1,548,1,0,651,5627,548,0 65,0,2024-09-07 09:43:01:753,139045,0.6,139331,0.7,278261,0.6,370758,2.00 65,1,2024-09-07 09:43:00:871,961974,961974,0,0,450322622302,4704406595,955211,5963,800,381,391901,0 65,2,2024-09-07 09:43:01:953,691141,691141,0,0,31311056,0,3367 65,3,2024-09-07 09:43:01:687,1,548,11,0,782,6380,548,0 66,0,2024-09-07 09:43:01:771,139348,0.6,138817,0.8,277667,0.5,369572,2.25 66,1,2024-09-07 09:43:01:300,964199,964199,0,0,452314835681,4705782197,957982,5495,722,380,391743,0 66,2,2024-09-07 09:43:01:137,696743,696740,3,0,28455547,0,5455 66,3,2024-09-07 09:43:01:078,1,548,1,0,291,4647,548,0 67,0,2024-09-07 09:43:01:420,133145,0.5,132844,0.7,266373,0.5,354394,2.00 67,1,2024-09-07 09:43:00:765,964198,964197,0,1,452286207767,4705859578,957912,5470,815,380,391787,1 67,2,2024-09-07 09:43:00:582,696473,696458,15,0,27828597,0,6205 67,3,2024-09-07 09:43:01:761,1,548,1,0,595,5828,548,0 68,0,2024-09-07 09:43:00:755,135562,0.5,135366,0.7,269544,0.5,360895,2.00 68,1,2024-09-07 09:43:00:572,959542,959542,0,0,450507789022,4737750894,946594,9491,3457,381,391953,0 68,2,2024-09-07 09:43:01:280,688799,688699,100,0,36419170,0,8578 68,3,2024-09-07 09:43:00:745,1,548,11,0,417,7105,548,0 69,0,2024-09-07 09:43:01:880,140435,0.7,141332,0.8,281627,0.7,373927,2.25 69,1,2024-09-07 09:43:01:027,959143,959143,0,0,450367445841,4744838274,946527,9854,2762,383,391994,0 69,2,2024-09-07 09:43:01:799,690946,690917,29,0,37639186,0,6912 69,3,2024-09-07 09:43:00:767,1,548,1,0,698,8139,548,0 70,0,2024-09-07 09:43:01:548,139130,0.8,139572,1.0,280802,0.7,371914,2.50 70,1,2024-09-07 09:43:00:808,964912,964912,0,0,453211162867,4702888872,957330,6819,763,366,391725,0 70,2,2024-09-07 09:43:01:329,692594,692594,0,0,31494427,0,4323 70,3,2024-09-07 09:43:00:745,1,548,0,0,854,5879,548,0 71,0,2024-09-07 09:43:01:365,132653,1.1,132407,1.1,265877,1.4,354960,2.75 71,1,2024-09-07 09:43:01:607,962439,962439,0,0,451326915051,4710499073,950609,10481,1349,368,391738,0 71,2,2024-09-07 09:43:01:073,693003,693003,0,0,32023941,0,4352 71,3,2024-09-07 09:43:01:761,1,548,2,0,644,6460,548,0 72,0,2024-09-07 09:43:01:084,139270,0.5,136085,0.7,265713,0.5,361475,2.00 72,1,2024-09-07 09:43:01:036,961118,961118,0,0,451471098242,4734598868,947252,11472,2394,369,391819,0 72,2,2024-09-07 09:43:01:767,692071,692071,0,0,33327679,0,3983 72,3,2024-09-07 09:43:01:768,1,548,2,0,564,7640,548,0 73,0,2024-09-07 09:43:01:250,136137,0.4,139492,0.6,285528,0.3,371285,2.00 73,1,2024-09-07 09:43:00:791,963192,963192,0,0,451879973553,4696160877,955719,6546,927,367,391858,0 73,2,2024-09-07 09:43:01:779,693649,693649,0,0,33754283,0,4728 73,3,2024-09-07 09:43:00:981,1,548,3,0,486,6805,548,0 74,0,2024-09-07 09:43:01:324,141794,0.5,145158,0.7,276822,0.4,375913,2.00 74,1,2024-09-07 09:43:00:638,962155,962155,0,0,451575060207,4713061895,951631,8598,1926,381,391762,0 74,2,2024-09-07 09:43:01:007,689922,689922,0,0,29214484,0,4253 74,3,2024-09-07 09:43:01:456,1,548,1,0,522,6452,548,0 75,0,2024-09-07 09:43:01:769,136027,0.6,135191,0.8,271338,0.5,362394,2.25 75,1,2024-09-07 09:43:01:598,963519,963519,0,0,452592648061,4715928359,955570,7084,865,380,391739,0 75,2,2024-09-07 09:43:01:356,692959,692959,0,0,35414218,0,4766 75,3,2024-09-07 09:43:01:081,1,548,0,0,702,6431,548,0 76,0,2024-09-07 09:43:00:610,132789,0.5,132214,0.7,264761,0.5,354665,2.25 76,1,2024-09-07 09:43:00:808,962984,962984,0,0,451546100811,4707449513,956329,5687,968,382,391723,0 76,2,2024-09-07 09:43:01:061,697012,697009,3,0,29483227,0,5265 76,3,2024-09-07 09:43:01:167,1,548,11,0,227,4977,548,0 77,0,2024-09-07 09:43:01:729,137568,0.5,138086,0.7,276314,0.4,367183,2.00 77,1,2024-09-07 09:43:00:845,962814,962814,0,0,452549003715,4717280086,955955,6262,597,381,391869,0 77,2,2024-09-07 09:43:01:281,693680,693680,0,0,29033481,0,3890 77,3,2024-09-07 09:43:01:112,1,548,63,0,401,5847,548,0 78,0,2024-09-07 09:43:01:764,140233,0.4,139633,0.6,280133,0.4,370823,2.00 78,1,2024-09-07 09:43:00:610,962820,962820,0,0,452051856269,4710709966,951940,8353,2527,367,391670,0 78,2,2024-09-07 09:43:01:409,692305,692292,13,0,26843700,0,8313 78,3,2024-09-07 09:43:01:136,1,548,1,0,311,4680,548,0 79,0,2024-09-07 09:43:01:354,133661,0.4,136886,0.6,280529,0.3,364855,2.00 79,1,2024-09-07 09:43:00:571,965912,965912,0,0,453733489760,4697949124,958168,6387,1357,367,391682,0 79,2,2024-09-07 09:43:01:070,695208,695208,0,0,25877861,0,4195 79,3,2024-09-07 09:43:00:749,1,548,2,0,418,6388,548,0 80,0,2024-09-07 09:43:01:145,132502,0.5,136273,0.6,260493,0.5,352283,2.00 80,1,2024-09-07 09:43:01:649,962786,962786,0,0,453194301773,4714428163,956917,5440,429,368,392269,0 80,2,2024-09-07 09:43:01:113,696549,696549,0,0,26574833,0,4433 80,3,2024-09-07 09:43:00:585,1,548,24,0,681,7035,548,0 81,0,2024-09-07 09:43:01:659,136139,0.5,139341,0.7,265663,0.5,360865,2.00 81,1,2024-09-07 09:43:01:665,961811,961811,0,0,451578973229,4717718933,954387,6782,642,382,391885,0 81,2,2024-09-07 09:43:01:140,691997,691934,63,0,29626272,0,5932 81,3,2024-09-07 09:43:01:126,1,548,7,0,719,6271,548,0 82,0,2024-09-07 09:43:01:555,140561,0.4,140698,0.7,282042,0.4,373565,2.00 82,1,2024-09-07 09:43:00:591,964659,964655,0,4,452949179187,4706326265,959552,4282,821,381,391768,4 82,2,2024-09-07 09:43:01:695,694565,694565,0,0,24662232,0,4484 82,3,2024-09-07 09:43:01:761,1,548,1,0,363,5117,548,0 83,0,2024-09-07 09:43:01:533,140269,0.6,140280,0.7,279994,0.6,371917,2.25 83,1,2024-09-07 09:43:00:551,962929,962929,0,0,451804814534,4707034562,956523,5949,457,382,391709,0 83,2,2024-09-07 09:43:00:765,693897,693872,25,0,26669921,0,5612 83,3,2024-09-07 09:43:00:750,1,548,0,0,1260,6714,548,0 84,0,2024-09-07 09:43:01:812,132728,0.7,133071,0.9,265536,0.6,355318,2.25 84,1,2024-09-07 09:43:01:047,961587,961587,0,0,451061222920,4717452840,950230,9703,1654,367,391967,0 84,2,2024-09-07 09:43:00:582,692863,692833,30,0,35735682,0,5971 84,3,2024-09-07 09:43:01:150,1,548,2,0,908,7578,548,0 85,0,2024-09-07 09:43:01:002,129761,0.6,129834,0.8,275546,0.5,356567,2.25 85,1,2024-09-07 09:43:00:563,958210,958210,0,0,451091206990,4764586943,942939,12525,2746,381,392092,0 85,2,2024-09-07 09:43:00:880,691944,691944,0,0,33372297,0,4255 85,3,2024-09-07 09:43:00:685,1,548,1,0,789,6188,548,0 86,0,2024-09-07 09:43:00:919,140757,0.6,144698,0.8,276656,0.6,375336,2.25 86,1,2024-09-07 09:43:00:856,960550,960550,0,0,451812582818,4738907873,947644,10251,2655,366,391995,0 86,2,2024-09-07 09:43:00:890,692385,692384,1,0,35530328,0,5004 86,3,2024-09-07 09:43:00:592,1,548,16,0,308,7879,548,0 87,0,2024-09-07 09:43:01:354,140880,0.8,140590,0.9,281093,1.0,375847,2.25 87,1,2024-09-07 09:43:00:552,960156,960156,0,0,451516626033,4729637454,947727,10712,1717,366,392076,0 87,2,2024-09-07 09:43:01:075,690484,690478,6,0,31347540,0,6323 87,3,2024-09-07 09:43:01:797,1,548,1,0,473,8297,548,0 88,0,2024-09-07 09:43:01:469,134735,0.5,135259,0.6,270361,0.4,359772,1.75 88,1,2024-09-07 09:43:00:574,959743,959743,0,0,451425565977,4726777779,946742,10354,2647,365,392084,0 88,2,2024-09-07 09:43:00:688,693284,693284,0,0,34288763,0,4465 88,3,2024-09-07 09:43:01:273,1,548,19,0,435,7341,548,0 89,0,2024-09-07 09:43:01:797,137894,0.4,133650,0.6,264020,0.4,358778,1.75 89,1,2024-09-07 09:43:00:550,959358,959358,0,0,450475831802,4737456269,947637,9880,1841,382,391866,0 89,2,2024-09-07 09:43:01:137,693462,693462,0,0,32400952,0,3173 89,3,2024-09-07 09:43:01:796,1,548,4,0,468,8939,548,0 90,0,2024-09-07 09:43:01:625,134869,0.4,138523,0.6,282468,0.4,368892,1.75 90,1,2024-09-07 09:43:00:592,961139,961139,0,0,451101089807,4722730973,952008,8295,836,380,391825,0 90,2,2024-09-07 09:43:01:410,691163,691163,0,0,34541882,0,3608 90,3,2024-09-07 09:43:00:944,1,548,46,0,322,6648,548,0 91,0,2024-09-07 09:43:00:963,140406,0.5,136098,0.6,284604,0.4,373613,1.75 91,1,2024-09-07 09:43:00:580,958732,958732,0,0,450678042568,4741613122,945731,10504,2497,381,392047,0 91,2,2024-09-07 09:43:01:339,690455,690455,0,0,30159079,0,4713 91,3,2024-09-07 09:43:00:608,1,548,7,0,216,5081,548,0 92,0,2024-09-07 09:43:01:502,138954,0.5,141987,0.6,270757,0.5,366599,1.75 92,1,2024-09-07 09:43:00:580,962476,962476,0,0,451888339659,4718057625,954924,6343,1209,381,392136,0 92,2,2024-09-07 09:43:01:357,695093,695093,0,0,27248126,0,3259 92,3,2024-09-07 09:43:01:013,1,548,1,0,167,4715,548,0 93,0,2024-09-07 09:43:01:207,133528,0.4,136875,0.6,261373,0.3,353996,1.75 93,1,2024-09-07 09:43:00:820,962607,962607,0,0,452017498343,4716019787,952217,8656,1734,366,391776,0 93,2,2024-09-07 09:43:01:179,694622,694622,0,0,33212300,0,4913 93,3,2024-09-07 09:43:01:420,1,548,7,0,190,4851,548,0 94,0,2024-09-07 09:43:01:617,136240,0.3,137088,0.5,274082,0.3,364593,1.75 94,1,2024-09-07 09:43:00:581,963296,963296,0,0,452553023201,4717662218,956927,5945,424,381,391850,0 94,2,2024-09-07 09:43:00:767,693543,693515,28,0,28202582,0,6179 94,3,2024-09-07 09:43:01:696,1,548,1,0,576,6794,548,0 95,0,2024-09-07 09:43:01:421,140135,0.3,140136,0.5,280460,0.3,373375,1.75 95,1,2024-09-07 09:43:00:855,964553,964553,0,0,452811338727,4703444773,957036,6836,681,365,391852,0 95,2,2024-09-07 09:43:01:027,691085,691085,0,0,27058691,0,3308 95,3,2024-09-07 09:43:01:726,1,548,1,0,718,8237,548,0 96,0,2024-09-07 09:43:01:034,139797,0.4,140016,0.5,279932,0.3,371808,1.75 96,1,2024-09-07 09:43:01:591,962221,962221,0,0,452136744339,4713032727,955782,5524,915,384,391964,0 96,2,2024-09-07 09:43:01:276,694980,694980,0,0,28061246,0,4180 96,3,2024-09-07 09:43:01:145,1,548,2,0,411,5781,548,0 97,0,2024-09-07 09:43:01:339,133110,0.3,133067,0.5,267180,0.3,354537,1.50 97,1,2024-09-07 09:43:00:769,964649,964649,0,0,453284625845,4698956552,958842,4862,945,367,392140,0 97,2,2024-09-07 09:43:00:608,695537,695537,0,0,27598025,0,3679 97,3,2024-09-07 09:43:00:579,1,548,10,0,242,6138,548,0 98,0,2024-09-07 09:43:01:720,135000,0.3,135176,0.4,271211,0.2,360785,1.50 98,1,2024-09-07 09:43:00:594,963637,963637,0,0,451831715027,4702627827,958149,4686,802,381,391997,0 98,2,2024-09-07 09:43:00:769,693432,693432,0,0,27160281,0,4336 98,3,2024-09-07 09:43:00:700,1,548,3,0,840,8428,548,0 99,0,2024-09-07 09:43:01:460,141353,0.3,141947,0.5,282473,0.3,376840,1.75 99,1,2024-09-07 09:43:01:723,963961,963961,0,0,451961588231,4702323182,957930,5102,929,380,392069,0 99,2,2024-09-07 09:43:01:428,693946,693946,0,0,32784273,0,4276 99,3,2024-09-07 09:43:00:581,1,548,16,0,606,5379,548,0 100,0,2024-09-07 09:43:01:498,140355,0.8,140682,0.9,281010,0.9,375479,2.50 100,1,2024-09-07 09:43:00:548,958300,958300,0,0,449352148220,4751583804,943211,11809,3280,378,391989,0 100,2,2024-09-07 09:43:01:823,690265,690254,11,0,32424827,0,5417 100,3,2024-09-07 09:43:01:747,1,548,1,0,627,9717,548,0 101,0,2024-09-07 09:43:01:755,136751,1.1,133296,1.1,261184,1.1,357073,2.25 101,1,2024-09-07 09:43:00:552,960008,960008,0,0,450877256721,4736982169,947132,10426,2450,368,391847,0 101,2,2024-09-07 09:43:01:764,689463,689463,0,0,37417116,0,4871 101,3,2024-09-07 09:43:00:952,1,548,1,0,1250,8593,548,0 102,0,2024-09-07 09:43:01:231,131185,0.6,135214,0.7,274240,0.6,359459,2.00 102,1,2024-09-07 09:43:01:146,959717,959717,0,0,450941914456,4737104531,946565,11001,2151,369,391891,0 102,2,2024-09-07 09:43:01:748,693575,693521,54,0,31696779,0,6768 102,3,2024-09-07 09:43:01:629,1,548,1,0,466,6326,548,0 103,0,2024-09-07 09:43:01:657,144309,0.5,144243,0.7,271872,0.5,374326,2.00 103,1,2024-09-07 09:43:01:654,958546,958546,0,0,450653216040,4759805754,942844,12115,3587,381,392077,0 103,2,2024-09-07 09:43:00:597,691935,691935,0,0,33693893,0,3766 103,3,2024-09-07 09:43:00:757,1,548,1,0,916,6894,548,0 104,0,2024-09-07 09:43:01:020,139747,0.8,139913,0.9,279136,0.7,373814,2.25 104,1,2024-09-07 09:43:01:608,961333,961333,0,0,451333177676,4740229378,947488,11257,2588,365,392168,0 104,2,2024-09-07 09:43:01:670,687894,687894,0,0,32133796,0,3941 104,3,2024-09-07 09:43:01:426,1,548,2,0,1245,9363,548,0 105,0,2024-09-07 09:43:01:036,134380,0.9,130936,1.1,274494,1.0,360421,2.75 105,1,2024-09-07 09:43:00:554,962319,962319,0,0,452570437002,4739616086,950261,10254,1804,364,392009,0 105,2,2024-09-07 09:43:01:335,692804,692804,0,0,32941535,0,4360 105,3,2024-09-07 09:43:01:312,1,548,5,0,467,8049,548,0 106,0,2024-09-07 09:43:01:226,128856,0.7,131957,0.9,270392,0.7,353216,2.50 106,1,2024-09-07 09:43:01:762,961478,961478,0,0,451094978726,4731511559,948332,11495,1651,368,391914,0 106,2,2024-09-07 09:43:00:766,693499,693499,0,0,30989651,0,2920 106,3,2024-09-07 09:43:00:680,1,548,1,0,1224,8092,548,0 107,0,2024-09-07 09:43:01:162,137848,0.8,137848,0.9,275785,0.9,367543,2.25 107,1,2024-09-07 09:43:00:597,959497,959497,0,0,450544456181,4749412341,946049,11982,1466,381,392234,0 107,2,2024-09-07 09:43:01:306,691143,691142,1,0,33671959,0,5024 107,3,2024-09-07 09:43:01:761,1,548,13,0,733,8147,548,0 108,0,2024-09-07 09:43:01:829,139666,0.4,140274,0.6,279376,0.4,372473,1.75 108,1,2024-09-07 09:43:01:296,962136,962136,0,0,451929656155,4711235890,954205,6956,975,367,391894,0 108,2,2024-09-07 09:43:01:777,689661,689661,0,0,29627436,0,4246 108,3,2024-09-07 09:43:01:350,1,548,1,0,749,10503,548,0 109,0,2024-09-07 09:43:01:768,139146,0.4,137930,0.6,276609,0.4,369193,1.75 109,1,2024-09-07 09:43:00:583,959789,959789,0,0,452083505007,4739248978,951468,7104,1217,382,392132,0 109,2,2024-09-07 09:43:00:925,692842,692842,0,0,29936975,0,3617 109,3,2024-09-07 09:43:01:160,1,548,10,0,630,6842,548,0 110,0,2024-09-07 09:43:01:799,132644,0.4,129026,0.6,270151,0.3,353676,1.75 110,1,2024-09-07 09:43:01:649,963930,963930,0,0,453389991642,4705368201,956387,5619,1924,368,392045,0 110,2,2024-09-07 09:43:01:310,695008,695008,0,0,28153301,0,4067 110,3,2024-09-07 09:43:00:702,1,548,6,0,722,7448,548,0 111,0,2024-09-07 09:43:01:417,136437,0.3,135559,0.5,271463,0.2,362270,1.75 111,1,2024-09-07 09:43:01:005,965166,965166,0,0,454085627167,4705203688,960601,4208,357,380,391690,0 111,2,2024-09-07 09:43:01:119,692776,692776,0,0,28590092,0,4823 111,3,2024-09-07 09:43:00:920,1,548,1,0,379,6095,548,0 112,0,2024-09-07 09:43:00:920,141513,0.3,140951,0.4,282681,0.2,374804,1.50 112,1,2024-09-07 09:43:00:828,963701,963701,0,0,452628021545,4699489190,957180,5436,1085,380,391624,0 112,2,2024-09-07 09:43:01:151,692727,692726,1,0,27139233,0,5036 112,3,2024-09-07 09:43:00:592,1,548,0,0,282,5222,548,0 113,0,2024-09-07 09:43:00:868,140483,0.3,140378,0.5,281118,0.2,374233,1.75 113,1,2024-09-07 09:43:01:685,966261,966261,0,0,454367213590,4687259926,960684,4702,875,365,391664,0 113,2,2024-09-07 09:43:01:310,696538,696538,0,0,24824806,0,3813 113,3,2024-09-07 09:43:00:684,1,548,1,0,510,6148,548,0 114,0,2024-09-07 09:43:00:877,134265,0.3,135155,0.5,268638,0.2,359380,1.75 114,1,2024-09-07 09:43:00:716,964225,964225,0,0,453612516587,4707409194,957310,5298,1617,381,391565,0 114,2,2024-09-07 09:43:00:874,695130,695129,1,0,27089650,0,5069 114,3,2024-09-07 09:43:01:278,1,548,0,0,395,4375,548,0 115,0,2024-09-07 09:43:00:590,135459,0.3,136069,0.4,271057,0.2,360278,1.50 115,1,2024-09-07 09:43:00:571,964473,964473,0,0,452858331889,4702985039,957051,6064,1358,382,391757,0 115,2,2024-09-07 09:43:01:125,696241,696241,0,0,26432682,0,4382 115,3,2024-09-07 09:43:01:010,1,548,4,0,167,3186,548,0 116,0,2024-09-07 09:43:01:733,140463,0.6,140246,0.8,281097,0.6,376533,2.00 116,1,2024-09-07 09:43:00:806,959132,959132,0,0,451016988426,4756037594,947123,8957,3052,380,392089,0 116,2,2024-09-07 09:43:01:764,692977,692977,0,0,33936725,0,4475 116,3,2024-09-07 09:43:00:920,1,548,1,0,448,7136,548,0 117,0,2024-09-07 09:43:01:193,141097,0.7,140502,0.8,281611,0.8,376644,2.00 117,1,2024-09-07 09:43:01:585,960789,960789,0,0,451288593357,4723889574,950157,9170,1462,369,392033,0 117,2,2024-09-07 09:43:01:136,692462,692462,0,0,29998441,0,4303 117,3,2024-09-07 09:43:01:062,1,548,0,0,490,7288,548,0 118,0,2024-09-07 09:43:01:774,130710,0.6,134276,0.7,273928,0.5,358140,2.00 118,1,2024-09-07 09:43:00:592,959430,959430,0,0,450631883418,4739174909,944588,11233,3609,366,392054,0 118,2,2024-09-07 09:43:01:598,692742,692742,0,0,32611328,0,2842 118,3,2024-09-07 09:43:01:775,1,548,3,0,248,6017,548,0 119,0,2024-09-07 09:43:01:347,133513,0.6,134104,0.7,267803,0.6,356893,2.00 119,1,2024-09-07 09:43:00:564,960529,960529,0,0,451127558374,4730361910,947263,10932,2334,367,391857,0 119,2,2024-09-07 09:43:01:264,694494,694494,0,0,30715586,0,4309 119,3,2024-09-07 09:43:01:328,1,548,6,0,1358,10729,548,0 120,0,2024-09-07 09:43:01:548,138238,0.6,138107,0.8,277290,0.6,369737,2.25 120,1,2024-09-07 09:43:00:857,961997,961997,0,0,451326765526,4728053472,952414,8802,781,367,392144,0 120,2,2024-09-07 09:43:00:775,692781,692780,1,0,35209992,0,5281 120,3,2024-09-07 09:43:01:296,1,548,110,0,279,7005,548,0 121,0,2024-09-07 09:43:01:698,140154,1.2,139472,1.1,279433,1.6,372588,2.25 121,1,2024-09-07 09:43:01:662,961606,961606,0,0,451504227259,4720054764,951903,8853,850,366,391840,0 121,2,2024-09-07 09:43:01:129,688991,688991,0,0,31711461,0,4157 121,3,2024-09-07 09:43:00:726,1,548,1,0,330,6523,548,0 122,0,2024-09-07 09:43:01:824,136821,0.8,133097,0.9,278859,1.0,366509,2.25 122,1,2024-09-07 09:43:00:861,960524,960524,0,0,451650337942,4737126461,947416,11158,1950,366,392130,0 122,2,2024-09-07 09:43:01:355,694741,694666,75,0,36281753,0,5989 122,3,2024-09-07 09:43:00:600,1,548,13,0,512,9106,548,0 123,0,2024-09-07 09:43:00:996,132399,0.8,128619,0.8,269385,0.9,352703,2.25 123,1,2024-09-07 09:43:00:559,960913,960913,0,0,451051681135,4741822741,945523,12883,2507,369,392039,0 123,2,2024-09-07 09:43:01:027,692209,692208,1,0,31008086,0,5215 123,3,2024-09-07 09:43:01:136,1,548,66,0,478,6394,548,0 124,0,2024-09-07 09:43:00:989,140662,0.3,140732,0.5,265224,0.3,365729,1.75 124,1,2024-09-07 09:43:01:059,963620,963620,0,0,452088248454,4696732774,956699,5787,1134,365,392178,0 124,2,2024-09-07 09:43:01:019,694648,694595,53,0,27986374,0,6487 124,3,2024-09-07 09:43:00:764,1,548,90,0,490,5668,548,0 125,0,2024-09-07 09:43:01:444,139931,0.4,139778,0.5,280690,0.3,373342,1.75 125,1,2024-09-07 09:43:00:860,962066,962066,0,0,451091539525,4705207141,955042,6040,984,382,391813,0 125,2,2024-09-07 09:43:01:120,694133,694133,0,0,27845175,0,4534 125,3,2024-09-07 09:43:01:134,1,548,3,0,709,6450,548,0 126,0,2024-09-07 09:43:01:419,139931,0.4,143893,0.6,275399,0.4,372405,1.75 126,1,2024-09-07 09:43:00:556,965466,965466,0,0,452536027936,4682086263,960738,4416,312,365,391987,0 126,2,2024-09-07 09:43:00:618,695430,695430,0,0,28897151,0,4539 126,3,2024-09-07 09:43:00:935,1,548,14,0,268,6038,548,0 127,0,2024-09-07 09:43:01:644,133374,0.3,133727,0.5,266940,0.3,354820,1.75 127,1,2024-09-07 09:43:00:569,963439,963439,0,0,451974200783,4700316789,954046,7886,1507,364,392187,0 127,2,2024-09-07 09:43:00:648,693679,693675,4,0,27728032,0,5305 127,3,2024-09-07 09:43:01:277,1,548,13,0,968,5466,548,0 128,0,2024-09-07 09:43:01:557,135957,0.3,135915,0.4,271560,0.2,361575,1.50 128,1,2024-09-07 09:43:01:609,963045,963045,0,0,453220232150,4709136313,955895,6288,862,367,392031,0 128,2,2024-09-07 09:43:01:388,694565,694565,0,0,25933118,0,3171 128,3,2024-09-07 09:43:00:780,1,548,3,0,1082,8716,548,0 129,0,2024-09-07 09:43:01:027,142334,0.3,141690,0.5,284057,0.3,377191,1.50 129,1,2024-09-07 09:43:00:567,959920,959920,0,0,451796517376,4732824391,950521,7397,2002,379,391962,0 129,2,2024-09-07 09:43:00:686,694301,694297,4,0,28211484,0,5335 129,3,2024-09-07 09:43:00:688,1,548,0,0,506,7732,548,0 130,0,2024-09-07 09:43:01:732,141644,0.4,141090,0.6,282984,0.4,376793,1.75 130,1,2024-09-07 09:43:00:585,964013,964013,0,0,452284842725,4696299719,959155,4469,389,381,391825,0 130,2,2024-09-07 09:43:01:125,693379,693379,0,0,27993579,0,4067 130,3,2024-09-07 09:43:01:292,1,548,5,0,960,7517,548,0 131,0,2024-09-07 09:43:01:950,134079,0.4,134656,0.5,269517,0.3,357983,1.75 131,1,2024-09-07 09:43:01:827,963377,963377,0,0,452690781066,4718840155,956477,5708,1192,381,391865,0 131,2,2024-09-07 09:43:00:567,694488,694488,0,0,26164989,0,3979 131,3,2024-09-07 09:43:01:697,1,548,1,0,392,6762,548,0 132,0,2024-09-07 09:43:01:426,134993,0.5,135903,0.6,270469,0.4,360072,2.00 132,1,2024-09-07 09:43:00:576,959355,959355,0,0,450332846833,4738300343,945854,10976,2525,381,392532,0 132,2,2024-09-07 09:43:00:700,693726,693709,17,0,34564969,0,6451 132,3,2024-09-07 09:43:01:695,1,548,3,0,804,8888,548,0 133,0,2024-09-07 09:43:01:533,136159,0.4,139376,0.6,285851,0.4,372018,1.75 133,1,2024-09-07 09:43:00:583,959198,959198,0,0,451260465057,4754859575,946102,11392,1704,383,391914,0 133,2,2024-09-07 09:43:01:088,694338,694288,50,0,34928390,0,6861 133,3,2024-09-07 09:43:01:305,1,548,1,0,528,6889,548,0 134,0,2024-09-07 09:43:01:192,141056,0.5,140983,0.7,282382,0.5,375945,2.25 134,1,2024-09-07 09:43:00:591,961084,961084,0,0,450807937949,4724426326,949277,9659,2148,366,391781,0 134,2,2024-09-07 09:43:01:775,689663,689639,24,0,32481366,0,6207 134,3,2024-09-07 09:43:00:787,1,548,61,0,739,6480,548,0 135,0,2024-09-07 09:43:01:123,131578,0.9,131587,0.9,279373,1.1,359932,2.25 135,1,2024-09-07 09:43:01:594,960610,960610,0,0,450980101726,4740772475,948607,10432,1571,380,391805,0 135,2,2024-09-07 09:43:00:691,694367,694367,0,0,33123293,0,4503 135,3,2024-09-07 09:43:01:011,1,548,48,0,900,5476,548,0 136,0,2024-09-07 09:43:01:630,133857,0.5,134147,0.7,267071,0.5,356389,2.00 136,1,2024-09-07 09:43:01:453,961306,961306,0,0,451321756775,4728726512,950587,9425,1294,381,392135,0 136,2,2024-09-07 09:43:01:141,695678,695663,15,0,32465424,0,6007 136,3,2024-09-07 09:43:01:123,1,548,141,0,637,6611,548,0 137,0,2024-09-07 09:43:00:933,142369,0.5,138807,0.7,271931,0.5,369572,2.00 137,1,2024-09-07 09:43:00:575,959601,959601,0,0,451898391696,4735461912,945202,11614,2785,366,391898,0 137,2,2024-09-07 09:43:01:710,692095,692095,0,0,33741327,0,3185 137,3,2024-09-07 09:43:00:778,1,548,1,0,484,7124,548,0 138,0,2024-09-07 09:43:01:764,139248,0.8,139440,0.9,279470,0.9,370958,2.00 138,1,2024-09-07 09:43:01:688,960928,960928,0,0,452138093666,4738705764,947916,11041,1971,368,391954,0 138,2,2024-09-07 09:43:00:594,689993,689993,0,0,30924033,0,4988 138,3,2024-09-07 09:43:00:626,1,548,8,0,1200,8792,548,0 139,0,2024-09-07 09:43:01:412,137381,1.2,137861,1.0,275709,1.7,367540,2.25 139,1,2024-09-07 09:43:00:591,956615,956615,0,0,449696041427,4767298516,939633,13297,3685,380,392109,0 139,2,2024-09-07 09:43:00:698,690444,690444,0,0,35029452,0,3097 139,3,2024-09-07 09:43:01:727,1,548,197,0,432,6312,548,0 140,0,2024-09-07 09:43:01:605,133286,0.3,132396,0.5,265691,0.2,353929,1.75 140,1,2024-09-07 09:43:01:538,966734,966734,0,0,454238884604,4680020090,962148,4048,538,364,391606,0 140,2,2024-09-07 09:43:00:689,695480,695479,1,0,26261368,0,5036 140,3,2024-09-07 09:43:00:767,1,548,1,0,297,4931,548,0 141,0,2024-09-07 09:43:01:705,136154,0.3,139750,0.4,267066,0.2,362146,1.50 141,1,2024-09-07 09:43:00:858,964722,964722,0,0,453942293293,4713280185,957284,6305,1133,379,391614,0 141,2,2024-09-07 09:43:01:696,693094,693083,11,0,27883832,0,5369 141,3,2024-09-07 09:43:01:042,1,548,1,0,391,6259,548,0 142,0,2024-09-07 09:43:01:310,141659,0.3,140618,0.5,281806,0.3,375625,1.50 142,1,2024-09-07 09:43:00:590,962796,962796,0,0,452626111910,4714210322,957121,5215,460,382,392102,0 142,2,2024-09-07 09:43:01:316,691700,691668,32,0,28371127,0,6028 142,3,2024-09-07 09:43:01:752,1,548,9,0,484,5714,548,0 143,0,2024-09-07 09:43:01:420,140228,0.4,140282,0.6,281476,0.4,374062,1.75 143,1,2024-09-07 09:43:00:557,965120,965120,0,0,453189303560,4700338055,958884,5674,562,367,391722,0 143,2,2024-09-07 09:43:00:778,695090,695090,0,0,27973275,0,3123 143,3,2024-09-07 09:43:01:152,1,548,122,0,462,6750,548,0 144,0,2024-09-07 09:43:01:510,129858,0.6,133585,0.8,271751,0.6,356082,2.00 144,1,2024-09-07 09:43:00:574,960296,960296,0,0,450912070236,4728595661,950871,7457,1968,381,391733,0 144,2,2024-09-07 09:43:01:762,694441,694441,0,0,27097115,0,3673 144,3,2024-09-07 09:43:01:740,1,548,1,0,249,5329,548,0 145,0,2024-09-07 09:43:01:409,130756,0.5,130752,0.7,277466,0.5,357202,2.25 145,1,2024-09-07 09:43:00:553,959579,959579,0,0,450787167818,4745981820,946112,10807,2660,382,391759,0 145,2,2024-09-07 09:43:01:484,691178,691096,82,0,33473254,0,7814 145,3,2024-09-07 09:43:00:908,1,548,3,0,622,7601,548,0 146,0,2024-09-07 09:43:01:612,140618,0.6,140192,0.7,281506,0.5,374666,2.25 146,1,2024-09-07 09:43:01:595,960504,960504,0,0,451139884570,4746458115,944139,11949,4416,367,391770,0 146,2,2024-09-07 09:43:01:706,692230,692224,6,0,31346949,0,5151 146,3,2024-09-07 09:43:01:278,1,548,8,0,1520,9281,548,0 147,0,2024-09-07 09:43:01:733,141048,0.6,140729,0.7,281273,0.6,375777,2.25 147,1,2024-09-07 09:43:01:380,964252,964252,0,0,453578861195,4709049756,956956,6416,880,367,391791,0 147,2,2024-09-07 09:43:01:011,690863,690863,0,0,27475294,0,2968 147,3,2024-09-07 09:43:00:913,1,548,4,0,1626,8632,548,0 0,0,2024-09-07 09:43:11:708,135361,0.5,135355,0.7,287113,0.5,371187,2.00 0,1,2024-09-07 09:43:10:816,962995,962995,0,0,452446630449,4734629417,955403,6749,843,369,391896,0 0,2,2024-09-07 09:43:11:111,696259,696259,0,0,28064141,0,4480 0,3,2024-09-07 09:43:10:976,1,549,3,0,431,7881,549,0 1,0,2024-09-07 09:43:11:761,140158,0.9,139156,0.9,279906,1.1,373927,2.00 1,1,2024-09-07 09:43:10:565,961540,961540,0,0,450901976282,4722325038,952768,7299,1473,370,391859,0 1,2,2024-09-07 09:43:10:639,691489,691489,0,0,27039805,0,3380 1,3,2024-09-07 09:43:11:302,1,549,2,0,269,6673,549,0 2,0,2024-09-07 09:43:11:618,137242,0.6,137022,0.7,273915,0.6,365406,2.00 2,1,2024-09-07 09:43:10:865,965150,965150,0,0,453773497291,4714195159,959984,4236,930,379,391745,0 2,2,2024-09-07 09:43:11:275,696058,696058,0,0,25971464,0,3594 2,3,2024-09-07 09:43:10:692,1,549,3,0,357,4843,549,0 3,0,2024-09-07 09:43:11:745,132859,0.4,132837,0.6,264931,0.3,353575,2.00 3,1,2024-09-07 09:43:11:618,964191,964191,0,0,452816430851,4711184305,956671,6817,703,379,391716,0 3,2,2024-09-07 09:43:11:148,696595,696572,23,0,27083130,0,5851 3,3,2024-09-07 09:43:11:763,1,549,0,0,275,3585,549,0 4,0,2024-09-07 09:43:11:777,133175,0.4,136861,0.5,278946,0.3,365455,1.75 4,1,2024-09-07 09:43:10:617,960143,960143,0,0,449847116430,4765270940,942894,13542,3707,370,391992,0 4,2,2024-09-07 09:43:11:036,691631,691631,0,0,33642548,0,4534 4,3,2024-09-07 09:43:11:045,1,549,24,0,448,6961,549,0 5,0,2024-09-07 09:43:11:443,140281,0.4,140440,0.5,280827,0.4,373050,1.75 5,1,2024-09-07 09:43:10:759,961272,961272,0,0,451919101051,4757787124,947645,10890,2737,367,392005,0 5,2,2024-09-07 09:43:11:829,689213,689213,0,0,32098401,0,3582 5,3,2024-09-07 09:43:11:737,1,549,3,0,457,7415,549,0 6,0,2024-09-07 09:43:10:943,139900,0.5,139568,0.7,279360,0.4,371953,2.00 6,1,2024-09-07 09:43:10:747,963057,963057,0,0,452101873672,4723279115,952604,8931,1522,379,391702,0 6,2,2024-09-07 09:43:11:117,695906,695888,18,0,31679994,0,5535 6,3,2024-09-07 09:43:11:277,1,549,8,0,710,6832,549,0 7,0,2024-09-07 09:43:11:534,132586,0.5,133481,0.7,265750,0.5,353583,2.00 7,1,2024-09-07 09:43:10:850,962103,962103,0,0,452308507069,4750683467,948227,11472,2404,382,391747,0 7,2,2024-09-07 09:43:10:771,694971,694971,0,0,30365104,0,4791 7,3,2024-09-07 09:43:10:850,1,549,1,0,552,6257,549,0 8,0,2024-09-07 09:43:11:349,135975,0.3,135562,0.5,272063,0.3,362367,1.75 8,1,2024-09-07 09:43:11:028,960025,960025,0,0,452060050552,4753453855,944427,12081,3517,366,392853,0 8,2,2024-09-07 09:43:10:792,689274,689272,2,0,35904278,0,5112 8,3,2024-09-07 09:43:10:598,1,549,3,0,538,7938,549,0 9,0,2024-09-07 09:43:11:102,141099,0.4,137334,0.5,287778,0.3,376892,1.75 9,1,2024-09-07 09:43:10:556,960386,960386,0,0,451395633017,4756149327,945575,11851,2960,369,392001,0 9,2,2024-09-07 09:43:11:104,692793,692792,1,0,32913268,0,5281 9,3,2024-09-07 09:43:11:759,1,549,7,0,1273,9137,549,0 10,0,2024-09-07 09:43:11:600,140922,0.4,140463,0.5,281774,0.3,375587,1.75 10,1,2024-09-07 09:43:10:594,962416,962416,0,0,452039852073,4736528188,949046,10953,2417,381,391869,0 10,2,2024-09-07 09:43:10:762,694424,694424,0,0,35416799,0,4264 10,3,2024-09-07 09:43:10:871,1,549,13,0,649,5726,549,0 11,0,2024-09-07 09:43:11:011,134178,0.5,130106,0.6,272080,0.4,358826,1.75 11,1,2024-09-07 09:43:10:573,963994,963994,0,0,452551507237,4744818930,949869,10363,3762,383,391766,0 11,2,2024-09-07 09:43:11:124,694034,694034,0,0,31350512,0,4130 11,3,2024-09-07 09:43:11:298,1,549,1,0,843,7376,549,0 12,0,2024-09-07 09:43:10:954,136070,0.4,136058,0.5,272135,0.3,361835,1.75 12,1,2024-09-07 09:43:10:941,963637,963637,0,0,451821194364,4711218644,955910,6841,886,370,391960,0 12,2,2024-09-07 09:43:11:546,694085,694085,0,0,30722642,0,4390 12,3,2024-09-07 09:43:11:058,1,549,11,0,386,7191,549,0 13,0,2024-09-07 09:43:11:383,140936,0.4,140764,0.5,280876,0.3,374906,1.75 13,1,2024-09-07 09:43:11:534,961349,961349,0,0,451540961027,4743248058,951627,7424,2298,382,391740,0 13,2,2024-09-07 09:43:10:606,696502,696502,0,0,27582402,0,3287 13,3,2024-09-07 09:43:11:765,1,549,1,0,522,7473,549,0 14,0,2024-09-07 09:43:10:570,141120,0.4,142168,0.6,282029,0.4,375935,1.75 14,1,2024-09-07 09:43:11:563,967948,967948,0,0,454208591787,4696353913,961009,6211,728,364,391673,0 14,2,2024-09-07 09:43:10:763,692672,692642,30,0,28850030,0,6104 14,3,2024-09-07 09:43:11:116,1,549,10,0,1168,6161,549,0 15,0,2024-09-07 09:43:11:570,135680,0.4,135072,0.6,271024,0.4,361094,2.00 15,1,2024-09-07 09:43:11:608,965221,965221,0,0,452615551656,4710407808,957475,6260,1486,381,391619,0 15,2,2024-09-07 09:43:10:998,697776,697776,0,0,24549493,0,3622 15,3,2024-09-07 09:43:11:405,1,549,1,0,1126,7508,549,0 16,0,2024-09-07 09:43:10:933,133476,0.5,134226,0.7,267840,0.5,356460,2.00 16,1,2024-09-07 09:43:10:567,965039,965039,0,0,453093654497,4733599694,956598,7138,1303,370,392194,0 16,2,2024-09-07 09:43:11:436,695545,695545,0,0,28912030,0,4719 16,3,2024-09-07 09:43:11:149,1,549,0,0,358,6763,549,0 17,0,2024-09-07 09:43:11:764,142795,0.5,139102,0.7,272739,0.5,370468,1.75 17,1,2024-09-07 09:43:10:568,962838,962838,0,0,452258027661,4742055505,953283,7803,1752,368,392075,0 17,2,2024-09-07 09:43:11:671,697549,697548,1,0,29600594,0,5050 17,3,2024-09-07 09:43:10:585,1,549,9,0,518,8103,549,0 18,0,2024-09-07 09:43:10:942,138565,0.7,139494,0.8,277875,0.7,370897,2.25 18,1,2024-09-07 09:43:11:646,967032,967032,0,0,453509633670,4700744860,960914,5121,997,367,391725,0 18,2,2024-09-07 09:43:11:760,693952,693952,0,0,26190688,0,3541 18,3,2024-09-07 09:43:10:899,1,549,11,0,1059,5085,549,0 19,0,2024-09-07 09:43:11:544,137983,0.6,138295,0.8,275444,0.7,366212,2.25 19,1,2024-09-07 09:43:10:567,966385,966385,0,0,454740667796,4712173317,959356,5944,1085,367,391777,0 19,2,2024-09-07 09:43:11:752,698915,698915,0,0,24839803,0,3988 19,3,2024-09-07 09:43:11:132,1,549,2,0,524,4301,549,0 20,0,2024-09-07 09:43:11:362,132629,0.5,132850,0.7,265241,0.5,353353,2.00 20,1,2024-09-07 09:43:10:568,962307,962307,0,0,453010478043,4746077157,952202,8605,1500,369,391922,0 20,2,2024-09-07 09:43:10:945,694865,694865,0,0,31148401,0,4321 20,3,2024-09-07 09:43:10:611,1,549,8,0,468,9045,549,0 21,0,2024-09-07 09:43:11:237,136223,0.4,136393,0.6,272628,0.4,362423,1.75 21,1,2024-09-07 09:43:11:543,960933,960933,0,0,450988833606,4757404958,946253,11417,3263,368,392016,0 21,2,2024-09-07 09:43:11:079,691001,690981,20,0,35266634,0,5617 21,3,2024-09-07 09:43:11:406,1,549,16,0,713,7573,549,0 22,0,2024-09-07 09:43:11:717,140786,0.5,141247,0.7,281974,0.4,373859,2.00 22,1,2024-09-07 09:43:11:028,961793,961793,0,0,451701577153,4754400955,945568,12887,3338,382,391822,0 22,2,2024-09-07 09:43:10:760,691834,691808,26,0,29579175,0,6328 22,3,2024-09-07 09:43:11:073,1,549,2,0,228,4581,549,0 23,0,2024-09-07 09:43:11:367,140204,0.5,139765,0.7,279601,0.5,372772,2.25 23,1,2024-09-07 09:43:11:003,962957,962957,0,0,453134600027,4757006717,946104,12004,4849,365,391690,0 23,2,2024-09-07 09:43:11:091,696373,696373,0,0,28359349,0,3773 23,3,2024-09-07 09:43:11:761,1,549,1,0,855,8423,549,0 24,0,2024-09-07 09:43:10:891,135022,0.4,134317,0.6,270133,0.4,358676,1.75 24,1,2024-09-07 09:43:10:593,962094,962094,0,0,451391569876,4724523997,952847,7566,1681,367,392269,0 24,2,2024-09-07 09:43:11:101,694404,694404,0,0,33804593,0,4438 24,3,2024-09-07 09:43:11:686,1,549,1,0,468,6841,549,0 25,0,2024-09-07 09:43:11:387,139048,0.4,135536,0.6,265630,0.4,362137,1.75 25,1,2024-09-07 09:43:10:557,960463,960463,0,0,451069686832,4760966595,944227,12717,3519,369,391928,0 25,2,2024-09-07 09:43:11:615,692488,692488,0,0,35058970,0,3978 25,3,2024-09-07 09:43:11:010,1,549,2,0,532,6020,549,0 26,0,2024-09-07 09:43:11:723,140487,0.4,137479,0.6,288583,0.4,375567,2.00 26,1,2024-09-07 09:43:11:542,964573,964573,0,0,452554169417,4735970207,951429,10685,2459,380,391748,0 26,2,2024-09-07 09:43:10:873,694339,694339,0,0,37046443,0,4689 26,3,2024-09-07 09:43:11:711,1,549,87,0,796,5974,549,0 27,0,2024-09-07 09:43:11:737,141359,0.4,141976,0.6,281964,0.4,376388,2.25 27,1,2024-09-07 09:43:11:677,964523,964523,0,0,453799352765,4725787146,955482,7772,1269,381,391626,0 27,2,2024-09-07 09:43:10:870,690007,689942,65,0,31690287,0,5699 27,3,2024-09-07 09:43:11:015,1,549,1,0,564,4761,549,0 28,0,2024-09-07 09:43:11:399,135299,0.4,135003,0.6,270578,0.3,360503,1.75 28,1,2024-09-07 09:43:10:799,965993,965993,0,0,453779220794,4727306690,958212,6067,1714,382,391698,0 28,2,2024-09-07 09:43:11:774,695807,695807,0,0,27968173,0,2915 28,3,2024-09-07 09:43:11:775,1,549,1,0,502,5393,549,0 29,0,2024-09-07 09:43:11:358,138083,0.3,134428,0.6,263798,0.3,359989,1.75 29,1,2024-09-07 09:43:11:566,968305,968305,0,0,455109981825,4704099052,962742,4800,763,367,391809,0 29,2,2024-09-07 09:43:10:864,695009,695009,0,0,27367788,0,4986 29,3,2024-09-07 09:43:10:965,1,549,1,0,459,5873,549,0 30,0,2024-09-07 09:43:11:467,138642,0.4,135114,0.6,283050,0.4,369919,2.00 30,1,2024-09-07 09:43:10:572,967076,967076,0,0,454057894024,4709385801,960094,6080,902,380,391672,0 30,2,2024-09-07 09:43:11:276,695511,695511,0,0,26330765,0,4192 30,3,2024-09-07 09:43:10:593,1,549,1,0,519,5238,549,0 31,0,2024-09-07 09:43:11:767,139974,0.4,140529,0.6,280303,0.4,374339,2.00 31,1,2024-09-07 09:43:10:566,970046,970046,0,0,455509281885,4673881937,964713,4322,1011,356,391712,0 31,2,2024-09-07 09:43:11:278,690769,690769,0,0,28699766,0,4470 31,3,2024-09-07 09:43:11:706,1,549,9,0,239,4776,549,0 32,0,2024-09-07 09:43:11:417,137623,0.3,138394,0.5,275708,0.3,366967,1.75 32,1,2024-09-07 09:43:10:804,966560,966560,0,0,454258588301,4719495075,960743,5108,709,381,391646,0 32,2,2024-09-07 09:43:10:934,697410,697410,0,0,25434883,0,3922 32,3,2024-09-07 09:43:11:015,1,549,7,0,304,4454,549,0 33,0,2024-09-07 09:43:11:496,133474,0.3,133043,0.4,266253,0.2,354625,1.50 33,1,2024-09-07 09:43:10:593,966890,966890,0,0,454776452988,4707948157,959627,6089,1174,368,391730,0 33,2,2024-09-07 09:43:10:758,697201,697166,35,0,28112572,0,7012 33,3,2024-09-07 09:43:10:903,1,549,1,0,329,4976,549,0 34,0,2024-09-07 09:43:10:930,137590,0.3,141287,0.4,270122,0.2,364980,1.75 34,1,2024-09-07 09:43:11:050,969084,969084,0,0,455547943921,4683241708,966568,2494,22,367,391637,0 34,2,2024-09-07 09:43:10:775,696271,696271,0,0,26506514,0,4562 34,3,2024-09-07 09:43:11:695,1,549,13,0,320,4441,549,0 35,0,2024-09-07 09:43:10:858,139427,0.3,140176,0.5,281574,0.2,373710,1.75 35,1,2024-09-07 09:43:11:070,965651,965651,0,0,454060276363,4710223148,958455,5712,1484,382,391769,0 35,2,2024-09-07 09:43:11:587,692358,692358,0,0,28979622,0,4055 35,3,2024-09-07 09:43:10:909,1,549,3,0,466,5438,549,0 36,0,2024-09-07 09:43:11:520,140327,0.5,140141,0.7,280105,0.5,372764,2.00 36,1,2024-09-07 09:43:10:592,964782,964782,0,0,452001958741,4721452522,951952,10600,2230,366,391759,0 36,2,2024-09-07 09:43:11:751,696062,696062,0,0,31029064,0,3875 36,3,2024-09-07 09:43:10:864,1,549,1,0,416,7414,549,0 37,0,2024-09-07 09:43:11:376,132817,0.5,132790,0.7,265830,0.5,354229,2.25 37,1,2024-09-07 09:43:10:570,963863,963856,0,7,452186686657,4721098442,952320,8823,2713,365,391770,0 37,2,2024-09-07 09:43:11:148,692943,692928,15,0,31464897,0,5815 37,3,2024-09-07 09:43:11:766,1,549,2,0,888,7459,549,0 38,0,2024-09-07 09:43:11:442,135151,0.4,131156,0.6,274243,0.3,359685,2.00 38,1,2024-09-07 09:43:11:606,964779,964779,0,0,452962999752,4733765005,951162,11045,2572,368,391821,0 38,2,2024-09-07 09:43:10:764,693300,693253,47,0,31319311,0,6710 38,3,2024-09-07 09:43:11:003,1,549,14,0,689,6821,549,0 39,0,2024-09-07 09:43:11:760,144293,0.5,141115,0.7,275107,0.5,375562,2.00 39,1,2024-09-07 09:43:10:716,963058,963058,0,0,452352907248,4745299738,946461,12901,3696,365,391865,0 39,2,2024-09-07 09:43:11:421,694440,694440,0,0,28897414,0,3391 39,3,2024-09-07 09:43:10:715,1,549,1,0,525,6495,549,0 40,0,2024-09-07 09:43:11:508,139756,0.9,140362,1.0,280013,1.0,373659,2.75 40,1,2024-09-07 09:43:10:575,964622,964622,0,0,452082395151,4731976807,951024,10904,2694,368,391668,0 40,2,2024-09-07 09:43:11:308,692422,692421,1,0,34295815,0,5137 40,3,2024-09-07 09:43:11:150,1,549,0,0,1028,8023,549,0 41,0,2024-09-07 09:43:11:027,133633,1.2,136581,1.1,260409,1.7,354379,3.00 41,1,2024-09-07 09:43:10:775,963623,963623,0,0,451678217291,4724393908,951296,10263,2064,369,391878,0 41,2,2024-09-07 09:43:10:758,692134,692133,1,0,33177719,0,5408 41,3,2024-09-07 09:43:11:680,1,549,28,0,366,5910,549,0 42,0,2024-09-07 09:43:11:493,134566,0.9,134354,1.0,268461,1.0,356597,2.75 42,1,2024-09-07 09:43:11:452,960582,960582,0,0,452056945486,4750438520,945286,12387,2909,380,391675,0 42,2,2024-09-07 09:43:11:133,693737,693737,0,0,33052377,0,3975 42,3,2024-09-07 09:43:11:008,1,549,2,0,892,4825,549,0 43,0,2024-09-07 09:43:10:917,138861,0.6,135201,0.9,283261,0.6,371016,2.25 43,1,2024-09-07 09:43:10:593,963885,963885,0,0,452998347367,4730852273,950621,10907,2357,366,391696,0 43,2,2024-09-07 09:43:11:737,695280,695280,0,0,32029844,0,4723 43,3,2024-09-07 09:43:11:749,1,549,1,0,571,7914,549,0 44,0,2024-09-07 09:43:10:858,141438,0.4,141335,0.6,283159,0.4,376423,1.75 44,1,2024-09-07 09:43:10:565,966905,966905,0,0,454253843395,4691213900,959071,6247,1587,356,391809,0 44,2,2024-09-07 09:43:11:272,690191,690191,0,0,25882956,0,4344 44,3,2024-09-07 09:43:11:094,1,549,1,0,817,5900,549,0 45,0,2024-09-07 09:43:11:756,134239,0.5,131145,0.7,275364,0.5,361258,2.00 45,1,2024-09-07 09:43:11:005,965914,965914,0,0,454057101387,4716491339,958389,6649,876,382,391917,0 45,2,2024-09-07 09:43:11:268,696234,696234,0,0,26500176,0,3596 45,3,2024-09-07 09:43:10:934,1,549,1,0,365,5030,549,0 46,0,2024-09-07 09:43:10:981,133217,0.4,132762,0.7,266041,0.4,353569,2.00 46,1,2024-09-07 09:43:10:592,967435,967435,0,0,454976440338,4698889170,960988,5583,864,366,391709,0 46,2,2024-09-07 09:43:10:600,696865,696865,0,0,26935110,0,4443 46,3,2024-09-07 09:43:11:132,1,549,310,0,908,6812,549,0 47,0,2024-09-07 09:43:11:102,138679,0.4,138731,0.6,278440,0.3,369297,1.75 47,1,2024-09-07 09:43:10:568,968847,968847,0,0,454667719772,4693898113,963542,4465,840,365,391641,0 47,2,2024-09-07 09:43:10:910,698068,698068,0,0,26400312,0,4477 47,3,2024-09-07 09:43:11:142,1,549,1,0,600,6065,549,0 48,0,2024-09-07 09:43:11:489,140224,0.3,140391,0.4,280238,0.2,372692,1.50 48,1,2024-09-07 09:43:11:027,965929,965929,0,0,453581500492,4710965034,959993,5360,576,384,391710,0 48,2,2024-09-07 09:43:10:704,691994,691994,0,0,24285580,0,3411 48,3,2024-09-07 09:43:10:753,1,549,1,0,339,4238,549,0 49,0,2024-09-07 09:43:11:711,142271,0.3,139530,0.5,271351,0.3,370407,1.75 49,1,2024-09-07 09:43:11:020,965384,965384,0,0,453341700316,4710250327,959766,4406,1212,382,391809,0 49,2,2024-09-07 09:43:11:795,697540,697540,0,0,27107235,0,4426 49,3,2024-09-07 09:43:11:417,1,549,1,0,992,6372,549,0 50,0,2024-09-07 09:43:11:529,133510,0.3,131744,0.5,265422,0.2,353055,1.75 50,1,2024-09-07 09:43:11:009,968668,968668,0,0,455135800279,4703263390,962644,5356,668,368,391565,0 50,2,2024-09-07 09:43:11:072,695155,695155,0,0,24757096,0,4490 50,3,2024-09-07 09:43:11:291,1,549,1,0,617,5567,549,0 51,0,2024-09-07 09:43:11:785,139926,0.3,136981,0.4,266574,0.2,364101,1.50 51,1,2024-09-07 09:43:11:685,969674,969674,0,0,455948655438,4698877059,964860,3758,1056,365,391706,0 51,2,2024-09-07 09:43:11:319,694673,694673,0,0,24250655,0,3337 51,3,2024-09-07 09:43:11:027,1,549,1,0,678,3839,549,0 52,0,2024-09-07 09:43:11:417,141299,0.5,140917,0.7,282171,0.5,374784,2.00 52,1,2024-09-07 09:43:10:576,963950,963950,0,0,452615663157,4738073493,949966,11774,2210,368,391805,0 52,2,2024-09-07 09:43:11:759,689681,689643,38,0,32557593,0,6742 52,3,2024-09-07 09:43:10:676,1,549,0,0,1782,6926,549,0 53,0,2024-09-07 09:43:11:739,139823,0.7,135658,0.8,283665,0.7,372039,2.50 53,1,2024-09-07 09:43:10:771,962491,962491,0,0,452406052349,4747233942,946157,12301,4033,367,391968,0 53,2,2024-09-07 09:43:11:298,695295,695294,1,0,29416081,0,5455 53,3,2024-09-07 09:43:10:704,1,549,1,0,308,5195,549,0 54,0,2024-09-07 09:43:11:625,132275,0.6,132577,0.8,264149,0.4,352683,2.25 54,1,2024-09-07 09:43:10:594,964736,964736,0,0,453123841377,4713588545,954130,8944,1662,366,391810,0 54,2,2024-09-07 09:43:10:865,695369,695337,32,0,33327299,0,6397 54,3,2024-09-07 09:43:10:763,1,549,18,0,676,7440,549,0 55,0,2024-09-07 09:43:11:775,130673,0.6,134770,0.8,273272,0.5,356466,2.50 55,1,2024-09-07 09:43:10:763,966062,966062,0,0,452733940034,4709458740,955769,8911,1382,365,391731,0 55,2,2024-09-07 09:43:10:729,692688,692632,56,0,31354513,0,7239 55,3,2024-09-07 09:43:10:683,1,549,1,0,304,5097,549,0 56,0,2024-09-07 09:43:11:560,144099,1.2,135792,1.1,279848,1.5,374962,2.75 56,1,2024-09-07 09:43:10:593,960280,960280,0,0,451281196484,4768495114,944270,12619,3391,381,391867,0 56,2,2024-09-07 09:43:11:303,693844,693722,122,0,32492572,0,7432 56,3,2024-09-07 09:43:11:059,1,549,2,0,705,6411,549,0 57,0,2024-09-07 09:43:10:982,139372,1.5,139357,1.2,278877,2.1,372946,3.25 57,1,2024-09-07 09:43:11:000,962074,962074,0,0,452040132751,4742213350,949322,10423,2329,366,392032,0 57,2,2024-09-07 09:43:11:336,692596,692596,0,0,33362109,0,4317 57,3,2024-09-07 09:43:11:741,1,549,23,0,455,6358,549,0 58,0,2024-09-07 09:43:10:554,133222,0.9,129265,1.0,270439,1.1,353980,2.50 58,1,2024-09-07 09:43:10:592,963667,963664,0,3,452496150760,4740022833,949561,10781,3322,367,391675,3 58,2,2024-09-07 09:43:11:073,694939,694939,0,0,31703993,0,3483 58,3,2024-09-07 09:43:11:068,1,549,1,0,1043,6013,549,0 59,0,2024-09-07 09:43:11:747,133739,0.8,133671,0.9,266552,0.8,353966,2.75 59,1,2024-09-07 09:43:10:803,962128,962128,0,0,452531544971,4753258355,946122,12675,3331,369,391653,0 59,2,2024-09-07 09:43:10:593,695174,695174,0,0,30465411,0,3727 59,3,2024-09-07 09:43:11:740,1,549,4,0,1015,6787,549,0 60,0,2024-09-07 09:43:11:714,139154,0.4,139581,0.6,278880,0.4,371080,1.75 60,1,2024-09-07 09:43:10:798,967367,967367,0,0,454512655426,4715235115,961077,5351,939,370,392031,0 60,2,2024-09-07 09:43:11:148,695603,695603,0,0,28498629,0,3811 60,3,2024-09-07 09:43:11:269,1,549,0,0,409,6438,549,0 61,0,2024-09-07 09:43:11:491,140019,0.7,140684,0.8,280031,0.7,373948,2.00 61,1,2024-09-07 09:43:10:800,963763,963763,0,0,452856231154,4738391544,953801,8380,1582,382,392127,0 61,2,2024-09-07 09:43:11:149,691401,691334,67,0,29223238,0,6411 61,3,2024-09-07 09:43:11:699,1,549,8,0,607,7616,549,0 62,0,2024-09-07 09:43:11:706,137951,0.6,141535,0.8,270248,0.6,366778,2.00 62,1,2024-09-07 09:43:11:114,969248,969242,0,6,455681561400,4694617804,964897,4063,282,365,391975,6 62,2,2024-09-07 09:43:11:646,694640,694639,1,0,28922752,0,5555 62,3,2024-09-07 09:43:11:147,1,549,8,0,482,4393,549,0 63,0,2024-09-07 09:43:11:458,133431,0.4,133185,0.6,267203,0.3,354929,1.75 63,1,2024-09-07 09:43:10:803,966320,966314,0,6,454526247150,4716636878,960127,5347,840,381,391800,6 63,2,2024-09-07 09:43:10:762,696661,696661,0,0,27674149,0,4369 63,3,2024-09-07 09:43:11:738,1,549,1,0,667,5967,549,0 64,0,2024-09-07 09:43:11:530,136886,0.5,136945,0.6,273106,0.4,364145,1.75 64,1,2024-09-07 09:43:10:751,965346,965346,0,0,453036322644,4715806755,957115,6420,1811,370,391794,0 64,2,2024-09-07 09:43:11:148,699127,699108,19,0,26728685,0,6121 64,3,2024-09-07 09:43:11:148,1,549,1,0,651,5628,549,0 65,0,2024-09-07 09:43:11:705,139161,0.6,139450,0.7,278473,0.6,371069,2.00 65,1,2024-09-07 09:43:10:864,963762,963762,0,0,451310129379,4714474170,956998,5964,800,381,391901,0 65,2,2024-09-07 09:43:11:692,691815,691815,0,0,31319464,0,3367 65,3,2024-09-07 09:43:11:685,1,549,4,0,782,6384,549,0 66,0,2024-09-07 09:43:11:764,139471,0.6,138931,0.8,277896,0.5,369912,2.25 66,1,2024-09-07 09:43:11:292,965985,965985,0,0,453168294223,4714461643,959768,5495,722,380,391743,0 66,2,2024-09-07 09:43:11:137,698206,698203,3,0,28468797,0,5455 66,3,2024-09-07 09:43:11:078,1,549,1,0,291,4648,549,0 67,0,2024-09-07 09:43:11:412,133252,0.5,132937,0.7,266576,0.5,354396,2.00 67,1,2024-09-07 09:43:10:765,965945,965944,0,1,453110985872,4714307999,959659,5470,815,380,391787,1 67,2,2024-09-07 09:43:10:583,698055,698040,15,0,27844833,0,6205 67,3,2024-09-07 09:43:11:750,1,549,1,0,595,5829,549,0 68,0,2024-09-07 09:43:10:571,135962,0.5,135760,0.7,270316,0.5,361813,2.00 68,1,2024-09-07 09:43:10:571,961282,961282,0,0,451403412031,4746934038,948333,9492,3457,381,391953,0 68,2,2024-09-07 09:43:11:044,689885,689785,100,0,36452969,0,8578 68,3,2024-09-07 09:43:10:728,1,549,1,0,417,7106,549,0 69,0,2024-09-07 09:43:11:768,140558,0.7,141437,0.8,281813,0.7,374169,2.25 69,1,2024-09-07 09:43:11:036,960950,960950,0,0,451278600205,4754443294,948333,9855,2762,383,391994,0 69,2,2024-09-07 09:43:11:735,692036,692007,29,0,37707219,0,6912 69,3,2024-09-07 09:43:10:762,1,549,1,0,698,8140,549,0 70,0,2024-09-07 09:43:11:545,139387,0.8,139841,1.0,281294,0.7,372499,2.50 70,1,2024-09-07 09:43:10:803,966704,966704,0,0,454161381807,4712548658,959122,6819,763,366,391725,0 70,2,2024-09-07 09:43:11:329,694123,694123,0,0,31522809,0,4323 70,3,2024-09-07 09:43:10:744,1,549,44,0,854,5923,549,0 71,0,2024-09-07 09:43:11:364,132918,1.1,132680,1.1,266395,1.4,355830,2.75 71,1,2024-09-07 09:43:11:600,964272,964272,0,0,452074105806,4718129706,952442,10481,1349,368,391738,0 71,2,2024-09-07 09:43:11:069,694187,694187,0,0,32148676,0,4352 71,3,2024-09-07 09:43:11:750,1,549,1,0,644,6461,549,0 72,0,2024-09-07 09:43:11:066,139674,0.5,136481,0.7,266483,0.5,362226,2.00 72,1,2024-09-07 09:43:11:031,962844,962844,0,0,452047588454,4740728115,948978,11472,2394,369,391819,0 72,2,2024-09-07 09:43:11:759,693210,693210,0,0,33369209,0,3983 72,3,2024-09-07 09:43:11:757,1,549,9,0,564,7649,549,0 73,0,2024-09-07 09:43:11:115,136508,0.4,139890,0.6,286323,0.4,372736,2.00 73,1,2024-09-07 09:43:10:785,964847,964847,0,0,452807381944,4705957145,957355,6565,927,367,391858,0 73,2,2024-09-07 09:43:11:754,694942,694942,0,0,33868670,0,4728 73,3,2024-09-07 09:43:10:984,1,549,7,0,486,6812,549,0 74,0,2024-09-07 09:43:11:325,142107,0.5,145487,0.7,277505,0.4,376747,2.00 74,1,2024-09-07 09:43:10:635,963826,963826,0,0,452448132543,4722019553,953302,8598,1926,381,391762,0 74,2,2024-09-07 09:43:11:003,691292,691292,0,0,29273348,0,4253 74,3,2024-09-07 09:43:11:442,1,549,8,0,522,6460,549,0 75,0,2024-09-07 09:43:11:774,136175,0.6,135367,0.8,271683,0.5,362804,2.25 75,1,2024-09-07 09:43:11:587,965222,965222,0,0,453369649383,4723893630,957272,7085,865,380,391739,0 75,2,2024-09-07 09:43:11:350,694088,694088,0,0,35488781,0,4766 75,3,2024-09-07 09:43:11:069,1,549,40,0,702,6471,549,0 76,0,2024-09-07 09:43:10:603,133081,0.5,132516,0.7,265365,0.5,355264,2.25 76,1,2024-09-07 09:43:10:839,964723,964723,0,0,452444011131,4716645937,958067,5688,968,382,391723,0 76,2,2024-09-07 09:43:11:065,698528,698525,3,0,29510268,0,5265 76,3,2024-09-07 09:43:11:149,1,549,1,0,227,4978,549,0 77,0,2024-09-07 09:43:11:701,137985,0.5,138497,0.7,277123,0.4,368238,2.00 77,1,2024-09-07 09:43:10:833,964724,964724,0,0,453530452903,4727635767,957831,6296,597,381,391869,0 77,2,2024-09-07 09:43:11:291,695067,695067,0,0,29064972,0,3890 77,3,2024-09-07 09:43:11:102,1,549,5,0,401,5852,549,0 78,0,2024-09-07 09:43:11:721,140372,0.4,139793,0.6,280422,0.4,371276,2.00 78,1,2024-09-07 09:43:10:626,964793,964793,0,0,452783820577,4718728050,953791,8475,2527,367,391670,0 78,2,2024-09-07 09:43:11:406,693167,693154,13,0,26861796,0,8313 78,3,2024-09-07 09:43:11:137,1,549,0,0,311,4680,549,0 79,0,2024-09-07 09:43:11:355,133750,0.4,136997,0.6,280750,0.3,365110,2.00 79,1,2024-09-07 09:43:10:571,967760,967760,0,0,454548370099,4706241991,960016,6387,1357,367,391682,0 79,2,2024-09-07 09:43:11:073,696681,696681,0,0,25895621,0,4195 79,3,2024-09-07 09:43:10:764,1,549,0,0,418,6388,549,0 80,0,2024-09-07 09:43:11:101,132568,0.5,136328,0.6,260604,0.5,352591,2.00 80,1,2024-09-07 09:43:11:632,964558,964558,0,0,453990827198,4722577472,958689,5440,429,368,392269,0 80,2,2024-09-07 09:43:11:091,697960,697960,0,0,26591748,0,4433 80,3,2024-09-07 09:43:10:597,1,549,0,0,681,7035,549,0 81,0,2024-09-07 09:43:11:551,136599,0.5,139794,0.7,266532,0.5,362228,2.00 81,1,2024-09-07 09:43:11:660,963500,963500,0,0,452299483742,4725157663,956076,6782,642,382,391885,0 81,2,2024-09-07 09:43:11:134,693288,693225,63,0,29644545,0,5932 81,3,2024-09-07 09:43:11:121,1,549,1,0,719,6272,549,0 82,0,2024-09-07 09:43:11:541,140658,0.4,140803,0.7,282271,0.4,373864,2.00 82,1,2024-09-07 09:43:10:584,966427,966423,0,4,453709058659,4714109553,961320,4282,821,381,391768,4 82,2,2024-09-07 09:43:11:691,695298,695298,0,0,24668912,0,4484 82,3,2024-09-07 09:43:11:753,1,549,1,0,363,5118,549,0 83,0,2024-09-07 09:43:11:524,140432,0.6,140449,0.7,280319,0.6,372183,2.25 83,1,2024-09-07 09:43:10:556,964680,964680,0,0,452359855378,4712744131,958274,5949,457,382,391709,0 83,2,2024-09-07 09:43:10:763,695547,695522,25,0,26687285,0,5612 83,3,2024-09-07 09:43:10:748,1,549,1,0,1260,6715,549,0 84,0,2024-09-07 09:43:11:777,133036,0.7,133365,0.9,266084,0.6,355913,2.25 84,1,2024-09-07 09:43:11:044,963372,963372,0,0,451849344165,4725495425,952014,9704,1654,367,391967,0 84,2,2024-09-07 09:43:10:571,694328,694298,30,0,35793348,0,5971 84,3,2024-09-07 09:43:11:141,1,549,1,0,908,7579,549,0 85,0,2024-09-07 09:43:11:015,130108,0.6,130137,0.8,276251,0.6,357694,2.25 85,1,2024-09-07 09:43:10:568,960009,960009,0,0,451966218129,4773607278,944737,12526,2746,381,392092,0 85,2,2024-09-07 09:43:10:868,693128,693128,0,0,33410573,0,4255 85,3,2024-09-07 09:43:10:692,1,549,1,0,789,6189,549,0 86,0,2024-09-07 09:43:10:880,141212,0.6,145087,0.8,277404,0.7,375844,2.25 86,1,2024-09-07 09:43:10:827,962305,962305,0,0,452659128087,4747847366,949396,10254,2655,366,391995,0 86,2,2024-09-07 09:43:10:854,693609,693608,1,0,35559335,0,5004 86,3,2024-09-07 09:43:10:592,1,549,1,0,308,7880,549,0 87,0,2024-09-07 09:43:11:288,141193,0.8,140868,0.9,281723,1.0,376642,2.25 87,1,2024-09-07 09:43:10:565,961845,961845,0,0,452166960387,4736326064,949415,10713,1717,366,392076,0 87,2,2024-09-07 09:43:11:071,691874,691868,6,0,31417548,0,6323 87,3,2024-09-07 09:43:11:794,1,549,2,0,473,8299,549,0 88,0,2024-09-07 09:43:11:552,134904,0.5,135443,0.6,270691,0.4,360331,1.75 88,1,2024-09-07 09:43:10:580,961517,961517,0,0,452215270321,4734973810,948513,10357,2647,365,392084,0 88,2,2024-09-07 09:43:10:692,694518,694518,0,0,34755220,0,4465 88,3,2024-09-07 09:43:11:276,1,549,11,0,435,7352,549,0 89,0,2024-09-07 09:43:11:789,138255,0.4,133990,0.6,264700,0.4,360029,1.75 89,1,2024-09-07 09:43:10:553,961329,961329,0,0,451445017762,4749833791,949034,10362,1933,382,391866,0 89,2,2024-09-07 09:43:11:133,694671,694671,0,0,32508416,0,3173 89,3,2024-09-07 09:43:11:790,1,549,4,0,468,8943,549,0 90,0,2024-09-07 09:43:11:616,135184,0.4,138864,0.6,283171,0.4,369651,1.75 90,1,2024-09-07 09:43:10:596,962922,962922,0,0,452145409958,4733825772,953779,8307,836,380,391825,0 90,2,2024-09-07 09:43:11:406,692467,692467,0,0,34796914,0,3608 90,3,2024-09-07 09:43:10:938,1,549,83,0,322,6731,549,0 91,0,2024-09-07 09:43:10:955,140639,0.5,136325,0.6,285127,0.4,374499,1.75 91,1,2024-09-07 09:43:10:556,960575,960575,0,0,451495539975,4750927419,947425,10651,2499,381,392047,0 91,2,2024-09-07 09:43:11:332,691488,691488,0,0,30208445,0,4713 91,3,2024-09-07 09:43:10:608,1,549,0,0,216,5081,549,0 92,0,2024-09-07 09:43:11:483,139064,0.5,142124,0.6,271024,0.5,367044,1.75 92,1,2024-09-07 09:43:10:593,964406,964406,0,0,452792423014,4727478917,956851,6346,1209,381,392136,0 92,2,2024-09-07 09:43:11:358,696395,696395,0,0,27275891,0,3259 92,3,2024-09-07 09:43:11:009,1,549,13,0,167,4728,549,0 93,0,2024-09-07 09:43:10:965,133736,0.4,137056,0.6,261746,0.3,354847,1.75 93,1,2024-09-07 09:43:10:807,964472,964472,0,0,452724462907,4723542360,954057,8680,1735,366,391776,0 93,2,2024-09-07 09:43:10:930,695951,695951,0,0,33242599,0,4913 93,3,2024-09-07 09:43:11:406,1,549,2,0,190,4853,549,0 94,0,2024-09-07 09:43:11:606,136689,0.3,137568,0.5,275064,0.3,365786,1.75 94,1,2024-09-07 09:43:10:577,965072,965072,0,0,453242238130,4724833001,958702,5946,424,381,391850,0 94,2,2024-09-07 09:43:10:856,695004,694976,28,0,28246568,0,6179 94,3,2024-09-07 09:43:11:688,1,549,20,0,576,6814,549,0 95,0,2024-09-07 09:43:11:342,140246,0.3,140267,0.5,280697,0.3,373682,1.75 95,1,2024-09-07 09:43:10:852,966348,966348,0,0,453562321526,4711138379,958830,6837,681,365,391852,0 95,2,2024-09-07 09:43:11:015,691746,691746,0,0,27070620,0,3308 95,3,2024-09-07 09:43:11:717,1,549,1,0,718,8238,549,0 96,0,2024-09-07 09:43:11:024,139895,0.4,140121,0.5,280145,0.3,372157,1.75 96,1,2024-09-07 09:43:11:585,963928,963928,0,0,452655426588,4718374555,957489,5524,915,384,391964,0 96,2,2024-09-07 09:43:11:280,696559,696559,0,0,28078784,0,4180 96,3,2024-09-07 09:43:11:146,1,549,1,0,411,5782,549,0 97,0,2024-09-07 09:43:11:324,133214,0.3,133172,0.5,267402,0.3,354550,1.50 97,1,2024-09-07 09:43:10:771,966393,966393,0,0,454016146928,4706425406,960585,4862,946,367,392140,0 97,2,2024-09-07 09:43:10:612,697007,697007,0,0,27616234,0,3679 97,3,2024-09-07 09:43:10:592,1,549,0,0,242,6138,549,0 98,0,2024-09-07 09:43:11:690,135400,0.3,135571,0.4,271999,0.2,361758,1.50 98,1,2024-09-07 09:43:10:570,965377,965377,0,0,452906967671,4713581127,959889,4686,802,381,391997,0 98,2,2024-09-07 09:43:10:775,694492,694492,0,0,27206897,0,4336 98,3,2024-09-07 09:43:10:707,1,549,13,0,840,8441,549,0 99,0,2024-09-07 09:43:11:456,141464,0.3,142050,0.5,282671,0.3,377080,1.75 99,1,2024-09-07 09:43:11:724,965799,965799,0,0,452768632556,4710555041,959767,5103,929,380,392069,0 99,2,2024-09-07 09:43:11:432,694965,694965,0,0,32795153,0,4276 99,3,2024-09-07 09:43:10:593,1,549,3,0,606,5382,549,0 100,0,2024-09-07 09:43:11:466,140634,0.8,140951,0.9,281518,0.9,376087,2.50 100,1,2024-09-07 09:43:10:563,960014,960014,0,0,449976280298,4758045873,944925,11809,3280,378,391989,0 100,2,2024-09-07 09:43:11:818,691753,691742,11,0,32464971,0,5417 100,3,2024-09-07 09:43:11:738,1,549,22,0,627,9739,549,0 101,0,2024-09-07 09:43:11:706,137033,1.1,133591,1.1,261696,1.1,357927,2.25 101,1,2024-09-07 09:43:10:553,961742,961742,0,0,451430913678,4742696030,948865,10427,2450,368,391847,0 101,2,2024-09-07 09:43:11:763,690668,690668,0,0,37449781,0,4871 101,3,2024-09-07 09:43:10:941,1,549,5,0,1250,8598,549,0 102,0,2024-09-07 09:43:10:989,131541,0.6,135591,0.8,275003,0.6,360125,2.00 102,1,2024-09-07 09:43:11:142,961530,961530,0,0,451546211764,4743381952,948377,11002,2151,369,391891,0 102,2,2024-09-07 09:43:11:760,694678,694624,54,0,31735183,0,6768 102,3,2024-09-07 09:43:11:613,1,549,8,0,466,6334,549,0 103,0,2024-09-07 09:43:11:597,144685,0.5,144649,0.7,272623,0.5,375662,2.00 103,1,2024-09-07 09:43:11:634,960366,960366,0,0,451577449432,4769386254,944663,12116,3587,381,392077,0 103,2,2024-09-07 09:43:10:600,693213,693213,0,0,33727615,0,3766 103,3,2024-09-07 09:43:10:755,1,549,1,0,916,6895,549,0 104,0,2024-09-07 09:43:11:006,140076,0.8,140224,0.9,279812,0.7,374751,2.25 104,1,2024-09-07 09:43:11:599,963106,963106,0,0,452154295534,4748665335,949260,11258,2588,365,392168,0 104,2,2024-09-07 09:43:11:666,689192,689192,0,0,32230160,0,3941 104,3,2024-09-07 09:43:11:418,1,549,1,0,1245,9364,549,0 105,0,2024-09-07 09:43:11:031,134545,0.9,131097,1.1,274831,1.0,360831,2.75 105,1,2024-09-07 09:43:10:558,964130,964130,0,0,453333912490,4747658650,952071,10255,1804,364,392009,0 105,2,2024-09-07 09:43:11:321,694020,694020,0,0,33045850,0,4360 105,3,2024-09-07 09:43:11:308,1,549,2,0,467,8051,549,0 106,0,2024-09-07 09:43:10:950,129122,0.7,132268,0.9,270988,0.7,353848,2.50 106,1,2024-09-07 09:43:11:758,963147,963147,0,0,452001492255,4741527378,949916,11580,1651,368,391914,0 106,2,2024-09-07 09:43:10:764,694880,694880,0,0,31060952,0,2920 106,3,2024-09-07 09:43:10:683,1,549,3,0,1224,8095,549,0 107,0,2024-09-07 09:43:11:112,138315,0.8,138236,0.9,276645,0.9,368927,2.25 107,1,2024-09-07 09:43:10:604,961191,961191,0,0,451241784845,4758136732,947441,12211,1539,381,392234,0 107,2,2024-09-07 09:43:11:292,692514,692513,1,0,33780479,0,5024 107,3,2024-09-07 09:43:11:768,1,549,4,0,733,8151,549,0 108,0,2024-09-07 09:43:11:790,139816,0.4,140397,0.6,279639,0.4,372939,1.75 108,1,2024-09-07 09:43:11:312,963913,963913,0,0,452944576128,4721645033,955982,6956,975,367,391894,0 108,2,2024-09-07 09:43:11:775,690557,690557,0,0,29647490,0,4246 108,3,2024-09-07 09:43:11:330,1,549,1,0,749,10504,549,0 109,0,2024-09-07 09:43:11:773,139255,0.4,138047,0.6,276818,0.4,369447,1.75 109,1,2024-09-07 09:43:10:585,961620,961620,0,0,452961530266,4748287005,953298,7105,1217,382,392132,0 109,2,2024-09-07 09:43:10:921,694252,694252,0,0,29968632,0,3617 109,3,2024-09-07 09:43:11:146,1,549,19,0,630,6861,549,0 110,0,2024-09-07 09:43:11:804,132693,0.4,129083,0.6,270257,0.3,353994,1.75 110,1,2024-09-07 09:43:11:648,965679,965679,0,0,454125883634,4712938030,958136,5619,1924,368,392045,0 110,2,2024-09-07 09:43:11:302,696538,696538,0,0,28185522,0,4067 110,3,2024-09-07 09:43:10:690,1,549,7,0,722,7455,549,0 111,0,2024-09-07 09:43:11:412,136863,0.3,135982,0.5,272325,0.2,363607,1.75 111,1,2024-09-07 09:43:11:000,966983,966983,0,0,454791603218,4712442944,962418,4208,357,380,391690,0 111,2,2024-09-07 09:43:11:145,694132,694132,0,0,28616350,0,4823 111,3,2024-09-07 09:43:10:912,1,549,0,0,379,6095,549,0 112,0,2024-09-07 09:43:10:919,141618,0.3,141070,0.4,282898,0.2,375103,1.50 112,1,2024-09-07 09:43:10:826,965474,965474,0,0,453530401625,4708661062,958953,5436,1085,380,391624,0 112,2,2024-09-07 09:43:11:137,693506,693505,1,0,27144958,0,5036 112,3,2024-09-07 09:43:10:600,1,549,1,0,282,5223,549,0 113,0,2024-09-07 09:43:10:888,140639,0.3,140560,0.5,281449,0.2,374486,1.75 113,1,2024-09-07 09:43:11:693,968017,968017,0,0,455267482055,4696376643,962440,4702,875,365,391664,0 113,2,2024-09-07 09:43:11:303,698111,698111,0,0,24838672,0,3813 113,3,2024-09-07 09:43:10:685,1,549,1,0,510,6149,549,0 114,0,2024-09-07 09:43:10:875,134525,0.3,135431,0.5,269123,0.2,359901,1.75 114,1,2024-09-07 09:43:10:716,965971,965971,0,0,454258376484,4714076813,959056,5298,1617,381,391565,0 114,2,2024-09-07 09:43:10:873,696493,696492,1,0,27101924,0,5069 114,3,2024-09-07 09:43:11:278,1,549,8,0,395,4383,549,0 115,0,2024-09-07 09:43:10:571,135805,0.3,136383,0.4,271713,0.2,361496,1.50 115,1,2024-09-07 09:43:10:595,966258,966258,0,0,453793188045,4712488106,958836,6064,1358,382,391757,0 115,2,2024-09-07 09:43:11:131,697410,697410,0,0,26444357,0,4382 115,3,2024-09-07 09:43:11:005,1,549,0,0,167,3186,549,0 116,0,2024-09-07 09:43:11:708,140917,0.7,140565,0.8,281915,0.6,376987,2.00 116,1,2024-09-07 09:43:10:817,960927,960927,0,0,451739146730,4763549416,948918,8957,3052,380,392089,0 116,2,2024-09-07 09:43:11:755,694057,694057,0,0,33957033,0,4475 116,3,2024-09-07 09:43:10:913,1,549,40,0,448,7176,549,0 117,0,2024-09-07 09:43:10:974,141405,0.7,140820,0.8,282199,0.8,377422,2.00 117,1,2024-09-07 09:43:11:597,962577,962577,0,0,452156252090,4732763480,951945,9170,1462,369,392033,0 117,2,2024-09-07 09:43:11:126,693909,693909,0,0,30128361,0,4303 117,3,2024-09-07 09:43:11:069,1,549,1,0,490,7289,549,0 118,0,2024-09-07 09:43:11:788,130914,0.6,134455,0.7,274286,0.5,358817,2.00 118,1,2024-09-07 09:43:10:595,961216,961216,0,0,451626327625,4749442823,946374,11233,3609,366,392054,0 118,2,2024-09-07 09:43:11:592,694120,694120,0,0,32638255,0,2842 118,3,2024-09-07 09:43:11:767,1,549,66,0,248,6083,549,0 119,0,2024-09-07 09:43:11:332,133804,0.6,134476,0.7,268472,0.6,358134,2.00 119,1,2024-09-07 09:43:10:575,962383,962383,0,0,451961625155,4739007173,949117,10932,2334,367,391857,0 119,2,2024-09-07 09:43:11:263,695708,695708,0,0,30734614,0,4309 119,3,2024-09-07 09:43:11:330,1,549,2,0,1358,10731,549,0 120,0,2024-09-07 09:43:11:558,138570,0.6,138458,0.8,277948,0.6,370500,2.25 120,1,2024-09-07 09:43:10:858,963713,963713,0,0,452085232581,4735926230,954130,8802,781,367,392144,0 120,2,2024-09-07 09:43:10:776,694000,693999,1,0,35565283,0,5281 120,3,2024-09-07 09:43:11:292,1,549,1,0,279,7006,549,0 121,0,2024-09-07 09:43:11:684,140408,1.2,139743,1.1,279915,1.6,373499,2.25 121,1,2024-09-07 09:43:11:659,963458,963458,0,0,452136862032,4726805871,953755,8853,850,366,391840,0 121,2,2024-09-07 09:43:11:126,689941,689941,0,0,32118353,0,4157 121,3,2024-09-07 09:43:10:728,1,549,1,0,330,6524,549,0 122,0,2024-09-07 09:43:11:856,136955,0.8,133211,0.9,279123,1.0,366999,2.25 122,1,2024-09-07 09:43:10:862,961831,961831,0,0,452408604773,4746859156,948326,11368,2137,366,392130,0 122,2,2024-09-07 09:43:11:320,695998,695923,75,0,36332936,0,5989 122,3,2024-09-07 09:43:10:607,1,549,67,0,512,9173,549,0 123,0,2024-09-07 09:43:10:955,132583,0.8,128831,0.8,269825,0.9,353626,2.25 123,1,2024-09-07 09:43:10:558,962678,962678,0,0,452158223754,4753237922,947287,12884,2507,369,392039,0 123,2,2024-09-07 09:43:11:018,693536,693535,1,0,31306407,0,5215 123,3,2024-09-07 09:43:11:137,1,549,2,0,478,6396,549,0 124,0,2024-09-07 09:43:10:923,141134,0.3,141227,0.5,266142,0.3,366921,1.75 124,1,2024-09-07 09:43:11:029,965628,965628,0,0,452975792117,4706171990,958617,5877,1134,365,392178,0 124,2,2024-09-07 09:43:11:020,696174,696121,53,0,28009521,0,6487 124,3,2024-09-07 09:43:10:758,1,549,1,0,490,5669,549,0 125,0,2024-09-07 09:43:11:435,140044,0.4,139883,0.5,280897,0.3,373669,1.75 125,1,2024-09-07 09:43:10:859,963741,963741,0,0,451717995107,4711811879,956716,6041,984,382,391813,0 125,2,2024-09-07 09:43:11:143,694832,694832,0,0,27858549,0,4534 125,3,2024-09-07 09:43:11:137,1,549,3,0,709,6453,549,0 126,0,2024-09-07 09:43:11:414,140056,0.4,143995,0.6,275621,0.4,372730,1.75 126,1,2024-09-07 09:43:10:561,967164,967164,0,0,453359290969,4690491744,962436,4416,312,365,391987,0 126,2,2024-09-07 09:43:10:624,696961,696961,0,0,28952083,0,4539 126,3,2024-09-07 09:43:10:909,1,549,2,0,268,6040,549,0 127,0,2024-09-07 09:43:11:597,133478,0.3,133846,0.5,267136,0.3,354824,1.75 127,1,2024-09-07 09:43:10:586,965172,965172,0,0,452946174368,4710194383,955779,7886,1507,364,392187,0 127,2,2024-09-07 09:43:10:637,695208,695204,4,0,27763981,0,5305 127,3,2024-09-07 09:43:11:278,1,549,2,0,968,5468,549,0 128,0,2024-09-07 09:43:11:528,136340,0.3,136327,0.4,272374,0.2,362491,1.50 128,1,2024-09-07 09:43:11:606,964874,964874,0,0,453982986931,4716914615,957724,6288,862,367,392031,0 128,2,2024-09-07 09:43:11:390,695674,695674,0,0,25943475,0,3171 128,3,2024-09-07 09:43:10:770,1,549,13,0,1082,8729,549,0 129,0,2024-09-07 09:43:11:002,142427,0.3,141801,0.5,284266,0.3,377431,1.50 129,1,2024-09-07 09:43:10:567,961694,961694,0,0,452522627518,4740278574,952295,7397,2002,379,391962,0 129,2,2024-09-07 09:43:10:689,695315,695311,4,0,28221153,0,5335 129,3,2024-09-07 09:43:10:691,1,549,1,0,506,7733,549,0 130,0,2024-09-07 09:43:11:718,141903,0.4,141335,0.6,283499,0.4,377392,1.75 130,1,2024-09-07 09:43:10:583,965781,965781,0,0,453224550796,4705879310,960921,4471,389,381,391825,0 130,2,2024-09-07 09:43:11:126,694943,694943,0,0,28011297,0,4067 130,3,2024-09-07 09:43:11:302,1,549,2,0,960,7519,549,0 131,0,2024-09-07 09:43:11:950,134341,0.4,134915,0.5,270050,0.3,358854,1.75 131,1,2024-09-07 09:43:11:820,965144,965144,0,0,453461925456,4726730715,958244,5708,1192,381,391865,0 131,2,2024-09-07 09:43:10:567,695772,695772,0,0,26204565,0,3979 131,3,2024-09-07 09:43:11:691,1,549,1,0,392,6763,549,0 132,0,2024-09-07 09:43:11:420,135414,0.5,136278,0.6,271256,0.4,361113,2.00 132,1,2024-09-07 09:43:10:592,961123,961123,0,0,451448290334,4750219918,947516,11082,2525,381,392532,0 132,2,2024-09-07 09:43:10:705,694855,694838,17,0,34603841,0,6451 132,3,2024-09-07 09:43:11:694,1,549,34,0,804,8922,549,0 133,0,2024-09-07 09:43:11:564,136548,0.4,139785,0.6,286645,0.4,373540,1.75 133,1,2024-09-07 09:43:10:592,960967,960967,0,0,452174528927,4764389407,947870,11392,1705,383,391914,0 133,2,2024-09-07 09:43:11:090,695710,695660,50,0,34970820,0,6861 133,3,2024-09-07 09:43:11:297,1,549,2,0,528,6891,549,0 134,0,2024-09-07 09:43:10:947,141408,0.5,141289,0.7,283017,0.5,376870,2.25 134,1,2024-09-07 09:43:10:586,962871,962871,0,0,451496537537,4731556673,951063,9660,2148,366,391781,0 134,2,2024-09-07 09:43:11:765,690962,690938,24,0,32518997,0,6207 134,3,2024-09-07 09:43:10:748,1,549,8,0,739,6488,549,0 135,0,2024-09-07 09:43:11:097,131753,0.9,131761,0.9,279727,1.1,360372,2.25 135,1,2024-09-07 09:43:11:589,962272,962272,0,0,452015480302,4751351063,950268,10433,1571,380,391805,0 135,2,2024-09-07 09:43:10:690,695581,695581,0,0,33184980,0,4503 135,3,2024-09-07 09:43:11:006,1,549,1,0,900,5477,549,0 136,0,2024-09-07 09:43:11:616,134151,0.5,134397,0.7,267642,0.5,357003,2.00 136,1,2024-09-07 09:43:11:446,963064,963064,0,0,452102319854,4737396793,952270,9500,1294,381,392135,0 136,2,2024-09-07 09:43:11:139,696997,696982,15,0,32828694,0,6007 136,3,2024-09-07 09:43:11:106,1,549,7,0,637,6618,549,0 137,0,2024-09-07 09:43:10:925,142791,0.5,139215,0.7,272736,0.5,370583,2.00 137,1,2024-09-07 09:43:10:574,961401,961401,0,0,452785949776,4744770718,947002,11614,2785,366,391898,0 137,2,2024-09-07 09:43:11:704,693525,693525,0,0,33795623,0,3185 137,3,2024-09-07 09:43:10:790,1,549,53,0,484,7177,549,0 138,0,2024-09-07 09:43:11:747,139399,0.8,139579,0.9,279762,0.9,371397,2.00 138,1,2024-09-07 09:43:11:685,962718,962718,0,0,452994867401,4747640611,949706,11041,1971,368,391954,0 138,2,2024-09-07 09:43:10:594,690762,690762,0,0,30973610,0,4988 138,3,2024-09-07 09:43:10:622,1,549,8,0,1200,8800,549,0 139,0,2024-09-07 09:43:11:358,137492,1.2,137967,1.0,275924,1.7,367807,2.25 139,1,2024-09-07 09:43:10:571,958354,958354,0,0,450444851525,4775243739,941372,13297,3685,380,392109,0 139,2,2024-09-07 09:43:10:696,691920,691920,0,0,35148484,0,3097 139,3,2024-09-07 09:43:11:664,1,549,3,0,432,6315,549,0 140,0,2024-09-07 09:43:11:590,133352,0.3,132460,0.5,265807,0.2,354258,1.75 140,1,2024-09-07 09:43:11:536,968532,968532,0,0,454984577361,4687592411,963946,4048,538,364,391606,0 140,2,2024-09-07 09:43:10:697,696973,696972,1,0,26288979,0,5036 140,3,2024-09-07 09:43:10:774,1,549,1,0,297,4932,549,0 141,0,2024-09-07 09:43:11:701,136570,0.3,140192,0.4,267830,0.2,363477,1.50 141,1,2024-09-07 09:43:10:866,966595,966595,0,0,454761485682,4721727543,959156,6306,1133,379,391614,0 141,2,2024-09-07 09:43:11:686,694496,694485,11,0,27914868,0,5369 141,3,2024-09-07 09:43:11:054,1,549,15,0,391,6274,549,0 142,0,2024-09-07 09:43:11:330,141766,0.3,140709,0.5,282006,0.2,375912,1.50 142,1,2024-09-07 09:43:10:593,964521,964521,0,0,453449243274,4722651626,958846,5215,460,382,392102,0 142,2,2024-09-07 09:43:11:299,692503,692471,32,0,28392284,0,6028 142,3,2024-09-07 09:43:11:746,1,549,4,0,484,5718,549,0 143,0,2024-09-07 09:43:11:392,140403,0.4,140459,0.6,281815,0.4,374320,1.75 143,1,2024-09-07 09:43:10:564,966851,966851,0,0,453868048813,4707293624,960615,5674,562,367,391722,0 143,2,2024-09-07 09:43:10:771,696551,696551,0,0,28000225,0,3123 143,3,2024-09-07 09:43:11:157,1,549,5,0,462,6755,549,0 144,0,2024-09-07 09:43:11:498,130104,0.6,133833,0.8,272299,0.6,356626,2.00 144,1,2024-09-07 09:43:10:565,962035,962035,0,0,451765367358,4737345622,952610,7457,1968,381,391733,0 144,2,2024-09-07 09:43:11:758,695945,695945,0,0,27118873,0,3673 144,3,2024-09-07 09:43:11:739,1,549,1,0,249,5330,549,0 145,0,2024-09-07 09:43:11:373,131083,0.5,131063,0.7,278182,0.5,358342,2.25 145,1,2024-09-07 09:43:10:556,961315,961315,0,0,451517859695,4753539938,947846,10809,2660,382,391759,0 145,2,2024-09-07 09:43:11:441,692351,692269,82,0,33519405,0,7814 145,3,2024-09-07 09:43:10:905,1,549,1,0,622,7602,549,0 146,0,2024-09-07 09:43:11:605,141025,0.6,140556,0.8,282318,0.6,375294,2.25 146,1,2024-09-07 09:43:11:591,962240,962240,0,0,452054428231,4756419826,945819,12005,4416,367,391770,0 146,2,2024-09-07 09:43:11:696,693427,693421,6,0,31409950,0,5151 146,3,2024-09-07 09:43:11:284,1,549,8,0,1520,9289,549,0 147,0,2024-09-07 09:43:11:715,141377,0.6,141030,0.7,281828,0.6,376605,2.25 147,1,2024-09-07 09:43:11:372,966104,966104,0,0,454472135447,4718149823,958807,6417,880,367,391791,0 147,2,2024-09-07 09:43:11:014,692242,692242,0,0,27513795,0,2968 147,3,2024-09-07 09:43:10:916,1,549,0,0,1626,8632,549,0 0,0,2024-09-07 09:43:21:705,135635,0.5,135640,0.7,287743,0.5,371885,2.00 0,1,2024-09-07 09:43:20:805,964763,964763,0,0,453232287891,4742677151,957171,6749,843,369,391896,0 0,2,2024-09-07 09:43:21:077,697572,697572,0,0,28091459,0,4480 0,3,2024-09-07 09:43:20:978,1,550,7,0,431,7888,550,0 1,0,2024-09-07 09:43:21:847,140472,0.9,139468,0.9,280542,1.1,374821,2.00 1,1,2024-09-07 09:43:20:556,963298,963298,0,0,451998885328,4733476188,954526,7299,1473,370,391859,0 1,2,2024-09-07 09:43:20:640,692683,692683,0,0,27070900,0,3380 1,3,2024-09-07 09:43:21:319,1,550,12,0,269,6685,550,0 2,0,2024-09-07 09:43:21:572,137383,0.6,137168,0.7,274213,0.6,365888,2.00 2,1,2024-09-07 09:43:20:859,966964,966964,0,0,454549788263,4722162366,961798,4236,930,379,391745,0 2,2,2024-09-07 09:43:21:266,697355,697355,0,0,25989250,0,3594 2,3,2024-09-07 09:43:20:690,1,550,8,0,357,4851,550,0 3,0,2024-09-07 09:43:21:751,133149,0.4,133132,0.6,265568,0.3,354502,2.00 3,1,2024-09-07 09:43:21:618,965873,965873,0,0,453631284225,4719664645,958353,6817,703,379,391716,0 3,2,2024-09-07 09:43:21:142,698104,698081,23,0,27122556,0,5851 3,3,2024-09-07 09:43:21:756,1,550,1,0,275,3586,550,0 4,0,2024-09-07 09:43:21:810,133611,0.4,137331,0.5,279891,0.3,366596,1.75 4,1,2024-09-07 09:43:20:602,961771,961771,0,0,450668555000,4774019471,944499,13565,3707,370,391992,0 4,2,2024-09-07 09:43:21:018,693052,693052,0,0,33732213,0,4534 4,3,2024-09-07 09:43:21:031,1,550,9,0,448,6970,550,0 5,0,2024-09-07 09:43:21:442,140396,0.4,140534,0.5,281085,0.4,373365,1.75 5,1,2024-09-07 09:43:20:765,963027,963027,0,0,452747192221,4766290288,949399,10891,2737,367,392005,0 5,2,2024-09-07 09:43:21:828,689936,689936,0,0,32120488,0,3582 5,3,2024-09-07 09:43:21:737,1,550,7,0,457,7422,550,0 6,0,2024-09-07 09:43:20:917,140018,0.5,139693,0.7,279611,0.4,372275,2.00 6,1,2024-09-07 09:43:20:746,964916,964916,0,0,452995418002,4732479818,954463,8931,1522,379,391702,0 6,2,2024-09-07 09:43:21:116,697322,697304,18,0,31760780,0,5535 6,3,2024-09-07 09:43:21:275,1,550,9,0,710,6841,550,0 7,0,2024-09-07 09:43:21:536,132623,0.5,133517,0.7,265820,0.5,353583,2.00 7,1,2024-09-07 09:43:20:850,963829,963829,0,0,453148331038,4759408526,949952,11473,2404,382,391747,0 7,2,2024-09-07 09:43:20:770,696471,696471,0,0,30409150,0,4791 7,3,2024-09-07 09:43:20:851,1,550,1,0,552,6258,550,0 8,0,2024-09-07 09:43:21:464,136344,0.3,135933,0.5,272747,0.3,363329,1.75 8,1,2024-09-07 09:43:21:023,961635,961635,0,0,452886874055,4762538133,945999,12119,3517,366,392853,0 8,2,2024-09-07 09:43:20:794,690551,690549,2,0,35977313,0,5112 8,3,2024-09-07 09:43:20:592,1,550,1,0,538,7939,550,0 9,0,2024-09-07 09:43:21:127,141202,0.4,137429,0.5,287971,0.3,377116,1.75 9,1,2024-09-07 09:43:20:602,962064,962064,0,0,451959823947,4762281263,947241,11862,2961,369,392001,0 9,2,2024-09-07 09:43:21:112,693707,693706,1,0,32963270,0,5281 9,3,2024-09-07 09:43:21:782,1,550,5,0,1273,9142,550,0 10,0,2024-09-07 09:43:21:606,141171,0.4,140690,0.5,282254,0.3,376191,1.75 10,1,2024-09-07 09:43:20:598,964047,964047,0,0,452703786013,4743632012,950672,10958,2417,381,391869,0 10,2,2024-09-07 09:43:20:767,695872,695872,0,0,35683017,0,4264 10,3,2024-09-07 09:43:20:877,1,550,669,0,669,6395,550,0 11,0,2024-09-07 09:43:21:017,134476,0.5,130352,0.6,272716,0.4,359673,1.75 11,1,2024-09-07 09:43:20:571,965784,965784,0,0,453317511506,4752786278,951659,10363,3762,383,391766,0 11,2,2024-09-07 09:43:21:123,695367,695367,0,0,31458230,0,4130 11,3,2024-09-07 09:43:21:299,1,550,1,0,843,7377,550,0 12,0,2024-09-07 09:43:20:945,136406,0.4,136390,0.5,272773,0.3,362514,1.75 12,1,2024-09-07 09:43:20:934,965437,965437,0,0,452635402532,4719575009,957709,6842,886,370,391960,0 12,2,2024-09-07 09:43:21:545,695290,695290,0,0,30745780,0,4390 12,3,2024-09-07 09:43:21:060,1,550,1,0,386,7192,550,0 13,0,2024-09-07 09:43:21:413,141459,0.4,141262,0.5,281852,0.3,376377,1.75 13,1,2024-09-07 09:43:21:535,963049,963049,0,0,452437564320,4752579582,953327,7424,2298,382,391740,0 13,2,2024-09-07 09:43:20:594,697705,697705,0,0,27615603,0,3287 13,3,2024-09-07 09:43:21:763,1,550,24,0,522,7497,550,0 14,0,2024-09-07 09:43:20:564,141415,0.4,142476,0.6,282643,0.4,376694,1.75 14,1,2024-09-07 09:43:21:561,969745,969745,0,0,455039850526,4704874724,962799,6218,728,364,391673,0 14,2,2024-09-07 09:43:20:767,693899,693869,30,0,28879249,0,6104 14,3,2024-09-07 09:43:21:115,1,550,21,0,1168,6182,550,0 15,0,2024-09-07 09:43:21:555,135844,0.4,135228,0.6,271343,0.4,361501,2.00 15,1,2024-09-07 09:43:21:610,966866,966866,0,0,453720494282,4721655256,959120,6260,1486,381,391619,0 15,2,2024-09-07 09:43:20:998,699132,699132,0,0,24597341,0,3622 15,3,2024-09-07 09:43:21:410,1,550,1,0,1126,7509,550,0 16,0,2024-09-07 09:43:20:952,133739,0.5,134468,0.7,268360,0.5,357086,2.00 16,1,2024-09-07 09:43:20:567,966770,966770,0,0,453992460404,4742775309,958329,7138,1303,370,392194,0 16,2,2024-09-07 09:43:21:446,696815,696815,0,0,28934577,0,4719 16,3,2024-09-07 09:43:21:142,1,550,17,0,358,6780,550,0 17,0,2024-09-07 09:43:21:771,143228,0.5,139515,0.7,273525,0.5,371533,1.75 17,1,2024-09-07 09:43:20:568,964601,964601,0,0,452976604041,4749558752,955042,7805,1754,368,392075,0 17,2,2024-09-07 09:43:21:668,698884,698883,1,0,29657794,0,5050 17,3,2024-09-07 09:43:20:584,1,550,1,0,518,8104,550,0 18,0,2024-09-07 09:43:20:939,138705,0.7,139657,0.8,278212,0.7,371347,2.25 18,1,2024-09-07 09:43:21:638,968725,968725,0,0,454285639801,4708715694,962607,5121,997,367,391725,0 18,2,2024-09-07 09:43:21:757,694972,694972,0,0,26203543,0,3541 18,3,2024-09-07 09:43:20:897,1,550,8,0,1059,5093,550,0 19,0,2024-09-07 09:43:21:559,138090,0.6,138398,0.8,275631,0.7,366455,2.25 19,1,2024-09-07 09:43:20:566,968188,968188,0,0,455688888797,4721854848,961159,5944,1085,367,391777,0 19,2,2024-09-07 09:43:21:757,700261,700261,0,0,24859610,0,3988 19,3,2024-09-07 09:43:21:129,1,550,3,0,524,4304,550,0 20,0,2024-09-07 09:43:21:408,132708,0.5,132931,0.7,265437,0.5,353666,2.00 20,1,2024-09-07 09:43:20:567,964053,964053,0,0,453915141983,4755419037,953948,8605,1500,369,391922,0 20,2,2024-09-07 09:43:20:931,696307,696307,0,0,31210681,0,4321 20,3,2024-09-07 09:43:20:589,1,550,3,0,468,9048,550,0 21,0,2024-09-07 09:43:21:148,136697,0.4,136862,0.6,273577,0.4,363702,1.75 21,1,2024-09-07 09:43:21:536,962725,962725,0,0,451816068516,4765953910,948044,11418,3263,368,392016,0 21,2,2024-09-07 09:43:21:085,692414,692394,20,0,35335979,0,5617 21,3,2024-09-07 09:43:21:405,1,550,3,0,713,7576,550,0 22,0,2024-09-07 09:43:21:720,140892,0.5,141381,0.7,282187,0.4,374165,2.00 22,1,2024-09-07 09:43:21:022,963534,963534,0,0,452470355702,4762377835,947309,12887,3338,382,391822,0 22,2,2024-09-07 09:43:20:760,692526,692500,26,0,29605779,0,6328 22,3,2024-09-07 09:43:21:067,1,550,0,0,228,4581,550,0 23,0,2024-09-07 09:43:21:396,140311,0.5,139877,0.7,279824,0.5,373021,2.25 23,1,2024-09-07 09:43:21:010,964795,964795,0,0,453988157894,4765972445,947941,12005,4849,365,391690,0 23,2,2024-09-07 09:43:21:091,697861,697861,0,0,28419259,0,3773 23,3,2024-09-07 09:43:21:753,1,550,1,0,855,8424,550,0 24,0,2024-09-07 09:43:20:857,135229,0.4,134568,0.6,270604,0.4,359190,1.75 24,1,2024-09-07 09:43:20:594,963893,963893,0,0,452332230910,4734358574,954644,7568,1681,367,392269,0 24,2,2024-09-07 09:43:21:071,695762,695762,0,0,33925058,0,4438 24,3,2024-09-07 09:43:21:686,1,550,1,0,468,6842,550,0 25,0,2024-09-07 09:43:21:410,139489,0.4,135947,0.6,266480,0.4,363339,1.75 25,1,2024-09-07 09:43:20:568,962380,962380,0,0,451814262743,4769081810,946125,12736,3519,369,391928,0 25,2,2024-09-07 09:43:21:607,693561,693561,0,0,35129248,0,3978 25,3,2024-09-07 09:43:21:000,1,550,12,0,532,6032,550,0 26,0,2024-09-07 09:43:21:721,140664,0.4,137651,0.6,288869,0.4,375850,2.00 26,1,2024-09-07 09:43:21:545,966329,966329,0,0,453237809232,4743348766,953182,10688,2459,380,391748,0 26,2,2024-09-07 09:43:20:859,695362,695362,0,0,37121022,0,4689 26,3,2024-09-07 09:43:21:712,1,550,15,0,796,5989,550,0 27,0,2024-09-07 09:43:21:734,141623,0.4,142283,0.6,282547,0.4,377134,2.25 27,1,2024-09-07 09:43:21:676,966235,966235,0,0,454738000502,4735569208,957194,7772,1269,381,391626,0 27,2,2024-09-07 09:43:20:866,691353,691288,65,0,31792301,0,5699 27,3,2024-09-07 09:43:21:017,1,550,1,0,564,4762,550,0 28,0,2024-09-07 09:43:21:389,135505,0.4,135209,0.6,270994,0.3,361065,1.75 28,1,2024-09-07 09:43:20:804,967619,967619,0,0,454264808170,4732340414,959838,6067,1714,382,391698,0 28,2,2024-09-07 09:43:21:794,697066,697066,0,0,28000425,0,2915 28,3,2024-09-07 09:43:21:782,1,550,11,0,502,5404,550,0 29,0,2024-09-07 09:43:21:400,138531,0.3,134855,0.6,264675,0.3,361223,1.75 29,1,2024-09-07 09:43:21:563,970136,970136,0,0,455770159315,4710881417,964573,4800,763,367,391809,0 29,2,2024-09-07 09:43:20:860,696081,696081,0,0,27400480,0,4986 29,3,2024-09-07 09:43:20:975,1,550,1,0,459,5874,550,0 30,0,2024-09-07 09:43:21:457,138934,0.4,135405,0.6,283638,0.4,370625,2.00 30,1,2024-09-07 09:43:20:571,968786,968786,0,0,454740479426,4716387113,961804,6080,902,380,391672,0 30,2,2024-09-07 09:43:21:274,696820,696820,0,0,26369544,0,4192 30,3,2024-09-07 09:43:20:581,1,550,17,0,519,5255,550,0 31,0,2024-09-07 09:43:21:759,140319,0.4,140827,0.6,280896,0.4,375303,2.00 31,1,2024-09-07 09:43:20:563,971783,971783,0,0,456303676535,4681947678,966450,4322,1011,356,391712,0 31,2,2024-09-07 09:43:21:275,691935,691935,0,0,28731716,0,4470 31,3,2024-09-07 09:43:21:706,1,550,1,0,239,4777,550,0 32,0,2024-09-07 09:43:21:422,137773,0.3,138551,0.5,276069,0.3,367439,1.75 32,1,2024-09-07 09:43:20:807,968378,968378,0,0,455034238654,4727432928,962561,5108,709,381,391646,0 32,2,2024-09-07 09:43:20:934,698687,698687,0,0,25453746,0,3922 32,3,2024-09-07 09:43:21:017,1,550,1,0,304,4455,550,0 33,0,2024-09-07 09:43:21:497,133767,0.3,133319,0.4,266855,0.2,355542,1.50 33,1,2024-09-07 09:43:20:577,968644,968644,0,0,455661044750,4716917550,961380,6090,1174,368,391730,0 33,2,2024-09-07 09:43:20:758,698655,698620,35,0,28136204,0,7012 33,3,2024-09-07 09:43:20:895,1,550,4,0,329,4980,550,0 34,0,2024-09-07 09:43:20:933,138042,0.3,141752,0.4,271057,0.2,366115,1.75 34,1,2024-09-07 09:43:21:050,970874,970874,0,0,456210479039,4689986829,968358,2494,22,367,391637,0 34,2,2024-09-07 09:43:20:767,697637,697637,0,0,26524289,0,4562 34,3,2024-09-07 09:43:21:688,1,550,1,0,320,4442,550,0 35,0,2024-09-07 09:43:20:857,139561,0.3,140299,0.5,281826,0.2,374030,1.75 35,1,2024-09-07 09:43:21:071,967388,967388,0,0,454889195411,4718669278,960192,5712,1484,382,391769,0 35,2,2024-09-07 09:43:21:587,693099,693099,0,0,28995278,0,4055 35,3,2024-09-07 09:43:20:907,1,550,1,0,466,5439,550,0 36,0,2024-09-07 09:43:21:518,140448,0.5,140268,0.7,280346,0.5,373098,2.00 36,1,2024-09-07 09:43:20:583,966517,966517,0,0,452840199755,4730098293,953687,10600,2230,366,391759,0 36,2,2024-09-07 09:43:21:755,697458,697458,0,0,31106308,0,3875 36,3,2024-09-07 09:43:20:863,1,550,2,0,416,7416,550,0 37,0,2024-09-07 09:43:21:390,132860,0.5,132825,0.7,265909,0.5,354229,2.25 37,1,2024-09-07 09:43:20:569,965620,965613,0,7,453057546002,4730073405,954077,8823,2713,365,391770,0 37,2,2024-09-07 09:43:21:143,694453,694438,15,0,31533473,0,5815 37,3,2024-09-07 09:43:21:770,1,550,13,0,888,7472,550,0 38,0,2024-09-07 09:43:21:439,135499,0.4,131521,0.6,274990,0.3,360586,2.00 38,1,2024-09-07 09:43:21:605,966473,966473,0,0,453719388229,4741744783,952854,11047,2572,368,391821,0 38,2,2024-09-07 09:43:20:760,694505,694458,47,0,31418567,0,6710 38,3,2024-09-07 09:43:20:997,1,550,9,0,689,6830,550,0 39,0,2024-09-07 09:43:21:763,144411,0.5,141199,0.7,275266,0.5,375831,2.00 39,1,2024-09-07 09:43:20:718,964890,964890,0,0,453234350953,4754403095,948293,12901,3696,365,391865,0 39,2,2024-09-07 09:43:21:417,695363,695363,0,0,28932354,0,3391 39,3,2024-09-07 09:43:20:717,1,550,14,0,525,6509,550,0 40,0,2024-09-07 09:43:21:518,139994,0.9,140588,1.0,280504,1.0,374121,2.75 40,1,2024-09-07 09:43:20:578,966408,966408,0,0,452859669109,4740053936,952810,10904,2694,368,391668,0 40,2,2024-09-07 09:43:21:304,693930,693929,1,0,34409514,0,5137 40,3,2024-09-07 09:43:21:161,1,550,4,0,1028,8027,550,0 41,0,2024-09-07 09:43:21:027,133944,1.2,136868,1.1,261041,1.7,355039,3.00 41,1,2024-09-07 09:43:20:767,965393,965393,0,0,452574967448,4734043699,953019,10310,2064,369,391878,0 41,2,2024-09-07 09:43:20:760,693476,693475,1,0,33279216,0,5408 41,3,2024-09-07 09:43:21:676,1,550,90,0,366,6000,550,0 42,0,2024-09-07 09:43:21:484,134866,0.9,134667,1.0,269063,1.0,357061,2.75 42,1,2024-09-07 09:43:21:441,962260,962260,0,0,452630419642,4756896262,946932,12419,2909,380,391675,0 42,2,2024-09-07 09:43:21:138,694820,694820,0,0,33160396,0,3975 42,3,2024-09-07 09:43:21:014,1,550,196,0,892,5021,550,0 43,0,2024-09-07 09:43:20:921,139252,0.7,135578,0.9,283983,0.7,371857,2.25 43,1,2024-09-07 09:43:20:579,965507,965507,0,0,453678127995,4737948509,952243,10907,2357,366,391696,0 43,2,2024-09-07 09:43:21:736,696497,696497,0,0,32108126,0,4723 43,3,2024-09-07 09:43:21:756,1,550,47,0,571,7961,550,0 44,0,2024-09-07 09:43:20:863,141735,0.4,141635,0.6,283807,0.4,377235,1.75 44,1,2024-09-07 09:43:20:562,968634,968634,0,0,454894322394,4697730991,960800,6247,1587,356,391809,0 44,2,2024-09-07 09:43:21:267,691626,691626,0,0,25929393,0,4344 44,3,2024-09-07 09:43:21:096,1,550,4,0,817,5904,550,0 45,0,2024-09-07 09:43:21:768,134407,0.5,131307,0.7,275684,0.5,361649,2.00 45,1,2024-09-07 09:43:21:004,967723,967723,0,0,454803850970,4724220461,960198,6649,876,382,391917,0 45,2,2024-09-07 09:43:21:267,697600,697600,0,0,26540164,0,3596 45,3,2024-09-07 09:43:20:934,1,550,6,0,365,5036,550,0 46,0,2024-09-07 09:43:20:971,133474,0.4,132995,0.7,266607,0.4,354167,2.00 46,1,2024-09-07 09:43:20:579,969192,969192,0,0,455794895090,4707286339,962745,5583,864,366,391709,0 46,2,2024-09-07 09:43:20:591,698118,698118,0,0,26957955,0,4443 46,3,2024-09-07 09:43:21:137,1,550,12,0,908,6824,550,0 47,0,2024-09-07 09:43:21:123,139078,0.4,139100,0.5,279246,0.3,370249,1.75 47,1,2024-09-07 09:43:20:567,970620,970620,0,0,455348465100,4700882729,965315,4465,840,365,391641,0 47,2,2024-09-07 09:43:20:908,699470,699470,0,0,26452284,0,4477 47,3,2024-09-07 09:43:21:117,1,550,5,0,600,6070,550,0 48,0,2024-09-07 09:43:21:530,140387,0.3,140556,0.4,280545,0.2,373161,1.50 48,1,2024-09-07 09:43:21:021,967664,967664,0,0,454454752102,4719959085,961728,5360,576,384,391710,0 48,2,2024-09-07 09:43:20:699,692978,692978,0,0,24295980,0,3411 48,3,2024-09-07 09:43:20:753,1,550,1,0,339,4239,550,0 49,0,2024-09-07 09:43:21:711,142385,0.3,139628,0.5,271547,0.3,370663,1.75 49,1,2024-09-07 09:43:21:021,967152,967152,0,0,454423137772,4721251598,961534,4406,1212,382,391809,0 49,2,2024-09-07 09:43:21:797,698844,698844,0,0,27128377,0,4426 49,3,2024-09-07 09:43:21:431,1,550,4,0,992,6376,550,0 50,0,2024-09-07 09:43:21:582,133610,0.3,131844,0.5,265625,0.2,353367,1.75 50,1,2024-09-07 09:43:21:015,970396,970396,0,0,455877521808,4710816405,964372,5356,668,368,391565,0 50,2,2024-09-07 09:43:21:071,696656,696656,0,0,24801939,0,4490 50,3,2024-09-07 09:43:21:291,1,550,1,0,617,5568,550,0 51,0,2024-09-07 09:43:21:684,140423,0.3,137462,0.4,267510,0.2,365414,1.50 51,1,2024-09-07 09:43:21:682,971450,971450,0,0,456573772215,4705253114,966635,3759,1056,365,391706,0 51,2,2024-09-07 09:43:21:323,696221,696221,0,0,24317933,0,3337 51,3,2024-09-07 09:43:21:026,1,550,1,0,678,3840,550,0 52,0,2024-09-07 09:43:21:417,141417,0.5,141027,0.7,282371,0.5,375075,2.00 52,1,2024-09-07 09:43:20:577,965727,965727,0,0,453402641293,4746118431,951743,11774,2210,368,391805,0 52,2,2024-09-07 09:43:21:757,690430,690392,38,0,32591569,0,6742 52,3,2024-09-07 09:43:20:673,1,550,10,0,1782,6936,550,0 53,0,2024-09-07 09:43:21:732,139915,0.7,135764,0.8,283909,0.7,372307,2.50 53,1,2024-09-07 09:43:20:771,964249,964249,0,0,453127521758,4754682420,947915,12301,4033,367,391968,0 53,2,2024-09-07 09:43:21:299,696780,696779,1,0,29465120,0,5455 53,3,2024-09-07 09:43:20:697,1,550,2,0,308,5197,550,0 54,0,2024-09-07 09:43:21:613,132499,0.6,132802,0.8,264570,0.4,353197,2.25 54,1,2024-09-07 09:43:20:580,966551,966551,0,0,453846815147,4721180527,955945,8944,1662,366,391810,0 54,2,2024-09-07 09:43:20:865,696896,696864,32,0,33476612,0,6397 54,3,2024-09-07 09:43:20:767,1,550,12,0,676,7452,550,0 55,0,2024-09-07 09:43:21:761,131084,0.6,135145,0.8,274085,0.5,357637,2.50 55,1,2024-09-07 09:43:20:769,967807,967807,0,0,453443921240,4716875307,957511,8912,1384,365,391731,0 55,2,2024-09-07 09:43:20:732,693706,693650,56,0,31421043,0,7239 55,3,2024-09-07 09:43:20:674,1,550,1,0,304,5098,550,0 56,0,2024-09-07 09:43:21:581,144241,1.2,135991,1.1,280154,1.5,375240,2.75 56,1,2024-09-07 09:43:20:619,962027,962027,0,0,452155113222,4777550847,946017,12619,3391,381,391867,0 56,2,2024-09-07 09:43:21:304,694923,694801,122,0,32562340,0,7432 56,3,2024-09-07 09:43:21:063,1,550,6,0,705,6417,550,0 57,0,2024-09-07 09:43:20:946,139669,1.5,139686,1.2,279483,2.1,373716,3.25 57,1,2024-09-07 09:43:20:987,963707,963707,0,0,452832750876,4750771692,950942,10436,2329,366,392032,0 57,2,2024-09-07 09:43:21:322,694139,694139,0,0,33489632,0,4317 57,3,2024-09-07 09:43:21:739,1,550,25,0,455,6383,550,0 58,0,2024-09-07 09:43:20:567,133423,0.9,129473,1.0,270860,1.1,354576,2.50 58,1,2024-09-07 09:43:20:581,965360,965357,0,3,453358130430,4749262545,951199,10836,3322,367,391675,3 58,2,2024-09-07 09:43:21:070,696281,696281,0,0,31801829,0,3483 58,3,2024-09-07 09:43:21:068,1,550,91,0,1043,6104,550,0 59,0,2024-09-07 09:43:21:741,134208,0.8,134105,0.9,267432,0.8,355138,2.75 59,1,2024-09-07 09:43:20:811,964029,964029,0,0,453360508203,4762163748,947956,12742,3331,369,391653,0 59,2,2024-09-07 09:43:20:582,696085,696085,0,0,30552526,0,3727 59,3,2024-09-07 09:43:21:744,1,550,0,0,1015,6787,550,0 60,0,2024-09-07 09:43:21:746,139436,0.4,139879,0.6,279435,0.4,371791,1.75 60,1,2024-09-07 09:43:20:797,969132,969132,0,0,455218646203,4722559671,962842,5351,939,370,392031,0 60,2,2024-09-07 09:43:21:158,696972,696972,0,0,28527761,0,3811 60,3,2024-09-07 09:43:21:269,1,550,1,0,409,6439,550,0 61,0,2024-09-07 09:43:21:620,140352,0.7,141005,0.8,280647,0.7,374832,2.00 61,1,2024-09-07 09:43:20:777,965388,965388,0,0,453778578440,4747871750,955424,8382,1582,382,392127,0 61,2,2024-09-07 09:43:21:119,692515,692448,67,0,29263614,0,6411 61,3,2024-09-07 09:43:21:690,1,550,3,0,607,7619,550,0 62,0,2024-09-07 09:43:21:717,138099,0.6,141711,0.8,270537,0.6,367247,2.00 62,1,2024-09-07 09:43:21:114,971047,971041,0,6,456727940435,4705343811,966696,4063,282,365,391975,6 62,2,2024-09-07 09:43:21:648,695839,695838,1,0,28958332,0,5555 62,3,2024-09-07 09:43:21:143,1,550,8,0,482,4401,550,0 63,0,2024-09-07 09:43:21:451,133698,0.4,133474,0.6,267793,0.3,355810,1.75 63,1,2024-09-07 09:43:20:809,967957,967951,0,6,455281599867,4724462555,961764,5347,840,381,391800,6 63,2,2024-09-07 09:43:20:766,698118,698118,0,0,27721438,0,4369 63,3,2024-09-07 09:43:21:734,1,550,5,0,667,5972,550,0 64,0,2024-09-07 09:43:21:537,137327,0.4,137381,0.6,274014,0.4,365244,1.75 64,1,2024-09-07 09:43:20:754,967132,967132,0,0,453922199013,4724851358,958899,6421,1812,370,391794,0 64,2,2024-09-07 09:43:21:141,700541,700522,19,0,26775574,0,6121 64,3,2024-09-07 09:43:21:140,1,550,1,0,651,5629,550,0 65,0,2024-09-07 09:43:21:688,139272,0.6,139572,0.7,278694,0.6,371368,2.00 65,1,2024-09-07 09:43:20:859,965468,965468,0,0,452179167799,4723345606,958702,5966,800,381,391901,0 65,2,2024-09-07 09:43:21:693,692533,692533,0,0,31332272,0,3367 65,3,2024-09-07 09:43:21:707,1,550,11,0,782,6395,550,0 66,0,2024-09-07 09:43:21:761,139590,0.6,139058,0.8,278157,0.5,370263,2.25 66,1,2024-09-07 09:43:21:293,967714,967714,0,0,453990903818,4722835349,961497,5495,722,380,391743,0 66,2,2024-09-07 09:43:21:139,699845,699842,3,0,28491773,0,5455 66,3,2024-09-07 09:43:21:078,1,550,7,0,291,4655,550,0 67,0,2024-09-07 09:43:21:425,133299,0.5,132972,0.7,266653,0.5,354396,2.00 67,1,2024-09-07 09:43:20:767,967747,967746,0,1,453726630085,4720692564,961461,5470,815,380,391787,1 67,2,2024-09-07 09:43:20:583,699629,699614,15,0,27937566,0,6205 67,3,2024-09-07 09:43:21:754,1,550,0,0,595,5829,550,0 68,0,2024-09-07 09:43:20:626,136328,0.5,136098,0.7,271014,0.5,362753,2.00 68,1,2024-09-07 09:43:20:581,963126,963126,0,0,452276612702,4755981266,950177,9492,3457,381,391953,0 68,2,2024-09-07 09:43:21:057,691116,691016,100,0,36497217,0,8578 68,3,2024-09-07 09:43:20:728,1,550,164,0,417,7270,550,0 69,0,2024-09-07 09:43:21:815,140652,0.7,141530,0.8,281987,0.7,374408,2.25 69,1,2024-09-07 09:43:21:038,962663,962663,0,0,451894723720,4761165529,950035,9866,2762,383,391994,0 69,2,2024-09-07 09:43:21:753,693001,692972,29,0,37748297,0,6912 69,3,2024-09-07 09:43:20:773,1,550,81,0,698,8221,550,0 70,0,2024-09-07 09:43:21:537,139613,0.8,140088,1.0,281764,0.7,373097,2.50 70,1,2024-09-07 09:43:20:807,968460,968460,0,0,454996592557,4721130542,960877,6820,763,366,391725,0 70,2,2024-09-07 09:43:21:325,695595,695595,0,0,31588996,0,4323 70,3,2024-09-07 09:43:20:745,1,550,394,0,854,6317,550,0 71,0,2024-09-07 09:43:21:394,133261,1.1,132995,1.1,267010,1.4,356715,2.75 71,1,2024-09-07 09:43:21:601,965982,965982,0,0,453089921000,4728457063,954151,10482,1349,368,391738,0 71,2,2024-09-07 09:43:21:067,695485,695485,0,0,32224012,0,4352 71,3,2024-09-07 09:43:21:754,1,550,2,0,644,6463,550,0 72,0,2024-09-07 09:43:21:032,140012,0.5,136776,0.7,267075,0.5,362898,2.00 72,1,2024-09-07 09:43:21:037,964640,964640,0,0,452987284422,4750709727,950768,11478,2394,369,391819,0 72,2,2024-09-07 09:43:21:755,694369,694369,0,0,33420672,0,3983 72,3,2024-09-07 09:43:21:756,1,550,2,0,564,7651,550,0 73,0,2024-09-07 09:43:21:118,136955,0.4,140387,0.6,287311,0.4,374225,2.00 73,1,2024-09-07 09:43:20:777,966598,966598,0,0,453507451874,4713321273,959106,6565,927,367,391858,0 73,2,2024-09-07 09:43:21:740,696136,696136,0,0,33914908,0,4728 73,3,2024-09-07 09:43:20:969,1,550,3,0,486,6815,550,0 74,0,2024-09-07 09:43:21:350,142464,0.5,145819,0.7,278137,0.4,377551,2.00 74,1,2024-09-07 09:43:20:640,965599,965599,0,0,453226887954,4730085580,955075,8598,1926,381,391762,0 74,2,2024-09-07 09:43:21:009,692549,692549,0,0,29319700,0,4253 74,3,2024-09-07 09:43:21:443,1,550,14,0,522,6474,550,0 75,0,2024-09-07 09:43:21:766,136345,0.6,135545,0.8,271996,0.5,363205,2.25 75,1,2024-09-07 09:43:21:588,967035,967035,0,0,453967079451,4730299458,959084,7086,865,380,391739,0 75,2,2024-09-07 09:43:21:384,695362,695362,0,0,35580865,0,4766 75,3,2024-09-07 09:43:21:067,1,550,1,0,702,6472,550,0 76,0,2024-09-07 09:43:20:639,133332,0.5,132780,0.7,265928,0.5,355881,2.25 76,1,2024-09-07 09:43:20:808,966497,966497,0,0,453203418017,4724515431,959839,5690,968,382,391723,0 76,2,2024-09-07 09:43:21:063,699936,699933,3,0,29549901,0,5265 76,3,2024-09-07 09:43:21:142,1,550,0,0,227,4978,550,0 77,0,2024-09-07 09:43:21:709,138379,0.5,138892,0.7,277861,0.4,369246,2.00 77,1,2024-09-07 09:43:20:825,966486,966486,0,0,454481788053,4737423956,959593,6296,597,381,391869,0 77,2,2024-09-07 09:43:21:288,696401,696401,0,0,29094281,0,3890 77,3,2024-09-07 09:43:21:098,1,550,10,0,401,5862,550,0 78,0,2024-09-07 09:43:21:718,140541,0.4,139982,0.6,280738,0.4,371748,2.00 78,1,2024-09-07 09:43:20:609,966498,966498,0,0,453427505049,4725549803,955487,8484,2527,367,391670,0 78,2,2024-09-07 09:43:21:405,694138,694125,13,0,26892409,0,8313 78,3,2024-09-07 09:43:21:137,1,550,8,0,311,4688,550,0 79,0,2024-09-07 09:43:21:392,133842,0.4,137077,0.6,280967,0.3,365350,2.00 79,1,2024-09-07 09:43:20:572,969540,969540,0,0,455222510116,4713253009,961796,6387,1357,367,391682,0 79,2,2024-09-07 09:43:21:071,698032,698032,0,0,25944210,0,4195 79,3,2024-09-07 09:43:20:749,1,550,7,0,418,6395,550,0 80,0,2024-09-07 09:43:21:090,132662,0.5,136432,0.6,260785,0.5,352931,2.00 80,1,2024-09-07 09:43:21:619,966360,966360,0,0,454991418466,4732831533,960490,5441,429,368,392269,0 80,2,2024-09-07 09:43:21:094,699459,699459,0,0,26616128,0,4433 80,3,2024-09-07 09:43:20:595,1,550,8,0,681,7043,550,0 81,0,2024-09-07 09:43:21:579,137104,0.5,140294,0.7,267470,0.5,363578,2.00 81,1,2024-09-07 09:43:21:663,965297,965297,0,0,453050217114,4732872947,957873,6782,642,382,391885,0 81,2,2024-09-07 09:43:21:129,694715,694652,63,0,29665700,0,5932 81,3,2024-09-07 09:43:21:118,1,550,1,0,719,6273,550,0 82,0,2024-09-07 09:43:21:541,140769,0.4,140915,0.7,282490,0.4,374169,2.00 82,1,2024-09-07 09:43:20:583,968205,968201,0,4,454441486160,4721620593,963098,4282,821,381,391768,4 82,2,2024-09-07 09:43:21:690,696006,696006,0,0,24678710,0,4484 82,3,2024-09-07 09:43:21:753,1,550,12,0,363,5130,550,0 83,0,2024-09-07 09:43:21:526,140572,0.6,140572,0.7,280555,0.6,372424,2.25 83,1,2024-09-07 09:43:20:551,966438,966438,0,0,453197707762,4721315875,960032,5949,457,382,391709,0 83,2,2024-09-07 09:43:20:768,696993,696968,25,0,26705169,0,5612 83,3,2024-09-07 09:43:20:750,1,550,19,0,1260,6734,550,0 84,0,2024-09-07 09:43:21:771,133242,0.7,133578,0.9,266518,0.6,356412,2.25 84,1,2024-09-07 09:43:21:041,965077,965077,0,0,452721904652,4734451589,953719,9704,1654,367,391967,0 84,2,2024-09-07 09:43:20:572,695800,695770,30,0,35868105,0,5971 84,3,2024-09-07 09:43:21:141,1,550,1,0,908,7580,550,0 85,0,2024-09-07 09:43:21:091,130526,0.6,130539,0.8,277088,0.6,358859,2.25 85,1,2024-09-07 09:43:20:567,961685,961685,0,0,452572921863,4779917250,946413,12526,2746,381,392092,0 85,2,2024-09-07 09:43:20:867,694180,694180,0,0,33451597,0,4255 85,3,2024-09-07 09:43:20:710,1,550,40,0,789,6229,550,0 86,0,2024-09-07 09:43:20:887,141406,0.6,145305,0.8,277817,0.7,376133,2.25 86,1,2024-09-07 09:43:20:824,964072,964072,0,0,453515887745,4756656971,951163,10254,2655,366,391995,0 86,2,2024-09-07 09:43:20:854,694691,694690,1,0,35591713,0,5004 86,3,2024-09-07 09:43:20:585,1,550,8,0,308,7888,550,0 87,0,2024-09-07 09:43:21:292,141481,0.8,141165,0.9,282322,1.0,377396,2.25 87,1,2024-09-07 09:43:20:549,963570,963570,0,0,452952791229,4744413161,951140,10713,1717,366,392076,0 87,2,2024-09-07 09:43:21:072,693269,693263,6,0,31474983,0,6323 87,3,2024-09-07 09:43:21:794,1,550,0,0,473,8299,550,0 88,0,2024-09-07 09:43:21:443,135125,0.5,135634,0.6,271092,0.4,360917,1.75 88,1,2024-09-07 09:43:20:590,963218,963218,0,0,452943045829,4742582306,950214,10357,2647,365,392084,0 88,2,2024-09-07 09:43:20:690,695839,695839,0,0,34894149,0,4465 88,3,2024-09-07 09:43:21:268,1,550,36,0,435,7388,550,0 89,0,2024-09-07 09:43:21:811,138709,0.4,134417,0.6,265533,0.4,361252,1.75 89,1,2024-09-07 09:43:20:553,963077,963077,0,0,452184444349,4757496803,950781,10363,1933,382,391866,0 89,2,2024-09-07 09:43:21:163,695744,695744,0,0,32567508,0,3173 89,3,2024-09-07 09:43:21:796,1,550,162,0,468,9105,550,0 90,0,2024-09-07 09:43:21:614,135450,0.4,139147,0.6,283757,0.4,370381,1.75 90,1,2024-09-07 09:43:20:589,964667,964667,0,0,452934974706,4742051929,955524,8307,836,380,391825,0 90,2,2024-09-07 09:43:21:411,693754,693754,0,0,34950175,0,3608 90,3,2024-09-07 09:43:20:931,1,550,8,0,322,6739,550,0 91,0,2024-09-07 09:43:20:990,140956,0.5,136640,0.6,285770,0.4,375432,1.75 91,1,2024-09-07 09:43:20:591,962290,962290,0,0,452336850760,4760135344,949075,10716,2499,381,392047,0 91,2,2024-09-07 09:43:21:392,692771,692771,0,0,30303056,0,4713 91,3,2024-09-07 09:43:20:608,1,550,4,0,216,5085,550,0 92,0,2024-09-07 09:43:21:442,139213,0.5,142294,0.6,271385,0.5,367519,1.75 92,1,2024-09-07 09:43:20:594,966122,966122,0,0,453784649603,4737647229,958565,6348,1209,381,392136,0 92,2,2024-09-07 09:43:21:384,697497,697497,0,0,27320987,0,3259 92,3,2024-09-07 09:43:21:017,1,550,1,0,167,4729,550,0 93,0,2024-09-07 09:43:20:988,134054,0.4,137345,0.6,262331,0.3,355732,1.75 93,1,2024-09-07 09:43:20:894,966254,966254,0,0,453724273558,4733791763,955839,8680,1735,366,391776,0 93,2,2024-09-07 09:43:20:942,697394,697394,0,0,33295338,0,4913 93,3,2024-09-07 09:43:21:407,1,550,0,0,190,4853,550,0 94,0,2024-09-07 09:43:21:618,137138,0.3,138055,0.5,275964,0.3,366957,1.75 94,1,2024-09-07 09:43:20:589,966805,966805,0,0,453956356766,4732219375,960435,5946,424,381,391850,0 94,2,2024-09-07 09:43:20:760,696443,696415,28,0,28296374,0,6179 94,3,2024-09-07 09:43:21:688,1,550,12,0,576,6826,550,0 95,0,2024-09-07 09:43:21:398,140357,0.3,140391,0.5,280908,0.3,374015,1.75 95,1,2024-09-07 09:43:20:852,968119,968119,0,0,454321626699,4718968284,960601,6837,681,365,391852,0 95,2,2024-09-07 09:43:21:017,692418,692418,0,0,27088698,0,3308 95,3,2024-09-07 09:43:21:713,1,550,41,0,718,8279,550,0 96,0,2024-09-07 09:43:21:039,139999,0.4,140256,0.5,280392,0.3,372485,1.75 96,1,2024-09-07 09:43:21:585,965670,965670,0,0,453351675393,4725538805,959231,5524,915,384,391964,0 96,2,2024-09-07 09:43:21:268,698077,698077,0,0,28105776,0,4180 96,3,2024-09-07 09:43:21:142,1,550,1,0,411,5783,550,0 97,0,2024-09-07 09:43:21:314,133263,0.3,133214,0.5,267494,0.3,354550,1.50 97,1,2024-09-07 09:43:20:769,968124,968124,0,0,454924281442,4715670332,962315,4862,947,367,392140,0 97,2,2024-09-07 09:43:20:607,698481,698481,0,0,27647383,0,3679 97,3,2024-09-07 09:43:20:568,1,550,63,0,242,6201,550,0 98,0,2024-09-07 09:43:21:712,135748,0.3,135920,0.4,272733,0.2,362671,1.50 98,1,2024-09-07 09:43:20:571,967091,967091,0,0,453644099030,4721152499,961603,4686,802,381,391997,0 98,2,2024-09-07 09:43:20:769,695738,695738,0,0,27230869,0,4336 98,3,2024-09-07 09:43:20:699,1,550,1,0,840,8442,550,0 99,0,2024-09-07 09:43:21:449,141548,0.3,142142,0.5,282865,0.3,377317,1.75 99,1,2024-09-07 09:43:21:794,967625,967625,0,0,453750382645,4720630187,961592,5104,929,380,392069,0 99,2,2024-09-07 09:43:21:426,695918,695918,0,0,32825575,0,4276 99,3,2024-09-07 09:43:20:581,1,550,7,0,606,5389,550,0 100,0,2024-09-07 09:43:21:472,140872,0.8,141178,0.9,281959,0.9,376642,2.50 100,1,2024-09-07 09:43:20:548,961749,961749,0,0,450950062829,4768369047,946652,11816,3281,378,391989,0 100,2,2024-09-07 09:43:21:819,693341,693330,11,0,32574958,0,5417 100,3,2024-09-07 09:43:21:735,1,550,2,0,627,9741,550,0 101,0,2024-09-07 09:43:21:864,137350,1.1,133915,1.1,262266,1.1,358830,2.25 101,1,2024-09-07 09:43:20:553,963498,963498,0,0,452053211154,4749197549,950621,10427,2450,368,391847,0 101,2,2024-09-07 09:43:21:774,692093,692093,0,0,37534470,0,4871 101,3,2024-09-07 09:43:20:948,1,550,1,0,1250,8599,550,0 102,0,2024-09-07 09:43:20:965,131818,0.6,135908,0.8,275554,0.6,360786,2.00 102,1,2024-09-07 09:43:21:158,963277,963277,0,0,452143223085,4749646962,950124,11002,2151,369,391891,0 102,2,2024-09-07 09:43:21:744,695945,695891,54,0,31758811,0,6768 102,3,2024-09-07 09:43:21:614,1,550,10,0,466,6344,550,0 103,0,2024-09-07 09:43:21:639,145168,0.5,145202,0.7,273612,0.5,377096,2.00 103,1,2024-09-07 09:43:21:633,962071,962071,0,0,452187980800,4776012158,946368,12116,3587,381,392077,0 103,2,2024-09-07 09:43:20:610,694441,694441,0,0,33766665,0,3766 103,3,2024-09-07 09:43:20:792,1,550,2,0,916,6897,550,0 104,0,2024-09-07 09:43:21:019,140403,0.8,140549,0.9,280426,0.8,375540,2.25 104,1,2024-09-07 09:43:21:600,964854,964854,0,0,452816911420,4755663701,951008,11258,2588,365,392168,0 104,2,2024-09-07 09:43:21:666,690462,690462,0,0,32316838,0,3941 104,3,2024-09-07 09:43:21:415,1,550,3,0,1245,9367,550,0 105,0,2024-09-07 09:43:21:026,134707,0.9,131257,1.1,275142,1.0,361226,2.75 105,1,2024-09-07 09:43:20:556,965851,965851,0,0,453781167375,4752782266,953746,10299,1806,364,392009,0 105,2,2024-09-07 09:43:21:398,695335,695335,0,0,33154719,0,4360 105,3,2024-09-07 09:43:21:304,1,550,3,0,467,8054,550,0 106,0,2024-09-07 09:43:20:973,129404,0.7,132525,0.9,271537,0.7,354469,2.50 106,1,2024-09-07 09:43:21:757,964909,964909,0,0,452702853278,4749006228,951676,11582,1651,368,391914,0 106,2,2024-09-07 09:43:20:762,696148,696148,0,0,31106772,0,2920 106,3,2024-09-07 09:43:20:677,1,550,8,0,1224,8103,550,0 107,0,2024-09-07 09:43:21:099,138717,0.8,138646,0.9,277479,0.9,369874,2.25 107,1,2024-09-07 09:43:20:585,962953,962953,0,0,452119747867,4767504901,949203,12211,1539,381,392234,0 107,2,2024-09-07 09:43:21:291,693804,693803,1,0,33831930,0,5024 107,3,2024-09-07 09:43:21:757,1,550,3,0,733,8154,550,0 108,0,2024-09-07 09:43:21:780,139969,0.4,140535,0.6,279965,0.4,373385,1.75 108,1,2024-09-07 09:43:21:293,965699,965699,0,0,453750486253,4729975358,957768,6956,975,367,391894,0 108,2,2024-09-07 09:43:21:755,691460,691460,0,0,29669600,0,4246 108,3,2024-09-07 09:43:21:401,1,550,6,0,749,10510,550,0 109,0,2024-09-07 09:43:21:805,139364,0.4,138145,0.6,277021,0.4,369685,1.75 109,1,2024-09-07 09:43:20:583,963373,963373,0,0,453697002352,4755888812,955051,7105,1217,382,392132,0 109,2,2024-09-07 09:43:20:921,695754,695754,0,0,30013708,0,3617 109,3,2024-09-07 09:43:21:159,1,550,89,0,630,6950,550,0 110,0,2024-09-07 09:43:21:786,132804,0.4,129159,0.6,270460,0.3,354338,1.75 110,1,2024-09-07 09:43:21:653,967515,967515,0,0,455129636899,4723214360,959972,5619,1924,368,392045,0 110,2,2024-09-07 09:43:21:305,698017,698017,0,0,28227954,0,4067 110,3,2024-09-07 09:43:20:690,1,550,10,0,722,7465,550,0 111,0,2024-09-07 09:43:21:419,137362,0.3,136457,0.5,273294,0.2,364956,1.75 111,1,2024-09-07 09:43:21:005,968625,968625,0,0,455587576036,4720580096,964060,4208,357,380,391690,0 111,2,2024-09-07 09:43:21:117,695557,695557,0,0,28656703,0,4823 111,3,2024-09-07 09:43:20:914,1,550,2,0,379,6097,550,0 112,0,2024-09-07 09:43:20:919,141747,0.3,141199,0.4,283106,0.2,375400,1.50 112,1,2024-09-07 09:43:20:824,967282,967282,0,0,454129315128,4714807930,960761,5436,1085,380,391624,0 112,2,2024-09-07 09:43:21:138,694211,694210,1,0,27155317,0,5036 112,3,2024-09-07 09:43:20:591,1,550,4,0,282,5227,550,0 113,0,2024-09-07 09:43:20:864,140753,0.3,140675,0.5,281683,0.2,374757,1.75 113,1,2024-09-07 09:43:21:685,969866,969866,0,0,456055346593,4704385260,964289,4702,875,365,391664,0 113,2,2024-09-07 09:43:21:304,699814,699814,0,0,24863073,0,3813 113,3,2024-09-07 09:43:20:684,1,550,1,0,510,6150,550,0 114,0,2024-09-07 09:43:20:874,134732,0.3,135635,0.5,269558,0.2,360393,1.75 114,1,2024-09-07 09:43:20:718,967765,967765,0,0,454938274990,4721034655,960850,5298,1617,381,391565,0 114,2,2024-09-07 09:43:20:872,697991,697990,1,0,27122474,0,5069 114,3,2024-09-07 09:43:21:279,1,550,8,0,395,4391,550,0 115,0,2024-09-07 09:43:20:573,136217,0.3,136801,0.4,272455,0.2,362678,1.50 115,1,2024-09-07 09:43:20:570,968038,968038,0,0,454601872156,4720758060,960616,6064,1358,382,391757,0 115,2,2024-09-07 09:43:21:125,698391,698391,0,0,26465860,0,4382 115,3,2024-09-07 09:43:21:002,1,550,1,0,167,3187,550,0 116,0,2024-09-07 09:43:21:770,141100,0.7,140764,0.8,282311,0.6,377281,2.00 116,1,2024-09-07 09:43:20:810,962725,962725,0,0,452462323724,4771137046,950716,8957,3052,380,392089,0 116,2,2024-09-07 09:43:21:763,695114,695114,0,0,33992045,0,4475 116,3,2024-09-07 09:43:20:913,1,550,2,0,448,7178,550,0 117,0,2024-09-07 09:43:20:964,141697,0.7,141094,0.8,282794,0.8,378212,2.00 117,1,2024-09-07 09:43:21:591,964337,964337,0,0,452890499664,4740434836,953704,9171,1462,369,392033,0 117,2,2024-09-07 09:43:21:130,695312,695312,0,0,30254246,0,4303 117,3,2024-09-07 09:43:21:062,1,550,6,0,490,7295,550,0 118,0,2024-09-07 09:43:21:772,131109,0.6,134671,0.7,274722,0.5,359352,2.00 118,1,2024-09-07 09:43:20:585,962974,962974,0,0,452472709015,4758419399,948129,11236,3609,366,392054,0 118,2,2024-09-07 09:43:21:588,695368,695368,0,0,32679329,0,2842 118,3,2024-09-07 09:43:21:766,1,550,8,0,248,6091,550,0 119,0,2024-09-07 09:43:21:332,134247,0.6,134897,0.7,269287,0.6,359409,2.00 119,1,2024-09-07 09:43:20:620,964101,964101,0,0,452773324909,4747419123,950835,10932,2334,367,391857,0 119,2,2024-09-07 09:43:21:272,696749,696749,0,0,30765531,0,4309 119,3,2024-09-07 09:43:21:394,1,550,1,0,1358,10732,550,0 120,0,2024-09-07 09:43:21:591,138877,0.6,138768,0.8,278539,0.6,371206,2.25 120,1,2024-09-07 09:43:20:943,965466,965466,0,0,452937889455,4745035095,955870,8815,781,367,392144,0 120,2,2024-09-07 09:43:20:770,695353,695352,1,0,35662531,0,5281 120,3,2024-09-07 09:43:21:290,1,550,7,0,279,7013,550,0 121,0,2024-09-07 09:43:21:684,140691,1.2,140068,1.1,280584,1.6,374383,2.25 121,1,2024-09-07 09:43:21:656,965158,965158,0,0,452990643053,4735866804,955454,8854,850,366,391840,0 121,2,2024-09-07 09:43:21:125,691016,691016,0,0,32199131,0,4157 121,3,2024-09-07 09:43:20:732,1,550,2,0,330,6526,550,0 122,0,2024-09-07 09:43:21:767,137123,0.8,133354,0.9,279458,1.0,367479,2.25 122,1,2024-09-07 09:43:20:860,963842,963842,0,0,453082895270,4755890309,950060,11451,2331,366,392130,0 122,2,2024-09-07 09:43:21:317,697140,697065,75,0,36489160,0,5989 122,3,2024-09-07 09:43:20:597,1,550,36,0,512,9209,550,0 123,0,2024-09-07 09:43:20:948,132867,0.8,129132,0.8,270430,0.9,354554,2.25 123,1,2024-09-07 09:43:20:562,964303,964303,0,0,452807522309,4760261637,948908,12888,2507,369,392039,0 123,2,2024-09-07 09:43:21:019,695082,695081,1,0,31382084,0,5215 123,3,2024-09-07 09:43:21:135,1,550,4,0,478,6400,550,0 124,0,2024-09-07 09:43:20:924,141607,0.3,141648,0.5,267032,0.3,368096,1.75 124,1,2024-09-07 09:43:21:052,967340,967340,0,0,453816115333,4714878722,960329,5877,1134,365,392178,0 124,2,2024-09-07 09:43:21:017,697739,697686,53,0,28060339,0,6487 124,3,2024-09-07 09:43:20:758,1,550,9,0,490,5678,550,0 125,0,2024-09-07 09:43:21:457,140148,0.4,140023,0.5,281141,0.3,373981,1.75 125,1,2024-09-07 09:43:20:873,965446,965446,0,0,452693096548,4721765141,958421,6041,984,382,391813,0 125,2,2024-09-07 09:43:21:125,695496,695496,0,0,27871977,0,4534 125,3,2024-09-07 09:43:21:126,1,550,20,0,709,6473,550,0 126,0,2024-09-07 09:43:21:424,140187,0.4,144136,0.6,275856,0.4,373052,1.75 126,1,2024-09-07 09:43:20:551,968905,968905,0,0,454305203057,4700085984,964176,4417,312,365,391987,0 126,2,2024-09-07 09:43:20:614,698440,698440,0,0,28989612,0,4539 126,3,2024-09-07 09:43:20:912,1,550,3,0,268,6043,550,0 127,0,2024-09-07 09:43:21:598,133513,0.3,133895,0.5,267220,0.3,354824,1.75 127,1,2024-09-07 09:43:20:568,966862,966862,0,0,453631744167,4717207622,957469,7886,1507,364,392187,0 127,2,2024-09-07 09:43:20:641,696711,696707,4,0,27803183,0,5305 127,3,2024-09-07 09:43:21:268,1,550,1,0,968,5469,550,0 128,0,2024-09-07 09:43:21:535,136697,0.3,136676,0.4,273147,0.2,363398,1.50 128,1,2024-09-07 09:43:21:617,966692,966692,0,0,454612365136,4723453862,959541,6289,862,367,392031,0 128,2,2024-09-07 09:43:21:452,696878,696878,0,0,25966972,0,3171 128,3,2024-09-07 09:43:20:768,1,550,10,0,1082,8739,550,0 129,0,2024-09-07 09:43:21:018,142527,0.3,141905,0.5,284459,0.3,377658,1.50 129,1,2024-09-07 09:43:20:567,963488,963488,0,0,453363831303,4748918493,954089,7397,2002,379,391962,0 129,2,2024-09-07 09:43:20:686,696390,696386,4,0,28237115,0,5335 129,3,2024-09-07 09:43:20:689,1,550,1,0,506,7734,550,0 130,0,2024-09-07 09:43:21:714,142137,0.4,141578,0.6,283959,0.4,377972,1.75 130,1,2024-09-07 09:43:20:583,967577,967577,0,0,454215975729,4715980379,962717,4471,389,381,391825,0 130,2,2024-09-07 09:43:21:143,696449,696449,0,0,28035721,0,4067 130,3,2024-09-07 09:43:21:291,1,550,13,0,960,7532,550,0 131,0,2024-09-07 09:43:21:930,134635,0.4,135209,0.5,270662,0.3,359747,1.75 131,1,2024-09-07 09:43:21:825,966842,966842,0,0,454092857142,4733220808,959942,5708,1192,381,391865,0 131,2,2024-09-07 09:43:20:582,697223,697223,0,0,26223348,0,3979 131,3,2024-09-07 09:43:21:689,1,550,42,0,392,6805,550,0 132,0,2024-09-07 09:43:21:491,135696,0.5,136585,0.6,271856,0.4,361840,2.00 132,1,2024-09-07 09:43:20:578,962888,962888,0,0,452238937815,4758380111,949281,11082,2525,381,392532,0 132,2,2024-09-07 09:43:20:698,695941,695924,17,0,34653561,0,6451 132,3,2024-09-07 09:43:21:703,1,550,13,0,804,8935,550,0 133,0,2024-09-07 09:43:21:523,137048,0.4,140259,0.6,287692,0.4,374764,2.00 133,1,2024-09-07 09:43:20:583,962813,962813,0,0,452991883116,4772913660,949716,11392,1705,383,391914,0 133,2,2024-09-07 09:43:21:089,696903,696853,50,0,35016023,0,6861 133,3,2024-09-07 09:43:21:299,1,550,2,0,528,6893,550,0 134,0,2024-09-07 09:43:20:963,141719,0.5,141652,0.7,283700,0.5,377575,2.25 134,1,2024-09-07 09:43:20:587,964602,964602,0,0,452332847917,4740252202,952794,9660,2148,366,391781,0 134,2,2024-09-07 09:43:21:758,692306,692282,24,0,32577591,0,6207 134,3,2024-09-07 09:43:20:754,1,550,12,0,739,6500,550,0 135,0,2024-09-07 09:43:21:102,131894,0.9,131920,0.9,280033,1.1,360759,2.25 135,1,2024-09-07 09:43:21:586,963989,963989,0,0,452736904492,4759160929,951964,10454,1571,380,391805,0 135,2,2024-09-07 09:43:20:704,696839,696839,0,0,33244992,0,4503 135,3,2024-09-07 09:43:21:004,1,550,1,0,900,5478,550,0 136,0,2024-09-07 09:43:21:643,134427,0.5,134680,0.7,268164,0.5,357625,2.00 136,1,2024-09-07 09:43:21:442,964828,964828,0,0,452762406459,4744397450,954034,9500,1294,381,392135,0 136,2,2024-09-07 09:43:21:138,698257,698242,15,0,33010124,0,6007 136,3,2024-09-07 09:43:21:116,1,550,56,0,637,6674,550,0 137,0,2024-09-07 09:43:20:954,143199,0.5,139596,0.7,273530,0.5,371582,2.00 137,1,2024-09-07 09:43:20:578,963133,963133,0,0,453802459503,4755259270,948734,11614,2785,366,391898,0 137,2,2024-09-07 09:43:21:705,694745,694745,0,0,33859897,0,3185 137,3,2024-09-07 09:43:20:791,1,550,25,0,484,7202,550,0 138,0,2024-09-07 09:43:21:746,139542,0.8,139721,0.9,280079,0.9,371862,2.00 138,1,2024-09-07 09:43:21:685,964453,964453,0,0,453638477221,4754588375,951441,11041,1971,368,391954,0 138,2,2024-09-07 09:43:20:587,691681,691681,0,0,31089172,0,4988 138,3,2024-09-07 09:43:20:621,1,550,25,0,1200,8825,550,0 139,0,2024-09-07 09:43:21:412,137582,1.2,138075,1.0,276142,1.7,368060,2.25 139,1,2024-09-07 09:43:20:574,960128,960128,0,0,451041328490,4782384162,943006,13437,3685,380,392109,0 139,2,2024-09-07 09:43:20:696,693209,693209,0,0,35259287,0,3097 139,3,2024-09-07 09:43:21:666,1,550,3,0,432,6318,550,0 140,0,2024-09-07 09:43:21:594,133450,0.3,132571,0.5,265993,0.2,354601,1.75 140,1,2024-09-07 09:43:21:540,970307,970307,0,0,455851436304,4696424421,965721,4048,538,364,391606,0 140,2,2024-09-07 09:43:20:687,698394,698393,1,0,26335140,0,5036 140,3,2024-09-07 09:43:20:766,1,550,0,0,297,4932,550,0 141,0,2024-09-07 09:43:21:706,137030,0.3,140699,0.4,268758,0.2,364725,1.50 141,1,2024-09-07 09:43:20:859,968351,968351,0,0,455469492490,4729116751,960912,6306,1133,379,391614,0 141,2,2024-09-07 09:43:21:686,695973,695962,11,0,27956325,0,5369 141,3,2024-09-07 09:43:21:043,1,550,1,0,391,6275,550,0 142,0,2024-09-07 09:43:21:354,141864,0.3,140801,0.5,282217,0.2,376201,1.50 142,1,2024-09-07 09:43:20:591,966341,966341,0,0,454207527512,4730418644,960666,5215,460,382,392102,0 142,2,2024-09-07 09:43:21:303,693200,693168,32,0,28410599,0,6028 142,3,2024-09-07 09:43:21:747,1,550,7,0,484,5725,550,0 143,0,2024-09-07 09:43:21:417,140522,0.4,140582,0.6,282051,0.4,374577,1.75 143,1,2024-09-07 09:43:20:555,968689,968689,0,0,454974635252,4718517131,962453,5674,562,367,391722,0 143,2,2024-09-07 09:43:20:773,697999,697999,0,0,28065551,0,3123 143,3,2024-09-07 09:43:21:189,1,550,46,0,462,6801,550,0 144,0,2024-09-07 09:43:21:521,130329,0.6,134039,0.8,272767,0.6,357150,2.00 144,1,2024-09-07 09:43:20:581,963846,963846,0,0,452661538575,4746572476,954418,7460,1968,381,391733,0 144,2,2024-09-07 09:43:21:765,697414,697414,0,0,27148265,0,3673 144,3,2024-09-07 09:43:21:744,1,550,11,0,249,5341,550,0 145,0,2024-09-07 09:43:21:403,131462,0.5,131415,0.7,279002,0.5,359548,2.25 145,1,2024-09-07 09:43:20:557,962998,962998,0,0,452242120678,4761088087,949528,10810,2660,382,391759,0 145,2,2024-09-07 09:43:21:430,693522,693440,82,0,33583000,0,7814 145,3,2024-09-07 09:43:20:900,1,550,4,0,622,7606,550,0 146,0,2024-09-07 09:43:21:700,141211,0.6,140761,0.8,282695,0.6,375603,2.25 146,1,2024-09-07 09:43:21:600,963857,963857,0,0,452930160053,4765903211,947377,12064,4416,367,391770,0 146,2,2024-09-07 09:43:21:719,694491,694485,6,0,31471443,0,5151 146,3,2024-09-07 09:43:21:275,1,550,8,0,1520,9297,550,0 147,0,2024-09-07 09:43:21:696,141666,0.6,141336,0.7,282406,0.6,377364,2.25 147,1,2024-09-07 09:43:21:384,967898,967898,0,0,455118009056,4724827321,960601,6417,880,367,391791,0 147,2,2024-09-07 09:43:21:010,693597,693597,0,0,27548869,0,2968 147,3,2024-09-07 09:43:20:914,1,550,2,0,1626,8634,550,0 0,0,2024-09-07 09:43:31:738,135917,0.5,135921,0.7,288301,0.5,372569,2.00 0,1,2024-09-07 09:43:30:801,966518,966518,0,0,454090628079,4751447260,958926,6749,843,369,391896,0 0,2,2024-09-07 09:43:31:081,698961,698961,0,0,28122247,0,4480 0,3,2024-09-07 09:43:30:977,1,551,1,0,431,7889,551,0 1,0,2024-09-07 09:43:31:771,140816,0.9,139791,0.9,281213,1.1,375746,2.00 1,1,2024-09-07 09:43:30:563,965093,965093,0,0,453024911848,4743942868,956318,7301,1474,370,391859,0 1,2,2024-09-07 09:43:30:673,693891,693891,0,0,27102888,0,3380 1,3,2024-09-07 09:43:31:312,1,551,51,0,269,6736,551,0 2,0,2024-09-07 09:43:31:566,137550,0.6,137321,0.7,274564,0.6,366354,2.00 2,1,2024-09-07 09:43:30:867,968648,968648,0,0,455250562836,4729394675,963482,4236,930,379,391745,0 2,2,2024-09-07 09:43:31:265,698487,698487,0,0,26048738,0,3594 2,3,2024-09-07 09:43:30:690,1,551,8,0,357,4859,551,0 3,0,2024-09-07 09:43:31:757,133506,0.4,133469,0.6,266240,0.3,355435,2.00 3,1,2024-09-07 09:43:31:618,967629,967629,0,0,454397617486,4727510756,960108,6818,703,379,391716,0 3,2,2024-09-07 09:43:31:150,699465,699442,23,0,27179917,0,5851 3,3,2024-09-07 09:43:31:752,1,551,1,0,275,3587,551,0 4,0,2024-09-07 09:43:31:784,134046,0.4,137796,0.5,280857,0.3,367771,1.75 4,1,2024-09-07 09:43:30:606,963711,963711,0,0,451529666397,4783173579,946405,13599,3707,370,391992,0 4,2,2024-09-07 09:43:31:025,694462,694462,0,0,33822799,0,4534 4,3,2024-09-07 09:43:31:026,1,551,3,0,448,6973,551,0 5,0,2024-09-07 09:43:31:374,140520,0.4,140643,0.5,281340,0.4,373683,1.75 5,1,2024-09-07 09:43:30:756,964784,964784,0,0,453650508146,4775641697,951156,10891,2737,367,392005,0 5,2,2024-09-07 09:43:31:841,690771,690771,0,0,32146747,0,3582 5,3,2024-09-07 09:43:31:733,1,551,1,0,457,7423,551,0 6,0,2024-09-07 09:43:30:919,140165,0.5,139828,0.7,279832,0.4,372610,2.00 6,1,2024-09-07 09:43:30:755,966612,966612,0,0,453881481547,4741814172,956159,8931,1522,379,391702,0 6,2,2024-09-07 09:43:31:117,698715,698697,18,0,31824800,0,5535 6,3,2024-09-07 09:43:31:276,1,551,11,0,710,6852,551,0 7,0,2024-09-07 09:43:31:541,132636,0.5,133528,0.7,265846,0.5,353583,2.00 7,1,2024-09-07 09:43:30:851,965566,965566,0,0,453829865943,4766509528,951689,11473,2404,382,391747,0 7,2,2024-09-07 09:43:30:772,697895,697895,0,0,30472711,0,4791 7,3,2024-09-07 09:43:30:854,1,551,1,0,552,6259,551,0 8,0,2024-09-07 09:43:31:371,136696,0.3,136265,0.5,273522,0.3,364269,1.75 8,1,2024-09-07 09:43:31:027,963477,963477,0,0,453763154084,4772024469,947823,12137,3517,366,392853,0 8,2,2024-09-07 09:43:30:791,691812,691810,2,0,36053493,0,5112 8,3,2024-09-07 09:43:30:602,1,551,3,0,538,7942,551,0 9,0,2024-09-07 09:43:31:098,141285,0.4,137527,0.5,288156,0.3,377366,1.75 9,1,2024-09-07 09:43:30:556,963785,963785,0,0,452797861334,4771321920,948918,11906,2961,369,392001,0 9,2,2024-09-07 09:43:31:084,694497,694496,1,0,33003909,0,5281 9,3,2024-09-07 09:43:31:758,1,551,1,0,1273,9143,551,0 10,0,2024-09-07 09:43:31:599,141405,0.4,140929,0.5,282728,0.3,376783,1.75 10,1,2024-09-07 09:43:30:591,965698,965698,0,0,453583766528,4752664085,952323,10958,2417,381,391869,0 10,2,2024-09-07 09:43:30:768,697411,697411,0,0,35770286,0,4264 10,3,2024-09-07 09:43:30:871,1,551,8,0,669,6403,551,0 11,0,2024-09-07 09:43:31:012,134794,0.5,130662,0.6,273334,0.4,360499,1.75 11,1,2024-09-07 09:43:30:572,967552,967552,0,0,454045555431,4760389464,953427,10363,3762,383,391766,0 11,2,2024-09-07 09:43:31:129,696708,696708,0,0,31561041,0,4130 11,3,2024-09-07 09:43:31:310,1,551,1,0,843,7378,551,0 12,0,2024-09-07 09:43:30:979,136689,0.4,136659,0.5,273349,0.3,363215,1.75 12,1,2024-09-07 09:43:30:944,967140,967140,0,0,453356777342,4727085397,959412,6842,886,370,391960,0 12,2,2024-09-07 09:43:31:556,696447,696447,0,0,30816788,0,4390 12,3,2024-09-07 09:43:31:058,1,551,1,0,386,7193,551,0 13,0,2024-09-07 09:43:31:370,141984,0.4,141806,0.5,282940,0.4,377915,1.75 13,1,2024-09-07 09:43:31:533,964775,964775,0,0,453242553469,4761275584,955001,7476,2298,382,391740,0 13,2,2024-09-07 09:43:30:599,698883,698883,0,0,27717871,0,3287 13,3,2024-09-07 09:43:31:766,1,551,1,0,522,7498,551,0 14,0,2024-09-07 09:43:30:569,141730,0.4,142785,0.6,283279,0.4,377585,1.75 14,1,2024-09-07 09:43:31:565,971502,971502,0,0,456091477407,4715634446,964556,6218,728,364,391673,0 14,2,2024-09-07 09:43:30:763,695187,695157,30,0,28959482,0,6104 14,3,2024-09-07 09:43:31:115,1,551,8,0,1168,6190,551,0 15,0,2024-09-07 09:43:31:573,136000,0.4,135405,0.6,271661,0.4,361918,2.00 15,1,2024-09-07 09:43:31:609,968622,968622,0,0,454721539461,4731948104,960876,6260,1486,381,391619,0 15,2,2024-09-07 09:43:30:999,700403,700403,0,0,24647690,0,3622 15,3,2024-09-07 09:43:31:409,1,551,2,0,1126,7511,551,0 16,0,2024-09-07 09:43:30:968,133955,0.5,134727,0.7,268863,0.5,357704,2.00 16,1,2024-09-07 09:43:30:588,968568,968568,0,0,454785939548,4751115763,960123,7140,1305,370,392194,0 16,2,2024-09-07 09:43:31:468,698010,698010,0,0,28957466,0,4719 16,3,2024-09-07 09:43:31:145,1,551,1,0,358,6781,551,0 17,0,2024-09-07 09:43:31:831,143634,0.5,139913,0.7,274231,0.5,372538,1.75 17,1,2024-09-07 09:43:30:691,966332,966332,0,0,453992332737,4759990026,956772,7806,1754,368,392075,0 17,2,2024-09-07 09:43:31:671,700158,700157,1,0,29693181,0,5050 17,3,2024-09-07 09:43:30:575,1,551,2,0,518,8106,551,0 18,0,2024-09-07 09:43:30:950,138874,0.7,139811,0.8,278547,0.7,371794,2.25 18,1,2024-09-07 09:43:31:648,970535,970535,0,0,455095064021,4717010236,964417,5121,997,367,391725,0 18,2,2024-09-07 09:43:31:755,696062,696062,0,0,26241260,0,3541 18,3,2024-09-07 09:43:30:901,1,551,8,0,1059,5101,551,0 19,0,2024-09-07 09:43:31:545,138180,0.6,138492,0.8,275821,0.7,366706,2.25 19,1,2024-09-07 09:43:30:571,969823,969823,0,0,456431528945,4729470560,962794,5944,1085,367,391777,0 19,2,2024-09-07 09:43:31:758,701552,701552,0,0,24892117,0,3988 19,3,2024-09-07 09:43:31:135,1,551,1,0,524,4305,551,0 20,0,2024-09-07 09:43:31:367,132833,0.5,133050,0.7,265663,0.5,353988,2.00 20,1,2024-09-07 09:43:30:585,965758,965758,0,0,454741253274,4764300356,955623,8635,1500,369,391922,0 20,2,2024-09-07 09:43:30:928,697810,697810,0,0,31249867,0,4321 20,3,2024-09-07 09:43:30:591,1,551,2,0,468,9050,551,0 21,0,2024-09-07 09:43:31:142,137169,0.4,137369,0.6,274617,0.4,365036,1.75 21,1,2024-09-07 09:43:31:566,964417,964417,0,0,452710027649,4775102426,949736,11418,3263,368,392016,0 21,2,2024-09-07 09:43:31:078,693967,693947,20,0,35447888,0,5617 21,3,2024-09-07 09:43:31:410,1,551,12,0,713,7588,551,0 22,0,2024-09-07 09:43:31:718,140997,0.5,141503,0.7,282399,0.4,374471,2.00 22,1,2024-09-07 09:43:31:035,965308,965308,0,0,453224877355,4770862091,948958,13011,3339,382,391822,0 22,2,2024-09-07 09:43:30:762,693195,693169,26,0,29628829,0,6328 22,3,2024-09-07 09:43:31:071,1,551,7,0,228,4588,551,0 23,0,2024-09-07 09:43:31:370,140404,0.5,139974,0.7,280030,0.5,373258,2.25 23,1,2024-09-07 09:43:31:004,966617,966617,0,0,454794217550,4774256468,949763,12005,4849,365,391690,0 23,2,2024-09-07 09:43:31:092,699230,699230,0,0,28472034,0,3773 23,3,2024-09-07 09:43:31:758,1,551,1,0,855,8425,551,0 24,0,2024-09-07 09:43:30:888,135436,0.4,134750,0.6,271004,0.4,359709,1.75 24,1,2024-09-07 09:43:30:584,965655,965655,0,0,453076373579,4742082773,956404,7570,1681,367,392269,0 24,2,2024-09-07 09:43:31:078,697339,697339,0,0,34028265,0,4438 24,3,2024-09-07 09:43:31:686,1,551,2,0,468,6844,551,0 25,0,2024-09-07 09:43:31:395,139941,0.4,136366,0.6,267343,0.4,364504,1.75 25,1,2024-09-07 09:43:30:566,964069,964069,0,0,452816877848,4780009709,947706,12844,3519,369,391928,0 25,2,2024-09-07 09:43:31:610,694581,694581,0,0,35195162,0,3978 25,3,2024-09-07 09:43:31:004,1,551,1,0,532,6033,551,0 26,0,2024-09-07 09:43:31:719,140788,0.4,137789,0.6,289124,0.4,376142,2.00 26,1,2024-09-07 09:43:31:555,968073,968073,0,0,453927198961,4750517210,954926,10688,2459,380,391748,0 26,2,2024-09-07 09:43:30:862,696350,696350,0,0,37202759,0,4689 26,3,2024-09-07 09:43:31:712,1,551,12,0,796,6001,551,0 27,0,2024-09-07 09:43:31:739,141905,0.4,142582,0.6,283125,0.4,377923,2.25 27,1,2024-09-07 09:43:31:676,968045,968045,0,0,455568314976,4744674490,958924,7852,1269,381,391626,0 27,2,2024-09-07 09:43:30:870,692815,692750,65,0,31883845,0,5699 27,3,2024-09-07 09:43:31:015,1,551,35,0,564,4797,551,0 28,0,2024-09-07 09:43:31:391,135740,0.4,135446,0.6,271388,0.3,361667,1.75 28,1,2024-09-07 09:43:30:796,969412,969412,0,0,455037160099,4740495900,961631,6067,1714,382,391698,0 28,2,2024-09-07 09:43:31:766,698349,698349,0,0,28045248,0,2915 28,3,2024-09-07 09:43:31:780,1,551,3,0,502,5407,551,0 29,0,2024-09-07 09:43:31:356,138993,0.3,135280,0.6,265529,0.3,362471,1.75 29,1,2024-09-07 09:43:31:565,971936,971936,0,0,456536407084,4718898003,966373,4800,763,367,391809,0 29,2,2024-09-07 09:43:30:863,697180,697180,0,0,27450421,0,4986 29,3,2024-09-07 09:43:30:968,1,551,131,0,459,6005,551,0 30,0,2024-09-07 09:43:31:465,139207,0.4,135663,0.6,284181,0.4,371333,2.00 30,1,2024-09-07 09:43:30:576,970621,970621,0,0,455507516436,4724325259,963639,6080,902,380,391672,0 30,2,2024-09-07 09:43:31:286,698149,698149,0,0,26446616,0,4192 30,3,2024-09-07 09:43:30:590,1,551,7,0,519,5262,551,0 31,0,2024-09-07 09:43:31:778,140651,0.4,141187,0.6,281529,0.4,376269,2.00 31,1,2024-09-07 09:43:30:571,973538,973538,0,0,457158566067,4690740094,968204,4323,1011,356,391712,0 31,2,2024-09-07 09:43:31:282,693150,693150,0,0,28799541,0,4470 31,3,2024-09-07 09:43:31:706,1,551,1,0,239,4778,551,0 32,0,2024-09-07 09:43:31:415,137948,0.3,138739,0.5,276422,0.3,367909,1.75 32,1,2024-09-07 09:43:30:806,969999,969999,0,0,455756352647,4734862191,964182,5108,709,381,391646,0 32,2,2024-09-07 09:43:30:944,699937,699937,0,0,25498920,0,3922 32,3,2024-09-07 09:43:31:024,1,551,1,0,304,4456,551,0 33,0,2024-09-07 09:43:31:497,134119,0.3,133658,0.4,267531,0.2,356492,1.50 33,1,2024-09-07 09:43:30:574,970426,970426,0,0,456325656633,4723815659,963162,6090,1174,368,391730,0 33,2,2024-09-07 09:43:30:760,700095,700060,35,0,28171778,0,7012 33,3,2024-09-07 09:43:30:896,1,551,2,0,329,4982,551,0 34,0,2024-09-07 09:43:30:930,138484,0.3,142157,0.4,271912,0.2,367277,1.75 34,1,2024-09-07 09:43:31:048,972721,972721,0,0,457160305772,4699657717,970205,2494,22,367,391637,0 34,2,2024-09-07 09:43:30:766,699000,699000,0,0,26568250,0,4562 34,3,2024-09-07 09:43:31:687,1,551,14,0,320,4456,551,0 35,0,2024-09-07 09:43:30:860,139682,0.3,140408,0.5,282069,0.2,374347,1.75 35,1,2024-09-07 09:43:31:071,969115,969115,0,0,455674752669,4726733871,961919,5712,1484,382,391769,0 35,2,2024-09-07 09:43:31:588,693904,693904,0,0,29068321,0,4055 35,3,2024-09-07 09:43:30:907,1,551,3,0,466,5442,551,0 36,0,2024-09-07 09:43:31:525,140567,0.5,140376,0.7,280576,0.5,373426,2.00 36,1,2024-09-07 09:43:30:587,968208,968208,0,0,453582559432,4738254338,955343,10635,2230,366,391759,0 36,2,2024-09-07 09:43:31:754,698826,698826,0,0,31188483,0,3875 36,3,2024-09-07 09:43:30:872,1,551,1,0,416,7417,551,0 37,0,2024-09-07 09:43:31:368,132879,0.5,132839,0.7,265940,0.5,354229,2.25 37,1,2024-09-07 09:43:30:574,967395,967388,0,7,454060465644,4740421843,955848,8825,2715,365,391770,0 37,2,2024-09-07 09:43:31:145,695961,695946,15,0,31606388,0,5815 37,3,2024-09-07 09:43:31:766,1,551,8,0,888,7480,551,0 38,0,2024-09-07 09:43:31:446,135868,0.4,131861,0.6,275736,0.3,361436,2.00 38,1,2024-09-07 09:43:31:605,968315,968315,0,0,454615490528,4750968919,954696,11047,2572,368,391821,0 38,2,2024-09-07 09:43:30:762,695843,695796,47,0,31478078,0,6710 38,3,2024-09-07 09:43:30:998,1,551,7,0,689,6837,551,0 39,0,2024-09-07 09:43:31:768,144526,0.5,141275,0.7,275463,0.5,376064,2.00 39,1,2024-09-07 09:43:30:716,966637,966637,0,0,454071892634,4762975323,950040,12901,3696,365,391865,0 39,2,2024-09-07 09:43:31:418,696195,696195,0,0,28987648,0,3391 39,3,2024-09-07 09:43:30:713,1,551,1,0,525,6510,551,0 40,0,2024-09-07 09:43:31:494,140184,0.9,140792,1.0,280958,1.0,374805,2.75 40,1,2024-09-07 09:43:30:589,968113,968113,0,0,453599993069,4747883303,954514,10904,2695,368,391668,0 40,2,2024-09-07 09:43:31:304,695411,695410,1,0,34477609,0,5137 40,3,2024-09-07 09:43:31:144,1,551,6,0,1028,8033,551,0 41,0,2024-09-07 09:43:31:034,134248,1.2,137200,1.1,261688,1.7,356090,3.00 41,1,2024-09-07 09:43:30:771,967110,967110,0,0,453369815273,4742360640,954736,10310,2064,369,391878,0 41,2,2024-09-07 09:43:30:760,694919,694918,1,0,33370739,0,5408 41,3,2024-09-07 09:43:31:677,1,551,1,0,366,6001,551,0 42,0,2024-09-07 09:43:31:480,135134,0.9,134911,1.0,269614,1.0,357942,2.75 42,1,2024-09-07 09:43:31:458,963961,963961,0,0,453396244448,4765025512,948594,12457,2910,380,391675,0 42,2,2024-09-07 09:43:31:139,695920,695920,0,0,33283510,0,3975 42,3,2024-09-07 09:43:31:023,1,551,8,0,892,5029,551,0 43,0,2024-09-07 09:43:30:918,139548,0.7,135928,0.9,284364,0.7,372698,2.25 43,1,2024-09-07 09:43:30:575,967322,967322,0,0,454510566083,4746754087,954058,10907,2357,366,391696,0 43,2,2024-09-07 09:43:31:746,697660,697660,0,0,32210389,0,4723 43,3,2024-09-07 09:43:31:754,1,551,1,0,571,7962,551,0 44,0,2024-09-07 09:43:30:859,142028,0.4,141944,0.6,284448,0.4,378109,1.75 44,1,2024-09-07 09:43:30:574,970421,970421,0,0,455564978953,4704620296,962587,6247,1587,356,391809,0 44,2,2024-09-07 09:43:31:272,693008,693008,0,0,25996312,0,4344 44,3,2024-09-07 09:43:31:093,1,551,110,0,817,6014,551,0 45,0,2024-09-07 09:43:31:757,134586,0.5,131473,0.7,276003,0.5,362068,2.00 45,1,2024-09-07 09:43:31:005,969431,969431,0,0,455525795081,4731728666,961906,6649,876,382,391917,0 45,2,2024-09-07 09:43:31:271,698988,698988,0,0,26614307,0,3596 45,3,2024-09-07 09:43:30:935,1,551,1,0,365,5037,551,0 46,0,2024-09-07 09:43:30:973,133716,0.4,133242,0.7,267129,0.4,354787,2.00 46,1,2024-09-07 09:43:30:574,970952,970952,0,0,456787010662,4717606828,964505,5583,864,366,391709,0 46,2,2024-09-07 09:43:30:599,699281,699281,0,0,27000343,0,4443 46,3,2024-09-07 09:43:31:135,1,551,8,0,908,6832,551,0 47,0,2024-09-07 09:43:31:101,139464,0.4,139515,0.5,280050,0.3,371344,1.75 47,1,2024-09-07 09:43:30:571,972457,972457,0,0,456224782412,4709880180,967152,4465,840,365,391641,0 47,2,2024-09-07 09:43:30:910,700740,700740,0,0,26496329,0,4477 47,3,2024-09-07 09:43:31:116,1,551,1,0,600,6071,551,0 48,0,2024-09-07 09:43:31:496,140554,0.3,140741,0.4,280885,0.2,373592,1.50 48,1,2024-09-07 09:43:31:035,969400,969400,0,0,455208223216,4727675215,963464,5360,576,384,391710,0 48,2,2024-09-07 09:43:30:706,694037,694037,0,0,24327186,0,3411 48,3,2024-09-07 09:43:30:754,1,551,1,0,339,4240,551,0 49,0,2024-09-07 09:43:31:751,142477,0.3,139723,0.5,271755,0.3,370934,1.75 49,1,2024-09-07 09:43:31:028,968922,968922,0,0,455174899059,4728948253,963303,4407,1212,382,391809,0 49,2,2024-09-07 09:43:31:796,700171,700171,0,0,27157049,0,4426 49,3,2024-09-07 09:43:31:416,1,551,1,0,992,6377,551,0 50,0,2024-09-07 09:43:31:514,133715,0.3,131953,0.5,265856,0.2,353683,1.75 50,1,2024-09-07 09:43:31:016,972199,972199,0,0,456682437760,4719139998,966175,5356,668,368,391565,0 50,2,2024-09-07 09:43:31:074,698118,698118,0,0,24834010,0,4490 50,3,2024-09-07 09:43:31:292,1,551,15,0,617,5583,551,0 51,0,2024-09-07 09:43:31:684,140957,0.3,137956,0.4,268510,0.2,366683,1.50 51,1,2024-09-07 09:43:31:686,973101,973101,0,0,457217117639,4711823724,968286,3759,1056,365,391706,0 51,2,2024-09-07 09:43:31:316,697656,697656,0,0,24351947,0,3337 51,3,2024-09-07 09:43:31:026,1,551,1,0,678,3841,551,0 52,0,2024-09-07 09:43:31:441,141534,0.5,141120,0.7,282594,0.5,375371,2.00 52,1,2024-09-07 09:43:30:583,967509,967509,0,0,454421115464,4756986613,953493,11806,2210,368,391805,0 52,2,2024-09-07 09:43:31:755,691087,691049,38,0,32642620,0,6742 52,3,2024-09-07 09:43:30:674,1,551,6,0,1782,6942,551,0 53,0,2024-09-07 09:43:31:735,140025,0.7,135851,0.8,284133,0.7,372555,2.50 53,1,2024-09-07 09:43:30:776,966040,966040,0,0,454035612456,4764087208,949706,12301,4033,367,391968,0 53,2,2024-09-07 09:43:31:303,698275,698274,1,0,29609305,0,5455 53,3,2024-09-07 09:43:30:705,1,551,25,0,308,5222,551,0 54,0,2024-09-07 09:43:31:619,132705,0.6,133050,0.8,264986,0.4,353702,2.25 54,1,2024-09-07 09:43:30:581,968317,968317,0,0,454795864910,4731015026,957710,8945,1662,366,391810,0 54,2,2024-09-07 09:43:30:868,698419,698387,32,0,33545680,0,6397 54,3,2024-09-07 09:43:30:762,1,551,8,0,676,7460,551,0 55,0,2024-09-07 09:43:31:783,131506,0.6,135546,0.8,274936,0.5,358846,2.50 55,1,2024-09-07 09:43:30:773,969589,969589,0,0,454287784661,4725682001,959293,8912,1384,365,391731,0 55,2,2024-09-07 09:43:30:738,694746,694690,56,0,31450736,0,7239 55,3,2024-09-07 09:43:30:677,1,551,1,0,304,5099,551,0 56,0,2024-09-07 09:43:31:556,144359,1.2,136118,1.1,280405,1.5,375519,2.75 56,1,2024-09-07 09:43:30:572,963677,963677,0,0,452968092064,4787135218,947413,12810,3454,381,391867,0 56,2,2024-09-07 09:43:31:307,695987,695865,122,0,32666418,0,7432 56,3,2024-09-07 09:43:31:073,1,551,5,0,705,6422,551,0 57,0,2024-09-07 09:43:30:940,139959,1.5,139974,1.2,280058,2.1,374419,3.25 57,1,2024-09-07 09:43:30:989,965484,965484,0,0,453297238356,4756212288,952646,10509,2329,366,392032,0 57,2,2024-09-07 09:43:31:341,695591,695591,0,0,33618551,0,4317 57,3,2024-09-07 09:43:31:751,1,551,2,0,455,6385,551,0 58,0,2024-09-07 09:43:30:559,133638,0.9,129684,1.0,271281,1.1,355112,2.50 58,1,2024-09-07 09:43:30:574,967010,967007,0,3,454212602754,4758059340,952849,10836,3322,367,391675,3 58,2,2024-09-07 09:43:31:074,697623,697623,0,0,31933202,0,3483 58,3,2024-09-07 09:43:31:070,1,551,8,0,1043,6112,551,0 59,0,2024-09-07 09:43:31:740,134647,0.8,134565,0.9,268345,0.8,356310,2.75 59,1,2024-09-07 09:43:30:806,965755,965755,0,0,454248238825,4771672386,949641,12783,3331,369,391653,0 59,2,2024-09-07 09:43:30:583,697263,697263,0,0,30624464,0,3727 59,3,2024-09-07 09:43:31:737,1,551,1,0,1015,6788,551,0 60,0,2024-09-07 09:43:31:717,139712,0.4,140167,0.6,279983,0.4,372503,1.75 60,1,2024-09-07 09:43:30:778,970881,970881,0,0,456260132548,4733944410,964458,5484,939,370,392031,0 60,2,2024-09-07 09:43:31:145,698306,698306,0,0,28590275,0,3811 60,3,2024-09-07 09:43:31:271,1,551,2,0,409,6441,551,0 61,0,2024-09-07 09:43:31:500,140640,0.7,141334,0.8,281288,0.7,375775,2.00 61,1,2024-09-07 09:43:30:775,967265,967265,0,0,454678661185,4757823270,957245,8438,1582,382,392127,0 61,2,2024-09-07 09:43:31:118,693779,693712,67,0,29354741,0,6411 61,3,2024-09-07 09:43:31:687,1,551,4,0,607,7623,551,0 62,0,2024-09-07 09:43:31:710,138272,0.6,141881,0.8,270857,0.6,367728,2.00 62,1,2024-09-07 09:43:31:111,972898,972892,0,6,457321927575,4711589057,968547,4063,282,365,391975,6 62,2,2024-09-07 09:43:31:651,696972,696971,1,0,29002823,0,5555 62,3,2024-09-07 09:43:31:152,1,551,7,0,482,4408,551,0 63,0,2024-09-07 09:43:31:466,134057,0.4,133804,0.6,268458,0.3,356696,1.75 63,1,2024-09-07 09:43:30:805,969777,969771,0,6,456019283285,4732389865,963584,5347,840,381,391800,6 63,2,2024-09-07 09:43:30:761,699472,699472,0,0,27820210,0,4369 63,3,2024-09-07 09:43:31:732,1,551,1,0,667,5973,551,0 64,0,2024-09-07 09:43:31:520,137751,0.4,137814,0.6,274814,0.4,366441,1.75 64,1,2024-09-07 09:43:30:760,968891,968891,0,0,454712255417,4733049282,960658,6421,1812,370,391794,0 64,2,2024-09-07 09:43:31:151,701912,701893,19,0,26826105,0,6121 64,3,2024-09-07 09:43:31:149,1,551,1,0,651,5630,551,0 65,0,2024-09-07 09:43:31:677,139393,0.6,139689,0.7,278946,0.6,371677,2.00 65,1,2024-09-07 09:43:30:862,967231,967231,0,0,453018124444,4731935235,960465,5966,800,381,391901,0 65,2,2024-09-07 09:43:31:694,693338,693338,0,0,31350425,0,3367 65,3,2024-09-07 09:43:31:683,1,551,1,0,782,6396,551,0 66,0,2024-09-07 09:43:31:775,139736,0.6,139182,0.8,278425,0.5,370604,2.25 66,1,2024-09-07 09:43:31:293,969439,969439,0,0,454626482846,4729397147,963222,5495,722,380,391743,0 66,2,2024-09-07 09:43:31:139,701313,701310,3,0,28522658,0,5455 66,3,2024-09-07 09:43:31:081,1,551,12,0,291,4667,551,0 67,0,2024-09-07 09:43:31:444,133317,0.5,132980,0.7,266676,0.5,354396,2.00 67,1,2024-09-07 09:43:30:774,969486,969485,0,1,454633188899,4730025751,963199,5471,815,380,391787,1 67,2,2024-09-07 09:43:30:583,701072,701057,15,0,27970819,0,6205 67,3,2024-09-07 09:43:31:754,1,551,1,0,595,5830,551,0 68,0,2024-09-07 09:43:30:594,136671,0.5,136442,0.7,271775,0.5,363702,2.00 68,1,2024-09-07 09:43:30:578,964885,964885,0,0,453086138604,4764590823,951933,9494,3458,381,391953,0 68,2,2024-09-07 09:43:31:044,692449,692349,100,0,36553807,0,8578 68,3,2024-09-07 09:43:30:737,1,551,1,0,417,7271,551,0 69,0,2024-09-07 09:43:31:763,140744,0.7,141633,0.8,282159,0.7,374650,2.25 69,1,2024-09-07 09:43:31:024,964368,964368,0,0,452465146632,4767200329,951740,9866,2762,383,391994,0 69,2,2024-09-07 09:43:31:737,693758,693729,29,0,37782961,0,6912 69,3,2024-09-07 09:43:30:768,1,551,8,0,698,8229,551,0 70,0,2024-09-07 09:43:31:538,139841,0.8,140306,1.0,282200,0.7,373699,2.50 70,1,2024-09-07 09:43:30:800,970163,970163,0,0,456007791396,4731482864,962580,6820,763,366,391725,0 70,2,2024-09-07 09:43:31:325,697028,697028,0,0,31655646,0,4323 70,3,2024-09-07 09:43:30:761,1,551,8,0,854,6325,551,0 71,0,2024-09-07 09:43:31:377,133599,1.1,133323,1.1,267680,1.4,357577,2.75 71,1,2024-09-07 09:43:31:600,967729,967729,0,0,453979943189,4737719696,955897,10483,1349,368,391738,0 71,2,2024-09-07 09:43:31:071,696996,696996,0,0,32277562,0,4352 71,3,2024-09-07 09:43:31:754,1,551,3,0,644,6466,551,0 72,0,2024-09-07 09:43:31:046,140289,0.5,137045,0.7,267617,0.5,363606,2.00 72,1,2024-09-07 09:43:31:037,966387,966387,0,0,453678487048,4758036938,952514,11479,2394,369,391819,0 72,2,2024-09-07 09:43:31:759,695507,695507,0,0,33579624,0,3983 72,3,2024-09-07 09:43:31:758,1,551,6,0,564,7657,551,0 73,0,2024-09-07 09:43:31:150,137457,0.4,140922,0.6,288325,0.4,375553,2.00 73,1,2024-09-07 09:43:30:772,968332,968332,0,0,454399268187,4722713599,960834,6571,927,367,391858,0 73,2,2024-09-07 09:43:31:753,697109,697109,0,0,34019439,0,4728 73,3,2024-09-07 09:43:30:969,1,551,9,0,486,6824,551,0 74,0,2024-09-07 09:43:31:321,142769,0.5,146145,0.7,278799,0.4,378395,2.00 74,1,2024-09-07 09:43:30:640,967305,967305,0,0,453768692584,4735884689,956780,8599,1926,381,391762,0 74,2,2024-09-07 09:43:31:005,693884,693884,0,0,29454550,0,4253 74,3,2024-09-07 09:43:31:458,1,551,8,0,522,6482,551,0 75,0,2024-09-07 09:43:31:771,136497,0.6,135685,0.8,272268,0.5,363597,2.25 75,1,2024-09-07 09:43:31:588,968742,968742,0,0,454821079276,4739462686,960767,7110,865,380,391739,0 75,2,2024-09-07 09:43:31:367,696685,696685,0,0,35770496,0,4766 75,3,2024-09-07 09:43:31:071,1,551,0,0,702,6472,551,0 76,0,2024-09-07 09:43:30:604,133583,0.5,133032,0.7,266394,0.5,356508,2.25 76,1,2024-09-07 09:43:30:805,968203,968203,0,0,453877037189,4731579457,961545,5690,968,382,391723,0 76,2,2024-09-07 09:43:31:075,701164,701161,3,0,29613613,0,5265 76,3,2024-09-07 09:43:31:144,1,551,0,0,227,4978,551,0 77,0,2024-09-07 09:43:31:693,138778,0.5,139327,0.7,278679,0.4,370261,2.00 77,1,2024-09-07 09:43:30:824,968224,968224,0,0,455201973396,4745136240,961330,6297,597,381,391869,0 77,2,2024-09-07 09:43:31:283,697620,697620,0,0,29150389,0,3890 77,3,2024-09-07 09:43:31:095,1,551,54,0,401,5916,551,0 78,0,2024-09-07 09:43:31:735,140706,0.4,140158,0.6,281087,0.4,372211,2.00 78,1,2024-09-07 09:43:30:610,968216,968216,0,0,454236360745,4734012608,957205,8484,2527,367,391670,0 78,2,2024-09-07 09:43:31:410,695235,695222,13,0,26923829,0,8313 78,3,2024-09-07 09:43:31:139,1,551,8,0,311,4696,551,0 79,0,2024-09-07 09:43:31:347,133924,0.4,137167,0.6,281154,0.3,365567,2.00 79,1,2024-09-07 09:43:30:574,971221,971221,0,0,456072648046,4722104456,963476,6388,1357,367,391682,0 79,2,2024-09-07 09:43:31:074,699304,699304,0,0,26014181,0,4195 79,3,2024-09-07 09:43:30:750,1,551,1,0,418,6396,551,0 80,0,2024-09-07 09:43:31:135,132770,0.5,136564,0.6,261017,0.5,353247,2.00 80,1,2024-09-07 09:43:31:626,968164,968164,0,0,455822583395,4741333609,962294,5441,429,368,392269,0 80,2,2024-09-07 09:43:31:092,700909,700909,0,0,26649040,0,4433 80,3,2024-09-07 09:43:30:575,1,551,1,0,681,7044,551,0 81,0,2024-09-07 09:43:31:584,137584,0.5,140813,0.7,268453,0.5,364887,2.00 81,1,2024-09-07 09:43:31:655,967079,967079,0,0,453957646462,4742289031,959655,6782,642,382,391885,0 81,2,2024-09-07 09:43:31:135,696051,695988,63,0,29715980,0,5932 81,3,2024-09-07 09:43:31:120,1,551,8,0,719,6281,551,0 82,0,2024-09-07 09:43:31:543,140881,0.4,141034,0.7,282729,0.4,374470,2.00 82,1,2024-09-07 09:43:30:585,970009,970005,0,4,455250619348,4729914686,964901,4283,821,381,391768,4 82,2,2024-09-07 09:43:31:692,696702,696702,0,0,24692674,0,4484 82,3,2024-09-07 09:43:31:758,1,551,13,0,363,5143,551,0 83,0,2024-09-07 09:43:31:543,140665,0.6,140661,0.7,280737,0.6,372669,2.25 83,1,2024-09-07 09:43:30:556,968191,968191,0,0,453970997758,4729324175,961785,5949,457,382,391709,0 83,2,2024-09-07 09:43:30:765,698486,698461,25,0,26750359,0,5612 83,3,2024-09-07 09:43:30:749,1,551,27,0,1260,6761,551,0 84,0,2024-09-07 09:43:31:767,133453,0.7,133776,0.9,266905,0.6,356919,2.25 84,1,2024-09-07 09:43:31:042,966807,966807,0,0,453689192660,4744326447,955449,9704,1654,367,391967,0 84,2,2024-09-07 09:43:30:571,697444,697414,30,0,35976272,0,5971 84,3,2024-09-07 09:43:31:153,1,551,1,0,908,7581,551,0 85,0,2024-09-07 09:43:31:007,130929,0.6,130934,0.8,277966,0.6,360019,2.25 85,1,2024-09-07 09:43:30:567,963402,963402,0,0,453304053742,4787649155,948128,12528,2746,381,392092,0 85,2,2024-09-07 09:43:30:867,695332,695332,0,0,33486813,0,4255 85,3,2024-09-07 09:43:30:688,1,551,4,0,789,6233,551,0 86,0,2024-09-07 09:43:30:899,141552,0.6,145445,0.8,278104,0.7,376414,2.25 86,1,2024-09-07 09:43:30:827,965807,965807,0,0,454376948831,4765585963,952898,10254,2655,366,391995,0 86,2,2024-09-07 09:43:30:856,695688,695687,1,0,35644445,0,5004 86,3,2024-09-07 09:43:30:586,1,551,20,0,308,7908,551,0 87,0,2024-09-07 09:43:31:404,141791,0.8,141447,0.9,282955,1.0,378175,2.25 87,1,2024-09-07 09:43:30:556,965315,965315,0,0,453963835607,4754920033,952884,10714,1717,366,392076,0 87,2,2024-09-07 09:43:31:076,694792,694786,6,0,31535665,0,6323 87,3,2024-09-07 09:43:31:798,1,551,3,0,473,8302,551,0 88,0,2024-09-07 09:43:31:480,135335,0.5,135857,0.6,271553,0.4,361511,1.75 88,1,2024-09-07 09:43:30:579,965000,965000,0,0,453777250650,4751807519,951907,10445,2648,365,392084,0 88,2,2024-09-07 09:43:30:697,697010,697010,0,0,35081319,0,4465 88,3,2024-09-07 09:43:31:276,1,551,1080,0,1080,8468,551,0 89,0,2024-09-07 09:43:31:777,139124,0.4,134894,0.6,266424,0.4,362490,1.75 89,1,2024-09-07 09:43:30:552,964812,964812,0,0,453114069908,4767111048,952516,10363,1933,382,391866,0 89,2,2024-09-07 09:43:31:140,696736,696736,0,0,32655600,0,3173 89,3,2024-09-07 09:43:31:793,1,551,1,0,468,9106,551,0 90,0,2024-09-07 09:43:31:758,135723,0.4,139428,0.6,284321,0.4,371110,1.75 90,1,2024-09-07 09:43:30:601,966418,966418,0,0,454006383464,4753716304,957204,8378,836,380,391825,0 90,2,2024-09-07 09:43:31:414,695107,695107,0,0,35092803,0,3608 90,3,2024-09-07 09:43:30:930,1,551,35,0,322,6774,551,0 91,0,2024-09-07 09:43:31:122,141289,0.5,136987,0.6,286522,0.4,376369,1.75 91,1,2024-09-07 09:43:30:564,963879,963879,0,0,453258492203,4770179232,950554,10819,2506,381,392047,0 91,2,2024-09-07 09:43:31:338,694002,694002,0,0,30384250,0,4713 91,3,2024-09-07 09:43:30:605,1,551,27,0,216,5112,551,0 92,0,2024-09-07 09:43:31:442,139374,0.5,142484,0.6,271745,0.5,367985,1.75 92,1,2024-09-07 09:43:30:581,967839,967839,0,0,454597142362,4746123920,960281,6349,1209,381,392136,0 92,2,2024-09-07 09:43:31:349,698676,698676,0,0,27380658,0,3259 92,3,2024-09-07 09:43:31:008,1,551,1,0,167,4730,551,0 93,0,2024-09-07 09:43:30:965,134374,0.4,137643,0.6,262951,0.3,356603,1.75 93,1,2024-09-07 09:43:30:805,968026,968026,0,0,454709012417,4744096113,957610,8681,1735,366,391776,0 93,2,2024-09-07 09:43:30:928,698847,698847,0,0,33417971,0,4913 93,3,2024-09-07 09:43:31:412,1,551,1,0,190,4854,551,0 94,0,2024-09-07 09:43:31:716,137552,0.3,138532,0.5,276830,0.3,367921,1.75 94,1,2024-09-07 09:43:30:564,968567,968567,0,0,454819662628,4741357224,962195,5948,424,381,391850,0 94,2,2024-09-07 09:43:30:768,697701,697673,28,0,28362506,0,6179 94,3,2024-09-07 09:43:31:687,1,551,11,0,576,6837,551,0 95,0,2024-09-07 09:43:31:362,140468,0.3,140522,0.5,281151,0.3,374335,1.75 95,1,2024-09-07 09:43:30:855,969904,969904,0,0,455162525837,4727754209,962385,6838,681,365,391852,0 95,2,2024-09-07 09:43:31:034,693250,693250,0,0,27122665,0,3308 95,3,2024-09-07 09:43:31:725,1,551,5,0,718,8284,551,0 96,0,2024-09-07 09:43:31:033,140131,0.4,140384,0.5,280655,0.3,372825,1.75 96,1,2024-09-07 09:43:31:585,967461,967461,0,0,454134379179,4733585666,961020,5526,915,384,391964,0 96,2,2024-09-07 09:43:31:268,699418,699418,0,0,28134481,0,4180 96,3,2024-09-07 09:43:31:144,1,551,6,0,411,5789,551,0 97,0,2024-09-07 09:43:31:313,133281,0.3,133226,0.5,267529,0.3,354550,1.50 97,1,2024-09-07 09:43:30:765,969904,969904,0,0,455507567587,4721734838,964094,4863,947,367,392140,0 97,2,2024-09-07 09:43:30:608,700050,700050,0,0,27695263,0,3679 97,3,2024-09-07 09:43:30:570,1,551,1,0,242,6202,551,0 98,0,2024-09-07 09:43:31:845,136113,0.3,136303,0.4,273423,0.2,363647,1.50 98,1,2024-09-07 09:43:30:633,968844,968844,0,0,454473040396,4729822837,963356,4686,802,381,391997,0 98,2,2024-09-07 09:43:30:773,697144,697144,0,0,27261895,0,4336 98,3,2024-09-07 09:43:30:713,1,551,18,0,840,8460,551,0 99,0,2024-09-07 09:43:31:485,141631,0.3,142236,0.5,283058,0.3,377546,1.75 99,1,2024-09-07 09:43:31:728,969392,969392,0,0,454351751408,4726899701,963359,5104,929,380,392069,0 99,2,2024-09-07 09:43:31:428,696621,696621,0,0,32847618,0,4276 99,3,2024-09-07 09:43:30:590,1,551,2,0,606,5391,551,0 100,0,2024-09-07 09:43:31:493,141111,0.8,141386,0.9,282395,0.9,377194,2.50 100,1,2024-09-07 09:43:30:556,963477,963477,0,0,452105314164,4780157284,948378,11818,3281,378,391989,0 100,2,2024-09-07 09:43:31:817,694838,694827,11,0,32663533,0,5417 100,3,2024-09-07 09:43:31:732,1,551,4,0,627,9745,551,0 101,0,2024-09-07 09:43:31:709,137642,1.1,134234,1.1,262920,1.1,359651,2.25 101,1,2024-09-07 09:43:30:559,965289,965289,0,0,452887133184,4758018021,952408,10431,2450,368,391847,0 101,2,2024-09-07 09:43:31:760,693551,693551,0,0,37593780,0,4871 101,3,2024-09-07 09:43:30:948,1,551,0,0,1250,8599,551,0 102,0,2024-09-07 09:43:30:970,132074,0.6,136173,0.8,276162,0.6,361469,2.00 102,1,2024-09-07 09:43:31:146,965041,965041,0,0,453112532754,4759594061,951888,11002,2151,369,391891,0 102,2,2024-09-07 09:43:31:736,697046,696992,54,0,31817052,0,6768 102,3,2024-09-07 09:43:31:615,1,551,8,0,466,6352,551,0 103,0,2024-09-07 09:43:31:605,145657,0.5,145722,0.7,274577,0.5,378401,2.00 103,1,2024-09-07 09:43:31:762,963812,963812,0,0,452944107360,4783980441,948108,12117,3587,381,392077,0 103,2,2024-09-07 09:43:30:595,695615,695615,0,0,33862670,0,3766 103,3,2024-09-07 09:43:30:783,1,551,1,0,916,6898,551,0 104,0,2024-09-07 09:43:31:036,140708,0.8,140876,0.9,281042,0.7,376342,2.25 104,1,2024-09-07 09:43:31:599,966688,966688,0,0,453594007345,4763713000,952842,11258,2588,365,392168,0 104,2,2024-09-07 09:43:31:669,691849,691849,0,0,32394448,0,3941 104,3,2024-09-07 09:43:31:428,1,551,754,0,1245,10121,551,0 105,0,2024-09-07 09:43:31:041,134864,0.9,131437,1.1,275439,1.0,361627,2.75 105,1,2024-09-07 09:43:30:554,967653,967653,0,0,454633158292,4761531609,955548,10299,1806,364,392009,0 105,2,2024-09-07 09:43:31:329,696680,696680,0,0,33265785,0,4360 105,3,2024-09-07 09:43:31:310,1,551,1,0,467,8055,551,0 106,0,2024-09-07 09:43:30:996,129633,0.7,132771,0.9,272033,0.7,355087,2.50 106,1,2024-09-07 09:43:31:757,966760,966760,0,0,453720905332,4759621016,953527,11582,1651,368,391914,0 106,2,2024-09-07 09:43:30:755,697235,697235,0,0,31255405,0,2920 106,3,2024-09-07 09:43:30:690,1,551,8,0,1224,8111,551,0 107,0,2024-09-07 09:43:31:107,139087,0.8,139061,0.9,278254,1.0,370871,2.25 107,1,2024-09-07 09:43:30:585,964602,964602,0,0,452867341785,4775605311,950845,12217,1540,381,392234,0 107,2,2024-09-07 09:43:31:304,694991,694990,1,0,33942883,0,5024 107,3,2024-09-07 09:43:31:764,1,551,57,0,733,8211,551,0 108,0,2024-09-07 09:43:31:770,140129,0.4,140706,0.6,280314,0.4,373822,1.75 108,1,2024-09-07 09:43:31:295,967363,967363,0,0,454572631641,4738601327,959432,6956,975,367,391894,0 108,2,2024-09-07 09:43:31:755,692549,692549,0,0,29708421,0,4246 108,3,2024-09-07 09:43:31:356,1,551,9,0,749,10519,551,0 109,0,2024-09-07 09:43:31:757,139460,0.4,138253,0.6,277186,0.4,369939,1.75 109,1,2024-09-07 09:43:30:583,965176,965176,0,0,454364484744,4763236754,956841,7118,1217,382,392132,0 109,2,2024-09-07 09:43:30:924,697106,697106,0,0,30115166,0,3617 109,3,2024-09-07 09:43:31:161,1,551,5,0,630,6955,551,0 110,0,2024-09-07 09:43:31:817,132931,0.4,129273,0.6,270719,0.3,354661,1.75 110,1,2024-09-07 09:43:31:642,969238,969238,0,0,455859957112,4731379343,961589,5725,1924,368,392045,0 110,2,2024-09-07 09:43:31:307,699483,699483,0,0,28308381,0,4067 110,3,2024-09-07 09:43:30:698,1,551,164,0,722,7629,551,0 111,0,2024-09-07 09:43:31:451,137857,0.3,136970,0.5,274283,0.2,366283,1.75 111,1,2024-09-07 09:43:31:002,970529,970529,0,0,456578948853,4730880419,965963,4209,357,380,391690,0 111,2,2024-09-07 09:43:31:117,697037,697037,0,0,28755701,0,4823 111,3,2024-09-07 09:43:30:913,1,551,7,0,379,6104,551,0 112,0,2024-09-07 09:43:30:918,141846,0.3,141310,0.4,283295,0.2,375683,1.50 112,1,2024-09-07 09:43:30:823,969078,969078,0,0,454858685954,4722284487,962556,5437,1085,380,391624,0 112,2,2024-09-07 09:43:31:139,694939,694938,1,0,27170138,0,5036 112,3,2024-09-07 09:43:30:599,1,551,0,0,282,5227,551,0 113,0,2024-09-07 09:43:30:868,140866,0.3,140784,0.5,281898,0.2,375019,1.75 113,1,2024-09-07 09:43:31:687,971636,971636,0,0,456818177153,4712124607,966059,4702,875,365,391664,0 113,2,2024-09-07 09:43:31:303,701246,701246,0,0,24930275,0,3813 113,3,2024-09-07 09:43:30:685,1,551,0,0,510,6150,551,0 114,0,2024-09-07 09:43:30:871,134927,0.3,135867,0.5,269944,0.2,360904,1.75 114,1,2024-09-07 09:43:30:716,969502,969502,0,0,455724480976,4729068931,962587,5298,1617,381,391565,0 114,2,2024-09-07 09:43:30:874,699560,699559,1,0,27183222,0,5069 114,3,2024-09-07 09:43:31:281,1,551,7,0,395,4398,551,0 115,0,2024-09-07 09:43:30:553,136630,0.3,137249,0.4,273294,0.2,363892,1.50 115,1,2024-09-07 09:43:30:582,969854,969854,0,0,455523504349,4730201442,962432,6064,1358,382,391757,0 115,2,2024-09-07 09:43:31:133,699460,699460,0,0,26498588,0,4382 115,3,2024-09-07 09:43:31:004,1,551,3,0,167,3190,551,0 116,0,2024-09-07 09:43:31:708,141232,0.7,140915,0.8,282617,0.6,377571,2.00 116,1,2024-09-07 09:43:30:804,964477,964477,0,0,453261250062,4779514606,952467,8958,3052,380,392089,0 116,2,2024-09-07 09:43:31:759,696088,696088,0,0,34040609,0,4475 116,3,2024-09-07 09:43:30:914,1,551,0,0,448,7178,551,0 117,0,2024-09-07 09:43:30:951,141992,0.7,141402,0.8,283364,0.8,378979,2.00 117,1,2024-09-07 09:43:31:588,966103,966103,0,0,453645097924,4748311622,955470,9171,1462,369,392033,0 117,2,2024-09-07 09:43:31:118,696894,696894,0,0,30335805,0,4303 117,3,2024-09-07 09:43:31:059,1,551,2,0,490,7297,551,0 118,0,2024-09-07 09:43:31:801,131322,0.6,134870,0.7,275214,0.5,359924,2.00 118,1,2024-09-07 09:43:30:587,964735,964735,0,0,453140398825,4765350058,949889,11237,3609,366,392054,0 118,2,2024-09-07 09:43:31:588,696774,696774,0,0,32765643,0,2842 118,3,2024-09-07 09:43:31:789,1,551,20,0,248,6111,551,0 119,0,2024-09-07 09:43:31:343,134699,0.6,135347,0.7,270186,0.6,360657,2.00 119,1,2024-09-07 09:43:30:554,965789,965789,0,0,453490619824,4754867760,952522,10933,2334,367,391857,0 119,2,2024-09-07 09:43:31:263,697951,697951,0,0,30819245,0,4309 119,3,2024-09-07 09:43:31:333,1,551,1,0,1358,10733,551,0 120,0,2024-09-07 09:43:31:541,139151,0.6,139033,0.8,279141,0.6,371941,2.25 120,1,2024-09-07 09:43:30:858,967265,967265,0,0,453655550843,4752562635,957667,8817,781,367,392144,0 120,2,2024-09-07 09:43:30:775,696640,696639,1,0,35762121,0,5281 120,3,2024-09-07 09:43:31:292,1,551,1,0,279,7014,551,0 121,0,2024-09-07 09:43:31:698,140999,1.2,140386,1.1,281275,1.6,375304,2.25 121,1,2024-09-07 09:43:31:657,966937,966937,0,0,453965995306,4746180545,957211,8876,850,366,391840,0 121,2,2024-09-07 09:43:31:141,692411,692411,0,0,32310720,0,4157 121,3,2024-09-07 09:43:30:736,1,551,1,0,330,6527,551,0 122,0,2024-09-07 09:43:31:807,137267,0.8,133530,0.9,279789,1.0,367962,2.25 122,1,2024-09-07 09:43:30:860,965569,965569,0,0,453879260539,4764225236,951787,11451,2331,366,392130,0 122,2,2024-09-07 09:43:31:322,698334,698259,75,0,36672843,0,5989 122,3,2024-09-07 09:43:30:594,1,551,9,0,512,9218,551,0 123,0,2024-09-07 09:43:30:988,133184,0.8,129460,0.8,271070,0.9,355455,2.25 123,1,2024-09-07 09:43:30:569,966057,966057,0,0,453415646111,4768775235,950209,13194,2654,369,392039,0 123,2,2024-09-07 09:43:31:024,696536,696535,1,0,31523038,0,5215 123,3,2024-09-07 09:43:31:151,1,551,4,0,478,6404,551,0 124,0,2024-09-07 09:43:30:929,142062,0.3,142090,0.5,267896,0.3,369242,1.75 124,1,2024-09-07 09:43:31:045,969182,969182,0,0,454718529663,4724346693,962171,5877,1134,365,392178,0 124,2,2024-09-07 09:43:31:018,699176,699123,53,0,28166662,0,6487 124,3,2024-09-07 09:43:30:761,1,551,3,0,490,5681,551,0 125,0,2024-09-07 09:43:31:459,140271,0.4,140143,0.5,281399,0.3,374295,1.75 125,1,2024-09-07 09:43:30:861,967107,967107,0,0,453530806801,4730570195,960081,6041,985,382,391813,0 125,2,2024-09-07 09:43:31:118,696315,696315,0,0,27894862,0,4534 125,3,2024-09-07 09:43:31:130,1,551,1,0,709,6474,551,0 126,0,2024-09-07 09:43:31:425,140304,0.4,144253,0.6,276091,0.4,373409,1.75 126,1,2024-09-07 09:43:30:588,970664,970664,0,0,454985303386,4707311215,965934,4418,312,365,391987,0 126,2,2024-09-07 09:43:30:625,699815,699815,0,0,29148317,0,4539 126,3,2024-09-07 09:43:30:908,1,551,13,0,268,6056,551,0 127,0,2024-09-07 09:43:31:594,133531,0.3,133909,0.5,267252,0.3,354824,1.75 127,1,2024-09-07 09:43:30:572,968628,968628,0,0,454660788783,4727993343,959225,7896,1507,364,392187,0 127,2,2024-09-07 09:43:30:640,698136,698132,4,0,27901953,0,5305 127,3,2024-09-07 09:43:31:270,1,551,1,0,968,5470,551,0 128,0,2024-09-07 09:43:31:522,137029,0.3,137042,0.4,273895,0.2,364311,1.50 128,1,2024-09-07 09:43:31:605,968472,968472,0,0,455512127630,4732700036,961320,6290,862,367,392031,0 128,2,2024-09-07 09:43:31:390,698190,698190,0,0,25995939,0,3171 128,3,2024-09-07 09:43:30:773,1,551,1,0,1082,8740,551,0 129,0,2024-09-07 09:43:31:000,142625,0.3,142000,0.5,284630,0.3,377886,1.50 129,1,2024-09-07 09:43:30:573,965157,965157,0,0,454124238376,4756809355,955758,7397,2002,379,391962,0 129,2,2024-09-07 09:43:30:691,697140,697136,4,0,28250652,0,5335 129,3,2024-09-07 09:43:30:688,1,551,2,0,506,7736,551,0 130,0,2024-09-07 09:43:31:719,142380,0.4,141805,0.6,284429,0.4,378594,1.75 130,1,2024-09-07 09:43:30:596,969312,969312,0,0,454867941483,4722825503,964452,4471,389,381,391825,0 130,2,2024-09-07 09:43:31:136,697972,697972,0,0,28071244,0,4067 130,3,2024-09-07 09:43:31:294,1,551,13,0,960,7545,551,0 131,0,2024-09-07 09:43:31:930,134947,0.4,135533,0.5,271333,0.3,360632,1.75 131,1,2024-09-07 09:43:31:826,968577,968577,0,0,454987413195,4742522340,961677,5708,1192,381,391865,0 131,2,2024-09-07 09:43:30:586,698633,698633,0,0,26266028,0,3979 131,3,2024-09-07 09:43:31:688,1,551,2,0,392,6807,551,0 132,0,2024-09-07 09:43:31:446,135981,0.5,136835,0.6,272370,0.4,362506,2.00 132,1,2024-09-07 09:43:30:575,964657,964657,0,0,452971499347,4765955291,951050,11082,2525,381,392532,0 132,2,2024-09-07 09:43:30:717,697128,697111,17,0,34686008,0,6451 132,3,2024-09-07 09:43:31:690,1,551,6,0,804,8941,551,0 133,0,2024-09-07 09:43:31:524,137577,0.4,140766,0.6,288749,0.4,376161,2.00 133,1,2024-09-07 09:43:30:589,964576,964576,0,0,453945182670,4782771622,951479,11392,1705,383,391914,0 133,2,2024-09-07 09:43:31:085,698068,698018,50,0,35102071,0,6861 133,3,2024-09-07 09:43:31:297,1,551,1,0,528,6894,551,0 134,0,2024-09-07 09:43:30:970,142040,0.5,142001,0.7,284357,0.5,378408,2.25 134,1,2024-09-07 09:43:30:596,966287,966287,0,0,453030177804,4747651762,954479,9660,2148,366,391781,0 134,2,2024-09-07 09:43:31:758,693637,693613,24,0,32683494,0,6207 134,3,2024-09-07 09:43:30:750,1,551,11,0,739,6511,551,0 135,0,2024-09-07 09:43:31:114,132065,0.9,132072,0.9,280379,1.1,361181,2.25 135,1,2024-09-07 09:43:31:585,965722,965722,0,0,453506168297,4767225318,953696,10455,1571,380,391805,0 135,2,2024-09-07 09:43:30:694,698256,698256,0,0,33307299,0,4503 135,3,2024-09-07 09:43:31:005,1,551,0,0,900,5478,551,0 136,0,2024-09-07 09:43:31:612,134682,0.5,134930,0.7,268665,0.5,358244,2.00 136,1,2024-09-07 09:43:31:441,966597,966597,0,0,453516181355,4752395643,955803,9500,1294,381,392135,0 136,2,2024-09-07 09:43:31:140,699424,699409,15,0,33079865,0,6007 136,3,2024-09-07 09:43:31:107,1,551,4,0,637,6678,551,0 137,0,2024-09-07 09:43:30:932,143578,0.5,139999,0.7,274290,0.5,372558,2.00 137,1,2024-09-07 09:43:30:613,964565,964565,0,0,454626513513,4765412483,949798,11835,2932,366,391898,0 137,2,2024-09-07 09:43:31:706,696044,696044,0,0,33922719,0,3185 137,3,2024-09-07 09:43:30:780,1,551,3,0,484,7205,551,0 138,0,2024-09-07 09:43:31:814,139685,0.8,139896,0.9,280424,0.9,372314,2.00 138,1,2024-09-07 09:43:31:690,966169,966169,0,0,454444334040,4763532284,953075,11123,1971,368,391954,0 138,2,2024-09-07 09:43:30:592,692718,692718,0,0,31196494,0,4988 138,3,2024-09-07 09:43:30:610,1,551,7,0,1200,8832,551,0 139,0,2024-09-07 09:43:31:389,137673,1.2,138161,1.0,276325,1.7,368303,2.25 139,1,2024-09-07 09:43:30:583,961810,961810,0,0,451796786683,4790768851,944640,13485,3685,380,392109,0 139,2,2024-09-07 09:43:30:711,694326,694326,0,0,35469027,0,3097 139,3,2024-09-07 09:43:31:672,1,551,13,0,432,6331,551,0 140,0,2024-09-07 09:43:31:597,133548,0.3,132677,0.5,266195,0.2,354931,1.75 140,1,2024-09-07 09:43:31:553,972061,972061,0,0,456885467931,4707009916,967475,4048,538,364,391606,0 140,2,2024-09-07 09:43:30:691,699679,699678,1,0,26404498,0,5036 140,3,2024-09-07 09:43:30:768,1,551,4,0,297,4936,551,0 141,0,2024-09-07 09:43:31:699,137535,0.3,141220,0.4,269740,0.2,366070,1.50 141,1,2024-09-07 09:43:30:881,970066,970066,0,0,456163844207,4736588723,962617,6316,1133,379,391614,0 141,2,2024-09-07 09:43:31:687,697426,697415,11,0,28029164,0,5369 141,3,2024-09-07 09:43:31:042,1,551,0,0,391,6275,551,0 142,0,2024-09-07 09:43:31:312,141964,0.3,140928,0.5,282408,0.2,376512,1.50 142,1,2024-09-07 09:43:30:594,967980,967980,0,0,454950580194,4738757805,962176,5344,460,382,392102,0 142,2,2024-09-07 09:43:31:303,693875,693843,32,0,28434331,0,6028 142,3,2024-09-07 09:43:31:748,1,551,12,0,484,5737,551,0 143,0,2024-09-07 09:43:31:374,140619,0.4,140670,0.6,282276,0.4,374830,1.75 143,1,2024-09-07 09:43:30:562,970355,970355,0,0,455967903157,4728840759,964118,5675,562,367,391722,0 143,2,2024-09-07 09:43:30:774,699453,699453,0,0,28113413,0,3123 143,3,2024-09-07 09:43:31:145,1,551,17,0,462,6818,551,0 144,0,2024-09-07 09:43:31:528,130535,0.6,134247,0.8,273174,0.6,357679,2.00 144,1,2024-09-07 09:43:30:576,965592,965592,0,0,453456173078,4755025773,956162,7462,1968,381,391733,0 144,2,2024-09-07 09:43:31:763,698698,698698,0,0,27215224,0,3673 144,3,2024-09-07 09:43:31:751,1,551,1,0,249,5342,551,0 145,0,2024-09-07 09:43:31:377,131870,0.5,131820,0.7,279894,0.5,360703,2.25 145,1,2024-09-07 09:43:30:602,964878,964878,0,0,453139198657,4770683203,951406,10811,2661,382,391759,0 145,2,2024-09-07 09:43:31:445,694687,694605,82,0,33625016,0,7814 145,3,2024-09-07 09:43:30:902,1,551,3,0,622,7609,551,0 146,0,2024-09-07 09:43:31:597,141346,0.6,140904,0.8,282997,0.6,375893,2.25 146,1,2024-09-07 09:43:31:589,965746,965746,0,0,453565541678,4772805525,949266,12064,4416,367,391770,0 146,2,2024-09-07 09:43:31:695,695445,695439,6,0,31561350,0,5151 146,3,2024-09-07 09:43:31:282,1,551,1,0,1520,9298,551,0 147,0,2024-09-07 09:43:31:862,141952,0.6,141638,0.7,283005,0.6,378135,2.25 147,1,2024-09-07 09:43:31:372,969523,969523,0,0,455913316338,4733298397,962216,6427,880,367,391791,0 147,2,2024-09-07 09:43:31:028,695143,695143,0,0,27644311,0,2968 147,3,2024-09-07 09:43:30:915,1,551,1,0,1626,8635,551,0 0,0,2024-09-07 09:43:41:737,136197,0.5,136207,0.7,288899,0.5,373269,2.00 0,1,2024-09-07 09:43:40:805,968269,968269,0,0,454824916182,4759099029,960677,6749,843,369,391896,0 0,2,2024-09-07 09:43:41:079,700191,700191,0,0,28163312,0,4480 0,3,2024-09-07 09:43:40:974,1,552,49,0,431,7938,552,0 1,0,2024-09-07 09:43:41:781,141147,0.9,140134,0.9,281907,1.1,376646,2.00 1,1,2024-09-07 09:43:40:557,966849,966849,0,0,453774987876,4751757918,958074,7301,1474,370,391859,0 1,2,2024-09-07 09:43:40:638,695228,695228,0,0,27152874,0,3380 1,3,2024-09-07 09:43:41:311,1,552,59,0,269,6795,552,0 2,0,2024-09-07 09:43:41:576,137720,0.6,137504,0.7,274895,0.6,366832,2.00 2,1,2024-09-07 09:43:40:858,970427,970427,0,0,456295746390,4740159897,965261,4236,930,379,391745,0 2,2,2024-09-07 09:43:41:269,699758,699758,0,0,26113719,0,3594 2,3,2024-09-07 09:43:40:692,1,552,20,0,357,4879,552,0 3,0,2024-09-07 09:43:41:745,133861,0.4,133814,0.6,266881,0.3,356331,2.00 3,1,2024-09-07 09:43:41:618,969346,969346,0,0,455312455510,4736823342,961825,6818,703,379,391716,0 3,2,2024-09-07 09:43:41:154,700731,700708,23,0,27617883,0,5851 3,3,2024-09-07 09:43:41:752,1,552,5,0,275,3592,552,0 4,0,2024-09-07 09:43:41:768,134457,0.4,138185,0.5,281726,0.3,368729,1.75 4,1,2024-09-07 09:43:40:595,965509,965509,0,0,452249806147,4790766654,948202,13600,3707,370,391992,0 4,2,2024-09-07 09:43:41:017,695752,695752,0,0,33918170,0,4534 4,3,2024-09-07 09:43:41:045,1,552,1,0,448,6974,552,0 5,0,2024-09-07 09:43:41:368,140651,0.4,140763,0.5,281576,0.4,373974,1.75 5,1,2024-09-07 09:43:40:761,966520,966520,0,0,454465723924,4784024761,952891,10892,2737,367,392005,0 5,2,2024-09-07 09:43:41:849,691728,691728,0,0,32175790,0,3582 5,3,2024-09-07 09:43:41:741,1,552,30,0,457,7453,552,0 6,0,2024-09-07 09:43:40:922,140297,0.5,139950,0.7,280083,0.4,372930,2.00 6,1,2024-09-07 09:43:40:746,968383,968383,0,0,454714842618,4750532400,957930,8931,1522,379,391702,0 6,2,2024-09-07 09:43:41:116,700104,700086,18,0,31896367,0,5535 6,3,2024-09-07 09:43:41:277,1,552,2,0,710,6854,552,0 7,0,2024-09-07 09:43:41:530,132647,0.5,133536,0.7,265860,0.5,353589,2.00 7,1,2024-09-07 09:43:40:850,967327,967327,0,0,454907692187,4777522579,953450,11473,2404,382,391747,0 7,2,2024-09-07 09:43:40:770,699366,699366,0,0,30532748,0,4791 7,3,2024-09-07 09:43:40:851,1,552,29,0,552,6288,552,0 8,0,2024-09-07 09:43:41:333,137057,0.3,136614,0.5,274244,0.3,365138,1.75 8,1,2024-09-07 09:43:41:016,965248,965248,0,0,454545323440,4780689193,949541,12190,3517,366,392853,0 8,2,2024-09-07 09:43:40:791,693249,693247,2,0,36183944,0,5112 8,3,2024-09-07 09:43:40:585,1,552,9,0,538,7951,552,0 9,0,2024-09-07 09:43:41:109,141383,0.4,137609,0.5,288327,0.3,377610,1.75 9,1,2024-09-07 09:43:40:556,965452,965452,0,0,453625242241,4781001656,950420,12047,2985,369,392001,0 9,2,2024-09-07 09:43:41:087,695124,695123,1,0,33079275,0,5281 9,3,2024-09-07 09:43:41:751,1,552,6,0,1273,9149,552,0 10,0,2024-09-07 09:43:41:604,141639,0.4,141143,0.5,283184,0.3,377341,1.75 10,1,2024-09-07 09:43:40:586,967540,967540,0,0,454259156451,4760436985,954109,11014,2417,381,391869,0 10,2,2024-09-07 09:43:40:771,698856,698856,0,0,35929615,0,4264 10,3,2024-09-07 09:43:40:871,1,552,11,0,669,6414,552,0 11,0,2024-09-07 09:43:41:022,135125,0.5,130972,0.6,274046,0.4,361399,1.75 11,1,2024-09-07 09:43:40:574,969253,969253,0,0,454847523311,4768879134,955128,10363,3762,383,391766,0 11,2,2024-09-07 09:43:41:122,698343,698343,0,0,31730820,0,4130 11,3,2024-09-07 09:43:41:298,1,552,3,0,843,7381,552,0 12,0,2024-09-07 09:43:40:967,136960,0.4,136910,0.5,273856,0.3,363912,1.75 12,1,2024-09-07 09:43:40:950,968935,968935,0,0,454253112682,4736550937,961206,6843,886,370,391960,0 12,2,2024-09-07 09:43:41:556,697446,697446,0,0,30850824,0,4390 12,3,2024-09-07 09:43:41:067,1,552,0,0,386,7193,552,0 13,0,2024-09-07 09:43:41:378,142551,0.4,142362,0.5,284010,0.4,379284,1.75 13,1,2024-09-07 09:43:41:535,966451,966451,0,0,454166569371,4770978811,956672,7479,2300,382,391768,0 13,2,2024-09-07 09:43:40:595,699935,699935,0,0,27770976,0,3287 13,3,2024-09-07 09:43:41:762,1,552,1,0,522,7499,552,0 14,0,2024-09-07 09:43:40:562,142049,0.4,143106,0.6,283943,0.4,378359,1.75 14,1,2024-09-07 09:43:41:567,973045,973045,0,0,456841382923,4723508004,966089,6228,728,364,391673,0 14,2,2024-09-07 09:43:40:772,696826,696796,30,0,29029680,0,6104 14,3,2024-09-07 09:43:41:115,1,552,15,0,1168,6205,552,0 15,0,2024-09-07 09:43:41:556,136184,0.4,135557,0.6,271968,0.4,362340,2.00 15,1,2024-09-07 09:43:41:608,970411,970411,0,0,455771436731,4743104098,962648,6277,1486,381,391619,0 15,2,2024-09-07 09:43:40:998,701610,701610,0,0,24739769,0,3622 15,3,2024-09-07 09:43:41:420,1,552,51,0,1126,7562,552,0 16,0,2024-09-07 09:43:40:937,134226,0.5,134962,0.7,269352,0.5,358352,2.00 16,1,2024-09-07 09:43:40:563,970234,970234,0,0,455721750346,4760702854,961789,7140,1305,370,392194,0 16,2,2024-09-07 09:43:41:435,699084,699084,0,0,28983376,0,4719 16,3,2024-09-07 09:43:41:149,1,552,8,0,358,6789,552,0 17,0,2024-09-07 09:43:41:792,143998,0.5,140335,0.7,274985,0.5,373461,1.75 17,1,2024-09-07 09:43:40:573,968103,968103,0,0,454763613928,4768063333,958536,7813,1754,368,392075,0 17,2,2024-09-07 09:43:41:666,701417,701416,1,0,29928898,0,5050 17,3,2024-09-07 09:43:40:574,1,552,3,0,518,8109,552,0 18,0,2024-09-07 09:43:40:939,139059,0.7,139979,0.8,278902,0.7,372292,2.25 18,1,2024-09-07 09:43:41:643,972321,972321,0,0,455992267219,4726170470,966203,5121,997,367,391725,0 18,2,2024-09-07 09:43:41:760,697219,697219,0,0,26283624,0,3541 18,3,2024-09-07 09:43:40:902,1,552,7,0,1059,5108,552,0 19,0,2024-09-07 09:43:41:543,138280,0.6,138586,0.8,275986,0.7,366982,2.25 19,1,2024-09-07 09:43:40:569,971647,971647,0,0,457321148893,4738870959,964615,5947,1085,367,391777,0 19,2,2024-09-07 09:43:41:759,702739,702739,0,0,24931630,0,3988 19,3,2024-09-07 09:43:41:134,1,552,0,0,524,4305,552,0 20,0,2024-09-07 09:43:41:364,132929,0.5,133170,0.7,265871,0.5,354310,2.00 20,1,2024-09-07 09:43:40:573,967551,967551,0,0,455574274743,4772897890,957416,8635,1500,369,391922,0 20,2,2024-09-07 09:43:40:927,699346,699346,0,0,31296236,0,4321 20,3,2024-09-07 09:43:40:593,1,552,1,0,468,9051,552,0 21,0,2024-09-07 09:43:41:137,137680,0.4,137876,0.6,275599,0.4,366433,1.75 21,1,2024-09-07 09:43:41:536,966301,966301,0,0,453589210668,4784167442,951620,11418,3263,368,392016,0 21,2,2024-09-07 09:43:41:091,695412,695392,20,0,35514604,0,5617 21,3,2024-09-07 09:43:41:411,1,552,1,0,713,7589,552,0 22,0,2024-09-07 09:43:41:717,141110,0.5,141600,0.7,282595,0.4,374766,2.00 22,1,2024-09-07 09:43:41:024,967012,967012,0,0,453770947084,4776528897,950662,13011,3339,382,391822,0 22,2,2024-09-07 09:43:40:759,693866,693840,26,0,29674138,0,6328 22,3,2024-09-07 09:43:41:080,1,552,71,0,228,4659,552,0 23,0,2024-09-07 09:43:41:368,140497,0.5,140082,0.7,280245,0.5,373516,2.25 23,1,2024-09-07 09:43:41:004,968380,968380,0,0,455499052107,4781581886,951525,12006,4849,365,391690,0 23,2,2024-09-07 09:43:41:093,700682,700682,0,0,28580968,0,3773 23,3,2024-09-07 09:43:41:759,1,552,1,0,855,8426,552,0 24,0,2024-09-07 09:43:40:865,135642,0.4,134965,0.6,271405,0.4,360245,1.75 24,1,2024-09-07 09:43:40:585,967341,967341,0,0,453899622526,4750855070,958076,7584,1681,367,392269,0 24,2,2024-09-07 09:43:41:079,698722,698722,0,0,34224262,0,4438 24,3,2024-09-07 09:43:41:685,1,552,2,0,468,6846,552,0 25,0,2024-09-07 09:43:41:435,140381,0.4,136773,0.6,268159,0.4,365695,1.75 25,1,2024-09-07 09:43:40:577,965629,965629,0,0,453722825250,4790093024,949059,13050,3520,369,391928,0 25,2,2024-09-07 09:43:41:607,695756,695756,0,0,35279556,0,3978 25,3,2024-09-07 09:43:41:004,1,552,230,0,532,6263,552,0 26,0,2024-09-07 09:43:41:722,140886,0.4,137895,0.6,289358,0.4,376432,2.00 26,1,2024-09-07 09:43:41:547,969912,969912,0,0,454703427138,4759181956,956693,10760,2459,380,391748,0 26,2,2024-09-07 09:43:40:861,697238,697238,0,0,37265938,0,4689 26,3,2024-09-07 09:43:41:712,1,552,18,0,796,6019,552,0 27,0,2024-09-07 09:43:41:732,142183,0.4,142890,0.6,283670,0.4,378687,2.25 27,1,2024-09-07 09:43:41:676,969756,969756,0,0,456281978966,4752232000,960635,7852,1269,381,391626,0 27,2,2024-09-07 09:43:40:866,694350,694285,65,0,32095959,0,5699 27,3,2024-09-07 09:43:41:015,1,552,1,0,564,4798,552,0 28,0,2024-09-07 09:43:41:391,135951,0.4,135671,0.6,271823,0.3,362266,1.75 28,1,2024-09-07 09:43:40:798,971169,971169,0,0,455674300053,4747323684,963388,6067,1714,382,391698,0 28,2,2024-09-07 09:43:41:766,699707,699707,0,0,28140614,0,2915 28,3,2024-09-07 09:43:41:778,1,552,1,0,502,5408,552,0 29,0,2024-09-07 09:43:41:354,139467,0.3,135754,0.6,266474,0.3,363696,1.75 29,1,2024-09-07 09:43:41:563,973791,973791,0,0,457467847644,4728613694,968228,4800,763,367,391809,0 29,2,2024-09-07 09:43:40:860,698364,698364,0,0,27513124,0,4986 29,3,2024-09-07 09:43:40:964,1,552,56,0,459,6061,552,0 30,0,2024-09-07 09:43:41:466,139495,0.4,135936,0.6,284703,0.4,372143,2.00 30,1,2024-09-07 09:43:40:574,972367,972367,0,0,456493995751,4734401329,965384,6081,902,380,391672,0 30,2,2024-09-07 09:43:41:273,699502,699502,0,0,26520998,0,4192 30,3,2024-09-07 09:43:40:580,1,552,8,0,519,5270,552,0 31,0,2024-09-07 09:43:41:766,140974,0.4,141553,0.6,282229,0.4,377166,2.00 31,1,2024-09-07 09:43:40:564,975235,975235,0,0,458009409450,4700323004,969734,4477,1024,356,391712,0 31,2,2024-09-07 09:43:41:278,694594,694594,0,0,28868786,0,4470 31,3,2024-09-07 09:43:41:706,1,552,1,0,239,4779,552,0 32,0,2024-09-07 09:43:41:423,138132,0.3,138927,0.5,276768,0.3,368382,1.75 32,1,2024-09-07 09:43:40:807,971908,971908,0,0,456746050888,4745114526,966091,5108,709,381,391646,0 32,2,2024-09-07 09:43:40:935,701103,701103,0,0,25719416,0,3922 32,3,2024-09-07 09:43:41:015,1,552,1,0,304,4457,552,0 33,0,2024-09-07 09:43:41:521,134465,0.3,133988,0.4,268190,0.2,357413,1.50 33,1,2024-09-07 09:43:40:575,972149,972149,0,0,457131775287,4732716386,964752,6223,1174,368,391730,0 33,2,2024-09-07 09:43:40:759,701386,701351,35,0,28495596,0,7012 33,3,2024-09-07 09:43:40:903,1,552,0,0,329,4982,552,0 34,0,2024-09-07 09:43:40:937,138914,0.3,142611,0.4,272766,0.2,368432,1.75 34,1,2024-09-07 09:43:41:044,974492,974492,0,0,458105714928,4709330106,971976,2494,22,367,391637,0 34,2,2024-09-07 09:43:40:768,700428,700428,0,0,26606307,0,4562 34,3,2024-09-07 09:43:41:695,1,552,8,0,320,4464,552,0 35,0,2024-09-07 09:43:40:858,139804,0.3,140545,0.5,282302,0.2,374658,1.75 35,1,2024-09-07 09:43:41:072,970921,970921,0,0,456772206760,4738069494,963724,5713,1484,382,391769,0 35,2,2024-09-07 09:43:41:583,694830,694830,0,0,29102799,0,4055 35,3,2024-09-07 09:43:40:911,1,552,1,0,466,5443,552,0 36,0,2024-09-07 09:43:41:519,140687,0.5,140491,0.7,280824,0.5,373741,2.00 36,1,2024-09-07 09:43:40:586,969945,969945,0,0,454320197927,4746186902,957049,10666,2230,366,391759,0 36,2,2024-09-07 09:43:41:750,700148,700148,0,0,31250357,0,3875 36,3,2024-09-07 09:43:40:870,1,552,2,0,416,7419,552,0 37,0,2024-09-07 09:43:41:369,132890,0.5,132843,0.7,265954,0.5,354233,2.25 37,1,2024-09-07 09:43:40:572,969111,969104,0,7,454997165235,4750024676,957564,8825,2715,365,391770,0 37,2,2024-09-07 09:43:41:145,697407,697392,15,0,31701090,0,5815 37,3,2024-09-07 09:43:41:773,1,552,1,0,888,7481,552,0 38,0,2024-09-07 09:43:41:452,136207,0.4,132204,0.6,276451,0.3,362339,2.00 38,1,2024-09-07 09:43:41:607,970070,970070,0,0,455593076196,4761426194,956382,11116,2572,368,391821,0 38,2,2024-09-07 09:43:40:760,697392,697345,47,0,31594738,0,6710 38,3,2024-09-07 09:43:41:003,1,552,9,0,689,6846,552,0 39,0,2024-09-07 09:43:41:764,144607,0.5,141371,0.7,275666,0.5,376298,2.00 39,1,2024-09-07 09:43:40:716,968285,968285,0,0,454909897262,4771618179,951688,12901,3696,365,391865,0 39,2,2024-09-07 09:43:41:428,696889,696889,0,0,29013415,0,3391 39,3,2024-09-07 09:43:40:713,1,552,1,0,525,6511,552,0 40,0,2024-09-07 09:43:41:489,140384,0.9,141033,1.0,281398,1.0,375328,2.75 40,1,2024-09-07 09:43:40:576,969774,969774,0,0,454220555945,4755173288,956023,11056,2695,368,391668,0 40,2,2024-09-07 09:43:41:310,696943,696942,1,0,34614992,0,5137 40,3,2024-09-07 09:43:41:146,1,552,43,0,1028,8076,552,0 41,0,2024-09-07 09:43:41:022,134565,1.2,137554,1.1,262352,1.7,356960,3.00 41,1,2024-09-07 09:43:40:769,968710,968710,0,0,453964424119,4749389223,956219,10426,2065,369,391878,0 41,2,2024-09-07 09:43:40:759,696288,696287,1,0,33513689,0,5408 41,3,2024-09-07 09:43:41:676,1,552,1,0,366,6002,552,0 42,0,2024-09-07 09:43:41:495,135393,0.9,135165,1.0,270186,1.0,358663,2.75 42,1,2024-09-07 09:43:41:451,965984,965984,0,0,454310899969,4775052915,950570,12504,2910,380,391675,0 42,2,2024-09-07 09:43:41:133,696907,696907,0,0,33413776,0,3975 42,3,2024-09-07 09:43:41:009,1,552,13,0,892,5042,552,0 43,0,2024-09-07 09:43:40:919,140032,0.7,136264,0.9,285767,0.7,373882,2.25 43,1,2024-09-07 09:43:40:576,969121,969121,0,0,455156483097,4753825183,955856,10908,2357,366,391696,0 43,2,2024-09-07 09:43:41:737,698685,698685,0,0,32259007,0,4723 43,3,2024-09-07 09:43:41:749,1,552,11,0,571,7973,552,0 44,0,2024-09-07 09:43:40:857,142366,0.4,142238,0.6,285097,0.4,378974,1.75 44,1,2024-09-07 09:43:40:563,972146,972146,0,0,456424778337,4713617756,964312,6247,1587,356,391809,0 44,2,2024-09-07 09:43:41:273,694432,694432,0,0,26076156,0,4344 44,3,2024-09-07 09:43:41:095,1,552,28,0,817,6042,552,0 45,0,2024-09-07 09:43:41:763,134755,0.5,131631,0.7,276283,0.5,362493,2.00 45,1,2024-09-07 09:43:41:076,971207,971207,0,0,456358219960,4740539143,963678,6653,876,382,391917,0 45,2,2024-09-07 09:43:41:275,700233,700233,0,0,26692163,0,3596 45,3,2024-09-07 09:43:40:940,1,552,1,0,365,5038,552,0 46,0,2024-09-07 09:43:40:974,133948,0.4,133481,0.7,267571,0.4,355399,2.00 46,1,2024-09-07 09:43:40:576,972698,972698,0,0,457558008584,4725673540,966247,5587,864,366,391709,0 46,2,2024-09-07 09:43:40:593,700383,700383,0,0,27061138,0,4443 46,3,2024-09-07 09:43:41:137,1,552,9,0,908,6841,552,0 47,0,2024-09-07 09:43:41:105,139872,0.4,139870,0.5,280801,0.3,372377,1.75 47,1,2024-09-07 09:43:40:567,974245,974245,0,0,457122269754,4719198635,968939,4466,840,365,391641,0 47,2,2024-09-07 09:43:40:912,702091,702091,0,0,26595957,0,4477 47,3,2024-09-07 09:43:41:115,1,552,3,0,600,6074,552,0 48,0,2024-09-07 09:43:41:494,140721,0.3,140916,0.4,281228,0.2,374041,1.50 48,1,2024-09-07 09:43:41:024,971202,971202,0,0,456058834596,4736369146,965266,5360,576,384,391710,0 48,2,2024-09-07 09:43:40:701,695137,695137,0,0,24590987,0,3524 48,3,2024-09-07 09:43:40:753,1,552,1,0,339,4241,552,0 49,0,2024-09-07 09:43:41:733,142574,0.3,139835,0.5,271932,0.3,371182,1.75 49,1,2024-09-07 09:43:41:024,970725,970725,0,0,456087431318,4738421552,965106,4407,1212,382,391809,0 49,2,2024-09-07 09:43:41:799,701363,701363,0,0,27187895,0,4426 49,3,2024-09-07 09:43:41:419,1,552,2,0,992,6379,552,0 50,0,2024-09-07 09:43:41:516,133821,0.3,132070,0.4,266111,0.2,353988,1.75 50,1,2024-09-07 09:43:41:010,973965,973965,0,0,457374032138,4726331224,967941,5356,668,368,391565,0 50,2,2024-09-07 09:43:41:072,699546,699546,0,0,24884632,0,4490 50,3,2024-09-07 09:43:41:291,1,552,8,0,617,5591,552,0 51,0,2024-09-07 09:43:41:688,141464,0.3,138453,0.4,269480,0.2,368028,1.50 51,1,2024-09-07 09:43:41:684,974932,974932,0,0,458234724683,4723242810,969877,3975,1080,365,391706,0 51,2,2024-09-07 09:43:41:317,699084,699084,0,0,24392881,0,3337 51,3,2024-09-07 09:43:41:047,1,552,1,0,678,3842,552,0 52,0,2024-09-07 09:43:41:427,141663,0.5,141242,0.7,282813,0.5,375651,2.00 52,1,2024-09-07 09:43:40:577,969214,969214,0,0,455368241497,4766664878,955198,11806,2210,368,391805,0 52,2,2024-09-07 09:43:41:765,691827,691789,38,0,32673415,0,6742 52,3,2024-09-07 09:43:40:680,1,552,1,0,1782,6943,552,0 53,0,2024-09-07 09:43:41:735,140124,0.7,135963,0.8,284339,0.7,372808,2.50 53,1,2024-09-07 09:43:40:772,967765,967765,0,0,454943245789,4773380955,951431,12301,4033,367,391968,0 53,2,2024-09-07 09:43:41:297,699732,699731,1,0,29650029,0,5455 53,3,2024-09-07 09:43:40:700,1,552,1,0,308,5223,552,0 54,0,2024-09-07 09:43:41:626,132896,0.6,133263,0.8,265362,0.4,354176,2.25 54,1,2024-09-07 09:43:40:580,970071,970071,0,0,455599342336,4739333293,959464,8945,1662,366,391810,0 54,2,2024-09-07 09:43:40:868,699827,699795,32,0,33609614,0,6397 54,3,2024-09-07 09:43:40:771,1,552,8,0,676,7468,552,0 55,0,2024-09-07 09:43:41:779,131888,0.6,135978,0.8,275848,0.5,360010,2.50 55,1,2024-09-07 09:43:40:769,971277,971277,0,0,455146315950,4735046520,960933,8959,1385,365,391731,0 55,2,2024-09-07 09:43:40:729,695955,695899,56,0,31527505,0,7239 55,3,2024-09-07 09:43:40:685,1,552,7,0,304,5106,552,0 56,0,2024-09-07 09:43:41:604,144474,1.2,136240,1.1,280618,1.5,375852,2.75 56,1,2024-09-07 09:43:40:585,965330,965330,0,0,453876955441,4797284683,948950,12924,3456,381,391867,0 56,2,2024-09-07 09:43:41:303,696894,696772,122,0,32871137,0,7432 56,3,2024-09-07 09:43:41:059,1,552,9,0,705,6431,552,0 57,0,2024-09-07 09:43:40:970,140251,1.5,140247,1.2,280666,2.1,375289,3.25 57,1,2024-09-07 09:43:40:990,967371,967371,0,0,454095164461,4764964230,954512,10530,2329,366,392032,0 57,2,2024-09-07 09:43:41:324,697039,697039,0,0,33814192,0,4317 57,3,2024-09-07 09:43:41:742,1,552,40,0,455,6425,552,0 58,0,2024-09-07 09:43:40:569,133853,0.9,129894,1.0,271703,1.1,355743,2.50 58,1,2024-09-07 09:43:40:577,968640,968637,0,3,455139434650,4768148623,954392,10923,3322,367,391675,3 58,2,2024-09-07 09:43:41:072,698947,698947,0,0,32006749,0,3483 58,3,2024-09-07 09:43:41:072,1,552,12,0,1043,6124,552,0 59,0,2024-09-07 09:43:41:740,135080,0.8,135011,0.9,269243,0.8,357490,2.75 59,1,2024-09-07 09:43:40:805,967424,967424,0,0,455207541173,4781879479,951297,12796,3331,369,391653,0 59,2,2024-09-07 09:43:40:585,698389,698389,0,0,30745243,0,3727 59,3,2024-09-07 09:43:41:744,1,552,0,0,1015,6788,552,0 60,0,2024-09-07 09:43:41:713,139976,0.4,140429,0.6,280551,0.4,373224,1.75 60,1,2024-09-07 09:43:40:772,972535,972535,0,0,456981680082,4741579436,966112,5484,939,370,392031,0 60,2,2024-09-07 09:43:41:181,699567,699567,0,0,28672321,0,3811 60,3,2024-09-07 09:43:41:263,1,552,3,0,409,6444,552,0 61,0,2024-09-07 09:43:41:537,141017,0.7,141662,0.8,282014,0.7,376692,2.00 61,1,2024-09-07 09:43:40:780,968978,968978,0,0,455215640010,4763623446,958958,8438,1582,382,392127,0 61,2,2024-09-07 09:43:41:117,695021,694954,67,0,29449976,0,6411 61,3,2024-09-07 09:43:41:697,1,552,0,0,607,7623,552,0 62,0,2024-09-07 09:43:41:706,138439,0.6,142053,0.8,271208,0.6,368192,2.00 62,1,2024-09-07 09:43:41:111,974676,974670,0,6,458062613772,4719233555,970325,4063,282,365,391975,6 62,2,2024-09-07 09:43:41:644,698325,698324,1,0,29072778,0,5555 62,3,2024-09-07 09:43:41:149,1,552,8,0,482,4416,552,0 63,0,2024-09-07 09:43:41:451,134383,0.4,134141,0.6,269151,0.3,357604,1.75 63,1,2024-09-07 09:43:40:805,971625,971619,0,6,456817714624,4740750210,965432,5347,840,381,391800,6 63,2,2024-09-07 09:43:40:769,700829,700829,0,0,27876165,0,4369 63,3,2024-09-07 09:43:41:734,1,552,1,0,667,5974,552,0 64,0,2024-09-07 09:43:41:520,138182,0.4,138258,0.6,275725,0.4,367584,1.75 64,1,2024-09-07 09:43:40:760,970681,970681,0,0,455487478901,4740984162,962448,6421,1812,370,391794,0 64,2,2024-09-07 09:43:41:145,703303,703284,19,0,26928235,0,6121 64,3,2024-09-07 09:43:41:152,1,552,5,0,651,5635,552,0 65,0,2024-09-07 09:43:41:677,139521,0.6,139791,0.7,279182,0.6,372006,2.00 65,1,2024-09-07 09:43:40:858,968972,968972,0,0,453982815721,4741880013,962206,5966,800,381,391901,0 65,2,2024-09-07 09:43:41:692,694308,694308,0,0,31399900,0,3367 65,3,2024-09-07 09:43:41:685,1,552,6,0,782,6402,552,0 66,0,2024-09-07 09:43:41:766,139856,0.6,139308,0.8,278660,0.5,370918,2.25 66,1,2024-09-07 09:43:41:298,971288,971288,0,0,455239322192,4735991458,965069,5497,722,380,391743,0 66,2,2024-09-07 09:43:41:133,702623,702620,3,0,28696889,0,5455 66,3,2024-09-07 09:43:41:078,1,552,8,0,291,4675,552,0 67,0,2024-09-07 09:43:41:426,133322,0.5,132987,0.7,266683,0.5,354404,2.00 67,1,2024-09-07 09:43:40:772,971155,971154,0,1,455335179161,4737226974,964868,5471,815,380,391787,1 67,2,2024-09-07 09:43:40:585,702357,702342,15,0,28558983,0,6205 67,3,2024-09-07 09:43:41:750,1,552,57,0,595,5887,552,0 68,0,2024-09-07 09:43:40:575,137024,0.5,136829,0.7,272463,0.5,364649,2.00 68,1,2024-09-07 09:43:40:573,966687,966687,0,0,453823276554,4772327708,953733,9496,3458,381,391953,0 68,2,2024-09-07 09:43:41:065,693899,693799,100,0,36670106,0,8578 68,3,2024-09-07 09:43:40:734,1,552,0,0,417,7271,552,0 69,0,2024-09-07 09:43:41:760,140856,0.7,141727,0.8,282334,0.7,374903,2.25 69,1,2024-09-07 09:43:41:017,966074,966074,0,0,453105798946,4773926015,953444,9867,2763,383,391994,0 69,2,2024-09-07 09:43:41:737,694468,694439,29,0,37809508,0,6912 69,3,2024-09-07 09:43:40:775,1,552,0,0,698,8229,552,0 70,0,2024-09-07 09:43:41:530,140060,0.8,140520,1.0,282667,0.7,374286,2.50 70,1,2024-09-07 09:43:40:801,971899,971899,0,0,456696633977,4738738563,964315,6821,763,366,391725,0 70,2,2024-09-07 09:43:41:324,698516,698516,0,0,31745785,0,4323 70,3,2024-09-07 09:43:40:754,1,552,10,0,854,6335,552,0 71,0,2024-09-07 09:43:41:360,133906,1.0,133659,1.1,268347,1.4,358485,2.75 71,1,2024-09-07 09:43:41:596,969617,969617,0,0,455161681973,4749940840,957785,10483,1349,368,391738,0 71,2,2024-09-07 09:43:41:072,698486,698486,0,0,32380281,0,4352 71,3,2024-09-07 09:43:41:750,1,552,2,0,644,6468,552,0 72,0,2024-09-07 09:43:41:047,140569,0.5,137320,0.7,268138,0.5,364296,2.00 72,1,2024-09-07 09:43:41:025,968023,968023,0,0,454400995565,4765841095,954134,11495,2394,369,391819,0 72,2,2024-09-07 09:43:41:758,696530,696530,0,0,33616973,0,3983 72,3,2024-09-07 09:43:41:761,1,552,53,0,564,7710,552,0 73,0,2024-09-07 09:43:41:193,137952,0.4,141463,0.6,289492,0.4,377137,2.00 73,1,2024-09-07 09:43:40:769,970074,970074,0,0,455449430685,4733551345,962575,6572,927,367,391858,0 73,2,2024-09-07 09:43:41:741,698186,698186,0,0,34208539,0,4728 73,3,2024-09-07 09:43:40:969,1,552,1,0,486,6825,552,0 74,0,2024-09-07 09:43:41:321,143091,0.5,146473,0.7,279395,0.4,379234,2.00 74,1,2024-09-07 09:43:40:636,968977,968977,0,0,454752400896,4746495574,958372,8679,1926,381,391762,0 74,2,2024-09-07 09:43:41:004,695271,695271,0,0,29580691,0,4253 74,3,2024-09-07 09:43:41:444,1,552,8,0,522,6490,552,0 75,0,2024-09-07 09:43:41:765,136648,0.6,135815,0.8,272561,0.5,364030,2.25 75,1,2024-09-07 09:43:41:591,970472,970472,0,0,455689072828,4748587297,962497,7110,865,380,391739,0 75,2,2024-09-07 09:43:41:350,697880,697880,0,0,35944853,0,4766 75,3,2024-09-07 09:43:41:071,1,552,0,0,702,6472,552,0 76,0,2024-09-07 09:43:40:659,133805,0.5,133273,0.7,266872,0.5,357118,2.25 76,1,2024-09-07 09:43:40:806,969939,969939,0,0,454681876605,4740208630,963277,5694,968,382,391723,0 76,2,2024-09-07 09:43:41:071,702215,702212,3,0,29662303,0,5265 76,3,2024-09-07 09:43:41:146,1,552,50,0,227,5028,552,0 77,0,2024-09-07 09:43:41:712,139166,0.5,139739,0.7,279437,0.4,371311,2.00 77,1,2024-09-07 09:43:40:832,970022,970022,0,0,456036650537,4753878655,963128,6297,597,381,391869,0 77,2,2024-09-07 09:43:41:292,698958,698958,0,0,29229342,0,3890 77,3,2024-09-07 09:43:41:102,1,552,10,0,401,5926,552,0 78,0,2024-09-07 09:43:41:721,140892,0.4,140346,0.6,281460,0.4,372736,2.00 78,1,2024-09-07 09:43:40:609,970119,970119,0,0,455023837849,4742422245,959102,8490,2527,367,391670,0 78,2,2024-09-07 09:43:41:419,696399,696386,13,0,26976653,0,8313 78,3,2024-09-07 09:43:41:133,1,552,15,0,311,4711,552,0 79,0,2024-09-07 09:43:41:353,134020,0.4,137262,0.6,281365,0.3,365821,2.00 79,1,2024-09-07 09:43:40:574,973028,973028,0,0,456961078209,4731252458,965283,6388,1357,367,391682,0 79,2,2024-09-07 09:43:41:073,700625,700625,0,0,26085567,0,4195 79,3,2024-09-07 09:43:40:750,1,552,2,0,418,6398,552,0 80,0,2024-09-07 09:43:41:131,132893,0.5,136697,0.6,261242,0.5,353574,2.00 80,1,2024-09-07 09:43:41:644,969998,969998,0,0,456557103320,4748956684,964128,5441,429,368,392269,0 80,2,2024-09-07 09:43:41:102,702451,702451,0,0,26705630,0,4433 80,3,2024-09-07 09:43:40:575,1,552,9,0,681,7053,552,0 81,0,2024-09-07 09:43:41:538,138074,0.5,141303,0.7,269396,0.5,366239,2.00 81,1,2024-09-07 09:43:41:653,968779,968779,0,0,454655193816,4749571362,961355,6782,642,382,391885,0 81,2,2024-09-07 09:43:41:125,697410,697347,63,0,30112309,0,5932 81,3,2024-09-07 09:43:41:121,1,552,5,0,719,6286,552,0 82,0,2024-09-07 09:43:41:530,140995,0.4,141133,0.7,282938,0.4,374764,2.00 82,1,2024-09-07 09:43:40:585,971769,971765,0,4,456146665227,4739085560,966659,4285,821,381,391768,4 82,2,2024-09-07 09:43:41:696,697462,697462,0,0,24713811,0,4484 82,3,2024-09-07 09:43:41:752,1,552,8,0,363,5151,552,0 83,0,2024-09-07 09:43:41:533,140774,0.6,140773,0.7,280939,0.6,372919,2.25 83,1,2024-09-07 09:43:40:554,969903,969903,0,0,454734594289,4737260438,963497,5949,457,382,391709,0 83,2,2024-09-07 09:43:40:769,700045,700020,25,0,26799136,0,5612 83,3,2024-09-07 09:43:40:749,1,552,0,0,1260,6761,552,0 84,0,2024-09-07 09:43:41:792,133659,0.7,133949,0.9,267276,0.6,357365,2.25 84,1,2024-09-07 09:43:41:056,968452,968452,0,0,454289772614,4750946482,957092,9706,1654,367,391967,0 84,2,2024-09-07 09:43:40:592,698950,698920,30,0,36054223,0,5971 84,3,2024-09-07 09:43:41:153,1,552,3,0,908,7584,552,0 85,0,2024-09-07 09:43:41:009,131351,0.6,131334,0.8,278859,0.5,361097,2.25 85,1,2024-09-07 09:43:40:597,965210,965210,0,0,454189013911,4797192883,949918,12546,2746,381,392092,0 85,2,2024-09-07 09:43:40:880,696553,696553,0,0,33541444,0,4255 85,3,2024-09-07 09:43:40:698,1,552,324,0,789,6557,552,0 86,0,2024-09-07 09:43:40:879,141658,0.6,145584,0.8,278336,0.7,376666,2.25 86,1,2024-09-07 09:43:40:829,967587,967587,0,0,455190412244,4774075031,954676,10255,2656,366,391995,0 86,2,2024-09-07 09:43:40:853,696615,696614,1,0,35675977,0,5004 86,3,2024-09-07 09:43:40:586,1,552,8,0,308,7916,552,0 87,0,2024-09-07 09:43:41:317,142088,0.8,141723,0.9,283503,1.0,378886,2.25 87,1,2024-09-07 09:43:40:571,967098,967098,0,0,454835714400,4764152941,954664,10717,1717,366,392076,0 87,2,2024-09-07 09:43:41:068,696269,696263,6,0,31613840,0,6323 87,3,2024-09-07 09:43:41:798,1,552,9,0,473,8311,552,0 88,0,2024-09-07 09:43:41:478,135524,0.4,136070,0.6,271954,0.4,362055,1.75 88,1,2024-09-07 09:43:40:579,966846,966846,0,0,454620828025,4761007470,953729,10469,2648,365,392084,0 88,2,2024-09-07 09:43:40:691,698349,698349,0,0,35200615,0,4465 88,3,2024-09-07 09:43:41:273,1,552,14,0,1080,8482,552,0 89,0,2024-09-07 09:43:41:833,139582,0.4,135341,0.6,267258,0.4,363580,1.75 89,1,2024-09-07 09:43:40:550,966517,966517,0,0,454141105203,4778032294,954168,10416,1933,382,391866,0 89,2,2024-09-07 09:43:41:140,697861,697861,0,0,32768340,0,3173 89,3,2024-09-07 09:43:41:812,1,552,15,0,468,9121,552,0 90,0,2024-09-07 09:43:41:626,135981,0.4,139724,0.6,284875,0.4,371740,1.75 90,1,2024-09-07 09:43:40:591,968189,968189,0,0,454904129916,4763236846,958973,8379,837,380,391825,0 90,2,2024-09-07 09:43:41:408,696306,696306,0,0,35174031,0,3608 90,3,2024-09-07 09:43:40:930,1,552,8,0,322,6782,552,0 91,0,2024-09-07 09:43:40:924,141663,0.5,137347,0.6,287307,0.4,377257,1.75 91,1,2024-09-07 09:43:40:556,965629,965629,0,0,454207331436,4780153181,952283,10840,2506,381,392047,0 91,2,2024-09-07 09:43:41:337,695360,695360,0,0,30555974,0,4713 91,3,2024-09-07 09:43:40:599,1,552,15,0,216,5127,552,0 92,0,2024-09-07 09:43:41:672,139555,0.5,142644,0.6,272124,0.5,368450,1.75 92,1,2024-09-07 09:43:40:591,969599,969599,0,0,455291991028,4753680540,962034,6356,1209,381,392136,0 92,2,2024-09-07 09:43:41:387,699935,699935,0,0,27446392,0,3259 92,3,2024-09-07 09:43:41:010,1,552,1,0,167,4731,552,0 93,0,2024-09-07 09:43:41:023,134679,0.4,138017,0.6,263583,0.3,357521,1.75 93,1,2024-09-07 09:43:40:814,969758,969758,0,0,455526018529,4752630473,959342,8681,1735,366,391776,0 93,2,2024-09-07 09:43:40:939,700181,700181,0,0,33489818,0,4913 93,3,2024-09-07 09:43:41:480,1,552,9,0,190,4863,552,0 94,0,2024-09-07 09:43:41:610,137957,0.3,138956,0.5,277716,0.3,369103,1.75 94,1,2024-09-07 09:43:40:563,970232,970232,0,0,455448533002,4748242834,963832,5976,424,381,391850,0 94,2,2024-09-07 09:43:40:759,699029,699001,28,0,28455068,0,6179 94,3,2024-09-07 09:43:41:696,1,552,8,0,576,6845,552,0 95,0,2024-09-07 09:43:41:371,140590,0.3,140644,0.5,281419,0.3,374664,1.75 95,1,2024-09-07 09:43:40:851,971681,971681,0,0,456072048585,4737200397,964161,6839,681,365,391852,0 95,2,2024-09-07 09:43:41:015,694214,694214,0,0,27165502,0,3308 95,3,2024-09-07 09:43:41:710,1,552,17,0,718,8301,552,0 96,0,2024-09-07 09:43:41:044,140260,0.4,140513,0.5,280910,0.3,373147,1.75 96,1,2024-09-07 09:43:41:585,969218,969218,0,0,454786764798,4740388564,962776,5527,915,384,391964,0 96,2,2024-09-07 09:43:41:276,700774,700774,0,0,28182497,0,4180 96,3,2024-09-07 09:43:41:144,1,552,3,0,411,5792,552,0 97,0,2024-09-07 09:43:41:398,133288,0.3,133228,0.5,267542,0.3,354550,1.50 97,1,2024-09-07 09:43:40:947,971725,971725,0,0,456398227291,4730925780,965915,4863,947,367,392140,0 97,2,2024-09-07 09:43:40:609,701510,701510,0,0,27741113,0,3679 97,3,2024-09-07 09:43:40:575,1,552,2,0,242,6204,552,0 98,0,2024-09-07 09:43:41:719,136459,0.3,136678,0.4,274140,0.2,364570,1.50 98,1,2024-09-07 09:43:40:574,970584,970584,0,0,455501642980,4740372333,965095,4686,803,381,391997,0 98,2,2024-09-07 09:43:40:771,698644,698644,0,0,27311438,0,4336 98,3,2024-09-07 09:43:40:711,1,552,10,0,840,8470,552,0 99,0,2024-09-07 09:43:41:449,141722,0.3,142328,0.5,283262,0.3,377789,1.75 99,1,2024-09-07 09:43:41:729,971094,971094,0,0,455022961521,4733859611,965060,5105,929,380,392069,0 99,2,2024-09-07 09:43:41:421,697227,697227,0,0,32883033,0,4276 99,3,2024-09-07 09:43:40:584,1,552,7,0,606,5398,552,0 100,0,2024-09-07 09:43:41:487,141354,0.8,141638,0.9,282836,0.9,377821,2.50 100,1,2024-09-07 09:43:40:548,965352,965352,0,0,453137515393,4791041715,950242,11829,3281,378,391989,0 100,2,2024-09-07 09:43:41:820,696319,696308,11,0,32740883,0,5417 100,3,2024-09-07 09:43:41:739,1,552,13,0,627,9758,552,0 101,0,2024-09-07 09:43:41:702,137990,1.1,134576,1.1,263563,1.1,360566,2.25 101,1,2024-09-07 09:43:40:578,966936,966936,0,0,453720729647,4767150122,953999,10487,2450,368,391847,0 101,2,2024-09-07 09:43:41:759,694975,694975,0,0,37642246,0,4871 101,3,2024-09-07 09:43:40:945,1,552,12,0,1250,8611,552,0 102,0,2024-09-07 09:43:40:940,132348,0.6,136445,0.8,276672,0.6,362163,2.00 102,1,2024-09-07 09:43:41:161,966795,966795,0,0,453772043001,4766780242,953641,11003,2151,369,391891,0 102,2,2024-09-07 09:43:41:736,698139,698085,54,0,31842185,0,6768 102,3,2024-09-07 09:43:41:616,1,552,13,0,466,6365,552,0 103,0,2024-09-07 09:43:41:619,146176,0.6,146250,0.7,275538,0.6,379752,2.00 103,1,2024-09-07 09:43:41:635,965536,965536,0,0,453739744475,4792598719,949803,12146,3587,381,392077,0 103,2,2024-09-07 09:43:40:586,696678,696678,0,0,33924737,0,3766 103,3,2024-09-07 09:43:40:757,1,552,2,0,916,6900,552,0 104,0,2024-09-07 09:43:41:013,141025,0.8,141215,0.9,281689,0.7,377173,2.25 104,1,2024-09-07 09:43:41:606,968383,968383,0,0,454336711100,4771830646,954534,11261,2588,365,392168,0 104,2,2024-09-07 09:43:41:667,693356,693356,0,0,32468695,0,3941 104,3,2024-09-07 09:43:41:425,1,552,9,0,1245,10130,552,0 105,0,2024-09-07 09:43:41:027,135020,0.9,131581,1.1,275753,1.0,362064,2.75 105,1,2024-09-07 09:43:40:554,969413,969413,0,0,455532096886,4771068482,957292,10315,1806,364,392009,0 105,2,2024-09-07 09:43:41:353,697986,697986,0,0,33390724,0,4360 105,3,2024-09-07 09:43:41:312,1,552,12,0,467,8067,552,0 106,0,2024-09-07 09:43:40:934,129861,0.7,133026,0.9,272519,0.7,355738,2.50 106,1,2024-09-07 09:43:41:750,968451,968451,0,0,454778327723,4770719103,955216,11584,1651,368,391914,0 106,2,2024-09-07 09:43:40:756,698392,698392,0,0,31342962,0,2920 106,3,2024-09-07 09:43:40:681,1,552,8,0,1224,8119,552,0 107,0,2024-09-07 09:43:41:140,139446,0.8,139424,0.9,279097,1.0,372093,2.25 107,1,2024-09-07 09:43:40:588,966373,966373,0,0,453748432130,4785958807,952355,12448,1570,381,392234,0 107,2,2024-09-07 09:43:41:291,696406,696405,1,0,34076208,0,5024 107,3,2024-09-07 09:43:41:763,1,552,306,0,733,8517,552,0 108,0,2024-09-07 09:43:41:764,140294,0.4,140865,0.6,280634,0.4,374266,1.75 108,1,2024-09-07 09:43:41:296,969102,969102,0,0,455192110545,4745898735,961005,7121,976,367,391894,0 108,2,2024-09-07 09:43:41:755,693742,693742,0,0,29796499,0,4246 108,3,2024-09-07 09:43:41:343,1,552,20,0,749,10539,552,0 109,0,2024-09-07 09:43:41:753,139547,0.4,138340,0.6,277386,0.4,370194,1.75 109,1,2024-09-07 09:43:40:586,966922,966922,0,0,455178598135,4771778673,958587,7118,1217,382,392132,0 109,2,2024-09-07 09:43:40:928,698222,698222,0,0,30174368,0,3617 109,3,2024-09-07 09:43:41:144,1,552,0,0,630,6955,552,0 110,0,2024-09-07 09:43:41:777,133043,0.4,129385,0.6,270953,0.3,354981,1.75 110,1,2024-09-07 09:43:41:665,971046,971046,0,0,456335062678,4736823197,963378,5744,1924,368,392045,0 110,2,2024-09-07 09:43:41:304,700919,700919,0,0,28441753,0,4067 110,3,2024-09-07 09:43:40:695,1,552,25,0,722,7654,552,0 111,0,2024-09-07 09:43:41:415,138355,0.3,137475,0.5,275330,0.3,367672,1.75 111,1,2024-09-07 09:43:41:007,972333,972333,0,0,457521844040,4740703494,967767,4209,357,380,391690,0 111,2,2024-09-07 09:43:41:121,698479,698479,0,0,28862022,0,4823 111,3,2024-09-07 09:43:40:926,1,552,1,0,379,6105,552,0 112,0,2024-09-07 09:43:40:920,141941,0.3,141419,0.4,283526,0.2,375988,1.50 112,1,2024-09-07 09:43:40:827,970839,970839,0,0,455686041416,4730777576,964317,5437,1085,380,391624,0 112,2,2024-09-07 09:43:41:133,695631,695630,1,0,27183144,0,5036 112,3,2024-09-07 09:43:40:596,1,552,0,0,282,5227,552,0 113,0,2024-09-07 09:43:40:869,140978,0.3,140908,0.5,282110,0.2,375265,1.75 113,1,2024-09-07 09:43:41:697,973449,973449,0,0,457602712716,4720179354,967872,4702,875,365,391664,0 113,2,2024-09-07 09:43:41:309,702794,702794,0,0,25008164,0,3813 113,3,2024-09-07 09:43:40:684,1,552,4,0,510,6154,552,0 114,0,2024-09-07 09:43:40:886,135127,0.3,136075,0.5,270340,0.2,361387,1.75 114,1,2024-09-07 09:43:40:716,971322,971322,0,0,456588827482,4737984334,964407,5298,1617,381,391565,0 114,2,2024-09-07 09:43:40:872,701096,701095,1,0,27230279,0,5069 114,3,2024-09-07 09:43:41:285,1,552,11,0,395,4409,552,0 115,0,2024-09-07 09:43:40:559,137060,0.3,137645,0.4,274138,0.2,365083,1.50 115,1,2024-09-07 09:43:40:576,971582,971582,0,0,456120824659,4736349715,964159,6065,1358,382,391757,0 115,2,2024-09-07 09:43:41:125,700641,700641,0,0,26543414,0,4382 115,3,2024-09-07 09:43:41:004,1,552,0,0,167,3190,552,0 116,0,2024-09-07 09:43:41:802,141339,0.7,141043,0.8,282874,0.6,377877,2.00 116,1,2024-09-07 09:43:40:871,966306,966306,0,0,453947083268,4786792238,954296,8958,3052,380,392089,0 116,2,2024-09-07 09:43:41:763,697040,697040,0,0,34068989,0,4475 116,3,2024-09-07 09:43:40:916,1,552,2,0,448,7180,552,0 117,0,2024-09-07 09:43:40:972,142289,0.7,141647,0.8,283954,0.8,379763,2.00 117,1,2024-09-07 09:43:41:593,967874,967874,0,0,454586618823,4758083866,957240,9172,1462,369,392033,0 117,2,2024-09-07 09:43:41:123,698404,698404,0,0,30395894,0,4303 117,3,2024-09-07 09:43:41:060,1,552,2,0,490,7299,552,0 118,0,2024-09-07 09:43:41:767,131545,0.6,135105,0.7,275682,0.5,360516,2.00 118,1,2024-09-07 09:43:40:593,966473,966473,0,0,453757001886,4771937645,951626,11238,3609,366,392054,0 118,2,2024-09-07 09:43:41:594,698108,698108,0,0,32840237,0,2842 118,3,2024-09-07 09:43:41:767,1,552,15,0,248,6126,552,0 119,0,2024-09-07 09:43:41:336,135163,0.6,135794,0.7,271123,0.5,361931,2.00 119,1,2024-09-07 09:43:40:549,967567,967567,0,0,454290907806,4763272732,954299,10934,2334,367,391857,0 119,2,2024-09-07 09:43:41:270,699184,699184,0,0,30857146,0,4309 119,3,2024-09-07 09:43:41:324,1,552,2,0,1358,10735,552,0 120,0,2024-09-07 09:43:41:591,139430,0.6,139314,0.8,279703,0.6,372650,2.25 120,1,2024-09-07 09:43:40:858,969119,969119,0,0,454565887219,4762239074,959519,8819,781,367,392144,0 120,2,2024-09-07 09:43:40:783,697920,697919,1,0,35965628,0,5281 120,3,2024-09-07 09:43:41:297,1,552,1,0,279,7015,552,0 121,0,2024-09-07 09:43:41:707,141343,1.2,140726,1.1,281912,1.6,376235,2.25 121,1,2024-09-07 09:43:41:655,968697,968697,0,0,454809834488,4755115269,958966,8881,850,366,391840,0 121,2,2024-09-07 09:43:41:125,693795,693795,0,0,32439087,0,4157 121,3,2024-09-07 09:43:40:753,1,552,24,0,330,6551,552,0 122,0,2024-09-07 09:43:41:760,137437,0.8,133711,0.9,280123,1.0,368432,2.25 122,1,2024-09-07 09:43:40:860,967340,967340,0,0,454658230132,4772546853,953558,11451,2331,366,392130,0 122,2,2024-09-07 09:43:41:324,699681,699606,75,0,36865579,0,5989 122,3,2024-09-07 09:43:40:594,1,552,8,0,512,9226,552,0 123,0,2024-09-07 09:43:40:972,133527,0.8,129790,0.8,271755,0.9,356393,2.25 123,1,2024-09-07 09:43:40:565,967844,967844,0,0,454519904533,4780202174,951996,13194,2654,369,392039,0 123,2,2024-09-07 09:43:41:019,697816,697815,1,0,31594869,0,5215 123,3,2024-09-07 09:43:41:137,1,552,3,0,478,6407,552,0 124,0,2024-09-07 09:43:40:921,142558,0.3,142532,0.5,268738,0.3,370430,1.75 124,1,2024-09-07 09:43:41:026,970945,970945,0,0,455807508848,4735720293,963933,5878,1134,365,392178,0 124,2,2024-09-07 09:43:41:010,700474,700421,53,0,28227062,0,6487 124,3,2024-09-07 09:43:40:758,1,552,6,0,490,5687,552,0 125,0,2024-09-07 09:43:41:453,140393,0.4,140276,0.5,281618,0.3,374617,1.75 125,1,2024-09-07 09:43:40:857,968943,968943,0,0,454465872844,4740452902,961916,6042,985,382,391813,0 125,2,2024-09-07 09:43:41:139,697207,697207,0,0,27947810,0,4534 125,3,2024-09-07 09:43:41:139,1,552,4,0,709,6478,552,0 126,0,2024-09-07 09:43:41:447,140443,0.4,144386,0.6,276305,0.4,373736,1.75 126,1,2024-09-07 09:43:40:567,972388,972388,0,0,455673458875,4714461992,967658,4418,312,365,391987,0 126,2,2024-09-07 09:43:40:624,701196,701196,0,0,29227815,0,4539 126,3,2024-09-07 09:43:40:913,1,552,23,0,268,6079,552,0 127,0,2024-09-07 09:43:41:594,133542,0.3,133912,0.5,267259,0.3,354824,1.75 127,1,2024-09-07 09:43:40:573,970348,970348,0,0,455711749045,4738800925,960945,7896,1507,364,392187,0 127,2,2024-09-07 09:43:40:639,699620,699616,4,0,28003535,0,5305 127,3,2024-09-07 09:43:41:273,1,552,11,0,968,5481,552,0 128,0,2024-09-07 09:43:41:619,137402,0.3,137418,0.4,274560,0.2,365222,1.50 128,1,2024-09-07 09:43:41:606,970217,970217,0,0,456257369072,4740394229,963065,6290,862,367,392031,0 128,2,2024-09-07 09:43:41:398,699675,699675,0,0,26078226,0,3171 128,3,2024-09-07 09:43:40:768,1,552,0,0,1082,8740,552,0 129,0,2024-09-07 09:43:40:990,142714,0.3,142105,0.5,284776,0.3,378126,1.50 129,1,2024-09-07 09:43:40:567,967010,967010,0,0,454862738098,4764580366,957609,7399,2002,379,391962,0 129,2,2024-09-07 09:43:40:685,697750,697746,4,0,28274826,0,5335 129,3,2024-09-07 09:43:40:689,1,552,7,0,506,7743,552,0 130,0,2024-09-07 09:43:41:714,142617,0.4,142031,0.6,284874,0.4,379189,1.75 130,1,2024-09-07 09:43:40:585,971088,971088,0,0,455695786539,4731653703,966191,4508,389,381,391825,0 130,2,2024-09-07 09:43:41:126,699418,699418,0,0,28104208,0,4067 130,3,2024-09-07 09:43:41:299,1,552,14,0,960,7559,552,0 131,0,2024-09-07 09:43:41:945,135266,0.4,135834,0.5,271981,0.3,361499,1.75 131,1,2024-09-07 09:43:41:820,970298,970298,0,0,455904636974,4752092352,963395,5711,1192,381,391865,0 131,2,2024-09-07 09:43:40:572,700046,700046,0,0,26320201,0,3979 131,3,2024-09-07 09:43:41:687,1,552,2,0,392,6809,552,0 132,0,2024-09-07 09:43:41:447,136243,0.5,137084,0.6,272892,0.4,363228,2.00 132,1,2024-09-07 09:43:40:576,966336,966336,0,0,453800273936,4774672675,952729,11082,2525,381,392532,0 132,2,2024-09-07 09:43:40:701,698108,698091,17,0,34743452,0,6451 132,3,2024-09-07 09:43:41:688,1,552,1,0,804,8942,552,0 133,0,2024-09-07 09:43:41:536,138160,0.4,141330,0.6,289819,0.4,377915,2.00 133,1,2024-09-07 09:43:40:585,966312,966312,0,0,454593810793,4789480828,953213,11393,1706,383,391914,0 133,2,2024-09-07 09:43:41:097,699136,699086,50,0,35145900,0,6861 133,3,2024-09-07 09:43:41:302,1,552,0,0,528,6894,552,0 134,0,2024-09-07 09:43:40:948,142370,0.5,142354,0.7,285018,0.5,379379,2.25 134,1,2024-09-07 09:43:40:586,967997,967997,0,0,454002417877,4757744844,956188,9661,2148,366,391781,0 134,2,2024-09-07 09:43:41:760,695185,695161,24,0,32763559,0,6207 134,3,2024-09-07 09:43:40:751,1,552,9,0,739,6520,552,0 135,0,2024-09-07 09:43:41:137,132206,0.9,132230,0.9,280678,1.1,361620,2.25 135,1,2024-09-07 09:43:41:588,967460,967460,0,0,454319172045,4775631141,955434,10455,1571,380,391805,0 135,2,2024-09-07 09:43:40:700,699564,699564,0,0,33354042,0,4503 135,3,2024-09-07 09:43:41:004,1,552,1,0,900,5479,552,0 136,0,2024-09-07 09:43:41:620,134912,0.5,135183,0.7,269146,0.5,358839,2.00 136,1,2024-09-07 09:43:41:451,968246,968246,0,0,454327151684,4762024598,957212,9668,1366,381,392135,0 136,2,2024-09-07 09:43:41:138,700446,700431,15,0,33138896,0,6007 136,3,2024-09-07 09:43:41:106,1,552,1,0,637,6679,552,0 137,0,2024-09-07 09:43:40:936,143978,0.5,140379,0.7,275004,0.5,373661,2.00 137,1,2024-09-07 09:43:40:574,966423,966423,0,0,455434250836,4775122400,951444,11930,3049,366,391898,0 137,2,2024-09-07 09:43:41:715,697312,697312,0,0,34104290,0,3185 137,3,2024-09-07 09:43:40:782,1,552,42,0,484,7247,552,0 138,0,2024-09-07 09:43:41:764,139878,0.8,140086,0.9,280771,0.9,372764,2.00 138,1,2024-09-07 09:43:41:690,967746,967746,0,0,455350821227,4773337014,954566,11207,1973,368,391954,0 138,2,2024-09-07 09:43:40:587,693847,693847,0,0,31288906,0,4988 138,3,2024-09-07 09:43:40:633,1,552,8,0,1200,8840,552,0 139,0,2024-09-07 09:43:41:411,137782,1.2,138256,1.0,276504,1.7,368566,2.25 139,1,2024-09-07 09:43:40:574,963545,963545,0,0,452664761203,4800495732,946301,13559,3685,380,392109,0 139,2,2024-09-07 09:43:40:694,695594,695594,0,0,35590176,0,3097 139,3,2024-09-07 09:43:41:662,1,552,1,0,432,6332,552,0 140,0,2024-09-07 09:43:41:590,133676,0.3,132814,0.5,266485,0.2,355311,1.75 140,1,2024-09-07 09:43:41:535,973807,973807,0,0,457584427598,4714270075,969221,4048,538,364,391606,0 140,2,2024-09-07 09:43:40:690,701073,701072,1,0,26475864,0,5036 140,3,2024-09-07 09:43:40:768,1,552,1,0,297,4937,552,0 141,0,2024-09-07 09:43:41:705,138041,0.3,141758,0.4,270771,0.2,367386,1.50 141,1,2024-09-07 09:43:40:859,971755,971755,0,0,456870950968,4743890419,964306,6316,1133,379,391614,0 141,2,2024-09-07 09:43:41:696,698822,698811,11,0,28094830,0,5369 141,3,2024-09-07 09:43:41:044,1,552,4,0,391,6279,552,0 142,0,2024-09-07 09:43:41:306,142050,0.3,141054,0.5,282659,0.2,376803,1.50 142,1,2024-09-07 09:43:40:584,969830,969830,0,0,456038927868,4750066754,964026,5344,460,382,392102,0 142,2,2024-09-07 09:43:41:300,694589,694557,32,0,28472518,0,6028 142,3,2024-09-07 09:43:41:746,1,552,16,0,484,5753,552,0 143,0,2024-09-07 09:43:41:368,140716,0.4,140767,0.6,282498,0.4,375087,1.75 143,1,2024-09-07 09:43:40:556,972149,972149,0,0,456844170158,4738048654,965909,5677,563,367,391722,0 143,2,2024-09-07 09:43:40:771,700916,700916,0,0,28197488,0,3123 143,3,2024-09-07 09:43:41:151,1,552,80,0,462,6898,552,0 144,0,2024-09-07 09:43:41:500,130728,0.6,134450,0.8,273589,0.6,358162,2.00 144,1,2024-09-07 09:43:40:569,967331,967331,0,0,454172955066,4762569012,957900,7462,1969,381,391733,0 144,2,2024-09-07 09:43:41:754,700266,700266,0,0,27781514,0,4443 144,3,2024-09-07 09:43:41:739,1,552,1,0,249,5343,552,0 145,0,2024-09-07 09:43:41:447,132312,0.5,132239,0.7,280758,0.5,361807,2.25 145,1,2024-09-07 09:43:40:570,966593,966593,0,0,454095633710,4780566915,953121,10811,2661,382,391759,0 145,2,2024-09-07 09:43:41:442,695918,695836,82,0,33653355,0,7814 145,3,2024-09-07 09:43:40:896,1,552,7,0,622,7616,552,0 146,0,2024-09-07 09:43:41:598,141481,0.6,141031,0.8,283242,0.6,376208,2.25 146,1,2024-09-07 09:43:41:585,967362,967362,0,0,454370573504,4781283492,950882,12064,4416,367,391770,0 146,2,2024-09-07 09:43:41:696,696367,696361,6,0,31605564,0,5151 146,3,2024-09-07 09:43:41:278,1,552,3,0,1520,9301,552,0 147,0,2024-09-07 09:43:41:703,142224,0.6,141929,0.7,283585,0.6,378856,2.25 147,1,2024-09-07 09:43:41:379,971317,971317,0,0,456494532487,4739815271,963973,6464,880,367,391791,0 147,2,2024-09-07 09:43:41:008,696594,696594,0,0,27748868,0,2968 147,3,2024-09-07 09:43:40:917,1,552,8,0,1626,8643,552,0 0,0,2024-09-07 09:43:51:740,136560,0.5,136572,0.7,289633,0.5,374421,2.00 0,1,2024-09-07 09:43:50:805,970091,970091,0,0,455738455779,4768821372,962485,6762,844,369,391896,0 0,2,2024-09-07 09:43:51:073,701337,701337,0,0,28214549,0,4480 0,3,2024-09-07 09:43:50:979,1,553,1,0,431,7939,553,0 1,0,2024-09-07 09:43:51:776,141477,0.9,140425,0.9,282562,1.1,377513,2.00 1,1,2024-09-07 09:43:50:571,968565,968565,0,0,454536722557,4759625703,959790,7301,1474,370,391859,0 1,2,2024-09-07 09:43:50:652,696544,696544,0,0,27217582,0,3380 1,3,2024-09-07 09:43:51:302,1,553,3,0,269,6798,553,0 2,0,2024-09-07 09:43:51:565,137905,0.6,137673,0.7,275270,0.6,367232,2.00 2,1,2024-09-07 09:43:50:867,972195,972195,0,0,456980820600,4747396596,967029,4236,930,379,391745,0 2,2,2024-09-07 09:43:51:266,701033,701033,0,0,26184554,0,3594 2,3,2024-09-07 09:43:50:694,1,553,8,0,357,4887,553,0 3,0,2024-09-07 09:43:51:742,134139,0.4,134106,0.6,267476,0.3,356962,2.00 3,1,2024-09-07 09:43:51:622,971074,971074,0,0,456390480731,4747928182,963553,6818,703,379,391716,0 3,2,2024-09-07 09:43:51:378,701965,701942,23,0,27655158,0,5851 3,3,2024-09-07 09:43:51:753,1,553,4,0,275,3596,553,0 4,0,2024-09-07 09:43:51:810,134861,0.4,138586,0.5,282572,0.3,369949,1.75 4,1,2024-09-07 09:43:50:591,967304,967304,0,0,453120198915,4799703097,949996,13601,3707,370,391992,0 4,2,2024-09-07 09:43:51:022,697086,697086,0,0,33958155,0,4534 4,3,2024-09-07 09:43:51:030,1,553,1,0,448,6975,553,0 5,0,2024-09-07 09:43:51:411,140814,0.4,140904,0.5,281875,0.4,374459,1.75 5,1,2024-09-07 09:43:50:755,968275,968275,0,0,455360708841,4793249422,954646,10892,2737,367,392005,0 5,2,2024-09-07 09:43:51:831,692881,692881,0,0,32237861,0,3582 5,3,2024-09-07 09:43:51:750,1,553,13,0,457,7466,553,0 6,0,2024-09-07 09:43:50:916,140428,0.5,140066,0.7,280311,0.4,373206,2.00 6,1,2024-09-07 09:43:50:751,970138,970138,0,0,455672156672,4760378734,959685,8931,1522,379,391702,0 6,2,2024-09-07 09:43:51:116,701409,701391,18,0,31918810,0,5535 6,3,2024-09-07 09:43:51:275,1,553,11,0,710,6865,553,0 7,0,2024-09-07 09:43:51:536,132704,0.5,133593,0.7,265981,0.5,353933,2.00 7,1,2024-09-07 09:43:50:850,969109,969109,0,0,455589930656,4784548640,955232,11473,2404,382,391747,0 7,2,2024-09-07 09:43:50:770,700877,700877,0,0,30592115,0,4791 7,3,2024-09-07 09:43:50:851,1,553,1,0,552,6289,553,0 8,0,2024-09-07 09:43:51:331,137462,0.3,137014,0.5,275031,0.3,366516,1.75 8,1,2024-09-07 09:43:51:130,967054,967054,0,0,455501308619,4790644622,951346,12191,3517,366,392853,0 8,2,2024-09-07 09:43:50:796,694629,694627,2,0,36303734,0,5112 8,3,2024-09-07 09:43:50:607,1,553,2,0,538,7953,553,0 9,0,2024-09-07 09:43:51:127,141488,0.4,137696,0.5,288538,0.3,377901,1.75 9,1,2024-09-07 09:43:50:554,967305,967305,0,0,454398434417,4789517941,952211,12109,2985,369,392001,0 9,2,2024-09-07 09:43:51:084,695764,695763,1,0,33109283,0,5281 9,3,2024-09-07 09:43:51:760,1,553,49,0,1273,9198,553,0 10,0,2024-09-07 09:43:51:601,141809,0.4,141305,0.5,283471,0.3,377610,1.75 10,1,2024-09-07 09:43:50:583,969200,969200,0,0,455370674308,4772834993,955562,11205,2433,381,391869,0 10,2,2024-09-07 09:43:50:762,700292,700292,0,0,36043873,0,4264 10,3,2024-09-07 09:43:50:872,1,553,10,0,669,6424,553,0 11,0,2024-09-07 09:43:51:007,135394,0.5,131275,0.6,274607,0.4,361918,1.75 11,1,2024-09-07 09:43:50:584,971066,971066,0,0,455920423609,4780218808,956935,10369,3762,383,391766,0 11,2,2024-09-07 09:43:51:122,699800,699800,0,0,32077295,0,4130 11,3,2024-09-07 09:43:51:304,1,553,16,0,843,7397,553,0 12,0,2024-09-07 09:43:50:971,137300,0.4,137238,0.5,274549,0.3,364915,1.75 12,1,2024-09-07 09:43:50:942,970497,970497,0,0,455099488966,4745574065,962767,6844,886,370,391960,0 12,2,2024-09-07 09:43:51:544,698556,698556,0,0,30912954,0,4390 12,3,2024-09-07 09:43:51:059,1,553,2,0,386,7195,553,0 13,0,2024-09-07 09:43:51:328,142907,0.4,142768,0.5,284835,0.4,379800,1.75 13,1,2024-09-07 09:43:51:529,968311,968311,0,0,455030328905,4780607454,958462,7549,2300,382,391768,0 13,2,2024-09-07 09:43:50:596,700946,700946,0,0,27897487,0,3287 13,3,2024-09-07 09:43:51:762,1,553,24,0,522,7523,553,0 14,0,2024-09-07 09:43:50:562,142365,0.4,143399,0.6,284534,0.4,379173,1.75 14,1,2024-09-07 09:43:51:564,975081,975081,0,0,457791449537,4733860183,968069,6284,728,364,391673,0 14,2,2024-09-07 09:43:50:762,698275,698245,30,0,29088068,0,6104 14,3,2024-09-07 09:43:51:116,1,553,9,0,1168,6214,553,0 15,0,2024-09-07 09:43:51:553,136354,0.4,135774,0.6,272389,0.4,362911,2.00 15,1,2024-09-07 09:43:51:608,972121,972121,0,0,456580312817,4752209886,964246,6387,1488,381,391619,0 15,2,2024-09-07 09:43:50:998,702854,702854,0,0,24807995,0,3622 15,3,2024-09-07 09:43:51:409,1,553,2,0,1126,7564,553,0 16,0,2024-09-07 09:43:50:955,134557,0.5,135321,0.7,270035,0.5,359482,2.00 16,1,2024-09-07 09:43:50:563,972058,972058,0,0,456419420577,4768089137,963611,7142,1305,370,392194,0 16,2,2024-09-07 09:43:51:437,700270,700270,0,0,29010810,0,4719 16,3,2024-09-07 09:43:51:146,1,553,1,0,358,6790,553,0 17,0,2024-09-07 09:43:51:765,144341,0.5,140691,0.7,275634,0.5,374269,1.75 17,1,2024-09-07 09:43:50:573,969950,969950,0,0,455633257938,4777094813,960382,7814,1754,368,392075,0 17,2,2024-09-07 09:43:51:674,702683,702682,1,0,29966525,0,5050 17,3,2024-09-07 09:43:50:574,1,553,2,0,518,8111,553,0 18,0,2024-09-07 09:43:50:954,139296,0.7,140239,0.8,279354,0.7,373164,2.25 18,1,2024-09-07 09:43:51:640,974124,974124,0,0,456793392886,4734347602,968006,5121,997,367,391725,0 18,2,2024-09-07 09:43:51:754,698545,698545,0,0,26324841,0,3541 18,3,2024-09-07 09:43:50:901,1,553,8,0,1059,5116,553,0 19,0,2024-09-07 09:43:51:546,138417,0.6,138728,0.8,276280,0.7,367434,2.25 19,1,2024-09-07 09:43:50:570,973425,973425,0,0,457984446514,4745689807,966393,5947,1085,367,391777,0 19,2,2024-09-07 09:43:51:752,703871,703871,0,0,24973367,0,3988 19,3,2024-09-07 09:43:51:129,1,553,1,0,524,4306,553,0 20,0,2024-09-07 09:43:51:353,133146,0.5,133345,0.7,266264,0.5,355156,2.00 20,1,2024-09-07 09:43:50:572,969429,969429,0,0,456345197874,4780895750,959294,8635,1500,369,391922,0 20,2,2024-09-07 09:43:50:933,700774,700774,0,0,31362069,0,4321 20,3,2024-09-07 09:43:50:589,1,553,18,0,468,9069,553,0 21,0,2024-09-07 09:43:51:160,138200,0.4,138350,0.6,276545,0.4,367607,1.75 21,1,2024-09-07 09:43:51:544,968047,968047,0,0,454398580550,4792594294,953366,11418,3263,368,392016,0 21,2,2024-09-07 09:43:51:071,696894,696874,20,0,35572455,0,5617 21,3,2024-09-07 09:43:51:414,1,553,0,0,713,7589,553,0 22,0,2024-09-07 09:43:51:719,141216,0.5,141734,0.7,282812,0.4,375075,2.00 22,1,2024-09-07 09:43:51:023,968775,968775,0,0,454635793330,4785486604,952424,13012,3339,382,391822,0 22,2,2024-09-07 09:43:50:760,694653,694627,26,0,29684897,0,6328 22,3,2024-09-07 09:43:51:072,1,553,7,0,228,4666,553,0 23,0,2024-09-07 09:43:51:365,140633,0.5,140200,0.7,280474,0.5,373854,2.25 23,1,2024-09-07 09:43:51:003,970226,970226,0,0,456412659844,4791009509,953371,12006,4849,365,391690,0 23,2,2024-09-07 09:43:51:092,702095,702095,0,0,28626577,0,3773 23,3,2024-09-07 09:43:51:760,1,553,0,0,855,8426,553,0 24,0,2024-09-07 09:43:50:815,135762,0.4,135083,0.6,271655,0.4,360318,1.75 24,1,2024-09-07 09:43:50:581,969109,969109,0,0,454531991690,4757437337,959844,7584,1681,367,392269,0 24,2,2024-09-07 09:43:51:070,700174,700174,0,0,34495689,0,4438 24,3,2024-09-07 09:43:51:693,1,553,2,0,468,6848,553,0 25,0,2024-09-07 09:43:51:392,140803,0.4,137172,0.6,268986,0.4,366658,1.75 25,1,2024-09-07 09:43:50:558,967441,967441,0,0,454583921253,4799539662,950788,13121,3532,369,391928,0 25,2,2024-09-07 09:43:51:613,697110,697110,0,0,35398295,0,3978 25,3,2024-09-07 09:43:51:000,1,553,0,0,532,6263,553,0 26,0,2024-09-07 09:43:51:721,140985,0.4,137992,0.6,289559,0.4,376672,2.00 26,1,2024-09-07 09:43:51:542,971668,971668,0,0,455269544707,4765745494,958366,10843,2459,380,391748,0 26,2,2024-09-07 09:43:50:861,697950,697950,0,0,37302596,0,4689 26,3,2024-09-07 09:43:51:711,1,553,8,0,796,6027,553,0 27,0,2024-09-07 09:43:51:736,142408,0.4,143153,0.6,284204,0.4,379283,2.25 27,1,2024-09-07 09:43:51:682,971502,971502,0,0,457133844581,4761188233,962380,7853,1269,381,391626,0 27,2,2024-09-07 09:43:50:878,695832,695767,65,0,32324114,0,5699 27,3,2024-09-07 09:43:51:015,1,553,8,0,564,4806,553,0 28,0,2024-09-07 09:43:51:392,136206,0.4,135923,0.6,272354,0.3,363012,1.75 28,1,2024-09-07 09:43:50:804,972955,972955,0,0,456525513323,4756139867,965173,6068,1714,382,391698,0 28,2,2024-09-07 09:43:51:771,701100,701100,0,0,28296225,0,2915 28,3,2024-09-07 09:43:51:776,1,553,23,0,502,5431,553,0 29,0,2024-09-07 09:43:51:366,139823,0.3,136158,0.6,267224,0.3,364329,1.75 29,1,2024-09-07 09:43:51:569,975563,975563,0,0,458246765538,4736806101,969999,4801,763,367,391809,0 29,2,2024-09-07 09:43:50:866,699497,699497,0,0,27572236,0,4986 29,3,2024-09-07 09:43:50:963,1,553,0,0,459,6061,553,0 30,0,2024-09-07 09:43:51:457,139878,0.4,136304,0.6,285480,0.4,373064,2.00 30,1,2024-09-07 09:43:50:572,974081,974081,0,0,457487541025,4745242415,966990,6186,905,380,391672,0 30,2,2024-09-07 09:43:51:274,700669,700669,0,0,26572106,0,4192 30,3,2024-09-07 09:43:50:582,1,553,8,0,519,5278,553,0 31,0,2024-09-07 09:43:51:757,141309,0.4,141887,0.6,282949,0.4,377970,2.00 31,1,2024-09-07 09:43:50:564,976899,976899,0,0,459040535679,4711455265,971282,4593,1024,356,391712,0 31,2,2024-09-07 09:43:51:275,695922,695922,0,0,28948741,0,4470 31,3,2024-09-07 09:43:51:706,1,553,2,0,239,4781,553,0 32,0,2024-09-07 09:43:51:431,138299,0.3,139099,0.5,277104,0.3,368795,1.75 32,1,2024-09-07 09:43:50:806,973715,973715,0,0,457521132273,4753138738,967898,5108,709,381,391646,0 32,2,2024-09-07 09:43:50:937,702401,702401,0,0,25789521,0,3922 32,3,2024-09-07 09:43:51:017,1,553,1,0,304,4458,553,0 33,0,2024-09-07 09:43:51:493,134772,0.3,134289,0.4,268826,0.2,358029,1.50 33,1,2024-09-07 09:43:50:575,973912,973912,0,0,458042133062,4741976554,966515,6223,1174,368,391730,0 33,2,2024-09-07 09:43:50:758,702548,702513,35,0,28552416,0,7012 33,3,2024-09-07 09:43:50:901,1,553,1,0,329,4983,553,0 34,0,2024-09-07 09:43:50:930,139321,0.3,143022,0.4,273568,0.2,369444,1.75 34,1,2024-09-07 09:43:51:044,976255,976255,0,0,458979136114,4718587190,973723,2510,22,367,391637,0 34,2,2024-09-07 09:43:50:766,701666,701666,0,0,26653549,0,4562 34,3,2024-09-07 09:43:51:692,1,553,12,0,320,4476,553,0 35,0,2024-09-07 09:43:50:866,139944,0.3,140697,0.5,282584,0.2,375138,1.75 35,1,2024-09-07 09:43:51:073,972640,972640,0,0,457402439942,4744646976,965443,5713,1484,382,391769,0 35,2,2024-09-07 09:43:51:589,695959,695959,0,0,29138437,0,4055 35,3,2024-09-07 09:43:50:907,1,553,1,0,466,5444,553,0 36,0,2024-09-07 09:43:51:515,140814,0.5,140596,0.7,281038,0.5,374009,2.00 36,1,2024-09-07 09:43:50:585,971634,971634,0,0,455163009536,4754804213,958738,10666,2230,366,391759,0 36,2,2024-09-07 09:43:51:749,701371,701371,0,0,31279641,0,3875 36,3,2024-09-07 09:43:50:863,1,553,2,0,416,7421,553,0 37,0,2024-09-07 09:43:51:365,132936,0.5,132896,0.7,266076,0.5,354544,2.25 37,1,2024-09-07 09:43:50:569,970915,970908,0,7,455748030709,4757761204,959368,8825,2715,365,391770,0 37,2,2024-09-07 09:43:51:147,698834,698819,15,0,31730617,0,5815 37,3,2024-09-07 09:43:51:770,1,553,0,0,888,7481,553,0 38,0,2024-09-07 09:43:51:446,136620,0.4,132586,0.6,277289,0.3,363794,2.00 38,1,2024-09-07 09:43:51:607,971749,971749,0,0,456265932145,4768361768,958061,11116,2572,368,391821,0 38,2,2024-09-07 09:43:50:760,698847,698800,47,0,31664630,0,6710 38,3,2024-09-07 09:43:51:004,1,553,8,0,689,6854,553,0 39,0,2024-09-07 09:43:51:759,144708,0.5,141474,0.7,275868,0.5,376609,2.00 39,1,2024-09-07 09:43:50:716,970132,970132,0,0,455645723522,4779424339,953527,12909,3696,365,391865,0 39,2,2024-09-07 09:43:51:428,697594,697594,0,0,29033972,0,3391 39,3,2024-09-07 09:43:50:715,1,553,1,0,525,6512,553,0 40,0,2024-09-07 09:43:51:512,140536,0.9,141206,1.0,281704,1.0,375628,2.75 40,1,2024-09-07 09:43:50:585,971513,971513,0,0,454938879364,4763337815,957731,11087,2695,368,391668,0 40,2,2024-09-07 09:43:51:302,698477,698476,1,0,34730311,0,5137 40,3,2024-09-07 09:43:51:147,1,553,6,0,1028,8082,553,0 41,0,2024-09-07 09:43:51:022,134839,1.2,137827,1.1,262848,1.7,357471,3.00 41,1,2024-09-07 09:43:50:768,970416,970416,0,0,454840625157,4758914571,957851,10500,2065,369,391878,0 41,2,2024-09-07 09:43:50:758,697723,697722,1,0,33770763,0,5408 41,3,2024-09-07 09:43:51:677,1,553,2,0,366,6004,553,0 42,0,2024-09-07 09:43:51:472,135744,0.9,135529,1.0,270822,1.0,359821,2.75 42,1,2024-09-07 09:43:51:439,967814,967814,0,0,454968411641,4782064537,952400,12504,2910,380,391675,0 42,2,2024-09-07 09:43:51:133,698015,698015,0,0,33507772,0,3975 42,3,2024-09-07 09:43:51:010,1,553,10,0,892,5052,553,0 43,0,2024-09-07 09:43:50:916,140423,0.7,136624,0.9,286585,0.8,374846,2.25 43,1,2024-09-07 09:43:50:577,970913,970913,0,0,455880893602,4761894602,957625,10931,2357,366,391696,0 43,2,2024-09-07 09:43:51:738,699777,699777,0,0,32363695,0,4723 43,3,2024-09-07 09:43:51:748,1,553,6,0,571,7979,553,0 44,0,2024-09-07 09:43:50:857,142678,0.4,142560,0.6,285683,0.4,379714,1.75 44,1,2024-09-07 09:43:50:572,973930,973930,0,0,457352078704,4723532096,966045,6298,1587,356,391809,0 44,2,2024-09-07 09:43:51:266,695865,695865,0,0,26165172,0,4344 44,3,2024-09-07 09:43:51:093,1,553,1,0,817,6043,553,0 45,0,2024-09-07 09:43:51:755,134938,0.5,131804,0.7,276665,0.5,363075,2.00 45,1,2024-09-07 09:43:51:016,972801,972801,0,0,457024067176,4748421933,965043,6866,892,382,391917,0 45,2,2024-09-07 09:43:51:267,701465,701465,0,0,26758150,0,3596 45,3,2024-09-07 09:43:50:935,1,553,5,0,365,5043,553,0 46,0,2024-09-07 09:43:50:958,134261,0.4,133819,0.7,268194,0.4,356280,2.00 46,1,2024-09-07 09:43:50:579,974465,974465,0,0,458313692293,4733607408,968014,5587,864,366,391709,0 46,2,2024-09-07 09:43:50:596,701487,701487,0,0,27125372,0,4443 46,3,2024-09-07 09:43:51:137,1,553,8,0,908,6849,553,0 47,0,2024-09-07 09:43:51:114,140194,0.4,140185,0.5,281449,0.3,373054,1.75 47,1,2024-09-07 09:43:50:568,975770,975770,0,0,457907001435,4727893496,970363,4567,840,365,391641,0 47,2,2024-09-07 09:43:50:908,703424,703424,0,0,26665013,0,4477 47,3,2024-09-07 09:43:51:123,1,553,76,0,600,6150,553,0 48,0,2024-09-07 09:43:51:497,140986,0.3,141170,0.4,281763,0.2,374990,1.50 48,1,2024-09-07 09:43:51:022,973072,973072,0,0,456903048484,4745103587,967136,5360,576,384,391710,0 48,2,2024-09-07 09:43:50:700,696455,696455,0,0,24636932,0,3524 48,3,2024-09-07 09:43:50:752,1,553,96,0,339,4337,553,0 49,0,2024-09-07 09:43:51:716,142711,0.3,139969,0.5,272184,0.3,371672,1.75 49,1,2024-09-07 09:43:51:021,972512,972512,0,0,456973437591,4747512367,966893,4407,1212,382,391809,0 49,2,2024-09-07 09:43:51:799,702573,702573,0,0,27222780,0,4426 49,3,2024-09-07 09:43:51:429,1,553,1,0,992,6380,553,0 50,0,2024-09-07 09:43:51:506,134066,0.3,132305,0.5,266574,0.2,354998,1.75 50,1,2024-09-07 09:43:51:010,975796,975796,0,0,458280867086,4735713422,969772,5356,668,368,391565,0 50,2,2024-09-07 09:43:51:067,700941,700941,0,0,24928208,0,4490 50,3,2024-09-07 09:43:51:291,1,553,12,0,617,5603,553,0 51,0,2024-09-07 09:43:51:683,141945,0.3,138936,0.4,270413,0.2,369209,1.50 51,1,2024-09-07 09:43:51:693,976660,976660,0,0,459041555532,4732037849,971507,4073,1080,365,391706,0 51,2,2024-09-07 09:43:51:316,700447,700447,0,0,24420005,0,3337 51,3,2024-09-07 09:43:51:037,1,553,135,0,678,3977,553,0 52,0,2024-09-07 09:43:51:416,141796,0.5,141366,0.7,283066,0.4,375978,2.00 52,1,2024-09-07 09:43:50:578,970950,970950,0,0,456066690136,4773791294,956934,11806,2210,368,391805,0 52,2,2024-09-07 09:43:51:754,692616,692578,38,0,32712469,0,6742 52,3,2024-09-07 09:43:50:676,1,553,1,0,1782,6944,553,0 53,0,2024-09-07 09:43:51:737,140250,0.7,136060,0.8,284565,0.7,373150,2.50 53,1,2024-09-07 09:43:50:770,969480,969480,0,0,455792929589,4783886850,952707,12617,4156,367,391968,0 53,2,2024-09-07 09:43:51:310,701106,701105,1,0,29696972,0,5455 53,3,2024-09-07 09:43:50:699,1,553,3,0,308,5226,553,0 54,0,2024-09-07 09:43:51:613,132989,0.6,133375,0.8,265588,0.4,354176,2.25 54,1,2024-09-07 09:43:50:581,971809,971809,0,0,456224603664,4745851574,961202,8945,1662,366,391810,0 54,2,2024-09-07 09:43:50:865,701346,701314,32,0,33640801,0,6397 54,3,2024-09-07 09:43:50:762,1,553,8,0,676,7476,553,0 55,0,2024-09-07 09:43:51:764,132253,0.6,136361,0.8,276633,0.5,360919,2.50 55,1,2024-09-07 09:43:50:764,973049,973049,0,0,455941555416,4743162415,962705,8959,1385,365,391731,0 55,2,2024-09-07 09:43:50:729,697267,697211,56,0,31572642,0,7239 55,3,2024-09-07 09:43:50:676,1,553,1,0,304,5107,553,0 56,0,2024-09-07 09:43:51:577,144571,1.2,136364,1.1,280833,1.5,376084,2.75 56,1,2024-09-07 09:43:50:575,967189,967189,0,0,454658262233,4805511224,950808,12925,3456,381,391867,0 56,2,2024-09-07 09:43:51:310,697702,697580,122,0,32925599,0,7432 56,3,2024-09-07 09:43:51:075,1,553,2,0,705,6433,553,0 57,0,2024-09-07 09:43:50:977,140505,1.5,140504,1.2,281181,2.1,375884,3.25 57,1,2024-09-07 09:43:50:992,969121,969121,0,0,454801024261,4772578455,956227,10564,2330,366,392032,0 57,2,2024-09-07 09:43:51:315,698490,698490,0,0,34060942,0,4317 57,3,2024-09-07 09:43:51:739,1,553,0,0,455,6425,553,0 58,0,2024-09-07 09:43:50:563,134109,0.9,130129,1.0,272253,1.1,356506,2.50 58,1,2024-09-07 09:43:50:575,970556,970553,0,3,456050053018,4777927728,956298,10933,3322,367,391675,3 58,2,2024-09-07 09:43:51:071,700305,700305,0,0,32140229,0,3483 58,3,2024-09-07 09:43:51:070,1,553,8,0,1043,6132,553,0 59,0,2024-09-07 09:43:51:739,135446,0.8,135348,0.9,270048,0.9,358433,2.75 59,1,2024-09-07 09:43:50:806,969139,969139,0,0,455899148294,4789327151,953002,12806,3331,369,391653,0 59,2,2024-09-07 09:43:50:584,699539,699539,0,0,30851919,0,3727 59,3,2024-09-07 09:43:51:737,1,553,1,0,1015,6789,553,0 60,0,2024-09-07 09:43:51:734,140357,0.4,140842,0.6,281277,0.4,374541,1.75 60,1,2024-09-07 09:43:50:772,974315,974315,0,0,457776098699,4750016113,967892,5484,939,370,392031,0 60,2,2024-09-07 09:43:51:146,700738,700738,0,0,28715766,0,3811 60,3,2024-09-07 09:43:51:268,1,553,8,0,409,6452,553,0 61,0,2024-09-07 09:43:51:516,141343,0.7,141986,0.8,282626,0.7,377519,2.00 61,1,2024-09-07 09:43:50:772,970747,970747,0,0,456170452930,4773940271,960643,8521,1583,382,392127,0 61,2,2024-09-07 09:43:51:121,696410,696343,67,0,29540920,0,6411 61,3,2024-09-07 09:43:51:692,1,553,0,0,607,7623,553,0 62,0,2024-09-07 09:43:51:707,138604,0.6,142231,0.8,271505,0.6,368597,2.00 62,1,2024-09-07 09:43:51:110,976248,976242,0,6,458695438725,4725927455,971897,4063,282,365,391975,6 62,2,2024-09-07 09:43:51:645,699669,699668,1,0,29143355,0,5555 62,3,2024-09-07 09:43:51:146,1,553,8,0,482,4424,553,0 63,0,2024-09-07 09:43:51:454,134658,0.4,134426,0.6,269759,0.3,358234,1.75 63,1,2024-09-07 09:43:50:805,973377,973371,0,6,457620391237,4749412538,967181,5350,840,381,391800,6 63,2,2024-09-07 09:43:50:766,702057,702057,0,0,27923944,0,4369 63,3,2024-09-07 09:43:51:734,1,553,0,0,667,5974,553,0 64,0,2024-09-07 09:43:51:514,138639,0.4,138653,0.6,276560,0.4,368635,1.75 64,1,2024-09-07 09:43:50:752,972432,972432,0,0,456161387745,4748077385,964197,6423,1812,370,391794,0 64,2,2024-09-07 09:43:51:377,704563,704544,19,0,27189686,0,6121 64,3,2024-09-07 09:43:51:148,1,553,8,0,651,5643,553,0 65,0,2024-09-07 09:43:51:687,139648,0.6,139941,0.7,279457,0.6,372454,2.00 65,1,2024-09-07 09:43:50:867,970615,970615,0,0,454585204246,4748318599,963849,5966,800,381,391901,0 65,2,2024-09-07 09:43:51:702,695468,695468,0,0,31439093,0,3367 65,3,2024-09-07 09:43:51:688,1,553,1,0,782,6403,553,0 66,0,2024-09-07 09:43:51:766,139960,0.6,139406,0.8,278884,0.5,371160,2.25 66,1,2024-09-07 09:43:51:293,973042,973042,0,0,456091082015,4744989584,966807,5513,722,380,391743,0 66,2,2024-09-07 09:43:51:133,703868,703865,3,0,28737143,0,5455 66,3,2024-09-07 09:43:51:097,1,553,24,0,291,4699,553,0 67,0,2024-09-07 09:43:51:420,133375,0.5,133046,0.7,266785,0.5,354746,2.00 67,1,2024-09-07 09:43:50:765,972859,972858,0,1,456179450560,4745857128,966572,5471,815,380,391787,1 67,2,2024-09-07 09:43:50:583,703674,703659,15,0,28725084,0,6205 67,3,2024-09-07 09:43:51:750,1,553,0,0,595,5887,553,0 68,0,2024-09-07 09:43:50:565,137436,0.5,137210,0.7,273282,0.5,365895,2.00 68,1,2024-09-07 09:43:50:570,968393,968393,0,0,454511920899,4779427618,955438,9497,3458,381,391953,0 68,2,2024-09-07 09:43:51:049,695416,695316,100,0,36696093,0,8578 68,3,2024-09-07 09:43:50:735,1,553,1,0,417,7272,553,0 69,0,2024-09-07 09:43:51:741,140965,0.7,141825,0.8,282549,0.7,375214,2.25 69,1,2024-09-07 09:43:51:015,967851,967851,0,0,453933179676,4782478306,955221,9867,2763,383,391994,0 69,2,2024-09-07 09:43:51:736,695139,695110,29,0,37845754,0,6912 69,3,2024-09-07 09:43:50:770,1,553,0,0,698,8229,553,0 70,0,2024-09-07 09:43:51:537,140233,0.8,140686,1.0,282997,0.7,374546,2.50 70,1,2024-09-07 09:43:50:860,973703,973703,0,0,457433830705,4746416337,966119,6821,763,366,391725,0 70,2,2024-09-07 09:43:51:324,700000,700000,0,0,31795375,0,4323 70,3,2024-09-07 09:43:50:749,1,553,9,0,854,6344,553,0 71,0,2024-09-07 09:43:51:357,134136,1.0,133913,1.1,268880,1.4,358957,2.75 71,1,2024-09-07 09:43:51:596,971447,971447,0,0,456160623507,4760075523,959615,10483,1349,368,391738,0 71,2,2024-09-07 09:43:51:067,700034,700034,0,0,32436978,0,4352 71,3,2024-09-07 09:43:51:749,1,553,1,0,644,6469,553,0 72,0,2024-09-07 09:43:51:021,140926,0.5,137682,0.7,268799,0.5,365460,2.00 72,1,2024-09-07 09:43:51:023,969734,969734,0,0,455285997777,4775448516,955832,11508,2394,369,391819,0 72,2,2024-09-07 09:43:51:760,697695,697695,0,0,33728943,0,3983 72,3,2024-09-07 09:43:51:759,1,553,10,0,564,7720,553,0 73,0,2024-09-07 09:43:51:117,138345,0.5,141822,0.6,290259,0.4,377599,2.00 73,1,2024-09-07 09:43:50:765,971861,971861,0,0,456270360711,4742108758,964362,6572,927,367,391858,0 73,2,2024-09-07 09:43:51:740,699214,699214,0,0,34289211,0,4728 73,3,2024-09-07 09:43:50:982,1,553,1091,0,1091,7916,553,0 74,0,2024-09-07 09:43:51:322,143413,0.5,146800,0.7,280013,0.4,379996,2.00 74,1,2024-09-07 09:43:50:635,970623,970623,0,0,455473576303,4754274532,960017,8680,1926,381,391762,0 74,2,2024-09-07 09:43:51:002,696812,696812,0,0,29637322,0,4253 74,3,2024-09-07 09:43:51:445,1,553,8,0,522,6498,553,0 75,0,2024-09-07 09:43:51:788,136838,0.6,136005,0.8,272941,0.5,364589,2.25 75,1,2024-09-07 09:43:51:590,972136,972136,0,0,456591747258,4758404335,964135,7135,866,380,391739,0 75,2,2024-09-07 09:43:51:352,699212,699212,0,0,36185515,0,4766 75,3,2024-09-07 09:43:51:073,1,553,39,0,702,6511,553,0 76,0,2024-09-07 09:43:50:591,134138,0.5,133616,0.7,267490,0.5,358067,2.25 76,1,2024-09-07 09:43:50:804,971571,971571,0,0,455422738182,4748027288,964909,5694,968,382,391723,0 76,2,2024-09-07 09:43:51:067,703271,703268,3,0,29739850,0,5265 76,3,2024-09-07 09:43:51:147,1,553,1,0,227,5029,553,0 77,0,2024-09-07 09:43:51:715,139502,0.5,140073,0.7,280102,0.4,372042,2.00 77,1,2024-09-07 09:43:50:824,971759,971759,0,0,456876973382,4762832225,964860,6302,597,381,391869,0 77,2,2024-09-07 09:43:51:283,700186,700186,0,0,29292607,0,3890 77,3,2024-09-07 09:43:51:093,1,553,1,0,401,5927,553,0 78,0,2024-09-07 09:43:51:736,141131,0.4,140592,0.6,281934,0.4,373622,2.00 78,1,2024-09-07 09:43:50:612,971646,971646,0,0,455931017505,4752072318,960611,8508,2527,367,391670,0 78,2,2024-09-07 09:43:51:416,697711,697698,13,0,27015889,0,8313 78,3,2024-09-07 09:43:51:133,1,553,8,0,311,4719,553,0 79,0,2024-09-07 09:43:51:385,134146,0.4,137388,0.6,281636,0.3,366311,2.00 79,1,2024-09-07 09:43:50:581,974798,974798,0,0,457719891123,4739082184,967053,6388,1357,367,391682,0 79,2,2024-09-07 09:43:51:068,701813,701813,0,0,26193374,0,4195 79,3,2024-09-07 09:43:50:757,1,553,2,0,418,6400,553,0 80,0,2024-09-07 09:43:51:148,133099,0.5,136895,0.6,261688,0.4,354437,2.00 80,1,2024-09-07 09:43:51:630,971765,971765,0,0,457225073294,4755951630,965895,5441,429,368,392269,0 80,2,2024-09-07 09:43:51:104,703867,703867,0,0,26743779,0,4433 80,3,2024-09-07 09:43:50:575,1,553,2,0,681,7055,553,0 81,0,2024-09-07 09:43:51:535,138581,0.5,141734,0.7,270347,0.5,367377,2.00 81,1,2024-09-07 09:43:51:655,970564,970564,0,0,455406406832,4757402011,963140,6782,642,382,391885,0 81,2,2024-09-07 09:43:51:124,698802,698739,63,0,30153275,0,5932 81,3,2024-09-07 09:43:51:122,1,553,0,0,719,6286,553,0 82,0,2024-09-07 09:43:51:529,141121,0.4,141238,0.7,283178,0.4,375062,2.00 82,1,2024-09-07 09:43:50:593,973278,973274,0,4,457096635681,4749011287,968162,4291,821,381,391768,4 82,2,2024-09-07 09:43:51:695,698231,698231,0,0,24728702,0,4484 82,3,2024-09-07 09:43:51:753,1,553,128,0,363,5279,553,0 83,0,2024-09-07 09:43:51:547,140880,0.6,140874,0.7,281191,0.6,373260,2.25 83,1,2024-09-07 09:43:50:556,971588,971588,0,0,455486026413,4745001343,965182,5949,457,382,391709,0 83,2,2024-09-07 09:43:50:767,701401,701376,25,0,26880548,0,5612 83,3,2024-09-07 09:43:50:748,1,553,14,0,1260,6775,553,0 84,0,2024-09-07 09:43:51:791,133772,0.7,134053,0.9,267491,0.6,357417,2.25 84,1,2024-09-07 09:43:51:049,970258,970258,0,0,455387492069,4762544986,958877,9727,1654,367,391967,0 84,2,2024-09-07 09:43:50:575,700462,700432,30,0,36083976,0,5971 84,3,2024-09-07 09:43:51:149,1,553,1,0,908,7585,553,0 85,0,2024-09-07 09:43:51:028,131733,0.6,131749,0.8,279713,0.6,362096,2.25 85,1,2024-09-07 09:43:50:573,967047,967047,0,0,455001656960,4805667107,951755,12546,2746,381,392092,0 85,2,2024-09-07 09:43:50:864,697835,697835,0,0,33576395,0,4255 85,3,2024-09-07 09:43:50:685,1,553,12,0,789,6569,553,0 86,0,2024-09-07 09:43:50:938,141761,0.6,145692,0.8,278547,0.7,376959,2.25 86,1,2024-09-07 09:43:50:824,969437,969437,0,0,456057052694,4782980152,956526,10255,2656,366,391995,0 86,2,2024-09-07 09:43:50:855,697435,697434,1,0,35706848,0,5004 86,3,2024-09-07 09:43:50:598,1,553,9,0,308,7925,553,0 87,0,2024-09-07 09:43:51:472,142369,0.8,141999,0.9,283996,1.0,379548,2.25 87,1,2024-09-07 09:43:50:586,968728,968728,0,0,455413954389,4770354797,956291,10719,1718,366,392076,0 87,2,2024-09-07 09:43:51:070,697599,697593,6,0,32151689,0,6323 87,3,2024-09-07 09:43:51:795,1,553,7,0,473,8318,553,0 88,0,2024-09-07 09:43:51:440,135815,0.4,136337,0.6,272465,0.4,362915,1.75 88,1,2024-09-07 09:43:50:573,968409,968409,0,0,455290303771,4768433870,955250,10510,2649,365,392084,0 88,2,2024-09-07 09:43:50:690,699734,699734,0,0,35324832,0,4465 88,3,2024-09-07 09:43:51:267,1,553,5,0,1080,8487,553,0 89,0,2024-09-07 09:43:51:800,139992,0.4,135746,0.6,267998,0.4,364417,1.75 89,1,2024-09-07 09:43:50:554,968137,968137,0,0,454939916774,4786625910,955783,10421,1933,382,391866,0 89,2,2024-09-07 09:43:51:134,698999,698999,0,0,32835794,0,3173 89,3,2024-09-07 09:43:51:796,1,553,347,0,468,9468,553,0 90,0,2024-09-07 09:43:51:664,136334,0.4,140114,0.6,285658,0.4,372969,1.75 90,1,2024-09-07 09:43:50:597,969916,969916,0,0,455525671920,4769972119,960700,8379,837,380,391825,0 90,2,2024-09-07 09:43:51:408,697349,697349,0,0,35334235,0,3608 90,3,2024-09-07 09:43:50:942,1,553,10,0,322,6792,553,0 91,0,2024-09-07 09:43:50:950,142001,0.5,137676,0.6,287957,0.4,378126,1.75 91,1,2024-09-07 09:43:50:556,967352,967352,0,0,455278196741,4791385299,954005,10841,2506,381,392047,0 91,2,2024-09-07 09:43:51:435,696642,696642,0,0,30620791,0,4713 91,3,2024-09-07 09:43:50:604,1,553,1,0,216,5128,553,0 92,0,2024-09-07 09:43:51:449,139734,0.5,142829,0.6,272451,0.5,368906,1.75 92,1,2024-09-07 09:43:50:587,971366,971366,0,0,456008819968,4761300215,963801,6356,1209,381,392136,0 92,2,2024-09-07 09:43:51:360,701260,701260,0,0,27517934,0,3259 92,3,2024-09-07 09:43:51:012,1,553,6,0,167,4737,553,0 93,0,2024-09-07 09:43:51:001,135004,0.4,138328,0.6,264148,0.3,358206,1.75 93,1,2024-09-07 09:43:50:819,971557,971557,0,0,456380966471,4761703429,961132,8690,1735,366,391776,0 93,2,2024-09-07 09:43:50:935,701383,701383,0,0,33552623,0,4913 93,3,2024-09-07 09:43:51:420,1,553,2,0,190,4865,553,0 94,0,2024-09-07 09:43:51:610,138368,0.3,139386,0.5,278599,0.3,370256,1.75 94,1,2024-09-07 09:43:50:563,972012,972012,0,0,456095977477,4755306596,965609,5979,424,381,391850,0 94,2,2024-09-07 09:43:50:768,700374,700346,28,0,28511875,0,6179 94,3,2024-09-07 09:43:51:692,1,553,14,0,576,6859,553,0 95,0,2024-09-07 09:43:51:349,140716,0.3,140787,0.5,281701,0.3,375096,1.75 95,1,2024-09-07 09:43:50:856,973545,973545,0,0,456875375879,4745547143,966025,6839,681,365,391852,0 95,2,2024-09-07 09:43:51:016,695402,695402,0,0,27230691,0,3308 95,3,2024-09-07 09:43:51:709,1,553,5,0,718,8306,553,0 96,0,2024-09-07 09:43:51:041,140366,0.4,140608,0.5,281137,0.3,373401,1.75 96,1,2024-09-07 09:43:51:591,970955,970955,0,0,455646720328,4749285113,964513,5527,915,384,391964,0 96,2,2024-09-07 09:43:51:267,702109,702109,0,0,28224034,0,4180 96,3,2024-09-07 09:43:51:146,1,553,1,0,411,5793,553,0 97,0,2024-09-07 09:43:51:317,133334,0.3,133280,0.5,267632,0.3,354863,1.50 97,1,2024-09-07 09:43:50:763,973413,973413,0,0,457085483804,4738223926,967602,4864,947,367,392140,0 97,2,2024-09-07 09:43:50:607,702821,702821,0,0,27779727,0,3679 97,3,2024-09-07 09:43:50:569,1,553,8,0,242,6212,553,0 98,0,2024-09-07 09:43:51:703,136933,0.3,137104,0.4,274945,0.2,365887,1.50 98,1,2024-09-07 09:43:50:579,972318,972318,0,0,456221157697,4747769240,966827,4688,803,381,391997,0 98,2,2024-09-07 09:43:50:769,700112,700112,0,0,27351543,0,4336 98,3,2024-09-07 09:43:50:700,1,553,13,0,840,8483,553,0 99,0,2024-09-07 09:43:51:451,141823,0.3,142424,0.5,283458,0.3,378084,1.75 99,1,2024-09-07 09:43:51:721,972949,972949,0,0,455857136202,4742597216,966915,5105,929,380,392069,0 99,2,2024-09-07 09:43:51:423,697831,697831,0,0,32945175,0,4276 99,3,2024-09-07 09:43:50:581,1,553,42,0,606,5440,553,0 100,0,2024-09-07 09:43:51:470,141528,0.8,141791,0.9,283195,0.9,378099,2.50 100,1,2024-09-07 09:43:50:553,967123,967123,0,0,454044199740,4800433704,952013,11829,3281,378,391989,0 100,2,2024-09-07 09:43:51:818,697817,697806,11,0,32780235,0,5417 100,3,2024-09-07 09:43:51:733,1,553,10,0,627,9768,553,0 101,0,2024-09-07 09:43:51:839,138249,1.1,134844,1.0,264127,1.1,361169,2.25 101,1,2024-09-07 09:43:50:554,968734,968734,0,0,454638199702,4776701426,955797,10487,2450,368,391847,0 101,2,2024-09-07 09:43:51:780,696436,696436,0,0,37681457,0,4871 101,3,2024-09-07 09:43:50:942,1,553,1,0,1250,8612,553,0 102,0,2024-09-07 09:43:50:980,132666,0.6,136803,0.8,277353,0.6,363331,2.00 102,1,2024-09-07 09:43:51:149,968609,968609,0,0,454446592266,4773799201,955455,11003,2151,369,391891,0 102,2,2024-09-07 09:43:51:736,699304,699250,54,0,31864049,0,6768 102,3,2024-09-07 09:43:51:619,1,553,21,0,466,6386,553,0 103,0,2024-09-07 09:43:51:695,146597,0.6,146602,0.7,276346,0.6,380651,2.00 103,1,2024-09-07 09:43:51:658,967369,967369,0,0,454672052046,4802209285,951636,12146,3587,381,392077,0 103,2,2024-09-07 09:43:50:584,697667,697667,0,0,33941669,0,3766 103,3,2024-09-07 09:43:50:760,1,553,1,0,916,6901,553,0 104,0,2024-09-07 09:43:51:022,141330,0.8,141516,0.9,282325,0.7,378061,2.25 104,1,2024-09-07 09:43:51:606,970095,970095,0,0,455061332821,4779885273,956219,11288,2588,365,392168,0 104,2,2024-09-07 09:43:51:668,694837,694837,0,0,32677760,0,3941 104,3,2024-09-07 09:43:51:422,1,553,8,0,1245,10138,553,0 105,0,2024-09-07 09:43:51:029,135196,0.9,131753,1.1,276108,1.0,362626,2.75 105,1,2024-09-07 09:43:50:560,971237,971237,0,0,456353097192,4779620462,959113,10318,1806,364,392009,0 105,2,2024-09-07 09:43:51:328,699294,699294,0,0,33470625,0,4360 105,3,2024-09-07 09:43:51:320,1,553,2,0,467,8069,553,0 106,0,2024-09-07 09:43:50:957,130155,0.7,133364,0.9,273143,0.7,356750,2.50 106,1,2024-09-07 09:43:51:750,970205,970205,0,0,455725123877,4781162543,956924,11630,1651,368,391914,0 106,2,2024-09-07 09:43:50:754,699372,699372,0,0,31508598,0,2920 106,3,2024-09-07 09:43:50:677,1,553,11,0,1224,8130,553,0 107,0,2024-09-07 09:43:51:184,139779,0.8,139735,0.9,279782,1.0,372840,2.25 107,1,2024-09-07 09:43:50:595,967962,967962,0,0,454727952410,4796885899,953776,12616,1570,381,392234,0 107,2,2024-09-07 09:43:51:291,697708,697707,1,0,34170221,0,5024 107,3,2024-09-07 09:43:51:760,1,553,119,0,733,8636,553,0 108,0,2024-09-07 09:43:51:771,140568,0.4,141108,0.6,281110,0.4,375107,1.75 108,1,2024-09-07 09:43:51:300,970751,970751,0,0,456037555569,4754966120,962652,7123,976,367,391894,0 108,2,2024-09-07 09:43:51:759,695051,695051,0,0,29899421,0,4246 108,3,2024-09-07 09:43:51:332,1,553,0,0,749,10539,553,0 109,0,2024-09-07 09:43:51:748,139659,0.4,138473,0.6,277681,0.4,370659,1.75 109,1,2024-09-07 09:43:50:583,968626,968626,0,0,455844529281,4779324354,960218,7191,1217,382,392132,0 109,2,2024-09-07 09:43:50:921,699480,699480,0,0,30311183,0,3617 109,3,2024-09-07 09:43:51:149,1,553,1,0,630,6956,553,0 110,0,2024-09-07 09:43:51:774,133252,0.4,129591,0.6,271369,0.3,355883,1.75 110,1,2024-09-07 09:43:51:650,972767,972767,0,0,457364934982,4747835402,965062,5781,1924,368,392045,0 110,2,2024-09-07 09:43:51:303,702310,702310,0,0,28548984,0,4067 110,3,2024-09-07 09:43:50:703,1,553,9,0,722,7663,553,0 111,0,2024-09-07 09:43:51:420,138832,0.3,137935,0.5,276264,0.3,368826,1.75 111,1,2024-09-07 09:43:50:999,973937,973937,0,0,458208124766,4748478894,969349,4231,357,380,391690,0 111,2,2024-09-07 09:43:51:124,699786,699786,0,0,28975340,0,4823 111,3,2024-09-07 09:43:50:921,1,553,45,0,379,6150,553,0 112,0,2024-09-07 09:43:50:909,142054,0.3,141536,0.4,283751,0.2,376310,1.50 112,1,2024-09-07 09:43:50:824,972551,972551,0,0,456503974376,4739144701,966029,5437,1085,380,391624,0 112,2,2024-09-07 09:43:51:133,696412,696411,1,0,27206872,0,5036 112,3,2024-09-07 09:43:50:598,1,553,0,0,282,5227,553,0 113,0,2024-09-07 09:43:50:866,141080,0.3,141019,0.5,282328,0.2,375584,1.75 113,1,2024-09-07 09:43:51:695,975199,975199,0,0,458326421284,4727543586,969622,4702,875,365,391664,0 113,2,2024-09-07 09:43:51:306,704147,704147,0,0,25123557,0,3813 113,3,2024-09-07 09:43:50:684,1,553,1,0,510,6155,553,0 114,0,2024-09-07 09:43:50:879,135220,0.3,136182,0.5,270559,0.2,361390,1.75 114,1,2024-09-07 09:43:50:716,973089,973089,0,0,457462648590,4746984753,966174,5298,1617,381,391565,0 114,2,2024-09-07 09:43:50:873,702655,702654,1,0,27284548,0,5069 114,3,2024-09-07 09:43:51:278,1,553,8,0,395,4417,553,0 115,0,2024-09-07 09:43:50:563,137429,0.3,138034,0.4,274920,0.2,366009,1.50 115,1,2024-09-07 09:43:50:571,973375,973375,0,0,456855165712,4744283490,965911,6106,1358,382,391757,0 115,2,2024-09-07 09:43:51:125,702051,702051,0,0,26588163,0,4382 115,3,2024-09-07 09:43:51:001,1,553,6,0,167,3196,553,0 116,0,2024-09-07 09:43:51:754,141432,0.7,141156,0.8,283092,0.6,378118,2.00 116,1,2024-09-07 09:43:50:806,968119,968119,0,0,454976821333,4797383922,956109,8958,3052,380,392089,0 116,2,2024-09-07 09:43:51:763,697806,697806,0,0,34099985,0,4475 116,3,2024-09-07 09:43:50:917,1,553,0,0,448,7180,553,0 117,0,2024-09-07 09:43:50:950,142575,0.7,141913,0.8,284496,0.8,380353,2.00 117,1,2024-09-07 09:43:51:597,969645,969645,0,0,455412819901,4766561340,959011,9172,1462,369,392033,0 117,2,2024-09-07 09:43:51:129,699948,699948,0,0,30489960,0,4303 117,3,2024-09-07 09:43:51:068,1,553,8,0,490,7307,553,0 118,0,2024-09-07 09:43:51:768,131788,0.6,135354,0.7,276269,0.5,361370,2.00 118,1,2024-09-07 09:43:50:591,968288,968288,0,0,454447621682,4779087330,953440,11239,3609,366,392054,0 118,2,2024-09-07 09:43:51:593,699512,699512,0,0,32879785,0,2842 118,3,2024-09-07 09:43:51:764,1,553,12,0,248,6138,553,0 119,0,2024-09-07 09:43:51:355,135534,0.6,136195,0.7,271889,0.5,362625,2.00 119,1,2024-09-07 09:43:50:553,969227,969227,0,0,455112614625,4771756875,955958,10935,2334,367,391857,0 119,2,2024-09-07 09:43:51:261,700278,700278,0,0,30876753,0,4309 119,3,2024-09-07 09:43:51:327,1,553,12,0,1358,10747,553,0 120,0,2024-09-07 09:43:51:574,139816,0.6,139706,0.8,280471,0.6,373924,2.25 120,1,2024-09-07 09:43:50:858,970853,970853,0,0,455359393806,4770648441,961249,8823,781,367,392144,0 120,2,2024-09-07 09:43:50:769,699044,699043,1,0,36070401,0,5281 120,3,2024-09-07 09:43:51:290,1,553,1,0,279,7016,553,0 121,0,2024-09-07 09:43:51:770,141664,1.2,141069,1.1,282576,1.6,377089,2.25 121,1,2024-09-07 09:43:51:659,970435,970435,0,0,455612971175,4763488144,960703,8882,850,366,391840,0 121,2,2024-09-07 09:43:51:124,695236,695236,0,0,32557652,0,4157 121,3,2024-09-07 09:43:50:729,1,553,25,0,330,6576,553,0 122,0,2024-09-07 09:43:51:820,137579,0.8,133892,0.9,280488,1.0,368838,2.25 122,1,2024-09-07 09:43:50:859,968961,968961,0,0,455563266013,4782125913,955179,11451,2331,366,392130,0 122,2,2024-09-07 09:43:51:320,700956,700881,75,0,36982020,0,5989 122,3,2024-09-07 09:43:50:624,1,553,8,0,512,9234,553,0 123,0,2024-09-07 09:43:50:964,133820,0.8,130090,0.8,272367,0.9,357003,2.25 123,1,2024-09-07 09:43:50:588,969511,969511,0,0,455275237904,4788483049,953642,13215,2654,369,392039,0 123,2,2024-09-07 09:43:51:020,698971,698970,1,0,31671892,0,5215 123,3,2024-09-07 09:43:51:151,1,553,13,0,478,6420,553,0 124,0,2024-09-07 09:43:50:952,142979,0.3,142967,0.5,269556,0.3,371456,1.75 124,1,2024-09-07 09:43:51:033,972388,972388,0,0,456442312038,4742413338,965360,5894,1134,365,392178,0 124,2,2024-09-07 09:43:51:011,701900,701847,53,0,28370412,0,6487 124,3,2024-09-07 09:43:50:758,1,553,1,0,490,5688,553,0 125,0,2024-09-07 09:43:51:429,140553,0.4,140414,0.5,281893,0.3,375053,1.75 125,1,2024-09-07 09:43:50:856,970628,970628,0,0,455216652598,4748661204,963575,6068,985,382,391813,0 125,2,2024-09-07 09:43:51:135,698261,698261,0,0,28006412,0,4534 125,3,2024-09-07 09:43:51:134,1,553,1,0,709,6479,553,0 126,0,2024-09-07 09:43:51:423,140546,0.4,144503,0.6,276527,0.4,373977,1.75 126,1,2024-09-07 09:43:50:552,973931,973931,0,0,456579557646,4724042231,969184,4434,313,365,391987,0 126,2,2024-09-07 09:43:50:644,702362,702362,0,0,29320801,0,4539 126,3,2024-09-07 09:43:50:921,1,553,15,0,268,6094,553,0 127,0,2024-09-07 09:43:51:616,133595,0.3,133966,0.5,267354,0.3,355145,1.75 127,1,2024-09-07 09:43:50:578,972069,972069,0,0,456458931146,4746688090,962665,7897,1507,364,392187,0 127,2,2024-09-07 09:43:50:636,700956,700952,4,0,28112893,0,5305 127,3,2024-09-07 09:43:51:269,1,553,0,0,968,5481,553,0 128,0,2024-09-07 09:43:51:539,137844,0.3,137840,0.4,275391,0.2,366578,1.50 128,1,2024-09-07 09:43:51:611,971946,971946,0,0,457117265364,4749313580,964793,6291,862,367,392031,0 128,2,2024-09-07 09:43:51:383,701097,701097,0,0,26140393,0,3171 128,3,2024-09-07 09:43:50:785,1,553,1,0,1082,8741,553,0 129,0,2024-09-07 09:43:51:009,142831,0.3,142220,0.5,284972,0.3,378413,1.50 129,1,2024-09-07 09:43:50:571,968753,968753,0,0,455809349373,4774295989,959349,7402,2002,379,391962,0 129,2,2024-09-07 09:43:50:694,698486,698482,4,0,28301972,0,5335 129,3,2024-09-07 09:43:50:692,1,553,1,0,506,7744,553,0 130,0,2024-09-07 09:43:51:721,142798,0.4,142207,0.6,285168,0.4,379439,1.75 130,1,2024-09-07 09:43:50:591,972786,972786,0,0,456659888567,4741751073,967880,4516,390,381,391825,0 130,2,2024-09-07 09:43:51:125,700859,700859,0,0,28187794,0,4067 130,3,2024-09-07 09:43:51:295,1,553,9,0,960,7568,553,0 131,0,2024-09-07 09:43:51:933,135557,0.4,136101,0.5,272480,0.3,362020,1.75 131,1,2024-09-07 09:43:51:820,972010,972010,0,0,456510262338,4758491518,965105,5712,1193,381,391865,0 131,2,2024-09-07 09:43:50:573,701623,701623,0,0,26501468,0,3979 131,3,2024-09-07 09:43:51:693,1,553,3,0,392,6812,553,0 132,0,2024-09-07 09:43:51:418,136570,0.5,137452,0.6,273514,0.4,364370,2.00 132,1,2024-09-07 09:43:50:577,968165,968165,0,0,454719481803,4786008238,954160,11365,2640,381,392532,0 132,2,2024-09-07 09:43:50:701,699225,699208,17,0,34767066,0,6451 132,3,2024-09-07 09:43:51:697,1,553,1,0,804,8943,553,0 133,0,2024-09-07 09:43:51:555,138496,0.4,141665,0.6,290556,0.4,378335,2.00 133,1,2024-09-07 09:43:50:584,968119,968119,0,0,455636318312,4800135796,955019,11393,1707,383,391914,0 133,2,2024-09-07 09:43:51:107,700126,700076,50,0,35166590,0,6861 133,3,2024-09-07 09:43:51:297,1,553,1,0,528,6895,553,0 134,0,2024-09-07 09:43:50:956,142664,0.5,142663,0.7,285670,0.5,380170,2.25 134,1,2024-09-07 09:43:50:585,969770,969770,0,0,454898915479,4767620586,957832,9783,2155,366,391781,0 134,2,2024-09-07 09:43:51:761,696743,696719,24,0,32803176,0,6207 134,3,2024-09-07 09:43:50:758,1,553,8,0,739,6528,553,0 135,0,2024-09-07 09:43:51:177,132376,0.9,132393,0.9,281027,1.1,362173,2.25 135,1,2024-09-07 09:43:51:590,969124,969124,0,0,454727302456,4779952860,957097,10456,1571,380,391805,0 135,2,2024-09-07 09:43:50:730,700778,700778,0,0,33405051,0,4503 135,3,2024-09-07 09:43:51:004,1,553,1,0,900,5480,553,0 136,0,2024-09-07 09:43:51:639,135241,0.5,135546,0.7,269821,0.5,359871,2.00 136,1,2024-09-07 09:43:51:449,969841,969841,0,0,455309870549,4772527519,958795,9680,1366,381,392135,0 136,2,2024-09-07 09:43:51:164,701475,701460,15,0,33234060,0,6007 136,3,2024-09-07 09:43:51:113,1,553,1,0,637,6680,553,0 137,0,2024-09-07 09:43:50:973,144358,0.5,140717,0.7,275700,0.5,374387,2.00 137,1,2024-09-07 09:43:50:575,968302,968302,0,0,456263862921,4783935991,953304,11949,3049,366,391898,0 137,2,2024-09-07 09:43:51:713,698588,698588,0,0,34191963,0,3185 137,3,2024-09-07 09:43:50:773,1,553,3,0,484,7250,553,0 138,0,2024-09-07 09:43:51:742,140119,0.8,140336,0.9,281223,0.9,373648,2.00 138,1,2024-09-07 09:43:51:694,969466,969466,0,0,456097543843,4784039059,955623,11605,2238,368,391954,0 138,2,2024-09-07 09:43:50:590,695141,695141,0,0,31380802,0,4988 138,3,2024-09-07 09:43:50:640,1,553,27,0,1200,8867,553,0 139,0,2024-09-07 09:43:51:481,137906,1.2,138391,1.0,276761,1.7,369021,2.25 139,1,2024-09-07 09:43:50:576,965289,965289,0,0,453526099136,4809729400,948044,13560,3685,380,392109,0 139,2,2024-09-07 09:43:50:699,696784,696784,0,0,35667880,0,3097 139,3,2024-09-07 09:43:51:686,1,553,34,0,432,6366,553,0 140,0,2024-09-07 09:43:51:587,133912,0.3,133027,0.5,266981,0.2,356219,1.75 140,1,2024-09-07 09:43:51:535,975569,975569,0,0,458716440966,4726351734,970936,4094,539,364,391606,0 140,2,2024-09-07 09:43:50:690,702518,702517,1,0,26544403,0,5036 140,3,2024-09-07 09:43:50:766,1,553,6,0,297,4943,553,0 141,0,2024-09-07 09:43:51:708,138502,0.3,142239,0.4,271696,0.2,368509,1.50 141,1,2024-09-07 09:43:50:867,973440,973440,0,0,457590361202,4752030345,965881,6426,1133,379,391614,0 141,2,2024-09-07 09:43:51:694,700295,700284,11,0,28190300,0,5369 141,3,2024-09-07 09:43:51:043,1,553,1,0,391,6280,553,0 142,0,2024-09-07 09:43:51:328,142158,0.3,141159,0.5,282898,0.2,377118,1.50 142,1,2024-09-07 09:43:50:585,971634,971634,0,0,456810431839,4758187145,965830,5344,460,382,392102,0 142,2,2024-09-07 09:43:51:299,695381,695349,32,0,28514609,0,6028 142,3,2024-09-07 09:43:51:750,1,553,11,0,484,5764,553,0 143,0,2024-09-07 09:43:51:382,140833,0.4,140876,0.6,282729,0.4,375434,1.75 143,1,2024-09-07 09:43:50:556,973967,973967,0,0,457577733511,4745921705,967727,5677,563,367,391722,0 143,2,2024-09-07 09:43:50:773,702281,702281,0,0,28348914,0,3123 143,3,2024-09-07 09:43:51:146,1,553,1,0,462,6899,553,0 144,0,2024-09-07 09:43:51:573,130849,0.6,134563,0.8,273804,0.6,358196,2.00 144,1,2024-09-07 09:43:50:584,969024,969024,0,0,454861889770,4769947528,959576,7479,1969,381,391733,0 144,2,2024-09-07 09:43:51:762,701826,701826,0,0,27837844,0,4443 144,3,2024-09-07 09:43:51:739,1,553,144,0,249,5487,553,0 145,0,2024-09-07 09:43:51:379,132702,0.5,132636,0.7,281580,0.5,362817,2.25 145,1,2024-09-07 09:43:50:556,968400,968400,0,0,454684139715,4786708905,954928,10811,2661,382,391759,0 145,2,2024-09-07 09:43:51:435,697361,697279,82,0,33724163,0,7814 145,3,2024-09-07 09:43:50:902,1,553,36,0,622,7652,553,0 146,0,2024-09-07 09:43:51:606,141582,0.6,141135,0.8,283447,0.6,376457,2.25 146,1,2024-09-07 09:43:51:589,969146,969146,0,0,454968362415,4788562077,952470,12254,4422,367,391770,0 146,2,2024-09-07 09:43:51:700,697100,697094,6,0,31659523,0,5151 146,3,2024-09-07 09:43:51:275,1,553,9,0,1520,9310,553,0 147,0,2024-09-07 09:43:51:721,142487,0.6,142209,0.7,284144,0.6,379477,2.25 147,1,2024-09-07 09:43:51:372,973090,973090,0,0,457391304124,4749379372,965737,6473,880,367,391791,0 147,2,2024-09-07 09:43:51:009,698148,698148,0,0,27828845,0,2968 147,3,2024-09-07 09:43:50:922,1,553,0,0,1626,8643,553,0 0,0,2024-09-07 09:44:01:751,137013,0.5,137032,0.7,290642,0.5,375727,2.00 0,1,2024-09-07 09:44:00:801,971856,971856,0,0,456462962481,4776539174,964243,6769,844,369,391896,0 0,2,2024-09-07 09:44:01:089,702392,702392,0,0,28267303,0,4480 0,3,2024-09-07 09:44:00:974,1,554,24,0,431,7963,554,0 1,0,2024-09-07 09:44:01:768,141806,0.9,140762,0.9,283178,1.1,378349,2.00 1,1,2024-09-07 09:44:00:557,970289,970289,0,0,455315511163,4768245048,961445,7370,1474,370,391859,0 1,2,2024-09-07 09:44:00:641,697993,697993,0,0,27292941,0,3380 1,3,2024-09-07 09:44:01:309,1,554,9,0,269,6807,554,0 2,0,2024-09-07 09:44:01:578,138066,0.6,137802,0.7,275595,0.6,367653,2.00 2,1,2024-09-07 09:44:00:859,973949,973949,0,0,457719254801,4755070734,968783,4236,930,379,391745,0 2,2,2024-09-07 09:44:01:266,702310,702310,0,0,26236931,0,3594 2,3,2024-09-07 09:44:00:690,1,554,12,0,357,4899,554,0 3,0,2024-09-07 09:44:01:749,134384,0.4,134360,0.6,268001,0.3,357589,2.00 3,1,2024-09-07 09:44:01:623,972803,972803,0,0,457021074581,4754597103,965282,6818,703,379,391716,0 3,2,2024-09-07 09:44:01:148,703028,703005,23,0,27692888,0,5851 3,3,2024-09-07 09:44:01:752,1,554,1,0,275,3597,554,0 4,0,2024-09-07 09:44:01:826,135232,0.4,138955,0.5,283362,0.3,371007,1.75 4,1,2024-09-07 09:44:00:609,969078,969078,0,0,453827460834,4807034836,951768,13602,3708,370,391992,0 4,2,2024-09-07 09:44:01:021,698412,698412,0,0,34013117,0,4534 4,3,2024-09-07 09:44:01:079,1,554,1,0,448,6976,554,0 5,0,2024-09-07 09:44:01:378,140956,0.4,141035,0.5,282176,0.4,374916,1.75 5,1,2024-09-07 09:44:00:772,970017,970017,0,0,456216284513,4802027793,956388,10892,2737,367,392005,0 5,2,2024-09-07 09:44:01:843,694114,694114,0,0,32294640,0,3582 5,3,2024-09-07 09:44:01:743,1,554,7,0,457,7473,554,0 6,0,2024-09-07 09:44:00:914,140531,0.5,140162,0.7,280488,0.4,373464,2.00 6,1,2024-09-07 09:44:00:746,971877,971877,0,0,456540194307,4769337092,961424,8931,1522,379,391702,0 6,2,2024-09-07 09:44:01:116,702657,702639,18,0,31950956,0,5535 6,3,2024-09-07 09:44:01:274,1,554,11,0,710,6876,554,0 7,0,2024-09-07 09:44:01:538,132811,0.5,133704,0.7,266157,0.5,354256,2.00 7,1,2024-09-07 09:44:00:850,970936,970936,0,0,456458195397,4793489831,957059,11473,2404,382,391747,0 7,2,2024-09-07 09:44:00:775,702326,702326,0,0,30672990,0,4791 7,3,2024-09-07 09:44:00:851,1,554,47,0,552,6336,554,0 8,0,2024-09-07 09:44:01:336,137904,0.3,137491,0.5,275942,0.3,367866,1.75 8,1,2024-09-07 09:44:01:016,968887,968887,0,0,456190130810,4798187627,953134,12236,3517,366,392853,0 8,2,2024-09-07 09:44:00:790,696096,696094,2,0,36462035,0,5112 8,3,2024-09-07 09:44:00:585,1,554,50,0,538,8003,554,0 9,0,2024-09-07 09:44:01:129,141584,0.4,137793,0.5,288740,0.3,378183,1.75 9,1,2024-09-07 09:44:00:550,968977,968977,0,0,455337961585,4799668693,953827,12165,2985,369,392001,0 9,2,2024-09-07 09:44:01:308,696482,696481,1,0,33185391,0,5281 9,3,2024-09-07 09:44:01:755,1,554,189,0,1273,9387,554,0 10,0,2024-09-07 09:44:01:601,141915,0.4,141426,0.5,283718,0.3,377864,1.75 10,1,2024-09-07 09:44:00:582,970952,970952,0,0,456245149633,4782427205,957228,11291,2433,381,391869,0 10,2,2024-09-07 09:44:00:765,701680,701680,0,0,36153427,0,4264 10,3,2024-09-07 09:44:00:877,1,554,12,0,669,6436,554,0 11,0,2024-09-07 09:44:01:007,135610,0.5,131487,0.6,275068,0.4,362419,1.75 11,1,2024-09-07 09:44:00:573,972763,972763,0,0,456804483954,4789482084,958632,10369,3762,383,391766,0 11,2,2024-09-07 09:44:01:127,701301,701301,0,0,32224640,0,4130 11,3,2024-09-07 09:44:01:305,1,554,0,0,843,7397,554,0 12,0,2024-09-07 09:44:00:937,137700,0.4,137611,0.5,275330,0.3,365919,1.75 12,1,2024-09-07 09:44:00:936,972288,972288,0,0,456055497840,4756703627,964285,7022,981,370,391960,0 12,2,2024-09-07 09:44:01:544,699752,699752,0,0,30988542,0,4390 12,3,2024-09-07 09:44:01:069,1,554,4,0,386,7199,554,0 13,0,2024-09-07 09:44:01:337,143105,0.4,142971,0.5,285237,0.4,380108,1.75 13,1,2024-09-07 09:44:01:530,970014,970014,0,0,455526809906,4786083755,960162,7552,2300,382,391768,0 13,2,2024-09-07 09:44:00:600,701913,701913,0,0,27945838,0,3287 13,3,2024-09-07 09:44:01:771,1,554,77,0,522,7600,554,0 14,0,2024-09-07 09:44:00:560,142651,0.4,143687,0.6,285159,0.4,379933,1.75 14,1,2024-09-07 09:44:01:797,976841,976841,0,0,458667901624,4742937492,969828,6285,728,364,391673,0 14,2,2024-09-07 09:44:00:765,699820,699790,30,0,29227552,0,6104 14,3,2024-09-07 09:44:01:115,1,554,25,0,1168,6239,554,0 15,0,2024-09-07 09:44:01:556,136545,0.4,135993,0.6,272806,0.4,363494,2.00 15,1,2024-09-07 09:44:01:618,973844,973844,0,0,457135856164,4758350618,965958,6398,1488,381,391619,0 15,2,2024-09-07 09:44:01:004,704164,704164,0,0,24878222,0,3622 15,3,2024-09-07 09:44:01:409,1,554,1,0,1126,7565,554,0 16,0,2024-09-07 09:44:01:002,134952,0.5,135759,0.7,270867,0.5,360535,2.00 16,1,2024-09-07 09:44:00:563,973826,973826,0,0,457057753950,4774868507,965377,7144,1305,370,392194,0 16,2,2024-09-07 09:44:01:441,701541,701541,0,0,29097881,0,4719 16,3,2024-09-07 09:44:01:145,1,554,29,0,358,6819,554,0 17,0,2024-09-07 09:44:01:764,144642,0.5,140973,0.7,276211,0.5,374984,1.75 17,1,2024-09-07 09:44:00:568,971733,971733,0,0,456282448871,4784002806,962165,7814,1754,368,392075,0 17,2,2024-09-07 09:44:01:667,703909,703908,1,0,30073381,0,5050 17,3,2024-09-07 09:44:00:579,1,554,2,0,518,8113,554,0 18,0,2024-09-07 09:44:00:939,139597,0.7,140551,0.8,279976,0.7,374072,2.25 18,1,2024-09-07 09:44:01:638,975908,975908,0,0,457836197998,4745387886,969745,5166,997,367,391725,0 18,2,2024-09-07 09:44:01:759,699742,699742,0,0,26386327,0,3541 18,3,2024-09-07 09:44:00:895,1,554,11,0,1059,5127,554,0 19,0,2024-09-07 09:44:01:554,138608,0.6,138877,0.8,276632,0.7,367926,2.25 19,1,2024-09-07 09:44:00:566,975204,975204,0,0,458848280210,4754497446,968172,5947,1085,367,391777,0 19,2,2024-09-07 09:44:01:759,705164,705164,0,0,25053110,0,3988 19,3,2024-09-07 09:44:01:130,1,554,1,0,524,4307,554,0 20,0,2024-09-07 09:44:01:426,133457,0.5,133662,0.7,266868,0.5,356101,2.00 20,1,2024-09-07 09:44:00:572,971245,971245,0,0,457216134234,4789920707,961110,8635,1500,369,391922,0 20,2,2024-09-07 09:44:00:927,702071,702071,0,0,31403409,0,4321 20,3,2024-09-07 09:44:00:599,1,554,15,0,468,9084,554,0 21,0,2024-09-07 09:44:01:130,138643,0.4,138789,0.6,277449,0.4,368724,1.75 21,1,2024-09-07 09:44:01:550,969720,969720,0,0,455040761841,4800160552,954840,11616,3264,368,392016,0 21,2,2024-09-07 09:44:01:081,698241,698221,20,0,35621619,0,5617 21,3,2024-09-07 09:44:01:411,1,554,0,0,713,7589,554,0 22,0,2024-09-07 09:44:01:728,141341,0.5,141843,0.7,283045,0.4,375405,2.00 22,1,2024-09-07 09:44:01:027,970505,970505,0,0,455462802322,4794033561,954154,13012,3339,382,391822,0 22,2,2024-09-07 09:44:00:759,695723,695697,26,0,29705654,0,6328 22,3,2024-09-07 09:44:01:082,1,554,8,0,228,4674,554,0 23,0,2024-09-07 09:44:01:375,140774,0.5,140327,0.7,280712,0.5,374198,2.25 23,1,2024-09-07 09:44:01:005,972002,972002,0,0,457118118216,4798216547,955147,12006,4849,365,391690,0 23,2,2024-09-07 09:44:01:101,703531,703531,0,0,28666226,0,3773 23,3,2024-09-07 09:44:01:754,1,554,1,0,855,8427,554,0 24,0,2024-09-07 09:44:00:841,135803,0.4,135129,0.6,271741,0.4,360318,1.75 24,1,2024-09-07 09:44:00:584,970821,970821,0,0,455252147785,4765069887,961554,7586,1681,367,392269,0 24,2,2024-09-07 09:44:01:079,701601,701601,0,0,34596258,0,4438 24,3,2024-09-07 09:44:01:687,1,554,63,0,468,6911,554,0 25,0,2024-09-07 09:44:01:344,141171,0.4,137519,0.6,269720,0.4,367586,1.75 25,1,2024-09-07 09:44:00:558,969212,969212,0,0,455555393545,4809673863,952559,13121,3532,369,391928,0 25,2,2024-09-07 09:44:01:611,698515,698515,0,0,35517137,0,3978 25,3,2024-09-07 09:44:01:004,1,554,3,0,532,6266,554,0 26,0,2024-09-07 09:44:01:729,141069,0.4,138092,0.6,289771,0.4,376921,2.00 26,1,2024-09-07 09:44:01:549,973394,973394,0,0,456008108972,4773934276,960009,10926,2459,380,391748,0 26,2,2024-09-07 09:44:00:862,698629,698629,0,0,37389067,0,4689 26,3,2024-09-07 09:44:01:716,1,554,108,0,796,6135,554,0 27,0,2024-09-07 09:44:01:723,142651,0.4,143398,0.6,284693,0.4,379880,2.25 27,1,2024-09-07 09:44:01:676,973357,973357,0,0,457799657597,4769218228,964013,8051,1293,381,391626,0 27,2,2024-09-07 09:44:00:867,697312,697247,65,0,32532689,0,5699 27,3,2024-09-07 09:44:01:017,1,554,0,0,564,4806,554,0 28,0,2024-09-07 09:44:01:399,136507,0.4,136281,0.6,272995,0.3,363979,1.75 28,1,2024-09-07 09:44:00:802,974695,974695,0,0,457336344227,4764717861,966913,6068,1714,382,391698,0 28,2,2024-09-07 09:44:01:764,702573,702573,0,0,28456652,0,2915 28,3,2024-09-07 09:44:01:776,1,554,0,0,502,5431,554,0 29,0,2024-09-07 09:44:01:361,140142,0.3,136455,0.6,267850,0.3,365065,1.75 29,1,2024-09-07 09:44:01:563,977303,977303,0,0,458866940602,4743398559,971739,4801,763,367,391809,0 29,2,2024-09-07 09:44:00:862,700604,700604,0,0,27631425,0,4986 29,3,2024-09-07 09:44:00:969,1,554,6,0,459,6067,554,0 30,0,2024-09-07 09:44:01:455,140286,0.4,136724,0.6,286301,0.4,374287,2.00 30,1,2024-09-07 09:44:00:583,975847,975847,0,0,458172388299,4752542984,968749,6193,905,380,391672,0 30,2,2024-09-07 09:44:01:286,701705,701705,0,0,26645521,0,4192 30,3,2024-09-07 09:44:00:583,1,554,7,0,519,5285,554,0 31,0,2024-09-07 09:44:01:779,141619,0.4,142239,0.6,283563,0.4,378815,2.00 31,1,2024-09-07 09:44:00:565,978690,978690,0,0,459859456761,4719951683,973062,4604,1024,356,391712,0 31,2,2024-09-07 09:44:01:280,697394,697394,0,0,29056732,0,4470 31,3,2024-09-07 09:44:01:712,1,554,10,0,239,4791,554,0 32,0,2024-09-07 09:44:01:442,138439,0.3,139242,0.5,277381,0.3,369203,1.75 32,1,2024-09-07 09:44:00:804,975502,975502,0,0,458205783308,4760273757,969684,5108,710,381,391646,0 32,2,2024-09-07 09:44:00:934,703743,703743,0,0,25869076,0,3922 32,3,2024-09-07 09:44:01:021,1,554,8,0,304,4466,554,0 33,0,2024-09-07 09:44:01:508,135011,0.3,134580,0.4,269345,0.2,358638,1.50 33,1,2024-09-07 09:44:00:581,975653,975653,0,0,458803076155,4749783561,968256,6223,1174,368,391730,0 33,2,2024-09-07 09:44:00:758,703649,703614,35,0,28584287,0,7012 33,3,2024-09-07 09:44:00:903,1,554,0,0,329,4983,554,0 34,0,2024-09-07 09:44:00:932,139708,0.3,143449,0.4,274322,0.2,370485,1.75 34,1,2024-09-07 09:44:01:047,978066,978066,0,0,459510560462,4724159645,975534,2510,22,367,391637,0 34,2,2024-09-07 09:44:00:766,702940,702940,0,0,26764326,0,4562 34,3,2024-09-07 09:44:01:694,1,554,12,0,320,4488,554,0 35,0,2024-09-07 09:44:00:859,140094,0.3,140874,0.5,282885,0.2,375577,1.75 35,1,2024-09-07 09:44:01:067,974410,974410,0,0,458055950147,4751480421,967213,5713,1484,382,391769,0 35,2,2024-09-07 09:44:01:582,697127,697127,0,0,29236202,0,4055 35,3,2024-09-07 09:44:00:906,1,554,0,0,466,5444,554,0 36,0,2024-09-07 09:44:01:528,140924,0.5,140703,0.7,281240,0.5,374262,2.00 36,1,2024-09-07 09:44:00:592,973402,973402,0,0,455896080209,4762450102,960506,10666,2230,366,391759,0 36,2,2024-09-07 09:44:01:751,702632,702632,0,0,31337406,0,3875 36,3,2024-09-07 09:44:00:869,1,554,1,0,416,7422,554,0 37,0,2024-09-07 09:44:01:373,133024,0.5,133004,0.7,266259,0.5,354873,2.25 37,1,2024-09-07 09:44:00:570,972626,972619,0,7,456322331091,4763707402,961079,8825,2715,365,391770,0 37,2,2024-09-07 09:44:01:146,700295,700280,15,0,31801312,0,5815 37,3,2024-09-07 09:44:01:766,1,554,1,0,888,7482,554,0 38,0,2024-09-07 09:44:01:436,137124,0.4,133036,0.6,278253,0.3,365164,2.00 38,1,2024-09-07 09:44:01:618,973281,973281,0,0,457023498611,4776113032,959593,11116,2572,368,391821,0 38,2,2024-09-07 09:44:00:766,700370,700323,47,0,31703919,0,6710 38,3,2024-09-07 09:44:00:999,1,554,7,0,689,6861,554,0 39,0,2024-09-07 09:44:01:761,144814,0.5,141580,0.7,276085,0.5,376908,2.00 39,1,2024-09-07 09:44:00:717,971861,971861,0,0,456775551216,4791114109,955251,12914,3696,365,391865,0 39,2,2024-09-07 09:44:01:426,698304,698304,0,0,29146818,0,3391 39,3,2024-09-07 09:44:00:713,1,554,1,0,525,6513,554,0 40,0,2024-09-07 09:44:01:507,140654,0.9,141332,1.0,281942,1.0,375913,2.75 40,1,2024-09-07 09:44:00:580,973209,973209,0,0,455657858514,4771534530,959268,11244,2697,368,391668,0 40,2,2024-09-07 09:44:01:309,699844,699843,1,0,34874387,0,5137 40,3,2024-09-07 09:44:01:145,1,554,1,0,1028,8083,554,0 41,0,2024-09-07 09:44:01:021,135044,1.2,138061,1.1,263264,1.7,358007,3.00 41,1,2024-09-07 09:44:00:768,972178,972178,0,0,455625003517,4767175974,959611,10502,2065,369,391878,0 41,2,2024-09-07 09:44:00:758,699109,699108,1,0,33876324,0,5408 41,3,2024-09-07 09:44:01:678,1,554,1,0,366,6005,554,0 42,0,2024-09-07 09:44:01:478,136116,0.9,135949,1.0,271613,1.0,361027,2.75 42,1,2024-09-07 09:44:01:444,969624,969624,0,0,455787251627,4790733981,954210,12504,2910,380,391675,0 42,2,2024-09-07 09:44:01:135,699178,699178,0,0,33644332,0,3975 42,3,2024-09-07 09:44:01:009,1,554,14,0,892,5066,554,0 43,0,2024-09-07 09:44:00:920,140644,0.7,136841,0.9,286971,0.8,375144,2.25 43,1,2024-09-07 09:44:00:582,972656,972656,0,0,456544041411,4768870671,959368,10931,2357,366,391696,0 43,2,2024-09-07 09:44:01:745,700698,700698,0,0,32410563,0,4723 43,3,2024-09-07 09:44:01:750,1,554,1,0,571,7980,554,0 44,0,2024-09-07 09:44:00:859,142992,0.4,142818,0.6,286264,0.4,380505,1.75 44,1,2024-09-07 09:44:00:563,975632,975632,0,0,458038422135,4731895106,967500,6486,1646,356,391809,0 44,2,2024-09-07 09:44:01:267,697239,697239,0,0,26289729,0,4344 44,3,2024-09-07 09:44:01:100,1,554,1,0,817,6044,554,0 45,0,2024-09-07 09:44:01:766,135126,0.5,131989,0.7,277061,0.5,363649,2.00 45,1,2024-09-07 09:44:01:005,974624,974624,0,0,457969273086,4758414288,966862,6870,892,382,391917,0 45,2,2024-09-07 09:44:01:275,702780,702780,0,0,26831831,0,3596 45,3,2024-09-07 09:44:00:933,1,554,2,0,365,5045,554,0 46,0,2024-09-07 09:44:00:954,134654,0.4,134209,0.7,269043,0.4,357746,2.00 46,1,2024-09-07 09:44:00:574,976107,976107,0,0,459402241005,4745145328,969591,5652,864,366,391709,0 46,2,2024-09-07 09:44:00:600,702639,702639,0,0,27190050,0,4443 46,3,2024-09-07 09:44:01:138,1,554,22,0,908,6871,554,0 47,0,2024-09-07 09:44:01:107,140502,0.4,140506,0.6,282027,0.3,373781,1.75 47,1,2024-09-07 09:44:00:573,977658,977658,0,0,458690046918,4736275464,972249,4569,840,365,391641,0 47,2,2024-09-07 09:44:00:908,704641,704641,0,0,26740120,0,4477 47,3,2024-09-07 09:44:01:116,1,554,2,0,600,6152,554,0 48,0,2024-09-07 09:44:01:493,141327,0.3,141498,0.4,282391,0.2,375930,1.50 48,1,2024-09-07 09:44:01:027,974878,974878,0,0,457739866648,4753695690,968942,5360,576,384,391710,0 48,2,2024-09-07 09:44:00:699,697829,697829,0,0,24714042,0,3524 48,3,2024-09-07 09:44:00:754,1,554,1,0,339,4338,554,0 49,0,2024-09-07 09:44:01:712,142885,0.3,140134,0.5,272503,0.3,372135,1.75 49,1,2024-09-07 09:44:01:020,974259,974259,0,0,457696883773,4754955191,968640,4407,1212,382,391809,0 49,2,2024-09-07 09:44:01:806,703890,703890,0,0,27269714,0,4426 49,3,2024-09-07 09:44:01:436,1,554,117,0,992,6497,554,0 50,0,2024-09-07 09:44:01:514,134373,0.3,132588,0.5,267172,0.2,355843,1.75 50,1,2024-09-07 09:44:01:011,977578,977578,0,0,458895215238,4742145417,971554,5356,668,368,391565,0 50,2,2024-09-07 09:44:01:074,702272,702272,0,0,24985765,0,4490 50,3,2024-09-07 09:44:01:297,1,554,11,0,617,5614,554,0 51,0,2024-09-07 09:44:01:686,142364,0.3,139371,0.4,271319,0.2,370300,1.50 51,1,2024-09-07 09:44:01:680,978348,978348,0,0,459806725485,4739973196,973195,4073,1080,365,391706,0 51,2,2024-09-07 09:44:01:323,701766,701766,0,0,24466180,0,3337 51,3,2024-09-07 09:44:01:030,1,554,10,0,678,3987,554,0 52,0,2024-09-07 09:44:01:438,141893,0.5,141488,0.7,283318,0.4,376289,2.00 52,1,2024-09-07 09:44:00:574,972704,972704,0,0,456784197947,4781189874,958688,11806,2210,368,391805,0 52,2,2024-09-07 09:44:01:759,693665,693627,38,0,32768415,0,6742 52,3,2024-09-07 09:44:00:676,1,554,0,0,1782,6944,554,0 53,0,2024-09-07 09:44:01:734,140380,0.7,136166,0.8,284837,0.7,373485,2.50 53,1,2024-09-07 09:44:00:775,971317,971317,0,0,456743277983,4793634848,954544,12617,4156,367,391968,0 53,2,2024-09-07 09:44:01:306,702469,702468,1,0,29791741,0,5455 53,3,2024-09-07 09:44:00:697,1,554,1,0,308,5227,554,0 54,0,2024-09-07 09:44:01:624,133025,0.6,133411,0.8,265664,0.4,354176,2.25 54,1,2024-09-07 09:44:00:582,973598,973598,0,0,457052113606,4754315720,962991,8945,1662,366,391810,0 54,2,2024-09-07 09:44:00:865,702862,702830,32,0,33681644,0,6397 54,3,2024-09-07 09:44:00:765,1,554,9,0,676,7485,554,0 55,0,2024-09-07 09:44:01:759,132603,0.6,136718,0.8,277356,0.5,361820,2.50 55,1,2024-09-07 09:44:00:765,974751,974751,0,0,456909085985,4753073021,964407,8959,1385,365,391731,0 55,2,2024-09-07 09:44:00:729,698707,698651,56,0,31642075,0,7239 55,3,2024-09-07 09:44:00:675,1,554,1,0,304,5108,554,0 56,0,2024-09-07 09:44:01:591,144675,1.2,136454,1.1,281007,1.5,376322,2.75 56,1,2024-09-07 09:44:00:600,968767,968767,0,0,455632730826,4815889219,952386,12925,3456,381,391867,0 56,2,2024-09-07 09:44:01:318,698356,698234,122,0,32953075,0,7432 56,3,2024-09-07 09:44:01:070,1,554,73,0,705,6506,554,0 57,0,2024-09-07 09:44:00:973,140735,1.5,140762,1.2,281631,2.1,376510,3.25 57,1,2024-09-07 09:44:01:002,970856,970856,0,0,455673701194,4782250157,957901,10625,2330,366,392032,0 57,2,2024-09-07 09:44:01:323,700028,700028,0,0,34155482,0,4317 57,3,2024-09-07 09:44:01:738,1,554,9,0,455,6434,554,0 58,0,2024-09-07 09:44:00:554,134447,0.9,130418,1.0,272904,1.1,357467,2.50 58,1,2024-09-07 09:44:00:575,972211,972208,0,3,456669353610,4784740550,957916,10970,3322,367,391675,3 58,2,2024-09-07 09:44:01:076,701800,701800,0,0,32348212,0,3483 58,3,2024-09-07 09:44:01:072,1,554,10,0,1043,6142,554,0 59,0,2024-09-07 09:44:01:765,135744,0.8,135626,0.9,270619,0.9,359174,2.75 59,1,2024-09-07 09:44:00:804,970994,970994,0,0,456811853606,4798914922,954847,12816,3331,369,391653,0 59,2,2024-09-07 09:44:00:583,700487,700487,0,0,31011893,0,3727 59,3,2024-09-07 09:44:01:738,1,554,24,0,1015,6813,554,0 60,0,2024-09-07 09:44:01:787,140804,0.5,141276,0.6,282186,0.4,376023,1.75 60,1,2024-09-07 09:44:00:798,976028,976028,0,0,458681491196,4759907988,969521,5568,939,370,392031,0 60,2,2024-09-07 09:44:01:153,701780,701780,0,0,28768403,0,3811 60,3,2024-09-07 09:44:01:268,1,554,4,0,409,6456,554,0 61,0,2024-09-07 09:44:01:504,141652,0.7,142308,0.8,283240,0.7,378354,2.00 61,1,2024-09-07 09:44:00:780,972403,972403,0,0,457002469209,4782635996,962299,8521,1583,382,392127,0 61,2,2024-09-07 09:44:01:133,697840,697773,67,0,29664297,0,6411 61,3,2024-09-07 09:44:01:691,1,554,0,0,607,7623,554,0 62,0,2024-09-07 09:44:01:706,138766,0.6,142387,0.8,271845,0.6,369001,2.00 62,1,2024-09-07 09:44:01:111,978148,978142,0,6,459568762103,4735029691,973787,4073,282,365,391975,6 62,2,2024-09-07 09:44:01:648,700910,700909,1,0,29215335,0,5555 62,3,2024-09-07 09:44:01:145,1,554,10,0,482,4434,554,0 63,0,2024-09-07 09:44:01:456,134917,0.4,134694,0.6,270263,0.3,358858,1.75 63,1,2024-09-07 09:44:00:805,975090,975084,0,6,458376832406,4757455553,968888,5356,840,381,391800,6 63,2,2024-09-07 09:44:00:764,703154,703154,0,0,28019229,0,4369 63,3,2024-09-07 09:44:01:738,1,554,9,0,667,5983,554,0 64,0,2024-09-07 09:44:01:546,139019,0.4,139056,0.6,277393,0.4,369539,1.75 64,1,2024-09-07 09:44:00:758,974140,974140,0,0,457175783876,4758649553,965905,6423,1812,370,391794,0 64,2,2024-09-07 09:44:01:153,705809,705790,19,0,27262629,0,6121 64,3,2024-09-07 09:44:01:146,1,554,37,0,651,5680,554,0 65,0,2024-09-07 09:44:01:684,139824,0.6,140105,0.7,279786,0.6,372902,2.00 65,1,2024-09-07 09:44:00:859,972401,972401,0,0,455864627828,4761562635,965635,5966,800,381,391901,0 65,2,2024-09-07 09:44:01:694,696609,696609,0,0,31541356,0,3367 65,3,2024-09-07 09:44:01:691,1,554,1,0,782,6404,554,0 66,0,2024-09-07 09:44:01:766,140060,0.6,139488,0.8,279087,0.5,371395,2.25 66,1,2024-09-07 09:44:01:301,974766,974766,0,0,457027231521,4754805116,968531,5513,722,380,391743,0 66,2,2024-09-07 09:44:01:135,705125,705122,3,0,28833832,0,5455 66,3,2024-09-07 09:44:01:082,1,554,8,0,291,4707,554,0 67,0,2024-09-07 09:44:01:417,133463,0.5,133142,0.7,266984,0.5,355060,2.00 67,1,2024-09-07 09:44:00:767,974571,974570,0,1,456908200947,4753475678,968283,5472,815,380,391787,1 67,2,2024-09-07 09:44:00:582,705183,705168,15,0,28827319,0,6205 67,3,2024-09-07 09:44:01:750,1,554,1,0,595,5888,554,0 68,0,2024-09-07 09:44:00:659,137918,0.5,137648,0.7,274181,0.5,367252,2.00 68,1,2024-09-07 09:44:00:610,970188,970188,0,0,455308871499,4787648029,957233,9497,3458,381,391953,0 68,2,2024-09-07 09:44:01:060,696858,696758,100,0,36736070,0,8578 68,3,2024-09-07 09:44:00:736,1,554,10,0,417,7282,554,0 69,0,2024-09-07 09:44:01:729,141071,0.7,141922,0.8,282783,0.7,375500,2.25 69,1,2024-09-07 09:44:01:018,969541,969541,0,0,455145999216,4795096941,956911,9867,2763,383,391994,0 69,2,2024-09-07 09:44:01:749,695848,695819,29,0,37880683,0,6912 69,3,2024-09-07 09:44:00:765,1,554,75,0,698,8304,554,0 70,0,2024-09-07 09:44:01:541,140353,0.8,140815,1.0,283219,0.7,374809,2.50 70,1,2024-09-07 09:44:00:805,975424,975424,0,0,458408014822,4756763178,967787,6874,763,366,391725,0 70,2,2024-09-07 09:44:01:328,701584,701584,0,0,31838974,0,4323 70,3,2024-09-07 09:44:00:744,1,554,9,0,854,6353,554,0 71,0,2024-09-07 09:44:01:361,134360,1.0,134128,1.1,269284,1.4,359513,2.75 71,1,2024-09-07 09:44:01:610,973005,973005,0,0,457107539393,4769688283,961173,10483,1349,368,391738,0 71,2,2024-09-07 09:44:01:074,701549,701549,0,0,32513617,0,4352 71,3,2024-09-07 09:44:01:750,1,554,1,0,644,6470,554,0 72,0,2024-09-07 09:44:01:048,141309,0.5,138059,0.7,269586,0.5,366680,2.00 72,1,2024-09-07 09:44:01:023,971565,971565,0,0,456179506212,4785123312,957617,11554,2394,369,391819,0 72,2,2024-09-07 09:44:01:758,698861,698861,0,0,33903307,0,3983 72,3,2024-09-07 09:44:01:755,1,554,14,0,564,7734,554,0 73,0,2024-09-07 09:44:01:148,138559,0.5,142047,0.6,290690,0.4,377886,2.00 73,1,2024-09-07 09:44:00:786,973682,973682,0,0,457247190809,4752366594,966183,6572,927,367,391858,0 73,2,2024-09-07 09:44:01:745,700202,700202,0,0,34328518,0,4728 73,3,2024-09-07 09:44:00:976,1,554,8,0,1091,7924,554,0 74,0,2024-09-07 09:44:01:327,143668,0.5,147131,0.7,280617,0.4,380749,2.00 74,1,2024-09-07 09:44:00:635,972296,972296,0,0,456318589710,4763204854,961680,8690,1926,381,391762,0 74,2,2024-09-07 09:44:01:006,698282,698282,0,0,29723821,0,4253 74,3,2024-09-07 09:44:01:461,1,554,12,0,522,6510,554,0 75,0,2024-09-07 09:44:01:764,137040,0.6,136204,0.8,273348,0.5,365139,2.25 75,1,2024-09-07 09:44:01:594,973974,973974,0,0,457333510149,4766982605,965863,7245,866,380,391739,0 75,2,2024-09-07 09:44:01:353,700473,700473,0,0,36287728,0,4766 75,3,2024-09-07 09:44:01:098,1,554,2,0,702,6513,554,0 76,0,2024-09-07 09:44:00:640,134523,0.5,134042,0.7,268258,0.5,359054,2.25 76,1,2024-09-07 09:44:00:806,973315,973315,0,0,456261416093,4757057949,966631,5716,968,382,391723,0 76,2,2024-09-07 09:44:01:070,704417,704414,3,0,29824892,0,5265 76,3,2024-09-07 09:44:01:145,1,554,16,0,227,5045,554,0 77,0,2024-09-07 09:44:01:703,139805,0.5,140345,0.7,280694,0.4,372530,2.00 77,1,2024-09-07 09:44:00:824,973497,973497,0,0,457577837474,4770236069,966598,6302,597,381,391869,0 77,2,2024-09-07 09:44:01:287,701388,701388,0,0,29412284,0,3890 77,3,2024-09-07 09:44:01:102,1,554,1,0,401,5928,554,0 78,0,2024-09-07 09:44:01:728,141427,0.4,140892,0.6,282555,0.4,374239,2.00 78,1,2024-09-07 09:44:00:614,973481,973481,0,0,456655587933,4761878643,961892,8922,2667,367,391670,0 78,2,2024-09-07 09:44:01:411,699057,699044,13,0,27092899,0,8313 78,3,2024-09-07 09:44:01:134,1,554,8,0,311,4727,554,0 79,0,2024-09-07 09:44:01:353,134311,0.4,137546,0.6,281979,0.3,366698,2.00 79,1,2024-09-07 09:44:00:570,976599,976599,0,0,458686897897,4749140083,968854,6388,1357,367,391682,0 79,2,2024-09-07 09:44:01:075,703110,703110,0,0,26251857,0,4195 79,3,2024-09-07 09:44:00:754,1,554,68,0,418,6468,554,0 80,0,2024-09-07 09:44:01:112,133391,0.5,137171,0.6,262233,0.4,355368,2.00 80,1,2024-09-07 09:44:01:630,973476,973476,0,0,457845209541,4763040352,967560,5486,430,368,392269,0 80,2,2024-09-07 09:44:01:103,705222,705222,0,0,26780371,0,4433 80,3,2024-09-07 09:44:00:591,1,554,12,0,681,7067,554,0 81,0,2024-09-07 09:44:01:572,139032,0.5,142209,0.7,271252,0.5,368554,2.00 81,1,2024-09-07 09:44:01:662,972402,972402,0,0,456534980644,4769043022,964978,6782,642,382,391885,0 81,2,2024-09-07 09:44:01:134,700107,700044,63,0,30193923,0,5932 81,3,2024-09-07 09:44:01:132,1,554,56,0,719,6342,554,0 82,0,2024-09-07 09:44:01:547,141237,0.4,141345,0.7,283426,0.4,375378,2.00 82,1,2024-09-07 09:44:00:583,975312,975308,0,4,457859827741,4757362900,970126,4361,821,381,391768,4 82,2,2024-09-07 09:44:01:691,699162,699162,0,0,24762802,0,4484 82,3,2024-09-07 09:44:01:751,1,554,7,0,363,5286,554,0 83,0,2024-09-07 09:44:01:528,141007,0.6,140976,0.7,281411,0.6,373595,2.25 83,1,2024-09-07 09:44:00:557,973344,973344,0,0,456389315265,4754615572,966928,5959,457,382,391709,0 83,2,2024-09-07 09:44:00:775,702768,702743,25,0,26958054,0,5612 83,3,2024-09-07 09:44:00:754,1,554,8,0,1260,6783,554,0 84,0,2024-09-07 09:44:01:764,133808,0.7,134097,0.9,267561,0.6,357417,2.25 84,1,2024-09-07 09:44:01:038,972005,972005,0,0,456195488713,4770914736,960624,9727,1654,367,391967,0 84,2,2024-09-07 09:44:00:574,701990,701960,30,0,36159341,0,5971 84,3,2024-09-07 09:44:01:145,1,554,1,0,908,7586,554,0 85,0,2024-09-07 09:44:01:034,132095,0.6,132122,0.8,280489,0.5,363020,2.25 85,1,2024-09-07 09:44:00:565,968789,968789,0,0,455775198809,4813684385,953496,12547,2746,381,392092,0 85,2,2024-09-07 09:44:00:869,699150,699150,0,0,33655611,0,4255 85,3,2024-09-07 09:44:00:693,1,554,1,0,789,6570,554,0 86,0,2024-09-07 09:44:00:947,141842,0.6,145796,0.8,278720,0.7,377200,2.25 86,1,2024-09-07 09:44:00:837,971197,971197,0,0,456740909900,4790044715,958286,10255,2656,366,391995,0 86,2,2024-09-07 09:44:00:856,698091,698090,1,0,35728166,0,5004 86,3,2024-09-07 09:44:00:599,1,554,23,0,308,7948,554,0 87,0,2024-09-07 09:44:01:296,142603,0.8,142216,0.8,284472,1.0,380146,2.25 87,1,2024-09-07 09:44:00:552,970602,970602,0,0,456185573283,4778411807,958165,10719,1718,366,392076,0 87,2,2024-09-07 09:44:01:085,699046,699040,6,0,32222780,0,6323 87,3,2024-09-07 09:44:01:796,1,554,7,0,473,8325,554,0 88,0,2024-09-07 09:44:01:440,136142,0.5,136660,0.6,273060,0.4,363795,1.75 88,1,2024-09-07 09:44:00:582,970138,970138,0,0,456116669584,4778489535,956695,10706,2737,365,392084,0 88,2,2024-09-07 09:44:00:688,701209,701209,0,0,35554980,0,4465 88,3,2024-09-07 09:44:01:267,1,554,0,0,1080,8487,554,0 89,0,2024-09-07 09:44:01:781,140296,0.4,136060,0.6,268597,0.4,365137,1.75 89,1,2024-09-07 09:44:00:551,969896,969896,0,0,455811420141,4795849276,957520,10443,1933,382,391866,0 89,2,2024-09-07 09:44:01:135,700014,700014,0,0,32883070,0,3173 89,3,2024-09-07 09:44:01:792,1,554,0,0,468,9468,554,0 90,0,2024-09-07 09:44:01:632,136772,0.4,140610,0.6,286619,0.4,374109,2.00 90,1,2024-09-07 09:44:00:596,971708,971708,0,0,456241422660,4777953970,962449,8422,837,380,391825,0 90,2,2024-09-07 09:44:01:418,698391,698391,0,0,35472480,0,3608 90,3,2024-09-07 09:44:00:930,1,554,12,0,322,6804,554,0 91,0,2024-09-07 09:44:00:968,142319,0.5,137980,0.6,288624,0.4,378978,1.75 91,1,2024-09-07 09:44:00:558,969135,969135,0,0,456045452349,4799920747,955757,10872,2506,381,392047,0 91,2,2024-09-07 09:44:01:334,698058,698058,0,0,30889973,0,4713 91,3,2024-09-07 09:44:00:600,1,554,1,0,216,5129,554,0 92,0,2024-09-07 09:44:01:455,139897,0.5,143028,0.6,272780,0.5,369316,1.75 92,1,2024-09-07 09:44:00:592,973032,973032,0,0,456767837619,4769316093,965466,6357,1209,381,392136,0 92,2,2024-09-07 09:44:01:351,702668,702668,0,0,27630514,0,3259 92,3,2024-09-07 09:44:01:009,1,554,31,0,167,4768,554,0 93,0,2024-09-07 09:44:00:971,135252,0.4,138588,0.6,264608,0.3,358839,1.75 93,1,2024-09-07 09:44:00:810,973255,973255,0,0,457183030171,4770393559,962790,8730,1735,366,391776,0 93,2,2024-09-07 09:44:00:927,702420,702420,0,0,33645229,0,4913 93,3,2024-09-07 09:44:01:410,1,554,0,0,190,4865,554,0 94,0,2024-09-07 09:44:01:636,138776,0.3,139763,0.5,279403,0.3,371227,1.75 94,1,2024-09-07 09:44:00:566,973753,973753,0,0,456929944733,4764192102,967348,5981,424,381,391850,0 94,2,2024-09-07 09:44:00:766,701722,701694,28,0,28619403,0,6179 94,3,2024-09-07 09:44:01:689,1,554,8,0,576,6867,554,0 95,0,2024-09-07 09:44:01:367,140874,0.3,140957,0.5,282034,0.3,375556,1.75 95,1,2024-09-07 09:44:00:854,975272,975272,0,0,457574714032,4753316956,967648,6943,681,365,391852,0 95,2,2024-09-07 09:44:01:022,696561,696561,0,0,27304688,0,3308 95,3,2024-09-07 09:44:01:720,1,554,3,0,718,8309,554,0 96,0,2024-09-07 09:44:01:044,140459,0.4,140697,0.5,281350,0.3,373638,1.75 96,1,2024-09-07 09:44:01:595,972699,972699,0,0,456487906873,4758200434,966256,5528,915,384,391964,0 96,2,2024-09-07 09:44:01:275,703345,703345,0,0,28304064,0,4180 96,3,2024-09-07 09:44:01:145,1,554,225,0,411,6018,554,0 97,0,2024-09-07 09:44:01:315,133434,0.3,133375,0.5,267838,0.3,355185,1.50 97,1,2024-09-07 09:44:00:795,975111,975111,0,0,458076825452,4748724808,969245,4919,947,367,392140,0 97,2,2024-09-07 09:44:00:609,704189,704189,0,0,27883141,0,3679 97,3,2024-09-07 09:44:00:568,1,554,1,0,242,6213,554,0 98,0,2024-09-07 09:44:01:699,137423,0.3,137600,0.4,275894,0.2,367200,1.50 98,1,2024-09-07 09:44:00:570,974078,974078,0,0,457282863280,4758731445,968585,4690,803,381,391997,0 98,2,2024-09-07 09:44:00:774,701569,701569,0,0,27499023,0,4336 98,3,2024-09-07 09:44:00:698,1,554,11,0,840,8494,554,0 99,0,2024-09-07 09:44:01:455,141924,0.3,142523,0.5,283677,0.3,378407,1.75 99,1,2024-09-07 09:44:01:732,974708,974708,0,0,456950408601,4753877685,968674,5105,929,380,392069,0 99,2,2024-09-07 09:44:01:418,698587,698587,0,0,32981485,0,4276 99,3,2024-09-07 09:44:00:581,1,554,13,0,606,5453,554,0 100,0,2024-09-07 09:44:01:470,141658,0.8,141899,0.9,283425,0.9,378353,2.50 100,1,2024-09-07 09:44:00:550,968850,968850,0,0,454718899082,4807794994,953735,11833,3282,378,391989,0 100,2,2024-09-07 09:44:01:835,699278,699267,11,0,32857814,0,5417 100,3,2024-09-07 09:44:01:737,1,554,2,0,627,9770,554,0 101,0,2024-09-07 09:44:01:707,138507,1.1,135086,1.0,264595,1.0,361722,2.25 101,1,2024-09-07 09:44:00:550,970418,970418,0,0,455449810303,4785009428,957481,10487,2450,368,391847,0 101,2,2024-09-07 09:44:01:755,697948,697948,0,0,37730335,0,4871 101,3,2024-09-07 09:44:00:947,1,554,1,0,1250,8613,554,0 102,0,2024-09-07 09:44:00:986,133017,0.6,137188,0.8,278159,0.6,364496,2.00 102,1,2024-09-07 09:44:01:148,970419,970419,0,0,455202354099,4781758648,957264,11004,2151,369,391891,0 102,2,2024-09-07 09:44:01:737,700514,700460,54,0,31961173,0,6768 102,3,2024-09-07 09:44:01:624,1,554,14,0,466,6400,554,0 103,0,2024-09-07 09:44:01:628,146787,0.6,146806,0.7,276727,0.6,380951,2.00 103,1,2024-09-07 09:44:01:642,969152,969152,0,0,455494935219,4811167943,953362,12203,3587,381,392077,0 103,2,2024-09-07 09:44:00:583,698628,698628,0,0,33993345,0,3766 103,3,2024-09-07 09:44:00:755,1,554,1,0,916,6902,554,0 104,0,2024-09-07 09:44:01:020,141638,0.8,141824,0.9,282888,0.7,378787,2.25 104,1,2024-09-07 09:44:01:603,971841,971841,0,0,455877917680,4789009039,957955,11298,2588,365,392168,0 104,2,2024-09-07 09:44:01:671,696292,696292,0,0,32784239,0,3941 104,3,2024-09-07 09:44:01:428,1,554,4,0,1245,10142,554,0 105,0,2024-09-07 09:44:01:032,135383,0.9,131950,1.1,276486,1.0,363160,2.75 105,1,2024-09-07 09:44:00:556,972995,972995,0,0,457102582250,4787696785,960870,10319,1806,364,392009,0 105,2,2024-09-07 09:44:01:329,700539,700539,0,0,33726443,0,4360 105,3,2024-09-07 09:44:01:308,1,554,2,0,467,8071,554,0 106,0,2024-09-07 09:44:00:959,130573,0.7,133758,0.9,273954,0.8,357692,2.50 106,1,2024-09-07 09:44:01:806,971898,971898,0,0,456431307301,4788889193,958600,11647,1651,368,391914,0 106,2,2024-09-07 09:44:00:755,700519,700519,0,0,31632385,0,2920 106,3,2024-09-07 09:44:00:677,1,554,9,0,1224,8139,554,0 107,0,2024-09-07 09:44:01:334,140059,0.8,140028,0.9,280368,1.0,373469,2.25 107,1,2024-09-07 09:44:00:599,969639,969639,0,0,455382028278,4804728696,955240,12825,1574,381,392234,0 107,2,2024-09-07 09:44:01:295,698822,698821,1,0,34337871,0,5024 107,3,2024-09-07 09:44:01:758,1,554,3,0,733,8639,554,0 108,0,2024-09-07 09:44:01:783,140872,0.4,141418,0.6,281734,0.4,376076,1.75 108,1,2024-09-07 09:44:01:440,972488,972488,0,0,456922186630,4764879942,964261,7251,976,367,391894,0 108,2,2024-09-07 09:44:01:755,696436,696436,0,0,30016072,0,4246 108,3,2024-09-07 09:44:01:337,1,554,1,0,749,10540,554,0 109,0,2024-09-07 09:44:01:794,139828,0.4,138635,0.6,277994,0.4,371164,1.75 109,1,2024-09-07 09:44:00:583,970436,970436,0,0,456728006265,4788642863,962028,7191,1217,382,392132,0 109,2,2024-09-07 09:44:00:920,700691,700691,0,0,30386422,0,3617 109,3,2024-09-07 09:44:01:147,1,554,4,0,630,6960,554,0 110,0,2024-09-07 09:44:01:876,133552,0.4,129905,0.6,271980,0.3,356792,1.75 110,1,2024-09-07 09:44:01:670,974466,974466,0,0,457928260575,4754069704,966715,5827,1924,368,392045,0 110,2,2024-09-07 09:44:01:309,703661,703661,0,0,28609953,0,4067 110,3,2024-09-07 09:44:00:692,1,554,13,0,722,7676,554,0 111,0,2024-09-07 09:44:01:448,139288,0.3,138404,0.5,277162,0.3,369985,1.75 111,1,2024-09-07 09:44:01:006,975609,975609,0,0,459267029488,4760137469,970918,4334,357,380,391690,0 111,2,2024-09-07 09:44:01:116,701154,701154,0,0,29057734,0,4823 111,3,2024-09-07 09:44:00:913,1,554,9,0,379,6159,554,0 112,0,2024-09-07 09:44:00:916,142176,0.3,141637,0.4,283979,0.2,376620,1.50 112,1,2024-09-07 09:44:00:824,974298,974298,0,0,457506207325,4749354140,967776,5437,1085,380,391624,0 112,2,2024-09-07 09:44:01:135,697376,697375,1,0,27237666,0,5036 112,3,2024-09-07 09:44:00:602,1,554,6,0,282,5233,554,0 113,0,2024-09-07 09:44:00:865,141220,0.3,141131,0.5,282564,0.2,375924,1.75 113,1,2024-09-07 09:44:01:698,976742,976742,0,0,459171759826,4736185239,971165,4702,875,365,391664,0 113,2,2024-09-07 09:44:01:308,705468,705468,0,0,25177643,0,3813 113,3,2024-09-07 09:44:00:685,1,554,10,0,510,6165,554,0 114,0,2024-09-07 09:44:00:875,135255,0.3,136223,0.5,270653,0.2,361390,1.75 114,1,2024-09-07 09:44:00:719,974819,974819,0,0,458037065542,4753031412,967903,5299,1617,381,391565,0 114,2,2024-09-07 09:44:00:873,704104,704103,1,0,27354196,0,5069 114,3,2024-09-07 09:44:01:278,1,554,8,0,395,4425,554,0 115,0,2024-09-07 09:44:00:554,137772,0.3,138409,0.4,275668,0.2,366936,1.50 115,1,2024-09-07 09:44:00:572,975091,975091,0,0,457816043425,4754475595,967605,6128,1358,382,391757,0 115,2,2024-09-07 09:44:01:130,703312,703312,0,0,26662248,0,4382 115,3,2024-09-07 09:44:01:007,1,554,5,0,167,3201,554,0 116,0,2024-09-07 09:44:01:699,141526,0.7,141266,0.8,283265,0.6,378357,2.00 116,1,2024-09-07 09:44:00:806,969930,969930,0,0,456036104103,4808484288,957911,8967,3052,380,392089,0 116,2,2024-09-07 09:44:01:750,698475,698475,0,0,34124030,0,4475 116,3,2024-09-07 09:44:00:915,1,554,18,0,448,7198,554,0 117,0,2024-09-07 09:44:00:970,142826,0.7,142169,0.8,284955,0.8,380920,2.00 117,1,2024-09-07 09:44:01:582,971396,971396,0,0,456348361402,4776429405,960758,9176,1462,369,392033,0 117,2,2024-09-07 09:44:01:118,701440,701440,0,0,30554745,0,4303 117,3,2024-09-07 09:44:01:063,1,554,1,0,490,7308,554,0 118,0,2024-09-07 09:44:01:819,132091,0.6,135691,0.7,276891,0.5,362281,2.00 118,1,2024-09-07 09:44:00:585,970068,970068,0,0,455181279802,4786765275,955220,11239,3609,366,392054,0 118,2,2024-09-07 09:44:01:598,701024,701024,0,0,32931349,0,2842 118,3,2024-09-07 09:44:01:764,1,554,8,0,248,6146,554,0 119,0,2024-09-07 09:44:01:351,135834,0.6,136498,0.7,272513,0.5,363314,2.00 119,1,2024-09-07 09:44:00:560,971091,971091,0,0,455974614654,4780594125,957822,10935,2334,367,391857,0 119,2,2024-09-07 09:44:01:264,701389,701389,0,0,30908574,0,4309 119,3,2024-09-07 09:44:01:330,1,554,2,0,1358,10749,554,0 120,0,2024-09-07 09:44:01:563,140271,0.6,140164,0.8,281372,0.6,375515,2.25 120,1,2024-09-07 09:44:00:863,972600,972600,0,0,456221725209,4779765171,962995,8824,781,367,392144,0 120,2,2024-09-07 09:44:00:773,700050,700049,1,0,36194346,0,5281 120,3,2024-09-07 09:44:01:308,1,554,7,0,279,7023,554,0 121,0,2024-09-07 09:44:01:698,142013,1.2,141405,1.1,283183,1.6,377902,2.25 121,1,2024-09-07 09:44:01:655,972046,972046,0,0,456417364286,4772397958,962234,8962,850,366,391840,0 121,2,2024-09-07 09:44:01:130,696688,696688,0,0,32774281,0,4157 121,3,2024-09-07 09:44:00:732,1,554,1,0,330,6577,554,0 122,0,2024-09-07 09:44:01:760,137731,0.8,134050,0.9,280837,1.0,369240,2.25 122,1,2024-09-07 09:44:00:860,970809,970809,0,0,456259344355,4789932672,956987,11490,2332,366,392130,0 122,2,2024-09-07 09:44:01:320,702283,702208,75,0,37164812,0,5989 122,3,2024-09-07 09:44:00:598,1,554,8,0,512,9242,554,0 123,0,2024-09-07 09:44:00:955,134070,0.8,130312,0.8,272900,0.9,357617,2.25 123,1,2024-09-07 09:44:00:562,971345,971345,0,0,456253796063,4798848614,955470,13221,2654,369,392039,0 123,2,2024-09-07 09:44:01:024,700067,700066,1,0,31775937,0,5215 123,3,2024-09-07 09:44:01:145,1,554,5,0,478,6425,554,0 124,0,2024-09-07 09:44:00:948,143408,0.3,143388,0.5,270303,0.3,372508,1.75 124,1,2024-09-07 09:44:01:021,974349,974349,0,0,457646669387,4755463418,967167,6046,1136,365,392178,0 124,2,2024-09-07 09:44:01:010,703193,703140,53,0,28533210,0,6487 124,3,2024-09-07 09:44:00:757,1,554,1,0,490,5689,554,0 125,0,2024-09-07 09:44:01:422,140721,0.4,140564,0.5,282199,0.3,375511,1.75 125,1,2024-09-07 09:44:00:863,972447,972447,0,0,456165786359,4758746754,965391,6071,985,382,391813,0 125,2,2024-09-07 09:44:01:120,699434,699434,0,0,28079464,0,4534 125,3,2024-09-07 09:44:01:133,1,554,11,0,709,6490,554,0 126,0,2024-09-07 09:44:01:417,140640,0.4,144614,0.6,276721,0.4,374234,1.75 126,1,2024-09-07 09:44:00:557,975740,975740,0,0,457390174951,4733014071,970955,4472,313,365,391987,0 126,2,2024-09-07 09:44:00:617,703473,703473,0,0,29422373,0,4539 126,3,2024-09-07 09:44:00:907,1,554,25,0,268,6119,554,0 127,0,2024-09-07 09:44:01:607,133705,0.3,134051,0.5,267557,0.3,355465,1.75 127,1,2024-09-07 09:44:00:585,973845,973845,0,0,457476600224,4757338915,964440,7898,1507,364,392187,0 127,2,2024-09-07 09:44:00:637,702477,702473,4,0,28232177,0,5305 127,3,2024-09-07 09:44:01:267,1,554,26,0,968,5507,554,0 128,0,2024-09-07 09:44:01:529,138311,0.3,138309,0.4,276327,0.2,367935,1.50 128,1,2024-09-07 09:44:01:618,973715,973715,0,0,458136734683,4759816099,966562,6291,862,367,392031,0 128,2,2024-09-07 09:44:01:393,702636,702636,0,0,26185920,0,3171 128,3,2024-09-07 09:44:00:774,1,554,6,0,1082,8747,554,0 129,0,2024-09-07 09:44:00:991,142925,0.3,142330,0.5,285198,0.3,378699,1.50 129,1,2024-09-07 09:44:00:567,970512,970512,0,0,456675075888,4783245725,961108,7402,2002,379,391962,0 129,2,2024-09-07 09:44:00:686,699172,699168,4,0,28316817,0,5335 129,3,2024-09-07 09:44:00:688,1,554,3,0,506,7747,554,0 130,0,2024-09-07 09:44:01:741,142911,0.4,142321,0.6,285416,0.4,379674,1.75 130,1,2024-09-07 09:44:00:583,974613,974613,0,0,457445649928,4749981965,969705,4518,390,381,391825,0 130,2,2024-09-07 09:44:01:126,702302,702302,0,0,28264501,0,4067 130,3,2024-09-07 09:44:01:293,1,554,14,0,960,7582,554,0 131,0,2024-09-07 09:44:01:942,135775,0.4,136303,0.5,272960,0.3,362546,1.75 131,1,2024-09-07 09:44:01:823,973713,973713,0,0,457278914762,4766438261,966807,5713,1193,381,391865,0 131,2,2024-09-07 09:44:00:568,703249,703249,0,0,26536382,0,3979 131,3,2024-09-07 09:44:01:691,1,554,4,0,392,6816,554,0 132,0,2024-09-07 09:44:01:469,136992,0.5,137847,0.6,274309,0.4,365543,2.00 132,1,2024-09-07 09:44:00:580,970023,970023,0,0,455708535708,4796391459,956008,11375,2640,381,392532,0 132,2,2024-09-07 09:44:00:698,700571,700554,17,0,34886020,0,6451 132,3,2024-09-07 09:44:01:694,1,554,21,0,804,8964,554,0 133,0,2024-09-07 09:44:01:528,138680,0.4,141851,0.6,290989,0.4,378640,2.00 133,1,2024-09-07 09:44:00:583,969841,969841,0,0,456166397669,4805664051,956741,11393,1707,383,391914,0 133,2,2024-09-07 09:44:01:329,701073,701023,50,0,35187425,0,6861 133,3,2024-09-07 09:44:01:308,1,554,7,0,528,6902,554,0 134,0,2024-09-07 09:44:00:946,142948,0.5,142968,0.7,286246,0.5,380886,2.00 134,1,2024-09-07 09:44:00:584,971613,971613,0,0,455841664015,4777254278,959674,9784,2155,366,391781,0 134,2,2024-09-07 09:44:01:757,698245,698221,24,0,32874825,0,6207 134,3,2024-09-07 09:44:00:756,1,554,7,0,739,6535,554,0 135,0,2024-09-07 09:44:01:102,132592,0.9,132567,0.9,281432,1.1,362780,2.25 135,1,2024-09-07 09:44:01:590,970811,970811,0,0,455410269941,4786991083,958783,10457,1571,380,391805,0 135,2,2024-09-07 09:44:00:693,702152,702152,0,0,33549479,0,4503 135,3,2024-09-07 09:44:01:009,1,554,5,0,900,5485,554,0 136,0,2024-09-07 09:44:01:625,135684,0.5,135957,0.7,270621,0.5,361059,2.00 136,1,2024-09-07 09:44:01:464,971687,971687,0,0,456185549732,4782047438,960559,9762,1366,381,392135,0 136,2,2024-09-07 09:44:01:132,702614,702599,15,0,33462362,0,6007 136,3,2024-09-07 09:44:01:111,1,554,7,0,637,6687,554,0 137,0,2024-09-07 09:44:00:920,144656,0.5,141012,0.7,276296,0.5,375130,2.00 137,1,2024-09-07 09:44:00:580,970158,970158,0,0,457212564135,4793958851,955157,11952,3049,366,391898,0 137,2,2024-09-07 09:44:01:706,699736,699736,0,0,34287740,0,3185 137,3,2024-09-07 09:44:00:775,1,554,6,0,484,7256,554,0 138,0,2024-09-07 09:44:01:773,140434,0.8,140627,0.9,281840,0.9,374625,2.00 138,1,2024-09-07 09:44:01:690,971198,971198,0,0,456700600806,4790547061,957354,11605,2239,368,391954,0 138,2,2024-09-07 09:44:00:585,696457,696457,0,0,31553650,0,4988 138,3,2024-09-07 09:44:00:621,1,554,14,0,1200,8881,554,0 139,0,2024-09-07 09:44:01:362,138072,1.2,138559,1.0,277113,1.7,369511,2.25 139,1,2024-09-07 09:44:00:571,967041,967041,0,0,454132706858,4816438910,949791,13565,3685,380,392109,0 139,2,2024-09-07 09:44:00:692,698029,698029,0,0,35780705,0,3097 139,3,2024-09-07 09:44:01:662,1,554,75,0,432,6441,554,0 140,0,2024-09-07 09:44:01:593,134210,0.3,133349,0.5,267585,0.2,357130,1.75 140,1,2024-09-07 09:44:01:545,977316,977316,0,0,459330370056,4733030318,972669,4108,539,364,391606,0 140,2,2024-09-07 09:44:00:687,703915,703914,1,0,26600728,0,5036 140,3,2024-09-07 09:44:00:774,1,554,92,0,297,5035,554,0 141,0,2024-09-07 09:44:01:698,138990,0.3,142731,0.4,272609,0.2,369677,1.50 141,1,2024-09-07 09:44:00:859,975239,975239,0,0,458592155025,4762466864,967680,6426,1133,379,391614,0 141,2,2024-09-07 09:44:01:690,701577,701566,11,0,28364321,0,5369 141,3,2024-09-07 09:44:01:062,1,554,1,0,391,6281,554,0 142,0,2024-09-07 09:44:01:320,142266,0.3,141250,0.5,283135,0.2,377439,1.50 142,1,2024-09-07 09:44:00:584,973330,973330,0,0,457623380456,4767798553,967211,5632,487,382,392102,0 142,2,2024-09-07 09:44:01:305,696346,696314,32,0,28604328,0,6028 142,3,2024-09-07 09:44:01:747,1,554,22,0,484,5786,554,0 143,0,2024-09-07 09:44:01:379,140962,0.4,141006,0.6,282972,0.4,375753,1.75 143,1,2024-09-07 09:44:00:556,975735,975735,0,0,458623822577,4757260737,969454,5718,563,367,391722,0 143,2,2024-09-07 09:44:00:775,703690,703690,0,0,28442330,0,3123 143,3,2024-09-07 09:44:01:145,1,554,0,0,462,6899,554,0 144,0,2024-09-07 09:44:01:500,130883,0.6,134605,0.8,273895,0.6,358196,2.00 144,1,2024-09-07 09:44:00:567,970871,970871,0,0,455643502498,4778266235,961411,7491,1969,381,391733,0 144,2,2024-09-07 09:44:01:755,703303,703303,0,0,27901765,0,4443 144,3,2024-09-07 09:44:01:747,1,554,4,0,249,5491,554,0 145,0,2024-09-07 09:44:01:361,133023,0.5,132989,0.7,282355,0.5,363724,2.25 145,1,2024-09-07 09:44:00:552,970208,970208,0,0,455538965375,4795643894,956734,10813,2661,382,391759,0 145,2,2024-09-07 09:44:01:429,698777,698695,82,0,33804832,0,7814 145,3,2024-09-07 09:44:00:895,1,554,1,0,622,7653,554,0 146,0,2024-09-07 09:44:01:591,141692,0.6,141240,0.8,283646,0.6,376696,2.25 146,1,2024-09-07 09:44:01:586,970913,970913,0,0,455927512056,4798568761,954236,12255,4422,367,391770,0 146,2,2024-09-07 09:44:01:705,697785,697779,6,0,31755544,0,5151 146,3,2024-09-07 09:44:01:280,1,554,8,0,1520,9318,554,0 147,0,2024-09-07 09:44:01:702,142715,0.6,142448,0.7,284604,0.6,380077,2.25 147,1,2024-09-07 09:44:01:374,974878,974878,0,0,458272489495,4758670861,967525,6473,880,367,391791,0 147,2,2024-09-07 09:44:01:017,699563,699563,0,0,27920561,0,2968 147,3,2024-09-07 09:44:00:912,1,554,1,0,1626,8644,554,0 0,0,2024-09-07 09:44:11:743,137495,0.6,137515,0.7,291688,0.6,377613,2.00 0,1,2024-09-07 09:44:10:803,973537,973537,0,0,457288002425,4785153951,965921,6771,845,369,391896,0 0,2,2024-09-07 09:44:11:066,703363,703363,0,0,28299783,0,4480 0,3,2024-09-07 09:44:10:973,1,555,5,0,431,7968,555,0 1,0,2024-09-07 09:44:11:758,142143,0.9,141096,0.9,283816,1.1,379179,2.00 1,1,2024-09-07 09:44:10:661,972017,972017,0,0,456120228811,4776640937,963173,7370,1474,370,391859,0 1,2,2024-09-07 09:44:10:643,699387,699387,0,0,27382493,0,3380 1,3,2024-09-07 09:44:11:311,1,555,5,0,269,6812,555,0 2,0,2024-09-07 09:44:11:571,138230,0.6,137966,0.7,275898,0.6,368049,2.00 2,1,2024-09-07 09:44:10:866,975668,975668,0,0,458544243592,4763612078,970501,4237,930,379,391745,0 2,2,2024-09-07 09:44:11:264,703608,703608,0,0,26282213,0,3594 2,3,2024-09-07 09:44:10:691,1,555,12,0,357,4911,555,0 3,0,2024-09-07 09:44:11:747,134598,0.4,134588,0.6,268446,0.3,358203,2.00 3,1,2024-09-07 09:44:11:628,974568,974568,0,0,457909984114,4763691884,967046,6819,703,379,391716,0 3,2,2024-09-07 09:44:11:142,704148,704125,23,0,27866923,0,5851 3,3,2024-09-07 09:44:11:753,1,555,150,0,275,3747,555,0 4,0,2024-09-07 09:44:11:835,135610,0.4,139375,0.5,284163,0.3,372030,1.75 4,1,2024-09-07 09:44:10:607,970787,970787,0,0,454476889476,4814206031,953459,13620,3708,370,391992,0 4,2,2024-09-07 09:44:11:022,699759,699759,0,0,34054142,0,4534 4,3,2024-09-07 09:44:11:041,1,555,8,0,448,6984,555,0 5,0,2024-09-07 09:44:11:451,141128,0.4,141201,0.5,282518,0.4,375364,1.75 5,1,2024-09-07 09:44:10:761,971706,971706,0,0,457179837845,4813415215,957702,11192,2812,367,392005,0 5,2,2024-09-07 09:44:12:133,695418,695418,0,0,32332661,0,3582 5,3,2024-09-07 09:44:11:733,1,555,26,0,457,7499,555,0 6,0,2024-09-07 09:44:10:916,140651,0.5,140240,0.7,280661,0.4,373711,2.00 6,1,2024-09-07 09:44:10:750,973684,973684,0,0,457617496709,4780286064,963230,8932,1522,379,391702,0 6,2,2024-09-07 09:44:11:128,703915,703897,18,0,31974329,0,5535 6,3,2024-09-07 09:44:11:314,1,555,13,0,710,6889,555,0 7,0,2024-09-07 09:44:11:557,132921,0.5,133822,0.7,266386,0.5,354601,2.00 7,1,2024-09-07 09:44:10:851,972687,972687,0,0,457189105390,4801082874,958809,11474,2404,382,391747,0 7,2,2024-09-07 09:44:10:770,703715,703715,0,0,30715685,0,4791 7,3,2024-09-07 09:44:10:851,1,555,0,0,552,6336,555,0 8,0,2024-09-07 09:44:11:373,138436,0.3,137955,0.5,276857,0.3,369169,1.75 8,1,2024-09-07 09:44:11:020,970723,970723,0,0,457002674696,4806899622,954950,12256,3517,366,392853,0 8,2,2024-09-07 09:44:10:793,697432,697430,2,0,36554927,0,5112 8,3,2024-09-07 09:44:10:584,1,555,772,0,772,8775,555,0 9,0,2024-09-07 09:44:11:105,141700,0.4,137920,0.5,288946,0.3,378474,1.75 9,1,2024-09-07 09:44:10:550,970863,970863,0,0,455932131466,4806059989,955710,12168,2985,369,392001,0 9,2,2024-09-07 09:44:11:083,697333,697332,1,0,33236334,0,5281 9,3,2024-09-07 09:44:11:755,1,555,48,0,1273,9435,555,0 10,0,2024-09-07 09:44:11:621,142011,0.4,141525,0.5,283930,0.3,378122,1.75 10,1,2024-09-07 09:44:10:691,972684,972684,0,0,457126948599,4791993331,958925,11326,2433,381,391869,0 10,2,2024-09-07 09:44:10:762,703017,703017,0,0,36287759,0,4264 10,3,2024-09-07 09:44:10:871,1,555,19,0,669,6455,555,0 11,0,2024-09-07 09:44:11:005,135852,0.4,131688,0.6,275501,0.4,362922,1.75 11,1,2024-09-07 09:44:10:575,974497,974497,0,0,457939020386,4801225396,960366,10369,3762,383,391766,0 11,2,2024-09-07 09:44:11:135,702728,702728,0,0,32309462,0,4130 11,3,2024-09-07 09:44:11:298,1,555,1,0,843,7398,555,0 12,0,2024-09-07 09:44:10:955,138148,0.4,138079,0.5,276195,0.3,367323,1.75 12,1,2024-09-07 09:44:10:960,974020,974020,0,0,457175739659,4768225746,966015,7023,982,370,391960,0 12,2,2024-09-07 09:44:11:548,700955,700955,0,0,31055456,0,4390 12,3,2024-09-07 09:44:11:063,1,555,3,0,386,7202,555,0 13,0,2024-09-07 09:44:11:361,143241,0.4,143134,0.6,285536,0.4,380392,1.75 13,1,2024-09-07 09:44:11:531,971689,971689,0,0,456313536016,4794778311,961809,7580,2300,382,391768,0 13,2,2024-09-07 09:44:10:606,702775,702775,0,0,27988525,0,3287 13,3,2024-09-07 09:44:11:762,1,555,4,0,522,7604,555,0 14,0,2024-09-07 09:44:10:561,142960,0.4,143993,0.6,285750,0.4,380714,1.75 14,1,2024-09-07 09:44:11:563,978456,978456,0,0,459328681847,4749993474,971443,6285,728,364,391673,0 14,2,2024-09-07 09:44:10:764,701378,701348,30,0,29384737,0,6104 14,3,2024-09-07 09:44:11:116,1,555,11,0,1168,6250,555,0 15,0,2024-09-07 09:44:11:555,136759,0.4,136172,0.6,273204,0.4,364100,2.00 15,1,2024-09-07 09:44:11:613,975505,975505,0,0,457840395827,4765727981,967618,6399,1488,381,391619,0 15,2,2024-09-07 09:44:10:997,705466,705466,0,0,24972590,0,3622 15,3,2024-09-07 09:44:11:406,1,555,2,0,1126,7567,555,0 16,0,2024-09-07 09:44:10:946,135419,0.5,136211,0.7,271789,0.5,361721,2.00 16,1,2024-09-07 09:44:10:563,975627,975627,0,0,458125300167,4785823604,967177,7145,1305,370,392194,0 16,2,2024-09-07 09:44:11:436,702701,702701,0,0,29139129,0,4719 16,3,2024-09-07 09:44:11:141,1,555,1,0,358,6820,555,0 17,0,2024-09-07 09:44:11:827,144940,0.5,141238,0.7,276759,0.5,375728,1.75 17,1,2024-09-07 09:44:10:570,973392,973392,0,0,457058573149,4792560773,963739,7899,1754,368,392075,0 17,2,2024-09-07 09:44:11:675,705052,705051,1,0,30253943,0,5050 17,3,2024-09-07 09:44:10:574,1,555,0,0,518,8113,555,0 18,0,2024-09-07 09:44:10:941,139949,0.7,140873,0.8,280644,0.7,374993,2.25 18,1,2024-09-07 09:44:11:643,977713,977713,0,0,458841236753,4755802457,971546,5170,997,367,391725,0 18,2,2024-09-07 09:44:11:755,701246,701246,0,0,26458212,0,3541 18,3,2024-09-07 09:44:10:896,1,555,8,0,1059,5135,555,0 19,0,2024-09-07 09:44:11:562,138780,0.6,139035,0.8,276961,0.7,368391,2.00 19,1,2024-09-07 09:44:10:565,977008,977008,0,0,459640394455,4762622241,969976,5947,1085,367,391777,0 19,2,2024-09-07 09:44:11:752,706488,706488,0,0,25102755,0,3988 19,3,2024-09-07 09:44:11:134,1,555,1,0,524,4308,555,0 20,0,2024-09-07 09:44:11:348,133799,0.5,133973,0.7,267496,0.5,356998,2.00 20,1,2024-09-07 09:44:10:576,973003,973003,0,0,458051515200,4798619209,962867,8636,1500,369,391922,0 20,2,2024-09-07 09:44:10:928,703199,703199,0,0,31471383,0,4321 20,3,2024-09-07 09:44:10:588,1,555,0,0,468,9084,555,0 21,0,2024-09-07 09:44:11:186,139101,0.4,139211,0.6,278309,0.4,369851,1.75 21,1,2024-09-07 09:44:11:544,971346,971346,0,0,455963388867,4809717600,956465,11617,3264,368,392016,0 21,2,2024-09-07 09:44:11:071,699630,699610,20,0,35661781,0,5617 21,3,2024-09-07 09:44:11:418,1,555,18,0,713,7607,555,0 22,0,2024-09-07 09:44:11:722,141473,0.5,141956,0.7,283295,0.4,375729,2.00 22,1,2024-09-07 09:44:11:032,972286,972286,0,0,456355993535,4803263012,955935,13012,3339,382,391822,0 22,2,2024-09-07 09:44:10:760,696766,696740,26,0,29762391,0,6328 22,3,2024-09-07 09:44:11:066,1,555,15,0,228,4689,555,0 23,0,2024-09-07 09:44:11:373,140882,0.5,140468,0.7,280957,0.5,374517,2.25 23,1,2024-09-07 09:44:11:002,973854,973854,0,0,458032776463,4807566431,956999,12006,4849,365,391690,0 23,2,2024-09-07 09:44:11:093,704729,704729,0,0,28697584,0,3773 23,3,2024-09-07 09:44:11:762,1,555,2,0,855,8429,555,0 24,0,2024-09-07 09:44:10:827,135825,0.4,135150,0.6,271775,0.4,360318,1.75 24,1,2024-09-07 09:44:10:584,972682,972682,0,0,456302089885,4776205712,963401,7600,1681,367,392269,0 24,2,2024-09-07 09:44:11:073,702976,702976,0,0,34743531,0,4438 24,3,2024-09-07 09:44:11:686,1,555,0,0,468,6911,555,0 25,0,2024-09-07 09:44:11:405,141516,0.4,137841,0.6,270411,0.4,368474,1.75 25,1,2024-09-07 09:44:10:557,970973,970973,0,0,456394885404,4818596902,954317,13124,3532,369,391928,0 25,2,2024-09-07 09:44:11:622,699958,699958,0,0,35684927,0,3978 25,3,2024-09-07 09:44:11:001,1,555,1,0,532,6267,555,0 26,0,2024-09-07 09:44:11:722,141163,0.4,138196,0.6,289971,0.4,377161,2.00 26,1,2024-09-07 09:44:11:543,975085,975085,0,0,456743597510,4782102587,961671,10955,2459,380,391748,0 26,2,2024-09-07 09:44:10:868,699307,699307,0,0,37436319,0,4689 26,3,2024-09-07 09:44:11:716,1,555,8,0,796,6143,555,0 27,0,2024-09-07 09:44:11:727,142864,0.4,143593,0.6,285171,0.4,380467,2.25 27,1,2024-09-07 09:44:11:675,975035,975035,0,0,458624884757,4777836131,965691,8051,1293,381,391626,0 27,2,2024-09-07 09:44:10:868,698775,698710,65,0,32631277,0,5699 27,3,2024-09-07 09:44:11:017,1,555,1,0,564,4807,555,0 28,0,2024-09-07 09:44:11:404,136859,0.4,136617,0.6,273653,0.3,364847,1.75 28,1,2024-09-07 09:44:10:800,976289,976289,0,0,458171468647,4773477328,968503,6072,1714,382,391698,0 28,2,2024-09-07 09:44:11:763,704083,704083,0,0,28600681,0,2915 28,3,2024-09-07 09:44:11:783,1,555,54,0,502,5485,555,0 29,0,2024-09-07 09:44:11:375,140462,0.3,136740,0.6,268374,0.3,365725,1.75 29,1,2024-09-07 09:44:11:563,979085,979085,0,0,459717079517,4752546020,973463,4859,763,367,391809,0 29,2,2024-09-07 09:44:10:867,701695,701695,0,0,27728273,0,4986 29,3,2024-09-07 09:44:10:967,1,555,2,0,459,6069,555,0 30,0,2024-09-07 09:44:11:472,140667,0.5,137137,0.6,286835,0.4,375288,2.00 30,1,2024-09-07 09:44:10:571,977585,977585,0,0,459187357013,4763248772,970486,6194,905,380,391672,0 30,2,2024-09-07 09:44:11:275,702869,702869,0,0,26697218,0,4192 30,3,2024-09-07 09:44:10:581,1,555,10,0,519,5295,555,0 31,0,2024-09-07 09:44:11:769,141910,0.4,142566,0.6,284195,0.4,379638,2.00 31,1,2024-09-07 09:44:10:563,980581,980581,0,0,460492742859,4726654098,974952,4605,1024,356,391712,0 31,2,2024-09-07 09:44:11:278,698853,698853,0,0,29131923,0,4470 31,3,2024-09-07 09:44:11:709,1,555,0,0,239,4791,555,0 32,0,2024-09-07 09:44:11:419,138623,0.3,139419,0.5,277673,0.3,369610,1.75 32,1,2024-09-07 09:44:10:816,977316,977316,0,0,459195890420,4770455425,971498,5108,710,381,391646,0 32,2,2024-09-07 09:44:10:943,705034,705034,0,0,25923318,0,3922 32,3,2024-09-07 09:44:11:017,1,555,1,0,304,4467,555,0 33,0,2024-09-07 09:44:11:491,135252,0.3,134812,0.4,269828,0.2,359231,1.50 33,1,2024-09-07 09:44:10:575,977412,977412,0,0,459746071785,4759428816,970015,6223,1174,368,391730,0 33,2,2024-09-07 09:44:10:763,704644,704609,35,0,28673422,0,7012 33,3,2024-09-07 09:44:10:895,1,555,2,0,329,4985,555,0 34,0,2024-09-07 09:44:10:928,140082,0.3,143812,0.4,275095,0.2,371516,1.75 34,1,2024-09-07 09:44:11:052,979922,979922,0,0,460362917641,4732891377,977390,2510,22,367,391637,0 34,2,2024-09-07 09:44:10:766,704308,704308,0,0,26803738,0,4562 34,3,2024-09-07 09:44:11:693,1,555,8,0,320,4496,555,0 35,0,2024-09-07 09:44:10:859,140251,0.3,141019,0.5,283218,0.2,376035,1.75 35,1,2024-09-07 09:44:11:070,976192,976192,0,0,458813819420,4759310899,968994,5714,1484,382,391769,0 35,2,2024-09-07 09:44:11:590,698379,698379,0,0,29456519,0,4055 35,3,2024-09-07 09:44:10:908,1,555,1,0,466,5445,555,0 36,0,2024-09-07 09:44:11:528,141022,0.5,140836,0.7,281420,0.5,374515,2.00 36,1,2024-09-07 09:44:10:586,975206,975206,0,0,456737366605,4771090765,962309,10666,2231,366,391759,0 36,2,2024-09-07 09:44:11:763,703862,703862,0,0,31393318,0,3875 36,3,2024-09-07 09:44:10:870,1,555,1,0,416,7423,555,0 37,0,2024-09-07 09:44:11:367,133139,0.5,133134,0.7,266484,0.5,355199,2.25 37,1,2024-09-07 09:44:10:571,974316,974309,0,7,457030750974,4771004340,962769,8825,2715,365,391770,0 37,2,2024-09-07 09:44:11:142,701768,701753,15,0,31839904,0,5815 37,3,2024-09-07 09:44:11:766,1,555,0,0,888,7482,555,0 38,0,2024-09-07 09:44:11:439,137615,0.4,133483,0.6,279247,0.3,366325,2.00 38,1,2024-09-07 09:44:11:611,975133,975133,0,0,457711288674,4783688471,961343,11218,2572,368,391821,0 38,2,2024-09-07 09:44:10:764,701815,701768,47,0,31757254,0,6710 38,3,2024-09-07 09:44:10:997,1,555,8,0,689,6869,555,0 39,0,2024-09-07 09:44:11:763,144916,0.5,141670,0.7,276283,0.5,377202,2.00 39,1,2024-09-07 09:44:10:716,973618,973618,0,0,457432051978,4797868674,957007,12915,3696,365,391865,0 39,2,2024-09-07 09:44:11:428,699079,699079,0,0,29158381,0,3391 39,3,2024-09-07 09:44:10:716,1,555,0,0,525,6513,555,0 40,0,2024-09-07 09:44:11:493,140759,0.9,141422,1.0,282140,1.0,376155,2.75 40,1,2024-09-07 09:44:10:585,974834,974834,0,0,456788075982,4784197769,960700,11372,2762,368,391668,0 40,2,2024-09-07 09:44:11:311,701279,701278,1,0,34989961,0,5137 40,3,2024-09-07 09:44:11:144,1,555,115,0,1028,8198,555,0 41,0,2024-09-07 09:44:11:024,135261,1.2,138276,1.1,263657,1.7,358508,3.00 41,1,2024-09-07 09:44:10:768,973887,973887,0,0,456318378555,4774992926,961205,10617,2065,369,391878,0 41,2,2024-09-07 09:44:10:763,700502,700501,1,0,34004702,0,5408 41,3,2024-09-07 09:44:11:675,1,555,1,0,366,6006,555,0 42,0,2024-09-07 09:44:11:486,136543,0.9,136354,1.0,272486,1.0,362243,2.75 42,1,2024-09-07 09:44:11:439,971294,971294,0,0,456455096485,4797776786,955880,12504,2910,380,391675,0 42,2,2024-09-07 09:44:11:132,700634,700634,0,0,33779634,0,3975 42,3,2024-09-07 09:44:11:009,1,555,8,0,892,5074,555,0 43,0,2024-09-07 09:44:10:923,140774,0.7,137004,0.9,287243,0.8,375441,2.25 43,1,2024-09-07 09:44:10:575,974373,974373,0,0,457625127390,4780529090,961030,10986,2357,366,391696,0 43,2,2024-09-07 09:44:11:736,701478,701478,0,0,32509333,0,4723 43,3,2024-09-07 09:44:11:754,1,555,87,0,571,8067,555,0 44,0,2024-09-07 09:44:10:860,143263,0.4,143100,0.6,286843,0.4,381229,1.75 44,1,2024-09-07 09:44:10:572,977261,977261,0,0,458923624183,4741016133,969129,6486,1646,356,391809,0 44,2,2024-09-07 09:44:11:276,698827,698827,0,0,26373708,0,4344 44,3,2024-09-07 09:44:11:093,1,555,1,0,817,6045,555,0 45,0,2024-09-07 09:44:11:764,135357,0.5,132209,0.7,277490,0.5,364237,2.00 45,1,2024-09-07 09:44:11:004,976407,976407,0,0,458902867911,4768207721,968645,6870,892,382,391917,0 45,2,2024-09-07 09:44:11:268,704220,704220,0,0,26924021,0,3596 45,3,2024-09-07 09:44:10:934,1,555,531,0,531,5576,555,0 46,0,2024-09-07 09:44:10:955,135066,0.5,134628,0.7,270006,0.4,358597,2.00 46,1,2024-09-07 09:44:10:575,978032,978032,0,0,460003447756,4752352851,971368,5800,864,366,391709,0 46,2,2024-09-07 09:44:10:593,703837,703837,0,0,27258840,0,4443 46,3,2024-09-07 09:44:11:130,1,555,13,0,908,6884,555,0 47,0,2024-09-07 09:44:11:140,140805,0.4,140805,0.6,282613,0.3,374515,1.75 47,1,2024-09-07 09:44:10:574,979323,979323,0,0,459492432670,4744773251,973914,4569,840,365,391641,0 47,2,2024-09-07 09:44:10:912,705818,705818,0,0,26864366,0,4477 47,3,2024-09-07 09:44:11:127,1,555,59,0,600,6211,555,0 48,0,2024-09-07 09:44:11:490,141679,0.3,141851,0.4,283088,0.2,376852,1.50 48,1,2024-09-07 09:44:11:030,976671,976671,0,0,458368155896,4760189464,970735,5360,576,384,391710,0 48,2,2024-09-07 09:44:10:699,699115,699115,0,0,24764637,0,3524 48,3,2024-09-07 09:44:10:753,1,555,2,0,339,4340,555,0 49,0,2024-09-07 09:44:11:710,143068,0.3,140325,0.5,272879,0.3,372618,1.75 49,1,2024-09-07 09:44:11:030,976201,976201,0,0,458522834292,4764048715,970521,4468,1212,382,391809,0 49,2,2024-09-07 09:44:11:799,705186,705186,0,0,27311123,0,4426 49,3,2024-09-07 09:44:11:427,1,555,1,0,992,6498,555,0 50,0,2024-09-07 09:44:11:519,134702,0.3,132905,0.5,267813,0.2,356785,1.75 50,1,2024-09-07 09:44:11:010,979310,979310,0,0,459858253886,4752068513,973286,5356,668,368,391565,0 50,2,2024-09-07 09:44:11:067,703346,703346,0,0,25076722,0,4490 50,3,2024-09-07 09:44:11:294,1,555,30,0,617,5644,555,0 51,0,2024-09-07 09:44:11:683,142818,0.3,139834,0.4,272178,0.2,371494,1.50 51,1,2024-09-07 09:44:11:679,980101,980101,0,0,460610701100,4748686767,974876,4145,1080,365,391706,0 51,2,2024-09-07 09:44:11:315,702996,702996,0,0,24645586,0,3337 51,3,2024-09-07 09:44:11:030,1,555,1,0,678,3988,555,0 52,0,2024-09-07 09:44:11:412,141998,0.5,141624,0.7,283546,0.4,376597,2.00 52,1,2024-09-07 09:44:10:576,974509,974509,0,0,457669212844,4791296104,960241,12053,2215,368,391805,0 52,2,2024-09-07 09:44:11:765,694673,694635,38,0,32812421,0,6742 52,3,2024-09-07 09:44:10:673,1,555,1,0,1782,6945,555,0 53,0,2024-09-07 09:44:11:730,140485,0.7,136275,0.8,285073,0.7,373806,2.50 53,1,2024-09-07 09:44:10:771,973102,973102,0,0,457511906565,4801540448,956329,12617,4156,367,391968,0 53,2,2024-09-07 09:44:11:318,703685,703684,1,0,29838425,0,5455 53,3,2024-09-07 09:44:10:699,1,555,8,0,308,5235,555,0 54,0,2024-09-07 09:44:11:618,133037,0.6,133425,0.8,265682,0.4,354176,2.25 54,1,2024-09-07 09:44:10:582,975337,975337,0,0,457641757243,4760503638,964730,8945,1662,366,391810,0 54,2,2024-09-07 09:44:10:868,704364,704332,32,0,33717501,0,6397 54,3,2024-09-07 09:44:10:764,1,555,13,0,676,7498,555,0 55,0,2024-09-07 09:44:11:768,132921,0.6,137077,0.8,278096,0.5,362727,2.50 55,1,2024-09-07 09:44:10:764,976523,976523,0,0,457642330261,4760628124,966179,8959,1385,365,391731,0 55,2,2024-09-07 09:44:10:734,700234,700178,56,0,31693757,0,7239 55,3,2024-09-07 09:44:10:673,1,555,2,0,304,5110,555,0 56,0,2024-09-07 09:44:11:620,144772,1.2,136553,1.1,281192,1.5,376571,2.75 56,1,2024-09-07 09:44:10:570,970612,970612,0,0,456567117536,4826163872,954203,12953,3456,381,391867,0 56,2,2024-09-07 09:44:11:321,699010,698888,122,0,32986898,0,7432 56,3,2024-09-07 09:44:11:058,1,555,1,0,705,6507,555,0 57,0,2024-09-07 09:44:10:939,140982,1.5,141007,1.2,282088,2.1,377114,3.25 57,1,2024-09-07 09:44:10:985,972525,972525,0,0,456489348096,4790838121,959556,10638,2331,366,392032,0 57,2,2024-09-07 09:44:11:319,701368,701368,0,0,34286634,0,4317 57,3,2024-09-07 09:44:11:738,1,555,8,0,455,6442,555,0 58,0,2024-09-07 09:44:10:565,134766,0.9,130718,1.0,273556,1.1,358352,2.50 58,1,2024-09-07 09:44:10:576,973567,973564,0,3,457341987882,4793955016,958776,11238,3550,367,391675,3 58,2,2024-09-07 09:44:11:070,703339,703339,0,0,32597383,0,3483 58,3,2024-09-07 09:44:11:067,1,555,12,0,1043,6154,555,0 59,0,2024-09-07 09:44:11:743,136034,0.8,135892,0.9,271174,0.9,359784,2.75 59,1,2024-09-07 09:44:10:808,972750,972750,0,0,457577447246,4807100311,956597,12822,3331,369,391653,0 59,2,2024-09-07 09:44:10:583,701513,701513,0,0,31090195,0,3727 59,3,2024-09-07 09:44:11:742,1,555,2,0,1015,6815,555,0 60,0,2024-09-07 09:44:11:723,141348,0.5,141746,0.7,283152,0.4,377602,1.75 60,1,2024-09-07 09:44:10:783,977787,977787,0,0,459644872220,4770196335,971275,5572,940,370,392031,0 60,2,2024-09-07 09:44:11:141,702776,702776,0,0,28841146,0,3811 60,3,2024-09-07 09:44:11:276,1,555,5,0,409,6461,555,0 61,0,2024-09-07 09:44:11:489,141975,0.7,142618,0.8,283883,0.7,379214,2.00 61,1,2024-09-07 09:44:10:775,974060,974060,0,0,457560696185,4789375266,963842,8634,1584,382,392127,0 61,2,2024-09-07 09:44:11:118,699420,699353,67,0,29796420,0,6411 61,3,2024-09-07 09:44:11:689,1,555,0,0,607,7623,555,0 62,0,2024-09-07 09:44:11:718,138910,0.6,142544,0.8,272156,0.6,369406,2.00 62,1,2024-09-07 09:44:11:135,979739,979733,0,6,460432076164,4744029780,975368,4083,282,365,391975,6 62,2,2024-09-07 09:44:11:663,702285,702284,1,0,29286980,0,5555 62,3,2024-09-07 09:44:11:142,1,555,8,0,482,4442,555,0 63,0,2024-09-07 09:44:11:468,135180,0.4,134942,0.6,270739,0.3,359490,1.75 63,1,2024-09-07 09:44:10:803,976882,976876,0,6,459197265485,4765996892,970677,5358,841,381,391800,6 63,2,2024-09-07 09:44:10:762,704181,704181,0,0,28076446,0,4369 63,3,2024-09-07 09:44:11:739,1,555,1,0,667,5984,555,0 64,0,2024-09-07 09:44:11:568,139395,0.4,139430,0.6,278204,0.4,370653,1.75 64,1,2024-09-07 09:44:10:775,975773,975773,0,0,457968489145,4767904731,967282,6675,1816,370,391794,0 64,2,2024-09-07 09:44:11:145,707105,707086,19,0,27364522,0,6121 64,3,2024-09-07 09:44:11:139,1,555,17,0,651,5697,555,0 65,0,2024-09-07 09:44:11:673,139993,0.6,140242,0.7,280105,0.6,373369,2.00 65,1,2024-09-07 09:44:10:872,974211,974211,0,0,456776474806,4771074887,967445,5966,800,381,391901,0 65,2,2024-09-07 09:44:11:697,697786,697786,0,0,31605364,0,3367 65,3,2024-09-07 09:44:11:684,1,555,12,0,782,6416,555,0 66,0,2024-09-07 09:44:11:768,140144,0.6,139583,0.8,279279,0.5,371637,2.25 66,1,2024-09-07 09:44:11:313,976463,976463,0,0,457893233711,4763877867,970228,5513,722,380,391743,0 66,2,2024-09-07 09:44:11:131,706246,706243,3,0,29076251,0,5455 66,3,2024-09-07 09:44:11:081,1,555,8,0,291,4715,555,0 67,0,2024-09-07 09:44:11:413,133582,0.5,133259,0.7,267187,0.5,355384,2.00 67,1,2024-09-07 09:44:10:766,976293,976292,0,1,457775319165,4762493111,970004,5472,816,380,391787,1 67,2,2024-09-07 09:44:10:585,706622,706607,15,0,28879651,0,6205 67,3,2024-09-07 09:44:11:762,1,555,1,0,595,5889,555,0 68,0,2024-09-07 09:44:10:630,138415,0.5,138167,0.7,275141,0.5,368635,2.00 68,1,2024-09-07 09:44:10:573,971944,971944,0,0,456001301271,4794946611,958989,9497,3458,381,391953,0 68,2,2024-09-07 09:44:11:044,698271,698171,100,0,36776568,0,8578 68,3,2024-09-07 09:44:10:732,1,555,6,0,417,7288,555,0 69,0,2024-09-07 09:44:11:782,141180,0.7,142032,0.8,282997,0.7,375810,2.25 69,1,2024-09-07 09:44:11:043,971125,971125,0,0,455823451987,4802231656,958493,9869,2763,383,391994,0 69,2,2024-09-07 09:44:11:748,696720,696691,29,0,37915605,0,6912 69,3,2024-09-07 09:44:10:759,1,555,1,0,698,8305,555,0 70,0,2024-09-07 09:44:11:541,140458,0.8,140921,1.0,283422,0.7,375049,2.50 70,1,2024-09-07 09:44:10:801,977198,977198,0,0,459174759869,4764661410,969561,6874,763,366,391725,0 70,2,2024-09-07 09:44:11:324,702926,702926,0,0,31907384,0,4323 70,3,2024-09-07 09:44:10:750,1,555,8,0,854,6361,555,0 71,0,2024-09-07 09:44:11:364,134542,1.0,134357,1.1,269675,1.4,360003,2.75 71,1,2024-09-07 09:44:11:604,974932,974932,0,0,457824797408,4777579105,963044,10539,1349,368,391738,0 71,2,2024-09-07 09:44:11:067,703093,703093,0,0,32545232,0,4352 71,3,2024-09-07 09:44:11:762,1,555,1,0,644,6471,555,0 72,0,2024-09-07 09:44:11:044,141757,0.5,138457,0.7,270391,0.5,367874,2.00 72,1,2024-09-07 09:44:11:041,973281,973281,0,0,456957779412,4793920387,959243,11644,2394,369,391819,0 72,2,2024-09-07 09:44:11:756,700096,700096,0,0,33988474,0,3983 72,3,2024-09-07 09:44:11:755,1,555,402,0,564,8136,555,0 73,0,2024-09-07 09:44:11:113,138709,0.5,142194,0.6,291000,0.4,378174,2.00 73,1,2024-09-07 09:44:10:770,975330,975330,0,0,458270763713,4762917134,967831,6572,927,367,391858,0 73,2,2024-09-07 09:44:11:739,700949,700949,0,0,34401389,0,4728 73,3,2024-09-07 09:44:10:971,1,555,5,0,1091,7929,555,0 74,0,2024-09-07 09:44:11:322,143980,0.5,147421,0.7,281172,0.4,381523,2.00 74,1,2024-09-07 09:44:10:634,973941,973941,0,0,457059438483,4772348030,962980,8983,1978,381,391762,0 74,2,2024-09-07 09:44:11:001,699762,699762,0,0,29903910,0,4253 74,3,2024-09-07 09:44:11:451,1,555,8,0,522,6518,555,0 75,0,2024-09-07 09:44:11:765,137252,0.6,136398,0.8,273805,0.5,365708,2.25 75,1,2024-09-07 09:44:11:590,975801,975801,0,0,458009530999,4774222409,967642,7293,866,380,391739,0 75,2,2024-09-07 09:44:11:350,701903,701903,0,0,36512710,0,4766 75,3,2024-09-07 09:44:11:067,1,555,1,0,702,6514,555,0 76,0,2024-09-07 09:44:10:589,134922,0.5,134445,0.7,269078,0.5,359807,2.25 76,1,2024-09-07 09:44:10:814,975062,975062,0,0,456848899280,4763456240,968378,5716,968,382,391723,0 76,2,2024-09-07 09:44:11:063,705439,705436,3,0,29886654,0,5265 76,3,2024-09-07 09:44:11:141,1,555,5,0,227,5050,555,0 77,0,2024-09-07 09:44:11:705,140083,0.5,140644,0.7,281245,0.4,373470,2.00 77,1,2024-09-07 09:44:10:852,975207,975207,0,0,458200202732,4776957689,968307,6302,598,381,391869,0 77,2,2024-09-07 09:44:11:294,702510,702510,0,0,29484712,0,3890 77,3,2024-09-07 09:44:11:109,1,555,0,0,401,5928,555,0 78,0,2024-09-07 09:44:11:716,141748,0.4,141257,0.6,283267,0.4,375414,2.00 78,1,2024-09-07 09:44:10:613,975197,975197,0,0,457562270182,4771464253,963600,8930,2667,367,391670,0 78,2,2024-09-07 09:44:11:406,700388,700375,13,0,27164600,0,8313 78,3,2024-09-07 09:44:11:134,1,555,8,0,311,4735,555,0 79,0,2024-09-07 09:44:11:363,134490,0.4,137732,0.6,282337,0.3,367284,2.00 79,1,2024-09-07 09:44:10:574,978301,978301,0,0,459486123837,4757451616,970556,6388,1357,367,391682,0 79,2,2024-09-07 09:44:11:068,704408,704408,0,0,26339421,0,4195 79,3,2024-09-07 09:44:10:757,1,555,1,0,418,6469,555,0 80,0,2024-09-07 09:44:11:087,133731,0.5,137524,0.6,262878,0.4,356295,2.00 80,1,2024-09-07 09:44:11:622,975214,975214,0,0,458410982619,4769857860,969074,5708,432,368,392269,0 80,2,2024-09-07 09:44:11:100,706335,706335,0,0,27026667,0,4433 80,3,2024-09-07 09:44:10:575,1,555,1,0,681,7068,555,0 81,0,2024-09-07 09:44:11:573,139482,0.5,142665,0.7,272131,0.5,369691,2.00 81,1,2024-09-07 09:44:11:652,974158,974158,0,0,457333235270,4777413408,966733,6783,642,382,391885,0 81,2,2024-09-07 09:44:11:130,701373,701310,63,0,30252671,0,5932 81,3,2024-09-07 09:44:11:120,1,555,0,0,719,6342,555,0 82,0,2024-09-07 09:44:11:536,141370,0.4,141472,0.7,283688,0.4,375723,2.00 82,1,2024-09-07 09:44:10:584,977074,977070,0,4,458816952309,4767159477,971888,4361,821,381,391768,4 82,2,2024-09-07 09:44:11:708,700199,700199,0,0,24798309,0,4484 82,3,2024-09-07 09:44:11:753,1,555,9,0,363,5295,555,0 83,0,2024-09-07 09:44:11:524,141138,0.6,141121,0.7,281658,0.6,373913,2.25 83,1,2024-09-07 09:44:10:550,975122,975122,0,0,457161139340,4762992899,968672,5993,457,382,391709,0 83,2,2024-09-07 09:44:10:764,704088,704063,25,0,26998982,0,5612 83,3,2024-09-07 09:44:10:749,1,555,9,0,1260,6792,555,0 84,0,2024-09-07 09:44:11:837,133825,0.7,134110,0.9,267589,0.6,357417,2.25 84,1,2024-09-07 09:44:11:040,973792,973792,0,0,456913507229,4778703274,962360,9778,1654,367,391967,0 84,2,2024-09-07 09:44:10:571,703376,703346,30,0,36212289,0,5971 84,3,2024-09-07 09:44:11:141,1,555,1,0,908,7587,555,0 85,0,2024-09-07 09:44:11:001,132422,0.6,132446,0.8,281182,0.5,363891,2.25 85,1,2024-09-07 09:44:10:560,970553,970553,0,0,456488537318,4821223463,955260,12547,2746,381,392092,0 85,2,2024-09-07 09:44:10:876,700680,700680,0,0,33687314,0,4255 85,3,2024-09-07 09:44:10:690,1,555,5,0,789,6575,555,0 86,0,2024-09-07 09:44:10:886,141945,0.6,145886,0.8,278900,0.7,377446,2.25 86,1,2024-09-07 09:44:10:826,972939,972939,0,0,457661939247,4799446099,960028,10255,2656,366,391995,0 86,2,2024-09-07 09:44:10:855,698768,698767,1,0,35750963,0,5004 86,3,2024-09-07 09:44:10:595,1,555,25,0,308,7973,555,0 87,0,2024-09-07 09:44:11:293,142858,0.8,142438,0.8,284924,1.0,380723,2.25 87,1,2024-09-07 09:44:10:563,972378,972378,0,0,457145838018,4788222501,959940,10719,1719,366,392076,0 87,2,2024-09-07 09:44:11:082,700556,700550,6,0,32281596,0,6323 87,3,2024-09-07 09:44:11:795,1,555,2,0,473,8327,555,0 88,0,2024-09-07 09:44:11:444,136492,0.5,136966,0.6,273708,0.4,364660,1.75 88,1,2024-09-07 09:44:10:694,972018,972018,0,0,456833893186,4786379298,958522,10759,2737,365,392084,0 88,2,2024-09-07 09:44:10:698,702764,702764,0,0,35710251,0,4465 88,3,2024-09-07 09:44:11:295,1,555,25,0,1080,8512,555,0 89,0,2024-09-07 09:44:11:774,140564,0.4,136326,0.6,269162,0.4,365842,1.75 89,1,2024-09-07 09:44:10:563,971571,971571,0,0,456673161966,4805082245,959172,10466,1933,382,391866,0 89,2,2024-09-07 09:44:11:134,701131,701131,0,0,32951902,0,3173 89,3,2024-09-07 09:44:11:801,1,555,36,0,468,9504,555,0 90,0,2024-09-07 09:44:11:697,137263,0.4,141089,0.6,287672,0.4,375534,2.00 90,1,2024-09-07 09:44:10:622,973366,973366,0,0,456842245790,4784463300,964105,8424,837,380,391825,0 90,2,2024-09-07 09:44:11:413,699388,699388,0,0,35549490,0,3608 90,3,2024-09-07 09:44:10:937,1,555,8,0,322,6812,555,0 91,0,2024-09-07 09:44:10:928,142648,0.5,138314,0.6,289279,0.4,379778,1.75 91,1,2024-09-07 09:44:10:566,970941,970941,0,0,456930160161,4809427851,957563,10872,2506,381,392047,0 91,2,2024-09-07 09:44:11:331,699512,699512,0,0,31034635,0,4713 91,3,2024-09-07 09:44:10:601,1,555,2,0,216,5131,555,0 92,0,2024-09-07 09:44:11:446,140042,0.5,143216,0.6,273076,0.5,369716,1.75 92,1,2024-09-07 09:44:10:619,974706,974706,0,0,457566821229,4777668456,967138,6359,1209,381,392136,0 92,2,2024-09-07 09:44:11:349,703972,703972,0,0,27693450,0,3259 92,3,2024-09-07 09:44:11:009,1,555,1,0,167,4769,555,0 93,0,2024-09-07 09:44:10:951,135499,0.4,138851,0.6,265095,0.3,359455,1.75 93,1,2024-09-07 09:44:10:813,974936,974936,0,0,457810298609,4776932266,964471,8730,1735,366,391776,0 93,2,2024-09-07 09:44:10:928,703522,703522,0,0,33699528,0,4913 93,3,2024-09-07 09:44:11:410,1,555,7,0,190,4872,555,0 94,0,2024-09-07 09:44:11:676,139147,0.3,140182,0.5,280225,0.3,372300,1.75 94,1,2024-09-07 09:44:10:574,975595,975595,0,0,457734608412,4772594945,969190,5981,424,381,391850,0 94,2,2024-09-07 09:44:10:825,702996,702968,28,0,28709427,0,6179 94,3,2024-09-07 09:44:11:693,1,555,17,0,576,6884,555,0 95,0,2024-09-07 09:44:11:364,141039,0.3,141107,0.5,282384,0.3,376005,1.75 95,1,2024-09-07 09:44:10:856,976974,976974,0,0,458409759005,4762068779,969344,6949,681,365,391852,0 95,2,2024-09-07 09:44:11:019,697873,697873,0,0,27381311,0,3308 95,3,2024-09-07 09:44:11:710,1,555,5,0,718,8314,555,0 96,0,2024-09-07 09:44:11:026,140556,0.4,140812,0.5,281542,0.3,373877,1.75 96,1,2024-09-07 09:44:11:583,974476,974476,0,0,457442926037,4768041378,968033,5528,915,384,391964,0 96,2,2024-09-07 09:44:11:276,704438,704438,0,0,28619928,0,4180 96,3,2024-09-07 09:44:11:144,1,555,0,0,411,6018,555,0 97,0,2024-09-07 09:44:11:326,133545,0.3,133507,0.5,268032,0.3,355508,1.50 97,1,2024-09-07 09:44:10:763,976888,976888,0,0,458793590717,4756069771,971022,4919,947,367,392140,0 97,2,2024-09-07 09:44:10:613,705701,705701,0,0,27938294,0,3679 97,3,2024-09-07 09:44:10:575,1,555,4,0,242,6217,555,0 98,0,2024-09-07 09:44:11:710,137886,0.3,138104,0.4,276903,0.2,368527,1.50 98,1,2024-09-07 09:44:10:598,975911,975911,0,0,458075804985,4766847238,970418,4690,803,381,391997,0 98,2,2024-09-07 09:44:10:837,702854,702854,0,0,27709537,0,4336 98,3,2024-09-07 09:44:10:699,1,555,16,0,840,8510,555,0 99,0,2024-09-07 09:44:11:452,142039,0.3,142630,0.5,283884,0.3,378707,1.75 99,1,2024-09-07 09:44:11:733,976486,976486,0,0,457718845166,4761810913,970452,5105,929,380,392069,0 99,2,2024-09-07 09:44:11:419,699332,699332,0,0,33009515,0,4276 99,3,2024-09-07 09:44:10:585,1,555,5,0,606,5458,555,0 100,0,2024-09-07 09:44:11:466,141757,0.8,142007,0.9,283633,0.9,378599,2.50 100,1,2024-09-07 09:44:10:548,970591,970591,0,0,455782672266,4819690786,955250,12052,3289,378,391989,0 100,2,2024-09-07 09:44:11:826,700655,700644,11,0,32904278,0,5417 100,3,2024-09-07 09:44:11:731,1,555,1,0,627,9771,555,0 101,0,2024-09-07 09:44:11:732,138750,1.1,135311,1.0,264989,1.0,362237,2.25 101,1,2024-09-07 09:44:10:552,972233,972233,0,0,456459292060,4795396724,959296,10487,2450,368,391847,0 101,2,2024-09-07 09:44:11:756,699360,699360,0,0,37771128,0,4871 101,3,2024-09-07 09:44:10:949,1,555,1,0,1250,8614,555,0 102,0,2024-09-07 09:44:10:960,133432,0.6,137595,0.8,279056,0.6,365641,2.00 102,1,2024-09-07 09:44:11:146,972192,972192,0,0,456015502901,4790097387,959037,11004,2151,369,391891,0 102,2,2024-09-07 09:44:11:737,701887,701833,54,0,32055854,0,6768 102,3,2024-09-07 09:44:11:613,1,555,11,0,466,6411,555,0 103,0,2024-09-07 09:44:11:593,146938,0.6,146944,0.7,276991,0.6,381269,2.00 103,1,2024-09-07 09:44:11:638,970882,970882,0,0,456226808841,4819481608,954924,12371,3587,381,392077,0 103,2,2024-09-07 09:44:10:582,699471,699471,0,0,34008897,0,3766 103,3,2024-09-07 09:44:10:757,1,555,2,0,916,6904,555,0 104,0,2024-09-07 09:44:11:006,141939,0.8,142120,0.9,283495,0.7,379583,2.25 104,1,2024-09-07 09:44:11:599,973637,973637,0,0,456648277492,4797435795,959737,11312,2588,365,392168,0 104,2,2024-09-07 09:44:11:666,697783,697783,0,0,32874806,0,3941 104,3,2024-09-07 09:44:11:427,1,555,7,0,1245,10149,555,0 105,0,2024-09-07 09:44:11:050,135570,0.9,132154,1.1,276910,1.0,363756,2.75 105,1,2024-09-07 09:44:10:554,974714,974714,0,0,457807876008,4795080799,962589,10319,1806,364,392009,0 105,2,2024-09-07 09:44:11:322,701866,701866,0,0,34089540,0,4360 105,3,2024-09-07 09:44:11:320,1,555,8,0,467,8079,555,0 106,0,2024-09-07 09:44:10:969,130937,0.7,134183,0.9,274779,0.8,358765,2.50 106,1,2024-09-07 09:44:11:753,973344,973344,0,0,457223321897,4797420831,960027,11666,1651,368,391914,0 106,2,2024-09-07 09:44:10:758,701578,701578,0,0,31749595,0,2920 106,3,2024-09-07 09:44:10:683,1,555,8,0,1224,8147,555,0 107,0,2024-09-07 09:44:11:098,140315,0.8,140286,0.9,280898,1.0,374276,2.25 107,1,2024-09-07 09:44:10:602,971312,971312,0,0,456172680324,4813059889,956908,12830,1574,381,392234,0 107,2,2024-09-07 09:44:11:320,699876,699875,1,0,34420621,0,5024 107,3,2024-09-07 09:44:11:765,1,555,9,0,733,8648,555,0 108,0,2024-09-07 09:44:11:807,141228,0.4,141727,0.6,282402,0.4,377001,1.75 108,1,2024-09-07 09:44:11:327,974232,974232,0,0,457741733488,4773579301,965990,7265,977,367,391894,0 108,2,2024-09-07 09:44:11:798,697920,697920,0,0,30086239,0,4246 108,3,2024-09-07 09:44:11:332,1,555,2,0,749,10542,555,0 109,0,2024-09-07 09:44:11:757,140002,0.4,138812,0.6,278327,0.4,371647,1.75 109,1,2024-09-07 09:44:10:583,972207,972207,0,0,457382603059,4795841425,963797,7192,1218,382,392132,0 109,2,2024-09-07 09:44:10:927,702131,702131,0,0,30480635,0,3617 109,3,2024-09-07 09:44:11:148,1,555,12,0,630,6972,555,0 110,0,2024-09-07 09:44:11:750,133866,0.4,130213,0.6,272719,0.3,357691,1.75 110,1,2024-09-07 09:44:11:651,976226,976226,0,0,458654273184,4761798451,968468,5834,1924,368,392045,0 110,2,2024-09-07 09:44:11:313,704767,704767,0,0,28689167,0,4067 110,3,2024-09-07 09:44:10:700,1,555,8,0,722,7684,555,0 111,0,2024-09-07 09:44:11:449,139730,0.3,138817,0.5,278019,0.3,371136,1.75 111,1,2024-09-07 09:44:11:009,977465,977465,0,0,460137852877,4770355248,972606,4498,361,380,391690,0 111,2,2024-09-07 09:44:11:130,702461,702461,0,0,29177569,0,4823 111,3,2024-09-07 09:44:10:913,1,555,60,0,379,6219,555,0 112,0,2024-09-07 09:44:10:920,142294,0.3,141752,0.4,284205,0.2,376931,1.50 112,1,2024-09-07 09:44:10:825,976040,976040,0,0,458251397270,4757183345,969518,5437,1085,380,391624,0 112,2,2024-09-07 09:44:11:137,698444,698443,1,0,27268648,0,5036 112,3,2024-09-07 09:44:10:601,1,555,8,0,282,5241,555,0 113,0,2024-09-07 09:44:10:871,141337,0.3,141272,0.5,282826,0.2,376250,1.75 113,1,2024-09-07 09:44:11:690,978659,978659,0,0,460123950867,4746107536,973079,4705,875,365,391664,0 113,2,2024-09-07 09:44:11:305,706649,706649,0,0,25532110,0,3813 113,3,2024-09-07 09:44:10:693,1,555,0,0,510,6165,555,0 114,0,2024-09-07 09:44:10:875,135268,0.3,136237,0.5,270683,0.2,361390,1.75 114,1,2024-09-07 09:44:10:721,976388,976388,0,0,458818362574,4761100710,969472,5299,1617,381,391565,0 114,2,2024-09-07 09:44:10:873,705515,705514,1,0,27387716,0,5069 114,3,2024-09-07 09:44:11:278,1,555,9,0,395,4434,555,0 115,0,2024-09-07 09:44:10:559,138161,0.3,138762,0.4,276334,0.2,367843,1.50 115,1,2024-09-07 09:44:10:571,976862,976862,0,0,458579222665,4762504733,969376,6128,1358,382,391757,0 115,2,2024-09-07 09:44:11:125,704744,704744,0,0,26727592,0,4382 115,3,2024-09-07 09:44:11:002,1,555,1,0,167,3202,555,0 116,0,2024-09-07 09:44:11:723,141619,0.7,141354,0.8,283448,0.6,378611,2.00 116,1,2024-09-07 09:44:10:802,971700,971700,0,0,456810407299,4817648792,959393,9244,3063,380,392089,0 116,2,2024-09-07 09:44:11:764,699157,699157,0,0,34140732,0,4475 116,3,2024-09-07 09:44:10:912,1,555,3,0,448,7201,555,0 117,0,2024-09-07 09:44:10:983,143026,0.7,142399,0.8,285434,0.8,381519,2.00 117,1,2024-09-07 09:44:11:578,973117,973117,0,0,457100751688,4784224632,962479,9176,1462,369,392033,0 117,2,2024-09-07 09:44:11:118,702847,702847,0,0,30596203,0,4303 117,3,2024-09-07 09:44:11:063,1,555,1,0,490,7309,555,0 118,0,2024-09-07 09:44:11:770,132429,0.6,136030,0.7,277545,0.5,363093,2.00 118,1,2024-09-07 09:44:10:586,971724,971724,0,0,455922750559,4794554368,956874,11241,3609,366,392054,0 118,2,2024-09-07 09:44:11:593,702563,702563,0,0,32981414,0,2842 118,3,2024-09-07 09:44:11:765,1,555,8,0,248,6154,555,0 119,0,2024-09-07 09:44:11:330,136122,0.6,136800,0.7,273037,0.5,364017,2.00 119,1,2024-09-07 09:44:10:568,972798,972798,0,0,456605459467,4787124551,959528,10936,2334,367,391857,0 119,2,2024-09-07 09:44:11:264,702516,702516,0,0,30939439,0,4309 119,3,2024-09-07 09:44:11:325,1,555,8,0,1358,10757,555,0 120,0,2024-09-07 09:44:11:542,140642,0.6,140603,0.8,282171,0.6,376660,2.25 120,1,2024-09-07 09:44:10:858,974399,974399,0,0,457110396566,4789458811,964732,8886,781,367,392144,0 120,2,2024-09-07 09:44:10:771,701102,701101,1,0,36271156,0,5281 120,3,2024-09-07 09:44:11:296,1,555,1,0,279,7024,555,0 121,0,2024-09-07 09:44:11:685,142316,1.2,141713,1.0,283858,1.6,378662,2.25 121,1,2024-09-07 09:44:11:654,973982,973982,0,0,457552337474,4784264242,964158,8974,850,366,391840,0 121,2,2024-09-07 09:44:11:125,698285,698285,0,0,32883496,0,4157 121,3,2024-09-07 09:44:10:733,1,555,0,0,330,6577,555,0 122,0,2024-09-07 09:44:11:882,137888,0.8,134198,0.9,281173,1.0,369651,2.25 122,1,2024-09-07 09:44:11:120,972469,972469,0,0,457146787832,4799335305,958632,11505,2332,366,392130,0 122,2,2024-09-07 09:44:11:320,703529,703454,75,0,37292788,0,5989 122,3,2024-09-07 09:44:10:604,1,555,13,0,512,9255,555,0 123,0,2024-09-07 09:44:10:967,134327,0.8,130569,0.8,273408,0.8,358207,2.25 123,1,2024-09-07 09:44:10:564,972891,972891,0,0,457063647724,4807704027,956983,13254,2654,369,392039,0 123,2,2024-09-07 09:44:11:028,701188,701187,1,0,31869912,0,5215 123,3,2024-09-07 09:44:11:132,1,555,5,0,478,6430,555,0 124,0,2024-09-07 09:44:10:931,143827,0.3,143838,0.5,271078,0.3,373494,1.75 124,1,2024-09-07 09:44:11:042,976241,976241,0,0,458473925236,4764158180,969059,6046,1136,365,392178,0 124,2,2024-09-07 09:44:11:009,704448,704395,53,0,28638448,0,6487 124,3,2024-09-07 09:44:10:759,1,555,5,0,490,5694,555,0 125,0,2024-09-07 09:44:11:450,140872,0.4,140733,0.5,282507,0.3,375974,1.75 125,1,2024-09-07 09:44:10:855,974083,974083,0,0,456955946867,4767084272,967027,6071,985,382,391813,0 125,2,2024-09-07 09:44:11:125,700645,700645,0,0,28174183,0,4534 125,3,2024-09-07 09:44:11:126,1,555,2,0,709,6492,555,0 126,0,2024-09-07 09:44:11:441,140748,0.4,144717,0.6,276907,0.4,374479,1.75 126,1,2024-09-07 09:44:10:559,977453,977453,0,0,458088995846,4740490684,972668,4472,313,365,391987,0 126,2,2024-09-07 09:44:10:612,704763,704763,0,0,29518203,0,4539 126,3,2024-09-07 09:44:10:911,1,555,17,0,268,6136,555,0 127,0,2024-09-07 09:44:11:622,133818,0.3,134174,0.5,267764,0.3,355794,1.75 127,1,2024-09-07 09:44:10:662,975506,975506,0,0,458237238185,4765368118,966099,7900,1507,364,392187,0 127,2,2024-09-07 09:44:10:656,703976,703972,4,0,28371871,0,5305 127,3,2024-09-07 09:44:11:267,1,555,2,0,968,5509,555,0 128,0,2024-09-07 09:44:11:533,138816,0.3,138822,0.4,277235,0.2,369276,1.50 128,1,2024-09-07 09:44:11:611,975472,975472,0,0,458953827975,4768624648,968289,6321,862,367,392031,0 128,2,2024-09-07 09:44:11:383,704003,704003,0,0,26252702,0,3171 128,3,2024-09-07 09:44:10:770,1,555,7,0,1082,8754,555,0 129,0,2024-09-07 09:44:10:992,143054,0.3,142453,0.5,285437,0.3,379010,1.50 129,1,2024-09-07 09:44:10:567,972254,972254,0,0,457388509905,4790672545,962850,7402,2002,379,391962,0 129,2,2024-09-07 09:44:10:699,700087,700083,4,0,28357243,0,5335 129,3,2024-09-07 09:44:10:690,1,555,5,0,506,7752,555,0 130,0,2024-09-07 09:44:11:746,143023,0.4,142441,0.6,285644,0.4,379918,1.75 130,1,2024-09-07 09:44:10:587,976353,976353,0,0,458197252974,4757818924,971445,4518,390,381,391825,0 130,2,2024-09-07 09:44:11:126,703699,703699,0,0,28375345,0,4067 130,3,2024-09-07 09:44:11:318,1,555,8,0,960,7590,555,0 131,0,2024-09-07 09:44:11:932,135966,0.4,136513,0.5,273382,0.3,363078,1.75 131,1,2024-09-07 09:44:11:827,975514,975514,0,0,458096243556,4775056673,968602,5719,1193,381,391865,0 131,2,2024-09-07 09:44:10:568,704779,704779,0,0,26622718,0,3979 131,3,2024-09-07 09:44:11:713,1,555,21,0,392,6837,555,0 132,0,2024-09-07 09:44:11:419,137436,0.5,138265,0.6,275202,0.4,366682,2.00 132,1,2024-09-07 09:44:10:575,971776,971776,0,0,456599502041,4805508817,957760,11376,2640,381,392532,0 132,2,2024-09-07 09:44:10:698,701934,701917,17,0,34932953,0,6451 132,3,2024-09-07 09:44:11:688,1,555,0,0,804,8964,555,0 133,0,2024-09-07 09:44:11:526,138819,0.4,141981,0.6,291265,0.4,378949,2.00 133,1,2024-09-07 09:44:10:583,971538,971538,0,0,457185775447,4816353408,958425,11406,1707,383,391914,0 133,2,2024-09-07 09:44:11:086,701875,701825,50,0,35215181,0,6861 133,3,2024-09-07 09:44:11:297,1,555,4,0,528,6906,555,0 134,0,2024-09-07 09:44:10:941,143215,0.5,143254,0.7,286852,0.5,381782,2.00 134,1,2024-09-07 09:44:10:603,973424,973424,0,0,456692102944,4786016096,961485,9784,2155,366,391781,0 134,2,2024-09-07 09:44:11:763,699818,699794,24,0,32938490,0,6207 134,3,2024-09-07 09:44:10:757,1,555,12,0,739,6547,555,0 135,0,2024-09-07 09:44:11:095,132795,0.9,132772,0.9,281857,1.1,363358,2.25 135,1,2024-09-07 09:44:11:594,972533,972533,0,0,456464700163,4798179125,960459,10503,1571,380,391805,0 135,2,2024-09-07 09:44:10:690,703597,703597,0,0,33591824,0,4503 135,3,2024-09-07 09:44:11:002,1,555,1,0,900,5486,555,0 136,0,2024-09-07 09:44:11:627,136117,0.5,136397,0.7,271497,0.5,362466,2.00 136,1,2024-09-07 09:44:11:458,973443,973443,0,0,457299252752,4793525136,962314,9763,1366,381,392135,0 136,2,2024-09-07 09:44:11:133,703700,703685,15,0,33531147,0,6007 136,3,2024-09-07 09:44:11:106,1,555,10,0,637,6697,555,0 137,0,2024-09-07 09:44:10:935,144969,0.5,141292,0.7,276865,0.5,375814,2.00 137,1,2024-09-07 09:44:10:575,971913,971913,0,0,457998496695,4802490846,956861,12002,3050,366,391898,0 137,2,2024-09-07 09:44:11:715,700851,700851,0,0,34344918,0,3185 137,3,2024-09-07 09:44:10:775,1,555,0,0,484,7256,555,0 138,0,2024-09-07 09:44:11:764,140736,0.8,140945,0.9,282495,0.9,375553,2.00 138,1,2024-09-07 09:44:11:689,972956,972956,0,0,457437941379,4798407669,959111,11606,2239,368,391954,0 138,2,2024-09-07 09:44:10:587,697809,697809,0,0,31689742,0,4988 138,3,2024-09-07 09:44:10:620,1,555,10,0,1200,8891,555,0 139,0,2024-09-07 09:44:11:361,138279,1.2,138728,1.0,277456,1.7,369990,2.25 139,1,2024-09-07 09:44:10:575,968913,968913,0,0,454864219906,4824258240,951662,13566,3685,380,392109,0 139,2,2024-09-07 09:44:10:698,699304,699304,0,0,36257518,0,3360 139,3,2024-09-07 09:44:11:670,1,555,1,0,432,6442,555,0 140,0,2024-09-07 09:44:11:597,134547,0.3,133665,0.5,268216,0.2,357974,1.75 140,1,2024-09-07 09:44:11:544,979055,979055,0,0,459916583869,4739318432,974408,4108,539,364,391606,0 140,2,2024-09-07 09:44:10:693,705038,705037,1,0,26645480,0,5036 140,3,2024-09-07 09:44:10:769,1,555,7,0,297,5042,555,0 141,0,2024-09-07 09:44:11:700,139441,0.3,143180,0.4,273478,0.2,370854,1.50 141,1,2024-09-07 09:44:10:860,977065,977065,0,0,459236130809,4769467981,969481,6451,1133,379,391614,0 141,2,2024-09-07 09:44:11:689,702796,702785,11,0,28428510,0,5369 141,3,2024-09-07 09:44:11:051,1,555,0,0,391,6281,555,0 142,0,2024-09-07 09:44:11:319,142408,0.3,141372,0.5,283377,0.2,377751,1.50 142,1,2024-09-07 09:44:10:584,975090,975090,0,0,458430572270,4776463804,968948,5655,487,382,392102,0 142,2,2024-09-07 09:44:11:311,697459,697427,32,0,28657556,0,6028 142,3,2024-09-07 09:44:11:752,1,555,11,0,484,5797,555,0 143,0,2024-09-07 09:44:11:384,141080,0.4,141128,0.6,283204,0.4,376097,1.75 143,1,2024-09-07 09:44:10:556,977409,977409,0,0,459454734012,4765990507,971128,5718,563,367,391722,0 143,2,2024-09-07 09:44:10:774,704937,704937,0,0,28524635,0,3123 143,3,2024-09-07 09:44:11:143,1,555,25,0,462,6924,555,0 144,0,2024-09-07 09:44:11:497,130899,0.6,134628,0.8,273926,0.6,358196,2.00 144,1,2024-09-07 09:44:10:569,972651,972651,0,0,456541287608,4787617370,963191,7491,1969,381,391733,0 144,2,2024-09-07 09:44:11:778,704717,704717,0,0,27988560,0,4443 144,3,2024-09-07 09:44:11:758,1,555,9,0,249,5500,555,0 145,0,2024-09-07 09:44:11:388,133379,0.5,133335,0.7,283093,0.5,364636,2.25 145,1,2024-09-07 09:44:10:561,972009,972009,0,0,456092734383,4801472327,958535,10813,2661,382,391759,0 145,2,2024-09-07 09:44:11:429,700372,700290,82,0,33842361,0,7814 145,3,2024-09-07 09:44:10:895,1,555,1,0,622,7654,555,0 146,0,2024-09-07 09:44:11:596,141800,0.6,141331,0.8,283832,0.6,376940,2.25 146,1,2024-09-07 09:44:11:594,972597,972597,0,0,456680754720,4807282913,955719,12413,4465,367,391770,0 146,2,2024-09-07 09:44:11:715,698434,698428,6,0,31801534,0,5151 146,3,2024-09-07 09:44:11:278,1,555,8,0,1520,9326,555,0 147,0,2024-09-07 09:44:11:751,142960,0.6,142673,0.7,285005,0.6,380635,2.25 147,1,2024-09-07 09:44:11:373,976504,976504,0,0,459183050343,4768154399,969150,6473,881,367,391791,0 147,2,2024-09-07 09:44:11:010,701105,701105,0,0,28019693,0,2968 147,3,2024-09-07 09:44:10:927,1,555,127,0,1626,8771,555,0 0,0,2024-09-07 09:44:21:755,137955,0.6,137998,0.7,292633,0.6,378726,2.00 0,1,2024-09-07 09:44:20:832,975284,975284,0,0,457994844767,4792637788,967666,6773,845,369,391896,0 0,2,2024-09-07 09:44:21:071,704270,704270,0,0,28332717,0,4480 0,3,2024-09-07 09:44:20:994,1,556,10,0,431,7978,556,0 1,0,2024-09-07 09:44:21:816,142439,0.9,141422,0.9,284436,1.1,379958,2.00 1,1,2024-09-07 09:44:20:578,973778,973778,0,0,457033404487,4786158583,964933,7370,1475,370,391859,0 1,2,2024-09-07 09:44:20:646,701093,701093,0,0,27445418,0,3380 1,3,2024-09-07 09:44:21:310,1,556,1,0,269,6813,556,0 2,0,2024-09-07 09:44:21:573,138389,0.6,138116,0.7,276209,0.6,368474,2.00 2,1,2024-09-07 09:44:20:860,977383,977383,0,0,459453763485,4772895356,972215,4237,931,379,391745,0 2,2,2024-09-07 09:44:21:271,704805,704805,0,0,26365747,0,3594 2,3,2024-09-07 09:44:20:691,1,556,18,0,357,4929,556,0 3,0,2024-09-07 09:44:21:744,134835,0.4,134810,0.6,268892,0.3,358824,2.00 3,1,2024-09-07 09:44:21:917,976211,976211,0,0,458907840437,4773899507,968689,6819,703,379,391716,0 3,2,2024-09-07 09:44:21:143,705332,705309,23,0,27938906,0,5851 3,3,2024-09-07 09:44:21:752,1,556,1,0,275,3748,556,0 4,0,2024-09-07 09:44:21:765,135974,0.4,139762,0.5,284959,0.3,373030,1.75 4,1,2024-09-07 09:44:20:645,972672,972672,0,0,455342133510,4823477529,955344,13620,3708,370,391992,0 4,2,2024-09-07 09:44:21:038,700980,700980,0,0,34085501,0,4534 4,3,2024-09-07 09:44:21:037,1,556,3,0,448,6987,556,0 5,0,2024-09-07 09:44:21:379,141325,0.4,141369,0.5,282862,0.4,375809,1.75 5,1,2024-09-07 09:44:20:770,973401,973401,0,0,458011911001,4822092129,959397,11192,2812,367,392005,0 5,2,2024-09-07 09:44:21:828,696720,696720,0,0,32401491,0,3582 5,3,2024-09-07 09:44:21:744,1,556,0,0,457,7499,556,0 6,0,2024-09-07 09:44:20:916,140763,0.5,140342,0.7,280847,0.4,373964,2.00 6,1,2024-09-07 09:44:20:761,975443,975443,0,0,458201362515,4786386838,964989,8932,1522,379,391702,0 6,2,2024-09-07 09:44:21:121,705222,705204,18,0,32036451,0,5535 6,3,2024-09-07 09:44:21:279,1,556,12,0,710,6901,556,0 7,0,2024-09-07 09:44:21:532,133054,0.5,133938,0.7,266614,0.5,354957,2.00 7,1,2024-09-07 09:44:20:862,974448,974448,0,0,457973025509,4809118960,960570,11474,2404,382,391747,0 7,2,2024-09-07 09:44:20:782,704960,704960,0,0,30803253,0,4791 7,3,2024-09-07 09:44:20:859,1,556,2,0,552,6338,556,0 8,0,2024-09-07 09:44:21:337,138924,0.3,138457,0.5,277863,0.3,370468,1.75 8,1,2024-09-07 09:44:21:036,972439,972439,0,0,457865492924,4816697121,956495,12405,3539,366,392853,0 8,2,2024-09-07 09:44:20:859,698695,698693,2,0,36662664,0,5112 8,3,2024-09-07 09:44:20:612,1,556,167,0,772,8942,556,0 9,0,2024-09-07 09:44:21:141,141825,0.4,138038,0.5,289161,0.3,378754,1.75 9,1,2024-09-07 09:44:20:552,972484,972484,0,0,456848415081,4815506416,957330,12169,2985,369,392001,0 9,2,2024-09-07 09:44:21:089,698308,698307,1,0,33282315,0,5281 9,3,2024-09-07 09:44:21:768,1,556,22,0,1273,9457,556,0 10,0,2024-09-07 09:44:21:597,142115,0.4,141628,0.5,284131,0.3,378381,1.75 10,1,2024-09-07 09:44:20:583,974459,974459,0,0,457848890741,4799955429,960686,11340,2433,381,391869,0 10,2,2024-09-07 09:44:20:762,704436,704436,0,0,36383468,0,4264 10,3,2024-09-07 09:44:20:879,1,556,7,0,669,6462,556,0 11,0,2024-09-07 09:44:21:009,136025,0.4,131868,0.6,275931,0.4,363422,1.75 11,1,2024-09-07 09:44:20:602,976019,976019,0,0,458756919478,4809975254,961882,10375,3762,383,391766,0 11,2,2024-09-07 09:44:21:123,704183,704183,0,0,32426067,0,4130 11,3,2024-09-07 09:44:21:310,1,556,1,0,843,7399,556,0 12,0,2024-09-07 09:44:20:986,138585,0.4,138524,0.5,277055,0.3,368535,1.75 12,1,2024-09-07 09:44:20:948,975793,975793,0,0,458054697604,4778088897,967663,7148,982,370,391960,0 12,2,2024-09-07 09:44:21:541,702469,702469,0,0,31232018,0,4390 12,3,2024-09-07 09:44:21:062,1,556,8,0,386,7210,556,0 13,0,2024-09-07 09:44:21:344,143356,0.4,143268,0.6,285744,0.4,380700,1.75 13,1,2024-09-07 09:44:21:533,973255,973255,0,0,457078186039,4803304382,963349,7605,2301,382,391768,0 13,2,2024-09-07 09:44:20:616,703493,703493,0,0,28038034,0,3287 13,3,2024-09-07 09:44:21:775,1,556,1,0,522,7605,556,0 14,0,2024-09-07 09:44:20:560,143243,0.4,144312,0.6,286306,0.4,381535,1.75 14,1,2024-09-07 09:44:21:561,980012,980012,0,0,460049240625,4759835130,972467,6576,969,364,391673,0 14,2,2024-09-07 09:44:20:768,702778,702748,30,0,29480136,0,6104 14,3,2024-09-07 09:44:21:115,1,556,9,0,1168,6259,556,0 15,0,2024-09-07 09:44:21:553,136981,0.4,136387,0.6,273634,0.4,364690,2.00 15,1,2024-09-07 09:44:21:608,977054,977054,0,0,458599711105,4774501080,969044,6520,1490,381,391619,0 15,2,2024-09-07 09:44:21:018,706936,706936,0,0,25142928,0,3622 15,3,2024-09-07 09:44:21:410,1,556,1,0,1126,7568,556,0 16,0,2024-09-07 09:44:20:976,135873,0.5,136648,0.7,272703,0.5,363017,2.00 16,1,2024-09-07 09:44:20:583,977426,977426,0,0,458850158373,4793607701,968966,7155,1305,370,392194,0 16,2,2024-09-07 09:44:21:435,703726,703726,0,0,29207114,0,4719 16,3,2024-09-07 09:44:21:150,1,556,4,0,358,6824,556,0 17,0,2024-09-07 09:44:21:763,145225,0.5,141496,0.7,277314,0.5,376406,1.75 17,1,2024-09-07 09:44:20:580,975217,975217,0,0,458145406501,4804386232,965526,7937,1754,368,392075,0 17,2,2024-09-07 09:44:21:666,706094,706093,1,0,30294126,0,5050 17,3,2024-09-07 09:44:20:598,1,556,10,0,518,8123,556,0 18,0,2024-09-07 09:44:20:945,140258,0.7,141212,0.8,281316,0.7,375892,2.25 18,1,2024-09-07 09:44:21:643,979475,979475,0,0,459740732074,4765295965,973294,5184,997,367,391725,0 18,2,2024-09-07 09:44:21:761,702784,702784,0,0,26528847,0,3541 18,3,2024-09-07 09:44:20:905,1,556,8,0,1059,5143,556,0 19,0,2024-09-07 09:44:21:538,138953,0.6,139224,0.8,277327,0.7,368851,2.00 19,1,2024-09-07 09:44:20:574,978815,978815,0,0,460361816805,4770191888,971783,5947,1085,367,391777,0 19,2,2024-09-07 09:44:21:752,707782,707782,0,0,25202564,0,3988 19,3,2024-09-07 09:44:21:160,1,556,1,0,524,4309,556,0 20,0,2024-09-07 09:44:21:426,134112,0.5,134321,0.7,268161,0.5,357905,2.00 20,1,2024-09-07 09:44:20:582,974654,974654,0,0,458771086020,4806181018,964517,8637,1500,369,391922,0 20,2,2024-09-07 09:44:20:958,704311,704311,0,0,31498978,0,4321 20,3,2024-09-07 09:44:20:589,1,556,1,0,468,9085,556,0 21,0,2024-09-07 09:44:21:172,139538,0.4,139662,0.6,279181,0.4,371061,1.75 21,1,2024-09-07 09:44:21:536,973303,973303,0,0,456927999050,4819883913,958417,11622,3264,368,392016,0 21,2,2024-09-07 09:44:21:079,700846,700826,20,0,35700354,0,5617 21,3,2024-09-07 09:44:21:415,1,556,1,0,713,7608,556,0 22,0,2024-09-07 09:44:21:722,141581,0.5,142081,0.7,283526,0.4,376050,2.00 22,1,2024-09-07 09:44:21:025,974132,974132,0,0,457176395206,4811763606,957781,13012,3339,382,391822,0 22,2,2024-09-07 09:44:20:760,697934,697908,26,0,29787092,0,6328 22,3,2024-09-07 09:44:21:070,1,556,16,0,228,4705,556,0 23,0,2024-09-07 09:44:21:366,140998,0.5,140610,0.7,281195,0.5,374846,2.25 23,1,2024-09-07 09:44:21:022,975658,975658,0,0,458719704363,4814637959,958803,12006,4849,365,391690,0 23,2,2024-09-07 09:44:21:094,705955,705955,0,0,28736414,0,3773 23,3,2024-09-07 09:44:21:754,1,556,1,0,855,8430,556,0 24,0,2024-09-07 09:44:20:890,135832,0.4,135160,0.6,271790,0.4,360318,1.75 24,1,2024-09-07 09:44:20:606,974319,974319,0,0,457424917172,4788066097,965028,7610,1681,367,392269,0 24,2,2024-09-07 09:44:21:092,704223,704223,0,0,34921451,0,4438 24,3,2024-09-07 09:44:21:687,1,556,1,0,468,6912,556,0 25,0,2024-09-07 09:44:21:346,141898,0.4,138171,0.6,271107,0.4,369421,1.75 25,1,2024-09-07 09:44:20:567,972736,972736,0,0,457090092807,4826092441,956077,13127,3532,369,391928,0 25,2,2024-09-07 09:44:21:606,701364,701364,0,0,35783812,0,3978 25,3,2024-09-07 09:44:21:004,1,556,2,0,532,6269,556,0 26,0,2024-09-07 09:44:21:718,141251,0.4,138291,0.6,290165,0.4,377411,2.00 26,1,2024-09-07 09:44:21:542,976879,976879,0,0,457459529705,4789789849,963465,10955,2459,380,391748,0 26,2,2024-09-07 09:44:20:869,699990,699990,0,0,37482276,0,4689 26,3,2024-09-07 09:44:21:712,1,556,8,0,796,6151,556,0 27,0,2024-09-07 09:44:21:738,143078,0.4,143804,0.6,285637,0.4,381049,2.25 27,1,2024-09-07 09:44:21:682,976722,976722,0,0,459517921628,4787559734,967338,8091,1293,381,391626,0 27,2,2024-09-07 09:44:20:876,700208,700143,65,0,32713311,0,5699 27,3,2024-09-07 09:44:21:022,1,556,3,0,564,4810,556,0 28,0,2024-09-07 09:44:21:400,137202,0.4,136949,0.6,274331,0.3,365697,2.00 28,1,2024-09-07 09:44:20:811,978213,978213,0,0,459165234054,4784224199,970401,6098,1714,382,391698,0 28,2,2024-09-07 09:44:21:765,705480,705480,0,0,28763355,0,2915 28,3,2024-09-07 09:44:21:776,1,556,6,0,502,5491,556,0 29,0,2024-09-07 09:44:21:358,140725,0.3,137013,0.6,268899,0.3,366387,1.75 29,1,2024-09-07 09:44:21:561,980891,980891,0,0,460425204144,4759968738,975269,4859,763,367,391809,0 29,2,2024-09-07 09:44:20:860,702929,702929,0,0,27798106,0,4986 29,3,2024-09-07 09:44:20:979,1,556,11,0,459,6080,556,0 30,0,2024-09-07 09:44:21:456,141113,0.5,137566,0.7,288044,0.4,376326,2.00 30,1,2024-09-07 09:44:20:573,979381,979381,0,0,459990614613,4771781392,972282,6194,905,380,391672,0 30,2,2024-09-07 09:44:21:280,703809,703809,0,0,26791472,0,4192 30,3,2024-09-07 09:44:20:581,1,556,7,0,519,5302,556,0 31,0,2024-09-07 09:44:21:809,142208,0.4,142868,0.6,284798,0.4,380445,2.00 31,1,2024-09-07 09:44:20:565,982315,982315,0,0,461524418659,4737235549,976686,4605,1024,356,391712,0 31,2,2024-09-07 09:44:21:280,700402,700402,0,0,29405557,0,4470 31,3,2024-09-07 09:44:21:714,1,556,12,0,239,4803,556,0 32,0,2024-09-07 09:44:21:415,138804,0.3,139569,0.5,277990,0.3,370026,1.75 32,1,2024-09-07 09:44:20:810,979066,979066,0,0,460043163471,4779183562,973248,5108,710,381,391646,0 32,2,2024-09-07 09:44:20:951,706432,706432,0,0,25981254,0,3922 32,3,2024-09-07 09:44:21:018,1,556,1,0,304,4468,556,0 33,0,2024-09-07 09:44:21:493,135481,0.3,135031,0.4,270308,0.2,359889,1.50 33,1,2024-09-07 09:44:20:585,979144,979144,0,0,460579787139,4767993226,971747,6223,1174,368,391730,0 33,2,2024-09-07 09:44:20:762,705762,705727,35,0,28785642,0,7012 33,3,2024-09-07 09:44:20:904,1,556,1,0,329,4986,556,0 34,0,2024-09-07 09:44:20:929,140459,0.3,144190,0.4,275857,0.2,372542,1.75 34,1,2024-09-07 09:44:21:049,981649,981649,0,0,461117278965,4740728673,979117,2510,22,367,391637,0 34,2,2024-09-07 09:44:20:771,705573,705573,0,0,26871126,0,4562 34,3,2024-09-07 09:44:21:693,1,556,14,0,320,4510,556,0 35,0,2024-09-07 09:44:20:866,140439,0.3,141199,0.5,283543,0.2,376495,1.75 35,1,2024-09-07 09:44:21:072,977977,977977,0,0,459510780540,4766507667,970779,5714,1484,382,391769,0 35,2,2024-09-07 09:44:21:590,699734,699734,0,0,29533150,0,4055 35,3,2024-09-07 09:44:20:920,1,556,0,0,466,5445,556,0 36,0,2024-09-07 09:44:21:518,141108,0.5,140919,0.7,281599,0.5,374767,2.00 36,1,2024-09-07 09:44:20:583,976914,976914,0,0,457664908266,4780684661,964013,10670,2231,366,391759,0 36,2,2024-09-07 09:44:21:756,705119,705119,0,0,31442574,0,3875 36,3,2024-09-07 09:44:20:866,1,556,0,0,416,7423,556,0 37,0,2024-09-07 09:44:21:382,133257,0.5,133247,0.7,266720,0.5,355542,2.25 37,1,2024-09-07 09:44:20:571,976113,976106,0,7,457952504093,4780537232,964566,8825,2715,365,391770,0 37,2,2024-09-07 09:44:21:142,703148,703133,15,0,31870875,0,5815 37,3,2024-09-07 09:44:21:767,1,556,1,0,888,7483,556,0 38,0,2024-09-07 09:44:21:437,138103,0.4,133986,0.6,280236,0.3,367649,2.00 38,1,2024-09-07 09:44:21:605,976884,976884,0,0,458714261713,4793953299,963094,11218,2572,368,391821,0 38,2,2024-09-07 09:44:20:762,703091,703044,47,0,31786171,0,6710 38,3,2024-09-07 09:44:21:014,1,556,8,0,689,6877,556,0 39,0,2024-09-07 09:44:21:778,145040,0.5,141774,0.7,276528,0.5,377498,2.00 39,1,2024-09-07 09:44:20:721,975410,975410,0,0,458156553092,4805260690,958799,12915,3696,365,391865,0 39,2,2024-09-07 09:44:21:426,700052,700052,0,0,29212482,0,3391 39,3,2024-09-07 09:44:20:720,1,556,1,0,525,6514,556,0 40,0,2024-09-07 09:44:21:488,140856,0.9,141517,1.0,282345,1.0,376378,2.75 40,1,2024-09-07 09:44:20:584,976325,976325,0,0,457352178657,4790309210,962191,11372,2762,368,391668,0 40,2,2024-09-07 09:44:21:301,702576,702575,1,0,35072713,0,5137 40,3,2024-09-07 09:44:21:144,1,556,4,0,1028,8202,556,0 41,0,2024-09-07 09:44:21:021,135452,1.2,138494,1.1,264047,1.7,359024,3.00 41,1,2024-09-07 09:44:20:776,975542,975542,0,0,457307827859,4785693288,962840,10637,2065,369,391878,0 41,2,2024-09-07 09:44:20:763,702004,702003,1,0,34114260,0,5408 41,3,2024-09-07 09:44:21:680,1,556,3,0,366,6009,556,0 42,0,2024-09-07 09:44:21:492,137005,0.9,136780,1.0,273320,1.0,363419,2.75 42,1,2024-09-07 09:44:21:449,973016,973016,0,0,457315179762,4807755856,957344,12744,2928,380,391675,0 42,2,2024-09-07 09:44:21:134,702061,702061,0,0,33940765,0,3975 42,3,2024-09-07 09:44:21:009,1,556,10,0,892,5084,556,0 43,0,2024-09-07 09:44:20:932,140891,0.7,137147,0.9,287500,0.8,375723,2.25 43,1,2024-09-07 09:44:20:584,976207,976207,0,0,458515032544,4790439909,962825,11025,2357,366,391696,0 43,2,2024-09-07 09:44:21:736,702153,702153,0,0,32563189,0,4723 43,3,2024-09-07 09:44:21:749,1,556,6,0,571,8073,556,0 44,0,2024-09-07 09:44:20:866,143521,0.4,143388,0.6,287408,0.4,382003,1.75 44,1,2024-09-07 09:44:20:566,978884,978884,0,0,459551715801,4748424071,970601,6618,1665,356,391809,0 44,2,2024-09-07 09:44:21:266,700269,700269,0,0,26504115,0,4344 44,3,2024-09-07 09:44:21:100,1,556,1097,0,1097,7142,556,0 45,0,2024-09-07 09:44:21:765,135582,0.5,132424,0.7,277959,0.5,364838,2.00 45,1,2024-09-07 09:44:21:015,977896,977896,0,0,459524544368,4774868588,970121,6883,892,382,391917,0 45,2,2024-09-07 09:44:21:278,705737,705737,0,0,27041091,0,3596 45,3,2024-09-07 09:44:20:947,1,556,12,0,531,5588,556,0 46,0,2024-09-07 09:44:20:995,135454,0.5,135082,0.7,270829,0.4,359849,2.00 46,1,2024-09-07 09:44:20:596,979615,979615,0,0,460581079196,4758722757,972927,5824,864,366,391709,0 46,2,2024-09-07 09:44:20:610,704850,704850,0,0,27347560,0,4443 46,3,2024-09-07 09:44:21:138,1,556,10,0,908,6894,556,0 47,0,2024-09-07 09:44:21:112,141075,0.4,141094,0.6,283144,0.3,375164,1.75 47,1,2024-09-07 09:44:20:578,981092,981092,0,0,460345025128,4753738571,975681,4571,840,365,391641,0 47,2,2024-09-07 09:44:20:920,706843,706843,0,0,26963250,0,4477 47,3,2024-09-07 09:44:21:127,1,556,1,0,600,6212,556,0 48,0,2024-09-07 09:44:21:541,142037,0.3,142181,0.4,283755,0.2,377756,1.50 48,1,2024-09-07 09:44:21:027,978402,978402,0,0,459131342400,4768259448,972466,5360,576,384,391710,0 48,2,2024-09-07 09:44:20:708,700582,700582,0,0,24821395,0,3524 48,3,2024-09-07 09:44:20:753,1,556,73,0,339,4413,556,0 49,0,2024-09-07 09:44:21:715,143258,0.3,140520,0.5,273277,0.3,373086,1.75 49,1,2024-09-07 09:44:21:027,977988,977988,0,0,459273960047,4771927273,972308,4468,1212,382,391809,0 49,2,2024-09-07 09:44:21:799,706468,706468,0,0,27355234,0,4426 49,3,2024-09-07 09:44:21:425,1,556,10,0,992,6508,556,0 50,0,2024-09-07 09:44:21:530,135015,0.3,133265,0.5,268472,0.2,357683,1.75 50,1,2024-09-07 09:44:21:024,981095,981095,0,0,460751054246,4761274665,975071,5356,668,368,391565,0 50,2,2024-09-07 09:44:21:075,704393,704393,0,0,25143098,0,4490 50,3,2024-09-07 09:44:21:294,1,556,8,0,617,5652,556,0 51,0,2024-09-07 09:44:21:703,143267,0.3,140286,0.4,273013,0.2,372632,1.50 51,1,2024-09-07 09:44:21:682,981730,981730,0,0,461327931719,4756050293,976505,4145,1080,365,391706,0 51,2,2024-09-07 09:44:21:323,704254,704254,0,0,24738825,0,3337 51,3,2024-09-07 09:44:21:034,1,556,1,0,678,3989,556,0 52,0,2024-09-07 09:44:21:424,142109,0.5,141740,0.7,283769,0.4,376922,2.00 52,1,2024-09-07 09:44:20:585,976339,976339,0,0,458875702447,4803626045,962070,12054,2215,368,391805,0 52,2,2024-09-07 09:44:21:760,695836,695798,38,0,32859855,0,6742 52,3,2024-09-07 09:44:20:674,1,556,2,0,1782,6947,556,0 53,0,2024-09-07 09:44:21:743,140605,0.7,136392,0.8,285320,0.7,374139,2.50 53,1,2024-09-07 09:44:20:778,974896,974896,0,0,458099930750,4807699442,958123,12617,4156,367,391968,0 53,2,2024-09-07 09:44:21:298,704905,704904,1,0,29866970,0,5455 53,3,2024-09-07 09:44:20:705,1,556,2,0,308,5237,556,0 54,0,2024-09-07 09:44:21:632,133051,0.6,133432,0.8,265696,0.4,354199,2.25 54,1,2024-09-07 09:44:20:580,976975,976975,0,0,458552706079,4769894418,966368,8945,1662,366,391810,0 54,2,2024-09-07 09:44:20:869,705833,705801,32,0,33841306,0,6397 54,3,2024-09-07 09:44:20:765,1,556,9,0,676,7507,556,0 55,0,2024-09-07 09:44:21:769,133273,0.6,137435,0.8,278811,0.5,363702,2.50 55,1,2024-09-07 09:44:20:781,978291,978291,0,0,458522242467,4770159633,967884,9022,1385,365,391731,0 55,2,2024-09-07 09:44:20:738,701709,701653,56,0,31806079,0,7239 55,3,2024-09-07 09:44:20:680,1,556,1,0,304,5111,556,0 56,0,2024-09-07 09:44:21:682,144873,1.2,136627,1.1,281370,1.5,376807,2.75 56,1,2024-09-07 09:44:20:572,971961,971961,0,0,457421051355,4835098787,955531,12974,3456,381,391867,0 56,2,2024-09-07 09:44:21:314,699675,699553,122,0,33031362,0,7432 56,3,2024-09-07 09:44:21:070,1,556,3,0,705,6510,556,0 57,0,2024-09-07 09:44:21:066,141230,1.5,141215,1.2,282540,2.1,377724,3.25 57,1,2024-09-07 09:44:21:000,974196,974196,0,0,457329888221,4800170802,961120,10744,2332,366,392032,0 57,2,2024-09-07 09:44:21:326,702771,702771,0,0,34484511,0,4317 57,3,2024-09-07 09:44:21:738,1,556,1,0,455,6443,556,0 58,0,2024-09-07 09:44:20:558,135121,0.9,131029,1.0,274224,1.1,359180,2.50 58,1,2024-09-07 09:44:20:585,975532,975529,0,3,458252656305,4804592258,960522,11315,3692,367,391675,3 58,2,2024-09-07 09:44:21:087,704784,704784,0,0,32686155,0,3483 58,3,2024-09-07 09:44:21:070,1,556,185,0,1043,6339,556,0 59,0,2024-09-07 09:44:21:739,136298,0.8,136120,0.9,271678,0.9,360419,2.75 59,1,2024-09-07 09:44:20:817,974410,974410,0,0,458132359949,4812999602,958257,12822,3331,369,391653,0 59,2,2024-09-07 09:44:20:588,702789,702789,0,0,31188384,0,3727 59,3,2024-09-07 09:44:21:737,1,556,68,0,1015,6883,556,0 60,0,2024-09-07 09:44:21:780,141846,0.5,142281,0.7,284163,0.5,379102,1.75 60,1,2024-09-07 09:44:20:784,979533,979533,0,0,460288541808,4778196260,972751,5808,974,370,392031,0 60,2,2024-09-07 09:44:21:161,703654,703654,0,0,28921085,0,3811 60,3,2024-09-07 09:44:21:280,1,556,74,0,409,6535,556,0 61,0,2024-09-07 09:44:21:525,142297,0.6,142911,0.8,284551,0.7,380063,2.00 61,1,2024-09-07 09:44:20:786,975770,975770,0,0,458186435034,4797365727,965237,8874,1659,382,392127,0 61,2,2024-09-07 09:44:21:130,700803,700736,67,0,29899918,0,6411 61,3,2024-09-07 09:44:21:688,1,556,23,0,607,7646,556,0 62,0,2024-09-07 09:44:21:721,139056,0.6,142699,0.8,272444,0.6,369832,2.00 62,1,2024-09-07 09:44:21:111,981510,981504,0,6,461182586535,4752755720,976970,4251,283,365,391975,6 62,2,2024-09-07 09:44:21:643,703538,703537,1,0,29368230,0,5555 62,3,2024-09-07 09:44:21:162,1,556,8,0,482,4450,556,0 63,0,2024-09-07 09:44:21:451,135415,0.4,135186,0.6,271252,0.3,360145,1.75 63,1,2024-09-07 09:44:20:810,978653,978647,0,6,459853508420,4773207625,972438,5368,841,381,391800,6 63,2,2024-09-07 09:44:20:767,705320,705320,0,0,28217719,0,4369 63,3,2024-09-07 09:44:21:732,1,556,2,0,667,5986,556,0 64,0,2024-09-07 09:44:21:560,139767,0.4,139806,0.6,278968,0.4,371606,1.75 64,1,2024-09-07 09:44:20:759,977587,977587,0,0,458924916053,4777763931,969096,6675,1816,370,391794,0 64,2,2024-09-07 09:44:21:142,708341,708322,19,0,27435193,0,6121 64,3,2024-09-07 09:44:21:140,1,556,99,0,651,5796,556,0 65,0,2024-09-07 09:44:21:677,140184,0.6,140406,0.7,280451,0.6,373795,2.00 65,1,2024-09-07 09:44:20:866,975969,975969,0,0,457584992612,4779624343,969203,5966,800,381,391901,0 65,2,2024-09-07 09:44:21:703,699022,699022,0,0,31680999,0,3367 65,3,2024-09-07 09:44:21:694,1,556,18,0,782,6434,556,0 66,0,2024-09-07 09:44:21:764,140244,0.6,139669,0.8,279469,0.5,371902,2.25 66,1,2024-09-07 09:44:21:297,978342,978342,0,0,458824372194,4773513722,972107,5513,722,380,391743,0 66,2,2024-09-07 09:44:21:131,707530,707527,3,0,29118186,0,5455 66,3,2024-09-07 09:44:21:082,1,556,23,0,291,4738,556,0 67,0,2024-09-07 09:44:21:411,133703,0.5,133376,0.7,267448,0.5,355747,2.00 67,1,2024-09-07 09:44:20:768,977906,977905,0,1,458624470148,4771363134,971614,5475,816,380,391787,1 67,2,2024-09-07 09:44:20:584,707992,707977,15,0,28971227,0,6205 67,3,2024-09-07 09:44:21:750,1,556,5,0,595,5894,556,0 68,0,2024-09-07 09:44:20:579,138892,0.5,138657,0.7,276100,0.5,369767,2.00 68,1,2024-09-07 09:44:20:581,973703,973703,0,0,456983975538,4805046208,960747,9497,3459,381,391953,0 68,2,2024-09-07 09:44:21:049,699559,699459,100,0,36831958,0,8578 68,3,2024-09-07 09:44:20:740,1,556,0,0,417,7288,556,0 69,0,2024-09-07 09:44:21:732,141287,0.7,142129,0.8,283202,0.7,376104,2.25 69,1,2024-09-07 09:44:21:026,973008,973008,0,0,456730380401,4812008639,960360,9885,2763,383,391994,0 69,2,2024-09-07 09:44:21:733,697616,697587,29,0,37956503,0,6912 69,3,2024-09-07 09:44:20:763,1,556,15,0,698,8320,556,0 70,0,2024-09-07 09:44:21:531,140564,0.8,141028,1.0,283625,0.7,375308,2.50 70,1,2024-09-07 09:44:20:823,978962,978962,0,0,460121317679,4774311360,971325,6874,763,366,391725,0 70,2,2024-09-07 09:44:21:329,704326,704326,0,0,31961201,0,4323 70,3,2024-09-07 09:44:20:758,1,556,11,0,854,6372,556,0 71,0,2024-09-07 09:44:21:367,134749,1.0,134571,1.1,270048,1.3,360496,2.75 71,1,2024-09-07 09:44:21:609,976637,976637,0,0,458780721400,4787345958,964749,10539,1349,368,391738,0 71,2,2024-09-07 09:44:21:066,704415,704415,0,0,32606189,0,4352 71,3,2024-09-07 09:44:21:749,1,556,29,0,644,6500,556,0 72,0,2024-09-07 09:44:21:224,142204,0.5,138887,0.7,271235,0.5,369041,2.00 72,1,2024-09-07 09:44:21:105,974781,974781,0,0,457633646483,4801160456,960739,11648,2394,369,391819,0 72,2,2024-09-07 09:44:21:756,701605,701605,0,0,34129437,0,3983 72,3,2024-09-07 09:44:21:765,1,556,164,0,564,8300,556,0 73,0,2024-09-07 09:44:21:136,138840,0.5,142326,0.6,291250,0.4,378464,2.00 73,1,2024-09-07 09:44:20:779,977166,977166,0,0,459156413311,4772398287,969635,6604,927,367,391858,0 73,2,2024-09-07 09:44:21:740,701602,701602,0,0,34489421,0,4728 73,3,2024-09-07 09:44:20:978,1,556,1,0,1091,7930,556,0 74,0,2024-09-07 09:44:21:337,144284,0.5,147700,0.7,281752,0.4,382347,2.00 74,1,2024-09-07 09:44:20:635,975686,975686,0,0,457782153399,4780472866,964657,9051,1978,381,391762,0 74,2,2024-09-07 09:44:21:003,701239,701239,0,0,29998467,0,4253 74,3,2024-09-07 09:44:21:444,1,556,191,0,522,6709,556,0 75,0,2024-09-07 09:44:21:773,137450,0.6,136594,0.8,274261,0.5,366317,2.25 75,1,2024-09-07 09:44:21:590,977545,977545,0,0,458994051550,4784357842,969386,7293,866,380,391739,0 75,2,2024-09-07 09:44:21:357,703398,703398,0,0,36687353,0,4766 75,3,2024-09-07 09:44:21:087,1,556,1,0,702,6515,556,0 76,0,2024-09-07 09:44:20:595,135384,0.5,134842,0.7,269965,0.5,361499,2.25 76,1,2024-09-07 09:44:20:818,976760,976760,0,0,457407083237,4769476248,970075,5717,968,382,391723,0 76,2,2024-09-07 09:44:21:067,706548,706545,3,0,29959695,0,5265 76,3,2024-09-07 09:44:21:152,1,556,15,0,227,5065,556,0 77,0,2024-09-07 09:44:21:727,140352,0.5,140892,0.7,281772,0.4,374145,2.00 77,1,2024-09-07 09:44:20:835,976956,976956,0,0,459071002723,4786027687,970056,6302,598,381,391869,0 77,2,2024-09-07 09:44:21:288,703568,703568,0,0,29525856,0,3890 77,3,2024-09-07 09:44:21:105,1,556,4,0,401,5932,556,0 78,0,2024-09-07 09:44:21:717,142077,0.4,141582,0.6,283928,0.4,376131,2.00 78,1,2024-09-07 09:44:20:616,977056,977056,0,0,458584670102,4782795398,965359,9030,2667,367,391670,0 78,2,2024-09-07 09:44:21:412,701825,701812,13,0,27271016,0,8313 78,3,2024-09-07 09:44:21:138,1,556,8,0,311,4743,556,0 79,0,2024-09-07 09:44:21:348,134677,0.4,137908,0.6,282710,0.3,367750,2.00 79,1,2024-09-07 09:44:20:571,980055,980055,0,0,460125408966,4764519906,972301,6397,1357,367,391682,0 79,2,2024-09-07 09:44:21:070,705646,705646,0,0,26418329,0,4195 79,3,2024-09-07 09:44:20:757,1,556,106,0,418,6575,556,0 80,0,2024-09-07 09:44:21:104,134091,0.5,137867,0.6,263543,0.4,357185,2.00 80,1,2024-09-07 09:44:21:624,976997,976997,0,0,459094345948,4777251878,970837,5728,432,368,392269,0 80,2,2024-09-07 09:44:21:105,707353,707353,0,0,27081876,0,4433 80,3,2024-09-07 09:44:20:579,1,556,16,0,681,7084,556,0 81,0,2024-09-07 09:44:21:598,139924,0.5,143149,0.7,272942,0.5,370860,2.00 81,1,2024-09-07 09:44:21:664,975928,975928,0,0,458129572056,4785820950,968502,6784,642,382,391885,0 81,2,2024-09-07 09:44:21:152,702724,702661,63,0,30309996,0,5932 81,3,2024-09-07 09:44:21:130,1,556,9,0,719,6351,556,0 82,0,2024-09-07 09:44:21:531,141501,0.4,141593,0.7,283921,0.4,376060,2.00 82,1,2024-09-07 09:44:20:590,978725,978721,0,4,459624194077,4775859122,973486,4413,822,381,391768,4 82,2,2024-09-07 09:44:21:695,701449,701449,0,0,24833788,0,4484 82,3,2024-09-07 09:44:21:753,1,556,8,0,363,5303,556,0 83,0,2024-09-07 09:44:21:531,141260,0.6,141261,0.7,281916,0.6,374240,2.25 83,1,2024-09-07 09:44:20:552,976886,976886,0,0,458021373400,4771857460,970436,5993,457,382,391709,0 83,2,2024-09-07 09:44:20:772,705345,705320,25,0,27048026,0,5612 83,3,2024-09-07 09:44:20:749,1,556,7,0,1260,6799,556,0 84,0,2024-09-07 09:44:21:762,133836,0.7,134113,0.9,267598,0.6,357427,2.25 84,1,2024-09-07 09:44:21:039,975522,975522,0,0,457685637240,4786631640,964089,9779,1654,367,391967,0 84,2,2024-09-07 09:44:20:584,704916,704886,30,0,36269644,0,5971 84,3,2024-09-07 09:44:21:165,1,556,8,0,908,7595,556,0 85,0,2024-09-07 09:44:21:002,132758,0.6,132781,0.8,281933,0.5,364833,2.25 85,1,2024-09-07 09:44:20:567,972288,972288,0,0,457228555501,4829163673,956983,12559,2746,381,392092,0 85,2,2024-09-07 09:44:20:871,702012,702012,0,0,33731137,0,4255 85,3,2024-09-07 09:44:20:686,1,556,1,0,789,6576,556,0 86,0,2024-09-07 09:44:20:886,142026,0.6,145967,0.8,279085,0.7,377675,2.25 86,1,2024-09-07 09:44:20:877,974811,974811,0,0,458472402074,4807849330,961900,10255,2656,366,391995,0 86,2,2024-09-07 09:44:20:861,699559,699558,1,0,35776906,0,5004 86,3,2024-09-07 09:44:20:588,1,556,8,0,308,7981,556,0 87,0,2024-09-07 09:44:21:282,143109,0.8,142668,0.8,285382,1.0,381336,2.25 87,1,2024-09-07 09:44:20:553,974124,974124,0,0,457786042536,4794847390,961685,10720,1719,366,392076,0 87,2,2024-09-07 09:44:21:071,701843,701837,6,0,32335029,0,6323 87,3,2024-09-07 09:44:21:793,1,556,1,0,473,8328,556,0 88,0,2024-09-07 09:44:21:445,136814,0.5,137308,0.6,274405,0.4,365583,1.75 88,1,2024-09-07 09:44:20:572,973558,973558,0,0,457577774230,4794120808,960062,10759,2737,365,392084,0 88,2,2024-09-07 09:44:20:705,704120,704120,0,0,35795841,0,4465 88,3,2024-09-07 09:44:21:270,1,556,13,0,1080,8525,556,0 89,0,2024-09-07 09:44:21:782,140850,0.4,136607,0.6,269718,0.4,366501,1.75 89,1,2024-09-07 09:44:20:550,973423,973423,0,0,457692806104,4815771270,961023,10467,1933,382,391866,0 89,2,2024-09-07 09:44:21:131,702450,702450,0,0,33018118,0,3173 89,3,2024-09-07 09:44:21:795,1,556,2,0,468,9506,556,0 90,0,2024-09-07 09:44:21:637,137780,0.5,141588,0.6,288613,0.4,377054,2.00 90,1,2024-09-07 09:44:20:591,974807,974807,0,0,457705871485,4794372357,965372,8594,841,380,391825,0 90,2,2024-09-07 09:44:21:417,700266,700266,0,0,35611362,0,3608 90,3,2024-09-07 09:44:20:946,1,556,8,0,322,6820,556,0 91,0,2024-09-07 09:44:20:932,142960,0.5,138617,0.6,289926,0.4,380623,1.75 91,1,2024-09-07 09:44:20:567,972546,972546,0,0,457707617749,4818268876,959036,11004,2506,381,392047,0 91,2,2024-09-07 09:44:21:337,701107,701107,0,0,31144816,0,4713 91,3,2024-09-07 09:44:20:604,1,556,8,0,216,5139,556,0 92,0,2024-09-07 09:44:21:471,140210,0.5,143388,0.6,273378,0.5,370120,1.75 92,1,2024-09-07 09:44:20:584,976382,976382,0,0,458538959180,4788389580,968707,6466,1209,381,392136,0 92,2,2024-09-07 09:44:21:355,705234,705234,0,0,27770026,0,3259 92,3,2024-09-07 09:44:21:021,1,556,1,0,167,4770,556,0 93,0,2024-09-07 09:44:20:966,135725,0.4,139115,0.6,265607,0.3,360086,1.75 93,1,2024-09-07 09:44:20:805,976630,976630,0,0,458648533098,4785929247,966124,8771,1735,366,391776,0 93,2,2024-09-07 09:44:20:936,704585,704585,0,0,33757006,0,4913 93,3,2024-09-07 09:44:21:410,1,556,25,0,190,4897,556,0 94,0,2024-09-07 09:44:21:615,139550,0.3,140531,0.5,281015,0.3,373292,1.75 94,1,2024-09-07 09:44:20:569,977394,977394,0,0,458593354023,4781799175,970986,5984,424,381,391850,0 94,2,2024-09-07 09:44:20:761,704265,704237,28,0,28789964,0,6179 94,3,2024-09-07 09:44:21:693,1,556,12,0,576,6896,556,0 95,0,2024-09-07 09:44:21:408,141195,0.3,141261,0.5,282725,0.3,376441,1.75 95,1,2024-09-07 09:44:20:852,978498,978498,0,0,459170177905,4770170056,970864,6953,681,365,391852,0 95,2,2024-09-07 09:44:21:015,699094,699094,0,0,27511699,0,3308 95,3,2024-09-07 09:44:21:711,1,556,4,0,718,8318,556,0 96,0,2024-09-07 09:44:21:050,140642,0.4,140919,0.5,281710,0.3,374139,1.75 96,1,2024-09-07 09:44:21:584,976236,976236,0,0,458377754213,4777803020,969792,5529,915,384,391964,0 96,2,2024-09-07 09:44:21:281,705720,705720,0,0,28682023,0,4180 96,3,2024-09-07 09:44:21:160,1,556,1,0,411,6019,556,0 97,0,2024-09-07 09:44:21:354,133674,0.3,133656,0.5,268307,0.3,355838,1.50 97,1,2024-09-07 09:44:20:764,978701,978701,0,0,459733746390,4765777028,972835,4919,947,367,392140,0 97,2,2024-09-07 09:44:20:613,707043,707043,0,0,28077430,0,3679 97,3,2024-09-07 09:44:20:569,1,556,8,0,242,6225,556,0 98,0,2024-09-07 09:44:21:692,138453,0.3,138622,0.4,277909,0.2,369894,1.50 98,1,2024-09-07 09:44:20:570,977756,977756,0,0,458948931154,4775943593,972262,4691,803,381,391997,0 98,2,2024-09-07 09:44:20:772,704116,704116,0,0,27772094,0,4336 98,3,2024-09-07 09:44:20:707,1,556,7,0,840,8517,556,0 99,0,2024-09-07 09:44:21:453,142162,0.3,142750,0.5,284085,0.3,378987,1.75 99,1,2024-09-07 09:44:21:735,978356,978356,0,0,458625153671,4771114662,972322,5105,929,380,392069,0 99,2,2024-09-07 09:44:21:420,700201,700201,0,0,33035291,0,4276 99,3,2024-09-07 09:44:20:581,1,556,1,0,606,5459,556,0 100,0,2024-09-07 09:44:21:502,141842,0.8,142113,0.9,283814,0.9,378853,2.50 100,1,2024-09-07 09:44:20:576,972289,972289,0,0,456784474295,4829942381,956946,12054,3289,378,391989,0 100,2,2024-09-07 09:44:21:817,701954,701943,11,0,32949156,0,5417 100,3,2024-09-07 09:44:21:741,1,556,5,0,627,9776,556,0 101,0,2024-09-07 09:44:21:711,138943,1.1,135496,1.0,265339,1.0,362789,2.25 101,1,2024-09-07 09:44:20:551,973973,973973,0,0,457287837796,4803985740,961036,10487,2450,368,391847,0 101,2,2024-09-07 09:44:21:756,700788,700788,0,0,37825089,0,4871 101,3,2024-09-07 09:44:20:957,1,556,1,0,1250,8615,556,0 102,0,2024-09-07 09:44:20:949,133828,0.6,138002,0.8,279913,0.6,366820,2.00 102,1,2024-09-07 09:44:21:161,973918,973918,0,0,456757427343,4797786075,960763,11004,2151,369,391891,0 102,2,2024-09-07 09:44:21:747,703299,703245,54,0,32106154,0,6768 102,3,2024-09-07 09:44:21:615,1,556,8,0,466,6419,556,0 103,0,2024-09-07 09:44:21:594,147069,0.6,147070,0.7,277218,0.6,381571,2.00 103,1,2024-09-07 09:44:21:624,972663,972663,0,0,456820232578,4825693156,956705,12371,3587,381,392077,0 103,2,2024-09-07 09:44:20:583,700162,700162,0,0,34103581,0,3766 103,3,2024-09-07 09:44:20:763,1,556,2,0,916,6906,556,0 104,0,2024-09-07 09:44:21:016,142233,0.8,142413,0.9,284117,0.7,380374,2.25 104,1,2024-09-07 09:44:21:608,975183,975183,0,0,457748039189,4809074501,961246,11349,2588,365,392168,0 104,2,2024-09-07 09:44:21:677,699263,699263,0,0,32980300,0,3941 104,3,2024-09-07 09:44:21:432,1,556,15,0,1245,10164,556,0 105,0,2024-09-07 09:44:21:031,135784,0.9,132386,1.1,277338,1.0,364332,2.75 105,1,2024-09-07 09:44:20:555,976492,976492,0,0,458805487070,4805531804,964363,10323,1806,364,392009,0 105,2,2024-09-07 09:44:21:334,703281,703281,0,0,34318119,0,4360 105,3,2024-09-07 09:44:21:313,1,556,8,0,467,8087,556,0 106,0,2024-09-07 09:44:20:951,131384,0.8,134598,0.9,275720,0.8,360200,2.50 106,1,2024-09-07 09:44:21:752,974839,974839,0,0,457771777519,4803716067,961465,11723,1651,368,391914,0 106,2,2024-09-07 09:44:20:756,702624,702624,0,0,31807109,0,2920 106,3,2024-09-07 09:44:20:684,1,556,8,0,1224,8155,556,0 107,0,2024-09-07 09:44:21:102,140569,0.8,140560,0.9,281411,1.0,374925,2.25 107,1,2024-09-07 09:44:20:585,972830,972830,0,0,456934907994,4821310208,958408,12848,1574,381,392234,0 107,2,2024-09-07 09:44:21:297,701010,701009,1,0,34511435,0,5024 107,3,2024-09-07 09:44:21:755,1,556,136,0,733,8784,556,0 108,0,2024-09-07 09:44:21:808,141579,0.4,142056,0.6,283114,0.4,377963,1.75 108,1,2024-09-07 09:44:21:298,975931,975931,0,0,458649085887,4783486331,967648,7306,977,367,391894,0 108,2,2024-09-07 09:44:21:754,699442,699442,0,0,30248414,0,4246 108,3,2024-09-07 09:44:21:334,1,556,0,0,749,10542,556,0 109,0,2024-09-07 09:44:21:780,140198,0.4,138988,0.6,278696,0.4,372148,1.75 109,1,2024-09-07 09:44:20:587,973913,973913,0,0,458138794607,4803828214,965502,7193,1218,382,392132,0 109,2,2024-09-07 09:44:20:923,703322,703322,0,0,30673438,0,3617 109,3,2024-09-07 09:44:21:152,1,556,2,0,630,6974,556,0 110,0,2024-09-07 09:44:21:805,134206,0.4,130555,0.6,273394,0.3,358641,1.75 110,1,2024-09-07 09:44:21:649,977974,977974,0,0,459697329278,4772676589,970212,5838,1924,368,392045,0 110,2,2024-09-07 09:44:21:312,705821,705821,0,0,28863021,0,4067 110,3,2024-09-07 09:44:20:703,1,556,12,0,722,7696,556,0 111,0,2024-09-07 09:44:21:426,140171,0.3,139265,0.5,278925,0.3,372201,1.75 111,1,2024-09-07 09:44:21:000,979200,979200,0,0,460922515086,4778679452,974341,4498,361,380,391690,0 111,2,2024-09-07 09:44:21:128,703785,703785,0,0,29244076,0,4823 111,3,2024-09-07 09:44:20:916,1,556,4,0,379,6223,556,0 112,0,2024-09-07 09:44:20:915,142394,0.3,141869,0.4,284490,0.2,377237,1.50 112,1,2024-09-07 09:44:20:841,977763,977763,0,0,459247088531,4767401010,971241,5437,1085,380,391624,0 112,2,2024-09-07 09:44:21:133,699593,699592,1,0,27317588,0,5036 112,3,2024-09-07 09:44:20:602,1,556,12,0,282,5253,556,0 113,0,2024-09-07 09:44:20:871,141449,0.3,141402,0.5,283087,0.2,376571,1.75 113,1,2024-09-07 09:44:21:685,980534,980534,0,0,460957200591,4754703256,974954,4705,875,365,391664,0 113,2,2024-09-07 09:44:21:302,707913,707913,0,0,25578477,0,3813 113,3,2024-09-07 09:44:20:685,1,556,3,0,510,6168,556,0 114,0,2024-09-07 09:44:20:877,135272,0.3,136240,0.5,270701,0.2,361393,1.75 114,1,2024-09-07 09:44:20:720,978321,978321,0,0,459674080758,4771072574,971109,5560,1652,381,391565,0 114,2,2024-09-07 09:44:20:880,707001,707000,1,0,27480036,0,5069 114,3,2024-09-07 09:44:21:286,1,556,8,0,395,4442,556,0 115,0,2024-09-07 09:44:20:556,138517,0.3,139090,0.4,276999,0.2,368744,1.50 115,1,2024-09-07 09:44:20:571,978660,978660,0,0,459559694208,4772579274,971174,6128,1358,382,391757,0 115,2,2024-09-07 09:44:21:125,706273,706273,0,0,26786262,0,4382 115,3,2024-09-07 09:44:21:018,1,556,1,0,167,3203,556,0 116,0,2024-09-07 09:44:21:711,141736,0.7,141433,0.8,283605,0.6,378848,2.00 116,1,2024-09-07 09:44:20:804,973417,973417,0,0,457522610351,4825021181,961109,9245,3063,380,392089,0 116,2,2024-09-07 09:44:21:751,699891,699891,0,0,34184569,0,4475 116,3,2024-09-07 09:44:20:916,1,556,1,0,448,7202,556,0 117,0,2024-09-07 09:44:21:032,143275,0.7,142633,0.8,285894,0.8,382107,2.00 117,1,2024-09-07 09:44:21:580,974897,974897,0,0,457647242639,4790128585,964257,9178,1462,369,392033,0 117,2,2024-09-07 09:44:21:133,704358,704358,0,0,30651947,0,4303 117,3,2024-09-07 09:44:21:067,1,556,4,0,490,7313,556,0 118,0,2024-09-07 09:44:21:764,132705,0.6,136405,0.7,278236,0.5,364030,2.00 118,1,2024-09-07 09:44:20:624,973324,973324,0,0,456959089908,4805472325,958420,11294,3610,366,392054,0 118,2,2024-09-07 09:44:21:586,703981,703981,0,0,33073371,0,2842 118,3,2024-09-07 09:44:21:763,1,556,10,0,248,6164,556,0 119,0,2024-09-07 09:44:21:335,136384,0.6,137079,0.7,273611,0.5,364758,2.00 119,1,2024-09-07 09:44:20:556,974553,974553,0,0,457415518336,4795501806,961283,10936,2334,367,391857,0 119,2,2024-09-07 09:44:21:266,703757,703757,0,0,30999545,0,4309 119,3,2024-09-07 09:44:21:328,1,556,1,0,1358,10758,556,0 120,0,2024-09-07 09:44:21:563,141114,0.6,141053,0.8,282919,0.7,378163,2.25 120,1,2024-09-07 09:44:20:866,976057,976057,0,0,457973805591,4798561401,966390,8886,781,367,392144,0 120,2,2024-09-07 09:44:20:792,702045,702044,1,0,36344246,0,5281 120,3,2024-09-07 09:44:21:297,1,556,10,0,279,7034,556,0 121,0,2024-09-07 09:44:21:707,142641,1.2,142062,1.0,284491,1.6,379593,2.25 121,1,2024-09-07 09:44:21:657,975757,975757,0,0,458118440026,4790468446,965927,8980,850,366,391840,0 121,2,2024-09-07 09:44:21:125,699733,699733,0,0,32973557,0,4157 121,3,2024-09-07 09:44:20:733,1,556,23,0,330,6600,556,0 122,0,2024-09-07 09:44:21:760,138049,0.8,134338,0.9,281473,1.0,370066,2.25 122,1,2024-09-07 09:44:20:870,974317,974317,0,0,458085202677,4809194625,960453,11532,2332,366,392130,0 122,2,2024-09-07 09:44:21:324,704873,704798,75,0,37398154,0,5989 122,3,2024-09-07 09:44:20:617,1,556,14,0,512,9269,556,0 123,0,2024-09-07 09:44:20:974,134542,0.8,130813,0.8,273923,0.8,358844,2.25 123,1,2024-09-07 09:44:20:588,974619,974619,0,0,458103126165,4818680613,958711,13254,2654,369,392039,0 123,2,2024-09-07 09:44:21:030,702341,702340,1,0,31991703,0,5215 123,3,2024-09-07 09:44:21:139,1,556,1,0,478,6431,556,0 124,0,2024-09-07 09:44:20:927,144256,0.3,144245,0.5,271788,0.3,374584,1.75 124,1,2024-09-07 09:44:21:025,977868,977868,0,0,459168561829,4771428806,970686,6046,1136,365,392178,0 124,2,2024-09-07 09:44:21:015,705657,705604,53,0,28701738,0,6487 124,3,2024-09-07 09:44:20:763,1,556,1,0,490,5695,556,0 125,0,2024-09-07 09:44:21:429,141040,0.4,140884,0.5,282842,0.3,376426,1.75 125,1,2024-09-07 09:44:20:872,975813,975813,0,0,457852008527,4776736379,968757,6071,985,382,391813,0 125,2,2024-09-07 09:44:21:116,701880,701880,0,0,28249884,0,4534 125,3,2024-09-07 09:44:21:126,1,556,1,0,709,6493,556,0 126,0,2024-09-07 09:44:21:426,140846,0.4,144819,0.6,277080,0.4,374732,1.75 126,1,2024-09-07 09:44:20:636,979053,979053,0,0,459074370995,4750837423,974265,4475,313,365,391987,0 126,2,2024-09-07 09:44:20:617,706036,706036,0,0,29625331,0,4539 126,3,2024-09-07 09:44:20:910,1,556,8,0,268,6144,556,0 127,0,2024-09-07 09:44:21:588,133944,0.3,134300,0.5,267993,0.3,356127,1.75 127,1,2024-09-07 09:44:20:571,977355,977355,0,0,459086569389,4774493690,967931,7917,1507,364,392187,0 127,2,2024-09-07 09:44:20:642,705291,705287,4,0,28476473,0,5305 127,3,2024-09-07 09:44:21:277,1,556,5,0,968,5514,556,0 128,0,2024-09-07 09:44:21:597,139308,0.3,139317,0.4,278170,0.2,370612,1.50 128,1,2024-09-07 09:44:21:611,977246,977246,0,0,459861529447,4778036970,970063,6321,862,367,392031,0 128,2,2024-09-07 09:44:21:384,705316,705316,0,0,26331727,0,3171 128,3,2024-09-07 09:44:20:771,1,556,5,0,1082,8759,556,0 129,0,2024-09-07 09:44:21:000,143178,0.3,142536,0.5,285640,0.3,379317,1.50 129,1,2024-09-07 09:44:20:572,974005,974005,0,0,458674876101,4804255235,964555,7448,2002,379,391962,0 129,2,2024-09-07 09:44:20:709,701011,701007,4,0,28415658,0,5335 129,3,2024-09-07 09:44:20:690,1,556,43,0,506,7795,556,0 130,0,2024-09-07 09:44:21:756,143115,0.4,142543,0.6,285834,0.4,380165,1.75 130,1,2024-09-07 09:44:20:583,978121,978121,0,0,458941827814,4765832649,973211,4520,390,381,391825,0 130,2,2024-09-07 09:44:21:139,705189,705189,0,0,28441032,0,4067 130,3,2024-09-07 09:44:21:298,1,556,18,0,960,7608,556,0 131,0,2024-09-07 09:44:21:927,136145,0.4,136705,0.5,273800,0.3,363548,1.75 131,1,2024-09-07 09:44:21:822,977193,977193,0,0,458809141471,4782457521,970279,5721,1193,381,391865,0 131,2,2024-09-07 09:44:20:567,706113,706113,0,0,26728397,0,3979 131,3,2024-09-07 09:44:21:699,1,556,0,0,392,6837,556,0 132,0,2024-09-07 09:44:21:420,137880,0.5,138713,0.6,276096,0.4,367881,2.00 132,1,2024-09-07 09:44:20:584,973546,973546,0,0,457461514817,4814477444,959530,11376,2640,381,392532,0 132,2,2024-09-07 09:44:20:698,703318,703301,17,0,34982636,0,6451 132,3,2024-09-07 09:44:21:692,1,556,4,0,804,8968,556,0 133,0,2024-09-07 09:44:21:570,138946,0.4,142108,0.6,291517,0.4,379248,2.00 133,1,2024-09-07 09:44:20:631,973336,973336,0,0,457909851878,4824024940,960220,11408,1708,383,391914,0 133,2,2024-09-07 09:44:21:089,702559,702509,50,0,35229811,0,6861 133,3,2024-09-07 09:44:21:298,1,556,1,0,528,6907,556,0 134,0,2024-09-07 09:44:20:946,143555,0.5,143524,0.7,287436,0.5,382432,2.00 134,1,2024-09-07 09:44:20:613,975130,975130,0,0,457581197118,4795407408,963188,9787,2155,366,391781,0 134,2,2024-09-07 09:44:21:755,701277,701253,24,0,32970759,0,6207 134,3,2024-09-07 09:44:20:754,1,556,7,0,739,6554,556,0 135,0,2024-09-07 09:44:21:101,132992,0.9,132993,0.9,282281,1.1,363926,2.25 135,1,2024-09-07 09:44:21:585,974281,974281,0,0,457404127529,4807783217,962206,10504,1571,380,391805,0 135,2,2024-09-07 09:44:20:691,705040,705040,0,0,33648229,0,4503 135,3,2024-09-07 09:44:21:021,1,556,2,0,900,5488,556,0 136,0,2024-09-07 09:44:21:637,136541,0.5,136834,0.7,272336,0.5,363449,2.00 136,1,2024-09-07 09:44:21:443,975173,975173,0,0,458345924044,4804470204,964044,9763,1366,381,392135,0 136,2,2024-09-07 09:44:21:132,704787,704772,15,0,33580461,0,6007 136,3,2024-09-07 09:44:21:107,1,556,4,0,637,6701,556,0 137,0,2024-09-07 09:44:20:933,145250,0.5,141554,0.7,277403,0.5,376534,2.00 137,1,2024-09-07 09:44:20:596,973673,973673,0,0,458539560216,4808233876,958621,12002,3050,366,391898,0 137,2,2024-09-07 09:44:21:707,701865,701865,0,0,34444172,0,3185 137,3,2024-09-07 09:44:20:786,1,556,2,0,484,7258,556,0 138,0,2024-09-07 09:44:21:753,141063,0.8,141291,0.9,283155,0.9,376447,2.00 138,1,2024-09-07 09:44:21:685,974729,974729,0,0,458238831248,4807031031,960835,11655,2239,368,391954,0 138,2,2024-09-07 09:44:20:588,699162,699162,0,0,31851414,0,4988 138,3,2024-09-07 09:44:20:615,1,556,12,0,1200,8903,556,0 139,0,2024-09-07 09:44:21:365,138463,1.2,138908,1.0,277822,1.7,370471,2.25 139,1,2024-09-07 09:44:20:572,970567,970567,0,0,455558365674,4831528498,953316,13566,3685,380,392109,0 139,2,2024-09-07 09:44:20:705,700502,700502,0,0,36439607,0,3360 139,3,2024-09-07 09:44:21:666,1,556,6,0,432,6448,556,0 140,0,2024-09-07 09:44:21:587,134892,0.3,133980,0.5,268906,0.2,358934,1.75 140,1,2024-09-07 09:44:21:538,980844,980844,0,0,460872705892,4749749860,976148,4157,539,364,391606,0 140,2,2024-09-07 09:44:20:705,706024,706023,1,0,26800093,0,5036 140,3,2024-09-07 09:44:20:771,1,556,35,0,297,5077,556,0 141,0,2024-09-07 09:44:21:702,139845,0.3,143641,0.4,274336,0.2,372001,1.50 141,1,2024-09-07 09:44:20:869,978768,978768,0,0,460143085155,4778971435,971184,6451,1133,379,391614,0 141,2,2024-09-07 09:44:21:686,704328,704317,11,0,28704175,0,5369 141,3,2024-09-07 09:44:21:048,1,556,1,0,391,6282,556,0 142,0,2024-09-07 09:44:21:331,142525,0.3,141488,0.5,283620,0.2,378064,1.50 142,1,2024-09-07 09:44:20:584,976857,976857,0,0,459095320125,4783596546,970715,5655,487,382,392102,0 142,2,2024-09-07 09:44:21:302,698632,698600,32,0,28799368,0,6028 142,3,2024-09-07 09:44:21:745,1,556,8,0,484,5805,556,0 143,0,2024-09-07 09:44:21:399,141207,0.4,141269,0.6,283446,0.4,376427,1.75 143,1,2024-09-07 09:44:20:716,979204,979204,0,0,460340136156,4775294487,972923,5718,563,367,391722,0 143,2,2024-09-07 09:44:20:776,706157,706157,0,0,28616050,0,3123 143,3,2024-09-07 09:44:21:140,1,556,1,0,462,6925,556,0 144,0,2024-09-07 09:44:21:496,130901,0.6,134636,0.8,273936,0.6,358196,2.00 144,1,2024-09-07 09:44:20:567,974445,974445,0,0,457338950787,4795923692,964984,7492,1969,381,391733,0 144,2,2024-09-07 09:44:21:765,706265,706265,0,0,28047419,0,4443 144,3,2024-09-07 09:44:21:749,1,556,1,0,249,5501,556,0 145,0,2024-09-07 09:44:21:362,133734,0.5,133692,0.7,283821,0.5,365515,2.25 145,1,2024-09-07 09:44:20:560,973786,973786,0,0,456885804226,4809622064,960312,10813,2661,382,391759,0 145,2,2024-09-07 09:44:21:431,701792,701710,82,0,33903511,0,7814 145,3,2024-09-07 09:44:20:903,1,556,0,0,622,7654,556,0 146,0,2024-09-07 09:44:21:626,141884,0.6,141416,0.8,283997,0.6,377182,2.25 146,1,2024-09-07 09:44:21:601,974386,974386,0,0,457561413365,4816462684,957508,12413,4465,367,391770,0 146,2,2024-09-07 09:44:21:705,699137,699131,6,0,31824556,0,5151 146,3,2024-09-07 09:44:21:280,1,556,8,0,1520,9334,556,0 147,0,2024-09-07 09:44:21:759,143186,0.6,142891,0.7,285458,0.6,381213,2.25 147,1,2024-09-07 09:44:21:384,978124,978124,0,0,460047917456,4778551778,970422,6767,935,367,391791,0 147,2,2024-09-07 09:44:21:015,702604,702604,0,0,28172486,0,2968 147,3,2024-09-07 09:44:20:920,1,556,1,0,1626,8772,556,0 0,0,2024-09-07 09:44:31:718,138349,0.6,138338,0.7,293496,0.6,379612,2.00 0,1,2024-09-07 09:44:30:801,976992,976992,0,0,458898969338,4803183530,969102,6979,911,369,391896,0 0,2,2024-09-07 09:44:31:071,705026,705026,0,0,28491394,0,4480 0,3,2024-09-07 09:44:30:977,1,557,32,0,431,8010,557,0 1,0,2024-09-07 09:44:31:863,142745,0.9,141773,0.9,285069,1.1,380771,2.00 1,1,2024-09-07 09:44:30:557,975260,975260,0,0,457750383861,4793975514,966396,7389,1475,370,391859,0 1,2,2024-09-07 09:44:30:663,702454,702454,0,0,27672669,0,3380 1,3,2024-09-07 09:44:31:314,1,557,24,0,269,6837,557,0 2,0,2024-09-07 09:44:31:576,138570,0.6,138299,0.7,276622,0.6,369059,2.00 2,1,2024-09-07 09:44:30:863,978771,978771,0,0,460306271941,4782550750,973426,4413,932,379,391745,0 2,2,2024-09-07 09:44:31:267,706179,706179,0,0,26817382,0,3594 2,3,2024-09-07 09:44:30:692,1,557,7,0,357,4936,557,0 3,0,2024-09-07 09:44:31:763,135184,0.4,135159,0.6,269594,0.3,360111,2.00 3,1,2024-09-07 09:44:31:626,978089,978089,0,0,459786413922,4783175942,970567,6819,703,379,391716,0 3,2,2024-09-07 09:44:31:145,706527,706504,23,0,27979835,0,5851 3,3,2024-09-07 09:44:31:755,1,557,1,0,275,3749,557,0 4,0,2024-09-07 09:44:31:794,136327,0.4,140105,0.5,285647,0.3,373792,1.75 4,1,2024-09-07 09:44:30:591,974438,974438,0,0,456271564493,4833137593,957110,13620,3708,370,391992,0 4,2,2024-09-07 09:44:31:018,702110,702110,0,0,34139698,0,4534 4,3,2024-09-07 09:44:31:027,1,557,6,0,448,6993,557,0 5,0,2024-09-07 09:44:31:380,141582,0.4,141608,0.5,283387,0.4,376709,1.75 5,1,2024-09-07 09:44:30:768,975210,975210,0,0,458965803689,4832338431,961141,11257,2812,367,392005,0 5,2,2024-09-07 09:44:31:846,698194,698194,0,0,32482997,0,3582 5,3,2024-09-07 09:44:31:746,1,557,9,0,457,7508,557,0 6,0,2024-09-07 09:44:30:918,140906,0.5,140485,0.7,281116,0.4,374458,2.00 6,1,2024-09-07 09:44:30:747,977200,977200,0,0,458921832711,4793767774,966746,8932,1522,379,391702,0 6,2,2024-09-07 09:44:31:115,706605,706587,18,0,32071124,0,5535 6,3,2024-09-07 09:44:31:283,1,557,15,0,710,6916,557,0 7,0,2024-09-07 09:44:31:533,133297,0.5,134149,0.7,267087,0.5,355882,2.00 7,1,2024-09-07 09:44:30:858,976176,976176,0,0,458568430355,4815655030,962294,11478,2404,382,391747,0 7,2,2024-09-07 09:44:30:774,706201,706201,0,0,30892217,0,4791 7,3,2024-09-07 09:44:30:858,1,557,0,0,552,6338,557,0 8,0,2024-09-07 09:44:31:422,139391,0.3,138910,0.5,278799,0.3,371728,1.75 8,1,2024-09-07 09:44:31:093,974199,974199,0,0,458588320330,4824666868,958236,12423,3540,366,392853,0 8,2,2024-09-07 09:44:30:797,700071,700069,2,0,36776312,0,5112 8,3,2024-09-07 09:44:30:585,1,557,4,0,772,8946,557,0 9,0,2024-09-07 09:44:31:135,141940,0.4,138158,0.5,289387,0.3,379065,1.75 9,1,2024-09-07 09:44:30:557,974347,974347,0,0,457682705874,4824379547,959191,12171,2985,369,392001,0 9,2,2024-09-07 09:44:31:105,699384,699383,1,0,33333061,0,5281 9,3,2024-09-07 09:44:31:760,1,557,40,0,1273,9497,557,0 10,0,2024-09-07 09:44:31:604,142235,0.4,141733,0.5,284336,0.3,378714,1.75 10,1,2024-09-07 09:44:30:583,976268,976268,0,0,458815701968,4809970618,962495,11340,2433,381,391869,0 10,2,2024-09-07 09:44:30:762,705653,705653,0,0,36434475,0,4264 10,3,2024-09-07 09:44:30:871,1,557,51,0,669,6513,557,0 11,0,2024-09-07 09:44:31:006,136132,0.4,131979,0.6,276138,0.4,363426,1.75 11,1,2024-09-07 09:44:30:576,977984,977984,0,0,459451547179,4818010635,963732,10490,3762,383,391766,0 11,2,2024-09-07 09:44:31:123,705527,705527,0,0,32552567,0,4130 11,3,2024-09-07 09:44:31:299,1,557,1,0,843,7400,557,0 12,0,2024-09-07 09:44:30:958,138989,0.4,138938,0.5,277850,0.3,369525,1.75 12,1,2024-09-07 09:44:30:942,977424,977424,0,0,458774872186,4785724755,969292,7150,982,370,391960,0 12,2,2024-09-07 09:44:31:550,703942,703942,0,0,31374130,0,4390 12,3,2024-09-07 09:44:31:060,1,557,5,0,386,7215,557,0 13,0,2024-09-07 09:44:31:430,143475,0.4,143367,0.6,285957,0.4,380963,1.75 13,1,2024-09-07 09:44:31:575,975054,975054,0,0,458059967069,4814434898,964981,7771,2302,382,391768,0 13,2,2024-09-07 09:44:30:596,704194,704194,0,0,28067755,0,3287 13,3,2024-09-07 09:44:31:780,1,557,14,0,522,7619,557,0 14,0,2024-09-07 09:44:30:562,143506,0.4,144575,0.6,286868,0.4,382133,1.75 14,1,2024-09-07 09:44:31:571,981718,981718,0,0,460829235760,4768025995,974173,6576,969,364,391673,0 14,2,2024-09-07 09:44:30:771,704255,704225,30,0,29683801,0,6104 14,3,2024-09-07 09:44:31:116,1,557,8,0,1168,6267,557,0 15,0,2024-09-07 09:44:31:556,137244,0.4,136640,0.6,274192,0.4,365553,2.00 15,1,2024-09-07 09:44:31:609,978736,978736,0,0,459379202237,4785384349,970171,6788,1777,381,391619,0 15,2,2024-09-07 09:44:30:998,708441,708441,0,0,25232968,0,3622 15,3,2024-09-07 09:44:31:411,1,557,2,0,1126,7570,557,0 16,0,2024-09-07 09:44:30:981,136251,0.5,137027,0.7,273558,0.5,364068,2.00 16,1,2024-09-07 09:44:30:590,979094,979094,0,0,459551850202,4800981326,970634,7155,1305,370,392194,0 16,2,2024-09-07 09:44:31:435,704736,704736,0,0,29252126,0,4719 16,3,2024-09-07 09:44:31:144,1,557,1,0,358,6825,557,0 17,0,2024-09-07 09:44:31:991,145598,0.5,141841,0.7,278002,0.5,377627,2.00 17,1,2024-09-07 09:44:30:573,976963,976963,0,0,458933018060,4812752724,967267,7942,1754,368,392075,0 17,2,2024-09-07 09:44:31:694,707161,707160,1,0,30369715,0,5050 17,3,2024-09-07 09:44:30:574,1,557,68,0,518,8191,557,0 18,0,2024-09-07 09:44:30:945,140567,0.7,141533,0.8,281940,0.7,376762,2.25 18,1,2024-09-07 09:44:31:640,981171,981171,0,0,460497112444,4773897367,974848,5326,997,367,391725,0 18,2,2024-09-07 09:44:31:756,704352,704352,0,0,26610530,0,3541 18,3,2024-09-07 09:44:30:907,1,557,2,0,1059,5145,557,0 19,0,2024-09-07 09:44:31:562,139115,0.6,139392,0.8,277659,0.7,369314,2.00 19,1,2024-09-07 09:44:30:570,980225,980225,0,0,461366157515,4780579084,973167,5973,1085,367,391777,0 19,2,2024-09-07 09:44:31:756,709067,709067,0,0,25271822,0,3988 19,3,2024-09-07 09:44:31:129,1,557,0,0,524,4309,557,0 20,0,2024-09-07 09:44:31:445,134409,0.5,134625,0.7,268772,0.5,358540,2.00 20,1,2024-09-07 09:44:30:575,976432,976432,0,0,459581402094,4814675341,966293,8637,1502,369,391922,0 20,2,2024-09-07 09:44:30:960,705403,705403,0,0,31543491,0,4321 20,3,2024-09-07 09:44:30:589,1,557,11,0,468,9096,557,0 21,0,2024-09-07 09:44:31:165,139941,0.4,140044,0.6,280048,0.4,372110,1.75 21,1,2024-09-07 09:44:31:593,975099,975099,0,0,457771656632,4828631034,960213,11622,3264,368,392016,0 21,2,2024-09-07 09:44:31:075,702190,702170,20,0,35773591,0,5617 21,3,2024-09-07 09:44:31:418,1,557,21,0,713,7629,557,0 22,0,2024-09-07 09:44:31:737,141727,0.5,142237,0.7,283804,0.4,376540,2.00 22,1,2024-09-07 09:44:31:026,975888,975888,0,0,457916622104,4819411338,959537,13012,3339,382,391822,0 22,2,2024-09-07 09:44:30:760,699269,699243,26,0,29820443,0,6328 22,3,2024-09-07 09:44:31:068,1,557,16,0,228,4721,557,0 23,0,2024-09-07 09:44:31:371,141089,0.5,140727,0.7,281449,0.5,375096,2.25 23,1,2024-09-07 09:44:31:004,977445,977445,0,0,459555458627,4823341193,960589,12007,4849,365,391690,0 23,2,2024-09-07 09:44:31:099,707100,707100,0,0,28796786,0,3773 23,3,2024-09-07 09:44:31:760,1,557,0,0,855,8430,557,0 24,0,2024-09-07 09:44:30:820,135881,0.4,135204,0.6,271897,0.4,360609,1.75 24,1,2024-09-07 09:44:30:584,976039,976039,0,0,458175995135,4795934099,966747,7611,1681,367,392269,0 24,2,2024-09-07 09:44:31:071,705922,705922,0,0,35123290,0,4438 24,3,2024-09-07 09:44:31:707,1,557,8,0,468,6920,557,0 25,0,2024-09-07 09:44:31:408,142328,0.4,138603,0.6,271925,0.4,370689,1.75 25,1,2024-09-07 09:44:30:558,974492,974492,0,0,457986242731,4835612572,957817,13143,3532,369,391928,0 25,2,2024-09-07 09:44:31:612,702623,702623,0,0,35842042,0,3978 25,3,2024-09-07 09:44:31:000,1,557,1,0,532,6270,557,0 26,0,2024-09-07 09:44:31:733,141344,0.4,138377,0.6,290364,0.4,377734,2.00 26,1,2024-09-07 09:44:31:554,978620,978620,0,0,458339257460,4799003149,965206,10955,2459,380,391748,0 26,2,2024-09-07 09:44:30:861,700860,700860,0,0,37536357,0,4689 26,3,2024-09-07 09:44:31:717,1,557,1,0,796,6152,557,0 27,0,2024-09-07 09:44:31:729,143247,0.4,143955,0.6,285949,0.4,381306,2.25 27,1,2024-09-07 09:44:31:695,978500,978500,0,0,460486626455,4797475325,969116,8091,1293,381,391626,0 27,2,2024-09-07 09:44:30:877,701607,701542,65,0,32798535,0,5699 27,3,2024-09-07 09:44:31:016,1,557,6,0,564,4816,557,0 28,0,2024-09-07 09:44:31:398,137478,0.4,137215,0.6,274870,0.3,366238,2.00 28,1,2024-09-07 09:44:30:796,979900,979900,0,0,459923678925,4792972224,971876,6304,1720,382,391698,0 28,2,2024-09-07 09:44:31:797,707000,707000,0,0,28903475,0,2915 28,3,2024-09-07 09:44:31:785,1,557,0,0,502,5491,557,0 29,0,2024-09-07 09:44:31:387,141041,0.3,137335,0.6,269462,0.3,367233,1.75 29,1,2024-09-07 09:44:31:578,982627,982627,0,0,461204933705,4768220840,976998,4866,763,367,391809,0 29,2,2024-09-07 09:44:30:862,704299,704299,0,0,27891755,0,4986 29,3,2024-09-07 09:44:30:971,1,557,216,0,459,6296,557,0 30,0,2024-09-07 09:44:31:465,141465,0.5,137875,0.7,288561,0.4,377292,2.00 30,1,2024-09-07 09:44:30:571,981130,981130,0,0,461039490643,4782637573,974028,6197,905,380,391672,0 30,2,2024-09-07 09:44:31:274,704607,704607,0,0,26867150,0,4192 30,3,2024-09-07 09:44:30:582,1,557,11,0,519,5313,557,0 31,0,2024-09-07 09:44:31:769,142516,0.4,143175,0.6,285402,0.4,381261,2.00 31,1,2024-09-07 09:44:30:564,984032,984032,0,0,462350643588,4745940995,978402,4606,1024,356,391712,0 31,2,2024-09-07 09:44:31:274,701877,701877,0,0,29502805,0,4470 31,3,2024-09-07 09:44:31:708,1,557,8,0,239,4811,557,0 32,0,2024-09-07 09:44:31:423,138989,0.3,139775,0.5,278355,0.3,370586,1.75 32,1,2024-09-07 09:44:30:808,980799,980799,0,0,460850342777,4788021215,974937,5152,710,381,391646,0 32,2,2024-09-07 09:44:30:935,707759,707759,0,0,26219755,0,3922 32,3,2024-09-07 09:44:31:015,1,557,0,0,304,4468,557,0 33,0,2024-09-07 09:44:31:501,135805,0.3,135346,0.4,270950,0.2,360609,1.50 33,1,2024-09-07 09:44:30:575,980900,980900,0,0,461472365722,4777400484,973498,6228,1174,368,391730,0 33,2,2024-09-07 09:44:30:759,706850,706815,35,0,28832037,0,7012 33,3,2024-09-07 09:44:30:897,1,557,1,0,329,4987,557,0 34,0,2024-09-07 09:44:30:934,140765,0.3,144550,0.4,276505,0.2,373247,1.75 34,1,2024-09-07 09:44:31:045,983338,983338,0,0,461860449270,4748948750,980703,2613,22,367,391637,0 34,2,2024-09-07 09:44:30:771,706687,706687,0,0,27033813,0,4562 34,3,2024-09-07 09:44:31:688,1,557,12,0,320,4522,557,0 35,0,2024-09-07 09:44:30:865,140703,0.3,141431,0.5,284005,0.2,377301,1.75 35,1,2024-09-07 09:44:31:085,979785,979785,0,0,460444185753,4776239047,972587,5714,1484,382,391769,0 35,2,2024-09-07 09:44:31:584,701032,701032,0,0,29929600,0,4055 35,3,2024-09-07 09:44:30:918,1,557,2,0,466,5447,557,0 36,0,2024-09-07 09:44:31:516,141240,0.5,141050,0.7,281881,0.5,375264,2.00 36,1,2024-09-07 09:44:30:587,978630,978630,0,0,458610717894,4790368091,965729,10670,2231,366,391759,0 36,2,2024-09-07 09:44:31:761,706476,706476,0,0,31663328,0,3875 36,3,2024-09-07 09:44:30:864,1,557,2,0,416,7425,557,0 37,0,2024-09-07 09:44:31:386,133514,0.5,133464,0.7,267187,0.5,356466,2.25 37,1,2024-09-07 09:44:30:569,977744,977737,0,7,458614597056,4787396427,966197,8825,2715,365,391770,0 37,2,2024-09-07 09:44:31:150,704310,704295,15,0,31956851,0,5815 37,3,2024-09-07 09:44:31:770,1,557,1,0,888,7484,557,0 38,0,2024-09-07 09:44:31:439,138564,0.4,134434,0.6,281144,0.3,368915,2.00 38,1,2024-09-07 09:44:31:609,978658,978658,0,0,459931385367,4806922077,964778,11308,2572,368,391821,0 38,2,2024-09-07 09:44:30:760,704478,704431,47,0,31893228,0,6710 38,3,2024-09-07 09:44:30:997,1,557,68,0,689,6945,557,0 39,0,2024-09-07 09:44:31:770,145172,0.5,141906,0.7,276755,0.5,377839,2.00 39,1,2024-09-07 09:44:30:717,977113,977113,0,0,459026178895,4814211147,960502,12915,3696,365,391865,0 39,2,2024-09-07 09:44:31:419,701085,701085,0,0,29241523,0,3391 39,3,2024-09-07 09:44:30:716,1,557,1,0,525,6515,557,0 40,0,2024-09-07 09:44:31:489,140974,0.9,141618,1.0,282564,1.0,376787,2.75 40,1,2024-09-07 09:44:30:576,978155,978155,0,0,458210064709,4799577790,963977,11416,2762,368,391668,0 40,2,2024-09-07 09:44:31:305,703765,703764,1,0,35189843,0,5137 40,3,2024-09-07 09:44:31:148,1,557,19,0,1028,8221,557,0 41,0,2024-09-07 09:44:31:026,135565,1.2,138605,1.1,264277,1.7,359041,3.00 41,1,2024-09-07 09:44:30:771,977365,977365,0,0,458380963756,4796846797,964660,10640,2065,369,391878,0 41,2,2024-09-07 09:44:30:759,703395,703394,1,0,34291901,0,5408 41,3,2024-09-07 09:44:31:684,1,557,6,0,366,6015,557,0 42,0,2024-09-07 09:44:31:480,137411,0.9,137193,1.0,274047,1.0,364429,2.75 42,1,2024-09-07 09:44:31:442,974843,974843,0,0,458069294900,4815803187,959171,12744,2928,380,391675,0 42,2,2024-09-07 09:44:31:133,703458,703458,0,0,34057010,0,3975 42,3,2024-09-07 09:44:31:011,1,557,8,0,892,5092,557,0 43,0,2024-09-07 09:44:30:959,141003,0.7,137256,0.9,287704,0.8,375964,2.25 43,1,2024-09-07 09:44:30:577,977823,977823,0,0,459378717900,4800899599,964111,11313,2399,366,391696,0 43,2,2024-09-07 09:44:31:755,702816,702816,0,0,32595406,0,4723 43,3,2024-09-07 09:44:31:760,1,557,2,0,571,8075,557,0 44,0,2024-09-07 09:44:30:878,143786,0.4,143668,0.6,287926,0.4,382597,1.75 44,1,2024-09-07 09:44:30:563,980685,980685,0,0,460288511774,4756793800,972288,6712,1685,356,391809,0 44,2,2024-09-07 09:44:31:271,701804,701804,0,0,26563144,0,4344 44,3,2024-09-07 09:44:31:103,1,557,6,0,1097,7148,557,0 45,0,2024-09-07 09:44:31:764,135856,0.5,132685,0.7,278524,0.5,365711,2.00 45,1,2024-09-07 09:44:31:006,979612,979612,0,0,460269008442,4782962367,971808,6912,892,382,391917,0 45,2,2024-09-07 09:44:31:275,707240,707240,0,0,27106745,0,3596 45,3,2024-09-07 09:44:30:943,1,557,36,0,531,5624,557,0 46,0,2024-09-07 09:44:30:956,135821,0.5,135460,0.7,271634,0.5,360971,2.00 46,1,2024-09-07 09:44:30:578,981204,981204,0,0,461561781578,4769390507,974435,5905,864,366,391709,0 46,2,2024-09-07 09:44:30:602,705967,705967,0,0,27434045,0,4443 46,3,2024-09-07 09:44:31:133,1,557,8,0,908,6902,557,0 47,0,2024-09-07 09:44:31:109,141460,0.4,141475,0.6,283855,0.3,376024,1.75 47,1,2024-09-07 09:44:30:569,982955,982955,0,0,461065867054,4761408333,977538,4577,840,365,391641,0 47,2,2024-09-07 09:44:30:919,707914,707914,0,0,27013893,0,4477 47,3,2024-09-07 09:44:31:115,1,557,1,0,600,6213,557,0 48,0,2024-09-07 09:44:31:489,142356,0.3,142500,0.4,284443,0.2,378625,1.50 48,1,2024-09-07 09:44:31:026,980254,980254,0,0,460061128827,4777935651,974318,5360,576,384,391710,0 48,2,2024-09-07 09:44:30:698,702115,702115,0,0,25012495,0,3524 48,3,2024-09-07 09:44:30:753,1,557,1,0,339,4414,557,0 49,0,2024-09-07 09:44:31:720,143411,0.3,140674,0.5,273600,0.3,373510,1.75 49,1,2024-09-07 09:44:31:021,979274,979274,0,0,459990958944,4779716225,973541,4521,1212,382,391809,0 49,2,2024-09-07 09:44:31:802,707714,707714,0,0,27492311,0,4426 49,3,2024-09-07 09:44:31:420,1,557,11,0,992,6519,557,0 50,0,2024-09-07 09:44:31:512,135297,0.3,133542,0.5,269042,0.2,358328,1.75 50,1,2024-09-07 09:44:31:010,982672,982672,0,0,461668629266,4771113509,976601,5403,668,368,391565,0 50,2,2024-09-07 09:44:31:069,705568,705568,0,0,25207458,0,4490 50,3,2024-09-07 09:44:31:295,1,557,158,0,617,5810,557,0 51,0,2024-09-07 09:44:31:691,143642,0.3,140711,0.4,273795,0.2,373673,1.50 51,1,2024-09-07 09:44:31:688,983606,983606,0,0,462009428620,4763057834,978381,4145,1080,365,391706,0 51,2,2024-09-07 09:44:31:321,705632,705632,0,0,24876644,0,3337 51,3,2024-09-07 09:44:31:029,1,557,1,0,678,3990,557,0 52,0,2024-09-07 09:44:31:450,142266,0.5,141879,0.7,284035,0.4,377380,2.00 52,1,2024-09-07 09:44:30:583,978164,978164,0,0,459716513823,4812246208,963895,12054,2215,368,391805,0 52,2,2024-09-07 09:44:31:763,697072,697034,38,0,32973466,0,6742 52,3,2024-09-07 09:44:30:676,1,557,1,0,1782,6948,557,0 53,0,2024-09-07 09:44:31:736,140703,0.7,136497,0.8,285561,0.7,374366,2.50 53,1,2024-09-07 09:44:30:771,976653,976653,0,0,458843978150,4815899878,959821,12676,4156,367,391968,0 53,2,2024-09-07 09:44:31:305,706094,706093,1,0,29956927,0,5455 53,3,2024-09-07 09:44:30:697,1,557,1,0,308,5238,557,0 54,0,2024-09-07 09:44:31:617,133117,0.6,133497,0.8,265828,0.4,354516,2.25 54,1,2024-09-07 09:44:30:579,978854,978854,0,0,459591419730,4780627430,968247,8945,1662,366,391810,0 54,2,2024-09-07 09:44:30:865,707201,707169,32,0,33884743,0,6397 54,3,2024-09-07 09:44:30:762,1,557,1,0,676,7508,557,0 55,0,2024-09-07 09:44:31:780,133675,0.6,137827,0.7,279700,0.5,365036,2.50 55,1,2024-09-07 09:44:30:769,979990,979990,0,0,459274064105,4777991304,969583,9022,1385,365,391731,0 55,2,2024-09-07 09:44:30:733,703038,702982,56,0,31909055,0,7239 55,3,2024-09-07 09:44:30:674,1,557,1,0,304,5112,557,0 56,0,2024-09-07 09:44:31:593,144968,1.2,136740,1.1,281575,1.5,377125,2.75 56,1,2024-09-07 09:44:30:570,973932,973932,0,0,457886881740,4840991589,957317,13146,3469,381,391867,0 56,2,2024-09-07 09:44:31:313,700385,700263,122,0,33087787,0,7432 56,3,2024-09-07 09:44:31:065,1,557,72,0,705,6582,557,0 57,0,2024-09-07 09:44:30:934,141418,1.5,141393,1.2,282878,2.1,378061,3.25 57,1,2024-09-07 09:44:30:992,976052,976052,0,0,458259320226,4810609832,962894,10826,2332,366,392032,0 57,2,2024-09-07 09:44:31:321,704199,704199,0,0,34558158,0,4317 57,3,2024-09-07 09:44:31:738,1,557,11,0,455,6454,557,0 58,0,2024-09-07 09:44:30:555,135382,0.9,131321,1.0,274749,1.1,359778,2.50 58,1,2024-09-07 09:44:30:575,977243,977240,0,3,459102566220,4813591086,962216,11332,3692,367,391675,3 58,2,2024-09-07 09:44:31:085,706272,706272,0,0,32765142,0,3483 58,3,2024-09-07 09:44:31:068,1,557,9,0,1043,6348,557,0 59,0,2024-09-07 09:44:31:741,136615,0.8,136462,0.9,272335,0.9,361796,2.75 59,1,2024-09-07 09:44:30:805,976200,976200,0,0,459028033544,4822402227,960047,12822,3331,369,391653,0 59,2,2024-09-07 09:44:30:582,704230,704230,0,0,31238291,0,3727 59,3,2024-09-07 09:44:31:738,1,557,4,0,1015,6887,557,0 60,0,2024-09-07 09:44:31:725,142242,0.5,142665,0.7,284906,0.5,379569,1.75 60,1,2024-09-07 09:44:30:787,981367,981367,0,0,461122008924,4787395940,974535,5857,975,370,392031,0 60,2,2024-09-07 09:44:31:150,704426,704426,0,0,29115956,0,3811 60,3,2024-09-07 09:44:31:265,1,557,15,0,409,6550,557,0 61,0,2024-09-07 09:44:31:495,142615,0.6,143238,0.8,285176,0.7,380815,2.00 61,1,2024-09-07 09:44:30:771,977525,977525,0,0,459027741687,4806105513,966991,8875,1659,382,392127,0 61,2,2024-09-07 09:44:31:116,702500,702433,67,0,30026040,0,6411 61,3,2024-09-07 09:44:31:706,1,557,1,0,607,7647,557,0 62,0,2024-09-07 09:44:31:713,139215,0.6,142879,0.8,272831,0.6,370378,2.00 62,1,2024-09-07 09:44:31:116,983340,983334,0,6,461933275193,4760641604,978800,4251,283,365,391975,6 62,2,2024-09-07 09:44:31:643,704999,704998,1,0,29478273,0,5555 62,3,2024-09-07 09:44:31:149,1,557,7,0,482,4457,557,0 63,0,2024-09-07 09:44:31:462,135762,0.4,135518,0.6,271903,0.3,361233,1.75 63,1,2024-09-07 09:44:30:804,980407,980401,0,6,460733168884,4782694973,974166,5394,841,381,391800,6 63,2,2024-09-07 09:44:30:762,706445,706445,0,0,28307326,0,4369 63,3,2024-09-07 09:44:31:733,1,557,48,0,667,6034,557,0 64,0,2024-09-07 09:44:31:523,140113,0.4,140115,0.6,279694,0.4,372293,1.75 64,1,2024-09-07 09:44:30:752,979225,979225,0,0,460100760823,4790261614,970642,6767,1816,370,391794,0 64,2,2024-09-07 09:44:31:144,709407,709388,19,0,27487674,0,6121 64,3,2024-09-07 09:44:31:144,1,557,0,0,651,5796,557,0 65,0,2024-09-07 09:44:31:683,140438,0.6,140618,0.7,280947,0.6,374697,2.00 65,1,2024-09-07 09:44:30:861,977667,977667,0,0,458416607419,4788533105,970864,6003,800,381,391901,0 65,2,2024-09-07 09:44:31:743,700376,700376,0,0,32033733,0,3367 65,3,2024-09-07 09:44:31:690,1,557,1,0,782,6435,557,0 66,0,2024-09-07 09:44:31:770,140377,0.6,139812,0.8,279730,0.5,372389,2.25 66,1,2024-09-07 09:44:31:292,980145,980145,0,0,459731059364,4782974194,973910,5513,722,380,391743,0 66,2,2024-09-07 09:44:31:132,708824,708821,3,0,29188123,0,5455 66,3,2024-09-07 09:44:31:079,1,557,8,0,291,4746,557,0 67,0,2024-09-07 09:44:31:422,133927,0.5,133604,0.7,267907,0.5,356658,2.00 67,1,2024-09-07 09:44:30:771,979728,979727,0,1,459312540757,4778602311,973436,5475,816,380,391787,1 67,2,2024-09-07 09:44:30:583,709117,709102,15,0,29155841,0,6205 67,3,2024-09-07 09:44:31:760,1,557,47,0,595,5941,557,0 68,0,2024-09-07 09:44:30:569,139366,0.5,139104,0.7,277098,0.5,371157,2.00 68,1,2024-09-07 09:44:30:570,975417,975417,0,0,457765311618,4813167287,962460,9498,3459,381,391953,0 68,2,2024-09-07 09:44:31:044,700834,700734,100,0,36939808,0,8578 68,3,2024-09-07 09:44:30:732,1,557,1,0,417,7289,557,0 69,0,2024-09-07 09:44:31:734,141407,0.7,142240,0.8,283433,0.7,376416,2.25 69,1,2024-09-07 09:44:31:019,974681,974681,0,0,457534546902,4820762245,961973,9945,2763,383,391994,0 69,2,2024-09-07 09:44:31:744,698729,698700,29,0,38012000,0,6912 69,3,2024-09-07 09:44:30:761,1,557,1,0,698,8321,557,0 70,0,2024-09-07 09:44:31:532,140682,0.8,141145,1.0,283857,0.7,375656,2.50 70,1,2024-09-07 09:44:30:801,980721,980721,0,0,460892162142,4782201720,973084,6874,763,366,391725,0 70,2,2024-09-07 09:44:31:338,705600,705600,0,0,32031714,0,4323 70,3,2024-09-07 09:44:30:745,1,557,0,0,854,6372,557,0 71,0,2024-09-07 09:44:31:374,134848,1.0,134672,1.1,270284,1.3,360506,2.75 71,1,2024-09-07 09:44:31:596,978466,978466,0,0,459583966678,4795676122,966578,10539,1349,368,391738,0 71,2,2024-09-07 09:44:31:069,705801,705801,0,0,32710412,0,4352 71,3,2024-09-07 09:44:31:760,1,557,1,0,644,6501,557,0 72,0,2024-09-07 09:44:31:054,142599,0.5,139270,0.7,271988,0.5,370006,2.00 72,1,2024-09-07 09:44:31:034,976693,976693,0,0,458409477321,4810033924,962528,11771,2394,369,391819,0 72,2,2024-09-07 09:44:31:763,702926,702926,0,0,34402543,0,3983 72,3,2024-09-07 09:44:31:762,1,557,1,0,564,8301,557,0 73,0,2024-09-07 09:44:31:116,138937,0.5,142446,0.6,291455,0.4,378701,2.00 73,1,2024-09-07 09:44:30:768,978826,978826,0,0,460032513485,4781507810,971295,6604,927,367,391858,0 73,2,2024-09-07 09:44:31:763,702251,702251,0,0,34513569,0,4728 73,3,2024-09-07 09:44:30:969,1,557,4,0,1091,7934,557,0 74,0,2024-09-07 09:44:31:326,144526,0.5,147959,0.7,282254,0.4,382901,2.00 74,1,2024-09-07 09:44:30:638,977439,977439,0,0,458748793753,4790388226,966409,9052,1978,381,391762,0 74,2,2024-09-07 09:44:31:002,702589,702589,0,0,30206582,0,4253 74,3,2024-09-07 09:44:31:444,1,557,4,0,522,6713,557,0 75,0,2024-09-07 09:44:31:767,137768,0.6,136856,0.8,274772,0.5,367226,2.25 75,1,2024-09-07 09:44:31:596,979251,979251,0,0,459575744130,4790445358,971092,7293,866,380,391739,0 75,2,2024-09-07 09:44:31:360,704932,704932,0,0,36793236,0,4766 75,3,2024-09-07 09:44:31:067,1,557,1,0,702,6516,557,0 76,0,2024-09-07 09:44:30:579,135760,0.5,135249,0.7,270744,0.5,362264,2.25 76,1,2024-09-07 09:44:30:811,978556,978556,0,0,458274524557,4778608781,971862,5726,968,382,391723,0 76,2,2024-09-07 09:44:31:061,707631,707628,3,0,30057527,0,5265 76,3,2024-09-07 09:44:31:151,1,557,7,0,227,5072,557,0 77,0,2024-09-07 09:44:31:711,140662,0.5,141251,0.7,282406,0.5,374968,2.00 77,1,2024-09-07 09:44:30:827,978539,978539,0,0,459883080628,4795351541,971451,6480,608,381,391869,0 77,2,2024-09-07 09:44:31:286,704553,704553,0,0,29622048,0,3890 77,3,2024-09-07 09:44:31:105,1,557,153,0,401,6085,557,0 78,0,2024-09-07 09:44:31:720,142420,0.4,141931,0.6,284613,0.4,377134,2.00 78,1,2024-09-07 09:44:30:610,978772,978772,0,0,459238006913,4790106246,966962,9143,2667,367,391670,0 78,2,2024-09-07 09:44:31:408,703341,703328,13,0,27373201,0,8313 78,3,2024-09-07 09:44:31:133,1,557,8,0,311,4751,557,0 79,0,2024-09-07 09:44:31:362,134819,0.4,138074,0.6,283040,0.3,368139,2.00 79,1,2024-09-07 09:44:30:574,981848,981848,0,0,461007396989,4773786184,974084,6407,1357,367,391682,0 79,2,2024-09-07 09:44:31:070,706836,706836,0,0,26572447,0,4195 79,3,2024-09-07 09:44:30:751,1,557,0,0,418,6575,557,0 80,0,2024-09-07 09:44:31:078,134400,0.5,138173,0.6,264123,0.4,357826,2.00 80,1,2024-09-07 09:44:31:618,978796,978796,0,0,459733149062,4783923064,972636,5728,432,368,392269,0 80,2,2024-09-07 09:44:31:096,708505,708505,0,0,27130950,0,4433 80,3,2024-09-07 09:44:30:575,1,557,47,0,681,7131,557,0 81,0,2024-09-07 09:44:31:580,140332,0.5,143577,0.7,273775,0.5,371791,2.00 81,1,2024-09-07 09:44:31:651,977593,977593,0,0,458837961386,4793235796,970167,6784,642,382,391885,0 81,2,2024-09-07 09:44:31:125,703962,703899,63,0,30518552,0,5932 81,3,2024-09-07 09:44:31:125,1,557,49,0,719,6400,557,0 82,0,2024-09-07 09:44:31:560,141645,0.4,141739,0.7,284201,0.4,376519,2.00 82,1,2024-09-07 09:44:30:585,980573,980569,0,4,460604248244,4786100830,975310,4437,822,381,391768,4 82,2,2024-09-07 09:44:31:699,702800,702800,0,0,24902302,0,4484 82,3,2024-09-07 09:44:31:756,1,557,17,0,363,5320,557,0 83,0,2024-09-07 09:44:31:522,141359,0.6,141388,0.7,282135,0.6,374479,2.25 83,1,2024-09-07 09:44:30:551,978215,978215,0,0,458793578449,4780676488,971614,6144,457,382,391709,0 83,2,2024-09-07 09:44:30:773,706437,706412,25,0,27336040,0,5612 83,3,2024-09-07 09:44:30:752,1,557,0,0,1260,6799,557,0 84,0,2024-09-07 09:44:31:810,133891,0.7,134167,0.9,267704,0.6,357745,2.25 84,1,2024-09-07 09:44:31:039,977294,977294,0,0,458420852404,4794268721,965860,9780,1654,367,391967,0 84,2,2024-09-07 09:44:30:578,706362,706332,30,0,36319888,0,5971 84,3,2024-09-07 09:44:31:142,1,557,1,0,908,7596,557,0 85,0,2024-09-07 09:44:31:001,133177,0.6,133182,0.8,282786,0.5,366155,2.25 85,1,2024-09-07 09:44:30:562,974126,974126,0,0,458174671401,4838927324,958820,12560,2746,381,392092,0 85,2,2024-09-07 09:44:30:868,703363,703363,0,0,33838363,0,4255 85,3,2024-09-07 09:44:30:685,1,557,1,0,789,6577,557,0 86,0,2024-09-07 09:44:30:880,142124,0.6,146083,0.8,279280,0.7,377988,2.25 86,1,2024-09-07 09:44:30:827,976532,976532,0,0,459323354575,4816803721,963600,10276,2656,366,391995,0 86,2,2024-09-07 09:44:30:866,700351,700350,1,0,35812585,0,5004 86,3,2024-09-07 09:44:30:591,1,557,13,0,308,7994,557,0 87,0,2024-09-07 09:44:31:299,143293,0.8,142836,0.8,285717,1.0,381650,2.25 87,1,2024-09-07 09:44:30:567,975865,975865,0,0,458529089214,4802926476,963384,10761,1720,366,392076,0 87,2,2024-09-07 09:44:31:069,703205,703199,6,0,32382191,0,6323 87,3,2024-09-07 09:44:31:804,1,557,1,0,473,8329,557,0 88,0,2024-09-07 09:44:31:445,137124,0.5,137560,0.6,274904,0.4,366139,1.75 88,1,2024-09-07 09:44:30:569,975423,975423,0,0,458457393038,4803709334,961844,10842,2737,365,392084,0 88,2,2024-09-07 09:44:30:687,705629,705629,0,0,35874298,0,4465 88,3,2024-09-07 09:44:31:279,1,557,1,0,1080,8526,557,0 89,0,2024-09-07 09:44:31:773,141193,0.4,136976,0.6,270324,0.4,367650,1.75 89,1,2024-09-07 09:44:30:552,975169,975169,0,0,458436201763,4823561535,962765,10471,1933,382,391866,0 89,2,2024-09-07 09:44:31:138,703756,703756,0,0,33244277,0,3173 89,3,2024-09-07 09:44:31:799,1,557,0,0,468,9506,557,0 90,0,2024-09-07 09:44:31:642,138213,0.5,141927,0.6,289380,0.4,377978,2.00 90,1,2024-09-07 09:44:30:592,976855,976855,0,0,458562736743,4804217428,967185,8783,887,380,391825,0 90,2,2024-09-07 09:44:31:417,701066,701066,0,0,35653851,0,3608 90,3,2024-09-07 09:44:30:949,1,557,0,0,322,6820,557,0 91,0,2024-09-07 09:44:30:978,143280,0.5,138911,0.6,290564,0.4,381426,1.75 91,1,2024-09-07 09:44:30:567,973798,973798,0,0,458414958990,4827290096,959982,11184,2632,381,392047,0 91,2,2024-09-07 09:44:31:351,702563,702563,0,0,31254386,0,4713 91,3,2024-09-07 09:44:30:598,1,557,3,0,216,5142,557,0 92,0,2024-09-07 09:44:31:443,140368,0.5,143568,0.6,273732,0.5,370699,1.75 92,1,2024-09-07 09:44:30:591,978158,978158,0,0,459512011249,4798928320,970405,6544,1209,381,392136,0 92,2,2024-09-07 09:44:31:356,706628,706628,0,0,27896735,0,3259 92,3,2024-09-07 09:44:31:010,1,557,49,0,167,4819,557,0 93,0,2024-09-07 09:44:30:960,136050,0.4,139451,0.6,266210,0.3,361251,1.75 93,1,2024-09-07 09:44:30:806,978273,978273,0,0,459472870921,4795347762,967591,8934,1748,366,391776,0 93,2,2024-09-07 09:44:30:940,705707,705707,0,0,33838195,0,4913 93,3,2024-09-07 09:44:31:418,1,557,47,0,190,4944,557,0 94,0,2024-09-07 09:44:31:615,139872,0.3,140861,0.5,281690,0.3,374097,1.75 94,1,2024-09-07 09:44:30:563,978849,978849,0,0,459377505303,4790094159,972439,5986,424,381,391850,0 94,2,2024-09-07 09:44:30:761,705439,705411,28,0,28874270,0,6179 94,3,2024-09-07 09:44:31:698,1,557,8,0,576,6904,557,0 95,0,2024-09-07 09:44:31:587,141450,0.3,141484,0.5,283213,0.3,377382,1.75 95,1,2024-09-07 09:44:30:852,980376,980376,0,0,459896800776,4779293451,972407,7268,701,365,391852,0 95,2,2024-09-07 09:44:31:017,700404,700404,0,0,27593608,0,3308 95,3,2024-09-07 09:44:31:718,1,557,28,0,718,8346,557,0 96,0,2024-09-07 09:44:31:052,140741,0.4,141048,0.5,281990,0.3,374645,1.75 96,1,2024-09-07 09:44:31:593,978051,978051,0,0,459230397076,4786752843,971607,5529,915,384,391964,0 96,2,2024-09-07 09:44:31:267,707054,707054,0,0,28748386,0,4180 96,3,2024-09-07 09:44:31:142,1,557,2,0,411,6021,557,0 97,0,2024-09-07 09:44:31:384,133874,0.3,133852,0.5,268748,0.3,356723,1.50 97,1,2024-09-07 09:44:30:772,980402,980402,0,0,460510093593,4774182221,974507,4948,947,367,392140,0 97,2,2024-09-07 09:44:30:607,708179,708179,0,0,28408071,0,3679 97,3,2024-09-07 09:44:30:568,1,557,10,0,242,6235,557,0 98,0,2024-09-07 09:44:31:731,138936,0.3,139063,0.4,278814,0.2,371053,1.50 98,1,2024-09-07 09:44:30:575,979469,979469,0,0,459928584976,4786027977,973975,4691,803,381,391997,0 98,2,2024-09-07 09:44:30:774,705409,705409,0,0,28045161,0,4336 98,3,2024-09-07 09:44:30:699,1,557,8,0,840,8525,557,0 99,0,2024-09-07 09:44:31:465,142281,0.3,142874,0.5,284336,0.3,379310,1.75 99,1,2024-09-07 09:44:31:728,980150,980150,0,0,459495184634,4780355658,974113,5108,929,380,392069,0 99,2,2024-09-07 09:44:31:420,701241,701241,0,0,33089536,0,4276 99,3,2024-09-07 09:44:30:582,1,557,1,0,606,5460,557,0 100,0,2024-09-07 09:44:31:465,141956,0.8,142208,0.9,284066,0.9,379190,2.50 100,1,2024-09-07 09:44:30:547,974089,974089,0,0,457702789526,4839447552,958743,12057,3289,378,391989,0 100,2,2024-09-07 09:44:31:850,703153,703142,11,0,32974242,0,5417 100,3,2024-09-07 09:44:31:733,1,557,9,0,627,9785,557,0 101,0,2024-09-07 09:44:31:712,139076,1.1,135612,1.0,265562,1.0,362793,2.25 101,1,2024-09-07 09:44:30:550,975780,975780,0,0,458039668221,4812475073,962727,10603,2450,368,391847,0 101,2,2024-09-07 09:44:31:760,702265,702265,0,0,37892042,0,4871 101,3,2024-09-07 09:44:30:949,1,557,1,0,1250,8616,557,0 102,0,2024-09-07 09:44:30:964,134229,0.6,138383,0.7,280719,0.6,367756,2.00 102,1,2024-09-07 09:44:31:162,975703,975703,0,0,457610933275,4806512300,962548,11004,2151,369,391891,0 102,2,2024-09-07 09:44:31:739,704786,704732,54,0,32148170,0,6768 102,3,2024-09-07 09:44:31:638,1,557,2,0,466,6421,557,0 103,0,2024-09-07 09:44:31:645,147185,0.6,147181,0.7,277408,0.6,381817,2.00 103,1,2024-09-07 09:44:31:646,974418,974418,0,0,457366855667,4831453242,958458,12372,3588,381,392077,0 103,2,2024-09-07 09:44:30:587,700858,700858,0,0,34132295,0,3766 103,3,2024-09-07 09:44:30:756,1,557,2,0,916,6908,557,0 104,0,2024-09-07 09:44:31:028,142503,0.8,142675,0.9,284622,0.7,380999,2.25 104,1,2024-09-07 09:44:31:609,976980,976980,0,0,458243836579,4814787267,962970,11421,2589,365,392168,0 104,2,2024-09-07 09:44:31:669,700798,700798,0,0,33241630,0,3941 104,3,2024-09-07 09:44:31:418,1,557,1,0,1245,10165,557,0 105,0,2024-09-07 09:44:31:026,136076,0.9,132625,1.1,277900,1.0,365196,2.75 105,1,2024-09-07 09:44:30:555,978307,978307,0,0,459711501719,4814810044,966178,10323,1806,364,392009,0 105,2,2024-09-07 09:44:31:321,704861,704861,0,0,34434177,0,4360 105,3,2024-09-07 09:44:31:314,1,557,0,0,467,8087,557,0 106,0,2024-09-07 09:44:30:966,131752,0.8,134997,0.9,276516,0.9,361111,2.50 106,1,2024-09-07 09:44:31:756,976894,976894,0,0,458648869806,4814275100,963183,11977,1734,368,391914,0 106,2,2024-09-07 09:44:30:756,703682,703682,0,0,31868971,0,2920 106,3,2024-09-07 09:44:30:685,1,557,5,0,1224,8160,557,0 107,0,2024-09-07 09:44:31:116,140903,0.9,140933,0.9,282070,1.0,375797,2.25 107,1,2024-09-07 09:44:30:630,974672,974672,0,0,457919838615,4833480911,959825,13120,1727,381,392234,0 107,2,2024-09-07 09:44:31:305,701967,701966,1,0,34569532,0,5024 107,3,2024-09-07 09:44:31:761,1,557,10,0,733,8794,557,0 108,0,2024-09-07 09:44:31:883,141912,0.4,142419,0.6,283783,0.4,378833,1.75 108,1,2024-09-07 09:44:31:293,977752,977752,0,0,459727579758,4795069755,969407,7368,977,367,391894,0 108,2,2024-09-07 09:44:31:820,700925,700925,0,0,30383035,0,4246 108,3,2024-09-07 09:44:31:338,1,557,3,0,749,10545,557,0 109,0,2024-09-07 09:44:31:896,140374,0.4,139148,0.6,279023,0.4,372549,1.75 109,1,2024-09-07 09:44:30:597,975658,975658,0,0,458997415651,4813748863,967050,7390,1218,382,392132,0 109,2,2024-09-07 09:44:31:027,704557,704557,0,0,30783874,0,3617 109,3,2024-09-07 09:44:31:153,1,557,20,0,630,6994,557,0 110,0,2024-09-07 09:44:31:752,134493,0.4,130833,0.6,274026,0.3,359265,1.75 110,1,2024-09-07 09:44:31:644,979796,979796,0,0,460447662546,4781141457,971971,5901,1924,368,392045,0 110,2,2024-09-07 09:44:31:311,706904,706904,0,0,29020442,0,4067 110,3,2024-09-07 09:44:30:695,1,557,8,0,722,7704,557,0 111,0,2024-09-07 09:44:31:421,140593,0.3,139697,0.5,279746,0.3,373345,1.75 111,1,2024-09-07 09:44:30:999,981002,981002,0,0,461728645432,4786946915,976142,4498,362,380,391690,0 111,2,2024-09-07 09:44:31:116,705143,705143,0,0,29407368,0,4823 111,3,2024-09-07 09:44:30:939,1,557,1,0,379,6224,557,0 112,0,2024-09-07 09:44:30:962,142525,0.3,142011,0.4,284801,0.2,377723,1.50 112,1,2024-09-07 09:44:30:823,979550,979550,0,0,459994823819,4775782816,972985,5480,1085,380,391624,0 112,2,2024-09-07 09:44:31:133,700836,700835,1,0,27381056,0,5036 112,3,2024-09-07 09:44:30:592,1,557,31,0,282,5284,557,0 113,0,2024-09-07 09:44:30:870,141566,0.3,141521,0.5,283317,0.2,376822,1.75 113,1,2024-09-07 09:44:31:694,982252,982252,0,0,461728310301,4762859792,976666,4711,875,365,391664,0 113,2,2024-09-07 09:44:31:304,709080,709080,0,0,25758320,0,3813 113,3,2024-09-07 09:44:30:685,1,557,0,0,510,6168,557,0 114,0,2024-09-07 09:44:30:883,135336,0.3,136294,0.5,270815,0.2,361726,1.75 114,1,2024-09-07 09:44:30:719,979951,979951,0,0,460410627921,4778851555,972739,5560,1652,381,391565,0 114,2,2024-09-07 09:44:30:876,708431,708430,1,0,27578717,0,5069 114,3,2024-09-07 09:44:31:278,1,557,2,0,395,4444,557,0 115,0,2024-09-07 09:44:30:577,138915,0.3,139490,0.4,277843,0.2,370036,1.50 115,1,2024-09-07 09:44:30:571,980403,980403,0,0,460413539545,4781429963,972916,6129,1358,382,391757,0 115,2,2024-09-07 09:44:31:125,707621,707621,0,0,27056917,0,4382 115,3,2024-09-07 09:44:31:002,1,557,1,0,167,3204,557,0 116,0,2024-09-07 09:44:31:718,141851,0.7,141535,0.8,283804,0.6,379140,2.00 116,1,2024-09-07 09:44:30:817,975165,975165,0,0,458133980258,4831376782,962856,9246,3063,380,392089,0 116,2,2024-09-07 09:44:31:757,700746,700746,0,0,34226982,0,4475 116,3,2024-09-07 09:44:30:933,1,557,86,0,448,7288,557,0 117,0,2024-09-07 09:44:30:954,143448,0.7,142820,0.8,286197,0.8,382363,2.00 117,1,2024-09-07 09:44:31:578,976702,976702,0,0,458485622168,4798941043,966062,9178,1462,369,392033,0 117,2,2024-09-07 09:44:31:131,705758,705758,0,0,30738341,0,4303 117,3,2024-09-07 09:44:31:065,1,557,1,0,490,7314,557,0 118,0,2024-09-07 09:44:31:773,132974,0.6,136669,0.7,278743,0.5,364577,2.00 118,1,2024-09-07 09:44:30:586,975167,975167,0,0,457863903348,4815180393,960226,11331,3610,366,392054,0 118,2,2024-09-07 09:44:31:599,705473,705473,0,0,33127224,0,2842 118,3,2024-09-07 09:44:31:763,1,557,7,0,248,6171,557,0 119,0,2024-09-07 09:44:31:371,136743,0.6,137404,0.7,274273,0.5,365904,2.00 119,1,2024-09-07 09:44:30:638,976166,976166,0,0,458274003042,4804444291,962896,10936,2334,367,391857,0 119,2,2024-09-07 09:44:31:261,705095,705095,0,0,31039710,0,4309 119,3,2024-09-07 09:44:31:345,1,557,6,0,1358,10764,557,0 120,0,2024-09-07 09:44:31:573,141532,0.7,141374,0.8,283920,0.7,378777,2.25 120,1,2024-09-07 09:44:30:862,977958,977958,0,0,458688349006,4806060242,968291,8886,781,367,392144,0 120,2,2024-09-07 09:44:30:769,702754,702753,1,0,36379818,0,5281 120,3,2024-09-07 09:44:31:291,1,557,1,0,279,7035,557,0 121,0,2024-09-07 09:44:31:692,142974,1.2,142359,1.0,285123,1.6,380369,2.25 121,1,2024-09-07 09:44:31:660,977482,977482,0,0,458645900130,4796388354,967612,9020,850,366,391840,0 121,2,2024-09-07 09:44:31:125,700992,700992,0,0,33055439,0,4157 121,3,2024-09-07 09:44:30:729,1,557,1,0,330,6601,557,0 122,0,2024-09-07 09:44:31:808,138224,0.8,134530,0.9,281877,1.0,370635,2.25 122,1,2024-09-07 09:44:30:864,976029,976029,0,0,458873940397,4817418576,962165,11532,2332,366,392130,0 122,2,2024-09-07 09:44:31:319,706371,706296,75,0,37499860,0,5989 122,3,2024-09-07 09:44:30:598,1,557,12,0,512,9281,557,0 123,0,2024-09-07 09:44:30:969,134880,0.8,131155,0.8,274574,0.8,360057,2.25 123,1,2024-09-07 09:44:30:573,976447,976447,0,0,458817781697,4826966012,960415,13378,2654,369,392039,0 123,2,2024-09-07 09:44:31:019,703394,703393,1,0,32024349,0,5215 123,3,2024-09-07 09:44:31:147,1,557,1,0,478,6432,557,0 124,0,2024-09-07 09:44:30:939,144639,0.3,144583,0.5,272438,0.3,375377,1.75 124,1,2024-09-07 09:44:31:025,979822,979822,0,0,460085990461,4781173828,972625,6061,1136,365,392178,0 124,2,2024-09-07 09:44:31:010,706813,706760,53,0,28752358,0,6487 124,3,2024-09-07 09:44:30:760,1,557,1,0,490,5696,557,0 125,0,2024-09-07 09:44:31:421,141258,0.4,141124,0.5,283331,0.3,377346,1.75 125,1,2024-09-07 09:44:30:860,977623,977623,0,0,458613028377,4784991911,970558,6079,986,382,391813,0 125,2,2024-09-07 09:44:31:116,703238,703238,0,0,28498833,0,4534 125,3,2024-09-07 09:44:31:126,1,557,28,0,709,6521,557,0 126,0,2024-09-07 09:44:31:438,140972,0.4,144960,0.6,277338,0.4,375202,1.75 126,1,2024-09-07 09:44:30:553,980861,980861,0,0,459849394721,4759106523,976056,4492,313,365,391987,0 126,2,2024-09-07 09:44:30:610,707359,707359,0,0,29803200,0,4539 126,3,2024-09-07 09:44:30:908,1,557,14,0,268,6158,557,0 127,0,2024-09-07 09:44:31:631,134141,0.3,134499,0.5,268448,0.3,357007,1.75 127,1,2024-09-07 09:44:30:571,979013,979013,0,0,459749045972,4782811176,969293,8192,1528,364,392187,0 127,2,2024-09-07 09:44:30:646,706430,706426,4,0,28549666,0,5305 127,3,2024-09-07 09:44:31:275,1,557,19,0,968,5533,557,0 128,0,2024-09-07 09:44:31:523,139788,0.3,139761,0.4,279139,0.2,371765,1.50 128,1,2024-09-07 09:44:31:612,978663,978663,0,0,460725973920,4788560435,971104,6644,915,367,392031,0 128,2,2024-09-07 09:44:31:384,706520,706520,0,0,26402563,0,3171 128,3,2024-09-07 09:44:30:774,1,557,23,0,1082,8782,557,0 129,0,2024-09-07 09:44:30:991,143307,0.3,142663,0.5,285872,0.3,379639,1.50 129,1,2024-09-07 09:44:30:584,975746,975746,0,0,459432578202,4812889000,966139,7599,2008,379,391962,0 129,2,2024-09-07 09:44:30:687,702079,702075,4,0,28519316,0,5335 129,3,2024-09-07 09:44:30:698,1,557,6,0,506,7801,557,0 130,0,2024-09-07 09:44:31:834,143226,0.4,142641,0.6,286057,0.4,380507,1.75 130,1,2024-09-07 09:44:30:583,979648,979648,0,0,459836928433,4775681034,974650,4607,391,381,391825,0 130,2,2024-09-07 09:44:31:128,706449,706449,0,0,28496317,0,4067 130,3,2024-09-07 09:44:31:300,1,557,8,0,960,7616,557,0 131,0,2024-09-07 09:44:31:964,136247,0.4,136813,0.5,274033,0.3,363548,1.75 131,1,2024-09-07 09:44:31:847,978876,978876,0,0,459409098742,4789116868,971931,5752,1193,381,391865,0 131,2,2024-09-07 09:44:30:568,707606,707606,0,0,26793859,0,3979 131,3,2024-09-07 09:44:31:704,1,557,1,0,392,6838,557,0 132,0,2024-09-07 09:44:31:414,138255,0.5,139114,0.6,276870,0.4,368815,2.00 132,1,2024-09-07 09:44:30:580,975292,975292,0,0,458208206804,4822298002,961276,11376,2640,381,392532,0 132,2,2024-09-07 09:44:30:699,704762,704745,17,0,35024090,0,6451 132,3,2024-09-07 09:44:31:703,1,557,1,0,804,8969,557,0 133,0,2024-09-07 09:44:31:547,139050,0.4,142218,0.6,291701,0.4,379512,2.00 133,1,2024-09-07 09:44:30:585,975077,975077,0,0,458732310177,4832460248,961961,11408,1708,383,391914,0 133,2,2024-09-07 09:44:31:103,703224,703174,50,0,35274828,0,6861 133,3,2024-09-07 09:44:31:302,1,557,1,0,528,6908,557,0 134,0,2024-09-07 09:44:30:957,143807,0.5,143790,0.7,287983,0.5,383166,2.00 134,1,2024-09-07 09:44:30:587,976908,976908,0,0,458688345976,4807070798,964926,9827,2155,366,391781,0 134,2,2024-09-07 09:44:31:763,702779,702755,24,0,33065686,0,6207 134,3,2024-09-07 09:44:30:750,1,557,11,0,739,6565,557,0 135,0,2024-09-07 09:44:31:110,133238,0.9,133230,0.9,282852,1.1,364794,2.25 135,1,2024-09-07 09:44:31:614,976062,976062,0,0,458174856537,4815722893,963987,10504,1571,380,391805,0 135,2,2024-09-07 09:44:30:736,706614,706614,0,0,33765369,0,4503 135,3,2024-09-07 09:44:31:006,1,557,0,0,900,5488,557,0 136,0,2024-09-07 09:44:31:621,136922,0.6,137261,0.7,273123,0.5,364554,2.00 136,1,2024-09-07 09:44:31:444,976925,976925,0,0,459319255807,4814821675,965782,9777,1366,381,392135,0 136,2,2024-09-07 09:44:31:135,705765,705750,15,0,33627359,0,6007 136,3,2024-09-07 09:44:31:115,1,557,1,0,637,6702,557,0 137,0,2024-09-07 09:44:30:947,145632,0.5,141917,0.7,278094,0.5,377799,2.00 137,1,2024-09-07 09:44:30:581,975493,975493,0,0,459287922244,4816454158,960352,12091,3050,366,391898,0 137,2,2024-09-07 09:44:31:705,702885,702885,0,0,34527568,0,3185 137,3,2024-09-07 09:44:30:772,1,557,1,0,484,7259,557,0 138,0,2024-09-07 09:44:31:793,141391,0.8,141619,0.9,283826,0.9,377277,2.00 138,1,2024-09-07 09:44:31:690,976492,976492,0,0,459178213678,4816864985,962591,11662,2239,368,391954,0 138,2,2024-09-07 09:44:30:589,700626,700626,0,0,31938909,0,4988 138,3,2024-09-07 09:44:30:610,1,557,16,0,1200,8919,557,0 139,0,2024-09-07 09:44:31:369,138615,1.2,139085,1.0,278149,1.7,370850,2.25 139,1,2024-09-07 09:44:30:572,972408,972408,0,0,456406833999,4840501336,955156,13567,3685,380,392109,0 139,2,2024-09-07 09:44:30:699,701872,701872,0,0,36537188,0,3360 139,3,2024-09-07 09:44:31:669,1,557,1,0,432,6449,557,0 140,0,2024-09-07 09:44:31:601,135166,0.3,134276,0.5,269503,0.2,359561,1.75 140,1,2024-09-07 09:44:31:561,982580,982580,0,0,461636395629,4758167150,977821,4220,539,364,391606,0 140,2,2024-09-07 09:44:30:686,707149,707148,1,0,26877592,0,5036 140,3,2024-09-07 09:44:30:770,1,557,1,0,297,5078,557,0 141,0,2024-09-07 09:44:31:706,140245,0.3,144055,0.4,275131,0.2,373069,1.50 141,1,2024-09-07 09:44:30:859,980482,980482,0,0,461275191847,4791389303,972768,6578,1136,379,391614,0 141,2,2024-09-07 09:44:31:694,705638,705627,11,0,28873843,0,5369 141,3,2024-09-07 09:44:31:043,1,557,0,0,391,6282,557,0 142,0,2024-09-07 09:44:31:314,142669,0.3,141637,0.5,283913,0.2,378531,1.50 142,1,2024-09-07 09:44:30:587,978639,978639,0,0,459966499011,4792723617,972496,5656,487,382,392102,0 142,2,2024-09-07 09:44:31:304,699943,699911,32,0,28888127,0,6028 142,3,2024-09-07 09:44:31:761,1,557,16,0,484,5821,557,0 143,0,2024-09-07 09:44:31:390,141314,0.4,141378,0.6,283667,0.4,376679,1.75 143,1,2024-09-07 09:44:30:555,980928,980928,0,0,460943631132,4781837921,974632,5733,563,367,391722,0 143,2,2024-09-07 09:44:30:769,707380,707380,0,0,28689633,0,3123 143,3,2024-09-07 09:44:31:147,1,557,4,0,462,6929,557,0 144,0,2024-09-07 09:44:31:498,130957,0.6,134694,0.8,274050,0.6,358505,2.00 144,1,2024-09-07 09:44:30:570,976134,976134,0,0,458238918388,4805849626,966572,7593,1969,381,391733,0 144,2,2024-09-07 09:44:31:763,707767,707767,0,0,28115057,0,4443 144,3,2024-09-07 09:44:31:744,1,557,12,0,249,5513,557,0 145,0,2024-09-07 09:44:31:422,134125,0.5,134079,0.7,284674,0.5,366781,2.25 145,1,2024-09-07 09:44:30:572,975515,975515,0,0,457651813025,4818073604,961963,10891,2661,382,391759,0 145,2,2024-09-07 09:44:31:436,703213,703131,82,0,33983970,0,7814 145,3,2024-09-07 09:44:30:896,1,557,13,0,622,7667,557,0 146,0,2024-09-07 09:44:31:609,141986,0.6,141505,0.8,284202,0.6,377480,2.25 146,1,2024-09-07 09:44:31:602,976112,976112,0,0,458117247598,4822398429,959231,12416,4465,367,391770,0 146,2,2024-09-07 09:44:31:707,699955,699949,6,0,31863447,0,5151 146,3,2024-09-07 09:44:31:273,1,557,8,0,1520,9342,557,0 147,0,2024-09-07 09:44:31:734,143365,0.6,143035,0.7,285801,0.6,381470,2.25 147,1,2024-09-07 09:44:31:380,979975,979975,0,0,460805966842,4786639945,972263,6777,935,367,391791,0 147,2,2024-09-07 09:44:31:008,703844,703844,0,0,28302574,0,2968 147,3,2024-09-07 09:44:30:935,1,557,1,0,1626,8773,557,0 0,0,2024-09-07 09:44:41:808,138586,0.6,138541,0.7,293870,0.6,379934,2.00 0,1,2024-09-07 09:44:40:818,978795,978795,0,0,459847950863,4812980202,970903,6981,911,369,391896,0 0,2,2024-09-07 09:44:41:068,705746,705746,0,0,28504981,0,4480 0,3,2024-09-07 09:44:40:977,1,558,2,0,431,8012,558,0 1,0,2024-09-07 09:44:41:746,143029,0.9,142041,0.9,285695,1.1,381571,2.00 1,1,2024-09-07 09:44:40:558,977190,977190,0,0,458607191832,4803489341,968220,7495,1475,370,391859,0 1,2,2024-09-07 09:44:40:639,704080,704080,0,0,27712300,0,3380 1,3,2024-09-07 09:44:41:305,1,558,1,0,269,6838,558,0 2,0,2024-09-07 09:44:41:565,138795,0.6,138498,0.7,277058,0.6,369661,2.00 2,1,2024-09-07 09:44:40:861,980803,980803,0,0,461257400775,4792876822,975329,4542,932,379,391745,0 2,2,2024-09-07 09:44:41:270,707757,707757,0,0,26881886,0,3594 2,3,2024-09-07 09:44:40:690,1,558,1,0,357,4937,558,0 3,0,2024-09-07 09:44:41:751,135611,0.4,135589,0.6,270424,0.3,361367,2.00 3,1,2024-09-07 09:44:41:618,979835,979835,0,0,460525455303,4790846580,972313,6819,703,379,391716,0 3,2,2024-09-07 09:44:41:157,707598,707575,23,0,28018163,0,5851 3,3,2024-09-07 09:44:41:754,1,558,1,0,275,3750,558,0 4,0,2024-09-07 09:44:41:769,136632,0.4,140382,0.5,286246,0.3,374514,1.75 4,1,2024-09-07 09:44:40:602,976336,976336,0,0,457273007785,4843380749,959005,13623,3708,370,391992,0 4,2,2024-09-07 09:44:41:025,703252,703252,0,0,34166574,0,4534 4,3,2024-09-07 09:44:41:027,1,558,13,0,448,7006,558,0 5,0,2024-09-07 09:44:41:374,141872,0.4,141909,0.5,283996,0.4,377603,1.75 5,1,2024-09-07 09:44:40:755,976945,976945,0,0,459685402381,4839731971,962876,11257,2812,367,392005,0 5,2,2024-09-07 09:44:41:840,699745,699745,0,0,32507718,0,3582 5,3,2024-09-07 09:44:41:732,1,558,6,0,457,7514,558,0 6,0,2024-09-07 09:44:40:935,141091,0.5,140643,0.7,281417,0.4,374928,2.00 6,1,2024-09-07 09:44:40:751,978949,978949,0,0,459714152002,4801829692,968495,8932,1522,379,391702,0 6,2,2024-09-07 09:44:41:116,707950,707932,18,0,32093121,0,5535 6,3,2024-09-07 09:44:41:275,1,558,10,0,710,6926,558,0 7,0,2024-09-07 09:44:41:539,133610,0.5,134428,0.7,267687,0.5,356783,2.00 7,1,2024-09-07 09:44:40:850,977963,977963,0,0,459569329086,4825807386,964081,11478,2404,382,391747,0 7,2,2024-09-07 09:44:40:769,707267,707267,0,0,31052215,0,4791 7,3,2024-09-07 09:44:40:860,1,558,1,0,552,6339,558,0 8,0,2024-09-07 09:44:41:351,139822,0.3,139385,0.5,279643,0.3,372933,1.75 8,1,2024-09-07 09:44:41:017,975987,975987,0,0,459253429631,4831829769,959990,12457,3540,366,392853,0 8,2,2024-09-07 09:44:40:795,701389,701387,2,0,36817115,0,5112 8,3,2024-09-07 09:44:40:589,1,558,1,0,772,8947,558,0 9,0,2024-09-07 09:44:41:101,142046,0.4,138279,0.5,289632,0.3,379381,1.75 9,1,2024-09-07 09:44:40:557,976152,976152,0,0,458559652787,4833398904,960995,12172,2985,369,392001,0 9,2,2024-09-07 09:44:41:097,700613,700612,1,0,33360683,0,5281 9,3,2024-09-07 09:44:41:757,1,558,25,0,1273,9522,558,0 10,0,2024-09-07 09:44:41:614,142346,0.4,141862,0.5,284592,0.3,379062,1.75 10,1,2024-09-07 09:44:40:586,978075,978075,0,0,459476051736,4816769787,964302,11340,2433,381,391869,0 10,2,2024-09-07 09:44:40:763,706860,706860,0,0,36490440,0,4264 10,3,2024-09-07 09:44:40:871,1,558,1,0,669,6514,558,0 11,0,2024-09-07 09:44:41:006,136168,0.4,132019,0.6,276215,0.4,363426,1.75 11,1,2024-09-07 09:44:40:579,979730,979730,0,0,460239391613,4826152703,965478,10490,3762,383,391766,0 11,2,2024-09-07 09:44:41:122,706962,706962,0,0,32597956,0,4130 11,3,2024-09-07 09:44:41:299,1,558,6,0,843,7406,558,0 12,0,2024-09-07 09:44:40:943,139373,0.4,139327,0.5,278597,0.3,370444,1.75 12,1,2024-09-07 09:44:40:941,979228,979228,0,0,459446300979,4792887495,971096,7150,982,370,391960,0 12,2,2024-09-07 09:44:41:541,705319,705319,0,0,31609343,0,4390 12,3,2024-09-07 09:44:41:065,1,558,3,0,386,7218,558,0 13,0,2024-09-07 09:44:41:354,143561,0.4,143485,0.6,286144,0.4,381209,1.75 13,1,2024-09-07 09:44:41:524,976818,976818,0,0,458911943000,4823436417,966735,7781,2302,382,391768,0 13,2,2024-09-07 09:44:40:597,704868,704868,0,0,28104440,0,3287 13,3,2024-09-07 09:44:41:782,1,558,1,0,522,7620,558,0 14,0,2024-09-07 09:44:40:562,143759,0.4,144813,0.6,287346,0.4,382757,1.75 14,1,2024-09-07 09:44:41:560,983200,983200,0,0,461488667336,4775193712,975627,6603,970,364,391673,0 14,2,2024-09-07 09:44:40:763,705740,705710,30,0,29767427,0,6104 14,3,2024-09-07 09:44:41:114,1,558,13,0,1168,6280,558,0 15,0,2024-09-07 09:44:41:555,137569,0.4,136962,0.6,274822,0.4,366438,2.00 15,1,2024-09-07 09:44:41:608,980144,980144,0,0,460325225609,4795170979,971568,6799,1777,381,391619,0 15,2,2024-09-07 09:44:40:998,710024,710024,0,0,25362753,0,3622 15,3,2024-09-07 09:44:41:405,1,558,1,0,1126,7571,558,0 16,0,2024-09-07 09:44:40:943,136540,0.5,137328,0.7,274217,0.5,364789,2.00 16,1,2024-09-07 09:44:40:570,980947,980947,0,0,460363298856,4809471015,972487,7155,1305,370,392194,0 16,2,2024-09-07 09:44:41:459,706058,706058,0,0,29345035,0,4719 16,3,2024-09-07 09:44:41:161,1,558,7,0,358,6832,558,0 17,0,2024-09-07 09:44:41:768,146044,0.5,142290,0.7,278808,0.5,379255,2.00 17,1,2024-09-07 09:44:40:575,978846,978846,0,0,459653746834,4820602228,969149,7942,1755,368,392075,0 17,2,2024-09-07 09:44:41:666,708161,708160,1,0,30398753,0,5050 17,3,2024-09-07 09:44:40:596,1,558,2,0,518,8193,558,0 18,0,2024-09-07 09:44:40:954,140937,0.7,141821,0.8,282567,0.7,377637,2.25 18,1,2024-09-07 09:44:41:639,982907,982907,0,0,461331291103,4782544861,976584,5326,997,367,391725,0 18,2,2024-09-07 09:44:41:758,705816,705816,0,0,26664371,0,3541 18,3,2024-09-07 09:44:40:896,1,558,2,0,1059,5147,558,0 19,0,2024-09-07 09:44:41:542,139251,0.6,139533,0.8,277974,0.7,369709,2.00 19,1,2024-09-07 09:44:40:565,982290,982290,0,0,462094547166,4788871746,975025,6176,1089,367,391777,0 19,2,2024-09-07 09:44:41:754,710417,710417,0,0,25321963,0,3988 19,3,2024-09-07 09:44:41:128,1,558,21,0,524,4330,558,0 20,0,2024-09-07 09:44:41:363,134681,0.5,134860,0.7,269301,0.5,359164,2.00 20,1,2024-09-07 09:44:40:591,978194,978194,0,0,460204188118,4821120485,968055,8637,1502,369,391922,0 20,2,2024-09-07 09:44:40:929,706639,706639,0,0,31566262,0,4321 20,3,2024-09-07 09:44:40:589,1,558,4,0,468,9100,558,0 21,0,2024-09-07 09:44:41:137,140329,0.4,140446,0.6,280847,0.4,373096,1.75 21,1,2024-09-07 09:44:41:557,976896,976896,0,0,458743441443,4838579714,962009,11623,3264,368,392016,0 21,2,2024-09-07 09:44:41:067,703491,703471,20,0,35794251,0,5617 21,3,2024-09-07 09:44:41:404,1,558,1,0,713,7630,558,0 22,0,2024-09-07 09:44:41:719,141891,0.5,142402,0.7,284140,0.4,376991,2.00 22,1,2024-09-07 09:44:41:077,977633,977633,0,0,458751022086,4827937808,961282,13012,3339,382,391822,0 22,2,2024-09-07 09:44:40:759,700691,700665,26,0,29843227,0,6328 22,3,2024-09-07 09:44:41:066,1,558,8,0,228,4729,558,0 23,0,2024-09-07 09:44:41:378,141176,0.5,140835,0.7,281660,0.5,375369,2.25 23,1,2024-09-07 09:44:41:003,979196,979196,0,0,460329440539,4831268559,962340,12007,4849,365,391690,0 23,2,2024-09-07 09:44:41:099,708362,708362,0,0,28827099,0,3773 23,3,2024-09-07 09:44:41:761,1,558,1,0,855,8431,558,0 24,0,2024-09-07 09:44:40:881,135970,0.4,135301,0.6,272085,0.4,360933,1.75 24,1,2024-09-07 09:44:40:591,977868,977868,0,0,459068620030,4805128907,968575,7612,1681,367,392269,0 24,2,2024-09-07 09:44:41:074,707288,707288,0,0,35168252,0,4438 24,3,2024-09-07 09:44:41:707,1,558,14,0,468,6934,558,0 25,0,2024-09-07 09:44:41:339,142791,0.4,139084,0.6,272825,0.4,372022,1.75 25,1,2024-09-07 09:44:40:569,976308,976308,0,0,458618857006,4842215605,959632,13144,3532,369,391928,0 25,2,2024-09-07 09:44:41:608,704102,704102,0,0,35951931,0,3978 25,3,2024-09-07 09:44:41:005,1,558,4,0,532,6274,558,0 26,0,2024-09-07 09:44:41:727,141452,0.4,138474,0.6,290564,0.4,378013,2.00 26,1,2024-09-07 09:44:41:544,980287,980287,0,0,459286548361,4808673378,966873,10955,2459,380,391748,0 26,2,2024-09-07 09:44:40:864,701805,701805,0,0,37574504,0,4689 26,3,2024-09-07 09:44:41:724,1,558,294,0,796,6446,558,0 27,0,2024-09-07 09:44:41:733,143397,0.4,144072,0.6,286178,0.4,381561,2.25 27,1,2024-09-07 09:44:41:690,980244,980244,0,0,461338661493,4806239392,970860,8091,1293,381,391626,0 27,2,2024-09-07 09:44:40:872,703006,702941,65,0,32840694,0,5699 27,3,2024-09-07 09:44:41:016,1,558,0,0,564,4816,558,0 28,0,2024-09-07 09:44:41:386,137704,0.4,137403,0.6,275318,0.3,366806,2.00 28,1,2024-09-07 09:44:40:800,981288,981288,0,0,460496941349,4799028307,973264,6304,1720,382,391698,0 28,2,2024-09-07 09:44:41:764,708400,708400,0,0,29015850,0,2915 28,3,2024-09-07 09:44:41:781,1,558,2,0,502,5493,558,0 29,0,2024-09-07 09:44:41:362,141492,0.4,137750,0.6,270307,0.3,368805,1.75 29,1,2024-09-07 09:44:41:573,983988,983988,0,0,461933039967,4775910616,978337,4885,766,367,391809,0 29,2,2024-09-07 09:44:40:861,705825,705825,0,0,27957308,0,4986 29,3,2024-09-07 09:44:40:965,1,558,1,0,459,6297,558,0 30,0,2024-09-07 09:44:41:458,141743,0.5,138060,0.7,289230,0.5,377590,2.00 30,1,2024-09-07 09:44:40:573,982855,982855,0,0,461783946443,4790447683,975753,6197,905,380,391672,0 30,2,2024-09-07 09:44:41:277,705323,705323,0,0,26897052,0,4192 30,3,2024-09-07 09:44:40:585,1,558,1,0,519,5314,558,0 31,0,2024-09-07 09:44:41:765,142806,0.4,143504,0.6,286011,0.4,382141,2.00 31,1,2024-09-07 09:44:40:563,985835,985835,0,0,463314954437,4755714321,980204,4606,1025,356,391712,0 31,2,2024-09-07 09:44:41:275,703368,703368,0,0,29573960,0,4470 31,3,2024-09-07 09:44:41:719,1,558,0,0,239,4811,558,0 32,0,2024-09-07 09:44:41:418,139199,0.3,139973,0.5,278719,0.3,371165,1.75 32,1,2024-09-07 09:44:40:822,982652,982652,0,0,461782960087,4797566055,976790,5152,710,381,391646,0 32,2,2024-09-07 09:44:40:938,709358,709358,0,0,26329831,0,3922 32,3,2024-09-07 09:44:41:016,1,558,1,0,304,4469,558,0 33,0,2024-09-07 09:44:41:502,136237,0.3,135774,0.4,271851,0.2,362384,1.50 33,1,2024-09-07 09:44:40:575,982723,982723,0,0,462467259959,4787518858,975321,6228,1174,368,391730,0 33,2,2024-09-07 09:44:40:758,708007,707972,35,0,28934314,0,7012 33,3,2024-09-07 09:44:40:895,1,558,2,0,329,4989,558,0 34,0,2024-09-07 09:44:40:931,141049,0.3,144840,0.4,277072,0.2,374001,1.75 34,1,2024-09-07 09:44:41:048,985198,985198,0,0,462857068928,4759117395,982563,2613,22,367,391637,0 34,2,2024-09-07 09:44:40:766,707747,707747,0,0,27060624,0,4562 34,3,2024-09-07 09:44:41:694,1,558,4,0,320,4526,558,0 35,0,2024-09-07 09:44:40:866,141037,0.3,141754,0.5,284610,0.2,378338,1.75 35,1,2024-09-07 09:44:41:068,981582,981582,0,0,461320441479,4785224838,974383,5715,1484,382,391769,0 35,2,2024-09-07 09:44:41:587,702405,702405,0,0,29983708,0,4055 35,3,2024-09-07 09:44:40:915,1,558,2,0,466,5449,558,0 36,0,2024-09-07 09:44:41:514,141395,0.5,141195,0.7,282220,0.5,375730,2.00 36,1,2024-09-07 09:44:40:585,980422,980422,0,0,459664790177,4801064818,967518,10673,2231,366,391759,0 36,2,2024-09-07 09:44:41:750,707786,707786,0,0,31693746,0,3875 36,3,2024-09-07 09:44:40:863,1,558,1,0,416,7426,558,0 37,0,2024-09-07 09:44:41:369,133832,0.5,133759,0.7,267768,0.5,357405,2.25 37,1,2024-09-07 09:44:40:570,979587,979580,0,7,459433960282,4795819563,968040,8825,2715,365,391770,0 37,2,2024-09-07 09:44:41:157,705403,705388,15,0,31986841,0,5815 37,3,2024-09-07 09:44:41:767,1,558,48,0,888,7532,558,0 38,0,2024-09-07 09:44:41:438,139015,0.4,134850,0.6,282066,0.3,370069,2.00 38,1,2024-09-07 09:44:41:608,980413,980413,0,0,460604640940,4813905700,966533,11308,2572,368,391821,0 38,2,2024-09-07 09:44:40:759,705772,705725,47,0,31907319,0,6710 38,3,2024-09-07 09:44:40:997,1,558,1,0,689,6946,558,0 39,0,2024-09-07 09:44:41:763,145295,0.5,142025,0.7,276993,0.5,378172,2.00 39,1,2024-09-07 09:44:40:716,978915,978915,0,0,459852963394,4822590703,962304,12915,3696,365,391865,0 39,2,2024-09-07 09:44:41:417,702352,702352,0,0,29253882,0,3391 39,3,2024-09-07 09:44:40:713,1,558,1,0,525,6516,558,0 40,0,2024-09-07 09:44:41:488,141091,0.9,141728,1.0,282816,1.0,377144,2.75 40,1,2024-09-07 09:44:40:584,980011,980011,0,0,459149375362,4810240011,965642,11590,2779,368,391668,0 40,2,2024-09-07 09:44:41:303,705026,705025,1,0,35227736,0,5137 40,3,2024-09-07 09:44:41:157,1,558,0,0,1028,8221,558,0 41,0,2024-09-07 09:44:41:025,135604,1.2,138640,1.1,264356,1.7,359041,3.00 41,1,2024-09-07 09:44:40:768,979189,979189,0,0,459051219290,4803844733,966484,10640,2065,369,391878,0 41,2,2024-09-07 09:44:40:758,704823,704822,1,0,34351827,0,5408 41,3,2024-09-07 09:44:41:683,1,558,5,0,366,6020,558,0 42,0,2024-09-07 09:44:41:489,137769,0.9,137565,1.0,274777,1.0,365394,2.75 42,1,2024-09-07 09:44:41:444,976663,976663,0,0,458980712772,4825110817,960991,12744,2928,380,391675,0 42,2,2024-09-07 09:44:41:137,704872,704872,0,0,34119126,0,3975 42,3,2024-09-07 09:44:41:011,1,558,12,0,892,5104,558,0 43,0,2024-09-07 09:44:40:916,141088,0.7,137356,0.9,287889,0.8,376192,2.25 43,1,2024-09-07 09:44:40:579,979637,979637,0,0,460131984604,4808645268,965925,11313,2399,366,391696,0 43,2,2024-09-07 09:44:41:735,703518,703518,0,0,32607301,0,4723 43,3,2024-09-07 09:44:41:749,1,558,8,0,571,8083,558,0 44,0,2024-09-07 09:44:40:867,144030,0.4,143917,0.6,288343,0.4,383214,1.75 44,1,2024-09-07 09:44:40:610,982509,982509,0,0,461327450557,4767568032,974112,6712,1685,356,391809,0 44,2,2024-09-07 09:44:41:275,703227,703227,0,0,26749341,0,4344 44,3,2024-09-07 09:44:41:094,1,558,1,0,1097,7149,558,0 45,0,2024-09-07 09:44:41:772,136163,0.5,132969,0.7,279168,0.5,366587,2.00 45,1,2024-09-07 09:44:41:006,981419,981419,0,0,461106146813,4791803299,973615,6912,892,382,391917,0 45,2,2024-09-07 09:44:41:271,708724,708724,0,0,27218819,0,3596 45,3,2024-09-07 09:44:40:938,1,558,0,0,531,5624,558,0 46,0,2024-09-07 09:44:40:982,136113,0.5,135746,0.7,272215,0.5,361652,2.00 46,1,2024-09-07 09:44:40:579,983302,983302,0,0,462559018402,4780099056,976450,5988,864,366,391709,0 46,2,2024-09-07 09:44:40:594,707162,707162,0,0,27500707,0,4443 46,3,2024-09-07 09:44:41:136,1,558,8,0,908,6910,558,0 47,0,2024-09-07 09:44:41:102,141872,0.4,141935,0.6,284816,0.3,377822,1.75 47,1,2024-09-07 09:44:40:569,984643,984643,0,0,461852444469,4769864554,979212,4591,840,365,391641,0 47,2,2024-09-07 09:44:40:916,708895,708895,0,0,27061885,0,4477 47,3,2024-09-07 09:44:41:115,1,558,47,0,600,6260,558,0 48,0,2024-09-07 09:44:41:488,142644,0.3,142821,0.4,285051,0.2,379455,1.50 48,1,2024-09-07 09:44:41:021,981959,981959,0,0,460731300729,4784818492,976023,5360,576,384,391710,0 48,2,2024-09-07 09:44:40:699,703752,703752,0,0,25060636,0,3524 48,3,2024-09-07 09:44:40:752,1,558,7,0,339,4421,558,0 49,0,2024-09-07 09:44:41:771,143586,0.3,140849,0.5,273950,0.3,373925,1.75 49,1,2024-09-07 09:44:41:021,981415,981415,0,0,460860674427,4790474986,975264,4775,1376,382,391809,0 49,2,2024-09-07 09:44:41:796,709076,709076,0,0,27524659,0,4426 49,3,2024-09-07 09:44:41:423,1,558,5,0,992,6524,558,0 50,0,2024-09-07 09:44:41:507,135571,0.3,133782,0.5,269553,0.2,358964,1.75 50,1,2024-09-07 09:44:41:012,984422,984422,0,0,462295326457,4777545596,978351,5403,668,368,391565,0 50,2,2024-09-07 09:44:41:067,706679,706679,0,0,25252429,0,4490 50,3,2024-09-07 09:44:41:294,1,558,3,0,617,5813,558,0 51,0,2024-09-07 09:44:41:690,144038,0.3,141100,0.4,274587,0.2,374726,1.50 51,1,2024-09-07 09:44:41:680,985295,985295,0,0,462671789482,4769920660,980070,4145,1080,365,391706,0 51,2,2024-09-07 09:44:41:316,706886,706886,0,0,24928360,0,3337 51,3,2024-09-07 09:44:41:030,1,558,17,0,678,4007,558,0 52,0,2024-09-07 09:44:41:434,142441,0.5,142052,0.7,284377,0.4,377840,2.00 52,1,2024-09-07 09:44:40:579,979906,979906,0,0,460387295532,4819250511,965637,12054,2215,368,391805,0 52,2,2024-09-07 09:44:41:759,698454,698416,38,0,32994646,0,6742 52,3,2024-09-07 09:44:40:675,1,558,1,0,1782,6949,558,0 53,0,2024-09-07 09:44:41:732,140803,0.7,136578,0.8,285789,0.7,374628,2.50 53,1,2024-09-07 09:44:40:771,978465,978465,0,0,459727872861,4824880416,961633,12676,4156,367,391968,0 53,2,2024-09-07 09:44:41:298,707422,707421,1,0,29972292,0,5455 53,3,2024-09-07 09:44:40:697,1,558,1,0,308,5239,558,0 54,0,2024-09-07 09:44:41:617,133234,0.6,133587,0.8,266036,0.4,354844,2.25 54,1,2024-09-07 09:44:40:580,980596,980596,0,0,460298642018,4787826319,969989,8945,1662,366,391810,0 54,2,2024-09-07 09:44:40:867,708651,708619,32,0,33907457,0,6397 54,3,2024-09-07 09:44:40:763,1,558,8,0,676,7516,558,0 55,0,2024-09-07 09:44:41:763,134124,0.6,138340,0.7,280647,0.5,366379,2.50 55,1,2024-09-07 09:44:40:766,981771,981771,0,0,460071542408,4786161859,971364,9022,1385,365,391731,0 55,2,2024-09-07 09:44:40:728,704338,704282,56,0,31937235,0,7239 55,3,2024-09-07 09:44:40:676,1,558,1,0,304,5113,558,0 56,0,2024-09-07 09:44:41:559,145095,1.2,136849,1.1,281789,1.5,377434,2.75 56,1,2024-09-07 09:44:40:586,975808,975808,0,0,458750839476,4850180343,959191,13148,3469,381,391867,0 56,2,2024-09-07 09:44:41:322,701406,701284,122,0,33127345,0,7432 56,3,2024-09-07 09:44:41:059,1,558,1,0,705,6583,558,0 57,0,2024-09-07 09:44:40:950,141532,1.5,141528,1.2,283117,2.1,378312,3.25 57,1,2024-09-07 09:44:40:997,977784,977784,0,0,459191510036,4820182442,964626,10826,2332,366,392032,0 57,2,2024-09-07 09:44:41:316,705478,705478,0,0,34598068,0,4317 57,3,2024-09-07 09:44:41:741,1,558,8,0,455,6462,558,0 58,0,2024-09-07 09:44:40:577,135593,0.9,131545,1.0,275175,1.1,360316,2.50 58,1,2024-09-07 09:44:40:578,979009,979006,0,3,459814655280,4821220939,963975,11339,3692,367,391675,3 58,2,2024-09-07 09:44:41:085,707751,707751,0,0,32806638,0,3483 58,3,2024-09-07 09:44:41:069,1,558,13,0,1043,6361,558,0 59,0,2024-09-07 09:44:41:744,137019,0.8,136841,0.9,273180,0.9,362983,2.75 59,1,2024-09-07 09:44:40:818,978023,978023,0,0,459593540145,4828471436,961870,12822,3331,369,391653,0 59,2,2024-09-07 09:44:40:588,705624,705624,0,0,31281016,0,3727 59,3,2024-09-07 09:44:41:741,1,558,2,0,1015,6889,558,0 60,0,2024-09-07 09:44:41:755,142440,0.5,142834,0.7,285335,0.5,379862,1.75 60,1,2024-09-07 09:44:40:785,982850,982850,0,0,462019826190,4796870299,976014,5861,975,370,392031,0 60,2,2024-09-07 09:44:41:164,705134,705134,0,0,29137798,0,3811 60,3,2024-09-07 09:44:41:263,1,558,1,0,409,6551,558,0 61,0,2024-09-07 09:44:41:523,142897,0.6,143515,0.8,285757,0.7,381630,2.00 61,1,2024-09-07 09:44:40:771,979002,979002,0,0,459756912020,4813706370,968467,8875,1660,382,392127,0 61,2,2024-09-07 09:44:41:128,703980,703913,67,0,30090503,0,6411 61,3,2024-09-07 09:44:41:694,1,558,18,0,607,7665,558,0 62,0,2024-09-07 09:44:41:729,139437,0.6,143075,0.8,273249,0.6,370985,2.00 62,1,2024-09-07 09:44:41:111,985098,985092,0,6,462893350664,4770760614,980538,4271,283,365,391975,6 62,2,2024-09-07 09:44:41:644,706156,706155,1,0,29611646,0,5555 62,3,2024-09-07 09:44:41:161,1,558,4,0,482,4461,558,0 63,0,2024-09-07 09:44:41:465,136234,0.4,135994,0.6,272812,0.3,362635,1.75 63,1,2024-09-07 09:44:40:818,982157,982151,0,6,461616762486,4792245825,975862,5448,841,381,391800,6 63,2,2024-09-07 09:44:40:767,707482,707482,0,0,28371378,0,4369 63,3,2024-09-07 09:44:41:736,1,558,4,0,667,6038,558,0 64,0,2024-09-07 09:44:41:565,140412,0.4,140415,0.6,280299,0.4,373103,1.75 64,1,2024-09-07 09:44:40:771,981032,981032,0,0,461239542562,4801911121,972449,6767,1816,370,391794,0 64,2,2024-09-07 09:44:41:157,710499,710480,19,0,27542589,0,6121 64,3,2024-09-07 09:44:41:161,1,558,2,0,651,5798,558,0 65,0,2024-09-07 09:44:41:716,140738,0.6,140919,0.7,281552,0.6,375690,2.00 65,1,2024-09-07 09:44:40:860,979425,979425,0,0,459428266486,4799256538,972604,6021,800,381,391901,0 65,2,2024-09-07 09:44:41:704,701810,701810,0,0,32084014,0,3367 65,3,2024-09-07 09:44:41:683,1,558,1,0,782,6436,558,0 66,0,2024-09-07 09:44:41:767,140543,0.6,139955,0.8,280078,0.5,372875,2.25 66,1,2024-09-07 09:44:41:298,981930,981930,0,0,460379325090,4789662934,975695,5513,722,380,391743,0 66,2,2024-09-07 09:44:41:136,710092,710089,3,0,29269097,0,5455 66,3,2024-09-07 09:44:41:079,1,558,8,0,291,4754,558,0 67,0,2024-09-07 09:44:41:414,134218,0.5,133922,0.7,268502,0.5,357606,2.00 67,1,2024-09-07 09:44:40:766,981440,981439,0,1,460164838115,4787529592,975143,5480,816,380,391787,1 67,2,2024-09-07 09:44:40:586,710190,710175,15,0,29187602,0,6205 67,3,2024-09-07 09:44:41:757,1,558,11,0,595,5952,558,0 68,0,2024-09-07 09:44:40:560,139853,0.5,139564,0.7,277997,0.5,372319,2.00 68,1,2024-09-07 09:44:40:582,977231,977231,0,0,458711254231,4822841841,964274,9498,3459,381,391953,0 68,2,2024-09-07 09:44:41:060,702297,702197,100,0,36984361,0,8578 68,3,2024-09-07 09:44:40:733,1,558,1,0,417,7290,558,0 69,0,2024-09-07 09:44:41:738,141522,0.7,142353,0.8,283651,0.7,376751,2.25 69,1,2024-09-07 09:44:41:017,976511,976511,0,0,458418581640,4829815628,963803,9945,2763,383,391994,0 69,2,2024-09-07 09:44:41:737,699971,699942,29,0,38035490,0,6912 69,3,2024-09-07 09:44:40:763,1,558,1,0,698,8322,558,0 70,0,2024-09-07 09:44:41:544,140816,0.8,141251,1.0,284119,0.7,375996,2.50 70,1,2024-09-07 09:44:40:808,982521,982521,0,0,461966196043,4793069367,974884,6874,763,366,391725,0 70,2,2024-09-07 09:44:41:328,706851,706851,0,0,32046551,0,4323 70,3,2024-09-07 09:44:40:753,1,558,1,0,854,6373,558,0 71,0,2024-09-07 09:44:41:361,134886,1.0,134697,1.1,270374,1.3,360506,2.75 71,1,2024-09-07 09:44:41:607,980289,980289,0,0,460446993857,4804469729,968401,10539,1349,368,391738,0 71,2,2024-09-07 09:44:41:067,707352,707352,0,0,32765261,0,4352 71,3,2024-09-07 09:44:41:757,1,558,2,0,644,6503,558,0 72,0,2024-09-07 09:44:41:035,142975,0.5,139645,0.7,272706,0.5,370934,2.00 72,1,2024-09-07 09:44:41:023,978475,978475,0,0,459185656236,4817995036,964310,11771,2394,369,391819,0 72,2,2024-09-07 09:44:41:756,704466,704466,0,0,34462411,0,3983 72,3,2024-09-07 09:44:41:754,1,558,2,0,564,8303,558,0 73,0,2024-09-07 09:44:41:111,139024,0.5,142548,0.6,291654,0.4,378943,2.00 73,1,2024-09-07 09:44:40:786,980728,980728,0,0,460832357487,4789859281,973193,6608,927,367,391858,0 73,2,2024-09-07 09:44:41:750,702959,702959,0,0,34527209,0,4728 73,3,2024-09-07 09:44:40:971,1,558,6,0,1091,7940,558,0 74,0,2024-09-07 09:44:41:320,144755,0.5,148186,0.7,282700,0.4,383471,2.00 74,1,2024-09-07 09:44:40:636,979227,979227,0,0,459787530772,4800958798,968197,9052,1978,381,391762,0 74,2,2024-09-07 09:44:41:002,704039,704039,0,0,30317871,0,4253 74,3,2024-09-07 09:44:41:442,1,558,1,0,522,6714,558,0 75,0,2024-09-07 09:44:41:763,138085,0.6,137148,0.8,275416,0.5,368120,2.25 75,1,2024-09-07 09:44:41:585,981080,981080,0,0,460441853864,4799385858,972921,7293,866,380,391739,0 75,2,2024-09-07 09:44:41:352,706455,706455,0,0,36894398,0,4766 75,3,2024-09-07 09:44:41:067,1,558,2,0,702,6518,558,0 76,0,2024-09-07 09:44:40:600,136077,0.6,135538,0.8,271341,0.5,362975,2.25 76,1,2024-09-07 09:44:40:806,980284,980284,0,0,459016540565,4786386164,973590,5726,968,382,391723,0 76,2,2024-09-07 09:44:41:070,708671,708668,3,0,30136555,0,5265 76,3,2024-09-07 09:44:41:157,1,558,0,0,227,5072,558,0 77,0,2024-09-07 09:44:41:696,141053,0.5,141660,0.7,283153,0.5,376483,2.00 77,1,2024-09-07 09:44:40:845,980302,980302,0,0,460647951861,4803683612,973172,6522,608,381,391869,0 77,2,2024-09-07 09:44:41:281,705466,705466,0,0,29677859,0,3890 77,3,2024-09-07 09:44:41:094,1,558,0,0,401,6085,558,0 78,0,2024-09-07 09:44:41:741,142753,0.4,142299,0.6,285265,0.4,378049,2.00 78,1,2024-09-07 09:44:40:610,980528,980528,0,0,460167343266,4799913980,968717,9144,2667,367,391670,0 78,2,2024-09-07 09:44:41:406,704784,704771,13,0,27421487,0,8313 78,3,2024-09-07 09:44:41:157,1,558,2,0,311,4753,558,0 79,0,2024-09-07 09:44:41:346,134961,0.4,138225,0.6,283343,0.3,368561,2.00 79,1,2024-09-07 09:44:40:572,983488,983488,0,0,461692798076,4781191325,975722,6408,1358,367,391682,0 79,2,2024-09-07 09:44:41:073,708184,708184,0,0,26657736,0,4195 79,3,2024-09-07 09:44:40:752,1,558,7,0,418,6582,558,0 80,0,2024-09-07 09:44:41:077,134656,0.5,138465,0.6,264600,0.4,358470,2.00 80,1,2024-09-07 09:44:41:619,980507,980507,0,0,460569626717,4792704924,974347,5728,432,368,392269,0 80,2,2024-09-07 09:44:41:092,709701,709701,0,0,27189058,0,4433 80,3,2024-09-07 09:44:40:585,1,558,8,0,681,7139,558,0 81,0,2024-09-07 09:44:41:537,140737,0.5,143961,0.7,274529,0.5,372938,2.00 81,1,2024-09-07 09:44:41:657,979364,979364,0,0,459592659761,4801175260,971936,6786,642,382,391885,0 81,2,2024-09-07 09:44:41:125,705250,705187,63,0,30550778,0,5932 81,3,2024-09-07 09:44:41:122,1,558,3,0,719,6403,558,0 82,0,2024-09-07 09:44:41:546,141795,0.4,141901,0.7,284517,0.4,376974,2.00 82,1,2024-09-07 09:44:40:588,982388,982384,0,4,461373325950,4794103538,977125,4437,822,381,391768,4 82,2,2024-09-07 09:44:41:690,704273,704273,0,0,24968481,0,4484 82,3,2024-09-07 09:44:41:757,1,558,1,0,363,5321,558,0 83,0,2024-09-07 09:44:41:535,141452,0.6,141487,0.7,282328,0.6,374725,2.25 83,1,2024-09-07 09:44:40:568,980149,980149,0,0,459587206933,4790267907,973249,6326,574,382,391709,0 83,2,2024-09-07 09:44:40:765,707744,707719,25,0,27432530,0,5612 83,3,2024-09-07 09:44:40:750,1,558,1,0,1260,6800,558,0 84,0,2024-09-07 09:44:41:784,133983,0.7,134254,0.9,267922,0.6,358066,2.25 84,1,2024-09-07 09:44:41:044,979010,979010,0,0,459150099799,4801714185,967576,9780,1654,367,391967,0 84,2,2024-09-07 09:44:40:571,707804,707774,30,0,36338917,0,5971 84,3,2024-09-07 09:44:41:161,1,558,5,0,908,7601,558,0 85,0,2024-09-07 09:44:41:032,133633,0.6,133621,0.8,283690,0.5,367471,2.25 85,1,2024-09-07 09:44:40:593,976000,976000,0,0,458991720386,4847319533,960693,12561,2746,381,392092,0 85,2,2024-09-07 09:44:40:864,704664,704664,0,0,33859021,0,4255 85,3,2024-09-07 09:44:40:690,1,558,1,0,789,6578,558,0 86,0,2024-09-07 09:44:40:925,142225,0.6,146194,0.8,279503,0.7,378283,2.25 86,1,2024-09-07 09:44:40:831,978338,978338,0,0,460168156687,4825437044,965406,10276,2656,366,391995,0 86,2,2024-09-07 09:44:40:865,701303,701302,1,0,35830658,0,5004 86,3,2024-09-07 09:44:40:589,1,558,1,0,308,7995,558,0 87,0,2024-09-07 09:44:41:282,143427,0.8,142973,0.8,285984,1.0,381892,2.25 87,1,2024-09-07 09:44:40:557,977739,977739,0,0,459303664128,4810873902,965258,10761,1720,366,392076,0 87,2,2024-09-07 09:44:41:067,704611,704605,6,0,32403632,0,6323 87,3,2024-09-07 09:44:41:800,1,558,2,0,473,8331,558,0 88,0,2024-09-07 09:44:41:517,137363,0.5,137770,0.6,275346,0.4,366634,1.75 88,1,2024-09-07 09:44:40:575,977085,977085,0,0,459405450739,4813429336,963505,10842,2738,365,392084,0 88,2,2024-09-07 09:44:40:695,707020,707020,0,0,35943347,0,4465 88,3,2024-09-07 09:44:41:270,1,558,2,0,1080,8528,558,0 89,0,2024-09-07 09:44:41:769,141610,0.4,137398,0.6,271114,0.4,368814,1.75 89,1,2024-09-07 09:44:40:552,976967,976967,0,0,459329483462,4832755929,964562,10472,1933,382,391866,0 89,2,2024-09-07 09:44:41:140,705088,705088,0,0,33340301,0,3173 89,3,2024-09-07 09:44:41:798,1,558,4,0,468,9510,558,0 90,0,2024-09-07 09:44:41:709,138402,0.5,142092,0.6,289766,0.4,378262,2.00 90,1,2024-09-07 09:44:40:590,978578,978578,0,0,459389590835,4812782817,968907,8784,887,380,391825,0 90,2,2024-09-07 09:44:41:411,701680,701680,0,0,35669883,0,3608 90,3,2024-09-07 09:44:40:940,1,558,0,0,322,6820,558,0 91,0,2024-09-07 09:44:40:945,143547,0.5,139213,0.6,291127,0.4,382190,1.75 91,1,2024-09-07 09:44:40:559,975822,975822,0,0,459322625122,4837801833,961768,11317,2737,381,392047,0 91,2,2024-09-07 09:44:41:331,704002,704002,0,0,31296916,0,4713 91,3,2024-09-07 09:44:40:606,1,558,1,0,216,5143,558,0 92,0,2024-09-07 09:44:41:475,140572,0.5,143767,0.6,274123,0.5,371292,1.75 92,1,2024-09-07 09:44:40:596,979890,979890,0,0,460229782276,4806514893,972137,6544,1209,381,392136,0 92,2,2024-09-07 09:44:41:352,707878,707878,0,0,28011402,0,3259 92,3,2024-09-07 09:44:41:015,1,558,2,0,167,4821,558,0 93,0,2024-09-07 09:44:41:120,136463,0.4,139866,0.6,267033,0.3,362575,1.75 93,1,2024-09-07 09:44:40:995,980106,980106,0,0,460237279561,4803291247,969423,8934,1749,366,391776,0 93,2,2024-09-07 09:44:40:928,706709,706709,0,0,33898685,0,4913 93,3,2024-09-07 09:44:41:407,1,558,65,0,190,5009,558,0 94,0,2024-09-07 09:44:41:625,140197,0.3,141161,0.5,282259,0.3,374853,1.75 94,1,2024-09-07 09:44:40:563,980775,980775,0,0,460421532618,4801919957,974118,6227,430,381,391850,0 94,2,2024-09-07 09:44:40:761,706574,706546,28,0,28935168,0,6179 94,3,2024-09-07 09:44:41:693,1,558,7,0,576,6911,558,0 95,0,2024-09-07 09:44:41:341,141722,0.3,141772,0.5,283806,0.3,378273,1.75 95,1,2024-09-07 09:44:40:852,982130,982130,0,0,460764518665,4788225041,974160,7269,701,365,391852,0 95,2,2024-09-07 09:44:41:016,701606,701606,0,0,27706624,0,3308 95,3,2024-09-07 09:44:41:719,1,558,2,0,718,8348,558,0 96,0,2024-09-07 09:44:41:060,140907,0.4,141204,0.5,282311,0.3,375126,1.75 96,1,2024-09-07 09:44:41:755,979884,979884,0,0,460123895115,4796069098,973438,5531,915,384,391964,0 96,2,2024-09-07 09:44:41:287,708420,708420,0,0,28800002,0,4180 96,3,2024-09-07 09:44:41:161,1,558,1,0,411,6022,558,0 97,0,2024-09-07 09:44:41:343,134216,0.3,134166,0.5,269348,0.3,357702,1.50 97,1,2024-09-07 09:44:40:764,982184,982184,0,0,461610894295,4785486944,976286,4951,947,367,392140,0 97,2,2024-09-07 09:44:40:613,709166,709166,0,0,28454431,0,3679 97,3,2024-09-07 09:44:40:578,1,558,7,0,242,6242,558,0 98,0,2024-09-07 09:44:41:719,139362,0.3,139518,0.4,279717,0.2,372186,1.50 98,1,2024-09-07 09:44:40:572,981190,981190,0,0,460624463983,4793791654,975604,4783,803,381,391997,0 98,2,2024-09-07 09:44:40:769,706746,706746,0,0,28093991,0,4336 98,3,2024-09-07 09:44:40:698,1,558,11,0,840,8536,558,0 99,0,2024-09-07 09:44:41:458,142399,0.3,143015,0.5,284601,0.3,379629,1.75 99,1,2024-09-07 09:44:41:737,981970,981970,0,0,460434431225,4790095168,975932,5109,929,380,392069,0 99,2,2024-09-07 09:44:41:416,702427,702427,0,0,33195749,0,4276 99,3,2024-09-07 09:44:40:583,1,558,1,0,606,5461,558,0 100,0,2024-09-07 09:44:41:481,142093,0.8,142318,0.9,284295,0.9,379523,2.50 100,1,2024-09-07 09:44:40:549,975895,975895,0,0,458660086817,4849314815,960549,12057,3289,378,391989,0 100,2,2024-09-07 09:44:41:819,704369,704358,11,0,32992249,0,5417 100,3,2024-09-07 09:44:41:735,1,558,1,0,627,9786,558,0 101,0,2024-09-07 09:44:41:722,139115,1.1,135651,1.0,265630,1.0,362793,2.25 101,1,2024-09-07 09:44:40:553,977571,977571,0,0,458962116846,4821941480,964516,10605,2450,368,391847,0 101,2,2024-09-07 09:44:41:756,703800,703800,0,0,37915819,0,4871 101,3,2024-09-07 09:44:40:945,1,558,1,0,1250,8617,558,0 102,0,2024-09-07 09:44:40:957,134576,0.6,138755,0.7,281502,0.6,368640,2.00 102,1,2024-09-07 09:44:41:162,977510,977510,0,0,458413078853,4814761368,964354,11004,2152,369,391984,0 102,2,2024-09-07 09:44:41:737,706280,706226,54,0,32176586,0,6768 102,3,2024-09-07 09:44:41:614,1,558,3,0,466,6424,558,0 103,0,2024-09-07 09:44:41:605,147292,0.6,147291,0.7,277563,0.6,382067,2.00 103,1,2024-09-07 09:44:41:629,976215,976215,0,0,458323874050,4841227130,960255,12372,3588,381,392077,0 103,2,2024-09-07 09:44:40:584,701473,701473,0,0,34139882,0,3766 103,3,2024-09-07 09:44:40:755,1,558,8,0,916,6916,558,0 104,0,2024-09-07 09:44:41:078,142760,0.8,142934,0.9,285121,0.7,381596,2.25 104,1,2024-09-07 09:44:41:622,978823,978823,0,0,458959165239,4822550277,964754,11480,2589,365,392168,0 104,2,2024-09-07 09:44:41:671,702305,702305,0,0,33284879,0,3941 104,3,2024-09-07 09:44:41:423,1,558,2,0,1245,10167,558,0 105,0,2024-09-07 09:44:41:049,136381,0.9,132925,1.1,278491,1.0,366091,2.75 105,1,2024-09-07 09:44:40:561,980101,980101,0,0,460730279280,4825279483,967971,10323,1807,364,392009,0 105,2,2024-09-07 09:44:41:329,706338,706338,0,0,34526360,0,4360 105,3,2024-09-07 09:44:41:343,1,558,1,0,467,8088,558,0 106,0,2024-09-07 09:44:40:958,132058,0.8,135285,0.9,277118,0.9,361805,2.50 106,1,2024-09-07 09:44:41:754,978675,978675,0,0,459462023577,4822684493,964964,11977,1734,368,391914,0 106,2,2024-09-07 09:44:40:755,704854,704854,0,0,31896596,0,2920 106,3,2024-09-07 09:44:40:679,1,558,1,0,1224,8161,558,0 107,0,2024-09-07 09:44:41:106,141323,0.9,141417,0.9,283019,1.0,377625,2.25 107,1,2024-09-07 09:44:40:585,976555,976555,0,0,458990660630,4844724899,961684,13144,1727,381,392234,0 107,2,2024-09-07 09:44:41:290,702847,702846,1,0,34594012,0,5024 107,3,2024-09-07 09:44:41:756,1,558,2,0,733,8796,558,0 108,0,2024-09-07 09:44:41:908,142243,0.4,142742,0.6,284433,0.4,379654,1.75 108,1,2024-09-07 09:44:41:311,979348,979348,0,0,460698118232,4805230449,971003,7368,977,367,391894,0 108,2,2024-09-07 09:44:41:768,702465,702465,0,0,30512207,0,4246 108,3,2024-09-07 09:44:41:330,1,558,19,0,749,10564,558,0 109,0,2024-09-07 09:44:41:800,140540,0.4,139311,0.6,279356,0.4,372966,1.75 109,1,2024-09-07 09:44:40:586,977420,977420,0,0,459571372631,4820103963,968793,7409,1218,382,392132,0 109,2,2024-09-07 09:44:40:924,705625,705625,0,0,30871249,0,3617 109,3,2024-09-07 09:44:41:162,1,558,0,0,630,6994,558,0 110,0,2024-09-07 09:44:41:756,134734,0.4,131091,0.6,274532,0.3,359914,1.75 110,1,2024-09-07 09:44:41:660,981568,981568,0,0,461308718684,4790318522,973734,5910,1924,368,392045,0 110,2,2024-09-07 09:44:41:311,708002,708002,0,0,29073289,0,4067 110,3,2024-09-07 09:44:40:694,1,558,1,0,722,7705,558,0 111,0,2024-09-07 09:44:41:519,140984,0.3,140101,0.5,280535,0.3,374371,1.75 111,1,2024-09-07 09:44:41:001,982804,982804,0,0,462545475068,4795638022,977934,4507,363,380,391690,0 111,2,2024-09-07 09:44:41:116,706351,706351,0,0,29465996,0,4823 111,3,2024-09-07 09:44:40:913,1,558,4,0,379,6228,558,0 112,0,2024-09-07 09:44:40:925,142689,0.3,142183,0.4,285127,0.2,378226,1.50 112,1,2024-09-07 09:44:40:839,981362,981362,0,0,460733386911,4783494611,974793,5484,1085,380,391624,0 112,2,2024-09-07 09:44:41:136,702223,702222,1,0,27419482,0,5036 112,3,2024-09-07 09:44:40:613,1,558,8,0,282,5292,558,0 113,0,2024-09-07 09:44:40:878,141675,0.3,141617,0.5,283514,0.2,377059,1.75 113,1,2024-09-07 09:44:41:696,984080,984080,0,0,462622989295,4771972022,978493,4712,875,365,391664,0 113,2,2024-09-07 09:44:41:305,710364,710364,0,0,25804806,0,3813 113,3,2024-09-07 09:44:40:686,1,558,21,0,510,6189,558,0 114,0,2024-09-07 09:44:40:875,135421,0.3,136406,0.5,270981,0.2,362055,1.75 114,1,2024-09-07 09:44:40:716,981839,981839,0,0,461315539527,4788363455,974624,5563,1652,381,391565,0 114,2,2024-09-07 09:44:40:886,709826,709825,1,0,27655566,0,5069 114,3,2024-09-07 09:44:41:278,1,558,2,0,395,4446,558,0 115,0,2024-09-07 09:44:40:555,139427,0.3,139962,0.4,278804,0.2,371468,1.50 115,1,2024-09-07 09:44:40:572,982241,982241,0,0,461125151624,4788928927,974754,6129,1358,382,391757,0 115,2,2024-09-07 09:44:41:124,708917,708917,0,0,27103179,0,4382 115,3,2024-09-07 09:44:41:002,1,558,0,0,167,3204,558,0 116,0,2024-09-07 09:44:41:727,141970,0.7,141644,0.8,284014,0.6,379458,2.00 116,1,2024-09-07 09:44:40:830,977040,977040,0,0,458895600475,4839225114,964731,9246,3063,380,392089,0 116,2,2024-09-07 09:44:41:751,701723,701723,0,0,34241071,0,4475 116,3,2024-09-07 09:44:40:913,1,558,1,0,448,7289,558,0 117,0,2024-09-07 09:44:40:954,143602,0.7,142926,0.8,286456,0.8,382623,2.00 117,1,2024-09-07 09:44:41:594,978555,978555,0,0,459423695052,4808500493,967915,9178,1462,369,392033,0 117,2,2024-09-07 09:44:41:125,707177,707177,0,0,30760431,0,4303 117,3,2024-09-07 09:44:41:059,1,558,1,0,490,7315,558,0 118,0,2024-09-07 09:44:41:806,133233,0.6,136847,0.7,279157,0.5,365112,2.00 118,1,2024-09-07 09:44:40:586,976935,976935,0,0,458740362140,4824384350,961994,11331,3610,366,392054,0 118,2,2024-09-07 09:44:41:587,706895,706895,0,0,33154624,0,2842 118,3,2024-09-07 09:44:41:778,1,558,11,0,248,6182,558,0 119,0,2024-09-07 09:44:41:331,137178,0.6,137827,0.7,275056,0.5,367059,2.00 119,1,2024-09-07 09:44:40:549,978129,978129,0,0,459408831488,4816068597,964856,10939,2334,367,391857,0 119,2,2024-09-07 09:44:41:261,706516,706516,0,0,31070851,0,4309 119,3,2024-09-07 09:44:41:329,1,558,0,0,1358,10764,558,0 120,0,2024-09-07 09:44:41:593,141717,0.7,141567,0.8,284258,0.7,379064,2.25 120,1,2024-09-07 09:44:40:886,979746,979746,0,0,459505920873,4814414533,970079,8886,781,367,392144,0 120,2,2024-09-07 09:44:40:777,703376,703375,1,0,36395626,0,5281 120,3,2024-09-07 09:44:41:290,1,558,1,0,279,7036,558,0 121,0,2024-09-07 09:44:41:720,143267,1.2,142651,1.0,285719,1.6,381149,2.25 121,1,2024-09-07 09:44:41:665,979261,979261,0,0,459371808114,4803867070,969391,9020,850,366,391840,0 121,2,2024-09-07 09:44:41:127,702661,702661,0,0,33339182,0,4157 121,3,2024-09-07 09:44:40:739,1,558,1,0,330,6602,558,0 122,0,2024-09-07 09:44:41:789,138449,0.8,134712,0.9,282282,1.0,371228,2.25 122,1,2024-09-07 09:44:40:866,977764,977764,0,0,459899450531,4827917660,963900,11532,2332,366,392130,0 122,2,2024-09-07 09:44:41:319,707912,707837,75,0,37528239,0,5989 122,3,2024-09-07 09:44:40:593,1,558,6,0,512,9287,558,0 123,0,2024-09-07 09:44:40:985,135265,0.8,131602,0.8,275410,0.8,361317,2.25 123,1,2024-09-07 09:44:40:564,978133,978133,0,0,459505604075,4834667999,961974,13504,2655,369,392039,0 123,2,2024-09-07 09:44:41:023,704444,704443,1,0,32063400,0,5215 123,3,2024-09-07 09:44:41:137,1,558,43,0,478,6475,558,0 124,0,2024-09-07 09:44:40:976,144912,0.3,144862,0.5,273010,0.3,376102,1.75 124,1,2024-09-07 09:44:41:262,981474,981474,0,0,460785413649,4788506356,974277,6061,1136,365,392178,0 124,2,2024-09-07 09:44:41:015,707851,707798,53,0,28795931,0,6487 124,3,2024-09-07 09:44:40:758,1,558,3,0,490,5699,558,0 125,0,2024-09-07 09:44:41:447,141539,0.4,141448,0.5,283963,0.3,378259,1.75 125,1,2024-09-07 09:44:40:856,979135,979135,0,0,459552424240,4795140519,971994,6155,986,382,391813,0 125,2,2024-09-07 09:44:41:122,704640,704640,0,0,28590715,0,4534 125,3,2024-09-07 09:44:41:126,1,558,1,0,709,6522,558,0 126,0,2024-09-07 09:44:41:414,141137,0.4,145137,0.6,277644,0.4,375693,1.75 126,1,2024-09-07 09:44:40:569,982605,982605,0,0,460732674499,4768328163,977800,4492,313,365,391987,0 126,2,2024-09-07 09:44:40:610,708678,708678,0,0,29850266,0,4539 126,3,2024-09-07 09:44:40:928,1,558,8,0,268,6166,558,0 127,0,2024-09-07 09:44:41:702,134454,0.3,134789,0.5,269063,0.3,357925,1.75 127,1,2024-09-07 09:44:40:584,980802,980802,0,0,460560752265,4791351417,971082,8192,1528,364,392187,0 127,2,2024-09-07 09:44:40:639,707538,707534,4,0,28639588,0,5305 127,3,2024-09-07 09:44:41:270,1,558,0,0,968,5533,558,0 128,0,2024-09-07 09:44:41:546,140231,0.3,140215,0.4,280050,0.2,372919,1.50 128,1,2024-09-07 09:44:41:605,980732,980732,0,0,461561752172,4797841331,973048,6752,932,367,392031,0 128,2,2024-09-07 09:44:41:430,707782,707782,0,0,26444552,0,3171 128,3,2024-09-07 09:44:40:788,1,558,1,0,1082,8783,558,0 129,0,2024-09-07 09:44:41:001,143457,0.3,142793,0.5,286111,0.3,379958,1.50 129,1,2024-09-07 09:44:40:568,977485,977485,0,0,460137671198,4820381347,967878,7599,2008,379,391962,0 129,2,2024-09-07 09:44:40:695,703350,703346,4,0,28567148,0,5335 129,3,2024-09-07 09:44:40:688,1,558,2,0,506,7803,558,0 130,0,2024-09-07 09:44:41:798,143355,0.4,142747,0.6,286285,0.4,380833,1.75 130,1,2024-09-07 09:44:40:589,981700,981700,0,0,460666199264,4785072810,976524,4785,391,381,391825,0 130,2,2024-09-07 09:44:41:124,707718,707718,0,0,28541508,0,4067 130,3,2024-09-07 09:44:41:295,1,558,13,0,960,7629,558,0 131,0,2024-09-07 09:44:42:088,136277,0.4,136850,0.5,274114,0.3,363548,1.75 131,1,2024-09-07 09:44:41:879,980609,980609,0,0,460376455428,4799045005,973663,5753,1193,381,391865,0 131,2,2024-09-07 09:44:40:571,709117,709117,0,0,26865803,0,3979 131,3,2024-09-07 09:44:41:725,1,558,2,0,392,6840,558,0 132,0,2024-09-07 09:44:41:440,138616,0.5,139478,0.6,277640,0.4,369708,2.00 132,1,2024-09-07 09:44:40:579,977029,977029,0,0,459071302593,4831233825,963012,11377,2640,381,392532,0 132,2,2024-09-07 09:44:40:699,706224,706207,17,0,35061652,0,6451 132,3,2024-09-07 09:44:41:693,1,558,0,0,804,8969,558,0 133,0,2024-09-07 09:44:41:588,139143,0.4,142311,0.6,291877,0.4,379744,2.00 133,1,2024-09-07 09:44:40:590,976895,976895,0,0,459491362597,4840284711,963777,11410,1708,383,391914,0 133,2,2024-09-07 09:44:41:089,703881,703831,50,0,35344741,0,6861 133,3,2024-09-07 09:44:41:297,1,558,1,0,528,6909,558,0 134,0,2024-09-07 09:44:41:020,144033,0.5,144036,0.7,288438,0.5,383749,2.00 134,1,2024-09-07 09:44:40:584,978697,978697,0,0,459503241593,4815432518,966714,9828,2155,366,391781,0 134,2,2024-09-07 09:44:41:764,704262,704238,24,0,33086167,0,6207 134,3,2024-09-07 09:44:40:758,1,558,10,0,739,6575,558,0 135,0,2024-09-07 09:44:41:106,133508,0.9,133490,0.9,283501,1.1,365659,2.25 135,1,2024-09-07 09:44:41:610,977914,977914,0,0,459260755110,4826834761,965839,10504,1571,380,391805,0 135,2,2024-09-07 09:44:40:687,708190,708190,0,0,33792319,0,4503 135,3,2024-09-07 09:44:41:003,1,558,9,0,900,5497,558,0 136,0,2024-09-07 09:44:41:700,137215,0.6,137573,0.7,273743,0.5,365261,2.00 136,1,2024-09-07 09:44:41:451,978700,978700,0,0,460257537115,4824571635,967557,9777,1366,381,392135,0 136,2,2024-09-07 09:44:41:138,706949,706934,15,0,33675792,0,6007 136,3,2024-09-07 09:44:41:123,1,558,14,0,637,6716,558,0 137,0,2024-09-07 09:44:41:012,146142,0.6,142370,0.7,279082,0.6,379523,2.00 137,1,2024-09-07 09:44:40:583,977272,977272,0,0,460145210904,4825363353,962131,12091,3050,366,391898,0 137,2,2024-09-07 09:44:41:716,703730,703730,0,0,34546882,0,3185 137,3,2024-09-07 09:44:40:769,1,558,9,0,484,7268,558,0 138,0,2024-09-07 09:44:41:774,141719,0.8,141944,0.9,284472,0.9,378100,2.00 138,1,2024-09-07 09:44:41:710,978282,978282,0,0,459950465692,4824877078,964380,11663,2239,368,391954,0 138,2,2024-09-07 09:44:40:586,702174,702174,0,0,31978316,0,4988 138,3,2024-09-07 09:44:40:610,1,558,13,0,1200,8932,558,0 139,0,2024-09-07 09:44:41:377,138748,1.2,139234,1.0,278499,1.7,371256,2.25 139,1,2024-09-07 09:44:40:571,974174,974174,0,0,456969768470,4846471155,956921,13568,3685,380,392109,0 139,2,2024-09-07 09:44:40:700,703240,703240,0,0,36577880,0,3360 139,3,2024-09-07 09:44:41:684,1,558,46,0,432,6495,558,0 140,0,2024-09-07 09:44:41:597,135441,0.3,134544,0.5,270013,0.2,360186,1.75 140,1,2024-09-07 09:44:41:536,984321,984321,0,0,462497640202,4767270700,979550,4232,539,364,391606,0 140,2,2024-09-07 09:44:40:690,708082,708081,1,0,27000734,0,5036 140,3,2024-09-07 09:44:40:767,1,558,1,0,297,5079,558,0 141,0,2024-09-07 09:44:41:697,140629,0.3,144447,0.4,275919,0.2,374081,1.50 141,1,2024-09-07 09:44:40:909,982096,982096,0,0,461928140414,4799105503,974227,6691,1178,379,391614,0 141,2,2024-09-07 09:44:41:691,706900,706889,11,0,29041813,0,5369 141,3,2024-09-07 09:44:41:047,1,558,23,0,391,6305,558,0 142,0,2024-09-07 09:44:41:355,142843,0.3,141805,0.5,284231,0.2,378997,1.50 142,1,2024-09-07 09:44:40:586,980346,980346,0,0,460746135839,4801275580,974187,5671,488,382,392102,0 142,2,2024-09-07 09:44:41:299,701219,701187,32,0,28935384,0,6028 142,3,2024-09-07 09:44:41:755,1,558,10,0,484,5831,558,0 143,0,2024-09-07 09:44:41:388,141414,0.4,141472,0.6,283872,0.4,376936,1.75 143,1,2024-09-07 09:44:40:559,982775,982775,0,0,461801347437,4790725822,976476,5736,563,367,391722,0 143,2,2024-09-07 09:44:40:769,708367,708367,0,0,28776143,0,3123 143,3,2024-09-07 09:44:41:160,1,558,2,0,462,6931,558,0 144,0,2024-09-07 09:44:41:505,131047,0.6,134783,0.8,274223,0.6,358819,2.00 144,1,2024-09-07 09:44:40:596,977862,977862,0,0,458950128924,4813317423,968300,7593,1969,381,391733,0 144,2,2024-09-07 09:44:41:760,709194,709194,0,0,28152208,0,4443 144,3,2024-09-07 09:44:41:740,1,558,1,0,249,5514,558,0 145,0,2024-09-07 09:44:41:391,134584,0.5,134535,0.7,285605,0.5,368187,2.25 145,1,2024-09-07 09:44:40:552,977310,977310,0,0,458543272310,4827239808,963757,10892,2661,382,391759,0 145,2,2024-09-07 09:44:41:432,704618,704536,82,0,34001366,0,7814 145,3,2024-09-07 09:44:40:896,1,558,1,0,622,7668,558,0 146,0,2024-09-07 09:44:41:617,142073,0.6,141619,0.8,284440,0.6,377771,2.25 146,1,2024-09-07 09:44:41:849,977897,977897,0,0,459086789373,4832613598,961003,12428,4466,367,391770,0 146,2,2024-09-07 09:44:41:702,700903,700897,6,0,31886394,0,5151 146,3,2024-09-07 09:44:41:276,1,558,12,0,1520,9354,558,0 147,0,2024-09-07 09:44:41:732,143486,0.6,143150,0.7,286027,0.6,381711,2.25 147,1,2024-09-07 09:44:41:374,981523,981523,0,0,461566792583,4794633764,973803,6785,935,367,391791,0 147,2,2024-09-07 09:44:41:011,705292,705292,0,0,28361196,0,2968 147,3,2024-09-07 09:44:40:916,1,558,5,0,1626,8778,558,0 0,0,2024-09-07 09:44:51:787,138702,0.6,138688,0.7,294147,0.6,380225,2.00 0,1,2024-09-07 09:44:50:804,980557,980557,0,0,460473049404,4819665425,972663,6982,912,369,391896,0 0,2,2024-09-07 09:44:51:091,706405,706405,0,0,28524690,0,4480 0,3,2024-09-07 09:44:50:984,1,559,84,0,431,8096,559,0 1,0,2024-09-07 09:44:51:793,143319,0.9,142317,0.9,286298,1.1,382356,2.00 1,1,2024-09-07 09:44:50:586,979064,979064,0,0,459667442623,4814437378,970094,7495,1475,370,391859,0 1,2,2024-09-07 09:44:50:653,705570,705570,0,0,27805375,0,3380 1,3,2024-09-07 09:44:51:307,1,559,1,0,269,6839,559,0 2,0,2024-09-07 09:44:51:572,139012,0.6,138729,0.7,277483,0.6,370255,2.00 2,1,2024-09-07 09:44:50:874,982544,982544,0,0,462078383924,4801409607,977070,4542,932,379,391745,0 2,2,2024-09-07 09:44:51:277,709363,709363,0,0,26925847,0,3594 2,3,2024-09-07 09:44:50:690,1,559,2,0,357,4939,559,0 3,0,2024-09-07 09:44:51:757,136082,0.4,136051,0.6,271336,0.3,362630,2.00 3,1,2024-09-07 09:44:51:630,981664,981664,0,0,461411774706,4799989157,974142,6819,703,379,391716,0 3,2,2024-09-07 09:44:51:159,708643,708620,23,0,28041502,0,5851 3,3,2024-09-07 09:44:51:752,1,559,4,0,275,3754,559,0 4,0,2024-09-07 09:44:51:867,136881,0.4,140668,0.5,286812,0.3,375232,1.75 4,1,2024-09-07 09:44:50:603,978074,978074,0,0,458063320558,4851643319,960743,13623,3708,370,391992,0 4,2,2024-09-07 09:44:51:018,704238,704238,0,0,34193774,0,4534 4,3,2024-09-07 09:44:51:029,1,559,1,0,448,7007,559,0 5,0,2024-09-07 09:44:51:446,142206,0.4,142203,0.5,284683,0.4,378548,1.75 5,1,2024-09-07 09:44:50:755,978729,978729,0,0,460665388847,4849806764,964659,11258,2812,367,392005,0 5,2,2024-09-07 09:44:51:829,701233,701233,0,0,32543410,0,3582 5,3,2024-09-07 09:44:51:743,1,559,1,0,457,7515,559,0 6,0,2024-09-07 09:44:50:926,141254,0.5,140824,0.7,281807,0.4,375444,2.00 6,1,2024-09-07 09:44:50:755,980791,980791,0,0,460608897035,4810965115,970337,8932,1522,379,391702,0 6,2,2024-09-07 09:44:51:128,709191,709173,18,0,32111351,0,5535 6,3,2024-09-07 09:44:51:275,1,559,9,0,710,6935,559,0 7,0,2024-09-07 09:44:51:537,133952,0.5,134767,0.7,268336,0.5,357716,2.00 7,1,2024-09-07 09:44:50:861,979728,979728,0,0,460354817079,4833902670,965846,11478,2404,382,391747,0 7,2,2024-09-07 09:44:50:774,708372,708372,0,0,31079110,0,4791 7,3,2024-09-07 09:44:50:861,1,559,1,0,552,6340,559,0 8,0,2024-09-07 09:44:51:426,140282,0.3,139830,0.5,280508,0.3,374077,1.75 8,1,2024-09-07 09:44:51:120,977768,977768,0,0,460184335285,4841492227,961771,12457,3540,366,392853,0 8,2,2024-09-07 09:44:50:806,702699,702697,2,0,36878282,0,5112 8,3,2024-09-07 09:44:50:593,1,559,6,0,772,8953,559,0 9,0,2024-09-07 09:44:51:149,142158,0.4,138395,0.5,289880,0.3,379716,1.75 9,1,2024-09-07 09:44:50:563,977884,977884,0,0,459347092831,4841670917,962724,12174,2986,369,392001,0 9,2,2024-09-07 09:44:51:084,701963,701962,1,0,33431416,0,5281 9,3,2024-09-07 09:44:51:778,1,559,8,0,1273,9530,559,0 10,0,2024-09-07 09:44:51:623,142490,0.4,142005,0.5,284827,0.3,379407,1.75 10,1,2024-09-07 09:44:50:590,979882,979882,0,0,460465056614,4826932516,966108,11341,2433,381,391869,0 10,2,2024-09-07 09:44:50:762,708108,708108,0,0,36538369,0,4264 10,3,2024-09-07 09:44:50:886,1,559,9,0,669,6523,559,0 11,0,2024-09-07 09:44:51:008,136184,0.4,132042,0.6,276240,0.4,363426,1.75 11,1,2024-09-07 09:44:50:573,981559,981559,0,0,460829159985,4832460529,967287,10510,3762,383,391766,0 11,2,2024-09-07 09:44:51:128,708519,708519,0,0,32728929,0,4130 11,3,2024-09-07 09:44:51:305,1,559,1,0,843,7407,559,0 12,0,2024-09-07 09:44:51:011,139715,0.4,139691,0.5,279271,0.3,371318,1.75 12,1,2024-09-07 09:44:50:938,980983,980983,0,0,460202931313,4800894879,972850,7151,982,370,391960,0 12,2,2024-09-07 09:44:51:551,706616,706616,0,0,31756482,0,4390 12,3,2024-09-07 09:44:51:088,1,559,2,0,386,7220,559,0 13,0,2024-09-07 09:44:51:429,143659,0.4,143583,0.6,286338,0.4,381446,1.75 13,1,2024-09-07 09:44:51:528,978249,978249,0,0,459801511453,4832866015,968153,7794,2302,382,391768,0 13,2,2024-09-07 09:44:50:595,705744,705744,0,0,28191428,0,3287 13,3,2024-09-07 09:44:51:764,1,559,37,0,522,7657,559,0 14,0,2024-09-07 09:44:50:572,143964,0.4,145015,0.6,287774,0.4,383326,1.75 14,1,2024-09-07 09:44:51:571,985255,985255,0,0,462552879181,4786946362,977473,6811,971,364,391673,0 14,2,2024-09-07 09:44:50:764,707086,707056,30,0,29860751,0,6104 14,3,2024-09-07 09:44:51:116,1,559,9,0,1168,6289,559,0 15,0,2024-09-07 09:44:51:571,137864,0.4,137281,0.6,275432,0.4,367333,2.00 15,1,2024-09-07 09:44:51:624,982186,982186,0,0,460873665539,4802631624,973195,7078,1913,381,391619,0 15,2,2024-09-07 09:44:51:000,711495,711495,0,0,25433491,0,3622 15,3,2024-09-07 09:44:51:416,1,559,6,0,1126,7577,559,0 16,0,2024-09-07 09:44:50:967,136834,0.5,137595,0.7,274763,0.5,365481,2.00 16,1,2024-09-07 09:44:50:583,982750,982750,0,0,461195994372,4818087856,974288,7157,1305,370,392194,0 16,2,2024-09-07 09:44:51:447,707431,707431,0,0,29379935,0,4719 16,3,2024-09-07 09:44:51:174,1,559,182,0,358,7014,559,0 17,0,2024-09-07 09:44:51:818,146550,0.5,142831,0.7,279825,0.5,380565,2.00 17,1,2024-09-07 09:44:50:582,980594,980594,0,0,460572007360,4830138603,970896,7943,1755,368,392075,0 17,2,2024-09-07 09:44:51:678,708966,708965,1,0,30422206,0,5050 17,3,2024-09-07 09:44:50:583,1,559,2,0,518,8195,559,0 18,0,2024-09-07 09:44:50:958,141228,0.7,142152,0.8,283176,0.7,378463,2.25 18,1,2024-09-07 09:44:51:643,984738,984738,0,0,462224581132,4791683292,978414,5327,997,367,391725,0 18,2,2024-09-07 09:44:51:763,707314,707314,0,0,26717733,0,3541 18,3,2024-09-07 09:44:50:898,1,559,132,0,1059,5279,559,0 19,0,2024-09-07 09:44:51:552,139416,0.6,139683,0.8,278291,0.7,370104,2.00 19,1,2024-09-07 09:44:50:567,984116,984116,0,0,462988900855,4797973029,976851,6176,1089,367,391777,0 19,2,2024-09-07 09:44:51:758,711867,711867,0,0,25360149,0,3988 19,3,2024-09-07 09:44:51:145,1,559,1,0,524,4331,559,0 20,0,2024-09-07 09:44:51:404,134922,0.5,135108,0.7,269803,0.5,359809,2.00 20,1,2024-09-07 09:44:50:574,979896,979896,0,0,460782243409,4827205452,969754,8640,1502,369,391922,0 20,2,2024-09-07 09:44:50:940,707769,707769,0,0,31596083,0,4321 20,3,2024-09-07 09:44:50:589,1,559,11,0,468,9111,559,0 21,0,2024-09-07 09:44:51:302,140699,0.4,140852,0.6,281647,0.4,374112,1.75 21,1,2024-09-07 09:44:51:555,978654,978654,0,0,459710053769,4848600063,963767,11623,3264,368,392016,0 21,2,2024-09-07 09:44:51:069,704645,704625,20,0,35819934,0,5617 21,3,2024-09-07 09:44:51:410,1,559,0,0,713,7630,559,0 22,0,2024-09-07 09:44:51:732,142054,0.5,142583,0.7,284484,0.4,377441,2.00 22,1,2024-09-07 09:44:51:025,979392,979392,0,0,459476686782,4835412144,963041,13012,3339,382,391822,0 22,2,2024-09-07 09:44:50:761,702076,702050,26,0,29878016,0,6328 22,3,2024-09-07 09:44:51:075,1,559,2,0,228,4731,559,0 23,0,2024-09-07 09:44:51:374,141264,0.5,140928,0.7,281832,0.5,375609,2.25 23,1,2024-09-07 09:44:51:003,980957,980957,0,0,461093309760,4839048869,964101,12007,4849,365,391690,0 23,2,2024-09-07 09:44:51:127,709748,709748,0,0,28867256,0,3773 23,3,2024-09-07 09:44:51:762,1,559,3,0,855,8434,559,0 24,0,2024-09-07 09:44:50:824,136097,0.4,135401,0.6,272316,0.4,361253,1.75 24,1,2024-09-07 09:44:50:587,979568,979568,0,0,459804579521,4812849351,970272,7613,1683,367,392269,0 24,2,2024-09-07 09:44:51:071,708437,708437,0,0,35212991,0,4438 24,3,2024-09-07 09:44:51:692,1,559,1,0,468,6935,559,0 25,0,2024-09-07 09:44:51:402,143291,0.4,139563,0.6,273806,0.4,373349,1.75 25,1,2024-09-07 09:44:50:596,978028,978028,0,0,459393840297,4850560924,961339,13157,3532,369,391928,0 25,2,2024-09-07 09:44:51:624,705344,705344,0,0,35995586,0,3978 25,3,2024-09-07 09:44:50:999,1,559,1,0,532,6275,559,0 26,0,2024-09-07 09:44:51:730,141548,0.4,138577,0.6,290799,0.4,378293,2.00 26,1,2024-09-07 09:44:51:547,982052,982052,0,0,460005481823,4816121869,968638,10955,2459,380,391748,0 26,2,2024-09-07 09:44:50:866,702923,702923,0,0,37605892,0,4689 26,3,2024-09-07 09:44:51:722,1,559,12,0,796,6458,559,0 27,0,2024-09-07 09:44:51:734,143495,0.4,144177,0.6,286391,0.4,381806,2.25 27,1,2024-09-07 09:44:51:694,982000,982000,0,0,462323552834,4816433834,972616,8091,1293,381,391626,0 27,2,2024-09-07 09:44:50:867,704255,704190,65,0,32906224,0,5699 27,3,2024-09-07 09:44:51:022,1,559,32,0,564,4848,559,0 28,0,2024-09-07 09:44:51:425,137903,0.4,137627,0.6,275718,0.3,367300,2.00 28,1,2024-09-07 09:44:50:800,983236,983236,0,0,461320432844,4808798205,974885,6615,1736,382,391698,0 28,2,2024-09-07 09:44:51:764,709903,709903,0,0,29066510,0,2915 28,3,2024-09-07 09:44:51:784,1,559,1,0,502,5494,559,0 29,0,2024-09-07 09:44:51:356,141945,0.4,138216,0.6,271208,0.3,369993,1.75 29,1,2024-09-07 09:44:51:565,986061,986061,0,0,462972451669,4787542915,980179,5108,774,367,391809,0 29,2,2024-09-07 09:44:50:862,707308,707308,0,0,28004322,0,4986 29,3,2024-09-07 09:44:50:964,1,559,1,0,459,6298,559,0 30,0,2024-09-07 09:44:51:456,141855,0.5,138168,0.7,289490,0.5,377885,2.00 30,1,2024-09-07 09:44:50:572,984597,984597,0,0,462881512204,4801729327,977494,6198,905,380,391672,0 30,2,2024-09-07 09:44:51:285,706005,706005,0,0,26923181,0,4192 30,3,2024-09-07 09:44:50:581,1,559,7,0,519,5321,559,0 31,0,2024-09-07 09:44:51:766,143086,0.4,143808,0.6,286608,0.4,382888,2.00 31,1,2024-09-07 09:44:50:570,987625,987625,0,0,464249147845,4765364287,981994,4606,1025,356,391712,0 31,2,2024-09-07 09:44:51:276,704933,704933,0,0,29647919,0,4470 31,3,2024-09-07 09:44:51:718,1,559,1,0,239,4812,559,0 32,0,2024-09-07 09:44:51:423,139397,0.3,140174,0.5,279112,0.3,371733,1.75 32,1,2024-09-07 09:44:50:807,984349,984349,0,0,462341735085,4803438561,978487,5152,710,381,391646,0 32,2,2024-09-07 09:44:50:941,710964,710964,0,0,26366652,0,3922 32,3,2024-09-07 09:44:51:015,1,559,1,0,304,4470,559,0 33,0,2024-09-07 09:44:51:507,136712,0.3,136218,0.4,272763,0.2,363586,1.50 33,1,2024-09-07 09:44:50:589,984437,984437,0,0,463176766875,4794776478,977034,6229,1174,368,391730,0 33,2,2024-09-07 09:44:50:762,709133,709098,35,0,28973541,0,7012 33,3,2024-09-07 09:44:50:896,1,559,7,0,329,4996,559,0 34,0,2024-09-07 09:44:50:933,141302,0.3,145151,0.4,277578,0.2,374688,1.75 34,1,2024-09-07 09:44:51:056,987048,987048,0,0,463678789014,4767465732,984413,2613,22,367,391637,0 34,2,2024-09-07 09:44:50:767,708737,708737,0,0,27097174,0,4562 34,3,2024-09-07 09:44:51:692,1,559,1,0,320,4527,559,0 35,0,2024-09-07 09:44:50:906,141332,0.3,142072,0.5,285287,0.2,379243,1.75 35,1,2024-09-07 09:44:51:091,983287,983287,0,0,462138230126,4793641019,976088,5715,1484,382,391769,0 35,2,2024-09-07 09:44:51:607,703951,703951,0,0,30075316,0,4055 35,3,2024-09-07 09:44:50:917,1,559,5,0,466,5454,559,0 36,0,2024-09-07 09:44:51:535,141571,0.5,141386,0.7,282548,0.5,376211,2.00 36,1,2024-09-07 09:44:50:589,982153,982153,0,0,460482687256,4809397934,969249,10673,2231,366,391759,0 36,2,2024-09-07 09:44:51:758,709117,709117,0,0,31713383,0,3875 36,3,2024-09-07 09:44:50:865,1,559,6,0,416,7432,559,0 37,0,2024-09-07 09:44:51:367,134156,0.5,134119,0.7,268442,0.5,358326,2.25 37,1,2024-09-07 09:44:50:584,981231,981224,0,7,460457579731,4806242842,969684,8825,2715,365,391770,0 37,2,2024-09-07 09:44:51:156,706440,706425,15,0,32013338,0,5815 37,3,2024-09-07 09:44:51:776,1,559,1,0,888,7533,559,0 38,0,2024-09-07 09:44:51:445,139442,0.4,135279,0.6,282940,0.3,371152,2.00 38,1,2024-09-07 09:44:51:624,982179,982179,0,0,461307451754,4821090272,968299,11308,2572,368,391821,0 38,2,2024-09-07 09:44:50:763,707109,707062,47,0,31954233,0,6710 38,3,2024-09-07 09:44:50:999,1,559,13,0,689,6959,559,0 39,0,2024-09-07 09:44:51:769,145419,0.5,142163,0.7,277196,0.5,378490,2.00 39,1,2024-09-07 09:44:50:717,980706,980706,0,0,460725860095,4831509269,964095,12915,3696,365,391865,0 39,2,2024-09-07 09:44:51:416,703686,703686,0,0,29280130,0,3391 39,3,2024-09-07 09:44:50:716,1,559,1,0,525,6517,559,0 40,0,2024-09-07 09:44:51:505,141216,0.9,141846,1.0,283061,1.0,377472,2.75 40,1,2024-09-07 09:44:50:582,981780,981780,0,0,460132564720,4820256311,967411,11590,2779,368,391668,0 40,2,2024-09-07 09:44:51:303,706223,706222,1,0,35310972,0,5137 40,3,2024-09-07 09:44:51:163,1,559,3,0,1028,8224,559,0 41,0,2024-09-07 09:44:51:030,135616,1.2,138659,1.1,264390,1.7,359041,3.00 41,1,2024-09-07 09:44:50:770,980993,980993,0,0,459953903726,4813053127,968285,10642,2066,369,391878,0 41,2,2024-09-07 09:44:50:765,706304,706303,1,0,34426793,0,5408 41,3,2024-09-07 09:44:51:687,1,559,12,0,366,6032,559,0 42,0,2024-09-07 09:44:51:473,138163,0.9,137954,1.0,275447,1.0,366298,2.75 42,1,2024-09-07 09:44:51:454,978480,978480,0,0,459888377452,4834552360,962808,12744,2928,380,391675,0 42,2,2024-09-07 09:44:51:140,706242,706242,0,0,34180672,0,3975 42,3,2024-09-07 09:44:51:009,1,559,1,0,892,5105,559,0 43,0,2024-09-07 09:44:50:924,141179,0.7,137446,0.9,288064,0.8,376419,2.25 43,1,2024-09-07 09:44:50:583,981371,981371,0,0,460930848589,4817108864,967637,11335,2399,366,391696,0 43,2,2024-09-07 09:44:51:744,704292,704292,0,0,32624053,0,4723 43,3,2024-09-07 09:44:51:757,1,559,4,0,571,8087,559,0 44,0,2024-09-07 09:44:50:859,144265,0.4,144152,0.6,288792,0.4,383821,1.75 44,1,2024-09-07 09:44:50:569,984368,984368,0,0,462131273013,4775827668,975971,6712,1685,356,391809,0 44,2,2024-09-07 09:44:51:277,704568,704568,0,0,26802930,0,4344 44,3,2024-09-07 09:44:51:094,1,559,5,0,1097,7154,559,0 45,0,2024-09-07 09:44:51:761,136514,0.5,133282,0.7,279835,0.5,367455,2.00 45,1,2024-09-07 09:44:51:006,983173,983173,0,0,461871984147,4799725687,975369,6912,892,382,391917,0 45,2,2024-09-07 09:44:51:277,710119,710119,0,0,27291499,0,3596 45,3,2024-09-07 09:44:50:937,1,559,4,0,531,5628,559,0 46,0,2024-09-07 09:44:50:966,136379,0.5,136042,0.7,272736,0.5,362366,2.00 46,1,2024-09-07 09:44:50:584,985072,985072,0,0,463250317074,4787181271,978220,5988,864,366,391709,0 46,2,2024-09-07 09:44:50:594,708491,708491,0,0,27603226,0,4443 46,3,2024-09-07 09:44:51:144,1,559,0,0,908,6910,559,0 47,0,2024-09-07 09:44:51:110,142424,0.4,142453,0.6,285786,0.3,379142,1.75 47,1,2024-09-07 09:44:50:567,986450,986450,0,0,462535053428,4776902477,981019,4591,840,365,391641,0 47,2,2024-09-07 09:44:50:916,709751,709751,0,0,27105005,0,4477 47,3,2024-09-07 09:44:51:127,1,559,3,0,600,6263,559,0 48,0,2024-09-07 09:44:51:500,142943,0.3,143140,0.4,285679,0.2,380295,1.50 48,1,2024-09-07 09:44:51:028,983787,983787,0,0,461600865505,4793749426,977851,5360,576,384,391710,0 48,2,2024-09-07 09:44:50:700,705312,705312,0,0,25110029,0,3524 48,3,2024-09-07 09:44:50:763,1,559,176,0,339,4597,559,0 49,0,2024-09-07 09:44:51:744,143748,0.3,141019,0.5,274271,0.3,374322,1.75 49,1,2024-09-07 09:44:51:030,983170,983170,0,0,461683060808,4798866315,977019,4775,1376,382,391809,0 49,2,2024-09-07 09:44:51:811,710523,710523,0,0,27576921,0,4426 49,3,2024-09-07 09:44:51:423,1,559,1,0,992,6525,559,0 50,0,2024-09-07 09:44:51:514,135814,0.3,134033,0.5,270015,0.2,359563,1.75 50,1,2024-09-07 09:44:51:011,986223,986223,0,0,463164734675,4786421717,980151,5404,668,368,391565,0 50,2,2024-09-07 09:44:51:075,707840,707840,0,0,25282395,0,4490 50,3,2024-09-07 09:44:51:292,1,559,8,0,617,5821,559,0 51,0,2024-09-07 09:44:51:696,144475,0.3,141523,0.4,275339,0.2,375730,1.50 51,1,2024-09-07 09:44:51:680,987075,987075,0,0,463574003872,4779169351,981850,4145,1080,365,391706,0 51,2,2024-09-07 09:44:51:331,708017,708017,0,0,24965799,0,3337 51,3,2024-09-07 09:44:51:027,1,559,1,0,678,4008,559,0 52,0,2024-09-07 09:44:51:415,142605,0.5,142226,0.7,284734,0.4,378315,2.00 52,1,2024-09-07 09:44:50:582,981655,981655,0,0,461433646760,4829923768,967385,12055,2215,368,391805,0 52,2,2024-09-07 09:44:51:758,699882,699844,38,0,33049044,0,6742 52,3,2024-09-07 09:44:50:675,1,559,4,0,1782,6953,559,0 53,0,2024-09-07 09:44:51:744,140891,0.7,136692,0.8,286004,0.7,374878,2.50 53,1,2024-09-07 09:44:50:773,980194,980194,0,0,460661820660,4834415797,963362,12676,4156,367,391968,0 53,2,2024-09-07 09:44:51:301,708753,708752,1,0,30002085,0,5455 53,3,2024-09-07 09:44:50:697,1,559,1,0,308,5240,559,0 54,0,2024-09-07 09:44:51:620,133337,0.6,133715,0.8,266249,0.4,355170,2.25 54,1,2024-09-07 09:44:50:583,982317,982317,0,0,461148590577,4796461360,971710,8945,1662,366,391810,0 54,2,2024-09-07 09:44:50:874,709731,709699,32,0,33927442,0,6397 54,3,2024-09-07 09:44:50:770,1,559,9,0,676,7525,559,0 55,0,2024-09-07 09:44:51:769,134634,0.6,138847,0.7,281593,0.5,367700,2.50 55,1,2024-09-07 09:44:50:770,983524,983524,0,0,460837784849,4794064672,973117,9022,1385,365,391731,0 55,2,2024-09-07 09:44:50:731,705653,705597,56,0,31978487,0,7239 55,3,2024-09-07 09:44:50:675,1,559,1,0,304,5114,559,0 56,0,2024-09-07 09:44:51:583,145222,1.2,136943,1.1,281983,1.5,377734,2.75 56,1,2024-09-07 09:44:50:573,977574,977574,0,0,459571402095,4858665530,960957,13148,3469,381,391867,0 56,2,2024-09-07 09:44:51:302,702477,702355,122,0,33202970,0,7432 56,3,2024-09-07 09:44:51:072,1,559,19,0,705,6602,559,0 57,0,2024-09-07 09:44:50:968,141638,1.5,141628,1.2,283312,2.1,378566,3.25 57,1,2024-09-07 09:44:50:987,979587,979587,0,0,460253833312,4831043361,966429,10826,2332,366,392032,0 57,2,2024-09-07 09:44:51:331,706901,706901,0,0,34665874,0,4317 57,3,2024-09-07 09:44:51:746,1,559,10,0,455,6472,559,0 58,0,2024-09-07 09:44:50:567,135804,0.9,131744,1.0,275603,1.1,360833,2.50 58,1,2024-09-07 09:44:50:581,980697,980694,0,3,460845952848,4831773829,965662,11340,3692,367,391675,3 58,2,2024-09-07 09:44:51:079,709332,709332,0,0,32863126,0,3483 58,3,2024-09-07 09:44:51:078,1,559,7,0,1043,6368,559,0 59,0,2024-09-07 09:44:51:743,137444,0.8,137239,0.9,274032,0.9,363959,2.75 59,1,2024-09-07 09:44:50:803,979771,979771,0,0,460472184422,4837845741,963578,12862,3331,369,391653,0 59,2,2024-09-07 09:44:50:590,707004,707004,0,0,31328712,0,3727 59,3,2024-09-07 09:44:51:737,1,559,2,0,1015,6891,559,0 60,0,2024-09-07 09:44:51:726,142600,0.5,142976,0.7,285600,0.5,380162,1.75 60,1,2024-09-07 09:44:50:805,984762,984762,0,0,462625828425,4804257448,977725,6042,995,370,392031,0 60,2,2024-09-07 09:44:51:150,705791,705791,0,0,29163310,0,3811 60,3,2024-09-07 09:44:51:276,1,559,0,0,409,6551,559,0 61,0,2024-09-07 09:44:51:612,143200,0.6,143825,0.8,286292,0.6,382396,2.00 61,1,2024-09-07 09:44:50:771,980960,980960,0,0,460863421991,4825624320,970316,8984,1660,382,392127,0 61,2,2024-09-07 09:44:51:147,705413,705346,67,0,30163209,0,6411 61,3,2024-09-07 09:44:51:716,1,559,0,0,607,7665,559,0 62,0,2024-09-07 09:44:51:721,139675,0.6,143289,0.8,273647,0.6,371584,2.00 62,1,2024-09-07 09:44:51:116,986946,986940,0,6,463978364894,4781806579,982386,4271,283,365,391975,6 62,2,2024-09-07 09:44:51:643,707803,707802,1,0,29930688,0,5555 62,3,2024-09-07 09:44:51:149,1,559,12,0,482,4473,559,0 63,0,2024-09-07 09:44:51:461,136680,0.4,136497,0.6,273708,0.3,363888,1.75 63,1,2024-09-07 09:44:50:806,983986,983980,0,6,462469924638,4800996177,977691,5448,841,381,391800,6 63,2,2024-09-07 09:44:50:770,708546,708546,0,0,28499297,0,4369 63,3,2024-09-07 09:44:51:737,1,559,1,0,667,6039,559,0 64,0,2024-09-07 09:44:51:570,140677,0.4,140727,0.6,280866,0.4,373767,1.75 64,1,2024-09-07 09:44:50:751,982753,982753,0,0,462144641335,4811198929,974170,6767,1816,370,391794,0 64,2,2024-09-07 09:44:51:141,711490,711471,19,0,27574978,0,6121 64,3,2024-09-07 09:44:51:145,1,559,1,0,651,5799,559,0 65,0,2024-09-07 09:44:51:708,141077,0.6,141264,0.7,282243,0.6,376632,2.00 65,1,2024-09-07 09:44:50:862,981199,981199,0,0,460426661026,4809490304,974377,6022,800,381,391901,0 65,2,2024-09-07 09:44:51:705,703369,703369,0,0,32175915,0,3367 65,3,2024-09-07 09:44:51:694,1,559,1,0,782,6437,559,0 66,0,2024-09-07 09:44:51:766,140706,0.6,140141,0.8,280431,0.5,373342,2.25 66,1,2024-09-07 09:44:51:296,983637,983637,0,0,461077969469,4797056262,977395,5520,722,380,391743,0 66,2,2024-09-07 09:44:51:140,711432,711429,3,0,29304880,0,5455 66,3,2024-09-07 09:44:51:090,1,559,1,0,291,4755,559,0 67,0,2024-09-07 09:44:51:426,134528,0.5,134243,0.7,269175,0.5,358471,2.00 67,1,2024-09-07 09:44:50:767,983238,983237,0,1,461278679239,4798870704,976941,5480,816,380,391787,1 67,2,2024-09-07 09:44:50:588,711258,711243,15,0,29241926,0,6205 67,3,2024-09-07 09:44:51:757,1,559,44,0,595,5996,559,0 68,0,2024-09-07 09:44:50:637,140255,0.5,140008,0.7,278873,0.5,373502,2.00 68,1,2024-09-07 09:44:50:597,979003,979003,0,0,459561692207,4831624974,966046,9498,3459,381,391953,0 68,2,2024-09-07 09:44:51:068,703640,703540,100,0,37020093,0,8578 68,3,2024-09-07 09:44:50:735,1,559,11,0,417,7301,559,0 69,0,2024-09-07 09:44:51:742,141628,0.7,142464,0.8,283913,0.7,377071,2.25 69,1,2024-09-07 09:44:51:018,978269,978269,0,0,459511608358,4841016590,965560,9946,2763,383,391994,0 69,2,2024-09-07 09:44:51:742,701282,701253,29,0,38072042,0,6912 69,3,2024-09-07 09:44:50:778,1,559,1,0,698,8323,559,0 70,0,2024-09-07 09:44:51:536,140943,0.8,141385,1.0,284366,0.7,376338,2.50 70,1,2024-09-07 09:44:50:807,984226,984226,0,0,462485130878,4798408562,976588,6875,763,366,391725,0 70,2,2024-09-07 09:44:51:334,708064,708064,0,0,32065521,0,4323 70,3,2024-09-07 09:44:50:748,1,559,12,0,854,6385,559,0 71,0,2024-09-07 09:44:51:388,134895,1.0,134713,1.1,270398,1.3,360506,2.75 71,1,2024-09-07 09:44:51:606,982094,982094,0,0,461306240843,4813199384,970205,10540,1349,368,391738,0 71,2,2024-09-07 09:44:51:078,708805,708805,0,0,32805504,0,4352 71,3,2024-09-07 09:44:51:757,1,559,1,0,644,6504,559,0 72,0,2024-09-07 09:44:51:097,143331,0.5,139995,0.7,273393,0.5,371836,2.00 72,1,2024-09-07 09:44:51:040,980239,980239,0,0,459957101278,4825913265,966074,11771,2394,369,391819,0 72,2,2024-09-07 09:44:51:770,705755,705755,0,0,34497445,0,3983 72,3,2024-09-07 09:44:51:763,1,559,6,0,564,8309,559,0 73,0,2024-09-07 09:44:51:158,139110,0.5,142618,0.6,291838,0.4,379191,2.00 73,1,2024-09-07 09:44:50:805,982335,982335,0,0,461535906486,4797097498,974798,6610,927,367,391858,0 73,2,2024-09-07 09:44:51:750,703789,703789,0,0,34555558,0,4728 73,3,2024-09-07 09:44:50:970,1,559,10,0,1091,7950,559,0 74,0,2024-09-07 09:44:51:327,144959,0.5,148432,0.7,283180,0.4,384062,2.00 74,1,2024-09-07 09:44:50:638,981040,981040,0,0,460626822892,4809534698,970010,9052,1978,381,391762,0 74,2,2024-09-07 09:44:51:001,705456,705456,0,0,30379007,0,4253 74,3,2024-09-07 09:44:51:443,1,559,8,0,522,6722,559,0 75,0,2024-09-07 09:44:51:763,138381,0.6,137457,0.8,276058,0.5,368994,2.25 75,1,2024-09-07 09:44:51:590,982801,982801,0,0,461119480757,4806367902,974642,7293,866,380,391739,0 75,2,2024-09-07 09:44:51:377,707931,707931,0,0,36984227,0,4766 75,3,2024-09-07 09:44:51:077,1,559,2,0,702,6520,559,0 76,0,2024-09-07 09:44:50:597,136353,0.6,135814,0.8,271862,0.5,363677,2.25 76,1,2024-09-07 09:44:50:818,981953,981953,0,0,459515141092,4791942057,975239,5746,968,382,391723,0 76,2,2024-09-07 09:44:51:080,710031,710028,3,0,30279337,0,5265 76,3,2024-09-07 09:44:51:168,1,559,3,0,227,5075,559,0 77,0,2024-09-07 09:44:51:707,141569,0.5,142192,0.7,284248,0.5,378211,2.00 77,1,2024-09-07 09:44:50:828,982039,982039,0,0,461603567766,4813481094,974909,6522,608,381,391869,0 77,2,2024-09-07 09:44:51:285,706263,706263,0,0,29707065,0,3890 77,3,2024-09-07 09:44:51:095,1,559,121,0,401,6206,559,0 78,0,2024-09-07 09:44:51:723,143073,0.4,142628,0.6,285885,0.4,378900,2.00 78,1,2024-09-07 09:44:50:617,982199,982199,0,0,460777451879,4806491780,970376,9156,2667,367,391670,0 78,2,2024-09-07 09:44:51:419,706284,706271,13,0,27513409,0,8313 78,3,2024-09-07 09:44:51:151,1,559,16,0,311,4769,559,0 79,0,2024-09-07 09:44:51:350,135136,0.4,138359,0.6,283652,0.3,368983,2.00 79,1,2024-09-07 09:44:50:572,985313,985313,0,0,462484419005,4789549081,977546,6409,1358,367,391682,0 79,2,2024-09-07 09:44:51:076,709666,709666,0,0,26721830,0,4195 79,3,2024-09-07 09:44:50:749,1,559,1,0,418,6583,559,0 80,0,2024-09-07 09:44:51:109,134902,0.5,138723,0.6,265088,0.4,359079,2.00 80,1,2024-09-07 09:44:51:620,982339,982339,0,0,461574280229,4802980518,976179,5728,432,368,392269,0 80,2,2024-09-07 09:44:51:091,710796,710796,0,0,27214872,0,4433 80,3,2024-09-07 09:44:50:581,1,559,1,0,681,7140,559,0 81,0,2024-09-07 09:44:51:615,141119,0.5,144386,0.7,275299,0.5,373982,2.00 81,1,2024-09-07 09:44:51:666,981060,981060,0,0,460309063142,4808875585,973613,6805,642,382,391885,0 81,2,2024-09-07 09:44:51:148,706408,706345,63,0,30588145,0,5932 81,3,2024-09-07 09:44:51:141,1,559,12,0,719,6415,559,0 82,0,2024-09-07 09:44:51:544,141958,0.4,142059,0.7,284870,0.4,377441,2.00 82,1,2024-09-07 09:44:50:583,984111,984107,0,4,462292744642,4803520720,978848,4437,822,381,391768,4 82,2,2024-09-07 09:44:51:711,705612,705612,0,0,25008119,0,4484 82,3,2024-09-07 09:44:51:757,1,559,15,0,363,5336,559,0 83,0,2024-09-07 09:44:51:539,141544,0.6,141567,0.7,282538,0.6,374964,2.25 83,1,2024-09-07 09:44:50:553,981912,981912,0,0,460446176486,4799167743,975012,6326,574,382,391709,0 83,2,2024-09-07 09:44:50:769,709137,709112,25,0,27465463,0,5612 83,3,2024-09-07 09:44:50:750,1,559,126,0,1260,6926,559,0 84,0,2024-09-07 09:44:51:773,134101,0.7,134367,0.9,268142,0.6,358391,2.25 84,1,2024-09-07 09:44:51:047,980610,980610,0,0,459709655702,4807493844,969176,9780,1654,367,391967,0 84,2,2024-09-07 09:44:50:571,709052,709022,30,0,36358291,0,5971 84,3,2024-09-07 09:44:51:150,1,559,1,0,908,7602,559,0 85,0,2024-09-07 09:44:51:037,134096,0.6,134098,0.8,284696,0.5,368784,2.25 85,1,2024-09-07 09:44:50:590,977828,977828,0,0,459781265370,4855428678,962520,12562,2746,381,392092,0 85,2,2024-09-07 09:44:50:874,705976,705976,0,0,33883442,0,4255 85,3,2024-09-07 09:44:50:711,1,559,6,0,789,6584,559,0 86,0,2024-09-07 09:44:50:886,142331,0.6,146303,0.8,279742,0.7,378563,2.25 86,1,2024-09-07 09:44:50:824,980073,980073,0,0,461074849256,4834661434,967141,10276,2656,366,391995,0 86,2,2024-09-07 09:44:50:863,702366,702365,1,0,35860007,0,5004 86,3,2024-09-07 09:44:50:594,1,559,5,0,308,8000,559,0 87,0,2024-09-07 09:44:51:377,143537,0.8,143082,0.8,286195,1.0,382152,2.25 87,1,2024-09-07 09:44:50:555,979495,979495,0,0,460178785867,4819771414,967013,10762,1720,366,392076,0 87,2,2024-09-07 09:44:51:085,705821,705815,6,0,32457833,0,6323 87,3,2024-09-07 09:44:51:818,1,559,13,0,473,8344,559,0 88,0,2024-09-07 09:44:51:447,137574,0.5,137991,0.6,275776,0.4,367146,1.75 88,1,2024-09-07 09:44:50:576,978957,978957,0,0,460067021943,4820336235,965376,10843,2738,365,392084,0 88,2,2024-09-07 09:44:50:697,708468,708468,0,0,36010396,0,4465 88,3,2024-09-07 09:44:51:291,1,559,2,0,1080,8530,559,0 89,0,2024-09-07 09:44:51:777,142073,0.4,137851,0.6,271955,0.4,369992,1.75 89,1,2024-09-07 09:44:50:553,978688,978688,0,0,459949228455,4839164360,966283,10472,1933,382,391866,0 89,2,2024-09-07 09:44:51:142,706601,706601,0,0,33411371,0,3173 89,3,2024-09-07 09:44:51:810,1,559,0,0,468,9510,559,0 90,0,2024-09-07 09:44:51:633,138530,0.5,142217,0.6,290046,0.4,378532,2.00 90,1,2024-09-07 09:44:50:599,980310,980310,0,0,460165007335,4820879494,970638,8785,887,380,391825,0 90,2,2024-09-07 09:44:51:413,702381,702381,0,0,35719538,0,3608 90,3,2024-09-07 09:44:50:937,1,559,1,0,322,6821,559,0 91,0,2024-09-07 09:44:50:970,143852,0.5,139504,0.6,291718,0.4,382984,1.75 91,1,2024-09-07 09:44:50:562,977642,977642,0,0,460355986405,4848357570,963587,11318,2737,381,392047,0 91,2,2024-09-07 09:44:51:336,705481,705481,0,0,31339997,0,4713 91,3,2024-09-07 09:44:50:610,1,559,0,0,216,5143,559,0 92,0,2024-09-07 09:44:51:468,140786,0.5,143990,0.6,274525,0.5,371856,1.75 92,1,2024-09-07 09:44:50:584,981660,981660,0,0,461008275279,4814644881,973907,6544,1209,381,392136,0 92,2,2024-09-07 09:44:51:351,709679,709679,0,0,28237361,0,3259 92,3,2024-09-07 09:44:51:013,1,559,2,0,167,4823,559,0 93,0,2024-09-07 09:44:50:958,136903,0.4,140347,0.6,267882,0.4,363806,1.75 93,1,2024-09-07 09:44:50:808,981872,981872,0,0,461019599351,4811393666,971189,8934,1749,366,391776,0 93,2,2024-09-07 09:44:50:934,707750,707750,0,0,34019933,0,4913 93,3,2024-09-07 09:44:51:407,1,559,0,0,190,5009,559,0 94,0,2024-09-07 09:44:51:617,140442,0.3,141485,0.5,282805,0.3,375547,1.75 94,1,2024-09-07 09:44:50:572,982518,982518,0,0,461240662027,4810317425,975861,6227,430,381,391850,0 94,2,2024-09-07 09:44:50:761,707593,707565,28,0,28968498,0,6179 94,3,2024-09-07 09:44:51:708,1,559,11,0,576,6922,559,0 95,0,2024-09-07 09:44:51:372,142072,0.3,142124,0.5,284458,0.3,379182,1.75 95,1,2024-09-07 09:44:50:862,983805,983805,0,0,461531301743,4796740503,975719,7385,701,365,391852,0 95,2,2024-09-07 09:44:51:016,703228,703228,0,0,27988753,0,3308 95,3,2024-09-07 09:44:51:714,1,559,1,0,718,8349,559,0 96,0,2024-09-07 09:44:51:085,141084,0.4,141377,0.5,282652,0.3,375602,1.75 96,1,2024-09-07 09:44:51:585,981703,981703,0,0,461122621481,4806408627,975257,5531,915,384,391964,0 96,2,2024-09-07 09:44:51:287,709685,709685,0,0,28843451,0,4180 96,3,2024-09-07 09:44:51:176,1,559,100,0,411,6122,559,0 97,0,2024-09-07 09:44:51:320,134580,0.3,134495,0.5,269971,0.3,358609,1.50 97,1,2024-09-07 09:44:50:772,983966,983966,0,0,462477827865,4794553538,978067,4952,947,367,392140,0 97,2,2024-09-07 09:44:50:607,710250,710250,0,0,28481319,0,3679 97,3,2024-09-07 09:44:50:571,1,559,12,0,242,6254,559,0 98,0,2024-09-07 09:44:51:702,139825,0.3,139961,0.4,280628,0.2,373326,1.50 98,1,2024-09-07 09:44:50:583,982934,982934,0,0,461566764274,4803413119,977347,4784,803,381,391997,0 98,2,2024-09-07 09:44:50:770,708141,708141,0,0,28156591,0,4336 98,3,2024-09-07 09:44:50:697,1,559,0,0,840,8536,559,0 99,0,2024-09-07 09:44:51:468,142508,0.3,143149,0.5,284831,0.3,379949,1.75 99,1,2024-09-07 09:44:51:723,983704,983704,0,0,461136000212,4797305865,977665,5110,929,380,392069,0 99,2,2024-09-07 09:44:51:424,703728,703728,0,0,33226906,0,4276 99,3,2024-09-07 09:44:50:585,1,559,2,0,606,5463,559,0 100,0,2024-09-07 09:44:51:511,142220,0.8,142434,0.9,284575,0.9,379851,2.50 100,1,2024-09-07 09:44:50:560,977705,977705,0,0,459325045436,4856211268,962359,12057,3289,378,391989,0 100,2,2024-09-07 09:44:51:827,705631,705620,11,0,33022928,0,5417 100,3,2024-09-07 09:44:51:736,1,559,7,0,627,9793,559,0 101,0,2024-09-07 09:44:51:732,139129,1.1,135665,1.0,265656,1.0,362793,2.25 101,1,2024-09-07 09:44:50:564,979373,979373,0,0,459723802659,4829856014,966318,10605,2450,368,391847,0 101,2,2024-09-07 09:44:51:755,705201,705201,0,0,37961782,0,4871 101,3,2024-09-07 09:44:50:943,1,559,2,0,1250,8619,559,0 102,0,2024-09-07 09:44:50:986,134891,0.6,139113,0.7,282216,0.6,369539,2.00 102,1,2024-09-07 09:44:51:303,979348,979348,0,0,459393419751,4824819558,966190,11006,2152,369,391984,0 102,2,2024-09-07 09:44:51:738,707625,707571,54,0,32207204,0,6768 102,3,2024-09-07 09:44:51:619,1,559,8,0,466,6432,559,0 103,0,2024-09-07 09:44:51:602,147399,0.6,147399,0.7,277739,0.6,382310,2.00 103,1,2024-09-07 09:44:51:632,977975,977975,0,0,459188593337,4850132481,962015,12372,3588,381,392077,0 103,2,2024-09-07 09:44:50:582,702262,702262,0,0,34161070,0,3766 103,3,2024-09-07 09:44:50:757,1,559,1,0,916,6917,559,0 104,0,2024-09-07 09:44:51:008,143020,0.8,143155,0.9,285586,0.7,382171,2.25 104,1,2024-09-07 09:44:51:614,980592,980592,0,0,459777746272,4831018621,966523,11480,2589,365,392168,0 104,2,2024-09-07 09:44:51:671,703753,703753,0,0,33377151,0,3941 104,3,2024-09-07 09:44:51:418,1,559,1,0,1245,10168,559,0 105,0,2024-09-07 09:44:51:030,136720,0.9,133222,1.1,279120,1.0,366936,2.75 105,1,2024-09-07 09:44:50:563,981908,981908,0,0,461462344912,4832968986,969778,10323,1807,364,392009,0 105,2,2024-09-07 09:44:51:339,707892,707892,0,0,34592178,0,4360 105,3,2024-09-07 09:44:51:318,1,559,573,0,573,8661,559,0 106,0,2024-09-07 09:44:50:951,132327,0.8,135557,0.9,277698,0.9,362499,2.50 106,1,2024-09-07 09:44:51:750,980440,980440,0,0,460496596790,4833335755,966728,11978,1734,368,391914,0 106,2,2024-09-07 09:44:50:761,706184,706184,0,0,31932640,0,2920 106,3,2024-09-07 09:44:50:684,1,559,8,0,1224,8169,559,0 107,0,2024-09-07 09:44:51:117,141873,0.9,141929,0.9,283957,1.1,379103,2.25 107,1,2024-09-07 09:44:50:591,978222,978222,0,0,459692930077,4852000162,963351,13144,1727,381,392234,0 107,2,2024-09-07 09:44:51:296,703682,703681,1,0,34702373,0,5024 107,3,2024-09-07 09:44:51:762,1,559,1,0,733,8797,559,0 108,0,2024-09-07 09:44:51:838,142557,0.4,143054,0.6,285060,0.4,380512,1.75 108,1,2024-09-07 09:44:51:302,981270,981270,0,0,461607771080,4815309088,972829,7464,977,367,391894,0 108,2,2024-09-07 09:44:51:798,704051,704051,0,0,30587907,0,4246 108,3,2024-09-07 09:44:51:339,1,559,4,0,749,10568,559,0 109,0,2024-09-07 09:44:51:830,140703,0.4,139479,0.6,279679,0.4,373372,1.75 109,1,2024-09-07 09:44:50:736,979119,979119,0,0,460356808395,4828410993,970492,7409,1218,382,392132,0 109,2,2024-09-07 09:44:50:963,707131,707131,0,0,31280893,0,3617 109,3,2024-09-07 09:44:51:153,1,559,19,0,630,7013,559,0 110,0,2024-09-07 09:44:51:763,134996,0.4,131323,0.6,275004,0.3,360534,1.75 110,1,2024-09-07 09:44:51:645,983271,983271,0,0,462115997499,4799187394,975377,5970,1924,368,392045,0 110,2,2024-09-07 09:44:51:318,709096,709096,0,0,29144279,0,4067 110,3,2024-09-07 09:44:50:696,1,559,51,0,722,7756,559,0 111,0,2024-09-07 09:44:51:424,141370,0.3,140495,0.5,281315,0.3,375352,1.75 111,1,2024-09-07 09:44:51:001,984550,984550,0,0,463475075956,4805355783,979680,4507,363,380,391690,0 111,2,2024-09-07 09:44:51:127,707536,707536,0,0,29576115,0,4823 111,3,2024-09-07 09:44:50:915,1,559,7,0,379,6235,559,0 112,0,2024-09-07 09:44:50:921,142872,0.3,142371,0.4,285420,0.2,378673,1.50 112,1,2024-09-07 09:44:50:837,983134,983134,0,0,461554242760,4791922348,976564,5485,1085,380,391624,0 112,2,2024-09-07 09:44:51:142,703619,703618,1,0,27467717,0,5036 112,3,2024-09-07 09:44:50:591,1,559,6,0,282,5298,559,0 113,0,2024-09-07 09:44:50:869,141751,0.3,141705,0.5,283707,0.2,377306,1.75 113,1,2024-09-07 09:44:51:711,985840,985840,0,0,463474603949,4780715191,980253,4712,875,365,391664,0 113,2,2024-09-07 09:44:51:309,711777,711777,0,0,25834846,0,3813 113,3,2024-09-07 09:44:50:696,1,559,0,0,510,6189,559,0 114,0,2024-09-07 09:44:50:879,135529,0.3,136523,0.5,271216,0.2,362388,1.75 114,1,2024-09-07 09:44:50:722,983601,983601,0,0,462255518473,4797952271,976386,5563,1652,381,391565,0 114,2,2024-09-07 09:44:50:878,711006,711005,1,0,27682065,0,5069 114,3,2024-09-07 09:44:51:282,1,559,9,0,395,4455,559,0 115,0,2024-09-07 09:44:50:556,139940,0.3,140421,0.4,279831,0.2,372805,1.50 115,1,2024-09-07 09:44:50:572,983964,983964,0,0,461916396246,4797057166,976477,6129,1358,382,391757,0 115,2,2024-09-07 09:44:51:128,710193,710193,0,0,27132560,0,4382 115,3,2024-09-07 09:44:51:002,1,559,0,0,167,3204,559,0 116,0,2024-09-07 09:44:51:737,142092,0.7,141742,0.8,284223,0.6,379751,2.00 116,1,2024-09-07 09:44:50:810,978758,978758,0,0,459618169562,4846757134,966449,9246,3063,380,392089,0 116,2,2024-09-07 09:44:51:751,702895,702895,0,0,34263485,0,4475 116,3,2024-09-07 09:44:50:915,1,559,26,0,448,7315,559,0 117,0,2024-09-07 09:44:50:953,143712,0.7,143037,0.8,286686,0.8,382879,2.00 117,1,2024-09-07 09:44:51:579,980299,980299,0,0,460083181878,4815507646,969657,9180,1462,369,392033,0 117,2,2024-09-07 09:44:51:123,708514,708514,0,0,30785585,0,4303 117,3,2024-09-07 09:44:51:062,1,559,5,0,490,7320,559,0 118,0,2024-09-07 09:44:51:776,133436,0.6,137059,0.7,279563,0.5,365625,2.00 118,1,2024-09-07 09:44:50:597,978704,978704,0,0,459650060668,4833708044,963763,11331,3610,366,392054,0 118,2,2024-09-07 09:44:51:617,708354,708354,0,0,33179719,0,2842 118,3,2024-09-07 09:44:51:764,1,559,3,0,248,6185,559,0 119,0,2024-09-07 09:44:51:351,137617,0.6,138273,0.7,275919,0.5,368231,2.00 119,1,2024-09-07 09:44:50:560,979898,979898,0,0,460551329071,4827673830,966625,10939,2334,367,391857,0 119,2,2024-09-07 09:44:51:279,708024,708024,0,0,31113420,0,4309 119,3,2024-09-07 09:44:51:334,1,559,10,0,1358,10774,559,0 120,0,2024-09-07 09:44:51:553,141836,0.7,141696,0.8,284517,0.7,379369,2.25 120,1,2024-09-07 09:44:50:863,981493,981493,0,0,460234044535,4822172012,971816,8896,781,367,392144,0 120,2,2024-09-07 09:44:50:773,704034,704033,1,0,36412405,0,5281 120,3,2024-09-07 09:44:51:304,1,559,13,0,279,7049,559,0 121,0,2024-09-07 09:44:51:707,143557,1.2,142928,1.0,286324,1.5,381902,2.25 121,1,2024-09-07 09:44:51:774,981028,981028,0,0,459997581642,4810374237,971157,9021,850,366,391840,0 121,2,2024-09-07 09:44:51:128,704310,704310,0,0,33414249,0,4157 121,3,2024-09-07 09:44:50:731,1,559,387,0,387,6989,559,0 122,0,2024-09-07 09:44:51:764,138647,0.8,134932,0.9,282722,1.0,371803,2.25 122,1,2024-09-07 09:44:50:863,979633,979633,0,0,460977059375,4838989183,965767,11534,2332,366,392130,0 122,2,2024-09-07 09:44:51:323,709414,709339,75,0,37659453,0,5989 122,3,2024-09-07 09:44:50:607,1,559,3,0,512,9290,559,0 123,0,2024-09-07 09:44:50:979,135720,0.8,132021,0.8,276370,0.8,362568,2.25 123,1,2024-09-07 09:44:50:608,979954,979954,0,0,460610663514,4846261817,963791,13507,2656,369,392039,0 123,2,2024-09-07 09:44:51:028,705589,705588,1,0,32093156,0,5215 123,3,2024-09-07 09:44:51:149,1,559,4,0,478,6479,559,0 124,0,2024-09-07 09:44:50:922,145157,0.3,145155,0.5,273578,0.3,376828,1.75 124,1,2024-09-07 09:44:51:030,983343,983343,0,0,461748568688,4798679018,976144,6063,1136,365,392178,0 124,2,2024-09-07 09:44:51:015,708884,708831,53,0,28923806,0,6487 124,3,2024-09-07 09:44:50:769,1,559,4,0,490,5703,559,0 125,0,2024-09-07 09:44:51:449,141893,0.4,141757,0.5,284610,0.3,379203,1.75 125,1,2024-09-07 09:44:50:855,980977,980977,0,0,460232675980,4803860978,973509,6382,1086,382,391813,0 125,2,2024-09-07 09:44:51:116,706205,706205,0,0,28639811,0,4534 125,3,2024-09-07 09:44:51:144,1,559,2,0,709,6524,559,0 126,0,2024-09-07 09:44:51:487,141288,0.4,145323,0.6,277992,0.4,376179,1.75 126,1,2024-09-07 09:44:50:594,984307,984307,0,0,461492362800,4776471594,979500,4494,313,365,391987,0 126,2,2024-09-07 09:44:50:619,709801,709801,0,0,29885204,0,4539 126,3,2024-09-07 09:44:50:917,1,559,2,0,268,6168,559,0 127,0,2024-09-07 09:44:51:600,134811,0.3,135109,0.5,269736,0.3,358838,1.75 127,1,2024-09-07 09:44:50:576,982512,982512,0,0,461318751892,4799188344,972792,8192,1528,364,392187,0 127,2,2024-09-07 09:44:50:648,708664,708660,4,0,28683853,0,5305 127,3,2024-09-07 09:44:51:274,1,559,4,0,968,5537,559,0 128,0,2024-09-07 09:44:51:532,140698,0.3,140680,0.4,280876,0.2,374047,1.50 128,1,2024-09-07 09:44:51:624,982524,982524,0,0,462329276291,4805789964,974840,6752,932,367,392031,0 128,2,2024-09-07 09:44:51:386,709178,709178,0,0,26496670,0,3171 128,3,2024-09-07 09:44:50:770,1,559,1,0,1082,8784,559,0 129,0,2024-09-07 09:44:50:988,143563,0.3,142912,0.5,286338,0.3,380286,1.50 129,1,2024-09-07 09:44:50:567,979351,979351,0,0,460818324141,4827461528,969744,7599,2008,379,391962,0 129,2,2024-09-07 09:44:50:688,704615,704611,4,0,28602497,0,5335 129,3,2024-09-07 09:44:50:690,1,559,5,0,506,7808,559,0 130,0,2024-09-07 09:44:51:722,143478,0.4,142880,0.6,286532,0.4,381171,1.75 130,1,2024-09-07 09:44:50:595,983531,983531,0,0,461589948470,4794529922,978355,4785,391,381,391825,0 130,2,2024-09-07 09:44:51:128,708944,708944,0,0,28609711,0,4067 130,3,2024-09-07 09:44:51:299,1,559,1,0,960,7630,559,0 131,0,2024-09-07 09:44:51:942,136286,0.4,136865,0.5,274138,0.3,363548,1.75 131,1,2024-09-07 09:44:51:838,982457,982457,0,0,461367274893,4809343146,975509,5755,1193,381,391865,0 131,2,2024-09-07 09:44:50:574,710513,710513,0,0,26921039,0,3979 131,3,2024-09-07 09:44:51:709,1,559,1,0,392,6841,559,0 132,0,2024-09-07 09:44:51:495,138965,0.5,139835,0.6,278313,0.4,370608,2.00 132,1,2024-09-07 09:44:50:583,978789,978789,0,0,459828775519,4839045568,964772,11377,2640,381,392532,0 132,2,2024-09-07 09:44:50:710,707634,707617,17,0,35102564,0,6451 132,3,2024-09-07 09:44:51:699,1,559,3,0,804,8972,559,0 133,0,2024-09-07 09:44:51:539,139235,0.4,142391,0.6,292043,0.4,379978,2.00 133,1,2024-09-07 09:44:50:585,978650,978650,0,0,460479385192,4850374731,965532,11410,1708,383,391914,0 133,2,2024-09-07 09:44:51:087,704743,704693,50,0,35401636,0,6861 133,3,2024-09-07 09:44:51:300,1,559,2,0,528,6911,559,0 134,0,2024-09-07 09:44:50:956,144270,0.5,144262,0.7,288905,0.5,384335,2.00 134,1,2024-09-07 09:44:50:618,980381,980381,0,0,460175995986,4822464397,968394,9832,2155,366,391781,0 134,2,2024-09-07 09:44:51:758,705740,705716,24,0,33114486,0,6207 134,3,2024-09-07 09:44:50:749,1,559,11,0,739,6586,559,0 135,0,2024-09-07 09:44:51:094,133819,0.9,133831,0.9,284163,1.1,366534,2.25 135,1,2024-09-07 09:44:51:585,979653,979653,0,0,460191235462,4836374135,967578,10504,1571,380,391805,0 135,2,2024-09-07 09:44:50:702,709700,709700,0,0,33842656,0,4503 135,3,2024-09-07 09:44:51:002,1,559,7,0,900,5504,559,0 136,0,2024-09-07 09:44:51:641,137472,0.6,137847,0.7,274303,0.5,365950,2.00 136,1,2024-09-07 09:44:51:448,980487,980487,0,0,461015556163,4832422240,969344,9777,1366,381,392135,0 136,2,2024-09-07 09:44:51:149,708226,708211,15,0,33707554,0,6007 136,3,2024-09-07 09:44:51:120,1,559,9,0,637,6725,559,0 137,0,2024-09-07 09:44:50:925,146666,0.6,142935,0.7,280148,0.6,380994,2.00 137,1,2024-09-07 09:44:50:581,979079,979079,0,0,460785183706,4832071465,963938,12091,3050,366,391898,0 137,2,2024-09-07 09:44:51:721,704615,704615,0,0,34606317,0,3185 137,3,2024-09-07 09:44:50:773,1,559,2,0,484,7270,559,0 138,0,2024-09-07 09:44:51:744,142060,0.8,142281,0.9,285110,0.8,378945,2.00 138,1,2024-09-07 09:44:51:693,980065,980065,0,0,460834423833,4834112193,966163,11663,2239,368,391954,0 138,2,2024-09-07 09:44:50:698,703519,703519,0,0,32038555,0,4988 138,3,2024-09-07 09:44:50:621,1,559,35,0,1200,8967,559,0 139,0,2024-09-07 09:44:51:392,138894,1.2,139377,1.0,278814,1.7,371652,2.25 139,1,2024-09-07 09:44:50:573,975921,975921,0,0,457545063381,4852551974,958668,13568,3685,380,392109,0 139,2,2024-09-07 09:44:50:714,704650,704650,0,0,36650060,0,3360 139,3,2024-09-07 09:44:51:662,1,559,1,0,432,6496,559,0 140,0,2024-09-07 09:44:51:629,135664,0.3,134827,0.5,270481,0.2,360791,1.75 140,1,2024-09-07 09:44:51:536,986064,986064,0,0,463283931393,4775509243,981292,4233,539,364,391606,0 140,2,2024-09-07 09:44:50:687,709235,709234,1,0,27235400,0,5036 140,3,2024-09-07 09:44:50:769,1,559,0,0,297,5079,559,0 141,0,2024-09-07 09:44:51:720,140996,0.3,144828,0.4,276651,0.2,374871,1.50 141,1,2024-09-07 09:44:50:862,983971,983971,0,0,462631913863,4806792441,976091,6701,1179,379,391614,0 141,2,2024-09-07 09:44:51:713,708010,707999,11,0,29099308,0,5369 141,3,2024-09-07 09:44:51:045,1,559,0,0,391,6305,559,0 142,0,2024-09-07 09:44:51:333,143012,0.3,141969,0.5,284594,0.2,379443,1.50 142,1,2024-09-07 09:44:50:589,982060,982060,0,0,461632491656,4810492010,975901,5671,488,382,392102,0 142,2,2024-09-07 09:44:51:301,702600,702568,32,0,29094504,0,6028 142,3,2024-09-07 09:44:51:748,1,559,67,0,484,5898,559,0 143,0,2024-09-07 09:44:51:405,141496,0.4,141588,0.6,284076,0.4,377186,1.75 143,1,2024-09-07 09:44:50:557,984537,984537,0,0,462343435253,4796494981,978236,5737,564,367,391722,0 143,2,2024-09-07 09:44:50:770,709847,709847,0,0,29142628,0,3123 143,3,2024-09-07 09:44:51:150,1,559,1,0,462,6932,559,0 144,0,2024-09-07 09:44:51:502,131140,0.6,134897,0.8,274463,0.6,359167,2.00 144,1,2024-09-07 09:44:50:578,979663,979663,0,0,459972564037,4823796250,970100,7593,1970,381,391733,0 144,2,2024-09-07 09:44:51:757,710349,710349,0,0,28203699,0,4443 144,3,2024-09-07 09:44:51:739,1,559,1,0,249,5515,559,0 145,0,2024-09-07 09:44:51:430,135061,0.5,135002,0.7,286656,0.5,369540,2.25 145,1,2024-09-07 09:44:50:570,979022,979022,0,0,459174825325,4833821030,965467,10894,2661,382,391759,0 145,2,2024-09-07 09:44:51:431,705965,705883,82,0,34043488,0,7814 145,3,2024-09-07 09:44:50:901,1,559,1,0,622,7669,559,0 146,0,2024-09-07 09:44:51:620,142159,0.6,141732,0.8,284640,0.6,378063,2.25 146,1,2024-09-07 09:44:51:617,979682,979682,0,0,460004778233,4842235775,962787,12429,4466,367,391770,0 146,2,2024-09-07 09:44:51:710,702100,702094,6,0,31931419,0,5151 146,3,2024-09-07 09:44:51:277,1,559,12,0,1520,9366,559,0 147,0,2024-09-07 09:44:51:727,143571,0.6,143255,0.7,286224,0.6,381971,2.25 147,1,2024-09-07 09:44:51:406,983538,983538,0,0,462299851583,4802592649,975777,6826,935,367,391791,0 147,2,2024-09-07 09:44:51:010,706517,706517,0,0,28415420,0,2968 147,3,2024-09-07 09:44:50:918,1,559,0,0,1626,8778,559,0 0,0,2024-09-07 09:45:01:710,138818,0.6,138817,0.7,294432,0.6,380502,2.00 0,1,2024-09-07 09:45:00:805,982287,982287,0,0,461573877918,4831885938,974186,7174,927,369,391896,0 0,2,2024-09-07 09:45:01:066,707147,707147,0,0,28546230,0,4480 0,3,2024-09-07 09:45:00:974,1,560,1,0,431,8097,560,0 1,0,2024-09-07 09:45:01:764,143586,0.9,142602,0.9,286817,1.1,383141,2.00 1,1,2024-09-07 09:45:00:574,980688,980688,0,0,460343845403,4821642961,971717,7496,1475,370,391859,0 1,2,2024-09-07 09:45:00:647,707055,707055,0,0,27851899,0,3380 1,3,2024-09-07 09:45:01:302,1,560,3,0,269,6842,560,0 2,0,2024-09-07 09:45:01:567,139235,0.6,138949,0.7,277953,0.6,370832,2.00 2,1,2024-09-07 09:45:00:882,984275,984275,0,0,462838820267,4809614217,978797,4546,932,379,391745,0 2,2,2024-09-07 09:45:01:268,710939,710939,0,0,27036303,0,3594 2,3,2024-09-07 09:45:00:700,1,560,7,0,357,4946,560,0 3,0,2024-09-07 09:45:01:745,136545,0.4,136498,0.6,272260,0.3,363833,2.00 3,1,2024-09-07 09:45:01:620,983342,983342,0,0,462172781263,4808069098,975819,6820,703,379,391716,0 3,2,2024-09-07 09:45:01:141,709887,709864,23,0,28085675,0,5851 3,3,2024-09-07 09:45:01:754,1,560,1,0,275,3755,560,0 4,0,2024-09-07 09:45:01:784,137143,0.4,140936,0.5,287364,0.3,375912,1.75 4,1,2024-09-07 09:45:00:595,979809,979809,0,0,458843701020,4859711686,962478,13623,3708,370,391992,0 4,2,2024-09-07 09:45:01:018,705207,705207,0,0,34224423,0,4534 4,3,2024-09-07 09:45:01:034,1,560,1,0,448,7008,560,0 5,0,2024-09-07 09:45:01:454,142515,0.4,142522,0.5,285356,0.3,379389,1.75 5,1,2024-09-07 09:45:00:764,980561,980561,0,0,461477115746,4858174892,966489,11259,2813,367,392005,0 5,2,2024-09-07 09:45:01:834,702684,702684,0,0,32788133,0,3582 5,3,2024-09-07 09:45:01:738,1,560,20,0,457,7535,560,0 6,0,2024-09-07 09:45:00:949,141473,0.5,141000,0.7,282156,0.4,375917,2.00 6,1,2024-09-07 09:45:00:754,982610,982610,0,0,461604785263,4821524283,972133,8955,1522,379,391702,0 6,2,2024-09-07 09:45:01:117,710472,710454,18,0,32167384,0,5535 6,3,2024-09-07 09:45:01:283,1,560,11,0,710,6946,560,0 7,0,2024-09-07 09:45:01:530,134279,0.5,135117,0.7,268961,0.5,358621,2.00 7,1,2024-09-07 09:45:00:852,981514,981514,0,0,461294790940,4843773987,967632,11478,2404,382,391747,0 7,2,2024-09-07 09:45:00:769,709474,709474,0,0,31101664,0,4791 7,3,2024-09-07 09:45:00:852,1,560,1,0,552,6341,560,0 8,0,2024-09-07 09:45:01:351,140728,0.3,140240,0.5,281434,0.3,375259,1.75 8,1,2024-09-07 09:45:01:016,979606,979606,0,0,461105736045,4851101882,963608,12458,3540,366,392853,0 8,2,2024-09-07 09:45:00:791,704009,704007,2,0,36941441,0,5112 8,3,2024-09-07 09:45:00:585,1,560,2,0,772,8955,560,0 9,0,2024-09-07 09:45:01:112,142278,0.4,138510,0.5,290104,0.3,380023,1.75 9,1,2024-09-07 09:45:00:555,979674,979674,0,0,460054849394,4849133300,964509,12179,2986,369,392001,0 9,2,2024-09-07 09:45:01:084,703327,703326,1,0,33479561,0,5281 9,3,2024-09-07 09:45:01:752,1,560,6,0,1273,9536,560,0 10,0,2024-09-07 09:45:01:603,142626,0.4,142138,0.5,285100,0.3,379752,1.75 10,1,2024-09-07 09:45:00:594,981460,981460,0,0,461309239939,4835585117,967686,11341,2433,381,391869,0 10,2,2024-09-07 09:45:00:768,709319,709319,0,0,36674788,0,4264 10,3,2024-09-07 09:45:00:872,1,560,8,0,669,6531,560,0 11,0,2024-09-07 09:45:01:011,136193,0.4,132049,0.6,276248,0.4,363431,1.75 11,1,2024-09-07 09:45:00:572,983259,983259,0,0,461584997983,4840278483,968987,10510,3762,383,391766,0 11,2,2024-09-07 09:45:01:123,709860,709860,0,0,32809056,0,4130 11,3,2024-09-07 09:45:01:299,1,560,4,0,843,7411,560,0 12,0,2024-09-07 09:45:00:970,140051,0.4,140055,0.5,279947,0.3,372238,1.75 12,1,2024-09-07 09:45:00:936,982519,982519,0,0,461097358490,4810348077,974380,7157,982,370,391960,0 12,2,2024-09-07 09:45:01:564,707998,707998,0,0,31881892,0,4390 12,3,2024-09-07 09:45:01:059,1,560,2,0,386,7222,560,0 13,0,2024-09-07 09:45:01:380,143764,0.4,143669,0.6,286525,0.4,381688,1.75 13,1,2024-09-07 09:45:01:527,980219,980219,0,0,460582454271,4841975478,969923,7990,2306,382,391768,0 13,2,2024-09-07 09:45:00:594,706649,706649,0,0,28221289,0,3287 13,3,2024-09-07 09:45:01:762,1,560,1,0,522,7658,560,0 14,0,2024-09-07 09:45:00:597,144176,0.4,145235,0.6,288201,0.4,383906,1.75 14,1,2024-09-07 09:45:01:562,987037,987037,0,0,463129297785,4792972383,979255,6811,971,364,391673,0 14,2,2024-09-07 09:45:00:768,708487,708457,30,0,29933086,0,6104 14,3,2024-09-07 09:45:01:116,1,560,1,0,1168,6290,560,0 15,0,2024-09-07 09:45:01:567,138202,0.4,137589,0.6,276088,0.4,368219,2.00 15,1,2024-09-07 09:45:01:608,983918,983918,0,0,461598635736,4810358241,974924,7081,1913,381,391619,0 15,2,2024-09-07 09:45:00:998,712863,712863,0,0,25573157,0,3622 15,3,2024-09-07 09:45:01:413,1,560,2,0,1126,7579,560,0 16,0,2024-09-07 09:45:00:945,137118,0.5,137837,0.7,275264,0.5,366209,2.00 16,1,2024-09-07 09:45:00:575,984468,984468,0,0,461995288412,4826450564,976005,7158,1305,370,392194,0 16,2,2024-09-07 09:45:01:476,708750,708750,0,0,29494114,0,4719 16,3,2024-09-07 09:45:01:142,1,560,6,0,358,7020,560,0 17,0,2024-09-07 09:45:01:772,147033,0.6,143374,0.8,280810,0.6,382148,2.00 17,1,2024-09-07 09:45:00:607,982339,982339,0,0,461368257980,4838375450,972639,7944,1756,368,392075,0 17,2,2024-09-07 09:45:01:666,709559,709558,1,0,30445568,0,5050 17,3,2024-09-07 09:45:00:581,1,560,4,0,518,8199,560,0 18,0,2024-09-07 09:45:00:951,141541,0.7,142428,0.8,283786,0.7,379306,2.25 18,1,2024-09-07 09:45:01:638,986467,986467,0,0,463024888675,4799933673,980143,5327,997,367,391725,0 18,2,2024-09-07 09:45:01:755,708768,708768,0,0,26768075,0,3541 18,3,2024-09-07 09:45:00:903,1,560,7,0,1059,5286,560,0 19,0,2024-09-07 09:45:01:552,139580,0.6,139832,0.8,278614,0.7,370511,2.00 19,1,2024-09-07 09:45:00:567,985887,985887,0,0,463798899048,4806286496,978622,6176,1089,367,391777,0 19,2,2024-09-07 09:45:01:752,713384,713384,0,0,25417710,0,3988 19,3,2024-09-07 09:45:01:129,1,560,1,0,524,4332,560,0 20,0,2024-09-07 09:45:01:414,135154,0.5,135331,0.7,270263,0.5,360426,2.00 20,1,2024-09-07 09:45:00:595,981666,981666,0,0,461634112289,4835975355,971523,8641,1502,369,391922,0 20,2,2024-09-07 09:45:00:928,708805,708805,0,0,31691247,0,4321 20,3,2024-09-07 09:45:00:594,1,560,3,0,468,9114,560,0 21,0,2024-09-07 09:45:01:155,141048,0.4,141242,0.6,282386,0.4,374998,1.75 21,1,2024-09-07 09:45:01:540,980373,980373,0,0,460472984002,4857585358,965262,11801,3310,368,392016,0 21,2,2024-09-07 09:45:01:067,705665,705645,20,0,35903784,0,5617 21,3,2024-09-07 09:45:01:404,1,560,1,0,713,7631,560,0 22,0,2024-09-07 09:45:01:718,142226,0.5,142801,0.6,284801,0.4,377922,2.00 22,1,2024-09-07 09:45:01:031,981272,981272,0,0,460163038882,4842545481,964920,13012,3340,382,391822,0 22,2,2024-09-07 09:45:00:761,703471,703445,26,0,29911081,0,6328 22,3,2024-09-07 09:45:01:066,1,560,16,0,228,4747,560,0 23,0,2024-09-07 09:45:01:368,141357,0.5,141030,0.7,282026,0.5,375853,2.25 23,1,2024-09-07 09:45:01:004,982702,982702,0,0,462086794686,4849218516,965846,12007,4849,365,391690,0 23,2,2024-09-07 09:45:01:094,710921,710921,0,0,29076001,0,3773 23,3,2024-09-07 09:45:01:753,1,560,0,0,855,8434,560,0 24,0,2024-09-07 09:45:00:867,136227,0.4,135515,0.6,272557,0.4,361585,1.75 24,1,2024-09-07 09:45:00:600,981313,981313,0,0,460754307217,4823082623,971954,7676,1683,367,392269,0 24,2,2024-09-07 09:45:01:071,709588,709588,0,0,35243939,0,4438 24,3,2024-09-07 09:45:01:698,1,560,11,0,468,6946,560,0 25,0,2024-09-07 09:45:01:382,143851,0.4,140091,0.6,274796,0.4,374682,1.75 25,1,2024-09-07 09:45:00:576,979895,979895,0,0,460239407845,4859606022,963205,13158,3532,369,391928,0 25,2,2024-09-07 09:45:01:607,706672,706672,0,0,36054839,0,3978 25,3,2024-09-07 09:45:01:003,1,560,1,0,532,6276,560,0 26,0,2024-09-07 09:45:01:739,141645,0.4,138693,0.6,290995,0.4,378595,2.00 26,1,2024-09-07 09:45:01:548,983779,983779,0,0,460885355169,4825170314,970365,10955,2459,380,391748,0 26,2,2024-09-07 09:45:00:883,704171,704171,0,0,37694875,0,4689 26,3,2024-09-07 09:45:01:727,1,560,17,0,796,6475,560,0 27,0,2024-09-07 09:45:01:726,143567,0.4,144267,0.6,286597,0.4,382064,2.25 27,1,2024-09-07 09:45:01:680,983879,983879,0,0,463071962501,4824167083,974495,8091,1293,381,391626,0 27,2,2024-09-07 09:45:00:881,705444,705379,65,0,33031592,0,5699 27,3,2024-09-07 09:45:01:016,1,560,1,0,564,4849,560,0 28,0,2024-09-07 09:45:01:402,138091,0.4,137842,0.6,276096,0.3,367804,2.00 28,1,2024-09-07 09:45:00:802,984988,984988,0,0,462169021809,4817722922,976637,6615,1736,382,391698,0 28,2,2024-09-07 09:45:01:766,711363,711363,0,0,29273479,0,2915 28,3,2024-09-07 09:45:01:776,1,560,19,0,502,5513,560,0 29,0,2024-09-07 09:45:01:356,142404,0.4,138636,0.6,272105,0.3,371065,1.75 29,1,2024-09-07 09:45:01:565,987786,987786,0,0,463690526551,4795382645,981810,5202,774,367,391809,0 29,2,2024-09-07 09:45:00:882,708610,708610,0,0,28095112,0,4986 29,3,2024-09-07 09:45:00:963,1,560,1,0,459,6299,560,0 30,0,2024-09-07 09:45:01:457,141966,0.5,138270,0.7,289731,0.5,378177,2.00 30,1,2024-09-07 09:45:00:574,986375,986375,0,0,463813881828,4811236731,979272,6198,905,380,391672,0 30,2,2024-09-07 09:45:01:274,706779,706779,0,0,26960265,0,4192 30,3,2024-09-07 09:45:00:589,1,560,8,0,519,5329,560,0 31,0,2024-09-07 09:45:01:760,143364,0.4,144118,0.6,287213,0.4,383664,2.00 31,1,2024-09-07 09:45:00:574,989388,989388,0,0,465162775384,4774657359,983757,4606,1025,356,391712,0 31,2,2024-09-07 09:45:01:279,706404,706404,0,0,29796754,0,4470 31,3,2024-09-07 09:45:01:707,1,560,1,0,239,4813,560,0 32,0,2024-09-07 09:45:01:431,139610,0.3,140385,0.5,279506,0.3,372304,1.75 32,1,2024-09-07 09:45:00:804,986098,986098,0,0,462967475189,4809951133,980236,5152,710,381,391646,0 32,2,2024-09-07 09:45:00:936,712424,712424,0,0,26459330,0,3922 32,3,2024-09-07 09:45:01:019,1,560,1,0,304,4471,560,0 33,0,2024-09-07 09:45:01:521,137154,0.3,136655,0.4,273767,0.2,364773,1.50 33,1,2024-09-07 09:45:00:575,986179,986179,0,0,463974748139,4803619919,978650,6353,1176,368,391730,0 33,2,2024-09-07 09:45:00:761,710325,710290,35,0,29027439,0,7012 33,3,2024-09-07 09:45:00:900,1,560,0,0,329,4996,560,0 34,0,2024-09-07 09:45:00:929,141579,0.3,145440,0.4,278123,0.2,375388,1.75 34,1,2024-09-07 09:45:01:050,988898,988898,0,0,464608804585,4776982195,986263,2613,22,367,391637,0 34,2,2024-09-07 09:45:00:766,709712,709712,0,0,27126974,0,4562 34,3,2024-09-07 09:45:01:696,1,560,8,0,320,4535,560,0 35,0,2024-09-07 09:45:00:871,141662,0.3,142405,0.5,285946,0.2,380143,1.75 35,1,2024-09-07 09:45:01:067,985152,985152,0,0,463246272877,4805029408,977953,5715,1484,382,391769,0 35,2,2024-09-07 09:45:01:597,705368,705368,0,0,30164969,0,4055 35,3,2024-09-07 09:45:00:907,1,560,29,0,466,5483,560,0 36,0,2024-09-07 09:45:01:528,141746,0.5,141552,0.7,282933,0.5,376684,2.00 36,1,2024-09-07 09:45:00:587,983800,983800,0,0,461258386086,4817434669,970895,10674,2231,366,391759,0 36,2,2024-09-07 09:45:01:751,710385,710385,0,0,31899634,0,3875 36,3,2024-09-07 09:45:00:882,1,560,1,0,416,7433,560,0 37,0,2024-09-07 09:45:01:382,134470,0.5,134428,0.7,269098,0.5,359231,2.25 37,1,2024-09-07 09:45:00:570,983132,983125,0,7,461190710246,4814445210,971527,8883,2715,365,391770,0 37,2,2024-09-07 09:45:01:147,707572,707557,15,0,32052125,0,5815 37,3,2024-09-07 09:45:01:766,1,560,91,0,888,7624,560,0 38,0,2024-09-07 09:45:01:435,139884,0.4,135724,0.6,283797,0.3,372278,2.00 38,1,2024-09-07 09:45:01:605,983814,983814,0,0,462099687811,4829433359,969934,11308,2572,368,391821,0 38,2,2024-09-07 09:45:00:761,708347,708300,47,0,31974730,0,6710 38,3,2024-09-07 09:45:00:997,1,560,9,0,689,6968,560,0 39,0,2024-09-07 09:45:01:760,145558,0.5,142275,0.7,277452,0.5,378848,2.00 39,1,2024-09-07 09:45:00:722,982491,982491,0,0,461290595628,4837317270,965880,12915,3696,365,391865,0 39,2,2024-09-07 09:45:01:420,704954,704954,0,0,29311713,0,3391 39,3,2024-09-07 09:45:00:721,1,560,1,0,525,6518,560,0 40,0,2024-09-07 09:45:01:526,141343,0.9,141966,1.0,283293,1.0,377793,2.75 40,1,2024-09-07 09:45:00:576,983505,983505,0,0,460892652020,4828077400,969136,11590,2779,368,391668,0 40,2,2024-09-07 09:45:01:303,707412,707411,1,0,35583135,0,5137 40,3,2024-09-07 09:45:01:145,1,560,1,0,1028,8225,560,0 41,0,2024-09-07 09:45:01:026,135621,1.2,138663,1.1,264400,1.7,359041,3.00 41,1,2024-09-07 09:45:00:768,982755,982755,0,0,460725753268,4821011713,970047,10642,2066,369,391878,0 41,2,2024-09-07 09:45:00:761,707615,707614,1,0,34491314,0,5408 41,3,2024-09-07 09:45:01:691,1,560,1,0,366,6033,560,0 42,0,2024-09-07 09:45:01:491,138523,0.9,138297,1.0,276181,1.0,367173,2.75 42,1,2024-09-07 09:45:01:440,980220,980220,0,0,460497802184,4841053873,964545,12747,2928,380,391675,0 42,2,2024-09-07 09:45:01:137,707524,707524,0,0,34244995,0,3975 42,3,2024-09-07 09:45:01:019,1,560,275,0,892,5380,560,0 43,0,2024-09-07 09:45:00:920,141268,0.7,137537,0.9,288235,0.8,376658,2.25 43,1,2024-09-07 09:45:00:576,983158,983158,0,0,461763816081,4825646475,969424,11335,2399,366,391696,0 43,2,2024-09-07 09:45:01:739,705354,705354,0,0,32681885,0,4723 43,3,2024-09-07 09:45:01:750,1,560,1,0,571,8088,560,0 44,0,2024-09-07 09:45:00:866,144508,0.4,144382,0.6,289199,0.4,384436,1.75 44,1,2024-09-07 09:45:00:568,986172,986172,0,0,462876982676,4783676224,977775,6712,1685,356,391809,0 44,2,2024-09-07 09:45:01:275,705993,705993,0,0,26913147,0,4344 44,3,2024-09-07 09:45:01:096,1,560,1,0,1097,7155,560,0 45,0,2024-09-07 09:45:01:774,136822,0.5,133621,0.7,280493,0.5,368352,2.00 45,1,2024-09-07 09:45:01:005,984889,984889,0,0,462630834619,4807678443,977081,6915,893,382,391917,0 45,2,2024-09-07 09:45:01:268,711673,711673,0,0,27357753,0,3596 45,3,2024-09-07 09:45:00:935,1,560,1,0,531,5629,560,0 46,0,2024-09-07 09:45:00:950,136630,0.5,136296,0.7,273259,0.5,363055,2.00 46,1,2024-09-07 09:45:00:575,986819,986819,0,0,464001736432,4795666245,979835,6120,864,366,391709,0 46,2,2024-09-07 09:45:00:595,709925,709925,0,0,27687608,0,4443 46,3,2024-09-07 09:45:01:133,1,560,12,0,908,6922,560,0 47,0,2024-09-07 09:45:01:101,142924,0.4,142952,0.6,286869,0.4,380831,2.00 47,1,2024-09-07 09:45:00:567,988112,988112,0,0,463380477646,4785863293,982671,4601,840,365,391641,0 47,2,2024-09-07 09:45:00:914,710497,710497,0,0,27131834,0,4477 47,3,2024-09-07 09:45:01:117,1,560,0,0,600,6263,560,0 48,0,2024-09-07 09:45:01:511,143241,0.3,143475,0.4,286310,0.2,381067,1.50 48,1,2024-09-07 09:45:01:022,985554,985554,0,0,462384903234,4801838109,979618,5360,576,384,391710,0 48,2,2024-09-07 09:45:00:701,706865,706865,0,0,25149818,0,3524 48,3,2024-09-07 09:45:00:753,1,560,59,0,339,4656,560,0 49,0,2024-09-07 09:45:01:724,143904,0.3,141164,0.5,274568,0.3,374718,1.75 49,1,2024-09-07 09:45:01:031,984857,984857,0,0,462516881116,4807478712,978706,4775,1376,382,391809,0 49,2,2024-09-07 09:45:01:804,711948,711948,0,0,27614204,0,4426 49,3,2024-09-07 09:45:01:429,1,560,6,0,992,6531,560,0 50,0,2024-09-07 09:45:01:507,136072,0.3,134265,0.5,270474,0.2,360201,1.75 50,1,2024-09-07 09:45:01:010,987939,987939,0,0,463818617927,4793188473,981867,5404,668,368,391565,0 50,2,2024-09-07 09:45:01:067,708879,708879,0,0,25311939,0,4490 50,3,2024-09-07 09:45:01:298,1,560,7,0,617,5828,560,0 51,0,2024-09-07 09:45:01:698,144874,0.3,141870,0.4,276071,0.2,376724,1.50 51,1,2024-09-07 09:45:01:682,988725,988725,0,0,464377871505,4788234775,983413,4232,1080,365,391706,0 51,2,2024-09-07 09:45:01:324,709117,709117,0,0,24991858,0,3337 51,3,2024-09-07 09:45:01:031,1,560,4,0,678,4012,560,0 52,0,2024-09-07 09:45:01:430,142780,0.5,142409,0.6,285058,0.4,378763,2.00 52,1,2024-09-07 09:45:00:575,983567,983567,0,0,462262728506,4838689512,969296,12056,2215,368,391805,0 52,2,2024-09-07 09:45:01:755,701408,701370,38,0,33197391,0,6742 52,3,2024-09-07 09:45:00:674,1,560,0,0,1782,6953,560,0 53,0,2024-09-07 09:45:01:734,140984,0.7,136775,0.8,286195,0.7,375102,2.50 53,1,2024-09-07 09:45:00:770,981961,981961,0,0,461615069729,4844089926,965129,12676,4156,367,391968,0 53,2,2024-09-07 09:45:01:312,710006,710005,1,0,30208021,0,5455 53,3,2024-09-07 09:45:00:700,1,560,1,0,308,5241,560,0 54,0,2024-09-07 09:45:01:615,133457,0.6,133850,0.8,266523,0.4,355521,2.25 54,1,2024-09-07 09:45:00:584,983983,983983,0,0,462228007716,4807859637,973362,8959,1662,366,391810,0 54,2,2024-09-07 09:45:00:883,710696,710664,32,0,33950118,0,6397 54,3,2024-09-07 09:45:00:767,1,560,8,0,676,7533,560,0 55,0,2024-09-07 09:45:01:766,135088,0.6,139354,0.7,282556,0.5,369010,2.50 55,1,2024-09-07 09:45:00:763,985351,985351,0,0,461587102359,4801747571,974944,9022,1385,365,391731,0 55,2,2024-09-07 09:45:00:731,706981,706925,56,0,32022297,0,7239 55,3,2024-09-07 09:45:00:677,1,560,133,0,304,5247,560,0 56,0,2024-09-07 09:45:01:591,145337,1.2,137049,1.1,282204,1.5,378038,2.75 56,1,2024-09-07 09:45:00:577,979369,979369,0,0,460525673474,4868813139,962747,13153,3469,381,391867,0 56,2,2024-09-07 09:45:01:304,703741,703619,122,0,33255601,0,7432 56,3,2024-09-07 09:45:01:059,1,560,12,0,705,6614,560,0 57,0,2024-09-07 09:45:00:939,141733,1.5,141727,1.2,283516,2.1,378822,3.25 57,1,2024-09-07 09:45:00:998,981399,981399,0,0,461112437550,4840439714,968161,10906,2332,366,392032,0 57,2,2024-09-07 09:45:01:327,708045,708045,0,0,34714999,0,4317 57,3,2024-09-07 09:45:01:738,1,560,2,0,455,6474,560,0 58,0,2024-09-07 09:45:00:556,136019,0.9,131910,1.0,276019,1.1,361353,2.50 58,1,2024-09-07 09:45:00:575,982624,982621,0,3,461734379244,4840937448,967588,11341,3692,367,391675,3 58,2,2024-09-07 09:45:01:072,710752,710752,0,0,32947570,0,3483 58,3,2024-09-07 09:45:01:073,1,560,7,0,1043,6375,560,0 59,0,2024-09-07 09:45:01:740,137871,0.8,137677,0.9,274878,0.8,365195,2.75 59,1,2024-09-07 09:45:00:806,981494,981494,0,0,461197467232,4845378983,965301,12862,3331,369,391653,0 59,2,2024-09-07 09:45:00:583,708448,708448,0,0,31413863,0,3727 59,3,2024-09-07 09:45:01:737,1,560,1,0,1015,6892,560,0 60,0,2024-09-07 09:45:01:711,142722,0.5,143092,0.7,285858,0.5,380469,1.75 60,1,2024-09-07 09:45:00:783,986574,986574,0,0,463421754977,4812706630,979524,6055,995,370,392031,0 60,2,2024-09-07 09:45:01:146,706517,706517,0,0,29195724,0,3811 60,3,2024-09-07 09:45:01:262,1,560,2,0,409,6553,560,0 61,0,2024-09-07 09:45:01:492,143486,0.6,144103,0.8,286891,0.6,383155,2.00 61,1,2024-09-07 09:45:00:773,982712,982712,0,0,461746281625,4834809093,972068,8984,1660,382,392127,0 61,2,2024-09-07 09:45:01:117,706951,706884,67,0,30215419,0,6411 61,3,2024-09-07 09:45:01:691,1,560,0,0,607,7665,560,0 62,0,2024-09-07 09:45:01:706,139881,0.6,143522,0.8,274067,0.6,372164,2.00 62,1,2024-09-07 09:45:01:111,988720,988714,0,6,464723793814,4789563348,984160,4271,283,365,391975,6 62,2,2024-09-07 09:45:01:660,709319,709318,1,0,30131517,0,5555 62,3,2024-09-07 09:45:01:145,1,560,25,0,482,4498,560,0 63,0,2024-09-07 09:45:01:476,137159,0.4,136923,0.6,274548,0.4,365113,1.75 63,1,2024-09-07 09:45:00:805,985754,985748,0,6,463340711728,4810124174,979459,5448,841,381,391800,6 63,2,2024-09-07 09:45:00:761,709687,709687,0,0,28581736,0,4369 63,3,2024-09-07 09:45:01:733,1,560,10,0,667,6049,560,0 64,0,2024-09-07 09:45:01:533,140943,0.4,141011,0.6,281414,0.4,374518,1.75 64,1,2024-09-07 09:45:00:759,984435,984435,0,0,463156563595,4821634283,975852,6767,1816,370,391794,0 64,2,2024-09-07 09:45:01:146,712375,712356,19,0,27606128,0,6121 64,3,2024-09-07 09:45:01:145,1,560,49,0,651,5848,560,0 65,0,2024-09-07 09:45:01:674,141428,0.6,141597,0.7,282912,0.6,377533,2.00 65,1,2024-09-07 09:45:00:887,982955,982955,0,0,461209412996,4817627714,976127,6027,801,381,391901,0 65,2,2024-09-07 09:45:01:698,704863,704863,0,0,32276774,0,3367 65,3,2024-09-07 09:45:01:683,1,560,6,0,782,6443,560,0 66,0,2024-09-07 09:45:01:765,140886,0.6,140310,0.8,280788,0.5,373819,2.25 66,1,2024-09-07 09:45:01:298,985416,985416,0,0,461990968597,4806558039,979174,5520,722,380,391743,0 66,2,2024-09-07 09:45:01:135,712721,712718,3,0,29368070,0,5455 66,3,2024-09-07 09:45:01:082,1,560,1,0,291,4756,560,0 67,0,2024-09-07 09:45:01:422,134840,0.5,134575,0.7,269839,0.5,359389,2.00 67,1,2024-09-07 09:45:00:768,984923,984922,0,1,462313812974,4809438331,978626,5480,816,380,391787,1 67,2,2024-09-07 09:45:00:584,712328,712313,15,0,29268117,0,6205 67,3,2024-09-07 09:45:01:749,1,560,2,0,595,5998,560,0 68,0,2024-09-07 09:45:00:827,140653,0.5,140471,0.7,279756,0.5,374651,2.00 68,1,2024-09-07 09:45:00:591,980724,980724,0,0,460371746551,4840224061,967753,9512,3459,381,391953,0 68,2,2024-09-07 09:45:01:060,704947,704847,100,0,37107867,0,8578 68,3,2024-09-07 09:45:00:734,1,560,8,0,417,7309,560,0 69,0,2024-09-07 09:45:01:726,141744,0.7,142575,0.8,284147,0.7,377395,2.25 69,1,2024-09-07 09:45:01:017,979999,979999,0,0,460511561541,4851993920,967225,10010,2764,383,391994,0 69,2,2024-09-07 09:45:01:740,702582,702553,29,0,38215641,0,6912 69,3,2024-09-07 09:45:00:760,1,560,1,0,698,8324,560,0 70,0,2024-09-07 09:45:01:540,141062,0.8,141495,1.0,284601,0.7,376671,2.50 70,1,2024-09-07 09:45:00:811,985944,985944,0,0,463137577780,4805116063,978306,6875,763,366,391725,0 70,2,2024-09-07 09:45:01:325,709324,709324,0,0,32109396,0,4323 70,3,2024-09-07 09:45:00:746,1,560,13,0,854,6398,560,0 71,0,2024-09-07 09:45:01:384,134904,1.0,134718,1.1,270411,1.3,360526,2.75 71,1,2024-09-07 09:45:01:602,983908,983908,0,0,462139661426,4821717931,972019,10540,1349,368,391738,0 71,2,2024-09-07 09:45:01:067,710012,710012,0,0,32973175,0,4352 71,3,2024-09-07 09:45:01:750,1,560,9,0,644,6513,560,0 72,0,2024-09-07 09:45:01:046,143702,0.5,140352,0.7,274076,0.5,372792,2.00 72,1,2024-09-07 09:45:01:052,982070,982070,0,0,461041070144,4837047961,967901,11775,2394,369,391819,0 72,2,2024-09-07 09:45:01:759,707028,707028,0,0,34547156,0,3983 72,3,2024-09-07 09:45:01:756,1,560,1,0,564,8310,560,0 73,0,2024-09-07 09:45:01:144,139205,0.5,142714,0.6,292026,0.4,379424,2.00 73,1,2024-09-07 09:45:00:787,984254,984254,0,0,462306720318,4805324941,976717,6610,927,367,391858,0 73,2,2024-09-07 09:45:01:740,704750,704750,0,0,34582796,0,4728 73,3,2024-09-07 09:45:00:976,1,560,93,0,1091,8043,560,0 74,0,2024-09-07 09:45:01:325,145165,0.5,148647,0.7,283609,0.4,384662,2.00 74,1,2024-09-07 09:45:00:636,982790,982790,0,0,461358483397,4817066127,971760,9052,1978,381,391762,0 74,2,2024-09-07 09:45:01:004,706804,706804,0,0,30456871,0,4253 74,3,2024-09-07 09:45:01:461,1,560,8,0,522,6730,560,0 75,0,2024-09-07 09:45:01:763,138736,0.6,137775,0.8,276740,0.5,369866,2.25 75,1,2024-09-07 09:45:01:624,984571,984571,0,0,461842108909,4813798073,976412,7293,866,380,391739,0 75,2,2024-09-07 09:45:01:350,709385,709385,0,0,37077069,0,4766 75,3,2024-09-07 09:45:01:067,1,560,8,0,702,6528,560,0 76,0,2024-09-07 09:45:00:579,136625,0.6,136107,0.8,272392,0.5,364363,2.25 76,1,2024-09-07 09:45:00:805,983720,983720,0,0,460435084292,4801520124,977002,5750,968,382,391723,0 76,2,2024-09-07 09:45:01:062,711442,711439,3,0,30389454,0,5265 76,3,2024-09-07 09:45:01:145,1,560,9,0,227,5084,560,0 77,0,2024-09-07 09:45:01:708,142151,0.5,142725,0.7,285311,0.5,379631,2.00 77,1,2024-09-07 09:45:00:824,983766,983766,0,0,462386277077,4821636976,976636,6522,608,381,391869,0 77,2,2024-09-07 09:45:01:293,706982,706982,0,0,29739764,0,3890 77,3,2024-09-07 09:45:01:094,1,560,1,0,401,6207,560,0 78,0,2024-09-07 09:45:01:716,143398,0.4,142929,0.6,286505,0.4,379709,2.00 78,1,2024-09-07 09:45:00:615,983931,983931,0,0,461392558786,4812960795,972108,9156,2667,367,391670,0 78,2,2024-09-07 09:45:01:405,707686,707673,13,0,27768246,0,8313 78,3,2024-09-07 09:45:01:133,1,560,11,0,311,4780,560,0 79,0,2024-09-07 09:45:01:374,135288,0.4,138521,0.6,283987,0.3,369378,2.00 79,1,2024-09-07 09:45:00:580,987111,987111,0,0,463357205132,4798642964,979344,6409,1358,367,391682,0 79,2,2024-09-07 09:45:01:074,711230,711230,0,0,26819675,0,4195 79,3,2024-09-07 09:45:00:752,1,560,10,0,418,6593,560,0 80,0,2024-09-07 09:45:01:107,135120,0.5,138946,0.6,265586,0.4,359706,2.00 80,1,2024-09-07 09:45:01:619,984113,984113,0,0,462494834255,4812516809,977953,5728,432,368,392269,0 80,2,2024-09-07 09:45:01:094,711873,711873,0,0,27252389,0,4433 80,3,2024-09-07 09:45:00:584,1,560,10,0,681,7150,560,0 81,0,2024-09-07 09:45:01:537,141469,0.5,144783,0.7,276053,0.5,375017,2.00 81,1,2024-09-07 09:45:01:650,982815,982815,0,0,460962903683,4815720865,975365,6808,642,382,391885,0 81,2,2024-09-07 09:45:01:128,707429,707366,63,0,30619541,0,5932 81,3,2024-09-07 09:45:01:122,1,560,1,0,719,6416,560,0 82,0,2024-09-07 09:45:01:548,142137,0.4,142243,0.7,285196,0.4,377903,2.00 82,1,2024-09-07 09:45:00:584,985838,985834,0,4,463001647737,4810933632,980575,4437,822,381,391768,4 82,2,2024-09-07 09:45:01:695,707099,707099,0,0,25091921,0,4484 82,3,2024-09-07 09:45:01:752,1,560,9,0,363,5345,560,0 83,0,2024-09-07 09:45:01:534,141638,0.6,141670,0.7,282741,0.6,375222,2.25 83,1,2024-09-07 09:45:00:554,983718,983718,0,0,461388641001,4808911155,976817,6327,574,382,391709,0 83,2,2024-09-07 09:45:00:764,710513,710488,25,0,27525699,0,5612 83,3,2024-09-07 09:45:00:753,1,560,7,0,1260,6933,560,0 84,0,2024-09-07 09:45:01:765,134205,0.7,134494,0.9,268383,0.6,358741,2.25 84,1,2024-09-07 09:45:01:039,982422,982422,0,0,460319877291,4814037309,970976,9792,1654,367,391967,0 84,2,2024-09-07 09:45:00:585,710059,710029,30,0,36388894,0,5971 84,3,2024-09-07 09:45:01:146,1,560,1,0,908,7603,560,0 85,0,2024-09-07 09:45:01:027,134604,0.6,134600,0.8,285700,0.5,370091,2.25 85,1,2024-09-07 09:45:00:582,979618,979618,0,0,460482859207,4862941104,964302,12570,2746,381,392092,0 85,2,2024-09-07 09:45:00:873,707366,707366,0,0,33926758,0,4255 85,3,2024-09-07 09:45:00:686,1,560,0,0,789,6584,560,0 86,0,2024-09-07 09:45:00:880,142439,0.6,146424,0.8,279952,0.7,378843,2.25 86,1,2024-09-07 09:45:00:829,981771,981771,0,0,462110197555,4845197826,968838,10277,2656,366,391995,0 86,2,2024-09-07 09:45:00:861,703587,703586,1,0,35922010,0,5004 86,3,2024-09-07 09:45:00:586,1,560,8,0,308,8008,560,0 87,0,2024-09-07 09:45:01:287,143616,0.8,143180,0.8,286391,1.0,382400,2.25 87,1,2024-09-07 09:45:00:591,981215,981215,0,0,460957703043,4828500251,968660,10835,1720,366,392076,0 87,2,2024-09-07 09:45:01:074,706974,706968,6,0,32572998,0,6323 87,3,2024-09-07 09:45:01:794,1,560,0,0,473,8344,560,0 88,0,2024-09-07 09:45:01:441,137760,0.5,138177,0.6,276195,0.4,367654,1.75 88,1,2024-09-07 09:45:00:571,980715,980715,0,0,460903287663,4828863341,967134,10843,2738,365,392084,0 88,2,2024-09-07 09:45:00:687,709781,709781,0,0,36093480,0,4465 88,3,2024-09-07 09:45:01:278,1,560,1,0,1080,8531,560,0 89,0,2024-09-07 09:45:01:770,142526,0.4,138276,0.6,272733,0.4,371201,1.75 89,1,2024-09-07 09:45:00:559,980404,980404,0,0,460932921077,4849381946,967997,10474,1933,382,391866,0 89,2,2024-09-07 09:45:01:139,708008,708008,0,0,33453865,0,3173 89,3,2024-09-07 09:45:01:791,1,560,1,0,468,9511,560,0 90,0,2024-09-07 09:45:01:613,138627,0.5,142338,0.6,290281,0.4,378806,2.00 90,1,2024-09-07 09:45:00:590,982089,982089,0,0,461072280319,4830297364,972417,8785,887,380,391825,0 90,2,2024-09-07 09:45:01:406,703093,703093,0,0,35746854,0,3608 90,3,2024-09-07 09:45:00:930,1,560,9,0,322,6830,560,0 91,0,2024-09-07 09:45:00:931,144124,0.5,139812,0.6,292322,0.4,383776,1.75 91,1,2024-09-07 09:45:00:557,979428,979428,0,0,461216958804,4857204185,965373,11318,2737,381,392047,0 91,2,2024-09-07 09:45:01:338,706893,706893,0,0,31480995,0,4713 91,3,2024-09-07 09:45:00:603,1,560,1,0,216,5144,560,0 92,0,2024-09-07 09:45:01:444,141011,0.5,144208,0.6,274936,0.5,372427,1.75 92,1,2024-09-07 09:45:00:582,983402,983402,0,0,461926875700,4824109370,975649,6544,1209,381,392136,0 92,2,2024-09-07 09:45:01:360,711113,711113,0,0,28330336,0,3259 92,3,2024-09-07 09:45:01:018,1,560,0,0,167,4823,560,0 93,0,2024-09-07 09:45:00:974,137366,0.4,140851,0.6,268822,0.4,365076,1.75 93,1,2024-09-07 09:45:00:808,983686,983686,0,0,461866343219,4820270455,973002,8935,1749,366,391776,0 93,2,2024-09-07 09:45:00:933,709008,709008,0,0,34056229,0,4913 93,3,2024-09-07 09:45:01:411,1,560,115,0,190,5124,560,0 94,0,2024-09-07 09:45:01:653,140707,0.3,141744,0.5,283374,0.3,376243,1.75 94,1,2024-09-07 09:45:00:577,984278,984278,0,0,462136436639,4819545398,977621,6227,430,381,391850,0 94,2,2024-09-07 09:45:00:771,708522,708494,28,0,29010156,0,6179 94,3,2024-09-07 09:45:01:688,1,560,7,0,576,6929,560,0 95,0,2024-09-07 09:45:01:356,142410,0.3,142441,0.5,285116,0.3,380120,1.75 95,1,2024-09-07 09:45:00:855,985628,985628,0,0,462431958788,4806056834,977542,7385,701,365,391852,0 95,2,2024-09-07 09:45:01:023,704660,704660,0,0,28051127,0,3308 95,3,2024-09-07 09:45:01:710,1,560,1,0,718,8350,560,0 96,0,2024-09-07 09:45:01:040,141258,0.4,141542,0.5,283007,0.3,376071,1.75 96,1,2024-09-07 09:45:01:620,983229,983229,0,0,461830260489,4816643634,976151,5807,1271,384,391964,0 96,2,2024-09-07 09:45:01:274,711001,711001,0,0,28906476,0,4180 96,3,2024-09-07 09:45:01:142,1,560,323,0,411,6445,560,0 97,0,2024-09-07 09:45:01:314,134901,0.3,134852,0.5,270640,0.3,359452,1.50 97,1,2024-09-07 09:45:00:793,985653,985653,0,0,463180820546,4801904497,979754,4952,947,367,392140,0 97,2,2024-09-07 09:45:00:607,711363,711363,0,0,28950038,0,4046 97,3,2024-09-07 09:45:00:571,1,560,4,0,242,6258,560,0 98,0,2024-09-07 09:45:01:704,140278,0.3,140390,0.4,281466,0.2,374459,1.50 98,1,2024-09-07 09:45:00:577,984619,984619,0,0,462331806349,4811373349,979032,4784,803,381,391997,0 98,2,2024-09-07 09:45:00:769,709388,709388,0,0,28197382,0,4336 98,3,2024-09-07 09:45:00:711,1,560,10,0,840,8546,560,0 99,0,2024-09-07 09:45:01:461,142643,0.3,143273,0.5,285077,0.3,380255,1.75 99,1,2024-09-07 09:45:01:723,985455,985455,0,0,462059478936,4806837879,979415,5111,929,380,392069,0 99,2,2024-09-07 09:45:01:427,705090,705090,0,0,33294342,0,4276 99,3,2024-09-07 09:45:00:584,1,560,1,0,606,5464,560,0 100,0,2024-09-07 09:45:01:458,142333,0.8,142557,0.9,284825,0.9,380172,2.50 100,1,2024-09-07 09:45:00:569,979459,979459,0,0,460032819487,4863984872,964104,12066,3289,378,391989,0 100,2,2024-09-07 09:45:01:818,706749,706738,11,0,33078550,0,5417 100,3,2024-09-07 09:45:01:739,1,560,6,0,627,9799,560,0 101,0,2024-09-07 09:45:01:701,139137,1.1,135679,1.0,265671,1.0,362793,2.25 101,1,2024-09-07 09:45:00:564,981168,981168,0,0,460725997756,4840182516,968113,10605,2450,368,391847,0 101,2,2024-09-07 09:45:01:756,706589,706589,0,0,37988300,0,4871 101,3,2024-09-07 09:45:00:942,1,560,5,0,1250,8624,560,0 102,0,2024-09-07 09:45:00:953,135260,0.6,139462,0.7,282901,0.6,370449,2.00 102,1,2024-09-07 09:45:01:143,981141,981141,0,0,460313636350,4834326993,967983,11006,2152,369,391984,0 102,2,2024-09-07 09:45:01:738,709004,708950,54,0,32289435,0,6768 102,3,2024-09-07 09:45:01:617,1,560,8,0,466,6440,560,0 103,0,2024-09-07 09:45:01:597,147496,0.6,147509,0.7,277918,0.6,382567,2.00 103,1,2024-09-07 09:45:01:647,979548,979548,0,0,459854936533,4857513102,963560,12400,3588,381,392077,0 103,2,2024-09-07 09:45:00:590,703205,703205,0,0,34191439,0,3766 103,3,2024-09-07 09:45:00:755,1,560,3,0,916,6920,560,0 104,0,2024-09-07 09:45:01:007,143253,0.7,143379,0.9,286034,0.7,382782,2.25 104,1,2024-09-07 09:45:01:613,982377,982377,0,0,460414372930,4837551778,968308,11480,2589,365,392168,0 104,2,2024-09-07 09:45:01:672,705104,705104,0,0,33424310,0,3941 104,3,2024-09-07 09:45:01:424,1,560,1,0,1245,10169,560,0 105,0,2024-09-07 09:45:01:062,137034,0.9,133533,1.1,279798,1.0,367823,2.50 105,1,2024-09-07 09:45:00:588,983635,983635,0,0,462326795514,4842079724,971482,10346,1807,364,392009,0 105,2,2024-09-07 09:45:01:323,709379,709379,0,0,34694015,0,4360 105,3,2024-09-07 09:45:01:311,1,560,4,0,573,8665,560,0 106,0,2024-09-07 09:45:00:952,132563,0.8,135822,0.9,278235,0.9,363175,2.50 106,1,2024-09-07 09:45:01:753,982247,982247,0,0,461267896474,4841435573,968534,11979,1734,368,391914,0 106,2,2024-09-07 09:45:00:756,707690,707690,0,0,31980422,0,2920 106,3,2024-09-07 09:45:00:681,1,560,8,0,1224,8177,560,0 107,0,2024-09-07 09:45:01:105,142353,1.0,142479,0.9,284976,1.2,380589,2.25 107,1,2024-09-07 09:45:00:584,980063,980063,0,0,460632919977,4862238433,965154,13182,1727,381,392234,0 107,2,2024-09-07 09:45:01:302,704351,704350,1,0,34723695,0,5024 107,3,2024-09-07 09:45:01:755,1,560,1,0,733,8798,560,0 108,0,2024-09-07 09:45:01:764,142900,0.4,143400,0.6,285695,0.4,381335,1.75 108,1,2024-09-07 09:45:01:299,983084,983084,0,0,462455755853,4824194903,974642,7465,977,367,391894,0 108,2,2024-09-07 09:45:01:757,705604,705604,0,0,30652527,0,4246 108,3,2024-09-07 09:45:01:330,1,560,37,0,749,10605,560,0 109,0,2024-09-07 09:45:01:959,140853,0.4,139617,0.6,280010,0.4,373770,1.75 109,1,2024-09-07 09:45:00:590,980672,980672,0,0,460949056489,4834638735,972043,7410,1219,382,392132,0 109,2,2024-09-07 09:45:00:921,708605,708605,0,0,31354974,0,3617 109,3,2024-09-07 09:45:01:140,1,560,63,0,630,7076,560,0 110,0,2024-09-07 09:45:01:767,135225,0.4,131551,0.6,275461,0.3,361164,1.75 110,1,2024-09-07 09:45:01:653,985003,985003,0,0,463114186062,4809464046,977109,5970,1924,368,392045,0 110,2,2024-09-07 09:45:01:322,710176,710176,0,0,29181280,0,4067 110,3,2024-09-07 09:45:00:701,1,560,8,0,722,7764,560,0 111,0,2024-09-07 09:45:01:421,141752,0.3,140889,0.5,282069,0.3,376340,1.75 111,1,2024-09-07 09:45:01:011,986329,986329,0,0,464280915644,4813754127,981459,4507,363,380,391690,0 111,2,2024-09-07 09:45:01:123,708601,708601,0,0,29638811,0,4823 111,3,2024-09-07 09:45:00:913,1,560,7,0,379,6242,560,0 112,0,2024-09-07 09:45:00:910,143063,0.3,142548,0.4,285745,0.2,379097,1.50 112,1,2024-09-07 09:45:00:825,984989,984989,0,0,462404167654,4800662845,978418,5486,1085,380,391624,0 112,2,2024-09-07 09:45:01:135,705113,705112,1,0,27516827,0,5036 112,3,2024-09-07 09:45:00:592,1,560,11,0,282,5309,560,0 113,0,2024-09-07 09:45:00:933,141849,0.3,141787,0.5,283883,0.2,377551,1.75 113,1,2024-09-07 09:45:01:692,987607,987607,0,0,464167065876,4787971035,982020,4712,875,365,391664,0 113,2,2024-09-07 09:45:01:303,713078,713078,0,0,25864640,0,3813 113,3,2024-09-07 09:45:00:685,1,560,1,0,510,6190,560,0 114,0,2024-09-07 09:45:00:879,135656,0.3,136634,0.5,271457,0.2,362693,1.75 114,1,2024-09-07 09:45:00:722,985362,985362,0,0,463033051777,4806531595,978080,5630,1652,381,391565,0 114,2,2024-09-07 09:45:00:873,712016,712015,1,0,27699095,0,5069 114,3,2024-09-07 09:45:01:278,1,560,12,0,395,4467,560,0 115,0,2024-09-07 09:45:00:557,140500,0.3,140929,0.4,280839,0.2,374122,1.50 115,1,2024-09-07 09:45:00:572,985811,985811,0,0,462909281010,4807347884,978323,6130,1358,382,391757,0 115,2,2024-09-07 09:45:01:124,711529,711529,0,0,27153384,0,4382 115,3,2024-09-07 09:45:01:003,1,560,1,0,167,3205,560,0 116,0,2024-09-07 09:45:01:709,142197,0.7,141861,0.8,284463,0.6,380037,2.00 116,1,2024-09-07 09:45:00:807,980430,980430,0,0,460438142240,4855418373,968118,9249,3063,380,392089,0 116,2,2024-09-07 09:45:01:757,704109,704109,0,0,34287690,0,4475 116,3,2024-09-07 09:45:00:923,1,560,2,0,448,7317,560,0 117,0,2024-09-07 09:45:00:956,143802,0.7,143126,0.8,286866,0.8,383132,2.00 117,1,2024-09-07 09:45:01:587,982066,982066,0,0,460912429492,4824283484,971414,9190,1462,369,392033,0 117,2,2024-09-07 09:45:01:118,709794,709794,0,0,30820658,0,4303 117,3,2024-09-07 09:45:01:059,1,560,10,0,490,7330,560,0 118,0,2024-09-07 09:45:01:771,133639,0.6,137228,0.7,279964,0.5,366160,2.00 118,1,2024-09-07 09:45:00:586,980508,980508,0,0,460484816685,4842772953,965520,11378,3610,366,392054,0 118,2,2024-09-07 09:45:01:590,709698,709698,0,0,33270414,0,2842 118,3,2024-09-07 09:45:01:764,1,560,8,0,248,6193,560,0 119,0,2024-09-07 09:45:01:331,138065,0.6,138703,0.7,276835,0.5,369360,2.00 119,1,2024-09-07 09:45:00:550,981686,981686,0,0,461519377230,4837989650,968388,10964,2334,367,391857,0 119,2,2024-09-07 09:45:01:263,709573,709573,0,0,31213716,0,4309 119,3,2024-09-07 09:45:01:329,1,560,3,0,1358,10777,560,0 120,0,2024-09-07 09:45:01:548,141956,0.7,141819,0.8,284739,0.7,379659,2.25 120,1,2024-09-07 09:45:00:860,983336,983336,0,0,461056895436,4830760590,973658,8897,781,367,392144,0 120,2,2024-09-07 09:45:00:778,704688,704687,1,0,36432030,0,5281 120,3,2024-09-07 09:45:01:292,1,560,3,0,279,7052,560,0 121,0,2024-09-07 09:45:01:696,143854,1.2,143244,1.0,286927,1.5,382608,2.25 121,1,2024-09-07 09:45:01:655,982866,982866,0,0,460857449755,4819200802,972993,9023,850,366,391840,0 121,2,2024-09-07 09:45:01:125,705662,705662,0,0,33456977,0,4157 121,3,2024-09-07 09:45:00:731,1,560,61,0,387,7050,560,0 122,0,2024-09-07 09:45:01:763,138876,0.8,135137,0.9,283194,1.0,372383,2.25 122,1,2024-09-07 09:45:00:883,981368,981368,0,0,461726527684,4846780076,967502,11534,2332,366,392130,0 122,2,2024-09-07 09:45:01:323,710963,710888,75,0,37818651,0,5989 122,3,2024-09-07 09:45:00:605,1,560,20,0,512,9310,560,0 123,0,2024-09-07 09:45:00:950,136172,0.7,132472,0.8,277338,0.8,363797,2.25 123,1,2024-09-07 09:45:00:580,981751,981751,0,0,461510399471,4855646598,965587,13508,2656,369,392039,0 123,2,2024-09-07 09:45:01:021,706826,706825,1,0,32226043,0,5215 123,3,2024-09-07 09:45:01:134,1,560,9,0,478,6488,560,0 124,0,2024-09-07 09:45:00:927,145443,0.3,145436,0.5,274082,0.3,377552,1.75 124,1,2024-09-07 09:45:01:035,985165,985165,0,0,462724511512,4809004047,977961,6068,1136,365,392178,0 124,2,2024-09-07 09:45:01:021,709808,709755,53,0,28961674,0,6487 124,3,2024-09-07 09:45:00:761,1,560,4,0,490,5707,560,0 125,0,2024-09-07 09:45:01:475,142231,0.4,142116,0.5,285310,0.3,380081,1.75 125,1,2024-09-07 09:45:00:861,982599,982599,0,0,461042337516,4813391935,974830,6640,1129,382,391813,0 125,2,2024-09-07 09:45:01:121,707683,707683,0,0,28732965,0,4534 125,3,2024-09-07 09:45:01:128,1,560,6,0,709,6530,560,0 126,0,2024-09-07 09:45:01:432,141462,0.4,145518,0.6,278314,0.4,376658,1.75 126,1,2024-09-07 09:45:00:576,986058,986058,0,0,462339681556,4785149531,981251,4494,313,365,391987,0 126,2,2024-09-07 09:45:00:620,711247,711247,0,0,30034247,0,4539 126,3,2024-09-07 09:45:00:909,1,560,3,0,268,6171,560,0 127,0,2024-09-07 09:45:01:618,135164,0.3,135419,0.5,270440,0.3,359749,1.75 127,1,2024-09-07 09:45:00:575,984182,984182,0,0,462168706692,4809827353,974049,8511,1622,364,392187,0 127,2,2024-09-07 09:45:00:639,709920,709916,4,0,28735471,0,5305 127,3,2024-09-07 09:45:01:273,1,560,0,0,968,5537,560,0 128,0,2024-09-07 09:45:01:539,141150,0.3,141165,0.4,281786,0.2,375216,1.50 128,1,2024-09-07 09:45:01:609,984430,984430,0,0,463321424696,4816078505,976744,6754,932,367,392031,0 128,2,2024-09-07 09:45:01:402,710415,710415,0,0,26551598,0,3171 128,3,2024-09-07 09:45:00:768,1,560,1,0,1082,8785,560,0 129,0,2024-09-07 09:45:00:991,143683,0.3,143047,0.5,286585,0.3,380625,1.50 129,1,2024-09-07 09:45:00:577,981135,981135,0,0,461464811768,4834154757,971527,7600,2008,379,391962,0 129,2,2024-09-07 09:45:00:685,705972,705968,4,0,28651622,0,5335 129,3,2024-09-07 09:45:00:694,1,560,73,0,506,7881,560,0 130,0,2024-09-07 09:45:01:728,143599,0.4,142989,0.6,286751,0.4,381510,1.75 130,1,2024-09-07 09:45:00:591,985262,985262,0,0,462407687512,4802971864,980085,4786,391,381,391825,0 130,2,2024-09-07 09:45:01:124,710237,710237,0,0,28661117,0,4067 130,3,2024-09-07 09:45:01:298,1,560,8,0,960,7638,560,0 131,0,2024-09-07 09:45:01:947,136298,0.4,136875,0.5,274156,0.3,363562,1.75 131,1,2024-09-07 09:45:01:822,984198,984198,0,0,462281040885,4818699702,977249,5756,1193,381,391865,0 131,2,2024-09-07 09:45:00:574,711715,711715,0,0,26952577,0,3979 131,3,2024-09-07 09:45:01:694,1,560,1,0,392,6842,560,0 132,0,2024-09-07 09:45:01:490,139316,0.5,140154,0.6,279018,0.4,371517,2.00 132,1,2024-09-07 09:45:00:576,980528,980528,0,0,460650588601,4847667011,966510,11378,2640,381,392532,0 132,2,2024-09-07 09:45:00:701,708852,708835,17,0,35226831,0,6451 132,3,2024-09-07 09:45:01:694,1,560,18,0,804,8990,560,0 133,0,2024-09-07 09:45:01:534,139334,0.4,142471,0.6,292217,0.4,380221,2.00 133,1,2024-09-07 09:45:00:583,980429,980429,0,0,461253164198,4859072860,967197,11523,1709,383,391914,0 133,2,2024-09-07 09:45:01:087,705752,705702,50,0,35430935,0,6861 133,3,2024-09-07 09:45:01:298,1,560,0,0,528,6911,560,0 134,0,2024-09-07 09:45:00:951,144447,0.5,144515,0.7,289340,0.5,384938,2.00 134,1,2024-09-07 09:45:00:594,982134,982134,0,0,461084058300,4831971808,970146,9833,2155,366,391781,0 134,2,2024-09-07 09:45:01:756,707068,707044,24,0,33139916,0,6207 134,3,2024-09-07 09:45:00:755,1,560,8,0,739,6594,560,0 135,0,2024-09-07 09:45:01:101,134154,0.9,134182,0.9,284858,1.1,367412,2.25 135,1,2024-09-07 09:45:01:587,981373,981373,0,0,461061716735,4845440194,969298,10504,1571,380,391805,0 135,2,2024-09-07 09:45:00:697,711232,711232,0,0,33892984,0,4503 135,3,2024-09-07 09:45:01:009,1,560,1,0,900,5505,560,0 136,0,2024-09-07 09:45:01:626,137760,0.6,138098,0.7,274799,0.5,366645,2.00 136,1,2024-09-07 09:45:01:460,982251,982251,0,0,461999575476,4842524010,971107,9778,1366,381,392135,0 136,2,2024-09-07 09:45:01:140,709754,709739,15,0,33758109,0,6007 136,3,2024-09-07 09:45:01:109,1,560,21,0,637,6746,560,0 137,0,2024-09-07 09:45:00:927,147171,0.6,143444,0.7,281081,0.6,382330,2.00 137,1,2024-09-07 09:45:00:577,980831,980831,0,0,461502512248,4839501657,965687,12093,3051,366,391898,0 137,2,2024-09-07 09:45:01:719,705225,705225,0,0,34688988,0,3185 137,3,2024-09-07 09:45:00:769,1,560,4,0,484,7274,560,0 138,0,2024-09-07 09:45:01:789,142384,0.8,142597,0.9,285791,0.8,379761,2.00 138,1,2024-09-07 09:45:01:692,981807,981807,0,0,461909847711,4845372647,967900,11668,2239,368,391954,0 138,2,2024-09-07 09:45:00:587,705029,705029,0,0,32093503,0,4988 138,3,2024-09-07 09:45:00:612,1,560,2,0,1200,8969,560,0 139,0,2024-09-07 09:45:01:370,139053,1.2,139534,1.0,279095,1.7,372040,2.25 139,1,2024-09-07 09:45:00:574,977700,977700,0,0,458222325540,4859782152,960443,13572,3685,380,392109,0 139,2,2024-09-07 09:45:00:695,706051,706051,0,0,36706951,0,3360 139,3,2024-09-07 09:45:01:665,1,560,8,0,432,6504,560,0 140,0,2024-09-07 09:45:01:649,135896,0.3,135041,0.5,270948,0.2,361510,1.75 140,1,2024-09-07 09:45:01:538,987773,987773,0,0,464051981472,4783760893,982986,4248,539,364,391606,0 140,2,2024-09-07 09:45:00:699,710348,710347,1,0,27298933,0,5036 140,3,2024-09-07 09:45:00:766,1,560,0,0,297,5079,560,0 141,0,2024-09-07 09:45:01:703,141337,0.3,145216,0.4,277457,0.2,376129,1.50 141,1,2024-09-07 09:45:00:861,985688,985688,0,0,463334149862,4814385632,977784,6725,1179,379,391614,0 141,2,2024-09-07 09:45:01:695,709059,709048,11,0,29185979,0,5369 141,3,2024-09-07 09:45:01:045,1,560,1,0,391,6306,560,0 142,0,2024-09-07 09:45:01:311,143170,0.3,142166,0.5,284942,0.2,379906,1.50 142,1,2024-09-07 09:45:00:585,983829,983829,0,0,462368790477,4818274139,977669,5672,488,382,392102,0 142,2,2024-09-07 09:45:01:299,704090,704058,32,0,29152249,0,6028 142,3,2024-09-07 09:45:01:747,1,560,5,0,484,5903,560,0 143,0,2024-09-07 09:45:01:447,141596,0.4,141685,0.6,284245,0.4,377432,1.75 143,1,2024-09-07 09:45:00:560,986337,986337,0,0,463352192650,4806911332,980036,5737,564,367,391722,0 143,2,2024-09-07 09:45:00:769,711180,711180,0,0,29260726,0,3123 143,3,2024-09-07 09:45:01:150,1,560,50,0,462,6982,560,0 144,0,2024-09-07 09:45:01:529,131262,0.6,135013,0.8,274698,0.6,359472,2.00 144,1,2024-09-07 09:45:00:586,981381,981381,0,0,460954631885,4834614236,971712,7699,1970,381,391733,0 144,2,2024-09-07 09:45:01:755,711442,711442,0,0,28261440,0,4443 144,3,2024-09-07 09:45:01:742,1,560,0,0,249,5515,560,0 145,0,2024-09-07 09:45:01:367,135507,0.5,135529,0.7,287657,0.5,370720,2.25 145,1,2024-09-07 09:45:00:567,980740,980740,0,0,459963956653,4842062404,967185,10894,2661,382,391759,0 145,2,2024-09-07 09:45:01:429,707369,707287,82,0,34114543,0,7814 145,3,2024-09-07 09:45:00:900,1,560,1,0,622,7670,560,0 146,0,2024-09-07 09:45:01:604,142260,0.6,141849,0.8,284842,0.6,378334,2.25 146,1,2024-09-07 09:45:01:619,981353,981353,0,0,460848061290,4850905213,964457,12430,4466,367,391770,0 146,2,2024-09-07 09:45:01:697,703276,703270,6,0,31960736,0,5151 146,3,2024-09-07 09:45:01:287,1,560,18,0,1520,9384,560,0 147,0,2024-09-07 09:45:01:699,143679,0.6,143358,0.7,286421,0.6,382199,2.25 147,1,2024-09-07 09:45:01:376,985299,985299,0,0,463028805924,4810304065,977537,6827,935,367,391791,0 147,2,2024-09-07 09:45:01:017,707745,707745,0,0,28480131,0,2968 147,3,2024-09-07 09:45:00:913,1,560,1,0,1626,8779,560,0 0,0,2024-09-07 09:45:11:755,138922,0.6,138911,0.7,294647,0.6,380725,2.00 0,1,2024-09-07 09:45:10:804,983989,983989,0,0,462349223791,4840006547,975888,7174,927,369,391896,0 0,2,2024-09-07 09:45:11:075,707898,707898,0,0,28598315,0,4480 0,3,2024-09-07 09:45:10:974,1,561,14,0,431,8111,561,0 1,0,2024-09-07 09:45:11:792,143852,0.9,142868,0.9,287325,1.1,383719,2.00 1,1,2024-09-07 09:45:10:581,982327,982327,0,0,461429326492,4833189203,973302,7550,1475,370,391859,0 1,2,2024-09-07 09:45:10:665,708483,708483,0,0,27990957,0,3380 1,3,2024-09-07 09:45:11:319,1,561,9,0,269,6851,561,0 2,0,2024-09-07 09:45:11:570,139497,0.6,139241,0.7,278525,0.6,371697,2.00 2,1,2024-09-07 09:45:10:869,986070,986070,0,0,463638853317,4818529253,980530,4608,932,379,391745,0 2,2,2024-09-07 09:45:11:276,712417,712417,0,0,27141066,0,3594 2,3,2024-09-07 09:45:10:693,1,561,8,0,357,4954,561,0 3,0,2024-09-07 09:45:11:755,136933,0.4,136853,0.6,272959,0.3,364428,2.00 3,1,2024-09-07 09:45:11:619,985052,985052,0,0,462861989154,4815394182,977529,6820,703,379,391716,0 3,2,2024-09-07 09:45:11:148,711232,711209,23,0,28185719,0,5851 3,3,2024-09-07 09:45:11:760,1,561,11,0,275,3766,561,0 4,0,2024-09-07 09:45:11:789,137536,0.4,141328,0.5,288168,0.3,377312,1.75 4,1,2024-09-07 09:45:10:624,981588,981588,0,0,459828480118,4870082798,964254,13626,3708,370,391992,0 4,2,2024-09-07 09:45:11:018,705956,705956,0,0,34243406,0,4534 4,3,2024-09-07 09:45:11:032,1,561,13,0,448,7021,561,0 5,0,2024-09-07 09:45:11:389,142838,0.4,142857,0.5,286001,0.3,380276,1.75 5,1,2024-09-07 09:45:10:765,982306,982306,0,0,462005546775,4863965639,968216,11277,2813,367,392005,0 5,2,2024-09-07 09:45:12:063,704225,704225,0,0,32871375,0,3582 5,3,2024-09-07 09:45:11:748,1,561,9,0,457,7544,561,0 6,0,2024-09-07 09:45:10:939,141649,0.5,141159,0.7,282499,0.4,376323,2.00 6,1,2024-09-07 09:45:10:747,984367,984367,0,0,462329104187,4828994074,973890,8955,1522,379,391702,0 6,2,2024-09-07 09:45:11:118,711998,711980,18,0,32281530,0,5535 6,3,2024-09-07 09:45:11:279,1,561,1,0,710,6947,561,0 7,0,2024-09-07 09:45:11:541,134559,0.5,135400,0.7,269514,0.5,359272,2.00 7,1,2024-09-07 09:45:10:850,982971,982971,0,0,462066605141,4851792811,969089,11478,2404,382,391747,0 7,2,2024-09-07 09:45:10:769,710558,710558,0,0,31150172,0,4791 7,3,2024-09-07 09:45:10:855,1,561,57,0,552,6398,561,0 8,0,2024-09-07 09:45:11:427,141159,0.3,140693,0.5,282235,0.3,376312,1.75 8,1,2024-09-07 09:45:11:040,981411,981411,0,0,461856148377,4858896245,965413,12458,3540,366,392853,0 8,2,2024-09-07 09:45:10:803,705137,705135,2,0,36973439,0,5112 8,3,2024-09-07 09:45:10:595,1,561,3,0,772,8958,561,0 9,0,2024-09-07 09:45:11:198,142427,0.4,138645,0.5,290371,0.3,380474,1.75 9,1,2024-09-07 09:45:10:553,981444,981444,0,0,460942569514,4858258012,966276,12182,2986,369,392001,0 9,2,2024-09-07 09:45:11:089,704765,704764,1,0,33510886,0,5281 9,3,2024-09-07 09:45:11:779,1,561,41,0,1273,9577,561,0 10,0,2024-09-07 09:45:11:614,142734,0.4,142240,0.5,285304,0.3,379989,1.75 10,1,2024-09-07 09:45:10:588,983451,983451,0,0,462127714243,4844327817,969668,11350,2433,381,391869,0 10,2,2024-09-07 09:45:10:766,710590,710590,0,0,36735405,0,4264 10,3,2024-09-07 09:45:10:873,1,561,7,0,669,6538,561,0 11,0,2024-09-07 09:45:11:007,136246,0.4,132101,0.6,276358,0.4,363754,1.75 11,1,2024-09-07 09:45:10:573,985031,985031,0,0,462350377795,4848136080,970759,10510,3762,383,391766,0 11,2,2024-09-07 09:45:11:124,711048,711048,0,0,32854203,0,4130 11,3,2024-09-07 09:45:11:303,1,561,1,0,843,7412,561,0 12,0,2024-09-07 09:45:10:952,140450,0.4,140431,0.5,280729,0.3,373533,1.75 12,1,2024-09-07 09:45:10:938,984512,984512,0,0,461983612142,4820208805,976243,7271,998,370,391960,0 12,2,2024-09-07 09:45:11:549,709238,709238,0,0,31950097,0,4390 12,3,2024-09-07 09:45:11:059,1,561,2,0,386,7224,561,0 13,0,2024-09-07 09:45:11:337,143852,0.4,143767,0.6,286715,0.4,381973,1.75 13,1,2024-09-07 09:45:11:529,982130,982130,0,0,461530677021,4851844074,971834,7990,2306,382,391768,0 13,2,2024-09-07 09:45:10:596,707675,707675,0,0,28292522,0,3287 13,3,2024-09-07 09:45:11:780,1,561,2,0,522,7660,561,0 14,0,2024-09-07 09:45:10:581,144353,0.4,145417,0.6,288522,0.4,384209,1.75 14,1,2024-09-07 09:45:11:578,988836,988836,0,0,463805558074,4799865130,981054,6811,971,364,391673,0 14,2,2024-09-07 09:45:10:763,709700,709670,30,0,30013906,0,6104 14,3,2024-09-07 09:45:11:115,1,561,9,0,1168,6299,561,0 15,0,2024-09-07 09:45:11:554,138471,0.4,137829,0.6,276657,0.4,368737,2.00 15,1,2024-09-07 09:45:11:612,985694,985694,0,0,462520116156,4819766338,976700,7081,1913,381,391619,0 15,2,2024-09-07 09:45:11:001,714372,714372,0,0,25648125,0,3622 15,3,2024-09-07 09:45:11:413,1,561,1,0,1126,7580,561,0 16,0,2024-09-07 09:45:11:012,137406,0.5,138176,0.7,275935,0.5,367239,2.00 16,1,2024-09-07 09:45:10:565,986209,986209,0,0,462606773309,4833109126,977745,7159,1305,370,392194,0 16,2,2024-09-07 09:45:11:443,710260,710260,0,0,29626457,0,4719 16,3,2024-09-07 09:45:11:146,1,561,36,0,358,7056,561,0 17,0,2024-09-07 09:45:11:841,147453,0.6,143752,0.8,281607,0.6,382759,2.00 17,1,2024-09-07 09:45:10:574,984155,984155,0,0,462024111274,4845839756,974365,8034,1756,368,392075,0 17,2,2024-09-07 09:45:11:681,710224,710223,1,0,30490321,0,5050 17,3,2024-09-07 09:45:10:575,1,561,1,0,518,8200,561,0 18,0,2024-09-07 09:45:10:959,141861,0.7,142739,0.8,284403,0.7,380069,2.25 18,1,2024-09-07 09:45:11:647,988234,988234,0,0,463807598373,4808067111,981908,5329,997,367,391725,0 18,2,2024-09-07 09:45:11:761,710285,710285,0,0,26836341,0,3541 18,3,2024-09-07 09:45:10:901,1,561,12,0,1059,5298,561,0 19,0,2024-09-07 09:45:11:555,139792,0.6,140012,0.8,278986,0.7,371108,2.00 19,1,2024-09-07 09:45:10:565,987696,987696,0,0,464533727116,4813958352,980430,6177,1089,367,391777,0 19,2,2024-09-07 09:45:11:758,714874,714874,0,0,25614655,0,3988 19,3,2024-09-07 09:45:11:130,1,561,2,0,524,4334,561,0 20,0,2024-09-07 09:45:11:362,135474,0.5,135642,0.7,270909,0.5,361316,2.00 20,1,2024-09-07 09:45:10:588,983430,983430,0,0,462520442403,4845181181,973286,8642,1502,369,391922,0 20,2,2024-09-07 09:45:10:934,709921,709921,0,0,31722472,0,4321 20,3,2024-09-07 09:45:10:595,1,561,2,0,468,9116,561,0 21,0,2024-09-07 09:45:11:125,141386,0.4,141577,0.6,283098,0.4,375689,1.75 21,1,2024-09-07 09:45:11:540,981780,981780,0,0,461385524628,4867376079,966641,11829,3310,368,392016,0 21,2,2024-09-07 09:45:11:072,706706,706686,20,0,36007523,0,5617 21,3,2024-09-07 09:45:11:404,1,561,219,0,713,7850,561,0 22,0,2024-09-07 09:45:11:728,142510,0.5,143047,0.6,285268,0.4,378738,2.00 22,1,2024-09-07 09:45:11:031,983014,983014,0,0,460943753714,4850685669,966662,13012,3340,382,391822,0 22,2,2024-09-07 09:45:10:766,704933,704907,26,0,29969441,0,6328 22,3,2024-09-07 09:45:11:070,1,561,8,0,228,4755,561,0 23,0,2024-09-07 09:45:11:380,141496,0.5,141167,0.7,282280,0.5,376321,2.25 23,1,2024-09-07 09:45:11:010,984450,984450,0,0,462897543790,4857819438,967569,12032,4849,365,391690,0 23,2,2024-09-07 09:45:11:109,712201,712201,0,0,29191420,0,3773 23,3,2024-09-07 09:45:11:756,1,561,2,0,855,8436,561,0 24,0,2024-09-07 09:45:10:824,136420,0.4,135722,0.6,272985,0.4,362356,1.75 24,1,2024-09-07 09:45:10:587,983054,983054,0,0,461724230133,4833048032,973688,7683,1683,367,392269,0 24,2,2024-09-07 09:45:11:082,710747,710747,0,0,35263666,0,4438 24,3,2024-09-07 09:45:11:705,1,561,14,0,468,6960,561,0 25,0,2024-09-07 09:45:11:373,144361,0.4,140595,0.6,275782,0.4,375902,1.75 25,1,2024-09-07 09:45:10:576,981604,981604,0,0,461125960889,4868843112,964912,13160,3532,369,391928,0 25,2,2024-09-07 09:45:11:622,707950,707950,0,0,36096450,0,3978 25,3,2024-09-07 09:45:11:010,1,561,12,0,532,6288,561,0 26,0,2024-09-07 09:45:11:739,141765,0.4,138795,0.6,291225,0.4,378910,2.00 26,1,2024-09-07 09:45:11:669,985549,985549,0,0,461583177709,4832442013,972135,10955,2459,380,391748,0 26,2,2024-09-07 09:45:10:861,705394,705394,0,0,37732233,0,4689 26,3,2024-09-07 09:45:11:714,1,561,14,0,796,6489,561,0 27,0,2024-09-07 09:45:11:739,143686,0.4,144362,0.6,286814,0.4,382394,2.25 27,1,2024-09-07 09:45:11:680,985589,985589,0,0,463873333060,4832660026,976205,8091,1293,381,391626,0 27,2,2024-09-07 09:45:10:867,706598,706533,65,0,33054912,0,5699 27,3,2024-09-07 09:45:11:015,1,561,1,0,564,4850,561,0 28,0,2024-09-07 09:45:11:392,138185,0.4,137953,0.6,276333,0.3,367820,2.00 28,1,2024-09-07 09:45:10:796,986667,986667,0,0,462825357617,4824647583,978316,6615,1736,382,391698,0 28,2,2024-09-07 09:45:11:769,712718,712718,0,0,29321263,0,2915 28,3,2024-09-07 09:45:11:776,1,561,1,0,502,5514,561,0 29,0,2024-09-07 09:45:11:423,142770,0.4,139019,0.6,272875,0.3,372090,1.75 29,1,2024-09-07 09:45:11:561,989530,989530,0,0,464537983430,4804234102,983554,5202,774,367,391809,0 29,2,2024-09-07 09:45:10:861,710002,710002,0,0,28205313,0,4986 29,3,2024-09-07 09:45:10:974,1,561,2,0,459,6301,561,0 30,0,2024-09-07 09:45:11:459,142068,0.5,138375,0.7,289949,0.5,378433,2.00 30,1,2024-09-07 09:45:10:573,988172,988172,0,0,464627049668,4819696260,981067,6199,906,380,391672,0 30,2,2024-09-07 09:45:11:275,707627,707627,0,0,26991041,0,4192 30,3,2024-09-07 09:45:10:587,1,561,8,0,519,5337,561,0 31,0,2024-09-07 09:45:11:776,143614,0.4,144378,0.6,287751,0.4,384303,2.00 31,1,2024-09-07 09:45:10:564,991271,991271,0,0,465960699043,4783175247,985629,4617,1025,356,391712,0 31,2,2024-09-07 09:45:11:275,707722,707722,0,0,29857235,0,4470 31,3,2024-09-07 09:45:11:707,1,561,4,0,239,4817,561,0 32,0,2024-09-07 09:45:11:434,139887,0.3,140664,0.5,280046,0.3,373173,1.75 32,1,2024-09-07 09:45:10:813,987928,987928,0,0,463834913110,4819005491,982066,5152,710,381,391646,0 32,2,2024-09-07 09:45:10:935,713908,713908,0,0,26538570,0,3922 32,3,2024-09-07 09:45:11:022,1,561,8,0,304,4479,561,0 33,0,2024-09-07 09:45:11:745,137532,0.3,137047,0.4,274532,0.2,365444,1.50 33,1,2024-09-07 09:45:10:590,987697,987697,0,0,465169042169,4816053858,980127,6394,1176,368,391730,0 33,2,2024-09-07 09:45:10:766,711701,711666,35,0,29075330,0,7012 33,3,2024-09-07 09:45:10:900,1,561,2,0,329,4998,561,0 34,0,2024-09-07 09:45:10:940,141982,0.3,145823,0.4,278878,0.2,376855,1.75 34,1,2024-09-07 09:45:11:051,990547,990547,0,0,465147105752,4782740933,987912,2613,22,367,391637,0 34,2,2024-09-07 09:45:10:765,710436,710436,0,0,27179117,0,4562 34,3,2024-09-07 09:45:11:705,1,561,12,0,320,4547,561,0 35,0,2024-09-07 09:45:10:867,141999,0.3,142725,0.5,286614,0.2,380965,1.75 35,1,2024-09-07 09:45:11:081,986877,986877,0,0,464237316878,4815345009,979677,5716,1484,382,391769,0 35,2,2024-09-07 09:45:11:591,706920,706920,0,0,30249383,0,4055 35,3,2024-09-07 09:45:10:913,1,561,1,0,466,5484,561,0 36,0,2024-09-07 09:45:11:541,141916,0.5,141719,0.7,283261,0.5,377082,2.00 36,1,2024-09-07 09:45:10:585,985441,985441,0,0,461989256582,4825149827,972535,10675,2231,366,391759,0 36,2,2024-09-07 09:45:11:867,711661,711661,0,0,31971319,0,3875 36,3,2024-09-07 09:45:10:867,1,561,1,0,416,7434,561,0 37,0,2024-09-07 09:45:11:383,134787,0.5,134720,0.7,269707,0.5,359876,2.25 37,1,2024-09-07 09:45:10:573,984930,984923,0,7,462056584373,4823377674,973324,8884,2715,365,391770,0 37,2,2024-09-07 09:45:11:155,708750,708735,15,0,32129882,0,5815 37,3,2024-09-07 09:45:11:776,1,561,1,0,888,7625,561,0 38,0,2024-09-07 09:45:11:444,140299,0.4,136127,0.6,284638,0.3,373288,2.00 38,1,2024-09-07 09:45:11:606,985604,985604,0,0,463122276058,4840328390,971698,11334,2572,368,391821,0 38,2,2024-09-07 09:45:10:766,709538,709491,47,0,32088077,0,6710 38,3,2024-09-07 09:45:11:006,1,561,7,0,689,6975,561,0 39,0,2024-09-07 09:45:11:767,145699,0.5,142422,0.7,277709,0.5,379293,2.00 39,1,2024-09-07 09:45:10:719,984292,984292,0,0,462143923393,4846482332,967616,12979,3697,365,391865,0 39,2,2024-09-07 09:45:11:418,706186,706186,0,0,29433668,0,3391 39,3,2024-09-07 09:45:10:718,1,561,1,0,525,6519,561,0 40,0,2024-09-07 09:45:11:503,141453,0.9,142083,1.0,283503,1.0,378064,2.75 40,1,2024-09-07 09:45:10:576,985391,985391,0,0,461804892965,4837483452,971022,11590,2779,368,391668,0 40,2,2024-09-07 09:45:11:306,708646,708645,1,0,35653084,0,5137 40,3,2024-09-07 09:45:11:144,1,561,1,0,1028,8226,561,0 41,0,2024-09-07 09:45:11:029,135666,1.2,138724,1.1,264491,1.7,359362,3.00 41,1,2024-09-07 09:45:10:768,984567,984567,0,0,461701212266,4830938702,971859,10642,2066,369,391878,0 41,2,2024-09-07 09:45:10:768,708757,708756,1,0,34527538,0,5408 41,3,2024-09-07 09:45:11:683,1,561,1,0,366,6034,561,0 42,0,2024-09-07 09:45:11:477,138946,0.9,138748,1.0,277057,1.0,368561,2.75 42,1,2024-09-07 09:45:11:443,981931,981931,0,0,461142237119,4847726345,966256,12747,2928,380,391675,0 42,2,2024-09-07 09:45:11:133,708551,708551,0,0,34310456,0,3975 42,3,2024-09-07 09:45:11:022,1,561,12,0,892,5392,561,0 43,0,2024-09-07 09:45:10:922,141355,0.7,137645,0.9,288431,0.8,376935,2.25 43,1,2024-09-07 09:45:10:575,984969,984969,0,0,462710515988,4835254527,971235,11335,2399,366,391696,0 43,2,2024-09-07 09:45:11:742,706377,706377,0,0,32698731,0,4723 43,3,2024-09-07 09:45:11:756,1,561,2,0,571,8090,561,0 44,0,2024-09-07 09:45:10:866,144674,0.4,144554,0.6,289539,0.4,384690,1.75 44,1,2024-09-07 09:45:10:649,987915,987915,0,0,463719514660,4792343179,979518,6712,1685,356,391809,0 44,2,2024-09-07 09:45:11:275,707175,707175,0,0,26971171,0,4344 44,3,2024-09-07 09:45:11:093,1,561,38,0,1097,7193,561,0 45,0,2024-09-07 09:45:11:766,137055,0.5,133891,0.7,281006,0.5,368867,2.00 45,1,2024-09-07 09:45:11:023,986606,986606,0,0,463454824907,4816158419,978798,6915,893,382,391917,0 45,2,2024-09-07 09:45:11:268,713024,713024,0,0,27453122,0,3596 45,3,2024-09-07 09:45:10:941,1,561,6,0,531,5635,561,0 46,0,2024-09-07 09:45:10:957,136977,0.5,136628,0.7,273917,0.5,364175,2.00 46,1,2024-09-07 09:45:10:575,988551,988551,0,0,464694463443,4803007217,981563,6124,864,366,391709,0 46,2,2024-09-07 09:45:10:596,711448,711448,0,0,27907079,0,4443 46,3,2024-09-07 09:45:11:134,1,561,8,0,908,6930,561,0 47,0,2024-09-07 09:45:11:125,143302,0.4,143279,0.6,287596,0.4,381218,2.00 47,1,2024-09-07 09:45:10:567,989741,989741,0,0,464088929587,4793128427,984300,4601,840,365,391641,0 47,2,2024-09-07 09:45:10:911,711130,711130,0,0,27171120,0,4477 47,3,2024-09-07 09:45:11:119,1,561,12,0,600,6275,561,0 48,0,2024-09-07 09:45:11:491,143541,0.3,143779,0.4,286865,0.2,381889,1.50 48,1,2024-09-07 09:45:11:027,987321,987321,0,0,463333309379,4811617307,981385,5360,576,384,391710,0 48,2,2024-09-07 09:45:10:707,708344,708344,0,0,25237994,0,3524 48,3,2024-09-07 09:45:10:758,1,561,1,0,339,4657,561,0 49,0,2024-09-07 09:45:11:729,144077,0.3,141326,0.5,274931,0.3,375265,1.75 49,1,2024-09-07 09:45:11:023,986477,986477,0,0,463285492466,4815638763,980325,4776,1376,382,391809,0 49,2,2024-09-07 09:45:11:824,713404,713404,0,0,27692351,0,4426 49,3,2024-09-07 09:45:11:425,1,561,0,0,992,6531,561,0 50,0,2024-09-07 09:45:11:507,136427,0.3,134628,0.5,271183,0.2,361393,1.75 50,1,2024-09-07 09:45:11:010,989698,989698,0,0,464636111807,4801859555,983593,5437,668,368,391565,0 50,2,2024-09-07 09:45:11:071,710087,710087,0,0,25370506,0,4490 50,3,2024-09-07 09:45:11:300,1,561,13,0,617,5841,561,0 51,0,2024-09-07 09:45:11:691,145200,0.3,142211,0.4,276725,0.2,377443,1.50 51,1,2024-09-07 09:45:11:689,990455,990455,0,0,465150746670,4796585854,985079,4296,1080,365,391706,0 51,2,2024-09-07 09:45:11:325,710104,710104,0,0,25038333,0,3337 51,3,2024-09-07 09:45:11:039,1,561,6,0,678,4018,561,0 52,0,2024-09-07 09:45:11:416,143040,0.5,142679,0.6,285564,0.4,379674,2.00 52,1,2024-09-07 09:45:10:575,985322,985322,0,0,463005396419,4846336541,971051,12056,2215,368,391805,0 52,2,2024-09-07 09:45:11:756,702942,702904,38,0,33273102,0,6742 52,3,2024-09-07 09:45:10:685,1,561,0,0,1782,6953,561,0 53,0,2024-09-07 09:45:11:737,141116,0.7,136923,0.8,286451,0.7,375588,2.50 53,1,2024-09-07 09:45:10:772,983755,983755,0,0,462466661254,4853878816,966702,12850,4203,367,391968,0 53,2,2024-09-07 09:45:11:301,711224,711223,1,0,30387938,0,5455 53,3,2024-09-07 09:45:10:704,1,561,11,0,308,5252,561,0 54,0,2024-09-07 09:45:11:626,133687,0.6,134107,0.8,267009,0.4,356485,2.25 54,1,2024-09-07 09:45:10:583,985833,985833,0,0,463206859401,4818089976,975192,8979,1662,366,391810,0 54,2,2024-09-07 09:45:10:868,711826,711794,32,0,34038980,0,6397 54,3,2024-09-07 09:45:10:765,1,561,11,0,676,7544,561,0 55,0,2024-09-07 09:45:11:764,135507,0.6,139829,0.7,283505,0.5,370177,2.50 55,1,2024-09-07 09:45:10:764,987085,987085,0,0,462342176957,4809497831,976678,9022,1385,365,391731,0 55,2,2024-09-07 09:45:10:731,708386,708330,56,0,32067144,0,7239 55,3,2024-09-07 09:45:10:685,1,561,1,0,304,5248,561,0 56,0,2024-09-07 09:45:11:569,145450,1.2,137161,1.1,282432,1.5,378404,2.75 56,1,2024-09-07 09:45:10:574,981096,981096,0,0,461137148131,4875314300,964474,13153,3469,381,391867,0 56,2,2024-09-07 09:45:11:306,704942,704820,122,0,33284663,0,7432 56,3,2024-09-07 09:45:11:065,1,561,17,0,705,6631,561,0 57,0,2024-09-07 09:45:10:939,141834,1.5,141825,1.2,283743,2.1,379154,3.25 57,1,2024-09-07 09:45:10:998,983088,983088,0,0,461946126615,4849061817,969849,10907,2332,366,392032,0 57,2,2024-09-07 09:45:11:321,709255,709255,0,0,34799073,0,4317 57,3,2024-09-07 09:45:11:744,1,561,1,0,455,6475,561,0 58,0,2024-09-07 09:45:10:560,136116,0.9,132031,1.0,276265,1.1,361370,2.50 58,1,2024-09-07 09:45:10:578,984397,984394,0,3,462867911584,4852522375,969361,11341,3692,367,391675,3 58,2,2024-09-07 09:45:11:071,712266,712266,0,0,32982785,0,3483 58,3,2024-09-07 09:45:11:081,1,561,8,0,1043,6383,561,0 59,0,2024-09-07 09:45:11:746,138258,0.8,138064,0.9,275711,0.8,366165,2.75 59,1,2024-09-07 09:45:10:809,983257,983257,0,0,461868778181,4852377436,967064,12862,3331,369,391653,0 59,2,2024-09-07 09:45:10:593,709790,709790,0,0,31449162,0,3727 59,3,2024-09-07 09:45:11:740,1,561,0,0,1015,6892,561,0 60,0,2024-09-07 09:45:11:711,142834,0.5,143183,0.7,286057,0.5,380719,1.75 60,1,2024-09-07 09:45:10:782,988366,988366,0,0,464241423708,4821535783,981273,6098,995,370,392031,0 60,2,2024-09-07 09:45:11:144,707316,707316,0,0,29235510,0,3811 60,3,2024-09-07 09:45:11:262,1,561,1,0,409,6554,561,0 61,0,2024-09-07 09:45:11:493,143755,0.6,144356,0.8,287401,0.6,383744,2.00 61,1,2024-09-07 09:45:10:794,984558,984558,0,0,462745681765,4845441377,973882,9016,1660,382,392127,0 61,2,2024-09-07 09:45:11:116,708318,708251,67,0,30283310,0,6411 61,3,2024-09-07 09:45:11:687,1,561,1,0,607,7666,561,0 62,0,2024-09-07 09:45:11:713,140146,0.6,143788,0.8,274553,0.6,373074,2.00 62,1,2024-09-07 09:45:11:118,990483,990477,0,6,465669725126,4799875496,985863,4331,283,365,391975,6 62,2,2024-09-07 09:45:11:644,710633,710632,1,0,30220326,0,5555 62,3,2024-09-07 09:45:11:147,1,561,8,0,482,4506,561,0 63,0,2024-09-07 09:45:11:463,137526,0.4,137277,0.6,275293,0.4,365796,1.75 63,1,2024-09-07 09:45:10:808,987499,987493,0,6,464041789917,4817408433,981204,5448,841,381,391800,6 63,2,2024-09-07 09:45:10:766,710974,710974,0,0,28626850,0,4369 63,3,2024-09-07 09:45:11:732,1,561,6,0,667,6055,561,0 64,0,2024-09-07 09:45:11:518,141280,0.4,141368,0.6,281993,0.4,375131,1.75 64,1,2024-09-07 09:45:10:749,986262,986262,0,0,463852341793,4829059410,977678,6768,1816,370,391794,0 64,2,2024-09-07 09:45:11:142,713175,713156,19,0,27654937,0,6121 64,3,2024-09-07 09:45:11:143,1,561,1,0,651,5849,561,0 65,0,2024-09-07 09:45:11:684,141752,0.6,141916,0.7,283616,0.6,378310,2.00 65,1,2024-09-07 09:45:10:865,984710,984710,0,0,461920155663,4825126589,977882,6027,801,381,391901,0 65,2,2024-09-07 09:45:11:706,706330,706330,0,0,32356077,0,3367 65,3,2024-09-07 09:45:11:689,1,561,1,0,782,6444,561,0 66,0,2024-09-07 09:45:11:778,141044,0.6,140486,0.8,281142,0.5,374224,2.25 66,1,2024-09-07 09:45:11:309,987032,987032,0,0,462717072010,4814518213,980728,5582,722,380,391743,0 66,2,2024-09-07 09:45:11:132,714161,714158,3,0,29495553,0,5455 66,3,2024-09-07 09:45:11:088,1,561,61,0,291,4817,561,0 67,0,2024-09-07 09:45:11:414,135116,0.5,134900,0.7,270408,0.5,360003,2.00 67,1,2024-09-07 09:45:10:766,986691,986690,0,1,463131834934,4818263134,980369,5505,816,380,391787,1 67,2,2024-09-07 09:45:10:588,713488,713473,15,0,29349710,0,6205 67,3,2024-09-07 09:45:11:754,1,561,1,0,595,5999,561,0 68,0,2024-09-07 09:45:10:572,141082,0.5,140874,0.7,280597,0.5,375685,2.00 68,1,2024-09-07 09:45:10:630,982447,982447,0,0,460980682065,4846784649,969474,9514,3459,381,391953,0 68,2,2024-09-07 09:45:11:049,706011,705911,100,0,37177333,0,8578 68,3,2024-09-07 09:45:10:736,1,561,2,0,417,7311,561,0 69,0,2024-09-07 09:45:11:792,141874,0.7,142703,0.8,284421,0.7,377838,2.25 69,1,2024-09-07 09:45:11:028,981674,981674,0,0,461350602327,4860718437,968899,10010,2765,383,391994,0 69,2,2024-09-07 09:45:11:746,703997,703968,29,0,38282140,0,6912 69,3,2024-09-07 09:45:10:760,1,561,2,0,698,8326,561,0 70,0,2024-09-07 09:45:11:536,141177,0.8,141605,1.0,284812,0.7,376900,2.50 70,1,2024-09-07 09:45:10:809,987789,987789,0,0,464209321783,4816055167,980151,6875,763,366,391725,0 70,2,2024-09-07 09:45:11:333,710663,710663,0,0,32163494,0,4323 70,3,2024-09-07 09:45:10:746,1,561,7,0,854,6405,561,0 71,0,2024-09-07 09:45:11:366,134954,1.0,134763,1.1,270548,1.3,360843,2.75 71,1,2024-09-07 09:45:11:605,985640,985640,0,0,463014454856,4830801294,973749,10542,1349,368,391738,0 71,2,2024-09-07 09:45:11:071,711150,711150,0,0,33067310,0,4352 71,3,2024-09-07 09:45:11:760,1,561,0,0,644,6513,561,0 72,0,2024-09-07 09:45:11:092,144121,0.5,140761,0.7,274884,0.5,374111,2.00 72,1,2024-09-07 09:45:11:033,983827,983827,0,0,461892984547,4845736775,969658,11775,2394,369,391819,0 72,2,2024-09-07 09:45:11:772,708326,708326,0,0,34602936,0,3983 72,3,2024-09-07 09:45:11:764,1,561,0,0,564,8310,561,0 73,0,2024-09-07 09:45:11:104,139301,0.5,142799,0.6,292216,0.4,379702,2.00 73,1,2024-09-07 09:45:10:778,986049,986049,0,0,463156012962,4814129158,978512,6610,927,367,391858,0 73,2,2024-09-07 09:45:11:746,705756,705756,0,0,34606890,0,4728 73,3,2024-09-07 09:45:10:973,1,561,2,0,1091,8045,561,0 74,0,2024-09-07 09:45:11:333,145356,0.5,148829,0.7,283911,0.4,384913,2.00 74,1,2024-09-07 09:45:10:635,984569,984569,0,0,462283579307,4826532199,973539,9052,1978,381,391762,0 74,2,2024-09-07 09:45:11:010,707976,707976,0,0,30570641,0,4253 74,3,2024-09-07 09:45:11:442,1,561,15,0,522,6745,561,0 75,0,2024-09-07 09:45:11:780,139003,0.6,138054,0.8,277288,0.5,370386,2.25 75,1,2024-09-07 09:45:11:586,986314,986314,0,0,462417203101,4819741553,978155,7293,866,380,391739,0 75,2,2024-09-07 09:45:11:349,710786,710786,0,0,37145097,0,4766 75,3,2024-09-07 09:45:11:069,1,561,328,0,702,6856,561,0 76,0,2024-09-07 09:45:10:581,136959,0.6,136429,0.8,273095,0.5,365514,2.25 76,1,2024-09-07 09:45:10:834,985393,985393,0,0,461336695417,4810949369,978666,5757,970,382,391723,0 76,2,2024-09-07 09:45:11:072,712891,712888,3,0,30607492,0,5265 76,3,2024-09-07 09:45:11:159,1,561,1,0,227,5085,561,0 77,0,2024-09-07 09:45:11:690,142551,0.5,143091,0.7,286088,0.5,380543,2.00 77,1,2024-09-07 09:45:10:830,985523,985523,0,0,463024944565,4828771138,978333,6582,608,381,391869,0 77,2,2024-09-07 09:45:11:293,707606,707606,0,0,29760891,0,3890 77,3,2024-09-07 09:45:11:093,1,561,1,0,401,6208,561,0 78,0,2024-09-07 09:45:11:731,143719,0.4,143255,0.6,287096,0.4,380460,2.00 78,1,2024-09-07 09:45:10:614,985662,985662,0,0,462182984638,4821130796,973839,9156,2667,367,391670,0 78,2,2024-09-07 09:45:11:413,709190,709177,13,0,27865387,0,8313 78,3,2024-09-07 09:45:11:136,1,561,8,0,311,4788,561,0 79,0,2024-09-07 09:45:11:366,135462,0.4,138719,0.6,284340,0.3,369974,2.00 79,1,2024-09-07 09:45:10:577,988849,988849,0,0,463989512147,4805197834,981082,6409,1358,367,391682,0 79,2,2024-09-07 09:45:11:075,712757,712757,0,0,26886969,0,4195 79,3,2024-09-07 09:45:10:749,1,561,1,0,418,6594,561,0 80,0,2024-09-07 09:45:11:091,135465,0.5,139300,0.6,266218,0.5,360800,2.00 80,1,2024-09-07 09:45:11:624,985996,985996,0,0,463446287204,4822453469,979836,5728,432,368,392269,0 80,2,2024-09-07 09:45:11:094,712927,712927,0,0,27309148,0,4433 80,3,2024-09-07 09:45:10:575,1,561,23,0,681,7173,561,0 81,0,2024-09-07 09:45:11:575,141778,0.5,145145,0.7,276762,0.5,375745,2.00 81,1,2024-09-07 09:45:11:653,984670,984670,0,0,461994346595,4826342738,977218,6810,642,382,391885,0 81,2,2024-09-07 09:45:11:131,708480,708417,63,0,30673771,0,5932 81,3,2024-09-07 09:45:11:122,1,561,5,0,719,6421,561,0 82,0,2024-09-07 09:45:11:546,142355,0.4,142483,0.7,285692,0.4,378813,2.00 82,1,2024-09-07 09:45:10:589,987470,987466,0,4,463726626083,4818755674,982196,4448,822,381,391768,4 82,2,2024-09-07 09:45:11:705,708572,708572,0,0,25194882,0,4484 82,3,2024-09-07 09:45:11:756,1,561,8,0,363,5353,561,0 83,0,2024-09-07 09:45:11:530,141759,0.6,141815,0.7,283033,0.6,375687,2.25 83,1,2024-09-07 09:45:10:552,985447,985447,0,0,462195705858,4817313398,978546,6327,574,382,391709,0 83,2,2024-09-07 09:45:10:763,711653,711628,25,0,27614051,0,5612 83,3,2024-09-07 09:45:10:749,1,561,1,0,1260,6934,561,0 84,0,2024-09-07 09:45:11:807,134414,0.7,134727,0.9,268844,0.6,359461,2.25 84,1,2024-09-07 09:45:11:047,984087,984087,0,0,460934936117,4820403778,972641,9792,1654,367,391967,0 84,2,2024-09-07 09:45:10:575,711167,711137,30,0,36447256,0,5971 84,3,2024-09-07 09:45:11:143,1,561,7,0,908,7610,561,0 85,0,2024-09-07 09:45:11:045,135059,0.6,135055,0.8,286693,0.5,371146,2.25 85,1,2024-09-07 09:45:10:560,981389,981389,0,0,461210895996,4870547939,966073,12570,2746,381,392092,0 85,2,2024-09-07 09:45:10:872,708697,708697,0,0,34040614,0,4255 85,3,2024-09-07 09:45:10:689,1,561,27,0,789,6611,561,0 86,0,2024-09-07 09:45:10:876,142541,0.6,146529,0.8,280165,0.7,379129,2.25 86,1,2024-09-07 09:45:10:824,983315,983315,0,0,462861321065,4852967773,970381,10278,2656,366,391995,0 86,2,2024-09-07 09:45:10:860,704843,704842,1,0,36015288,0,5004 86,3,2024-09-07 09:45:10:591,1,561,8,0,308,8016,561,0 87,0,2024-09-07 09:45:11:293,143739,0.8,143290,0.8,286602,1.0,382693,2.25 87,1,2024-09-07 09:45:10:551,983019,983019,0,0,461655494034,4835897787,970463,10836,1720,366,392076,0 87,2,2024-09-07 09:45:11:075,708144,708138,6,0,32688792,0,6323 87,3,2024-09-07 09:45:11:795,1,561,17,0,473,8361,561,0 88,0,2024-09-07 09:45:11:460,137888,0.5,138273,0.6,276434,0.4,367696,1.75 88,1,2024-09-07 09:45:10:592,982445,982445,0,0,461705704636,4837297897,968863,10844,2738,365,392084,0 88,2,2024-09-07 09:45:10:694,711306,711306,0,0,36174418,0,4465 88,3,2024-09-07 09:45:11:278,1,561,3,0,1080,8534,561,0 89,0,2024-09-07 09:45:11:889,142939,0.4,138684,0.6,273524,0.4,372119,1.75 89,1,2024-09-07 09:45:10:553,982106,982106,0,0,461872236502,4859029895,969698,10475,1933,382,391866,0 89,2,2024-09-07 09:45:11:136,709305,709305,0,0,33503644,0,3173 89,3,2024-09-07 09:45:11:868,1,561,16,0,468,9527,561,0 90,0,2024-09-07 09:45:11:639,138728,0.5,142430,0.6,290516,0.4,379047,2.00 90,1,2024-09-07 09:45:10:594,983813,983813,0,0,461847577171,4838307953,974141,8785,887,380,391825,0 90,2,2024-09-07 09:45:11:414,703944,703944,0,0,35793718,0,3608 90,3,2024-09-07 09:45:10:932,1,561,9,0,322,6839,561,0 91,0,2024-09-07 09:45:10:945,144373,0.5,140074,0.6,292884,0.4,384390,1.75 91,1,2024-09-07 09:45:10:556,981218,981218,0,0,462196220724,4867262076,967162,11319,2737,381,392047,0 91,2,2024-09-07 09:45:11:331,708290,708290,0,0,31536976,0,4713 91,3,2024-09-07 09:45:10:601,1,561,1,0,216,5145,561,0 92,0,2024-09-07 09:45:11:463,141298,0.5,144477,0.6,275460,0.5,373320,1.75 92,1,2024-09-07 09:45:10:591,985172,985172,0,0,462855021998,4833968359,977393,6570,1209,381,392136,0 92,2,2024-09-07 09:45:11:358,712610,712610,0,0,28469434,0,3259 92,3,2024-09-07 09:45:11:009,1,561,7,0,167,4830,561,0 93,0,2024-09-07 09:45:10:961,137747,0.4,141273,0.6,269558,0.4,365813,1.75 93,1,2024-09-07 09:45:10:807,985475,985475,0,0,462650014015,4828331011,974791,8935,1749,366,391776,0 93,2,2024-09-07 09:45:10:928,710388,710388,0,0,34119564,0,4913 93,3,2024-09-07 09:45:11:413,1,561,13,0,190,5137,561,0 94,0,2024-09-07 09:45:11:653,141119,0.3,142164,0.5,284141,0.3,377611,1.75 94,1,2024-09-07 09:45:10:565,986033,986033,0,0,462935873957,4827958890,979376,6227,430,381,391850,0 94,2,2024-09-07 09:45:10:767,709303,709275,28,0,29076781,0,6179 94,3,2024-09-07 09:45:11:692,1,561,14,0,576,6943,561,0 95,0,2024-09-07 09:45:11:347,142777,0.3,142809,0.5,285783,0.3,380950,1.75 95,1,2024-09-07 09:45:10:850,987324,987324,0,0,462984010457,4811816456,979238,7385,701,365,391852,0 95,2,2024-09-07 09:45:11:023,706106,706106,0,0,28154225,0,3308 95,3,2024-09-07 09:45:11:716,1,561,1,0,718,8351,561,0 96,0,2024-09-07 09:45:11:230,141430,0.4,141713,0.5,283316,0.3,376464,1.75 96,1,2024-09-07 09:45:11:638,984967,984967,0,0,462671015089,4825731501,977851,5845,1271,384,391964,0 96,2,2024-09-07 09:45:11:311,712541,712541,0,0,29012770,0,4180 96,3,2024-09-07 09:45:11:143,1,561,24,0,411,6469,561,0 97,0,2024-09-07 09:45:11:350,135203,0.3,135167,0.5,271233,0.3,360086,1.50 97,1,2024-09-07 09:45:10:773,987436,987436,0,0,464078992833,4811219204,981537,4952,947,367,392140,0 97,2,2024-09-07 09:45:10:613,712547,712547,0,0,29069149,0,4046 97,3,2024-09-07 09:45:10:575,1,561,74,0,242,6332,561,0 98,0,2024-09-07 09:45:11:706,140662,0.3,140794,0.4,282325,0.2,375557,1.50 98,1,2024-09-07 09:45:10:581,985977,985977,0,0,463104470807,4820395304,980164,4995,818,381,391997,0 98,2,2024-09-07 09:45:10:769,710537,710537,0,0,28289583,0,4336 98,3,2024-09-07 09:45:10:716,1,561,17,0,840,8563,561,0 99,0,2024-09-07 09:45:11:462,142769,0.3,143418,0.5,285393,0.3,380692,1.75 99,1,2024-09-07 09:45:11:724,987209,987209,0,0,462845462091,4815244999,981165,5115,929,380,392069,0 99,2,2024-09-07 09:45:11:421,706461,706461,0,0,33428256,0,4276 99,3,2024-09-07 09:45:10:587,1,561,2,0,606,5466,561,0 100,0,2024-09-07 09:45:11:484,142453,0.8,142665,0.9,285039,0.9,380425,2.50 100,1,2024-09-07 09:45:10:548,981204,981204,0,0,460997824308,4874687247,965752,12163,3289,378,391989,0 100,2,2024-09-07 09:45:11:871,708242,708231,11,0,33420578,0,5417 100,3,2024-09-07 09:45:11:733,1,561,9,0,627,9808,561,0 101,0,2024-09-07 09:45:11:783,139190,1.1,135750,1.0,265782,1.0,363099,2.25 101,1,2024-09-07 09:45:10:552,982956,982956,0,0,461554640767,4848683056,969900,10606,2450,368,391847,0 101,2,2024-09-07 09:45:11:768,707663,707663,0,0,38043005,0,4871 101,3,2024-09-07 09:45:10:949,1,561,8,0,1250,8632,561,0 102,0,2024-09-07 09:45:10:944,135632,0.6,139878,0.7,283789,0.6,371777,2.00 102,1,2024-09-07 09:45:11:142,982819,982819,0,0,460837661882,4839863418,969661,11006,2152,369,391984,0 102,2,2024-09-07 09:45:11:740,710318,710264,54,0,32461321,0,6768 102,3,2024-09-07 09:45:11:617,1,561,8,0,466,6448,561,0 103,0,2024-09-07 09:45:11:595,147598,0.6,147602,0.7,278092,0.6,382860,2.00 103,1,2024-09-07 09:45:11:628,981117,981117,0,0,460723152064,4866783971,965112,12417,3588,381,392077,0 103,2,2024-09-07 09:45:10:587,704308,704308,0,0,34236526,0,3766 103,3,2024-09-07 09:45:10:758,1,561,1,0,916,6921,561,0 104,0,2024-09-07 09:45:11:012,143437,0.7,143553,0.9,286384,0.7,383074,2.25 104,1,2024-09-07 09:45:11:607,984201,984201,0,0,461365757569,4847240268,970132,11480,2589,365,392168,0 104,2,2024-09-07 09:45:11:679,706295,706295,0,0,33464658,0,3941 104,3,2024-09-07 09:45:11:421,1,561,0,0,1245,10169,561,0 105,0,2024-09-07 09:45:11:136,137295,0.9,133793,1.1,280345,1.0,368398,2.50 105,1,2024-09-07 09:45:10:579,985465,985465,0,0,463101584262,4850210514,973299,10359,1807,364,392009,0 105,2,2024-09-07 09:45:11:334,710817,710817,0,0,34725851,0,4360 105,3,2024-09-07 09:45:11:331,1,561,6,0,573,8671,561,0 106,0,2024-09-07 09:45:10:970,132887,0.8,136134,0.9,278944,0.9,364303,2.50 106,1,2024-09-07 09:45:11:767,984060,984060,0,0,462171103318,4850682882,970347,11979,1734,368,391914,0 106,2,2024-09-07 09:45:10:768,708975,708975,0,0,32058871,0,2920 106,3,2024-09-07 09:45:10:698,1,561,7,0,1224,8184,561,0 107,0,2024-09-07 09:45:11:103,142766,1.0,142849,0.9,285797,1.2,381201,2.25 107,1,2024-09-07 09:45:10:593,981708,981708,0,0,461531332489,4871804501,966794,13187,1727,381,392234,0 107,2,2024-09-07 09:45:11:296,705081,705080,1,0,34743312,0,5024 107,3,2024-09-07 09:45:11:760,1,561,1,0,733,8799,561,0 108,0,2024-09-07 09:45:11:827,143203,0.4,143708,0.6,286306,0.4,382121,1.75 108,1,2024-09-07 09:45:11:330,984663,984663,0,0,463076561946,4830902249,976220,7466,977,367,391894,0 108,2,2024-09-07 09:45:11:768,707106,707106,0,0,30707944,0,4246 108,3,2024-09-07 09:45:11:335,1,561,14,0,749,10619,561,0 109,0,2024-09-07 09:45:11:778,141040,0.4,139786,0.6,280400,0.4,374352,1.75 109,1,2024-09-07 09:45:10:588,982562,982562,0,0,461894894646,4845131985,973805,7537,1220,382,392132,0 109,2,2024-09-07 09:45:10:932,710202,710202,0,0,31495558,0,3617 109,3,2024-09-07 09:45:11:143,1,561,0,0,630,7076,561,0 110,0,2024-09-07 09:45:11:752,135546,0.4,131859,0.6,276175,0.3,362386,1.75 110,1,2024-09-07 09:45:11:644,986804,986804,0,0,464055379563,4819210908,978910,5970,1924,368,392045,0 110,2,2024-09-07 09:45:11:309,711241,711241,0,0,29257398,0,4067 110,3,2024-09-07 09:45:10:694,1,561,9,0,722,7773,561,0 111,0,2024-09-07 09:45:11:421,142087,0.3,141253,0.5,282752,0.3,377063,1.75 111,1,2024-09-07 09:45:11:005,988152,988152,0,0,464946688613,4820783585,983282,4507,363,380,391690,0 111,2,2024-09-07 09:45:11:121,709606,709606,0,0,29693189,0,4823 111,3,2024-09-07 09:45:10:916,1,561,7,0,379,6249,561,0 112,0,2024-09-07 09:45:10:919,143327,0.3,142788,0.4,286240,0.2,379980,1.50 112,1,2024-09-07 09:45:10:840,986789,986789,0,0,463221426507,4809263998,980218,5486,1085,380,391624,0 112,2,2024-09-07 09:45:11:133,706588,706587,1,0,27585718,0,5036 112,3,2024-09-07 09:45:10:608,1,561,14,0,282,5323,561,0 113,0,2024-09-07 09:45:10:871,141999,0.3,141908,0.5,284145,0.2,378039,1.75 113,1,2024-09-07 09:45:11:705,989307,989307,0,0,464720225045,4794161025,983668,4764,875,365,391664,0 113,2,2024-09-07 09:45:11:310,714293,714293,0,0,25930522,0,3813 113,3,2024-09-07 09:45:10:687,1,561,1,0,510,6191,561,0 114,0,2024-09-07 09:45:10:878,135874,0.3,136875,0.5,271903,0.2,363632,1.75 114,1,2024-09-07 09:45:10:719,987118,987118,0,0,463844461449,4815197747,979824,5642,1652,381,391565,0 114,2,2024-09-07 09:45:10:877,713057,713056,1,0,27776068,0,5069 114,3,2024-09-07 09:45:11:278,1,561,7,0,395,4474,561,0 115,0,2024-09-07 09:45:10:560,140959,0.3,141413,0.4,281804,0.2,375299,1.50 115,1,2024-09-07 09:45:10:577,987447,987447,0,0,463442163072,4812996454,979959,6130,1358,382,391757,0 115,2,2024-09-07 09:45:11:127,712706,712706,0,0,27243088,0,4382 115,3,2024-09-07 09:45:11:009,1,561,2,0,167,3207,561,0 116,0,2024-09-07 09:45:11:744,142315,0.7,141964,0.8,284724,0.6,380334,2.00 116,1,2024-09-07 09:45:10:830,982205,982205,0,0,461365910618,4865246083,969891,9251,3063,380,392089,0 116,2,2024-09-07 09:45:11:761,705340,705340,0,0,34321955,0,4475 116,3,2024-09-07 09:45:10:912,1,561,29,0,448,7346,561,0 117,0,2024-09-07 09:45:10:960,143922,0.7,143232,0.8,287085,0.8,383468,2.00 117,1,2024-09-07 09:45:11:590,983692,983692,0,0,461784670545,4833462665,973025,9205,1462,369,392033,0 117,2,2024-09-07 09:45:11:118,711071,711071,0,0,30897783,0,4303 117,3,2024-09-07 09:45:11:060,1,561,101,0,490,7431,561,0 118,0,2024-09-07 09:45:11:789,133760,0.6,137343,0.7,280165,0.5,366163,2.00 118,1,2024-09-07 09:45:10:589,982247,982247,0,0,461153926983,4849878442,967259,11378,3610,366,392054,0 118,2,2024-09-07 09:45:11:600,711189,711189,0,0,33411842,0,2842 118,3,2024-09-07 09:45:11:772,1,561,14,0,248,6207,561,0 119,0,2024-09-07 09:45:11:339,138440,0.6,139082,0.7,277693,0.5,370319,2.00 119,1,2024-09-07 09:45:10:548,983503,983503,0,0,462216042727,4845240359,970205,10964,2334,367,391857,0 119,2,2024-09-07 09:45:11:261,710828,710828,0,0,31274963,0,4309 119,3,2024-09-07 09:45:11:326,1,561,1,0,1358,10778,561,0 120,0,2024-09-07 09:45:11:597,142060,0.7,141923,0.8,284953,0.7,379891,2.25 120,1,2024-09-07 09:45:10:867,985102,985102,0,0,461700332447,4837573979,975423,8898,781,367,392144,0 120,2,2024-09-07 09:45:10:794,705516,705515,1,0,36455951,0,5281 120,3,2024-09-07 09:45:11:306,1,561,10,0,279,7062,561,0 121,0,2024-09-07 09:45:11:738,144121,1.2,143503,1.0,287475,1.5,383248,2.25 121,1,2024-09-07 09:45:11:667,984599,984599,0,0,461761611396,4828442600,974725,9024,850,366,391840,0 121,2,2024-09-07 09:45:11:127,707066,707066,0,0,33512351,0,4157 121,3,2024-09-07 09:45:10:737,1,561,1,0,387,7051,561,0 122,0,2024-09-07 09:45:11:829,139149,0.8,135375,0.9,283700,1.0,373254,2.00 122,1,2024-09-07 09:45:10:868,983178,983178,0,0,462393715024,4853809109,969312,11534,2332,366,392130,0 122,2,2024-09-07 09:45:11:320,712362,712287,75,0,37876025,0,5989 122,3,2024-09-07 09:45:10:594,1,561,13,0,512,9323,561,0 123,0,2024-09-07 09:45:10:997,136530,0.7,132843,0.8,278152,0.8,364518,2.25 123,1,2024-09-07 09:45:10:573,983553,983553,0,0,462253376738,4863309562,967389,13508,2656,369,392039,0 123,2,2024-09-07 09:45:11:027,708210,708209,1,0,32258816,0,5215 123,3,2024-09-07 09:45:11:133,1,561,1,0,478,6489,561,0 124,0,2024-09-07 09:45:10:933,145842,0.3,145828,0.5,274742,0.3,378539,1.75 124,1,2024-09-07 09:45:11:041,986926,986926,0,0,463697686778,4819352085,979714,6076,1136,365,392178,0 124,2,2024-09-07 09:45:11:041,710627,710574,53,0,29039562,0,6487 124,3,2024-09-07 09:45:10:768,1,561,1,0,490,5708,561,0 125,0,2024-09-07 09:45:11:430,142577,0.4,142462,0.5,285983,0.3,380826,1.75 125,1,2024-09-07 09:45:10:860,984217,984217,0,0,461926918219,4822576815,976448,6640,1129,382,391813,0 125,2,2024-09-07 09:45:11:116,709215,709215,0,0,28881347,0,4534 125,3,2024-09-07 09:45:11:131,1,561,1,0,709,6531,561,0 126,0,2024-09-07 09:45:11:420,141631,0.4,145684,0.6,278647,0.4,377050,1.75 126,1,2024-09-07 09:45:10:551,987805,987805,0,0,463051117953,4792540564,982998,4494,313,365,391987,0 126,2,2024-09-07 09:45:10:612,712675,712675,0,0,30168643,0,4539 126,3,2024-09-07 09:45:10:915,1,561,1,0,268,6172,561,0 127,0,2024-09-07 09:45:11:672,135438,0.3,135746,0.5,271030,0.3,360358,1.75 127,1,2024-09-07 09:45:10:576,985895,985895,0,0,462875743176,4817260716,975761,8512,1622,364,392187,0 127,2,2024-09-07 09:45:10:636,711042,711038,4,0,28798853,0,5305 127,3,2024-09-07 09:45:11:275,1,561,4,0,968,5541,561,0 128,0,2024-09-07 09:45:11:523,141583,0.3,141556,0.4,282638,0.2,376213,1.50 128,1,2024-09-07 09:45:11:612,986076,986076,0,0,464169249392,4825122195,978384,6760,932,367,392031,0 128,2,2024-09-07 09:45:11:389,711500,711500,0,0,26635682,0,3171 128,3,2024-09-07 09:45:10:770,1,561,95,0,1082,8880,561,0 129,0,2024-09-07 09:45:10:997,143822,0.3,143195,0.5,286873,0.3,381062,1.50 129,1,2024-09-07 09:45:10:583,982916,982916,0,0,462185738751,4841942257,973306,7601,2009,379,391962,0 129,2,2024-09-07 09:45:10:693,707389,707385,4,0,28723236,0,5335 129,3,2024-09-07 09:45:10:700,1,561,32,0,506,7913,561,0 130,0,2024-09-07 09:45:11:762,143719,0.4,143077,0.6,286968,0.4,381757,1.75 130,1,2024-09-07 09:45:10:587,987022,987022,0,0,463181969902,4811189001,981845,4786,391,381,391825,0 130,2,2024-09-07 09:45:11:126,711542,711542,0,0,28724230,0,4067 130,3,2024-09-07 09:45:11:293,1,561,8,0,960,7646,561,0 131,0,2024-09-07 09:45:11:946,136356,0.4,136919,0.5,274274,0.3,363882,1.75 131,1,2024-09-07 09:45:11:855,985922,985922,0,0,463026316746,4826508967,978973,5756,1193,381,391865,0 131,2,2024-09-07 09:45:10:573,712887,712887,0,0,27028855,0,3979 131,3,2024-09-07 09:45:11:706,1,561,16,0,392,6858,561,0 132,0,2024-09-07 09:45:11:434,139727,0.5,140583,0.6,279875,0.4,372827,2.00 132,1,2024-09-07 09:45:10:576,982215,982215,0,0,461579316228,4857296347,968196,11379,2640,381,392532,0 132,2,2024-09-07 09:45:10:708,710228,710211,17,0,35288372,0,6451 132,3,2024-09-07 09:45:11:716,1,561,23,0,804,9013,561,0 133,0,2024-09-07 09:45:11:524,139449,0.4,142568,0.6,292399,0.4,380507,2.00 133,1,2024-09-07 09:45:10:595,982280,982280,0,0,461837803127,4865338471,969047,11524,1709,383,391914,0 133,2,2024-09-07 09:45:11:089,706893,706843,50,0,35497598,0,6861 133,3,2024-09-07 09:45:11:306,1,561,9,0,528,6920,561,0 134,0,2024-09-07 09:45:10:972,144629,0.5,144689,0.7,289702,0.5,385231,2.00 134,1,2024-09-07 09:45:10:587,983650,983650,0,0,461778294619,4839330096,971662,9833,2155,366,391781,0 134,2,2024-09-07 09:45:11:772,708441,708417,24,0,33206423,0,6207 134,3,2024-09-07 09:45:10:752,1,561,14,0,739,6608,561,0 135,0,2024-09-07 09:45:11:118,134422,0.9,134450,0.9,285431,1.1,367943,2.25 135,1,2024-09-07 09:45:11:606,982779,982779,0,0,461898854834,4854379482,970672,10536,1571,380,391805,0 135,2,2024-09-07 09:45:10:693,712535,712535,0,0,34026916,0,4503 135,3,2024-09-07 09:45:11:009,1,561,34,0,900,5539,561,0 136,0,2024-09-07 09:45:11:683,138092,0.6,138443,0.7,275468,0.5,367845,2.00 136,1,2024-09-07 09:45:11:447,984019,984019,0,0,462552076203,4848484596,972872,9781,1366,381,392135,0 136,2,2024-09-07 09:45:11:142,711295,711280,15,0,33800742,0,6007 136,3,2024-09-07 09:45:11:112,1,561,2,0,637,6748,561,0 137,0,2024-09-07 09:45:10:926,147585,0.6,143851,0.7,281867,0.6,382934,2.00 137,1,2024-09-07 09:45:10:592,982698,982698,0,0,462600983429,4850903862,967554,12093,3051,366,391898,0 137,2,2024-09-07 09:45:11:715,705910,705910,0,0,34741634,0,3185 137,3,2024-09-07 09:45:10:781,1,561,25,0,484,7299,561,0 138,0,2024-09-07 09:45:11:772,142714,0.8,142911,0.9,286417,0.8,380579,2.00 138,1,2024-09-07 09:45:11:689,983605,983605,0,0,462850438630,4855051281,969698,11668,2239,368,391954,0 138,2,2024-09-07 09:45:10:588,706439,706439,0,0,32134647,0,4988 138,3,2024-09-07 09:45:10:611,1,561,3,0,1200,8972,561,0 139,0,2024-09-07 09:45:11:359,139213,1.2,139717,1.0,279475,1.7,372621,2.25 139,1,2024-09-07 09:45:10:576,979443,979443,0,0,459130883672,4869300267,962181,13577,3685,380,392109,0 139,2,2024-09-07 09:45:10:694,707433,707433,0,0,36758124,0,3360 139,3,2024-09-07 09:45:11:670,1,561,1,0,432,6505,561,0 140,0,2024-09-07 09:45:11:602,136221,0.3,135389,0.5,271669,0.2,362687,1.75 140,1,2024-09-07 09:45:11:538,989483,989483,0,0,464971067178,4793362977,984692,4252,539,364,391606,0 140,2,2024-09-07 09:45:10:693,711478,711477,1,0,27396857,0,5036 140,3,2024-09-07 09:45:10:767,1,561,4,0,297,5083,561,0 141,0,2024-09-07 09:45:11:712,141688,0.3,145563,0.4,278099,0.2,376891,1.50 141,1,2024-09-07 09:45:10:877,987484,987484,0,0,464054304731,4821884878,979580,6725,1179,379,391614,0 141,2,2024-09-07 09:45:11:686,710085,710074,11,0,29239118,0,5369 141,3,2024-09-07 09:45:11:045,1,561,1,0,391,6307,561,0 142,0,2024-09-07 09:45:11:319,143419,0.3,142396,0.5,285454,0.2,380798,1.50 142,1,2024-09-07 09:45:10:589,985594,985594,0,0,463084366755,4825829528,979434,5672,488,382,392102,0 142,2,2024-09-07 09:45:11:307,705640,705608,32,0,29213265,0,6028 142,3,2024-09-07 09:45:11:754,1,561,9,0,484,5912,561,0 143,0,2024-09-07 09:45:11:386,141711,0.4,141811,0.6,284517,0.4,377891,1.75 143,1,2024-09-07 09:45:10:557,988239,988239,0,0,464043807999,4814384881,981936,5739,564,367,391722,0 143,2,2024-09-07 09:45:10:786,712438,712438,0,0,29342283,0,3123 143,3,2024-09-07 09:45:11:140,1,561,3,0,462,6985,561,0 144,0,2024-09-07 09:45:11:500,131472,0.6,135213,0.8,275163,0.6,360318,2.00 144,1,2024-09-07 09:45:10:565,983042,983042,0,0,461639852138,4841956389,973373,7699,1970,381,391733,0 144,2,2024-09-07 09:45:11:760,712507,712507,0,0,28375954,0,4443 144,3,2024-09-07 09:45:11:754,1,561,5,0,249,5520,561,0 145,0,2024-09-07 09:45:11:360,135972,0.5,135984,0.7,288656,0.5,371906,2.25 145,1,2024-09-07 09:45:10:574,982507,982507,0,0,460753232591,4850225538,968952,10894,2661,382,391759,0 145,2,2024-09-07 09:45:11:447,708607,708525,82,0,34195196,0,7814 145,3,2024-09-07 09:45:10:896,1,561,2,0,622,7672,561,0 146,0,2024-09-07 09:45:11:672,142392,0.6,141963,0.8,285081,0.6,378668,2.25 146,1,2024-09-07 09:45:11:640,983193,983193,0,0,461809152868,4861050498,966295,12432,4466,367,391770,0 146,2,2024-09-07 09:45:11:706,704587,704581,6,0,31986512,0,5151 146,3,2024-09-07 09:45:11:278,1,561,0,0,1520,9384,561,0 147,0,2024-09-07 09:45:11:703,143786,0.6,143480,0.7,286640,0.6,382538,2.25 147,1,2024-09-07 09:45:11:375,987037,987037,0,0,463863251058,4819119028,979275,6827,935,367,391791,0 147,2,2024-09-07 09:45:11:009,708895,708895,0,0,28573002,0,2968 147,3,2024-09-07 09:45:10:919,1,561,2,0,1626,8781,561,0 0,0,2024-09-07 09:45:21:712,139010,0.6,138989,0.7,294847,0.6,380966,2.00 0,1,2024-09-07 09:45:20:804,985700,985700,0,0,463151694440,4848601380,977594,7179,927,369,391896,0 0,2,2024-09-07 09:45:21:069,708851,708851,0,0,28664744,0,4480 0,3,2024-09-07 09:45:20:980,1,562,2,0,431,8113,562,0 1,0,2024-09-07 09:45:21:778,144080,0.9,143080,0.9,287794,1.1,384287,2.00 1,1,2024-09-07 09:45:20:560,984151,984151,0,0,462195314364,4842038346,974986,7680,1485,370,391859,0 1,2,2024-09-07 09:45:20:645,709770,709770,0,0,28078506,0,3380 1,3,2024-09-07 09:45:21:310,1,562,13,0,269,6864,562,0 2,0,2024-09-07 09:45:21:568,139771,0.6,139514,0.7,279169,0.6,372649,2.00 2,1,2024-09-07 09:45:20:860,987621,987621,0,0,464452050728,4827233383,982056,4633,932,379,391745,0 2,2,2024-09-07 09:45:21:268,713784,713784,0,0,27305675,0,3594 2,3,2024-09-07 09:45:20:696,1,562,87,0,357,5041,562,0 3,0,2024-09-07 09:45:21:745,137236,0.4,137127,0.6,273521,0.3,365212,2.00 3,1,2024-09-07 09:45:21:620,986810,986810,0,0,463724309513,4824363121,979287,6820,703,379,391716,0 3,2,2024-09-07 09:45:21:144,712640,712617,23,0,28294949,0,5851 3,3,2024-09-07 09:45:21:752,1,562,2,0,275,3768,562,0 4,0,2024-09-07 09:45:21:789,137987,0.4,141842,0.5,289156,0.3,378595,1.75 4,1,2024-09-07 09:45:20:624,983293,983293,0,0,460640912956,4878679899,965956,13629,3708,370,391992,0 4,2,2024-09-07 09:45:21:021,706664,706664,0,0,34262503,0,4534 4,3,2024-09-07 09:45:21:027,1,562,3,0,448,7024,562,0 5,0,2024-09-07 09:45:21:428,143157,0.4,143224,0.5,286669,0.3,381101,1.75 5,1,2024-09-07 09:45:20:755,984045,984045,0,0,462623810632,4870540872,969954,11278,2813,367,392005,0 5,2,2024-09-07 09:45:21:829,705737,705737,0,0,32923060,0,3582 5,3,2024-09-07 09:45:21:735,1,562,1,0,457,7545,562,0 6,0,2024-09-07 09:45:20:920,141831,0.5,141307,0.7,282828,0.4,376724,2.00 6,1,2024-09-07 09:45:20:746,986124,986124,0,0,463131830589,4837600544,975607,8995,1522,379,391702,0 6,2,2024-09-07 09:45:21:127,713435,713417,18,0,32357609,0,5535 6,3,2024-09-07 09:45:21:276,1,562,0,0,710,6947,562,0 7,0,2024-09-07 09:45:21:531,134812,0.5,135663,0.7,269989,0.5,359918,2.00 7,1,2024-09-07 09:45:20:857,984949,984949,0,0,462685231748,4858984352,970952,11593,2404,382,391747,0 7,2,2024-09-07 09:45:20:772,711610,711610,0,0,31215642,0,4791 7,3,2024-09-07 09:45:20:858,1,562,2,0,552,6400,562,0 8,0,2024-09-07 09:45:21:336,141531,0.3,141115,0.5,282995,0.3,377349,1.75 8,1,2024-09-07 09:45:21:016,983180,983180,0,0,462577100464,4866458868,967182,12458,3540,366,392853,0 8,2,2024-09-07 09:45:20:789,706123,706121,2,0,37042986,0,5112 8,3,2024-09-07 09:45:20:599,1,562,1,0,772,8959,562,0 9,0,2024-09-07 09:45:21:186,142585,0.4,138792,0.5,290686,0.3,380941,1.75 9,1,2024-09-07 09:45:20:555,983249,983249,0,0,461551524786,4864713366,968080,12183,2986,369,392001,0 9,2,2024-09-07 09:45:21:084,706275,706274,1,0,33590754,0,5281 9,3,2024-09-07 09:45:21:760,1,562,10,0,1273,9587,562,0 10,0,2024-09-07 09:45:21:603,142834,0.4,142347,0.5,285527,0.3,380238,1.75 10,1,2024-09-07 09:45:20:582,985315,985315,0,0,463048967279,4853769282,971532,11350,2433,381,391869,0 10,2,2024-09-07 09:45:20:766,711867,711867,0,0,36795284,0,4264 10,3,2024-09-07 09:45:20:871,1,562,12,0,669,6550,562,0 11,0,2024-09-07 09:45:21:012,136352,0.4,132207,0.6,276555,0.4,364077,1.75 11,1,2024-09-07 09:45:20:571,986827,986827,0,0,463361435324,4858519767,972555,10510,3762,383,391766,0 11,2,2024-09-07 09:45:21:123,712056,712056,0,0,32887943,0,4130 11,3,2024-09-07 09:45:21:298,1,562,1,0,843,7413,562,0 12,0,2024-09-07 09:45:20:953,140939,0.4,140904,0.5,281706,0.3,374898,1.75 12,1,2024-09-07 09:45:20:937,986179,986179,0,0,462925695922,4830207029,977903,7278,998,370,391960,0 12,2,2024-09-07 09:45:21:541,710610,710610,0,0,32023609,0,4390 12,3,2024-09-07 09:45:21:059,1,562,1,0,386,7225,562,0 13,0,2024-09-07 09:45:21:326,143975,0.4,143863,0.6,286926,0.4,382271,1.75 13,1,2024-09-07 09:45:21:529,983853,983853,0,0,462357098566,4860475123,973557,7990,2306,382,391768,0 13,2,2024-09-07 09:45:20:598,708894,708894,0,0,28338335,0,3287 13,3,2024-09-07 09:45:21:762,1,562,5,0,522,7665,562,0 14,0,2024-09-07 09:45:20:572,144482,0.4,145525,0.6,288755,0.4,384455,1.75 14,1,2024-09-07 09:45:21:560,990497,990497,0,0,464607539217,4808217789,982714,6812,971,364,391673,0 14,2,2024-09-07 09:45:20:764,710926,710896,30,0,30062189,0,6104 14,3,2024-09-07 09:45:21:120,1,562,8,0,1168,6307,562,0 15,0,2024-09-07 09:45:21:556,138691,0.4,138043,0.6,277113,0.4,369254,2.00 15,1,2024-09-07 09:45:21:618,987349,987349,0,0,463375903203,4828951339,978325,7111,1913,381,391619,0 15,2,2024-09-07 09:45:20:998,715739,715739,0,0,26101392,0,3622 15,3,2024-09-07 09:45:21:414,1,562,0,0,1126,7580,562,0 16,0,2024-09-07 09:45:20:932,137782,0.5,138573,0.7,276702,0.5,368404,2.00 16,1,2024-09-07 09:45:20:564,987595,987595,0,0,463393247516,4841400066,979122,7168,1305,370,392194,0 16,2,2024-09-07 09:45:21:434,711664,711664,0,0,29679102,0,4719 16,3,2024-09-07 09:45:21:143,1,562,0,0,358,7056,562,0 17,0,2024-09-07 09:45:21:802,147651,0.6,143957,0.8,282014,0.6,383038,2.00 17,1,2024-09-07 09:45:20:580,985905,985905,0,0,462738324836,4853489141,976115,8034,1756,368,392075,0 17,2,2024-09-07 09:45:21:669,710896,710895,1,0,30524643,0,5050 17,3,2024-09-07 09:45:20:582,1,562,1,0,518,8201,562,0 18,0,2024-09-07 09:45:20:946,142135,0.7,143013,0.8,284979,0.7,380800,2.25 18,1,2024-09-07 09:45:21:642,990035,990035,0,0,464688452457,4817255848,983709,5329,997,367,391725,0 18,2,2024-09-07 09:45:21:762,711688,711688,0,0,26903737,0,3541 18,3,2024-09-07 09:45:20:902,1,562,12,0,1059,5310,562,0 19,0,2024-09-07 09:45:21:540,139995,0.6,140198,0.8,279416,0.6,371695,2.00 19,1,2024-09-07 09:45:20:567,989399,989399,0,0,465234088730,4821399508,982127,6183,1089,367,391777,0 19,2,2024-09-07 09:45:21:756,716292,716292,0,0,25745230,0,3988 19,3,2024-09-07 09:45:21:130,1,562,2,0,524,4336,562,0 20,0,2024-09-07 09:45:21:370,135912,0.5,136048,0.7,271728,0.5,362589,2.00 20,1,2024-09-07 09:45:20:583,985217,985217,0,0,463563021614,4856110655,975072,8643,1502,369,391922,0 20,2,2024-09-07 09:45:20:927,711027,711027,0,0,31764914,0,4321 20,3,2024-09-07 09:45:20:607,1,562,9,0,468,9125,562,0 21,0,2024-09-07 09:45:21:132,141671,0.4,141857,0.6,283703,0.4,376475,1.75 21,1,2024-09-07 09:45:21:536,983627,983627,0,0,462189668511,4877392744,968103,12117,3407,368,392016,0 21,2,2024-09-07 09:45:21:070,707607,707587,20,0,36084798,0,5617 21,3,2024-09-07 09:45:21:404,1,562,7,0,713,7857,562,0 22,0,2024-09-07 09:45:21:717,142825,0.5,143328,0.7,285884,0.4,379713,2.00 22,1,2024-09-07 09:45:21:023,984678,984678,0,0,462056589208,4862521538,968276,13061,3341,382,391822,0 22,2,2024-09-07 09:45:20:760,706435,706409,26,0,30057902,0,6328 22,3,2024-09-07 09:45:21:068,1,562,8,0,228,4763,562,0 23,0,2024-09-07 09:45:21:368,141645,0.5,141351,0.7,282586,0.5,376858,2.25 23,1,2024-09-07 09:45:21:005,986259,986259,0,0,463962871373,4868643166,969378,12032,4849,365,391690,0 23,2,2024-09-07 09:45:21:095,713595,713595,0,0,29259324,0,3773 23,3,2024-09-07 09:45:21:753,1,562,2,0,855,8438,562,0 24,0,2024-09-07 09:45:20:853,136715,0.4,135988,0.6,273561,0.4,363255,1.75 24,1,2024-09-07 09:45:20:598,984932,984932,0,0,462443579232,4840739062,975555,7694,1683,367,392269,0 24,2,2024-09-07 09:45:21:069,711882,711882,0,0,35298111,0,4438 24,3,2024-09-07 09:45:21:686,1,562,15,0,468,6975,562,0 25,0,2024-09-07 09:45:21:362,144815,0.4,141008,0.6,276692,0.4,377001,1.75 25,1,2024-09-07 09:45:20:559,983480,983480,0,0,461955161223,4877434417,966786,13162,3532,369,391928,0 25,2,2024-09-07 09:45:21:611,709164,709164,0,0,36131606,0,3978 25,3,2024-09-07 09:45:21:005,1,562,10,0,532,6298,562,0 26,0,2024-09-07 09:45:21:727,141881,0.4,138901,0.6,291491,0.4,379215,2.00 26,1,2024-09-07 09:45:21:541,987371,987371,0,0,462342051064,4840249393,973956,10956,2459,380,391748,0 26,2,2024-09-07 09:45:20:862,706814,706814,0,0,37848787,0,4689 26,3,2024-09-07 09:45:21:718,1,562,12,0,796,6501,562,0 27,0,2024-09-07 09:45:21:732,143791,0.4,144486,0.6,287052,0.4,382729,2.25 27,1,2024-09-07 09:45:21:676,987296,987296,0,0,464630063210,4840712026,977896,8107,1293,381,391626,0 27,2,2024-09-07 09:45:20:867,707998,707933,65,0,33190209,0,5699 27,3,2024-09-07 09:45:21:021,1,562,4,0,564,4854,562,0 28,0,2024-09-07 09:45:21:389,138217,0.4,137990,0.6,276405,0.3,367820,2.00 28,1,2024-09-07 09:45:20:796,988314,988314,0,0,463671790049,4833310071,979963,6615,1736,382,391698,0 28,2,2024-09-07 09:45:21:766,714109,714109,0,0,29389545,0,2915 28,3,2024-09-07 09:45:21:779,1,562,1,0,502,5515,562,0 29,0,2024-09-07 09:45:21:359,143166,0.4,139363,0.6,273597,0.3,373036,1.75 29,1,2024-09-07 09:45:21:560,991268,991268,0,0,465134023035,4810429115,985292,5202,774,367,391809,0 29,2,2024-09-07 09:45:20:870,711365,711365,0,0,28289412,0,4986 29,3,2024-09-07 09:45:20:963,1,562,1,0,459,6302,562,0 30,0,2024-09-07 09:45:21:458,142162,0.5,138471,0.7,290139,0.5,378676,2.00 30,1,2024-09-07 09:45:20:600,989908,989908,0,0,465757655969,4831643817,982751,6251,906,380,391672,0 30,2,2024-09-07 09:45:21:277,708519,708519,0,0,27030915,0,4192 30,3,2024-09-07 09:45:20:582,1,562,11,0,519,5348,562,0 31,0,2024-09-07 09:45:21:759,143860,0.4,144589,0.6,288229,0.4,384919,2.00 31,1,2024-09-07 09:45:20:568,993076,993076,0,0,466673152887,4790434175,987433,4618,1025,356,391712,0 31,2,2024-09-07 09:45:21:277,709173,709173,0,0,30333551,0,4470 31,3,2024-09-07 09:45:21:708,1,562,1,0,239,4818,562,0 32,0,2024-09-07 09:45:21:423,140204,0.3,140990,0.5,280668,0.3,373981,1.75 32,1,2024-09-07 09:45:20:821,989650,989650,0,0,464660794369,4827586024,983788,5152,710,381,391646,0 32,2,2024-09-07 09:45:20:934,715377,715377,0,0,26626816,0,3922 32,3,2024-09-07 09:45:21:015,1,562,1,0,304,4480,562,0 33,0,2024-09-07 09:45:21:493,137837,0.3,137372,0.4,275127,0.2,366133,1.50 33,1,2024-09-07 09:45:20:580,989641,989641,0,0,465898345595,4824642046,981914,6551,1176,368,391730,0 33,2,2024-09-07 09:45:20:760,713009,712974,35,0,29249469,0,7012 33,3,2024-09-07 09:45:20:902,1,562,1,0,329,4999,562,0 34,0,2024-09-07 09:45:20:935,142475,0.3,146328,0.4,279848,0.2,378175,1.75 34,1,2024-09-07 09:45:21:043,992326,992326,0,0,466020421921,4792226568,989684,2620,22,367,391637,0 34,2,2024-09-07 09:45:20:766,711156,711156,0,0,27221734,0,4562 34,3,2024-09-07 09:45:21:688,1,562,3,0,320,4550,562,0 35,0,2024-09-07 09:45:20:861,142328,0.3,143040,0.5,287221,0.2,381736,1.75 35,1,2024-09-07 09:45:21:068,988575,988575,0,0,464916667592,4822868107,981353,5738,1484,382,391769,0 35,2,2024-09-07 09:45:21:587,708457,708457,0,0,30335395,0,4055 35,3,2024-09-07 09:45:20:907,1,562,1,0,466,5485,562,0 36,0,2024-09-07 09:45:21:542,142074,0.5,141894,0.7,283588,0.5,377489,2.00 36,1,2024-09-07 09:45:20:583,987093,987093,0,0,462808251478,4833869386,974178,10684,2231,366,391759,0 36,2,2024-09-07 09:45:21:751,713217,713217,0,0,32071525,0,3875 36,3,2024-09-07 09:45:20:863,1,562,1,0,416,7435,562,0 37,0,2024-09-07 09:45:21:369,135020,0.5,134983,0.7,270229,0.5,360494,2.25 37,1,2024-09-07 09:45:20:569,986601,986594,0,7,462790477159,4830993139,974995,8884,2715,365,391770,0 37,2,2024-09-07 09:45:21:150,709808,709793,15,0,32221857,0,5815 37,3,2024-09-07 09:45:21:766,1,562,29,0,888,7654,562,0 38,0,2024-09-07 09:45:21:523,140736,0.4,136514,0.6,285401,0.3,374255,2.00 38,1,2024-09-07 09:45:21:607,986863,986863,0,0,463793187145,4847753509,972879,11403,2581,368,391821,0 38,2,2024-09-07 09:45:20:759,710729,710682,47,0,32162079,0,6710 38,3,2024-09-07 09:45:20:997,1,562,7,0,689,6982,562,0 39,0,2024-09-07 09:45:21:762,145845,0.5,142592,0.7,278055,0.5,379753,2.00 39,1,2024-09-07 09:45:20:777,986037,986037,0,0,462924516377,4854633643,969360,12980,3697,365,391865,0 39,2,2024-09-07 09:45:21:417,707734,707734,0,0,29542322,0,3391 39,3,2024-09-07 09:45:20:713,1,562,2,0,525,6521,562,0 40,0,2024-09-07 09:45:21:502,141565,0.9,142181,1.0,283686,1.0,378293,2.75 40,1,2024-09-07 09:45:20:580,987177,987177,0,0,462537008623,4845009531,972808,11590,2779,368,391668,0 40,2,2024-09-07 09:45:21:310,709887,709886,1,0,35680098,0,5137 40,3,2024-09-07 09:45:21:142,1,562,24,0,1028,8250,562,0 41,0,2024-09-07 09:45:21:023,135740,1.2,138819,1.1,264695,1.7,359682,3.00 41,1,2024-09-07 09:45:20:778,986276,986276,0,0,462449714253,4838690439,973568,10642,2066,369,391878,0 41,2,2024-09-07 09:45:20:763,709835,709834,1,0,34553359,0,5408 41,3,2024-09-07 09:45:21:676,1,562,0,0,366,6034,562,0 42,0,2024-09-07 09:45:21:474,139403,0.9,139221,1.0,278002,1.0,369871,2.75 42,1,2024-09-07 09:45:21:441,983786,983786,0,0,461976870164,4856409002,968111,12747,2928,380,391675,0 42,2,2024-09-07 09:45:21:140,710004,710004,0,0,34459103,0,3975 42,3,2024-09-07 09:45:21:013,1,562,8,0,892,5400,562,0 43,0,2024-09-07 09:45:20:916,141461,0.7,137744,0.9,288636,0.8,377227,2.25 43,1,2024-09-07 09:45:20:579,986755,986755,0,0,463548134201,4843771339,973021,11335,2399,366,391696,0 43,2,2024-09-07 09:45:21:735,707527,707527,0,0,32746859,0,4723 43,3,2024-09-07 09:45:21:750,1,562,1,0,571,8091,562,0 44,0,2024-09-07 09:45:20:866,144789,0.4,144663,0.6,289764,0.4,384962,1.75 44,1,2024-09-07 09:45:20:568,989554,989554,0,0,464416547897,4801909495,980594,7043,1917,356,391809,0 44,2,2024-09-07 09:45:21:270,708341,708341,0,0,27020313,0,4344 44,3,2024-09-07 09:45:21:093,1,562,1,0,1097,7194,562,0 45,0,2024-09-07 09:45:21:758,137288,0.5,134095,0.7,281422,0.4,369396,2.00 45,1,2024-09-07 09:45:21:006,988239,988239,0,0,464311932206,4826059432,980176,7160,903,382,391917,0 45,2,2024-09-07 09:45:21:267,714469,714469,0,0,27530852,0,3596 45,3,2024-09-07 09:45:20:937,1,562,1,0,531,5636,562,0 46,0,2024-09-07 09:45:20:962,137383,0.5,137024,0.7,274714,0.5,365354,2.00 46,1,2024-09-07 09:45:20:579,990102,990102,0,0,465560780649,4811973626,983114,6124,864,366,391709,0 46,2,2024-09-07 09:45:20:598,712746,712746,0,0,28140608,0,4443 46,3,2024-09-07 09:45:21:141,1,562,8,0,908,6938,562,0 47,0,2024-09-07 09:45:21:101,143494,0.4,143475,0.6,288018,0.4,381532,2.00 47,1,2024-09-07 09:45:20:568,991558,991558,0,0,464998004415,4804119785,985805,4900,853,365,391641,0 47,2,2024-09-07 09:45:20:908,711849,711849,0,0,27210102,0,4477 47,3,2024-09-07 09:45:21:120,1,562,45,0,600,6320,562,0 48,0,2024-09-07 09:45:21:506,143828,0.3,144080,0.4,287506,0.2,382648,1.50 48,1,2024-09-07 09:45:21:022,989041,989041,0,0,463931484423,4818086144,983105,5360,576,384,391710,0 48,2,2024-09-07 09:45:20:698,709721,709721,0,0,25334530,0,3524 48,3,2024-09-07 09:45:20:756,1,562,10,0,339,4667,562,0 49,0,2024-09-07 09:45:21:715,144294,0.3,141527,0.5,275353,0.3,375873,1.75 49,1,2024-09-07 09:45:21:024,988355,988355,0,0,463997258452,4823299302,982179,4800,1376,382,391809,0 49,2,2024-09-07 09:45:21:796,714828,714828,0,0,27799302,0,4426 49,3,2024-09-07 09:45:21:416,1,562,1,0,992,6532,562,0 50,0,2024-09-07 09:45:21:528,136832,0.3,135039,0.5,271984,0.2,362449,1.75 50,1,2024-09-07 09:45:21:013,991429,991429,0,0,465543717580,4811256040,985324,5437,668,368,391565,0 50,2,2024-09-07 09:45:21:067,711278,711278,0,0,25430825,0,4490 50,3,2024-09-07 09:45:21:293,1,562,7,0,617,5848,562,0 51,0,2024-09-07 09:45:21:696,145486,0.3,142506,0.4,277276,0.2,378118,1.75 51,1,2024-09-07 09:45:21:687,992094,992094,0,0,465856203079,4804557818,986586,4428,1080,365,391706,0 51,2,2024-09-07 09:45:21:319,711022,711022,0,0,25147975,0,3337 51,3,2024-09-07 09:45:21:028,1,562,1,0,678,4019,562,0 52,0,2024-09-07 09:45:21:421,143344,0.5,142990,0.6,286149,0.4,380602,2.00 52,1,2024-09-07 09:45:20:581,987113,987113,0,0,463865808594,4855321803,972842,12056,2215,368,391805,0 52,2,2024-09-07 09:45:21:754,704542,704504,38,0,33411787,0,6742 52,3,2024-09-07 09:45:20:674,1,562,1,0,1782,6954,562,0 53,0,2024-09-07 09:45:21:732,141289,0.7,137074,0.8,286813,0.7,376054,2.50 53,1,2024-09-07 09:45:20:774,985542,985542,0,0,463400697260,4863491059,968488,12851,4203,367,391968,0 53,2,2024-09-07 09:45:21:304,712555,712554,1,0,30444408,0,5455 53,3,2024-09-07 09:45:20:702,1,562,1,0,308,5253,562,0 54,0,2024-09-07 09:45:21:618,133983,0.6,134411,0.8,267643,0.4,357366,2.25 54,1,2024-09-07 09:45:20:586,987404,987404,0,0,464005388678,4826328855,976763,8979,1662,366,391810,0 54,2,2024-09-07 09:45:20:868,712917,712885,32,0,34077579,0,6397 54,3,2024-09-07 09:45:20:763,1,562,8,0,676,7552,562,0 55,0,2024-09-07 09:45:21:760,136001,0.6,140275,0.7,284413,0.5,371270,2.50 55,1,2024-09-07 09:45:20:764,988652,988652,0,0,463050014838,4817342769,978168,9099,1385,365,391731,0 55,2,2024-09-07 09:45:20:736,709608,709552,56,0,32141560,0,7239 55,3,2024-09-07 09:45:20:693,1,562,33,0,304,5281,562,0 56,0,2024-09-07 09:45:21:561,145564,1.2,137253,1.1,282666,1.5,378707,2.75 56,1,2024-09-07 09:45:20:585,982860,982860,0,0,461705863515,4881264838,966238,13153,3469,381,391867,0 56,2,2024-09-07 09:45:21:310,706290,706168,122,0,33344908,0,7432 56,3,2024-09-07 09:45:21:073,1,562,2,0,705,6633,562,0 57,0,2024-09-07 09:45:20:987,141949,1.5,141946,1.2,283976,2.1,379493,3.25 57,1,2024-09-07 09:45:20:988,984836,984836,0,0,462811317495,4857985525,971597,10907,2332,366,392032,0 57,2,2024-09-07 09:45:21:316,710513,710513,0,0,34835404,0,4317 57,3,2024-09-07 09:45:21:738,1,562,23,0,455,6498,562,0 58,0,2024-09-07 09:45:20:555,136154,0.9,132073,1.0,276345,1.1,361370,2.50 58,1,2024-09-07 09:45:20:579,986149,986146,0,3,463640086301,4860470438,971113,11341,3692,367,391675,3 58,2,2024-09-07 09:45:21:070,713625,713625,0,0,33002122,0,3483 58,3,2024-09-07 09:45:21:068,1,562,8,0,1043,6391,562,0 59,0,2024-09-07 09:45:21:752,138630,0.8,138400,0.9,276414,0.8,367072,2.75 59,1,2024-09-07 09:45:20:817,984967,984967,0,0,462730844911,4861346749,968774,12862,3331,369,391653,0 59,2,2024-09-07 09:45:20:596,711132,711132,0,0,31537040,0,3727 59,3,2024-09-07 09:45:21:751,1,562,17,0,1015,6909,562,0 60,0,2024-09-07 09:45:21:715,142927,0.5,143271,0.7,286267,0.5,380943,1.75 60,1,2024-09-07 09:45:20:784,990013,990013,0,0,465157865574,4831483162,982846,6172,995,370,392031,0 60,2,2024-09-07 09:45:21:140,708215,708215,0,0,29439383,0,3811 60,3,2024-09-07 09:45:21:258,1,562,0,0,409,6554,562,0 61,0,2024-09-07 09:45:21:500,144012,0.6,144592,0.8,287906,0.6,384351,2.00 61,1,2024-09-07 09:45:20:775,986275,986275,0,0,463332175266,4852124882,975519,9096,1660,382,392127,0 61,2,2024-09-07 09:45:21:136,709743,709676,67,0,30394032,0,6411 61,3,2024-09-07 09:45:21:696,1,562,1,0,607,7667,562,0 62,0,2024-09-07 09:45:21:732,140429,0.6,144102,0.7,275153,0.6,373961,2.00 62,1,2024-09-07 09:45:21:113,992179,992173,0,6,466425999936,4807761286,987559,4331,283,365,391975,6 62,2,2024-09-07 09:45:21:643,712012,712011,1,0,30614117,0,5555 62,3,2024-09-07 09:45:21:143,1,562,7,0,482,4513,562,0 63,0,2024-09-07 09:45:21:462,137819,0.4,137547,0.6,275916,0.4,366472,1.75 63,1,2024-09-07 09:45:20:804,989147,989141,0,6,464625245661,4824802692,982596,5691,854,381,391800,6 63,2,2024-09-07 09:45:20:762,712334,712334,0,0,28706923,0,4369 63,3,2024-09-07 09:45:21:732,1,562,1,0,667,6056,562,0 64,0,2024-09-07 09:45:21:511,141654,0.5,141770,0.6,282580,0.4,376427,1.75 64,1,2024-09-07 09:45:20:750,988125,988125,0,0,464503920203,4835993361,979541,6768,1816,370,391794,0 64,2,2024-09-07 09:45:21:141,713854,713835,19,0,27689945,0,6121 64,3,2024-09-07 09:45:21:141,1,562,31,0,651,5880,562,0 65,0,2024-09-07 09:45:21:677,142075,0.6,142238,0.7,284257,0.6,379200,2.00 65,1,2024-09-07 09:45:20:868,986464,986464,0,0,462524374780,4831975320,979629,6034,801,381,391901,0 65,2,2024-09-07 09:45:21:702,707849,707849,0,0,32495616,0,3367 65,3,2024-09-07 09:45:21:683,1,562,3,0,782,6447,562,0 66,0,2024-09-07 09:45:21:770,141179,0.6,140634,0.8,281474,0.5,374606,2.25 66,1,2024-09-07 09:45:21:295,988886,988886,0,0,463817365483,4826191312,982539,5625,722,380,391743,0 66,2,2024-09-07 09:45:21:141,715737,715734,3,0,29598892,0,5455 66,3,2024-09-07 09:45:21:082,1,562,2,0,291,4819,562,0 67,0,2024-09-07 09:45:21:442,135366,0.5,135172,0.7,270895,0.5,360607,2.00 67,1,2024-09-07 09:45:20:766,988392,988391,0,1,464011436635,4827453464,982070,5505,816,380,391787,1 67,2,2024-09-07 09:45:20:589,714513,714498,15,0,29395360,0,6205 67,3,2024-09-07 09:45:21:751,1,562,2,0,595,6001,562,0 68,0,2024-09-07 09:45:20:612,141501,0.5,141308,0.7,281426,0.5,376696,2.00 68,1,2024-09-07 09:45:20:579,984280,984280,0,0,462024597073,4858289641,971215,9606,3459,381,391953,0 68,2,2024-09-07 09:45:21:051,707118,707018,100,0,37258570,0,8578 68,3,2024-09-07 09:45:20:729,1,562,179,0,417,7490,562,0 69,0,2024-09-07 09:45:21:725,142032,0.7,142882,0.8,284732,0.7,378283,2.25 69,1,2024-09-07 09:45:21:022,983597,983597,0,0,462142745453,4869434563,970787,10045,2765,383,391994,0 69,2,2024-09-07 09:45:21:744,705369,705340,29,0,38422353,0,6912 69,3,2024-09-07 09:45:20:768,1,562,1,0,698,8327,562,0 70,0,2024-09-07 09:45:21:533,141271,0.8,141704,1.0,285014,0.7,377143,2.50 70,1,2024-09-07 09:45:20:803,989477,989477,0,0,465050074176,4825043610,981838,6876,763,366,391725,0 70,2,2024-09-07 09:45:21:325,711952,711952,0,0,32235865,0,4323 70,3,2024-09-07 09:45:20:748,1,562,14,0,854,6419,562,0 71,0,2024-09-07 09:45:21:358,135065,1.0,134860,1.1,270704,1.3,361173,2.75 71,1,2024-09-07 09:45:21:601,987456,987456,0,0,463851726555,4839826563,975532,10575,1349,368,391738,0 71,2,2024-09-07 09:45:21:067,712206,712206,0,0,33093333,0,4352 71,3,2024-09-07 09:45:21:751,1,562,20,0,644,6533,562,0 72,0,2024-09-07 09:45:21:040,144576,0.5,141241,0.7,275792,0.5,375466,2.00 72,1,2024-09-07 09:45:21:035,985618,985618,0,0,462571297619,4852850048,971449,11775,2394,369,391819,0 72,2,2024-09-07 09:45:21:755,709577,709577,0,0,34648895,0,3983 72,3,2024-09-07 09:45:21:754,1,562,0,0,564,8310,562,0 73,0,2024-09-07 09:45:21:102,139421,0.5,142906,0.6,292444,0.4,379999,2.00 73,1,2024-09-07 09:45:20:789,987735,987735,0,0,463978302288,4822524564,980198,6610,927,367,391858,0 73,2,2024-09-07 09:45:21:739,707077,707077,0,0,34689382,0,4728 73,3,2024-09-07 09:45:20:982,1,562,7,0,1091,8052,562,0 74,0,2024-09-07 09:45:21:321,145484,0.5,148962,0.7,284125,0.4,385186,2.00 74,1,2024-09-07 09:45:20:635,986299,986299,0,0,463100928316,4834911897,975268,9053,1978,381,391762,0 74,2,2024-09-07 09:45:21:005,709132,709132,0,0,30609318,0,4253 74,3,2024-09-07 09:45:21:442,1,562,8,0,522,6753,562,0 75,0,2024-09-07 09:45:21:780,139217,0.6,138278,0.8,277732,0.5,370896,2.25 75,1,2024-09-07 09:45:21:593,988051,988051,0,0,463413291770,4829886519,979892,7293,866,380,391739,0 75,2,2024-09-07 09:45:21:352,712289,712289,0,0,37253788,0,4766 75,3,2024-09-07 09:45:21:067,1,562,1,0,702,6857,562,0 76,0,2024-09-07 09:45:20:579,137331,0.6,136799,0.8,273833,0.5,366650,2.25 76,1,2024-09-07 09:45:20:805,987134,987134,0,0,462038533905,4818298973,980407,5757,970,382,391723,0 76,2,2024-09-07 09:45:21:062,714178,714175,3,0,30711747,0,5265 76,3,2024-09-07 09:45:21:150,1,562,16,0,227,5101,562,0 77,0,2024-09-07 09:45:21:689,142746,0.6,143296,0.7,286508,0.5,380840,2.00 77,1,2024-09-07 09:45:20:842,986932,986932,0,0,463736600794,4838562335,979208,6850,874,381,391869,0 77,2,2024-09-07 09:45:21:280,708307,708307,0,0,29791600,0,3890 77,3,2024-09-07 09:45:21:094,1,562,1,0,401,6209,562,0 78,0,2024-09-07 09:45:21:716,144019,0.4,143529,0.6,287658,0.4,381202,2.00 78,1,2024-09-07 09:45:20:618,987436,987436,0,0,463128467757,4831023536,975610,9159,2667,367,391670,0 78,2,2024-09-07 09:45:21:407,710583,710570,13,0,27978137,0,8313 78,3,2024-09-07 09:45:21:133,1,562,12,0,311,4800,562,0 79,0,2024-09-07 09:45:21:347,135663,0.4,138927,0.6,284756,0.3,370523,2.00 79,1,2024-09-07 09:45:20:586,990595,990595,0,0,465012700914,4815921548,982808,6429,1358,367,391682,0 79,2,2024-09-07 09:45:21:069,714186,714186,0,0,26960744,0,4195 79,3,2024-09-07 09:45:20:749,1,562,231,0,418,6825,562,0 80,0,2024-09-07 09:45:21:080,135897,0.5,139718,0.7,267055,0.5,362040,2.00 80,1,2024-09-07 09:45:21:628,987676,987676,0,0,464250533197,4831063923,981516,5728,432,368,392269,0 80,2,2024-09-07 09:45:21:112,714194,714194,0,0,27370158,0,4433 80,3,2024-09-07 09:45:20:597,1,562,5,0,681,7178,562,0 81,0,2024-09-07 09:45:21:533,142070,0.5,145426,0.7,277319,0.5,376443,2.00 81,1,2024-09-07 09:45:21:653,986410,986410,0,0,462825986339,4835218330,978958,6810,642,382,391885,0 81,2,2024-09-07 09:45:21:131,709355,709292,63,0,30718017,0,5932 81,3,2024-09-07 09:45:21:138,1,562,46,0,719,6467,562,0 82,0,2024-09-07 09:45:21:544,142681,0.4,142787,0.7,286294,0.4,379670,2.00 82,1,2024-09-07 09:45:20:588,989259,989255,0,4,464463701148,4826994212,983923,4510,822,381,391768,4 82,2,2024-09-07 09:45:21:690,710193,710193,0,0,25301625,0,4484 82,3,2024-09-07 09:45:21:752,1,562,16,0,363,5369,562,0 83,0,2024-09-07 09:45:21:532,141928,0.6,142001,0.7,283396,0.6,376160,2.25 83,1,2024-09-07 09:45:20:560,987121,987121,0,0,462973262879,4825502623,980220,6327,574,382,391709,0 83,2,2024-09-07 09:45:20:764,712924,712899,25,0,27736867,0,5612 83,3,2024-09-07 09:45:20:748,1,562,1,0,1260,6935,562,0 84,0,2024-09-07 09:45:21:799,134724,0.7,135021,0.9,269420,0.6,360524,2.25 84,1,2024-09-07 09:45:21:039,985776,985776,0,0,461601691038,4827576177,974317,9805,1654,367,391967,0 84,2,2024-09-07 09:45:20:570,712268,712238,30,0,36522137,0,5971 84,3,2024-09-07 09:45:21:140,1,562,20,0,908,7630,562,0 85,0,2024-09-07 09:45:21:045,135538,0.6,135540,0.8,287645,0.5,372416,2.25 85,1,2024-09-07 09:45:20:564,983118,983118,0,0,461892275336,4877839383,967798,12574,2746,381,392092,0 85,2,2024-09-07 09:45:20:865,709937,709937,0,0,34113182,0,4255 85,3,2024-09-07 09:45:20:694,1,562,3,0,789,6614,562,0 86,0,2024-09-07 09:45:20:882,142647,0.6,146666,0.8,280379,0.7,379498,2.25 86,1,2024-09-07 09:45:20:828,985308,985308,0,0,463911083851,4864510905,972253,10399,2656,366,391995,0 86,2,2024-09-07 09:45:20:863,706164,706163,1,0,36077686,0,5004 86,3,2024-09-07 09:45:20:597,1,562,8,0,308,8024,562,0 87,0,2024-09-07 09:45:21:294,143857,0.8,143399,0.8,286826,1.0,383081,2.25 87,1,2024-09-07 09:45:20:551,984754,984754,0,0,462550808736,4845212431,972197,10837,1720,366,392076,0 87,2,2024-09-07 09:45:21:072,709485,709479,6,0,32781023,0,6323 87,3,2024-09-07 09:45:21:795,1,562,2,0,473,8363,562,0 88,0,2024-09-07 09:45:21:438,137920,0.5,138321,0.6,276517,0.4,367696,1.75 88,1,2024-09-07 09:45:20:586,984223,984223,0,0,462498514810,4845402249,970641,10844,2738,365,392084,0 88,2,2024-09-07 09:45:20:698,712652,712652,0,0,36224435,0,4465 88,3,2024-09-07 09:45:21:274,1,562,13,0,1080,8547,562,0 89,0,2024-09-07 09:45:21:798,143295,0.4,139048,0.6,274250,0.4,373026,1.75 89,1,2024-09-07 09:45:20:559,983890,983890,0,0,462666243762,4867435900,971482,10475,1933,382,391866,0 89,2,2024-09-07 09:45:21:144,710633,710633,0,0,33566768,0,3173 89,3,2024-09-07 09:45:21:791,1,562,1,0,468,9528,562,0 90,0,2024-09-07 09:45:21:619,138809,0.5,142522,0.6,290705,0.4,379284,2.00 90,1,2024-09-07 09:45:20:590,985594,985594,0,0,462778965312,4847844970,975921,8785,888,380,391825,0 90,2,2024-09-07 09:45:21:411,704932,704932,0,0,35815612,0,3608 90,3,2024-09-07 09:45:20:930,1,562,17,0,322,6856,562,0 91,0,2024-09-07 09:45:20:937,144625,0.5,140306,0.6,293377,0.4,384971,1.75 91,1,2024-09-07 09:45:20:562,982866,982866,0,0,463114664540,4876653875,968810,11319,2737,381,392047,0 91,2,2024-09-07 09:45:21:343,709674,709674,0,0,31585114,0,4713 91,3,2024-09-07 09:45:20:607,1,562,4,0,216,5149,562,0 92,0,2024-09-07 09:45:21:458,141603,0.5,144786,0.6,276016,0.5,374179,1.75 92,1,2024-09-07 09:45:20:592,986930,986930,0,0,463490253849,4840710660,979151,6570,1209,381,392136,0 92,2,2024-09-07 09:45:21:352,714085,714085,0,0,28559084,0,3259 92,3,2024-09-07 09:45:21:014,1,562,2,0,167,4832,562,0 93,0,2024-09-07 09:45:20:976,138069,0.4,141592,0.6,270224,0.4,366537,1.75 93,1,2024-09-07 09:45:20:818,987050,987050,0,0,463606040061,4840248350,975831,9295,1924,366,391776,0 93,2,2024-09-07 09:45:20:928,711817,711817,0,0,34180261,0,4913 93,3,2024-09-07 09:45:21:420,1,562,8,0,190,5145,562,0 94,0,2024-09-07 09:45:21:637,141577,0.4,142652,0.5,285154,0.3,378909,1.75 94,1,2024-09-07 09:45:20:572,987848,987848,0,0,463817041436,4837235568,981190,6228,430,381,391850,0 94,2,2024-09-07 09:45:20:763,709986,709958,28,0,29128193,0,6179 94,3,2024-09-07 09:45:21:688,1,562,17,0,576,6960,562,0 95,0,2024-09-07 09:45:21:360,143108,0.3,143134,0.5,286429,0.3,381738,1.75 95,1,2024-09-07 09:45:20:853,989102,989102,0,0,463687420866,4819328064,981002,7399,701,365,391852,0 95,2,2024-09-07 09:45:21:019,707655,707655,0,0,28258478,0,3308 95,3,2024-09-07 09:45:21:718,1,562,324,0,718,8675,562,0 96,0,2024-09-07 09:45:21:033,141574,0.4,141871,0.5,283645,0.3,376883,1.75 96,1,2024-09-07 09:45:21:598,986755,986755,0,0,463702602210,4836489116,979638,5846,1271,384,391964,0 96,2,2024-09-07 09:45:21:269,714180,714180,0,0,29112422,0,4180 96,3,2024-09-07 09:45:21:140,1,562,10,0,411,6479,562,0 97,0,2024-09-07 09:45:21:367,135471,0.3,135412,0.5,271720,0.3,360715,1.50 97,1,2024-09-07 09:45:20:777,988978,988978,0,0,464793635201,4818765226,983074,4957,947,367,392140,0 97,2,2024-09-07 09:45:20:618,713485,713485,0,0,29118200,0,4046 97,3,2024-09-07 09:45:20:577,1,562,82,0,242,6414,562,0 98,0,2024-09-07 09:45:21:693,141078,0.3,141169,0.4,283106,0.2,376531,1.50 98,1,2024-09-07 09:45:20:573,987803,987803,0,0,463852659815,4828878976,981853,5131,819,381,391997,0 98,2,2024-09-07 09:45:20:772,711605,711605,0,0,28356543,0,4336 98,3,2024-09-07 09:45:20:702,1,562,7,0,840,8570,562,0 99,0,2024-09-07 09:45:21:445,142922,0.3,143580,0.5,285716,0.3,381128,1.75 99,1,2024-09-07 09:45:21:722,988976,988976,0,0,463617742551,4823329112,982932,5115,929,380,392069,0 99,2,2024-09-07 09:45:21:421,707969,707969,0,0,33637210,0,4276 99,3,2024-09-07 09:45:20:581,1,562,344,0,606,5810,562,0 100,0,2024-09-07 09:45:21:489,142547,0.8,142767,0.9,285230,0.9,380672,2.50 100,1,2024-09-07 09:45:20:556,982944,982944,0,0,461718751438,4882297648,967492,12163,3289,378,391989,0 100,2,2024-09-07 09:45:21:817,709392,709381,11,0,33554270,0,5417 100,3,2024-09-07 09:45:21:731,1,562,1,0,627,9809,562,0 101,0,2024-09-07 09:45:21:696,139296,1.1,135863,1.0,265958,1.0,363426,2.25 101,1,2024-09-07 09:45:20:555,984638,984638,0,0,462366038778,4857272708,971574,10613,2451,368,391847,0 101,2,2024-09-07 09:45:21:755,708735,708735,0,0,38086272,0,4871 101,3,2024-09-07 09:45:20:950,1,562,1,0,1250,8633,562,0 102,0,2024-09-07 09:45:20:948,136112,0.6,140345,0.7,284802,0.6,373049,2.00 102,1,2024-09-07 09:45:21:143,984582,984582,0,0,461810017355,4850176856,971403,11027,2152,369,391984,0 102,2,2024-09-07 09:45:21:737,711623,711569,54,0,32559506,0,6768 102,3,2024-09-07 09:45:21:621,1,562,8,0,466,6456,562,0 103,0,2024-09-07 09:45:21:603,147708,0.6,147707,0.7,278295,0.6,383147,2.00 103,1,2024-09-07 09:45:21:626,982864,982864,0,0,461548920901,4875809963,966765,12511,3588,381,392077,0 103,2,2024-09-07 09:45:20:596,705475,705475,0,0,34304001,0,3766 103,3,2024-09-07 09:45:20:755,1,562,1,0,916,6922,562,0 104,0,2024-09-07 09:45:21:042,143561,0.7,143715,0.9,286636,0.7,383351,2.25 104,1,2024-09-07 09:45:21:600,986019,986019,0,0,462227626405,4856044165,971950,11480,2589,365,392168,0 104,2,2024-09-07 09:45:21:666,707574,707574,0,0,33556562,0,3941 104,3,2024-09-07 09:45:21:421,1,562,114,0,1245,10283,562,0 105,0,2024-09-07 09:45:21:045,137522,0.9,134003,1.1,280789,1.0,368920,2.50 105,1,2024-09-07 09:45:20:554,987240,987240,0,0,463820955123,4857754525,975074,10359,1807,364,392009,0 105,2,2024-09-07 09:45:21:322,712360,712360,0,0,34801978,0,4360 105,3,2024-09-07 09:45:21:305,1,562,55,0,573,8726,562,0 106,0,2024-09-07 09:45:21:019,133299,0.8,136529,0.9,279759,0.9,365531,2.50 106,1,2024-09-07 09:45:21:753,985822,985822,0,0,463137116624,4860588026,972109,11979,1734,368,391914,0 106,2,2024-09-07 09:45:20:763,710506,710506,0,0,32231617,0,2920 106,3,2024-09-07 09:45:20:696,1,562,9,0,1224,8193,562,0 107,0,2024-09-07 09:45:21:100,142963,1.0,143061,0.9,286200,1.2,381506,2.25 107,1,2024-09-07 09:45:20:600,983540,983540,0,0,462258490592,4879415028,968621,13192,1727,381,392234,0 107,2,2024-09-07 09:45:21:294,705816,705815,1,0,34776912,0,5024 107,3,2024-09-07 09:45:21:754,1,562,1,0,733,8800,562,0 108,0,2024-09-07 09:45:21:818,143480,0.4,144011,0.6,286880,0.4,382819,1.75 108,1,2024-09-07 09:45:21:307,986537,986537,0,0,464082429713,4841621777,978084,7476,977,367,391894,0 108,2,2024-09-07 09:45:21:767,708666,708666,0,0,30843159,0,4246 108,3,2024-09-07 09:45:21:330,1,562,1,0,749,10620,562,0 109,0,2024-09-07 09:45:21:807,141230,0.4,139999,0.6,280803,0.4,374905,1.75 109,1,2024-09-07 09:45:20:587,984214,984214,0,0,462830411949,4855208310,975405,7587,1222,382,392132,0 109,2,2024-09-07 09:45:20:920,711741,711741,0,0,31544221,0,3617 109,3,2024-09-07 09:45:21:145,1,562,9,0,630,7085,562,0 110,0,2024-09-07 09:45:21:750,135953,0.4,132231,0.6,277037,0.3,363457,1.75 110,1,2024-09-07 09:45:21:642,988556,988556,0,0,464902341162,4828008073,980661,5971,1924,368,392045,0 110,2,2024-09-07 09:45:21:306,712430,712430,0,0,29319715,0,4067 110,3,2024-09-07 09:45:20:698,1,562,8,0,722,7781,562,0 111,0,2024-09-07 09:45:21:439,142383,0.3,141558,0.5,283373,0.3,377755,1.75 111,1,2024-09-07 09:45:21:005,989875,989875,0,0,465688407760,4828710775,985003,4509,363,380,391690,0 111,2,2024-09-07 09:45:21:129,710560,710560,0,0,29730824,0,4823 111,3,2024-09-07 09:45:20:926,1,562,5,0,379,6254,562,0 112,0,2024-09-07 09:45:20:911,143628,0.3,143100,0.4,286861,0.2,380862,1.50 112,1,2024-09-07 09:45:20:824,988402,988402,0,0,464012033138,4819328348,981434,5743,1225,380,391624,0 112,2,2024-09-07 09:45:21:133,708102,708101,1,0,27685176,0,5036 112,3,2024-09-07 09:45:20:606,1,562,21,0,282,5344,562,0 113,0,2024-09-07 09:45:20:871,142148,0.3,142080,0.5,284453,0.2,378498,1.75 113,1,2024-09-07 09:45:21:685,991099,991099,0,0,465671604609,4803906326,985460,4764,875,365,391664,0 113,2,2024-09-07 09:45:21:303,715581,715581,0,0,26003351,0,3813 113,3,2024-09-07 09:45:20:692,1,562,1,0,510,6192,562,0 114,0,2024-09-07 09:45:20:874,136163,0.3,137153,0.5,272493,0.2,364520,1.75 114,1,2024-09-07 09:45:20:718,988820,988820,0,0,464675337130,4824033480,981518,5650,1652,381,391565,0 114,2,2024-09-07 09:45:20:873,714357,714356,1,0,27861005,0,5069 114,3,2024-09-07 09:45:21:277,1,562,8,0,395,4482,562,0 115,0,2024-09-07 09:45:20:558,141388,0.3,141832,0.4,282665,0.2,376128,1.50 115,1,2024-09-07 09:45:20:584,989110,989110,0,0,464265329434,4822050159,981580,6172,1358,382,391757,0 115,2,2024-09-07 09:45:21:131,713860,713860,0,0,27386089,0,4382 115,3,2024-09-07 09:45:21:009,1,562,1,0,167,3208,562,0 116,0,2024-09-07 09:45:21:722,142411,0.7,142079,0.8,284953,0.6,380652,2.00 116,1,2024-09-07 09:45:20:803,983985,983985,0,0,462249429712,4874580930,971660,9262,3063,380,392089,0 116,2,2024-09-07 09:45:21:752,706743,706743,0,0,34411419,0,4475 116,3,2024-09-07 09:45:20:912,1,562,75,0,448,7421,562,0 117,0,2024-09-07 09:45:20:971,144037,0.7,143350,0.8,287325,0.8,383813,2.00 117,1,2024-09-07 09:45:21:578,985719,985719,0,0,462586971382,4842817559,974836,9421,1462,369,392033,0 117,2,2024-09-07 09:45:21:132,712278,712278,0,0,30954585,0,4303 117,3,2024-09-07 09:45:21:070,1,562,2,0,490,7433,562,0 118,0,2024-09-07 09:45:21:804,133800,0.6,137399,0.7,280236,0.5,366163,2.00 118,1,2024-09-07 09:45:20:592,983919,983919,0,0,462285017078,4861589917,968929,11379,3611,366,392054,0 118,2,2024-09-07 09:45:21:587,712449,712449,0,0,33499918,0,2842 118,3,2024-09-07 09:45:21:767,1,562,8,0,248,6215,562,0 119,0,2024-09-07 09:45:21:330,138850,0.6,139423,0.7,278445,0.5,371250,2.00 119,1,2024-09-07 09:45:20:548,985193,985193,0,0,463173007840,4855322848,971885,10974,2334,367,391857,0 119,2,2024-09-07 09:45:21:260,712102,712102,0,0,31399583,0,4309 119,3,2024-09-07 09:45:21:327,1,562,1,0,1358,10779,562,0 120,0,2024-09-07 09:45:21:547,142152,0.7,142009,0.8,285146,0.7,380122,2.25 120,1,2024-09-07 09:45:20:857,986864,986864,0,0,462465422738,4845534926,977185,8898,781,367,392144,0 120,2,2024-09-07 09:45:20:773,706444,706443,1,0,36477659,0,5281 120,3,2024-09-07 09:45:21:294,1,562,2,0,279,7064,562,0 121,0,2024-09-07 09:45:21:686,144333,1.2,143720,1.0,287960,1.5,383819,2.25 121,1,2024-09-07 09:45:21:659,986405,986405,0,0,462711741593,4838206985,976528,9026,851,366,391840,0 121,2,2024-09-07 09:45:21:133,708587,708587,0,0,33643478,0,4157 121,3,2024-09-07 09:45:20:734,1,562,7,0,387,7058,562,0 122,0,2024-09-07 09:45:21:759,139433,0.8,135675,0.9,284302,1.0,374122,2.00 122,1,2024-09-07 09:45:20:859,984916,984916,0,0,463247473609,4862627839,971050,11534,2332,366,392130,0 122,2,2024-09-07 09:45:21:331,713923,713848,75,0,37910301,0,5989 122,3,2024-09-07 09:45:20:603,1,562,59,0,512,9382,562,0 123,0,2024-09-07 09:45:20:954,136875,0.7,133135,0.8,278780,0.8,365212,2.25 123,1,2024-09-07 09:45:20:596,985256,985256,0,0,463099549611,4872008142,969092,13508,2656,369,392039,0 123,2,2024-09-07 09:45:21:019,709701,709700,1,0,32384331,0,5215 123,3,2024-09-07 09:45:21:136,1,562,10,0,478,6499,562,0 124,0,2024-09-07 09:45:20:926,146209,0.3,146240,0.5,275136,0.3,379547,1.75 124,1,2024-09-07 09:45:21:047,988632,988632,0,0,464430713992,4827278874,981376,6119,1137,365,392178,0 124,2,2024-09-07 09:45:21:018,711327,711274,53,0,29235943,0,6487 124,3,2024-09-07 09:45:20:759,1,562,3,0,490,5711,562,0 125,0,2024-09-07 09:45:21:435,142877,0.4,142822,0.5,286630,0.3,381730,1.75 125,1,2024-09-07 09:45:20:855,986060,986060,0,0,462925281652,4833229235,978271,6660,1129,382,391813,0 125,2,2024-09-07 09:45:21:122,710720,710720,0,0,28951627,0,4534 125,3,2024-09-07 09:45:21:130,1,562,29,0,709,6560,562,0 126,0,2024-09-07 09:45:21:418,141805,0.4,145860,0.6,278940,0.4,377476,1.75 126,1,2024-09-07 09:45:20:550,989260,989260,0,0,464001609705,4804139465,984015,4801,444,365,391987,0 126,2,2024-09-07 09:45:20:634,714098,714098,0,0,30565029,0,4539 126,3,2024-09-07 09:45:20:907,1,562,9,0,268,6181,562,0 127,0,2024-09-07 09:45:21:599,135712,0.3,136015,0.5,271525,0.3,361000,1.75 127,1,2024-09-07 09:45:20:580,987646,987646,0,0,463756241332,4826654704,977462,8562,1622,364,392187,0 127,2,2024-09-07 09:45:20:637,712099,712095,4,0,28855114,0,5305 127,3,2024-09-07 09:45:21:268,1,562,4,0,968,5545,562,0 128,0,2024-09-07 09:45:21:530,141960,0.3,141945,0.4,283351,0.2,377231,1.50 128,1,2024-09-07 09:45:21:607,987855,987855,0,0,464869046452,4833352689,980008,6914,933,367,392031,0 128,2,2024-09-07 09:45:21:383,712572,712572,0,0,26696546,0,3171 128,3,2024-09-07 09:45:20:775,1,562,5,0,1082,8885,562,0 129,0,2024-09-07 09:45:20:994,143993,0.3,143319,0.5,287183,0.3,381514,1.50 129,1,2024-09-07 09:45:20:567,984601,984601,0,0,462850813328,4849573514,974925,7667,2009,379,391962,0 129,2,2024-09-07 09:45:20:709,708815,708811,4,0,28830517,0,5335 129,3,2024-09-07 09:45:20:700,1,562,1,0,506,7914,562,0 130,0,2024-09-07 09:45:21:713,143818,0.4,143182,0.6,287160,0.4,382004,1.75 130,1,2024-09-07 09:45:20:583,988842,988842,0,0,464077006475,4820435920,983665,4786,391,381,391825,0 130,2,2024-09-07 09:45:21:131,712926,712926,0,0,28783755,0,4067 130,3,2024-09-07 09:45:21:292,1,562,8,0,960,7654,562,0 131,0,2024-09-07 09:45:21:928,136467,0.4,137003,0.5,274448,0.3,364221,1.75 131,1,2024-09-07 09:45:21:827,987588,987588,0,0,463664565042,4833211001,980639,5756,1193,381,391865,0 131,2,2024-09-07 09:45:20:582,713967,713967,0,0,27121233,0,3979 131,3,2024-09-07 09:45:21:688,1,562,120,0,392,6978,562,0 132,0,2024-09-07 09:45:21:431,140161,0.5,141053,0.6,280874,0.4,374166,2.00 132,1,2024-09-07 09:45:20:579,983936,983936,0,0,462227889550,4864281858,969917,11379,2640,381,392532,0 132,2,2024-09-07 09:45:20:704,711539,711522,17,0,35342102,0,6451 132,3,2024-09-07 09:45:21:687,1,562,1,0,804,9014,562,0 133,0,2024-09-07 09:45:21:519,139555,0.4,142670,0.6,292628,0.4,380834,2.00 133,1,2024-09-07 09:45:20:598,984032,984032,0,0,462671741897,4874007281,970799,11524,1709,383,391914,0 133,2,2024-09-07 09:45:21:091,708047,707997,50,0,35526087,0,6861 133,3,2024-09-07 09:45:21:298,1,562,1,0,528,6921,562,0 134,0,2024-09-07 09:45:20:943,144751,0.5,144818,0.7,289956,0.5,385476,2.00 134,1,2024-09-07 09:45:20:590,985616,985616,0,0,462568843535,4848395769,973419,10041,2156,366,391781,0 134,2,2024-09-07 09:45:21:763,709616,709592,24,0,33274592,0,6207 134,3,2024-09-07 09:45:20:758,1,562,8,0,739,6616,562,0 135,0,2024-09-07 09:45:21:141,134644,0.9,134669,0.9,285869,1.0,368469,2.25 135,1,2024-09-07 09:45:21:587,984887,984887,0,0,462534218677,4862146195,972517,10768,1602,380,391805,0 135,2,2024-09-07 09:45:20:696,713967,713967,0,0,34087398,0,4503 135,3,2024-09-07 09:45:21:005,1,562,1,0,900,5540,562,0 136,0,2024-09-07 09:45:21:630,138514,0.6,138852,0.7,276328,0.5,369021,2.00 136,1,2024-09-07 09:45:21:441,985843,985843,0,0,463283922924,4856140913,974694,9782,1367,381,392135,0 136,2,2024-09-07 09:45:21:143,712724,712709,15,0,33849576,0,6007 136,3,2024-09-07 09:45:21:109,1,562,1,0,637,6749,562,0 137,0,2024-09-07 09:45:20:992,147826,0.6,144074,0.7,282284,0.6,383222,2.00 137,1,2024-09-07 09:45:20:587,984454,984454,0,0,463309488642,4858338042,969310,12093,3051,366,391898,0 137,2,2024-09-07 09:45:21:713,706723,706723,0,0,34771323,0,3185 137,3,2024-09-07 09:45:20:773,1,562,1,0,484,7300,562,0 138,0,2024-09-07 09:45:21:749,143011,0.8,143191,0.9,286986,0.8,381347,2.00 138,1,2024-09-07 09:45:21:690,985331,985331,0,0,463514072454,4862210263,971420,11672,2239,368,391954,0 138,2,2024-09-07 09:45:20:586,707990,707990,0,0,32359213,0,4988 138,3,2024-09-07 09:45:20:617,1,562,8,0,1200,8980,562,0 139,0,2024-09-07 09:45:21:365,139411,1.2,139936,1.0,279854,1.7,373212,2.25 139,1,2024-09-07 09:45:20:583,981193,981193,0,0,459877071556,4877006400,963930,13578,3685,380,392109,0 139,2,2024-09-07 09:45:20:709,709083,709083,0,0,36917785,0,3360 139,3,2024-09-07 09:45:21:663,1,562,64,0,432,6569,562,0 140,0,2024-09-07 09:45:21:601,136607,0.3,135790,0.5,272514,0.2,363827,1.75 140,1,2024-09-07 09:45:21:539,991250,991250,0,0,466025902984,4804451354,986459,4252,539,364,391606,0 140,2,2024-09-07 09:45:20:697,712789,712788,1,0,27442863,0,5036 140,3,2024-09-07 09:45:20:771,1,562,1,0,297,5084,562,0 141,0,2024-09-07 09:45:21:698,141992,0.3,145878,0.4,278673,0.2,377597,1.50 141,1,2024-09-07 09:45:20:859,989168,989168,0,0,464648711917,4828550565,981206,6783,1179,379,391614,0 141,2,2024-09-07 09:45:21:686,711076,711065,11,0,29312080,0,5369 141,3,2024-09-07 09:45:21:043,1,562,1,0,391,6308,562,0 142,0,2024-09-07 09:45:21:308,143736,0.3,142712,0.5,286080,0.2,381676,1.50 142,1,2024-09-07 09:45:20:590,987322,987322,0,0,464228610949,4838501729,981017,5803,502,382,392102,0 142,2,2024-09-07 09:45:21:299,707191,707159,32,0,29297156,0,6028 142,3,2024-09-07 09:45:21:751,1,562,8,0,484,5920,562,0 143,0,2024-09-07 09:45:21:377,141877,0.4,141987,0.6,284845,0.4,378381,1.75 143,1,2024-09-07 09:45:20:561,990017,990017,0,0,464688422945,4821817548,983647,5806,564,367,391722,0 143,2,2024-09-07 09:45:20:773,713723,713723,0,0,29390333,0,3123 143,3,2024-09-07 09:45:21:144,1,562,1,0,462,6986,562,0 144,0,2024-09-07 09:45:21:529,131770,0.6,135521,0.8,275776,0.6,361278,2.00 144,1,2024-09-07 09:45:20:573,984469,984469,0,0,462430727240,4850823605,974652,7846,1971,381,391733,0 144,2,2024-09-07 09:45:21:754,713754,713754,0,0,28480329,0,4443 144,3,2024-09-07 09:45:21:738,1,562,2,0,249,5522,562,0 145,0,2024-09-07 09:45:21:366,136401,0.5,136367,0.7,289618,0.5,373187,2.25 145,1,2024-09-07 09:45:20:558,984227,984227,0,0,461586814115,4858885316,970672,10894,2661,382,391759,0 145,2,2024-09-07 09:45:21:430,709821,709739,82,0,34265182,0,7814 145,3,2024-09-07 09:45:20:897,1,562,1,0,622,7673,562,0 146,0,2024-09-07 09:45:21:596,142509,0.6,142097,0.8,285289,0.6,378993,2.25 146,1,2024-09-07 09:45:21:598,984996,984996,0,0,462556816882,4868705521,968098,12432,4466,367,391770,0 146,2,2024-09-07 09:45:21:702,705874,705868,6,0,32035461,0,5151 146,3,2024-09-07 09:45:21:276,1,562,0,0,1520,9384,562,0 147,0,2024-09-07 09:45:21:699,143913,0.6,143605,0.7,286893,0.6,382872,2.25 147,1,2024-09-07 09:45:21:376,988659,988659,0,0,464361507755,4825752573,980619,7094,946,367,391791,0 147,2,2024-09-07 09:45:21:013,710167,710167,0,0,28636596,0,2968 147,3,2024-09-07 09:45:20:923,1,562,1,0,1626,8782,562,0 0,0,2024-09-07 09:45:31:792,139095,0.6,139095,0.7,295053,0.6,381202,2.00 0,1,2024-09-07 09:45:30:817,987416,987416,0,0,464023692704,4857818068,979308,7181,927,369,391896,0 0,2,2024-09-07 09:45:31:077,709892,709892,0,0,28772481,0,4480 0,3,2024-09-07 09:45:30:978,1,563,1,0,431,8114,563,0 1,0,2024-09-07 09:45:31:776,144318,0.9,143317,0.9,288219,1.1,384892,2.00 1,1,2024-09-07 09:45:30:583,985733,985733,0,0,463217602702,4853604266,976393,7853,1487,370,391859,0 1,2,2024-09-07 09:45:30:648,711030,711030,0,0,28148967,0,3380 1,3,2024-09-07 09:45:31:304,1,563,1,0,269,6865,563,0 2,0,2024-09-07 09:45:31:580,140068,0.6,139847,0.8,279781,0.6,373524,2.00 2,1,2024-09-07 09:45:30:863,989577,989577,0,0,465411752601,4837890138,983868,4763,946,379,391745,0 2,2,2024-09-07 09:45:31:266,715189,715189,0,0,27468325,0,3594 2,3,2024-09-07 09:45:30:695,1,563,14,0,357,5055,563,0 3,0,2024-09-07 09:45:31:748,137496,0.4,137394,0.6,274078,0.3,365920,2.00 3,1,2024-09-07 09:45:31:624,988558,988558,0,0,464341326508,4830832430,981035,6820,703,379,391716,0 3,2,2024-09-07 09:45:31:141,714126,714103,23,0,28407529,0,5851 3,3,2024-09-07 09:45:31:752,1,563,0,0,275,3768,563,0 4,0,2024-09-07 09:45:31:789,138474,0.4,142353,0.5,290159,0.3,380152,1.75 4,1,2024-09-07 09:45:30:607,985066,985066,0,0,461385573713,4886744187,967728,13630,3708,370,391992,0 4,2,2024-09-07 09:45:31:029,707379,707379,0,0,34305703,0,4534 4,3,2024-09-07 09:45:31:032,1,563,1,0,448,7025,563,0 5,0,2024-09-07 09:45:31:373,143515,0.4,143547,0.5,287336,0.3,381892,1.75 5,1,2024-09-07 09:45:30:765,985710,985710,0,0,463387990466,4878972308,971574,11323,2813,367,392005,0 5,2,2024-09-07 09:45:31:847,707169,707169,0,0,33020319,0,3582 5,3,2024-09-07 09:45:31:767,1,563,50,0,457,7595,563,0 6,0,2024-09-07 09:45:30:915,141983,0.5,141469,0.7,283157,0.4,377123,2.00 6,1,2024-09-07 09:45:30:751,987870,987870,0,0,463983248772,4846559259,977353,8995,1522,379,391702,0 6,2,2024-09-07 09:45:31:118,714912,714894,18,0,32560585,0,5535 6,3,2024-09-07 09:45:31:278,1,563,7,0,710,6954,563,0 7,0,2024-09-07 09:45:31:537,135054,0.5,135928,0.7,270463,0.5,360566,2.00 7,1,2024-09-07 09:45:30:856,986729,986729,0,0,463506446430,4867833600,972708,11617,2404,382,391747,0 7,2,2024-09-07 09:45:30:783,712726,712726,0,0,31256364,0,4791 7,3,2024-09-07 09:45:30:854,1,563,13,0,552,6413,563,0 8,0,2024-09-07 09:45:31:334,141940,0.3,141550,0.5,283766,0.3,378364,1.75 8,1,2024-09-07 09:45:31:022,984919,984919,0,0,463328164898,4874538293,968881,12498,3540,366,392853,0 8,2,2024-09-07 09:45:30:798,707140,707138,2,0,37066837,0,5112 8,3,2024-09-07 09:45:30:586,1,563,118,0,772,9077,563,0 9,0,2024-09-07 09:45:31:151,142743,0.4,138970,0.5,291041,0.3,381389,1.75 9,1,2024-09-07 09:45:30:559,985023,985023,0,0,462170901446,4871139509,969854,12183,2986,369,392001,0 9,2,2024-09-07 09:45:31:097,707808,707807,1,0,33634831,0,5281 9,3,2024-09-07 09:45:31:800,1,563,7,0,1273,9594,563,0 10,0,2024-09-07 09:45:31:636,142925,0.4,142432,0.5,285721,0.3,380490,1.75 10,1,2024-09-07 09:45:30:585,987087,987087,0,0,463889091607,4862441683,973304,11350,2433,381,391869,0 10,2,2024-09-07 09:45:30:764,713099,713099,0,0,36840395,0,4264 10,3,2024-09-07 09:45:30:871,1,563,8,0,669,6558,563,0 11,0,2024-09-07 09:45:31:020,136464,0.4,132309,0.6,276796,0.4,364414,1.75 11,1,2024-09-07 09:45:30:575,988588,988588,0,0,464064514204,4865899369,974316,10510,3762,383,391766,0 11,2,2024-09-07 09:45:31:136,713101,713101,0,0,32948816,0,4130 11,3,2024-09-07 09:45:31:304,1,563,1,0,843,7414,563,0 12,0,2024-09-07 09:45:30:956,141439,0.4,141389,0.5,282661,0.3,376202,1.75 12,1,2024-09-07 09:45:30:937,987928,987928,0,0,463662361429,4838095961,979651,7278,999,370,391960,0 12,2,2024-09-07 09:45:31:553,711827,711827,0,0,32058742,0,4390 12,3,2024-09-07 09:45:31:066,1,563,1,0,386,7226,563,0 13,0,2024-09-07 09:45:31:364,144091,0.4,143979,0.6,287166,0.4,382570,1.75 13,1,2024-09-07 09:45:31:530,985547,985547,0,0,462955989174,4866863032,975250,7991,2306,382,391768,0 13,2,2024-09-07 09:45:30:603,710039,710039,0,0,28424652,0,3287 13,3,2024-09-07 09:45:31:777,1,563,1,0,522,7666,563,0 14,0,2024-09-07 09:45:30:565,144587,0.4,145623,0.6,288957,0.4,384689,1.75 14,1,2024-09-07 09:45:31:561,992292,992292,0,0,465807349530,4820595370,984508,6813,971,364,391673,0 14,2,2024-09-07 09:45:30:770,712149,712119,30,0,30124670,0,6104 14,3,2024-09-07 09:45:31:116,1,563,10,0,1168,6317,563,0 15,0,2024-09-07 09:45:31:560,138920,0.4,138231,0.6,277489,0.4,369780,2.00 15,1,2024-09-07 09:45:31:629,989215,989215,0,0,464268671756,4838373344,980189,7113,1913,381,391619,0 15,2,2024-09-07 09:45:31:023,717155,717155,0,0,26239102,0,3622 15,3,2024-09-07 09:45:31:433,1,563,1,0,1126,7581,563,0 16,0,2024-09-07 09:45:30:992,138213,0.5,139012,0.7,277579,0.5,369558,2.00 16,1,2024-09-07 09:45:30:607,989423,989423,0,0,464130951008,4850986085,980581,7409,1433,370,392194,0 16,2,2024-09-07 09:45:31:474,713052,713052,0,0,29854286,0,4719 16,3,2024-09-07 09:45:31:163,1,563,10,0,358,7066,563,0 17,0,2024-09-07 09:45:31:813,147792,0.6,144099,0.8,282285,0.6,383361,2.00 17,1,2024-09-07 09:45:30:583,987572,987572,0,0,463412526020,4860713136,977781,8035,1756,368,392075,0 17,2,2024-09-07 09:45:31:738,711735,711734,1,0,30591051,0,5050 17,3,2024-09-07 09:45:30:584,1,563,234,0,518,8435,563,0 18,0,2024-09-07 09:45:30:940,142401,0.7,143327,0.8,285557,0.7,381586,2.25 18,1,2024-09-07 09:45:31:660,991770,991770,0,0,465619369432,4827200434,985442,5331,997,367,391725,0 18,2,2024-09-07 09:45:31:778,713079,713079,0,0,26956575,0,3541 18,3,2024-09-07 09:45:30:897,1,563,8,0,1059,5318,563,0 19,0,2024-09-07 09:45:31:545,140197,0.6,140416,0.8,279827,0.6,372292,2.00 19,1,2024-09-07 09:45:30:566,990944,990944,0,0,465985134852,4829150372,983672,6183,1089,367,391777,0 19,2,2024-09-07 09:45:31:752,717734,717734,0,0,25869288,0,3988 19,3,2024-09-07 09:45:31:138,1,563,1,0,524,4337,563,0 20,0,2024-09-07 09:45:31:512,136372,0.5,136481,0.7,272655,0.5,363930,2.00 20,1,2024-09-07 09:45:30:596,986944,986944,0,0,464287681927,4863925000,976791,8651,1502,369,391922,0 20,2,2024-09-07 09:45:30:929,712380,712380,0,0,31920376,0,4321 20,3,2024-09-07 09:45:30:595,1,563,5,0,468,9130,563,0 21,0,2024-09-07 09:45:31:131,141946,0.4,142124,0.6,284270,0.4,377200,1.75 21,1,2024-09-07 09:45:31:536,985404,985404,0,0,462953308375,4886029595,969767,12230,3407,368,392016,0 21,2,2024-09-07 09:45:31:074,708323,708303,20,0,36111290,0,5617 21,3,2024-09-07 09:45:31:403,1,563,1,0,713,7858,563,0 22,0,2024-09-07 09:45:31:726,143173,0.5,143686,0.7,286591,0.4,380609,2.00 22,1,2024-09-07 09:45:31:031,986569,986569,0,0,462999485486,4873715894,969836,13370,3363,382,391822,0 22,2,2024-09-07 09:45:30:761,707963,707937,26,0,30255815,0,6328 22,3,2024-09-07 09:45:31:080,1,563,8,0,228,4771,563,0 23,0,2024-09-07 09:45:31:377,141803,0.5,141515,0.7,282934,0.5,377303,2.25 23,1,2024-09-07 09:45:31:012,988004,988004,0,0,464711214399,4876487204,971123,12032,4849,365,391690,0 23,2,2024-09-07 09:45:31:097,715004,715004,0,0,29334042,0,3773 23,3,2024-09-07 09:45:31:766,1,563,1,0,855,8439,563,0 24,0,2024-09-07 09:45:30:819,137048,0.4,136317,0.6,274215,0.4,364179,1.75 24,1,2024-09-07 09:45:30:583,986683,986683,0,0,463098227242,4847703736,977306,7694,1683,367,392269,0 24,2,2024-09-07 09:45:31:072,713093,713093,0,0,35328892,0,4438 24,3,2024-09-07 09:45:31:698,1,563,88,0,468,7063,563,0 25,0,2024-09-07 09:45:31:401,145250,0.4,141431,0.6,277568,0.4,378218,1.75 25,1,2024-09-07 09:45:30:560,985245,985245,0,0,462872009769,4887061349,968547,13166,3532,369,391928,0 25,2,2024-09-07 09:45:31:641,710251,710251,0,0,36150214,0,3978 25,3,2024-09-07 09:45:31:011,1,563,0,0,532,6298,563,0 26,0,2024-09-07 09:45:31:725,141983,0.4,139020,0.6,291746,0.4,379534,2.00 26,1,2024-09-07 09:45:31:541,989130,989130,0,0,463109050157,4848327816,975715,10956,2459,380,391748,0 26,2,2024-09-07 09:45:30:863,708107,708107,0,0,38078927,0,4689 26,3,2024-09-07 09:45:31:718,1,563,16,0,796,6517,563,0 27,0,2024-09-07 09:45:31:728,143909,0.4,144613,0.6,287305,0.4,383068,2.25 27,1,2024-09-07 09:45:31:676,989072,989072,0,0,465422378535,4848885920,979672,8107,1293,381,391626,0 27,2,2024-09-07 09:45:30:869,709405,709340,65,0,33243884,0,5699 27,3,2024-09-07 09:45:31:024,1,563,1,0,564,4855,563,0 28,0,2024-09-07 09:45:31:387,138227,0.4,138007,0.6,276448,0.3,367820,2.00 28,1,2024-09-07 09:45:30:797,990052,990052,0,0,464425281460,4841370208,981697,6619,1736,382,391698,0 28,2,2024-09-07 09:45:31:795,715221,715221,0,0,29440336,0,2915 28,3,2024-09-07 09:45:31:779,1,563,1,0,502,5516,563,0 29,0,2024-09-07 09:45:31:364,143578,0.4,139713,0.6,274320,0.3,373954,1.75 29,1,2024-09-07 09:45:31:572,993064,993064,0,0,466096109353,4820344764,987087,5203,774,367,391809,0 29,2,2024-09-07 09:45:30:864,712688,712688,0,0,28355436,0,4986 29,3,2024-09-07 09:45:30:963,1,563,1,0,459,6303,563,0 30,0,2024-09-07 09:45:31:458,142249,0.5,138568,0.7,290318,0.4,378912,2.00 30,1,2024-09-07 09:45:30:571,991733,991733,0,0,466581957994,4840801597,984541,6285,907,380,391672,0 30,2,2024-09-07 09:45:31:276,709603,709603,0,0,27102875,0,4192 30,3,2024-09-07 09:45:30:585,1,563,13,0,519,5361,563,0 31,0,2024-09-07 09:45:31:775,144106,0.4,144805,0.6,288670,0.4,385540,2.00 31,1,2024-09-07 09:45:30:570,994847,994847,0,0,467514868547,4799356819,989172,4650,1025,356,391712,0 31,2,2024-09-07 09:45:31:278,710366,710366,0,0,30411578,0,4470 31,3,2024-09-07 09:45:31:710,1,563,2,0,239,4820,563,0 32,0,2024-09-07 09:45:31:445,140547,0.3,141309,0.5,281266,0.3,374859,1.75 32,1,2024-09-07 09:45:30:804,991314,991314,0,0,465417525456,4835748054,985450,5154,710,381,391646,0 32,2,2024-09-07 09:45:30:935,716693,716693,0,0,26737511,0,3922 32,3,2024-09-07 09:45:31:019,1,563,1,0,304,4481,563,0 33,0,2024-09-07 09:45:31:496,138124,0.3,137641,0.4,275668,0.2,366795,1.50 33,1,2024-09-07 09:45:30:582,991460,991460,0,0,466625638988,4832436782,983698,6585,1177,368,391730,0 33,2,2024-09-07 09:45:30:761,714454,714419,35,0,29429372,0,7012 33,3,2024-09-07 09:45:30:897,1,563,3,0,329,5002,563,0 34,0,2024-09-07 09:45:30:929,142932,0.3,146857,0.5,280716,0.2,379137,1.75 34,1,2024-09-07 09:45:31:056,994099,994099,0,0,466810508507,4800548318,991438,2639,22,367,391637,0 34,2,2024-09-07 09:45:30:766,711763,711763,0,0,27462146,0,4562 34,3,2024-09-07 09:45:31:694,1,563,12,0,320,4562,563,0 35,0,2024-09-07 09:45:30:923,142599,0.3,143365,0.5,287797,0.2,382591,1.75 35,1,2024-09-07 09:45:31:072,990205,990205,0,0,465773897731,4832857914,982758,5954,1493,382,391769,0 35,2,2024-09-07 09:45:31:586,709838,709838,0,0,30470189,0,4055 35,3,2024-09-07 09:45:30:912,1,563,6,0,466,5491,563,0 36,0,2024-09-07 09:45:31:529,142217,0.5,142058,0.7,283895,0.5,377887,2.00 36,1,2024-09-07 09:45:30:589,988803,988803,0,0,463691028130,4843111880,975888,10684,2231,366,391759,0 36,2,2024-09-07 09:45:31:751,714836,714836,0,0,32216399,0,3875 36,3,2024-09-07 09:45:30:863,1,563,1,0,416,7436,563,0 37,0,2024-09-07 09:45:31:366,135259,0.5,135219,0.7,270709,0.5,361131,2.25 37,1,2024-09-07 09:45:30:571,988296,988289,0,7,463761468334,4842985458,976229,9174,2886,365,391770,0 37,2,2024-09-07 09:45:31:142,710866,710851,15,0,32286102,0,5815 37,3,2024-09-07 09:45:31:771,1,563,5,0,888,7659,563,0 38,0,2024-09-07 09:45:31:438,141121,0.4,136885,0.6,286167,0.3,375389,2.00 38,1,2024-09-07 09:45:31:628,988545,988545,0,0,464513159279,4859326393,973835,11712,2998,368,391821,0 38,2,2024-09-07 09:45:30:760,711681,711634,47,0,32211177,0,6710 38,3,2024-09-07 09:45:31:009,1,563,8,0,689,6990,563,0 39,0,2024-09-07 09:45:31:778,146028,0.5,142770,0.7,278408,0.5,380219,2.00 39,1,2024-09-07 09:45:30:717,987781,987781,0,0,463661600540,4862435283,971104,12980,3697,365,391865,0 39,2,2024-09-07 09:45:31:431,709271,709271,0,0,29612812,0,3391 39,3,2024-09-07 09:45:30:713,1,563,1,0,525,6522,563,0 40,0,2024-09-07 09:45:31:506,141642,0.9,142283,1.0,283890,1.0,378572,2.75 40,1,2024-09-07 09:45:30:586,988950,988950,0,0,463249549236,4852372219,974581,11590,2779,368,391668,0 40,2,2024-09-07 09:45:31:303,711258,711257,1,0,35809484,0,5137 40,3,2024-09-07 09:45:31:150,1,563,2,0,1028,8252,563,0 41,0,2024-09-07 09:45:31:025,135855,1.2,138945,1.1,264964,1.7,360004,3.00 41,1,2024-09-07 09:45:30:783,987979,987979,0,0,463212678317,4846564838,975271,10642,2066,369,391878,0 41,2,2024-09-07 09:45:30:761,710865,710864,1,0,34703750,0,5408 41,3,2024-09-07 09:45:31:692,1,563,1,0,366,6035,563,0 42,0,2024-09-07 09:45:31:475,139875,0.9,139708,1.0,278985,1.0,371276,2.75 42,1,2024-09-07 09:45:31:443,985449,985449,0,0,462759420520,4864449287,969774,12747,2928,380,391675,0 42,2,2024-09-07 09:45:31:137,711225,711225,0,0,34489368,0,3975 42,3,2024-09-07 09:45:31:018,1,563,12,0,892,5412,563,0 43,0,2024-09-07 09:45:30:918,141564,0.7,137862,0.9,288856,0.8,377507,2.25 43,1,2024-09-07 09:45:30:585,988515,988515,0,0,464167721012,4850635064,974761,11354,2400,366,391696,0 43,2,2024-09-07 09:45:31:749,708838,708838,0,0,32776905,0,4723 43,3,2024-09-07 09:45:31:754,1,563,1,0,571,8092,563,0 44,0,2024-09-07 09:45:30:862,144881,0.4,144762,0.6,289968,0.4,385218,1.75 44,1,2024-09-07 09:45:30:584,991281,991281,0,0,465223532145,4810981573,982161,7181,1939,356,391809,0 44,2,2024-09-07 09:45:31:272,709526,709526,0,0,27093431,0,4344 44,3,2024-09-07 09:45:31:108,1,563,6,0,1097,7200,563,0 45,0,2024-09-07 09:45:31:779,137485,0.5,134285,0.7,281844,0.4,369898,2.00 45,1,2024-09-07 09:45:31:021,989963,989963,0,0,465031281528,4833487978,981900,7160,903,382,391917,0 45,2,2024-09-07 09:45:31:268,715890,715890,0,0,27684556,0,3596 45,3,2024-09-07 09:45:30:934,1,563,5,0,531,5641,563,0 46,0,2024-09-07 09:45:30:965,137791,0.5,137429,0.7,275600,0.5,366499,2.00 46,1,2024-09-07 09:45:30:581,991898,991898,0,0,466365165934,4820743199,984820,6214,864,366,391709,0 46,2,2024-09-07 09:45:30:592,714175,714175,0,0,28227392,0,4443 46,3,2024-09-07 09:45:31:141,1,563,8,0,908,6946,563,0 47,0,2024-09-07 09:45:31:114,143623,0.4,143616,0.6,288257,0.4,381831,2.00 47,1,2024-09-07 09:45:30:574,993325,993325,0,0,465713480401,4811579994,987572,4900,853,365,391641,0 47,2,2024-09-07 09:45:30:909,712649,712649,0,0,27248010,0,4477 47,3,2024-09-07 09:45:31:120,1,563,1,0,600,6321,563,0 48,0,2024-09-07 09:45:31:517,144133,0.3,144364,0.4,288095,0.2,383440,1.50 48,1,2024-09-07 09:45:31:041,990783,990783,0,0,464542102016,4824606416,984847,5360,576,384,391710,0 48,2,2024-09-07 09:45:30:701,711083,711083,0,0,25409831,0,3524 48,3,2024-09-07 09:45:30:764,1,563,1,0,339,4668,563,0 49,0,2024-09-07 09:45:31:717,144513,0.3,141754,0.5,275797,0.3,376439,1.75 49,1,2024-09-07 09:45:31:023,989843,989843,0,0,464811267564,4834071693,983161,5102,1580,382,391809,0 49,2,2024-09-07 09:45:31:824,716201,716201,0,0,27972472,0,4426 49,3,2024-09-07 09:45:31:428,1,563,1,0,992,6533,563,0 50,0,2024-09-07 09:45:31:516,137270,0.3,135471,0.5,272875,0.2,363694,1.75 50,1,2024-09-07 09:45:31:028,993007,993007,0,0,466337073310,4819532698,986902,5437,668,368,391565,0 50,2,2024-09-07 09:45:31:068,712631,712631,0,0,25623022,0,4490 50,3,2024-09-07 09:45:31:294,1,563,8,0,617,5856,563,0 51,0,2024-09-07 09:45:31:698,145775,0.3,142809,0.4,277789,0.2,378829,1.75 51,1,2024-09-07 09:45:31:687,993857,993857,0,0,466555001811,4811766720,988349,4428,1080,365,391706,0 51,2,2024-09-07 09:45:31:316,711792,711792,0,0,25254156,0,3337 51,3,2024-09-07 09:45:31:032,1,563,17,0,678,4036,563,0 52,0,2024-09-07 09:45:31:446,143704,0.5,143310,0.6,286851,0.4,381512,2.00 52,1,2024-09-07 09:45:30:585,988896,988896,0,0,464578345190,4862725986,974624,12057,2215,368,391805,0 52,2,2024-09-07 09:45:31:766,706116,706078,38,0,33496412,0,6742 52,3,2024-09-07 09:45:30:688,1,563,5,0,1782,6959,563,0 53,0,2024-09-07 09:45:31:741,141482,0.7,137247,0.8,287174,0.7,376544,2.50 53,1,2024-09-07 09:45:30:785,987322,987322,0,0,464309866156,4872842920,970268,12851,4203,367,391968,0 53,2,2024-09-07 09:45:31:298,713997,713996,1,0,30518882,0,5455 53,3,2024-09-07 09:45:30:703,1,563,1,0,308,5254,563,0 54,0,2024-09-07 09:45:31:619,134327,0.6,134736,0.8,268302,0.4,358275,2.25 54,1,2024-09-07 09:45:30:585,989337,989337,0,0,464825795990,4835125959,978642,9033,1662,366,391810,0 54,2,2024-09-07 09:45:30:868,714063,714031,32,0,34174959,0,6397 54,3,2024-09-07 09:45:30:763,1,563,8,0,676,7560,563,0 55,0,2024-09-07 09:45:31:775,136450,0.6,140690,0.7,285304,0.5,372532,2.50 55,1,2024-09-07 09:45:30:768,990398,990398,0,0,463756139088,4825580597,979714,9296,1388,365,391731,0 55,2,2024-09-07 09:45:30:738,710787,710731,56,0,32192157,0,7239 55,3,2024-09-07 09:45:30:698,1,563,0,0,304,5281,563,0 56,0,2024-09-07 09:45:31:626,145704,1.2,137391,1.1,282895,1.5,379022,2.75 56,1,2024-09-07 09:45:30:581,984397,984397,0,0,462323940690,4887869265,967768,13160,3469,381,391867,0 56,2,2024-09-07 09:45:31:310,707775,707653,122,0,33388768,0,7432 56,3,2024-09-07 09:45:31:066,1,563,3,0,705,6636,563,0 57,0,2024-09-07 09:45:30:943,142097,1.5,142096,1.2,284246,2.1,379820,3.25 57,1,2024-09-07 09:45:30:988,986544,986544,0,0,463620420947,4866458725,973305,10907,2332,366,392032,0 57,2,2024-09-07 09:45:31:322,711953,711953,0,0,34954382,0,4317 57,3,2024-09-07 09:45:31:767,1,563,1,0,455,6499,563,0 58,0,2024-09-07 09:45:30:558,136166,0.9,132090,1.0,276378,1.1,361370,2.50 58,1,2024-09-07 09:45:30:581,987804,987801,0,3,464404919732,4868380827,972768,11341,3692,367,391675,3 58,2,2024-09-07 09:45:31:078,714838,714838,0,0,33084678,0,3483 58,3,2024-09-07 09:45:31:080,1,563,9,0,1043,6400,563,0 59,0,2024-09-07 09:45:31:765,138999,0.8,138771,0.9,277152,0.8,367915,2.75 59,1,2024-09-07 09:45:30:804,986749,986749,0,0,463562008313,4869962384,970556,12862,3331,369,391653,0 59,2,2024-09-07 09:45:30:585,712457,712457,0,0,31586314,0,3727 59,3,2024-09-07 09:45:31:766,1,563,5,0,1015,6914,563,0 60,0,2024-09-07 09:45:31:724,143017,0.5,143369,0.7,286442,0.5,381183,1.75 60,1,2024-09-07 09:45:30:791,991740,991740,0,0,465880552699,4839499473,984536,6209,995,370,392031,0 60,2,2024-09-07 09:45:31:149,709238,709238,0,0,29571110,0,3811 60,3,2024-09-07 09:45:31:271,1,563,1,0,409,6555,563,0 61,0,2024-09-07 09:45:31:497,144232,0.6,144833,0.8,288390,0.6,384912,2.00 61,1,2024-09-07 09:45:30:777,987947,987947,0,0,464113339066,4860486108,977172,9115,1660,382,392127,0 61,2,2024-09-07 09:45:31:124,710987,710920,67,0,30478859,0,6411 61,3,2024-09-07 09:45:31:694,1,563,1,0,607,7668,563,0 62,0,2024-09-07 09:45:31:760,140756,0.6,144462,0.7,275804,0.6,374830,2.00 62,1,2024-09-07 09:45:31:115,993988,993982,0,6,467200257304,4816032155,989367,4331,284,365,391975,6 62,2,2024-09-07 09:45:31:674,713412,713411,1,0,30693660,0,5555 62,3,2024-09-07 09:45:31:154,1,563,8,0,482,4521,563,0 63,0,2024-09-07 09:45:31:454,138105,0.4,137844,0.6,276443,0.4,367154,1.75 63,1,2024-09-07 09:45:30:804,990901,990895,0,6,465561837720,4835089441,984259,5782,854,381,391800,6 63,2,2024-09-07 09:45:30:765,713868,713868,0,0,28840712,0,4369 63,3,2024-09-07 09:45:31:766,1,563,1,0,667,6057,563,0 64,0,2024-09-07 09:45:31:523,142067,0.5,142213,0.6,283440,0.4,377607,2.00 64,1,2024-09-07 09:45:30:760,989855,989855,0,0,465278808334,4844052403,981270,6769,1816,370,391794,0 64,2,2024-09-07 09:45:31:141,714550,714531,19,0,27717057,0,6121 64,3,2024-09-07 09:45:31:156,1,563,185,0,651,6065,563,0 65,0,2024-09-07 09:45:31:704,142391,0.6,142567,0.7,284878,0.6,380010,2.00 65,1,2024-09-07 09:45:30:864,988226,988226,0,0,463481976306,4842303165,981363,6062,801,381,391901,0 65,2,2024-09-07 09:45:31:695,709287,709287,0,0,32671132,0,3367 65,3,2024-09-07 09:45:31:686,1,563,0,0,782,6447,563,0 66,0,2024-09-07 09:45:31:770,141322,0.6,140806,0.8,281753,0.5,375023,2.25 66,1,2024-09-07 09:45:31:293,990624,990624,0,0,464824091644,4836871927,984271,5631,722,380,391743,0 66,2,2024-09-07 09:45:31:139,717224,717221,3,0,29810295,0,5455 66,3,2024-09-07 09:45:31:084,1,563,8,0,291,4827,563,0 67,0,2024-09-07 09:45:31:413,135599,0.5,135387,0.7,271394,0.5,361253,2.00 67,1,2024-09-07 09:45:30:765,990015,990014,0,1,465006129546,4839038056,983411,5734,869,380,391787,1 67,2,2024-09-07 09:45:30:583,715602,715587,15,0,29453743,0,6205 67,3,2024-09-07 09:45:31:766,1,563,1,0,595,6002,563,0 68,0,2024-09-07 09:45:30:581,141888,0.5,141699,0.7,282190,0.5,377669,2.00 68,1,2024-09-07 09:45:30:575,986005,986005,0,0,462785757995,4866166043,972940,9606,3459,381,391953,0 68,2,2024-09-07 09:45:31:060,708155,708055,100,0,37317197,0,8578 68,3,2024-09-07 09:45:30:745,1,563,0,0,417,7490,563,0 69,0,2024-09-07 09:45:31:790,142181,0.7,143048,0.8,285084,0.7,378717,2.25 69,1,2024-09-07 09:45:31:035,985320,985320,0,0,462952241414,4878079937,972498,10057,2765,383,391994,0 69,2,2024-09-07 09:45:31:753,706864,706835,29,0,38603570,0,6912 69,3,2024-09-07 09:45:30:764,1,563,7,0,698,8334,563,0 70,0,2024-09-07 09:45:31:530,141376,0.8,141794,1.0,285227,0.7,377404,2.50 70,1,2024-09-07 09:45:30:801,991192,991192,0,0,465954345271,4834489057,983548,6881,763,366,391725,0 70,2,2024-09-07 09:45:31:338,713142,713142,0,0,32329908,0,4323 70,3,2024-09-07 09:45:30:750,1,563,7,0,854,6426,563,0 71,0,2024-09-07 09:45:31:375,135182,1.0,134981,1.1,270948,1.3,361484,2.75 71,1,2024-09-07 09:45:31:612,989210,989210,0,0,464519299350,4846835686,977286,10575,1349,368,391738,0 71,2,2024-09-07 09:45:31:068,713328,713328,0,0,33167319,0,4352 71,3,2024-09-07 09:45:31:756,1,563,1,0,644,6534,563,0 72,0,2024-09-07 09:45:31:123,145083,0.5,141688,0.7,276798,0.5,376821,2.00 72,1,2024-09-07 09:45:31:026,987370,987370,0,0,463384787348,4861268920,973201,11775,2394,369,391819,0 72,2,2024-09-07 09:45:31:764,710888,710888,0,0,34777658,0,3983 72,3,2024-09-07 09:45:31:760,1,563,3,0,564,8313,563,0 73,0,2024-09-07 09:45:31:111,139532,0.5,143010,0.6,292665,0.4,380289,2.00 73,1,2024-09-07 09:45:30:777,989471,989471,0,0,464662964087,4829551530,981934,6610,927,367,391858,0 73,2,2024-09-07 09:45:31:748,708313,708313,0,0,34931833,0,4728 73,3,2024-09-07 09:45:30:970,1,563,3,0,1091,8055,563,0 74,0,2024-09-07 09:45:31:354,145591,0.5,149065,0.7,284321,0.4,385440,2.00 74,1,2024-09-07 09:45:30:643,987991,987991,0,0,463800391245,4842148347,976960,9053,1978,381,391762,0 74,2,2024-09-07 09:45:31:012,710411,710411,0,0,30643121,0,4253 74,3,2024-09-07 09:45:31:442,1,563,36,0,522,6789,563,0 75,0,2024-09-07 09:45:31:785,139432,0.6,138470,0.8,278162,0.5,371415,2.25 75,1,2024-09-07 09:45:31:590,989817,989817,0,0,464107382029,4837000214,981657,7294,866,380,391739,0 75,2,2024-09-07 09:45:31:366,713748,713748,0,0,37354691,0,4766 75,3,2024-09-07 09:45:31:080,1,563,2,0,702,6859,563,0 76,0,2024-09-07 09:45:30:613,137754,0.6,137213,0.8,274661,0.5,367802,2.25 76,1,2024-09-07 09:45:30:806,988666,988666,0,0,463219050663,4830751212,981900,5796,970,382,391723,0 76,2,2024-09-07 09:45:31:088,715429,715426,3,0,30869646,0,5265 76,3,2024-09-07 09:45:31:150,1,563,30,0,227,5131,563,0 77,0,2024-09-07 09:45:31:733,142894,0.6,143445,0.7,286804,0.5,381137,2.00 77,1,2024-09-07 09:45:30:838,988746,988746,0,0,464576822283,4847521411,981022,6850,874,381,391869,0 77,2,2024-09-07 09:45:31:298,709150,709150,0,0,29817634,0,3890 77,3,2024-09-07 09:45:31:104,1,563,8,0,401,6217,563,0 78,0,2024-09-07 09:45:31:765,144332,0.4,143817,0.6,288237,0.4,382046,2.00 78,1,2024-09-07 09:45:30:624,989194,989194,0,0,463918002833,4839523727,977309,9218,2667,367,391670,0 78,2,2024-09-07 09:45:31:407,712001,711988,13,0,28054556,0,8313 78,3,2024-09-07 09:45:31:138,1,563,14,0,311,4814,563,0 79,0,2024-09-07 09:45:31:346,135858,0.4,139152,0.6,285219,0.3,371117,2.00 79,1,2024-09-07 09:45:30:576,992349,992349,0,0,465800637506,4824166479,984562,6429,1358,367,391682,0 79,2,2024-09-07 09:45:31:081,715705,715705,0,0,27049140,0,4195 79,3,2024-09-07 09:45:30:750,1,563,15,0,418,6840,563,0 80,0,2024-09-07 09:45:31:111,136309,0.5,140168,0.7,267807,0.5,362635,2.00 80,1,2024-09-07 09:45:31:627,989388,989388,0,0,465181469384,4841302299,983156,5800,432,368,392269,0 80,2,2024-09-07 09:45:31:099,715537,715537,0,0,27517215,0,4433 80,3,2024-09-07 09:45:30:582,1,563,2,0,681,7180,563,0 81,0,2024-09-07 09:45:31:555,142366,0.5,145709,0.7,277860,0.5,377007,2.00 81,1,2024-09-07 09:45:31:681,988162,988162,0,0,463834381777,4846287955,980603,6917,642,382,391885,0 81,2,2024-09-07 09:45:31:139,710138,710075,63,0,30754719,0,5932 81,3,2024-09-07 09:45:31:121,1,563,2,0,719,6469,563,0 82,0,2024-09-07 09:45:31:533,143016,0.4,143142,0.7,286947,0.4,380365,2.00 82,1,2024-09-07 09:45:30:585,991094,991090,0,4,465165744689,4834455708,985743,4525,822,381,391768,4 82,2,2024-09-07 09:45:31:697,711640,711640,0,0,25508193,0,4484 82,3,2024-09-07 09:45:31:766,1,563,9,0,363,5378,563,0 83,0,2024-09-07 09:45:31:528,142102,0.6,142174,0.7,283726,0.6,376605,2.25 83,1,2024-09-07 09:45:30:552,988800,988800,0,0,463883886943,4835560848,981791,6434,575,382,391709,0 83,2,2024-09-07 09:45:30:773,714401,714376,25,0,27984377,0,5612 83,3,2024-09-07 09:45:30:752,1,563,26,0,1260,6961,563,0 84,0,2024-09-07 09:45:31:773,135027,0.7,135321,0.9,270087,0.6,361435,2.25 84,1,2024-09-07 09:45:31:048,987458,987458,0,0,462585777867,4837684583,975999,9805,1654,367,391967,0 84,2,2024-09-07 09:45:30:574,713421,713391,30,0,36589514,0,5971 84,3,2024-09-07 09:45:31:148,1,563,1,0,908,7631,563,0 85,0,2024-09-07 09:45:31:008,135986,0.6,135972,0.8,288534,0.5,373589,2.25 85,1,2024-09-07 09:45:30:561,984780,984780,0,0,462650172584,4885817139,969460,12574,2746,381,392092,0 85,2,2024-09-07 09:45:30:903,711131,711131,0,0,34209963,0,4255 85,3,2024-09-07 09:45:30:704,1,563,2,0,789,6616,563,0 86,0,2024-09-07 09:45:30:900,142763,0.6,146788,0.8,280606,0.7,379821,2.25 86,1,2024-09-07 09:45:30:824,987111,987111,0,0,464810520476,4873795492,974056,10399,2656,366,391995,0 86,2,2024-09-07 09:45:30:855,707488,707487,1,0,36153534,0,5004 86,3,2024-09-07 09:45:30:593,1,563,11,0,308,8035,563,0 87,0,2024-09-07 09:45:31:301,143985,0.8,143519,0.8,287063,1.0,383405,2.25 87,1,2024-09-07 09:45:30:549,986521,986521,0,0,463664842235,4856719016,973963,10838,1720,366,392076,0 87,2,2024-09-07 09:45:31:071,710770,710764,6,0,32860135,0,6323 87,3,2024-09-07 09:45:31:809,1,563,54,0,473,8417,563,0 88,0,2024-09-07 09:45:31:441,137942,0.5,138334,0.6,276546,0.4,367696,1.75 88,1,2024-09-07 09:45:30:574,985957,985957,0,0,463165083284,4852261211,972375,10844,2738,365,392084,0 88,2,2024-09-07 09:45:30:702,713872,713872,0,0,36254200,0,4465 88,3,2024-09-07 09:45:31:273,1,563,6,0,1080,8553,563,0 89,0,2024-09-07 09:45:31:809,143658,0.4,139379,0.6,274964,0.4,373927,1.75 89,1,2024-09-07 09:45:30:556,985566,985566,0,0,463522320433,4876951812,972997,10636,1933,382,391866,0 89,2,2024-09-07 09:45:31:137,712026,712026,0,0,33658642,0,3173 89,3,2024-09-07 09:45:31:796,1,563,5,0,468,9533,563,0 90,0,2024-09-07 09:45:31:685,138882,0.5,142626,0.6,290885,0.4,379524,2.00 90,1,2024-09-07 09:45:30:594,987167,987167,0,0,463548981408,4855861215,977494,8785,888,380,391825,0 90,2,2024-09-07 09:45:31:405,705976,705976,0,0,35871114,0,3608 90,3,2024-09-07 09:45:30:934,1,563,8,0,322,6864,563,0 91,0,2024-09-07 09:45:30:925,144852,0.5,140526,0.6,293834,0.4,385572,1.75 91,1,2024-09-07 09:45:30:561,984768,984768,0,0,463936554633,4885155306,970712,11319,2737,381,392047,0 91,2,2024-09-07 09:45:31:331,710891,710891,0,0,31647655,0,4713 91,3,2024-09-07 09:45:30:614,1,563,11,0,216,5160,563,0 92,0,2024-09-07 09:45:31:556,141946,0.5,145124,0.6,276654,0.5,375065,1.75 92,1,2024-09-07 09:45:30:592,988665,988665,0,0,464339888009,4849859916,980850,6606,1209,381,392136,0 92,2,2024-09-07 09:45:31:364,715401,715401,0,0,28652751,0,3259 92,3,2024-09-07 09:45:31:020,1,563,1,0,167,4833,563,0 93,0,2024-09-07 09:45:30:965,138364,0.4,141863,0.6,270785,0.4,367248,1.75 93,1,2024-09-07 09:45:30:817,988897,988897,0,0,464647536677,4851003611,977678,9295,1924,366,391776,0 93,2,2024-09-07 09:45:30:930,713273,713273,0,0,34252553,0,4913 93,3,2024-09-07 09:45:31:406,1,563,294,0,294,5439,563,0 94,0,2024-09-07 09:45:31:700,142110,0.4,143152,0.5,286174,0.3,380392,1.75 94,1,2024-09-07 09:45:30:568,989578,989578,0,0,464636706989,4846075067,982911,6237,430,381,391850,0 94,2,2024-09-07 09:45:30:761,710620,710592,28,0,29162294,0,6179 94,3,2024-09-07 09:45:31:711,1,563,11,0,576,6971,563,0 95,0,2024-09-07 09:45:31:376,143428,0.3,143432,0.5,287049,0.3,382641,1.75 95,1,2024-09-07 09:45:30:852,990857,990857,0,0,464407063077,4826821627,982757,7399,701,365,391852,0 95,2,2024-09-07 09:45:31:024,709117,709117,0,0,28416862,0,3308 95,3,2024-09-07 09:45:31:718,1,563,1,0,718,8676,563,0 96,0,2024-09-07 09:45:31:028,141730,0.4,142028,0.5,283920,0.3,377290,1.75 96,1,2024-09-07 09:45:31:601,988467,988467,0,0,464455035512,4845028052,981205,5991,1271,384,391964,0 96,2,2024-09-07 09:45:31:268,715702,715702,0,0,29218765,0,4180 96,3,2024-09-07 09:45:31:148,1,563,38,0,411,6517,563,0 97,0,2024-09-07 09:45:31:333,135703,0.3,135643,0.5,272176,0.3,361333,1.50 97,1,2024-09-07 09:45:30:766,990772,990772,0,0,465513716690,4827897288,984494,5254,1024,367,392140,0 97,2,2024-09-07 09:45:30:610,714738,714738,0,0,29215628,0,4046 97,3,2024-09-07 09:45:30:570,1,563,2,0,242,6416,563,0 98,0,2024-09-07 09:45:31:799,141438,0.3,141557,0.4,283847,0.2,377627,1.50 98,1,2024-09-07 09:45:30:581,989740,989740,0,0,464632608808,4837786427,983695,5226,819,381,391997,0 98,2,2024-09-07 09:45:30:785,712586,712586,0,0,28458219,0,4336 98,3,2024-09-07 09:45:30:700,1,563,11,0,840,8581,563,0 99,0,2024-09-07 09:45:31:511,143106,0.3,143759,0.5,286040,0.3,381621,1.75 99,1,2024-09-07 09:45:31:786,990691,990691,0,0,464615211614,4833699055,984645,5117,929,380,392069,0 99,2,2024-09-07 09:45:31:438,709399,709399,0,0,33941700,0,4276 99,3,2024-09-07 09:45:30:584,1,563,85,0,606,5895,563,0 100,0,2024-09-07 09:45:31:459,142647,0.8,142876,0.9,285427,0.9,380912,2.50 100,1,2024-09-07 09:45:30:552,984583,984583,0,0,462761385552,4893036146,969131,12163,3289,378,391989,0 100,2,2024-09-07 09:45:31:823,710671,710660,11,0,33746955,0,5417 100,3,2024-09-07 09:45:31:748,1,563,2,0,627,9811,563,0 101,0,2024-09-07 09:45:31:714,139422,1.1,135972,1.0,266165,1.0,363749,2.25 101,1,2024-09-07 09:45:30:570,986299,986299,0,0,462992286582,4863874083,973235,10613,2451,368,391847,0 101,2,2024-09-07 09:45:31:766,709838,709838,0,0,38167218,0,4871 101,3,2024-09-07 09:45:30:944,1,563,13,0,1250,8646,563,0 102,0,2024-09-07 09:45:30:942,136618,0.6,140834,0.7,285819,0.6,374425,2.00 102,1,2024-09-07 09:45:31:147,986228,986228,0,0,462620812041,4858917707,973047,11029,2152,369,391984,0 102,2,2024-09-07 09:45:31:806,713036,712982,54,0,32603889,0,6768 102,3,2024-09-07 09:45:31:667,1,563,24,0,466,6480,563,0 103,0,2024-09-07 09:45:31:640,147818,0.6,147810,0.7,278497,0.6,383444,2.00 103,1,2024-09-07 09:45:31:630,984792,984792,0,0,462430793530,4885502319,968636,12568,3588,381,392077,0 103,2,2024-09-07 09:45:30:585,706733,706733,0,0,34365949,0,3766 103,3,2024-09-07 09:45:30:760,1,563,1,0,916,6923,563,0 104,0,2024-09-07 09:45:31:016,143658,0.7,143828,0.9,286836,0.7,383598,2.25 104,1,2024-09-07 09:45:31:606,987846,987846,0,0,463045598021,4864543003,973777,11480,2589,365,392168,0 104,2,2024-09-07 09:45:31:682,708785,708785,0,0,33759805,0,3941 104,3,2024-09-07 09:45:31:425,1,563,10,0,1245,10293,563,0 105,0,2024-09-07 09:45:31:072,137732,0.9,134212,1.1,281194,1.0,369434,2.50 105,1,2024-09-07 09:45:30:682,988968,988968,0,0,464533692185,4865103977,976802,10359,1807,364,392009,0 105,2,2024-09-07 09:45:31:334,713766,713766,0,0,34839268,0,4360 105,3,2024-09-07 09:45:31:314,1,563,20,0,573,8746,563,0 106,0,2024-09-07 09:45:30:936,133706,0.8,136943,0.9,280604,0.9,366653,2.50 106,1,2024-09-07 09:45:31:772,987544,987544,0,0,463974514406,4869374460,973831,11979,1734,368,391914,0 106,2,2024-09-07 09:45:30:761,711897,711897,0,0,32307613,0,2920 106,3,2024-09-07 09:45:30:694,1,563,8,0,1224,8201,563,0 107,0,2024-09-07 09:45:31:132,143091,1.0,143212,0.9,286496,1.2,381784,2.25 107,1,2024-09-07 09:45:30:585,985233,985233,0,0,462949442331,4886995039,970281,13225,1727,381,392234,0 107,2,2024-09-07 09:45:31:299,706608,706607,1,0,34852379,0,5024 107,3,2024-09-07 09:45:31:769,1,563,4,0,733,8804,563,0 108,0,2024-09-07 09:45:31:913,143757,0.4,144315,0.6,287482,0.4,383627,1.75 108,1,2024-09-07 09:45:31:323,988232,988232,0,0,464938272976,4850812107,979742,7513,977,367,391894,0 108,2,2024-09-07 09:45:31:776,710060,710060,0,0,30921570,0,4246 108,3,2024-09-07 09:45:31:338,1,563,20,0,749,10640,563,0 109,0,2024-09-07 09:45:31:911,141435,0.4,140201,0.6,281284,0.4,375522,1.75 109,1,2024-09-07 09:45:30:599,985974,985974,0,0,463588423757,4863284637,977159,7593,1222,382,392132,0 109,2,2024-09-07 09:45:30:931,713222,713222,0,0,31633705,0,3617 109,3,2024-09-07 09:45:31:156,1,563,31,0,630,7116,563,0 110,0,2024-09-07 09:45:31:804,136428,0.4,132726,0.6,277934,0.3,364884,1.75 110,1,2024-09-07 09:45:31:680,990377,990377,0,0,465520607945,4834667524,982477,5976,1924,368,392045,0 110,2,2024-09-07 09:45:31:308,713675,713675,0,0,29424941,0,4067 110,3,2024-09-07 09:45:30:711,1,563,10,0,722,7791,563,0 111,0,2024-09-07 09:45:31:413,142669,0.3,141826,0.5,283889,0.3,378502,1.75 111,1,2024-09-07 09:45:31:013,991665,991665,0,0,466619929174,4838511053,986782,4520,363,380,391690,0 111,2,2024-09-07 09:45:31:116,711326,711326,0,0,29777867,0,4823 111,3,2024-09-07 09:45:30:927,1,563,0,0,379,6254,563,0 112,0,2024-09-07 09:45:30:919,143965,0.3,143419,0.4,287522,0.2,381711,1.50 112,1,2024-09-07 09:45:30:824,990071,990071,0,0,464812668983,4828214582,983015,5831,1225,380,391624,0 112,2,2024-09-07 09:45:31:141,709573,709572,1,0,27994430,0,5036 112,3,2024-09-07 09:45:30:597,1,563,1,0,282,5345,563,0 113,0,2024-09-07 09:45:30:872,142349,0.3,142254,0.5,284829,0.2,378996,1.75 113,1,2024-09-07 09:45:31:695,992607,992607,0,0,466367197175,4811595324,986896,4831,880,365,391664,0 113,2,2024-09-07 09:45:31:309,716933,716933,0,0,26066540,0,3813 113,3,2024-09-07 09:45:30:698,1,563,11,0,510,6203,563,0 114,0,2024-09-07 09:45:30:881,136485,0.3,137471,0.5,273171,0.2,365503,1.75 114,1,2024-09-07 09:45:30:717,990597,990597,0,0,465439620954,4832232717,983294,5651,1652,381,391565,0 114,2,2024-09-07 09:45:30:882,715441,715440,1,0,27897667,0,5069 114,3,2024-09-07 09:45:31:286,1,563,7,0,395,4489,563,0 115,0,2024-09-07 09:45:30:558,141817,0.3,142268,0.4,283493,0.2,377486,1.50 115,1,2024-09-07 09:45:30:574,990968,990968,0,0,465080542147,4831012914,983376,6234,1358,382,391757,0 115,2,2024-09-07 09:45:31:139,714899,714899,0,0,27733127,0,4382 115,3,2024-09-07 09:45:31:020,1,563,101,0,167,3309,563,0 116,0,2024-09-07 09:45:31:739,142524,0.7,142204,0.8,285178,0.6,380963,2.00 116,1,2024-09-07 09:45:30:807,985793,985793,0,0,463036484133,4882993666,973465,9265,3063,380,392089,0 116,2,2024-09-07 09:45:31:756,708190,708190,0,0,34539364,0,4475 116,3,2024-09-07 09:45:30:912,1,563,1,0,448,7422,563,0 117,0,2024-09-07 09:45:30:967,144154,0.7,143479,0.8,287562,0.8,384156,2.00 117,1,2024-09-07 09:45:31:598,987543,987543,0,0,463303862375,4850240588,976657,9424,1462,369,392033,0 117,2,2024-09-07 09:45:31:123,713608,713608,0,0,31007276,0,4303 117,3,2024-09-07 09:45:31:062,1,563,19,0,490,7452,563,0 118,0,2024-09-07 09:45:31:804,133815,0.6,137410,0.7,280260,0.5,366163,2.00 118,1,2024-09-07 09:45:30:594,985744,985744,0,0,463273972784,4871872666,970754,11379,3611,366,392054,0 118,2,2024-09-07 09:45:31:603,713576,713576,0,0,33587238,0,2842 118,3,2024-09-07 09:45:31:767,1,563,289,0,289,6504,563,0 119,0,2024-09-07 09:45:31:343,139226,0.6,139784,0.7,279123,0.5,372185,2.00 119,1,2024-09-07 09:45:30:548,986936,986936,0,0,464129043188,4865926151,973494,11108,2334,367,391857,0 119,2,2024-09-07 09:45:31:290,713466,713466,0,0,31443396,0,4309 119,3,2024-09-07 09:45:31:338,1,563,5,0,1358,10784,563,0 120,0,2024-09-07 09:45:31:556,142244,0.7,142102,0.8,285342,0.7,380357,2.25 120,1,2024-09-07 09:45:30:884,988557,988557,0,0,463143302270,4852844782,978868,8908,781,367,392144,0 120,2,2024-09-07 09:45:30:773,707534,707533,1,0,36504458,0,5281 120,3,2024-09-07 09:45:31:292,1,563,6,0,279,7070,563,0 121,0,2024-09-07 09:45:31:710,144542,1.2,143938,1.0,288406,1.5,384395,2.25 121,1,2024-09-07 09:45:31:674,988120,988120,0,0,463540869971,4846781744,978243,9026,851,366,391840,0 121,2,2024-09-07 09:45:31:139,709907,709907,0,0,33684243,0,4157 121,3,2024-09-07 09:45:30:730,1,563,37,0,387,7095,563,0 122,0,2024-09-07 09:45:31:792,139774,0.8,135978,0.9,284976,1.0,375000,2.00 122,1,2024-09-07 09:45:30:863,986719,986719,0,0,463862236009,4869035274,972853,11534,2332,366,392130,0 122,2,2024-09-07 09:45:31:329,715239,715164,75,0,38034886,0,5989 122,3,2024-09-07 09:45:30:606,1,563,30,0,512,9412,563,0 123,0,2024-09-07 09:45:30:976,137135,0.7,133394,0.8,279357,0.8,365903,2.25 123,1,2024-09-07 09:45:30:559,986987,986987,0,0,463836281395,4879689321,970823,13508,2656,369,392039,0 123,2,2024-09-07 09:45:31:020,711225,711224,1,0,32413456,0,5215 123,3,2024-09-07 09:45:31:139,1,563,4,0,478,6503,563,0 124,0,2024-09-07 09:45:30:940,146589,0.3,146624,0.5,276434,0.3,380737,1.75 124,1,2024-09-07 09:45:31:032,990408,990408,0,0,465459054612,4838798049,982979,6259,1170,365,392178,0 124,2,2024-09-07 09:45:31:021,712004,711951,53,0,29259831,0,6487 124,3,2024-09-07 09:45:30:765,1,563,44,0,490,5755,563,0 125,0,2024-09-07 09:45:31:433,143190,0.4,143131,0.5,287280,0.3,382549,1.75 125,1,2024-09-07 09:45:30:874,987746,987746,0,0,463731647445,4841695760,979957,6660,1129,382,391813,0 125,2,2024-09-07 09:45:31:124,712273,712273,0,0,29080590,0,4534 125,3,2024-09-07 09:45:31:139,1,563,0,0,709,6560,563,0 126,0,2024-09-07 09:45:31:480,141968,0.4,146019,0.6,279246,0.4,377878,1.75 126,1,2024-09-07 09:45:30:550,991187,991187,0,0,465005510150,4815135231,985861,4881,445,365,391987,0 126,2,2024-09-07 09:45:30:619,715580,715580,0,0,30625170,0,4539 126,3,2024-09-07 09:45:30:907,1,563,10,0,268,6191,563,0 127,0,2024-09-07 09:45:31:623,135946,0.3,136245,0.5,271999,0.3,361587,1.75 127,1,2024-09-07 09:45:30:574,989425,989425,0,0,464537464916,4834993828,979238,8565,1622,364,392187,0 127,2,2024-09-07 09:45:30:643,713209,713205,4,0,28910171,0,5305 127,3,2024-09-07 09:45:31:275,1,563,32,0,968,5577,563,0 128,0,2024-09-07 09:45:31:545,142317,0.3,142329,0.4,284129,0.2,378255,1.50 128,1,2024-09-07 09:45:31:612,989697,989697,0,0,465650673106,4841755415,981847,6916,934,367,392031,0 128,2,2024-09-07 09:45:31:383,713626,713626,0,0,26762230,0,3171 128,3,2024-09-07 09:45:30:773,1,563,38,0,1082,8923,563,0 129,0,2024-09-07 09:45:31:011,144152,0.3,143486,0.5,287523,0.3,381960,1.50 129,1,2024-09-07 09:45:30:584,986288,986288,0,0,463812616671,4860528688,976343,7928,2017,379,391962,0 129,2,2024-09-07 09:45:30:705,710366,710362,4,0,28928027,0,5335 129,3,2024-09-07 09:45:30:701,1,563,113,0,506,8027,563,0 130,0,2024-09-07 09:45:31:716,143919,0.4,143287,0.6,287338,0.4,382246,1.75 130,1,2024-09-07 09:45:30:585,990491,990491,0,0,465060342587,4830777511,985311,4789,391,381,391825,0 130,2,2024-09-07 09:45:31:139,714188,714188,0,0,28833033,0,4067 130,3,2024-09-07 09:45:31:316,1,563,8,0,960,7662,563,0 131,0,2024-09-07 09:45:32:016,136595,0.4,137121,0.5,274688,0.3,364548,1.75 131,1,2024-09-07 09:45:31:821,989302,989302,0,0,464562495013,4842820491,982352,5757,1193,381,391865,0 131,2,2024-09-07 09:45:30:577,715012,715012,0,0,27244678,0,3979 131,3,2024-09-07 09:45:31:694,1,563,313,0,392,7291,563,0 132,0,2024-09-07 09:45:31:509,140639,0.5,141538,0.6,281878,0.4,375493,2.00 132,1,2024-09-07 09:45:30:586,985743,985743,0,0,463089037003,4873524381,971706,11397,2640,381,392532,0 132,2,2024-09-07 09:45:30:711,712829,712812,17,0,35401133,0,6451 132,3,2024-09-07 09:45:31:694,1,563,2,0,804,9016,563,0 133,0,2024-09-07 09:45:31:595,139655,0.4,142796,0.6,292855,0.4,381139,2.00 133,1,2024-09-07 09:45:30:586,985749,985749,0,0,463181538536,4879592339,972508,11532,1709,383,391914,0 133,2,2024-09-07 09:45:31:093,709357,709307,50,0,35622510,0,6861 133,3,2024-09-07 09:45:31:305,1,563,2,0,528,6923,563,0 134,0,2024-09-07 09:45:30:942,144860,0.5,144928,0.7,290158,0.5,385732,2.00 134,1,2024-09-07 09:45:30:590,987356,987356,0,0,463268303029,4855970467,975158,10042,2156,366,391781,0 134,2,2024-09-07 09:45:31:782,710652,710628,24,0,33350453,0,6207 134,3,2024-09-07 09:45:30:753,1,563,8,0,739,6624,563,0 135,0,2024-09-07 09:45:31:116,134832,0.9,134857,0.9,286272,1.0,368986,2.25 135,1,2024-09-07 09:45:31:584,986587,986587,0,0,463440124382,4871636556,974215,10770,1602,380,391805,0 135,2,2024-09-07 09:45:30:697,715424,715424,0,0,34326890,0,4503 135,3,2024-09-07 09:45:31:022,1,563,1,0,900,5541,563,0 136,0,2024-09-07 09:45:31:660,138896,0.6,139308,0.7,277172,0.5,370168,2.00 136,1,2024-09-07 09:45:31:536,987580,987580,0,0,463914388866,4862870817,976431,9782,1367,381,392135,0 136,2,2024-09-07 09:45:31:141,714224,714209,15,0,33885744,0,6007 136,3,2024-09-07 09:45:31:119,1,563,1,0,637,6750,563,0 137,0,2024-09-07 09:45:31:020,147999,0.6,144216,0.7,282554,0.6,383500,2.00 137,1,2024-09-07 09:45:30:590,986228,986228,0,0,464247112604,4868113529,971082,12095,3051,366,391898,0 137,2,2024-09-07 09:45:31:710,707583,707583,0,0,34805666,0,3185 137,3,2024-09-07 09:45:30:788,1,563,7,0,484,7307,563,0 138,0,2024-09-07 09:45:31:749,143292,0.8,143485,0.9,287529,0.8,382107,2.00 138,1,2024-09-07 09:45:31:712,987127,987127,0,0,464414839474,4871518416,973216,11672,2239,368,391954,0 138,2,2024-09-07 09:45:30:602,709422,709422,0,0,32394470,0,4988 138,3,2024-09-07 09:45:30:616,1,563,8,0,1200,8988,563,0 139,0,2024-09-07 09:45:31:389,139622,1.2,140166,1.0,280256,1.7,373781,2.25 139,1,2024-09-07 09:45:30:574,982998,982998,0,0,460931426714,4887763387,965735,13578,3685,380,392109,0 139,2,2024-09-07 09:45:30:697,710549,710549,0,0,36988762,0,3360 139,3,2024-09-07 09:45:31:669,1,563,15,0,432,6584,563,0 140,0,2024-09-07 09:45:31:612,137064,0.3,136240,0.5,273431,0.2,364926,1.75 140,1,2024-09-07 09:45:31:538,993011,993011,0,0,466786639657,4812415213,988219,4253,539,364,391606,0 140,2,2024-09-07 09:45:30:689,714171,714170,1,0,27504953,0,5036 140,3,2024-09-07 09:45:30:784,1,563,90,0,297,5174,563,0 141,0,2024-09-07 09:45:31:701,142264,0.3,146171,0.4,279231,0.2,378325,1.50 141,1,2024-09-07 09:45:30:868,990876,990876,0,0,465545180864,4837791946,982914,6783,1179,379,391614,0 141,2,2024-09-07 09:45:31:689,711862,711851,11,0,29354054,0,5369 141,3,2024-09-07 09:45:31:063,1,563,7,0,391,6315,563,0 142,0,2024-09-07 09:45:31:310,144027,0.3,143062,0.5,286759,0.2,382682,1.50 142,1,2024-09-07 09:45:30:589,989074,989074,0,0,465013363971,4846705203,982769,5803,502,382,392102,0 142,2,2024-09-07 09:45:31:299,708669,708637,32,0,29383571,0,6028 142,3,2024-09-07 09:45:31:768,1,563,11,0,484,5931,563,0 143,0,2024-09-07 09:45:31:519,142068,0.4,142194,0.6,285200,0.4,378886,1.75 143,1,2024-09-07 09:45:30:562,991760,991760,0,0,465481693467,4830203381,985388,5808,564,367,391722,0 143,2,2024-09-07 09:45:30:780,715015,715015,0,0,29490572,0,3123 143,3,2024-09-07 09:45:31:160,1,563,89,0,462,7075,563,0 144,0,2024-09-07 09:45:31:531,132104,0.6,135853,0.8,276461,0.6,362188,2.00 144,1,2024-09-07 09:45:30:569,986449,986449,0,0,463338859936,4861052785,976444,8021,1984,381,391733,0 144,2,2024-09-07 09:45:31:775,714823,714823,0,0,28789595,0,4443 144,3,2024-09-07 09:45:31:766,1,563,0,0,249,5522,563,0 145,0,2024-09-07 09:45:31:398,136790,0.5,136811,0.7,290499,0.5,374332,2.25 145,1,2024-09-07 09:45:30:555,985923,985923,0,0,462516027768,4868748112,972364,10898,2661,382,391759,0 145,2,2024-09-07 09:45:31:458,711045,710963,82,0,34326185,0,7814 145,3,2024-09-07 09:45:30:896,1,563,0,0,622,7673,563,0 146,0,2024-09-07 09:45:31:616,142642,0.6,142208,0.8,285545,0.6,379318,2.25 146,1,2024-09-07 09:45:31:602,986700,986700,0,0,463095281899,4874387964,969801,12433,4466,367,391770,0 146,2,2024-09-07 09:45:31:707,707255,707249,6,0,32088722,0,5151 146,3,2024-09-07 09:45:31:280,1,563,22,0,1520,9406,563,0 147,0,2024-09-07 09:45:31:705,144023,0.6,143737,0.7,287130,0.6,383205,2.25 147,1,2024-09-07 09:45:31:373,990509,990509,0,0,465386547657,4836470369,982465,7098,946,367,391791,0 147,2,2024-09-07 09:45:31:021,711519,711519,0,0,28683257,0,2968 147,3,2024-09-07 09:45:30:920,1,563,1,0,1626,8783,563,0 0,0,2024-09-07 09:45:41:725,139182,0.6,139181,0.7,295241,0.6,381425,2.00 0,1,2024-09-07 09:45:40:807,989291,989291,0,0,464855969279,4867209937,981108,7256,927,369,391896,0 0,2,2024-09-07 09:45:41:066,711105,711105,0,0,28843968,0,4480 0,3,2024-09-07 09:45:40:993,1,564,5,0,431,8119,564,0 1,0,2024-09-07 09:45:41:766,144531,0.9,143512,0.9,288694,1.1,385492,2.00 1,1,2024-09-07 09:45:40:558,987644,987644,0,0,464047125888,4862689533,978282,7875,1487,370,391859,0 1,2,2024-09-07 09:45:40:641,712236,712236,0,0,28232982,0,3380 1,3,2024-09-07 09:45:41:301,1,564,9,0,269,6874,564,0 2,0,2024-09-07 09:45:41:578,140411,0.6,140184,0.8,280448,0.6,374410,2.00 2,1,2024-09-07 09:45:40:866,991271,991271,0,0,466164091533,4845811496,985561,4764,946,379,391745,0 2,2,2024-09-07 09:45:41:266,716603,716603,0,0,27626311,0,3594 2,3,2024-09-07 09:45:40:690,1,564,8,0,357,5063,564,0 3,0,2024-09-07 09:45:41:747,137792,0.4,137656,0.6,274644,0.3,366634,2.00 3,1,2024-09-07 09:45:41:622,990246,990246,0,0,464958990682,4837807268,982715,6828,703,379,391716,0 3,2,2024-09-07 09:45:41:142,715568,715545,23,0,28505844,0,5851 3,3,2024-09-07 09:45:41:751,1,564,1,0,275,3769,564,0 4,0,2024-09-07 09:45:41:782,138929,0.4,142848,0.5,291184,0.3,381422,1.75 4,1,2024-09-07 09:45:40:591,986747,986747,0,0,462152210446,4894712008,969409,13630,3708,370,391992,0 4,2,2024-09-07 09:45:41:057,708088,708088,0,0,34339837,0,4534 4,3,2024-09-07 09:45:41:054,1,564,8,0,448,7033,564,0 5,0,2024-09-07 09:45:41:431,143826,0.4,143861,0.5,287945,0.3,382740,1.75 5,1,2024-09-07 09:45:40:755,987439,987439,0,0,464334022115,4888948757,973301,11325,2813,367,392005,0 5,2,2024-09-07 09:45:41:833,708622,708622,0,0,33095559,0,3582 5,3,2024-09-07 09:45:41:740,1,564,1,0,457,7596,564,0 6,0,2024-09-07 09:45:40:915,142149,0.5,141608,0.7,283464,0.4,377526,2.00 6,1,2024-09-07 09:45:40:748,989558,989558,0,0,464756008082,4854886276,979035,9001,1522,379,391702,0 6,2,2024-09-07 09:45:41:124,716311,716293,18,0,32710414,0,5535 6,3,2024-09-07 09:45:41:278,1,564,12,0,710,6966,564,0 7,0,2024-09-07 09:45:41:533,135307,0.5,136141,0.7,270932,0.5,361164,2.00 7,1,2024-09-07 09:45:40:853,988448,988448,0,0,464245346895,4875639586,974426,11618,2404,382,391747,0 7,2,2024-09-07 09:45:40:771,713918,713918,0,0,31311424,0,4791 7,3,2024-09-07 09:45:40:852,1,564,9,0,552,6422,564,0 8,0,2024-09-07 09:45:41:334,142336,0.3,141979,0.5,284517,0.3,379391,1.75 8,1,2024-09-07 09:45:41:031,986721,986721,0,0,464260031691,4884158938,970683,12498,3540,366,392853,0 8,2,2024-09-07 09:45:40:790,708145,708143,2,0,37098243,0,5112 8,3,2024-09-07 09:45:40:585,1,564,1,0,772,9078,564,0 9,0,2024-09-07 09:45:41:138,142907,0.4,139143,0.5,291376,0.3,381839,1.75 9,1,2024-09-07 09:45:40:565,986736,986736,0,0,462808861588,4877945346,971567,12183,2986,369,392001,0 9,2,2024-09-07 09:45:41:103,709272,709271,1,0,33694956,0,5281 9,3,2024-09-07 09:45:41:762,1,564,16,0,1273,9610,564,0 10,0,2024-09-07 09:45:41:608,143012,0.4,142533,0.5,285906,0.3,380761,1.75 10,1,2024-09-07 09:45:40:588,988806,988806,0,0,464591254818,4869663539,975023,11350,2433,381,391869,0 10,2,2024-09-07 09:45:40:762,714562,714562,0,0,36899967,0,4264 10,3,2024-09-07 09:45:40:871,1,564,7,0,669,6565,564,0 11,0,2024-09-07 09:45:41:037,136593,0.4,132432,0.6,277031,0.4,364750,1.75 11,1,2024-09-07 09:45:40:571,990382,990382,0,0,464717506817,4872601735,976110,10510,3762,383,391766,0 11,2,2024-09-07 09:45:41:123,714267,714267,0,0,33030550,0,4130 11,3,2024-09-07 09:45:41:298,1,564,1,0,843,7415,564,0 12,0,2024-09-07 09:45:40:960,141910,0.4,141920,0.5,283580,0.3,377555,1.75 12,1,2024-09-07 09:45:40:933,989701,989701,0,0,464307197540,4844924566,981424,7278,999,370,391960,0 12,2,2024-09-07 09:45:41:569,713096,713096,0,0,32200168,0,4390 12,3,2024-09-07 09:45:41:059,1,564,30,0,386,7256,564,0 13,0,2024-09-07 09:45:41:358,144211,0.4,144089,0.6,287403,0.4,382862,1.75 13,1,2024-09-07 09:45:41:526,987269,987269,0,0,463787179116,4875653847,976969,7994,2306,382,391768,0 13,2,2024-09-07 09:45:40:600,711465,711465,0,0,28487399,0,3287 13,3,2024-09-07 09:45:41:762,1,564,3,0,522,7669,564,0 14,0,2024-09-07 09:45:40:566,144691,0.4,145740,0.6,289192,0.4,384954,1.75 14,1,2024-09-07 09:45:41:562,994032,994032,0,0,466730057112,4830454899,986186,6874,972,364,391673,0 14,2,2024-09-07 09:45:40:764,713409,713379,30,0,30222032,0,6104 14,3,2024-09-07 09:45:41:115,1,564,21,0,1168,6338,564,0 15,0,2024-09-07 09:45:41:553,139109,0.4,138435,0.6,277882,0.4,370292,2.00 15,1,2024-09-07 09:45:41:621,990995,990995,0,0,465151731626,4847407633,981968,7114,1913,381,391619,0 15,2,2024-09-07 09:45:41:006,718433,718433,0,0,26317628,0,3622 15,3,2024-09-07 09:45:41:406,1,564,1,0,1126,7582,564,0 16,0,2024-09-07 09:45:41:053,138627,0.5,139413,0.7,278333,0.5,370565,2.00 16,1,2024-09-07 09:45:40:563,991166,991166,0,0,464887158581,4859741496,982210,7523,1433,370,392194,0 16,2,2024-09-07 09:45:41:443,714320,714320,0,0,29906617,0,4719 16,3,2024-09-07 09:45:41:142,1,564,1,0,358,7067,564,0 17,0,2024-09-07 09:45:41:788,147892,0.6,144216,0.8,282531,0.6,383640,2.00 17,1,2024-09-07 09:45:40:579,989182,989182,0,0,464276819294,4870463901,979276,8150,1756,368,392075,0 17,2,2024-09-07 09:45:41:682,712634,712633,1,0,30641281,0,5050 17,3,2024-09-07 09:45:40:575,1,564,1,0,518,8436,564,0 18,0,2024-09-07 09:45:40:942,142690,0.7,143623,0.8,286157,0.7,382372,2.25 18,1,2024-09-07 09:45:41:642,993182,993182,0,0,466262790758,4834092941,986849,5336,997,367,391725,0 18,2,2024-09-07 09:45:41:756,714428,714428,0,0,27238296,0,3541 18,3,2024-09-07 09:45:40:897,1,564,11,0,1059,5329,564,0 19,0,2024-09-07 09:45:41:537,140438,0.6,140648,0.8,280280,0.6,372868,2.00 19,1,2024-09-07 09:45:40:571,992690,992690,0,0,466696573330,4836955899,985393,6208,1089,367,391777,0 19,2,2024-09-07 09:45:41:755,719168,719168,0,0,25972279,0,3988 19,3,2024-09-07 09:45:41:133,1,564,42,0,524,4379,564,0 20,0,2024-09-07 09:45:41:345,136836,0.5,136907,0.7,273611,0.5,365128,2.00 20,1,2024-09-07 09:45:40:569,988800,988800,0,0,465308230538,4874547831,978646,8651,1503,369,391922,0 20,2,2024-09-07 09:45:40:929,713776,713776,0,0,32010657,0,4321 20,3,2024-09-07 09:45:40:600,1,564,127,0,468,9257,564,0 21,0,2024-09-07 09:45:41:133,142201,0.4,142404,0.6,284795,0.4,377931,1.75 21,1,2024-09-07 09:45:41:550,987231,987231,0,0,463698889618,4894113203,971588,12236,3407,368,392016,0 21,2,2024-09-07 09:45:41:072,709051,709031,20,0,36156276,0,5617 21,3,2024-09-07 09:45:41:404,1,564,1,0,713,7859,564,0 22,0,2024-09-07 09:45:41:717,143511,0.5,144038,0.7,287267,0.4,381550,2.00 22,1,2024-09-07 09:45:41:055,988320,988320,0,0,463866882438,4882886837,971587,13370,3363,382,391822,0 22,2,2024-09-07 09:45:40:760,709422,709396,26,0,30329227,0,6328 22,3,2024-09-07 09:45:41:067,1,564,9,0,228,4780,564,0 23,0,2024-09-07 09:45:41:368,141982,0.5,141690,0.7,283287,0.5,377786,2.25 23,1,2024-09-07 09:45:41:005,989787,989787,0,0,465652317277,4886361934,972906,12032,4849,365,391690,0 23,2,2024-09-07 09:45:41:094,716552,716552,0,0,29397801,0,3773 23,3,2024-09-07 09:45:41:757,1,564,1,0,855,8440,564,0 24,0,2024-09-07 09:45:40:868,137384,0.4,136687,0.6,274918,0.4,365082,1.75 24,1,2024-09-07 09:45:40:581,988410,988410,0,0,463681885498,4853806729,979033,7694,1683,367,392269,0 24,2,2024-09-07 09:45:41:070,714169,714169,0,0,35362169,0,4438 24,3,2024-09-07 09:45:41:689,1,564,2,0,468,7065,564,0 25,0,2024-09-07 09:45:41:343,145714,0.4,141876,0.6,278424,0.4,379347,1.75 25,1,2024-09-07 09:45:40:566,987017,987017,0,0,463639709973,4895121797,970317,13168,3532,369,391928,0 25,2,2024-09-07 09:45:41:641,711321,711321,0,0,36177267,0,3978 25,3,2024-09-07 09:45:41:004,1,564,1,0,532,6299,564,0 26,0,2024-09-07 09:45:41:748,142109,0.4,139134,0.6,291986,0.4,379853,2.00 26,1,2024-09-07 09:45:41:541,990839,990839,0,0,463984041551,4857330840,977424,10956,2459,380,391748,0 26,2,2024-09-07 09:45:40:862,709590,709590,0,0,38182848,0,4689 26,3,2024-09-07 09:45:41:722,1,564,12,0,796,6529,564,0 27,0,2024-09-07 09:45:41:724,144018,0.4,144753,0.6,287558,0.4,383391,2.25 27,1,2024-09-07 09:45:41:684,990834,990834,0,0,466517689402,4860072837,981433,8107,1294,381,391626,0 27,2,2024-09-07 09:45:40:866,710635,710570,65,0,33302006,0,5699 27,3,2024-09-07 09:45:41:053,1,564,0,0,564,4855,564,0 28,0,2024-09-07 09:45:41:400,138232,0.4,138013,0.6,276460,0.3,367824,2.00 28,1,2024-09-07 09:45:40:800,991777,991777,0,0,465468390562,4852392696,983406,6635,1736,382,391698,0 28,2,2024-09-07 09:45:41:764,716205,716205,0,0,29506253,0,2915 28,3,2024-09-07 09:45:41:777,1,564,4,0,502,5520,564,0 29,0,2024-09-07 09:45:41:359,143920,0.4,140040,0.6,275013,0.3,374902,1.75 29,1,2024-09-07 09:45:41:569,994632,994632,0,0,466719117310,4826878009,988655,5203,774,367,391809,0 29,2,2024-09-07 09:45:40:862,714084,714084,0,0,28416715,0,4986 29,3,2024-09-07 09:45:40:962,1,564,1,0,459,6304,564,0 30,0,2024-09-07 09:45:41:456,142368,0.5,138656,0.7,290484,0.4,379170,2.00 30,1,2024-09-07 09:45:40:572,993471,993471,0,0,467344075834,4848998620,986265,6299,907,380,391672,0 30,2,2024-09-07 09:45:41:274,710717,710717,0,0,27153938,0,4192 30,3,2024-09-07 09:45:40:581,1,564,7,0,519,5368,564,0 31,0,2024-09-07 09:45:41:757,144315,0.4,145006,0.6,289107,0.4,386096,2.00 31,1,2024-09-07 09:45:40:571,996493,996493,0,0,468430413856,4809096095,990773,4695,1025,356,391712,0 31,2,2024-09-07 09:45:41:279,711550,711550,0,0,30587820,0,4470 31,3,2024-09-07 09:45:41:721,1,564,3,0,239,4823,564,0 32,0,2024-09-07 09:45:41:420,140883,0.3,141624,0.5,281945,0.3,375809,1.75 32,1,2024-09-07 09:45:40:807,993191,993191,0,0,465940584042,4841714421,987280,5201,710,381,391646,0 32,2,2024-09-07 09:45:40:945,718269,718269,0,0,26952544,0,3922 32,3,2024-09-07 09:45:41:016,1,564,0,0,304,4481,564,0 33,0,2024-09-07 09:45:41:493,138381,0.3,137942,0.4,276172,0.2,367504,1.50 33,1,2024-09-07 09:45:40:575,992987,992987,0,0,467525746620,4843075074,984917,6838,1232,368,391730,0 33,2,2024-09-07 09:45:40:759,715787,715752,35,0,29549846,0,7012 33,3,2024-09-07 09:45:40:903,1,564,1,0,329,5003,564,0 34,0,2024-09-07 09:45:40:946,143386,0.3,147388,0.5,281705,0.2,380584,1.75 34,1,2024-09-07 09:45:41:048,995767,995767,0,0,467475166327,4807940847,993064,2679,24,367,391637,0 34,2,2024-09-07 09:45:40:766,712427,712427,0,0,27515145,0,4562 34,3,2024-09-07 09:45:41:690,1,564,7,0,320,4569,564,0 35,0,2024-09-07 09:45:40:868,142913,0.3,143670,0.5,288462,0.2,383455,1.75 35,1,2024-09-07 09:45:41:068,991673,991673,0,0,466343447441,4839855824,984010,6156,1507,382,391769,0 35,2,2024-09-07 09:45:41:583,711308,711308,0,0,30587390,0,4055 35,3,2024-09-07 09:45:40:907,1,564,2,0,466,5493,564,0 36,0,2024-09-07 09:45:41:526,142367,0.5,142223,0.7,284207,0.5,378299,2.00 36,1,2024-09-07 09:45:40:584,990284,990284,0,0,464783770530,4854416294,977359,10694,2231,366,391759,0 36,2,2024-09-07 09:45:41:755,716441,716441,0,0,32272150,0,3875 36,3,2024-09-07 09:45:40:865,1,564,1,0,416,7437,564,0 37,0,2024-09-07 09:45:41:367,135510,0.5,135452,0.7,271208,0.5,361739,2.00 37,1,2024-09-07 09:45:40:569,990065,990058,0,7,464596760146,4851981690,977949,9223,2886,365,391770,0 37,2,2024-09-07 09:45:41:142,712112,712097,15,0,32421261,0,5815 37,3,2024-09-07 09:45:41:767,1,564,1,0,888,7660,564,0 38,0,2024-09-07 09:45:41:436,141483,0.4,137253,0.6,286932,0.3,376264,2.00 38,1,2024-09-07 09:45:41:671,990451,990451,0,0,465368555373,4868933304,975621,11832,2998,368,391821,0 38,2,2024-09-07 09:45:40:760,712571,712524,47,0,32293288,0,6710 38,3,2024-09-07 09:45:41:001,1,564,8,0,689,6998,564,0 39,0,2024-09-07 09:45:41:771,146213,0.5,142964,0.7,278754,0.5,380705,2.00 39,1,2024-09-07 09:45:40:717,989422,989422,0,0,464379243980,4869954769,972745,12980,3697,365,391865,0 39,2,2024-09-07 09:45:41:421,710663,710663,0,0,29734840,0,3391 39,3,2024-09-07 09:45:40:713,1,564,1,0,525,6523,564,0 40,0,2024-09-07 09:45:41:488,141725,0.9,142372,1.0,284080,1.0,378815,2.75 40,1,2024-09-07 09:45:40:576,990682,990682,0,0,464173368779,4861888108,976312,11590,2780,368,391668,0 40,2,2024-09-07 09:45:41:303,712537,712536,1,0,35843554,0,5137 40,3,2024-09-07 09:45:41:142,1,564,9,0,1028,8261,564,0 41,0,2024-09-07 09:45:41:025,135964,1.2,139079,1.1,265196,1.7,360315,3.00 41,1,2024-09-07 09:45:40:775,989852,989852,0,0,464074492913,4855556092,977136,10650,2066,369,391878,0 41,2,2024-09-07 09:45:40:759,712115,712114,1,0,34796973,0,5408 41,3,2024-09-07 09:45:41:683,1,564,1,0,366,6036,564,0 42,0,2024-09-07 09:45:41:474,140361,0.9,140180,1.0,280013,1.0,372556,2.50 42,1,2024-09-07 09:45:41:439,987302,987302,0,0,463592627426,4873117313,971627,12747,2928,380,391675,0 42,2,2024-09-07 09:45:41:133,712515,712515,0,0,34578795,0,3975 42,3,2024-09-07 09:45:41:011,1,564,10,0,892,5422,564,0 43,0,2024-09-07 09:45:40:935,141665,0.7,137979,0.9,289083,0.8,377786,2.25 43,1,2024-09-07 09:45:40:576,990226,990226,0,0,464940352349,4858680348,976472,11354,2400,366,391696,0 43,2,2024-09-07 09:45:41:742,710096,710096,0,0,32858339,0,4723 43,3,2024-09-07 09:45:41:755,1,564,161,0,571,8253,564,0 44,0,2024-09-07 09:45:40:881,144966,0.4,144864,0.6,290146,0.4,385449,1.75 44,1,2024-09-07 09:45:40:571,992996,992996,0,0,466125874732,4820454419,983875,7182,1939,356,391809,0 44,2,2024-09-07 09:45:41:267,710813,710813,0,0,27175073,0,4344 44,3,2024-09-07 09:45:41:093,1,564,1,0,1097,7201,564,0 45,0,2024-09-07 09:45:41:772,137681,0.5,134453,0.7,282211,0.4,370370,2.00 45,1,2024-09-07 09:45:41:004,991639,991639,0,0,465814543426,4841656394,983576,7160,903,382,391917,0 45,2,2024-09-07 09:45:41:267,717211,717211,0,0,27734626,0,3596 45,3,2024-09-07 09:45:40:934,1,564,1,0,531,5642,564,0 46,0,2024-09-07 09:45:40:953,138204,0.5,137847,0.7,276441,0.5,367677,2.00 46,1,2024-09-07 09:45:40:575,993631,993631,0,0,467269881173,4830177917,986552,6215,864,366,391709,0 46,2,2024-09-07 09:45:40:599,715310,715310,0,0,28323518,0,4443 46,3,2024-09-07 09:45:41:131,1,564,8,0,908,6954,564,0 47,0,2024-09-07 09:45:41:101,143737,0.4,143712,0.6,288483,0.4,382118,2.00 47,1,2024-09-07 09:45:40:574,994911,994911,0,0,466696560268,4821798914,989152,4906,853,365,391641,0 47,2,2024-09-07 09:45:40:907,713634,713634,0,0,27308006,0,4477 47,3,2024-09-07 09:45:41:115,1,564,36,0,600,6357,564,0 48,0,2024-09-07 09:45:41:489,144440,0.3,144614,0.4,288658,0.2,384226,1.50 48,1,2024-09-07 09:45:41:058,992422,992422,0,0,465615845126,4835904381,986486,5360,576,384,391710,0 48,2,2024-09-07 09:45:40:699,712377,712377,0,0,25527107,0,3524 48,3,2024-09-07 09:45:40:754,1,564,1,0,339,4669,564,0 49,0,2024-09-07 09:45:41:727,144741,0.3,141991,0.5,276204,0.3,377060,1.75 49,1,2024-09-07 09:45:41:028,991681,991681,0,0,465762179766,4844557631,984927,5174,1580,382,391809,0 49,2,2024-09-07 09:45:41:797,717563,717563,0,0,28052897,0,4426 49,3,2024-09-07 09:45:41:416,1,564,61,0,992,6594,564,0 50,0,2024-09-07 09:45:41:506,137680,0.3,135947,0.5,273812,0.2,365087,1.75 50,1,2024-09-07 09:45:41:016,994801,994801,0,0,467097024245,4827795618,988690,5443,668,368,391565,0 50,2,2024-09-07 09:45:41:068,714111,714111,0,0,25704766,0,4490 50,3,2024-09-07 09:45:41:290,1,564,8,0,617,5864,564,0 51,0,2024-09-07 09:45:41:690,146015,0.3,143069,0.4,278382,0.2,379630,1.75 51,1,2024-09-07 09:45:41:684,995608,995608,0,0,467485480050,4821731699,990057,4471,1080,365,391706,0 51,2,2024-09-07 09:45:41:316,712499,712499,0,0,25322570,0,3337 51,3,2024-09-07 09:45:41:056,1,564,5,0,678,4041,564,0 52,0,2024-09-07 09:45:41:411,144040,0.5,143636,0.6,287546,0.4,382403,2.00 52,1,2024-09-07 09:45:40:585,990653,990653,0,0,465359053628,4870725016,976381,12057,2215,368,391805,0 52,2,2024-09-07 09:45:41:757,707613,707575,38,0,33672007,0,6742 52,3,2024-09-07 09:45:40:675,1,564,48,0,1782,7007,564,0 53,0,2024-09-07 09:45:41:740,141678,0.7,137419,0.8,287544,0.7,377024,2.50 53,1,2024-09-07 09:45:40:779,989127,989127,0,0,465198628301,4882105753,972072,12852,4203,367,391968,0 53,2,2024-09-07 09:45:41:301,715436,715435,1,0,30674609,0,5455 53,3,2024-09-07 09:45:40:699,1,564,7,0,308,5261,564,0 54,0,2024-09-07 09:45:41:615,134676,0.6,135091,0.8,268986,0.4,359186,2.25 54,1,2024-09-07 09:45:40:580,991154,991154,0,0,465707862534,4844526388,980440,9052,1662,366,391810,0 54,2,2024-09-07 09:45:40:865,715060,715028,32,0,34316188,0,6397 54,3,2024-09-07 09:45:40:770,1,564,16,0,676,7576,564,0 55,0,2024-09-07 09:45:41:760,136841,0.6,141112,0.7,286192,0.5,373642,2.50 55,1,2024-09-07 09:45:40:764,992160,992160,0,0,464418545036,4832690641,981475,9297,1388,365,391731,0 55,2,2024-09-07 09:45:40:735,711787,711731,56,0,32218895,0,7239 55,3,2024-09-07 09:45:40:674,1,564,5,0,304,5286,564,0 56,0,2024-09-07 09:45:41:640,145825,1.2,137506,1.1,283106,1.5,379364,2.75 56,1,2024-09-07 09:45:40:574,986251,986251,0,0,463165384166,4896858881,969576,13206,3469,381,391867,0 56,2,2024-09-07 09:45:41:308,709244,709122,122,0,33452786,0,7432 56,3,2024-09-07 09:45:41:073,1,564,5,0,705,6641,564,0 57,0,2024-09-07 09:45:40:944,142212,1.5,142217,1.2,284500,2.1,380145,3.25 57,1,2024-09-07 09:45:41:021,988286,988286,0,0,464404300127,4874620397,975047,10907,2332,366,392032,0 57,2,2024-09-07 09:45:41:317,713243,713243,0,0,34983909,0,4317 57,3,2024-09-07 09:45:41:756,1,564,0,0,455,6499,564,0 58,0,2024-09-07 09:45:40:570,136174,0.9,132095,1.0,276389,1.1,361370,2.50 58,1,2024-09-07 09:45:40:575,989757,989754,0,3,465525015709,4879846976,974721,11341,3692,367,391675,3 58,2,2024-09-07 09:45:41:073,715909,715909,0,0,33115841,0,3483 58,3,2024-09-07 09:45:41:069,1,564,13,0,1043,6413,564,0 59,0,2024-09-07 09:45:41:761,139307,0.8,139103,0.9,277899,0.8,368941,2.75 59,1,2024-09-07 09:45:40:804,988508,988508,0,0,464408336216,4878905715,972315,12862,3331,369,391653,0 59,2,2024-09-07 09:45:40:583,713751,713751,0,0,31657478,0,3727 59,3,2024-09-07 09:45:41:743,1,564,0,0,1015,6914,564,0 60,0,2024-09-07 09:45:41:718,143097,0.5,143462,0.7,286632,0.5,381421,1.75 60,1,2024-09-07 09:45:40:775,993519,993519,0,0,466788683286,4848982508,986315,6209,995,370,392031,0 60,2,2024-09-07 09:45:41:141,710484,710484,0,0,29622166,0,3811 60,3,2024-09-07 09:45:41:267,1,564,2,0,409,6557,564,0 61,0,2024-09-07 09:45:41:521,144438,0.6,145046,0.8,288823,0.6,385491,2.00 61,1,2024-09-07 09:45:40:775,989700,989700,0,0,464954525771,4869380259,978925,9115,1660,382,392127,0 61,2,2024-09-07 09:45:41:116,712142,712075,67,0,30550639,0,6411 61,3,2024-09-07 09:45:41:698,1,564,45,0,607,7713,564,0 62,0,2024-09-07 09:45:41:717,141091,0.6,144777,0.7,276395,0.6,375709,2.00 62,1,2024-09-07 09:45:41:126,995678,995672,0,6,468172380191,4826360557,991017,4371,284,365,391975,6 62,2,2024-09-07 09:45:41:644,714701,714700,1,0,30906467,0,5555 62,3,2024-09-07 09:45:41:143,1,564,11,0,482,4532,564,0 63,0,2024-09-07 09:45:41:450,138400,0.4,138140,0.6,276974,0.4,367875,1.75 63,1,2024-09-07 09:45:40:804,992595,992589,0,6,466088864605,4840616128,985953,5782,854,381,391800,6 63,2,2024-09-07 09:45:40:762,715307,715307,0,0,28969668,0,4369 63,3,2024-09-07 09:45:41:732,1,564,13,0,667,6070,564,0 64,0,2024-09-07 09:45:41:523,142444,0.5,142599,0.6,283954,0.4,378898,2.00 64,1,2024-09-07 09:45:40:755,991461,991461,0,0,466017498631,4853645795,982441,7045,1975,370,391794,0 64,2,2024-09-07 09:45:41:147,715199,715180,19,0,27782029,0,6121 64,3,2024-09-07 09:45:41:140,1,564,60,0,651,6125,564,0 65,0,2024-09-07 09:45:41:707,142689,0.6,142894,0.7,285514,0.6,380903,2.00 65,1,2024-09-07 09:45:40:866,989776,989776,0,0,464373449218,4851546672,982911,6064,801,381,391901,0 65,2,2024-09-07 09:45:41:697,710704,710704,0,0,32805315,0,3367 65,3,2024-09-07 09:45:41:686,1,564,7,0,782,6454,564,0 66,0,2024-09-07 09:45:41:762,141453,0.6,140959,0.8,282086,0.5,375419,2.25 66,1,2024-09-07 09:45:41:310,992175,992175,0,0,465720364033,4846411513,985812,5641,722,380,391743,0 66,2,2024-09-07 09:45:41:151,718644,718641,3,0,29880333,0,5455 66,3,2024-09-07 09:45:41:089,1,564,13,0,291,4840,564,0 67,0,2024-09-07 09:45:41:420,135828,0.5,135619,0.7,271890,0.5,361851,2.00 67,1,2024-09-07 09:45:40:807,991780,991779,0,1,465803418489,4847649738,985168,5742,869,380,391787,1 67,2,2024-09-07 09:45:40:587,716889,716874,15,0,29536735,0,6205 67,3,2024-09-07 09:45:41:750,1,564,0,0,595,6002,564,0 68,0,2024-09-07 09:45:40:589,142247,0.5,142102,0.7,282970,0.5,378576,2.00 68,1,2024-09-07 09:45:40:580,987785,987785,0,0,463966445167,4878665832,974716,9610,3459,381,391953,0 68,2,2024-09-07 09:45:41:056,709112,709012,100,0,37396637,0,8578 68,3,2024-09-07 09:45:40:729,1,564,1,0,417,7491,564,0 69,0,2024-09-07 09:45:41:759,142361,0.7,143230,0.8,285426,0.7,379176,2.25 69,1,2024-09-07 09:45:41:054,987053,987053,0,0,463643696883,4886096601,974116,10172,2765,383,391994,0 69,2,2024-09-07 09:45:41:746,708371,708342,29,0,38768469,0,6912 69,3,2024-09-07 09:45:40:763,1,564,1,0,698,8335,564,0 70,0,2024-09-07 09:45:41:532,141469,0.8,141892,1.0,285411,0.7,377682,2.50 70,1,2024-09-07 09:45:40:801,993022,993022,0,0,467080550865,4846395277,985357,6902,763,366,391725,0 70,2,2024-09-07 09:45:41:325,714478,714478,0,0,32450824,0,4323 70,3,2024-09-07 09:45:40:745,1,564,8,0,854,6434,564,0 71,0,2024-09-07 09:45:41:365,135311,1.0,135088,1.1,271198,1.3,361853,2.75 71,1,2024-09-07 09:45:41:599,990953,990953,0,0,465345917690,4855325247,979029,10575,1349,368,391738,0 71,2,2024-09-07 09:45:41:071,714561,714561,0,0,33213419,0,4352 71,3,2024-09-07 09:45:41:750,1,564,36,0,644,6570,564,0 72,0,2024-09-07 09:45:41:030,145593,0.5,142177,0.7,277774,0.5,378147,2.00 72,1,2024-09-07 09:45:41:056,989133,989133,0,0,464136951972,4869195220,974959,11780,2394,369,391819,0 72,2,2024-09-07 09:45:41:767,712078,712078,0,0,34805649,0,3983 72,3,2024-09-07 09:45:41:754,1,564,1,0,564,8314,564,0 73,0,2024-09-07 09:45:41:128,139634,0.5,143125,0.6,292861,0.4,380588,2.00 73,1,2024-09-07 09:45:40:766,991228,991228,0,0,465461081764,4837856396,983691,6610,927,367,391858,0 73,2,2024-09-07 09:45:41:748,709624,709624,0,0,34972516,0,4728 73,3,2024-09-07 09:45:40:970,1,564,1,0,1091,8056,564,0 74,0,2024-09-07 09:45:41:327,145678,0.5,149171,0.7,284530,0.4,385704,2.00 74,1,2024-09-07 09:45:40:635,989807,989807,0,0,464707506978,4851413008,978776,9053,1978,381,391762,0 74,2,2024-09-07 09:45:41:005,711696,711696,0,0,30695949,0,4253 74,3,2024-09-07 09:45:41:446,1,564,8,0,522,6797,564,0 75,0,2024-09-07 09:45:41:767,139632,0.6,138658,0.8,278519,0.5,371882,2.25 75,1,2024-09-07 09:45:41:585,991516,991516,0,0,464905381499,4845544537,983332,7318,866,380,391739,0 75,2,2024-09-07 09:45:41:351,715031,715031,0,0,37398521,0,4766 75,3,2024-09-07 09:45:41:068,1,564,7,0,702,6866,564,0 76,0,2024-09-07 09:45:40:584,138187,0.6,137641,0.8,275517,0.5,368956,2.25 76,1,2024-09-07 09:45:40:805,990569,990569,0,0,464132440536,4840665781,983707,5892,970,382,391723,0 76,2,2024-09-07 09:45:41:072,716715,716712,3,0,30961329,0,5265 76,3,2024-09-07 09:45:41:145,1,564,9,0,227,5140,564,0 77,0,2024-09-07 09:45:41:706,143015,0.6,143574,0.7,287052,0.5,381432,2.00 77,1,2024-09-07 09:45:40:829,990450,990450,0,0,465289759420,4855082857,982726,6850,874,381,391869,0 77,2,2024-09-07 09:45:41:284,710061,710061,0,0,29873633,0,3890 77,3,2024-09-07 09:45:41:094,1,564,1,0,401,6218,564,0 78,0,2024-09-07 09:45:41:722,144625,0.4,144122,0.6,288829,0.4,382824,2.00 78,1,2024-09-07 09:45:40:617,990951,990951,0,0,464787867299,4848460556,979065,9219,2667,367,391670,0 78,2,2024-09-07 09:45:41:405,713374,713361,13,0,28167796,0,8313 78,3,2024-09-07 09:45:41:133,1,564,8,0,311,4822,564,0 79,0,2024-09-07 09:45:41:344,136059,0.4,139365,0.6,285670,0.3,371682,2.00 79,1,2024-09-07 09:45:40:571,994103,994103,0,0,466474847040,4831173647,986315,6430,1358,367,391682,0 79,2,2024-09-07 09:45:41:070,717038,717038,0,0,27163525,0,4195 79,3,2024-09-07 09:45:40:749,1,564,3,0,418,6843,564,0 80,0,2024-09-07 09:45:41:099,136765,0.5,140559,0.7,268637,0.5,363680,2.00 80,1,2024-09-07 09:45:41:623,991237,991237,0,0,466163979538,4851637492,985000,5803,434,368,392269,0 80,2,2024-09-07 09:45:41:124,716878,716878,0,0,27684022,0,4433 80,3,2024-09-07 09:45:40:575,1,564,1,0,681,7181,564,0 81,0,2024-09-07 09:45:41:663,142641,0.5,145996,0.7,278419,0.5,377878,2.00 81,1,2024-09-07 09:45:41:666,989856,989856,0,0,464603098726,4855205436,982119,7095,642,382,391885,0 81,2,2024-09-07 09:45:41:125,710802,710739,63,0,30796937,0,5932 81,3,2024-09-07 09:45:41:117,1,564,4,0,719,6473,564,0 82,0,2024-09-07 09:45:41:533,143352,0.5,143535,0.7,287648,0.4,381470,2.00 82,1,2024-09-07 09:45:40:583,992777,992773,0,4,465738098364,4840612246,987426,4525,822,381,391768,4 82,2,2024-09-07 09:45:41:711,713123,713123,0,0,25653012,0,4484 82,3,2024-09-07 09:45:41:756,1,564,7,0,363,5385,564,0 83,0,2024-09-07 09:45:41:520,142303,0.6,142348,0.7,284088,0.6,377141,2.25 83,1,2024-09-07 09:45:40:551,990469,990469,0,0,464723051427,4845685934,983128,6697,644,382,391709,0 83,2,2024-09-07 09:45:40:763,715877,715852,25,0,28110186,0,5612 83,3,2024-09-07 09:45:40:749,1,564,2,0,1260,6963,564,0 84,0,2024-09-07 09:45:41:819,135343,0.7,135658,0.9,270775,0.6,362315,2.25 84,1,2024-09-07 09:45:41:054,989124,989124,0,0,463528749371,4848270307,977484,9971,1669,367,391967,0 84,2,2024-09-07 09:45:40:582,714442,714412,30,0,36714230,0,5971 84,3,2024-09-07 09:45:41:148,1,564,1,0,908,7632,564,0 85,0,2024-09-07 09:45:41:004,136415,0.6,136396,0.8,289426,0.5,374720,2.25 85,1,2024-09-07 09:45:40:562,986642,986642,0,0,463431406379,4894102960,971322,12574,2746,381,392092,0 85,2,2024-09-07 09:45:40:865,712144,712144,0,0,34250232,0,4255 85,3,2024-09-07 09:45:40:685,1,564,2,0,789,6618,564,0 86,0,2024-09-07 09:45:40:884,142884,0.6,146912,0.8,280838,0.7,380130,2.25 86,1,2024-09-07 09:45:40:824,988931,988931,0,0,465510139493,4881073939,975876,10399,2656,366,391995,0 86,2,2024-09-07 09:45:40:855,708962,708961,1,0,36398251,0,5004 86,3,2024-09-07 09:45:40:597,1,564,8,0,308,8043,564,0 87,0,2024-09-07 09:45:41:294,144113,0.8,143652,0.8,287283,1.0,383717,2.25 87,1,2024-09-07 09:45:40:558,988310,988310,0,0,464683549505,4867379822,975739,10851,1720,366,392076,0 87,2,2024-09-07 09:45:41:069,712187,712181,6,0,33047123,0,6323 87,3,2024-09-07 09:45:41:820,1,564,1,0,473,8418,564,0 88,0,2024-09-07 09:45:41:462,137949,0.5,138339,0.6,276563,0.4,367696,1.75 88,1,2024-09-07 09:45:40:575,987690,987690,0,0,463937982587,4860257252,974108,10844,2738,365,392084,0 88,2,2024-09-07 09:45:40:688,714910,714910,0,0,36273701,0,4465 88,3,2024-09-07 09:45:41:267,1,564,2,0,1080,8555,564,0 89,0,2024-09-07 09:45:41:775,144015,0.4,139719,0.6,275653,0.4,374889,1.75 89,1,2024-09-07 09:45:40:560,987377,987377,0,0,464394231332,4885984379,974807,10637,1933,382,391866,0 89,2,2024-09-07 09:45:41:136,713424,713424,0,0,33725967,0,3173 89,3,2024-09-07 09:45:41:793,1,564,4,0,468,9537,564,0 90,0,2024-09-07 09:45:41:640,138961,0.5,142717,0.6,291080,0.4,379758,2.00 90,1,2024-09-07 09:45:40:608,989096,989096,0,0,464538061283,4866237678,979417,8791,888,380,391825,0 90,2,2024-09-07 09:45:41:419,707207,707207,0,0,35913946,0,3608 90,3,2024-09-07 09:45:40:932,1,564,8,0,322,6872,564,0 91,0,2024-09-07 09:45:40:949,145077,0.5,140740,0.6,294293,0.4,386156,1.75 91,1,2024-09-07 09:45:40:565,986506,986506,0,0,464468856511,4891105487,972440,11329,2737,381,392047,0 91,2,2024-09-07 09:45:41:331,712073,712073,0,0,31676303,0,4713 91,3,2024-09-07 09:45:40:600,1,564,27,0,216,5187,564,0 92,0,2024-09-07 09:45:41:456,142275,0.5,145460,0.6,277285,0.5,375960,1.75 92,1,2024-09-07 09:45:40:582,990350,990350,0,0,465166072998,4858553079,982535,6606,1209,381,392136,0 92,2,2024-09-07 09:45:41:356,716876,716876,0,0,28758382,0,3259 92,3,2024-09-07 09:45:41:011,1,564,11,0,167,4844,564,0 93,0,2024-09-07 09:45:40:957,138638,0.4,142129,0.6,271290,0.4,367955,1.75 93,1,2024-09-07 09:45:40:806,990577,990577,0,0,465524672456,4860564555,979289,9364,1924,366,391776,0 93,2,2024-09-07 09:45:40:928,714600,714600,0,0,34516543,0,4913 93,3,2024-09-07 09:45:41:406,1,564,166,0,294,5605,564,0 94,0,2024-09-07 09:45:41:604,142606,0.4,143649,0.5,287176,0.3,382034,1.75 94,1,2024-09-07 09:45:40:571,991297,991297,0,0,465310323903,4853530819,984570,6297,430,381,391850,0 94,2,2024-09-07 09:45:40:768,711336,711308,28,0,29201068,0,6179 94,3,2024-09-07 09:45:41:699,1,564,12,0,576,6983,564,0 95,0,2024-09-07 09:45:41:342,143721,0.3,143749,0.5,287741,0.3,383457,1.75 95,1,2024-09-07 09:45:40:853,992495,992495,0,0,465084088715,4834184559,984391,7403,701,365,391852,0 95,2,2024-09-07 09:45:41:016,710460,710460,0,0,28522516,0,3308 95,3,2024-09-07 09:45:41:709,1,564,10,0,718,8686,564,0 96,0,2024-09-07 09:45:41:023,141853,0.4,142167,0.5,284185,0.3,377684,1.75 96,1,2024-09-07 09:45:41:592,990239,990239,0,0,465229525057,4853251834,982977,5991,1271,384,391964,0 96,2,2024-09-07 09:45:41:268,717240,717240,0,0,29332880,0,4180 96,3,2024-09-07 09:45:41:140,1,564,16,0,411,6533,564,0 97,0,2024-09-07 09:45:41:342,135936,0.3,135890,0.5,272688,0.3,361935,1.50 97,1,2024-09-07 09:45:40:763,992454,992454,0,0,466317127677,4836316763,986175,5255,1024,367,392140,0 97,2,2024-09-07 09:45:40:617,716019,716019,0,0,29396660,0,4046 97,3,2024-09-07 09:45:40:576,1,564,1,0,242,6417,564,0 98,0,2024-09-07 09:45:41:770,141796,0.3,141915,0.4,284640,0.2,378630,1.50 98,1,2024-09-07 09:45:40:571,991476,991476,0,0,465369721157,4845490277,985431,5226,819,381,391997,0 98,2,2024-09-07 09:45:40:769,713505,713505,0,0,28492094,0,4336 98,3,2024-09-07 09:45:40:698,1,564,9,0,840,8590,564,0 99,0,2024-09-07 09:45:41:460,143267,0.3,143948,0.5,286338,0.3,382075,1.75 99,1,2024-09-07 09:45:42:021,992411,992411,0,0,465219520751,4840532441,986309,5173,929,380,392069,0 99,2,2024-09-07 09:45:41:417,710737,710737,0,0,34165629,0,4276 99,3,2024-09-07 09:45:40:583,1,564,5,0,606,5900,564,0 100,0,2024-09-07 09:45:41:474,142744,0.8,142960,0.9,285641,0.9,381164,2.50 100,1,2024-09-07 09:45:40:554,986408,986408,0,0,463433171133,4900937527,970849,12270,3289,378,391989,0 100,2,2024-09-07 09:45:41:820,711921,711910,11,0,33836407,0,5417 100,3,2024-09-07 09:45:41:740,1,564,10,0,627,9821,564,0 101,0,2024-09-07 09:45:41:718,139527,1.1,136086,1.0,266415,1.0,364078,2.25 101,1,2024-09-07 09:45:40:569,988079,988079,0,0,463577512535,4870604639,974959,10669,2451,368,391847,0 101,2,2024-09-07 09:45:41:758,710961,710961,0,0,38242752,0,4871 101,3,2024-09-07 09:45:40:942,1,564,131,0,1250,8777,564,0 102,0,2024-09-07 09:45:40:962,137112,0.6,141301,0.7,286846,0.6,375712,2.00 102,1,2024-09-07 09:45:41:142,988054,988054,0,0,463442306968,4868329764,974726,11175,2153,369,391984,0 102,2,2024-09-07 09:45:41:747,714273,714219,54,0,32687086,0,6768 102,3,2024-09-07 09:45:41:615,1,564,10,0,466,6490,564,0 103,0,2024-09-07 09:45:41:589,147917,0.6,147915,0.7,278684,0.6,383729,2.00 103,1,2024-09-07 09:45:41:644,986558,986558,0,0,463101685662,4892604192,970398,12570,3590,381,392077,0 103,2,2024-09-07 09:45:40:582,707994,707994,0,0,34422123,0,3766 103,3,2024-09-07 09:45:40:758,1,564,2,0,916,6925,564,0 104,0,2024-09-07 09:45:41:032,143755,0.7,143911,0.9,287034,0.7,383864,2.25 104,1,2024-09-07 09:45:41:607,989432,989432,0,0,463896084510,4873331365,975363,11480,2589,365,392168,0 104,2,2024-09-07 09:45:41:678,709996,709996,0,0,33816392,0,3941 104,3,2024-09-07 09:45:41:416,1,564,12,0,1245,10305,564,0 105,0,2024-09-07 09:45:41:037,137904,0.9,134416,1.1,281598,1.0,369944,2.50 105,1,2024-09-07 09:45:40:558,990745,990745,0,0,465273372631,4872994813,978556,10382,1807,364,392009,0 105,2,2024-09-07 09:45:41:323,715074,715074,0,0,34933787,0,4360 105,3,2024-09-07 09:45:41:305,1,564,3,0,573,8749,564,0 106,0,2024-09-07 09:45:40:941,134115,0.8,137317,0.9,281510,0.9,367786,2.50 106,1,2024-09-07 09:45:41:757,989364,989364,0,0,464933436368,4879273842,975651,11979,1734,368,391914,0 106,2,2024-09-07 09:45:40:756,713315,713315,0,0,32376658,0,2920 106,3,2024-09-07 09:45:40:677,1,564,9,0,1224,8210,564,0 107,0,2024-09-07 09:45:41:112,143227,1.0,143338,0.9,286727,1.2,382103,2.25 107,1,2024-09-07 09:45:40:585,987031,987031,0,0,463729598018,4895194459,972078,13226,1727,381,392234,0 107,2,2024-09-07 09:45:41:294,707541,707540,1,0,34876383,0,5024 107,3,2024-09-07 09:45:41:757,1,564,6,0,733,8810,564,0 108,0,2024-09-07 09:45:41:853,144062,0.4,144574,0.6,288069,0.4,384284,1.75 108,1,2024-09-07 09:45:41:293,990004,990004,0,0,465661737855,4858382018,981510,7517,977,367,391894,0 108,2,2024-09-07 09:45:41:759,711345,711345,0,0,31009208,0,4246 108,3,2024-09-07 09:45:41:332,1,564,6,0,749,10646,564,0 109,0,2024-09-07 09:45:41:818,141651,0.4,140410,0.6,281726,0.4,376058,1.75 109,1,2024-09-07 09:45:40:583,987710,987710,0,0,464407380235,4871831658,978894,7594,1222,382,392132,0 109,2,2024-09-07 09:45:40:921,714613,714613,0,0,31726157,0,3617 109,3,2024-09-07 09:45:41:140,1,564,1,0,630,7117,564,0 110,0,2024-09-07 09:45:41:766,136894,0.4,133159,0.6,278829,0.3,365811,1.75 110,1,2024-09-07 09:45:41:667,992159,992159,0,0,466320581679,4842994788,984258,5977,1924,368,392045,0 110,2,2024-09-07 09:45:41:314,715140,715140,0,0,29552687,0,4067 110,3,2024-09-07 09:45:40:690,1,564,17,0,722,7808,564,0 111,0,2024-09-07 09:45:41:421,142950,0.3,142093,0.5,284435,0.3,379098,1.75 111,1,2024-09-07 09:45:41:005,993458,993458,0,0,467598924473,4848556476,988575,4520,363,380,391690,0 111,2,2024-09-07 09:45:41:116,711984,711984,0,0,29822661,0,4823 111,3,2024-09-07 09:45:40:917,1,564,7,0,379,6261,564,0 112,0,2024-09-07 09:45:40:914,144319,0.3,143725,0.4,288225,0.2,382756,1.50 112,1,2024-09-07 09:45:40:824,991833,991833,0,0,465670321544,4837153699,984777,5831,1225,380,391624,0 112,2,2024-09-07 09:45:41:133,711053,711052,1,0,28067996,0,5036 112,3,2024-09-07 09:45:40:593,1,564,0,0,282,5345,564,0 113,0,2024-09-07 09:45:40:870,142512,0.3,142433,0.5,285181,0.2,379465,1.75 113,1,2024-09-07 09:45:41:700,994364,994364,0,0,467105438476,4819651481,988599,4883,882,365,391664,0 113,2,2024-09-07 09:45:41:303,718412,718412,0,0,26344941,0,3813 113,3,2024-09-07 09:45:40:684,1,564,12,0,510,6215,564,0 114,0,2024-09-07 09:45:40:880,136793,0.3,137799,0.5,273871,0.2,366465,1.75 114,1,2024-09-07 09:45:40:716,992310,992310,0,0,466104580281,4839479087,984997,5661,1652,381,391565,0 114,2,2024-09-07 09:45:40:874,716459,716458,1,0,27998885,0,5069 114,3,2024-09-07 09:45:41:278,1,564,9,0,395,4498,564,0 115,0,2024-09-07 09:45:40:557,142277,0.3,142692,0.4,284304,0.2,378736,1.50 115,1,2024-09-07 09:45:40:582,992813,992813,0,0,465791895001,4838545472,985221,6234,1358,382,391757,0 115,2,2024-09-07 09:45:41:126,715938,715938,0,0,27844128,0,4382 115,3,2024-09-07 09:45:41:004,1,564,1,0,167,3310,564,0 116,0,2024-09-07 09:45:41:704,142638,0.7,142350,0.8,285416,0.6,381292,2.00 116,1,2024-09-07 09:45:40:838,987567,987567,0,0,463763587642,4890587545,975238,9266,3063,380,392089,0 116,2,2024-09-07 09:45:41:751,709683,709683,0,0,34661858,0,4475 116,3,2024-09-07 09:45:40:919,1,564,5,0,448,7427,564,0 117,0,2024-09-07 09:45:40:957,144277,0.7,143602,0.8,287823,0.8,384497,2.00 117,1,2024-09-07 09:45:41:579,989140,989140,0,0,464089896705,4858591582,978249,9429,1462,369,392033,0 117,2,2024-09-07 09:45:41:123,714890,714890,0,0,31131975,0,4303 117,3,2024-09-07 09:45:41:063,1,564,19,0,490,7471,564,0 118,0,2024-09-07 09:45:41:777,133822,0.6,137413,0.7,280275,0.5,366163,2.00 118,1,2024-09-07 09:45:40:585,987367,987367,0,0,463972921395,4879891759,972224,11529,3614,366,392054,0 118,2,2024-09-07 09:45:41:602,714592,714592,0,0,33679463,0,2842 118,3,2024-09-07 09:45:41:768,1,564,8,0,289,6512,564,0 119,0,2024-09-07 09:45:41:359,139560,0.6,140147,0.7,279850,0.5,373077,2.00 119,1,2024-09-07 09:45:40:548,988699,988699,0,0,465025015498,4875216739,975256,11109,2334,367,391857,0 119,2,2024-09-07 09:45:41:260,714772,714772,0,0,31551116,0,4309 119,3,2024-09-07 09:45:41:325,1,564,2,0,1358,10786,564,0 120,0,2024-09-07 09:45:41:545,142336,0.7,142198,0.8,285520,0.7,380587,2.25 120,1,2024-09-07 09:45:40:857,990368,990368,0,0,463987808006,4861647589,980679,8908,781,367,392144,0 120,2,2024-09-07 09:45:40:770,708780,708779,1,0,36588596,0,5281 120,3,2024-09-07 09:45:41:290,1,564,52,0,279,7122,564,0 121,0,2024-09-07 09:45:41:714,144760,1.2,144173,1.0,288843,1.5,384980,2.25 121,1,2024-09-07 09:45:41:663,989930,989930,0,0,464447468657,4856133574,980053,9026,851,366,391840,0 121,2,2024-09-07 09:45:41:126,711176,711176,0,0,33772167,0,4157 121,3,2024-09-07 09:45:40:734,1,564,1,0,387,7096,564,0 122,0,2024-09-07 09:45:41:787,140093,0.8,136304,0.9,285641,1.0,375874,2.00 122,1,2024-09-07 09:45:40:863,988449,988449,0,0,464351263789,4874132741,974583,11534,2332,366,392130,0 122,2,2024-09-07 09:45:41:320,716722,716647,75,0,38095383,0,5989 122,3,2024-09-07 09:45:40:593,1,564,4,0,512,9416,564,0 123,0,2024-09-07 09:45:41:054,137413,0.7,133652,0.8,279915,0.8,366600,2.25 123,1,2024-09-07 09:45:40:562,988802,988802,0,0,464476145376,4886367557,972638,13508,2656,369,392039,0 123,2,2024-09-07 09:45:41:039,712666,712665,1,0,32461798,0,5215 123,3,2024-09-07 09:45:41:149,1,564,21,0,478,6524,564,0 124,0,2024-09-07 09:45:40:961,146978,0.3,147009,0.5,276920,0.3,382115,1.75 124,1,2024-09-07 09:45:41:057,992206,992206,0,0,466192283705,4846523511,984776,6260,1170,365,392178,0 124,2,2024-09-07 09:45:41:013,712736,712683,53,0,29290362,0,6487 124,3,2024-09-07 09:45:40:759,1,564,5,0,490,5760,564,0 125,0,2024-09-07 09:45:41:467,143482,0.4,143467,0.5,287923,0.3,383436,1.75 125,1,2024-09-07 09:45:40:864,989315,989315,0,0,464544204400,4850290775,981518,6668,1129,382,391813,0 125,2,2024-09-07 09:45:41:133,713773,713773,0,0,29171257,0,4534 125,3,2024-09-07 09:45:41:133,1,564,20,0,709,6580,564,0 126,0,2024-09-07 09:45:41:441,142134,0.4,146182,0.6,279537,0.4,378297,1.75 126,1,2024-09-07 09:45:40:555,992962,992962,0,0,465885964797,4824298004,987636,4881,445,365,391987,0 126,2,2024-09-07 09:45:40:617,717226,717226,0,0,30696267,0,4539 126,3,2024-09-07 09:45:40:908,1,564,16,0,268,6207,564,0 127,0,2024-09-07 09:45:41:636,136197,0.3,136482,0.5,272502,0.3,362269,1.75 127,1,2024-09-07 09:45:40:572,991170,991170,0,0,465433861043,4844287682,980980,8568,1622,364,392187,0 127,2,2024-09-07 09:45:40:636,714520,714516,4,0,28966222,0,5305 127,3,2024-09-07 09:45:41:267,1,564,5,0,968,5582,564,0 128,0,2024-09-07 09:45:41:535,142654,0.3,142695,0.4,284895,0.2,379249,1.50 128,1,2024-09-07 09:45:41:626,991128,991128,0,0,466399619688,4849885555,983257,6936,935,367,392031,0 128,2,2024-09-07 09:45:41:396,714531,714531,0,0,26787510,0,3171 128,3,2024-09-07 09:45:40:767,1,564,4,0,1082,8927,564,0 129,0,2024-09-07 09:45:41:042,144355,0.3,143654,0.5,287870,0.3,382423,1.50 129,1,2024-09-07 09:45:40:576,987961,987961,0,0,464472698387,4867760497,978009,7935,2017,379,391962,0 129,2,2024-09-07 09:45:40:685,711945,711941,4,0,29023573,0,5335 129,3,2024-09-07 09:45:40:688,1,564,0,0,506,8027,564,0 130,0,2024-09-07 09:45:41:729,144012,0.4,143381,0.6,287527,0.4,382505,1.75 130,1,2024-09-07 09:45:40:583,992332,992332,0,0,465780889779,4838840044,987145,4796,391,381,391825,0 130,2,2024-09-07 09:45:41:127,715471,715471,0,0,28901004,0,4067 130,3,2024-09-07 09:45:41:294,1,564,12,0,960,7674,564,0 131,0,2024-09-07 09:45:41:955,136740,0.4,137232,0.5,274932,0.3,364901,1.75 131,1,2024-09-07 09:45:41:823,991228,991228,0,0,465397578407,4851493242,984278,5757,1193,381,391865,0 131,2,2024-09-07 09:45:40:573,716179,716179,0,0,27378807,0,3979 131,3,2024-09-07 09:45:41:689,1,564,1,0,392,7292,564,0 132,0,2024-09-07 09:45:41:462,141103,0.5,142029,0.6,282890,0.4,376838,2.00 132,1,2024-09-07 09:45:40:577,987522,987522,0,0,463925711690,4882357361,973485,11397,2640,381,392532,0 132,2,2024-09-07 09:45:40:714,714044,714027,17,0,35518031,0,6451 132,3,2024-09-07 09:45:41:696,1,564,10,0,804,9026,564,0 133,0,2024-09-07 09:45:41:543,139749,0.4,142890,0.6,293069,0.4,381416,2.00 133,1,2024-09-07 09:45:40:583,987339,987339,0,0,463869146526,4887302015,974041,11589,1709,383,391914,0 133,2,2024-09-07 09:45:41:099,710555,710505,50,0,35673363,0,6861 133,3,2024-09-07 09:45:41:297,1,564,2,0,528,6925,564,0 134,0,2024-09-07 09:45:40:954,144963,0.5,145045,0.7,290358,0.5,385993,2.00 134,1,2024-09-07 09:45:40:584,989017,989017,0,0,463836307111,4862036461,976819,10042,2156,366,391781,0 134,2,2024-09-07 09:45:41:756,711996,711972,24,0,33464608,0,6207 134,3,2024-09-07 09:45:40:753,1,564,8,0,739,6632,564,0 135,0,2024-09-07 09:45:41:117,135010,0.9,135018,0.9,286692,1.0,369490,2.25 135,1,2024-09-07 09:45:41:591,988242,988242,0,0,464339999539,4881375017,975850,10790,1602,380,391805,0 135,2,2024-09-07 09:45:40:689,716793,716793,0,0,34421685,0,4503 135,3,2024-09-07 09:45:41:005,1,564,1,0,900,5542,564,0 136,0,2024-09-07 09:45:41:659,139342,0.6,139743,0.7,278035,0.5,371295,2.00 136,1,2024-09-07 09:45:41:445,989432,989432,0,0,464656815161,4870538657,978282,9783,1367,381,392135,0 136,2,2024-09-07 09:45:41:133,715547,715532,15,0,33946951,0,6007 136,3,2024-09-07 09:45:41:114,1,564,1,0,637,6751,564,0 137,0,2024-09-07 09:45:40:934,148126,0.6,144331,0.7,282771,0.6,383806,2.00 137,1,2024-09-07 09:45:40:577,988017,988017,0,0,465121791695,4877234449,972861,12105,3051,366,391898,0 137,2,2024-09-07 09:45:41:726,708552,708552,0,0,34828134,0,3185 137,3,2024-09-07 09:45:40:776,1,564,20,0,484,7327,564,0 138,0,2024-09-07 09:45:41:750,143554,0.8,143763,0.9,288108,0.8,382886,2.00 138,1,2024-09-07 09:45:41:699,988834,988834,0,0,465018922761,4877960298,974922,11672,2240,368,391954,0 138,2,2024-09-07 09:45:40:597,710804,710804,0,0,32462518,0,4988 138,3,2024-09-07 09:45:40:620,1,564,11,0,1200,8999,564,0 139,0,2024-09-07 09:45:41:387,139830,1.2,140372,1.0,280676,1.7,374340,2.25 139,1,2024-09-07 09:45:40:573,984806,984806,0,0,461612773877,4894866753,967543,13578,3685,380,392109,0 139,2,2024-09-07 09:45:40:692,712018,712018,0,0,37083538,0,3360 139,3,2024-09-07 09:45:41:669,1,564,4,0,432,6588,564,0 140,0,2024-09-07 09:45:41:588,137506,0.3,136755,0.5,274397,0.2,366371,1.75 140,1,2024-09-07 09:45:41:541,994556,994556,0,0,467560057158,4820359993,989764,4253,539,364,391606,0 140,2,2024-09-07 09:45:40:686,715566,715565,1,0,27639254,0,5036 140,3,2024-09-07 09:45:40:767,1,564,5,0,297,5179,564,0 141,0,2024-09-07 09:45:41:706,142528,0.3,146483,0.4,279827,0.2,379068,1.50 141,1,2024-09-07 09:45:40:862,992596,992596,0,0,466206295674,4844667739,984633,6784,1179,379,391614,0 141,2,2024-09-07 09:45:41:699,712552,712541,11,0,29414627,0,5369 141,3,2024-09-07 09:45:41:044,1,564,2,0,391,6317,564,0 142,0,2024-09-07 09:45:41:307,144380,0.3,143420,0.5,287440,0.2,383609,1.50 142,1,2024-09-07 09:45:40:584,990877,990877,0,0,465896222023,4856197957,984565,5810,502,382,392102,0 142,2,2024-09-07 09:45:41:299,710253,710221,32,0,29531801,0,6028 142,3,2024-09-07 09:45:41:755,1,564,8,0,484,5939,564,0 143,0,2024-09-07 09:45:41:379,142250,0.4,142368,0.6,285554,0.4,379387,1.75 143,1,2024-09-07 09:45:40:558,993566,993566,0,0,466388264236,4839909780,987193,5809,564,367,391722,0 143,2,2024-09-07 09:45:40:770,716464,716464,0,0,29623859,0,3123 143,3,2024-09-07 09:45:41:140,1,564,0,0,462,7075,564,0 144,0,2024-09-07 09:45:41:535,132413,0.6,136176,0.8,277117,0.5,363107,2.00 144,1,2024-09-07 09:45:40:565,988163,988163,0,0,464169495926,4869860017,978155,8024,1984,381,391733,0 144,2,2024-09-07 09:45:41:755,715884,715884,0,0,28875324,0,4443 144,3,2024-09-07 09:45:41:742,1,564,6,0,249,5528,564,0 145,0,2024-09-07 09:45:41:384,137238,0.5,137236,0.7,291333,0.5,375410,2.25 145,1,2024-09-07 09:45:40:565,987617,987617,0,0,463308848103,4877242584,974056,10900,2661,382,391759,0 145,2,2024-09-07 09:45:41:430,712053,711971,82,0,34437716,0,7814 145,3,2024-09-07 09:45:40:897,1,564,80,0,622,7753,564,0 146,0,2024-09-07 09:45:41:592,142754,0.6,142318,0.8,285782,0.6,379617,2.25 146,1,2024-09-07 09:45:41:593,988508,988508,0,0,463843510381,4882205841,971608,12434,4466,367,391770,0 146,2,2024-09-07 09:45:41:697,708819,708813,6,0,32163541,0,5151 146,3,2024-09-07 09:45:41:281,1,564,8,0,1520,9414,564,0 147,0,2024-09-07 09:45:41:740,144151,0.6,143846,0.7,287362,0.6,383542,2.25 147,1,2024-09-07 09:45:41:374,992190,992190,0,0,466171479869,4844928869,984127,7117,946,367,391791,0 147,2,2024-09-07 09:45:41:018,712626,712626,0,0,28756079,0,2968 147,3,2024-09-07 09:45:40:917,1,564,1,0,1626,8784,564,0 0,0,2024-09-07 09:45:51:724,139286,0.6,139287,0.7,295470,0.6,381697,2.00 0,1,2024-09-07 09:45:50:804,990898,990898,0,0,465611621528,4875126432,982714,7257,927,369,391896,0 0,2,2024-09-07 09:45:51:069,712526,712526,0,0,28930483,0,4480 0,3,2024-09-07 09:45:50:980,1,565,1,0,431,8120,565,0 1,0,2024-09-07 09:45:51:790,144709,0.9,143672,0.9,289017,1.1,385747,2.00 1,1,2024-09-07 09:45:50:558,989360,989360,0,0,464917380060,4871909572,979992,7881,1487,370,391859,0 1,2,2024-09-07 09:45:50:639,713543,713543,0,0,28400768,0,3380 1,3,2024-09-07 09:45:51:332,1,565,17,0,269,6891,565,0 2,0,2024-09-07 09:45:51:577,140655,0.6,140440,0.8,280978,0.6,374894,2.00 2,1,2024-09-07 09:45:50:859,992975,992975,0,0,467034936734,4855288415,987250,4779,946,379,391745,0 2,2,2024-09-07 09:45:51:266,718025,718025,0,0,27703719,0,3594 2,3,2024-09-07 09:45:50:690,1,565,10,0,357,5073,565,0 3,0,2024-09-07 09:45:51:762,138144,0.4,138009,0.6,275369,0.3,367761,2.00 3,1,2024-09-07 09:45:51:641,991899,991899,0,0,465690785420,4845461566,984368,6828,703,379,391716,0 3,2,2024-09-07 09:45:51:154,717037,717014,23,0,28630402,0,5851 3,3,2024-09-07 09:45:51:758,1,565,2,0,275,3771,565,0 4,0,2024-09-07 09:45:51:809,139366,0.4,143214,0.5,292056,0.3,382230,1.75 4,1,2024-09-07 09:45:50:593,988560,988560,0,0,463015370046,4903890453,971222,13630,3708,370,391992,0 4,2,2024-09-07 09:45:51:024,708889,708889,0,0,34383809,0,4534 4,3,2024-09-07 09:45:51:029,1,565,19,0,448,7052,565,0 5,0,2024-09-07 09:45:51:397,144107,0.4,144175,0.5,288559,0.3,383577,1.75 5,1,2024-09-07 09:45:50:760,989058,989058,0,0,465007564065,4896251676,974906,11339,2813,367,392005,0 5,2,2024-09-07 09:45:51:836,710104,710104,0,0,33260910,0,3582 5,3,2024-09-07 09:45:51:732,1,565,3,0,457,7599,565,0 6,0,2024-09-07 09:45:50:916,142328,0.5,141794,0.7,283843,0.4,378100,2.00 6,1,2024-09-07 09:45:50:746,991323,991323,0,0,465524607942,4863239190,980794,9007,1522,379,391702,0 6,2,2024-09-07 09:45:51:129,717797,717779,18,0,32917868,0,5535 6,3,2024-09-07 09:45:51:282,1,565,12,0,710,6978,565,0 7,0,2024-09-07 09:45:51:557,135666,0.5,136499,0.7,271626,0.5,362404,2.00 7,1,2024-09-07 09:45:50:852,989908,989908,0,0,464688941028,4880852641,975853,11650,2405,382,391747,0 7,2,2024-09-07 09:45:50:772,715089,715089,0,0,31411672,0,4791 7,3,2024-09-07 09:45:50:850,1,565,1,0,552,6423,565,0 8,0,2024-09-07 09:45:51:325,142666,0.3,142330,0.5,285193,0.3,380094,1.75 8,1,2024-09-07 09:45:51:033,988433,988433,0,0,465226272687,4894287482,972395,12498,3540,366,392853,0 8,2,2024-09-07 09:45:50:790,708892,708890,2,0,37118291,0,5112 8,3,2024-09-07 09:45:50:592,1,565,1,0,772,9079,565,0 9,0,2024-09-07 09:45:51:123,143142,0.4,139392,0.5,291862,0.3,382602,1.75 9,1,2024-09-07 09:45:50:554,988467,988467,0,0,463672535983,4886929665,973297,12183,2987,369,392001,0 9,2,2024-09-07 09:45:51:087,710804,710803,1,0,33778824,0,5281 9,3,2024-09-07 09:45:51:758,1,565,10,0,1273,9620,565,0 10,0,2024-09-07 09:45:51:614,143146,0.4,142657,0.5,286199,0.3,381192,1.75 10,1,2024-09-07 09:45:50:583,990545,990545,0,0,465415051038,4878139837,976762,11350,2433,381,391869,0 10,2,2024-09-07 09:45:50:761,716023,716023,0,0,36944089,0,4264 10,3,2024-09-07 09:45:50:871,1,565,15,0,669,6580,565,0 11,0,2024-09-07 09:45:51:018,136809,0.4,132627,0.6,277485,0.4,365537,1.75 11,1,2024-09-07 09:45:50:584,992135,992135,0,0,465402337703,4879930047,977853,10520,3762,383,391766,0 11,2,2024-09-07 09:45:51:124,715414,715414,0,0,33060285,0,4130 11,3,2024-09-07 09:45:51:298,1,565,3,0,843,7418,565,0 12,0,2024-09-07 09:45:50:963,142368,0.4,142378,0.5,284478,0.3,378737,1.75 12,1,2024-09-07 09:45:50:940,991572,991572,0,0,465044289639,4852678865,983294,7279,999,370,391960,0 12,2,2024-09-07 09:45:51:580,714194,714194,0,0,32264049,0,4390 12,3,2024-09-07 09:45:51:059,1,565,14,0,386,7270,565,0 13,0,2024-09-07 09:45:51:347,144324,0.4,144207,0.5,287639,0.4,383165,1.75 13,1,2024-09-07 09:45:51:543,989022,989022,0,0,464590387875,4883977371,978721,7995,2306,382,391768,0 13,2,2024-09-07 09:45:50:600,712775,712775,0,0,28582147,0,3287 13,3,2024-09-07 09:45:51:767,1,565,1,0,522,7670,565,0 14,0,2024-09-07 09:45:50:566,144789,0.4,145855,0.6,289378,0.4,385274,1.75 14,1,2024-09-07 09:45:51:569,995864,995864,0,0,467545819765,4838860849,988018,6874,972,364,391673,0 14,2,2024-09-07 09:45:50:764,714717,714687,30,0,30293218,0,6104 14,3,2024-09-07 09:45:51:128,1,565,8,0,1168,6346,565,0 15,0,2024-09-07 09:45:51:568,139230,0.4,138555,0.6,278063,0.4,370292,2.00 15,1,2024-09-07 09:45:51:612,992838,992838,0,0,465917371622,4855397472,983811,7114,1913,381,391619,0 15,2,2024-09-07 09:45:51:006,719541,719541,0,0,26382078,0,3622 15,3,2024-09-07 09:45:51:408,1,565,0,0,1126,7582,565,0 16,0,2024-09-07 09:45:50:956,139024,0.6,139791,0.7,279126,0.5,371744,2.00 16,1,2024-09-07 09:45:50:563,992827,992827,0,0,465814662651,4869498216,983870,7524,1433,370,392194,0 16,2,2024-09-07 09:45:51:452,715575,715575,0,0,30001225,0,4719 16,3,2024-09-07 09:45:51:148,1,565,19,0,358,7086,565,0 17,0,2024-09-07 09:45:51:789,147992,0.6,144322,0.8,282744,0.6,383888,2.00 17,1,2024-09-07 09:45:50:568,991091,991091,0,0,464940184656,4878176366,981055,8271,1765,368,392075,0 17,2,2024-09-07 09:45:51:668,713772,713771,1,0,30753027,0,5050 17,3,2024-09-07 09:45:50:574,1,565,111,0,518,8547,565,0 18,0,2024-09-07 09:45:50:941,142962,0.7,143899,0.8,286672,0.7,382978,2.25 18,1,2024-09-07 09:45:51:642,995065,995065,0,0,466954557040,4843936721,988164,5644,1257,367,391725,0 18,2,2024-09-07 09:45:51:755,715748,715748,0,0,27341016,0,3541 18,3,2024-09-07 09:45:50:899,1,565,11,0,1059,5340,565,0 19,0,2024-09-07 09:45:51:540,140740,0.6,140891,0.8,280834,0.6,373771,2.00 19,1,2024-09-07 09:45:50:566,994639,994639,0,0,467495093974,4845651173,987321,6229,1089,367,391777,0 19,2,2024-09-07 09:45:51:755,720568,720568,0,0,26049451,0,3988 19,3,2024-09-07 09:45:51:129,1,565,1,0,524,4380,565,0 20,0,2024-09-07 09:45:51:369,137240,0.5,137309,0.7,274407,0.5,365985,2.00 20,1,2024-09-07 09:45:50:584,990536,990536,0,0,465976811036,4881623993,980382,8651,1503,369,391922,0 20,2,2024-09-07 09:45:50:944,715198,715198,0,0,32111606,0,4321 20,3,2024-09-07 09:45:50:592,1,565,1,0,468,9258,565,0 21,0,2024-09-07 09:45:51:151,142569,0.4,142765,0.6,285559,0.4,379137,1.75 21,1,2024-09-07 09:45:51:549,989056,989056,0,0,464479812959,4902559547,973398,12251,3407,368,392016,0 21,2,2024-09-07 09:45:51:073,709669,709649,20,0,36193833,0,5617 21,3,2024-09-07 09:45:51:416,1,565,5,0,713,7864,565,0 22,0,2024-09-07 09:45:51:721,143863,0.5,144395,0.7,287932,0.4,382433,2.00 22,1,2024-09-07 09:45:51:023,989963,989963,0,0,464982447347,4894365177,973230,13370,3363,382,391822,0 22,2,2024-09-07 09:45:50:760,710911,710885,26,0,30474646,0,6328 22,3,2024-09-07 09:45:51:068,1,565,8,0,228,4788,565,0 23,0,2024-09-07 09:45:51:369,142151,0.5,141861,0.7,283615,0.5,378198,2.25 23,1,2024-09-07 09:45:51:007,991505,991505,0,0,466539122805,4895556295,974624,12032,4849,365,391690,0 23,2,2024-09-07 09:45:51:096,718055,718055,0,0,29508686,0,3773 23,3,2024-09-07 09:45:51:759,1,565,1,0,855,8441,565,0 24,0,2024-09-07 09:45:50:845,137699,0.4,136970,0.6,275475,0.4,365783,1.75 24,1,2024-09-07 09:45:50:591,990248,990248,0,0,464780436447,4865216070,980870,7695,1683,367,392269,0 24,2,2024-09-07 09:45:51:100,715259,715259,0,0,35395118,0,4438 24,3,2024-09-07 09:45:51:686,1,565,1,0,468,7066,565,0 25,0,2024-09-07 09:45:51:342,146155,0.4,142297,0.6,279282,0.4,380476,1.75 25,1,2024-09-07 09:45:50:567,988754,988754,0,0,464529437324,4904851067,971972,13250,3532,369,391928,0 25,2,2024-09-07 09:45:51:618,712374,712374,0,0,36251446,0,3978 25,3,2024-09-07 09:45:51:006,1,565,6,0,532,6305,565,0 26,0,2024-09-07 09:45:51:733,142269,0.4,139262,0.6,292294,0.4,380311,2.00 26,1,2024-09-07 09:45:51:556,992596,992596,0,0,465000963500,4867751369,979180,10956,2460,380,391748,0 26,2,2024-09-07 09:45:50:861,711011,711011,0,0,38280209,0,4689 26,3,2024-09-07 09:45:51:717,1,565,8,0,796,6537,565,0 27,0,2024-09-07 09:45:51:751,144138,0.4,144854,0.6,287781,0.4,383638,2.25 27,1,2024-09-07 09:45:51:684,992509,992509,0,0,467261720703,4867833443,983108,8107,1294,381,391626,0 27,2,2024-09-07 09:45:50:866,711856,711791,65,0,33392990,0,5699 27,3,2024-09-07 09:45:51:015,1,565,228,0,564,5083,565,0 28,0,2024-09-07 09:45:51:389,138293,0.4,138067,0.6,276579,0.3,368133,2.00 28,1,2024-09-07 09:45:50:823,993642,993642,0,0,466151303121,4859479210,985271,6635,1736,382,391698,0 28,2,2024-09-07 09:45:51:765,717327,717327,0,0,29584815,0,2915 28,3,2024-09-07 09:45:51:776,1,565,1,0,502,5521,565,0 29,0,2024-09-07 09:45:51:359,144320,0.4,140479,0.6,275807,0.3,376174,1.75 29,1,2024-09-07 09:45:51:581,996593,996593,0,0,467662520664,4837094584,990509,5310,774,367,391809,0 29,2,2024-09-07 09:45:50:862,715331,715331,0,0,28459583,0,4986 29,3,2024-09-07 09:45:50:964,1,565,1,0,459,6305,565,0 30,0,2024-09-07 09:45:51:463,142470,0.5,138748,0.7,290723,0.4,379454,2.00 30,1,2024-09-07 09:45:50:579,995269,995269,0,0,468206125445,4857923481,988063,6299,907,380,391672,0 30,2,2024-09-07 09:45:51:285,711984,711984,0,0,27246357,0,4192 30,3,2024-09-07 09:45:50:587,1,565,7,0,519,5375,565,0 31,0,2024-09-07 09:45:51:766,144474,0.4,145160,0.6,289428,0.4,386360,2.00 31,1,2024-09-07 09:45:50:564,998439,998439,0,0,469457143095,4820323427,992557,4854,1028,356,391712,0 31,2,2024-09-07 09:45:51:281,712738,712738,0,0,30695343,0,4470 31,3,2024-09-07 09:45:51:705,1,565,9,0,239,4832,565,0 32,0,2024-09-07 09:45:51:425,141139,0.3,141908,0.5,282494,0.3,376358,1.75 32,1,2024-09-07 09:45:50:804,994899,994899,0,0,466623998986,4849078060,988987,5202,710,381,391646,0 32,2,2024-09-07 09:45:50:948,719680,719680,0,0,27048634,0,3922 32,3,2024-09-07 09:45:51:015,1,565,1,0,304,4482,565,0 33,0,2024-09-07 09:45:51:502,138733,0.3,138298,0.4,276856,0.2,368707,1.50 33,1,2024-09-07 09:45:50:595,994756,994756,0,0,468195919741,4850854723,986531,6956,1269,368,391730,0 33,2,2024-09-07 09:45:50:760,717096,717061,35,0,29766066,0,7012 33,3,2024-09-07 09:45:50:900,1,565,16,0,329,5019,565,0 34,0,2024-09-07 09:45:50:936,143817,0.3,147703,0.5,282505,0.2,381541,1.75 34,1,2024-09-07 09:45:51:045,997374,997374,0,0,468295665156,4816514794,994671,2679,24,367,391637,0 34,2,2024-09-07 09:45:50:772,713236,713236,0,0,27545352,0,4562 34,3,2024-09-07 09:45:51:690,1,565,11,0,320,4580,565,0 35,0,2024-09-07 09:45:50:857,143230,0.3,143995,0.5,289056,0.2,384222,1.75 35,1,2024-09-07 09:45:51:069,993504,993504,0,0,467097531254,4849169270,985474,6507,1523,382,391769,0 35,2,2024-09-07 09:45:51:586,712695,712695,0,0,30790449,0,4055 35,3,2024-09-07 09:45:50:909,1,565,2,0,466,5495,565,0 36,0,2024-09-07 09:45:51:520,142559,0.5,142428,0.7,284537,0.5,378866,2.00 36,1,2024-09-07 09:45:50:593,992258,992258,0,0,465735455592,4864778647,979239,10788,2231,366,391759,0 36,2,2024-09-07 09:45:51:757,717968,717968,0,0,32368185,0,3875 36,3,2024-09-07 09:45:50:863,1,565,556,0,556,7993,565,0 37,0,2024-09-07 09:45:51:369,135823,0.5,135792,0.7,271805,0.5,362660,2.25 37,1,2024-09-07 09:45:50:597,991635,991628,0,7,465418787593,4860879696,979498,9244,2886,365,391770,0 37,2,2024-09-07 09:45:51:149,713414,713399,15,0,32542038,0,5815 37,3,2024-09-07 09:45:51:768,1,565,1,0,888,7661,565,0 38,0,2024-09-07 09:45:51:444,141793,0.4,137566,0.6,287597,0.3,376942,2.00 38,1,2024-09-07 09:45:51:613,992178,992178,0,0,466111729715,4877298657,977268,11912,2998,368,391821,0 38,2,2024-09-07 09:45:50:760,713293,713246,47,0,32379255,0,6710 38,3,2024-09-07 09:45:51:004,1,565,12,0,689,7010,565,0 39,0,2024-09-07 09:45:51:763,146490,0.5,143209,0.7,279296,0.5,381641,2.00 39,1,2024-09-07 09:45:50:717,991215,991215,0,0,465356666418,4880129221,974538,12980,3697,365,391865,0 39,2,2024-09-07 09:45:51:417,712201,712201,0,0,29981920,0,3391 39,3,2024-09-07 09:45:50:714,1,565,6,0,525,6529,565,0 40,0,2024-09-07 09:45:51:516,141859,0.9,142504,1.0,284338,1.0,379335,2.75 40,1,2024-09-07 09:45:50:578,992310,992310,0,0,464938809792,4869934301,977930,11600,2780,368,391668,0 40,2,2024-09-07 09:45:51:303,713937,713936,1,0,35948584,0,5137 40,3,2024-09-07 09:45:51:152,1,565,4,0,1028,8265,565,0 41,0,2024-09-07 09:45:51:025,136180,1.2,139292,1.1,265599,1.6,361194,3.00 41,1,2024-09-07 09:45:50:791,991604,991604,0,0,464609095141,4861184302,978888,10650,2066,369,391878,0 41,2,2024-09-07 09:45:50:759,713365,713364,1,0,34871964,0,5408 41,3,2024-09-07 09:45:51:683,1,565,1,0,366,6037,565,0 42,0,2024-09-07 09:45:51:479,140850,0.9,140605,1.0,280973,1.0,373709,2.75 42,1,2024-09-07 09:45:51:438,989078,989078,0,0,464274566288,4880248863,973403,12747,2928,380,391675,0 42,2,2024-09-07 09:45:51:142,713633,713633,0,0,34634415,0,3975 42,3,2024-09-07 09:45:51:010,1,565,8,0,892,5430,565,0 43,0,2024-09-07 09:45:50:919,141790,0.7,138092,0.9,289321,0.8,378129,2.25 43,1,2024-09-07 09:45:50:578,991971,991971,0,0,465599394397,4865446526,978216,11355,2400,366,391696,0 43,2,2024-09-07 09:45:51:736,711507,711507,0,0,32900812,0,4723 43,3,2024-09-07 09:45:51:754,1,565,1,0,571,8254,565,0 44,0,2024-09-07 09:45:50:886,145072,0.4,144991,0.6,290376,0.4,385792,1.75 44,1,2024-09-07 09:45:50:574,994763,994763,0,0,466958579140,4829019399,985642,7182,1939,356,391809,0 44,2,2024-09-07 09:45:51:266,712052,712052,0,0,27229964,0,4344 44,3,2024-09-07 09:45:51:096,1,565,5,0,1097,7206,565,0 45,0,2024-09-07 09:45:51:763,137796,0.5,134547,0.7,282420,0.4,370370,2.00 45,1,2024-09-07 09:45:51:006,993406,993406,0,0,466812818748,4852008531,985343,7160,903,382,391917,0 45,2,2024-09-07 09:45:51:268,718309,718309,0,0,27791851,0,3596 45,3,2024-09-07 09:45:50:942,1,565,1,0,531,5643,565,0 46,0,2024-09-07 09:45:50:953,138613,0.5,138197,0.7,277235,0.5,368635,2.00 46,1,2024-09-07 09:45:50:574,995437,995437,0,0,468185738200,4839713489,988352,6221,864,366,391709,0 46,2,2024-09-07 09:45:50:593,716649,716649,0,0,28488220,0,4443 46,3,2024-09-07 09:45:51:134,1,565,8,0,908,6962,565,0 47,0,2024-09-07 09:45:51:108,143847,0.4,143818,0.6,288712,0.4,382365,2.00 47,1,2024-09-07 09:45:50:574,996675,996675,0,0,467290327600,4828271433,990913,4909,853,365,391641,0 47,2,2024-09-07 09:45:50:917,714770,714770,0,0,27365398,0,4477 47,3,2024-09-07 09:45:51:126,1,565,2,0,600,6359,565,0 48,0,2024-09-07 09:45:51:494,144721,0.3,144883,0.4,289150,0.2,384803,1.50 48,1,2024-09-07 09:45:51:025,994268,994268,0,0,466428898015,4844552141,988331,5361,576,384,391710,0 48,2,2024-09-07 09:45:50:699,713621,713621,0,0,25617695,0,3524 48,3,2024-09-07 09:45:50:753,1,565,7,0,339,4676,565,0 49,0,2024-09-07 09:45:51:713,145003,0.3,142270,0.5,276744,0.3,377852,1.75 49,1,2024-09-07 09:45:51:025,993079,993079,0,0,466597612881,4853574669,986250,5234,1595,382,391809,0 49,2,2024-09-07 09:45:51:798,719000,719000,0,0,28252963,0,4426 49,3,2024-09-07 09:45:51:416,1,565,123,0,992,6717,565,0 50,0,2024-09-07 09:45:51:521,138045,0.3,136287,0.5,274552,0.2,365783,1.75 50,1,2024-09-07 09:45:51:015,996510,996510,0,0,468011639275,4837618117,990345,5496,669,368,391565,0 50,2,2024-09-07 09:45:51:073,715569,715569,0,0,25790574,0,4490 50,3,2024-09-07 09:45:51:293,1,565,13,0,617,5877,565,0 51,0,2024-09-07 09:45:51:690,146434,0.3,143479,0.4,279207,0.2,381060,1.75 51,1,2024-09-07 09:45:51:680,997376,997376,0,0,468368109413,4830857481,991825,4471,1080,365,391706,0 51,2,2024-09-07 09:45:51:326,713160,713160,0,0,25378109,0,3337 51,3,2024-09-07 09:45:51:028,1,565,6,0,678,4047,565,0 52,0,2024-09-07 09:45:51:415,144392,0.5,143977,0.6,288133,0.4,383257,2.00 52,1,2024-09-07 09:45:50:584,992348,992348,0,0,466142627760,4879259200,978065,12068,2215,368,391805,0 52,2,2024-09-07 09:45:51:757,709113,709075,38,0,33831779,0,6742 52,3,2024-09-07 09:45:50:675,1,565,1,0,1782,7008,565,0 53,0,2024-09-07 09:45:51:734,141835,0.7,137578,0.8,287896,0.7,377428,2.50 53,1,2024-09-07 09:45:50:772,990838,990838,0,0,465967875607,4890675909,973674,12961,4203,367,391968,0 53,2,2024-09-07 09:45:51:297,716890,716889,1,0,30970925,0,5455 53,3,2024-09-07 09:45:50:697,1,565,1,0,308,5262,565,0 54,0,2024-09-07 09:45:51:633,134952,0.6,135388,0.8,269520,0.4,359796,2.25 54,1,2024-09-07 09:45:50:582,992901,992901,0,0,466593637044,4853683010,982187,9052,1662,366,391810,0 54,2,2024-09-07 09:45:50:865,716143,716111,32,0,34366495,0,6397 54,3,2024-09-07 09:45:50:763,1,565,8,0,676,7584,565,0 55,0,2024-09-07 09:45:51:764,137255,0.6,141490,0.7,287052,0.5,374668,2.50 55,1,2024-09-07 09:45:50:771,993880,993880,0,0,465348892078,4842714098,983167,9325,1388,365,391731,0 55,2,2024-09-07 09:45:50:730,712746,712690,56,0,32294440,0,7239 55,3,2024-09-07 09:45:50:676,1,565,0,0,304,5286,565,0 56,0,2024-09-07 09:45:51:565,145987,1.2,137640,1.1,283369,1.5,379802,2.75 56,1,2024-09-07 09:45:50:569,987963,987963,0,0,464007551070,4905979750,971280,13214,3469,381,391867,0 56,2,2024-09-07 09:45:51:314,710763,710641,122,0,33504662,0,7432 56,3,2024-09-07 09:45:51:068,1,565,14,0,705,6655,565,0 57,0,2024-09-07 09:45:50:936,142321,1.5,142326,1.2,284728,2.1,380397,3.25 57,1,2024-09-07 09:45:51:016,989977,989977,0,0,465109964116,4883004623,976528,11110,2339,366,392032,0 57,2,2024-09-07 09:45:51:321,714563,714563,0,0,35028491,0,4317 57,3,2024-09-07 09:45:51:744,1,565,16,0,455,6515,565,0 58,0,2024-09-07 09:45:50:555,136227,0.9,132134,1.0,276498,1.1,361665,2.50 58,1,2024-09-07 09:45:50:574,991541,991538,0,3,466468389365,4889584804,976505,11341,3692,367,391675,3 58,2,2024-09-07 09:45:51:071,717045,717045,0,0,33169807,0,3483 58,3,2024-09-07 09:45:51:082,1,565,8,0,1043,6421,565,0 59,0,2024-09-07 09:45:51:749,139746,0.8,139505,0.9,278729,0.8,370258,2.75 59,1,2024-09-07 09:45:50:804,990300,990300,0,0,465128094044,4886421382,974107,12862,3331,369,391653,0 59,2,2024-09-07 09:45:50:583,715073,715073,0,0,31701493,0,3727 59,3,2024-09-07 09:45:51:737,1,565,1,0,1015,6915,565,0 60,0,2024-09-07 09:45:51:715,143179,0.5,143553,0.7,286822,0.5,381722,1.75 60,1,2024-09-07 09:45:50:772,995279,995279,0,0,467433393401,4856318382,988039,6244,996,370,392031,0 60,2,2024-09-07 09:45:51:158,711776,711776,0,0,29682206,0,3811 60,3,2024-09-07 09:45:51:261,1,565,8,0,409,6565,565,0 61,0,2024-09-07 09:45:51:501,144615,0.6,145214,0.8,289167,0.6,385752,2.00 61,1,2024-09-07 09:45:50:779,991363,991363,0,0,465691276950,4877275155,980584,9118,1661,382,392127,0 61,2,2024-09-07 09:45:51:138,713391,713324,67,0,30620391,0,6411 61,3,2024-09-07 09:45:51:691,1,565,2,0,607,7715,565,0 62,0,2024-09-07 09:45:51:710,141385,0.6,145054,0.7,276931,0.6,376250,2.00 62,1,2024-09-07 09:45:51:118,997566,997560,0,6,469056000841,4835498775,992896,4380,284,365,391975,6 62,2,2024-09-07 09:45:51:654,716078,716077,1,0,30955077,0,5555 62,3,2024-09-07 09:45:51:143,1,565,12,0,482,4544,565,0 63,0,2024-09-07 09:45:51:458,138738,0.4,138471,0.6,277619,0.4,369047,1.75 63,1,2024-09-07 09:45:50:804,994326,994320,0,6,466872860684,4848725411,987683,5783,854,381,391800,6 63,2,2024-09-07 09:45:50:760,716563,716563,0,0,29087126,0,4369 63,3,2024-09-07 09:45:51:739,1,565,4,0,667,6074,565,0 64,0,2024-09-07 09:45:51:519,142814,0.5,142904,0.7,285128,0.4,379892,2.00 64,1,2024-09-07 09:45:50:755,993260,993260,0,0,467151223302,4866162977,984064,7221,1975,370,391794,0 64,2,2024-09-07 09:45:51:143,715988,715969,19,0,27825837,0,6121 64,3,2024-09-07 09:45:51:141,1,565,2,0,651,6127,565,0 65,0,2024-09-07 09:45:51:678,143011,0.6,143205,0.7,286158,0.6,381680,2.00 65,1,2024-09-07 09:45:50:860,991647,991647,0,0,465257548424,4862163348,984575,6215,857,381,391901,0 65,2,2024-09-07 09:45:51:699,712069,712069,0,0,33005189,0,3367 65,3,2024-09-07 09:45:51:689,1,565,8,0,782,6462,565,0 66,0,2024-09-07 09:45:51:763,141625,0.6,141136,0.8,282451,0.5,375979,2.25 66,1,2024-09-07 09:45:51:299,994106,994106,0,0,466630247465,4856405662,987649,5735,722,380,391743,0 66,2,2024-09-07 09:45:51:132,720118,720115,3,0,29960350,0,5455 66,3,2024-09-07 09:45:51:080,1,565,21,0,291,4861,565,0 67,0,2024-09-07 09:45:51:414,136146,0.5,135959,0.7,272620,0.5,363060,2.00 67,1,2024-09-07 09:45:50:770,993213,993212,0,1,466588343248,4856046313,986601,5742,869,380,391787,1 67,2,2024-09-07 09:45:50:590,718209,718194,15,0,29742695,0,6205 67,3,2024-09-07 09:45:51:756,1,565,0,0,595,6002,565,0 68,0,2024-09-07 09:45:50:563,142583,0.5,142448,0.7,283657,0.5,379422,2.00 68,1,2024-09-07 09:45:50:571,989452,989452,0,0,464900450060,4888838314,976276,9717,3459,381,391953,0 68,2,2024-09-07 09:45:51:043,709891,709791,100,0,37420682,0,8578 68,3,2024-09-07 09:45:50:731,1,565,7,0,417,7498,565,0 69,0,2024-09-07 09:45:51:729,142628,0.7,143481,0.8,285908,0.7,380079,2.25 69,1,2024-09-07 09:45:51:021,988559,988559,0,0,464560900004,4895638404,975622,10172,2765,383,391994,0 69,2,2024-09-07 09:45:51:746,709786,709757,29,0,39072548,0,6912 69,3,2024-09-07 09:45:50:760,1,565,0,0,698,8335,565,0 70,0,2024-09-07 09:45:51:551,141589,0.8,142023,1.0,285646,0.7,378178,2.50 70,1,2024-09-07 09:45:50:803,994779,994779,0,0,467953014683,4855575425,987113,6902,764,366,391725,0 70,2,2024-09-07 09:45:51:333,715823,715823,0,0,32521937,0,4323 70,3,2024-09-07 09:45:50:745,1,565,10,0,854,6444,565,0 71,0,2024-09-07 09:45:51:370,135533,1.0,135313,1.0,271653,1.3,362695,2.75 71,1,2024-09-07 09:45:51:597,992669,992669,0,0,466080851178,4863718931,980656,10663,1350,368,391738,0 71,2,2024-09-07 09:45:51:067,715657,715657,0,0,33328972,0,4352 71,3,2024-09-07 09:45:51:756,1,565,1,0,644,6571,565,0 72,0,2024-09-07 09:45:51:022,146132,0.5,142704,0.7,278714,0.5,379362,2.00 72,1,2024-09-07 09:45:51:030,990897,990897,0,0,465035136198,4878384944,976723,11780,2394,369,391819,0 72,2,2024-09-07 09:45:51:770,713213,713213,0,0,34838699,0,3983 72,3,2024-09-07 09:45:51:761,1,565,1,0,564,8315,565,0 73,0,2024-09-07 09:45:51:140,139749,0.5,143224,0.6,293095,0.4,380916,2.00 73,1,2024-09-07 09:45:50:771,992950,992950,0,0,466256160003,4846076041,985413,6610,927,367,391858,0 73,2,2024-09-07 09:45:51:750,711055,711055,0,0,35051326,0,4728 73,3,2024-09-07 09:45:50:971,1,565,6,0,1091,8062,565,0 74,0,2024-09-07 09:45:51:323,145794,0.5,149282,0.7,284740,0.4,386028,2.00 74,1,2024-09-07 09:45:50:635,991521,991521,0,0,465475254017,4859302720,980490,9053,1978,381,391762,0 74,2,2024-09-07 09:45:51:006,713049,713049,0,0,30771220,0,4253 74,3,2024-09-07 09:45:51:446,1,565,12,0,522,6809,565,0 75,0,2024-09-07 09:45:51:765,139737,0.6,138752,0.8,278743,0.5,371884,2.25 75,1,2024-09-07 09:45:51:587,993249,993249,0,0,465615743132,4852898822,985065,7318,866,380,391739,0 75,2,2024-09-07 09:45:51:349,716131,716131,0,0,37505578,0,4766 75,3,2024-09-07 09:45:51:072,1,565,3,0,702,6869,565,0 76,0,2024-09-07 09:45:50:579,138622,0.6,138074,0.8,276328,0.5,369923,2.25 76,1,2024-09-07 09:45:50:809,992363,992363,0,0,465358677958,4853509117,985446,5947,970,382,391723,0 76,2,2024-09-07 09:45:51:073,718021,718018,3,0,31046966,0,5265 76,3,2024-09-07 09:45:51:153,1,565,7,0,227,5147,565,0 77,0,2024-09-07 09:45:51:697,143133,0.6,143694,0.7,287264,0.5,381674,2.00 77,1,2024-09-07 09:45:50:834,992216,992216,0,0,466178580776,4864405762,984492,6850,874,381,391869,0 77,2,2024-09-07 09:45:51:285,711225,711225,0,0,29973017,0,3890 77,3,2024-09-07 09:45:51:100,1,565,9,0,401,6227,565,0 78,0,2024-09-07 09:45:51:718,144914,0.4,144396,0.6,289362,0.4,383423,2.00 78,1,2024-09-07 09:45:50:609,992628,992628,0,0,465692394752,4857683536,980741,9220,2667,367,391670,0 78,2,2024-09-07 09:45:51:408,714504,714491,13,0,28227910,0,8313 78,3,2024-09-07 09:45:51:140,1,565,20,0,311,4842,565,0 79,0,2024-09-07 09:45:51:351,136371,0.4,139611,0.6,286185,0.3,372606,2.00 79,1,2024-09-07 09:45:50:576,995849,995849,0,0,467190871181,4838569903,988060,6430,1359,367,391682,0 79,2,2024-09-07 09:45:51:079,718478,718478,0,0,27425001,0,4195 79,3,2024-09-07 09:45:50:749,1,565,1,0,418,6844,565,0 80,0,2024-09-07 09:45:51:074,137217,0.5,140894,0.7,269460,0.5,364759,2.00 80,1,2024-09-07 09:45:51:636,992909,992909,0,0,466846620681,4858874593,986672,5803,434,368,392269,0 80,2,2024-09-07 09:45:51:122,718310,718310,0,0,27952568,0,4433 80,3,2024-09-07 09:45:50:590,1,565,1,0,681,7182,565,0 81,0,2024-09-07 09:45:51:574,142902,0.5,146338,0.7,278881,0.5,378356,2.00 81,1,2024-09-07 09:45:51:654,991196,991196,0,0,465275600094,4862403601,983438,7116,642,382,391885,0 81,2,2024-09-07 09:45:51:134,711525,711462,63,0,30864856,0,5932 81,3,2024-09-07 09:45:51:132,1,565,412,0,719,6885,565,0 82,0,2024-09-07 09:45:51:557,143690,0.5,143886,0.7,288311,0.4,382325,2.00 82,1,2024-09-07 09:45:50:583,994476,994472,0,4,466470736956,4848931341,989050,4600,822,381,391768,4 82,2,2024-09-07 09:45:51:691,714530,714530,0,0,25744178,0,4484 82,3,2024-09-07 09:45:51:756,1,565,1,0,363,5386,565,0 83,0,2024-09-07 09:45:51:531,142471,0.6,142527,0.7,284424,0.6,377545,2.25 83,1,2024-09-07 09:45:50:553,992111,992111,0,0,465506984479,4854153583,984754,6713,644,382,391709,0 83,2,2024-09-07 09:45:50:763,717310,717285,25,0,28213895,0,5612 83,3,2024-09-07 09:45:50:749,1,565,5,0,1260,6968,565,0 84,0,2024-09-07 09:45:51:783,135622,0.7,135948,0.9,271366,0.6,362949,2.25 84,1,2024-09-07 09:45:51:044,990593,990593,0,0,464473220653,4858192562,978950,9974,1669,367,391967,0 84,2,2024-09-07 09:45:50:571,715598,715568,30,0,36777173,0,5971 84,3,2024-09-07 09:45:51:148,1,565,2,0,908,7634,565,0 85,0,2024-09-07 09:45:51:009,136826,0.6,136757,0.8,290363,0.5,375756,2.25 85,1,2024-09-07 09:45:50:560,988327,988327,0,0,464302992161,4903344675,973003,12578,2746,381,392092,0 85,2,2024-09-07 09:45:50:865,712987,712987,0,0,34370242,0,4255 85,3,2024-09-07 09:45:50:685,1,565,4,0,789,6622,565,0 86,0,2024-09-07 09:45:50:878,143043,0.6,147045,0.8,281109,0.7,380583,2.25 86,1,2024-09-07 09:45:50:824,990555,990555,0,0,466251056477,4889756077,977287,10612,2656,366,391995,0 86,2,2024-09-07 09:45:50:854,710425,710424,1,0,36535878,0,5004 86,3,2024-09-07 09:45:50:589,1,565,8,0,308,8051,565,0 87,0,2024-09-07 09:45:51:296,144224,0.8,143776,0.8,287514,1.0,383981,2.25 87,1,2024-09-07 09:45:50:551,990090,990090,0,0,465512113962,4876169397,977519,10851,1720,366,392076,0 87,2,2024-09-07 09:45:51:072,713529,713523,6,0,33125768,0,6323 87,3,2024-09-07 09:45:51:794,1,565,0,0,473,8418,565,0 88,0,2024-09-07 09:45:51:459,138004,0.5,138386,0.6,276664,0.4,368015,1.75 88,1,2024-09-07 09:45:50:581,989554,989554,0,0,465057692033,4871720435,975972,10844,2738,365,392084,0 88,2,2024-09-07 09:45:50:692,716105,716105,0,0,36378050,0,4465 88,3,2024-09-07 09:45:51:275,1,565,4,0,1080,8559,565,0 89,0,2024-09-07 09:45:51:839,144419,0.4,140115,0.6,276468,0.4,376191,1.75 89,1,2024-09-07 09:45:50:552,989121,989121,0,0,465194174007,4894316518,976550,10638,1933,382,391866,0 89,2,2024-09-07 09:45:51:137,714823,714823,0,0,33761165,0,3173 89,3,2024-09-07 09:45:51:791,1,565,3,0,468,9540,565,0 90,0,2024-09-07 09:45:51:669,139065,0.5,142830,0.6,291289,0.4,380046,2.00 90,1,2024-09-07 09:45:50:601,990869,990869,0,0,465391483092,4875197227,981186,8794,889,380,391825,0 90,2,2024-09-07 09:45:51:409,708517,708517,0,0,35956993,0,3608 90,3,2024-09-07 09:45:50:942,1,565,8,0,322,6880,565,0 91,0,2024-09-07 09:45:50:971,145254,0.5,140904,0.6,294666,0.4,386416,1.75 91,1,2024-09-07 09:45:50:556,988358,988358,0,0,465270485244,4899501991,974292,11329,2737,381,392047,0 91,2,2024-09-07 09:45:51:337,713249,713249,0,0,31723044,0,4713 91,3,2024-09-07 09:45:50:613,1,565,1,0,216,5188,565,0 92,0,2024-09-07 09:45:51:465,142530,0.5,145753,0.6,277836,0.5,376510,1.75 92,1,2024-09-07 09:45:50:593,992024,992024,0,0,466037632471,4867657451,984206,6609,1209,381,392136,0 92,2,2024-09-07 09:45:51:355,718319,718319,0,0,28840221,0,3259 92,3,2024-09-07 09:45:51:011,1,565,1,0,167,4845,565,0 93,0,2024-09-07 09:45:50:972,138963,0.4,142475,0.6,271921,0.4,369045,1.75 93,1,2024-09-07 09:45:50:813,992338,992338,0,0,466356983202,4869432895,981024,9389,1925,366,391776,0 93,2,2024-09-07 09:45:50:928,715955,715955,0,0,34604328,0,4913 93,3,2024-09-07 09:45:51:422,1,565,1,0,294,5606,565,0 94,0,2024-09-07 09:45:51:602,142985,0.4,144002,0.5,287992,0.3,382617,1.75 94,1,2024-09-07 09:45:50:563,993057,993057,0,0,466057217082,4861417324,986329,6298,430,381,391850,0 94,2,2024-09-07 09:45:50:761,712123,712095,28,0,29220775,0,6179 94,3,2024-09-07 09:45:51:693,1,565,14,0,576,6997,565,0 95,0,2024-09-07 09:45:51:346,144035,0.3,144065,0.5,288359,0.3,384218,1.75 95,1,2024-09-07 09:45:50:850,994373,994373,0,0,465858505714,4842700780,986214,7458,701,365,391852,0 95,2,2024-09-07 09:45:51:016,711940,711940,0,0,28779058,0,3308 95,3,2024-09-07 09:45:51:712,1,565,2,0,718,8688,565,0 96,0,2024-09-07 09:45:51:031,142037,0.4,142341,0.5,284609,0.3,378240,1.75 96,1,2024-09-07 09:45:51:604,991977,991977,0,0,466047566303,4861852304,984714,5992,1271,384,391964,0 96,2,2024-09-07 09:45:51:269,718496,718496,0,0,29656768,0,4180 96,3,2024-09-07 09:45:51:141,1,565,10,0,411,6543,565,0 97,0,2024-09-07 09:45:51:328,136250,0.3,136223,0.5,273357,0.3,362995,1.50 97,1,2024-09-07 09:45:50:769,994210,994210,0,0,467189880130,4845761135,987897,5288,1025,367,392140,0 97,2,2024-09-07 09:45:50:614,717402,717402,0,0,29464572,0,4046 97,3,2024-09-07 09:45:50:585,1,565,1,0,242,6418,565,0 98,0,2024-09-07 09:45:51:720,142106,0.3,142223,0.4,285298,0.2,379313,1.50 98,1,2024-09-07 09:45:50:587,993062,993062,0,0,466119756031,4853817729,986939,5304,819,381,391997,0 98,2,2024-09-07 09:45:50:778,714286,714286,0,0,28543616,0,4336 98,3,2024-09-07 09:45:50:698,1,565,8,0,840,8598,565,0 99,0,2024-09-07 09:45:51:447,143532,0.3,144197,0.5,286835,0.3,382925,1.75 99,1,2024-09-07 09:45:51:735,994129,994129,0,0,466197193772,4851045701,987969,5231,929,380,392069,0 99,2,2024-09-07 09:45:51:417,712089,712089,0,0,34569616,0,4276 99,3,2024-09-07 09:45:50:583,1,565,13,0,606,5913,565,0 100,0,2024-09-07 09:45:51:530,142876,0.8,143089,0.9,285891,0.9,381632,2.50 100,1,2024-09-07 09:45:50:548,988207,988207,0,0,464140928707,4908667651,972627,12291,3289,378,391989,0 100,2,2024-09-07 09:45:51:820,713514,713503,11,0,33985245,0,5417 100,3,2024-09-07 09:45:51:736,1,565,1,0,627,9822,565,0 101,0,2024-09-07 09:45:51:711,139750,1.1,136305,1.0,266822,1.0,364977,2.25 101,1,2024-09-07 09:45:50:553,989611,989611,0,0,464748307420,4882998285,976460,10700,2451,368,391847,0 101,2,2024-09-07 09:45:51:777,712017,712017,0,0,38464254,0,4871 101,3,2024-09-07 09:45:50:942,1,565,1,0,1250,8778,565,0 102,0,2024-09-07 09:45:50:940,137581,0.6,141799,0.7,287826,0.6,376937,2.00 102,1,2024-09-07 09:45:51:142,989736,989736,0,0,464290550221,4877233526,976407,11176,2153,369,391984,0 102,2,2024-09-07 09:45:51:738,715434,715380,54,0,32782490,0,6768 102,3,2024-09-07 09:45:51:620,1,565,13,0,466,6503,565,0 103,0,2024-09-07 09:45:51:598,148070,0.6,148028,0.7,278909,0.6,384050,2.00 103,1,2024-09-07 09:45:51:656,988325,988325,0,0,463916735300,4901436155,972129,12606,3590,381,392077,0 103,2,2024-09-07 09:45:50:585,709379,709379,0,0,34574872,0,3766 103,3,2024-09-07 09:45:50:771,1,565,25,0,916,6950,565,0 104,0,2024-09-07 09:45:51:011,143873,0.7,144009,0.9,287235,0.7,384200,2.25 104,1,2024-09-07 09:45:51:607,991249,991249,0,0,464668447294,4881404580,977177,11483,2589,365,392168,0 104,2,2024-09-07 09:45:51:669,711300,711300,0,0,33924617,0,3941 104,3,2024-09-07 09:45:51:417,1,565,6,0,1245,10311,565,0 105,0,2024-09-07 09:45:51:032,138016,0.9,134535,1.0,281856,1.0,369987,2.50 105,1,2024-09-07 09:45:50:559,992477,992477,0,0,466086658698,4881367540,980288,10382,1807,364,392009,0 105,2,2024-09-07 09:45:51:322,716278,716278,0,0,35025942,0,4360 105,3,2024-09-07 09:45:51:309,1,565,4,0,573,8753,565,0 106,0,2024-09-07 09:45:50:937,134485,0.8,137745,0.9,282339,0.9,368720,2.50 106,1,2024-09-07 09:45:51:759,991124,991124,0,0,465917382712,4889328175,977411,11979,1734,368,391914,0 106,2,2024-09-07 09:45:50:758,714615,714615,0,0,32417872,0,2920 106,3,2024-09-07 09:45:50:677,1,565,12,0,1224,8222,565,0 107,0,2024-09-07 09:45:51:152,143321,1.0,143441,0.9,286938,1.2,382341,2.25 107,1,2024-09-07 09:45:50:594,988843,988843,0,0,464415479046,4902449730,973889,13227,1727,381,392234,0 107,2,2024-09-07 09:45:51:297,708585,708584,1,0,34910092,0,5024 107,3,2024-09-07 09:45:51:759,1,565,3,0,733,8813,565,0 108,0,2024-09-07 09:45:51:911,144316,0.4,144815,0.6,288605,0.4,385007,1.75 108,1,2024-09-07 09:45:51:297,991756,991756,0,0,466567278743,4867782960,983262,7517,977,367,391894,0 108,2,2024-09-07 09:45:51:760,712622,712622,0,0,31046308,0,4246 108,3,2024-09-07 09:45:51:330,1,565,2,0,749,10648,565,0 109,0,2024-09-07 09:45:51:866,141893,0.4,140648,0.6,282253,0.4,376974,1.75 109,1,2024-09-07 09:45:50:583,989425,989425,0,0,465059734317,4878680989,980609,7594,1222,382,392132,0 109,2,2024-09-07 09:45:50:928,715926,715926,0,0,31805263,0,3617 109,3,2024-09-07 09:45:51:140,1,565,3,0,630,7120,565,0 110,0,2024-09-07 09:45:51:770,137287,0.4,133490,0.6,279633,0.3,366884,1.75 110,1,2024-09-07 09:45:51:657,993906,993906,0,0,466923589539,4849312979,986005,5977,1924,368,392045,0 110,2,2024-09-07 09:45:51:303,716464,716464,0,0,29620858,0,4067 110,3,2024-09-07 09:45:50:690,1,565,8,0,722,7816,565,0 111,0,2024-09-07 09:45:51:421,143317,0.4,142487,0.5,285227,0.3,380666,1.75 111,1,2024-09-07 09:45:51:007,995264,995264,0,0,468395374028,4856901537,990381,4520,363,380,391690,0 111,2,2024-09-07 09:45:51:123,712643,712643,0,0,29852503,0,4823 111,3,2024-09-07 09:45:50:916,1,565,0,0,379,6261,565,0 112,0,2024-09-07 09:45:50:923,144667,0.3,144060,0.4,288874,0.2,383594,1.50 112,1,2024-09-07 09:45:50:837,993467,993467,0,0,466581599472,4846843097,986375,5867,1225,380,391624,0 112,2,2024-09-07 09:45:51:133,712428,712427,1,0,28351534,0,5036 112,3,2024-09-07 09:45:50:592,1,565,11,0,282,5356,565,0 113,0,2024-09-07 09:45:50:891,142699,0.3,142623,0.5,285532,0.2,379869,1.75 113,1,2024-09-07 09:45:51:691,996088,996088,0,0,467974007340,4830038601,990026,5116,946,365,391664,0 113,2,2024-09-07 09:45:51:303,719906,719906,0,0,26462657,0,3813 113,3,2024-09-07 09:45:50:685,1,565,1,0,510,6216,565,0 114,0,2024-09-07 09:45:50:878,137141,0.3,138121,0.5,274447,0.2,367102,1.75 114,1,2024-09-07 09:45:50:717,994047,994047,0,0,467082413045,4851133438,986385,5918,1744,381,391565,0 114,2,2024-09-07 09:45:50:873,717553,717552,1,0,28076182,0,5069 114,3,2024-09-07 09:45:51:285,1,565,7,0,395,4505,565,0 115,0,2024-09-07 09:45:50:562,142698,0.3,143119,0.4,285145,0.2,379798,1.50 115,1,2024-09-07 09:45:50:578,994083,994083,0,0,466462541752,4845683825,986470,6249,1364,382,391757,0 115,2,2024-09-07 09:45:51:129,716871,716871,0,0,28008025,0,4382 115,3,2024-09-07 09:45:51:006,1,565,1,0,167,3311,565,0 116,0,2024-09-07 09:45:51:802,142768,0.7,142480,0.8,285685,0.6,381742,2.00 116,1,2024-09-07 09:45:50:807,989374,989374,0,0,464650775986,4900034078,977043,9268,3063,380,392089,0 116,2,2024-09-07 09:45:51:759,711126,711126,0,0,34740255,0,4475 116,3,2024-09-07 09:45:50:926,1,565,1,0,448,7428,565,0 117,0,2024-09-07 09:45:50:954,144384,0.7,143719,0.8,288043,0.8,384748,2.00 117,1,2024-09-07 09:45:51:584,990954,990954,0,0,464914731706,4867569448,980030,9462,1462,369,392033,0 117,2,2024-09-07 09:45:51:130,716032,716032,0,0,31252055,0,4303 117,3,2024-09-07 09:45:51:059,1,565,1,0,490,7472,565,0 118,0,2024-09-07 09:45:51:767,133874,0.6,137465,0.7,280374,0.5,366486,2.00 118,1,2024-09-07 09:45:50:588,989221,989221,0,0,465026865448,4890898521,974075,11532,3614,366,392054,0 118,2,2024-09-07 09:45:51:601,715617,715617,0,0,33773344,0,2842 118,3,2024-09-07 09:45:51:768,1,565,8,0,289,6520,565,0 119,0,2024-09-07 09:45:51:332,139974,0.6,140556,0.7,280652,0.5,374405,2.00 119,1,2024-09-07 09:45:50:548,990506,990506,0,0,465762219522,4883097488,977063,11109,2334,367,391857,0 119,2,2024-09-07 09:45:51:261,716163,716163,0,0,31646999,0,4309 119,3,2024-09-07 09:45:51:325,1,565,1,0,1358,10787,565,0 120,0,2024-09-07 09:45:51:641,142430,0.7,142301,0.8,285733,0.7,380890,2.25 120,1,2024-09-07 09:45:50:857,992085,992085,0,0,464611010326,4868672495,982339,8964,782,367,392144,0 120,2,2024-09-07 09:45:50:770,710015,710014,1,0,36667078,0,5281 120,3,2024-09-07 09:45:51:298,1,565,3,0,279,7125,565,0 121,0,2024-09-07 09:45:51:764,144924,1.2,144344,1.0,289160,1.5,385233,2.25 121,1,2024-09-07 09:45:51:666,991657,991657,0,0,465204929486,4863943256,981779,9026,852,366,391840,0 121,2,2024-09-07 09:45:51:129,712375,712375,0,0,33842128,0,4157 121,3,2024-09-07 09:45:50:727,1,565,7,0,387,7103,565,0 122,0,2024-09-07 09:45:51:785,140357,0.8,136562,0.9,286199,1.0,376374,2.00 122,1,2024-09-07 09:45:50:858,990211,990211,0,0,465186340951,4882779502,976345,11534,2332,366,392130,0 122,2,2024-09-07 09:45:51:319,718166,718091,75,0,38160195,0,5989 122,3,2024-09-07 09:45:50:601,1,565,12,0,512,9428,565,0 123,0,2024-09-07 09:45:50:955,137766,0.7,133956,0.8,280635,0.8,367753,2.25 123,1,2024-09-07 09:45:50:565,990509,990509,0,0,465313922978,4895111472,974344,13509,2656,369,392039,0 123,2,2024-09-07 09:45:51:031,714037,714036,1,0,32559749,0,5215 123,3,2024-09-07 09:45:51:150,1,565,1,0,478,6525,565,0 124,0,2024-09-07 09:45:50:955,147405,0.3,147308,0.5,278147,0.3,383010,1.75 124,1,2024-09-07 09:45:51:023,993928,993928,0,0,466720345071,4852505330,986456,6302,1170,365,392178,0 124,2,2024-09-07 09:45:51:014,713495,713442,53,0,29326760,0,6487 124,3,2024-09-07 09:45:50:757,1,565,0,0,490,5760,565,0 125,0,2024-09-07 09:45:51:449,143845,0.4,143787,0.5,288557,0.3,384272,1.75 125,1,2024-09-07 09:45:50:853,991211,991211,0,0,465511968102,4860560146,983404,6677,1130,382,391813,0 125,2,2024-09-07 09:45:51:135,715130,715130,0,0,29244621,0,4534 125,3,2024-09-07 09:45:51:129,1,565,2,0,709,6582,565,0 126,0,2024-09-07 09:45:51:418,142314,0.4,146382,0.6,279891,0.4,378868,1.75 126,1,2024-09-07 09:45:50:556,994758,994758,0,0,466600423534,4831827308,989432,4881,445,365,391987,0 126,2,2024-09-07 09:45:50:612,718754,718754,0,0,30776874,0,4539 126,3,2024-09-07 09:45:50:910,1,565,8,0,268,6215,565,0 127,0,2024-09-07 09:45:51:601,136535,0.3,136799,0.5,273214,0.3,363449,1.75 127,1,2024-09-07 09:45:50:570,992937,992937,0,0,466381028973,4854284232,982734,8581,1622,364,392187,0 127,2,2024-09-07 09:45:50:638,715837,715833,4,0,29028519,0,5305 127,3,2024-09-07 09:45:51:269,1,565,1,0,968,5583,565,0 128,0,2024-09-07 09:45:51:572,142994,0.3,143009,0.4,285584,0.2,380020,1.50 128,1,2024-09-07 09:45:51:620,993195,993195,0,0,467128569756,4858244914,985226,7031,938,367,392423,0 128,2,2024-09-07 09:45:51:392,715282,715282,0,0,26824708,0,3171 128,3,2024-09-07 09:45:50:770,1,565,114,0,1082,9041,565,0 129,0,2024-09-07 09:45:51:042,144586,0.3,143884,0.5,288382,0.3,383321,1.50 129,1,2024-09-07 09:45:50:589,989642,989642,0,0,465158729184,4875862203,979534,8088,2020,379,391962,0 129,2,2024-09-07 09:45:50:686,713369,713365,4,0,29119695,0,5335 129,3,2024-09-07 09:45:50:692,1,565,3,0,506,8030,565,0 130,0,2024-09-07 09:45:51:718,144137,0.4,143518,0.6,287800,0.4,382998,1.75 130,1,2024-09-07 09:45:50:691,994040,994040,0,0,466726970982,4849190518,988744,4904,392,381,391825,0 130,2,2024-09-07 09:45:51:129,716905,716905,0,0,29045760,0,4067 130,3,2024-09-07 09:45:51:300,1,565,9,0,960,7683,565,0 131,0,2024-09-07 09:45:51:930,136960,0.4,137462,0.5,275408,0.3,365827,1.75 131,1,2024-09-07 09:45:51:828,992959,992959,0,0,466138763613,4859419017,986009,5757,1193,381,391865,0 131,2,2024-09-07 09:45:50:568,717330,717330,0,0,27434334,0,3979 131,3,2024-09-07 09:45:51:691,1,565,28,0,392,7320,565,0 132,0,2024-09-07 09:45:51:435,141566,0.5,142511,0.6,283876,0.4,377976,2.00 132,1,2024-09-07 09:45:50:577,989079,989079,0,0,464672127925,4890654357,974962,11477,2640,381,392532,0 132,2,2024-09-07 09:45:50:708,715172,715155,17,0,35550825,0,6451 132,3,2024-09-07 09:45:51:691,1,565,216,0,804,9242,565,0 133,0,2024-09-07 09:45:51:516,139866,0.4,142999,0.6,293313,0.4,381726,2.00 133,1,2024-09-07 09:45:50:590,989143,989143,0,0,464670671347,4896269737,975775,11659,1709,383,391914,0 133,2,2024-09-07 09:45:51:091,711888,711838,50,0,35914570,0,6861 133,3,2024-09-07 09:45:51:296,1,565,9,0,528,6934,565,0 134,0,2024-09-07 09:45:50:938,145064,0.5,145164,0.7,290575,0.5,386339,2.00 134,1,2024-09-07 09:45:50:585,990876,990876,0,0,464741490511,4871680644,978660,10060,2156,366,391781,0 134,2,2024-09-07 09:45:51:765,713268,713244,24,0,33576910,0,6207 134,3,2024-09-07 09:45:50:749,1,565,8,0,739,6640,565,0 135,0,2024-09-07 09:45:51:098,135130,0.9,135118,0.9,286930,1.0,369508,2.25 135,1,2024-09-07 09:45:51:584,989962,989962,0,0,465117653397,4889949643,977560,10800,1602,380,391805,0 135,2,2024-09-07 09:45:50:688,717972,717972,0,0,34528941,0,4503 135,3,2024-09-07 09:45:51:006,1,565,2,0,900,5544,565,0 136,0,2024-09-07 09:45:51:782,139735,0.6,140160,0.7,278852,0.5,372220,2.00 136,1,2024-09-07 09:45:51:457,991037,991037,0,0,465575383987,4880094378,979886,9784,1367,381,392135,0 136,2,2024-09-07 09:45:51:137,716869,716854,15,0,34026853,0,6007 136,3,2024-09-07 09:45:51:109,1,565,1,0,637,6752,565,0 137,0,2024-09-07 09:45:50:942,148208,0.6,144449,0.7,282973,0.6,384054,2.00 137,1,2024-09-07 09:45:50:578,989883,989883,0,0,465731738527,4883552715,974726,12106,3051,366,391898,0 137,2,2024-09-07 09:45:51:719,709616,709616,0,0,34899535,0,3185 137,3,2024-09-07 09:45:50:777,1,565,33,0,484,7360,565,0 138,0,2024-09-07 09:45:51:739,143805,0.8,144020,0.9,288586,0.8,383439,2.00 138,1,2024-09-07 09:45:51:691,990678,990678,0,0,465823634534,4886416217,976766,11672,2240,368,391954,0 138,2,2024-09-07 09:45:50:594,712062,712062,0,0,32524470,0,4988 138,3,2024-09-07 09:45:50:617,1,565,8,0,1200,9007,565,0 139,0,2024-09-07 09:45:51:370,140081,1.2,140638,1.0,281167,1.7,375188,2.25 139,1,2024-09-07 09:45:50:570,986624,986624,0,0,462379721627,4902863503,969361,13578,3685,380,392109,0 139,2,2024-09-07 09:45:50:692,713397,713397,0,0,37143533,0,3360 139,3,2024-09-07 09:45:51:664,1,565,1,0,432,6589,565,0 140,0,2024-09-07 09:45:51:594,137849,0.3,137151,0.5,275130,0.2,367072,1.75 140,1,2024-09-07 09:45:51:544,996532,996532,0,0,468475680453,4830408928,991588,4398,546,364,391606,0 140,2,2024-09-07 09:45:50:687,717072,717071,1,0,27706057,0,5036 140,3,2024-09-07 09:45:50:772,1,565,1,0,297,5180,565,0 141,0,2024-09-07 09:45:51:711,142958,0.3,146863,0.4,280652,0.2,380290,1.50 141,1,2024-09-07 09:45:50:858,994317,994317,0,0,466787630093,4850729164,986354,6784,1179,379,391614,0 141,2,2024-09-07 09:45:51:691,713214,713203,11,0,29435042,0,5369 141,3,2024-09-07 09:45:51:048,1,565,1,0,391,6318,565,0 142,0,2024-09-07 09:45:51:321,144710,0.3,143730,0.5,288072,0.2,384413,1.50 142,1,2024-09-07 09:45:50:593,992689,992689,0,0,466608788261,4863721236,986377,5810,502,382,392102,0 142,2,2024-09-07 09:45:51:302,711737,711705,32,0,29582205,0,6028 142,3,2024-09-07 09:45:51:747,1,565,10,0,484,5949,565,0 143,0,2024-09-07 09:45:51:369,142428,0.4,142560,0.6,285909,0.4,379781,1.75 143,1,2024-09-07 09:45:50:569,995316,995316,0,0,467107683796,4847339503,988942,5810,564,367,391722,0 143,2,2024-09-07 09:45:50:771,717982,717982,0,0,29726355,0,3123 143,3,2024-09-07 09:45:51:146,1,565,1,0,462,7076,565,0 144,0,2024-09-07 09:45:51:499,132688,0.6,136451,0.8,277700,0.5,363632,2.00 144,1,2024-09-07 09:45:50:567,989778,989778,0,0,464752310600,4876788955,979590,8201,1987,381,391733,0 144,2,2024-09-07 09:45:51:758,716980,716980,0,0,29088725,0,4443 144,3,2024-09-07 09:45:51:753,1,565,2,0,249,5530,565,0 145,0,2024-09-07 09:45:51:359,137654,0.5,137624,0.7,292176,0.5,376366,2.25 145,1,2024-09-07 09:45:50:553,989338,989338,0,0,464152661740,4886802610,975631,11036,2671,382,391759,0 145,2,2024-09-07 09:45:51:429,713187,713105,82,0,34491042,0,7814 145,3,2024-09-07 09:45:50:896,1,565,1,0,622,7754,565,0 146,0,2024-09-07 09:45:51:597,142897,0.6,142460,0.8,286070,0.6,380044,2.25 146,1,2024-09-07 09:45:51:585,990261,990261,0,0,464460589120,4888827742,973360,12435,4466,367,391770,0 146,2,2024-09-07 09:45:51:695,710380,710374,6,0,32271788,0,5151 146,3,2024-09-07 09:45:51:283,1,565,7,0,1520,9421,565,0 147,0,2024-09-07 09:45:51:704,144265,0.6,143967,0.7,287607,0.6,383794,2.25 147,1,2024-09-07 09:45:51:372,994042,994042,0,0,466893211900,4852784274,985965,7131,946,367,391791,0 147,2,2024-09-07 09:45:51:042,714011,714011,0,0,28996249,0,2968 147,3,2024-09-07 09:45:50:915,1,565,217,0,1626,9001,565,0 0,0,2024-09-07 09:46:01:779,139398,0.6,139406,0.7,295715,0.6,382019,2.00 0,1,2024-09-07 09:46:00:809,992639,992639,0,0,466324533194,4882864618,984453,7259,927,369,391896,0 0,2,2024-09-07 09:46:01:066,713728,713728,0,0,29028538,0,4480 0,3,2024-09-07 09:46:00:974,1,566,49,0,431,8169,566,0 1,0,2024-09-07 09:46:01:758,144834,0.9,143802,0.9,289246,1.1,385988,2.00 1,1,2024-09-07 09:46:00:557,991091,991091,0,0,465536221027,4878482026,981722,7881,1488,370,391859,0 1,2,2024-09-07 09:46:00:833,714715,714715,0,0,28491452,0,3380 1,3,2024-09-07 09:46:01:302,1,566,7,0,269,6898,566,0 2,0,2024-09-07 09:46:01:568,140878,0.6,140684,0.8,281411,0.6,375407,2.00 2,1,2024-09-07 09:46:00:859,994554,994554,0,0,467912038019,4865225654,988696,4912,946,379,391745,0 2,2,2024-09-07 09:46:01:271,719255,719255,0,0,27783639,0,3594 2,3,2024-09-07 09:46:00:690,1,566,8,0,357,5081,566,0 3,0,2024-09-07 09:46:01:756,138564,0.4,138383,0.6,276278,0.3,368922,2.00 3,1,2024-09-07 09:46:01:633,993636,993636,0,0,466457684878,4853887657,986065,6868,703,379,391716,0 3,2,2024-09-07 09:46:01:142,718305,718282,23,0,28729724,0,5851 3,3,2024-09-07 09:46:01:752,1,566,0,0,275,3771,566,0 4,0,2024-09-07 09:46:01:775,139541,0.4,143396,0.5,292443,0.3,382545,1.75 4,1,2024-09-07 09:46:00:597,990316,990316,0,0,463771578878,4911781792,972978,13630,3708,370,391992,0 4,2,2024-09-07 09:46:01:019,709865,709865,0,0,34472656,0,4534 4,3,2024-09-07 09:46:01:030,1,566,0,0,448,7052,566,0 5,0,2024-09-07 09:46:01:428,144390,0.4,144469,0.5,289131,0.3,384359,1.75 5,1,2024-09-07 09:46:00:755,991012,991012,0,0,465731485340,4904242047,976843,11356,2813,367,392005,0 5,2,2024-09-07 09:46:01:845,711520,711520,0,0,33341994,0,3582 5,3,2024-09-07 09:46:01:733,1,566,0,0,457,7599,566,0 6,0,2024-09-07 09:46:00:930,142523,0.5,141990,0.7,284249,0.4,378685,2.00 6,1,2024-09-07 09:46:00:746,993117,993117,0,0,466196636521,4870189083,982588,9007,1522,379,391702,0 6,2,2024-09-07 09:46:01:119,719132,719114,18,0,33008930,0,5535 6,3,2024-09-07 09:46:01:274,1,566,8,0,710,6986,566,0 7,0,2024-09-07 09:46:01:531,136104,0.5,136924,0.7,272478,0.5,363643,2.00 7,1,2024-09-07 09:46:00:858,991831,991831,0,0,465532719111,4890669568,977596,11827,2408,382,391747,0 7,2,2024-09-07 09:46:00:770,716629,716629,0,0,31570152,0,4791 7,3,2024-09-07 09:46:00:853,1,566,0,0,552,6423,566,0 8,0,2024-09-07 09:46:01:339,142978,0.3,142641,0.5,285769,0.3,380841,1.75 8,1,2024-09-07 09:46:01:035,990182,990182,0,0,465762701038,4899994337,974142,12500,3540,366,392853,0 8,2,2024-09-07 09:46:00:790,709642,709640,2,0,37160770,0,5112 8,3,2024-09-07 09:46:00:589,1,566,11,0,772,9090,566,0 9,0,2024-09-07 09:46:01:112,143480,0.4,139683,0.5,292464,0.3,383598,1.75 9,1,2024-09-07 09:46:00:562,990326,990326,0,0,464734495879,4897824805,975155,12184,2987,369,392001,0 9,2,2024-09-07 09:46:01:087,712431,712430,1,0,33892711,0,5281 9,3,2024-09-07 09:46:01:757,1,566,105,0,1273,9725,566,0 10,0,2024-09-07 09:46:01:622,143297,0.4,142812,0.5,286562,0.3,381721,1.75 10,1,2024-09-07 09:46:00:592,992292,992292,0,0,466242845516,4886732307,978509,11350,2433,381,391869,0 10,2,2024-09-07 09:46:00:762,717458,717458,0,0,37015647,0,4264 10,3,2024-09-07 09:46:00:871,1,566,8,0,669,6588,566,0 11,0,2024-09-07 09:46:01:006,137109,0.4,132948,0.6,278055,0.4,366596,1.75 11,1,2024-09-07 09:46:00:571,993872,993872,0,0,466147145562,4887807033,979590,10520,3762,383,391766,0 11,2,2024-09-07 09:46:01:123,716456,716456,0,0,33147521,0,4130 11,3,2024-09-07 09:46:01:305,1,566,1,0,843,7419,566,0 12,0,2024-09-07 09:46:01:016,142851,0.4,142857,0.5,285373,0.3,379889,1.75 12,1,2024-09-07 09:46:00:936,993327,993327,0,0,465683451042,4859542779,985048,7279,1000,370,391960,0 12,2,2024-09-07 09:46:01:544,715192,715192,0,0,32312810,0,4390 12,3,2024-09-07 09:46:01:061,1,566,2,0,386,7272,566,0 13,0,2024-09-07 09:46:01:357,144418,0.4,144323,0.5,287873,0.4,383485,1.75 13,1,2024-09-07 09:46:01:535,990743,990743,0,0,465322095578,4891720722,980441,7996,2306,382,391768,0 13,2,2024-09-07 09:46:00:597,714204,714204,0,0,28662191,0,3287 13,3,2024-09-07 09:46:01:767,1,566,1,0,522,7671,566,0 14,0,2024-09-07 09:46:00:571,144920,0.4,145973,0.6,289602,0.4,385615,1.75 14,1,2024-09-07 09:46:01:561,997627,997627,0,0,468262357738,4846544519,989778,6877,972,364,391673,0 14,2,2024-09-07 09:46:00:774,716033,716003,30,0,30361608,0,6104 14,3,2024-09-07 09:46:01:119,1,566,12,0,1168,6358,566,0 15,0,2024-09-07 09:46:01:553,139270,0.4,138584,0.6,278138,0.4,370292,2.00 15,1,2024-09-07 09:46:01:656,994574,994574,0,0,466596836843,4862415795,985543,7118,1913,381,391619,0 15,2,2024-09-07 09:46:00:998,720556,720556,0,0,26414591,0,3622 15,3,2024-09-07 09:46:01:406,1,566,1,0,1126,7583,566,0 16,0,2024-09-07 09:46:00:958,139422,0.6,140138,0.7,279828,0.5,372574,2.00 16,1,2024-09-07 09:46:00:564,994730,994730,0,0,466589360509,4878155225,985731,7566,1433,370,392194,0 16,2,2024-09-07 09:46:01:441,716782,716782,0,0,30100934,0,4719 16,3,2024-09-07 09:46:01:145,1,566,1,0,358,7087,566,0 17,0,2024-09-07 09:46:01:783,148082,0.6,144419,0.8,282914,0.6,384120,2.00 17,1,2024-09-07 09:46:00:568,992741,992741,0,0,465851419302,4888299893,982617,8358,1766,368,392075,0 17,2,2024-09-07 09:46:01:699,714944,714943,1,0,30816413,0,5050 17,3,2024-09-07 09:46:00:590,1,566,58,0,518,8605,566,0 18,0,2024-09-07 09:46:00:946,143234,0.7,144149,0.8,287149,0.7,383583,2.25 18,1,2024-09-07 09:46:01:640,996749,996749,0,0,467480322901,4849784287,989822,5670,1257,367,391725,0 18,2,2024-09-07 09:46:01:753,716872,716872,0,0,27430735,0,3541 18,3,2024-09-07 09:46:00:898,1,566,1,0,1059,5341,566,0 19,0,2024-09-07 09:46:01:542,141027,0.6,141220,0.8,281469,0.6,374643,2.00 19,1,2024-09-07 09:46:00:570,996426,996426,0,0,468372850742,4854878931,989105,6232,1089,367,391777,0 19,2,2024-09-07 09:46:01:759,722124,722124,0,0,26098707,0,3988 19,3,2024-09-07 09:46:01:129,1,566,1,0,524,4381,566,0 20,0,2024-09-07 09:46:01:438,137525,0.5,137601,0.7,275009,0.5,366669,2.00 20,1,2024-09-07 09:46:00:578,992219,992219,0,0,466623217973,4888648762,982062,8654,1503,369,391922,0 20,2,2024-09-07 09:46:00:947,716710,716710,0,0,32238209,0,4321 20,3,2024-09-07 09:46:00:589,1,566,12,0,468,9270,566,0 21,0,2024-09-07 09:46:01:133,143064,0.4,143213,0.6,286395,0.4,380514,2.00 21,1,2024-09-07 09:46:01:551,990796,990796,0,0,465296812434,4911128385,975137,12252,3407,368,392016,0 21,2,2024-09-07 09:46:01:067,710362,710342,20,0,36273178,0,5617 21,3,2024-09-07 09:46:01:404,1,566,1,0,713,7865,566,0 22,0,2024-09-07 09:46:01:719,144213,0.5,144704,0.7,288575,0.4,383271,2.00 22,1,2024-09-07 09:46:01:023,991884,991884,0,0,465835081005,4903408044,975134,13387,3363,382,391822,0 22,2,2024-09-07 09:46:00:769,712409,712383,26,0,30626483,0,6328 22,3,2024-09-07 09:46:01:066,1,566,8,0,228,4796,566,0 23,0,2024-09-07 09:46:01:372,142304,0.5,142027,0.7,283930,0.5,378600,2.25 23,1,2024-09-07 09:46:01:003,993344,993344,0,0,467216997003,4902833060,976463,12032,4849,365,391690,0 23,2,2024-09-07 09:46:01:092,719553,719553,0,0,29564930,0,3773 23,3,2024-09-07 09:46:01:759,1,566,0,0,855,8441,566,0 24,0,2024-09-07 09:46:00:838,137957,0.4,137239,0.6,275996,0.4,366409,1.75 24,1,2024-09-07 09:46:00:598,991916,991916,0,0,465395449851,4871628101,982537,7696,1683,367,392269,0 24,2,2024-09-07 09:46:01:068,716571,716571,0,0,35452775,0,4438 24,3,2024-09-07 09:46:01:695,1,566,2,0,468,7068,566,0 25,0,2024-09-07 09:46:01:357,146547,0.4,142687,0.6,280083,0.4,381490,1.75 25,1,2024-09-07 09:46:00:562,990477,990477,0,0,465413595705,4913912694,973694,13251,3532,369,391928,0 25,2,2024-09-07 09:46:01:606,713363,713363,0,0,36295452,0,3978 25,3,2024-09-07 09:46:01:000,1,566,1,0,532,6306,566,0 26,0,2024-09-07 09:46:01:720,142458,0.4,139425,0.6,292661,0.4,380767,2.00 26,1,2024-09-07 09:46:01:544,994467,994467,0,0,465866181113,4876801511,981051,10956,2460,380,391748,0 26,2,2024-09-07 09:46:00:860,712517,712517,0,0,38354202,0,4689 26,3,2024-09-07 09:46:01:720,1,566,6,0,796,6543,566,0 27,0,2024-09-07 09:46:01:731,144224,0.4,144941,0.6,288003,0.4,383872,2.25 27,1,2024-09-07 09:46:01:686,994266,994266,0,0,468048971675,4876035061,984865,8107,1294,381,391626,0 27,2,2024-09-07 09:46:00:866,713167,713102,65,0,33453474,0,5699 27,3,2024-09-07 09:46:01:016,1,566,2,0,564,5085,566,0 28,0,2024-09-07 09:46:01:409,138400,0.4,138162,0.6,276792,0.3,368470,2.00 28,1,2024-09-07 09:46:00:803,995410,995410,0,0,466920745717,4867389708,987038,6636,1736,382,391698,0 28,2,2024-09-07 09:46:01:766,718459,718459,0,0,29614015,0,2915 28,3,2024-09-07 09:46:01:784,1,566,0,0,502,5521,566,0 29,0,2024-09-07 09:46:01:360,144813,0.4,140927,0.6,276714,0.3,377551,1.75 29,1,2024-09-07 09:46:01:560,998307,998307,0,0,468477262620,4845408007,992223,5310,774,367,391809,0 29,2,2024-09-07 09:46:00:860,716702,716702,0,0,28508350,0,4986 29,3,2024-09-07 09:46:00:963,1,566,3,0,459,6308,566,0 30,0,2024-09-07 09:46:01:462,142576,0.5,138839,0.7,290947,0.4,379755,2.00 30,1,2024-09-07 09:46:00:571,997055,997055,0,0,468982744838,4866170854,989829,6319,907,380,391672,0 30,2,2024-09-07 09:46:01:272,713291,713291,0,0,27298619,0,4192 30,3,2024-09-07 09:46:00:586,1,566,0,0,519,5375,566,0 31,0,2024-09-07 09:46:01:760,144571,0.4,145272,0.6,289654,0.4,386599,2.00 31,1,2024-09-07 09:46:00:564,1000208,1000208,0,0,470212638160,4828086686,994326,4854,1028,356,391712,0 31,2,2024-09-07 09:46:01:274,714088,714088,0,0,30747484,0,4470 31,3,2024-09-07 09:46:01:708,1,566,1,0,239,4833,566,0 32,0,2024-09-07 09:46:01:424,141362,0.3,142160,0.5,282922,0.3,376866,1.75 32,1,2024-09-07 09:46:00:804,996670,996670,0,0,467388010415,4857930178,990624,5332,714,381,391646,0 32,2,2024-09-07 09:46:00:946,720970,720970,0,0,27144388,0,3922 32,3,2024-09-07 09:46:01:029,1,566,0,0,304,4482,566,0 33,0,2024-09-07 09:46:01:495,139144,0.3,138686,0.4,277692,0.2,369815,1.50 33,1,2024-09-07 09:46:00:582,996431,996431,0,0,468885641180,4858358688,988201,6961,1269,368,391730,0 33,2,2024-09-07 09:46:00:763,718472,718437,35,0,29904970,0,7012 33,3,2024-09-07 09:46:00:898,1,566,1,0,329,5020,566,0 34,0,2024-09-07 09:46:00:934,144022,0.3,147909,0.5,282885,0.2,381809,1.75 34,1,2024-09-07 09:46:01:047,999166,999166,0,0,469102540341,4825270511,996451,2691,24,367,391637,0 34,2,2024-09-07 09:46:00:766,714190,714190,0,0,27743226,0,4562 34,3,2024-09-07 09:46:01:694,1,566,70,0,320,4650,566,0 35,0,2024-09-07 09:46:00:865,143524,0.3,144320,0.5,289589,0.2,384994,1.75 35,1,2024-09-07 09:46:01:068,995197,995197,0,0,467831348030,4857236705,987156,6518,1523,382,391769,0 35,2,2024-09-07 09:46:01:587,713969,713969,0,0,30863834,0,4055 35,3,2024-09-07 09:46:00:908,1,566,0,0,466,5495,566,0 36,0,2024-09-07 09:46:01:525,142753,0.5,142636,0.7,284920,0.5,379468,2.00 36,1,2024-09-07 09:46:00:590,993863,993863,0,0,466477757809,4872878615,980775,10857,2231,366,391759,0 36,2,2024-09-07 09:46:01:754,719426,719426,0,0,32497652,0,3875 36,3,2024-09-07 09:46:00:864,1,566,0,0,556,7993,566,0 37,0,2024-09-07 09:46:01:382,136149,0.5,136164,0.7,272578,0.5,363518,2.25 37,1,2024-09-07 09:46:00:569,993494,993487,0,7,466480007441,4872063973,981325,9276,2886,365,391770,0 37,2,2024-09-07 09:46:01:145,714761,714746,15,0,32643899,0,5815 37,3,2024-09-07 09:46:01:767,1,566,1,0,888,7662,566,0 38,0,2024-09-07 09:46:01:438,142089,0.4,137842,0.6,288227,0.3,377671,2.00 38,1,2024-09-07 09:46:01:604,993985,993985,0,0,466878020249,4885556615,979068,11919,2998,368,391821,0 38,2,2024-09-07 09:46:00:759,714015,713968,47,0,32464286,0,6710 38,3,2024-09-07 09:46:01:000,1,566,1,0,689,7011,566,0 39,0,2024-09-07 09:46:01:762,146857,0.5,143491,0.7,279912,0.5,382558,2.00 39,1,2024-09-07 09:46:00:717,993044,993044,0,0,466336531408,4890192850,976367,12980,3697,365,391865,0 39,2,2024-09-07 09:46:01:416,713671,713671,0,0,30088731,0,3391 39,3,2024-09-07 09:46:00:713,1,566,0,0,525,6529,566,0 40,0,2024-09-07 09:46:01:497,142036,0.9,142666,1.0,284650,1.0,379712,2.75 40,1,2024-09-07 09:46:00:582,994259,994259,0,0,465741105865,4878577425,979852,11626,2781,368,391668,0 40,2,2024-09-07 09:46:01:306,715505,715504,1,0,36013078,0,5137 40,3,2024-09-07 09:46:01:144,1,566,1,0,1028,8266,566,0 41,0,2024-09-07 09:46:01:027,136479,1.2,139571,1.1,266201,1.6,362108,3.00 41,1,2024-09-07 09:46:00:769,993323,993323,0,0,465498629404,4870295290,980606,10651,2066,369,391878,0 41,2,2024-09-07 09:46:00:760,714393,714392,1,0,34916130,0,5408 41,3,2024-09-07 09:46:01:685,1,566,1,0,366,6038,566,0 42,0,2024-09-07 09:46:01:484,141280,0.9,141029,1.0,281924,1.0,374843,2.50 42,1,2024-09-07 09:46:01:440,990866,990866,0,0,465063465587,4888682456,975169,12769,2928,380,391675,0 42,2,2024-09-07 09:46:01:132,714659,714659,0,0,34704673,0,3975 42,3,2024-09-07 09:46:01:009,1,566,10,0,892,5440,566,0 43,0,2024-09-07 09:46:00:929,141924,0.7,138199,0.9,289549,0.8,378431,2.25 43,1,2024-09-07 09:46:00:582,993820,993820,0,0,466544954328,4875092230,980065,11355,2400,366,391696,0 43,2,2024-09-07 09:46:01:736,712981,712981,0,0,33012795,0,4723 43,3,2024-09-07 09:46:01:754,1,566,16,0,571,8270,566,0 44,0,2024-09-07 09:46:00:901,145159,0.4,145112,0.6,290607,0.4,386106,1.75 44,1,2024-09-07 09:46:00:566,996518,996518,0,0,467691767776,4836515844,987395,7184,1939,356,391809,0 44,2,2024-09-07 09:46:01:270,713443,713443,0,0,27272836,0,4344 44,3,2024-09-07 09:46:01:096,1,566,2,0,1097,7208,566,0 45,0,2024-09-07 09:46:01:756,137831,0.5,134589,0.7,282489,0.4,370370,2.00 45,1,2024-09-07 09:46:01:005,995167,995167,0,0,467667046285,4860852274,987104,7160,903,382,391917,0 45,2,2024-09-07 09:46:01:271,719471,719471,0,0,27817979,0,3596 45,3,2024-09-07 09:46:00:934,1,566,1,0,531,5644,566,0 46,0,2024-09-07 09:46:00:953,138950,0.5,138586,0.7,277971,0.5,369549,2.00 46,1,2024-09-07 09:46:00:582,997230,997230,0,0,469221533507,4850327221,990145,6221,864,366,391709,0 46,2,2024-09-07 09:46:00:598,717984,717984,0,0,28528553,0,4443 46,3,2024-09-07 09:46:01:131,1,566,8,0,908,6970,566,0 47,0,2024-09-07 09:46:01:100,143932,0.4,143904,0.6,288885,0.4,382597,2.00 47,1,2024-09-07 09:46:00:567,998521,998521,0,0,468176942734,4837500066,992759,4909,853,365,391641,0 47,2,2024-09-07 09:46:00:922,715971,715971,0,0,27417306,0,4477 47,3,2024-09-07 09:46:01:124,1,566,1,0,600,6360,566,0 48,0,2024-09-07 09:46:01:513,144945,0.3,145120,0.4,289626,0.2,385394,1.50 48,1,2024-09-07 09:46:01:030,995895,995895,0,0,467311092021,4854248439,989933,5386,576,384,391710,0 48,2,2024-09-07 09:46:00:698,714887,714887,0,0,25681071,0,3524 48,3,2024-09-07 09:46:00:752,1,566,13,0,339,4689,566,0 49,0,2024-09-07 09:46:01:749,145335,0.3,142569,0.5,277336,0.3,378776,1.75 49,1,2024-09-07 09:46:01:021,995039,995039,0,0,467456702497,4863453588,988033,5312,1694,382,391809,0 49,2,2024-09-07 09:46:01:798,720439,720439,0,0,28324211,0,4426 49,3,2024-09-07 09:46:01:423,1,566,1,0,992,6718,566,0 50,0,2024-09-07 09:46:01:508,138310,0.3,136598,0.5,275191,0.2,366431,1.75 50,1,2024-09-07 09:46:01:010,998205,998205,0,0,468860802745,4847225729,991878,5658,669,368,391565,0 50,2,2024-09-07 09:46:01:066,717110,717110,0,0,25890802,0,4490 50,3,2024-09-07 09:46:01:294,1,566,9,0,617,5886,566,0 51,0,2024-09-07 09:46:01:688,146921,0.3,143937,0.4,280162,0.2,382479,1.75 51,1,2024-09-07 09:46:01:946,999183,999183,0,0,469215654256,4840108149,993581,4522,1080,365,391706,0 51,2,2024-09-07 09:46:01:325,713819,713819,0,0,25436842,0,3337 51,3,2024-09-07 09:46:01:029,1,566,1,0,678,4048,566,0 52,0,2024-09-07 09:46:01:413,144722,0.5,144284,0.6,288763,0.4,384108,2.00 52,1,2024-09-07 09:46:00:582,994125,994125,0,0,466879119576,4887244774,979823,12087,2215,368,391805,0 52,2,2024-09-07 09:46:01:755,710598,710560,38,0,34016802,0,6742 52,3,2024-09-07 09:46:00:674,1,566,0,0,1782,7008,566,0 53,0,2024-09-07 09:46:01:742,142013,0.7,137738,0.8,288185,0.7,377823,2.50 53,1,2024-09-07 09:46:00:786,992643,992643,0,0,466682456320,4898136792,975479,12961,4203,367,391968,0 53,2,2024-09-07 09:46:01:306,718299,718298,1,0,31112061,0,5455 53,3,2024-09-07 09:46:00:698,1,566,2,0,308,5264,566,0 54,0,2024-09-07 09:46:01:628,135203,0.6,135636,0.8,270025,0.4,360388,2.25 54,1,2024-09-07 09:46:00:587,994658,994658,0,0,467434133426,4862496616,983944,9052,1662,366,391810,0 54,2,2024-09-07 09:46:00:871,717442,717410,32,0,34440777,0,6397 54,3,2024-09-07 09:46:00:765,1,566,8,0,676,7592,566,0 55,0,2024-09-07 09:46:01:760,137608,0.6,141915,0.7,287847,0.5,375668,2.50 55,1,2024-09-07 09:46:00:764,995642,995642,0,0,466236121748,4851756058,984929,9325,1388,365,391731,0 55,2,2024-09-07 09:46:00:736,713660,713604,56,0,32394030,0,7239 55,3,2024-09-07 09:46:00:675,1,566,1,0,304,5287,566,0 56,0,2024-09-07 09:46:01:574,146153,1.2,137797,1.1,283695,1.5,380263,2.75 56,1,2024-09-07 09:46:00:569,989508,989508,0,0,464828497315,4914546577,972824,13215,3469,381,391867,0 56,2,2024-09-07 09:46:01:311,712191,712069,122,0,33567700,0,7432 56,3,2024-09-07 09:46:01:067,1,566,11,0,705,6666,566,0 57,0,2024-09-07 09:46:00:962,142416,1.5,142431,1.2,284920,2.1,380659,3.25 57,1,2024-09-07 09:46:00:995,991688,991688,0,0,465761484862,4889921181,978230,11119,2339,366,392032,0 57,2,2024-09-07 09:46:01:328,715873,715873,0,0,35146638,0,4317 57,3,2024-09-07 09:46:01:742,1,566,1,0,455,6516,566,0 58,0,2024-09-07 09:46:00:554,136318,0.9,132238,1.0,276707,1.1,361989,2.50 58,1,2024-09-07 09:46:00:582,993297,993294,0,3,466977770728,4895017283,978261,11341,3692,367,391675,3 58,2,2024-09-07 09:46:01:070,718244,718244,0,0,33247270,0,3483 58,3,2024-09-07 09:46:01:068,1,566,8,0,1043,6429,566,0 59,0,2024-09-07 09:46:01:737,140217,0.8,139976,0.9,279640,0.8,371628,2.75 59,1,2024-09-07 09:46:00:805,992024,992024,0,0,465692430842,4892437432,975831,12862,3331,369,391653,0 59,2,2024-09-07 09:46:00:592,716311,716311,0,0,31746837,0,3727 59,3,2024-09-07 09:46:01:737,1,566,1,0,1015,6916,566,0 60,0,2024-09-07 09:46:01:726,143286,0.5,143665,0.7,287018,0.5,382033,1.75 60,1,2024-09-07 09:46:00:779,997044,997044,0,0,468036656316,4862699311,989804,6244,996,370,392031,0 60,2,2024-09-07 09:46:01:141,713114,713114,0,0,29721704,0,3811 60,3,2024-09-07 09:46:01:274,1,566,5,0,409,6570,566,0 61,0,2024-09-07 09:46:01:586,144732,0.6,145338,0.8,289407,0.6,386016,2.00 61,1,2024-09-07 09:46:00:772,993164,993164,0,0,466445297008,4885073072,982385,9118,1661,382,392127,0 61,2,2024-09-07 09:46:01:128,714751,714684,67,0,30666597,0,6411 61,3,2024-09-07 09:46:01:697,1,566,9,0,607,7724,566,0 62,0,2024-09-07 09:46:01:718,141621,0.6,145263,0.7,277339,0.6,376765,2.00 62,1,2024-09-07 09:46:01:111,999416,999410,0,6,469980484941,4844959755,994745,4381,284,365,391975,6 62,2,2024-09-07 09:46:01:650,717349,717348,1,0,31000167,0,5555 62,3,2024-09-07 09:46:01:145,1,566,3,0,482,4547,566,0 63,0,2024-09-07 09:46:01:462,139171,0.4,138890,0.6,278406,0.4,370216,1.75 63,1,2024-09-07 09:46:00:804,996112,996106,0,6,467658037405,4856998639,989469,5783,854,381,391800,6 63,2,2024-09-07 09:46:00:768,717900,717900,0,0,29147539,0,4369 63,3,2024-09-07 09:46:01:737,1,566,11,0,667,6085,566,0 64,0,2024-09-07 09:46:01:561,142997,0.5,143088,0.7,285451,0.4,380199,2.00 64,1,2024-09-07 09:46:00:766,994956,994956,0,0,468085815804,4876088387,985754,7227,1975,370,391794,0 64,2,2024-09-07 09:46:01:145,716968,716949,19,0,27878425,0,6121 64,3,2024-09-07 09:46:01:144,1,566,1,0,651,6128,566,0 65,0,2024-09-07 09:46:01:678,143300,0.6,143482,0.7,286743,0.6,382460,2.00 65,1,2024-09-07 09:46:00:859,993404,993404,0,0,466165297613,4871975886,986281,6266,857,381,391901,0 65,2,2024-09-07 09:46:01:717,713362,713362,0,0,33196134,0,3367 65,3,2024-09-07 09:46:01:917,1,566,0,0,782,6462,566,0 66,0,2024-09-07 09:46:01:763,141828,0.6,141336,0.8,282840,0.5,376578,2.25 66,1,2024-09-07 09:46:01:298,995868,995868,0,0,467452432720,4865294012,989393,5753,722,380,391743,0 66,2,2024-09-07 09:46:01:132,721396,721393,3,0,30026945,0,5455 66,3,2024-09-07 09:46:01:084,1,566,16,0,291,4877,566,0 67,0,2024-09-07 09:46:01:430,136621,0.5,136363,0.7,273428,0.5,364309,2.00 67,1,2024-09-07 09:46:00:772,994987,994986,0,1,467457746790,4866893361,987960,6084,942,380,391787,1 67,2,2024-09-07 09:46:00:590,719616,719601,15,0,29990437,0,6205 67,3,2024-09-07 09:46:01:750,1,566,1,0,595,6003,566,0 68,0,2024-09-07 09:46:00:630,142859,0.5,142733,0.7,284225,0.5,380161,2.00 68,1,2024-09-07 09:46:00:569,991363,991363,0,0,465987947241,4900356343,978153,9751,3459,381,391953,0 68,2,2024-09-07 09:46:01:063,710594,710494,100,0,37457845,0,8578 68,3,2024-09-07 09:46:00:730,1,566,0,0,417,7498,566,0 69,0,2024-09-07 09:46:01:755,142931,0.7,143806,0.8,286542,0.7,381041,2.25 69,1,2024-09-07 09:46:01:056,990552,990552,0,0,465600303224,4907566600,977297,10477,2778,383,391994,0 69,2,2024-09-07 09:46:01:743,711270,711241,29,0,39200322,0,6912 69,3,2024-09-07 09:46:00:773,1,566,4,0,698,8339,566,0 70,0,2024-09-07 09:46:01:532,141757,0.8,142160,1.0,285987,0.7,378647,2.50 70,1,2024-09-07 09:46:00:801,996557,996557,0,0,468733324700,4863591551,988891,6902,764,366,391725,0 70,2,2024-09-07 09:46:01:329,717332,717332,0,0,32697355,0,4323 70,3,2024-09-07 09:46:00:744,1,566,12,0,854,6456,566,0 71,0,2024-09-07 09:46:01:362,135818,1.0,135616,1.0,272267,1.3,363682,2.75 71,1,2024-09-07 09:46:01:600,994436,994436,0,0,466882121985,4872275389,982416,10670,1350,368,391738,0 71,2,2024-09-07 09:46:01:067,716663,716663,0,0,33369384,0,4352 71,3,2024-09-07 09:46:01:751,1,566,1,0,644,6572,566,0 72,0,2024-09-07 09:46:01:052,146560,0.5,143157,0.7,279544,0.5,380502,2.00 72,1,2024-09-07 09:46:01:034,992668,992668,0,0,465700705771,4885288376,978494,11780,2394,369,391819,0 72,2,2024-09-07 09:46:01:761,714343,714343,0,0,34886033,0,3983 72,3,2024-09-07 09:46:01:768,1,566,9,0,564,8324,566,0 73,0,2024-09-07 09:46:01:115,139866,0.5,143338,0.6,293284,0.4,381228,2.00 73,1,2024-09-07 09:46:00:769,994726,994726,0,0,467218946253,4856029826,987188,6611,927,367,391858,0 73,2,2024-09-07 09:46:01:754,712617,712617,0,0,35116122,0,4728 73,3,2024-09-07 09:46:00:985,1,566,28,0,1091,8090,566,0 74,0,2024-09-07 09:46:01:331,145899,0.5,149394,0.7,284976,0.4,386348,2.00 74,1,2024-09-07 09:46:00:650,993281,993281,0,0,466246115029,4867484906,982226,9077,1978,381,391762,0 74,2,2024-09-07 09:46:01:002,714219,714219,0,0,30818741,0,4253 74,3,2024-09-07 09:46:01:453,1,566,8,0,522,6817,566,0 75,0,2024-09-07 09:46:01:768,139772,0.6,138780,0.8,278817,0.5,371884,2.25 75,1,2024-09-07 09:46:01:584,995134,995134,0,0,466437117458,4861584977,986950,7318,866,380,391739,0 75,2,2024-09-07 09:46:01:349,717245,717245,0,0,37548530,0,4766 75,3,2024-09-07 09:46:01:067,1,566,1,0,702,6870,566,0 76,0,2024-09-07 09:46:00:681,138985,0.6,138460,0.8,277047,0.5,370841,2.25 76,1,2024-09-07 09:46:00:816,994156,994156,0,0,466170480491,4861886848,987236,5950,970,382,391723,0 76,2,2024-09-07 09:46:01:062,719374,719371,3,0,31085125,0,5265 76,3,2024-09-07 09:46:01:146,1,566,1,0,227,5148,566,0 77,0,2024-09-07 09:46:01:696,143233,0.6,143795,0.7,287462,0.5,381913,2.00 77,1,2024-09-07 09:46:00:829,993985,993985,0,0,467107768000,4874063329,986260,6851,874,381,391869,0 77,2,2024-09-07 09:46:01:286,712463,712463,0,0,30012293,0,3890 77,3,2024-09-07 09:46:01:097,1,566,103,0,401,6330,566,0 78,0,2024-09-07 09:46:01:743,145154,0.4,144602,0.6,289861,0.4,384014,2.00 78,1,2024-09-07 09:46:00:614,994441,994441,0,0,466389510536,4864978460,982553,9221,2667,367,391670,0 78,2,2024-09-07 09:46:01:408,715792,715779,13,0,28312746,0,8313 78,3,2024-09-07 09:46:01:133,1,566,15,0,311,4857,566,0 79,0,2024-09-07 09:46:01:345,136665,0.4,139915,0.6,286818,0.3,373502,2.00 79,1,2024-09-07 09:46:00:571,997623,997623,0,0,467963588303,4846609607,989832,6432,1359,367,391682,0 79,2,2024-09-07 09:46:01:068,719919,719919,0,0,27519286,0,4195 79,3,2024-09-07 09:46:00:749,1,566,3,0,418,6847,566,0 80,0,2024-09-07 09:46:01:129,137507,0.5,141199,0.7,270037,0.5,365480,2.00 80,1,2024-09-07 09:46:01:631,994688,994688,0,0,467428411822,4865492360,988429,5825,434,368,392269,0 80,2,2024-09-07 09:46:01:118,719691,719691,0,0,28054529,0,4433 80,3,2024-09-07 09:46:00:590,1,566,30,0,681,7212,566,0 81,0,2024-09-07 09:46:01:559,143244,0.5,146698,0.7,279351,0.5,379510,2.00 81,1,2024-09-07 09:46:01:652,993047,993047,0,0,466310816430,4874514339,984977,7307,763,382,391885,0 81,2,2024-09-07 09:46:01:128,712225,712162,63,0,30981547,0,5932 81,3,2024-09-07 09:46:01:122,1,566,0,0,719,6885,566,0 82,0,2024-09-07 09:46:01:533,144002,0.5,144234,0.7,288959,0.4,383225,2.00 82,1,2024-09-07 09:46:00:598,996102,996098,0,4,467104596643,4855874610,990663,4613,822,381,391768,4 82,2,2024-09-07 09:46:01:695,716069,716069,0,0,25906959,0,4484 82,3,2024-09-07 09:46:01:752,1,566,6,0,363,5392,566,0 83,0,2024-09-07 09:46:01:521,142626,0.6,142696,0.7,284748,0.6,377944,2.25 83,1,2024-09-07 09:46:00:559,993939,993939,0,0,466329759949,4862788840,986582,6713,644,382,391709,0 83,2,2024-09-07 09:46:00:763,718832,718807,25,0,28304941,0,5612 83,3,2024-09-07 09:46:00:749,1,566,15,0,1260,6983,566,0 84,0,2024-09-07 09:46:01:773,135901,0.7,136208,0.9,271875,0.6,363564,2.25 84,1,2024-09-07 09:46:01:039,992586,992586,0,0,465474915246,4869039497,980865,10051,1670,367,391967,0 84,2,2024-09-07 09:46:00:570,716937,716907,30,0,36816336,0,5971 84,3,2024-09-07 09:46:01:146,1,566,3,0,908,7637,566,0 85,0,2024-09-07 09:46:01:002,137175,0.6,137157,0.8,291152,0.5,376765,2.25 85,1,2024-09-07 09:46:00:560,989978,989978,0,0,464973749782,4911418793,974361,12856,2761,381,392092,0 85,2,2024-09-07 09:46:00:864,714004,714004,0,0,34469975,0,4255 85,3,2024-09-07 09:46:00:692,1,566,1,0,789,6623,566,0 86,0,2024-09-07 09:46:00:896,143204,0.6,147191,0.8,281426,0.7,381030,2.25 86,1,2024-09-07 09:46:00:824,992298,992298,0,0,467104020394,4898788975,979028,10614,2656,366,391995,0 86,2,2024-09-07 09:46:00:855,711919,711918,1,0,36606799,0,5004 86,3,2024-09-07 09:46:00:591,1,566,10,0,308,8061,566,0 87,0,2024-09-07 09:46:01:321,144326,0.8,143872,0.8,287692,1.0,384248,2.25 87,1,2024-09-07 09:46:00:551,991878,991878,0,0,466376574858,4885148247,979304,10854,1720,366,392076,0 87,2,2024-09-07 09:46:01:069,714871,714865,6,0,33258399,0,6323 87,3,2024-09-07 09:46:01:800,1,566,20,0,473,8438,566,0 88,0,2024-09-07 09:46:01:446,138106,0.5,138475,0.6,276866,0.4,368351,1.75 88,1,2024-09-07 09:46:00:569,991339,991339,0,0,465804350351,4879622838,977755,10845,2739,365,392084,0 88,2,2024-09-07 09:46:00:691,717358,717358,0,0,36433290,0,4465 88,3,2024-09-07 09:46:01:270,1,566,7,0,1080,8566,566,0 89,0,2024-09-07 09:46:01:833,144886,0.4,140564,0.6,277351,0.4,377575,1.75 89,1,2024-09-07 09:46:00:551,990822,990822,0,0,465824300760,4900873578,978251,10638,1933,382,391866,0 89,2,2024-09-07 09:46:01:133,716116,716116,0,0,33812470,0,3173 89,3,2024-09-07 09:46:01:798,1,566,0,0,468,9540,566,0 90,0,2024-09-07 09:46:01:634,139167,0.5,142945,0.6,291492,0.4,380331,2.00 90,1,2024-09-07 09:46:00:602,992638,992638,0,0,466090998391,4882642627,982954,8795,889,380,391825,0 90,2,2024-09-07 09:46:01:414,709730,709730,0,0,36003372,0,3608 90,3,2024-09-07 09:46:00:932,1,566,1,0,322,6881,566,0 91,0,2024-09-07 09:46:00:930,145365,0.5,141024,0.6,294921,0.4,386675,1.75 91,1,2024-09-07 09:46:00:572,989993,989993,0,0,466316809236,4910207595,975926,11330,2737,381,392047,0 91,2,2024-09-07 09:46:01:338,714509,714509,0,0,31794465,0,4713 91,3,2024-09-07 09:46:00:597,1,566,14,0,216,5202,566,0 92,0,2024-09-07 09:46:01:450,142752,0.5,145971,0.6,278247,0.5,377040,1.75 92,1,2024-09-07 09:46:00:582,993813,993813,0,0,466824380574,4876128156,985992,6611,1210,381,392136,0 92,2,2024-09-07 09:46:01:355,719643,719643,0,0,28938534,0,3259 92,3,2024-09-07 09:46:01:009,1,566,1,0,167,4846,566,0 93,0,2024-09-07 09:46:00:968,139365,0.4,142849,0.6,272696,0.4,370226,1.75 93,1,2024-09-07 09:46:00:809,994107,994107,0,0,467152499489,4877566246,982793,9389,1925,366,391776,0 93,2,2024-09-07 09:46:00:931,717305,717305,0,0,34701986,0,4913 93,3,2024-09-07 09:46:01:427,1,566,2,0,294,5608,566,0 94,0,2024-09-07 09:46:01:693,143167,0.4,144205,0.5,288359,0.3,382945,1.75 94,1,2024-09-07 09:46:00:573,994807,994807,0,0,466819478594,4869550062,988079,6298,430,381,391850,0 94,2,2024-09-07 09:46:00:769,713096,713068,28,0,29274344,0,6179 94,3,2024-09-07 09:46:01:693,1,566,8,0,576,7005,566,0 95,0,2024-09-07 09:46:01:394,144340,0.3,144362,0.5,288913,0.3,385033,1.75 95,1,2024-09-07 09:46:00:853,996144,996144,0,0,466705723600,4851531462,987984,7459,701,365,391852,0 95,2,2024-09-07 09:46:01:024,713406,713406,0,0,28873911,0,3308 95,3,2024-09-07 09:46:01:712,1,566,1,0,718,8689,566,0 96,0,2024-09-07 09:46:01:038,142240,0.4,142538,0.5,285028,0.3,378809,1.75 96,1,2024-09-07 09:46:01:582,993768,993768,0,0,466835077774,4870262017,986505,5992,1271,384,391964,0 96,2,2024-09-07 09:46:01:271,719981,719981,0,0,29788735,0,4180 96,3,2024-09-07 09:46:01:141,1,566,28,0,411,6571,566,0 97,0,2024-09-07 09:46:01:342,136668,0.3,136650,0.5,274163,0.3,364051,1.75 97,1,2024-09-07 09:46:00:765,995967,995967,0,0,468127003154,4855972215,989611,5331,1025,367,392140,0 97,2,2024-09-07 09:46:00:614,718668,718668,0,0,29643091,0,4046 97,3,2024-09-07 09:46:00:573,1,566,5,0,242,6423,566,0 98,0,2024-09-07 09:46:01:715,142391,0.3,142498,0.4,285907,0.2,380013,1.50 98,1,2024-09-07 09:46:00:570,994952,994952,0,0,466847599251,4862310972,988757,5376,819,381,391997,0 98,2,2024-09-07 09:46:00:769,714961,714961,0,0,28592277,0,4336 98,3,2024-09-07 09:46:00:698,1,566,14,0,840,8612,566,0 99,0,2024-09-07 09:46:01:461,143840,0.3,144547,0.5,287444,0.3,383821,1.75 99,1,2024-09-07 09:46:01:743,995925,995925,0,0,466979672819,4859638858,989703,5293,929,380,392069,0 99,2,2024-09-07 09:46:01:433,713544,713544,0,0,34820984,0,4276 99,3,2024-09-07 09:46:00:591,1,566,1124,0,1124,7037,566,0 100,0,2024-09-07 09:46:01:467,143032,0.8,143256,0.9,286192,0.9,382081,2.50 100,1,2024-09-07 09:46:00:547,989893,989893,0,0,464843589133,4916501113,974237,12367,3289,378,391989,0 100,2,2024-09-07 09:46:01:822,715039,715028,11,0,34050242,0,5417 100,3,2024-09-07 09:46:01:737,1,566,0,0,627,9822,566,0 101,0,2024-09-07 09:46:01:729,140029,1.1,136587,1.0,267406,1.0,365859,2.25 101,1,2024-09-07 09:46:00:550,991373,991373,0,0,465521316411,4892725134,977886,10983,2504,368,391847,0 101,2,2024-09-07 09:46:01:761,713072,713072,0,0,38530789,0,4871 101,3,2024-09-07 09:46:00:941,1,566,1,0,1250,8779,566,0 102,0,2024-09-07 09:46:00:941,138007,0.6,142258,0.7,288759,0.6,378097,2.00 102,1,2024-09-07 09:46:01:146,991539,991539,0,0,465176573359,4886994933,978114,11272,2153,369,391984,0 102,2,2024-09-07 09:46:01:740,716517,716463,54,0,32834222,0,6768 102,3,2024-09-07 09:46:01:627,1,566,23,0,466,6526,566,0 103,0,2024-09-07 09:46:01:603,148192,0.6,148147,0.7,279176,0.6,384367,2.00 103,1,2024-09-07 09:46:01:626,990077,990077,0,0,464788952475,4910526111,973881,12606,3590,381,392077,0 103,2,2024-09-07 09:46:00:582,710766,710766,0,0,34738989,0,3766 103,3,2024-09-07 09:46:00:760,1,566,37,0,916,6987,566,0 104,0,2024-09-07 09:46:01:008,143985,0.7,144131,0.9,287485,0.7,384542,2.25 104,1,2024-09-07 09:46:01:601,993010,993010,0,0,465314228027,4888150984,978938,11483,2589,365,392168,0 104,2,2024-09-07 09:46:01:674,712615,712615,0,0,34060572,0,3941 104,3,2024-09-07 09:46:01:416,1,566,1,0,1245,10312,566,0 105,0,2024-09-07 09:46:01:031,138054,0.9,134579,1.0,281950,1.0,369987,2.50 105,1,2024-09-07 09:46:00:556,994189,994189,0,0,466877282309,4889574169,981999,10382,1808,364,392009,0 105,2,2024-09-07 09:46:01:326,717328,717328,0,0,35070580,0,4360 105,3,2024-09-07 09:46:01:305,1,566,2,0,573,8755,566,0 106,0,2024-09-07 09:46:00:944,134839,0.8,138085,0.9,283057,0.9,369660,2.50 106,1,2024-09-07 09:46:01:760,992905,992905,0,0,466630694286,4896702921,979191,11980,1734,368,391914,0 106,2,2024-09-07 09:46:00:765,715939,715939,0,0,32490118,0,2920 106,3,2024-09-07 09:46:00:677,1,566,2,0,1224,8224,566,0 107,0,2024-09-07 09:46:01:096,143414,1.0,143547,0.9,287157,1.2,382582,2.25 107,1,2024-09-07 09:46:00:590,990553,990553,0,0,465262818674,4911236103,975598,13228,1727,381,392234,0 107,2,2024-09-07 09:46:01:322,709714,709713,1,0,34971835,0,5024 107,3,2024-09-07 09:46:01:762,1,566,3,0,733,8816,566,0 108,0,2024-09-07 09:46:01:774,144567,0.4,145036,0.6,289070,0.4,385588,1.75 108,1,2024-09-07 09:46:01:302,993626,993626,0,0,467362473488,4876295414,985130,7519,977,367,391894,0 108,2,2024-09-07 09:46:01:755,713840,713840,0,0,31105611,0,4246 108,3,2024-09-07 09:46:01:335,1,566,14,0,749,10662,566,0 109,0,2024-09-07 09:46:01:767,142187,0.4,140935,0.6,282875,0.4,377830,1.75 109,1,2024-09-07 09:46:00:602,991132,991132,0,0,465850051978,4886926156,982315,7595,1222,382,392132,0 109,2,2024-09-07 09:46:00:921,717397,717397,0,0,31895766,0,3617 109,3,2024-09-07 09:46:01:145,1,566,1,0,630,7121,566,0 110,0,2024-09-07 09:46:01:764,137590,0.4,133763,0.6,280247,0.4,367551,1.75 110,1,2024-09-07 09:46:01:646,995687,995687,0,0,467866540348,4859091431,987786,5977,1924,368,392045,0 110,2,2024-09-07 09:46:01:304,717897,717897,0,0,29693407,0,4067 110,3,2024-09-07 09:46:00:690,1,566,7,0,722,7823,566,0 111,0,2024-09-07 09:46:01:413,143802,0.4,142956,0.5,286203,0.3,382073,1.75 111,1,2024-09-07 09:46:01:000,997060,997060,0,0,468957007655,4862681584,992175,4522,363,380,391690,0 111,2,2024-09-07 09:46:01:119,713354,713354,0,0,29877627,0,4823 111,3,2024-09-07 09:46:00:918,1,566,0,0,379,6261,566,0 112,0,2024-09-07 09:46:00:918,144974,0.3,144364,0.4,289484,0.2,384327,1.50 112,1,2024-09-07 09:46:00:833,995421,995421,0,0,467704070045,4858864895,988261,5935,1225,380,391624,0 112,2,2024-09-07 09:46:01:133,713866,713865,1,0,28453629,0,5036 112,3,2024-09-07 09:46:00:595,1,566,0,0,282,5356,566,0 113,0,2024-09-07 09:46:00:908,142857,0.3,142778,0.5,285858,0.2,380242,1.75 113,1,2024-09-07 09:46:01:687,997783,997783,0,0,469018131201,4840796901,991719,5118,946,365,391664,0 113,2,2024-09-07 09:46:01:306,721385,721385,0,0,26566807,0,3813 113,3,2024-09-07 09:46:00:690,1,566,1,0,510,6217,566,0 114,0,2024-09-07 09:46:00:873,137380,0.3,138356,0.5,274997,0.2,367684,1.75 114,1,2024-09-07 09:46:00:721,995615,995615,0,0,467792584170,4858924636,987926,5944,1745,381,391565,0 114,2,2024-09-07 09:46:00:872,718748,718747,1,0,28159874,0,5069 114,3,2024-09-07 09:46:01:281,1,566,2,0,395,4507,566,0 115,0,2024-09-07 09:46:00:556,143109,0.3,143512,0.4,285957,0.2,380744,1.50 115,1,2024-09-07 09:46:00:571,996127,996127,0,0,467305073534,4856171352,988128,6493,1506,382,391757,0 115,2,2024-09-07 09:46:01:125,717784,717784,0,0,28096658,0,4382 115,3,2024-09-07 09:46:01:002,1,566,1,0,167,3312,566,0 116,0,2024-09-07 09:46:01:716,142938,0.7,142620,0.8,285966,0.6,382206,2.00 116,1,2024-09-07 09:46:00:809,991185,991185,0,0,465570785136,4909603410,978854,9268,3063,380,392089,0 116,2,2024-09-07 09:46:01:751,712707,712707,0,0,34873034,0,4475 116,3,2024-09-07 09:46:00:921,1,566,47,0,448,7475,566,0 117,0,2024-09-07 09:46:00:960,144480,0.7,143808,0.8,288229,0.8,384987,2.00 117,1,2024-09-07 09:46:01:578,992695,992695,0,0,465476362230,4874016090,981673,9560,1462,369,392033,0 117,2,2024-09-07 09:46:01:134,717472,717472,0,0,31512528,0,4303 117,3,2024-09-07 09:46:01:059,1,566,1,0,490,7473,566,0 118,0,2024-09-07 09:46:01:884,133977,0.6,137565,0.7,280581,0.5,366804,2.00 118,1,2024-09-07 09:46:00:610,990935,990935,0,0,465911907077,4900788400,975687,11634,3614,366,392054,0 118,2,2024-09-07 09:46:01:585,716832,716832,0,0,33879789,0,2842 118,3,2024-09-07 09:46:01:786,1,566,10,0,289,6530,566,0 119,0,2024-09-07 09:46:01:366,140475,0.6,141051,0.7,281604,0.5,375747,2.00 119,1,2024-09-07 09:46:00:547,991962,991962,0,0,466829385599,4895085908,978293,11318,2351,367,391857,0 119,2,2024-09-07 09:46:01:308,717444,717444,0,0,31764705,0,4309 119,3,2024-09-07 09:46:01:348,1,566,14,0,1358,10801,566,0 120,0,2024-09-07 09:46:01:568,142530,0.7,142399,0.8,285966,0.7,381178,2.25 120,1,2024-09-07 09:46:00:879,993932,993932,0,0,465468723164,4877731830,984185,8965,782,367,392144,0 120,2,2024-09-07 09:46:00:776,711321,711320,1,0,36724567,0,5281 120,3,2024-09-07 09:46:01:304,1,566,13,0,279,7138,566,0 121,0,2024-09-07 09:46:01:707,145047,1.2,144491,1.0,289384,1.5,385486,2.25 121,1,2024-09-07 09:46:01:655,993363,993363,0,0,466042201393,4872631976,983484,9027,852,366,391840,0 121,2,2024-09-07 09:46:01:125,713704,713704,0,0,33907391,0,4157 121,3,2024-09-07 09:46:00:736,1,566,1,0,387,7104,566,0 122,0,2024-09-07 09:46:01:765,140565,0.8,136774,0.9,286666,0.9,376864,2.00 122,1,2024-09-07 09:46:01:016,991984,991984,0,0,465884445828,4889982494,978118,11534,2332,366,392130,0 122,2,2024-09-07 09:46:01:336,719417,719342,75,0,38246276,0,5989 122,3,2024-09-07 09:46:00:597,1,566,17,0,512,9445,566,0 123,0,2024-09-07 09:46:00:981,138164,0.7,134353,0.8,281399,0.8,368899,2.25 123,1,2024-09-07 09:46:00:564,992253,992253,0,0,466025575765,4902517884,976088,13509,2656,369,392039,0 123,2,2024-09-07 09:46:01:034,715428,715427,1,0,32650530,0,5215 123,3,2024-09-07 09:46:01:132,1,566,2,0,478,6527,566,0 124,0,2024-09-07 09:46:00:935,147608,0.3,147492,0.5,278411,0.3,383304,1.75 124,1,2024-09-07 09:46:01:036,995753,995753,0,0,467560525255,4861151745,988278,6305,1170,365,392178,0 124,2,2024-09-07 09:46:01:016,714470,714417,53,0,29368394,0,6487 124,3,2024-09-07 09:46:00:763,1,566,0,0,490,5760,566,0 125,0,2024-09-07 09:46:01:435,144134,0.4,144083,0.5,289164,0.3,385065,1.75 125,1,2024-09-07 09:46:00:855,992954,992954,0,0,466347331519,4869201606,985147,6677,1130,382,391813,0 125,2,2024-09-07 09:46:01:120,716558,716558,0,0,29332366,0,4534 125,3,2024-09-07 09:46:01:126,1,566,1,0,709,6583,566,0 126,0,2024-09-07 09:46:01:445,142511,0.4,146595,0.6,280292,0.4,379483,1.75 126,1,2024-09-07 09:46:00:660,996489,996489,0,0,467289177740,4839039547,991162,4882,445,365,391987,0 126,2,2024-09-07 09:46:00:617,720213,720213,0,0,30859443,0,4539 126,3,2024-09-07 09:46:00:909,1,566,12,0,268,6227,566,0 127,0,2024-09-07 09:46:01:603,136953,0.3,137228,0.5,274059,0.3,364762,1.75 127,1,2024-09-07 09:46:00:569,994663,994663,0,0,467097899149,4861662655,984460,8581,1622,364,392187,0 127,2,2024-09-07 09:46:00:656,717232,717228,4,0,29086359,0,5305 127,3,2024-09-07 09:46:01:269,1,566,19,0,968,5602,566,0 128,0,2024-09-07 09:46:01:546,143292,0.3,143335,0.4,286158,0.2,380719,1.50 128,1,2024-09-07 09:46:01:613,994860,994860,0,0,467803773794,4865532419,986880,7042,938,367,392423,0 128,2,2024-09-07 09:46:01:411,715960,715960,0,0,26858308,0,3171 128,3,2024-09-07 09:46:00:771,1,566,10,0,1082,9051,566,0 129,0,2024-09-07 09:46:00:990,144938,0.3,144191,0.5,288977,0.3,384196,1.50 129,1,2024-09-07 09:46:00:567,991385,991385,0,0,465960183117,4884593610,981229,8136,2020,379,391962,0 129,2,2024-09-07 09:46:00:691,715001,714997,4,0,29200666,0,5335 129,3,2024-09-07 09:46:00:690,1,566,1,0,506,8031,566,0 130,0,2024-09-07 09:46:01:742,144281,0.4,143671,0.6,288119,0.4,383468,1.75 130,1,2024-09-07 09:46:00:673,995736,995736,0,0,467635302431,4858769509,990438,4906,392,381,391825,0 130,2,2024-09-07 09:46:01:136,718379,718379,0,0,29207893,0,4067 130,3,2024-09-07 09:46:01:296,1,566,14,0,960,7697,566,0 131,0,2024-09-07 09:46:01:931,137271,0.4,137769,0.5,276051,0.3,366741,1.75 131,1,2024-09-07 09:46:01:829,994723,994723,0,0,466767514466,4866595479,987721,5809,1193,381,391865,0 131,2,2024-09-07 09:46:00:615,718377,718377,0,0,27491371,0,3979 131,3,2024-09-07 09:46:01:692,1,566,1,0,392,7321,566,0 132,0,2024-09-07 09:46:01:472,142004,0.5,142977,0.6,284736,0.4,379175,2.00 132,1,2024-09-07 09:46:00:582,990936,990936,0,0,465427105619,4899308173,976712,11584,2640,381,392532,0 132,2,2024-09-07 09:46:00:699,716285,716268,17,0,35644231,0,6451 132,3,2024-09-07 09:46:01:694,1,566,1,0,804,9243,566,0 133,0,2024-09-07 09:46:01:535,139980,0.4,143117,0.6,293540,0.4,382052,2.00 133,1,2024-09-07 09:46:00:590,990979,990979,0,0,465559615171,4905616983,977600,11670,1709,383,391914,0 133,2,2024-09-07 09:46:01:089,713512,713462,50,0,36039272,0,6861 133,3,2024-09-07 09:46:01:309,1,566,11,0,528,6945,566,0 134,0,2024-09-07 09:46:01:012,145195,0.5,145280,0.7,290803,0.5,386683,2.00 134,1,2024-09-07 09:46:00:592,992524,992524,0,0,465562739256,4880627578,980241,10127,2156,366,391781,0 134,2,2024-09-07 09:46:01:761,714598,714574,24,0,33651461,0,6207 134,3,2024-09-07 09:46:00:758,1,566,24,0,739,6664,566,0 135,0,2024-09-07 09:46:01:145,135164,0.9,135157,0.9,287029,1.0,369508,2.25 135,1,2024-09-07 09:46:01:597,991779,991779,0,0,465993301014,4899661386,979300,10877,1602,380,391805,0 135,2,2024-09-07 09:46:00:692,719022,719022,0,0,34586646,0,4503 135,3,2024-09-07 09:46:01:002,1,566,8,0,900,5552,566,0 136,0,2024-09-07 09:46:01:697,140086,0.6,140526,0.7,279599,0.5,373132,2.00 136,1,2024-09-07 09:46:01:445,992954,992954,0,0,466309592216,4887987642,981767,9820,1367,381,392135,0 136,2,2024-09-07 09:46:01:145,718184,718169,15,0,34130870,0,6007 136,3,2024-09-07 09:46:01:107,1,566,6,0,637,6758,566,0 137,0,2024-09-07 09:46:00:933,148310,0.6,144553,0.7,283156,0.6,384293,2.00 137,1,2024-09-07 09:46:00:582,991621,991621,0,0,466705372043,4893819655,976462,12108,3051,366,391898,0 137,2,2024-09-07 09:46:01:717,710857,710857,0,0,34949306,0,3185 137,3,2024-09-07 09:46:00:769,1,566,1,0,484,7361,566,0 138,0,2024-09-07 09:46:01:753,144046,0.7,144233,0.9,289036,0.8,384020,2.00 138,1,2024-09-07 09:46:01:692,992413,992413,0,0,466551926439,4893902684,978501,11672,2240,368,391954,0 138,2,2024-09-07 09:46:00:597,713252,713252,0,0,32609904,0,4988 138,3,2024-09-07 09:46:00:613,1,566,65,0,1200,9072,566,0 139,0,2024-09-07 09:46:01:376,140399,1.2,140936,1.0,281791,1.7,376093,2.25 139,1,2024-09-07 09:46:00:572,988390,988390,0,0,463400555556,4913415670,971127,13578,3685,380,392109,0 139,2,2024-09-07 09:46:00:698,714869,714869,0,0,37185719,0,3360 139,3,2024-09-07 09:46:01:671,1,566,14,0,432,6603,566,0 140,0,2024-09-07 09:46:01:602,138152,0.3,137459,0.5,275736,0.2,367763,1.75 140,1,2024-09-07 09:46:01:620,998284,998284,0,0,469179403901,4837652990,993340,4398,546,364,391606,0 140,2,2024-09-07 09:46:00:691,718571,718570,1,0,27763591,0,5036 140,3,2024-09-07 09:46:00:767,1,566,4,0,297,5184,566,0 141,0,2024-09-07 09:46:01:696,143432,0.3,147404,0.5,281656,0.2,381997,1.75 141,1,2024-09-07 09:46:00:859,996055,996055,0,0,467653263546,4859592362,988092,6784,1179,379,391614,0 141,2,2024-09-07 09:46:01:687,713968,713957,11,0,29455146,0,5369 141,3,2024-09-07 09:46:01:046,1,566,2,0,391,6320,566,0 142,0,2024-09-07 09:46:01:307,145042,0.3,144082,0.5,288702,0.2,385293,1.50 142,1,2024-09-07 09:46:00:590,994518,994518,0,0,467227789486,4870255471,988206,5810,502,382,392102,0 142,2,2024-09-07 09:46:01:312,713199,713167,32,0,29670023,0,6028 142,3,2024-09-07 09:46:01:746,1,566,20,0,484,5969,566,0 143,0,2024-09-07 09:46:01:408,142591,0.4,142712,0.6,286242,0.4,380195,1.75 143,1,2024-09-07 09:46:00:556,997108,997108,0,0,467793748042,4854466098,990734,5810,564,367,391722,0 143,2,2024-09-07 09:46:00:773,719532,719532,0,0,29804588,0,3123 143,3,2024-09-07 09:46:01:141,1,566,15,0,462,7091,566,0 144,0,2024-09-07 09:46:01:571,132948,0.6,136714,0.8,278217,0.5,364353,2.00 144,1,2024-09-07 09:46:00:566,991494,991494,0,0,465370815044,4883663615,981306,8201,1987,381,391733,0 144,2,2024-09-07 09:46:01:754,718218,718218,0,0,29176923,0,4443 144,3,2024-09-07 09:46:01:746,1,566,8,0,249,5538,566,0 145,0,2024-09-07 09:46:01:408,138029,0.5,137994,0.7,293007,0.5,377547,2.25 145,1,2024-09-07 09:46:00:566,991128,991128,0,0,465042470201,4896071874,977420,11037,2671,382,391759,0 145,2,2024-09-07 09:46:01:438,714122,714040,82,0,34569743,0,7814 145,3,2024-09-07 09:46:00:898,1,566,14,0,622,7768,566,0 146,0,2024-09-07 09:46:01:602,143059,0.6,142622,0.8,286423,0.6,380515,2.25 146,1,2024-09-07 09:46:01:588,992025,992025,0,0,465291607909,4897292095,975124,12435,4466,367,391770,0 146,2,2024-09-07 09:46:01:719,711808,711802,6,0,32320171,0,5151 146,3,2024-09-07 09:46:01:280,1,566,8,0,1520,9429,566,0 147,0,2024-09-07 09:46:01:730,144377,0.6,144064,0.7,287810,0.6,384044,2.25 147,1,2024-09-07 09:46:01:426,995823,995823,0,0,467858849360,4862735514,987746,7131,946,367,391791,0 147,2,2024-09-07 09:46:01:015,715298,715298,0,0,29035637,0,2968 147,3,2024-09-07 09:46:00:923,1,566,11,0,1626,9012,566,0 0,0,2024-09-07 09:46:11:712,139496,0.6,139508,0.7,295938,0.6,382305,2.00 0,1,2024-09-07 09:46:10:800,994382,994382,0,0,467267919940,4892646679,986196,7259,927,369,391896,0 0,2,2024-09-07 09:46:11:069,715139,715139,0,0,29098618,0,4480 0,3,2024-09-07 09:46:10:974,1,567,2,0,431,8171,567,0 1,0,2024-09-07 09:46:11:766,144926,0.9,143890,0.9,289467,1.1,386239,2.00 1,1,2024-09-07 09:46:10:581,992946,992946,0,0,466460183601,4888204838,983576,7882,1488,370,391859,0 1,2,2024-09-07 09:46:10:648,715952,715952,0,0,28575169,0,3380 1,3,2024-09-07 09:46:11:307,1,567,21,0,269,6919,567,0 2,0,2024-09-07 09:46:11:571,141114,0.6,140886,0.7,281774,0.6,375931,2.00 2,1,2024-09-07 09:46:10:859,996449,996449,0,0,468861869904,4876089405,990377,5123,949,379,391745,0 2,2,2024-09-07 09:46:11:271,720327,720327,0,0,27939299,0,3594 2,3,2024-09-07 09:46:10:691,1,567,19,0,357,5100,567,0 3,0,2024-09-07 09:46:11:748,139008,0.4,138794,0.6,277139,0.3,370107,2.00 3,1,2024-09-07 09:46:11:641,995284,995284,0,0,467152040299,4861094353,987713,6868,703,379,391716,0 3,2,2024-09-07 09:46:11:142,719598,719575,23,0,28869224,0,5851 3,3,2024-09-07 09:46:11:760,1,567,2,0,275,3773,567,0 4,0,2024-09-07 09:46:11:813,139677,0.4,143527,0.5,292720,0.3,382856,1.75 4,1,2024-09-07 09:46:10:606,992090,992090,0,0,464669015162,4921097315,974751,13631,3708,370,391992,0 4,2,2024-09-07 09:46:11:022,710887,710887,0,0,34502165,0,4534 4,3,2024-09-07 09:46:11:031,1,567,4,0,448,7056,567,0 5,0,2024-09-07 09:46:11:489,144693,0.4,144762,0.5,289670,0.3,385164,1.75 5,1,2024-09-07 09:46:10:770,992779,992779,0,0,466577049271,4912999899,978608,11358,2813,367,392005,0 5,2,2024-09-07 09:46:11:848,712799,712799,0,0,33400494,0,3582 5,3,2024-09-07 09:46:11:745,1,567,1,0,457,7600,567,0 6,0,2024-09-07 09:46:10:924,142700,0.5,142203,0.7,284658,0.4,379266,2.00 6,1,2024-09-07 09:46:10:746,994872,994872,0,0,467318713373,4882142002,984316,9034,1522,379,391702,0 6,2,2024-09-07 09:46:11:117,720638,720620,18,0,33069192,0,5535 6,3,2024-09-07 09:46:11:275,1,567,7,0,710,6993,567,0 7,0,2024-09-07 09:46:11:532,136529,0.5,137369,0.7,273422,0.5,364939,2.00 7,1,2024-09-07 09:46:10:854,993549,993549,0,0,466334296489,4899427062,979224,11916,2409,382,391747,0 7,2,2024-09-07 09:46:10:769,718102,718102,0,0,31643422,0,4791 7,3,2024-09-07 09:46:10:853,1,567,35,0,552,6458,567,0 8,0,2024-09-07 09:46:11:347,143226,0.3,142918,0.5,286297,0.3,381613,1.75 8,1,2024-09-07 09:46:11:031,991959,991959,0,0,466664357167,4909198754,975919,12500,3540,366,392853,0 8,2,2024-09-07 09:46:10:805,710315,710313,2,0,37175063,0,5112 8,3,2024-09-07 09:46:10:585,1,567,1,0,772,9091,567,0 9,0,2024-09-07 09:46:11:118,143809,0.4,140036,0.5,293122,0.3,384548,1.75 9,1,2024-09-07 09:46:10:556,992120,992120,0,0,465463102507,4905345579,976948,12185,2987,369,392001,0 9,2,2024-09-07 09:46:11:086,713946,713945,1,0,33954414,0,5281 9,3,2024-09-07 09:46:11:761,1,567,9,0,1273,9734,567,0 10,0,2024-09-07 09:46:11:600,143505,0.4,142986,0.5,286866,0.3,382200,1.75 10,1,2024-09-07 09:46:10:591,994116,994116,0,0,466822560303,4892902746,980333,11350,2433,381,391869,0 10,2,2024-09-07 09:46:10:769,719031,719031,0,0,37090426,0,4264 10,3,2024-09-07 09:46:10:871,1,567,21,0,669,6609,567,0 11,0,2024-09-07 09:46:11:018,137425,0.4,133245,0.6,278752,0.4,367518,1.75 11,1,2024-09-07 09:46:10:573,995700,995700,0,0,466951546517,4896042964,981417,10521,3762,383,391766,0 11,2,2024-09-07 09:46:11:125,717601,717601,0,0,33182154,0,4130 11,3,2024-09-07 09:46:11:304,1,567,1,0,843,7420,567,0 12,0,2024-09-07 09:46:11:021,143242,0.4,143310,0.5,286170,0.3,381014,1.75 12,1,2024-09-07 09:46:10:954,995118,995118,0,0,466709188050,4870146588,986839,7279,1000,370,391960,0 12,2,2024-09-07 09:46:11:553,716208,716208,0,0,32376217,0,4390 12,3,2024-09-07 09:46:11:059,1,567,2,0,386,7274,567,0 13,0,2024-09-07 09:46:11:418,144521,0.4,144436,0.5,288107,0.4,383806,1.75 13,1,2024-09-07 09:46:11:545,992494,992494,0,0,466341933116,4902326069,982192,7996,2306,382,391768,0 13,2,2024-09-07 09:46:10:595,715690,715690,0,0,28727716,0,3287 13,3,2024-09-07 09:46:11:766,1,567,35,0,522,7706,567,0 14,0,2024-09-07 09:46:10:566,145038,0.4,146107,0.6,289853,0.4,385957,1.75 14,1,2024-09-07 09:46:11:564,999446,999446,0,0,468960176712,4853672010,991597,6877,972,364,391673,0 14,2,2024-09-07 09:46:10:768,717386,717356,30,0,30402810,0,6104 14,3,2024-09-07 09:46:11:116,1,567,8,0,1168,6366,567,0 15,0,2024-09-07 09:46:11:563,139282,0.4,138592,0.6,278166,0.4,370292,2.00 15,1,2024-09-07 09:46:11:656,996320,996320,0,0,467360985833,4870279488,987289,7118,1913,381,391619,0 15,2,2024-09-07 09:46:10:999,721700,721700,0,0,26473325,0,3622 15,3,2024-09-07 09:46:11:408,1,567,4,0,1126,7587,567,0 16,0,2024-09-07 09:46:10:976,139781,0.6,140492,0.7,280535,0.5,373582,2.00 16,1,2024-09-07 09:46:10:570,996455,996455,0,0,467259968214,4886321064,987223,7792,1440,370,392194,0 16,2,2024-09-07 09:46:11:458,717988,717988,0,0,30169889,0,4719 16,3,2024-09-07 09:46:11:151,1,567,0,0,358,7087,567,0 17,0,2024-09-07 09:46:11:793,148185,0.6,144526,0.8,283101,0.6,384382,2.00 17,1,2024-09-07 09:46:10:572,994540,994540,0,0,466513357546,4895603501,984380,8394,1766,368,392075,0 17,2,2024-09-07 09:46:11:698,716187,716186,1,0,30887763,0,5050 17,3,2024-09-07 09:46:10:577,1,567,22,0,518,8627,567,0 18,0,2024-09-07 09:46:10:950,143451,0.7,144386,0.8,287652,0.7,384162,2.25 18,1,2024-09-07 09:46:11:641,998551,998551,0,0,468151295470,4856821181,991624,5670,1257,367,391725,0 18,2,2024-09-07 09:46:11:757,718133,718133,0,0,27487194,0,3541 18,3,2024-09-07 09:46:10:897,1,567,1,0,1059,5342,567,0 19,0,2024-09-07 09:46:11:557,141359,0.6,141552,0.8,282111,0.6,375471,2.00 19,1,2024-09-07 09:46:10:566,998199,998199,0,0,469345296043,4864824181,990877,6233,1089,367,391777,0 19,2,2024-09-07 09:46:11:757,723691,723691,0,0,26194333,0,3988 19,3,2024-09-07 09:46:11:129,1,567,1,0,524,4382,567,0 20,0,2024-09-07 09:46:11:412,137801,0.5,137889,0.7,275584,0.5,367355,2.00 20,1,2024-09-07 09:46:10:581,993907,993907,0,0,467615172376,4898947468,983747,8657,1503,369,391922,0 20,2,2024-09-07 09:46:10:954,718103,718103,0,0,32325064,0,4321 20,3,2024-09-07 09:46:10:595,1,567,1,0,468,9271,567,0 21,0,2024-09-07 09:46:11:146,143531,0.5,143711,0.6,287393,0.4,382113,2.00 21,1,2024-09-07 09:46:11:548,992487,992487,0,0,465979872674,4918272766,976828,12252,3407,368,392016,0 21,2,2024-09-07 09:46:11:079,711140,711120,20,0,36316565,0,5617 21,3,2024-09-07 09:46:11:413,1,567,10,0,713,7875,567,0 22,0,2024-09-07 09:46:11:719,144521,0.5,145004,0.7,289157,0.4,384104,2.00 22,1,2024-09-07 09:46:11:023,993649,993649,0,0,466539756178,4910714671,976899,13387,3363,382,391822,0 22,2,2024-09-07 09:46:10:760,713758,713732,26,0,30701877,0,6328 22,3,2024-09-07 09:46:11:068,1,567,8,0,228,4804,567,0 23,0,2024-09-07 09:46:11:367,142471,0.5,142179,0.7,284237,0.5,379008,2.25 23,1,2024-09-07 09:46:11:006,995119,995119,0,0,468065638021,4911636107,978238,12032,4849,365,391690,0 23,2,2024-09-07 09:46:11:092,721097,721097,0,0,29633186,0,3773 23,3,2024-09-07 09:46:11:757,1,567,1,0,855,8442,567,0 24,0,2024-09-07 09:46:10:827,138194,0.4,137473,0.6,276518,0.4,367033,1.75 24,1,2024-09-07 09:46:10:581,993791,993791,0,0,465979179372,4877642728,984411,7697,1683,367,392269,0 24,2,2024-09-07 09:46:11:077,717933,717933,0,0,35680810,0,4438 24,3,2024-09-07 09:46:11:686,1,567,99,0,468,7167,567,0 25,0,2024-09-07 09:46:11:361,146942,0.4,143038,0.6,280849,0.4,382494,1.75 25,1,2024-09-07 09:46:10:775,992319,992319,0,0,466175255618,4921732517,975535,13252,3532,369,391928,0 25,2,2024-09-07 09:46:11:657,714171,714171,0,0,36318107,0,3978 25,3,2024-09-07 09:46:11:012,1,567,17,0,532,6323,567,0 26,0,2024-09-07 09:46:11:721,142615,0.4,139588,0.6,293025,0.4,381217,2.00 26,1,2024-09-07 09:46:11:543,996242,996242,0,0,466599236789,4884471538,982825,10957,2460,380,391748,0 26,2,2024-09-07 09:46:10:861,713949,713949,0,0,38437165,0,4689 26,3,2024-09-07 09:46:11:712,1,567,4,0,796,6547,567,0 27,0,2024-09-07 09:46:11:728,144316,0.4,145026,0.6,288201,0.4,384120,2.25 27,1,2024-09-07 09:46:11:677,996087,996087,0,0,468945666727,4885399900,986680,8112,1295,381,391626,0 27,2,2024-09-07 09:46:10:867,714621,714556,65,0,33507566,0,5699 27,3,2024-09-07 09:46:11:016,1,567,5,0,564,5090,567,0 28,0,2024-09-07 09:46:11:451,138512,0.4,138267,0.6,277062,0.3,368810,2.00 28,1,2024-09-07 09:46:10:800,997178,997178,0,0,467671731224,4875146255,988806,6636,1736,382,391698,0 28,2,2024-09-07 09:46:11:771,719578,719578,0,0,29643718,0,2915 28,3,2024-09-07 09:46:11:776,1,567,1,0,502,5522,567,0 29,0,2024-09-07 09:46:11:360,145321,0.4,141432,0.6,277666,0.3,378861,1.75 29,1,2024-09-07 09:46:11:630,1000114,1000114,0,0,469284396741,4853683376,994030,5310,774,367,391809,0 29,2,2024-09-07 09:46:10:861,717794,717794,0,0,28576124,0,4986 29,3,2024-09-07 09:46:10:963,1,567,2,0,459,6310,567,0 30,0,2024-09-07 09:46:11:458,142684,0.5,138948,0.7,291154,0.4,380054,2.00 30,1,2024-09-07 09:46:10:572,998824,998824,0,0,469621521038,4872850163,991598,6319,907,380,391672,0 30,2,2024-09-07 09:46:11:274,714714,714714,0,0,27344514,0,4192 30,3,2024-09-07 09:46:10:581,1,567,8,0,519,5383,567,0 31,0,2024-09-07 09:46:11:768,144680,0.4,145392,0.6,289855,0.4,386858,2.00 31,1,2024-09-07 09:46:10:565,1002045,1002045,0,0,471161988496,4837736258,996163,4854,1028,356,391712,0 31,2,2024-09-07 09:46:11:276,715459,715459,0,0,30783845,0,4470 31,3,2024-09-07 09:46:11:709,1,567,0,0,239,4833,567,0 32,0,2024-09-07 09:46:11:416,141552,0.3,142367,0.5,283349,0.3,377375,1.75 32,1,2024-09-07 09:46:10:803,998373,998373,0,0,468146098083,4865740408,992327,5332,714,381,391646,0 32,2,2024-09-07 09:46:10:946,722148,722148,0,0,27199036,0,3922 32,3,2024-09-07 09:46:11:017,1,567,2,0,304,4484,567,0 33,0,2024-09-07 09:46:11:499,139578,0.3,139095,0.4,278534,0.2,371057,1.50 33,1,2024-09-07 09:46:10:579,998279,998279,0,0,469748236174,4867971746,989924,7086,1269,368,391730,0 33,2,2024-09-07 09:46:10:759,719703,719668,35,0,30092096,0,7012 33,3,2024-09-07 09:46:10:905,1,567,7,0,329,5027,567,0 34,0,2024-09-07 09:46:10:944,144147,0.3,148032,0.5,283171,0.2,382098,1.75 34,1,2024-09-07 09:46:11:052,1000930,1000930,0,0,469852294797,4834400482,997991,2830,109,367,391637,0 34,2,2024-09-07 09:46:10:766,715196,715196,0,0,27800102,0,4562 34,3,2024-09-07 09:46:11:687,1,567,12,0,320,4662,567,0 35,0,2024-09-07 09:46:10:859,143823,0.3,144637,0.5,290158,0.2,385770,1.75 35,1,2024-09-07 09:46:11:067,996871,996871,0,0,468569204801,4865272628,988803,6545,1523,382,391769,0 35,2,2024-09-07 09:46:11:586,715308,715308,0,0,30917694,0,4055 35,3,2024-09-07 09:46:10:907,1,567,8,0,466,5503,567,0 36,0,2024-09-07 09:46:11:531,142970,0.5,142808,0.7,285331,0.5,380049,2.00 36,1,2024-09-07 09:46:10:584,995740,995740,0,0,467320074164,4881674085,982652,10857,2231,366,391759,0 36,2,2024-09-07 09:46:11:768,720787,720787,0,0,32588578,0,3875 36,3,2024-09-07 09:46:10:866,1,567,1,0,556,7994,567,0 37,0,2024-09-07 09:46:11:367,136630,0.5,136588,0.7,273473,0.5,365299,2.25 37,1,2024-09-07 09:46:10:578,995216,995209,0,7,467059600816,4878187054,983045,9278,2886,365,391770,0 37,2,2024-09-07 09:46:11:142,716237,716222,15,0,32683001,0,5815 37,3,2024-09-07 09:46:11:767,1,567,2,0,888,7664,567,0 38,0,2024-09-07 09:46:11:439,142358,0.4,138092,0.6,288793,0.3,378401,2.00 38,1,2024-09-07 09:46:11:645,995729,995729,0,0,467878466012,4895856689,980810,11921,2998,368,391821,0 38,2,2024-09-07 09:46:10:760,714699,714652,47,0,32482056,0,6710 38,3,2024-09-07 09:46:10:998,1,567,11,0,689,7022,567,0 39,0,2024-09-07 09:46:11:761,147164,0.5,143839,0.7,280560,0.5,383466,2.00 39,1,2024-09-07 09:46:10:719,994892,994892,0,0,467510949332,4902461174,978208,12987,3697,365,391865,0 39,2,2024-09-07 09:46:11:420,715107,715107,0,0,30139575,0,3391 39,3,2024-09-07 09:46:10:721,1,567,1,0,525,6530,567,0 40,0,2024-09-07 09:46:11:499,142221,0.9,142841,1.0,285002,1.0,380296,2.75 40,1,2024-09-07 09:46:10:580,996030,996030,0,0,466632900687,4887754803,981622,11627,2781,368,391668,0 40,2,2024-09-07 09:46:11:304,717052,717051,1,0,36072755,0,5137 40,3,2024-09-07 09:46:11:146,1,567,2,0,1028,8268,567,0 41,0,2024-09-07 09:46:11:026,136796,1.2,139889,1.1,266835,1.6,363047,3.00 41,1,2024-09-07 09:46:10:776,995107,995107,0,0,466302848122,4878504168,982390,10651,2066,369,391878,0 41,2,2024-09-07 09:46:10:759,715485,715484,1,0,34937018,0,5408 41,3,2024-09-07 09:46:11:678,1,567,1,0,366,6039,567,0 42,0,2024-09-07 09:46:11:473,141724,0.9,141437,1.0,282856,1.0,376059,2.50 42,1,2024-09-07 09:46:11:444,992616,992616,0,0,465667869075,4894950350,976919,12769,2928,380,391675,0 42,2,2024-09-07 09:46:11:137,715654,715654,0,0,34741603,0,3975 42,3,2024-09-07 09:46:11:008,1,567,8,0,892,5448,567,0 43,0,2024-09-07 09:46:10:922,142074,0.7,138324,0.9,289812,0.8,378759,2.25 43,1,2024-09-07 09:46:10:579,995553,995553,0,0,467410867273,4883876653,981798,11355,2400,366,391696,0 43,2,2024-09-07 09:46:11:736,714548,714548,0,0,33059658,0,4723 43,3,2024-09-07 09:46:11:758,1,567,2,0,571,8272,567,0 44,0,2024-09-07 09:46:10:870,145297,0.4,145226,0.6,290875,0.4,386434,1.75 44,1,2024-09-07 09:46:10:565,998242,998242,0,0,468410852613,4843909749,989119,7184,1939,356,391809,0 44,2,2024-09-07 09:46:11:269,714754,714754,0,0,27315134,0,4344 44,3,2024-09-07 09:46:11:114,1,567,2,0,1097,7210,567,0 45,0,2024-09-07 09:46:11:764,137841,0.5,134607,0.7,282522,0.4,370370,2.00 45,1,2024-09-07 09:46:11:008,996932,996932,0,0,468714938603,4871542826,988869,7160,903,382,391917,0 45,2,2024-09-07 09:46:11:272,720600,720600,0,0,27858104,0,3596 45,3,2024-09-07 09:46:10:946,1,567,2,0,531,5646,567,0 46,0,2024-09-07 09:46:10:955,139310,0.5,138954,0.7,278712,0.5,370476,2.00 46,1,2024-09-07 09:46:10:580,999031,999031,0,0,470041545241,4858742188,991946,6221,864,366,391709,0 46,2,2024-09-07 09:46:10:593,719320,719320,0,0,28564934,0,4443 46,3,2024-09-07 09:46:11:131,1,567,12,0,908,6982,567,0 47,0,2024-09-07 09:46:11:127,144045,0.4,143998,0.6,289057,0.4,382844,2.00 47,1,2024-09-07 09:46:10:571,1000195,1000195,0,0,469010583129,4846045438,994433,4909,853,365,391641,0 47,2,2024-09-07 09:46:10:914,717226,717226,0,0,27475163,0,4477 47,3,2024-09-07 09:46:11:118,1,567,4,0,600,6364,567,0 48,0,2024-09-07 09:46:11:502,145168,0.3,145361,0.4,290105,0.2,385955,1.50 48,1,2024-09-07 09:46:11:038,997696,997696,0,0,468167092457,4863495759,991709,5411,576,384,391710,0 48,2,2024-09-07 09:46:10:702,716141,716141,0,0,25735422,0,3524 48,3,2024-09-07 09:46:10:764,1,567,4,0,339,4693,567,0 49,0,2024-09-07 09:46:11:734,145669,0.3,142880,0.5,277969,0.3,379652,1.75 49,1,2024-09-07 09:46:11:032,996771,996771,0,0,468303838183,4872296280,989764,5313,1694,382,391809,0 49,2,2024-09-07 09:46:11:797,721918,721918,0,0,28430525,0,4426 49,3,2024-09-07 09:46:11:431,1,567,1,0,992,6719,567,0 50,0,2024-09-07 09:46:11:512,138587,0.3,136874,0.5,275738,0.2,367136,1.75 50,1,2024-09-07 09:46:11:010,1000004,1000004,0,0,469760288327,4856452198,993677,5658,669,368,391565,0 50,2,2024-09-07 09:46:11:068,718640,718640,0,0,25952808,0,4490 50,3,2024-09-07 09:46:11:291,1,567,1,0,617,5887,567,0 51,0,2024-09-07 09:46:11:690,147457,0.3,144444,0.5,281212,0.2,384045,1.75 51,1,2024-09-07 09:46:11:680,1000803,1000803,0,0,469894979360,4847330693,995187,4536,1080,365,391706,0 51,2,2024-09-07 09:46:11:323,714667,714667,0,0,25465428,0,3337 51,3,2024-09-07 09:46:11:029,1,567,1,0,678,4049,567,0 52,0,2024-09-07 09:46:11:423,145037,0.5,144608,0.6,289419,0.4,384977,2.00 52,1,2024-09-07 09:46:10:579,995860,995860,0,0,467551110544,4894570565,981531,12114,2215,368,391805,0 52,2,2024-09-07 09:46:11:761,712011,711973,38,0,34096988,0,6742 52,3,2024-09-07 09:46:10:687,1,567,2,0,1782,7010,567,0 53,0,2024-09-07 09:46:11:738,142143,0.7,137913,0.8,288478,0.7,378223,2.50 53,1,2024-09-07 09:46:10:777,994358,994358,0,0,467623205333,4908161986,977158,12997,4203,367,391968,0 53,2,2024-09-07 09:46:11:304,719742,719741,1,0,31185189,0,5455 53,3,2024-09-07 09:46:10:704,1,567,1,0,308,5265,567,0 54,0,2024-09-07 09:46:11:612,135455,0.6,135872,0.8,270464,0.4,361026,2.25 54,1,2024-09-07 09:46:10:579,996406,996406,0,0,468304437672,4871411404,985691,9053,1662,366,391810,0 54,2,2024-09-07 09:46:10:866,718786,718754,32,0,34548189,0,6397 54,3,2024-09-07 09:46:10:766,1,567,8,0,676,7600,567,0 55,0,2024-09-07 09:46:11:765,137969,0.6,142331,0.7,288653,0.5,376676,2.50 55,1,2024-09-07 09:46:10:769,997359,997359,0,0,466970007568,4859398847,986646,9325,1388,365,391731,0 55,2,2024-09-07 09:46:10:732,714498,714442,56,0,32441511,0,7239 55,3,2024-09-07 09:46:10:676,1,567,1,0,304,5288,567,0 56,0,2024-09-07 09:46:11:587,146289,1.1,137974,1.1,284026,1.5,380695,2.75 56,1,2024-09-07 09:46:10:584,991408,991408,0,0,465712848786,4923998914,974723,13215,3470,381,391867,0 56,2,2024-09-07 09:46:11:317,713652,713530,122,0,33608650,0,7432 56,3,2024-09-07 09:46:11:068,1,567,5,0,705,6671,567,0 57,0,2024-09-07 09:46:10:947,142522,1.5,142503,1.2,285121,2.1,380922,3.25 57,1,2024-09-07 09:46:10:986,993379,993379,0,0,466487055850,4897400183,979920,11120,2339,366,392032,0 57,2,2024-09-07 09:46:11:318,717284,717284,0,0,35176322,0,4317 57,3,2024-09-07 09:46:11:743,1,567,3,0,455,6519,567,0 58,0,2024-09-07 09:46:10:555,136427,0.9,132353,1.0,276950,1.1,362317,2.50 58,1,2024-09-07 09:46:10:580,995081,995078,0,3,467775442436,4903164027,980045,11341,3692,367,391675,3 58,2,2024-09-07 09:46:11:070,719370,719370,0,0,33279792,0,3483 58,3,2024-09-07 09:46:11:080,1,567,20,0,1043,6449,567,0 59,0,2024-09-07 09:46:11:741,140740,0.8,140457,0.9,280574,0.8,372868,2.75 59,1,2024-09-07 09:46:10:805,993781,993781,0,0,466597635539,4901975827,977574,12876,3331,369,391653,0 59,2,2024-09-07 09:46:10:586,717504,717504,0,0,31771754,0,3727 59,3,2024-09-07 09:46:11:743,1,567,1,0,1015,6917,567,0 60,0,2024-09-07 09:46:11:724,143389,0.5,143762,0.7,287246,0.5,382337,1.75 60,1,2024-09-07 09:46:10:783,998869,998869,0,0,469045280528,4873013998,991629,6244,996,370,392031,0 60,2,2024-09-07 09:46:11:168,714606,714606,0,0,29792193,0,3811 60,3,2024-09-07 09:46:11:261,1,567,1,0,409,6571,567,0 61,0,2024-09-07 09:46:11:529,144847,0.6,145445,0.8,289614,0.6,386273,2.00 61,1,2024-09-07 09:46:10:784,994918,994918,0,0,467226083357,4893106329,984139,9118,1661,382,392127,0 61,2,2024-09-07 09:46:11:116,716168,716101,67,0,30742656,0,6411 61,3,2024-09-07 09:46:11:687,1,567,10,0,607,7734,567,0 62,0,2024-09-07 09:46:11:748,141830,0.6,145495,0.7,277731,0.6,377294,2.00 62,1,2024-09-07 09:46:11:118,1001168,1001162,0,6,470828991207,4853631241,996496,4382,284,365,391975,6 62,2,2024-09-07 09:46:11:653,718483,718482,1,0,31030881,0,5555 62,3,2024-09-07 09:46:11:143,1,567,0,0,482,4547,567,0 63,0,2024-09-07 09:46:11:472,139589,0.4,139296,0.6,279223,0.4,371396,1.75 63,1,2024-09-07 09:46:10:803,997818,997812,0,6,468306671116,4863744505,991175,5783,854,381,391800,6 63,2,2024-09-07 09:46:10:761,719153,719153,0,0,29209391,0,4369 63,3,2024-09-07 09:46:11:732,1,567,3,0,667,6088,567,0 64,0,2024-09-07 09:46:11:552,143131,0.5,143226,0.7,285668,0.4,380499,2.00 64,1,2024-09-07 09:46:10:777,996608,996608,0,0,468909735033,4884964607,987370,7263,1975,370,391794,0 64,2,2024-09-07 09:46:11:159,718001,717982,19,0,27961274,0,6121 64,3,2024-09-07 09:46:11:144,1,567,2,0,651,6130,567,0 65,0,2024-09-07 09:46:11:701,143591,0.6,143780,0.7,287364,0.6,383229,2.00 65,1,2024-09-07 09:46:10:876,995223,995223,0,0,466820305244,4879725408,987973,6393,857,381,391901,0 65,2,2024-09-07 09:46:11:698,714665,714665,0,0,33260403,0,3367 65,3,2024-09-07 09:46:11:685,1,567,23,0,782,6485,567,0 66,0,2024-09-07 09:46:11:783,142049,0.6,141522,0.8,283293,0.5,377167,2.25 66,1,2024-09-07 09:46:11:293,997582,997582,0,0,468207884418,4873146461,991107,5753,722,380,391743,0 66,2,2024-09-07 09:46:11:131,722918,722915,3,0,30180986,0,5455 66,3,2024-09-07 09:46:11:091,1,567,7,0,291,4884,567,0 67,0,2024-09-07 09:46:11:458,137066,0.5,136797,0.7,274343,0.5,365685,2.00 67,1,2024-09-07 09:46:10:787,996796,996795,0,1,468191321569,4874976043,989722,6131,942,380,391787,1 67,2,2024-09-07 09:46:10:584,721055,721040,15,0,30072808,0,6205 67,3,2024-09-07 09:46:11:762,1,567,2,0,595,6005,567,0 68,0,2024-09-07 09:46:10:741,143174,0.5,142983,0.7,284799,0.5,380885,2.00 68,1,2024-09-07 09:46:10:598,993140,993140,0,0,466670530877,4907529728,979930,9751,3459,381,391953,0 68,2,2024-09-07 09:46:11:053,711230,711130,100,0,37515239,0,8578 68,3,2024-09-07 09:46:10:733,1,567,11,0,417,7509,567,0 69,0,2024-09-07 09:46:11:729,143276,0.7,144165,0.8,287194,0.7,381974,2.25 69,1,2024-09-07 09:46:11:017,992323,992323,0,0,466166449802,4913629253,979066,10479,2778,383,391994,0 69,2,2024-09-07 09:46:11:733,712823,712794,29,0,39329382,0,6912 69,3,2024-09-07 09:46:10:759,1,567,2,0,698,8341,567,0 70,0,2024-09-07 09:46:11:544,141931,0.8,142313,1.0,286343,0.7,379143,2.50 70,1,2024-09-07 09:46:10:800,998288,998288,0,0,469418940494,4870783313,990622,6902,764,366,391725,0 70,2,2024-09-07 09:46:11:331,718815,718815,0,0,32791524,0,4323 70,3,2024-09-07 09:46:10:745,1,567,1,0,854,6457,567,0 71,0,2024-09-07 09:46:11:357,136140,1.0,135946,1.0,272930,1.3,364575,2.75 71,1,2024-09-07 09:46:11:600,996232,996232,0,0,467841194521,4882619753,984136,10746,1350,368,391738,0 71,2,2024-09-07 09:46:11:089,717892,717892,0,0,33405669,0,4352 71,3,2024-09-07 09:46:11:754,1,567,1,0,644,6573,567,0 72,0,2024-09-07 09:46:11:077,147004,0.5,143615,0.7,280407,0.5,381660,2.00 72,1,2024-09-07 09:46:11:023,994407,994407,0,0,466503947464,4894093112,980128,11884,2395,369,391819,0 72,2,2024-09-07 09:46:11:764,715358,715358,0,0,34909503,0,3983 72,3,2024-09-07 09:46:11:759,1,567,3,0,564,8327,567,0 73,0,2024-09-07 09:46:11:152,139977,0.5,143442,0.6,293532,0.4,381557,2.00 73,1,2024-09-07 09:46:10:777,996503,996503,0,0,467878385791,4862911293,988964,6612,927,367,391858,0 73,2,2024-09-07 09:46:11:740,714108,714108,0,0,35151855,0,4728 73,3,2024-09-07 09:46:10:975,1,567,37,0,1091,8127,567,0 74,0,2024-09-07 09:46:11:322,146025,0.5,149523,0.7,285191,0.4,386689,2.00 74,1,2024-09-07 09:46:10:637,995071,995071,0,0,467204733058,4877251750,984016,9077,1978,381,391762,0 74,2,2024-09-07 09:46:11:006,715525,715525,0,0,30860973,0,4253 74,3,2024-09-07 09:46:11:443,1,567,1,0,522,6818,567,0 75,0,2024-09-07 09:46:11:768,139790,0.6,138788,0.8,278839,0.5,371884,2.25 75,1,2024-09-07 09:46:11:587,996860,996860,0,0,467277051338,4870155060,988676,7318,866,380,391739,0 75,2,2024-09-07 09:46:11:350,718425,718425,0,0,37616693,0,4766 75,3,2024-09-07 09:46:11:090,1,567,10,0,702,6880,567,0 76,0,2024-09-07 09:46:10:607,139342,0.6,138842,0.8,277780,0.5,371790,2.25 76,1,2024-09-07 09:46:10:811,995905,995905,0,0,467033904035,4870734467,988984,5951,970,382,391723,0 76,2,2024-09-07 09:46:11:062,720672,720669,3,0,31127937,0,5265 76,3,2024-09-07 09:46:11:146,1,567,8,0,227,5156,567,0 77,0,2024-09-07 09:46:11:724,143328,0.6,143897,0.7,287647,0.5,382154,2.00 77,1,2024-09-07 09:46:10:826,995751,995751,0,0,467898456122,4882303390,988024,6853,874,381,391869,0 77,2,2024-09-07 09:46:11:282,713861,713861,0,0,30069394,0,3890 77,3,2024-09-07 09:46:11:117,1,567,1,0,401,6331,567,0 78,0,2024-09-07 09:46:11:718,145361,0.4,144849,0.6,290323,0.4,384622,2.00 78,1,2024-09-07 09:46:10:613,996220,996220,0,0,467272816560,4873972257,984332,9221,2667,367,391670,0 78,2,2024-09-07 09:46:11:440,716939,716926,13,0,28334164,0,8313 78,3,2024-09-07 09:46:11:140,1,567,1,0,311,4858,567,0 79,0,2024-09-07 09:46:11:344,136950,0.4,140273,0.6,287482,0.3,374380,2.00 79,1,2024-09-07 09:46:10:572,999393,999393,0,0,468943951632,4856633660,991602,6432,1359,367,391682,0 79,2,2024-09-07 09:46:11:068,721387,721387,0,0,27562931,0,4195 79,3,2024-09-07 09:46:10:754,1,567,4,0,418,6851,567,0 80,0,2024-09-07 09:46:11:095,137804,0.5,141448,0.7,270598,0.5,366195,2.00 80,1,2024-09-07 09:46:11:639,996376,996376,0,0,468260546891,4874927520,989986,5956,434,368,392269,0 80,2,2024-09-07 09:46:11:099,721032,721032,0,0,28141082,0,4433 80,3,2024-09-07 09:46:10:580,1,567,1,0,681,7213,567,0 81,0,2024-09-07 09:46:11:567,143713,0.5,147104,0.7,280404,0.5,380915,2.00 81,1,2024-09-07 09:46:11:663,995033,995033,0,0,466944362445,4881629151,986913,7357,763,382,391885,0 81,2,2024-09-07 09:46:11:126,712980,712917,63,0,31043905,0,5932 81,3,2024-09-07 09:46:11:117,1,567,1,0,719,6886,567,0 82,0,2024-09-07 09:46:11:561,144314,0.5,144537,0.7,289612,0.4,384042,2.00 82,1,2024-09-07 09:46:10:584,997916,997912,0,4,467855854098,4864386994,992374,4715,823,381,391768,4 82,2,2024-09-07 09:46:11:699,717455,717455,0,0,26051216,0,4484 82,3,2024-09-07 09:46:11:758,1,567,34,0,363,5426,567,0 83,0,2024-09-07 09:46:11:534,142764,0.6,142851,0.7,285056,0.6,378348,2.25 83,1,2024-09-07 09:46:10:569,995707,995707,0,0,467181326092,4871535196,988350,6713,644,382,391709,0 83,2,2024-09-07 09:46:10:777,720355,720330,25,0,28367230,0,5612 83,3,2024-09-07 09:46:10:756,1,567,1,0,1260,6984,567,0 84,0,2024-09-07 09:46:11:782,136153,0.7,136424,0.9,272383,0.6,364221,2.25 84,1,2024-09-07 09:46:11:041,994221,994221,0,0,466460802166,4879413286,982488,10063,1670,367,391967,0 84,2,2024-09-07 09:46:10:581,718336,718306,30,0,36900651,0,5971 84,3,2024-09-07 09:46:11:147,1,567,81,0,908,7718,567,0 85,0,2024-09-07 09:46:11:016,137549,0.6,137513,0.8,291944,0.5,377812,2.25 85,1,2024-09-07 09:46:10:563,991682,991682,0,0,465833846086,4920434690,976055,12866,2761,381,392092,0 85,2,2024-09-07 09:46:10:867,714804,714804,0,0,34555949,0,4255 85,3,2024-09-07 09:46:10:704,1,567,107,0,789,6730,567,0 86,0,2024-09-07 09:46:10:922,143372,0.6,147359,0.8,281734,0.7,381480,2.25 86,1,2024-09-07 09:46:10:825,994108,994108,0,0,467999501547,4908007895,980838,10614,2656,366,391995,0 86,2,2024-09-07 09:46:10:881,713350,713349,1,0,36662565,0,5004 86,3,2024-09-07 09:46:10:589,1,567,3,0,308,8064,567,0 87,0,2024-09-07 09:46:11:324,144422,0.8,143968,0.8,287881,1.0,384499,2.25 87,1,2024-09-07 09:46:10:607,993703,993703,0,0,467331656634,4894982675,981128,10855,1720,366,392076,0 87,2,2024-09-07 09:46:11:106,716215,716209,6,0,33316908,0,6323 87,3,2024-09-07 09:46:11:799,1,567,8,0,473,8446,567,0 88,0,2024-09-07 09:46:11:453,138210,0.5,138576,0.6,277064,0.4,368670,1.75 88,1,2024-09-07 09:46:10:580,993139,993139,0,0,466402624917,4886033830,979548,10852,2739,365,392084,0 88,2,2024-09-07 09:46:10:688,718511,718511,0,0,36473490,0,4465 88,3,2024-09-07 09:46:11:272,1,567,2,0,1080,8568,567,0 89,0,2024-09-07 09:46:11:888,145405,0.4,141078,0.6,278303,0.4,378915,1.75 89,1,2024-09-07 09:46:10:562,992571,992571,0,0,466643190759,4909316864,980000,10638,1933,382,391866,0 89,2,2024-09-07 09:46:11:169,717217,717217,0,0,33847315,0,3173 89,3,2024-09-07 09:46:11:792,1,567,1,0,468,9541,567,0 90,0,2024-09-07 09:46:11:688,139278,0.5,143054,0.6,291719,0.4,380624,2.00 90,1,2024-09-07 09:46:10:620,994394,994394,0,0,467163108350,4893587708,984710,8795,889,380,391825,0 90,2,2024-09-07 09:46:11:445,711078,711078,0,0,36270001,0,3608 90,3,2024-09-07 09:46:10:946,1,567,8,0,322,6889,567,0 91,0,2024-09-07 09:46:11:020,145477,0.5,141135,0.6,295145,0.4,386923,1.75 91,1,2024-09-07 09:46:10:570,991860,991860,0,0,467091186306,4918695958,977714,11409,2737,381,392047,0 91,2,2024-09-07 09:46:11:339,715880,715880,0,0,31874018,0,4713 91,3,2024-09-07 09:46:10:600,1,567,2,0,216,5204,567,0 92,0,2024-09-07 09:46:11:465,142923,0.5,146186,0.6,278593,0.5,377552,1.75 92,1,2024-09-07 09:46:10:581,995594,995594,0,0,467551949767,4883658703,987773,6611,1210,381,392136,0 92,2,2024-09-07 09:46:11:355,720745,720745,0,0,29051317,0,3259 92,3,2024-09-07 09:46:11:009,1,567,1,0,167,4847,567,0 93,0,2024-09-07 09:46:10:963,139809,0.4,143291,0.6,273494,0.4,371364,1.75 93,1,2024-09-07 09:46:10:876,995833,995833,0,0,467742573229,4883694172,984519,9389,1925,366,391776,0 93,2,2024-09-07 09:46:10:942,718536,718536,0,0,34793186,0,4913 93,3,2024-09-07 09:46:11:408,1,567,11,0,294,5619,567,0 94,0,2024-09-07 09:46:11:717,143313,0.4,144361,0.5,288635,0.3,383236,1.75 94,1,2024-09-07 09:46:10:595,996516,996516,0,0,467553552211,4877186999,989788,6298,430,381,391850,0 94,2,2024-09-07 09:46:10:785,714095,714067,28,0,29306067,0,6179 94,3,2024-09-07 09:46:11:700,1,567,14,0,576,7019,567,0 95,0,2024-09-07 09:46:11:538,144638,0.3,144659,0.5,289499,0.3,385789,1.75 95,1,2024-09-07 09:46:10:881,997887,997887,0,0,467589776520,4860626635,989726,7460,701,365,391852,0 95,2,2024-09-07 09:46:11:051,714633,714633,0,0,28958316,0,3308 95,3,2024-09-07 09:46:11:709,1,567,107,0,718,8796,567,0 96,0,2024-09-07 09:46:11:027,142435,0.4,142763,0.5,285441,0.3,379420,1.75 96,1,2024-09-07 09:46:11:586,995481,995481,0,0,467586462135,4878103694,988216,5994,1271,384,391964,0 96,2,2024-09-07 09:46:11:272,721391,721391,0,0,29927732,0,4180 96,3,2024-09-07 09:46:11:143,1,567,1,0,411,6572,567,0 97,0,2024-09-07 09:46:11:332,137113,0.3,137128,0.5,275117,0.3,365558,1.75 97,1,2024-09-07 09:46:10:767,997554,997554,0,0,468863169273,4863888212,991197,5332,1025,367,392140,0 97,2,2024-09-07 09:46:10:614,720029,720029,0,0,29840957,0,4046 97,3,2024-09-07 09:46:10:580,1,567,17,0,242,6440,567,0 98,0,2024-09-07 09:46:11:713,142671,0.3,142756,0.4,286497,0.2,380775,1.50 98,1,2024-09-07 09:46:10:623,996620,996620,0,0,467737690812,4871600689,990425,5376,819,381,391997,0 98,2,2024-09-07 09:46:10:833,715654,715654,0,0,28616638,0,4336 98,3,2024-09-07 09:46:10:730,1,567,80,0,840,8692,567,0 99,0,2024-09-07 09:46:11:589,144180,0.3,144873,0.5,288172,0.3,384778,1.75 99,1,2024-09-07 09:46:11:726,997701,997701,0,0,467725901470,4867656884,991479,5293,929,380,392069,0 99,2,2024-09-07 09:46:11:425,715022,715022,0,0,34901994,0,4276 99,3,2024-09-07 09:46:10:599,1,567,187,0,1124,7224,567,0 100,0,2024-09-07 09:46:11:495,143181,0.8,143435,0.9,286505,0.9,382570,2.50 100,1,2024-09-07 09:46:10:548,991697,991697,0,0,465568390094,4924390540,976017,12391,3289,378,391989,0 100,2,2024-09-07 09:46:11:817,716509,716498,11,0,34148454,0,5417 100,3,2024-09-07 09:46:11:736,1,567,1,0,627,9823,567,0 101,0,2024-09-07 09:46:11:760,140347,1.1,136944,1.0,267998,1.0,366764,2.25 101,1,2024-09-07 09:46:10:554,993100,993100,0,0,466196609382,4899942109,979600,10996,2504,368,391847,0 101,2,2024-09-07 09:46:11:758,714143,714143,0,0,38629361,0,4871 101,3,2024-09-07 09:46:10:946,1,567,18,0,1250,8797,567,0 102,0,2024-09-07 09:46:11:026,138482,0.6,142706,0.7,289635,0.6,379226,2.00 102,1,2024-09-07 09:46:11:148,993322,993322,0,0,465919720469,4894644374,979897,11272,2153,369,391984,0 102,2,2024-09-07 09:46:11:822,717499,717445,54,0,32882695,0,6768 102,3,2024-09-07 09:46:11:614,1,567,1,0,466,6527,567,0 103,0,2024-09-07 09:46:11:630,148323,0.6,148287,0.7,279446,0.6,384679,2.00 103,1,2024-09-07 09:46:11:627,991802,991802,0,0,465413539687,4917095026,975605,12607,3590,381,392077,0 103,2,2024-09-07 09:46:10:598,712210,712210,0,0,34806308,0,3766 103,3,2024-09-07 09:46:10:791,1,567,1,0,916,6988,567,0 104,0,2024-09-07 09:46:11:015,144106,0.7,144251,0.9,287726,0.7,384864,2.25 104,1,2024-09-07 09:46:11:608,994750,994750,0,0,466339627371,4898690610,980678,11483,2589,365,392168,0 104,2,2024-09-07 09:46:11:670,713843,713843,0,0,34192085,0,3941 104,3,2024-09-07 09:46:11:421,1,567,1,0,1245,10313,567,0 105,0,2024-09-07 09:46:11:029,138067,0.9,134599,1.0,281971,1.0,369987,2.50 105,1,2024-09-07 09:46:10:554,996074,996074,0,0,467902840964,4900170491,983884,10382,1808,364,392009,0 105,2,2024-09-07 09:46:11:321,718523,718523,0,0,35118453,0,4360 105,3,2024-09-07 09:46:11:309,1,567,6,0,573,8761,567,0 106,0,2024-09-07 09:46:10:978,135195,0.8,138426,0.9,283791,0.8,370586,2.50 106,1,2024-09-07 09:46:11:758,994631,994631,0,0,467489742535,4905503327,980916,11981,1734,368,391914,0 106,2,2024-09-07 09:46:10:765,717281,717281,0,0,32543225,0,2920 106,3,2024-09-07 09:46:10:679,1,567,10,0,1224,8234,567,0 107,0,2024-09-07 09:46:11:114,143509,1.0,143658,0.9,287328,1.2,382839,2.25 107,1,2024-09-07 09:46:10:596,992409,992409,0,0,466005316051,4919042094,977453,13229,1727,381,392234,0 107,2,2024-09-07 09:46:11:292,711092,711091,1,0,35028938,0,5024 107,3,2024-09-07 09:46:11:761,1,567,3,0,733,8819,567,0 108,0,2024-09-07 09:46:11:862,144791,0.4,145265,0.6,289531,0.4,386159,1.75 108,1,2024-09-07 09:46:11:315,995406,995406,0,0,468271305430,4885708779,986909,7520,977,367,391894,0 108,2,2024-09-07 09:46:11:764,715146,715146,0,0,31177600,0,4246 108,3,2024-09-07 09:46:11:332,1,567,1,0,749,10663,567,0 109,0,2024-09-07 09:46:11:769,142508,0.4,141219,0.6,283571,0.4,378723,1.75 109,1,2024-09-07 09:46:10:596,992891,992891,0,0,466977759944,4898644338,984064,7605,1222,382,392132,0 109,2,2024-09-07 09:46:10:923,718810,718810,0,0,32136668,0,3617 109,3,2024-09-07 09:46:11:140,1,567,1,0,630,7122,567,0 110,0,2024-09-07 09:46:11:775,137873,0.4,134023,0.6,280787,0.4,368226,1.75 110,1,2024-09-07 09:46:11:663,997434,997434,0,0,468789521745,4868758744,989532,5978,1924,368,392045,0 110,2,2024-09-07 09:46:11:317,719238,719238,0,0,29740922,0,4067 110,3,2024-09-07 09:46:10:699,1,567,12,0,722,7835,567,0 111,0,2024-09-07 09:46:11:418,144386,0.4,143561,0.5,287308,0.3,383715,1.75 111,1,2024-09-07 09:46:11:001,998830,998830,0,0,469750601144,4870791880,993945,4522,363,380,391690,0 111,2,2024-09-07 09:46:11:118,714210,714210,0,0,29895612,0,4823 111,3,2024-09-07 09:46:10:916,1,567,113,0,379,6374,567,0 112,0,2024-09-07 09:46:10:931,145273,0.3,144678,0.4,290145,0.2,385240,1.50 112,1,2024-09-07 09:46:10:824,997244,997244,0,0,468764972938,4869738254,990083,5936,1225,380,391624,0 112,2,2024-09-07 09:46:11:137,715269,715268,1,0,28526685,0,5036 112,3,2024-09-07 09:46:10:597,1,567,1,0,282,5357,567,0 113,0,2024-09-07 09:46:10:946,143009,0.3,142941,0.5,286168,0.2,380677,1.75 113,1,2024-09-07 09:46:11:686,999526,999526,0,0,469990397689,4850967684,993451,5129,946,365,391664,0 113,2,2024-09-07 09:46:11:319,722823,722823,0,0,26741067,0,3813 113,3,2024-09-07 09:46:10:700,1,567,11,0,510,6228,567,0 114,0,2024-09-07 09:46:10:887,137644,0.3,138592,0.5,275514,0.2,368326,1.75 114,1,2024-09-07 09:46:10:716,997523,997523,0,0,468790236899,4869452438,989767,6011,1745,381,391565,0 114,2,2024-09-07 09:46:10:880,720246,720245,1,0,28324980,0,5069 114,3,2024-09-07 09:46:11:278,1,567,0,0,395,4507,567,0 115,0,2024-09-07 09:46:10:572,143514,0.3,143891,0.4,286746,0.2,381857,1.50 115,1,2024-09-07 09:46:10:579,997855,997855,0,0,468261494503,4866054523,989855,6494,1506,382,391757,0 115,2,2024-09-07 09:46:11:136,718522,718522,0,0,28139889,0,4382 115,3,2024-09-07 09:46:11:004,1,567,2,0,167,3314,567,0 116,0,2024-09-07 09:46:11:790,143092,0.7,142777,0.8,286302,0.6,382667,2.00 116,1,2024-09-07 09:46:10:804,992979,992979,0,0,466427618840,4918528792,980647,9269,3063,380,392089,0 116,2,2024-09-07 09:46:11:763,714139,714139,0,0,35057357,0,4475 116,3,2024-09-07 09:46:10:916,1,567,2,0,448,7477,567,0 117,0,2024-09-07 09:46:10:962,144579,0.7,143910,0.8,288415,0.8,385242,2.00 117,1,2024-09-07 09:46:11:583,994339,994339,0,0,466136551972,4880943659,983317,9560,1462,369,392033,0 117,2,2024-09-07 09:46:11:119,718826,718826,0,0,31561182,0,4303 117,3,2024-09-07 09:46:11:060,1,567,40,0,490,7513,567,0 118,0,2024-09-07 09:46:11:790,134075,0.6,137679,0.7,280816,0.5,367107,2.00 118,1,2024-09-07 09:46:10:587,992717,992717,0,0,466874874865,4910748646,977469,11634,3614,366,392054,0 118,2,2024-09-07 09:46:11:593,718105,718105,0,0,33922654,0,2842 118,3,2024-09-07 09:46:11:766,1,567,2,0,289,6532,567,0 119,0,2024-09-07 09:46:11:373,140967,0.6,141569,0.7,282604,0.5,377120,2.00 119,1,2024-09-07 09:46:10:565,993974,993974,0,0,467571400308,4903232027,980186,11401,2387,367,391857,0 119,2,2024-09-07 09:46:11:280,718611,718611,0,0,31823176,0,4309 119,3,2024-09-07 09:46:11:329,1,567,4,0,1358,10805,567,0 120,0,2024-09-07 09:46:11:625,142650,0.7,142491,0.8,286203,0.7,381476,2.25 120,1,2024-09-07 09:46:10:858,995665,995665,0,0,466189535988,4885140175,985917,8966,782,367,392144,0 120,2,2024-09-07 09:46:10:770,712599,712598,1,0,36755518,0,5281 120,3,2024-09-07 09:46:11:292,1,567,2,0,279,7140,567,0 121,0,2024-09-07 09:46:11:712,145158,1.1,144588,1.0,289600,1.5,385732,2.25 121,1,2024-09-07 09:46:11:657,995108,995108,0,0,466990024301,4882596058,985228,9028,852,366,391840,0 121,2,2024-09-07 09:46:11:180,715080,715080,0,0,34132221,0,4157 121,3,2024-09-07 09:46:10:732,1,567,15,0,387,7119,567,0 122,0,2024-09-07 09:46:11:794,140754,0.8,137000,0.9,287061,0.9,377401,2.00 122,1,2024-09-07 09:46:10:875,993779,993779,0,0,466788920116,4899308870,979913,11534,2332,366,392130,0 122,2,2024-09-07 09:46:11:325,720572,720497,75,0,38271792,0,5989 122,3,2024-09-07 09:46:10:604,1,567,12,0,512,9457,567,0 123,0,2024-09-07 09:46:10:997,138568,0.7,134736,0.8,282298,0.8,370052,2.25 123,1,2024-09-07 09:46:10:567,994118,994118,0,0,466789407634,4911045772,977900,13562,2656,369,392039,0 123,2,2024-09-07 09:46:11:044,716715,716714,1,0,32688871,0,5215 123,3,2024-09-07 09:46:11:141,1,567,10,0,478,6537,567,0 124,0,2024-09-07 09:46:10:935,147738,0.3,147634,0.5,278690,0.3,383585,1.75 124,1,2024-09-07 09:46:11:023,997553,997553,0,0,468381422353,4869911621,990066,6317,1170,365,392178,0 124,2,2024-09-07 09:46:11:010,715508,715455,53,0,29406986,0,6487 124,3,2024-09-07 09:46:10:758,1,567,1,0,490,5761,567,0 125,0,2024-09-07 09:46:11:419,144385,0.4,144388,0.5,289747,0.3,385868,1.75 125,1,2024-09-07 09:46:10:854,994732,994732,0,0,466971270316,4875667502,986924,6678,1130,382,391813,0 125,2,2024-09-07 09:46:11:116,717787,717787,0,0,29396881,0,4534 125,3,2024-09-07 09:46:11:126,1,567,1,0,709,6584,567,0 126,0,2024-09-07 09:46:11:531,142698,0.4,146791,0.6,280671,0.4,380069,1.75 126,1,2024-09-07 09:46:10:552,998238,998238,0,0,468281050361,4849243984,992910,4883,445,365,391987,0 126,2,2024-09-07 09:46:10:646,721531,721531,0,0,30972323,0,4539 126,3,2024-09-07 09:46:10:917,1,567,8,0,268,6235,567,0 127,0,2024-09-07 09:46:11:612,137435,0.3,137683,0.5,274939,0.3,366007,1.75 127,1,2024-09-07 09:46:10:573,996454,996454,0,0,467786600691,4868776938,986251,8581,1622,364,392187,0 127,2,2024-09-07 09:46:10:667,718689,718685,4,0,29134764,0,5305 127,3,2024-09-07 09:46:11:270,1,567,10,0,968,5612,567,0 128,0,2024-09-07 09:46:11:525,143577,0.3,143599,0.4,286729,0.2,381445,1.50 128,1,2024-09-07 09:46:11:609,996663,996663,0,0,468397054311,4871898473,988683,7042,938,367,392423,0 128,2,2024-09-07 09:46:11:396,716634,716634,0,0,26893530,0,3171 128,3,2024-09-07 09:46:10:775,1,567,11,0,1082,9062,567,0 129,0,2024-09-07 09:46:11:009,145248,0.3,144504,0.5,289645,0.3,385120,1.50 129,1,2024-09-07 09:46:10:579,993092,993092,0,0,466700703615,4892767172,982884,8188,2020,379,391962,0 129,2,2024-09-07 09:46:10:688,716513,716509,4,0,29309533,0,5335 129,3,2024-09-07 09:46:10:692,1,567,4,0,506,8035,567,0 130,0,2024-09-07 09:46:11:727,144483,0.4,143842,0.6,288432,0.4,383950,1.75 130,1,2024-09-07 09:46:10:621,997481,997481,0,0,468325117454,4866112077,992182,4907,392,381,391825,0 130,2,2024-09-07 09:46:11:137,719900,719900,0,0,29276117,0,4067 130,3,2024-09-07 09:46:11:304,1,567,12,0,960,7709,567,0 131,0,2024-09-07 09:46:11:939,137606,0.4,138088,0.5,276703,0.3,367665,1.75 131,1,2024-09-07 09:46:11:845,996414,996414,0,0,467528205113,4874728970,989398,5822,1194,381,391865,0 131,2,2024-09-07 09:46:10:591,719452,719452,0,0,27598432,0,3979 131,3,2024-09-07 09:46:11:696,1,567,25,0,392,7346,567,0 132,0,2024-09-07 09:46:11:466,142439,0.5,143446,0.6,285615,0.4,380325,2.00 132,1,2024-09-07 09:46:10:582,992671,992671,0,0,466200826575,4907472998,978446,11585,2640,381,392532,0 132,2,2024-09-07 09:46:10:705,717406,717389,17,0,35690770,0,6451 132,3,2024-09-07 09:46:11:690,1,567,1,0,804,9244,567,0 133,0,2024-09-07 09:46:11:528,140106,0.4,143241,0.6,293781,0.4,382352,2.00 133,1,2024-09-07 09:46:10:585,992687,992687,0,0,466434417908,4914783415,979306,11670,1711,383,391914,0 133,2,2024-09-07 09:46:11:088,715047,714997,50,0,36166900,0,6861 133,3,2024-09-07 09:46:11:306,1,567,6,0,528,6951,567,0 134,0,2024-09-07 09:46:11:114,145315,0.5,145402,0.7,291033,0.5,387029,2.00 134,1,2024-09-07 09:46:10:596,994291,994291,0,0,466575906560,4891170532,982006,10129,2156,366,391781,0 134,2,2024-09-07 09:46:11:814,715849,715825,24,0,33707650,0,6207 134,3,2024-09-07 09:46:10:760,1,567,2,0,739,6666,567,0 135,0,2024-09-07 09:46:11:102,135176,0.9,135163,0.9,287062,1.0,369508,2.25 135,1,2024-09-07 09:46:11:588,993540,993540,0,0,466905777757,4909168253,981061,10877,1602,380,391805,0 135,2,2024-09-07 09:46:10:691,720101,720101,0,0,34645045,0,4503 135,3,2024-09-07 09:46:11:004,1,567,38,0,900,5590,567,0 136,0,2024-09-07 09:46:11:678,140480,0.6,140875,0.7,280306,0.5,374053,2.00 136,1,2024-09-07 09:46:11:449,994758,994758,0,0,467118352514,4896363449,983570,9821,1367,381,392135,0 136,2,2024-09-07 09:46:11:137,719497,719482,15,0,34173931,0,6007 136,3,2024-09-07 09:46:11:126,1,567,1,0,637,6759,567,0 137,0,2024-09-07 09:46:10:940,148415,0.6,144642,0.7,283331,0.6,384538,2.00 137,1,2024-09-07 09:46:10:583,993514,993514,0,0,467672962275,4903657485,978355,12108,3051,366,391898,0 137,2,2024-09-07 09:46:11:707,712189,712189,0,0,34978752,0,3185 137,3,2024-09-07 09:46:10:787,1,567,2,0,484,7363,567,0 138,0,2024-09-07 09:46:11:794,144255,0.7,144457,0.9,289505,0.8,384597,2.00 138,1,2024-09-07 09:46:11:698,994166,994166,0,0,467476945458,4903372393,980254,11672,2240,368,391954,0 138,2,2024-09-07 09:46:10:599,714468,714468,0,0,32667058,0,4988 138,3,2024-09-07 09:46:10:617,1,567,10,0,1200,9082,567,0 139,0,2024-09-07 09:46:11:439,140714,1.2,141249,1.0,282416,1.7,376988,2.25 139,1,2024-09-07 09:46:10:586,990159,990159,0,0,463854601799,4918415463,972894,13580,3685,380,392109,0 139,2,2024-09-07 09:46:10:693,716278,716278,0,0,37264289,0,3360 139,3,2024-09-07 09:46:11:682,1,567,19,0,432,6622,567,0 140,0,2024-09-07 09:46:11:605,138427,0.3,137714,0.5,276292,0.2,368456,1.75 140,1,2024-09-07 09:46:11:535,1000120,1000120,0,0,470035873475,4846493342,995176,4398,546,364,391606,0 140,2,2024-09-07 09:46:10:690,719956,719955,1,0,27808514,0,5036 140,3,2024-09-07 09:46:10:775,1,567,575,0,575,5759,567,0 141,0,2024-09-07 09:46:11:697,143969,0.3,147979,0.5,282756,0.2,383423,1.75 141,1,2024-09-07 09:46:10:859,997775,997775,0,0,468747700980,4870734951,989812,6784,1179,379,391614,0 141,2,2024-09-07 09:46:11:686,714833,714822,11,0,29503156,0,5369 141,3,2024-09-07 09:46:11:050,1,567,6,0,391,6326,567,0 142,0,2024-09-07 09:46:11:404,145361,0.3,144402,0.5,289334,0.2,386127,1.50 142,1,2024-09-07 09:46:10:585,996204,996204,0,0,467722207297,4875559987,989892,5810,502,382,392102,0 142,2,2024-09-07 09:46:11:303,714563,714531,32,0,29755610,0,6028 142,3,2024-09-07 09:46:11:747,1,567,12,0,484,5981,567,0 143,0,2024-09-07 09:46:11:371,142754,0.4,142875,0.6,286535,0.4,380634,1.75 143,1,2024-09-07 09:46:10:557,998864,998864,0,0,468412050024,4860972202,992490,5810,564,367,391722,0 143,2,2024-09-07 09:46:10:841,720985,720985,0,0,29890524,0,3123 143,3,2024-09-07 09:46:11:140,1,567,1,0,462,7092,567,0 144,0,2024-09-07 09:46:11:533,133145,0.6,136970,0.8,278719,0.5,364929,2.00 144,1,2024-09-07 09:46:10:657,993192,993192,0,0,466148152411,4891907604,983004,8201,1987,381,391733,0 144,2,2024-09-07 09:46:11:755,719529,719529,0,0,29239984,0,4443 144,3,2024-09-07 09:46:11:742,1,567,3,0,249,5541,567,0 145,0,2024-09-07 09:46:11:555,138407,0.5,138402,0.7,293848,0.5,378548,2.25 145,1,2024-09-07 09:46:10:566,992895,992895,0,0,465678117487,4902660081,979187,11037,2671,382,391759,0 145,2,2024-09-07 09:46:11:458,714898,714816,82,0,34598294,0,7814 145,3,2024-09-07 09:46:10:894,1,567,8,0,622,7776,567,0 146,0,2024-09-07 09:46:11:595,143221,0.6,142788,0.7,286753,0.6,380977,2.25 146,1,2024-09-07 09:46:11:588,993749,993749,0,0,466135169048,4906065927,976846,12437,4466,367,391770,0 146,2,2024-09-07 09:46:11:696,713356,713350,6,0,32412909,0,5151 146,3,2024-09-07 09:46:11:275,1,567,12,0,1520,9441,567,0 147,0,2024-09-07 09:46:11:720,144466,0.6,144168,0.7,288036,0.6,384317,2.25 147,1,2024-09-07 09:46:11:380,997528,997528,0,0,468577834596,4870487592,989430,7152,946,367,391791,0 147,2,2024-09-07 09:46:11:019,716861,716861,0,0,29077661,0,2968 147,3,2024-09-07 09:46:10:919,1,567,1,0,1626,9013,567,0 0,0,2024-09-07 09:46:21:718,139605,0.6,139618,0.7,296160,0.6,382596,2.00 0,1,2024-09-07 09:46:20:804,996055,996055,0,0,467978930075,4900520226,987811,7317,927,369,391896,0 0,2,2024-09-07 09:46:21:068,716614,716614,0,0,29212021,0,4480 0,3,2024-09-07 09:46:20:974,1,568,4,0,431,8175,568,0 1,0,2024-09-07 09:46:21:855,145037,0.9,143983,0.9,289673,1.1,386497,2.00 1,1,2024-09-07 09:46:20:591,994704,994704,0,0,467396266179,4897891933,985333,7882,1489,370,391859,0 1,2,2024-09-07 09:46:20:697,717302,717302,0,0,28787336,0,3380 1,3,2024-09-07 09:46:21:311,1,568,1,0,269,6920,568,0 2,0,2024-09-07 09:46:21:566,141297,0.6,141085,0.7,282158,0.6,376435,2.00 2,1,2024-09-07 09:46:20:859,998283,998283,0,0,469703989868,4884800656,992211,5123,949,379,391745,0 2,2,2024-09-07 09:46:21:273,721447,721447,0,0,27983992,0,3594 2,3,2024-09-07 09:46:20:713,1,568,6,0,357,5106,568,0 3,0,2024-09-07 09:46:21:744,139418,0.4,139221,0.6,278009,0.3,371277,2.00 3,1,2024-09-07 09:46:21:634,997096,997096,0,0,467697033034,4866817882,989525,6868,703,379,391716,0 3,2,2024-09-07 09:46:21:142,720842,720819,23,0,28903165,0,5851 3,3,2024-09-07 09:46:21:755,1,568,1,0,275,3774,568,0 4,0,2024-09-07 09:46:21:774,139799,0.4,143629,0.5,292951,0.3,383156,1.75 4,1,2024-09-07 09:46:20:609,993827,993827,0,0,465648023586,4931249039,976487,13632,3708,370,391992,0 4,2,2024-09-07 09:46:21:043,712141,712141,0,0,34585833,0,4534 4,3,2024-09-07 09:46:21:038,1,568,3,0,448,7059,568,0 5,0,2024-09-07 09:46:21:443,144979,0.4,145061,0.5,290230,0.3,385871,1.75 5,1,2024-09-07 09:46:20:765,994524,994524,0,0,467495548047,4922521101,980352,11359,2813,367,392005,0 5,2,2024-09-07 09:46:21:852,713968,713968,0,0,33566172,0,3582 5,3,2024-09-07 09:46:21:737,1,568,12,0,457,7612,568,0 6,0,2024-09-07 09:46:20:930,142938,0.5,142401,0.7,285080,0.4,379829,2.00 6,1,2024-09-07 09:46:20:759,996671,996671,0,0,468098440722,4890246656,986115,9034,1522,379,391702,0 6,2,2024-09-07 09:46:21:116,722165,722147,18,0,33139497,0,5535 6,3,2024-09-07 09:46:21:299,1,568,7,0,710,7000,568,0 7,0,2024-09-07 09:46:21:531,137027,0.5,137825,0.7,274328,0.5,366115,2.00 7,1,2024-09-07 09:46:20:851,995324,995324,0,0,467543507449,4912469918,980877,12038,2409,382,391747,0 7,2,2024-09-07 09:46:20:776,719588,719588,0,0,31701128,0,4791 7,3,2024-09-07 09:46:20:854,1,568,1,0,552,6459,568,0 8,0,2024-09-07 09:46:21:371,143513,0.3,143192,0.5,286816,0.3,382327,1.75 8,1,2024-09-07 09:46:21:032,993746,993746,0,0,467544553610,4918355488,977704,12502,3540,366,392853,0 8,2,2024-09-07 09:46:20:804,711076,711074,2,0,37196292,0,5112 8,3,2024-09-07 09:46:20:585,1,568,1,0,772,9092,568,0 9,0,2024-09-07 09:46:21:160,144161,0.4,140373,0.5,293813,0.3,385456,1.75 9,1,2024-09-07 09:46:20:889,993826,993826,0,0,466357902655,4914530566,978654,12185,2987,369,392001,0 9,2,2024-09-07 09:46:21:100,715417,715416,1,0,33984248,0,5281 9,3,2024-09-07 09:46:21:762,1,568,20,0,1273,9754,568,0 10,0,2024-09-07 09:46:21:599,143657,0.4,143172,0.5,287237,0.3,382656,1.75 10,1,2024-09-07 09:46:20:585,995952,995952,0,0,467650617301,4901341770,982169,11350,2433,381,391869,0 10,2,2024-09-07 09:46:20:762,720565,720565,0,0,37126639,0,4264 10,3,2024-09-07 09:46:20:890,1,568,1,0,669,6610,568,0 11,0,2024-09-07 09:46:21:031,137752,0.4,133559,0.6,279446,0.4,368402,1.75 11,1,2024-09-07 09:46:20:571,997425,997425,0,0,467790452424,4904612263,983142,10521,3762,383,391766,0 11,2,2024-09-07 09:46:21:127,718844,718844,0,0,33222074,0,4130 11,3,2024-09-07 09:46:21:299,1,568,1,0,843,7421,568,0 12,0,2024-09-07 09:46:20:962,143707,0.4,143763,0.5,287044,0.3,382136,1.75 12,1,2024-09-07 09:46:20:949,996876,996876,0,0,467709721426,4880407262,988596,7280,1000,370,391960,0 12,2,2024-09-07 09:46:21:550,717097,717097,0,0,32401041,0,4390 12,3,2024-09-07 09:46:21:066,1,568,37,0,386,7311,568,0 13,0,2024-09-07 09:46:21:482,144653,0.4,144559,0.5,288370,0.4,384129,1.75 13,1,2024-09-07 09:46:21:538,994196,994196,0,0,466965801160,4908946264,983893,7997,2306,382,391768,0 13,2,2024-09-07 09:46:20:615,717174,717174,0,0,28801670,0,3287 13,3,2024-09-07 09:46:21:763,1,568,1,0,522,7707,568,0 14,0,2024-09-07 09:46:20:564,145164,0.4,146229,0.6,290100,0.4,386297,1.75 14,1,2024-09-07 09:46:21:573,1001238,1001238,0,0,470115838274,4865420989,993389,6877,972,364,391673,0 14,2,2024-09-07 09:46:20:765,718763,718733,30,0,30440712,0,6104 14,3,2024-09-07 09:46:21:119,1,568,8,0,1168,6374,568,0 15,0,2024-09-07 09:46:21:553,139285,0.4,138594,0.6,278179,0.4,370298,2.00 15,1,2024-09-07 09:46:21:621,998206,998206,0,0,468421695534,4881153644,989175,7118,1913,381,391619,0 15,2,2024-09-07 09:46:21:003,722851,722851,0,0,26505117,0,3622 15,3,2024-09-07 09:46:21:405,1,568,2,0,1126,7589,568,0 16,0,2024-09-07 09:46:21:171,140139,0.6,140828,0.7,281196,0.5,374445,2.00 16,1,2024-09-07 09:46:20:588,998175,998175,0,0,467900278894,4893199499,988940,7795,1440,370,392194,0 16,2,2024-09-07 09:46:21:433,719168,719168,0,0,30213871,0,4719 16,3,2024-09-07 09:46:21:159,1,568,24,0,358,7111,568,0 17,0,2024-09-07 09:46:21:855,148300,0.6,144603,0.8,283299,0.6,384644,2.00 17,1,2024-09-07 09:46:20:570,996248,996248,0,0,467098445822,4902057187,986088,8394,1766,368,392075,0 17,2,2024-09-07 09:46:21:667,717529,717528,1,0,30976264,0,5050 17,3,2024-09-07 09:46:20:574,1,568,0,0,518,8627,568,0 18,0,2024-09-07 09:46:20:963,143680,0.7,144627,0.8,288085,0.7,384749,2.25 18,1,2024-09-07 09:46:21:641,1000300,1000300,0,0,469174556848,4867329161,993373,5670,1257,367,391725,0 18,2,2024-09-07 09:46:21:755,719405,719405,0,0,27562213,0,3541 18,3,2024-09-07 09:46:20:896,1,568,3,0,1059,5345,568,0 19,0,2024-09-07 09:46:21:543,141705,0.6,141893,0.8,282767,0.6,376358,2.00 19,1,2024-09-07 09:46:20:566,999966,999966,0,0,469914882497,4870726079,992644,6233,1089,367,391777,0 19,2,2024-09-07 09:46:21:755,724987,724987,0,0,26263664,0,3988 19,3,2024-09-07 09:46:21:132,1,568,2,0,524,4384,568,0 20,0,2024-09-07 09:46:21:368,138091,0.5,138165,0.7,276099,0.5,368033,2.00 20,1,2024-09-07 09:46:20:580,995771,995771,0,0,468444813595,4907772828,985603,8665,1503,369,391922,0 20,2,2024-09-07 09:46:20:959,719447,719447,0,0,32423389,0,4321 20,3,2024-09-07 09:46:20:599,1,568,1,0,468,9272,568,0 21,0,2024-09-07 09:46:21:208,144020,0.5,144244,0.6,288352,0.4,383864,2.00 21,1,2024-09-07 09:46:21:543,994190,994190,0,0,466562309453,4924526359,978529,12254,3407,368,392016,0 21,2,2024-09-07 09:46:21:091,712127,712107,20,0,36352668,0,5617 21,3,2024-09-07 09:46:21:406,1,568,77,0,713,7952,568,0 22,0,2024-09-07 09:46:21:716,144776,0.5,145306,0.7,289786,0.4,384938,2.00 22,1,2024-09-07 09:46:21:031,995462,995462,0,0,467332353042,4918892175,978711,13388,3363,382,391822,0 22,2,2024-09-07 09:46:20:759,715135,715109,26,0,30784298,0,6328 22,3,2024-09-07 09:46:21:070,1,568,12,0,228,4816,568,0 23,0,2024-09-07 09:46:21:374,142635,0.5,142355,0.7,284559,0.5,379430,2.25 23,1,2024-09-07 09:46:21:003,996856,996856,0,0,468900033567,4920274371,979975,12032,4849,365,391690,0 23,2,2024-09-07 09:46:21:106,722457,722457,0,0,29822130,0,3773 23,3,2024-09-07 09:46:21:756,1,568,246,0,855,8688,568,0 24,0,2024-09-07 09:46:20:878,138438,0.4,137684,0.6,276986,0.4,367634,1.75 24,1,2024-09-07 09:46:20:603,995540,995540,0,0,466603871551,4884122634,986158,7699,1683,367,392269,0 24,2,2024-09-07 09:46:21:069,719412,719412,0,0,35724693,0,4438 24,3,2024-09-07 09:46:21:698,1,568,10,0,468,7177,568,0 25,0,2024-09-07 09:46:21:360,147340,0.4,143429,0.6,281588,0.4,383510,1.75 25,1,2024-09-07 09:46:20:561,994072,994072,0,0,467284008759,4933062316,977288,13252,3532,369,391928,0 25,2,2024-09-07 09:46:21:659,714861,714861,0,0,36336058,0,3978 25,3,2024-09-07 09:46:21:016,1,568,19,0,532,6342,568,0 26,0,2024-09-07 09:46:21:722,142801,0.4,139765,0.6,293379,0.4,381751,2.00 26,1,2024-09-07 09:46:21:541,998057,998057,0,0,467175243231,4890393312,984640,10957,2460,380,391748,0 26,2,2024-09-07 09:46:20:859,715417,715417,0,0,38521526,0,4689 26,3,2024-09-07 09:46:21:715,1,568,8,0,796,6555,568,0 27,0,2024-09-07 09:46:21:724,144418,0.4,145122,0.6,288389,0.4,384408,2.25 27,1,2024-09-07 09:46:21:682,997885,997885,0,0,469792395623,4894029722,988478,8112,1295,381,391626,0 27,2,2024-09-07 09:46:20:871,716154,716089,65,0,33558465,0,5699 27,3,2024-09-07 09:46:21:031,1,568,0,0,564,5090,568,0 28,0,2024-09-07 09:46:21:457,138627,0.4,138407,0.6,277299,0.3,369140,2.00 28,1,2024-09-07 09:46:20:801,998959,998959,0,0,468483296919,4883524222,990587,6636,1736,382,391698,0 28,2,2024-09-07 09:46:21:764,720714,720714,0,0,29689702,0,2915 28,3,2024-09-07 09:46:21:791,1,568,9,0,502,5531,568,0 29,0,2024-09-07 09:46:21:370,145814,0.4,141968,0.6,278610,0.3,380210,1.75 29,1,2024-09-07 09:46:21:564,1001924,1001924,0,0,470118947203,4862211291,995840,5310,774,367,391809,0 29,2,2024-09-07 09:46:20:860,718934,718934,0,0,28621941,0,4986 29,3,2024-09-07 09:46:20:964,1,568,122,0,459,6432,568,0 30,0,2024-09-07 09:46:21:454,142789,0.5,139060,0.7,291366,0.4,380345,2.00 30,1,2024-09-07 09:46:20:571,1000646,1000646,0,0,470542718576,4882458987,993413,6326,907,380,391672,0 30,2,2024-09-07 09:46:21:291,716174,716174,0,0,27391870,0,4192 30,3,2024-09-07 09:46:20:581,1,568,9,0,519,5392,568,0 31,0,2024-09-07 09:46:21:764,144784,0.4,145488,0.6,290064,0.4,387122,2.00 31,1,2024-09-07 09:46:20:564,1003790,1003790,0,0,471788264704,4844096292,997908,4854,1028,356,391712,0 31,2,2024-09-07 09:46:21:299,716661,716661,0,0,30820938,0,4470 31,3,2024-09-07 09:46:21:707,1,568,1,0,239,4834,568,0 32,0,2024-09-07 09:46:21:414,141752,0.3,142574,0.5,283726,0.3,377868,1.75 32,1,2024-09-07 09:46:20:804,1000223,1000223,0,0,469220474529,4876959411,994177,5332,714,381,391646,0 32,2,2024-09-07 09:46:20:957,723177,723177,0,0,27233308,0,3922 32,3,2024-09-07 09:46:21:040,1,568,2,0,304,4486,568,0 33,0,2024-09-07 09:46:21:507,139988,0.3,139517,0.4,279432,0.2,372170,1.50 33,1,2024-09-07 09:46:20:583,1000031,1000031,0,0,470532764378,4876013968,991675,7086,1270,368,391730,0 33,2,2024-09-07 09:46:20:763,720964,720929,35,0,30218874,0,7012 33,3,2024-09-07 09:46:20:915,1,568,2,0,329,5029,568,0 34,0,2024-09-07 09:46:20:928,144267,0.3,148171,0.5,283408,0.2,382388,1.75 34,1,2024-09-07 09:46:21:044,1002707,1002707,0,0,470666544198,4842731621,999768,2830,109,367,391637,0 34,2,2024-09-07 09:46:20:776,716464,716464,0,0,27859467,0,4562 34,3,2024-09-07 09:46:21:689,1,568,102,0,320,4764,568,0 35,0,2024-09-07 09:46:20:856,144110,0.3,144904,0.5,290755,0.2,386509,1.75 35,1,2024-09-07 09:46:21:067,998651,998651,0,0,469549798423,4875383627,990583,6545,1523,382,391769,0 35,2,2024-09-07 09:46:21:583,716458,716458,0,0,31026498,0,4055 35,3,2024-09-07 09:46:20:909,1,568,1,0,466,5504,568,0 36,0,2024-09-07 09:46:21:529,143193,0.5,143030,0.7,285744,0.5,380575,2.00 36,1,2024-09-07 09:46:20:587,997482,997482,0,0,468042750073,4889354366,984381,10870,2231,366,391759,0 36,2,2024-09-07 09:46:21:766,722282,722282,0,0,32661638,0,3875 36,3,2024-09-07 09:46:20:870,1,568,4,0,556,7998,568,0 37,0,2024-09-07 09:46:21:374,137040,0.5,137017,0.7,274380,0.5,366264,2.25 37,1,2024-09-07 09:46:20:569,996994,996987,0,7,467881553325,4886798730,984822,9279,2886,365,391770,0 37,2,2024-09-07 09:46:21:147,717615,717600,15,0,32735185,0,5815 37,3,2024-09-07 09:46:21:766,1,568,8,0,888,7672,568,0 38,0,2024-09-07 09:46:21:437,142649,0.4,138345,0.6,289398,0.3,379055,2.00 38,1,2024-09-07 09:46:21:641,997382,997382,0,0,468563137002,4903172556,982437,11947,2998,368,391821,0 38,2,2024-09-07 09:46:20:759,715367,715320,47,0,32518698,0,6710 38,3,2024-09-07 09:46:20:997,1,568,96,0,689,7118,568,0 39,0,2024-09-07 09:46:21:769,147527,0.5,144200,0.7,281184,0.5,384304,2.00 39,1,2024-09-07 09:46:20:758,996609,996609,0,0,468360697349,4911175303,979925,12987,3697,365,391865,0 39,2,2024-09-07 09:46:21:416,716556,716556,0,0,30345328,0,3391 39,3,2024-09-07 09:46:20:733,1,568,1,0,525,6531,568,0 40,0,2024-09-07 09:46:21:488,142392,0.9,143021,1.0,285349,1.0,380789,2.75 40,1,2024-09-07 09:46:20:576,997864,997864,0,0,467491643307,4896539330,983456,11627,2781,368,391668,0 40,2,2024-09-07 09:46:21:303,718487,718486,1,0,36111387,0,5137 40,3,2024-09-07 09:46:21:142,1,568,12,0,1028,8280,568,0 41,0,2024-09-07 09:46:21:050,137138,1.2,140242,1.1,267480,1.6,363978,3.00 41,1,2024-09-07 09:46:20:768,996850,996850,0,0,467172802926,4887401049,984132,10652,2066,369,391878,0 41,2,2024-09-07 09:46:20:759,716692,716691,1,0,34962243,0,5408 41,3,2024-09-07 09:46:21:678,1,568,6,0,366,6045,568,0 42,0,2024-09-07 09:46:21:473,142177,0.9,141840,1.0,283692,1.0,377143,2.50 42,1,2024-09-07 09:46:21:440,994346,994346,0,0,466463420029,4903083723,978649,12769,2928,380,391675,0 42,2,2024-09-07 09:46:21:132,716634,716634,0,0,34764829,0,3975 42,3,2024-09-07 09:46:21:032,1,568,0,0,892,5448,568,0 43,0,2024-09-07 09:46:20:921,142203,0.7,138444,0.9,290047,0.8,379091,2.25 43,1,2024-09-07 09:46:20:576,997318,997318,0,0,468248247335,4892399484,983563,11355,2400,366,391696,0 43,2,2024-09-07 09:46:21:747,716055,716055,0,0,33091688,0,4723 43,3,2024-09-07 09:46:21:750,1,568,6,0,571,8278,568,0 44,0,2024-09-07 09:46:20:864,145425,0.4,145341,0.6,291127,0.4,386759,1.75 44,1,2024-09-07 09:46:20:565,1000014,1000014,0,0,469242254685,4852378612,990891,7184,1939,356,391809,0 44,2,2024-09-07 09:46:21:273,716101,716101,0,0,27351746,0,4344 44,3,2024-09-07 09:46:21:105,1,568,1,0,1097,7211,568,0 45,0,2024-09-07 09:46:21:761,137845,0.5,134611,0.7,282533,0.4,370398,2.00 45,1,2024-09-07 09:46:21:010,998712,998712,0,0,469522714472,4879916327,990649,7160,903,382,391917,0 45,2,2024-09-07 09:46:21:270,721815,721815,0,0,27895755,0,3596 45,3,2024-09-07 09:46:20:935,1,568,1,0,531,5647,568,0 46,0,2024-09-07 09:46:20:956,139649,0.5,139312,0.7,279437,0.5,371367,2.00 46,1,2024-09-07 09:46:20:575,1000879,1000879,0,0,470735844848,4865863709,993794,6221,864,366,391709,0 46,2,2024-09-07 09:46:20:598,720597,720597,0,0,28614688,0,4443 46,3,2024-09-07 09:46:21:139,1,568,0,0,908,6982,568,0 47,0,2024-09-07 09:46:21:101,144142,0.4,144082,0.6,289218,0.4,383097,2.00 47,1,2024-09-07 09:46:20:567,1001997,1001997,0,0,469953792397,4855633107,996235,4909,853,365,391641,0 47,2,2024-09-07 09:46:20:915,718530,718530,0,0,27512500,0,4477 47,3,2024-09-07 09:46:21:117,1,568,0,0,600,6364,568,0 48,0,2024-09-07 09:46:21:487,145389,0.3,145599,0.4,290512,0.2,386544,1.50 48,1,2024-09-07 09:46:21:034,999513,999513,0,0,468958984332,4872146255,993443,5492,578,384,391710,0 48,2,2024-09-07 09:46:20:734,717368,717368,0,0,25918593,0,3524 48,3,2024-09-07 09:46:20:759,1,568,1,0,339,4694,568,0 49,0,2024-09-07 09:46:21:722,146027,0.3,143182,0.5,278625,0.3,380530,1.75 49,1,2024-09-07 09:46:21:034,998481,998481,0,0,469158405684,4881408015,991448,5339,1694,382,391809,0 49,2,2024-09-07 09:46:21:796,723210,723210,0,0,28486265,0,4426 49,3,2024-09-07 09:46:21:425,1,568,231,0,992,6950,568,0 50,0,2024-09-07 09:46:21:523,138863,0.3,137163,0.5,276292,0.2,367896,1.75 50,1,2024-09-07 09:46:21:010,1001718,1001718,0,0,470358850071,4863148177,995330,5719,669,368,391565,0 50,2,2024-09-07 09:46:21:070,719902,719902,0,0,26038027,0,4490 50,3,2024-09-07 09:46:21:294,1,568,8,0,617,5895,568,0 51,0,2024-09-07 09:46:21:685,148003,0.3,144989,0.5,282253,0.2,385495,1.75 51,1,2024-09-07 09:46:21:690,1002552,1002552,0,0,470694092074,4855500095,996936,4536,1080,365,391706,0 51,2,2024-09-07 09:46:21:316,715687,715687,0,0,25582895,0,3337 51,3,2024-09-07 09:46:21:032,1,568,1,0,678,4050,568,0 52,0,2024-09-07 09:46:21:414,145357,0.5,144908,0.6,290096,0.4,385830,2.00 52,1,2024-09-07 09:46:20:577,997675,997675,0,0,468238474194,4901698319,983344,12116,2215,368,391805,0 52,2,2024-09-07 09:46:21:755,713369,713331,38,0,34232579,0,6742 52,3,2024-09-07 09:46:20:739,1,568,2,0,1782,7012,568,0 53,0,2024-09-07 09:46:21:733,142289,0.7,138073,0.8,288800,0.7,378608,2.50 53,1,2024-09-07 09:46:20:780,995982,995982,0,0,468325632980,4915477128,978781,12998,4203,367,391968,0 53,2,2024-09-07 09:46:21:308,721189,721188,1,0,31345131,0,5455 53,3,2024-09-07 09:46:20:747,1,568,6,0,308,5271,568,0 54,0,2024-09-07 09:46:21:622,135684,0.6,136101,0.8,270913,0.4,361649,2.25 54,1,2024-09-07 09:46:20:580,998182,998182,0,0,469062985406,4879177361,987467,9053,1662,366,391810,0 54,2,2024-09-07 09:46:20:864,720223,720191,32,0,34695367,0,6397 54,3,2024-09-07 09:46:20:765,1,568,12,0,676,7612,568,0 55,0,2024-09-07 09:46:21:763,138358,0.6,142729,0.7,289401,0.5,377658,2.50 55,1,2024-09-07 09:46:20:765,999151,999151,0,0,467866779040,4868689180,988438,9325,1388,365,391731,0 55,2,2024-09-07 09:46:20:742,715238,715182,56,0,32486193,0,7239 55,3,2024-09-07 09:46:20:725,1,568,1,0,304,5289,568,0 56,0,2024-09-07 09:46:21:607,146441,1.1,138143,1.1,284381,1.5,381149,2.75 56,1,2024-09-07 09:46:20:573,993140,993140,0,0,466421516989,4931486059,976453,13217,3470,381,391867,0 56,2,2024-09-07 09:46:21:320,715152,715030,122,0,33664432,0,7432 56,3,2024-09-07 09:46:21:065,1,568,3,0,705,6674,568,0 57,0,2024-09-07 09:46:20:942,142624,1.5,142596,1.2,285306,2.1,381169,3.25 57,1,2024-09-07 09:46:21:008,995163,995163,0,0,467171958256,4904445494,981704,11120,2339,366,392032,0 57,2,2024-09-07 09:46:21:316,718752,718752,0,0,35230126,0,4317 57,3,2024-09-07 09:46:21:751,1,568,2,0,455,6521,568,0 58,0,2024-09-07 09:46:20:575,136527,0.9,132486,1.0,277197,1.1,362660,2.50 58,1,2024-09-07 09:46:20:575,996775,996772,0,3,468527353709,4910846935,981739,11341,3692,367,391675,3 58,2,2024-09-07 09:46:21:080,720507,720507,0,0,33301337,0,3483 58,3,2024-09-07 09:46:21:067,1,568,8,0,1043,6457,568,0 59,0,2024-09-07 09:46:21:746,141204,0.8,140945,0.9,281539,0.8,374245,2.75 59,1,2024-09-07 09:46:20:804,995587,995587,0,0,467508554356,4911280398,979380,12876,3331,369,391653,0 59,2,2024-09-07 09:46:20:583,718571,718571,0,0,31799459,0,3727 59,3,2024-09-07 09:46:21:737,1,568,1,0,1015,6918,568,0 60,0,2024-09-07 09:46:21:721,143502,0.5,143853,0.7,287461,0.5,382626,1.75 60,1,2024-09-07 09:46:20:777,1000647,1000647,0,0,469746584720,4880287828,993407,6244,996,370,392031,0 60,2,2024-09-07 09:46:21:147,716144,716144,0,0,29861986,0,3811 60,3,2024-09-07 09:46:21:281,1,568,0,0,409,6571,568,0 61,0,2024-09-07 09:46:21:492,144942,0.6,145558,0.8,289808,0.6,386516,2.00 61,1,2024-09-07 09:46:20:770,996719,996719,0,0,468002377857,4901144285,985940,9118,1661,382,392127,0 61,2,2024-09-07 09:46:21:120,717413,717346,67,0,30805810,0,6411 61,3,2024-09-07 09:46:21:694,1,568,0,0,607,7734,568,0 62,0,2024-09-07 09:46:21:723,142017,0.6,145695,0.7,278087,0.6,377720,2.00 62,1,2024-09-07 09:46:21:114,1002933,1002927,0,6,471609206032,4861779455,998261,4382,284,365,391975,6 62,2,2024-09-07 09:46:21:653,719496,719495,1,0,31067025,0,5555 62,3,2024-09-07 09:46:21:144,1,568,8,0,482,4555,568,0 63,0,2024-09-07 09:46:21:452,140064,0.4,139736,0.6,280089,0.4,372539,1.75 63,1,2024-09-07 09:46:20:804,999589,999583,0,6,469094826732,4871867027,992945,5784,854,381,391800,6 63,2,2024-09-07 09:46:20:761,720475,720475,0,0,29242399,0,4369 63,3,2024-09-07 09:46:21:735,1,568,0,0,667,6088,568,0 64,0,2024-09-07 09:46:21:540,143269,0.5,143361,0.7,285885,0.4,380775,2.00 64,1,2024-09-07 09:46:20:762,998458,998458,0,0,469908817059,4895232620,989220,7263,1975,370,391794,0 64,2,2024-09-07 09:46:21:143,719206,719187,19,0,28045910,0,6121 64,3,2024-09-07 09:46:21:140,1,568,35,0,651,6165,568,0 65,0,2024-09-07 09:46:21:685,143880,0.6,144091,0.7,287961,0.6,384009,2.00 65,1,2024-09-07 09:46:20:861,996900,996900,0,0,467571592655,4887535467,989650,6393,857,381,391901,0 65,2,2024-09-07 09:46:21:695,715854,715854,0,0,33318745,0,3367 65,3,2024-09-07 09:46:21:693,1,568,1,0,782,6486,568,0 66,0,2024-09-07 09:46:21:783,142246,0.6,141745,0.8,283719,0.5,377797,2.25 66,1,2024-09-07 09:46:21:293,999294,999294,0,0,468821270769,4879680144,992819,5753,722,380,391743,0 66,2,2024-09-07 09:46:21:132,724395,724392,3,0,30257873,0,5455 66,3,2024-09-07 09:46:21:092,1,568,7,0,291,4891,568,0 67,0,2024-09-07 09:46:21:432,137493,0.5,137226,0.7,275264,0.5,366874,2.00 67,1,2024-09-07 09:46:20:766,998563,998562,0,1,469009279776,4883467823,991489,6131,942,380,391787,1 67,2,2024-09-07 09:46:20:583,722458,722443,15,0,30122071,0,6205 67,3,2024-09-07 09:46:21:750,1,568,35,0,595,6040,568,0 68,0,2024-09-07 09:46:20:559,143445,0.5,143257,0.7,285373,0.5,381601,2.00 68,1,2024-09-07 09:46:20:574,994991,994991,0,0,467441645040,4915539290,981781,9751,3459,381,391953,0 68,2,2024-09-07 09:46:21:044,711956,711856,100,0,37560755,0,8578 68,3,2024-09-07 09:46:20:734,1,568,48,0,417,7557,568,0 69,0,2024-09-07 09:46:21:753,143610,0.7,144482,0.8,287918,0.7,382894,2.25 69,1,2024-09-07 09:46:21:039,994090,994090,0,0,467080858399,4923169478,980831,10481,2778,383,391994,0 69,2,2024-09-07 09:46:21:752,714279,714250,29,0,39385958,0,6912 69,3,2024-09-07 09:46:20:760,1,568,29,0,698,8370,568,0 70,0,2024-09-07 09:46:21:536,142103,0.8,142493,1.0,286679,0.7,379610,2.50 70,1,2024-09-07 09:46:20:803,1000008,1000008,0,0,470270724365,4879944556,992303,6941,764,366,391725,0 70,2,2024-09-07 09:46:21:326,720341,720341,0,0,32874228,0,4323 70,3,2024-09-07 09:46:20:758,1,568,9,0,854,6466,568,0 71,0,2024-09-07 09:46:21:356,136487,1.0,136285,1.0,273576,1.3,365488,2.75 71,1,2024-09-07 09:46:21:613,998098,998098,0,0,468561820400,4890163141,986002,10746,1350,368,391738,0 71,2,2024-09-07 09:46:21:067,719138,719138,0,0,33453215,0,4352 71,3,2024-09-07 09:46:21:758,1,568,27,0,644,6600,568,0 72,0,2024-09-07 09:46:21:041,147425,0.5,144086,0.7,281271,0.5,382796,2.00 72,1,2024-09-07 09:46:21:032,996160,996160,0,0,467210466653,4901302703,981881,11884,2395,369,391819,0 72,2,2024-09-07 09:46:21:759,716335,716335,0,0,34929118,0,3983 72,3,2024-09-07 09:46:21:758,1,568,1,0,564,8328,568,0 73,0,2024-09-07 09:46:21:159,140109,0.5,143576,0.6,293767,0.4,381873,2.00 73,1,2024-09-07 09:46:20:768,998299,998299,0,0,468590674654,4870188409,990760,6612,927,367,391858,0 73,2,2024-09-07 09:46:21:751,715562,715562,0,0,35193037,0,4728 73,3,2024-09-07 09:46:20:985,1,568,1,0,1091,8128,568,0 74,0,2024-09-07 09:46:21:324,146146,0.5,149667,0.7,285410,0.4,387009,2.00 74,1,2024-09-07 09:46:20:635,996887,996887,0,0,468159162957,4886981038,985832,9077,1978,381,391762,0 74,2,2024-09-07 09:46:21:005,716889,716889,0,0,30894517,0,4253 74,3,2024-09-07 09:46:21:447,1,568,9,0,522,6827,568,0 75,0,2024-09-07 09:46:21:766,139802,0.6,138797,0.8,278852,0.5,371928,2.25 75,1,2024-09-07 09:46:21:585,998611,998611,0,0,468160860509,4879158143,990427,7318,866,380,391739,0 75,2,2024-09-07 09:46:21:353,719665,719665,0,0,37645696,0,4766 75,3,2024-09-07 09:46:21:079,1,568,2,0,702,6882,568,0 76,0,2024-09-07 09:46:20:585,139693,0.6,139182,0.8,278476,0.5,372710,2.25 76,1,2024-09-07 09:46:20:805,997701,997701,0,0,467924919828,4879894803,990780,5951,970,382,391723,0 76,2,2024-09-07 09:46:21:070,721945,721942,3,0,31173076,0,5265 76,3,2024-09-07 09:46:21:143,1,568,0,0,227,5156,568,0 77,0,2024-09-07 09:46:21:725,143413,0.6,143971,0.7,287847,0.5,382390,2.00 77,1,2024-09-07 09:46:20:840,997523,997523,0,0,468672650163,4890262154,989796,6853,874,381,391869,0 77,2,2024-09-07 09:46:21:304,715108,715108,0,0,30101156,0,3890 77,3,2024-09-07 09:46:21:097,1,568,15,0,401,6346,568,0 78,0,2024-09-07 09:46:21:727,145585,0.4,145061,0.6,290763,0.4,385201,2.00 78,1,2024-09-07 09:46:20:613,997963,997963,0,0,467996330702,4881378381,986075,9221,2667,367,391670,0 78,2,2024-09-07 09:46:21:409,718285,718272,13,0,28374648,0,8313 78,3,2024-09-07 09:46:21:138,1,568,2,0,311,4860,568,0 79,0,2024-09-07 09:46:21:352,137287,0.4,140587,0.6,288124,0.3,375262,2.00 79,1,2024-09-07 09:46:20:572,1001193,1001193,0,0,469946983434,4866838812,993402,6432,1359,367,391682,0 79,2,2024-09-07 09:46:21:068,722660,722660,0,0,27620660,0,4195 79,3,2024-09-07 09:46:20:755,1,568,1,0,418,6852,568,0 80,0,2024-09-07 09:46:21:074,138088,0.5,141732,0.7,271115,0.5,366857,2.00 80,1,2024-09-07 09:46:21:638,998145,998145,0,0,469238880415,4885405999,991692,6019,434,368,392269,0 80,2,2024-09-07 09:46:21:106,722362,722362,0,0,28202303,0,4433 80,3,2024-09-07 09:46:20:575,1,568,4,0,681,7217,568,0 81,0,2024-09-07 09:46:21:575,144100,0.5,147454,0.7,281240,0.5,382455,2.00 81,1,2024-09-07 09:46:21:654,996707,996707,0,0,467753825742,4890305279,988579,7365,763,382,391885,0 81,2,2024-09-07 09:46:21:125,713901,713838,63,0,31075291,0,5932 81,3,2024-09-07 09:46:21:126,1,568,3,0,719,6889,568,0 82,0,2024-09-07 09:46:21:533,144644,0.5,144857,0.7,290275,0.4,384877,2.00 82,1,2024-09-07 09:46:20:584,999691,999687,0,4,468676111776,4872946553,994129,4735,823,381,391768,4 82,2,2024-09-07 09:46:21:695,718848,718848,0,0,26136538,0,4484 82,3,2024-09-07 09:46:21:752,1,568,11,0,363,5437,568,0 83,0,2024-09-07 09:46:21:525,142931,0.6,143004,0.7,285366,0.6,378761,2.25 83,1,2024-09-07 09:46:20:551,997448,997448,0,0,467719327349,4877373239,990091,6713,644,382,391709,0 83,2,2024-09-07 09:46:20:764,721817,721792,25,0,28424493,0,5612 83,3,2024-09-07 09:46:20:756,1,568,15,0,1260,6999,568,0 84,0,2024-09-07 09:46:21:766,136371,0.7,136644,0.9,272884,0.6,364806,2.25 84,1,2024-09-07 09:46:21:045,995971,995971,0,0,467511878008,4890167832,984238,10063,1670,367,391967,0 84,2,2024-09-07 09:46:20:571,719750,719720,30,0,37006415,0,5971 84,3,2024-09-07 09:46:21:146,1,568,2,0,908,7720,568,0 85,0,2024-09-07 09:46:21:058,137938,0.6,137888,0.8,292806,0.5,378828,2.25 85,1,2024-09-07 09:46:20:559,993456,993456,0,0,466709727966,4929687913,977824,12871,2761,381,392092,0 85,2,2024-09-07 09:46:20:872,715439,715439,0,0,34570076,0,4255 85,3,2024-09-07 09:46:20:689,1,568,0,0,789,6730,568,0 86,0,2024-09-07 09:46:20:902,143543,0.6,147533,0.8,282069,0.7,381929,2.25 86,1,2024-09-07 09:46:20:824,995838,995838,0,0,468561231830,4913939863,982563,10618,2657,366,392169,0 86,2,2024-09-07 09:46:20:865,714830,714829,1,0,36764759,0,5004 86,3,2024-09-07 09:46:20:585,1,568,1,0,308,8065,568,0 87,0,2024-09-07 09:46:21:360,144515,0.8,144064,0.8,288091,1.0,384749,2.25 87,1,2024-09-07 09:46:20:560,995465,995465,0,0,468173559433,4903630052,982890,10855,1720,366,392076,0 87,2,2024-09-07 09:46:21:085,717674,717668,6,0,33437042,0,6323 87,3,2024-09-07 09:46:21:818,1,568,5,0,473,8451,568,0 88,0,2024-09-07 09:46:21:466,138307,0.5,138695,0.6,277303,0.4,368982,1.75 88,1,2024-09-07 09:46:20:570,994916,994916,0,0,467539207057,4897575850,981325,10852,2739,365,392084,0 88,2,2024-09-07 09:46:20:699,719626,719626,0,0,36493526,0,4465 88,3,2024-09-07 09:46:21:277,1,568,3,0,1080,8571,568,0 89,0,2024-09-07 09:46:21:784,145939,0.4,141590,0.6,279303,0.4,380230,1.75 89,1,2024-09-07 09:46:20:549,994312,994312,0,0,467369021802,4916783244,981741,10638,1933,382,391866,0 89,2,2024-09-07 09:46:21:141,718249,718249,0,0,33874437,0,3173 89,3,2024-09-07 09:46:21:794,1,568,23,0,468,9564,568,0 90,0,2024-09-07 09:46:21:653,139370,0.5,143176,0.6,291945,0.4,380911,2.00 90,1,2024-09-07 09:46:20:599,996230,996230,0,0,468028996675,4902479913,986545,8796,889,380,391825,0 90,2,2024-09-07 09:46:21:427,712535,712535,0,0,36311979,0,3608 90,3,2024-09-07 09:46:20:930,1,568,36,0,322,6925,568,0 91,0,2024-09-07 09:46:20:941,145569,0.5,141221,0.6,295330,0.4,387187,1.75 91,1,2024-09-07 09:46:20:564,993695,993695,0,0,468052844616,4928594320,979549,11409,2737,381,392047,0 91,2,2024-09-07 09:46:21:420,717130,717130,0,0,31913554,0,4713 91,3,2024-09-07 09:46:20:598,1,568,5,0,216,5209,568,0 92,0,2024-09-07 09:46:21:443,143119,0.5,146387,0.6,278952,0.5,378065,1.75 92,1,2024-09-07 09:46:20:580,997413,997413,0,0,468258003109,4890971573,989592,6611,1210,381,392136,0 92,2,2024-09-07 09:46:21:370,721715,721715,0,0,29076923,0,3259 92,3,2024-09-07 09:46:21:008,1,568,1,0,167,4848,568,0 93,0,2024-09-07 09:46:20:978,140228,0.4,143744,0.6,274353,0.4,372529,1.75 93,1,2024-09-07 09:46:20:805,997607,997607,0,0,468415654386,4890592827,986293,9389,1925,366,391776,0 93,2,2024-09-07 09:46:20:945,719864,719864,0,0,34830185,0,4913 93,3,2024-09-07 09:46:21:407,1,568,1,0,294,5620,568,0 94,0,2024-09-07 09:46:21:615,143432,0.4,144474,0.5,288862,0.3,383546,1.75 94,1,2024-09-07 09:46:20:566,998381,998381,0,0,468416326009,4886123702,991652,6299,430,381,391850,0 94,2,2024-09-07 09:46:20:763,715318,715290,28,0,29341520,0,6179 94,3,2024-09-07 09:46:21:693,1,568,1,0,576,7020,568,0 95,0,2024-09-07 09:46:21:377,144921,0.3,144944,0.5,290028,0.3,386541,1.75 95,1,2024-09-07 09:46:20:851,999673,999673,0,0,468440902147,4869492386,991511,7460,702,365,391852,0 95,2,2024-09-07 09:46:21:037,715797,715797,0,0,29004423,0,3308 95,3,2024-09-07 09:46:21:713,1,568,9,0,718,8805,568,0 96,0,2024-09-07 09:46:21:039,142654,0.4,142980,0.5,285910,0.3,379998,1.75 96,1,2024-09-07 09:46:21:587,997201,997201,0,0,468613040288,4888843351,989928,6002,1271,384,391964,0 96,2,2024-09-07 09:46:21:296,722813,722813,0,0,30016752,0,4180 96,3,2024-09-07 09:46:21:143,1,568,1,0,411,6573,568,0 97,0,2024-09-07 09:46:21:329,137617,0.3,137591,0.5,275983,0.3,366815,1.75 97,1,2024-09-07 09:46:20:771,999458,999458,0,0,469710452868,4872919522,993071,5361,1026,367,392140,0 97,2,2024-09-07 09:46:20:607,721330,721330,0,0,29910164,0,4046 97,3,2024-09-07 09:46:20:570,1,568,0,0,242,6440,568,0 98,0,2024-09-07 09:46:21:696,142938,0.3,143053,0.4,287054,0.2,381508,1.50 98,1,2024-09-07 09:46:20:571,998554,998554,0,0,468560205836,4880367823,992350,5385,819,381,391997,0 98,2,2024-09-07 09:46:20:769,716352,716352,0,0,28639285,0,4336 98,3,2024-09-07 09:46:20:735,1,568,13,0,840,8705,568,0 99,0,2024-09-07 09:46:21:475,144539,0.3,145242,0.5,288856,0.3,385715,1.75 99,1,2024-09-07 09:46:21:725,999388,999388,0,0,468610696234,4876752049,993165,5294,929,380,392069,0 99,2,2024-09-07 09:46:21:426,716538,716538,0,0,34995169,0,4276 99,3,2024-09-07 09:46:20:581,1,568,4,0,1124,7228,568,0 100,0,2024-09-07 09:46:21:531,143354,0.8,143616,0.9,286881,0.9,383053,2.50 100,1,2024-09-07 09:46:20:553,993395,993395,0,0,466168170713,4930707349,977715,12391,3289,378,391989,0 100,2,2024-09-07 09:46:21:854,718008,717997,11,0,34216191,0,5417 100,3,2024-09-07 09:46:21:750,1,568,40,0,627,9863,568,0 101,0,2024-09-07 09:46:21:706,140708,1.1,137300,1.0,268647,1.0,367699,2.25 101,1,2024-09-07 09:46:20:551,994866,994866,0,0,467163279374,4909877419,981365,10997,2504,368,391847,0 101,2,2024-09-07 09:46:21:759,715403,715403,0,0,38663753,0,4871 101,3,2024-09-07 09:46:20:952,1,568,0,0,1250,8797,568,0 102,0,2024-09-07 09:46:21:069,138917,0.6,143105,0.7,290510,0.6,380419,2.00 102,1,2024-09-07 09:46:21:192,994923,994923,0,0,466733598568,4903872163,981380,11390,2153,369,391984,0 102,2,2024-09-07 09:46:21:741,718513,718459,54,0,32920029,0,6768 102,3,2024-09-07 09:46:21:624,1,568,8,0,466,6535,568,0 103,0,2024-09-07 09:46:21:599,148448,0.6,148424,0.7,279625,0.6,384986,2.00 103,1,2024-09-07 09:46:21:633,993532,993532,0,0,466298127905,4926396720,977333,12609,3590,381,392077,0 103,2,2024-09-07 09:46:20:590,713778,713778,0,0,34923057,0,3766 103,3,2024-09-07 09:46:20:759,1,568,2,0,916,6990,568,0 104,0,2024-09-07 09:46:21:003,144224,0.7,144387,0.9,287962,0.7,385200,2.25 104,1,2024-09-07 09:46:21:623,996450,996450,0,0,467169028766,4907132611,982378,11483,2589,365,392168,0 104,2,2024-09-07 09:46:21:667,715266,715266,0,0,34229022,0,3941 104,3,2024-09-07 09:46:21:644,1,568,1,0,1245,10314,568,0 105,0,2024-09-07 09:46:21:026,138073,0.9,134606,1.0,281983,1.0,369987,2.50 105,1,2024-09-07 09:46:20:566,997930,997930,0,0,468740293903,4908773923,985740,10382,1808,364,392009,0 105,2,2024-09-07 09:46:21:330,719684,719684,0,0,35146041,0,4360 105,3,2024-09-07 09:46:21:342,1,568,1,0,573,8762,568,0 106,0,2024-09-07 09:46:20:963,135500,0.8,138761,0.9,284522,0.8,371502,2.50 106,1,2024-09-07 09:46:21:792,996307,996307,0,0,468164551473,4912571809,982592,11981,1734,368,391914,0 106,2,2024-09-07 09:46:20:757,718601,718601,0,0,32574194,0,2920 106,3,2024-09-07 09:46:20:729,1,568,18,0,1224,8252,568,0 107,0,2024-09-07 09:46:21:130,143604,1.0,143751,0.9,287497,1.2,383096,2.25 107,1,2024-09-07 09:46:20:586,994170,994170,0,0,466905021551,4928330606,979212,13231,1727,381,392234,0 107,2,2024-09-07 09:46:21:299,712467,712466,1,0,35070823,0,5024 107,3,2024-09-07 09:46:21:765,1,568,4,0,733,8823,568,0 108,0,2024-09-07 09:46:21:839,144993,0.4,145519,0.6,289980,0.4,386741,1.75 108,1,2024-09-07 09:46:21:295,997129,997129,0,0,469082267114,4894106710,988629,7522,978,367,391894,0 108,2,2024-09-07 09:46:21:766,716429,716429,0,0,31219177,0,4246 108,3,2024-09-07 09:46:21:375,1,568,9,0,749,10672,568,0 109,0,2024-09-07 09:46:21:960,142877,0.4,141550,0.6,284248,0.3,379607,1.75 109,1,2024-09-07 09:46:20:599,994605,994605,0,0,467506756855,4904494604,985762,7621,1222,382,392132,0 109,2,2024-09-07 09:46:20:965,720135,720135,0,0,32187789,0,3617 109,3,2024-09-07 09:46:21:146,1,568,4,0,630,7126,568,0 110,0,2024-09-07 09:46:21:799,138120,0.4,134300,0.6,281308,0.4,368924,1.75 110,1,2024-09-07 09:46:21:659,999214,999214,0,0,469550400969,4876582326,991312,5978,1924,368,392045,0 110,2,2024-09-07 09:46:21:313,720551,720551,0,0,29795213,0,4067 110,3,2024-09-07 09:46:20:730,1,568,8,0,722,7843,568,0 111,0,2024-09-07 09:46:21:417,144945,0.4,144111,0.5,288350,0.3,385194,1.75 111,1,2024-09-07 09:46:21:003,1000625,1000625,0,0,470698895967,4880557359,995740,4522,363,380,391690,0 111,2,2024-09-07 09:46:21:115,715198,715198,0,0,29910229,0,4823 111,3,2024-09-07 09:46:20:915,1,568,6,0,379,6380,568,0 112,0,2024-09-07 09:46:20:986,145619,0.3,145009,0.4,290790,0.2,386075,1.50 112,1,2024-09-07 09:46:20:837,998975,998975,0,0,469584957940,4878346846,991812,5938,1225,380,391624,0 112,2,2024-09-07 09:46:21:138,716660,716659,1,0,28574903,0,5036 112,3,2024-09-07 09:46:20:591,1,568,1,0,282,5358,568,0 113,0,2024-09-07 09:46:20:876,143181,0.3,143089,0.5,286479,0.2,381127,1.75 113,1,2024-09-07 09:46:21:695,1001303,1001303,0,0,470961953225,4861008715,995228,5129,946,365,391664,0 113,2,2024-09-07 09:46:21:314,724249,724249,0,0,26847086,0,3813 113,3,2024-09-07 09:46:20:735,1,568,3,0,510,6231,568,0 114,0,2024-09-07 09:46:20:883,137884,0.3,138815,0.5,276025,0.2,368980,1.75 114,1,2024-09-07 09:46:20:732,999306,999306,0,0,469494292578,4876687858,991549,6012,1745,381,391565,0 114,2,2024-09-07 09:46:20:888,721694,721693,1,0,28368015,0,5069 114,3,2024-09-07 09:46:21:298,1,568,7,0,395,4514,568,0 115,0,2024-09-07 09:46:20:554,143895,0.3,144286,0.4,287456,0.2,382885,1.50 115,1,2024-09-07 09:46:20:571,999659,999659,0,0,468971455096,4873429226,991659,6494,1506,382,391757,0 115,2,2024-09-07 09:46:21:128,719180,719180,0,0,28156994,0,4382 115,3,2024-09-07 09:46:21:038,1,568,1,0,167,3315,568,0 116,0,2024-09-07 09:46:21:716,143273,0.6,142960,0.8,286657,0.6,383111,2.00 116,1,2024-09-07 09:46:20:805,994736,994736,0,0,467210671071,4926775727,982403,9270,3063,380,392089,0 116,2,2024-09-07 09:46:21:756,715742,715742,0,0,35117937,0,4475 116,3,2024-09-07 09:46:20:928,1,568,7,0,448,7484,568,0 117,0,2024-09-07 09:46:20:955,144665,0.7,143999,0.8,288610,0.8,385472,2.00 117,1,2024-09-07 09:46:21:583,996177,996177,0,0,466963637823,4889480966,985155,9560,1462,369,392033,0 117,2,2024-09-07 09:46:21:118,720383,720383,0,0,31638533,0,4303 117,3,2024-09-07 09:46:21:066,1,568,10,0,490,7523,568,0 118,0,2024-09-07 09:46:21:793,134195,0.6,137803,0.7,281075,0.5,367438,2.00 118,1,2024-09-07 09:46:20:585,994429,994429,0,0,467662134225,4919030523,979171,11642,3616,366,392054,0 118,2,2024-09-07 09:46:21:588,719122,719122,0,0,33998210,0,2842 118,3,2024-09-07 09:46:21:767,1,568,3,0,289,6535,568,0 119,0,2024-09-07 09:46:21:361,141476,0.6,142102,0.7,283591,0.5,378397,2.00 119,1,2024-09-07 09:46:20:551,995684,995684,0,0,468358113764,4911473108,981894,11403,2387,367,391857,0 119,2,2024-09-07 09:46:21:285,719581,719581,0,0,31896973,0,4309 119,3,2024-09-07 09:46:21:331,1,568,9,0,1358,10814,568,0 120,0,2024-09-07 09:46:21:548,142766,0.7,142598,0.8,286415,0.7,381787,2.25 120,1,2024-09-07 09:46:20:866,997380,997380,0,0,466985995150,4893297293,987631,8967,782,367,392144,0 120,2,2024-09-07 09:46:20:770,714089,714088,1,0,36782593,0,5281 120,3,2024-09-07 09:46:21:298,1,568,1,0,279,7141,568,0 121,0,2024-09-07 09:46:21:698,145257,1.1,144683,1.0,289810,1.5,385989,2.25 121,1,2024-09-07 09:46:21:667,996935,996935,0,0,467851169017,4891402343,987055,9028,852,366,391840,0 121,2,2024-09-07 09:46:21:126,716342,716342,0,0,34162722,0,4157 121,3,2024-09-07 09:46:20:735,1,568,1,0,387,7120,568,0 122,0,2024-09-07 09:46:21:761,140958,0.8,137170,0.9,287459,0.9,377896,2.00 122,1,2024-09-07 09:46:20:886,995562,995562,0,0,467621444149,4907854245,981696,11534,2332,366,392130,0 122,2,2024-09-07 09:46:21:329,721700,721625,75,0,38302350,0,5989 122,3,2024-09-07 09:46:20:600,1,568,20,0,512,9477,568,0 123,0,2024-09-07 09:46:20:974,138975,0.7,135147,0.8,283178,0.8,371240,2.25 123,1,2024-09-07 09:46:20:563,995868,995868,0,0,467773707347,4921149749,979650,13562,2656,369,392039,0 123,2,2024-09-07 09:46:21:034,718042,718041,1,0,32729595,0,5215 123,3,2024-09-07 09:46:21:141,1,568,1,0,478,6538,568,0 124,0,2024-09-07 09:46:20:929,147858,0.3,147759,0.5,278925,0.3,383870,1.75 124,1,2024-09-07 09:46:21:039,999303,999303,0,0,469187605633,4878288817,991815,6318,1170,365,392178,0 124,2,2024-09-07 09:46:21:009,716731,716678,53,0,29460113,0,6487 124,3,2024-09-07 09:46:20:763,1,568,1,0,490,5762,568,0 125,0,2024-09-07 09:46:21:483,144685,0.4,144693,0.5,290311,0.3,386655,1.75 125,1,2024-09-07 09:46:20:864,996569,996569,0,0,468022264049,4886471409,988761,6678,1130,382,391813,0 125,2,2024-09-07 09:46:21:116,719050,719050,0,0,29430645,0,4534 125,3,2024-09-07 09:46:21:128,1,568,1,0,709,6585,568,0 126,0,2024-09-07 09:46:21:450,142912,0.4,147020,0.6,281105,0.4,380653,1.75 126,1,2024-09-07 09:46:20:551,1000002,1000002,0,0,468973201431,4856371280,994674,4883,445,365,391987,0 126,2,2024-09-07 09:46:20:614,722970,722970,0,0,31032344,0,4539 126,3,2024-09-07 09:46:20:907,1,568,8,0,268,6243,568,0 127,0,2024-09-07 09:46:21:612,137911,0.3,138145,0.5,275842,0.3,367213,1.75 127,1,2024-09-07 09:46:20:569,998190,998190,0,0,468463670264,4875847664,987986,8582,1622,364,392187,0 127,2,2024-09-07 09:46:20:650,720206,720202,4,0,29176806,0,5305 127,3,2024-09-07 09:46:21:291,1,568,2,0,968,5614,568,0 128,0,2024-09-07 09:46:21:524,143843,0.3,143846,0.4,287310,0.2,382164,1.50 128,1,2024-09-07 09:46:21:622,998430,998430,0,0,468968568666,4877987752,990450,7042,938,367,392423,0 128,2,2024-09-07 09:46:21:386,717465,717465,0,0,26914703,0,3171 128,3,2024-09-07 09:46:20:767,1,568,1,0,1082,9063,568,0 129,0,2024-09-07 09:46:21:004,145590,0.3,144841,0.5,290315,0.3,386031,1.50 129,1,2024-09-07 09:46:20:568,994792,994792,0,0,467445074474,4900651144,984584,8188,2020,379,391962,0 129,2,2024-09-07 09:46:20:729,717977,717973,4,0,29362869,0,5335 129,3,2024-09-07 09:46:20:714,1,568,6,0,506,8041,568,0 130,0,2024-09-07 09:46:21:730,144680,0.4,144025,0.6,288733,0.4,384436,1.75 130,1,2024-09-07 09:46:20:586,999227,999227,0,0,469081006337,4873907601,993928,4907,392,381,391825,0 130,2,2024-09-07 09:46:21:124,721536,721536,0,0,29370461,0,4067 130,3,2024-09-07 09:46:21:293,1,568,1,0,960,7710,568,0 131,0,2024-09-07 09:46:21:928,137967,0.4,138416,0.5,277393,0.3,368599,1.75 131,1,2024-09-07 09:46:21:841,998196,998196,0,0,468280908731,4882723146,991178,5824,1194,381,391865,0 131,2,2024-09-07 09:46:20:566,720644,720644,0,0,27655706,0,3979 131,3,2024-09-07 09:46:21:695,1,568,2,0,392,7348,568,0 132,0,2024-09-07 09:46:21:440,142927,0.5,143896,0.6,286485,0.4,381588,2.00 132,1,2024-09-07 09:46:20:576,994524,994524,0,0,466869737491,4914500925,980299,11585,2640,381,392532,0 132,2,2024-09-07 09:46:20:743,718255,718238,17,0,35752754,0,6451 132,3,2024-09-07 09:46:21:692,1,568,1,0,804,9245,568,0 133,0,2024-09-07 09:46:21:620,140218,0.4,143349,0.6,294025,0.4,382668,2.00 133,1,2024-09-07 09:46:20:583,994458,994458,0,0,467239770033,4923169130,981076,11670,1712,383,391914,0 133,2,2024-09-07 09:46:21:099,716653,716603,50,0,36220000,0,6861 133,3,2024-09-07 09:46:21:300,1,568,7,0,528,6958,568,0 134,0,2024-09-07 09:46:20:968,145423,0.5,145503,0.7,291290,0.5,387347,2.00 134,1,2024-09-07 09:46:20:584,995953,995953,0,0,467482080203,4900884095,983626,10171,2156,366,391781,0 134,2,2024-09-07 09:46:21:779,717277,717253,24,0,33770791,0,6207 134,3,2024-09-07 09:46:20:764,1,568,13,0,739,6679,568,0 135,0,2024-09-07 09:46:21:098,135183,0.9,135168,0.9,287073,1.0,369508,2.25 135,1,2024-09-07 09:46:21:587,995259,995259,0,0,467665318248,4917101531,982780,10877,1602,380,391805,0 135,2,2024-09-07 09:46:20:741,721313,721313,0,0,34682860,0,4503 135,3,2024-09-07 09:46:21:003,1,568,222,0,900,5812,568,0 136,0,2024-09-07 09:46:21:628,140847,0.6,141215,0.7,281032,0.5,374979,2.00 136,1,2024-09-07 09:46:21:450,996524,996524,0,0,467818645989,4903579238,985335,9822,1367,381,392135,0 136,2,2024-09-07 09:46:21:132,720782,720767,15,0,34200014,0,6007 136,3,2024-09-07 09:46:21:114,1,568,1,0,637,6760,568,0 137,0,2024-09-07 09:46:20:923,148508,0.6,144756,0.7,283503,0.6,384805,2.00 137,1,2024-09-07 09:46:20:575,995318,995318,0,0,468417349494,4911263405,980158,12108,3052,366,391898,0 137,2,2024-09-07 09:46:21:707,713483,713483,0,0,35000357,0,3185 137,3,2024-09-07 09:46:20:770,1,568,1,0,484,7364,568,0 138,0,2024-09-07 09:46:21:744,144449,0.7,144680,0.9,289940,0.8,385146,2.00 138,1,2024-09-07 09:46:21:698,996017,996017,0,0,468248282446,4911284818,982105,11672,2240,368,391954,0 138,2,2024-09-07 09:46:20:585,715821,715821,0,0,32693386,0,4988 138,3,2024-09-07 09:46:20:613,1,568,7,0,1200,9089,568,0 139,0,2024-09-07 09:46:21:385,141032,1.2,141598,1.0,283049,1.6,377859,2.25 139,1,2024-09-07 09:46:20:588,991929,991929,0,0,464684487935,4926940449,974664,13580,3685,380,392109,0 139,2,2024-09-07 09:46:20:714,717536,717536,0,0,37307723,0,3360 139,3,2024-09-07 09:46:21:662,1,568,2,0,432,6624,568,0 140,0,2024-09-07 09:46:21:588,138683,0.3,137983,0.5,276846,0.2,369243,1.75 140,1,2024-09-07 09:46:21:541,1001923,1001923,0,0,470753982920,4853798562,996979,4398,546,364,391606,0 140,2,2024-09-07 09:46:20:740,721280,721279,1,0,27878665,0,5036 140,3,2024-09-07 09:46:20:767,1,568,8,0,575,5767,568,0 141,0,2024-09-07 09:46:21:704,144530,0.3,148557,0.5,283804,0.3,385020,1.75 141,1,2024-09-07 09:46:20:860,999545,999545,0,0,469695815129,4880395183,991582,6784,1179,379,391614,0 141,2,2024-09-07 09:46:21:696,715832,715821,11,0,29522761,0,5369 141,3,2024-09-07 09:46:21:043,1,568,1,0,391,6327,568,0 142,0,2024-09-07 09:46:21:332,145662,0.3,144720,0.5,289955,0.2,386940,1.50 142,1,2024-09-07 09:46:20:584,997942,997942,0,0,468515335284,4883777979,991630,5810,502,382,392102,0 142,2,2024-09-07 09:46:21:305,715914,715882,32,0,29802804,0,6028 142,3,2024-09-07 09:46:21:748,1,568,7,0,484,5988,568,0 143,0,2024-09-07 09:46:21:377,142902,0.4,143022,0.6,286842,0.4,381056,1.75 143,1,2024-09-07 09:46:20:561,1000694,1000694,0,0,469343966243,4870513895,994319,5810,565,367,391722,0 143,2,2024-09-07 09:46:20:770,722413,722413,0,0,29932536,0,3123 143,3,2024-09-07 09:46:21:141,1,568,9,0,462,7101,568,0 144,0,2024-09-07 09:46:21:521,133384,0.6,137246,0.8,279234,0.5,365582,2.00 144,1,2024-09-07 09:46:20:573,995049,995049,0,0,466978026497,4900847965,984836,8226,1987,381,391733,0 144,2,2024-09-07 09:46:21:755,720952,720952,0,0,29309324,0,4443 144,3,2024-09-07 09:46:21:751,1,568,11,0,249,5552,568,0 145,0,2024-09-07 09:46:21:409,138802,0.5,138779,0.7,294675,0.5,379581,2.25 145,1,2024-09-07 09:46:20:556,994511,994511,0,0,466552417499,4912383792,980695,11145,2671,382,391759,0 145,2,2024-09-07 09:46:21:430,715615,715533,82,0,34631053,0,7814 145,3,2024-09-07 09:46:20:896,1,568,6,0,622,7782,568,0 146,0,2024-09-07 09:46:21:617,143395,0.6,142978,0.7,287067,0.6,381412,2.00 146,1,2024-09-07 09:46:21:595,995482,995482,0,0,466951963990,4914403575,978578,12438,4466,367,391770,0 146,2,2024-09-07 09:46:21:695,714906,714900,6,0,32461008,0,5151 146,3,2024-09-07 09:46:21:277,1,568,8,0,1520,9449,568,0 147,0,2024-09-07 09:46:21:726,144566,0.6,144276,0.7,288213,0.6,384571,2.25 147,1,2024-09-07 09:46:21:373,999311,999311,0,0,469378672186,4878654205,991213,7152,946,367,391791,0 147,2,2024-09-07 09:46:21:018,718388,718388,0,0,29135268,0,2968 147,3,2024-09-07 09:46:20:920,1,568,1,0,1626,9014,568,0 0,0,2024-09-07 09:46:31:709,139722,0.6,139720,0.7,296417,0.6,382907,2.00 0,1,2024-09-07 09:46:30:822,997763,997763,0,0,468631793549,4907304746,989518,7318,927,369,391896,0 0,2,2024-09-07 09:46:31:066,718140,718140,0,0,29275379,0,4480 0,3,2024-09-07 09:46:30:983,1,569,11,0,431,8186,569,0 1,0,2024-09-07 09:46:31:790,145123,0.9,144095,0.9,289898,1.1,386818,2.00 1,1,2024-09-07 09:46:30:564,996455,996455,0,0,468186805912,4906239522,987083,7883,1489,370,391859,0 1,2,2024-09-07 09:46:30:662,718551,718551,0,0,28819962,0,3380 1,3,2024-09-07 09:46:31:302,1,569,15,0,269,6935,569,0 2,0,2024-09-07 09:46:31:579,141390,0.6,141190,0.7,282366,0.6,376435,2.00 2,1,2024-09-07 09:46:30:859,1000141,1000141,0,0,470786522999,4895909408,994069,5123,949,379,391745,0 2,2,2024-09-07 09:46:31:266,722484,722484,0,0,28040182,0,3594 2,3,2024-09-07 09:46:30:694,1,569,1,0,357,5107,569,0 3,0,2024-09-07 09:46:31:752,139827,0.4,139607,0.6,278813,0.3,372240,2.00 3,1,2024-09-07 09:46:31:625,998806,998806,0,0,468554695963,4875619538,991235,6868,703,379,391716,0 3,2,2024-09-07 09:46:31:146,722176,722153,23,0,28971083,0,5851 3,3,2024-09-07 09:46:31:751,1,569,64,0,275,3838,569,0 4,0,2024-09-07 09:46:31:761,139898,0.4,143754,0.5,293132,0.3,383396,1.75 4,1,2024-09-07 09:46:30:674,995554,995554,0,0,466344284995,4939209937,978182,13664,3708,370,391992,0 4,2,2024-09-07 09:46:31:030,713395,713395,0,0,34703474,0,4534 4,3,2024-09-07 09:46:31:034,1,569,5,0,448,7064,569,0 5,0,2024-09-07 09:46:31:400,145243,0.4,145336,0.5,290785,0.3,386569,1.75 5,1,2024-09-07 09:46:30:770,996167,996167,0,0,468334320189,4931336833,981993,11361,2813,367,392005,0 5,2,2024-09-07 09:46:31:846,715204,715204,0,0,33650410,0,3582 5,3,2024-09-07 09:46:31:732,1,569,3,0,457,7615,569,0 6,0,2024-09-07 09:46:30:953,143200,0.5,142688,0.7,285639,0.4,380735,2.00 6,1,2024-09-07 09:46:30:759,998353,998353,0,0,468756620788,4897327159,987797,9034,1522,379,391702,0 6,2,2024-09-07 09:46:31:116,723573,723555,18,0,33225202,0,5535 6,3,2024-09-07 09:46:31:276,1,569,15,0,710,7015,569,0 7,0,2024-09-07 09:46:31:535,137415,0.5,138213,0.7,275058,0.5,366904,2.00 7,1,2024-09-07 09:46:30:861,997080,997080,0,0,468386802981,4921363739,982633,12038,2409,382,391747,0 7,2,2024-09-07 09:46:30:770,720696,720696,0,0,31814421,0,4791 7,3,2024-09-07 09:46:30:854,1,569,2,0,552,6461,569,0 8,0,2024-09-07 09:46:31:353,143912,0.3,143594,0.5,287589,0.3,383726,1.75 8,1,2024-09-07 09:46:31:018,995595,995595,0,0,468144962184,4924588564,979553,12502,3540,366,392853,0 8,2,2024-09-07 09:46:30:808,711941,711939,2,0,37230242,0,5112 8,3,2024-09-07 09:46:30:683,1,569,14,0,772,9106,569,0 9,0,2024-09-07 09:46:31:163,144538,0.4,140738,0.5,294495,0.3,386313,1.75 9,1,2024-09-07 09:46:30:698,995660,995660,0,0,467277056056,4924167262,980488,12185,2987,369,392001,0 9,2,2024-09-07 09:46:31:102,716791,716790,1,0,34059203,0,5281 9,3,2024-09-07 09:46:31:752,1,569,1,0,1273,9755,569,0 10,0,2024-09-07 09:46:31:604,143819,0.4,143338,0.5,287587,0.3,383049,1.75 10,1,2024-09-07 09:46:30:677,997613,997613,0,0,468209103750,4907358692,983830,11350,2433,381,391869,0 10,2,2024-09-07 09:46:30:762,722052,722052,0,0,37203301,0,4264 10,3,2024-09-07 09:46:30:875,1,569,11,0,669,6621,569,0 11,0,2024-09-07 09:46:31:009,138060,0.4,133871,0.6,280050,0.4,369030,1.75 11,1,2024-09-07 09:46:30:677,999174,999174,0,0,468496871465,4911903750,984891,10521,3762,383,391766,0 11,2,2024-09-07 09:46:31:131,720182,720182,0,0,33284705,0,4130 11,3,2024-09-07 09:46:31:303,1,569,0,0,843,7421,569,0 12,0,2024-09-07 09:46:31:010,144105,0.4,144177,0.5,287916,0.3,383189,1.75 12,1,2024-09-07 09:46:30:946,998665,998665,0,0,468494275723,4888438966,990385,7280,1000,370,391960,0 12,2,2024-09-07 09:46:31:541,717924,717924,0,0,32413267,0,4390 12,3,2024-09-07 09:46:31:059,1,569,7,0,386,7318,569,0 13,0,2024-09-07 09:46:31:325,144783,0.4,144707,0.5,288652,0.4,384557,1.75 13,1,2024-09-07 09:46:31:548,995961,995961,0,0,467747667011,4916980441,985656,7998,2307,382,391768,0 13,2,2024-09-07 09:46:30:682,718775,718775,0,0,28828365,0,3287 13,3,2024-09-07 09:46:31:762,1,569,3,0,522,7710,569,0 14,0,2024-09-07 09:46:30:560,145271,0.4,146336,0.6,290322,0.4,386537,1.75 14,1,2024-09-07 09:46:31:584,1003047,1003047,0,0,471162842888,4875996009,995198,6877,972,364,391673,0 14,2,2024-09-07 09:46:30:772,720236,720206,30,0,30462930,0,6104 14,3,2024-09-07 09:46:31:115,1,569,8,0,1168,6382,569,0 15,0,2024-09-07 09:46:31:557,139353,0.4,138638,0.6,278283,0.4,370627,2.00 15,1,2024-09-07 09:46:31:608,1000031,1000031,0,0,469160234577,4888697419,991000,7118,1913,381,391619,0 15,2,2024-09-07 09:46:31:000,723997,723997,0,0,26522129,0,3622 15,3,2024-09-07 09:46:31:409,1,569,1,0,1126,7590,569,0 16,0,2024-09-07 09:46:30:937,140573,0.6,141172,0.7,281959,0.5,375808,2.00 16,1,2024-09-07 09:46:30:681,999969,999969,0,0,468878608073,4903479165,990734,7795,1440,370,392194,0 16,2,2024-09-07 09:46:31:439,720236,720236,0,0,30266828,0,4719 16,3,2024-09-07 09:46:31:149,1,569,1,0,358,7112,569,0 17,0,2024-09-07 09:46:31:764,148398,0.6,144708,0.8,283482,0.6,384942,2.00 17,1,2024-09-07 09:46:30:681,998101,998101,0,0,467996121202,4911466543,987940,8395,1766,368,392075,0 17,2,2024-09-07 09:46:31:666,718877,718876,1,0,31040158,0,5050 17,3,2024-09-07 09:46:30:681,1,569,2,0,518,8629,569,0 18,0,2024-09-07 09:46:30:951,143835,0.7,144803,0.8,288410,0.7,385006,2.25 18,1,2024-09-07 09:46:31:642,1002115,1002115,0,0,470143588456,4877298986,995187,5671,1257,367,391725,0 18,2,2024-09-07 09:46:31:754,720650,720650,0,0,27642112,0,3541 18,3,2024-09-07 09:46:30:903,1,569,8,0,1059,5353,569,0 19,0,2024-09-07 09:46:31:540,141973,0.6,142169,0.8,283301,0.6,376856,2.00 19,1,2024-09-07 09:46:30:689,1001770,1001770,0,0,470712023296,4878872199,994448,6233,1089,367,391777,0 19,2,2024-09-07 09:46:31:751,726120,726120,0,0,26311697,0,3988 19,3,2024-09-07 09:46:31:130,1,569,2,0,524,4386,569,0 20,0,2024-09-07 09:46:31:354,138447,0.5,138495,0.7,276707,0.5,369100,2.00 20,1,2024-09-07 09:46:30:677,997612,997612,0,0,469272643522,4916464698,987444,8665,1503,369,391922,0 20,2,2024-09-07 09:46:30:936,720842,720842,0,0,32506132,0,4321 20,3,2024-09-07 09:46:30:675,1,569,1,0,468,9273,569,0 21,0,2024-09-07 09:46:31:166,144501,0.5,144600,0.6,289190,0.4,384317,2.00 21,1,2024-09-07 09:46:31:542,995893,995893,0,0,467167140242,4931152201,980214,12272,3407,368,392016,0 21,2,2024-09-07 09:46:31:066,713037,713017,20,0,36410737,0,5617 21,3,2024-09-07 09:46:31:404,1,569,17,0,713,7969,569,0 22,0,2024-09-07 09:46:31:722,145086,0.5,145607,0.7,290425,0.4,385709,2.00 22,1,2024-09-07 09:46:31:023,997050,997050,0,0,468436732147,4930979384,980164,13515,3371,382,391822,0 22,2,2024-09-07 09:46:30:770,716491,716465,26,0,30891414,0,6328 22,3,2024-09-07 09:46:31:066,1,569,1,0,228,4817,569,0 23,0,2024-09-07 09:46:31:371,142825,0.5,142534,0.7,284915,0.5,380000,2.25 23,1,2024-09-07 09:46:31:008,998450,998450,0,0,469707355790,4928857147,981568,12033,4849,365,391690,0 23,2,2024-09-07 09:46:31:099,723752,723752,0,0,29925896,0,3773 23,3,2024-09-07 09:46:31:754,1,569,3,0,855,8691,569,0 24,0,2024-09-07 09:46:30:836,138774,0.4,137981,0.6,277688,0.4,368730,1.75 24,1,2024-09-07 09:46:30:677,997351,997351,0,0,467324466060,4891663931,987968,7700,1683,367,392269,0 24,2,2024-09-07 09:46:31:069,720880,720880,0,0,35812286,0,4438 24,3,2024-09-07 09:46:31:706,1,569,1,0,468,7178,569,0 25,0,2024-09-07 09:46:31:338,147695,0.4,143771,0.6,282255,0.4,384297,1.75 25,1,2024-09-07 09:46:30:564,995907,995907,0,0,467999322644,4940791204,979091,13284,3532,369,391928,0 25,2,2024-09-07 09:46:31:614,715496,715496,0,0,36352246,0,3978 25,3,2024-09-07 09:46:31:000,1,569,1,0,532,6343,569,0 26,0,2024-09-07 09:46:31:735,143056,0.4,140009,0.6,293905,0.4,382662,2.00 26,1,2024-09-07 09:46:31:541,999837,999837,0,0,467968325526,4898622593,986419,10958,2460,380,391748,0 26,2,2024-09-07 09:46:30:866,716784,716784,0,0,38686100,0,4689 26,3,2024-09-07 09:46:31:719,1,569,8,0,796,6563,569,0 27,0,2024-09-07 09:46:31:724,144549,0.4,145243,0.6,288669,0.4,384896,2.25 27,1,2024-09-07 09:46:31:680,999731,999731,0,0,470746196784,4904068761,990314,8122,1295,381,391626,0 27,2,2024-09-07 09:46:30:866,717680,717615,65,0,33637166,0,5699 27,3,2024-09-07 09:46:31:016,1,569,0,0,564,5090,569,0 28,0,2024-09-07 09:46:31:398,138849,0.4,138628,0.6,277718,0.3,370013,2.00 28,1,2024-09-07 09:46:30:803,1000733,1000733,0,0,469383811194,4892710859,992361,6636,1736,382,391698,0 28,2,2024-09-07 09:46:31:763,721821,721821,0,0,29709649,0,2915 28,3,2024-09-07 09:46:31:775,1,569,1,0,502,5532,569,0 29,0,2024-09-07 09:46:31:359,146292,0.4,142411,0.6,279556,0.3,381381,1.75 29,1,2024-09-07 09:46:31:561,1003706,1003706,0,0,470933639726,4870498372,997621,5311,774,367,391809,0 29,2,2024-09-07 09:46:30:863,719929,719929,0,0,28643065,0,4986 29,3,2024-09-07 09:46:30:974,1,569,0,0,459,6432,569,0 30,0,2024-09-07 09:46:31:461,142904,0.5,139164,0.7,291599,0.4,380657,2.00 30,1,2024-09-07 09:46:30:682,1002446,1002446,0,0,471368239720,4890881797,995213,6326,907,380,391672,0 30,2,2024-09-07 09:46:31:276,717720,717720,0,0,27413881,0,4192 30,3,2024-09-07 09:46:30:677,1,569,13,0,519,5405,569,0 31,0,2024-09-07 09:46:31:768,144906,0.4,145616,0.6,290288,0.4,387468,2.00 31,1,2024-09-07 09:46:30:564,1005622,1005622,0,0,472559373261,4851863905,999740,4854,1028,356,391712,0 31,2,2024-09-07 09:46:31:276,717945,717945,0,0,30840287,0,4470 31,3,2024-09-07 09:46:31:706,1,569,13,0,239,4847,569,0 32,0,2024-09-07 09:46:31:415,141848,0.3,142700,0.5,283953,0.3,377872,1.75 32,1,2024-09-07 09:46:30:814,1001978,1001978,0,0,469875095902,4883895166,995925,5339,714,381,391646,0 32,2,2024-09-07 09:46:30:934,724188,724188,0,0,27295891,0,3922 32,3,2024-09-07 09:46:31:015,1,569,1,0,304,4487,569,0 33,0,2024-09-07 09:46:31:502,140384,0.3,139893,0.4,280214,0.2,373185,1.50 33,1,2024-09-07 09:46:30:677,1001838,1001838,0,0,471332083977,4884269734,993482,7086,1270,368,391730,0 33,2,2024-09-07 09:46:30:760,722293,722258,35,0,30276860,0,7012 33,3,2024-09-07 09:46:30:903,1,569,2,0,329,5031,569,0 34,0,2024-09-07 09:46:30:940,144370,0.3,148286,0.5,283621,0.2,382630,1.75 34,1,2024-09-07 09:46:31:044,1004446,1004446,0,0,471449631789,4851047347,1001505,2832,109,367,391637,0 34,2,2024-09-07 09:46:30:770,717781,717781,0,0,27877959,0,4562 34,3,2024-09-07 09:46:31:687,1,569,541,0,541,5305,569,0 35,0,2024-09-07 09:46:30:867,144376,0.3,145165,0.5,291294,0.2,387125,1.75 35,1,2024-09-07 09:46:31:099,1000423,1000423,0,0,470344663201,4883548643,992355,6545,1523,382,391769,0 35,2,2024-09-07 09:46:31:586,717737,717737,0,0,31098788,0,4055 35,3,2024-09-07 09:46:30:909,1,569,1,0,466,5505,569,0 36,0,2024-09-07 09:46:31:523,143481,0.5,143327,0.7,286282,0.5,381409,2.00 36,1,2024-09-07 09:46:30:677,999182,999182,0,0,468872990580,4898068813,986081,10870,2231,366,391759,0 36,2,2024-09-07 09:46:31:750,723788,723788,0,0,32744816,0,3875 36,3,2024-09-07 09:46:30:863,1,569,1,0,556,7999,569,0 37,0,2024-09-07 09:46:31:369,137395,0.5,137377,0.7,275113,0.5,367254,2.25 37,1,2024-09-07 09:46:30:681,998730,998723,0,7,468714914041,4895986181,986476,9349,2898,365,391770,0 37,2,2024-09-07 09:46:31:146,718831,718816,15,0,32841082,0,5815 37,3,2024-09-07 09:46:31:765,1,569,1,0,888,7673,569,0 38,0,2024-09-07 09:46:31:441,143027,0.4,138689,0.6,290084,0.3,380193,2.00 38,1,2024-09-07 09:46:31:615,999068,999068,0,0,469388414367,4912135866,984089,11981,2998,368,391821,0 38,2,2024-09-07 09:46:30:771,716205,716158,47,0,32562012,0,6710 38,3,2024-09-07 09:46:30:999,1,569,9,0,689,7127,569,0 39,0,2024-09-07 09:46:31:764,147861,0.5,144528,0.7,281832,0.5,385182,2.00 39,1,2024-09-07 09:46:30:722,998305,998305,0,0,469113355963,4919749060,981564,13043,3698,365,391865,0 39,2,2024-09-07 09:46:31:416,717927,717927,0,0,30463463,0,3391 39,3,2024-09-07 09:46:30:729,1,569,1,0,525,6532,569,0 40,0,2024-09-07 09:46:31:493,142552,0.9,143194,1.0,285665,1.0,381142,2.75 40,1,2024-09-07 09:46:30:677,999646,999646,0,0,468369878284,4905482779,985238,11627,2781,368,391668,0 40,2,2024-09-07 09:46:31:309,719992,719991,1,0,36178990,0,5137 40,3,2024-09-07 09:46:31:145,1,569,1,0,1028,8281,569,0 41,0,2024-09-07 09:46:31:023,137430,1.2,140540,1.1,268054,1.6,364565,3.00 41,1,2024-09-07 09:46:30:771,998571,998571,0,0,468257931332,4898807639,985827,10677,2067,369,391878,0 41,2,2024-09-07 09:46:30:776,718027,718026,1,0,34999399,0,5408 41,3,2024-09-07 09:46:31:685,1,569,12,0,366,6057,569,0 42,0,2024-09-07 09:46:31:475,142596,0.9,142259,1.0,284549,1.0,378167,2.50 42,1,2024-09-07 09:46:31:450,996103,996103,0,0,467141832842,4910081971,980406,12769,2928,380,391675,0 42,2,2024-09-07 09:46:31:139,717339,717339,0,0,34791104,0,3975 42,3,2024-09-07 09:46:31:012,1,569,6,0,892,5454,569,0 43,0,2024-09-07 09:46:30:944,142326,0.7,138583,0.9,290356,0.8,379534,2.25 43,1,2024-09-07 09:46:30:677,999201,999201,0,0,469249729770,4902678048,985446,11355,2400,366,391696,0 43,2,2024-09-07 09:46:31:737,717506,717506,0,0,33160552,0,4723 43,3,2024-09-07 09:46:31:751,1,569,0,0,571,8278,569,0 44,0,2024-09-07 09:46:30:868,145537,0.4,145443,0.6,291343,0.4,387023,1.75 44,1,2024-09-07 09:46:30:682,1001764,1001764,0,0,469914426066,4859164919,992641,7184,1939,356,391809,0 44,2,2024-09-07 09:46:31:266,717502,717502,0,0,27386096,0,4344 44,3,2024-09-07 09:46:31:093,1,569,9,0,1097,7220,569,0 45,0,2024-09-07 09:46:31:757,137910,0.5,134658,0.7,282678,0.4,370720,2.00 45,1,2024-09-07 09:46:31:014,1000520,1000520,0,0,470321081630,4888049787,992457,7160,903,382,391917,0 45,2,2024-09-07 09:46:31:267,722920,722920,0,0,27910352,0,3596 45,3,2024-09-07 09:46:30:934,1,569,1,0,531,5648,569,0 46,0,2024-09-07 09:46:30:950,140058,0.5,139713,0.7,280266,0.5,372692,2.00 46,1,2024-09-07 09:46:30:677,1002611,1002611,0,0,471528635752,4873907524,995526,6221,864,366,391709,0 46,2,2024-09-07 09:46:30:676,721745,721745,0,0,28629531,0,4443 46,3,2024-09-07 09:46:31:138,1,569,1,0,908,6983,569,0 47,0,2024-09-07 09:46:31:106,144240,0.4,144178,0.6,289407,0.4,383395,2.00 47,1,2024-09-07 09:46:30:681,1003868,1003868,0,0,471010766879,4866338380,998104,4911,853,365,391641,0 47,2,2024-09-07 09:46:30:915,719847,719847,0,0,27531636,0,4477 47,3,2024-09-07 09:46:31:115,1,569,1,0,600,6365,569,0 48,0,2024-09-07 09:46:31:495,145561,0.3,145767,0.4,290834,0.2,386825,1.50 48,1,2024-09-07 09:46:31:022,1001297,1001297,0,0,469882612147,4881598212,995227,5492,578,383,391710,0 48,2,2024-09-07 09:46:30:703,718801,718801,0,0,25957979,0,3524 48,3,2024-09-07 09:46:30:762,1,569,17,0,339,4711,569,0 49,0,2024-09-07 09:46:31:716,146281,0.3,143458,0.5,279166,0.3,381035,1.75 49,1,2024-09-07 09:46:31:022,1000172,1000172,0,0,469824906613,4889019043,993034,5444,1694,382,391809,0 49,2,2024-09-07 09:46:31:796,724407,724407,0,0,28516801,0,4426 49,3,2024-09-07 09:46:31:419,1,569,5,0,992,6955,569,0 50,0,2024-09-07 09:46:31:519,139235,0.3,137462,0.5,276959,0.2,369050,1.75 50,1,2024-09-07 09:46:31:014,1003466,1003466,0,0,471254679271,4872419181,997078,5719,669,368,391565,0 50,2,2024-09-07 09:46:31:067,721176,721176,0,0,26121222,0,4490 50,3,2024-09-07 09:46:31:291,1,569,12,0,617,5907,569,0 51,0,2024-09-07 09:46:31:695,148354,0.3,145324,0.5,282879,0.2,385855,1.75 51,1,2024-09-07 09:46:31:680,1004288,1004288,0,0,471646495996,4865329018,998672,4536,1080,365,391706,0 51,2,2024-09-07 09:46:31:316,716707,716707,0,0,25659566,0,3337 51,3,2024-09-07 09:46:31:027,1,569,0,0,678,4050,569,0 52,0,2024-09-07 09:46:31:413,145651,0.5,145218,0.6,290718,0.4,386607,2.00 52,1,2024-09-07 09:46:30:677,999277,999277,0,0,469217724259,4911871432,984946,12116,2215,368,391805,0 52,2,2024-09-07 09:46:31:753,714688,714650,38,0,34320575,0,6742 52,3,2024-09-07 09:46:30:676,1,569,1,0,1782,7013,569,0 53,0,2024-09-07 09:46:31:739,142466,0.7,138263,0.8,289173,0.7,379205,2.50 53,1,2024-09-07 09:46:30:771,997726,997726,0,0,469008235426,4922955611,980500,13023,4203,367,391968,0 53,2,2024-09-07 09:46:31:304,722583,722582,1,0,31419515,0,5455 53,3,2024-09-07 09:46:30:697,1,569,200,0,308,5471,569,0 54,0,2024-09-07 09:46:31:616,136063,0.6,136469,0.8,271647,0.4,362903,2.25 54,1,2024-09-07 09:46:30:680,999922,999922,0,0,469881752296,4888400569,989066,9189,1667,366,391810,0 54,2,2024-09-07 09:46:30:866,721677,721645,32,0,34902670,0,6397 54,3,2024-09-07 09:46:30:764,1,569,12,0,676,7624,569,0 55,0,2024-09-07 09:46:31:761,138691,0.6,143122,0.7,290082,0.5,378384,2.50 55,1,2024-09-07 09:46:30:772,1000848,1000848,0,0,468659189812,4877022511,990135,9325,1388,365,391731,0 55,2,2024-09-07 09:46:30:737,715987,715931,56,0,32520053,0,7239 55,3,2024-09-07 09:46:30:673,1,569,1,0,304,5290,569,0 56,0,2024-09-07 09:46:31:568,146688,1.1,138397,1.1,284933,1.5,382044,2.75 56,1,2024-09-07 09:46:30:701,995014,995014,0,0,467343309067,4941052773,978327,13217,3470,381,391867,0 56,2,2024-09-07 09:46:31:314,716623,716501,122,0,33735391,0,7432 56,3,2024-09-07 09:46:31:061,1,569,0,0,705,6674,569,0 57,0,2024-09-07 09:46:30:954,142755,1.5,142724,1.2,285583,2.1,381616,3.25 57,1,2024-09-07 09:46:31:004,996948,996948,0,0,468052952241,4913427852,983489,11120,2339,366,392032,0 57,2,2024-09-07 09:46:31:316,720338,720338,0,0,35286457,0,4317 57,3,2024-09-07 09:46:31:748,1,569,10,0,455,6531,569,0 58,0,2024-09-07 09:46:30:563,136749,0.9,132693,1.0,277619,1.0,363557,2.50 58,1,2024-09-07 09:46:30:677,998632,998629,0,3,469533443347,4921205770,983595,11342,3692,367,391675,3 58,2,2024-09-07 09:46:31:072,721591,721591,0,0,33319372,0,3483 58,3,2024-09-07 09:46:31:074,1,569,1,0,1043,6458,569,0 59,0,2024-09-07 09:46:31:750,141709,0.8,141385,0.9,282525,0.8,375466,2.75 59,1,2024-09-07 09:46:30:819,997342,997342,0,0,468441088030,4920904732,981135,12876,3331,369,391653,0 59,2,2024-09-07 09:46:30:677,719689,719689,0,0,31836760,0,3727 59,3,2024-09-07 09:46:31:736,1,569,5,0,1015,6923,569,0 60,0,2024-09-07 09:46:31:738,143638,0.5,143967,0.7,287686,0.5,382943,1.75 60,1,2024-09-07 09:46:30:777,1002385,1002385,0,0,470405479614,4887023718,995145,6244,996,370,392031,0 60,2,2024-09-07 09:46:31:145,717578,717578,0,0,29899302,0,3811 60,3,2024-09-07 09:46:31:290,1,569,13,0,409,6584,569,0 61,0,2024-09-07 09:46:31:525,145044,0.6,145667,0.8,290013,0.6,386841,2.00 61,1,2024-09-07 09:46:30:777,998547,998547,0,0,468826552733,4909569877,987768,9118,1661,382,392127,0 61,2,2024-09-07 09:46:31:118,718748,718681,67,0,30829193,0,6411 61,3,2024-09-07 09:46:31:687,1,569,0,0,607,7734,569,0 62,0,2024-09-07 09:46:31:713,142115,0.6,145803,0.7,278276,0.6,377721,2.00 62,1,2024-09-07 09:46:31:113,1004819,1004813,0,6,472430394582,4870143889,1000147,4382,284,365,391975,6 62,2,2024-09-07 09:46:31:646,720496,720495,1,0,31080401,0,5555 62,3,2024-09-07 09:46:31:145,1,569,7,0,482,4562,569,0 63,0,2024-09-07 09:46:31:459,140447,0.4,140145,0.6,280899,0.4,373458,1.75 63,1,2024-09-07 09:46:30:815,1001305,1001299,0,6,469962918961,4880726438,994660,5785,854,381,391800,6 63,2,2024-09-07 09:46:30:768,721807,721807,0,0,29263305,0,4369 63,3,2024-09-07 09:46:31:731,1,569,4,0,667,6092,569,0 64,0,2024-09-07 09:46:31:563,143371,0.5,143446,0.7,286106,0.4,381017,2.00 64,1,2024-09-07 09:46:30:763,1000155,1000155,0,0,470578008738,4902192307,990917,7263,1975,370,391794,0 64,2,2024-09-07 09:46:31:145,720418,720399,19,0,28109609,0,6121 64,3,2024-09-07 09:46:31:145,1,569,4,0,651,6169,569,0 65,0,2024-09-07 09:46:31:674,144158,0.6,144358,0.7,288492,0.6,384582,2.00 65,1,2024-09-07 09:46:30:864,998820,998820,0,0,468628950438,4898525638,991570,6393,857,381,391901,0 65,2,2024-09-07 09:46:31:699,717010,717010,0,0,33388919,0,3367 65,3,2024-09-07 09:46:31:689,1,569,2,0,782,6488,569,0 66,0,2024-09-07 09:46:31:766,142519,0.5,142003,0.8,284259,0.5,378670,2.25 66,1,2024-09-07 09:46:31:293,1000968,1000968,0,0,469707299650,4888757407,994493,5753,722,380,391743,0 66,2,2024-09-07 09:46:31:133,725882,725879,3,0,30327512,0,5455 66,3,2024-09-07 09:46:31:079,1,569,2,0,291,4893,569,0 67,0,2024-09-07 09:46:31:447,137905,0.5,137583,0.7,276012,0.5,367600,2.00 67,1,2024-09-07 09:46:30:766,1000374,1000373,0,1,469901721963,4892797386,993288,6142,943,380,391787,1 67,2,2024-09-07 09:46:30:676,723912,723897,15,0,30180942,0,6205 67,3,2024-09-07 09:46:31:750,1,569,9,0,595,6049,569,0 68,0,2024-09-07 09:46:30:616,143833,0.5,143612,0.7,286077,0.5,382702,2.00 68,1,2024-09-07 09:46:30:694,996445,996445,0,0,468263606667,4924131535,983231,9755,3459,381,391953,0 68,2,2024-09-07 09:46:31:044,712804,712704,100,0,37603893,0,8578 68,3,2024-09-07 09:46:30:729,1,569,15,0,417,7572,569,0 69,0,2024-09-07 09:46:31:790,143939,0.7,144830,0.8,288671,0.7,383758,2.25 69,1,2024-09-07 09:46:31:016,995827,995827,0,0,467875253873,4932005871,982510,10539,2778,383,391994,0 69,2,2024-09-07 09:46:31:737,715570,715541,29,0,39618376,0,6912 69,3,2024-09-07 09:46:30:783,1,569,1,0,698,8371,569,0 70,0,2024-09-07 09:46:31:534,142269,0.8,142652,1.0,287024,0.7,380018,2.50 70,1,2024-09-07 09:46:30:803,1001854,1001854,0,0,471090115845,4888514647,994149,6941,764,366,391725,0 70,2,2024-09-07 09:46:31:325,721462,721462,0,0,33085113,0,4323 70,3,2024-09-07 09:46:30:748,1,569,8,0,854,6474,569,0 71,0,2024-09-07 09:46:31:362,136761,1.0,136574,1.0,274203,1.3,366116,2.75 71,1,2024-09-07 09:46:31:608,999825,999825,0,0,469439997237,4899806182,987620,10835,1370,368,391738,0 71,2,2024-09-07 09:46:31:068,720427,720427,0,0,33661560,0,4352 71,3,2024-09-07 09:46:31:753,1,569,0,0,644,6600,569,0 72,0,2024-09-07 09:46:31:039,147874,0.5,144544,0.7,282068,0.5,383821,2.00 72,1,2024-09-07 09:46:31:034,997882,997882,0,0,467736433026,4906887997,983602,11885,2395,369,391819,0 72,2,2024-09-07 09:46:31:756,717187,717187,0,0,34959264,0,3983 72,3,2024-09-07 09:46:31:756,1,569,3,0,564,8331,569,0 73,0,2024-09-07 09:46:31:137,140255,0.5,143722,0.6,294069,0.4,382293,2.00 73,1,2024-09-07 09:46:30:782,1000057,1000057,0,0,469502619148,4879550767,992517,6613,927,367,391858,0 73,2,2024-09-07 09:46:31:745,717079,717079,0,0,35270770,0,4728 73,3,2024-09-07 09:46:30:980,1,569,1,0,1091,8129,569,0 74,0,2024-09-07 09:46:31:324,146271,0.5,149783,0.7,285624,0.4,387250,2.00 74,1,2024-09-07 09:46:30:662,998640,998640,0,0,469041620173,4896050784,987585,9077,1978,381,391762,0 74,2,2024-09-07 09:46:31:011,718332,718332,0,0,31025845,0,4253 74,3,2024-09-07 09:46:31:448,1,569,8,0,522,6835,569,0 75,0,2024-09-07 09:46:31:768,139865,0.6,138855,0.8,278975,0.5,372249,2.25 75,1,2024-09-07 09:46:31:594,1000399,1000399,0,0,469114350446,4888980832,992214,7319,866,380,391739,0 75,2,2024-09-07 09:46:31:349,720827,720827,0,0,37727488,0,4766 75,3,2024-09-07 09:46:31:066,1,569,21,0,702,6903,569,0 76,0,2024-09-07 09:46:30:705,140110,0.6,139581,0.8,279329,0.5,374026,2.25 76,1,2024-09-07 09:46:30:826,999455,999455,0,0,468906921691,4889891578,992534,5951,970,382,391723,0 76,2,2024-09-07 09:46:31:063,723086,723083,3,0,31191173,0,5265 76,3,2024-09-07 09:46:31:146,1,569,8,0,227,5164,569,0 77,0,2024-09-07 09:46:31:728,143532,0.6,144072,0.7,288043,0.5,382692,2.00 77,1,2024-09-07 09:46:30:863,999258,999258,0,0,469279895424,4896519618,991530,6854,874,381,391869,0 77,2,2024-09-07 09:46:31:289,716492,716492,0,0,30120209,0,3890 77,3,2024-09-07 09:46:31:098,1,569,10,0,401,6356,569,0 78,0,2024-09-07 09:46:31:729,145762,0.4,145243,0.6,291072,0.4,385455,2.00 78,1,2024-09-07 09:46:30:663,999674,999674,0,0,469136484478,4892928864,987786,9221,2667,367,391670,0 78,2,2024-09-07 09:46:31:403,719655,719642,13,0,28390872,0,8313 78,3,2024-09-07 09:46:31:136,1,569,11,0,311,4871,569,0 79,0,2024-09-07 09:46:31:356,137545,0.4,140854,0.6,288654,0.3,375744,2.00 79,1,2024-09-07 09:46:30:680,1003021,1003021,0,0,471109281106,4878596940,995230,6432,1359,367,391682,0 79,2,2024-09-07 09:46:31:069,723809,723809,0,0,27636670,0,4195 79,3,2024-09-07 09:46:30:759,1,569,1,0,418,6853,569,0 80,0,2024-09-07 09:46:31:233,138419,0.5,142073,0.7,271799,0.5,368020,2.00 80,1,2024-09-07 09:46:31:716,999912,999912,0,0,470034870908,4893603651,993459,6019,434,368,392269,0 80,2,2024-09-07 09:46:31:105,723740,723740,0,0,28260507,0,4433 80,3,2024-09-07 09:46:30:685,1,569,20,0,681,7237,569,0 81,0,2024-09-07 09:46:31:600,144589,0.6,147746,0.7,282298,0.5,383204,2.00 81,1,2024-09-07 09:46:31:663,998484,998484,0,0,468447187229,4897736129,990356,7365,763,382,391885,0 81,2,2024-09-07 09:46:31:129,714959,714896,63,0,31120047,0,5932 81,3,2024-09-07 09:46:31:122,1,569,1,0,719,6890,569,0 82,0,2024-09-07 09:46:31:537,144944,0.5,145149,0.7,290839,0.4,385655,2.00 82,1,2024-09-07 09:46:30:677,1001458,1001454,0,4,469787255267,4884376096,995896,4735,823,381,391768,4 82,2,2024-09-07 09:46:31:691,720201,720201,0,0,26195792,0,4484 82,3,2024-09-07 09:46:31:752,1,569,17,0,363,5454,569,0 83,0,2024-09-07 09:46:31:527,143136,0.6,143199,0.7,285739,0.6,379358,2.25 83,1,2024-09-07 09:46:30:559,999163,999163,0,0,468531481108,4885727376,991806,6713,644,382,391709,0 83,2,2024-09-07 09:46:30:777,723281,723256,25,0,28480443,0,5612 83,3,2024-09-07 09:46:30:753,1,569,1,0,1260,7000,569,0 84,0,2024-09-07 09:46:31:781,136711,0.7,136989,0.9,273580,0.6,366005,2.25 84,1,2024-09-07 09:46:31:048,997707,997707,0,0,468436235872,4899909811,985964,10073,1670,367,391967,0 84,2,2024-09-07 09:46:30:681,721171,721141,30,0,37125668,0,5971 84,3,2024-09-07 09:46:31:153,1,569,2,0,908,7722,569,0 85,0,2024-09-07 09:46:31:037,138261,0.6,138255,0.8,293549,0.5,379569,2.25 85,1,2024-09-07 09:46:30:564,995313,995313,0,0,467348024067,4936543710,979676,12876,2761,381,392092,0 85,2,2024-09-07 09:46:30:867,716165,716165,0,0,34623912,0,4255 85,3,2024-09-07 09:46:30:690,1,569,29,0,789,6759,569,0 86,0,2024-09-07 09:46:30:878,143791,0.6,147794,0.8,282498,0.7,382708,2.25 86,1,2024-09-07 09:46:30:831,997431,997431,0,0,469344772385,4922333612,984155,10619,2657,366,392169,0 86,2,2024-09-07 09:46:30:853,716272,716271,1,0,36870977,0,5004 86,3,2024-09-07 09:46:30:676,1,569,8,0,308,8073,569,0 87,0,2024-09-07 09:46:31:288,144658,0.8,144190,0.8,288348,1.0,385228,2.25 87,1,2024-09-07 09:46:30:680,997180,997180,0,0,468991732208,4912758999,984543,10917,1720,366,392076,0 87,2,2024-09-07 09:46:31:071,719195,719189,6,0,33515444,0,6323 87,3,2024-09-07 09:46:31:799,1,569,0,0,473,8451,569,0 88,0,2024-09-07 09:46:31:443,138542,0.5,138898,0.6,277737,0.4,369881,1.75 88,1,2024-09-07 09:46:30:690,996696,996696,0,0,468497863293,4908044626,982962,10993,2741,365,392084,0 88,2,2024-09-07 09:46:30:692,720763,720763,0,0,36541588,0,4465 88,3,2024-09-07 09:46:31:292,1,569,0,0,1080,8571,569,0 89,0,2024-09-07 09:46:31:779,146447,0.4,142037,0.6,280218,0.4,381410,1.75 89,1,2024-09-07 09:46:30:554,995985,995985,0,0,468207877809,4925529547,983414,10638,1933,382,391866,0 89,2,2024-09-07 09:46:31:137,719343,719343,0,0,33924977,0,3173 89,3,2024-09-07 09:46:31:791,1,569,49,0,468,9613,569,0 90,0,2024-09-07 09:46:31:652,139474,0.5,143280,0.6,292200,0.4,381213,2.00 90,1,2024-09-07 09:46:30:673,997979,997979,0,0,468815790358,4910592356,988294,8796,889,380,391825,0 90,2,2024-09-07 09:46:31:409,714145,714145,0,0,36396350,0,3608 90,3,2024-09-07 09:46:30:936,1,569,1,0,322,6926,569,0 91,0,2024-09-07 09:46:30:948,145679,0.5,141323,0.6,295525,0.4,387510,1.75 91,1,2024-09-07 09:46:30:560,995421,995421,0,0,468690120936,4935167459,981275,11409,2737,381,392047,0 91,2,2024-09-07 09:46:31:331,718477,718477,0,0,31979603,0,4713 91,3,2024-09-07 09:46:30:672,1,569,66,0,216,5275,569,0 92,0,2024-09-07 09:46:31:445,143240,0.5,146471,0.6,279183,0.5,378081,1.75 92,1,2024-09-07 09:46:30:677,999197,999197,0,0,468961978557,4898170615,991376,6611,1210,381,392136,0 92,2,2024-09-07 09:46:31:351,722763,722763,0,0,29093666,0,3259 92,3,2024-09-07 09:46:31:012,1,569,1,0,167,4849,569,0 93,0,2024-09-07 09:46:31:041,140656,0.4,144182,0.6,275176,0.4,373468,1.75 93,1,2024-09-07 09:46:30:821,999347,999347,0,0,469213652459,4898704053,988033,9389,1925,366,391776,0 93,2,2024-09-07 09:46:30:935,721210,721210,0,0,34850068,0,4913 93,3,2024-09-07 09:46:31:411,1,569,2,0,294,5622,569,0 94,0,2024-09-07 09:46:31:611,143546,0.4,144580,0.5,289070,0.3,383799,1.75 94,1,2024-09-07 09:46:30:677,1000215,1000215,0,0,469164412739,4893822561,993486,6299,430,381,391850,0 94,2,2024-09-07 09:46:30:765,716613,716585,28,0,29362668,0,6179 94,3,2024-09-07 09:46:31:700,1,569,10,0,576,7030,569,0 95,0,2024-09-07 09:46:31:341,145213,0.3,145205,0.5,290553,0.3,387140,1.75 95,1,2024-09-07 09:46:30:854,1001388,1001388,0,0,469295565449,4878174226,993226,7460,702,365,391852,0 95,2,2024-09-07 09:46:31:016,716967,716967,0,0,29025380,0,3308 95,3,2024-09-07 09:46:31:721,1,569,0,0,718,8805,569,0 96,0,2024-09-07 09:46:31:061,142923,0.4,143242,0.5,286418,0.3,380859,1.75 96,1,2024-09-07 09:46:31:601,999062,999062,0,0,469572078735,4899282741,991726,6065,1271,384,391964,0 96,2,2024-09-07 09:46:31:277,724208,724208,0,0,30162171,0,4180 96,3,2024-09-07 09:46:31:145,1,569,12,0,411,6585,569,0 97,0,2024-09-07 09:46:31:404,138021,0.3,137991,0.5,276749,0.3,367573,1.75 97,1,2024-09-07 09:46:30:789,1001171,1001171,0,0,470394682619,4880143215,994783,5362,1026,367,392140,0 97,2,2024-09-07 09:46:30:663,722657,722657,0,0,29946448,0,4046 97,3,2024-09-07 09:46:30:677,1,569,5,0,242,6445,569,0 98,0,2024-09-07 09:46:31:744,143335,0.3,143440,0.4,287808,0.2,383044,1.50 98,1,2024-09-07 09:46:30:678,1000263,1000263,0,0,469282332220,4888316168,994034,5409,820,381,391997,0 98,2,2024-09-07 09:46:30:770,717171,717171,0,0,28664567,0,4336 98,3,2024-09-07 09:46:30:702,1,569,9,0,840,8714,569,0 99,0,2024-09-07 09:46:31:454,144893,0.3,145573,0.5,289540,0.3,386579,1.75 99,1,2024-09-07 09:46:31:723,1001208,1001208,0,0,469592391549,4886976848,994982,5297,929,380,392069,0 99,2,2024-09-07 09:46:31:420,717891,717891,0,0,35079571,0,4276 99,3,2024-09-07 09:46:30:676,1,569,1,0,1124,7229,569,0 100,0,2024-09-07 09:46:31:469,143509,0.8,143788,0.9,287258,0.9,383455,2.50 100,1,2024-09-07 09:46:30:559,995058,995058,0,0,467150159620,4940945409,979376,12393,3289,378,391989,0 100,2,2024-09-07 09:46:31:816,719493,719482,11,0,34347626,0,5417 100,3,2024-09-07 09:46:31:735,1,569,12,0,627,9875,569,0 101,0,2024-09-07 09:46:31:720,141021,1.1,137631,1.0,269212,1.0,368346,2.25 101,1,2024-09-07 09:46:30:566,996565,996565,0,0,467953249934,4918462833,983026,11035,2504,368,391847,0 101,2,2024-09-07 09:46:31:756,716798,716798,0,0,38756732,0,4871 101,3,2024-09-07 09:46:30:947,1,569,9,0,1250,8806,569,0 102,0,2024-09-07 09:46:30:957,139349,0.6,143516,0.7,291332,0.6,381495,2.00 102,1,2024-09-07 09:46:31:155,996755,996755,0,0,467671496354,4914660954,983028,11571,2156,369,391984,0 102,2,2024-09-07 09:46:31:736,719229,719175,54,0,32961909,0,6768 102,3,2024-09-07 09:46:31:613,1,569,8,0,466,6543,569,0 103,0,2024-09-07 09:46:31:596,148592,0.6,148564,0.7,279926,0.6,385429,2.00 103,1,2024-09-07 09:46:31:626,995168,995168,0,0,467272812342,4936873114,978922,12656,3590,381,392077,0 103,2,2024-09-07 09:46:30:700,715303,715303,0,0,35084791,0,3766 103,3,2024-09-07 09:46:30:771,1,569,0,0,916,6990,569,0 104,0,2024-09-07 09:46:31:017,144344,0.7,144507,0.9,288190,0.7,385443,2.25 104,1,2024-09-07 09:46:31:607,998269,998269,0,0,468044312338,4916267215,984196,11484,2589,365,392168,0 104,2,2024-09-07 09:46:31:666,716728,716728,0,0,34343017,0,3941 104,3,2024-09-07 09:46:31:418,1,569,0,0,1245,10314,569,0 105,0,2024-09-07 09:46:31:026,138128,0.9,134655,1.0,282089,1.0,370270,2.50 105,1,2024-09-07 09:46:30:564,999703,999703,0,0,469515137751,4916951636,987512,10383,1808,364,392009,0 105,2,2024-09-07 09:46:31:327,720841,720841,0,0,35231831,0,4360 105,3,2024-09-07 09:46:31:304,1,569,1,0,573,8763,569,0 106,0,2024-09-07 09:46:30:937,135947,0.8,139167,0.9,285361,0.8,372853,2.50 106,1,2024-09-07 09:46:31:754,998111,998111,0,0,469012927234,4921341365,984396,11981,1734,368,391914,0 106,2,2024-09-07 09:46:30:768,719837,719837,0,0,32609461,0,2920 106,3,2024-09-07 09:46:30:677,1,569,8,0,1224,8260,569,0 107,0,2024-09-07 09:46:31:115,143713,1.0,143840,0.9,287716,1.2,383396,2.25 107,1,2024-09-07 09:46:30:677,996002,996002,0,0,467783159845,4937634398,981036,13239,1727,381,392234,0 107,2,2024-09-07 09:46:31:295,713844,713843,1,0,35150485,0,5024 107,3,2024-09-07 09:46:31:756,1,569,0,0,733,8823,569,0 108,0,2024-09-07 09:46:31:775,145155,0.4,145683,0.6,290303,0.4,387001,1.75 108,1,2024-09-07 09:46:31:293,998998,998998,0,0,469936967579,4902889571,990497,7522,979,367,391894,0 108,2,2024-09-07 09:46:31:755,717714,717714,0,0,31237040,0,4246 108,3,2024-09-07 09:46:31:351,1,569,1,0,749,10673,569,0 109,0,2024-09-07 09:46:31:764,143120,0.4,141808,0.6,284792,0.3,380151,1.75 109,1,2024-09-07 09:46:30:680,996320,996320,0,0,468334650004,4912992106,987477,7621,1222,382,392132,0 109,2,2024-09-07 09:46:30:946,721316,721316,0,0,32209656,0,3617 109,3,2024-09-07 09:46:31:145,1,569,15,0,630,7141,569,0 110,0,2024-09-07 09:46:31:757,138494,0.4,134603,0.6,281967,0.4,370106,1.75 110,1,2024-09-07 09:46:31:648,1000959,1000959,0,0,470262270593,4883843471,993057,5978,1924,368,392045,0 110,2,2024-09-07 09:46:31:312,721880,721880,0,0,29816561,0,4067 110,3,2024-09-07 09:46:30:700,1,569,1,0,722,7844,569,0 111,0,2024-09-07 09:46:31:432,145311,0.4,144461,0.5,289036,0.3,385535,1.75 111,1,2024-09-07 09:46:31:000,1002344,1002344,0,0,471526969031,4889005247,997459,4522,363,380,391690,0 111,2,2024-09-07 09:46:31:115,716307,716307,0,0,29922052,0,4823 111,3,2024-09-07 09:46:30:913,1,569,1,0,379,6381,569,0 112,0,2024-09-07 09:46:30:914,145910,0.3,145355,0.4,291393,0.2,386852,1.50 112,1,2024-09-07 09:46:30:831,1000700,1000700,0,0,470358814575,4886395588,993537,5938,1225,380,391624,0 112,2,2024-09-07 09:46:31:138,718036,718035,1,0,28605063,0,5036 112,3,2024-09-07 09:46:30:675,1,569,4,0,282,5362,569,0 113,0,2024-09-07 09:46:30:880,143363,0.3,143282,0.5,286841,0.2,381701,1.75 113,1,2024-09-07 09:46:31:697,1003134,1003134,0,0,472027627323,4871906648,997059,5129,946,365,391664,0 113,2,2024-09-07 09:46:31:305,725689,725689,0,0,26899651,0,3813 113,3,2024-09-07 09:46:30:690,1,569,2,0,510,6233,569,0 114,0,2024-09-07 09:46:30:880,138222,0.3,139135,0.5,276695,0.2,370187,1.75 114,1,2024-09-07 09:46:30:722,1001054,1001054,0,0,470233742800,4884349538,993297,6012,1745,381,391565,0 114,2,2024-09-07 09:46:30:878,723147,723146,1,0,28527209,0,5069 114,3,2024-09-07 09:46:31:279,1,569,8,0,395,4522,569,0 115,0,2024-09-07 09:46:30:551,144242,0.3,144599,0.4,288138,0.2,383659,1.50 115,1,2024-09-07 09:46:30:681,1001410,1001410,0,0,469862723606,4882736037,993409,6495,1506,382,391757,0 115,2,2024-09-07 09:46:31:133,719861,719861,0,0,28195468,0,4382 115,3,2024-09-07 09:46:31:005,1,569,1,0,167,3316,569,0 116,0,2024-09-07 09:46:31:714,143520,0.6,143203,0.8,287097,0.6,383887,2.00 116,1,2024-09-07 09:46:30:811,996571,996571,0,0,468027131514,4935308457,984238,9270,3063,380,392089,0 116,2,2024-09-07 09:46:31:760,717087,717087,0,0,35320656,0,4475 116,3,2024-09-07 09:46:30:979,1,569,1,0,448,7485,569,0 117,0,2024-09-07 09:46:30:981,144801,0.7,144146,0.8,288865,0.8,385931,2.00 117,1,2024-09-07 09:46:31:589,998053,998053,0,0,467963723767,4900135053,987024,9567,1462,369,392033,0 117,2,2024-09-07 09:46:31:127,721659,721659,0,0,31757886,0,4303 117,3,2024-09-07 09:46:31:071,1,569,12,0,490,7535,569,0 118,0,2024-09-07 09:46:31:798,134399,0.6,138027,0.7,281530,0.5,368154,2.00 118,1,2024-09-07 09:46:30:668,996067,996067,0,0,468595839599,4928975118,980797,11654,3616,366,392054,0 118,2,2024-09-07 09:46:31:589,720265,720265,0,0,34077018,0,2842 118,3,2024-09-07 09:46:31:785,1,569,8,0,289,6543,569,0 119,0,2024-09-07 09:46:31:343,141939,0.6,142560,0.7,284564,0.6,379510,2.00 119,1,2024-09-07 09:46:30:566,997443,997443,0,0,469011728180,4918467165,983652,11404,2387,367,391857,0 119,2,2024-09-07 09:46:31:263,720569,720569,0,0,31981661,0,4309 119,3,2024-09-07 09:46:31:326,1,569,1,0,1358,10815,569,0 120,0,2024-09-07 09:46:31:607,142888,0.7,142693,0.8,286641,0.7,382120,2.25 120,1,2024-09-07 09:46:30:867,999116,999116,0,0,468024908478,4904044132,989367,8967,782,367,392144,0 120,2,2024-09-07 09:46:30:771,715620,715619,1,0,36874849,0,5281 120,3,2024-09-07 09:46:31:309,1,569,1,0,279,7142,569,0 121,0,2024-09-07 09:46:31:714,145364,1.1,144805,1.0,290024,1.5,386336,2.25 121,1,2024-09-07 09:46:31:659,998721,998721,0,0,468591185283,4899196595,988831,9038,852,366,391840,0 121,2,2024-09-07 09:46:31:136,717579,717579,0,0,34210263,0,4157 121,3,2024-09-07 09:46:30:743,1,569,1,0,387,7121,569,0 122,0,2024-09-07 09:46:31:883,141067,0.8,137283,0.9,287684,0.9,377900,2.00 122,1,2024-09-07 09:46:30:859,997302,997302,0,0,468591583209,4917764260,983436,11534,2332,366,392130,0 122,2,2024-09-07 09:46:31:322,722775,722700,75,0,38349361,0,5989 122,3,2024-09-07 09:46:30:662,1,569,1,0,512,9478,569,0 123,0,2024-09-07 09:46:30:986,139365,0.7,135558,0.8,284043,0.8,372181,2.25 123,1,2024-09-07 09:46:30:564,997641,997641,0,0,468518033302,4929190764,981402,13582,2657,369,392039,0 123,2,2024-09-07 09:46:31:020,719392,719391,1,0,32778924,0,5215 123,3,2024-09-07 09:46:31:133,1,569,1,0,478,6539,569,0 124,0,2024-09-07 09:46:31:005,147961,0.3,147868,0.5,279121,0.3,384111,1.75 124,1,2024-09-07 09:46:31:023,1001110,1001110,0,0,470154978265,4888117538,993622,6318,1170,365,392178,0 124,2,2024-09-07 09:46:31:014,717952,717899,53,0,29481267,0,6487 124,3,2024-09-07 09:46:30:771,1,569,3,0,490,5765,569,0 125,0,2024-09-07 09:46:31:466,144942,0.4,144952,0.5,290834,0.3,387231,1.75 125,1,2024-09-07 09:46:30:861,998282,998282,0,0,468796055627,4894380481,990474,6678,1130,382,391813,0 125,2,2024-09-07 09:46:31:121,720287,720287,0,0,29444911,0,4534 125,3,2024-09-07 09:46:31:132,1,569,13,0,709,6598,569,0 126,0,2024-09-07 09:46:31:439,143183,0.4,147276,0.6,281586,0.4,381517,1.75 126,1,2024-09-07 09:46:30:556,1001744,1001744,0,0,469838110638,4865145437,996416,4883,445,365,391987,0 126,2,2024-09-07 09:46:30:663,724536,724536,0,0,31057803,0,4539 126,3,2024-09-07 09:46:30:916,1,569,14,0,268,6257,569,0 127,0,2024-09-07 09:46:31:603,138289,0.3,138534,0.5,276612,0.3,367921,1.75 127,1,2024-09-07 09:46:30:681,999993,999993,0,0,469399187621,4885311111,989788,8583,1622,364,392187,0 127,2,2024-09-07 09:46:30:668,721589,721585,4,0,29197526,0,5305 127,3,2024-09-07 09:46:31:267,1,569,4,0,968,5618,569,0 128,0,2024-09-07 09:46:31:591,144189,0.3,144251,0.4,288155,0.2,383672,1.50 128,1,2024-09-07 09:46:31:661,1000112,1000112,0,0,469698970233,4886381214,992003,7158,951,367,392423,0 128,2,2024-09-07 09:46:31:399,718242,718242,0,0,26973807,0,3171 128,3,2024-09-07 09:46:30:769,1,569,7,0,1082,9070,569,0 129,0,2024-09-07 09:46:31:077,145925,0.3,145167,0.5,290995,0.3,386912,1.50 129,1,2024-09-07 09:46:30:689,996271,996271,0,0,468136058254,4907914526,986062,8189,2020,379,391962,0 129,2,2024-09-07 09:46:30:691,719462,719458,4,0,29419269,0,5335 129,3,2024-09-07 09:46:30:694,1,569,18,0,506,8059,569,0 130,0,2024-09-07 09:46:31:739,144822,0.4,144203,0.6,289059,0.4,384860,1.75 130,1,2024-09-07 09:46:30:678,1001022,1001022,0,0,469911527862,4882558856,995720,4910,392,381,391825,0 130,2,2024-09-07 09:46:31:148,722965,722965,0,0,29500694,0,4067 130,3,2024-09-07 09:46:31:296,1,569,57,0,960,7767,569,0 131,0,2024-09-07 09:46:31:926,138256,0.4,138703,0.5,277995,0.3,369220,1.75 131,1,2024-09-07 09:46:31:822,999880,999880,0,0,468930845677,4889431659,992861,5825,1194,381,391865,0 131,2,2024-09-07 09:46:30:681,722034,722034,0,0,27756919,0,3979 131,3,2024-09-07 09:46:31:691,1,569,3,0,392,7351,569,0 132,0,2024-09-07 09:46:31:474,143319,0.5,144341,0.6,287329,0.4,382583,2.00 132,1,2024-09-07 09:46:30:677,996277,996277,0,0,467829646885,4924918522,981990,11646,2641,381,392532,0 132,2,2024-09-07 09:46:30:699,718950,718933,17,0,35788419,0,6451 132,3,2024-09-07 09:46:31:688,1,569,3,0,804,9248,569,0 133,0,2024-09-07 09:46:31:573,140360,0.4,143496,0.6,294325,0.4,383107,2.00 133,1,2024-09-07 09:46:30:693,995861,995861,0,0,467949710372,4930970364,982431,11718,1712,383,391914,0 133,2,2024-09-07 09:46:31:099,718130,718080,50,0,36314617,0,6861 133,3,2024-09-07 09:46:31:297,1,569,4,0,528,6962,569,0 134,0,2024-09-07 09:46:30:939,145547,0.5,145605,0.7,291502,0.5,387581,2.00 134,1,2024-09-07 09:46:30:676,997386,997386,0,0,468325796253,4909773729,985055,10175,2156,366,391781,0 134,2,2024-09-07 09:46:31:757,718697,718673,24,0,33871808,0,6207 134,3,2024-09-07 09:46:30:749,1,569,316,0,739,6995,569,0 135,0,2024-09-07 09:46:31:159,135233,0.9,135236,0.9,287165,1.0,369815,2.25 135,1,2024-09-07 09:46:31:588,997067,997067,0,0,468394701053,4925132685,984584,10880,1603,380,391805,0 135,2,2024-09-07 09:46:30:691,722393,722393,0,0,34768908,0,4503 135,3,2024-09-07 09:46:31:007,1,569,1,0,900,5813,569,0 136,0,2024-09-07 09:46:31:631,141246,0.6,141654,0.7,281849,0.5,376272,2.00 136,1,2024-09-07 09:46:31:450,998386,998386,0,0,468737922458,4913085402,987197,9822,1367,381,392135,0 136,2,2024-09-07 09:46:31:137,721857,721842,15,0,34231609,0,6007 136,3,2024-09-07 09:46:31:117,1,569,0,0,637,6760,569,0 137,0,2024-09-07 09:46:30:949,148599,0.6,144861,0.7,283721,0.6,385113,2.00 137,1,2024-09-07 09:46:30:688,997175,997175,0,0,469122276930,4918552139,982013,12110,3052,366,391898,0 137,2,2024-09-07 09:46:31:717,714853,714853,0,0,35127425,0,3185 137,3,2024-09-07 09:46:30:775,1,569,3,0,484,7367,569,0 138,0,2024-09-07 09:46:31:778,144616,0.7,144818,0.9,290293,0.8,385397,2.00 138,1,2024-09-07 09:46:31:688,997786,997786,0,0,469170504170,4920893607,983873,11672,2241,368,391954,0 138,2,2024-09-07 09:46:30:677,717157,717157,0,0,32863528,0,4988 138,3,2024-09-07 09:46:30:663,1,569,3,0,1200,9092,569,0 139,0,2024-09-07 09:46:31:389,141301,1.2,141860,1.0,283609,1.6,378423,2.25 139,1,2024-09-07 09:46:30:680,993679,993679,0,0,465460154018,4934991476,976414,13580,3685,380,392109,0 139,2,2024-09-07 09:46:30:695,718735,718735,0,0,37357472,0,3360 139,3,2024-09-07 09:46:31:682,1,569,80,0,432,6704,569,0 140,0,2024-09-07 09:46:31:595,139071,0.3,138339,0.5,277551,0.2,370402,1.75 140,1,2024-09-07 09:46:31:548,1003739,1003739,0,0,471638250893,4862793941,998794,4399,546,364,391606,0 140,2,2024-09-07 09:46:30:696,722576,722575,1,0,27894921,0,5036 140,3,2024-09-07 09:46:30:768,1,569,0,0,575,5767,569,0 141,0,2024-09-07 09:46:31:711,144871,0.3,148854,0.5,284483,0.3,385306,1.75 141,1,2024-09-07 09:46:30:859,1001281,1001281,0,0,470377074649,4887368419,993318,6784,1179,379,391614,0 141,2,2024-09-07 09:46:31:688,716931,716920,11,0,29535567,0,5369 141,3,2024-09-07 09:46:31:057,1,569,10,0,391,6337,569,0 142,0,2024-09-07 09:46:31:311,145959,0.3,145026,0.5,290589,0.2,387703,1.50 142,1,2024-09-07 09:46:30:684,999749,999749,0,0,469452579493,4893331831,993435,5811,503,382,392102,0 142,2,2024-09-07 09:46:31:309,717195,717163,32,0,29822117,0,6028 142,3,2024-09-07 09:46:31:747,1,569,1,0,484,5989,569,0 143,0,2024-09-07 09:46:31:372,143065,0.4,143220,0.6,287227,0.4,381628,1.75 143,1,2024-09-07 09:46:30:563,1002444,1002444,0,0,470274169961,4879941257,996069,5810,565,367,391722,0 143,2,2024-09-07 09:46:30:771,723809,723809,0,0,29957170,0,3123 143,3,2024-09-07 09:46:31:153,1,569,1,0,462,7102,569,0 144,0,2024-09-07 09:46:31:535,133714,0.6,137593,0.8,279956,0.5,366588,2.00 144,1,2024-09-07 09:46:30:570,996825,996825,0,0,468016204258,4911664268,986612,8226,1987,381,391733,0 144,2,2024-09-07 09:46:31:757,722492,722492,0,0,29357035,0,4443 144,3,2024-09-07 09:46:31:785,1,569,5,0,249,5557,569,0 145,0,2024-09-07 09:46:31:388,139097,0.5,139137,0.7,295338,0.5,380281,2.25 145,1,2024-09-07 09:46:30:552,996293,996293,0,0,467430128368,4922096785,982380,11242,2671,382,391759,0 145,2,2024-09-07 09:46:31:433,716300,716218,82,0,34655275,0,7814 145,3,2024-09-07 09:46:30:904,1,569,10,0,622,7792,569,0 146,0,2024-09-07 09:46:31:648,143662,0.6,143222,0.7,287538,0.6,382246,2.00 146,1,2024-09-07 09:46:31:597,997214,997214,0,0,467826161402,4923557535,980303,12445,4466,367,391770,0 146,2,2024-09-07 09:46:31:698,716420,716414,6,0,32526648,0,5151 146,3,2024-09-07 09:46:31:279,1,569,9,0,1520,9458,569,0 147,0,2024-09-07 09:46:31:779,144695,0.6,144411,0.7,288493,0.6,385046,2.25 147,1,2024-09-07 09:46:31:396,1001177,1001177,0,0,470157845111,4886619566,993078,7153,946,367,391791,0 147,2,2024-09-07 09:46:31:021,720023,720023,0,0,29153888,0,2968 147,3,2024-09-07 09:46:30:936,1,569,2,0,1626,9016,569,0 0,0,2024-09-07 09:46:41:712,139841,0.6,139825,0.7,296676,0.6,383215,2.00 0,1,2024-09-07 09:46:40:808,999621,999621,0,0,469577828319,4917033049,991376,7318,927,369,391896,0 0,2,2024-09-07 09:46:41:070,719634,719634,0,0,29328063,0,4480 0,3,2024-09-07 09:46:40:973,1,570,6,0,431,8192,570,0 1,0,2024-09-07 09:46:41:751,145258,0.9,144204,0.9,290159,1.1,387146,2.00 1,1,2024-09-07 09:46:40:557,998257,998257,0,0,469074957023,4915437957,988883,7885,1489,370,391859,0 1,2,2024-09-07 09:46:40:645,719817,719817,0,0,28872211,0,3380 1,3,2024-09-07 09:46:41:310,1,570,1,0,269,6936,570,0 2,0,2024-09-07 09:46:41:569,141432,0.6,141235,0.7,282437,0.6,376435,2.00 2,1,2024-09-07 09:46:40:872,1001875,1001875,0,0,471492405624,4903173833,995803,5123,949,379,391745,0 2,2,2024-09-07 09:46:41:273,723569,723569,0,0,28090593,0,3594 2,3,2024-09-07 09:46:40:699,1,570,9,0,357,5116,570,0 3,0,2024-09-07 09:46:41:747,140194,0.4,139997,0.6,279509,0.3,373176,2.00 3,1,2024-09-07 09:46:41:703,1000600,1000600,0,0,469308285647,4883521125,993028,6869,703,379,391716,0 3,2,2024-09-07 09:46:41:142,723552,723529,23,0,29037864,0,5851 3,3,2024-09-07 09:46:41:754,1,570,109,0,275,3947,570,0 4,0,2024-09-07 09:46:41:775,139989,0.4,143858,0.5,293334,0.3,383652,1.75 4,1,2024-09-07 09:46:40:624,997282,997282,0,0,467344806877,4949593252,979910,13664,3708,370,391992,0 4,2,2024-09-07 09:46:41:020,714646,714646,0,0,34828259,0,4534 4,3,2024-09-07 09:46:41:033,1,570,1,0,448,7065,570,0 5,0,2024-09-07 09:46:41:368,145478,0.4,145551,0.5,291237,0.3,387135,1.75 5,1,2024-09-07 09:46:40:755,998134,998134,0,0,469107432343,4940017281,983879,11442,2813,367,392005,0 5,2,2024-09-07 09:46:41:835,716449,716449,0,0,33773635,0,3582 5,3,2024-09-07 09:46:41:732,1,570,2,0,457,7617,570,0 6,0,2024-09-07 09:46:40:921,143511,0.5,142962,0.7,286270,0.4,381589,2.00 6,1,2024-09-07 09:46:40:751,1000103,1000103,0,0,469475438694,4904835381,989547,9034,1522,379,391702,0 6,2,2024-09-07 09:46:41:123,724859,724841,18,0,33314646,0,5535 6,3,2024-09-07 09:46:41:277,1,570,6,0,710,7021,570,0 7,0,2024-09-07 09:46:41:545,137690,0.5,138537,0.7,275655,0.5,367568,2.00 7,1,2024-09-07 09:46:40:852,998861,998861,0,0,469001791820,4928103924,984394,12058,2409,382,391747,0 7,2,2024-09-07 09:46:40:770,722090,722090,0,0,32054282,0,4791 7,3,2024-09-07 09:46:40:871,1,570,1,0,552,6462,570,0 8,0,2024-09-07 09:46:41:406,144431,0.4,144137,0.5,288583,0.3,385113,1.75 8,1,2024-09-07 09:46:41:019,997392,997392,0,0,468823939419,4931623118,981350,12502,3540,366,392853,0 8,2,2024-09-07 09:46:40:799,712875,712873,2,0,37269709,0,5112 8,3,2024-09-07 09:46:40:587,1,570,6,0,772,9112,570,0 9,0,2024-09-07 09:46:41:139,144876,0.4,141040,0.5,295142,0.3,387154,1.75 9,1,2024-09-07 09:46:40:550,997294,997294,0,0,468074926169,4932469423,982120,12186,2988,369,392001,0 9,2,2024-09-07 09:46:41:101,718143,718142,1,0,34102898,0,5281 9,3,2024-09-07 09:46:41:756,1,570,9,0,1273,9764,570,0 10,0,2024-09-07 09:46:41:626,143973,0.4,143489,0.5,287913,0.3,383449,1.75 10,1,2024-09-07 09:46:40:585,999384,999384,0,0,469205488036,4917650195,985597,11354,2433,381,391869,0 10,2,2024-09-07 09:46:40:775,723563,723563,0,0,37257844,0,4264 10,3,2024-09-07 09:46:40:870,1,570,12,0,669,6633,570,0 11,0,2024-09-07 09:46:41:010,138320,0.4,134122,0.6,280580,0.4,369629,1.75 11,1,2024-09-07 09:46:40:571,1000835,1000835,0,0,469259263839,4919853004,986551,10522,3762,383,391766,0 11,2,2024-09-07 09:46:41:125,721602,721602,0,0,33333149,0,4130 11,3,2024-09-07 09:46:41:297,1,570,18,0,843,7439,570,0 12,0,2024-09-07 09:46:40:939,144495,0.4,144540,0.5,288693,0.3,384201,1.75 12,1,2024-09-07 09:46:40:934,1000403,1000403,0,0,469108024092,4894772877,992123,7280,1000,370,391960,0 12,2,2024-09-07 09:46:41:542,718626,718626,0,0,32425238,0,4390 12,3,2024-09-07 09:46:41:062,1,570,2,0,386,7320,570,0 13,0,2024-09-07 09:46:41:348,144924,0.4,144877,0.5,288958,0.4,384999,1.75 13,1,2024-09-07 09:46:41:528,997791,997791,0,0,468304078941,4922792873,987486,7998,2307,382,391768,0 13,2,2024-09-07 09:46:40:596,720267,720267,0,0,28887586,0,3287 13,3,2024-09-07 09:46:41:764,1,570,1,0,522,7711,570,0 14,0,2024-09-07 09:46:40:586,145368,0.4,146425,0.6,290520,0.4,386774,1.75 14,1,2024-09-07 09:46:41:561,1004849,1004849,0,0,471916359129,4883667409,997000,6877,972,364,391673,0 14,2,2024-09-07 09:46:40:769,721760,721730,30,0,30496743,0,6104 14,3,2024-09-07 09:46:41:122,1,570,15,0,1168,6397,570,0 15,0,2024-09-07 09:46:41:590,139450,0.4,138753,0.6,278521,0.4,370974,2.00 15,1,2024-09-07 09:46:41:609,1001752,1001752,0,0,470127149199,4898514686,992721,7118,1913,381,391619,0 15,2,2024-09-07 09:46:41:002,725047,725047,0,0,26540048,0,3622 15,3,2024-09-07 09:46:41:406,1,570,2,0,1126,7592,570,0 16,0,2024-09-07 09:46:40:954,141042,0.6,141656,0.7,282822,0.5,377145,2.00 16,1,2024-09-07 09:46:40:570,1001745,1001745,0,0,469874910860,4913839711,992510,7795,1440,370,392194,0 16,2,2024-09-07 09:46:41:438,721238,721238,0,0,30305174,0,4719 16,3,2024-09-07 09:46:41:146,1,570,4,0,358,7116,570,0 17,0,2024-09-07 09:46:41:825,148523,0.6,144806,0.8,283703,0.6,385243,2.00 17,1,2024-09-07 09:46:40:570,999694,999694,0,0,468793960605,4919786398,989533,8395,1766,368,392075,0 17,2,2024-09-07 09:46:41:666,720384,720383,1,0,31090829,0,5050 17,3,2024-09-07 09:46:40:581,1,570,10,0,518,8639,570,0 18,0,2024-09-07 09:46:40:948,143964,0.7,144925,0.8,288636,0.7,385272,2.25 18,1,2024-09-07 09:46:41:641,1003915,1003915,0,0,470681233476,4882942194,996986,5672,1257,367,391725,0 18,2,2024-09-07 09:46:41:755,721869,721869,0,0,27726031,0,3541 18,3,2024-09-07 09:46:40:902,1,570,8,0,1059,5361,570,0 19,0,2024-09-07 09:46:41:539,142188,0.6,142375,0.8,283706,0.6,377385,2.00 19,1,2024-09-07 09:46:40:567,1003554,1003554,0,0,471526958215,4887330406,996232,6233,1089,367,391777,0 19,2,2024-09-07 09:46:41:752,727210,727210,0,0,26349497,0,3988 19,3,2024-09-07 09:46:41:141,1,570,3,0,524,4389,570,0 20,0,2024-09-07 09:46:41:361,138843,0.5,138873,0.7,277509,0.5,370199,2.00 20,1,2024-09-07 09:46:40:591,999247,999247,0,0,470145352083,4925725691,989069,8675,1503,369,391922,0 20,2,2024-09-07 09:46:40:934,722125,722125,0,0,32721074,0,4321 20,3,2024-09-07 09:46:40:606,1,570,1,0,468,9274,570,0 21,0,2024-09-07 09:46:41:159,144728,0.5,144810,0.6,289608,0.4,384615,2.00 21,1,2024-09-07 09:46:41:537,997643,997643,0,0,467973635710,4940583450,981817,12419,3407,368,392016,0 21,2,2024-09-07 09:46:41:068,714426,714406,20,0,36618736,0,5617 21,3,2024-09-07 09:46:41:409,1,570,3,0,713,7972,570,0 22,0,2024-09-07 09:46:41:742,145366,0.5,145905,0.7,290974,0.4,386489,2.00 22,1,2024-09-07 09:46:41:023,998597,998597,0,0,469162692723,4940259968,981346,13760,3491,382,391822,0 22,2,2024-09-07 09:46:40:760,717695,717669,26,0,30943687,0,6328 22,3,2024-09-07 09:46:41:074,1,570,18,0,228,4835,570,0 23,0,2024-09-07 09:46:41:377,143048,0.5,142741,0.7,285305,0.5,380597,2.25 23,1,2024-09-07 09:46:41:006,1000325,1000325,0,0,470558657468,4938502031,983292,12183,4850,365,391690,0 23,2,2024-09-07 09:46:41:096,725304,725304,0,0,30004090,0,3773 23,3,2024-09-07 09:46:41:755,1,570,1,0,855,8692,570,0 24,0,2024-09-07 09:46:40:836,139177,0.4,138402,0.6,278512,0.4,369949,1.75 24,1,2024-09-07 09:46:40:592,999068,999068,0,0,468037391717,4899046163,989682,7703,1683,367,392269,0 24,2,2024-09-07 09:46:41:070,722373,722373,0,0,35887788,0,4438 24,3,2024-09-07 09:46:41:702,1,570,7,0,468,7185,570,0 25,0,2024-09-07 09:46:41:384,147989,0.4,144047,0.6,282832,0.4,385013,1.75 25,1,2024-09-07 09:46:40:558,997262,997262,0,0,468681742726,4947929559,980424,13306,3532,369,391928,0 25,2,2024-09-07 09:46:41:615,716241,716241,0,0,36391511,0,3978 25,3,2024-09-07 09:46:41:002,1,570,1,0,532,6344,570,0 26,0,2024-09-07 09:46:41:754,143362,0.4,140299,0.6,294514,0.4,383585,2.00 26,1,2024-09-07 09:46:41:541,1001573,1001573,0,0,468808725945,4907244794,988155,10958,2460,380,391748,0 26,2,2024-09-07 09:46:40:871,718261,718261,0,0,38768369,0,4689 26,3,2024-09-07 09:46:41:716,1,570,11,0,796,6574,570,0 27,0,2024-09-07 09:46:41:733,144707,0.4,145399,0.6,288994,0.4,385345,2.25 27,1,2024-09-07 09:46:41:683,1001424,1001424,0,0,471448452692,4911304232,992007,8122,1295,381,391626,0 27,2,2024-09-07 09:46:40:872,718856,718791,65,0,34094035,0,5699 27,3,2024-09-07 09:46:41:015,1,570,2,0,564,5092,570,0 28,0,2024-09-07 09:46:41:430,139139,0.4,138968,0.6,278328,0.3,370945,2.00 28,1,2024-09-07 09:46:40:797,1002552,1002552,0,0,470437812941,4903492300,994179,6637,1736,382,391698,0 28,2,2024-09-07 09:46:41:767,723027,723027,0,0,29760073,0,2915 28,3,2024-09-07 09:46:41:780,1,570,7,0,502,5539,570,0 29,0,2024-09-07 09:46:41:386,146765,0.4,142818,0.6,280446,0.3,382542,1.75 29,1,2024-09-07 09:46:41:580,1005496,1005496,0,0,471721777219,4878551559,999411,5311,774,367,391809,0 29,2,2024-09-07 09:46:40:871,720947,720947,0,0,28676739,0,4986 29,3,2024-09-07 09:46:40:966,1,570,1,0,459,6433,570,0 30,0,2024-09-07 09:46:41:466,143021,0.5,139282,0.7,291831,0.4,380970,2.00 30,1,2024-09-07 09:46:40:571,1004218,1004218,0,0,472281760573,4900185428,996985,6326,907,380,391672,0 30,2,2024-09-07 09:46:41:280,719167,719167,0,0,27442625,0,4192 30,3,2024-09-07 09:46:40:582,1,570,8,0,519,5413,570,0 31,0,2024-09-07 09:46:41:762,145022,0.4,145740,0.6,290528,0.4,387814,2.00 31,1,2024-09-07 09:46:40:571,1007406,1007406,0,0,473450630037,4860996196,1001524,4854,1028,356,391712,0 31,2,2024-09-07 09:46:41:277,719285,719285,0,0,30874382,0,4470 31,3,2024-09-07 09:46:41:705,1,570,3,0,239,4850,570,0 32,0,2024-09-07 09:46:41:428,141884,0.3,142741,0.5,284011,0.3,377872,1.75 32,1,2024-09-07 09:46:40:804,1003762,1003762,0,0,470399478365,4889430860,997709,5339,714,381,391646,0 32,2,2024-09-07 09:46:40:935,725281,725281,0,0,27345557,0,3922 32,3,2024-09-07 09:46:41:015,1,570,1,0,304,4488,570,0 33,0,2024-09-07 09:46:41:523,140737,0.3,140281,0.4,280915,0.2,374170,1.50 33,1,2024-09-07 09:46:40:577,1003562,1003562,0,0,472068949681,4891916823,995205,7087,1270,368,391730,0 33,2,2024-09-07 09:46:40:763,723556,723521,35,0,30321618,0,7012 33,3,2024-09-07 09:46:40:895,1,570,1,0,329,5032,570,0 34,0,2024-09-07 09:46:40:935,144463,0.3,148388,0.5,283761,0.2,382851,1.75 34,1,2024-09-07 09:46:41:059,1006191,1006191,0,0,472154606593,4858344356,1003250,2832,109,367,391637,0 34,2,2024-09-07 09:46:40:766,719036,719036,0,0,27907078,0,4562 34,3,2024-09-07 09:46:41:710,1,570,1,0,541,5306,570,0 35,0,2024-09-07 09:46:40:886,144616,0.3,145399,0.5,291756,0.2,387708,1.75 35,1,2024-09-07 09:46:41:068,1002219,1002219,0,0,471147807610,4892032798,994151,6545,1523,382,391769,0 35,2,2024-09-07 09:46:41:590,719173,719173,0,0,31192548,0,4055 35,3,2024-09-07 09:46:40:923,1,570,1,0,466,5506,570,0 36,0,2024-09-07 09:46:41:566,143786,0.5,143615,0.7,286915,0.5,382408,2.00 36,1,2024-09-07 09:46:40:600,1000933,1000933,0,0,469660639373,4906770735,987794,10908,2231,366,391759,0 36,2,2024-09-07 09:46:41:751,724992,724992,0,0,32836186,0,3875 36,3,2024-09-07 09:46:40:870,1,570,3,0,556,8002,570,0 37,0,2024-09-07 09:46:41:392,137702,0.6,137681,0.7,275748,0.5,367952,2.25 37,1,2024-09-07 09:46:40:571,1000376,1000369,0,7,469586575279,4905257018,988119,9352,2898,365,391770,0 37,2,2024-09-07 09:46:41:153,720315,720300,15,0,33144915,0,5815 37,3,2024-09-07 09:46:41:765,1,570,1,0,888,7674,570,0 38,0,2024-09-07 09:46:41:450,143409,0.4,139150,0.6,290839,0.3,381567,2.00 38,1,2024-09-07 09:46:41:609,1000858,1000858,0,0,470076432523,4920176382,985727,12133,2998,368,391821,0 38,2,2024-09-07 09:46:40:760,717216,717169,47,0,32714808,0,6710 38,3,2024-09-07 09:46:40:997,1,570,8,0,689,7135,570,0 39,0,2024-09-07 09:46:41:774,148183,0.5,144872,0.7,282502,0.5,386046,2.00 39,1,2024-09-07 09:46:40:717,1000077,1000077,0,0,469902085135,4928406073,983279,13100,3698,365,391865,0 39,2,2024-09-07 09:46:41:424,719266,719266,0,0,30565298,0,3391 39,3,2024-09-07 09:46:40:727,1,570,47,0,525,6579,570,0 40,0,2024-09-07 09:46:41:541,142715,0.9,143357,1.0,285999,1.0,381576,2.75 40,1,2024-09-07 09:46:40:585,1001161,1001161,0,0,469201976031,4914063912,986752,11627,2782,368,391668,0 40,2,2024-09-07 09:46:41:308,721492,721491,1,0,36286503,0,5137 40,3,2024-09-07 09:46:41:142,1,570,4,0,1028,8285,570,0 41,0,2024-09-07 09:46:41:022,137704,1.2,140820,1.1,268543,1.6,365199,3.00 41,1,2024-09-07 09:46:40:768,1000395,1000395,0,0,469114348649,4907573979,987651,10677,2067,369,391878,0 41,2,2024-09-07 09:46:40:759,719315,719314,1,0,35081069,0,5408 41,3,2024-09-07 09:46:41:682,1,570,3,0,366,6060,570,0 42,0,2024-09-07 09:46:41:492,142951,0.9,142626,1.0,285359,1.0,379192,2.50 42,1,2024-09-07 09:46:41:440,997765,997765,0,0,468118665922,4920130031,982067,12770,2928,380,391675,0 42,2,2024-09-07 09:46:41:134,718022,718022,0,0,34821365,0,3975 42,3,2024-09-07 09:46:41:015,1,570,9,0,892,5463,570,0 43,0,2024-09-07 09:46:40:961,142488,0.7,138748,0.9,290725,0.8,379994,2.25 43,1,2024-09-07 09:46:40:585,1000951,1000951,0,0,470072081259,4911089056,987196,11355,2400,366,391696,0 43,2,2024-09-07 09:46:41:737,718877,718877,0,0,33540861,0,4723 43,3,2024-09-07 09:46:41:750,1,570,139,0,571,8417,570,0 44,0,2024-09-07 09:46:40:877,145641,0.4,145528,0.6,291529,0.4,387275,1.75 44,1,2024-09-07 09:46:40:565,1003518,1003518,0,0,470697151247,4867129144,994394,7185,1939,356,391809,0 44,2,2024-09-07 09:46:41:295,719165,719165,0,0,27427538,0,4344 44,3,2024-09-07 09:46:41:094,1,570,0,0,1097,7220,570,0 45,0,2024-09-07 09:46:41:798,138004,0.5,134755,0.7,282876,0.4,371071,2.00 45,1,2024-09-07 09:46:41:012,1002240,1002240,0,0,471027324916,4895267529,994177,7160,903,382,391917,0 45,2,2024-09-07 09:46:41:273,724043,724043,0,0,27933047,0,3596 45,3,2024-09-07 09:46:40:936,1,570,1,0,531,5649,570,0 46,0,2024-09-07 09:46:40:965,140515,0.5,140198,0.7,281253,0.5,374032,2.00 46,1,2024-09-07 09:46:40:585,1004377,1004377,0,0,472492714797,4883717720,997292,6221,864,366,391709,0 46,2,2024-09-07 09:46:40:596,722818,722818,0,0,28661000,0,4443 46,3,2024-09-07 09:46:41:132,1,570,8,0,908,6991,570,0 47,0,2024-09-07 09:46:41:125,144356,0.4,144277,0.6,289617,0.4,383697,2.00 47,1,2024-09-07 09:46:40:567,1005610,1005610,0,0,471939697143,4875934751,999846,4911,853,365,391641,0 47,2,2024-09-07 09:46:40:909,721356,721356,0,0,27566152,0,4477 47,3,2024-09-07 09:46:41:120,1,570,2,0,600,6367,570,0 48,0,2024-09-07 09:46:41:526,145701,0.3,145907,0.4,291074,0.2,387090,1.50 48,1,2024-09-07 09:46:41:022,1003007,1003007,0,0,470768339359,4890730673,996937,5492,578,383,391710,0 48,2,2024-09-07 09:46:40:706,720229,720229,0,0,26011859,0,3524 48,3,2024-09-07 09:46:40:758,1,570,0,0,339,4711,570,0 49,0,2024-09-07 09:46:41:712,146516,0.3,143695,0.5,279588,0.3,381545,1.75 49,1,2024-09-07 09:46:41:022,1001866,1001866,0,0,470506983338,4896106148,994728,5444,1694,382,391809,0 49,2,2024-09-07 09:46:41:820,725539,725539,0,0,28550320,0,4426 49,3,2024-09-07 09:46:41:416,1,570,11,0,992,6966,570,0 50,0,2024-09-07 09:46:41:554,139623,0.3,137880,0.5,277793,0.2,370317,1.75 50,1,2024-09-07 09:46:41:016,1005186,1005186,0,0,472271029100,4882833225,998798,5719,669,368,391565,0 50,2,2024-09-07 09:46:41:072,722498,722498,0,0,26170396,0,4490 50,3,2024-09-07 09:46:41:293,1,570,9,0,617,5916,570,0 51,0,2024-09-07 09:46:41:716,148548,0.3,145496,0.5,283258,0.2,386159,1.75 51,1,2024-09-07 09:46:41:714,1006047,1006047,0,0,472525499089,4874282124,1000431,4536,1080,365,391706,0 51,2,2024-09-07 09:46:41:328,717861,717861,0,0,25728938,0,3337 51,3,2024-09-07 09:46:41:027,1,570,1,0,678,4051,570,0 52,0,2024-09-07 09:46:41:488,145899,0.5,145532,0.6,291330,0.4,387378,2.00 52,1,2024-09-07 09:46:40:585,1001018,1001018,0,0,469869316663,4919249186,986561,12242,2215,368,391805,0 52,2,2024-09-07 09:46:41:770,715662,715624,38,0,34435704,0,6742 52,3,2024-09-07 09:46:40:679,1,570,1,0,1782,7014,570,0 53,0,2024-09-07 09:46:41:757,142691,0.7,138476,0.8,289608,0.7,379774,2.50 53,1,2024-09-07 09:46:40:771,999537,999537,0,0,469717021861,4930595607,982286,13048,4203,367,391968,0 53,2,2024-09-07 09:46:41:301,723904,723903,1,0,31644117,0,5455 53,3,2024-09-07 09:46:40:701,1,570,1,0,308,5472,570,0 54,0,2024-09-07 09:46:41:621,136492,0.6,136905,0.8,272516,0.4,364085,2.25 54,1,2024-09-07 09:46:40:586,1001672,1001672,0,0,470605662041,4895968838,990816,9189,1667,366,391810,0 54,2,2024-09-07 09:46:40:871,723114,723082,32,0,34984675,0,6397 54,3,2024-09-07 09:46:40:763,1,570,8,0,676,7632,570,0 55,0,2024-09-07 09:46:41:793,138969,0.6,143428,0.7,290653,0.5,379102,2.50 55,1,2024-09-07 09:46:40:765,1002503,1002503,0,0,469465988601,4885557963,991780,9335,1388,365,391731,0 55,2,2024-09-07 09:46:40:737,716670,716614,56,0,32554998,0,7239 55,3,2024-09-07 09:46:40:676,1,570,0,0,304,5290,570,0 56,0,2024-09-07 09:46:41:565,146999,1.1,138705,1.1,285549,1.5,382642,2.75 56,1,2024-09-07 09:46:40:592,996745,996745,0,0,468040181401,4948317854,980058,13217,3470,381,391867,0 56,2,2024-09-07 09:46:41:311,718155,718033,122,0,33786270,0,7432 56,3,2024-09-07 09:46:41:076,1,570,3,0,705,6677,570,0 57,0,2024-09-07 09:46:40:933,142902,1.5,142885,1.2,285924,2.0,382089,3.25 57,1,2024-09-07 09:46:40:986,998675,998675,0,0,468925889803,4922414338,985216,11120,2339,366,392032,0 57,2,2024-09-07 09:46:41:329,721757,721757,0,0,35341932,0,4317 57,3,2024-09-07 09:46:41:738,1,570,1,0,455,6532,570,0 58,0,2024-09-07 09:46:40:559,137063,0.9,132999,1.0,278278,1.0,364285,2.50 58,1,2024-09-07 09:46:40:585,1000440,1000437,0,3,470535565446,4931440306,985403,11342,3692,367,391675,3 58,2,2024-09-07 09:46:41:076,722823,722823,0,0,33368490,0,3483 58,3,2024-09-07 09:46:41:069,1,570,13,0,1043,6471,570,0 59,0,2024-09-07 09:46:41:742,142178,0.8,141798,0.9,283337,0.8,376166,2.75 59,1,2024-09-07 09:46:40:942,998997,998997,0,0,469162630632,4928620254,982774,12892,3331,369,391653,0 59,2,2024-09-07 09:46:40:585,720591,720591,0,0,31887254,0,3727 59,3,2024-09-07 09:46:41:737,1,570,7,0,1015,6930,570,0 60,0,2024-09-07 09:46:41:743,143768,0.5,144074,0.7,287904,0.5,383266,1.75 60,1,2024-09-07 09:46:40:773,1004102,1004102,0,0,471085221231,4894074419,996861,6245,996,370,392031,0 60,2,2024-09-07 09:46:41:166,719008,719008,0,0,29939943,0,3811 60,3,2024-09-07 09:46:41:266,1,570,5,0,409,6589,570,0 61,0,2024-09-07 09:46:41:514,145190,0.6,145761,0.8,290269,0.6,387188,2.00 61,1,2024-09-07 09:46:40:778,1000331,1000331,0,0,469721164388,4918776402,989552,9118,1661,382,392127,0 61,2,2024-09-07 09:46:41:122,720090,720023,67,0,30856204,0,6411 61,3,2024-09-07 09:46:41:715,1,570,5,0,607,7739,570,0 62,0,2024-09-07 09:46:41:735,142145,0.6,145850,0.7,278340,0.6,377721,2.00 62,1,2024-09-07 09:46:41:120,1006585,1006579,0,6,473275291658,4878722231,1001913,4382,284,365,391975,6 62,2,2024-09-07 09:46:41:644,721753,721752,1,0,31098349,0,5555 62,3,2024-09-07 09:46:41:143,1,570,17,0,482,4579,570,0 63,0,2024-09-07 09:46:41:495,140802,0.4,140525,0.6,281657,0.4,374370,1.75 63,1,2024-09-07 09:46:40:818,1003073,1003067,0,6,470746522732,4888754777,996428,5785,854,381,391800,6 63,2,2024-09-07 09:46:40:762,723019,723019,0,0,29296624,0,4369 63,3,2024-09-07 09:46:41:735,1,570,13,0,667,6105,570,0 64,0,2024-09-07 09:46:41:519,143454,0.5,143541,0.7,286298,0.4,381250,2.00 64,1,2024-09-07 09:46:40:751,1001824,1001824,0,0,471194134084,4908642286,992586,7263,1975,370,391794,0 64,2,2024-09-07 09:46:41:164,721642,721623,19,0,28149661,0,6121 64,3,2024-09-07 09:46:41:149,1,570,13,0,651,6182,570,0 65,0,2024-09-07 09:46:41:707,144393,0.6,144586,0.7,288975,0.6,385173,2.00 65,1,2024-09-07 09:46:40:871,1000623,1000623,0,0,469385269036,4906380228,993372,6394,857,381,391901,0 65,2,2024-09-07 09:46:41:714,718188,718188,0,0,33503183,0,3367 65,3,2024-09-07 09:46:41:715,1,570,2,0,782,6490,570,0 66,0,2024-09-07 09:46:41:764,142829,0.5,142309,0.8,284883,0.5,379567,2.25 66,1,2024-09-07 09:46:41:295,1002785,1002785,0,0,470628056149,4898161552,996310,5753,722,380,391743,0 66,2,2024-09-07 09:46:41:145,727133,727130,3,0,30411063,0,5455 66,3,2024-09-07 09:46:41:079,1,570,5,0,291,4898,570,0 67,0,2024-09-07 09:46:41:430,138185,0.5,137898,0.7,276664,0.5,368292,2.00 67,1,2024-09-07 09:46:40:766,1002103,1002102,0,1,470566682367,4899740440,995017,6142,943,380,391787,1 67,2,2024-09-07 09:46:40:585,725237,725222,15,0,30222507,0,6205 67,3,2024-09-07 09:46:41:750,1,570,1,0,595,6050,570,0 68,0,2024-09-07 09:46:40:622,144267,0.5,144092,0.7,286908,0.5,383682,2.00 68,1,2024-09-07 09:46:40:572,998470,998470,0,0,468974032786,4932097141,985183,9828,3459,381,391953,0 68,2,2024-09-07 09:46:41:046,713684,713584,100,0,37633035,0,8578 68,3,2024-09-07 09:46:40:741,1,570,4,0,417,7576,570,0 69,0,2024-09-07 09:46:41:829,144258,0.7,145126,0.8,289259,0.7,384608,2.25 69,1,2024-09-07 09:46:41:019,997522,997522,0,0,468655039807,4940194343,984205,10539,2778,383,391994,0 69,2,2024-09-07 09:46:41:734,716785,716756,29,0,39749948,0,6912 69,3,2024-09-07 09:46:40:764,1,570,1,0,698,8372,570,0 70,0,2024-09-07 09:46:41:540,142422,0.8,142810,1.0,287324,0.7,380426,2.50 70,1,2024-09-07 09:46:40:801,1003587,1003587,0,0,471826156368,4896335738,995870,6953,764,366,391725,0 70,2,2024-09-07 09:46:41:332,723131,723131,0,0,33366127,0,4323 70,3,2024-09-07 09:46:40:750,1,570,9,0,854,6483,570,0 71,0,2024-09-07 09:46:41:357,136998,1.0,136801,1.0,274759,1.3,366703,2.75 71,1,2024-09-07 09:46:41:597,1001473,1001473,0,0,470309513057,4908984147,989250,10853,1370,368,391738,0 71,2,2024-09-07 09:46:41:069,721830,721830,0,0,33728287,0,4352 71,3,2024-09-07 09:46:41:751,1,570,1,0,644,6601,570,0 72,0,2024-09-07 09:46:41:026,148278,0.5,144954,0.7,282864,0.5,384837,2.00 72,1,2024-09-07 09:46:41:022,999608,999608,0,0,468657089596,4916310525,985327,11886,2395,369,391819,0 72,2,2024-09-07 09:46:41:755,717926,717926,0,0,34987444,0,3983 72,3,2024-09-07 09:46:41:754,1,570,13,0,564,8344,570,0 73,0,2024-09-07 09:46:41:122,140421,0.5,143886,0.6,294377,0.4,382727,2.00 73,1,2024-09-07 09:46:40:765,1001808,1001808,0,0,470544304792,4890126513,994268,6613,927,367,391858,0 73,2,2024-09-07 09:46:41:739,718537,718537,0,0,35348279,0,4728 73,3,2024-09-07 09:46:40:970,1,570,73,0,1091,8202,570,0 74,0,2024-09-07 09:46:41:335,146385,0.5,149883,0.7,285850,0.4,387480,2.00 74,1,2024-09-07 09:46:40:636,1000431,1000431,0,0,469805725793,4903898334,989376,9077,1978,381,391762,0 74,2,2024-09-07 09:46:41:003,719905,719905,0,0,31077717,0,4253 74,3,2024-09-07 09:46:41:443,1,570,7,0,522,6842,570,0 75,0,2024-09-07 09:46:41:877,139981,0.6,138960,0.8,279191,0.5,372570,2.25 75,1,2024-09-07 09:46:41:595,1002152,1002152,0,0,469842438963,4896499915,993967,7319,866,380,391739,0 75,2,2024-09-07 09:46:41:350,721810,721810,0,0,37782633,0,4766 75,3,2024-09-07 09:46:41:066,1,570,43,0,702,6946,570,0 76,0,2024-09-07 09:46:40:598,140617,0.6,140077,0.7,280242,0.5,375358,2.25 76,1,2024-09-07 09:46:40:810,1001256,1001256,0,0,469743832801,4898636431,994334,5952,970,382,391790,0 76,2,2024-09-07 09:46:41:073,724149,724146,3,0,31222279,0,5265 76,3,2024-09-07 09:46:41:147,1,570,1,0,227,5165,570,0 77,0,2024-09-07 09:46:41:701,143635,0.6,144186,0.7,288242,0.5,382983,2.00 77,1,2024-09-07 09:46:40:830,1001019,1001019,0,0,470002684390,4904208156,993291,6854,874,381,391869,0 77,2,2024-09-07 09:46:41:284,717898,717898,0,0,30154169,0,3890 77,3,2024-09-07 09:46:41:099,1,570,21,0,401,6377,570,0 78,0,2024-09-07 09:46:41:718,145890,0.4,145365,0.6,291341,0.4,385720,2.00 78,1,2024-09-07 09:46:40:623,1001533,1001533,0,0,469902053510,4900707719,989645,9221,2667,367,391670,0 78,2,2024-09-07 09:46:41:408,720921,720908,13,0,28415077,0,8313 78,3,2024-09-07 09:46:41:137,1,570,15,0,311,4886,570,0 79,0,2024-09-07 09:46:41:355,137769,0.4,141077,0.6,289118,0.3,376251,2.00 79,1,2024-09-07 09:46:40:573,1004778,1004778,0,0,471796151164,4885734829,996987,6432,1359,367,391682,0 79,2,2024-09-07 09:46:41:087,724903,724903,0,0,27651109,0,4195 79,3,2024-09-07 09:46:40:749,1,570,0,0,418,6853,570,0 80,0,2024-09-07 09:46:41:081,138793,0.5,142466,0.7,272570,0.5,369120,2.00 80,1,2024-09-07 09:46:41:620,1001586,1001586,0,0,470852882049,4902171381,995132,6020,434,368,392269,0 80,2,2024-09-07 09:46:41:091,725061,725061,0,0,28331945,0,4433 80,3,2024-09-07 09:46:40:584,1,570,1,0,681,7238,570,0 81,0,2024-09-07 09:46:41:647,144779,0.6,147927,0.7,282643,0.5,383493,2.00 81,1,2024-09-07 09:46:41:934,1000226,1000226,0,0,469386551121,4907447060,992098,7365,763,382,391885,0 81,2,2024-09-07 09:46:41:132,716126,716063,63,0,31163078,0,5932 81,3,2024-09-07 09:46:41:131,1,570,13,0,719,6903,570,0 82,0,2024-09-07 09:46:41:541,145239,0.5,145434,0.7,291417,0.4,386431,2.00 82,1,2024-09-07 09:46:40:585,1003209,1003205,0,4,470510382287,4891951386,997647,4735,823,381,391768,4 82,2,2024-09-07 09:46:41:696,721433,721433,0,0,26241984,0,4484 82,3,2024-09-07 09:46:41:755,1,570,11,0,363,5465,570,0 83,0,2024-09-07 09:46:41:534,143352,0.6,143413,0.7,286143,0.6,379941,2.25 83,1,2024-09-07 09:46:40:553,1000965,1000965,0,0,469361752936,4894404216,993608,6713,644,382,391709,0 83,2,2024-09-07 09:46:40:765,724656,724631,25,0,28524379,0,5612 83,3,2024-09-07 09:46:40:749,1,570,4,0,1260,7004,570,0 84,0,2024-09-07 09:46:41:815,137142,0.7,137367,0.9,274373,0.6,366902,2.25 84,1,2024-09-07 09:46:41:061,999399,999399,0,0,469273833573,4908789670,987645,10084,1670,367,391967,0 84,2,2024-09-07 09:46:40:583,722634,722604,30,0,37204117,0,5971 84,3,2024-09-07 09:46:41:162,1,570,13,0,908,7735,570,0 85,0,2024-09-07 09:46:41:054,138523,0.6,138543,0.8,294124,0.5,380242,2.25 85,1,2024-09-07 09:46:40:570,997017,997017,0,0,467995626934,4943322209,981380,12876,2761,381,392092,0 85,2,2024-09-07 09:46:40:869,716881,716881,0,0,34684046,0,4255 85,3,2024-09-07 09:46:40:685,1,570,1,0,789,6760,570,0 86,0,2024-09-07 09:46:40:911,144094,0.6,148067,0.8,283123,0.7,383629,2.25 86,1,2024-09-07 09:46:40:829,999347,999347,0,0,470229752455,4932316188,985936,10754,2657,366,392169,0 86,2,2024-09-07 09:46:40:866,717599,717598,1,0,37247156,0,5004 86,3,2024-09-07 09:46:40:587,1,570,11,0,308,8084,570,0 87,0,2024-09-07 09:46:41:469,144838,0.8,144352,0.8,288661,1.0,385682,2.25 87,1,2024-09-07 09:46:40:555,998969,998969,0,0,469927771480,4922931477,986292,10957,1720,366,392076,0 87,2,2024-09-07 09:46:41:076,720597,720591,6,0,33769264,0,6323 87,3,2024-09-07 09:46:41:807,1,570,1,0,473,8452,570,0 88,0,2024-09-07 09:46:41:910,138820,0.4,139189,0.6,278316,0.4,370818,1.75 88,1,2024-09-07 09:46:40:584,997926,997926,0,0,469358493929,4917211475,984086,11080,2760,365,392084,0 88,2,2024-09-07 09:46:40:702,722008,722008,0,0,36608188,0,4465 88,3,2024-09-07 09:46:41:271,1,570,385,0,1080,8956,570,0 89,0,2024-09-07 09:46:41:789,146834,0.4,142489,0.6,281095,0.4,382531,1.75 89,1,2024-09-07 09:46:40:566,997675,997675,0,0,469210214459,4935807595,985102,10640,1933,382,391866,0 89,2,2024-09-07 09:46:41:136,720290,720290,0,0,33979772,0,3173 89,3,2024-09-07 09:46:41:801,1,570,183,0,468,9796,570,0 90,0,2024-09-07 09:46:42:413,139588,0.5,143397,0.6,292462,0.4,381531,2.00 90,1,2024-09-07 09:46:40:598,999758,999758,0,0,469686643285,4919628025,990073,8796,889,380,391825,0 90,2,2024-09-07 09:46:41:417,715533,715533,0,0,36462289,0,3608 90,3,2024-09-07 09:46:40:935,1,570,15,0,322,6941,570,0 91,0,2024-09-07 09:46:41:026,145800,0.5,141443,0.6,295808,0.4,387831,1.75 91,1,2024-09-07 09:46:40:558,996852,996852,0,0,469439058993,4942974877,982695,11420,2737,381,392047,0 91,2,2024-09-07 09:46:41:345,719721,719721,0,0,32069837,0,4713 91,3,2024-09-07 09:46:40:606,1,570,16,0,216,5291,570,0 92,0,2024-09-07 09:46:41:454,143270,0.5,146509,0.6,279294,0.5,378081,1.75 92,1,2024-09-07 09:46:40:586,1000976,1000976,0,0,469745243566,4906193341,993155,6611,1210,381,392136,0 92,2,2024-09-07 09:46:41:370,723982,723982,0,0,29132565,0,3259 92,3,2024-09-07 09:46:41:032,1,570,13,0,167,4862,570,0 93,0,2024-09-07 09:46:40:996,141024,0.4,144574,0.6,275929,0.4,374373,1.75 93,1,2024-09-07 09:46:40:820,1001126,1001126,0,0,470124844988,4907956653,989812,9389,1925,366,391776,0 93,2,2024-09-07 09:46:40:930,722508,722508,0,0,34875549,0,4913 93,3,2024-09-07 09:46:41:411,1,570,0,0,294,5622,570,0 94,0,2024-09-07 09:46:41:623,143638,0.4,144690,0.5,289260,0.3,384030,1.75 94,1,2024-09-07 09:46:40:588,1001936,1001936,0,0,470149428622,4903876819,995207,6299,430,381,391850,0 94,2,2024-09-07 09:46:40:781,717985,717957,28,0,29395439,0,6179 94,3,2024-09-07 09:46:41:753,1,570,8,0,576,7038,570,0 95,0,2024-09-07 09:46:41:423,145430,0.3,145438,0.5,291033,0.3,387732,1.75 95,1,2024-09-07 09:46:40:884,1003126,1003126,0,0,470252099392,4887945350,994963,7461,702,365,391852,0 95,2,2024-09-07 09:46:41:020,718371,718371,0,0,29057616,0,3308 95,3,2024-09-07 09:46:41:715,1,570,2,0,718,8807,570,0 96,0,2024-09-07 09:46:41:072,143236,0.4,143559,0.5,287047,0.3,381749,1.75 96,1,2024-09-07 09:46:41:604,1000894,1000894,0,0,470424144228,4908041191,993558,6065,1271,384,391964,0 96,2,2024-09-07 09:46:41:285,725509,725509,0,0,30204773,0,4180 96,3,2024-09-07 09:46:41:148,1,570,53,0,411,6638,570,0 97,0,2024-09-07 09:46:41:567,138361,0.3,138284,0.5,277393,0.3,368248,1.75 97,1,2024-09-07 09:46:40:767,1002867,1002867,0,0,471166665498,4888203003,996479,5362,1026,367,392140,0 97,2,2024-09-07 09:46:40:607,723966,723966,0,0,30037742,0,4046 97,3,2024-09-07 09:46:40:580,1,570,0,0,242,6445,570,0 98,0,2024-09-07 09:46:41:749,143860,0.3,143936,0.4,288774,0.2,384551,1.50 98,1,2024-09-07 09:46:40:574,1001926,1001926,0,0,469930195733,4895086002,995697,5409,820,381,391997,0 98,2,2024-09-07 09:46:40:769,718188,718188,0,0,28702206,0,4336 98,3,2024-09-07 09:46:40:705,1,570,8,0,840,8722,570,0 99,0,2024-09-07 09:46:41:480,145211,0.3,145922,0.5,290160,0.3,387427,1.75 99,1,2024-09-07 09:46:41:726,1002920,1002920,0,0,470338213572,4894725043,996694,5297,929,380,392069,0 99,2,2024-09-07 09:46:41:431,719209,719209,0,0,35173952,0,4276 99,3,2024-09-07 09:46:40:584,1,570,2,0,1124,7231,570,0 100,0,2024-09-07 09:46:41:474,143654,0.8,143951,0.9,287558,0.9,383847,2.50 100,1,2024-09-07 09:46:40:559,996833,996833,0,0,468096448772,4951313839,981096,12448,3289,378,391989,0 100,2,2024-09-07 09:46:41:837,720887,720876,11,0,34431540,0,5417 100,3,2024-09-07 09:46:41:734,1,570,3,0,627,9878,570,0 101,0,2024-09-07 09:46:41:761,141291,1.1,137880,1.0,269748,1.0,368942,2.25 101,1,2024-09-07 09:46:40:554,998367,998367,0,0,468992401214,4929311563,984827,11036,2504,368,391847,0 101,2,2024-09-07 09:46:41:756,718180,718180,0,0,38812362,0,4871 101,3,2024-09-07 09:46:40:946,1,570,5,0,1250,8811,570,0 102,0,2024-09-07 09:46:40:995,139715,0.6,143923,0.7,292142,0.6,382490,2.00 102,1,2024-09-07 09:46:41:245,998380,998380,0,0,468547706432,4924395778,984561,11663,2156,369,391984,0 102,2,2024-09-07 09:46:41:858,719965,719911,54,0,33012219,0,6768 102,3,2024-09-07 09:46:41:646,1,570,24,0,466,6567,570,0 103,0,2024-09-07 09:46:41:602,148757,0.6,148733,0.7,280237,0.6,385876,2.00 103,1,2024-09-07 09:46:41:629,996906,996906,0,0,468206795605,4947422143,980524,12792,3590,381,392077,0 103,2,2024-09-07 09:46:40:584,716679,716679,0,0,35372005,0,3766 103,3,2024-09-07 09:46:40:760,1,570,1,0,916,6991,570,0 104,0,2024-09-07 09:46:41:084,144428,0.7,144600,0.9,288431,0.7,385687,2.25 104,1,2024-09-07 09:46:41:605,1000053,1000053,0,0,468819577722,4924218393,985980,11484,2589,365,392168,0 104,2,2024-09-07 09:46:41:676,718322,718322,0,0,34393616,0,3941 104,3,2024-09-07 09:46:41:417,1,570,1,0,1245,10315,570,0 105,0,2024-09-07 09:46:41:039,138222,0.9,134738,1.0,282276,1.0,370583,2.50 105,1,2024-09-07 09:46:40:557,1001481,1001481,0,0,470328634065,4925367239,989287,10386,1808,364,392009,0 105,2,2024-09-07 09:46:41:382,721899,721899,0,0,35288261,0,4360 105,3,2024-09-07 09:46:41:321,1,570,12,0,573,8775,570,0 106,0,2024-09-07 09:46:41:112,136426,0.8,139608,0.9,286286,0.8,374132,2.50 106,1,2024-09-07 09:46:42:203,999783,999783,0,0,469756700310,4929148132,986067,11982,1734,368,391914,0 106,2,2024-09-07 09:46:40:805,720835,720835,0,0,32651635,0,2920 106,3,2024-09-07 09:46:40:680,1,570,11,0,1224,8271,570,0 107,0,2024-09-07 09:46:41:247,143818,1.0,143949,0.9,287928,1.2,383713,2.25 107,1,2024-09-07 09:46:40:591,997754,997754,0,0,468470256035,4944727927,982788,13239,1727,381,392234,0 107,2,2024-09-07 09:46:41:294,715262,715261,1,0,35268587,0,5024 107,3,2024-09-07 09:46:41:756,1,570,3,0,733,8826,570,0 108,0,2024-09-07 09:46:41:857,145280,0.4,145806,0.6,290575,0.4,387253,1.75 108,1,2024-09-07 09:46:41:294,1000811,1000811,0,0,471052282502,4914233601,992310,7522,979,367,391894,0 108,2,2024-09-07 09:46:41:769,719013,719013,0,0,31283945,0,4246 108,3,2024-09-07 09:46:41:331,1,570,1,0,749,10674,570,0 109,0,2024-09-07 09:46:41:803,143340,0.4,142033,0.6,285221,0.3,380682,1.75 109,1,2024-09-07 09:46:40:585,998099,998099,0,0,469074993216,4920655706,989256,7621,1222,382,392132,0 109,2,2024-09-07 09:46:40:924,722382,722382,0,0,32232252,0,3617 109,3,2024-09-07 09:46:41:154,1,570,10,0,630,7151,570,0 110,0,2024-09-07 09:46:41:750,138899,0.4,135007,0.6,282765,0.3,371304,1.75 110,1,2024-09-07 09:46:41:649,1002769,1002769,0,0,471100377297,4892416902,994866,5979,1924,368,392045,0 110,2,2024-09-07 09:46:41:306,723179,723179,0,0,29874103,0,4067 110,3,2024-09-07 09:46:40:695,1,570,11,0,722,7855,570,0 111,0,2024-09-07 09:46:41:420,145520,0.4,144656,0.5,289441,0.3,385820,1.75 111,1,2024-09-07 09:46:41:003,1004183,1004183,0,0,472490960799,4898816979,999298,4522,363,380,391690,0 111,2,2024-09-07 09:46:41:122,717581,717581,0,0,29941204,0,4823 111,3,2024-09-07 09:46:40:919,1,570,32,0,379,6413,570,0 112,0,2024-09-07 09:46:40:909,146222,0.3,145660,0.4,291971,0.2,387620,1.50 112,1,2024-09-07 09:46:40:857,1002460,1002460,0,0,471063449241,4893849571,995295,5940,1225,380,391624,0 112,2,2024-09-07 09:46:41:141,719171,719170,1,0,28635069,0,5036 112,3,2024-09-07 09:46:40:594,1,570,1,0,282,5363,570,0 113,0,2024-09-07 09:46:40:878,143555,0.3,143512,0.5,287265,0.2,382283,1.75 113,1,2024-09-07 09:46:41:708,1004871,1004871,0,0,472760743491,4879399599,998796,5129,946,365,391664,0 113,2,2024-09-07 09:46:41:308,727142,727142,0,0,27061803,0,3813 113,3,2024-09-07 09:46:40:695,1,570,5,0,510,6238,570,0 114,0,2024-09-07 09:46:40:908,138648,0.3,139564,0.5,277544,0.2,371478,1.75 114,1,2024-09-07 09:46:40:724,1002779,1002779,0,0,470914377342,4891513260,995022,6012,1745,381,391565,0 114,2,2024-09-07 09:46:40:873,724630,724629,1,0,28572646,0,5069 114,3,2024-09-07 09:46:41:277,1,570,7,0,395,4529,570,0 115,0,2024-09-07 09:46:40:560,144542,0.3,144896,0.4,288662,0.2,384355,1.50 115,1,2024-09-07 09:46:40:633,1003252,1003252,0,0,470794338118,4892321833,995251,6495,1506,382,391757,0 115,2,2024-09-07 09:46:41:127,720493,720493,0,0,28208432,0,4382 115,3,2024-09-07 09:46:41:002,1,570,1,0,167,3317,570,0 116,0,2024-09-07 09:46:41:770,143823,0.7,143514,0.8,287726,0.6,384953,2.00 116,1,2024-09-07 09:46:40:840,998155,998155,0,0,468732847982,4942869440,985814,9278,3063,380,392089,0 116,2,2024-09-07 09:46:41:763,718562,718562,0,0,35465962,0,4475 116,3,2024-09-07 09:46:40:923,1,570,2,0,448,7487,570,0 117,0,2024-09-07 09:46:40:957,144982,0.7,144328,0.8,289155,0.8,386443,2.00 117,1,2024-09-07 09:46:41:703,999687,999687,0,0,468700282792,4908012714,988651,9574,1462,369,392033,0 117,2,2024-09-07 09:46:41:124,723295,723295,0,0,32125435,0,4303 117,3,2024-09-07 09:46:41:067,1,570,8,0,490,7543,570,0 118,0,2024-09-07 09:46:42:101,134696,0.6,138340,0.7,282165,0.5,369174,2.00 118,1,2024-09-07 09:46:40:616,997929,997929,0,0,469218237901,4936482615,982415,11897,3617,366,392054,0 118,2,2024-09-07 09:46:41:592,721483,721483,0,0,34157990,0,2842 118,3,2024-09-07 09:46:41:776,1,570,78,0,289,6621,570,0 119,0,2024-09-07 09:46:41:569,142398,0.6,142979,0.7,285403,0.6,380574,2.00 119,1,2024-09-07 09:46:40:566,998794,998794,0,0,469658714765,4925908429,984825,11563,2406,367,391857,0 119,2,2024-09-07 09:46:41:310,721538,721538,0,0,32193128,0,4309 119,3,2024-09-07 09:46:41:349,1,570,9,0,1358,10824,570,0 120,0,2024-09-07 09:46:41:548,143022,0.7,142822,0.8,286899,0.7,382439,2.25 120,1,2024-09-07 09:46:40:878,1000717,1000717,0,0,468731909457,4911573587,990962,8973,782,367,392144,0 120,2,2024-09-07 09:46:40:780,717164,717163,1,0,36953715,0,5281 120,3,2024-09-07 09:46:41:292,1,570,1,0,279,7143,570,0 121,0,2024-09-07 09:46:41:781,145465,1.1,144912,1.0,290262,1.5,386677,2.25 121,1,2024-09-07 09:46:41:659,1000448,1000448,0,0,469429093993,4907838437,990558,9038,852,366,391840,0 121,2,2024-09-07 09:46:41:129,718969,718969,0,0,34298195,0,4157 121,3,2024-09-07 09:46:40:734,1,570,1,0,387,7122,570,0 122,0,2024-09-07 09:46:41:767,141101,0.8,137327,0.9,287765,0.9,377900,2.00 122,1,2024-09-07 09:46:40:865,999135,999135,0,0,469348614457,4925520792,985269,11534,2332,366,392130,0 122,2,2024-09-07 09:46:41:320,724046,723971,75,0,38400121,0,5989 122,3,2024-09-07 09:46:40:597,1,570,9,0,512,9487,570,0 123,0,2024-09-07 09:46:40:953,139764,0.7,135898,0.8,284736,0.8,373085,2.25 123,1,2024-09-07 09:46:40:594,999261,999261,0,0,469309673230,4937267238,983021,13583,2657,369,392039,0 123,2,2024-09-07 09:46:41:039,720651,720650,1,0,32815386,0,5215 123,3,2024-09-07 09:46:41:144,1,570,15,0,478,6554,570,0 124,0,2024-09-07 09:46:40:947,148062,0.3,147975,0.5,279313,0.3,384355,1.75 124,1,2024-09-07 09:46:41:027,1002919,1002919,0,0,470938110143,4896147283,995429,6320,1170,365,392178,0 124,2,2024-09-07 09:46:41:019,719347,719294,53,0,29523480,0,6487 124,3,2024-09-07 09:46:40:759,1,570,1,0,490,5766,570,0 125,0,2024-09-07 09:46:41:428,145189,0.4,145191,0.5,291292,0.3,387814,1.75 125,1,2024-09-07 09:46:40:867,1000069,1000069,0,0,469394731143,4900601015,992261,6678,1130,382,391813,0 125,2,2024-09-07 09:46:41:121,721468,721468,0,0,29481497,0,4534 125,3,2024-09-07 09:46:41:127,1,570,1,0,709,6599,570,0 126,0,2024-09-07 09:46:41:431,143499,0.4,147594,0.6,282212,0.4,382402,1.75 126,1,2024-09-07 09:46:40:555,1003517,1003517,0,0,470882967854,4875781561,998188,4884,445,365,391987,0 126,2,2024-09-07 09:46:40:613,725929,725929,0,0,31087641,0,4539 126,3,2024-09-07 09:46:40:908,1,570,41,0,268,6298,570,0 127,0,2024-09-07 09:46:41:617,138613,0.3,138837,0.5,277192,0.3,368597,1.75 127,1,2024-09-07 09:46:40:570,1001692,1001692,0,0,470111034251,4892595987,991485,8585,1622,364,392187,0 127,2,2024-09-07 09:46:40:638,722890,722886,4,0,29232355,0,5305 127,3,2024-09-07 09:46:41:273,1,570,2,0,968,5620,570,0 128,0,2024-09-07 09:46:41:601,144701,0.3,144744,0.4,289173,0.2,385153,1.50 128,1,2024-09-07 09:46:41:617,1001855,1001855,0,0,470254567831,4892301654,993733,7170,952,367,392423,0 128,2,2024-09-07 09:46:41:408,719182,719182,0,0,27010359,0,3171 128,3,2024-09-07 09:46:40:767,1,570,19,0,1082,9089,570,0 129,0,2024-09-07 09:46:41:010,146250,0.3,145483,0.5,291632,0.3,387756,1.50 129,1,2024-09-07 09:46:40:568,998231,998231,0,0,469315834387,4920473244,987960,8251,2020,379,391962,0 129,2,2024-09-07 09:46:40:694,720865,720861,4,0,29492338,0,5335 129,3,2024-09-07 09:46:40:695,1,570,4,0,506,8063,570,0 130,0,2024-09-07 09:46:41:746,144988,0.4,144363,0.6,289384,0.4,385271,1.75 130,1,2024-09-07 09:46:40:587,1002812,1002812,0,0,470618724538,4889856354,997510,4910,392,381,391825,0 130,2,2024-09-07 09:46:41:128,724291,724291,0,0,29609103,0,4067 130,3,2024-09-07 09:46:41:295,1,570,10,0,960,7777,570,0 131,0,2024-09-07 09:46:41:954,138537,0.4,138967,0.5,278503,0.3,369848,1.75 131,1,2024-09-07 09:46:41:826,1001613,1001613,0,0,469813911293,4898676679,994593,5826,1194,381,391865,0 131,2,2024-09-07 09:46:40:596,723420,723420,0,0,27805891,0,3979 131,3,2024-09-07 09:46:41:703,1,570,14,0,392,7365,570,0 132,0,2024-09-07 09:46:41:452,143686,0.5,144703,0.6,288138,0.4,383582,2.00 132,1,2024-09-07 09:46:40:585,997941,997941,0,0,468507568357,4932882512,983516,11784,2641,381,392532,0 132,2,2024-09-07 09:46:40:733,719699,719682,17,0,35896632,0,6451 132,3,2024-09-07 09:46:41:712,1,570,16,0,804,9264,570,0 133,0,2024-09-07 09:46:41:569,140508,0.4,143656,0.6,294659,0.4,383583,2.00 133,1,2024-09-07 09:46:40:589,997867,997867,0,0,468740885102,4940135334,984246,11866,1755,383,391914,0 133,2,2024-09-07 09:46:41:106,719515,719465,50,0,36417854,0,6861 133,3,2024-09-07 09:46:41:309,1,570,23,0,528,6985,570,0 134,0,2024-09-07 09:46:40:939,145655,0.5,145701,0.7,291724,0.5,387828,2.00 134,1,2024-09-07 09:46:40:586,999303,999303,0,0,468948223110,4918184411,986546,10496,2261,366,391781,0 134,2,2024-09-07 09:46:41:756,720262,720238,24,0,34061437,0,6207 134,3,2024-09-07 09:46:40:753,1,570,8,0,739,7003,570,0 135,0,2024-09-07 09:46:41:117,135325,0.9,135346,0.9,287364,1.0,370121,2.25 135,1,2024-09-07 09:46:41:588,998717,998717,0,0,469209829589,4934933832,985955,11123,1639,380,391805,0 135,2,2024-09-07 09:46:40:697,723439,723439,0,0,34839063,0,4503 135,3,2024-09-07 09:46:41:011,1,570,1,0,900,5814,570,0 136,0,2024-09-07 09:46:41:754,141690,0.6,142126,0.7,282757,0.5,377572,2.00 136,1,2024-09-07 09:46:41:448,1000098,1000098,0,0,469852484446,4924449923,988906,9825,1367,381,392135,0 136,2,2024-09-07 09:46:41:133,723045,723030,15,0,34280264,0,6007 136,3,2024-09-07 09:46:41:121,1,570,2,0,637,6762,570,0 137,0,2024-09-07 09:46:40:945,148700,0.6,144969,0.7,283921,0.6,385430,2.00 137,1,2024-09-07 09:46:40:585,999001,999001,0,0,470030561394,4927869076,983839,12110,3052,366,391898,0 137,2,2024-09-07 09:46:41:724,716332,716332,0,0,35181414,0,3185 137,3,2024-09-07 09:46:40:773,1,570,41,0,484,7408,570,0 138,0,2024-09-07 09:46:41:751,144757,0.7,144925,0.9,290516,0.8,385655,2.00 138,1,2024-09-07 09:46:41:685,999472,999472,0,0,469944633058,4928967107,985559,11672,2241,368,391954,0 138,2,2024-09-07 09:46:40:596,718462,718462,0,0,32921620,0,4988 138,3,2024-09-07 09:46:40:617,1,570,8,0,1200,9100,570,0 139,0,2024-09-07 09:46:41:536,141519,1.2,142094,1.0,284053,1.6,378953,2.25 139,1,2024-09-07 09:46:40:579,995201,995201,0,0,466370641612,4944514393,977918,13598,3685,380,392109,0 139,2,2024-09-07 09:46:40:701,719507,719507,0,0,37445024,0,3360 139,3,2024-09-07 09:46:41:671,1,570,12,0,432,6716,570,0 140,0,2024-09-07 09:46:41:642,139460,0.3,138693,0.5,278375,0.2,371559,1.75 140,1,2024-09-07 09:46:41:544,1005466,1005466,0,0,472349061615,4870069176,1000521,4399,546,364,391606,0 140,2,2024-09-07 09:46:40:696,723890,723889,1,0,27917494,0,5036 140,3,2024-09-07 09:46:40:767,1,570,3,0,575,5770,570,0 141,0,2024-09-07 09:46:41:735,145055,0.3,149063,0.5,284918,0.3,385593,1.75 141,1,2024-09-07 09:46:40:861,1003103,1003103,0,0,471376522462,4897524800,995140,6784,1179,379,391614,0 141,2,2024-09-07 09:46:41:686,718173,718162,11,0,29560771,0,5369 141,3,2024-09-07 09:46:41:042,1,570,1,0,391,6338,570,0 142,0,2024-09-07 09:46:41:361,146257,0.3,145335,0.5,291152,0.2,388471,1.50 142,1,2024-09-07 09:46:40:586,1001521,1001521,0,0,470159862155,4900629170,995207,5811,503,382,392102,0 142,2,2024-09-07 09:46:41:325,718343,718311,32,0,29861728,0,6028 142,3,2024-09-07 09:46:41:762,1,570,11,0,484,6000,570,0 143,0,2024-09-07 09:46:41:392,143262,0.4,143443,0.6,287657,0.4,382215,1.75 143,1,2024-09-07 09:46:40:557,1004261,1004261,0,0,470893096257,4886325317,997885,5811,565,367,391722,0 143,2,2024-09-07 09:46:40:770,725284,725284,0,0,30003185,0,3123 143,3,2024-09-07 09:46:41:143,1,570,1,0,462,7103,570,0 144,0,2024-09-07 09:46:41:629,134114,0.6,138022,0.8,280818,0.6,367971,2.00 144,1,2024-09-07 09:46:40:568,998591,998591,0,0,468938711722,4921373242,988378,8226,1987,381,391733,0 144,2,2024-09-07 09:46:41:755,723930,723930,0,0,29416874,0,4443 144,3,2024-09-07 09:46:41:742,1,570,14,0,249,5571,570,0 145,0,2024-09-07 09:46:41:393,139362,0.5,139415,0.7,295946,0.5,381003,2.25 145,1,2024-09-07 09:46:40:552,998000,998000,0,0,468208058422,4930210059,984087,11242,2671,382,391759,0 145,2,2024-09-07 09:46:41:447,716960,716878,82,0,34760935,0,7814 145,3,2024-09-07 09:46:40:896,1,570,1,0,622,7793,570,0 146,0,2024-09-07 09:46:41:642,143955,0.6,143524,0.7,288147,0.5,383197,2.00 146,1,2024-09-07 09:46:41:589,999011,999011,0,0,468557601786,4931278189,982097,12448,4466,367,391770,0 146,2,2024-09-07 09:46:41:704,717913,717907,6,0,32618590,0,5151 146,3,2024-09-07 09:46:41:277,1,570,0,0,1520,9458,570,0 147,0,2024-09-07 09:46:41:724,144850,0.6,144563,0.7,288800,0.6,385532,2.25 147,1,2024-09-07 09:46:41:377,1003026,1003026,0,0,470933877097,4894541283,994927,7153,946,367,391791,0 147,2,2024-09-07 09:46:41:016,721651,721651,0,0,29188385,0,2968 147,3,2024-09-07 09:46:40:918,1,570,1,0,1626,9017,570,0 0,0,2024-09-07 09:46:51:719,139951,0.6,139931,0.7,296900,0.6,383522,2.00 0,1,2024-09-07 09:46:50:817,1001144,1001144,0,0,470250237658,4924263427,992897,7320,927,369,391896,0 0,2,2024-09-07 09:46:51:067,721203,721203,0,0,29397886,0,4480 0,3,2024-09-07 09:46:50:976,1,571,15,0,431,8207,571,0 1,0,2024-09-07 09:46:51:760,145354,0.9,144329,0.9,290398,1.1,387486,2.00 1,1,2024-09-07 09:46:50:615,1000071,1000071,0,0,469902264306,4924186507,990696,7886,1489,370,391859,0 1,2,2024-09-07 09:46:50:647,721284,721284,0,0,28953058,0,3380 1,3,2024-09-07 09:46:51:301,1,571,104,0,269,7040,571,0 2,0,2024-09-07 09:46:51:572,141444,0.6,141251,0.7,282462,0.6,376435,2.00 2,1,2024-09-07 09:46:50:859,1003737,1003737,0,0,472440181478,4913021813,997664,5124,949,379,391745,0 2,2,2024-09-07 09:46:51:267,724639,724639,0,0,28124144,0,3594 2,3,2024-09-07 09:46:50:701,1,571,8,0,357,5124,571,0 3,0,2024-09-07 09:46:51:745,140562,0.4,140364,0.6,280178,0.3,374109,2.00 3,1,2024-09-07 09:46:51:620,1002349,1002349,0,0,470373381475,4894384120,994777,6869,703,379,391716,0 3,2,2024-09-07 09:46:51:142,724577,724554,23,0,29065876,0,5851 3,3,2024-09-07 09:46:51:752,1,571,86,0,275,4033,571,0 4,0,2024-09-07 09:46:51:769,140067,0.4,143970,0.5,293529,0.3,383901,1.75 4,1,2024-09-07 09:46:50:656,998962,998962,0,0,468206168969,4958671645,981589,13665,3708,370,391992,0 4,2,2024-09-07 09:46:51:018,715940,715940,0,0,34909702,0,4534 4,3,2024-09-07 09:46:51:030,1,571,6,0,448,7071,571,0 5,0,2024-09-07 09:46:51:424,145696,0.4,145753,0.5,291682,0.3,387721,1.75 5,1,2024-09-07 09:46:50:756,999733,999733,0,0,469954468989,4949447875,985396,11524,2813,367,392005,0 5,2,2024-09-07 09:46:51:839,717783,717783,0,0,33971081,0,3582 5,3,2024-09-07 09:46:51:742,1,571,30,0,457,7647,571,0 6,0,2024-09-07 09:46:50:916,143827,0.5,143309,0.7,286952,0.4,382463,2.00 6,1,2024-09-07 09:46:50:754,1001743,1001743,0,0,470199508724,4912533154,991186,9035,1522,379,391702,0 6,2,2024-09-07 09:46:51:116,726023,726005,18,0,33425472,0,5535 6,3,2024-09-07 09:46:51:279,1,571,1,0,710,7022,571,0 7,0,2024-09-07 09:46:51:546,137944,0.5,138820,0.7,276174,0.5,368234,2.00 7,1,2024-09-07 09:46:50:859,1000252,1000252,0,0,469702095777,4936128968,985608,12230,2414,382,391747,0 7,2,2024-09-07 09:46:50:771,723394,723394,0,0,32148129,0,4791 7,3,2024-09-07 09:46:50:858,1,571,2,0,552,6464,571,0 8,0,2024-09-07 09:46:51:332,144948,0.4,144690,0.5,289658,0.3,386630,1.75 8,1,2024-09-07 09:46:51:050,999107,999107,0,0,469584885929,4939589047,983063,12504,3540,366,392853,0 8,2,2024-09-07 09:46:50:803,713862,713860,2,0,37333374,0,5112 8,3,2024-09-07 09:46:50:607,1,571,14,0,772,9126,571,0 9,0,2024-09-07 09:46:51:137,145208,0.4,141332,0.5,295831,0.3,388011,1.75 9,1,2024-09-07 09:46:50:604,999123,999123,0,0,469046178062,4942683780,983922,12213,2988,369,392001,0 9,2,2024-09-07 09:46:51:083,719465,719464,1,0,34138123,0,5281 9,3,2024-09-07 09:46:51:752,1,571,23,0,1273,9787,571,0 10,0,2024-09-07 09:46:51:600,144137,0.4,143663,0.5,288239,0.3,383848,1.75 10,1,2024-09-07 09:46:50:604,1001183,1001183,0,0,469931784246,4925202960,987396,11354,2433,381,391869,0 10,2,2024-09-07 09:46:50:765,724983,724983,0,0,37324985,0,4264 10,3,2024-09-07 09:46:50:871,1,571,8,0,669,6641,571,0 11,0,2024-09-07 09:46:51:009,138546,0.4,134376,0.6,281061,0.4,370257,1.75 11,1,2024-09-07 09:46:50:641,1002259,1002259,0,0,470053851311,4928151341,987943,10554,3762,383,391766,0 11,2,2024-09-07 09:46:51:143,723033,723033,0,0,33386751,0,4130 11,3,2024-09-07 09:46:51:297,1,571,1,0,843,7440,571,0 12,0,2024-09-07 09:46:50:969,144854,0.4,144953,0.5,289474,0.3,385205,1.75 12,1,2024-09-07 09:46:50:933,1002091,1002091,0,0,469752224263,4901717716,993807,7283,1001,370,391960,0 12,2,2024-09-07 09:46:51:541,719236,719236,0,0,32441533,0,4390 12,3,2024-09-07 09:46:51:059,1,571,5,0,386,7325,571,0 13,0,2024-09-07 09:46:51:329,145074,0.4,145034,0.5,289315,0.4,385453,1.75 13,1,2024-09-07 09:46:51:528,999545,999545,0,0,469054416178,4930796244,989237,8001,2307,382,391768,0 13,2,2024-09-07 09:46:50:600,721690,721690,0,0,28927111,0,3287 13,3,2024-09-07 09:46:51:763,1,571,1,0,522,7712,571,0 14,0,2024-09-07 09:46:50:561,145475,0.4,146518,0.6,290713,0.4,387017,1.75 14,1,2024-09-07 09:46:51:560,1006625,1006625,0,0,472604133884,4890679639,998776,6877,972,364,391673,0 14,2,2024-09-07 09:46:50:765,723275,723245,30,0,30539007,0,6104 14,3,2024-09-07 09:46:51:133,1,571,8,0,1168,6405,571,0 15,0,2024-09-07 09:46:51:562,139580,0.4,138885,0.6,278760,0.4,371312,2.00 15,1,2024-09-07 09:46:51:609,1003507,1003507,0,0,470980771033,4907288650,994474,7120,1913,381,391619,0 15,2,2024-09-07 09:46:50:997,726118,726118,0,0,26560827,0,3622 15,3,2024-09-07 09:46:51:410,1,571,3,0,1126,7595,571,0 16,0,2024-09-07 09:46:50:949,141531,0.6,142171,0.7,283773,0.6,378420,2.00 16,1,2024-09-07 09:46:50:641,1003476,1003476,0,0,470519922577,4920663957,994240,7796,1440,370,392194,0 16,2,2024-09-07 09:46:51:434,722301,722301,0,0,30345365,0,4719 16,3,2024-09-07 09:46:51:148,1,571,233,0,358,7349,571,0 17,0,2024-09-07 09:46:51:775,148619,0.6,144918,0.8,283915,0.6,385537,2.00 17,1,2024-09-07 09:46:50:605,1001529,1001529,0,0,469829205143,4930823319,991367,8396,1766,368,392075,0 17,2,2024-09-07 09:46:51:674,721874,721873,1,0,31214681,0,5050 17,3,2024-09-07 09:46:50:616,1,571,1,0,518,8640,571,0 18,0,2024-09-07 09:46:50:943,144086,0.7,145013,0.8,288844,0.7,385526,2.25 18,1,2024-09-07 09:46:51:638,1005577,1005577,0,0,471249997938,4889519292,998539,5781,1257,367,391725,0 18,2,2024-09-07 09:46:51:755,723135,723135,0,0,27808092,0,3541 18,3,2024-09-07 09:46:50:898,1,571,12,0,1059,5373,571,0 19,0,2024-09-07 09:46:51:547,142396,0.6,142597,0.8,284120,0.6,377890,2.00 19,1,2024-09-07 09:46:50:570,1005285,1005285,0,0,472674859319,4899264201,997963,6233,1089,367,391777,0 19,2,2024-09-07 09:46:51:758,728276,728276,0,0,26393577,0,3988 19,3,2024-09-07 09:46:51:133,1,571,1,0,524,4390,571,0 20,0,2024-09-07 09:46:51:429,139287,0.6,139288,0.7,278340,0.5,371418,2.00 20,1,2024-09-07 09:46:51:056,1001079,1001079,0,0,471197609885,4938116311,990633,8941,1505,369,391922,0 20,2,2024-09-07 09:46:50:943,723306,723306,0,0,32895441,0,4321 20,3,2024-09-07 09:46:50:591,1,571,75,0,468,9349,571,0 21,0,2024-09-07 09:46:51:159,144869,0.5,144946,0.6,289871,0.4,384924,2.00 21,1,2024-09-07 09:46:51:536,998871,998871,0,0,468781171362,4949343587,982970,12486,3415,368,392016,0 21,2,2024-09-07 09:46:51:073,715634,715614,20,0,36791111,0,5617 21,3,2024-09-07 09:46:51:408,1,571,99,0,713,8071,571,0 22,0,2024-09-07 09:46:51:717,145617,0.5,146208,0.7,291570,0.4,387243,2.00 22,1,2024-09-07 09:46:51:024,1000480,1000480,0,0,470107310129,4950386396,983178,13811,3491,382,391822,0 22,2,2024-09-07 09:46:50:777,718907,718881,26,0,31038959,0,6328 22,3,2024-09-07 09:46:51:072,1,571,10,0,228,4845,571,0 23,0,2024-09-07 09:46:51:367,143276,0.5,142970,0.7,285721,0.5,381160,2.25 23,1,2024-09-07 09:46:51:002,1001939,1001939,0,0,471360059488,4947906032,984670,12383,4886,365,391690,0 23,2,2024-09-07 09:46:51:092,726785,726785,0,0,30148789,0,3773 23,3,2024-09-07 09:46:51:754,1,571,3,0,855,8695,571,0 24,0,2024-09-07 09:46:50:946,139617,0.4,138839,0.6,279407,0.4,371217,1.75 24,1,2024-09-07 09:46:50:649,1000837,1000837,0,0,468739320117,4906453156,991449,7705,1683,367,392269,0 24,2,2024-09-07 09:46:51:079,723737,723737,0,0,36151361,0,4438 24,3,2024-09-07 09:46:51:692,1,571,84,0,468,7269,571,0 25,0,2024-09-07 09:46:51:383,148291,0.4,144348,0.6,283397,0.4,385709,1.75 25,1,2024-09-07 09:46:50:606,999394,999394,0,0,469457205428,4957499089,982214,13607,3573,369,391928,0 25,2,2024-09-07 09:46:51:605,717126,717126,0,0,36483664,0,3978 25,3,2024-09-07 09:46:50:999,1,571,14,0,532,6358,571,0 26,0,2024-09-07 09:46:51:730,143703,0.4,140597,0.6,295159,0.4,384530,2.00 26,1,2024-09-07 09:46:51:542,1003330,1003330,0,0,469536434322,4914720346,989912,10958,2460,380,391748,0 26,2,2024-09-07 09:46:50:860,719625,719625,0,0,38866855,0,4689 26,3,2024-09-07 09:46:51:716,1,571,9,0,796,6583,571,0 27,0,2024-09-07 09:46:51:724,144874,0.4,145578,0.6,289358,0.4,385875,2.25 27,1,2024-09-07 09:46:51:676,1003272,1003272,0,0,472247266515,4919601851,993855,8122,1295,381,391626,0 27,2,2024-09-07 09:46:50:867,720451,720386,65,0,34245279,0,5699 27,3,2024-09-07 09:46:51:015,1,571,2,0,564,5094,571,0 28,0,2024-09-07 09:46:51:397,139452,0.4,139317,0.6,279016,0.3,371835,1.75 28,1,2024-09-07 09:46:50:803,1004264,1004264,0,0,471225505262,4911654582,995890,6638,1736,382,391698,0 28,2,2024-09-07 09:46:51:771,724395,724395,0,0,29795920,0,2915 28,3,2024-09-07 09:46:51:776,1,571,2,0,502,5541,571,0 29,0,2024-09-07 09:46:51:356,147230,0.4,143273,0.6,281338,0.3,383706,1.75 29,1,2024-09-07 09:46:51:561,1007245,1007245,0,0,472546822341,4887193242,1001159,5312,774,367,391809,0 29,2,2024-09-07 09:46:50:861,721748,721748,0,0,28696456,0,4986 29,3,2024-09-07 09:46:50:975,1,571,4,0,459,6437,571,0 30,0,2024-09-07 09:46:51:457,143130,0.5,139411,0.7,292081,0.4,381286,2.00 30,1,2024-09-07 09:46:50:639,1006057,1006057,0,0,473051772317,4908116653,998824,6326,907,380,391672,0 30,2,2024-09-07 09:46:51:280,720721,720721,0,0,27474281,0,4192 30,3,2024-09-07 09:46:50:615,1,571,8,0,519,5421,571,0 31,0,2024-09-07 09:46:51:782,145149,0.4,145857,0.6,290780,0.4,388168,2.00 31,1,2024-09-07 09:46:50:639,1009210,1009210,0,0,474165587223,4868249271,1003327,4854,1029,356,391712,0 31,2,2024-09-07 09:46:51:280,720735,720735,0,0,30907469,0,4470 31,3,2024-09-07 09:46:51:706,1,571,40,0,239,4890,571,0 32,0,2024-09-07 09:46:51:415,141900,0.3,142751,0.5,284045,0.3,377872,1.75 32,1,2024-09-07 09:46:50:821,1005487,1005487,0,0,471155002098,4897692082,999383,5390,714,381,391646,0 32,2,2024-09-07 09:46:50:934,726437,726437,0,0,27433095,0,3922 32,3,2024-09-07 09:46:51:015,1,571,1,0,304,4489,571,0 33,0,2024-09-07 09:46:51:492,141050,0.3,140626,0.4,281645,0.2,375077,1.50 33,1,2024-09-07 09:46:50:616,1005296,1005296,0,0,473144546311,4903090352,996938,7088,1270,368,391730,0 33,2,2024-09-07 09:46:50:766,724703,724668,35,0,30406829,0,7012 33,3,2024-09-07 09:46:50:898,1,571,8,0,329,5040,571,0 34,0,2024-09-07 09:46:50:929,144548,0.3,148478,0.5,283935,0.2,383091,1.75 34,1,2024-09-07 09:46:51:045,1007880,1007880,0,0,473110094599,4868164804,1004938,2833,109,367,391637,0 34,2,2024-09-07 09:46:50:782,720342,720342,0,0,27963743,0,4562 34,3,2024-09-07 09:46:51:688,1,571,12,0,541,5318,571,0 35,0,2024-09-07 09:46:50:858,144828,0.3,145624,0.5,292248,0.2,388287,1.75 35,1,2024-09-07 09:46:51:068,1003846,1003846,0,0,471863232820,4899657476,995776,6547,1523,382,391769,0 35,2,2024-09-07 09:46:51:583,720432,720432,0,0,31266907,0,4055 35,3,2024-09-07 09:46:50:919,1,571,8,0,466,5514,571,0 36,0,2024-09-07 09:46:51:523,144080,0.5,143933,0.7,287520,0.5,383119,2.00 36,1,2024-09-07 09:46:50:604,1002696,1002696,0,0,470378452039,4914437536,989552,10912,2232,366,391759,0 36,2,2024-09-07 09:46:51:753,726243,726243,0,0,33010270,0,3875 36,3,2024-09-07 09:46:50:863,1,571,85,0,556,8087,571,0 37,0,2024-09-07 09:46:51:375,137987,0.6,137941,0.7,276342,0.5,368619,2.25 37,1,2024-09-07 09:46:50:583,1002184,1002177,0,7,470373705640,4913623296,989925,9354,2898,365,391770,0 37,2,2024-09-07 09:46:51:141,721587,721572,15,0,33204770,0,5815 37,3,2024-09-07 09:46:51:770,1,571,0,0,888,7674,571,0 38,0,2024-09-07 09:46:51:465,143859,0.4,139605,0.6,291705,0.4,382596,2.00 38,1,2024-09-07 09:46:51:605,1002539,1002539,0,0,470745167638,4927602197,987404,12137,2998,368,391821,0 38,2,2024-09-07 09:46:50:765,718188,718141,47,0,32846393,0,6710 38,3,2024-09-07 09:46:50:998,1,571,8,0,689,7143,571,0 39,0,2024-09-07 09:46:51:764,148516,0.5,145193,0.7,283129,0.5,386867,2.00 39,1,2024-09-07 09:46:50:754,1001913,1001913,0,0,470773928966,4937616987,985112,13103,3698,365,391865,0 39,2,2024-09-07 09:46:51:417,720568,720568,0,0,30661513,0,3391 39,3,2024-09-07 09:46:50:728,1,571,3,0,525,6582,571,0 40,0,2024-09-07 09:46:51:491,142885,0.9,143513,1.0,286305,1.0,381979,2.75 40,1,2024-09-07 09:46:50:596,1003115,1003115,0,0,470043731597,4923738892,988627,11706,2782,368,391668,0 40,2,2024-09-07 09:46:51:302,722972,722971,1,0,36347584,0,5137 40,3,2024-09-07 09:46:51:142,1,571,4,0,1028,8289,571,0 41,0,2024-09-07 09:46:51:025,137949,1.2,141087,1.1,268993,1.6,365833,3.00 41,1,2024-09-07 09:46:50:775,1002101,1002101,0,0,469919372592,4916217083,989351,10683,2067,369,391878,0 41,2,2024-09-07 09:46:50:765,720799,720798,1,0,35203756,0,5408 41,3,2024-09-07 09:46:51:676,1,571,1,0,366,6061,571,0 42,0,2024-09-07 09:46:51:474,143329,0.9,143005,1.0,286092,1.0,380186,2.50 42,1,2024-09-07 09:46:51:439,999585,999585,0,0,469090791048,4930184035,983886,12771,2928,380,391675,0 42,2,2024-09-07 09:46:51:133,718689,718689,0,0,34920569,0,3975 42,3,2024-09-07 09:46:51:009,1,571,8,0,892,5471,571,0 43,0,2024-09-07 09:46:50:920,142682,0.7,138910,0.9,291053,0.8,380468,2.25 43,1,2024-09-07 09:46:50:582,1002825,1002825,0,0,471275493402,4923785609,989055,11370,2400,366,391696,0 43,2,2024-09-07 09:46:51:738,720415,720415,0,0,33590269,0,4723 43,3,2024-09-07 09:46:51:750,1,571,4,0,571,8421,571,0 44,0,2024-09-07 09:46:50:864,145735,0.4,145627,0.6,291717,0.4,387517,1.75 44,1,2024-09-07 09:46:50:619,1005295,1005295,0,0,471393780023,4874346436,996170,7186,1939,356,391809,0 44,2,2024-09-07 09:46:51:274,720616,720616,0,0,27460149,0,4344 44,3,2024-09-07 09:46:51:095,1,571,6,0,1097,7226,571,0 45,0,2024-09-07 09:46:51:790,138129,0.5,134865,0.7,283112,0.4,371405,2.00 45,1,2024-09-07 09:46:51:010,1004076,1004076,0,0,472026814353,4905582835,996007,7166,903,382,391917,0 45,2,2024-09-07 09:46:51:267,725082,725082,0,0,27961056,0,3596 45,3,2024-09-07 09:46:50:943,1,571,1,0,531,5650,571,0 46,0,2024-09-07 09:46:50:965,141021,0.5,140678,0.7,282239,0.5,375407,2.00 46,1,2024-09-07 09:46:50:584,1006200,1006200,0,0,473193861706,4890873075,999115,6221,864,366,391709,0 46,2,2024-09-07 09:46:50:593,723792,723792,0,0,28687905,0,4443 46,3,2024-09-07 09:46:51:132,1,571,8,0,908,6999,571,0 47,0,2024-09-07 09:46:51:107,144468,0.4,144396,0.6,289840,0.4,383984,2.00 47,1,2024-09-07 09:46:50:583,1007427,1007427,0,0,472749654038,4884248656,1001663,4911,853,365,391641,0 47,2,2024-09-07 09:46:50:909,722893,722893,0,0,27609472,0,4477 47,3,2024-09-07 09:46:51:115,1,571,152,0,600,6519,571,0 48,0,2024-09-07 09:46:51:492,145811,0.3,146010,0.4,291299,0.2,387333,1.50 48,1,2024-09-07 09:46:51:022,1004867,1004867,0,0,471800371534,4901489696,998796,5493,578,383,391710,0 48,2,2024-09-07 09:46:50:703,721497,721497,0,0,26150421,0,3524 48,3,2024-09-07 09:46:50:763,1,571,6,0,339,4717,571,0 49,0,2024-09-07 09:46:51:730,146740,0.3,143881,0.5,279996,0.3,382044,1.75 49,1,2024-09-07 09:46:51:021,1003649,1003649,0,0,471291631479,4904352679,996510,5445,1694,382,391809,0 49,2,2024-09-07 09:46:51:799,726659,726659,0,0,28597800,0,4426 49,3,2024-09-07 09:46:51:425,1,571,2,0,992,6968,571,0 50,0,2024-09-07 09:46:51:508,140058,0.3,138296,0.5,278684,0.2,371484,1.75 50,1,2024-09-07 09:46:51:059,1006905,1006905,0,0,473186496980,4892465684,1000505,5731,669,368,391691,0 50,2,2024-09-07 09:46:51:073,723766,723766,0,0,26235423,0,4490 50,3,2024-09-07 09:46:51:291,1,571,8,0,617,5924,571,0 51,0,2024-09-07 09:46:51:683,148707,0.3,145649,0.5,283532,0.2,386442,1.75 51,1,2024-09-07 09:46:51:684,1007831,1007831,0,0,473238803747,4881592732,1002215,4536,1080,365,391706,0 51,2,2024-09-07 09:46:51:319,719150,719150,0,0,25800874,0,3337 51,3,2024-09-07 09:46:51:032,1,571,1,0,678,4052,571,0 52,0,2024-09-07 09:46:51:413,146232,0.5,145845,0.7,291948,0.4,388132,2.00 52,1,2024-09-07 09:46:50:592,1002443,1002443,0,0,470410792500,4925598942,987909,12319,2215,368,391805,0 52,2,2024-09-07 09:46:51:755,716839,716801,38,0,34661594,0,6742 52,3,2024-09-07 09:46:50:679,1,571,2,0,1782,7016,571,0 53,0,2024-09-07 09:46:51:788,142918,0.7,138669,0.8,290066,0.7,380342,2.50 53,1,2024-09-07 09:46:50:779,1001229,1001229,0,0,470514658583,4940014367,983680,13313,4236,367,391968,0 53,2,2024-09-07 09:46:51:297,725419,725418,1,0,31852394,0,5455 53,3,2024-09-07 09:46:50:713,1,571,21,0,308,5493,571,0 54,0,2024-09-07 09:46:51:626,136945,0.6,137335,0.8,273355,0.5,365098,2.25 54,1,2024-09-07 09:46:50:582,1003422,1003422,0,0,471230505811,4902566728,992566,9189,1667,366,391810,0 54,2,2024-09-07 09:46:50:874,724412,724380,32,0,35219599,0,6397 54,3,2024-09-07 09:46:50:771,1,571,9,0,676,7641,571,0 55,0,2024-09-07 09:46:51:763,139267,0.6,143698,0.7,291221,0.5,379790,2.50 55,1,2024-09-07 09:46:50:771,1004132,1004132,0,0,470583118684,4897319938,993398,9346,1388,365,391731,0 55,2,2024-09-07 09:46:50:734,717461,717405,56,0,32595405,0,7239 55,3,2024-09-07 09:46:50:674,1,571,1,0,304,5291,571,0 56,0,2024-09-07 09:46:51:559,147199,1.1,138907,1.1,285987,1.5,382642,2.75 56,1,2024-09-07 09:46:50:632,998139,998139,0,0,468983450074,4958522699,981430,13239,3470,381,391867,0 56,2,2024-09-07 09:46:51:302,719636,719514,122,0,33819107,0,7432 56,3,2024-09-07 09:46:51:060,1,571,12,0,705,6689,571,0 57,0,2024-09-07 09:46:50:940,143031,1.5,143035,1.2,286213,2.0,382089,3.25 57,1,2024-09-07 09:46:50:996,1000347,1000347,0,0,469698760762,4930715319,986851,11157,2339,366,392032,0 57,2,2024-09-07 09:46:51:326,723311,723311,0,0,35414182,0,4317 57,3,2024-09-07 09:46:51:737,1,571,1,0,455,6533,571,0 58,0,2024-09-07 09:46:50:570,137297,0.9,133239,1.0,278724,1.1,364290,2.50 58,1,2024-09-07 09:46:50:604,1002243,1002240,0,3,471340332938,4939698640,987205,11343,3692,367,391675,3 58,2,2024-09-07 09:46:51:072,724126,724126,0,0,33628361,0,3483 58,3,2024-09-07 09:46:51:074,1,571,21,0,1043,6492,571,0 59,0,2024-09-07 09:46:51:739,142405,0.8,142030,0.9,283812,0.8,376166,2.75 59,1,2024-09-07 09:46:50:806,1000840,1000840,0,0,469767445548,4935026705,984607,12902,3331,369,391653,0 59,2,2024-09-07 09:46:50:603,721346,721346,0,0,31912717,0,3727 59,3,2024-09-07 09:46:51:737,1,571,3,0,1015,6933,571,0 60,0,2024-09-07 09:46:51:712,143872,0.5,144186,0.7,288130,0.5,383580,1.75 60,1,2024-09-07 09:46:50:776,1005798,1005798,0,0,471636792512,4899812233,998557,6245,996,370,392031,0 60,2,2024-09-07 09:46:51:145,720521,720521,0,0,29967981,0,3811 60,3,2024-09-07 09:46:51:257,1,571,1,0,409,6590,571,0 61,0,2024-09-07 09:46:51:506,145343,0.6,145910,0.8,290492,0.6,387511,2.00 61,1,2024-09-07 09:46:50:770,1002087,1002087,0,0,470463099592,4926523973,991307,9119,1661,382,392127,0 61,2,2024-09-07 09:46:51:120,721710,721643,67,0,30905064,0,6411 61,3,2024-09-07 09:46:51:690,1,571,4,0,607,7743,571,0 62,0,2024-09-07 09:46:51:706,142159,0.6,145863,0.7,278371,0.6,377721,2.00 62,1,2024-09-07 09:46:51:116,1008375,1008369,0,6,473895222981,4885125560,1003703,4382,284,365,391975,6 62,2,2024-09-07 09:46:51:644,722880,722879,1,0,31121637,0,5555 62,3,2024-09-07 09:46:51:143,1,571,13,0,482,4592,571,0 63,0,2024-09-07 09:46:51:451,141139,0.4,140872,0.6,282360,0.3,375274,1.75 63,1,2024-09-07 09:46:50:807,1004921,1004915,0,6,471813051772,4899626389,998275,5785,855,381,391800,6 63,2,2024-09-07 09:46:50:770,724174,724174,0,0,29338348,0,4369 63,3,2024-09-07 09:46:51:731,1,571,1,0,667,6106,571,0 64,0,2024-09-07 09:46:51:540,143565,0.5,143631,0.7,286487,0.4,381493,2.00 64,1,2024-09-07 09:46:50:774,1003681,1003681,0,0,471857337177,4916393272,994364,7341,1976,370,391794,0 64,2,2024-09-07 09:46:51:141,722933,722914,19,0,28211695,0,6121 64,3,2024-09-07 09:46:51:140,1,571,2,0,651,6184,571,0 65,0,2024-09-07 09:46:51:713,144607,0.6,144786,0.7,289411,0.6,385761,2.00 65,1,2024-09-07 09:46:50:865,1002137,1002137,0,0,470324108570,4916275381,994882,6398,857,381,391901,0 65,2,2024-09-07 09:46:51:706,719477,719477,0,0,33584971,0,3367 65,3,2024-09-07 09:46:51:685,1,571,7,0,782,6497,571,0 66,0,2024-09-07 09:46:51:762,143138,0.5,142650,0.8,285500,0.5,380437,2.25 66,1,2024-09-07 09:46:51:293,1004540,1004540,0,0,471333165371,4905440398,998064,5754,722,380,391743,0 66,2,2024-09-07 09:46:51:140,728407,728404,3,0,30486004,0,5455 66,3,2024-09-07 09:46:51:079,1,571,1,0,291,4899,571,0 67,0,2024-09-07 09:46:51:415,138472,0.5,138170,0.7,277224,0.5,369010,2.00 67,1,2024-09-07 09:46:50:771,1003831,1003830,0,1,471595129692,4910430301,996745,6142,943,380,391787,1 67,2,2024-09-07 09:46:50:604,726460,726445,15,0,30285953,0,6205 67,3,2024-09-07 09:46:51:751,1,571,1,0,595,6051,571,0 68,0,2024-09-07 09:46:50:593,144719,0.6,144556,0.7,287720,0.5,385593,2.00 68,1,2024-09-07 09:46:50:588,1000201,1000201,0,0,469684060198,4939641787,986912,9830,3459,381,391953,0 68,2,2024-09-07 09:46:51:046,714821,714721,100,0,37774743,0,8578 68,3,2024-09-07 09:46:50:739,1,571,2,0,417,7578,571,0 69,0,2024-09-07 09:46:51:878,144558,0.7,145455,0.8,289936,0.7,385422,2.25 69,1,2024-09-07 09:46:51:074,998917,998917,0,0,469614860071,4950801838,985434,10688,2795,383,391994,0 69,2,2024-09-07 09:46:51:733,718126,718097,29,0,40069436,0,6912 69,3,2024-09-07 09:46:50:768,1,571,13,0,698,8385,571,0 70,0,2024-09-07 09:46:51:532,142576,0.8,142989,1.0,287661,0.7,380822,2.50 70,1,2024-09-07 09:46:50:811,1005343,1005343,0,0,472691282873,4905565235,997615,6964,764,366,391725,0 70,2,2024-09-07 09:46:51:325,724500,724500,0,0,33491956,0,4323 70,3,2024-09-07 09:46:50:753,1,571,8,0,854,6491,571,0 71,0,2024-09-07 09:46:51:373,137241,1.0,137048,1.0,275256,1.3,367320,2.75 71,1,2024-09-07 09:46:51:600,1003160,1003160,0,0,471372232815,4921140450,990697,11089,1374,368,391738,0 71,2,2024-09-07 09:46:51:067,723323,723323,0,0,33808615,0,4352 71,3,2024-09-07 09:46:51:770,1,571,2,0,644,6603,571,0 72,0,2024-09-07 09:46:51:028,148665,0.5,145348,0.7,283612,0.5,385869,2.00 72,1,2024-09-07 09:46:51:026,1001298,1001298,0,0,469553673841,4925601341,987017,11886,2395,369,391819,0 72,2,2024-09-07 09:46:51:757,718528,718528,0,0,35023469,0,3983 72,3,2024-09-07 09:46:51:754,1,571,2,0,564,8346,571,0 73,0,2024-09-07 09:46:51:120,140565,0.5,144059,0.6,294713,0.4,383172,2.00 73,1,2024-09-07 09:46:50:784,1003510,1003510,0,0,471231590213,4897222763,995969,6614,927,367,391858,0 73,2,2024-09-07 09:46:51:760,719997,719997,0,0,35598995,0,4728 73,3,2024-09-07 09:46:50:980,1,571,1,0,1091,8203,571,0 74,0,2024-09-07 09:46:51:334,146469,0.5,149978,0.7,286029,0.4,387723,2.00 74,1,2024-09-07 09:46:50:650,1002166,1002166,0,0,470873940038,4915000972,991110,9078,1978,381,391762,0 74,2,2024-09-07 09:46:51:003,721351,721351,0,0,31110662,0,4253 74,3,2024-09-07 09:46:51:442,1,571,10,0,522,6852,571,0 75,0,2024-09-07 09:46:51:769,140094,0.5,139068,0.8,279372,0.5,372892,2.25 75,1,2024-09-07 09:46:51:584,1003900,1003900,0,0,470661979004,4905193381,995712,7322,866,380,391739,0 75,2,2024-09-07 09:46:51:350,722930,722930,0,0,37960238,0,4766 75,3,2024-09-07 09:46:51:068,1,571,84,0,702,7030,571,0 76,0,2024-09-07 09:46:50:653,141127,0.6,140577,0.7,281287,0.5,376745,2.25 76,1,2024-09-07 09:46:50:807,1003008,1003008,0,0,470354994123,4905005290,996086,5952,970,382,391790,0 76,2,2024-09-07 09:46:51:061,725142,725139,3,0,31250118,0,5265 76,3,2024-09-07 09:46:51:142,1,571,1,0,227,5166,571,0 77,0,2024-09-07 09:46:51:700,143744,0.6,144312,0.7,288470,0.5,383268,2.00 77,1,2024-09-07 09:46:50:826,1002762,1002762,0,0,470915205838,4913556424,995034,6854,874,381,391869,0 77,2,2024-09-07 09:46:51:287,719381,719381,0,0,30199622,0,3890 77,3,2024-09-07 09:46:51:103,1,571,3,0,401,6380,571,0 78,0,2024-09-07 09:46:51:723,146006,0.4,145462,0.6,291532,0.4,385975,2.00 78,1,2024-09-07 09:46:50:630,1003301,1003301,0,0,470547794564,4907354549,991412,9222,2667,367,391670,0 78,2,2024-09-07 09:46:51:409,722193,722180,13,0,28451689,0,8313 78,3,2024-09-07 09:46:51:135,1,571,7,0,311,4893,571,0 79,0,2024-09-07 09:46:51:363,137946,0.4,141270,0.6,289539,0.3,376737,2.00 79,1,2024-09-07 09:46:50:606,1006503,1006503,0,0,472545954742,4893383782,998712,6432,1359,367,391682,0 79,2,2024-09-07 09:46:51:074,725941,725941,0,0,27693372,0,4195 79,3,2024-09-07 09:46:50:749,1,571,1,0,418,6854,571,0 80,0,2024-09-07 09:46:51:082,139199,0.5,142906,0.7,273438,0.5,370331,2.00 80,1,2024-09-07 09:46:51:623,1003474,1003474,0,0,471606907357,4910140824,997017,6022,435,368,392269,0 80,2,2024-09-07 09:46:51:105,726383,726383,0,0,28453065,0,4433 80,3,2024-09-07 09:46:50:610,1,571,4,0,681,7242,571,0 81,0,2024-09-07 09:46:51:546,144903,0.6,148060,0.7,282896,0.5,383779,2.00 81,1,2024-09-07 09:46:51:676,1001775,1001775,0,0,470107747114,4915148872,993646,7366,763,382,391885,0 81,2,2024-09-07 09:46:51:137,717373,717310,63,0,31257340,0,5932 81,3,2024-09-07 09:46:51:118,1,571,1,0,719,6904,571,0 82,0,2024-09-07 09:46:51:531,145530,0.5,145706,0.7,292017,0.4,387171,2.00 82,1,2024-09-07 09:46:50:603,1004856,1004852,0,4,471213525155,4899404525,999294,4735,823,381,391768,4 82,2,2024-09-07 09:46:51:697,722638,722638,0,0,26350486,0,4484 82,3,2024-09-07 09:46:51:752,1,571,6,0,363,5471,571,0 83,0,2024-09-07 09:46:51:528,143562,0.6,143618,0.7,286540,0.6,380534,2.25 83,1,2024-09-07 09:46:50:628,1002747,1002747,0,0,470162919088,4902721251,995390,6713,644,382,391709,0 83,2,2024-09-07 09:46:50:765,726191,726166,25,0,28590811,0,5612 83,3,2024-09-07 09:46:50:749,1,571,4,0,1260,7008,571,0 84,0,2024-09-07 09:46:51:773,137583,0.7,137798,0.9,275251,0.6,368079,2.25 84,1,2024-09-07 09:46:51:060,1001103,1001103,0,0,470317055665,4920377958,989203,10230,1670,367,391967,0 84,2,2024-09-07 09:46:50:584,723919,723889,30,0,37307319,0,5971 84,3,2024-09-07 09:46:51:145,1,571,29,0,908,7764,571,0 85,0,2024-09-07 09:46:51:013,138782,0.6,138811,0.8,294676,0.5,380954,2.25 85,1,2024-09-07 09:46:50:609,998510,998510,0,0,468792654454,4952840756,982628,13087,2795,381,392092,0 85,2,2024-09-07 09:46:50:868,717680,717680,0,0,34829495,0,4255 85,3,2024-09-07 09:46:50:685,1,571,1,0,789,6761,571,0 86,0,2024-09-07 09:46:50:922,144441,0.6,148437,0.8,283753,0.7,384583,2.25 86,1,2024-09-07 09:46:50:834,1001110,1001110,0,0,470729800429,4938152656,987696,10757,2657,366,392169,0 86,2,2024-09-07 09:46:50:862,719081,719080,1,0,37345013,0,5004 86,3,2024-09-07 09:46:50:592,1,571,9,0,308,8093,571,0 87,0,2024-09-07 09:46:51:325,145029,0.8,144520,0.8,288997,1.0,386172,2.25 87,1,2024-09-07 09:46:50:610,1000758,1000758,0,0,470779707064,4932229805,988066,10972,1720,366,392076,0 87,2,2024-09-07 09:46:51:067,721971,721965,6,0,33889787,0,6323 87,3,2024-09-07 09:46:51:800,1,571,2,0,473,8454,571,0 88,0,2024-09-07 09:46:51:502,139168,0.4,139530,0.6,278967,0.4,371763,1.75 88,1,2024-09-07 09:46:50:627,1000095,1000095,0,0,470223143424,4928634575,985740,11392,2963,365,392084,0 88,2,2024-09-07 09:46:50:714,723311,723311,0,0,36725651,0,4465 88,3,2024-09-07 09:46:51:274,1,571,2,0,1080,8958,571,0 89,0,2024-09-07 09:46:51:842,147315,0.4,142909,0.6,281940,0.4,383722,1.75 89,1,2024-09-07 09:46:50:567,999378,999378,0,0,470143534923,4945571711,986802,10643,1933,382,391866,0 89,2,2024-09-07 09:46:51:136,721092,721092,0,0,34115510,0,3173 89,3,2024-09-07 09:46:51:799,1,571,13,0,468,9809,571,0 90,0,2024-09-07 09:46:51:660,139706,0.5,143506,0.6,292708,0.4,381855,2.00 90,1,2024-09-07 09:46:50:612,1001484,1001484,0,0,470323863728,4926517395,991797,8797,890,380,391825,0 90,2,2024-09-07 09:46:51:410,717178,717178,0,0,36683471,0,3608 90,3,2024-09-07 09:46:50:950,1,571,6,0,322,6947,571,0 91,0,2024-09-07 09:46:50:927,145909,0.5,141562,0.6,296055,0.4,388169,1.75 91,1,2024-09-07 09:46:50:592,998837,998837,0,0,470300201281,4954016679,984235,11715,2887,381,392047,0 91,2,2024-09-07 09:46:51:331,721102,721102,0,0,32175064,0,4713 91,3,2024-09-07 09:46:50:606,1,571,2,0,216,5293,571,0 92,0,2024-09-07 09:46:51:491,143290,0.5,146516,0.6,279334,0.5,378081,1.75 92,1,2024-09-07 09:46:50:615,1002666,1002666,0,0,470535181666,4914616684,994841,6614,1211,381,392136,0 92,2,2024-09-07 09:46:51:351,725100,725100,0,0,29188597,0,3259 92,3,2024-09-07 09:46:51:009,1,571,1,0,167,4863,571,0 93,0,2024-09-07 09:46:51:023,141381,0.4,144970,0.6,276632,0.4,375312,1.75 93,1,2024-09-07 09:46:50:813,1002922,1002922,0,0,471151016354,4918665942,991604,9393,1925,366,391776,0 93,2,2024-09-07 09:46:50:928,723713,723713,0,0,34920322,0,4913 93,3,2024-09-07 09:46:51:421,1,571,6,0,294,5628,571,0 94,0,2024-09-07 09:46:51:623,143714,0.4,144782,0.5,289433,0.3,384269,1.75 94,1,2024-09-07 09:46:50:642,1003677,1003677,0,0,471053399868,4913417029,996944,6303,430,381,391850,0 94,2,2024-09-07 09:46:50:771,719277,719249,28,0,29459396,0,6179 94,3,2024-09-07 09:46:51:710,1,571,11,0,576,7049,571,0 95,0,2024-09-07 09:46:51:342,145653,0.3,145688,0.5,291479,0.3,388274,1.75 95,1,2024-09-07 09:46:50:852,1004832,1004832,0,0,471129976894,4897114691,996668,7462,702,365,391852,0 95,2,2024-09-07 09:46:51:016,719689,719689,0,0,29100140,0,3308 95,3,2024-09-07 09:46:51:709,1,571,9,0,718,8816,571,0 96,0,2024-09-07 09:46:51:051,143539,0.4,143892,0.5,287718,0.3,382608,1.75 96,1,2024-09-07 09:46:51:763,1002713,1002713,0,0,471347643728,4917849359,995373,6069,1271,384,391964,0 96,2,2024-09-07 09:46:51:298,726644,726644,0,0,30265967,0,4180 96,3,2024-09-07 09:46:51:140,1,571,26,0,411,6664,571,0 97,0,2024-09-07 09:46:51:410,138649,0.3,138552,0.5,277931,0.3,368951,1.75 97,1,2024-09-07 09:46:50:795,1004463,1004463,0,0,472324715109,4900184144,998072,5365,1026,367,392140,0 97,2,2024-09-07 09:46:50:627,725280,725280,0,0,30135580,0,4046 97,3,2024-09-07 09:46:50:590,1,571,4,0,242,6449,571,0 98,0,2024-09-07 09:46:51:753,144405,0.3,144441,0.4,289882,0.2,386009,1.50 98,1,2024-09-07 09:46:50:640,1003720,1003720,0,0,470818218155,4904689454,997484,5415,821,381,391997,0 98,2,2024-09-07 09:46:50:782,719304,719304,0,0,28756573,0,4336 98,3,2024-09-07 09:46:50:703,1,571,8,0,840,8730,571,0 99,0,2024-09-07 09:46:51:487,145511,0.3,146231,0.5,290760,0.3,388264,1.75 99,1,2024-09-07 09:46:51:738,1004613,1004613,0,0,471171020412,4903807691,998380,5303,930,380,392069,0 99,2,2024-09-07 09:46:51:418,720456,720456,0,0,35273096,0,4276 99,3,2024-09-07 09:46:50:581,1,571,0,0,1124,7231,571,0 100,0,2024-09-07 09:46:51:588,143784,0.8,144115,0.9,287874,0.9,384222,2.50 100,1,2024-09-07 09:46:50:550,998552,998552,0,0,468889666685,4960300096,982776,12486,3290,378,391989,0 100,2,2024-09-07 09:46:51:818,722312,722301,11,0,34518370,0,5417 100,3,2024-09-07 09:46:51:739,1,571,0,0,627,9878,571,0 101,0,2024-09-07 09:46:51:767,141561,1.1,138144,1.0,270232,1.0,369558,2.25 101,1,2024-09-07 09:46:50:574,1000064,1000064,0,0,469672868402,4937142883,986404,11156,2504,368,391847,0 101,2,2024-09-07 09:46:51:756,719614,719614,0,0,38932559,0,4871 101,3,2024-09-07 09:46:50:943,1,571,6,0,1250,8817,571,0 102,0,2024-09-07 09:46:50:956,140085,0.6,144312,0.7,292955,0.6,383466,2.00 102,1,2024-09-07 09:46:51:141,1000120,1000120,0,0,469451760437,4934223114,986294,11670,2156,369,391984,0 102,2,2024-09-07 09:46:51:738,720618,720564,54,0,33047000,0,6768 102,3,2024-09-07 09:46:51:620,1,571,12,0,466,6579,571,0 103,0,2024-09-07 09:46:51:655,148924,0.6,148915,0.7,280566,0.6,386320,2.00 103,1,2024-09-07 09:46:51:645,998606,998606,0,0,468991295602,4956052008,982219,12797,3590,381,392077,0 103,2,2024-09-07 09:46:50:605,718106,718106,0,0,35497007,0,3766 103,3,2024-09-07 09:46:50:765,1,571,9,0,916,7000,571,0 104,0,2024-09-07 09:46:51:061,144535,0.7,144682,0.9,288625,0.7,385933,2.25 104,1,2024-09-07 09:46:51:621,1001693,1001693,0,0,469695401028,4934601995,987283,11799,2611,365,392168,0 104,2,2024-09-07 09:46:51:675,719784,719784,0,0,34444945,0,3941 104,3,2024-09-07 09:46:51:425,1,571,4,0,1245,10319,571,0 105,0,2024-09-07 09:46:51:045,138339,0.9,134829,1.0,282464,1.0,370917,2.50 105,1,2024-09-07 09:46:50:595,1003195,1003195,0,0,470951315338,4932163678,990984,10402,1809,364,392009,0 105,2,2024-09-07 09:46:51:335,723000,723000,0,0,35417579,0,4360 105,3,2024-09-07 09:46:51:304,1,571,77,0,573,8852,571,0 106,0,2024-09-07 09:46:50:948,136896,0.8,140088,0.9,287271,0.8,375498,2.50 106,1,2024-09-07 09:46:51:767,1001430,1001430,0,0,470555532223,4937735227,987695,11999,1736,368,391914,0 106,2,2024-09-07 09:46:50:768,721867,721867,0,0,32693512,0,2920 106,3,2024-09-07 09:46:50:681,1,571,12,0,1224,8283,571,0 107,0,2024-09-07 09:46:51:102,143933,1.0,144043,0.9,288150,1.2,383956,2.25 107,1,2024-09-07 09:46:50:605,999045,999045,0,0,469208333314,4952762121,984053,13265,1727,381,392234,0 107,2,2024-09-07 09:46:51:301,716837,716836,1,0,35421420,0,5024 107,3,2024-09-07 09:46:51:760,1,571,33,0,733,8859,571,0 108,0,2024-09-07 09:46:51:834,145405,0.4,145921,0.6,290788,0.4,387499,1.75 108,1,2024-09-07 09:46:51:330,1002485,1002485,0,0,471786071174,4921853631,993984,7522,979,367,391894,0 108,2,2024-09-07 09:46:51:759,720282,720282,0,0,31318892,0,4246 108,3,2024-09-07 09:46:51:331,1,571,16,0,749,10690,571,0 109,0,2024-09-07 09:46:51:784,143543,0.4,142219,0.6,285616,0.3,381190,1.75 109,1,2024-09-07 09:46:50:613,999818,999818,0,0,470065180813,4930955543,990974,7622,1222,382,392132,0 109,2,2024-09-07 09:46:50:939,723634,723634,0,0,32272943,0,3617 109,3,2024-09-07 09:46:51:140,1,571,1,0,630,7152,571,0 110,0,2024-09-07 09:46:51:827,139324,0.4,135408,0.6,283595,0.3,372491,1.75 110,1,2024-09-07 09:46:51:645,1004513,1004513,0,0,471951166893,4901115695,996610,5979,1924,368,392045,0 110,2,2024-09-07 09:46:51:301,724546,724546,0,0,29906777,0,4067 110,3,2024-09-07 09:46:50:697,1,571,17,0,722,7872,571,0 111,0,2024-09-07 09:46:51:413,145682,0.4,144787,0.5,289713,0.3,386110,1.75 111,1,2024-09-07 09:46:51:000,1006008,1006008,0,0,473243051609,4906515197,1001122,4523,363,380,391690,0 111,2,2024-09-07 09:46:51:119,718947,718947,0,0,29976583,0,4823 111,3,2024-09-07 09:46:50:913,1,571,1,0,379,6414,571,0 112,0,2024-09-07 09:46:50:920,146537,0.3,145933,0.4,292586,0.2,388426,1.50 112,1,2024-09-07 09:46:50:825,1004165,1004165,0,0,471857026680,4902456955,996975,5965,1225,380,391624,0 112,2,2024-09-07 09:46:51:137,720366,720365,1,0,28690608,0,5036 112,3,2024-09-07 09:46:50:599,1,571,0,0,282,5363,571,0 113,0,2024-09-07 09:46:50:870,143758,0.3,143711,0.5,287703,0.2,382861,1.75 113,1,2024-09-07 09:46:51:692,1006586,1006586,0,0,473382888895,4885911318,1000510,5130,946,365,391664,0 113,2,2024-09-07 09:46:51:301,728646,728646,0,0,27134939,0,3813 113,3,2024-09-07 09:46:50:693,1,571,11,0,510,6249,571,0 114,0,2024-09-07 09:46:50:872,139079,0.3,140006,0.5,278445,0.2,372670,1.75 114,1,2024-09-07 09:46:50:721,1004559,1004559,0,0,471579320119,4898526912,996801,6013,1745,381,391565,0 114,2,2024-09-07 09:46:50:875,725882,725881,1,0,28705067,0,5069 114,3,2024-09-07 09:46:51:279,1,571,8,0,395,4537,571,0 115,0,2024-09-07 09:46:50:572,144811,0.3,145172,0.4,289189,0.2,385048,1.50 115,1,2024-09-07 09:46:50:571,1004741,1004741,0,0,471788914015,4902690647,996722,6509,1510,382,391757,0 115,2,2024-09-07 09:46:51:130,721277,721277,0,0,28246167,0,4382 115,3,2024-09-07 09:46:51:002,1,571,1,0,167,3318,571,0 116,0,2024-09-07 09:46:51:732,144171,0.7,143896,0.8,288410,0.6,385914,2.00 116,1,2024-09-07 09:46:50:810,1000013,1000013,0,0,469703884418,4953927436,987496,9428,3089,380,392089,0 116,2,2024-09-07 09:46:51:757,719833,719833,0,0,35669272,0,4475 116,3,2024-09-07 09:46:50:925,1,571,1,0,448,7488,571,0 117,0,2024-09-07 09:46:50:981,145165,0.7,144499,0.8,289481,0.8,386921,2.00 117,1,2024-09-07 09:46:51:606,1001258,1001258,0,0,469386514357,4916574549,989946,9793,1519,369,392033,0 117,2,2024-09-07 09:46:51:124,724740,724740,0,0,32295624,0,4303 117,3,2024-09-07 09:46:51:061,1,571,2,0,490,7545,571,0 118,0,2024-09-07 09:46:51:804,135011,0.6,138645,0.7,282808,0.5,369998,2.00 118,1,2024-09-07 09:46:50:639,999565,999565,0,0,469946066615,4944656328,983980,11966,3619,366,392054,0 118,2,2024-09-07 09:46:51:602,722842,722842,0,0,34310671,0,2842 118,3,2024-09-07 09:46:51:771,1,571,18,0,289,6639,571,0 119,0,2024-09-07 09:46:51:481,142865,0.6,143404,0.8,286305,0.6,381892,2.00 119,1,2024-09-07 09:46:50:591,1000787,1000787,0,0,470532670766,4936911811,986512,11775,2500,367,391857,0 119,2,2024-09-07 09:46:51:262,722352,722352,0,0,32271699,0,4309 119,3,2024-09-07 09:46:51:331,1,571,2,0,1358,10826,571,0 120,0,2024-09-07 09:46:51:652,143127,0.7,142928,0.8,287133,0.7,382752,2.25 120,1,2024-09-07 09:46:50:864,1002349,1002349,0,0,469618309498,4923027352,992097,9288,964,367,392144,0 120,2,2024-09-07 09:46:50:782,718681,718680,1,0,37126845,0,5281 120,3,2024-09-07 09:46:51:290,1,571,1,0,279,7144,571,0 121,0,2024-09-07 09:46:51:743,145602,1.1,145031,1.0,290509,1.5,387004,2.25 121,1,2024-09-07 09:46:51:665,1002218,1002218,0,0,470304902012,4918126535,992113,9252,853,366,391840,0 121,2,2024-09-07 09:46:51:154,720301,720301,0,0,34563506,0,4157 121,3,2024-09-07 09:46:50:739,1,571,7,0,387,7129,571,0 122,0,2024-09-07 09:46:51:775,141114,0.8,137340,0.9,287785,0.9,377900,2.00 122,1,2024-09-07 09:46:50:865,1000858,1000858,0,0,469960750871,4932363891,986963,11563,2332,366,392130,0 122,2,2024-09-07 09:46:51:324,725196,725121,75,0,38614041,0,5989 122,3,2024-09-07 09:46:50:615,1,571,8,0,512,9495,571,0 123,0,2024-09-07 09:46:50:948,140090,0.7,136256,0.8,285475,0.8,373965,2.25 123,1,2024-09-07 09:46:50:599,1001046,1001046,0,0,470122384191,4945829245,984805,13584,2657,369,392039,0 123,2,2024-09-07 09:46:51:018,721765,721764,1,0,33052592,0,5215 123,3,2024-09-07 09:46:51:132,1,571,3,0,478,6557,571,0 124,0,2024-09-07 09:46:50:954,148171,0.3,148076,0.5,279527,0.3,384605,1.75 124,1,2024-09-07 09:46:51:023,1004711,1004711,0,0,471690909636,4903945549,997221,6320,1170,365,392178,0 124,2,2024-09-07 09:46:51:010,720658,720605,53,0,29580487,0,6487 124,3,2024-09-07 09:46:50:764,1,571,2,0,490,5768,571,0 125,0,2024-09-07 09:46:51:462,145405,0.4,145422,0.5,291795,0.3,388386,1.75 125,1,2024-09-07 09:46:50:858,1001785,1001785,0,0,470511480023,4912016112,993977,6678,1130,382,391813,0 125,2,2024-09-07 09:46:51:118,722837,722837,0,0,29513979,0,4534 125,3,2024-09-07 09:46:51:140,1,571,3,0,709,6602,571,0 126,0,2024-09-07 09:46:51:415,143830,0.4,147921,0.6,282812,0.4,383293,1.75 126,1,2024-09-07 09:46:50:564,1005270,1005270,0,0,471774905856,4884894301,999941,4884,445,365,391987,0 126,2,2024-09-07 09:46:50:621,727140,727140,0,0,31128558,0,4539 126,3,2024-09-07 09:46:50:914,1,571,1,0,268,6299,571,0 127,0,2024-09-07 09:46:51:604,138896,0.3,139109,0.5,277737,0.3,369301,1.75 127,1,2024-09-07 09:46:50:583,1003464,1003464,0,0,470836613642,4900219245,993257,8585,1622,364,392187,0 127,2,2024-09-07 09:46:50:640,724149,724145,4,0,29254626,0,5305 127,3,2024-09-07 09:46:51:266,1,571,3,0,968,5623,571,0 128,0,2024-09-07 09:46:51:561,145216,0.3,145275,0.4,290232,0.2,386625,1.50 128,1,2024-09-07 09:46:51:612,1003669,1003669,0,0,470934461129,4899467434,995547,7170,952,367,392423,0 128,2,2024-09-07 09:46:51:384,720319,720319,0,0,27060331,0,3171 128,3,2024-09-07 09:46:50:769,1,571,6,0,1082,9095,571,0 129,0,2024-09-07 09:46:51:019,146557,0.3,145805,0.5,292281,0.3,388589,1.50 129,1,2024-09-07 09:46:50:570,999960,999960,0,0,469986467785,4927491354,989689,8251,2020,379,391962,0 129,2,2024-09-07 09:46:50:690,722104,722100,4,0,29550478,0,5335 129,3,2024-09-07 09:46:50:728,1,571,13,0,506,8076,571,0 130,0,2024-09-07 09:46:51:717,145122,0.4,144529,0.6,289721,0.4,385672,1.75 130,1,2024-09-07 09:46:50:606,1004585,1004585,0,0,471346641327,4897423617,999281,4911,393,381,391825,0 130,2,2024-09-07 09:46:51:129,725809,725809,0,0,29860607,0,4067 130,3,2024-09-07 09:46:51:293,1,571,14,0,960,7791,571,0 131,0,2024-09-07 09:46:51:956,138769,0.4,139214,0.5,279005,0.3,370461,1.75 131,1,2024-09-07 09:46:51:827,1003359,1003359,0,0,470704420458,4908198202,996334,5831,1194,381,391865,0 131,2,2024-09-07 09:46:50:581,724824,724824,0,0,27857292,0,3979 131,3,2024-09-07 09:46:51:694,1,571,1,0,392,7366,571,0 132,0,2024-09-07 09:46:51:426,144033,0.5,145110,0.6,288881,0.4,384592,2.00 132,1,2024-09-07 09:46:50:582,999669,999669,0,0,469337263995,4941681169,985242,11786,2641,381,392532,0 132,2,2024-09-07 09:46:50:718,720379,720362,17,0,35922384,0,6451 132,3,2024-09-07 09:46:51:689,1,571,76,0,804,9340,571,0 133,0,2024-09-07 09:46:51:548,140663,0.4,143834,0.6,294979,0.4,384016,2.00 133,1,2024-09-07 09:46:50:604,999393,999393,0,0,469380728231,4947127688,985765,11873,1755,383,391914,0 133,2,2024-09-07 09:46:51:088,721001,720951,50,0,36703446,0,6861 133,3,2024-09-07 09:46:51:301,1,571,5,0,528,6990,571,0 134,0,2024-09-07 09:46:50:939,145742,0.5,145794,0.7,291896,0.5,388059,2.00 134,1,2024-09-07 09:46:50:604,1001118,1001118,0,0,469839078072,4927775781,988355,10502,2261,366,391781,0 134,2,2024-09-07 09:46:51:758,721847,721823,24,0,34265672,0,6207 134,3,2024-09-07 09:46:50:758,1,571,10,0,739,7013,571,0 135,0,2024-09-07 09:46:51:141,135432,0.9,135471,0.9,287606,1.0,370449,2.25 135,1,2024-09-07 09:46:51:589,1000512,1000512,0,0,469940636542,4942823537,987746,11127,1639,380,391805,0 135,2,2024-09-07 09:46:50:687,724570,724570,0,0,34949652,0,4503 135,3,2024-09-07 09:46:51:002,1,571,1,0,900,5815,571,0 136,0,2024-09-07 09:46:51:625,142161,0.6,142620,0.7,283760,0.5,378875,2.00 136,1,2024-09-07 09:46:51:450,1001883,1001883,0,0,470672918041,4932922224,990690,9825,1368,381,392135,0 136,2,2024-09-07 09:46:51:136,724140,724125,15,0,34478338,0,6007 136,3,2024-09-07 09:46:51:108,1,571,1,0,637,6763,571,0 137,0,2024-09-07 09:46:50:918,148808,0.6,145091,0.7,284145,0.6,385716,2.00 137,1,2024-09-07 09:46:50:607,1000693,1000693,0,0,470646892162,4935220406,985324,12295,3074,366,391898,0 137,2,2024-09-07 09:46:51:710,717712,717712,0,0,35389263,0,3185 137,3,2024-09-07 09:46:50:790,1,571,2,0,484,7410,571,0 138,0,2024-09-07 09:46:51:750,144855,0.7,145059,0.9,290714,0.8,385902,2.00 138,1,2024-09-07 09:46:51:685,1001252,1001252,0,0,470738827900,4937184678,987338,11673,2241,368,391954,0 138,2,2024-09-07 09:46:50:590,719774,719774,0,0,32958571,0,4988 138,3,2024-09-07 09:46:50:625,1,571,8,0,1200,9108,571,0 139,0,2024-09-07 09:46:51:359,141720,1.2,142286,1.0,284469,1.6,379447,2.25 139,1,2024-09-07 09:46:50:616,997110,997110,0,0,467160057652,4953445519,979718,13707,3685,380,392109,0 139,2,2024-09-07 09:46:50:702,720783,720783,0,0,37754068,0,3379 139,3,2024-09-07 09:46:51:671,1,571,6,0,432,6722,571,0 140,0,2024-09-07 09:46:51:587,139861,0.3,139110,0.5,279202,0.2,372720,1.75 140,1,2024-09-07 09:46:51:535,1007234,1007234,0,0,472956142982,4876349691,1002289,4399,546,364,391606,0 140,2,2024-09-07 09:46:50:690,725194,725193,1,0,27955909,0,5036 140,3,2024-09-07 09:46:50:778,1,571,2,0,575,5772,571,0 141,0,2024-09-07 09:46:51:699,145207,0.3,149210,0.5,285188,0.3,385899,1.75 141,1,2024-09-07 09:46:50:861,1004848,1004848,0,0,471924129901,4903167388,996885,6784,1179,379,391614,0 141,2,2024-09-07 09:46:51:687,719389,719378,11,0,29594698,0,5369 141,3,2024-09-07 09:46:51:043,1,571,52,0,391,6390,571,0 142,0,2024-09-07 09:46:51:319,146557,0.3,145648,0.5,291715,0.2,389265,1.50 142,1,2024-09-07 09:46:50:605,1003370,1003370,0,0,471088101040,4910219726,997051,5815,504,382,392102,0 142,2,2024-09-07 09:46:51:299,719548,719516,32,0,29886208,0,6028 142,3,2024-09-07 09:46:51:746,1,571,62,0,484,6062,571,0 143,0,2024-09-07 09:46:51:372,143473,0.4,143662,0.5,288108,0.4,382771,1.75 143,1,2024-09-07 09:46:50:583,1006034,1006034,0,0,471700357814,4894579420,999658,5811,565,367,391722,0 143,2,2024-09-07 09:46:50:786,726818,726818,0,0,30043552,0,3123 143,3,2024-09-07 09:46:51:142,1,571,1,0,462,7104,571,0 144,0,2024-09-07 09:46:51:523,134546,0.6,138483,0.8,281691,0.6,369083,2.00 144,1,2024-09-07 09:46:50:591,1000349,1000349,0,0,469762649690,4929921215,990136,8226,1987,381,391733,0 144,2,2024-09-07 09:46:51:755,725312,725312,0,0,29487833,0,4443 144,3,2024-09-07 09:46:51:739,1,571,5,0,249,5576,571,0 145,0,2024-09-07 09:46:51:358,139667,0.5,139664,0.7,296487,0.5,381729,2.25 145,1,2024-09-07 09:46:50:555,999796,999796,0,0,469049677426,4939237664,985882,11243,2671,382,391759,0 145,2,2024-09-07 09:46:51:429,717809,717727,82,0,34793910,0,7814 145,3,2024-09-07 09:46:50:919,1,571,0,0,622,7793,571,0 146,0,2024-09-07 09:46:51:593,144283,0.6,143848,0.7,288807,0.5,384051,2.00 146,1,2024-09-07 09:46:51:586,1000807,1000807,0,0,469631561725,4942557626,983883,12457,4467,367,391770,0 146,2,2024-09-07 09:46:51:695,719309,719303,6,0,32745826,0,5151 146,3,2024-09-07 09:46:51:283,1,571,4,0,1520,9462,571,0 147,0,2024-09-07 09:46:51:716,145038,0.6,144746,0.7,289149,0.6,386020,2.25 147,1,2024-09-07 09:46:51:375,1004771,1004771,0,0,471779224032,4903279285,996672,7153,946,367,391791,0 147,2,2024-09-07 09:46:51:009,723112,723112,0,0,29215940,0,2968 147,3,2024-09-07 09:46:50:912,1,571,3,0,1626,9020,571,0 0,0,2024-09-07 09:47:01:794,140061,0.6,140059,0.7,297153,0.6,383832,2.00 0,1,2024-09-07 09:47:00:803,1003007,1003007,0,0,471194132752,4934332655,994748,7331,928,369,391896,0 0,2,2024-09-07 09:47:01:068,722763,722763,0,0,29523963,0,4480 0,3,2024-09-07 09:47:00:980,1,572,18,0,431,8225,572,0 1,0,2024-09-07 09:47:01:755,145484,0.9,144467,0.9,290633,1.1,387809,2.00 1,1,2024-09-07 09:47:00:564,1001870,1001870,0,0,470777106612,4933245686,992494,7887,1489,370,391859,0 1,2,2024-09-07 09:47:00:655,722760,722760,0,0,29005269,0,3380 1,3,2024-09-07 09:47:01:330,1,572,1,0,269,7041,572,0 2,0,2024-09-07 09:47:01:580,141453,0.6,141258,0.7,282481,0.6,376436,2.00 2,1,2024-09-07 09:47:00:886,1005511,1005511,0,0,473198209400,4920918357,999438,5124,949,379,391745,0 2,2,2024-09-07 09:47:01:271,725640,725640,0,0,28152480,0,3594 2,3,2024-09-07 09:47:00:695,1,572,8,0,357,5132,572,0 3,0,2024-09-07 09:47:01:748,140914,0.4,140736,0.6,280854,0.3,375049,2.00 3,1,2024-09-07 09:47:01:619,1004080,1004080,0,0,471154830774,4902671995,996508,6869,703,379,391716,0 3,2,2024-09-07 09:47:01:153,725766,725743,23,0,29176213,0,5851 3,3,2024-09-07 09:47:01:759,1,572,1,0,275,4034,572,0 4,0,2024-09-07 09:47:01:770,140141,0.4,144068,0.5,293700,0.3,384138,1.75 4,1,2024-09-07 09:47:00:612,1000524,1000524,0,0,468895619303,4967009175,982944,13857,3723,370,391992,0 4,2,2024-09-07 09:47:01:037,717494,717494,0,0,35055091,0,4534 4,3,2024-09-07 09:47:01:031,1,572,65,0,448,7136,572,0 5,0,2024-09-07 09:47:01:374,145933,0.4,145949,0.5,292131,0.3,388331,1.75 5,1,2024-09-07 09:47:00:767,1001495,1001495,0,0,470727549943,4957870869,987149,11533,2813,367,392005,0 5,2,2024-09-07 09:47:01:857,719138,719138,0,0,34105454,0,3582 5,3,2024-09-07 09:47:01:745,1,572,1,0,457,7648,572,0 6,0,2024-09-07 09:47:00:939,144165,0.5,143661,0.7,287582,0.4,383354,2.00 6,1,2024-09-07 09:47:00:753,1003502,1003502,0,0,470976901613,4922044306,992580,9349,1573,379,391702,0 6,2,2024-09-07 09:47:01:128,727011,726993,18,0,33492686,0,5535 6,3,2024-09-07 09:47:01:276,1,572,73,0,710,7095,572,0 7,0,2024-09-07 09:47:01:531,138190,0.5,139071,0.7,276703,0.5,369006,2.00 7,1,2024-09-07 09:47:00:863,1002155,1002155,0,0,470725582485,4947975167,987241,12443,2471,382,391747,0 7,2,2024-09-07 09:47:00:783,724758,724758,0,0,32266111,0,4791 7,3,2024-09-07 09:47:00:859,1,572,1,0,552,6465,572,0 8,0,2024-09-07 09:47:01:422,145486,0.4,145210,0.5,290760,0.3,388056,1.75 8,1,2024-09-07 09:47:01:023,1000886,1000886,0,0,470320227164,4947251538,984840,12506,3540,366,392853,0 8,2,2024-09-07 09:47:00:790,715035,715033,2,0,37428468,0,5112 8,3,2024-09-07 09:47:00:617,1,572,12,0,772,9138,572,0 9,0,2024-09-07 09:47:01:190,145531,0.4,141643,0.5,296467,0.3,388828,1.75 9,1,2024-09-07 09:47:00:615,1000749,1000749,0,0,469767162706,4950995905,985388,12372,2989,369,392001,0 9,2,2024-09-07 09:47:01:091,720630,720629,1,0,34234525,0,5281 9,3,2024-09-07 09:47:01:752,1,572,16,0,1273,9803,572,0 10,0,2024-09-07 09:47:01:609,144282,0.4,143790,0.5,288550,0.3,384282,1.75 10,1,2024-09-07 09:47:00:586,1002922,1002922,0,0,471079267058,4937058583,989135,11354,2433,381,391869,0 10,2,2024-09-07 09:47:00:768,726401,726401,0,0,37457937,0,4264 10,3,2024-09-07 09:47:00:882,1,572,11,0,669,6652,572,0 11,0,2024-09-07 09:47:01:011,138761,0.4,134591,0.6,281547,0.4,370856,1.75 11,1,2024-09-07 09:47:00:577,1004309,1004309,0,0,471051508549,4939367959,989742,10793,3774,383,391766,0 11,2,2024-09-07 09:47:01:122,724579,724579,0,0,33484550,0,4130 11,3,2024-09-07 09:47:01:299,1,572,1,0,843,7441,572,0 12,0,2024-09-07 09:47:00:956,145247,0.4,145310,0.5,290200,0.3,386209,1.75 12,1,2024-09-07 09:47:00:941,1003843,1003843,0,0,470504230264,4909429638,995558,7283,1002,370,391960,0 12,2,2024-09-07 09:47:01:548,719940,719940,0,0,32458655,0,4390 12,3,2024-09-07 09:47:01:072,1,572,1,0,386,7326,572,0 13,0,2024-09-07 09:47:01:336,145239,0.4,145207,0.5,289657,0.4,385912,1.75 13,1,2024-09-07 09:47:01:524,1001278,1001278,0,0,469704878133,4937587031,990969,8002,2307,382,391768,0 13,2,2024-09-07 09:47:00:612,723218,723218,0,0,28969216,0,3287 13,3,2024-09-07 09:47:01:790,1,572,26,0,522,7738,572,0 14,0,2024-09-07 09:47:00:575,145555,0.4,146610,0.6,290882,0.4,387268,1.75 14,1,2024-09-07 09:47:01:566,1008427,1008427,0,0,473462213065,4899437162,1000578,6877,972,364,391673,0 14,2,2024-09-07 09:47:00:768,724759,724729,30,0,30571754,0,6104 14,3,2024-09-07 09:47:01:128,1,572,8,0,1168,6413,572,0 15,0,2024-09-07 09:47:01:582,139711,0.4,139000,0.6,279017,0.4,371659,2.00 15,1,2024-09-07 09:47:01:609,1005277,1005277,0,0,471965884876,4917348092,996244,7120,1913,381,391619,0 15,2,2024-09-07 09:47:01:000,727312,727312,0,0,26609843,0,3622 15,3,2024-09-07 09:47:01:406,1,572,72,0,1126,7667,572,0 16,0,2024-09-07 09:47:01:019,142038,0.6,142643,0.7,284773,0.6,379743,2.00 16,1,2024-09-07 09:47:00:590,1005273,1005273,0,0,471236504506,4928447799,996037,7796,1440,370,392194,0 16,2,2024-09-07 09:47:01:437,723208,723208,0,0,30397224,0,4719 16,3,2024-09-07 09:47:01:151,1,572,11,0,358,7360,572,0 17,0,2024-09-07 09:47:01:795,148724,0.6,145036,0.8,284122,0.6,385829,2.00 17,1,2024-09-07 09:47:00:598,1003282,1003282,0,0,470616919878,4939235100,993111,8404,1767,368,392075,0 17,2,2024-09-07 09:47:01:670,723346,723345,1,0,31387678,0,5050 17,3,2024-09-07 09:47:00:580,1,572,1,0,518,8641,572,0 18,0,2024-09-07 09:47:00:957,144181,0.7,145117,0.8,289019,0.7,385775,2.25 18,1,2024-09-07 09:47:01:644,1007398,1007398,0,0,471912239183,4896590515,1000359,5782,1257,367,391725,0 18,2,2024-09-07 09:47:01:755,724466,724466,0,0,27911415,0,3541 18,3,2024-09-07 09:47:00:908,1,572,13,0,1059,5386,572,0 19,0,2024-09-07 09:47:01:544,142577,0.6,142802,0.8,284479,0.6,378344,2.00 19,1,2024-09-07 09:47:00:577,1007140,1007140,0,0,473444425544,4907282707,999818,6233,1089,367,391777,0 19,2,2024-09-07 09:47:01:755,729398,729398,0,0,26460563,0,3988 19,3,2024-09-07 09:47:01:131,1,572,1,0,524,4391,572,0 20,0,2024-09-07 09:47:01:368,139695,0.6,139715,0.7,279194,0.6,372563,2.00 20,1,2024-09-07 09:47:00:598,1002673,1002673,0,0,471765597740,4944274965,992227,8941,1505,369,391922,0 20,2,2024-09-07 09:47:00:930,724643,724643,0,0,32977209,0,4321 20,3,2024-09-07 09:47:00:593,1,572,5,0,468,9354,572,0 21,0,2024-09-07 09:47:01:183,144990,0.5,145072,0.6,290103,0.4,385218,2.00 21,1,2024-09-07 09:47:01:537,1000930,1000930,0,0,469722461543,4962373386,984478,12663,3789,368,392016,0 21,2,2024-09-07 09:47:01:075,716911,716891,20,0,36966391,0,5617 21,3,2024-09-07 09:47:01:410,1,572,3,0,713,8074,572,0 22,0,2024-09-07 09:47:01:720,145912,0.5,146508,0.7,292184,0.4,388033,2.00 22,1,2024-09-07 09:47:01:039,1002179,1002179,0,0,470718307790,4957594818,984702,13978,3499,382,391822,0 22,2,2024-09-07 09:47:00:770,720077,720051,26,0,31249463,0,6328 22,3,2024-09-07 09:47:01:075,1,572,8,0,228,4853,572,0 23,0,2024-09-07 09:47:01:370,143491,0.5,143195,0.7,286158,0.5,381740,2.25 23,1,2024-09-07 09:47:01:013,1003798,1003798,0,0,472150944017,4956490187,986482,12430,4886,365,391690,0 23,2,2024-09-07 09:47:01:098,728007,728007,0,0,30246333,0,3773 23,3,2024-09-07 09:47:01:759,1,572,28,0,855,8723,572,0 24,0,2024-09-07 09:47:00:896,140065,0.4,139266,0.6,280311,0.4,372292,1.75 24,1,2024-09-07 09:47:00:589,1002609,1002609,0,0,469497842998,4914720228,993201,7725,1683,367,392269,0 24,2,2024-09-07 09:47:01:068,724957,724957,0,0,36199247,0,4438 24,3,2024-09-07 09:47:01:698,1,572,6,0,468,7275,572,0 25,0,2024-09-07 09:47:01:371,148586,0.4,144624,0.6,283963,0.4,386430,1.75 25,1,2024-09-07 09:47:00:597,1001020,1001020,0,0,470460654640,4968395694,983739,13708,3573,369,391928,0 25,2,2024-09-07 09:47:01:619,718035,718035,0,0,36529332,0,3978 25,3,2024-09-07 09:47:01:011,1,572,17,0,532,6375,572,0 26,0,2024-09-07 09:47:01:775,144049,0.4,140921,0.6,295873,0.4,385448,1.75 26,1,2024-09-07 09:47:01:554,1004925,1004925,0,0,470319277815,4922933203,991507,10958,2460,380,391748,0 26,2,2024-09-07 09:47:00:864,721092,721092,0,0,39023035,0,4689 26,3,2024-09-07 09:47:01:731,1,572,8,0,796,6591,572,0 27,0,2024-09-07 09:47:01:730,145051,0.4,145768,0.6,289765,0.4,386372,2.25 27,1,2024-09-07 09:47:01:684,1004989,1004989,0,0,473037170423,4927794410,995571,8122,1296,381,391626,0 27,2,2024-09-07 09:47:00:879,722002,721937,65,0,34336519,0,5699 27,3,2024-09-07 09:47:01:020,1,572,3,0,564,5097,572,0 28,0,2024-09-07 09:47:01:436,139815,0.4,139679,0.6,279686,0.3,372730,1.75 28,1,2024-09-07 09:47:00:799,1005955,1005955,0,0,472081861410,4920593495,997581,6638,1736,382,391698,0 28,2,2024-09-07 09:47:01:774,725861,725861,0,0,29843860,0,2915 28,3,2024-09-07 09:47:01:785,1,572,6,0,502,5547,572,0 29,0,2024-09-07 09:47:01:364,147680,0.4,143703,0.6,282180,0.3,384830,1.75 29,1,2024-09-07 09:47:01:572,1009066,1009066,0,0,473261258393,4894539474,1002980,5312,774,367,391809,0 29,2,2024-09-07 09:47:00:872,722456,722456,0,0,28713848,0,4986 29,3,2024-09-07 09:47:00:977,1,572,1,0,459,6438,572,0 30,0,2024-09-07 09:47:01:457,143247,0.5,139542,0.7,292326,0.4,381607,2.00 30,1,2024-09-07 09:47:00:574,1007791,1007791,0,0,473908743669,4916851476,1000558,6326,907,380,391672,0 30,2,2024-09-07 09:47:01:277,722224,722224,0,0,27525031,0,4192 30,3,2024-09-07 09:47:00:590,1,572,8,0,519,5429,572,0 31,0,2024-09-07 09:47:01:762,145273,0.4,145983,0.6,291023,0.4,388511,2.00 31,1,2024-09-07 09:47:00:565,1010979,1010979,0,0,475036591123,4877065320,1005096,4854,1029,356,391712,0 31,2,2024-09-07 09:47:01:283,722297,722297,0,0,30977037,0,4470 31,3,2024-09-07 09:47:01:710,1,572,3,0,239,4893,572,0 32,0,2024-09-07 09:47:01:434,141903,0.3,142755,0.5,284059,0.3,377883,1.75 32,1,2024-09-07 09:47:00:804,1007217,1007217,0,0,471966035636,4906586704,1001056,5447,714,381,391646,0 32,2,2024-09-07 09:47:00:940,727545,727545,0,0,27466623,0,3922 32,3,2024-09-07 09:47:01:019,1,572,1,0,304,4490,572,0 33,0,2024-09-07 09:47:01:509,141397,0.3,140986,0.4,282326,0.2,376044,1.50 33,1,2024-09-07 09:47:00:578,1007019,1007019,0,0,473910762608,4911263615,998655,7094,1270,368,391730,0 33,2,2024-09-07 09:47:00:774,725727,725692,35,0,30484866,0,7012 33,3,2024-09-07 09:47:00:907,1,572,5,0,329,5045,572,0 34,0,2024-09-07 09:47:00:936,144641,0.3,148576,0.5,284116,0.2,383334,1.75 34,1,2024-09-07 09:47:01:054,1009618,1009618,0,0,473818990932,4875562908,1006675,2834,109,367,391637,0 34,2,2024-09-07 09:47:00:769,721770,721770,0,0,28053514,0,4562 34,3,2024-09-07 09:47:01:688,1,572,11,0,541,5329,572,0 35,0,2024-09-07 09:47:00:860,145032,0.3,145879,0.5,292694,0.2,388875,1.75 35,1,2024-09-07 09:47:01:073,1005737,1005737,0,0,472586869178,4907243271,997662,6552,1523,382,391769,0 35,2,2024-09-07 09:47:01:591,721775,721775,0,0,31372865,0,4055 35,3,2024-09-07 09:47:00:914,1,572,1,0,466,5515,572,0 36,0,2024-09-07 09:47:01:515,144425,0.5,144269,0.7,288174,0.5,384157,2.00 36,1,2024-09-07 09:47:00:596,1004332,1004332,0,0,471170946975,4923245072,991082,11017,2233,366,391759,0 36,2,2024-09-07 09:47:01:755,727292,727292,0,0,33069880,0,3875 36,3,2024-09-07 09:47:00:890,1,572,23,0,556,8110,572,0 37,0,2024-09-07 09:47:01:372,138274,0.6,138209,0.7,276868,0.5,369324,2.25 37,1,2024-09-07 09:47:00:577,1003894,1003887,0,7,471331724797,4923684488,991635,9354,2898,365,391770,0 37,2,2024-09-07 09:47:01:151,722841,722826,15,0,33301405,0,5815 37,3,2024-09-07 09:47:01:785,1,572,1,0,888,7675,572,0 38,0,2024-09-07 09:47:01:447,144278,0.5,139975,0.7,292536,0.4,383806,2.00 38,1,2024-09-07 09:47:01:618,1004299,1004299,0,0,471811520853,4938947552,989120,12181,2998,368,391821,0 38,2,2024-09-07 09:47:00:772,719363,719316,47,0,33099705,0,6710 38,3,2024-09-07 09:47:01:000,1,572,8,0,689,7151,572,0 39,0,2024-09-07 09:47:01:767,148843,0.5,145506,0.7,283748,0.5,387707,2.00 39,1,2024-09-07 09:47:00:729,1003611,1003611,0,0,471516429069,4946444518,986609,13304,3698,365,391865,0 39,2,2024-09-07 09:47:01:419,721760,721760,0,0,30869771,0,3391 39,3,2024-09-07 09:47:00:717,1,572,6,0,525,6588,572,0 40,0,2024-09-07 09:47:01:489,143022,0.9,143662,1.0,286630,1.0,382348,2.75 40,1,2024-09-07 09:47:00:585,1004820,1004820,0,0,470894660722,4932629739,990332,11706,2782,368,391668,0 40,2,2024-09-07 09:47:01:316,724371,724370,1,0,36538493,0,5137 40,3,2024-09-07 09:47:01:151,1,572,0,0,1028,8289,572,0 41,0,2024-09-07 09:47:01:025,138176,1.2,141334,1.1,269477,1.6,366458,3.00 41,1,2024-09-07 09:47:00:779,1003557,1003557,0,0,470692607088,4924591298,990775,10712,2070,369,391878,0 41,2,2024-09-07 09:47:00:762,722213,722212,1,0,35281000,0,5408 41,3,2024-09-07 09:47:01:685,1,572,5,0,366,6066,572,0 42,0,2024-09-07 09:47:01:480,143722,0.9,143385,1.0,286846,1.0,381147,2.50 42,1,2024-09-07 09:47:01:439,1001262,1001262,0,0,469739482221,4937121462,985555,12779,2928,380,391675,0 42,2,2024-09-07 09:47:01:138,719394,719394,0,0,34960279,0,3975 42,3,2024-09-07 09:47:01:011,1,572,8,0,892,5479,572,0 43,0,2024-09-07 09:47:00:927,142856,0.7,139063,0.9,291399,0.7,380946,2.25 43,1,2024-09-07 09:47:00:580,1004555,1004555,0,0,471996512167,4931409294,990784,11371,2400,366,391696,0 43,2,2024-09-07 09:47:01:740,721828,721828,0,0,33743429,0,4723 43,3,2024-09-07 09:47:01:752,1,572,54,0,571,8475,572,0 44,0,2024-09-07 09:47:00:857,145815,0.4,145720,0.6,291912,0.4,387765,1.75 44,1,2024-09-07 09:47:00:567,1006972,1006972,0,0,472306031700,4883630235,997847,7186,1939,356,391809,0 44,2,2024-09-07 09:47:01:266,722072,722072,0,0,27513854,0,4344 44,3,2024-09-07 09:47:01:093,1,572,17,0,1097,7243,572,0 45,0,2024-09-07 09:47:01:768,138247,0.5,135013,0.7,283382,0.4,371751,2.00 45,1,2024-09-07 09:47:01:010,1005897,1005897,0,0,472718756986,4912681416,997828,7166,903,382,391917,0 45,2,2024-09-07 09:47:01:271,726245,726245,0,0,27990274,0,3596 45,3,2024-09-07 09:47:00:939,1,572,8,0,531,5658,572,0 46,0,2024-09-07 09:47:00:970,141533,0.5,141187,0.7,283258,0.5,376730,2.00 46,1,2024-09-07 09:47:00:579,1007981,1007981,0,0,473959386540,4898729038,1000896,6221,864,366,391709,0 46,2,2024-09-07 09:47:00:605,724727,724727,0,0,28703256,0,4443 46,3,2024-09-07 09:47:01:134,1,572,8,0,908,7007,572,0 47,0,2024-09-07 09:47:01:108,144556,0.4,144491,0.6,290040,0.4,384287,2.00 47,1,2024-09-07 09:47:00:577,1009142,1009142,0,0,473314583209,4890030806,1003378,4911,853,365,391641,0 47,2,2024-09-07 09:47:00:917,724426,724426,0,0,27647334,0,4477 47,3,2024-09-07 09:47:01:114,1,572,1,0,600,6520,572,0 48,0,2024-09-07 09:47:01:499,145931,0.3,146104,0.4,291530,0.2,387590,1.50 48,1,2024-09-07 09:47:01:024,1006657,1006657,0,0,472338335597,4907237544,1000585,5494,578,383,391710,0 48,2,2024-09-07 09:47:00:706,722885,722885,0,0,26222179,0,3524 48,3,2024-09-07 09:47:00:760,1,572,1,0,339,4718,572,0 49,0,2024-09-07 09:47:01:717,146943,0.3,144072,0.5,280360,0.3,382527,1.75 49,1,2024-09-07 09:47:01:022,1005362,1005362,0,0,472203105681,4913731399,998223,5445,1694,382,391809,0 49,2,2024-09-07 09:47:01:798,727896,727896,0,0,28675715,0,4426 49,3,2024-09-07 09:47:01:425,1,572,5,0,992,6973,572,0 50,0,2024-09-07 09:47:01:506,140489,0.3,138723,0.5,279522,0.2,372650,1.75 50,1,2024-09-07 09:47:01:011,1008710,1008710,0,0,473985405934,4900736955,1002310,5731,669,368,391691,0 50,2,2024-09-07 09:47:01:071,725008,725008,0,0,26297528,0,4490 50,3,2024-09-07 09:47:01:299,1,572,11,0,617,5935,572,0 51,0,2024-09-07 09:47:01:690,148820,0.3,145788,0.5,283769,0.2,386738,1.75 51,1,2024-09-07 09:47:01:694,1009531,1009531,0,0,473937527483,4888839818,1003915,4536,1080,365,391706,0 51,2,2024-09-07 09:47:01:322,720499,720499,0,0,25874483,0,3337 51,3,2024-09-07 09:47:01:039,1,572,2,0,678,4054,572,0 52,0,2024-09-07 09:47:01:412,146510,0.5,146150,0.7,292572,0.4,388929,2.00 52,1,2024-09-07 09:47:00:576,1004328,1004328,0,0,471220661645,4935754937,989462,12536,2330,368,391805,0 52,2,2024-09-07 09:47:01:755,718297,718259,38,0,34817971,0,6742 52,3,2024-09-07 09:47:00:678,1,572,4,0,1782,7020,572,0 53,0,2024-09-07 09:47:01:747,143142,0.7,138875,0.8,290536,0.7,380927,2.50 53,1,2024-09-07 09:47:00:774,1002933,1002933,0,0,471180513578,4947134112,985379,13318,4236,367,391968,0 53,2,2024-09-07 09:47:01:299,726662,726661,1,0,31937785,0,5455 53,3,2024-09-07 09:47:00:704,1,572,56,0,308,5549,572,0 54,0,2024-09-07 09:47:01:615,137371,0.6,137807,0.8,274302,0.5,366601,2.25 54,1,2024-09-07 09:47:00:580,1005207,1005207,0,0,471815468349,4909186036,994302,9238,1667,366,391810,0 54,2,2024-09-07 09:47:00:894,725561,725529,32,0,35442141,0,6397 54,3,2024-09-07 09:47:00:771,1,572,8,0,676,7649,572,0 55,0,2024-09-07 09:47:01:772,139525,0.6,143943,0.7,291791,0.5,380557,2.50 55,1,2024-09-07 09:47:00:768,1005793,1005793,0,0,471426253690,4906169362,995052,9352,1389,365,391731,0 55,2,2024-09-07 09:47:00:738,718422,718366,56,0,32773676,0,7239 55,3,2024-09-07 09:47:00:690,1,572,11,0,304,5302,572,0 56,0,2024-09-07 09:47:01:567,147328,1.1,139086,1.1,286249,1.5,383551,2.75 56,1,2024-09-07 09:47:00:580,1000028,1000028,0,0,469877353131,4969152913,983013,13539,3476,381,391867,0 56,2,2024-09-07 09:47:01:315,721006,720884,122,0,33977033,0,7432 56,3,2024-09-07 09:47:01:059,1,572,69,0,705,6758,572,0 57,0,2024-09-07 09:47:00:950,143159,1.5,143165,1.2,286500,2.1,382702,3.25 57,1,2024-09-07 09:47:00:997,1002133,1002133,0,0,470653459272,4940739060,988635,11159,2339,366,392032,0 57,2,2024-09-07 09:47:01:322,724792,724792,0,0,35533536,0,4317 57,3,2024-09-07 09:47:01:745,1,572,0,0,455,6533,572,0 58,0,2024-09-07 09:47:00:571,137432,0.9,133374,1.0,278923,1.1,364999,2.50 58,1,2024-09-07 09:47:00:586,1003970,1003967,0,3,472064154661,4947401110,988932,11343,3692,367,391675,3 58,2,2024-09-07 09:47:01:070,725509,725509,0,0,33701549,0,3483 58,3,2024-09-07 09:47:01:077,1,572,8,0,1043,6500,572,0 59,0,2024-09-07 09:47:01:739,142522,0.8,142183,0.9,283964,0.8,376810,2.75 59,1,2024-09-07 09:47:00:804,1002296,1002296,0,0,470582007070,4943949086,985992,12972,3332,369,391653,0 59,2,2024-09-07 09:47:00:584,722078,722078,0,0,31944017,0,3727 59,3,2024-09-07 09:47:01:745,1,572,2,0,1015,6935,572,0 60,0,2024-09-07 09:47:01:764,144003,0.5,144309,0.7,288372,0.5,383927,1.75 60,1,2024-09-07 09:47:00:778,1007486,1007486,0,0,472320761015,4906846778,1000245,6245,996,370,392031,0 60,2,2024-09-07 09:47:01:163,722001,722001,0,0,30013687,0,3811 60,3,2024-09-07 09:47:01:260,1,572,1,0,409,6591,572,0 61,0,2024-09-07 09:47:01:490,145472,0.6,146026,0.8,290703,0.6,387850,2.00 61,1,2024-09-07 09:47:00:775,1003827,1003827,0,0,471129354939,4933413337,993047,9119,1661,382,392127,0 61,2,2024-09-07 09:47:01:129,723237,723170,67,0,30982822,0,6411 61,3,2024-09-07 09:47:01:692,1,572,4,0,607,7747,572,0 62,0,2024-09-07 09:47:01:728,142170,0.6,145868,0.7,278389,0.6,377773,2.00 62,1,2024-09-07 09:47:01:111,1010249,1010243,0,6,474743812200,4893771096,1005577,4382,284,365,391975,6 62,2,2024-09-07 09:47:01:654,723899,723898,1,0,31153993,0,5555 62,3,2024-09-07 09:47:01:156,1,572,8,0,482,4600,572,0 63,0,2024-09-07 09:47:01:478,141469,0.4,141237,0.6,283055,0.3,376194,1.75 63,1,2024-09-07 09:47:00:804,1006638,1006632,0,6,472417518823,4905857736,999991,5786,855,381,391800,6 63,2,2024-09-07 09:47:00:761,725244,725244,0,0,29356981,0,4369 63,3,2024-09-07 09:47:01:733,1,572,1,0,667,6107,572,0 64,0,2024-09-07 09:47:01:511,143660,0.5,143721,0.7,286690,0.4,381716,2.00 64,1,2024-09-07 09:47:00:753,1005456,1005456,0,0,472688499212,4925147864,996139,7341,1976,370,391794,0 64,2,2024-09-07 09:47:01:147,724400,724381,19,0,28329106,0,6121 64,3,2024-09-07 09:47:01:146,1,572,6,0,651,6190,572,0 65,0,2024-09-07 09:47:01:693,144841,0.6,144994,0.7,289854,0.6,386324,2.00 65,1,2024-09-07 09:47:00:870,1004033,1004033,0,0,471042373877,4924603732,996638,6522,873,381,391901,0 65,2,2024-09-07 09:47:01:713,720766,720766,0,0,33700193,0,3367 65,3,2024-09-07 09:47:01:691,1,572,4,0,782,6501,572,0 66,0,2024-09-07 09:47:01:769,143419,0.5,142946,0.8,286164,0.5,381218,2.25 66,1,2024-09-07 09:47:01:299,1006254,1006254,0,0,472313596543,4915881408,999771,5761,722,380,391743,0 66,2,2024-09-07 09:47:01:132,729456,729453,3,0,30525302,0,5455 66,3,2024-09-07 09:47:01:084,1,572,8,0,291,4907,572,0 67,0,2024-09-07 09:47:01:441,138726,0.5,138419,0.7,277780,0.5,369636,2.00 67,1,2024-09-07 09:47:00:772,1005472,1005471,0,1,472418401029,4919320014,998330,6198,943,380,391787,1 67,2,2024-09-07 09:47:00:586,727777,727762,15,0,30381773,0,6205 67,3,2024-09-07 09:47:01:751,1,572,1,0,595,6052,572,0 68,0,2024-09-07 09:47:00:588,145175,0.6,145066,0.7,288796,0.6,386990,2.25 68,1,2024-09-07 09:47:00:586,1001998,1001998,0,0,470456102062,4948121921,988681,9858,3459,381,391953,0 68,2,2024-09-07 09:47:01:048,716031,715931,100,0,37849483,0,8578 68,3,2024-09-07 09:47:00:745,1,572,6,0,417,7584,572,0 69,0,2024-09-07 09:47:01:831,144874,0.7,145758,0.8,290568,0.7,386164,2.25 69,1,2024-09-07 09:47:01:029,1000834,1000834,0,0,470612148170,4962130348,987169,10834,2831,383,391994,0 69,2,2024-09-07 09:47:01:734,719248,719219,29,0,40219203,0,6912 69,3,2024-09-07 09:47:00:767,1,572,62,0,698,8447,572,0 70,0,2024-09-07 09:47:01:531,142705,0.8,143169,1.0,287968,0.7,381235,2.50 70,1,2024-09-07 09:47:00:804,1007106,1007106,0,0,473427222778,4913190697,999378,6964,764,366,391725,0 70,2,2024-09-07 09:47:01:325,725901,725901,0,0,33615235,0,4323 70,3,2024-09-07 09:47:00:752,1,572,8,0,854,6499,572,0 71,0,2024-09-07 09:47:01:358,137497,1.0,137284,1.0,275753,1.3,367953,2.75 71,1,2024-09-07 09:47:01:598,1004756,1004756,0,0,472268842338,4932858622,991775,11360,1621,368,391738,0 71,2,2024-09-07 09:47:01:073,724870,724870,0,0,33926510,0,4352 71,3,2024-09-07 09:47:01:755,1,572,38,0,644,6641,572,0 72,0,2024-09-07 09:47:01:023,149043,0.5,145701,0.7,284379,0.5,386889,2.00 72,1,2024-09-07 09:47:01:023,1002968,1002968,0,0,470398649494,4934240938,988686,11887,2395,369,391819,0 72,2,2024-09-07 09:47:01:766,719267,719267,0,0,35087343,0,3983 72,3,2024-09-07 09:47:01:760,1,572,2,0,564,8348,572,0 73,0,2024-09-07 09:47:01:154,140703,0.5,144238,0.6,295034,0.4,383597,2.00 73,1,2024-09-07 09:47:00:775,1005147,1005147,0,0,472046348875,4906375938,997441,6777,929,367,391858,0 73,2,2024-09-07 09:47:01:762,721426,721426,0,0,35721581,0,4728 73,3,2024-09-07 09:47:00:974,1,572,1,0,1091,8204,572,0 74,0,2024-09-07 09:47:01:324,146563,0.5,150098,0.7,286208,0.4,387986,2.00 74,1,2024-09-07 09:47:00:647,1003955,1003955,0,0,471660983714,4923325714,992897,9080,1978,381,391762,0 74,2,2024-09-07 09:47:01:010,722865,722865,0,0,31277099,0,4253 74,3,2024-09-07 09:47:01:442,1,572,9,0,522,6861,572,0 75,0,2024-09-07 09:47:01:800,140206,0.5,139175,0.8,279616,0.5,373265,2.25 75,1,2024-09-07 09:47:01:588,1005523,1005523,0,0,471517144767,4914461338,997306,7351,866,380,391739,0 75,2,2024-09-07 09:47:01:354,724078,724078,0,0,38033146,0,4766 75,3,2024-09-07 09:47:01:075,1,572,7,0,702,7037,572,0 76,0,2024-09-07 09:47:00:639,141613,0.5,141087,0.7,282260,0.5,378105,2.25 76,1,2024-09-07 09:47:00:812,1004776,1004776,0,0,471104000957,4912710310,997854,5952,970,382,391790,0 76,2,2024-09-07 09:47:01:076,726033,726030,3,0,31269457,0,5265 76,3,2024-09-07 09:47:01:146,1,572,0,0,227,5166,572,0 77,0,2024-09-07 09:47:01:706,143861,0.5,144411,0.7,288662,0.5,383566,2.00 77,1,2024-09-07 09:47:00:834,1004513,1004513,0,0,471610396039,4920735928,996785,6854,874,381,391869,0 77,2,2024-09-07 09:47:01:286,720830,720830,0,0,30357335,0,3890 77,3,2024-09-07 09:47:01:094,1,572,1,0,401,6381,572,0 78,0,2024-09-07 09:47:01:720,146117,0.4,145548,0.6,291721,0.4,386240,2.00 78,1,2024-09-07 09:47:00:612,1005070,1005070,0,0,471430550322,4916375279,993180,9223,2667,367,391670,0 78,2,2024-09-07 09:47:01:406,723567,723554,13,0,28499826,0,8313 78,3,2024-09-07 09:47:01:143,1,572,8,0,311,4901,572,0 79,0,2024-09-07 09:47:01:346,138134,0.4,141474,0.6,289927,0.3,377239,2.00 79,1,2024-09-07 09:47:00:578,1008200,1008200,0,0,473323120907,4901347188,1000409,6432,1359,367,391682,0 79,2,2024-09-07 09:47:01:080,727109,727109,0,0,27805445,0,4195 79,3,2024-09-07 09:47:00:749,1,572,2,0,418,6856,572,0 80,0,2024-09-07 09:47:01:145,139629,0.6,143334,0.7,274283,0.5,371486,2.00 80,1,2024-09-07 09:47:01:619,1005351,1005351,0,0,472331575918,4917860269,998893,6023,435,368,392269,0 80,2,2024-09-07 09:47:01:091,727670,727670,0,0,28507082,0,4433 80,3,2024-09-07 09:47:00:608,1,572,1,0,681,7243,572,0 81,0,2024-09-07 09:47:01:585,145006,0.6,148201,0.7,283151,0.5,384085,2.00 81,1,2024-09-07 09:47:01:676,1003495,1003495,0,0,470880212411,4923260877,995366,7366,763,382,391885,0 81,2,2024-09-07 09:47:01:133,718688,718625,63,0,31316889,0,5932 81,3,2024-09-07 09:47:01:127,1,572,1,0,719,6905,572,0 82,0,2024-09-07 09:47:01:529,145825,0.5,146006,0.7,292605,0.4,387940,2.00 82,1,2024-09-07 09:47:00:609,1006690,1006686,0,4,472016174960,4907859157,1001126,4737,823,381,391768,4 82,2,2024-09-07 09:47:01:698,723844,723844,0,0,26434184,0,4484 82,3,2024-09-07 09:47:01:752,1,572,13,0,363,5484,572,0 83,0,2024-09-07 09:47:01:558,143803,0.6,143834,0.7,286951,0.6,381111,2.25 83,1,2024-09-07 09:47:00:552,1004466,1004466,0,0,471068086540,4912133536,997109,6713,644,382,391709,0 83,2,2024-09-07 09:47:00:770,727561,727536,25,0,28673271,0,5612 83,3,2024-09-07 09:47:00:749,1,572,1,0,1260,7009,572,0 84,0,2024-09-07 09:47:01:787,138027,0.7,138247,0.9,276216,0.7,369294,2.25 84,1,2024-09-07 09:47:01:045,1002645,1002645,0,0,471153832790,4929528623,990693,10282,1670,367,391967,0 84,2,2024-09-07 09:47:00:582,725151,725121,30,0,37432461,0,5971 84,3,2024-09-07 09:47:01:155,1,572,2,0,908,7766,572,0 85,0,2024-09-07 09:47:01:006,139062,0.6,139064,0.8,295257,0.5,381668,2.25 85,1,2024-09-07 09:47:00:561,1000423,1000423,0,0,469530947756,4961616115,984366,13262,2795,381,392092,0 85,2,2024-09-07 09:47:00:874,718612,718612,0,0,34945630,0,4255 85,3,2024-09-07 09:47:00:687,1,572,2,0,789,6763,572,0 86,0,2024-09-07 09:47:00:919,144772,0.6,148786,0.8,284397,0.7,385457,2.25 86,1,2024-09-07 09:47:00:836,1002762,1002762,0,0,471496252701,4946968867,989219,10873,2670,366,392169,0 86,2,2024-09-07 09:47:00:856,720387,720386,1,0,37527865,0,5004 86,3,2024-09-07 09:47:00:597,1,572,11,0,308,8104,572,0 87,0,2024-09-07 09:47:01:297,145221,0.8,144679,0.8,289345,1.0,386634,2.25 87,1,2024-09-07 09:47:00:655,1002481,1002481,0,0,471472468588,4939928243,989768,10993,1720,366,392076,0 87,2,2024-09-07 09:47:01:067,723375,723369,6,0,34084602,0,6323 87,3,2024-09-07 09:47:01:808,1,572,2,0,473,8456,572,0 88,0,2024-09-07 09:47:01:465,139511,0.4,139863,0.6,279673,0.4,372652,1.75 88,1,2024-09-07 09:47:00:601,1001926,1001926,0,0,470970450755,4936500579,987570,11393,2963,365,392084,0 88,2,2024-09-07 09:47:00:710,724735,724735,0,0,36805487,0,4465 88,3,2024-09-07 09:47:01:271,1,572,2,0,1080,8960,572,0 89,0,2024-09-07 09:47:01:787,147762,0.4,143342,0.6,282811,0.4,384840,1.75 89,1,2024-09-07 09:47:00:552,1001039,1001039,0,0,471182411115,4956549344,988455,10651,1933,382,391866,0 89,2,2024-09-07 09:47:01:133,721750,721750,0,0,34145090,0,3173 89,3,2024-09-07 09:47:01:794,1,572,1,0,468,9810,572,0 90,0,2024-09-07 09:47:01:616,139821,0.5,143637,0.6,292954,0.4,382174,2.00 90,1,2024-09-07 09:47:00:607,1003226,1003226,0,0,471001113624,4933601407,993539,8797,890,380,391825,0 90,2,2024-09-07 09:47:01:414,718616,718616,0,0,36781599,0,3608 90,3,2024-09-07 09:47:00:942,1,572,8,0,322,6955,572,0 91,0,2024-09-07 09:47:00:949,146041,0.4,141673,0.6,296320,0.4,388483,1.75 91,1,2024-09-07 09:47:00:564,1000655,1000655,0,0,471065088906,4962022463,986053,11715,2887,381,392047,0 91,2,2024-09-07 09:47:01:337,722534,722534,0,0,32366995,0,4713 91,3,2024-09-07 09:47:00:610,1,572,1,0,216,5294,572,0 92,0,2024-09-07 09:47:01:446,143295,0.5,146521,0.6,279344,0.5,378081,1.75 92,1,2024-09-07 09:47:00:581,1004333,1004333,0,0,471359799260,4923180604,996505,6617,1211,381,392136,0 92,2,2024-09-07 09:47:01:357,726180,726180,0,0,29226261,0,3259 92,3,2024-09-07 09:47:01:015,1,572,0,0,167,4863,572,0 93,0,2024-09-07 09:47:01:025,141727,0.4,145313,0.6,277299,0.4,376266,1.75 93,1,2024-09-07 09:47:01:009,1004699,1004699,0,0,472081556685,4928199159,993380,9394,1925,366,391776,0 93,2,2024-09-07 09:47:00:927,724774,724774,0,0,34951039,0,4913 93,3,2024-09-07 09:47:01:412,1,572,1,0,294,5629,572,0 94,0,2024-09-07 09:47:01:610,143804,0.4,144880,0.5,289626,0.3,384509,1.75 94,1,2024-09-07 09:47:00:565,1005452,1005452,0,0,471823696297,4921381811,998719,6303,430,381,391850,0 94,2,2024-09-07 09:47:00:765,720714,720686,28,0,29496272,0,6179 94,3,2024-09-07 09:47:01:694,1,572,12,0,576,7061,572,0 95,0,2024-09-07 09:47:01:366,145884,0.3,145922,0.5,291970,0.3,388839,1.75 95,1,2024-09-07 09:47:00:855,1006613,1006613,0,0,471981366511,4905829851,998449,7462,702,365,391852,0 95,2,2024-09-07 09:47:01:024,721102,721102,0,0,29159075,0,3308 95,3,2024-09-07 09:47:01:709,1,572,0,0,718,8816,572,0 96,0,2024-09-07 09:47:01:026,143871,0.4,144213,0.5,288363,0.3,383467,1.75 96,1,2024-09-07 09:47:01:587,1004424,1004424,0,0,472044591129,4925167121,997084,6069,1271,384,391964,0 96,2,2024-09-07 09:47:01:272,727664,727664,0,0,30346371,0,4180 96,3,2024-09-07 09:47:01:163,1,572,5,0,411,6669,572,0 97,0,2024-09-07 09:47:01:317,138941,0.3,138820,0.5,278437,0.3,369612,1.75 97,1,2024-09-07 09:47:00:772,1006313,1006313,0,0,472939304827,4907415865,999873,5414,1026,367,392140,0 97,2,2024-09-07 09:47:00:607,726556,726556,0,0,30222342,0,4046 97,3,2024-09-07 09:47:00:578,1,572,2,0,242,6451,572,0 98,0,2024-09-07 09:47:01:706,144927,0.3,144943,0.5,290914,0.3,387348,1.50 98,1,2024-09-07 09:47:00:570,1005382,1005382,0,0,471789478471,4914948005,999144,5416,822,381,391997,0 98,2,2024-09-07 09:47:00:770,720457,720457,0,0,28806854,0,4336 98,3,2024-09-07 09:47:00:706,1,572,9,0,840,8739,572,0 99,0,2024-09-07 09:47:01:449,145828,0.3,146548,0.5,291391,0.3,389061,1.75 99,1,2024-09-07 09:47:01:739,1006377,1006377,0,0,472220906044,4914654659,1000144,5303,930,380,392069,0 99,2,2024-09-07 09:47:01:416,721618,721618,0,0,35387503,0,4276 99,3,2024-09-07 09:47:00:585,1,572,1,0,1124,7232,572,0 100,0,2024-09-07 09:47:01:464,143940,0.8,144255,0.9,288195,0.9,384630,2.50 100,1,2024-09-07 09:47:00:565,1000287,1000287,0,0,469555704461,4967795924,984473,12524,3290,378,391989,0 100,2,2024-09-07 09:47:01:823,723674,723663,11,0,34617874,0,5417 100,3,2024-09-07 09:47:01:735,1,572,18,0,627,9896,572,0 101,0,2024-09-07 09:47:01:701,141819,1.1,138380,1.0,270694,1.0,370165,2.25 101,1,2024-09-07 09:47:00:576,1001779,1001779,0,0,470422815804,4945502528,988087,11187,2505,368,391847,0 101,2,2024-09-07 09:47:01:790,720943,720943,0,0,39077418,0,4871 101,3,2024-09-07 09:47:00:942,1,572,6,0,1250,8823,572,0 102,0,2024-09-07 09:47:00:945,140462,0.6,144675,0.7,293774,0.6,384407,2.00 102,1,2024-09-07 09:47:01:159,1001852,1001852,0,0,470257202811,4942682511,988026,11670,2156,369,391984,0 102,2,2024-09-07 09:47:01:746,721375,721321,54,0,33145865,0,6768 102,3,2024-09-07 09:47:01:617,1,572,12,0,466,6591,572,0 103,0,2024-09-07 09:47:01:588,149093,0.6,149110,0.7,280868,0.6,386769,2.00 103,1,2024-09-07 09:47:01:625,1000351,1000351,0,0,469700931351,4964211415,983868,12893,3590,381,392077,0 103,2,2024-09-07 09:47:00:590,719516,719516,0,0,35595233,0,3766 103,3,2024-09-07 09:47:00:770,1,572,1,0,916,7001,572,0 104,0,2024-09-07 09:47:01:004,144620,0.7,144776,0.9,288815,0.7,386169,2.25 104,1,2024-09-07 09:47:01:599,1003479,1003479,0,0,470618669593,4944241497,989068,11800,2611,365,392168,0 104,2,2024-09-07 09:47:01:666,721338,721338,0,0,34554773,0,3941 104,3,2024-09-07 09:47:01:417,1,572,62,0,1245,10381,572,0 105,0,2024-09-07 09:47:01:030,138475,0.9,134918,1.0,282700,1.0,371257,2.50 105,1,2024-09-07 09:47:00:581,1004737,1004737,0,0,471877614250,4941686473,992524,10404,1809,364,392009,0 105,2,2024-09-07 09:47:01:325,724288,724288,0,0,35512900,0,4360 105,3,2024-09-07 09:47:01:324,1,572,1,0,573,8853,572,0 106,0,2024-09-07 09:47:00:937,137379,0.8,140608,0.9,288258,0.9,376747,2.50 106,1,2024-09-07 09:47:01:755,1003297,1003297,0,0,471307016398,4945700840,989562,11999,1736,368,391914,0 106,2,2024-09-07 09:47:00:767,722787,722787,0,0,32746538,0,2920 106,3,2024-09-07 09:47:00:694,1,572,7,0,1224,8290,572,0 107,0,2024-09-07 09:47:01:105,144047,1.0,144145,0.9,288368,1.2,384280,2.25 107,1,2024-09-07 09:47:00:613,1000871,1000871,0,0,469840251298,4961377869,985426,13687,1758,381,392234,0 107,2,2024-09-07 09:47:01:297,718416,718415,1,0,35520999,0,5024 107,3,2024-09-07 09:47:01:773,1,572,6,0,733,8865,572,0 108,0,2024-09-07 09:47:01:789,145508,0.4,146005,0.6,290978,0.4,387741,1.75 108,1,2024-09-07 09:47:01:331,1004308,1004308,0,0,472533215002,4929545935,995806,7523,979,367,391894,0 108,2,2024-09-07 09:47:01:759,721669,721669,0,0,31350782,0,4246 108,3,2024-09-07 09:47:01:330,1,572,1,0,749,10691,572,0 109,0,2024-09-07 09:47:01:793,143752,0.4,142440,0.6,286022,0.3,381719,1.75 109,1,2024-09-07 09:47:00:607,1001703,1001703,0,0,470937700851,4940029718,992858,7623,1222,382,392132,0 109,2,2024-09-07 09:47:00:936,724865,724865,0,0,32337114,0,3617 109,3,2024-09-07 09:47:01:147,1,572,6,0,630,7158,572,0 110,0,2024-09-07 09:47:01:784,139763,0.4,135830,0.6,284478,0.3,373621,1.75 110,1,2024-09-07 09:47:01:659,1006268,1006268,0,0,472652006572,4908289433,998365,5979,1924,368,392045,0 110,2,2024-09-07 09:47:01:305,725821,725821,0,0,29936058,0,4067 110,3,2024-09-07 09:47:00:705,1,572,9,0,722,7881,572,0 111,0,2024-09-07 09:47:01:422,145793,0.4,144933,0.5,289974,0.3,386403,1.75 111,1,2024-09-07 09:47:01:008,1007844,1007844,0,0,474027339399,4914559211,1002958,4523,363,380,391690,0 111,2,2024-09-07 09:47:01:118,720234,720234,0,0,30013100,0,4823 111,3,2024-09-07 09:47:00:920,1,572,31,0,379,6445,572,0 112,0,2024-09-07 09:47:00:923,146800,0.3,146217,0.4,293140,0.2,389169,1.50 112,1,2024-09-07 09:47:00:833,1005944,1005944,0,0,472783000389,4911993602,998754,5965,1225,380,391624,0 112,2,2024-09-07 09:47:01:139,721621,721620,1,0,28740305,0,5036 112,3,2024-09-07 09:47:00:605,1,572,1,0,282,5364,572,0 113,0,2024-09-07 09:47:00:877,143989,0.3,143948,0.5,288159,0.2,383411,1.75 113,1,2024-09-07 09:47:01:691,1008296,1008296,0,0,474558173027,4897992287,1002220,5130,946,365,391664,0 113,2,2024-09-07 09:47:01:305,729855,729855,0,0,27231814,0,3813 113,3,2024-09-07 09:47:00:698,1,572,7,0,510,6256,572,0 114,0,2024-09-07 09:47:00:882,139539,0.3,140470,0.5,279396,0.2,373735,1.75 114,1,2024-09-07 09:47:00:732,1006338,1006338,0,0,472369295939,4906708387,998580,6013,1745,381,391565,0 114,2,2024-09-07 09:47:00:878,727119,727118,1,0,28744838,0,5069 114,3,2024-09-07 09:47:01:283,1,572,11,0,395,4548,572,0 115,0,2024-09-07 09:47:00:554,145095,0.3,145443,0.4,289749,0.2,385759,1.50 115,1,2024-09-07 09:47:00:576,1006649,1006649,0,0,472824080390,4914112475,998492,6640,1517,382,391757,0 115,2,2024-09-07 09:47:01:140,722169,722169,0,0,28304609,0,4382 115,3,2024-09-07 09:47:01:003,1,572,1,0,167,3319,572,0 116,0,2024-09-07 09:47:01:710,144488,0.7,144233,0.8,289077,0.6,386782,2.00 116,1,2024-09-07 09:47:00:810,1001867,1001867,0,0,470597156380,4963773123,989344,9434,3089,380,392089,0 116,2,2024-09-07 09:47:01:760,721256,721256,0,0,35763962,0,4475 116,3,2024-09-07 09:47:00:924,1,572,4,0,448,7492,572,0 117,0,2024-09-07 09:47:00:974,145323,0.7,144685,0.8,289850,0.8,387375,2.00 117,1,2024-09-07 09:47:01:582,1002922,1002922,0,0,470218645915,4925619578,991599,9804,1519,369,392033,0 117,2,2024-09-07 09:47:01:120,726187,726187,0,0,32389361,0,4303 117,3,2024-09-07 09:47:01:065,1,572,1,0,490,7546,572,0 118,0,2024-09-07 09:47:01:766,135322,0.6,138951,0.7,283480,0.5,370921,2.00 118,1,2024-09-07 09:47:00:587,1001371,1001371,0,0,470592605346,4951564121,985784,11968,3619,366,392054,0 118,2,2024-09-07 09:47:01:589,724271,724271,0,0,34440561,0,2842 118,3,2024-09-07 09:47:01:776,1,572,8,0,289,6647,572,0 119,0,2024-09-07 09:47:01:355,143287,0.6,143839,0.8,287125,0.6,382644,2.00 119,1,2024-09-07 09:47:00:565,1002428,1002428,0,0,471492930073,4947360941,988084,11844,2500,367,391857,0 119,2,2024-09-07 09:47:01:289,723078,723078,0,0,32311764,0,4309 119,3,2024-09-07 09:47:01:332,1,572,8,0,1358,10834,572,0 120,0,2024-09-07 09:47:01:562,143244,0.7,143044,0.8,287392,0.7,383083,2.25 120,1,2024-09-07 09:47:00:862,1004214,1004214,0,0,470353488988,4931050642,993907,9343,964,367,392144,0 120,2,2024-09-07 09:47:00:772,720203,720202,1,0,37193151,0,5281 120,3,2024-09-07 09:47:01:314,1,572,33,0,279,7177,572,0 121,0,2024-09-07 09:47:01:711,145730,1.1,145171,1.0,290766,1.5,387338,2.25 121,1,2024-09-07 09:47:01:672,1004009,1004009,0,0,471238162681,4927902644,993902,9254,853,366,391840,0 121,2,2024-09-07 09:47:01:129,721776,721776,0,0,34637196,0,4157 121,3,2024-09-07 09:47:00:734,1,572,282,0,387,7411,572,0 122,0,2024-09-07 09:47:01:796,141120,0.8,137343,0.9,287801,0.9,377901,2.00 122,1,2024-09-07 09:47:00:874,1002618,1002618,0,0,470774656574,4941048371,988707,11579,2332,366,392130,0 122,2,2024-09-07 09:47:01:323,726162,726087,75,0,38715731,0,5989 122,3,2024-09-07 09:47:00:608,1,572,8,0,512,9503,572,0 123,0,2024-09-07 09:47:01:033,140453,0.7,136607,0.8,286143,0.8,374879,2.25 123,1,2024-09-07 09:47:00:579,1002691,1002691,0,0,470765512795,4952589523,986450,13584,2657,369,392039,0 123,2,2024-09-07 09:47:01:027,722704,722703,1,0,33088920,0,5215 123,3,2024-09-07 09:47:01:133,1,572,9,0,478,6566,572,0 124,0,2024-09-07 09:47:00:933,148260,0.3,148186,0.5,279703,0.3,384841,1.75 124,1,2024-09-07 09:47:01:030,1006392,1006392,0,0,472652121160,4913808455,998902,6320,1170,365,392178,0 124,2,2024-09-07 09:47:01:013,722118,722065,53,0,29620027,0,6487 124,3,2024-09-07 09:47:00:762,1,572,0,0,490,5768,572,0 125,0,2024-09-07 09:47:01:446,145602,0.4,145639,0.5,292244,0.3,388972,1.75 125,1,2024-09-07 09:47:00:855,1003616,1003616,0,0,471461231682,4921914901,995806,6680,1130,382,391813,0 125,2,2024-09-07 09:47:01:119,724138,724138,0,0,29576024,0,4534 125,3,2024-09-07 09:47:01:130,1,572,26,0,709,6628,572,0 126,0,2024-09-07 09:47:01:427,144143,0.4,148254,0.6,283477,0.4,384166,1.75 126,1,2024-09-07 09:47:00:557,1007062,1007062,0,0,472750924520,4894892324,1001733,4884,445,365,391987,0 126,2,2024-09-07 09:47:00:643,728182,728182,0,0,31153741,0,4539 126,3,2024-09-07 09:47:00:928,1,572,8,0,268,6307,572,0 127,0,2024-09-07 09:47:01:594,139146,0.3,139363,0.5,278258,0.3,370038,1.75 127,1,2024-09-07 09:47:00:577,1005231,1005231,0,0,471544147361,4907627068,995020,8588,1623,364,392187,0 127,2,2024-09-07 09:47:00:641,725541,725537,4,0,29286238,0,5305 127,3,2024-09-07 09:47:01:277,1,572,1,0,968,5624,572,0 128,0,2024-09-07 09:47:01:558,145782,0.3,145847,0.4,291390,0.2,388151,1.50 128,1,2024-09-07 09:47:01:617,1005375,1005375,0,0,471757843021,4908057204,997252,7171,952,367,392423,0 128,2,2024-09-07 09:47:01:382,721458,721458,0,0,27164283,0,3171 128,3,2024-09-07 09:47:00:770,1,572,151,0,1082,9246,572,0 129,0,2024-09-07 09:47:01:024,146878,0.3,146136,0.5,292928,0.3,389426,1.50 129,1,2024-09-07 09:47:00:593,1001732,1001732,0,0,470854707200,4936633290,991459,8253,2020,379,391962,0 129,2,2024-09-07 09:47:00:687,723337,723333,4,0,29628180,0,5335 129,3,2024-09-07 09:47:00:695,1,572,8,0,506,8084,572,0 130,0,2024-09-07 09:47:01:716,145275,0.4,144686,0.6,290033,0.4,386089,1.75 130,1,2024-09-07 09:47:00:585,1006335,1006335,0,0,472095273183,4905272052,1001031,4911,393,381,391825,0 130,2,2024-09-07 09:47:01:137,727290,727290,0,0,29943159,0,4067 130,3,2024-09-07 09:47:01:299,1,572,9,0,960,7800,572,0 131,0,2024-09-07 09:47:01:936,138998,0.4,139466,0.5,279503,0.3,371071,1.75 131,1,2024-09-07 09:47:01:824,1005202,1005202,0,0,471782617981,4919354135,998176,5832,1194,381,391865,0 131,2,2024-09-07 09:47:00:574,726346,726346,0,0,27947340,0,3979 131,3,2024-09-07 09:47:01:694,1,572,0,0,392,7366,572,0 132,0,2024-09-07 09:47:01:410,144399,0.5,145482,0.6,289673,0.4,385575,2.00 132,1,2024-09-07 09:47:00:586,1001427,1001427,0,0,470398664735,4952792539,986999,11787,2641,381,392532,0 132,2,2024-09-07 09:47:00:702,721064,721047,17,0,35954473,0,6451 132,3,2024-09-07 09:47:01:693,1,572,4,0,804,9344,572,0 133,0,2024-09-07 09:47:01:530,140830,0.4,144011,0.6,295356,0.4,384413,2.00 133,1,2024-09-07 09:47:00:589,1001224,1001224,0,0,470033863886,4955655212,987247,12216,1761,383,391914,0 133,2,2024-09-07 09:47:01:088,722449,722399,50,0,36784684,0,6861 133,3,2024-09-07 09:47:01:315,1,572,1,0,528,6991,572,0 134,0,2024-09-07 09:47:00:965,145833,0.5,145893,0.7,292065,0.5,388305,2.00 134,1,2024-09-07 09:47:00:585,1002791,1002791,0,0,470649681844,4936929560,989872,10656,2263,366,391781,0 134,2,2024-09-07 09:47:01:755,723359,723335,24,0,34334666,0,6207 134,3,2024-09-07 09:47:00:761,1,572,10,0,739,7023,572,0 135,0,2024-09-07 09:47:01:109,135563,0.9,135596,0.9,287848,1.0,370770,2.25 135,1,2024-09-07 09:47:01:595,1002234,1002234,0,0,470698076097,4951524261,989347,11248,1639,380,391805,0 135,2,2024-09-07 09:47:00:687,725830,725830,0,0,35068204,0,4503 135,3,2024-09-07 09:47:01:003,1,572,1,0,900,5816,572,0 136,0,2024-09-07 09:47:01:659,142682,0.6,143116,0.7,284701,0.5,380181,2.00 136,1,2024-09-07 09:47:01:443,1003689,1003689,0,0,471471786728,4941431947,992480,9841,1368,381,392135,0 136,2,2024-09-07 09:47:01:137,725086,725071,15,0,34520497,0,6007 136,3,2024-09-07 09:47:01:110,1,572,7,0,637,6770,572,0 137,0,2024-09-07 09:47:00:919,148928,0.6,145193,0.7,284348,0.6,386024,2.00 137,1,2024-09-07 09:47:00:601,1002436,1002436,0,0,471326135946,4942659132,987066,12296,3074,366,391898,0 137,2,2024-09-07 09:47:01:712,719325,719325,0,0,35480953,0,3185 137,3,2024-09-07 09:47:00:775,1,572,70,0,484,7480,572,0 138,0,2024-09-07 09:47:01:756,144944,0.7,145164,0.9,290915,0.8,386164,2.00 138,1,2024-09-07 09:47:01:689,1002921,1002921,0,0,471488868670,4945952099,988788,11883,2250,368,391954,0 138,2,2024-09-07 09:47:00:600,721140,721140,0,0,33041656,0,4988 138,3,2024-09-07 09:47:00:638,1,572,33,0,1200,9141,572,0 139,0,2024-09-07 09:47:01:368,141916,1.1,142477,1.0,284891,1.6,379953,2.25 139,1,2024-09-07 09:47:00:588,998600,998600,0,0,468137526078,4964420190,981072,13838,3690,380,392109,0 139,2,2024-09-07 09:47:00:707,722000,722000,0,0,37793658,0,3379 139,3,2024-09-07 09:47:01:672,1,572,97,0,432,6819,572,0 140,0,2024-09-07 09:47:01:591,140286,0.3,139525,0.5,280047,0.2,373837,1.75 140,1,2024-09-07 09:47:01:537,1009004,1009004,0,0,473848592258,4885537568,1004059,4399,546,364,391606,0 140,2,2024-09-07 09:47:00:694,726447,726446,1,0,27989469,0,5036 140,3,2024-09-07 09:47:00:773,1,572,1,0,575,5773,572,0 141,0,2024-09-07 09:47:01:705,145330,0.3,149335,0.5,285430,0.3,386173,1.75 141,1,2024-09-07 09:47:00:871,1006598,1006598,0,0,472689044015,4911196463,998635,6784,1179,379,391614,0 141,2,2024-09-07 09:47:01:689,720735,720724,11,0,29643279,0,5369 141,3,2024-09-07 09:47:01:053,1,572,0,0,391,6390,572,0 142,0,2024-09-07 09:47:01:306,146864,0.3,145940,0.5,292314,0.2,390038,1.50 142,1,2024-09-07 09:47:00:592,1005127,1005127,0,0,471934662030,4918979425,998808,5815,504,382,392102,0 142,2,2024-09-07 09:47:01:303,720860,720828,32,0,29921018,0,6028 142,3,2024-09-07 09:47:01:759,1,572,25,0,484,6087,572,0 143,0,2024-09-07 09:47:01:368,143699,0.4,143877,0.5,288553,0.4,383378,1.75 143,1,2024-09-07 09:47:00:578,1007740,1007740,0,0,472296314058,4900733692,1001364,5811,565,367,391722,0 143,2,2024-09-07 09:47:00:776,728203,728203,0,0,30146862,0,3123 143,3,2024-09-07 09:47:01:147,1,572,24,0,462,7128,572,0 144,0,2024-09-07 09:47:01:509,135030,0.6,138906,0.8,282605,0.6,370330,2.00 144,1,2024-09-07 09:47:00:586,1001986,1001986,0,0,470671156319,4939640234,991763,8236,1987,381,391733,0 144,2,2024-09-07 09:47:01:756,726686,726686,0,0,29528693,0,4443 144,3,2024-09-07 09:47:01:755,1,572,22,0,249,5598,572,0 145,0,2024-09-07 09:47:01:375,139930,0.5,139925,0.7,297045,0.5,382432,2.25 145,1,2024-09-07 09:47:00:573,1001530,1001530,0,0,469811673108,4947458096,987609,11250,2671,382,391759,0 145,2,2024-09-07 09:47:01:429,718840,718758,82,0,34843380,0,7814 145,3,2024-09-07 09:47:00:900,1,572,11,0,622,7804,572,0 146,0,2024-09-07 09:47:01:595,144648,0.6,144200,0.7,289477,0.5,384972,2.00 146,1,2024-09-07 09:47:01:589,1002516,1002516,0,0,470463089286,4952123388,985402,12635,4479,367,391770,0 146,2,2024-09-07 09:47:01:712,720668,720662,6,0,33199252,0,5151 146,3,2024-09-07 09:47:01:285,1,572,8,0,1520,9470,572,0 147,0,2024-09-07 09:47:01:732,145219,0.6,144911,0.7,289488,0.6,386525,2.25 147,1,2024-09-07 09:47:01:377,1006573,1006573,0,0,472692277420,4912566715,998474,7153,946,367,391791,0 147,2,2024-09-07 09:47:01:011,724567,724567,0,0,29303255,0,2968 147,3,2024-09-07 09:47:00:919,1,572,14,0,1626,9034,572,0 0,0,2024-09-07 09:47:11:710,140211,0.6,140192,0.7,297445,0.6,384269,2.00 0,1,2024-09-07 09:47:10:816,1004810,1004810,0,0,472171316113,4944400385,996550,7332,928,369,391896,0 0,2,2024-09-07 09:47:11:069,724256,724256,0,0,29609273,0,4480 0,3,2024-09-07 09:47:10:995,1,573,28,0,431,8253,573,0 1,0,2024-09-07 09:47:11:788,145590,0.9,144587,0.9,290862,1.1,388053,2.00 1,1,2024-09-07 09:47:10:562,1003670,1003670,0,0,471745017503,4943366837,994293,7888,1489,370,391859,0 1,2,2024-09-07 09:47:10:652,724241,724241,0,0,29086177,0,3380 1,3,2024-09-07 09:47:11:315,1,573,12,0,269,7053,573,0 2,0,2024-09-07 09:47:11:582,141519,0.6,141317,0.7,282585,0.6,376749,2.00 2,1,2024-09-07 09:47:10:865,1007303,1007303,0,0,473828116521,4927623428,1001230,5124,949,379,391745,0 2,2,2024-09-07 09:47:11:274,726781,726781,0,0,28185151,0,3594 2,3,2024-09-07 09:47:10:691,1,573,12,0,357,5144,573,0 3,0,2024-09-07 09:47:11:756,141352,0.4,141161,0.6,281747,0.3,376376,2.00 3,1,2024-09-07 09:47:11:633,1005799,1005799,0,0,471923439945,4910646292,998227,6869,703,379,391716,0 3,2,2024-09-07 09:47:11:167,726875,726852,23,0,29207294,0,5851 3,3,2024-09-07 09:47:11:757,1,573,1,0,275,4035,573,0 4,0,2024-09-07 09:47:11:771,140236,0.4,144168,0.5,293876,0.3,384423,1.75 4,1,2024-09-07 09:47:10:627,1002286,1002286,0,0,469613006406,4975482719,984558,13977,3751,370,391992,0 4,2,2024-09-07 09:47:11:031,718763,718763,0,0,35307349,0,4534 4,3,2024-09-07 09:47:11:050,1,573,12,0,448,7148,573,0 5,0,2024-09-07 09:47:11:483,146099,0.4,146127,0.5,292490,0.3,388630,1.75 5,1,2024-09-07 09:47:10:794,1003329,1003329,0,0,471703662630,4969648486,988635,11874,2820,367,392005,0 5,2,2024-09-07 09:47:11:837,720363,720363,0,0,34222844,0,3582 5,3,2024-09-07 09:47:11:744,1,573,16,0,457,7664,573,0 6,0,2024-09-07 09:47:10:922,144416,0.5,143927,0.7,288127,0.4,383864,2.00 6,1,2024-09-07 09:47:10:759,1005173,1005173,0,0,471725614559,4929790301,994251,9349,1573,379,391702,0 6,2,2024-09-07 09:47:11:132,728043,728025,18,0,33607866,0,5535 6,3,2024-09-07 09:47:11:273,1,573,14,0,710,7109,573,0 7,0,2024-09-07 09:47:11:579,138533,0.5,139408,0.7,277387,0.5,370172,2.00 7,1,2024-09-07 09:47:10:861,1003922,1003922,0,0,471617587019,4957276492,989007,12444,2471,382,391747,0 7,2,2024-09-07 09:47:10:770,726111,726111,0,0,32412945,0,4791 7,3,2024-09-07 09:47:10:859,1,573,15,0,552,6480,573,0 8,0,2024-09-07 09:47:11:528,145882,0.4,145624,0.5,291550,0.3,388611,1.75 8,1,2024-09-07 09:47:11:027,1002632,1002632,0,0,471117252978,4955655490,986586,12506,3540,366,392853,0 8,2,2024-09-07 09:47:10:795,716291,716289,2,0,37617686,0,5112 8,3,2024-09-07 09:47:10:590,1,573,61,0,772,9199,573,0 9,0,2024-09-07 09:47:11:229,145826,0.4,141935,0.5,297072,0.3,389640,1.75 9,1,2024-09-07 09:47:10:558,1002137,1002137,0,0,470482188914,4958647173,986724,12423,2990,369,392001,0 9,2,2024-09-07 09:47:11:142,721846,721845,1,0,34454195,0,5281 9,3,2024-09-07 09:47:11:752,1,573,1,0,1273,9804,573,0 10,0,2024-09-07 09:47:11:625,144448,0.4,143971,0.5,288895,0.3,384828,1.75 10,1,2024-09-07 09:47:10:588,1004417,1004417,0,0,472008920027,4947003067,990624,11360,2433,381,391869,0 10,2,2024-09-07 09:47:10:768,727436,727436,0,0,37567317,0,4264 10,3,2024-09-07 09:47:10:875,1,573,8,0,669,6660,573,0 11,0,2024-09-07 09:47:11:038,139105,0.4,134918,0.6,282236,0.4,372153,1.75 11,1,2024-09-07 09:47:10:570,1005666,1005666,0,0,472015965196,4949532052,991056,10834,3776,383,391766,0 11,2,2024-09-07 09:47:11:124,725683,725683,0,0,33830482,0,4130 11,3,2024-09-07 09:47:11:315,1,573,1,0,843,7442,573,0 12,0,2024-09-07 09:47:11:079,145619,0.4,145626,0.5,290880,0.3,386962,1.75 12,1,2024-09-07 09:47:11:024,1005545,1005545,0,0,471354728918,4918128296,997260,7283,1002,370,391960,0 12,2,2024-09-07 09:47:11:555,720749,720749,0,0,32478865,0,4390 12,3,2024-09-07 09:47:11:078,1,573,11,0,386,7337,573,0 13,0,2024-09-07 09:47:11:483,145475,0.4,145433,0.5,290109,0.4,386803,1.75 13,1,2024-09-07 09:47:11:530,1003042,1003042,0,0,470485973240,4945637977,992733,8002,2307,382,391768,0 13,2,2024-09-07 09:47:10:599,724628,724628,0,0,29025296,0,3287 13,3,2024-09-07 09:47:11:762,1,573,1,0,522,7739,573,0 14,0,2024-09-07 09:47:10:563,145706,0.4,146743,0.6,291130,0.3,387743,1.75 14,1,2024-09-07 09:47:11:560,1010189,1010189,0,0,474388097192,4908880953,1002340,6877,972,364,391673,0 14,2,2024-09-07 09:47:10:768,726245,726215,30,0,30612355,0,6104 14,3,2024-09-07 09:47:11:116,1,573,8,0,1168,6421,573,0 15,0,2024-09-07 09:47:11:590,139942,0.4,139201,0.6,279437,0.4,372584,2.00 15,1,2024-09-07 09:47:11:609,1007132,1007132,0,0,472794367133,4925902623,998099,7120,1913,381,391619,0 15,2,2024-09-07 09:47:11:007,728689,728689,0,0,26663212,0,3622 15,3,2024-09-07 09:47:11:406,1,573,2,0,1126,7669,573,0 16,0,2024-09-07 09:47:10:991,142507,0.6,143145,0.7,285698,0.6,380983,2.00 16,1,2024-09-07 09:47:10:568,1006926,1006926,0,0,471951477186,4936127719,997687,7799,1440,370,392194,0 16,2,2024-09-07 09:47:11:442,723988,723988,0,0,30422270,0,4719 16,3,2024-09-07 09:47:11:166,1,573,64,0,358,7424,573,0 17,0,2024-09-07 09:47:11:770,148824,0.6,145143,0.8,284334,0.6,386148,2.00 17,1,2024-09-07 09:47:10:579,1005054,1005054,0,0,471514939696,4948705182,994881,8406,1767,368,392075,0 17,2,2024-09-07 09:47:11:673,724875,724874,1,0,31431036,0,5050 17,3,2024-09-07 09:47:10:574,1,573,1,0,518,8642,573,0 18,0,2024-09-07 09:47:10:941,144295,0.7,145234,0.8,289235,0.7,386122,2.25 18,1,2024-09-07 09:47:11:638,1009132,1009132,0,0,472694737857,4904632535,1002093,5782,1257,367,391725,0 18,2,2024-09-07 09:47:11:758,725967,725967,0,0,27952353,0,3541 18,3,2024-09-07 09:47:10:913,1,573,7,0,1059,5393,573,0 19,0,2024-09-07 09:47:11:541,142688,0.6,142896,0.8,284678,0.6,378346,2.00 19,1,2024-09-07 09:47:10:566,1008910,1008910,0,0,474238362470,4915700836,1001587,6234,1089,367,391777,0 19,2,2024-09-07 09:47:11:758,730498,730498,0,0,26532802,0,3988 19,3,2024-09-07 09:47:11:166,1,573,1,0,524,4392,573,0 20,0,2024-09-07 09:47:11:412,140059,0.6,140124,0.7,279981,0.6,373501,2.00 20,1,2024-09-07 09:47:10:569,1004553,1004553,0,0,472406112701,4951692157,994047,9001,1505,369,391922,0 20,2,2024-09-07 09:47:10:946,725809,725809,0,0,33115199,0,4321 20,3,2024-09-07 09:47:10:593,1,573,5,0,468,9359,573,0 21,0,2024-09-07 09:47:11:271,145085,0.5,145171,0.6,290361,0.4,385463,2.00 21,1,2024-09-07 09:47:11:548,1002679,1002679,0,0,470578490330,4971450472,986221,12669,3789,368,392016,0 21,2,2024-09-07 09:47:11:090,718156,718136,20,0,37120294,0,5617 21,3,2024-09-07 09:47:11:408,1,573,19,0,713,8093,573,0 22,0,2024-09-07 09:47:11:718,146147,0.5,146777,0.7,292700,0.4,388609,2.00 22,1,2024-09-07 09:47:11:033,1003733,1003733,0,0,471341300841,4964634102,986179,14053,3501,382,391822,0 22,2,2024-09-07 09:47:10:760,721362,721336,26,0,31329126,0,6328 22,3,2024-09-07 09:47:11:084,1,573,12,0,228,4865,573,0 23,0,2024-09-07 09:47:11:371,143748,0.5,143460,0.7,286692,0.5,382621,2.25 23,1,2024-09-07 09:47:11:023,1005111,1005111,0,0,472757544334,4963611499,987637,12588,4886,365,391690,0 23,2,2024-09-07 09:47:11:096,729068,729068,0,0,30388645,0,3773 23,3,2024-09-07 09:47:11:764,1,573,2,0,855,8725,573,0 24,0,2024-09-07 09:47:10:873,140462,0.4,139691,0.6,281086,0.4,373343,1.75 24,1,2024-09-07 09:47:10:617,1004342,1004342,0,0,470356084235,4923637947,994934,7725,1683,367,392269,0 24,2,2024-09-07 09:47:11:070,726244,726244,0,0,36315773,0,4438 24,3,2024-09-07 09:47:11:694,1,573,9,0,468,7284,573,0 25,0,2024-09-07 09:47:11:372,148925,0.4,144988,0.6,284649,0.4,387693,1.75 25,1,2024-09-07 09:47:10:564,1002904,1002904,0,0,471252761991,4977300574,985521,13810,3573,369,391928,0 25,2,2024-09-07 09:47:11:608,719095,719095,0,0,36581701,0,3978 25,3,2024-09-07 09:47:11:044,1,573,0,0,532,6375,573,0 26,0,2024-09-07 09:47:11:736,144375,0.4,141217,0.6,296535,0.4,386201,2.00 26,1,2024-09-07 09:47:11:544,1006740,1006740,0,0,471184486075,4932252033,993298,10982,2460,380,391748,0 26,2,2024-09-07 09:47:10:864,722334,722334,0,0,39286152,0,4689 26,3,2024-09-07 09:47:11:715,1,573,10,0,796,6601,573,0 27,0,2024-09-07 09:47:11:750,145232,0.4,145952,0.6,290097,0.4,386762,2.25 27,1,2024-09-07 09:47:11:678,1006843,1006843,0,0,473796216752,4935701542,997425,8122,1296,381,391626,0 27,2,2024-09-07 09:47:10:871,723447,723382,65,0,34427582,0,5699 27,3,2024-09-07 09:47:11:025,1,573,1,0,564,5098,573,0 28,0,2024-09-07 09:47:11:407,140107,0.4,139953,0.6,280283,0.3,373346,1.75 28,1,2024-09-07 09:47:10:800,1007705,1007705,0,0,472782516363,4927844377,999329,6639,1737,382,391698,0 28,2,2024-09-07 09:47:11:764,727363,727363,0,0,29897742,0,2915 28,3,2024-09-07 09:47:11:776,1,573,31,0,502,5578,573,0 29,0,2024-09-07 09:47:11:360,148104,0.4,144140,0.6,283014,0.3,385870,1.75 29,1,2024-09-07 09:47:11:563,1010755,1010755,0,0,473862452532,4900758321,1004669,5312,774,367,391809,0 29,2,2024-09-07 09:47:10:860,723138,723138,0,0,28738978,0,4986 29,3,2024-09-07 09:47:10:975,1,573,1,0,459,6439,573,0 30,0,2024-09-07 09:47:11:457,143390,0.5,139680,0.7,292615,0.4,382078,2.00 30,1,2024-09-07 09:47:10:572,1009569,1009569,0,0,474663180708,4924586709,1002335,6327,907,380,391672,0 30,2,2024-09-07 09:47:11:278,723685,723685,0,0,27554016,0,4192 30,3,2024-09-07 09:47:10:581,1,573,8,0,519,5437,573,0 31,0,2024-09-07 09:47:11:763,145392,0.4,146088,0.6,291257,0.4,388750,2.00 31,1,2024-09-07 09:47:10:564,1012789,1012789,0,0,475902667755,4885863298,1006906,4854,1029,356,391712,0 31,2,2024-09-07 09:47:11:278,723812,723812,0,0,31029717,0,4470 31,3,2024-09-07 09:47:11:706,1,573,79,0,239,4972,573,0 32,0,2024-09-07 09:47:11:464,141955,0.3,142803,0.5,284160,0.3,378213,1.75 32,1,2024-09-07 09:47:11:030,1009031,1009031,0,0,472717966612,4914363644,1002868,5449,714,381,391646,0 32,2,2024-09-07 09:47:10:938,728680,728680,0,0,27523534,0,3922 32,3,2024-09-07 09:47:11:022,1,573,2,0,304,4492,573,0 33,0,2024-09-07 09:47:11:569,141805,0.3,141450,0.4,283153,0.2,377402,1.50 33,1,2024-09-07 09:47:10:578,1008886,1008886,0,0,474810969249,4920556239,1000518,7097,1271,368,391730,0 33,2,2024-09-07 09:47:10:758,726741,726706,35,0,30529568,0,7012 33,3,2024-09-07 09:47:10:913,1,573,1,0,329,5046,573,0 34,0,2024-09-07 09:47:10:941,144743,0.3,148690,0.5,284301,0.2,383621,1.75 34,1,2024-09-07 09:47:11:051,1011329,1011329,0,0,474746227787,4885059048,1008386,2834,109,367,391637,0 34,2,2024-09-07 09:47:10:770,723237,723237,0,0,28390068,0,4562 34,3,2024-09-07 09:47:11:688,1,573,12,0,541,5341,573,0 35,0,2024-09-07 09:47:10:880,145191,0.3,146050,0.5,293026,0.2,389126,1.75 35,1,2024-09-07 09:47:11:071,1007442,1007442,0,0,473319176877,4914765542,999367,6552,1523,382,391769,0 35,2,2024-09-07 09:47:11:583,723097,723097,0,0,31407174,0,4055 35,3,2024-09-07 09:47:10:914,1,573,4,0,466,5519,573,0 36,0,2024-09-07 09:47:11:521,144678,0.5,144535,0.7,288689,0.5,384691,2.00 36,1,2024-09-07 09:47:10:588,1005787,1005787,0,0,472159614755,4934138219,992418,11135,2234,366,391759,0 36,2,2024-09-07 09:47:11:755,728414,728414,0,0,33140547,0,3875 36,3,2024-09-07 09:47:10:863,1,573,3,0,556,8113,573,0 37,0,2024-09-07 09:47:11:370,138571,0.6,138510,0.7,277555,0.5,370424,2.25 37,1,2024-09-07 09:47:10:576,1005709,1005702,0,7,472414863132,4935118441,993450,9354,2898,365,391770,0 37,2,2024-09-07 09:47:11:174,724137,724122,15,0,33454999,0,5815 37,3,2024-09-07 09:47:11:766,1,573,1,0,888,7676,573,0 38,0,2024-09-07 09:47:11:438,144705,0.5,140261,0.7,293490,0.4,384533,2.00 38,1,2024-09-07 09:47:11:608,1006059,1006059,0,0,472601380304,4948031921,990791,12270,2998,368,391821,0 38,2,2024-09-07 09:47:10:761,720623,720576,47,0,33242774,0,6710 38,3,2024-09-07 09:47:11:029,1,573,7,0,689,7158,573,0 39,0,2024-09-07 09:47:11:759,149162,0.5,145818,0.7,284391,0.5,388481,2.00 39,1,2024-09-07 09:47:10:720,1005448,1005448,0,0,472373835988,4955478288,988438,13312,3698,365,391865,0 39,2,2024-09-07 09:47:11:420,722850,722850,0,0,30986871,0,3391 39,3,2024-09-07 09:47:10:716,1,573,11,0,525,6599,573,0 40,0,2024-09-07 09:47:11:493,143231,0.9,143839,1.0,287014,1.0,382882,2.75 40,1,2024-09-07 09:47:10:576,1006630,1006630,0,0,471765853775,4941959333,992121,11727,2782,368,391668,0 40,2,2024-09-07 09:47:11:313,725782,725781,1,0,36617930,0,5137 40,3,2024-09-07 09:47:11:159,1,573,43,0,1028,8332,573,0 41,0,2024-09-07 09:47:11:038,138465,1.2,141695,1.1,270088,1.6,367077,3.00 41,1,2024-09-07 09:47:10:770,1005542,1005542,0,0,471516853733,4934238986,992510,10896,2136,369,391878,0 41,2,2024-09-07 09:47:10:761,723421,723420,1,0,35332485,0,5408 41,3,2024-09-07 09:47:11:678,1,573,16,0,366,6082,573,0 42,0,2024-09-07 09:47:11:475,144025,0.9,143718,1.0,287522,1.0,381867,2.50 42,1,2024-09-07 09:47:11:447,1003096,1003096,0,0,470527891994,4945334453,987389,12779,2928,380,391675,0 42,2,2024-09-07 09:47:11:143,720052,720052,0,0,35167235,0,3975 42,3,2024-09-07 09:47:11:014,1,573,8,0,892,5487,573,0 43,0,2024-09-07 09:47:10:927,143087,0.7,139281,0.9,291910,0.7,381730,2.25 43,1,2024-09-07 09:47:10:576,1006456,1006456,0,0,472669128154,4938665811,992680,11376,2400,366,391696,0 43,2,2024-09-07 09:47:11:742,723272,723272,0,0,33790765,0,4723 43,3,2024-09-07 09:47:11:757,1,573,7,0,571,8482,573,0 44,0,2024-09-07 09:47:10:872,145945,0.4,145852,0.6,292176,0.4,388253,1.75 44,1,2024-09-07 09:47:10:563,1008807,1008807,0,0,473471110641,4895411892,999682,7186,1939,356,391809,0 44,2,2024-09-07 09:47:11:275,723635,723635,0,0,27551215,0,4344 44,3,2024-09-07 09:47:11:110,1,573,9,0,1097,7252,573,0 45,0,2024-09-07 09:47:11:768,138474,0.5,135202,0.7,283813,0.4,372622,2.00 45,1,2024-09-07 09:47:11:038,1007635,1007635,0,0,473392235786,4919593938,999566,7166,903,382,391917,0 45,2,2024-09-07 09:47:11:274,727560,727560,0,0,28036459,0,3596 45,3,2024-09-07 09:47:10:934,1,573,1,0,531,5659,573,0 46,0,2024-09-07 09:47:10:954,142012,0.5,141639,0.7,284166,0.5,377905,2.00 46,1,2024-09-07 09:47:10:575,1009835,1009835,0,0,474701509002,4906369506,1002750,6221,864,366,391709,0 46,2,2024-09-07 09:47:10:593,725554,725554,0,0,28718107,0,4443 46,3,2024-09-07 09:47:11:131,1,573,9,0,908,7016,573,0 47,0,2024-09-07 09:47:11:123,144668,0.4,144596,0.6,290292,0.4,384604,2.00 47,1,2024-09-07 09:47:10:571,1010943,1010943,0,0,474101931792,4898162514,1005179,4911,853,365,391641,0 47,2,2024-09-07 09:47:10:917,725877,725877,0,0,27705137,0,4477 47,3,2024-09-07 09:47:11:150,1,573,1,0,600,6521,573,0 48,0,2024-09-07 09:47:11:490,146032,0.3,146209,0.4,291766,0.2,387925,1.50 48,1,2024-09-07 09:47:11:056,1008418,1008418,0,0,472955959087,4913657626,1002346,5494,578,383,391710,0 48,2,2024-09-07 09:47:10:698,724424,724424,0,0,26260642,0,3524 48,3,2024-09-07 09:47:10:767,1,573,11,0,339,4729,573,0 49,0,2024-09-07 09:47:11:727,147045,0.3,144178,0.5,280553,0.3,382529,1.75 49,1,2024-09-07 09:47:11:032,1007183,1007183,0,0,473215765430,4924087820,1000043,5446,1694,382,391809,0 49,2,2024-09-07 09:47:11:803,728981,728981,0,0,28700262,0,4426 49,3,2024-09-07 09:47:11:420,1,573,1,0,992,6974,573,0 50,0,2024-09-07 09:47:11:517,140884,0.3,139098,0.5,280332,0.2,373603,1.75 50,1,2024-09-07 09:47:11:015,1010541,1010541,0,0,474624423087,4907363582,1004141,5731,669,368,391691,0 50,2,2024-09-07 09:47:11:079,726168,726168,0,0,26375925,0,4490 50,3,2024-09-07 09:47:11:315,1,573,8,0,617,5943,573,0 51,0,2024-09-07 09:47:11:683,148902,0.3,145898,0.5,283984,0.2,386974,1.75 51,1,2024-09-07 09:47:11:686,1011251,1011251,0,0,474786514964,4897514346,1005635,4536,1080,365,391706,0 51,2,2024-09-07 09:47:11:324,721912,721912,0,0,25943107,0,3337 51,3,2024-09-07 09:47:11:028,1,573,1,0,678,4055,573,0 52,0,2024-09-07 09:47:11:435,146752,0.5,146406,0.7,293081,0.4,389477,2.00 52,1,2024-09-07 09:47:10:575,1006061,1006061,0,0,472063615123,4944588803,991195,12536,2330,368,391805,0 52,2,2024-09-07 09:47:11:762,719554,719516,38,0,34903218,0,6742 52,3,2024-09-07 09:47:10:689,1,573,6,0,1782,7026,573,0 53,0,2024-09-07 09:47:11:732,143419,0.7,139174,0.8,291082,0.7,381540,2.50 53,1,2024-09-07 09:47:10:786,1004618,1004618,0,0,471974743516,4955511698,987055,13327,4236,367,391968,0 53,2,2024-09-07 09:47:11:321,727844,727843,1,0,32010361,0,5455 53,3,2024-09-07 09:47:10:704,1,573,0,0,308,5549,573,0 54,0,2024-09-07 09:47:11:615,137747,0.6,138175,0.8,275019,0.5,367259,2.25 54,1,2024-09-07 09:47:10:589,1006925,1006925,0,0,472731488860,4918656999,996020,9238,1667,366,391810,0 54,2,2024-09-07 09:47:10:865,726866,726834,32,0,35524023,0,6397 54,3,2024-09-07 09:47:10:767,1,573,8,0,676,7657,573,0 55,0,2024-09-07 09:47:11:761,139895,0.6,144325,0.7,292508,0.5,381101,2.50 55,1,2024-09-07 09:47:10:768,1007640,1007640,0,0,472433719273,4916861224,996879,9372,1389,365,391731,0 55,2,2024-09-07 09:47:10:730,719521,719465,56,0,32854351,0,7239 55,3,2024-09-07 09:47:10:681,1,573,0,0,304,5302,573,0 56,0,2024-09-07 09:47:11:592,147721,1.2,139370,1.1,286960,1.5,384731,2.75 56,1,2024-09-07 09:47:10:571,1001751,1001751,0,0,470625406556,4977598328,984684,13591,3476,381,391867,0 56,2,2024-09-07 09:47:11:312,722179,722057,122,0,34020910,0,7432 56,3,2024-09-07 09:47:11:062,1,573,1,0,705,6759,573,0 57,0,2024-09-07 09:47:10:978,143378,1.5,143329,1.2,286900,2.1,383129,3.25 57,1,2024-09-07 09:47:11:003,1003876,1003876,0,0,471549969761,4950741957,990227,11300,2349,366,392032,0 57,2,2024-09-07 09:47:11:322,725972,725972,0,0,35957805,0,4317 57,3,2024-09-07 09:47:11:746,1,573,50,0,455,6583,573,0 58,0,2024-09-07 09:47:10:560,137699,0.9,133635,1.0,279391,1.1,365961,2.50 58,1,2024-09-07 09:47:10:575,1005615,1005612,0,3,473274726677,4960029753,990568,11352,3692,367,391675,3 58,2,2024-09-07 09:47:11:073,726872,726872,0,0,33998480,0,3483 58,3,2024-09-07 09:47:11:068,1,573,8,0,1043,6508,573,0 59,0,2024-09-07 09:47:11:744,142807,0.8,142517,0.9,284392,0.8,378319,2.75 59,1,2024-09-07 09:47:10:831,1003988,1003988,0,0,471626840023,4955439226,987535,13105,3348,369,391653,0 59,2,2024-09-07 09:47:10:588,722798,722798,0,0,32020434,0,3727 59,3,2024-09-07 09:47:11:742,1,573,0,0,1015,6935,573,0 60,0,2024-09-07 09:47:11:745,144149,0.5,144439,0.7,288654,0.5,384401,1.75 60,1,2024-09-07 09:47:10:776,1009180,1009180,0,0,473258976217,4916406452,1001938,6246,996,370,392031,0 60,2,2024-09-07 09:47:11:149,723508,723508,0,0,30080200,0,3811 60,3,2024-09-07 09:47:11:268,1,573,1,0,409,6592,573,0 61,0,2024-09-07 09:47:11:528,145594,0.6,146140,0.8,290917,0.6,388106,2.00 61,1,2024-09-07 09:47:10:783,1005566,1005566,0,0,471841902924,4940758850,994786,9119,1661,382,392127,0 61,2,2024-09-07 09:47:11:133,724792,724725,67,0,31064157,0,6411 61,3,2024-09-07 09:47:11:688,1,573,1,0,607,7748,573,0 62,0,2024-09-07 09:47:11:710,142231,0.6,145916,0.7,278517,0.6,378098,2.00 62,1,2024-09-07 09:47:11:118,1012099,1012093,0,6,475480931127,4901283799,1007427,4382,284,365,391975,6 62,2,2024-09-07 09:47:11:642,725087,725086,1,0,31180854,0,5555 62,3,2024-09-07 09:47:11:147,1,573,73,0,482,4673,573,0 63,0,2024-09-07 09:47:11:466,141914,0.4,141650,0.6,283938,0.3,377547,1.75 63,1,2024-09-07 09:47:10:816,1008404,1008398,0,6,473233396129,4914236805,1001757,5786,855,381,391800,6 63,2,2024-09-07 09:47:10:770,726281,726281,0,0,29388196,0,4369 63,3,2024-09-07 09:47:11:735,1,573,0,0,667,6107,573,0 64,0,2024-09-07 09:47:11:575,143749,0.5,143810,0.7,286886,0.4,382031,2.00 64,1,2024-09-07 09:47:10:759,1007194,1007194,0,0,473472989427,4933377138,997874,7344,1976,370,391794,0 64,2,2024-09-07 09:47:11:161,726044,726025,19,0,28380469,0,6121 64,3,2024-09-07 09:47:11:165,1,573,5,0,651,6195,573,0 65,0,2024-09-07 09:47:11:680,144998,0.6,145172,0.7,290157,0.6,386595,2.00 65,1,2024-09-07 09:47:10:868,1005783,1005783,0,0,471651310595,4931127689,998384,6526,873,381,391901,0 65,2,2024-09-07 09:47:11:699,722090,722090,0,0,33782958,0,3367 65,3,2024-09-07 09:47:11:687,1,573,1,0,782,6502,573,0 66,0,2024-09-07 09:47:11:764,143676,0.5,143189,0.8,286692,0.5,381766,2.25 66,1,2024-09-07 09:47:11:308,1008101,1008101,0,0,473080081858,4923992662,1001609,5770,722,380,391743,0 66,2,2024-09-07 09:47:11:133,730584,730581,3,0,30592457,0,5455 66,3,2024-09-07 09:47:11:081,1,573,15,0,291,4922,573,0 67,0,2024-09-07 09:47:11:449,139048,0.5,138730,0.7,278471,0.5,370861,2.00 67,1,2024-09-07 09:47:10:768,1007195,1007194,0,1,473291822265,4929104505,999916,6335,943,380,391787,1 67,2,2024-09-07 09:47:10:588,729077,729062,15,0,30433715,0,6205 67,3,2024-09-07 09:47:11:749,1,573,7,0,595,6059,573,0 68,0,2024-09-07 09:47:10:569,145587,0.6,145421,0.8,289711,0.6,387711,2.25 68,1,2024-09-07 09:47:10:576,1003699,1003699,0,0,471178229026,4956614357,990176,10021,3502,381,391953,0 68,2,2024-09-07 09:47:11:049,717333,717233,100,0,37970924,0,8578 68,3,2024-09-07 09:47:10:728,1,573,1,0,417,7585,573,0 69,0,2024-09-07 09:47:11:741,145226,0.7,146047,0.8,291175,0.7,387070,2.25 69,1,2024-09-07 09:47:11:050,1002641,1002641,0,0,471277027242,4969270254,988974,10836,2831,383,391994,0 69,2,2024-09-07 09:47:11:748,720339,720310,29,0,40283618,0,6912 69,3,2024-09-07 09:47:10:759,1,573,1,0,698,8448,573,0 70,0,2024-09-07 09:47:11:536,142911,0.8,143362,1.0,288331,0.7,381820,2.50 70,1,2024-09-07 09:47:11:039,1008935,1008935,0,0,474421170858,4923677975,1001206,6965,764,366,391725,0 70,2,2024-09-07 09:47:11:325,727335,727335,0,0,33848927,0,4323 70,3,2024-09-07 09:47:10:757,1,573,7,0,854,6506,573,0 71,0,2024-09-07 09:47:11:371,137849,1.0,137611,1.0,276396,1.3,369209,2.75 71,1,2024-09-07 09:47:11:596,1006528,1006528,0,0,473086316289,4941419369,993547,11360,1621,368,391738,0 71,2,2024-09-07 09:47:11:070,726115,726115,0,0,34055033,0,4352 71,3,2024-09-07 09:47:11:756,1,573,2,0,644,6643,573,0 72,0,2024-09-07 09:47:11:087,149391,0.5,146051,0.7,285026,0.5,387630,2.00 72,1,2024-09-07 09:47:11:045,1004761,1004761,0,0,471410271158,4944577017,990479,11887,2395,369,391819,0 72,2,2024-09-07 09:47:11:759,720050,720050,0,0,35126739,0,3983 72,3,2024-09-07 09:47:11:758,1,573,2,0,564,8350,573,0 73,0,2024-09-07 09:47:11:107,140924,0.5,144490,0.6,295515,0.4,384432,2.00 73,1,2024-09-07 09:47:10:769,1006976,1006976,0,0,472857806014,4915025723,999239,6808,929,367,391858,0 73,2,2024-09-07 09:47:11:746,722593,722593,0,0,36151296,0,4728 73,3,2024-09-07 09:47:10:998,1,573,3,0,1091,8207,573,0 74,0,2024-09-07 09:47:11:357,146685,0.5,150223,0.7,286496,0.4,388457,2.00 74,1,2024-09-07 09:47:10:642,1005806,1005806,0,0,472407000833,4931268151,994747,9081,1978,381,391762,0 74,2,2024-09-07 09:47:11:038,724208,724208,0,0,31682954,0,4253 74,3,2024-09-07 09:47:11:446,1,573,45,0,522,6906,573,0 75,0,2024-09-07 09:47:11:784,140450,0.5,139407,0.8,280051,0.5,374164,2.25 75,1,2024-09-07 09:47:11:585,1007270,1007270,0,0,472355628895,4923168404,999053,7351,866,380,391739,0 75,2,2024-09-07 09:47:11:356,725362,725362,0,0,38380494,0,4766 75,3,2024-09-07 09:47:11:077,1,573,0,0,702,7037,573,0 76,0,2024-09-07 09:47:10:621,142082,0.5,141555,0.7,283246,0.5,379243,2.25 76,1,2024-09-07 09:47:10:817,1006621,1006621,0,0,472031333950,4922245431,999698,5953,970,382,391790,0 76,2,2024-09-07 09:47:11:061,726778,726775,3,0,31296538,0,5265 76,3,2024-09-07 09:47:11:152,1,573,1,0,227,5167,573,0 77,0,2024-09-07 09:47:11:764,143980,0.5,144526,0.7,288914,0.5,383892,2.00 77,1,2024-09-07 09:47:10:838,1006336,1006336,0,0,472711199316,4932007210,998607,6855,874,381,391869,0 77,2,2024-09-07 09:47:11:292,722327,722327,0,0,30413963,0,3890 77,3,2024-09-07 09:47:11:103,1,573,0,0,401,6381,573,0 78,0,2024-09-07 09:47:11:716,146234,0.4,145667,0.6,291946,0.4,386574,2.00 78,1,2024-09-07 09:47:10:610,1006908,1006908,0,0,472226658695,4924541516,995018,9223,2667,367,391670,0 78,2,2024-09-07 09:47:11:407,725032,725019,13,0,28537438,0,8313 78,3,2024-09-07 09:47:11:134,1,573,8,0,311,4909,573,0 79,0,2024-09-07 09:47:11:347,138245,0.4,141566,0.6,290125,0.3,377239,2.00 79,1,2024-09-07 09:47:10:583,1009985,1009985,0,0,474245922292,4910822258,1002194,6432,1359,367,391682,0 79,2,2024-09-07 09:47:11:068,728201,728201,0,0,27835435,0,4195 79,3,2024-09-07 09:47:10:751,1,573,8,0,418,6864,573,0 80,0,2024-09-07 09:47:11:089,140019,0.6,143747,0.7,275092,0.5,372466,2.00 80,1,2024-09-07 09:47:11:629,1007107,1007107,0,0,473255285322,4927302829,1000649,6023,435,368,392269,0 80,2,2024-09-07 09:47:11:105,728788,728788,0,0,28532323,0,4433 80,3,2024-09-07 09:47:10:575,1,573,2,0,681,7245,573,0 81,0,2024-09-07 09:47:11:550,145104,0.6,148292,0.7,283354,0.5,384330,2.00 81,1,2024-09-07 09:47:11:659,1005393,1005393,0,0,471708523697,4932069802,997264,7366,763,382,391885,0 81,2,2024-09-07 09:47:11:177,720186,720123,63,0,31363393,0,5932 81,3,2024-09-07 09:47:11:131,1,573,1,0,719,6906,573,0 82,0,2024-09-07 09:47:11:554,146092,0.5,146255,0.7,293112,0.4,388506,2.00 82,1,2024-09-07 09:47:10:588,1008401,1008397,0,4,472833148520,4916313352,1002837,4737,823,381,391768,4 82,2,2024-09-07 09:47:11:693,725175,725175,0,0,26469512,0,4484 82,3,2024-09-07 09:47:11:751,1,573,12,0,363,5496,573,0 83,0,2024-09-07 09:47:11:553,144081,0.6,144103,0.7,287495,0.6,381984,2.25 83,1,2024-09-07 09:47:10:578,1006195,1006195,0,0,471973894321,4921499937,998837,6714,644,382,391709,0 83,2,2024-09-07 09:47:10:768,728680,728655,25,0,28711382,0,5612 83,3,2024-09-07 09:47:10:751,1,573,0,0,1260,7009,573,0 84,0,2024-09-07 09:47:11:775,138382,0.8,138590,0.9,276944,0.7,370240,2.25 84,1,2024-09-07 09:47:11:042,1004471,1004471,0,0,471932719835,4938315644,992463,10338,1670,367,391967,0 84,2,2024-09-07 09:47:10:571,726474,726444,30,0,37571007,0,5971 84,3,2024-09-07 09:47:11:147,1,573,1,0,908,7767,573,0 85,0,2024-09-07 09:47:11:026,139430,0.6,139399,0.8,295905,0.5,382290,2.25 85,1,2024-09-07 09:47:10:566,1002145,1002145,0,0,470325789609,4970122551,986078,13272,2795,381,392092,0 85,2,2024-09-07 09:47:10:864,719660,719660,0,0,35057549,0,4255 85,3,2024-09-07 09:47:10:693,1,573,1,0,789,6764,573,0 86,0,2024-09-07 09:47:10:917,145094,0.6,149149,0.8,285051,0.7,386257,2.25 86,1,2024-09-07 09:47:10:833,1004368,1004368,0,0,472284385542,4955967089,990746,10951,2671,366,392169,0 86,2,2024-09-07 09:47:10:861,721665,721664,1,0,37636974,0,5004 86,3,2024-09-07 09:47:10:598,1,573,8,0,308,8112,573,0 87,0,2024-09-07 09:47:11:390,145396,0.8,144850,0.8,289650,1.0,387054,2.25 87,1,2024-09-07 09:47:10:559,1004046,1004046,0,0,472234325938,4948471876,991274,11052,1720,366,392076,0 87,2,2024-09-07 09:47:11:070,724851,724845,6,0,34204336,0,6323 87,3,2024-09-07 09:47:11:794,1,573,2,0,473,8458,573,0 88,0,2024-09-07 09:47:11:453,139797,0.4,140162,0.6,280285,0.4,373297,1.75 88,1,2024-09-07 09:47:10:576,1003693,1003693,0,0,471831706066,4945336459,989337,11393,2963,365,392084,0 88,2,2024-09-07 09:47:10:690,726189,726189,0,0,36869266,0,4465 88,3,2024-09-07 09:47:11:276,1,573,29,0,1080,8989,573,0 89,0,2024-09-07 09:47:11:772,148198,0.4,143739,0.6,283595,0.4,385865,1.75 89,1,2024-09-07 09:47:10:561,1002820,1002820,0,0,472183827665,4967039849,990235,10652,1933,382,391866,0 89,2,2024-09-07 09:47:11:167,722379,722379,0,0,34188444,0,3173 89,3,2024-09-07 09:47:11:792,1,573,8,0,468,9818,573,0 90,0,2024-09-07 09:47:11:625,139951,0.5,143795,0.6,293270,0.4,382636,2.00 90,1,2024-09-07 09:47:10:593,1004953,1004953,0,0,471827175136,4942238556,995266,8797,890,380,391825,0 90,2,2024-09-07 09:47:11:428,720297,720297,0,0,36910758,0,3608 90,3,2024-09-07 09:47:10:938,1,573,12,0,322,6967,573,0 91,0,2024-09-07 09:47:10:990,146158,0.4,141779,0.6,296524,0.4,388745,1.75 91,1,2024-09-07 09:47:10:564,1001831,1001831,0,0,471836983974,4970517076,987119,11823,2889,381,392047,0 91,2,2024-09-07 09:47:11:378,724042,724042,0,0,32495047,0,4713 91,3,2024-09-07 09:47:10:599,1,573,1,0,216,5295,573,0 92,0,2024-09-07 09:47:11:475,143350,0.5,146566,0.6,279455,0.5,378394,1.75 92,1,2024-09-07 09:47:10:583,1006200,1006200,0,0,472422423923,4934151525,998372,6617,1211,381,392136,0 92,2,2024-09-07 09:47:11:350,727306,727306,0,0,29253912,0,3259 92,3,2024-09-07 09:47:11:022,1,573,2,0,167,4865,573,0 93,0,2024-09-07 09:47:10:989,142111,0.4,145737,0.6,278086,0.4,377584,1.75 93,1,2024-09-07 09:47:10:815,1006452,1006452,0,0,472814059944,4935817813,995133,9394,1925,366,391776,0 93,2,2024-09-07 09:47:10:928,725891,725891,0,0,35008393,0,4913 93,3,2024-09-07 09:47:11:406,1,573,58,0,294,5687,573,0 94,0,2024-09-07 09:47:11:726,143906,0.4,144973,0.5,289829,0.3,384821,1.75 94,1,2024-09-07 09:47:10:568,1007258,1007258,0,0,472587280482,4929218853,1000525,6303,430,381,391850,0 94,2,2024-09-07 09:47:10:768,722261,722233,28,0,29553108,0,6179 94,3,2024-09-07 09:47:11:707,1,573,8,0,576,7069,573,0 95,0,2024-09-07 09:47:11:413,146048,0.3,146101,0.5,292288,0.3,389131,1.75 95,1,2024-09-07 09:47:10:854,1008367,1008367,0,0,472646957105,4912719385,1000203,7462,702,365,391852,0 95,2,2024-09-07 09:47:11:020,722323,722323,0,0,29202261,0,3308 95,3,2024-09-07 09:47:11:712,1,573,9,0,718,8825,573,0 96,0,2024-09-07 09:47:11:021,144147,0.4,144502,0.5,288911,0.3,384004,1.75 96,1,2024-09-07 09:47:11:614,1006197,1006197,0,0,472781313897,4932847790,998857,6069,1271,384,391964,0 96,2,2024-09-07 09:47:11:278,728731,728731,0,0,30410865,0,4180 96,3,2024-09-07 09:47:11:166,1,573,1,0,411,6670,573,0 97,0,2024-09-07 09:47:11:316,139273,0.3,139169,0.5,279090,0.3,370790,1.75 97,1,2024-09-07 09:47:10:772,1007790,1007790,0,0,473600586032,4914451913,1001307,5457,1026,367,392140,0 97,2,2024-09-07 09:47:10:609,727895,727895,0,0,30281053,0,4046 97,3,2024-09-07 09:47:10:574,1,573,10,0,242,6461,573,0 98,0,2024-09-07 09:47:11:774,145274,0.3,145290,0.5,291608,0.3,387866,1.50 98,1,2024-09-07 09:47:10:575,1007252,1007252,0,0,472727389029,4924873313,1001002,5428,822,381,391997,0 98,2,2024-09-07 09:47:10:771,721734,721734,0,0,28848447,0,4336 98,3,2024-09-07 09:47:10:704,1,573,8,0,840,8747,573,0 99,0,2024-09-07 09:47:11:768,146172,0.3,146826,0.5,292011,0.3,389864,1.75 99,1,2024-09-07 09:47:11:727,1008075,1008075,0,0,472935677262,4922166670,1001837,5307,931,380,392069,0 99,2,2024-09-07 09:47:11:496,722857,722857,0,0,35457820,0,4276 99,3,2024-09-07 09:47:10:592,1,573,0,0,1124,7232,573,0 100,0,2024-09-07 09:47:11:481,144113,0.8,144426,0.9,288567,0.9,385218,2.50 100,1,2024-09-07 09:47:10:553,1002061,1002061,0,0,470354690614,4976194829,986247,12524,3290,378,391989,0 100,2,2024-09-07 09:47:11:827,725043,725032,11,0,34723665,0,5417 100,3,2024-09-07 09:47:11:740,1,573,1,0,627,9897,573,0 101,0,2024-09-07 09:47:11:746,142162,1.1,138704,1.0,271277,1.0,371121,2.25 101,1,2024-09-07 09:47:10:562,1003528,1003528,0,0,471056567630,4952354909,989835,11188,2505,368,391847,0 101,2,2024-09-07 09:47:11:759,722292,722292,0,0,39212595,0,4871 101,3,2024-09-07 09:47:10:947,1,573,1,0,1250,8824,573,0 102,0,2024-09-07 09:47:10:981,140781,0.6,145000,0.7,294434,0.6,385300,2.00 102,1,2024-09-07 09:47:11:148,1003649,1003649,0,0,471009501491,4950806563,989817,11676,2156,369,391984,0 102,2,2024-09-07 09:47:11:745,722240,722186,54,0,33193895,0,6768 102,3,2024-09-07 09:47:11:623,1,573,8,0,466,6599,573,0 103,0,2024-09-07 09:47:11:600,149338,0.6,149338,0.7,281371,0.6,387574,2.00 103,1,2024-09-07 09:47:11:626,1002054,1002054,0,0,470298059214,4970707283,985570,12894,3590,381,392077,0 103,2,2024-09-07 09:47:10:582,720981,720981,0,0,35732043,0,3766 103,3,2024-09-07 09:47:10:762,1,573,0,0,916,7001,573,0 104,0,2024-09-07 09:47:11:030,144745,0.7,144910,0.9,289078,0.7,386620,2.25 104,1,2024-09-07 09:47:11:599,1004769,1004769,0,0,471559296797,4954293148,990299,11859,2611,365,392168,0 104,2,2024-09-07 09:47:11:667,722559,722559,0,0,34896031,0,3941 104,3,2024-09-07 09:47:11:421,1,573,66,0,1245,10447,573,0 105,0,2024-09-07 09:47:11:030,138696,0.9,135090,1.0,283125,0.9,372075,2.50 105,1,2024-09-07 09:47:10:556,1006590,1006590,0,0,472515548595,4949019298,994292,10489,1809,364,392009,0 105,2,2024-09-07 09:47:11:322,725756,725756,0,0,35579583,0,4360 105,3,2024-09-07 09:47:11:315,1,573,1,0,573,8854,573,0 106,0,2024-09-07 09:47:10:943,137843,0.8,141067,0.9,289251,0.9,378002,2.50 106,1,2024-09-07 09:47:11:764,1005036,1005036,0,0,472043553584,4953371998,991301,11999,1736,368,391914,0 106,2,2024-09-07 09:47:10:782,723397,723397,0,0,32797732,0,2920 106,3,2024-09-07 09:47:10:689,1,573,7,0,1224,8297,573,0 107,0,2024-09-07 09:47:11:185,144161,1.0,144264,0.9,288588,1.2,384569,2.25 107,1,2024-09-07 09:47:11:032,1002276,1002276,0,0,470730542127,4971850730,986518,13958,1800,381,392234,0 107,2,2024-09-07 09:47:11:313,719955,719954,1,0,35619226,0,5024 107,3,2024-09-07 09:47:11:757,1,573,2,0,733,8867,573,0 108,0,2024-09-07 09:47:11:770,145620,0.4,146119,0.6,291183,0.4,388064,1.75 108,1,2024-09-07 09:47:11:325,1006129,1006129,0,0,473331877554,4937840623,997626,7523,980,367,391894,0 108,2,2024-09-07 09:47:11:767,723107,723107,0,0,31423228,0,4246 108,3,2024-09-07 09:47:11:363,1,573,3,0,749,10694,573,0 109,0,2024-09-07 09:47:11:768,143868,0.4,142558,0.6,286245,0.3,381732,1.75 109,1,2024-09-07 09:47:10:593,1003470,1003470,0,0,472012542029,4951021237,994624,7624,1222,382,392132,0 109,2,2024-09-07 09:47:10:937,726080,726080,0,0,32374880,0,3617 109,3,2024-09-07 09:47:11:169,1,573,1,0,630,7159,573,0 110,0,2024-09-07 09:47:11:770,140154,0.4,136218,0.6,285295,0.3,374528,1.75 110,1,2024-09-07 09:47:11:645,1008049,1008049,0,0,473381316794,4915752886,1000146,5979,1924,368,392045,0 110,2,2024-09-07 09:47:11:303,726962,726962,0,0,29973927,0,4067 110,3,2024-09-07 09:47:10:698,1,573,8,0,722,7889,573,0 111,0,2024-09-07 09:47:11:422,145906,0.4,145045,0.5,290182,0.3,386651,1.75 111,1,2024-09-07 09:47:11:007,1009620,1009620,0,0,474737422092,4921858842,1004734,4523,363,380,391690,0 111,2,2024-09-07 09:47:11:149,721668,721668,0,0,30044426,0,4823 111,3,2024-09-07 09:47:10:915,1,573,0,0,379,6445,573,0 112,0,2024-09-07 09:47:10:914,147067,0.3,146453,0.4,293698,0.2,389772,1.50 112,1,2024-09-07 09:47:10:837,1007761,1007761,0,0,473618721113,4920540781,1000571,5965,1225,380,391624,0 112,2,2024-09-07 09:47:11:148,723012,723011,1,0,28853697,0,5036 112,3,2024-09-07 09:47:10:598,1,573,1,0,282,5365,573,0 113,0,2024-09-07 09:47:10:875,144282,0.3,144210,0.5,288699,0.2,384286,1.75 113,1,2024-09-07 09:47:11:709,1010114,1010114,0,0,475511606127,4907844139,1004035,5133,946,365,391664,0 113,2,2024-09-07 09:47:11:310,730954,730954,0,0,27276828,0,3813 113,3,2024-09-07 09:47:10:694,1,573,0,0,510,6256,573,0 114,0,2024-09-07 09:47:10:872,139925,0.3,140830,0.5,280154,0.2,374580,1.75 114,1,2024-09-07 09:47:10:716,1008143,1008143,0,0,473189619597,4915101609,1000385,6013,1745,381,391565,0 114,2,2024-09-07 09:47:10:872,728474,728473,1,0,28791905,0,5069 114,3,2024-09-07 09:47:11:277,1,573,12,0,395,4560,573,0 115,0,2024-09-07 09:47:10:555,145524,0.3,145868,0.4,290532,0.2,387266,1.50 115,1,2024-09-07 09:47:10:576,1008479,1008479,0,0,473586519298,4922382647,1000291,6671,1517,382,391757,0 115,2,2024-09-07 09:47:11:133,723224,723224,0,0,28338311,0,4382 115,3,2024-09-07 09:47:11:006,1,573,142,0,167,3461,573,0 116,0,2024-09-07 09:47:11:718,144816,0.7,144549,0.8,289724,0.6,387569,2.00 116,1,2024-09-07 09:47:10:815,1003614,1003614,0,0,471202385847,4970252933,991091,9434,3089,380,392089,0 116,2,2024-09-07 09:47:11:755,722637,722637,0,0,35865105,0,4475 116,3,2024-09-07 09:47:10:917,1,573,5,0,448,7497,573,0 117,0,2024-09-07 09:47:10:988,145506,0.7,144859,0.8,290199,0.8,387791,2.00 117,1,2024-09-07 09:47:11:582,1004759,1004759,0,0,470914247371,4933780335,993315,9925,1519,369,392033,0 117,2,2024-09-07 09:47:11:150,727525,727525,0,0,32508993,0,4303 117,3,2024-09-07 09:47:11:061,1,573,1,0,490,7547,573,0 118,0,2024-09-07 09:47:11:785,135591,0.6,139233,0.7,284150,0.5,371621,2.00 118,1,2024-09-07 09:47:10:589,1003124,1003124,0,0,471457955280,4960712855,987535,11969,3620,366,392054,0 118,2,2024-09-07 09:47:11:588,725761,725761,0,0,34555329,0,2842 118,3,2024-09-07 09:47:11:775,1,573,9,0,289,6656,573,0 119,0,2024-09-07 09:47:11:393,143699,0.6,144273,0.8,287958,0.6,383746,2.25 119,1,2024-09-07 09:47:10:564,1004327,1004327,0,0,472359478168,4956384790,989983,11844,2500,367,391857,0 119,2,2024-09-07 09:47:11:273,723739,723739,0,0,32357806,0,4309 119,3,2024-09-07 09:47:11:326,1,573,14,0,1358,10848,573,0 120,0,2024-09-07 09:47:11:579,143378,0.7,143194,0.8,287667,0.7,383535,2.25 120,1,2024-09-07 09:47:10:858,1005665,1005665,0,0,471135238452,4939315907,995345,9355,965,367,392144,0 120,2,2024-09-07 09:47:10:783,721227,721226,1,0,37372303,0,5281 120,3,2024-09-07 09:47:11:311,1,573,0,0,279,7177,573,0 121,0,2024-09-07 09:47:11:697,145846,1.1,145302,1.0,290980,1.5,387581,2.25 121,1,2024-09-07 09:47:11:678,1005708,1005708,0,0,472068866317,4936992040,995539,9316,853,366,391840,0 121,2,2024-09-07 09:47:11:167,723293,723293,0,0,34769452,0,4157 121,3,2024-09-07 09:47:10:733,1,573,1,0,387,7412,573,0 122,0,2024-09-07 09:47:11:802,141183,0.8,137397,0.9,287925,0.9,378209,2.00 122,1,2024-09-07 09:47:10:903,1004312,1004312,0,0,471291861072,4947106456,990273,11706,2333,366,392130,0 122,2,2024-09-07 09:47:11:322,727303,727228,75,0,38779777,0,5989 122,3,2024-09-07 09:47:10:594,1,573,9,0,512,9512,573,0 123,0,2024-09-07 09:47:10:986,140822,0.7,136978,0.8,286996,0.8,376180,2.25 123,1,2024-09-07 09:47:10:562,1004404,1004404,0,0,471415498563,4960152672,988015,13725,2664,369,392039,0 123,2,2024-09-07 09:47:11:037,723700,723699,1,0,33124755,0,5215 123,3,2024-09-07 09:47:11:168,1,573,101,0,478,6667,573,0 124,0,2024-09-07 09:47:10:933,148346,0.3,148269,0.5,279891,0.3,385127,1.75 124,1,2024-09-07 09:47:11:054,1008252,1008252,0,0,473451081482,4922095481,1000762,6320,1170,365,392178,0 124,2,2024-09-07 09:47:11:018,723701,723648,53,0,29674093,0,6487 124,3,2024-09-07 09:47:10:760,1,573,8,0,490,5776,573,0 125,0,2024-09-07 09:47:11:449,145781,0.4,145817,0.5,292623,0.3,389240,1.75 125,1,2024-09-07 09:47:10:857,1005369,1005369,0,0,472407346060,4931641322,997559,6680,1130,382,391813,0 125,2,2024-09-07 09:47:11:132,725379,725379,0,0,29624548,0,4534 125,3,2024-09-07 09:47:11:167,1,573,5,0,709,6633,573,0 126,0,2024-09-07 09:47:11:461,144389,0.4,148512,0.6,284024,0.4,384687,1.75 126,1,2024-09-07 09:47:10:558,1008878,1008878,0,0,473623046011,4903801555,1003549,4884,445,365,391987,0 126,2,2024-09-07 09:47:10:614,729308,729308,0,0,31196538,0,4539 126,3,2024-09-07 09:47:10:918,1,573,8,0,268,6315,573,0 127,0,2024-09-07 09:47:11:626,139477,0.3,139689,0.5,278966,0.3,371181,1.75 127,1,2024-09-07 09:47:10:618,1006865,1006865,0,0,472182090311,4914168478,996653,8589,1623,364,392187,0 127,2,2024-09-07 09:47:10:653,726833,726829,4,0,29326540,0,5305 127,3,2024-09-07 09:47:11:279,1,573,14,0,968,5638,573,0 128,0,2024-09-07 09:47:11:558,146137,0.3,146194,0.4,292113,0.2,388482,1.50 128,1,2024-09-07 09:47:11:614,1007235,1007235,0,0,472416011022,4914915782,999112,7171,952,367,392423,0 128,2,2024-09-07 09:47:11:384,722736,722736,0,0,27203916,0,3171 128,3,2024-09-07 09:47:10:769,1,573,2,0,1082,9248,573,0 129,0,2024-09-07 09:47:11:046,147172,0.3,146453,0.5,293569,0.3,390200,1.50 129,1,2024-09-07 09:47:10:571,1003229,1003229,0,0,471570223966,4944645444,992884,8324,2021,379,391962,0 129,2,2024-09-07 09:47:10:700,724538,724534,4,0,29718551,0,5335 129,3,2024-09-07 09:47:10:689,1,573,1,0,506,8085,573,0 130,0,2024-09-07 09:47:11:783,145464,0.4,144844,0.6,290397,0.4,386668,1.75 130,1,2024-09-07 09:47:10:589,1008081,1008081,0,0,472880036476,4913470375,1002776,4912,393,381,391825,0 130,2,2024-09-07 09:47:11:133,728614,728614,0,0,30048014,0,4067 130,3,2024-09-07 09:47:11:316,1,573,12,0,960,7812,573,0 131,0,2024-09-07 09:47:11:947,139341,0.4,139801,0.5,280209,0.3,372331,1.75 131,1,2024-09-07 09:47:11:822,1006981,1006981,0,0,472917377658,4931424699,999868,5919,1194,381,391865,0 131,2,2024-09-07 09:47:10:567,727724,727724,0,0,28000978,0,3979 131,3,2024-09-07 09:47:11:697,1,573,1,0,392,7367,573,0 132,0,2024-09-07 09:47:11:420,144747,0.5,145798,0.6,290316,0.4,386309,2.00 132,1,2024-09-07 09:47:10:576,1003092,1003092,0,0,471031864333,4960117600,988555,11896,2641,381,392532,0 132,2,2024-09-07 09:47:10:704,721867,721850,17,0,36026580,0,6451 132,3,2024-09-07 09:47:11:711,1,573,1298,0,1298,10642,573,0 133,0,2024-09-07 09:47:11:542,141066,0.4,144262,0.6,295866,0.4,385367,2.00 133,1,2024-09-07 09:47:10:589,1002964,1002964,0,0,470958087679,4965615105,988987,12216,1761,383,391914,0 133,2,2024-09-07 09:47:11:090,723701,723651,50,0,37207249,0,6861 133,3,2024-09-07 09:47:11:305,1,573,2,0,528,6993,573,0 134,0,2024-09-07 09:47:10:945,145958,0.5,146012,0.7,292335,0.5,388786,2.00 134,1,2024-09-07 09:47:10:591,1004255,1004255,0,0,471497252333,4945839795,991335,10657,2263,366,391781,0 134,2,2024-09-07 09:47:11:758,724891,724867,24,0,34438309,0,6207 134,3,2024-09-07 09:47:10:752,1,573,8,0,739,7031,573,0 135,0,2024-09-07 09:47:11:154,135785,0.9,135805,0.9,288268,1.0,371680,2.25 135,1,2024-09-07 09:47:11:599,1003971,1003971,0,0,471746188465,4962657149,991084,11248,1639,380,391805,0 135,2,2024-09-07 09:47:10:702,727195,727195,0,0,35143254,0,4503 135,3,2024-09-07 09:47:11:017,1,573,1,0,900,5817,573,0 136,0,2024-09-07 09:47:11:681,143165,0.6,143605,0.7,285621,0.5,381324,2.00 136,1,2024-09-07 09:47:11:449,1005284,1005284,0,0,472187125715,4948933739,994075,9841,1368,381,392135,0 136,2,2024-09-07 09:47:11:142,725874,725859,15,0,34553278,0,6007 136,3,2024-09-07 09:47:11:108,1,573,1,0,637,6771,573,0 137,0,2024-09-07 09:47:10:948,149066,0.6,145307,0.7,284613,0.6,386348,2.00 137,1,2024-09-07 09:47:10:577,1004262,1004262,0,0,472148061880,4951778972,988774,12414,3074,366,391898,0 137,2,2024-09-07 09:47:11:706,720729,720729,0,0,35564985,0,3185 137,3,2024-09-07 09:47:10:770,1,573,93,0,484,7573,573,0 138,0,2024-09-07 09:47:11:786,145061,0.7,145298,0.9,291129,0.8,386477,2.00 138,1,2024-09-07 09:47:11:701,1004666,1004666,0,0,472286608866,4954320163,990533,11883,2250,368,391954,0 138,2,2024-09-07 09:47:10:587,722487,722487,0,0,33113110,0,4988 138,3,2024-09-07 09:47:10:622,1,573,8,0,1200,9149,573,0 139,0,2024-09-07 09:47:11:405,142039,1.1,142597,1.0,285110,1.6,380002,2.25 139,1,2024-09-07 09:47:10:573,1000609,1000609,0,0,469198281203,4976283966,982875,13980,3754,380,392109,0 139,2,2024-09-07 09:47:10:697,723077,723077,0,0,38046588,0,3379 139,3,2024-09-07 09:47:11:668,1,573,6,0,432,6825,573,0 140,0,2024-09-07 09:47:11:600,140677,0.3,139904,0.5,280799,0.2,374733,1.75 140,1,2024-09-07 09:47:11:540,1010781,1010781,0,0,474555528219,4892823582,1005835,4400,546,364,391606,0 140,2,2024-09-07 09:47:10:694,727587,727586,1,0,28015058,0,5036 140,3,2024-09-07 09:47:10:767,1,573,75,0,575,5848,573,0 141,0,2024-09-07 09:47:11:708,145427,0.3,149451,0.5,285628,0.3,386410,1.75 141,1,2024-09-07 09:47:10:862,1008340,1008340,0,0,473379568923,4918303536,1000377,6784,1179,379,391614,0 141,2,2024-09-07 09:47:11:686,722148,722137,11,0,29687916,0,5369 141,3,2024-09-07 09:47:11:054,1,573,1,0,391,6391,573,0 142,0,2024-09-07 09:47:11:321,147098,0.3,146194,0.5,292835,0.2,390622,1.50 142,1,2024-09-07 09:47:10:590,1006900,1006900,0,0,472770246632,4927572050,1000581,5815,504,382,392102,0 142,2,2024-09-07 09:47:11:310,722247,722215,32,0,29956067,0,6028 142,3,2024-09-07 09:47:11:746,1,573,8,0,484,6095,573,0 143,0,2024-09-07 09:47:11:388,143955,0.4,144160,0.5,289081,0.4,384225,1.75 143,1,2024-09-07 09:47:10:558,1009542,1009542,0,0,473195435357,4909955489,1003165,5812,565,367,391722,0 143,2,2024-09-07 09:47:10:783,729279,729279,0,0,30166659,0,3123 143,3,2024-09-07 09:47:11:140,1,573,2,0,462,7130,573,0 144,0,2024-09-07 09:47:11:507,135390,0.6,139262,0.8,283426,0.6,371146,2.25 144,1,2024-09-07 09:47:10:572,1003767,1003767,0,0,471273375878,4946131354,993534,8246,1987,381,391733,0 144,2,2024-09-07 09:47:11:758,728109,728109,0,0,29574617,0,4443 144,3,2024-09-07 09:47:11:742,1,573,26,0,249,5624,573,0 145,0,2024-09-07 09:47:11:372,140236,0.5,140265,0.7,297623,0.5,383020,2.25 145,1,2024-09-07 09:47:10:559,1003273,1003273,0,0,470471485245,4954821048,989319,11283,2671,382,391759,0 145,2,2024-09-07 09:47:11:474,719887,719805,82,0,34950653,0,7814 145,3,2024-09-07 09:47:10:913,1,573,20,0,622,7824,573,0 146,0,2024-09-07 09:47:11:595,144975,0.6,144486,0.7,290149,0.5,385731,2.00 146,1,2024-09-07 09:47:11:590,1004304,1004304,0,0,471165357495,4959832451,987189,12636,4479,367,391770,0 146,2,2024-09-07 09:47:11:696,721867,721861,6,0,33277239,0,5151 146,3,2024-09-07 09:47:11:278,1,573,8,0,1520,9478,573,0 147,0,2024-09-07 09:47:11:783,145392,0.6,145049,0.7,289825,0.6,386918,2.25 147,1,2024-09-07 09:47:11:380,1008270,1008270,0,0,473557258001,4921420624,1000171,7153,946,367,391791,0 147,2,2024-09-07 09:47:11:040,725952,725952,0,0,29334607,0,2968 147,3,2024-09-07 09:47:10:925,1,573,10,0,1626,9044,573,0 0,0,2024-09-07 09:47:21:701,140379,0.6,140351,0.7,297794,0.6,384729,2.00 0,1,2024-09-07 09:47:20:819,1006571,1006571,0,0,472941540428,4952424653,998311,7332,928,369,391896,0 0,2,2024-09-07 09:47:21:069,725780,725780,0,0,29701376,0,4480 0,3,2024-09-07 09:47:20:974,1,574,322,0,431,8575,574,0 1,0,2024-09-07 09:47:21:795,145692,0.9,144681,0.9,291068,1.1,388285,2.00 1,1,2024-09-07 09:47:20:575,1005310,1005310,0,0,472380715387,4950181726,995927,7894,1489,370,391859,0 1,2,2024-09-07 09:47:20:652,725800,725800,0,0,29147415,0,3380 1,3,2024-09-07 09:47:21:315,1,574,0,0,269,7053,574,0 2,0,2024-09-07 09:47:21:569,141609,0.6,141420,0.7,282758,0.6,377063,2.00 2,1,2024-09-07 09:47:20:859,1009112,1009112,0,0,474582823559,4935712766,1003024,5139,949,379,391745,0 2,2,2024-09-07 09:47:21:267,728100,728100,0,0,28240886,0,3594 2,3,2024-09-07 09:47:20:694,1,574,8,0,357,5152,574,0 3,0,2024-09-07 09:47:21:745,141822,0.4,141653,0.6,282727,0.3,377519,2.00 3,1,2024-09-07 09:47:21:618,1007619,1007619,0,0,472733752630,4919022213,1000047,6869,703,379,391716,0 3,2,2024-09-07 09:47:21:157,727773,727750,23,0,29222201,0,5851 3,3,2024-09-07 09:47:21:761,1,574,1,0,275,4036,574,0 4,0,2024-09-07 09:47:21:764,140342,0.4,144265,0.5,294090,0.3,384738,1.75 4,1,2024-09-07 09:47:20:601,1004058,1004058,0,0,470468602759,4984748964,986303,14004,3751,370,391992,0 4,2,2024-09-07 09:47:21:025,720396,720396,0,0,35514565,0,4534 4,3,2024-09-07 09:47:21:027,1,574,71,0,448,7219,574,0 5,0,2024-09-07 09:47:21:387,146242,0.4,146251,0.5,292735,0.3,388871,1.75 5,1,2024-09-07 09:47:20:807,1004994,1004994,0,0,472243223345,4975560528,990278,11896,2820,367,392005,0 5,2,2024-09-07 09:47:21:829,721702,721702,0,0,34330674,0,3582 5,3,2024-09-07 09:47:21:733,1,574,0,0,457,7664,574,0 6,0,2024-09-07 09:47:20:919,144628,0.5,144175,0.7,288577,0.4,384377,2.00 6,1,2024-09-07 09:47:20:754,1007008,1007008,0,0,472351859975,4936746272,996080,9355,1573,379,391702,0 6,2,2024-09-07 09:47:21:115,729265,729247,18,0,33709495,0,5535 6,3,2024-09-07 09:47:21:276,1,574,11,0,710,7120,574,0 7,0,2024-09-07 09:47:21:555,138960,0.5,139817,0.7,278175,0.5,371311,2.00 7,1,2024-09-07 09:47:20:858,1005688,1005688,0,0,472583590169,4967321134,990773,12444,2471,382,391747,0 7,2,2024-09-07 09:47:20:774,727376,727376,0,0,32504291,0,4791 7,3,2024-09-07 09:47:20:856,1,574,5,0,552,6485,574,0 8,0,2024-09-07 09:47:21:323,146095,0.4,145840,0.5,291955,0.3,388918,1.75 8,1,2024-09-07 09:47:21:016,1004314,1004314,0,0,471837957556,4963233323,988266,12508,3540,366,392853,0 8,2,2024-09-07 09:47:20:800,717687,717685,2,0,37686596,0,5112 8,3,2024-09-07 09:47:20:586,1,574,6,0,772,9205,574,0 9,0,2024-09-07 09:47:21:118,146113,0.4,142229,0.5,297638,0.3,390412,1.75 9,1,2024-09-07 09:47:20:557,1004230,1004230,0,0,471287318239,4968428054,988485,12695,3050,369,392001,0 9,2,2024-09-07 09:47:21:087,723094,723093,1,0,34541188,0,5281 9,3,2024-09-07 09:47:21:752,1,574,1,0,1273,9805,574,0 10,0,2024-09-07 09:47:21:600,144629,0.4,144158,0.5,289319,0.3,385425,1.75 10,1,2024-09-07 09:47:20:583,1006341,1006341,0,0,473015709397,4960951117,991842,11682,2817,381,391981,0 10,2,2024-09-07 09:47:20:768,728902,728902,0,0,38278289,0,4713 10,3,2024-09-07 09:47:20:871,1,574,7,0,669,6667,574,0 11,0,2024-09-07 09:47:21:026,139524,0.4,135298,0.6,283085,0.4,373399,1.75 11,1,2024-09-07 09:47:20:575,1007686,1007686,0,0,472892264052,4961872924,992473,11072,4141,383,391766,0 11,2,2024-09-07 09:47:21:132,727089,727089,0,0,34173390,0,4698 11,3,2024-09-07 09:47:21:298,1,574,1,0,843,7443,574,0 12,0,2024-09-07 09:47:20:975,145917,0.4,145911,0.5,291485,0.3,387672,1.75 12,1,2024-09-07 09:47:20:963,1007249,1007249,0,0,472109050270,4925939865,998963,7284,1002,370,391960,0 12,2,2024-09-07 09:47:21:541,721748,721748,0,0,32503883,0,4390 12,3,2024-09-07 09:47:21:075,1,574,9,0,386,7346,574,0 13,0,2024-09-07 09:47:21:344,145798,0.4,145716,0.5,290752,0.4,387744,1.75 13,1,2024-09-07 09:47:21:523,1004765,1004765,0,0,471458234851,4955817339,994454,8004,2307,382,391768,0 13,2,2024-09-07 09:47:20:616,726061,726061,0,0,29105276,0,3287 13,3,2024-09-07 09:47:21:766,1,574,4,0,522,7743,574,0 14,0,2024-09-07 09:47:20:573,145864,0.4,146909,0.6,291422,0.3,388211,1.75 14,1,2024-09-07 09:47:21:562,1011966,1011966,0,0,475194103389,4917067584,1004117,6877,972,364,391673,0 14,2,2024-09-07 09:47:20:772,727708,727678,30,0,30651423,0,6104 14,3,2024-09-07 09:47:21:123,1,574,8,0,1168,6429,574,0 15,0,2024-09-07 09:47:21:561,140239,0.4,139506,0.6,280012,0.4,373511,2.00 15,1,2024-09-07 09:47:21:608,1008864,1008864,0,0,473576388780,4934174261,999831,7120,1913,381,391619,0 15,2,2024-09-07 09:47:21:007,730055,730055,0,0,26725087,0,3622 15,3,2024-09-07 09:47:21:413,1,574,1,0,1126,7670,574,0 16,0,2024-09-07 09:47:20:971,142944,0.6,143611,0.7,286625,0.6,382025,2.00 16,1,2024-09-07 09:47:20:584,1008777,1008777,0,0,472912717430,4946354121,999537,7800,1440,370,392194,0 16,2,2024-09-07 09:47:21:448,724726,724726,0,0,30441896,0,4719 16,3,2024-09-07 09:47:21:164,1,574,6,0,358,7430,574,0 17,0,2024-09-07 09:47:21:763,148950,0.6,145264,0.8,284594,0.6,386476,2.00 17,1,2024-09-07 09:47:20:572,1006759,1006759,0,0,472304364922,4956896239,996586,8406,1767,368,392075,0 17,2,2024-09-07 09:47:21:668,726339,726338,1,0,31512717,0,5050 17,3,2024-09-07 09:47:20:582,1,574,2,0,518,8644,574,0 18,0,2024-09-07 09:47:20:944,144415,0.7,145341,0.8,289461,0.7,386457,2.25 18,1,2024-09-07 09:47:21:644,1010866,1010866,0,0,473504999294,4913315590,1003820,5789,1257,367,391725,0 18,2,2024-09-07 09:47:21:762,727432,727432,0,0,28020306,0,3541 18,3,2024-09-07 09:47:20:900,1,574,7,0,1059,5400,574,0 19,0,2024-09-07 09:47:21:548,142722,0.6,142930,0.8,284757,0.6,378346,2.00 19,1,2024-09-07 09:47:20:570,1010626,1010626,0,0,475102502735,4924662698,1003297,6240,1089,367,391777,0 19,2,2024-09-07 09:47:21:752,731509,731509,0,0,26621238,0,3988 19,3,2024-09-07 09:47:21:132,1,574,1,0,524,4393,574,0 20,0,2024-09-07 09:47:21:344,140447,0.6,140497,0.7,280705,0.6,374396,2.00 20,1,2024-09-07 09:47:20:592,1006313,1006313,0,0,473445135758,4962888938,995793,9015,1505,369,391922,0 20,2,2024-09-07 09:47:20:932,726768,726768,0,0,33222334,0,4321 20,3,2024-09-07 09:47:20:600,1,574,76,0,468,9435,574,0 21,0,2024-09-07 09:47:21:134,145187,0.5,145261,0.6,290556,0.4,385718,2.00 21,1,2024-09-07 09:47:21:535,1004440,1004440,0,0,471462821678,4980779828,987982,12669,3789,368,392016,0 21,2,2024-09-07 09:47:21:070,719470,719450,20,0,37316457,0,5617 21,3,2024-09-07 09:47:21:405,1,574,2,0,713,8095,574,0 22,0,2024-09-07 09:47:21:761,146371,0.5,147007,0.7,293173,0.4,389178,2.00 22,1,2024-09-07 09:47:21:029,1005592,1005592,0,0,472005919106,4972402097,987903,14150,3539,382,391822,0 22,2,2024-09-07 09:47:20:760,722653,722627,26,0,31397101,0,6328 22,3,2024-09-07 09:47:21:069,1,574,11,0,228,4876,574,0 23,0,2024-09-07 09:47:21:369,144033,0.5,143783,0.7,287297,0.5,383466,2.25 23,1,2024-09-07 09:47:21:017,1007132,1007132,0,0,473812980951,4975524148,989429,12747,4956,365,391690,0 23,2,2024-09-07 09:47:21:094,730178,730178,0,0,30467830,0,3773 23,3,2024-09-07 09:47:21:755,1,574,61,0,855,8786,574,0 24,0,2024-09-07 09:47:20:828,140769,0.4,140002,0.6,281703,0.4,374001,1.75 24,1,2024-09-07 09:47:20:592,1005936,1005936,0,0,471337179479,4933802505,996527,7726,1683,367,392269,0 24,2,2024-09-07 09:47:21:069,727524,727524,0,0,36431863,0,4438 24,3,2024-09-07 09:47:21:686,1,574,1,0,468,7285,574,0 25,0,2024-09-07 09:47:21:378,149428,0.4,145481,0.6,285532,0.4,389005,1.75 25,1,2024-09-07 09:47:20:586,1004639,1004639,0,0,472090151523,4986729849,987104,13962,3573,369,391928,0 25,2,2024-09-07 09:47:21:606,720204,720204,0,0,36666336,0,3978 25,3,2024-09-07 09:47:21:000,1,574,12,0,532,6387,574,0 26,0,2024-09-07 09:47:21:720,144712,0.4,141569,0.6,297188,0.4,387077,2.00 26,1,2024-09-07 09:47:21:541,1008493,1008493,0,0,471689999979,4938137960,995004,11029,2460,380,391748,0 26,2,2024-09-07 09:47:20:864,723445,723445,0,0,39355072,0,4689 26,3,2024-09-07 09:47:21:712,1,574,8,0,796,6609,574,0 27,0,2024-09-07 09:47:21:722,145382,0.4,146117,0.6,290427,0.4,387162,2.25 27,1,2024-09-07 09:47:21:677,1008561,1008561,0,0,474638261558,4944572966,999142,8123,1296,381,391626,0 27,2,2024-09-07 09:47:20:867,724767,724702,65,0,34572804,0,5699 27,3,2024-09-07 09:47:21:015,1,574,12,0,564,5110,574,0 28,0,2024-09-07 09:47:21:404,140355,0.4,140188,0.6,280809,0.3,373944,1.75 28,1,2024-09-07 09:47:20:810,1009450,1009450,0,0,473652945276,4936781933,1001073,6640,1737,382,391698,0 28,2,2024-09-07 09:47:21:764,728826,728826,0,0,29938677,0,2915 28,3,2024-09-07 09:47:21:776,1,574,4,0,502,5582,574,0 29,0,2024-09-07 09:47:21:358,148527,0.4,144532,0.6,283809,0.3,386910,1.75 29,1,2024-09-07 09:47:21:562,1012584,1012584,0,0,474607489944,4908500169,1006498,5312,774,367,391809,0 29,2,2024-09-07 09:47:20:861,723868,723868,0,0,28755081,0,4986 29,3,2024-09-07 09:47:20:963,1,574,1,0,459,6440,574,0 30,0,2024-09-07 09:47:21:462,143555,0.5,139850,0.7,292957,0.4,382542,2.00 30,1,2024-09-07 09:47:20:573,1011378,1011378,0,0,475450071793,4932882739,1004144,6327,907,380,391672,0 30,2,2024-09-07 09:47:21:277,725166,725166,0,0,27597440,0,4192 30,3,2024-09-07 09:47:20:581,1,574,8,0,519,5445,574,0 31,0,2024-09-07 09:47:21:758,145487,0.4,146170,0.6,291434,0.4,388983,2.00 31,1,2024-09-07 09:47:20:573,1014596,1014596,0,0,476890074376,4895869333,1008713,4854,1029,356,391712,0 31,2,2024-09-07 09:47:21:283,725320,725320,0,0,31071259,0,4470 31,3,2024-09-07 09:47:21:706,1,574,2,0,239,4974,574,0 32,0,2024-09-07 09:47:21:512,142039,0.3,142908,0.5,284341,0.3,378530,1.75 32,1,2024-09-07 09:47:20:810,1010700,1010700,0,0,473639945869,4923805810,1004536,5449,715,381,391646,0 32,2,2024-09-07 09:47:20:939,729953,729953,0,0,27574789,0,3922 32,3,2024-09-07 09:47:21:015,1,574,1,0,304,4493,574,0 33,0,2024-09-07 09:47:21:500,142288,0.3,141901,0.4,284135,0.2,378732,1.50 33,1,2024-09-07 09:47:20:583,1010656,1010656,0,0,475488823521,4927961760,1002238,7147,1271,368,391730,0 33,2,2024-09-07 09:47:20:758,727714,727679,35,0,30553292,0,7012 33,3,2024-09-07 09:47:20:910,1,574,2,0,329,5048,574,0 34,0,2024-09-07 09:47:20:929,144841,0.3,148779,0.5,284520,0.2,383923,1.75 34,1,2024-09-07 09:47:21:044,1013093,1013093,0,0,475551235236,4893266195,1010149,2834,110,367,391637,0 34,2,2024-09-07 09:47:20:780,724681,724681,0,0,28583835,0,4562 34,3,2024-09-07 09:47:21:688,1,574,8,0,541,5349,574,0 35,0,2024-09-07 09:47:20:870,145310,0.3,146159,0.5,293296,0.2,389386,1.75 35,1,2024-09-07 09:47:21:072,1009178,1009178,0,0,473926712453,4921236585,1001103,6552,1523,382,391769,0 35,2,2024-09-07 09:47:21:583,724400,724400,0,0,31519396,0,4055 35,3,2024-09-07 09:47:20:910,1,574,2,0,466,5521,574,0 36,0,2024-09-07 09:47:21:519,144885,0.5,144758,0.7,289124,0.5,385185,2.00 36,1,2024-09-07 09:47:20:583,1007700,1007700,0,0,473066325296,4943954657,994210,11250,2240,366,391759,0 36,2,2024-09-07 09:47:21:756,729566,729566,0,0,33246266,0,3875 36,3,2024-09-07 09:47:20:871,1,574,7,0,556,8120,574,0 37,0,2024-09-07 09:47:21:368,138943,0.6,138911,0.7,278351,0.5,371494,2.25 37,1,2024-09-07 09:47:20:571,1007194,1007187,0,7,473055689831,4942669154,994789,9497,2901,365,391770,0 37,2,2024-09-07 09:47:21:155,725417,725402,15,0,33572397,0,5815 37,3,2024-09-07 09:47:21:766,1,574,99,0,888,7775,574,0 38,0,2024-09-07 09:47:21:448,144872,0.5,140440,0.7,293830,0.4,384829,2.00 38,1,2024-09-07 09:47:21:613,1007777,1007777,0,0,473643980060,4959058247,992507,12271,2999,368,391821,0 38,2,2024-09-07 09:47:20:776,721855,721808,47,0,33340663,0,6710 38,3,2024-09-07 09:47:20:996,1,574,8,0,689,7166,574,0 39,0,2024-09-07 09:47:21:775,149438,0.5,146129,0.7,284981,0.5,389259,2.00 39,1,2024-09-07 09:47:20:719,1007152,1007152,0,0,473255549439,4965283878,990073,13381,3698,365,391865,0 39,2,2024-09-07 09:47:21:431,724114,724114,0,0,31108583,0,3391 39,3,2024-09-07 09:47:20:717,1,574,0,0,525,6599,574,0 40,0,2024-09-07 09:47:21:498,143452,0.9,144052,1.0,287393,1.0,383544,2.75 40,1,2024-09-07 09:47:20:577,1008424,1008424,0,0,472436540343,4948944908,993915,11727,2782,368,391668,0 40,2,2024-09-07 09:47:21:304,727094,727093,1,0,36804050,0,5137 40,3,2024-09-07 09:47:21:141,1,574,185,0,1028,8517,574,0 41,0,2024-09-07 09:47:21:039,138834,1.2,142063,1.1,270813,1.7,368157,3.00 41,1,2024-09-07 09:47:20:781,1007121,1007121,0,0,472378056576,4943226957,994088,10897,2136,369,391878,0 41,2,2024-09-07 09:47:20:775,724802,724801,1,0,35674813,0,5408 41,3,2024-09-07 09:47:21:677,1,574,49,0,366,6131,574,0 42,0,2024-09-07 09:47:21:480,144307,0.9,144015,1.0,288092,0.9,382600,2.50 42,1,2024-09-07 09:47:21:439,1004805,1004805,0,0,471427310351,4954730828,989097,12779,2929,380,391675,0 42,2,2024-09-07 09:47:21:133,721102,721101,1,0,35390710,0,5513 42,3,2024-09-07 09:47:21:010,1,574,8,0,892,5495,574,0 43,0,2024-09-07 09:47:20:922,143390,0.7,139573,0.9,292561,0.8,382684,2.25 43,1,2024-09-07 09:47:20:583,1008145,1008145,0,0,473493404537,4947353159,994360,11385,2400,366,391696,0 43,2,2024-09-07 09:47:21:735,724540,724540,0,0,33947986,0,4723 43,3,2024-09-07 09:47:21:750,1,574,3,0,571,8485,574,0 44,0,2024-09-07 09:47:20:880,146113,0.4,146030,0.6,292478,0.4,388730,1.75 44,1,2024-09-07 09:47:20:565,1010538,1010538,0,0,474142277822,4902235798,1001413,7186,1939,356,391809,0 44,2,2024-09-07 09:47:21:268,725165,725165,0,0,27585147,0,4344 44,3,2024-09-07 09:47:21:093,1,574,1,0,1097,7253,574,0 45,0,2024-09-07 09:47:21:765,138794,0.5,135503,0.7,284417,0.4,373549,2.00 45,1,2024-09-07 09:47:21:014,1009397,1009397,0,0,474221615168,4928154476,1001328,7166,903,382,391917,0 45,2,2024-09-07 09:47:21:268,728981,728981,0,0,28113643,0,3596 45,3,2024-09-07 09:47:20:938,1,574,0,0,531,5659,574,0 46,0,2024-09-07 09:47:20:997,142465,0.5,142061,0.7,285094,0.5,379091,2.00 46,1,2024-09-07 09:47:20:583,1011602,1011602,0,0,475592490840,4915483116,1004517,6221,864,366,391709,0 46,2,2024-09-07 09:47:20:608,726289,726289,0,0,28732066,0,4443 46,3,2024-09-07 09:47:21:131,1,574,12,0,908,7028,574,0 47,0,2024-09-07 09:47:21:105,144795,0.4,144721,0.6,290551,0.4,384916,2.00 47,1,2024-09-07 09:47:20:572,1012654,1012654,0,0,475017787854,4907518964,1006890,4911,853,365,391641,0 47,2,2024-09-07 09:47:20:911,727306,727306,0,0,27772071,0,4477 47,3,2024-09-07 09:47:21:114,1,574,11,0,600,6532,574,0 48,0,2024-09-07 09:47:21:500,146130,0.3,146335,0.4,292003,0.2,388275,1.50 48,1,2024-09-07 09:47:21:028,1010199,1010199,0,0,473796050217,4922469345,1004127,5494,578,383,391710,0 48,2,2024-09-07 09:47:20:698,725867,725867,0,0,26398146,0,3524 48,3,2024-09-07 09:47:20:753,1,574,0,0,339,4729,574,0 49,0,2024-09-07 09:47:21:712,147093,0.3,144213,0.5,280632,0.3,382529,1.75 49,1,2024-09-07 09:47:21:029,1008961,1008961,0,0,473926293120,4931533593,1001821,5446,1694,382,391809,0 49,2,2024-09-07 09:47:21:801,730025,730025,0,0,28723799,0,4426 49,3,2024-09-07 09:47:21:420,1,574,20,0,992,6994,574,0 50,0,2024-09-07 09:47:21:513,141224,0.3,139477,0.5,281035,0.2,374431,1.75 50,1,2024-09-07 09:47:21:010,1012299,1012299,0,0,475288615056,4914234349,1005899,5731,669,368,391691,0 50,2,2024-09-07 09:47:21:069,727215,727215,0,0,26404396,0,4490 50,3,2024-09-07 09:47:21:295,1,574,12,0,617,5955,574,0 51,0,2024-09-07 09:47:21:687,149000,0.3,145989,0.5,284180,0.2,387209,1.75 51,1,2024-09-07 09:47:21:682,1012978,1012978,0,0,475803841789,4908172659,1007336,4562,1080,365,391706,0 51,2,2024-09-07 09:47:21:318,723431,723431,0,0,25975537,0,3337 51,3,2024-09-07 09:47:21:028,1,574,35,0,678,4090,574,0 52,0,2024-09-07 09:47:21:415,147020,0.5,146658,0.7,293564,0.4,390104,2.00 52,1,2024-09-07 09:47:20:578,1007745,1007745,0,0,472955842836,4953973203,992879,12536,2330,368,391805,0 52,2,2024-09-07 09:47:21:759,720882,720844,38,0,35119016,0,6742 52,3,2024-09-07 09:47:20:679,1,574,2,0,1782,7028,574,0 53,0,2024-09-07 09:47:21:749,143733,0.7,139490,0.8,291733,0.7,382589,2.50 53,1,2024-09-07 09:47:20:781,1006370,1006370,0,0,472794622558,4964679770,988673,13453,4244,367,391968,0 53,2,2024-09-07 09:47:21:298,728978,728977,1,0,32063562,0,5455 53,3,2024-09-07 09:47:20:697,1,574,1,0,308,5550,574,0 54,0,2024-09-07 09:47:21:632,138049,0.6,138479,0.8,275588,0.5,368055,2.25 54,1,2024-09-07 09:47:20:593,1008573,1008573,0,0,473498423719,4927002348,997643,9262,1668,366,391810,0 54,2,2024-09-07 09:47:20:871,728143,728111,32,0,35631536,0,6397 54,3,2024-09-07 09:47:20:775,1,574,8,0,676,7665,574,0 55,0,2024-09-07 09:47:21:775,140257,0.6,144757,0.8,293054,0.5,382134,2.50 55,1,2024-09-07 09:47:20:803,1009323,1009323,0,0,473187763912,4924840022,998551,9383,1389,365,391731,0 55,2,2024-09-07 09:47:20:733,720724,720668,56,0,32951494,0,7239 55,3,2024-09-07 09:47:20:674,1,574,18,0,304,5320,574,0 56,0,2024-09-07 09:47:21:568,148083,1.2,139709,1.1,287723,1.6,385570,2.75 56,1,2024-09-07 09:47:20:570,1003406,1003406,0,0,471585093454,4987890085,986326,13604,3476,381,391867,0 56,2,2024-09-07 09:47:21:302,723387,723265,122,0,34080322,0,7432 56,3,2024-09-07 09:47:21:058,1,574,4,0,705,6763,574,0 57,0,2024-09-07 09:47:20:933,143524,1.5,143510,1.2,287211,2.1,383535,3.25 57,1,2024-09-07 09:47:20:986,1005705,1005705,0,0,472354701360,4959462306,991986,11360,2359,366,392032,0 57,2,2024-09-07 09:47:21:315,727466,727466,0,0,36194448,0,4804 57,3,2024-09-07 09:47:21:740,1,574,127,0,455,6710,574,0 58,0,2024-09-07 09:47:20:558,138031,0.9,133872,1.0,280156,1.1,366659,2.50 58,1,2024-09-07 09:47:20:584,1007363,1007360,0,3,473944877580,4967587845,992288,11380,3692,367,391675,3 58,2,2024-09-07 09:47:21:070,728309,728309,0,0,34162829,0,3483 58,3,2024-09-07 09:47:21:068,1,574,10,0,1043,6518,574,0 59,0,2024-09-07 09:47:21:754,143268,0.8,142868,0.9,285563,0.9,379404,2.75 59,1,2024-09-07 09:47:20:821,1005578,1005578,0,0,472513801825,4966237939,988750,13380,3448,369,391653,0 59,2,2024-09-07 09:47:20:583,723503,723503,0,0,32072401,0,3727 59,3,2024-09-07 09:47:21:740,1,574,5,0,1015,6940,574,0 60,0,2024-09-07 09:47:21:781,144308,0.5,144580,0.7,288975,0.5,384851,1.75 60,1,2024-09-07 09:47:20:794,1010882,1010882,0,0,474123000593,4925284192,1003639,6247,996,370,392031,0 60,2,2024-09-07 09:47:21:147,724926,724926,0,0,30112543,0,3811 60,3,2024-09-07 09:47:21:258,1,574,17,0,409,6609,574,0 61,0,2024-09-07 09:47:21:497,145692,0.6,146252,0.8,291117,0.6,388359,2.00 61,1,2024-09-07 09:47:20:776,1007325,1007325,0,0,472637082255,4948921086,996545,9119,1661,382,392127,0 61,2,2024-09-07 09:47:21:116,726264,726197,67,0,31107105,0,6411 61,3,2024-09-07 09:47:21:691,1,574,1,0,607,7749,574,0 62,0,2024-09-07 09:47:21:720,142336,0.6,145983,0.7,278693,0.6,378419,2.00 62,1,2024-09-07 09:47:21:126,1013872,1013866,0,6,476337522025,4909989359,1009200,4382,284,365,391975,6 62,2,2024-09-07 09:47:21:671,726299,726298,1,0,31227410,0,5555 62,3,2024-09-07 09:47:21:147,1,574,7,0,482,4680,574,0 63,0,2024-09-07 09:47:21:461,142387,0.4,142180,0.6,284880,0.3,378876,1.75 63,1,2024-09-07 09:47:20:804,1010111,1010105,0,6,474000615879,4922150726,1003464,5786,855,381,391800,6 63,2,2024-09-07 09:47:20:768,727258,727258,0,0,29427502,0,4369 63,3,2024-09-07 09:47:21:732,1,574,1,0,667,6108,574,0 64,0,2024-09-07 09:47:21:511,143839,0.5,143911,0.7,287107,0.4,382315,2.00 64,1,2024-09-07 09:47:20:755,1008943,1008943,0,0,474420114839,4943270646,999623,7344,1976,370,391794,0 64,2,2024-09-07 09:47:21:146,727508,727489,19,0,28439503,0,6121 64,3,2024-09-07 09:47:21:147,1,574,1,0,651,6196,574,0 65,0,2024-09-07 09:47:21:681,145124,0.6,145300,0.7,290385,0.6,386851,2.00 65,1,2024-09-07 09:47:20:864,1007494,1007494,0,0,472455357877,4939430830,1000095,6526,873,381,391901,0 65,2,2024-09-07 09:47:21:693,723419,723419,0,0,33889156,0,3367 65,3,2024-09-07 09:47:21:683,1,574,8,0,782,6510,574,0 66,0,2024-09-07 09:47:21:775,143923,0.5,143418,0.8,287111,0.5,382279,2.25 66,1,2024-09-07 09:47:21:297,1009847,1009847,0,0,473759659724,4930978427,1003355,5770,722,380,391743,0 66,2,2024-09-07 09:47:21:131,731829,731826,3,0,30633385,0,5455 66,3,2024-09-07 09:47:21:081,1,574,11,0,291,4933,574,0 67,0,2024-09-07 09:47:21:425,139467,0.5,139104,0.7,279216,0.5,372031,2.00 67,1,2024-09-07 09:47:20:777,1008973,1008972,0,1,473973966181,4936355540,1001682,6347,943,380,391787,1 67,2,2024-09-07 09:47:20:583,730452,730437,15,0,30469883,0,6205 67,3,2024-09-07 09:47:21:750,1,574,1,0,595,6060,574,0 68,0,2024-09-07 09:47:20:598,145845,0.6,145638,0.8,290106,0.6,387993,2.25 68,1,2024-09-07 09:47:20:571,1005330,1005330,0,0,472302796292,4969109712,991651,10149,3530,381,391953,0 68,2,2024-09-07 09:47:21:044,718599,718499,100,0,38212880,0,8578 68,3,2024-09-07 09:47:20:735,1,574,6,0,417,7591,574,0 69,0,2024-09-07 09:47:21:735,145506,0.7,146360,0.8,291750,0.7,387835,2.25 69,1,2024-09-07 09:47:21:036,1004367,1004367,0,0,472152732222,4978985763,990628,10908,2831,383,391994,0 69,2,2024-09-07 09:47:21:732,721543,721514,29,0,40542201,0,6912 69,3,2024-09-07 09:47:20:779,1,574,1,0,698,8449,574,0 70,0,2024-09-07 09:47:21:530,143129,0.8,143587,1.0,288732,0.7,382392,2.50 70,1,2024-09-07 09:47:20:801,1010578,1010578,0,0,475125066552,4931119556,1002849,6965,764,366,391725,0 70,2,2024-09-07 09:47:21:325,728639,728639,0,0,33995012,0,4323 70,3,2024-09-07 09:47:20:754,1,574,11,0,854,6517,574,0 71,0,2024-09-07 09:47:21:362,138257,1.0,138018,1.0,277195,1.3,370213,2.75 71,1,2024-09-07 09:47:21:625,1008180,1008180,0,0,473740423165,4948287329,995198,11361,1621,368,391738,0 71,2,2024-09-07 09:47:21:069,727372,727372,0,0,34143818,0,4352 71,3,2024-09-07 09:47:21:750,1,574,3,0,644,6646,574,0 72,0,2024-09-07 09:47:21:037,149704,0.5,146368,0.7,285590,0.5,388363,2.00 72,1,2024-09-07 09:47:21:030,1006571,1006571,0,0,472355866413,4954336545,992289,11887,2395,369,391819,0 72,2,2024-09-07 09:47:21:756,720947,720947,0,0,35164055,0,3983 72,3,2024-09-07 09:47:21:755,1,574,2,0,564,8352,574,0 73,0,2024-09-07 09:47:21:104,141212,0.5,144796,0.6,296134,0.4,385318,2.00 73,1,2024-09-07 09:47:20:777,1008713,1008713,0,0,473834201107,4925230756,1000976,6808,929,367,391858,0 73,2,2024-09-07 09:47:21:740,724032,724031,1,0,36436133,0,5027 73,3,2024-09-07 09:47:20:969,1,574,29,0,1091,8236,574,0 74,0,2024-09-07 09:47:21:372,146854,0.5,150370,0.7,286820,0.4,388937,2.00 74,1,2024-09-07 09:47:20:641,1007496,1007496,0,0,473394588784,4942109431,996307,9211,1978,381,391762,0 74,2,2024-09-07 09:47:21:006,725616,725616,0,0,31794114,0,4253 74,3,2024-09-07 09:47:21:442,1,574,12,0,522,6918,574,0 75,0,2024-09-07 09:47:21:774,140784,0.5,139720,0.8,280658,0.5,375068,2.25 75,1,2024-09-07 09:47:21:590,1009085,1009085,0,0,473082968059,4930949272,1000868,7351,866,380,391739,0 75,2,2024-09-07 09:47:21:358,726772,726772,0,0,38460195,0,4766 75,3,2024-09-07 09:47:21:069,1,574,12,0,702,7049,574,0 76,0,2024-09-07 09:47:20:641,142521,0.5,142028,0.7,284179,0.5,380411,2.25 76,1,2024-09-07 09:47:20:809,1008310,1008310,0,0,472894892467,4931137305,1001387,5953,970,382,391790,0 76,2,2024-09-07 09:47:21:063,727453,727450,3,0,31312920,0,5265 76,3,2024-09-07 09:47:21:146,1,574,0,0,227,5167,574,0 77,0,2024-09-07 09:47:21:694,144096,0.5,144646,0.7,289126,0.5,384202,2.00 77,1,2024-09-07 09:47:20:842,1008053,1008053,0,0,473675424953,4942170099,1000306,6873,874,381,391869,0 77,2,2024-09-07 09:47:21:290,723859,723859,0,0,30453895,0,3890 77,3,2024-09-07 09:47:21:094,1,574,1,0,401,6382,574,0 78,0,2024-09-07 09:47:21:722,146353,0.4,145798,0.6,292189,0.4,386904,2.00 78,1,2024-09-07 09:47:20:610,1008629,1008629,0,0,472964020788,4932153265,996739,9223,2667,367,391670,0 78,2,2024-09-07 09:47:21:412,726569,726556,13,0,28571744,0,8313 78,3,2024-09-07 09:47:21:133,1,574,8,0,311,4917,574,0 79,0,2024-09-07 09:47:21:353,138287,0.4,141607,0.6,290196,0.3,377239,2.00 79,1,2024-09-07 09:47:20:571,1011777,1011777,0,0,475039351632,4919106675,1003986,6432,1359,367,391682,0 79,2,2024-09-07 09:47:21:070,729224,729224,0,0,27856861,0,4195 79,3,2024-09-07 09:47:20:751,1,574,1,0,418,6865,574,0 80,0,2024-09-07 09:47:21:089,140374,0.6,144136,0.7,275825,0.5,373379,2.00 80,1,2024-09-07 09:47:21:621,1008935,1008935,0,0,474080476119,4936023504,1002477,6023,435,368,392269,0 80,2,2024-09-07 09:47:21:094,729935,729935,0,0,28569531,0,4433 80,3,2024-09-07 09:47:20:580,1,574,8,0,681,7253,574,0 81,0,2024-09-07 09:47:21:533,145193,0.6,148398,0.7,283530,0.5,384560,2.00 81,1,2024-09-07 09:47:21:650,1007120,1007120,0,0,472503549273,4940485760,998989,7368,763,382,391885,0 81,2,2024-09-07 09:47:21:125,721793,721730,63,0,31408532,0,5932 81,3,2024-09-07 09:47:21:122,1,574,4,0,719,6910,574,0 82,0,2024-09-07 09:47:21:532,146312,0.5,146458,0.7,293586,0.4,389112,2.00 82,1,2024-09-07 09:47:20:583,1010140,1010136,0,4,473697936633,4925176755,1004576,4737,823,381,391768,4 82,2,2024-09-07 09:47:21:695,726503,726503,0,0,26514933,0,4484 82,3,2024-09-07 09:47:21:751,1,574,8,0,363,5504,574,0 83,0,2024-09-07 09:47:21:552,144403,0.6,144412,0.7,288137,0.6,382854,2.25 83,1,2024-09-07 09:47:20:571,1007836,1007836,0,0,472851152735,4930513971,1000478,6714,644,382,391709,0 83,2,2024-09-07 09:47:20:777,729789,729764,25,0,28763652,0,5612 83,3,2024-09-07 09:47:20:751,1,574,10,0,1260,7019,574,0 84,0,2024-09-07 09:47:21:791,138712,0.8,138896,0.9,277548,0.7,371133,2.25 84,1,2024-09-07 09:47:21:052,1006246,1006246,0,0,472776050958,4947312268,994237,10339,1670,367,391967,0 84,2,2024-09-07 09:47:20:571,727798,727768,30,0,37685595,0,5971 84,3,2024-09-07 09:47:21:152,1,574,1,0,908,7768,574,0 85,0,2024-09-07 09:47:21:014,139799,0.6,139827,0.8,296675,0.6,383307,2.25 85,1,2024-09-07 09:47:20:571,1003848,1003848,0,0,471182048888,4979591431,987699,13354,2795,381,392092,0 85,2,2024-09-07 09:47:20:877,720778,720778,0,0,35199000,0,4255 85,3,2024-09-07 09:47:20:688,1,574,11,0,789,6775,574,0 86,0,2024-09-07 09:47:20:877,145424,0.7,149483,0.8,285718,0.7,387157,2.25 86,1,2024-09-07 09:47:20:831,1006282,1006282,0,0,473096465972,4964539603,992657,10954,2671,366,392169,0 86,2,2024-09-07 09:47:20:859,722870,722869,1,0,37740520,0,5004 86,3,2024-09-07 09:47:20:592,1,574,11,0,308,8123,574,0 87,0,2024-09-07 09:47:21:286,145540,0.8,145004,0.8,289972,1.0,387473,2.25 87,1,2024-09-07 09:47:20:551,1006017,1006017,0,0,472819440748,4955343356,993136,11160,1721,366,392076,0 87,2,2024-09-07 09:47:21:069,726328,726322,6,0,34306429,0,6323 87,3,2024-09-07 09:47:21:800,1,574,2,0,473,8460,574,0 88,0,2024-09-07 09:47:21:475,140085,0.4,140391,0.6,280772,0.4,373923,1.75 88,1,2024-09-07 09:47:20:578,1005467,1005467,0,0,472678945396,4954708720,991029,11474,2964,365,392084,0 88,2,2024-09-07 09:47:20:689,727550,727550,0,0,37058048,0,4465 88,3,2024-09-07 09:47:21:276,1,574,41,0,1080,9030,574,0 89,0,2024-09-07 09:47:21:774,148588,0.4,144114,0.6,284369,0.4,386948,1.75 89,1,2024-09-07 09:47:20:551,1004591,1004591,0,0,472840014531,4974124873,992005,10653,1933,382,391866,0 89,2,2024-09-07 09:47:21:134,723137,723137,0,0,34228343,0,3173 89,3,2024-09-07 09:47:21:791,1,574,1,0,468,9819,574,0 90,0,2024-09-07 09:47:21:619,140103,0.5,143946,0.6,293610,0.4,383081,2.00 90,1,2024-09-07 09:47:20:594,1006727,1006727,0,0,472620435038,4950563244,997040,8797,890,380,391825,0 90,2,2024-09-07 09:47:21:408,721620,721620,0,0,37020361,0,3608 90,3,2024-09-07 09:47:20:947,1,574,8,0,322,6975,574,0 91,0,2024-09-07 09:47:20:947,146243,0.4,141863,0.6,296742,0.4,388979,1.75 91,1,2024-09-07 09:47:20:572,1003914,1003914,0,0,472682830677,4981376899,988744,12104,3066,381,392047,0 91,2,2024-09-07 09:47:21:335,725545,725545,0,0,32655328,0,4713 91,3,2024-09-07 09:47:20:613,1,574,5,0,216,5300,574,0 92,0,2024-09-07 09:47:21:645,143455,0.5,146667,0.6,279666,0.5,378722,1.75 92,1,2024-09-07 09:47:20:583,1007921,1007921,0,0,473285239477,4943028318,1000093,6617,1211,381,392136,0 92,2,2024-09-07 09:47:21:356,728550,728550,0,0,29290728,0,3259 92,3,2024-09-07 09:47:21:013,1,574,0,0,167,4865,574,0 93,0,2024-09-07 09:47:20:956,142595,0.4,146148,0.6,278995,0.4,378924,1.75 93,1,2024-09-07 09:47:20:814,1008098,1008098,0,0,473765431467,4945481808,996779,9394,1925,366,391776,0 93,2,2024-09-07 09:47:20:932,726878,726878,0,0,35027821,0,4913 93,3,2024-09-07 09:47:21:413,1,574,1,0,294,5688,574,0 94,0,2024-09-07 09:47:21:610,144015,0.4,145089,0.5,290035,0.3,385104,1.75 94,1,2024-09-07 09:47:20:574,1008951,1008951,0,0,473510982641,4938843338,1002214,6307,430,381,391850,0 94,2,2024-09-07 09:47:20:760,723833,723805,28,0,29607016,0,6179 94,3,2024-09-07 09:47:21:695,1,574,13,0,576,7082,574,0 95,0,2024-09-07 09:47:21:377,146158,0.3,146228,0.5,292526,0.3,389396,1.75 95,1,2024-09-07 09:47:20:851,1010050,1010050,0,0,473402823877,4920647389,1001886,7462,702,365,391852,0 95,2,2024-09-07 09:47:21:025,723667,723667,0,0,29256709,0,3308 95,3,2024-09-07 09:47:21:709,1,574,5,0,718,8830,574,0 96,0,2024-09-07 09:47:21:029,144378,0.4,144736,0.5,289323,0.3,384500,1.75 96,1,2024-09-07 09:47:21:594,1007937,1007937,0,0,473654852833,4941959101,1000596,6070,1271,384,391964,0 96,2,2024-09-07 09:47:21:270,729923,729923,0,0,30485300,0,4180 96,3,2024-09-07 09:47:21:139,1,574,0,0,411,6670,574,0 97,0,2024-09-07 09:47:21:595,139697,0.3,139555,0.5,279945,0.3,371945,1.75 97,1,2024-09-07 09:47:20:776,1009765,1009765,0,0,474421063190,4923244664,1003220,5519,1026,367,392140,0 97,2,2024-09-07 09:47:20:608,729149,729149,0,0,30331023,0,4046 97,3,2024-09-07 09:47:20:571,1,574,3,0,242,6464,574,0 98,0,2024-09-07 09:47:21:705,145476,0.3,145471,0.5,291988,0.3,388166,1.50 98,1,2024-09-07 09:47:20:591,1009149,1009149,0,0,473558281185,4934136372,1002889,5438,822,381,391997,0 98,2,2024-09-07 09:47:20:781,723007,723007,0,0,28903073,0,4336 98,3,2024-09-07 09:47:20:714,1,574,16,0,840,8763,574,0 99,0,2024-09-07 09:47:21:488,146460,0.3,147148,0.5,292615,0.3,390644,1.75 99,1,2024-09-07 09:47:21:726,1010007,1010007,0,0,473732225558,4931190232,1003654,5413,940,380,392069,0 99,2,2024-09-07 09:47:21:430,724236,724236,0,0,35534975,0,4276 99,3,2024-09-07 09:47:20:582,1,574,2,0,1124,7234,574,0 100,0,2024-09-07 09:47:21:474,144288,0.8,144640,0.9,288983,0.9,385815,2.50 100,1,2024-09-07 09:47:20:554,1003733,1003733,0,0,471175591225,4985072568,987916,12527,3290,378,391989,0 100,2,2024-09-07 09:47:21:819,726238,726227,11,0,34815186,0,5417 100,3,2024-09-07 09:47:21:735,1,574,2,0,627,9899,574,0 101,0,2024-09-07 09:47:21:710,142544,1.1,139109,1.1,271982,1.1,372069,2.25 101,1,2024-09-07 09:47:20:551,1005023,1005023,0,0,471783884902,4960411793,991264,11253,2506,368,391847,0 101,2,2024-09-07 09:47:21:765,723608,723608,0,0,39379722,0,4871 101,3,2024-09-07 09:47:20:951,1,574,1,0,1250,8825,574,0 102,0,2024-09-07 09:47:20:946,141043,0.6,145293,0.8,295037,0.6,385981,2.00 102,1,2024-09-07 09:47:21:151,1005317,1005317,0,0,471923789575,4960651950,991456,11705,2156,369,391984,0 102,2,2024-09-07 09:47:21:745,723152,723098,54,0,33246062,0,6768 102,3,2024-09-07 09:47:21:613,1,574,144,0,466,6743,574,0 103,0,2024-09-07 09:47:21:636,149622,0.6,149632,0.7,281950,0.6,388434,2.00 103,1,2024-09-07 09:47:21:664,1003644,1003644,0,0,471248053262,4981035676,987079,12975,3590,381,392077,0 103,2,2024-09-07 09:47:20:583,722298,722298,0,0,35832488,0,3766 103,3,2024-09-07 09:47:20:777,1,574,15,0,916,7016,574,0 104,0,2024-09-07 09:47:21:041,144913,0.7,145079,0.9,289409,0.7,387097,2.25 104,1,2024-09-07 09:47:21:600,1006679,1006679,0,0,472163748600,4962857834,991755,12142,2782,365,392168,0 104,2,2024-09-07 09:47:21:666,724064,724064,0,0,35280610,0,4161 104,3,2024-09-07 09:47:21:441,1,574,1,0,1245,10448,574,0 105,0,2024-09-07 09:47:21:033,139011,0.9,135381,1.0,283747,0.9,373023,2.50 105,1,2024-09-07 09:47:20:569,1008421,1008421,0,0,473251392032,4956748084,996123,10489,1809,364,392009,0 105,2,2024-09-07 09:47:21:323,727034,727034,0,0,35675004,0,4360 105,3,2024-09-07 09:47:21:322,1,574,9,0,573,8863,574,0 106,0,2024-09-07 09:47:20:941,138271,0.8,141507,0.9,290165,0.9,379065,2.50 106,1,2024-09-07 09:47:21:751,1006418,1006418,0,0,472590180650,4959791228,992564,12118,1736,368,391914,0 106,2,2024-09-07 09:47:20:804,724260,724260,0,0,33007222,0,3254 106,3,2024-09-07 09:47:20:677,1,574,8,0,1224,8305,574,0 107,0,2024-09-07 09:47:21:144,144258,1.0,144376,0.9,288821,1.2,384875,2.25 107,1,2024-09-07 09:47:20:590,1004224,1004224,0,0,471515690841,4981540989,988143,14279,1802,381,392234,0 107,2,2024-09-07 09:47:21:297,721484,721483,1,0,35734923,0,5024 107,3,2024-09-07 09:47:21:761,1,574,9,0,733,8876,574,0 108,0,2024-09-07 09:47:21:798,145744,0.4,146239,0.6,291408,0.4,388412,1.75 108,1,2024-09-07 09:47:21:297,1007944,1007944,0,0,474348098065,4948217800,999440,7524,980,367,391894,0 108,2,2024-09-07 09:47:21:765,724576,724576,0,0,31496224,0,4246 108,3,2024-09-07 09:47:21:330,1,574,1,0,749,10695,574,0 109,0,2024-09-07 09:47:21:777,143905,0.4,142617,0.6,286332,0.3,381732,1.75 109,1,2024-09-07 09:47:20:625,1005233,1005233,0,0,472740143884,4958671664,996386,7625,1222,382,392132,0 109,2,2024-09-07 09:47:20:923,727039,727039,0,0,32399061,0,3617 109,3,2024-09-07 09:47:21:148,1,574,7,0,630,7166,574,0 110,0,2024-09-07 09:47:21:780,140509,0.4,136582,0.6,286037,0.3,375451,1.75 110,1,2024-09-07 09:47:21:658,1009836,1009836,0,0,474241689773,4924602336,1001933,5979,1924,368,392045,0 110,2,2024-09-07 09:47:21:310,727989,727989,0,0,30034923,0,4067 110,3,2024-09-07 09:47:20:693,1,574,12,0,722,7901,574,0 111,0,2024-09-07 09:47:21:419,146003,0.4,145140,0.5,290367,0.3,386885,1.75 111,1,2024-09-07 09:47:21:001,1011323,1011323,0,0,475548057083,4930200052,1006437,4523,363,380,391690,0 111,2,2024-09-07 09:47:21:116,723119,723119,0,0,30144783,0,4823 111,3,2024-09-07 09:47:20:913,1,574,0,0,379,6445,574,0 112,0,2024-09-07 09:47:20:918,147285,0.3,146691,0.4,294190,0.2,390386,1.50 112,1,2024-09-07 09:47:20:831,1009617,1009617,0,0,474637637135,4931055005,1002427,5965,1225,380,391624,0 112,2,2024-09-07 09:47:21:131,724299,724298,1,0,28953324,0,5036 112,3,2024-09-07 09:47:20:615,1,574,6,0,282,5371,574,0 113,0,2024-09-07 09:47:20:888,144584,0.3,144537,0.5,289333,0.2,385157,1.75 113,1,2024-09-07 09:47:21:698,1011951,1011951,0,0,476241189295,4915347516,1005872,5133,946,365,391664,0 113,2,2024-09-07 09:47:21:306,731940,731940,0,0,27323648,0,3813 113,3,2024-09-07 09:47:20:683,1,574,1,0,510,6257,574,0 114,0,2024-09-07 09:47:20:873,140233,0.3,141123,0.5,280735,0.2,375272,1.75 114,1,2024-09-07 09:47:20:722,1009942,1009942,0,0,474078581418,4924214412,1002184,6013,1745,381,391565,0 114,2,2024-09-07 09:47:20:874,729815,729814,1,0,28833682,0,5069 114,3,2024-09-07 09:47:21:278,1,574,11,0,395,4571,574,0 115,0,2024-09-07 09:47:20:554,146032,0.3,146380,0.4,291508,0.2,388694,1.50 115,1,2024-09-07 09:47:20:571,1010213,1010213,0,0,474153392455,4928359024,1002025,6671,1517,382,391757,0 115,2,2024-09-07 09:47:21:126,724472,724472,0,0,28367133,0,4382 115,3,2024-09-07 09:47:21:002,1,574,1,0,167,3462,574,0 116,0,2024-09-07 09:47:21:720,145130,0.7,144883,0.8,290380,0.6,388366,2.00 116,1,2024-09-07 09:47:20:911,1005329,1005329,0,0,471960123464,4978244815,992806,9434,3089,380,392089,0 116,2,2024-09-07 09:47:21:751,723905,723905,0,0,35947459,0,4475 116,3,2024-09-07 09:47:20:916,1,574,68,0,448,7565,574,0 117,0,2024-09-07 09:47:20:955,145646,0.7,145015,0.8,290514,0.8,388210,2.00 117,1,2024-09-07 09:47:21:582,1006475,1006475,0,0,471782743976,4943174394,995018,9937,1520,369,392033,0 117,2,2024-09-07 09:47:21:117,728995,728995,0,0,32623859,0,4303 117,3,2024-09-07 09:47:21:062,1,574,4,0,490,7551,574,0 118,0,2024-09-07 09:47:21:767,135857,0.6,139485,0.7,284645,0.5,372315,2.00 118,1,2024-09-07 09:47:20:590,1004831,1004831,0,0,472225067566,4969164095,989189,12022,3620,366,392054,0 118,2,2024-09-07 09:47:21:590,727162,727162,0,0,34705311,0,2842 118,3,2024-09-07 09:47:21:773,1,574,12,0,289,6668,574,0 119,0,2024-09-07 09:47:21:381,144102,0.7,144660,0.8,288777,0.7,384973,2.25 119,1,2024-09-07 09:47:20:574,1006101,1006101,0,0,473398461538,4968018862,991625,11967,2509,367,391857,0 119,2,2024-09-07 09:47:21:263,724438,724438,0,0,32405668,0,4309 119,3,2024-09-07 09:47:21:326,1,574,0,0,1358,10848,574,0 120,0,2024-09-07 09:47:21:570,143556,0.7,143340,0.8,287984,0.7,383988,2.25 120,1,2024-09-07 09:47:20:875,1007635,1007635,0,0,471990915329,4949213998,997126,9527,982,367,392144,0 120,2,2024-09-07 09:47:20:810,722829,722826,3,0,38192055,0,5363 120,3,2024-09-07 09:47:21:302,1,574,3,0,279,7180,574,0 121,0,2024-09-07 09:47:21:712,145961,1.1,145396,1.0,291202,1.5,387845,2.25 121,1,2024-09-07 09:47:21:685,1007495,1007495,0,0,472891454426,4945584825,997326,9316,853,366,391840,0 121,2,2024-09-07 09:47:21:133,724765,724765,0,0,34943646,0,4157 121,3,2024-09-07 09:47:20:742,1,574,60,0,387,7472,574,0 122,0,2024-09-07 09:47:21:811,141279,0.8,137495,0.9,288136,0.9,378534,2.00 122,1,2024-09-07 09:47:20:869,1005949,1005949,0,0,472202424464,4956666466,991908,11708,2333,366,392130,0 122,2,2024-09-07 09:47:21:322,728531,728456,75,0,38847387,0,5989 122,3,2024-09-07 09:47:20:608,1,574,12,0,512,9524,574,0 123,0,2024-09-07 09:47:20:978,141270,0.7,137433,0.8,287891,0.8,377122,2.25 123,1,2024-09-07 09:47:20:598,1006150,1006150,0,0,472573544569,4972119655,989761,13725,2664,369,392039,0 123,2,2024-09-07 09:47:21:019,724668,724667,1,0,33192519,0,5215 123,3,2024-09-07 09:47:21:133,1,574,1,0,478,6668,574,0 124,0,2024-09-07 09:47:20:931,148453,0.3,148376,0.5,280092,0.3,385401,1.75 124,1,2024-09-07 09:47:21:029,1010059,1010059,0,0,474140751054,4929237303,1002568,6321,1170,365,392178,0 124,2,2024-09-07 09:47:21:010,725150,725097,53,0,29733528,0,6487 124,3,2024-09-07 09:47:20:765,1,574,1,0,490,5777,574,0 125,0,2024-09-07 09:47:21:464,145909,0.4,145936,0.5,292875,0.3,389495,1.75 125,1,2024-09-07 09:47:20:855,1007130,1007130,0,0,472934922011,4937215696,999320,6680,1130,382,391813,0 125,2,2024-09-07 09:47:21:118,726688,726688,0,0,29706384,0,4534 125,3,2024-09-07 09:47:21:134,1,574,0,0,709,6633,574,0 126,0,2024-09-07 09:47:21:456,144603,0.4,148724,0.6,284468,0.4,385195,1.75 126,1,2024-09-07 09:47:20:577,1010616,1010616,0,0,474348256732,4911226742,1005287,4884,445,365,391987,0 126,2,2024-09-07 09:47:20:615,730558,730558,0,0,31230385,0,4539 126,3,2024-09-07 09:47:20:919,1,574,16,0,268,6331,574,0 127,0,2024-09-07 09:47:21:589,139883,0.3,140066,0.5,279745,0.3,372328,1.75 127,1,2024-09-07 09:47:20:637,1008606,1008606,0,0,473178251061,4924320830,998394,8589,1623,364,392187,0 127,2,2024-09-07 09:47:20:644,728093,728089,4,0,29364297,0,5305 127,3,2024-09-07 09:47:21:268,1,574,1,0,968,5639,574,0 128,0,2024-09-07 09:47:21:570,146363,0.3,146384,0.4,292545,0.2,388783,1.50 128,1,2024-09-07 09:47:21:608,1008973,1008973,0,0,473214446777,4923244718,1000850,7171,952,367,392423,0 128,2,2024-09-07 09:47:21:394,724069,724069,0,0,27248820,0,3171 128,3,2024-09-07 09:47:20:776,1,574,1,0,1082,9249,574,0 129,0,2024-09-07 09:47:21:002,147490,0.3,146768,0.5,294197,0.3,390987,1.50 129,1,2024-09-07 09:47:20:571,1005151,1005151,0,0,472250153934,4952164288,994741,8388,2022,379,391962,0 129,2,2024-09-07 09:47:20:688,725779,725775,4,0,29752345,0,5335 129,3,2024-09-07 09:47:20:693,1,574,6,0,506,8091,574,0 130,0,2024-09-07 09:47:21:714,145662,0.4,145056,0.6,290779,0.4,387242,1.75 130,1,2024-09-07 09:47:20:583,1009818,1009818,0,0,473661561817,4921538890,1004511,4914,393,381,391825,0 130,2,2024-09-07 09:47:21:129,729909,729909,0,0,30133858,0,4067 130,3,2024-09-07 09:47:21:295,1,574,8,0,960,7820,574,0 131,0,2024-09-07 09:47:21:945,139760,0.4,140183,0.5,281050,0.3,373622,1.75 131,1,2024-09-07 09:47:21:821,1008783,1008783,0,0,473925610102,4941835500,1001670,5919,1194,381,391865,0 131,2,2024-09-07 09:47:20:592,729146,729146,0,0,28050349,0,3979 131,3,2024-09-07 09:47:21:691,1,574,1,0,392,7368,574,0 132,0,2024-09-07 09:47:21:499,145057,0.5,146080,0.6,290921,0.4,387030,2.00 132,1,2024-09-07 09:47:20:584,1004893,1004893,0,0,471954960669,4971000211,990062,12175,2656,381,392532,0 132,2,2024-09-07 09:47:20:699,722748,722731,17,0,36192922,0,6451 132,3,2024-09-07 09:47:21:690,1,574,41,0,1298,10683,574,0 133,0,2024-09-07 09:47:21:524,141355,0.4,144587,0.6,296459,0.4,386056,2.00 133,1,2024-09-07 09:47:20:583,1004600,1004600,0,0,471855265475,4975077360,990623,12216,1761,383,391914,0 133,2,2024-09-07 09:47:21:093,725161,725111,50,0,37352308,0,6861 133,3,2024-09-07 09:47:21:305,1,574,2,0,528,6995,574,0 134,0,2024-09-07 09:47:20:957,146115,0.5,146166,0.7,292629,0.5,389171,2.00 134,1,2024-09-07 09:47:20:591,1006064,1006064,0,0,472144625441,4954990144,992612,10966,2486,366,391781,0 134,2,2024-09-07 09:47:21:768,726421,726397,24,0,34545729,0,6207 134,3,2024-09-07 09:47:20:786,1,574,56,0,739,7087,574,0 135,0,2024-09-07 09:47:21:111,136072,0.9,136084,0.9,288890,1.0,372552,2.25 135,1,2024-09-07 09:47:21:585,1005675,1005675,0,0,472529763871,4971245477,992756,11280,1639,380,391805,0 135,2,2024-09-07 09:47:20:692,728473,728473,0,0,35527607,0,4503 135,3,2024-09-07 09:47:21:010,1,574,3,0,900,5820,574,0 136,0,2024-09-07 09:47:21:626,143607,0.6,144056,0.7,286512,0.5,382458,2.00 136,1,2024-09-07 09:47:21:492,1007246,1007246,0,0,472998408757,4957710661,995996,9882,1368,381,392135,0 136,2,2024-09-07 09:47:21:133,726476,726461,15,0,34589896,0,6007 136,3,2024-09-07 09:47:21:110,1,574,8,0,637,6779,574,0 137,0,2024-09-07 09:47:20:961,149197,0.6,145419,0.7,284839,0.6,386684,2.00 137,1,2024-09-07 09:47:20:577,1006019,1006019,0,0,472907908962,4959736931,990531,12414,3074,366,391898,0 137,2,2024-09-07 09:47:21:704,722228,722228,0,0,35690491,0,3185 137,3,2024-09-07 09:47:20:780,1,574,1,0,484,7574,574,0 138,0,2024-09-07 09:47:21:740,145171,0.7,145418,0.9,291364,0.8,386811,2.00 138,1,2024-09-07 09:47:21:689,1006292,1006292,0,0,473116181663,4963408355,992107,11935,2250,368,391954,0 138,2,2024-09-07 09:47:20:589,724047,724047,0,0,33232747,0,4988 138,3,2024-09-07 09:47:20:615,1,574,12,0,1200,9161,574,0 139,0,2024-09-07 09:47:21:383,142080,1.1,142637,1.0,285189,1.6,380002,2.25 139,1,2024-09-07 09:47:20:754,1002330,1002330,0,0,470076003006,4985423872,984595,13981,3754,380,392109,0 139,2,2024-09-07 09:47:20:700,724153,724153,0,0,38116268,0,3379 139,3,2024-09-07 09:47:21:664,1,574,1,0,432,6826,574,0 140,0,2024-09-07 09:47:21:600,141037,0.3,140263,0.5,281507,0.2,375634,1.75 140,1,2024-09-07 09:47:21:535,1012623,1012623,0,0,475456452845,4902073453,1007677,4400,546,364,391606,0 140,2,2024-09-07 09:47:20:688,728652,728651,1,0,28039848,0,5036 140,3,2024-09-07 09:47:20:780,1,574,2,0,575,5850,574,0 141,0,2024-09-07 09:47:21:697,145534,0.3,149548,0.5,285830,0.3,386660,1.75 141,1,2024-09-07 09:47:20:859,1010234,1010234,0,0,474257027878,4927348389,1002271,6784,1179,379,391614,0 141,2,2024-09-07 09:47:21:686,723658,723647,11,0,29719042,0,5369 141,3,2024-09-07 09:47:21:043,1,574,1,0,391,6392,574,0 142,0,2024-09-07 09:47:21:303,147348,0.3,146441,0.5,293331,0.2,391194,1.50 142,1,2024-09-07 09:47:20:590,1008698,1008698,0,0,473326172652,4933381468,1002378,5816,504,382,392102,0 142,2,2024-09-07 09:47:21:299,723559,723527,32,0,30007563,0,6028 142,3,2024-09-07 09:47:21:748,1,574,8,0,484,6103,574,0 143,0,2024-09-07 09:47:21:405,144269,0.4,144456,0.5,289715,0.4,385137,1.75 143,1,2024-09-07 09:47:20:560,1011274,1011274,0,0,473807318662,4916295636,1004896,5812,566,367,391722,0 143,2,2024-09-07 09:47:20:776,730394,730394,0,0,30206388,0,3123 143,3,2024-09-07 09:47:21:163,1,574,10,0,462,7140,574,0 144,0,2024-09-07 09:47:21:515,135664,0.6,139583,0.8,284003,0.6,371940,2.25 144,1,2024-09-07 09:47:20:619,1005566,1005566,0,0,472188512698,4955928963,995308,8271,1987,381,391733,0 144,2,2024-09-07 09:47:21:754,729411,729411,0,0,29657070,0,4443 144,3,2024-09-07 09:47:21:740,1,574,42,0,249,5666,574,0 145,0,2024-09-07 09:47:21:375,140564,0.5,140690,0.8,298278,0.5,384235,2.25 145,1,2024-09-07 09:47:20:556,1004952,1004952,0,0,471312158351,4964053319,990967,11314,2671,382,391759,0 145,2,2024-09-07 09:47:21:432,721076,720994,82,0,35057676,0,7814 145,3,2024-09-07 09:47:20:900,1,574,1,0,622,7825,574,0 146,0,2024-09-07 09:47:21:612,145293,0.6,144814,0.8,290822,0.5,386676,2.25 146,1,2024-09-07 09:47:21:586,1005948,1005948,0,0,471814745262,4967035452,988797,12672,4479,367,391770,0 146,2,2024-09-07 09:47:21:695,722964,722958,6,0,33390724,0,5151 146,3,2024-09-07 09:47:21:275,1,574,8,0,1520,9486,574,0 147,0,2024-09-07 09:47:21:696,145554,0.6,145223,0.7,290173,0.6,387358,2.25 147,1,2024-09-07 09:47:21:377,1010076,1010076,0,0,474258660307,4929007711,1001959,7171,946,367,391791,0 147,2,2024-09-07 09:47:21:012,727491,727491,0,0,29435348,0,2968 147,3,2024-09-07 09:47:20:923,1,574,5,0,1626,9049,574,0 0,0,2024-09-07 09:47:31:721,140533,0.6,140493,0.7,298149,0.6,385198,2.00 0,1,2024-09-07 09:47:30:800,1008388,1008388,0,0,473679740641,4960196064,1000127,7332,929,369,391896,0 0,2,2024-09-07 09:47:31:075,727192,727192,0,0,29893128,0,4480 0,3,2024-09-07 09:47:30:980,1,575,13,0,431,8588,575,0 1,0,2024-09-07 09:47:31:803,145804,0.9,144776,0.9,291258,1.0,388533,2.00 1,1,2024-09-07 09:47:30:571,1007143,1007143,0,0,473477360234,4961714055,997738,7916,1489,370,391859,0 1,2,2024-09-07 09:47:30:653,727260,727260,0,0,29194711,0,3380 1,3,2024-09-07 09:47:31:302,1,575,1,0,269,7054,575,0 2,0,2024-09-07 09:47:31:571,141715,0.6,141538,0.7,282981,0.6,377378,2.00 2,1,2024-09-07 09:47:30:864,1010906,1010906,0,0,475413414838,4944429672,1004818,5139,949,379,391745,0 2,2,2024-09-07 09:47:31:265,729481,729481,0,0,28298196,0,3594 2,3,2024-09-07 09:47:30:703,1,575,8,0,357,5160,575,0 3,0,2024-09-07 09:47:31:747,142324,0.4,142135,0.6,283636,0.3,378804,2.00 3,1,2024-09-07 09:47:31:621,1009304,1009304,0,0,473754134484,4929433789,1001732,6869,703,379,391716,0 3,2,2024-09-07 09:47:31:163,728597,728574,23,0,29254528,0,5851 3,3,2024-09-07 09:47:31:766,1,575,1,0,275,4037,575,0 4,0,2024-09-07 09:47:31:780,140457,0.4,144352,0.5,294353,0.3,385029,1.75 4,1,2024-09-07 09:47:30:599,1005671,1005671,0,0,471234314701,4993195635,987893,14027,3751,370,391992,0 4,2,2024-09-07 09:47:31:046,721941,721941,0,0,35617212,0,4534 4,3,2024-09-07 09:47:31:033,1,575,15,0,448,7234,575,0 5,0,2024-09-07 09:47:31:370,146349,0.4,146370,0.5,292951,0.3,389128,1.75 5,1,2024-09-07 09:47:30:762,1006787,1006787,0,0,473046621470,4984668990,991965,12000,2822,367,392005,0 5,2,2024-09-07 09:47:31:858,723153,723153,0,0,34502504,0,3582 5,3,2024-09-07 09:47:31:745,1,575,39,0,457,7703,575,0 6,0,2024-09-07 09:47:30:920,144829,0.5,144405,0.7,288987,0.4,384874,2.00 6,1,2024-09-07 09:47:30:748,1008749,1008749,0,0,473190818355,4946077713,997756,9420,1573,379,391702,0 6,2,2024-09-07 09:47:31:136,730353,730335,18,0,33758963,0,5535 6,3,2024-09-07 09:47:31:274,1,575,15,0,710,7135,575,0 7,0,2024-09-07 09:47:31:560,139368,0.5,140278,0.7,279032,0.5,372515,2.00 7,1,2024-09-07 09:47:30:869,1007263,1007263,0,0,473399898146,4976313571,992311,12481,2471,382,391747,0 7,2,2024-09-07 09:47:30:776,728505,728505,0,0,32610622,0,4791 7,3,2024-09-07 09:47:30:855,1,575,2,0,552,6487,575,0 8,0,2024-09-07 09:47:31:355,146250,0.4,145981,0.5,292260,0.3,389189,1.75 8,1,2024-09-07 09:47:31:171,1005933,1005933,0,0,472570062686,4971806217,989741,12651,3541,366,392853,0 8,2,2024-09-07 09:47:30:794,719146,719144,2,0,37842635,0,5112 8,3,2024-09-07 09:47:30:590,1,575,1,0,772,9206,575,0 9,0,2024-09-07 09:47:31:174,146402,0.4,142540,0.5,298233,0.3,391122,1.75 9,1,2024-09-07 09:47:30:551,1006097,1006097,0,0,472116092230,4977150341,990352,12695,3050,369,392001,0 9,2,2024-09-07 09:47:31:108,724350,724349,1,0,34654675,0,5281 9,3,2024-09-07 09:47:31:753,1,575,1,0,1273,9806,575,0 10,0,2024-09-07 09:47:31:607,144827,0.4,144369,0.5,289769,0.3,385918,1.75 10,1,2024-09-07 09:47:30:590,1008069,1008069,0,0,473787541411,4969213637,993537,11715,2817,381,391981,0 10,2,2024-09-07 09:47:30:771,730046,730046,0,0,38354424,0,4713 10,3,2024-09-07 09:47:30:886,1,575,12,0,669,6679,575,0 11,0,2024-09-07 09:47:31:245,140010,0.4,135756,0.6,283993,0.4,374572,1.75 11,1,2024-09-07 09:47:30:580,1009369,1009369,0,0,473704877321,4970649907,994139,11089,4141,383,391766,0 11,2,2024-09-07 09:47:31:123,728371,728371,0,0,34312578,0,4698 11,3,2024-09-07 09:47:31:298,1,575,50,0,843,7493,575,0 12,0,2024-09-07 09:47:31:005,146194,0.4,146229,0.5,292062,0.3,388407,1.75 12,1,2024-09-07 09:47:30:955,1008962,1008962,0,0,472668113001,4931755659,1000675,7285,1002,370,391960,0 12,2,2024-09-07 09:47:31:558,722881,722881,0,0,32721725,0,4390 12,3,2024-09-07 09:47:31:068,1,575,32,0,386,7378,575,0 13,0,2024-09-07 09:47:31:389,146118,0.4,146056,0.5,291404,0.4,388670,1.75 13,1,2024-09-07 09:47:31:552,1006452,1006452,0,0,472275355495,4964591329,996099,8046,2307,382,391768,0 13,2,2024-09-07 09:47:30:608,727334,727334,0,0,29129206,0,3287 13,3,2024-09-07 09:47:31:788,1,575,40,0,522,7783,575,0 14,0,2024-09-07 09:47:30:573,146025,0.4,147087,0.6,291778,0.3,388695,1.75 14,1,2024-09-07 09:47:31:561,1013758,1013758,0,0,475915038069,4924467201,1005906,6880,972,364,391673,0 14,2,2024-09-07 09:47:30:772,729130,729100,30,0,30684461,0,6104 14,3,2024-09-07 09:47:31:122,1,575,8,0,1168,6437,575,0 15,0,2024-09-07 09:47:31:581,140599,0.4,139830,0.6,280665,0.4,374416,2.00 15,1,2024-09-07 09:47:31:608,1010634,1010634,0,0,474491711102,4943613546,1001601,7120,1913,381,391619,0 15,2,2024-09-07 09:47:30:998,731427,731427,0,0,26765243,0,3622 15,3,2024-09-07 09:47:31:417,1,575,10,0,1126,7680,575,0 16,0,2024-09-07 09:47:30:997,143366,0.6,144038,0.7,287495,0.6,383179,2.00 16,1,2024-09-07 09:47:30:566,1010527,1010527,0,0,473804563766,4955867300,1001257,7830,1440,370,392194,0 16,2,2024-09-07 09:47:31:434,725365,725365,0,0,30453688,0,4719 16,3,2024-09-07 09:47:31:150,1,575,1,0,358,7431,575,0 17,0,2024-09-07 09:47:31:820,149067,0.6,145397,0.8,284825,0.6,386809,2.00 17,1,2024-09-07 09:47:30:588,1008371,1008371,0,0,473143373563,4965734349,998196,8407,1768,368,392075,0 17,2,2024-09-07 09:47:31:667,727906,727905,1,0,31595075,0,5050 17,3,2024-09-07 09:47:30:579,1,575,8,0,518,8652,575,0 18,0,2024-09-07 09:47:30:945,144547,0.7,145466,0.8,289681,0.7,386790,2.25 18,1,2024-09-07 09:47:31:642,1012620,1012620,0,0,474270177579,4921189543,1005574,5789,1257,367,391725,0 18,2,2024-09-07 09:47:31:757,729036,729036,0,0,28056310,0,3541 18,3,2024-09-07 09:47:30:909,1,575,12,0,1059,5412,575,0 19,0,2024-09-07 09:47:31:548,142737,0.6,142940,0.8,284785,0.6,378346,2.00 19,1,2024-09-07 09:47:30:568,1011987,1011987,0,0,475820070587,4932482698,1004560,6335,1092,367,391777,0 19,2,2024-09-07 09:47:31:768,732681,732681,0,0,26659484,0,3988 19,3,2024-09-07 09:47:31:131,1,575,131,0,524,4524,575,0 20,0,2024-09-07 09:47:31:413,140800,0.6,140808,0.7,281392,0.6,375371,2.00 20,1,2024-09-07 09:47:30:614,1007923,1007923,0,0,474136505787,4970508268,997393,9025,1505,369,391922,0 20,2,2024-09-07 09:47:30:940,727759,727759,0,0,33297892,0,4321 20,3,2024-09-07 09:47:30:598,1,575,34,0,468,9469,575,0 21,0,2024-09-07 09:47:31:207,145284,0.5,145347,0.6,290739,0.4,385963,2.00 21,1,2024-09-07 09:47:31:552,1006245,1006245,0,0,472386139694,4990490138,989769,12687,3789,368,392016,0 21,2,2024-09-07 09:47:31:076,720986,720966,20,0,37533357,0,5617 21,3,2024-09-07 09:47:31:404,1,575,6,0,713,8101,575,0 22,0,2024-09-07 09:47:31:717,146599,0.5,147224,0.7,293627,0.4,389749,2.00 22,1,2024-09-07 09:47:31:034,1007320,1007320,0,0,472864225665,4981971525,989557,14224,3539,382,391822,0 22,2,2024-09-07 09:47:30:763,723908,723882,26,0,31512480,0,6328 22,3,2024-09-07 09:47:31:077,1,575,8,0,228,4884,575,0 23,0,2024-09-07 09:47:31:376,144344,0.5,144094,0.7,287954,0.5,384377,2.25 23,1,2024-09-07 09:47:31:012,1008953,1008953,0,0,474451668761,4982530035,991243,12754,4956,365,391690,0 23,2,2024-09-07 09:47:31:099,731319,731319,0,0,30605113,0,3773 23,3,2024-09-07 09:47:31:768,1,575,1,0,855,8787,575,0 24,0,2024-09-07 09:47:30:965,141036,0.4,140288,0.6,282253,0.4,374676,1.75 24,1,2024-09-07 09:47:30:630,1007744,1007744,0,0,472141522897,4943329149,998077,7969,1698,367,392269,0 24,2,2024-09-07 09:47:31:099,728894,728894,0,0,36509273,0,4438 24,3,2024-09-07 09:47:31:686,1,575,26,0,468,7311,575,0 25,0,2024-09-07 09:47:31:402,149888,0.4,145953,0.6,286466,0.4,390333,2.00 25,1,2024-09-07 09:47:30:578,1006350,1006350,0,0,472796347399,4994260346,988812,13965,3573,369,391928,0 25,2,2024-09-07 09:47:31:621,721566,721566,0,0,36757945,0,3978 25,3,2024-09-07 09:47:31:001,1,575,25,0,532,6412,575,0 26,0,2024-09-07 09:47:31:728,145024,0.4,141850,0.6,297841,0.4,387932,2.00 26,1,2024-09-07 09:47:31:554,1010130,1010130,0,0,472462783546,4947099152,996430,11210,2490,380,391748,0 26,2,2024-09-07 09:47:30:864,724583,724583,0,0,39455006,0,4689 26,3,2024-09-07 09:47:31:716,1,575,36,0,796,6645,575,0 27,0,2024-09-07 09:47:31:728,145521,0.4,146271,0.6,290730,0.4,387548,2.25 27,1,2024-09-07 09:47:31:678,1010323,1010323,0,0,475462628487,4953364366,1000901,8126,1296,381,391626,0 27,2,2024-09-07 09:47:30:869,726399,726334,65,0,34639981,0,5699 27,3,2024-09-07 09:47:31:025,1,575,0,0,564,5110,575,0 28,0,2024-09-07 09:47:31:412,140605,0.4,140457,0.6,281263,0.3,374544,1.75 28,1,2024-09-07 09:47:30:814,1011233,1011233,0,0,474376059879,4944222606,1002856,6640,1737,382,391698,0 28,2,2024-09-07 09:47:31:769,730196,730196,0,0,29965911,0,2915 28,3,2024-09-07 09:47:31:801,1,575,1,0,502,5583,575,0 29,0,2024-09-07 09:47:31:354,148915,0.4,144915,0.6,284561,0.3,387939,1.75 29,1,2024-09-07 09:47:31:564,1014323,1014323,0,0,475281452993,4915408783,1008237,5312,774,367,391809,0 29,2,2024-09-07 09:47:30:864,724685,724685,0,0,28809190,0,4986 29,3,2024-09-07 09:47:30:976,1,575,1,0,459,6441,575,0 30,0,2024-09-07 09:47:31:473,143740,0.5,140003,0.7,293277,0.4,383000,2.00 30,1,2024-09-07 09:47:30:572,1013122,1013122,0,0,476060726095,4939281130,1005887,6328,907,380,391672,0 30,2,2024-09-07 09:47:31:275,726577,726577,0,0,27625012,0,4192 30,3,2024-09-07 09:47:30:587,1,575,0,0,519,5445,575,0 31,0,2024-09-07 09:47:31:766,145597,0.4,146283,0.6,291642,0.4,389232,2.00 31,1,2024-09-07 09:47:30:565,1016333,1016333,0,0,477759708188,4905369679,1010331,4973,1029,356,391712,0 31,2,2024-09-07 09:47:31:275,726852,726852,0,0,31162425,0,4470 31,3,2024-09-07 09:47:31:712,1,575,6,0,239,4980,575,0 32,0,2024-09-07 09:47:31:417,142151,0.3,143028,0.5,284560,0.3,378853,1.75 32,1,2024-09-07 09:47:30:809,1012557,1012557,0,0,474597674585,4933744296,1006387,5455,715,381,391646,0 32,2,2024-09-07 09:47:30:938,731343,731343,0,0,27661467,0,3922 32,3,2024-09-07 09:47:31:014,1,575,62,0,304,4555,575,0 33,0,2024-09-07 09:47:31:495,142782,0.3,142440,0.4,285117,0.2,380036,1.50 33,1,2024-09-07 09:47:30:580,1012478,1012478,0,0,476220306263,4935438631,1004060,7147,1271,368,391730,0 33,2,2024-09-07 09:47:30:769,728497,728462,35,0,30583139,0,7012 33,3,2024-09-07 09:47:30:909,1,575,11,0,329,5059,575,0 34,0,2024-09-07 09:47:30:982,144949,0.3,148910,0.5,284784,0.2,384211,1.75 34,1,2024-09-07 09:47:31:052,1014657,1014657,0,0,476312414001,4901114931,1011707,2840,110,367,391637,0 34,2,2024-09-07 09:47:30:771,726128,726128,0,0,28668873,0,4562 34,3,2024-09-07 09:47:31:692,1,575,8,0,541,5357,575,0 35,0,2024-09-07 09:47:30:873,145397,0.3,146260,0.5,293483,0.2,389633,1.75 35,1,2024-09-07 09:47:31:067,1010957,1010957,0,0,474860958360,4930803774,1002882,6552,1523,382,391769,0 35,2,2024-09-07 09:47:31:589,725840,725840,0,0,31647036,0,4055 35,3,2024-09-07 09:47:30:912,1,575,1,0,466,5522,575,0 36,0,2024-09-07 09:47:31:527,145062,0.5,144974,0.7,289526,0.5,385725,2.00 36,1,2024-09-07 09:47:30:587,1009244,1009244,0,0,473864968445,4952676344,995699,11305,2240,366,391759,0 36,2,2024-09-07 09:47:31:756,730762,730762,0,0,33322141,0,3875 36,3,2024-09-07 09:47:30:868,1,575,24,0,556,8144,575,0 37,0,2024-09-07 09:47:31:432,139344,0.6,139337,0.7,279176,0.5,372781,2.25 37,1,2024-09-07 09:47:30:570,1009134,1009127,0,7,473951009192,4952722594,996611,9606,2910,365,391770,0 37,2,2024-09-07 09:47:31:143,726537,726522,15,0,33626161,0,5815 37,3,2024-09-07 09:47:31:775,1,575,1,0,888,7776,575,0 38,0,2024-09-07 09:47:31:457,145004,0.5,140588,0.7,294096,0.4,385127,2.00 38,1,2024-09-07 09:47:31:609,1009459,1009459,0,0,474518836598,4968067609,994189,12271,2999,368,391821,0 38,2,2024-09-07 09:47:30:773,723121,723074,47,0,33493421,0,6710 38,3,2024-09-07 09:47:31:001,1,575,129,0,689,7295,575,0 39,0,2024-09-07 09:47:31:777,149762,0.5,146429,0.7,285537,0.5,390061,2.00 39,1,2024-09-07 09:47:30:728,1008910,1008910,0,0,474077544882,4974220685,991780,13432,3698,365,391865,0 39,2,2024-09-07 09:47:31:437,725295,725295,0,0,31233088,0,3391 39,3,2024-09-07 09:47:30:713,1,575,29,0,525,6628,575,0 40,0,2024-09-07 09:47:31:518,143669,0.9,144266,1.0,287795,1.0,384110,2.75 40,1,2024-09-07 09:47:30:576,1010089,1010089,0,0,473198764812,4957513482,995503,11804,2782,368,391668,0 40,2,2024-09-07 09:47:31:303,728148,728147,1,0,36913101,0,5137 40,3,2024-09-07 09:47:31:148,1,575,3,0,1028,8520,575,0 41,0,2024-09-07 09:47:31:035,139241,1.3,142484,1.1,271586,1.8,369331,3.25 41,1,2024-09-07 09:47:30:776,1008928,1008928,0,0,473189367986,4952132673,995859,10933,2136,369,391878,0 41,2,2024-09-07 09:47:30:759,725996,725995,1,0,35826814,0,5408 41,3,2024-09-07 09:47:31:676,1,575,1,0,366,6132,575,0 42,0,2024-09-07 09:47:31:488,144592,0.9,144274,1.0,288640,0.9,383320,2.50 42,1,2024-09-07 09:47:31:454,1006453,1006453,0,0,472126999693,4962082285,990745,12779,2929,380,391675,0 42,2,2024-09-07 09:47:31:136,722113,722112,1,0,35520117,0,5513 42,3,2024-09-07 09:47:31:013,1,575,12,0,892,5507,575,0 43,0,2024-09-07 09:47:30:937,143720,0.7,139905,0.9,293243,0.8,383611,2.25 43,1,2024-09-07 09:47:30:579,1009882,1009882,0,0,474510217870,4957963335,996097,11385,2400,366,391696,0 43,2,2024-09-07 09:47:31:737,725885,725885,0,0,34076942,0,4723 43,3,2024-09-07 09:47:31:752,1,575,4,0,571,8489,575,0 44,0,2024-09-07 09:47:30:878,146297,0.4,146181,0.6,292817,0.3,389203,1.75 44,1,2024-09-07 09:47:30:567,1012332,1012332,0,0,474977324236,4910699333,1003207,7186,1939,356,391809,0 44,2,2024-09-07 09:47:31:267,726617,726617,0,0,27628325,0,4344 44,3,2024-09-07 09:47:31:095,1,575,2,0,1097,7255,575,0 45,0,2024-09-07 09:47:31:789,139096,0.5,135837,0.7,285039,0.4,374469,2.00 45,1,2024-09-07 09:47:31:006,1011222,1011222,0,0,474847403960,4934633861,1003152,7167,903,382,391917,0 45,2,2024-09-07 09:47:31:271,730431,730431,0,0,28151477,0,3596 45,3,2024-09-07 09:47:30:939,1,575,1,0,531,5660,575,0 46,0,2024-09-07 09:47:30:980,142922,0.5,142524,0.7,285975,0.5,380306,2.00 46,1,2024-09-07 09:47:30:579,1013417,1013417,0,0,476355560980,4923344206,1006332,6221,864,366,391709,0 46,2,2024-09-07 09:47:30:597,726905,726905,0,0,28759333,0,4443 46,3,2024-09-07 09:47:31:135,1,575,11,0,908,7039,575,0 47,0,2024-09-07 09:47:31:118,144901,0.4,144837,0.6,290820,0.4,385242,2.00 47,1,2024-09-07 09:47:30:579,1014431,1014431,0,0,475893177177,4916407047,1008667,4911,853,365,391641,0 47,2,2024-09-07 09:47:30:913,728824,728824,0,0,27802689,0,4477 47,3,2024-09-07 09:47:31:137,1,575,0,0,600,6532,575,0 48,0,2024-09-07 09:47:31:507,146253,0.3,146451,0.4,292241,0.2,388597,1.50 48,1,2024-09-07 09:47:31:028,1011947,1011947,0,0,474552915210,4930219402,1005875,5494,578,383,391710,0 48,2,2024-09-07 09:47:30:701,727434,727434,0,0,26450820,0,3524 48,3,2024-09-07 09:47:30:753,1,575,1,0,339,4730,575,0 49,0,2024-09-07 09:47:31:728,147104,0.3,144225,0.5,280662,0.3,382529,1.75 49,1,2024-09-07 09:47:31:028,1010661,1010661,0,0,474623448575,4938729239,1003521,5446,1694,382,391809,0 49,2,2024-09-07 09:47:31:803,731072,731072,0,0,28751786,0,4426 49,3,2024-09-07 09:47:31:453,1,575,45,0,992,7039,575,0 50,0,2024-09-07 09:47:31:508,141597,0.3,139836,0.5,281808,0.2,375428,1.75 50,1,2024-09-07 09:47:31:014,1014082,1014082,0,0,476238810483,4923890232,1007682,5731,669,368,391691,0 50,2,2024-09-07 09:47:31:073,728209,728209,0,0,26447635,0,4490 50,3,2024-09-07 09:47:31:291,1,575,13,0,617,5968,575,0 51,0,2024-09-07 09:47:31:692,149094,0.3,146075,0.5,284352,0.2,387457,1.75 51,1,2024-09-07 09:47:31:688,1014706,1014706,0,0,476542046423,4915954827,1009064,4562,1080,365,391706,0 51,2,2024-09-07 09:47:31:315,725028,725028,0,0,26016536,0,3337 51,3,2024-09-07 09:47:31:028,1,575,13,0,678,4103,575,0 52,0,2024-09-07 09:47:31:452,147265,0.5,146884,0.7,294013,0.4,390695,2.00 52,1,2024-09-07 09:47:30:575,1009537,1009537,0,0,473821625436,4963001413,994671,12536,2330,368,391805,0 52,2,2024-09-07 09:47:31:757,722126,722088,38,0,35216901,0,6742 52,3,2024-09-07 09:47:30:680,1,575,6,0,1782,7034,575,0 53,0,2024-09-07 09:47:31:733,144063,0.7,139815,0.8,292383,0.7,383551,2.50 53,1,2024-09-07 09:47:30:782,1007787,1007787,0,0,473517773729,4973066443,989922,13616,4249,367,391968,0 53,2,2024-09-07 09:47:31:307,730158,730157,1,0,32124760,0,5455 53,3,2024-09-07 09:47:30:703,1,575,2,0,308,5552,575,0 54,0,2024-09-07 09:47:31:616,138322,0.6,138741,0.8,276140,0.5,368755,2.25 54,1,2024-09-07 09:47:30:580,1010475,1010475,0,0,474397000618,4936880688,999479,9326,1670,366,391810,0 54,2,2024-09-07 09:47:30:865,729409,729377,32,0,35732903,0,6397 54,3,2024-09-07 09:47:30:769,1,575,0,0,676,7665,575,0 55,0,2024-09-07 09:47:31:789,140667,0.6,145185,0.8,294090,0.6,383557,2.50 55,1,2024-09-07 09:47:30:770,1011023,1011023,0,0,473978543138,4933371017,1000238,9396,1389,365,391731,0 55,2,2024-09-07 09:47:30:737,721940,721884,56,0,33017986,0,7239 55,3,2024-09-07 09:47:30:675,1,575,112,0,304,5432,575,0 56,0,2024-09-07 09:47:31:577,148369,1.2,140009,1.1,288317,1.6,386314,2.75 56,1,2024-09-07 09:47:30:575,1004873,1004873,0,0,472297960682,4995907289,987721,13675,3477,381,391867,0 56,2,2024-09-07 09:47:31:303,724543,724421,122,0,34188927,0,7432 56,3,2024-09-07 09:47:31:059,1,575,6,0,705,6769,575,0 57,0,2024-09-07 09:47:30:961,143691,1.5,143655,1.2,287529,2.1,383931,3.25 57,1,2024-09-07 09:47:30:989,1007431,1007431,0,0,473066461386,4967076488,993710,11362,2359,366,392032,0 57,2,2024-09-07 09:47:31:333,728772,728772,0,0,36311911,0,4804 57,3,2024-09-07 09:47:31:741,1,575,1,0,455,6711,575,0 58,0,2024-09-07 09:47:30:564,138263,0.9,134096,1.0,280637,1.1,367220,2.50 58,1,2024-09-07 09:47:30:582,1009155,1009152,0,3,474699643344,4975818870,994060,11400,3692,367,391675,3 58,2,2024-09-07 09:47:31:071,729740,729740,0,0,34306922,0,3483 58,3,2024-09-07 09:47:31:072,1,575,33,0,1043,6551,575,0 59,0,2024-09-07 09:47:31:744,143674,0.8,143256,1.0,286297,0.9,380394,2.75 59,1,2024-09-07 09:47:30:813,1007550,1007550,0,0,473443575215,4977999357,990275,13721,3554,369,391653,0 59,2,2024-09-07 09:47:30:598,724324,724324,0,0,32107956,0,3727 59,3,2024-09-07 09:47:31:751,1,575,19,0,1015,6959,575,0 60,0,2024-09-07 09:47:31:756,144450,0.5,144737,0.7,289320,0.5,385314,1.75 60,1,2024-09-07 09:47:30:802,1012633,1012633,0,0,474867314045,4932982107,1005390,6247,996,370,392031,0 60,2,2024-09-07 09:47:31:141,726368,726368,0,0,30144651,0,3811 60,3,2024-09-07 09:47:31:260,1,575,0,0,409,6609,575,0 61,0,2024-09-07 09:47:31:522,145788,0.6,146346,0.8,291317,0.6,388598,2.00 61,1,2024-09-07 09:47:30:780,1009122,1009122,0,0,473361188175,4956461672,998341,9120,1661,382,392127,0 61,2,2024-09-07 09:47:31:136,727777,727710,67,0,31236619,0,6411 61,3,2024-09-07 09:47:31:704,1,575,9,0,607,7758,575,0 62,0,2024-09-07 09:47:31:717,142442,0.6,146094,0.7,278928,0.6,378733,2.00 62,1,2024-09-07 09:47:31:115,1015668,1015662,0,6,476954132173,4916441272,1010995,4383,284,365,391975,6 62,2,2024-09-07 09:47:31:644,727657,727656,1,0,31260854,0,5555 62,3,2024-09-07 09:47:31:151,1,575,5,0,482,4685,575,0 63,0,2024-09-07 09:47:31:458,142892,0.4,142664,0.6,285865,0.3,380222,1.75 63,1,2024-09-07 09:47:30:899,1011899,1011893,0,6,475108538290,4933529519,1005252,5786,855,381,391800,6 63,2,2024-09-07 09:47:30:761,728035,728035,0,0,29473019,0,4369 63,3,2024-09-07 09:47:31:967,1,575,1,0,667,6109,575,0 64,0,2024-09-07 09:47:31:538,143968,0.5,144039,0.7,287309,0.4,382607,2.00 64,1,2024-09-07 09:47:30:757,1010794,1010794,0,0,475312453123,4952682276,1001473,7345,1976,370,391794,0 64,2,2024-09-07 09:47:31:161,728975,728956,19,0,28580737,0,6121 64,3,2024-09-07 09:47:31:147,1,575,0,0,651,6196,575,0 65,0,2024-09-07 09:47:31:686,145233,0.6,145421,0.7,290595,0.6,387102,2.00 65,1,2024-09-07 09:47:30:866,1009237,1009237,0,0,473497443959,4950269440,1001838,6526,873,381,391901,0 65,2,2024-09-07 09:47:31:700,724869,724869,0,0,34065218,0,3367 65,3,2024-09-07 09:47:31:686,1,575,0,0,782,6510,575,0 66,0,2024-09-07 09:47:31:772,144140,0.5,143613,0.8,287535,0.5,382810,2.25 66,1,2024-09-07 09:47:31:302,1011484,1011484,0,0,474666754088,4940458863,1004991,5771,722,380,391743,0 66,2,2024-09-07 09:47:31:134,733013,733010,3,0,30719577,0,5455 66,3,2024-09-07 09:47:31:084,1,575,7,0,291,4940,575,0 67,0,2024-09-07 09:47:31:419,139872,0.5,139554,0.7,280095,0.5,373220,2.00 67,1,2024-09-07 09:47:30:795,1010781,1010780,0,1,474580728347,4942678273,1003488,6349,943,380,391787,1 67,2,2024-09-07 09:47:30:586,731640,731625,15,0,30512424,0,6205 67,3,2024-09-07 09:47:31:755,1,575,50,0,595,6110,575,0 68,0,2024-09-07 09:47:30:567,145985,0.6,145795,0.8,290369,0.6,388305,2.25 68,1,2024-09-07 09:47:30:570,1007098,1007098,0,0,473186505503,4978936105,993325,10243,3530,381,391953,0 68,2,2024-09-07 09:47:31:044,719726,719626,100,0,38409685,0,8578 68,3,2024-09-07 09:47:30:736,1,575,7,0,417,7598,575,0 69,0,2024-09-07 09:47:31:747,145806,0.7,146638,0.8,292358,0.7,388438,2.25 69,1,2024-09-07 09:47:31:029,1006085,1006085,0,0,472872495785,4986960486,992305,10949,2831,383,391994,0 69,2,2024-09-07 09:47:31:738,722866,722837,29,0,40639079,0,6912 69,3,2024-09-07 09:47:30:769,1,575,7,0,698,8456,575,0 70,0,2024-09-07 09:47:31:536,143359,0.8,143776,1.0,289211,0.7,382817,2.50 70,1,2024-09-07 09:47:30:804,1012329,1012329,0,0,475978773552,4940974118,1004423,7100,806,366,391725,0 70,2,2024-09-07 09:47:31:325,729663,729663,0,0,34061126,0,4323 70,3,2024-09-07 09:47:30:747,1,575,8,0,854,6525,575,0 71,0,2024-09-07 09:47:31:357,138675,1.0,138440,1.1,278072,1.4,371381,2.75 71,1,2024-09-07 09:47:31:596,1009813,1009813,0,0,474245935877,4953883533,996831,11361,1621,368,391738,0 71,2,2024-09-07 09:47:31:074,728657,728657,0,0,34238009,0,4352 71,3,2024-09-07 09:47:31:759,1,575,1,0,644,6647,575,0 72,0,2024-09-07 09:47:31:020,150003,0.5,146643,0.7,286124,0.5,389102,2.00 72,1,2024-09-07 09:47:31:035,1007890,1007890,0,0,473151960471,4963561869,993465,11972,2453,369,391819,0 72,2,2024-09-07 09:47:31:756,722057,722057,0,0,35207917,0,3983 72,3,2024-09-07 09:47:31:768,1,575,3,0,564,8355,575,0 73,0,2024-09-07 09:47:31:116,141511,0.5,145108,0.6,296779,0.4,386261,2.00 73,1,2024-09-07 09:47:30:771,1010472,1010472,0,0,474366975246,4931057560,1002734,6809,929,367,391858,0 73,2,2024-09-07 09:47:31:794,725225,725224,1,0,36545468,0,5027 73,3,2024-09-07 09:47:30:971,1,575,1,0,1091,8237,575,0 74,0,2024-09-07 09:47:31:335,147060,0.5,150538,0.7,287158,0.4,389431,2.00 74,1,2024-09-07 09:47:30:636,1009225,1009225,0,0,474032245582,4949148109,998012,9235,1978,381,391762,0 74,2,2024-09-07 09:47:31:233,727085,727085,0,0,31892926,0,4253 74,3,2024-09-07 09:47:31:454,1,575,8,0,522,6926,575,0 75,0,2024-09-07 09:47:31:775,141137,0.5,140061,0.8,281267,0.5,376001,2.25 75,1,2024-09-07 09:47:31:593,1010836,1010836,0,0,474140965135,4941914906,1002614,7356,866,380,391739,0 75,2,2024-09-07 09:47:31:354,728329,728329,0,0,38574501,0,4766 75,3,2024-09-07 09:47:31:071,1,575,21,0,702,7070,575,0 76,0,2024-09-07 09:47:30:579,142980,0.5,142484,0.7,285034,0.5,381545,2.25 76,1,2024-09-07 09:47:30:812,1010110,1010110,0,0,473526841430,4937671660,1003187,5953,970,382,391790,0 76,2,2024-09-07 09:47:31:066,728142,728139,3,0,31329080,0,5265 76,3,2024-09-07 09:47:31:142,1,575,0,0,227,5167,575,0 77,0,2024-09-07 09:47:31:694,144216,0.5,144759,0.7,289336,0.5,384517,2.00 77,1,2024-09-07 09:47:30:827,1009822,1009822,0,0,474394884376,4949576992,1002075,6873,874,381,391869,0 77,2,2024-09-07 09:47:31:286,725327,725327,0,0,30482591,0,3890 77,3,2024-09-07 09:47:31:095,1,575,2,0,401,6384,575,0 78,0,2024-09-07 09:47:31:729,146472,0.4,145916,0.6,292437,0.4,387247,2.00 78,1,2024-09-07 09:47:30:636,1010436,1010436,0,0,473837428370,4941187413,998546,9223,2667,367,391670,0 78,2,2024-09-07 09:47:31:405,728208,728195,13,0,28616608,0,8313 78,3,2024-09-07 09:47:31:137,1,575,10,0,311,4927,575,0 79,0,2024-09-07 09:47:31:362,138300,0.4,141621,0.6,290229,0.3,377239,2.00 79,1,2024-09-07 09:47:30:571,1013532,1013532,0,0,476080460566,4929794684,1005741,6432,1359,367,391682,0 79,2,2024-09-07 09:47:31:074,730397,730397,0,0,27880566,0,4195 79,3,2024-09-07 09:47:30:748,1,575,1,0,418,6866,575,0 80,0,2024-09-07 09:47:31:126,140768,0.6,144499,0.7,276527,0.5,374283,2.00 80,1,2024-09-07 09:47:31:633,1010617,1010617,0,0,474628496369,4942555447,1003999,6183,435,368,392269,0 80,2,2024-09-07 09:47:31:112,730855,730855,0,0,28597829,0,4433 80,3,2024-09-07 09:47:30:577,1,575,5,0,681,7258,575,0 81,0,2024-09-07 09:47:31:563,145282,0.6,148501,0.7,283709,0.5,384789,2.00 81,1,2024-09-07 09:47:31:655,1008876,1008876,0,0,473189386300,4947572017,1000745,7368,763,382,391885,0 81,2,2024-09-07 09:47:31:146,723391,723328,63,0,31510204,0,5932 81,3,2024-09-07 09:47:31:123,1,575,0,0,719,6910,575,0 82,0,2024-09-07 09:47:31:555,146569,0.5,146696,0.7,294027,0.4,389697,2.00 82,1,2024-09-07 09:47:30:586,1011926,1011922,0,4,474526932724,4933750830,1006362,4737,823,381,391768,4 82,2,2024-09-07 09:47:31:691,727803,727803,0,0,26569583,0,4484 82,3,2024-09-07 09:47:31:753,1,575,9,0,363,5513,575,0 83,0,2024-09-07 09:47:31:524,144722,0.6,144732,0.7,288781,0.6,383742,2.25 83,1,2024-09-07 09:47:30:551,1009633,1009633,0,0,473710046943,4940010660,1002230,6759,644,382,391709,0 83,2,2024-09-07 09:47:30:771,730882,730857,25,0,28798378,0,5612 83,3,2024-09-07 09:47:30:748,1,575,6,0,1260,7025,575,0 84,0,2024-09-07 09:47:31:817,139003,0.8,139154,0.9,278095,0.7,371890,2.25 84,1,2024-09-07 09:47:31:041,1007854,1007854,0,0,473546152657,4955521757,995843,10341,1670,367,391967,0 84,2,2024-09-07 09:47:30:595,729103,729073,30,0,37844264,0,5971 84,3,2024-09-07 09:47:31:148,1,575,6,0,908,7774,575,0 85,0,2024-09-07 09:47:31:013,140145,0.6,140216,0.8,297193,0.6,384481,2.25 85,1,2024-09-07 09:47:30:559,1005478,1005478,0,0,471872977473,4987204830,989306,13377,2795,381,392092,0 85,2,2024-09-07 09:47:30:892,722175,722175,0,0,35294626,0,4255 85,3,2024-09-07 09:47:30:691,1,575,0,0,789,6775,575,0 86,0,2024-09-07 09:47:30:903,145728,0.7,149799,0.8,286328,0.7,388086,2.25 86,1,2024-09-07 09:47:30:827,1008000,1008000,0,0,473880756315,4973550246,994197,11118,2685,366,392169,0 86,2,2024-09-07 09:47:30:868,724136,724135,1,0,37821019,0,5004 86,3,2024-09-07 09:47:30:598,1,575,8,0,308,8131,575,0 87,0,2024-09-07 09:47:31:284,145700,0.8,145156,0.8,290299,1.0,387894,2.25 87,1,2024-09-07 09:47:30:597,1007686,1007686,0,0,473641243793,4964850147,994660,11304,1722,366,392076,0 87,2,2024-09-07 09:47:31:068,727764,727758,6,0,34462744,0,6323 87,3,2024-09-07 09:47:31:797,1,575,16,0,473,8476,575,0 88,0,2024-09-07 09:47:31:497,140327,0.4,140625,0.6,281292,0.4,374537,1.75 88,1,2024-09-07 09:47:30:580,1007232,1007232,0,0,473325442572,4962085233,992723,11545,2964,365,392084,0 88,2,2024-09-07 09:47:30:691,728937,728937,0,0,37132858,0,4465 88,3,2024-09-07 09:47:31:274,1,575,1,0,1080,9031,575,0 89,0,2024-09-07 09:47:31:774,148971,0.4,144521,0.6,285115,0.4,387988,1.75 89,1,2024-09-07 09:47:30:552,1006326,1006326,0,0,473746889939,4984148742,993619,10768,1939,382,391866,0 89,2,2024-09-07 09:47:31:136,723894,723894,0,0,34269583,0,3173 89,3,2024-09-07 09:47:31:801,1,575,6,0,468,9825,575,0 90,0,2024-09-07 09:47:31:620,140286,0.5,144123,0.6,293939,0.4,383520,2.00 90,1,2024-09-07 09:47:30:591,1008517,1008517,0,0,473550241104,4960549587,998816,8811,890,380,391825,0 90,2,2024-09-07 09:47:31:412,722978,722978,0,0,37126685,0,3608 90,3,2024-09-07 09:47:30:938,1,575,8,0,322,6983,575,0 91,0,2024-09-07 09:47:31:016,146326,0.4,141945,0.6,296919,0.4,389227,1.75 91,1,2024-09-07 09:47:30:567,1005742,1005742,0,0,473489711852,4989910044,990572,12104,3066,381,392047,0 91,2,2024-09-07 09:47:31:366,726930,726930,0,0,32713191,0,4713 91,3,2024-09-07 09:47:30:636,1,575,4,0,216,5304,575,0 92,0,2024-09-07 09:47:31:449,143571,0.5,146790,0.6,279902,0.5,379042,1.75 92,1,2024-09-07 09:47:30:580,1009681,1009681,0,0,473830071556,4948719411,1001853,6617,1211,381,392136,0 92,2,2024-09-07 09:47:31:350,729820,729820,0,0,29325901,0,3259 92,3,2024-09-07 09:47:31:013,1,575,3,0,167,4868,575,0 93,0,2024-09-07 09:47:30:967,143072,0.4,146633,0.6,280020,0.4,380273,1.75 93,1,2024-09-07 09:47:30:808,1009884,1009884,0,0,474352440127,4952444993,998470,9488,1926,366,391776,0 93,2,2024-09-07 09:47:30:939,727593,727593,0,0,35043635,0,4913 93,3,2024-09-07 09:47:31:446,1,575,2,0,294,5690,575,0 94,0,2024-09-07 09:47:31:603,144120,0.4,145174,0.5,290272,0.3,385390,1.75 94,1,2024-09-07 09:47:30:566,1010733,1010733,0,0,474340079256,4947337763,1003996,6307,430,381,391850,0 94,2,2024-09-07 09:47:30:760,725301,725273,28,0,29642192,0,6179 94,3,2024-09-07 09:47:31:695,1,575,8,0,576,7090,575,0 95,0,2024-09-07 09:47:31:354,146266,0.3,146321,0.5,292726,0.3,389648,1.75 95,1,2024-09-07 09:47:30:896,1011763,1011763,0,0,473955129047,4926335000,1003596,7463,704,365,391852,0 95,2,2024-09-07 09:47:31:027,725057,725057,0,0,29289301,0,3308 95,3,2024-09-07 09:47:31:716,1,575,2,0,718,8832,575,0 96,0,2024-09-07 09:47:31:029,144583,0.4,144923,0.5,289764,0.3,385012,1.75 96,1,2024-09-07 09:47:31:593,1009724,1009724,0,0,474348494639,4949150017,1002382,6071,1271,384,391964,0 96,2,2024-09-07 09:47:31:283,731066,731066,0,0,30520479,0,4180 96,3,2024-09-07 09:47:31:154,1,575,88,0,411,6758,575,0 97,0,2024-09-07 09:47:31:342,140118,0.3,139997,0.5,280797,0.3,373073,1.75 97,1,2024-09-07 09:47:30:770,1011359,1011359,0,0,475220190419,4931672982,1004813,5520,1026,367,392140,0 97,2,2024-09-07 09:47:30:622,730265,730265,0,0,30366405,0,4046 97,3,2024-09-07 09:47:30:569,1,575,0,0,242,6464,575,0 98,0,2024-09-07 09:47:31:692,145608,0.3,145629,0.5,292292,0.3,388457,1.50 98,1,2024-09-07 09:47:30:583,1010810,1010810,0,0,474135261064,4940220901,1004550,5438,822,381,391997,0 98,2,2024-09-07 09:47:30:770,724397,724397,0,0,28980630,0,4336 98,3,2024-09-07 09:47:30:706,1,575,9,0,840,8772,575,0 99,0,2024-09-07 09:47:31:446,146767,0.3,147449,0.5,293207,0.3,391409,1.75 99,1,2024-09-07 09:47:31:726,1011735,1011735,0,0,474497406243,4939394629,1005382,5413,940,380,392069,0 99,2,2024-09-07 09:47:31:423,725524,725524,0,0,35611814,0,4276 99,3,2024-09-07 09:47:30:587,1,575,202,0,1124,7436,575,0 100,0,2024-09-07 09:47:31:476,144496,0.8,144837,0.9,289451,0.9,386441,2.50 100,1,2024-09-07 09:47:30:572,1005203,1005203,0,0,471892238145,4993401859,989252,12639,3312,378,391989,0 100,2,2024-09-07 09:47:31:832,727431,727420,11,0,34917091,0,5417 100,3,2024-09-07 09:47:31:736,1,575,2,0,627,9901,575,0 101,0,2024-09-07 09:47:31:713,142854,1.2,139518,1.1,272673,1.2,372936,2.50 101,1,2024-09-07 09:47:30:551,1006907,1006907,0,0,472727349820,4971147483,992993,11389,2525,368,391847,0 101,2,2024-09-07 09:47:31:765,724855,724855,0,0,39585740,0,4871 101,3,2024-09-07 09:47:30:953,1,575,23,0,1250,8848,575,0 102,0,2024-09-07 09:47:30:967,141324,0.6,145580,0.8,295618,0.6,386745,2.00 102,1,2024-09-07 09:47:31:152,1007228,1007228,0,0,472723441718,4969358146,993352,11720,2156,369,391984,0 102,2,2024-09-07 09:47:31:753,724343,724289,54,0,33310181,0,6768 102,3,2024-09-07 09:47:31:619,1,575,23,0,466,6766,575,0 103,0,2024-09-07 09:47:31:597,149944,0.6,149973,0.7,282573,0.6,389158,2.00 103,1,2024-09-07 09:47:31:645,1005450,1005450,0,0,472077234748,4990044573,988865,12994,3591,381,392077,0 103,2,2024-09-07 09:47:30:594,723383,723383,0,0,36001821,0,3766 103,3,2024-09-07 09:47:30:756,1,575,24,0,916,7040,575,0 104,0,2024-09-07 09:47:31:010,145066,0.7,145262,0.9,289792,0.7,387570,2.25 104,1,2024-09-07 09:47:31:604,1008445,1008445,0,0,472936802308,4971473222,993465,12197,2783,365,392168,0 104,2,2024-09-07 09:47:31:670,725260,725260,0,0,35399519,0,4161 104,3,2024-09-07 09:47:31:420,1,575,13,0,1245,10461,575,0 105,0,2024-09-07 09:47:31:052,139336,0.9,135707,1.0,284440,0.9,373962,2.50 105,1,2024-09-07 09:47:30:564,1010143,1010143,0,0,473851148600,4963245650,997842,10492,1809,364,392009,0 105,2,2024-09-07 09:47:31:328,728544,728544,0,0,35732722,0,4360 105,3,2024-09-07 09:47:31:304,1,575,1,0,573,8864,575,0 106,0,2024-09-07 09:47:31:004,138695,0.8,141982,0.9,291064,0.9,380235,2.50 106,1,2024-09-07 09:47:31:756,1008405,1008405,0,0,473335553578,4969454563,994136,12397,1872,368,391914,0 106,2,2024-09-07 09:47:30:761,724913,724913,0,0,33065748,0,3254 106,3,2024-09-07 09:47:30:705,1,575,2,0,1224,8307,575,0 107,0,2024-09-07 09:47:31:109,144376,1.0,144485,0.9,289066,1.2,385215,2.25 107,1,2024-09-07 09:47:30:589,1006004,1006004,0,0,472359090263,4990706858,989876,14326,1802,381,392234,0 107,2,2024-09-07 09:47:31:292,722940,722939,1,0,35841488,0,5024 107,3,2024-09-07 09:47:31:759,1,575,36,0,733,8912,575,0 108,0,2024-09-07 09:47:31:985,145866,0.4,146361,0.6,291637,0.4,388743,1.75 108,1,2024-09-07 09:47:31:298,1009739,1009739,0,0,474993544728,4954879252,1001235,7524,980,367,391894,0 108,2,2024-09-07 09:47:31:783,726246,726246,0,0,31590523,0,4246 108,3,2024-09-07 09:47:31:334,1,575,17,0,749,10712,575,0 109,0,2024-09-07 09:47:31:836,143917,0.4,142632,0.6,286362,0.3,381732,1.75 109,1,2024-09-07 09:47:30:679,1007029,1007029,0,0,473372911709,4965513018,998174,7633,1222,382,392132,0 109,2,2024-09-07 09:47:30:939,728203,728203,0,0,32442852,0,3617 109,3,2024-09-07 09:47:31:147,1,575,10,0,630,7176,575,0 110,0,2024-09-07 09:47:31:777,140850,0.4,136913,0.6,286746,0.3,376375,1.75 110,1,2024-09-07 09:47:31:661,1011656,1011656,0,0,475024989835,4932569866,1003753,5979,1924,368,392045,0 110,2,2024-09-07 09:47:31:302,729033,729033,0,0,30054849,0,4067 110,3,2024-09-07 09:47:30:701,1,575,10,0,722,7911,575,0 111,0,2024-09-07 09:47:31:420,146096,0.4,145244,0.5,290583,0.3,387111,1.75 111,1,2024-09-07 09:47:31:007,1013136,1013136,0,0,476483981649,4940062970,1008250,4523,363,380,391690,0 111,2,2024-09-07 09:47:31:136,724647,724647,0,0,30189066,0,4823 111,3,2024-09-07 09:47:30:913,1,575,3,0,379,6448,575,0 112,0,2024-09-07 09:47:30:920,147523,0.3,146931,0.4,294643,0.2,390973,1.50 112,1,2024-09-07 09:47:30:826,1011419,1011419,0,0,475475339140,4939705044,1004229,5965,1225,380,391624,0 112,2,2024-09-07 09:47:31:132,725566,725565,1,0,28991973,0,5036 112,3,2024-09-07 09:47:30:598,1,575,10,0,282,5381,575,0 113,0,2024-09-07 09:47:30:879,144901,0.3,144847,0.5,289954,0.2,386056,1.75 113,1,2024-09-07 09:47:31:687,1013754,1013754,0,0,477175739660,4924936087,1007675,5133,946,365,391664,0 113,2,2024-09-07 09:47:31:303,733084,733084,0,0,27474159,0,3813 113,3,2024-09-07 09:47:30:691,1,575,1,0,510,6258,575,0 114,0,2024-09-07 09:47:30:904,140524,0.3,141404,0.5,281271,0.2,375981,1.75 114,1,2024-09-07 09:47:30:721,1011652,1011652,0,0,474863757596,4932699844,1003829,6078,1745,381,391565,0 114,2,2024-09-07 09:47:30:876,731162,731161,1,0,28886535,0,5069 114,3,2024-09-07 09:47:31:279,1,575,9,0,395,4580,575,0 115,0,2024-09-07 09:47:30:556,146533,0.3,146900,0.4,292627,0.2,390115,1.50 115,1,2024-09-07 09:47:30:571,1011822,1011822,0,0,474995724284,4937263879,1003626,6679,1517,382,391757,0 115,2,2024-09-07 09:47:31:128,725747,725747,0,0,28396276,0,4382 115,3,2024-09-07 09:47:31:004,1,575,2,0,167,3464,575,0 116,0,2024-09-07 09:47:31:703,145454,0.7,145216,0.8,291009,0.6,389162,2.00 116,1,2024-09-07 09:47:30:803,1007116,1007116,0,0,472654467343,4985977563,994550,9477,3089,380,392089,0 116,2,2024-09-07 09:47:31:761,725174,725174,0,0,36072819,0,4475 116,3,2024-09-07 09:47:30:942,1,575,62,0,448,7627,575,0 117,0,2024-09-07 09:47:30:953,145805,0.7,145153,0.8,290814,0.8,388570,2.00 117,1,2024-09-07 09:47:31:610,1008018,1008018,0,0,472568936780,4951788220,996543,9955,1520,369,392033,0 117,2,2024-09-07 09:47:31:136,730511,730511,0,0,32728623,0,4303 117,3,2024-09-07 09:47:31:058,1,575,3,0,490,7554,575,0 118,0,2024-09-07 09:47:31:772,136062,0.6,139726,0.7,285171,0.5,372817,2.00 118,1,2024-09-07 09:47:30:587,1006507,1006507,0,0,473048763617,4977892605,990863,12024,3620,366,392054,0 118,2,2024-09-07 09:47:31:595,728574,728574,0,0,34798951,0,2842 118,3,2024-09-07 09:47:31:770,1,575,8,0,289,6676,575,0 119,0,2024-09-07 09:47:31:336,144449,0.7,145062,0.8,289560,0.7,385808,2.25 119,1,2024-09-07 09:47:30:557,1007885,1007885,0,0,474183656144,4976915760,993351,12025,2509,367,391857,0 119,2,2024-09-07 09:47:31:270,725277,725277,0,0,32546708,0,4309 119,3,2024-09-07 09:47:31:324,1,575,1,0,1358,10849,575,0 120,0,2024-09-07 09:47:31:552,143734,0.7,143522,0.8,288322,0.7,384463,2.25 120,1,2024-09-07 09:47:30:863,1009456,1009456,0,0,472851578121,4958209350,998946,9528,982,367,392144,0 120,2,2024-09-07 09:47:30:778,724232,724229,3,0,38363386,0,5363 120,3,2024-09-07 09:47:31:291,1,575,12,0,279,7192,575,0 121,0,2024-09-07 09:47:31:701,146050,1.1,145504,1.0,291383,1.5,388095,2.25 121,1,2024-09-07 09:47:31:663,1009197,1009197,0,0,473660020259,4953658794,999026,9318,853,366,391840,0 121,2,2024-09-07 09:47:31:132,726207,726207,0,0,35010342,0,4157 121,3,2024-09-07 09:47:30:735,1,575,9,0,387,7481,575,0 122,0,2024-09-07 09:47:31:766,141405,0.8,137595,0.9,288383,0.9,378870,2.00 122,1,2024-09-07 09:47:30:882,1007831,1007831,0,0,472941490515,4964857957,993721,11776,2334,366,392130,0 122,2,2024-09-07 09:47:31:325,729937,729862,75,0,39010497,0,5989 122,3,2024-09-07 09:47:30:598,1,575,15,0,512,9539,575,0 123,0,2024-09-07 09:47:30:963,141734,0.7,137929,0.8,288870,0.8,378814,2.25 123,1,2024-09-07 09:47:30:569,1007950,1007950,0,0,473259846425,4979619791,991528,13758,2664,369,392039,0 123,2,2024-09-07 09:47:31:019,725455,725454,1,0,33232628,0,5215 123,3,2024-09-07 09:47:31:139,1,575,3,0,478,6671,575,0 124,0,2024-09-07 09:47:30:958,148578,0.3,148498,0.5,280311,0.3,385677,1.75 124,1,2024-09-07 09:47:31:027,1011803,1011803,0,0,474664748335,4934731756,1004312,6321,1170,365,392178,0 124,2,2024-09-07 09:47:31:016,726769,726716,53,0,29788742,0,6487 124,3,2024-09-07 09:47:30:769,1,575,1,0,490,5778,575,0 125,0,2024-09-07 09:47:31:494,146019,0.4,146062,0.5,293069,0.3,389750,1.75 125,1,2024-09-07 09:47:30:857,1008855,1008855,0,0,473804483196,4946209449,1001043,6681,1131,382,391813,0 125,2,2024-09-07 09:47:31:129,728142,728142,0,0,29752790,0,4534 125,3,2024-09-07 09:47:31:137,1,575,7,0,709,6640,575,0 126,0,2024-09-07 09:47:31:425,144824,0.4,148926,0.6,284894,0.4,385718,1.75 126,1,2024-09-07 09:47:30:554,1012402,1012402,0,0,475281023133,4921420557,1006947,5010,445,365,391987,0 126,2,2024-09-07 09:47:30:609,731733,731733,0,0,31287534,0,4539 126,3,2024-09-07 09:47:30:911,1,575,8,0,268,6339,575,0 127,0,2024-09-07 09:47:31:610,140329,0.3,140475,0.5,280563,0.3,373497,1.75 127,1,2024-09-07 09:47:30:579,1010451,1010451,0,0,473997009428,4932763534,1000239,8589,1623,364,392187,0 127,2,2024-09-07 09:47:30:650,729144,729140,4,0,29552415,0,5305 127,3,2024-09-07 09:47:31:277,1,575,27,0,968,5666,575,0 128,0,2024-09-07 09:47:31:529,146502,0.3,146516,0.4,292802,0.2,389070,1.50 128,1,2024-09-07 09:47:31:608,1010707,1010707,0,0,473945337251,4930875605,1002582,7173,952,367,392423,0 128,2,2024-09-07 09:47:31:384,725402,725402,0,0,27305786,0,3171 128,3,2024-09-07 09:47:30:775,1,575,2,0,1082,9251,575,0 129,0,2024-09-07 09:47:31:001,147775,0.3,147067,0.5,294781,0.3,391735,1.50 129,1,2024-09-07 09:47:30:568,1006848,1006848,0,0,473239026996,4962339835,996438,8388,2022,379,391962,0 129,2,2024-09-07 09:47:30:704,727101,727097,4,0,29799485,0,5335 129,3,2024-09-07 09:47:30:703,1,575,1,0,506,8092,575,0 130,0,2024-09-07 09:47:31:728,145869,0.4,145281,0.6,291212,0.4,387821,1.75 130,1,2024-09-07 09:47:30:596,1011624,1011624,0,0,474714509022,4932318888,1006317,4914,393,381,391825,0 130,2,2024-09-07 09:47:31:129,731030,731030,0,0,30166387,0,4067 130,3,2024-09-07 09:47:31:291,1,575,8,0,960,7828,575,0 131,0,2024-09-07 09:47:31:936,140199,0.4,140605,0.5,281953,0.3,374866,1.75 131,1,2024-09-07 09:47:31:824,1010573,1010573,0,0,474556191813,4948360672,1003460,5919,1194,381,391865,0 131,2,2024-09-07 09:47:30:568,730378,730378,0,0,28153982,0,3979 131,3,2024-09-07 09:47:31:692,1,575,76,0,392,7444,575,0 132,0,2024-09-07 09:47:31:448,145332,0.5,146369,0.6,291441,0.4,387692,2.00 132,1,2024-09-07 09:47:30:587,1006643,1006643,0,0,472693286430,4979282001,991762,12225,2656,381,392532,0 132,2,2024-09-07 09:47:30:704,723861,723844,17,0,36317165,0,6451 132,3,2024-09-07 09:47:31:688,1,575,1,0,1298,10684,575,0 133,0,2024-09-07 09:47:31:553,141687,0.4,144899,0.6,297104,0.4,386895,2.00 133,1,2024-09-07 09:47:30:585,1006357,1006357,0,0,472511025149,4982357481,992373,12223,1761,383,391914,0 133,2,2024-09-07 09:47:31:104,726359,726309,50,0,37524340,0,6861 133,3,2024-09-07 09:47:31:301,1,575,26,0,528,7021,575,0 134,0,2024-09-07 09:47:30:976,146318,0.5,146351,0.7,292982,0.5,389584,2.00 134,1,2024-09-07 09:47:30:598,1007872,1007872,0,0,473026348697,4964865619,994358,11028,2486,366,391781,0 134,2,2024-09-07 09:47:31:761,727858,727834,24,0,34631632,0,6207 134,3,2024-09-07 09:47:30:761,1,575,8,0,739,7095,575,0 135,0,2024-09-07 09:47:31:119,136377,0.9,136370,0.9,289606,1.0,373338,2.25 135,1,2024-09-07 09:47:31:593,1007403,1007403,0,0,473303839661,4979559897,994470,11294,1639,380,391805,0 135,2,2024-09-07 09:47:30:697,729981,729981,0,0,35664364,0,4503 135,3,2024-09-07 09:47:31:008,1,575,0,0,900,5820,575,0 136,0,2024-09-07 09:47:31:630,144065,0.6,144516,0.7,287371,0.5,383674,2.00 136,1,2024-09-07 09:47:31:445,1008960,1008960,0,0,473826734754,4966322966,997709,9883,1368,381,392135,0 136,2,2024-09-07 09:47:31:133,727140,727125,15,0,34638897,0,6007 136,3,2024-09-07 09:47:31:107,1,575,11,0,637,6790,575,0 137,0,2024-09-07 09:47:30:957,149317,0.6,145538,0.7,285089,0.6,387010,2.00 137,1,2024-09-07 09:47:30:589,1007840,1007840,0,0,473700790579,4968042884,992352,12414,3074,366,391898,0 137,2,2024-09-07 09:47:31:705,723818,723818,0,0,35745462,0,3185 137,3,2024-09-07 09:47:30:769,1,575,0,0,484,7574,575,0 138,0,2024-09-07 09:47:31:741,145293,0.7,145540,0.9,291580,0.8,387141,2.00 138,1,2024-09-07 09:47:31:686,1008180,1008180,0,0,474051117478,4974018151,993877,12052,2251,368,391954,0 138,2,2024-09-07 09:47:30:593,725603,725603,0,0,33310815,0,4988 138,3,2024-09-07 09:47:30:615,1,575,21,0,1200,9182,575,0 139,0,2024-09-07 09:47:31:424,142100,1.1,142650,1.0,285220,1.6,380002,2.25 139,1,2024-09-07 09:47:30:578,1004138,1004138,0,0,470822060581,4993409473,986402,13982,3754,380,392109,0 139,2,2024-09-07 09:47:30:701,725231,725231,0,0,38187844,0,3379 139,3,2024-09-07 09:47:31:668,1,575,24,0,432,6850,575,0 140,0,2024-09-07 09:47:31:591,141393,0.3,140623,0.5,282189,0.2,376529,1.75 140,1,2024-09-07 09:47:31:549,1014409,1014409,0,0,476252085723,4910342181,1009463,4400,546,364,391606,0 140,2,2024-09-07 09:47:30:691,729729,729728,1,0,28063515,0,5036 140,3,2024-09-07 09:47:30:781,1,575,1,0,575,5851,575,0 141,0,2024-09-07 09:47:31:698,145646,0.3,149637,0.5,286011,0.3,386919,1.75 141,1,2024-09-07 09:47:30:864,1012055,1012055,0,0,475254997560,4937511733,1004091,6785,1179,379,391614,0 141,2,2024-09-07 09:47:31:686,725268,725257,11,0,29766266,0,5369 141,3,2024-09-07 09:47:31:050,1,575,3,0,391,6395,575,0 142,0,2024-09-07 09:47:31:306,147569,0.3,146676,0.5,293790,0.2,391804,1.50 142,1,2024-09-07 09:47:30:596,1010483,1010483,0,0,474099998360,4941389706,1004163,5816,504,382,392102,0 142,2,2024-09-07 09:47:31:301,724854,724822,32,0,30037486,0,6028 142,3,2024-09-07 09:47:31:756,1,575,7,0,484,6110,575,0 143,0,2024-09-07 09:47:31:376,144588,0.4,144759,0.5,290383,0.4,386020,1.75 143,1,2024-09-07 09:47:30:556,1013107,1013107,0,0,474565455064,4924097505,1006729,5812,566,367,391722,0 143,2,2024-09-07 09:47:30:776,731513,731513,0,0,30247620,0,3123 143,3,2024-09-07 09:47:31:159,1,575,3,0,462,7143,575,0 144,0,2024-09-07 09:47:31:504,135940,0.6,139837,0.8,284592,0.6,372657,2.25 144,1,2024-09-07 09:47:30:579,1007376,1007376,0,0,472864612932,4963003346,997118,8271,1987,381,391733,0 144,2,2024-09-07 09:47:31:772,730835,730835,0,0,29706575,0,4443 144,3,2024-09-07 09:47:31:756,1,575,0,0,249,5666,575,0 145,0,2024-09-07 09:47:31:368,140977,0.6,141156,0.8,299126,0.5,385541,2.25 145,1,2024-09-07 09:47:30:558,1006691,1006691,0,0,472374684326,4975196147,992691,11329,2671,382,391759,0 145,2,2024-09-07 09:47:31:431,722273,722191,82,0,35151933,0,7814 145,3,2024-09-07 09:47:30:909,1,575,6,0,622,7831,575,0 146,0,2024-09-07 09:47:31:612,145605,0.6,145134,0.8,291481,0.5,387566,2.25 146,1,2024-09-07 09:47:31:621,1007763,1007763,0,0,472793597721,4977950844,990501,12783,4479,367,391770,0 146,2,2024-09-07 09:47:31:704,724092,724086,6,0,33434266,0,5151 146,3,2024-09-07 09:47:31:274,1,575,9,0,1520,9495,575,0 147,0,2024-09-07 09:47:31:704,145723,0.6,145398,0.7,290495,0.6,387785,2.25 147,1,2024-09-07 09:47:31:382,1011797,1011797,0,0,474871482112,4935353355,1003678,7173,946,367,391791,0 147,2,2024-09-07 09:47:31:028,728932,728932,0,0,29609688,0,2968 147,3,2024-09-07 09:47:30:913,1,575,2,0,1626,9051,575,0 0,0,2024-09-07 09:47:41:716,140713,0.6,140645,0.7,298520,0.6,385635,2.00 0,1,2024-09-07 09:47:40:804,1010153,1010153,0,0,474215316521,4965835907,1001891,7333,929,369,391896,0 0,2,2024-09-07 09:47:41:070,728535,728535,0,0,29957879,0,4480 0,3,2024-09-07 09:47:40:979,1,576,2,0,431,8590,576,0 1,0,2024-09-07 09:47:41:754,145872,0.9,144870,0.9,291458,1.0,388770,2.00 1,1,2024-09-07 09:47:40:558,1008974,1008974,0,0,474127498317,4968499388,999566,7918,1490,370,391859,0 1,2,2024-09-07 09:47:40:639,728657,728657,0,0,29249670,0,3380 1,3,2024-09-07 09:47:41:304,1,576,1,0,269,7055,576,0 2,0,2024-09-07 09:47:41:573,141846,0.6,141671,0.7,283241,0.6,377713,2.00 2,1,2024-09-07 09:47:40:864,1012709,1012709,0,0,476046034030,4951101877,1006621,5139,949,379,391745,0 2,2,2024-09-07 09:47:41:270,730915,730915,0,0,28346624,0,3594 2,3,2024-09-07 09:47:40:690,1,576,7,0,357,5167,576,0 3,0,2024-09-07 09:47:41:742,142823,0.4,142613,0.6,284681,0.4,380361,2.00 3,1,2024-09-07 09:47:41:624,1011066,1011066,0,0,474656528979,4938665664,1003494,6869,703,379,391716,0 3,2,2024-09-07 09:47:41:142,729251,729228,23,0,29268434,0,5851 3,3,2024-09-07 09:47:41:752,1,576,5,0,275,4042,576,0 4,0,2024-09-07 09:47:41:771,140560,0.4,144451,0.5,294573,0.3,385312,1.75 4,1,2024-09-07 09:47:40:595,1007454,1007454,0,0,472044599052,5001792518,989674,14029,3751,370,391992,0 4,2,2024-09-07 09:47:41:018,723331,723331,0,0,35724556,0,4534 4,3,2024-09-07 09:47:41:036,1,576,1,0,448,7235,576,0 5,0,2024-09-07 09:47:41:396,146438,0.4,146462,0.5,293128,0.3,389391,1.75 5,1,2024-09-07 09:47:40:765,1008399,1008399,0,0,473938528729,4994176949,993573,12003,2823,367,392005,0 5,2,2024-09-07 09:47:41:858,724647,724647,0,0,34608194,0,3582 5,3,2024-09-07 09:47:41:732,1,576,74,0,457,7777,576,0 6,0,2024-09-07 09:47:40:921,145020,0.5,144592,0.7,289385,0.4,385387,2.00 6,1,2024-09-07 09:47:40:750,1010526,1010526,0,0,474217704413,4956663539,999533,9420,1573,379,391702,0 6,2,2024-09-07 09:47:41:117,731421,731403,18,0,33851911,0,5535 6,3,2024-09-07 09:47:41:278,1,576,9,0,710,7144,576,0 7,0,2024-09-07 09:47:41:539,139799,0.5,140699,0.7,279866,0.5,373635,2.00 7,1,2024-09-07 09:47:40:851,1009079,1009079,0,0,474411344082,4987046663,994112,12496,2471,382,391747,0 7,2,2024-09-07 09:47:40:770,729583,729583,0,0,32660705,0,4791 7,3,2024-09-07 09:47:40:851,1,576,1,0,552,6488,576,0 8,0,2024-09-07 09:47:41:333,146377,0.4,146112,0.5,292512,0.3,389496,1.75 8,1,2024-09-07 09:47:41:031,1007768,1007768,0,0,473216420633,4979032221,991560,12667,3541,366,392853,0 8,2,2024-09-07 09:47:40:790,720502,720500,2,0,38070127,0,5112 8,3,2024-09-07 09:47:40:605,1,576,6,0,772,9212,576,0 9,0,2024-09-07 09:47:41:115,146678,0.4,142791,0.5,298840,0.3,391908,1.75 9,1,2024-09-07 09:47:40:550,1007909,1007909,0,0,472878030235,4985183160,992163,12696,3050,369,392001,0 9,2,2024-09-07 09:47:41:087,725569,725568,1,0,34708436,0,5281 9,3,2024-09-07 09:47:41:752,1,576,2,0,1273,9808,576,0 10,0,2024-09-07 09:47:41:604,145059,0.4,144574,0.5,290199,0.3,386634,1.75 10,1,2024-09-07 09:47:40:601,1009710,1009710,0,0,474449196921,4976429779,995169,11724,2817,381,391981,0 10,2,2024-09-07 09:47:40:766,731083,731083,0,0,38465874,0,4713 10,3,2024-09-07 09:47:40:870,1,576,1,0,669,6680,576,0 11,0,2024-09-07 09:47:41:019,140444,0.5,136213,0.6,284855,0.4,375898,1.75 11,1,2024-09-07 09:47:40:578,1011153,1011153,0,0,474517301487,4979028040,995923,11089,4141,383,391766,0 11,2,2024-09-07 09:47:41:127,729770,729770,0,0,34386146,0,4698 11,3,2024-09-07 09:47:41:298,1,576,3,0,843,7496,576,0 12,0,2024-09-07 09:47:40:953,146482,0.4,146514,0.5,292618,0.3,389116,1.75 12,1,2024-09-07 09:47:40:936,1010750,1010750,0,0,473555893759,4940868975,1002463,7285,1002,370,391960,0 12,2,2024-09-07 09:47:41:551,724084,724084,0,0,32752202,0,4390 12,3,2024-09-07 09:47:41:064,1,576,6,0,386,7384,576,0 13,0,2024-09-07 09:47:41:358,146438,0.4,146435,0.5,292063,0.4,389591,1.75 13,1,2024-09-07 09:47:41:537,1008196,1008196,0,0,473075496019,4972925612,997842,8047,2307,382,391768,0 13,2,2024-09-07 09:47:40:609,728479,728479,0,0,29150147,0,3287 13,3,2024-09-07 09:47:41:766,1,576,30,0,522,7813,576,0 14,0,2024-09-07 09:47:40:566,146193,0.4,147267,0.6,292140,0.3,389179,1.75 14,1,2024-09-07 09:47:41:572,1015543,1015543,0,0,476619299638,4931683887,1007690,6881,972,364,391673,0 14,2,2024-09-07 09:47:40:766,730612,730582,30,0,30717153,0,6104 14,3,2024-09-07 09:47:41:118,1,576,8,0,1168,6445,576,0 15,0,2024-09-07 09:47:41:553,140915,0.4,140156,0.6,281326,0.4,375314,2.00 15,1,2024-09-07 09:47:41:609,1012359,1012359,0,0,475331020339,4952199935,1003325,7121,1913,381,391619,0 15,2,2024-09-07 09:47:41:002,732838,732838,0,0,26791485,0,3622 15,3,2024-09-07 09:47:41:412,1,576,1,0,1126,7681,576,0 16,0,2024-09-07 09:47:40:956,143764,0.6,144478,0.7,288350,0.6,384404,2.00 16,1,2024-09-07 09:47:40:562,1012298,1012298,0,0,474599939949,4964040937,1003028,7830,1440,370,392194,0 16,2,2024-09-07 09:47:41:447,726131,726131,0,0,30481977,0,4719 16,3,2024-09-07 09:47:41:149,1,576,1,0,358,7432,576,0 17,0,2024-09-07 09:47:41:786,149193,0.6,145521,0.8,285032,0.6,387125,2.00 17,1,2024-09-07 09:47:40:582,1010269,1010269,0,0,473898108123,4973775350,1000092,8409,1768,368,392075,0 17,2,2024-09-07 09:47:41:672,729373,729372,1,0,31662276,0,5050 17,3,2024-09-07 09:47:40:582,1,576,6,0,518,8658,576,0 18,0,2024-09-07 09:47:40:992,144660,0.7,145592,0.8,289936,0.7,387131,2.25 18,1,2024-09-07 09:47:41:637,1014467,1014467,0,0,475216967452,4930928717,1007420,5790,1257,367,391725,0 18,2,2024-09-07 09:47:41:755,730542,730542,0,0,28100498,0,3541 18,3,2024-09-07 09:47:40:900,1,576,5,0,1059,5417,576,0 19,0,2024-09-07 09:47:41:538,142741,0.6,142946,0.8,284809,0.6,378403,2.00 19,1,2024-09-07 09:47:40:565,1014049,1014049,0,0,476642188418,4941970023,1006383,6533,1133,367,391777,0 19,2,2024-09-07 09:47:41:750,733902,733902,0,0,26696284,0,3988 19,3,2024-09-07 09:47:41:131,1,576,1,0,524,4525,576,0 20,0,2024-09-07 09:47:41:348,141169,0.6,141141,0.7,282099,0.6,376312,2.00 20,1,2024-09-07 09:47:40:567,1009723,1009723,0,0,474906574755,4978938964,999174,9044,1505,369,391922,0 20,2,2024-09-07 09:47:40:930,728634,728634,0,0,33360329,0,4321 20,3,2024-09-07 09:47:40:607,1,576,13,0,468,9482,576,0 21,0,2024-09-07 09:47:41:125,145379,0.5,145447,0.6,290925,0.4,386204,2.00 21,1,2024-09-07 09:47:41:545,1008041,1008041,0,0,473120541960,4998532787,991532,12720,3789,368,392016,0 21,2,2024-09-07 09:47:41:072,721967,721947,20,0,37642536,0,5617 21,3,2024-09-07 09:47:41:414,1,576,6,0,713,8107,576,0 22,0,2024-09-07 09:47:41:718,146825,0.5,147424,0.7,294084,0.4,390312,2.00 22,1,2024-09-07 09:47:41:026,1009026,1009026,0,0,473474911342,4988464240,991263,14224,3539,382,391822,0 22,2,2024-09-07 09:47:40:766,725134,725108,26,0,31681131,0,6328 22,3,2024-09-07 09:47:41:070,1,576,8,0,228,4892,576,0 23,0,2024-09-07 09:47:41:369,144661,0.5,144414,0.7,288645,0.5,385270,2.25 23,1,2024-09-07 09:47:41:012,1010160,1010160,0,0,475236715069,4990698069,992414,12790,4956,365,391690,0 23,2,2024-09-07 09:47:41:101,732442,732442,0,0,30635432,0,3773 23,3,2024-09-07 09:47:41:765,1,576,0,0,855,8787,576,0 24,0,2024-09-07 09:47:40:812,141303,0.4,140532,0.6,282770,0.4,375384,1.75 24,1,2024-09-07 09:47:40:581,1009511,1009511,0,0,472955804613,4952169141,999830,7983,1698,367,392269,0 24,2,2024-09-07 09:47:41:075,730087,730087,0,0,36605887,0,4438 24,3,2024-09-07 09:47:41:705,1,576,198,0,468,7509,576,0 25,0,2024-09-07 09:47:41:339,150375,0.4,146406,0.6,287472,0.4,392191,2.00 25,1,2024-09-07 09:47:40:566,1008137,1008137,0,0,473412717179,5001689754,990445,14082,3610,369,391928,0 25,2,2024-09-07 09:47:41:606,722811,722811,0,0,36839468,0,3978 25,3,2024-09-07 09:47:41:008,1,576,0,0,532,6412,576,0 26,0,2024-09-07 09:47:41:740,145347,0.4,142152,0.6,298468,0.4,388773,2.00 26,1,2024-09-07 09:47:41:552,1011874,1011874,0,0,473442816295,4957890645,998067,11317,2490,380,391748,0 26,2,2024-09-07 09:47:40:864,725973,725973,0,0,39579209,0,4689 26,3,2024-09-07 09:47:41:712,1,576,8,0,796,6653,576,0 27,0,2024-09-07 09:47:41:737,145689,0.4,146430,0.6,291033,0.4,387979,2.25 27,1,2024-09-07 09:47:41:675,1012126,1012126,0,0,476226753301,4961965294,1002561,8267,1298,381,391626,0 27,2,2024-09-07 09:47:40:867,727696,727631,65,0,34717949,0,5699 27,3,2024-09-07 09:47:41:024,1,576,0,0,564,5110,576,0 28,0,2024-09-07 09:47:41:398,140845,0.4,140703,0.6,281748,0.3,375200,1.75 28,1,2024-09-07 09:47:40:798,1013003,1013003,0,0,475162999860,4952253486,1004626,6640,1737,382,391698,0 28,2,2024-09-07 09:47:41:764,731524,731524,0,0,29992156,0,2915 28,3,2024-09-07 09:47:41:783,1,576,1,0,502,5584,576,0 29,0,2024-09-07 09:47:41:358,149311,0.4,145295,0.6,285326,0.3,389019,1.75 29,1,2024-09-07 09:47:41:567,1016115,1016115,0,0,476088164990,4923653551,1010028,5313,774,367,391809,0 29,2,2024-09-07 09:47:40:864,725624,725624,0,0,28830955,0,4986 29,3,2024-09-07 09:47:40:979,1,576,2,0,459,6443,576,0 30,0,2024-09-07 09:47:41:467,143903,0.5,140172,0.7,293628,0.4,383470,2.00 30,1,2024-09-07 09:47:40:571,1014872,1014872,0,0,476592358108,4944799864,1007637,6328,907,380,391672,0 30,2,2024-09-07 09:47:41:273,727966,727966,0,0,27654599,0,4192 30,3,2024-09-07 09:47:40:581,1,576,18,0,519,5463,576,0 31,0,2024-09-07 09:47:41:756,145690,0.4,146377,0.6,291834,0.4,389488,2.00 31,1,2024-09-07 09:47:40:563,1018115,1018115,0,0,478453852906,4912496769,1012113,4973,1029,356,391712,0 31,2,2024-09-07 09:47:41:274,728204,728204,0,0,31186855,0,4470 31,3,2024-09-07 09:47:41:715,1,576,3,0,239,4983,576,0 32,0,2024-09-07 09:47:41:436,142279,0.3,143135,0.5,284783,0.3,379215,1.75 32,1,2024-09-07 09:47:40:810,1014294,1014294,0,0,475479703049,4942815958,1008124,5455,715,381,391646,0 32,2,2024-09-07 09:47:40:934,732755,732755,0,0,27723324,0,3922 32,3,2024-09-07 09:47:41:015,1,576,59,0,304,4614,576,0 33,0,2024-09-07 09:47:41:542,143267,0.3,142926,0.4,286112,0.2,381386,1.50 33,1,2024-09-07 09:47:40:574,1014179,1014179,0,0,477063146015,4944046631,1005760,7148,1271,368,391730,0 33,2,2024-09-07 09:47:40:766,729217,729182,35,0,30599853,0,7012 33,3,2024-09-07 09:47:40:895,1,576,1,0,329,5060,576,0 34,0,2024-09-07 09:47:40:941,145044,0.3,149025,0.5,285002,0.2,384511,1.75 34,1,2024-09-07 09:47:41:053,1016542,1016542,0,0,477205386020,4910447717,1013583,2849,110,367,391637,0 34,2,2024-09-07 09:47:40:767,727627,727627,0,0,28712592,0,4562 34,3,2024-09-07 09:47:41:694,1,576,8,0,541,5365,576,0 35,0,2024-09-07 09:47:40:858,145503,0.3,146363,0.5,293685,0.2,389894,1.75 35,1,2024-09-07 09:47:41:070,1012709,1012709,0,0,475669625993,4939102479,1004634,6552,1523,382,391769,0 35,2,2024-09-07 09:47:41:588,727378,727378,0,0,31704821,0,4055 35,3,2024-09-07 09:47:40:907,1,576,3,0,466,5525,576,0 36,0,2024-09-07 09:47:41:526,145259,0.5,145173,0.7,289914,0.5,386202,2.00 36,1,2024-09-07 09:47:40:593,1011157,1011157,0,0,474705384012,4961639064,997608,11309,2240,366,391759,0 36,2,2024-09-07 09:47:41:759,731852,731852,0,0,33451007,0,3875 36,3,2024-09-07 09:47:40:863,1,576,1,0,556,8145,576,0 37,0,2024-09-07 09:47:41:383,139758,0.6,139778,0.7,280024,0.6,373953,2.25 37,1,2024-09-07 09:47:40:569,1010898,1010891,0,7,474889305193,4962667269,998370,9611,2910,365,391770,0 37,2,2024-09-07 09:47:41:141,727535,727520,15,0,33674609,0,5815 37,3,2024-09-07 09:47:41:766,1,576,1,0,888,7777,576,0 38,0,2024-09-07 09:47:41:443,145148,0.5,140693,0.7,294309,0.4,385430,2.00 38,1,2024-09-07 09:47:41:610,1011152,1011152,0,0,475309400460,4976324119,995869,12284,2999,368,391821,0 38,2,2024-09-07 09:47:40:759,724603,724556,47,0,33607232,0,6710 38,3,2024-09-07 09:47:40:998,1,576,5,0,689,7300,576,0 39,0,2024-09-07 09:47:41:764,150059,0.5,146683,0.7,286095,0.5,390779,2.00 39,1,2024-09-07 09:47:40:716,1010447,1010447,0,0,474720179157,4981119516,993279,13470,3698,365,391865,0 39,2,2024-09-07 09:47:41:427,726745,726745,0,0,31485184,0,3391 39,3,2024-09-07 09:47:40:714,1,576,0,0,525,6628,576,0 40,0,2024-09-07 09:47:41:513,143889,0.9,144464,1.0,288277,1.0,384689,2.75 40,1,2024-09-07 09:47:40:577,1011929,1011929,0,0,474151273130,4967458938,997342,11805,2782,368,391668,0 40,2,2024-09-07 09:47:41:305,729199,729198,1,0,37081556,0,5137 40,3,2024-09-07 09:47:41:149,1,576,1,0,1028,8521,576,0 41,0,2024-09-07 09:47:41:022,139682,1.3,142868,1.2,272451,1.9,370705,3.25 41,1,2024-09-07 09:47:40:767,1010705,1010705,0,0,474067767966,4961379052,997636,10933,2136,369,391878,0 41,2,2024-09-07 09:47:40:758,727415,727414,1,0,35872174,0,5408 41,3,2024-09-07 09:47:41:679,1,576,126,0,366,6258,576,0 42,0,2024-09-07 09:47:41:486,144874,0.9,144538,1.0,289180,0.9,384031,2.50 42,1,2024-09-07 09:47:41:443,1008218,1008218,0,0,473133955539,4972625547,992510,12779,2929,380,391675,0 42,2,2024-09-07 09:47:41:134,723276,723275,1,0,35585160,0,5513 42,3,2024-09-07 09:47:41:011,1,576,9,0,892,5516,576,0 43,0,2024-09-07 09:47:40:930,144055,0.7,140245,0.9,293914,0.8,384537,2.25 43,1,2024-09-07 09:47:40:582,1011799,1011799,0,0,475461516421,4968885557,997809,11530,2460,366,391696,0 43,2,2024-09-07 09:47:41:745,727063,727063,0,0,34166040,0,4723 43,3,2024-09-07 09:47:41:749,1,576,0,0,571,8489,576,0 44,0,2024-09-07 09:47:40:856,146472,0.4,146356,0.6,293128,0.3,389672,1.75 44,1,2024-09-07 09:47:40:563,1014173,1014173,0,0,475827481457,4919296132,1005048,7186,1939,356,391809,0 44,2,2024-09-07 09:47:41:267,728067,728067,0,0,27660404,0,4344 44,3,2024-09-07 09:47:41:093,1,576,2,0,1097,7257,576,0 45,0,2024-09-07 09:47:41:794,139419,0.5,136186,0.7,285739,0.4,375389,2.00 45,1,2024-09-07 09:47:41:009,1013048,1013048,0,0,475687654472,4943293212,1004978,7167,903,382,391917,0 45,2,2024-09-07 09:47:41:268,731936,731936,0,0,28185805,0,3596 45,3,2024-09-07 09:47:40:936,1,576,1,0,531,5661,576,0 46,0,2024-09-07 09:47:40:956,143367,0.5,142957,0.7,286792,0.5,381446,2.00 46,1,2024-09-07 09:47:40:574,1015211,1015211,0,0,477226142638,4932231616,1008126,6221,864,366,391709,0 46,2,2024-09-07 09:47:40:597,727670,727670,0,0,28778301,0,4443 46,3,2024-09-07 09:47:41:140,1,576,16,0,908,7055,576,0 47,0,2024-09-07 09:47:41:104,145015,0.4,144945,0.6,291079,0.4,385563,2.00 47,1,2024-09-07 09:47:40:568,1016209,1016209,0,0,476652291813,4924126189,1010445,4911,853,365,391641,0 47,2,2024-09-07 09:47:40:907,730233,730233,0,0,27825824,0,4477 47,3,2024-09-07 09:47:41:116,1,576,1,0,600,6533,576,0 48,0,2024-09-07 09:47:41:488,146383,0.3,146576,0.4,292499,0.2,388940,1.50 48,1,2024-09-07 09:47:41:026,1013687,1013687,0,0,475361695878,4938516475,1007615,5494,578,383,391710,0 48,2,2024-09-07 09:47:40:700,728870,728870,0,0,26516063,0,3524 48,3,2024-09-07 09:47:40:760,1,576,1,0,339,4731,576,0 49,0,2024-09-07 09:47:41:713,147114,0.3,144231,0.5,280675,0.3,382548,1.75 49,1,2024-09-07 09:47:41:024,1012479,1012479,0,0,475427092092,4947006470,1005338,5447,1694,382,391809,0 49,2,2024-09-07 09:47:41:797,732295,732295,0,0,28788041,0,4426 49,3,2024-09-07 09:47:41:421,1,576,1,0,992,7040,576,0 50,0,2024-09-07 09:47:41:520,141989,0.3,140212,0.5,282492,0.2,376380,1.75 50,1,2024-09-07 09:47:41:018,1015861,1015861,0,0,477138073116,4933098129,1009460,5732,669,368,391691,0 50,2,2024-09-07 09:47:41:072,729088,729088,0,0,26465719,0,4490 50,3,2024-09-07 09:47:41:292,1,576,3,0,617,5971,576,0 51,0,2024-09-07 09:47:41:682,149180,0.3,146175,0.5,284536,0.2,387700,1.75 51,1,2024-09-07 09:47:41:679,1016519,1016519,0,0,477351391934,4924173827,1010877,4562,1080,365,391706,0 51,2,2024-09-07 09:47:41:323,726560,726560,0,0,26068517,0,3337 51,3,2024-09-07 09:47:41:036,1,576,1,0,678,4104,576,0 52,0,2024-09-07 09:47:41:420,147467,0.5,147113,0.7,294477,0.4,391286,2.00 52,1,2024-09-07 09:47:40:579,1011235,1011235,0,0,474584445632,4971419837,996265,12640,2330,368,391805,0 52,2,2024-09-07 09:47:41:761,723485,723447,38,0,35316952,0,6742 52,3,2024-09-07 09:47:40:684,1,576,1,0,1782,7035,576,0 53,0,2024-09-07 09:47:41:734,144381,0.7,140117,0.8,293017,0.7,384428,2.50 53,1,2024-09-07 09:47:40:771,1009627,1009627,0,0,474314598908,4982982769,991501,13731,4395,367,391968,0 53,2,2024-09-07 09:47:41:304,731437,731436,1,0,32212600,0,5455 53,3,2024-09-07 09:47:40:698,1,576,0,0,308,5552,576,0 54,0,2024-09-07 09:47:41:614,138614,0.6,138992,0.8,276682,0.5,369494,2.25 54,1,2024-09-07 09:47:40:579,1012265,1012265,0,0,475433241559,4947980062,1001255,9340,1670,366,391810,0 54,2,2024-09-07 09:47:40:864,730779,730747,32,0,35912689,0,6397 54,3,2024-09-07 09:47:40:768,1,576,2,0,676,7667,576,0 55,0,2024-09-07 09:47:41:759,141189,0.6,145650,0.8,295299,0.6,385092,2.50 55,1,2024-09-07 09:47:40:766,1012825,1012825,0,0,474981200604,4944119202,1001970,9466,1389,365,391731,0 55,2,2024-09-07 09:47:40:728,723340,723284,56,0,33078508,0,7239 55,3,2024-09-07 09:47:40:678,1,576,0,0,304,5432,576,0 56,0,2024-09-07 09:47:41:622,148706,1.2,140308,1.1,288926,1.6,387263,2.75 56,1,2024-09-07 09:47:40:570,1006803,1006803,0,0,473044038519,5005980368,989222,13896,3685,381,391867,0 56,2,2024-09-07 09:47:41:316,725773,725651,122,0,34281661,0,7432 56,3,2024-09-07 09:47:41:069,1,576,3,0,705,6772,576,0 57,0,2024-09-07 09:47:41:000,143845,1.5,143790,1.2,287829,2.1,384339,3.25 57,1,2024-09-07 09:47:40:992,1009140,1009140,0,0,473696233148,4973683724,995419,11362,2359,366,392032,0 57,2,2024-09-07 09:47:41:323,730095,730095,0,0,36411787,0,4804 57,3,2024-09-07 09:47:41:746,1,576,1,0,455,6712,576,0 58,0,2024-09-07 09:47:40:553,138480,0.9,134340,1.0,281114,1.1,367911,2.50 58,1,2024-09-07 09:47:40:574,1010763,1010760,0,3,475539198072,4984815690,995665,11402,3693,367,391675,3 58,2,2024-09-07 09:47:41:070,731010,731010,0,0,34432104,0,3483 58,3,2024-09-07 09:47:41:070,1,576,9,0,1043,6560,576,0 59,0,2024-09-07 09:47:41:761,144064,0.8,143660,1.0,287083,0.9,381508,2.75 59,1,2024-09-07 09:47:40:804,1009089,1009089,0,0,474193990693,4985845757,991813,13722,3554,369,391653,0 59,2,2024-09-07 09:47:40:597,725379,725379,0,0,32174224,0,3727 59,3,2024-09-07 09:47:41:737,1,576,3,0,1015,6962,576,0 60,0,2024-09-07 09:47:41:882,144623,0.5,144923,0.7,289652,0.5,385765,1.75 60,1,2024-09-07 09:47:40:792,1014455,1014455,0,0,475706948478,4941589275,1007211,6248,996,370,392031,0 60,2,2024-09-07 09:47:41:148,727717,727717,0,0,30184473,0,3811 60,3,2024-09-07 09:47:41:265,1,576,10,0,409,6619,576,0 61,0,2024-09-07 09:47:41:610,145890,0.6,146461,0.8,291493,0.6,388835,2.00 61,1,2024-09-07 09:47:40:777,1010903,1010903,0,0,473971845405,4962812911,1000122,9120,1661,382,392127,0 61,2,2024-09-07 09:47:41:116,729230,729163,67,0,31293883,0,6411 61,3,2024-09-07 09:47:41:689,1,576,0,0,607,7758,576,0 62,0,2024-09-07 09:47:41:713,142542,0.6,146237,0.7,279150,0.6,379134,2.00 62,1,2024-09-07 09:47:41:119,1017465,1017459,0,6,477597871720,4923019882,1012792,4383,284,365,391975,6 62,2,2024-09-07 09:47:41:643,729118,729117,1,0,31302488,0,5555 62,3,2024-09-07 09:47:41:143,1,576,7,0,482,4692,576,0 63,0,2024-09-07 09:47:41:460,143360,0.4,143099,0.6,286857,0.3,381545,1.75 63,1,2024-09-07 09:47:40:803,1013766,1013760,0,6,476122168223,4943852411,1007118,5787,855,381,391800,6 63,2,2024-09-07 09:47:40:763,728696,728696,0,0,29484952,0,4369 63,3,2024-09-07 09:47:41:737,1,576,0,0,667,6109,576,0 64,0,2024-09-07 09:47:41:566,144072,0.5,144141,0.7,287544,0.4,382925,2.00 64,1,2024-09-07 09:47:40:762,1012515,1012515,0,0,476063103747,4960552095,1003194,7345,1976,370,391794,0 64,2,2024-09-07 09:47:41:145,730461,730442,19,0,28617748,0,6121 64,3,2024-09-07 09:47:41:149,1,576,14,0,651,6210,576,0 65,0,2024-09-07 09:47:41:676,145330,0.6,145550,0.7,290783,0.6,387363,2.00 65,1,2024-09-07 09:47:40:876,1011050,1011050,0,0,474460312426,4960179619,1003651,6526,873,381,391901,0 65,2,2024-09-07 09:47:41:695,726522,726522,0,0,34136456,0,3367 65,3,2024-09-07 09:47:41:686,1,576,1,0,782,6511,576,0 66,0,2024-09-07 09:47:41:764,144329,0.5,143827,0.8,287946,0.5,383279,2.25 66,1,2024-09-07 09:47:41:299,1013387,1013387,0,0,475464958008,4948858762,1006893,5772,722,380,391743,0 66,2,2024-09-07 09:47:41:132,734084,734081,3,0,30750190,0,5455 66,3,2024-09-07 09:47:41:082,1,576,8,0,291,4948,576,0 67,0,2024-09-07 09:47:41:411,140278,0.5,139992,0.7,281014,0.5,374365,2.00 67,1,2024-09-07 09:47:40:774,1012588,1012587,0,1,475564360211,4952817716,1005295,6349,943,380,391787,1 67,2,2024-09-07 09:47:40:581,732648,732633,15,0,30568071,0,6205 67,3,2024-09-07 09:47:41:752,1,576,5,0,595,6115,576,0 68,0,2024-09-07 09:47:40:623,146113,0.6,145931,0.8,290564,0.6,388622,2.25 68,1,2024-09-07 09:47:40:585,1008848,1008848,0,0,473973924035,4987335575,995075,10243,3530,381,391953,0 68,2,2024-09-07 09:47:41:047,721303,721203,100,0,38709115,0,8578 68,3,2024-09-07 09:47:40:738,1,576,2,0,417,7600,576,0 69,0,2024-09-07 09:47:41:737,146117,0.7,146934,0.8,292938,0.7,389455,2.25 69,1,2024-09-07 09:47:41:015,1007895,1007895,0,0,473756219364,4996343414,994114,10950,2831,383,391994,0 69,2,2024-09-07 09:47:41:734,724187,724158,29,0,40770248,0,6912 69,3,2024-09-07 09:47:40:760,1,576,1,0,698,8457,576,0 70,0,2024-09-07 09:47:41:553,143592,0.8,144006,1.0,289672,0.7,383621,2.50 70,1,2024-09-07 09:47:40:805,1014150,1014150,0,0,476879468353,4950437176,1006244,7100,806,366,391725,0 70,2,2024-09-07 09:47:41:324,730665,730665,0,0,34106707,0,4323 70,3,2024-09-07 09:47:40:749,1,576,0,0,854,6525,576,0 71,0,2024-09-07 09:47:41:356,139130,1.1,138881,1.1,278992,1.5,372825,2.75 71,1,2024-09-07 09:47:41:601,1011744,1011744,0,0,474980707275,4961908990,998760,11363,1621,368,391738,0 71,2,2024-09-07 09:47:41:070,730015,730015,0,0,34304962,0,4352 71,3,2024-09-07 09:47:41:754,1,576,1,0,644,6648,576,0 72,0,2024-09-07 09:47:41:049,150296,0.5,146935,0.7,286642,0.5,389814,2.00 72,1,2024-09-07 09:47:41:029,1009959,1009959,0,0,473832177344,4971769631,995308,12153,2498,369,391819,0 72,2,2024-09-07 09:47:41:759,723261,723261,0,0,35248614,0,3983 72,3,2024-09-07 09:47:41:765,1,576,0,0,564,8355,576,0 73,0,2024-09-07 09:47:41:195,141816,0.5,145451,0.6,297428,0.4,387199,2.00 73,1,2024-09-07 09:47:40:773,1011856,1011856,0,0,475159414259,4939370033,1004071,6855,930,367,391858,0 73,2,2024-09-07 09:47:41:742,726431,726430,1,0,36617985,0,5027 73,3,2024-09-07 09:47:40:978,1,576,15,0,1091,8252,576,0 74,0,2024-09-07 09:47:41:322,147232,0.5,150713,0.7,287496,0.4,389896,2.00 74,1,2024-09-07 09:47:40:635,1010956,1010956,0,0,474767397747,4956770113,999742,9236,1978,381,391762,0 74,2,2024-09-07 09:47:41:008,728566,728566,0,0,32027870,0,4253 74,3,2024-09-07 09:47:41:444,1,576,1,0,522,6927,576,0 75,0,2024-09-07 09:47:41:775,141456,0.5,140393,0.7,281959,0.5,376865,2.25 75,1,2024-09-07 09:47:41:594,1012483,1012483,0,0,474842763495,4949601689,1004257,7360,866,380,391739,0 75,2,2024-09-07 09:47:41:351,729773,729773,0,0,38739589,0,4766 75,3,2024-09-07 09:47:41:070,1,576,31,0,702,7101,576,0 76,0,2024-09-07 09:47:40:586,143420,0.5,142915,0.7,285963,0.5,382725,2.25 76,1,2024-09-07 09:47:40:823,1011875,1011875,0,0,474443548017,4947071814,1004952,5953,970,382,391790,0 76,2,2024-09-07 09:47:41:071,728822,728819,3,0,31343875,0,5265 76,3,2024-09-07 09:47:41:152,1,576,9,0,227,5176,576,0 77,0,2024-09-07 09:47:41:699,144321,0.5,144887,0.7,289579,0.5,384836,2.00 77,1,2024-09-07 09:47:40:851,1011506,1011506,0,0,475121988314,4957039972,1003758,6874,874,381,391869,0 77,2,2024-09-07 09:47:41:286,726783,726783,0,0,30513621,0,3890 77,3,2024-09-07 09:47:41:093,1,576,4,0,401,6388,576,0 78,0,2024-09-07 09:47:41:724,146604,0.4,146030,0.6,292677,0.4,387577,2.00 78,1,2024-09-07 09:47:40:610,1012211,1012211,0,0,474661525102,4949582558,1000321,9223,2667,367,391670,0 78,2,2024-09-07 09:47:41:414,729647,729634,13,0,28660722,0,8313 78,3,2024-09-07 09:47:41:136,1,576,8,0,311,4935,576,0 79,0,2024-09-07 09:47:41:345,138309,0.4,141631,0.6,290240,0.3,377248,2.00 79,1,2024-09-07 09:47:40:571,1015240,1015240,0,0,476725911657,4936393157,1007449,6432,1359,367,391682,0 79,2,2024-09-07 09:47:41:071,731650,731650,0,0,27904660,0,4195 79,3,2024-09-07 09:47:40:749,1,576,3,0,418,6869,576,0 80,0,2024-09-07 09:47:41:099,141108,0.6,144853,0.7,277209,0.5,375247,2.00 80,1,2024-09-07 09:47:41:624,1012343,1012343,0,0,475459090311,4951105196,1005724,6184,435,368,392269,0 80,2,2024-09-07 09:47:41:102,731782,731782,0,0,28625217,0,4433 80,3,2024-09-07 09:47:40:576,1,576,1,0,681,7259,576,0 81,0,2024-09-07 09:47:41:559,145349,0.6,148586,0.7,283902,0.5,385033,2.00 81,1,2024-09-07 09:47:41:660,1010610,1010610,0,0,474124288907,4957147630,1002479,7368,763,382,391885,0 81,2,2024-09-07 09:47:41:132,724876,724813,63,0,31550085,0,5932 81,3,2024-09-07 09:47:41:118,1,576,1,0,719,6911,576,0 82,0,2024-09-07 09:47:41:541,146768,0.5,146910,0.7,294504,0.4,390301,2.00 82,1,2024-09-07 09:47:40:582,1013687,1013683,0,4,475453689225,4943293634,1008122,4738,823,381,391768,4 82,2,2024-09-07 09:47:41:691,729204,729204,0,0,26615693,0,4484 82,3,2024-09-07 09:47:41:753,1,576,4,0,363,5517,576,0 83,0,2024-09-07 09:47:41:530,145048,0.6,145046,0.7,289431,0.6,384581,2.25 83,1,2024-09-07 09:47:40:551,1011403,1011403,0,0,474639189780,4949512524,1004000,6759,644,382,391709,0 83,2,2024-09-07 09:47:40:766,732091,732066,25,0,28863148,0,5612 83,3,2024-09-07 09:47:40:750,1,576,2,0,1260,7027,576,0 84,0,2024-09-07 09:47:41:785,139270,0.8,139417,0.9,278610,0.7,372253,2.25 84,1,2024-09-07 09:47:41:052,1009840,1009840,0,0,474454749145,4965213516,997804,10366,1670,367,391967,0 84,2,2024-09-07 09:47:40:585,730056,730026,30,0,37938677,0,5971 84,3,2024-09-07 09:47:41:147,1,576,20,0,908,7794,576,0 85,0,2024-09-07 09:47:41:056,140609,0.6,140628,0.8,298456,0.6,385283,2.25 85,1,2024-09-07 09:47:40:559,1007164,1007164,0,0,472823656128,4997513824,990960,13409,2795,381,392092,0 85,2,2024-09-07 09:47:40:865,723436,723436,0,0,35343754,0,4255 85,3,2024-09-07 09:47:40:707,1,576,2,0,789,6777,576,0 86,0,2024-09-07 09:47:40:877,146058,0.7,150141,0.8,286956,0.7,388583,2.25 86,1,2024-09-07 09:47:40:835,1009825,1009825,0,0,474702698477,4982182927,996022,11118,2685,366,392169,0 86,2,2024-09-07 09:47:40:854,725479,725478,1,0,37878832,0,5004 86,3,2024-09-07 09:47:40:586,1,576,18,0,308,8149,576,0 87,0,2024-09-07 09:47:41:293,145850,0.8,145312,0.8,290617,1.0,388173,2.25 87,1,2024-09-07 09:47:40:550,1009321,1009321,0,0,474500449159,4974738453,996096,11439,1786,366,392076,0 87,2,2024-09-07 09:47:41:081,729171,729165,6,0,34535164,0,6323 87,3,2024-09-07 09:47:41:796,1,576,1,0,473,8477,576,0 88,0,2024-09-07 09:47:41:456,140550,0.4,140891,0.6,281742,0.4,375144,1.75 88,1,2024-09-07 09:47:40:569,1008864,1008864,0,0,474160730073,4970793951,994353,11546,2965,365,392084,0 88,2,2024-09-07 09:47:40:688,730234,730234,0,0,37195600,0,4465 88,3,2024-09-07 09:47:41:268,1,576,1,0,1080,9032,576,0 89,0,2024-09-07 09:47:41:778,149355,0.4,144881,0.6,285904,0.4,388940,1.75 89,1,2024-09-07 09:47:40:550,1008022,1008022,0,0,474524128157,4992366508,995313,10770,1939,382,391866,0 89,2,2024-09-07 09:47:41:137,724875,724875,0,0,34318998,0,3173 89,3,2024-09-07 09:47:41:791,1,576,1,0,468,9826,576,0 90,0,2024-09-07 09:47:41:613,140428,0.5,144298,0.6,294320,0.4,383980,2.00 90,1,2024-09-07 09:47:40:615,1010280,1010280,0,0,474331857644,4968779577,1000578,8812,890,380,391825,0 90,2,2024-09-07 09:47:41:416,724162,724162,0,0,37261547,0,3608 90,3,2024-09-07 09:47:40:934,1,576,100,0,322,7083,576,0 91,0,2024-09-07 09:47:40:937,146412,0.4,142038,0.6,297117,0.4,389465,1.75 91,1,2024-09-07 09:47:40:557,1007537,1007537,0,0,474333533755,4999228917,992314,12156,3067,381,392047,0 91,2,2024-09-07 09:47:41:333,728421,728421,0,0,32812903,0,4713 91,3,2024-09-07 09:47:40:607,1,576,3,0,216,5307,576,0 92,0,2024-09-07 09:47:41:464,143691,0.5,146900,0.6,280156,0.5,379368,1.75 92,1,2024-09-07 09:47:40:579,1011555,1011555,0,0,474851807709,4959190894,1003726,6618,1211,381,392136,0 92,2,2024-09-07 09:47:41:364,731275,731275,0,0,29365749,0,3259 92,3,2024-09-07 09:47:41:012,1,576,84,0,167,4952,576,0 93,0,2024-09-07 09:47:40:973,143582,0.4,147121,0.6,280984,0.4,381600,1.75 93,1,2024-09-07 09:47:40:805,1011656,1011656,0,0,475042936106,4959541553,1000242,9488,1926,366,391776,0 93,2,2024-09-07 09:47:40:930,728280,728280,0,0,35065810,0,4913 93,3,2024-09-07 09:47:41:419,1,576,16,0,294,5706,576,0 94,0,2024-09-07 09:47:41:611,144253,0.4,145299,0.5,290481,0.3,385670,1.75 94,1,2024-09-07 09:47:40:563,1012515,1012515,0,0,474998744395,4954170498,1005778,6307,430,381,391850,0 94,2,2024-09-07 09:47:40:761,726789,726761,28,0,29676103,0,6179 94,3,2024-09-07 09:47:41:693,1,576,8,0,576,7098,576,0 95,0,2024-09-07 09:47:41:351,146343,0.3,146424,0.5,292924,0.3,389901,1.75 95,1,2024-09-07 09:47:40:850,1013594,1013594,0,0,474867787938,4935657242,1005427,7463,704,365,391852,0 95,2,2024-09-07 09:47:41:015,726550,726550,0,0,29319579,0,3308 95,3,2024-09-07 09:47:41:709,1,576,27,0,718,8859,576,0 96,0,2024-09-07 09:47:41:051,144770,0.4,145136,0.5,290160,0.3,385524,1.75 96,1,2024-09-07 09:47:41:586,1011486,1011486,0,0,475005285834,4956052716,1004143,6072,1271,384,391964,0 96,2,2024-09-07 09:47:41:276,732120,732120,0,0,30563011,0,4180 96,3,2024-09-07 09:47:41:141,1,576,1,0,411,6759,576,0 97,0,2024-09-07 09:47:41:356,140587,0.3,140449,0.5,281653,0.3,374259,1.75 97,1,2024-09-07 09:47:40:770,1013151,1013151,0,0,475935386571,4939216418,1006596,5529,1026,367,392140,0 97,2,2024-09-07 09:47:40:626,731332,731332,0,0,30404605,0,4046 97,3,2024-09-07 09:47:40:575,1,576,29,0,242,6493,576,0 98,0,2024-09-07 09:47:41:698,145734,0.3,145745,0.5,292512,0.3,388762,1.50 98,1,2024-09-07 09:47:40:569,1012613,1012613,0,0,475221468896,4951378028,1006353,5438,822,381,391997,0 98,2,2024-09-07 09:47:40:771,725868,725868,0,0,29028226,0,4336 98,3,2024-09-07 09:47:40:698,1,576,13,0,840,8785,576,0 99,0,2024-09-07 09:47:41:486,147068,0.3,147722,0.5,293814,0.3,392176,1.75 99,1,2024-09-07 09:47:41:726,1013526,1013526,0,0,475538830474,4950122192,1007173,5413,940,380,392069,0 99,2,2024-09-07 09:47:41:417,726837,726837,0,0,35671434,0,4276 99,3,2024-09-07 09:47:40:581,1,576,3,0,1124,7439,576,0 100,0,2024-09-07 09:47:41:587,144738,0.8,145066,0.9,289879,0.9,387005,2.50 100,1,2024-09-07 09:47:40:557,1007179,1007179,0,0,472509050090,5000439588,991178,12689,3312,378,391989,0 100,2,2024-09-07 09:47:41:819,728123,728112,11,0,34984726,0,5417 100,3,2024-09-07 09:47:41:733,1,576,12,0,627,9913,576,0 101,0,2024-09-07 09:47:41:770,143325,1.2,139932,1.1,273603,1.3,374394,2.50 101,1,2024-09-07 09:47:40:550,1008643,1008643,0,0,473471412127,4978970909,994727,11390,2526,368,391847,0 101,2,2024-09-07 09:47:41:765,726129,726129,0,0,39676261,0,4871 101,3,2024-09-07 09:47:40:952,1,576,5,0,1250,8853,576,0 102,0,2024-09-07 09:47:40:965,141589,0.6,145850,0.8,296143,0.6,387481,2.00 102,1,2024-09-07 09:47:41:145,1008860,1008860,0,0,473305792012,4976584089,994766,11899,2195,369,391984,0 102,2,2024-09-07 09:47:41:737,725482,725428,54,0,33507582,0,6768 102,3,2024-09-07 09:47:41:619,1,576,1,0,466,6767,576,0 103,0,2024-09-07 09:47:41:604,150296,0.6,150343,0.7,283233,0.6,390333,2.00 103,1,2024-09-07 09:47:41:627,1007110,1007110,0,0,472702179752,4996600686,990524,12995,3591,381,392077,0 103,2,2024-09-07 09:47:40:583,724634,724634,0,0,36141149,0,3766 103,3,2024-09-07 09:47:40:755,1,576,7,0,916,7047,576,0 104,0,2024-09-07 09:47:41:043,145224,0.7,145411,0.9,290120,0.7,388059,2.25 104,1,2024-09-07 09:47:41:609,1010166,1010166,0,0,473935796967,4981850282,995186,12197,2783,365,392168,0 104,2,2024-09-07 09:47:41:668,726704,726704,0,0,35463764,0,4161 104,3,2024-09-07 09:47:41:425,1,576,15,0,1245,10476,576,0 105,0,2024-09-07 09:47:41:056,139679,0.9,136063,1.0,285112,0.9,374857,2.50 105,1,2024-09-07 09:47:40:561,1011841,1011841,0,0,474681001406,4972036031,999532,10500,1809,364,392009,0 105,2,2024-09-07 09:47:41:326,729948,729948,0,0,35842634,0,4360 105,3,2024-09-07 09:47:41:309,1,576,17,0,573,8881,576,0 106,0,2024-09-07 09:47:40:960,139104,0.8,142402,0.9,291915,0.9,381497,2.50 106,1,2024-09-07 09:47:41:764,1009952,1009952,0,0,473982249232,4976430983,995671,12408,1873,368,391914,0 106,2,2024-09-07 09:47:40:764,725614,725614,0,0,33091267,0,3254 106,3,2024-09-07 09:47:40:682,1,576,3,0,1224,8310,576,0 107,0,2024-09-07 09:47:41:098,144503,1.0,144614,0.9,289299,1.2,385502,2.25 107,1,2024-09-07 09:47:40:600,1007687,1007687,0,0,473008790258,4998031148,991477,14397,1813,381,392234,0 107,2,2024-09-07 09:47:41:295,724380,724379,1,0,35960135,0,5024 107,3,2024-09-07 09:47:41:762,1,576,8,0,733,8920,576,0 108,0,2024-09-07 09:47:41:808,145988,0.4,146484,0.6,291900,0.4,389088,1.75 108,1,2024-09-07 09:47:41:307,1011475,1011475,0,0,475727444449,4962594489,1002963,7532,980,367,391894,0 108,2,2024-09-07 09:47:41:755,727758,727758,0,0,31622502,0,4246 108,3,2024-09-07 09:47:41:335,1,576,10,0,749,10722,576,0 109,0,2024-09-07 09:47:41:828,143926,0.4,142637,0.6,286372,0.3,381732,1.75 109,1,2024-09-07 09:47:40:611,1008800,1008800,0,0,474206554044,4974055242,999944,7634,1222,382,392132,0 109,2,2024-09-07 09:47:40:934,729486,729486,0,0,32473305,0,3617 109,3,2024-09-07 09:47:41:141,1,576,1,0,630,7177,576,0 110,0,2024-09-07 09:47:41:762,141201,0.4,137248,0.6,287469,0.3,377268,1.75 110,1,2024-09-07 09:47:41:649,1013410,1013410,0,0,475724166421,4939776944,1005506,5980,1924,368,392045,0 110,2,2024-09-07 09:47:41:308,729999,729999,0,0,30071207,0,4067 110,3,2024-09-07 09:47:40:694,1,576,12,0,722,7923,576,0 111,0,2024-09-07 09:47:41:420,146167,0.4,145341,0.5,290744,0.3,387329,1.75 111,1,2024-09-07 09:47:41:013,1014911,1014911,0,0,477191899181,4947360148,1010025,4523,363,380,391690,0 111,2,2024-09-07 09:47:41:122,726127,726127,0,0,30227318,0,4823 111,3,2024-09-07 09:47:40:916,1,576,1,0,379,6449,576,0 112,0,2024-09-07 09:47:40:916,147764,0.3,147140,0.4,295086,0.2,391557,1.50 112,1,2024-09-07 09:47:40:835,1013190,1013190,0,0,476185387860,4947046314,1006000,5965,1225,380,391624,0 112,2,2024-09-07 09:47:41:134,726851,726850,1,0,29025383,0,5036 112,3,2024-09-07 09:47:40:593,1,576,2,0,282,5383,576,0 113,0,2024-09-07 09:47:40:928,145211,0.3,145190,0.5,290673,0.2,386992,1.75 113,1,2024-09-07 09:47:41:686,1015499,1015499,0,0,477772303491,4931053793,1009420,5133,946,365,391664,0 113,2,2024-09-07 09:47:41:303,734314,734314,0,0,27521702,0,3813 113,3,2024-09-07 09:47:40:694,1,576,1,0,510,6259,576,0 114,0,2024-09-07 09:47:40:884,140770,0.3,141646,0.5,281822,0.2,376672,1.75 114,1,2024-09-07 09:47:40:715,1013483,1013483,0,0,475806838042,4942468239,1005659,6078,1746,381,391565,0 114,2,2024-09-07 09:47:40:875,732445,732444,1,0,28915846,0,5069 114,3,2024-09-07 09:47:41:277,1,576,7,0,395,4587,576,0 115,0,2024-09-07 09:47:40:554,147082,0.3,147481,0.4,293662,0.2,391734,1.50 115,1,2024-09-07 09:47:40:571,1013684,1013684,0,0,475938068581,4947184363,1005440,6727,1517,382,391757,0 115,2,2024-09-07 09:47:41:125,727084,727084,0,0,28445742,0,4382 115,3,2024-09-07 09:47:41:011,1,576,2,0,167,3466,576,0 116,0,2024-09-07 09:47:41:805,145767,0.7,145522,0.8,291669,0.6,390041,2.00 116,1,2024-09-07 09:47:40:839,1008827,1008827,0,0,473413994717,4994004189,996261,9477,3089,380,392089,0 116,2,2024-09-07 09:47:41:757,726454,726454,0,0,36148895,0,4475 116,3,2024-09-07 09:47:40:922,1,576,11,0,448,7638,576,0 117,0,2024-09-07 09:47:40:959,145978,0.7,145303,0.8,291129,0.8,389034,2.00 117,1,2024-09-07 09:47:41:583,1009926,1009926,0,0,473684835787,4964019767,998334,10072,1520,369,392033,0 117,2,2024-09-07 09:47:41:125,731831,731831,0,0,32844713,0,4303 117,3,2024-09-07 09:47:41:060,1,576,20,0,490,7574,576,0 118,0,2024-09-07 09:47:41:769,136290,0.6,139982,0.7,285638,0.5,373571,2.00 118,1,2024-09-07 09:47:40:599,1008373,1008373,0,0,473895843556,4987259805,992631,12122,3620,366,392054,0 118,2,2024-09-07 09:47:41:589,729822,729822,0,0,34853113,0,2842 118,3,2024-09-07 09:47:41:763,1,576,8,0,289,6684,576,0 119,0,2024-09-07 09:47:41:378,144844,0.7,145476,0.8,290350,0.7,386911,2.25 119,1,2024-09-07 09:47:40:549,1009664,1009664,0,0,474965823964,4985273564,995117,12038,2509,367,391857,0 119,2,2024-09-07 09:47:41:263,726186,726186,0,0,32624362,0,4309 119,3,2024-09-07 09:47:41:324,1,576,3,0,1358,10852,576,0 120,0,2024-09-07 09:47:41:553,143902,0.7,143709,0.8,288675,0.7,384912,2.25 120,1,2024-09-07 09:47:40:863,1011136,1011136,0,0,473620107433,4966244429,1000626,9528,982,367,392144,0 120,2,2024-09-07 09:47:40:771,725556,725553,3,0,38586717,0,5363 120,3,2024-09-07 09:47:41:325,1,576,2,0,279,7194,576,0 121,0,2024-09-07 09:47:41:736,146159,1.1,145594,1.0,291541,1.5,388364,2.25 121,1,2024-09-07 09:47:41:656,1010979,1010979,0,0,474652600177,4963989845,1000808,9318,853,366,391840,0 121,2,2024-09-07 09:47:41:132,727751,727751,0,0,35124213,0,4157 121,3,2024-09-07 09:47:40:727,1,576,3,0,387,7484,576,0 122,0,2024-09-07 09:47:41:794,141535,0.8,137716,0.9,288606,0.9,379198,2.00 122,1,2024-09-07 09:47:40:865,1009548,1009548,0,0,473769612177,4973640852,995433,11780,2335,366,392130,0 122,2,2024-09-07 09:47:41:323,731360,731285,75,0,39095837,0,5989 122,3,2024-09-07 09:47:40:608,1,576,17,0,512,9556,576,0 123,0,2024-09-07 09:47:40:976,142212,0.8,138392,0.8,289895,0.9,380216,2.25 123,1,2024-09-07 09:47:40:560,1009649,1009649,0,0,474083743594,4988253410,993224,13761,2664,369,392039,0 123,2,2024-09-07 09:47:41:020,726210,726209,1,0,33285333,0,5215 123,3,2024-09-07 09:47:41:145,1,576,1,0,478,6672,576,0 124,0,2024-09-07 09:47:40:924,148678,0.3,148623,0.5,280522,0.3,385972,1.75 124,1,2024-09-07 09:47:41:023,1013546,1013546,0,0,475439980980,4942667828,1006055,6321,1170,365,392178,0 124,2,2024-09-07 09:47:41:018,728243,728190,53,0,29817578,0,6487 124,3,2024-09-07 09:47:40:758,1,576,1,0,490,5779,576,0 125,0,2024-09-07 09:47:41:430,146115,0.4,146170,0.5,293264,0.3,389988,1.75 125,1,2024-09-07 09:47:40:855,1010629,1010629,0,0,474634042752,4954797234,1002817,6681,1131,382,391813,0 125,2,2024-09-07 09:47:41:121,729632,729632,0,0,29803188,0,4534 125,3,2024-09-07 09:47:41:132,1,576,1,0,709,6641,576,0 126,0,2024-09-07 09:47:41:439,145030,0.4,149135,0.6,285270,0.4,386209,1.75 126,1,2024-09-07 09:47:40:551,1014163,1014163,0,0,476150969401,4930263602,1008708,5010,445,365,391987,0 126,2,2024-09-07 09:47:40:610,732866,732866,0,0,31314575,0,4539 126,3,2024-09-07 09:47:40:907,1,576,12,0,268,6351,576,0 127,0,2024-09-07 09:47:41:652,140738,0.3,140930,0.5,281439,0.3,374635,1.75 127,1,2024-09-07 09:47:40:570,1012216,1012216,0,0,474804033735,4941000414,1002004,8589,1623,364,392187,0 127,2,2024-09-07 09:47:40:637,730220,730216,4,0,29570550,0,5305 127,3,2024-09-07 09:47:41:278,1,576,33,0,968,5699,576,0 128,0,2024-09-07 09:47:41:554,146638,0.3,146649,0.4,293036,0.2,389371,1.50 128,1,2024-09-07 09:47:41:609,1012487,1012487,0,0,474763263002,4939485359,1004356,7179,952,367,392423,0 128,2,2024-09-07 09:47:41:401,726849,726849,0,0,27363253,0,3171 128,3,2024-09-07 09:47:40:767,1,576,2,0,1082,9253,576,0 129,0,2024-09-07 09:47:41:005,148055,0.3,147351,0.5,295375,0.3,392528,1.50 129,1,2024-09-07 09:47:40:574,1008568,1008568,0,0,473983314350,4970032026,998157,8389,2022,379,391962,0 129,2,2024-09-07 09:47:40:686,728479,728475,4,0,29848886,0,5335 129,3,2024-09-07 09:47:40:688,1,576,16,0,506,8108,576,0 130,0,2024-09-07 09:47:41:959,146086,0.4,145522,0.6,291656,0.4,388411,1.75 130,1,2024-09-07 09:47:40:594,1013391,1013391,0,0,475470828253,4940095541,1008081,4916,394,381,391825,0 130,2,2024-09-07 09:47:41:138,732078,732078,0,0,30195867,0,4067 130,3,2024-09-07 09:47:41:292,1,576,12,0,960,7840,576,0 131,0,2024-09-07 09:47:41:978,140669,0.4,141078,0.5,282810,0.3,376112,1.75 131,1,2024-09-07 09:47:41:848,1012347,1012347,0,0,475366244716,4956701793,1005233,5919,1195,381,391865,0 131,2,2024-09-07 09:47:40:566,731735,731735,0,0,28193066,0,3979 131,3,2024-09-07 09:47:41:688,1,576,2,0,392,7446,576,0 132,0,2024-09-07 09:47:41:429,145626,0.5,146638,0.6,291959,0.4,388453,2.00 132,1,2024-09-07 09:47:40:579,1008277,1008277,0,0,473499372404,4989333103,993004,12572,2701,381,392532,0 132,2,2024-09-07 09:47:40:698,725032,725015,17,0,36396428,0,6451 132,3,2024-09-07 09:47:41:694,1,576,4,0,1298,10688,576,0 133,0,2024-09-07 09:47:41:597,141986,0.4,145245,0.6,297782,0.4,388107,2.00 133,1,2024-09-07 09:47:40:582,1008172,1008172,0,0,473403882303,4992264354,994125,12286,1761,383,391914,0 133,2,2024-09-07 09:47:41:097,727538,727488,50,0,37588737,0,6861 133,3,2024-09-07 09:47:41:305,1,576,1,0,528,7022,576,0 134,0,2024-09-07 09:47:40:949,146501,0.5,146559,0.7,293330,0.5,390161,2.00 134,1,2024-09-07 09:47:40:584,1009609,1009609,0,0,473667346306,4972118588,996044,11079,2486,366,391781,0 134,2,2024-09-07 09:47:41:765,729313,729289,24,0,34733121,0,6207 134,3,2024-09-07 09:47:40:761,1,576,17,0,739,7112,576,0 135,0,2024-09-07 09:47:41:117,136696,0.9,136702,0.9,290290,1.0,374395,2.25 135,1,2024-09-07 09:47:41:639,1009113,1009113,0,0,474228160088,4989634212,996163,11311,1639,380,391805,0 135,2,2024-09-07 09:47:40:695,731427,731427,0,0,35771040,0,4503 135,3,2024-09-07 09:47:41:011,1,576,1,0,900,5821,576,0 136,0,2024-09-07 09:47:41:647,144524,0.6,144950,0.7,288220,0.5,384810,2.00 136,1,2024-09-07 09:47:41:472,1010691,1010691,0,0,474644899711,4975112496,999440,9883,1368,381,392135,0 136,2,2024-09-07 09:47:41:134,727860,727845,15,0,34665024,0,6007 136,3,2024-09-07 09:47:41:107,1,576,15,0,637,6805,576,0 137,0,2024-09-07 09:47:40:919,149450,0.6,145669,0.7,285317,0.6,387347,2.00 137,1,2024-09-07 09:47:40:575,1009503,1009503,0,0,474408847219,4975741103,994015,12414,3074,366,391898,0 137,2,2024-09-07 09:47:41:710,725314,725314,0,0,35811713,0,3185 137,3,2024-09-07 09:47:40:779,1,576,5,0,484,7579,576,0 138,0,2024-09-07 09:47:41:795,145412,0.7,145670,0.9,291842,0.8,387473,2.00 138,1,2024-09-07 09:47:41:690,1009876,1009876,0,0,474766188185,4981532858,995572,12053,2251,368,391954,0 138,2,2024-09-07 09:47:40:593,727149,727149,0,0,33369688,0,4988 138,3,2024-09-07 09:47:40:610,1,576,12,0,1200,9194,576,0 139,0,2024-09-07 09:47:41:418,142106,1.1,142656,1.0,285238,1.6,380002,2.25 139,1,2024-09-07 09:47:40:579,1005958,1005958,0,0,471585882860,5001870250,988213,13991,3754,380,392109,0 139,2,2024-09-07 09:47:40:695,726469,726469,0,0,38300406,0,3379 139,3,2024-09-07 09:47:41:666,1,576,5,0,432,6855,576,0 140,0,2024-09-07 09:47:41:591,141763,0.3,140964,0.5,282878,0.2,377537,1.75 140,1,2024-09-07 09:47:41:537,1016199,1016199,0,0,477176684869,4919785407,1011253,4400,546,364,391606,0 140,2,2024-09-07 09:47:40:695,730707,730706,1,0,28096681,0,5036 140,3,2024-09-07 09:47:40:769,1,576,2,0,575,5853,576,0 141,0,2024-09-07 09:47:41:696,145741,0.3,149741,0.5,286201,0.3,387165,1.75 141,1,2024-09-07 09:47:40:864,1013856,1013856,0,0,476194692089,4947066046,1005892,6785,1179,379,391614,0 141,2,2024-09-07 09:47:41:685,726696,726685,11,0,29803332,0,5369 141,3,2024-09-07 09:47:41:043,1,576,1,0,391,6396,576,0 142,0,2024-09-07 09:47:41:317,147806,0.3,146905,0.5,294210,0.2,392413,1.50 142,1,2024-09-07 09:47:40:608,1012228,1012228,0,0,475121933869,4951860489,1005908,5816,504,382,392102,0 142,2,2024-09-07 09:47:41:303,726144,726112,32,0,30061942,0,6028 142,3,2024-09-07 09:47:41:755,1,576,8,0,484,6118,576,0 143,0,2024-09-07 09:47:41:382,144906,0.4,145083,0.5,291027,0.4,386923,1.75 143,1,2024-09-07 09:47:40:558,1014900,1014900,0,0,475465815138,4933290294,1008522,5812,566,367,391722,0 143,2,2024-09-07 09:47:40:777,732640,732640,0,0,30269640,0,3123 143,3,2024-09-07 09:47:41:141,1,576,2,0,462,7145,576,0 144,0,2024-09-07 09:47:41:566,136214,0.6,140120,0.8,285152,0.6,373330,2.25 144,1,2024-09-07 09:47:40:568,1009126,1009126,0,0,473637203239,4971086251,998868,8271,1987,381,391733,0 144,2,2024-09-07 09:47:41:760,732082,732082,0,0,29754713,0,4443 144,3,2024-09-07 09:47:41:741,1,576,11,0,249,5677,576,0 145,0,2024-09-07 09:47:41:423,141432,0.6,141523,0.8,300369,0.5,387039,2.25 145,1,2024-09-07 09:47:40:552,1008457,1008457,0,0,473203313419,4983906406,994455,11331,2671,382,391759,0 145,2,2024-09-07 09:47:41:433,723646,723564,82,0,35217597,0,7814 145,3,2024-09-07 09:47:40:894,1,576,0,0,622,7831,576,0 146,0,2024-09-07 09:47:41:608,145938,0.6,145430,0.8,292156,0.5,388413,2.25 146,1,2024-09-07 09:47:41:603,1009570,1009570,0,0,473605902224,4986565743,992293,12798,4479,367,391770,0 146,2,2024-09-07 09:47:41:695,725500,725494,6,0,33495759,0,5151 146,3,2024-09-07 09:47:41:274,1,576,12,0,1520,9507,576,0 147,0,2024-09-07 09:47:41:776,145877,0.6,145546,0.7,290804,0.6,388207,2.25 147,1,2024-09-07 09:47:41:375,1013676,1013676,0,0,475460173705,4941440152,1005556,7174,946,367,391791,0 147,2,2024-09-07 09:47:41:012,730301,730301,0,0,29641812,0,2968 147,3,2024-09-07 09:47:40:916,1,576,19,0,1626,9070,576,0 0,0,2024-09-07 09:47:51:751,140942,0.6,140884,0.7,299026,0.6,386519,2.00 0,1,2024-09-07 09:47:50:835,1011969,1011969,0,0,475009135542,4973988510,1003707,7333,929,369,391896,0 0,2,2024-09-07 09:47:51:071,729761,729761,0,0,29992888,0,4480 0,3,2024-09-07 09:47:50:974,1,577,2,0,431,8592,577,0 1,0,2024-09-07 09:47:51:812,146004,0.9,144997,0.9,291702,1.0,389275,2.00 1,1,2024-09-07 09:47:50:557,1010791,1010791,0,0,474772600121,4975160098,1001382,7919,1490,370,391859,0 1,2,2024-09-07 09:47:50:640,730175,730175,0,0,29281564,0,3380 1,3,2024-09-07 09:47:51:303,1,577,1,0,269,7056,577,0 2,0,2024-09-07 09:47:51:574,142100,0.6,141906,0.7,283711,0.6,378609,2.00 2,1,2024-09-07 09:47:50:866,1014439,1014439,0,0,476789053984,4958734570,1008351,5139,949,379,391745,0 2,2,2024-09-07 09:47:51:277,732431,732431,0,0,28385243,0,3594 2,3,2024-09-07 09:47:50:690,1,577,10,0,357,5177,577,0 3,0,2024-09-07 09:47:51:752,143288,0.4,143092,0.6,285633,0.4,381554,2.00 3,1,2024-09-07 09:47:51:625,1012868,1012868,0,0,475554207758,4947835979,1005296,6869,703,379,391716,0 3,2,2024-09-07 09:47:51:142,729898,729875,23,0,29287701,0,5851 3,3,2024-09-07 09:47:51:752,1,577,4,0,275,4046,577,0 4,0,2024-09-07 09:47:51:782,140678,0.4,144585,0.5,294794,0.3,385646,1.75 4,1,2024-09-07 09:47:50:591,1009185,1009185,0,0,472913367930,5010633593,991405,14029,3751,370,391992,0 4,2,2024-09-07 09:47:51:017,724828,724828,0,0,35765139,0,4534 4,3,2024-09-07 09:47:51:027,1,577,1,0,448,7236,577,0 5,0,2024-09-07 09:47:51:371,146538,0.4,146584,0.5,293360,0.3,389737,1.75 5,1,2024-09-07 09:47:50:754,1008860,1008860,0,0,474977986248,5004669925,994017,12020,2823,367,392005,0 5,2,2024-09-07 09:47:51:830,726058,726058,0,0,34636067,0,3582 5,3,2024-09-07 09:47:51:740,1,577,1,0,457,7778,577,0 6,0,2024-09-07 09:47:50:915,145122,0.5,144692,0.7,289618,0.4,385390,2.00 6,1,2024-09-07 09:47:50:746,1012328,1012328,0,0,475083421296,4965633290,1001335,9420,1573,379,391702,0 6,2,2024-09-07 09:47:51:126,732579,732561,18,0,33866896,0,5535 6,3,2024-09-07 09:47:51:274,1,577,8,0,710,7152,577,0 7,0,2024-09-07 09:47:51:539,140192,0.5,141103,0.7,280670,0.5,374600,2.00 7,1,2024-09-07 09:47:50:850,1009689,1009689,0,0,475088145721,4993869083,994722,12496,2471,382,391747,0 7,2,2024-09-07 09:47:50:769,730558,730558,0,0,32671352,0,4791 7,3,2024-09-07 09:47:50:851,1,577,1,0,552,6489,577,0 8,0,2024-09-07 09:47:51:353,146488,0.4,146230,0.5,292722,0.3,389752,1.75 8,1,2024-09-07 09:47:51:017,1009634,1009634,0,0,474348006067,4990707646,993424,12669,3541,366,392853,0 8,2,2024-09-07 09:47:50:792,721956,721954,2,0,38256833,0,5112 8,3,2024-09-07 09:47:50:601,1,577,32,0,772,9244,577,0 9,0,2024-09-07 09:47:51:123,146947,0.4,143027,0.5,299368,0.3,392528,1.75 9,1,2024-09-07 09:47:50:554,1009347,1009347,0,0,473373836097,4990802899,993561,12736,3050,369,392001,0 9,2,2024-09-07 09:47:51:091,726875,726874,1,0,34885388,0,5281 9,3,2024-09-07 09:47:51:755,1,577,188,0,1273,9996,577,0 10,0,2024-09-07 09:47:51:604,145299,0.4,144832,0.5,290747,0.3,387496,1.75 10,1,2024-09-07 09:47:50:588,1011171,1011171,0,0,475167843204,4985291009,996322,11914,2935,381,391981,0 10,2,2024-09-07 09:47:50:762,732163,732163,0,0,38543385,0,4713 10,3,2024-09-07 09:47:50:872,1,577,8,0,669,6688,577,0 11,0,2024-09-07 09:47:51:012,140789,0.5,136603,0.6,285630,0.4,376585,1.75 11,1,2024-09-07 09:47:50:571,1012793,1012793,0,0,475242291834,4986803015,997563,11089,4141,383,391766,0 11,2,2024-09-07 09:47:51:126,731019,731019,0,0,34470951,0,4698 11,3,2024-09-07 09:47:51:301,1,577,1,0,843,7497,577,0 12,0,2024-09-07 09:47:50:956,146870,0.4,146873,0.5,293364,0.3,390502,1.75 12,1,2024-09-07 09:47:50:941,1012484,1012484,0,0,474465760903,4950175708,1004197,7285,1002,370,391960,0 12,2,2024-09-07 09:47:51:552,725429,725429,0,0,32801569,0,4390 12,3,2024-09-07 09:47:51:071,1,577,1,0,386,7385,577,0 13,0,2024-09-07 09:47:51:396,146764,0.4,146760,0.5,292744,0.4,390422,1.75 13,1,2024-09-07 09:47:51:543,1009968,1009968,0,0,473819562361,4980603086,999613,8048,2307,382,391768,0 13,2,2024-09-07 09:47:50:603,729720,729720,0,0,29203471,0,3287 13,3,2024-09-07 09:47:51:762,1,577,1,0,522,7814,577,0 14,0,2024-09-07 09:47:50:561,146361,0.4,147419,0.6,292485,0.3,389585,1.75 14,1,2024-09-07 09:47:51:565,1017286,1017286,0,0,477123152641,4936896919,1009433,6881,972,364,391673,0 14,2,2024-09-07 09:47:50:764,732129,732099,30,0,30783070,0,6104 14,3,2024-09-07 09:47:51:115,1,577,9,0,1168,6454,577,0 15,0,2024-09-07 09:47:51:567,141197,0.4,140402,0.6,281908,0.4,375952,2.00 15,1,2024-09-07 09:47:51:610,1014173,1014173,0,0,476223591924,4961330402,1005139,7121,1913,381,391619,0 15,2,2024-09-07 09:47:51:001,734239,734239,0,0,26841904,0,3622 15,3,2024-09-07 09:47:51:409,1,577,39,0,1126,7720,577,0 16,0,2024-09-07 09:47:50:943,144196,0.6,144884,0.7,289185,0.6,385459,2.00 16,1,2024-09-07 09:47:50:563,1014094,1014094,0,0,475547842952,4973794333,1004823,7831,1440,370,392194,0 16,2,2024-09-07 09:47:51:446,726819,726819,0,0,30495479,0,4719 16,3,2024-09-07 09:47:51:143,1,577,1,0,358,7433,577,0 17,0,2024-09-07 09:47:51:778,149338,0.6,145664,0.8,285320,0.6,387576,2.00 17,1,2024-09-07 09:47:50:569,1012046,1012046,0,0,474697206035,4982019871,1001867,8411,1768,368,392075,0 17,2,2024-09-07 09:47:51:672,730812,730811,1,0,31710052,0,5050 17,3,2024-09-07 09:47:50:574,1,577,0,0,518,8658,577,0 18,0,2024-09-07 09:47:50:949,144781,0.7,145714,0.8,290145,0.7,387382,2.25 18,1,2024-09-07 09:47:51:652,1016208,1016208,0,0,475879446647,4937766524,1009161,5790,1257,367,391725,0 18,2,2024-09-07 09:47:51:754,732006,732006,0,0,28139311,0,3541 18,3,2024-09-07 09:47:50:900,1,577,10,0,1059,5427,577,0 19,0,2024-09-07 09:47:51:543,142816,0.6,143010,0.8,284919,0.6,378724,2.00 19,1,2024-09-07 09:47:50:568,1015830,1015830,0,0,477417594058,4949877284,1008164,6533,1133,367,391777,0 19,2,2024-09-07 09:47:51:752,735348,735348,0,0,26721441,0,3988 19,3,2024-09-07 09:47:51:133,1,577,1,0,524,4526,577,0 20,0,2024-09-07 09:47:51:356,141600,0.6,141528,0.7,282918,0.6,377657,2.00 20,1,2024-09-07 09:47:50:573,1011536,1011536,0,0,475592085003,4985997362,1000987,9044,1505,369,391922,0 20,2,2024-09-07 09:47:50:944,729423,729423,0,0,33377092,0,4321 20,3,2024-09-07 09:47:50:591,1,577,4,0,468,9486,577,0 21,0,2024-09-07 09:47:51:167,145489,0.5,145531,0.6,291125,0.4,386503,2.00 21,1,2024-09-07 09:47:51:542,1009828,1009828,0,0,474101870224,5008580017,993319,12720,3789,368,392016,0 21,2,2024-09-07 09:47:51:071,721976,721954,22,0,37675937,0,8524 21,3,2024-09-07 09:47:51:405,1,577,1,0,713,8108,577,0 22,0,2024-09-07 09:47:51:721,146985,0.5,147595,0.7,294417,0.4,390567,2.00 22,1,2024-09-07 09:47:51:023,1010811,1010811,0,0,474353159958,4997543147,993048,14224,3539,382,391822,0 22,2,2024-09-07 09:47:50:759,726555,726529,26,0,31700664,0,6328 22,3,2024-09-07 09:47:51:071,1,577,8,0,228,4900,577,0 23,0,2024-09-07 09:47:51:373,144913,0.5,144668,0.7,289180,0.5,385776,2.25 23,1,2024-09-07 09:47:51:003,1010239,1010239,0,0,475803625944,4996367102,992493,12790,4956,365,391690,0 23,2,2024-09-07 09:47:51:109,733517,733517,0,0,30650163,0,3773 23,3,2024-09-07 09:47:51:760,1,577,0,0,855,8787,577,0 24,0,2024-09-07 09:47:50:833,141594,0.4,140845,0.6,283409,0.4,376372,1.75 24,1,2024-09-07 09:47:50:582,1011043,1011043,0,0,473851227913,4961876152,1001317,8028,1698,367,392269,0 24,2,2024-09-07 09:47:51:071,731209,731209,0,0,36648697,0,4438 24,3,2024-09-07 09:47:51:698,1,577,15,0,468,7524,577,0 25,0,2024-09-07 09:47:51:354,150882,0.5,146745,0.6,288327,0.4,392817,2.00 25,1,2024-09-07 09:47:50:558,1009810,1009810,0,0,474245724936,5010932166,992090,14110,3610,369,391928,0 25,2,2024-09-07 09:47:51:610,724259,724259,0,0,36905887,0,3978 25,3,2024-09-07 09:47:51:001,1,577,1,0,532,6413,577,0 26,0,2024-09-07 09:47:51:721,145616,0.4,142439,0.6,299088,0.4,389568,2.00 26,1,2024-09-07 09:47:51:553,1013619,1013619,0,0,474253336157,4966560289,999796,11333,2490,380,391748,0 26,2,2024-09-07 09:47:50:868,727246,727246,0,0,39852435,0,4689 26,3,2024-09-07 09:47:51:713,1,577,22,0,796,6675,577,0 27,0,2024-09-07 09:47:51:722,145863,0.4,146625,0.6,291390,0.4,388560,2.25 27,1,2024-09-07 09:47:51:687,1013807,1013807,0,0,476988633219,4969941056,1004242,8267,1298,381,391626,0 27,2,2024-09-07 09:47:50:867,728776,728711,65,0,34924387,0,5699 27,3,2024-09-07 09:47:51:015,1,577,37,0,564,5147,577,0 28,0,2024-09-07 09:47:51:405,141155,0.4,141059,0.6,282433,0.3,376452,1.75 28,1,2024-09-07 09:47:50:806,1014788,1014788,0,0,476281386485,4963612654,1006411,6640,1737,382,391698,0 28,2,2024-09-07 09:47:51:763,732862,732862,0,0,30039749,0,2915 28,3,2024-09-07 09:47:51:776,1,577,67,0,502,5651,577,0 29,0,2024-09-07 09:47:51:365,149627,0.4,145627,0.6,285914,0.3,389745,1.75 29,1,2024-09-07 09:47:51:572,1017891,1017891,0,0,476832903185,4931279582,1011804,5313,774,367,391809,0 29,2,2024-09-07 09:47:50:868,726742,726742,0,0,28859711,0,4986 29,3,2024-09-07 09:47:50:969,1,577,3,0,459,6446,577,0 30,0,2024-09-07 09:47:51:460,144149,0.5,140431,0.7,294129,0.4,384375,2.00 30,1,2024-09-07 09:47:50:571,1016573,1016573,0,0,477265312368,4951708142,1009338,6328,907,380,391672,0 30,2,2024-09-07 09:47:51:283,729167,729167,0,0,27703451,0,4192 30,3,2024-09-07 09:47:50:581,1,577,8,0,519,5471,577,0 31,0,2024-09-07 09:47:51:762,145813,0.4,146511,0.6,292122,0.4,389977,2.00 31,1,2024-09-07 09:47:50:585,1019905,1019905,0,0,478963251194,4917725952,1013902,4974,1029,356,391712,0 31,2,2024-09-07 09:47:51:285,729678,729678,0,0,31216774,0,4470 31,3,2024-09-07 09:47:51:710,1,577,1,0,239,4984,577,0 32,0,2024-09-07 09:47:51:417,142517,0.3,143358,0.5,285226,0.3,380122,1.75 32,1,2024-09-07 09:47:50:846,1015946,1015946,0,0,476195781267,4950161567,1009775,5456,715,381,391646,0 32,2,2024-09-07 09:47:50:937,734168,734168,0,0,27750292,0,3922 32,3,2024-09-07 09:47:51:019,1,577,2,0,304,4616,577,0 33,0,2024-09-07 09:47:51:492,143740,0.3,143392,0.4,287063,0.2,382544,1.50 33,1,2024-09-07 09:47:50:574,1015942,1015942,0,0,477902391243,4952576424,1007522,7149,1271,368,391730,0 33,2,2024-09-07 09:47:50:759,729849,729814,35,0,30608748,0,7012 33,3,2024-09-07 09:47:50:895,1,577,13,0,329,5073,577,0 34,0,2024-09-07 09:47:50:934,145174,0.3,149126,0.5,285244,0.2,384847,1.75 34,1,2024-09-07 09:47:51:047,1018315,1018315,0,0,478247521093,4921203789,1015356,2849,110,367,391637,0 34,2,2024-09-07 09:47:50:766,729048,729048,0,0,28835356,0,4562 34,3,2024-09-07 09:47:51:696,1,577,7,0,541,5372,577,0 35,0,2024-09-07 09:47:50:857,145617,0.3,146477,0.5,293887,0.2,390244,1.75 35,1,2024-09-07 09:47:51:070,1014536,1014536,0,0,476484682915,4947440834,1006461,6552,1523,382,391769,0 35,2,2024-09-07 09:47:51:592,728864,728864,0,0,31745043,0,4055 35,3,2024-09-07 09:47:50:909,1,577,1,0,466,5526,577,0 36,0,2024-09-07 09:47:51:523,145352,0.5,145298,0.7,290126,0.5,386208,2.00 36,1,2024-09-07 09:47:50:584,1012868,1012868,0,0,475365711348,4968403721,999319,11309,2240,366,391759,0 36,2,2024-09-07 09:47:51:751,732966,732966,0,0,33464654,0,3875 36,3,2024-09-07 09:47:50:866,1,577,1,0,556,8146,577,0 37,0,2024-09-07 09:47:51:373,140173,0.6,140166,0.7,280814,0.6,374875,2.25 37,1,2024-09-07 09:47:50:572,1012737,1012730,0,7,475786457836,4971822264,1000208,9612,2910,365,391770,0 37,2,2024-09-07 09:47:51:144,728596,728581,15,0,33687677,0,5815 37,3,2024-09-07 09:47:51:777,1,577,1,0,888,7778,577,0 38,0,2024-09-07 09:47:51:442,145255,0.5,140790,0.7,294534,0.4,385675,2.00 38,1,2024-09-07 09:47:51:610,1012896,1012896,0,0,475999991214,4983416390,997612,12285,2999,368,391821,0 38,2,2024-09-07 09:47:50:759,726084,726037,47,0,33633644,0,6710 38,3,2024-09-07 09:47:51:001,1,577,7,0,689,7307,577,0 39,0,2024-09-07 09:47:51:759,150315,0.5,146942,0.7,286570,0.5,391363,2.00 39,1,2024-09-07 09:47:50:720,1012433,1012433,0,0,475530685027,4989773260,995174,13558,3701,365,391865,0 39,2,2024-09-07 09:47:51:423,728011,728011,0,0,31506903,0,3391 39,3,2024-09-07 09:47:50:734,1,577,1,0,525,6629,577,0 40,0,2024-09-07 09:47:51:522,144129,0.9,144721,1.0,288826,1.0,385525,2.75 40,1,2024-09-07 09:47:50:576,1013650,1013650,0,0,474955439637,4976260779,999002,11866,2782,368,391668,0 40,2,2024-09-07 09:47:51:307,730398,730397,1,0,37130376,0,5137 40,3,2024-09-07 09:47:51:142,1,577,152,0,1028,8673,577,0 41,0,2024-09-07 09:47:51:022,140097,1.4,143266,1.2,273200,2.0,371630,3.25 41,1,2024-09-07 09:47:50:773,1012327,1012327,0,0,474672038761,4967694762,999258,10933,2136,369,391878,0 41,2,2024-09-07 09:47:50:759,728663,728662,1,0,35955878,0,5408 41,3,2024-09-07 09:47:51:676,1,577,23,0,366,6281,577,0 42,0,2024-09-07 09:47:51:478,145227,0.9,144883,1.0,289881,1.0,384879,2.50 42,1,2024-09-07 09:47:51:448,1009837,1009837,0,0,473757053091,4979501146,994112,12796,2929,380,391675,0 42,2,2024-09-07 09:47:51:139,724605,724604,1,0,35682295,0,5513 42,3,2024-09-07 09:47:51:009,1,577,2,0,892,5518,577,0 43,0,2024-09-07 09:47:50:924,144379,0.7,140573,0.9,294608,0.8,385226,2.25 43,1,2024-09-07 09:47:50:576,1013547,1013547,0,0,476426524107,4979735092,999391,11693,2463,366,391696,0 43,2,2024-09-07 09:47:51:739,728206,728206,0,0,34225221,0,4723 43,3,2024-09-07 09:47:51:749,1,577,1,0,571,8490,577,0 44,0,2024-09-07 09:47:50:872,146624,0.4,146520,0.6,293479,0.3,390052,1.75 44,1,2024-09-07 09:47:50:574,1015950,1015950,0,0,476591074135,4927435567,1006813,7198,1939,356,391809,0 44,2,2024-09-07 09:47:51:269,729548,729548,0,0,27713883,0,4344 44,3,2024-09-07 09:47:51:093,1,577,0,0,1097,7257,577,0 45,0,2024-09-07 09:47:51:757,139676,0.5,136482,0.7,286332,0.4,375988,2.00 45,1,2024-09-07 09:47:51:014,1014759,1014759,0,0,476595180289,4952679870,1006688,7168,903,382,391917,0 45,2,2024-09-07 09:47:51:273,733339,733339,0,0,28217927,0,3596 45,3,2024-09-07 09:47:50:939,1,577,1,0,531,5662,577,0 46,0,2024-09-07 09:47:50:957,143787,0.5,143326,0.7,287629,0.5,382461,2.00 46,1,2024-09-07 09:47:50:575,1017035,1017035,0,0,477917164026,4939287414,1009950,6221,864,366,391709,0 46,2,2024-09-07 09:47:50:598,728434,728434,0,0,28803356,0,4443 46,3,2024-09-07 09:47:51:138,1,577,9,0,908,7064,577,0 47,0,2024-09-07 09:47:51:101,145169,0.4,145093,0.6,291379,0.4,386021,2.00 47,1,2024-09-07 09:47:50:570,1017995,1017995,0,0,477590049327,4933626695,1012231,4911,853,365,391641,0 47,2,2024-09-07 09:47:50:908,731637,731637,0,0,27861984,0,4477 47,3,2024-09-07 09:47:51:117,1,577,1,0,600,6534,577,0 48,0,2024-09-07 09:47:51:497,146483,0.3,146674,0.4,292726,0.2,389194,1.50 48,1,2024-09-07 09:47:51:021,1015532,1015532,0,0,476271807585,4947805162,1009460,5494,578,383,391710,0 48,2,2024-09-07 09:47:50:699,730426,730426,0,0,26547556,0,3524 48,3,2024-09-07 09:47:50:753,1,577,115,0,339,4846,577,0 49,0,2024-09-07 09:47:51:736,147182,0.3,144283,0.5,280765,0.3,382878,1.75 49,1,2024-09-07 09:47:51:021,1014238,1014238,0,0,476342239431,4956335091,1007097,5447,1694,382,391809,0 49,2,2024-09-07 09:47:51:812,733657,733657,0,0,28823576,0,4426 49,3,2024-09-07 09:47:51:419,1,577,1,0,992,7041,577,0 50,0,2024-09-07 09:47:51:510,142383,0.3,140664,0.5,283348,0.2,377713,1.75 50,1,2024-09-07 09:47:51:014,1017601,1017601,0,0,478135595403,4943242465,1011200,5732,669,368,391691,0 50,2,2024-09-07 09:47:51:070,729822,729822,0,0,26477234,0,4490 50,3,2024-09-07 09:47:51:297,1,577,15,0,617,5986,577,0 51,0,2024-09-07 09:47:51:700,149284,0.3,146273,0.5,284721,0.2,388009,1.75 51,1,2024-09-07 09:47:51:685,1018318,1018318,0,0,478146156615,4932250126,1012676,4562,1080,365,391706,0 51,2,2024-09-07 09:47:51:318,728053,728053,0,0,26097322,0,3337 51,3,2024-09-07 09:47:51:027,1,577,6,0,678,4110,577,0 52,0,2024-09-07 09:47:51:430,147621,0.5,147293,0.7,294834,0.4,391520,2.00 52,1,2024-09-07 09:47:50:580,1013007,1013007,0,0,475332600774,4979077017,998037,12640,2330,368,391805,0 52,2,2024-09-07 09:47:51:755,724978,724940,38,0,35343059,0,6742 52,3,2024-09-07 09:47:50:675,1,577,0,0,1782,7035,577,0 53,0,2024-09-07 09:47:51:741,144667,0.7,140348,0.8,293565,0.7,384933,2.50 53,1,2024-09-07 09:47:50:771,1011487,1011487,0,0,475197179743,4992399935,993310,13782,4395,367,391968,0 53,2,2024-09-07 09:47:51:304,732564,732563,1,0,32226089,0,5455 53,3,2024-09-07 09:47:50:698,1,577,0,0,308,5552,577,0 54,0,2024-09-07 09:47:51:864,138950,0.6,139345,0.8,277361,0.5,370730,2.25 54,1,2024-09-07 09:47:50:580,1014111,1014111,0,0,476207736447,4955853356,1003101,9340,1670,366,391810,0 54,2,2024-09-07 09:47:50:869,731924,731892,32,0,35933301,0,6397 54,3,2024-09-07 09:47:50:763,1,577,12,0,676,7679,577,0 55,0,2024-09-07 09:47:51:758,141632,0.7,145968,0.8,295985,0.6,385614,2.50 55,1,2024-09-07 09:47:50:764,1014627,1014627,0,0,475796311557,4952427876,1003772,9466,1389,365,391731,0 55,2,2024-09-07 09:47:50:739,724834,724778,56,0,33103735,0,7239 55,3,2024-09-07 09:47:50:675,1,577,1,0,304,5433,577,0 56,0,2024-09-07 09:47:51:633,149030,1.2,140579,1.1,289543,1.6,388045,2.75 56,1,2024-09-07 09:47:50:588,1008397,1008397,0,0,473820392799,5014444797,990781,13931,3685,381,391867,0 56,2,2024-09-07 09:47:51:308,727150,727028,122,0,34363782,0,7432 56,3,2024-09-07 09:47:51:065,1,577,8,0,705,6780,577,0 57,0,2024-09-07 09:47:50:987,144033,1.5,143971,1.2,288193,2.0,384901,3.25 57,1,2024-09-07 09:47:51:004,1010801,1010801,0,0,474478600886,4982129777,997037,11405,2359,366,392032,0 57,2,2024-09-07 09:47:51:338,731220,731220,0,0,36548601,0,4804 57,3,2024-09-07 09:47:51:739,1,577,1,0,455,6713,577,0 58,0,2024-09-07 09:47:50:557,138786,0.9,134646,1.0,281777,1.1,368701,2.50 58,1,2024-09-07 09:47:50:580,1012642,1012639,0,3,476367847685,4994602749,997309,11548,3782,367,391675,3 58,2,2024-09-07 09:47:51:071,732386,732386,0,0,34505514,0,3483 58,3,2024-09-07 09:47:51:070,1,577,8,0,1043,6568,577,0 59,0,2024-09-07 09:47:51:751,144369,0.8,143973,1.0,287757,0.9,382244,2.75 59,1,2024-09-07 09:47:50:806,1010934,1010934,0,0,474817882070,4993724750,993360,13939,3635,369,391653,0 59,2,2024-09-07 09:47:50:582,726557,726557,0,0,32233199,0,3727 59,3,2024-09-07 09:47:51:745,1,577,0,0,1015,6962,577,0 60,0,2024-09-07 09:47:51:743,144850,0.5,145158,0.7,290138,0.5,386673,1.75 60,1,2024-09-07 09:47:50:776,1016279,1016279,0,0,476778218519,4952544766,1009035,6248,996,370,392031,0 60,2,2024-09-07 09:47:51:148,729004,729004,0,0,30257920,0,3811 60,3,2024-09-07 09:47:51:259,1,577,1,0,409,6620,577,0 61,0,2024-09-07 09:47:51:493,146008,0.6,146599,0.8,291753,0.6,389314,2.00 61,1,2024-09-07 09:47:50:783,1012670,1012670,0,0,474924723442,4972598070,1001889,9120,1661,382,392127,0 61,2,2024-09-07 09:47:51:119,730663,730596,67,0,31336383,0,6411 61,3,2024-09-07 09:47:51:693,1,577,2,0,607,7760,577,0 62,0,2024-09-07 09:47:51:715,142783,0.6,146472,0.7,279567,0.6,380062,2.00 62,1,2024-09-07 09:47:51:118,1019223,1019217,0,6,478328172545,4930766166,1014547,4386,284,365,391975,6 62,2,2024-09-07 09:47:51:652,730556,730555,1,0,31358284,0,5555 62,3,2024-09-07 09:47:51:143,1,577,9,0,482,4701,577,0 63,0,2024-09-07 09:47:51:456,143807,0.4,143589,0.6,287794,0.3,382695,1.75 63,1,2024-09-07 09:47:50:806,1015542,1015536,0,6,477014042465,4953014388,1008894,5787,855,381,391800,6 63,2,2024-09-07 09:47:50:762,729341,729341,0,0,29502124,0,4369 63,3,2024-09-07 09:47:51:732,1,577,1,0,667,6110,577,0 64,0,2024-09-07 09:47:51:511,144184,0.5,144256,0.7,287764,0.4,383248,2.00 64,1,2024-09-07 09:47:50:753,1014380,1014380,0,0,476882988171,4969023541,1005059,7345,1976,370,391794,0 64,2,2024-09-07 09:47:51:146,731962,731943,19,0,28730999,0,6121 64,3,2024-09-07 09:47:51:147,1,577,1,0,651,6211,577,0 65,0,2024-09-07 09:47:51:679,145435,0.6,145676,0.7,291005,0.6,387697,2.00 65,1,2024-09-07 09:47:50:859,1012785,1012785,0,0,475500372748,4970877472,1005385,6527,873,381,391901,0 65,2,2024-09-07 09:47:51:702,728129,728129,0,0,34186059,0,3367 65,3,2024-09-07 09:47:51:694,1,577,2,0,782,6513,577,0 66,0,2024-09-07 09:47:51:773,144433,0.5,143926,0.8,288134,0.5,383279,2.25 66,1,2024-09-07 09:47:51:293,1015181,1015181,0,0,476456750032,4959013824,1008686,5773,722,380,391743,0 66,2,2024-09-07 09:47:51:134,735181,735178,3,0,30777156,0,5455 66,3,2024-09-07 09:47:51:079,1,577,8,0,291,4956,577,0 67,0,2024-09-07 09:47:51:417,140666,0.5,140394,0.7,281800,0.5,375215,2.00 67,1,2024-09-07 09:47:50:766,1014308,1014307,0,1,476599176064,4963409188,1007015,6349,943,380,391787,1 67,2,2024-09-07 09:47:50:583,733720,733705,15,0,30586008,0,6205 67,3,2024-09-07 09:47:51:749,1,577,1,0,595,6116,577,0 68,0,2024-09-07 09:47:50:564,146212,0.6,146039,0.8,290769,0.6,388878,2.25 68,1,2024-09-07 09:47:50:570,1010559,1010559,0,0,474622774695,4994087838,996786,10243,3530,381,391953,0 68,2,2024-09-07 09:47:51:048,722800,722700,100,0,38733637,0,8578 68,3,2024-09-07 09:47:50:728,1,577,0,0,417,7600,577,0 69,0,2024-09-07 09:47:51:728,146385,0.7,147191,0.8,293476,0.7,390049,2.25 69,1,2024-09-07 09:47:51:016,1009647,1009647,0,0,474412077381,5003226155,995866,10950,2831,383,391994,0 69,2,2024-09-07 09:47:51:750,725490,725461,29,0,40792843,0,6912 69,3,2024-09-07 09:47:50:760,1,577,50,0,698,8507,577,0 70,0,2024-09-07 09:47:51:531,143847,0.8,144286,1.0,290243,0.7,384476,2.50 70,1,2024-09-07 09:47:50:805,1015984,1015984,0,0,477689942632,4958689198,1008078,7100,806,366,391725,0 70,2,2024-09-07 09:47:51:329,731850,731850,0,0,34130771,0,4323 70,3,2024-09-07 09:47:50:744,1,577,0,0,854,6525,577,0 71,0,2024-09-07 09:47:51:368,139491,1.1,139229,1.1,279735,1.5,373626,2.75 71,1,2024-09-07 09:47:51:600,1013447,1013447,0,0,475641118274,4968664505,1000463,11363,1621,368,391738,0 71,2,2024-09-07 09:47:51:070,731311,731311,0,0,34325313,0,4352 71,3,2024-09-07 09:47:51:750,1,577,1,0,644,6649,577,0 72,0,2024-09-07 09:47:51:031,150662,0.5,147289,0.7,287411,0.5,390952,2.00 72,1,2024-09-07 09:47:51:023,1011686,1011686,0,0,474709200390,4981090830,997034,12154,2498,369,391819,0 72,2,2024-09-07 09:47:51:755,724485,724485,0,0,35347200,0,3983 72,3,2024-09-07 09:47:51:760,1,577,80,0,564,8435,577,0 73,0,2024-09-07 09:47:51:113,142166,0.5,145800,0.6,298127,0.4,388058,2.00 73,1,2024-09-07 09:47:50:770,1013886,1013886,0,0,476112507174,4950320878,1005843,7087,956,367,391858,0 73,2,2024-09-07 09:47:51:748,727743,727742,1,0,36701714,0,5027 73,3,2024-09-07 09:47:50:979,1,577,7,0,1091,8259,577,0 74,0,2024-09-07 09:47:51:325,147409,0.5,150886,0.7,287821,0.4,390272,2.00 74,1,2024-09-07 09:47:50:634,1012701,1012701,0,0,475709035277,4966591711,1001487,9236,1978,381,391762,0 74,2,2024-09-07 09:47:51:002,730021,730021,0,0,32099178,0,4253 74,3,2024-09-07 09:47:51:446,1,577,24,0,522,6951,577,0 75,0,2024-09-07 09:47:51:767,141729,0.5,140671,0.7,282528,0.5,377495,2.25 75,1,2024-09-07 09:47:51:599,1014287,1014287,0,0,475707964937,4958653343,1006061,7360,866,380,391739,0 75,2,2024-09-07 09:47:51:358,731089,731089,0,0,38825650,0,4766 75,3,2024-09-07 09:47:51:074,1,577,0,0,702,7101,577,0 76,0,2024-09-07 09:47:50:579,143834,0.5,143325,0.7,286758,0.5,383758,2.25 76,1,2024-09-07 09:47:50:812,1013647,1013647,0,0,475524284394,4958173600,1006724,5953,970,382,391790,0 76,2,2024-09-07 09:47:51:061,729627,729624,3,0,31381583,0,5265 76,3,2024-09-07 09:47:51:142,1,577,5,0,227,5181,577,0 77,0,2024-09-07 09:47:51:711,144456,0.5,145028,0.7,289855,0.5,385266,2.00 77,1,2024-09-07 09:47:50:824,1013334,1013334,0,0,476029762967,4966480396,1005586,6874,874,381,391869,0 77,2,2024-09-07 09:47:51:286,728146,728146,0,0,30578424,0,3890 77,3,2024-09-07 09:47:51:094,1,577,1,0,401,6389,577,0 78,0,2024-09-07 09:47:51:721,146685,0.4,146136,0.6,292884,0.4,387827,2.00 78,1,2024-09-07 09:47:50:615,1014031,1014031,0,0,475630782312,4959682683,1002137,9227,2667,367,391670,0 78,2,2024-09-07 09:47:51:404,731117,731104,13,0,28705209,0,8313 78,3,2024-09-07 09:47:51:133,1,577,8,0,311,4943,577,0 79,0,2024-09-07 09:47:51:350,138377,0.4,141686,0.6,290368,0.3,377581,2.00 79,1,2024-09-07 09:47:50:571,1017050,1017050,0,0,477515989534,4944500239,1009259,6432,1359,367,391682,0 79,2,2024-09-07 09:47:51:070,732977,732977,0,0,27949582,0,4195 79,3,2024-09-07 09:47:50:748,1,577,1,0,418,6870,577,0 80,0,2024-09-07 09:47:51:099,141518,0.5,145264,0.7,277993,0.5,376581,2.00 80,1,2024-09-07 09:47:51:638,1014098,1014098,0,0,476051740916,4957209333,1007478,6185,435,368,392269,0 80,2,2024-09-07 09:47:51:105,732603,732603,0,0,28636450,0,4433 80,3,2024-09-07 09:47:50:576,1,577,1,0,681,7260,577,0 81,0,2024-09-07 09:47:51:601,145463,0.6,148709,0.7,284098,0.5,385322,2.00 81,1,2024-09-07 09:47:51:661,1012405,1012405,0,0,474940728449,4965514632,1004274,7368,763,382,391885,0 81,2,2024-09-07 09:47:51:125,726409,726346,63,0,31584142,0,5932 81,3,2024-09-07 09:47:51:118,1,577,11,0,719,6922,577,0 82,0,2024-09-07 09:47:51:546,146944,0.5,147073,0.7,294825,0.4,390558,2.00 82,1,2024-09-07 09:47:50:592,1015493,1015489,0,4,476258310479,4951567996,1009928,4738,823,381,391768,4 82,2,2024-09-07 09:47:51:695,730676,730676,0,0,26662582,0,4484 82,3,2024-09-07 09:47:51:759,1,577,8,0,363,5525,577,0 83,0,2024-09-07 09:47:51:529,145306,0.6,145329,0.7,289960,0.6,385126,2.25 83,1,2024-09-07 09:47:50:551,1013203,1013203,0,0,475590427331,4959226031,1005800,6759,644,382,391709,0 83,2,2024-09-07 09:47:50:764,733222,733197,25,0,28914379,0,5612 83,3,2024-09-07 09:47:50:754,1,577,0,0,1260,7027,577,0 84,0,2024-09-07 09:47:51:776,139428,0.8,139570,0.9,278944,0.7,372253,2.25 84,1,2024-09-07 09:47:51:040,1011557,1011557,0,0,475316321417,4974019845,999518,10368,1671,367,391967,0 84,2,2024-09-07 09:47:50:574,730070,730037,33,0,37981402,0,8407 84,3,2024-09-07 09:47:51:144,1,577,1,0,908,7795,577,0 85,0,2024-09-07 09:47:51:043,140778,0.6,140840,0.8,298675,0.6,385283,2.25 85,1,2024-09-07 09:47:50:563,1009061,1009061,0,0,473595620916,5005926444,992774,13492,2795,381,392092,0 85,2,2024-09-07 09:47:50:884,724842,724842,0,0,35368667,0,4255 85,3,2024-09-07 09:47:50:688,1,577,4,0,789,6781,577,0 86,0,2024-09-07 09:47:50:884,146237,0.7,150339,0.8,287326,0.7,388583,2.25 86,1,2024-09-07 09:47:50:824,1011594,1011594,0,0,475536371036,4990699824,997791,11118,2685,366,392169,0 86,2,2024-09-07 09:47:50:861,726793,726792,1,0,37929584,0,5004 86,3,2024-09-07 09:47:50:588,1,577,4,0,308,8153,577,0 87,0,2024-09-07 09:47:51:337,145969,0.8,145444,0.8,290892,1.0,388173,2.25 87,1,2024-09-07 09:47:50:550,1011101,1011101,0,0,475472410515,4984648325,997875,11439,1787,366,392076,0 87,2,2024-09-07 09:47:51:072,730308,730302,6,0,34568216,0,6323 87,3,2024-09-07 09:47:51:794,1,577,1,0,473,8478,577,0 88,0,2024-09-07 09:47:51:656,140900,0.4,141216,0.6,282486,0.4,376308,1.75 88,1,2024-09-07 09:47:50:581,1010546,1010546,0,0,474997821177,4980017151,995970,11611,2965,365,392084,0 88,2,2024-09-07 09:47:50:689,731492,731492,0,0,37295605,0,4465 88,3,2024-09-07 09:47:51:287,1,577,53,0,1080,9085,577,0 89,0,2024-09-07 09:47:51:771,149697,0.4,145215,0.6,286555,0.4,389712,1.75 89,1,2024-09-07 09:47:50:568,1009779,1009779,0,0,475365580271,5001178321,997065,10772,1942,382,391866,0 89,2,2024-09-07 09:47:51:140,725962,725962,0,0,34380505,0,3173 89,3,2024-09-07 09:47:51:791,1,577,0,0,468,9826,577,0 90,0,2024-09-07 09:47:51:634,140667,0.5,144525,0.6,294775,0.4,384862,2.00 90,1,2024-09-07 09:47:50:591,1012033,1012033,0,0,475230179277,4978314347,1002309,8834,890,380,391825,0 90,2,2024-09-07 09:47:51:409,725519,725519,0,0,37355080,0,3608 90,3,2024-09-07 09:47:50:931,1,577,94,0,322,7177,577,0 91,0,2024-09-07 09:47:50:937,146537,0.4,142190,0.6,297393,0.4,389940,1.75 91,1,2024-09-07 09:47:50:599,1009259,1009259,0,0,475165321734,5008050233,994035,12157,3067,381,392047,0 91,2,2024-09-07 09:47:51:343,729780,729780,0,0,32999024,0,4713 91,3,2024-09-07 09:47:50:603,1,577,5,0,216,5312,577,0 92,0,2024-09-07 09:47:51:558,143924,0.5,147101,0.6,280593,0.5,380265,1.75 92,1,2024-09-07 09:47:50:582,1013316,1013316,0,0,475548940194,4966392424,1005487,6618,1211,381,392136,0 92,2,2024-09-07 09:47:51:378,732662,732662,0,0,29410547,0,3259 92,3,2024-09-07 09:47:51:025,1,577,9,0,167,4961,577,0 93,0,2024-09-07 09:47:51:179,144061,0.4,147635,0.6,281918,0.4,382774,1.75 93,1,2024-09-07 09:47:50:846,1013351,1013351,0,0,475934603092,4968776399,1001936,9488,1927,366,391776,0 93,2,2024-09-07 09:47:51:008,728951,728951,0,0,35081882,0,4913 93,3,2024-09-07 09:47:51:458,1,577,34,0,294,5740,577,0 94,0,2024-09-07 09:47:51:604,144381,0.4,145424,0.5,290738,0.3,386006,1.75 94,1,2024-09-07 09:47:50:577,1014237,1014237,0,0,475636750916,4960963016,1007496,6311,430,381,391850,0 94,2,2024-09-07 09:47:50:762,728243,728215,28,0,29736129,0,6179 94,3,2024-09-07 09:47:51:695,1,577,1,0,576,7099,577,0 95,0,2024-09-07 09:47:51:374,146434,0.3,146525,0.5,293152,0.3,390235,1.75 95,1,2024-09-07 09:47:50:884,1015341,1015341,0,0,475873138217,4945910213,1007173,7464,704,365,391852,0 95,2,2024-09-07 09:47:51:216,728111,728111,0,0,29371517,0,3308 95,3,2024-09-07 09:47:51:710,1,577,1,0,718,8860,577,0 96,0,2024-09-07 09:47:51:078,144879,0.4,145244,0.5,290376,0.3,385531,1.75 96,1,2024-09-07 09:47:51:640,1013161,1013161,0,0,475798352550,4964167030,1005817,6072,1272,384,391964,0 96,2,2024-09-07 09:47:51:276,733134,733134,0,0,30588499,0,4180 96,3,2024-09-07 09:47:51:147,1,577,3,0,411,6762,577,0 97,0,2024-09-07 09:47:51:334,140995,0.3,140886,0.5,282447,0.3,375186,1.75 97,1,2024-09-07 09:47:50:766,1014897,1014897,0,0,476811364641,4948313637,1008341,5530,1026,367,392140,0 97,2,2024-09-07 09:47:50:609,732359,732359,0,0,30425079,0,4046 97,3,2024-09-07 09:47:50:573,1,577,32,0,242,6525,577,0 98,0,2024-09-07 09:47:51:714,145871,0.3,145846,0.5,292732,0.3,388992,1.50 98,1,2024-09-07 09:47:50:572,1014363,1014363,0,0,476002293027,4959471727,1008101,5440,822,381,391997,0 98,2,2024-09-07 09:47:50:969,727269,727269,0,0,29087454,0,4336 98,3,2024-09-07 09:47:50:714,1,577,0,0,840,8785,577,0 99,0,2024-09-07 09:47:51:509,147325,0.3,147963,0.5,294333,0.3,392763,1.75 99,1,2024-09-07 09:47:51:740,1015271,1015271,0,0,476232916664,4957295158,1008918,5413,940,380,392069,0 99,2,2024-09-07 09:47:51:422,728099,728099,0,0,35717298,0,4276 99,3,2024-09-07 09:47:50:581,1,577,4,0,1124,7443,577,0 100,0,2024-09-07 09:47:51:476,145018,0.8,145332,0.9,290419,0.9,387943,2.50 100,1,2024-09-07 09:47:50:558,1008981,1008981,0,0,473399870522,5009708060,992979,12690,3312,378,391989,0 100,2,2024-09-07 09:47:51:818,728134,728122,12,0,35003821,0,6364 100,3,2024-09-07 09:47:51:740,1,577,2,0,627,9915,577,0 101,0,2024-09-07 09:47:51:736,143756,1.3,140305,1.1,274407,1.5,375309,2.50 101,1,2024-09-07 09:47:50:555,1010400,1010400,0,0,474222168883,4986666585,996483,11391,2526,368,391847,0 101,2,2024-09-07 09:47:51:762,727396,727396,0,0,39693190,0,4871 101,3,2024-09-07 09:47:50:964,1,577,9,0,1250,8862,577,0 102,0,2024-09-07 09:47:50:977,141978,0.6,146234,0.8,296922,0.6,388899,2.00 102,1,2024-09-07 09:47:51:159,1010631,1010631,0,0,474166093094,4985440576,996537,11899,2195,369,391984,0 102,2,2024-09-07 09:47:51:740,726823,726769,54,0,33535186,0,6768 102,3,2024-09-07 09:47:51:615,1,577,8,0,466,6775,577,0 103,0,2024-09-07 09:47:51:590,150654,0.6,150692,0.7,283846,0.6,391314,2.00 103,1,2024-09-07 09:47:51:629,1008915,1008915,0,0,473523995365,5005014258,992329,12995,3591,381,392077,0 103,2,2024-09-07 09:47:50:583,725952,725952,0,0,36171303,0,3766 103,3,2024-09-07 09:47:50:758,1,577,2,0,916,7049,577,0 104,0,2024-09-07 09:47:51:006,145400,0.7,145582,0.9,290478,0.7,388472,2.25 104,1,2024-09-07 09:47:51:610,1011831,1011831,0,0,474742884572,4990479170,996841,12207,2783,365,392168,0 104,2,2024-09-07 09:47:51:669,728150,728150,0,0,35733498,0,4161 104,3,2024-09-07 09:47:51:435,1,577,2,0,1245,10478,577,0 105,0,2024-09-07 09:47:51:147,139986,0.8,136346,1.0,285740,0.9,375516,2.50 105,1,2024-09-07 09:47:50:555,1013667,1013667,0,0,475499090758,4980606616,1001357,10501,1809,364,392009,0 105,2,2024-09-07 09:47:51:324,731313,731313,0,0,35987229,0,4360 105,3,2024-09-07 09:47:51:305,1,577,1,0,573,8882,577,0 106,0,2024-09-07 09:47:50:960,139534,0.8,142817,0.9,292742,0.9,382429,2.50 106,1,2024-09-07 09:47:51:750,1011801,1011801,0,0,475069380078,4988857217,997297,12612,1892,368,391914,0 106,2,2024-09-07 09:47:50:783,726401,726401,0,0,33147047,0,3254 106,3,2024-09-07 09:47:50:690,1,577,9,0,1224,8319,577,0 107,0,2024-09-07 09:47:51:167,144646,1.0,144759,0.9,289573,1.2,385935,2.25 107,1,2024-09-07 09:47:50:601,1009372,1009372,0,0,473847737967,5006764663,993161,14398,1813,381,392234,0 107,2,2024-09-07 09:47:51:295,725794,725793,1,0,36195715,0,5024 107,3,2024-09-07 09:47:51:762,1,577,1,0,733,8921,577,0 108,0,2024-09-07 09:47:51:802,146082,0.4,146598,0.6,292151,0.4,389332,1.75 108,1,2024-09-07 09:47:51:293,1013330,1013330,0,0,476673695204,4972374716,1004818,7532,980,367,391894,0 108,2,2024-09-07 09:47:51:755,729268,729268,0,0,31678869,0,4246 108,3,2024-09-07 09:47:51:330,1,577,7,0,749,10729,577,0 109,0,2024-09-07 09:47:51:758,143981,0.4,142675,0.6,286473,0.3,382046,1.75 109,1,2024-09-07 09:47:50:583,1010571,1010571,0,0,475166922068,4983916289,1001715,7634,1222,382,392132,0 109,2,2024-09-07 09:47:50:921,730836,730836,0,0,32516803,0,3617 109,3,2024-09-07 09:47:51:144,1,577,1,0,630,7178,577,0 110,0,2024-09-07 09:47:51:754,141601,0.4,137662,0.6,288292,0.3,378591,1.75 110,1,2024-09-07 09:47:51:653,1015172,1015172,0,0,476445897018,4947143125,1007268,5980,1924,368,392045,0 110,2,2024-09-07 09:47:51:312,730807,730807,0,0,30099694,0,4067 110,3,2024-09-07 09:47:50:690,1,577,1,0,722,7924,577,0 111,0,2024-09-07 09:47:51:415,146261,0.4,145429,0.5,290955,0.3,387628,1.75 111,1,2024-09-07 09:47:51:000,1016648,1016648,0,0,477927179615,4955010281,1011762,4523,363,380,391690,0 111,2,2024-09-07 09:47:51:118,727526,727526,0,0,30307430,0,4823 111,3,2024-09-07 09:47:50:913,1,577,1,0,379,6450,577,0 112,0,2024-09-07 09:47:50:932,147938,0.3,147306,0.4,295399,0.2,391835,1.50 112,1,2024-09-07 09:47:50:831,1014908,1014908,0,0,477031252462,4955675735,1007717,5966,1225,380,391624,0 112,2,2024-09-07 09:47:51:139,728286,728285,1,0,29052021,0,5036 112,3,2024-09-07 09:47:50:592,1,577,2,0,282,5385,577,0 113,0,2024-09-07 09:47:50:866,145493,0.3,145444,0.5,291200,0.2,387518,1.75 113,1,2024-09-07 09:47:51:689,1017281,1017281,0,0,478457640308,4938039392,1011202,5133,946,365,391664,0 113,2,2024-09-07 09:47:51:304,735389,735389,0,0,27542521,0,3813 113,3,2024-09-07 09:47:50:686,1,577,0,0,510,6259,577,0 114,0,2024-09-07 09:47:50:874,141095,0.3,141987,0.5,282468,0.2,377851,1.75 114,1,2024-09-07 09:47:50:717,1015310,1015310,0,0,476647391627,4951069611,1007486,6078,1746,381,391565,0 114,2,2024-09-07 09:47:50:874,733638,733637,1,0,28951262,0,5069 114,3,2024-09-07 09:47:51:278,1,577,1,0,395,4588,577,0 115,0,2024-09-07 09:47:50:556,147407,0.3,147866,0.4,294440,0.2,392071,1.50 115,1,2024-09-07 09:47:50:573,1015480,1015480,0,0,476722289846,4955218897,1007236,6727,1517,382,391757,0 115,2,2024-09-07 09:47:51:126,728482,728482,0,0,28482452,0,4382 115,3,2024-09-07 09:47:51:002,1,577,1,0,167,3467,577,0 116,0,2024-09-07 09:47:51:873,146070,0.7,145819,0.8,292258,0.6,390947,2.00 116,1,2024-09-07 09:47:50:806,1010615,1010615,0,0,474095974000,5001117064,998048,9478,3089,380,392089,0 116,2,2024-09-07 09:47:51:758,727890,727890,0,0,36180993,0,4475 116,3,2024-09-07 09:47:50:914,1,577,4,0,448,7642,577,0 117,0,2024-09-07 09:47:51:018,146167,0.7,145476,0.8,291482,0.8,389614,2.00 117,1,2024-09-07 09:47:51:588,1011738,1011738,0,0,474509850714,4972443895,1000145,10073,1520,369,392033,0 117,2,2024-09-07 09:47:51:120,733062,733062,0,0,32864516,0,4303 117,3,2024-09-07 09:47:51:062,1,577,2,0,490,7576,577,0 118,0,2024-09-07 09:47:51:767,136644,0.6,140322,0.7,286317,0.5,374854,2.00 118,1,2024-09-07 09:47:50:590,1010148,1010148,0,0,474612513034,4994663676,994405,12123,3620,366,392054,0 118,2,2024-09-07 09:47:51:592,731184,731184,0,0,34892473,0,2842 118,3,2024-09-07 09:47:51:764,1,577,1,0,289,6685,577,0 119,0,2024-09-07 09:47:51:374,145201,0.7,145790,0.8,291030,0.7,387926,2.25 119,1,2024-09-07 09:47:50:549,1011456,1011456,0,0,475909248629,4994894478,996909,12038,2509,367,391857,0 119,2,2024-09-07 09:47:51:266,727344,727344,0,0,32652670,0,4309 119,3,2024-09-07 09:47:51:329,1,577,43,0,1358,10895,577,0 120,0,2024-09-07 09:47:51:609,144133,0.7,143959,0.8,289170,0.7,385741,2.25 120,1,2024-09-07 09:47:50:864,1012840,1012840,0,0,474752592892,4978014528,1002330,9528,982,367,392144,0 120,2,2024-09-07 09:47:50:770,726804,726801,3,0,38687766,0,5363 120,3,2024-09-07 09:47:51:305,1,577,2,0,279,7196,577,0 121,0,2024-09-07 09:47:51:859,146291,1.1,145741,1.0,291784,1.5,388830,2.25 121,1,2024-09-07 09:47:51:676,1012589,1012589,0,0,475517686273,4973242610,1002397,9338,854,366,391840,0 121,2,2024-09-07 09:47:51:130,729214,729214,0,0,35256360,0,4157 121,3,2024-09-07 09:47:50:741,1,577,21,0,387,7505,577,0 122,0,2024-09-07 09:47:51:763,141752,0.8,137937,0.9,289062,0.9,380057,2.00 122,1,2024-09-07 09:47:50:876,1011311,1011311,0,0,474696755941,4983446485,997193,11783,2335,366,392130,0 122,2,2024-09-07 09:47:51:319,732929,732854,75,0,39217907,0,5989 122,3,2024-09-07 09:47:50:594,1,577,26,0,512,9582,577,0 123,0,2024-09-07 09:47:50:966,142696,0.8,138852,0.9,290863,0.9,381215,2.25 123,1,2024-09-07 09:47:50:558,1011234,1011234,0,0,474805701012,4996634351,994608,13956,2670,369,392039,0 123,2,2024-09-07 09:47:51:023,726960,726959,1,0,33326167,0,5215 123,3,2024-09-07 09:47:51:133,1,577,1,0,478,6673,577,0 124,0,2024-09-07 09:47:50:935,148785,0.3,148747,0.5,280732,0.3,386303,1.75 124,1,2024-09-07 09:47:51:022,1015342,1015342,0,0,476296999369,4951652081,1007849,6322,1171,365,392178,0 124,2,2024-09-07 09:47:51:014,729753,729700,53,0,29860018,0,6487 124,3,2024-09-07 09:47:50:758,1,577,19,0,490,5798,577,0 125,0,2024-09-07 09:47:51:454,146240,0.4,146299,0.5,293485,0.3,390327,1.75 125,1,2024-09-07 09:47:50:861,1012438,1012438,0,0,475404473124,4962880134,1004625,6682,1131,382,391813,0 125,2,2024-09-07 09:47:51:133,731153,731153,0,0,29866865,0,4534 125,3,2024-09-07 09:47:51:126,1,577,24,0,709,6665,577,0 126,0,2024-09-07 09:47:51:422,145140,0.4,149251,0.6,285496,0.4,386219,1.75 126,1,2024-09-07 09:47:50:551,1015896,1015896,0,0,476883706746,4937780825,1010441,5010,445,365,391987,0 126,2,2024-09-07 09:47:50:614,733979,733979,0,0,31352471,0,4539 126,3,2024-09-07 09:47:50:909,1,577,12,0,268,6363,577,0 127,0,2024-09-07 09:47:51:589,141169,0.3,141339,0.5,282203,0.3,375588,1.75 127,1,2024-09-07 09:47:50:569,1013962,1013962,0,0,475584517109,4949198734,1003750,8589,1623,364,392187,0 127,2,2024-09-07 09:47:50:637,731244,731240,4,0,29615608,0,5305 127,3,2024-09-07 09:47:51:267,1,577,1,0,968,5700,577,0 128,0,2024-09-07 09:47:51:527,146757,0.3,146764,0.4,293236,0.2,389609,1.50 128,1,2024-09-07 09:47:51:607,1014372,1014372,0,0,475624266807,4948314015,1006241,7179,952,367,392423,0 128,2,2024-09-07 09:47:51:389,728369,728369,0,0,27400925,0,3171 128,3,2024-09-07 09:47:50:767,1,577,8,0,1082,9261,577,0 129,0,2024-09-07 09:47:51:008,148329,0.3,147607,0.5,295918,0.3,393142,1.50 129,1,2024-09-07 09:47:50:569,1010424,1010424,0,0,474788819883,4978313739,1000011,8391,2022,379,391962,0 129,2,2024-09-07 09:47:50:689,729730,729726,4,0,29875101,0,5335 129,3,2024-09-07 09:47:50:688,1,577,1,0,506,8109,577,0 130,0,2024-09-07 09:47:51:759,146362,0.4,145820,0.6,292185,0.4,389292,1.75 130,1,2024-09-07 09:47:50:585,1015183,1015183,0,0,476274679271,4948345196,1009872,4917,394,381,391825,0 130,2,2024-09-07 09:47:51:126,733150,733150,0,0,30234052,0,4067 130,3,2024-09-07 09:47:51:299,1,577,5,0,960,7845,577,0 131,0,2024-09-07 09:47:51:932,141022,0.4,141449,0.5,283545,0.3,376798,1.75 131,1,2024-09-07 09:47:51:840,1014094,1014094,0,0,476017952178,4963460241,1006979,5920,1195,381,391865,0 131,2,2024-09-07 09:47:50:567,732963,732963,0,0,28216978,0,3979 131,3,2024-09-07 09:47:51:698,1,577,1,0,392,7447,577,0 132,0,2024-09-07 09:47:51:451,146016,0.5,147061,0.6,292770,0.4,389931,2.00 132,1,2024-09-07 09:47:50:581,1010037,1010037,0,0,474295976621,4997508114,994764,12572,2701,381,392532,0 132,2,2024-09-07 09:47:50:699,726293,726276,17,0,36421042,0,6451 132,3,2024-09-07 09:47:51:697,1,577,1,0,1298,10689,577,0 133,0,2024-09-07 09:47:51:517,142296,0.4,145574,0.6,298403,0.4,388962,2.00 133,1,2024-09-07 09:47:50:583,1010019,1010019,0,0,474244618748,5000876201,995971,12287,1761,383,391914,0 133,2,2024-09-07 09:47:51:099,728823,728773,50,0,37624319,0,6861 133,3,2024-09-07 09:47:51:301,1,577,1,0,528,7023,577,0 134,0,2024-09-07 09:47:50:968,146668,0.5,146733,0.7,293652,0.5,390575,2.00 134,1,2024-09-07 09:47:50:584,1011356,1011356,0,0,474206862198,4977776515,997791,11079,2486,366,391781,0 134,2,2024-09-07 09:47:51:756,730792,730768,24,0,34760250,0,6207 134,3,2024-09-07 09:47:50:753,1,577,1,0,739,7113,577,0 135,0,2024-09-07 09:47:51:117,136978,0.9,137005,0.9,290912,1.0,375065,2.25 135,1,2024-09-07 09:47:51:592,1010887,1010887,0,0,474943532954,4997048580,997936,11312,1639,380,391805,0 135,2,2024-09-07 09:47:50:686,732764,732764,0,0,35794541,0,4503 135,3,2024-09-07 09:47:51:004,1,577,1,0,900,5822,577,0 136,0,2024-09-07 09:47:51:621,144949,0.6,145363,0.7,289014,0.5,385777,2.00 136,1,2024-09-07 09:47:51:452,1012461,1012461,0,0,475457051220,4983966492,1001157,9936,1368,381,392135,0 136,2,2024-09-07 09:47:51:154,728630,728615,15,0,34756227,0,6007 136,3,2024-09-07 09:47:51:112,1,577,1,0,637,6806,577,0 137,0,2024-09-07 09:47:50:920,149590,0.6,145797,0.7,285584,0.6,387795,2.00 137,1,2024-09-07 09:47:50:592,1011367,1011367,0,0,475092910598,4983619444,995787,12506,3074,366,391898,0 137,2,2024-09-07 09:47:51:710,726837,726837,0,0,35915769,0,3185 137,3,2024-09-07 09:47:50:779,1,577,161,0,484,7740,577,0 138,0,2024-09-07 09:47:51:747,145502,0.7,145758,0.9,292059,0.8,387719,2.00 138,1,2024-09-07 09:47:51:685,1011602,1011602,0,0,475456100791,4988821230,997298,12053,2251,368,391954,0 138,2,2024-09-07 09:47:50:590,728635,728635,0,0,33522566,0,4988 138,3,2024-09-07 09:47:50:614,1,577,34,0,1200,9228,577,0 139,0,2024-09-07 09:47:51:361,142150,1.1,142703,1.0,285351,1.6,380308,2.25 139,1,2024-09-07 09:47:50:590,1007643,1007643,0,0,472489322878,5011497710,989872,14017,3754,380,392109,0 139,2,2024-09-07 09:47:50:692,727741,727741,0,0,38404623,0,3379 139,3,2024-09-07 09:47:51:667,1,577,102,0,432,6957,577,0 140,0,2024-09-07 09:47:51:602,142216,0.3,141402,0.5,283706,0.2,378833,1.75 140,1,2024-09-07 09:47:51:542,1017971,1017971,0,0,478227281657,4930496392,1013025,4400,546,364,391606,0 140,2,2024-09-07 09:47:50:688,731502,731501,1,0,28120411,0,5036 140,3,2024-09-07 09:47:50:770,1,577,1,0,575,5854,577,0 141,0,2024-09-07 09:47:51:705,145839,0.3,149864,0.5,286425,0.3,387454,1.75 141,1,2024-09-07 09:47:50:931,1015704,1015704,0,0,477122154426,4956663997,1007739,6786,1179,379,391614,0 141,2,2024-09-07 09:47:51:693,728200,728189,11,0,29849720,0,5369 141,3,2024-09-07 09:47:51:046,1,577,1,0,391,6397,577,0 142,0,2024-09-07 09:47:51:322,147951,0.3,147084,0.5,294554,0.2,392685,1.50 142,1,2024-09-07 09:47:50:584,1013907,1013907,0,0,475816940647,4959413889,1007559,5844,504,382,392102,0 142,2,2024-09-07 09:47:51:302,727588,727556,32,0,30130808,0,6028 142,3,2024-09-07 09:47:51:749,1,577,8,0,484,6126,577,0 143,0,2024-09-07 09:47:51:395,145195,0.4,145326,0.5,291583,0.4,387437,1.75 143,1,2024-09-07 09:47:50:557,1016658,1016658,0,0,476432046412,4943255273,1010278,5814,566,367,391722,0 143,2,2024-09-07 09:47:50:769,733820,733820,0,0,30312068,0,3123 143,3,2024-09-07 09:47:51:142,1,577,0,0,462,7145,577,0 144,0,2024-09-07 09:47:51:492,136527,0.6,140438,0.8,285813,0.6,374467,2.25 144,1,2024-09-07 09:47:50:569,1010889,1010889,0,0,474543524382,4980431844,1000631,8271,1987,381,391733,0 144,2,2024-09-07 09:47:51:754,733252,733252,0,0,29783746,0,4443 144,3,2024-09-07 09:47:51:749,1,577,1,0,249,5678,577,0 145,0,2024-09-07 09:47:51:365,141924,0.6,141893,0.8,301161,0.5,387961,2.25 145,1,2024-09-07 09:47:50:555,1010224,1010224,0,0,474369221513,4995795436,996222,11331,2671,382,391759,0 145,2,2024-09-07 09:47:51:436,724963,724881,82,0,35239720,0,7814 145,3,2024-09-07 09:47:50:895,1,577,0,0,622,7831,577,0 146,0,2024-09-07 09:47:51:595,146267,0.6,145703,0.8,292803,0.5,389217,2.25 146,1,2024-09-07 09:47:51:593,1011257,1011257,0,0,474365163195,4994922166,993968,12810,4479,367,391770,0 146,2,2024-09-07 09:47:51:702,726750,726744,6,0,33571340,0,5151 146,3,2024-09-07 09:47:51:282,1,577,12,0,1520,9519,577,0 147,0,2024-09-07 09:47:51:709,146063,0.6,145717,0.7,291171,0.6,388813,2.25 147,1,2024-09-07 09:47:51:372,1015445,1015445,0,0,476031579698,4947370798,1007325,7174,946,367,391791,0 147,2,2024-09-07 09:47:51:014,731452,731452,0,0,29669373,0,2968 147,3,2024-09-07 09:47:50:913,1,577,7,0,1626,9077,577,0 0,0,2024-09-07 09:48:01:807,141247,0.6,141170,0.7,299703,0.6,387436,2.00 0,1,2024-09-07 09:48:00:908,1013735,1013735,0,0,475957176811,4983651830,1005473,7333,929,369,391896,0 0,2,2024-09-07 09:48:01:086,730890,730890,0,0,30032214,0,4480 0,3,2024-09-07 09:48:00:974,1,578,1,0,431,8593,578,0 1,0,2024-09-07 09:48:01:842,146149,0.9,145189,0.9,292020,1.0,389753,2.00 1,1,2024-09-07 09:48:00:560,1012593,1012593,0,0,475528624486,4982886976,1003183,7920,1490,370,391859,0 1,2,2024-09-07 09:48:00:649,731632,731632,0,0,29302076,0,3380 1,3,2024-09-07 09:48:01:302,1,578,2,0,269,7058,578,0 2,0,2024-09-07 09:48:01:580,142444,0.6,142210,0.7,284328,0.6,379561,2.00 2,1,2024-09-07 09:48:00:864,1016141,1016141,0,0,477583617492,4966843053,1010053,5139,949,379,391745,0 2,2,2024-09-07 09:48:01:279,733848,733848,0,0,28414368,0,3594 2,3,2024-09-07 09:48:00:692,1,578,8,0,357,5185,578,0 3,0,2024-09-07 09:48:01:764,143747,0.4,143507,0.6,286483,0.4,382702,2.00 3,1,2024-09-07 09:48:01:619,1014664,1014664,0,0,476255081650,4955023115,1007092,6869,703,379,391716,0 3,2,2024-09-07 09:48:01:146,730713,730690,23,0,29302614,0,5851 3,3,2024-09-07 09:48:01:755,1,578,1,0,275,4047,578,0 4,0,2024-09-07 09:48:01:789,140811,0.4,144716,0.5,295057,0.3,385973,1.75 4,1,2024-09-07 09:48:00:595,1010900,1010900,0,0,473448669653,5016404948,993110,14039,3751,370,391992,0 4,2,2024-09-07 09:48:01:022,726339,726339,0,0,35817990,0,4534 4,3,2024-09-07 09:48:01:028,1,578,33,0,448,7269,578,0 5,0,2024-09-07 09:48:01:383,146647,0.4,146707,0.5,293588,0.3,390060,1.75 5,1,2024-09-07 09:48:00:788,1010789,1010789,0,0,475636393696,5026091472,994532,12133,4124,367,392005,0 5,2,2024-09-07 09:48:01:864,727692,727692,0,0,34695954,0,3582 5,3,2024-09-07 09:48:01:733,1,578,9,0,457,7787,578,0 6,0,2024-09-07 09:48:00:918,145159,0.5,144737,0.7,289694,0.4,385390,2.00 6,1,2024-09-07 09:48:00:746,1014111,1014111,0,0,475777824798,4972886906,1003117,9421,1573,379,391702,0 6,2,2024-09-07 09:48:01:115,733865,733847,18,0,33898547,0,5535 6,3,2024-09-07 09:48:01:281,1,578,12,0,710,7164,578,0 7,0,2024-09-07 09:48:01:534,140561,0.5,141476,0.7,281414,0.5,375496,2.00 7,1,2024-09-07 09:48:00:851,1011381,1011381,0,0,475967113446,5014379368,995275,12554,3552,382,391747,0 7,2,2024-09-07 09:48:00:771,731641,731641,0,0,32714069,0,4791 7,3,2024-09-07 09:48:00:851,1,578,1,0,552,6490,578,0 8,0,2024-09-07 09:48:01:323,146572,0.4,146332,0.5,292906,0.3,389985,1.75 8,1,2024-09-07 09:48:01:016,1011358,1011358,0,0,475249563629,5000542807,995105,12712,3541,366,392853,0 8,2,2024-09-07 09:48:00:790,723568,723566,2,0,38410671,0,5112 8,3,2024-09-07 09:48:00:592,1,578,1,0,772,9245,578,0 9,0,2024-09-07 09:48:01:104,147183,0.4,143246,0.5,299836,0.3,393105,1.75 9,1,2024-09-07 09:48:00:561,1011361,1011361,0,0,473953262758,4998066871,995350,12895,3116,369,392001,0 9,2,2024-09-07 09:48:01:093,728245,728244,1,0,35025631,0,5281 9,3,2024-09-07 09:48:01:755,1,578,3,0,1273,9999,578,0 10,0,2024-09-07 09:48:01:621,145616,0.4,145144,0.5,291405,0.3,388361,1.75 10,1,2024-09-07 09:48:00:592,1012951,1012951,0,0,475817908534,4992940626,997946,11977,3028,381,391981,0 10,2,2024-09-07 09:48:00:761,733338,733338,0,0,38645132,0,4713 10,3,2024-09-07 09:48:00:878,1,578,8,0,669,6696,578,0 11,0,2024-09-07 09:48:01:005,141096,0.5,136911,0.6,286239,0.4,377227,1.75 11,1,2024-09-07 09:48:00:570,1014570,1014570,0,0,476119671172,4996023471,999340,11089,4141,383,391766,0 11,2,2024-09-07 09:48:01:131,732226,732226,0,0,34605163,0,4698 11,3,2024-09-07 09:48:01:305,1,578,5,0,843,7502,578,0 12,0,2024-09-07 09:48:00:963,147343,0.4,147361,0.5,294350,0.3,392008,1.75 12,1,2024-09-07 09:48:00:934,1014216,1014216,0,0,475362385565,4959387304,1005929,7285,1002,370,391960,0 12,2,2024-09-07 09:48:01:544,726782,726782,0,0,32850928,0,4390 12,3,2024-09-07 09:48:01:063,1,578,1,0,386,7386,578,0 13,0,2024-09-07 09:48:01:353,147052,0.4,147055,0.5,293409,0.4,391252,1.75 13,1,2024-09-07 09:48:01:531,1011673,1011673,0,0,474612673203,4988870813,1001317,8049,2307,382,391768,0 13,2,2024-09-07 09:48:00:595,730918,730918,0,0,29248531,0,3287 13,3,2024-09-07 09:48:01:771,1,578,11,0,522,7825,578,0 14,0,2024-09-07 09:48:00:568,146518,0.4,147574,0.6,292798,0.3,390017,1.75 14,1,2024-09-07 09:48:01:561,1019136,1019136,0,0,477729900225,4943223869,1011283,6881,972,364,391673,0 14,2,2024-09-07 09:48:00:764,733421,733391,30,0,30824869,0,6104 14,3,2024-09-07 09:48:01:118,1,578,1,0,1168,6455,578,0 15,0,2024-09-07 09:48:01:569,141445,0.4,140634,0.6,282440,0.4,376575,2.00 15,1,2024-09-07 09:48:01:617,1015987,1015987,0,0,477183281595,4971253070,1006953,7121,1913,381,391619,0 15,2,2024-09-07 09:48:01:012,735569,735569,0,0,26872539,0,3622 15,3,2024-09-07 09:48:01:410,1,578,1,0,1126,7721,578,0 16,0,2024-09-07 09:48:00:954,144608,0.6,145278,0.7,289991,0.6,386517,2.00 16,1,2024-09-07 09:48:00:562,1015855,1015855,0,0,476274406761,4981253393,1006583,7832,1440,370,392194,0 16,2,2024-09-07 09:48:01:437,727810,727810,0,0,30510571,0,4719 16,3,2024-09-07 09:48:01:147,1,578,1,0,358,7434,578,0 17,0,2024-09-07 09:48:01:840,149509,0.6,145794,0.8,285632,0.6,388043,2.00 17,1,2024-09-07 09:48:00:579,1013792,1013792,0,0,475413549501,4989360087,1003613,8411,1768,368,392075,0 17,2,2024-09-07 09:48:01:678,732272,732271,1,0,31740997,0,5050 17,3,2024-09-07 09:48:00:574,1,578,10,0,518,8668,578,0 18,0,2024-09-07 09:48:00:942,144876,0.7,145812,0.8,290331,0.7,387628,2.25 18,1,2024-09-07 09:48:01:642,1017936,1017936,0,0,476521664145,4944349057,1010889,5790,1257,367,391725,0 18,2,2024-09-07 09:48:01:756,733435,733435,0,0,28157643,0,3541 18,3,2024-09-07 09:48:00:897,1,578,9,0,1059,5436,578,0 19,0,2024-09-07 09:48:01:543,142922,0.6,143126,0.8,285095,0.6,379062,2.00 19,1,2024-09-07 09:48:00:567,1017588,1017588,0,0,478125877855,4957072986,1009921,6534,1133,367,391777,0 19,2,2024-09-07 09:48:01:756,736660,736660,0,0,26745442,0,3988 19,3,2024-09-07 09:48:01:135,1,578,4,0,524,4530,578,0 20,0,2024-09-07 09:48:01:364,142056,0.6,141985,0.7,283847,0.6,378885,2.00 20,1,2024-09-07 09:48:00:570,1013338,1013338,0,0,476375938340,4994159047,1002788,9045,1505,369,391922,0 20,2,2024-09-07 09:48:00:949,730118,730118,0,0,33400257,0,4321 20,3,2024-09-07 09:48:00:592,1,578,13,0,468,9499,578,0 21,0,2024-09-07 09:48:01:153,145617,0.5,145619,0.6,291329,0.4,386798,2.00 21,1,2024-09-07 09:48:01:539,1011543,1011543,0,0,475011738050,5017921075,995034,12720,3789,368,392016,0 21,2,2024-09-07 09:48:01:067,723472,722995,477,0,43783142,0,17074 21,3,2024-09-07 09:48:01:423,1,578,12,0,713,8120,578,0 22,0,2024-09-07 09:48:01:727,147111,0.5,147714,0.7,294657,0.4,390815,2.00 22,1,2024-09-07 09:48:01:025,1012445,1012445,0,0,475130059674,5005497852,994682,14224,3539,382,391822,0 22,2,2024-09-07 09:48:00:764,728109,728083,26,0,31758439,0,6328 22,3,2024-09-07 09:48:01:067,1,578,11,0,228,4911,578,0 23,0,2024-09-07 09:48:01:380,145131,0.5,144888,0.7,289669,0.5,386265,2.25 23,1,2024-09-07 09:48:01:003,1012148,1012148,0,0,476492621314,5025341139,992872,12820,6456,365,391690,0 23,2,2024-09-07 09:48:01:103,734633,734633,0,0,30684263,0,3773 23,3,2024-09-07 09:48:01:759,1,578,0,0,855,8787,578,0 24,0,2024-09-07 09:48:00:837,141996,0.4,141235,0.6,284210,0.4,377482,1.75 24,1,2024-09-07 09:48:00:585,1012901,1012901,0,0,474754948858,4972203612,1002993,8199,1709,367,392269,0 24,2,2024-09-07 09:48:01:079,732276,732276,0,0,36752147,0,4438 24,3,2024-09-07 09:48:01:696,1,578,4,0,468,7528,578,0 25,0,2024-09-07 09:48:01:345,151077,0.5,146945,0.6,288726,0.4,393097,2.00 25,1,2024-09-07 09:48:00:571,1011616,1011616,0,0,475215888246,5021138875,993895,14111,3610,369,391928,0 25,2,2024-09-07 09:48:01:614,725781,725781,0,0,37006505,0,3978 25,3,2024-09-07 09:48:01:011,1,578,13,0,532,6426,578,0 26,0,2024-09-07 09:48:01:731,145908,0.4,142749,0.6,299724,0.4,390343,2.00 26,1,2024-09-07 09:48:01:543,1015295,1015295,0,0,475015322534,4974675439,1001472,11333,2490,380,391748,0 26,2,2024-09-07 09:48:00:862,728482,728482,0,0,40015175,0,4689 26,3,2024-09-07 09:48:01:714,1,578,12,0,796,6687,578,0 27,0,2024-09-07 09:48:01:738,146083,0.4,146830,0.6,291815,0.4,389124,2.25 27,1,2024-09-07 09:48:01:687,1015609,1015609,0,0,477709737879,4977627111,1006044,8267,1298,381,391626,0 27,2,2024-09-07 09:48:00:875,729902,729837,65,0,34975298,0,5699 27,3,2024-09-07 09:48:01:015,1,578,13,0,564,5160,578,0 28,0,2024-09-07 09:48:01:414,141547,0.4,141493,0.6,283205,0.3,377700,1.75 28,1,2024-09-07 09:48:00:797,1016544,1016544,0,0,477049601915,4971652926,1008167,6640,1737,382,391698,0 28,2,2024-09-07 09:48:01:767,734100,734100,0,0,30064339,0,2915 28,3,2024-09-07 09:48:01:788,1,578,5,0,502,5656,578,0 29,0,2024-09-07 09:48:01:358,149915,0.4,145904,0.6,286486,0.3,390465,1.75 29,1,2024-09-07 09:48:01:562,1019638,1019638,0,0,477720512814,4940363033,1013551,5313,774,367,391809,0 29,2,2024-09-07 09:48:00:861,728130,728130,0,0,28892441,0,4986 29,3,2024-09-07 09:48:00:965,1,578,0,0,459,6446,578,0 30,0,2024-09-07 09:48:01:454,144468,0.5,140739,0.7,294793,0.4,385288,2.00 30,1,2024-09-07 09:48:00:577,1018374,1018374,0,0,478288539128,4962159957,1011139,6328,907,380,391672,0 30,2,2024-09-07 09:48:01:278,730439,730439,0,0,27757470,0,4192 30,3,2024-09-07 09:48:00:591,1,578,11,0,519,5482,578,0 31,0,2024-09-07 09:48:01:771,145963,0.4,146662,0.6,292422,0.4,390480,2.00 31,1,2024-09-07 09:48:00:564,1021750,1021750,0,0,479807044726,4926294645,1015747,4974,1029,356,391712,0 31,2,2024-09-07 09:48:01:278,731218,731218,0,0,31263770,0,4470 31,3,2024-09-07 09:48:01:718,1,578,14,0,239,4998,578,0 32,0,2024-09-07 09:48:01:432,142807,0.3,143667,0.5,285787,0.3,381017,1.75 32,1,2024-09-07 09:48:00:812,1017710,1017710,0,0,477128738101,4959691075,1011538,5456,716,381,391646,0 32,2,2024-09-07 09:48:00:937,735589,735589,0,0,27770828,0,3922 32,3,2024-09-07 09:48:01:014,1,578,4,0,304,4620,578,0 33,0,2024-09-07 09:48:01:536,144233,0.3,143840,0.4,288002,0.2,383707,1.50 33,1,2024-09-07 09:48:00:575,1017749,1017749,0,0,478692524510,4960604905,1009328,7149,1272,368,391730,0 33,2,2024-09-07 09:48:00:761,730607,730572,35,0,30616254,0,7012 33,3,2024-09-07 09:48:00:897,1,578,18,0,329,5091,578,0 34,0,2024-09-07 09:48:00:933,145297,0.3,149238,0.5,285476,0.2,385145,1.75 34,1,2024-09-07 09:48:01:048,1020052,1020052,0,0,478922436553,4928061380,1017093,2849,110,367,391637,0 34,2,2024-09-07 09:48:00:765,730608,730608,0,0,28863500,0,4562 34,3,2024-09-07 09:48:01:703,1,578,10,0,541,5382,578,0 35,0,2024-09-07 09:48:00:877,145741,0.3,146594,0.5,294116,0.2,390592,1.75 35,1,2024-09-07 09:48:01:092,1016355,1016355,0,0,477255904340,4955303458,1008280,6552,1523,382,391769,0 35,2,2024-09-07 09:48:01:593,730447,730447,0,0,31779486,0,4055 35,3,2024-09-07 09:48:00:911,1,578,1,0,466,5527,578,0 36,0,2024-09-07 09:48:01:537,145385,0.5,145340,0.7,290215,0.5,386208,2.00 36,1,2024-09-07 09:48:00:592,1014615,1014615,0,0,476130316101,4976199686,1001065,11310,2240,366,391759,0 36,2,2024-09-07 09:48:01:756,734224,734224,0,0,33499580,0,3875 36,3,2024-09-07 09:48:00:864,1,578,5,0,556,8151,578,0 37,0,2024-09-07 09:48:01:384,140509,0.6,140541,0.7,281583,0.6,375773,2.25 37,1,2024-09-07 09:48:00:568,1014534,1014527,0,7,476508932877,4979269481,1002004,9613,2910,365,391770,0 37,2,2024-09-07 09:48:01:152,729545,729530,15,0,33709723,0,5815 37,3,2024-09-07 09:48:01:782,1,578,89,0,888,7867,578,0 38,0,2024-09-07 09:48:01:444,145347,0.5,140900,0.7,294707,0.4,385921,2.00 38,1,2024-09-07 09:48:01:607,1014509,1014509,0,0,476629740408,4990050599,999225,12285,2999,368,391821,0 38,2,2024-09-07 09:48:00:761,727519,727472,47,0,33672218,0,6710 38,3,2024-09-07 09:48:00:999,1,578,13,0,689,7320,578,0 39,0,2024-09-07 09:48:01:776,150566,0.5,147171,0.7,287016,0.5,391939,2.00 39,1,2024-09-07 09:48:00:716,1014189,1014189,0,0,476231710284,4997063195,996930,13558,3701,365,391865,0 39,2,2024-09-07 09:48:01:417,729337,729337,0,0,31550309,0,3391 39,3,2024-09-07 09:48:00:713,1,578,1,0,525,6630,578,0 40,0,2024-09-07 09:48:01:489,144423,0.9,145055,1.0,289470,1.0,386395,2.75 40,1,2024-09-07 09:48:00:576,1015410,1015410,0,0,475941171215,4986651159,1000757,11871,2782,368,391668,0 40,2,2024-09-07 09:48:01:310,731564,731563,1,0,37224519,0,5137 40,3,2024-09-07 09:48:01:145,1,578,0,0,1028,8673,578,0 41,0,2024-09-07 09:48:01:028,140389,1.4,143619,1.2,273824,2.0,372308,3.25 41,1,2024-09-07 09:48:00:772,1014132,1014132,0,0,475373308351,4975098122,1001063,10933,2136,369,391878,0 41,2,2024-09-07 09:48:00:760,729894,729893,1,0,36015803,0,5408 41,3,2024-09-07 09:48:01:683,1,578,4,0,366,6285,578,0 42,0,2024-09-07 09:48:01:486,145598,0.9,145328,1.0,290613,1.0,385980,2.75 42,1,2024-09-07 09:48:01:512,1011672,1011672,0,0,474729652435,4990369731,995855,12887,2930,380,391675,0 42,2,2024-09-07 09:48:01:134,725830,725829,1,0,35770102,0,5513 42,3,2024-09-07 09:48:01:016,1,578,7,0,892,5525,578,0 43,0,2024-09-07 09:48:00:922,144738,0.7,140893,0.9,295241,0.8,386169,2.25 43,1,2024-09-07 09:48:00:583,1015316,1015316,0,0,477015844945,4986042979,1001159,11694,2463,366,391696,0 43,2,2024-09-07 09:48:01:736,729550,729550,0,0,34368096,0,4723 43,3,2024-09-07 09:48:01:755,1,578,42,0,571,8532,578,0 44,0,2024-09-07 09:48:00:857,146761,0.4,146687,0.6,293814,0.3,390470,1.75 44,1,2024-09-07 09:48:00:563,1017696,1017696,0,0,477539141716,4937105543,1008559,7198,1939,356,391809,0 44,2,2024-09-07 09:48:01:273,730900,730900,0,0,27754786,0,4344 44,3,2024-09-07 09:48:01:098,1,578,14,0,1097,7271,578,0 45,0,2024-09-07 09:48:01:767,139927,0.5,136710,0.7,286856,0.4,376653,2.00 45,1,2024-09-07 09:48:01:010,1016608,1016608,0,0,477531524592,4962285785,1008537,7168,903,382,391917,0 45,2,2024-09-07 09:48:01:268,734538,734538,0,0,28252622,0,3596 45,3,2024-09-07 09:48:00:936,1,578,1,0,531,5663,578,0 46,0,2024-09-07 09:48:00:959,144165,0.5,143771,0.7,288433,0.5,383475,2.00 46,1,2024-09-07 09:48:00:575,1018834,1018834,0,0,478794382467,4948217960,1011748,6222,864,366,391709,0 46,2,2024-09-07 09:48:00:614,729412,729412,0,0,28823266,0,4443 46,3,2024-09-07 09:48:01:141,1,578,4,0,908,7068,578,0 47,0,2024-09-07 09:48:01:119,145337,0.4,145242,0.6,291706,0.4,386465,2.00 47,1,2024-09-07 09:48:00:674,1019745,1019745,0,0,478278824855,4940728832,1013981,4911,853,365,391641,0 47,2,2024-09-07 09:48:00:912,733046,733046,0,0,27891011,0,4477 47,3,2024-09-07 09:48:01:115,1,578,5,0,600,6539,578,0 48,0,2024-09-07 09:48:01:510,146560,0.3,146772,0.4,292922,0.2,389431,1.50 48,1,2024-09-07 09:48:01:036,1017304,1017304,0,0,477086072938,4956122928,1011232,5494,578,383,391710,0 48,2,2024-09-07 09:48:00:707,731819,731819,0,0,26571798,0,3524 48,3,2024-09-07 09:48:00:754,1,578,1,0,339,4847,578,0 49,0,2024-09-07 09:48:01:748,147300,0.3,144386,0.5,280959,0.3,383198,1.75 49,1,2024-09-07 09:48:01:026,1016018,1016018,0,0,477224729561,4965331361,1008877,5447,1694,382,391809,0 49,2,2024-09-07 09:48:01:807,735080,735080,0,0,28844570,0,4426 49,3,2024-09-07 09:48:01:420,1,578,1,0,992,7042,578,0 50,0,2024-09-07 09:48:01:509,142861,0.3,141136,0.5,284267,0.2,379009,1.75 50,1,2024-09-07 09:48:01:019,1019416,1019416,0,0,478761741210,4949630501,1013014,5733,669,368,391691,0 50,2,2024-09-07 09:48:01:067,730554,730554,0,0,26484376,0,4490 50,3,2024-09-07 09:48:01:297,1,578,82,0,617,6068,578,0 51,0,2024-09-07 09:48:01:696,149376,0.3,146380,0.5,284949,0.2,388297,1.75 51,1,2024-09-07 09:48:01:680,1020090,1020090,0,0,479067574696,4941583144,1014448,4562,1080,365,391706,0 51,2,2024-09-07 09:48:01:319,729570,729570,0,0,26124444,0,3337 51,3,2024-09-07 09:48:01:028,1,578,1,0,678,4111,578,0 52,0,2024-09-07 09:48:01:423,147726,0.5,147392,0.7,295095,0.4,391767,2.00 52,1,2024-09-07 09:48:00:576,1014747,1014747,0,0,476043636779,4986424803,999777,12640,2330,368,391805,0 52,2,2024-09-07 09:48:01:756,726602,726564,38,0,35444696,0,6742 52,3,2024-09-07 09:48:00:674,1,578,1,0,1782,7036,578,0 53,0,2024-09-07 09:48:01:738,144882,0.7,140539,0.8,294027,0.7,385445,2.50 53,1,2024-09-07 09:48:00:772,1013229,1013229,0,0,476124348851,5002037284,995050,13784,4395,367,391968,0 53,2,2024-09-07 09:48:01:298,733642,733641,1,0,32279576,0,5455 53,3,2024-09-07 09:48:00:697,1,578,2,0,308,5554,578,0 54,0,2024-09-07 09:48:01:629,139372,0.6,139746,0.8,278155,0.5,371861,2.25 54,1,2024-09-07 09:48:00:580,1015865,1015865,0,0,477168966221,4965688896,1004855,9340,1670,366,391810,0 54,2,2024-09-07 09:48:00:872,732928,732896,32,0,35949584,0,6397 54,3,2024-09-07 09:48:00:762,1,578,10,0,676,7689,578,0 55,0,2024-09-07 09:48:01:760,141810,0.7,146152,0.8,296346,0.6,385906,2.50 55,1,2024-09-07 09:48:00:775,1016338,1016338,0,0,476589140620,4960568789,1005483,9466,1389,365,391731,0 55,2,2024-09-07 09:48:00:728,726331,726275,56,0,33148890,0,7239 55,3,2024-09-07 09:48:00:681,1,578,0,0,304,5433,578,0 56,0,2024-09-07 09:48:01:602,149357,1.2,140843,1.1,290138,1.5,388840,2.75 56,1,2024-09-07 09:48:00:573,1010114,1010114,0,0,474547075547,5022258481,992477,13952,3685,381,391867,0 56,2,2024-09-07 09:48:01:311,728396,728274,122,0,34526861,0,7432 56,3,2024-09-07 09:48:01:071,1,578,1,0,705,6781,578,0 57,0,2024-09-07 09:48:00:950,144257,1.5,144158,1.2,288591,2.0,385478,3.25 57,1,2024-09-07 09:48:01:015,1012535,1012535,0,0,475273828385,4990452507,998769,11407,2359,366,392032,0 57,2,2024-09-07 09:48:01:331,732248,732248,0,0,36621925,0,4804 57,3,2024-09-07 09:48:01:746,1,578,3,0,455,6716,578,0 58,0,2024-09-07 09:48:00:557,139201,0.9,135069,1.0,282644,1.1,370010,2.75 58,1,2024-09-07 09:48:00:630,1014423,1014420,0,3,477021876105,5001482471,999090,11548,3782,367,391675,3 58,2,2024-09-07 09:48:01:087,733758,733758,0,0,34566466,0,3483 58,3,2024-09-07 09:48:01:067,1,578,5,0,1043,6573,578,0 59,0,2024-09-07 09:48:01:752,144654,0.8,144258,1.0,288315,0.9,382981,2.75 59,1,2024-09-07 09:48:00:805,1012605,1012605,0,0,475598521576,5002039657,995026,13944,3635,369,391653,0 59,2,2024-09-07 09:48:00:585,727758,727758,0,0,32330104,0,3727 59,3,2024-09-07 09:48:01:738,1,578,1,0,1015,6963,578,0 60,0,2024-09-07 09:48:01:758,145127,0.5,145466,0.7,290745,0.5,387586,1.75 60,1,2024-09-07 09:48:00:771,1018024,1018024,0,0,477690538893,4961884634,1010779,6249,996,370,392031,0 60,2,2024-09-07 09:48:01:150,730193,730193,0,0,30278193,0,3811 60,3,2024-09-07 09:48:01:272,1,578,1,0,409,6621,578,0 61,0,2024-09-07 09:48:01:497,146190,0.6,146772,0.8,292041,0.6,389775,2.00 61,1,2024-09-07 09:48:00:773,1014321,1014321,0,0,475858073607,4982193398,1003540,9120,1661,382,392127,0 61,2,2024-09-07 09:48:01:122,732163,732096,67,0,31371875,0,6411 61,3,2024-09-07 09:48:01:694,1,578,6,0,607,7766,578,0 62,0,2024-09-07 09:48:01:713,143083,0.6,146751,0.7,280152,0.6,380947,2.00 62,1,2024-09-07 09:48:01:113,1020999,1020993,0,6,479133408296,4939006198,1016323,4386,284,365,391975,6 62,2,2024-09-07 09:48:01:643,731927,731926,1,0,31423212,0,5555 62,3,2024-09-07 09:48:01:145,1,578,8,0,482,4709,578,0 63,0,2024-09-07 09:48:01:457,144235,0.4,144036,0.6,288696,0.3,383879,1.75 63,1,2024-09-07 09:48:00:805,1017283,1017277,0,6,477846198553,4961553332,1010635,5787,855,381,391800,6 63,2,2024-09-07 09:48:00:763,730087,730087,0,0,29514604,0,4369 63,3,2024-09-07 09:48:01:736,1,578,1,0,667,6111,578,0 64,0,2024-09-07 09:48:01:530,144316,0.5,144382,0.7,287986,0.4,383558,2.00 64,1,2024-09-07 09:48:00:765,1016170,1016170,0,0,477931940901,4979678742,1006848,7346,1976,370,391794,0 64,2,2024-09-07 09:48:01:142,733505,733486,19,0,28758821,0,6121 64,3,2024-09-07 09:48:01:149,1,578,11,0,651,6222,578,0 65,0,2024-09-07 09:48:01:738,145565,0.6,145790,0.7,291238,0.6,388027,2.00 65,1,2024-09-07 09:48:00:862,1014632,1014632,0,0,476455893921,4980667056,1007231,6528,873,381,391901,0 65,2,2024-09-07 09:48:01:706,729698,729698,0,0,34231385,0,3367 65,3,2024-09-07 09:48:01:700,1,578,1,0,782,6514,578,0 66,0,2024-09-07 09:48:01:765,144464,0.5,143962,0.8,288213,0.5,383279,2.25 66,1,2024-09-07 09:48:01:297,1016968,1016968,0,0,477368582111,4968397591,1010473,5773,722,380,391743,0 66,2,2024-09-07 09:48:01:131,736434,736431,3,0,30805368,0,5455 66,3,2024-09-07 09:48:01:080,1,578,1,0,291,4957,578,0 67,0,2024-09-07 09:48:01:438,141005,0.5,140757,0.7,282505,0.5,376165,2.00 67,1,2024-09-07 09:48:00:766,1016112,1016111,0,1,477682584266,4974400103,1008819,6349,943,380,391787,1 67,2,2024-09-07 09:48:00:614,734762,734747,15,0,30598773,0,6205 67,3,2024-09-07 09:48:01:755,1,578,1,0,595,6117,578,0 68,0,2024-09-07 09:48:00:579,146304,0.6,146131,0.8,290955,0.6,389140,2.25 68,1,2024-09-07 09:48:00:575,1012173,1012173,0,0,475111149360,4999300349,998397,10246,3530,381,391953,0 68,2,2024-09-07 09:48:01:043,724272,724172,100,0,38783886,0,8578 68,3,2024-09-07 09:48:00:728,1,578,1,0,417,7601,578,0 69,0,2024-09-07 09:48:01:757,146609,0.7,147442,0.8,293956,0.7,390640,2.25 69,1,2024-09-07 09:48:01:024,1011389,1011389,0,0,474973105815,5009086139,997607,10951,2831,383,391994,0 69,2,2024-09-07 09:48:01:749,726792,726763,29,0,40842854,0,6912 69,3,2024-09-07 09:48:00:761,1,578,36,0,698,8543,578,0 70,0,2024-09-07 09:48:01:572,144162,0.8,144609,1.0,290897,0.7,385363,2.50 70,1,2024-09-07 09:48:00:803,1017759,1017759,0,0,478565662460,4967694356,1009853,7100,806,366,391725,0 70,2,2024-09-07 09:48:01:326,733097,733097,0,0,34164689,0,4323 70,3,2024-09-07 09:48:00:745,1,578,8,0,854,6533,578,0 71,0,2024-09-07 09:48:01:357,139786,1.1,139552,1.1,280338,1.5,374283,2.75 71,1,2024-09-07 09:48:01:599,1015296,1015296,0,0,476671022942,4979213336,1002312,11363,1621,368,391738,0 71,2,2024-09-07 09:48:01:078,732541,732541,0,0,34360466,0,4352 71,3,2024-09-07 09:48:01:753,1,578,2,0,644,6651,578,0 72,0,2024-09-07 09:48:01:045,151150,0.5,147749,0.7,288273,0.5,392210,2.00 72,1,2024-09-07 09:48:01:042,1013446,1013446,0,0,475679803702,4991157362,998793,12155,2498,369,391819,0 72,2,2024-09-07 09:48:01:760,725692,725692,0,0,35418056,0,3983 72,3,2024-09-07 09:48:01:754,1,578,42,0,564,8477,578,0 73,0,2024-09-07 09:48:01:111,142481,0.4,146163,0.6,298742,0.4,388880,2.00 73,1,2024-09-07 09:48:00:765,1015671,1015671,0,0,476995347773,4959779881,1007602,7113,956,367,391858,0 73,2,2024-09-07 09:48:01:752,728936,728935,1,0,36790338,0,5027 73,3,2024-09-07 09:48:00:969,1,578,1,0,1091,8260,578,0 74,0,2024-09-07 09:48:01:325,147561,0.5,151026,0.7,288128,0.4,390690,2.00 74,1,2024-09-07 09:48:00:657,1014506,1014506,0,0,476594430288,4975805623,1003292,9236,1978,381,391762,0 74,2,2024-09-07 09:48:01:012,731350,731350,0,0,32202077,0,4253 74,3,2024-09-07 09:48:01:442,1,578,13,0,522,6964,578,0 75,0,2024-09-07 09:48:01:773,141994,0.5,140917,0.7,283014,0.5,378129,2.25 75,1,2024-09-07 09:48:01:599,1016069,1016069,0,0,476520301851,4967328291,1007842,7361,866,380,391739,0 75,2,2024-09-07 09:48:01:361,732410,732410,0,0,38959086,0,4766 75,3,2024-09-07 09:48:01:070,1,578,0,0,702,7101,578,0 76,0,2024-09-07 09:48:00:641,144275,0.5,143711,0.7,287580,0.5,384812,2.25 76,1,2024-09-07 09:48:00:808,1015400,1015400,0,0,476438629153,4967552293,1008477,5953,970,382,391790,0 76,2,2024-09-07 09:48:01:068,730565,730562,3,0,31416160,0,5265 76,3,2024-09-07 09:48:01:151,1,578,7,0,227,5188,578,0 77,0,2024-09-07 09:48:01:745,144613,0.5,145174,0.7,290203,0.5,385696,2.00 77,1,2024-09-07 09:48:00:829,1014998,1014998,0,0,476926878509,4975701428,1007250,6874,874,381,391869,0 77,2,2024-09-07 09:48:01:288,729568,729568,0,0,30625956,0,3890 77,3,2024-09-07 09:48:01:103,1,578,3,0,401,6392,578,0 78,0,2024-09-07 09:48:01:744,146786,0.4,146228,0.6,293069,0.4,388077,2.00 78,1,2024-09-07 09:48:00:612,1015807,1015807,0,0,476449625880,4968139358,1003912,9228,2667,367,391670,0 78,2,2024-09-07 09:48:01:416,732652,732639,13,0,28738963,0,8313 78,3,2024-09-07 09:48:01:140,1,578,8,0,311,4951,578,0 79,0,2024-09-07 09:48:01:348,138472,0.4,141776,0.6,290561,0.3,377942,2.00 79,1,2024-09-07 09:48:00:572,1018877,1018877,0,0,478373881404,4953289666,1011084,6434,1359,367,391682,0 79,2,2024-09-07 09:48:01:071,734410,734410,0,0,27997211,0,4195 79,3,2024-09-07 09:48:00:753,1,578,1,0,418,6871,578,0 80,0,2024-09-07 09:48:01:102,141985,0.5,145774,0.7,278916,0.5,377958,2.00 80,1,2024-09-07 09:48:01:633,1015890,1015890,0,0,476881032119,4965685461,1009269,6186,435,368,392269,0 80,2,2024-09-07 09:48:01:104,733331,733331,0,0,28646509,0,4433 80,3,2024-09-07 09:48:00:578,1,578,19,0,681,7279,578,0 81,0,2024-09-07 09:48:01:592,145569,0.6,148807,0.7,284288,0.5,385604,2.00 81,1,2024-09-07 09:48:01:665,1014227,1014227,0,0,475676185420,4973071388,1006096,7368,763,382,391885,0 81,2,2024-09-07 09:48:01:141,727901,727838,63,0,31623708,0,5932 81,3,2024-09-07 09:48:01:117,1,578,0,0,719,6922,578,0 82,0,2024-09-07 09:48:01:544,147069,0.5,147204,0.7,295070,0.4,390813,2.00 82,1,2024-09-07 09:48:00:592,1017244,1017240,0,4,477004437814,4959195589,1011679,4738,823,381,391768,4 82,2,2024-09-07 09:48:01:704,732222,732222,0,0,26693761,0,4484 82,3,2024-09-07 09:48:01:756,1,578,23,0,363,5548,578,0 83,0,2024-09-07 09:48:01:529,145554,0.6,145530,0.7,290396,0.6,385664,2.25 83,1,2024-09-07 09:48:00:551,1014972,1014972,0,0,476371482908,4967207983,1007568,6760,644,382,391709,0 83,2,2024-09-07 09:48:00:765,734293,734268,25,0,28928414,0,5612 83,3,2024-09-07 09:48:00:750,1,578,0,0,1260,7027,578,0 84,0,2024-09-07 09:48:01:773,139510,0.8,139683,0.9,279114,0.7,373354,2.50 84,1,2024-09-07 09:48:01:040,1013385,1013385,0,0,476185488229,4983038888,1001345,10369,1671,367,391967,0 84,2,2024-09-07 09:48:00:573,731258,730848,410,0,42841389,0,17037 84,3,2024-09-07 09:48:01:165,1,578,18,0,908,7813,578,0 85,0,2024-09-07 09:48:01:176,140835,0.6,140905,0.8,298773,0.6,386255,2.25 85,1,2024-09-07 09:48:00:563,1010866,1010866,0,0,474468595175,5014874830,994579,13492,2795,381,392092,0 85,2,2024-09-07 09:48:00:864,726404,726404,0,0,35407326,0,4255 85,3,2024-09-07 09:48:00:694,1,578,5,0,789,6786,578,0 86,0,2024-09-07 09:48:00:908,146337,0.7,150465,0.8,287522,0.7,389396,2.25 86,1,2024-09-07 09:48:00:827,1013386,1013386,0,0,476374637875,4999312809,999583,11118,2685,366,392169,0 86,2,2024-09-07 09:48:00:864,728092,728091,1,0,37990830,0,5004 86,3,2024-09-07 09:48:00:619,1,578,27,0,308,8180,578,0 87,0,2024-09-07 09:48:01:335,146073,0.8,145562,0.8,291087,1.0,388836,2.25 87,1,2024-09-07 09:48:00:552,1012905,1012905,0,0,476413837669,4994262891,999678,11440,1787,366,392076,0 87,2,2024-09-07 09:48:01:073,731351,731345,6,0,34599278,0,6323 87,3,2024-09-07 09:48:01:808,1,578,3,0,473,8481,578,0 88,0,2024-09-07 09:48:01:523,141292,0.5,141634,0.6,283317,0.4,377629,1.75 88,1,2024-09-07 09:48:00:571,1012235,1012235,0,0,475682970516,4987282259,997657,11613,2965,365,392084,0 88,2,2024-09-07 09:48:00:695,732772,732772,0,0,37374088,0,4465 88,3,2024-09-07 09:48:01:274,1,578,10,0,1080,9095,578,0 89,0,2024-09-07 09:48:01:780,150000,0.4,145530,0.6,287122,0.4,390448,1.75 89,1,2024-09-07 09:48:00:555,1011327,1011327,0,0,476318547586,5011235292,998612,10772,1943,382,391866,0 89,2,2024-09-07 09:48:01:196,727111,727111,0,0,34452008,0,3173 89,3,2024-09-07 09:48:01:808,1,578,3,0,468,9829,578,0 90,0,2024-09-07 09:48:01:677,140971,0.5,144823,0.6,295397,0.4,385788,2.00 90,1,2024-09-07 09:48:00:664,1013754,1013754,0,0,476044864043,4986910230,1004029,8835,890,380,391825,0 90,2,2024-09-07 09:48:01:425,726700,726700,0,0,37453399,0,3608 90,3,2024-09-07 09:48:00:935,1,578,5,0,322,7182,578,0 91,0,2024-09-07 09:48:00:933,146697,0.4,142334,0.6,297737,0.4,390423,1.75 91,1,2024-09-07 09:48:00:556,1011067,1011067,0,0,475838804322,5015264481,995842,12158,3067,381,392047,0 91,2,2024-09-07 09:48:01:337,731062,731062,0,0,33136795,0,4713 91,3,2024-09-07 09:48:00:601,1,578,2,0,216,5314,578,0 92,0,2024-09-07 09:48:01:855,144210,0.5,147429,0.6,281160,0.5,381180,1.75 92,1,2024-09-07 09:48:00:580,1014988,1014988,0,0,476571964780,4976930781,1007154,6623,1211,381,392136,0 92,2,2024-09-07 09:48:01:350,734130,734130,0,0,29465079,0,3259 92,3,2024-09-07 09:48:01:010,1,578,2,0,167,4963,578,0 93,0,2024-09-07 09:48:00:965,144488,0.4,148100,0.6,282777,0.4,383902,1.75 93,1,2024-09-07 09:48:00:810,1015179,1015179,0,0,476808256643,4977771127,1003761,9491,1927,366,391776,0 93,2,2024-09-07 09:48:00:932,729675,729675,0,0,35106736,0,4913 93,3,2024-09-07 09:48:01:416,1,578,1,0,294,5741,578,0 94,0,2024-09-07 09:48:01:636,144491,0.4,145531,0.5,290970,0.3,386327,1.75 94,1,2024-09-07 09:48:00:569,1015962,1015962,0,0,476199149938,4966866790,1009219,6313,430,381,391850,0 94,2,2024-09-07 09:48:00:762,729750,729722,28,0,29776821,0,6179 94,3,2024-09-07 09:48:01:689,1,578,1,0,576,7100,578,0 95,0,2024-09-07 09:48:01:404,146551,0.3,146636,0.5,293383,0.3,390569,1.75 95,1,2024-09-07 09:48:00:858,1017115,1017115,0,0,476867696346,4956127165,1008945,7466,704,365,391852,0 95,2,2024-09-07 09:48:01:030,729656,729656,0,0,29408544,0,3308 95,3,2024-09-07 09:48:01:719,1,578,3,0,718,8863,578,0 96,0,2024-09-07 09:48:01:103,144921,0.4,145294,0.5,290472,0.3,385531,1.75 96,1,2024-09-07 09:48:01:604,1014979,1014979,0,0,476626891467,4972697646,1007634,6073,1272,384,391964,0 96,2,2024-09-07 09:48:01:330,734441,734441,0,0,30608388,0,4180 96,3,2024-09-07 09:48:01:151,1,578,94,0,411,6856,578,0 97,0,2024-09-07 09:48:01:414,141357,0.3,141206,0.5,283162,0.3,376095,1.75 97,1,2024-09-07 09:48:00:765,1016670,1016670,0,0,477648162560,4956907241,1010114,5530,1026,367,392140,0 97,2,2024-09-07 09:48:00:611,733265,733265,0,0,30440195,0,4046 97,3,2024-09-07 09:48:00:570,1,578,1,0,242,6526,578,0 98,0,2024-09-07 09:48:01:831,145972,0.3,145938,0.5,292915,0.3,389240,1.50 98,1,2024-09-07 09:48:00:585,1016151,1016151,0,0,476860016101,4968290877,1009888,5440,823,381,391997,0 98,2,2024-09-07 09:48:00:772,728828,728828,0,0,29124266,0,4336 98,3,2024-09-07 09:48:00:708,1,578,6,0,840,8791,578,0 99,0,2024-09-07 09:48:01:507,147527,0.3,148195,0.5,294815,0.3,393326,1.75 99,1,2024-09-07 09:48:01:730,1017026,1017026,0,0,476828202125,4963484396,1010672,5414,940,380,392069,0 99,2,2024-09-07 09:48:01:430,729380,729380,0,0,35749494,0,4276 99,3,2024-09-07 09:48:00:591,1,578,11,0,1124,7454,578,0 100,0,2024-09-07 09:48:01:517,145321,0.8,145636,0.9,291042,0.9,388767,2.50 100,1,2024-09-07 09:48:00:552,1010760,1010760,0,0,474156108539,5017531635,994757,12691,3312,378,391989,0 100,2,2024-09-07 09:48:01:821,729610,729221,389,0,39813732,0,16909 100,3,2024-09-07 09:48:01:734,1,578,7,0,627,9922,578,0 101,0,2024-09-07 09:48:01:764,144052,1.3,140576,1.1,275029,1.5,375938,2.50 101,1,2024-09-07 09:48:00:559,1012126,1012126,0,0,475076062010,4995662198,998205,11395,2526,368,391847,0 101,2,2024-09-07 09:48:01:756,728700,728700,0,0,39748272,0,4871 101,3,2024-09-07 09:48:00:950,1,578,8,0,1250,8870,578,0 102,0,2024-09-07 09:48:00:990,142435,0.6,146738,0.8,297923,0.6,390103,2.25 102,1,2024-09-07 09:48:01:152,1012339,1012339,0,0,475013698535,4994274018,998245,11899,2195,369,391984,0 102,2,2024-09-07 09:48:01:747,728123,728069,54,0,33600555,0,6768 102,3,2024-09-07 09:48:01:616,1,578,28,0,466,6803,578,0 103,0,2024-09-07 09:48:01:620,151020,0.6,151010,0.7,284478,0.6,391950,2.00 103,1,2024-09-07 09:48:01:642,1010660,1010660,0,0,474304355370,5013117307,994074,12995,3591,381,392077,0 103,2,2024-09-07 09:48:00:604,727267,727267,0,0,36260176,0,3766 103,3,2024-09-07 09:48:00:761,1,578,1,0,916,7050,578,0 104,0,2024-09-07 09:48:01:027,145551,0.7,145750,0.9,290791,0.7,388883,2.25 104,1,2024-09-07 09:48:01:603,1013668,1013668,0,0,475711078470,5000542610,998677,12208,2783,365,392168,0 104,2,2024-09-07 09:48:01:698,729384,729384,0,0,35818562,0,4161 104,3,2024-09-07 09:48:01:426,1,578,3,0,1245,10481,578,0 105,0,2024-09-07 09:48:01:040,140222,0.8,136605,1.0,286242,0.9,376140,2.50 105,1,2024-09-07 09:48:00:555,1015392,1015392,0,0,476172906000,4987673538,1003082,10501,1809,364,392009,0 105,2,2024-09-07 09:48:01:328,732648,732648,0,0,36084363,0,4360 105,3,2024-09-07 09:48:01:304,1,578,8,0,573,8890,578,0 106,0,2024-09-07 09:48:00:964,139922,0.8,143199,0.9,293540,1.0,383508,2.50 106,1,2024-09-07 09:48:01:760,1013627,1013627,0,0,475834548679,4996912011,999121,12614,1892,368,391914,0 106,2,2024-09-07 09:48:00:802,727433,727433,0,0,33197357,0,3254 106,3,2024-09-07 09:48:00:684,1,578,8,0,1224,8327,578,0 107,0,2024-09-07 09:48:01:589,144791,1.0,144920,0.9,289918,1.2,386437,2.25 107,1,2024-09-07 09:48:00:753,1010846,1010846,0,0,474584571452,5015702824,994364,14645,1837,381,392234,0 107,2,2024-09-07 09:48:01:313,727108,727107,1,0,36276494,0,5024 107,3,2024-09-07 09:48:01:772,1,578,26,0,733,8947,578,0 108,0,2024-09-07 09:48:01:819,146186,0.4,146691,0.6,292359,0.4,389583,1.75 108,1,2024-09-07 09:48:01:300,1015072,1015072,0,0,477376485334,4979668384,1006560,7532,980,367,391894,0 108,2,2024-09-07 09:48:01:756,730708,730708,0,0,31716244,0,4246 108,3,2024-09-07 09:48:01:336,1,578,11,0,749,10740,578,0 109,0,2024-09-07 09:48:01:886,144084,0.4,142782,0.6,286652,0.3,382380,1.75 109,1,2024-09-07 09:48:00:602,1012180,1012180,0,0,475922577369,4991888054,1003320,7638,1222,382,392132,0 109,2,2024-09-07 09:48:00:936,732256,732256,0,0,32578183,0,3617 109,3,2024-09-07 09:48:01:151,1,578,1,0,630,7179,578,0 110,0,2024-09-07 09:48:01:774,142113,0.4,138133,0.6,289314,0.3,379905,1.75 110,1,2024-09-07 09:48:01:659,1016872,1016872,0,0,477229448940,4955253045,1008968,5980,1924,368,392045,0 110,2,2024-09-07 09:48:01:310,731472,731472,0,0,30112677,0,4067 110,3,2024-09-07 09:48:00:696,1,578,7,0,722,7931,578,0 111,0,2024-09-07 09:48:01:424,146360,0.4,145540,0.5,291138,0.3,387916,1.75 111,1,2024-09-07 09:48:00:999,1018412,1018412,0,0,478748834273,4963569264,1013525,4523,364,380,391690,0 111,2,2024-09-07 09:48:01:119,728966,728966,0,0,30373557,0,4823 111,3,2024-09-07 09:48:00:913,1,578,1,0,379,6451,578,0 112,0,2024-09-07 09:48:00:915,148073,0.3,147419,0.4,295640,0.2,392078,1.50 112,1,2024-09-07 09:48:00:827,1016746,1016746,0,0,477622880065,4962029843,1009554,5967,1225,380,391624,0 112,2,2024-09-07 09:48:01:133,729830,729829,1,0,29073117,0,5036 112,3,2024-09-07 09:48:00:595,1,578,1,0,282,5386,578,0 113,0,2024-09-07 09:48:00:872,145708,0.3,145661,0.5,291652,0.2,388034,1.75 113,1,2024-09-07 09:48:01:695,1018977,1018977,0,0,479347257607,4947045200,1012898,5133,946,365,391664,0 113,2,2024-09-07 09:48:01:314,736549,736549,0,0,27558479,0,3813 113,3,2024-09-07 09:48:00:684,1,578,2,0,510,6261,578,0 114,0,2024-09-07 09:48:00:880,141496,0.3,142389,0.5,283307,0.2,378977,1.75 114,1,2024-09-07 09:48:00:727,1017091,1017091,0,0,477463216431,4959405595,1009267,6078,1746,381,391565,0 114,2,2024-09-07 09:48:00:882,734639,734638,1,0,28964978,0,5069 114,3,2024-09-07 09:48:01:287,1,578,8,0,395,4596,578,0 115,0,2024-09-07 09:48:00:573,147621,0.3,148091,0.4,294842,0.2,392362,1.50 115,1,2024-09-07 09:48:00:584,1017269,1017269,0,0,477609796816,4964243059,1009025,6727,1517,382,391757,0 115,2,2024-09-07 09:48:01:125,729923,729923,0,0,28505495,0,4382 115,3,2024-09-07 09:48:01:003,1,578,1,0,167,3468,578,0 116,0,2024-09-07 09:48:01:727,146367,0.7,146107,0.8,292905,0.6,391706,2.00 116,1,2024-09-07 09:48:00:806,1012387,1012387,0,0,474840081499,5009077279,999813,9485,3089,380,392089,0 116,2,2024-09-07 09:48:01:759,729110,729110,0,0,36240565,0,4475 116,3,2024-09-07 09:48:00:916,1,578,31,0,448,7673,578,0 117,0,2024-09-07 09:48:00:978,146378,0.7,145661,0.8,291848,0.7,390230,2.00 117,1,2024-09-07 09:48:01:600,1013444,1013444,0,0,475081512409,4978563596,1001851,10073,1520,369,392033,0 117,2,2024-09-07 09:48:01:123,734082,734082,0,0,32905953,0,4303 117,3,2024-09-07 09:48:01:064,1,578,5,0,490,7581,578,0 118,0,2024-09-07 09:48:01:796,137034,0.6,140721,0.7,287131,0.5,375820,2.00 118,1,2024-09-07 09:48:00:635,1011890,1011890,0,0,475248331602,5001377648,996146,12124,3620,366,392054,0 118,2,2024-09-07 09:48:01:591,732508,732508,0,0,34977099,0,2842 118,3,2024-09-07 09:48:01:789,1,578,13,0,289,6698,578,0 119,0,2024-09-07 09:48:01:415,145491,0.7,146059,0.8,291576,0.7,388610,2.25 119,1,2024-09-07 09:48:00:555,1013216,1013216,0,0,476788225631,5003907213,998669,12038,2509,367,391857,0 119,2,2024-09-07 09:48:01:271,728593,728593,0,0,32713326,0,4309 119,3,2024-09-07 09:48:01:357,1,578,23,0,1358,10918,578,0 120,0,2024-09-07 09:48:01:559,144485,0.7,144293,0.8,289788,0.7,386656,2.25 120,1,2024-09-07 09:48:00:871,1014650,1014650,0,0,475551726905,4986632074,1004137,9530,983,367,392144,0 120,2,2024-09-07 09:48:00:772,728008,728005,3,0,38839691,0,5363 120,3,2024-09-07 09:48:01:297,1,578,3,0,279,7199,578,0 121,0,2024-09-07 09:48:01:715,146428,1.1,145893,1.0,292136,1.5,389296,2.25 121,1,2024-09-07 09:48:01:666,1014334,1014334,0,0,476101065442,4979744385,1004131,9349,854,366,391840,0 121,2,2024-09-07 09:48:01:134,730607,730607,0,0,35522330,0,4157 121,3,2024-09-07 09:48:00:727,1,578,7,0,387,7512,578,0 122,0,2024-09-07 09:48:01:791,142056,0.8,138203,0.9,289657,0.9,380992,2.00 122,1,2024-09-07 09:48:00:864,1013033,1013033,0,0,475400361001,4990906933,998913,11785,2335,366,392130,0 122,2,2024-09-07 09:48:01:328,734259,734184,75,0,39316768,0,5989 122,3,2024-09-07 09:48:00:614,1,578,26,0,512,9608,578,0 123,0,2024-09-07 09:48:00:987,143141,0.8,139279,0.9,291789,0.9,382490,2.25 123,1,2024-09-07 09:48:00:559,1012979,1012979,0,0,475500039052,5003876184,996352,13957,2670,369,392039,0 123,2,2024-09-07 09:48:01:022,727665,727664,1,0,33372481,0,5215 123,3,2024-09-07 09:48:01:140,1,578,10,0,478,6683,578,0 124,0,2024-09-07 09:48:00:939,148896,0.3,148874,0.5,280968,0.3,386619,1.75 124,1,2024-09-07 09:48:01:022,1017102,1017102,0,0,476891113208,4957876471,1009609,6322,1171,365,392178,0 124,2,2024-09-07 09:48:01:017,731207,731154,53,0,29911577,0,6487 124,3,2024-09-07 09:48:00:762,1,578,1,0,490,5799,578,0 125,0,2024-09-07 09:48:01:423,146361,0.4,146434,0.5,293760,0.3,390656,1.75 125,1,2024-09-07 09:48:00:855,1014109,1014109,0,0,476291260813,4972036741,1006296,6682,1131,382,391813,0 125,2,2024-09-07 09:48:01:139,732664,732664,0,0,29924112,0,4534 125,3,2024-09-07 09:48:01:140,1,578,2,0,709,6667,578,0 126,0,2024-09-07 09:48:01:443,145185,0.4,149287,0.6,285568,0.4,386219,1.75 126,1,2024-09-07 09:48:00:602,1017599,1017599,0,0,477625496712,4945384294,1012143,5010,446,365,391987,0 126,2,2024-09-07 09:48:00:615,735342,735342,0,0,31398193,0,4539 126,3,2024-09-07 09:48:00:913,1,578,54,0,268,6417,578,0 127,0,2024-09-07 09:48:01:604,141543,0.3,141688,0.5,282928,0.3,376482,1.75 127,1,2024-09-07 09:48:00:572,1015743,1015743,0,0,476370018658,4957215788,1005530,8589,1624,364,392187,0 127,2,2024-09-07 09:48:00:638,732136,732132,4,0,29646367,0,5305 127,3,2024-09-07 09:48:01:278,1,578,8,0,968,5708,578,0 128,0,2024-09-07 09:48:01:544,146843,0.3,146846,0.4,293425,0.2,389840,1.50 128,1,2024-09-07 09:48:01:614,1016175,1016175,0,0,476449430981,4956733432,1008044,7179,952,367,392423,0 128,2,2024-09-07 09:48:01:393,729828,729828,0,0,27465893,0,3171 128,3,2024-09-07 09:48:00:771,1,578,4,0,1082,9265,578,0 129,0,2024-09-07 09:48:01:007,148574,0.3,147839,0.5,296378,0.3,393763,1.50 129,1,2024-09-07 09:48:00:572,1012256,1012256,0,0,475582884284,4986606035,1001843,8391,2022,379,391962,0 129,2,2024-09-07 09:48:00:687,731040,731036,4,0,29895709,0,5335 129,3,2024-09-07 09:48:00:692,1,578,1,0,506,8110,578,0 130,0,2024-09-07 09:48:01:723,146681,0.4,146132,0.6,292821,0.4,390197,1.75 130,1,2024-09-07 09:48:00:604,1016914,1016914,0,0,477088399305,4956674331,1011598,4922,394,381,391825,0 130,2,2024-09-07 09:48:01:132,734254,734254,0,0,30252013,0,4067 130,3,2024-09-07 09:48:01:309,1,578,1,0,960,7846,578,0 131,0,2024-09-07 09:48:01:957,141348,0.4,141746,0.5,284121,0.3,377506,1.75 131,1,2024-09-07 09:48:01:821,1015848,1015848,0,0,477030085003,4973758579,1008733,5920,1195,381,391865,0 131,2,2024-09-07 09:48:00:567,734171,734171,0,0,28237821,0,3979 131,3,2024-09-07 09:48:01:699,1,578,2,0,392,7449,578,0 132,0,2024-09-07 09:48:01:420,146552,0.5,147579,0.6,293798,0.4,391423,2.00 132,1,2024-09-07 09:48:00:581,1011714,1011714,0,0,474925286892,5004075867,996441,12572,2701,381,392532,0 132,2,2024-09-07 09:48:00:703,727490,727473,17,0,36459168,0,6451 132,3,2024-09-07 09:48:01:703,1,578,4,0,1298,10693,578,0 133,0,2024-09-07 09:48:01:564,142581,0.4,145881,0.6,299009,0.4,389775,2.00 133,1,2024-09-07 09:48:00:698,1011787,1011787,0,0,475160990085,5010291375,997739,12287,1761,383,391914,0 133,2,2024-09-07 09:48:01:093,730061,730011,50,0,37696139,0,6861 133,3,2024-09-07 09:48:01:305,1,578,91,0,528,7114,578,0 134,0,2024-09-07 09:48:00:977,146804,0.5,146908,0.7,293966,0.5,390973,2.00 134,1,2024-09-07 09:48:00:596,1013100,1013100,0,0,475020202678,4986118057,999535,11079,2486,366,391781,0 134,2,2024-09-07 09:48:01:756,732031,732007,24,0,34808271,0,6207 134,3,2024-09-07 09:48:00:763,1,578,65,0,739,7178,578,0 135,0,2024-09-07 09:48:01:106,137220,0.9,137246,0.9,291421,1.0,375694,2.25 135,1,2024-09-07 09:48:01:594,1012660,1012660,0,0,475991101011,5007851663,999707,11313,1640,380,391805,0 135,2,2024-09-07 09:48:00:694,734051,734051,0,0,35849947,0,4503 135,3,2024-09-07 09:48:01:006,1,578,8,0,900,5830,578,0 136,0,2024-09-07 09:48:01:652,145350,0.6,145724,0.7,289808,0.5,386951,2.00 136,1,2024-09-07 09:48:01:446,1014148,1014148,0,0,476188076823,4991933179,1002816,9964,1368,381,392135,0 136,2,2024-09-07 09:48:01:137,729677,729662,15,0,34858811,0,6007 136,3,2024-09-07 09:48:01:149,1,578,16,0,637,6822,578,0 137,0,2024-09-07 09:48:00:934,149777,0.6,145955,0.7,285934,0.6,388252,2.00 137,1,2024-09-07 09:48:00:579,1013093,1013093,0,0,475861746231,4991773863,997512,12506,3075,366,391898,0 137,2,2024-09-07 09:48:01:715,728177,728177,0,0,35985378,0,3185 137,3,2024-09-07 09:48:00:772,1,578,18,0,484,7758,578,0 138,0,2024-09-07 09:48:01:746,145606,0.7,145870,0.9,292291,0.8,387966,2.00 138,1,2024-09-07 09:48:01:688,1013327,1013327,0,0,476074293215,4995651670,999020,12056,2251,368,391954,0 138,2,2024-09-07 09:48:00:617,730092,730092,0,0,33668934,0,4988 138,3,2024-09-07 09:48:00:611,1,578,9,0,1200,9237,578,0 139,0,2024-09-07 09:48:01:425,142237,1.1,142810,1.0,285536,1.6,380646,2.25 139,1,2024-09-07 09:48:00:813,1009394,1009394,0,0,473429660771,5021526741,991619,14021,3754,380,392109,0 139,2,2024-09-07 09:48:00:717,729161,729161,0,0,38505615,0,3379 139,3,2024-09-07 09:48:01:673,1,578,35,0,432,6992,578,0 140,0,2024-09-07 09:48:01:605,142719,0.3,141881,0.5,284661,0.2,380135,1.75 140,1,2024-09-07 09:48:01:548,1019699,1019699,0,0,478856124412,4936938704,1014753,4400,546,364,391606,0 140,2,2024-09-07 09:48:00:700,732198,732197,1,0,28131998,0,5036 140,3,2024-09-07 09:48:00:771,1,578,6,0,575,5860,578,0 141,0,2024-09-07 09:48:01:707,145942,0.3,149979,0.5,286643,0.3,387736,1.75 141,1,2024-09-07 09:48:00:867,1017528,1017528,0,0,477691739375,4962707311,1009563,6786,1179,379,391614,0 141,2,2024-09-07 09:48:01:689,729721,729710,11,0,29896600,0,5369 141,3,2024-09-07 09:48:01:047,1,578,2,0,391,6399,578,0 142,0,2024-09-07 09:48:01:370,148069,0.3,147221,0.5,294790,0.2,392935,1.50 142,1,2024-09-07 09:48:00:605,1015682,1015682,0,0,476661764977,4968115279,1009333,5845,504,382,392102,0 142,2,2024-09-07 09:48:01:304,729049,729017,32,0,30196620,0,6028 142,3,2024-09-07 09:48:01:757,1,578,26,0,484,6152,578,0 143,0,2024-09-07 09:48:01:388,145416,0.4,145555,0.5,292063,0.4,387950,1.75 143,1,2024-09-07 09:48:00:587,1018398,1018398,0,0,477448669530,4953699437,1012017,5815,566,367,391722,0 143,2,2024-09-07 09:48:00:773,734832,734832,0,0,30333023,0,3123 143,3,2024-09-07 09:48:01:139,1,578,6,0,462,7151,578,0 144,0,2024-09-07 09:48:01:529,136923,0.6,140818,0.8,286629,0.6,375585,2.25 144,1,2024-09-07 09:48:00:567,1012638,1012638,0,0,475321282228,4988403213,1002380,8271,1987,381,391733,0 144,2,2024-09-07 09:48:01:755,734365,734365,0,0,29799712,0,4443 144,3,2024-09-07 09:48:01:744,1,578,1,0,249,5679,578,0 145,0,2024-09-07 09:48:01:368,142098,0.6,142067,0.8,301539,0.5,388268,2.25 145,1,2024-09-07 09:48:00:562,1011945,1011945,0,0,475191116199,5004257999,997943,11331,2671,382,391759,0 145,2,2024-09-07 09:48:01:434,726408,726326,82,0,35317685,0,7814 145,3,2024-09-07 09:48:00:896,1,578,6,0,622,7837,578,0 146,0,2024-09-07 09:48:01:620,146563,0.6,146014,0.8,293402,0.5,390007,2.25 146,1,2024-09-07 09:48:01:599,1012997,1012997,0,0,475159497032,5003963954,995630,12887,4480,367,391770,0 146,2,2024-09-07 09:48:01:704,728012,728006,6,0,33654036,0,5151 146,3,2024-09-07 09:48:01:279,1,578,8,0,1520,9527,578,0 147,0,2024-09-07 09:48:01:718,146264,0.6,145934,0.7,291616,0.6,389375,2.25 147,1,2024-09-07 09:48:01:381,1017209,1017209,0,0,476845346948,4955750870,1009087,7175,947,367,391791,0 147,2,2024-09-07 09:48:01:017,732557,732557,0,0,29695157,0,2968 147,3,2024-09-07 09:48:00:927,1,578,12,0,1626,9089,578,0 0,0,2024-09-07 09:48:11:719,141554,0.6,141520,0.7,300360,0.6,388354,2.00 0,1,2024-09-07 09:48:10:801,1015519,1015519,0,0,476868917903,4993080796,1007256,7334,929,369,391896,0 0,2,2024-09-07 09:48:11:075,732034,732034,0,0,30056302,0,4480 0,3,2024-09-07 09:48:10:984,1,579,6,0,431,8599,579,0 1,0,2024-09-07 09:48:11:757,146333,0.9,145346,0.9,292341,1.0,390244,2.00 1,1,2024-09-07 09:48:10:556,1014395,1014395,0,0,476465262971,4992516766,1004985,7920,1490,370,391859,0 1,2,2024-09-07 09:48:10:669,733086,733086,0,0,29343139,0,3380 1,3,2024-09-07 09:48:11:306,1,579,13,0,269,7071,579,0 2,0,2024-09-07 09:48:11:577,142738,0.6,142538,0.7,284997,0.6,380499,2.00 2,1,2024-09-07 09:48:10:871,1017925,1017925,0,0,478555095443,4976886450,1011836,5140,949,379,391745,0 2,2,2024-09-07 09:48:11:266,735255,735255,0,0,28447226,0,3594 2,3,2024-09-07 09:48:10:694,1,579,12,0,357,5197,579,0 3,0,2024-09-07 09:48:11:750,144189,0.4,143925,0.6,287386,0.4,383826,2.00 3,1,2024-09-07 09:48:11:619,1016375,1016375,0,0,477025795189,4962929461,1008800,6872,703,379,391716,0 3,2,2024-09-07 09:48:11:144,731554,731531,23,0,29316160,0,5851 3,3,2024-09-07 09:48:11:756,1,579,0,0,275,4047,579,0 4,0,2024-09-07 09:48:11:772,140929,0.4,144825,0.5,295294,0.3,386299,1.75 4,1,2024-09-07 09:48:10:595,1012564,1012564,0,0,474478364552,5027200004,994767,14046,3751,370,391992,0 4,2,2024-09-07 09:48:11:024,727726,727726,0,0,35888634,0,4534 4,3,2024-09-07 09:48:11:033,1,579,1,0,448,7270,579,0 5,0,2024-09-07 09:48:11:413,146797,0.4,146815,0.5,293866,0.3,390415,1.75 5,1,2024-09-07 09:48:10:757,1012485,1012485,0,0,476466542449,5034834899,996228,12133,4124,367,392005,0 5,2,2024-09-07 09:48:11:843,729203,729203,0,0,34831054,0,3582 5,3,2024-09-07 09:48:11:742,1,579,272,0,457,8059,579,0 6,0,2024-09-07 09:48:10:917,145172,0.5,144759,0.7,289731,0.4,385390,2.00 6,1,2024-09-07 09:48:10:747,1015882,1015882,0,0,476477379559,4980184506,1004886,9423,1573,379,391702,0 6,2,2024-09-07 09:48:11:116,735222,735204,18,0,34015387,0,5535 6,3,2024-09-07 09:48:11:284,1,579,8,0,710,7172,579,0 7,0,2024-09-07 09:48:11:532,140881,0.5,141848,0.7,282053,0.5,376430,2.00 7,1,2024-09-07 09:48:10:851,1013356,1013356,0,0,476737245574,5024494227,997037,12554,3765,382,391747,0 7,2,2024-09-07 09:48:10:774,732496,732496,0,0,32730068,0,4791 7,3,2024-09-07 09:48:10:851,1,579,1,0,552,6491,579,0 8,0,2024-09-07 09:48:11:337,146664,0.4,146436,0.5,293083,0.3,390219,1.75 8,1,2024-09-07 09:48:11:017,1013176,1013176,0,0,475847311321,5006998099,996922,12713,3541,366,392853,0 8,2,2024-09-07 09:48:10:797,725006,725004,2,0,38507955,0,5112 8,3,2024-09-07 09:48:10:585,1,579,10,0,772,9255,579,0 9,0,2024-09-07 09:48:11:100,147443,0.4,143452,0.5,300289,0.3,393699,1.75 9,1,2024-09-07 09:48:10:594,1013090,1013090,0,0,474795819992,5006863531,997079,12895,3116,369,392001,0 9,2,2024-09-07 09:48:11:088,729606,729605,1,0,35313420,0,5281 9,3,2024-09-07 09:48:11:756,1,579,0,0,1273,9999,579,0 10,0,2024-09-07 09:48:11:601,145953,0.4,145419,0.5,292044,0.3,389215,1.75 10,1,2024-09-07 09:48:10:590,1014839,1014839,0,0,476568660161,5001421171,999732,12079,3028,381,391981,0 10,2,2024-09-07 09:48:10:764,734573,734573,0,0,38737659,0,4713 10,3,2024-09-07 09:48:10:872,1,579,8,0,669,6704,579,0 11,0,2024-09-07 09:48:11:008,141382,0.5,137172,0.6,286788,0.4,377922,1.75 11,1,2024-09-07 09:48:10:571,1016301,1016301,0,0,476982666385,5005237082,1001065,11095,4141,383,391766,0 11,2,2024-09-07 09:48:11:124,733289,733289,0,0,34663955,0,4698 11,3,2024-09-07 09:48:11:303,1,579,1,0,843,7503,579,0 12,0,2024-09-07 09:48:11:004,147868,0.4,147850,0.5,295341,0.4,393352,1.75 12,1,2024-09-07 09:48:10:970,1015969,1015969,0,0,476171357155,4967729214,1007681,7286,1002,370,391960,0 12,2,2024-09-07 09:48:11:550,728120,728120,0,0,32881384,0,4390 12,3,2024-09-07 09:48:11:065,1,579,1,0,386,7387,579,0 13,0,2024-09-07 09:48:11:349,147357,0.4,147420,0.5,294010,0.4,392078,1.75 13,1,2024-09-07 09:48:11:523,1013405,1013405,0,0,475396348173,4996944995,1003049,8049,2307,382,391768,0 13,2,2024-09-07 09:48:10:620,732259,732259,0,0,29280046,0,3287 13,3,2024-09-07 09:48:11:773,1,579,15,0,522,7840,579,0 14,0,2024-09-07 09:48:10:565,146677,0.4,147727,0.6,293135,0.3,390400,1.75 14,1,2024-09-07 09:48:11:567,1020953,1020953,0,0,478729092780,4953423786,1013100,6881,972,364,391673,0 14,2,2024-09-07 09:48:10:764,734597,734567,30,0,30852605,0,6104 14,3,2024-09-07 09:48:11:114,1,579,5,0,1168,6460,579,0 15,0,2024-09-07 09:48:11:554,141720,0.4,140856,0.6,282933,0.4,377202,2.00 15,1,2024-09-07 09:48:11:613,1017776,1017776,0,0,477964684898,4979468547,1008742,7121,1913,381,391619,0 15,2,2024-09-07 09:48:11:014,736801,736801,0,0,27010133,0,3622 15,3,2024-09-07 09:48:11:407,1,579,1,0,1126,7722,579,0 16,0,2024-09-07 09:48:11:134,144983,0.6,145682,0.7,290787,0.6,387412,2.00 16,1,2024-09-07 09:48:10:563,1017723,1017723,0,0,476981070726,4988545220,1008451,7832,1440,370,392194,0 16,2,2024-09-07 09:48:11:473,728970,728970,0,0,30532437,0,4719 16,3,2024-09-07 09:48:11:148,1,579,8,0,358,7442,579,0 17,0,2024-09-07 09:48:11:821,149686,0.6,145943,0.8,285966,0.6,388499,2.00 17,1,2024-09-07 09:48:10:602,1015628,1015628,0,0,476183872707,4997287380,1005447,8413,1768,368,392075,0 17,2,2024-09-07 09:48:11:686,733474,733473,1,0,31798377,0,5050 17,3,2024-09-07 09:48:10:574,1,579,1,0,518,8669,579,0 18,0,2024-09-07 09:48:10:941,144982,0.7,145907,0.8,290494,0.7,387861,2.25 18,1,2024-09-07 09:48:11:639,1019743,1019743,0,0,477455120290,4954045495,1012696,5790,1257,367,391725,0 18,2,2024-09-07 09:48:11:757,734899,734899,0,0,28177543,0,3541 18,3,2024-09-07 09:48:10:896,1,579,10,0,1059,5446,579,0 19,0,2024-09-07 09:48:11:550,143040,0.6,143214,0.8,285321,0.6,379385,2.00 19,1,2024-09-07 09:48:10:582,1019317,1019317,0,0,479187118392,4967828923,1011650,6534,1133,367,391777,0 19,2,2024-09-07 09:48:11:754,738222,738222,0,0,26785926,0,3988 19,3,2024-09-07 09:48:11:131,1,579,6,0,524,4536,579,0 20,0,2024-09-07 09:48:11:388,142552,0.6,142413,0.7,284846,0.6,380203,2.00 20,1,2024-09-07 09:48:10:580,1015067,1015067,0,0,477051162588,5001194344,1004516,9046,1505,369,391922,0 20,2,2024-09-07 09:48:10:931,730861,730861,0,0,33437496,0,4321 20,3,2024-09-07 09:48:10:595,1,579,15,0,468,9514,579,0 21,0,2024-09-07 09:48:11:173,145720,0.5,145743,0.6,291535,0.4,387066,2.00 21,1,2024-09-07 09:48:11:542,1013352,1013352,0,0,475784876898,5026084931,996841,12721,3790,368,392016,0 21,2,2024-09-07 09:48:11:075,724870,724393,477,0,43914668,0,17074 21,3,2024-09-07 09:48:11:407,1,579,5,0,713,8125,579,0 22,0,2024-09-07 09:48:11:729,147205,0.5,147814,0.7,294871,0.4,391060,2.00 22,1,2024-09-07 09:48:11:024,1014269,1014269,0,0,475667640527,5011237864,996504,14226,3539,382,391822,0 22,2,2024-09-07 09:48:10:760,729664,729638,26,0,31838438,0,6328 22,3,2024-09-07 09:48:11:072,1,579,11,0,228,4922,579,0 23,0,2024-09-07 09:48:11:380,145343,0.5,145097,0.7,290091,0.5,386802,2.25 23,1,2024-09-07 09:48:11:003,1014095,1014095,0,0,477392954790,5035982855,994697,12820,6578,365,391690,0 23,2,2024-09-07 09:48:11:097,735761,735761,0,0,30752765,0,3773 23,3,2024-09-07 09:48:11:757,1,579,3,0,855,8790,579,0 24,0,2024-09-07 09:48:10:823,142394,0.4,141630,0.6,285007,0.4,378664,1.75 24,1,2024-09-07 09:48:10:586,1014592,1014592,0,0,475698590379,4981989818,1004682,8201,1709,367,392269,0 24,2,2024-09-07 09:48:11:083,733253,733253,0,0,36811097,0,4438 24,3,2024-09-07 09:48:11:693,1,579,2,0,468,7530,579,0 25,0,2024-09-07 09:48:11:413,151209,0.5,147098,0.6,289009,0.4,393389,2.00 25,1,2024-09-07 09:48:10:566,1013254,1013254,0,0,476125723755,5030941467,995503,14141,3610,369,391928,0 25,2,2024-09-07 09:48:11:608,727274,727274,0,0,37140409,0,3978 25,3,2024-09-07 09:48:11:000,1,579,0,0,532,6426,579,0 26,0,2024-09-07 09:48:11:736,146221,0.4,143038,0.6,300312,0.4,391134,2.00 26,1,2024-09-07 09:48:11:548,1017032,1017032,0,0,475952705804,4984716320,1003157,11385,2490,380,391748,0 26,2,2024-09-07 09:48:10:867,729711,729711,0,0,40128536,0,4689 26,3,2024-09-07 09:48:11:711,1,579,12,0,796,6699,579,0 27,0,2024-09-07 09:48:11:734,146297,0.4,147015,0.6,292225,0.4,389698,2.25 27,1,2024-09-07 09:48:11:685,1017366,1017366,0,0,478290074960,4983711979,1007801,8267,1298,381,391626,0 27,2,2024-09-07 09:48:10:867,730995,730930,65,0,35051656,0,5699 27,3,2024-09-07 09:48:11:023,1,579,2,0,564,5162,579,0 28,0,2024-09-07 09:48:11:389,142001,0.4,141948,0.6,284145,0.3,378894,1.75 28,1,2024-09-07 09:48:10:799,1018326,1018326,0,0,478019374429,4981637964,1009949,6640,1737,382,391698,0 28,2,2024-09-07 09:48:12:001,735432,735432,0,0,30147753,0,2915 28,3,2024-09-07 09:48:11:786,1,579,29,0,502,5685,579,0 29,0,2024-09-07 09:48:11:360,150191,0.4,146187,0.6,287068,0.3,391187,1.75 29,1,2024-09-07 09:48:11:562,1021368,1021368,0,0,478637468764,4949944474,1015276,5318,774,367,391809,0 29,2,2024-09-07 09:48:10:861,729429,729429,0,0,28921016,0,4986 29,3,2024-09-07 09:48:10:962,1,579,0,0,459,6446,579,0 30,0,2024-09-07 09:48:11:465,144783,0.5,141084,0.7,295423,0.4,386233,2.00 30,1,2024-09-07 09:48:10:577,1020114,1020114,0,0,478976197331,4969236817,1012879,6328,907,380,391672,0 30,2,2024-09-07 09:48:11:285,731586,731586,0,0,27780109,0,4192 30,3,2024-09-07 09:48:10:592,1,579,9,0,519,5491,579,0 31,0,2024-09-07 09:48:11:761,146149,0.4,146853,0.6,292752,0.4,390966,2.00 31,1,2024-09-07 09:48:10:564,1023561,1023561,0,0,480548004475,4933844541,1017558,4974,1029,356,391712,0 31,2,2024-09-07 09:48:11:280,732730,732730,0,0,31329491,0,4470 31,3,2024-09-07 09:48:11:706,1,579,1,0,239,4999,579,0 32,0,2024-09-07 09:48:11:424,143141,0.3,143995,0.5,286390,0.3,381940,1.75 32,1,2024-09-07 09:48:10:804,1019463,1019463,0,0,477907327543,4967634402,1013291,5456,716,381,391646,0 32,2,2024-09-07 09:48:10:943,737059,737059,0,0,27802584,0,3922 32,3,2024-09-07 09:48:11:022,1,579,3,0,304,4623,579,0 33,0,2024-09-07 09:48:11:510,144678,0.3,144274,0.4,288916,0.2,384844,1.50 33,1,2024-09-07 09:48:10:574,1019480,1019480,0,0,479561916361,4969463992,1011058,7150,1272,368,391730,0 33,2,2024-09-07 09:48:10:758,731407,731372,35,0,30634509,0,7012 33,3,2024-09-07 09:48:10:895,1,579,1,0,329,5092,579,0 34,0,2024-09-07 09:48:10:928,145418,0.3,149363,0.5,285712,0.2,385465,1.75 34,1,2024-09-07 09:48:11:045,1021867,1021867,0,0,479835792698,4937366815,1018908,2849,110,367,391637,0 34,2,2024-09-07 09:48:10:766,732066,732066,0,0,28904560,0,4562 34,3,2024-09-07 09:48:11:691,1,579,2,0,541,5384,579,0 35,0,2024-09-07 09:48:10:859,145869,0.3,146699,0.5,294363,0.2,390930,1.75 35,1,2024-09-07 09:48:11:083,1018122,1018122,0,0,478226099027,4965239932,1010046,6553,1523,382,391769,0 35,2,2024-09-07 09:48:11:589,731973,731973,0,0,31825018,0,4055 35,3,2024-09-07 09:48:10:908,1,579,2,0,466,5529,579,0 36,0,2024-09-07 09:48:11:515,145398,0.5,145354,0.7,290237,0.5,386208,2.00 36,1,2024-09-07 09:48:10:584,1016351,1016351,0,0,476975874582,4984963100,1002801,11310,2240,366,391759,0 36,2,2024-09-07 09:48:11:771,735541,735541,0,0,33564117,0,3875 36,3,2024-09-07 09:48:10:870,1,579,29,0,556,8180,579,0 37,0,2024-09-07 09:48:11:385,140883,0.6,140919,0.7,282270,0.5,376694,2.25 37,1,2024-09-07 09:48:10:570,1016340,1016333,0,7,477465614269,4989050487,1003809,9614,2910,365,391770,0 37,2,2024-09-07 09:48:11:143,730311,730296,15,0,33745949,0,5815 37,3,2024-09-07 09:48:11:768,1,579,66,0,888,7933,579,0 38,0,2024-09-07 09:48:11:436,145445,0.5,140997,0.7,294884,0.4,386159,2.00 38,1,2024-09-07 09:48:11:605,1016347,1016347,0,0,477784222608,5002165755,1001041,12307,2999,368,391821,0 38,2,2024-09-07 09:48:10:760,728953,728906,47,0,33747310,0,6710 38,3,2024-09-07 09:48:10:996,1,579,11,0,689,7331,579,0 39,0,2024-09-07 09:48:11:759,150783,0.5,147409,0.7,287461,0.5,392561,2.00 39,1,2024-09-07 09:48:10:715,1015904,1015904,0,0,477060355594,5005702467,998645,13558,3701,365,391865,0 39,2,2024-09-07 09:48:11:419,730695,730695,0,0,31646414,0,3391 39,3,2024-09-07 09:48:10:712,1,579,3,0,525,6633,579,0 40,0,2024-09-07 09:48:11:490,144745,0.9,145384,1.0,290122,1.0,387275,2.75 40,1,2024-09-07 09:48:10:603,1016922,1016922,0,0,476680650739,4994601924,1002230,11909,2783,368,391668,0 40,2,2024-09-07 09:48:11:310,732505,732504,1,0,37331595,0,5137 40,3,2024-09-07 09:48:11:146,1,579,8,0,1028,8681,579,0 41,0,2024-09-07 09:48:11:038,140652,1.4,143911,1.2,274355,2.0,373026,3.25 41,1,2024-09-07 09:48:10:768,1015455,1015455,0,0,476093308828,4982751306,1002331,10988,2136,369,391878,0 41,2,2024-09-07 09:48:10:770,731098,731097,1,0,36085394,0,5408 41,3,2024-09-07 09:48:11:684,1,579,1,0,366,6286,579,0 42,0,2024-09-07 09:48:11:492,146007,0.9,145757,1.0,291324,1.0,387153,2.75 42,1,2024-09-07 09:48:11:445,1013428,1013428,0,0,475479097759,4998166197,997610,12888,2930,380,391675,0 42,2,2024-09-07 09:48:11:138,727281,727280,1,0,35887727,0,5513 42,3,2024-09-07 09:48:11:019,1,579,8,0,892,5533,579,0 43,0,2024-09-07 09:48:10:915,145048,0.7,141176,0.9,295861,0.8,386976,2.25 43,1,2024-09-07 09:48:10:585,1017009,1017009,0,0,477540696976,4992066246,1002825,11721,2463,366,391696,0 43,2,2024-09-07 09:48:11:739,730836,730836,0,0,34460132,0,4723 43,3,2024-09-07 09:48:11:753,1,579,117,0,571,8649,579,0 44,0,2024-09-07 09:48:10:857,146916,0.4,146828,0.6,294153,0.3,390834,1.75 44,1,2024-09-07 09:48:10:563,1019413,1019413,0,0,478282588364,4944726209,1010276,7198,1939,356,391809,0 44,2,2024-09-07 09:48:11:267,732175,732175,0,0,27788670,0,4344 44,3,2024-09-07 09:48:11:093,1,579,1,0,1097,7272,579,0 45,0,2024-09-07 09:48:11:764,140170,0.5,136976,0.7,287382,0.4,377278,2.00 45,1,2024-09-07 09:48:11:010,1018240,1018240,0,0,478729122285,4975132385,1010063,7274,903,382,391917,0 45,2,2024-09-07 09:48:11:275,735970,735970,0,0,28298329,0,3596 45,3,2024-09-07 09:48:10:938,1,579,6,0,531,5669,579,0 46,0,2024-09-07 09:48:10:966,144544,0.5,144140,0.7,289179,0.5,384484,2.00 46,1,2024-09-07 09:48:10:580,1020630,1020630,0,0,479521238246,4955686768,1013544,6222,864,366,391709,0 46,2,2024-09-07 09:48:10:596,730457,730457,0,0,28848318,0,4443 46,3,2024-09-07 09:48:11:139,1,579,13,0,908,7081,579,0 47,0,2024-09-07 09:48:11:109,145526,0.4,145416,0.6,292065,0.4,386949,2.00 47,1,2024-09-07 09:48:10:574,1021412,1021412,0,0,479400559226,4952117761,1015647,4912,853,365,391641,0 47,2,2024-09-07 09:48:10:914,734267,734267,0,0,27922148,0,4477 47,3,2024-09-07 09:48:11:115,1,579,1,0,600,6540,579,0 48,0,2024-09-07 09:48:11:509,146652,0.3,146848,0.4,293104,0.2,389672,1.50 48,1,2024-09-07 09:48:11:022,1019045,1019045,0,0,477836190225,4963819630,1012973,5494,578,383,391710,0 48,2,2024-09-07 09:48:10:698,733170,733170,0,0,26590986,0,3524 48,3,2024-09-07 09:48:10:753,1,579,1,0,339,4848,579,0 49,0,2024-09-07 09:48:11:716,147419,0.3,144508,0.5,281172,0.3,383522,1.75 49,1,2024-09-07 09:48:11:031,1017795,1017795,0,0,478034616689,4973627812,1010654,5447,1694,382,391809,0 49,2,2024-09-07 09:48:11:808,736570,736570,0,0,28871726,0,4426 49,3,2024-09-07 09:48:11:426,1,579,15,0,992,7057,579,0 50,0,2024-09-07 09:48:11:511,143315,0.3,141626,0.5,285303,0.2,380379,1.75 50,1,2024-09-07 09:48:11:012,1021099,1021099,0,0,479610074225,4958285474,1014697,5733,669,368,391691,0 50,2,2024-09-07 09:48:11:074,731248,731248,0,0,26492998,0,4490 50,3,2024-09-07 09:48:11:291,1,579,8,0,617,6076,579,0 51,0,2024-09-07 09:48:11:700,149499,0.3,146511,0.5,285157,0.2,388605,1.75 51,1,2024-09-07 09:48:11:684,1021865,1021865,0,0,480142078392,4952488783,1016223,4562,1080,365,391706,0 51,2,2024-09-07 09:48:11:319,731184,731184,0,0,26152072,0,3337 51,3,2024-09-07 09:48:11:033,1,579,1,0,678,4112,579,0 52,0,2024-09-07 09:48:11:420,147839,0.5,147515,0.7,295309,0.4,392006,2.00 52,1,2024-09-07 09:48:10:585,1016500,1016500,0,0,477065622448,4996820898,1001530,12640,2330,368,391805,0 52,2,2024-09-07 09:48:11:757,728081,728043,38,0,35507892,0,6742 52,3,2024-09-07 09:48:10:675,1,579,13,0,1782,7049,579,0 53,0,2024-09-07 09:48:11:741,145098,0.7,140758,0.8,294449,0.7,385969,2.50 53,1,2024-09-07 09:48:10:771,1015006,1015006,0,0,476840934764,5009537580,996827,13784,4395,367,391968,0 53,2,2024-09-07 09:48:11:308,734781,734780,1,0,32324722,0,5455 53,3,2024-09-07 09:48:10:703,1,579,1,0,308,5555,579,0 54,0,2024-09-07 09:48:11:632,139819,0.6,140178,0.8,279025,0.5,372994,2.25 54,1,2024-09-07 09:48:10:586,1017421,1017421,0,0,478267105863,4977049668,1006391,9360,1670,366,391810,0 54,2,2024-09-07 09:48:10:867,733940,733908,32,0,35986262,0,6397 54,3,2024-09-07 09:48:10:762,1,579,12,0,676,7701,579,0 55,0,2024-09-07 09:48:11:760,141940,0.7,146311,0.8,296630,0.6,386186,2.50 55,1,2024-09-07 09:48:10:764,1018097,1018097,0,0,477601280102,4970967642,1007242,9466,1389,365,391731,0 55,2,2024-09-07 09:48:10:729,727913,727857,56,0,33267716,0,7239 55,3,2024-09-07 09:48:10:678,1,579,11,0,304,5444,579,0 56,0,2024-09-07 09:48:11:557,149638,1.2,141103,1.1,290720,1.5,389373,2.75 56,1,2024-09-07 09:48:10:574,1011968,1011968,0,0,475450565789,5032000614,994317,13966,3685,381,391867,0 56,2,2024-09-07 09:48:11:307,729638,729516,122,0,34591874,0,7432 56,3,2024-09-07 09:48:11:062,1,579,5,0,705,6786,579,0 57,0,2024-09-07 09:48:10:943,144479,1.5,144349,1.2,289018,2.0,385969,3.25 57,1,2024-09-07 09:48:10:992,1014334,1014334,0,0,476116801794,4999593575,1000556,11419,2359,366,392032,0 57,2,2024-09-07 09:48:11:330,733291,733291,0,0,36686484,0,4804 57,3,2024-09-07 09:48:11:738,1,579,1,0,455,6717,579,0 58,0,2024-09-07 09:48:10:567,139608,1.0,135435,1.0,283478,1.2,370975,2.75 58,1,2024-09-07 09:48:10:584,1016052,1016049,0,3,477671746094,5008804334,1000678,11589,3782,367,391675,3 58,2,2024-09-07 09:48:11:097,735068,735068,0,0,34636034,0,3483 58,3,2024-09-07 09:48:11:073,1,579,1,0,1043,6574,579,0 59,0,2024-09-07 09:48:11:754,144947,0.8,144527,1.0,288857,0.9,383571,2.75 59,1,2024-09-07 09:48:10:804,1014520,1014520,0,0,476356130390,5010198822,996913,13972,3635,369,391653,0 59,2,2024-09-07 09:48:10:593,729105,729105,0,0,32389940,0,3727 59,3,2024-09-07 09:48:11:746,1,579,1,0,1015,6964,579,0 60,0,2024-09-07 09:48:11:706,145455,0.5,145771,0.7,291422,0.5,388514,1.75 60,1,2024-09-07 09:48:10:791,1019767,1019767,0,0,478497784179,4970354772,1012522,6249,996,370,392031,0 60,2,2024-09-07 09:48:11:145,731497,731497,0,0,30353912,0,3811 60,3,2024-09-07 09:48:11:258,1,579,2,0,409,6623,579,0 61,0,2024-09-07 09:48:11:534,146362,0.6,146939,0.8,292407,0.6,390240,2.00 61,1,2024-09-07 09:48:10:771,1016116,1016116,0,0,476788754116,4991838415,1005335,9120,1661,382,392127,0 61,2,2024-09-07 09:48:11:116,733554,733487,67,0,31433689,0,6411 61,3,2024-09-07 09:48:11:695,1,579,1,0,607,7767,579,0 62,0,2024-09-07 09:48:11:752,143408,0.6,147086,0.7,280775,0.6,381922,2.00 62,1,2024-09-07 09:48:11:116,1022804,1022798,0,6,479951645514,4947347522,1018128,4386,284,365,391975,6 62,2,2024-09-07 09:48:11:651,733272,733271,1,0,31473271,0,5555 62,3,2024-09-07 09:48:11:146,1,579,9,0,482,4718,579,0 63,0,2024-09-07 09:48:11:470,144672,0.4,144465,0.6,289628,0.3,384994,1.75 63,1,2024-09-07 09:48:10:804,1019070,1019064,0,6,478550039889,4968910972,1012422,5787,855,381,391800,6 63,2,2024-09-07 09:48:10:762,730941,730941,0,0,29552101,0,4369 63,3,2024-09-07 09:48:11:742,1,579,1,0,667,6112,579,0 64,0,2024-09-07 09:48:11:564,144450,0.5,144496,0.7,288216,0.4,383878,2.00 64,1,2024-09-07 09:48:10:752,1017948,1017948,0,0,479110404654,4991655832,1008626,7346,1976,370,391794,0 64,2,2024-09-07 09:48:11:147,734958,734939,19,0,28785709,0,6121 64,3,2024-09-07 09:48:11:146,1,579,1,0,651,6223,579,0 65,0,2024-09-07 09:48:11:695,145667,0.6,145909,0.7,291479,0.6,388353,2.00 65,1,2024-09-07 09:48:10:873,1016433,1016433,0,0,477062225125,4986957389,1009031,6529,873,381,391901,0 65,2,2024-09-07 09:48:11:698,731068,731068,0,0,34297856,0,3367 65,3,2024-09-07 09:48:11:685,1,579,2,0,782,6516,579,0 66,0,2024-09-07 09:48:11:767,144478,0.5,143979,0.8,288239,0.5,383279,2.25 66,1,2024-09-07 09:48:11:293,1018811,1018811,0,0,478198644457,4976937132,1012316,5773,722,380,391743,0 66,2,2024-09-07 09:48:11:132,737873,737870,3,0,30824390,0,5455 66,3,2024-09-07 09:48:11:079,1,579,36,0,291,4993,579,0 67,0,2024-09-07 09:48:11:416,141367,0.5,141079,0.7,283233,0.5,377090,2.00 67,1,2024-09-07 09:48:10:766,1017914,1017913,0,1,478634505522,4984100179,1010621,6349,943,380,391787,1 67,2,2024-09-07 09:48:10:593,735539,735524,15,0,30647863,0,6205 67,3,2024-09-07 09:48:11:752,1,579,10,0,595,6127,579,0 68,0,2024-09-07 09:48:10:561,146394,0.6,146240,0.8,291150,0.6,389398,2.25 68,1,2024-09-07 09:48:10:571,1013939,1013939,0,0,475971296687,5008370589,1000163,10246,3530,381,391953,0 68,2,2024-09-07 09:48:11:052,725765,725665,100,0,38870425,0,8578 68,3,2024-09-07 09:48:10:728,1,579,57,0,417,7658,579,0 69,0,2024-09-07 09:48:11:724,146834,0.7,147681,0.8,294394,0.7,391247,2.25 69,1,2024-09-07 09:48:11:019,1013067,1013067,0,0,475722350082,5017193133,999243,10993,2831,383,391994,0 69,2,2024-09-07 09:48:11:735,728345,728316,29,0,40959806,0,6912 69,3,2024-09-07 09:48:10:765,1,579,7,0,698,8550,579,0 70,0,2024-09-07 09:48:11:537,144505,0.8,144932,1.0,291529,0.7,386259,2.50 70,1,2024-09-07 09:48:10:802,1019449,1019449,0,0,479292005596,4975278767,1011543,7100,806,366,391725,0 70,2,2024-09-07 09:48:11:340,734067,734067,0,0,34212963,0,4323 70,3,2024-09-07 09:48:10:746,1,579,8,0,854,6541,579,0 71,0,2024-09-07 09:48:11:360,140049,1.1,139812,1.1,280918,1.5,374961,2.75 71,1,2024-09-07 09:48:11:599,1017135,1017135,0,0,477668116445,4989564499,1004150,11364,1621,368,391738,0 71,2,2024-09-07 09:48:11:075,733679,733679,0,0,34391350,0,4352 71,3,2024-09-07 09:48:11:753,1,579,1,0,644,6652,579,0 72,0,2024-09-07 09:48:11:078,151614,0.5,148271,0.7,289221,0.5,393986,2.00 72,1,2024-09-07 09:48:11:025,1015222,1015222,0,0,476574380952,5000458329,1000569,12155,2498,369,391819,0 72,2,2024-09-07 09:48:11:758,727200,727200,0,0,35609512,0,3983 72,3,2024-09-07 09:48:11:757,1,579,9,0,564,8486,579,0 73,0,2024-09-07 09:48:11:110,142773,0.5,146488,0.6,299417,0.4,389720,2.00 73,1,2024-09-07 09:48:10:766,1017372,1017372,0,0,477804113998,4968501296,1009301,7115,956,367,391858,0 73,2,2024-09-07 09:48:11:738,730357,730356,1,0,36867131,0,5027 73,3,2024-09-07 09:48:10:973,1,579,5,0,1091,8265,579,0 74,0,2024-09-07 09:48:11:328,147715,0.5,151183,0.7,288447,0.4,391114,2.00 74,1,2024-09-07 09:48:10:669,1016183,1016183,0,0,477343463122,4983789515,1004966,9239,1978,381,391762,0 74,2,2024-09-07 09:48:11:001,732509,732509,0,0,32356178,0,4253 74,3,2024-09-07 09:48:11:445,1,579,58,0,522,7022,579,0 75,0,2024-09-07 09:48:11:780,142256,0.5,141178,0.7,283488,0.5,378745,2.25 75,1,2024-09-07 09:48:11:589,1017806,1017806,0,0,477216819902,4974728290,1009579,7361,866,380,391739,0 75,2,2024-09-07 09:48:11:354,733710,733710,0,0,39285877,0,4766 75,3,2024-09-07 09:48:11:079,1,579,38,0,702,7139,579,0 76,0,2024-09-07 09:48:10:598,144685,0.5,144087,0.7,288357,0.5,385849,2.25 76,1,2024-09-07 09:48:10:807,1017206,1017206,0,0,477244311054,4975911926,1010282,5954,970,382,391790,0 76,2,2024-09-07 09:48:11:085,731668,731665,3,0,31444405,0,5265 76,3,2024-09-07 09:48:11:142,1,579,1,0,227,5189,579,0 77,0,2024-09-07 09:48:11:697,144791,0.5,145349,0.7,290537,0.5,386156,2.00 77,1,2024-09-07 09:48:10:824,1016739,1016739,0,0,477843160071,4985250199,1008990,6875,874,381,391869,0 77,2,2024-09-07 09:48:11:283,730669,730669,0,0,30685583,0,3890 77,3,2024-09-07 09:48:11:101,1,579,1,0,401,6393,579,0 78,0,2024-09-07 09:48:11:745,146866,0.4,146341,0.6,293237,0.4,388327,2.00 78,1,2024-09-07 09:48:10:610,1017640,1017640,0,0,477468072862,4978642620,1005745,9228,2667,367,391670,0 78,2,2024-09-07 09:48:11:416,734050,734037,13,0,28786810,0,8313 78,3,2024-09-07 09:48:11:137,1,579,8,0,311,4959,579,0 79,0,2024-09-07 09:48:11:362,138582,0.4,141898,0.6,290796,0.3,378285,2.00 79,1,2024-09-07 09:48:10:575,1020665,1020665,0,0,479064115597,4960411479,1012871,6434,1360,367,391682,0 79,2,2024-09-07 09:48:11:075,735845,735845,0,0,28024351,0,4195 79,3,2024-09-07 09:48:10:750,1,579,31,0,418,6902,579,0 80,0,2024-09-07 09:48:11:110,142491,0.5,146271,0.7,279886,0.5,379305,2.00 80,1,2024-09-07 09:48:11:633,1017652,1017652,0,0,477712419199,4974237472,1011031,6186,435,368,392269,0 80,2,2024-09-07 09:48:11:091,734045,734045,0,0,28660231,0,4433 80,3,2024-09-07 09:48:10:586,1,579,1,0,681,7280,579,0 81,0,2024-09-07 09:48:11:603,145663,0.6,148930,0.7,284487,0.5,385899,2.00 81,1,2024-09-07 09:48:11:655,1016036,1016036,0,0,476406097733,4980599889,1007905,7368,763,382,391885,0 81,2,2024-09-07 09:48:11:125,729369,729306,63,0,31651797,0,5932 81,3,2024-09-07 09:48:11:120,1,579,1,0,719,6923,579,0 82,0,2024-09-07 09:48:11:531,147176,0.5,147299,0.7,295287,0.4,391063,2.00 82,1,2024-09-07 09:48:10:586,1018987,1018983,0,4,477650643530,4965841325,1013422,4738,823,381,391768,4 82,2,2024-09-07 09:48:11:694,733726,733726,0,0,26722970,0,4484 82,3,2024-09-07 09:48:11:752,1,579,13,0,363,5561,579,0 83,0,2024-09-07 09:48:11:584,145743,0.6,145738,0.7,290802,0.6,386147,2.25 83,1,2024-09-07 09:48:10:551,1016757,1016757,0,0,477337976254,4977100990,1009353,6760,644,382,391709,0 83,2,2024-09-07 09:48:10:763,735394,735369,25,0,28960485,0,5612 83,3,2024-09-07 09:48:10:751,1,579,0,0,1260,7027,579,0 84,0,2024-09-07 09:48:11:817,139790,0.8,140064,0.9,279646,0.7,374554,2.50 84,1,2024-09-07 09:48:11:086,1015082,1015082,0,0,477178608738,4993543895,1003042,10369,1671,367,391967,0 84,2,2024-09-07 09:48:10:590,732274,731864,410,0,42893604,0,17037 84,3,2024-09-07 09:48:11:161,1,579,108,0,908,7921,579,0 85,0,2024-09-07 09:48:11:052,140891,0.7,141044,0.8,298877,0.6,386540,2.25 85,1,2024-09-07 09:48:10:563,1012526,1012526,0,0,475477953846,5025318345,996237,13494,2795,381,392092,0 85,2,2024-09-07 09:48:10:871,727978,727978,0,0,35625111,0,4255 85,3,2024-09-07 09:48:10:686,1,579,3,0,789,6789,579,0 86,0,2024-09-07 09:48:10:879,146622,0.7,150733,0.8,288027,0.7,390257,2.25 86,1,2024-09-07 09:48:10:824,1015193,1015193,0,0,477319015634,5009201021,1001388,11120,2685,366,392169,0 86,2,2024-09-07 09:48:10:866,729372,729371,1,0,38075947,0,5004 86,3,2024-09-07 09:48:10:589,1,579,8,0,308,8188,579,0 87,0,2024-09-07 09:48:11:298,146328,0.8,145812,0.8,291609,1.0,389408,2.25 87,1,2024-09-07 09:48:10:550,1014490,1014490,0,0,477401003721,5004734505,1001256,11447,1787,366,392076,0 87,2,2024-09-07 09:48:11:097,732465,732459,6,0,34703403,0,6323 87,3,2024-09-07 09:48:11:796,1,579,1,0,473,8482,579,0 88,0,2024-09-07 09:48:11:491,141699,0.5,142057,0.6,284228,0.4,378905,1.75 88,1,2024-09-07 09:48:10:584,1014090,1014090,0,0,476453655355,4995689100,999478,11647,2965,365,392084,0 88,2,2024-09-07 09:48:10:688,734093,734093,0,0,37446261,0,4465 88,3,2024-09-07 09:48:11:267,1,579,38,0,1080,9133,579,0 89,0,2024-09-07 09:48:11:768,150300,0.4,145825,0.6,287671,0.4,391162,1.75 89,1,2024-09-07 09:48:10:571,1013319,1013319,0,0,477290284746,5021730652,1000546,10830,1943,382,391866,0 89,2,2024-09-07 09:48:11:145,728354,728354,0,0,34584614,0,3173 89,3,2024-09-07 09:48:11:791,1,579,3,0,468,9832,579,0 90,0,2024-09-07 09:48:11:618,141248,0.5,145127,0.6,296078,0.4,386684,2.00 90,1,2024-09-07 09:48:10:605,1015638,1015638,0,0,477100696638,4997863194,1005913,8835,890,380,391825,0 90,2,2024-09-07 09:48:11:410,727841,727841,0,0,37613207,0,3608 90,3,2024-09-07 09:48:10:931,1,579,8,0,322,7190,579,0 91,0,2024-09-07 09:48:10:928,146847,0.4,142507,0.6,298086,0.4,390920,1.75 91,1,2024-09-07 09:48:10:556,1012822,1012822,0,0,476469559406,5021943926,997596,12159,3067,381,392047,0 91,2,2024-09-07 09:48:11:342,732405,732405,0,0,33348428,0,4713 91,3,2024-09-07 09:48:10:607,1,579,1,0,216,5315,579,0 92,0,2024-09-07 09:48:11:442,144511,0.5,147753,0.6,281748,0.5,382079,1.75 92,1,2024-09-07 09:48:10:589,1016799,1016799,0,0,477452600819,4986180590,1008958,6630,1211,381,392136,0 92,2,2024-09-07 09:48:11:354,735548,735548,0,0,29507383,0,3259 92,3,2024-09-07 09:48:11:013,1,579,5,0,167,4968,579,0 93,0,2024-09-07 09:48:10:961,144909,0.4,148567,0.6,283586,0.4,385031,1.75 93,1,2024-09-07 09:48:10:816,1016954,1016954,0,0,477714853984,4987260267,1005535,9492,1927,366,391776,0 93,2,2024-09-07 09:48:10:938,730516,730516,0,0,35128907,0,4913 93,3,2024-09-07 09:48:11:412,1,579,27,0,294,5768,579,0 94,0,2024-09-07 09:48:11:615,144615,0.4,145653,0.5,291201,0.3,386651,1.75 94,1,2024-09-07 09:48:10:565,1017668,1017668,0,0,477098273073,4976360745,1010925,6313,430,381,391850,0 94,2,2024-09-07 09:48:10:762,731192,731164,28,0,29825912,0,6179 94,3,2024-09-07 09:48:11:693,1,579,8,0,576,7108,579,0 95,0,2024-09-07 09:48:11:352,146660,0.3,146755,0.5,293642,0.3,390890,1.75 95,1,2024-09-07 09:48:10:851,1018842,1018842,0,0,477709169601,4964888526,1010672,7466,704,365,391852,0 95,2,2024-09-07 09:48:11:019,731082,731082,0,0,29482569,0,3308 95,3,2024-09-07 09:48:11:711,1,579,0,0,718,8863,579,0 96,0,2024-09-07 09:48:11:025,144930,0.4,145310,0.5,290503,0.3,385531,1.75 96,1,2024-09-07 09:48:11:586,1016825,1016825,0,0,477460176799,4981272988,1009479,6074,1272,384,391964,0 96,2,2024-09-07 09:48:11:268,735785,735785,0,0,30636645,0,4180 96,3,2024-09-07 09:48:11:147,1,579,2,0,411,6858,579,0 97,0,2024-09-07 09:48:11:318,141692,0.3,141548,0.5,283872,0.3,377012,1.75 97,1,2024-09-07 09:48:10:765,1018485,1018485,0,0,478580554419,4966419070,1011928,5531,1026,367,392140,0 97,2,2024-09-07 09:48:10:608,734077,734077,0,0,30450963,0,4046 97,3,2024-09-07 09:48:10:585,1,579,13,0,242,6539,579,0 98,0,2024-09-07 09:48:11:692,146062,0.3,146037,0.5,293088,0.3,389485,1.50 98,1,2024-09-07 09:48:10:572,1017903,1017903,0,0,477473670528,4974656643,1011640,5440,823,381,391997,0 98,2,2024-09-07 09:48:10:769,730411,730411,0,0,29171508,0,4336 98,3,2024-09-07 09:48:10:703,1,579,8,0,840,8799,579,0 99,0,2024-09-07 09:48:11:467,147726,0.3,148448,0.5,295282,0.3,393917,1.75 99,1,2024-09-07 09:48:11:725,1018767,1018767,0,0,477473424208,4970253852,1012411,5416,940,380,392069,0 99,2,2024-09-07 09:48:11:418,730789,730789,0,0,35797878,0,4276 99,3,2024-09-07 09:48:10:585,1,579,1,0,1124,7455,579,0 100,0,2024-09-07 09:48:11:486,145652,0.8,145987,0.9,291686,0.9,389686,2.50 100,1,2024-09-07 09:48:10:563,1012452,1012452,0,0,475007635804,5026537056,996449,12691,3312,378,391989,0 100,2,2024-09-07 09:48:11:823,730687,730298,389,0,39886174,0,16909 100,3,2024-09-07 09:48:11:731,1,579,2,0,627,9924,579,0 101,0,2024-09-07 09:48:11:714,144346,1.3,140839,1.1,275546,1.5,376639,2.50 101,1,2024-09-07 09:48:10:551,1013901,1013901,0,0,475922879919,5004460476,999980,11395,2526,368,391847,0 101,2,2024-09-07 09:48:11:758,729825,729825,0,0,39813212,0,4871 101,3,2024-09-07 09:48:10:948,1,579,1,0,1250,8871,579,0 102,0,2024-09-07 09:48:10:951,142938,0.6,147299,0.8,298932,0.6,391424,2.25 102,1,2024-09-07 09:48:11:153,1014081,1014081,0,0,475738663389,5001825868,999986,11899,2196,369,391984,0 102,2,2024-09-07 09:48:11:738,729515,729461,54,0,33692491,0,6768 102,3,2024-09-07 09:48:11:615,1,579,12,0,466,6815,579,0 103,0,2024-09-07 09:48:11:600,151331,0.6,151326,0.7,285087,0.6,392779,2.00 103,1,2024-09-07 09:48:11:638,1012305,1012305,0,0,475086975411,5022009950,995566,13147,3592,381,392077,0 103,2,2024-09-07 09:48:10:584,728514,728514,0,0,36394910,0,3766 103,3,2024-09-07 09:48:10:756,1,579,2,0,916,7052,579,0 104,0,2024-09-07 09:48:11:009,145709,0.7,145912,0.9,291077,0.7,389277,2.25 104,1,2024-09-07 09:48:11:623,1015181,1015181,0,0,476534583822,5009506578,1000100,12297,2784,365,392168,0 104,2,2024-09-07 09:48:11:673,730537,730537,0,0,35933844,0,4161 104,3,2024-09-07 09:48:11:418,1,579,3,0,1245,10484,579,0 105,0,2024-09-07 09:48:11:084,140452,0.8,136822,1.0,286748,0.9,376761,2.50 105,1,2024-09-07 09:48:10:557,1017104,1017104,0,0,477298966972,4999495685,1004794,10501,1809,364,392009,0 105,2,2024-09-07 09:48:11:328,733915,733915,0,0,36188482,0,4360 105,3,2024-09-07 09:48:11:311,1,579,19,0,573,8909,579,0 106,0,2024-09-07 09:48:10:934,140311,0.8,143593,0.9,294281,1.0,384453,2.50 106,1,2024-09-07 09:48:11:753,1015347,1015347,0,0,476432585373,5003507298,1000809,12646,1892,368,391914,0 106,2,2024-09-07 09:48:10:767,728514,728514,0,0,33242520,0,3254 106,3,2024-09-07 09:48:10:676,1,579,8,0,1224,8335,579,0 107,0,2024-09-07 09:48:11:124,144957,1.0,145078,0.9,290232,1.2,386851,2.25 107,1,2024-09-07 09:48:10:600,1012693,1012693,0,0,475335012745,5024870640,995949,14822,1922,381,392234,0 107,2,2024-09-07 09:48:11:312,728422,728421,1,0,36376426,0,5024 107,3,2024-09-07 09:48:11:756,1,579,11,0,733,8958,579,0 108,0,2024-09-07 09:48:11:772,146273,0.4,146776,0.6,292582,0.4,389836,1.75 108,1,2024-09-07 09:48:11:293,1016786,1016786,0,0,478189657558,4988078437,1008274,7532,980,367,391894,0 108,2,2024-09-07 09:48:11:756,732223,732223,0,0,31753566,0,4246 108,3,2024-09-07 09:48:11:330,1,579,0,0,749,10740,579,0 109,0,2024-09-07 09:48:11:789,144207,0.4,142907,0.6,286869,0.3,382721,1.75 109,1,2024-09-07 09:48:10:587,1014030,1014030,0,0,476599733493,4999265191,1005134,7674,1222,382,392132,0 109,2,2024-09-07 09:48:10:922,733662,733662,0,0,32674121,0,3617 109,3,2024-09-07 09:48:11:145,1,579,1,0,630,7180,579,0 110,0,2024-09-07 09:48:11:747,142583,0.4,138621,0.6,290327,0.3,381244,1.75 110,1,2024-09-07 09:48:11:643,1018635,1018635,0,0,477988733547,4963242739,1010731,5980,1924,368,392045,0 110,2,2024-09-07 09:48:11:307,732206,732206,0,0,30128131,0,4067 110,3,2024-09-07 09:48:10:696,1,579,18,0,722,7949,579,0 111,0,2024-09-07 09:48:11:415,146478,0.4,145666,0.5,291361,0.3,388225,1.75 111,1,2024-09-07 09:48:11:005,1020086,1020086,0,0,479235636190,4968657893,1015199,4523,364,380,391690,0 111,2,2024-09-07 09:48:11:116,730452,730452,0,0,30409558,0,4823 111,3,2024-09-07 09:48:10:913,1,579,1,0,379,6452,579,0 112,0,2024-09-07 09:48:10:922,148173,0.3,147511,0.4,295844,0.2,392345,1.50 112,1,2024-09-07 09:48:10:824,1018500,1018500,0,0,478491574035,4970922527,1011308,5967,1225,380,391624,0 112,2,2024-09-07 09:48:11:139,731408,731407,1,0,29104194,0,5036 112,3,2024-09-07 09:48:10:596,1,579,1,0,282,5387,579,0 113,0,2024-09-07 09:48:10:901,145918,0.3,145891,0.5,292077,0.2,388565,1.75 113,1,2024-09-07 09:48:11:688,1020764,1020764,0,0,480142206711,4955175964,1014685,5133,946,365,391664,0 113,2,2024-09-07 09:48:11:307,737696,737696,0,0,27576195,0,3813 113,3,2024-09-07 09:48:10:688,1,579,6,0,510,6267,579,0 114,0,2024-09-07 09:48:10:883,141888,0.3,142793,0.5,284129,0.2,380172,1.75 114,1,2024-09-07 09:48:10:715,1018873,1018873,0,0,478132684468,4966328948,1011049,6078,1746,381,391565,0 114,2,2024-09-07 09:48:10:874,735690,735689,1,0,28980681,0,5069 114,3,2024-09-07 09:48:11:283,1,579,11,0,395,4607,579,0 115,0,2024-09-07 09:48:10:563,147752,0.3,148240,0.4,295139,0.2,392652,1.50 115,1,2024-09-07 09:48:10:589,1019034,1019034,0,0,478268282188,4971065527,1010790,6727,1517,382,391757,0 115,2,2024-09-07 09:48:11:137,731443,731443,0,0,28573510,0,4382 115,3,2024-09-07 09:48:11:004,1,579,13,0,167,3481,579,0 116,0,2024-09-07 09:48:11:732,146669,0.7,146402,0.8,293519,0.6,392513,2.00 116,1,2024-09-07 09:48:10:803,1014026,1014026,0,0,475537570919,5016378917,1001452,9485,3089,380,392089,0 116,2,2024-09-07 09:48:11:758,730321,730321,0,0,36389732,0,4475 116,3,2024-09-07 09:48:10:912,1,579,1,0,448,7674,579,0 117,0,2024-09-07 09:48:10:966,146594,0.7,145879,0.8,292269,0.7,390792,2.00 117,1,2024-09-07 09:48:11:596,1015165,1015165,0,0,476038460102,4988533864,1003571,10074,1520,369,392033,0 117,2,2024-09-07 09:48:11:125,735253,735253,0,0,32960726,0,4303 117,3,2024-09-07 09:48:11:065,1,579,12,0,490,7593,579,0 118,0,2024-09-07 09:48:11:768,137454,0.6,141188,0.7,288080,0.5,377154,2.00 118,1,2024-09-07 09:48:10:586,1013708,1013708,0,0,476140901807,5010949435,997961,12126,3621,366,392054,0 118,2,2024-09-07 09:48:11:591,733824,733824,0,0,35060526,0,2842 118,3,2024-09-07 09:48:11:773,1,579,39,0,289,6737,579,0 119,0,2024-09-07 09:48:11:342,145732,0.7,146350,0.8,292118,0.7,389325,2.25 119,1,2024-09-07 09:48:10:548,1015020,1015020,0,0,477618595658,5012653226,1000471,12039,2510,367,391857,0 119,2,2024-09-07 09:48:11:276,729888,729888,0,0,32803584,0,4309 119,3,2024-09-07 09:48:11:332,1,579,1,0,1358,10919,579,0 120,0,2024-09-07 09:48:11:567,144838,0.7,144620,0.8,290469,0.7,387666,2.25 120,1,2024-09-07 09:48:10:866,1016388,1016388,0,0,476211950267,4993604770,1005874,9530,984,367,392144,0 120,2,2024-09-07 09:48:10:770,729394,729391,3,0,38915378,0,5363 120,3,2024-09-07 09:48:11:290,1,579,1,0,279,7200,579,0 121,0,2024-09-07 09:48:11:693,146598,1.1,146065,1.0,292488,1.5,389789,2.25 121,1,2024-09-07 09:48:11:656,1016069,1016069,0,0,476700529167,4986112434,1005865,9350,854,366,391840,0 121,2,2024-09-07 09:48:11:132,731973,731973,0,0,35642055,0,4157 121,3,2024-09-07 09:48:10:727,1,579,15,0,387,7527,579,0 122,0,2024-09-07 09:48:11:772,142388,0.8,138515,0.9,290282,0.9,381913,2.00 122,1,2024-09-07 09:48:10:874,1014514,1014514,0,0,476298176600,5000377649,1000392,11787,2335,366,392130,0 122,2,2024-09-07 09:48:11:336,735581,735506,75,0,39459450,0,5989 122,3,2024-09-07 09:48:10:595,1,579,9,0,512,9617,579,0 123,0,2024-09-07 09:48:10:961,143607,0.8,139665,0.9,292659,0.9,383453,2.25 123,1,2024-09-07 09:48:10:612,1014727,1014727,0,0,476502904044,5014526658,998057,14000,2670,369,392039,0 123,2,2024-09-07 09:48:11:031,728518,728517,1,0,33436610,0,5215 123,3,2024-09-07 09:48:11:142,1,579,92,0,478,6775,579,0 124,0,2024-09-07 09:48:10:932,149024,0.3,149007,0.5,281189,0.3,386947,1.75 124,1,2024-09-07 09:48:11:022,1018921,1018921,0,0,477450793137,4963671820,1011427,6323,1171,365,392178,0 124,2,2024-09-07 09:48:11:012,732456,732403,53,0,30046683,0,6487 124,3,2024-09-07 09:48:10:762,1,579,2,0,490,5801,579,0 125,0,2024-09-07 09:48:11:487,146470,0.4,146565,0.5,293989,0.3,390989,1.75 125,1,2024-09-07 09:48:10:861,1015903,1015903,0,0,476924370101,4978735937,1008086,6683,1134,382,391968,0 125,2,2024-09-07 09:48:11:122,734135,734135,0,0,29974021,0,4534 125,3,2024-09-07 09:48:11:150,1,579,1,0,709,6668,579,0 126,0,2024-09-07 09:48:11:435,145199,0.4,149306,0.6,285611,0.4,386219,1.75 126,1,2024-09-07 09:48:10:554,1019407,1019407,0,0,478490246237,4954308483,1013951,5010,446,365,391987,0 126,2,2024-09-07 09:48:10:663,736725,736725,0,0,31484494,0,4539 126,3,2024-09-07 09:48:10:910,1,579,8,0,268,6425,579,0 127,0,2024-09-07 09:48:11:675,141896,0.3,142053,0.5,283706,0.3,377405,1.75 127,1,2024-09-07 09:48:10:573,1017416,1017416,0,0,477029489356,4964266025,1007201,8590,1625,364,392187,0 127,2,2024-09-07 09:48:10:669,732961,732957,4,0,29669219,0,5305 127,3,2024-09-07 09:48:11:267,1,579,0,0,968,5708,579,0 128,0,2024-09-07 09:48:11:625,146939,0.3,146949,0.4,293624,0.2,390072,1.50 128,1,2024-09-07 09:48:11:644,1017928,1017928,0,0,477262810498,4965059717,1009797,7179,952,367,392423,0 128,2,2024-09-07 09:48:11:387,731337,731337,0,0,27489412,0,3171 128,3,2024-09-07 09:48:10:768,1,579,1,0,1082,9266,579,0 129,0,2024-09-07 09:48:11:011,148807,0.3,148050,0.5,296855,0.3,394371,1.50 129,1,2024-09-07 09:48:10:568,1014126,1014126,0,0,476482772512,4995937160,1003712,8392,2022,379,391962,0 129,2,2024-09-07 09:48:10:687,732503,732499,4,0,29923285,0,5335 129,3,2024-09-07 09:48:10:696,1,579,1,0,506,8111,579,0 130,0,2024-09-07 09:48:11:719,146994,0.4,146411,0.6,293473,0.4,391080,1.75 130,1,2024-09-07 09:48:10:594,1018625,1018625,0,0,478007754106,4966079711,1013309,4922,394,381,391825,0 130,2,2024-09-07 09:48:11:125,735378,735378,0,0,30276183,0,4067 130,3,2024-09-07 09:48:11:290,1,579,8,0,960,7854,579,0 131,0,2024-09-07 09:48:11:990,141611,0.4,142043,0.5,284696,0.3,378191,1.75 131,1,2024-09-07 09:48:11:833,1017685,1017685,0,0,478140007791,4985172039,1010570,5920,1195,381,391865,0 131,2,2024-09-07 09:48:10:595,735356,735356,0,0,28264948,0,3979 131,3,2024-09-07 09:48:11:691,1,579,10,0,392,7459,579,0 132,0,2024-09-07 09:48:11:421,147083,0.5,148100,0.6,294854,0.5,392923,2.00 132,1,2024-09-07 09:48:10:576,1013522,1013522,0,0,475885857155,5014021122,998249,12572,2701,381,392532,0 132,2,2024-09-07 09:48:10:699,728992,728975,17,0,36656370,0,6451 132,3,2024-09-07 09:48:11:688,1,579,1,0,1298,10694,579,0 133,0,2024-09-07 09:48:11:534,142891,0.4,146175,0.6,299716,0.4,390577,2.00 133,1,2024-09-07 09:48:10:594,1013628,1013628,0,0,476319842328,5022345216,999580,12287,1761,383,391914,0 133,2,2024-09-07 09:48:11:087,731303,731253,50,0,37810366,0,6861 133,3,2024-09-07 09:48:11:308,1,579,1,0,528,7115,579,0 134,0,2024-09-07 09:48:10:952,146947,0.5,147069,0.7,294280,0.5,391315,2.00 134,1,2024-09-07 09:48:10:586,1014849,1014849,0,0,475867206739,4994815813,1001284,11079,2486,366,391781,0 134,2,2024-09-07 09:48:11:756,733150,733126,24,0,34931544,0,6207 134,3,2024-09-07 09:48:10:751,1,579,17,0,739,7195,579,0 135,0,2024-09-07 09:48:11:098,137509,0.9,137494,0.9,291902,1.0,376318,2.25 135,1,2024-09-07 09:48:11:587,1014265,1014265,0,0,476837151771,5016721406,1001312,11313,1640,380,391805,0 135,2,2024-09-07 09:48:10:687,735362,735362,0,0,35893943,0,4503 135,3,2024-09-07 09:48:11:002,1,579,16,0,900,5846,579,0 136,0,2024-09-07 09:48:11:729,145731,0.6,146107,0.7,290517,0.5,387976,2.00 136,1,2024-09-07 09:48:11:450,1015784,1015784,0,0,476972354251,5000763666,1004317,10099,1368,381,392135,0 136,2,2024-09-07 09:48:11:158,730831,730816,15,0,34903388,0,6007 136,3,2024-09-07 09:48:11:576,1,579,3,0,637,6825,579,0 137,0,2024-09-07 09:48:10:927,149944,0.6,146139,0.7,286265,0.6,388722,2.00 137,1,2024-09-07 09:48:10:581,1014836,1014836,0,0,476725949184,5000836348,999255,12506,3075,366,391898,0 137,2,2024-09-07 09:48:11:773,729309,729309,0,0,36084713,0,3185 137,3,2024-09-07 09:48:10:822,1,579,35,0,484,7793,579,0 138,0,2024-09-07 09:48:11:740,145696,0.7,145957,0.9,292469,0.8,388202,2.00 138,1,2024-09-07 09:48:11:685,1015072,1015072,0,0,476996678994,5005403111,1000761,12058,2253,368,391954,0 138,2,2024-09-07 09:48:10:602,731478,731478,0,0,33858180,0,4988 138,3,2024-09-07 09:48:10:663,1,579,19,0,1200,9256,579,0 139,0,2024-09-07 09:48:11:360,142371,1.1,142931,1.0,285768,1.6,381001,2.25 139,1,2024-09-07 09:48:10:588,1011061,1011061,0,0,474248322663,5030194778,993281,14026,3754,380,392109,0 139,2,2024-09-07 09:48:10:693,730613,730613,0,0,38586067,0,3379 139,3,2024-09-07 09:48:11:667,1,579,1,0,432,6993,579,0 140,0,2024-09-07 09:48:11:609,143203,0.3,142378,0.5,285613,0.2,381390,1.75 140,1,2024-09-07 09:48:11:536,1021485,1021485,0,0,479933975446,4947929834,1016539,4400,546,364,391606,0 140,2,2024-09-07 09:48:10:685,732850,732849,1,0,28148964,0,5036 140,3,2024-09-07 09:48:10:767,1,579,1,0,575,5861,579,0 141,0,2024-09-07 09:48:11:699,146038,0.3,150087,0.5,286862,0.3,388024,1.75 141,1,2024-09-07 09:48:10:871,1019333,1019333,0,0,478592113503,4972037321,1011367,6787,1179,379,391614,0 141,2,2024-09-07 09:48:11:687,731130,731119,11,0,29935069,0,5369 141,3,2024-09-07 09:48:11:043,1,579,0,0,391,6399,579,0 142,0,2024-09-07 09:48:11:321,148178,0.3,147347,0.5,294984,0.2,393179,1.50 142,1,2024-09-07 09:48:10:591,1017509,1017509,0,0,477390563572,4975710570,1011160,5845,504,382,392102,0 142,2,2024-09-07 09:48:11:299,730637,730605,32,0,30250501,0,6028 142,3,2024-09-07 09:48:11:753,1,579,10,0,484,6162,579,0 143,0,2024-09-07 09:48:11:391,145614,0.4,145750,0.5,292426,0.4,388462,1.75 143,1,2024-09-07 09:48:10:558,1020235,1020235,0,0,478241043563,4962077914,1013853,5816,566,367,391722,0 143,2,2024-09-07 09:48:10:769,735992,735992,0,0,30374961,0,3123 143,3,2024-09-07 09:48:11:146,1,579,9,0,462,7160,579,0 144,0,2024-09-07 09:48:11:513,137313,0.6,141254,0.8,287482,0.6,376820,2.00 144,1,2024-09-07 09:48:10:578,1014387,1014387,0,0,476101848556,4996428851,1004128,8272,1987,381,391733,0 144,2,2024-09-07 09:48:11:756,735389,735389,0,0,29815294,0,4443 144,3,2024-09-07 09:48:11:738,1,579,1,0,249,5680,579,0 145,0,2024-09-07 09:48:11:360,142238,0.6,142198,0.8,301810,0.5,388577,2.25 145,1,2024-09-07 09:48:10:665,1013670,1013670,0,0,475781812772,5010668082,999668,11331,2671,382,391759,0 145,2,2024-09-07 09:48:11:429,727983,727901,82,0,35424301,0,7814 145,3,2024-09-07 09:48:10:895,1,579,7,0,622,7844,579,0 146,0,2024-09-07 09:48:11:641,146856,0.6,146284,0.8,294021,0.5,390776,2.00 146,1,2024-09-07 09:48:11:598,1014689,1014689,0,0,475875464357,5011660848,997292,12917,4480,367,391770,0 146,2,2024-09-07 09:48:11:705,729337,729331,6,0,33920434,0,5151 146,3,2024-09-07 09:48:11:279,1,579,7,0,1520,9534,579,0 147,0,2024-09-07 09:48:11:702,146482,0.6,146143,0.7,292047,0.6,389961,2.25 147,1,2024-09-07 09:48:11:392,1018977,1018977,0,0,477724074103,4964751714,1010854,7176,947,367,391791,0 147,2,2024-09-07 09:48:11:012,733587,733587,0,0,29716457,0,2968 147,3,2024-09-07 09:48:10:913,1,579,1,0,1626,9090,579,0 0,0,2024-09-07 09:48:21:723,141871,0.6,141845,0.7,301071,0.6,389269,2.00 0,1,2024-09-07 09:48:20:801,1017108,1017108,0,0,477505817833,4999651161,1008845,7334,929,369,391896,0 0,2,2024-09-07 09:48:21:078,733366,733366,0,0,30088120,0,4480 0,3,2024-09-07 09:48:20:991,1,580,0,0,431,8599,580,0 1,0,2024-09-07 09:48:21:784,146540,0.9,145530,0.9,292701,1.0,390732,2.00 1,1,2024-09-07 09:48:20:561,1016206,1016206,0,0,477346516017,5001525699,1006796,7920,1490,370,391859,0 1,2,2024-09-07 09:48:20:652,734321,734321,0,0,29428893,0,3380 1,3,2024-09-07 09:48:21:313,1,580,7,0,269,7078,580,0 2,0,2024-09-07 09:48:21:585,143081,0.6,142902,0.7,285701,0.6,381401,2.00 2,1,2024-09-07 09:48:20:858,1019661,1019661,0,0,479470196821,4986241563,1013572,5140,949,379,391745,0 2,2,2024-09-07 09:48:21:274,736656,736656,0,0,28476922,0,3594 2,3,2024-09-07 09:48:20:690,1,580,8,0,357,5205,580,0 3,0,2024-09-07 09:48:21:754,144629,0.4,144346,0.6,288232,0.4,384973,2.00 3,1,2024-09-07 09:48:21:622,1018092,1018092,0,0,477945099222,4972336517,1010517,6872,703,379,391716,0 3,2,2024-09-07 09:48:21:146,732510,732487,23,0,29344289,0,5851 3,3,2024-09-07 09:48:21:753,1,580,1,0,275,4048,580,0 4,0,2024-09-07 09:48:21:776,141038,0.4,144945,0.5,295543,0.3,386614,1.75 4,1,2024-09-07 09:48:20:591,1014293,1014293,0,0,475203855842,5034841743,996495,14047,3751,370,391992,0 4,2,2024-09-07 09:48:21:025,728997,728997,0,0,35951277,0,4534 4,3,2024-09-07 09:48:21:027,1,580,2,0,448,7272,580,0 5,0,2024-09-07 09:48:21:422,146920,0.4,146957,0.5,294112,0.3,390767,1.75 5,1,2024-09-07 09:48:20:761,1014340,1014340,0,0,477352662718,5043945143,998083,12133,4124,367,392005,0 5,2,2024-09-07 09:48:21:830,730647,730647,0,0,34920337,0,3582 5,3,2024-09-07 09:48:21:732,1,580,1,0,457,8060,580,0 6,0,2024-09-07 09:48:20:921,145176,0.5,144767,0.7,289742,0.4,385391,2.00 6,1,2024-09-07 09:48:20:749,1017614,1017614,0,0,477241379383,4988136780,1006618,9423,1573,379,391702,0 6,2,2024-09-07 09:48:21:126,736665,736647,18,0,34110674,0,5535 6,3,2024-09-07 09:48:21:286,1,580,2,0,710,7174,580,0 7,0,2024-09-07 09:48:21:544,141233,0.5,142204,0.7,282751,0.5,377365,2.00 7,1,2024-09-07 09:48:20:854,1015185,1015185,0,0,477585804137,5033192824,998866,12554,3765,382,391747,0 7,2,2024-09-07 09:48:20:773,733159,733159,0,0,32749188,0,4791 7,3,2024-09-07 09:48:20:850,1,580,485,0,552,6976,580,0 8,0,2024-09-07 09:48:21:410,146751,0.4,146495,0.5,293262,0.3,390456,1.75 8,1,2024-09-07 09:48:21:017,1014893,1014893,0,0,476632063327,5015291384,998638,12714,3541,366,392853,0 8,2,2024-09-07 09:48:20:790,726460,726458,2,0,38586546,0,5112 8,3,2024-09-07 09:48:20:585,1,580,7,0,772,9262,580,0 9,0,2024-09-07 09:48:21:127,147649,0.4,143667,0.5,300740,0.3,394289,1.75 9,1,2024-09-07 09:48:20:550,1014882,1014882,0,0,475600722932,5015373862,998871,12895,3116,369,392001,0 9,2,2024-09-07 09:48:21:103,731074,731073,1,0,35392291,0,5281 9,3,2024-09-07 09:48:21:763,1,580,6,0,1273,10005,580,0 10,0,2024-09-07 09:48:21:654,146310,0.4,145755,0.5,292761,0.3,390029,1.75 10,1,2024-09-07 09:48:20:583,1016527,1016527,0,0,477141750482,5007941731,1001359,12140,3028,381,391981,0 10,2,2024-09-07 09:48:20:769,735550,735550,0,0,38909321,0,4713 10,3,2024-09-07 09:48:20:872,1,580,8,0,669,6712,580,0 11,0,2024-09-07 09:48:21:062,141632,0.5,137435,0.6,287356,0.4,378627,1.75 11,1,2024-09-07 09:48:20:730,1017891,1017891,0,0,477963906697,5015406146,1002654,11096,4141,383,391766,0 11,2,2024-09-07 09:48:21:146,734324,734324,0,0,34741977,0,4698 11,3,2024-09-07 09:48:21:308,1,580,11,0,843,7514,580,0 12,0,2024-09-07 09:48:20:959,148414,0.4,148434,0.5,296441,0.4,394893,1.75 12,1,2024-09-07 09:48:20:933,1017754,1017754,0,0,477255186453,4978815911,1009466,7286,1002,370,391960,0 12,2,2024-09-07 09:48:21:542,729591,729591,0,0,32920931,0,4390 12,3,2024-09-07 09:48:21:073,1,580,11,0,386,7398,580,0 13,0,2024-09-07 09:48:21:373,147688,0.4,147771,0.5,294610,0.4,392881,1.75 13,1,2024-09-07 09:48:21:524,1015162,1015162,0,0,476421035082,5007539138,1004805,8050,2307,382,391768,0 13,2,2024-09-07 09:48:20:595,733593,733593,0,0,29324686,0,3287 13,3,2024-09-07 09:48:21:778,1,580,1,0,522,7841,580,0 14,0,2024-09-07 09:48:20:584,146837,0.4,147873,0.6,293445,0.3,390799,1.75 14,1,2024-09-07 09:48:21:561,1022710,1022710,0,0,479508517597,4961476095,1014856,6882,972,364,391673,0 14,2,2024-09-07 09:48:20:764,735655,735625,30,0,30889802,0,6104 14,3,2024-09-07 09:48:21:122,1,580,9,0,1168,6469,580,0 15,0,2024-09-07 09:48:21:568,141933,0.4,141068,0.6,283433,0.4,377802,2.00 15,1,2024-09-07 09:48:21:608,1019382,1019382,0,0,478799656197,4988022770,1010348,7121,1913,381,391619,0 15,2,2024-09-07 09:48:21:002,738042,738042,0,0,27074493,0,3622 15,3,2024-09-07 09:48:21:408,1,580,1,0,1126,7723,580,0 16,0,2024-09-07 09:48:21:019,145359,0.6,146083,0.7,291553,0.6,388423,2.00 16,1,2024-09-07 09:48:20:562,1019504,1019504,0,0,477639509809,4995340780,1010232,7832,1440,370,392194,0 16,2,2024-09-07 09:48:21:437,730091,730091,0,0,30582653,0,4719 16,3,2024-09-07 09:48:21:158,1,580,8,0,358,7450,580,0 17,0,2024-09-07 09:48:21:805,149859,0.6,146103,0.8,286302,0.6,388946,2.00 17,1,2024-09-07 09:48:20:572,1017349,1017349,0,0,476928010498,5004976685,1007168,8413,1768,368,392075,0 17,2,2024-09-07 09:48:21:667,734716,734715,1,0,31854358,0,5050 17,3,2024-09-07 09:48:20:574,1,580,18,0,518,8687,580,0 18,0,2024-09-07 09:48:20:959,145070,0.7,146032,0.8,290684,0.7,388105,2.25 18,1,2024-09-07 09:48:21:638,1021389,1021389,0,0,478229185573,4962047632,1014342,5790,1257,367,391725,0 18,2,2024-09-07 09:48:21:755,736444,736444,0,0,28235360,0,3541 18,3,2024-09-07 09:48:20:898,1,580,12,0,1059,5458,580,0 19,0,2024-09-07 09:48:21:541,143164,0.6,143323,0.8,285562,0.6,379805,2.00 19,1,2024-09-07 09:48:20:571,1021011,1021011,0,0,479962922907,4975996159,1013337,6541,1133,367,391777,0 19,2,2024-09-07 09:48:21:752,739602,739602,0,0,26884034,0,3988 19,3,2024-09-07 09:48:21:134,1,580,1,0,524,4537,580,0 20,0,2024-09-07 09:48:21:414,143058,0.6,142902,0.7,285849,0.6,381629,2.00 20,1,2024-09-07 09:48:20:580,1016900,1016900,0,0,477847129907,5009589991,1006348,9047,1505,369,391922,0 20,2,2024-09-07 09:48:20:927,731521,731521,0,0,33465332,0,4321 20,3,2024-09-07 09:48:20:594,1,580,31,0,468,9545,580,0 21,0,2024-09-07 09:48:21:190,145835,0.5,145848,0.6,291738,0.4,387374,2.00 21,1,2024-09-07 09:48:21:600,1015100,1015100,0,0,476672261169,5035264806,998587,12723,3790,368,392016,0 21,2,2024-09-07 09:48:21:071,726393,725916,477,0,44059957,0,17074 21,3,2024-09-07 09:48:21:404,1,580,1,0,713,8126,580,0 22,0,2024-09-07 09:48:21:721,147298,0.5,147917,0.7,295054,0.4,391331,2.00 22,1,2024-09-07 09:48:21:023,1016069,1016069,0,0,476408125876,5018941366,998302,14227,3540,382,391822,0 22,2,2024-09-07 09:48:20:762,731170,731144,26,0,31949281,0,6328 22,3,2024-09-07 09:48:21:073,1,580,11,0,228,4933,580,0 23,0,2024-09-07 09:48:21:371,145537,0.5,145266,0.7,290483,0.5,387296,2.25 23,1,2024-09-07 09:48:21:003,1015837,1015837,0,0,478210666783,5044535064,996437,12822,6578,365,391690,0 23,2,2024-09-07 09:48:21:098,737076,737076,0,0,30797388,0,3773 23,3,2024-09-07 09:48:21:754,1,580,1,0,855,8791,580,0 24,0,2024-09-07 09:48:20:829,142832,0.4,142046,0.6,285901,0.4,379807,1.75 24,1,2024-09-07 09:48:20:586,1016179,1016179,0,0,476644495928,4992280097,1006210,8260,1709,367,392269,0 24,2,2024-09-07 09:48:21:078,734175,734175,0,0,36894618,0,4438 24,3,2024-09-07 09:48:21:690,1,580,1,0,468,7531,580,0 25,0,2024-09-07 09:48:21:411,151340,0.5,147208,0.6,289237,0.4,393691,2.00 25,1,2024-09-07 09:48:20:575,1014963,1014963,0,0,476767771272,5038749990,997018,14334,3611,369,391928,0 25,2,2024-09-07 09:48:21:606,728730,728730,0,0,37288277,0,3978 25,3,2024-09-07 09:48:21:000,1,580,1,0,532,6427,580,0 26,0,2024-09-07 09:48:21:728,146518,0.4,143317,0.6,300918,0.4,391904,2.00 26,1,2024-09-07 09:48:21:546,1018765,1018765,0,0,476923329159,4995180606,1004859,11416,2490,380,391748,0 26,2,2024-09-07 09:48:20:860,730989,730989,0,0,40230793,0,4689 26,3,2024-09-07 09:48:21:713,1,580,99,0,796,6798,580,0 27,0,2024-09-07 09:48:21:735,146497,0.4,147226,0.6,292648,0.4,390273,2.25 27,1,2024-09-07 09:48:21:678,1019094,1019094,0,0,478970961491,4990779610,1009529,8267,1298,381,391626,0 27,2,2024-09-07 09:48:20:867,732157,732092,65,0,35228084,0,5699 27,3,2024-09-07 09:48:21:016,1,580,1,0,564,5163,580,0 28,0,2024-09-07 09:48:21:426,142478,0.4,142404,0.6,285041,0.3,379966,1.75 28,1,2024-09-07 09:48:20:811,1020089,1020089,0,0,478940100901,4991423834,1011712,6640,1737,382,391698,0 28,2,2024-09-07 09:48:21:769,736594,736594,0,0,30197865,0,2915 28,3,2024-09-07 09:48:21:787,1,580,2,0,502,5687,580,0 29,0,2024-09-07 09:48:21:394,150461,0.4,146465,0.6,287619,0.3,391849,1.75 29,1,2024-09-07 09:48:21:561,1023101,1023101,0,0,479328834744,4957143695,1017009,5318,774,367,391809,0 29,2,2024-09-07 09:48:20:861,730697,730697,0,0,28976978,0,4986 29,3,2024-09-07 09:48:20:977,1,580,1,0,459,6447,580,0 30,0,2024-09-07 09:48:21:471,145122,0.5,141386,0.7,296117,0.4,387126,2.00 30,1,2024-09-07 09:48:20:572,1021723,1021723,0,0,479850551898,4978245837,1014488,6328,907,380,391672,0 30,2,2024-09-07 09:48:21:277,732961,732961,0,0,27827099,0,4192 30,3,2024-09-07 09:48:20:582,1,580,7,0,519,5498,580,0 31,0,2024-09-07 09:48:21:771,146328,0.4,147052,0.6,293099,0.4,391433,2.00 31,1,2024-09-07 09:48:20:570,1025264,1025264,0,0,481318356301,4941838122,1019261,4974,1029,356,391712,0 31,2,2024-09-07 09:48:21:280,734116,734116,0,0,31442513,0,4470 31,3,2024-09-07 09:48:21:710,1,580,0,0,239,4999,580,0 32,0,2024-09-07 09:48:21:421,143489,0.3,144366,0.5,287050,0.3,382825,1.75 32,1,2024-09-07 09:48:20:810,1021259,1021259,0,0,478971404406,4978478842,1015087,5456,716,381,391646,0 32,2,2024-09-07 09:48:20:952,738417,738417,0,0,27828150,0,3922 32,3,2024-09-07 09:48:21:017,1,580,0,0,304,4623,580,0 33,0,2024-09-07 09:48:21:504,145093,0.3,144749,0.4,289749,0.2,385998,1.50 33,1,2024-09-07 09:48:20:590,1021303,1021303,0,0,480403139228,4978090602,1012881,7150,1272,368,391730,0 33,2,2024-09-07 09:48:20:760,732443,732408,35,0,30654106,0,7012 33,3,2024-09-07 09:48:20:898,1,580,36,0,329,5128,580,0 34,0,2024-09-07 09:48:20:940,145537,0.3,149480,0.5,285956,0.2,385781,1.75 34,1,2024-09-07 09:48:21:044,1023568,1023568,0,0,480524217022,4944437559,1020609,2849,110,367,391637,0 34,2,2024-09-07 09:48:20:782,733491,733491,0,0,28944477,0,4562 34,3,2024-09-07 09:48:21:691,1,580,12,0,541,5396,580,0 35,0,2024-09-07 09:48:20:867,145986,0.3,146809,0.5,294608,0.2,391261,1.75 35,1,2024-09-07 09:48:21:074,1019885,1019885,0,0,478764081059,4970855575,1011809,6553,1523,382,391769,0 35,2,2024-09-07 09:48:21:583,733355,733355,0,0,31884447,0,4055 35,3,2024-09-07 09:48:20:912,1,580,10,0,466,5539,580,0 36,0,2024-09-07 09:48:21:556,145404,0.5,145360,0.7,290245,0.5,386242,2.00 36,1,2024-09-07 09:48:20:583,1018084,1018084,0,0,477824627429,4993743269,1004534,11310,2240,366,391759,0 36,2,2024-09-07 09:48:21:751,736862,736862,0,0,33606588,0,3875 36,3,2024-09-07 09:48:20:863,1,580,1,0,556,8181,580,0 37,0,2024-09-07 09:48:21:416,141249,0.6,141246,0.7,282956,0.5,377604,2.25 37,1,2024-09-07 09:48:20:582,1018118,1018111,0,7,477989094395,4994482772,1005587,9614,2910,365,391770,0 37,2,2024-09-07 09:48:21:144,731026,731011,15,0,33763545,0,5815 37,3,2024-09-07 09:48:21:765,1,580,1,0,888,7934,580,0 38,0,2024-09-07 09:48:21:474,145525,0.5,141080,0.7,295067,0.4,386385,2.00 38,1,2024-09-07 09:48:21:606,1018127,1018127,0,0,478483605775,5009442342,1002820,12308,2999,368,391821,0 38,2,2024-09-07 09:48:20:766,730459,730412,47,0,33814061,0,6710 38,3,2024-09-07 09:48:21:000,1,580,9,0,689,7340,580,0 39,0,2024-09-07 09:48:21:774,150982,0.5,147641,0.7,287890,0.5,393109,2.00 39,1,2024-09-07 09:48:20:718,1017596,1017596,0,0,477827200835,5013870199,1000308,13587,3701,365,391865,0 39,2,2024-09-07 09:48:21:421,732207,732207,0,0,31714916,0,3391 39,3,2024-09-07 09:48:20:718,1,580,9,0,525,6642,580,0 40,0,2024-09-07 09:48:21:502,145065,0.9,145686,1.0,290804,1.0,388156,2.75 40,1,2024-09-07 09:48:20:585,1018799,1018799,0,0,477428197928,5003366593,1003895,12064,2840,368,391668,0 40,2,2024-09-07 09:48:21:307,733589,733588,1,0,37464926,0,5137 40,3,2024-09-07 09:48:21:159,1,580,5,0,1028,8686,580,0 41,0,2024-09-07 09:48:21:034,140914,1.4,144166,1.2,274872,2.0,373751,3.25 41,1,2024-09-07 09:48:20:773,1017513,1017513,0,0,477284759994,4996382744,1004075,11298,2140,369,391878,0 41,2,2024-09-07 09:48:20:760,732110,732109,1,0,36147683,0,5408 41,3,2024-09-07 09:48:21:678,1,580,12,0,366,6298,580,0 42,0,2024-09-07 09:48:21:533,146460,1.0,146203,1.1,292111,1.1,388166,2.75 42,1,2024-09-07 09:48:21:455,1015112,1015112,0,0,476631999918,5010726317,999209,12973,2930,380,391675,0 42,2,2024-09-07 09:48:21:146,728704,728703,1,0,36060975,0,5513 42,3,2024-09-07 09:48:21:009,1,580,10,0,892,5543,580,0 43,0,2024-09-07 09:48:20:970,145359,0.7,141524,0.9,296531,0.8,387860,2.25 43,1,2024-09-07 09:48:20:576,1018757,1018757,0,0,478367350366,5001365085,1004440,11836,2481,366,391696,0 43,2,2024-09-07 09:48:21:738,732081,732081,0,0,34545240,0,4723 43,3,2024-09-07 09:48:21:759,1,580,0,0,571,8649,580,0 44,0,2024-09-07 09:48:20:878,147068,0.4,146989,0.6,294480,0.3,391255,1.75 44,1,2024-09-07 09:48:20:569,1021166,1021166,0,0,479002675364,4952118981,1012028,7199,1939,356,391809,0 44,2,2024-09-07 09:48:21:288,733298,733298,0,0,27846908,0,4344 44,3,2024-09-07 09:48:21:093,1,580,1,0,1097,7273,580,0 45,0,2024-09-07 09:48:21:766,140406,0.5,137232,0.7,287854,0.4,377931,2.00 45,1,2024-09-07 09:48:21:005,1020018,1020018,0,0,479239142459,4980648251,1011829,7286,903,382,391917,0 45,2,2024-09-07 09:48:21:302,737245,737245,0,0,28358045,0,3596 45,3,2024-09-07 09:48:20:944,1,580,6,0,531,5675,580,0 46,0,2024-09-07 09:48:20:961,144901,0.5,144536,0.7,289926,0.5,385477,2.00 46,1,2024-09-07 09:48:20:576,1022401,1022401,0,0,480225871083,4963021698,1015315,6222,864,366,391709,0 46,2,2024-09-07 09:48:20:592,731710,731710,0,0,28894533,0,4443 46,3,2024-09-07 09:48:21:146,1,580,10,0,908,7091,580,0 47,0,2024-09-07 09:48:21:103,145687,0.4,145597,0.6,292436,0.4,387402,2.00 47,1,2024-09-07 09:48:20:572,1023191,1023191,0,0,480230651689,4961151356,1017345,4992,854,365,391641,0 47,2,2024-09-07 09:48:20:912,735454,735454,0,0,27965165,0,4477 47,3,2024-09-07 09:48:21:121,1,580,2,0,600,6542,580,0 48,0,2024-09-07 09:48:21:502,146753,0.3,146944,0.4,293315,0.2,389918,1.50 48,1,2024-09-07 09:48:21:024,1020746,1020746,0,0,478776412435,4973446560,1014673,5495,578,383,391710,0 48,2,2024-09-07 09:48:20:698,734573,734573,0,0,26639529,0,3524 48,3,2024-09-07 09:48:20:762,1,580,7,0,339,4855,580,0 49,0,2024-09-07 09:48:21:765,147544,0.3,144633,0.5,281409,0.3,383875,1.75 49,1,2024-09-07 09:48:21:022,1019597,1019597,0,0,478671647127,4980222241,1012456,5447,1694,382,391809,0 49,2,2024-09-07 09:48:21:801,738034,738034,0,0,28928694,0,4426 49,3,2024-09-07 09:48:21:416,1,580,1,0,992,7058,580,0 50,0,2024-09-07 09:48:21:529,143824,0.3,142131,0.5,286281,0.2,381632,1.75 50,1,2024-09-07 09:48:21:010,1022886,1022886,0,0,480451133119,4967031052,1016484,5733,669,368,391691,0 50,2,2024-09-07 09:48:21:074,731974,731974,0,0,26504619,0,4490 50,3,2024-09-07 09:48:21:298,1,580,9,0,617,6085,580,0 51,0,2024-09-07 09:48:21:700,149610,0.3,146628,0.5,285364,0.2,388879,1.75 51,1,2024-09-07 09:48:21:683,1023626,1023626,0,0,481169953339,4963164358,1017972,4574,1080,365,391706,0 51,2,2024-09-07 09:48:21:319,732683,732683,0,0,26207194,0,3337 51,3,2024-09-07 09:48:21:027,1,580,0,0,678,4112,580,0 52,0,2024-09-07 09:48:21:422,147931,0.5,147616,0.7,295521,0.4,392257,2.00 52,1,2024-09-07 09:48:20:575,1018250,1018250,0,0,477934686125,5005713137,1003278,12642,2330,368,391805,0 52,2,2024-09-07 09:48:21:756,729526,729488,38,0,35608937,0,6742 52,3,2024-09-07 09:48:20:675,1,580,1,0,1782,7050,580,0 53,0,2024-09-07 09:48:21:770,145263,0.7,140963,0.8,294854,0.7,386430,2.50 53,1,2024-09-07 09:48:20:772,1016795,1016795,0,0,477436666111,5015765709,998616,13784,4395,367,391968,0 53,2,2024-09-07 09:48:21:297,735958,735957,1,0,32359539,0,5455 53,3,2024-09-07 09:48:20:698,1,580,1,0,308,5556,580,0 54,0,2024-09-07 09:48:21:666,140255,0.6,140588,0.8,279926,0.5,374229,2.25 54,1,2024-09-07 09:48:20:582,1019294,1019294,0,0,479192662920,4987019889,1008219,9405,1670,366,391810,0 54,2,2024-09-07 09:48:20:865,734917,734885,32,0,36033597,0,6397 54,3,2024-09-07 09:48:20:764,1,580,8,0,676,7709,580,0 55,0,2024-09-07 09:48:21:771,142046,0.7,146428,0.8,296875,0.6,386485,2.50 55,1,2024-09-07 09:48:20:764,1019840,1019840,0,0,478336002116,4978590755,1008985,9466,1389,365,391731,0 55,2,2024-09-07 09:48:20:733,729431,729375,56,0,33324678,0,7239 55,3,2024-09-07 09:48:20:674,1,580,1,0,304,5445,580,0 56,0,2024-09-07 09:48:21:562,149948,1.2,141379,1.1,291311,1.5,390334,2.75 56,1,2024-09-07 09:48:20:574,1013651,1013651,0,0,476315066943,5041110888,996000,13966,3685,381,391867,0 56,2,2024-09-07 09:48:21:310,730971,730849,122,0,34699167,0,7432 56,3,2024-09-07 09:48:21:073,1,580,85,0,705,6871,580,0 57,0,2024-09-07 09:48:20:946,144689,1.5,144564,1.2,289452,2.0,386637,3.25 57,1,2024-09-07 09:48:20:992,1015869,1015869,0,0,476914747455,5008664066,1001959,11516,2394,366,392032,0 57,2,2024-09-07 09:48:21:323,734341,734341,0,0,36758933,0,4804 57,3,2024-09-07 09:48:21:740,1,580,2,0,455,6719,580,0 58,0,2024-09-07 09:48:20:566,139976,1.0,135858,1.0,284339,1.3,372257,2.75 58,1,2024-09-07 09:48:20:575,1017762,1017759,0,3,478563056130,5018032274,1002388,11589,3782,367,391675,3 58,2,2024-09-07 09:48:21:078,736263,736263,0,0,34724009,0,3483 58,3,2024-09-07 09:48:21:087,1,580,20,0,1043,6594,580,0 59,0,2024-09-07 09:48:21:758,145255,0.8,144799,1.0,289406,0.9,384460,2.75 59,1,2024-09-07 09:48:20:805,1016222,1016222,0,0,477184118854,5019018215,998611,13976,3635,369,391653,0 59,2,2024-09-07 09:48:20:583,730337,730337,0,0,32439399,0,3727 59,3,2024-09-07 09:48:21:740,1,580,1,0,1015,6965,580,0 60,0,2024-09-07 09:48:21:745,145794,0.5,146100,0.7,292069,0.5,389463,1.75 60,1,2024-09-07 09:48:20:777,1021439,1021439,0,0,479121764816,4976936194,1014192,6250,997,370,392031,0 60,2,2024-09-07 09:48:21:173,732783,732783,0,0,30442243,0,3811 60,3,2024-09-07 09:48:21:277,1,580,1,0,409,6624,580,0 61,0,2024-09-07 09:48:21:500,146538,0.6,147114,0.8,292779,0.6,390724,2.00 61,1,2024-09-07 09:48:20:781,1017871,1017871,0,0,477571973009,5000052603,1007090,9120,1661,382,392127,0 61,2,2024-09-07 09:48:21:123,734913,734846,67,0,31476223,0,6411 61,3,2024-09-07 09:48:21:690,1,580,2,0,607,7769,580,0 62,0,2024-09-07 09:48:21:742,143732,0.6,147415,0.7,281433,0.6,382795,2.00 62,1,2024-09-07 09:48:21:111,1024602,1024596,0,6,480796817437,4955921651,1019926,4386,284,365,391975,6 62,2,2024-09-07 09:48:21:652,734502,734501,1,0,31528347,0,5555 62,3,2024-09-07 09:48:21:390,1,580,12,0,482,4730,580,0 63,0,2024-09-07 09:48:21:458,145090,0.4,144901,0.6,290495,0.3,385991,1.75 63,1,2024-09-07 09:48:20:803,1020771,1020765,0,6,479313917839,4976849330,1014122,5788,855,381,391800,6 63,2,2024-09-07 09:48:20:762,731925,731925,0,0,29589475,0,4369 63,3,2024-09-07 09:48:21:732,1,580,1,0,667,6113,580,0 64,0,2024-09-07 09:48:21:581,144570,0.5,144619,0.7,288460,0.4,384211,2.00 64,1,2024-09-07 09:48:20:750,1019765,1019765,0,0,479869589874,4999425493,1010443,7346,1976,370,391794,0 64,2,2024-09-07 09:48:21:151,736364,736345,19,0,28824199,0,6121 64,3,2024-09-07 09:48:21:163,1,580,8,0,651,6231,580,0 65,0,2024-09-07 09:48:21:695,145804,0.6,146049,0.7,291738,0.6,388673,2.00 65,1,2024-09-07 09:48:20:861,1018123,1018123,0,0,477704526096,4993658048,1010720,6530,873,381,391901,0 65,2,2024-09-07 09:48:21:693,732499,732499,0,0,34410547,0,3367 65,3,2024-09-07 09:48:21:688,1,580,1,0,782,6517,580,0 66,0,2024-09-07 09:48:21:779,144484,0.5,143985,0.8,288254,0.5,383294,2.25 66,1,2024-09-07 09:48:21:299,1020645,1020645,0,0,479054298027,4985923247,1014149,5774,722,380,391743,0 66,2,2024-09-07 09:48:21:137,739323,739320,3,0,30882835,0,5455 66,3,2024-09-07 09:48:21:090,1,580,8,0,291,5001,580,0 67,0,2024-09-07 09:48:21:418,141708,0.5,141420,0.7,283920,0.5,378062,2.00 67,1,2024-09-07 09:48:20:770,1019637,1019636,0,1,479523792074,4993292319,1012344,6349,943,380,391787,1 67,2,2024-09-07 09:48:20:583,736206,736191,15,0,30669500,0,6205 67,3,2024-09-07 09:48:21:755,1,580,4,0,595,6131,580,0 68,0,2024-09-07 09:48:20:566,146479,0.6,146331,0.8,291323,0.6,389642,2.25 68,1,2024-09-07 09:48:20:570,1015784,1015784,0,0,476951806218,5018607927,1002007,10247,3530,381,391953,0 68,2,2024-09-07 09:48:21:049,727227,727127,100,0,38921916,0,8578 68,3,2024-09-07 09:48:20:732,1,580,4,0,417,7662,580,0 69,0,2024-09-07 09:48:21:828,147045,0.7,147879,0.8,294824,0.7,391851,2.25 69,1,2024-09-07 09:48:21:017,1014820,1014820,0,0,476271675493,5023210992,1000971,11018,2831,383,391994,0 69,2,2024-09-07 09:48:21:739,729739,729710,29,0,41019107,0,6912 69,3,2024-09-07 09:48:20:764,1,580,4,0,698,8554,580,0 70,0,2024-09-07 09:48:21:538,144828,0.8,145295,0.9,292166,0.7,387092,2.50 70,1,2024-09-07 09:48:20:801,1021242,1021242,0,0,480198481182,4984753340,1013336,7100,806,366,391725,0 70,2,2024-09-07 09:48:21:334,735120,735120,0,0,34256530,0,4323 70,3,2024-09-07 09:48:20:747,1,580,8,0,854,6549,580,0 71,0,2024-09-07 09:48:21:362,140302,1.1,140083,1.1,281471,1.5,375649,2.75 71,1,2024-09-07 09:48:21:599,1018896,1018896,0,0,478384093468,4997136038,1005911,11364,1621,368,391738,0 71,2,2024-09-07 09:48:21:079,734675,734675,0,0,34431814,0,4352 71,3,2024-09-07 09:48:21:758,1,580,1,0,644,6653,580,0 72,0,2024-09-07 09:48:21:032,152162,0.6,148760,0.7,290219,0.5,395498,2.00 72,1,2024-09-07 09:48:21:021,1016913,1016913,0,0,477450459791,5009825889,1002260,12155,2498,369,391819,0 72,2,2024-09-07 09:48:21:760,728660,728660,0,0,35686303,0,3983 72,3,2024-09-07 09:48:21:756,1,580,6,0,564,8492,580,0 73,0,2024-09-07 09:48:21:112,143083,0.4,146787,0.6,300090,0.4,390573,2.00 73,1,2024-09-07 09:48:20:833,1019064,1019064,0,0,478560720191,4976422616,1010993,7115,956,367,391858,0 73,2,2024-09-07 09:48:21:741,731634,731633,1,0,37050821,0,5027 73,3,2024-09-07 09:48:20:977,1,580,1,0,1091,8266,580,0 74,0,2024-09-07 09:48:21:342,147861,0.5,151336,0.7,288754,0.4,391516,2.00 74,1,2024-09-07 09:48:20:636,1017970,1017970,0,0,477982395209,4990815143,1006748,9244,1978,381,391762,0 74,2,2024-09-07 09:48:21:003,733481,733481,0,0,32422263,0,4253 74,3,2024-09-07 09:48:21:443,1,580,12,0,522,7034,580,0 75,0,2024-09-07 09:48:21:785,142500,0.5,141419,0.7,283972,0.5,379436,2.25 75,1,2024-09-07 09:48:21:585,1019495,1019495,0,0,477938556742,4982347821,1011268,7361,866,380,391739,0 75,2,2024-09-07 09:48:21:352,734972,734972,0,0,39363938,0,4766 75,3,2024-09-07 09:48:21:073,1,580,8,0,702,7147,580,0 76,0,2024-09-07 09:48:20:584,145077,0.5,144502,0.7,289082,0.5,386872,2.25 76,1,2024-09-07 09:48:20:818,1018986,1018986,0,0,478262989978,4986588676,1012049,5967,970,382,391790,0 76,2,2024-09-07 09:48:21:077,732964,732961,3,0,31495705,0,5265 76,3,2024-09-07 09:48:21:150,1,580,1,0,227,5190,580,0 77,0,2024-09-07 09:48:21:709,144966,0.5,145519,0.7,290885,0.5,386583,2.00 77,1,2024-09-07 09:48:20:835,1018505,1018505,0,0,478726735269,4994428499,1010755,6876,874,381,391869,0 77,2,2024-09-07 09:48:21:296,731780,731780,0,0,30728533,0,3890 77,3,2024-09-07 09:48:21:096,1,580,9,0,401,6402,580,0 78,0,2024-09-07 09:48:21:730,146972,0.4,146428,0.6,293421,0.4,388588,2.00 78,1,2024-09-07 09:48:20:613,1019370,1019370,0,0,478160518909,4985920602,1007474,9229,2667,367,391670,0 78,2,2024-09-07 09:48:21:404,735537,735524,13,0,28841178,0,8313 78,3,2024-09-07 09:48:21:146,1,580,11,0,311,4970,580,0 79,0,2024-09-07 09:48:21:411,138705,0.4,142027,0.6,291038,0.3,378612,2.00 79,1,2024-09-07 09:48:20:629,1022406,1022406,0,0,480114672147,4971076925,1014612,6434,1360,367,391682,0 79,2,2024-09-07 09:48:21:078,737279,737279,0,0,28071785,0,4195 79,3,2024-09-07 09:48:20:749,1,580,5,0,418,6907,580,0 80,0,2024-09-07 09:48:21:111,142999,0.5,146770,0.7,280872,0.5,380628,2.00 80,1,2024-09-07 09:48:21:623,1019358,1019358,0,0,478372448953,4981140529,1012737,6186,435,368,392269,0 80,2,2024-09-07 09:48:21:096,734774,734774,0,0,28676009,0,4433 80,3,2024-09-07 09:48:20:580,1,580,11,0,681,7291,580,0 81,0,2024-09-07 09:48:21:546,145758,0.6,149021,0.7,284689,0.5,386171,2.00 81,1,2024-09-07 09:48:21:653,1017792,1017792,0,0,477301086411,4989905935,1009661,7368,763,382,391885,0 81,2,2024-09-07 09:48:21:134,730813,730750,63,0,31711600,0,5932 81,3,2024-09-07 09:48:21:122,1,580,1,0,719,6924,580,0 82,0,2024-09-07 09:48:21:536,147275,0.5,147392,0.7,295480,0.4,391313,2.00 82,1,2024-09-07 09:48:20:583,1020728,1020724,0,4,478474244519,4974327287,1015163,4738,823,381,391768,4 82,2,2024-09-07 09:48:21:691,735279,735279,0,0,26780069,0,4484 82,3,2024-09-07 09:48:21:759,1,580,13,0,363,5574,580,0 83,0,2024-09-07 09:48:21:524,145928,0.6,145938,0.7,291188,0.6,386625,2.25 83,1,2024-09-07 09:48:20:551,1018509,1018509,0,0,478371904019,4987633428,1011105,6760,644,382,391709,0 83,2,2024-09-07 09:48:20:764,736648,736623,25,0,28984003,0,5612 83,3,2024-09-07 09:48:20:749,1,580,1,0,1260,7028,580,0 84,0,2024-09-07 09:48:21:814,140312,0.8,140493,0.9,280858,0.8,375736,2.50 84,1,2024-09-07 09:48:21:039,1016848,1016848,0,0,478013286526,5002101108,1004807,10370,1671,367,391967,0 84,2,2024-09-07 09:48:20:571,733128,732718,410,0,42925794,0,17037 84,3,2024-09-07 09:48:21:392,1,580,1,0,908,7922,580,0 85,0,2024-09-07 09:48:21:011,141253,0.7,141236,0.8,299870,0.7,386835,2.25 85,1,2024-09-07 09:48:20:560,1014322,1014322,0,0,476366608084,5034562831,998032,13495,2795,381,392092,0 85,2,2024-09-07 09:48:20:864,729383,729383,0,0,35721179,0,4255 85,3,2024-09-07 09:48:20:698,1,580,6,0,789,6795,580,0 86,0,2024-09-07 09:48:20:904,146985,0.7,151022,0.8,288853,0.7,391077,2.25 86,1,2024-09-07 09:48:20:827,1016904,1016904,0,0,478218472686,5018651099,1003098,11121,2685,366,392169,0 86,2,2024-09-07 09:48:20:854,730674,730673,1,0,38140766,0,5004 86,3,2024-09-07 09:48:20:591,1,580,16,0,308,8204,580,0 87,0,2024-09-07 09:48:21:304,146552,0.8,146013,0.8,292029,1.0,389993,2.25 87,1,2024-09-07 09:48:20:554,1016518,1016518,0,0,478198777889,5013730994,1003152,11570,1796,366,392076,0 87,2,2024-09-07 09:48:21:074,733555,733549,6,0,34743836,0,6323 87,3,2024-09-07 09:48:21:797,1,580,1,0,473,8483,580,0 88,0,2024-09-07 09:48:21:450,142196,0.5,142513,0.6,285146,0.4,380029,2.00 88,1,2024-09-07 09:48:20:570,1015718,1015718,0,0,477182646898,5003268993,1001105,11648,2965,365,392084,0 88,2,2024-09-07 09:48:20:687,735274,735274,0,0,37533602,0,4465 88,3,2024-09-07 09:48:21:274,1,580,16,0,1080,9149,580,0 89,0,2024-09-07 09:48:21:794,150567,0.4,146109,0.6,288212,0.4,391871,1.75 89,1,2024-09-07 09:48:20:550,1014950,1014950,0,0,478149063814,5030741872,1002177,10830,1943,382,391866,0 89,2,2024-09-07 09:48:21:162,729688,729688,0,0,34750255,0,3173 89,3,2024-09-07 09:48:21:793,1,580,23,0,468,9855,580,0 90,0,2024-09-07 09:48:21:641,141589,0.5,145430,0.6,296801,0.4,387568,2.00 90,1,2024-09-07 09:48:20:590,1017311,1017311,0,0,477965494479,5007883103,1007376,9045,890,380,391825,0 90,2,2024-09-07 09:48:21:407,729162,729162,0,0,37755505,0,3608 90,3,2024-09-07 09:48:20:951,1,580,12,0,322,7202,580,0 91,0,2024-09-07 09:48:20:991,147030,0.4,142692,0.6,298484,0.4,391392,1.75 91,1,2024-09-07 09:48:20:571,1014574,1014574,0,0,477484605937,5032529131,999348,12159,3067,381,392047,0 91,2,2024-09-07 09:48:21:335,733793,733793,0,0,33532151,0,4713 91,3,2024-09-07 09:48:20:597,1,580,11,0,216,5326,580,0 92,0,2024-09-07 09:48:21:455,144836,0.5,148122,0.6,282432,0.5,383005,1.75 92,1,2024-09-07 09:48:20:582,1018540,1018540,0,0,478382369747,4995832661,1010699,6630,1211,381,392136,0 92,2,2024-09-07 09:48:21:355,736788,736788,0,0,29560013,0,3259 92,3,2024-09-07 09:48:21:011,1,580,2,0,167,4970,580,0 93,0,2024-09-07 09:48:20:977,145372,0.4,148976,0.6,284449,0.4,386183,1.75 93,1,2024-09-07 09:48:20:805,1018675,1018675,0,0,478336255636,4993752070,1007256,9492,1927,366,391776,0 93,2,2024-09-07 09:48:20:928,731456,731456,0,0,35178830,0,4913 93,3,2024-09-07 09:48:21:406,1,580,17,0,294,5785,580,0 94,0,2024-09-07 09:48:21:616,144727,0.4,145782,0.5,291422,0.3,386964,1.75 94,1,2024-09-07 09:48:20:570,1019413,1019413,0,0,477689120975,4982555683,1012669,6314,430,381,391850,0 94,2,2024-09-07 09:48:20:761,732503,732475,28,0,29868749,0,6179 94,3,2024-09-07 09:48:21:692,1,580,8,0,576,7116,580,0 95,0,2024-09-07 09:48:21:400,146783,0.3,146880,0.5,293867,0.3,391214,1.75 95,1,2024-09-07 09:48:20:850,1020439,1020439,0,0,478589111117,4973858498,1012269,7466,704,365,391852,0 95,2,2024-09-07 09:48:21:017,732604,732604,0,0,29544543,0,3308 95,3,2024-09-07 09:48:21:711,1,580,13,0,718,8876,580,0 96,0,2024-09-07 09:48:21:047,144938,0.4,145316,0.5,290523,0.3,385531,1.75 96,1,2024-09-07 09:48:21:583,1018631,1018631,0,0,478336907938,4990224530,1011284,6075,1272,384,391964,0 96,2,2024-09-07 09:48:21:289,737231,737231,0,0,30717003,0,4180 96,3,2024-09-07 09:48:21:167,1,580,6,0,411,6864,580,0 97,0,2024-09-07 09:48:21:356,142035,0.3,141884,0.5,284613,0.3,377921,1.75 97,1,2024-09-07 09:48:20:781,1020285,1020285,0,0,479536204994,4976177617,1013727,5532,1026,367,392140,0 97,2,2024-09-07 09:48:20:613,734789,734789,0,0,30465263,0,4046 97,3,2024-09-07 09:48:20:569,1,580,0,0,242,6539,580,0 98,0,2024-09-07 09:48:21:712,146139,0.3,146146,0.5,293245,0.3,389727,1.50 98,1,2024-09-07 09:48:20:575,1019589,1019589,0,0,478240834224,4982600783,1013325,5441,823,381,391997,0 98,2,2024-09-07 09:48:20:772,731934,731934,0,0,29208861,0,4336 98,3,2024-09-07 09:48:20:707,1,580,13,0,840,8812,580,0 99,0,2024-09-07 09:48:21:471,147931,0.3,148684,0.5,295753,0.3,394504,1.75 99,1,2024-09-07 09:48:21:723,1020429,1020429,0,0,478176945188,4977631633,1014073,5416,940,380,392069,0 99,2,2024-09-07 09:48:21:417,732269,732269,0,0,35887151,0,4276 99,3,2024-09-07 09:48:20:583,1,580,6,0,1124,7461,580,0 100,0,2024-09-07 09:48:21:477,145988,0.8,146309,0.9,292361,0.9,390613,2.50 100,1,2024-09-07 09:48:20:551,1014223,1014223,0,0,475935359076,5036204688,998220,12691,3312,378,391989,0 100,2,2024-09-07 09:48:21:825,731732,731343,389,0,39908924,0,16909 100,3,2024-09-07 09:48:21:734,1,580,73,0,627,9997,580,0 101,0,2024-09-07 09:48:21:725,144634,1.3,141087,1.1,276083,1.5,377319,2.50 101,1,2024-09-07 09:48:20:550,1015594,1015594,0,0,476731623302,5012859903,1001673,11395,2526,368,391847,0 101,2,2024-09-07 09:48:21:759,730924,730924,0,0,39891846,0,4871 101,3,2024-09-07 09:48:20:945,1,580,44,0,1250,8915,580,0 102,0,2024-09-07 09:48:20:956,143457,0.7,147812,0.8,299977,0.7,392804,2.25 102,1,2024-09-07 09:48:21:169,1015863,1015863,0,0,476589053925,5010684795,1001767,11900,2196,369,391984,0 102,2,2024-09-07 09:48:21:739,731096,731042,54,0,33782355,0,6768 102,3,2024-09-07 09:48:21:613,1,580,8,0,466,6823,580,0 103,0,2024-09-07 09:48:21:614,151662,0.6,151669,0.7,285715,0.6,393722,2.00 103,1,2024-09-07 09:48:21:625,1014018,1014018,0,0,475895097047,5030369234,997279,13147,3592,381,392077,0 103,2,2024-09-07 09:48:20:582,729865,729865,0,0,36472373,0,3766 103,3,2024-09-07 09:48:20:763,1,580,1,0,916,7053,580,0 104,0,2024-09-07 09:48:21:055,145858,0.7,146065,0.9,291405,0.7,389683,2.25 104,1,2024-09-07 09:48:21:599,1017111,1017111,0,0,477298098758,5018529898,1001821,12449,2841,365,392168,0 104,2,2024-09-07 09:48:21:667,731567,731567,0,0,36047499,0,4161 104,3,2024-09-07 09:48:21:430,1,580,1,0,1245,10485,580,0 105,0,2024-09-07 09:48:21:071,140705,0.8,137019,1.0,287231,0.9,377370,2.50 105,1,2024-09-07 09:48:20:556,1018707,1018707,0,0,478131649650,5008762995,1006290,10605,1812,364,392009,0 105,2,2024-09-07 09:48:21:338,735344,735344,0,0,36276987,0,4360 105,3,2024-09-07 09:48:21:313,1,580,9,0,573,8918,580,0 106,0,2024-09-07 09:48:20:989,140692,0.9,143990,0.9,295051,1.0,385544,2.50 106,1,2024-09-07 09:48:21:750,1017062,1017062,0,0,477101994365,5010894027,1002509,12661,1892,368,391914,0 106,2,2024-09-07 09:48:20:761,729656,729656,0,0,33339401,0,3254 106,3,2024-09-07 09:48:20:677,1,580,8,0,1224,8343,580,0 107,0,2024-09-07 09:48:21:133,145145,1.0,145270,0.9,290606,1.2,387380,2.25 107,1,2024-09-07 09:48:20:618,1014362,1014362,0,0,475994979668,5032093944,997587,14853,1922,381,392234,0 107,2,2024-09-07 09:48:21:303,729565,729564,1,0,36435545,0,5024 107,3,2024-09-07 09:48:21:755,1,580,2,0,733,8960,580,0 108,0,2024-09-07 09:48:21:798,146374,0.4,146874,0.6,292779,0.4,390074,1.75 108,1,2024-09-07 09:48:21:304,1018479,1018479,0,0,479073012198,4997281371,1009966,7533,980,367,391894,0 108,2,2024-09-07 09:48:21:758,733674,733674,0,0,31822582,0,4246 108,3,2024-09-07 09:48:21:331,1,580,2,0,749,10742,580,0 109,0,2024-09-07 09:48:21:785,144324,0.4,143007,0.6,287118,0.3,383041,1.75 109,1,2024-09-07 09:48:20:588,1015705,1015705,0,0,477208434699,5005817875,1006808,7674,1223,382,392132,0 109,2,2024-09-07 09:48:20:926,735043,735043,0,0,32771695,0,3617 109,3,2024-09-07 09:48:21:154,1,580,9,0,630,7189,580,0 110,0,2024-09-07 09:48:21:824,143104,0.4,139083,0.6,291331,0.3,382580,1.75 110,1,2024-09-07 09:48:21:654,1020421,1020421,0,0,478898192988,4972656170,1012516,5981,1924,368,392045,0 110,2,2024-09-07 09:48:21:311,732930,732930,0,0,30159526,0,4067 110,3,2024-09-07 09:48:20:690,1,580,8,0,722,7957,580,0 111,0,2024-09-07 09:48:21:415,146604,0.4,145786,0.5,291573,0.3,388525,1.75 111,1,2024-09-07 09:48:21:002,1021876,1021876,0,0,480079296678,4977423912,1016987,4525,364,380,391690,0 111,2,2024-09-07 09:48:21:122,731985,731985,0,0,30454821,0,4823 111,3,2024-09-07 09:48:20:913,1,580,1,0,379,6453,580,0 112,0,2024-09-07 09:48:20:938,148274,0.3,147608,0.4,296029,0.2,392615,1.50 112,1,2024-09-07 09:48:20:838,1020279,1020279,0,0,479304133720,4979236488,1013087,5967,1225,380,391624,0 112,2,2024-09-07 09:48:21:142,732916,732915,1,0,29159685,0,5036 112,3,2024-09-07 09:48:20:593,1,580,1,0,282,5388,580,0 113,0,2024-09-07 09:48:20:887,146115,0.3,146082,0.5,292473,0.2,389102,1.75 113,1,2024-09-07 09:48:21:692,1022497,1022497,0,0,481019792833,4964253552,1016417,5134,946,365,391664,0 113,2,2024-09-07 09:48:21:311,738952,738952,0,0,27593109,0,3813 113,3,2024-09-07 09:48:20:684,1,580,1,0,510,6268,580,0 114,0,2024-09-07 09:48:20:909,142294,0.3,143253,0.5,284985,0.2,381334,1.75 114,1,2024-09-07 09:48:20:719,1020696,1020696,0,0,479103040668,4976274509,1012872,6078,1746,381,391565,0 114,2,2024-09-07 09:48:20:873,736684,736683,1,0,29002454,0,5069 114,3,2024-09-07 09:48:21:287,1,580,15,0,395,4622,580,0 115,0,2024-09-07 09:48:20:568,147873,0.3,148343,0.4,295373,0.2,392940,1.50 115,1,2024-09-07 09:48:20:571,1020754,1020754,0,0,479112567413,4979652642,1012510,6727,1517,382,391757,0 115,2,2024-09-07 09:48:21:162,732959,732959,0,0,28615981,0,4382 115,3,2024-09-07 09:48:21:002,1,580,4,0,167,3485,580,0 116,0,2024-09-07 09:48:21:719,146972,0.7,146696,0.8,294128,0.6,393285,2.00 116,1,2024-09-07 09:48:20:803,1015864,1015864,0,0,476425784634,5025712618,1003273,9502,3089,380,392089,0 116,2,2024-09-07 09:48:21:758,731708,731708,0,0,36442252,0,4475 116,3,2024-09-07 09:48:20:915,1,580,7,0,448,7681,580,0 117,0,2024-09-07 09:48:20:955,146820,0.7,146094,0.8,292683,0.7,391379,2.00 117,1,2024-09-07 09:48:21:578,1016965,1016965,0,0,476828748444,4997027353,1005357,10088,1520,369,392033,0 117,2,2024-09-07 09:48:21:124,736316,736316,0,0,33032761,0,4303 117,3,2024-09-07 09:48:21:073,1,580,9,0,490,7602,580,0 118,0,2024-09-07 09:48:21:846,137878,0.6,141626,0.7,289022,0.5,378134,2.00 118,1,2024-09-07 09:48:20:587,1015497,1015497,0,0,476907500502,5018894180,999750,12126,3621,366,392054,0 118,2,2024-09-07 09:48:21:585,735023,735023,0,0,35147994,0,2842 118,3,2024-09-07 09:48:21:763,1,580,17,0,289,6754,580,0 119,0,2024-09-07 09:48:21:345,145987,0.7,146634,0.8,292640,0.7,390050,2.25 119,1,2024-09-07 09:48:20:548,1016825,1016825,0,0,478306430050,5019878227,1002274,12040,2511,367,391857,0 119,2,2024-09-07 09:48:21:287,731292,731292,0,0,32871568,0,4309 119,3,2024-09-07 09:48:21:338,1,580,5,0,1358,10924,580,0 120,0,2024-09-07 09:48:21:542,145186,0.7,144949,0.8,291123,0.7,388341,2.25 120,1,2024-09-07 09:48:20:859,1018135,1018135,0,0,476912940560,5001165106,1007619,9532,984,367,392144,0 120,2,2024-09-07 09:48:20:772,730689,730686,3,0,39032760,0,5363 120,3,2024-09-07 09:48:21:294,1,580,3,0,279,7203,580,0 121,0,2024-09-07 09:48:21:715,146770,1.1,146234,1.0,292814,1.5,390254,2.25 121,1,2024-09-07 09:48:21:657,1017742,1017742,0,0,477402716578,4993754181,1007510,9378,854,366,391840,0 121,2,2024-09-07 09:48:21:169,733246,733246,0,0,35726684,0,4157 121,3,2024-09-07 09:48:20:732,1,580,280,0,387,7807,580,0 122,0,2024-09-07 09:48:21:831,142718,0.8,138847,0.9,290928,0.9,382797,2.25 122,1,2024-09-07 09:48:20:859,1016471,1016471,0,0,477319471925,5011586393,1002245,11891,2335,366,392130,0 122,2,2024-09-07 09:48:21:329,736865,736790,75,0,39559509,0,5989 122,3,2024-09-07 09:48:20:594,1,580,12,0,512,9629,580,0 123,0,2024-09-07 09:48:21:014,144024,0.8,140096,0.9,293450,1.0,384330,2.25 123,1,2024-09-07 09:48:20:575,1016485,1016485,0,0,477103507502,5020833794,999815,14000,2670,369,392039,0 123,2,2024-09-07 09:48:21:021,729426,729425,1,0,33485988,0,5215 123,3,2024-09-07 09:48:21:141,1,580,2,0,478,6777,580,0 124,0,2024-09-07 09:48:20:922,149158,0.3,149160,0.5,281445,0.3,387281,1.75 124,1,2024-09-07 09:48:21:022,1020569,1020569,0,0,478260503385,4972184080,1013053,6345,1171,365,392178,0 124,2,2024-09-07 09:48:21:017,733818,733765,53,0,30098958,0,6487 124,3,2024-09-07 09:48:20:770,1,580,14,0,490,5815,580,0 125,0,2024-09-07 09:48:21:432,146585,0.4,146673,0.5,294231,0.3,391323,1.75 125,1,2024-09-07 09:48:20:859,1017599,1017599,0,0,477814836289,4987953904,1009782,6683,1134,382,391968,0 125,2,2024-09-07 09:48:21:125,735493,735493,0,0,30020274,0,4534 125,3,2024-09-07 09:48:21:134,1,580,1,0,709,6669,580,0 126,0,2024-09-07 09:48:21:440,145205,0.4,149311,0.6,285623,0.4,386219,1.75 126,1,2024-09-07 09:48:20:551,1021147,1021147,0,0,479235001648,4962013813,1015691,5010,446,365,391987,0 126,2,2024-09-07 09:48:20:617,738071,738071,0,0,31558089,0,4539 126,3,2024-09-07 09:48:20:909,1,580,9,0,268,6434,580,0 127,0,2024-09-07 09:48:21:605,142239,0.3,142408,0.5,284453,0.3,378317,1.75 127,1,2024-09-07 09:48:20:575,1018979,1018979,0,0,478213161967,4976438858,1008764,8590,1625,364,392187,0 127,2,2024-09-07 09:48:20:641,733645,733641,4,0,29706644,0,5305 127,3,2024-09-07 09:48:21:274,1,580,8,0,968,5716,580,0 128,0,2024-09-07 09:48:21:526,147025,0.3,147056,0.4,293801,0.2,390298,1.50 128,1,2024-09-07 09:48:21:608,1019654,1019654,0,0,478050658308,4973163124,1011522,7179,953,367,392423,0 128,2,2024-09-07 09:48:21:384,732837,732837,0,0,27536369,0,3171 128,3,2024-09-07 09:48:20:772,1,580,10,0,1082,9276,580,0 129,0,2024-09-07 09:48:21:020,149030,0.3,148273,0.5,297324,0.3,394965,1.50 129,1,2024-09-07 09:48:20:581,1015873,1015873,0,0,477443756256,5005828215,1005459,8392,2022,379,391962,0 129,2,2024-09-07 09:48:20:687,733984,733980,4,0,30019635,0,5335 129,3,2024-09-07 09:48:20:688,1,580,1,0,506,8112,580,0 130,0,2024-09-07 09:48:21:742,147330,0.4,146718,0.6,294104,0.4,391954,1.75 130,1,2024-09-07 09:48:20:582,1020338,1020338,0,0,478528696647,4971511586,1015022,4922,394,381,391825,0 130,2,2024-09-07 09:48:21:151,736501,736501,0,0,30313719,0,4067 130,3,2024-09-07 09:48:21:293,1,580,8,0,960,7862,580,0 131,0,2024-09-07 09:48:22:006,141890,0.4,142292,0.5,285202,0.3,378906,1.75 131,1,2024-09-07 09:48:21:826,1019460,1019460,0,0,478794679898,4991985233,1012345,5920,1195,381,391865,0 131,2,2024-09-07 09:48:20:570,736412,736412,0,0,28292593,0,3979 131,3,2024-09-07 09:48:21:691,1,580,14,0,392,7473,580,0 132,0,2024-09-07 09:48:21:426,147662,0.5,148611,0.6,295993,0.5,394422,2.00 132,1,2024-09-07 09:48:20:579,1015254,1015254,0,0,476700060441,5022740621,999959,12593,2702,381,392532,0 132,2,2024-09-07 09:48:20:700,730384,730367,17,0,36719819,0,6451 132,3,2024-09-07 09:48:21:690,1,580,1,0,1298,10695,580,0 133,0,2024-09-07 09:48:21:533,143215,0.4,146467,0.6,300323,0.4,391291,2.00 133,1,2024-09-07 09:48:20:588,1015441,1015441,0,0,477137562513,5031088697,1001391,12289,1761,383,391914,0 133,2,2024-09-07 09:48:21:103,732476,732426,50,0,37873946,0,6861 133,3,2024-09-07 09:48:21:296,1,580,5,0,528,7120,580,0 134,0,2024-09-07 09:48:20:949,147090,0.5,147216,0.7,294581,0.5,391771,2.00 134,1,2024-09-07 09:48:20:584,1016374,1016374,0,0,476770822532,5004148500,1002806,11082,2486,366,391781,0 134,2,2024-09-07 09:48:21:764,734264,734240,24,0,34964547,0,6207 134,3,2024-09-07 09:48:20:753,1,580,8,0,739,7203,580,0 135,0,2024-09-07 09:48:21:140,137742,0.9,137704,0.9,292368,1.0,376934,2.25 135,1,2024-09-07 09:48:21:603,1016090,1016090,0,0,477638903646,5025210794,1003128,11322,1640,380,391805,0 135,2,2024-09-07 09:48:20:687,736714,736714,0,0,35982413,0,4503 135,3,2024-09-07 09:48:21:002,1,580,1,0,900,5847,580,0 136,0,2024-09-07 09:48:21:676,146121,0.6,146507,0.7,291291,0.5,388977,2.00 136,1,2024-09-07 09:48:21:448,1017669,1017669,0,0,477733353069,5009031692,1006146,10155,1368,381,392135,0 136,2,2024-09-07 09:48:21:158,732009,731994,15,0,34976309,0,6007 136,3,2024-09-07 09:48:21:105,1,580,3,0,637,6828,580,0 137,0,2024-09-07 09:48:20:936,150144,0.6,146304,0.7,286606,0.6,389153,2.00 137,1,2024-09-07 09:48:20:575,1016239,1016239,0,0,477349747386,5007463201,1000647,12517,3075,366,391898,0 137,2,2024-09-07 09:48:21:717,730552,730552,0,0,36190767,0,3185 137,3,2024-09-07 09:48:20:778,1,580,368,0,484,8161,580,0 138,0,2024-09-07 09:48:21:922,145804,0.7,146034,0.9,292688,0.8,388441,2.00 138,1,2024-09-07 09:48:21:697,1016810,1016810,0,0,477749614629,5013323176,1002498,12059,2253,368,391954,0 138,2,2024-09-07 09:48:20:602,732825,732825,0,0,34016310,0,4988 138,3,2024-09-07 09:48:20:617,1,580,40,0,1200,9296,580,0 139,0,2024-09-07 09:48:21:384,142487,1.1,143047,1.0,286035,1.6,381322,2.25 139,1,2024-09-07 09:48:20:574,1012915,1012915,0,0,474968207854,5038800697,994951,14176,3788,380,392109,0 139,2,2024-09-07 09:48:20:696,732110,732110,0,0,38702881,0,3379 139,3,2024-09-07 09:48:21:666,1,580,5,0,432,6998,580,0 140,0,2024-09-07 09:48:21:609,143721,0.3,142867,0.5,286644,0.2,382775,1.75 140,1,2024-09-07 09:48:21:536,1023154,1023154,0,0,480703464772,4955881938,1018208,4400,546,364,391606,0 140,2,2024-09-07 09:48:20:687,733564,733563,1,0,28167874,0,5036 140,3,2024-09-07 09:48:20:772,1,580,1,0,575,5862,580,0 141,0,2024-09-07 09:48:21:708,146166,0.3,150188,0.5,287109,0.3,388338,1.75 141,1,2024-09-07 09:48:20:860,1021162,1021162,0,0,479434238450,4980837628,1013196,6787,1179,379,391614,0 141,2,2024-09-07 09:48:21:691,732632,732621,11,0,30065236,0,5369 141,3,2024-09-07 09:48:21:043,1,580,31,0,391,6430,580,0 142,0,2024-09-07 09:48:21:352,148265,0.3,147454,0.5,295183,0.2,393441,1.50 142,1,2024-09-07 09:48:20:596,1019209,1019209,0,0,478213866271,4984398574,1012859,5846,504,382,392102,0 142,2,2024-09-07 09:48:21:308,732207,732175,32,0,30327058,0,6028 142,3,2024-09-07 09:48:21:746,1,580,7,0,484,6169,580,0 143,0,2024-09-07 09:48:21:417,145815,0.4,145949,0.5,292800,0.4,388982,1.75 143,1,2024-09-07 09:48:20:562,1021898,1021898,0,0,478810449759,4968137110,1015513,5819,566,367,391722,0 143,2,2024-09-07 09:48:20:779,737186,737186,0,0,30425615,0,3123 143,3,2024-09-07 09:48:21:162,1,580,3,0,462,7163,580,0 144,0,2024-09-07 09:48:21:625,137742,0.6,141670,0.8,288336,0.6,377974,2.00 144,1,2024-09-07 09:48:20:571,1016118,1016118,0,0,476878088362,5004511362,1005858,8273,1987,381,391733,0 144,2,2024-09-07 09:48:21:762,736439,736439,0,0,29859257,0,4443 144,3,2024-09-07 09:48:21:741,1,580,2,0,249,5682,580,0 145,0,2024-09-07 09:48:21:369,142371,0.6,142303,0.8,302043,0.5,388863,2.25 145,1,2024-09-07 09:48:20:552,1015318,1015318,0,0,476608899393,5019367731,1001314,11333,2671,382,391759,0 145,2,2024-09-07 09:48:21:445,729568,729486,82,0,35501945,0,7814 145,3,2024-09-07 09:48:20:895,1,580,1,0,622,7845,580,0 146,0,2024-09-07 09:48:21:599,147157,0.6,146575,0.8,294582,0.5,391550,2.00 146,1,2024-09-07 09:48:21:597,1016515,1016515,0,0,476695689549,5020381608,999108,12927,4480,367,391770,0 146,2,2024-09-07 09:48:21:697,730575,730569,6,0,34002639,0,5151 146,3,2024-09-07 09:48:21:276,1,580,3,0,1520,9537,580,0 147,0,2024-09-07 09:48:21:797,146696,0.6,146343,0.7,292483,0.6,390531,2.25 147,1,2024-09-07 09:48:21:378,1020857,1020857,0,0,478570646131,4973446547,1012733,7177,947,367,391791,0 147,2,2024-09-07 09:48:21:009,734731,734731,0,0,29763559,0,2968 147,3,2024-09-07 09:48:20:913,1,580,2,0,1626,9092,580,0 0,0,2024-09-07 09:48:31:707,142204,0.6,142148,0.7,301767,0.6,390099,2.00 0,1,2024-09-07 09:48:30:808,1018965,1018965,0,0,478272072411,5007802026,1010699,7337,929,369,391896,0 0,2,2024-09-07 09:48:31:067,734660,734660,0,0,30140033,0,4480 0,3,2024-09-07 09:48:30:974,1,581,0,0,431,8599,581,0 1,0,2024-09-07 09:48:31:781,146705,0.9,145705,0.9,293016,1.0,391134,2.00 1,1,2024-09-07 09:48:30:566,1018022,1018022,0,0,478186606177,5010259667,1008611,7921,1490,370,391859,0 1,2,2024-09-07 09:48:30:639,735526,735526,0,0,29508243,0,3380 1,3,2024-09-07 09:48:31:302,1,581,2,0,269,7080,581,0 2,0,2024-09-07 09:48:31:569,143371,0.6,143174,0.7,286257,0.6,382014,2.00 2,1,2024-09-07 09:48:30:860,1021536,1021536,0,0,480353733431,4995464403,1015447,5140,949,379,391745,0 2,2,2024-09-07 09:48:31:267,737879,737879,0,0,28523529,0,3594 2,3,2024-09-07 09:48:30:690,1,581,10,0,357,5215,581,0 3,0,2024-09-07 09:48:31:757,144975,0.4,144773,0.6,289047,0.4,385970,2.00 3,1,2024-09-07 09:48:31:619,1019875,1019875,0,0,479068572503,4983981182,1012300,6872,703,379,391716,0 3,2,2024-09-07 09:48:31:141,733558,733535,23,0,29372401,0,5851 3,3,2024-09-07 09:48:31:754,1,581,1,0,275,4049,581,0 4,0,2024-09-07 09:48:31:766,141181,0.4,145067,0.5,295826,0.3,387063,1.75 4,1,2024-09-07 09:48:30:603,1016008,1016008,0,0,476034863634,5043424599,998208,14048,3752,370,391992,0 4,2,2024-09-07 09:48:31:027,730350,730350,0,0,36059575,0,4534 4,3,2024-09-07 09:48:31:031,1,581,1,0,448,7273,581,0 5,0,2024-09-07 09:48:31:373,147034,0.4,147062,0.5,294314,0.3,391018,1.75 5,1,2024-09-07 09:48:30:756,1016123,1016123,0,0,478165326642,5052525328,999866,12133,4124,367,392005,0 5,2,2024-09-07 09:48:31:845,732010,732010,0,0,35010983,0,3582 5,3,2024-09-07 09:48:31:731,1,581,26,0,457,8086,581,0 6,0,2024-09-07 09:48:30:917,145231,0.5,144829,0.7,289870,0.4,385720,2.00 6,1,2024-09-07 09:48:30:752,1019366,1019366,0,0,478083120107,4996939948,1008359,9434,1573,379,391702,0 6,2,2024-09-07 09:48:31:122,738094,738076,18,0,34169012,0,5535 6,3,2024-09-07 09:48:31:274,1,581,8,0,710,7182,581,0 7,0,2024-09-07 09:48:31:535,141646,0.5,142658,0.7,283626,0.5,378723,2.00 7,1,2024-09-07 09:48:30:850,1016881,1016881,0,0,478264341009,5040230723,1000560,12555,3766,382,391747,0 7,2,2024-09-07 09:48:30:776,733788,733788,0,0,32763631,0,4791 7,3,2024-09-07 09:48:30:851,1,581,1,0,552,6977,581,0 8,0,2024-09-07 09:48:31:326,146844,0.4,146583,0.5,293472,0.3,390729,1.75 8,1,2024-09-07 09:48:31:023,1016593,1016593,0,0,477449708840,5023987191,1000336,12716,3541,366,392853,0 8,2,2024-09-07 09:48:30:790,728083,728081,2,0,38738595,0,5112 8,3,2024-09-07 09:48:30:588,1,581,1,0,772,9263,581,0 9,0,2024-09-07 09:48:31:111,147813,0.4,143852,0.5,301075,0.3,394578,1.75 9,1,2024-09-07 09:48:30:551,1016244,1016244,0,0,476348250695,5023321298,1000227,12901,3116,369,392001,0 9,2,2024-09-07 09:48:31:084,732669,732668,1,0,35536014,0,5281 9,3,2024-09-07 09:48:31:752,1,581,5,0,1273,10010,581,0 10,0,2024-09-07 09:48:31:619,146582,0.3,145993,0.5,293280,0.3,390560,1.75 10,1,2024-09-07 09:48:30:598,1018212,1018212,0,0,477975185179,5017154694,1003004,12179,3029,381,391981,0 10,2,2024-09-07 09:48:30:762,736610,736610,0,0,39000770,0,4713 10,3,2024-09-07 09:48:30:871,1,581,8,0,669,6720,581,0 11,0,2024-09-07 09:48:31:007,142000,0.5,137788,0.6,288040,0.4,379774,1.75 11,1,2024-09-07 09:48:30:573,1019851,1019851,0,0,478844941837,5024974754,1004576,11134,4141,383,391766,0 11,2,2024-09-07 09:48:31:123,735237,735237,0,0,34809878,0,4698 11,3,2024-09-07 09:48:31:298,1,581,26,0,843,7540,581,0 12,0,2024-09-07 09:48:30:944,148859,0.4,148802,0.6,297254,0.4,395417,1.75 12,1,2024-09-07 09:48:30:935,1019321,1019321,0,0,477888622849,4985458577,1011033,7286,1002,370,391960,0 12,2,2024-09-07 09:48:31:541,731092,731092,0,0,33023535,0,4390 12,3,2024-09-07 09:48:31:059,1,581,7,0,386,7405,581,0 13,0,2024-09-07 09:48:31:324,147977,0.4,148074,0.5,295251,0.4,393711,1.75 13,1,2024-09-07 09:48:31:525,1016931,1016931,0,0,477452749267,5018228068,1006574,8050,2307,382,391768,0 13,2,2024-09-07 09:48:30:600,734927,734927,0,0,29390010,0,3287 13,3,2024-09-07 09:48:31:762,1,581,1,0,522,7842,581,0 14,0,2024-09-07 09:48:30:604,147007,0.4,148088,0.6,293827,0.3,391382,1.75 14,1,2024-09-07 09:48:31:561,1024314,1024314,0,0,480418663205,4971337189,1016390,6951,973,364,391673,0 14,2,2024-09-07 09:48:30:763,736689,736659,30,0,30959033,0,6104 14,3,2024-09-07 09:48:31:116,1,581,8,0,1168,6477,581,0 15,0,2024-09-07 09:48:31:551,142287,0.4,141410,0.6,284105,0.4,379044,2.00 15,1,2024-09-07 09:48:31:608,1021276,1021276,0,0,479642863152,4996789040,1012241,7122,1913,381,391619,0 15,2,2024-09-07 09:48:30:998,739378,739378,0,0,27179723,0,3622 15,3,2024-09-07 09:48:31:407,1,581,1,0,1126,7724,581,0 16,0,2024-09-07 09:48:30:954,145742,0.6,146450,0.7,292237,0.6,389336,2.00 16,1,2024-09-07 09:48:30:598,1021293,1021293,0,0,478472963833,5003916001,1012020,7833,1440,370,392194,0 16,2,2024-09-07 09:48:31:447,731372,731372,0,0,30628169,0,4719 16,3,2024-09-07 09:48:31:141,1,581,1,0,358,7451,581,0 17,0,2024-09-07 09:48:31:773,150092,0.6,146329,0.8,286753,0.6,389804,2.00 17,1,2024-09-07 09:48:30:590,1019108,1019108,0,0,477716801614,5013212678,1008926,8414,1768,368,392075,0 17,2,2024-09-07 09:48:31:679,735977,735976,1,0,31934613,0,5050 17,3,2024-09-07 09:48:30:598,1,581,2,0,518,8689,581,0 18,0,2024-09-07 09:48:30:940,145214,0.7,146177,0.8,290952,0.7,388580,2.25 18,1,2024-09-07 09:48:31:662,1023186,1023186,0,0,479096060442,4971026444,1016139,5790,1257,367,391725,0 18,2,2024-09-07 09:48:31:760,737915,737915,0,0,28272476,0,3541 18,3,2024-09-07 09:48:30:898,1,581,12,0,1059,5470,581,0 19,0,2024-09-07 09:48:31:539,143424,0.6,143545,0.8,286019,0.6,380761,2.00 19,1,2024-09-07 09:48:30:575,1022881,1022881,0,0,480673315406,4983405992,1015207,6541,1133,367,391777,0 19,2,2024-09-07 09:48:31:752,740922,740922,0,0,26940842,0,3988 19,3,2024-09-07 09:48:31:132,1,581,1,0,524,4538,581,0 20,0,2024-09-07 09:48:31:343,143551,0.6,143357,0.7,286796,0.6,382820,2.00 20,1,2024-09-07 09:48:30:591,1018540,1018540,0,0,478641040968,5017981036,1007988,9047,1505,369,391922,0 20,2,2024-09-07 09:48:30:932,732362,732362,0,0,33490386,0,4321 20,3,2024-09-07 09:48:30:599,1,581,0,0,468,9545,581,0 21,0,2024-09-07 09:48:31:136,145955,0.5,145973,0.6,291976,0.4,387686,2.00 21,1,2024-09-07 09:48:31:536,1016834,1016834,0,0,477513132682,5044008165,1000321,12723,3790,368,392016,0 21,2,2024-09-07 09:48:31:067,727737,727260,477,0,44119761,0,17074 21,3,2024-09-07 09:48:31:407,1,581,1,0,713,8127,581,0 22,0,2024-09-07 09:48:31:719,147407,0.5,148021,0.7,295307,0.4,391680,2.00 22,1,2024-09-07 09:48:31:023,1017860,1017860,0,0,477247498565,5027524561,1000093,14227,3540,382,391822,0 22,2,2024-09-07 09:48:30:760,732680,732654,26,0,32002573,0,6328 22,3,2024-09-07 09:48:31:066,1,581,8,0,228,4941,581,0 23,0,2024-09-07 09:48:31:376,145633,0.5,145362,0.7,290706,0.5,387300,2.25 23,1,2024-09-07 09:48:31:003,1017633,1017633,0,0,478817612166,5050937315,998231,12824,6578,365,391690,0 23,2,2024-09-07 09:48:31:092,738395,738395,0,0,30846191,0,3773 23,3,2024-09-07 09:48:31:759,1,581,3,0,855,8794,581,0 24,0,2024-09-07 09:48:30:850,143261,0.4,142447,0.6,286749,0.4,380864,1.75 24,1,2024-09-07 09:48:30:589,1018081,1018081,0,0,477741811040,5004193602,1008037,8335,1709,367,392269,0 24,2,2024-09-07 09:48:31:069,734973,734973,0,0,36960536,0,4438 24,3,2024-09-07 09:48:31:686,1,581,15,0,468,7546,581,0 25,0,2024-09-07 09:48:31:345,151459,0.5,147325,0.6,289423,0.4,393952,2.00 25,1,2024-09-07 09:48:30:573,1016853,1016853,0,0,477482370926,5046627443,998867,14375,3611,369,391928,0 25,2,2024-09-07 09:48:31:607,730078,730078,0,0,37393065,0,3978 25,3,2024-09-07 09:48:31:000,1,581,1,0,532,6428,581,0 26,0,2024-09-07 09:48:31:721,146765,0.4,143570,0.6,301447,0.4,392487,2.00 26,1,2024-09-07 09:48:31:542,1020458,1020458,0,0,477912629946,5006030821,1006411,11546,2501,380,391748,0 26,2,2024-09-07 09:48:30:861,732480,732480,0,0,40368061,0,4689 26,3,2024-09-07 09:48:31:712,1,581,12,0,796,6810,581,0 27,0,2024-09-07 09:48:31:726,146762,0.4,147494,0.6,293228,0.4,391134,2.25 27,1,2024-09-07 09:48:31:681,1020775,1020775,0,0,480135229158,5003422641,1011167,8310,1298,381,391626,0 27,2,2024-09-07 09:48:30:868,733225,733160,65,0,35362806,0,5699 27,3,2024-09-07 09:48:31:018,1,581,0,0,564,5163,581,0 28,0,2024-09-07 09:48:31:416,142802,0.4,142787,0.6,285819,0.3,380814,1.75 28,1,2024-09-07 09:48:30:797,1021626,1021626,0,0,479713062519,4999456026,1013249,6640,1737,382,391698,0 28,2,2024-09-07 09:48:31:768,737753,737753,0,0,30289214,0,2915 28,3,2024-09-07 09:48:31:776,1,581,1,0,502,5688,581,0 29,0,2024-09-07 09:48:31:386,150879,0.4,146849,0.6,288413,0.3,393348,1.75 29,1,2024-09-07 09:48:31:562,1024823,1024823,0,0,480007705224,4964260542,1018730,5319,774,367,391809,0 29,2,2024-09-07 09:48:30:861,732100,732100,0,0,29077297,0,4986 29,3,2024-09-07 09:48:30:963,1,581,1,0,459,6448,581,0 30,0,2024-09-07 09:48:31:467,145468,0.5,141701,0.7,296751,0.4,388010,2.00 30,1,2024-09-07 09:48:30:572,1023563,1023563,0,0,480808174065,4988525011,1016286,6370,907,380,391672,0 30,2,2024-09-07 09:48:31:274,734288,734288,0,0,27908631,0,4192 30,3,2024-09-07 09:48:30:581,1,581,8,0,519,5506,581,0 31,0,2024-09-07 09:48:31:759,146494,0.4,147230,0.6,293433,0.4,391836,2.00 31,1,2024-09-07 09:48:30:564,1027057,1027057,0,0,481962170667,4948746254,1021053,4975,1029,356,391712,0 31,2,2024-09-07 09:48:31:275,735253,735253,0,0,31501533,0,4470 31,3,2024-09-07 09:48:31:706,1,581,1,0,239,5000,581,0 32,0,2024-09-07 09:48:31:422,143765,0.3,144642,0.5,287654,0.3,383459,1.75 32,1,2024-09-07 09:48:30:806,1022998,1022998,0,0,479605245239,4985177007,1016826,5456,716,381,391646,0 32,2,2024-09-07 09:48:30:939,739717,739717,0,0,27875548,0,3922 32,3,2024-09-07 09:48:31:022,1,581,19,0,304,4642,581,0 33,0,2024-09-07 09:48:31:500,145535,0.3,145181,0.4,290570,0.2,387046,1.50 33,1,2024-09-07 09:48:30:602,1023065,1023065,0,0,481077635280,4984981646,1014643,7150,1272,368,391730,0 33,2,2024-09-07 09:48:30:760,733616,733581,35,0,30692808,0,7012 33,3,2024-09-07 09:48:30:895,1,581,1,0,329,5129,581,0 34,0,2024-09-07 09:48:30:929,145665,0.3,149611,0.5,286245,0.2,386204,1.75 34,1,2024-09-07 09:48:31:047,1025324,1025324,0,0,481368942531,4953166380,1022365,2849,110,367,391637,0 34,2,2024-09-07 09:48:30:772,734751,734751,0,0,29017017,0,4562 34,3,2024-09-07 09:48:31:691,1,581,13,0,541,5409,581,0 35,0,2024-09-07 09:48:30:858,146087,0.3,146919,0.5,294836,0.2,391499,1.75 35,1,2024-09-07 09:48:31:067,1021697,1021697,0,0,479517280121,4978664653,1013621,6553,1523,382,391769,0 35,2,2024-09-07 09:48:31:582,734804,734804,0,0,31958291,0,4055 35,3,2024-09-07 09:48:30:907,1,581,56,0,466,5595,581,0 36,0,2024-09-07 09:48:31:533,145466,0.5,145421,0.7,290376,0.5,386563,2.00 36,1,2024-09-07 09:48:30:589,1019767,1019767,0,0,478770594559,5003684902,1006203,11324,2240,366,391759,0 36,2,2024-09-07 09:48:31:751,738241,738241,0,0,33716898,0,3875 36,3,2024-09-07 09:48:30:864,1,581,8,0,556,8189,581,0 37,0,2024-09-07 09:48:31:376,141663,0.6,141674,0.7,283798,0.5,378951,2.25 37,1,2024-09-07 09:48:30:571,1019843,1019836,0,7,478586043155,5000766271,1007308,9617,2911,365,391770,0 37,2,2024-09-07 09:48:31:142,731688,731673,15,0,33792601,0,5815 37,3,2024-09-07 09:48:31:766,1,581,3,0,888,7937,581,0 38,0,2024-09-07 09:48:31:445,145616,0.5,141176,0.7,295262,0.4,386668,2.00 38,1,2024-09-07 09:48:31:608,1019771,1019771,0,0,479094810736,5015856599,1004464,12308,2999,368,391821,0 38,2,2024-09-07 09:48:30:760,731869,731822,47,0,33863769,0,6710 38,3,2024-09-07 09:48:30:997,1,581,8,0,689,7348,581,0 39,0,2024-09-07 09:48:31:759,151141,0.5,147804,0.7,288189,0.5,393356,2.00 39,1,2024-09-07 09:48:30:720,1019400,1019400,0,0,478551135392,5021486690,1002112,13587,3701,365,391865,0 39,2,2024-09-07 09:48:31:421,733821,733821,0,0,31823650,0,3391 39,3,2024-09-07 09:48:30:720,1,581,1,0,525,6643,581,0 40,0,2024-09-07 09:48:31:495,145315,0.9,145944,1.0,291310,1.0,388805,2.75 40,1,2024-09-07 09:48:30:583,1020443,1020443,0,0,478111660218,5010652272,1005538,12065,2840,368,391668,0 40,2,2024-09-07 09:48:31:304,734666,734665,1,0,37624689,0,5137 40,3,2024-09-07 09:48:31:141,1,581,3,0,1028,8689,581,0 41,0,2024-09-07 09:48:31:093,141273,1.4,144475,1.2,275513,2.1,374734,3.25 41,1,2024-09-07 09:48:30:776,1019059,1019059,0,0,478017945868,5004597320,1005512,11402,2145,369,391878,0 41,2,2024-09-07 09:48:30:760,733117,733116,1,0,36234554,0,5408 41,3,2024-09-07 09:48:31:680,1,581,2,0,366,6300,581,0 42,0,2024-09-07 09:48:31:486,146767,1.0,146474,1.1,292719,1.2,389133,2.75 42,1,2024-09-07 09:48:31:456,1016521,1016521,0,0,477255430071,5017502274,1000605,12986,2930,380,391675,0 42,2,2024-09-07 09:48:31:133,729982,729981,1,0,36269942,0,5513 42,3,2024-09-07 09:48:31:009,1,581,8,0,892,5551,581,0 43,0,2024-09-07 09:48:30:916,145675,0.7,141810,0.9,297149,0.8,388661,2.25 43,1,2024-09-07 09:48:30:576,1020333,1020333,0,0,479023506645,5008350042,1006016,11836,2481,366,391696,0 43,2,2024-09-07 09:48:31:738,733330,733330,0,0,34650786,0,4723 43,3,2024-09-07 09:48:31:751,1,581,3,0,571,8652,581,0 44,0,2024-09-07 09:48:30:859,147242,0.4,147152,0.6,294893,0.3,391810,1.75 44,1,2024-09-07 09:48:30:569,1022960,1022960,0,0,480037738302,4962921555,1013815,7206,1939,356,391809,0 44,2,2024-09-07 09:48:31:268,734457,734457,0,0,27902626,0,4344 44,3,2024-09-07 09:48:31:093,1,581,15,0,1097,7288,581,0 45,0,2024-09-07 09:48:31:765,140763,0.5,137544,0.7,288655,0.4,379144,2.00 45,1,2024-09-07 09:48:31:006,1021788,1021788,0,0,480126676104,4989886575,1013599,7286,903,382,391917,0 45,2,2024-09-07 09:48:31:268,738591,738591,0,0,28477515,0,3596 45,3,2024-09-07 09:48:30:934,1,581,1,0,531,5676,581,0 46,0,2024-09-07 09:48:30:951,145238,0.5,144864,0.7,290592,0.5,386213,2.00 46,1,2024-09-07 09:48:30:579,1024236,1024236,0,0,480930184271,4970469835,1017150,6222,864,366,391709,0 46,2,2024-09-07 09:48:30:599,732973,732973,0,0,28971467,0,4443 46,3,2024-09-07 09:48:31:132,1,581,8,0,908,7099,581,0 47,0,2024-09-07 09:48:31:104,145929,0.4,145820,0.6,292948,0.4,388283,2.00 47,1,2024-09-07 09:48:30:578,1024877,1024877,0,0,480931908109,4968540453,1019031,4992,854,365,391641,0 47,2,2024-09-07 09:48:30:909,736602,736602,0,0,28052320,0,4477 47,3,2024-09-07 09:48:31:115,1,581,2,0,600,6544,581,0 48,0,2024-09-07 09:48:31:500,146886,0.3,147071,0.4,293596,0.2,390407,1.50 48,1,2024-09-07 09:48:31:021,1022519,1022519,0,0,479767983495,4983624378,1016446,5495,578,383,391710,0 48,2,2024-09-07 09:48:30:701,735945,735945,0,0,26693180,0,3524 48,3,2024-09-07 09:48:30:756,1,581,1,0,339,4856,581,0 49,0,2024-09-07 09:48:31:738,147779,0.3,144858,0.5,281861,0.3,384776,1.75 49,1,2024-09-07 09:48:31:023,1021330,1021330,0,0,479434073194,4988112037,1014189,5447,1694,382,391809,0 49,2,2024-09-07 09:48:31:796,739380,739380,0,0,28988359,0,4426 49,3,2024-09-07 09:48:31:419,1,581,1,0,992,7059,581,0 50,0,2024-09-07 09:48:31:507,144283,0.3,142606,0.5,287181,0.2,382790,1.75 50,1,2024-09-07 09:48:31:010,1024630,1024630,0,0,481228276740,4975149790,1018227,5734,669,368,391691,0 50,2,2024-09-07 09:48:31:074,732771,732771,0,0,26521472,0,4490 50,3,2024-09-07 09:48:31:291,1,581,8,0,617,6093,581,0 51,0,2024-09-07 09:48:31:712,149717,0.3,146743,0.5,285584,0.2,389215,1.75 51,1,2024-09-07 09:48:31:689,1025401,1025401,0,0,481887397515,4970643416,1019747,4574,1080,365,391706,0 51,2,2024-09-07 09:48:31:315,734012,734012,0,0,26246155,0,3337 51,3,2024-09-07 09:48:31:030,1,581,1,0,678,4113,581,0 52,0,2024-09-07 09:48:31:430,148044,0.5,147708,0.7,295736,0.4,392595,2.00 52,1,2024-09-07 09:48:30:575,1019993,1019993,0,0,478850521326,5015033106,1005021,12642,2330,368,391805,0 52,2,2024-09-07 09:48:31:759,731033,730995,38,0,35698220,0,6742 52,3,2024-09-07 09:48:30:674,1,581,1,0,1782,7051,581,0 53,0,2024-09-07 09:48:31:850,145357,0.7,141075,0.8,295060,0.7,386432,2.50 53,1,2024-09-07 09:48:30:773,1018576,1018576,0,0,478277431221,5024375664,1000397,13784,4395,367,391968,0 53,2,2024-09-07 09:48:31:302,737256,737255,1,0,32415357,0,5455 53,3,2024-09-07 09:48:30:697,1,581,5,0,308,5561,581,0 54,0,2024-09-07 09:48:31:626,140643,0.6,140985,0.8,280718,0.5,375129,2.25 54,1,2024-09-07 09:48:30:589,1021134,1021134,0,0,479881034058,4994311393,1010059,9405,1670,366,391810,0 54,2,2024-09-07 09:48:30:865,735722,735690,32,0,36053134,0,6397 54,3,2024-09-07 09:48:30:768,1,581,10,0,676,7719,581,0 55,0,2024-09-07 09:48:31:760,142161,0.7,146524,0.8,297079,0.6,386713,2.50 55,1,2024-09-07 09:48:30:764,1021564,1021564,0,0,479193269969,4987419679,1010709,9466,1389,365,391731,0 55,2,2024-09-07 09:48:30:734,730872,730816,56,0,33387348,0,7239 55,3,2024-09-07 09:48:30:677,1,581,1,0,304,5446,581,0 56,0,2024-09-07 09:48:31:563,150241,1.2,141641,1.1,291860,1.5,390925,2.75 56,1,2024-09-07 09:48:30:582,1015318,1015318,0,0,477139674658,5050003614,997660,13973,3685,381,391867,0 56,2,2024-09-07 09:48:31:311,732455,732333,122,0,34897682,0,7432 56,3,2024-09-07 09:48:31:060,1,581,1,0,705,6872,581,0 57,0,2024-09-07 09:48:30:955,144965,1.5,144845,1.2,289968,2.0,387445,3.25 57,1,2024-09-07 09:48:30:993,1017732,1017732,0,0,477787696012,5018388960,1003746,11592,2394,366,392032,0 57,2,2024-09-07 09:48:31:317,735546,735546,0,0,36861418,0,4804 57,3,2024-09-07 09:48:31:742,1,581,0,0,455,6719,581,0 58,0,2024-09-07 09:48:30:556,140387,1.0,136235,1.0,285147,1.3,373220,2.75 58,1,2024-09-07 09:48:30:575,1019239,1019236,0,3,479275534607,5026426384,1003638,11754,3844,367,391675,3 58,2,2024-09-07 09:48:31:079,737179,737179,0,0,34909249,0,3483 58,3,2024-09-07 09:48:31:068,1,581,8,0,1043,6602,581,0 59,0,2024-09-07 09:48:31:740,145610,0.8,145198,1.0,290115,0.9,385279,2.75 59,1,2024-09-07 09:48:30:806,1017816,1017816,0,0,477917124224,5027101965,1000196,13985,3635,369,391653,0 59,2,2024-09-07 09:48:30:597,731789,731789,0,0,32546772,0,3727 59,3,2024-09-07 09:48:31:737,1,581,1,0,1015,6966,581,0 60,0,2024-09-07 09:48:31:717,146133,0.5,146422,0.7,292725,0.5,390235,1.75 60,1,2024-09-07 09:48:30:779,1023310,1023310,0,0,480283403669,4989035618,1016063,6250,997,370,392031,0 60,2,2024-09-07 09:48:31:141,734158,734158,0,0,30600427,0,3811 60,3,2024-09-07 09:48:31:258,1,581,20,0,409,6644,581,0 61,0,2024-09-07 09:48:31:501,146680,0.6,147286,0.8,293143,0.6,391126,2.00 61,1,2024-09-07 09:48:30:775,1019676,1019676,0,0,478616586938,5010892416,1008895,9120,1661,382,392127,0 61,2,2024-09-07 09:48:31:117,736106,736039,67,0,31547057,0,6411 61,3,2024-09-07 09:48:31:691,1,581,12,0,607,7781,581,0 62,0,2024-09-07 09:48:31:706,144016,0.6,147726,0.7,282024,0.6,383306,2.00 62,1,2024-09-07 09:48:31:111,1026388,1026382,0,6,481883825008,4967385986,1021703,4395,284,365,391975,6 62,2,2024-09-07 09:48:31:652,735814,735813,1,0,31590532,0,5555 62,3,2024-09-07 09:48:31:143,1,581,12,0,482,4742,581,0 63,0,2024-09-07 09:48:31:465,145508,0.4,145345,0.6,291331,0.3,387166,1.75 63,1,2024-09-07 09:48:30:803,1022601,1022595,0,6,479949447812,4983773348,1015952,5788,855,381,391800,6 63,2,2024-09-07 09:48:30:762,733052,733052,0,0,29642893,0,4369 63,3,2024-09-07 09:48:31:731,1,581,3,0,667,6116,581,0 64,0,2024-09-07 09:48:31:525,144705,0.5,144749,0.7,288750,0.4,384663,2.00 64,1,2024-09-07 09:48:30:759,1021474,1021474,0,0,480607774359,5007102529,1012152,7346,1976,370,391794,0 64,2,2024-09-07 09:48:31:151,737592,737573,19,0,28876441,0,6121 64,3,2024-09-07 09:48:31:140,1,581,2,0,651,6233,581,0 65,0,2024-09-07 09:48:31:695,145909,0.6,146165,0.7,291962,0.6,388919,2.00 65,1,2024-09-07 09:48:30:864,1019908,1019908,0,0,478414003397,5001184517,1012503,6532,873,381,391901,0 65,2,2024-09-07 09:48:31:692,733975,733975,0,0,34480627,0,3367 65,3,2024-09-07 09:48:31:686,1,581,1,0,782,6518,581,0 66,0,2024-09-07 09:48:31:765,144539,0.5,144061,0.8,288381,0.5,383617,2.25 66,1,2024-09-07 09:48:31:293,1022416,1022416,0,0,479882658290,4994493090,1015920,5774,722,380,391743,0 66,2,2024-09-07 09:48:31:134,740744,740741,3,0,30968766,0,5455 66,3,2024-09-07 09:48:31:080,1,581,7,0,291,5008,581,0 67,0,2024-09-07 09:48:31:425,142146,0.5,141832,0.7,284796,0.5,379372,2.00 67,1,2024-09-07 09:48:30:773,1021293,1021292,0,1,480431332018,5002657903,1014000,6349,943,380,391787,1 67,2,2024-09-07 09:48:30:599,736941,736926,15,0,30696613,0,6205 67,3,2024-09-07 09:48:31:752,1,581,0,0,595,6131,581,0 68,0,2024-09-07 09:48:30:579,146571,0.6,146415,0.8,291526,0.6,389931,2.25 68,1,2024-09-07 09:48:30:573,1017522,1017522,0,0,477702292388,5026388284,1003745,10247,3530,381,391953,0 68,2,2024-09-07 09:48:31:047,728840,728740,100,0,38983987,0,8578 68,3,2024-09-07 09:48:30:730,1,581,42,0,417,7704,581,0 69,0,2024-09-07 09:48:31:759,147210,0.7,148054,0.8,295178,0.7,392109,2.25 69,1,2024-09-07 09:48:31:026,1016587,1016587,0,0,477047006349,5031320660,1002738,11018,2831,383,391994,0 69,2,2024-09-07 09:48:31:737,731215,731186,29,0,41086490,0,6912 69,3,2024-09-07 09:48:30:761,1,581,1,0,698,8555,581,0 70,0,2024-09-07 09:48:31:543,145076,0.8,145582,0.9,292715,0.7,387615,2.50 70,1,2024-09-07 09:48:30:800,1023073,1023073,0,0,480940639320,4992405790,1015167,7100,806,366,391725,0 70,2,2024-09-07 09:48:31:324,736325,736325,0,0,34296146,0,4323 70,3,2024-09-07 09:48:30:751,1,581,7,0,854,6556,581,0 71,0,2024-09-07 09:48:31:355,140706,1.1,140421,1.1,282142,1.5,376771,2.75 71,1,2024-09-07 09:48:31:596,1020711,1020711,0,0,479233864026,5005910986,1007726,11364,1621,368,391738,0 71,2,2024-09-07 09:48:31:067,735738,735738,0,0,34479219,0,4352 71,3,2024-09-07 09:48:31:751,1,581,1,0,644,6654,581,0 72,0,2024-09-07 09:48:31:026,152598,0.6,149149,0.7,291042,0.5,396147,2.00 72,1,2024-09-07 09:48:31:022,1018428,1018428,0,0,478304291224,5018937028,1003768,12162,2498,369,391819,0 72,2,2024-09-07 09:48:31:768,730194,730194,0,0,35764126,0,3983 72,3,2024-09-07 09:48:31:759,1,581,21,0,564,8513,581,0 73,0,2024-09-07 09:48:31:098,143388,0.4,147107,0.6,300687,0.4,391340,2.00 73,1,2024-09-07 09:48:30:776,1020624,1020624,0,0,479353461037,4985092654,1012497,7171,956,367,391858,0 73,2,2024-09-07 09:48:31:738,732968,732967,1,0,37163207,0,5027 73,3,2024-09-07 09:48:30:969,1,581,1,0,1091,8267,581,0 74,0,2024-09-07 09:48:31:320,148031,0.5,151534,0.7,289140,0.4,392116,2.00 74,1,2024-09-07 09:48:30:635,1019454,1019454,0,0,478917814352,5000676358,1008223,9253,1978,381,391762,0 74,2,2024-09-07 09:48:31:001,734738,734738,0,0,32565494,0,4253 74,3,2024-09-07 09:48:31:450,1,581,324,0,522,7358,581,0 75,0,2024-09-07 09:48:31:767,142857,0.5,141770,0.8,284657,0.5,380692,2.25 75,1,2024-09-07 09:48:31:584,1021051,1021051,0,0,478452403665,4988260870,1012738,7447,866,380,391739,0 75,2,2024-09-07 09:48:31:350,736170,736170,0,0,39464096,0,4766 75,3,2024-09-07 09:48:31:068,1,581,10,0,702,7157,581,0 76,0,2024-09-07 09:48:30:594,145402,0.5,144864,0.7,289783,0.5,387573,2.25 76,1,2024-09-07 09:48:30:805,1020639,1020639,0,0,479053786342,4995258963,1013683,5986,970,382,391790,0 76,2,2024-09-07 09:48:31:061,734234,734231,3,0,31540982,0,5265 76,3,2024-09-07 09:48:31:142,1,581,1,0,227,5191,581,0 77,0,2024-09-07 09:48:31:693,145208,0.5,145742,0.7,291385,0.5,387465,2.00 77,1,2024-09-07 09:48:30:836,1020336,1020336,0,0,479814876082,5005735364,1012586,6876,874,381,391869,0 77,2,2024-09-07 09:48:31:288,733043,733043,0,0,30848822,0,3890 77,3,2024-09-07 09:48:31:094,1,581,4,0,401,6406,581,0 78,0,2024-09-07 09:48:31:745,147112,0.4,146530,0.6,293709,0.4,389077,2.00 78,1,2024-09-07 09:48:30:621,1020960,1020960,0,0,478817761403,4993020481,1009056,9237,2667,367,391670,0 78,2,2024-09-07 09:48:31:419,736880,736867,13,0,28946160,0,8313 78,3,2024-09-07 09:48:31:132,1,581,11,0,311,4981,581,0 79,0,2024-09-07 09:48:31:351,138921,0.4,142233,0.6,291510,0.3,379544,2.00 79,1,2024-09-07 09:48:30:579,1024095,1024095,0,0,480696009872,4977144486,1016300,6435,1360,367,391682,0 79,2,2024-09-07 09:48:31:068,738632,738632,0,0,28164639,0,4195 79,3,2024-09-07 09:48:30:751,1,581,1,0,418,6908,581,0 80,0,2024-09-07 09:48:31:105,143456,0.5,147284,0.7,281850,0.5,381826,2.00 80,1,2024-09-07 09:48:31:628,1021102,1021102,0,0,479282186385,4990595218,1014481,6186,435,368,392269,0 80,2,2024-09-07 09:48:31:094,735579,735579,0,0,28692611,0,4433 80,3,2024-09-07 09:48:30:578,1,581,31,0,681,7322,581,0 81,0,2024-09-07 09:48:31:557,145855,0.6,149169,0.7,284936,0.5,386489,2.00 81,1,2024-09-07 09:48:31:657,1019552,1019552,0,0,478171328733,4998804164,1011421,7368,763,382,391885,0 81,2,2024-09-07 09:48:31:125,732295,732232,63,0,31761348,0,5932 81,3,2024-09-07 09:48:31:121,1,581,1,0,719,6925,581,0 82,0,2024-09-07 09:48:31:538,147389,0.5,147508,0.7,295699,0.4,391637,2.00 82,1,2024-09-07 09:48:30:585,1022423,1022419,0,4,479375972304,4983678609,1016858,4738,823,381,391768,4 82,2,2024-09-07 09:48:31:692,736776,736776,0,0,26821167,0,4484 82,3,2024-09-07 09:48:31:752,1,581,8,0,363,5582,581,0 83,0,2024-09-07 09:48:31:529,146033,0.6,146048,0.7,291383,0.6,386625,2.25 83,1,2024-09-07 09:48:30:608,1020315,1020315,0,0,479149879853,4995692641,1012910,6760,645,382,391709,0 83,2,2024-09-07 09:48:30:764,737964,737939,25,0,29025866,0,5612 83,3,2024-09-07 09:48:30:757,1,581,3,0,1260,7031,581,0 84,0,2024-09-07 09:48:31:775,140708,0.8,140894,0.9,281624,0.8,376703,2.50 84,1,2024-09-07 09:48:31:041,1018645,1018645,0,0,478834363371,5010556940,1006604,10370,1671,367,391967,0 84,2,2024-09-07 09:48:30:579,733903,733493,410,0,42947441,0,17037 84,3,2024-09-07 09:48:31:142,1,581,1,0,908,7923,581,0 85,0,2024-09-07 09:48:31:040,141346,0.7,141331,0.8,300081,0.7,387073,2.25 85,1,2024-09-07 09:48:30:560,1016158,1016158,0,0,477232735776,5043556675,999867,13496,2795,381,392092,0 85,2,2024-09-07 09:48:30:865,731029,731029,0,0,35877439,0,4255 85,3,2024-09-07 09:48:30:692,1,581,10,0,789,6805,581,0 86,0,2024-09-07 09:48:30:880,147241,0.7,151303,0.8,289367,0.7,391660,2.25 86,1,2024-09-07 09:48:30:848,1018642,1018642,0,0,479025985112,5026950183,1004836,11121,2685,366,392169,0 86,2,2024-09-07 09:48:30:868,732050,732049,1,0,38195688,0,5004 86,3,2024-09-07 09:48:30:604,1,581,14,0,308,8218,581,0 87,0,2024-09-07 09:48:31:298,146789,0.8,146286,0.8,292516,1.0,390883,2.25 87,1,2024-09-07 09:48:30:552,1018288,1018288,0,0,478979902550,5022018104,1004920,11572,1796,366,392076,0 87,2,2024-09-07 09:48:31:068,734811,734805,6,0,34773527,0,6323 87,3,2024-09-07 09:48:31:795,1,581,3,0,473,8486,581,0 88,0,2024-09-07 09:48:31:481,142562,0.5,142916,0.6,285876,0.4,380776,2.00 88,1,2024-09-07 09:48:30:582,1017507,1017507,0,0,477934361445,5011652866,1002862,11680,2965,365,392084,0 88,2,2024-09-07 09:48:30:691,736504,736504,0,0,37584269,0,4465 88,3,2024-09-07 09:48:31:268,1,581,2,0,1080,9151,581,0 89,0,2024-09-07 09:48:31:770,150936,0.4,146476,0.6,288908,0.4,392865,1.75 89,1,2024-09-07 09:48:30:552,1016842,1016842,0,0,478965561050,5039625167,1004062,10837,1943,382,391866,0 89,2,2024-09-07 09:48:31:133,730861,730861,0,0,34814202,0,3173 89,3,2024-09-07 09:48:31:791,1,581,12,0,468,9867,581,0 90,0,2024-09-07 09:48:31:617,141915,0.5,145770,0.6,297492,0.4,388348,2.00 90,1,2024-09-07 09:48:30:604,1019113,1019113,0,0,478630863863,5015128952,1009177,9046,890,380,391825,0 90,2,2024-09-07 09:48:31:407,730482,730482,0,0,37904378,0,3608 90,3,2024-09-07 09:48:30:934,1,581,9,0,322,7211,581,0 91,0,2024-09-07 09:48:30:926,147189,0.4,142861,0.6,298856,0.4,391780,1.75 91,1,2024-09-07 09:48:30:564,1016245,1016245,0,0,478369431638,5042127048,1000989,12189,3067,381,392047,0 91,2,2024-09-07 09:48:31:331,734916,734916,0,0,33650222,0,4713 91,3,2024-09-07 09:48:30:601,1,581,2,0,216,5328,581,0 92,0,2024-09-07 09:48:31:460,145111,0.5,148410,0.6,282992,0.5,383616,1.75 92,1,2024-09-07 09:48:30:589,1020304,1020304,0,0,479138288840,5003748307,1012461,6632,1211,381,392136,0 92,2,2024-09-07 09:48:31:350,738119,738119,0,0,29615553,0,3259 92,3,2024-09-07 09:48:31:009,1,581,77,0,167,5047,581,0 93,0,2024-09-07 09:48:30:974,145813,0.4,149400,0.6,285242,0.4,387238,1.75 93,1,2024-09-07 09:48:30:816,1020444,1020444,0,0,478940794733,5000233559,1009025,9492,1927,366,391776,0 93,2,2024-09-07 09:48:30:931,732565,732565,0,0,35228405,0,4913 93,3,2024-09-07 09:48:31:406,1,581,0,0,294,5785,581,0 94,0,2024-09-07 09:48:31:738,144861,0.4,145914,0.5,291717,0.3,387393,1.75 94,1,2024-09-07 09:48:30:567,1021199,1021199,0,0,478577910821,4991941036,1014455,6314,430,381,391850,0 94,2,2024-09-07 09:48:30:762,733763,733735,28,0,29966013,0,6179 94,3,2024-09-07 09:48:31:708,1,581,9,0,576,7125,581,0 95,0,2024-09-07 09:48:31:356,146893,0.3,146976,0.5,294105,0.3,391470,1.75 95,1,2024-09-07 09:48:30:861,1022302,1022302,0,0,479181387806,4980257537,1014126,7472,704,365,391852,0 95,2,2024-09-07 09:48:31:018,734097,734097,0,0,29644029,0,3308 95,3,2024-09-07 09:48:31:714,1,581,1,0,718,8877,581,0 96,0,2024-09-07 09:48:31:046,144997,0.4,145369,0.5,290633,0.3,385857,1.75 96,1,2024-09-07 09:48:31:589,1020358,1020358,0,0,479148100199,4998628574,1013011,6075,1272,384,391964,0 96,2,2024-09-07 09:48:31:268,738640,738640,0,0,30766072,0,4180 96,3,2024-09-07 09:48:31:140,1,581,4,0,411,6868,581,0 97,0,2024-09-07 09:48:31:310,142454,0.3,142239,0.5,285454,0.3,379187,1.75 97,1,2024-09-07 09:48:30:763,1022076,1022076,0,0,480318362783,4984515230,1015505,5545,1026,367,392140,0 97,2,2024-09-07 09:48:30:607,735488,735488,0,0,30483624,0,4046 97,3,2024-09-07 09:48:30:583,1,581,2,0,242,6541,581,0 98,0,2024-09-07 09:48:31:706,146231,0.3,146261,0.5,293447,0.3,390027,1.50 98,1,2024-09-07 09:48:30:584,1021314,1021314,0,0,479364647913,4994094593,1015050,5441,823,381,391997,0 98,2,2024-09-07 09:48:30:782,733488,733488,0,0,29300718,0,4336 98,3,2024-09-07 09:48:30:698,1,581,19,0,840,8831,581,0 99,0,2024-09-07 09:48:31:463,148101,0.3,148870,0.5,296061,0.3,394777,1.75 99,1,2024-09-07 09:48:31:723,1022140,1022140,0,0,479013956145,4986287784,1015784,5416,940,380,392069,0 99,2,2024-09-07 09:48:31:417,733856,733856,0,0,36049733,0,4276 99,3,2024-09-07 09:48:30:588,1,581,4,0,1124,7465,581,0 100,0,2024-09-07 09:48:31:467,146286,0.8,146583,0.9,292886,0.9,391150,2.50 100,1,2024-09-07 09:48:30:553,1016032,1016032,0,0,476630969710,5043436725,1000028,12692,3312,378,391989,0 100,2,2024-09-07 09:48:31:821,732830,732441,389,0,39957322,0,16909 100,3,2024-09-07 09:48:31:731,1,581,2,0,627,9999,581,0 101,0,2024-09-07 09:48:31:695,144975,1.3,141429,1.1,276829,1.5,378394,2.50 101,1,2024-09-07 09:48:30:556,1017354,1017354,0,0,477468774815,5020692985,1003433,11395,2526,368,391847,0 101,2,2024-09-07 09:48:31:759,732021,732021,0,0,39938611,0,4871 101,3,2024-09-07 09:48:30:948,1,581,30,0,1250,8945,581,0 102,0,2024-09-07 09:48:30:961,143835,0.7,148165,0.8,300838,0.7,393784,2.25 102,1,2024-09-07 09:48:31:145,1017580,1017580,0,0,477442525815,5019476622,1003483,11901,2196,369,391984,0 102,2,2024-09-07 09:48:31:738,732597,732543,54,0,33867802,0,6768 102,3,2024-09-07 09:48:31:622,1,581,12,0,466,6835,581,0 103,0,2024-09-07 09:48:31:597,151938,0.6,151983,0.7,286322,0.6,394549,2.00 103,1,2024-09-07 09:48:31:638,1015756,1015756,0,0,476745867479,5039224168,999017,13147,3592,381,392077,0 103,2,2024-09-07 09:48:30:589,731090,731090,0,0,36504872,0,3766 103,3,2024-09-07 09:48:30:761,1,581,19,0,916,7072,581,0 104,0,2024-09-07 09:48:31:009,146032,0.7,146221,0.9,291810,0.7,390225,2.25 104,1,2024-09-07 09:48:31:599,1018819,1018819,0,0,478049343979,5026872351,1003456,12522,2841,365,392168,0 104,2,2024-09-07 09:48:31:676,732579,732579,0,0,36245690,0,4161 104,3,2024-09-07 09:48:31:416,1,581,12,0,1245,10497,581,0 105,0,2024-09-07 09:48:31:030,141035,0.8,137306,1.0,287833,0.9,378156,2.50 105,1,2024-09-07 09:48:30:560,1020307,1020307,0,0,478891250908,5017158068,1007798,10696,1813,364,392009,0 105,2,2024-09-07 09:48:31:322,736605,736605,0,0,36416807,0,4360 105,3,2024-09-07 09:48:31:304,1,581,0,0,573,8918,581,0 106,0,2024-09-07 09:48:30:966,141003,0.8,144330,0.9,295735,1.0,386303,2.50 106,1,2024-09-07 09:48:31:752,1018792,1018792,0,0,477974417509,5020416978,1004219,12681,1892,368,391914,0 106,2,2024-09-07 09:48:30:758,730941,730941,0,0,33428494,0,3254 106,3,2024-09-07 09:48:30:678,1,581,12,0,1224,8355,581,0 107,0,2024-09-07 09:48:31:106,145383,1.0,145493,0.9,291121,1.2,388043,2.25 107,1,2024-09-07 09:48:30:601,1016121,1016121,0,0,476824447629,5041155353,999327,14872,1922,381,392234,0 107,2,2024-09-07 09:48:31:291,730687,730686,1,0,36621629,0,5024 107,3,2024-09-07 09:48:31:760,1,581,24,0,733,8984,581,0 108,0,2024-09-07 09:48:31:800,146499,0.4,146985,0.6,293049,0.4,390523,1.75 108,1,2024-09-07 09:48:31:294,1020379,1020379,0,0,479934748169,5006250567,1011865,7534,980,367,391894,0 108,2,2024-09-07 09:48:31:776,735060,735060,0,0,31914534,0,4246 108,3,2024-09-07 09:48:31:330,1,581,1,0,749,10743,581,0 109,0,2024-09-07 09:48:31:759,144551,0.4,143215,0.6,287538,0.3,383898,1.75 109,1,2024-09-07 09:48:30:604,1017395,1017395,0,0,477914634406,5013564778,1008463,7709,1223,382,392132,0 109,2,2024-09-07 09:48:30:933,736311,736311,0,0,32869054,0,3617 109,3,2024-09-07 09:48:31:140,1,581,8,0,630,7197,581,0 110,0,2024-09-07 09:48:31:773,143572,0.4,139575,0.6,292220,0.3,383590,1.75 110,1,2024-09-07 09:48:31:648,1022207,1022207,0,0,479816571056,4982557059,1014284,5999,1924,368,392045,0 110,2,2024-09-07 09:48:31:307,733769,733769,0,0,30232629,0,4067 110,3,2024-09-07 09:48:30:690,1,581,8,0,722,7965,581,0 111,0,2024-09-07 09:48:31:415,146736,0.4,145905,0.5,291815,0.3,388852,1.75 111,1,2024-09-07 09:48:31:000,1023621,1023621,0,0,480776456225,4984667264,1018732,4525,364,380,391690,0 111,2,2024-09-07 09:48:31:116,733398,733398,0,0,30584616,0,4823 111,3,2024-09-07 09:48:30:912,1,581,25,0,379,6478,581,0 112,0,2024-09-07 09:48:30:990,148392,0.3,147719,0.4,296233,0.2,392947,1.50 112,1,2024-09-07 09:48:30:833,1022102,1022102,0,0,480193490701,4988442855,1014910,5967,1225,380,391624,0 112,2,2024-09-07 09:48:31:138,734284,734283,1,0,29255153,0,5036 112,3,2024-09-07 09:48:30:592,1,581,1,0,282,5389,581,0 113,0,2024-09-07 09:48:30:870,146244,0.3,146199,0.5,292698,0.2,389113,1.75 113,1,2024-09-07 09:48:31:687,1024134,1024134,0,0,481959644436,4974579194,1017923,5264,947,365,391664,0 113,2,2024-09-07 09:48:31:303,740320,740320,0,0,27644451,0,3813 113,3,2024-09-07 09:48:30:684,1,581,4,0,510,6272,581,0 114,0,2024-09-07 09:48:30:873,142658,0.3,143669,0.5,285846,0.2,382279,1.75 114,1,2024-09-07 09:48:30:720,1022502,1022502,0,0,479859213731,4984123371,1014677,6079,1746,381,391565,0 114,2,2024-09-07 09:48:30:873,737479,737478,1,0,29038377,0,5069 114,3,2024-09-07 09:48:31:283,1,581,11,0,395,4633,581,0 115,0,2024-09-07 09:48:30:554,147980,0.3,148451,0.4,295575,0.2,393185,1.50 115,1,2024-09-07 09:48:30:579,1022531,1022531,0,0,479877438496,4987537972,1014287,6727,1517,382,391757,0 115,2,2024-09-07 09:48:31:124,734459,734459,0,0,28680545,0,4382 115,3,2024-09-07 09:48:31:002,1,581,5,0,167,3490,581,0 116,0,2024-09-07 09:48:31:711,147254,0.7,146937,0.8,294620,0.6,393903,2.00 116,1,2024-09-07 09:48:30:808,1017615,1017615,0,0,477390438792,5035762351,1005024,9502,3089,380,392089,0 116,2,2024-09-07 09:48:31:751,733060,733060,0,0,36490544,0,4475 116,3,2024-09-07 09:48:30:925,1,581,5,0,448,7686,581,0 117,0,2024-09-07 09:48:30:982,147109,0.7,146328,0.8,293230,0.7,392191,2.00 117,1,2024-09-07 09:48:31:578,1018701,1018701,0,0,477606350214,5005077833,1007093,10088,1520,369,392033,0 117,2,2024-09-07 09:48:31:122,737576,737576,0,0,33092329,0,4303 117,3,2024-09-07 09:48:31:059,1,581,4,0,490,7606,581,0 118,0,2024-09-07 09:48:31:815,138243,0.6,142006,0.7,289794,0.5,379274,2.00 118,1,2024-09-07 09:48:30:589,1017308,1017308,0,0,477598667910,5026072333,1001561,12126,3621,366,392054,0 118,2,2024-09-07 09:48:31:585,736158,736158,0,0,35218216,0,2842 118,3,2024-09-07 09:48:31:764,1,581,16,0,289,6770,581,0 119,0,2024-09-07 09:48:31:389,146330,0.7,147022,0.8,293307,0.7,390843,2.25 119,1,2024-09-07 09:48:30:580,1018437,1018437,0,0,478979434379,5026882908,1003884,12042,2511,367,391857,0 119,2,2024-09-07 09:48:31:264,732515,732515,0,0,33002690,0,4309 119,3,2024-09-07 09:48:31:333,1,581,3,0,1358,10927,581,0 120,0,2024-09-07 09:48:31:543,145520,0.7,145290,0.8,291865,0.7,389412,2.25 120,1,2024-09-07 09:48:30:857,1019605,1019605,0,0,477802791349,5011227645,1008955,9666,984,367,392144,0 120,2,2024-09-07 09:48:30:773,732000,731997,3,0,39218319,0,5363 120,3,2024-09-07 09:48:31:290,1,581,1,0,279,7204,581,0 121,0,2024-09-07 09:48:31:700,146936,1.1,146384,1.0,293154,1.4,390662,2.25 121,1,2024-09-07 09:48:31:662,1019471,1019471,0,0,478123786556,5001664783,1009233,9384,854,366,391840,0 121,2,2024-09-07 09:48:31:124,734336,734336,0,0,35804468,0,4157 121,3,2024-09-07 09:48:30:732,1,581,21,0,387,7828,581,0 122,0,2024-09-07 09:48:31:762,143008,0.8,139139,0.9,291546,0.9,383506,2.25 122,1,2024-09-07 09:48:30:863,1018200,1018200,0,0,478251246472,5021983590,1003856,12009,2335,366,392130,0 122,2,2024-09-07 09:48:31:319,738139,738064,75,0,39659924,0,5989 122,3,2024-09-07 09:48:30:596,1,581,21,0,512,9650,581,0 123,0,2024-09-07 09:48:30:963,144451,0.9,140519,0.9,294356,1.0,385681,2.25 123,1,2024-09-07 09:48:30:566,1018195,1018195,0,0,477913735011,5029761895,1001512,14013,2670,369,392039,0 123,2,2024-09-07 09:48:31:024,730419,730418,1,0,33527876,0,5215 123,3,2024-09-07 09:48:31:132,1,581,1,0,478,6778,581,0 124,0,2024-09-07 09:48:30:921,149327,0.3,149329,0.5,281741,0.3,387726,1.75 124,1,2024-09-07 09:48:31:022,1022300,1022300,0,0,478879150830,4978816361,1014768,6361,1171,365,392178,0 124,2,2024-09-07 09:48:31:009,735122,735069,53,0,30152873,0,6487 124,3,2024-09-07 09:48:30:759,1,581,36,0,490,5851,581,0 125,0,2024-09-07 09:48:31:424,146707,0.4,146778,0.5,294442,0.3,391572,1.75 125,1,2024-09-07 09:48:30:857,1019346,1019346,0,0,478534636966,4995493220,1011529,6683,1134,382,391968,0 125,2,2024-09-07 09:48:31:116,736927,736927,0,0,30090960,0,4534 125,3,2024-09-07 09:48:31:126,1,581,1,0,709,6670,581,0 126,0,2024-09-07 09:48:31:475,145248,0.4,149363,0.6,285732,0.4,386555,1.75 126,1,2024-09-07 09:48:30:551,1022904,1022904,0,0,479837079582,4968607608,1017444,5014,446,365,391987,0 126,2,2024-09-07 09:48:30:610,739582,739582,0,0,31632778,0,4539 126,3,2024-09-07 09:48:30:913,1,581,10,0,268,6444,581,0 127,0,2024-09-07 09:48:31:638,142670,0.3,142823,0.5,285326,0.3,379652,1.75 127,1,2024-09-07 09:48:30:580,1020879,1020879,0,0,478962214223,4985296754,1010409,8840,1630,364,392187,0 127,2,2024-09-07 09:48:30:636,734299,734295,4,0,29751739,0,5305 127,3,2024-09-07 09:48:31:267,1,581,1,0,968,5717,581,0 128,0,2024-09-07 09:48:31:521,147120,0.3,147155,0.4,294010,0.2,390590,1.50 128,1,2024-09-07 09:48:31:611,1021439,1021439,0,0,478767690802,4980789382,1013305,7181,953,367,392423,0 128,2,2024-09-07 09:48:31:386,734234,734234,0,0,27614528,0,3171 128,3,2024-09-07 09:48:30:769,1,581,22,0,1082,9298,581,0 129,0,2024-09-07 09:48:30:995,149222,0.3,148419,0.5,297634,0.3,395252,1.50 129,1,2024-09-07 09:48:30:572,1017684,1017684,0,0,478318387696,5014849618,1007270,8392,2022,379,391962,0 129,2,2024-09-07 09:48:30:690,735647,735643,4,0,30061775,0,5335 129,3,2024-09-07 09:48:30:691,1,581,3,0,506,8115,581,0 130,0,2024-09-07 09:48:31:744,147580,0.4,146977,0.6,294664,0.4,392487,1.75 130,1,2024-09-07 09:48:30:598,1022090,1022090,0,0,479249405845,4978954285,1016773,4923,394,381,391825,0 130,2,2024-09-07 09:48:31:125,737517,737517,0,0,30348266,0,4067 130,3,2024-09-07 09:48:31:290,1,581,12,0,960,7874,581,0 131,0,2024-09-07 09:48:31:945,142202,0.4,142633,0.5,285930,0.3,379983,1.75 131,1,2024-09-07 09:48:31:858,1021232,1021232,0,0,479609348532,5000501884,1014116,5921,1195,381,391865,0 131,2,2024-09-07 09:48:30:577,737342,737342,0,0,28319339,0,3979 131,3,2024-09-07 09:48:31:695,1,581,83,0,392,7556,581,0 132,0,2024-09-07 09:48:31:414,148013,0.5,148952,0.6,296763,0.5,394752,2.00 132,1,2024-09-07 09:48:30:593,1017027,1017027,0,0,477468289649,5030630138,1001732,12593,2702,381,392532,0 132,2,2024-09-07 09:48:30:697,732033,732016,17,0,36849607,0,6451 132,3,2024-09-07 09:48:31:698,1,581,0,0,1298,10695,581,0 133,0,2024-09-07 09:48:31:522,143484,0.4,146747,0.6,300985,0.4,392151,2.00 133,1,2024-09-07 09:48:30:605,1017197,1017197,0,0,477906478657,5039091672,1003146,12289,1762,383,391914,0 133,2,2024-09-07 09:48:31:087,733857,733807,50,0,38008531,0,6861 133,3,2024-09-07 09:48:31:302,1,581,2,0,528,7122,581,0 134,0,2024-09-07 09:48:30:964,147257,0.5,147392,0.7,294914,0.5,392373,2.00 134,1,2024-09-07 09:48:30:589,1018267,1018267,0,0,477839813074,5015710307,1004622,11159,2486,366,391781,0 134,2,2024-09-07 09:48:31:764,735370,735346,24,0,35011129,0,6207 134,3,2024-09-07 09:48:30:753,1,581,18,0,739,7221,581,0 135,0,2024-09-07 09:48:31:139,138064,0.9,138020,0.9,293072,1.0,378143,2.25 135,1,2024-09-07 09:48:31:586,1017845,1017845,0,0,478497937752,5034395196,1004882,11323,1640,380,391805,0 135,2,2024-09-07 09:48:30:689,738011,738011,0,0,36022696,0,4503 135,3,2024-09-07 09:48:31:002,1,581,1,0,900,5848,581,0 136,0,2024-09-07 09:48:31:616,146454,0.6,146877,0.7,291963,0.5,389725,2.00 136,1,2024-09-07 09:48:31:456,1019105,1019105,0,0,478504293982,5017052575,1007579,10157,1369,381,392135,0 136,2,2024-09-07 09:48:31:133,733275,733260,15,0,35052782,0,6007 136,3,2024-09-07 09:48:31:106,1,581,1,0,637,6829,581,0 137,0,2024-09-07 09:48:31:011,150339,0.6,146557,0.7,287068,0.6,390064,2.00 137,1,2024-09-07 09:48:30:584,1018033,1018033,0,0,478164894482,5017975658,1002015,12766,3252,366,391898,0 137,2,2024-09-07 09:48:31:705,731723,731723,0,0,36339859,0,3185 137,3,2024-09-07 09:48:30:769,1,581,2,0,484,8163,581,0 138,0,2024-09-07 09:48:31:739,145920,0.7,146147,0.9,292966,0.8,388928,2.00 138,1,2024-09-07 09:48:31:689,1018688,1018688,0,0,478532491175,5021880506,1004365,12070,2253,368,391954,0 138,2,2024-09-07 09:48:30:586,734050,734050,0,0,34154935,0,4988 138,3,2024-09-07 09:48:30:610,1,581,11,0,1200,9307,581,0 139,0,2024-09-07 09:48:31:373,142694,1.1,143277,1.0,286462,1.6,382192,2.25 139,1,2024-09-07 09:48:30:579,1014495,1014495,0,0,475603666695,5045822652,996507,14200,3788,380,392109,0 139,2,2024-09-07 09:48:30:692,733412,733412,0,0,38845454,0,3379 139,3,2024-09-07 09:48:31:668,1,581,1,0,432,6999,581,0 140,0,2024-09-07 09:48:31:599,144229,0.3,143372,0.5,287532,0.2,383998,1.75 140,1,2024-09-07 09:48:31:536,1025020,1025020,0,0,481340265132,4962717292,1020072,4402,546,364,391606,0 140,2,2024-09-07 09:48:30:691,734293,734292,1,0,28196981,0,5036 140,3,2024-09-07 09:48:30:785,1,581,10,0,575,5872,581,0 141,0,2024-09-07 09:48:31:698,146274,0.3,150309,0.5,287329,0.3,388653,1.75 141,1,2024-09-07 09:48:30:864,1022828,1022828,0,0,480450136059,4991419746,1014861,6788,1179,379,391614,0 141,2,2024-09-07 09:48:31:687,733962,733951,11,0,30171183,0,5369 141,3,2024-09-07 09:48:31:047,1,581,2,0,391,6432,581,0 142,0,2024-09-07 09:48:31:321,148378,0.3,147577,0.5,295398,0.2,393762,1.50 142,1,2024-09-07 09:48:30:591,1020875,1020875,0,0,479258721064,4995372280,1014503,5868,504,382,392102,0 142,2,2024-09-07 09:48:31:310,733563,733531,32,0,30435290,0,6028 142,3,2024-09-07 09:48:31:748,1,581,13,0,484,6182,581,0 143,0,2024-09-07 09:48:31:371,145923,0.4,146061,0.5,293034,0.4,389003,1.75 143,1,2024-09-07 09:48:30:588,1023586,1023586,0,0,479614310099,4976556764,1017199,5821,566,367,391722,0 143,2,2024-09-07 09:48:30:774,738533,738533,0,0,30483481,0,3123 143,3,2024-09-07 09:48:31:139,1,581,13,0,462,7176,581,0 144,0,2024-09-07 09:48:31:521,138118,0.6,142057,0.8,289160,0.6,378898,2.00 144,1,2024-09-07 09:48:30:565,1017875,1017875,0,0,477778593148,5013822216,1007614,8274,1987,381,391733,0 144,2,2024-09-07 09:48:31:759,737145,737145,0,0,29880709,0,4443 144,3,2024-09-07 09:48:31:740,1,581,0,0,249,5682,581,0 145,0,2024-09-07 09:48:31:355,142485,0.6,142428,0.8,302285,0.5,389129,2.25 145,1,2024-09-07 09:48:30:552,1017134,1017134,0,0,477564966849,5029284200,1003130,11333,2671,382,391759,0 145,2,2024-09-07 09:48:31:438,731077,730995,82,0,35558832,0,7814 145,3,2024-09-07 09:48:30:896,1,581,42,0,622,7887,581,0 146,0,2024-09-07 09:48:31:601,147423,0.6,146849,0.8,295115,0.5,392120,2.00 146,1,2024-09-07 09:48:31:623,1018249,1018249,0,0,477554601736,5029626662,1000823,12946,4480,367,391770,0 146,2,2024-09-07 09:48:31:700,732005,731999,6,0,34090223,0,5151 146,3,2024-09-07 09:48:31:284,1,581,14,0,1520,9551,581,0 147,0,2024-09-07 09:48:31:698,146963,0.6,146627,0.7,293049,0.6,391332,2.25 147,1,2024-09-07 09:48:31:372,1022549,1022549,0,0,479186840227,4980228950,1014404,7198,947,367,391791,0 147,2,2024-09-07 09:48:31:009,735852,735852,0,0,29816774,0,2968 147,3,2024-09-07 09:48:30:922,1,581,1,0,1626,9093,581,0 0,0,2024-09-07 09:48:41:707,142487,0.6,142459,0.7,302441,0.6,390922,2.00 0,1,2024-09-07 09:48:40:810,1020731,1020731,0,0,479445683095,5020047656,1012464,7338,929,369,391896,0 0,2,2024-09-07 09:48:41:067,736029,736029,0,0,30184614,0,4480 0,3,2024-09-07 09:48:40:982,1,582,5,0,431,8604,582,0 1,0,2024-09-07 09:48:41:785,146890,0.8,145840,0.9,293356,1.0,391531,2.00 1,1,2024-09-07 09:48:40:572,1019828,1019828,0,0,479050446159,5019142820,1010417,7921,1490,370,391859,0 1,2,2024-09-07 09:48:40:647,736650,736650,0,0,29539049,0,3380 1,3,2024-09-07 09:48:41:319,1,582,5,0,269,7085,582,0 2,0,2024-09-07 09:48:41:577,143634,0.6,143445,0.7,286752,0.6,382641,2.00 2,1,2024-09-07 09:48:40:886,1023284,1023284,0,0,481070461264,5002856271,1017195,5140,949,379,391745,0 2,2,2024-09-07 09:48:41:273,739240,739240,0,0,28554209,0,3594 2,3,2024-09-07 09:48:40:701,1,582,12,0,357,5227,582,0 3,0,2024-09-07 09:48:41:754,145375,0.4,145194,0.6,289823,0.3,386991,2.00 3,1,2024-09-07 09:48:41:618,1021599,1021599,0,0,480009240357,4993775361,1014024,6872,703,379,391716,0 3,2,2024-09-07 09:48:41:142,734854,734831,23,0,29407207,0,5851 3,3,2024-09-07 09:48:41:754,1,582,2,0,275,4051,582,0 4,0,2024-09-07 09:48:41:778,141346,0.4,145220,0.5,296162,0.3,387518,1.75 4,1,2024-09-07 09:48:40:600,1017815,1017815,0,0,476820768800,5051618207,1000014,14048,3753,370,391992,0 4,2,2024-09-07 09:48:41:026,731545,731545,0,0,36122856,0,4534 4,3,2024-09-07 09:48:41:033,1,582,2,0,448,7275,582,0 5,0,2024-09-07 09:48:41:376,147131,0.4,147146,0.5,294519,0.3,391274,1.75 5,1,2024-09-07 09:48:40:761,1017877,1017877,0,0,478954484541,5060713294,1001619,12134,4124,367,392005,0 5,2,2024-09-07 09:48:41:842,733442,733442,0,0,35079447,0,3582 5,3,2024-09-07 09:48:41:732,1,582,37,0,457,8123,582,0 6,0,2024-09-07 09:48:40:927,145334,0.5,144949,0.7,290062,0.4,386064,2.00 6,1,2024-09-07 09:48:40:750,1021102,1021102,0,0,478773534615,5004047947,1010095,9434,1573,379,391702,0 6,2,2024-09-07 09:48:41:116,739621,739603,18,0,34241390,0,5535 6,3,2024-09-07 09:48:41:283,1,582,12,0,710,7194,582,0 7,0,2024-09-07 09:48:41:530,142126,0.5,143151,0.7,284542,0.5,380080,2.00 7,1,2024-09-07 09:48:40:867,1018671,1018671,0,0,479144823566,5049290262,1002349,12556,3766,382,391747,0 7,2,2024-09-07 09:48:40:770,734488,734488,0,0,32793600,0,4791 7,3,2024-09-07 09:48:40:855,1,582,0,0,552,6977,582,0 8,0,2024-09-07 09:48:41:372,146970,0.4,146686,0.5,293722,0.3,391033,1.75 8,1,2024-09-07 09:48:41:016,1018346,1018346,0,0,478152715292,5031363590,1002088,12717,3541,366,392853,0 8,2,2024-09-07 09:48:40:789,729572,729570,2,0,38833553,0,5112 8,3,2024-09-07 09:48:40:585,1,582,89,0,772,9352,582,0 9,0,2024-09-07 09:48:41:106,147928,0.4,143978,0.5,301312,0.3,394829,1.75 9,1,2024-09-07 09:48:40:553,1018138,1018138,0,0,477096576870,5032754013,1001773,13200,3165,369,392001,0 9,2,2024-09-07 09:48:41:083,734015,734014,1,0,35812529,0,5281 9,3,2024-09-07 09:48:41:752,1,582,5,0,1273,10015,582,0 10,0,2024-09-07 09:48:41:600,146828,0.3,146212,0.5,293686,0.3,391060,1.75 10,1,2024-09-07 09:48:40:586,1019832,1019832,0,0,478776168454,5025675530,1004624,12179,3029,381,391981,0 10,2,2024-09-07 09:48:40:764,737708,737708,0,0,39201317,0,4713 10,3,2024-09-07 09:48:40:875,1,582,15,0,669,6735,582,0 11,0,2024-09-07 09:48:41:018,142380,0.5,138175,0.6,288916,0.4,380947,1.75 11,1,2024-09-07 09:48:40:578,1021659,1021659,0,0,479687423098,5033896291,1006384,11134,4141,383,391766,0 11,2,2024-09-07 09:48:41:124,736280,736280,0,0,34907691,0,4698 11,3,2024-09-07 09:48:41:301,1,582,219,0,843,7759,582,0 12,0,2024-09-07 09:48:41:027,149061,0.4,149036,0.6,297663,0.4,395707,1.75 12,1,2024-09-07 09:48:40:994,1021160,1021160,0,0,478578440183,4992910528,1012868,7290,1002,370,391960,0 12,2,2024-09-07 09:48:41:541,732511,732511,0,0,33266756,0,4390 12,3,2024-09-07 09:48:41:059,1,582,7,0,386,7412,582,0 13,0,2024-09-07 09:48:41:335,148266,0.4,148407,0.5,295837,0.4,394500,1.75 13,1,2024-09-07 09:48:41:524,1018526,1018526,0,0,478122429063,5025543892,1008138,8081,2307,382,391768,0 13,2,2024-09-07 09:48:40:603,736270,736270,0,0,29469536,0,3287 13,3,2024-09-07 09:48:41:766,1,582,1,0,522,7843,582,0 14,0,2024-09-07 09:48:40:564,147205,0.4,148285,0.6,294252,0.3,391971,1.75 14,1,2024-09-07 09:48:41:570,1026159,1026159,0,0,481352608314,4981013873,1018219,6967,973,364,391673,0 14,2,2024-09-07 09:48:40:770,737672,737642,30,0,31102613,0,6104 14,3,2024-09-07 09:48:41:117,1,582,8,0,1168,6485,582,0 15,0,2024-09-07 09:48:41:554,142720,0.4,141865,0.6,284979,0.4,380316,2.00 15,1,2024-09-07 09:48:41:623,1023019,1023019,0,0,480719734244,5008103835,1013976,7130,1913,381,391619,0 15,2,2024-09-07 09:48:40:999,740607,740607,0,0,27227506,0,3622 15,3,2024-09-07 09:48:41:405,1,582,1,0,1126,7725,582,0 16,0,2024-09-07 09:48:40:936,146039,0.6,146719,0.7,292843,0.6,390038,2.00 16,1,2024-09-07 09:48:40:579,1023012,1023012,0,0,479282637768,5012259441,1013739,7833,1440,370,392194,0 16,2,2024-09-07 09:48:41:435,732701,732701,0,0,30677157,0,4719 16,3,2024-09-07 09:48:41:145,1,582,18,0,358,7469,582,0 17,0,2024-09-07 09:48:41:825,150403,0.6,146657,0.8,287308,0.6,390742,2.00 17,1,2024-09-07 09:48:40:584,1020883,1020883,0,0,478734330053,5023631745,1010701,8414,1768,368,392075,0 17,2,2024-09-07 09:48:41:672,737294,737293,1,0,31968943,0,5050 17,3,2024-09-07 09:48:40:575,1,582,9,0,518,8698,582,0 18,0,2024-09-07 09:48:40:940,145386,0.7,146367,0.8,291254,0.7,389087,2.25 18,1,2024-09-07 09:48:41:638,1024921,1024921,0,0,479795699579,4978255470,1017874,5790,1257,367,391725,0 18,2,2024-09-07 09:48:41:756,739182,739182,0,0,28302433,0,3541 18,3,2024-09-07 09:48:40:896,1,582,8,0,1059,5478,582,0 19,0,2024-09-07 09:48:41:544,143738,0.6,143867,0.8,286649,0.6,381655,2.00 19,1,2024-09-07 09:48:40:570,1024651,1024651,0,0,481609704403,4992914359,1016977,6541,1133,367,391777,0 19,2,2024-09-07 09:48:41:752,742210,742210,0,0,27037593,0,3988 19,3,2024-09-07 09:48:41:129,1,582,1,0,524,4539,582,0 20,0,2024-09-07 09:48:41:373,143993,0.6,143808,0.7,287667,0.6,383957,2.00 20,1,2024-09-07 09:48:40:572,1020362,1020362,0,0,479430764247,5026208666,1009810,9047,1505,369,391922,0 20,2,2024-09-07 09:48:40:930,733337,733337,0,0,33521940,0,4321 20,3,2024-09-07 09:48:40:601,1,582,1,0,468,9546,582,0 21,0,2024-09-07 09:48:41:171,146072,0.5,146100,0.6,292227,0.4,388009,2.00 21,1,2024-09-07 09:48:41:557,1018593,1018593,0,0,478420687754,5053555809,1002078,12723,3792,368,392016,0 21,2,2024-09-07 09:48:41:083,729049,728572,477,0,44205950,0,17074 21,3,2024-09-07 09:48:41:412,1,582,422,0,713,8549,582,0 22,0,2024-09-07 09:48:41:726,147536,0.5,148137,0.7,295578,0.4,392010,2.00 22,1,2024-09-07 09:48:41:023,1019631,1019631,0,0,478028278433,5035850907,1001864,14227,3540,382,391822,0 22,2,2024-09-07 09:48:40:762,734066,734040,26,0,32068168,0,6328 22,3,2024-09-07 09:48:41:074,1,582,8,0,228,4949,582,0 23,0,2024-09-07 09:48:41:388,145665,0.5,145402,0.7,290787,0.5,387300,2.25 23,1,2024-09-07 09:48:41:012,1019402,1019402,0,0,479539763154,5058900388,999931,12893,6578,365,391690,0 23,2,2024-09-07 09:48:41:098,739689,739689,0,0,30903429,0,3773 23,3,2024-09-07 09:48:41:754,1,582,2,0,855,8796,582,0 24,0,2024-09-07 09:48:40:836,143611,0.4,142807,0.6,287458,0.4,381747,1.75 24,1,2024-09-07 09:48:40:597,1019843,1019843,0,0,478576425763,5013019332,1009799,8335,1709,367,392269,0 24,2,2024-09-07 09:48:41:072,735570,735570,0,0,36989451,0,4438 24,3,2024-09-07 09:48:41:688,1,582,35,0,468,7581,582,0 25,0,2024-09-07 09:48:41:353,151556,0.5,147418,0.6,289634,0.4,394192,2.00 25,1,2024-09-07 09:48:40:569,1018363,1018363,0,0,478191903346,5055270052,1000101,14627,3635,369,391928,0 25,2,2024-09-07 09:48:41:606,731502,731502,0,0,37513367,0,3978 25,3,2024-09-07 09:48:41:010,1,582,1,0,532,6429,582,0 26,0,2024-09-07 09:48:41:718,147038,0.4,143771,0.6,301918,0.4,393069,2.00 26,1,2024-09-07 09:48:41:540,1022142,1022142,0,0,478715753839,5014690361,1008074,11567,2501,380,391748,0 26,2,2024-09-07 09:48:40:874,734088,734088,0,0,40516777,0,4689 26,3,2024-09-07 09:48:41:712,1,582,8,0,796,6818,582,0 27,0,2024-09-07 09:48:41:723,147084,0.4,147794,0.6,293863,0.4,392002,2.25 27,1,2024-09-07 09:48:41:677,1022404,1022404,0,0,480948854455,5011957488,1012796,8310,1298,381,391626,0 27,2,2024-09-07 09:48:40:869,734199,734134,65,0,35412867,0,5699 27,3,2024-09-07 09:48:41:015,1,582,1,0,564,5164,582,0 28,0,2024-09-07 09:48:41:392,143123,0.4,143094,0.6,286441,0.3,381453,1.75 28,1,2024-09-07 09:48:40:801,1023429,1023429,0,0,480498597236,5008038454,1015026,6666,1737,382,391904,0 28,2,2024-09-07 09:48:41:767,738701,738701,0,0,30358614,0,2915 28,3,2024-09-07 09:48:41:781,1,582,141,0,502,5829,582,0 29,0,2024-09-07 09:48:41:360,151409,0.4,147364,0.6,289340,0.3,394735,1.75 29,1,2024-09-07 09:48:41:564,1026619,1026619,0,0,480824841750,4972891780,1020525,5320,774,367,391809,0 29,2,2024-09-07 09:48:40:862,733541,733541,0,0,29159402,0,4986 29,3,2024-09-07 09:48:40:972,1,582,1,0,459,6449,582,0 30,0,2024-09-07 09:48:41:474,145768,0.5,142015,0.7,297375,0.4,388841,2.00 30,1,2024-09-07 09:48:40:571,1025364,1025364,0,0,481795800580,4998709710,1018086,6371,907,380,391672,0 30,2,2024-09-07 09:48:41:274,735641,735641,0,0,28019227,0,4192 30,3,2024-09-07 09:48:40:585,1,582,8,0,519,5514,582,0 31,0,2024-09-07 09:48:41:759,146650,0.4,147392,0.6,293768,0.4,392235,2.00 31,1,2024-09-07 09:48:40:576,1028817,1028817,0,0,482911038350,4958455379,1022813,4975,1029,356,391712,0 31,2,2024-09-07 09:48:41:274,736257,736257,0,0,31673516,0,4470 31,3,2024-09-07 09:48:41:706,1,582,1,0,239,5001,582,0 32,0,2024-09-07 09:48:41:417,144035,0.3,144902,0.5,288207,0.3,384090,1.75 32,1,2024-09-07 09:48:40:804,1024715,1024715,0,0,480470415971,4994032984,1018543,5456,716,381,391646,0 32,2,2024-09-07 09:48:40:935,741076,741076,0,0,27927285,0,3922 32,3,2024-09-07 09:48:41:025,1,582,1,0,304,4643,582,0 33,0,2024-09-07 09:48:41:494,145926,0.3,145547,0.4,291349,0.2,388029,1.50 33,1,2024-09-07 09:48:40:580,1024732,1024732,0,0,481773866717,4992131956,1016310,7150,1272,368,391730,0 33,2,2024-09-07 09:48:40:760,734774,734739,35,0,30734848,0,7012 33,3,2024-09-07 09:48:40:903,1,582,8,0,329,5137,582,0 34,0,2024-09-07 09:48:40:931,145825,0.3,149790,0.5,286564,0.2,386667,1.75 34,1,2024-09-07 09:48:41:043,1027141,1027141,0,0,482057550533,4960199844,1024182,2849,110,367,391637,0 34,2,2024-09-07 09:48:40:764,736040,736040,0,0,29055106,0,4562 34,3,2024-09-07 09:48:41:691,1,582,8,0,541,5417,582,0 35,0,2024-09-07 09:48:40:859,146186,0.3,147016,0.5,295066,0.2,391740,1.75 35,1,2024-09-07 09:48:41:067,1023417,1023417,0,0,480297867596,4986642769,1015341,6553,1523,382,391769,0 35,2,2024-09-07 09:48:41:583,736295,736295,0,0,31994765,0,4055 35,3,2024-09-07 09:48:40:907,1,582,2,0,466,5597,582,0 36,0,2024-09-07 09:48:41:550,145569,0.5,145518,0.7,290591,0.4,386914,2.00 36,1,2024-09-07 09:48:40:600,1021544,1021544,0,0,479736747655,5013888601,1007977,11327,2240,366,391759,0 36,2,2024-09-07 09:48:41:751,739727,739727,0,0,33776517,0,3875 36,3,2024-09-07 09:48:40:865,1,582,1,0,556,8190,582,0 37,0,2024-09-07 09:48:41:374,142120,0.6,142162,0.7,284748,0.5,380332,2.25 37,1,2024-09-07 09:48:40:576,1021531,1021524,0,7,479420471317,5009936160,1008882,9729,2913,365,391770,0 37,2,2024-09-07 09:48:41:141,732347,732332,15,0,33809329,0,5815 37,3,2024-09-07 09:48:41:767,1,582,1,0,888,7938,582,0 38,0,2024-09-07 09:48:41:438,145714,0.5,141272,0.7,295482,0.4,386969,2.00 38,1,2024-09-07 09:48:41:605,1021488,1021488,0,0,479623723474,5021306326,1006181,12308,2999,368,391821,0 38,2,2024-09-07 09:48:40:759,733391,733344,47,0,33913172,0,6710 38,3,2024-09-07 09:48:40:997,1,582,12,0,689,7360,582,0 39,0,2024-09-07 09:48:41:761,151247,0.5,147919,0.7,288414,0.5,393597,2.00 39,1,2024-09-07 09:48:40:723,1021207,1021207,0,0,479452038533,5031723792,1003786,13706,3715,365,391865,0 39,2,2024-09-07 09:48:41:417,735356,735356,0,0,31927466,0,3391 39,3,2024-09-07 09:48:40:713,1,582,1,0,525,6644,582,0 40,0,2024-09-07 09:48:41:495,145519,0.9,146165,1.0,291768,1.0,389213,2.75 40,1,2024-09-07 09:48:40:585,1022073,1022073,0,0,478807307104,5018017534,1007168,12065,2840,368,391668,0 40,2,2024-09-07 09:48:41:312,735752,735751,1,0,37696702,0,5137 40,3,2024-09-07 09:48:41:145,1,582,1,0,1028,8690,582,0 41,0,2024-09-07 09:48:41:026,141673,1.4,144861,1.2,276316,2.1,376015,3.25 41,1,2024-09-07 09:48:40:768,1020937,1020937,0,0,478693583702,5012466539,1007269,11510,2158,369,391878,0 41,2,2024-09-07 09:48:40:761,733929,733928,1,0,36508669,0,5408 41,3,2024-09-07 09:48:41:676,1,582,35,0,366,6335,582,0 42,0,2024-09-07 09:48:41:486,147030,1.0,146649,1.1,293578,1.2,389438,2.75 42,1,2024-09-07 09:48:41:439,1018555,1018555,0,0,478001071589,5026506480,1002412,13211,2932,380,391675,0 42,2,2024-09-07 09:48:41:143,731598,731597,1,0,36620892,0,5513 42,3,2024-09-07 09:48:41:009,1,582,9,0,892,5560,582,0 43,0,2024-09-07 09:48:40:917,145974,0.7,142132,0.9,297732,0.8,389469,2.25 43,1,2024-09-07 09:48:40:577,1022024,1022024,0,0,479949668088,5019561849,1007378,12081,2565,366,391696,0 43,2,2024-09-07 09:48:41:741,734717,734717,0,0,34781728,0,4723 43,3,2024-09-07 09:48:41:749,1,582,1,0,571,8653,582,0 44,0,2024-09-07 09:48:40:882,147430,0.4,147361,0.6,295316,0.3,392395,1.75 44,1,2024-09-07 09:48:40:570,1024741,1024741,0,0,480889404627,4972105939,1015554,7248,1939,356,391809,0 44,2,2024-09-07 09:48:41:267,735632,735632,0,0,28002487,0,4344 44,3,2024-09-07 09:48:41:099,1,582,23,0,1097,7311,582,0 45,0,2024-09-07 09:48:41:756,141184,0.5,137965,0.7,289506,0.4,380398,2.00 45,1,2024-09-07 09:48:41:005,1023611,1023611,0,0,480881198340,4997791635,1015422,7286,903,382,391917,0 45,2,2024-09-07 09:48:41:269,739782,739782,0,0,28536014,0,3596 45,3,2024-09-07 09:48:40:934,1,582,17,0,531,5693,582,0 46,0,2024-09-07 09:48:40:949,145529,0.5,145120,0.7,291249,0.5,386944,2.00 46,1,2024-09-07 09:48:40:578,1026065,1026065,0,0,481653052471,4978218849,1018974,6227,864,366,391709,0 46,2,2024-09-07 09:48:40:601,734338,734338,0,0,29041472,0,4443 46,3,2024-09-07 09:48:41:133,1,582,8,0,908,7107,582,0 47,0,2024-09-07 09:48:41:107,146255,0.4,146125,0.6,293580,0.4,389239,2.00 47,1,2024-09-07 09:48:40:572,1026721,1026721,0,0,481759711355,4977198374,1020875,4992,854,365,391641,0 47,2,2024-09-07 09:48:40:909,737920,737920,0,0,28119024,0,4477 47,3,2024-09-07 09:48:41:120,1,582,1,0,600,6545,582,0 48,0,2024-09-07 09:48:41:488,147062,0.3,147227,0.4,293944,0.2,390898,1.50 48,1,2024-09-07 09:48:41:029,1024345,1024345,0,0,480574379426,4991924852,1018272,5495,578,383,391710,0 48,2,2024-09-07 09:48:40:699,737287,737287,0,0,26743377,0,3524 48,3,2024-09-07 09:48:40:763,1,582,0,0,339,4856,582,0 49,0,2024-09-07 09:48:41:718,148064,0.3,145148,0.5,282451,0.3,385713,1.75 49,1,2024-09-07 09:48:41:025,1023168,1023168,0,0,480316295316,4997443785,1016019,5455,1694,382,391809,0 49,2,2024-09-07 09:48:41:799,740713,740713,0,0,29025715,0,4426 49,3,2024-09-07 09:48:41:416,1,582,1,0,992,7060,582,0 50,0,2024-09-07 09:48:41:506,144720,0.3,143059,0.5,288084,0.2,383966,1.75 50,1,2024-09-07 09:48:41:010,1026467,1026467,0,0,482240073493,4985596911,1020064,5734,669,368,391691,0 50,2,2024-09-07 09:48:41:069,733701,733701,0,0,26592448,0,4490 50,3,2024-09-07 09:48:41:295,1,582,9,0,617,6102,582,0 51,0,2024-09-07 09:48:41:687,149845,0.3,146859,0.5,285812,0.2,389552,1.75 51,1,2024-09-07 09:48:41:691,1027222,1027222,0,0,482808614498,4980100197,1021567,4575,1080,365,391706,0 51,2,2024-09-07 09:48:41:317,735396,735396,0,0,26299023,0,3337 51,3,2024-09-07 09:48:41:027,1,582,142,0,678,4255,582,0 52,0,2024-09-07 09:48:41:413,148154,0.5,147830,0.7,295960,0.4,392929,2.00 52,1,2024-09-07 09:48:40:599,1021649,1021649,0,0,479661914484,5023465433,1006675,12644,2330,368,391805,0 52,2,2024-09-07 09:48:41:755,732444,732406,38,0,35877181,0,6742 52,3,2024-09-07 09:48:40:675,1,582,0,0,1782,7051,582,0 53,0,2024-09-07 09:48:41:727,145386,0.7,141106,0.8,295138,0.7,386432,2.50 53,1,2024-09-07 09:48:40:792,1020314,1020314,0,0,479003901892,5031884341,1002135,13784,4395,367,391968,0 53,2,2024-09-07 09:48:41:297,738681,738680,1,0,32492181,0,5455 53,3,2024-09-07 09:48:40:701,1,582,1,0,308,5562,582,0 54,0,2024-09-07 09:48:41:617,140983,0.6,141353,0.8,281436,0.5,376032,2.25 54,1,2024-09-07 09:48:40:585,1022798,1022798,0,0,480697239486,5002821085,1011723,9405,1670,366,391810,0 54,2,2024-09-07 09:48:40:869,736392,736360,32,0,36076734,0,6397 54,3,2024-09-07 09:48:40:768,1,582,12,0,676,7731,582,0 55,0,2024-09-07 09:48:41:763,142253,0.7,146597,0.8,297282,0.6,386968,2.50 55,1,2024-09-07 09:48:40:768,1023392,1023392,0,0,480114421183,4996864667,1012537,9466,1389,365,391731,0 55,2,2024-09-07 09:48:40:741,732212,732156,56,0,33454473,0,7239 55,3,2024-09-07 09:48:40:678,1,582,1,0,304,5447,582,0 56,0,2024-09-07 09:48:41:575,150493,1.2,141870,1.1,292348,1.5,391442,2.75 56,1,2024-09-07 09:48:40:606,1017058,1017058,0,0,478033824717,5060047262,999304,14069,3685,381,391867,0 56,2,2024-09-07 09:48:41:312,733838,733716,122,0,35131339,0,7432 56,3,2024-09-07 09:48:41:059,1,582,1,0,705,6873,582,0 57,0,2024-09-07 09:48:40:942,145311,1.5,145142,1.2,290587,2.0,388317,3.25 57,1,2024-09-07 09:48:40:988,1019484,1019484,0,0,478513679224,5025945831,1005498,11592,2394,366,392032,0 57,2,2024-09-07 09:48:41:319,736411,736411,0,0,36925195,0,4804 57,3,2024-09-07 09:48:41:740,1,582,2,0,455,6721,582,0 58,0,2024-09-07 09:48:40:559,140656,1.0,136546,1.0,285760,1.3,373868,2.75 58,1,2024-09-07 09:48:40:575,1021094,1021091,0,3,480018076871,5035063496,1005346,11870,3875,367,391675,3 58,2,2024-09-07 09:48:41:071,738335,738335,0,0,35089011,0,3483 58,3,2024-09-07 09:48:41:078,1,582,9,0,1043,6611,582,0 59,0,2024-09-07 09:48:41:738,145981,0.8,145649,1.0,290779,0.9,386213,3.00 59,1,2024-09-07 09:48:40:812,1019721,1019721,0,0,479105339815,5040332990,1001910,14145,3666,369,391653,0 59,2,2024-09-07 09:48:40:596,733246,733246,0,0,32782436,0,3727 59,3,2024-09-07 09:48:41:737,1,582,5,0,1015,6971,582,0 60,0,2024-09-07 09:48:41:719,146469,0.5,146694,0.7,293397,0.5,391147,1.75 60,1,2024-09-07 09:48:40:780,1025127,1025127,0,0,481102424311,4998127959,1017838,6292,997,370,392031,0 60,2,2024-09-07 09:48:41:149,735474,735474,0,0,30664505,0,3811 60,3,2024-09-07 09:48:41:268,1,582,11,0,409,6655,582,0 61,0,2024-09-07 09:48:41:490,146837,0.6,147429,0.8,293453,0.6,391550,2.00 61,1,2024-09-07 09:48:40:788,1021458,1021458,0,0,479510034655,5020364800,1010677,9120,1661,382,392127,0 61,2,2024-09-07 09:48:41:129,737243,737176,67,0,31603056,0,6411 61,3,2024-09-07 09:48:41:687,1,582,9,0,607,7790,582,0 62,0,2024-09-07 09:48:41:705,144280,0.6,147999,0.7,282528,0.6,384055,2.00 62,1,2024-09-07 09:48:41:112,1028111,1028105,0,6,482873510910,4977724251,1023425,4396,284,365,391975,6 62,2,2024-09-07 09:48:41:647,737016,737015,1,0,31675799,0,5555 62,3,2024-09-07 09:48:41:145,1,582,15,0,482,4757,582,0 63,0,2024-09-07 09:48:41:465,145911,0.4,145738,0.6,292128,0.4,388217,1.75 63,1,2024-09-07 09:48:40:804,1024312,1024306,0,6,480628473686,4990947715,1017659,5792,855,381,391800,6 63,2,2024-09-07 09:48:40:771,734181,734181,0,0,29757815,0,4369 63,3,2024-09-07 09:48:41:732,1,582,1,0,667,6117,582,0 64,0,2024-09-07 09:48:41:520,144864,0.5,144895,0.7,289063,0.4,385110,2.00 64,1,2024-09-07 09:48:40:756,1023386,1023386,0,0,481344284354,5014740154,1014064,7346,1976,370,391794,0 64,2,2024-09-07 09:48:41:150,738806,738787,19,0,28914386,0,6121 64,3,2024-09-07 09:48:41:149,1,582,0,0,651,6233,582,0 65,0,2024-09-07 09:48:41:674,145999,0.6,146247,0.7,292156,0.6,389157,2.00 65,1,2024-09-07 09:48:40:863,1021654,1021654,0,0,479152621200,5009050816,1014249,6532,873,381,391901,0 65,2,2024-09-07 09:48:41:692,735425,735425,0,0,34602492,0,3367 65,3,2024-09-07 09:48:41:688,1,582,2,0,782,6520,582,0 66,0,2024-09-07 09:48:41:763,144633,0.5,144153,0.8,288568,0.5,383942,2.25 66,1,2024-09-07 09:48:41:303,1024195,1024195,0,0,480792103695,5003850945,1017699,5774,722,380,391743,0 66,2,2024-09-07 09:48:41:136,742217,742214,3,0,31008082,0,5455 66,3,2024-09-07 09:48:41:091,1,582,8,0,291,5016,582,0 67,0,2024-09-07 09:48:41:412,142600,0.5,142323,0.7,285706,0.5,380688,2.00 67,1,2024-09-07 09:48:40:778,1023196,1023195,0,1,481280241208,5011446442,1015903,6349,943,380,391787,1 67,2,2024-09-07 09:48:40:588,737685,737670,15,0,30709500,0,6205 67,3,2024-09-07 09:48:41:750,1,582,2,0,595,6133,582,0 68,0,2024-09-07 09:48:40:617,146669,0.6,146513,0.8,291718,0.6,390225,2.25 68,1,2024-09-07 09:48:40:589,1019211,1019211,0,0,478309529847,5032749006,1005434,10247,3530,381,391953,0 68,2,2024-09-07 09:48:41:048,730358,730258,100,0,39027065,0,8578 68,3,2024-09-07 09:48:40:734,1,582,0,0,417,7704,582,0 69,0,2024-09-07 09:48:41:727,147345,0.7,148169,0.8,295421,0.7,392370,2.25 69,1,2024-09-07 09:48:41:017,1018294,1018294,0,0,477881254064,5040054494,1004444,11019,2831,383,391994,0 69,2,2024-09-07 09:48:41:734,732754,732725,29,0,41173397,0,6912 69,3,2024-09-07 09:48:40:771,1,582,2,0,698,8557,582,0 70,0,2024-09-07 09:48:41:547,145286,0.8,145794,0.9,293149,0.7,388123,2.50 70,1,2024-09-07 09:48:40:814,1024688,1024688,0,0,481609355426,4999352551,1016782,7100,806,366,391725,0 70,2,2024-09-07 09:48:41:325,737619,737619,0,0,34328554,0,4323 70,3,2024-09-07 09:48:40:749,1,582,8,0,854,6564,582,0 71,0,2024-09-07 09:48:41:369,141113,1.1,140815,1.1,282904,1.5,377956,2.75 71,1,2024-09-07 09:48:41:595,1022516,1022516,0,0,480305298472,5016831373,1009531,11364,1621,368,391738,0 71,2,2024-09-07 09:48:41:067,736695,736695,0,0,34511414,0,4352 71,3,2024-09-07 09:48:41:750,1,582,1,0,644,6655,582,0 72,0,2024-09-07 09:48:41:031,152790,0.6,149349,0.7,291460,0.6,396455,2.00 72,1,2024-09-07 09:48:41:021,1020379,1020379,0,0,478970511505,5027187808,1005443,12437,2499,369,391819,0 72,2,2024-09-07 09:48:41:755,731653,731653,0,0,35944572,0,3983 72,3,2024-09-07 09:48:41:756,1,582,0,0,564,8513,582,0 73,0,2024-09-07 09:48:41:136,143702,0.4,147401,0.6,301326,0.4,392099,2.00 73,1,2024-09-07 09:48:40:790,1022342,1022342,0,0,480000653225,4992901823,1013976,7386,980,367,391858,0 73,2,2024-09-07 09:48:41:739,734231,734230,1,0,37275845,0,5027 73,3,2024-09-07 09:48:40:977,1,582,23,0,1091,8290,582,0 74,0,2024-09-07 09:48:41:325,148233,0.5,151725,0.7,289546,0.4,392693,2.00 74,1,2024-09-07 09:48:40:637,1021395,1021395,0,0,479783114377,5011536905,1009773,9514,2108,381,391762,0 74,2,2024-09-07 09:48:41:001,735817,735817,0,0,32691562,0,4253 74,3,2024-09-07 09:48:41:442,1,582,8,0,522,7366,582,0 75,0,2024-09-07 09:48:41:765,143241,0.6,142189,0.8,285472,0.5,381999,2.25 75,1,2024-09-07 09:48:41:585,1022682,1022682,0,0,479190627750,4996961451,1014178,7637,867,380,391739,0 75,2,2024-09-07 09:48:41:354,737541,737541,0,0,39702775,0,4766 75,3,2024-09-07 09:48:41:067,1,582,918,0,918,8075,582,0 76,0,2024-09-07 09:48:40:597,145711,0.5,145158,0.7,290346,0.5,388297,2.25 76,1,2024-09-07 09:48:40:810,1022372,1022372,0,0,479975254305,5005464132,1015355,6047,970,382,391790,0 76,2,2024-09-07 09:48:41:069,735502,735499,3,0,31652710,0,5265 76,3,2024-09-07 09:48:41:149,1,582,5,0,227,5196,582,0 77,0,2024-09-07 09:48:41:693,145497,0.5,146054,0.7,291986,0.5,388394,2.00 77,1,2024-09-07 09:48:40:825,1022118,1022118,0,0,480731285754,5015204266,1014368,6876,874,381,391869,0 77,2,2024-09-07 09:48:41:281,734309,734309,0,0,30989972,0,3890 77,3,2024-09-07 09:48:41:099,1,582,4,0,401,6410,582,0 78,0,2024-09-07 09:48:41:717,147282,0.4,146684,0.6,294058,0.4,389549,2.00 78,1,2024-09-07 09:48:40:613,1022715,1022715,0,0,479625340469,5002739584,1010542,9447,2726,367,391670,0 78,2,2024-09-07 09:48:41:407,738160,738147,13,0,29039906,0,8313 78,3,2024-09-07 09:48:41:144,1,582,9,0,311,4990,582,0 79,0,2024-09-07 09:48:41:347,139226,0.4,142531,0.6,292167,0.3,380440,2.00 79,1,2024-09-07 09:48:40:570,1025770,1025770,0,0,481494637656,4985389096,1017975,6435,1360,367,391682,0 79,2,2024-09-07 09:48:41:067,739989,739989,0,0,28251596,0,4195 79,3,2024-09-07 09:48:40:754,1,582,154,0,418,7062,582,0 80,0,2024-09-07 09:48:41:085,143869,0.5,147744,0.7,282699,0.5,382992,2.00 80,1,2024-09-07 09:48:41:619,1022909,1022909,0,0,480204238367,5000059507,1016288,6186,435,368,392269,0 80,2,2024-09-07 09:48:41:094,736558,736558,0,0,28719143,0,4433 80,3,2024-09-07 09:48:40:575,1,582,1,0,681,7323,582,0 81,0,2024-09-07 09:48:41:562,145959,0.6,149301,0.7,285183,0.5,386790,2.00 81,1,2024-09-07 09:48:41:657,1021300,1021300,0,0,478973810950,5007083109,1013168,7369,763,382,391885,0 81,2,2024-09-07 09:48:41:131,733722,733659,63,0,31797679,0,5932 81,3,2024-09-07 09:48:41:122,1,582,11,0,719,6936,582,0 82,0,2024-09-07 09:48:41:547,147486,0.5,147640,0.7,295961,0.4,391969,2.00 82,1,2024-09-07 09:48:40:586,1024270,1024266,0,4,480360917892,4993860643,1018701,4742,823,381,391768,4 82,2,2024-09-07 09:48:41:698,738180,738180,0,0,26884192,0,4484 82,3,2024-09-07 09:48:41:760,1,582,9,0,363,5591,582,0 83,0,2024-09-07 09:48:41:531,146085,0.6,146074,0.7,291448,0.6,386625,2.25 83,1,2024-09-07 09:48:40:558,1022058,1022058,0,0,479993233275,5004372430,1014653,6760,645,382,391709,0 83,2,2024-09-07 09:48:40:769,739381,739356,25,0,29063933,0,5612 83,3,2024-09-07 09:48:40:751,1,582,2,0,1260,7033,582,0 84,0,2024-09-07 09:48:41:784,141102,0.8,141279,0.9,282392,0.8,377640,2.50 84,1,2024-09-07 09:48:41:045,1020426,1020426,0,0,479541698090,5017844609,1008385,10370,1671,367,391967,0 84,2,2024-09-07 09:48:40:575,734601,734191,410,0,42988494,0,17037 84,3,2024-09-07 09:48:41:141,1,582,1,0,908,7924,582,0 85,0,2024-09-07 09:48:41:004,141441,0.7,141418,0.8,300280,0.7,387313,2.25 85,1,2024-09-07 09:48:40:575,1017965,1017965,0,0,478050651817,5052139625,1001673,13497,2795,381,392092,0 85,2,2024-09-07 09:48:40:866,732589,732589,0,0,36006552,0,4255 85,3,2024-09-07 09:48:40:689,1,582,1,0,789,6806,582,0 86,0,2024-09-07 09:48:40:907,147459,0.7,151538,0.8,289887,0.7,392238,2.25 86,1,2024-09-07 09:48:40:839,1020475,1020475,0,0,479702763067,5033920580,1006669,11121,2685,366,392169,0 86,2,2024-09-07 09:48:40:869,733592,733591,1,0,38272343,0,5004 86,3,2024-09-07 09:48:40:587,1,582,7,0,308,8225,582,0 87,0,2024-09-07 09:48:41:331,147109,0.8,146572,0.8,293094,1.0,391751,2.25 87,1,2024-09-07 09:48:40:554,1020062,1020062,0,0,479770541268,5030163379,1006694,11572,1796,366,392076,0 87,2,2024-09-07 09:48:41:072,735907,735901,6,0,34829125,0,6323 87,3,2024-09-07 09:48:41:794,1,582,1,0,473,8487,582,0 88,0,2024-09-07 09:48:41:482,142854,0.5,143223,0.6,286488,0.4,381380,2.00 88,1,2024-09-07 09:48:40:583,1018854,1018854,0,0,478719591514,5020920450,1003941,11930,2983,365,392084,0 88,2,2024-09-07 09:48:40:692,737540,737540,0,0,37656975,0,4465 88,3,2024-09-07 09:48:41:269,1,582,3,0,1080,9154,582,0 89,0,2024-09-07 09:48:41:766,151349,0.4,146924,0.6,289693,0.4,394046,1.75 89,1,2024-09-07 09:48:40:553,1018529,1018529,0,0,479834879574,5049130230,1005720,10866,1943,382,391866,0 89,2,2024-09-07 09:48:41:135,732427,732427,0,0,35092563,0,3173 89,3,2024-09-07 09:48:41:793,1,582,1,0,468,9868,582,0 90,0,2024-09-07 09:48:41:663,142233,0.5,146104,0.6,298124,0.4,389116,2.00 90,1,2024-09-07 09:48:40:596,1020696,1020696,0,0,479537988024,5024570314,1010759,9047,890,380,391825,0 90,2,2024-09-07 09:48:41:413,731525,731525,0,0,37967154,0,3608 90,3,2024-09-07 09:48:40:934,1,582,11,0,322,7222,582,0 91,0,2024-09-07 09:48:40:929,147344,0.4,143020,0.6,299203,0.4,392179,1.75 91,1,2024-09-07 09:48:40:559,1018007,1018007,0,0,479170174261,5051533521,1002511,12426,3070,381,392047,0 91,2,2024-09-07 09:48:41:332,735951,735951,0,0,33716079,0,4713 91,3,2024-09-07 09:48:40:602,1,582,14,0,216,5342,582,0 92,0,2024-09-07 09:48:41:460,145357,0.5,148691,0.6,283500,0.5,384272,1.75 92,1,2024-09-07 09:48:40:585,1022014,1022014,0,0,480004397974,5012938084,1014163,6639,1212,381,392136,0 92,2,2024-09-07 09:48:41:359,739387,739387,0,0,29708593,0,3259 92,3,2024-09-07 09:48:41:026,1,582,33,0,167,5080,582,0 93,0,2024-09-07 09:48:40:972,146208,0.4,149796,0.6,286038,0.4,388281,1.75 93,1,2024-09-07 09:48:40:812,1022120,1022120,0,0,479626729833,5007551367,1010701,9492,1927,366,391776,0 93,2,2024-09-07 09:48:40:936,733814,733814,0,0,35297846,0,4913 93,3,2024-09-07 09:48:41:406,1,582,6,0,294,5791,582,0 94,0,2024-09-07 09:48:41:645,145019,0.4,146094,0.5,292035,0.3,387878,1.75 94,1,2024-09-07 09:48:40:572,1022938,1022938,0,0,479515672998,5001692157,1016193,6315,430,381,391850,0 94,2,2024-09-07 09:48:40:803,734864,734836,28,0,30023471,0,6179 94,3,2024-09-07 09:48:41:696,1,582,15,0,576,7140,582,0 95,0,2024-09-07 09:48:41:361,147002,0.3,147105,0.5,294288,0.3,391722,1.75 95,1,2024-09-07 09:48:40:865,1024030,1024030,0,0,480093075219,4989758706,1015851,7475,704,365,391852,0 95,2,2024-09-07 09:48:41:021,735539,735539,0,0,29738398,0,3308 95,3,2024-09-07 09:48:41:709,1,582,198,0,718,9075,582,0 96,0,2024-09-07 09:48:41:053,145090,0.4,145473,0.5,290821,0.3,386179,1.75 96,1,2024-09-07 09:48:41:590,1022143,1022143,0,0,480014632885,5007588518,1014796,6075,1272,384,391964,0 96,2,2024-09-07 09:48:41:268,740032,740032,0,0,30808040,0,4180 96,3,2024-09-07 09:48:41:155,1,582,7,0,411,6875,582,0 97,0,2024-09-07 09:48:41:369,142896,0.3,142724,0.5,286350,0.3,380562,1.75 97,1,2024-09-07 09:48:40:770,1023927,1023927,0,0,481006673494,4991786584,1017355,5546,1026,367,392140,0 97,2,2024-09-07 09:48:40:613,736200,736200,0,0,30503155,0,4046 97,3,2024-09-07 09:48:40:569,1,582,49,0,242,6590,582,0 98,0,2024-09-07 09:48:41:695,146329,0.3,146373,0.5,293671,0.3,390319,1.50 98,1,2024-09-07 09:48:40:576,1023037,1023037,0,0,480225656569,5003049674,1016773,5441,823,381,391997,0 98,2,2024-09-07 09:48:40:768,734904,734904,0,0,29356096,0,4336 98,3,2024-09-07 09:48:40:704,1,582,8,0,840,8839,582,0 99,0,2024-09-07 09:48:41:449,148238,0.3,148995,0.5,296299,0.3,395027,1.75 99,1,2024-09-07 09:48:41:721,1023927,1023927,0,0,480077386735,4997265269,1017569,5417,941,380,392069,0 99,2,2024-09-07 09:48:41:417,735371,735371,0,0,36146033,0,4276 99,3,2024-09-07 09:48:40:593,1,582,1,0,1124,7466,582,0 100,0,2024-09-07 09:48:41:460,146524,0.8,146794,0.9,293318,0.9,391668,2.50 100,1,2024-09-07 09:48:40:569,1017776,1017776,0,0,477421059017,5051775576,1001772,12692,3312,378,391989,0 100,2,2024-09-07 09:48:41:816,733991,733602,389,0,40017144,0,16909 100,3,2024-09-07 09:48:41:738,1,582,1,0,627,10000,582,0 101,0,2024-09-07 09:48:41:704,145395,1.3,141832,1.1,277614,1.5,379617,2.50 101,1,2024-09-07 09:48:40:554,1019103,1019103,0,0,478320161942,5029456789,1005182,11395,2526,368,391847,0 101,2,2024-09-07 09:48:41:756,732942,732942,0,0,39965194,0,4871 101,3,2024-09-07 09:48:40:946,1,582,2,0,1250,8947,582,0 102,0,2024-09-07 09:48:40:977,144028,0.7,148353,0.8,301290,0.7,394089,2.25 102,1,2024-09-07 09:48:41:170,1019381,1019381,0,0,478257647578,5027988131,1005284,11901,2196,369,391984,0 102,2,2024-09-07 09:48:41:741,734156,734102,54,0,33899075,0,6768 102,3,2024-09-07 09:48:41:617,1,582,10,0,466,6845,582,0 103,0,2024-09-07 09:48:41:619,152243,0.6,152302,0.7,286896,0.6,395338,2.00 103,1,2024-09-07 09:48:41:643,1017509,1017509,0,0,477468466608,5046898973,1000766,13151,3592,381,392077,0 103,2,2024-09-07 09:48:40:586,732414,732414,0,0,36558512,0,3766 103,3,2024-09-07 09:48:40:759,1,582,1,0,916,7073,582,0 104,0,2024-09-07 09:48:41:060,146252,0.7,146438,0.9,292240,0.7,390784,2.25 104,1,2024-09-07 09:48:41:608,1020556,1020556,0,0,478759210761,5034282656,1005191,12524,2841,365,392168,0 104,2,2024-09-07 09:48:41:674,733657,733657,0,0,36312920,0,4161 104,3,2024-09-07 09:48:41:421,1,582,8,0,1245,10505,582,0 105,0,2024-09-07 09:48:41:047,141404,0.9,137683,1.1,288552,1.0,379420,2.75 105,1,2024-09-07 09:48:40:559,1021995,1021995,0,0,479776451267,5027336675,1009323,10858,1814,364,392009,0 105,2,2024-09-07 09:48:41:331,737835,737835,0,0,36518882,0,4360 105,3,2024-09-07 09:48:41:339,1,582,2,0,573,8920,582,0 106,0,2024-09-07 09:48:40:959,141268,0.8,144636,0.9,296366,1.0,386967,2.50 106,1,2024-09-07 09:48:41:757,1020693,1020693,0,0,478785924526,5029270749,1006084,12716,1893,368,391914,0 106,2,2024-09-07 09:48:40:762,732095,732095,0,0,33827601,0,3254 106,3,2024-09-07 09:48:40:697,1,582,17,0,1224,8372,582,0 107,0,2024-09-07 09:48:41:231,145712,1.0,145800,0.9,291719,1.2,389155,2.25 107,1,2024-09-07 09:48:40:594,1017785,1017785,0,0,477477368314,5048252436,1000989,14874,1922,381,392234,0 107,2,2024-09-07 09:48:41:309,732018,732017,1,0,36734364,0,5024 107,3,2024-09-07 09:48:41:756,1,582,1,0,733,8985,582,0 108,0,2024-09-07 09:48:41:795,146656,0.4,147166,0.6,293385,0.4,391024,1.75 108,1,2024-09-07 09:48:41:312,1022035,1022035,0,0,480523491295,5013318665,1013379,7663,993,367,391894,0 108,2,2024-09-07 09:48:41:775,736322,736322,0,0,32006126,0,4246 108,3,2024-09-07 09:48:41:347,1,582,10,0,749,10753,582,0 109,0,2024-09-07 09:48:41:784,144841,0.4,143540,0.6,288165,0.3,384847,1.75 109,1,2024-09-07 09:48:40:586,1019235,1019235,0,0,478783727136,5022611715,1010303,7709,1223,382,392132,0 109,2,2024-09-07 09:48:40:925,737675,737675,0,0,32944757,0,3617 109,3,2024-09-07 09:48:41:141,1,582,29,0,630,7226,582,0 110,0,2024-09-07 09:48:41:755,143994,0.4,140031,0.6,293160,0.4,384885,1.75 110,1,2024-09-07 09:48:41:654,1023853,1023853,0,0,480541673647,4990176517,1015928,6000,1925,368,392045,0 110,2,2024-09-07 09:48:41:303,734688,734688,0,0,30263680,0,4067 110,3,2024-09-07 09:48:40:696,1,582,8,0,722,7973,582,0 111,0,2024-09-07 09:48:41:412,146849,0.4,146020,0.5,292061,0.3,389195,1.75 111,1,2024-09-07 09:48:41:001,1025309,1025309,0,0,481602134288,4993374941,1020420,4525,364,380,391690,0 111,2,2024-09-07 09:48:41:121,734631,734631,0,0,30717073,0,4823 111,3,2024-09-07 09:48:40:917,1,582,1,0,379,6479,582,0 112,0,2024-09-07 09:48:40:920,148494,0.3,147835,0.4,296450,0.2,393264,1.50 112,1,2024-09-07 09:48:40:844,1023867,1023867,0,0,480758455057,4994381988,1016675,5967,1225,380,391624,0 112,2,2024-09-07 09:48:41:136,735767,735766,1,0,29292871,0,5036 112,3,2024-09-07 09:48:40:594,1,582,203,0,282,5592,582,0 113,0,2024-09-07 09:48:40:881,146284,0.3,146243,0.5,292774,0.2,389113,1.75 113,1,2024-09-07 09:48:41:699,1025845,1025845,0,0,482608983976,4981249023,1019634,5264,947,365,391664,0 113,2,2024-09-07 09:48:41:312,741716,741716,0,0,27693533,0,3813 113,3,2024-09-07 09:48:40:689,1,582,6,0,510,6278,582,0 114,0,2024-09-07 09:48:40:874,143020,0.3,144019,0.5,286593,0.2,383203,1.75 114,1,2024-09-07 09:48:40:716,1024228,1024228,0,0,480811203560,4993910043,1016403,6079,1746,381,391565,0 114,2,2024-09-07 09:48:40:876,738177,738176,1,0,29055720,0,5069 114,3,2024-09-07 09:48:41:279,1,582,8,0,395,4641,582,0 115,0,2024-09-07 09:48:40:555,148075,0.3,148541,0.4,295755,0.2,393414,1.50 115,1,2024-09-07 09:48:40:575,1024258,1024258,0,0,480508352119,4994133111,1016014,6727,1517,382,391757,0 115,2,2024-09-07 09:48:41:125,735876,735876,0,0,28723343,0,4382 115,3,2024-09-07 09:48:41:009,1,582,1,0,167,3491,582,0 116,0,2024-09-07 09:48:41:714,147474,0.7,147190,0.8,295077,0.6,394483,2.00 116,1,2024-09-07 09:48:40:805,1019377,1019377,0,0,478234618659,5044475139,1006780,9508,3089,380,392089,0 116,2,2024-09-07 09:48:41:751,734527,734527,0,0,36539730,0,4475 116,3,2024-09-07 09:48:40:915,1,582,1,0,448,7687,582,0 117,0,2024-09-07 09:48:41:005,147391,0.7,146652,0.8,293893,0.7,393126,2.00 117,1,2024-09-07 09:48:41:589,1020474,1020474,0,0,478402488655,5013317417,1008866,10088,1520,369,392033,0 117,2,2024-09-07 09:48:41:127,738604,738604,0,0,33142184,0,4303 117,3,2024-09-07 09:48:41:061,1,582,2,0,490,7608,582,0 118,0,2024-09-07 09:48:41:770,138549,0.6,142296,0.7,290417,0.5,379938,2.00 118,1,2024-09-07 09:48:40:591,1019159,1019159,0,0,478582767199,5036326077,1003412,12126,3621,366,392054,0 118,2,2024-09-07 09:48:41:587,737216,737216,0,0,35252259,0,2842 118,3,2024-09-07 09:48:41:777,1,582,219,0,289,6989,582,0 119,0,2024-09-07 09:48:41:411,146789,0.7,147521,0.8,294274,0.8,392607,2.25 119,1,2024-09-07 09:48:40:550,1020165,1020165,0,0,479632869423,5034200419,1005560,12094,2511,367,391857,0 119,2,2024-09-07 09:48:41:300,734024,734024,0,0,33098715,0,4309 119,3,2024-09-07 09:48:41:325,1,582,6,0,1358,10933,582,0 120,0,2024-09-07 09:48:41:557,145845,0.7,145607,0.8,292478,0.7,390222,2.25 120,1,2024-09-07 09:48:40:868,1021338,1021338,0,0,478435688017,5019548798,1010293,10028,1017,367,392144,0 120,2,2024-09-07 09:48:40:771,733235,733232,3,0,39291220,0,5363 120,3,2024-09-07 09:48:41:302,1,582,1,0,279,7205,582,0 121,0,2024-09-07 09:48:41:702,147101,1.1,146547,1.0,293461,1.4,391064,2.25 121,1,2024-09-07 09:48:41:658,1021010,1021010,0,0,479027071404,5010964634,1010772,9384,854,366,391840,0 121,2,2024-09-07 09:48:41:129,735411,735411,0,0,35886233,0,4157 121,3,2024-09-07 09:48:40:740,1,582,25,0,387,7853,582,0 122,0,2024-09-07 09:48:41:763,143281,0.8,139412,0.9,292015,0.9,384110,2.25 122,1,2024-09-07 09:48:40:921,1019640,1019640,0,0,478970461702,5030978882,1004918,12328,2394,366,392130,0 122,2,2024-09-07 09:48:41:318,739369,739294,75,0,39782446,0,5989 122,3,2024-09-07 09:48:40:602,1,582,8,0,512,9658,582,0 123,0,2024-09-07 09:48:40:982,144867,0.9,140911,0.9,295169,1.0,386769,2.25 123,1,2024-09-07 09:48:40:572,1019930,1019930,0,0,478638360404,5037508192,1003244,14016,2670,369,392039,0 123,2,2024-09-07 09:48:41:022,731693,731692,1,0,33668800,0,5215 123,3,2024-09-07 09:48:41:181,1,582,4,0,478,6782,582,0 124,0,2024-09-07 09:48:40:921,149491,0.3,149511,0.5,282057,0.3,388162,1.75 124,1,2024-09-07 09:48:41:035,1023978,1023978,0,0,479735199376,4988352825,1016316,6488,1174,365,392178,0 124,2,2024-09-07 09:48:41:014,736300,736247,53,0,30215947,0,6487 124,3,2024-09-07 09:48:40:762,1,582,1,0,490,5852,582,0 125,0,2024-09-07 09:48:41:457,146819,0.4,146869,0.5,294649,0.3,391817,1.75 125,1,2024-09-07 09:48:40:862,1021032,1021032,0,0,479357650992,5004226020,1013210,6688,1134,382,391968,0 125,2,2024-09-07 09:48:41:115,738366,738366,0,0,30161016,0,4534 125,3,2024-09-07 09:48:41:130,1,582,1,0,709,6671,582,0 126,0,2024-09-07 09:48:41:532,145347,0.4,149448,0.6,285916,0.4,386878,1.75 126,1,2024-09-07 09:48:40:554,1024596,1024596,0,0,480541492798,4975830871,1019136,5014,446,365,391987,0 126,2,2024-09-07 09:48:40:613,740910,740910,0,0,31759298,0,4539 126,3,2024-09-07 09:48:40:914,1,582,18,0,268,6462,582,0 127,0,2024-09-07 09:48:41:606,143141,0.3,143309,0.5,286261,0.3,381011,1.75 127,1,2024-09-07 09:48:40:576,1022630,1022630,0,0,479790875286,4993950559,1012159,8841,1630,364,392187,0 127,2,2024-09-07 09:48:40:638,735010,735006,4,0,29808097,0,5305 127,3,2024-09-07 09:48:41:269,1,582,7,0,968,5724,582,0 128,0,2024-09-07 09:48:41:538,147240,0.3,147244,0.4,294207,0.2,390879,1.50 128,1,2024-09-07 09:48:41:605,1023158,1023158,0,0,479675359586,4990045665,1015024,7181,953,367,392423,0 128,2,2024-09-07 09:48:41:391,735697,735697,0,0,27649498,0,3171 128,3,2024-09-07 09:48:40:772,1,582,29,0,1082,9327,582,0 129,0,2024-09-07 09:48:41:017,149359,0.3,148534,0.5,297869,0.3,395511,1.50 129,1,2024-09-07 09:48:40:572,1019496,1019496,0,0,479141543328,5023345103,1009082,8392,2022,379,391962,0 129,2,2024-09-07 09:48:40:690,737122,737118,4,0,30111745,0,5335 129,3,2024-09-07 09:48:40:694,1,582,1,0,506,8116,582,0 130,0,2024-09-07 09:48:41:725,147795,0.4,147194,0.5,295112,0.4,392981,1.75 130,1,2024-09-07 09:48:40:588,1023863,1023863,0,0,480151804938,4988340464,1018546,4923,394,381,391825,0 130,2,2024-09-07 09:48:41:134,738801,738801,0,0,30384002,0,4067 130,3,2024-09-07 09:48:41:302,1,582,8,0,960,7882,582,0 131,0,2024-09-07 09:48:41:945,142608,0.4,143040,0.5,286728,0.3,381224,1.75 131,1,2024-09-07 09:48:41:822,1023050,1023050,0,0,480364302185,5008327107,1015934,5921,1195,381,391865,0 131,2,2024-09-07 09:48:40:570,738324,738324,0,0,28346363,0,3979 131,3,2024-09-07 09:48:41:702,1,582,6,0,392,7562,582,0 132,0,2024-09-07 09:48:41:459,148227,0.5,149161,0.6,297160,0.5,395045,2.00 132,1,2024-09-07 09:48:40:754,1018745,1018745,0,0,478157295273,5037778926,1003450,12593,2702,381,392532,0 132,2,2024-09-07 09:48:40:711,733584,733567,17,0,36926359,0,6451 132,3,2024-09-07 09:48:41:689,1,582,1,0,1298,10696,582,0 133,0,2024-09-07 09:48:41:515,143789,0.4,147046,0.6,301588,0.4,392925,2.00 133,1,2024-09-07 09:48:40:586,1018983,1018983,0,0,478664630312,5046949856,1004932,12289,1762,383,391914,0 133,2,2024-09-07 09:48:41:087,735141,735091,50,0,38047414,0,6861 133,3,2024-09-07 09:48:41:305,1,582,1,0,528,7123,582,0 134,0,2024-09-07 09:48:40:960,147467,0.5,147612,0.7,295312,0.5,392961,2.00 134,1,2024-09-07 09:48:40:588,1020102,1020102,0,0,478597041581,5024130507,1006359,11257,2486,366,391781,0 134,2,2024-09-07 09:48:41:757,736466,736442,24,0,35080112,0,6207 134,3,2024-09-07 09:48:40:760,1,582,10,0,739,7231,582,0 135,0,2024-09-07 09:48:41:127,138453,0.9,138483,0.9,293955,1.0,379375,2.25 135,1,2024-09-07 09:48:41:604,1019566,1019566,0,0,479394504224,5043664803,1006603,11323,1640,380,391805,0 135,2,2024-09-07 09:48:40:739,739297,739297,0,0,36061410,0,4503 135,3,2024-09-07 09:48:41:002,1,582,1,0,900,5849,582,0 136,0,2024-09-07 09:48:41:612,146733,0.6,147165,0.7,292514,0.5,390440,2.00 136,1,2024-09-07 09:48:41:447,1021134,1021134,0,0,479612898996,5029072121,1009528,10237,1369,381,392135,0 136,2,2024-09-07 09:48:41:136,734542,734527,15,0,35149370,0,6007 136,3,2024-09-07 09:48:41:111,1,582,3,0,637,6832,582,0 137,0,2024-09-07 09:48:40:934,150633,0.6,146888,0.7,287636,0.6,390936,2.00 137,1,2024-09-07 09:48:40:586,1019878,1019878,0,0,478908732787,5026432731,1003775,12851,3252,366,391898,0 137,2,2024-09-07 09:48:41:705,733032,733032,0,0,36428679,0,3185 137,3,2024-09-07 09:48:40:773,1,582,0,0,484,8163,582,0 138,0,2024-09-07 09:48:41:744,146096,0.7,146309,0.9,293296,0.8,389403,2.00 138,1,2024-09-07 09:48:41:689,1020436,1020436,0,0,479253818731,5029843554,1006074,12109,2253,368,391954,0 138,2,2024-09-07 09:48:40:602,735375,735375,0,0,34419616,0,4988 138,3,2024-09-07 09:48:40:622,1,582,11,0,1200,9318,582,0 139,0,2024-09-07 09:48:41:393,142999,1.1,143574,1.0,287042,1.6,383054,2.25 139,1,2024-09-07 09:48:40:577,1016382,1016382,0,0,476382357490,5055514779,998126,14433,3823,380,392109,0 139,2,2024-09-07 09:48:40:697,734740,734740,0,0,39157290,0,3379 139,3,2024-09-07 09:48:41:667,1,582,16,0,432,7015,582,0 140,0,2024-09-07 09:48:41:591,144653,0.3,143851,0.5,288436,0.2,385131,1.75 140,1,2024-09-07 09:48:41:536,1026773,1026773,0,0,482094734272,4970584239,1021824,4403,546,364,391606,0 140,2,2024-09-07 09:48:40:690,735249,735248,1,0,28238862,0,5036 140,3,2024-09-07 09:48:40:766,1,582,11,0,575,5883,582,0 141,0,2024-09-07 09:48:41:698,146393,0.3,150425,0.5,287561,0.3,388959,1.75 141,1,2024-09-07 09:48:40:866,1024566,1024566,0,0,481244125521,4999764656,1016598,6789,1179,379,391614,0 141,2,2024-09-07 09:48:41:688,735247,735236,11,0,30239880,0,5369 141,3,2024-09-07 09:48:41:043,1,582,76,0,391,6508,582,0 142,0,2024-09-07 09:48:41:320,148495,0.3,147694,0.5,295630,0.2,394102,1.50 142,1,2024-09-07 09:48:40:592,1022699,1022699,0,0,480199820009,5006227215,1016124,6070,505,382,392102,0 142,2,2024-09-07 09:48:41:303,734945,734913,32,0,30537559,0,6028 142,3,2024-09-07 09:48:41:748,1,582,8,0,484,6190,582,0 143,0,2024-09-07 09:48:41:376,145955,0.4,146100,0.5,293122,0.4,389003,1.75 143,1,2024-09-07 09:48:40:569,1025272,1025272,0,0,480528677388,4986065387,1018885,5821,566,367,391722,0 143,2,2024-09-07 09:48:40:773,739972,739972,0,0,30553651,0,3123 143,3,2024-09-07 09:48:41:142,1,582,6,0,462,7182,582,0 144,0,2024-09-07 09:48:41:543,138434,0.6,142439,0.8,289897,0.6,379813,2.00 144,1,2024-09-07 09:48:40:573,1019588,1019588,0,0,478505733374,5022138460,1009185,8412,1991,381,391733,0 144,2,2024-09-07 09:48:41:766,737747,737747,0,0,29897364,0,4443 144,3,2024-09-07 09:48:41:741,1,582,4,0,249,5686,582,0 145,0,2024-09-07 09:48:41:421,142561,0.6,142528,0.8,302470,0.5,389370,2.25 145,1,2024-09-07 09:48:40:561,1018932,1018932,0,0,478457182421,5038484159,1004928,11333,2671,382,391759,0 145,2,2024-09-07 09:48:41:434,732555,732473,82,0,35622410,0,7814 145,3,2024-09-07 09:48:40:897,1,582,5,0,622,7892,582,0 146,0,2024-09-07 09:48:41:592,147664,0.6,147082,0.8,295582,0.5,392734,2.00 146,1,2024-09-07 09:48:41:585,1020029,1020029,0,0,478463137263,5039372950,1002577,12972,4480,367,391770,0 146,2,2024-09-07 09:48:41:698,733506,733500,6,0,34199239,0,5151 146,3,2024-09-07 09:48:41:283,1,582,44,0,1520,9595,582,0 147,0,2024-09-07 09:48:41:784,147277,0.6,146931,0.7,293667,0.6,392311,2.25 147,1,2024-09-07 09:48:41:372,1024212,1024212,0,0,479844219546,4987147489,1016066,7199,947,367,391791,0 147,2,2024-09-07 09:48:41:011,736910,736910,0,0,29897689,0,2968 147,3,2024-09-07 09:48:40:916,1,582,22,0,1626,9115,582,0 0,0,2024-09-07 09:48:51:730,142794,0.6,142775,0.7,303085,0.6,391696,2.00 0,1,2024-09-07 09:48:50:827,1022406,1022406,0,0,480017658276,5025983547,1014137,7340,929,369,391896,0 0,2,2024-09-07 09:48:51:070,737271,737271,0,0,30218117,0,4480 0,3,2024-09-07 09:48:51:010,1,583,1,0,431,8605,583,0 1,0,2024-09-07 09:48:51:764,147042,0.8,145985,0.9,293637,1.0,391913,2.00 1,1,2024-09-07 09:48:50:576,1021524,1021524,0,0,479893165567,5027776255,1012112,7922,1490,370,391859,0 1,2,2024-09-07 09:48:50:644,737758,737758,0,0,29574944,0,3380 1,3,2024-09-07 09:48:51:314,1,583,1,0,269,7086,583,0 2,0,2024-09-07 09:48:51:584,143866,0.6,143724,0.7,287241,0.6,383258,2.00 2,1,2024-09-07 09:48:50:865,1025008,1025008,0,0,481916751196,5011598475,1018919,5140,949,379,391745,0 2,2,2024-09-07 09:48:51:266,740503,740503,0,0,28592721,0,3594 2,3,2024-09-07 09:48:50:690,1,583,8,0,357,5235,583,0 3,0,2024-09-07 09:48:51:747,145732,0.4,145584,0.6,290618,0.3,387970,2.00 3,1,2024-09-07 09:48:51:622,1023316,1023316,0,0,480660552151,5000599238,1015740,6873,703,379,391716,0 3,2,2024-09-07 09:48:51:142,736201,736178,23,0,29452839,0,5851 3,3,2024-09-07 09:48:51:763,1,583,484,0,484,4535,583,0 4,0,2024-09-07 09:48:51:828,141510,0.4,145390,0.5,296518,0.3,387966,1.75 4,1,2024-09-07 09:48:50:599,1019488,1019488,0,0,477550440595,5059311597,1001687,14048,3753,370,391992,0 4,2,2024-09-07 09:48:51:022,732716,732716,0,0,36163784,0,4534 4,3,2024-09-07 09:48:51:041,1,583,1,0,448,7276,583,0 5,0,2024-09-07 09:48:51:401,147218,0.4,147244,0.5,294701,0.3,391523,1.75 5,1,2024-09-07 09:48:50:756,1019637,1019637,0,0,479800538760,5069402555,1003377,12136,4124,367,392005,0 5,2,2024-09-07 09:48:51:841,734797,734797,0,0,35130556,0,3582 5,3,2024-09-07 09:48:51:742,1,583,8,0,457,8131,583,0 6,0,2024-09-07 09:48:50:923,145441,0.5,145087,0.7,290272,0.4,386391,2.00 6,1,2024-09-07 09:48:50:755,1022819,1022819,0,0,479736697286,5013864256,1011812,9434,1573,379,391702,0 6,2,2024-09-07 09:48:51:116,740917,740899,18,0,34282366,0,5535 6,3,2024-09-07 09:48:51:277,1,583,8,0,710,7202,583,0 7,0,2024-09-07 09:48:51:537,142622,0.5,143683,0.7,285479,0.5,381406,2.00 7,1,2024-09-07 09:48:50:865,1020487,1020487,0,0,480032656407,5058511304,1004165,12556,3766,382,391747,0 7,2,2024-09-07 09:48:50:772,735287,735287,0,0,32824557,0,4791 7,3,2024-09-07 09:48:50:851,1,583,0,0,552,6977,583,0 8,0,2024-09-07 09:48:51:341,147094,0.4,146821,0.5,293935,0.3,391314,1.75 8,1,2024-09-07 09:48:51:018,1019968,1019968,0,0,478952925492,5041204455,1003354,13030,3584,366,392853,0 8,2,2024-09-07 09:48:50:795,730914,730912,2,0,38962732,0,5112 8,3,2024-09-07 09:48:50:587,1,583,0,0,772,9352,583,0 9,0,2024-09-07 09:48:51:180,148042,0.4,144080,0.5,301528,0.3,395083,1.75 9,1,2024-09-07 09:48:50:550,1019692,1019692,0,0,477923361711,5042389783,1003062,13408,3222,369,392001,0 9,2,2024-09-07 09:48:51:092,735345,735344,1,0,36661998,0,5281 9,3,2024-09-07 09:48:51:763,1,583,8,0,1273,10023,583,0 10,0,2024-09-07 09:48:51:602,147049,0.3,146404,0.5,294075,0.3,391549,1.75 10,1,2024-09-07 09:48:50:593,1021460,1021460,0,0,479383083528,5032934659,1006105,12310,3045,381,391981,0 10,2,2024-09-07 09:48:50:771,739048,739048,0,0,39350457,0,4713 10,3,2024-09-07 09:48:50:875,1,583,8,0,669,6743,583,0 11,0,2024-09-07 09:48:51:018,142822,0.5,138563,0.6,289817,0.4,381963,1.75 11,1,2024-09-07 09:48:50:576,1023356,1023356,0,0,480504633479,5042914275,1007998,11217,4141,383,391766,0 11,2,2024-09-07 09:48:51:122,737108,737108,0,0,34998600,0,4698 11,3,2024-09-07 09:48:51:297,1,583,5,0,843,7764,583,0 12,0,2024-09-07 09:48:50:979,149203,0.4,149187,0.6,297982,0.4,396006,1.75 12,1,2024-09-07 09:48:50:954,1022997,1022997,0,0,479341544173,5001057741,1014704,7291,1002,370,391960,0 12,2,2024-09-07 09:48:51:549,733889,733889,0,0,33482104,0,4390 12,3,2024-09-07 09:48:51:068,1,583,1,0,386,7413,583,0 13,0,2024-09-07 09:48:51:334,148533,0.4,148678,0.5,296419,0.4,395260,1.75 13,1,2024-09-07 09:48:51:524,1020212,1020212,0,0,478845257075,5034172978,1009629,8268,2315,382,391768,0 13,2,2024-09-07 09:48:50:599,737656,737656,0,0,29720507,0,3287 13,3,2024-09-07 09:48:51:764,1,583,3,0,522,7846,583,0 14,0,2024-09-07 09:48:50:564,147427,0.4,148482,0.6,294678,0.3,392522,1.75 14,1,2024-09-07 09:48:51:568,1027792,1027792,0,0,482256926509,4990310562,1019852,6967,973,364,391673,0 14,2,2024-09-07 09:48:50:771,738859,738829,30,0,31248506,0,6104 14,3,2024-09-07 09:48:51:115,1,583,8,0,1168,6493,583,0 15,0,2024-09-07 09:48:51:583,143189,0.4,142329,0.6,285844,0.4,381540,2.00 15,1,2024-09-07 09:48:51:616,1024807,1024807,0,0,481411049022,5015647295,1015739,7155,1913,381,391619,0 15,2,2024-09-07 09:48:51:008,741646,741646,0,0,27282084,0,3622 15,3,2024-09-07 09:48:51:409,1,583,138,0,1126,7863,583,0 16,0,2024-09-07 09:48:50:955,146342,0.6,147041,0.7,293427,0.6,390728,2.00 16,1,2024-09-07 09:48:50:570,1024778,1024778,0,0,479972353947,5019454733,1015505,7833,1440,370,392194,0 16,2,2024-09-07 09:48:51:450,734029,734029,0,0,30724591,0,4719 16,3,2024-09-07 09:48:51:149,1,583,10,0,358,7479,583,0 17,0,2024-09-07 09:48:51:807,150753,0.6,147004,0.8,287967,0.6,391681,2.00 17,1,2024-09-07 09:48:50:576,1022592,1022592,0,0,479454060101,5031091324,1012410,8414,1768,368,392075,0 17,2,2024-09-07 09:48:51:676,738718,738717,1,0,32012934,0,5050 17,3,2024-09-07 09:48:50:575,1,583,1,0,518,8699,583,0 18,0,2024-09-07 09:48:50:940,145551,0.6,146546,0.8,291617,0.7,389563,2.25 18,1,2024-09-07 09:48:51:648,1026657,1026657,0,0,480621419240,4986753491,1019610,5790,1257,367,391725,0 18,2,2024-09-07 09:48:51:764,740377,740377,0,0,28328840,0,3541 18,3,2024-09-07 09:48:50:897,1,583,7,0,1059,5485,583,0 19,0,2024-09-07 09:48:51:549,144083,0.6,144191,0.8,287317,0.6,382448,2.00 19,1,2024-09-07 09:48:50:570,1026236,1026236,0,0,482503697482,5002331221,1018558,6545,1133,367,391777,0 19,2,2024-09-07 09:48:51:769,743559,743559,0,0,27069937,0,3988 19,3,2024-09-07 09:48:51:139,1,583,5,0,524,4544,583,0 20,0,2024-09-07 09:48:51:382,144444,0.6,144281,0.7,288501,0.6,385114,2.00 20,1,2024-09-07 09:48:50:573,1022158,1022158,0,0,480335786471,5035583235,1011604,9049,1505,369,391922,0 20,2,2024-09-07 09:48:50:932,734352,734352,0,0,33555375,0,4321 20,3,2024-09-07 09:48:50:589,1,583,5,0,468,9551,583,0 21,0,2024-09-07 09:48:51:150,146204,0.5,146223,0.6,292473,0.4,388337,2.00 21,1,2024-09-07 09:48:51:551,1020459,1020459,0,0,479088934175,5060481934,1003944,12723,3792,368,392016,0 21,2,2024-09-07 09:48:51:068,730337,729860,477,0,44261718,0,17074 21,3,2024-09-07 09:48:51:404,1,583,4,0,713,8553,583,0 22,0,2024-09-07 09:48:51:720,147656,0.5,148260,0.7,295823,0.4,392356,2.00 22,1,2024-09-07 09:48:51:022,1021440,1021440,0,0,478909650536,5044973859,1003672,14228,3540,382,391822,0 22,2,2024-09-07 09:48:50:759,735517,735491,26,0,32120613,0,6328 22,3,2024-09-07 09:48:51:068,1,583,8,0,228,4957,583,0 23,0,2024-09-07 09:48:51:375,145678,0.5,145413,0.7,290815,0.5,387300,2.25 23,1,2024-09-07 09:48:51:002,1021194,1021194,0,0,480331242696,5067090349,1001723,12893,6578,365,391690,0 23,2,2024-09-07 09:48:51:092,741185,741185,0,0,30949996,0,3773 23,3,2024-09-07 09:48:51:756,1,583,1,0,855,8797,583,0 24,0,2024-09-07 09:48:50:851,143948,0.4,143188,0.6,288192,0.4,382709,1.75 24,1,2024-09-07 09:48:50:580,1021557,1021557,0,0,479429955779,5021953880,1011508,8340,1709,367,392269,0 24,2,2024-09-07 09:48:51:100,736328,736325,3,0,37437820,0,6294 24,3,2024-09-07 09:48:51:696,1,583,15,0,468,7596,583,0 25,0,2024-09-07 09:48:51:421,151659,0.5,147499,0.6,289816,0.4,394426,2.00 25,1,2024-09-07 09:48:50:559,1019986,1019986,0,0,479175028384,5066180462,1001602,14745,3639,369,391928,0 25,2,2024-09-07 09:48:51:626,732923,732923,0,0,37674716,0,3978 25,3,2024-09-07 09:48:51:001,1,583,6,0,532,6435,583,0 26,0,2024-09-07 09:48:51:734,147276,0.4,143989,0.6,302388,0.4,393647,1.75 26,1,2024-09-07 09:48:51:555,1023503,1023503,0,0,479506881263,5023280048,1009390,11612,2501,380,391748,0 26,2,2024-09-07 09:48:50:867,735582,735582,0,0,40626093,0,4689 26,3,2024-09-07 09:48:51:723,1,583,8,0,796,6826,583,0 27,0,2024-09-07 09:48:51:744,147407,0.4,148106,0.6,294513,0.4,392940,2.25 27,1,2024-09-07 09:48:51:676,1024125,1024125,0,0,481701466185,5022664330,1013880,8637,1608,381,391626,0 27,2,2024-09-07 09:48:50:867,735237,735172,65,0,35481090,0,5699 27,3,2024-09-07 09:48:51:017,1,583,0,0,564,5164,583,0 28,0,2024-09-07 09:48:51:403,143399,0.4,143366,0.6,286961,0.3,382178,1.75 28,1,2024-09-07 09:48:50:798,1025039,1025039,0,0,481631669142,5020152025,1016608,6693,1738,382,391904,0 28,2,2024-09-07 09:48:51:804,739687,739687,0,0,30450369,0,2915 28,3,2024-09-07 09:48:51:782,1,583,2,0,502,5831,583,0 29,0,2024-09-07 09:48:51:382,151925,0.4,147890,0.6,290300,0.3,396186,1.75 29,1,2024-09-07 09:48:51:597,1028237,1028237,0,0,481673710018,4981912627,1022139,5324,774,367,391809,0 29,2,2024-09-07 09:48:50:866,734956,734956,0,0,29373916,0,4986 29,3,2024-09-07 09:48:51:006,1,583,1,0,459,6450,583,0 30,0,2024-09-07 09:48:51:457,146069,0.5,142329,0.7,298019,0.4,389671,2.00 30,1,2024-09-07 09:48:50:582,1027055,1027055,0,0,482668131375,5007822411,1019777,6371,907,380,391672,0 30,2,2024-09-07 09:48:51:275,736891,736891,0,0,28126631,0,4192 30,3,2024-09-07 09:48:50:593,1,583,11,0,519,5525,583,0 31,0,2024-09-07 09:48:51:759,146797,0.4,147565,0.6,294087,0.4,392622,2.00 31,1,2024-09-07 09:48:50:572,1030480,1030480,0,0,483512904550,4965864298,1024214,5197,1069,356,391712,0 31,2,2024-09-07 09:48:51:278,737377,737377,0,0,31747044,0,4470 31,3,2024-09-07 09:48:51:709,1,583,1,0,239,5002,583,0 32,0,2024-09-07 09:48:51:442,144268,0.3,145158,0.5,288712,0.3,384725,1.75 32,1,2024-09-07 09:48:50:812,1026468,1026468,0,0,481405292303,5003724281,1020296,5456,716,381,391646,0 32,2,2024-09-07 09:48:50:934,742393,742393,0,0,28000702,0,3922 32,3,2024-09-07 09:48:51:018,1,583,59,0,304,4702,583,0 33,0,2024-09-07 09:48:51:492,146337,0.3,145916,0.4,292148,0.2,389069,1.50 33,1,2024-09-07 09:48:50:580,1026358,1026358,0,0,482352358022,4998092635,1017936,7150,1272,368,391730,0 33,2,2024-09-07 09:48:50:758,736075,736040,35,0,30768620,0,7012 33,3,2024-09-07 09:48:50:897,1,583,48,0,329,5185,583,0 34,0,2024-09-07 09:48:50:939,145996,0.3,149948,0.5,286905,0.2,387150,1.75 34,1,2024-09-07 09:48:51:044,1028919,1028919,0,0,482833231451,4968175127,1025960,2849,110,367,391637,0 34,2,2024-09-07 09:48:50:771,737185,737185,0,0,29111236,0,4562 34,3,2024-09-07 09:48:51:692,1,583,8,0,541,5425,583,0 35,0,2024-09-07 09:48:50:865,146277,0.3,147120,0.5,295255,0.2,391971,1.75 35,1,2024-09-07 09:48:51:069,1025071,1025071,0,0,480994165634,4993797442,1016995,6553,1523,382,391769,0 35,2,2024-09-07 09:48:51:594,737785,737785,0,0,32066530,0,4055 35,3,2024-09-07 09:48:50:907,1,583,2,0,466,5599,583,0 36,0,2024-09-07 09:48:51:529,145667,0.5,145627,0.7,290836,0.4,387240,2.00 36,1,2024-09-07 09:48:50:589,1023277,1023277,0,0,480490336363,5021834032,1009703,11334,2240,366,391759,0 36,2,2024-09-07 09:48:51:751,740984,740984,0,0,33885452,0,3875 36,3,2024-09-07 09:48:50:864,1,583,3,0,556,8193,583,0 37,0,2024-09-07 09:48:51:388,142629,0.6,142672,0.7,285734,0.5,381672,2.25 37,1,2024-09-07 09:48:50:572,1023234,1023227,0,7,480211003839,5018146856,1010584,9730,2913,365,391770,0 37,2,2024-09-07 09:48:51:146,733135,733120,15,0,33829233,0,5815 37,3,2024-09-07 09:48:52:012,1,583,1,0,888,7939,583,0 38,0,2024-09-07 09:48:51:448,145809,0.5,141372,0.7,295725,0.4,387250,2.00 38,1,2024-09-07 09:48:51:606,1023200,1023200,0,0,480530391525,5030723266,1007893,12308,2999,368,391821,0 38,2,2024-09-07 09:48:50:760,734809,734762,47,0,33960771,0,6710 38,3,2024-09-07 09:48:51:008,1,583,11,0,689,7371,583,0 39,0,2024-09-07 09:48:51:760,151356,0.5,148019,0.7,288619,0.5,393831,2.00 39,1,2024-09-07 09:48:50:716,1022957,1022957,0,0,480306643185,5040510544,1005536,13706,3715,365,391865,0 39,2,2024-09-07 09:48:51:424,736778,736778,0,0,32006451,0,3391 39,3,2024-09-07 09:48:50:715,1,583,1,0,525,6645,583,0 40,0,2024-09-07 09:48:51:492,145712,0.9,146372,1.0,292160,1.0,389816,2.75 40,1,2024-09-07 09:48:50:581,1023832,1023832,0,0,479888915140,5031496939,1008368,12397,3067,368,391668,0 40,2,2024-09-07 09:48:51:311,736919,736912,7,0,38469862,0,5347 40,3,2024-09-07 09:48:51:147,1,583,70,0,1028,8760,583,0 41,0,2024-09-07 09:48:51:045,142064,1.4,145287,1.2,277190,2.1,377176,3.25 41,1,2024-09-07 09:48:50:778,1022762,1022762,0,0,479589902574,5021878007,1009083,11521,2158,369,391878,0 41,2,2024-09-07 09:48:50:758,734708,734707,1,0,36861183,0,5408 41,3,2024-09-07 09:48:51:692,1,583,15,0,366,6350,583,0 42,0,2024-09-07 09:48:51:486,147149,1.0,146791,1.1,293803,1.2,389750,2.75 42,1,2024-09-07 09:48:51:442,1020114,1020114,0,0,478877264459,5036362919,1003792,13390,2932,380,391675,0 42,2,2024-09-07 09:48:51:136,733088,733087,1,0,36795847,0,5513 42,3,2024-09-07 09:48:51:010,1,583,8,0,892,5568,583,0 43,0,2024-09-07 09:48:50:930,146256,0.7,142413,0.9,298312,0.8,390230,2.25 43,1,2024-09-07 09:48:50:585,1023857,1023857,0,0,481037678403,5031064243,1009139,12147,2571,366,391696,0 43,2,2024-09-07 09:48:51:741,736184,736184,0,0,34882241,0,4723 43,3,2024-09-07 09:48:51:761,1,583,2,0,571,8655,583,0 44,0,2024-09-07 09:48:50:863,147670,0.4,147583,0.6,295722,0.3,392960,1.75 44,1,2024-09-07 09:48:50:591,1026498,1026498,0,0,481702691666,4980653931,1017299,7260,1939,356,391809,0 44,2,2024-09-07 09:48:51:268,736682,736682,0,0,28066168,0,4344 44,3,2024-09-07 09:48:51:092,1,583,2,0,1097,7313,583,0 45,0,2024-09-07 09:48:51:766,141656,0.5,138373,0.7,290421,0.5,381712,2.00 45,1,2024-09-07 09:48:51:008,1025427,1025427,0,0,481686007551,5006181769,1017238,7286,903,382,391917,0 45,2,2024-09-07 09:48:51:275,740970,740970,0,0,28610864,0,3596 45,3,2024-09-07 09:48:50:934,1,583,7,0,531,5700,583,0 46,0,2024-09-07 09:48:50:949,145809,0.5,145393,0.7,291823,0.5,387659,2.00 46,1,2024-09-07 09:48:50:576,1027696,1027696,0,0,482784944253,4990086911,1020595,6236,865,366,391709,0 46,2,2024-09-07 09:48:50:599,735599,735599,0,0,29193036,0,4443 46,3,2024-09-07 09:48:51:133,1,583,11,0,908,7118,583,0 47,0,2024-09-07 09:48:51:156,146608,0.4,146467,0.6,294265,0.4,390201,2.00 47,1,2024-09-07 09:48:50:576,1028390,1028390,0,0,482624758746,4987008677,1022324,5204,862,365,391641,0 47,2,2024-09-07 09:48:50:908,739135,739135,0,0,28245104,0,4477 47,3,2024-09-07 09:48:51:114,1,583,1,0,600,6546,583,0 48,0,2024-09-07 09:48:51:492,147235,0.3,147387,0.4,294314,0.2,391392,1.50 48,1,2024-09-07 09:48:51:023,1026119,1026119,0,0,481242686242,4998931433,1020045,5496,578,383,391710,0 48,2,2024-09-07 09:48:50:709,738391,738391,0,0,26770807,0,3524 48,3,2024-09-07 09:48:50:764,1,583,11,0,339,4867,583,0 49,0,2024-09-07 09:48:51:716,148387,0.3,145454,0.5,283118,0.3,386643,1.75 49,1,2024-09-07 09:48:51:028,1024915,1024915,0,0,481172526236,5006323631,1017766,5455,1694,382,391809,0 49,2,2024-09-07 09:48:52:024,742158,742158,0,0,29048937,0,4426 49,3,2024-09-07 09:48:51:422,1,583,0,0,992,7060,583,0 50,0,2024-09-07 09:48:51:508,145176,0.3,143533,0.5,288959,0.2,385212,1.75 50,1,2024-09-07 09:48:51:011,1028243,1028243,0,0,483056877382,4993954442,1021840,5734,669,368,391691,0 50,2,2024-09-07 09:48:51:067,734877,734877,0,0,26627869,0,4490 50,3,2024-09-07 09:48:51:291,1,583,8,0,617,6110,583,0 51,0,2024-09-07 09:48:51:696,149970,0.3,146975,0.5,286064,0.2,389869,1.75 51,1,2024-09-07 09:48:51:688,1029017,1029017,0,0,483581357848,4988004522,1023362,4575,1080,365,391706,0 51,2,2024-09-07 09:48:51:316,736679,736679,0,0,26327773,0,3337 51,3,2024-09-07 09:48:51:028,1,583,0,0,678,4255,583,0 52,0,2024-09-07 09:48:51:416,148267,0.5,147962,0.7,296209,0.4,393273,2.00 52,1,2024-09-07 09:48:50:574,1023320,1023320,0,0,480304125794,5030559624,1008302,12688,2330,368,391805,0 52,2,2024-09-07 09:48:51:757,733771,733733,38,0,35941336,0,6742 52,3,2024-09-07 09:48:50:683,1,583,2,0,1782,7053,583,0 53,0,2024-09-07 09:48:51:761,145397,0.7,141124,0.8,295175,0.7,386432,2.50 53,1,2024-09-07 09:48:50:778,1022135,1022135,0,0,479834758760,5040420646,1003956,13784,4395,367,391968,0 53,2,2024-09-07 09:48:51:298,740086,740085,1,0,32554393,0,5455 53,3,2024-09-07 09:48:50:705,1,583,4,0,308,5566,583,0 54,0,2024-09-07 09:48:51:618,141349,0.6,141736,0.8,282168,0.5,376920,2.25 54,1,2024-09-07 09:48:50:585,1024701,1024701,0,0,481544631020,5011548473,1013621,9410,1670,366,391810,0 54,2,2024-09-07 09:48:50:867,737049,737017,32,0,36092370,0,6397 54,3,2024-09-07 09:48:50:763,1,583,9,0,676,7740,583,0 55,0,2024-09-07 09:48:51:760,142335,0.7,146700,0.8,297474,0.6,387205,2.50 55,1,2024-09-07 09:48:50:763,1025098,1025098,0,0,480879336822,5004670841,1014243,9466,1389,365,391731,0 55,2,2024-09-07 09:48:50:733,733594,733538,56,0,33535174,0,7239 55,3,2024-09-07 09:48:50:681,1,583,1,0,304,5448,583,0 56,0,2024-09-07 09:48:51:579,150754,1.2,142068,1.1,292786,1.5,392107,2.75 56,1,2024-09-07 09:48:50:570,1018758,1018758,0,0,478939771120,5069559263,1001003,14070,3685,381,391867,0 56,2,2024-09-07 09:48:51:306,735288,735166,122,0,35514534,0,7432 56,3,2024-09-07 09:48:51:066,1,583,1,0,705,6874,583,0 57,0,2024-09-07 09:48:51:011,145654,1.5,145462,1.2,291274,2.0,389280,3.25 57,1,2024-09-07 09:48:51:016,1020972,1020972,0,0,479412826904,5036176068,1006763,11787,2422,366,392032,0 57,2,2024-09-07 09:48:51:321,737569,737569,0,0,37350044,0,4804 57,3,2024-09-07 09:48:51:750,1,583,189,0,455,6910,583,0 58,0,2024-09-07 09:48:50:556,140936,1.0,136815,1.0,286327,1.3,374545,2.75 58,1,2024-09-07 09:48:50:576,1022962,1022959,0,3,480782109520,5044743761,1006829,12230,3900,367,391675,3 58,2,2024-09-07 09:48:51:078,739404,739404,0,0,35167162,0,3483 58,3,2024-09-07 09:48:51:069,1,583,16,0,1043,6627,583,0 59,0,2024-09-07 09:48:51:763,146344,0.9,146018,1.0,291247,0.9,387409,3.00 59,1,2024-09-07 09:48:50:804,1021499,1021499,0,0,480187001201,5051595083,1003687,14146,3666,369,391653,0 59,2,2024-09-07 09:48:50:586,734726,734726,0,0,32888111,0,3727 59,3,2024-09-07 09:48:51:753,1,583,10,0,1015,6981,583,0 60,0,2024-09-07 09:48:51:780,146785,0.5,147015,0.7,293994,0.5,391977,1.75 60,1,2024-09-07 09:48:50:784,1026573,1026573,0,0,481932317135,5007613203,1019107,6453,1013,370,392031,0 60,2,2024-09-07 09:48:51:147,736622,736622,0,0,30960810,0,3811 60,3,2024-09-07 09:48:51:267,1,583,4,0,409,6659,583,0 61,0,2024-09-07 09:48:51:498,146993,0.6,147594,0.8,293759,0.6,391958,2.00 61,1,2024-09-07 09:48:50:771,1023173,1023173,0,0,480297338483,5029205573,1012278,9234,1661,382,392127,0 61,2,2024-09-07 09:48:51:115,738354,738287,67,0,31722308,0,6411 61,3,2024-09-07 09:48:51:691,1,583,3,0,607,7793,583,0 62,0,2024-09-07 09:48:51:736,144550,0.6,148232,0.7,283014,0.6,384617,2.00 62,1,2024-09-07 09:48:51:111,1029590,1029584,0,6,483524609553,4985372916,1024699,4592,293,365,391975,6 62,2,2024-09-07 09:48:51:644,738397,738396,1,0,31849906,0,5555 62,3,2024-09-07 09:48:51:153,1,583,22,0,482,4779,583,0 63,0,2024-09-07 09:48:51:472,146321,0.4,146103,0.6,292865,0.4,389225,1.75 63,1,2024-09-07 09:48:50:816,1026033,1026027,0,6,481363739645,4999270434,1019291,5881,855,381,391800,6 63,2,2024-09-07 09:48:50:770,735525,735525,0,0,29895345,0,4369 63,3,2024-09-07 09:48:51:733,1,583,1,0,667,6118,583,0 64,0,2024-09-07 09:48:51:526,145018,0.5,145049,0.7,289429,0.4,385574,2.00 64,1,2024-09-07 09:48:50:756,1025063,1025063,0,0,482149286795,5022978789,1015741,7346,1976,370,391794,0 64,2,2024-09-07 09:48:51:157,740010,739991,19,0,28958413,0,6121 64,3,2024-09-07 09:48:51:142,1,583,0,0,651,6233,583,0 65,0,2024-09-07 09:48:51:724,146085,0.6,146339,0.7,292390,0.6,389392,2.00 65,1,2024-09-07 09:48:50:867,1023514,1023514,0,0,479939342384,5017239235,1016109,6532,873,381,391901,0 65,2,2024-09-07 09:48:51:693,736899,736899,0,0,34678141,0,3367 65,3,2024-09-07 09:48:51:683,1,583,0,0,782,6520,583,0 66,0,2024-09-07 09:48:51:763,144723,0.5,144273,0.8,288778,0.5,384279,2.25 66,1,2024-09-07 09:48:51:293,1026000,1026000,0,0,481636219487,5012504480,1019504,5774,722,380,391743,0 66,2,2024-09-07 09:48:51:134,743531,743528,3,0,31106876,0,5455 66,3,2024-09-07 09:48:51:079,1,583,12,0,291,5028,583,0 67,0,2024-09-07 09:48:51:414,143093,0.5,142849,0.7,286667,0.5,382155,2.00 67,1,2024-09-07 09:48:50:775,1024921,1024920,0,1,482197228439,5020927475,1017628,6349,943,380,391787,1 67,2,2024-09-07 09:48:50:588,738592,738577,15,0,30736194,0,6205 67,3,2024-09-07 09:48:51:752,1,583,29,0,595,6162,583,0 68,0,2024-09-07 09:48:50:572,146769,0.6,146636,0.8,291948,0.6,390543,2.25 68,1,2024-09-07 09:48:50:579,1020995,1020995,0,0,479028348967,5040197840,1007217,10248,3530,381,391953,0 68,2,2024-09-07 09:48:51:044,731730,731630,100,0,39097641,0,8578 68,3,2024-09-07 09:48:50:731,1,583,9,0,417,7713,583,0 69,0,2024-09-07 09:48:51:773,147453,0.7,148272,0.8,295639,0.7,392629,2.25 69,1,2024-09-07 09:48:51:017,1020061,1020061,0,0,478585148344,5047350185,1006211,11019,2831,383,391994,0 69,2,2024-09-07 09:48:51:738,734226,734197,29,0,41318214,0,6912 69,3,2024-09-07 09:48:50:765,1,583,1,0,698,8558,583,0 70,0,2024-09-07 09:48:51:545,145486,0.8,145995,0.9,293544,0.7,388627,2.50 70,1,2024-09-07 09:48:50:812,1026479,1026479,0,0,482339798968,5006928345,1018573,7100,806,366,391725,0 70,2,2024-09-07 09:48:51:325,738937,738937,0,0,34384742,0,4323 70,3,2024-09-07 09:48:50:754,1,583,12,0,854,6576,583,0 71,0,2024-09-07 09:48:51:365,141531,1.1,141251,1.1,283748,1.5,379127,2.75 71,1,2024-09-07 09:48:51:596,1024334,1024334,0,0,480847736733,5022565742,1011349,11364,1621,368,391738,0 71,2,2024-09-07 09:48:51:068,737500,737500,0,0,34562938,0,4352 71,3,2024-09-07 09:48:51:754,1,583,1,0,644,6656,583,0 72,0,2024-09-07 09:48:51:032,152923,0.6,149511,0.7,291778,0.6,396749,2.00 72,1,2024-09-07 09:48:51:030,1022032,1022032,0,0,480112395899,5039127745,1007094,12439,2499,369,391819,0 72,2,2024-09-07 09:48:51:788,733185,733185,0,0,36072986,0,3983 72,3,2024-09-07 09:48:51:776,1,583,166,0,564,8679,583,0 73,0,2024-09-07 09:48:51:104,143982,0.4,147700,0.6,301916,0.4,392862,2.00 73,1,2024-09-07 09:48:50:781,1024165,1024165,0,0,481025844723,5003625117,1015798,7387,980,367,391858,0 73,2,2024-09-07 09:48:51:743,735625,735624,1,0,37411061,0,5027 73,3,2024-09-07 09:48:51:010,1,583,27,0,1091,8317,583,0 74,0,2024-09-07 09:48:51:324,148466,0.5,151967,0.7,290002,0.4,393261,2.00 74,1,2024-09-07 09:48:50:644,1023093,1023093,0,0,480528228351,5020142741,1011277,9700,2116,381,391762,0 74,2,2024-09-07 09:48:51:010,736848,736848,0,0,32822435,0,4253 74,3,2024-09-07 09:48:51:443,1,583,8,0,522,7374,583,0 75,0,2024-09-07 09:48:51:777,143698,0.6,142645,0.8,286381,0.5,383206,2.25 75,1,2024-09-07 09:48:51:596,1024392,1024392,0,0,480183501118,5007798009,1015810,7715,867,380,391739,0 75,2,2024-09-07 09:48:51:351,738564,738564,0,0,39795897,0,4766 75,3,2024-09-07 09:48:51:076,1,583,28,0,918,8103,583,0 76,0,2024-09-07 09:48:50:596,145986,0.5,145419,0.7,290910,0.5,389015,2.25 76,1,2024-09-07 09:48:50:808,1024182,1024182,0,0,481044712440,5016596103,1017164,6048,970,382,391790,0 76,2,2024-09-07 09:48:51:068,736847,736844,3,0,31859869,0,5265 76,3,2024-09-07 09:48:51:149,1,583,14,0,227,5210,583,0 77,0,2024-09-07 09:48:51:765,145838,0.5,146378,0.7,292713,0.5,389356,2.00 77,1,2024-09-07 09:48:50:826,1023918,1023918,0,0,481580355261,5024903346,1015977,7066,875,381,391869,0 77,2,2024-09-07 09:48:51:290,735611,735611,0,0,31119568,0,3890 77,3,2024-09-07 09:48:51:099,1,583,1,0,401,6411,583,0 78,0,2024-09-07 09:48:51:735,147492,0.4,146885,0.6,294396,0.4,390033,2.00 78,1,2024-09-07 09:48:50:621,1024228,1024228,0,0,480543753124,5013139222,1011878,9623,2727,367,391670,0 78,2,2024-09-07 09:48:51:405,739291,739278,13,0,29150262,0,8313 78,3,2024-09-07 09:48:51:133,1,583,7,0,311,4997,583,0 79,0,2024-09-07 09:48:51:366,139588,0.4,142848,0.6,292822,0.3,381376,2.00 79,1,2024-09-07 09:48:50:576,1027544,1027544,0,0,482434190174,4995021888,1019749,6435,1360,367,391682,0 79,2,2024-09-07 09:48:51:068,741135,741135,0,0,28427857,0,4195 79,3,2024-09-07 09:48:50:754,1,583,2,0,418,7064,583,0 80,0,2024-09-07 09:48:51:105,144324,0.5,148212,0.7,283538,0.5,384168,2.00 80,1,2024-09-07 09:48:51:680,1024715,1024715,0,0,481068858403,5008926030,1018094,6186,435,368,392269,0 80,2,2024-09-07 09:48:51:091,737633,737633,0,0,28742396,0,4433 80,3,2024-09-07 09:48:50:578,1,583,1,0,681,7324,583,0 81,0,2024-09-07 09:48:51:575,146094,0.6,149445,0.7,285418,0.5,387107,2.00 81,1,2024-09-07 09:48:51:676,1023036,1023036,0,0,479899287292,5016580194,1014904,7369,763,382,391885,0 81,2,2024-09-07 09:48:51:124,735072,735009,63,0,31839730,0,5932 81,3,2024-09-07 09:48:51:123,1,583,16,0,719,6952,583,0 82,0,2024-09-07 09:48:51:583,147616,0.5,147773,0.7,296205,0.4,392305,2.00 82,1,2024-09-07 09:48:50:592,1026000,1025996,0,4,481012538309,5000694903,1020431,4742,823,381,391768,4 82,2,2024-09-07 09:48:51:692,739578,739578,0,0,26948312,0,4484 82,3,2024-09-07 09:48:51:752,1,583,8,0,363,5599,583,0 83,0,2024-09-07 09:48:51:531,146100,0.6,146090,0.7,291476,0.6,386625,2.25 83,1,2024-09-07 09:48:50:551,1023858,1023858,0,0,480849220215,5013250852,1016452,6761,645,382,391709,0 83,2,2024-09-07 09:48:50:771,740827,740802,25,0,29108673,0,5612 83,3,2024-09-07 09:48:50:748,1,583,74,0,1260,7107,583,0 84,0,2024-09-07 09:48:51:788,141458,0.8,141642,0.9,283127,0.8,378571,2.50 84,1,2024-09-07 09:48:51:041,1022169,1022169,0,0,480395430520,5026603850,1010128,10370,1671,367,391967,0 84,2,2024-09-07 09:48:50:576,735285,734875,410,0,43009902,0,17037 84,3,2024-09-07 09:48:51:141,1,583,11,0,908,7935,583,0 85,0,2024-09-07 09:48:51:010,141523,0.7,141507,0.8,300445,0.7,387559,2.25 85,1,2024-09-07 09:48:50:572,1019685,1019685,0,0,478746275317,5059476043,1003393,13497,2795,381,392092,0 85,2,2024-09-07 09:48:50:865,734110,734110,0,0,36088708,0,4255 85,3,2024-09-07 09:48:50:685,1,583,3,0,789,6809,583,0 86,0,2024-09-07 09:48:50:920,147697,0.7,151747,0.8,290289,0.7,392827,2.25 86,1,2024-09-07 09:48:50:825,1022225,1022225,0,0,480391760422,5041054903,1008419,11121,2685,366,392169,0 86,2,2024-09-07 09:48:50:875,735065,735064,1,0,38328331,0,5004 86,3,2024-09-07 09:48:50:593,1,583,25,0,308,8250,583,0 87,0,2024-09-07 09:48:51:290,147431,0.8,146883,0.8,293758,1.0,392647,2.25 87,1,2024-09-07 09:48:50:550,1021818,1021818,0,0,480421785879,5036866187,1008450,11572,1796,366,392076,0 87,2,2024-09-07 09:48:51:069,737057,737051,6,0,34855494,0,6323 87,3,2024-09-07 09:48:51:795,1,583,1,0,473,8488,583,0 88,0,2024-09-07 09:48:51:437,143139,0.5,143489,0.6,287023,0.4,382227,2.00 88,1,2024-09-07 09:48:50:576,1020809,1020809,0,0,479548982617,5030838832,1005646,12121,3042,365,392084,0 88,2,2024-09-07 09:48:50:688,738607,738607,0,0,37771391,0,4465 88,3,2024-09-07 09:48:51:284,1,583,27,0,1080,9181,583,0 89,0,2024-09-07 09:48:51:780,151733,0.4,147373,0.6,290388,0.4,395502,1.75 89,1,2024-09-07 09:48:50:551,1020341,1020341,0,0,480490517829,5056300710,1007531,10867,1943,382,391866,0 89,2,2024-09-07 09:48:51:136,733892,733892,0,0,35312718,0,3173 89,3,2024-09-07 09:48:51:800,1,583,3,0,468,9871,583,0 90,0,2024-09-07 09:48:51:631,142550,0.5,146423,0.6,298771,0.4,390064,2.00 90,1,2024-09-07 09:48:50:590,1022710,1022710,0,0,480276568608,5033148144,1012635,9183,892,380,391825,0 90,2,2024-09-07 09:48:51:405,732760,732755,5,0,38866386,0,6370 90,3,2024-09-07 09:48:50:931,1,583,13,0,322,7235,583,0 91,0,2024-09-07 09:48:50:960,147496,0.4,143179,0.6,299499,0.4,392579,1.75 91,1,2024-09-07 09:48:50:591,1019817,1019817,0,0,479821240560,5058762509,1004309,12438,3070,381,392047,0 91,2,2024-09-07 09:48:51:334,736835,736835,0,0,34202028,0,4713 91,3,2024-09-07 09:48:50:605,1,583,1,0,216,5343,583,0 92,0,2024-09-07 09:48:51:439,145608,0.5,148947,0.6,283952,0.4,384904,1.75 92,1,2024-09-07 09:48:50:587,1023718,1023718,0,0,480768836972,5022214485,1015576,6860,1282,381,392136,0 92,2,2024-09-07 09:48:51:350,740775,740775,0,0,29877641,0,3259 92,3,2024-09-07 09:48:51:009,1,583,21,0,167,5101,583,0 93,0,2024-09-07 09:48:50:967,146593,0.4,150183,0.6,286833,0.4,389288,1.75 93,1,2024-09-07 09:48:50:805,1023780,1023780,0,0,480386150625,5015436487,1012360,9493,1927,366,391776,0 93,2,2024-09-07 09:48:50:933,735017,735017,0,0,35382414,0,4913 93,3,2024-09-07 09:48:51:418,1,583,3,0,294,5794,583,0 94,0,2024-09-07 09:48:51:600,145182,0.4,146246,0.5,292355,0.3,388301,1.75 94,1,2024-09-07 09:48:50:662,1024736,1024736,0,0,480419604430,5011142288,1017990,6316,430,381,391850,0 94,2,2024-09-07 09:48:50:769,736149,736121,28,0,30169409,0,6179 94,3,2024-09-07 09:48:51:714,1,583,18,0,576,7158,583,0 95,0,2024-09-07 09:48:51:346,147102,0.3,147199,0.5,294485,0.3,391953,1.75 95,1,2024-09-07 09:48:50:860,1025769,1025769,0,0,480962800390,4999345349,1017558,7506,705,365,391852,0 95,2,2024-09-07 09:48:51:024,736945,736945,0,0,29898303,0,3308 95,3,2024-09-07 09:48:51:711,1,583,5,0,718,9080,583,0 96,0,2024-09-07 09:48:51:028,145195,0.4,145590,0.5,291029,0.3,386522,1.75 96,1,2024-09-07 09:48:51:591,1023905,1023905,0,0,481040806249,5018225842,1016557,6076,1272,384,391964,0 96,2,2024-09-07 09:48:51:360,741272,741272,0,0,30929485,0,4180 96,3,2024-09-07 09:48:51:147,1,583,1,0,411,6876,583,0 97,0,2024-09-07 09:48:51:312,143359,0.3,143219,0.5,287377,0.3,381868,1.75 97,1,2024-09-07 09:48:50:793,1025684,1025684,0,0,481886258426,5000745899,1019112,5546,1026,367,392140,0 97,2,2024-09-07 09:48:50:618,736998,736998,0,0,30529614,0,4046 97,3,2024-09-07 09:48:50:596,1,583,8,0,242,6598,583,0 98,0,2024-09-07 09:48:51:696,146437,0.3,146503,0.5,293873,0.3,390614,1.50 98,1,2024-09-07 09:48:50:578,1024742,1024742,0,0,480987651318,5010910595,1018477,5442,823,381,391997,0 98,2,2024-09-07 09:48:50:770,736255,736255,0,0,29446053,0,4336 98,3,2024-09-07 09:48:50:698,1,583,11,0,840,8850,583,0 99,0,2024-09-07 09:48:51:481,148337,0.3,149111,0.5,296505,0.3,395290,1.75 99,1,2024-09-07 09:48:51:728,1025678,1025678,0,0,480816804986,5004989598,1019320,5417,941,380,392069,0 99,2,2024-09-07 09:48:51:419,736731,736731,0,0,36251879,0,4276 99,3,2024-09-07 09:48:50:593,1,583,1,0,1124,7467,583,0 100,0,2024-09-07 09:48:51:512,146725,0.8,147012,0.9,293726,0.9,392216,2.50 100,1,2024-09-07 09:48:50:554,1019537,1019537,0,0,478167318934,5059545845,1003532,12692,3313,378,391989,0 100,2,2024-09-07 09:48:51:824,735290,734901,389,0,40110198,0,16909 100,3,2024-09-07 09:48:51:736,1,583,4,0,627,10004,583,0 101,0,2024-09-07 09:48:51:704,145876,1.3,142236,1.1,278402,1.4,380831,2.50 101,1,2024-09-07 09:48:50:564,1020754,1020754,0,0,479068021221,5037180955,1006832,11395,2527,368,391847,0 101,2,2024-09-07 09:48:51:763,733672,733672,0,0,39989046,0,4871 101,3,2024-09-07 09:48:50:943,1,583,1,0,1250,8948,583,0 102,0,2024-09-07 09:48:50:978,144153,0.7,148495,0.8,301592,0.7,394379,2.25 102,1,2024-09-07 09:48:51:143,1021135,1021135,0,0,479086904838,5036643975,1007038,11901,2196,369,391984,0 102,2,2024-09-07 09:48:51:740,735630,735576,54,0,33934570,0,6768 102,3,2024-09-07 09:48:51:630,1,583,12,0,466,6857,583,0 103,0,2024-09-07 09:48:51:596,152603,0.6,152604,0.7,287512,0.6,396140,2.00 103,1,2024-09-07 09:48:51:647,1019345,1019345,0,0,478503079138,5057534092,1002602,13151,3592,381,392077,0 103,2,2024-09-07 09:48:50:590,733864,733864,0,0,36693222,0,3766 103,3,2024-09-07 09:48:50:760,1,583,2,0,916,7075,583,0 104,0,2024-09-07 09:48:51:034,146458,0.7,146619,0.9,292650,0.7,391359,2.25 104,1,2024-09-07 09:48:51:612,1022290,1022290,0,0,479494812053,5042353661,1006902,12547,2841,365,392168,0 104,2,2024-09-07 09:48:51:673,734734,734734,0,0,36495199,0,4161 104,3,2024-09-07 09:48:51:427,1,583,7,0,1245,10512,583,0 105,0,2024-09-07 09:48:51:032,141796,0.9,138091,1.1,289431,1.0,380447,2.75 105,1,2024-09-07 09:48:50:570,1023663,1023663,0,0,480641302892,5037774906,1010635,11137,1891,364,392009,0 105,2,2024-09-07 09:48:51:329,738936,738936,0,0,36631586,0,4360 105,3,2024-09-07 09:48:51:316,1,583,195,0,573,9115,583,0 106,0,2024-09-07 09:48:50:958,141543,0.8,144918,0.9,296936,1.0,387701,2.50 106,1,2024-09-07 09:48:51:754,1022464,1022464,0,0,479621956241,5038228544,1007836,12735,1893,368,391914,0 106,2,2024-09-07 09:48:50:759,733594,733594,0,0,33944065,0,3254 106,3,2024-09-07 09:48:50:681,1,583,8,0,1224,8380,583,0 107,0,2024-09-07 09:48:51:117,146055,1.0,146109,0.9,292357,1.2,390045,2.25 107,1,2024-09-07 09:48:50:586,1019422,1019422,0,0,478439832511,5060602381,1002114,15176,2132,381,392234,0 107,2,2024-09-07 09:48:51:290,733303,733302,1,0,36901890,0,5024 107,3,2024-09-07 09:48:51:765,1,583,32,0,733,9017,583,0 108,0,2024-09-07 09:48:51:856,146811,0.4,147342,0.6,293736,0.4,391477,1.75 108,1,2024-09-07 09:48:51:300,1023755,1023755,0,0,481271946327,5021342155,1015091,7671,993,367,391894,0 108,2,2024-09-07 09:48:51:818,737501,737501,0,0,32112354,0,4246 108,3,2024-09-07 09:48:51:331,1,583,1,0,749,10754,583,0 109,0,2024-09-07 09:48:51:796,145182,0.4,143894,0.6,288841,0.3,385768,1.75 109,1,2024-09-07 09:48:50:587,1020899,1020899,0,0,479615772573,5031502121,1011924,7752,1223,382,392132,0 109,2,2024-09-07 09:48:50:965,739014,739014,0,0,33040667,0,3617 109,3,2024-09-07 09:48:51:142,1,583,1,0,630,7227,583,0 110,0,2024-09-07 09:48:51:789,144429,0.4,140476,0.6,294068,0.4,386027,1.75 110,1,2024-09-07 09:48:51:664,1025600,1025600,0,0,481329011249,4999218604,1017566,6109,1925,368,392045,0 110,2,2024-09-07 09:48:51:307,735774,735774,0,0,30379489,0,4067 110,3,2024-09-07 09:48:50:692,1,583,8,0,722,7981,583,0 111,0,2024-09-07 09:48:51:424,146962,0.4,146124,0.5,292309,0.3,389512,1.75 111,1,2024-09-07 09:48:51:008,1027029,1027029,0,0,482280570113,5001080128,1022062,4603,364,380,391690,0 111,2,2024-09-07 09:48:51:115,735974,735974,0,0,30794279,0,4823 111,3,2024-09-07 09:48:50:916,1,583,20,0,379,6499,583,0 112,0,2024-09-07 09:48:50:924,148616,0.3,147981,0.4,296698,0.2,393599,1.50 112,1,2024-09-07 09:48:50:829,1025640,1025640,0,0,481577209698,5002866966,1018448,5967,1225,380,391624,0 112,2,2024-09-07 09:48:51:137,737215,737214,1,0,29340593,0,5036 112,3,2024-09-07 09:48:50:594,1,583,113,0,282,5705,583,0 113,0,2024-09-07 09:48:50:902,146290,0.3,146261,0.5,292809,0.2,389113,1.75 113,1,2024-09-07 09:48:51:692,1027629,1027629,0,0,483326933037,4988552853,1021418,5264,947,365,391664,0 113,2,2024-09-07 09:48:51:302,743125,743125,0,0,27752466,0,3813 113,3,2024-09-07 09:48:50:684,1,583,47,0,510,6325,583,0 114,0,2024-09-07 09:48:50:882,143351,0.3,144362,0.5,287343,0.2,384110,1.75 114,1,2024-09-07 09:48:50:716,1026051,1026051,0,0,481742870816,5003473085,1018226,6079,1746,381,391565,0 114,2,2024-09-07 09:48:50:879,738913,738912,1,0,29079208,0,5069 114,3,2024-09-07 09:48:51:277,1,583,11,0,395,4652,583,0 115,0,2024-09-07 09:48:50:577,148171,0.3,148625,0.4,295949,0.2,393639,1.50 115,1,2024-09-07 09:48:50:576,1025995,1025995,0,0,481470390702,5004078339,1017751,6727,1517,382,391757,0 115,2,2024-09-07 09:48:51:124,737450,737450,0,0,28791076,0,4382 115,3,2024-09-07 09:48:51:006,1,583,1,0,167,3492,583,0 116,0,2024-09-07 09:48:51:725,147688,0.7,147395,0.8,295510,0.6,395064,2.00 116,1,2024-09-07 09:48:50:805,1020926,1020926,0,0,478950506042,5052011452,1008321,9516,3089,380,392089,0 116,2,2024-09-07 09:48:51:753,736002,736002,0,0,36594189,0,4475 116,3,2024-09-07 09:48:50:915,1,583,2,0,448,7689,583,0 117,0,2024-09-07 09:48:50:978,147691,0.7,146973,0.8,294552,0.7,394018,2.00 117,1,2024-09-07 09:48:51:809,1022136,1022136,0,0,479035393149,5019851825,1010527,10089,1520,369,392033,0 117,2,2024-09-07 09:48:51:125,739809,739809,0,0,33171567,0,4303 117,3,2024-09-07 09:48:51:060,1,583,1,0,490,7609,583,0 118,0,2024-09-07 09:48:51:925,138795,0.6,142579,0.7,290947,0.5,380641,2.00 118,1,2024-09-07 09:48:50:611,1020937,1020937,0,0,479482075265,5045595394,1005190,12126,3621,366,392054,0 118,2,2024-09-07 09:48:51:594,738182,738182,0,0,35305992,0,2842 118,3,2024-09-07 09:48:51:765,1,583,12,0,289,7001,583,0 119,0,2024-09-07 09:48:51:329,147297,0.7,148038,0.8,295299,0.8,394305,2.25 119,1,2024-09-07 09:48:50:577,1021988,1021988,0,0,480391154038,5042350950,1007338,12139,2511,367,391857,0 119,2,2024-09-07 09:48:51:276,735622,735622,0,0,33153581,0,4309 119,3,2024-09-07 09:48:51:325,1,583,55,0,1358,10988,583,0 120,0,2024-09-07 09:48:51:574,146160,0.7,145930,0.8,293106,0.7,391054,2.25 120,1,2024-09-07 09:48:50:858,1023070,1023070,0,0,479194456575,5029830646,1011510,10420,1140,367,392144,0 120,2,2024-09-07 09:48:50:772,734488,734485,3,0,39455760,0,5363 120,3,2024-09-07 09:48:51:292,1,583,0,0,279,7205,583,0 121,0,2024-09-07 09:48:51:699,147238,1.1,146697,1.0,293786,1.4,391467,2.25 121,1,2024-09-07 09:48:51:655,1022582,1022582,0,0,479720352938,5023249306,1011435,9607,1540,366,391840,0 121,2,2024-09-07 09:48:51:128,736505,736505,0,0,35998135,0,4157 121,3,2024-09-07 09:48:50:733,1,583,40,0,387,7893,583,0 122,0,2024-09-07 09:48:51:818,143507,0.8,139649,0.9,292535,0.9,384726,2.25 122,1,2024-09-07 09:48:50:874,1021486,1021486,0,0,479943517663,5042071805,1006602,12472,2412,366,392130,0 122,2,2024-09-07 09:48:51:319,740698,740623,75,0,39928299,0,5989 122,3,2024-09-07 09:48:50:608,1,583,9,0,512,9667,583,0 123,0,2024-09-07 09:48:50:957,145242,0.9,141254,0.9,295961,1.0,387677,2.25 123,1,2024-09-07 09:48:50:576,1021568,1021568,0,0,479371914968,5045364639,1004882,14016,2670,369,392039,0 123,2,2024-09-07 09:48:51:021,732894,732893,1,0,33749378,0,5215 123,3,2024-09-07 09:48:51:132,1,583,18,0,478,6800,583,0 124,0,2024-09-07 09:48:51:007,149672,0.3,149679,0.5,282387,0.3,388613,1.75 124,1,2024-09-07 09:48:51:035,1025727,1025727,0,0,480435502911,4996280690,1018004,6548,1175,365,392178,0 124,2,2024-09-07 09:48:51:009,737535,737482,53,0,30297573,0,6487 124,3,2024-09-07 09:48:50:757,1,583,1,0,490,5853,583,0 125,0,2024-09-07 09:48:51:440,146907,0.4,146939,0.5,294835,0.3,392062,1.75 125,1,2024-09-07 09:48:50:861,1022780,1022780,0,0,480145887033,5012722792,1014934,6712,1134,382,391968,0 125,2,2024-09-07 09:48:51:116,739711,739711,0,0,30475995,0,4534 125,3,2024-09-07 09:48:51:125,1,583,1,0,709,6672,583,0 126,0,2024-09-07 09:48:51:437,145466,0.4,149561,0.6,286128,0.4,387195,1.75 126,1,2024-09-07 09:48:50:701,1026384,1026384,0,0,481735571770,4988397601,1020918,5020,446,365,391987,0 126,2,2024-09-07 09:48:50:619,742221,742221,0,0,31844330,0,4539 126,3,2024-09-07 09:48:50:910,1,583,8,0,268,6470,583,0 127,0,2024-09-07 09:48:51:875,143669,0.3,143845,0.5,287181,0.3,382330,1.75 127,1,2024-09-07 09:48:50:577,1024193,1024193,0,0,480475723246,5001675541,1013614,8944,1635,364,392187,0 127,2,2024-09-07 09:48:50:636,735839,735835,4,0,29847080,0,5305 127,3,2024-09-07 09:48:51:268,1,583,6,0,968,5730,583,0 128,0,2024-09-07 09:48:51:536,147330,0.3,147357,0.4,294407,0.2,391176,1.50 128,1,2024-09-07 09:48:51:614,1024895,1024895,0,0,480733368686,5000825349,1016761,7181,953,367,392423,0 128,2,2024-09-07 09:48:51:385,737156,737156,0,0,27694267,0,3171 128,3,2024-09-07 09:48:50:788,1,583,59,0,1082,9386,583,0 129,0,2024-09-07 09:48:51:025,149474,0.3,148628,0.5,298079,0.3,395784,1.50 129,1,2024-09-07 09:48:50:570,1021256,1021256,0,0,480085297086,5033101748,1010841,8393,2022,379,391962,0 129,2,2024-09-07 09:48:50:685,738478,738474,4,0,30153756,0,5335 129,3,2024-09-07 09:48:50:689,1,583,43,0,506,8159,583,0 130,0,2024-09-07 09:48:51:767,147995,0.4,147418,0.5,295500,0.4,393491,1.75 130,1,2024-09-07 09:48:50:593,1025512,1025512,0,0,480873089338,4995784424,1020195,4923,394,381,391825,0 130,2,2024-09-07 09:48:51:125,740082,740082,0,0,30472352,0,4067 130,3,2024-09-07 09:48:51:292,1,583,9,0,960,7891,583,0 131,0,2024-09-07 09:48:52:038,143036,0.4,143448,0.5,287571,0.3,382413,1.75 131,1,2024-09-07 09:48:51:843,1024741,1024741,0,0,481061425204,5015659892,1017625,5921,1195,381,391865,0 131,2,2024-09-07 09:48:50:576,739149,739149,0,0,28374363,0,3979 131,3,2024-09-07 09:48:51:691,1,583,1,0,392,7563,583,0 132,0,2024-09-07 09:48:51:426,148351,0.5,149313,0.6,297455,0.5,395344,2.00 132,1,2024-09-07 09:48:50:579,1020493,1020493,0,0,478792118286,5044510896,1005197,12594,2702,381,392532,0 132,2,2024-09-07 09:48:50:706,735091,735074,17,0,37011674,0,6451 132,3,2024-09-07 09:48:51:691,1,583,2,0,1298,10698,583,0 133,0,2024-09-07 09:48:51:529,144067,0.4,147327,0.6,302197,0.4,393706,2.00 133,1,2024-09-07 09:48:50:599,1020721,1020721,0,0,479575192227,5056266123,1006668,12291,1762,383,391914,0 133,2,2024-09-07 09:48:51:087,736415,736365,50,0,38096980,0,6861 133,3,2024-09-07 09:48:51:300,1,583,1,0,528,7124,583,0 134,0,2024-09-07 09:48:50:957,147659,0.5,147826,0.7,295740,0.5,393536,2.00 134,1,2024-09-07 09:48:50:610,1021903,1021903,0,0,479488572428,5033248462,1008160,11257,2486,366,391781,0 134,2,2024-09-07 09:48:51:763,737571,737547,24,0,35108003,0,6207 134,3,2024-09-07 09:48:50:755,1,583,8,0,739,7239,583,0 135,0,2024-09-07 09:48:51:103,138883,0.9,138916,0.9,294885,1.0,380623,2.25 135,1,2024-09-07 09:48:51:602,1021426,1021426,0,0,480377081111,5053740139,1008462,11324,1640,380,391805,0 135,2,2024-09-07 09:48:50:687,740456,740456,0,0,36108979,0,4503 135,3,2024-09-07 09:48:51:007,1,583,4,0,900,5853,583,0 136,0,2024-09-07 09:48:51:630,147001,0.6,147462,0.7,293036,0.5,391152,2.00 136,1,2024-09-07 09:48:51:443,1022661,1022661,0,0,480427791410,5037680187,1011049,10242,1370,381,392135,0 136,2,2024-09-07 09:48:51:134,735904,735889,15,0,35265676,0,6007 136,3,2024-09-07 09:48:51:106,1,583,20,0,637,6852,583,0 137,0,2024-09-07 09:48:51:007,150978,0.6,147210,0.7,288333,0.6,391767,2.00 137,1,2024-09-07 09:48:50:587,1021146,1021146,0,0,479684492989,5034994545,1004946,12929,3271,366,391898,0 137,2,2024-09-07 09:48:51:712,734374,734374,0,0,36573398,0,3185 137,3,2024-09-07 09:48:50:770,1,583,9,0,484,8172,583,0 138,0,2024-09-07 09:48:51:777,146263,0.7,146490,0.9,293648,0.8,389885,2.00 138,1,2024-09-07 09:48:51:695,1022137,1022137,0,0,480149997546,5039274846,1007768,12116,2253,368,391954,0 138,2,2024-09-07 09:48:50:587,736374,736374,0,0,34676776,0,4988 138,3,2024-09-07 09:48:50:621,1,583,15,0,1200,9333,583,0 139,0,2024-09-07 09:48:51:358,143317,1.1,143890,1.0,287686,1.6,383982,2.25 139,1,2024-09-07 09:48:50:581,1018142,1018142,0,0,477326469429,5065942047,999769,14550,3823,380,392109,0 139,2,2024-09-07 09:48:50:695,735869,735839,30,0,40105276,0,5997 139,3,2024-09-07 09:48:51:687,1,583,27,0,432,7042,583,0 140,0,2024-09-07 09:48:51:593,145100,0.3,144262,0.5,289310,0.2,386150,1.75 140,1,2024-09-07 09:48:51:542,1028510,1028510,0,0,482692046604,4976794772,1023561,4403,546,364,391606,0 140,2,2024-09-07 09:48:50:687,736299,736298,1,0,28273131,0,5036 140,3,2024-09-07 09:48:50:777,1,583,1,0,575,5884,583,0 141,0,2024-09-07 09:48:51:700,146507,0.3,150558,0.5,287762,0.3,389275,1.75 141,1,2024-09-07 09:48:50:865,1026405,1026405,0,0,482114686509,5008858436,1018430,6796,1179,379,391614,0 141,2,2024-09-07 09:48:51:697,736448,736437,11,0,30282900,0,5369 141,3,2024-09-07 09:48:51:043,1,583,2,0,391,6510,583,0 142,0,2024-09-07 09:48:51:311,148620,0.3,147811,0.5,295879,0.2,394437,1.50 142,1,2024-09-07 09:48:50:591,1024407,1024407,0,0,481081214257,5015886040,1017760,6142,505,382,392102,0 142,2,2024-09-07 09:48:51:303,736375,736343,32,0,30775521,0,6028 142,3,2024-09-07 09:48:51:751,1,583,8,0,484,6198,583,0 143,0,2024-09-07 09:48:51:372,145966,0.4,146113,0.5,293149,0.4,389003,1.75 143,1,2024-09-07 09:48:50:558,1027041,1027041,0,0,481249871944,4993729698,1020653,5822,566,367,391722,0 143,2,2024-09-07 09:48:50:771,741383,741383,0,0,30671410,0,3123 143,3,2024-09-07 09:48:51:140,1,583,0,0,462,7182,583,0 144,0,2024-09-07 09:48:51:528,138780,0.6,142817,0.8,290590,0.6,380682,2.00 144,1,2024-09-07 09:48:50:580,1021164,1021164,0,0,479314924567,5030657937,1010760,8413,1991,381,391733,0 144,2,2024-09-07 09:48:51:765,738436,738436,0,0,29934855,0,4443 144,3,2024-09-07 09:48:51:745,1,583,5,0,249,5691,583,0 145,0,2024-09-07 09:48:51:378,142661,0.6,142610,0.8,302674,0.5,389578,2.25 145,1,2024-09-07 09:48:50:560,1020645,1020645,0,0,479314542151,5047330870,1006641,11333,2671,382,391759,0 145,2,2024-09-07 09:48:51:430,734037,733955,82,0,35693854,0,7814 145,3,2024-09-07 09:48:50:903,1,583,3,0,622,7895,583,0 146,0,2024-09-07 09:48:51:607,147881,0.6,147290,0.8,296026,0.5,393302,2.00 146,1,2024-09-07 09:48:51:594,1021776,1021776,0,0,479287681166,5048171715,1004305,12991,4480,367,391770,0 146,2,2024-09-07 09:48:51:702,735011,735005,6,0,34303423,0,5151 146,3,2024-09-07 09:48:51:278,1,583,27,0,1520,9622,583,0 147,0,2024-09-07 09:48:51:762,147596,0.6,147259,0.7,294363,0.6,393191,2.25 147,1,2024-09-07 09:48:51:377,1025889,1025889,0,0,480610864749,4995261064,1017740,7202,947,367,391791,0 147,2,2024-09-07 09:48:51:009,738017,738017,0,0,29964085,0,2968 147,3,2024-09-07 09:48:50:926,1,583,2,0,1626,9117,583,0 0,0,2024-09-07 09:49:01:715,143107,0.6,143105,0.7,303745,0.6,392525,2.00 0,1,2024-09-07 09:49:00:812,1024159,1024159,0,0,480755204471,5033725377,1015890,7340,929,369,391896,0 0,2,2024-09-07 09:49:01:067,738708,738708,0,0,30269936,0,4480 0,3,2024-09-07 09:49:00:974,1,584,1,0,431,8606,584,0 1,0,2024-09-07 09:49:01:785,147188,0.8,146144,0.9,293947,1.0,392340,2.00 1,1,2024-09-07 09:49:00:562,1023284,1023284,0,0,480504260756,5034345864,1013865,7929,1490,370,391859,0 1,2,2024-09-07 09:49:00:642,738945,738945,0,0,29659373,0,3380 1,3,2024-09-07 09:49:01:302,1,584,251,0,269,7337,584,0 2,0,2024-09-07 09:49:01:566,144120,0.6,143944,0.7,287714,0.6,383906,2.00 2,1,2024-09-07 09:49:00:866,1026801,1026801,0,0,482802595143,5020693921,1020712,5140,949,379,391745,0 2,2,2024-09-07 09:49:01:266,741791,741791,0,0,28641548,0,3594 2,3,2024-09-07 09:49:00:690,1,584,8,0,357,5243,584,0 3,0,2024-09-07 09:49:01:744,146149,0.4,145975,0.6,291408,0.3,389043,2.00 3,1,2024-09-07 09:49:01:623,1025111,1025111,0,0,481410875403,5008398532,1017535,6873,703,379,391716,0 3,2,2024-09-07 09:49:01:147,737517,737494,23,0,29531368,0,5851 3,3,2024-09-07 09:49:01:752,1,584,7,0,484,4542,584,0 4,0,2024-09-07 09:49:01:786,141668,0.4,145552,0.5,296888,0.3,388416,1.75 4,1,2024-09-07 09:49:00:593,1021272,1021272,0,0,478333274523,5067408437,1003471,14048,3753,370,391992,0 4,2,2024-09-07 09:49:01:028,734014,734014,0,0,36308940,0,4534 4,3,2024-09-07 09:49:01:028,1,584,2,0,448,7278,584,0 5,0,2024-09-07 09:49:01:392,147316,0.4,147327,0.5,294886,0.3,391772,1.75 5,1,2024-09-07 09:49:00:768,1021371,1021371,0,0,480546206334,5077060926,1005111,12136,4124,367,392005,0 5,2,2024-09-07 09:49:01:834,736183,736183,0,0,35234170,0,3582 5,3,2024-09-07 09:49:01:744,1,584,13,0,457,8144,584,0 6,0,2024-09-07 09:49:00:917,145562,0.5,145222,0.7,290500,0.4,386725,2.00 6,1,2024-09-07 09:49:00:750,1024538,1024538,0,0,480781553818,5024573841,1013531,9434,1573,379,391702,0 6,2,2024-09-07 09:49:01:116,742248,742230,18,0,34330681,0,5535 6,3,2024-09-07 09:49:01:277,1,584,8,0,710,7210,584,0 7,0,2024-09-07 09:49:01:535,143110,0.5,144131,0.7,286486,0.5,382642,2.00 7,1,2024-09-07 09:49:00:856,1022274,1022274,0,0,480599559810,5064438328,1005952,12556,3766,382,391747,0 7,2,2024-09-07 09:49:00:772,736137,736137,0,0,32885832,0,4791 7,3,2024-09-07 09:49:00:854,1,584,2,0,552,6979,584,0 8,0,2024-09-07 09:49:01:340,147220,0.4,146936,0.5,294185,0.3,391625,1.75 8,1,2024-09-07 09:49:01:038,1021636,1021636,0,0,479687636746,5049523189,1004964,13088,3584,366,392853,0 8,2,2024-09-07 09:49:00:794,732299,732297,2,0,39084517,0,5112 8,3,2024-09-07 09:49:00:588,1,584,58,0,772,9410,584,0 9,0,2024-09-07 09:49:01:110,148152,0.4,144174,0.5,301687,0.3,395341,1.75 9,1,2024-09-07 09:49:00:556,1021518,1021518,0,0,478723567794,5051273389,1004847,13448,3223,369,392001,0 9,2,2024-09-07 09:49:01:083,736681,736680,1,0,36912516,0,5281 9,3,2024-09-07 09:49:01:752,1,584,0,0,1273,10023,584,0 10,0,2024-09-07 09:49:01:599,147232,0.3,146573,0.5,294453,0.3,391968,1.75 10,1,2024-09-07 09:49:00:585,1023408,1023408,0,0,480251489475,5042960769,1007842,12505,3061,381,391981,0 10,2,2024-09-07 09:49:00:762,740338,740338,0,0,39473295,0,4713 10,3,2024-09-07 09:49:00:874,1,584,8,0,669,6751,584,0 11,0,2024-09-07 09:49:01:014,143323,0.5,138983,0.6,290703,0.4,383239,1.75 11,1,2024-09-07 09:49:00:572,1024661,1024661,0,0,481264213444,5051384056,1009155,11350,4156,383,391766,0 11,2,2024-09-07 09:49:01:127,737729,737729,0,0,35046273,0,4698 11,3,2024-09-07 09:49:01:298,1,584,2,0,843,7766,584,0 12,0,2024-09-07 09:49:00:968,149322,0.4,149298,0.6,298219,0.4,396284,1.75 12,1,2024-09-07 09:49:00:940,1024697,1024697,0,0,480073523194,5009112995,1016349,7345,1003,370,391960,0 12,2,2024-09-07 09:49:01:541,735433,735433,0,0,33599395,0,4390 12,3,2024-09-07 09:49:01:062,1,584,0,0,386,7413,584,0 13,0,2024-09-07 09:49:01:328,148838,0.4,148970,0.5,297022,0.4,396020,1.75 13,1,2024-09-07 09:49:01:530,1021800,1021800,0,0,480139645815,5048591103,1011011,8400,2389,382,391768,0 13,2,2024-09-07 09:49:00:599,739104,739104,0,0,29846647,0,3287 13,3,2024-09-07 09:49:01:761,1,584,4,0,522,7850,584,0 14,0,2024-09-07 09:49:00:570,147659,0.4,148704,0.6,295075,0.3,393097,1.75 14,1,2024-09-07 09:49:01:561,1029550,1029550,0,0,483080414145,5000927751,1021175,7246,1129,364,391673,0 14,2,2024-09-07 09:49:00:770,739938,739908,30,0,31346337,0,6104 14,3,2024-09-07 09:49:01:115,1,584,8,0,1168,6501,584,0 15,0,2024-09-07 09:49:01:550,143628,0.4,142816,0.6,286767,0.4,382737,2.00 15,1,2024-09-07 09:49:01:609,1026569,1026569,0,0,482076924577,5022669746,1017500,7156,1913,381,391619,0 15,2,2024-09-07 09:49:00:998,742737,742737,0,0,27332915,0,3622 15,3,2024-09-07 09:49:01:410,1,584,1,0,1126,7864,584,0 16,0,2024-09-07 09:49:00:942,146624,0.6,147316,0.7,293990,0.6,391465,2.00 16,1,2024-09-07 09:49:00:566,1026539,1026539,0,0,480850962912,5028546988,1017266,7833,1440,370,392194,0 16,2,2024-09-07 09:49:01:445,735608,735608,0,0,30798980,0,4719 16,3,2024-09-07 09:49:01:142,1,584,5,0,358,7484,584,0 17,0,2024-09-07 09:49:01:779,151100,0.6,147314,0.8,288646,0.6,392596,2.00 17,1,2024-09-07 09:49:00:582,1024304,1024304,0,0,480287855520,5039947287,1014118,8417,1769,368,392075,0 17,2,2024-09-07 09:49:01:670,740026,740025,1,0,32071041,0,5050 17,3,2024-09-07 09:49:00:579,1,584,15,0,518,8714,584,0 18,0,2024-09-07 09:49:00:948,145738,0.6,146724,0.8,292004,0.7,390068,2.25 18,1,2024-09-07 09:49:01:642,1028330,1028330,0,0,481562249557,4996396755,1021283,5790,1257,367,391725,0 18,2,2024-09-07 09:49:01:756,741412,741412,0,0,28370093,0,3541 18,3,2024-09-07 09:49:00:909,1,584,12,0,1059,5497,584,0 19,0,2024-09-07 09:49:01:559,144422,0.6,144540,0.8,287978,0.6,383401,2.00 19,1,2024-09-07 09:49:00:579,1028151,1028151,0,0,483469771720,5012754989,1020411,6607,1133,367,391777,0 19,2,2024-09-07 09:49:01:752,744847,744847,0,0,27132014,0,3988 19,3,2024-09-07 09:49:01:129,1,584,2,0,524,4546,584,0 20,0,2024-09-07 09:49:01:384,144851,0.6,144697,0.7,289328,0.6,386295,2.00 20,1,2024-09-07 09:49:00:567,1023723,1023723,0,0,481099790876,5043545380,1013169,9049,1505,369,391922,0 20,2,2024-09-07 09:49:00:937,735679,735679,0,0,33714187,0,4321 20,3,2024-09-07 09:49:00:591,1,584,7,0,468,9558,584,0 21,0,2024-09-07 09:49:01:128,146324,0.5,146318,0.6,292741,0.4,388654,2.00 21,1,2024-09-07 09:49:01:536,1022078,1022078,0,0,479938992090,5070423168,1005272,12974,3832,368,392016,0 21,2,2024-09-07 09:49:01:067,731392,730915,477,0,44345386,0,17074 21,3,2024-09-07 09:49:01:410,1,584,1,0,713,8554,584,0 22,0,2024-09-07 09:49:01:721,147789,0.5,148378,0.7,296056,0.4,392692,2.00 22,1,2024-09-07 09:49:01:023,1023252,1023252,0,0,479542999681,5051851522,1005455,14257,3540,382,391822,0 22,2,2024-09-07 09:49:00:769,736978,736952,26,0,32223561,0,6328 22,3,2024-09-07 09:49:01:069,1,584,8,0,228,4965,584,0 23,0,2024-09-07 09:49:01:370,145685,0.5,145420,0.7,290836,0.5,387312,2.25 23,1,2024-09-07 09:49:01:005,1022970,1022970,0,0,481077727282,5074812319,1003499,12893,6578,365,391690,0 23,2,2024-09-07 09:49:01:092,742636,742636,0,0,31012093,0,3773 23,3,2024-09-07 09:49:01:757,1,584,1,0,855,8798,584,0 24,0,2024-09-07 09:49:00:838,144266,0.4,143519,0.6,288903,0.4,383646,1.75 24,1,2024-09-07 09:49:00:586,1023339,1023339,0,0,480484151749,5033014477,1013288,8342,1709,367,392269,0 24,2,2024-09-07 09:49:01:069,737050,737047,3,0,37518296,0,6294 24,3,2024-09-07 09:49:01:686,1,584,1,0,468,7597,584,0 25,0,2024-09-07 09:49:01:353,151753,0.5,147600,0.6,289989,0.4,394665,2.00 25,1,2024-09-07 09:49:00:561,1021767,1021767,0,0,479890271762,5075183749,1003083,14975,3709,369,391928,0 25,2,2024-09-07 09:49:01:612,734246,734246,0,0,37794707,0,3978 25,3,2024-09-07 09:49:01:011,1,584,14,0,532,6449,584,0 26,0,2024-09-07 09:49:01:721,147485,0.4,144190,0.6,302839,0.4,394217,1.75 26,1,2024-09-07 09:49:01:541,1025454,1025454,0,0,480544504445,5035221561,1011133,11788,2533,380,391748,0 26,2,2024-09-07 09:49:00:863,737070,737070,0,0,40764881,0,4689 26,3,2024-09-07 09:49:01:715,1,584,9,0,796,6835,584,0 27,0,2024-09-07 09:49:01:725,147727,0.4,148408,0.6,295115,0.4,393775,2.25 27,1,2024-09-07 09:49:01:678,1025826,1025826,0,0,482377878511,5030625905,1015434,8783,1609,381,391626,0 27,2,2024-09-07 09:49:00:872,736459,736394,65,0,35560962,0,5699 27,3,2024-09-07 09:49:01:015,1,584,12,0,564,5176,584,0 28,0,2024-09-07 09:49:01:491,143656,0.4,143635,0.6,287497,0.3,382850,2.00 28,1,2024-09-07 09:49:00:805,1026765,1026765,0,0,482542520499,5030239810,1018278,6748,1739,382,391904,0 28,2,2024-09-07 09:49:01:765,740530,740530,0,0,30516392,0,2915 28,3,2024-09-07 09:49:01:776,1,584,2,0,502,5833,584,0 29,0,2024-09-07 09:49:01:359,152397,0.4,148389,0.6,291206,0.4,397629,1.75 29,1,2024-09-07 09:49:01:561,1030088,1030088,0,0,482341986151,4989906380,1023872,5442,774,367,391809,0 29,2,2024-09-07 09:49:00:866,736492,736492,0,0,29455632,0,4986 29,3,2024-09-07 09:49:00:964,1,584,3,0,459,6453,584,0 30,0,2024-09-07 09:49:01:466,146405,0.5,142626,0.7,298658,0.4,390502,2.00 30,1,2024-09-07 09:49:00:572,1028825,1028825,0,0,483712372255,5018791670,1021527,6391,907,380,391672,0 30,2,2024-09-07 09:49:01:274,738283,738283,0,0,28178526,0,4192 30,3,2024-09-07 09:49:00:581,1,584,8,0,519,5533,584,0 31,0,2024-09-07 09:49:01:759,146958,0.4,147731,0.6,294436,0.4,393032,2.00 31,1,2024-09-07 09:49:00:565,1032129,1032129,0,0,484080497000,4972477183,1025728,5327,1074,356,391712,0 31,2,2024-09-07 09:49:01:275,738494,738494,0,0,31805868,0,4470 31,3,2024-09-07 09:49:01:713,1,584,1,0,239,5003,584,0 32,0,2024-09-07 09:49:01:423,144511,0.3,145389,0.5,289218,0.3,385381,1.75 32,1,2024-09-07 09:49:00:806,1028183,1028183,0,0,482384655928,5013757686,1022010,5456,717,381,391646,0 32,2,2024-09-07 09:49:00:937,743602,743602,0,0,28064217,0,3922 32,3,2024-09-07 09:49:01:023,1,584,2,0,304,4704,584,0 33,0,2024-09-07 09:49:01:491,146742,0.3,146276,0.4,292919,0.2,390013,1.50 33,1,2024-09-07 09:49:00:580,1028219,1028219,0,0,483252334393,5007730334,1019788,7159,1272,368,391730,0 33,2,2024-09-07 09:49:00:774,737470,737435,35,0,30803900,0,7012 33,3,2024-09-07 09:49:00:898,1,584,0,0,329,5185,584,0 34,0,2024-09-07 09:49:00:940,146182,0.3,150114,0.5,287215,0.2,387630,1.75 34,1,2024-09-07 09:49:01:055,1030666,1030666,0,0,483456928976,4974567176,1027707,2849,110,367,391637,0 34,2,2024-09-07 09:49:00:769,738483,738483,0,0,29149286,0,4562 34,3,2024-09-07 09:49:01:688,1,584,8,0,541,5433,584,0 35,0,2024-09-07 09:49:00:868,146371,0.3,147232,0.5,295439,0.2,392227,1.75 35,1,2024-09-07 09:49:01:067,1026848,1026848,0,0,481982892058,5004070386,1018772,6553,1523,382,391769,0 35,2,2024-09-07 09:49:01:586,739130,739130,0,0,32121200,0,4055 35,3,2024-09-07 09:49:00:909,1,584,17,0,466,5616,584,0 36,0,2024-09-07 09:49:01:516,145771,0.5,145734,0.7,291096,0.4,387598,2.00 36,1,2024-09-07 09:49:00:585,1025054,1025054,0,0,481444412595,5031669040,1011480,11334,2240,366,391759,0 36,2,2024-09-07 09:49:01:751,742254,742254,0,0,33933468,0,3875 36,3,2024-09-07 09:49:00:873,1,584,7,0,556,8200,584,0 37,0,2024-09-07 09:49:01:367,143083,0.6,143201,0.7,286668,0.5,382973,2.25 37,1,2024-09-07 09:49:00:578,1025038,1025031,0,7,481042570885,5026681401,1012388,9730,2913,365,391770,0 37,2,2024-09-07 09:49:01:148,734135,734120,15,0,33887661,0,5815 37,3,2024-09-07 09:49:01:766,1,584,3,0,888,7942,584,0 38,0,2024-09-07 09:49:01:445,145918,0.5,141468,0.7,295940,0.4,387536,2.00 38,1,2024-09-07 09:49:01:609,1024946,1024946,0,0,481425534999,5039905560,1009638,12309,2999,368,391821,0 38,2,2024-09-07 09:49:00:762,736202,736155,47,0,34062486,0,6710 38,3,2024-09-07 09:49:00:997,1,584,8,0,689,7379,584,0 39,0,2024-09-07 09:49:01:769,151456,0.5,148120,0.7,288800,0.5,394081,2.00 39,1,2024-09-07 09:49:00:718,1024715,1024715,0,0,481110387604,5048916541,1007274,13726,3715,365,391865,0 39,2,2024-09-07 09:49:01:416,738315,738315,0,0,32083661,0,3391 39,3,2024-09-07 09:49:00:717,1,584,1,0,525,6646,584,0 40,0,2024-09-07 09:49:01:488,145920,0.9,146557,1.0,292534,1.0,390224,2.75 40,1,2024-09-07 09:49:00:589,1025593,1025593,0,0,480532587540,5038575285,1010105,12421,3067,368,391668,0 40,2,2024-09-07 09:49:01:311,738272,738265,7,0,38603572,0,5347 40,3,2024-09-07 09:49:01:146,1,584,1,0,1028,8761,584,0 41,0,2024-09-07 09:49:01:028,142498,1.4,145716,1.2,278002,2.1,378139,3.25 41,1,2024-09-07 09:49:00:804,1024460,1024460,0,0,480763428050,5034606034,1010698,11604,2158,369,391878,0 41,2,2024-09-07 09:49:00:768,735371,735370,1,0,36945016,0,5408 41,3,2024-09-07 09:49:01:676,1,584,0,0,366,6350,584,0 42,0,2024-09-07 09:49:01:486,147265,1.0,146899,1.1,294018,1.2,390021,2.75 42,1,2024-09-07 09:49:01:439,1021995,1021995,0,0,479767897729,5045687866,1005665,13398,2932,380,391675,0 42,2,2024-09-07 09:49:01:141,734468,734467,1,0,36913023,0,5513 42,3,2024-09-07 09:49:01:016,1,584,8,0,892,5576,584,0 43,0,2024-09-07 09:49:00:944,146577,0.7,142694,0.9,298889,0.7,390893,2.25 43,1,2024-09-07 09:49:00:576,1025684,1025684,0,0,481781941034,5039034985,1010947,12166,2571,366,391696,0 43,2,2024-09-07 09:49:01:736,737611,737611,0,0,35081473,0,4723 43,3,2024-09-07 09:49:01:756,1,584,9,0,571,8664,584,0 44,0,2024-09-07 09:49:00:873,147871,0.4,147803,0.6,296158,0.3,393506,1.75 44,1,2024-09-07 09:49:00:578,1028411,1028411,0,0,482317178294,4987204255,1019212,7260,1939,356,391809,0 44,2,2024-09-07 09:49:01:270,737733,737733,0,0,28153055,0,4344 44,3,2024-09-07 09:49:01:099,1,584,5,0,1097,7318,584,0 45,0,2024-09-07 09:49:01:756,142094,0.5,138794,0.7,291347,0.5,382558,2.00 45,1,2024-09-07 09:49:01:005,1027141,1027141,0,0,482747136511,5017513748,1018948,7290,903,382,391917,0 45,2,2024-09-07 09:49:01:267,742022,742022,0,0,28656278,0,3596 45,3,2024-09-07 09:49:00:943,1,584,2,0,531,5702,584,0 46,0,2024-09-07 09:49:00:961,146053,0.5,145665,0.7,292351,0.5,388394,2.00 46,1,2024-09-07 09:49:00:580,1029079,1029079,0,0,483730798691,5000227724,1021907,6306,866,366,391709,0 46,2,2024-09-07 09:49:00:601,737072,737072,0,0,29302632,0,4443 46,3,2024-09-07 09:49:01:131,1,584,8,0,908,7126,584,0 47,0,2024-09-07 09:49:01:106,146969,0.4,146818,0.6,294905,0.4,391064,2.00 47,1,2024-09-07 09:49:00:567,1030008,1030008,0,0,483374770793,4995038591,1023928,5218,862,365,391641,0 47,2,2024-09-07 09:49:00:911,740500,740500,0,0,28306668,0,4477 47,3,2024-09-07 09:49:01:119,1,584,1,0,600,6547,584,0 48,0,2024-09-07 09:49:01:496,147419,0.3,147573,0.4,294680,0.2,391864,1.50 48,1,2024-09-07 09:49:01:022,1027742,1027742,0,0,481957281963,5006764486,1021646,5518,578,383,391710,0 48,2,2024-09-07 09:49:00:703,739364,739364,0,0,26848008,0,3524 48,3,2024-09-07 09:49:00:757,1,584,2,0,339,4869,584,0 49,0,2024-09-07 09:49:01:711,148730,0.3,145807,0.5,283788,0.3,387539,1.75 49,1,2024-09-07 09:49:01:022,1026750,1026750,0,0,481830516725,5013177395,1019601,5455,1694,382,391809,0 49,2,2024-09-07 09:49:01:806,743450,743450,0,0,29161466,0,4426 49,3,2024-09-07 09:49:01:421,1,584,2,0,992,7062,584,0 50,0,2024-09-07 09:49:01:508,145633,0.3,143961,0.5,289845,0.2,386299,1.75 50,1,2024-09-07 09:49:01:015,1030014,1030014,0,0,483817392090,5001755055,1023611,5734,669,368,391691,0 50,2,2024-09-07 09:49:01:067,736076,736076,0,0,26705154,0,4490 50,3,2024-09-07 09:49:01:293,1,584,0,0,617,6110,584,0 51,0,2024-09-07 09:49:01:682,150111,0.3,147088,0.5,286297,0.2,390200,1.75 51,1,2024-09-07 09:49:01:683,1030888,1030888,0,0,484439561842,4996833349,1025233,4575,1080,365,391706,0 51,2,2024-09-07 09:49:01:316,737886,737886,0,0,26374942,0,3337 51,3,2024-09-07 09:49:01:028,1,584,1,0,678,4256,584,0 52,0,2024-09-07 09:49:01:431,148401,0.5,148096,0.7,296446,0.4,393628,2.00 52,1,2024-09-07 09:49:00:580,1025149,1025149,0,0,481319877366,5040946895,1010131,12688,2330,368,391805,0 52,2,2024-09-07 09:49:01:759,735249,735211,38,0,35979194,0,6742 52,3,2024-09-07 09:49:00:682,1,584,1,0,1782,7054,584,0 53,0,2024-09-07 09:49:01:751,145402,0.7,141128,0.8,295191,0.7,386443,2.50 53,1,2024-09-07 09:49:00:804,1023822,1023822,0,0,480437331862,5046791834,1005643,13784,4395,367,391968,0 53,2,2024-09-07 09:49:01:299,741564,741563,1,0,32599305,0,5455 53,3,2024-09-07 09:49:00:702,1,584,1,0,308,5567,584,0 54,0,2024-09-07 09:49:01:615,141709,0.6,142075,0.8,282869,0.5,377889,2.25 54,1,2024-09-07 09:49:00:580,1026530,1026530,0,0,482305084904,5019473384,1015450,9410,1670,366,391810,0 54,2,2024-09-07 09:49:00:878,737765,737733,32,0,36140407,0,6397 54,3,2024-09-07 09:49:00:773,1,584,12,0,676,7752,584,0 55,0,2024-09-07 09:49:01:758,142408,0.7,146792,0.8,297686,0.6,387445,2.50 55,1,2024-09-07 09:49:00:769,1026937,1026937,0,0,481814865385,5014179289,1016082,9466,1389,365,391731,0 55,2,2024-09-07 09:49:00:739,735164,735108,56,0,33605842,0,7239 55,3,2024-09-07 09:49:00:674,1,584,3,0,304,5451,584,0 56,0,2024-09-07 09:49:01:578,151000,1.2,142292,1.1,293260,1.5,392712,2.75 56,1,2024-09-07 09:49:00:579,1020256,1020256,0,0,479975333558,5080670660,1002466,14105,3685,381,391867,0 56,2,2024-09-07 09:49:01:310,736704,736582,122,0,35641228,0,7432 56,3,2024-09-07 09:49:01:066,1,584,0,0,705,6874,584,0 57,0,2024-09-07 09:49:00:985,146017,1.5,145797,1.2,291966,2.0,390169,3.25 57,1,2024-09-07 09:49:00:988,1022951,1022951,0,0,480173240731,5045011657,1008609,11853,2489,366,392032,0 57,2,2024-09-07 09:49:01:327,738761,738761,0,0,37420294,0,4804 57,3,2024-09-07 09:49:01:737,1,584,76,0,455,6986,584,0 58,0,2024-09-07 09:49:00:574,141197,1.0,137059,1.0,286851,1.3,375241,2.75 58,1,2024-09-07 09:49:00:585,1024725,1024722,0,3,481487156588,5052145490,1008592,12230,3900,367,391675,3 58,2,2024-09-07 09:49:01:070,740362,740362,0,0,35218925,0,3483 58,3,2024-09-07 09:49:01:068,1,584,10,0,1043,6637,584,0 59,0,2024-09-07 09:49:01:753,146721,0.9,146416,1.0,292212,1.0,388290,3.00 59,1,2024-09-07 09:49:00:808,1023176,1023176,0,0,480897967499,5059167747,1005363,14146,3667,369,391653,0 59,2,2024-09-07 09:49:00:588,736236,736236,0,0,33153759,0,3727 59,3,2024-09-07 09:49:01:737,1,584,318,0,1015,7299,584,0 60,0,2024-09-07 09:49:01:721,147114,0.5,147345,0.7,294657,0.5,392771,1.75 60,1,2024-09-07 09:49:00:780,1028521,1028521,0,0,482745480238,5016975524,1020888,6548,1085,370,392031,0 60,2,2024-09-07 09:49:01:140,738153,738153,0,0,31051982,0,3811 60,3,2024-09-07 09:49:01:265,1,584,244,0,409,6903,584,0 61,0,2024-09-07 09:49:01:495,147146,0.6,147721,0.8,294082,0.6,392364,2.00 61,1,2024-09-07 09:49:00:785,1024834,1024834,0,0,480992668840,5037262117,1013769,9386,1679,382,392127,0 61,2,2024-09-07 09:49:01:123,739577,739510,67,0,31830743,0,6411 61,3,2024-09-07 09:49:01:687,1,584,3,0,607,7796,584,0 62,0,2024-09-07 09:49:01:711,144801,0.6,148480,0.7,283534,0.6,385373,2.00 62,1,2024-09-07 09:49:01:111,1031579,1031573,0,6,484349094078,4994192367,1026635,4640,298,365,391975,6 62,2,2024-09-07 09:49:01:646,739743,739742,1,0,31939105,0,5555 62,3,2024-09-07 09:49:01:157,1,584,8,0,482,4787,584,0 63,0,2024-09-07 09:49:01:456,146676,0.4,146478,0.6,293680,0.4,390285,1.75 63,1,2024-09-07 09:49:00:806,1027771,1027765,0,6,482350732157,5009877358,1020998,5912,855,381,391800,6 63,2,2024-09-07 09:49:00:762,736788,736788,0,0,29981386,0,4369 63,3,2024-09-07 09:49:01:736,1,584,1,0,667,6119,584,0 64,0,2024-09-07 09:49:01:522,145198,0.5,145206,0.7,289798,0.4,386057,2.00 64,1,2024-09-07 09:49:00:757,1026860,1026860,0,0,482926961132,5031086518,1017538,7346,1976,370,391794,0 64,2,2024-09-07 09:49:01:143,741268,741249,19,0,29008515,0,6121 64,3,2024-09-07 09:49:01:141,1,584,3,0,651,6236,584,0 65,0,2024-09-07 09:49:01:725,146171,0.6,146429,0.7,292569,0.6,389634,2.00 65,1,2024-09-07 09:49:00:862,1025228,1025228,0,0,480875212636,5027362717,1017806,6549,873,381,391901,0 65,2,2024-09-07 09:49:01:700,738102,738102,0,0,34761597,0,3367 65,3,2024-09-07 09:49:01:693,1,584,6,0,782,6526,584,0 66,0,2024-09-07 09:49:01:767,144845,0.5,144410,0.8,289026,0.5,384673,2.25 66,1,2024-09-07 09:49:01:295,1027804,1027804,0,0,482542126882,5021961677,1021308,5774,722,380,391743,0 66,2,2024-09-07 09:49:01:132,744854,744851,3,0,31258478,0,5455 66,3,2024-09-07 09:49:01:085,1,584,7,0,291,5035,584,0 67,0,2024-09-07 09:49:01:415,143642,0.5,143335,0.7,287663,0.5,383486,2.00 67,1,2024-09-07 09:49:00:786,1026719,1026718,0,1,483029711335,5029485370,1019426,6349,943,380,391787,1 67,2,2024-09-07 09:49:00:581,739463,739448,15,0,30788332,0,6205 67,3,2024-09-07 09:49:01:752,1,584,63,0,595,6225,584,0 68,0,2024-09-07 09:49:00:571,146878,0.6,146759,0.8,292159,0.6,390841,2.25 68,1,2024-09-07 09:49:00:571,1022717,1022717,0,0,479873956952,5048906776,1008939,10248,3530,381,391953,0 68,2,2024-09-07 09:49:01:043,733046,732946,100,0,39165466,0,8578 68,3,2024-09-07 09:49:00:742,1,584,0,0,417,7713,584,0 69,0,2024-09-07 09:49:01:726,147546,0.7,148366,0.8,295846,0.7,392888,2.25 69,1,2024-09-07 09:49:01:016,1021830,1021830,0,0,479788128933,5059658665,1007980,11019,2831,383,391994,0 69,2,2024-09-07 09:49:01:738,735615,735586,29,0,41424045,0,6912 69,3,2024-09-07 09:49:00:760,1,584,1,0,698,8559,584,0 70,0,2024-09-07 09:49:01:533,145692,0.8,146178,0.9,293926,0.7,389108,2.50 70,1,2024-09-07 09:49:00:829,1028268,1028268,0,0,483349047940,5017307121,1020362,7100,806,366,391725,0 70,2,2024-09-07 09:49:01:328,740359,740359,0,0,34484132,0,4323 70,3,2024-09-07 09:49:00:747,1,584,7,0,854,6583,584,0 71,0,2024-09-07 09:49:01:367,141954,1.1,141738,1.1,284645,1.5,380243,2.75 71,1,2024-09-07 09:49:01:599,1026142,1026142,0,0,481594002188,5030241773,1013157,11364,1621,368,391738,0 71,2,2024-09-07 09:49:01:067,738202,738202,0,0,34583979,0,4352 71,3,2024-09-07 09:49:01:750,1,584,7,0,644,6663,584,0 72,0,2024-09-07 09:49:01:023,153047,0.6,149626,0.7,292009,0.6,396990,2.00 72,1,2024-09-07 09:49:01:022,1023498,1023498,0,0,480884633282,5048167439,1008405,12581,2512,369,391819,0 72,2,2024-09-07 09:49:01:759,734685,734685,0,0,36178508,0,3983 72,3,2024-09-07 09:49:01:759,1,584,1,0,564,8680,584,0 73,0,2024-09-07 09:49:01:106,144257,0.4,147987,0.6,302472,0.4,393582,2.00 73,1,2024-09-07 09:49:00:775,1025902,1025902,0,0,481936049536,5013424099,1017504,7418,980,367,391858,0 73,2,2024-09-07 09:49:01:738,737082,737081,1,0,37599378,0,5027 73,3,2024-09-07 09:49:00:974,1,584,0,0,1091,8317,584,0 74,0,2024-09-07 09:49:01:320,148681,0.5,152215,0.7,290465,0.4,393780,2.00 74,1,2024-09-07 09:49:00:638,1024778,1024778,0,0,481281122119,5028580802,1012880,9782,2116,381,391762,0 74,2,2024-09-07 09:49:01:007,737852,737852,0,0,32929369,0,4253 74,3,2024-09-07 09:49:01:449,1,584,8,0,522,7382,584,0 75,0,2024-09-07 09:49:01:764,144171,0.6,143085,0.8,287286,0.5,384195,2.25 75,1,2024-09-07 09:49:01:586,1026077,1026077,0,0,480864024193,5015219474,1017461,7748,868,380,391739,0 75,2,2024-09-07 09:49:01:353,739652,739652,0,0,39910404,0,4766 75,3,2024-09-07 09:49:01:067,1,584,1,0,918,8104,584,0 76,0,2024-09-07 09:49:00:589,146256,0.5,145681,0.7,291443,0.5,389712,2.25 76,1,2024-09-07 09:49:00:813,1025947,1025947,0,0,481966643157,5026279116,1018929,6048,970,382,391790,0 76,2,2024-09-07 09:49:01:062,738362,738359,3,0,31918058,0,5265 76,3,2024-09-07 09:49:01:142,1,584,83,0,227,5293,584,0 77,0,2024-09-07 09:49:01:720,146172,0.5,146730,0.7,293380,0.5,390292,2.00 77,1,2024-09-07 09:49:00:834,1025749,1025749,0,0,482578189115,5035279745,1017807,7067,875,381,391869,0 77,2,2024-09-07 09:49:01:285,736951,736951,0,0,31215079,0,3890 77,3,2024-09-07 09:49:01:099,1,584,40,0,401,6451,584,0 78,0,2024-09-07 09:49:01:732,147673,0.4,147069,0.6,294784,0.4,390516,2.00 78,1,2024-09-07 09:49:00:613,1025897,1025897,0,0,481254965887,5021078450,1013474,9696,2727,367,391670,0 78,2,2024-09-07 09:49:01:409,740287,740274,13,0,29235752,0,8313 78,3,2024-09-07 09:49:01:138,1,584,12,0,311,5009,584,0 79,0,2024-09-07 09:49:01:347,139902,0.4,143180,0.6,293514,0.3,382306,2.00 79,1,2024-09-07 09:49:00:580,1029350,1029350,0,0,483416559868,5005310918,1021555,6435,1360,367,391682,0 79,2,2024-09-07 09:49:01:068,742510,742510,0,0,28466643,0,4195 79,3,2024-09-07 09:49:00:750,1,584,0,0,418,7064,584,0 80,0,2024-09-07 09:49:01:145,144750,0.5,148658,0.7,284364,0.5,385270,2.00 80,1,2024-09-07 09:49:01:641,1026206,1026206,0,0,482002801952,5018841491,1019541,6229,436,368,392269,0 80,2,2024-09-07 09:49:01:114,738812,738812,0,0,28773287,0,4433 80,3,2024-09-07 09:49:00:579,1,584,7,0,681,7331,584,0 81,0,2024-09-07 09:49:01:578,146253,0.6,149574,0.7,285634,0.5,387466,2.00 81,1,2024-09-07 09:49:01:652,1024812,1024812,0,0,480810631007,5025938121,1016680,7369,763,382,391885,0 81,2,2024-09-07 09:49:01:130,736448,736385,63,0,32002169,0,5932 81,3,2024-09-07 09:49:01:117,1,584,1,0,719,6953,584,0 82,0,2024-09-07 09:49:01:530,147744,0.5,147873,0.7,296440,0.4,392653,2.00 82,1,2024-09-07 09:49:00:589,1027710,1027706,0,4,481689598546,5007863681,1022141,4742,823,381,391768,4 82,2,2024-09-07 09:49:01:696,741115,741115,0,0,26987745,0,4484 82,3,2024-09-07 09:49:01:756,1,584,8,0,363,5607,584,0 83,0,2024-09-07 09:49:01:527,146105,0.6,146101,0.7,291499,0.6,386644,2.25 83,1,2024-09-07 09:49:00:559,1025647,1025647,0,0,481767982009,5022830617,1018241,6761,645,382,391709,0 83,2,2024-09-07 09:49:00:764,742256,742231,25,0,29155808,0,5612 83,3,2024-09-07 09:49:00:749,1,584,1,0,1260,7108,584,0 84,0,2024-09-07 09:49:01:783,141826,0.8,141968,0.9,283839,0.8,379483,2.50 84,1,2024-09-07 09:49:01:039,1023883,1023883,0,0,481076482268,5033820439,1011842,10370,1671,367,391967,0 84,2,2024-09-07 09:49:00:584,735958,735548,410,0,43044820,0,17037 84,3,2024-09-07 09:49:01:141,1,584,9,0,908,7944,584,0 85,0,2024-09-07 09:49:01:172,141601,0.7,141595,0.8,300629,0.7,387781,2.25 85,1,2024-09-07 09:49:00:607,1021293,1021293,0,0,479498852831,5067257687,1004999,13498,2796,381,392092,0 85,2,2024-09-07 09:49:00:872,735531,735531,0,0,36163755,0,4255 85,3,2024-09-07 09:49:00:705,1,584,0,0,789,6809,584,0 86,0,2024-09-07 09:49:00:915,147919,0.7,151972,0.8,290733,0.7,393408,2.25 86,1,2024-09-07 09:49:00:825,1023959,1023959,0,0,481271642975,5050383569,1010140,11134,2685,366,392169,0 86,2,2024-09-07 09:49:00:854,736542,736541,1,0,38416884,0,5004 86,3,2024-09-07 09:49:00:590,1,584,8,0,308,8258,584,0 87,0,2024-09-07 09:49:01:301,147744,0.8,147212,0.8,294408,1.0,393520,2.25 87,1,2024-09-07 09:49:00:557,1023541,1023541,0,0,481146850171,5044400404,1010172,11573,1796,366,392076,0 87,2,2024-09-07 09:49:01:067,738263,738257,6,0,34907544,0,6323 87,3,2024-09-07 09:49:01:794,1,584,1,0,473,8489,584,0 88,0,2024-09-07 09:49:01:521,143411,0.5,143746,0.6,287522,0.4,382945,2.00 88,1,2024-09-07 09:49:00:569,1022585,1022585,0,0,480088694507,5037048918,1007379,12163,3043,365,392084,0 88,2,2024-09-07 09:49:00:702,739574,739574,0,0,37823625,0,4465 88,3,2024-09-07 09:49:01:271,1,584,2,0,1080,9183,584,0 89,0,2024-09-07 09:49:01:827,152234,0.5,147842,0.7,291309,0.4,396983,1.75 89,1,2024-09-07 09:49:00:600,1022119,1022119,0,0,481297211835,5065619633,1009143,11033,1943,382,391866,0 89,2,2024-09-07 09:49:01:132,735443,735443,0,0,35452645,0,3173 89,3,2024-09-07 09:49:01:794,1,584,200,0,468,10071,584,0 90,0,2024-09-07 09:49:01:619,142867,0.5,146700,0.6,299378,0.4,390890,2.00 90,1,2024-09-07 09:49:00:593,1024372,1024372,0,0,481066573716,5041510527,1014296,9184,892,380,391825,0 90,2,2024-09-07 09:49:01:406,734029,734024,5,0,38970546,0,6370 90,3,2024-09-07 09:49:00:937,1,584,8,0,322,7243,584,0 91,0,2024-09-07 09:49:00:934,147664,0.4,143317,0.6,299803,0.4,392983,1.75 91,1,2024-09-07 09:49:00:565,1021524,1021524,0,0,480544542352,5067771725,1005695,12682,3147,381,392047,0 91,2,2024-09-07 09:49:01:336,737998,737998,0,0,34310323,0,4713 91,3,2024-09-07 09:49:00:598,1,584,1,0,216,5344,584,0 92,0,2024-09-07 09:49:01:481,145880,0.5,149186,0.6,284442,0.4,385569,1.75 92,1,2024-09-07 09:49:00:580,1025348,1025348,0,0,481656300360,5031752215,1017182,6884,1282,381,392136,0 92,2,2024-09-07 09:49:01:357,741996,741996,0,0,29960193,0,3259 92,3,2024-09-07 09:49:01:017,1,584,1,0,167,5102,584,0 93,0,2024-09-07 09:49:00:960,146962,0.4,150598,0.6,287593,0.4,390292,1.75 93,1,2024-09-07 09:49:00:811,1025630,1025630,0,0,481237591712,5025044784,1014121,9582,1927,366,391776,0 93,2,2024-09-07 09:49:00:933,736412,736412,0,0,35508681,0,4913 93,3,2024-09-07 09:49:01:410,1,584,3,0,294,5797,584,0 94,0,2024-09-07 09:49:01:720,145351,0.4,146407,0.5,292695,0.3,388731,1.75 94,1,2024-09-07 09:49:00:564,1026444,1026444,0,0,481161735371,5018870980,1019696,6318,430,381,391850,0 94,2,2024-09-07 09:49:00:761,737281,737253,28,0,30367466,0,6179 94,3,2024-09-07 09:49:01:696,1,584,12,0,576,7170,584,0 95,0,2024-09-07 09:49:01:346,147201,0.3,147305,0.5,294691,0.3,392221,1.75 95,1,2024-09-07 09:49:00:867,1027510,1027510,0,0,481816236296,5008205555,1019299,7506,705,365,391852,0 95,2,2024-09-07 09:49:01:019,738291,738291,0,0,29989123,0,3308 95,3,2024-09-07 09:49:01:717,1,584,137,0,718,9217,584,0 96,0,2024-09-07 09:49:01:113,145299,0.4,145700,0.5,291265,0.3,386858,1.75 96,1,2024-09-07 09:49:01:613,1025658,1025658,0,0,481805947054,5026307131,1018309,6077,1272,384,391964,0 96,2,2024-09-07 09:49:01:275,742730,742730,0,0,31037301,0,4180 96,3,2024-09-07 09:49:01:141,1,584,6,0,411,6882,584,0 97,0,2024-09-07 09:49:01:312,143864,0.3,143712,0.5,288344,0.3,383143,1.75 97,1,2024-09-07 09:49:00:762,1027252,1027252,0,0,482506134702,5007265435,1020678,5548,1026,367,392140,0 97,2,2024-09-07 09:49:00:614,737902,737902,0,0,30593734,0,4046 97,3,2024-09-07 09:49:00:571,1,584,2,0,242,6600,584,0 98,0,2024-09-07 09:49:01:698,146545,0.3,146612,0.5,294081,0.3,390904,1.50 98,1,2024-09-07 09:49:00:571,1026465,1026465,0,0,481761995961,5018887369,1020200,5442,823,381,391997,0 98,2,2024-09-07 09:49:00:786,737557,737557,0,0,29496533,0,4336 98,3,2024-09-07 09:49:00:702,1,584,13,0,840,8863,584,0 99,0,2024-09-07 09:49:01:451,148420,0.3,149207,0.5,296734,0.3,395531,1.75 99,1,2024-09-07 09:49:01:723,1027430,1027430,0,0,481417373657,5011425949,1021072,5417,941,380,392069,0 99,2,2024-09-07 09:49:01:422,738167,738167,0,0,36435312,0,4276 99,3,2024-09-07 09:49:00:589,1,584,1,0,1124,7468,584,0 100,0,2024-09-07 09:49:01:471,146939,0.8,147221,0.9,294093,0.8,392722,2.50 100,1,2024-09-07 09:49:00:549,1021336,1021336,0,0,478911610387,5067360572,1005330,12693,3313,378,391989,0 100,2,2024-09-07 09:49:01:818,736773,736384,389,0,40208526,0,16909 100,3,2024-09-07 09:49:01:737,1,584,11,0,627,10015,584,0 101,0,2024-09-07 09:49:01:721,146333,1.3,142659,1.1,279206,1.4,381934,2.50 101,1,2024-09-07 09:49:00:561,1022349,1022349,0,0,480220419393,5049231957,1008415,11407,2527,368,391847,0 101,2,2024-09-07 09:49:01:764,734327,734327,0,0,40002464,0,4871 101,3,2024-09-07 09:49:00:944,1,584,0,0,1250,8948,584,0 102,0,2024-09-07 09:49:00:958,144282,0.7,148602,0.8,301836,0.7,394672,2.25 102,1,2024-09-07 09:49:01:149,1022919,1022919,0,0,479876935232,5044926539,1008820,11903,2196,369,391984,0 102,2,2024-09-07 09:49:01:738,737126,737072,54,0,33985384,0,6768 102,3,2024-09-07 09:49:01:623,1,584,8,0,466,6865,584,0 103,0,2024-09-07 09:49:01:598,152900,0.6,152892,0.7,288087,0.6,396874,2.00 103,1,2024-09-07 09:49:01:627,1020861,1020861,0,0,479341141724,5066763100,1004008,13260,3593,381,392077,0 103,2,2024-09-07 09:49:00:590,735219,735219,0,0,36800276,0,3766 103,3,2024-09-07 09:49:00:777,1,584,16,0,916,7091,584,0 104,0,2024-09-07 09:49:01:093,146691,0.7,146825,0.9,293079,0.7,391970,2.25 104,1,2024-09-07 09:49:01:599,1023902,1023902,0,0,480494293455,5053325720,1008406,12654,2842,365,392168,0 104,2,2024-09-07 09:49:01:726,735793,735793,0,0,36640582,0,4161 104,3,2024-09-07 09:49:01:423,1,584,4,0,1245,10516,584,0 105,0,2024-09-07 09:49:01:095,142188,0.9,138520,1.1,290288,1.1,381663,2.75 105,1,2024-09-07 09:49:00:566,1025400,1025400,0,0,481463129653,5048030944,1011985,11478,1937,364,392009,0 105,2,2024-09-07 09:49:01:326,739966,739966,0,0,36727092,0,4360 105,3,2024-09-07 09:49:01:304,1,584,28,0,573,9143,584,0 106,0,2024-09-07 09:49:00:977,141835,0.8,145185,0.9,297486,1.0,388466,2.50 106,1,2024-09-07 09:49:01:757,1024221,1024221,0,0,480347572738,5045994933,1009586,12741,1894,368,391914,0 106,2,2024-09-07 09:49:00:767,735029,735029,0,0,34060784,0,3254 106,3,2024-09-07 09:49:00:676,1,584,13,0,1224,8393,584,0 107,0,2024-09-07 09:49:01:107,146414,1.0,146446,0.9,293032,1.2,390992,2.25 107,1,2024-09-07 09:49:00:586,1021187,1021187,0,0,479196050264,5068997682,1003826,15229,2132,381,392234,0 107,2,2024-09-07 09:49:01:295,734542,734541,1,0,37034431,0,5024 107,3,2024-09-07 09:49:01:756,1,584,1,0,733,9018,584,0 108,0,2024-09-07 09:49:01:811,147002,0.4,147518,0.6,294085,0.4,391986,1.75 108,1,2024-09-07 09:49:01:294,1025618,1025618,0,0,481860114806,5027649667,1016953,7672,993,367,391894,0 108,2,2024-09-07 09:49:01:767,738504,738504,0,0,32238405,0,4246 108,3,2024-09-07 09:49:01:336,1,584,2,0,749,10756,584,0 109,0,2024-09-07 09:49:01:854,145543,0.4,144256,0.6,289520,0.3,386715,1.75 109,1,2024-09-07 09:49:00:959,1022572,1022572,0,0,480721322478,5042962141,1013596,7753,1223,382,392132,0 109,2,2024-09-07 09:49:00:933,740288,740288,0,0,33120081,0,3617 109,3,2024-09-07 09:49:01:142,1,584,15,0,630,7242,584,0 110,0,2024-09-07 09:49:01:748,144882,0.4,140864,0.6,294981,0.4,387206,1.75 110,1,2024-09-07 09:49:01:646,1027336,1027336,0,0,482114572576,5007500120,1019302,6109,1925,368,392045,0 110,2,2024-09-07 09:49:01:310,737008,737008,0,0,30467749,0,4067 110,3,2024-09-07 09:49:00:697,1,584,10,0,722,7991,584,0 111,0,2024-09-07 09:49:01:424,147094,0.4,146218,0.5,292555,0.3,389842,1.75 111,1,2024-09-07 09:49:01:004,1028554,1028554,0,0,482938429623,5008390503,1023545,4645,364,380,391690,0 111,2,2024-09-07 09:49:01:119,737076,737076,0,0,30960470,0,4823 111,3,2024-09-07 09:49:00:922,1,584,0,0,379,6499,584,0 112,0,2024-09-07 09:49:00:916,148749,0.3,148104,0.4,296934,0.2,393939,1.50 112,1,2024-09-07 09:49:00:831,1027433,1027433,0,0,482405938820,5011351974,1020241,5967,1225,380,391624,0 112,2,2024-09-07 09:49:01:138,738542,738541,1,0,29421949,0,5036 112,3,2024-09-07 09:49:00:609,1,584,0,0,282,5705,584,0 113,0,2024-09-07 09:49:00:872,146296,0.3,146271,0.5,292821,0.2,389113,1.75 113,1,2024-09-07 09:49:01:685,1029371,1029371,0,0,484000051634,4995497488,1023160,5264,947,365,391664,0 113,2,2024-09-07 09:49:01:303,744521,744521,0,0,27901777,0,3813 113,3,2024-09-07 09:49:00:684,1,584,10,0,510,6335,584,0 114,0,2024-09-07 09:49:00:883,143686,0.3,144707,0.5,288047,0.2,385025,1.75 114,1,2024-09-07 09:49:00:718,1027782,1027782,0,0,482513338011,5011457066,1019956,6080,1746,381,391565,0 114,2,2024-09-07 09:49:00:876,739635,739634,1,0,29112271,0,5069 114,3,2024-09-07 09:49:01:278,1,584,13,0,395,4665,584,0 115,0,2024-09-07 09:49:00:569,148250,0.3,148725,0.4,296157,0.2,393877,1.50 115,1,2024-09-07 09:49:00:576,1027712,1027712,0,0,482048923587,5010138903,1019468,6727,1517,382,391757,0 115,2,2024-09-07 09:49:01:127,738823,738823,0,0,28819599,0,4382 115,3,2024-09-07 09:49:01:008,1,584,0,0,167,3492,584,0 116,0,2024-09-07 09:49:01:741,147895,0.7,147603,0.8,295955,0.6,395673,2.00 116,1,2024-09-07 09:49:00:806,1022672,1022672,0,0,479858277631,5061534839,1010046,9537,3089,380,392089,0 116,2,2024-09-07 09:49:01:752,737508,737508,0,0,36669016,0,4475 116,3,2024-09-07 09:49:00:921,1,584,0,0,448,7689,584,0 117,0,2024-09-07 09:49:00:967,148002,0.7,147324,0.8,295153,0.7,394892,2.00 117,1,2024-09-07 09:49:01:582,1023829,1023829,0,0,479788578678,5027640950,1012220,10089,1520,369,392033,0 117,2,2024-09-07 09:49:01:120,741075,741075,0,0,33224513,0,4303 117,3,2024-09-07 09:49:01:061,1,584,1,0,490,7610,584,0 118,0,2024-09-07 09:49:01:785,139035,0.6,142864,0.7,291455,0.5,381329,2.00 118,1,2024-09-07 09:49:00:586,1022668,1022668,0,0,480223128798,5053266924,1006921,12126,3621,366,392054,0 118,2,2024-09-07 09:49:01:590,739137,739137,0,0,35352708,0,2842 118,3,2024-09-07 09:49:01:766,1,584,8,0,289,7009,584,0 119,0,2024-09-07 09:49:01:377,147798,0.8,148587,0.8,296374,0.9,395786,2.25 119,1,2024-09-07 09:49:00:558,1023484,1023484,0,0,481032684269,5048994634,1008833,12140,2511,367,391857,0 119,2,2024-09-07 09:49:01:268,737127,737127,0,0,33208708,0,4309 119,3,2024-09-07 09:49:01:325,1,584,2,0,1358,10990,584,0 120,0,2024-09-07 09:49:01:554,146474,0.7,146251,0.8,293713,0.7,391901,2.25 120,1,2024-09-07 09:49:00:897,1024824,1024824,0,0,480107837229,5039698478,1013243,10441,1140,367,392144,0 120,2,2024-09-07 09:49:00:776,735905,735902,3,0,39642192,0,5363 120,3,2024-09-07 09:49:01:293,1,584,1,0,279,7206,584,0 121,0,2024-09-07 09:49:01:796,147406,1.1,146824,1.0,294091,1.4,391873,2.25 121,1,2024-09-07 09:49:01:657,1024153,1024153,0,0,480403114815,5030661779,1013001,9611,1541,366,391840,0 121,2,2024-09-07 09:49:01:125,737633,737633,0,0,36162787,0,4157 121,3,2024-09-07 09:49:00:732,1,584,20,0,387,7913,584,0 122,0,2024-09-07 09:49:01:785,143758,0.8,139895,0.9,293027,0.9,385332,2.25 122,1,2024-09-07 09:49:00:867,1023279,1023279,0,0,480859871126,5051578932,1008395,12472,2412,366,392130,0 122,2,2024-09-07 09:49:01:319,741915,741840,75,0,40003624,0,5989 122,3,2024-09-07 09:49:00:594,1,584,9,0,512,9676,584,0 123,0,2024-09-07 09:49:00:953,145634,0.9,141610,0.9,296702,1.0,388839,2.25 123,1,2024-09-07 09:49:00:570,1023092,1023092,0,0,480146885244,5053973275,1006357,14065,2670,369,392039,0 123,2,2024-09-07 09:49:01:020,734212,734211,1,0,33866121,0,5215 123,3,2024-09-07 09:49:01:138,1,584,18,0,478,6818,584,0 124,0,2024-09-07 09:49:00:925,149840,0.3,149857,0.5,282699,0.3,389094,1.75 124,1,2024-09-07 09:49:01:022,1027405,1027405,0,0,481106508418,5003464294,1019681,6549,1175,365,392178,0 124,2,2024-09-07 09:49:01:015,738762,738709,53,0,30424115,0,6487 124,3,2024-09-07 09:49:00:768,1,584,10,0,490,5863,584,0 125,0,2024-09-07 09:49:01:425,147017,0.4,147044,0.5,295037,0.3,392301,1.75 125,1,2024-09-07 09:49:00:855,1024580,1024580,0,0,481042433407,5023077383,1016531,6898,1151,382,391968,0 125,2,2024-09-07 09:49:01:116,741001,741001,0,0,30566594,0,4534 125,3,2024-09-07 09:49:01:136,1,584,107,0,709,6779,584,0 126,0,2024-09-07 09:49:01:428,145585,0.4,149666,0.6,286333,0.4,387521,1.75 126,1,2024-09-07 09:49:00:557,1028117,1028117,0,0,482588810511,4997341369,1022650,5021,446,365,391987,0 126,2,2024-09-07 09:49:00:613,743527,743527,0,0,31916808,0,4539 126,3,2024-09-07 09:49:00:924,1,584,9,0,268,6479,584,0 127,0,2024-09-07 09:49:01:641,144192,0.3,144364,0.5,288124,0.3,383667,1.75 127,1,2024-09-07 09:49:00:584,1025972,1025972,0,0,481521376964,5012643338,1015388,8948,1636,364,392187,0 127,2,2024-09-07 09:49:00:638,736701,736697,4,0,29893576,0,5305 127,3,2024-09-07 09:49:01:277,1,584,1,0,968,5731,584,0 128,0,2024-09-07 09:49:01:535,147429,0.3,147470,0.4,294629,0.2,391463,1.50 128,1,2024-09-07 09:49:01:609,1026282,1026282,0,0,481290822659,5006864193,1018126,7203,953,367,392423,0 128,2,2024-09-07 09:49:01:383,738465,738465,0,0,27753141,0,3171 128,3,2024-09-07 09:49:00:806,1,584,16,0,1082,9402,584,0 129,0,2024-09-07 09:49:00:997,149571,0.3,148711,0.5,298247,0.3,396031,1.50 129,1,2024-09-07 09:49:00:573,1022977,1022977,0,0,480814945521,5040994668,1012552,8403,2022,379,391962,0 129,2,2024-09-07 09:49:00:694,739963,739959,4,0,30308135,0,5335 129,3,2024-09-07 09:49:00:692,1,584,85,0,506,8244,584,0 130,0,2024-09-07 09:49:01:732,148192,0.4,147613,0.5,295872,0.4,393995,1.75 130,1,2024-09-07 09:49:00:585,1027246,1027246,0,0,481729468296,5004761643,1021929,4923,394,381,391825,0 130,2,2024-09-07 09:49:01:125,741494,741494,0,0,30599840,0,4067 130,3,2024-09-07 09:49:01:292,1,584,8,0,960,7899,584,0 131,0,2024-09-07 09:49:01:952,143498,0.4,143874,0.5,288483,0.3,383506,1.75 131,1,2024-09-07 09:49:01:828,1026418,1026418,0,0,481996910659,5025349017,1019302,5921,1195,381,391865,0 131,2,2024-09-07 09:49:00:573,739870,739870,0,0,28401689,0,3979 131,3,2024-09-07 09:49:01:687,1,584,18,0,392,7581,584,0 132,0,2024-09-07 09:49:01:424,148468,0.5,149473,0.6,297698,0.5,395641,2.00 132,1,2024-09-07 09:49:00:597,1022210,1022210,0,0,479699699688,5053931957,1006913,12595,2702,381,392532,0 132,2,2024-09-07 09:49:00:711,736472,736455,17,0,37100891,0,6451 132,3,2024-09-07 09:49:01:691,1,584,28,0,1298,10726,584,0 133,0,2024-09-07 09:49:01:520,144343,0.4,147604,0.6,302775,0.4,394407,2.00 133,1,2024-09-07 09:49:00:584,1022461,1022461,0,0,480430364973,5065105487,1008408,12291,1762,383,391914,0 133,2,2024-09-07 09:49:01:100,737843,737793,50,0,38189821,0,6861 133,3,2024-09-07 09:49:01:305,1,584,6,0,528,7130,584,0 134,0,2024-09-07 09:49:00:937,147890,0.5,148027,0.7,296165,0.5,394104,2.00 134,1,2024-09-07 09:49:00:585,1023507,1023507,0,0,480178374723,5040374009,1009764,11257,2486,366,391781,0 134,2,2024-09-07 09:49:01:757,738690,738666,24,0,35152506,0,6207 134,3,2024-09-07 09:49:00:762,1,584,12,0,739,7251,584,0 135,0,2024-09-07 09:49:01:116,139329,0.9,139366,0.9,295853,1.0,381883,2.25 135,1,2024-09-07 09:49:01:588,1023234,1023234,0,0,481339785194,5063717822,1010270,11324,1640,380,391805,0 135,2,2024-09-07 09:49:00:686,741570,741570,0,0,36172085,0,4503 135,3,2024-09-07 09:49:01:004,1,584,1,0,900,5854,584,0 136,0,2024-09-07 09:49:01:618,147269,0.6,147751,0.7,293517,0.5,391851,2.00 136,1,2024-09-07 09:49:01:445,1024498,1024498,0,0,481131961476,5046091077,1012707,10403,1388,381,392135,0 136,2,2024-09-07 09:49:01:144,737341,737326,15,0,35507238,0,6007 136,3,2024-09-07 09:49:01:109,1,584,3,0,637,6855,584,0 137,0,2024-09-07 09:49:00:940,151352,0.6,147585,0.7,288959,0.6,392842,2.00 137,1,2024-09-07 09:49:00:577,1023158,1023158,0,0,480390427417,5044033895,1006633,13138,3387,366,391898,0 137,2,2024-09-07 09:49:01:707,735671,735671,0,0,36700318,0,3185 137,3,2024-09-07 09:49:00:774,1,584,1,0,484,8173,584,0 138,0,2024-09-07 09:49:01:822,146473,0.7,146660,0.9,294027,0.8,390372,2.00 138,1,2024-09-07 09:49:01:689,1023757,1023757,0,0,481032070151,5049200648,1009292,12210,2255,368,391954,0 138,2,2024-09-07 09:49:00:585,737578,737578,0,0,34773241,0,4988 138,3,2024-09-07 09:49:00:612,1,584,8,0,1200,9341,584,0 139,0,2024-09-07 09:49:01:391,143640,1.1,144197,1.0,288347,1.6,384962,2.25 139,1,2024-09-07 09:49:00:574,1019866,1019866,0,0,478120466252,5074657089,1001489,14554,3823,380,392109,0 139,2,2024-09-07 09:49:00:691,737149,737119,30,0,40253252,0,5997 139,3,2024-09-07 09:49:01:664,1,584,9,0,432,7051,584,0 140,0,2024-09-07 09:49:01:588,145558,0.3,144710,0.5,290216,0.2,387436,1.75 140,1,2024-09-07 09:49:01:536,1030161,1030161,0,0,483436680806,4984571555,1025212,4403,546,364,391606,0 140,2,2024-09-07 09:49:00:699,737533,737532,1,0,28320589,0,5036 140,3,2024-09-07 09:49:00:775,1,584,0,0,575,5884,584,0 141,0,2024-09-07 09:49:01:705,146630,0.3,150681,0.5,287982,0.3,389607,1.75 141,1,2024-09-07 09:49:00:861,1028104,1028104,0,0,482961350731,5018445295,1019947,6965,1192,379,391614,0 141,2,2024-09-07 09:49:01:686,737626,737615,11,0,30340285,0,5369 141,3,2024-09-07 09:49:01:043,1,584,1,0,391,6511,584,0 142,0,2024-09-07 09:49:01:316,148756,0.3,147944,0.5,296141,0.2,394760,1.50 142,1,2024-09-07 09:49:00:594,1026044,1026044,0,0,482114749349,5026555515,1019396,6143,505,382,392102,0 142,2,2024-09-07 09:49:01:305,737832,737800,32,0,30947257,0,6028 142,3,2024-09-07 09:49:01:747,1,584,8,0,484,6206,584,0 143,0,2024-09-07 09:49:01:387,145972,0.4,146116,0.5,293158,0.4,389003,1.75 143,1,2024-09-07 09:49:00:556,1028730,1028730,0,0,482095014382,5002761998,1022335,5828,567,367,391722,0 143,2,2024-09-07 09:49:00:770,742766,742766,0,0,30790056,0,3123 143,3,2024-09-07 09:49:01:158,1,584,9,0,462,7191,584,0 144,0,2024-09-07 09:49:01:565,139137,0.6,143193,0.8,291336,0.6,381610,2.00 144,1,2024-09-07 09:49:00:569,1023057,1023057,0,0,480254432763,5040551075,1012653,8413,1991,381,391733,0 144,2,2024-09-07 09:49:01:770,739071,739071,0,0,29964348,0,4443 144,3,2024-09-07 09:49:01:744,1,584,11,0,249,5702,584,0 145,0,2024-09-07 09:49:01:363,142762,0.6,142698,0.8,302872,0.5,389844,2.25 145,1,2024-09-07 09:49:00:597,1022373,1022373,0,0,480098459021,5055650329,1008369,11333,2671,382,391759,0 145,2,2024-09-07 09:49:01:428,735406,735324,82,0,35990706,0,7814 145,3,2024-09-07 09:49:00:897,1,584,1,0,622,7896,584,0 146,0,2024-09-07 09:49:01:594,148100,0.6,147510,0.7,296445,0.5,393916,2.00 146,1,2024-09-07 09:49:01:586,1023577,1023577,0,0,480378598334,5059634940,1006100,12996,4481,367,391770,0 146,2,2024-09-07 09:49:01:708,736432,736426,6,0,34424116,0,5151 146,3,2024-09-07 09:49:01:316,1,584,65,0,1520,9687,584,0 147,0,2024-09-07 09:49:01:734,147918,0.6,147615,0.7,295056,0.5,394056,2.25 147,1,2024-09-07 09:49:01:372,1027674,1027674,0,0,481353609829,5003108584,1019525,7202,947,367,391791,0 147,2,2024-09-07 09:49:01:015,739231,739231,0,0,30150558,0,2968 147,3,2024-09-07 09:49:00:923,1,584,200,0,1626,9317,584,0 0,0,2024-09-07 09:49:11:720,143407,0.6,143384,0.7,304342,0.6,393252,2.00 0,1,2024-09-07 09:49:10:839,1025621,1025621,0,0,481564994092,5043114918,1017200,7487,934,369,391896,0 0,2,2024-09-07 09:49:11:068,740154,740154,0,0,30340247,0,4480 0,3,2024-09-07 09:49:10:981,1,585,23,0,431,8629,585,0 1,0,2024-09-07 09:49:11:747,147408,0.8,146327,0.9,294279,1.0,392858,2.00 1,1,2024-09-07 09:49:10:577,1025020,1025020,0,0,481090349372,5040883871,1015591,7939,1490,370,391859,0 1,2,2024-09-07 09:49:10:638,740046,740046,0,0,29713941,0,3380 1,3,2024-09-07 09:49:11:312,1,585,6,0,269,7343,585,0 2,0,2024-09-07 09:49:11:574,144469,0.6,144268,0.7,288422,0.6,385058,2.00 2,1,2024-09-07 09:49:10:863,1028574,1028574,0,0,483425968908,5027386632,1022484,5140,950,379,391745,0 2,2,2024-09-07 09:49:11:270,742977,742977,0,0,28692662,0,3594 2,3,2024-09-07 09:49:10:690,1,585,10,0,357,5253,585,0 3,0,2024-09-07 09:49:11:769,146480,0.4,146288,0.6,292083,0.3,389694,2.00 3,1,2024-09-07 09:49:11:624,1026886,1026886,0,0,482275013655,5017403045,1019310,6873,703,379,391716,0 3,2,2024-09-07 09:49:11:142,738908,738885,23,0,29610928,0,5851 3,3,2024-09-07 09:49:11:759,1,585,1,0,484,4543,585,0 4,0,2024-09-07 09:49:11:857,141906,0.4,145796,0.5,297361,0.3,389298,1.75 4,1,2024-09-07 09:49:10:590,1023026,1023026,0,0,479251543482,5077032135,1005224,14048,3754,370,391992,0 4,2,2024-09-07 09:49:11:028,735484,735484,0,0,36401961,0,4534 4,3,2024-09-07 09:49:11:027,1,585,1,0,448,7279,585,0 5,0,2024-09-07 09:49:11:381,147457,0.4,147460,0.5,295130,0.3,392225,1.75 5,1,2024-09-07 09:49:10:754,1023127,1023127,0,0,481213148666,5083950218,1006866,12137,4124,367,392005,0 5,2,2024-09-07 09:49:11:877,737219,737219,0,0,35264439,0,3582 5,3,2024-09-07 09:49:11:736,1,585,9,0,457,8153,585,0 6,0,2024-09-07 09:49:10:922,145780,0.5,145444,0.7,290955,0.4,387616,2.00 6,1,2024-09-07 09:49:10:749,1026346,1026346,0,0,481669351054,5033873093,1015327,9446,1573,379,391702,0 6,2,2024-09-07 09:49:11:115,743643,743625,18,0,34370451,0,5535 6,3,2024-09-07 09:49:11:277,1,585,9,0,710,7219,585,0 7,0,2024-09-07 09:49:11:535,143567,0.5,144611,0.7,287402,0.5,383819,2.00 7,1,2024-09-07 09:49:10:855,1024101,1024101,0,0,481392123579,5072623499,1007779,12556,3766,382,391747,0 7,2,2024-09-07 09:49:10:774,737204,737204,0,0,32912833,0,4791 7,3,2024-09-07 09:49:10:861,1,585,2,0,552,6981,585,0 8,0,2024-09-07 09:49:11:333,147337,0.4,147041,0.5,294393,0.3,391944,1.75 8,1,2024-09-07 09:49:11:019,1023509,1023509,0,0,480522143491,5058518767,1006802,13122,3585,366,392853,0 8,2,2024-09-07 09:49:10:789,733458,733456,2,0,39143285,0,5112 8,3,2024-09-07 09:49:10:585,1,585,1,0,772,9411,585,0 9,0,2024-09-07 09:49:11:136,148250,0.4,144296,0.5,301879,0.3,395670,1.75 9,1,2024-09-07 09:49:10:553,1023234,1023234,0,0,479688309357,5061416699,1006557,13452,3225,369,392001,0 9,2,2024-09-07 09:49:11:087,738098,738097,1,0,37008839,0,5281 9,3,2024-09-07 09:49:11:758,1,585,7,0,1273,10030,585,0 10,0,2024-09-07 09:49:11:601,147342,0.3,146666,0.5,294670,0.3,391968,1.75 10,1,2024-09-07 09:49:10:586,1025123,1025123,0,0,481062585197,5051433056,1009557,12505,3061,381,391981,0 10,2,2024-09-07 09:49:10:762,741701,741701,0,0,39574652,0,4713 10,3,2024-09-07 09:49:10:890,1,585,1,0,669,6752,585,0 11,0,2024-09-07 09:49:11:007,143697,0.5,139369,0.6,291493,0.4,384173,1.75 11,1,2024-09-07 09:49:10:572,1026675,1026675,0,0,482085609736,5061556190,1010877,11496,4302,383,391766,0 11,2,2024-09-07 09:49:11:123,738396,738396,0,0,35110181,0,4698 11,3,2024-09-07 09:49:11:302,1,585,1,0,843,7767,585,0 12,0,2024-09-07 09:49:10:943,149431,0.4,149421,0.6,298450,0.4,396527,1.75 12,1,2024-09-07 09:49:10:939,1026483,1026483,0,0,480910813258,5017910345,1018135,7345,1003,370,391960,0 12,2,2024-09-07 09:49:11:545,737016,737016,0,0,33659521,0,4390 12,3,2024-09-07 09:49:11:067,1,585,77,0,386,7490,585,0 13,0,2024-09-07 09:49:11:326,149078,0.4,149277,0.5,297561,0.4,396668,1.75 13,1,2024-09-07 09:49:11:525,1023778,1023778,0,0,480912447657,5057038917,1012965,8424,2389,382,391768,0 13,2,2024-09-07 09:49:10:595,740533,740533,0,0,30110680,0,3287 13,3,2024-09-07 09:49:11:763,1,585,1,0,522,7851,585,0 14,0,2024-09-07 09:49:10:562,147901,0.4,148958,0.6,295608,0.3,393973,1.75 14,1,2024-09-07 09:49:11:569,1031076,1031076,0,0,483807442125,5008782362,1022653,7294,1129,364,391673,0 14,2,2024-09-07 09:49:10:764,741025,740995,30,0,31489198,0,6104 14,3,2024-09-07 09:49:11:116,1,585,8,0,1168,6509,585,0 15,0,2024-09-07 09:49:11:556,144026,0.4,143185,0.6,287559,0.4,383495,2.00 15,1,2024-09-07 09:49:11:615,1028379,1028379,0,0,483002341357,5032361514,1019310,7156,1913,381,391619,0 15,2,2024-09-07 09:49:11:009,743645,743645,0,0,27395864,0,3622 15,3,2024-09-07 09:49:11:406,1,585,1,0,1126,7865,585,0 16,0,2024-09-07 09:49:10:947,146992,0.6,147685,0.7,294717,0.6,392636,2.00 16,1,2024-09-07 09:49:10:566,1028246,1028246,0,0,481607267906,5036440870,1018970,7836,1440,370,392194,0 16,2,2024-09-07 09:49:11:442,737119,737119,0,0,30874544,0,4719 16,3,2024-09-07 09:49:11:151,1,585,1,0,358,7485,585,0 17,0,2024-09-07 09:49:11:862,151448,0.6,147632,0.8,289300,0.6,393494,2.00 17,1,2024-09-07 09:49:10:573,1025957,1025957,0,0,480967769266,5047603026,1015682,8506,1769,368,392075,0 17,2,2024-09-07 09:49:11:665,741056,741055,1,0,32121224,0,5050 17,3,2024-09-07 09:49:10:574,1,585,3,0,518,8717,585,0 18,0,2024-09-07 09:49:10:987,145929,0.6,146907,0.8,292333,0.7,390473,2.25 18,1,2024-09-07 09:49:11:643,1030105,1030105,0,0,482610974817,5007414101,1023058,5790,1257,367,391725,0 18,2,2024-09-07 09:49:11:758,742507,742507,0,0,28590583,0,3541 18,3,2024-09-07 09:49:10:899,1,585,14,0,1059,5511,585,0 19,0,2024-09-07 09:49:11:547,144669,0.6,144818,0.8,288596,0.6,384033,2.00 19,1,2024-09-07 09:49:10:566,1029918,1029918,0,0,484005503182,5018408045,1022178,6607,1133,367,391777,0 19,2,2024-09-07 09:49:11:752,746060,746060,0,0,27259176,0,3988 19,3,2024-09-07 09:49:11:133,1,585,0,0,524,4546,585,0 20,0,2024-09-07 09:49:11:356,145310,0.6,145107,0.7,290103,0.6,387343,2.00 20,1,2024-09-07 09:49:10:567,1025561,1025561,0,0,481772859285,5050770078,1014993,9063,1505,369,391922,0 20,2,2024-09-07 09:49:10:940,737078,737078,0,0,33770443,0,4321 20,3,2024-09-07 09:49:10:603,1,585,17,0,468,9575,585,0 21,0,2024-09-07 09:49:11:134,146468,0.5,146486,0.6,292982,0.4,389083,2.00 21,1,2024-09-07 09:49:11:549,1023870,1023870,0,0,480749672164,5079147409,1007017,13021,3832,368,392016,0 21,2,2024-09-07 09:49:11:067,732793,732316,477,0,44466068,0,17074 21,3,2024-09-07 09:49:11:411,1,585,1,0,713,8555,585,0 22,0,2024-09-07 09:49:11:724,147908,0.5,148473,0.7,296291,0.4,392946,2.00 22,1,2024-09-07 09:49:11:028,1024994,1024994,0,0,480413455328,5060851460,1007197,14257,3540,382,391822,0 22,2,2024-09-07 09:49:10:761,738387,738361,26,0,32302293,0,6328 22,3,2024-09-07 09:49:11:067,1,585,9,0,228,4974,585,0 23,0,2024-09-07 09:49:11:376,145747,0.5,145487,0.7,290942,0.5,387639,2.25 23,1,2024-09-07 09:49:11:010,1024754,1024754,0,0,481986210536,5084068133,1005283,12893,6578,365,391690,0 23,2,2024-09-07 09:49:11:092,743980,743980,0,0,31086077,0,3773 23,3,2024-09-07 09:49:11:756,1,585,1,0,855,8799,585,0 24,0,2024-09-07 09:49:10:814,144638,0.4,143899,0.6,289679,0.4,384802,1.75 24,1,2024-09-07 09:49:10:589,1025029,1025029,0,0,481144980932,5039997204,1014977,8343,1709,367,392269,0 24,2,2024-09-07 09:49:11:072,737790,737787,3,0,37553255,0,6294 24,3,2024-09-07 09:49:11:686,1,585,1,0,468,7598,585,0 25,0,2024-09-07 09:49:11:383,151866,0.5,147701,0.6,290195,0.4,394955,2.00 25,1,2024-09-07 09:49:10:561,1023455,1023455,0,0,480710181733,5083732107,1004771,14975,3709,369,391928,0 25,2,2024-09-07 09:49:11:615,735687,735687,0,0,38045726,0,3978 25,3,2024-09-07 09:49:11:005,1,585,5,0,532,6454,585,0 26,0,2024-09-07 09:49:11:720,147661,0.4,144347,0.6,303170,0.4,394475,1.75 26,1,2024-09-07 09:49:11:545,1027269,1027269,0,0,481361243484,5044135997,1012936,11800,2533,380,391748,0 26,2,2024-09-07 09:49:10:869,738620,738620,0,0,40823978,0,4689 26,3,2024-09-07 09:49:11:713,1,585,3,0,796,6838,585,0 27,0,2024-09-07 09:49:11:723,148010,0.4,148681,0.6,295597,0.4,394275,2.25 27,1,2024-09-07 09:49:11:676,1027655,1027655,0,0,483205069223,5039160663,1017262,8784,1609,381,391626,0 27,2,2024-09-07 09:49:10:893,737757,737692,65,0,35674988,0,5699 27,3,2024-09-07 09:49:11:024,1,585,1,0,564,5177,585,0 28,0,2024-09-07 09:49:11:391,143951,0.4,143975,0.6,288194,0.3,383890,2.00 28,1,2024-09-07 09:49:10:805,1028265,1028265,0,0,483224942824,5038069399,1019633,6891,1741,382,391904,0 28,2,2024-09-07 09:49:11:763,741260,741260,0,0,30551951,0,2915 28,3,2024-09-07 09:49:11:787,1,585,42,0,502,5875,585,0 29,0,2024-09-07 09:49:11:356,152859,0.4,148738,0.6,292024,0.4,398209,1.75 29,1,2024-09-07 09:49:11:566,1031742,1031742,0,0,483377439445,5001132950,1025445,5523,774,367,391809,0 29,2,2024-09-07 09:49:10:861,737951,737951,0,0,29584248,0,4986 29,3,2024-09-07 09:49:10:975,1,585,18,0,459,6471,585,0 30,0,2024-09-07 09:49:11:455,146709,0.5,142921,0.7,299264,0.4,391259,2.00 30,1,2024-09-07 09:49:10:573,1030557,1030557,0,0,484345032507,5026547905,1022996,6651,910,380,391672,0 30,2,2024-09-07 09:49:11:275,739647,739647,0,0,28248134,0,4192 30,3,2024-09-07 09:49:10:587,1,585,24,0,519,5557,585,0 31,0,2024-09-07 09:49:11:761,147133,0.4,147936,0.6,294812,0.4,393612,2.00 31,1,2024-09-07 09:49:10:563,1033828,1033828,0,0,485020403449,4982385442,1027425,5329,1074,356,391712,0 31,2,2024-09-07 09:49:11:277,739605,739605,0,0,31843221,0,4470 31,3,2024-09-07 09:49:11:713,1,585,0,0,239,5003,585,0 32,0,2024-09-07 09:49:11:416,144886,0.3,145706,0.5,289920,0.3,386637,1.75 32,1,2024-09-07 09:49:10:804,1030063,1030063,0,0,483215093894,5022569187,1023888,5458,717,381,391646,0 32,2,2024-09-07 09:49:10:945,744687,744687,0,0,28109029,0,3922 32,3,2024-09-07 09:49:11:014,1,585,4,0,304,4708,585,0 33,0,2024-09-07 09:49:11:501,147056,0.3,146608,0.4,293564,0.2,390699,1.50 33,1,2024-09-07 09:49:10:580,1029973,1029973,0,0,484072952533,5016396745,1021533,7168,1272,368,391730,0 33,2,2024-09-07 09:49:10:771,738800,738765,35,0,30854734,0,7012 33,3,2024-09-07 09:49:10:898,1,585,0,0,329,5185,585,0 34,0,2024-09-07 09:49:10:929,146443,0.3,150373,0.5,287728,0.2,388506,1.75 34,1,2024-09-07 09:49:11:057,1032504,1032504,0,0,484329373035,4983530603,1029545,2849,110,367,391637,0 34,2,2024-09-07 09:49:10:766,739838,739838,0,0,29205894,0,4562 34,3,2024-09-07 09:49:11:694,1,585,13,0,541,5446,585,0 35,0,2024-09-07 09:49:10:866,146499,0.3,147382,0.5,295669,0.2,392741,1.75 35,1,2024-09-07 09:49:11:068,1028569,1028569,0,0,482887890362,5013775155,1020462,6584,1523,382,391769,0 35,2,2024-09-07 09:49:11:589,740213,740213,0,0,32209744,0,4055 35,3,2024-09-07 09:49:10:909,1,585,44,0,466,5660,585,0 36,0,2024-09-07 09:49:11:576,146003,0.5,145972,0.7,291559,0.4,388515,2.00 36,1,2024-09-07 09:49:10:586,1026838,1026838,0,0,482446149247,5041899152,1013263,11335,2240,366,391759,0 36,2,2024-09-07 09:49:11:751,743551,743551,0,0,33979120,0,3875 36,3,2024-09-07 09:49:10:892,1,585,4,0,556,8204,585,0 37,0,2024-09-07 09:49:11:379,143575,0.6,143659,0.7,287577,0.5,384123,2.25 37,1,2024-09-07 09:49:10:572,1026771,1026764,0,7,481924544102,5036020796,1014120,9731,2913,365,391770,0 37,2,2024-09-07 09:49:11:143,735264,735249,15,0,33940838,0,5815 37,3,2024-09-07 09:49:11:766,1,585,5,0,888,7947,585,0 38,0,2024-09-07 09:49:11:449,146034,0.5,141575,0.7,296166,0.4,387856,2.00 38,1,2024-09-07 09:49:11:605,1026748,1026748,0,0,482428226043,5050085880,1011440,12309,2999,368,391821,0 38,2,2024-09-07 09:49:10:760,737530,737483,47,0,34158801,0,6710 38,3,2024-09-07 09:49:10:997,1,585,1,0,689,7380,585,0 39,0,2024-09-07 09:49:11:787,151569,0.5,148238,0.7,289031,0.5,394408,2.00 39,1,2024-09-07 09:49:10:716,1026472,1026472,0,0,482045847861,5058501375,1009031,13726,3715,365,391865,0 39,2,2024-09-07 09:49:11:444,739752,739752,0,0,32199022,0,3391 39,3,2024-09-07 09:49:10:713,1,585,1,0,525,6647,585,0 40,0,2024-09-07 09:49:11:488,146031,0.9,146658,1.0,292737,1.0,390265,2.75 40,1,2024-09-07 09:49:10:576,1027282,1027282,0,0,481194216171,5045769056,1011742,12472,3068,368,391668,0 40,2,2024-09-07 09:49:11:303,739797,739790,7,0,38711744,0,5347 40,3,2024-09-07 09:49:11:148,1,585,1,0,1028,8762,585,0 41,0,2024-09-07 09:49:11:036,142851,1.4,146137,1.2,278792,2.1,379208,3.25 41,1,2024-09-07 09:49:10:769,1026170,1026170,0,0,481534137118,5042733661,1012408,11604,2158,369,391878,0 41,2,2024-09-07 09:49:10:771,736046,736045,1,0,36973392,0,5408 41,3,2024-09-07 09:49:11:691,1,585,0,0,366,6350,585,0 42,0,2024-09-07 09:49:11:475,147366,1.0,147037,1.1,294224,1.2,390280,2.75 42,1,2024-09-07 09:49:11:453,1023785,1023785,0,0,480637430794,5055425988,1007298,13555,2932,380,391675,0 42,2,2024-09-07 09:49:11:133,735922,735921,1,0,37036990,0,5513 42,3,2024-09-07 09:49:11:010,1,585,8,0,892,5584,585,0 43,0,2024-09-07 09:49:10:917,146829,0.7,142947,0.9,299407,0.7,391647,2.25 43,1,2024-09-07 09:49:10:576,1027448,1027448,0,0,482633013905,5047895506,1012710,12166,2572,366,391696,0 43,2,2024-09-07 09:49:11:737,739129,739129,0,0,35147596,0,4723 43,3,2024-09-07 09:49:11:756,1,585,1,0,571,8665,585,0 44,0,2024-09-07 09:49:10:867,148151,0.4,148114,0.6,296644,0.3,394390,1.75 44,1,2024-09-07 09:49:10:564,1030061,1030061,0,0,483302999620,4998092213,1020711,7410,1940,356,391809,0 44,2,2024-09-07 09:49:11:267,738774,738774,0,0,28306424,0,4344 44,3,2024-09-07 09:49:11:096,1,585,13,0,1097,7331,585,0 45,0,2024-09-07 09:49:11:761,142491,0.5,139153,0.7,292101,0.5,383633,2.00 45,1,2024-09-07 09:49:11:012,1028866,1028866,0,0,483595429659,5026598710,1020649,7314,903,382,391917,0 45,2,2024-09-07 09:49:11:271,743025,743025,0,0,28823950,0,3596 45,3,2024-09-07 09:49:10:937,1,585,1,0,531,5703,585,0 46,0,2024-09-07 09:49:10:950,146426,0.5,146015,0.7,293012,0.5,389122,2.00 46,1,2024-09-07 09:49:10:575,1031160,1031160,0,0,484566508389,5010427775,1023670,6502,988,366,391709,0 46,2,2024-09-07 09:49:10:592,738504,738504,0,0,29363957,0,4443 46,3,2024-09-07 09:49:11:132,1,585,8,0,908,7134,585,0 47,0,2024-09-07 09:49:11:101,147292,0.4,147153,0.6,295598,0.4,391874,2.00 47,1,2024-09-07 09:49:10:567,1031765,1031765,0,0,484087264249,5002607448,1025667,5236,862,365,391641,0 47,2,2024-09-07 09:49:10:910,741834,741834,0,0,28392852,0,4477 47,3,2024-09-07 09:49:11:121,1,585,2,0,600,6549,585,0 48,0,2024-09-07 09:49:11:502,147576,0.3,147743,0.4,295023,0.2,392276,1.50 48,1,2024-09-07 09:49:11:028,1029550,1029550,0,0,482672439643,5014205626,1023454,5518,578,383,391710,0 48,2,2024-09-07 09:49:10:702,740640,740640,0,0,26947470,0,3524 48,3,2024-09-07 09:49:10:755,1,585,1,0,339,4870,585,0 49,0,2024-09-07 09:49:11:720,149052,0.3,146091,0.5,284372,0.3,388198,1.75 49,1,2024-09-07 09:49:11:028,1028526,1028526,0,0,482523852074,5020860092,1021311,5521,1694,382,391809,0 49,2,2024-09-07 09:49:11:809,744703,744703,0,0,29320332,0,4426 49,3,2024-09-07 09:49:11:439,1,585,1,0,992,7063,585,0 50,0,2024-09-07 09:49:11:527,146042,0.3,144379,0.5,290730,0.2,387246,1.75 50,1,2024-09-07 09:49:11:016,1031716,1031716,0,0,484904617088,5013507033,1025215,5832,669,368,391691,0 50,2,2024-09-07 09:49:11:067,737305,737305,0,0,26850079,0,4490 50,3,2024-09-07 09:49:11:295,1,585,14,0,617,6124,585,0 51,0,2024-09-07 09:49:11:698,150264,0.3,147230,0.5,286562,0.2,390706,1.75 51,1,2024-09-07 09:49:11:690,1032556,1032556,0,0,485225260467,5004902814,1026901,4575,1080,365,391706,0 51,2,2024-09-07 09:49:11:318,739058,739058,0,0,26478988,0,3337 51,3,2024-09-07 09:49:11:027,1,585,1,0,678,4257,585,0 52,0,2024-09-07 09:49:11:418,148511,0.5,148207,0.7,296698,0.4,393879,2.00 52,1,2024-09-07 09:49:10:592,1026870,1026870,0,0,482185489477,5049804590,1011852,12688,2330,368,391805,0 52,2,2024-09-07 09:49:11:756,736665,736627,38,0,36014262,0,6742 52,3,2024-09-07 09:49:10:674,1,585,6,0,1782,7060,585,0 53,0,2024-09-07 09:49:11:735,145463,0.7,141192,0.8,295314,0.7,386762,2.50 53,1,2024-09-07 09:49:10:776,1025602,1025602,0,0,481100222673,5053638645,1007422,13785,4395,367,391968,0 53,2,2024-09-07 09:49:11:298,742942,742941,1,0,32622376,0,5455 53,3,2024-09-07 09:49:10:701,1,585,1,0,308,5568,585,0 54,0,2024-09-07 09:49:11:621,142165,0.6,142476,0.8,283703,0.5,379259,2.25 54,1,2024-09-07 09:49:10:580,1028259,1028259,0,0,483077752845,5027723528,1017157,9432,1670,366,391810,0 54,2,2024-09-07 09:49:10:882,738596,738564,32,0,36171056,0,6397 54,3,2024-09-07 09:49:10:765,1,585,0,0,676,7752,585,0 55,0,2024-09-07 09:49:11:760,142485,0.7,146889,0.8,297874,0.6,387722,2.50 55,1,2024-09-07 09:49:10:764,1028644,1028644,0,0,482664412309,5022971747,1017789,9466,1389,365,391731,0 55,2,2024-09-07 09:49:10:730,736576,736520,56,0,33643983,0,7239 55,3,2024-09-07 09:49:10:674,1,585,1,0,304,5452,585,0 56,0,2024-09-07 09:49:11:553,151165,1.2,142447,1.1,293590,1.5,392981,2.75 56,1,2024-09-07 09:49:10:575,1022110,1022110,0,0,480763194478,5089532845,1004179,14246,3685,381,391867,0 56,2,2024-09-07 09:49:11:303,738105,737983,122,0,35805751,0,7432 56,3,2024-09-07 09:49:11:059,1,585,3,0,705,6877,585,0 57,0,2024-09-07 09:49:10:987,146300,1.5,146089,1.2,292531,2.0,390755,3.25 57,1,2024-09-07 09:49:11:177,1024522,1024522,0,0,480933293831,5053080646,1010156,11877,2489,366,392032,0 57,2,2024-09-07 09:49:11:317,740194,740194,0,0,37476473,0,4804 57,3,2024-09-07 09:49:11:738,1,585,4,0,455,6990,585,0 58,0,2024-09-07 09:49:10:558,141511,1.0,137382,1.0,287536,1.3,376409,2.75 58,1,2024-09-07 09:49:10:574,1026495,1026492,0,3,482206901366,5059687431,1010361,12231,3900,367,391726,3 58,2,2024-09-07 09:49:11:070,741162,741162,0,0,35287446,0,3483 58,3,2024-09-07 09:49:11:068,1,585,8,0,1043,6645,585,0 59,0,2024-09-07 09:49:11:741,147110,0.9,146693,1.0,293192,1.0,389296,3.00 59,1,2024-09-07 09:49:10:810,1025038,1025038,0,0,482056818663,5071161592,1007219,14152,3667,369,391653,0 59,2,2024-09-07 09:49:10:589,737712,737712,0,0,33237491,0,3727 59,3,2024-09-07 09:49:11:747,1,585,1,0,1015,7300,585,0 60,0,2024-09-07 09:49:11:706,147413,0.5,147626,0.7,295359,0.5,393600,1.75 60,1,2024-09-07 09:49:10:780,1030082,1030082,0,0,483722768390,5027909634,1022268,6728,1086,370,392031,0 60,2,2024-09-07 09:49:11:144,739591,739591,0,0,31288138,0,3811 60,3,2024-09-07 09:49:11:259,1,585,0,0,409,6903,585,0 61,0,2024-09-07 09:49:11:508,147360,0.6,147893,0.8,294456,0.6,392937,2.00 61,1,2024-09-07 09:49:10:775,1026467,1026467,0,0,481605181733,5043858858,1015399,9389,1679,382,392127,0 61,2,2024-09-07 09:49:11:122,740602,740535,67,0,31879556,0,6411 61,3,2024-09-07 09:49:11:687,1,585,2,0,607,7798,585,0 62,0,2024-09-07 09:49:11:707,145111,0.6,148844,0.7,284188,0.6,386299,2.00 62,1,2024-09-07 09:49:11:116,1033400,1033394,0,6,485173632680,5003056670,1028433,4663,298,365,391975,6 62,2,2024-09-07 09:49:11:644,740796,740795,1,0,31999214,0,5555 62,3,2024-09-07 09:49:11:145,1,585,7,0,482,4794,585,0 63,0,2024-09-07 09:49:11:463,147024,0.4,146807,0.6,294302,0.4,390987,1.75 63,1,2024-09-07 09:49:10:804,1029509,1029503,0,6,483259332443,5020013071,1022657,5991,855,381,391800,6 63,2,2024-09-07 09:49:10:767,738100,738100,0,0,30084708,0,4369 63,3,2024-09-07 09:49:11:733,1,585,37,0,667,6156,585,0 64,0,2024-09-07 09:49:11:508,145452,0.5,145465,0.7,290280,0.4,386861,2.00 64,1,2024-09-07 09:49:10:750,1028669,1028669,0,0,483846172906,5040646979,1019347,7346,1976,370,391794,0 64,2,2024-09-07 09:49:11:144,742571,742552,19,0,29127002,0,6121 64,3,2024-09-07 09:49:11:143,1,585,562,0,651,6798,585,0 65,0,2024-09-07 09:49:11:672,146293,0.6,146583,0.7,292817,0.6,390118,2.00 65,1,2024-09-07 09:49:10:873,1026945,1026945,0,0,481784650015,5036925351,1019523,6549,873,381,391901,0 65,2,2024-09-07 09:49:11:694,739337,739337,0,0,34861445,0,3367 65,3,2024-09-07 09:49:11:682,1,585,1,0,782,6527,585,0 66,0,2024-09-07 09:49:11:764,145067,0.5,144644,0.8,289487,0.5,385568,2.25 66,1,2024-09-07 09:49:11:297,1029585,1029585,0,0,483412707895,5030992754,1023089,5774,722,380,391743,0 66,2,2024-09-07 09:49:11:139,746170,746167,3,0,31338059,0,5455 66,3,2024-09-07 09:49:11:092,1,585,7,0,291,5042,585,0 67,0,2024-09-07 09:49:11:419,144104,0.5,143879,0.7,288622,0.5,384529,2.00 67,1,2024-09-07 09:49:10:766,1028521,1028520,0,1,483955751621,5039505871,1021198,6378,944,380,391787,1 67,2,2024-09-07 09:49:10:585,740490,740475,15,0,30968677,0,6205 67,3,2024-09-07 09:49:11:755,1,585,4,0,595,6229,585,0 68,0,2024-09-07 09:49:10:562,146987,0.6,146879,0.8,292371,0.6,391149,2.25 68,1,2024-09-07 09:49:10:581,1024442,1024442,0,0,480549440500,5055940789,1010664,10248,3530,381,391953,0 68,2,2024-09-07 09:49:11:057,734365,734265,100,0,39233511,0,8578 68,3,2024-09-07 09:49:10:727,1,585,0,0,417,7713,585,0 69,0,2024-09-07 09:49:11:750,147655,0.7,148470,0.8,296049,0.7,393208,2.25 69,1,2024-09-07 09:49:11:016,1023649,1023649,0,0,480696926589,5069002066,1009799,11019,2831,383,391994,0 69,2,2024-09-07 09:49:11:736,737105,737076,29,0,41479888,0,6912 69,3,2024-09-07 09:49:10:760,1,585,0,0,698,8559,585,0 70,0,2024-09-07 09:49:11:536,145782,0.8,146286,0.9,294135,0.7,389108,2.50 70,1,2024-09-07 09:49:10:810,1030096,1030096,0,0,484092085071,5024935380,1022190,7100,806,366,391725,0 70,2,2024-09-07 09:49:11:325,741786,741786,0,0,34547223,0,4323 70,3,2024-09-07 09:49:10:753,1,585,10,0,854,6593,585,0 71,0,2024-09-07 09:49:11:363,142339,1.1,142130,1.1,285437,1.5,381191,2.75 71,1,2024-09-07 09:49:11:605,1027921,1027921,0,0,482201473376,5036531942,1014936,11364,1621,368,391738,0 71,2,2024-09-07 09:49:11:068,738930,738930,0,0,34601087,0,4352 71,3,2024-09-07 09:49:11:751,1,585,69,0,644,6732,585,0 72,0,2024-09-07 09:49:11:020,153163,0.6,149742,0.7,292214,0.6,397327,2.00 72,1,2024-09-07 09:49:11:028,1025500,1025500,0,0,481467198120,5055036488,1010208,12777,2515,369,391819,0 72,2,2024-09-07 09:49:11:755,736107,736107,0,0,36242179,0,3983 72,3,2024-09-07 09:49:11:757,1,585,1,0,564,8681,585,0 73,0,2024-09-07 09:49:11:119,144508,0.4,148241,0.6,302976,0.4,394243,2.00 73,1,2024-09-07 09:49:10:779,1027595,1027595,0,0,482705899978,5021378361,1019197,7418,980,367,391858,0 73,2,2024-09-07 09:49:11:739,738612,738611,1,0,37733359,0,5027 73,3,2024-09-07 09:49:10:969,1,585,2,0,1091,8319,585,0 74,0,2024-09-07 09:49:11:321,148950,0.5,152531,0.7,291004,0.4,394738,2.00 74,1,2024-09-07 09:49:10:635,1026596,1026596,0,0,482013831687,5036229074,1014695,9785,2116,381,391762,0 74,2,2024-09-07 09:49:11:002,739052,739052,0,0,32976493,0,4253 74,3,2024-09-07 09:49:11:452,1,585,11,0,522,7393,585,0 75,0,2024-09-07 09:49:11:774,144527,0.6,143466,0.8,287996,0.5,385090,2.25 75,1,2024-09-07 09:49:11:595,1027977,1027977,0,0,481658885312,5023628099,1019358,7751,868,380,391739,0 75,2,2024-09-07 09:49:11:349,740590,740590,0,0,40075127,0,4766 75,3,2024-09-07 09:49:11:073,1,585,1,0,918,8105,585,0 76,0,2024-09-07 09:49:10:587,146596,0.5,146076,0.7,292187,0.5,390943,2.25 76,1,2024-09-07 09:49:10:821,1027400,1027400,0,0,483026983162,5037507534,1020313,6117,970,382,391790,0 76,2,2024-09-07 09:49:11:080,739779,739776,3,0,32004458,0,5265 76,3,2024-09-07 09:49:11:142,1,585,6,0,227,5299,585,0 77,0,2024-09-07 09:49:11:725,146523,0.5,147062,0.7,294054,0.5,391170,2.00 77,1,2024-09-07 09:49:10:840,1027465,1027465,0,0,483388732500,5043887682,1019523,7067,875,381,391869,0 77,2,2024-09-07 09:49:11:291,738228,738228,0,0,31310129,0,3890 77,3,2024-09-07 09:49:11:094,1,585,330,0,401,6781,585,0 78,0,2024-09-07 09:49:11:754,147825,0.4,147235,0.6,295094,0.4,390913,2.00 78,1,2024-09-07 09:49:10:610,1027837,1027837,0,0,482113302368,5031748671,1014998,9922,2917,367,391670,0 78,2,2024-09-07 09:49:11:405,741428,741415,13,0,29294229,0,8313 78,3,2024-09-07 09:49:11:133,1,585,4,0,311,5013,585,0 79,0,2024-09-07 09:49:11:348,140194,0.4,143461,0.6,294085,0.3,382886,2.00 79,1,2024-09-07 09:49:10:573,1031046,1031046,0,0,484057262363,5012476463,1023209,6477,1360,367,391682,0 79,2,2024-09-07 09:49:11:079,743776,743776,0,0,28515560,0,4195 79,3,2024-09-07 09:49:10:749,1,585,1,0,418,7065,585,0 80,0,2024-09-07 09:49:11:081,145177,0.5,149105,0.7,285199,0.5,386323,2.00 80,1,2024-09-07 09:49:11:622,1028070,1028070,0,0,482767507628,5028163630,1021057,6563,450,368,392269,0 80,2,2024-09-07 09:49:11:093,740083,740083,0,0,28841265,0,4433 80,3,2024-09-07 09:49:10:578,1,585,1,0,681,7332,585,0 81,0,2024-09-07 09:49:11:538,146401,0.6,149727,0.7,285921,0.5,387924,2.00 81,1,2024-09-07 09:49:11:650,1026550,1026550,0,0,481473274881,5033078514,1018405,7382,763,382,391885,0 81,2,2024-09-07 09:49:11:137,737576,737513,63,0,32169324,0,5932 81,3,2024-09-07 09:49:11:116,1,585,1,0,719,6954,585,0 82,0,2024-09-07 09:49:11:551,147852,0.5,147987,0.7,296682,0.4,392919,2.00 82,1,2024-09-07 09:49:10:586,1029427,1029423,0,4,482469380305,5016072632,1023857,4743,823,381,391768,4 82,2,2024-09-07 09:49:11:694,742472,742472,0,0,27065506,0,4484 82,3,2024-09-07 09:49:11:759,1,585,1,0,363,5608,585,0 83,0,2024-09-07 09:49:11:550,146163,0.6,146170,0.7,291607,0.6,386977,2.25 83,1,2024-09-07 09:49:10:551,1027386,1027386,0,0,482576904272,5032145685,1019845,6896,645,382,391709,0 83,2,2024-09-07 09:49:10:764,743506,743481,25,0,29269155,0,5612 83,3,2024-09-07 09:49:10:764,1,585,1,0,1260,7109,585,0 84,0,2024-09-07 09:49:11:816,142248,0.8,142383,0.9,284656,0.8,380836,2.50 84,1,2024-09-07 09:49:11:044,1025677,1025677,0,0,481787358609,5041310917,1013636,10370,1671,367,391967,0 84,2,2024-09-07 09:49:10:576,736767,736357,410,0,43066855,0,17037 84,3,2024-09-07 09:49:11:141,1,585,13,0,908,7957,585,0 85,0,2024-09-07 09:49:11:008,141699,0.7,141690,0.8,300841,0.7,388067,2.25 85,1,2024-09-07 09:49:10:599,1023199,1023199,0,0,480240827610,5075172481,1006901,13502,2796,381,392092,0 85,2,2024-09-07 09:49:10:872,737069,737069,0,0,36230398,0,4255 85,3,2024-09-07 09:49:10:685,1,585,3,0,789,6812,585,0 86,0,2024-09-07 09:49:10:893,148084,0.7,152138,0.8,291056,0.7,393674,2.25 86,1,2024-09-07 09:49:10:830,1025850,1025850,0,0,482244625941,5060323993,1012030,11135,2685,366,392169,0 86,2,2024-09-07 09:49:10:862,738044,738043,1,0,38471182,0,5004 86,3,2024-09-07 09:49:10:586,1,585,48,0,308,8306,585,0 87,0,2024-09-07 09:49:11:318,148014,0.8,147494,0.8,294974,1.0,394033,2.25 87,1,2024-09-07 09:49:10:551,1025384,1025384,0,0,482012516506,5053345959,1012015,11573,1796,366,392076,0 87,2,2024-09-07 09:49:11:070,739614,739608,6,0,35049947,0,6323 87,3,2024-09-07 09:49:11:803,1,585,1,0,473,8490,585,0 88,0,2024-09-07 09:49:11:468,143756,0.5,144104,0.6,288157,0.4,384097,2.00 88,1,2024-09-07 09:49:10:574,1024307,1024307,0,0,480862820587,5045894000,1008937,12326,3044,365,392084,0 88,2,2024-09-07 09:49:10:689,740341,740341,0,0,37855262,0,4465 88,3,2024-09-07 09:49:11:276,1,585,6,0,1080,9189,585,0 89,0,2024-09-07 09:49:11:788,152646,0.5,148181,0.7,292371,0.4,397859,1.75 89,1,2024-09-07 09:49:10:559,1023861,1023861,0,0,482111515904,5074157354,1010885,11033,1943,382,391866,0 89,2,2024-09-07 09:49:11:150,736900,736900,0,0,35516162,0,3173 89,3,2024-09-07 09:49:11:811,1,585,1,0,468,10072,585,0 90,0,2024-09-07 09:49:11:614,143151,0.5,146989,0.6,300043,0.4,391653,2.00 90,1,2024-09-07 09:49:10:600,1026201,1026201,0,0,481659506972,5048034142,1016111,9198,892,380,391825,0 90,2,2024-09-07 09:49:11:408,735477,735472,5,0,39071073,0,6370 90,3,2024-09-07 09:49:10:942,1,585,8,0,322,7251,585,0 91,0,2024-09-07 09:49:10:952,147844,0.4,143483,0.6,300191,0.4,393586,1.75 91,1,2024-09-07 09:49:10:556,1023239,1023239,0,0,481433385798,5077176399,1007397,12695,3147,381,392047,0 91,2,2024-09-07 09:49:11:331,739200,739200,0,0,34366236,0,4713 91,3,2024-09-07 09:49:10:597,1,585,7,0,216,5351,585,0 92,0,2024-09-07 09:49:11:452,146211,0.5,149496,0.6,285082,0.4,386738,1.75 92,1,2024-09-07 09:49:10:580,1026883,1026883,0,0,482588924473,5041454351,1018716,6885,1282,381,392136,0 92,2,2024-09-07 09:49:11:351,743038,743038,0,0,30016629,0,3259 92,3,2024-09-07 09:49:11:013,1,585,1,0,167,5103,585,0 93,0,2024-09-07 09:49:10:967,147278,0.4,150974,0.6,288274,0.4,391017,1.75 93,1,2024-09-07 09:49:10:807,1027281,1027281,0,0,482147301278,5034588001,1015759,9595,1927,366,391776,0 93,2,2024-09-07 09:49:10:937,737803,737803,0,0,35597159,0,4913 93,3,2024-09-07 09:49:11:406,1,585,14,0,294,5811,585,0 94,0,2024-09-07 09:49:11:599,145597,0.4,146652,0.5,293199,0.3,389592,1.75 94,1,2024-09-07 09:49:10:590,1027856,1027856,0,0,482015716121,5028316252,1021031,6394,431,381,391850,0 94,2,2024-09-07 09:49:10:781,738695,738667,28,0,30489237,0,6179 94,3,2024-09-07 09:49:11:692,1,585,13,0,576,7183,585,0 95,0,2024-09-07 09:49:11:374,147324,0.3,147445,0.5,294957,0.3,392701,1.75 95,1,2024-09-07 09:49:10:871,1028937,1028937,0,0,482458452659,5015076072,1020724,7508,705,365,391852,0 95,2,2024-09-07 09:49:11:019,739346,739346,0,0,30115131,0,3308 95,3,2024-09-07 09:49:11:716,1,585,2,0,718,9219,585,0 96,0,2024-09-07 09:49:11:031,145521,0.4,145913,0.5,291705,0.3,387747,1.75 96,1,2024-09-07 09:49:11:591,1027400,1027400,0,0,482473826561,5033301267,1020051,6077,1272,384,391964,0 96,2,2024-09-07 09:49:11:272,743961,743961,0,0,31110477,0,4180 96,3,2024-09-07 09:49:11:140,1,585,1,0,411,6883,585,0 97,0,2024-09-07 09:49:11:327,144321,0.3,144147,0.5,289228,0.3,384385,1.75 97,1,2024-09-07 09:49:10:763,1029146,1029146,0,0,483308089275,5016331395,1022458,5662,1026,367,392140,0 97,2,2024-09-07 09:49:10:615,738922,738922,0,0,30702925,0,4046 97,3,2024-09-07 09:49:10:581,1,585,2,0,242,6602,585,0 98,0,2024-09-07 09:49:11:691,146639,0.3,146709,0.5,294297,0.3,391219,1.50 98,1,2024-09-07 09:49:10:573,1028244,1028244,0,0,482551770463,5027069479,1021978,5443,823,381,391997,0 98,2,2024-09-07 09:49:10:774,738779,738779,0,0,29536469,0,4336 98,3,2024-09-07 09:49:10:702,1,585,8,0,840,8871,585,0 99,0,2024-09-07 09:49:11:455,148531,0.3,149303,0.5,296945,0.3,395872,1.75 99,1,2024-09-07 09:49:11:723,1029167,1029167,0,0,482203225329,5019706399,1022808,5417,942,380,392069,0 99,2,2024-09-07 09:49:11:428,739583,739583,0,0,36506946,0,4276 99,3,2024-09-07 09:49:10:586,1,585,7,0,1124,7475,585,0 100,0,2024-09-07 09:49:11:478,147053,0.8,147346,0.9,294288,0.8,392736,2.50 100,1,2024-09-07 09:49:10:556,1023099,1023099,0,0,479493477943,5073459971,1007093,12693,3313,378,391989,0 100,2,2024-09-07 09:49:11:830,738244,737855,389,0,40268665,0,16909 100,3,2024-09-07 09:49:11:741,1,585,14,0,627,10029,585,0 101,0,2024-09-07 09:49:11:711,146699,1.3,143096,1.1,280028,1.4,382911,2.50 101,1,2024-09-07 09:49:10:830,1024411,1024411,0,0,481151369252,5059281024,1010376,11508,2527,368,391847,0 101,2,2024-09-07 09:49:11:763,735034,735034,0,0,40020717,0,4871 101,3,2024-09-07 09:49:10:942,1,585,0,0,1250,8948,585,0 102,0,2024-09-07 09:49:10:948,144386,0.7,148714,0.8,302068,0.7,394908,2.25 102,1,2024-09-07 09:49:11:143,1024684,1024684,0,0,480781452014,5054414070,1010585,11903,2196,369,391984,0 102,2,2024-09-07 09:49:11:747,738607,738553,54,0,34164339,0,6768 102,3,2024-09-07 09:49:11:623,1,585,8,0,466,6873,585,0 103,0,2024-09-07 09:49:11:599,153165,0.6,153194,0.7,288607,0.6,397545,2.00 103,1,2024-09-07 09:49:11:630,1022764,1022764,0,0,480186649769,5075847412,1005888,13282,3594,381,392077,0 103,2,2024-09-07 09:49:10:587,736792,736792,0,0,37032939,0,3766 103,3,2024-09-07 09:49:10:756,1,585,0,0,916,7091,585,0 104,0,2024-09-07 09:49:11:005,146946,0.7,147079,0.9,293611,0.7,392785,2.25 104,1,2024-09-07 09:49:11:600,1025729,1025729,0,0,481301351951,5061817314,1010215,12672,2842,365,392168,0 104,2,2024-09-07 09:49:11:666,736877,736877,0,0,36687702,0,4161 104,3,2024-09-07 09:49:11:442,1,585,45,0,1245,10561,585,0 105,0,2024-09-07 09:49:11:032,142585,1.0,138930,1.1,291116,1.2,382728,2.75 105,1,2024-09-07 09:49:10:557,1027050,1027050,0,0,482242729243,5056117656,1013635,11478,1937,364,392009,0 105,2,2024-09-07 09:49:11:324,740866,740866,0,0,36775692,0,4360 105,3,2024-09-07 09:49:11:304,1,585,1,0,573,9144,585,0 106,0,2024-09-07 09:49:10:948,142164,0.9,145554,0.9,298202,1.0,389424,2.50 106,1,2024-09-07 09:49:11:792,1026008,1026008,0,0,481169766672,5054715644,1011362,12752,1894,368,391914,0 106,2,2024-09-07 09:49:10:756,736363,736363,0,0,34215065,0,3254 106,3,2024-09-07 09:49:10:677,1,585,1,0,1224,8394,585,0 107,0,2024-09-07 09:49:11:105,146734,1.0,146794,0.9,293743,1.2,391830,2.25 107,1,2024-09-07 09:49:10:592,1022968,1022968,0,0,480002255268,5077517865,1005607,15229,2132,381,392234,0 107,2,2024-09-07 09:49:11:290,735615,735614,1,0,37710971,0,5024 107,3,2024-09-07 09:49:11:755,1,585,2,0,733,9020,585,0 108,0,2024-09-07 09:49:11:769,147162,0.4,147669,0.6,294384,0.4,392401,1.75 108,1,2024-09-07 09:49:11:297,1027341,1027341,0,0,482608026744,5035502368,1018674,7674,993,367,391894,0 108,2,2024-09-07 09:49:11:764,739589,739589,0,0,32366931,0,4246 108,3,2024-09-07 09:49:11:333,1,585,38,0,749,10794,585,0 109,0,2024-09-07 09:49:11:750,145842,0.4,144558,0.6,290128,0.3,387338,1.75 109,1,2024-09-07 09:49:10:587,1024338,1024338,0,0,481874976513,5056078796,1015086,7978,1274,382,392132,0 109,2,2024-09-07 09:49:10:923,741454,741454,0,0,33259703,0,3617 109,3,2024-09-07 09:49:11:143,1,585,21,0,630,7263,585,0 110,0,2024-09-07 09:49:11:748,145296,0.4,141252,0.6,295838,0.4,388234,1.75 110,1,2024-09-07 09:49:11:644,1029078,1029078,0,0,482733431552,5013988973,1021044,6109,1925,368,392045,0 110,2,2024-09-07 09:49:11:309,738268,738268,0,0,30629957,0,4067 110,3,2024-09-07 09:49:10:691,1,585,18,0,722,8009,585,0 111,0,2024-09-07 09:49:11:419,147213,0.4,146356,0.5,292833,0.3,390290,1.75 111,1,2024-09-07 09:49:11:015,1030048,1030048,0,0,483739471516,5017707848,1024783,4891,374,380,391690,0 111,2,2024-09-07 09:49:11:122,738189,738189,0,0,31069943,0,4823 111,3,2024-09-07 09:49:10:918,1,585,1,0,379,6500,585,0 112,0,2024-09-07 09:49:10:924,148872,0.3,148212,0.4,297168,0.2,394182,1.50 112,1,2024-09-07 09:49:10:832,1029205,1029205,0,0,483012438836,5017663140,1022013,5967,1225,380,391624,0 112,2,2024-09-07 09:49:11:133,740086,740085,1,0,29509166,0,5036 112,3,2024-09-07 09:49:10:592,1,585,1,0,282,5706,585,0 113,0,2024-09-07 09:49:10:873,146338,0.3,146318,0.5,292927,0.2,389450,1.75 113,1,2024-09-07 09:49:11:685,1031161,1031161,0,0,484657043789,5002469079,1024937,5277,947,365,391664,0 113,2,2024-09-07 09:49:11:309,745905,745905,0,0,28000862,0,3813 113,3,2024-09-07 09:49:10:684,1,585,4,0,510,6339,585,0 114,0,2024-09-07 09:49:10:910,144106,0.3,145106,0.5,288890,0.2,386288,1.75 114,1,2024-09-07 09:49:10:716,1029457,1029457,0,0,483148897646,5018730012,1021544,6167,1746,381,391565,0 114,2,2024-09-07 09:49:10:880,740418,740417,1,0,29138046,0,5069 114,3,2024-09-07 09:49:11:278,1,585,1,0,395,4666,585,0 115,0,2024-09-07 09:49:10:554,148334,0.3,148832,0.4,296369,0.2,394164,1.50 115,1,2024-09-07 09:49:10:574,1029458,1029458,0,0,482740209333,5017310035,1021214,6727,1517,382,391757,0 115,2,2024-09-07 09:49:11:124,740332,740332,0,0,28938436,0,4382 115,3,2024-09-07 09:49:11:002,1,585,41,0,167,3533,585,0 116,0,2024-09-07 09:49:11:717,148050,0.7,147756,0.8,296317,0.6,395935,2.00 116,1,2024-09-07 09:49:10:805,1024418,1024418,0,0,480801541259,5071357588,1011784,9545,3089,380,392089,0 116,2,2024-09-07 09:49:11:769,738996,738996,0,0,36748921,0,4475 116,3,2024-09-07 09:49:10:918,1,585,1,0,448,7690,585,0 117,0,2024-09-07 09:49:10:968,148283,0.7,147604,0.8,295658,0.7,395440,2.00 117,1,2024-09-07 09:49:11:580,1025662,1025662,0,0,480802293156,5038016239,1014051,10090,1521,369,392033,0 117,2,2024-09-07 09:49:11:122,742464,742464,0,0,33260175,0,4303 117,3,2024-09-07 09:49:11:059,1,585,3,0,490,7613,585,0 118,0,2024-09-07 09:49:11:763,139357,0.6,143174,0.7,292154,0.5,382502,2.00 118,1,2024-09-07 09:49:10:589,1024422,1024422,0,0,480923434620,5060491805,1008675,12126,3621,366,392054,0 118,2,2024-09-07 09:49:11:591,739982,739982,0,0,35374003,0,2842 118,3,2024-09-07 09:49:11:764,1,585,8,0,289,7017,585,0 119,0,2024-09-07 09:49:11:333,148164,0.8,148968,0.8,297091,0.9,396264,2.25 119,1,2024-09-07 09:49:10:548,1025537,1025537,0,0,481907051722,5058326324,1010852,12173,2512,367,391857,0 119,2,2024-09-07 09:49:11:261,738599,738599,0,0,33255992,0,4309 119,3,2024-09-07 09:49:11:325,1,585,1,0,1358,10991,585,0 120,0,2024-09-07 09:49:11:617,146768,0.7,146578,0.8,294394,0.7,392673,2.25 120,1,2024-09-07 09:49:10:874,1026633,1026633,0,0,480887009780,5047933562,1015052,10441,1140,367,392144,0 120,2,2024-09-07 09:49:10:781,737328,737325,3,0,39736492,0,5363 120,3,2024-09-07 09:49:11:296,1,585,1,0,279,7207,585,0 121,0,2024-09-07 09:49:11:691,147600,1.1,146994,1.0,294447,1.4,392468,2.25 121,1,2024-09-07 09:49:11:665,1026017,1026017,0,0,480996708449,5037790711,1014741,9726,1550,366,391840,0 121,2,2024-09-07 09:49:11:126,738604,738604,0,0,36211621,0,4157 121,3,2024-09-07 09:49:10:726,1,585,1,0,387,7914,585,0 122,0,2024-09-07 09:49:11:810,144084,0.8,140233,0.9,293758,0.9,386513,2.25 122,1,2024-09-07 09:49:10:872,1025001,1025001,0,0,481674206317,5060403863,1010068,12521,2412,366,392130,0 122,2,2024-09-07 09:49:11:319,743054,742979,75,0,40051551,0,5989 122,3,2024-09-07 09:49:10:595,1,585,10,0,512,9686,585,0 123,0,2024-09-07 09:49:10:997,145965,0.9,141931,0.9,297376,1.0,389708,2.25 123,1,2024-09-07 09:49:10:559,1025042,1025042,0,0,481145193980,5064608733,1008240,14132,2670,369,392039,0 123,2,2024-09-07 09:49:11:024,735578,735577,1,0,34029583,0,5215 123,3,2024-09-07 09:49:11:145,1,585,1,0,478,6819,585,0 124,0,2024-09-07 09:49:10:925,150087,0.3,150097,0.5,283173,0.3,389873,1.75 124,1,2024-09-07 09:49:11:032,1029161,1029161,0,0,481974277934,5012456795,1021437,6549,1175,365,392178,0 124,2,2024-09-07 09:49:11:015,740229,740176,53,0,30488462,0,6487 124,3,2024-09-07 09:49:10:762,1,585,2,0,490,5865,585,0 125,0,2024-09-07 09:49:11:450,147155,0.4,147165,0.5,295295,0.3,392770,1.75 125,1,2024-09-07 09:49:10:862,1026192,1026192,0,0,481758314366,5030935638,1018126,6915,1151,382,391968,0 125,2,2024-09-07 09:49:11:121,742057,742057,0,0,30613791,0,4534 125,3,2024-09-07 09:49:11:136,1,585,0,0,709,6779,585,0 126,0,2024-09-07 09:49:11:453,145812,0.4,149884,0.6,286753,0.4,388404,1.75 126,1,2024-09-07 09:49:10:551,1029821,1029821,0,0,483387151656,5005755719,1024353,5022,446,365,391987,0 126,2,2024-09-07 09:49:10:613,744934,744934,0,0,32016897,0,4539 126,3,2024-09-07 09:49:10:928,1,585,22,0,268,6501,585,0 127,0,2024-09-07 09:49:11:626,144681,0.3,144832,0.5,289037,0.3,384821,1.75 127,1,2024-09-07 09:49:10:580,1027676,1027676,0,0,482433574770,5022585807,1017010,9030,1636,364,392187,0 127,2,2024-09-07 09:49:10:638,737916,737912,4,0,30008216,0,5305 127,3,2024-09-07 09:49:11:271,1,585,9,0,968,5740,585,0 128,0,2024-09-07 09:49:11:810,147527,0.3,147595,0.4,294846,0.2,391787,1.50 128,1,2024-09-07 09:49:11:614,1028212,1028212,0,0,482268208632,5017846032,1019898,7351,963,367,392423,0 128,2,2024-09-07 09:49:11:383,739752,739752,0,0,27789894,0,3171 128,3,2024-09-07 09:49:10:774,1,585,10,0,1082,9412,585,0 129,0,2024-09-07 09:49:10:989,149664,0.3,148811,0.5,298453,0.3,396360,1.50 129,1,2024-09-07 09:49:10:571,1024612,1024612,0,0,481594814379,5049258531,1014187,8403,2022,379,391962,0 129,2,2024-09-07 09:49:10:686,741392,741388,4,0,30396297,0,5335 129,3,2024-09-07 09:49:10:688,1,585,1,0,506,8245,585,0 130,0,2024-09-07 09:49:11:715,148304,0.4,147725,0.5,296079,0.4,393999,1.75 130,1,2024-09-07 09:49:10:615,1028978,1028978,0,0,482643524121,5014237711,1023659,4924,395,381,391825,0 130,2,2024-09-07 09:49:11:126,742895,742895,0,0,30688413,0,4067 130,3,2024-09-07 09:49:11:295,1,585,8,0,960,7907,585,0 131,0,2024-09-07 09:49:11:936,143919,0.4,144245,0.5,289326,0.3,384483,1.75 131,1,2024-09-07 09:49:11:821,1028186,1028186,0,0,482637263642,5032639172,1020978,6013,1195,381,391865,0 131,2,2024-09-07 09:49:10:567,740499,740499,0,0,28425685,0,3979 131,3,2024-09-07 09:49:11:687,1,585,1,0,392,7582,585,0 132,0,2024-09-07 09:49:11:420,148564,0.5,149585,0.6,297904,0.5,395866,2.00 132,1,2024-09-07 09:49:10:616,1024015,1024015,0,0,480382909515,5060974082,1008717,12596,2702,381,392532,0 132,2,2024-09-07 09:49:10:702,738002,737985,17,0,37161349,0,6451 132,3,2024-09-07 09:49:11:687,1,585,1,0,1298,10727,585,0 133,0,2024-09-07 09:49:11:855,144599,0.4,147902,0.6,303313,0.4,395049,2.00 133,1,2024-09-07 09:49:10:610,1024267,1024267,0,0,481311475239,5074175100,1010213,12292,1762,383,391914,0 133,2,2024-09-07 09:49:11:087,739334,739284,50,0,38255168,0,6861 133,3,2024-09-07 09:49:11:300,1,585,19,0,528,7149,585,0 134,0,2024-09-07 09:49:11:013,148155,0.5,148279,0.7,296728,0.5,395005,2.00 134,1,2024-09-07 09:49:10:592,1025322,1025322,0,0,481114268536,5050240532,1011557,11279,2486,366,391781,0 134,2,2024-09-07 09:49:11:759,739852,739828,24,0,35178760,0,6207 134,3,2024-09-07 09:49:10:752,1,585,12,0,739,7263,585,0 135,0,2024-09-07 09:49:11:098,139719,0.9,139730,0.9,296585,1.0,382637,2.25 135,1,2024-09-07 09:49:11:616,1025020,1025020,0,0,482049882892,5071053932,1012055,11325,1640,380,391805,0 135,2,2024-09-07 09:49:10:702,742553,742553,0,0,36206741,0,4503 135,3,2024-09-07 09:49:11:020,1,585,1,0,900,5855,585,0 136,0,2024-09-07 09:49:11:615,147531,0.6,148102,0.7,294012,0.5,392315,2.00 136,1,2024-09-07 09:49:11:446,1026168,1026168,0,0,481850156779,5053870622,1014336,10444,1388,381,392135,0 136,2,2024-09-07 09:49:11:132,738970,738955,15,0,35578459,0,6007 136,3,2024-09-07 09:49:11:123,1,585,1,0,637,6856,585,0 137,0,2024-09-07 09:49:10:919,151698,0.6,147882,0.7,289630,0.6,393319,2.00 137,1,2024-09-07 09:49:10:587,1024998,1024998,0,0,481310443875,5053497572,1008471,13140,3387,366,391898,0 137,2,2024-09-07 09:49:11:705,736991,736991,0,0,36737448,0,3185 137,3,2024-09-07 09:49:10:783,1,585,5,0,484,8178,585,0 138,0,2024-09-07 09:49:11:760,146643,0.7,146830,0.9,294352,0.8,390814,2.00 138,1,2024-09-07 09:49:11:692,1025658,1025658,0,0,481695404010,5056488977,1011186,12217,2255,368,391954,0 138,2,2024-09-07 09:49:10:590,738693,738693,0,0,35022759,0,4988 138,3,2024-09-07 09:49:10:610,1,585,8,0,1200,9349,585,0 139,0,2024-09-07 09:49:11:369,143937,1.1,144503,1.0,288923,1.6,385545,2.25 139,1,2024-09-07 09:49:10:574,1021686,1021686,0,0,479040857364,5084415666,1003308,14554,3824,380,392109,0 139,2,2024-09-07 09:49:10:708,738431,738401,30,0,40355545,0,5997 139,3,2024-09-07 09:49:11:666,1,585,0,0,432,7051,585,0 140,0,2024-09-07 09:49:11:598,145940,0.3,145133,0.5,291038,0.2,388411,1.75 140,1,2024-09-07 09:49:11:541,1031993,1031993,0,0,484362717524,4994569053,1027026,4421,546,364,391606,0 140,2,2024-09-07 09:49:10:686,738782,738781,1,0,28439122,0,5036 140,3,2024-09-07 09:49:10:771,1,585,64,0,575,5948,585,0 141,0,2024-09-07 09:49:11:703,146764,0.3,150856,0.5,288267,0.3,390051,1.75 141,1,2024-09-07 09:49:10:865,1029857,1029857,0,0,483622240804,5025336890,1021700,6965,1192,379,391614,0 141,2,2024-09-07 09:49:11:692,738874,738863,11,0,30498716,0,5369 141,3,2024-09-07 09:49:11:054,1,585,366,0,391,6877,585,0 142,0,2024-09-07 09:49:11:314,148867,0.3,148051,0.5,296357,0.2,395016,1.50 142,1,2024-09-07 09:49:10:885,1027808,1027808,0,0,483018263785,5036239494,1021150,6153,505,382,392102,0 142,2,2024-09-07 09:49:11:299,739187,739155,32,0,31059742,0,6028 142,3,2024-09-07 09:49:11:780,1,585,7,0,484,6213,585,0 143,0,2024-09-07 09:49:11:436,146031,0.4,146188,0.5,293272,0.4,389323,1.75 143,1,2024-09-07 09:49:10:591,1030568,1030568,0,0,482930776846,5011632651,1024169,5832,567,367,391722,0 143,2,2024-09-07 09:49:10:773,744131,744131,0,0,30872191,0,3123 143,3,2024-09-07 09:49:11:142,1,585,24,0,462,7215,585,0 144,0,2024-09-07 09:49:11:516,139524,0.6,143623,0.8,292174,0.6,383004,2.00 144,1,2024-09-07 09:49:10:567,1024645,1024645,0,0,480981977595,5048860225,1014144,8510,1991,381,391733,0 144,2,2024-09-07 09:49:11:761,739950,739950,0,0,30035342,0,4443 144,3,2024-09-07 09:49:11:739,1,585,2,0,249,5704,585,0 145,0,2024-09-07 09:49:11:369,142860,0.6,142804,0.8,303090,0.5,390156,2.25 145,1,2024-09-07 09:49:10:561,1024020,1024020,0,0,480805145791,5063170874,1010009,11340,2671,382,391759,0 145,2,2024-09-07 09:49:11:437,736818,736736,82,0,36034312,0,7814 145,3,2024-09-07 09:49:10:904,1,585,1,0,622,7897,585,0 146,0,2024-09-07 09:49:11:598,148287,0.6,147675,0.7,296791,0.5,394202,2.00 146,1,2024-09-07 09:49:11:594,1025249,1025249,0,0,481228818211,5068436144,1007771,12997,4481,367,391770,0 146,2,2024-09-07 09:49:11:695,737855,737849,6,0,34495924,0,5151 146,3,2024-09-07 09:49:11:283,1,585,10,0,1520,9697,585,0 147,0,2024-09-07 09:49:11:696,148171,0.6,147890,0.7,295596,0.5,394624,2.25 147,1,2024-09-07 09:49:11:375,1029309,1029309,0,0,482380504250,5014725519,1020888,7454,967,367,391791,0 147,2,2024-09-07 09:49:11:015,740404,740404,0,0,30345306,0,2968 147,3,2024-09-07 09:49:10:922,1,585,9,0,1626,9326,585,0 0,0,2024-09-07 09:49:21:716,143712,0.6,143659,0.7,304988,0.6,394111,2.00 0,1,2024-09-07 09:49:20:805,1027582,1027582,0,0,482480701887,5053046015,1019054,7583,945,369,391896,0 0,2,2024-09-07 09:49:21:069,741643,741643,0,0,30400250,0,4480 0,3,2024-09-07 09:49:20:991,1,586,2,0,431,8631,586,0 1,0,2024-09-07 09:49:21:841,147609,0.8,146532,0.9,294677,1.0,393502,2.00 1,1,2024-09-07 09:49:20:599,1026788,1026788,0,0,481916749581,5049457996,1017359,7939,1490,370,391859,0 1,2,2024-09-07 09:49:20:639,741132,741132,0,0,29776390,0,3380 1,3,2024-09-07 09:49:21:303,1,586,6,0,269,7349,586,0 2,0,2024-09-07 09:49:21:575,144923,0.6,144696,0.7,289233,0.6,386465,2.00 2,1,2024-09-07 09:49:20:863,1030220,1030220,0,0,484323277977,5036754150,1024130,5140,950,379,391745,0 2,2,2024-09-07 09:49:21:266,744064,744064,0,0,28711081,0,3594 2,3,2024-09-07 09:49:20:690,1,586,8,0,357,5261,586,0 3,0,2024-09-07 09:49:21:767,146792,0.4,146558,0.6,292668,0.3,390439,2.00 3,1,2024-09-07 09:49:21:617,1028630,1028630,0,0,482963140241,5024716810,1021052,6875,703,379,391716,0 3,2,2024-09-07 09:49:21:146,740423,740400,23,0,29644387,0,5851 3,3,2024-09-07 09:49:21:756,1,586,0,0,484,4543,586,0 4,0,2024-09-07 09:49:21:776,142211,0.4,146109,0.5,297978,0.3,390209,1.75 4,1,2024-09-07 09:49:20:617,1024755,1024755,0,0,480233297493,5087043071,1006953,14048,3754,370,391992,0 4,2,2024-09-07 09:49:21:019,736744,736744,0,0,36424505,0,4534 4,3,2024-09-07 09:49:21:027,1,586,1,0,448,7280,586,0 5,0,2024-09-07 09:49:21:387,147618,0.4,147609,0.5,295436,0.3,392706,1.75 5,1,2024-09-07 09:49:20:771,1024911,1024911,0,0,482023227993,5092705271,1008599,12188,4124,367,392005,0 5,2,2024-09-07 09:49:21:836,738306,738306,0,0,35285179,0,3582 5,3,2024-09-07 09:49:21:734,1,586,10,0,457,8163,586,0 6,0,2024-09-07 09:49:20:922,146078,0.5,145719,0.7,291519,0.4,388544,2.00 6,1,2024-09-07 09:49:20:757,1028127,1028127,0,0,482322072669,5040559397,1017108,9446,1573,379,391702,0 6,2,2024-09-07 09:49:21:115,744890,744872,18,0,34391181,0,5535 6,3,2024-09-07 09:49:21:277,1,586,12,0,710,7231,586,0 7,0,2024-09-07 09:49:21:535,144036,0.5,145076,0.7,288326,0.5,384960,2.00 7,1,2024-09-07 09:49:20:861,1025914,1025914,0,0,482127091243,5080174345,1009592,12556,3766,382,391747,0 7,2,2024-09-07 09:49:20:770,738463,738463,0,0,32937651,0,4791 7,3,2024-09-07 09:49:20:856,1,586,1,0,552,6982,586,0 8,0,2024-09-07 09:49:21:346,147472,0.4,147153,0.5,294659,0.3,392258,1.75 8,1,2024-09-07 09:49:21:569,1025250,1025250,0,0,481347063534,5067195997,1008538,13127,3585,366,392853,0 8,2,2024-09-07 09:49:20:798,734616,734614,2,0,39197444,0,5112 8,3,2024-09-07 09:49:20:588,1,586,41,0,772,9452,586,0 9,0,2024-09-07 09:49:21:151,148379,0.4,144417,0.5,302142,0.3,396006,1.75 9,1,2024-09-07 09:49:20:551,1025076,1025076,0,0,480559476224,5070582275,1008399,13452,3225,369,392001,0 9,2,2024-09-07 09:49:21:095,739502,739501,1,0,37083327,0,5281 9,3,2024-09-07 09:49:21:756,1,586,1,0,1273,10031,586,0 10,0,2024-09-07 09:49:21:603,147376,0.3,146705,0.5,294752,0.3,391968,1.75 10,1,2024-09-07 09:49:20:587,1026914,1026914,0,0,481994126723,5061031659,1011348,12505,3061,381,391981,0 10,2,2024-09-07 09:49:20:768,743172,743172,0,0,39753099,0,4713 10,3,2024-09-07 09:49:20:873,1,586,1,0,669,6753,586,0 11,0,2024-09-07 09:49:21:007,144042,0.5,139720,0.6,292202,0.4,385061,1.75 11,1,2024-09-07 09:49:20:576,1028404,1028404,0,0,482819691228,5069168635,1012606,11496,4302,383,391766,0 11,2,2024-09-07 09:49:21:123,739264,739264,0,0,35211753,0,4698 11,3,2024-09-07 09:49:21:312,1,586,1,0,843,7768,586,0 12,0,2024-09-07 09:49:20:949,149538,0.4,149501,0.6,298618,0.4,396765,1.75 12,1,2024-09-07 09:49:20:944,1028218,1028218,0,0,482055236945,5029734483,1019867,7348,1003,370,391960,0 12,2,2024-09-07 09:49:21:552,738440,738440,0,0,33798220,0,4390 12,3,2024-09-07 09:49:21:069,1,586,10,0,386,7500,586,0 13,0,2024-09-07 09:49:21:344,149322,0.4,149510,0.5,298052,0.4,397251,1.75 13,1,2024-09-07 09:49:21:534,1025523,1025523,0,0,481900881851,5067926087,1014652,8482,2389,382,391768,0 13,2,2024-09-07 09:49:20:629,742108,742108,0,0,30188503,0,3287 13,3,2024-09-07 09:49:21:774,1,586,0,0,522,7851,586,0 14,0,2024-09-07 09:49:20:597,148177,0.4,149261,0.6,296192,0.3,394798,1.75 14,1,2024-09-07 09:49:21:573,1032933,1032933,0,0,484761335538,5019064203,1024478,7326,1129,364,391673,0 14,2,2024-09-07 09:49:20:767,742229,742199,30,0,31560214,0,6104 14,3,2024-09-07 09:49:21:116,1,586,12,0,1168,6521,586,0 15,0,2024-09-07 09:49:21:589,144362,0.4,143475,0.6,288187,0.4,384198,2.00 15,1,2024-09-07 09:49:21:612,1029988,1029988,0,0,483608350023,5038856643,1020919,7156,1913,381,391619,0 15,2,2024-09-07 09:49:20:997,744536,744536,0,0,27432049,0,3622 15,3,2024-09-07 09:49:21:406,1,586,2,0,1126,7867,586,0 16,0,2024-09-07 09:49:20:982,147460,0.6,148186,0.7,295712,0.6,394202,2.00 16,1,2024-09-07 09:49:20:633,1029945,1029945,0,0,482586746401,5046626940,1020669,7836,1440,370,392194,0 16,2,2024-09-07 09:49:21:442,738625,738625,0,0,30963440,0,4719 16,3,2024-09-07 09:49:21:148,1,586,9,0,358,7494,586,0 17,0,2024-09-07 09:49:21:798,151780,0.6,148017,0.8,289912,0.6,394355,2.00 17,1,2024-09-07 09:49:20:586,1027739,1027739,0,0,481779667268,5056043891,1017461,8509,1769,368,392075,0 17,2,2024-09-07 09:49:21:667,742447,742446,1,0,32227350,0,5050 17,3,2024-09-07 09:49:20:574,1,586,0,0,518,8717,586,0 18,0,2024-09-07 09:49:20:974,146082,0.6,147071,0.8,292605,0.7,390874,2.25 18,1,2024-09-07 09:49:21:637,1031881,1031881,0,0,483407884772,5015767776,1024821,5803,1257,367,391725,0 18,2,2024-09-07 09:49:21:757,743694,743694,0,0,28624584,0,3541 18,3,2024-09-07 09:49:20:909,1,586,0,0,1059,5511,586,0 19,0,2024-09-07 09:49:21:546,144928,0.6,145090,0.8,289063,0.6,384643,2.00 19,1,2024-09-07 09:49:20:568,1031814,1031814,0,0,484604127197,5024641572,1024074,6607,1133,367,391777,0 19,2,2024-09-07 09:49:21:754,747246,747246,0,0,27299587,0,3988 19,3,2024-09-07 09:49:21:129,1,586,1,0,524,4547,586,0 20,0,2024-09-07 09:49:21:406,145696,0.6,145487,0.7,290890,0.6,388401,2.00 20,1,2024-09-07 09:49:20:589,1027341,1027341,0,0,482493830415,5058178992,1016772,9063,1506,369,391922,0 20,2,2024-09-07 09:49:20:944,738426,738426,0,0,33793880,0,4321 20,3,2024-09-07 09:49:20:619,1,586,13,0,468,9588,586,0 21,0,2024-09-07 09:49:21:232,146643,0.5,146652,0.6,293322,0.4,389529,2.00 21,1,2024-09-07 09:49:21:558,1025627,1025627,0,0,481410737789,5086000137,1008774,13021,3832,368,392016,0 21,2,2024-09-07 09:49:21:075,733997,733520,477,0,44500578,0,17074 21,3,2024-09-07 09:49:21:407,1,586,27,0,713,8582,586,0 22,0,2024-09-07 09:49:21:726,148002,0.5,148585,0.7,296488,0.4,393190,2.00 22,1,2024-09-07 09:49:21:027,1026721,1026721,0,0,481113839729,5068322360,1008909,14272,3540,382,391822,0 22,2,2024-09-07 09:49:20:761,739812,739786,26,0,32341102,0,6328 22,3,2024-09-07 09:49:21:077,1,586,8,0,228,4982,586,0 23,0,2024-09-07 09:49:21:376,145845,0.5,145583,0.7,291147,0.5,387968,2.25 23,1,2024-09-07 09:49:21:004,1026600,1026600,0,0,482636591091,5090708963,1007129,12893,6578,365,391690,0 23,2,2024-09-07 09:49:21:101,745321,745321,0,0,31125085,0,3773 23,3,2024-09-07 09:49:21:754,1,586,1,0,855,8800,586,0 24,0,2024-09-07 09:49:20:833,145083,0.4,144361,0.6,290592,0.4,386114,1.75 24,1,2024-09-07 09:49:20:597,1026808,1026808,0,0,481821558339,5047128039,1016755,8344,1709,367,392269,0 24,2,2024-09-07 09:49:21:084,738738,738735,3,0,37590770,0,6294 24,3,2024-09-07 09:49:21:686,1,586,1,0,468,7599,586,0 25,0,2024-09-07 09:49:21:451,151966,0.5,147818,0.6,290410,0.4,395253,2.00 25,1,2024-09-07 09:49:20:565,1025221,1025221,0,0,481378403627,5091185538,1006468,15044,3709,369,391928,0 25,2,2024-09-07 09:49:21:622,737049,737049,0,0,38135916,0,3978 25,3,2024-09-07 09:49:21:004,1,586,1,0,532,6455,586,0 26,0,2024-09-07 09:49:21:753,147774,0.4,144436,0.6,303399,0.4,394723,1.75 26,1,2024-09-07 09:49:21:547,1028978,1028978,0,0,482077251125,5051557329,1014644,11801,2533,380,391748,0 26,2,2024-09-07 09:49:20:867,740027,740027,0,0,40879172,0,4689 26,3,2024-09-07 09:49:21:716,1,586,0,0,796,6838,586,0 27,0,2024-09-07 09:49:21:738,148225,0.4,148902,0.6,296044,0.4,394787,2.25 27,1,2024-09-07 09:49:21:680,1029410,1029410,0,0,484048072492,5047835253,1019017,8784,1609,381,391626,0 27,2,2024-09-07 09:49:20:867,739269,739204,65,0,35711640,0,5699 27,3,2024-09-07 09:49:21:015,1,586,1,0,564,5178,586,0 28,0,2024-09-07 09:49:21:401,144321,0.4,144385,0.6,289034,0.3,385195,2.00 28,1,2024-09-07 09:49:20:810,1030248,1030248,0,0,484068266471,5048392808,1021325,7032,1891,382,391904,0 28,2,2024-09-07 09:49:21:770,741972,741972,0,0,30597248,0,2915 28,3,2024-09-07 09:49:21:783,1,586,1,0,502,5876,586,0 29,0,2024-09-07 09:49:21:357,153073,0.4,148958,0.6,292427,0.4,398503,1.75 29,1,2024-09-07 09:49:21:561,1033554,1033554,0,0,484087575313,5008921076,1027201,5579,774,367,391809,0 29,2,2024-09-07 09:49:20:863,739468,739468,0,0,29689095,0,4986 29,3,2024-09-07 09:49:20:970,1,586,0,0,459,6471,586,0 30,0,2024-09-07 09:49:21:474,147015,0.5,143217,0.7,299837,0.4,392036,2.00 30,1,2024-09-07 09:49:20:574,1032345,1032345,0,0,485090516705,5034516086,1024783,6652,910,380,391672,0 30,2,2024-09-07 09:49:21:278,741273,741273,0,0,28321884,0,4192 30,3,2024-09-07 09:49:20:582,1,586,0,0,519,5557,586,0 31,0,2024-09-07 09:49:21:771,147353,0.4,148158,0.6,295186,0.4,394217,2.00 31,1,2024-09-07 09:49:20:564,1035632,1035632,0,0,485807117199,4990833636,1029178,5380,1074,356,391712,0 31,2,2024-09-07 09:49:21:280,740666,740666,0,0,31905585,0,4470 31,3,2024-09-07 09:49:21:711,1,586,1,0,239,5004,586,0 32,0,2024-09-07 09:49:21:429,145270,0.3,146130,0.5,290803,0.3,387883,1.75 32,1,2024-09-07 09:49:20:804,1031862,1031862,0,0,484002466815,5030752312,1025685,5459,718,381,391646,0 32,2,2024-09-07 09:49:20:943,745743,745743,0,0,28136726,0,3922 32,3,2024-09-07 09:49:21:015,1,586,1,0,304,4709,586,0 33,0,2024-09-07 09:49:21:494,147343,0.3,146928,0.4,294141,0.2,391447,1.50 33,1,2024-09-07 09:49:20:585,1031773,1031773,0,0,484870728269,5024554744,1023333,7168,1272,368,391730,0 33,2,2024-09-07 09:49:20:766,740199,740164,35,0,30945055,0,7012 33,3,2024-09-07 09:49:20:895,1,586,8,0,329,5193,586,0 34,0,2024-09-07 09:49:20:933,146761,0.3,150699,0.5,288347,0.2,389455,1.75 34,1,2024-09-07 09:49:21:059,1034223,1034223,0,0,485088052664,4991382211,1031264,2849,110,367,391637,0 34,2,2024-09-07 09:49:20:769,741043,741043,0,0,29240161,0,4562 34,3,2024-09-07 09:49:21:689,1,586,8,0,541,5454,586,0 35,0,2024-09-07 09:49:20:867,146691,0.3,147556,0.5,295997,0.2,393199,1.75 35,1,2024-09-07 09:49:21:074,1030278,1030278,0,0,483718780242,5022559968,1022171,6584,1523,382,391769,0 35,2,2024-09-07 09:49:21:582,741147,741147,0,0,32271998,0,4055 35,3,2024-09-07 09:49:20:909,1,586,1,0,466,5661,586,0 36,0,2024-09-07 09:49:21:523,146301,0.5,146266,0.7,292116,0.4,389403,2.00 36,1,2024-09-07 09:49:20:586,1028602,1028602,0,0,483199775227,5049581737,1015027,11335,2240,366,391759,0 36,2,2024-09-07 09:49:21:758,744884,744884,0,0,33997218,0,3875 36,3,2024-09-07 09:49:20:863,1,586,1,0,556,8205,586,0 37,0,2024-09-07 09:49:21:374,144044,0.6,144107,0.7,288416,0.5,385308,2.25 37,1,2024-09-07 09:49:20:575,1028585,1028578,0,7,483001317097,5046920792,1015934,9731,2913,365,391770,0 37,2,2024-09-07 09:49:21:150,736515,736500,15,0,33955986,0,5815 37,3,2024-09-07 09:49:21:774,1,586,4,0,888,7951,586,0 38,0,2024-09-07 09:49:21:449,146144,0.5,141697,0.7,296422,0.4,388175,2.00 38,1,2024-09-07 09:49:21:606,1028510,1028510,0,0,483084205126,5056777352,1013202,12309,2999,368,391821,0 38,2,2024-09-07 09:49:20:763,738745,738698,47,0,34176182,0,6710 38,3,2024-09-07 09:49:21:004,1,586,1,0,689,7381,586,0 39,0,2024-09-07 09:49:21:768,151713,0.5,148343,0.7,289277,0.5,394743,2.00 39,1,2024-09-07 09:49:20:716,1028221,1028221,0,0,482937556629,5067562746,1010780,13726,3715,365,391865,0 39,2,2024-09-07 09:49:21:417,741148,741148,0,0,32231161,0,3391 39,3,2024-09-07 09:49:20:717,1,586,1,0,525,6648,586,0 40,0,2024-09-07 09:49:21:499,146061,0.9,146699,1.0,292817,1.0,390265,2.75 40,1,2024-09-07 09:49:20:581,1029060,1029060,0,0,481997809694,5054059275,1013520,12472,3068,368,391668,0 40,2,2024-09-07 09:49:21:302,741243,741236,7,0,38815605,0,5347 40,3,2024-09-07 09:49:21:149,1,586,8,0,1028,8770,586,0 41,0,2024-09-07 09:49:21:032,143214,1.4,146531,1.2,279568,2.1,380121,3.25 41,1,2024-09-07 09:49:20:769,1027910,1027910,0,0,482532820952,5052965186,1014148,11604,2158,369,391878,0 41,2,2024-09-07 09:49:20:767,736773,736772,1,0,36993057,0,5408 41,3,2024-09-07 09:49:21:685,1,586,32,0,366,6382,586,0 42,0,2024-09-07 09:49:21:477,147451,1.0,147120,1.1,294412,1.2,390534,2.75 42,1,2024-09-07 09:49:21:441,1025507,1025507,0,0,481395734033,5063215553,1009020,13555,2932,380,391675,0 42,2,2024-09-07 09:49:21:133,737448,737447,1,0,37168089,0,5513 42,3,2024-09-07 09:49:21:009,1,586,4,0,892,5588,586,0 43,0,2024-09-07 09:49:20:925,147067,0.7,143205,0.9,299890,0.7,392271,2.25 43,1,2024-09-07 09:49:20:575,1029246,1029246,0,0,483406817034,5055855795,1014508,12166,2572,366,391696,0 43,2,2024-09-07 09:49:21:739,740636,740636,0,0,35207830,0,4723 43,3,2024-09-07 09:49:21:753,1,586,1,0,571,8666,586,0 44,0,2024-09-07 09:49:20:877,148447,0.4,148414,0.6,297282,0.3,395243,1.75 44,1,2024-09-07 09:49:20:568,1031906,1031906,0,0,484175893935,5007420063,1022545,7420,1941,356,391809,0 44,2,2024-09-07 09:49:21:285,739939,739939,0,0,28351398,0,4344 44,3,2024-09-07 09:49:21:094,1,586,1,0,1097,7332,586,0 45,0,2024-09-07 09:49:21:763,142757,0.5,139433,0.7,292711,0.5,384320,2.00 45,1,2024-09-07 09:49:21:013,1030615,1030615,0,0,484419447640,5035527626,1022375,7337,903,382,391917,0 45,2,2024-09-07 09:49:21:283,743945,743945,0,0,28867801,0,3596 45,3,2024-09-07 09:49:20:950,1,586,0,0,531,5703,586,0 46,0,2024-09-07 09:49:20:952,146870,0.5,146481,0.7,293944,0.5,390588,2.00 46,1,2024-09-07 09:49:20:586,1032960,1032960,0,0,485295735537,5018112924,1025470,6502,988,366,391709,0 46,2,2024-09-07 09:49:20:599,740086,740086,0,0,29481167,0,4443 46,3,2024-09-07 09:49:21:137,1,586,22,0,908,7156,586,0 47,0,2024-09-07 09:49:21:106,147617,0.4,147498,0.6,296210,0.4,392737,2.00 47,1,2024-09-07 09:49:20:576,1033547,1033547,0,0,484844066735,5010664192,1027449,5236,862,365,391641,0 47,2,2024-09-07 09:49:20:910,743173,743173,0,0,28466335,0,4477 47,3,2024-09-07 09:49:21:124,1,586,1,0,600,6550,586,0 48,0,2024-09-07 09:49:21:530,147726,0.3,147889,0.4,295346,0.2,392696,1.50 48,1,2024-09-07 09:49:21:029,1031385,1031385,0,0,483643166219,5024169221,1025288,5519,578,381,391710,0 48,2,2024-09-07 09:49:20:702,741877,741877,0,0,26999720,0,3524 48,3,2024-09-07 09:49:20:757,1,586,1,0,339,4871,586,0 49,0,2024-09-07 09:49:21:719,149284,0.3,146371,0.5,284883,0.3,388796,1.75 49,1,2024-09-07 09:49:21:021,1030260,1030260,0,0,483309558969,5029347464,1022991,5575,1694,382,391809,0 49,2,2024-09-07 09:49:21:808,745969,745969,0,0,29362679,0,4426 49,3,2024-09-07 09:49:21:420,1,586,1,0,992,7064,586,0 50,0,2024-09-07 09:49:21:508,146428,0.3,144746,0.5,291569,0.2,388432,1.75 50,1,2024-09-07 09:49:21:013,1033489,1033489,0,0,485729850155,5021961639,1026988,5832,669,368,391691,0 50,2,2024-09-07 09:49:21:075,738546,738546,0,0,26880175,0,4490 50,3,2024-09-07 09:49:21:310,1,586,2,0,617,6126,586,0 51,0,2024-09-07 09:49:21:684,150440,0.3,147393,0.5,286866,0.2,391173,1.75 51,1,2024-09-07 09:49:21:680,1034398,1034398,0,0,486037393584,5013277147,1028743,4575,1080,365,391706,0 51,2,2024-09-07 09:49:21:320,740316,740316,0,0,26545127,0,3337 51,3,2024-09-07 09:49:21:027,1,586,1,0,678,4258,586,0 52,0,2024-09-07 09:49:21:425,148613,0.5,148314,0.7,296893,0.4,394143,2.00 52,1,2024-09-07 09:49:20:587,1028632,1028632,0,0,482849098258,5056619014,1013613,12689,2330,368,391805,0 52,2,2024-09-07 09:49:21:758,738009,737971,38,0,36031837,0,6742 52,3,2024-09-07 09:49:20:676,1,586,1,0,1782,7061,586,0 53,0,2024-09-07 09:49:21:741,145582,0.7,141306,0.8,295510,0.7,387097,2.50 53,1,2024-09-07 09:49:20:771,1027326,1027326,0,0,482038595916,5063168484,1009146,13785,4395,367,391968,0 53,2,2024-09-07 09:49:21:321,744260,744259,1,0,32644107,0,5455 53,3,2024-09-07 09:49:20:697,1,586,1,0,308,5569,586,0 54,0,2024-09-07 09:49:21:615,142627,0.6,142917,0.8,284654,0.5,380588,2.25 54,1,2024-09-07 09:49:20:602,1030042,1030042,0,0,483857673942,5035664240,1018940,9432,1670,366,391810,0 54,2,2024-09-07 09:49:20:864,739517,739485,32,0,36181889,0,6397 54,3,2024-09-07 09:49:20:766,1,586,1,0,676,7753,586,0 55,0,2024-09-07 09:49:21:765,142601,0.7,147003,0.8,298091,0.6,388014,2.50 55,1,2024-09-07 09:49:20:769,1030300,1030300,0,0,483140579050,5027838538,1019445,9466,1389,365,391731,0 55,2,2024-09-07 09:49:20:729,737930,737874,56,0,33664219,0,7239 55,3,2024-09-07 09:49:20:685,1,586,2,0,304,5454,586,0 56,0,2024-09-07 09:49:21:559,151304,1.2,142567,1.1,293871,1.5,393261,2.75 56,1,2024-09-07 09:49:20:570,1023901,1023901,0,0,481689765443,5099437715,1005949,14267,3685,381,391867,0 56,2,2024-09-07 09:49:21:304,739562,739440,122,0,35864976,0,7432 56,3,2024-09-07 09:49:21:069,1,586,1,0,705,6878,586,0 57,0,2024-09-07 09:49:20:952,146518,1.5,146299,1.2,292946,2.0,391249,3.25 57,1,2024-09-07 09:49:20:999,1026447,1026447,0,0,481443444254,5058847726,1012028,11930,2489,366,392032,0 57,2,2024-09-07 09:49:21:326,741623,741623,0,0,37530191,0,4804 57,3,2024-09-07 09:49:21:739,1,586,4,0,455,6994,586,0 58,0,2024-09-07 09:49:20:554,141910,1.0,137764,1.0,288348,1.3,377585,2.75 58,1,2024-09-07 09:49:20:576,1028272,1028269,0,3,482955576274,5067611787,1012137,12232,3900,367,391726,3 58,2,2024-09-07 09:49:21:075,741827,741827,0,0,35306936,0,3483 58,3,2024-09-07 09:49:21:074,1,586,9,0,1043,6654,586,0 59,0,2024-09-07 09:49:21:747,147377,0.9,146862,1.0,293586,1.1,389627,3.00 59,1,2024-09-07 09:49:20:805,1026781,1026781,0,0,482768591924,5078596864,1008962,14152,3667,369,391653,0 59,2,2024-09-07 09:49:20:583,739251,739251,0,0,33326042,0,3727 59,3,2024-09-07 09:49:21:740,1,586,0,0,1015,7300,586,0 60,0,2024-09-07 09:49:21:713,147745,0.5,147895,0.7,295972,0.5,394393,1.75 60,1,2024-09-07 09:49:20:786,1031929,1031929,0,0,484675951491,5038871939,1023985,6857,1087,370,392031,0 60,2,2024-09-07 09:49:21:151,740932,740932,0,0,31352823,0,3811 60,3,2024-09-07 09:49:21:262,1,586,2,0,409,6905,586,0 61,0,2024-09-07 09:49:21:522,147569,0.6,148110,0.8,294870,0.6,393541,2.00 61,1,2024-09-07 09:49:20:770,1028376,1028376,0,0,482620512750,5054805656,1017258,9439,1679,382,392127,0 61,2,2024-09-07 09:49:21:142,741627,741560,67,0,31959160,0,6411 61,3,2024-09-07 09:49:21:696,1,586,1,0,607,7799,586,0 62,0,2024-09-07 09:49:21:711,145512,0.6,149293,0.7,285027,0.6,387729,2.00 62,1,2024-09-07 09:49:21:130,1035077,1035071,0,6,485876524666,5010581395,1030109,4664,298,365,391975,6 62,2,2024-09-07 09:49:21:645,741926,741925,1,0,32072451,0,5555 62,3,2024-09-07 09:49:21:145,1,586,3,0,482,4797,586,0 63,0,2024-09-07 09:49:21:458,147313,0.4,147101,0.6,294901,0.4,391696,1.75 63,1,2024-09-07 09:49:20:807,1031349,1031343,0,6,484126035733,5029133402,1024497,5991,855,381,391800,6 63,2,2024-09-07 09:49:20:765,739543,739543,0,0,30183569,0,4369 63,3,2024-09-07 09:49:21:734,1,586,0,0,667,6156,586,0 64,0,2024-09-07 09:49:21:526,145757,0.5,145744,0.7,290881,0.4,387783,2.00 64,1,2024-09-07 09:49:20:764,1030386,1030386,0,0,484674902594,5049284233,1021064,7346,1976,370,391794,0 64,2,2024-09-07 09:49:21:149,743870,743851,19,0,29192187,0,6121 64,3,2024-09-07 09:49:21:149,1,586,2,0,651,6800,586,0 65,0,2024-09-07 09:49:21:684,146451,0.6,146731,0.7,293154,0.6,390569,2.00 65,1,2024-09-07 09:49:20:881,1028730,1028730,0,0,482406498659,5043466928,1021306,6551,873,381,391901,0 65,2,2024-09-07 09:49:21:701,740265,740265,0,0,34898801,0,3367 65,3,2024-09-07 09:49:21:688,1,586,98,0,782,6625,586,0 66,0,2024-09-07 09:49:21:764,145366,0.5,144982,0.8,290114,0.5,386428,2.25 66,1,2024-09-07 09:49:21:301,1031317,1031317,0,0,484216991139,5039351461,1024821,5774,722,380,391743,0 66,2,2024-09-07 09:49:21:135,747452,747449,3,0,31373475,0,5455 66,3,2024-09-07 09:49:21:082,1,586,8,0,291,5050,586,0 67,0,2024-09-07 09:49:21:413,144516,0.5,144306,0.7,289569,0.5,385593,2.00 67,1,2024-09-07 09:49:20:767,1030266,1030265,0,1,484880075310,5049160905,1022943,6378,944,380,391787,1 67,2,2024-09-07 09:49:20:615,741649,741634,15,0,31012175,0,6205 67,3,2024-09-07 09:49:21:754,1,586,0,0,595,6229,586,0 68,0,2024-09-07 09:49:20:567,147112,0.6,147004,0.8,292619,0.6,391455,2.25 68,1,2024-09-07 09:49:20:576,1026136,1026136,0,0,481576405801,5066421633,1012356,10250,3530,381,391953,0 68,2,2024-09-07 09:49:21:048,735520,735420,100,0,39260652,0,8578 68,3,2024-09-07 09:49:20:728,1,586,6,0,417,7719,586,0 69,0,2024-09-07 09:49:21:730,147768,0.7,148587,0.8,296290,0.7,393518,2.25 69,1,2024-09-07 09:49:21:020,1025328,1025328,0,0,481300326086,5075253136,1011478,11019,2831,383,391994,0 69,2,2024-09-07 09:49:21:738,738646,738617,29,0,41504289,0,6912 69,3,2024-09-07 09:49:20:767,1,586,21,0,698,8580,586,0 70,0,2024-09-07 09:49:21:532,145828,0.8,146320,0.9,294210,0.7,389108,2.50 70,1,2024-09-07 09:49:20:805,1031905,1031905,0,0,484890279214,5033098759,1023999,7100,806,366,391725,0 70,2,2024-09-07 09:49:21:326,743347,743347,0,0,34576051,0,4323 70,3,2024-09-07 09:49:20:753,1,586,0,0,854,6593,586,0 71,0,2024-09-07 09:49:21:368,142727,1.1,142469,1.1,286159,1.5,382117,2.75 71,1,2024-09-07 09:49:21:596,1029661,1029661,0,0,483140242378,5046100961,1016675,11365,1621,368,391738,0 71,2,2024-09-07 09:49:21:078,739635,739635,0,0,34611131,0,4352 71,3,2024-09-07 09:49:21:758,1,586,0,0,644,6732,586,0 72,0,2024-09-07 09:49:21:086,153271,0.6,149824,0.7,292406,0.6,397590,2.00 72,1,2024-09-07 09:49:21:021,1027248,1027248,0,0,482428241660,5065075935,1011955,12778,2515,369,391819,0 72,2,2024-09-07 09:49:21:762,737598,737598,0,0,36288846,0,3983 72,3,2024-09-07 09:49:21:755,1,586,1,0,564,8682,586,0 73,0,2024-09-07 09:49:21:119,144734,0.4,148478,0.6,303512,0.4,394857,2.00 73,1,2024-09-07 09:49:20:769,1029339,1029339,0,0,483398409876,5028473325,1020941,7418,980,367,391858,0 73,2,2024-09-07 09:49:21:739,740148,740147,1,0,37811431,0,5027 73,3,2024-09-07 09:49:20:979,1,586,17,0,1091,8336,586,0 74,0,2024-09-07 09:49:21:326,149252,0.5,152865,0.7,291603,0.4,395630,2.00 74,1,2024-09-07 09:49:20:641,1028434,1028434,0,0,483171416950,5048073607,1016533,9785,2116,381,391762,0 74,2,2024-09-07 09:49:21:013,740317,740317,0,0,33007498,0,4253 74,3,2024-09-07 09:49:21:442,1,586,6,0,522,7399,586,0 75,0,2024-09-07 09:49:21:772,144817,0.6,143768,0.8,288574,0.5,385788,2.25 75,1,2024-09-07 09:49:21:585,1029726,1029726,0,0,482522165011,5032492834,1021107,7751,868,380,391739,0 75,2,2024-09-07 09:49:21:357,741513,741513,0,0,40108349,0,4766 75,3,2024-09-07 09:49:21:069,1,586,2,0,918,8107,586,0 76,0,2024-09-07 09:49:20:626,147064,0.6,146563,0.7,293091,0.5,392569,2.25 76,1,2024-09-07 09:49:20:805,1029439,1029439,0,0,483692051435,5045749996,1022120,6330,989,382,391790,0 76,2,2024-09-07 09:49:21:064,741355,741352,3,0,32166692,0,5265 76,3,2024-09-07 09:49:21:145,1,586,141,0,227,5440,586,0 77,0,2024-09-07 09:49:21:733,146820,0.5,147380,0.7,294699,0.5,391985,2.00 77,1,2024-09-07 09:49:20:830,1029241,1029241,0,0,484150544913,5051895817,1021296,7070,875,381,391869,0 77,2,2024-09-07 09:49:21:290,739520,739520,0,0,31395808,0,3890 77,3,2024-09-07 09:49:21:106,1,586,2,0,401,6783,586,0 78,0,2024-09-07 09:49:21:719,147965,0.4,147413,0.6,295404,0.4,391302,2.00 78,1,2024-09-07 09:49:20:612,1029524,1029524,0,0,482914016723,5040196429,1016685,9922,2917,367,391670,0 78,2,2024-09-07 09:49:21:405,742595,742582,13,0,29429267,0,8313 78,3,2024-09-07 09:49:21:132,1,586,1,0,311,5014,586,0 79,0,2024-09-07 09:49:21:355,140449,0.4,143714,0.6,294603,0.3,383546,2.00 79,1,2024-09-07 09:49:20:585,1032823,1032823,0,0,485123698321,5023558214,1024980,6483,1360,367,391682,0 79,2,2024-09-07 09:49:21:077,745025,745025,0,0,28651820,0,4195 79,3,2024-09-07 09:49:20:756,1,586,0,0,418,7065,586,0 80,0,2024-09-07 09:49:21:129,145562,0.5,149503,0.7,285979,0.5,387400,2.00 80,1,2024-09-07 09:49:21:619,1029856,1029856,0,0,483581276809,5036636234,1022842,6563,451,368,392269,0 80,2,2024-09-07 09:49:21:099,741384,741384,0,0,28873508,0,4433 80,3,2024-09-07 09:49:20:581,1,586,1,0,681,7333,586,0 81,0,2024-09-07 09:49:21:556,146560,0.6,149908,0.7,286255,0.5,388380,2.00 81,1,2024-09-07 09:49:21:651,1028293,1028293,0,0,482186912507,5040495475,1020147,7383,763,382,391885,0 81,2,2024-09-07 09:49:21:127,738849,738786,63,0,32249614,0,5932 81,3,2024-09-07 09:49:21:130,1,586,1,0,719,6955,586,0 82,0,2024-09-07 09:49:21:530,147954,0.5,148080,0.7,296882,0.4,393165,2.00 82,1,2024-09-07 09:49:20:587,1031192,1031188,0,4,483288961299,5024722865,1025620,4745,823,381,391768,4 82,2,2024-09-07 09:49:21:697,743724,743724,0,0,27298944,0,4484 82,3,2024-09-07 09:49:21:756,1,586,5,0,363,5613,586,0 83,0,2024-09-07 09:49:21:537,146265,0.6,146289,0.7,291817,0.6,387307,2.25 83,1,2024-09-07 09:49:20:552,1029198,1029198,0,0,483292361598,5039527687,1021657,6896,645,382,391709,0 83,2,2024-09-07 09:49:20:767,744852,744827,25,0,29530908,0,5612 83,3,2024-09-07 09:49:20:766,1,586,1,0,1260,7110,586,0 84,0,2024-09-07 09:49:21:769,142676,0.8,142818,0.9,285642,0.8,382142,2.50 84,1,2024-09-07 09:49:21:041,1027447,1027447,0,0,482680260691,5050448093,1015405,10371,1671,367,391967,0 84,2,2024-09-07 09:49:20:585,737696,737286,410,0,43077511,0,17037 84,3,2024-09-07 09:49:21:141,1,586,7,0,908,7964,586,0 85,0,2024-09-07 09:49:21:015,141791,0.7,141780,0.8,301079,0.7,388353,2.25 85,1,2024-09-07 09:49:20:576,1025008,1025008,0,0,481088981524,5083852514,1008710,13502,2796,381,392092,0 85,2,2024-09-07 09:49:20:869,738437,738437,0,0,36248545,0,4255 85,3,2024-09-07 09:49:20:686,1,586,1,0,789,6813,586,0 86,0,2024-09-07 09:49:20:890,148220,0.7,152264,0.8,291291,0.7,393931,2.25 86,1,2024-09-07 09:49:20:825,1027679,1027679,0,0,482979993282,5067881162,1013858,11136,2685,366,392169,0 86,2,2024-09-07 09:49:20:865,739567,739566,1,0,38488565,0,5004 86,3,2024-09-07 09:49:20:599,1,586,12,0,308,8318,586,0 87,0,2024-09-07 09:49:21:298,148237,0.8,147750,0.8,295422,1.0,394561,2.25 87,1,2024-09-07 09:49:20:550,1027158,1027158,0,0,482836231123,5061727244,1013789,11573,1796,366,392076,0 87,2,2024-09-07 09:49:21:069,741093,741087,6,0,35077491,0,6323 87,3,2024-09-07 09:49:21:794,1,586,1,0,473,8491,586,0 88,0,2024-09-07 09:49:21:464,144145,0.5,144496,0.6,288934,0.4,385261,2.00 88,1,2024-09-07 09:49:20:576,1026117,1026117,0,0,481842348889,5055901467,1010747,12326,3044,365,392084,0 88,2,2024-09-07 09:49:20:688,741124,741124,0,0,37872504,0,4465 88,3,2024-09-07 09:49:21:278,1,586,1,0,1080,9190,586,0 89,0,2024-09-07 09:49:21:763,152882,0.5,148384,0.7,292690,0.4,398146,1.75 89,1,2024-09-07 09:49:20:561,1025611,1025611,0,0,483072584150,5084116895,1012635,11033,1943,382,391866,0 89,2,2024-09-07 09:49:21:135,738287,738287,0,0,35572461,0,3173 89,3,2024-09-07 09:49:21:801,1,586,2,0,468,10074,586,0 90,0,2024-09-07 09:49:21:615,143445,0.5,147291,0.6,300690,0.4,392429,2.00 90,1,2024-09-07 09:49:20:609,1027896,1027896,0,0,482610596797,5057943257,1017805,9199,892,380,391825,0 90,2,2024-09-07 09:49:21:405,737034,737029,5,0,39131662,0,6370 90,3,2024-09-07 09:49:20:930,1,586,9,0,322,7260,586,0 91,0,2024-09-07 09:49:20:979,148050,0.4,143684,0.6,300609,0.4,394166,1.75 91,1,2024-09-07 09:49:20:568,1025062,1025062,0,0,482145857873,5084771656,1009220,12695,3147,381,392047,0 91,2,2024-09-07 09:49:21:334,740237,740237,0,0,34440627,0,4713 91,3,2024-09-07 09:49:20:612,1,586,8,0,216,5359,586,0 92,0,2024-09-07 09:49:21:453,146649,0.5,149921,0.6,285934,0.5,388035,1.75 92,1,2024-09-07 09:49:20:589,1028906,1028906,0,0,483611119166,5052982303,1020551,7068,1287,381,392136,0 92,2,2024-09-07 09:49:21:358,744059,744059,0,0,30124282,0,3259 92,3,2024-09-07 09:49:21:009,1,586,5,0,167,5108,586,0 93,0,2024-09-07 09:49:20:957,147609,0.4,151287,0.6,288871,0.4,391724,1.75 93,1,2024-09-07 09:49:20:812,1029006,1029006,0,0,483153681510,5045226575,1017482,9597,1927,366,391776,0 93,2,2024-09-07 09:49:20:943,739270,739270,0,0,35724406,0,4913 93,3,2024-09-07 09:49:21:406,1,586,7,0,294,5818,586,0 94,0,2024-09-07 09:49:21:606,145903,0.4,146948,0.5,293862,0.3,390550,1.75 94,1,2024-09-07 09:49:20:925,1029878,1029878,0,0,482712286508,5036161809,1022944,6503,431,381,391850,0 94,2,2024-09-07 09:49:20:777,739975,739947,28,0,30617433,0,6179 94,3,2024-09-07 09:49:21:705,1,586,235,0,576,7418,586,0 95,0,2024-09-07 09:49:21:345,147507,0.3,147609,0.5,295299,0.3,393198,1.75 95,1,2024-09-07 09:49:20:864,1030921,1030921,0,0,483502713869,5026811669,1022495,7679,747,365,391852,0 95,2,2024-09-07 09:49:21:018,740424,740424,0,0,30165131,0,3308 95,3,2024-09-07 09:49:21:714,1,586,148,0,718,9367,586,0 96,0,2024-09-07 09:49:21:026,145812,0.4,146195,0.5,292298,0.3,388706,1.75 96,1,2024-09-07 09:49:21:582,1029162,1029162,0,0,483185340441,5040628258,1021813,6077,1272,384,391964,0 96,2,2024-09-07 09:49:21:294,745411,745411,0,0,31148520,0,4180 96,3,2024-09-07 09:49:21:139,1,586,1,0,411,6884,586,0 97,0,2024-09-07 09:49:21:312,144743,0.3,144595,0.5,290121,0.3,385546,1.75 97,1,2024-09-07 09:49:20:765,1030770,1030770,0,0,484015395699,5023648573,1024082,5662,1026,367,392140,0 97,2,2024-09-07 09:49:20:613,740184,740184,0,0,30746030,0,4046 97,3,2024-09-07 09:49:20:569,1,586,2,0,242,6604,586,0 98,0,2024-09-07 09:49:21:697,146756,0.3,146827,0.5,294549,0.3,391537,1.50 98,1,2024-09-07 09:49:20:574,1029815,1029815,0,0,483465574649,5036488585,1023549,5443,823,381,391997,0 98,2,2024-09-07 09:49:20:769,740006,740006,0,0,29581091,0,4336 98,3,2024-09-07 09:49:20:702,1,586,1,0,840,8872,586,0 99,0,2024-09-07 09:49:21:462,148650,0.3,149406,0.5,297176,0.3,396215,1.75 99,1,2024-09-07 09:49:21:729,1030967,1030967,0,0,482887336415,5026745751,1024608,5417,942,380,392069,0 99,2,2024-09-07 09:49:21:428,741019,741019,0,0,36583488,0,4276 99,3,2024-09-07 09:49:20:585,1,586,2,0,1124,7477,586,0 100,0,2024-09-07 09:49:21:512,147104,0.8,147391,0.9,294365,0.8,392736,2.50 100,1,2024-09-07 09:49:20:548,1024795,1024795,0,0,480197765172,5080703959,1008789,12693,3313,378,391989,0 100,2,2024-09-07 09:49:21:860,739736,739347,389,0,40293012,0,16909 100,3,2024-09-07 09:49:21:747,1,586,1,0,627,10030,586,0 101,0,2024-09-07 09:49:21:717,147087,1.3,143500,1.1,280711,1.4,383809,2.50 101,1,2024-09-07 09:49:20:561,1026158,1026158,0,0,482005965456,5068063142,1012123,11508,2527,368,391847,0 101,2,2024-09-07 09:49:21:757,735690,735690,0,0,40034457,0,4871 101,3,2024-09-07 09:49:20:951,1,586,1,0,1250,8949,586,0 102,0,2024-09-07 09:49:20:954,144476,0.7,148822,0.8,302262,0.7,395138,2.25 102,1,2024-09-07 09:49:21:146,1026469,1026469,0,0,481666467847,5063545939,1012370,11903,2196,369,391984,0 102,2,2024-09-07 09:49:21:754,740083,740029,54,0,34217672,0,6768 102,3,2024-09-07 09:49:21:616,1,586,5,0,466,6878,586,0 103,0,2024-09-07 09:49:21:586,153390,0.6,153415,0.7,289025,0.6,398135,2.00 103,1,2024-09-07 09:49:21:624,1024493,1024493,0,0,481175171349,5086156830,1007606,13293,3594,381,392077,0 103,2,2024-09-07 09:49:20:585,738250,738250,0,0,37075335,0,3766 103,3,2024-09-07 09:49:20:770,1,586,1,0,916,7092,586,0 104,0,2024-09-07 09:49:21:009,147251,0.7,147382,0.9,294202,0.7,393741,2.25 104,1,2024-09-07 09:49:21:606,1027488,1027488,0,0,481988114870,5069191395,1011974,12672,2842,365,392168,0 104,2,2024-09-07 09:49:21:666,738117,738117,0,0,36747928,0,4161 104,3,2024-09-07 09:49:21:420,1,586,0,0,1245,10561,586,0 105,0,2024-09-07 09:49:21:028,142883,1.0,139243,1.1,291740,1.2,383445,2.75 105,1,2024-09-07 09:49:20:562,1028881,1028881,0,0,482977145412,5064439723,1015325,11618,1938,364,392009,0 105,2,2024-09-07 09:49:21:332,741914,741914,0,0,36897328,0,4360 105,3,2024-09-07 09:49:21:312,1,586,4,0,573,9148,586,0 106,0,2024-09-07 09:49:20:962,142593,0.9,146000,1.0,299135,1.0,390823,2.50 106,1,2024-09-07 09:49:21:754,1027763,1027763,0,0,481815803317,5061396713,1013117,12752,1894,368,391914,0 106,2,2024-09-07 09:49:20:762,737927,737927,0,0,34561549,0,3331 106,3,2024-09-07 09:49:20:700,1,586,6,0,1224,8400,586,0 107,0,2024-09-07 09:49:21:111,147068,1.0,147146,0.9,294375,1.2,392701,2.25 107,1,2024-09-07 09:49:20:596,1024670,1024670,0,0,480716455821,5085185236,1007308,15230,2132,381,392234,0 107,2,2024-09-07 09:49:21:303,736900,736899,1,0,37825576,0,5024 107,3,2024-09-07 09:49:21:755,1,586,8,0,733,9028,586,0 108,0,2024-09-07 09:49:21:777,147311,0.4,147805,0.6,294706,0.4,392804,1.75 108,1,2024-09-07 09:49:21:302,1029004,1029004,0,0,483362203325,5043430778,1020336,7675,993,367,391894,0 108,2,2024-09-07 09:49:21:759,740689,740689,0,0,32425617,0,4246 108,3,2024-09-07 09:49:21:332,1,586,6,0,749,10800,586,0 109,0,2024-09-07 09:49:21:864,146140,0.4,144867,0.6,290639,0.3,387960,1.75 109,1,2024-09-07 09:49:20:590,1025864,1025864,0,0,482801254223,5065937977,1016544,8045,1275,382,392132,0 109,2,2024-09-07 09:49:20:932,742770,742770,0,0,33367131,0,3617 109,3,2024-09-07 09:49:21:146,1,586,0,0,630,7263,586,0 110,0,2024-09-07 09:49:21:791,145682,0.4,141640,0.6,296669,0.4,389249,1.75 110,1,2024-09-07 09:49:21:645,1030817,1030817,0,0,483488372971,5021804462,1022782,6110,1925,368,392045,0 110,2,2024-09-07 09:49:21:308,739595,739595,0,0,30725553,0,4067 110,3,2024-09-07 09:49:20:690,1,586,5,0,722,8014,586,0 111,0,2024-09-07 09:49:21:418,147372,0.4,146525,0.5,293150,0.3,390741,1.75 111,1,2024-09-07 09:49:21:003,1032105,1032105,0,0,484501626729,5026517718,1026625,5099,381,380,391690,0 111,2,2024-09-07 09:49:21:125,739616,739616,0,0,31202021,0,4823 111,3,2024-09-07 09:49:20:916,1,586,1,0,379,6501,586,0 112,0,2024-09-07 09:49:20:952,148973,0.3,148299,0.4,297363,0.2,394450,1.50 112,1,2024-09-07 09:49:20:835,1030916,1030916,0,0,483656336545,5024485509,1023724,5967,1225,380,391624,0 112,2,2024-09-07 09:49:21:142,741422,741421,1,0,29599034,0,5036 112,3,2024-09-07 09:49:20:596,1,586,7,0,282,5713,586,0 113,0,2024-09-07 09:49:20:869,146447,0.3,146417,0.5,293118,0.2,389773,1.75 113,1,2024-09-07 09:49:21:685,1032901,1032901,0,0,485378864190,5009848301,1026677,5277,947,365,391664,0 113,2,2024-09-07 09:49:21:308,747141,747141,0,0,28056657,0,3813 113,3,2024-09-07 09:49:20:684,1,586,1,0,510,6340,586,0 114,0,2024-09-07 09:49:20:882,144618,0.3,145582,0.5,289818,0.2,387724,1.75 114,1,2024-09-07 09:49:20:749,1031324,1031324,0,0,483889495521,5026471531,1023401,6177,1746,381,391565,0 114,2,2024-09-07 09:49:20:872,741438,741437,1,0,29157149,0,5069 114,3,2024-09-07 09:49:21:279,1,586,1,0,395,4667,586,0 115,0,2024-09-07 09:49:20:557,148435,0.3,148949,0.4,296574,0.2,394471,1.50 115,1,2024-09-07 09:49:20:571,1031259,1031259,0,0,483861098575,5028710214,1023015,6727,1517,382,391757,0 115,2,2024-09-07 09:49:21:130,741581,741581,0,0,29008323,0,4382 115,3,2024-09-07 09:49:21:009,1,586,1,0,167,3534,586,0 116,0,2024-09-07 09:49:21:697,148167,0.7,147889,0.8,296567,0.6,396179,2.00 116,1,2024-09-07 09:49:20:807,1026165,1026165,0,0,481240026860,5075984077,1013530,9546,3089,380,392089,0 116,2,2024-09-07 09:49:21:754,740428,740428,0,0,36776927,0,4475 116,3,2024-09-07 09:49:20:916,1,586,21,0,448,7711,586,0 117,0,2024-09-07 09:49:20:956,148510,0.7,147793,0.8,296150,0.7,395950,2.00 117,1,2024-09-07 09:49:21:578,1027347,1027347,0,0,481465202599,5044817129,1015736,10090,1521,369,392033,0 117,2,2024-09-07 09:49:21:125,743767,743767,0,0,33291486,0,4303 117,3,2024-09-07 09:49:21:067,1,586,2,0,490,7615,586,0 118,0,2024-09-07 09:49:21:763,139776,0.6,143570,0.7,292946,0.5,383582,2.00 118,1,2024-09-07 09:49:20:599,1026243,1026243,0,0,481713919811,5068597241,1010496,12126,3621,366,392054,0 118,2,2024-09-07 09:49:21:588,740685,740685,0,0,35386441,0,2842 118,3,2024-09-07 09:49:21:768,1,586,1,0,289,7018,586,0 119,0,2024-09-07 09:49:21:353,148364,0.8,149180,0.9,297482,0.9,396561,2.25 119,1,2024-09-07 09:49:20:548,1027288,1027288,0,0,482563511497,5065035777,1012603,12173,2512,367,391857,0 119,2,2024-09-07 09:49:21:261,740041,740041,0,0,33315377,0,4309 119,3,2024-09-07 09:49:21:332,1,586,1,0,1358,10992,586,0 120,0,2024-09-07 09:49:21:552,147048,0.7,146873,0.8,294982,0.7,393442,2.25 120,1,2024-09-07 09:49:20:863,1028389,1028389,0,0,481705192777,5056433903,1016808,10441,1140,367,392144,0 120,2,2024-09-07 09:49:20:770,738863,738860,3,0,39795142,0,5363 120,3,2024-09-07 09:49:21:296,1,586,3,0,279,7210,586,0 121,0,2024-09-07 09:49:21:688,147824,1.1,147185,1.0,294871,1.4,393079,2.25 121,1,2024-09-07 09:49:21:655,1027712,1027712,0,0,481950156948,5047653729,1016436,9726,1550,366,391840,0 121,2,2024-09-07 09:49:21:124,739685,739685,0,0,36420045,0,4157 121,3,2024-09-07 09:49:20:735,1,586,2,0,387,7916,586,0 122,0,2024-09-07 09:49:21:783,144515,0.8,140668,0.9,294588,0.9,387776,2.25 122,1,2024-09-07 09:49:20:869,1026790,1026790,0,0,482564869687,5069604692,1011855,12523,2412,366,392130,0 122,2,2024-09-07 09:49:21:322,744074,743999,75,0,40087046,0,5989 122,3,2024-09-07 09:49:20:594,1,586,14,0,512,9700,586,0 123,0,2024-09-07 09:49:20:961,146265,0.9,142221,0.9,297969,1.0,390448,2.25 123,1,2024-09-07 09:49:20:574,1026762,1026762,0,0,481930220854,5072822209,1009958,14134,2670,369,392039,0 123,2,2024-09-07 09:49:21:019,737030,737029,1,0,34083693,0,5215 123,3,2024-09-07 09:49:21:135,1,586,3,0,478,6822,586,0 124,0,2024-09-07 09:49:20:920,150409,0.3,150409,0.5,283764,0.3,390856,1.75 124,1,2024-09-07 09:49:21:022,1030810,1030810,0,0,482919282654,5022311591,1023083,6552,1175,365,392178,0 124,2,2024-09-07 09:49:21:013,741492,741439,53,0,30547477,0,6487 124,3,2024-09-07 09:49:20:766,1,586,3,0,490,5868,586,0 125,0,2024-09-07 09:49:21:479,147313,0.4,147334,0.5,295606,0.3,393228,1.75 125,1,2024-09-07 09:49:20:872,1027945,1027945,0,0,482532760494,5039047999,1019879,6915,1151,382,391968,0 125,2,2024-09-07 09:49:21:121,743121,743121,0,0,30763020,0,4534 125,3,2024-09-07 09:49:21:128,1,586,2,0,709,6781,586,0 126,0,2024-09-07 09:49:21:415,146119,0.4,150203,0.6,287369,0.4,389329,1.75 126,1,2024-09-07 09:49:20:560,1031510,1031510,0,0,484404781990,5016247774,1026041,5023,446,365,391987,0 126,2,2024-09-07 09:49:20:650,746235,746235,0,0,32106639,0,4539 126,3,2024-09-07 09:49:20:914,1,586,10,0,268,6511,586,0 127,0,2024-09-07 09:49:21:595,145147,0.3,145291,0.5,289953,0.3,385935,1.75 127,1,2024-09-07 09:49:20:569,1029416,1029416,0,0,483433683008,5032899116,1018750,9030,1636,364,392187,0 127,2,2024-09-07 09:49:20:638,739121,739117,4,0,30080289,0,5305 127,3,2024-09-07 09:49:21:266,1,586,11,0,968,5751,586,0 128,0,2024-09-07 09:49:21:587,147653,0.3,147714,0.4,295085,0.2,392113,1.50 128,1,2024-09-07 09:49:21:608,1029943,1029943,0,0,482965271943,5025095007,1021629,7351,963,367,392423,0 128,2,2024-09-07 09:49:21:415,741042,741042,0,0,27838466,0,3171 128,3,2024-09-07 09:49:20:766,1,586,2,0,1082,9414,586,0 129,0,2024-09-07 09:49:21:011,149773,0.3,148946,0.5,298709,0.3,396695,1.50 129,1,2024-09-07 09:49:20:590,1026492,1026492,0,0,482504877968,5058948990,1016066,8404,2022,379,391962,0 129,2,2024-09-07 09:49:20:685,742946,742942,4,0,30480530,0,5335 129,3,2024-09-07 09:49:20:691,1,586,1,0,506,8246,586,0 130,0,2024-09-07 09:49:21:726,148345,0.4,147766,0.5,296162,0.4,393999,1.75 130,1,2024-09-07 09:49:20:589,1030695,1030695,0,0,483429376560,5022445598,1025376,4924,395,381,391825,0 130,2,2024-09-07 09:49:21:125,744379,744379,0,0,30753600,0,4067 130,3,2024-09-07 09:49:21:309,1,586,14,0,960,7921,586,0 131,0,2024-09-07 09:49:21:931,144266,0.3,144604,0.5,290105,0.3,385464,1.75 131,1,2024-09-07 09:49:21:831,1029961,1029961,0,0,483843681594,5044967271,1022752,6014,1195,381,391865,0 131,2,2024-09-07 09:49:20:574,741215,741215,0,0,28464493,0,3979 131,3,2024-09-07 09:49:21:689,1,586,3,0,392,7585,586,0 132,0,2024-09-07 09:49:21:429,148671,0.5,149688,0.6,298098,0.5,396123,2.00 132,1,2024-09-07 09:49:20:586,1025843,1025843,0,0,481104039642,5068626039,1010545,12596,2702,381,392532,0 132,2,2024-09-07 09:49:20:697,739567,739550,17,0,37198864,0,6451 132,3,2024-09-07 09:49:21:697,1,586,1,0,1298,10728,586,0 133,0,2024-09-07 09:49:21:552,144819,0.4,148129,0.6,303799,0.4,395617,2.00 133,1,2024-09-07 09:49:20:605,1025965,1025965,0,0,482046635513,5081733842,1011911,12292,1762,383,391914,0 133,2,2024-09-07 09:49:21:091,740950,740900,50,0,38312243,0,6861 133,3,2024-09-07 09:49:21:300,1,586,1,0,528,7150,586,0 134,0,2024-09-07 09:49:20:962,148440,0.5,148580,0.7,297352,0.5,395907,2.00 134,1,2024-09-07 09:49:20:583,1027141,1027141,0,0,482072503750,5060034975,1013375,11280,2486,366,391781,0 134,2,2024-09-07 09:49:21:757,741147,741123,24,0,35197812,0,6207 134,3,2024-09-07 09:49:20:757,1,586,8,0,739,7271,586,0 135,0,2024-09-07 09:49:21:098,140006,0.8,140044,0.9,297181,1.0,383341,2.25 135,1,2024-09-07 09:49:21:590,1026701,1026701,0,0,482760827398,5078707188,1013690,11371,1640,380,391805,0 135,2,2024-09-07 09:49:20:687,743575,743575,0,0,36222980,0,4503 135,3,2024-09-07 09:49:21:004,1,586,1,0,900,5856,586,0 136,0,2024-09-07 09:49:21:618,147966,0.6,148509,0.8,294885,0.5,393849,2.00 136,1,2024-09-07 09:49:21:458,1028015,1028015,0,0,482558169148,5061746116,1016117,10510,1388,381,392135,0 136,2,2024-09-07 09:49:21:136,740424,740409,15,0,35639357,0,6007 136,3,2024-09-07 09:49:21:132,1,586,39,0,637,6895,586,0 137,0,2024-09-07 09:49:20:922,152043,0.6,148210,0.7,290235,0.6,394443,2.00 137,1,2024-09-07 09:49:20:590,1026769,1026769,0,0,482105667760,5061783765,1010241,13141,3387,366,391898,0 137,2,2024-09-07 09:49:21:716,738318,738318,0,0,36787272,0,3185 137,3,2024-09-07 09:49:20:769,1,586,1,0,484,8179,586,0 138,0,2024-09-07 09:49:21:794,146807,0.7,147009,0.9,294674,0.8,391213,2.00 138,1,2024-09-07 09:49:21:699,1027396,1027396,0,0,482501418495,5064851757,1012923,12218,2255,368,391954,0 138,2,2024-09-07 09:49:20:587,739774,739774,0,0,35068016,0,4988 138,3,2024-09-07 09:49:20:613,1,586,18,0,1200,9367,586,0 139,0,2024-09-07 09:49:21:357,144210,1.1,144738,1.0,289427,1.6,386224,2.25 139,1,2024-09-07 09:49:20:592,1023386,1023386,0,0,479579083582,5090296420,1005006,14556,3824,380,392109,0 139,2,2024-09-07 09:49:20:692,739654,739624,30,0,40443912,0,5997 139,3,2024-09-07 09:49:21:667,1,586,1,0,432,7052,586,0 140,0,2024-09-07 09:49:21:604,146322,0.3,145548,0.5,291819,0.2,389558,1.75 140,1,2024-09-07 09:49:21:539,1033672,1033672,0,0,485323454699,5004396981,1028704,4422,546,364,391606,0 140,2,2024-09-07 09:49:20:687,740055,740054,1,0,28480266,0,5036 140,3,2024-09-07 09:49:20:768,1,586,9,0,575,5957,586,0 141,0,2024-09-07 09:49:21:729,146903,0.3,151039,0.5,288596,0.3,390505,1.75 141,1,2024-09-07 09:49:20:863,1031630,1031630,0,0,484481840695,5034685176,1023435,7003,1192,379,391614,0 141,2,2024-09-07 09:49:21:700,740079,740068,11,0,30589373,0,5369 141,3,2024-09-07 09:49:21:042,1,586,5,0,391,6882,586,0 142,0,2024-09-07 09:49:21:316,148961,0.3,148143,0.5,296587,0.2,395292,1.50 142,1,2024-09-07 09:49:20:612,1029705,1029705,0,0,483772082380,5044383344,1023003,6197,505,382,392102,0 142,2,2024-09-07 09:49:21:304,740692,740660,32,0,31187310,0,6028 142,3,2024-09-07 09:49:21:746,1,586,12,0,484,6225,586,0 143,0,2024-09-07 09:49:21:370,146132,0.4,146301,0.5,293479,0.4,389649,1.75 143,1,2024-09-07 09:49:20:569,1032289,1032289,0,0,483583880252,5018827178,1025837,5885,567,367,391722,0 143,2,2024-09-07 09:49:20:770,745425,745425,0,0,31042515,0,3123 143,3,2024-09-07 09:49:21:145,1,586,12,0,462,7227,586,0 144,0,2024-09-07 09:49:21:541,140019,0.6,144097,0.8,293119,0.6,384428,2.00 144,1,2024-09-07 09:49:20:566,1026458,1026458,0,0,481901652742,5058776353,1015937,8530,1991,381,391733,0 144,2,2024-09-07 09:49:21:764,740938,740938,0,0,30062735,0,4443 144,3,2024-09-07 09:49:21:749,1,586,1,0,249,5705,586,0 145,0,2024-09-07 09:49:21:364,142972,0.6,142902,0.8,303320,0.5,390458,2.25 145,1,2024-09-07 09:49:20:552,1025867,1025867,0,0,481528628395,5070675943,1011855,11341,2671,382,391781,0 145,2,2024-09-07 09:49:21:429,738098,738016,82,0,36055273,0,7814 145,3,2024-09-07 09:49:20:895,1,586,0,0,622,7897,586,0 146,0,2024-09-07 09:49:21:640,148423,0.6,147808,0.7,297017,0.5,394454,2.00 146,1,2024-09-07 09:49:21:626,1027087,1027087,0,0,482147293652,5078016415,1009588,13018,4481,367,391770,0 146,2,2024-09-07 09:49:21:704,739340,739334,6,0,34577535,0,5151 146,3,2024-09-07 09:49:21:292,1,586,8,0,1520,9705,586,0 147,0,2024-09-07 09:49:21:711,148368,0.6,148129,0.7,296046,0.5,395147,2.25 147,1,2024-09-07 09:49:21:372,1030986,1030986,0,0,483283191221,5024499440,1022501,7518,967,367,391791,0 147,2,2024-09-07 09:49:21:013,742005,742005,0,0,30432147,0,2968 147,3,2024-09-07 09:49:20:916,1,586,120,0,1626,9446,586,0 0,0,2024-09-07 09:49:31:735,144003,0.6,143928,0.7,305611,0.6,394917,2.00 0,1,2024-09-07 09:49:30:816,1029309,1029309,0,0,483387360781,5062568904,1020780,7584,945,369,391896,0 0,2,2024-09-07 09:49:31:069,743199,743199,0,0,30453442,0,4480 0,3,2024-09-07 09:49:30:984,1,587,8,0,431,8639,587,0 1,0,2024-09-07 09:49:31:775,147824,0.8,146749,0.9,295134,1.0,394097,2.00 1,1,2024-09-07 09:49:30:559,1028619,1028619,0,0,482781700220,5058572298,1019188,7941,1490,370,391859,0 1,2,2024-09-07 09:49:30:652,742198,742198,0,0,29842482,0,3380 1,3,2024-09-07 09:49:31:321,1,587,2,0,269,7351,587,0 2,0,2024-09-07 09:49:31:582,145389,0.6,145174,0.7,290150,0.6,387727,2.00 2,1,2024-09-07 09:49:30:865,1032059,1032059,0,0,485105903882,5045264037,1025896,5213,950,379,391745,0 2,2,2024-09-07 09:49:31:272,745067,745067,0,0,28733052,0,3594 2,3,2024-09-07 09:49:30:698,1,587,6,0,357,5267,587,0 3,0,2024-09-07 09:49:31:744,147068,0.4,146835,0.6,293170,0.3,391166,2.00 3,1,2024-09-07 09:49:31:625,1030299,1030299,0,0,484042096679,5035880569,1022717,6879,703,379,391716,0 3,2,2024-09-07 09:49:31:151,741863,741840,23,0,29681660,0,5851 3,3,2024-09-07 09:49:31:761,1,587,0,0,484,4543,587,0 4,0,2024-09-07 09:49:31:800,142580,0.4,146441,0.5,298667,0.3,391149,1.75 4,1,2024-09-07 09:49:30:626,1026485,1026485,0,0,481087993868,5095801424,1008683,14048,3754,370,391992,0 4,2,2024-09-07 09:49:31:021,738069,738069,0,0,36449558,0,4534 4,3,2024-09-07 09:49:31:032,1,587,2,0,448,7282,587,0 5,0,2024-09-07 09:49:31:414,147780,0.4,147765,0.5,295777,0.3,393171,1.75 5,1,2024-09-07 09:49:30:756,1026641,1026641,0,0,482495496073,5097640363,1010327,12190,4124,367,392005,0 5,2,2024-09-07 09:49:31:837,739399,739399,0,0,35303771,0,3582 5,3,2024-09-07 09:49:31:735,1,587,1,0,457,8164,587,0 6,0,2024-09-07 09:49:30:917,146439,0.5,146039,0.7,292138,0.4,389495,2.00 6,1,2024-09-07 09:49:30:804,1029992,1029992,0,0,482977967373,5047268022,1018973,9446,1573,379,391702,0 6,2,2024-09-07 09:49:31:138,746313,746295,18,0,34406027,0,5535 6,3,2024-09-07 09:49:31:275,1,587,11,0,710,7242,587,0 7,0,2024-09-07 09:49:31:597,144470,0.5,145520,0.7,289168,0.5,386187,2.00 7,1,2024-09-07 09:49:30:850,1027709,1027709,0,0,482987825324,5089135543,1011387,12556,3766,382,391747,0 7,2,2024-09-07 09:49:30:777,739714,739714,0,0,32954440,0,4791 7,3,2024-09-07 09:49:30:853,1,587,1,0,552,6983,587,0 8,0,2024-09-07 09:49:31:352,147592,0.4,147281,0.5,294923,0.3,392584,1.75 8,1,2024-09-07 09:49:31:052,1027028,1027028,0,0,482017307617,5074203959,1010314,13128,3586,366,392853,0 8,2,2024-09-07 09:49:30:830,735765,735763,2,0,39271634,0,5112 8,3,2024-09-07 09:49:30:593,1,587,1,0,772,9453,587,0 9,0,2024-09-07 09:49:31:115,148510,0.4,144518,0.5,302414,0.3,396341,1.75 9,1,2024-09-07 09:49:30:555,1026865,1026865,0,0,481353539755,5078766607,1010188,13452,3225,369,392001,0 9,2,2024-09-07 09:49:31:093,740981,740980,1,0,37130295,0,5281 9,3,2024-09-07 09:49:31:766,1,587,125,0,1273,10156,587,0 10,0,2024-09-07 09:49:31:609,147395,0.3,146714,0.5,294774,0.3,391968,1.75 10,1,2024-09-07 09:49:30:587,1028605,1028605,0,0,482711235791,5069056142,1012891,12651,3063,381,391981,0 10,2,2024-09-07 09:49:30:766,744596,744596,0,0,39788819,0,4713 10,3,2024-09-07 09:49:30:883,1,587,6,0,669,6759,587,0 11,0,2024-09-07 09:49:31:027,144371,0.5,140057,0.6,292917,0.4,385969,1.75 11,1,2024-09-07 09:49:30:583,1030158,1030158,0,0,483570666903,5077174573,1014338,11517,4303,383,391766,0 11,2,2024-09-07 09:49:31:129,739998,739998,0,0,35228008,0,4698 11,3,2024-09-07 09:49:31:298,1,587,0,0,843,7768,587,0 12,0,2024-09-07 09:49:30:945,149642,0.4,149584,0.6,298799,0.4,397007,1.75 12,1,2024-09-07 09:49:30:945,1029897,1029897,0,0,482815124492,5037585228,1021545,7348,1004,370,391960,0 12,2,2024-09-07 09:49:31:554,739822,739822,0,0,33861211,0,4390 12,3,2024-09-07 09:49:31:060,1,587,1,0,386,7501,587,0 13,0,2024-09-07 09:49:31:338,149553,0.4,149700,0.5,298540,0.4,397886,1.75 13,1,2024-09-07 09:49:31:559,1027332,1027332,0,0,482921640648,5078549739,1016461,8482,2389,382,391768,0 13,2,2024-09-07 09:49:30:601,743562,743562,0,0,30252155,0,3287 13,3,2024-09-07 09:49:31:768,1,587,17,0,522,7868,587,0 14,0,2024-09-07 09:49:30:568,148478,0.4,149587,0.6,296823,0.3,395727,1.75 14,1,2024-09-07 09:49:31:561,1034716,1034716,0,0,485338212211,5025004154,1026261,7326,1129,364,391673,0 14,2,2024-09-07 09:49:30:770,743590,743560,30,0,31650907,0,6104 14,3,2024-09-07 09:49:31:126,1,587,0,0,1168,6521,587,0 15,0,2024-09-07 09:49:31:563,144646,0.4,143729,0.6,288743,0.4,384869,2.00 15,1,2024-09-07 09:49:31:607,1031861,1031861,0,0,484480044750,5047902121,1022792,7156,1913,381,391619,0 15,2,2024-09-07 09:49:31:008,745260,745260,0,0,27472382,0,3622 15,3,2024-09-07 09:49:31:411,1,587,0,0,1126,7867,587,0 16,0,2024-09-07 09:49:30:938,147962,0.6,148710,0.7,296666,0.6,395630,2.00 16,1,2024-09-07 09:49:30:567,1031794,1031794,0,0,483576130311,5057086895,1022516,7837,1441,370,392194,0 16,2,2024-09-07 09:49:31:441,740133,740133,0,0,31009465,0,4719 16,3,2024-09-07 09:49:31:149,1,587,7,0,358,7501,587,0 17,0,2024-09-07 09:49:31:778,152109,0.6,148323,0.8,290563,0.6,395180,2.00 17,1,2024-09-07 09:49:30:579,1029440,1029440,0,0,482527937035,5063806478,1019159,8512,1769,368,392075,0 17,2,2024-09-07 09:49:31:666,743858,743857,1,0,32292770,0,5050 17,3,2024-09-07 09:49:30:581,1,587,1,0,518,8718,587,0 18,0,2024-09-07 09:49:30:939,146234,0.6,147219,0.8,292919,0.7,391272,2.25 18,1,2024-09-07 09:49:31:659,1033663,1033663,0,0,484117230410,5023003666,1026603,5803,1257,367,391725,0 18,2,2024-09-07 09:49:31:758,744861,744861,0,0,28663612,0,3541 18,3,2024-09-07 09:49:30:901,1,587,1,0,1059,5512,587,0 19,0,2024-09-07 09:49:31:540,145180,0.6,145332,0.8,289575,0.6,385227,2.00 19,1,2024-09-07 09:49:30:570,1033543,1033543,0,0,485410444143,5032866267,1025803,6607,1133,367,391777,0 19,2,2024-09-07 09:49:31:752,748375,748375,0,0,27320946,0,3988 19,3,2024-09-07 09:49:31:132,1,587,2,0,524,4549,587,0 20,0,2024-09-07 09:49:31:354,146111,0.6,145909,0.7,291625,0.6,389417,2.00 20,1,2024-09-07 09:49:30:566,1029145,1029145,0,0,483271881150,5066202477,1018576,9063,1506,369,391922,0 20,2,2024-09-07 09:49:30:945,739911,739911,0,0,33815964,0,4321 20,3,2024-09-07 09:49:30:600,1,587,1,0,468,9589,587,0 21,0,2024-09-07 09:49:31:142,146793,0.5,146800,0.6,293654,0.4,389970,2.00 21,1,2024-09-07 09:49:31:558,1027398,1027398,0,0,482413304002,5096210787,1010545,13021,3832,368,392016,0 21,2,2024-09-07 09:49:31:069,735375,734898,477,0,44538428,0,17074 21,3,2024-09-07 09:49:31:415,1,587,16,0,713,8598,587,0 22,0,2024-09-07 09:49:31:716,148097,0.5,148672,0.7,296686,0.4,393428,2.00 22,1,2024-09-07 09:49:31:033,1028483,1028483,0,0,481556816575,5072939370,1010671,14272,3540,382,391822,0 22,2,2024-09-07 09:49:30:765,741015,740989,26,0,32353242,0,6328 22,3,2024-09-07 09:49:31:068,1,587,5,0,228,4987,587,0 23,0,2024-09-07 09:49:31:368,145968,0.5,145681,0.7,291384,0.5,388300,2.25 23,1,2024-09-07 09:49:31:007,1028363,1028363,0,0,483401665109,5098469858,1008892,12893,6578,365,391690,0 23,2,2024-09-07 09:49:31:095,746687,746687,0,0,31137720,0,3773 23,3,2024-09-07 09:49:31:761,1,587,1,0,855,8801,587,0 24,0,2024-09-07 09:49:30:840,145553,0.4,144890,0.6,291549,0.4,387424,1.75 24,1,2024-09-07 09:49:30:584,1028643,1028643,0,0,482435157669,5053610942,1018588,8346,1709,367,392269,0 24,2,2024-09-07 09:49:31:069,739765,739762,3,0,37637000,0,6294 24,3,2024-09-07 09:49:31:687,1,587,3,0,468,7602,587,0 25,0,2024-09-07 09:49:31:367,152065,0.5,147915,0.6,290614,0.4,395549,2.00 25,1,2024-09-07 09:49:30:569,1027062,1027062,0,0,482231363736,5100070465,1008306,15046,3710,369,391928,0 25,2,2024-09-07 09:49:31:608,738226,738226,0,0,38177811,0,3978 25,3,2024-09-07 09:49:31:017,1,587,10,0,532,6465,587,0 26,0,2024-09-07 09:49:31:729,147868,0.4,144532,0.6,303635,0.4,394964,1.75 26,1,2024-09-07 09:49:31:544,1030699,1030699,0,0,482959411962,5060565582,1016365,11801,2533,380,391748,0 26,2,2024-09-07 09:49:30:859,741479,741479,0,0,40974780,0,4689 26,3,2024-09-07 09:49:31:715,1,587,698,0,796,7536,587,0 27,0,2024-09-07 09:49:31:725,148435,0.4,149116,0.6,296408,0.4,395298,2.25 27,1,2024-09-07 09:49:31:676,1031176,1031176,0,0,484676860151,5054469031,1020783,8784,1609,381,391626,0 27,2,2024-09-07 09:49:30:885,740797,740732,65,0,35752804,0,5699 27,3,2024-09-07 09:49:31:024,1,587,8,0,564,5186,587,0 28,0,2024-09-07 09:49:31:389,144752,0.4,144801,0.6,289883,0.3,386375,2.00 28,1,2024-09-07 09:49:30:804,1031989,1031989,0,0,484928237860,5057294636,1023066,7032,1891,382,391904,0 28,2,2024-09-07 09:49:31:765,742658,742658,0,0,30618123,0,2915 28,3,2024-09-07 09:49:31:779,1,587,2,0,502,5878,587,0 29,0,2024-09-07 09:49:31:357,153216,0.4,149110,0.6,292696,0.4,398812,1.75 29,1,2024-09-07 09:49:31:561,1035272,1035272,0,0,485013322973,5018501475,1028919,5579,774,367,391809,0 29,2,2024-09-07 09:49:30:865,740973,740973,0,0,29742497,0,4986 29,3,2024-09-07 09:49:30:970,1,587,1,0,459,6472,587,0 30,0,2024-09-07 09:49:31:474,147331,0.5,143489,0.7,300461,0.4,392810,2.00 30,1,2024-09-07 09:49:30:574,1034163,1034163,0,0,486017928350,5043960810,1026601,6652,910,380,391672,0 30,2,2024-09-07 09:49:31:274,742768,742768,0,0,28406653,0,4192 30,3,2024-09-07 09:49:30:588,1,587,10,0,519,5567,587,0 31,0,2024-09-07 09:49:31:757,147582,0.4,148349,0.6,295594,0.4,394803,2.00 31,1,2024-09-07 09:49:30:570,1037308,1037308,0,0,486595173358,4998976952,1030854,5380,1074,356,391712,0 31,2,2024-09-07 09:49:31:274,741803,741803,0,0,31947338,0,4470 31,3,2024-09-07 09:49:31:706,1,587,2,0,239,5006,587,0 32,0,2024-09-07 09:49:31:431,145688,0.3,146596,0.5,291714,0.3,389126,1.75 32,1,2024-09-07 09:49:31:126,1033667,1033667,0,0,484848127448,5039462552,1027490,5459,718,381,391646,0 32,2,2024-09-07 09:49:30:945,746689,746689,0,0,28160027,0,3922 32,3,2024-09-07 09:49:31:026,1,587,13,0,304,4722,587,0 33,0,2024-09-07 09:49:31:501,147611,0.3,147230,0.4,294667,0.2,392176,1.50 33,1,2024-09-07 09:49:30:578,1033422,1033422,0,0,485732072410,5033394934,1024982,7168,1272,368,391730,0 33,2,2024-09-07 09:49:30:761,741681,741646,35,0,30976391,0,7012 33,3,2024-09-07 09:49:30:906,1,587,10,0,329,5203,587,0 34,0,2024-09-07 09:49:30:940,147062,0.3,151035,0.5,288978,0.2,390385,1.75 34,1,2024-09-07 09:49:31:071,1036021,1036021,0,0,486113099425,5001884350,1033062,2849,110,367,391637,0 34,2,2024-09-07 09:49:30:766,742330,742330,0,0,29464245,0,4562 34,3,2024-09-07 09:49:31:688,1,587,8,0,541,5462,587,0 35,0,2024-09-07 09:49:30:865,146898,0.3,147753,0.5,296367,0.2,393682,1.75 35,1,2024-09-07 09:49:31:070,1031996,1031996,0,0,484423030926,5029873835,1023889,6584,1523,382,391769,0 35,2,2024-09-07 09:49:31:589,742352,742352,0,0,32406268,0,4055 35,3,2024-09-07 09:49:30:907,1,587,1,0,466,5662,587,0 36,0,2024-09-07 09:49:31:517,146625,0.5,146601,0.7,292748,0.4,390328,2.00 36,1,2024-09-07 09:49:30:587,1030365,1030365,0,0,484063481194,5058340340,1016790,11335,2240,366,391759,0 36,2,2024-09-07 09:49:31:751,746208,746208,0,0,34009508,0,3875 36,3,2024-09-07 09:49:30:869,1,587,1,0,556,8206,587,0 37,0,2024-09-07 09:49:31:367,144462,0.5,144516,0.7,289271,0.5,386446,2.25 37,1,2024-09-07 09:49:30:573,1030356,1030349,0,7,483736773056,5054429220,1017705,9731,2913,365,391770,0 37,2,2024-09-07 09:49:31:162,737843,737828,15,0,33975265,0,5815 37,3,2024-09-07 09:49:31:769,1,587,0,0,888,7951,587,0 38,0,2024-09-07 09:49:31:448,146278,0.5,141792,0.7,296646,0.4,388505,2.00 38,1,2024-09-07 09:49:31:625,1030244,1030244,0,0,484019442202,5066597309,1014919,12326,2999,368,391821,0 38,2,2024-09-07 09:49:30:781,739940,739893,47,0,34185798,0,6710 38,3,2024-09-07 09:49:31:007,1,587,1,0,689,7382,587,0 39,0,2024-09-07 09:49:31:767,151855,0.5,148467,0.7,289498,0.5,395072,2.00 39,1,2024-09-07 09:49:30:721,1030005,1030005,0,0,483660934814,5074932147,1012564,13726,3715,365,391865,0 39,2,2024-09-07 09:49:31:418,742620,742620,0,0,32242799,0,3391 39,3,2024-09-07 09:49:30:721,1,587,1,0,525,6649,587,0 40,0,2024-09-07 09:49:31:501,146081,0.9,146708,1.0,292855,1.0,390265,2.75 40,1,2024-09-07 09:49:30:578,1030851,1030851,0,0,483062557458,5064867757,1015311,12472,3068,368,391668,0 40,2,2024-09-07 09:49:31:301,742713,742706,7,0,38850444,0,5347 40,3,2024-09-07 09:49:31:142,1,587,2,0,1028,8772,587,0 41,0,2024-09-07 09:49:31:026,143569,1.4,146899,1.2,280262,2.1,381075,3.25 41,1,2024-09-07 09:49:30:768,1029669,1029669,0,0,483590938534,5063750079,1015905,11606,2158,369,391878,0 41,2,2024-09-07 09:49:30:758,737571,737570,1,0,37006680,0,5408 41,3,2024-09-07 09:49:31:682,1,587,1,0,366,6383,587,0 42,0,2024-09-07 09:49:31:484,147539,1.0,147210,1.1,294572,1.2,390777,2.75 42,1,2024-09-07 09:49:31:444,1027290,1027290,0,0,482062743525,5070050276,1010801,13557,2932,380,391675,0 42,2,2024-09-07 09:49:31:137,738921,738920,1,0,37224387,0,5513 42,3,2024-09-07 09:49:31:013,1,587,2,0,892,5590,587,0 43,0,2024-09-07 09:49:30:917,147306,0.7,143434,0.9,300326,0.7,392863,2.25 43,1,2024-09-07 09:49:30:578,1031079,1031079,0,0,484191609205,5063892481,1016341,12166,2572,366,391696,0 43,2,2024-09-07 09:49:31:736,742167,742167,0,0,35248600,0,4723 43,3,2024-09-07 09:49:31:751,1,587,1,0,571,8667,587,0 44,0,2024-09-07 09:49:30:898,148787,0.4,148753,0.6,297912,0.3,396158,1.75 44,1,2024-09-07 09:49:30:567,1033627,1033627,0,0,484928874005,5015199120,1024266,7420,1941,356,391809,0 44,2,2024-09-07 09:49:31:276,741296,741296,0,0,28429459,0,4344 44,3,2024-09-07 09:49:31:100,1,587,1,0,1097,7333,587,0 45,0,2024-09-07 09:49:31:763,143019,0.5,139720,0.7,293280,0.5,385024,2.00 45,1,2024-09-07 09:49:31:025,1032447,1032447,0,0,485290569659,5044549445,1024196,7348,903,382,391917,0 45,2,2024-09-07 09:49:31:276,744700,744700,0,0,28907417,0,3596 45,3,2024-09-07 09:49:30:942,1,587,13,0,531,5716,587,0 46,0,2024-09-07 09:49:30:951,147376,0.5,146985,0.7,294944,0.5,392107,2.00 46,1,2024-09-07 09:49:30:578,1034744,1034744,0,0,485911517944,5024476830,1027254,6502,988,366,391709,0 46,2,2024-09-07 09:49:30:605,741609,741609,0,0,29531960,0,4443 46,3,2024-09-07 09:49:31:140,1,587,8,0,908,7164,587,0 47,0,2024-09-07 09:49:31:112,147919,0.4,147800,0.6,296844,0.4,393541,2.00 47,1,2024-09-07 09:49:30:573,1035382,1035382,0,0,485881287338,5021220447,1029284,5236,862,365,391641,0 47,2,2024-09-07 09:49:30:908,744505,744505,0,0,28545289,0,4477 47,3,2024-09-07 09:49:31:126,1,587,25,0,600,6575,587,0 48,0,2024-09-07 09:49:31:509,147885,0.3,148035,0.4,295670,0.2,393099,1.50 48,1,2024-09-07 09:49:31:030,1033141,1033141,0,0,484191606580,5029915991,1027043,5520,578,381,391710,0 48,2,2024-09-07 09:49:30:703,742898,742898,0,0,27026127,0,3524 48,3,2024-09-07 09:49:30:756,1,587,1,0,339,4872,587,0 49,0,2024-09-07 09:49:31:713,149540,0.3,146612,0.5,285370,0.3,389440,1.75 49,1,2024-09-07 09:49:31:026,1031939,1031939,0,0,484154712673,5038019651,1024670,5575,1694,382,391809,0 49,2,2024-09-07 09:49:31:814,747125,747125,0,0,29375698,0,4426 49,3,2024-09-07 09:49:31:416,1,587,1,0,992,7065,587,0 50,0,2024-09-07 09:49:31:509,146809,0.3,145098,0.5,292354,0.2,389451,1.75 50,1,2024-09-07 09:49:31:014,1035317,1035317,0,0,486580713099,5030609733,1028816,5832,669,368,391691,0 50,2,2024-09-07 09:49:31:067,739876,739876,0,0,26913332,0,4490 50,3,2024-09-07 09:49:31:298,1,587,6,0,617,6132,587,0 51,0,2024-09-07 09:49:31:684,150612,0.3,147591,0.5,287182,0.2,391630,1.75 51,1,2024-09-07 09:49:31:680,1036176,1036176,0,0,486618110984,5019206934,1030521,4575,1080,365,391706,0 51,2,2024-09-07 09:49:31:320,741627,741627,0,0,26592069,0,3337 51,3,2024-09-07 09:49:31:036,1,587,1,0,678,4259,587,0 52,0,2024-09-07 09:49:31:412,148709,0.5,148413,0.7,297084,0.4,394405,2.00 52,1,2024-09-07 09:49:30:578,1030507,1030507,0,0,483751115941,5065762916,1015488,12689,2330,368,391805,0 52,2,2024-09-07 09:49:31:763,739253,739215,38,0,36048832,0,6742 52,3,2024-09-07 09:49:30:679,1,587,1,0,1782,7062,587,0 53,0,2024-09-07 09:49:31:734,145708,0.7,141423,0.8,295761,0.7,387427,2.50 53,1,2024-09-07 09:49:30:771,1028970,1028970,0,0,482698939089,5069887399,1010789,13786,4395,367,391968,0 53,2,2024-09-07 09:49:31:299,745570,745569,1,0,32657713,0,5455 53,3,2024-09-07 09:49:30:702,1,587,0,0,308,5569,587,0 54,0,2024-09-07 09:49:31:620,143128,0.6,143443,0.8,285656,0.5,381969,2.25 54,1,2024-09-07 09:49:30:588,1031797,1031797,0,0,484540629496,5042631331,1020695,9432,1670,366,391810,0 54,2,2024-09-07 09:49:30:865,740633,740601,32,0,36191852,0,6397 54,3,2024-09-07 09:49:30:763,1,587,1,0,676,7754,587,0 55,0,2024-09-07 09:49:31:765,142713,0.7,147123,0.8,298300,0.6,388315,2.50 55,1,2024-09-07 09:49:30:778,1032045,1032045,0,0,483957046914,5036122217,1021190,9466,1389,365,391731,0 55,2,2024-09-07 09:49:30:736,739198,739142,56,0,33679574,0,7239 55,3,2024-09-07 09:49:30:679,1,587,4,0,304,5458,587,0 56,0,2024-09-07 09:49:31:563,151427,1.2,142681,1.1,294060,1.5,393510,2.75 56,1,2024-09-07 09:49:30:574,1025648,1025648,0,0,482463401802,5107412439,1007696,14267,3685,381,391867,0 56,2,2024-09-07 09:49:31:305,740948,740826,122,0,35932639,0,7432 56,3,2024-09-07 09:49:31:059,1,587,1,0,705,6879,587,0 57,0,2024-09-07 09:49:30:945,146726,1.5,146535,1.2,293330,2.0,391776,3.25 57,1,2024-09-07 09:49:30:999,1028232,1028232,0,0,482326034621,5067907202,1013813,11930,2489,366,392032,0 57,2,2024-09-07 09:49:31:319,743060,743060,0,0,37606750,0,4804 57,3,2024-09-07 09:49:31:738,1,587,1,0,455,6995,587,0 58,0,2024-09-07 09:49:30:555,142350,1.0,138201,1.0,289155,1.3,378739,2.75 58,1,2024-09-07 09:49:30:577,1029990,1029987,0,3,483645299811,5074938829,1013845,12241,3901,367,391726,3 58,2,2024-09-07 09:49:31:070,742510,742510,0,0,35321620,0,3483 58,3,2024-09-07 09:49:31:068,1,587,8,0,1043,6662,587,0 59,0,2024-09-07 09:49:31:741,147498,0.9,147001,1.0,293815,1.1,389900,3.00 59,1,2024-09-07 09:49:30:804,1028590,1028590,0,0,483635447179,5087485811,1010771,14152,3667,369,391653,0 59,2,2024-09-07 09:49:30:591,740857,740857,0,0,33368622,0,3727 59,3,2024-09-07 09:49:31:737,1,587,20,0,1015,7320,587,0 60,0,2024-09-07 09:49:31:705,148053,0.5,148188,0.7,296517,0.5,395182,1.75 60,1,2024-09-07 09:49:30:781,1033692,1033692,0,0,485467642231,5047076283,1025748,6857,1087,370,392031,0 60,2,2024-09-07 09:49:31:141,742421,742421,0,0,31403512,0,3811 60,3,2024-09-07 09:49:31:264,1,587,12,0,409,6917,587,0 61,0,2024-09-07 09:49:31:507,147779,0.6,148296,0.8,295311,0.6,394129,2.00 61,1,2024-09-07 09:49:30:779,1030100,1030100,0,0,483387151337,5062748274,1018982,9439,1679,382,392127,0 61,2,2024-09-07 09:49:31:127,742693,742626,67,0,31999329,0,6411 61,3,2024-09-07 09:49:31:687,1,587,1,0,607,7800,587,0 62,0,2024-09-07 09:49:31:727,145959,0.6,149807,0.8,285935,0.6,389165,2.00 62,1,2024-09-07 09:49:31:127,1036849,1036843,0,6,486672796489,5018798811,1031881,4664,298,365,391975,6 62,2,2024-09-07 09:49:31:648,743000,742999,1,0,32113524,0,5555 62,3,2024-09-07 09:49:31:153,1,587,1,0,482,4798,587,0 63,0,2024-09-07 09:49:31:473,147581,0.4,147402,0.6,295455,0.4,392395,1.75 63,1,2024-09-07 09:49:30:822,1033124,1033118,0,6,484954109292,5037677023,1026272,5991,855,381,391800,6 63,2,2024-09-07 09:49:30:766,741061,741061,0,0,30257265,0,4369 63,3,2024-09-07 09:49:31:735,1,587,8,0,667,6164,587,0 64,0,2024-09-07 09:49:31:531,146087,0.5,146054,0.7,291545,0.4,388855,2.00 64,1,2024-09-07 09:49:30:756,1032235,1032235,0,0,485557581793,5058343785,1022913,7346,1976,370,391794,0 64,2,2024-09-07 09:49:31:141,745130,745111,19,0,29227468,0,6121 64,3,2024-09-07 09:49:31:143,1,587,1,0,651,6801,587,0 65,0,2024-09-07 09:49:31:697,146617,0.6,146879,0.7,293490,0.6,391042,2.00 65,1,2024-09-07 09:49:30:865,1030509,1030509,0,0,483223592345,5051931488,1023085,6551,873,381,391901,0 65,2,2024-09-07 09:49:31:692,741530,741530,0,0,35112612,0,3367 65,3,2024-09-07 09:49:31:684,1,587,1,0,782,6626,587,0 66,0,2024-09-07 09:49:31:772,145706,0.5,145278,0.8,290763,0.5,387416,2.25 66,1,2024-09-07 09:49:31:310,1033123,1033123,0,0,485198073199,5049416874,1026627,5774,722,380,391743,0 66,2,2024-09-07 09:49:31:141,748696,748693,3,0,31567868,0,5455 66,3,2024-09-07 09:49:31:079,1,587,8,0,291,5058,587,0 67,0,2024-09-07 09:49:31:411,144958,0.5,144751,0.7,290488,0.5,386907,2.00 67,1,2024-09-07 09:49:30:767,1032034,1032033,0,1,485876818357,5059503553,1024708,6381,944,380,391787,1 67,2,2024-09-07 09:49:30:584,742934,742919,15,0,31041000,0,6205 67,3,2024-09-07 09:49:31:750,1,587,1,0,595,6230,587,0 68,0,2024-09-07 09:49:30:570,147226,0.6,147120,0.8,292846,0.6,391776,2.25 68,1,2024-09-07 09:49:30:573,1027932,1027932,0,0,482338615996,5074238531,1014152,10250,3530,381,391953,0 68,2,2024-09-07 09:49:31:070,736743,736643,100,0,39282057,0,8578 68,3,2024-09-07 09:49:30:745,1,587,1,0,417,7720,587,0 69,0,2024-09-07 09:49:31:732,147879,0.7,148708,0.8,296545,0.7,393854,2.25 69,1,2024-09-07 09:49:31:036,1027041,1027041,0,0,482180050060,5084219198,1013191,11019,2831,383,391994,0 69,2,2024-09-07 09:49:31:732,740113,740084,29,0,41525869,0,6912 69,3,2024-09-07 09:49:30:768,1,587,1,0,698,8581,587,0 70,0,2024-09-07 09:49:31:567,145840,0.8,146337,0.9,294238,0.7,389108,2.50 70,1,2024-09-07 09:49:30:810,1033688,1033688,0,0,485631034030,5040611276,1025782,7100,806,366,391725,0 70,2,2024-09-07 09:49:31:325,744752,744752,0,0,34590896,0,4323 70,3,2024-09-07 09:49:30:746,1,587,3,0,854,6596,587,0 71,0,2024-09-07 09:49:31:364,143109,1.1,142837,1.1,286864,1.5,383049,2.75 71,1,2024-09-07 09:49:31:599,1031473,1031473,0,0,483830990750,5053170609,1018486,11366,1621,368,391738,0 71,2,2024-09-07 09:49:31:069,740416,740416,0,0,34620296,0,4352 71,3,2024-09-07 09:49:31:750,1,587,2,0,644,6734,587,0 72,0,2024-09-07 09:49:31:097,153380,0.6,149899,0.7,292597,0.6,397836,2.00 72,1,2024-09-07 09:49:31:050,1029036,1029036,0,0,483280122525,5073848054,1013742,12779,2515,369,391819,0 72,2,2024-09-07 09:49:31:761,738943,738943,0,0,36335197,0,3983 72,3,2024-09-07 09:49:31:760,1,587,98,0,564,8780,587,0 73,0,2024-09-07 09:49:31:139,144954,0.4,148687,0.6,303970,0.4,395441,2.00 73,1,2024-09-07 09:49:30:769,1031096,1031096,0,0,484252103252,5037218390,1022698,7418,980,367,391858,0 73,2,2024-09-07 09:49:31:738,741556,741555,1,0,37839057,0,5027 73,3,2024-09-07 09:49:30:971,1,587,11,0,1091,8347,587,0 74,0,2024-09-07 09:49:31:334,149582,0.5,153203,0.7,292267,0.4,396527,2.00 74,1,2024-09-07 09:49:30:635,1030169,1030169,0,0,483949073703,5056031168,1018268,9785,2116,381,391762,0 74,2,2024-09-07 09:49:31:020,741715,741715,0,0,33035631,0,4253 74,3,2024-09-07 09:49:31:454,1,587,1,0,522,7400,587,0 75,0,2024-09-07 09:49:31:766,145082,0.6,144002,0.8,289111,0.5,386457,2.25 75,1,2024-09-07 09:49:31:589,1031475,1031475,0,0,483372282732,5041151751,1022855,7752,868,380,391739,0 75,2,2024-09-07 09:49:31:350,742284,742284,0,0,40156179,0,4766 75,3,2024-09-07 09:49:31:068,1,587,0,0,918,8107,587,0 76,0,2024-09-07 09:49:30:624,147611,0.6,147055,0.8,294133,0.6,394091,2.25 76,1,2024-09-07 09:49:30:826,1031264,1031264,0,0,484648148386,5055645999,1023945,6330,989,382,391790,0 76,2,2024-09-07 09:49:31:071,742915,742912,3,0,32226271,0,5265 76,3,2024-09-07 09:49:31:149,1,587,1,0,227,5441,587,0 77,0,2024-09-07 09:49:31:720,147137,0.5,147732,0.7,295371,0.5,392802,2.00 77,1,2024-09-07 09:49:30:838,1030953,1030953,0,0,484879150108,5059918231,1022978,7100,875,381,391869,0 77,2,2024-09-07 09:49:31:283,741132,741132,0,0,31480608,0,3890 77,3,2024-09-07 09:49:31:100,1,587,3,0,401,6786,587,0 78,0,2024-09-07 09:49:31:721,148112,0.4,147548,0.6,295704,0.4,391724,2.00 78,1,2024-09-07 09:49:30:614,1031306,1031306,0,0,483633316113,5047641535,1018467,9922,2917,367,391670,0 78,2,2024-09-07 09:49:31:405,743729,743716,13,0,29458087,0,8313 78,3,2024-09-07 09:49:31:136,1,587,0,0,311,5014,587,0 79,0,2024-09-07 09:49:31:350,140700,0.4,143976,0.6,295040,0.3,384163,2.00 79,1,2024-09-07 09:49:30:573,1034640,1034640,0,0,485990877251,5032543914,1026796,6484,1360,367,391682,0 79,2,2024-09-07 09:49:31:069,746172,746172,0,0,28680174,0,4195 79,3,2024-09-07 09:49:30:750,1,587,1,0,418,7066,587,0 80,0,2024-09-07 09:49:31:103,145965,0.5,149884,0.7,286730,0.5,388433,2.00 80,1,2024-09-07 09:49:31:626,1031596,1031596,0,0,484250357374,5043577008,1024582,6563,451,368,392269,0 80,2,2024-09-07 09:49:31:100,742838,742838,0,0,28928879,0,4433 80,3,2024-09-07 09:49:30:581,1,587,1,0,681,7334,587,0 81,0,2024-09-07 09:49:31:539,146754,0.6,150069,0.7,286570,0.5,388850,2.00 81,1,2024-09-07 09:49:31:666,1030085,1030085,0,0,483199687723,5050960700,1021938,7383,764,382,391885,0 81,2,2024-09-07 09:49:31:134,740193,740130,63,0,32275953,0,5932 81,3,2024-09-07 09:49:31:126,1,587,1,0,719,6956,587,0 82,0,2024-09-07 09:49:31:537,148029,0.5,148177,0.7,297073,0.4,393412,2.00 82,1,2024-09-07 09:49:30:590,1032911,1032907,0,4,483910999456,5031306300,1027339,4745,823,381,391768,4 82,2,2024-09-07 09:49:31:701,744836,744836,0,0,27410969,0,4484 82,3,2024-09-07 09:49:31:751,1,587,40,0,363,5653,587,0 83,0,2024-09-07 09:49:31:548,146381,0.6,146400,0.7,292060,0.6,387658,2.25 83,1,2024-09-07 09:49:30:561,1031045,1031045,0,0,484237490533,5049214891,1023504,6896,645,382,391709,0 83,2,2024-09-07 09:49:30:764,746080,746055,25,0,29565264,0,5612 83,3,2024-09-07 09:49:30:750,1,587,1,0,1260,7111,587,0 84,0,2024-09-07 09:49:31:778,143148,0.8,143332,0.9,286654,0.8,383514,2.50 84,1,2024-09-07 09:49:31:044,1029230,1029230,0,0,483635214505,5060150160,1017188,10371,1671,367,391967,0 84,2,2024-09-07 09:49:30:578,738865,738455,410,0,43099461,0,17037 84,3,2024-09-07 09:49:31:156,1,587,6,0,908,7970,587,0 85,0,2024-09-07 09:49:31:088,141903,0.7,141882,0.8,301291,0.7,388646,2.25 85,1,2024-09-07 09:49:30:566,1026733,1026733,0,0,481682473863,5089968432,1010434,13503,2796,381,392092,0 85,2,2024-09-07 09:49:30:864,739621,739621,0,0,36261149,0,4255 85,3,2024-09-07 09:49:30:692,1,587,16,0,789,6829,587,0 86,0,2024-09-07 09:49:30:876,148325,0.7,152399,0.8,291503,0.7,394191,2.25 86,1,2024-09-07 09:49:30:831,1029457,1029457,0,0,483910376429,5077340755,1015635,11137,2685,366,392169,0 86,2,2024-09-07 09:49:30:854,741109,741108,1,0,38508862,0,5004 86,3,2024-09-07 09:49:30:592,1,587,0,0,308,8318,587,0 87,0,2024-09-07 09:49:31:286,148415,0.8,147976,0.8,295842,1.0,395082,2.25 87,1,2024-09-07 09:49:30:555,1028939,1028939,0,0,483626156930,5069774200,1015569,11574,1796,366,392076,0 87,2,2024-09-07 09:49:31:087,742648,742642,6,0,35092091,0,6323 87,3,2024-09-07 09:49:31:797,1,587,1,0,473,8492,587,0 88,0,2024-09-07 09:49:31:551,144565,0.5,144944,0.6,289785,0.4,386392,2.00 88,1,2024-09-07 09:49:30:594,1027885,1027885,0,0,482806729082,5065692280,1012515,12326,3044,365,392084,0 88,2,2024-09-07 09:49:30:696,741797,741797,0,0,37899308,0,4465 88,3,2024-09-07 09:49:31:271,1,587,4,0,1080,9194,587,0 89,0,2024-09-07 09:49:31:811,153031,0.5,148528,0.7,292947,0.4,398448,1.75 89,1,2024-09-07 09:49:30:561,1027389,1027389,0,0,483834794900,5092135360,1014410,11036,1943,382,391866,0 89,2,2024-09-07 09:49:31:135,739913,739913,0,0,35626480,0,3173 89,3,2024-09-07 09:49:31:810,1,587,0,0,468,10074,587,0 90,0,2024-09-07 09:49:31:615,143722,0.5,147586,0.6,301292,0.4,393215,2.00 90,1,2024-09-07 09:49:30:600,1029759,1029759,0,0,483601814607,5068359163,1019668,9199,892,380,391825,0 90,2,2024-09-07 09:49:31:406,738646,738641,5,0,39196648,0,6370 90,3,2024-09-07 09:49:30:944,1,587,3,0,322,7263,587,0 91,0,2024-09-07 09:49:30:929,148261,0.4,143891,0.6,301035,0.4,394753,1.75 91,1,2024-09-07 09:49:30:591,1026848,1026848,0,0,483146376970,5095077554,1011006,12695,3147,381,392047,0 91,2,2024-09-07 09:49:31:331,741394,741394,0,0,34462287,0,4713 91,3,2024-09-07 09:49:30:598,1,587,1,0,216,5360,587,0 92,0,2024-09-07 09:49:31:516,147120,0.5,150347,0.6,286796,0.5,389080,1.75 92,1,2024-09-07 09:49:30:588,1030718,1030718,0,0,484687793273,5064185767,1022363,7068,1287,381,392136,0 92,2,2024-09-07 09:49:31:352,745049,745049,0,0,30165194,0,3259 92,3,2024-09-07 09:49:31:008,1,587,1,0,167,5109,587,0 93,0,2024-09-07 09:49:30:963,147875,0.4,151588,0.6,289439,0.4,392424,1.75 93,1,2024-09-07 09:49:30:821,1030790,1030790,0,0,483779924200,5051777435,1019266,9597,1927,366,391776,0 93,2,2024-09-07 09:49:30:945,740853,740853,0,0,35767327,0,4913 93,3,2024-09-07 09:49:31:413,1,587,2,0,294,5820,587,0 94,0,2024-09-07 09:49:31:606,146237,0.4,147272,0.5,294558,0.3,391374,1.75 94,1,2024-09-07 09:49:30:567,1031650,1031650,0,0,483511566469,5044501708,1024716,6503,431,381,391850,0 94,2,2024-09-07 09:49:30:766,741216,741188,28,0,30682220,0,6179 94,3,2024-09-07 09:49:31:689,1,587,1,0,576,7419,587,0 95,0,2024-09-07 09:49:31:350,147673,0.3,147780,0.5,295654,0.3,393631,1.75 95,1,2024-09-07 09:49:30:856,1032659,1032659,0,0,484315672006,5035274981,1024233,7679,747,365,391852,0 95,2,2024-09-07 09:49:31:021,741483,741483,0,0,30202377,0,3308 95,3,2024-09-07 09:49:31:734,1,587,0,0,718,9367,587,0 96,0,2024-09-07 09:49:31:039,146149,0.4,146521,0.5,292973,0.3,389643,1.75 96,1,2024-09-07 09:49:31:630,1030911,1030911,0,0,484174601910,5050745047,1023561,6078,1272,384,391964,0 96,2,2024-09-07 09:49:31:278,746691,746691,0,0,31398022,0,4180 96,3,2024-09-07 09:49:31:157,1,587,2,0,411,6886,587,0 97,0,2024-09-07 09:49:31:451,145204,0.3,145028,0.5,291035,0.3,386729,1.75 97,1,2024-09-07 09:49:30:779,1032601,1032601,0,0,484837788450,5032975447,1025690,5877,1034,367,392140,0 97,2,2024-09-07 09:49:30:616,741505,741505,0,0,30781387,0,4046 97,3,2024-09-07 09:49:30:573,1,587,3,0,242,6607,587,0 98,0,2024-09-07 09:49:31:695,146883,0.3,146942,0.5,294806,0.3,391853,1.50 98,1,2024-09-07 09:49:30:578,1031621,1031621,0,0,484195858001,5044990539,1025149,5637,835,381,391997,0 98,2,2024-09-07 09:49:30:782,741173,741173,0,0,29614201,0,4336 98,3,2024-09-07 09:49:30:703,1,587,1,0,840,8873,587,0 99,0,2024-09-07 09:49:31:479,148781,0.3,149520,0.5,297438,0.3,396551,1.75 99,1,2024-09-07 09:49:31:724,1032752,1032752,0,0,483604954136,5034171799,1026392,5418,942,380,392069,0 99,2,2024-09-07 09:49:31:426,742498,742498,0,0,36633156,0,4276 99,3,2024-09-07 09:49:30:582,1,587,6,0,1124,7483,587,0 100,0,2024-09-07 09:49:31:494,147113,0.8,147401,0.9,294399,0.8,392736,2.50 100,1,2024-09-07 09:49:30:558,1026581,1026581,0,0,481119404170,5090109079,1010574,12694,3313,378,391989,0 100,2,2024-09-07 09:49:31:818,741097,740708,389,0,40304704,0,16909 100,3,2024-09-07 09:49:31:732,1,587,17,0,627,10047,587,0 101,0,2024-09-07 09:49:31:767,147423,1.3,143807,1.1,281359,1.4,384776,2.50 101,1,2024-09-07 09:49:30:562,1027964,1027964,0,0,482814783884,5076325502,1013928,11509,2527,368,391847,0 101,2,2024-09-07 09:49:31:760,736548,736548,0,0,40047108,0,4871 101,3,2024-09-07 09:49:30:944,1,587,1,0,1250,8950,587,0 102,0,2024-09-07 09:49:30:947,144564,0.7,148909,0.8,302428,0.7,395370,2.25 102,1,2024-09-07 09:49:31:150,1028213,1028213,0,0,482255931732,5069631523,1014112,11904,2197,369,391984,0 102,2,2024-09-07 09:49:31:738,741484,741430,54,0,34232482,0,6768 102,3,2024-09-07 09:49:31:615,1,587,1,0,466,6879,587,0 103,0,2024-09-07 09:49:31:615,153646,0.6,153649,0.7,289451,0.6,398733,2.00 103,1,2024-09-07 09:49:31:625,1026282,1026282,0,0,481944024118,5094015685,1009394,13293,3595,381,392077,0 103,2,2024-09-07 09:49:30:587,739703,739703,0,0,37091938,0,3766 103,3,2024-09-07 09:49:30:757,1,587,1,0,916,7093,587,0 104,0,2024-09-07 09:49:31:082,147584,0.7,147729,0.9,294859,0.7,394634,2.25 104,1,2024-09-07 09:49:31:609,1029247,1029247,0,0,482873448283,5078336837,1013733,12672,2842,365,392168,0 104,2,2024-09-07 09:49:31:677,739482,739482,0,0,36775850,0,4161 104,3,2024-09-07 09:49:31:420,1,587,16,0,1245,10577,587,0 105,0,2024-09-07 09:49:31:080,143159,1.0,139530,1.1,292290,1.2,384101,2.75 105,1,2024-09-07 09:49:30:560,1030574,1030574,0,0,483882972422,5073721661,1017018,11618,1938,364,392009,0 105,2,2024-09-07 09:49:31:329,742673,742673,0,0,36911846,0,4360 105,3,2024-09-07 09:49:31:312,1,587,1,0,573,9149,587,0 106,0,2024-09-07 09:49:30:941,143072,0.9,146529,1.0,300169,1.1,392656,2.50 106,1,2024-09-07 09:49:31:751,1029487,1029487,0,0,482371345312,5067148333,1014841,12752,1894,368,391914,0 106,2,2024-09-07 09:49:30:757,739320,739320,0,0,34753806,0,3331 106,3,2024-09-07 09:49:30:679,1,587,1,0,1224,8401,587,0 107,0,2024-09-07 09:49:31:113,147390,1.0,147471,0.9,294995,1.2,393499,2.25 107,1,2024-09-07 09:49:30:588,1026404,1026404,0,0,481406898673,5092407957,1009042,15230,2132,381,392234,0 107,2,2024-09-07 09:49:31:290,738437,738436,1,0,37877305,0,5024 107,3,2024-09-07 09:49:31:760,1,587,1,0,733,9029,587,0 108,0,2024-09-07 09:49:31:797,147458,0.4,147971,0.6,295016,0.4,393209,1.75 108,1,2024-09-07 09:49:31:317,1030769,1030769,0,0,483995210487,5050260623,1022090,7685,994,367,391894,0 108,2,2024-09-07 09:49:31:760,741865,741865,0,0,32473057,0,4246 108,3,2024-09-07 09:49:31:330,1,587,1,0,749,10801,587,0 109,0,2024-09-07 09:49:31:786,146373,0.4,145105,0.6,291134,0.3,388581,1.75 109,1,2024-09-07 09:49:30:587,1027935,1027935,0,0,483982269017,5078774513,1018469,8190,1276,382,392132,0 109,2,2024-09-07 09:49:30:921,743940,743940,0,0,33416345,0,3617 109,3,2024-09-07 09:49:31:140,1,587,3,0,630,7266,587,0 110,0,2024-09-07 09:49:31:748,146065,0.4,142027,0.6,297457,0.4,390285,1.75 110,1,2024-09-07 09:49:31:644,1032629,1032629,0,0,484649739993,5033677535,1024594,6110,1925,368,392045,0 110,2,2024-09-07 09:49:31:318,740949,740949,0,0,30786517,0,4067 110,3,2024-09-07 09:49:30:702,1,587,1,0,722,8015,587,0 111,0,2024-09-07 09:49:31:453,147535,0.4,146709,0.5,293518,0.3,391201,1.75 111,1,2024-09-07 09:49:31:013,1033901,1033901,0,0,485418080988,5035922112,1028421,5099,381,380,391690,0 111,2,2024-09-07 09:49:31:132,740954,740954,0,0,31267126,0,4823 111,3,2024-09-07 09:49:30:914,1,587,1,0,379,6502,587,0 112,0,2024-09-07 09:49:30:910,149062,0.3,148402,0.4,297544,0.2,394696,1.50 112,1,2024-09-07 09:49:30:829,1032766,1032766,0,0,484531128547,5033471249,1025574,5967,1225,380,391624,0 112,2,2024-09-07 09:49:31:138,742668,742667,1,0,29624056,0,5036 112,3,2024-09-07 09:49:30:592,1,587,1,0,282,5714,587,0 113,0,2024-09-07 09:49:30:913,146546,0.3,146536,0.5,293342,0.2,390096,1.75 113,1,2024-09-07 09:49:31:685,1034723,1034723,0,0,486162422260,5017951521,1028499,5277,947,365,391664,0 113,2,2024-09-07 09:49:31:321,748353,748353,0,0,28087023,0,3813 113,3,2024-09-07 09:49:30:690,1,587,1,0,510,6341,587,0 114,0,2024-09-07 09:49:30:874,145100,0.3,146048,0.5,290769,0.2,389074,1.75 114,1,2024-09-07 09:49:30:729,1033109,1033109,0,0,484616821767,5033929778,1025186,6177,1746,381,391565,0 114,2,2024-09-07 09:49:30:872,742476,742475,1,0,29173489,0,5069 114,3,2024-09-07 09:49:31:290,1,587,10,0,395,4677,587,0 115,0,2024-09-07 09:49:30:556,148558,0.3,149055,0.4,296770,0.2,394769,1.50 115,1,2024-09-07 09:49:30:570,1033046,1033046,0,0,484775542733,5038184639,1024802,6727,1517,382,391757,0 115,2,2024-09-07 09:49:31:130,742962,742962,0,0,29255407,0,4382 115,3,2024-09-07 09:49:31:005,1,587,1,0,167,3535,587,0 116,0,2024-09-07 09:49:32:087,148263,0.7,148005,0.8,296787,0.6,396454,2.00 116,1,2024-09-07 09:49:30:825,1027942,1027942,0,0,482011388581,5083886298,1015307,9546,3089,380,392089,0 116,2,2024-09-07 09:49:31:762,741815,741815,0,0,36794102,0,4475 116,3,2024-09-07 09:49:30:914,1,587,1,0,448,7712,587,0 117,0,2024-09-07 09:49:30:964,148736,0.7,147988,0.8,296544,0.7,396448,2.00 117,1,2024-09-07 09:49:31:612,1029120,1029120,0,0,482217828225,5052488843,1017509,10090,1521,369,392033,0 117,2,2024-09-07 09:49:31:127,745173,745173,0,0,33308175,0,4303 117,3,2024-09-07 09:49:31:060,1,587,4,0,490,7619,587,0 118,0,2024-09-07 09:49:31:765,140199,0.6,144000,0.7,293880,0.5,384915,2.00 118,1,2024-09-07 09:49:30:587,1028013,1028013,0,0,482323449176,5074821467,1012266,12126,3621,366,392054,0 118,2,2024-09-07 09:49:31:586,741439,741439,0,0,35394349,0,2842 118,3,2024-09-07 09:49:31:764,1,587,1,0,289,7019,587,0 119,0,2024-09-07 09:49:31:336,148509,0.8,149329,0.9,297755,0.9,396853,2.25 119,1,2024-09-07 09:49:30:549,1028992,1028992,0,0,483347491953,5073222606,1014303,12177,2512,367,391857,0 119,2,2024-09-07 09:49:31:270,741551,741551,0,0,33332072,0,4309 119,3,2024-09-07 09:49:31:325,1,587,1,0,1358,10993,587,0 120,0,2024-09-07 09:49:31:562,147332,0.7,147188,0.8,295577,0.7,394267,2.25 120,1,2024-09-07 09:49:30:883,1030175,1030175,0,0,482546765795,5065624254,1018516,10519,1140,367,392144,0 120,2,2024-09-07 09:49:30:791,740484,740481,3,0,39998046,0,5363 120,3,2024-09-07 09:49:31:299,1,587,1,0,279,7211,587,0 121,0,2024-09-07 09:49:31:704,148043,1.1,147420,1.0,295305,1.4,393608,2.25 121,1,2024-09-07 09:49:31:666,1029486,1029486,0,0,482932438984,5057824668,1018210,9726,1550,366,391840,0 121,2,2024-09-07 09:49:31:130,740830,740830,0,0,36460391,0,4157 121,3,2024-09-07 09:49:30:735,1,587,2,0,387,7918,587,0 122,0,2024-09-07 09:49:31:824,145006,0.8,141120,0.9,295526,0.9,389086,2.25 122,1,2024-09-07 09:49:30:912,1028526,1028526,0,0,483362870172,5078225366,1013546,12568,2412,366,392130,0 122,2,2024-09-07 09:49:31:318,745077,745002,75,0,40195031,0,5989 122,3,2024-09-07 09:49:30:594,1,587,1,0,512,9701,587,0 123,0,2024-09-07 09:49:30:962,146563,0.9,142490,0.9,298559,1.0,391179,2.25 123,1,2024-09-07 09:49:30:562,1028491,1028491,0,0,482654086760,5080304588,1011687,14134,2670,369,392039,0 123,2,2024-09-07 09:49:31:021,738524,738523,1,0,34120986,0,5215 123,3,2024-09-07 09:49:31:141,1,587,2,0,478,6824,587,0 124,0,2024-09-07 09:49:30:948,150739,0.3,150723,0.5,284396,0.3,391828,1.75 124,1,2024-09-07 09:49:31:023,1032711,1032711,0,0,483901482533,5032639002,1024982,6554,1175,365,392178,0 124,2,2024-09-07 09:49:31:014,742771,742718,53,0,30581902,0,6487 124,3,2024-09-07 09:49:30:760,1,587,1,0,490,5869,587,0 125,0,2024-09-07 09:49:31:461,147518,0.4,147491,0.5,295946,0.3,393711,1.75 125,1,2024-09-07 09:49:30:858,1029723,1029723,0,0,483294900287,5046932359,1021657,6915,1151,382,391968,0 125,2,2024-09-07 09:49:31:120,744259,744259,0,0,30820013,0,4534 125,3,2024-09-07 09:49:31:137,1,587,1,0,709,6782,587,0 126,0,2024-09-07 09:49:31:413,146461,0.4,150567,0.6,288019,0.4,390252,1.75 126,1,2024-09-07 09:49:30:551,1033270,1033270,0,0,485126222430,5024007998,1027765,5059,446,365,391987,0 126,2,2024-09-07 09:49:30:630,747587,747587,0,0,32172806,0,4539 126,3,2024-09-07 09:49:30:907,1,587,13,0,268,6524,587,0 127,0,2024-09-07 09:49:31:620,145597,0.4,145743,0.5,290834,0.3,387126,1.75 127,1,2024-09-07 09:49:30:573,1031189,1031189,0,0,484331216673,5042162133,1020523,9030,1636,364,392187,0 127,2,2024-09-07 09:49:30:650,740434,740430,4,0,30141556,0,5305 127,3,2024-09-07 09:49:31:271,1,587,1,0,968,5752,587,0 128,0,2024-09-07 09:49:31:568,147765,0.3,147838,0.4,295296,0.2,392447,1.50 128,1,2024-09-07 09:49:31:615,1031716,1031716,0,0,483942985304,5035256690,1023402,7351,963,367,392423,0 128,2,2024-09-07 09:49:31:394,742169,742169,0,0,27866898,0,3171 128,3,2024-09-07 09:49:30:780,1,587,1,0,1082,9415,587,0 129,0,2024-09-07 09:49:30:996,149903,0.3,149082,0.5,298948,0.3,397009,1.50 129,1,2024-09-07 09:49:30:572,1028320,1028320,0,0,483131671687,5065502481,1017891,8407,2022,379,391962,0 129,2,2024-09-07 09:49:30:690,744336,744332,4,0,30564581,0,5335 129,3,2024-09-07 09:49:30:689,1,587,3,0,506,8249,587,0 130,0,2024-09-07 09:49:31:747,148357,0.4,147780,0.5,296198,0.4,393999,1.75 130,1,2024-09-07 09:49:30:587,1032422,1032422,0,0,484192488211,5030891176,1026993,5034,395,381,391825,0 130,2,2024-09-07 09:49:31:125,745749,745749,0,0,30830755,0,4067 130,3,2024-09-07 09:49:31:296,1,587,0,0,960,7921,587,0 131,0,2024-09-07 09:49:31:922,144647,0.3,144938,0.5,290825,0.3,386375,1.75 131,1,2024-09-07 09:49:31:820,1031766,1031766,0,0,484786752829,5054622124,1024557,6014,1195,381,391865,0 131,2,2024-09-07 09:49:30:570,742019,742019,0,0,28488026,0,3979 131,3,2024-09-07 09:49:31:687,1,587,1,0,392,7586,587,0 132,0,2024-09-07 09:49:31:421,148762,0.5,149773,0.6,298274,0.5,396363,2.00 132,1,2024-09-07 09:49:30:579,1027675,1027675,0,0,481885809225,5076612437,1012376,12597,2702,381,392532,0 132,2,2024-09-07 09:49:30:729,741007,740990,17,0,37213491,0,6451 132,3,2024-09-07 09:49:31:690,1,587,7,0,1298,10735,587,0 133,0,2024-09-07 09:49:31:531,145049,0.4,148353,0.6,304291,0.4,396209,2.00 133,1,2024-09-07 09:49:30:587,1027839,1027839,0,0,482653596482,5088016282,1013784,12293,1762,383,391914,0 133,2,2024-09-07 09:49:31:098,742446,742396,50,0,38329039,0,6861 133,3,2024-09-07 09:49:31:300,1,587,2,0,528,7152,587,0 134,0,2024-09-07 09:49:30:940,148761,0.5,148923,0.7,298000,0.5,396827,2.00 134,1,2024-09-07 09:49:30:597,1028902,1028902,0,0,482711226102,5066547681,1015136,11280,2486,366,391781,0 134,2,2024-09-07 09:49:31:759,742428,742404,24,0,35483493,0,6207 134,3,2024-09-07 09:49:30:750,1,587,11,0,739,7282,587,0 135,0,2024-09-07 09:49:31:122,140297,0.8,140292,0.9,297779,1.0,384021,2.25 135,1,2024-09-07 09:49:31:596,1028514,1028514,0,0,483288551348,5084155884,1015502,11372,1640,380,391805,0 135,2,2024-09-07 09:49:30:688,744390,744390,0,0,36230722,0,4503 135,3,2024-09-07 09:49:31:003,1,587,1,0,900,5857,587,0 136,0,2024-09-07 09:49:31:696,148498,0.6,149030,0.8,295986,0.6,395775,2.25 136,1,2024-09-07 09:49:31:488,1029808,1029808,0,0,483312236304,5069630646,1017910,10510,1388,381,392135,0 136,2,2024-09-07 09:49:31:139,742029,742014,15,0,35687469,0,6007 136,3,2024-09-07 09:49:31:122,1,587,17,0,637,6912,587,0 137,0,2024-09-07 09:49:30:921,152390,0.6,148537,0.7,290826,0.6,395289,2.00 137,1,2024-09-07 09:49:30:575,1028540,1028540,0,0,482854769383,5069476249,1012012,13141,3387,366,391898,0 137,2,2024-09-07 09:49:31:707,739734,739734,0,0,36830066,0,3185 137,3,2024-09-07 09:49:30:789,1,587,1,0,484,8180,587,0 138,0,2024-09-07 09:49:31:741,146970,0.7,147155,0.9,294973,0.8,391627,2.00 138,1,2024-09-07 09:49:31:685,1028890,1028890,0,0,483090137699,5071032016,1014416,12219,2255,368,391954,0 138,2,2024-09-07 09:49:30:591,740919,740919,0,0,35173206,0,4988 138,3,2024-09-07 09:49:30:612,1,587,8,0,1200,9375,587,0 139,0,2024-09-07 09:49:31:363,144444,1.1,145009,1.0,289896,1.6,386881,2.25 139,1,2024-09-07 09:49:30:573,1025180,1025180,0,0,480329736740,5098047399,1006799,14557,3824,380,392109,0 139,2,2024-09-07 09:49:30:717,740663,740633,30,0,40516014,0,5997 139,3,2024-09-07 09:49:31:672,1,587,46,0,432,7098,587,0 140,0,2024-09-07 09:49:31:597,146728,0.3,145913,0.5,292619,0.2,390574,1.75 140,1,2024-09-07 09:49:31:552,1035377,1035377,0,0,486174548829,5013170004,1030408,4423,546,364,391606,0 140,2,2024-09-07 09:49:30:695,741466,741465,1,0,28554174,0,5036 140,3,2024-09-07 09:49:30:778,1,587,1,0,575,5958,587,0 141,0,2024-09-07 09:49:31:699,147091,0.3,151191,0.5,288914,0.3,390963,1.75 141,1,2024-09-07 09:49:30:865,1033420,1033420,0,0,485186657785,5042113413,1025223,7005,1192,379,391614,0 141,2,2024-09-07 09:49:31:688,741446,741435,11,0,30628715,0,5369 141,3,2024-09-07 09:49:31:049,1,587,2,0,391,6884,587,0 142,0,2024-09-07 09:49:31:360,149061,0.3,148235,0.5,296780,0.2,395529,1.50 142,1,2024-09-07 09:49:30:588,1031411,1031411,0,0,484499336207,5051953305,1024708,6197,506,382,392102,0 142,2,2024-09-07 09:49:31:327,741888,741856,32,0,31227881,0,6028 142,3,2024-09-07 09:49:31:747,1,587,30,0,484,6255,587,0 143,0,2024-09-07 09:49:31:445,146256,0.4,146422,0.5,293697,0.4,389964,1.75 143,1,2024-09-07 09:49:30:560,1033996,1033996,0,0,484667317090,5029904564,1027544,5885,567,367,391722,0 143,2,2024-09-07 09:49:30:779,746684,746684,0,0,31096916,0,3123 143,3,2024-09-07 09:49:31:140,1,587,5,0,462,7232,587,0 144,0,2024-09-07 09:49:31:547,140548,0.6,144581,0.8,294115,0.6,385743,2.00 144,1,2024-09-07 09:49:30:568,1028190,1028190,0,0,482571769960,5065776235,1017668,8530,1992,381,391733,0 144,2,2024-09-07 09:49:31:759,742030,742030,0,0,30106350,0,4443 144,3,2024-09-07 09:49:31:739,1,587,1,0,249,5706,587,0 145,0,2024-09-07 09:49:31:361,143079,0.6,143004,0.8,303549,0.5,390750,2.25 145,1,2024-09-07 09:49:30:554,1027662,1027662,0,0,482195993825,5077527393,1013647,11344,2671,382,391781,0 145,2,2024-09-07 09:49:31:435,739362,739280,82,0,36071224,0,7814 145,3,2024-09-07 09:49:30:901,1,587,0,0,622,7897,587,0 146,0,2024-09-07 09:49:31:611,148521,0.6,147918,0.7,297224,0.5,394705,2.00 146,1,2024-09-07 09:49:31:607,1028895,1028895,0,0,482875020193,5085707111,1011396,13018,4481,367,391770,0 146,2,2024-09-07 09:49:31:695,740750,740744,6,0,34616091,0,5151 146,3,2024-09-07 09:49:31:287,1,587,14,0,1520,9719,587,0 147,0,2024-09-07 09:49:31:732,148580,0.6,148339,0.7,296470,0.5,395654,2.25 147,1,2024-09-07 09:49:31:379,1032705,1032705,0,0,484400866122,5035952331,1024220,7518,967,367,391791,0 147,2,2024-09-07 09:49:31:020,743459,743459,0,0,30491624,0,2968 147,3,2024-09-07 09:49:30:914,1,587,124,0,1626,9570,587,0 0,0,2024-09-07 09:49:41:715,144271,0.6,144217,0.7,306217,0.6,395704,2.00 0,1,2024-09-07 09:49:40:801,1031018,1031018,0,0,484427198018,5073133699,1022488,7585,945,369,391896,0 0,2,2024-09-07 09:49:41:067,744560,744560,0,0,30494048,0,4480 0,3,2024-09-07 09:49:40:976,1,588,2,0,431,8641,588,0 1,0,2024-09-07 09:49:41:757,148063,0.8,146985,0.9,295568,1.0,394685,2.00 1,1,2024-09-07 09:49:40:560,1030468,1030468,0,0,483675118514,5067728122,1021037,7941,1490,370,391859,0 1,2,2024-09-07 09:49:40:642,743432,743432,0,0,29876564,0,3380 1,3,2024-09-07 09:49:41:305,1,588,7,0,269,7358,588,0 2,0,2024-09-07 09:49:41:567,145825,0.6,145662,0.7,291034,0.6,388987,2.00 2,1,2024-09-07 09:49:40:862,1033820,1033820,0,0,485888561881,5053274684,1027657,5213,950,379,391745,0 2,2,2024-09-07 09:49:41:266,746041,746041,0,0,28755197,0,3594 2,3,2024-09-07 09:49:40:691,1,588,3,0,357,5270,588,0 3,0,2024-09-07 09:49:41:745,147341,0.4,147142,0.6,293737,0.3,391864,2.00 3,1,2024-09-07 09:49:41:619,1032010,1032010,0,0,485067549579,5046330822,1024427,6879,704,379,391716,0 3,2,2024-09-07 09:49:41:142,743388,743365,23,0,29728557,0,5851 3,3,2024-09-07 09:49:41:758,1,588,1,0,484,4544,588,0 4,0,2024-09-07 09:49:41:759,142932,0.4,146788,0.5,299381,0.3,392077,1.75 4,1,2024-09-07 09:49:40:605,1028265,1028265,0,0,481764652259,5102743473,1010463,14048,3754,370,391992,0 4,2,2024-09-07 09:49:41:023,739389,739389,0,0,36461353,0,4534 4,3,2024-09-07 09:49:41:027,1,588,3,0,448,7285,588,0 5,0,2024-09-07 09:49:41:401,147969,0.4,147955,0.5,296143,0.3,393667,1.75 5,1,2024-09-07 09:49:40:761,1028470,1028470,0,0,483296478462,5105827076,1012156,12190,4124,367,392005,0 5,2,2024-09-07 09:49:41:829,740580,740580,0,0,35313168,0,3582 5,3,2024-09-07 09:49:41:733,1,588,1,0,457,8165,588,0 6,0,2024-09-07 09:49:40:916,146739,0.5,146368,0.7,292791,0.4,390421,2.00 6,1,2024-09-07 09:49:40:748,1031804,1031804,0,0,483923012987,5056869224,1020783,9448,1573,379,391702,0 6,2,2024-09-07 09:49:41:116,747627,747609,18,0,34415966,0,5535 6,3,2024-09-07 09:49:41:286,1,588,13,0,710,7255,588,0 7,0,2024-09-07 09:49:41:558,144897,0.5,145980,0.7,290004,0.5,387309,2.00 7,1,2024-09-07 09:49:40:850,1029451,1029451,0,0,483648691927,5095889846,1013129,12556,3766,382,391747,0 7,2,2024-09-07 09:49:40:770,741061,741061,0,0,32981584,0,4791 7,3,2024-09-07 09:49:40:851,1,588,1,0,552,6984,588,0 8,0,2024-09-07 09:49:41:326,147695,0.4,147411,0.5,295182,0.3,392925,1.75 8,1,2024-09-07 09:49:41:033,1028737,1028737,0,0,482838648424,5082572825,1012023,13128,3586,366,392853,0 8,2,2024-09-07 09:49:40:793,736999,736997,2,0,39302355,0,5112 8,3,2024-09-07 09:49:40:590,1,588,0,0,772,9453,588,0 9,0,2024-09-07 09:49:41:122,148619,0.4,144625,0.5,302687,0.3,396683,1.75 9,1,2024-09-07 09:49:40:565,1028661,1028661,0,0,482315983051,5088587998,1011984,13452,3225,369,392001,0 9,2,2024-09-07 09:49:41:107,742305,742304,1,0,37166279,0,5281 9,3,2024-09-07 09:49:41:751,1,588,1,0,1273,10157,588,0 10,0,2024-09-07 09:49:41:615,147400,0.3,146718,0.5,294787,0.3,392026,1.75 10,1,2024-09-07 09:49:40:584,1030430,1030430,0,0,483514388036,5077280770,1014716,12651,3063,381,391981,0 10,2,2024-09-07 09:49:40:762,745918,745918,0,0,39818216,0,4713 10,3,2024-09-07 09:49:40:872,1,588,1,0,669,6760,588,0 11,0,2024-09-07 09:49:41:049,144699,0.5,140379,0.6,293656,0.4,386917,1.75 11,1,2024-09-07 09:49:40:570,1031978,1031978,0,0,484538195424,5087068586,1016158,11517,4303,383,391766,0 11,2,2024-09-07 09:49:41:131,740971,740971,0,0,35250256,0,4698 11,3,2024-09-07 09:49:41:300,1,588,1,0,843,7769,588,0 12,0,2024-09-07 09:49:40:944,149715,0.4,149683,0.6,298984,0.4,397234,1.75 12,1,2024-09-07 09:49:40:933,1031731,1031731,0,0,484034642996,5049982295,1023379,7348,1004,370,391960,0 12,2,2024-09-07 09:49:41:543,741128,741128,0,0,33906035,0,4390 12,3,2024-09-07 09:49:41:059,1,588,1,0,386,7502,588,0 13,0,2024-09-07 09:49:41:326,149764,0.4,149915,0.5,298997,0.4,398487,1.75 13,1,2024-09-07 09:49:41:525,1029101,1029101,0,0,483859244057,5088260573,1018228,8484,2389,382,391768,0 13,2,2024-09-07 09:49:40:595,745088,745088,0,0,30295852,0,3287 13,3,2024-09-07 09:49:41:762,1,588,1,0,522,7869,588,0 14,0,2024-09-07 09:49:40:567,148790,0.4,149899,0.6,297470,0.3,396596,1.75 14,1,2024-09-07 09:49:41:561,1036539,1036539,0,0,486239999204,5034163311,1028084,7326,1129,364,391673,0 14,2,2024-09-07 09:49:40:764,744940,744910,30,0,31691816,0,6104 14,3,2024-09-07 09:49:41:114,1,588,2,0,1168,6523,588,0 15,0,2024-09-07 09:49:41:563,144918,0.4,144022,0.6,289283,0.4,385540,2.00 15,1,2024-09-07 09:49:41:619,1033513,1033513,0,0,485189853288,5055161021,1024444,7156,1913,381,391619,0 15,2,2024-09-07 09:49:41:008,745947,745947,0,0,27492630,0,3622 15,3,2024-09-07 09:49:41:411,1,588,1,0,1126,7868,588,0 16,0,2024-09-07 09:49:40:929,148465,0.6,149223,0.8,297792,0.6,397161,2.00 16,1,2024-09-07 09:49:40:563,1033541,1033541,0,0,484339639678,5064905860,1024263,7837,1441,370,392194,0 16,2,2024-09-07 09:49:41:441,741666,741666,0,0,31069441,0,4719 16,3,2024-09-07 09:49:41:143,1,588,14,0,358,7515,588,0 17,0,2024-09-07 09:49:41:777,152435,0.6,148630,0.8,291176,0.6,395985,2.00 17,1,2024-09-07 09:49:40:571,1031208,1031208,0,0,483345853237,5072198962,1020926,8513,1769,368,392075,0 17,2,2024-09-07 09:49:41:685,745265,745264,1,0,32322446,0,5050 17,3,2024-09-07 09:49:40:573,1,588,1,0,518,8719,588,0 18,0,2024-09-07 09:49:40:947,146407,0.6,147360,0.8,293232,0.7,391681,2.25 18,1,2024-09-07 09:49:41:641,1035394,1035394,0,0,484873721438,5030768172,1028334,5803,1257,367,391725,0 18,2,2024-09-07 09:49:41:755,745858,745858,0,0,28683128,0,3541 18,3,2024-09-07 09:49:40:901,1,588,1,0,1059,5513,588,0 19,0,2024-09-07 09:49:41:546,145405,0.6,145598,0.8,290059,0.6,385942,2.00 19,1,2024-09-07 09:49:40:566,1035274,1035274,0,0,486040383604,5039323508,1027530,6611,1133,367,391777,0 19,2,2024-09-07 09:49:41:752,749448,749448,0,0,27336775,0,3988 19,3,2024-09-07 09:49:41:129,1,588,19,0,524,4568,588,0 20,0,2024-09-07 09:49:41:376,146467,0.6,146284,0.7,292397,0.6,390476,2.00 20,1,2024-09-07 09:49:40:567,1030943,1030943,0,0,483953634258,5073214230,1020374,9063,1506,369,391922,0 20,2,2024-09-07 09:49:40:930,741329,741329,0,0,33830206,0,4321 20,3,2024-09-07 09:49:40:589,1,588,1,0,468,9590,588,0 21,0,2024-09-07 09:49:41:147,146950,0.5,146987,0.6,293991,0.4,390418,2.00 21,1,2024-09-07 09:49:41:538,1029126,1029126,0,0,482998750633,5102282677,1012271,13022,3833,368,392016,0 21,2,2024-09-07 09:49:41:075,736691,736214,477,0,44553371,0,17074 21,3,2024-09-07 09:49:41:403,1,588,5,0,713,8603,588,0 22,0,2024-09-07 09:49:41:728,148187,0.5,148780,0.7,296852,0.4,393678,2.00 22,1,2024-09-07 09:49:41:023,1030271,1030271,0,0,482315659434,5080712112,1012459,14272,3540,382,391822,0 22,2,2024-09-07 09:49:40:759,742109,742083,26,0,32362244,0,6328 22,3,2024-09-07 09:49:41:066,1,588,0,0,228,4987,588,0 23,0,2024-09-07 09:49:41:374,146103,0.5,145815,0.7,291672,0.5,388648,2.25 23,1,2024-09-07 09:49:41:011,1030181,1030181,0,0,484340572325,5107980650,1010710,12893,6578,365,391690,0 23,2,2024-09-07 09:49:41:096,748065,748065,0,0,31150978,0,3773 23,3,2024-09-07 09:49:41:754,1,588,1,0,855,8802,588,0 24,0,2024-09-07 09:49:40:829,146048,0.4,145419,0.6,292490,0.4,388747,1.75 24,1,2024-09-07 09:49:40:580,1030364,1030364,0,0,483304353744,5062493543,1020308,8347,1709,367,392269,0 24,2,2024-09-07 09:49:41:073,740976,740973,3,0,37672924,0,6294 24,3,2024-09-07 09:49:41:706,1,588,0,0,468,7602,588,0 25,0,2024-09-07 09:49:41:370,152171,0.5,148007,0.6,290834,0.4,395844,2.00 25,1,2024-09-07 09:49:40:563,1028822,1028822,0,0,483072508084,5108671235,1010066,15046,3710,369,391928,0 25,2,2024-09-07 09:49:41:609,739503,739503,0,0,38211449,0,3978 25,3,2024-09-07 09:49:41:011,1,588,1,0,532,6466,588,0 26,0,2024-09-07 09:49:41:725,147975,0.4,144638,0.6,303840,0.4,395236,1.75 26,1,2024-09-07 09:49:41:542,1032436,1032436,0,0,483925783364,5070415298,1018102,11801,2533,380,391748,0 26,2,2024-09-07 09:49:40:867,742929,742929,0,0,41018871,0,4689 26,3,2024-09-07 09:49:41:713,1,588,12,0,796,7548,588,0 27,0,2024-09-07 09:49:41:722,148634,0.4,149300,0.6,296778,0.4,395764,2.25 27,1,2024-09-07 09:49:41:679,1032897,1032897,0,0,485410037375,5061977626,1022502,8786,1609,381,391626,0 27,2,2024-09-07 09:49:40:867,742234,742169,65,0,35784592,0,5699 27,3,2024-09-07 09:49:41:019,1,588,3,0,564,5189,588,0 28,0,2024-09-07 09:49:41:388,145182,0.4,145229,0.6,290736,0.3,387513,2.00 28,1,2024-09-07 09:49:40:801,1033768,1033768,0,0,485688122082,5065137119,1024845,7032,1891,382,391904,0 28,2,2024-09-07 09:49:41:764,743344,743344,0,0,30631643,0,2915 28,3,2024-09-07 09:49:41:778,1,588,1,0,502,5879,588,0 29,0,2024-09-07 09:49:41:370,153326,0.4,149237,0.6,292943,0.4,399100,1.75 29,1,2024-09-07 09:49:41:561,1037010,1037010,0,0,485592801604,5024440393,1030657,5579,774,367,391809,0 29,2,2024-09-07 09:49:40:866,742388,742388,0,0,29784294,0,4986 29,3,2024-09-07 09:49:40:964,1,588,1,0,459,6473,588,0 30,0,2024-09-07 09:49:41:455,147618,0.5,143779,0.7,301037,0.4,393558,2.00 30,1,2024-09-07 09:49:40:571,1035995,1035995,0,0,486859972461,5052553003,1028433,6652,910,380,391672,0 30,2,2024-09-07 09:49:41:287,744383,744383,0,0,28455475,0,4192 30,3,2024-09-07 09:49:40:588,1,588,2,0,519,5569,588,0 31,0,2024-09-07 09:49:41:757,147793,0.4,148553,0.6,296046,0.4,395400,2.00 31,1,2024-09-07 09:49:40:564,1039166,1039166,0,0,487454083947,5007707016,1032712,5380,1074,356,391712,0 31,2,2024-09-07 09:49:41:274,742950,742950,0,0,31981678,0,4470 31,3,2024-09-07 09:49:41:706,1,588,1,0,239,5007,588,0 32,0,2024-09-07 09:49:41:448,146110,0.3,147090,0.5,292625,0.3,390340,1.75 32,1,2024-09-07 09:49:40:803,1035426,1035426,0,0,485603862281,5047227767,1029249,5459,718,381,391646,0 32,2,2024-09-07 09:49:40:935,747646,747646,0,0,28178730,0,3922 32,3,2024-09-07 09:49:41:022,1,588,1,0,304,4723,588,0 33,0,2024-09-07 09:49:41:492,147857,0.3,147507,0.4,295193,0.2,392849,1.50 33,1,2024-09-07 09:49:40:575,1035251,1035251,0,0,486353121282,5039844510,1026811,7168,1272,368,391730,0 33,2,2024-09-07 09:49:40:759,743233,743198,35,0,31031458,0,7012 33,3,2024-09-07 09:49:40:899,1,588,3,0,329,5206,588,0 34,0,2024-09-07 09:49:40:929,147384,0.3,151413,0.5,289648,0.2,391290,1.75 34,1,2024-09-07 09:49:41:059,1037791,1037791,0,0,486737060274,5008256615,1034832,2849,110,367,391637,0 34,2,2024-09-07 09:49:40:765,743645,743645,0,0,29500848,0,4562 34,3,2024-09-07 09:49:41:702,1,588,2,0,541,5464,588,0 35,0,2024-09-07 09:49:40:860,147066,0.3,147959,0.5,296724,0.2,394171,1.75 35,1,2024-09-07 09:49:41:067,1033672,1033672,0,0,485183454045,5037638246,1025565,6584,1523,382,391769,0 35,2,2024-09-07 09:49:41:583,743527,743527,0,0,32455733,0,4055 35,3,2024-09-07 09:49:40:907,1,588,1,0,466,5663,588,0 36,0,2024-09-07 09:49:41:519,146956,0.5,146936,0.7,293432,0.4,391235,2.00 36,1,2024-09-07 09:49:40:584,1032194,1032194,0,0,484728245022,5065110438,1018619,11335,2240,366,391759,0 36,2,2024-09-07 09:49:41:753,747514,747514,0,0,34021107,0,3875 36,3,2024-09-07 09:49:40:869,1,588,9,0,556,8215,588,0 37,0,2024-09-07 09:49:41:383,144903,0.5,145007,0.7,290122,0.5,387611,2.25 37,1,2024-09-07 09:49:40:569,1032117,1032110,0,7,484528760662,5062484732,1019466,9731,2913,365,391770,0 37,2,2024-09-07 09:49:41:142,739260,739245,15,0,33985846,0,5815 37,3,2024-09-07 09:49:41:766,1,588,1,0,888,7952,588,0 38,0,2024-09-07 09:49:41:437,146407,0.5,141911,0.7,296904,0.4,388830,2.00 38,1,2024-09-07 09:49:41:605,1031992,1031992,0,0,484717262034,5073714191,1016667,12326,2999,368,391821,0 38,2,2024-09-07 09:49:40:759,741289,741242,47,0,34194640,0,6710 38,3,2024-09-07 09:49:41:008,1,588,3,0,689,7385,588,0 39,0,2024-09-07 09:49:41:759,151992,0.5,148580,0.7,289728,0.5,395390,2.00 39,1,2024-09-07 09:49:40:716,1031783,1031783,0,0,484459870143,5083025194,1014341,13727,3715,365,391865,0 39,2,2024-09-07 09:49:41:416,744026,744026,0,0,32253283,0,3391 39,3,2024-09-07 09:49:40:714,1,588,1,0,525,6650,588,0 40,0,2024-09-07 09:49:41:487,146086,0.9,146713,1.0,292869,1.0,390322,2.75 40,1,2024-09-07 09:49:40:711,1032646,1032646,0,0,483548710333,5070346458,1017043,12535,3068,368,391668,0 40,2,2024-09-07 09:49:41:302,744067,744060,7,0,38872834,0,5347 40,3,2024-09-07 09:49:41:142,1,588,2,0,1028,8774,588,0 41,0,2024-09-07 09:49:41:035,143927,1.4,147246,1.2,280918,2.1,382027,3.25 41,1,2024-09-07 09:49:40:775,1031456,1031456,0,0,484249255321,5070540349,1017692,11606,2158,369,391878,0 41,2,2024-09-07 09:49:40:761,738624,738623,1,0,37023892,0,5408 41,3,2024-09-07 09:49:41:675,1,588,1,0,366,6384,588,0 42,0,2024-09-07 09:49:41:479,147631,1.0,147291,1.1,294763,1.2,391019,2.75 42,1,2024-09-07 09:49:41:438,1029065,1029065,0,0,482889277391,5078530660,1012576,13557,2932,380,391675,0 42,2,2024-09-07 09:49:41:140,740136,740135,1,0,37258753,0,5513 42,3,2024-09-07 09:49:41:010,1,588,3,0,892,5593,588,0 43,0,2024-09-07 09:49:40:923,147537,0.7,143657,0.9,300758,0.7,393444,2.25 43,1,2024-09-07 09:49:40:577,1032922,1032922,0,0,485021403044,5072323484,1018184,12166,2572,366,391696,0 43,2,2024-09-07 09:49:41:736,743590,743590,0,0,35285076,0,4723 43,3,2024-09-07 09:49:41:749,1,588,7,0,571,8674,588,0 44,0,2024-09-07 09:49:40:858,149124,0.4,149092,0.6,298548,0.3,397029,1.75 44,1,2024-09-07 09:49:40:568,1035534,1035534,0,0,485526301979,5021426871,1026171,7422,1941,356,391809,0 44,2,2024-09-07 09:49:41:267,742674,742674,0,0,28559076,0,4344 44,3,2024-09-07 09:49:41:093,1,588,20,0,1097,7353,588,0 45,0,2024-09-07 09:49:41:757,143299,0.5,139983,0.7,293828,0.5,385750,2.00 45,1,2024-09-07 09:49:41:011,1034197,1034197,0,0,486126100673,5053125233,1025946,7348,903,382,391917,0 45,2,2024-09-07 09:49:41:268,745378,745378,0,0,28921213,0,3596 45,3,2024-09-07 09:49:40:940,1,588,1,0,531,5717,588,0 46,0,2024-09-07 09:49:40:971,147896,0.6,147471,0.8,295893,0.6,393502,2.25 46,1,2024-09-07 09:49:40:592,1036526,1036526,0,0,486757105944,5033339374,1029034,6504,988,366,391709,0 46,2,2024-09-07 09:49:40:601,743137,743137,0,0,29587585,0,4443 46,3,2024-09-07 09:49:41:142,1,588,2,0,908,7166,588,0 47,0,2024-09-07 09:49:41:127,148239,0.4,148144,0.6,297491,0.4,394378,2.00 47,1,2024-09-07 09:49:40:568,1037181,1037181,0,0,486658354757,5029120956,1031083,5236,862,365,391641,0 47,2,2024-09-07 09:49:40:908,746078,746078,0,0,28600075,0,4477 47,3,2024-09-07 09:49:41:115,1,588,1,0,600,6576,588,0 48,0,2024-09-07 09:49:41:489,148059,0.3,148198,0.4,295975,0.2,393523,1.50 48,1,2024-09-07 09:49:41:022,1034922,1034922,0,0,485070060060,5038885473,1028824,5520,578,381,391710,0 48,2,2024-09-07 09:49:40:702,743990,743990,0,0,27060051,0,3524 48,3,2024-09-07 09:49:40:753,1,588,254,0,339,5126,588,0 49,0,2024-09-07 09:49:41:753,149807,0.3,146858,0.5,285854,0.3,390077,1.75 49,1,2024-09-07 09:49:41:035,1033672,1033672,0,0,484969837293,5046429719,1026402,5575,1695,382,391809,0 49,2,2024-09-07 09:49:41:805,748201,748201,0,0,29393672,0,4426 49,3,2024-09-07 09:49:41:416,1,588,2,0,992,7067,588,0 50,0,2024-09-07 09:49:41:510,147193,0.3,145450,0.5,293137,0.2,390441,1.75 50,1,2024-09-07 09:49:41:012,1037081,1037081,0,0,487283863594,5037991726,1030580,5832,669,368,391691,0 50,2,2024-09-07 09:49:41:075,741345,741345,0,0,26953160,0,4490 50,3,2024-09-07 09:49:41:300,1,588,0,0,617,6132,588,0 51,0,2024-09-07 09:49:41:683,150809,0.3,147767,0.5,287537,0.2,392089,1.75 51,1,2024-09-07 09:49:41:679,1037961,1037961,0,0,487494460705,5028102532,1032306,4575,1080,365,391706,0 51,2,2024-09-07 09:49:41:314,742872,742872,0,0,26618040,0,3337 51,3,2024-09-07 09:49:41:027,1,588,1,0,678,4260,588,0 52,0,2024-09-07 09:49:41:416,148802,0.5,148491,0.7,297272,0.4,394648,2.00 52,1,2024-09-07 09:49:40:576,1032322,1032322,0,0,484422070294,5072624928,1017303,12689,2330,368,391805,0 52,2,2024-09-07 09:49:41:755,740287,740249,38,0,36058656,0,6742 52,3,2024-09-07 09:49:40:679,1,588,1,0,1782,7063,588,0 53,0,2024-09-07 09:49:41:737,145833,0.7,141550,0.8,296027,0.7,387779,2.50 53,1,2024-09-07 09:49:40:772,1030768,1030768,0,0,483695036022,5079975915,1012587,13786,4395,367,391968,0 53,2,2024-09-07 09:49:41:302,747012,747011,1,0,32668350,0,5455 53,3,2024-09-07 09:49:40:701,1,588,1,0,308,5570,588,0 54,0,2024-09-07 09:49:41:614,143650,0.6,143945,0.8,286664,0.5,383319,2.25 54,1,2024-09-07 09:49:40:582,1033537,1033537,0,0,485401425941,5051342025,1022433,9434,1670,366,391810,0 54,2,2024-09-07 09:49:40:866,741862,741830,32,0,36201056,0,6397 54,3,2024-09-07 09:49:40:765,1,588,1,0,676,7755,588,0 55,0,2024-09-07 09:49:41:759,142812,0.7,147236,0.8,298523,0.6,388621,2.50 55,1,2024-09-07 09:49:40:764,1033814,1033814,0,0,484847434771,5045169137,1022957,9468,1389,365,391731,0 55,2,2024-09-07 09:49:40:728,740425,740369,56,0,33701692,0,7239 55,3,2024-09-07 09:49:40:674,1,588,1,0,304,5459,588,0 56,0,2024-09-07 09:49:41:550,151543,1.2,142772,1.1,294254,1.5,393771,2.75 56,1,2024-09-07 09:49:40:574,1027416,1027416,0,0,483111857457,5114395943,1009455,14276,3685,381,391867,0 56,2,2024-09-07 09:49:41:311,742447,742325,122,0,35992058,0,7432 56,3,2024-09-07 09:49:41:063,1,588,6,0,705,6885,588,0 57,0,2024-09-07 09:49:40:942,146903,1.5,146743,1.2,293713,2.0,392271,3.25 57,1,2024-09-07 09:49:41:000,1030014,1030014,0,0,482980856421,5074609397,1015595,11930,2489,366,392032,0 57,2,2024-09-07 09:49:41:316,744524,744524,0,0,37640840,0,4804 57,3,2024-09-07 09:49:41:738,1,588,2,0,455,6997,588,0 58,0,2024-09-07 09:49:40:557,142769,1.0,138616,1.0,290028,1.3,379890,2.75 58,1,2024-09-07 09:49:40:575,1031764,1031761,0,3,484335243162,5081967211,1015619,12241,3901,367,391726,3 58,2,2024-09-07 09:49:41:070,743233,743233,0,0,35330319,0,3483 58,3,2024-09-07 09:49:41:074,1,588,2,0,1043,6664,588,0 59,0,2024-09-07 09:49:41:742,147617,0.9,147111,1.0,294054,1.1,390191,3.00 59,1,2024-09-07 09:49:40:809,1030402,1030402,0,0,484340900735,5094691654,1012583,14152,3667,369,391653,0 59,2,2024-09-07 09:49:40:584,742259,742259,0,0,33403234,0,3727 59,3,2024-09-07 09:49:41:739,1,588,3,0,1015,7323,588,0 60,0,2024-09-07 09:49:41:717,148340,0.5,148505,0.7,297157,0.5,395957,1.75 60,1,2024-09-07 09:49:40:773,1035423,1035423,0,0,486293965072,5055599080,1027479,6857,1087,370,392031,0 60,2,2024-09-07 09:49:41:141,743952,743952,0,0,31484481,0,3811 60,3,2024-09-07 09:49:41:264,1,588,1,0,409,6918,588,0 61,0,2024-09-07 09:49:41:496,148009,0.6,148509,0.8,295695,0.6,394721,2.00 61,1,2024-09-07 09:49:40:773,1031924,1031924,0,0,484460413915,5073710697,1020806,9439,1679,382,392127,0 61,2,2024-09-07 09:49:41:120,743982,743915,67,0,32033981,0,6411 61,3,2024-09-07 09:49:41:693,1,588,1,0,607,7801,588,0 62,0,2024-09-07 09:49:41:717,146429,0.6,150269,0.8,286920,0.6,390340,2.00 62,1,2024-09-07 09:49:41:111,1038646,1038640,0,6,487426421853,5026482777,1033678,4664,298,365,391975,6 62,2,2024-09-07 09:49:41:647,744056,744055,1,0,32135379,0,5555 62,3,2024-09-07 09:49:41:144,1,588,1,0,482,4799,588,0 63,0,2024-09-07 09:49:41:513,147865,0.4,147683,0.6,296008,0.4,393119,1.75 63,1,2024-09-07 09:49:40:816,1034821,1034815,0,6,485813038230,5046518322,1027969,5991,855,381,391800,6 63,2,2024-09-07 09:49:40:766,742531,742531,0,0,30401011,0,4369 63,3,2024-09-07 09:49:41:737,1,588,5,0,667,6169,588,0 64,0,2024-09-07 09:49:41:546,146451,0.5,146366,0.7,292236,0.4,389803,2.00 64,1,2024-09-07 09:49:40:755,1033994,1033994,0,0,486272316243,5065695047,1024671,7347,1976,370,391794,0 64,2,2024-09-07 09:49:41:140,746478,746459,19,0,29260419,0,6121 64,3,2024-09-07 09:49:41:140,1,588,12,0,651,6813,588,0 65,0,2024-09-07 09:49:41:691,146794,0.6,147058,0.7,293845,0.6,391527,2.00 65,1,2024-09-07 09:49:40:860,1032231,1032231,0,0,484058252025,5060524430,1024806,6552,873,381,391901,0 65,2,2024-09-07 09:49:41:697,742796,742796,0,0,35157752,0,3367 65,3,2024-09-07 09:49:41:691,1,588,1,0,782,6627,588,0 66,0,2024-09-07 09:49:41:771,146052,0.5,145636,0.8,291445,0.5,388340,2.25 66,1,2024-09-07 09:49:41:296,1034851,1034851,0,0,485766798688,5055278049,1028355,5774,722,380,391743,0 66,2,2024-09-07 09:49:41:133,749992,749989,3,0,31592227,0,5455 66,3,2024-09-07 09:49:41:082,1,588,16,0,291,5074,588,0 67,0,2024-09-07 09:49:41:424,145406,0.5,145155,0.7,291326,0.5,388117,2.00 67,1,2024-09-07 09:49:40:765,1033839,1033838,0,1,486561366304,5066587182,1026513,6381,944,380,391787,1 67,2,2024-09-07 09:49:40:592,744255,744240,15,0,31071034,0,6205 67,3,2024-09-07 09:49:41:750,1,588,1,0,595,6231,588,0 68,0,2024-09-07 09:49:40:574,147324,0.6,147233,0.8,293113,0.6,392087,2.25 68,1,2024-09-07 09:49:40:578,1029728,1029728,0,0,483306247133,5084076167,1015948,10250,3530,381,391953,0 68,2,2024-09-07 09:49:41:044,738112,738012,100,0,39293572,0,8578 68,3,2024-09-07 09:49:40:727,1,588,1,0,417,7721,588,0 69,0,2024-09-07 09:49:41:756,147997,0.7,148826,0.8,296793,0.7,394191,2.25 69,1,2024-09-07 09:49:41:019,1028794,1028794,0,0,483032177691,5092908117,1014944,11019,2831,383,391994,0 69,2,2024-09-07 09:49:41:734,741511,741482,29,0,41539046,0,6912 69,3,2024-09-07 09:49:40:762,1,588,3,0,698,8584,588,0 70,0,2024-09-07 09:49:41:530,145849,0.8,146347,0.9,294245,0.7,389121,2.50 70,1,2024-09-07 09:49:40:802,1035416,1035416,0,0,486401216237,5048451192,1027508,7102,806,366,391725,0 70,2,2024-09-07 09:49:41:324,746129,746129,0,0,34606292,0,4323 70,3,2024-09-07 09:49:40:752,1,588,0,0,854,6596,588,0 71,0,2024-09-07 09:49:41:368,143441,1.1,143193,1.1,287532,1.4,384017,2.75 71,1,2024-09-07 09:49:41:596,1033231,1033231,0,0,484674642052,5061735490,1020244,11366,1621,368,391738,0 71,2,2024-09-07 09:49:41:067,741326,741326,0,0,34628215,0,4352 71,3,2024-09-07 09:49:41:750,1,588,1,0,644,6735,588,0 72,0,2024-09-07 09:49:41:020,153468,0.6,149985,0.7,292771,0.6,398076,2.00 72,1,2024-09-07 09:49:41:029,1030801,1030801,0,0,483997346295,5081156633,1015506,12780,2515,369,391819,0 72,2,2024-09-07 09:49:41:756,740298,740298,0,0,36361707,0,3983 72,3,2024-09-07 09:49:41:755,1,588,2,0,564,8782,588,0 73,0,2024-09-07 09:49:41:097,145137,0.4,148910,0.6,304405,0.4,396043,2.00 73,1,2024-09-07 09:49:40:766,1032848,1032848,0,0,484985810828,5044684206,1024450,7418,980,367,391858,0 73,2,2024-09-07 09:49:41:739,743055,743054,1,0,37892854,0,5027 73,3,2024-09-07 09:49:40:969,1,588,2,0,1091,8349,588,0 74,0,2024-09-07 09:49:41:341,149891,0.5,153557,0.7,292900,0.4,397381,2.00 74,1,2024-09-07 09:49:40:642,1031908,1031908,0,0,484541804945,5062204709,1020007,9785,2116,381,391762,0 74,2,2024-09-07 09:49:41:009,743104,743104,0,0,33076068,0,4253 74,3,2024-09-07 09:49:41:448,1,588,0,0,522,7400,588,0 75,0,2024-09-07 09:49:41:769,145373,0.6,144273,0.8,289653,0.5,387225,2.25 75,1,2024-09-07 09:49:41:585,1033245,1033245,0,0,484210067570,5049698115,1024625,7752,868,380,391739,0 75,2,2024-09-07 09:49:41:350,743001,743001,0,0,40165714,0,4766 75,3,2024-09-07 09:49:41:066,1,588,1,0,918,8108,588,0 76,0,2024-09-07 09:49:40:576,148147,0.6,147619,0.8,295222,0.6,395680,2.25 76,1,2024-09-07 09:49:40:816,1032993,1032993,0,0,485552464007,5064964636,1025674,6330,989,382,391790,0 76,2,2024-09-07 09:49:41:061,744433,744430,3,0,32327329,0,5265 76,3,2024-09-07 09:49:41:143,1,588,0,0,227,5441,588,0 77,0,2024-09-07 09:49:41:704,147456,0.5,148071,0.7,295969,0.5,393650,2.00 77,1,2024-09-07 09:49:40:831,1032714,1032714,0,0,486007035397,5071420786,1024738,7101,875,381,391869,0 77,2,2024-09-07 09:49:41:288,742731,742731,0,0,31523250,0,3890 77,3,2024-09-07 09:49:41:100,1,588,2,0,401,6788,588,0 78,0,2024-09-07 09:49:41:729,148275,0.4,147711,0.6,296011,0.4,392149,2.00 78,1,2024-09-07 09:49:40:615,1032947,1032947,0,0,484448530175,5056033957,1020108,9922,2917,367,391670,0 78,2,2024-09-07 09:49:41:405,744854,744841,13,0,29491307,0,8313 78,3,2024-09-07 09:49:41:139,1,588,1,0,311,5015,588,0 79,0,2024-09-07 09:49:41:347,140937,0.4,144196,0.6,295531,0.3,384769,2.00 79,1,2024-09-07 09:49:40:572,1036419,1036419,0,0,486811165802,5040918671,1028575,6484,1360,367,391682,0 79,2,2024-09-07 09:49:41:068,747294,747294,0,0,28696967,0,4195 79,3,2024-09-07 09:49:40:753,1,588,0,0,418,7066,588,0 80,0,2024-09-07 09:49:41:093,146341,0.5,150301,0.7,287461,0.5,389442,2.00 80,1,2024-09-07 09:49:41:623,1033425,1033425,0,0,485259366896,5053887347,1026411,6563,451,368,392269,0 80,2,2024-09-07 09:49:41:098,744223,744223,0,0,28965319,0,4433 80,3,2024-09-07 09:49:40:574,1,588,1,0,681,7335,588,0 81,0,2024-09-07 09:49:41:534,146924,0.6,150260,0.7,286929,0.5,389309,2.00 81,1,2024-09-07 09:49:41:653,1031878,1031878,0,0,484137046394,5060533141,1023731,7383,764,382,391885,0 81,2,2024-09-07 09:49:41:126,741647,741584,63,0,32307232,0,5932 81,3,2024-09-07 09:49:41:117,1,588,3,0,719,6959,588,0 82,0,2024-09-07 09:49:41:532,148117,0.5,148274,0.7,297276,0.4,393647,2.00 82,1,2024-09-07 09:49:40:584,1034706,1034702,0,4,485067512082,5043080449,1029134,4745,823,381,391768,4 82,2,2024-09-07 09:49:41:693,745850,745850,0,0,27439716,0,4484 82,3,2024-09-07 09:49:41:752,1,588,1,0,363,5654,588,0 83,0,2024-09-07 09:49:41:573,146493,0.6,146511,0.7,292319,0.6,387976,2.25 83,1,2024-09-07 09:49:40:551,1032730,1032730,0,0,484791713414,5054958137,1025189,6896,645,382,391709,0 83,2,2024-09-07 09:49:40:767,747465,747440,25,0,29597467,0,5612 83,3,2024-09-07 09:49:40:752,1,588,2,0,1260,7113,588,0 84,0,2024-09-07 09:49:41:780,143636,0.8,143838,0.9,287643,0.8,384841,2.25 84,1,2024-09-07 09:49:41:039,1031082,1031082,0,0,484568869163,5069638376,1019040,10371,1671,367,391967,0 84,2,2024-09-07 09:49:40:571,740092,739682,410,0,43109363,0,17037 84,3,2024-09-07 09:49:41:141,1,588,0,0,908,7970,588,0 85,0,2024-09-07 09:49:41:051,141991,0.7,141985,0.8,301522,0.7,388941,2.25 85,1,2024-09-07 09:49:40:565,1028518,1028518,0,0,482477166242,5098106425,1012218,13504,2796,381,392092,0 85,2,2024-09-07 09:49:40:867,740910,740910,0,0,36271341,0,4255 85,3,2024-09-07 09:49:40:689,1,588,20,0,789,6849,588,0 86,0,2024-09-07 09:49:40:917,148407,0.7,152498,0.8,291676,0.7,394443,2.25 86,1,2024-09-07 09:49:40:830,1031201,1031201,0,0,484773419426,5086128273,1017378,11138,2685,366,392169,0 86,2,2024-09-07 09:49:40:853,742519,742518,1,0,38526001,0,5004 86,3,2024-09-07 09:49:40:614,1,588,5,0,308,8323,588,0 87,0,2024-09-07 09:49:41:299,148623,0.8,148173,0.8,296256,0.9,395593,2.25 87,1,2024-09-07 09:49:40:561,1030732,1030732,0,0,484194270483,5075587967,1017359,11576,1797,366,392076,0 87,2,2024-09-07 09:49:41:067,744120,744114,6,0,35105887,0,6323 87,3,2024-09-07 09:49:41:794,1,588,2,0,473,8494,588,0 88,0,2024-09-07 09:49:41:461,144976,0.5,145380,0.6,290655,0.4,387613,2.00 88,1,2024-09-07 09:49:40:569,1029689,1029689,0,0,483567370234,5073484129,1014318,12327,3044,365,392084,0 88,2,2024-09-07 09:49:40:689,742576,742576,0,0,37914295,0,4465 88,3,2024-09-07 09:49:41:267,1,588,1,0,1080,9195,588,0 89,0,2024-09-07 09:49:41:775,153147,0.5,148635,0.7,293208,0.4,398764,1.75 89,1,2024-09-07 09:49:40:550,1029212,1029212,0,0,484571704552,5099706589,1016233,11036,1943,382,391866,0 89,2,2024-09-07 09:49:41:142,741374,741374,0,0,35666769,0,3173 89,3,2024-09-07 09:49:41:791,1,588,2,0,468,10076,588,0 90,0,2024-09-07 09:49:41:621,143995,0.5,147866,0.6,301857,0.4,393971,2.00 90,1,2024-09-07 09:49:40:594,1031517,1031517,0,0,484219490775,5074755557,1021426,9199,892,380,391825,0 90,2,2024-09-07 09:49:41:409,740180,740175,5,0,39230973,0,6370 90,3,2024-09-07 09:49:40:930,1,588,1,0,322,7264,588,0 91,0,2024-09-07 09:49:40:957,148486,0.4,144106,0.6,301430,0.4,395332,1.75 91,1,2024-09-07 09:49:40:560,1028690,1028690,0,0,483996034491,5103877590,1012847,12696,3147,381,392047,0 91,2,2024-09-07 09:49:41:331,742703,742703,0,0,34485255,0,4713 91,3,2024-09-07 09:49:40:607,1,588,4,0,216,5364,588,0 92,0,2024-09-07 09:49:41:472,147580,0.5,150824,0.6,287742,0.5,390505,1.75 92,1,2024-09-07 09:49:40:775,1032486,1032486,0,0,485333506088,5071009781,1024131,7068,1287,381,392136,0 92,2,2024-09-07 09:49:41:359,746017,746017,0,0,30187881,0,3259 92,3,2024-09-07 09:49:41:015,1,588,11,0,167,5120,588,0 93,0,2024-09-07 09:49:40:987,148164,0.4,151877,0.6,289963,0.4,393141,1.75 93,1,2024-09-07 09:49:40:806,1032527,1032527,0,0,484863915444,5062805529,1021002,9598,1927,366,391776,0 93,2,2024-09-07 09:49:40:931,742344,742344,0,0,35820620,0,4913 93,3,2024-09-07 09:49:41:414,1,588,2,0,294,5822,588,0 94,0,2024-09-07 09:49:41:614,146581,0.4,147603,0.5,295300,0.3,392385,1.75 94,1,2024-09-07 09:49:40:674,1033398,1033398,0,0,484424279813,5053813679,1026464,6503,431,381,391850,0 94,2,2024-09-07 09:49:40:765,742519,742491,28,0,30739183,0,6179 94,3,2024-09-07 09:49:41:693,1,588,5,0,576,7424,588,0 95,0,2024-09-07 09:49:41:348,147839,0.3,147973,0.5,296006,0.3,394165,1.75 95,1,2024-09-07 09:49:40:852,1034433,1034433,0,0,485184882061,5044200009,1026006,7680,747,365,391852,0 95,2,2024-09-07 09:49:41:036,742619,742619,0,0,30291555,0,3308 95,3,2024-09-07 09:49:41:727,1,588,8,0,718,9375,588,0 96,0,2024-09-07 09:49:41:052,146484,0.4,146861,0.5,293640,0.3,390571,1.75 96,1,2024-09-07 09:49:41:589,1032734,1032734,0,0,484839451955,5057679158,1025383,6079,1272,384,391964,0 96,2,2024-09-07 09:49:41:268,747994,747994,0,0,31428940,0,4180 96,3,2024-09-07 09:49:41:147,1,588,3,0,411,6889,588,0 97,0,2024-09-07 09:49:41:318,145683,0.3,145456,0.5,291978,0.3,387880,1.75 97,1,2024-09-07 09:49:40:899,1034383,1034383,0,0,485735446198,5042139070,1027470,5879,1034,367,392140,0 97,2,2024-09-07 09:49:40:607,742837,742837,0,0,30819590,0,4046 97,3,2024-09-07 09:49:40:569,1,588,4,0,242,6611,588,0 98,0,2024-09-07 09:49:41:696,147002,0.3,147069,0.5,295082,0.3,392193,1.50 98,1,2024-09-07 09:49:40:573,1033420,1033420,0,0,485097713871,5054241320,1026946,5639,835,381,391997,0 98,2,2024-09-07 09:49:40:772,742446,742446,0,0,29648773,0,4336 98,3,2024-09-07 09:49:40:703,1,588,132,0,840,9005,588,0 99,0,2024-09-07 09:49:41:497,148907,0.3,149648,0.5,297702,0.3,396892,1.75 99,1,2024-09-07 09:49:41:728,1034460,1034460,0,0,484276058194,5041125727,1028099,5419,942,380,392069,0 99,2,2024-09-07 09:49:41:421,743841,743841,0,0,36678778,0,4276 99,3,2024-09-07 09:49:40:584,1,588,1,0,1124,7484,588,0 100,0,2024-09-07 09:49:41:466,147121,0.8,147408,0.9,294412,0.8,392736,2.50 100,1,2024-09-07 09:49:40:560,1028319,1028319,0,0,481991686157,5099017248,1012312,12694,3313,378,391989,0 100,2,2024-09-07 09:49:41:822,742482,742093,389,0,40316094,0,16909 100,3,2024-09-07 09:49:41:731,1,588,3,0,627,10050,588,0 101,0,2024-09-07 09:49:41:715,147778,1.3,144156,1.1,282055,1.4,385673,2.50 101,1,2024-09-07 09:49:40:550,1029785,1029785,0,0,483818678495,5086549208,1015749,11509,2527,368,391847,0 101,2,2024-09-07 09:49:41:758,737493,737493,0,0,40054374,0,4871 101,3,2024-09-07 09:49:40:942,1,588,1,0,1250,8951,588,0 102,0,2024-09-07 09:49:41:000,144645,0.7,148988,0.8,302626,0.7,395604,2.25 102,1,2024-09-07 09:49:41:263,1029963,1029963,0,0,483138421925,5078611563,1015862,11904,2197,369,391984,0 102,2,2024-09-07 09:49:41:737,742866,742812,54,0,34245356,0,6768 102,3,2024-09-07 09:49:41:619,1,588,1,0,466,6880,588,0 103,0,2024-09-07 09:49:41:594,153889,0.6,153857,0.7,289912,0.6,399347,2.00 103,1,2024-09-07 09:49:41:626,1028017,1028017,0,0,482893512091,5103677469,1011128,13294,3595,381,392077,0 103,2,2024-09-07 09:49:40:586,741197,741197,0,0,37106208,0,3766 103,3,2024-09-07 09:49:40:759,1,588,0,0,916,7093,588,0 104,0,2024-09-07 09:49:41:027,147904,0.7,148041,0.9,295531,0.7,395464,2.25 104,1,2024-09-07 09:49:41:602,1031023,1031023,0,0,483721172265,5086978438,1015508,12673,2842,365,392168,0 104,2,2024-09-07 09:49:41:676,740906,740906,0,0,36819343,0,4161 104,3,2024-09-07 09:49:41:428,1,588,1,0,1245,10578,588,0 105,0,2024-09-07 09:49:41:064,143423,1.0,139781,1.1,292814,1.2,384809,2.75 105,1,2024-09-07 09:49:40:568,1032409,1032409,0,0,484525144380,5080343245,1018852,11619,1938,364,392009,0 105,2,2024-09-07 09:49:41:321,743327,743327,0,0,36928577,0,4360 105,3,2024-09-07 09:49:41:303,1,588,2,0,573,9151,588,0 106,0,2024-09-07 09:49:40:950,143597,1.0,147084,1.0,301276,1.1,394159,2.50 106,1,2024-09-07 09:49:41:750,1031190,1031190,0,0,483313860160,5076752267,1016544,12752,1894,368,391914,0 106,2,2024-09-07 09:49:40:764,740830,740830,0,0,34788573,0,3331 106,3,2024-09-07 09:49:40:677,1,588,4,0,1224,8405,588,0 107,0,2024-09-07 09:49:41:110,147725,1.0,147787,0.9,295598,1.2,394353,2.25 107,1,2024-09-07 09:49:40:585,1028173,1028173,0,0,482196950509,5100571657,1010807,15233,2133,381,392234,0 107,2,2024-09-07 09:49:41:302,740016,740015,1,0,37922449,0,5024 107,3,2024-09-07 09:49:41:757,1,588,1,0,733,9030,588,0 108,0,2024-09-07 09:49:41:767,147615,0.4,148126,0.6,295297,0.4,393610,1.75 108,1,2024-09-07 09:49:41:316,1032570,1032570,0,0,484989667960,5060466054,1023891,7685,994,367,391894,0 108,2,2024-09-07 09:49:41:755,742840,742840,0,0,32536915,0,4246 108,3,2024-09-07 09:49:41:330,1,588,16,0,749,10817,588,0 109,0,2024-09-07 09:49:41:780,146578,0.4,145346,0.6,291608,0.3,389188,1.75 109,1,2024-09-07 09:49:40:592,1029762,1029762,0,0,484689971574,5086288970,1020295,8191,1276,382,392132,0 109,2,2024-09-07 09:49:40:921,745044,745044,0,0,33460347,0,3617 109,3,2024-09-07 09:49:41:148,1,588,6,0,630,7272,588,0 110,0,2024-09-07 09:49:41:748,146443,0.4,142396,0.6,298270,0.4,391299,1.75 110,1,2024-09-07 09:49:41:643,1034398,1034398,0,0,485442766737,5041773796,1026363,6110,1925,368,392045,0 110,2,2024-09-07 09:49:41:304,742385,742385,0,0,30852234,0,4067 110,3,2024-09-07 09:49:40:690,1,588,1,0,722,8016,588,0 111,0,2024-09-07 09:49:41:474,147722,0.4,146894,0.5,293840,0.3,391635,1.75 111,1,2024-09-07 09:49:40:999,1035738,1035738,0,0,486228421287,5044251543,1030257,5100,381,380,391690,0 111,2,2024-09-07 09:49:41:119,742262,742262,0,0,31304426,0,4823 111,3,2024-09-07 09:49:40:913,1,588,4,0,379,6506,588,0 112,0,2024-09-07 09:49:40:911,149151,0.3,148490,0.4,297755,0.2,394935,1.50 112,1,2024-09-07 09:49:40:831,1034529,1034529,0,0,485226081076,5040651553,1027337,5967,1225,380,391624,0 112,2,2024-09-07 09:49:41:136,743709,743708,1,0,29646073,0,5036 112,3,2024-09-07 09:49:40:603,1,588,0,0,282,5714,588,0 113,0,2024-09-07 09:49:40:901,146671,0.3,146640,0.5,293563,0.2,390428,1.75 113,1,2024-09-07 09:49:41:701,1036510,1036510,0,0,487156349928,5028035799,1030286,5277,947,365,391664,0 113,2,2024-09-07 09:49:41:302,749782,749782,0,0,28134013,0,3813 113,3,2024-09-07 09:49:40:689,1,588,1,0,510,6342,588,0 114,0,2024-09-07 09:49:40:880,145576,0.3,146534,0.5,291831,0.2,390423,1.75 114,1,2024-09-07 09:49:40:726,1034862,1034862,0,0,485352714344,5041567764,1026939,6177,1746,381,391565,0 114,2,2024-09-07 09:49:40:876,743705,743704,1,0,29191889,0,5069 114,3,2024-09-07 09:49:41:278,1,588,1,0,395,4678,588,0 115,0,2024-09-07 09:49:40:564,148675,0.3,149165,0.4,297001,0.2,395041,1.50 115,1,2024-09-07 09:49:40:572,1034876,1034876,0,0,485925288792,5049895645,1026631,6728,1517,382,391757,0 115,2,2024-09-07 09:49:41:125,744167,744167,0,0,29277544,0,4382 115,3,2024-09-07 09:49:41:002,1,588,1,0,167,3536,588,0 116,0,2024-09-07 09:49:41:881,148371,0.7,148106,0.8,296974,0.6,396711,2.00 116,1,2024-09-07 09:49:40:803,1029640,1029640,0,0,482843144359,5092393161,1017004,9547,3089,380,392089,0 116,2,2024-09-07 09:49:41:750,743231,743231,0,0,36806314,0,4475 116,3,2024-09-07 09:49:40:914,1,588,1,0,448,7713,588,0 117,0,2024-09-07 09:49:40:962,148922,0.7,148205,0.8,296932,0.7,396965,2.00 117,1,2024-09-07 09:49:41:591,1030932,1030932,0,0,482958363471,5060058687,1019321,10090,1521,369,392033,0 117,2,2024-09-07 09:49:41:119,746699,746699,0,0,33330070,0,4303 117,3,2024-09-07 09:49:41:064,1,588,1,0,490,7620,588,0 118,0,2024-09-07 09:49:41:766,140602,0.6,144433,0.7,294767,0.5,386044,2.00 118,1,2024-09-07 09:49:40:588,1029814,1029814,0,0,483095403434,5082756253,1014067,12126,3621,366,392054,0 118,2,2024-09-07 09:49:41:587,742189,742189,0,0,35401131,0,2842 118,3,2024-09-07 09:49:41:764,1,588,0,0,289,7019,588,0 119,0,2024-09-07 09:49:41:348,148631,0.8,149447,0.9,297989,0.9,397158,2.25 119,1,2024-09-07 09:49:40:554,1030745,1030745,0,0,483949670899,5079403477,1016055,12178,2512,367,391857,0 119,2,2024-09-07 09:49:41:267,742963,742963,0,0,33346180,0,4309 119,3,2024-09-07 09:49:41:324,1,588,1,0,1358,10994,588,0 120,0,2024-09-07 09:49:41:591,147632,0.7,147491,0.8,296173,0.7,395041,2.25 120,1,2024-09-07 09:49:40:862,1031951,1031951,0,0,483190603812,5072274075,1020291,10520,1140,367,392144,0 120,2,2024-09-07 09:49:40:782,742033,742030,3,0,40038570,0,5363 120,3,2024-09-07 09:49:41:306,1,588,4,0,279,7215,588,0 121,0,2024-09-07 09:49:41:715,148256,1.1,147636,1.0,295735,1.4,394294,2.25 121,1,2024-09-07 09:49:41:660,1031225,1031225,0,0,483633664564,5065026594,1019949,9726,1550,366,391840,0 121,2,2024-09-07 09:49:41:133,742050,742050,0,0,36484674,0,4157 121,3,2024-09-07 09:49:40:728,1,588,1,0,387,7919,588,0 122,0,2024-09-07 09:49:41:787,145495,0.8,141561,0.9,296466,0.9,390380,2.25 122,1,2024-09-07 09:49:40:863,1030308,1030308,0,0,484200756386,5086980031,1015318,12578,2412,366,392130,0 122,2,2024-09-07 09:49:41:337,745998,745923,75,0,40210469,0,5989 122,3,2024-09-07 09:49:40:602,1,588,6,0,512,9707,588,0 123,0,2024-09-07 09:49:40:967,146823,0.9,142758,0.9,299088,1.0,391919,2.25 123,1,2024-09-07 09:49:40:558,1030261,1030261,0,0,483530283461,5089273699,1013456,14135,2670,369,392039,0 123,2,2024-09-07 09:49:41:019,739962,739961,1,0,34162918,0,5215 123,3,2024-09-07 09:49:41:132,1,588,16,0,478,6840,588,0 124,0,2024-09-07 09:49:40:949,151082,0.3,151135,0.5,285071,0.3,392725,1.75 124,1,2024-09-07 09:49:41:026,1034509,1034509,0,0,484641270877,5040214244,1026780,6554,1175,365,392178,0 124,2,2024-09-07 09:49:41:013,744143,744090,53,0,30631304,0,6487 124,3,2024-09-07 09:49:40:759,1,588,1,0,490,5870,588,0 125,0,2024-09-07 09:49:41:449,147685,0.4,147668,0.5,296319,0.3,394180,1.75 125,1,2024-09-07 09:49:40:857,1031414,1031414,0,0,484151504230,5055807526,1023348,6915,1151,382,391968,0 125,2,2024-09-07 09:49:41:120,745361,745361,0,0,30851487,0,4534 125,3,2024-09-07 09:49:41:140,1,588,3,0,709,6785,588,0 126,0,2024-09-07 09:49:41:418,146824,0.4,150964,0.6,288749,0.4,391165,1.75 126,1,2024-09-07 09:49:40:559,1034925,1034925,0,0,485785397143,5030806870,1029419,5060,446,365,391987,0 126,2,2024-09-07 09:49:40:613,748813,748813,0,0,32202291,0,4539 126,3,2024-09-07 09:49:40:907,1,588,8,0,268,6532,588,0 127,0,2024-09-07 09:49:41:599,146040,0.4,146182,0.5,291655,0.3,388367,1.75 127,1,2024-09-07 09:49:40:569,1032996,1032996,0,0,485076823745,5049794704,1022330,9030,1636,364,392187,0 127,2,2024-09-07 09:49:40:639,741708,741704,4,0,30172787,0,5305 127,3,2024-09-07 09:49:41:267,1,588,2,0,968,5754,588,0 128,0,2024-09-07 09:49:41:536,147890,0.3,147954,0.4,295519,0.2,392793,1.50 128,1,2024-09-07 09:49:41:610,1033465,1033465,0,0,484801382224,5044155598,1025149,7353,963,367,392423,0 128,2,2024-09-07 09:49:41:385,743464,743464,0,0,27892626,0,3171 128,3,2024-09-07 09:49:40:768,1,588,1,0,1082,9416,588,0 129,0,2024-09-07 09:49:41:024,150042,0.3,149188,0.5,299200,0.3,397346,1.50 129,1,2024-09-07 09:49:40:568,1030091,1030091,0,0,483971388696,5074083000,1019662,8407,2022,379,391962,0 129,2,2024-09-07 09:49:40:694,745734,745730,4,0,30603652,0,5335 129,3,2024-09-07 09:49:40:689,1,588,0,0,506,8249,588,0 130,0,2024-09-07 09:49:41:730,148362,0.4,147787,0.5,296208,0.4,394001,1.75 130,1,2024-09-07 09:49:40:602,1034163,1034163,0,0,484930887639,5038458883,1028734,5034,395,381,391825,0 130,2,2024-09-07 09:49:41:124,747025,747025,0,0,30861583,0,4067 130,3,2024-09-07 09:49:41:293,1,588,1,0,960,7922,588,0 131,0,2024-09-07 09:49:41:936,145003,0.3,145324,0.5,291519,0.3,387348,1.75 131,1,2024-09-07 09:49:41:821,1033540,1033540,0,0,485587414209,5062897599,1026328,6016,1196,381,391865,0 131,2,2024-09-07 09:49:40:567,742977,742977,0,0,28502517,0,3979 131,3,2024-09-07 09:49:41:702,1,588,1,0,392,7587,588,0 132,0,2024-09-07 09:49:41:457,148882,0.5,149857,0.6,298439,0.5,396602,2.00 132,1,2024-09-07 09:49:40:579,1029349,1029349,0,0,482618212043,5084100875,1014049,12598,2702,381,392532,0 132,2,2024-09-07 09:49:40:707,742387,742370,17,0,37225502,0,6451 132,3,2024-09-07 09:49:41:697,1,588,3,0,1298,10738,588,0 133,0,2024-09-07 09:49:41:535,145278,0.4,148606,0.6,304747,0.4,396821,2.00 133,1,2024-09-07 09:49:40:585,1029631,1029631,0,0,483601017727,5097664429,1015575,12294,1762,383,391914,0 133,2,2024-09-07 09:49:41:090,743870,743820,50,0,38341834,0,6861 133,3,2024-09-07 09:49:41:302,1,588,12,0,528,7164,588,0 134,0,2024-09-07 09:49:40:952,149106,0.5,149233,0.7,298736,0.5,397690,2.00 134,1,2024-09-07 09:49:40:586,1030688,1030688,0,0,483538619800,5075057520,1016921,11281,2486,366,391781,0 134,2,2024-09-07 09:49:41:755,743913,743889,24,0,35497397,0,6207 134,3,2024-09-07 09:49:40:753,1,588,4,0,739,7286,588,0 135,0,2024-09-07 09:49:41:104,140580,0.8,140541,0.9,298363,1.0,384718,2.25 135,1,2024-09-07 09:49:41:585,1030313,1030313,0,0,484033556052,5091779175,1017300,11373,1640,380,391805,0 135,2,2024-09-07 09:49:40:689,745059,745059,0,0,36236318,0,4503 135,3,2024-09-07 09:49:41:019,1,588,0,0,900,5857,588,0 136,0,2024-09-07 09:49:41:635,149093,0.6,149614,0.8,297104,0.6,397394,2.25 136,1,2024-09-07 09:49:41:452,1031579,1031579,0,0,484079087353,5077508335,1019681,10510,1388,381,392135,0 136,2,2024-09-07 09:49:41:139,743482,743467,15,0,35733286,0,6007 136,3,2024-09-07 09:49:41:107,1,588,1,0,637,6913,588,0 137,0,2024-09-07 09:49:40:948,152672,0.6,148835,0.7,291479,0.6,396102,2.00 137,1,2024-09-07 09:49:40:591,1030333,1030333,0,0,483636350409,5077488857,1013805,13141,3387,366,391898,0 137,2,2024-09-07 09:49:41:721,741247,741247,0,0,36861375,0,3185 137,3,2024-09-07 09:49:40:772,1,588,0,0,484,8180,588,0 138,0,2024-09-07 09:49:41:740,147133,0.7,147345,0.9,295285,0.8,392041,2.00 138,1,2024-09-07 09:49:41:685,1030735,1030735,0,0,483922718496,5080764382,1015969,12496,2270,368,391954,0 138,2,2024-09-07 09:49:40:585,741990,741990,0,0,35210493,0,4988 138,3,2024-09-07 09:49:40:615,1,588,1,0,1200,9376,588,0 139,0,2024-09-07 09:49:41:359,144695,1.1,145256,1.0,290385,1.6,387513,2.25 139,1,2024-09-07 09:49:40:571,1026871,1026871,0,0,481163236151,5106637054,1008490,14557,3824,380,392109,0 139,2,2024-09-07 09:49:40:692,741677,741647,30,0,40544443,0,5997 139,3,2024-09-07 09:49:41:678,1,588,1,0,432,7099,588,0 140,0,2024-09-07 09:49:41:595,147100,0.3,146272,0.5,293365,0.2,391553,1.75 140,1,2024-09-07 09:49:41:538,1037088,1037088,0,0,486837841728,5019962115,1032119,4423,546,364,391606,0 140,2,2024-09-07 09:49:40:689,742977,742976,1,0,28586920,0,5036 140,3,2024-09-07 09:49:40:767,1,588,0,0,575,5958,588,0 141,0,2024-09-07 09:49:41:702,147265,0.3,151374,0.5,289265,0.3,391426,1.75 141,1,2024-09-07 09:49:40:860,1035123,1035123,0,0,485871896813,5049173679,1026926,7005,1192,379,391614,0 141,2,2024-09-07 09:49:41:700,742740,742729,11,0,30692790,0,5369 141,3,2024-09-07 09:49:41:052,1,588,38,0,391,6922,588,0 142,0,2024-09-07 09:49:41:338,149140,0.3,148346,0.5,296968,0.2,395766,1.50 142,1,2024-09-07 09:49:40:609,1033186,1033186,0,0,485380910953,5060964711,1026483,6197,506,382,392102,0 142,2,2024-09-07 09:49:41:304,742966,742934,32,0,31249180,0,6028 142,3,2024-09-07 09:49:41:746,1,588,44,0,484,6299,588,0 143,0,2024-09-07 09:49:41:425,146393,0.4,146547,0.5,293945,0.4,390302,1.75 143,1,2024-09-07 09:49:40:563,1035738,1035738,0,0,485634196237,5039731463,1029286,5885,567,367,391722,0 143,2,2024-09-07 09:49:40:768,747993,747993,0,0,31128272,0,3123 143,3,2024-09-07 09:49:41:141,1,588,8,0,462,7240,588,0 144,0,2024-09-07 09:49:41:502,141065,0.6,145088,0.8,295120,0.6,387088,2.00 144,1,2024-09-07 09:49:40:570,1029912,1029912,0,0,483519085507,5075516321,1019388,8532,1992,381,391733,0 144,2,2024-09-07 09:49:41:754,743201,743201,0,0,30125709,0,4443 144,3,2024-09-07 09:49:41:743,1,588,2,0,249,5708,588,0 145,0,2024-09-07 09:49:41:357,143193,0.6,143110,0.8,303802,0.5,391075,2.25 145,1,2024-09-07 09:49:40:553,1029424,1029424,0,0,482986388713,5085624685,1015408,11345,2671,382,391781,0 145,2,2024-09-07 09:49:41:429,740618,740536,82,0,36083051,0,7814 145,3,2024-09-07 09:49:40:900,1,588,5,0,622,7902,588,0 146,0,2024-09-07 09:49:41:635,148616,0.6,148019,0.7,297428,0.5,394954,2.00 146,1,2024-09-07 09:49:41:587,1030707,1030707,0,0,483666288391,5093792790,1013208,13018,4481,367,391770,0 146,2,2024-09-07 09:49:41:703,742264,742258,6,0,34651357,0,5151 146,3,2024-09-07 09:49:41:274,1,588,10,0,1520,9729,588,0 147,0,2024-09-07 09:49:41:710,148796,0.6,148530,0.7,296853,0.5,396178,2.25 147,1,2024-09-07 09:49:41:375,1034442,1034442,0,0,485321488679,5045326800,1025957,7518,967,367,391791,0 147,2,2024-09-07 09:49:41:011,744897,744897,0,0,30681607,0,2968 147,3,2024-09-07 09:49:40:914,1,588,1,0,1626,9571,588,0 0,0,2024-09-07 09:49:51:751,144553,0.6,144485,0.7,306777,0.6,396293,2.00 0,1,2024-09-07 09:49:50:831,1032728,1032728,0,0,485114816992,5080343963,1024198,7585,945,368,391896,0 0,2,2024-09-07 09:49:51:070,746131,746131,0,0,30570211,0,4480 0,3,2024-09-07 09:49:50:976,1,589,2,0,431,8643,589,0 1,0,2024-09-07 09:49:51:790,148330,0.8,147253,0.9,296126,1.0,395511,2.00 1,1,2024-09-07 09:49:50:562,1032238,1032238,0,0,484404899363,5075415039,1022806,7942,1490,370,391859,0 1,2,2024-09-07 09:49:50:639,744760,744760,0,0,29908246,0,3380 1,3,2024-09-07 09:49:51:309,1,589,0,0,269,7358,589,0 2,0,2024-09-07 09:49:51:611,146191,0.6,146034,0.7,291766,0.6,389648,2.00 2,1,2024-09-07 09:49:50:859,1035526,1035526,0,0,486838417179,5063133066,1029363,5213,950,379,391745,0 2,2,2024-09-07 09:49:51:273,746754,746754,0,0,28765243,0,3594 2,3,2024-09-07 09:49:50:691,1,589,1,0,357,5271,589,0 3,0,2024-09-07 09:49:51:747,147740,0.4,147569,0.6,294605,0.3,393295,2.00 3,1,2024-09-07 09:49:51:622,1033837,1033837,0,0,486079787418,5056664475,1026254,6879,704,379,391716,0 3,2,2024-09-07 09:49:51:146,744848,744825,23,0,29764655,0,5851 3,3,2024-09-07 09:49:51:752,1,589,2,0,484,4546,589,0 4,0,2024-09-07 09:49:51:796,143282,0.4,147140,0.5,300001,0.3,392899,1.75 4,1,2024-09-07 09:49:50:603,1030016,1030016,0,0,482522723144,5110475515,1012214,14048,3754,370,391992,0 4,2,2024-09-07 09:49:51:019,740876,740876,0,0,36481867,0,4534 4,3,2024-09-07 09:49:51:047,1,589,12,0,448,7297,589,0 5,0,2024-09-07 09:49:51:399,148125,0.4,148116,0.5,296469,0.3,394077,1.75 5,1,2024-09-07 09:49:50:764,1030236,1030236,0,0,484299944670,5116000495,1013922,12190,4124,367,392005,0 5,2,2024-09-07 09:49:51:844,741722,741722,0,0,35323728,0,3582 5,3,2024-09-07 09:49:51:736,1,589,0,0,457,8165,589,0 6,0,2024-09-07 09:49:50:930,147026,0.5,146685,0.7,293395,0.4,391061,2.00 6,1,2024-09-07 09:49:50:746,1033497,1033497,0,0,484959563067,5067388643,1022476,9448,1573,379,391702,0 6,2,2024-09-07 09:49:51:119,748707,748689,18,0,34424178,0,5535 6,3,2024-09-07 09:49:51:279,1,589,5,0,710,7260,589,0 7,0,2024-09-07 09:49:51:534,145303,0.5,146368,0.7,290809,0.5,388333,2.00 7,1,2024-09-07 09:49:50:860,1031176,1031176,0,0,484510736029,5104650718,1014854,12556,3766,382,391747,0 7,2,2024-09-07 09:49:50:771,742489,742489,0,0,32992759,0,4791 7,3,2024-09-07 09:49:50:850,1,589,2,0,552,6986,589,0 8,0,2024-09-07 09:49:51:387,147839,0.4,147536,0.5,295433,0.3,393377,1.75 8,1,2024-09-07 09:49:51:025,1030496,1030496,0,0,483663925222,5090983687,1013782,13128,3586,366,392853,0 8,2,2024-09-07 09:49:50:792,738501,738499,2,0,39337868,0,5112 8,3,2024-09-07 09:49:50:588,1,589,2,0,772,9455,589,0 9,0,2024-09-07 09:49:51:133,148750,0.4,144737,0.5,302902,0.3,396931,1.75 9,1,2024-09-07 09:49:50:603,1030474,1030474,0,0,483310135001,5098707522,1013796,13453,3225,369,392001,0 9,2,2024-09-07 09:49:51:101,743524,743523,1,0,37181450,0,5281 9,3,2024-09-07 09:49:51:753,1,589,1,0,1273,10158,589,0 10,0,2024-09-07 09:49:51:600,147452,0.3,146779,0.5,294904,0.3,392348,1.75 10,1,2024-09-07 09:49:50:583,1032158,1032158,0,0,484291592387,5085190414,1016444,12651,3063,381,391981,0 10,2,2024-09-07 09:49:50:765,747275,747275,0,0,39835806,0,4713 10,3,2024-09-07 09:49:50:872,1,589,0,0,669,6760,589,0 11,0,2024-09-07 09:49:51:020,145119,0.5,140797,0.6,294482,0.4,388087,1.75 11,1,2024-09-07 09:49:50:571,1033709,1033709,0,0,485435495356,5096225568,1017888,11518,4303,383,391766,0 11,2,2024-09-07 09:49:51:133,742038,742038,0,0,35275126,0,4698 11,3,2024-09-07 09:49:51:298,1,589,1,0,843,7770,589,0 12,0,2024-09-07 09:49:50:973,149812,0.4,149787,0.6,299166,0.4,397515,1.75 12,1,2024-09-07 09:49:50:936,1033499,1033499,0,0,484797375021,5057854477,1025141,7352,1006,370,391960,0 12,2,2024-09-07 09:49:51:562,742450,742450,0,0,33940700,0,4390 12,3,2024-09-07 09:49:51:062,1,589,1,0,386,7503,589,0 13,0,2024-09-07 09:49:51:329,149941,0.4,150116,0.5,299320,0.4,398778,1.75 13,1,2024-09-07 09:49:51:527,1030923,1030923,0,0,484706194776,5097041518,1020049,8485,2389,382,391768,0 13,2,2024-09-07 09:49:50:595,746461,746461,0,0,30349168,0,3287 13,3,2024-09-07 09:49:51:766,1,589,30,0,522,7899,589,0 14,0,2024-09-07 09:49:50:569,149054,0.4,150169,0.6,298035,0.3,397158,1.75 14,1,2024-09-07 09:49:51:561,1038234,1038234,0,0,486960065543,5041509337,1029779,7326,1129,364,391673,0 14,2,2024-09-07 09:49:50:765,746332,746302,30,0,31730774,0,6104 14,3,2024-09-07 09:49:51:117,1,589,9,0,1168,6532,589,0 15,0,2024-09-07 09:49:51:561,145277,0.4,144354,0.6,289973,0.4,386717,2.00 15,1,2024-09-07 09:49:51:608,1035260,1035260,0,0,485957984106,5063154930,1026191,7156,1913,381,391619,0 15,2,2024-09-07 09:49:51:004,746618,746618,0,0,27513815,0,3622 15,3,2024-09-07 09:49:51:405,1,589,0,0,1126,7868,589,0 16,0,2024-09-07 09:49:51:042,148924,0.6,149631,0.8,298654,0.6,397813,2.00 16,1,2024-09-07 09:49:50:581,1035265,1035265,0,0,485215903970,5074040764,1025982,7840,1443,370,392194,0 16,2,2024-09-07 09:49:51:438,743186,743186,0,0,31118650,0,4719 16,3,2024-09-07 09:49:51:147,1,589,0,0,358,7515,589,0 17,0,2024-09-07 09:49:51:813,152742,0.6,148908,0.8,291749,0.6,396798,2.00 17,1,2024-09-07 09:49:50:579,1033035,1033035,0,0,484364887270,5082668569,1022752,8513,1770,368,392075,0 17,2,2024-09-07 09:49:51:666,746801,746800,1,0,32395048,0,5050 17,3,2024-09-07 09:49:50:574,1,589,1,0,518,8720,589,0 18,0,2024-09-07 09:49:50:948,146585,0.6,147522,0.8,293567,0.7,392264,2.25 18,1,2024-09-07 09:49:51:638,1037122,1037122,0,0,485883655348,5041070927,1030061,5804,1257,367,391725,0 18,2,2024-09-07 09:49:51:755,746992,746992,0,0,28739730,0,3541 18,3,2024-09-07 09:49:50:901,1,589,6,0,1059,5519,589,0 19,0,2024-09-07 09:49:51:547,145737,0.6,145954,0.8,290760,0.6,387145,2.00 19,1,2024-09-07 09:49:50:573,1036987,1036987,0,0,487068824722,5049745387,1029243,6611,1133,367,391777,0 19,2,2024-09-07 09:49:51:752,750599,750599,0,0,27363358,0,3988 19,3,2024-09-07 09:49:51:129,1,589,4,0,524,4572,589,0 20,0,2024-09-07 09:49:51:379,146790,0.6,146626,0.7,293060,0.6,391204,2.00 20,1,2024-09-07 09:49:50:577,1032710,1032710,0,0,484879109949,5082669536,1022140,9064,1506,369,391922,0 20,2,2024-09-07 09:49:50:937,742854,742854,0,0,33862197,0,4321 20,3,2024-09-07 09:49:50:592,1,589,19,0,468,9609,589,0 21,0,2024-09-07 09:49:51:135,147169,0.5,147215,0.6,294448,0.4,391292,2.00 21,1,2024-09-07 09:49:51:557,1030970,1030970,0,0,483705310797,5109560096,1014112,13025,3833,368,392016,0 21,2,2024-09-07 09:49:51:067,737954,737477,477,0,44567026,0,17074 21,3,2024-09-07 09:49:51:405,1,589,0,0,713,8603,589,0 22,0,2024-09-07 09:49:51:724,148317,0.5,148917,0.7,297125,0.4,394154,2.00 22,1,2024-09-07 09:49:51:025,1032018,1032018,0,0,483200429034,5089703720,1014206,14272,3540,382,391822,0 22,2,2024-09-07 09:49:50:763,743154,743128,26,0,32368789,0,6328 22,3,2024-09-07 09:49:51:068,1,589,1,0,228,4988,589,0 23,0,2024-09-07 09:49:51:373,146300,0.5,146033,0.7,292121,0.5,389561,2.25 23,1,2024-09-07 09:49:51:007,1031997,1031997,0,0,485055325164,5115234657,1012526,12893,6578,365,391690,0 23,2,2024-09-07 09:49:51:092,749332,749332,0,0,31159678,0,3773 23,3,2024-09-07 09:49:51:753,1,589,1,0,855,8803,589,0 24,0,2024-09-07 09:49:50:839,146561,0.4,145908,0.6,293435,0.4,390007,1.75 24,1,2024-09-07 09:49:50:593,1032130,1032130,0,0,484066405045,5070276951,1022072,8349,1709,367,392269,0 24,2,2024-09-07 09:49:51:069,742269,742266,3,0,37690354,0,6294 24,3,2024-09-07 09:49:51:691,1,589,23,0,468,7625,589,0 25,0,2024-09-07 09:49:51:367,152285,0.5,148138,0.6,291063,0.4,396168,2.00 25,1,2024-09-07 09:49:50:573,1030538,1030538,0,0,483759674296,5115707325,1011781,15047,3710,369,391928,0 25,2,2024-09-07 09:49:51:630,740770,740770,0,0,38231922,0,3978 25,3,2024-09-07 09:49:51:018,1,589,8,0,532,6474,589,0 26,0,2024-09-07 09:49:51:730,148073,0.4,144757,0.6,304029,0.4,395561,1.75 26,1,2024-09-07 09:49:51:541,1034160,1034160,0,0,484705298183,5078339191,1019826,11801,2533,380,391748,0 26,2,2024-09-07 09:49:50:861,744437,744437,0,0,41039986,0,4689 26,3,2024-09-07 09:49:51:711,1,589,1,0,796,7549,589,0 27,0,2024-09-07 09:49:51:727,148733,0.4,149400,0.6,296985,0.4,395767,2.25 27,1,2024-09-07 09:49:51:699,1034664,1034664,0,0,486272992394,5070751760,1024269,8786,1609,381,391626,0 27,2,2024-09-07 09:49:50:869,743677,743612,65,0,35804725,0,5699 27,3,2024-09-07 09:49:51:033,1,589,2,0,564,5191,589,0 28,0,2024-09-07 09:49:51:418,145562,0.4,145613,0.6,291538,0.3,388428,2.00 28,1,2024-09-07 09:49:50:803,1035575,1035575,0,0,486485192043,5073320805,1026652,7032,1891,382,391904,0 28,2,2024-09-07 09:49:51:774,744166,744166,0,0,30651259,0,2915 28,3,2024-09-07 09:49:51:781,1,589,26,0,502,5905,589,0 29,0,2024-09-07 09:49:51:365,153433,0.4,149349,0.6,293176,0.4,399365,1.75 29,1,2024-09-07 09:49:51:576,1038705,1038705,0,0,486363037566,5032306958,1032352,5579,774,367,391809,0 29,2,2024-09-07 09:49:50:861,743830,743830,0,0,29829054,0,4986 29,3,2024-09-07 09:49:50:968,1,589,3,0,459,6476,589,0 30,0,2024-09-07 09:49:51:466,147879,0.5,144049,0.7,301548,0.4,394142,2.00 30,1,2024-09-07 09:49:50:570,1037779,1037779,0,0,487509158148,5059242636,1030216,6653,910,380,391672,0 30,2,2024-09-07 09:49:51:273,745870,745870,0,0,28509308,0,4192 30,3,2024-09-07 09:49:50:589,1,589,1,0,519,5570,589,0 31,0,2024-09-07 09:49:51:757,148061,0.4,148824,0.6,296581,0.4,396241,2.00 31,1,2024-09-07 09:49:50:564,1040914,1040914,0,0,488155287579,5014822392,1034460,5380,1074,356,391712,0 31,2,2024-09-07 09:49:51:278,744201,744201,0,0,32026633,0,4470 31,3,2024-09-07 09:49:51:706,1,589,1,0,239,5008,589,0 32,0,2024-09-07 09:49:51:422,146464,0.3,147438,0.5,293414,0.3,391036,1.75 32,1,2024-09-07 09:49:50:810,1037185,1037185,0,0,486487735860,5056331293,1031008,5459,718,381,391646,0 32,2,2024-09-07 09:49:50:936,748367,748367,0,0,28197171,0,3922 32,3,2024-09-07 09:49:51:015,1,589,1,0,304,4724,589,0 33,0,2024-09-07 09:49:51:498,148242,0.3,147929,0.4,296020,0.2,394426,1.50 33,1,2024-09-07 09:49:50:596,1037026,1037026,0,0,487235339545,5048801253,1028586,7168,1272,368,391730,0 33,2,2024-09-07 09:49:50:771,744645,744610,35,0,31153820,0,7012 33,3,2024-09-07 09:49:50:902,1,589,0,0,329,5206,589,0 34,0,2024-09-07 09:49:50:952,147702,0.3,151779,0.5,290283,0.2,392123,1.75 34,1,2024-09-07 09:49:51:049,1039533,1039533,0,0,487516136597,5016197882,1036574,2849,110,367,391637,0 34,2,2024-09-07 09:49:50:766,744994,744994,0,0,29687437,0,4562 34,3,2024-09-07 09:49:51:691,1,589,20,0,541,5484,589,0 35,0,2024-09-07 09:49:50:857,147232,0.3,148125,0.5,297094,0.2,394583,1.75 35,1,2024-09-07 09:49:51:069,1035474,1035474,0,0,486032226156,5046353390,1027367,6584,1523,382,391769,0 35,2,2024-09-07 09:49:51:587,744586,744586,0,0,32539289,0,4055 35,3,2024-09-07 09:49:50:907,1,589,1,0,466,5664,589,0 36,0,2024-09-07 09:49:51:522,147236,0.5,147235,0.7,293988,0.4,391859,2.00 36,1,2024-09-07 09:49:50:583,1033903,1033903,0,0,485682615849,5074773557,1020328,11335,2240,366,391759,0 36,2,2024-09-07 09:49:51:753,748656,748656,0,0,34029039,0,3875 36,3,2024-09-07 09:49:50:864,1,589,0,0,556,8215,589,0 37,0,2024-09-07 09:49:51:370,145325,0.5,145414,0.7,290954,0.5,388649,2.25 37,1,2024-09-07 09:49:50:574,1033841,1033834,0,7,485240187440,5069741709,1021190,9731,2913,365,391770,0 37,2,2024-09-07 09:49:51:146,740698,740683,15,0,33997961,0,5815 37,3,2024-09-07 09:49:51:766,1,589,1,0,888,7953,589,0 38,0,2024-09-07 09:49:51:446,146538,0.5,142057,0.7,297170,0.4,389295,2.00 38,1,2024-09-07 09:49:51:613,1033720,1033720,0,0,485600585034,5082646634,1018395,12326,2999,368,391821,0 38,2,2024-09-07 09:49:50:763,742672,742625,47,0,34203897,0,6710 38,3,2024-09-07 09:49:51:006,1,589,1,0,689,7386,589,0 39,0,2024-09-07 09:49:51:767,152131,0.5,148679,0.7,289948,0.5,395654,2.00 39,1,2024-09-07 09:49:50:718,1033551,1033551,0,0,485202830714,5090563282,1016109,13727,3715,365,391865,0 39,2,2024-09-07 09:49:51:424,745256,745256,0,0,32262716,0,3391 39,3,2024-09-07 09:49:50:722,1,589,4,0,525,6654,589,0 40,0,2024-09-07 09:49:51:540,146140,0.9,146769,1.0,292983,1.0,390636,2.75 40,1,2024-09-07 09:49:50:577,1034404,1034404,0,0,484200455499,5077004130,1018801,12535,3068,368,391668,0 40,2,2024-09-07 09:49:51:304,745404,745397,7,0,38892359,0,5347 40,3,2024-09-07 09:49:51:156,1,589,4,0,1028,8778,589,0 41,0,2024-09-07 09:49:51:070,144336,1.4,147672,1.2,281678,2.1,383323,3.25 41,1,2024-09-07 09:49:50:785,1033251,1033251,0,0,485080930405,5079033735,1019487,11606,2158,369,391878,0 41,2,2024-09-07 09:49:50:764,739791,739790,1,0,37040031,0,5408 41,3,2024-09-07 09:49:51:693,1,589,8,0,366,6392,589,0 42,0,2024-09-07 09:49:51:488,147721,1.0,147386,1.1,294950,1.2,391315,2.75 42,1,2024-09-07 09:49:51:451,1030811,1030811,0,0,483659996679,5086385399,1014322,13557,2932,380,391675,0 42,2,2024-09-07 09:49:51:132,741408,741407,1,0,37276591,0,5513 42,3,2024-09-07 09:49:51:018,1,589,1,0,892,5594,589,0 43,0,2024-09-07 09:49:50:915,147693,0.7,143835,0.9,301075,0.7,393722,2.25 43,1,2024-09-07 09:49:50:577,1034645,1034645,0,0,485670289953,5078933468,1019907,12166,2572,366,391696,0 43,2,2024-09-07 09:49:51:737,745090,745090,0,0,35308900,0,4723 43,3,2024-09-07 09:49:51:753,1,589,1,0,571,8675,589,0 44,0,2024-09-07 09:49:50:862,149419,0.4,149371,0.6,299070,0.3,397598,1.75 44,1,2024-09-07 09:49:50:581,1037296,1037296,0,0,486224176834,5028552646,1027933,7422,1941,356,391809,0 44,2,2024-09-07 09:49:51:273,744180,744180,0,0,28585252,0,4344 44,3,2024-09-07 09:49:51:105,1,589,4,0,1097,7357,589,0 45,0,2024-09-07 09:49:51:778,143633,0.5,140322,0.7,294522,0.5,386929,2.00 45,1,2024-09-07 09:49:51:044,1036056,1036056,0,0,487143100995,5063517246,1027805,7348,903,382,391917,0 45,2,2024-09-07 09:49:51:273,746077,746077,0,0,28934066,0,3596 45,3,2024-09-07 09:49:50:935,1,589,6,0,531,5723,589,0 46,0,2024-09-07 09:49:50:975,148323,0.6,147847,0.8,296667,0.6,394415,2.25 46,1,2024-09-07 09:49:50:580,1038355,1038355,0,0,487605770272,5042048547,1030863,6504,988,366,391709,0 46,2,2024-09-07 09:49:50:592,744743,744743,0,0,29623372,0,4443 46,3,2024-09-07 09:49:51:136,1,589,2,0,908,7168,589,0 47,0,2024-09-07 09:49:51:107,148569,0.4,148465,0.6,298160,0.4,395159,2.00 47,1,2024-09-07 09:49:50:567,1038902,1038902,0,0,487445033054,5037126257,1032804,5236,862,365,391641,0 47,2,2024-09-07 09:49:50:908,747629,747629,0,0,28648185,0,4477 47,3,2024-09-07 09:49:51:118,1,589,1,0,600,6577,589,0 48,0,2024-09-07 09:49:51:504,148271,0.3,148392,0.4,296366,0.2,394130,1.50 48,1,2024-09-07 09:49:51:023,1036654,1036654,0,0,485995883533,5048343375,1030556,5520,578,381,391710,0 48,2,2024-09-07 09:49:50:701,745049,745049,0,0,27078843,0,3524 48,3,2024-09-07 09:49:50:754,1,589,1,0,339,5127,589,0 49,0,2024-09-07 09:49:51:714,150185,0.3,147208,0.5,286529,0.3,391354,1.75 49,1,2024-09-07 09:49:51:025,1035502,1035502,0,0,485700845462,5053914746,1028232,5575,1695,382,391809,0 49,2,2024-09-07 09:49:51:796,749260,749260,0,0,29412808,0,4426 49,3,2024-09-07 09:49:51:416,1,589,6,0,992,7073,589,0 50,0,2024-09-07 09:49:51:512,147507,0.3,145759,0.5,293835,0.2,391187,1.75 50,1,2024-09-07 09:49:51:017,1038747,1038747,0,0,488068645240,5046366751,1032223,5855,669,368,391691,0 50,2,2024-09-07 09:49:51:066,742775,742775,0,0,27078051,0,4490 50,3,2024-09-07 09:49:51:293,1,589,3,0,617,6135,589,0 51,0,2024-09-07 09:49:51:683,151066,0.3,148006,0.5,288017,0.2,393015,1.75 51,1,2024-09-07 09:49:51:684,1039676,1039676,0,0,488371525303,5037048236,1034021,4575,1080,365,391706,0 51,2,2024-09-07 09:49:51:316,744199,744199,0,0,26663453,0,3337 51,3,2024-09-07 09:49:51:027,1,589,1,0,678,4261,589,0 52,0,2024-09-07 09:49:51:423,148949,0.5,148630,0.7,297535,0.4,395122,2.00 52,1,2024-09-07 09:49:50:582,1034057,1034057,0,0,485232155906,5080839953,1019038,12689,2330,368,391805,0 52,2,2024-09-07 09:49:51:754,741355,741317,38,0,36066375,0,6742 52,3,2024-09-07 09:49:50:677,1,589,12,0,1782,7075,589,0 53,0,2024-09-07 09:49:51:738,146062,0.7,141775,0.8,296518,0.7,388691,2.50 53,1,2024-09-07 09:49:50:775,1032536,1032536,0,0,484650238466,5089677998,1014355,13786,4395,367,391968,0 53,2,2024-09-07 09:49:51:299,748283,748282,1,0,32680822,0,5455 53,3,2024-09-07 09:49:50:698,1,589,0,0,308,5570,589,0 54,0,2024-09-07 09:49:51:615,144097,0.6,144421,0.8,287615,0.5,384468,2.25 54,1,2024-09-07 09:49:50:580,1035324,1035324,0,0,486482674293,5062274171,1024220,9434,1670,366,391810,0 54,2,2024-09-07 09:49:50:865,743138,743106,32,0,36230730,0,6397 54,3,2024-09-07 09:49:50:764,1,589,1,0,676,7756,589,0 55,0,2024-09-07 09:49:51:764,142940,0.7,147349,0.8,298761,0.6,388953,2.50 55,1,2024-09-07 09:49:50:765,1035527,1035527,0,0,485791837607,5054793345,1024670,9468,1389,365,391731,0 55,2,2024-09-07 09:49:50:748,741636,741580,56,0,33710741,0,7239 55,3,2024-09-07 09:49:50:679,1,589,0,0,304,5459,589,0 56,0,2024-09-07 09:49:51:561,151646,1.1,142877,1.1,294468,1.5,394097,2.75 56,1,2024-09-07 09:49:50:570,1029158,1029158,0,0,484061716803,5124078028,1011197,14276,3685,381,391867,0 56,2,2024-09-07 09:49:51:307,744008,743886,122,0,36013004,0,7432 56,3,2024-09-07 09:49:51:062,1,589,2,0,705,6887,589,0 57,0,2024-09-07 09:49:50:954,147014,1.4,146857,1.2,293946,2.0,392375,3.25 57,1,2024-09-07 09:49:50:996,1031809,1031809,0,0,483918522834,5084142786,1017389,11931,2489,366,392032,0 57,2,2024-09-07 09:49:51:345,745836,745836,0,0,37658443,0,4804 57,3,2024-09-07 09:49:51:748,1,589,1,0,455,6998,589,0 58,0,2024-09-07 09:49:50:571,143166,1.0,139021,1.0,290826,1.3,380821,2.75 58,1,2024-09-07 09:49:50:587,1033524,1033521,0,3,485037805562,5089120334,1017379,12241,3901,367,391726,3 58,2,2024-09-07 09:49:51:071,744024,744024,0,0,35338022,0,3483 58,3,2024-09-07 09:49:51:070,1,589,7,0,1043,6671,589,0 59,0,2024-09-07 09:49:51:744,147722,0.9,147235,1.0,294263,1.1,390439,3.00 59,1,2024-09-07 09:49:50:815,1032133,1032133,0,0,485132782652,5102747254,1014314,14152,3667,369,391653,0 59,2,2024-09-07 09:49:50:583,743663,743663,0,0,33416568,0,3727 59,3,2024-09-07 09:49:51:737,1,589,1,0,1015,7324,589,0 60,0,2024-09-07 09:49:51:719,148597,0.5,148759,0.7,297672,0.5,396544,1.75 60,1,2024-09-07 09:49:50:792,1037226,1037226,0,0,487174733004,5064566651,1029282,6857,1087,370,392031,0 60,2,2024-09-07 09:49:51:146,745437,745437,0,0,31518186,0,3811 60,3,2024-09-07 09:49:51:267,1,589,1,0,409,6919,589,0 61,0,2024-09-07 09:49:51:527,148289,0.6,148790,0.8,296227,0.6,395585,2.00 61,1,2024-09-07 09:49:50:784,1033765,1033765,0,0,485348490150,5082805241,1022646,9440,1679,382,392127,0 61,2,2024-09-07 09:49:51:116,745407,745340,67,0,32064644,0,6411 61,3,2024-09-07 09:49:51:687,1,589,8,0,607,7809,589,0 62,0,2024-09-07 09:49:51:738,146810,0.6,150653,0.8,287623,0.6,391059,2.00 62,1,2024-09-07 09:49:51:121,1040293,1040287,0,6,488212084058,5034505062,1035324,4664,299,365,391975,6 62,2,2024-09-07 09:49:51:653,744910,744909,1,0,32153742,0,5555 62,3,2024-09-07 09:49:51:156,1,589,1,0,482,4800,589,0 63,0,2024-09-07 09:49:51:465,148249,0.4,148073,0.6,296732,0.4,394653,1.75 63,1,2024-09-07 09:49:50:810,1036585,1036579,0,6,486540105174,5053991434,1029733,5991,855,381,391800,6 63,2,2024-09-07 09:49:50:763,743992,743992,0,0,30457509,0,4369 63,3,2024-09-07 09:49:51:745,1,589,4,0,667,6173,589,0 64,0,2024-09-07 09:49:51:542,146771,0.5,146668,0.7,292930,0.4,390630,2.00 64,1,2024-09-07 09:49:50:837,1035855,1035855,0,0,487277163352,5075981596,1026532,7347,1976,370,391794,0 64,2,2024-09-07 09:49:51:148,747891,747872,19,0,29289808,0,6121 64,3,2024-09-07 09:49:51:140,1,589,6,0,651,6819,589,0 65,0,2024-09-07 09:49:51:767,146960,0.6,147229,0.7,294172,0.6,391928,2.00 65,1,2024-09-07 09:49:50:859,1034037,1034037,0,0,484668878836,5066923715,1026612,6552,873,381,391901,0 65,2,2024-09-07 09:49:51:699,743913,743913,0,0,35249055,0,3367 65,3,2024-09-07 09:49:51:683,1,589,19,0,782,6646,589,0 66,0,2024-09-07 09:49:51:762,146359,0.5,145926,0.8,292076,0.5,388966,2.00 66,1,2024-09-07 09:49:51:295,1036621,1036621,0,0,486597073885,5063851793,1030125,5774,722,380,391743,0 66,2,2024-09-07 09:49:51:133,751093,751090,3,0,31608659,0,5455 66,3,2024-09-07 09:49:51:081,1,589,1,0,291,5075,589,0 67,0,2024-09-07 09:49:51:413,145804,0.5,145531,0.7,292141,0.5,389139,2.00 67,1,2024-09-07 09:49:50:766,1035656,1035655,0,1,487405742193,5075289383,1028330,6381,944,380,391787,1 67,2,2024-09-07 09:49:50:589,745604,745589,15,0,31108133,0,6205 67,3,2024-09-07 09:49:51:752,1,589,37,0,595,6268,589,0 68,0,2024-09-07 09:49:50:562,147483,0.6,147354,0.8,293379,0.6,392516,2.25 68,1,2024-09-07 09:49:50:573,1031487,1031487,0,0,484017297664,5091458960,1017703,10254,3530,381,391953,0 68,2,2024-09-07 09:49:51:052,739523,739423,100,0,39318764,0,8578 68,3,2024-09-07 09:49:50:755,1,589,0,0,417,7721,589,0 69,0,2024-09-07 09:49:51:792,148120,0.7,148937,0.8,297033,0.6,394430,2.25 69,1,2024-09-07 09:49:51:028,1030534,1030534,0,0,483785998101,5100602940,1016683,11020,2831,383,391994,0 69,2,2024-09-07 09:49:51:750,742747,742718,29,0,41550140,0,6912 69,3,2024-09-07 09:49:50:765,1,589,1,0,698,8585,589,0 70,0,2024-09-07 09:49:51:539,145916,0.8,146410,0.9,294358,0.7,389442,2.50 70,1,2024-09-07 09:49:50:805,1037189,1037189,0,0,487282067022,5057369911,1029279,7104,806,366,391725,0 70,2,2024-09-07 09:49:51:324,747495,747495,0,0,34615452,0,4323 70,3,2024-09-07 09:49:50:747,1,589,1,0,854,6597,589,0 71,0,2024-09-07 09:49:51:395,143868,1.1,143640,1.1,288336,1.4,385320,2.75 71,1,2024-09-07 09:49:51:596,1034933,1034933,0,0,485347360579,5068564535,1021946,11366,1621,368,391738,0 71,2,2024-09-07 09:49:51:073,742344,742344,0,0,34634036,0,4352 71,3,2024-09-07 09:49:51:751,1,589,1,0,644,6736,589,0 72,0,2024-09-07 09:49:51:048,153576,0.6,150081,0.7,292972,0.6,398364,2.00 72,1,2024-09-07 09:49:51:032,1032565,1032565,0,0,484960281494,5090976935,1017270,12780,2515,369,391819,0 72,2,2024-09-07 09:49:51:757,741552,741552,0,0,36384795,0,3983 72,3,2024-09-07 09:49:51:757,1,589,1,0,564,8783,589,0 73,0,2024-09-07 09:49:51:109,145294,0.4,149067,0.6,304769,0.4,396325,2.00 73,1,2024-09-07 09:49:50:777,1034628,1034628,0,0,485754134898,5052499253,1026229,7419,980,367,391858,0 73,2,2024-09-07 09:49:51:772,744523,744522,1,0,37916510,0,5027 73,3,2024-09-07 09:49:50:969,1,589,1,0,1091,8350,589,0 74,0,2024-09-07 09:49:51:368,150181,0.5,153854,0.7,293427,0.4,397895,2.00 74,1,2024-09-07 09:49:50:635,1033630,1033630,0,0,485245510231,5069384107,1021729,9785,2116,381,391762,0 74,2,2024-09-07 09:49:51:004,744567,744567,0,0,33124130,0,4253 74,3,2024-09-07 09:49:51:442,1,589,1,0,522,7401,589,0 75,0,2024-09-07 09:49:51:764,145728,0.6,144607,0.8,290320,0.5,388400,2.25 75,1,2024-09-07 09:49:51:584,1035033,1035033,0,0,485127111115,5059053157,1026413,7752,868,380,391739,0 75,2,2024-09-07 09:49:51:350,743690,743690,0,0,40175462,0,4766 75,3,2024-09-07 09:49:51:068,1,589,1,0,918,8109,589,0 76,0,2024-09-07 09:49:50:585,148538,0.6,148008,0.8,295979,0.6,396116,2.25 76,1,2024-09-07 09:49:50:811,1034806,1034806,0,0,486412191026,5073790071,1027487,6330,989,382,391790,0 76,2,2024-09-07 09:49:51:069,745942,745939,3,0,32365172,0,5265 76,3,2024-09-07 09:49:51:146,1,589,4,0,227,5445,589,0 77,0,2024-09-07 09:49:51:723,147771,0.5,148369,0.7,296582,0.5,394470,2.00 77,1,2024-09-07 09:49:50:824,1034561,1034561,0,0,486998755856,5081567849,1026585,7101,875,381,391869,0 77,2,2024-09-07 09:49:51:287,744267,744267,0,0,31560450,0,3890 77,3,2024-09-07 09:49:51:103,1,589,7,0,401,6795,589,0 78,0,2024-09-07 09:49:51:734,148465,0.4,147908,0.6,296376,0.4,392719,2.00 78,1,2024-09-07 09:49:50:622,1034798,1034798,0,0,485452112629,5066331465,1021959,9922,2917,367,391670,0 78,2,2024-09-07 09:49:51:405,745905,745892,13,0,29514519,0,8313 78,3,2024-09-07 09:49:51:136,1,589,1,0,311,5016,589,0 79,0,2024-09-07 09:49:51:348,141281,0.4,144564,0.6,296209,0.3,386066,2.00 79,1,2024-09-07 09:49:50:577,1038102,1038102,0,0,487680593038,5049781486,1030258,6484,1360,367,391682,0 79,2,2024-09-07 09:49:51:069,748297,748297,0,0,28717029,0,4195 79,3,2024-09-07 09:49:50:753,1,589,3,0,418,7069,589,0 80,0,2024-09-07 09:49:51:081,146671,0.5,150660,0.7,288147,0.5,390125,2.00 80,1,2024-09-07 09:49:51:649,1035099,1035099,0,0,486008207711,5061964537,1028049,6599,451,368,392269,0 80,2,2024-09-07 09:49:51:102,745674,745674,0,0,29126507,0,4433 80,3,2024-09-07 09:49:50:580,1,589,2,0,681,7337,589,0 81,0,2024-09-07 09:49:51:724,147191,0.5,150534,0.7,287413,0.5,390037,2.00 81,1,2024-09-07 09:49:51:668,1033486,1033486,0,0,484875858417,5068215848,1025339,7383,764,382,391885,0 81,2,2024-09-07 09:49:51:129,742872,742809,63,0,32345314,0,5932 81,3,2024-09-07 09:49:51:133,1,589,1,0,719,6960,589,0 82,0,2024-09-07 09:49:51:561,148265,0.5,148422,0.7,297573,0.4,394113,2.00 82,1,2024-09-07 09:49:50:583,1036432,1036428,0,4,485930063762,5051968165,1030860,4745,823,381,391768,4 82,2,2024-09-07 09:49:51:709,746955,746955,0,0,27457989,0,4484 82,3,2024-09-07 09:49:51:756,1,589,1,0,363,5655,589,0 83,0,2024-09-07 09:49:51:524,146720,0.6,146749,0.7,292754,0.6,388692,2.25 83,1,2024-09-07 09:49:50:556,1034495,1034495,0,0,485641081584,5063672058,1026954,6896,645,382,391709,0 83,2,2024-09-07 09:49:50:785,748783,748758,25,0,29632028,0,5612 83,3,2024-09-07 09:49:50:754,1,589,18,0,1260,7131,589,0 84,0,2024-09-07 09:49:51:768,144100,0.8,144290,0.9,288571,0.8,385975,2.25 84,1,2024-09-07 09:49:51:039,1032912,1032912,0,0,485350281609,5077579694,1020870,10371,1671,367,391967,0 84,2,2024-09-07 09:49:50:578,741447,741037,410,0,43119949,0,17037 84,3,2024-09-07 09:49:51:146,1,589,1,0,908,7971,589,0 85,0,2024-09-07 09:49:51:029,142108,0.7,142097,0.8,301745,0.7,389276,2.25 85,1,2024-09-07 09:49:50:565,1030290,1030290,0,0,483486183507,5108395916,1013990,13504,2796,381,392092,0 85,2,2024-09-07 09:49:50:865,742152,742152,0,0,36285086,0,4255 85,3,2024-09-07 09:49:50:690,1,589,2,0,789,6851,589,0 86,0,2024-09-07 09:49:50:897,148534,0.7,152611,0.8,291897,0.7,394765,2.25 86,1,2024-09-07 09:49:50:825,1032998,1032998,0,0,485565644487,5094213210,1019174,11139,2685,366,392169,0 86,2,2024-09-07 09:49:50:859,743961,743960,1,0,38539668,0,5004 86,3,2024-09-07 09:49:50:587,1,589,1,0,308,8324,589,0 87,0,2024-09-07 09:49:51:327,148736,0.8,148295,0.8,296466,0.9,395605,2.25 87,1,2024-09-07 09:49:50:559,1032560,1032560,0,0,484899897813,5082825521,1019185,11578,1797,366,392076,0 87,2,2024-09-07 09:49:51:075,745561,745555,6,0,35125145,0,6323 87,3,2024-09-07 09:49:51:801,1,589,0,0,473,8494,589,0 88,0,2024-09-07 09:49:51:453,145387,0.5,145782,0.6,291437,0.4,388551,2.00 88,1,2024-09-07 09:49:50:579,1031463,1031463,0,0,484273856845,5080706740,1016092,12327,3044,365,392084,0 88,2,2024-09-07 09:49:50:689,743389,743389,0,0,37927708,0,4465 88,3,2024-09-07 09:49:51:284,1,589,4,0,1080,9199,589,0 89,0,2024-09-07 09:49:51:773,153256,0.5,148744,0.7,293419,0.4,398994,1.75 89,1,2024-09-07 09:49:50:563,1030999,1030999,0,0,485201931313,5106187170,1018020,11036,1943,382,391866,0 89,2,2024-09-07 09:49:51:133,742856,742856,0,0,35714982,0,3173 89,3,2024-09-07 09:49:51:800,1,589,1,0,468,10077,589,0 90,0,2024-09-07 09:49:51:614,144278,0.5,148164,0.6,302390,0.4,394548,2.00 90,1,2024-09-07 09:49:50:591,1033287,1033287,0,0,484895838087,5081704315,1023196,9199,892,380,391825,0 90,2,2024-09-07 09:49:51:407,741723,741718,5,0,39251525,0,6370 90,3,2024-09-07 09:49:50:935,1,589,6,0,322,7270,589,0 91,0,2024-09-07 09:49:50:949,148761,0.4,144361,0.6,301964,0.4,396190,1.75 91,1,2024-09-07 09:49:50:563,1030485,1030485,0,0,484738911453,5111493519,1014641,12697,3147,381,392047,0 91,2,2024-09-07 09:49:51:335,744105,744105,0,0,34505092,0,4713 91,3,2024-09-07 09:49:50:598,1,589,20,0,216,5384,589,0 92,0,2024-09-07 09:49:51:508,147991,0.5,151194,0.6,288480,0.5,391257,1.75 92,1,2024-09-07 09:49:50:585,1034258,1034258,0,0,486214009546,5080121194,1025902,7069,1287,381,392136,0 92,2,2024-09-07 09:49:51:350,746812,746812,0,0,30209969,0,3259 92,3,2024-09-07 09:49:51:012,1,589,4,0,167,5124,589,0 93,0,2024-09-07 09:49:50:963,148496,0.4,152272,0.6,290726,0.4,394552,1.75 93,1,2024-09-07 09:49:50:809,1034278,1034278,0,0,485716499499,5071506547,1022752,9599,1927,366,391776,0 93,2,2024-09-07 09:49:50:932,743794,743794,0,0,35872057,0,4913 93,3,2024-09-07 09:49:51:406,1,589,0,0,294,5822,589,0 94,0,2024-09-07 09:49:51:607,146931,0.4,147893,0.5,295989,0.3,393257,1.75 94,1,2024-09-07 09:49:50:563,1035211,1035211,0,0,485314822348,5062969042,1028276,6504,431,381,391850,0 94,2,2024-09-07 09:49:50:765,743962,743934,28,0,30785827,0,6179 94,3,2024-09-07 09:49:51:706,1,589,10,0,576,7434,589,0 95,0,2024-09-07 09:49:51:346,148004,0.3,148149,0.5,296336,0.3,394578,1.75 95,1,2024-09-07 09:49:50:858,1036223,1036223,0,0,486143007563,5054006702,1027796,7680,747,365,391852,0 95,2,2024-09-07 09:49:51:025,743714,743714,0,0,30317092,0,3308 95,3,2024-09-07 09:49:51:709,1,589,1,0,718,9376,589,0 96,0,2024-09-07 09:49:51:023,146787,0.4,147141,0.5,294240,0.3,391192,1.75 96,1,2024-09-07 09:49:51:587,1034535,1034535,0,0,485629993303,5066164044,1027161,6102,1272,384,391964,0 96,2,2024-09-07 09:49:51:274,749134,749134,0,0,31458267,0,4180 96,3,2024-09-07 09:49:51:156,1,589,1,0,411,6890,589,0 97,0,2024-09-07 09:49:51:330,146080,0.3,145901,0.5,292754,0.3,388910,1.75 97,1,2024-09-07 09:49:50:764,1036123,1036123,0,0,486486892089,5049878599,1029210,5879,1034,367,392140,0 97,2,2024-09-07 09:49:50:616,744266,744266,0,0,30851550,0,4046 97,3,2024-09-07 09:49:50:580,1,589,7,0,242,6618,589,0 98,0,2024-09-07 09:49:51:691,147158,0.3,147217,0.5,295380,0.3,392663,1.50 98,1,2024-09-07 09:49:50:581,1035206,1035206,0,0,485775066010,5061234571,1028732,5639,835,381,391997,0 98,2,2024-09-07 09:49:50:772,743935,743935,0,0,29689626,0,4336 98,3,2024-09-07 09:49:50:704,1,589,3,0,840,9008,589,0 99,0,2024-09-07 09:49:51:449,149004,0.3,149767,0.5,297933,0.3,397140,1.75 99,1,2024-09-07 09:49:51:736,1036203,1036203,0,0,485087202168,5049435349,1029842,5419,942,380,392069,0 99,2,2024-09-07 09:49:51:417,744981,744981,0,0,36707316,0,4276 99,3,2024-09-07 09:49:50:582,1,589,13,0,1124,7497,589,0 100,0,2024-09-07 09:49:51:469,147181,0.8,147457,0.9,294537,0.8,393052,2.50 100,1,2024-09-07 09:49:50:561,1030061,1030061,0,0,482837074690,5107665478,1014054,12694,3313,378,391989,0 100,2,2024-09-07 09:49:51:819,743845,743456,389,0,40329169,0,16909 100,3,2024-09-07 09:49:51:735,1,589,1,0,627,10051,589,0 101,0,2024-09-07 09:49:51:711,148228,1.2,144563,1.1,282862,1.3,387018,2.50 101,1,2024-09-07 09:49:50:553,1031575,1031575,0,0,484472515005,5093242540,1017539,11509,2527,368,391847,0 101,2,2024-09-07 09:49:51:756,738650,738650,0,0,40064683,0,4871 101,3,2024-09-07 09:49:50:969,1,589,1,0,1250,8952,589,0 102,0,2024-09-07 09:49:50:968,144731,0.7,149089,0.8,302828,0.7,395883,2.25 102,1,2024-09-07 09:49:51:148,1031700,1031700,0,0,483926413266,5086662217,1017596,11907,2197,369,391984,0 102,2,2024-09-07 09:49:51:739,744199,744145,54,0,34256217,0,6768 102,3,2024-09-07 09:49:51:620,1,589,3,0,466,6883,589,0 103,0,2024-09-07 09:49:51:600,154073,0.6,154035,0.7,290223,0.6,399600,2.00 103,1,2024-09-07 09:49:51:627,1029821,1029821,0,0,483733597035,5112230662,1012931,13295,3595,381,392077,0 103,2,2024-09-07 09:49:50:583,742701,742701,0,0,37118063,0,3766 103,3,2024-09-07 09:49:50:762,1,589,1,0,916,7094,589,0 104,0,2024-09-07 09:49:51:019,148162,0.7,148326,0.9,296075,0.7,396020,2.25 104,1,2024-09-07 09:49:51:606,1032734,1032734,0,0,484466554748,5094560658,1017218,12674,2842,365,392168,0 104,2,2024-09-07 09:49:51:673,742382,742382,0,0,36840841,0,4161 104,3,2024-09-07 09:49:51:416,1,589,16,0,1245,10594,589,0 105,0,2024-09-07 09:49:51:035,143760,1.0,140097,1.1,293459,1.2,385987,2.75 105,1,2024-09-07 09:49:50:561,1034156,1034156,0,0,485279357365,5088033558,1020599,11619,1938,364,392009,0 105,2,2024-09-07 09:49:51:321,744033,744033,0,0,36938846,0,4360 105,3,2024-09-07 09:49:51:304,1,589,1,0,573,9152,589,0 106,0,2024-09-07 09:49:50:959,143955,1.0,147461,1.0,302028,1.2,394653,2.50 106,1,2024-09-07 09:49:51:755,1032995,1032995,0,0,484214146534,5085877537,1018349,12752,1894,368,391914,0 106,2,2024-09-07 09:49:50:762,742310,742310,0,0,34809666,0,3331 106,3,2024-09-07 09:49:50:678,1,589,1,0,1224,8406,589,0 107,0,2024-09-07 09:49:51:131,148016,1.0,148098,0.9,296216,1.2,395202,2.25 107,1,2024-09-07 09:49:50:584,1029964,1029964,0,0,483008718838,5108877424,1012597,15234,2133,381,392234,0 107,2,2024-09-07 09:49:51:293,741526,741525,1,0,37948850,0,5024 107,3,2024-09-07 09:49:51:756,1,589,6,0,733,9036,589,0 108,0,2024-09-07 09:49:51:790,147791,0.4,148308,0.6,295636,0.4,394159,1.75 108,1,2024-09-07 09:49:51:294,1034347,1034347,0,0,485753115708,5068326210,1025666,7687,994,367,391894,0 108,2,2024-09-07 09:49:51:755,743959,743959,0,0,32566369,0,4246 108,3,2024-09-07 09:49:51:332,1,589,1,0,749,10818,589,0 109,0,2024-09-07 09:49:51:776,146882,0.4,145689,0.6,292313,0.3,390375,1.75 109,1,2024-09-07 09:49:50:609,1031520,1031520,0,0,485464830065,5094301965,1022052,8192,1276,382,392132,0 109,2,2024-09-07 09:49:50:937,746137,746137,0,0,33491033,0,3617 109,3,2024-09-07 09:49:51:148,1,589,14,0,630,7286,589,0 110,0,2024-09-07 09:49:51:776,146773,0.4,142695,0.6,298965,0.4,392023,1.75 110,1,2024-09-07 09:49:51:659,1036164,1036164,0,0,486382780473,5051326821,1028129,6110,1925,368,392045,0 110,2,2024-09-07 09:49:51:306,743940,743940,0,0,30898024,0,4067 110,3,2024-09-07 09:49:50:694,1,589,1,0,722,8017,589,0 111,0,2024-09-07 09:49:51:415,147984,0.4,147132,0.5,294346,0.3,392565,1.75 111,1,2024-09-07 09:49:51:009,1037469,1037469,0,0,487091382887,5053097093,1031988,5100,381,380,391690,0 111,2,2024-09-07 09:49:51:122,743486,743486,0,0,31342325,0,4823 111,3,2024-09-07 09:49:50:919,1,589,10,0,379,6516,589,0 112,0,2024-09-07 09:49:50:942,149292,0.3,148615,0.4,298018,0.2,395411,1.50 112,1,2024-09-07 09:49:50:831,1036190,1036190,0,0,485877906210,5047425557,1028998,5967,1225,380,391624,0 112,2,2024-09-07 09:49:51:133,744788,744787,1,0,29662741,0,5036 112,3,2024-09-07 09:49:50:591,1,589,1,0,282,5715,589,0 113,0,2024-09-07 09:49:50:869,146880,0.3,146866,0.5,293980,0.2,391318,1.75 113,1,2024-09-07 09:49:51:688,1038339,1038339,0,0,487904988720,5035734944,1032115,5277,947,365,391664,0 113,2,2024-09-07 09:49:51:303,751068,751068,0,0,28209490,0,3813 113,3,2024-09-07 09:49:50:687,1,589,1,0,510,6343,589,0 114,0,2024-09-07 09:49:50:894,146078,0.3,147007,0.5,292774,0.2,391590,1.75 114,1,2024-09-07 09:49:50:717,1036595,1036595,0,0,486260505270,5050832158,1028671,6178,1746,381,391565,0 114,2,2024-09-07 09:49:50:873,745001,745000,1,0,29221062,0,5069 114,3,2024-09-07 09:49:51:278,1,589,0,0,395,4678,589,0 115,0,2024-09-07 09:49:50:553,148784,0.3,149276,0.4,297213,0.2,395350,1.50 115,1,2024-09-07 09:49:50:571,1036735,1036735,0,0,486678907792,5057650193,1028490,6728,1517,382,391757,0 115,2,2024-09-07 09:49:51:131,745352,745352,0,0,29323215,0,4382 115,3,2024-09-07 09:49:51:006,1,589,37,0,167,3573,589,0 116,0,2024-09-07 09:49:51:704,148504,0.7,148233,0.8,297183,0.6,397038,2.00 116,1,2024-09-07 09:49:50:820,1031384,1031384,0,0,483643685945,5100593769,1018748,9547,3089,380,392089,0 116,2,2024-09-07 09:49:51:751,744765,744765,0,0,36819629,0,4475 116,3,2024-09-07 09:49:50:919,1,589,0,0,448,7713,589,0 117,0,2024-09-07 09:49:50:985,149037,0.7,148325,0.8,297190,0.7,397004,2.00 117,1,2024-09-07 09:49:51:580,1032705,1032705,0,0,483974571754,5070360468,1021094,10090,1521,369,392033,0 117,2,2024-09-07 09:49:51:140,748095,748095,0,0,33341668,0,4303 117,3,2024-09-07 09:49:51:059,1,589,1,0,490,7621,589,0 118,0,2024-09-07 09:49:51:816,140996,0.6,144818,0.7,295571,0.5,386992,2.00 118,1,2024-09-07 09:49:50:594,1031612,1031612,0,0,483976402012,5091744709,1015861,12130,3621,366,392054,0 118,2,2024-09-07 09:49:51:594,743117,743117,0,0,35408782,0,2842 118,3,2024-09-07 09:49:51:815,1,589,20,0,289,7039,589,0 119,0,2024-09-07 09:49:51:341,148738,0.8,149553,0.9,298181,0.9,397390,2.25 119,1,2024-09-07 09:49:50:550,1032529,1032529,0,0,484650725014,5086557070,1017839,12178,2512,367,391857,0 119,2,2024-09-07 09:49:51:265,744394,744394,0,0,33356621,0,4309 119,3,2024-09-07 09:49:51:325,1,589,1,0,1358,10995,589,0 120,0,2024-09-07 09:49:51:574,147907,0.7,147739,0.8,296725,0.7,395630,2.25 120,1,2024-09-07 09:49:50:865,1033661,1033661,0,0,484086317763,5081373288,1022001,10520,1140,367,392144,0 120,2,2024-09-07 09:49:50:776,743584,743581,3,0,40061485,0,5363 120,3,2024-09-07 09:49:51:291,1,589,2,0,279,7217,589,0 121,0,2024-09-07 09:49:51:702,148528,1.1,147895,1.0,296222,1.4,395160,2.25 121,1,2024-09-07 09:49:51:661,1032949,1032949,0,0,484500315642,5073835272,1021672,9727,1550,366,391840,0 121,2,2024-09-07 09:49:51:129,743420,743420,0,0,36534483,0,4157 121,3,2024-09-07 09:49:50:728,1,589,1,0,387,7920,589,0 122,0,2024-09-07 09:49:51:768,145842,0.8,141918,0.9,297284,0.9,391048,2.25 122,1,2024-09-07 09:49:50:859,1032117,1032117,0,0,484830512455,5093460169,1017126,12579,2412,366,392130,0 122,2,2024-09-07 09:49:51:329,746828,746753,75,0,40221483,0,5989 122,3,2024-09-07 09:49:50:608,1,589,7,0,512,9714,589,0 123,0,2024-09-07 09:49:50:966,147160,0.9,143127,0.9,299901,1.0,393390,2.25 123,1,2024-09-07 09:49:50:559,1032029,1032029,0,0,484324581200,5097373194,1015224,14135,2670,369,392039,0 123,2,2024-09-07 09:49:51:028,741509,741508,1,0,34188442,0,5215 123,3,2024-09-07 09:49:51:132,1,589,1,0,478,6841,589,0 124,0,2024-09-07 09:49:50:944,151413,0.3,151519,0.5,285689,0.3,393598,1.75 124,1,2024-09-07 09:49:51:025,1036323,1036323,0,0,485637279592,5050391761,1028592,6556,1175,365,392178,0 124,2,2024-09-07 09:49:51:031,745624,745571,53,0,30674525,0,6487 124,3,2024-09-07 09:49:50:765,1,589,37,0,490,5907,589,0 125,0,2024-09-07 09:49:51:433,147869,0.4,147844,0.5,296631,0.3,394633,1.75 125,1,2024-09-07 09:49:50:856,1033187,1033187,0,0,484929634880,5063792310,1025121,6915,1151,382,391968,0 125,2,2024-09-07 09:49:51:118,746558,746558,0,0,30891583,0,4534 125,3,2024-09-07 09:49:51:146,1,589,13,0,709,6798,589,0 126,0,2024-09-07 09:49:51:421,147084,0.4,151285,0.6,289341,0.4,391821,1.75 126,1,2024-09-07 09:49:50:562,1036737,1036737,0,0,486628041682,5039427319,1031231,5060,446,365,391987,0 126,2,2024-09-07 09:49:50:625,749926,749926,0,0,32233287,0,4539 126,3,2024-09-07 09:49:50:922,1,589,3,0,268,6535,589,0 127,0,2024-09-07 09:49:51:597,146444,0.4,146628,0.5,292479,0.3,389386,1.75 127,1,2024-09-07 09:49:50:574,1034714,1034714,0,0,485960186786,5058797573,1024048,9030,1636,364,392187,0 127,2,2024-09-07 09:49:50:647,743072,743068,4,0,30212181,0,5305 127,3,2024-09-07 09:49:51:278,1,589,1,0,968,5755,589,0 128,0,2024-09-07 09:49:51:532,148012,0.3,148114,0.4,295814,0.2,393247,1.50 128,1,2024-09-07 09:49:51:617,1035198,1035198,0,0,485503666467,5051360239,1026882,7353,963,367,392423,0 128,2,2024-09-07 09:49:51:390,744749,744749,0,0,27963682,0,3171 128,3,2024-09-07 09:49:50:775,1,589,12,0,1082,9428,589,0 129,0,2024-09-07 09:49:51:020,150153,0.3,149298,0.5,299440,0.3,397617,1.50 129,1,2024-09-07 09:49:50:567,1031792,1031792,0,0,484842568442,5083030221,1021362,8408,2022,379,391962,0 129,2,2024-09-07 09:49:50:691,746992,746988,4,0,30636304,0,5335 129,3,2024-09-07 09:49:50:688,1,589,2,0,506,8251,589,0 130,0,2024-09-07 09:49:51:720,148438,0.4,147848,0.5,296327,0.4,394324,1.75 130,1,2024-09-07 09:49:50:592,1035887,1035887,0,0,485664358909,5046004737,1030458,5034,395,381,391825,0 130,2,2024-09-07 09:49:51:131,748178,748178,0,0,30914035,0,4067 130,3,2024-09-07 09:49:51:293,1,589,14,0,960,7936,589,0 131,0,2024-09-07 09:49:51:953,145411,0.3,145737,0.5,292311,0.3,388685,1.75 131,1,2024-09-07 09:49:51:826,1035281,1035281,0,0,486549946669,5072770959,1028069,6016,1196,381,391865,0 131,2,2024-09-07 09:49:50:572,744070,744070,0,0,28517333,0,3979 131,3,2024-09-07 09:49:51:703,1,589,66,0,392,7653,589,0 132,0,2024-09-07 09:49:51:414,148983,0.5,149954,0.6,298625,0.5,396903,2.00 132,1,2024-09-07 09:49:50:576,1031081,1031081,0,0,483391169163,5092014516,1015781,12598,2702,381,392532,0 132,2,2024-09-07 09:49:50:701,743524,743507,17,0,37237319,0,6451 132,3,2024-09-07 09:49:51:699,1,589,17,0,1298,10755,589,0 133,0,2024-09-07 09:49:51:548,145442,0.4,148762,0.6,305059,0.4,397086,2.00 133,1,2024-09-07 09:49:50:594,1031428,1031428,0,0,484556210843,5107404290,1017371,12295,1762,383,391914,0 133,2,2024-09-07 09:49:51:108,745296,745246,50,0,38359535,0,6861 133,3,2024-09-07 09:49:51:297,1,589,1,0,528,7165,589,0 134,0,2024-09-07 09:49:50:958,149368,0.5,149514,0.7,299264,0.5,398215,2.00 134,1,2024-09-07 09:49:50:595,1032533,1032533,0,0,484298635006,5082812716,1018766,11281,2486,366,391781,0 134,2,2024-09-07 09:49:51:756,745383,745359,24,0,35509277,0,6207 134,3,2024-09-07 09:49:50:752,1,589,5,0,739,7291,589,0 135,0,2024-09-07 09:49:51:118,140899,0.8,140853,0.9,299054,1.0,385811,2.25 135,1,2024-09-07 09:49:51:587,1032069,1032069,0,0,484781081875,5099443215,1019056,11373,1640,380,391805,0 135,2,2024-09-07 09:49:50:689,745691,745691,0,0,36242145,0,4503 135,3,2024-09-07 09:49:51:006,1,589,1,0,900,5858,589,0 136,0,2024-09-07 09:49:51:632,149445,0.6,150001,0.8,297801,0.6,397717,2.25 136,1,2024-09-07 09:49:51:443,1033317,1033317,0,0,484855927014,5085468187,1021419,10510,1388,381,392135,0 136,2,2024-09-07 09:49:51:137,745101,745086,15,0,35759620,0,6007 136,3,2024-09-07 09:49:51:124,1,589,8,0,637,6921,589,0 137,0,2024-09-07 09:49:50:932,152982,0.6,149161,0.7,292107,0.6,396867,2.00 137,1,2024-09-07 09:49:50:575,1032139,1032139,0,0,484531316799,5086582497,1015609,13142,3388,366,391898,0 137,2,2024-09-07 09:49:51:714,742858,742858,0,0,36916971,0,3185 137,3,2024-09-07 09:49:50:774,1,589,2,0,484,8182,589,0 138,0,2024-09-07 09:49:51:753,147307,0.7,147536,0.8,295634,0.8,392626,2.00 138,1,2024-09-07 09:49:51:697,1032514,1032514,0,0,484392062381,5085571739,1017748,12496,2270,368,391954,0 138,2,2024-09-07 09:49:50:615,743087,743087,0,0,35222447,0,4988 138,3,2024-09-07 09:49:50:621,1,589,7,0,1200,9383,589,0 139,0,2024-09-07 09:49:51:392,145010,1.1,145570,1.0,291083,1.6,388686,2.25 139,1,2024-09-07 09:49:50:577,1028623,1028623,0,0,482135903074,5116565007,1010242,14557,3824,380,392109,0 139,2,2024-09-07 09:49:50:692,742730,742700,30,0,40559168,0,5997 139,3,2024-09-07 09:49:51:668,1,589,7,0,432,7106,589,0 140,0,2024-09-07 09:49:51:593,147429,0.3,146560,0.5,294012,0.2,392264,1.75 140,1,2024-09-07 09:49:51:541,1038868,1038868,0,0,487507461664,5026826498,1033899,4423,546,364,391606,0 140,2,2024-09-07 09:49:50:691,744502,744501,1,0,28622376,0,5036 140,3,2024-09-07 09:49:50:776,1,589,13,0,575,5971,589,0 141,0,2024-09-07 09:49:51:707,147518,0.3,151618,0.5,289771,0.3,392353,1.75 141,1,2024-09-07 09:49:50:859,1036815,1036815,0,0,486762763350,5058355478,1028618,7005,1192,379,391614,0 141,2,2024-09-07 09:49:51:696,744015,744004,11,0,30732602,0,5369 141,3,2024-09-07 09:49:51:043,1,589,2,0,391,6924,589,0 142,0,2024-09-07 09:49:51:307,149273,0.3,148465,0.5,297226,0.2,396239,1.50 142,1,2024-09-07 09:49:50:590,1034982,1034982,0,0,486046769850,5067851135,1028279,6197,506,382,392102,0 142,2,2024-09-07 09:49:51:299,744070,744038,32,0,31273825,0,6028 142,3,2024-09-07 09:49:51:774,1,589,32,0,484,6331,589,0 143,0,2024-09-07 09:49:51:396,146605,0.4,146743,0.5,294383,0.4,391206,1.75 143,1,2024-09-07 09:49:50:560,1037532,1037532,0,0,486550781750,5049207440,1031079,5886,567,367,391722,0 143,2,2024-09-07 09:49:50:791,749356,749356,0,0,31172903,0,3123 143,3,2024-09-07 09:49:51:160,1,589,4,0,462,7244,589,0 144,0,2024-09-07 09:49:51:504,141485,0.6,145587,0.8,296081,0.6,388213,2.00 144,1,2024-09-07 09:49:50:566,1031593,1031593,0,0,484352869837,5084139235,1021069,8532,1992,381,391733,0 144,2,2024-09-07 09:49:51:756,744517,744517,0,0,30151884,0,4443 144,3,2024-09-07 09:49:51:746,1,589,11,0,249,5719,589,0 145,0,2024-09-07 09:49:51:357,143300,0.6,143210,0.8,304035,0.5,391405,2.25 145,1,2024-09-07 09:49:50:559,1031116,1031116,0,0,483870634066,5094620116,1017100,11345,2671,382,391781,0 145,2,2024-09-07 09:49:51:448,741852,741770,82,0,36094288,0,7814 145,3,2024-09-07 09:49:50:911,1,589,7,0,622,7909,589,0 146,0,2024-09-07 09:49:51:772,148707,0.6,148119,0.7,297632,0.5,395303,2.00 146,1,2024-09-07 09:49:51:608,1032401,1032401,0,0,484435253934,5101660968,1014902,13018,4481,367,391770,0 146,2,2024-09-07 09:49:51:707,743864,743858,6,0,34672000,0,5151 146,3,2024-09-07 09:49:51:278,1,589,13,0,1520,9742,589,0 147,0,2024-09-07 09:49:51:704,148925,0.6,148647,0.7,297057,0.5,396180,2.25 147,1,2024-09-07 09:49:51:374,1036230,1036230,0,0,486087604010,5053254422,1027745,7518,967,367,391791,0 147,2,2024-09-07 09:49:51:012,746288,746288,0,0,30730931,0,2968 147,3,2024-09-07 09:49:50:920,1,589,2,0,1626,9573,589,0 0,0,2024-09-07 09:50:01:827,144780,0.6,144706,0.7,307216,0.6,396855,2.00 0,1,2024-09-07 09:50:00:801,1034530,1034530,0,0,485963173786,5089180330,1025999,7586,945,368,391896,0 0,2,2024-09-07 09:50:01:088,747646,747646,0,0,30606008,0,4480 0,3,2024-09-07 09:50:00:974,1,590,1,0,431,8644,590,0 1,0,2024-09-07 09:50:01:752,148638,0.8,147577,0.9,296737,1.0,396403,2.00 1,1,2024-09-07 09:50:00:565,1034120,1034120,0,0,485061345951,5082309380,1024686,7944,1490,370,391859,0 1,2,2024-09-07 09:50:00:640,746170,746170,0,0,29975799,0,3380 1,3,2024-09-07 09:50:01:307,1,590,8,0,269,7366,590,0 2,0,2024-09-07 09:50:01:568,146494,0.6,146350,0.7,292362,0.6,390301,2.00 2,1,2024-09-07 09:50:00:859,1037257,1037257,0,0,487555555900,5070696575,1031090,5217,950,379,391745,0 2,2,2024-09-07 09:50:01:266,747505,747505,0,0,28780312,0,3594 2,3,2024-09-07 09:50:00:693,1,590,13,0,357,5284,590,0 3,0,2024-09-07 09:50:01:760,148232,0.4,148106,0.6,295664,0.4,394836,2.00 3,1,2024-09-07 09:50:01:618,1035644,1035644,0,0,486971836263,5065837409,1028060,6880,704,379,391716,0 3,2,2024-09-07 09:50:01:148,746426,746403,23,0,29803034,0,5851 3,3,2024-09-07 09:50:01:758,1,590,16,0,484,4562,590,0 4,0,2024-09-07 09:50:01:789,143600,0.4,147475,0.5,300672,0.3,393731,1.75 4,1,2024-09-07 09:50:00:598,1031789,1031789,0,0,483387047599,5119291165,1013987,14048,3754,370,391992,0 4,2,2024-09-07 09:50:01:018,742399,742399,0,0,36502488,0,4534 4,3,2024-09-07 09:50:01:031,1,590,0,0,448,7297,590,0 5,0,2024-09-07 09:50:01:377,148283,0.4,148305,0.5,296791,0.3,394489,1.75 5,1,2024-09-07 09:50:00:755,1032025,1032025,0,0,485086265069,5124013630,1015710,12191,4124,367,392005,0 5,2,2024-09-07 09:50:01:830,742734,742734,0,0,35331642,0,3582 5,3,2024-09-07 09:50:01:745,1,590,11,0,457,8176,590,0 6,0,2024-09-07 09:50:00:919,147280,0.5,146941,0.7,293898,0.4,391674,2.00 6,1,2024-09-07 09:50:00:745,1035229,1035229,0,0,485749735316,5075423400,1024208,9448,1573,379,391702,0 6,2,2024-09-07 09:50:01:116,749727,749709,18,0,34431054,0,5535 6,3,2024-09-07 09:50:01:274,1,590,1,0,710,7261,590,0 7,0,2024-09-07 09:50:01:565,145726,0.5,146768,0.7,291617,0.5,389380,2.00 7,1,2024-09-07 09:50:00:850,1032951,1032951,0,0,485234405942,5112046817,1016628,12557,3766,382,391747,0 7,2,2024-09-07 09:50:00:770,743990,743990,0,0,33008622,0,4791 7,3,2024-09-07 09:50:00:851,1,590,2,0,552,6988,590,0 8,0,2024-09-07 09:50:01:322,147988,0.4,147670,0.5,295771,0.3,393832,1.75 8,1,2024-09-07 09:50:01:032,1032256,1032256,0,0,484305215476,5097553759,1015542,13128,3586,366,392853,0 8,2,2024-09-07 09:50:00:792,739863,739861,2,0,39353380,0,5112 8,3,2024-09-07 09:50:00:585,1,590,78,0,772,9533,590,0 9,0,2024-09-07 09:50:01:163,148858,0.4,144843,0.5,303096,0.3,397170,1.75 9,1,2024-09-07 09:50:00:557,1032205,1032205,0,0,484290785761,5108661582,1015526,13454,3225,369,392001,0 9,2,2024-09-07 09:50:01:086,744630,744629,1,0,37198008,0,5281 9,3,2024-09-07 09:50:01:753,1,590,2,0,1273,10160,590,0 10,0,2024-09-07 09:50:01:601,147548,0.3,146876,0.5,295104,0.3,392679,1.75 10,1,2024-09-07 09:50:00:583,1033946,1033946,0,0,485193966323,5094386981,1018232,12651,3063,381,391981,0 10,2,2024-09-07 09:50:00:761,748662,748662,0,0,39851335,0,4713 10,3,2024-09-07 09:50:00:871,1,590,1,0,669,6761,590,0 11,0,2024-09-07 09:50:01:007,145587,0.5,141283,0.6,295478,0.4,389601,1.75 11,1,2024-09-07 09:50:00:571,1035447,1035447,0,0,486230802630,5104318045,1019626,11518,4303,383,391766,0 11,2,2024-09-07 09:50:01:123,743222,743222,0,0,35284319,0,4698 11,3,2024-09-07 09:50:01:299,1,590,2,0,843,7772,590,0 12,0,2024-09-07 09:50:00:972,149934,0.4,149887,0.6,299360,0.4,397795,1.75 12,1,2024-09-07 09:50:00:940,1035298,1035298,0,0,485461925727,5064804933,1026938,7353,1007,370,391960,0 12,2,2024-09-07 09:50:01:549,743694,743694,0,0,33973877,0,4390 12,3,2024-09-07 09:50:01:059,1,590,1,0,386,7504,590,0 13,0,2024-09-07 09:50:01:343,150084,0.4,150232,0.5,299571,0.4,399042,1.75 13,1,2024-09-07 09:50:01:527,1032653,1032653,0,0,485478831120,5105147908,1021776,8488,2389,382,391768,0 13,2,2024-09-07 09:50:00:709,747917,747917,0,0,30378547,0,3287 13,3,2024-09-07 09:50:01:765,1,590,1,0,522,7900,590,0 14,0,2024-09-07 09:50:00:563,149273,0.4,150377,0.6,298441,0.3,397666,1.75 14,1,2024-09-07 09:50:01:561,1040015,1040015,0,0,488026067667,5052305711,1031560,7326,1129,364,391673,0 14,2,2024-09-07 09:50:00:764,747882,747852,30,0,31836804,0,6104 14,3,2024-09-07 09:50:01:115,1,590,1,0,1168,6533,590,0 15,0,2024-09-07 09:50:01:568,145683,0.4,144779,0.6,290829,0.4,387863,2.00 15,1,2024-09-07 09:50:01:615,1037037,1037037,0,0,486563610651,5069401462,1027968,7156,1913,381,391619,0 15,2,2024-09-07 09:50:00:999,747375,747375,0,0,27530699,0,3622 15,3,2024-09-07 09:50:01:405,1,590,5,0,1126,7873,590,0 16,0,2024-09-07 09:50:01:015,149136,0.6,149860,0.8,299096,0.6,398104,2.00 16,1,2024-09-07 09:50:00:577,1037062,1037062,0,0,486142445505,5083617636,1027778,7840,1444,370,392194,0 16,2,2024-09-07 09:50:01:453,744619,744619,0,0,31204529,0,4719 16,3,2024-09-07 09:50:01:151,1,590,8,0,358,7523,590,0 17,0,2024-09-07 09:50:01:785,153044,0.6,149186,0.8,292306,0.6,397599,2.00 17,1,2024-09-07 09:50:00:569,1034698,1034698,0,0,484933098529,5088742230,1024415,8513,1770,368,392075,0 17,2,2024-09-07 09:50:01:666,748441,748440,1,0,32442509,0,5050 17,3,2024-09-07 09:50:00:574,1,590,2,0,518,8722,590,0 18,0,2024-09-07 09:50:00:943,146809,0.6,147706,0.8,293972,0.7,392829,2.25 18,1,2024-09-07 09:50:01:639,1038946,1038946,0,0,486647340940,5049026945,1031885,5804,1257,367,391725,0 18,2,2024-09-07 09:50:01:760,748278,748278,0,0,28779300,0,3541 18,3,2024-09-07 09:50:00:896,1,590,1,0,1059,5520,590,0 19,0,2024-09-07 09:50:01:542,146160,0.6,146366,0.8,291611,0.6,388423,2.00 19,1,2024-09-07 09:50:00:565,1038738,1038738,0,0,487924279322,5058487433,1030994,6611,1133,367,391777,0 19,2,2024-09-07 09:50:01:752,751622,751622,0,0,27437191,0,3988 19,3,2024-09-07 09:50:01:138,1,590,1,0,524,4573,590,0 20,0,2024-09-07 09:50:01:361,147097,0.6,146924,0.7,293648,0.6,391933,2.00 20,1,2024-09-07 09:50:00:573,1034488,1034488,0,0,485599326455,5090043193,1023917,9065,1506,369,391922,0 20,2,2024-09-07 09:50:00:939,744374,744374,0,0,33880534,0,4321 20,3,2024-09-07 09:50:00:591,1,590,1,0,468,9610,590,0 21,0,2024-09-07 09:50:01:132,147498,0.5,147545,0.6,295055,0.4,392202,2.00 21,1,2024-09-07 09:50:01:536,1032768,1032768,0,0,484709021875,5119774274,1015909,13025,3834,368,392016,0 21,2,2024-09-07 09:50:01:111,739258,738781,477,0,44578821,0,17074 21,3,2024-09-07 09:50:01:405,1,590,9,0,713,8612,590,0 22,0,2024-09-07 09:50:01:724,148480,0.5,149086,0.6,297432,0.4,394614,2.00 22,1,2024-09-07 09:50:01:028,1033800,1033800,0,0,483963265465,5097482229,1015988,14272,3540,382,391822,0 22,2,2024-09-07 09:50:00:765,744335,744309,26,0,32376020,0,6328 22,3,2024-09-07 09:50:01:087,1,590,0,0,228,4988,590,0 23,0,2024-09-07 09:50:01:370,146643,0.5,146329,0.7,292721,0.5,390463,2.25 23,1,2024-09-07 09:50:01:003,1033750,1033750,0,0,486118093704,5125971529,1014279,12893,6578,365,391690,0 23,2,2024-09-07 09:50:01:098,750552,750552,0,0,31170318,0,3773 23,3,2024-09-07 09:50:01:765,1,590,1,0,855,8804,590,0 24,0,2024-09-07 09:50:00:814,146999,0.4,146345,0.6,294330,0.4,391153,1.75 24,1,2024-09-07 09:50:00:581,1033906,1033906,0,0,484721203956,5076979687,1023848,8349,1709,367,392269,0 24,2,2024-09-07 09:50:01:098,743553,743550,3,0,37705363,0,6294 24,3,2024-09-07 09:50:01:686,1,590,12,0,468,7637,590,0 25,0,2024-09-07 09:50:01:340,152391,0.5,148270,0.6,291306,0.4,396481,2.00 25,1,2024-09-07 09:50:00:564,1032268,1032268,0,0,484422923876,5122551750,1013511,15047,3710,369,391928,0 25,2,2024-09-07 09:50:01:609,742114,742114,0,0,38248757,0,3978 25,3,2024-09-07 09:50:01:001,1,590,1,0,532,6475,590,0 26,0,2024-09-07 09:50:01:722,148182,0.4,144888,0.6,304284,0.4,395882,1.75 26,1,2024-09-07 09:50:01:541,1035986,1035986,0,0,485514219991,5086567880,1021652,11801,2533,380,391748,0 26,2,2024-09-07 09:50:00:861,745772,745772,0,0,41054868,0,4689 26,3,2024-09-07 09:50:01:712,1,590,2,0,796,7551,590,0 27,0,2024-09-07 09:50:01:735,148772,0.4,149426,0.6,297075,0.4,395767,2.25 27,1,2024-09-07 09:50:01:677,1036393,1036393,0,0,487036598083,5078515555,1025998,8786,1609,381,391626,0 27,2,2024-09-07 09:50:00:867,744904,744839,65,0,35817401,0,5699 27,3,2024-09-07 09:50:01:015,1,590,1,0,564,5192,590,0 28,0,2024-09-07 09:50:01:388,145941,0.4,145982,0.6,292207,0.3,389396,2.00 28,1,2024-09-07 09:50:00:797,1037289,1037289,0,0,487506343947,5083991301,1028353,7045,1891,382,391904,0 28,2,2024-09-07 09:50:01:765,745168,745168,0,0,30695942,0,2915 28,3,2024-09-07 09:50:01:775,1,590,1,0,502,5906,590,0 29,0,2024-09-07 09:50:01:363,153529,0.4,149456,0.6,293354,0.4,399623,1.75 29,1,2024-09-07 09:50:01:571,1040484,1040484,0,0,487547634095,5044293894,1034131,5579,774,367,391809,0 29,2,2024-09-07 09:50:00:861,745201,745201,0,0,29869864,0,4986 29,3,2024-09-07 09:50:00:965,1,590,1,0,459,6477,590,0 30,0,2024-09-07 09:50:01:455,148133,0.5,144290,0.7,302078,0.4,394744,2.00 30,1,2024-09-07 09:50:00:576,1039545,1039545,0,0,488436764451,5068662663,1031982,6653,910,380,391672,0 30,2,2024-09-07 09:50:01:274,747322,747322,0,0,28533987,0,4192 30,3,2024-09-07 09:50:00:586,1,590,0,0,519,5570,590,0 31,0,2024-09-07 09:50:01:757,148349,0.4,149169,0.6,297184,0.4,397155,2.00 31,1,2024-09-07 09:50:00:563,1042647,1042647,0,0,488970219845,5023081341,1036193,5380,1074,356,391712,0 31,2,2024-09-07 09:50:01:275,745544,745544,0,0,32048992,0,4470 31,3,2024-09-07 09:50:01:706,1,590,12,0,239,5020,590,0 32,0,2024-09-07 09:50:01:416,146760,0.3,147715,0.5,294007,0.3,391742,1.75 32,1,2024-09-07 09:50:00:805,1038917,1038917,0,0,486999742489,5061816541,1032740,5459,718,381,391646,0 32,2,2024-09-07 09:50:00:936,749101,749101,0,0,28210749,0,3922 32,3,2024-09-07 09:50:01:016,1,590,8,0,304,4732,590,0 33,0,2024-09-07 09:50:01:504,148778,0.3,148438,0.4,296990,0.2,395938,1.50 33,1,2024-09-07 09:50:00:575,1038798,1038798,0,0,488087694804,5057482961,1030358,7168,1272,368,391730,0 33,2,2024-09-07 09:50:00:759,746217,746182,35,0,31206498,0,7012 33,3,2024-09-07 09:50:00:895,1,590,1,0,329,5207,590,0 34,0,2024-09-07 09:50:00:929,148011,0.3,152101,0.5,290891,0.2,392980,1.75 34,1,2024-09-07 09:50:01:055,1041270,1041270,0,0,488357319668,5024863421,1038311,2849,110,367,391637,0 34,2,2024-09-07 09:50:00:770,746553,746553,0,0,29740985,0,4562 34,3,2024-09-07 09:50:01:688,1,590,1,0,541,5485,590,0 35,0,2024-09-07 09:50:00:888,147375,0.3,148307,0.5,297446,0.2,394990,1.75 35,1,2024-09-07 09:50:01:088,1037261,1037261,0,0,486804364809,5054305599,1029154,6584,1523,382,391769,0 35,2,2024-09-07 09:50:01:583,745649,745649,0,0,32560891,0,4055 35,3,2024-09-07 09:50:00:911,1,590,0,0,466,5664,590,0 36,0,2024-09-07 09:50:01:557,147500,0.5,147494,0.7,294498,0.4,392476,2.00 36,1,2024-09-07 09:50:00:584,1035698,1035698,0,0,486381469900,5081878685,1022123,11335,2240,366,391759,0 36,2,2024-09-07 09:50:01:753,749799,749799,0,0,34037534,0,3875 36,3,2024-09-07 09:50:00:863,1,590,4,0,556,8219,590,0 37,0,2024-09-07 09:50:01:403,145711,0.5,145825,0.7,291709,0.5,389701,2.25 37,1,2024-09-07 09:50:00:568,1035593,1035586,0,7,486231856979,5079805128,1022942,9731,2913,365,391770,0 37,2,2024-09-07 09:50:01:143,742166,742151,15,0,34008831,0,5815 37,3,2024-09-07 09:50:01:766,1,590,2,0,888,7955,590,0 38,0,2024-09-07 09:50:01:439,146699,0.5,142246,0.7,297478,0.4,389754,2.00 38,1,2024-09-07 09:50:01:605,1035503,1035503,0,0,486567129625,5092437344,1020178,12326,2999,368,391821,0 38,2,2024-09-07 09:50:00:761,744062,744015,47,0,34214076,0,6710 38,3,2024-09-07 09:50:00:997,1,590,1,0,689,7387,590,0 39,0,2024-09-07 09:50:01:766,152226,0.5,148790,0.7,290141,0.5,395908,2.00 39,1,2024-09-07 09:50:00:721,1035351,1035351,0,0,485920557041,5097852723,1017908,13728,3715,365,391865,0 39,2,2024-09-07 09:50:01:450,746304,746304,0,0,32270578,0,3391 39,3,2024-09-07 09:50:00:713,1,590,1,0,525,6655,590,0 40,0,2024-09-07 09:50:01:488,146225,0.9,146859,1.0,293182,1.0,390954,2.75 40,1,2024-09-07 09:50:00:588,1036117,1036117,0,0,484857551956,5083698760,1020514,12535,3068,368,391668,0 40,2,2024-09-07 09:50:01:310,746727,746720,7,0,38906879,0,5347 40,3,2024-09-07 09:50:01:160,1,590,1,0,1028,8779,590,0 41,0,2024-09-07 09:50:01:036,144816,1.4,148156,1.2,282586,2.1,384659,3.25 41,1,2024-09-07 09:50:00:772,1035030,1035030,0,0,485805353313,5086392919,1021266,11606,2158,369,391878,0 41,2,2024-09-07 09:50:00:759,741018,741017,1,0,37051905,0,5408 41,3,2024-09-07 09:50:01:677,1,590,1,0,366,6393,590,0 42,0,2024-09-07 09:50:01:473,147828,1.0,147483,1.1,295160,1.2,391614,2.75 42,1,2024-09-07 09:50:01:439,1032609,1032609,0,0,484506398131,5095005057,1016119,13558,2932,380,391675,0 42,2,2024-09-07 09:50:01:132,742684,742683,1,0,37294511,0,5513 42,3,2024-09-07 09:50:01:013,1,590,1,0,892,5595,590,0 43,0,2024-09-07 09:50:00:924,147814,0.7,143961,0.9,301326,0.7,393980,2.25 43,1,2024-09-07 09:50:00:576,1036368,1036368,0,0,486369063629,5086030988,1021630,12166,2572,366,391696,0 43,2,2024-09-07 09:50:01:735,746498,746498,0,0,35324066,0,4723 43,3,2024-09-07 09:50:01:753,1,590,0,0,571,8675,590,0 44,0,2024-09-07 09:50:00:860,149650,0.4,149595,0.6,299506,0.3,398141,1.75 44,1,2024-09-07 09:50:00:575,1039127,1039127,0,0,487154474457,5038038762,1029764,7422,1941,356,391809,0 44,2,2024-09-07 09:50:01:267,745630,745630,0,0,28612631,0,4344 44,3,2024-09-07 09:50:01:100,1,590,1,0,1097,7358,590,0 45,0,2024-09-07 09:50:01:851,144037,0.5,140729,0.7,295370,0.5,388091,2.00 45,1,2024-09-07 09:50:01:005,1037917,1037917,0,0,487858956342,5070843473,1029666,7348,903,382,391917,0 45,2,2024-09-07 09:50:01:269,746784,746784,0,0,28948867,0,3596 45,3,2024-09-07 09:50:00:943,1,590,6,0,531,5729,590,0 46,0,2024-09-07 09:50:00:970,148531,0.6,148058,0.8,297062,0.6,394733,2.25 46,1,2024-09-07 09:50:00:575,1040088,1040088,0,0,488377059987,5049938077,1032596,6504,988,366,391709,0 46,2,2024-09-07 09:50:00:591,746272,746272,0,0,29659000,0,4443 46,3,2024-09-07 09:50:01:131,1,590,1,0,908,7169,590,0 47,0,2024-09-07 09:50:01:123,148894,0.4,148774,0.6,298709,0.4,395935,2.00 47,1,2024-09-07 09:50:00:567,1040714,1040714,0,0,488260803925,5045453596,1034616,5236,862,365,391641,0 47,2,2024-09-07 09:50:00:909,749216,749216,0,0,28683629,0,4477 47,3,2024-09-07 09:50:01:115,1,590,1,0,600,6578,590,0 48,0,2024-09-07 09:50:01:491,148487,0.3,148597,0.4,296785,0.2,394698,1.50 48,1,2024-09-07 09:50:01:022,1038422,1038422,0,0,486710625521,5055692185,1032324,5520,578,381,391710,0 48,2,2024-09-07 09:50:00:700,746286,746286,0,0,27100136,0,3524 48,3,2024-09-07 09:50:00:753,1,590,2,0,339,5129,590,0 49,0,2024-09-07 09:50:01:714,150645,0.3,147630,0.5,287355,0.3,392569,1.75 49,1,2024-09-07 09:50:01:021,1037274,1037274,0,0,486551906059,5062718924,1030004,5575,1695,382,391809,0 49,2,2024-09-07 09:50:01:797,750257,750257,0,0,29482960,0,4426 49,3,2024-09-07 09:50:01:421,1,590,1,0,992,7074,590,0 50,0,2024-09-07 09:50:01:515,147799,0.3,146069,0.5,294393,0.2,391890,1.75 50,1,2024-09-07 09:50:01:014,1040485,1040485,0,0,488942445633,5055381249,1033961,5855,669,368,391691,0 50,2,2024-09-07 09:50:01:111,744389,744389,0,0,27142153,0,4490 50,3,2024-09-07 09:50:01:291,1,590,1,0,617,6136,590,0 51,0,2024-09-07 09:50:01:684,151389,0.3,148317,0.5,288632,0.2,393945,1.75 51,1,2024-09-07 09:50:01:680,1041436,1041436,0,0,489193472738,5045448789,1035780,4575,1081,365,391706,0 51,2,2024-09-07 09:50:01:316,745504,745504,0,0,26698556,0,3337 51,3,2024-09-07 09:50:01:033,1,590,7,0,678,4268,590,0 52,0,2024-09-07 09:50:01:425,149093,0.5,148789,0.7,297894,0.4,395605,2.00 52,1,2024-09-07 09:50:00:575,1035866,1035866,0,0,486162496792,5090272038,1020847,12689,2330,368,391805,0 52,2,2024-09-07 09:50:01:776,742522,742484,38,0,36075327,0,6742 52,3,2024-09-07 09:50:00:689,1,590,1,0,1782,7076,590,0 53,0,2024-09-07 09:50:01:734,146356,0.7,142078,0.8,297153,0.7,389603,2.50 53,1,2024-09-07 09:50:00:776,1034266,1034266,0,0,485302372533,5096307989,1016085,13786,4395,367,391968,0 53,2,2024-09-07 09:50:01:298,749543,749542,1,0,32689668,0,5455 53,3,2024-09-07 09:50:00:705,1,590,13,0,308,5583,590,0 54,0,2024-09-07 09:50:01:621,144553,0.6,144845,0.8,288477,0.5,385619,2.25 54,1,2024-09-07 09:50:00:580,1037138,1037138,0,0,487342810119,5070980386,1026034,9434,1670,366,391810,0 54,2,2024-09-07 09:50:00:866,744436,744404,32,0,36240340,0,6397 54,3,2024-09-07 09:50:00:763,1,590,0,0,676,7756,590,0 55,0,2024-09-07 09:50:01:763,143053,0.7,147469,0.8,299011,0.6,389252,2.50 55,1,2024-09-07 09:50:00:765,1037289,1037289,0,0,486659258291,5063566059,1026432,9468,1389,365,391731,0 55,2,2024-09-07 09:50:00:732,742996,742940,56,0,33719420,0,7239 55,3,2024-09-07 09:50:00:678,1,590,1,0,304,5460,590,0 56,0,2024-09-07 09:50:01:555,151768,1.1,142998,1.1,294715,1.5,394421,2.75 56,1,2024-09-07 09:50:00:576,1030967,1030967,0,0,484929992920,5132953147,1013006,14276,3685,381,391867,0 56,2,2024-09-07 09:50:01:303,745275,745153,122,0,36029929,0,7432 56,3,2024-09-07 09:50:01:065,1,590,8,0,705,6895,590,0 57,0,2024-09-07 09:50:00:939,147065,1.4,146890,1.2,294047,2.0,392375,3.25 57,1,2024-09-07 09:50:00:989,1033599,1033599,0,0,484741283742,5092548486,1019179,11931,2489,366,392032,0 57,2,2024-09-07 09:50:01:314,747192,747192,0,0,37674993,0,4804 57,3,2024-09-07 09:50:01:745,1,590,1,0,455,6999,590,0 58,0,2024-09-07 09:50:00:555,143568,1.0,139417,1.0,291569,1.3,381757,2.75 58,1,2024-09-07 09:50:00:575,1035299,1035296,0,3,485678477609,5095656721,1019154,12241,3901,367,391726,3 58,2,2024-09-07 09:50:01:098,745041,745041,0,0,35345624,0,3483 58,3,2024-09-07 09:50:01:098,1,590,14,0,1043,6685,590,0 59,0,2024-09-07 09:50:01:793,147819,0.9,147339,1.0,294437,1.1,390683,3.00 59,1,2024-09-07 09:50:00:804,1033872,1033872,0,0,486029558815,5111817151,1016053,14152,3667,369,391653,0 59,2,2024-09-07 09:50:00:582,745122,745122,0,0,33438146,0,3727 59,3,2024-09-07 09:50:01:748,1,590,12,0,1015,7336,590,0 60,0,2024-09-07 09:50:01:707,148831,0.5,148962,0.7,298127,0.5,397123,1.75 60,1,2024-09-07 09:50:00:773,1039050,1039050,0,0,488089820060,5073917472,1031106,6857,1087,370,392031,0 60,2,2024-09-07 09:50:01:140,746953,746953,0,0,31557644,0,3811 60,3,2024-09-07 09:50:01:266,1,590,1,0,409,6920,590,0 61,0,2024-09-07 09:50:01:502,148603,0.6,149079,0.8,296824,0.6,396472,2.00 61,1,2024-09-07 09:50:00:775,1035533,1035533,0,0,486270027742,5092339110,1024413,9441,1679,382,392127,0 61,2,2024-09-07 09:50:01:123,746802,746735,67,0,32095668,0,6411 61,3,2024-09-07 09:50:01:692,1,590,8,0,607,7817,590,0 62,0,2024-09-07 09:50:01:712,147113,0.6,150942,0.8,288207,0.6,391737,2.00 62,1,2024-09-07 09:50:01:113,1042031,1042025,0,6,488964081080,5042273577,1037062,4664,299,365,391975,6 62,2,2024-09-07 09:50:01:645,745630,745629,1,0,32170033,0,5555 62,3,2024-09-07 09:50:01:143,1,590,1,0,482,4801,590,0 63,0,2024-09-07 09:50:01:532,148733,0.4,148556,0.6,297721,0.4,396194,1.75 63,1,2024-09-07 09:50:00:805,1038338,1038332,0,6,487431699896,5063095060,1031486,5991,855,381,391800,6 63,2,2024-09-07 09:50:00:762,745561,745561,0,0,30494079,0,4369 63,3,2024-09-07 09:50:01:748,1,590,1,0,667,6174,590,0 64,0,2024-09-07 09:50:01:514,147095,0.5,146980,0.7,293564,0.4,391488,2.00 64,1,2024-09-07 09:50:00:755,1037536,1037536,0,0,487986609426,5083570135,1028202,7358,1976,370,391794,0 64,2,2024-09-07 09:50:01:152,749350,749331,19,0,29375794,0,6121 64,3,2024-09-07 09:50:01:142,1,590,10,0,651,6829,590,0 65,0,2024-09-07 09:50:01:696,147105,0.6,147389,0.7,294508,0.6,392336,2.00 65,1,2024-09-07 09:50:00:859,1035796,1035796,0,0,485427143398,5074756324,1028370,6553,873,381,391901,0 65,2,2024-09-07 09:50:01:692,745071,745071,0,0,35299848,0,3367 65,3,2024-09-07 09:50:01:698,1,590,0,0,782,6646,590,0 66,0,2024-09-07 09:50:01:780,146613,0.5,146182,0.8,292567,0.5,389575,2.00 66,1,2024-09-07 09:50:01:293,1038369,1038369,0,0,487265487831,5070922666,1031873,5774,722,380,391743,0 66,2,2024-09-07 09:50:01:158,752188,752185,3,0,31639241,0,5455 66,3,2024-09-07 09:50:01:090,1,590,4,0,291,5079,590,0 67,0,2024-09-07 09:50:01:417,146217,0.5,145900,0.7,292940,0.5,390154,2.00 67,1,2024-09-07 09:50:00:769,1037421,1037420,0,1,488105229169,5082553050,1030094,6382,944,380,391787,1 67,2,2024-09-07 09:50:00:583,747115,747100,15,0,31166796,0,6205 67,3,2024-09-07 09:50:01:753,1,590,2,0,595,6270,590,0 68,0,2024-09-07 09:50:00:600,147653,0.6,147497,0.8,293720,0.6,392953,2.25 68,1,2024-09-07 09:50:00:576,1033298,1033298,0,0,484964044473,5101086837,1019514,10254,3530,381,391953,0 68,2,2024-09-07 09:50:01:044,740859,740759,100,0,39328937,0,8578 68,3,2024-09-07 09:50:00:744,1,590,15,0,417,7736,590,0 69,0,2024-09-07 09:50:01:734,148241,0.7,149053,0.8,297216,0.6,394671,2.25 69,1,2024-09-07 09:50:01:075,1032277,1032277,0,0,484737931723,5110331000,1018424,11022,2831,383,391994,0 69,2,2024-09-07 09:50:01:733,743800,743771,29,0,41559945,0,6912 69,3,2024-09-07 09:50:00:760,1,590,4,0,698,8589,590,0 70,0,2024-09-07 09:50:01:532,146013,0.8,146517,0.9,294546,0.7,389779,2.50 70,1,2024-09-07 09:50:00:801,1038966,1038966,0,0,488026304248,5064925402,1031055,7105,806,366,391725,0 70,2,2024-09-07 09:50:01:325,748902,748902,0,0,34625952,0,4323 70,3,2024-09-07 09:50:00:750,1,590,1,0,854,6598,590,0 71,0,2024-09-07 09:50:01:366,144331,1.1,144104,1.0,289270,1.4,386648,2.75 71,1,2024-09-07 09:50:01:616,1036721,1036721,0,0,486193514268,5077140854,1023734,11366,1621,368,391738,0 71,2,2024-09-07 09:50:01:098,743524,743524,0,0,34643050,0,4352 71,3,2024-09-07 09:50:01:750,1,590,1,0,644,6737,590,0 72,0,2024-09-07 09:50:01:055,153683,0.6,150205,0.7,293193,0.6,398641,2.00 72,1,2024-09-07 09:50:01:022,1034339,1034339,0,0,485569733153,5097229386,1019043,12781,2515,369,391819,0 72,2,2024-09-07 09:50:01:765,742810,742810,0,0,36398730,0,3983 72,3,2024-09-07 09:50:01:754,1,590,0,0,564,8783,590,0 73,0,2024-09-07 09:50:01:130,145425,0.4,149194,0.6,305000,0.4,396578,2.00 73,1,2024-09-07 09:50:00:767,1036385,1036385,0,0,486445801639,5059548633,1027986,7419,980,367,391858,0 73,2,2024-09-07 09:50:01:739,746034,746033,1,0,37941098,0,5027 73,3,2024-09-07 09:50:00:971,1,590,1,0,1091,8351,590,0 74,0,2024-09-07 09:50:01:326,150408,0.5,154055,0.7,293834,0.4,398432,2.00 74,1,2024-09-07 09:50:00:635,1035458,1035458,0,0,485901857580,5076122147,1023556,9786,2116,381,391762,0 74,2,2024-09-07 09:50:01:006,746058,746058,0,0,33140260,0,4253 74,3,2024-09-07 09:50:01:442,1,590,1,0,522,7402,590,0 75,0,2024-09-07 09:50:01:766,146100,0.6,144988,0.8,291135,0.5,389544,2.25 75,1,2024-09-07 09:50:01:585,1036817,1036817,0,0,485777449196,5065715268,1028197,7752,868,380,391739,0 75,2,2024-09-07 09:50:01:351,744461,744461,0,0,40183224,0,4766 75,3,2024-09-07 09:50:01:087,1,590,2,0,918,8111,590,0 76,0,2024-09-07 09:50:00:585,148760,0.6,148237,0.8,296377,0.6,396423,2.25 76,1,2024-09-07 09:50:00:807,1036588,1036588,0,0,487129485098,5081211682,1029268,6331,989,382,391790,0 76,2,2024-09-07 09:50:01:065,747433,747430,3,0,32396604,0,5265 76,3,2024-09-07 09:50:01:147,1,590,0,0,227,5445,590,0 77,0,2024-09-07 09:50:01:698,148090,0.5,148653,0.7,297161,0.5,395246,2.00 77,1,2024-09-07 09:50:00:824,1036321,1036321,0,0,487815254628,5089964921,1028345,7101,875,381,391869,0 77,2,2024-09-07 09:50:01:283,745717,745717,0,0,31586982,0,3890 77,3,2024-09-07 09:50:01:097,1,590,1,0,401,6796,590,0 78,0,2024-09-07 09:50:01:724,148666,0.4,148123,0.6,296806,0.4,393299,2.00 78,1,2024-09-07 09:50:00:612,1036577,1036577,0,0,486088955909,5072876932,1023738,9922,2917,367,391670,0 78,2,2024-09-07 09:50:01:405,747126,747113,13,0,29560474,0,8313 78,3,2024-09-07 09:50:01:133,1,590,31,0,311,5047,590,0 79,0,2024-09-07 09:50:01:346,141684,0.4,144996,0.6,297049,0.3,387323,2.00 79,1,2024-09-07 09:50:00:571,1040020,1040020,0,0,488410934823,5057356531,1032176,6484,1360,367,391682,0 79,2,2024-09-07 09:50:01:088,749347,749347,0,0,28750718,0,4195 79,3,2024-09-07 09:50:00:749,1,590,78,0,418,7147,590,0 80,0,2024-09-07 09:50:01:117,146933,0.5,150975,0.7,288697,0.5,390870,2.00 80,1,2024-09-07 09:50:01:619,1036722,1036722,0,0,486457057782,5066621312,1029672,6599,451,368,392269,0 80,2,2024-09-07 09:50:01:091,747115,747115,0,0,29161802,0,4433 80,3,2024-09-07 09:50:00:575,1,590,16,0,681,7353,590,0 81,0,2024-09-07 09:50:01:537,147509,0.6,150851,0.7,288022,0.5,391163,2.00 81,1,2024-09-07 09:50:01:651,1035363,1035363,0,0,485894530995,5078736322,1027213,7385,765,382,391885,0 81,2,2024-09-07 09:50:01:132,744145,744082,63,0,32370994,0,5932 81,3,2024-09-07 09:50:01:134,1,590,11,0,719,6971,590,0 82,0,2024-09-07 09:50:01:560,148434,0.5,148580,0.7,297892,0.4,394590,2.00 82,1,2024-09-07 09:50:00:582,1038151,1038147,0,4,486582903081,5058915694,1032579,4745,823,381,391768,4 82,2,2024-09-07 09:50:01:691,748046,748046,0,0,27475505,0,4484 82,3,2024-09-07 09:50:01:751,1,590,6,0,363,5661,590,0 83,0,2024-09-07 09:50:01:560,146997,0.6,147046,0.7,293373,0.6,389795,2.25 83,1,2024-09-07 09:50:00:555,1036357,1036357,0,0,486339268487,5071001995,1028816,6896,645,382,391709,0 83,2,2024-09-07 09:50:00:764,750162,750137,25,0,29674487,0,5612 83,3,2024-09-07 09:50:00:749,1,590,22,0,1260,7153,590,0 84,0,2024-09-07 09:50:01:764,144544,0.8,144782,0.9,289500,0.7,387137,2.25 84,1,2024-09-07 09:50:01:045,1034696,1034696,0,0,486222802699,5086460307,1022653,10372,1671,367,391967,0 84,2,2024-09-07 09:50:00:571,742698,742288,410,0,43129965,0,17037 84,3,2024-09-07 09:50:01:149,1,590,38,0,908,8009,590,0 85,0,2024-09-07 09:50:01:043,142231,0.7,142207,0.8,301993,0.7,389604,2.25 85,1,2024-09-07 09:50:00:581,1032054,1032054,0,0,484235371737,5116091560,1015752,13505,2797,381,392092,0 85,2,2024-09-07 09:50:00:870,743451,743451,0,0,36298232,0,4255 85,3,2024-09-07 09:50:00:706,1,590,1,0,789,6852,590,0 86,0,2024-09-07 09:50:00:878,148653,0.7,152742,0.8,292147,0.7,395092,2.25 86,1,2024-09-07 09:50:00:824,1034702,1034702,0,0,486237316112,5101065083,1020876,11141,2685,366,392169,0 86,2,2024-09-07 09:50:00:856,745268,745267,1,0,38552948,0,5004 86,3,2024-09-07 09:50:00:589,1,590,1,0,308,8325,590,0 87,0,2024-09-07 09:50:01:302,148778,0.8,148350,0.8,296563,0.9,395605,2.25 87,1,2024-09-07 09:50:00:550,1034416,1034416,0,0,485621077916,5090167499,1021041,11578,1797,366,392076,0 87,2,2024-09-07 09:50:01:089,746855,746849,6,0,35140935,0,6323 87,3,2024-09-07 09:50:01:812,1,590,15,0,473,8509,590,0 88,0,2024-09-07 09:50:01:552,145758,0.5,146154,0.6,292130,0.4,389457,2.00 88,1,2024-09-07 09:50:00:569,1033299,1033299,0,0,484917685152,5087310015,1017927,12328,3044,365,392084,0 88,2,2024-09-07 09:50:00:697,744351,744351,0,0,37939541,0,4465 88,3,2024-09-07 09:50:01:272,1,590,2,0,1080,9201,590,0 89,0,2024-09-07 09:50:01:789,153359,0.5,148841,0.7,293609,0.4,399231,1.75 89,1,2024-09-07 09:50:00:559,1032808,1032808,0,0,486072073418,5115097006,1019829,11036,1943,382,391866,0 89,2,2024-09-07 09:50:01:132,744228,744228,0,0,35736142,0,3173 89,3,2024-09-07 09:50:01:792,1,590,0,0,468,10077,590,0 90,0,2024-09-07 09:50:01:617,144501,0.5,148404,0.6,302866,0.4,395117,2.00 90,1,2024-09-07 09:50:00:590,1035068,1035068,0,0,485711116765,5090048990,1024977,9199,892,380,391825,0 90,2,2024-09-07 09:50:01:405,743128,743123,5,0,39267765,0,6370 90,3,2024-09-07 09:50:00:935,1,590,4,0,322,7274,590,0 91,0,2024-09-07 09:50:00:943,149090,0.4,144645,0.6,302601,0.4,397080,1.75 91,1,2024-09-07 09:50:00:557,1032287,1032287,0,0,485561794374,5119894384,1016443,12697,3147,381,392047,0 91,2,2024-09-07 09:50:01:331,745577,745577,0,0,34519498,0,4713 91,3,2024-09-07 09:50:00:598,1,590,0,0,216,5384,590,0 92,0,2024-09-07 09:50:01:444,148291,0.5,151509,0.6,289096,0.5,391972,1.75 92,1,2024-09-07 09:50:00:580,1036103,1036103,0,0,487177824771,5089994590,1027747,7069,1287,381,392136,0 92,2,2024-09-07 09:50:01:350,747464,747464,0,0,30226273,0,3259 92,3,2024-09-07 09:50:01:027,1,590,3,0,167,5127,590,0 93,0,2024-09-07 09:50:00:966,148987,0.4,152778,0.6,291655,0.4,396087,1.75 93,1,2024-09-07 09:50:00:852,1036037,1036037,0,0,486680626289,5081428818,1024509,9601,1927,366,392048,0 93,2,2024-09-07 09:50:00:928,745328,745328,0,0,35918973,0,4913 93,3,2024-09-07 09:50:01:407,1,590,1,0,294,5823,590,0 94,0,2024-09-07 09:50:01:611,147245,0.4,148222,0.5,296603,0.3,394097,1.75 94,1,2024-09-07 09:50:00:563,1036964,1036964,0,0,485923970843,5069265391,1030029,6504,431,381,391850,0 94,2,2024-09-07 09:50:00:761,745440,745412,28,0,30828470,0,6179 94,3,2024-09-07 09:50:01:688,1,590,1,0,576,7435,590,0 95,0,2024-09-07 09:50:01:348,148177,0.3,148302,0.5,296638,0.3,394985,1.75 95,1,2024-09-07 09:50:00:852,1038015,1038015,0,0,486807318330,5060853041,1029588,7680,747,365,391852,0 95,2,2024-09-07 09:50:01:017,744797,744797,0,0,30367850,0,3308 95,3,2024-09-07 09:50:01:712,1,590,14,0,718,9390,590,0 96,0,2024-09-07 09:50:01:031,147047,0.4,147398,0.5,294754,0.3,391785,1.75 96,1,2024-09-07 09:50:01:583,1036289,1036289,0,0,486501295541,5075119940,1028915,6102,1272,384,391964,0 96,2,2024-09-07 09:50:01:359,750208,750208,0,0,31483955,0,4180 96,3,2024-09-07 09:50:01:161,1,590,10,0,411,6900,590,0 97,0,2024-09-07 09:50:01:353,146489,0.3,146295,0.5,293519,0.3,389947,1.75 97,1,2024-09-07 09:50:00:763,1037809,1037809,0,0,487271769492,5057964172,1030894,5879,1036,367,392140,0 97,2,2024-09-07 09:50:00:616,745831,745831,0,0,30885736,0,4046 97,3,2024-09-07 09:50:00:579,1,590,11,0,242,6629,590,0 98,0,2024-09-07 09:50:01:697,147312,0.3,147366,0.5,295705,0.3,393113,1.50 98,1,2024-09-07 09:50:00:572,1037034,1037034,0,0,486819278937,5071909848,1030560,5639,835,381,391997,0 98,2,2024-09-07 09:50:00:772,745092,745092,0,0,29720071,0,4336 98,3,2024-09-07 09:50:00:704,1,590,11,0,840,9019,590,0 99,0,2024-09-07 09:50:01:535,149090,0.3,149881,0.5,298154,0.3,397397,1.75 99,1,2024-09-07 09:50:01:775,1037915,1037915,0,0,485932359627,5058062004,1031553,5420,942,380,392069,0 99,2,2024-09-07 09:50:01:463,746046,746046,0,0,36834769,0,4276 99,3,2024-09-07 09:50:00:581,1,590,1,0,1124,7498,590,0 100,0,2024-09-07 09:50:01:472,147290,0.8,147550,0.9,294735,0.8,393357,2.50 100,1,2024-09-07 09:50:00:576,1031843,1031843,0,0,483985537933,5119377023,1015835,12694,3314,378,391989,0 100,2,2024-09-07 09:50:01:827,745230,744841,389,0,40342082,0,16909 100,3,2024-09-07 09:50:01:743,1,590,6,0,627,10057,590,0 101,0,2024-09-07 09:50:01:708,148727,1.2,145075,1.1,283824,1.3,388337,2.50 101,1,2024-09-07 09:50:00:587,1033359,1033359,0,0,485186698549,5100586780,1019320,11512,2527,368,391847,0 101,2,2024-09-07 09:50:01:760,739857,739857,0,0,40079223,0,4871 101,3,2024-09-07 09:50:00:942,1,590,3,0,1250,8955,590,0 102,0,2024-09-07 09:50:00:955,144840,0.7,149183,0.8,303053,0.7,396167,2.25 102,1,2024-09-07 09:50:01:148,1033316,1033316,0,0,484674813659,5094266936,1019211,11908,2197,369,391984,0 102,2,2024-09-07 09:50:01:750,745386,745332,54,0,34266680,0,6768 102,3,2024-09-07 09:50:01:614,1,590,2,0,466,6885,590,0 103,0,2024-09-07 09:50:01:596,154198,0.6,154175,0.7,290474,0.6,399846,2.00 103,1,2024-09-07 09:50:01:627,1031584,1031584,0,0,484485783700,5119930583,1014694,13295,3595,381,392077,0 103,2,2024-09-07 09:50:00:583,744189,744189,0,0,37130442,0,3766 103,3,2024-09-07 09:50:00:755,1,590,2,0,916,7096,590,0 104,0,2024-09-07 09:50:01:028,148380,0.7,148554,0.9,296528,0.7,396528,2.25 104,1,2024-09-07 09:50:01:606,1034555,1034555,0,0,485080194411,5100876076,1019039,12674,2842,365,392168,0 104,2,2024-09-07 09:50:01:666,743783,743783,0,0,36859110,0,4161 104,3,2024-09-07 09:50:01:427,1,590,1,0,1245,10595,590,0 105,0,2024-09-07 09:50:01:032,144143,1.0,140441,1.1,294216,1.2,387154,2.75 105,1,2024-09-07 09:50:00:559,1035953,1035953,0,0,485906155869,5094508969,1022394,11620,1939,364,392009,0 105,2,2024-09-07 09:50:01:326,744725,744725,0,0,36947249,0,4360 105,3,2024-09-07 09:50:01:305,1,590,1,0,573,9153,590,0 106,0,2024-09-07 09:50:00:942,144162,1.0,147688,1.0,302455,1.2,394961,2.50 106,1,2024-09-07 09:50:01:766,1034775,1034775,0,0,485246414504,5096343233,1020127,12754,1894,368,391914,0 106,2,2024-09-07 09:50:00:756,743773,743773,0,0,34829040,0,3331 106,3,2024-09-07 09:50:00:682,1,590,1,0,1224,8407,590,0 107,0,2024-09-07 09:50:01:101,148322,1.0,148372,0.9,296809,1.2,395952,2.25 107,1,2024-09-07 09:50:00:596,1031784,1031784,0,0,483898496634,5117961161,1014417,15234,2133,381,392234,0 107,2,2024-09-07 09:50:01:294,743067,743066,1,0,37969540,0,5024 107,3,2024-09-07 09:50:01:774,1,590,15,0,733,9051,590,0 108,0,2024-09-07 09:50:01:780,148011,0.4,148505,0.6,296042,0.4,394738,1.75 108,1,2024-09-07 09:50:01:293,1036131,1036131,0,0,486371154505,5074746945,1027449,7688,994,367,391894,0 108,2,2024-09-07 09:50:01:761,745182,745182,0,0,32590525,0,4246 108,3,2024-09-07 09:50:01:329,1,590,1,0,749,10819,590,0 109,0,2024-09-07 09:50:01:766,147299,0.4,146122,0.6,293081,0.3,391696,1.75 109,1,2024-09-07 09:50:00:582,1033307,1033307,0,0,486176958551,5101742093,1023839,8192,1276,382,392132,0 109,2,2024-09-07 09:50:00:925,747123,747123,0,0,33509727,0,3617 109,3,2024-09-07 09:50:01:139,1,590,1,0,630,7287,590,0 110,0,2024-09-07 09:50:01:746,147049,0.4,142992,0.6,299553,0.4,392745,1.75 110,1,2024-09-07 09:50:01:648,1037908,1037908,0,0,487156375843,5059195497,1029873,6110,1925,368,392045,0 110,2,2024-09-07 09:50:01:303,745440,745440,0,0,30928728,0,4067 110,3,2024-09-07 09:50:00:690,1,590,1,0,722,8018,590,0 111,0,2024-09-07 09:50:01:439,148322,0.4,147445,0.5,294988,0.3,393480,1.75 111,1,2024-09-07 09:50:01:000,1039231,1039231,0,0,487713566113,5059473863,1033749,5101,381,380,391690,0 111,2,2024-09-07 09:50:01:122,744849,744849,0,0,31395899,0,4823 111,3,2024-09-07 09:50:00:913,1,590,2,0,379,6518,590,0 112,0,2024-09-07 09:50:00:929,149419,0.3,148764,0.4,298314,0.2,395891,1.50 112,1,2024-09-07 09:50:00:826,1037960,1037960,0,0,486771324188,5056561718,1030767,5968,1225,380,391624,0 112,2,2024-09-07 09:50:01:133,745991,745990,1,0,29688802,0,5036 112,3,2024-09-07 09:50:00:592,1,590,1,0,282,5716,590,0 113,0,2024-09-07 09:50:00:880,147162,0.3,147169,0.5,294587,0.2,392237,1.75 113,1,2024-09-07 09:50:01:692,1040063,1040063,0,0,488678498860,5043811870,1033836,5280,947,365,391664,0 113,2,2024-09-07 09:50:01:303,752367,752367,0,0,28281289,0,3813 113,3,2024-09-07 09:50:00:689,1,590,1,0,510,6344,590,0 114,0,2024-09-07 09:50:00:877,146491,0.3,147447,0.5,293682,0.2,392730,1.75 114,1,2024-09-07 09:50:00:716,1038356,1038356,0,0,486986995524,5058361990,1030432,6178,1746,381,391565,0 114,2,2024-09-07 09:50:00:873,746403,746402,1,0,29257919,0,5069 114,3,2024-09-07 09:50:01:285,1,590,1,0,395,4679,590,0 115,0,2024-09-07 09:50:00:553,148918,0.3,149397,0.4,297454,0.2,395689,1.50 115,1,2024-09-07 09:50:00:576,1038516,1038516,0,0,487477627456,5065815327,1030271,6728,1517,382,391757,0 115,2,2024-09-07 09:50:01:125,746620,746620,0,0,29342230,0,4382 115,3,2024-09-07 09:50:01:002,1,590,2,0,167,3575,590,0 116,0,2024-09-07 09:50:01:706,148624,0.7,148349,0.8,297435,0.6,397382,2.00 116,1,2024-09-07 09:50:00:803,1033172,1033172,0,0,484561170557,5109956572,1020536,9547,3089,380,392089,0 116,2,2024-09-07 09:50:01:754,746047,746047,0,0,36832008,0,4475 116,3,2024-09-07 09:50:00:912,1,590,6,0,448,7719,590,0 117,0,2024-09-07 09:50:01:013,149067,0.7,148371,0.8,297273,0.7,397004,2.00 117,1,2024-09-07 09:50:01:578,1034492,1034492,0,0,484813559720,5078909082,1022881,10090,1521,369,392033,0 117,2,2024-09-07 09:50:01:128,749389,749389,0,0,33354812,0,4303 117,3,2024-09-07 09:50:01:093,1,590,1,0,490,7622,590,0 118,0,2024-09-07 09:50:01:774,141370,0.6,145141,0.7,296321,0.5,387931,2.00 118,1,2024-09-07 09:50:00:585,1033428,1033428,0,0,484813382992,5100255814,1017677,12130,3621,366,392054,0 118,2,2024-09-07 09:50:01:589,744031,744031,0,0,35418572,0,2842 118,3,2024-09-07 09:50:01:773,1,590,10,0,289,7049,590,0 119,0,2024-09-07 09:50:01:348,148832,0.8,149649,0.9,298370,0.9,397612,2.25 119,1,2024-09-07 09:50:00:555,1034369,1034369,0,0,485491229528,5095104533,1019679,12178,2512,367,391857,0 119,2,2024-09-07 09:50:01:263,745798,745798,0,0,33369276,0,4309 119,3,2024-09-07 09:50:01:326,1,590,0,0,1358,10995,590,0 120,0,2024-09-07 09:50:01:576,148122,0.7,147975,0.8,297171,0.7,396207,2.25 120,1,2024-09-07 09:50:00:869,1035464,1035464,0,0,485160732661,5092264305,1023803,10521,1140,367,392144,0 120,2,2024-09-07 09:50:00:785,744970,744967,3,0,40076954,0,5363 120,3,2024-09-07 09:50:01:291,1,590,0,0,279,7217,590,0 121,0,2024-09-07 09:50:01:730,148816,1.1,148201,1.0,296832,1.4,396050,2.25 121,1,2024-09-07 09:50:01:663,1034683,1034683,0,0,485211528101,5081137810,1023401,9730,1552,366,391840,0 121,2,2024-09-07 09:50:01:125,744818,744818,0,0,36554577,0,4157 121,3,2024-09-07 09:50:00:736,1,590,6,0,387,7926,590,0 122,0,2024-09-07 09:50:01:764,146156,0.8,142199,0.9,297876,0.9,391743,2.25 122,1,2024-09-07 09:50:00:869,1033890,1033890,0,0,485566389291,5100946674,1018898,12580,2412,366,392130,0 122,2,2024-09-07 09:50:01:319,747571,747496,75,0,40229051,0,5989 122,3,2024-09-07 09:50:00:594,1,590,0,0,512,9714,590,0 123,0,2024-09-07 09:50:00:982,147662,0.9,143611,0.9,300869,1.0,394856,2.25 123,1,2024-09-07 09:50:00:557,1033853,1033853,0,0,485175488092,5106032210,1017046,14137,2670,369,392039,0 123,2,2024-09-07 09:50:01:018,743016,743015,1,0,34213558,0,5215 123,3,2024-09-07 09:50:01:139,1,590,2,0,478,6843,590,0 124,0,2024-09-07 09:50:00:950,151743,0.3,151842,0.5,286320,0.3,394431,1.75 124,1,2024-09-07 09:50:01:143,1038102,1038102,0,0,486257669114,5056781563,1030371,6556,1175,365,392178,0 124,2,2024-09-07 09:50:01:035,747209,747156,53,0,30715029,0,6487 124,3,2024-09-07 09:50:00:758,1,590,3,0,490,5910,590,0 125,0,2024-09-07 09:50:01:446,148042,0.4,148019,0.5,296939,0.3,395046,1.75 125,1,2024-09-07 09:50:00:859,1034958,1034958,0,0,485545759975,5070215095,1026892,6915,1151,382,391968,0 125,2,2024-09-07 09:50:01:117,747683,747683,0,0,30925159,0,4534 125,3,2024-09-07 09:50:01:127,1,590,1,0,709,6799,590,0 126,0,2024-09-07 09:50:01:426,147322,0.4,151527,0.6,289837,0.4,392417,1.75 126,1,2024-09-07 09:50:00:570,1038498,1038498,0,0,487546403229,5048777742,1032991,5061,446,365,391987,0 126,2,2024-09-07 09:50:00:612,751037,751037,0,0,32264534,0,4539 126,3,2024-09-07 09:50:00:911,1,590,1,0,268,6536,590,0 127,0,2024-09-07 09:50:01:614,146818,0.4,146994,0.5,293270,0.3,390432,1.75 127,1,2024-09-07 09:50:00:576,1036535,1036535,0,0,486913701564,5068506440,1025869,9030,1636,364,392187,0 127,2,2024-09-07 09:50:00:641,744552,744548,4,0,30269889,0,5305 127,3,2024-09-07 09:50:01:268,1,590,1,0,968,5756,590,0 128,0,2024-09-07 09:50:01:575,148184,0.3,148289,0.4,296169,0.2,393716,1.50 128,1,2024-09-07 09:50:01:609,1036965,1036965,0,0,486263095964,5059139315,1028649,7353,963,367,392423,0 128,2,2024-09-07 09:50:01:400,746084,746084,0,0,27989936,0,3171 128,3,2024-09-07 09:50:00:770,1,590,70,0,1082,9498,590,0 129,0,2024-09-07 09:50:01:005,150247,0.3,149415,0.5,299655,0.3,397854,1.50 129,1,2024-09-07 09:50:00:567,1033590,1033590,0,0,485695549533,5092191384,1023134,8434,2022,379,391962,0 129,2,2024-09-07 09:50:00:689,747993,747989,4,0,30662896,0,5335 129,3,2024-09-07 09:50:00:689,1,590,1,0,506,8252,590,0 130,0,2024-09-07 09:50:01:729,148532,0.4,147954,0.5,296554,0.4,394647,1.75 130,1,2024-09-07 09:50:00:585,1037631,1037631,0,0,486465584828,5054195631,1032201,5035,395,381,391825,0 130,2,2024-09-07 09:50:01:125,749558,749558,0,0,30972360,0,4067 130,3,2024-09-07 09:50:01:296,1,590,0,0,960,7936,590,0 131,0,2024-09-07 09:50:01:938,145860,0.3,146210,0.5,293253,0.3,390068,1.75 131,1,2024-09-07 09:50:01:825,1037118,1037118,0,0,487470456206,5082271777,1029905,6017,1196,381,391865,0 131,2,2024-09-07 09:50:00:566,745235,745235,0,0,28552928,0,3979 131,3,2024-09-07 09:50:01:701,1,590,1,0,392,7654,590,0 132,0,2024-09-07 09:50:01:494,149092,0.5,150067,0.6,298861,0.5,397201,2.00 132,1,2024-09-07 09:50:00:594,1032820,1032820,0,0,484204165250,5100303535,1017519,12599,2702,381,392532,0 132,2,2024-09-07 09:50:00:703,744853,744836,17,0,37248506,0,6451 132,3,2024-09-07 09:50:01:688,1,590,5,0,1298,10760,590,0 133,0,2024-09-07 09:50:01:525,145551,0.4,148900,0.6,305298,0.4,397333,2.00 133,1,2024-09-07 09:50:00:596,1033223,1033223,0,0,485303036918,5115061974,1019165,12296,1762,383,391914,0 133,2,2024-09-07 09:50:01:086,746703,746653,50,0,38371550,0,6861 133,3,2024-09-07 09:50:01:308,1,590,16,0,528,7181,590,0 134,0,2024-09-07 09:50:01:020,149593,0.5,149743,0.7,299719,0.5,398731,2.00 134,1,2024-09-07 09:50:00:589,1034342,1034342,0,0,485186021490,5091822037,1020575,11281,2486,366,391781,0 134,2,2024-09-07 09:50:01:756,746894,746870,24,0,35526446,0,6207 134,3,2024-09-07 09:50:00:752,1,590,44,0,739,7335,590,0 135,0,2024-09-07 09:50:01:102,141269,0.8,141239,0.9,299886,1.0,386960,2.25 135,1,2024-09-07 09:50:01:589,1033867,1033867,0,0,485513696063,5106941252,1020854,11373,1640,380,391805,0 135,2,2024-09-07 09:50:00:689,746403,746403,0,0,36247825,0,4503 135,3,2024-09-07 09:50:01:002,1,590,4,0,900,5862,590,0 136,0,2024-09-07 09:50:01:677,149665,0.6,150226,0.8,298209,0.6,398022,2.25 136,1,2024-09-07 09:50:01:460,1035044,1035044,0,0,485399103707,5091097583,1023145,10511,1388,381,392135,0 136,2,2024-09-07 09:50:01:176,746582,746567,15,0,35775074,0,6007 136,3,2024-09-07 09:50:01:112,1,590,1,0,637,6922,590,0 137,0,2024-09-07 09:50:01:048,153288,0.6,149435,0.7,292708,0.6,397663,2.00 137,1,2024-09-07 09:50:00:578,1033905,1033905,0,0,485321287375,5094606761,1017375,13142,3388,366,391898,0 137,2,2024-09-07 09:50:01:713,744414,744414,0,0,36932719,0,3185 137,3,2024-09-07 09:50:00:769,1,590,15,0,484,8197,590,0 138,0,2024-09-07 09:50:01:757,147515,0.7,147751,0.8,296027,0.8,393233,2.00 138,1,2024-09-07 09:50:01:695,1034321,1034321,0,0,485484830515,5096637290,1019555,12496,2270,368,391954,0 138,2,2024-09-07 09:50:00:586,744444,744444,0,0,35240868,0,4988 138,3,2024-09-07 09:50:00:610,1,590,0,0,1200,9383,590,0 139,0,2024-09-07 09:50:01:360,145431,1.1,145980,1.0,291846,1.6,389927,2.25 139,1,2024-09-07 09:50:00:571,1030330,1030330,0,0,482779137040,5123187974,1011949,14557,3824,380,392109,0 139,2,2024-09-07 09:50:00:692,743688,743658,30,0,40570638,0,5997 139,3,2024-09-07 09:50:01:667,1,590,1,0,432,7107,590,0 140,0,2024-09-07 09:50:01:595,147741,0.3,146837,0.5,294598,0.2,392977,1.75 140,1,2024-09-07 09:50:01:537,1040645,1040645,0,0,488478244020,5036659731,1035676,4423,546,364,391606,0 140,2,2024-09-07 09:50:00:690,746032,746031,1,0,28647761,0,5036 140,3,2024-09-07 09:50:00:769,1,590,3,0,575,5974,590,0 141,0,2024-09-07 09:50:01:698,147829,0.3,151927,0.5,290393,0.3,393273,1.75 141,1,2024-09-07 09:50:00:859,1038567,1038567,0,0,487697225421,5067905116,1030370,7005,1192,379,391614,0 141,2,2024-09-07 09:50:01:687,745304,745293,11,0,30758491,0,5369 141,3,2024-09-07 09:50:01:043,1,590,1,0,391,6925,590,0 142,0,2024-09-07 09:50:01:352,149443,0.3,148620,0.5,297536,0.2,396725,1.50 142,1,2024-09-07 09:50:00:584,1036740,1036740,0,0,486754154330,5075104820,1030037,6197,506,382,392102,0 142,2,2024-09-07 09:50:01:316,745238,745206,32,0,31312019,0,6028 142,3,2024-09-07 09:50:01:746,1,590,8,0,484,6339,590,0 143,0,2024-09-07 09:50:01:385,146894,0.4,147006,0.5,294989,0.4,392149,1.75 143,1,2024-09-07 09:50:00:584,1039271,1039271,0,0,487145455296,5055337385,1032817,5887,567,367,391722,0 143,2,2024-09-07 09:50:00:800,750628,750628,0,0,31201649,0,3123 143,3,2024-09-07 09:50:01:168,1,590,0,0,462,7244,590,0 144,0,2024-09-07 09:50:01:494,141874,0.6,146034,0.8,297016,0.6,389382,2.00 144,1,2024-09-07 09:50:00:570,1033317,1033317,0,0,484999010631,5091146163,1022766,8559,1992,381,391733,0 144,2,2024-09-07 09:50:01:755,745827,745827,0,0,30241176,0,4443 144,3,2024-09-07 09:50:01:740,1,590,4,0,249,5723,590,0 145,0,2024-09-07 09:50:01:359,143406,0.6,143339,0.8,304274,0.5,391722,2.25 145,1,2024-09-07 09:50:00:559,1032936,1032936,0,0,484653599447,5102625844,1018919,11346,2671,382,391781,0 145,2,2024-09-07 09:50:01:427,743109,743027,82,0,36104141,0,7814 145,3,2024-09-07 09:50:00:895,1,590,1,0,622,7910,590,0 146,0,2024-09-07 09:50:01:624,148830,0.6,148238,0.7,297877,0.5,395626,2.00 146,1,2024-09-07 09:50:01:589,1034173,1034173,0,0,485248501793,5109928618,1016674,13018,4481,367,391770,0 146,2,2024-09-07 09:50:01:695,745286,745280,6,0,34687644,0,5151 146,3,2024-09-07 09:50:01:283,1,590,2,0,1520,9744,590,0 147,0,2024-09-07 09:50:01:742,148961,0.6,148678,0.7,297134,0.5,396180,2.25 147,1,2024-09-07 09:50:01:371,1037974,1037974,0,0,487024143516,5062898087,1029488,7519,967,367,391791,0 147,2,2024-09-07 09:50:01:012,747613,747613,0,0,30783963,0,2968 147,3,2024-09-07 09:50:00:916,1,590,1,0,1626,9574,590,0 0,0,2024-09-07 09:50:11:738,145004,0.6,144943,0.7,307703,0.6,397465,2.00 0,1,2024-09-07 09:50:10:801,1036303,1036303,0,0,486586931200,5095782563,1027770,7587,946,368,391896,0 0,2,2024-09-07 09:50:11:067,749091,749091,0,0,30660082,0,4480 0,3,2024-09-07 09:50:10:980,1,591,1,0,431,8645,591,0 1,0,2024-09-07 09:50:11:768,148978,0.8,147901,0.9,297394,1.0,397270,2.00 1,1,2024-09-07 09:50:10:602,1035879,1035879,0,0,485862653937,5090560855,1026445,7944,1490,370,391859,0 1,2,2024-09-07 09:50:10:647,747607,747607,0,0,30037684,0,3380 1,3,2024-09-07 09:50:11:304,1,591,1,0,269,7367,591,0 2,0,2024-09-07 09:50:11:568,146784,0.6,146642,0.7,292903,0.6,390991,2.00 2,1,2024-09-07 09:50:10:867,1038997,1038997,0,0,488459188514,5080063002,1032825,5222,950,379,391745,0 2,2,2024-09-07 09:50:11:268,748183,748183,0,0,28797151,0,3594 2,3,2024-09-07 09:50:10:690,1,591,4,0,357,5288,591,0 3,0,2024-09-07 09:50:11:749,148757,0.4,148650,0.6,296742,0.4,396268,2.00 3,1,2024-09-07 09:50:11:618,1037406,1037406,0,0,487709965028,5073512447,1029822,6880,704,379,391716,0 3,2,2024-09-07 09:50:11:142,747861,747838,23,0,29848875,0,5851 3,3,2024-09-07 09:50:11:754,1,591,23,0,484,4585,591,0 4,0,2024-09-07 09:50:11:820,143882,0.4,147751,0.5,301295,0.3,394557,1.75 4,1,2024-09-07 09:50:10:593,1033454,1033454,0,0,484254175327,5128125582,1015652,14048,3754,370,391992,0 4,2,2024-09-07 09:50:11:017,744117,744117,0,0,36519909,0,4534 4,3,2024-09-07 09:50:11:027,1,591,1,0,448,7298,591,0 5,0,2024-09-07 09:50:11:376,148442,0.4,148490,0.5,297115,0.3,394906,1.75 5,1,2024-09-07 09:50:10:758,1033860,1033860,0,0,486163809470,5134953159,1017544,12192,4124,367,392005,0 5,2,2024-09-07 09:50:11:850,743879,743879,0,0,35340620,0,3582 5,3,2024-09-07 09:50:11:740,1,591,2,0,457,8178,591,0 6,0,2024-09-07 09:50:10:923,147510,0.5,147190,0.6,294391,0.4,392288,2.00 6,1,2024-09-07 09:50:10:753,1036982,1036982,0,0,486733488551,5085403602,1025961,9448,1573,379,391702,0 6,2,2024-09-07 09:50:11:119,750727,750709,18,0,34436825,0,5535 6,3,2024-09-07 09:50:11:280,1,591,1,0,710,7262,591,0 7,0,2024-09-07 09:50:11:539,146132,0.5,147168,0.7,292315,0.5,390405,2.00 7,1,2024-09-07 09:50:10:886,1034710,1034710,0,0,486121580083,5121065028,1018387,12557,3766,382,391747,0 7,2,2024-09-07 09:50:10:789,745510,745510,0,0,33019707,0,4791 7,3,2024-09-07 09:50:10:853,1,591,2,0,552,6990,591,0 8,0,2024-09-07 09:50:11:340,148172,0.4,147838,0.5,296125,0.3,394285,1.75 8,1,2024-09-07 09:50:11:016,1034083,1034083,0,0,485175968352,5106389470,1017369,13128,3586,366,392853,0 8,2,2024-09-07 09:50:10:794,741131,741129,2,0,39367602,0,5112 8,3,2024-09-07 09:50:10:586,1,591,15,0,772,9548,591,0 9,0,2024-09-07 09:50:11:108,148961,0.4,144931,0.5,303319,0.3,397408,1.75 9,1,2024-09-07 09:50:10:550,1034014,1034014,0,0,485209345218,5117998637,1017335,13454,3225,369,392001,0 9,2,2024-09-07 09:50:11:084,745738,745737,1,0,37210042,0,5281 9,3,2024-09-07 09:50:11:755,1,591,48,0,1273,10208,591,0 10,0,2024-09-07 09:50:11:609,147670,0.3,147006,0.5,295324,0.3,393007,1.75 10,1,2024-09-07 09:50:10:586,1035783,1035783,0,0,486031945402,5102932985,1020068,12652,3063,381,391981,0 10,2,2024-09-07 09:50:10:764,749917,749917,0,0,39867712,0,4713 10,3,2024-09-07 09:50:10:871,1,591,18,0,669,6779,591,0 11,0,2024-09-07 09:50:11:007,146085,0.5,141755,0.6,296495,0.4,390935,1.75 11,1,2024-09-07 09:50:10:574,1037255,1037255,0,0,487121418031,5113387504,1021434,11518,4303,383,391766,0 11,2,2024-09-07 09:50:11:126,744475,744475,0,0,35296009,0,4698 11,3,2024-09-07 09:50:11:298,1,591,1,0,843,7773,591,0 12,0,2024-09-07 09:50:10:979,150022,0.4,150012,0.6,299574,0.4,398091,1.75 12,1,2024-09-07 09:50:10:941,1036965,1036965,0,0,486176307454,5072351778,1028594,7364,1007,370,391960,0 12,2,2024-09-07 09:50:11:542,744921,744921,0,0,34006365,0,4390 12,3,2024-09-07 09:50:11:059,1,591,1,0,386,7505,591,0 13,0,2024-09-07 09:50:11:325,150198,0.4,150343,0.5,299768,0.4,399291,1.75 13,1,2024-09-07 09:50:11:523,1034364,1034364,0,0,486419599497,5114754232,1023486,8489,2389,382,391768,0 13,2,2024-09-07 09:50:10:602,749394,749394,0,0,30432334,0,3287 13,3,2024-09-07 09:50:11:774,1,591,12,0,522,7912,591,0 14,0,2024-09-07 09:50:10:560,149476,0.4,150545,0.6,298811,0.3,398210,1.75 14,1,2024-09-07 09:50:11:570,1041739,1041739,0,0,488844759389,5060604480,1033284,7326,1129,364,391673,0 14,2,2024-09-07 09:50:10:777,749196,749166,30,0,31860463,0,6104 14,3,2024-09-07 09:50:11:114,1,591,1,0,1168,6534,591,0 15,0,2024-09-07 09:50:11:563,146152,0.4,145196,0.6,291708,0.4,389026,2.00 15,1,2024-09-07 09:50:11:612,1038818,1038818,0,0,487578372625,5079759746,1029749,7156,1913,381,391619,0 15,2,2024-09-07 09:50:10:997,748116,748116,0,0,27543723,0,3622 15,3,2024-09-07 09:50:11:404,1,591,1,0,1126,7874,591,0 16,0,2024-09-07 09:50:10:970,149284,0.6,150001,0.8,299375,0.7,398400,2.00 16,1,2024-09-07 09:50:10:593,1038757,1038757,0,0,486993718377,5092386133,1029471,7842,1444,370,392194,0 16,2,2024-09-07 09:50:11:443,745969,745969,0,0,31240999,0,4719 16,3,2024-09-07 09:50:11:144,1,591,3,0,358,7526,591,0 17,0,2024-09-07 09:50:11:868,153359,0.6,149476,0.8,292835,0.6,398326,2.00 17,1,2024-09-07 09:50:10:595,1036437,1036437,0,0,485785859028,5097548993,1026153,8513,1771,368,392075,0 17,2,2024-09-07 09:50:11:668,749956,749955,1,0,32488143,0,5050 17,3,2024-09-07 09:50:10:576,1,591,9,0,518,8731,591,0 18,0,2024-09-07 09:50:10:939,146999,0.6,147919,0.8,294409,0.7,393395,2.25 18,1,2024-09-07 09:50:11:640,1040732,1040732,0,0,487591154074,5058662527,1033671,5804,1257,367,391725,0 18,2,2024-09-07 09:50:11:757,749723,749723,0,0,28820782,0,3541 18,3,2024-09-07 09:50:10:905,1,591,2,0,1059,5522,591,0 19,0,2024-09-07 09:50:11:550,146653,0.6,146848,0.8,292547,0.6,389589,2.00 19,1,2024-09-07 09:50:10:571,1040454,1040454,0,0,488549150117,5064880522,1032710,6611,1133,367,391777,0 19,2,2024-09-07 09:50:11:768,752416,752416,0,0,27449344,0,3988 19,3,2024-09-07 09:50:11:129,1,591,13,0,524,4586,591,0 20,0,2024-09-07 09:50:11:372,147368,0.6,147177,0.7,294220,0.6,392647,2.00 20,1,2024-09-07 09:50:10:608,1036343,1036343,0,0,486242768405,5096652938,1025772,9065,1506,369,391922,0 20,2,2024-09-07 09:50:10:930,745959,745959,0,0,33893812,0,4321 20,3,2024-09-07 09:50:10:590,1,591,13,0,468,9623,591,0 21,0,2024-09-07 09:50:11:132,147812,0.5,147919,0.6,295740,0.4,393162,2.00 21,1,2024-09-07 09:50:11:558,1034568,1034568,0,0,485526359620,5128119310,1017707,13027,3834,368,392016,0 21,2,2024-09-07 09:50:11:067,740761,740284,477,0,44597030,0,17074 21,3,2024-09-07 09:50:11:409,1,591,1,0,713,8613,591,0 22,0,2024-09-07 09:50:11:732,148647,0.5,149256,0.6,297745,0.4,395088,2.00 22,1,2024-09-07 09:50:11:036,1035532,1035532,0,0,484619673996,5104205052,1017719,14273,3540,382,391822,0 22,2,2024-09-07 09:50:10:766,745526,745500,26,0,32384836,0,6328 22,3,2024-09-07 09:50:11:067,1,591,2,0,228,4990,591,0 23,0,2024-09-07 09:50:11:383,146932,0.5,146669,0.7,293381,0.5,391365,2.25 23,1,2024-09-07 09:50:11:003,1035587,1035587,0,0,486902410963,5133926518,1016116,12893,6578,365,391690,0 23,2,2024-09-07 09:50:11:092,751759,751759,0,0,31182358,0,3773 23,3,2024-09-07 09:50:11:768,1,591,1,0,855,8805,591,0 24,0,2024-09-07 09:50:10:815,147416,0.4,146798,0.6,295263,0.4,392250,1.75 24,1,2024-09-07 09:50:10:591,1035716,1035716,0,0,485403053037,5083940613,1025658,8349,1709,367,392269,0 24,2,2024-09-07 09:50:11:068,744993,744990,3,0,37720494,0,6294 24,3,2024-09-07 09:50:11:691,1,591,4,0,468,7641,591,0 25,0,2024-09-07 09:50:11:394,152527,0.5,148395,0.6,291562,0.4,396791,2.00 25,1,2024-09-07 09:50:10:579,1034044,1034044,0,0,485001558198,5128481975,1015287,15047,3710,369,391928,0 25,2,2024-09-07 09:50:11:612,743504,743504,0,0,38264799,0,3978 25,3,2024-09-07 09:50:11:001,1,591,8,0,532,6483,591,0 26,0,2024-09-07 09:50:11:738,148302,0.4,144999,0.6,304528,0.4,396233,1.75 26,1,2024-09-07 09:50:11:549,1037754,1037754,0,0,486408300291,5095655822,1023419,11802,2533,380,391748,0 26,2,2024-09-07 09:50:10:867,746917,746917,0,0,41078584,0,4689 26,3,2024-09-07 09:50:11:716,1,591,1,0,796,7552,591,0 27,0,2024-09-07 09:50:11:740,148784,0.4,149440,0.6,297104,0.4,395767,2.25 27,1,2024-09-07 09:50:11:681,1038215,1038215,0,0,487915199507,5087444066,1027820,8786,1609,381,391626,0 27,2,2024-09-07 09:50:10:870,746193,746128,65,0,35829387,0,5699 27,3,2024-09-07 09:50:11:015,1,591,1,0,564,5193,591,0 28,0,2024-09-07 09:50:11:402,146280,0.4,146346,0.6,292888,0.3,390340,2.00 28,1,2024-09-07 09:50:10:818,1039039,1039039,0,0,488615182344,5095260512,1030103,7045,1891,382,391904,0 28,2,2024-09-07 09:50:11:783,746248,746248,0,0,30719648,0,2915 28,3,2024-09-07 09:50:11:781,1,591,1,0,502,5907,591,0 29,0,2024-09-07 09:50:11:364,153615,0.4,149552,0.6,293545,0.4,399867,1.75 29,1,2024-09-07 09:50:11:583,1042269,1042269,0,0,488399577452,5052964343,1035916,5579,774,367,391809,0 29,2,2024-09-07 09:50:10:867,746457,746457,0,0,29908395,0,4986 29,3,2024-09-07 09:50:10:967,1,591,2,0,459,6479,591,0 30,0,2024-09-07 09:50:11:474,148371,0.5,144528,0.7,302517,0.4,395345,2.00 30,1,2024-09-07 09:50:10:587,1041236,1041236,0,0,489185465032,5076301080,1033672,6654,910,380,391672,0 30,2,2024-09-07 09:50:11:280,748784,748784,0,0,28561978,0,4192 30,3,2024-09-07 09:50:10:589,1,591,10,0,519,5580,591,0 31,0,2024-09-07 09:50:11:758,148650,0.4,149534,0.6,297815,0.4,398018,2.00 31,1,2024-09-07 09:50:10:587,1044437,1044437,0,0,489845937011,5032053331,1037983,5380,1074,356,391712,0 31,2,2024-09-07 09:50:11:279,747001,747001,0,0,32089887,0,4470 31,3,2024-09-07 09:50:11:714,1,591,141,0,239,5161,591,0 32,0,2024-09-07 09:50:11:452,147037,0.3,147985,0.5,294531,0.3,392391,1.75 32,1,2024-09-07 09:50:10:804,1040683,1040683,0,0,487805921737,5070134112,1034506,5459,718,381,391646,0 32,2,2024-09-07 09:50:10:935,749811,749811,0,0,28225341,0,3922 32,3,2024-09-07 09:50:11:015,1,591,111,0,304,4843,591,0 33,0,2024-09-07 09:50:11:510,149319,0.3,148994,0.4,298102,0.2,397333,1.50 33,1,2024-09-07 09:50:10:574,1040499,1040499,0,0,488767636237,5064469990,1032059,7168,1272,368,391730,0 33,2,2024-09-07 09:50:10:765,747799,747764,35,0,31242870,0,7012 33,3,2024-09-07 09:50:10:908,1,591,0,0,329,5207,591,0 34,0,2024-09-07 09:50:10:935,148341,0.3,152408,0.5,291490,0.2,393754,1.75 34,1,2024-09-07 09:50:11:044,1042971,1042971,0,0,489171844844,5033147326,1040011,2850,110,367,391637,0 34,2,2024-09-07 09:50:10:766,747997,747997,0,0,29775160,0,4562 34,3,2024-09-07 09:50:11:691,1,591,1,0,541,5486,591,0 35,0,2024-09-07 09:50:10:862,147545,0.3,148452,0.5,297757,0.2,395384,1.75 35,1,2024-09-07 09:50:11:067,1039001,1039001,0,0,487824152249,5064700427,1030894,6584,1523,382,391769,0 35,2,2024-09-07 09:50:11:585,746707,746707,0,0,32601263,0,4055 35,3,2024-09-07 09:50:10:913,1,591,1,0,466,5665,591,0 36,0,2024-09-07 09:50:11:534,147737,0.5,147746,0.7,294966,0.4,393110,2.00 36,1,2024-09-07 09:50:10:586,1037479,1037479,0,0,487150648717,5089673451,1023904,11335,2240,366,391759,0 36,2,2024-09-07 09:50:11:753,750875,750875,0,0,34044506,0,3875 36,3,2024-09-07 09:50:10:867,1,591,0,0,556,8219,591,0 37,0,2024-09-07 09:50:11:369,146085,0.5,146221,0.7,292474,0.5,390720,2.25 37,1,2024-09-07 09:50:10:574,1037378,1037371,0,7,487157968082,5089176992,1024727,9731,2913,365,391770,0 37,2,2024-09-07 09:50:11:146,743625,743610,15,0,34020782,0,5815 37,3,2024-09-07 09:50:11:770,1,591,8,0,888,7963,591,0 38,0,2024-09-07 09:50:11:444,146868,0.5,142416,0.7,297769,0.4,390189,2.00 38,1,2024-09-07 09:50:11:606,1037215,1037215,0,0,487332097560,5100190172,1021890,12326,2999,368,391821,0 38,2,2024-09-07 09:50:10:766,745324,745277,47,0,34223410,0,6710 38,3,2024-09-07 09:50:10:997,1,591,1,0,689,7388,591,0 39,0,2024-09-07 09:50:11:792,152303,0.5,148899,0.7,290340,0.5,396158,2.00 39,1,2024-09-07 09:50:10:721,1037164,1037164,0,0,486878480057,5107541356,1019721,13728,3715,365,391865,0 39,2,2024-09-07 09:50:11:417,747403,747403,0,0,32277871,0,3391 39,3,2024-09-07 09:50:10:715,1,591,0,0,525,6655,591,0 40,0,2024-09-07 09:50:11:501,146352,0.9,146988,1.0,293404,1.0,391280,2.75 40,1,2024-09-07 09:50:10:586,1037867,1037867,0,0,485646987756,5091702523,1022264,12535,3068,368,391668,0 40,2,2024-09-07 09:50:11:305,748047,748040,7,0,38922187,0,5347 40,3,2024-09-07 09:50:11:145,1,591,4,0,1028,8783,591,0 41,0,2024-09-07 09:50:11:022,145304,1.4,148649,1.2,283559,2.0,385991,3.25 41,1,2024-09-07 09:50:10:783,1036820,1036820,0,0,486498536691,5093444525,1023055,11607,2158,369,391878,0 41,2,2024-09-07 09:50:10:765,742273,742272,1,0,37062890,0,5408 41,3,2024-09-07 09:50:11:681,1,591,1,0,366,6394,591,0 42,0,2024-09-07 09:50:11:480,147937,1.0,147587,1.1,295379,1.2,391909,2.75 42,1,2024-09-07 09:50:11:440,1034368,1034368,0,0,485380354016,5103890031,1017878,13558,2932,380,391675,0 42,2,2024-09-07 09:50:11:132,743979,743978,1,0,37317531,0,5513 42,3,2024-09-07 09:50:11:009,1,591,1,0,892,5596,591,0 43,0,2024-09-07 09:50:10:916,147923,0.7,144058,0.9,301554,0.7,394230,2.25 43,1,2024-09-07 09:50:10:586,1038156,1038156,0,0,487330889299,5095758402,1023418,12166,2572,366,391696,0 43,2,2024-09-07 09:50:11:736,747947,747947,0,0,35335607,0,4723 43,3,2024-09-07 09:50:11:749,1,591,2,0,571,8677,591,0 44,0,2024-09-07 09:50:10:860,149881,0.4,149795,0.6,299886,0.3,398689,1.75 44,1,2024-09-07 09:50:10:573,1040925,1040925,0,0,488015516840,5046826323,1031562,7422,1941,356,391809,0 44,2,2024-09-07 09:50:11:268,746945,746945,0,0,28671518,0,4344 44,3,2024-09-07 09:50:11:095,1,591,0,0,1097,7358,591,0 45,0,2024-09-07 09:50:11:763,144462,0.5,141156,0.7,296247,0.5,389269,2.00 45,1,2024-09-07 09:50:11:005,1039710,1039710,0,0,488640931981,5078852725,1031459,7348,903,382,391917,0 45,2,2024-09-07 09:50:11:271,747598,747598,0,0,28972448,0,3596 45,3,2024-09-07 09:50:10:934,1,591,1,0,531,5730,591,0 46,0,2024-09-07 09:50:10:954,148670,0.6,148204,0.8,297350,0.6,395029,2.25 46,1,2024-09-07 09:50:10:586,1041882,1041882,0,0,489149606386,5057853587,1034390,6504,988,366,391709,0 46,2,2024-09-07 09:50:10:594,747819,747819,0,0,29684067,0,4443 46,3,2024-09-07 09:50:11:141,1,591,2,0,908,7171,591,0 47,0,2024-09-07 09:50:11:115,149193,0.4,149050,0.6,299281,0.4,396717,2.00 47,1,2024-09-07 09:50:10:573,1042571,1042571,0,0,489065948658,5053627307,1036473,5236,862,365,391641,0 47,2,2024-09-07 09:50:10:917,750759,750759,0,0,28730060,0,4477 47,3,2024-09-07 09:50:11:124,1,591,1,0,600,6579,591,0 48,0,2024-09-07 09:50:11:504,148703,0.3,148818,0.4,297201,0.2,395289,1.50 48,1,2024-09-07 09:50:11:022,1040227,1040227,0,0,487556719607,5064325755,1034129,5520,578,381,391710,0 48,2,2024-09-07 09:50:10:698,747615,747615,0,0,27147612,0,3524 48,3,2024-09-07 09:50:10:754,1,591,1,0,339,5130,591,0 49,0,2024-09-07 09:50:11:720,151055,0.3,148113,0.5,288253,0.3,393794,1.75 49,1,2024-09-07 09:50:11:021,1039045,1039045,0,0,487419942213,5071657608,1031775,5575,1695,382,391809,0 49,2,2024-09-07 09:50:11:796,751028,751028,0,0,29495435,0,4426 49,3,2024-09-07 09:50:11:417,1,591,1,0,992,7075,591,0 50,0,2024-09-07 09:50:11:507,148066,0.3,146354,0.5,294951,0.2,392541,1.75 50,1,2024-09-07 09:50:11:010,1042258,1042258,0,0,489599657860,5062119239,1035734,5855,669,368,391691,0 50,2,2024-09-07 09:50:11:067,745805,745805,0,0,27179402,0,4490 50,3,2024-09-07 09:50:11:295,1,591,8,0,617,6144,591,0 51,0,2024-09-07 09:50:11:686,151760,0.3,148654,0.5,289327,0.2,394859,1.75 51,1,2024-09-07 09:50:11:686,1043254,1043254,0,0,489932478604,5052984399,1037598,4575,1081,365,391706,0 51,2,2024-09-07 09:50:11:316,746950,746950,0,0,26729078,0,3337 51,3,2024-09-07 09:50:11:027,1,591,1,0,678,4269,591,0 52,0,2024-09-07 09:50:11:415,149283,0.5,148963,0.7,298248,0.4,396103,2.00 52,1,2024-09-07 09:50:10:574,1037668,1037668,0,0,487070855805,5099471645,1022648,12690,2330,368,391805,0 52,2,2024-09-07 09:50:11:756,743686,743648,38,0,36082927,0,6742 52,3,2024-09-07 09:50:10:674,1,591,5,0,1782,7081,591,0 53,0,2024-09-07 09:50:11:739,146690,0.7,142380,0.8,297821,0.7,390521,2.50 53,1,2024-09-07 09:50:10:779,1036070,1036070,0,0,485940923404,5102826683,1017888,13787,4395,367,391968,0 53,2,2024-09-07 09:50:11:299,750677,750676,1,0,32696197,0,5455 53,3,2024-09-07 09:50:10:701,1,591,2,0,308,5585,591,0 54,0,2024-09-07 09:50:11:622,144989,0.6,145285,0.8,289334,0.5,386772,2.25 54,1,2024-09-07 09:50:10:582,1038953,1038953,0,0,488150748011,5079166733,1027848,9435,1670,366,391810,0 54,2,2024-09-07 09:50:10:867,745891,745859,32,0,36250537,0,6397 54,3,2024-09-07 09:50:10:764,1,591,0,0,676,7756,591,0 55,0,2024-09-07 09:50:11:759,143155,0.7,147603,0.8,299231,0.6,389582,2.50 55,1,2024-09-07 09:50:10:766,1039098,1039098,0,0,487694618588,5074018861,1028241,9468,1389,365,391731,0 55,2,2024-09-07 09:50:10:731,744313,744257,56,0,33730469,0,7239 55,3,2024-09-07 09:50:10:674,1,591,1,0,304,5461,591,0 56,0,2024-09-07 09:50:11:604,151914,1.1,143129,1.1,294978,1.5,394752,2.75 56,1,2024-09-07 09:50:10:570,1032727,1032727,0,0,485820153570,5142017254,1014766,14276,3685,381,391867,0 56,2,2024-09-07 09:50:11:312,746414,746292,122,0,36049735,0,7432 56,3,2024-09-07 09:50:11:059,1,591,2,0,705,6897,591,0 57,0,2024-09-07 09:50:10:956,147085,1.4,146907,1.2,294076,2.0,392375,3.25 57,1,2024-09-07 09:50:10:991,1035360,1035360,0,0,485625711542,5101524190,1020940,11931,2489,366,392032,0 57,2,2024-09-07 09:50:11:322,748567,748567,0,0,37691787,0,4804 57,3,2024-09-07 09:50:11:744,1,591,11,0,455,7010,591,0 58,0,2024-09-07 09:50:10:556,143928,1.0,139746,1.0,292298,1.3,382644,2.75 58,1,2024-09-07 09:50:10:587,1037066,1037063,0,3,486259681133,5101573059,1020921,12241,3901,367,391726,3 58,2,2024-09-07 09:50:11:071,746095,746095,0,0,35354398,0,3483 58,3,2024-09-07 09:50:11:068,1,591,1,0,1043,6686,591,0 59,0,2024-09-07 09:50:11:739,147899,0.9,147434,1.0,294644,1.1,390939,3.00 59,1,2024-09-07 09:50:10:804,1035694,1035694,0,0,486850060900,5120167976,1017874,14153,3667,369,391653,0 59,2,2024-09-07 09:50:10:586,746362,746362,0,0,33452911,0,3727 59,3,2024-09-07 09:50:11:741,1,591,1,0,1015,7337,591,0 60,0,2024-09-07 09:50:11:786,149033,0.5,149193,0.7,298600,0.5,397712,1.75 60,1,2024-09-07 09:50:10:783,1040858,1040858,0,0,488777820568,5081009884,1032914,6857,1087,370,392031,0 60,2,2024-09-07 09:50:11:150,748350,748350,0,0,31585774,0,3811 60,3,2024-09-07 09:50:11:268,1,591,19,0,409,6939,591,0 61,0,2024-09-07 09:50:11:531,148906,0.6,149385,0.8,297456,0.6,397373,2.00 61,1,2024-09-07 09:50:10:779,1037304,1037304,0,0,487077984765,5100667110,1026183,9442,1679,382,392127,0 61,2,2024-09-07 09:50:11:124,748185,748118,67,0,32148301,0,6411 61,3,2024-09-07 09:50:11:687,1,591,1,0,607,7818,591,0 62,0,2024-09-07 09:50:11:713,147419,0.6,151229,0.8,288711,0.6,392424,2.00 62,1,2024-09-07 09:50:11:118,1043846,1043840,0,6,490039867274,5053149990,1038877,4664,299,365,391975,6 62,2,2024-09-07 09:50:11:644,746330,746329,1,0,32198757,0,5555 62,3,2024-09-07 09:50:11:143,1,591,0,0,482,4801,591,0 63,0,2024-09-07 09:50:11:459,149283,0.4,149117,0.6,298726,0.4,397691,1.75 63,1,2024-09-07 09:50:10:804,1040053,1040047,0,6,488309905178,5072093308,1033201,5991,855,381,391800,6 63,2,2024-09-07 09:50:10:764,747027,747027,0,0,30533102,0,4369 63,3,2024-09-07 09:50:11:732,1,591,1,0,667,6175,591,0 64,0,2024-09-07 09:50:11:568,147430,0.5,147296,0.7,294221,0.4,392234,2.00 64,1,2024-09-07 09:50:10:768,1039369,1039369,0,0,488839413296,5092398945,1030035,7358,1976,370,391794,0 64,2,2024-09-07 09:50:11:161,750857,750838,19,0,29465902,0,6121 64,3,2024-09-07 09:50:11:142,1,591,35,0,651,6864,591,0 65,0,2024-09-07 09:50:11:693,147275,0.6,147536,0.7,294822,0.6,392736,2.00 65,1,2024-09-07 09:50:10:884,1037541,1037541,0,0,486025797610,5080967145,1030113,6555,873,381,391901,0 65,2,2024-09-07 09:50:11:694,746115,746115,0,0,35330274,0,3367 65,3,2024-09-07 09:50:11:692,1,591,17,0,782,6663,591,0 66,0,2024-09-07 09:50:11:761,146827,0.5,146443,0.8,293007,0.5,390210,2.00 66,1,2024-09-07 09:50:11:305,1040163,1040163,0,0,488211032790,5080631978,1033667,5774,722,380,391743,0 66,2,2024-09-07 09:50:11:139,753244,753241,3,0,31658786,0,5455 66,3,2024-09-07 09:50:11:087,1,591,2,0,291,5081,591,0 67,0,2024-09-07 09:50:11:425,146599,0.5,146290,0.7,293711,0.5,391111,2.00 67,1,2024-09-07 09:50:10:774,1039173,1039172,0,1,488919016540,5090931682,1031846,6382,944,380,391787,1 67,2,2024-09-07 09:50:10:582,748605,748590,15,0,31240480,0,6205 67,3,2024-09-07 09:50:11:756,1,591,1,0,595,6271,591,0 68,0,2024-09-07 09:50:10:582,147839,0.6,147658,0.8,294033,0.6,393407,2.25 68,1,2024-09-07 09:50:10:575,1035080,1035080,0,0,485759066705,5109218189,1021293,10257,3530,381,391953,0 68,2,2024-09-07 09:50:11:046,742195,742095,100,0,39341153,0,8578 68,3,2024-09-07 09:50:10:734,1,591,1,0,417,7737,591,0 69,0,2024-09-07 09:50:11:776,148322,0.7,149150,0.8,297406,0.6,394906,2.25 69,1,2024-09-07 09:50:11:027,1034016,1034016,0,0,485509606535,5118229460,1020162,11022,2832,383,391994,0 69,2,2024-09-07 09:50:11:742,744795,744766,29,0,41569362,0,6912 69,3,2024-09-07 09:50:10:767,1,591,1,0,698,8590,591,0 70,0,2024-09-07 09:50:11:531,146130,0.8,146620,0.9,294760,0.7,390104,2.50 70,1,2024-09-07 09:50:10:801,1040651,1040651,0,0,488772367151,5072492240,1032740,7105,806,366,391725,0 70,2,2024-09-07 09:50:11:325,750249,750249,0,0,34637770,0,4323 70,3,2024-09-07 09:50:10:745,1,591,1,0,854,6599,591,0 71,0,2024-09-07 09:50:11:373,144853,1.1,144645,1.0,290285,1.4,387987,2.75 71,1,2024-09-07 09:50:11:602,1038454,1038454,0,0,487150475131,5086840998,1025467,11366,1621,368,391738,0 71,2,2024-09-07 09:50:11:067,744843,744843,0,0,34652235,0,4352 71,3,2024-09-07 09:50:11:754,1,591,0,0,644,6737,591,0 72,0,2024-09-07 09:50:11:048,153794,0.6,150315,0.7,293418,0.6,398927,2.00 72,1,2024-09-07 09:50:11:028,1036069,1036069,0,0,486435224378,5106024177,1020773,12781,2515,369,391819,0 72,2,2024-09-07 09:50:11:757,744035,744035,0,0,36413163,0,3983 72,3,2024-09-07 09:50:11:756,1,591,1,0,564,8784,591,0 73,0,2024-09-07 09:50:11:153,145520,0.4,149318,0.6,305190,0.4,396821,2.00 73,1,2024-09-07 09:50:10:779,1038195,1038195,0,0,487208252217,5067308756,1029794,7419,982,367,391858,0 73,2,2024-09-07 09:50:11:756,747580,747579,1,0,37967463,0,5027 73,3,2024-09-07 09:50:10:969,1,591,1,0,1091,8352,591,0 74,0,2024-09-07 09:50:11:346,150629,0.5,154241,0.7,294209,0.4,398952,2.00 74,1,2024-09-07 09:50:10:638,1037212,1037212,0,0,486616035754,5083420087,1025310,9786,2116,381,391762,0 74,2,2024-09-07 09:50:11:002,747427,747427,0,0,33159814,0,4253 74,3,2024-09-07 09:50:11:447,1,591,1,0,522,7403,591,0 75,0,2024-09-07 09:50:11:772,146506,0.6,145436,0.8,292033,0.5,390714,2.25 75,1,2024-09-07 09:50:11:601,1038575,1038575,0,0,486759415205,5075683477,1029955,7752,868,380,391739,0 75,2,2024-09-07 09:50:11:368,745291,745291,0,0,40192658,0,4766 75,3,2024-09-07 09:50:11:067,1,591,20,0,918,8131,591,0 76,0,2024-09-07 09:50:10:598,148923,0.6,148362,0.8,296677,0.6,396706,2.25 76,1,2024-09-07 09:50:10:816,1038398,1038398,0,0,487935265330,5089495696,1031078,6331,989,382,391790,0 76,2,2024-09-07 09:50:11:061,748917,748914,3,0,32470068,0,5265 76,3,2024-09-07 09:50:11:143,1,591,8,0,227,5453,591,0 77,0,2024-09-07 09:50:11:716,148385,0.5,148922,0.7,297739,0.5,396013,2.00 77,1,2024-09-07 09:50:10:825,1038071,1038071,0,0,488520392966,5097292285,1030095,7101,875,381,391869,0 77,2,2024-09-07 09:50:11:287,747296,747296,0,0,31629883,0,3890 77,3,2024-09-07 09:50:11:099,1,591,2,0,401,6798,591,0 78,0,2024-09-07 09:50:11:734,148855,0.4,148320,0.6,297236,0.4,393871,2.00 78,1,2024-09-07 09:50:10:639,1038320,1038320,0,0,487118234465,5083326037,1025481,9922,2917,367,391670,0 78,2,2024-09-07 09:50:11:403,748520,748507,13,0,29587092,0,8313 78,3,2024-09-07 09:50:11:133,1,591,1,0,311,5048,591,0 79,0,2024-09-07 09:50:11:356,142139,0.4,145442,0.6,297991,0.3,388553,2.00 79,1,2024-09-07 09:50:10:574,1041808,1041808,0,0,489300241433,5066465689,1033964,6484,1360,367,391682,0 79,2,2024-09-07 09:50:11:069,750104,750104,0,0,28762277,0,4195 79,3,2024-09-07 09:50:10:754,1,591,1,0,418,7148,591,0 80,0,2024-09-07 09:50:11:092,147213,0.5,151240,0.7,289259,0.5,391588,2.00 80,1,2024-09-07 09:50:11:649,1038625,1038625,0,0,487271794357,5075493273,1031534,6640,451,368,392269,0 80,2,2024-09-07 09:50:11:101,748580,748580,0,0,29220670,0,4433 80,3,2024-09-07 09:50:10:595,1,591,16,0,681,7369,591,0 81,0,2024-09-07 09:50:11:610,147835,0.6,151160,0.7,288704,0.5,392068,2.00 81,1,2024-09-07 09:50:11:660,1037153,1037153,0,0,486770907377,5087745313,1029002,7386,765,382,391885,0 81,2,2024-09-07 09:50:11:125,745665,745602,63,0,32427403,0,5932 81,3,2024-09-07 09:50:11:117,1,591,0,0,719,6971,591,0 82,0,2024-09-07 09:50:11:536,148612,0.5,148749,0.7,298257,0.4,395063,2.00 82,1,2024-09-07 09:50:10:586,1039879,1039875,0,4,487518197613,5068458471,1034307,4745,823,381,391768,4 82,2,2024-09-07 09:50:11:691,749188,749188,0,0,27508150,0,4484 82,3,2024-09-07 09:50:11:761,1,591,12,0,363,5673,591,0 83,0,2024-09-07 09:50:11:537,147349,0.6,147361,0.7,294050,0.6,390726,2.25 83,1,2024-09-07 09:50:10:552,1038165,1038165,0,0,487018083401,5078016553,1030624,6896,645,382,391709,0 83,2,2024-09-07 09:50:10:766,751282,751257,25,0,29713939,0,5612 83,3,2024-09-07 09:50:10:753,1,591,2,0,1260,7155,591,0 84,0,2024-09-07 09:50:11:775,144975,0.8,145199,0.9,290386,0.7,388303,2.25 84,1,2024-09-07 09:50:11:041,1036516,1036516,0,0,487170330647,5096102576,1024471,10374,1671,367,391967,0 84,2,2024-09-07 09:50:10:574,744160,743750,410,0,43141956,0,17037 84,3,2024-09-07 09:50:11:165,1,591,1,0,908,8010,591,0 85,0,2024-09-07 09:50:11:027,142333,0.7,142315,0.8,302228,0.7,389920,2.25 85,1,2024-09-07 09:50:10:569,1033813,1033813,0,0,485090989554,5124845219,1017511,13505,2797,381,392092,0 85,2,2024-09-07 09:50:10:867,744721,744721,0,0,36312849,0,4255 85,3,2024-09-07 09:50:10:687,1,591,1,0,789,6853,591,0 86,0,2024-09-07 09:50:10:875,148757,0.7,152862,0.8,292404,0.7,395424,2.25 86,1,2024-09-07 09:50:10:834,1036520,1036520,0,0,487179687709,5110645734,1022692,11143,2685,366,392169,0 86,2,2024-09-07 09:50:10:857,746509,746508,1,0,38562394,0,5004 86,3,2024-09-07 09:50:10:586,1,591,3,0,308,8328,591,0 87,0,2024-09-07 09:50:11:290,148793,0.8,148362,0.8,296592,0.9,395605,2.25 87,1,2024-09-07 09:50:10:550,1036238,1036238,0,0,486403043686,5098137289,1022862,11579,1797,366,392076,0 87,2,2024-09-07 09:50:11:075,748049,748043,6,0,35151566,0,6323 87,3,2024-09-07 09:50:11:800,1,591,14,0,473,8523,591,0 88,0,2024-09-07 09:50:11:458,146130,0.5,146516,0.6,292869,0.4,390415,2.00 88,1,2024-09-07 09:50:10:573,1035047,1035047,0,0,485583098427,5094161828,1019673,12330,3044,365,392084,0 88,2,2024-09-07 09:50:10:694,745481,745481,0,0,37952289,0,4465 88,3,2024-09-07 09:50:11:271,1,591,0,0,1080,9201,591,0 89,0,2024-09-07 09:50:11:776,153456,0.5,148932,0.7,293793,0.4,399473,1.75 89,1,2024-09-07 09:50:10:553,1034553,1034553,0,0,486919728744,5123760370,1021574,11036,1943,382,391866,0 89,2,2024-09-07 09:50:11:139,745650,745650,0,0,35751642,0,3173 89,3,2024-09-07 09:50:11:791,1,591,1,0,468,10078,591,0 90,0,2024-09-07 09:50:11:619,144733,0.5,148630,0.6,303331,0.4,395718,2.00 90,1,2024-09-07 09:50:10:590,1036898,1036898,0,0,486457491935,5097697598,1026807,9199,892,380,391825,0 90,2,2024-09-07 09:50:11:405,744722,744717,5,0,39287960,0,6370 90,3,2024-09-07 09:50:10:930,1,591,1,0,322,7275,591,0 91,0,2024-09-07 09:50:10:956,149422,0.4,144939,0.6,303272,0.4,397979,1.75 91,1,2024-09-07 09:50:10:572,1033995,1033995,0,0,486189060715,5126336321,1018151,12697,3147,381,392047,0 91,2,2024-09-07 09:50:11:334,747037,747037,0,0,34535004,0,4713 91,3,2024-09-07 09:50:10:599,1,591,3,0,216,5387,591,0 92,0,2024-09-07 09:50:11:493,148576,0.5,151809,0.6,289631,0.5,392650,1.75 92,1,2024-09-07 09:50:10:586,1037850,1037850,0,0,487894858238,5097492005,1029494,7069,1287,381,392136,0 92,2,2024-09-07 09:50:11:360,748111,748111,0,0,30237345,0,3259 92,3,2024-09-07 09:50:11:009,1,591,0,0,167,5127,591,0 93,0,2024-09-07 09:50:10:960,149544,0.4,153293,0.6,292689,0.4,397589,1.75 93,1,2024-09-07 09:50:10:808,1037788,1037788,0,0,487574077086,5090537731,1026260,9601,1927,366,392048,0 93,2,2024-09-07 09:50:10:934,746780,746780,0,0,35988661,0,4913 93,3,2024-09-07 09:50:11:408,1,591,0,0,294,5823,591,0 94,0,2024-09-07 09:50:11:633,147548,0.4,148537,0.5,297234,0.3,394920,1.75 94,1,2024-09-07 09:50:10:586,1038777,1038777,0,0,486963925119,5079889008,1031840,6506,431,381,391850,0 94,2,2024-09-07 09:50:10:766,746998,746970,28,0,30876947,0,6179 94,3,2024-09-07 09:50:11:690,1,591,2,0,576,7437,591,0 95,0,2024-09-07 09:50:11:355,148324,0.3,148459,0.5,296965,0.3,395393,1.75 95,1,2024-09-07 09:50:10:851,1039702,1039702,0,0,487748853813,5070534622,1031273,7682,747,365,391852,0 95,2,2024-09-07 09:50:11:015,745854,745854,0,0,30389411,0,3308 95,3,2024-09-07 09:50:11:713,1,591,1,0,718,9391,591,0 96,0,2024-09-07 09:50:11:058,147257,0.4,147657,0.5,295233,0.3,392402,1.75 96,1,2024-09-07 09:50:11:589,1038016,1038016,0,0,487143602405,5081873786,1030641,6103,1272,384,391964,0 96,2,2024-09-07 09:50:11:273,751203,751203,0,0,31514203,0,4180 96,3,2024-09-07 09:50:11:159,1,591,6,0,411,6906,591,0 97,0,2024-09-07 09:50:11:352,146916,0.3,146681,0.5,294294,0.3,391024,1.75 97,1,2024-09-07 09:50:10:762,1039622,1039622,0,0,487984435720,5065425655,1032706,5880,1036,367,392140,0 97,2,2024-09-07 09:50:10:608,747352,747352,0,0,30956918,0,4046 97,3,2024-09-07 09:50:10:571,1,591,0,0,242,6629,591,0 98,0,2024-09-07 09:50:11:692,147469,0.3,147524,0.5,296042,0.3,393573,1.50 98,1,2024-09-07 09:50:10:595,1038804,1038804,0,0,487788907685,5081902916,1032329,5640,835,381,391997,0 98,2,2024-09-07 09:50:10:769,746363,746363,0,0,29780626,0,4336 98,3,2024-09-07 09:50:10:699,1,591,4,0,840,9023,591,0 99,0,2024-09-07 09:50:11:469,149181,0.3,149987,0.5,298350,0.3,397645,1.75 99,1,2024-09-07 09:50:11:723,1039683,1039683,0,0,486785491071,5066878360,1033321,5420,942,380,392069,0 99,2,2024-09-07 09:50:11:417,747154,747154,0,0,36879407,0,4276 99,3,2024-09-07 09:50:10:590,1,591,1,0,1124,7499,591,0 100,0,2024-09-07 09:50:11:499,147397,0.8,147649,0.9,294987,0.8,393691,2.50 100,1,2024-09-07 09:50:10:547,1033624,1033624,0,0,484767601326,5127398083,1017616,12694,3314,378,391989,0 100,2,2024-09-07 09:50:11:822,746529,746140,389,0,40355124,0,16909 100,3,2024-09-07 09:50:11:730,1,591,2,0,627,10059,591,0 101,0,2024-09-07 09:50:11:725,149210,1.2,145534,1.1,284810,1.3,389681,2.50 101,1,2024-09-07 09:50:10:608,1035091,1035091,0,0,486022087986,5109094218,1021052,11512,2527,368,391847,0 101,2,2024-09-07 09:50:11:762,741188,741188,0,0,40090801,0,4871 101,3,2024-09-07 09:50:10:942,1,591,3,0,1250,8958,591,0 102,0,2024-09-07 09:50:10:944,144937,0.7,149270,0.8,303264,0.7,396474,2.25 102,1,2024-09-07 09:50:11:143,1035021,1035021,0,0,485485306973,5102495049,1020916,11908,2197,369,391984,0 102,2,2024-09-07 09:50:11:741,746635,746581,54,0,34277066,0,6768 102,3,2024-09-07 09:50:11:613,1,591,0,0,466,6885,591,0 103,0,2024-09-07 09:50:11:596,154300,0.6,154286,0.7,290670,0.6,400114,2.00 103,1,2024-09-07 09:50:11:627,1033359,1033359,0,0,485249723063,5127741064,1016469,13295,3595,381,392077,0 103,2,2024-09-07 09:50:10:587,745697,745697,0,0,37148923,0,3766 103,3,2024-09-07 09:50:10:755,1,591,3,0,916,7099,591,0 104,0,2024-09-07 09:50:11:006,148585,0.7,148765,0.9,296931,0.7,397024,2.25 104,1,2024-09-07 09:50:11:620,1036321,1036321,0,0,485694369089,5107167227,1020804,12675,2842,365,392168,0 104,2,2024-09-07 09:50:11:670,745193,745193,0,0,36876758,0,4161 104,3,2024-09-07 09:50:11:419,1,591,2,0,1245,10597,591,0 105,0,2024-09-07 09:50:11:041,144563,1.0,140834,1.1,295066,1.2,388284,2.75 105,1,2024-09-07 09:50:10:554,1037785,1037785,0,0,486720517386,5102795609,1024225,11621,1939,364,392009,0 105,2,2024-09-07 09:50:11:334,745500,745500,0,0,36956184,0,4360 105,3,2024-09-07 09:50:11:304,1,591,2,0,573,9155,591,0 106,0,2024-09-07 09:50:10:966,144304,1.0,147831,1.0,302784,1.2,395257,2.50 106,1,2024-09-07 09:50:11:776,1036650,1036650,0,0,486189124638,5105915453,1022002,12754,1894,368,391914,0 106,2,2024-09-07 09:50:10:768,745183,745183,0,0,34851133,0,3331 106,3,2024-09-07 09:50:10:684,1,591,2,0,1224,8409,591,0 107,0,2024-09-07 09:50:11:124,148625,1.0,148707,0.9,297408,1.1,396724,2.25 107,1,2024-09-07 09:50:10:603,1033572,1033572,0,0,484904914563,5128245809,1016204,15235,2133,381,392234,0 107,2,2024-09-07 09:50:11:297,744640,744639,1,0,37992445,0,5024 107,3,2024-09-07 09:50:11:756,1,591,1,0,733,9052,591,0 108,0,2024-09-07 09:50:11:805,148219,0.4,148713,0.6,296431,0.4,395308,1.75 108,1,2024-09-07 09:50:11:298,1037868,1037868,0,0,487051996688,5081846659,1029180,7694,994,367,391894,0 108,2,2024-09-07 09:50:11:761,746518,746518,0,0,32620504,0,4246 108,3,2024-09-07 09:50:11:330,1,591,9,0,749,10828,591,0 109,0,2024-09-07 09:50:11:770,147779,0.4,146575,0.6,294024,0.3,392961,1.75 109,1,2024-09-07 09:50:10:591,1035097,1035097,0,0,486870339841,5108897459,1025629,8192,1276,382,392132,0 109,2,2024-09-07 09:50:10:934,747855,747855,0,0,33521214,0,3617 109,3,2024-09-07 09:50:11:142,1,591,3,0,630,7290,591,0 110,0,2024-09-07 09:50:11:782,147313,0.4,143308,0.6,300106,0.4,393446,1.75 110,1,2024-09-07 09:50:11:652,1039719,1039719,0,0,487963515735,5067464179,1031682,6111,1926,368,392045,0 110,2,2024-09-07 09:50:11:303,746987,746987,0,0,30957915,0,4067 110,3,2024-09-07 09:50:10:690,1,591,2,0,722,8020,591,0 111,0,2024-09-07 09:50:11:412,148685,0.4,147785,0.5,295638,0.3,394443,1.75 111,1,2024-09-07 09:50:11:002,1041001,1041001,0,0,488512909905,5067658113,1035519,5101,381,380,391690,0 111,2,2024-09-07 09:50:11:115,746361,746361,0,0,31448993,0,4823 111,3,2024-09-07 09:50:10:917,1,591,1,0,379,6519,591,0 112,0,2024-09-07 09:50:10:911,149580,0.3,148938,0.4,298657,0.2,396351,1.50 112,1,2024-09-07 09:50:10:824,1039704,1039704,0,0,487818243178,5067229527,1032511,5968,1225,380,391624,0 112,2,2024-09-07 09:50:11:133,747150,747149,1,0,29747502,0,5036 112,3,2024-09-07 09:50:10:593,1,591,1,0,282,5717,591,0 113,0,2024-09-07 09:50:10:864,147492,0.3,147488,0.5,295181,0.2,393157,1.75 113,1,2024-09-07 09:50:11:685,1041811,1041811,0,0,489586942638,5053113011,1035582,5281,948,365,391664,0 113,2,2024-09-07 09:50:11:305,753467,753467,0,0,28318645,0,3813 113,3,2024-09-07 09:50:10:684,1,591,43,0,510,6387,591,0 114,0,2024-09-07 09:50:10:875,146939,0.3,147869,0.5,294579,0.2,393898,1.75 114,1,2024-09-07 09:50:10:716,1040095,1040095,0,0,487767419954,5066463567,1032170,6178,1747,381,391565,0 114,2,2024-09-07 09:50:10:876,747830,747829,1,0,29298330,0,5069 114,3,2024-09-07 09:50:11:278,1,591,0,0,395,4679,591,0 115,0,2024-09-07 09:50:10:556,149032,0.3,149513,0.4,297683,0.2,396007,1.50 115,1,2024-09-07 09:50:10:583,1040338,1040338,0,0,488249322012,5073873433,1032091,6730,1517,382,391757,0 115,2,2024-09-07 09:50:11:126,747951,747951,0,0,29388135,0,4382 115,3,2024-09-07 09:50:11:012,1,591,8,0,167,3583,591,0 116,0,2024-09-07 09:50:11:726,148737,0.7,148479,0.8,297693,0.6,397724,2.00 116,1,2024-09-07 09:50:10:804,1034939,1034939,0,0,485156207287,5116134137,1022303,9547,3089,380,392089,0 116,2,2024-09-07 09:50:11:750,747184,747184,0,0,36841639,0,4475 116,3,2024-09-07 09:50:10:912,1,591,1,0,448,7720,591,0 117,0,2024-09-07 09:50:10:967,149086,0.7,148394,0.8,297311,0.7,397004,2.00 117,1,2024-09-07 09:50:11:592,1036289,1036289,0,0,485782076559,5088745548,1024678,10090,1521,369,392033,0 117,2,2024-09-07 09:50:11:139,750695,750695,0,0,33365497,0,4303 117,3,2024-09-07 09:50:11:059,1,591,6,0,490,7628,591,0 118,0,2024-09-07 09:50:11:815,141722,0.6,145514,0.7,297072,0.5,388872,2.00 118,1,2024-09-07 09:50:10:586,1035253,1035253,0,0,485511507829,5107366292,1019502,12130,3621,366,392054,0 118,2,2024-09-07 09:50:11:594,745130,745130,0,0,35426226,0,2842 118,3,2024-09-07 09:50:11:777,1,591,1,0,289,7050,591,0 119,0,2024-09-07 09:50:11:387,148924,0.8,149751,0.9,298560,0.9,397836,2.25 119,1,2024-09-07 09:50:10:550,1036134,1036134,0,0,486201478821,5102341809,1021443,12179,2512,367,391857,0 119,2,2024-09-07 09:50:11:270,747067,747067,0,0,33377984,0,4309 119,3,2024-09-07 09:50:11:332,1,591,3,0,1358,10998,591,0 120,0,2024-09-07 09:50:11:586,148314,0.7,148188,0.8,297632,0.7,396772,2.25 120,1,2024-09-07 09:50:10:872,1037213,1037213,0,0,485972042835,5100512036,1025551,10522,1140,367,392144,0 120,2,2024-09-07 09:50:10:770,746467,746464,3,0,40094843,0,5363 120,3,2024-09-07 09:50:11:296,1,591,3,0,279,7220,591,0 121,0,2024-09-07 09:50:11:685,149162,1.1,148511,1.0,297456,1.4,396912,2.25 121,1,2024-09-07 09:50:11:665,1036441,1036441,0,0,486017497967,5089364387,1025159,9730,1552,366,391840,0 121,2,2024-09-07 09:50:11:128,746301,746301,0,0,36577527,0,4157 121,3,2024-09-07 09:50:10:731,1,591,6,0,387,7932,591,0 122,0,2024-09-07 09:50:11:770,146415,0.8,142473,0.9,298435,0.9,392453,2.25 122,1,2024-09-07 09:50:10:871,1035670,1035670,0,0,486403954796,5109469610,1020677,12581,2412,365,392130,0 122,2,2024-09-07 09:50:11:319,748314,748239,75,0,40236581,0,5989 122,3,2024-09-07 09:50:10:602,1,591,1,0,512,9715,591,0 123,0,2024-09-07 09:50:10:962,148238,0.9,144128,0.9,301943,1.0,396344,2.25 123,1,2024-09-07 09:50:10:587,1035601,1035601,0,0,485751522667,5111931495,1018792,14139,2670,369,392039,0 123,2,2024-09-07 09:50:11:031,744436,744435,1,0,34228528,0,5215 123,3,2024-09-07 09:50:11:139,1,591,7,0,478,6850,591,0 124,0,2024-09-07 09:50:10:925,152076,0.3,152216,0.5,286909,0.3,395241,1.75 124,1,2024-09-07 09:50:11:022,1039968,1039968,0,0,487158895142,5066028350,1032236,6557,1175,365,392178,0 124,2,2024-09-07 09:50:11:011,748807,748754,53,0,30788382,0,6487 124,3,2024-09-07 09:50:10:757,1,591,3,0,490,5913,591,0 125,0,2024-09-07 09:50:11:419,148189,0.4,148196,0.5,297257,0.3,395437,1.75 125,1,2024-09-07 09:50:10:864,1036701,1036701,0,0,486398376456,5078999683,1028635,6915,1151,382,391968,0 125,2,2024-09-07 09:50:11:119,748783,748783,0,0,30960253,0,4534 125,3,2024-09-07 09:50:11:127,1,591,1,0,709,6800,591,0 126,0,2024-09-07 09:50:11:435,147584,0.4,151771,0.6,290314,0.4,393057,1.75 126,1,2024-09-07 09:50:10:551,1040289,1040289,0,0,488333824516,5056805373,1034780,5063,446,365,391987,0 126,2,2024-09-07 09:50:10:624,752084,752084,0,0,32280102,0,4539 126,3,2024-09-07 09:50:10:910,1,591,7,0,268,6543,591,0 127,0,2024-09-07 09:50:11:620,147177,0.4,147379,0.5,294030,0.3,391483,1.75 127,1,2024-09-07 09:50:10:575,1038284,1038284,0,0,487567961128,5075218080,1027618,9030,1636,364,392187,0 127,2,2024-09-07 09:50:10:644,746134,746130,4,0,30318171,0,5305 127,3,2024-09-07 09:50:11:273,1,591,6,0,968,5762,591,0 128,0,2024-09-07 09:50:11:537,148337,0.3,148450,0.4,296502,0.2,394154,1.50 128,1,2024-09-07 09:50:11:619,1038672,1038672,0,0,487219481251,5068867811,1030355,7354,963,367,392423,0 128,2,2024-09-07 09:50:11:382,747421,747421,0,0,28031984,0,3171 128,3,2024-09-07 09:50:10:783,1,591,8,0,1082,9506,591,0 129,0,2024-09-07 09:50:11:028,150349,0.3,149529,0.5,299838,0.3,398124,1.50 129,1,2024-09-07 09:50:10:575,1035400,1035400,0,0,486747563967,5103052786,1024943,8435,2022,379,391962,0 129,2,2024-09-07 09:50:10:687,749207,749203,4,0,30691768,0,5335 129,3,2024-09-07 09:50:10:691,1,591,1,0,506,8253,591,0 130,0,2024-09-07 09:50:11:726,148660,0.4,148055,0.5,296795,0.4,394988,1.75 130,1,2024-09-07 09:50:10:583,1039412,1039412,0,0,487564344304,5065443231,1033982,5035,395,381,391825,0 130,2,2024-09-07 09:50:11:126,750801,750801,0,0,31024439,0,4067 130,3,2024-09-07 09:50:11:298,1,591,246,0,960,8182,591,0 131,0,2024-09-07 09:50:11:934,146348,0.3,146676,0.5,294250,0.3,391423,1.75 131,1,2024-09-07 09:50:11:869,1038952,1038952,0,0,488331616662,5091132213,1031739,6017,1196,381,391865,0 131,2,2024-09-07 09:50:10:591,746580,746580,0,0,28580620,0,3979 131,3,2024-09-07 09:50:11:691,1,591,3,0,392,7657,591,0 132,0,2024-09-07 09:50:11:424,149202,0.5,150164,0.6,299087,0.5,397493,2.00 132,1,2024-09-07 09:50:10:586,1034525,1034525,0,0,484988999871,5108328976,1019223,12600,2702,381,392532,0 132,2,2024-09-07 09:50:10:698,746030,746013,17,0,37260961,0,6451 132,3,2024-09-07 09:50:11:692,1,591,0,0,1298,10760,591,0 133,0,2024-09-07 09:50:11:531,145643,0.4,149001,0.6,305509,0.4,397589,2.00 133,1,2024-09-07 09:50:10:592,1035031,1035031,0,0,486124559042,5123460743,1020973,12296,1762,383,391914,0 133,2,2024-09-07 09:50:11:089,748211,748161,50,0,38383849,0,6861 133,3,2024-09-07 09:50:11:303,1,591,1,0,528,7182,591,0 134,0,2024-09-07 09:50:10:970,149819,0.5,149949,0.7,300167,0.5,399239,2.00 134,1,2024-09-07 09:50:10:587,1036129,1036129,0,0,485973608673,5099840474,1022362,11281,2486,366,391781,0 134,2,2024-09-07 09:50:11:762,748221,748197,24,0,35538065,0,6207 134,3,2024-09-07 09:50:10:754,1,591,1,0,739,7336,591,0 135,0,2024-09-07 09:50:11:143,141645,0.8,141653,0.9,300770,1.0,388123,2.25 135,1,2024-09-07 09:50:11:612,1035590,1035590,0,0,486460449338,5116605096,1022577,11373,1640,380,391805,0 135,2,2024-09-07 09:50:10:688,747209,747209,0,0,36253707,0,4503 135,3,2024-09-07 09:50:11:002,1,591,1,0,900,5863,591,0 136,0,2024-09-07 09:50:11:761,149824,0.6,150354,0.8,298477,0.6,398340,2.25 136,1,2024-09-07 09:50:11:449,1036866,1036866,0,0,486453283294,5101812155,1024967,10511,1388,381,392135,0 136,2,2024-09-07 09:50:11:142,748019,748004,15,0,35806029,0,6007 136,3,2024-09-07 09:50:11:107,1,591,1,0,637,6923,591,0 137,0,2024-09-07 09:50:10:933,153580,0.6,149711,0.7,293265,0.6,398460,2.00 137,1,2024-09-07 09:50:10:578,1035737,1035737,0,0,486045377142,5101971889,1019207,13142,3388,366,391898,0 137,2,2024-09-07 09:50:11:708,746000,746000,0,0,36963309,0,3185 137,3,2024-09-07 09:50:10:782,1,591,9,0,484,8206,591,0 138,0,2024-09-07 09:50:11:750,147732,0.7,147992,0.8,296445,0.8,393799,2.00 138,1,2024-09-07 09:50:11:707,1036187,1036187,0,0,486452715937,5106480834,1021419,12498,2270,368,391954,0 138,2,2024-09-07 09:50:10:586,745900,745900,0,0,35255666,0,4988 138,3,2024-09-07 09:50:10:624,1,591,1,0,1200,9384,591,0 139,0,2024-09-07 09:50:11:365,145855,1.1,146387,1.0,292757,1.6,391166,2.25 139,1,2024-09-07 09:50:10:574,1032068,1032068,0,0,483577629497,5131407322,1013687,14557,3824,380,392109,0 139,2,2024-09-07 09:50:10:693,744508,744478,30,0,40581781,0,5997 139,3,2024-09-07 09:50:11:668,1,591,1,0,432,7108,591,0 140,0,2024-09-07 09:50:11:594,148014,0.3,147124,0.5,295186,0.2,393661,1.75 140,1,2024-09-07 09:50:11:536,1042380,1042380,0,0,489230158110,5044390903,1037411,4423,546,364,391606,0 140,2,2024-09-07 09:50:10:700,747494,747493,1,0,28680639,0,5036 140,3,2024-09-07 09:50:10:767,1,591,1,0,575,5975,591,0 141,0,2024-09-07 09:50:11:705,148155,0.3,152265,0.5,291055,0.3,394192,1.75 141,1,2024-09-07 09:50:10:870,1040332,1040332,0,0,488662845397,5077849983,1032135,7005,1192,379,391614,0 141,2,2024-09-07 09:50:11:686,746789,746778,11,0,30790785,0,5369 141,3,2024-09-07 09:50:11:044,1,591,2,0,391,6927,591,0 142,0,2024-09-07 09:50:11:333,149617,0.3,148798,0.5,297909,0.2,397190,1.50 142,1,2024-09-07 09:50:10:589,1038559,1038559,0,0,487509263044,5082871850,1031855,6198,506,382,392102,0 142,2,2024-09-07 09:50:11:313,746315,746283,32,0,31347772,0,6028 142,3,2024-09-07 09:50:11:761,1,591,1,0,484,6340,591,0 143,0,2024-09-07 09:50:11:367,147214,0.4,147310,0.5,295641,0.4,393045,1.75 143,1,2024-09-07 09:50:10:562,1041077,1041077,0,0,487954580680,5063621641,1034623,5887,567,367,391722,0 143,2,2024-09-07 09:50:10:768,751777,751777,0,0,31232678,0,3123 143,3,2024-09-07 09:50:11:140,1,591,1,0,462,7245,591,0 144,0,2024-09-07 09:50:11:491,142282,0.6,146491,0.8,297948,0.6,390570,2.00 144,1,2024-09-07 09:50:10:575,1035124,1035124,0,0,485882099494,5100507122,1024552,8580,1992,381,391733,0 144,2,2024-09-07 09:50:11:754,747205,747205,0,0,30292980,0,4443 144,3,2024-09-07 09:50:11:739,1,591,2,0,249,5725,591,0 145,0,2024-09-07 09:50:11:384,143506,0.6,143449,0.8,304520,0.5,392035,2.25 145,1,2024-09-07 09:50:10:573,1034699,1034699,0,0,485545787932,5111716755,1020682,11346,2671,382,391781,0 145,2,2024-09-07 09:50:11:430,744465,744383,82,0,36117910,0,7814 145,3,2024-09-07 09:50:10:905,1,591,2,0,622,7912,591,0 146,0,2024-09-07 09:50:11:620,148960,0.6,148378,0.7,298133,0.5,395958,2.00 146,1,2024-09-07 09:50:11:596,1035953,1035953,0,0,485979730519,5117395419,1018454,13018,4481,367,391770,0 146,2,2024-09-07 09:50:11:707,746434,746428,6,0,34705749,0,5151 146,3,2024-09-07 09:50:11:282,1,591,18,0,1520,9762,591,0 147,0,2024-09-07 09:50:11:711,148972,0.6,148694,0.7,297155,0.5,396180,2.25 147,1,2024-09-07 09:50:11:374,1039771,1039771,0,0,488180387010,5074622384,1031285,7519,967,367,391791,0 147,2,2024-09-07 09:50:11:013,748998,748998,0,0,30815955,0,2968 147,3,2024-09-07 09:50:10:917,1,591,1,0,1626,9575,591,0 0,0,2024-09-07 09:50:21:706,145230,0.6,145165,0.7,308160,0.6,397946,2.00 0,1,2024-09-07 09:50:20:822,1038117,1038117,0,0,487490981160,5105060037,1029583,7588,946,368,391896,0 0,2,2024-09-07 09:50:21:079,750457,750457,0,0,30703134,0,4480 0,3,2024-09-07 09:50:20:992,1,592,3,0,431,8648,592,0 1,0,2024-09-07 09:50:21:753,149303,0.8,148241,0.9,298000,1.0,398151,2.00 1,1,2024-09-07 09:50:20:558,1037703,1037703,0,0,486728237686,5099593819,1028268,7945,1490,370,391859,0 1,2,2024-09-07 09:50:20:639,749076,749076,0,0,30154609,0,3380 1,3,2024-09-07 09:50:21:303,1,592,10,0,269,7377,592,0 2,0,2024-09-07 09:50:21:596,147039,0.6,146909,0.7,293454,0.6,391667,2.00 2,1,2024-09-07 09:50:20:858,1040804,1040804,0,0,489489985735,5090534091,1034632,5222,950,379,391745,0 2,2,2024-09-07 09:50:21:266,748894,748894,0,0,28809741,0,3594 2,3,2024-09-07 09:50:20:703,1,592,1,0,357,5289,592,0 3,0,2024-09-07 09:50:21:748,149328,0.4,149222,0.6,297880,0.4,397527,2.00 3,1,2024-09-07 09:50:21:617,1039240,1039240,0,0,488603291876,5082674867,1031656,6880,704,379,391716,0 3,2,2024-09-07 09:50:21:142,749204,749181,23,0,29913732,0,5851 3,3,2024-09-07 09:50:21:752,1,592,1,0,484,4586,592,0 4,0,2024-09-07 09:50:21:763,144169,0.4,148059,0.5,301938,0.3,395373,1.75 4,1,2024-09-07 09:50:20:591,1035186,1035186,0,0,484965101914,5135385035,1017384,14048,3754,370,391992,0 4,2,2024-09-07 09:50:21:017,745629,745629,0,0,36535299,0,4534 4,3,2024-09-07 09:50:21:034,1,592,1,0,448,7299,592,0 5,0,2024-09-07 09:50:21:395,148596,0.4,148636,0.5,297413,0.3,395308,1.75 5,1,2024-09-07 09:50:20:759,1035725,1035725,0,0,487102726859,5144504367,1019408,12193,4124,367,392005,0 5,2,2024-09-07 09:50:21:832,745135,745135,0,0,35353163,0,3582 5,3,2024-09-07 09:50:21:732,1,592,1,0,457,8179,592,0 6,0,2024-09-07 09:50:20:920,147750,0.5,147451,0.6,294876,0.4,392903,2.00 6,1,2024-09-07 09:50:20:759,1038768,1038768,0,0,487679946301,5095034724,1027745,9450,1573,379,391702,0 6,2,2024-09-07 09:50:21:121,751743,751725,18,0,34445305,0,5535 6,3,2024-09-07 09:50:21:275,1,592,1,0,710,7263,592,0 7,0,2024-09-07 09:50:21:536,146540,0.5,147544,0.7,293046,0.5,391405,2.00 7,1,2024-09-07 09:50:20:855,1036411,1036411,0,0,486829487472,5128320494,1020088,12557,3766,382,391747,0 7,2,2024-09-07 09:50:20:770,747107,747107,0,0,33033717,0,4791 7,3,2024-09-07 09:50:20:854,1,592,1,0,552,6991,592,0 8,0,2024-09-07 09:50:21:325,148367,0.4,148038,0.5,296441,0.3,394753,1.75 8,1,2024-09-07 09:50:21:017,1035870,1035870,0,0,485989549476,5114669999,1019155,13129,3586,366,392853,0 8,2,2024-09-07 09:50:20:790,742472,742470,2,0,39384625,0,5112 8,3,2024-09-07 09:50:20:589,1,592,1,0,772,9549,592,0 9,0,2024-09-07 09:50:21:141,149052,0.4,145026,0.5,303486,0.3,397651,1.75 9,1,2024-09-07 09:50:20:557,1035791,1035791,0,0,486080258495,5126883179,1019111,13455,3225,369,392001,0 9,2,2024-09-07 09:50:21:083,746953,746952,1,0,37228164,0,5281 9,3,2024-09-07 09:50:21:752,1,592,1,0,1273,10209,592,0 10,0,2024-09-07 09:50:21:604,147774,0.3,147123,0.5,295567,0.3,393405,1.75 10,1,2024-09-07 09:50:20:591,1037578,1037578,0,0,486942406936,5112183393,1021861,12654,3063,381,391981,0 10,2,2024-09-07 09:50:20:770,751253,751253,0,0,39881351,0,4713 10,3,2024-09-07 09:50:20:871,1,592,1,0,669,6780,592,0 11,0,2024-09-07 09:50:21:006,146580,0.5,142201,0.6,297545,0.4,392281,1.75 11,1,2024-09-07 09:50:20:573,1039039,1039039,0,0,487996041181,5122273487,1023218,11518,4303,383,391766,0 11,2,2024-09-07 09:50:21:123,745715,745715,0,0,35306071,0,4698 11,3,2024-09-07 09:50:21:299,1,592,6,0,843,7779,592,0 12,0,2024-09-07 09:50:20:942,150134,0.4,150135,0.6,299790,0.4,398381,1.75 12,1,2024-09-07 09:50:20:933,1038780,1038780,0,0,487200261027,5082785246,1030409,7364,1007,370,391960,0 12,2,2024-09-07 09:50:21:543,746176,746176,0,0,34033786,0,4390 12,3,2024-09-07 09:50:21:077,1,592,10,0,386,7515,592,0 13,0,2024-09-07 09:50:21:329,150296,0.4,150458,0.5,299955,0.4,399563,1.75 13,1,2024-09-07 09:50:21:530,1036196,1036196,0,0,487303463177,5123904211,1025314,8493,2389,382,391803,0 13,2,2024-09-07 09:50:20:603,750750,750750,0,0,30465669,0,3287 13,3,2024-09-07 09:50:21:762,1,592,2,0,522,7914,592,0 14,0,2024-09-07 09:50:20:563,149676,0.4,150755,0.6,299201,0.3,398710,1.75 14,1,2024-09-07 09:50:21:561,1043527,1043527,0,0,489769237151,5069963656,1035072,7326,1129,364,391673,0 14,2,2024-09-07 09:50:20:768,750528,750498,30,0,31886235,0,6104 14,3,2024-09-07 09:50:21:123,1,592,3,0,1168,6537,592,0 15,0,2024-09-07 09:50:21:565,146600,0.4,145654,0.6,292533,0.4,390227,2.00 15,1,2024-09-07 09:50:21:609,1040550,1040550,0,0,488519825403,5089331963,1031481,7156,1913,381,391619,0 15,2,2024-09-07 09:50:21:003,749151,749151,0,0,27561949,0,3622 15,3,2024-09-07 09:50:21:408,1,592,1,0,1126,7875,592,0 16,0,2024-09-07 09:50:20:941,149401,0.6,150104,0.8,299630,0.7,398711,2.00 16,1,2024-09-07 09:50:20:573,1040454,1040454,0,0,487653861631,5099175189,1031168,7842,1444,370,392194,0 16,2,2024-09-07 09:50:21:434,747311,747311,0,0,31285349,0,4719 16,3,2024-09-07 09:50:21:145,1,592,1,0,358,7527,592,0 17,0,2024-09-07 09:50:21:792,153627,0.6,149760,0.8,293423,0.6,399115,2.00 17,1,2024-09-07 09:50:20:569,1038172,1038172,0,0,486566603224,5105635309,1027888,8513,1771,368,392075,0 17,2,2024-09-07 09:50:21:666,751452,751451,1,0,32593082,0,5050 17,3,2024-09-07 09:50:20:578,1,592,1,0,518,8732,592,0 18,0,2024-09-07 09:50:20:955,147229,0.6,148120,0.8,294819,0.6,394001,2.25 18,1,2024-09-07 09:50:21:638,1042575,1042575,0,0,488498712572,5067920490,1035514,5804,1257,367,391725,0 18,2,2024-09-07 09:50:21:755,751162,751162,0,0,28857972,0,3541 18,3,2024-09-07 09:50:20:900,1,592,17,0,1059,5539,592,0 19,0,2024-09-07 09:50:21:624,147138,0.6,147351,0.8,293487,0.6,390890,2.00 19,1,2024-09-07 09:50:20:567,1042064,1042064,0,0,489304659287,5072598776,1034320,6611,1133,367,391777,0 19,2,2024-09-07 09:50:21:752,753191,753191,0,0,27466122,0,3988 19,3,2024-09-07 09:50:21:134,1,592,1,0,524,4587,592,0 20,0,2024-09-07 09:50:21:387,147636,0.6,147473,0.7,294725,0.6,393373,2.00 20,1,2024-09-07 09:50:20:577,1038057,1038057,0,0,487222115569,5106629844,1027484,9067,1506,369,391922,0 20,2,2024-09-07 09:50:20:930,747539,747539,0,0,33910583,0,4321 20,3,2024-09-07 09:50:20:592,1,592,10,0,468,9633,592,0 21,0,2024-09-07 09:50:21:209,148161,0.5,148277,0.6,296420,0.4,394086,2.00 21,1,2024-09-07 09:50:21:539,1036347,1036347,0,0,486467152722,5137696238,1019484,13028,3835,368,392016,0 21,2,2024-09-07 09:50:21:078,742278,741801,477,0,44613398,0,17074 21,3,2024-09-07 09:50:21:404,1,592,1,0,713,8614,592,0 22,0,2024-09-07 09:50:21:722,148810,0.5,149437,0.6,298078,0.4,395549,2.00 22,1,2024-09-07 09:50:21:023,1037388,1037388,0,0,485386853805,5112051818,1019574,14274,3540,382,391822,0 22,2,2024-09-07 09:50:20:760,746608,746582,26,0,32391916,0,6328 22,3,2024-09-07 09:50:21:068,1,592,1,0,228,4991,592,0 23,0,2024-09-07 09:50:21:397,147330,0.5,147036,0.7,294070,0.5,392295,2.25 23,1,2024-09-07 09:50:21:012,1037399,1037399,0,0,487865796638,5143684384,1017927,12894,6578,365,391690,0 23,2,2024-09-07 09:50:21:092,752805,752805,0,0,31188386,0,3773 23,3,2024-09-07 09:50:21:754,1,592,1,0,855,8806,592,0 24,0,2024-09-07 09:50:20:838,147865,0.4,147267,0.6,296118,0.4,393465,1.75 24,1,2024-09-07 09:50:20:588,1037457,1037457,0,0,486274260080,5092834868,1027399,8349,1709,367,392269,0 24,2,2024-09-07 09:50:21:088,746455,746452,3,0,37738550,0,6294 24,3,2024-09-07 09:50:21:686,1,592,1,0,468,7642,592,0 25,0,2024-09-07 09:50:21:354,152659,0.5,148524,0.6,291812,0.4,397107,2.00 25,1,2024-09-07 09:50:20:559,1035812,1035812,0,0,485905217868,5137682139,1017055,15047,3710,369,391928,0 25,2,2024-09-07 09:50:21:608,744832,744832,0,0,38280785,0,3978 25,3,2024-09-07 09:50:21:001,1,592,6,0,532,6489,592,0 26,0,2024-09-07 09:50:21:724,148426,0.4,145104,0.6,304766,0.4,396561,1.75 26,1,2024-09-07 09:50:21:543,1039564,1039564,0,0,487398854192,5105714563,1025229,11802,2533,380,391748,0 26,2,2024-09-07 09:50:20:864,747964,747964,0,0,41089011,0,4689 26,3,2024-09-07 09:50:21:716,1,592,0,0,796,7552,592,0 27,0,2024-09-07 09:50:21:745,148791,0.4,149450,0.6,297112,0.4,395788,2.25 27,1,2024-09-07 09:50:21:680,1039916,1039916,0,0,488545499237,5093874746,1029521,8786,1609,381,391626,0 27,2,2024-09-07 09:50:20:867,747483,747418,65,0,35841472,0,5699 27,3,2024-09-07 09:50:21:014,1,592,1,0,564,5194,592,0 28,0,2024-09-07 09:50:21:392,146623,0.4,146691,0.6,293604,0.3,391282,2.00 28,1,2024-09-07 09:50:20:813,1040818,1040818,0,0,489081492154,5100189187,1031882,7045,1891,382,391904,0 28,2,2024-09-07 09:50:21:764,747392,747392,0,0,30745849,0,2915 28,3,2024-09-07 09:50:21:776,1,592,4,0,502,5911,592,0 29,0,2024-09-07 09:50:21:390,153710,0.4,149647,0.6,293725,0.4,400120,1.75 29,1,2024-09-07 09:50:21:574,1043990,1043990,0,0,489237112827,5061534753,1037636,5580,774,367,391809,0 29,2,2024-09-07 09:50:20:864,747737,747737,0,0,29944412,0,4986 29,3,2024-09-07 09:50:20:967,1,592,7,0,459,6486,592,0 30,0,2024-09-07 09:50:21:459,148585,0.5,144763,0.7,303010,0.4,395952,2.00 30,1,2024-09-07 09:50:20:572,1042977,1042977,0,0,489940771906,5084011245,1035413,6654,910,380,391672,0 30,2,2024-09-07 09:50:21:273,750285,750285,0,0,28599645,0,4192 30,3,2024-09-07 09:50:20:581,1,592,6,0,519,5586,592,0 31,0,2024-09-07 09:50:21:763,148976,0.4,149825,0.6,298503,0.4,398889,2.00 31,1,2024-09-07 09:50:20:567,1046158,1046158,0,0,490554907578,5039209543,1039704,5380,1074,356,391712,0 31,2,2024-09-07 09:50:21:275,748497,748497,0,0,32129862,0,4470 31,3,2024-09-07 09:50:21:706,1,592,3,0,239,5164,592,0 32,0,2024-09-07 09:50:21:417,147303,0.3,148259,0.5,295045,0.3,393095,1.75 32,1,2024-09-07 09:50:20:813,1042371,1042371,0,0,488506886051,5077521614,1036193,5460,718,381,391646,0 32,2,2024-09-07 09:50:20:935,750513,750513,0,0,28241641,0,3922 32,3,2024-09-07 09:50:21:015,1,592,0,0,304,4843,592,0 33,0,2024-09-07 09:50:21:492,149820,0.3,149540,0.4,299190,0.2,398918,1.50 33,1,2024-09-07 09:50:20:588,1042274,1042274,0,0,489803795240,5074971505,1033832,7169,1273,368,391730,0 33,2,2024-09-07 09:50:20:764,749355,749320,35,0,31304220,0,7012 33,3,2024-09-07 09:50:20:905,1,592,40,0,329,5247,592,0 34,0,2024-09-07 09:50:20:933,148638,0.3,152718,0.5,292131,0.2,394675,1.75 34,1,2024-09-07 09:50:21:044,1044739,1044739,0,0,489904834795,5040731112,1041779,2850,110,367,391637,0 34,2,2024-09-07 09:50:20:772,749531,749531,0,0,29854386,0,4562 34,3,2024-09-07 09:50:21:688,1,592,1,0,541,5487,592,0 35,0,2024-09-07 09:50:20:862,147706,0.3,148617,0.5,298064,0.2,395804,1.75 35,1,2024-09-07 09:50:21:078,1040790,1040790,0,0,488827042454,5074942583,1032683,6584,1523,382,391769,0 35,2,2024-09-07 09:50:21:582,748092,748092,0,0,32643667,0,4055 35,3,2024-09-07 09:50:20:911,1,592,9,0,466,5674,592,0 36,0,2024-09-07 09:50:21:520,147990,0.5,147996,0.7,295470,0.4,393769,2.00 36,1,2024-09-07 09:50:20:590,1039277,1039277,0,0,488164112531,5099922954,1025702,11335,2240,366,391759,0 36,2,2024-09-07 09:50:21:751,751834,751834,0,0,34052485,0,3875 36,3,2024-09-07 09:50:20:862,1,592,1,0,556,8220,592,0 37,0,2024-09-07 09:50:21:381,146458,0.5,146591,0.7,293241,0.5,391747,2.25 37,1,2024-09-07 09:50:20:582,1039226,1039219,0,7,488208018187,5099793943,1026575,9731,2913,365,391770,0 37,2,2024-09-07 09:50:21:154,745124,745109,15,0,34033169,0,5815 37,3,2024-09-07 09:50:21:766,1,592,1,0,888,7964,592,0 38,0,2024-09-07 09:50:21:437,147050,0.5,142587,0.7,298112,0.4,390665,2.00 38,1,2024-09-07 09:50:21:607,1038944,1038944,0,0,488195669763,5108939718,1023619,12326,2999,368,391821,0 38,2,2024-09-07 09:50:20:764,746711,746664,47,0,34232402,0,6710 38,3,2024-09-07 09:50:20:997,1,592,1,0,689,7389,592,0 39,0,2024-09-07 09:50:21:761,152382,0.5,148990,0.7,290538,0.5,396419,2.00 39,1,2024-09-07 09:50:20:718,1038920,1038920,0,0,487478609311,5113664723,1021476,13729,3715,365,391865,0 39,2,2024-09-07 09:50:21:417,748572,748572,0,0,32286430,0,3391 39,3,2024-09-07 09:50:20:713,1,592,0,0,525,6655,592,0 40,0,2024-09-07 09:50:21:488,146493,0.8,147099,1.0,293627,1.0,391708,2.75 40,1,2024-09-07 09:50:20:583,1039607,1039607,0,0,486348481195,5098832622,1024003,12536,3068,368,391668,0 40,2,2024-09-07 09:50:21:305,749255,749248,7,0,38934921,0,5347 40,3,2024-09-07 09:50:21:144,1,592,60,0,1028,8843,592,0 41,0,2024-09-07 09:50:21:026,145808,1.4,149139,1.2,284544,2.0,387316,3.25 41,1,2024-09-07 09:50:20:776,1038573,1038573,0,0,487130509579,5099895154,1024806,11608,2159,369,391878,0 41,2,2024-09-07 09:50:20:763,743585,743584,1,0,37076186,0,5408 41,3,2024-09-07 09:50:21:680,1,592,1,0,366,6395,592,0 42,0,2024-09-07 09:50:21:475,148058,1.0,147696,1.1,295595,1.2,392185,2.75 42,1,2024-09-07 09:50:21:439,1036122,1036122,0,0,486163616567,5111862855,1019632,13558,2932,380,391675,0 42,2,2024-09-07 09:50:21:142,745220,745219,1,0,37332103,0,5513 42,3,2024-09-07 09:50:21:009,1,592,1,0,892,5597,592,0 43,0,2024-09-07 09:50:20:927,148037,0.7,144147,0.9,301753,0.7,394478,2.25 43,1,2024-09-07 09:50:20:589,1040048,1040048,0,0,488098137261,5103554560,1025310,12166,2572,366,391696,0 43,2,2024-09-07 09:50:21:741,749264,749264,0,0,35351177,0,4723 43,3,2024-09-07 09:50:21:749,1,592,1,0,571,8678,592,0 44,0,2024-09-07 09:50:20:862,150082,0.4,149999,0.6,300263,0.3,399194,1.75 44,1,2024-09-07 09:50:20:563,1042758,1042758,0,0,489000562190,5056792888,1033395,7422,1941,356,391809,0 44,2,2024-09-07 09:50:21:281,748253,748253,0,0,28695697,0,4344 44,3,2024-09-07 09:50:21:099,1,592,29,0,1097,7387,592,0 45,0,2024-09-07 09:50:21:762,144866,0.5,141581,0.7,297106,0.5,390427,2.00 45,1,2024-09-07 09:50:21:008,1041541,1041541,0,0,489493959141,5087636284,1033290,7348,903,382,391917,0 45,2,2024-09-07 09:50:21:273,748543,748543,0,0,29008785,0,3596 45,3,2024-09-07 09:50:20:934,1,592,1,0,531,5731,592,0 46,0,2024-09-07 09:50:20:953,148793,0.6,148319,0.8,297598,0.6,395320,2.25 46,1,2024-09-07 09:50:20:574,1043726,1043726,0,0,490187612084,5068407955,1036234,6504,988,366,391709,0 46,2,2024-09-07 09:50:20:601,749242,749242,0,0,29720387,0,4443 46,3,2024-09-07 09:50:21:140,1,592,0,0,908,7171,592,0 47,0,2024-09-07 09:50:21:119,149512,0.4,149344,0.6,299885,0.4,397507,2.00 47,1,2024-09-07 09:50:20:567,1044248,1044248,0,0,489856670286,5061673234,1038150,5236,862,365,391641,0 47,2,2024-09-07 09:50:20:917,752137,752137,0,0,28768160,0,4477 47,3,2024-09-07 09:50:21:119,1,592,1,0,600,6580,592,0 48,0,2024-09-07 09:50:21:495,148914,0.3,149021,0.4,297648,0.2,395900,1.50 48,1,2024-09-07 09:50:21:022,1041998,1041998,0,0,488575107859,5074696146,1035900,5520,578,381,391710,0 48,2,2024-09-07 09:50:20:701,748985,748985,0,0,27172276,0,3524 48,3,2024-09-07 09:50:20:753,1,592,23,0,339,5153,592,0 49,0,2024-09-07 09:50:21:799,151559,0.3,148585,0.5,289122,0.3,395067,1.75 49,1,2024-09-07 09:50:21:193,1040774,1040774,0,0,488371361191,5081426833,1033504,5575,1695,382,391809,0 49,2,2024-09-07 09:50:21:803,751756,751756,0,0,29507362,0,4426 49,3,2024-09-07 09:50:21:418,1,592,74,0,992,7149,592,0 50,0,2024-09-07 09:50:21:507,148371,0.3,146602,0.5,295550,0.2,393307,1.75 50,1,2024-09-07 09:50:21:010,1044035,1044035,0,0,490588315853,5072172945,1037511,5855,669,368,391691,0 50,2,2024-09-07 09:50:21:067,747341,747341,0,0,27282483,0,4490 50,3,2024-09-07 09:50:21:298,1,592,1,0,617,6145,592,0 51,0,2024-09-07 09:50:21:689,152114,0.3,148997,0.5,290036,0.2,395805,1.75 51,1,2024-09-07 09:50:21:680,1044962,1044962,0,0,490760953794,5061529889,1039306,4575,1081,365,391706,0 51,2,2024-09-07 09:50:21:318,748439,748439,0,0,26776538,0,3337 51,3,2024-09-07 09:50:21:031,1,592,0,0,678,4269,592,0 52,0,2024-09-07 09:50:21:425,149455,0.5,149119,0.7,298596,0.4,396584,2.00 52,1,2024-09-07 09:50:20:588,1039548,1039548,0,0,487811962732,5106990383,1024528,12690,2330,368,391805,0 52,2,2024-09-07 09:50:21:756,744699,744661,38,0,36092983,0,6742 52,3,2024-09-07 09:50:20:674,1,592,2,0,1782,7083,592,0 53,0,2024-09-07 09:50:21:740,147054,0.7,142721,0.8,298542,0.7,391406,2.50 53,1,2024-09-07 09:50:20:772,1037808,1037808,0,0,486539672508,5108931493,1019626,13787,4395,367,391968,0 53,2,2024-09-07 09:50:21:301,751824,751823,1,0,32703201,0,5455 53,3,2024-09-07 09:50:20:702,1,592,1,0,308,5586,592,0 54,0,2024-09-07 09:50:21:615,145455,0.6,145708,0.8,290188,0.5,387911,2.25 54,1,2024-09-07 09:50:20:598,1040729,1040729,0,0,489064909765,5088423334,1029624,9435,1670,366,391810,0 54,2,2024-09-07 09:50:20:864,747404,747372,32,0,36261425,0,6397 54,3,2024-09-07 09:50:20:763,1,592,2,0,676,7758,592,0 55,0,2024-09-07 09:50:21:761,143265,0.7,147714,0.8,299484,0.6,389917,2.50 55,1,2024-09-07 09:50:20:764,1040929,1040929,0,0,488686814716,5084047076,1030072,9468,1389,365,391731,0 55,2,2024-09-07 09:50:20:730,745593,745537,56,0,33738220,0,7239 55,3,2024-09-07 09:50:20:674,1,592,1,0,304,5462,592,0 56,0,2024-09-07 09:50:21:556,152044,1.1,143249,1.1,295233,1.5,395092,2.75 56,1,2024-09-07 09:50:20:572,1034546,1034546,0,0,486465173193,5148659524,1016585,14276,3685,381,391867,0 56,2,2024-09-07 09:50:21:304,747408,747286,122,0,36060106,0,7432 56,3,2024-09-07 09:50:21:064,1,592,12,0,705,6909,592,0 57,0,2024-09-07 09:50:21:000,147092,1.4,146916,1.2,294089,2.0,392375,3.25 57,1,2024-09-07 09:50:20:986,1037149,1037149,0,0,486317482239,5108593665,1022729,11931,2489,366,392032,0 57,2,2024-09-07 09:50:21:316,749860,749860,0,0,37707036,0,4804 57,3,2024-09-07 09:50:21:740,1,592,3,0,455,7013,592,0 58,0,2024-09-07 09:50:20:579,144250,1.0,140084,1.0,293018,1.3,383553,2.75 58,1,2024-09-07 09:50:20:579,1038888,1038885,0,3,487009898085,5109213415,1022743,12241,3901,367,391726,3 58,2,2024-09-07 09:50:21:076,747339,747339,0,0,35363044,0,3483 58,3,2024-09-07 09:50:21:068,1,592,1,0,1043,6687,592,0 59,0,2024-09-07 09:50:21:744,147998,0.9,147539,1.0,294829,1.1,391179,3.00 59,1,2024-09-07 09:50:20:811,1037502,1037502,0,0,487449533847,5126286392,1019682,14153,3667,369,391653,0 59,2,2024-09-07 09:50:20:587,747522,747522,0,0,33463584,0,3727 59,3,2024-09-07 09:50:21:742,1,592,0,0,1015,7337,592,0 60,0,2024-09-07 09:50:21:752,149246,0.5,149426,0.7,299056,0.5,398284,1.75 60,1,2024-09-07 09:50:20:784,1042643,1042643,0,0,489361253700,5087097579,1034698,6858,1087,370,392031,0 60,2,2024-09-07 09:50:21:141,749708,749708,0,0,31615729,0,3811 60,3,2024-09-07 09:50:21:267,1,592,1,0,409,6940,592,0 61,0,2024-09-07 09:50:21:510,149217,0.6,149733,0.8,298089,0.6,398261,2.00 61,1,2024-09-07 09:50:20:773,1039132,1039132,0,0,487867500185,5108827448,1028009,9444,1679,382,392127,0 61,2,2024-09-07 09:50:21:125,749639,749572,67,0,32196428,0,6411 61,3,2024-09-07 09:50:21:689,1,592,2,0,607,7820,592,0 62,0,2024-09-07 09:50:21:708,147677,0.6,151473,0.8,289282,0.6,393173,2.00 62,1,2024-09-07 09:50:21:130,1045609,1045603,0,6,490700957250,5059896967,1040640,4664,299,365,391975,6 62,2,2024-09-07 09:50:21:643,747022,747021,1,0,32209524,0,5555 62,3,2024-09-07 09:50:21:145,1,592,1,0,482,4802,592,0 63,0,2024-09-07 09:50:21:451,149839,0.4,149662,0.6,299870,0.4,399164,1.75 63,1,2024-09-07 09:50:20:812,1041831,1041825,0,6,489014573921,5079290176,1034979,5991,855,381,391800,6 63,2,2024-09-07 09:50:20:769,748484,748484,0,0,30582566,0,4369 63,3,2024-09-07 09:50:21:735,1,592,0,0,667,6175,592,0 64,0,2024-09-07 09:50:21:547,147741,0.5,147607,0.7,294831,0.4,393197,2.00 64,1,2024-09-07 09:50:20:750,1041134,1041134,0,0,489685957652,5101207262,1031799,7359,1976,370,391794,0 64,2,2024-09-07 09:50:21:145,752408,752389,19,0,29503114,0,6121 64,3,2024-09-07 09:50:21:154,1,592,8,0,651,6872,592,0 65,0,2024-09-07 09:50:21:685,147442,0.6,147700,0.7,295130,0.6,393148,2.00 65,1,2024-09-07 09:50:20:863,1039367,1039367,0,0,486934918810,5090413034,1031939,6555,873,381,391901,0 65,2,2024-09-07 09:50:21:696,747473,747473,0,0,35372891,0,3367 65,3,2024-09-07 09:50:21:683,1,592,1,0,782,6664,592,0 66,0,2024-09-07 09:50:21:762,147088,0.5,146708,0.8,293498,0.5,390960,2.00 66,1,2024-09-07 09:50:21:304,1041874,1041874,0,0,488864646918,5087561148,1035374,5778,722,380,391743,0 66,2,2024-09-07 09:50:21:132,754162,754159,3,0,31686799,0,5455 66,3,2024-09-07 09:50:21:091,1,592,1,0,291,5082,592,0 67,0,2024-09-07 09:50:21:416,146989,0.5,146674,0.7,294463,0.5,392184,2.00 67,1,2024-09-07 09:50:20:766,1040919,1040918,0,1,489653782983,5098501028,1033592,6382,944,380,391787,1 67,2,2024-09-07 09:50:20:605,750201,750186,15,0,31294095,0,6205 67,3,2024-09-07 09:50:21:757,1,592,8,0,595,6279,592,0 68,0,2024-09-07 09:50:20:564,148007,0.6,147797,0.8,294367,0.6,393849,2.25 68,1,2024-09-07 09:50:20:573,1036814,1036814,0,0,486504281507,5116835600,1023026,10258,3530,381,391953,0 68,2,2024-09-07 09:50:21:046,743509,743409,100,0,39355100,0,8578 68,3,2024-09-07 09:50:20:735,1,592,6,0,417,7743,592,0 69,0,2024-09-07 09:50:21:750,148437,0.7,149246,0.8,297602,0.6,395144,2.25 69,1,2024-09-07 09:50:21:017,1035761,1035761,0,0,486423464720,5127580659,1021906,11023,2832,383,391994,0 69,2,2024-09-07 09:50:21:732,745874,745845,29,0,41580415,0,6912 69,3,2024-09-07 09:50:20:769,1,592,1,0,698,8591,592,0 70,0,2024-09-07 09:50:21:533,146243,0.8,146757,0.9,295007,0.7,390506,2.50 70,1,2024-09-07 09:50:20:811,1042459,1042459,0,0,489486107488,5079736458,1034548,7105,806,366,391725,0 70,2,2024-09-07 09:50:21:324,751506,751506,0,0,34646335,0,4323 70,3,2024-09-07 09:50:20:749,1,592,1,0,854,6600,592,0 71,0,2024-09-07 09:50:21:358,145381,1.0,145158,1.0,291309,1.4,389320,2.75 71,1,2024-09-07 09:50:21:595,1040231,1040231,0,0,487748897566,5092939365,1027243,11367,1621,368,391738,0 71,2,2024-09-07 09:50:21:065,746181,746181,0,0,34662245,0,4352 71,3,2024-09-07 09:50:21:749,1,592,1,0,644,6738,592,0 72,0,2024-09-07 09:50:21:069,153891,0.6,150433,0.7,293623,0.6,399221,2.00 72,1,2024-09-07 09:50:21:038,1037862,1037862,0,0,487176755208,5113608856,1022565,12782,2515,369,391819,0 72,2,2024-09-07 09:50:21:766,745399,745399,0,0,36427760,0,3983 72,3,2024-09-07 09:50:21:761,1,592,38,0,564,8822,592,0 73,0,2024-09-07 09:50:21:109,145608,0.4,149419,0.6,305405,0.4,397074,2.00 73,1,2024-09-07 09:50:20:772,1039948,1039948,0,0,488206164660,5077417952,1031547,7419,982,367,391858,0 73,2,2024-09-07 09:50:21:743,748947,748946,1,0,37983542,0,5027 73,3,2024-09-07 09:50:20:969,1,592,1,0,1091,8353,592,0 74,0,2024-09-07 09:50:21:322,150823,0.5,154441,0.6,294597,0.4,399449,2.00 74,1,2024-09-07 09:50:20:643,1039042,1039042,0,0,487503419963,5092445341,1027140,9786,2116,381,391762,0 74,2,2024-09-07 09:50:21:002,748818,748818,0,0,33171075,0,4253 74,3,2024-09-07 09:50:21:442,1,592,1,0,522,7404,592,0 75,0,2024-09-07 09:50:21:793,146938,0.6,145862,0.8,292889,0.5,391826,2.25 75,1,2024-09-07 09:50:21:585,1040374,1040374,0,0,487712197811,5085356989,1031754,7752,868,380,391739,0 75,2,2024-09-07 09:50:21:352,746195,746195,0,0,40203494,0,4766 75,3,2024-09-07 09:50:21:066,1,592,1,0,918,8132,592,0 76,0,2024-09-07 09:50:20:578,149052,0.6,148502,0.8,296953,0.6,396995,2.25 76,1,2024-09-07 09:50:20:806,1040129,1040129,0,0,488570178535,5096123948,1032809,6331,989,382,391790,0 76,2,2024-09-07 09:50:21:065,750384,750381,3,0,32501593,0,5265 76,3,2024-09-07 09:50:21:145,1,592,0,0,227,5453,592,0 77,0,2024-09-07 09:50:21:691,148698,0.5,149175,0.7,298311,0.5,396774,2.00 77,1,2024-09-07 09:50:20:827,1039824,1039824,0,0,489236999032,5104713378,1031848,7101,875,381,391869,0 77,2,2024-09-07 09:50:21:281,748721,748721,0,0,31667308,0,3890 77,3,2024-09-07 09:50:21:099,1,592,3,0,401,6801,592,0 78,0,2024-09-07 09:50:21:718,149083,0.4,148537,0.6,297692,0.4,394525,2.00 78,1,2024-09-07 09:50:20:610,1039992,1039992,0,0,487826594168,5090588711,1027153,9922,2917,367,391670,0 78,2,2024-09-07 09:50:21:418,750010,749997,13,0,29622876,0,8313 78,3,2024-09-07 09:50:21:156,1,592,9,0,311,5057,592,0 79,0,2024-09-07 09:50:21:349,142588,0.4,145897,0.6,298918,0.3,389838,2.00 79,1,2024-09-07 09:50:20:573,1043571,1043571,0,0,490106490892,5074669279,1035727,6484,1360,367,391682,0 79,2,2024-09-07 09:50:21:069,750825,750825,0,0,28783249,0,4195 79,3,2024-09-07 09:50:20:752,1,592,1,0,418,7149,592,0 80,0,2024-09-07 09:50:21:077,147491,0.5,151524,0.7,289779,0.5,392326,2.00 80,1,2024-09-07 09:50:21:624,1040446,1040446,0,0,488123055261,5084233280,1033353,6642,451,368,392269,0 80,2,2024-09-07 09:50:21:092,750074,750074,0,0,29259908,0,4433 80,3,2024-09-07 09:50:20:579,1,592,0,0,681,7369,592,0 81,0,2024-09-07 09:50:21:541,148176,0.6,151502,0.7,289379,0.5,393000,2.00 81,1,2024-09-07 09:50:21:652,1038916,1038916,0,0,487667120948,5096929767,1030764,7387,765,382,391885,0 81,2,2024-09-07 09:50:21:127,747178,747115,63,0,32472825,0,5932 81,3,2024-09-07 09:50:21:119,1,592,1,0,719,6972,592,0 82,0,2024-09-07 09:50:21:535,148792,0.5,148937,0.7,298606,0.4,395544,2.00 82,1,2024-09-07 09:50:20:591,1041664,1041660,0,4,488283392486,5076515696,1036092,4745,823,381,391768,4 82,2,2024-09-07 09:50:21:691,750236,750236,0,0,27558678,0,4484 82,3,2024-09-07 09:50:21:751,1,592,2,0,363,5675,592,0 83,0,2024-09-07 09:50:21:527,147681,0.6,147685,0.7,294716,0.6,391620,2.25 83,1,2024-09-07 09:50:20:556,1039976,1039976,0,0,487903281535,5087153212,1032434,6897,645,382,391709,0 83,2,2024-09-07 09:50:20:770,752252,752227,25,0,29737986,0,5612 83,3,2024-09-07 09:50:20:752,1,592,1,0,1260,7156,592,0 84,0,2024-09-07 09:50:21:765,145449,0.8,145617,0.9,291254,0.7,389443,2.25 84,1,2024-09-07 09:50:21:042,1038274,1038274,0,0,488120668624,5105771686,1026229,10374,1671,367,391967,0 84,2,2024-09-07 09:50:20:576,745654,745244,410,0,43157418,0,17037 84,3,2024-09-07 09:50:21:140,1,592,2,0,908,8012,592,0 85,0,2024-09-07 09:50:21:010,142429,0.7,142433,0.8,302460,0.7,390239,2.25 85,1,2024-09-07 09:50:20:583,1035583,1035583,0,0,485871690717,5132859703,1019281,13505,2797,381,392092,0 85,2,2024-09-07 09:50:20:864,746023,746023,0,0,36327523,0,4255 85,3,2024-09-07 09:50:20:708,1,592,6,0,789,6859,592,0 86,0,2024-09-07 09:50:20:888,148866,0.7,153003,0.8,292637,0.7,395758,2.25 86,1,2024-09-07 09:50:20:824,1038239,1038239,0,0,487966890036,5118639531,1024411,11143,2685,366,392169,0 86,2,2024-09-07 09:50:20:854,747633,747632,1,0,38572015,0,5004 86,3,2024-09-07 09:50:20:597,1,592,6,0,308,8334,592,0 87,0,2024-09-07 09:50:21:336,148800,0.8,148367,0.8,296608,0.9,395605,2.25 87,1,2024-09-07 09:50:20:563,1038010,1038010,0,0,487227056862,5106496029,1024633,11580,1797,366,392076,0 87,2,2024-09-07 09:50:21:069,749490,749484,6,0,35164501,0,6323 87,3,2024-09-07 09:50:21:794,1,592,2,0,473,8525,592,0 88,0,2024-09-07 09:50:21:614,146491,0.5,146879,0.6,293549,0.4,391343,2.00 88,1,2024-09-07 09:50:20:569,1036787,1036787,0,0,486251392476,5100980303,1021410,12333,3044,365,392084,0 88,2,2024-09-07 09:50:20:699,746659,746659,0,0,37968542,0,4465 88,3,2024-09-07 09:50:21:266,1,592,6,0,1080,9207,592,0 89,0,2024-09-07 09:50:21:788,153543,0.5,149010,0.7,293971,0.4,399706,1.75 89,1,2024-09-07 09:50:20:560,1036341,1036341,0,0,487734109553,5132080900,1023361,11037,1943,382,391866,0 89,2,2024-09-07 09:50:21:141,746872,746872,0,0,35766583,0,3173 89,3,2024-09-07 09:50:21:794,1,592,3,0,468,10081,592,0 90,0,2024-09-07 09:50:21:661,144923,0.5,148852,0.6,303778,0.4,396335,2.00 90,1,2024-09-07 09:50:20:592,1038711,1038711,0,0,487173013645,5105010968,1028620,9199,892,380,391825,0 90,2,2024-09-07 09:50:21:420,746207,746202,5,0,39307895,0,6370 90,3,2024-09-07 09:50:20:931,1,592,1,0,322,7276,592,0 91,0,2024-09-07 09:50:21:031,149736,0.4,145275,0.6,304001,0.4,398880,1.75 91,1,2024-09-07 09:50:20:558,1035799,1035799,0,0,487158753478,5136190602,1019955,12697,3147,381,392047,0 91,2,2024-09-07 09:50:21:343,748581,748581,0,0,34550646,0,4713 91,3,2024-09-07 09:50:20:602,1,592,2,0,216,5389,592,0 92,0,2024-09-07 09:50:21:446,148829,0.5,152113,0.6,290087,0.5,393319,1.75 92,1,2024-09-07 09:50:20:589,1039610,1039610,0,0,488845020478,5107205379,1031253,7070,1287,381,392136,0 92,2,2024-09-07 09:50:21:362,748817,748817,0,0,30250274,0,3259 92,3,2024-09-07 09:50:21:010,1,592,1,0,167,5128,592,0 93,0,2024-09-07 09:50:20:958,150134,0.4,153889,0.6,293852,0.4,399037,1.75 93,1,2024-09-07 09:50:20:813,1039534,1039534,0,0,488309056347,5098111932,1028006,9601,1927,366,392048,0 93,2,2024-09-07 09:50:20:930,748372,748372,0,0,36024546,0,4913 93,3,2024-09-07 09:50:21:408,1,592,11,0,294,5834,592,0 94,0,2024-09-07 09:50:21:631,147845,0.4,148855,0.5,297845,0.3,395698,1.75 94,1,2024-09-07 09:50:20:565,1040532,1040532,0,0,487865399440,5089072319,1033593,6507,432,381,391850,0 94,2,2024-09-07 09:50:20:770,748501,748473,28,0,30919078,0,6179 94,3,2024-09-07 09:50:21:690,1,592,2,0,576,7439,592,0 95,0,2024-09-07 09:50:21:389,148497,0.3,148614,0.5,297294,0.3,395813,1.75 95,1,2024-09-07 09:50:20:854,1041426,1041426,0,0,488511911586,5078290361,1032997,7682,747,365,391852,0 95,2,2024-09-07 09:50:21:015,747153,747153,0,0,30427836,0,3308 95,3,2024-09-07 09:50:21:718,1,592,1,0,718,9392,592,0 96,0,2024-09-07 09:50:21:066,147497,0.4,147903,0.5,295690,0.3,393044,1.75 96,1,2024-09-07 09:50:21:582,1039820,1039820,0,0,487957061516,5090235730,1032443,6104,1273,384,391964,0 96,2,2024-09-07 09:50:21:268,752140,752140,0,0,31529998,0,4180 96,3,2024-09-07 09:50:21:144,1,592,25,0,411,6931,592,0 97,0,2024-09-07 09:50:21:342,147281,0.3,147037,0.5,295064,0.3,392038,1.75 97,1,2024-09-07 09:50:20:808,1041401,1041401,0,0,489019792091,5076003885,1034482,5883,1036,367,392140,0 97,2,2024-09-07 09:50:20:615,748890,748890,0,0,31074362,0,4046 97,3,2024-09-07 09:50:20:571,1,592,1,0,242,6630,592,0 98,0,2024-09-07 09:50:21:709,147612,0.3,147714,0.5,296357,0.3,394015,1.50 98,1,2024-09-07 09:50:20:570,1040508,1040508,0,0,488508962535,5089402573,1034033,5640,835,381,391997,0 98,2,2024-09-07 09:50:20:777,747669,747669,0,0,29818822,0,4336 98,3,2024-09-07 09:50:20:703,1,592,4,0,840,9027,592,0 99,0,2024-09-07 09:50:21:449,149272,0.3,150085,0.5,298545,0.3,397897,1.75 99,1,2024-09-07 09:50:21:734,1041436,1041436,0,0,487643731435,5075659002,1035074,5420,942,380,392069,0 99,2,2024-09-07 09:50:21:417,748363,748363,0,0,36961732,0,4276 99,3,2024-09-07 09:50:20:589,1,592,24,0,1124,7523,592,0 100,0,2024-09-07 09:50:21:482,147521,0.8,147744,0.9,295265,0.8,394012,2.50 100,1,2024-09-07 09:50:20:567,1035364,1035364,0,0,485570018233,5135611708,1019355,12695,3314,378,391989,0 100,2,2024-09-07 09:50:21:817,747760,747371,389,0,40367415,0,16909 100,3,2024-09-07 09:50:21:733,1,592,1,0,627,10060,592,0 101,0,2024-09-07 09:50:21:699,149732,1.2,146040,1.1,285802,1.3,391007,2.50 101,1,2024-09-07 09:50:20:557,1036907,1036907,0,0,486756274807,5116614807,1022866,11514,2527,368,391847,0 101,2,2024-09-07 09:50:21:756,742571,742571,0,0,40101963,0,4871 101,3,2024-09-07 09:50:20:943,1,592,22,0,1250,8980,592,0 102,0,2024-09-07 09:50:20:980,145050,0.7,149373,0.8,303484,0.7,396780,2.25 102,1,2024-09-07 09:50:21:142,1036834,1036834,0,0,486450465595,5112279747,1022729,11908,2197,369,391984,0 102,2,2024-09-07 09:50:21:741,747997,747943,54,0,34290419,0,6768 102,3,2024-09-07 09:50:21:613,1,592,0,0,466,6885,592,0 103,0,2024-09-07 09:50:21:689,154410,0.6,154398,0.7,290869,0.6,400374,2.00 103,1,2024-09-07 09:50:21:667,1035123,1035123,0,0,485957134745,5134979643,1018230,13297,3596,381,392077,0 103,2,2024-09-07 09:50:20:588,747008,747008,0,0,37161735,0,3766 103,3,2024-09-07 09:50:20:758,1,592,2,0,916,7101,592,0 104,0,2024-09-07 09:50:21:010,148776,0.7,148965,0.9,297377,0.7,397533,2.25 104,1,2024-09-07 09:50:21:605,1038045,1038045,0,0,486310339177,5113465209,1022526,12677,2842,365,392168,0 104,2,2024-09-07 09:50:21:671,746618,746618,0,0,36892183,0,4161 104,3,2024-09-07 09:50:21:416,1,592,3,0,1245,10600,592,0 105,0,2024-09-07 09:50:21:070,145011,1.0,141260,1.1,295946,1.2,389478,2.75 105,1,2024-09-07 09:50:20:555,1039575,1039575,0,0,487615454047,5111868779,1026015,11621,1939,364,392009,0 105,2,2024-09-07 09:50:21:322,746521,746521,0,0,36968956,0,4360 105,3,2024-09-07 09:50:21:305,1,592,1,0,573,9156,592,0 106,0,2024-09-07 09:50:20:958,144441,1.0,147963,1.0,303046,1.2,395542,2.50 106,1,2024-09-07 09:50:21:763,1038403,1038403,0,0,487022675574,5114379704,1023755,12754,1894,368,391914,0 106,2,2024-09-07 09:50:20:777,746601,746601,0,0,34867266,0,3331 106,3,2024-09-07 09:50:20:683,1,592,2,0,1224,8411,592,0 107,0,2024-09-07 09:50:21:155,148915,1.0,149002,0.9,297977,1.1,397505,2.25 107,1,2024-09-07 09:50:20:606,1035303,1035303,0,0,485766385351,5137022151,1017935,15235,2133,381,392234,0 107,2,2024-09-07 09:50:21:309,746040,746039,1,0,38009070,0,5024 107,3,2024-09-07 09:50:21:756,1,592,2,0,733,9054,592,0 108,0,2024-09-07 09:50:21:761,148451,0.4,148902,0.6,296875,0.4,395929,1.75 108,1,2024-09-07 09:50:21:315,1039689,1039689,0,0,488019331121,5091719577,1031000,7695,994,367,391894,0 108,2,2024-09-07 09:50:21:760,748053,748053,0,0,32652740,0,4246 108,3,2024-09-07 09:50:21:330,1,592,7,0,749,10835,592,0 109,0,2024-09-07 09:50:21:751,148267,0.4,147037,0.6,294924,0.3,394236,1.75 109,1,2024-09-07 09:50:20:591,1036852,1036852,0,0,487944161169,5119998503,1027382,8194,1276,382,392132,0 109,2,2024-09-07 09:50:20:921,748471,748471,0,0,33536401,0,3617 109,3,2024-09-07 09:50:21:140,1,592,1,0,630,7291,592,0 110,0,2024-09-07 09:50:21:755,147584,0.4,143599,0.6,300653,0.4,394137,1.75 110,1,2024-09-07 09:50:21:680,1041481,1041481,0,0,488678910906,5074844942,1033444,6111,1926,368,392045,0 110,2,2024-09-07 09:50:21:305,748500,748500,0,0,30993800,0,4067 110,3,2024-09-07 09:50:20:698,1,592,1,0,722,8021,592,0 111,0,2024-09-07 09:50:21:421,149013,0.4,148132,0.5,296296,0.3,395371,1.75 111,1,2024-09-07 09:50:21:000,1042758,1042758,0,0,489346531706,5076164925,1037276,5101,381,380,391690,0 111,2,2024-09-07 09:50:21:120,747844,747844,0,0,31504299,0,4823 111,3,2024-09-07 09:50:20:919,1,592,2,0,379,6521,592,0 112,0,2024-09-07 09:50:20:913,149766,0.3,149125,0.4,299033,0.2,396819,1.50 112,1,2024-09-07 09:50:20:825,1041424,1041424,0,0,488493645040,5074225802,1034231,5968,1225,380,391624,0 112,2,2024-09-07 09:50:21:148,748151,748150,1,0,29792160,0,5036 112,3,2024-09-07 09:50:20:592,1,592,1,0,282,5718,592,0 113,0,2024-09-07 09:50:20:869,147828,0.3,147836,0.5,295890,0.2,394055,1.75 113,1,2024-09-07 09:50:21:685,1043537,1043537,0,0,490322647568,5060668476,1037308,5281,948,365,391664,0 113,2,2024-09-07 09:50:21:306,754500,754500,0,0,28334901,0,3813 113,3,2024-09-07 09:50:20:684,1,592,47,0,510,6434,592,0 114,0,2024-09-07 09:50:20:882,147359,0.3,148306,0.5,295434,0.2,395030,1.75 114,1,2024-09-07 09:50:20:718,1041901,1041901,0,0,488636602237,5075352753,1033975,6179,1747,381,391565,0 114,2,2024-09-07 09:50:20:878,749334,749333,1,0,29329684,0,5069 114,3,2024-09-07 09:50:21:282,1,592,132,0,395,4811,592,0 115,0,2024-09-07 09:50:20:556,149141,0.3,149635,0.4,297931,0.2,396334,1.50 115,1,2024-09-07 09:50:20:573,1042100,1042100,0,0,489004381582,5081812648,1033844,6739,1517,382,391757,0 115,2,2024-09-07 09:50:21:127,749220,749220,0,0,29428103,0,4382 115,3,2024-09-07 09:50:21:002,1,592,1,0,167,3584,592,0 116,0,2024-09-07 09:50:21:722,148864,0.7,148595,0.8,297963,0.6,398058,2.00 116,1,2024-09-07 09:50:20:814,1036710,1036710,0,0,486048826694,5125228176,1024074,9547,3089,380,392089,0 116,2,2024-09-07 09:50:21:751,748162,748162,0,0,36851814,0,4475 116,3,2024-09-07 09:50:20:914,1,592,12,0,448,7732,592,0 117,0,2024-09-07 09:50:20:959,149089,0.7,148398,0.8,297323,0.7,397004,2.00 117,1,2024-09-07 09:50:21:578,1038066,1038066,0,0,486658853835,5097634370,1026455,10090,1521,369,392033,0 117,2,2024-09-07 09:50:21:135,752083,752083,0,0,33376659,0,4303 117,3,2024-09-07 09:50:21:065,1,592,1,0,490,7629,592,0 118,0,2024-09-07 09:50:21:769,142049,0.6,145867,0.7,297782,0.5,389788,2.00 118,1,2024-09-07 09:50:20:611,1037086,1037086,0,0,486506828031,5117462095,1021335,12130,3621,366,392054,0 118,2,2024-09-07 09:50:21:595,746289,746289,0,0,35435682,0,2842 118,3,2024-09-07 09:50:21:765,1,592,0,0,289,7050,592,0 119,0,2024-09-07 09:50:21:428,149020,0.8,149845,0.9,298750,0.9,398100,2.25 119,1,2024-09-07 09:50:20:559,1037913,1037913,0,0,487122375360,5111682566,1023222,12179,2512,367,391857,0 119,2,2024-09-07 09:50:21:279,748430,748430,0,0,33390302,0,4309 119,3,2024-09-07 09:50:21:324,1,592,3,0,1358,11001,592,0 120,0,2024-09-07 09:50:21:561,148541,0.7,148426,0.8,298060,0.7,397370,2.25 120,1,2024-09-07 09:50:20:871,1039044,1039044,0,0,486782234499,5108766197,1027382,10522,1140,367,392144,0 120,2,2024-09-07 09:50:20:780,748054,748051,3,0,40112225,0,5363 120,3,2024-09-07 09:50:21:290,1,592,14,0,279,7234,592,0 121,0,2024-09-07 09:50:21:701,149501,1.1,148884,1.0,298126,1.4,397839,2.25 121,1,2024-09-07 09:50:21:659,1038211,1038211,0,0,486700642771,5096339163,1026929,9730,1552,366,391840,0 121,2,2024-09-07 09:50:21:125,747823,747823,0,0,36603272,0,4157 121,3,2024-09-07 09:50:20:730,1,592,1,0,387,7933,592,0 122,0,2024-09-07 09:50:21:761,146681,0.8,142719,0.9,298989,0.9,393152,2.25 122,1,2024-09-07 09:50:20:865,1037401,1037401,0,0,487098898521,5116572581,1022407,12582,2412,365,392130,0 122,2,2024-09-07 09:50:21:354,749044,748969,75,0,40247034,0,5989 122,3,2024-09-07 09:50:20:598,1,592,12,0,512,9727,592,0 123,0,2024-09-07 09:50:20:986,148778,0.9,144640,0.9,303015,1.0,397823,2.25 123,1,2024-09-07 09:50:20:557,1037352,1037352,0,0,486549207981,5120029372,1020542,14140,2670,369,392039,0 123,2,2024-09-07 09:50:21:022,745884,745883,1,0,34243110,0,5215 123,3,2024-09-07 09:50:21:138,1,592,2,0,478,6852,592,0 124,0,2024-09-07 09:50:20:919,152387,0.3,152558,0.5,287526,0.3,396107,1.75 124,1,2024-09-07 09:50:21:021,1041790,1041790,0,0,488101930894,5075673592,1034058,6557,1175,365,392178,0 124,2,2024-09-07 09:50:21:010,750300,750247,53,0,30831373,0,6487 124,3,2024-09-07 09:50:20:759,1,592,3,0,490,5916,592,0 125,0,2024-09-07 09:50:21:420,148331,0.4,148339,0.5,297535,0.3,395821,1.75 125,1,2024-09-07 09:50:20:862,1038454,1038454,0,0,487268663534,5087992480,1030387,6916,1151,382,391968,0 125,2,2024-09-07 09:50:21:124,750039,750039,0,0,30995827,0,4534 125,3,2024-09-07 09:50:21:132,1,592,12,0,709,6812,592,0 126,0,2024-09-07 09:50:21:464,147814,0.4,152014,0.6,290817,0.4,393656,1.75 126,1,2024-09-07 09:50:20:566,1042091,1042091,0,0,489003051778,5063672757,1036582,5063,446,365,391987,0 126,2,2024-09-07 09:50:20:614,753182,753182,0,0,32298608,0,4539 126,3,2024-09-07 09:50:20:917,1,592,39,0,268,6582,592,0 127,0,2024-09-07 09:50:21:623,147602,0.4,147772,0.5,294803,0.3,392468,1.75 127,1,2024-09-07 09:50:20:574,1040127,1040127,0,0,488443512532,5084199776,1029461,9030,1636,364,392187,0 127,2,2024-09-07 09:50:20:647,747696,747692,4,0,30351561,0,5305 127,3,2024-09-07 09:50:21:267,1,592,1,0,968,5763,592,0 128,0,2024-09-07 09:50:21:541,148507,0.3,148625,0.4,296822,0.2,394594,1.50 128,1,2024-09-07 09:50:21:613,1040408,1040408,0,0,488271587280,5079804931,1032088,7356,964,367,392423,0 128,2,2024-09-07 09:50:21:387,748736,748736,0,0,28087507,0,3171 128,3,2024-09-07 09:50:20:767,1,592,4,0,1082,9510,592,0 129,0,2024-09-07 09:50:21:012,150443,0.3,149621,0.5,300045,0.3,398375,1.50 129,1,2024-09-07 09:50:20:587,1037194,1037194,0,0,487612877463,5112205459,1026735,8437,2022,379,391962,0 129,2,2024-09-07 09:50:20:699,750356,750352,4,0,30716568,0,5335 129,3,2024-09-07 09:50:20:703,1,592,1,0,506,8254,592,0 130,0,2024-09-07 09:50:21:729,148778,0.4,148186,0.5,297038,0.4,395314,1.75 130,1,2024-09-07 09:50:20:609,1041160,1041160,0,0,488741500050,5077474825,1035730,5035,395,381,391825,0 130,2,2024-09-07 09:50:21:154,752091,752091,0,0,31050635,0,4067 130,3,2024-09-07 09:50:21:305,1,592,22,0,960,8204,592,0 131,0,2024-09-07 09:50:21:933,146852,0.3,147173,0.5,295266,0.3,392722,1.75 131,1,2024-09-07 09:50:21:841,1040657,1040657,0,0,488995064527,5098243516,1033437,6024,1196,381,391865,0 131,2,2024-09-07 09:50:20:567,747813,747813,0,0,28603652,0,3979 131,3,2024-09-07 09:50:21:689,1,592,3,0,392,7660,592,0 132,0,2024-09-07 09:50:21:429,149331,0.5,150264,0.6,299317,0.5,397804,2.00 132,1,2024-09-07 09:50:20:592,1036239,1036239,0,0,485609141833,5114694152,1020937,12600,2702,381,392532,0 132,2,2024-09-07 09:50:20:697,747258,747241,17,0,37273798,0,6451 132,3,2024-09-07 09:50:21:691,1,592,1,0,1298,10761,592,0 133,0,2024-09-07 09:50:21:532,145737,0.4,149099,0.6,305699,0.4,397829,2.00 133,1,2024-09-07 09:50:20:593,1036852,1036852,0,0,487151492817,5133921720,1022793,12297,1762,383,391914,0 133,2,2024-09-07 09:50:21:092,749616,749566,50,0,38396118,0,6861 133,3,2024-09-07 09:50:21:299,1,592,6,0,528,7188,592,0 134,0,2024-09-07 09:50:20:956,150043,0.5,150163,0.7,300592,0.5,399771,2.00 134,1,2024-09-07 09:50:20:593,1037967,1037967,0,0,486910411441,5109354126,1024200,11281,2486,366,391781,0 134,2,2024-09-07 09:50:21:756,749592,749568,24,0,35552855,0,6207 134,3,2024-09-07 09:50:20:753,1,592,1,0,739,7337,592,0 135,0,2024-09-07 09:50:21:137,142062,0.8,142078,0.9,301616,1.0,389232,2.25 135,1,2024-09-07 09:50:21:589,1037324,1037324,0,0,487342011502,5125597595,1024311,11373,1640,380,391805,0 135,2,2024-09-07 09:50:20:692,748105,748105,0,0,36260576,0,4503 135,3,2024-09-07 09:50:21:006,1,592,2,0,900,5865,592,0 136,0,2024-09-07 09:50:21:669,149946,0.6,150470,0.8,298718,0.6,398633,2.25 136,1,2024-09-07 09:50:21:446,1038676,1038676,0,0,487225949261,5109737148,1026777,10511,1388,381,392135,0 136,2,2024-09-07 09:50:21:141,749383,749368,15,0,35823221,0,6007 136,3,2024-09-07 09:50:21:107,1,592,2,0,637,6925,592,0 137,0,2024-09-07 09:50:20:927,153880,0.6,149985,0.7,293863,0.6,399230,2.00 137,1,2024-09-07 09:50:20:583,1037525,1037525,0,0,486884581703,5110511975,1020994,13143,3388,366,391898,0 137,2,2024-09-07 09:50:21:709,747539,747539,0,0,36980260,0,3185 137,3,2024-09-07 09:50:20:770,1,592,1,0,484,8207,592,0 138,0,2024-09-07 09:50:21:769,147943,0.7,148199,0.8,296849,0.8,394363,2.00 138,1,2024-09-07 09:50:21:685,1037941,1037941,0,0,487147120771,5113567936,1023172,12499,2270,368,391954,0 138,2,2024-09-07 09:50:20:599,747235,747235,0,0,35270740,0,4988 138,3,2024-09-07 09:50:20:617,1,592,1,0,1200,9385,592,0 139,0,2024-09-07 09:50:21:393,146326,1.1,146860,1.0,293695,1.5,392479,2.25 139,1,2024-09-07 09:50:20:575,1033879,1033879,0,0,484410483648,5139924220,1015498,14557,3824,380,392109,0 139,2,2024-09-07 09:50:20:691,745248,745218,30,0,40590212,0,5997 139,3,2024-09-07 09:50:21:669,1,592,1,0,432,7109,592,0 140,0,2024-09-07 09:50:21:592,148275,0.3,147405,0.5,295804,0.2,394417,1.75 140,1,2024-09-07 09:50:21:538,1044135,1044135,0,0,489961367192,5051842227,1039166,4423,546,364,391606,0 140,2,2024-09-07 09:50:20:691,749052,749051,1,0,28730405,0,5036 140,3,2024-09-07 09:50:20:772,1,592,4,0,575,5979,592,0 141,0,2024-09-07 09:50:21:699,148489,0.3,152634,0.5,291737,0.3,395090,1.75 141,1,2024-09-07 09:50:20:864,1042188,1042188,0,0,489348221065,5084874504,1033991,7005,1192,379,391614,0 141,2,2024-09-07 09:50:21:686,748328,748317,11,0,30834847,0,5369 141,3,2024-09-07 09:50:21:044,1,592,18,0,391,6945,592,0 142,0,2024-09-07 09:50:21:320,149795,0.3,148959,0.5,298251,0.2,397668,1.50 142,1,2024-09-07 09:50:20:588,1040296,1040296,0,0,488190044973,5089917381,1033591,6199,506,382,392102,0 142,2,2024-09-07 09:50:21:306,747352,747320,32,0,31369283,0,6028 142,3,2024-09-07 09:50:21:748,1,592,5,0,484,6345,592,0 143,0,2024-09-07 09:50:21:437,147549,0.4,147634,0.5,296306,0.4,393857,1.75 143,1,2024-09-07 09:50:20:570,1042742,1042742,0,0,488675417246,5070999049,1036288,5887,567,367,391722,0 143,2,2024-09-07 09:50:20:779,752776,752776,0,0,31283344,0,3123 143,3,2024-09-07 09:50:21:142,1,592,2,0,462,7247,592,0 144,0,2024-09-07 09:50:21:634,142732,0.6,146909,0.8,298866,0.6,391701,2.00 144,1,2024-09-07 09:50:20:581,1036736,1036736,0,0,486925871802,5111366535,1026163,8581,1992,381,391733,0 144,2,2024-09-07 09:50:21:758,748671,748671,0,0,30344379,0,4443 144,3,2024-09-07 09:50:21:742,1,592,112,0,249,5837,592,0 145,0,2024-09-07 09:50:21:410,143614,0.6,143555,0.8,304763,0.5,392340,2.25 145,1,2024-09-07 09:50:20:555,1036488,1036488,0,0,486555910874,5121968278,1022471,11346,2671,382,391781,0 145,2,2024-09-07 09:50:21:443,745760,745678,82,0,36132434,0,7814 145,3,2024-09-07 09:50:20:900,1,592,1,0,622,7913,592,0 146,0,2024-09-07 09:50:21:603,149083,0.6,148510,0.7,298381,0.5,396295,2.00 146,1,2024-09-07 09:50:21:594,1037766,1037766,0,0,486835776863,5126077162,1020267,13018,4481,367,391770,0 146,2,2024-09-07 09:50:21:697,747531,747525,6,0,34718200,0,5151 146,3,2024-09-07 09:50:21:274,1,592,2,0,1520,9764,592,0 147,0,2024-09-07 09:50:21:731,148986,0.6,148703,0.7,297164,0.5,396187,2.25 147,1,2024-09-07 09:50:21:374,1041525,1041525,0,0,488955948439,5082552305,1033039,7519,967,367,391791,0 147,2,2024-09-07 09:50:21:020,750298,750298,0,0,30844227,0,2968 147,3,2024-09-07 09:50:20:916,1,592,1,0,1626,9576,592,0 0,0,2024-09-07 09:50:31:730,145388,0.6,145309,0.7,308524,0.6,398342,2.00 0,1,2024-09-07 09:50:30:807,1039843,1039843,0,0,488178101024,5112242472,1031309,7588,946,368,391896,0 0,2,2024-09-07 09:50:31:076,751874,751874,0,0,30740160,0,4480 0,3,2024-09-07 09:50:30:975,1,593,6,0,431,8654,593,0 1,0,2024-09-07 09:50:31:759,149582,0.8,148506,0.9,298510,1.0,398800,2.00 1,1,2024-09-07 09:50:30:559,1039522,1039522,0,0,487553249634,5108132848,1030087,7945,1490,370,391859,0 1,2,2024-09-07 09:50:30:639,750512,750512,0,0,30202961,0,3380 1,3,2024-09-07 09:50:31:302,1,593,28,0,269,7405,593,0 2,0,2024-09-07 09:50:31:570,147404,0.6,147259,0.7,294102,0.6,392819,2.00 2,1,2024-09-07 09:50:30:859,1042562,1042562,0,0,490393348604,5099778320,1036390,5222,950,379,391745,0 2,2,2024-09-07 09:50:31:268,749679,749679,0,0,28829813,0,3594 2,3,2024-09-07 09:50:30:694,1,593,0,0,357,5289,593,0 3,0,2024-09-07 09:50:31:746,149642,0.4,149579,0.6,298576,0.4,398197,2.00 3,1,2024-09-07 09:50:31:618,1041073,1041073,0,0,489553242967,5092512188,1033489,6880,704,379,391716,0 3,2,2024-09-07 09:50:31:144,750666,750643,23,0,29949966,0,5851 3,3,2024-09-07 09:50:31:763,1,593,0,0,484,4586,593,0 4,0,2024-09-07 09:50:31:770,144470,0.4,148371,0.5,302555,0.3,396130,1.75 4,1,2024-09-07 09:50:30:594,1036972,1036972,0,0,485754358964,5143502924,1019170,14048,3754,370,391992,0 4,2,2024-09-07 09:50:31:033,747209,747209,0,0,36568864,0,4534 4,3,2024-09-07 09:50:31:034,1,593,1,0,448,7300,593,0 5,0,2024-09-07 09:50:31:375,148772,0.4,148818,0.5,297771,0.3,395854,1.75 5,1,2024-09-07 09:50:30:762,1037558,1037558,0,0,487937631204,5153069847,1021240,12194,4124,367,392005,0 5,2,2024-09-07 09:50:31:830,746603,746603,0,0,35370136,0,3582 5,3,2024-09-07 09:50:31:732,1,593,1,0,457,8180,593,0 6,0,2024-09-07 09:50:30:919,148074,0.5,147799,0.6,295558,0.4,394084,2.00 6,1,2024-09-07 09:50:30:746,1040533,1040533,0,0,488384505242,5102236930,1029509,9451,1573,379,391702,0 6,2,2024-09-07 09:50:31:120,752577,752559,18,0,34457166,0,5535 6,3,2024-09-07 09:50:31:277,1,593,1,0,710,7264,593,0 7,0,2024-09-07 09:50:31:536,146864,0.5,147854,0.7,293711,0.5,392109,2.00 7,1,2024-09-07 09:50:30:850,1038165,1038165,0,0,487558617129,5135790196,1021842,12557,3766,382,391747,0 7,2,2024-09-07 09:50:30:778,748752,748752,0,0,33053448,0,4791 7,3,2024-09-07 09:50:30:851,1,593,1,0,552,6992,593,0 8,0,2024-09-07 09:50:31:323,148631,0.4,148276,0.5,296909,0.3,395625,1.75 8,1,2024-09-07 09:50:31:019,1037572,1037572,0,0,486887222860,5123780123,1020856,13129,3587,366,392853,0 8,2,2024-09-07 09:50:30:790,743884,743882,2,0,39401276,0,5112 8,3,2024-09-07 09:50:30:607,1,593,8,0,772,9557,593,0 9,0,2024-09-07 09:50:31:155,149153,0.4,145150,0.5,303749,0.3,398089,1.75 9,1,2024-09-07 09:50:30:571,1037563,1037563,0,0,486789488297,5134118152,1020883,13455,3225,369,392001,0 9,2,2024-09-07 09:50:31:091,748089,748088,1,0,37239061,0,5281 9,3,2024-09-07 09:50:31:780,1,593,5,0,1273,10214,593,0 10,0,2024-09-07 09:50:31:624,148005,0.3,147362,0.5,296000,0.3,394311,1.75 10,1,2024-09-07 09:50:30:588,1039332,1039332,0,0,487708948216,5119993587,1023615,12654,3063,381,391981,0 10,2,2024-09-07 09:50:30:762,752436,752436,0,0,39892673,0,4713 10,3,2024-09-07 09:50:30:875,1,593,6,0,669,6786,593,0 11,0,2024-09-07 09:50:31:021,147079,0.5,142642,0.6,298504,0.4,393429,1.75 11,1,2024-09-07 09:50:30:574,1040794,1040794,0,0,488570164041,5128153700,1024973,11518,4303,383,391766,0 11,2,2024-09-07 09:50:31:129,747104,747104,0,0,35315478,0,4698 11,3,2024-09-07 09:50:31:301,1,593,4,0,843,7783,593,0 12,0,2024-09-07 09:50:30:950,150255,0.4,150257,0.6,300010,0.4,398690,1.75 12,1,2024-09-07 09:50:30:948,1040521,1040521,0,0,487860842301,5089590050,1032149,7365,1007,370,391960,0 12,2,2024-09-07 09:50:31:553,747562,747562,0,0,34054823,0,4390 12,3,2024-09-07 09:50:31:061,1,593,15,0,386,7530,593,0 13,0,2024-09-07 09:50:31:325,150409,0.4,150583,0.5,300187,0.4,399874,1.75 13,1,2024-09-07 09:50:31:533,1038006,1038006,0,0,488327546122,5134340841,1027124,8493,2389,382,391803,0 13,2,2024-09-07 09:50:30:595,752071,752071,0,0,30486591,0,3287 13,3,2024-09-07 09:50:31:770,1,593,1,0,522,7915,593,0 14,0,2024-09-07 09:50:30:567,149787,0.4,150881,0.6,299418,0.3,398732,1.75 14,1,2024-09-07 09:50:31:562,1045346,1045346,0,0,490660735339,5079029970,1036891,7326,1129,364,391673,0 14,2,2024-09-07 09:50:30:764,751858,751828,30,0,31932728,0,6104 14,3,2024-09-07 09:50:31:120,1,593,9,0,1168,6546,593,0 15,0,2024-09-07 09:50:31:563,146985,0.4,146056,0.6,293320,0.4,391157,2.00 15,1,2024-09-07 09:50:31:612,1042369,1042369,0,0,489360499190,5097954490,1033299,7157,1913,381,391619,0 15,2,2024-09-07 09:50:30:997,750167,750167,0,0,27572719,0,3622 15,3,2024-09-07 09:50:31:411,1,593,1,0,1126,7876,593,0 16,0,2024-09-07 09:50:30:970,149512,0.6,150209,0.8,299847,0.7,398968,2.00 16,1,2024-09-07 09:50:30:580,1042221,1042221,0,0,488672604673,5109553712,1032935,7842,1444,370,392194,0 16,2,2024-09-07 09:50:31:434,748629,748629,0,0,31323348,0,4719 16,3,2024-09-07 09:50:31:147,1,593,12,0,358,7539,593,0 17,0,2024-09-07 09:50:31:785,153919,0.6,150028,0.8,293936,0.6,399739,2.00 17,1,2024-09-07 09:50:30:582,1039937,1039937,0,0,487555814878,5115711226,1029653,8513,1771,368,392075,0 17,2,2024-09-07 09:50:31:671,752966,752965,1,0,32623243,0,5050 17,3,2024-09-07 09:50:30:580,1,593,1,0,518,8733,593,0 18,0,2024-09-07 09:50:30:946,147473,0.6,148403,0.8,295353,0.6,394902,2.25 18,1,2024-09-07 09:50:31:638,1044345,1044345,0,0,489336619766,5076477998,1037284,5804,1257,367,391725,0 18,2,2024-09-07 09:50:31:771,752545,752545,0,0,28935838,0,3541 18,3,2024-09-07 09:50:30:895,1,593,1,0,1059,5540,593,0 19,0,2024-09-07 09:50:31:545,147523,0.6,147726,0.8,294240,0.6,391604,2.00 19,1,2024-09-07 09:50:30:569,1043933,1043933,0,0,490163993907,5081771533,1036135,6665,1133,367,391777,0 19,2,2024-09-07 09:50:31:767,753860,753860,0,0,27486470,0,3988 19,3,2024-09-07 09:50:31:129,1,593,5,0,524,4592,593,0 20,0,2024-09-07 09:50:31:429,148045,0.6,147903,0.7,295580,0.6,394785,2.00 20,1,2024-09-07 09:50:30:582,1039835,1039835,0,0,487973508243,5114331083,1029262,9067,1506,369,391922,0 20,2,2024-09-07 09:50:30:938,749074,749074,0,0,33939595,0,4321 20,3,2024-09-07 09:50:30:601,1,593,35,0,468,9668,593,0 21,0,2024-09-07 09:50:31:143,148485,0.5,148642,0.6,297085,0.4,394858,2.00 21,1,2024-09-07 09:50:31:552,1038177,1038177,0,0,487269635345,5145950521,1021313,13029,3835,368,392016,0 21,2,2024-09-07 09:50:31:067,743846,743369,477,0,44644892,0,17074 21,3,2024-09-07 09:50:31:405,1,593,1,0,713,8615,593,0 22,0,2024-09-07 09:50:31:731,148968,0.5,149609,0.6,298409,0.4,395993,2.00 22,1,2024-09-07 09:50:31:028,1039131,1039131,0,0,486235698264,5120723927,1021317,14274,3540,382,391822,0 22,2,2024-09-07 09:50:30:761,747657,747631,26,0,32406156,0,6328 22,3,2024-09-07 09:50:31:066,1,593,1,0,228,4992,593,0 23,0,2024-09-07 09:50:31:368,147612,0.5,147348,0.7,294684,0.5,392932,2.25 23,1,2024-09-07 09:50:31:003,1039108,1039108,0,0,488516923258,5150336354,1019636,12894,6578,365,391690,0 23,2,2024-09-07 09:50:31:093,753858,753858,0,0,31200285,0,3773 23,3,2024-09-07 09:50:31:756,1,593,1,0,855,8807,593,0 24,0,2024-09-07 09:50:30:820,148294,0.4,147672,0.6,296991,0.4,394511,1.75 24,1,2024-09-07 09:50:30:588,1039178,1039178,0,0,487029101406,5100509821,1029120,8349,1709,367,392269,0 24,2,2024-09-07 09:50:31:068,748022,748019,3,0,37752581,0,6294 24,3,2024-09-07 09:50:31:697,1,593,3,0,468,7645,593,0 25,0,2024-09-07 09:50:31:341,152793,0.5,148670,0.6,292066,0.4,397553,2.00 25,1,2024-09-07 09:50:30:580,1037603,1037603,0,0,486733025647,5146102733,1018846,15047,3710,369,391928,0 25,2,2024-09-07 09:50:31:606,746070,746070,0,0,38292024,0,3978 25,3,2024-09-07 09:50:31:003,1,593,8,0,532,6497,593,0 26,0,2024-09-07 09:50:31:727,148540,0.4,145203,0.6,305006,0.4,396800,1.75 26,1,2024-09-07 09:50:31:544,1041313,1041313,0,0,488226011637,5114132046,1026978,11802,2533,380,391748,0 26,2,2024-09-07 09:50:30:862,749079,749079,0,0,41098641,0,4689 26,3,2024-09-07 09:50:31:718,1,593,1,0,796,7553,593,0 27,0,2024-09-07 09:50:31:734,148849,0.4,149502,0.6,297235,0.4,396103,2.25 27,1,2024-09-07 09:50:31:676,1041694,1041694,0,0,489220869749,5100769257,1031298,8787,1609,381,391626,0 27,2,2024-09-07 09:50:30:867,748753,748688,65,0,35850774,0,5699 27,3,2024-09-07 09:50:31:024,1,593,1,0,564,5195,593,0 28,0,2024-09-07 09:50:31:403,147078,0.4,147120,0.6,294435,0.3,392631,2.00 28,1,2024-09-07 09:50:30:797,1042625,1042625,0,0,489791683030,5107472807,1033689,7045,1891,382,391904,0 28,2,2024-09-07 09:50:31:771,748703,748703,0,0,30761115,0,2915 28,3,2024-09-07 09:50:31:778,1,593,1,0,502,5912,593,0 29,0,2024-09-07 09:50:31:370,153847,0.4,149750,0.6,293917,0.4,400420,1.75 29,1,2024-09-07 09:50:31:561,1045760,1045760,0,0,490022236291,5069507030,1039406,5580,774,367,391809,0 29,2,2024-09-07 09:50:30:862,749052,749052,0,0,29965478,0,4986 29,3,2024-09-07 09:50:30:970,1,593,2,0,459,6488,593,0 30,0,2024-09-07 09:50:31:456,148743,0.5,144912,0.7,303306,0.4,396211,2.00 30,1,2024-09-07 09:50:30:581,1044785,1044785,0,0,490645223841,5091223972,1037218,6657,910,380,391672,0 30,2,2024-09-07 09:50:31:282,751767,751767,0,0,28621915,0,4192 30,3,2024-09-07 09:50:30:587,1,593,4,0,519,5590,593,0 31,0,2024-09-07 09:50:31:763,149257,0.4,150059,0.6,299038,0.4,399427,2.00 31,1,2024-09-07 09:50:30:564,1047947,1047947,0,0,491177664703,5045503125,1041492,5381,1074,356,391712,0 31,2,2024-09-07 09:50:31:277,749783,749783,0,0,32143819,0,4470 31,3,2024-09-07 09:50:31:721,1,593,1,0,239,5165,593,0 32,0,2024-09-07 09:50:31:420,147629,0.3,148608,0.5,295752,0.3,394216,1.75 32,1,2024-09-07 09:50:30:804,1044045,1044045,0,0,489420706785,5086882045,1037867,5460,718,381,391646,0 32,2,2024-09-07 09:50:30:942,751389,751389,0,0,28262427,0,3922 32,3,2024-09-07 09:50:31:018,1,593,31,0,304,4874,593,0 33,0,2024-09-07 09:50:31:512,150182,0.3,149870,0.4,299913,0.2,399206,1.50 33,1,2024-09-07 09:50:30:578,1044100,1044100,0,0,490518111475,5082413942,1035657,7170,1273,368,391730,0 33,2,2024-09-07 09:50:30:758,750808,750773,35,0,31357343,0,7012 33,3,2024-09-07 09:50:30:900,1,593,1,0,329,5248,593,0 34,0,2024-09-07 09:50:30:940,148962,0.3,153019,0.5,292771,0.2,395448,1.75 34,1,2024-09-07 09:50:31:044,1046409,1046409,0,0,490739190881,5049213831,1043448,2851,110,367,391637,0 34,2,2024-09-07 09:50:30:777,751048,751048,0,0,29933567,0,4562 34,3,2024-09-07 09:50:31:701,1,593,9,0,541,5496,593,0 35,0,2024-09-07 09:50:30:857,147871,0.3,148786,0.5,298456,0.2,396409,1.75 35,1,2024-09-07 09:50:31:067,1042607,1042607,0,0,489571222698,5082629780,1034500,6584,1523,382,391769,0 35,2,2024-09-07 09:50:31:581,749465,749465,0,0,32700958,0,4055 35,3,2024-09-07 09:50:30:911,1,593,1,0,466,5675,593,0 36,0,2024-09-07 09:50:31:524,148347,0.5,148343,0.7,296145,0.4,394946,2.00 36,1,2024-09-07 09:50:30:614,1041055,1041055,0,0,488791844127,5106332980,1027480,11335,2240,366,391759,0 36,2,2024-09-07 09:50:31:751,752609,752609,0,0,34063398,0,3875 36,3,2024-09-07 09:50:30:863,1,593,1,0,556,8221,593,0 37,0,2024-09-07 09:50:31:394,146777,0.5,146933,0.7,293913,0.5,392442,2.25 37,1,2024-09-07 09:50:30:574,1041031,1041024,0,7,489143684998,5109313154,1028380,9731,2913,365,391770,0 37,2,2024-09-07 09:50:31:147,746678,746663,15,0,34053012,0,5815 37,3,2024-09-07 09:50:31:770,1,593,1,0,888,7965,593,0 38,0,2024-09-07 09:50:31:436,147315,0.5,142822,0.7,298644,0.4,391568,2.00 38,1,2024-09-07 09:50:31:605,1040749,1040749,0,0,488960194509,5116725202,1025424,12326,2999,368,391821,0 38,2,2024-09-07 09:50:30:760,748154,748107,47,0,34252988,0,6710 38,3,2024-09-07 09:50:30:996,1,593,3,0,689,7392,593,0 39,0,2024-09-07 09:50:31:765,152542,0.5,149129,0.7,290832,0.5,396893,2.00 39,1,2024-09-07 09:50:30:717,1040713,1040713,0,0,488319393530,5122198057,1023269,13729,3715,365,391865,0 39,2,2024-09-07 09:50:31:416,749688,749688,0,0,32304168,0,3391 39,3,2024-09-07 09:50:30:716,1,593,1,0,525,6656,593,0 40,0,2024-09-07 09:50:31:507,146715,0.8,147346,1.0,294081,1.0,392606,2.75 40,1,2024-09-07 09:50:30:598,1041332,1041332,0,0,487170656766,5107162965,1025727,12537,3068,368,391668,0 40,2,2024-09-07 09:50:31:302,750430,750423,7,0,38943121,0,5347 40,3,2024-09-07 09:50:31:144,1,593,5,0,1028,8848,593,0 41,0,2024-09-07 09:50:31:027,146292,1.4,149661,1.2,285511,2.0,388463,3.25 41,1,2024-09-07 09:50:30:768,1040375,1040375,0,0,487967399464,5108374174,1026608,11608,2159,369,391878,0 41,2,2024-09-07 09:50:30:766,745050,745049,1,0,37088434,0,5408 41,3,2024-09-07 09:50:31:676,1,593,1,0,366,6396,593,0 42,0,2024-09-07 09:50:31:477,148180,1.0,147809,1.1,295806,1.2,392536,2.75 42,1,2024-09-07 09:50:31:443,1037971,1037971,0,0,487023662442,5120605676,1021481,13558,2932,380,391675,0 42,2,2024-09-07 09:50:31:133,746602,746601,1,0,37345111,0,5513 42,3,2024-09-07 09:50:31:014,1,593,19,0,892,5616,593,0 43,0,2024-09-07 09:50:30:919,148144,0.7,144253,0.9,301950,0.7,394799,2.25 43,1,2024-09-07 09:50:30:579,1041772,1041772,0,0,488694384648,5109615126,1027033,12167,2572,366,391696,0 43,2,2024-09-07 09:50:31:738,750452,750452,0,0,35360667,0,4723 43,3,2024-09-07 09:50:31:755,1,593,0,0,571,8678,593,0 44,0,2024-09-07 09:50:30:864,150197,0.4,150117,0.6,300485,0.3,399199,1.75 44,1,2024-09-07 09:50:30:569,1044601,1044601,0,0,489780928368,5064691979,1035238,7422,1941,356,391809,0 44,2,2024-09-07 09:50:31:281,749658,749658,0,0,28709921,0,4344 44,3,2024-09-07 09:50:31:097,1,593,4,0,1097,7391,593,0 45,0,2024-09-07 09:50:31:776,145263,0.5,141942,0.7,297896,0.5,391342,2.00 45,1,2024-09-07 09:50:31:018,1043318,1043318,0,0,490247866533,5095337576,1035067,7348,903,382,391917,0 45,2,2024-09-07 09:50:31:268,749625,749625,0,0,29029202,0,3596 45,3,2024-09-07 09:50:30:936,1,593,1,0,531,5732,593,0 46,0,2024-09-07 09:50:30:964,148903,0.6,148427,0.8,297823,0.6,395554,2.25 46,1,2024-09-07 09:50:30:579,1045416,1045416,0,0,491088245098,5077524178,1037924,6504,988,366,391709,0 46,2,2024-09-07 09:50:30:595,750535,750535,0,0,29734059,0,4443 46,3,2024-09-07 09:50:31:131,1,593,1,0,908,7172,593,0 47,0,2024-09-07 09:50:31:111,149771,0.4,149606,0.6,300389,0.4,398114,2.00 47,1,2024-09-07 09:50:30:574,1046089,1046089,0,0,490770466764,5070977266,1039991,5236,862,365,391641,0 47,2,2024-09-07 09:50:30:912,753681,753681,0,0,28786438,0,4477 47,3,2024-09-07 09:50:31:116,1,593,1,0,600,6581,593,0 48,0,2024-09-07 09:50:31:502,149158,0.3,149299,0.4,298183,0.2,396750,1.50 48,1,2024-09-07 09:50:31:024,1043794,1043794,0,0,489291420032,5082116140,1037696,5520,578,381,391710,0 48,2,2024-09-07 09:50:30:705,750424,750424,0,0,27235383,0,3524 48,3,2024-09-07 09:50:30:759,1,593,1,0,339,5154,593,0 49,0,2024-09-07 09:50:31:729,151931,0.3,148946,0.5,289799,0.3,395771,1.75 49,1,2024-09-07 09:50:31:021,1042523,1042523,0,0,489184453953,5089808102,1035253,5575,1695,382,391809,0 49,2,2024-09-07 09:50:31:796,752467,752467,0,0,29526381,0,4426 49,3,2024-09-07 09:50:31:417,1,593,0,0,992,7149,593,0 50,0,2024-09-07 09:50:31:517,148784,0.3,147008,0.5,296381,0.2,394776,1.75 50,1,2024-09-07 09:50:31:021,1045814,1045814,0,0,491638637384,5082876096,1039290,5855,669,368,391691,0 50,2,2024-09-07 09:50:31:068,748787,748787,0,0,27320511,0,4490 50,3,2024-09-07 09:50:31:290,1,593,1,0,617,6146,593,0 51,0,2024-09-07 09:50:31:684,152445,0.3,149291,0.5,290647,0.2,396665,1.75 51,1,2024-09-07 09:50:31:680,1046672,1046672,0,0,491565658440,5069727002,1041016,4575,1081,365,391706,0 51,2,2024-09-07 09:50:31:317,750026,750026,0,0,26833970,0,3337 51,3,2024-09-07 09:50:31:030,1,593,0,0,678,4269,593,0 52,0,2024-09-07 09:50:31:413,149630,0.5,149278,0.6,298940,0.4,396987,2.00 52,1,2024-09-07 09:50:30:581,1041398,1041398,0,0,488804026755,5117119605,1026376,12692,2330,368,391805,0 52,2,2024-09-07 09:50:31:758,745876,745838,38,0,36112159,0,6742 52,3,2024-09-07 09:50:30:681,1,593,1,0,1782,7084,593,0 53,0,2024-09-07 09:50:31:754,147346,0.7,143024,0.8,299156,0.7,392044,2.50 53,1,2024-09-07 09:50:30:773,1039575,1039575,0,0,487310460051,5116767756,1021393,13787,4395,367,391968,0 53,2,2024-09-07 09:50:31:306,752825,752824,1,0,32715987,0,5455 53,3,2024-09-07 09:50:30:699,1,593,1,0,308,5587,593,0 54,0,2024-09-07 09:50:31:618,145842,0.6,146141,0.8,291001,0.5,388937,2.25 54,1,2024-09-07 09:50:30:585,1042556,1042556,0,0,489768746832,5095599908,1031451,9435,1670,366,391810,0 54,2,2024-09-07 09:50:30:866,748993,748961,32,0,36282470,0,6397 54,3,2024-09-07 09:50:30:763,1,593,3,0,676,7761,593,0 55,0,2024-09-07 09:50:31:764,143406,0.7,147862,0.8,299779,0.6,390345,2.50 55,1,2024-09-07 09:50:30:765,1042657,1042657,0,0,489511294810,5092395087,1031800,9468,1389,365,391731,0 55,2,2024-09-07 09:50:30:732,746925,746869,56,0,33753793,0,7239 55,3,2024-09-07 09:50:30:681,1,593,53,0,304,5515,593,0 56,0,2024-09-07 09:50:31:562,152163,1.1,143346,1.1,295434,1.5,395331,2.75 56,1,2024-09-07 09:50:30:582,1036321,1036321,0,0,487078933556,5154972006,1018360,14276,3685,381,391867,0 56,2,2024-09-07 09:50:31:302,748543,748421,122,0,36070160,0,7432 56,3,2024-09-07 09:50:31:060,1,593,1,0,705,6910,593,0 57,0,2024-09-07 09:50:30:975,147145,1.4,146960,1.2,294193,2.0,392637,3.25 57,1,2024-09-07 09:50:30:995,1038884,1038884,0,0,487227556782,5117852452,1024463,11932,2489,366,392032,0 57,2,2024-09-07 09:50:31:321,751218,751218,0,0,37721449,0,4804 57,3,2024-09-07 09:50:31:740,1,593,1,0,455,7014,593,0 58,0,2024-09-07 09:50:30:570,144654,1.0,140512,1.0,293888,1.3,384859,2.75 58,1,2024-09-07 09:50:30:581,1040640,1040637,0,3,487941390669,5118641274,1024494,12242,3901,367,391726,3 58,2,2024-09-07 09:50:31:070,748639,748639,0,0,35371728,0,3483 58,3,2024-09-07 09:50:31:068,1,593,2,0,1043,6689,593,0 59,0,2024-09-07 09:50:31:737,148120,0.9,147659,1.0,295042,1.0,391471,3.00 59,1,2024-09-07 09:50:30:806,1039324,1039324,0,0,488197171547,5133880891,1021504,14153,3667,369,391653,0 59,2,2024-09-07 09:50:30:586,748719,748719,0,0,33474001,0,3727 59,3,2024-09-07 09:50:31:738,1,593,1,0,1015,7338,593,0 60,0,2024-09-07 09:50:31:745,149408,0.5,149586,0.7,299407,0.5,398532,1.75 60,1,2024-09-07 09:50:30:789,1044472,1044472,0,0,490206912181,5095744930,1036526,6859,1087,370,392031,0 60,2,2024-09-07 09:50:31:142,751179,751179,0,0,31633731,0,3811 60,3,2024-09-07 09:50:31:264,1,593,17,0,409,6957,593,0 61,0,2024-09-07 09:50:31:536,149476,0.6,149995,0.8,298620,0.6,398799,2.00 61,1,2024-09-07 09:50:30:782,1040922,1040922,0,0,488642419938,5116830455,1029799,9444,1679,382,392127,0 61,2,2024-09-07 09:50:31:137,751008,750941,67,0,32226338,0,6411 61,3,2024-09-07 09:50:31:687,1,593,2,0,607,7822,593,0 62,0,2024-09-07 09:50:31:724,148046,0.6,151821,0.8,289949,0.6,394337,2.00 62,1,2024-09-07 09:50:31:112,1047336,1047330,0,6,491325872357,5066269193,1042367,4664,299,365,391975,6 62,2,2024-09-07 09:50:31:644,747819,747818,1,0,32221195,0,5555 62,3,2024-09-07 09:50:31:146,1,593,1,0,482,4803,593,0 63,0,2024-09-07 09:50:31:683,150228,0.4,150005,0.6,300545,0.4,399465,1.75 63,1,2024-09-07 09:50:30:805,1043644,1043638,0,6,489882186076,5088107640,1036792,5991,855,381,391800,6 63,2,2024-09-07 09:50:30:761,749874,749874,0,0,30598982,0,4369 63,3,2024-09-07 09:50:31:732,1,593,1,0,667,6176,593,0 64,0,2024-09-07 09:50:31:526,148062,0.5,147915,0.7,295449,0.4,393998,2.00 64,1,2024-09-07 09:50:30:750,1042976,1042976,0,0,490336904654,5107909578,1033641,7359,1976,370,391794,0 64,2,2024-09-07 09:50:31:146,753837,753818,19,0,29542683,0,6121 64,3,2024-09-07 09:50:31:146,1,593,0,0,651,6872,593,0 65,0,2024-09-07 09:50:31:693,147628,0.6,147880,0.7,295492,0.6,393726,2.00 65,1,2024-09-07 09:50:30:859,1041093,1041093,0,0,487676568778,5098064567,1033665,6555,873,381,391901,0 65,2,2024-09-07 09:50:31:696,748762,748762,0,0,35417489,0,3367 65,3,2024-09-07 09:50:31:691,1,593,1,0,782,6665,593,0 66,0,2024-09-07 09:50:31:768,147438,0.5,147074,0.7,294162,0.5,392175,2.00 66,1,2024-09-07 09:50:31:292,1043602,1043602,0,0,489727406350,5096455942,1037102,5778,722,380,391743,0 66,2,2024-09-07 09:50:31:134,755002,754999,3,0,31698837,0,5455 66,3,2024-09-07 09:50:31:090,1,593,2,0,291,5084,593,0 67,0,2024-09-07 09:50:31:419,147332,0.5,147019,0.7,295121,0.5,392918,2.00 67,1,2024-09-07 09:50:30:769,1042645,1042644,0,1,490526703060,5107463612,1035317,6382,945,380,391787,1 67,2,2024-09-07 09:50:30:594,751754,751739,15,0,31359207,0,6205 67,3,2024-09-07 09:50:31:749,1,593,15,0,595,6294,593,0 68,0,2024-09-07 09:50:30:573,148265,0.6,148044,0.8,294837,0.6,394754,2.25 68,1,2024-09-07 09:50:30:574,1038536,1038536,0,0,487084854395,5122842921,1024747,10259,3530,381,391953,0 68,2,2024-09-07 09:50:31:051,744970,744870,100,0,39377491,0,8578 68,3,2024-09-07 09:50:30:729,1,593,16,0,417,7759,593,0 69,0,2024-09-07 09:50:31:759,148567,0.7,149368,0.8,297844,0.6,395615,2.25 69,1,2024-09-07 09:50:31:020,1037468,1037468,0,0,487095377386,5134475613,1023612,11023,2833,383,391994,0 69,2,2024-09-07 09:50:31:737,746974,746945,29,0,41595834,0,6912 69,3,2024-09-07 09:50:30:760,1,593,1,0,698,8592,593,0 70,0,2024-09-07 09:50:31:532,146466,0.8,147009,0.9,295496,0.7,391426,2.50 70,1,2024-09-07 09:50:30:801,1044252,1044252,0,0,490457725280,5089586000,1036341,7105,806,366,391725,0 70,2,2024-09-07 09:50:31:325,752756,752756,0,0,34664400,0,4323 70,3,2024-09-07 09:50:30:745,1,593,1,0,854,6601,593,0 71,0,2024-09-07 09:50:31:356,145880,1.0,145581,1.0,292238,1.4,390488,2.75 71,1,2024-09-07 09:50:31:596,1042041,1042041,0,0,488638629123,5101970149,1029052,11368,1621,368,391738,0 71,2,2024-09-07 09:50:31:078,747605,747605,0,0,34685285,0,4352 71,3,2024-09-07 09:50:31:750,1,593,4,0,644,6742,593,0 72,0,2024-09-07 09:50:31:060,154019,0.6,150536,0.7,293836,0.6,399544,2.00 72,1,2024-09-07 09:50:31:036,1039658,1039658,0,0,487891993358,5120893601,1024361,12782,2515,369,391819,0 72,2,2024-09-07 09:50:31:765,746769,746769,0,0,36439043,0,3983 72,3,2024-09-07 09:50:31:763,1,593,1,0,564,8823,593,0 73,0,2024-09-07 09:50:31:192,145681,0.4,149534,0.6,305614,0.4,397416,2.00 73,1,2024-09-07 09:50:30:777,1041724,1041724,0,0,489001849945,5085488617,1033323,7419,982,367,391858,0 73,2,2024-09-07 09:50:31:739,750090,750089,1,0,37993282,0,5027 73,3,2024-09-07 09:50:30:969,1,593,0,0,1091,8353,593,0 74,0,2024-09-07 09:50:31:322,150930,0.5,154556,0.6,294801,0.4,399453,2.00 74,1,2024-09-07 09:50:30:635,1040785,1040785,0,0,488392084209,5101484861,1028883,9786,2116,381,391762,0 74,2,2024-09-07 09:50:31:000,750139,750139,0,0,33180608,0,4253 74,3,2024-09-07 09:50:31:442,1,593,1,0,522,7405,593,0 75,0,2024-09-07 09:50:31:773,147318,0.6,146260,0.8,293646,0.5,392721,2.25 75,1,2024-09-07 09:50:31:590,1042140,1042140,0,0,488453819563,5092908560,1033520,7752,868,380,391739,0 75,2,2024-09-07 09:50:31:349,747380,747380,0,0,40211942,0,4766 75,3,2024-09-07 09:50:31:067,1,593,1,0,918,8133,593,0 76,0,2024-09-07 09:50:30:593,149146,0.6,148603,0.8,297160,0.6,397238,2.25 76,1,2024-09-07 09:50:30:809,1041895,1041895,0,0,489259149659,5103207822,1034574,6332,989,382,391790,0 76,2,2024-09-07 09:50:31:061,751644,751641,3,0,32522621,0,5265 76,3,2024-09-07 09:50:31:147,1,593,0,0,227,5453,593,0 77,0,2024-09-07 09:50:31:717,148948,0.5,149451,0.7,298802,0.5,397378,2.00 77,1,2024-09-07 09:50:30:829,1041575,1041575,0,0,489944296830,5111979372,1033599,7101,875,381,391869,0 77,2,2024-09-07 09:50:31:281,750142,750142,0,0,31688829,0,3890 77,3,2024-09-07 09:50:31:094,1,593,1,0,401,6802,593,0 78,0,2024-09-07 09:50:31:727,149324,0.4,148798,0.6,298261,0.4,395408,2.00 78,1,2024-09-07 09:50:30:619,1041821,1041821,0,0,488439601125,5096854900,1028982,9922,2917,367,391670,0 78,2,2024-09-07 09:50:31:403,751532,751519,13,0,29654184,0,8313 78,3,2024-09-07 09:50:31:133,1,593,3,0,311,5060,593,0 79,0,2024-09-07 09:50:31:345,142966,0.4,146258,0.6,299689,0.3,390544,2.00 79,1,2024-09-07 09:50:30:579,1045388,1045388,0,0,490983616873,5083565781,1037544,6484,1360,367,391682,0 79,2,2024-09-07 09:50:31:067,751553,751553,0,0,28805605,0,4195 79,3,2024-09-07 09:50:30:757,1,593,5,0,418,7154,593,0 80,0,2024-09-07 09:50:31:125,147879,0.5,151903,0.7,290518,0.5,393666,2.00 80,1,2024-09-07 09:50:31:621,1042220,1042220,0,0,488932525456,5092548698,1035127,6642,451,368,392269,0 80,2,2024-09-07 09:50:31:096,751670,751670,0,0,29311260,0,4433 80,3,2024-09-07 09:50:30:580,1,593,1,0,681,7370,593,0 81,0,2024-09-07 09:50:31:553,148493,0.5,151849,0.7,290022,0.5,393810,2.00 81,1,2024-09-07 09:50:31:657,1040630,1040630,0,0,488459751634,5105109326,1032477,7388,765,382,391885,0 81,2,2024-09-07 09:50:31:131,748718,748655,63,0,32512160,0,5932 81,3,2024-09-07 09:50:31:119,1,593,2,0,719,6974,593,0 82,0,2024-09-07 09:50:31:532,148960,0.5,149098,0.7,298921,0.4,395952,2.00 82,1,2024-09-07 09:50:30:588,1043404,1043400,0,4,489412231979,5088047014,1037832,4745,823,381,391768,4 82,2,2024-09-07 09:50:31:691,751303,751303,0,0,27577412,0,4484 82,3,2024-09-07 09:50:31:753,1,593,2,0,363,5677,593,0 83,0,2024-09-07 09:50:31:524,147959,0.6,147967,0.7,295313,0.6,392238,2.25 83,1,2024-09-07 09:50:30:555,1041821,1041821,0,0,488882811217,5097219758,1034279,6897,645,382,391709,0 83,2,2024-09-07 09:50:30:764,753249,753224,25,0,29773820,0,5612 83,3,2024-09-07 09:50:30:753,1,593,1,0,1260,7157,593,0 84,0,2024-09-07 09:50:31:833,145869,0.8,145997,0.9,292064,0.7,390497,2.25 84,1,2024-09-07 09:50:31:044,1040046,1040046,0,0,488825931372,5112978217,1028000,10375,1671,367,391967,0 84,2,2024-09-07 09:50:30:591,747215,746805,410,0,43175867,0,17037 84,3,2024-09-07 09:50:31:143,1,593,3,0,908,8015,593,0 85,0,2024-09-07 09:50:31:013,142568,0.7,142580,0.8,302747,0.7,390682,2.25 85,1,2024-09-07 09:50:30:560,1037255,1037255,0,0,486595103298,5140272855,1020953,13505,2797,381,392092,0 85,2,2024-09-07 09:50:30:865,747341,747341,0,0,36347220,0,4255 85,3,2024-09-07 09:50:30:685,1,593,13,0,789,6872,593,0 86,0,2024-09-07 09:50:30:898,148966,0.7,153117,0.8,292847,0.7,396013,2.25 86,1,2024-09-07 09:50:30:830,1040036,1040036,0,0,488685137842,5126021551,1026207,11143,2686,366,392169,0 86,2,2024-09-07 09:50:30:856,748815,748814,1,0,38590334,0,5004 86,3,2024-09-07 09:50:30:594,1,593,15,0,308,8349,593,0 87,0,2024-09-07 09:50:31:298,148852,0.8,148419,0.8,296706,0.9,395928,2.25 87,1,2024-09-07 09:50:30:560,1039830,1039830,0,0,488199391361,5116385370,1026453,11580,1797,366,392076,0 87,2,2024-09-07 09:50:31:074,750798,750792,6,0,35186359,0,6323 87,3,2024-09-07 09:50:31:800,1,593,2,0,473,8527,593,0 88,0,2024-09-07 09:50:31:473,146900,0.5,147302,0.6,294360,0.4,392632,2.00 88,1,2024-09-07 09:50:30:581,1038609,1038609,0,0,487218925658,5110812078,1023230,12335,3044,365,392084,0 88,2,2024-09-07 09:50:30:689,747948,747948,0,0,37984141,0,4465 88,3,2024-09-07 09:50:31:267,1,593,1,0,1080,9208,593,0 89,0,2024-09-07 09:50:31:828,153651,0.5,149108,0.7,294172,0.4,400023,1.75 89,1,2024-09-07 09:50:30:565,1038023,1038023,0,0,488463481589,5139569709,1025043,11037,1943,382,391866,0 89,2,2024-09-07 09:50:31:134,748098,748098,0,0,35777822,0,3173 89,3,2024-09-07 09:50:31:794,1,593,11,0,468,10092,593,0 90,0,2024-09-07 09:50:31:612,145106,0.5,149026,0.6,304092,0.4,396603,2.00 90,1,2024-09-07 09:50:30:594,1040491,1040491,0,0,488166753705,5115132155,1030399,9200,892,380,391825,0 90,2,2024-09-07 09:50:31:404,747633,747628,5,0,39323828,0,6370 90,3,2024-09-07 09:50:30:936,1,593,0,0,322,7276,593,0 91,0,2024-09-07 09:50:30:980,150034,0.4,145547,0.6,304562,0.4,399424,1.75 91,1,2024-09-07 09:50:30:567,1037599,1037599,0,0,487934126545,5144162893,1021754,12698,3147,381,392047,0 91,2,2024-09-07 09:50:31:332,750015,750015,0,0,34564099,0,4713 91,3,2024-09-07 09:50:30:597,1,593,1,0,216,5390,593,0 92,0,2024-09-07 09:50:31:531,149188,0.5,152487,0.6,290736,0.5,394433,1.75 92,1,2024-09-07 09:50:30:582,1041415,1041415,0,0,489667299140,5115687199,1033058,7070,1287,381,392136,0 92,2,2024-09-07 09:50:31:350,749586,749586,0,0,30258240,0,3259 92,3,2024-09-07 09:50:31:010,1,593,1,0,167,5129,593,0 93,0,2024-09-07 09:50:30:962,150553,0.4,154287,0.6,294585,0.4,399414,1.75 93,1,2024-09-07 09:50:30:823,1041367,1041367,0,0,489189430806,5107078969,1029837,9602,1928,366,392048,0 93,2,2024-09-07 09:50:30:930,749787,749787,0,0,36050494,0,4913 93,3,2024-09-07 09:50:31:405,1,593,8,0,294,5842,593,0 94,0,2024-09-07 09:50:31:609,148147,0.4,149150,0.5,298458,0.3,396510,1.75 94,1,2024-09-07 09:50:30:580,1042319,1042319,0,0,488595190738,5096562386,1035380,6507,432,381,391850,0 94,2,2024-09-07 09:50:30:761,750035,750007,28,0,30964087,0,6179 94,3,2024-09-07 09:50:31:689,1,593,3,0,576,7442,593,0 95,0,2024-09-07 09:50:31:343,148674,0.3,148804,0.5,297688,0.3,396405,1.75 95,1,2024-09-07 09:50:30:851,1043232,1043232,0,0,489514864379,5088470552,1034803,7682,747,365,391852,0 95,2,2024-09-07 09:50:31:031,748465,748465,0,0,30449331,0,3308 95,3,2024-09-07 09:50:31:722,1,593,5,0,718,9397,593,0 96,0,2024-09-07 09:50:31:038,147881,0.4,148248,0.5,296393,0.3,394267,1.75 96,1,2024-09-07 09:50:31:598,1041599,1041599,0,0,488774914853,5098762370,1034221,6105,1273,384,391964,0 96,2,2024-09-07 09:50:31:312,753039,753039,0,0,31559412,0,4180 96,3,2024-09-07 09:50:31:141,1,593,1,0,411,6932,593,0 97,0,2024-09-07 09:50:31:372,147631,0.3,147371,0.5,295721,0.3,392772,1.75 97,1,2024-09-07 09:50:30:763,1043039,1043039,0,0,490034801144,5086311377,1036120,5883,1036,367,392140,0 97,2,2024-09-07 09:50:30:613,750388,750388,0,0,31136317,0,4046 97,3,2024-09-07 09:50:30:581,1,593,110,0,242,6740,593,0 98,0,2024-09-07 09:50:31:716,147874,0.3,147959,0.5,296877,0.3,394947,1.50 98,1,2024-09-07 09:50:30:616,1042187,1042187,0,0,489343645907,5098061746,1035712,5640,835,381,391997,0 98,2,2024-09-07 09:50:30:780,749071,749071,0,0,29861888,0,4336 98,3,2024-09-07 09:50:30:720,1,593,4,0,840,9031,593,0 99,0,2024-09-07 09:50:31:475,149395,0.3,150222,0.5,298799,0.3,398373,1.75 99,1,2024-09-07 09:50:31:726,1043180,1043180,0,0,488436363279,5083794556,1036818,5420,942,380,392069,0 99,2,2024-09-07 09:50:31:419,749558,749558,0,0,36996236,0,4276 99,3,2024-09-07 09:50:30:585,1,593,183,0,1124,7706,593,0 100,0,2024-09-07 09:50:31:471,147710,0.8,147974,0.9,295710,0.8,394897,2.50 100,1,2024-09-07 09:50:30:558,1037088,1037088,0,0,486306265816,5143168394,1021079,12695,3314,378,391989,0 100,2,2024-09-07 09:50:31:832,748907,748518,389,0,40386128,0,16909 100,3,2024-09-07 09:50:31:742,1,593,3,0,627,10063,593,0 101,0,2024-09-07 09:50:31:720,150246,1.2,146507,1.1,286788,1.3,392157,2.50 101,1,2024-09-07 09:50:30:559,1038686,1038686,0,0,487689696532,5126137024,1024645,11514,2527,368,391847,0 101,2,2024-09-07 09:50:31:766,744114,744114,0,0,40125686,0,4871 101,3,2024-09-07 09:50:30:952,1,593,4,0,1250,8984,593,0 102,0,2024-09-07 09:50:30:973,145174,0.7,149475,0.8,303714,0.7,397106,2.25 102,1,2024-09-07 09:50:31:159,1038552,1038552,0,0,487279014408,5120747495,1024444,11910,2198,369,391984,0 102,2,2024-09-07 09:50:31:740,749358,749304,54,0,34307709,0,6768 102,3,2024-09-07 09:50:31:617,1,593,3,0,466,6888,593,0 103,0,2024-09-07 09:50:31:595,154532,0.6,154515,0.7,291097,0.6,400712,2.00 103,1,2024-09-07 09:50:31:642,1036879,1036879,0,0,486786387794,5143509078,1019983,13299,3597,381,392077,0 103,2,2024-09-07 09:50:30:600,748229,748229,0,0,37177696,0,3766 103,3,2024-09-07 09:50:30:765,1,593,1,0,916,7102,593,0 104,0,2024-09-07 09:50:31:010,148901,0.7,149101,0.9,297595,0.7,397579,2.25 104,1,2024-09-07 09:50:31:609,1039808,1039808,0,0,487129802076,5121809597,1024289,12677,2842,365,392168,0 104,2,2024-09-07 09:50:31:670,748068,748068,0,0,36906702,0,4161 104,3,2024-09-07 09:50:31:415,1,593,1,0,1245,10601,593,0 105,0,2024-09-07 09:50:31:055,145399,1.0,141663,1.1,296773,1.2,390445,2.75 105,1,2024-09-07 09:50:30:559,1041290,1041290,0,0,488222558461,5118056357,1027730,11621,1939,364,392009,0 105,2,2024-09-07 09:50:31:324,747565,747565,0,0,36977636,0,4360 105,3,2024-09-07 09:50:31:304,1,593,2,0,573,9158,593,0 106,0,2024-09-07 09:50:31:073,144548,1.0,148061,1.0,303275,1.2,395786,2.50 106,1,2024-09-07 09:50:31:764,1040219,1040219,0,0,487735209774,5121670138,1025569,12756,1894,368,391914,0 106,2,2024-09-07 09:50:30:771,747886,747886,0,0,34881207,0,3331 106,3,2024-09-07 09:50:30:682,1,593,2,0,1224,8413,593,0 107,0,2024-09-07 09:50:31:113,149188,1.0,149271,0.9,298487,1.1,398101,2.25 107,1,2024-09-07 09:50:30:590,1037060,1037060,0,0,486714706755,5146724200,1019692,15235,2133,381,392234,0 107,2,2024-09-07 09:50:31:289,747506,747505,1,0,38026670,0,5024 107,3,2024-09-07 09:50:31:756,1,593,1,0,733,9055,593,0 108,0,2024-09-07 09:50:31:814,148694,0.4,149177,0.6,297398,0.4,396778,1.75 108,1,2024-09-07 09:50:31:293,1041470,1041470,0,0,488651668023,5098184737,1032781,7695,994,367,391894,0 108,2,2024-09-07 09:50:31:758,749524,749524,0,0,32674504,0,4246 108,3,2024-09-07 09:50:31:330,1,593,1,0,749,10836,593,0 109,0,2024-09-07 09:50:31:783,148626,0.4,147405,0.6,295709,0.3,394919,1.75 109,1,2024-09-07 09:50:30:600,1038635,1038635,0,0,488708752953,5127830088,1029165,8194,1276,382,392132,0 109,2,2024-09-07 09:50:30:927,749094,749094,0,0,33544922,0,3617 109,3,2024-09-07 09:50:31:149,1,593,5,0,630,7296,593,0 110,0,2024-09-07 09:50:31:755,147978,0.4,143966,0.6,301505,0.4,395620,1.75 110,1,2024-09-07 09:50:31:643,1043241,1043241,0,0,489507955630,5083269585,1035204,6111,1926,368,392045,0 110,2,2024-09-07 09:50:31:311,750016,750016,0,0,31015832,0,4067 110,3,2024-09-07 09:50:30:697,1,593,1,0,722,8022,593,0 111,0,2024-09-07 09:50:31:415,149323,0.4,148442,0.5,296924,0.3,396184,1.75 111,1,2024-09-07 09:50:31:008,1044550,1044550,0,0,490011206649,5082987817,1039068,5101,381,380,391690,0 111,2,2024-09-07 09:50:31:117,749489,749489,0,0,31534702,0,4823 111,3,2024-09-07 09:50:30:913,1,593,1,0,379,6522,593,0 112,0,2024-09-07 09:50:30:910,149915,0.3,149305,0.4,299350,0.2,397224,1.50 112,1,2024-09-07 09:50:30:829,1043168,1043168,0,0,489328323947,5082953652,1035971,5972,1225,380,391624,0 112,2,2024-09-07 09:50:31:147,749278,749277,1,0,29836076,0,5036 112,3,2024-09-07 09:50:30:595,1,593,0,0,282,5718,593,0 113,0,2024-09-07 09:50:30:868,148097,0.3,148131,0.5,296497,0.2,394656,1.75 113,1,2024-09-07 09:50:31:690,1045264,1045264,0,0,491245508270,5070042197,1039035,5281,948,365,391664,0 113,2,2024-09-07 09:50:31:302,755532,755532,0,0,28361816,0,3813 113,3,2024-09-07 09:50:30:685,1,593,1,0,510,6435,593,0 114,0,2024-09-07 09:50:30:877,147764,0.3,148729,0.5,296331,0.2,396013,1.75 114,1,2024-09-07 09:50:30:719,1043611,1043611,0,0,489472148894,5083951137,1035685,6179,1747,381,391565,0 114,2,2024-09-07 09:50:30:877,750930,750929,1,0,29364931,0,5069 114,3,2024-09-07 09:50:31:277,1,593,0,0,395,4811,593,0 115,0,2024-09-07 09:50:30:584,149263,0.3,149803,0.4,298223,0.2,396780,1.50 115,1,2024-09-07 09:50:30:581,1043882,1043882,0,0,489584720392,5087836435,1035626,6739,1517,382,391757,0 115,2,2024-09-07 09:50:31:129,750517,750517,0,0,29453757,0,4382 115,3,2024-09-07 09:50:31:008,1,593,1,0,167,3585,593,0 116,0,2024-09-07 09:50:31:708,148972,0.7,148712,0.8,298179,0.6,398311,2.00 116,1,2024-09-07 09:50:30:809,1038423,1038423,0,0,486636140207,5131315702,1025784,9550,3089,380,392089,0 116,2,2024-09-07 09:50:31:753,749418,749418,0,0,36868479,0,4475 116,3,2024-09-07 09:50:30:912,1,593,4,0,448,7736,593,0 117,0,2024-09-07 09:50:30:959,149134,0.7,148448,0.8,297417,0.7,397285,2.00 117,1,2024-09-07 09:50:31:592,1039857,1039857,0,0,487465023610,5105872308,1028243,10093,1521,369,392033,0 117,2,2024-09-07 09:50:31:118,753347,753347,0,0,33394527,0,4303 117,3,2024-09-07 09:50:31:059,1,593,2,0,490,7631,593,0 118,0,2024-09-07 09:50:31:798,142418,0.6,146261,0.7,298603,0.5,391114,2.00 118,1,2024-09-07 09:50:30:606,1038847,1038847,0,0,487139570054,5123986946,1023095,12131,3621,366,392054,0 118,2,2024-09-07 09:50:31:590,747612,747612,0,0,35476577,0,2842 118,3,2024-09-07 09:50:31:777,1,593,1,0,289,7051,593,0 119,0,2024-09-07 09:50:31:339,149133,0.8,149934,0.9,298964,0.9,398405,2.25 119,1,2024-09-07 09:50:30:562,1039642,1039642,0,0,487911272594,5119768654,1024951,12179,2512,367,391857,0 119,2,2024-09-07 09:50:31:268,749710,749710,0,0,33421013,0,4309 119,3,2024-09-07 09:50:31:325,1,593,1,0,1358,11002,593,0 120,0,2024-09-07 09:50:31:549,148710,0.7,148579,0.8,298416,0.7,397645,2.25 120,1,2024-09-07 09:50:30:859,1040849,1040849,0,0,487560649782,5116690268,1029186,10522,1141,367,392144,0 120,2,2024-09-07 09:50:30:777,749550,749547,3,0,40126425,0,5363 120,3,2024-09-07 09:50:31:292,1,593,2,0,279,7236,593,0 121,0,2024-09-07 09:50:31:695,149773,1.1,149168,1.0,298719,1.4,398362,2.25 121,1,2024-09-07 09:50:31:657,1040004,1040004,0,0,487409350339,5103566458,1028722,9730,1552,366,391840,0 121,2,2024-09-07 09:50:31:127,749233,749233,0,0,36617721,0,4157 121,3,2024-09-07 09:50:30:731,1,593,1,0,387,7934,593,0 122,0,2024-09-07 09:50:31:874,147016,0.8,143048,0.9,299686,0.9,394325,2.00 122,1,2024-09-07 09:50:30:862,1039176,1039176,0,0,487707229165,5122777688,1024182,12582,2412,365,392130,0 122,2,2024-09-07 09:50:31:336,749940,749865,75,0,40254093,0,5989 122,3,2024-09-07 09:50:30:598,1,593,19,0,512,9746,593,0 123,0,2024-09-07 09:50:30:960,149173,0.9,145012,0.9,303801,1.0,398163,2.25 123,1,2024-09-07 09:50:30:697,1039092,1039092,0,0,487522391870,5129877552,1022282,14140,2670,369,392039,0 123,2,2024-09-07 09:50:31:026,747295,747294,1,0,34256229,0,5215 123,3,2024-09-07 09:50:31:133,1,593,2,0,478,6854,593,0 124,0,2024-09-07 09:50:30:937,152717,0.3,152873,0.5,288086,0.3,396913,1.75 124,1,2024-09-07 09:50:31:030,1043550,1043550,0,0,488790527105,5082719510,1035818,6557,1175,365,392178,0 124,2,2024-09-07 09:50:31:014,751807,751754,53,0,30875876,0,6487 124,3,2024-09-07 09:50:30:758,1,593,1,0,490,5917,593,0 125,0,2024-09-07 09:50:31:428,148476,0.4,148518,0.5,297907,0.3,396380,1.75 125,1,2024-09-07 09:50:30:867,1040231,1040231,0,0,488043365962,5095973414,1032164,6916,1151,382,391968,0 125,2,2024-09-07 09:50:31:124,751483,751483,0,0,31013115,0,4534 125,3,2024-09-07 09:50:31:131,1,593,2,0,709,6814,593,0 126,0,2024-09-07 09:50:31:493,148164,0.4,152381,0.6,291482,0.4,394927,1.75 126,1,2024-09-07 09:50:30:560,1043883,1043883,0,0,490075270737,5074551059,1038374,5063,446,365,391987,0 126,2,2024-09-07 09:50:30:616,754056,754056,0,0,32310299,0,4539 126,3,2024-09-07 09:50:30:911,1,593,5,0,268,6587,593,0 127,0,2024-09-07 09:50:31:626,147921,0.4,148110,0.5,295493,0.3,393213,1.75 127,1,2024-09-07 09:50:30:582,1041924,1041924,0,0,489143089926,5091359414,1031256,9032,1636,364,392187,0 127,2,2024-09-07 09:50:30:651,749224,749220,4,0,30380153,0,5305 127,3,2024-09-07 09:50:31:268,1,593,6,0,968,5769,593,0 128,0,2024-09-07 09:50:31:523,148738,0.3,148870,0.4,297329,0.2,395497,1.50 128,1,2024-09-07 09:50:31:607,1042137,1042137,0,0,489157376919,5088872700,1033817,7356,964,367,392423,0 128,2,2024-09-07 09:50:31:390,750193,750193,0,0,28124774,0,3171 128,3,2024-09-07 09:50:30:767,1,593,1,0,1082,9511,593,0 129,0,2024-09-07 09:50:31:044,150567,0.3,149754,0.5,300318,0.3,398859,1.50 129,1,2024-09-07 09:50:30:581,1038999,1038999,0,0,488443793456,5120768438,1028540,8437,2022,379,391962,0 129,2,2024-09-07 09:50:30:695,751535,751531,4,0,30740744,0,5335 129,3,2024-09-07 09:50:30:688,1,593,1,0,506,8255,593,0 130,0,2024-09-07 09:50:31:783,148978,0.4,148406,0.5,297487,0.4,396207,1.75 130,1,2024-09-07 09:50:30:592,1042958,1042958,0,0,489433545285,5084593490,1037527,5036,395,381,391825,0 130,2,2024-09-07 09:50:31:146,753210,753210,0,0,31081097,0,4067 130,3,2024-09-07 09:50:31:315,1,593,22,0,960,8226,593,0 131,0,2024-09-07 09:50:31:944,147287,0.3,147675,0.5,296217,0.3,393882,1.75 131,1,2024-09-07 09:50:31:821,1042453,1042453,0,0,489575203818,5104323253,1035231,6026,1196,381,391865,0 131,2,2024-09-07 09:50:30:572,749223,749223,0,0,28637717,0,3979 131,3,2024-09-07 09:50:31:695,1,593,20,0,392,7680,593,0 132,0,2024-09-07 09:50:31:444,149459,0.5,150380,0.6,299546,0.5,398125,2.00 132,1,2024-09-07 09:50:30:581,1037915,1037915,0,0,486329038837,5122072608,1022613,12600,2702,381,392532,0 132,2,2024-09-07 09:50:30:705,748651,748634,17,0,37301453,0,6451 132,3,2024-09-07 09:50:31:695,1,593,2,0,1298,10763,593,0 133,0,2024-09-07 09:50:31:537,145858,0.4,149216,0.6,305915,0.4,398163,2.00 133,1,2024-09-07 09:50:30:595,1038650,1038650,0,0,488034748050,5142976963,1024589,12299,1762,383,391914,0 133,2,2024-09-07 09:50:31:096,750694,750644,50,0,38407101,0,6861 133,3,2024-09-07 09:50:31:300,1,593,1,0,528,7189,593,0 134,0,2024-09-07 09:50:30:940,150179,0.5,150304,0.7,300804,0.5,399814,2.00 134,1,2024-09-07 09:50:30:631,1039734,1039734,0,0,487698853668,5117387891,1025967,11281,2486,366,391781,0 134,2,2024-09-07 09:50:31:759,750886,750862,24,0,35570085,0,6207 134,3,2024-09-07 09:50:30:762,1,593,4,0,739,7341,593,0 135,0,2024-09-07 09:50:31:157,142448,0.8,142447,0.9,302472,1.0,390130,2.25 135,1,2024-09-07 09:50:31:600,1039093,1039093,0,0,488153962607,5133904037,1026080,11373,1640,380,391805,0 135,2,2024-09-07 09:50:30:708,749213,749213,0,0,36274847,0,4503 135,3,2024-09-07 09:50:31:002,1,593,21,0,900,5886,593,0 136,0,2024-09-07 09:50:31:622,150038,0.6,150582,0.8,298918,0.6,398873,2.25 136,1,2024-09-07 09:50:31:441,1040488,1040488,0,0,487948649321,5117118525,1028589,10511,1388,381,392135,0 136,2,2024-09-07 09:50:31:140,750690,750675,15,0,35834035,0,6007 136,3,2024-09-07 09:50:31:135,1,593,0,0,637,6925,593,0 137,0,2024-09-07 09:50:30:995,154169,0.6,150241,0.7,294376,0.6,399856,2.00 137,1,2024-09-07 09:50:30:603,1039366,1039366,0,0,487591767202,5117705839,1022835,13143,3388,366,391898,0 137,2,2024-09-07 09:50:31:727,749031,749031,0,0,36994387,0,3185 137,3,2024-09-07 09:50:30:777,1,593,1,0,484,8208,593,0 138,0,2024-09-07 09:50:31:756,148194,0.7,148464,0.8,297342,0.8,395232,2.00 138,1,2024-09-07 09:50:31:687,1039707,1039707,0,0,487719454568,5119418172,1024938,12499,2270,368,391954,0 138,2,2024-09-07 09:50:30:596,748726,748726,0,0,35286433,0,4988 138,3,2024-09-07 09:50:30:614,1,593,3,0,1200,9388,593,0 139,0,2024-09-07 09:50:31:376,146711,1.1,147248,1.0,294485,1.5,393215,2.25 139,1,2024-09-07 09:50:30:587,1035591,1035591,0,0,485284755634,5148868200,1017210,14557,3824,380,392109,0 139,2,2024-09-07 09:50:30:713,745959,745929,30,0,40597347,0,5997 139,3,2024-09-07 09:50:31:677,1,593,0,0,432,7109,593,0 140,0,2024-09-07 09:50:31:596,148682,0.3,147849,0.5,296627,0.2,396017,1.75 140,1,2024-09-07 09:50:31:546,1045884,1045884,0,0,490770547449,5060076184,1040915,4423,546,364,391606,0 140,2,2024-09-07 09:50:30:686,750531,750530,1,0,28758153,0,5036 140,3,2024-09-07 09:50:30:779,1,593,10,0,575,5989,593,0 141,0,2024-09-07 09:50:31:698,148840,0.3,152973,0.5,292321,0.3,395953,1.75 141,1,2024-09-07 09:50:30:859,1043997,1043997,0,0,490232377681,5093903141,1035800,7005,1192,379,391614,0 141,2,2024-09-07 09:50:31:691,749868,749857,11,0,30853300,0,5369 141,3,2024-09-07 09:50:31:042,1,593,2,0,391,6947,593,0 142,0,2024-09-07 09:50:31:311,149985,0.3,149142,0.5,298607,0.2,398073,1.50 142,1,2024-09-07 09:50:30:593,1042088,1042088,0,0,488938835155,5097578849,1035383,6199,506,382,392102,0 142,2,2024-09-07 09:50:31:301,748564,748532,32,0,31383655,0,6028 142,3,2024-09-07 09:50:31:759,1,593,1,0,484,6346,593,0 143,0,2024-09-07 09:50:31:382,147842,0.4,147918,0.5,296867,0.4,394603,1.75 143,1,2024-09-07 09:50:30:559,1044570,1044570,0,0,489622408793,5080663922,1038112,5890,568,367,391900,0 143,2,2024-09-07 09:50:30:777,753785,753785,0,0,31306803,0,3123 143,3,2024-09-07 09:50:31:148,1,593,1,0,462,7248,593,0 144,0,2024-09-07 09:50:31:631,143141,0.6,147331,0.8,299681,0.6,392737,2.00 144,1,2024-09-07 09:50:30:571,1038618,1038618,0,0,487589945548,5118479537,1028030,8596,1992,381,391733,0 144,2,2024-09-07 09:50:31:776,750172,750172,0,0,30413572,0,4443 144,3,2024-09-07 09:50:31:748,1,593,1,0,249,5838,593,0 145,0,2024-09-07 09:50:31:389,143733,0.6,143684,0.8,305080,0.5,392771,2.25 145,1,2024-09-07 09:50:30:576,1038289,1038289,0,0,487415583703,5130746456,1024272,11346,2671,382,391781,0 145,2,2024-09-07 09:50:31:447,747050,746968,82,0,36152043,0,7814 145,3,2024-09-07 09:50:30:896,1,593,2,0,622,7915,593,0 146,0,2024-09-07 09:50:31:620,149201,0.6,148621,0.7,298594,0.5,396537,2.00 146,1,2024-09-07 09:50:31:598,1039552,1039552,0,0,487755147476,5135406793,1022053,13018,4481,367,391770,0 146,2,2024-09-07 09:50:31:789,748621,748615,6,0,34727560,0,5151 146,3,2024-09-07 09:50:31:279,1,593,0,0,1520,9764,593,0 147,0,2024-09-07 09:50:31:705,149040,0.6,148775,0.7,297285,0.5,396532,2.25 147,1,2024-09-07 09:50:31:375,1043268,1043268,0,0,489835378798,5091481055,1034781,7520,967,367,391791,0 147,2,2024-09-07 09:50:31:025,751648,751648,0,0,30862755,0,2968 147,3,2024-09-07 09:50:30:916,1,593,1,0,1626,9577,593,0 0,0,2024-09-07 09:50:41:710,145498,0.6,145432,0.7,308798,0.6,398595,2.00 0,1,2024-09-07 09:50:40:804,1041600,1041600,0,0,489117695664,5121836609,1033065,7589,946,368,391896,0 0,2,2024-09-07 09:50:41:079,753101,753101,0,0,30784220,0,4480 0,3,2024-09-07 09:50:40:986,1,594,6,0,431,8660,594,0 1,0,2024-09-07 09:50:41:752,149797,0.8,148747,0.9,298956,1.0,399309,2.00 1,1,2024-09-07 09:50:40:575,1041265,1041265,0,0,488415881942,5117069263,1031830,7945,1490,370,391859,0 1,2,2024-09-07 09:50:40:653,751893,751893,0,0,30255053,0,3380 1,3,2024-09-07 09:50:41:302,1,594,1,0,269,7406,594,0 2,0,2024-09-07 09:50:41:567,147804,0.6,147643,0.7,294929,0.6,393973,2.00 2,1,2024-09-07 09:50:40:862,1044395,1044395,0,0,491224515992,5108471810,1038222,5223,950,379,391745,0 2,2,2024-09-07 09:50:41:266,750624,750624,0,0,28898233,0,3594 2,3,2024-09-07 09:50:40:693,1,594,1,0,357,5290,594,0 3,0,2024-09-07 09:50:41:744,149811,0.4,149766,0.6,298980,0.4,398473,2.00 3,1,2024-09-07 09:50:41:618,1042805,1042805,0,0,490354700385,5100736689,1035221,6880,704,379,391716,0 3,2,2024-09-07 09:50:41:142,752035,752012,23,0,29973884,0,5851 3,3,2024-09-07 09:50:41:752,1,594,1,0,484,4587,594,0 4,0,2024-09-07 09:50:41:762,144757,0.4,148681,0.5,303179,0.3,396950,1.75 4,1,2024-09-07 09:50:40:591,1038667,1038667,0,0,486657574319,5152710422,1020865,14048,3754,370,391992,0 4,2,2024-09-07 09:50:41:026,748669,748669,0,0,36588664,0,4534 4,3,2024-09-07 09:50:41:027,1,594,2,0,448,7302,594,0 5,0,2024-09-07 09:50:41:420,148977,0.4,149021,0.5,298218,0.3,396469,1.75 5,1,2024-09-07 09:50:40:773,1039325,1039325,0,0,488691219788,5160818285,1023006,12195,4124,367,392005,0 5,2,2024-09-07 09:50:41:857,747982,747982,0,0,35393130,0,3582 5,3,2024-09-07 09:50:41:733,1,594,2,0,457,8182,594,0 6,0,2024-09-07 09:50:40:915,148496,0.5,148222,0.6,296432,0.4,395344,2.00 6,1,2024-09-07 09:50:40:750,1042344,1042344,0,0,489275897735,5111335077,1031320,9451,1573,379,391702,0 6,2,2024-09-07 09:50:41:120,753232,753214,18,0,34463068,0,5535 6,3,2024-09-07 09:50:41:274,1,594,1,0,710,7265,594,0 7,0,2024-09-07 09:50:41:531,147150,0.5,148147,0.7,294303,0.5,392828,2.00 7,1,2024-09-07 09:50:40:855,1039918,1039918,0,0,488597737957,5146363371,1023595,12557,3766,382,391747,0 7,2,2024-09-07 09:50:40:769,750172,750172,0,0,33076990,0,4791 7,3,2024-09-07 09:50:40:861,1,594,0,0,552,6992,594,0 8,0,2024-09-07 09:50:41:327,148920,0.4,148571,0.5,297532,0.3,396575,1.75 8,1,2024-09-07 09:50:41:020,1039333,1039333,0,0,487545713940,5130497239,1022617,13129,3587,366,392853,0 8,2,2024-09-07 09:50:40:798,745369,745367,2,0,39423019,0,5112 8,3,2024-09-07 09:50:40:585,1,594,1,0,772,9558,594,0 9,0,2024-09-07 09:50:41:103,149303,0.4,145313,0.5,304065,0.3,398570,1.75 9,1,2024-09-07 09:50:40:564,1039304,1039304,0,0,487711217125,5143478844,1022623,13456,3225,369,392001,0 9,2,2024-09-07 09:50:41:083,749114,749113,1,0,37249256,0,5281 9,3,2024-09-07 09:50:41:751,1,594,1,0,1273,10215,594,0 10,0,2024-09-07 09:50:41:601,148308,0.3,147697,0.5,296641,0.3,395243,1.75 10,1,2024-09-07 09:50:40:583,1041080,1041080,0,0,488572130878,5128765170,1025363,12654,3063,381,391981,0 10,2,2024-09-07 09:50:40:764,753629,753629,0,0,39902445,0,4713 10,3,2024-09-07 09:50:40:871,1,594,1,0,669,6787,594,0 11,0,2024-09-07 09:50:41:012,147526,0.5,143076,0.6,299345,0.4,394569,1.75 11,1,2024-09-07 09:50:40:583,1042553,1042553,0,0,489277247886,5135380040,1026731,11519,4303,383,391766,0 11,2,2024-09-07 09:50:41:126,748597,748597,0,0,35326175,0,4698 11,3,2024-09-07 09:50:41:298,1,594,1,0,843,7784,594,0 12,0,2024-09-07 09:50:40:937,150388,0.4,150370,0.6,300241,0.4,399023,1.75 12,1,2024-09-07 09:50:40:933,1042342,1042342,0,0,488717714969,5098332751,1033970,7365,1007,370,391960,0 12,2,2024-09-07 09:50:41:545,748908,748908,0,0,34073752,0,4390 12,3,2024-09-07 09:50:41:059,1,594,2,0,386,7532,594,0 13,0,2024-09-07 09:50:41:335,150522,0.4,150700,0.5,300424,0.4,400202,1.75 13,1,2024-09-07 09:50:41:524,1039771,1039771,0,0,489140902062,5142703945,1028887,8494,2390,382,391803,0 13,2,2024-09-07 09:50:40:598,753245,753245,0,0,30504904,0,3287 13,3,2024-09-07 09:50:41:778,1,594,1,0,522,7916,594,0 14,0,2024-09-07 09:50:40:569,149822,0.4,150928,0.6,299504,0.3,398732,1.75 14,1,2024-09-07 09:50:41:561,1047158,1047158,0,0,491490152105,5087446722,1038703,7326,1129,364,391673,0 14,2,2024-09-07 09:50:40:768,753250,753220,30,0,31952494,0,6104 14,3,2024-09-07 09:50:41:128,1,594,5,0,1168,6551,594,0 15,0,2024-09-07 09:50:41:554,147326,0.4,146475,0.6,293961,0.4,392078,2.00 15,1,2024-09-07 09:50:41:608,1044119,1044119,0,0,490109532456,5105609051,1035049,7157,1913,381,391619,0 15,2,2024-09-07 09:50:41:005,751390,751390,0,0,27587024,0,3622 15,3,2024-09-07 09:50:41:405,1,594,1,0,1126,7877,594,0 16,0,2024-09-07 09:50:40:939,149598,0.6,150293,0.8,300052,0.7,399211,2.00 16,1,2024-09-07 09:50:40:590,1043951,1043951,0,0,489444194656,5118224226,1034537,7970,1444,370,392194,0 16,2,2024-09-07 09:50:41:436,749833,749833,0,0,31357399,0,4719 16,3,2024-09-07 09:50:41:141,1,594,20,0,358,7559,594,0 17,0,2024-09-07 09:50:41:882,154168,0.6,150272,0.8,294399,0.6,400320,2.00 17,1,2024-09-07 09:50:40:588,1041694,1041694,0,0,488463395850,5125048247,1031410,8513,1771,368,392075,0 17,2,2024-09-07 09:50:41:677,754427,754426,1,0,32672234,0,5050 17,3,2024-09-07 09:50:40:582,1,594,14,0,518,8747,594,0 18,0,2024-09-07 09:50:40:939,147791,0.6,148748,0.8,295991,0.6,395762,2.25 18,1,2024-09-07 09:50:41:638,1046168,1046168,0,0,490251979136,5085817554,1039107,5804,1257,367,391725,0 18,2,2024-09-07 09:50:41:757,753957,753957,0,0,28991497,0,3541 18,3,2024-09-07 09:50:40:904,1,594,1,0,1059,5541,594,0 19,0,2024-09-07 09:50:41:537,147833,0.6,148022,0.8,294835,0.6,392320,2.00 19,1,2024-09-07 09:50:40:571,1045748,1045748,0,0,490814443757,5088450836,1037950,6665,1133,366,391777,0 19,2,2024-09-07 09:50:41:751,754543,754543,0,0,27510375,0,3988 19,3,2024-09-07 09:50:41:130,1,594,1,0,524,4593,594,0 20,0,2024-09-07 09:50:41:387,148531,0.6,148389,0.7,296550,0.6,396330,2.00 20,1,2024-09-07 09:50:40:570,1041610,1041610,0,0,488913043284,5123898292,1031037,9067,1506,369,391922,0 20,2,2024-09-07 09:50:40:928,750568,750568,0,0,33975355,0,4321 20,3,2024-09-07 09:50:40:588,1,594,1,0,468,9669,594,0 21,0,2024-09-07 09:50:41:133,148807,0.5,148988,0.6,297695,0.4,395749,2.00 21,1,2024-09-07 09:50:41:536,1039869,1039869,0,0,487952092743,5152917331,1023005,13029,3835,368,392016,0 21,2,2024-09-07 09:50:41:067,745426,744949,477,0,44670231,0,17074 21,3,2024-09-07 09:50:41:413,1,594,21,0,713,8636,594,0 22,0,2024-09-07 09:50:41:718,149114,0.5,149760,0.6,298733,0.4,396399,2.00 22,1,2024-09-07 09:50:41:023,1040860,1040860,0,0,486979080641,5128339696,1023046,14274,3540,382,391822,0 22,2,2024-09-07 09:50:40:760,749001,748975,26,0,32429651,0,6328 22,3,2024-09-07 09:50:41:069,1,594,1,0,228,4993,594,0 23,0,2024-09-07 09:50:41:376,147845,0.5,147615,0.7,295234,0.5,393552,2.00 23,1,2024-09-07 09:50:41:006,1040841,1040841,0,0,489205613604,5157370120,1021369,12894,6578,365,391690,0 23,2,2024-09-07 09:50:41:092,754788,754788,0,0,31216861,0,3773 23,3,2024-09-07 09:50:41:757,1,594,2,0,855,8809,594,0 24,0,2024-09-07 09:50:40:824,148702,0.4,148092,0.6,297800,0.4,395557,1.75 24,1,2024-09-07 09:50:40:590,1041076,1041076,0,0,488214506091,5112518867,1031018,8349,1709,367,392269,0 24,2,2024-09-07 09:50:41:076,749652,749649,3,0,37771078,0,6294 24,3,2024-09-07 09:50:41:685,1,594,1,0,468,7646,594,0 25,0,2024-09-07 09:50:41:349,152950,0.5,148838,0.6,292392,0.4,398019,2.00 25,1,2024-09-07 09:50:40:566,1039414,1039414,0,0,487763855683,5156551833,1020657,15047,3710,369,391928,0 25,2,2024-09-07 09:50:41:606,747508,747508,0,0,38305466,0,3978 25,3,2024-09-07 09:50:41:006,1,594,6,0,532,6503,594,0 26,0,2024-09-07 09:50:41:724,148642,0.4,145296,0.6,305182,0.4,397053,1.75 26,1,2024-09-07 09:50:41:544,1043035,1043035,0,0,489005013695,5122054206,1028699,11803,2533,380,391748,0 26,2,2024-09-07 09:50:40:861,750339,750339,0,0,41109150,0,4689 26,3,2024-09-07 09:50:41:711,1,594,1,0,796,7554,594,0 27,0,2024-09-07 09:50:41:733,148955,0.4,149607,0.6,297449,0.4,396448,2.25 27,1,2024-09-07 09:50:41:676,1043467,1043467,0,0,490135382857,5110048534,1033071,8787,1609,381,391626,0 27,2,2024-09-07 09:50:40:869,750092,750027,65,0,35860583,0,5699 27,3,2024-09-07 09:50:41:015,1,594,1,0,564,5196,594,0 28,0,2024-09-07 09:50:41:407,147552,0.4,147564,0.6,295367,0.3,393994,1.75 28,1,2024-09-07 09:50:40:820,1044362,1044362,0,0,490859791325,5118314476,1035426,7045,1891,382,391904,0 28,2,2024-09-07 09:50:41:764,750035,750035,0,0,30777921,0,2915 28,3,2024-09-07 09:50:41:784,1,594,12,0,502,5924,594,0 29,0,2024-09-07 09:50:41:359,153962,0.4,149843,0.6,294113,0.4,400715,1.75 29,1,2024-09-07 09:50:41:563,1047521,1047521,0,0,490948416552,5078897862,1041166,5580,775,367,391809,0 29,2,2024-09-07 09:50:40:862,750248,750248,0,0,29988094,0,4986 29,3,2024-09-07 09:50:40:963,1,594,2,0,459,6490,594,0 30,0,2024-09-07 09:50:41:456,148857,0.5,145025,0.7,303554,0.4,396452,2.00 30,1,2024-09-07 09:50:40:573,1046600,1046600,0,0,491415406569,5099082391,1039032,6658,910,380,391672,0 30,2,2024-09-07 09:50:41:274,753176,753176,0,0,28638691,0,4192 30,3,2024-09-07 09:50:40:580,1,594,1,0,519,5591,594,0 31,0,2024-09-07 09:50:41:758,149495,0.4,150289,0.6,299461,0.4,399945,2.00 31,1,2024-09-07 09:50:40:564,1049687,1049687,0,0,492141237482,5055222125,1043232,5381,1074,356,391712,0 31,2,2024-09-07 09:50:41:274,751218,751218,0,0,32164770,0,4470 31,3,2024-09-07 09:50:41:706,1,594,2,0,239,5167,594,0 32,0,2024-09-07 09:50:41:432,148022,0.3,149007,0.5,296534,0.3,395421,1.75 32,1,2024-09-07 09:50:40:804,1045732,1045732,0,0,490472619395,5097820340,1039554,5460,718,381,391646,0 32,2,2024-09-07 09:50:40:935,752296,752296,0,0,28277707,0,3922 32,3,2024-09-07 09:50:41:016,1,594,14,0,304,4888,594,0 33,0,2024-09-07 09:50:41:539,150388,0.3,150077,0.4,300291,0.2,399500,1.50 33,1,2024-09-07 09:50:40:595,1045932,1045932,0,0,491483352050,5092227092,1037489,7170,1273,368,391730,0 33,2,2024-09-07 09:50:40:760,752074,752039,35,0,31380294,0,7012 33,3,2024-09-07 09:50:40:903,1,594,1,0,329,5249,594,0 34,0,2024-09-07 09:50:40:933,149244,0.3,153280,0.5,293358,0.2,396219,1.75 34,1,2024-09-07 09:50:41:077,1048167,1048167,0,0,491625461087,5058375116,1045206,2851,110,367,391637,0 34,2,2024-09-07 09:50:40:766,752502,752502,0,0,29964809,0,4562 34,3,2024-09-07 09:50:41:699,1,594,1,0,541,5497,594,0 35,0,2024-09-07 09:50:40:871,148087,0.3,148992,0.5,298879,0.2,397002,1.75 35,1,2024-09-07 09:50:41:067,1044249,1044249,0,0,490300677896,5090162758,1036141,6585,1523,382,391769,0 35,2,2024-09-07 09:50:41:581,750863,750863,0,0,32740379,0,4055 35,3,2024-09-07 09:50:40:906,1,594,1,0,466,5676,594,0 36,0,2024-09-07 09:50:41:522,148774,0.5,148772,0.7,297042,0.4,396201,2.00 36,1,2024-09-07 09:50:40:597,1042776,1042776,0,0,489707162969,5115620884,1029201,11335,2240,366,391759,0 36,2,2024-09-07 09:50:41:752,753341,753341,0,0,34072803,0,3875 36,3,2024-09-07 09:50:40:869,1,594,4,0,556,8225,594,0 37,0,2024-09-07 09:50:41:380,147099,0.5,147211,0.7,294511,0.5,393141,2.25 37,1,2024-09-07 09:50:40:585,1042765,1042758,0,7,490129786843,5119293131,1030114,9731,2913,365,391770,0 37,2,2024-09-07 09:50:41:147,748181,748166,15,0,34069000,0,5815 37,3,2024-09-07 09:50:41:769,1,594,1,0,888,7966,594,0 38,0,2024-09-07 09:50:41:435,147600,0.5,143123,0.7,299273,0.4,392470,2.00 38,1,2024-09-07 09:50:41:606,1042472,1042472,0,0,489882050820,5126047775,1027147,12326,2999,368,391821,0 38,2,2024-09-07 09:50:40:760,749706,749659,47,0,34266755,0,6710 38,3,2024-09-07 09:50:40:997,1,594,1,0,689,7393,594,0 39,0,2024-09-07 09:50:41:762,152707,0.5,149324,0.7,291167,0.5,397391,2.00 39,1,2024-09-07 09:50:40:719,1042537,1042537,0,0,489369263680,5132807993,1025093,13729,3715,365,391865,0 39,2,2024-09-07 09:50:41:420,750722,750722,0,0,32316464,0,3391 39,3,2024-09-07 09:50:40:713,1,594,1,0,525,6657,594,0 40,0,2024-09-07 09:50:41:525,147020,0.8,147675,1.0,294714,1.0,393533,2.75 40,1,2024-09-07 09:50:40:581,1043103,1043103,0,0,488195708546,5117510895,1027498,12537,3068,368,391668,0 40,2,2024-09-07 09:50:41:303,751502,751495,7,0,38951887,0,5347 40,3,2024-09-07 09:50:41:147,1,594,2,0,1028,8850,594,0 41,0,2024-09-07 09:50:41:034,146724,1.4,150079,1.2,286384,2.0,389615,3.25 41,1,2024-09-07 09:50:40:770,1042142,1042142,0,0,488741768291,5116242439,1028374,11609,2159,369,391878,0 41,2,2024-09-07 09:50:40:761,746563,746562,1,0,37099735,0,5408 41,3,2024-09-07 09:50:41:676,1,594,9,0,366,6405,594,0 42,0,2024-09-07 09:50:41:486,148305,1.0,147928,1.1,296056,1.2,392878,2.75 42,1,2024-09-07 09:50:41:439,1039804,1039804,0,0,487839139742,5128904321,1023314,13558,2932,380,391675,0 42,2,2024-09-07 09:50:41:132,747988,747987,1,0,37357801,0,5513 42,3,2024-09-07 09:50:41:013,1,594,2,0,892,5618,594,0 43,0,2024-09-07 09:50:40:916,148263,0.7,144362,0.9,302164,0.7,395140,2.25 43,1,2024-09-07 09:50:40:581,1043553,1043553,0,0,489405668790,5116837813,1028814,12167,2572,366,391696,0 43,2,2024-09-07 09:50:41:737,751581,751581,0,0,35367930,0,4723 43,3,2024-09-07 09:50:41:749,1,594,15,0,571,8693,594,0 44,0,2024-09-07 09:50:40:869,150241,0.4,150153,0.6,300554,0.3,399199,1.75 44,1,2024-09-07 09:50:40:567,1046346,1046346,0,0,490418200726,5071198069,1036980,7425,1941,356,391809,0 44,2,2024-09-07 09:50:41:270,750962,750962,0,0,28728190,0,4344 44,3,2024-09-07 09:50:41:093,1,594,14,0,1097,7405,594,0 45,0,2024-09-07 09:50:41:775,145614,0.5,142290,0.7,298635,0.5,392275,2.00 45,1,2024-09-07 09:50:41:022,1045133,1045133,0,0,491208612880,5105096766,1036882,7348,903,382,391917,0 45,2,2024-09-07 09:50:41:290,750757,750757,0,0,29049938,0,3596 45,3,2024-09-07 09:50:40:945,1,594,1,0,531,5733,594,0 46,0,2024-09-07 09:50:40:960,148974,0.6,148517,0.8,298020,0.6,395783,2.25 46,1,2024-09-07 09:50:40:581,1047218,1047218,0,0,491884675565,5085671858,1039726,6504,988,366,391709,0 46,2,2024-09-07 09:50:40:593,751765,751765,0,0,29747512,0,4443 46,3,2024-09-07 09:50:41:131,1,594,0,0,908,7172,594,0 47,0,2024-09-07 09:50:41:102,150006,0.4,149846,0.6,300826,0.4,398683,2.00 47,1,2024-09-07 09:50:40:582,1047881,1047881,0,0,491681520332,5080221151,1041783,5236,862,365,391641,0 47,2,2024-09-07 09:50:40:907,755258,755258,0,0,28818736,0,4477 47,3,2024-09-07 09:50:41:120,1,594,0,0,600,6581,594,0 48,0,2024-09-07 09:50:41:500,149475,0.3,149592,0.4,298826,0.2,397656,1.50 48,1,2024-09-07 09:50:41:022,1045539,1045539,0,0,490138966996,5090771448,1039441,5520,578,381,391710,0 48,2,2024-09-07 09:50:40:709,751890,751890,0,0,27279278,0,3524 48,3,2024-09-07 09:50:40:761,1,594,1,0,339,5155,594,0 49,0,2024-09-07 09:50:41:713,152268,0.3,149251,0.5,290412,0.3,396441,1.75 49,1,2024-09-07 09:50:41:021,1044280,1044280,0,0,489996811484,5098252164,1037010,5575,1695,382,391809,0 49,2,2024-09-07 09:50:41:800,753070,753070,0,0,29534479,0,4426 49,3,2024-09-07 09:50:41:417,1,594,1,0,992,7150,594,0 50,0,2024-09-07 09:50:41:518,149291,0.3,147525,0.5,297400,0.2,396293,1.75 50,1,2024-09-07 09:50:41:013,1047577,1047577,0,0,492544700254,5092114324,1041053,5855,669,368,391691,0 50,2,2024-09-07 09:50:41:067,750095,750095,0,0,27369309,0,4490 50,3,2024-09-07 09:50:41:293,1,594,2,0,617,6148,594,0 51,0,2024-09-07 09:50:41:684,152805,0.3,149638,0.5,291218,0.2,397489,1.75 51,1,2024-09-07 09:50:41:680,1048413,1048413,0,0,492444429857,5078672019,1042757,4575,1081,365,391706,0 51,2,2024-09-07 09:50:41:315,751478,751478,0,0,26866239,0,3337 51,3,2024-09-07 09:50:41:027,1,594,1,0,678,4270,594,0 52,0,2024-09-07 09:50:41:413,149776,0.5,149430,0.6,299240,0.4,397375,2.00 52,1,2024-09-07 09:50:40:581,1043211,1043211,0,0,489620049639,5125417285,1028189,12692,2330,368,391805,0 52,2,2024-09-07 09:50:41:758,747138,747100,38,0,36126043,0,6742 52,3,2024-09-07 09:50:40:691,1,594,7,0,1782,7091,594,0 53,0,2024-09-07 09:50:41:741,147598,0.7,143265,0.8,299689,0.7,392667,2.50 53,1,2024-09-07 09:50:40:781,1041368,1041368,0,0,488072945048,5124526334,1023186,13787,4395,367,391968,0 53,2,2024-09-07 09:50:41:302,753807,753806,1,0,32725685,0,5455 53,3,2024-09-07 09:50:40:710,1,594,1,0,308,5588,594,0 54,0,2024-09-07 09:50:41:613,146285,0.6,146510,0.8,291791,0.5,390015,2.25 54,1,2024-09-07 09:50:40:583,1044322,1044322,0,0,490717950950,5105203948,1033217,9435,1670,366,391810,0 54,2,2024-09-07 09:50:40:874,750465,750433,32,0,36302813,0,6397 54,3,2024-09-07 09:50:40:763,1,594,2,0,676,7763,594,0 55,0,2024-09-07 09:50:41:758,143549,0.7,148010,0.8,300113,0.6,390798,2.50 55,1,2024-09-07 09:50:40:765,1044495,1044495,0,0,490427599680,5101674946,1033638,9468,1389,365,391731,0 55,2,2024-09-07 09:50:40:729,748128,748072,56,0,33779000,0,7239 55,3,2024-09-07 09:50:40:679,1,594,1,0,304,5516,594,0 56,0,2024-09-07 09:50:41:605,152260,1.1,143446,1.1,295650,1.5,395574,2.75 56,1,2024-09-07 09:50:40:569,1038114,1038114,0,0,487923180910,5163592897,1020153,14276,3685,381,391867,0 56,2,2024-09-07 09:50:41:303,749655,749533,122,0,36084826,0,7432 56,3,2024-09-07 09:50:41:059,1,594,3,0,705,6913,594,0 57,0,2024-09-07 09:50:40:948,147242,1.4,147042,1.2,294371,2.0,392964,3.25 57,1,2024-09-07 09:50:40:992,1040633,1040633,0,0,488299733363,5128722884,1026211,11933,2489,366,392032,0 57,2,2024-09-07 09:50:41:316,752410,752410,0,0,37732194,0,4804 57,3,2024-09-07 09:50:41:738,1,594,10,0,455,7024,594,0 58,0,2024-09-07 09:50:40:558,145136,1.0,140992,1.0,294832,1.3,386225,2.75 58,1,2024-09-07 09:50:40:575,1042448,1042445,0,3,488915261909,5128485387,1026302,12242,3901,367,391726,3 58,2,2024-09-07 09:50:41:083,749936,749936,0,0,35383277,0,3483 58,3,2024-09-07 09:50:41:068,1,594,0,0,1043,6689,594,0 59,0,2024-09-07 09:50:41:739,148225,0.9,147768,1.0,295246,1.0,391765,3.00 59,1,2024-09-07 09:50:40:804,1041122,1041122,0,0,489151379667,5143537127,1023302,14153,3667,369,391653,0 59,2,2024-09-07 09:50:40:583,750052,750052,0,0,33483390,0,3727 59,3,2024-09-07 09:50:41:737,1,594,0,0,1015,7338,594,0 60,0,2024-09-07 09:50:41:755,149534,0.5,149708,0.7,299649,0.5,398776,1.75 60,1,2024-09-07 09:50:40:775,1046232,1046232,0,0,491083176166,5104672116,1038286,6859,1087,370,392031,0 60,2,2024-09-07 09:50:41:150,752451,752451,0,0,31650939,0,3811 60,3,2024-09-07 09:50:41:258,1,594,1,0,409,6958,594,0 61,0,2024-09-07 09:50:41:517,149690,0.6,150202,0.8,299080,0.6,399301,2.00 61,1,2024-09-07 09:50:40:774,1042636,1042636,0,0,489457110331,5125166102,1031513,9444,1679,382,392127,0 61,2,2024-09-07 09:50:41:135,752295,752228,67,0,32244823,0,6411 61,3,2024-09-07 09:50:41:687,1,594,1,0,607,7823,594,0 62,0,2024-09-07 09:50:41:738,148462,0.6,152228,0.8,290752,0.6,395504,2.00 62,1,2024-09-07 09:50:41:113,1049159,1049153,0,6,492384267260,5077021678,1044189,4665,299,365,391975,6 62,2,2024-09-07 09:50:41:670,748802,748801,1,0,32230946,0,5555 62,3,2024-09-07 09:50:41:146,1,594,4,0,482,4807,594,0 63,0,2024-09-07 09:50:41:455,150424,0.4,150181,0.6,300906,0.4,399771,1.75 63,1,2024-09-07 09:50:40:804,1045359,1045353,0,6,490731536442,5096747699,1038507,5991,855,381,391800,6 63,2,2024-09-07 09:50:40:764,751359,751359,0,0,30619515,0,4369 63,3,2024-09-07 09:50:41:733,1,594,1,0,667,6177,594,0 64,0,2024-09-07 09:50:41:561,148350,0.5,148210,0.7,296058,0.4,394759,2.00 64,1,2024-09-07 09:50:40:760,1044727,1044727,0,0,491202773132,5116791188,1035391,7360,1976,370,391794,0 64,2,2024-09-07 09:50:41:142,755329,755310,19,0,29617761,0,6121 64,3,2024-09-07 09:50:41:157,1,594,3,0,651,6875,594,0 65,0,2024-09-07 09:50:41:672,147806,0.6,148086,0.7,295899,0.6,394319,2.00 65,1,2024-09-07 09:50:40:862,1042916,1042916,0,0,488305287662,5104622295,1035488,6555,873,381,391901,0 65,2,2024-09-07 09:50:41:697,750154,750154,0,0,35471286,0,3367 65,3,2024-09-07 09:50:41:686,1,594,1,0,782,6666,594,0 66,0,2024-09-07 09:50:41:780,147896,0.5,147507,0.7,295014,0.5,393442,2.00 66,1,2024-09-07 09:50:41:293,1045325,1045325,0,0,490397221851,5103415492,1038825,5778,722,380,391743,0 66,2,2024-09-07 09:50:41:134,755649,755646,3,0,31708719,0,5455 66,3,2024-09-07 09:50:41:079,1,594,1,0,291,5085,594,0 67,0,2024-09-07 09:50:41:420,147639,0.5,147310,0.7,295692,0.5,393666,2.00 67,1,2024-09-07 09:50:40:766,1044350,1044349,0,1,491224210419,5115158507,1036951,6453,945,380,391787,1 67,2,2024-09-07 09:50:40:583,753195,753180,15,0,31437923,0,6205 67,3,2024-09-07 09:50:41:751,1,594,1,0,595,6295,594,0 68,0,2024-09-07 09:50:40:595,148601,0.6,148387,0.8,295453,0.6,395668,2.25 68,1,2024-09-07 09:50:40:596,1040262,1040262,0,0,487818154998,5130348876,1026473,10259,3530,381,391953,0 68,2,2024-09-07 09:50:41:044,746441,746341,100,0,39404214,0,8578 68,3,2024-09-07 09:50:40:731,1,594,3,0,417,7762,594,0 69,0,2024-09-07 09:50:41:748,148752,0.7,149517,0.8,298168,0.6,396109,2.25 69,1,2024-09-07 09:50:41:016,1039195,1039195,0,0,487864796173,5142367109,1025339,11023,2833,383,391994,0 69,2,2024-09-07 09:50:41:733,748035,748006,29,0,41611685,0,6912 69,3,2024-09-07 09:50:40:775,1,594,5,0,698,8597,594,0 70,0,2024-09-07 09:50:41:554,146758,0.8,147309,0.9,296105,0.6,392371,2.50 70,1,2024-09-07 09:50:40:801,1046108,1046108,0,0,491430435403,5099427399,1038197,7105,806,366,391725,0 70,2,2024-09-07 09:50:41:326,753937,753937,0,0,34674125,0,4323 70,3,2024-09-07 09:50:40:750,1,594,0,0,854,6601,594,0 71,0,2024-09-07 09:50:41:356,146347,1.0,146053,1.0,293147,1.4,391700,2.75 71,1,2024-09-07 09:50:41:595,1043819,1043819,0,0,489460608487,5110330458,1030830,11368,1621,368,391738,0 71,2,2024-09-07 09:50:41:067,749107,749107,0,0,34707639,0,4352 71,3,2024-09-07 09:50:41:751,1,594,1,0,644,6743,594,0 72,0,2024-09-07 09:50:41:026,154147,0.6,150661,0.7,294047,0.6,399874,2.00 72,1,2024-09-07 09:50:41:032,1041421,1041421,0,0,488479218656,5126897261,1026123,12783,2515,369,391819,0 72,2,2024-09-07 09:50:41:758,748138,748138,0,0,36450522,0,3983 72,3,2024-09-07 09:50:41:757,1,594,2,0,564,8825,594,0 73,0,2024-09-07 09:50:41:126,145814,0.4,149628,0.6,305840,0.4,397744,2.00 73,1,2024-09-07 09:50:40:769,1043491,1043491,0,0,489793174878,5093524733,1035089,7420,982,367,391858,0 73,2,2024-09-07 09:50:41:739,751155,751154,1,0,38003582,0,5027 73,3,2024-09-07 09:50:40:975,1,594,1,0,1091,8354,594,0 74,0,2024-09-07 09:50:41:323,150972,0.5,154602,0.6,294881,0.4,399453,2.00 74,1,2024-09-07 09:50:40:641,1042565,1042565,0,0,489153612302,5109243611,1030663,9786,2116,381,391762,0 74,2,2024-09-07 09:50:41:004,751461,751461,0,0,33190499,0,4253 74,3,2024-09-07 09:50:41:443,1,594,5,0,522,7410,594,0 75,0,2024-09-07 09:50:41:781,147717,0.6,146629,0.8,294385,0.5,393643,2.25 75,1,2024-09-07 09:50:41:584,1043914,1043914,0,0,489369015304,5102205389,1035294,7752,868,380,391739,0 75,2,2024-09-07 09:50:41:352,748608,748608,0,0,40221446,0,4766 75,3,2024-09-07 09:50:41:067,1,594,3,0,918,8136,594,0 76,0,2024-09-07 09:50:40:683,149252,0.6,148691,0.8,297331,0.6,397486,2.25 76,1,2024-09-07 09:50:40:813,1043659,1043659,0,0,489995226821,5110756946,1036338,6332,989,382,391790,0 76,2,2024-09-07 09:50:41:065,752873,752870,3,0,32542050,0,5265 76,3,2024-09-07 09:50:41:142,1,594,1,0,227,5454,594,0 77,0,2024-09-07 09:50:41:698,149195,0.5,149689,0.7,299269,0.5,397969,2.00 77,1,2024-09-07 09:50:40:834,1043300,1043300,0,0,490649642671,5119220872,1035323,7102,875,381,391869,0 77,2,2024-09-07 09:50:41:291,751628,751628,0,0,31714006,0,3890 77,3,2024-09-07 09:50:41:094,1,594,1,0,401,6803,594,0 78,0,2024-09-07 09:50:41:718,149640,0.4,149116,0.6,298901,0.4,396258,2.00 78,1,2024-09-07 09:50:40:610,1043644,1043644,0,0,489358904589,5106184797,1030805,9922,2917,367,391670,0 78,2,2024-09-07 09:50:41:405,752919,752906,13,0,29672899,0,8313 78,3,2024-09-07 09:50:41:133,1,594,1,0,311,5061,594,0 79,0,2024-09-07 09:50:41:345,143248,0.4,146537,0.6,300276,0.3,391241,2.00 79,1,2024-09-07 09:50:40:575,1047137,1047137,0,0,491728611148,5091124105,1039293,6484,1360,367,391682,0 79,2,2024-09-07 09:50:41:068,752258,752258,0,0,28814486,0,4195 79,3,2024-09-07 09:50:40:750,1,594,0,0,418,7154,594,0 80,0,2024-09-07 09:50:41:086,148374,0.5,152448,0.7,291504,0.5,395237,2.00 80,1,2024-09-07 09:50:41:637,1043860,1043860,0,0,489659784182,5100500160,1036709,6700,451,368,392269,0 80,2,2024-09-07 09:50:41:091,753126,753126,0,0,29347406,0,4433 80,3,2024-09-07 09:50:40:581,1,594,1,0,681,7371,594,0 81,0,2024-09-07 09:50:41:568,148801,0.5,152183,0.7,290669,0.5,394666,2.00 81,1,2024-09-07 09:50:41:669,1042352,1042352,0,0,489084662558,5111561768,1034198,7389,765,382,391885,0 81,2,2024-09-07 09:50:41:140,750210,750147,63,0,32561180,0,5932 81,3,2024-09-07 09:50:41:124,1,594,11,0,719,6985,594,0 82,0,2024-09-07 09:50:41:530,149118,0.5,149247,0.7,299219,0.4,396373,2.00 82,1,2024-09-07 09:50:40:583,1045234,1045230,0,4,490203561543,5096265413,1039662,4745,823,381,391768,4 82,2,2024-09-07 09:50:41:691,752582,752582,0,0,27611381,0,4484 82,3,2024-09-07 09:50:41:752,1,594,1,0,363,5678,594,0 83,0,2024-09-07 09:50:41:527,148202,0.6,148205,0.7,295825,0.6,392882,2.25 83,1,2024-09-07 09:50:40:551,1043623,1043623,0,0,489739843544,5106133943,1036080,6898,645,382,391709,0 83,2,2024-09-07 09:50:40:764,754275,754250,25,0,29813695,0,5612 83,3,2024-09-07 09:50:40:749,1,594,1,0,1260,7158,594,0 84,0,2024-09-07 09:50:41:764,146271,0.8,146391,0.9,292847,0.7,391550,2.25 84,1,2024-09-07 09:50:41:055,1041835,1041835,0,0,489494959228,5119909712,1029789,10375,1671,367,391967,0 84,2,2024-09-07 09:50:40:573,748702,748292,410,0,43197182,0,17037 84,3,2024-09-07 09:50:41:145,1,594,1,0,908,8016,594,0 85,0,2024-09-07 09:50:41:037,142746,0.7,142727,0.8,303076,0.6,391163,2.25 85,1,2024-09-07 09:50:40:559,1039060,1039060,0,0,487419807168,5148722304,1022757,13506,2797,381,392092,0 85,2,2024-09-07 09:50:40:908,748687,748687,0,0,36364705,0,4255 85,3,2024-09-07 09:50:40:713,1,594,1,0,789,6873,594,0 86,0,2024-09-07 09:50:40:879,149065,0.7,153219,0.8,293042,0.7,396260,2.25 86,1,2024-09-07 09:50:40:824,1041856,1041856,0,0,489558835833,5134903642,1028027,11143,2686,366,392169,0 86,2,2024-09-07 09:50:40:856,750011,750010,1,0,38627068,0,5004 86,3,2024-09-07 09:50:40:588,1,594,1,0,308,8350,594,0 87,0,2024-09-07 09:50:41:308,148949,0.8,148526,0.8,296892,0.9,396243,2.25 87,1,2024-09-07 09:50:40:550,1041649,1041649,0,0,489082702162,5125362071,1028272,11580,1797,366,392076,0 87,2,2024-09-07 09:50:41:067,752097,752091,6,0,35199909,0,6323 87,3,2024-09-07 09:50:41:794,1,594,35,0,473,8562,594,0 88,0,2024-09-07 09:50:41:533,147363,0.5,147830,0.6,295350,0.4,393939,2.00 88,1,2024-09-07 09:50:40:571,1040415,1040415,0,0,487981987503,5118559185,1025036,12335,3044,365,392084,0 88,2,2024-09-07 09:50:40:699,749255,749255,0,0,37994895,0,4465 88,3,2024-09-07 09:50:41:267,1,594,2,0,1080,9210,594,0 89,0,2024-09-07 09:50:41:826,153748,0.5,149200,0.7,294373,0.4,400314,1.75 89,1,2024-09-07 09:50:40:550,1039853,1039853,0,0,489286881297,5147975498,1026873,11037,1943,382,391866,0 89,2,2024-09-07 09:50:41:133,749433,749433,0,0,35789467,0,3173 89,3,2024-09-07 09:50:41:793,1,594,3,0,468,10095,594,0 90,0,2024-09-07 09:50:41:617,145221,0.5,149150,0.6,304338,0.4,396858,2.00 90,1,2024-09-07 09:50:40:590,1042324,1042324,0,0,488890445513,5122530266,1032232,9200,892,380,391825,0 90,2,2024-09-07 09:50:41:405,749008,749003,5,0,39336041,0,6370 90,3,2024-09-07 09:50:40:930,1,594,1,0,322,7277,594,0 91,0,2024-09-07 09:50:40:927,150246,0.4,145758,0.6,305027,0.4,399926,1.75 91,1,2024-09-07 09:50:40:566,1039404,1039404,0,0,488794003090,5152938598,1023557,12699,3148,381,392047,0 91,2,2024-09-07 09:50:41:331,751264,751264,0,0,34574287,0,4713 91,3,2024-09-07 09:50:40:598,1,594,0,0,216,5390,594,0 92,0,2024-09-07 09:50:41:444,149592,0.5,152865,0.6,291480,0.5,395548,1.75 92,1,2024-09-07 09:50:40:606,1043209,1043209,0,0,490304944407,5122245503,1034852,7070,1287,381,392136,0 92,2,2024-09-07 09:50:41:352,750502,750502,0,0,30272059,0,3259 92,3,2024-09-07 09:50:41:015,1,594,6,0,167,5135,594,0 93,0,2024-09-07 09:50:41:004,150781,0.4,154509,0.6,295032,0.4,399704,1.75 93,1,2024-09-07 09:50:40:811,1043158,1043158,0,0,489968442103,5115070582,1031628,9602,1928,366,392048,0 93,2,2024-09-07 09:50:40:931,751145,751145,0,0,36072069,0,4913 93,3,2024-09-07 09:50:41:418,1,594,2,0,294,5844,594,0 94,0,2024-09-07 09:50:41:600,148449,0.4,149468,0.5,299060,0.3,397279,1.75 94,1,2024-09-07 09:50:40:563,1044085,1044085,0,0,489386530611,5104669586,1037145,6508,432,381,391850,0 94,2,2024-09-07 09:50:40:764,751630,751602,28,0,30988575,0,6179 94,3,2024-09-07 09:50:41:690,1,594,3,0,576,7445,594,0 95,0,2024-09-07 09:50:41:348,148903,0.3,149023,0.5,298085,0.3,397010,1.75 95,1,2024-09-07 09:50:40:856,1045042,1045042,0,0,490340015901,5096850219,1036613,7682,747,365,391852,0 95,2,2024-09-07 09:50:41:015,749926,749926,0,0,30472164,0,3308 95,3,2024-09-07 09:50:41:714,1,594,3,0,718,9400,594,0 96,0,2024-09-07 09:50:41:021,148310,0.4,148694,0.5,297296,0.3,395541,1.75 96,1,2024-09-07 09:50:41:583,1043431,1043431,0,0,489477286135,5106053878,1036052,6106,1273,384,391964,0 96,2,2024-09-07 09:50:41:274,753751,753751,0,0,31576396,0,4180 96,3,2024-09-07 09:50:41:141,1,594,2,0,411,6934,594,0 97,0,2024-09-07 09:50:41:350,147949,0.3,147676,0.5,296305,0.3,393489,1.75 97,1,2024-09-07 09:50:40:765,1044821,1044821,0,0,490844222794,5094689621,1037900,5885,1036,367,392140,0 97,2,2024-09-07 09:50:40:619,751963,751963,0,0,31177318,0,4046 97,3,2024-09-07 09:50:40:585,1,594,1,0,242,6741,594,0 98,0,2024-09-07 09:50:41:692,148164,0.3,148254,0.5,297518,0.3,395893,1.50 98,1,2024-09-07 09:50:40:647,1044062,1044062,0,0,489972993030,5104677344,1037587,5640,835,381,391997,0 98,2,2024-09-07 09:50:40:773,750501,750501,0,0,29902142,0,4336 98,3,2024-09-07 09:50:40:708,1,594,1,0,840,9032,594,0 99,0,2024-09-07 09:50:41:454,149550,0.3,150393,0.5,299123,0.3,398863,1.75 99,1,2024-09-07 09:50:41:723,1044930,1044930,0,0,489187849635,5091601521,1038567,5421,942,380,392069,0 99,2,2024-09-07 09:50:41:418,750648,750648,0,0,37056643,0,4276 99,3,2024-09-07 09:50:40:582,1,594,1,0,1124,7707,594,0 100,0,2024-09-07 09:50:41:478,148020,0.7,148255,0.9,296310,0.8,395783,2.50 100,1,2024-09-07 09:50:40:554,1038813,1038813,0,0,487162126987,5151939942,1022804,12695,3314,378,391989,0 100,2,2024-09-07 09:50:41:825,749905,749516,389,0,40398288,0,16909 100,3,2024-09-07 09:50:41:738,1,594,4,0,627,10067,594,0 101,0,2024-09-07 09:50:41:698,150708,1.2,146915,1.1,287681,1.2,393242,2.50 101,1,2024-09-07 09:50:40:550,1040429,1040429,0,0,488365608096,5133069455,1026388,11514,2527,368,391847,0 101,2,2024-09-07 09:50:41:797,745661,745661,0,0,40151930,0,4871 101,3,2024-09-07 09:50:40:943,1,594,1,0,1250,8985,594,0 102,0,2024-09-07 09:50:40:945,145303,0.7,149591,0.8,303953,0.7,397413,2.25 102,1,2024-09-07 09:50:41:141,1040341,1040341,0,0,487917845252,5127267798,1026233,11910,2198,369,391984,0 102,2,2024-09-07 09:50:41:738,750624,750570,54,0,34323387,0,6768 102,3,2024-09-07 09:50:41:613,1,594,13,0,466,6901,594,0 103,0,2024-09-07 09:50:41:587,154644,0.6,154627,0.7,291336,0.6,401012,2.00 103,1,2024-09-07 09:50:41:630,1038737,1038737,0,0,487700257735,5152832401,1021841,13299,3597,381,392077,0 103,2,2024-09-07 09:50:40:582,749295,749295,0,0,37193478,0,3766 103,3,2024-09-07 09:50:40:761,1,594,1,0,916,7103,594,0 104,0,2024-09-07 09:50:41:017,148953,0.7,149144,0.9,297689,0.7,397579,2.25 104,1,2024-09-07 09:50:41:617,1041511,1041511,0,0,487782157441,5128458993,1025992,12677,2842,365,392168,0 104,2,2024-09-07 09:50:41:668,749399,749399,0,0,36918919,0,4161 104,3,2024-09-07 09:50:41:421,1,594,5,0,1245,10606,594,0 105,0,2024-09-07 09:50:41:068,145742,1.0,142038,1.1,297560,1.2,391386,2.75 105,1,2024-09-07 09:50:40:558,1043092,1043092,0,0,489168787172,5127688932,1029530,11623,1939,364,392009,0 105,2,2024-09-07 09:50:41:324,748814,748814,0,0,36990909,0,4360 105,3,2024-09-07 09:50:41:304,1,594,1,0,573,9159,594,0 106,0,2024-09-07 09:50:40:941,144650,1.0,148145,1.0,303472,1.2,396035,2.50 106,1,2024-09-07 09:50:41:751,1041953,1041953,0,0,488387261558,5128327143,1027301,12758,1894,368,391914,0 106,2,2024-09-07 09:50:40:762,749207,749207,0,0,34892555,0,3331 106,3,2024-09-07 09:50:40:697,1,594,4,0,1224,8417,594,0 107,0,2024-09-07 09:50:41:128,149426,0.9,149531,0.9,298983,1.1,398708,2.25 107,1,2024-09-07 09:50:40:596,1038897,1038897,0,0,487495488014,5154730320,1021529,15235,2133,381,392234,0 107,2,2024-09-07 09:50:41:292,748927,748926,1,0,38039525,0,5024 107,3,2024-09-07 09:50:41:756,1,594,3,0,733,9058,594,0 108,0,2024-09-07 09:50:41:861,149017,0.4,149467,0.6,297988,0.4,397629,1.75 108,1,2024-09-07 09:50:41:314,1043216,1043216,0,0,489585542671,5107706698,1034526,7695,995,367,391894,0 108,2,2024-09-07 09:50:41:761,750994,750994,0,0,32702171,0,4246 108,3,2024-09-07 09:50:41:330,1,594,5,0,749,10841,594,0 109,0,2024-09-07 09:50:41:760,148929,0.4,147739,0.6,296334,0.3,395617,1.75 109,1,2024-09-07 09:50:40:588,1040385,1040385,0,0,489412805715,5135092506,1030914,8195,1276,382,392132,0 109,2,2024-09-07 09:50:40:924,749757,749757,0,0,33553320,0,3617 109,3,2024-09-07 09:50:41:147,1,594,1,0,630,7297,594,0 110,0,2024-09-07 09:50:41:760,148492,0.4,144458,0.6,302486,0.4,397157,1.75 110,1,2024-09-07 09:50:41:644,1044997,1044997,0,0,490413099800,5092486124,1036959,6112,1926,368,392045,0 110,2,2024-09-07 09:50:41:306,751509,751509,0,0,31034251,0,4067 110,3,2024-09-07 09:50:40:699,1,594,10,0,722,8032,594,0 111,0,2024-09-07 09:50:41:446,149622,0.4,148770,0.5,297574,0.3,397012,1.75 111,1,2024-09-07 09:50:41:004,1046328,1046328,0,0,491052330072,5093558608,1040846,5101,381,380,391690,0 111,2,2024-09-07 09:50:41:120,751033,751033,0,0,31561649,0,4823 111,3,2024-09-07 09:50:40:919,1,594,1,0,379,6523,594,0 112,0,2024-09-07 09:50:40:912,150076,0.3,149470,0.4,299673,0.2,397644,1.50 112,1,2024-09-07 09:50:40:828,1044826,1044826,0,0,490000414608,5089886861,1037627,5974,1225,380,391624,0 112,2,2024-09-07 09:50:41:133,750485,750484,1,0,29869770,0,5036 112,3,2024-09-07 09:50:40:595,1,594,1,0,282,5719,594,0 113,0,2024-09-07 09:50:40:864,148346,0.3,148386,0.5,296981,0.2,395283,1.75 113,1,2024-09-07 09:50:41:688,1047032,1047032,0,0,492196053794,5079751202,1040803,5281,948,365,391664,0 113,2,2024-09-07 09:50:41:318,756542,756542,0,0,28394476,0,3813 113,3,2024-09-07 09:50:40:691,1,594,7,0,510,6442,594,0 114,0,2024-09-07 09:50:40:876,148128,0.3,149131,0.5,297136,0.2,397068,1.75 114,1,2024-09-07 09:50:40:722,1045407,1045407,0,0,490370751426,5093447996,1037466,6194,1747,381,391565,0 114,2,2024-09-07 09:50:40:873,752645,752644,1,0,29411019,0,5069 114,3,2024-09-07 09:50:41:278,1,594,1,0,395,4812,594,0 115,0,2024-09-07 09:50:40:553,149400,0.3,149961,0.4,298561,0.2,397223,1.50 115,1,2024-09-07 09:50:40:575,1045655,1045655,0,0,490436614930,5096644273,1037399,6739,1517,382,391757,0 115,2,2024-09-07 09:50:41:130,751868,751868,0,0,29482899,0,4382 115,3,2024-09-07 09:50:41:013,1,594,0,0,167,3585,594,0 116,0,2024-09-07 09:50:41:700,149064,0.7,148813,0.8,298383,0.6,398573,2.00 116,1,2024-09-07 09:50:40:808,1040190,1040190,0,0,487549163869,5140635632,1027551,9550,3089,380,392089,0 116,2,2024-09-07 09:50:41:751,750611,750611,0,0,36882483,0,4475 116,3,2024-09-07 09:50:40:917,1,594,17,0,448,7753,594,0 117,0,2024-09-07 09:50:40:975,149220,0.7,148538,0.8,297627,0.7,397605,2.00 117,1,2024-09-07 09:50:41:583,1041595,1041595,0,0,488373769522,5115132102,1029980,10094,1521,369,392033,0 117,2,2024-09-07 09:50:41:122,754592,754592,0,0,33415637,0,4303 117,3,2024-09-07 09:50:41:059,1,594,2,0,490,7633,594,0 118,0,2024-09-07 09:50:41:769,142896,0.6,146706,0.7,299574,0.5,392431,2.00 118,1,2024-09-07 09:50:40:599,1040692,1040692,0,0,488188386732,5134690792,1024940,12131,3621,366,392054,0 118,2,2024-09-07 09:50:41:586,748827,748827,0,0,35491680,0,2842 118,3,2024-09-07 09:50:41:767,1,594,1,0,289,7052,594,0 119,0,2024-09-07 09:50:41:339,149241,0.8,150016,0.8,299147,0.9,398685,2.25 119,1,2024-09-07 09:50:40:551,1041413,1041413,0,0,488901846338,5129839875,1026721,12180,2512,367,391857,0 119,2,2024-09-07 09:50:41:260,750892,750892,0,0,33437277,0,4309 119,3,2024-09-07 09:50:41:325,1,594,10,0,1358,11012,594,0 120,0,2024-09-07 09:50:41:578,148826,0.7,148713,0.8,298688,0.7,397905,2.25 120,1,2024-09-07 09:50:40:863,1042586,1042586,0,0,488345417852,5124664886,1030923,10522,1141,367,392144,0 120,2,2024-09-07 09:50:40:786,750991,750988,3,0,40137758,0,5363 120,3,2024-09-07 09:50:41:291,1,594,17,0,279,7253,594,0 121,0,2024-09-07 09:50:41:711,149979,1.1,149385,1.0,299151,1.4,398887,2.25 121,1,2024-09-07 09:50:41:663,1041761,1041761,0,0,488175287972,5111369558,1030478,9731,1552,366,391840,0 121,2,2024-09-07 09:50:41:130,750561,750561,0,0,36632827,0,4157 121,3,2024-09-07 09:50:40:727,1,594,0,0,387,7934,594,0 122,0,2024-09-07 09:50:41:759,147396,0.8,143457,0.9,300435,0.9,395488,2.00 122,1,2024-09-07 09:50:40:859,1040970,1040970,0,0,488468256115,5130526920,1025976,12582,2412,365,392130,0 122,2,2024-09-07 09:50:41:324,750913,750838,75,0,40261576,0,5989 122,3,2024-09-07 09:50:40:597,1,594,3,0,512,9749,594,0 123,0,2024-09-07 09:50:40:954,149357,0.9,145218,0.9,304245,1.0,398460,2.25 123,1,2024-09-07 09:50:40:566,1040861,1040861,0,0,488245606142,5137283322,1024051,14140,2670,369,392039,0 123,2,2024-09-07 09:50:41:023,748714,748713,1,0,34268859,0,5215 123,3,2024-09-07 09:50:41:132,1,594,13,0,478,6867,594,0 124,0,2024-09-07 09:50:40:921,153064,0.3,153159,0.5,288659,0.3,397699,1.75 124,1,2024-09-07 09:50:41:022,1045285,1045285,0,0,489455899167,5089522064,1037553,6557,1175,365,392178,0 124,2,2024-09-07 09:50:41:014,753280,753227,53,0,30906125,0,6487 124,3,2024-09-07 09:50:40:764,1,594,9,0,490,5926,594,0 125,0,2024-09-07 09:50:41:515,148679,0.4,148760,0.5,298331,0.3,396966,1.75 125,1,2024-09-07 09:50:40:857,1041994,1041994,0,0,488998386808,5105702303,1033927,6916,1151,382,391968,0 125,2,2024-09-07 09:50:41:130,753004,753004,0,0,31034183,0,4534 125,3,2024-09-07 09:50:41:130,1,594,1,0,709,6815,594,0 126,0,2024-09-07 09:50:41:419,148600,0.4,152787,0.6,292336,0.4,396125,1.75 126,1,2024-09-07 09:50:40:551,1045664,1045664,0,0,490994775897,5083899991,1040155,5063,446,365,391987,0 126,2,2024-09-07 09:50:40:610,754745,754745,0,0,32321495,0,4539 126,3,2024-09-07 09:50:40:908,1,594,1,0,268,6588,594,0 127,0,2024-09-07 09:50:41:589,148214,0.4,148371,0.5,296090,0.3,393926,1.75 127,1,2024-09-07 09:50:40:582,1043780,1043780,0,0,489921923770,5099309644,1033110,9034,1636,364,392187,0 127,2,2024-09-07 09:50:40:635,750756,750752,4,0,30403121,0,5305 127,3,2024-09-07 09:50:41:269,1,594,1,0,968,5770,594,0 128,0,2024-09-07 09:50:41:557,149054,0.3,149158,0.4,297961,0.2,396327,1.50 128,1,2024-09-07 09:50:41:646,1043884,1043884,0,0,490068731978,5098800175,1035475,7445,964,367,392423,0 128,2,2024-09-07 09:50:41:387,751735,751735,0,0,28164117,0,3171 128,3,2024-09-07 09:50:40:767,1,594,5,0,1082,9516,594,0 129,0,2024-09-07 09:50:41:014,150706,0.3,149910,0.5,300646,0.3,399296,1.50 129,1,2024-09-07 09:50:40:570,1040757,1040757,0,0,489186050558,5128512752,1030295,8440,2022,379,391962,0 129,2,2024-09-07 09:50:40:692,752657,752653,4,0,30770338,0,5335 129,3,2024-09-07 09:50:40:690,1,594,3,0,506,8258,594,0 130,0,2024-09-07 09:50:41:716,149260,0.4,148709,0.5,298064,0.4,397049,1.75 130,1,2024-09-07 09:50:40:583,1044713,1044713,0,0,490161717200,5092206835,1039280,5038,395,381,391825,0 130,2,2024-09-07 09:50:41:132,754330,754330,0,0,31109991,0,4067 130,3,2024-09-07 09:50:41:291,1,594,13,0,960,8239,594,0 131,0,2024-09-07 09:50:41:948,147709,0.3,148094,0.5,297131,0.3,394917,1.75 131,1,2024-09-07 09:50:41:827,1044135,1044135,0,0,490521780612,5113976098,1036913,6026,1196,381,391865,0 131,2,2024-09-07 09:50:40:566,750680,750680,0,0,28679534,0,3979 131,3,2024-09-07 09:50:41:692,1,594,6,0,392,7686,594,0 132,0,2024-09-07 09:50:41:445,149600,0.5,150490,0.6,299774,0.5,398460,2.00 132,1,2024-09-07 09:50:40:582,1039672,1039672,0,0,487337162492,5132339675,1024369,12601,2702,381,392532,0 132,2,2024-09-07 09:50:40:716,749962,749945,17,0,37328786,0,6451 132,3,2024-09-07 09:50:41:697,1,594,1,0,1298,10764,594,0 133,0,2024-09-07 09:50:41:535,145967,0.4,149325,0.6,306174,0.4,398504,2.00 133,1,2024-09-07 09:50:40:591,1040430,1040430,0,0,488645614798,5149263122,1026369,12299,1762,383,391914,0 133,2,2024-09-07 09:50:41:093,751758,751708,50,0,38423574,0,6861 133,3,2024-09-07 09:50:41:309,1,594,7,0,528,7196,594,0 134,0,2024-09-07 09:50:40:939,150217,0.5,150342,0.7,300876,0.5,399814,2.00 134,1,2024-09-07 09:50:40:584,1041514,1041514,0,0,488603849627,5126588444,1027747,11281,2486,366,391781,0 134,2,2024-09-07 09:50:41:757,752233,752209,24,0,35584394,0,6207 134,3,2024-09-07 09:50:40:750,1,594,1,0,739,7342,594,0 135,0,2024-09-07 09:50:41:095,142782,0.8,142803,0.9,303149,1.0,391092,2.25 135,1,2024-09-07 09:50:41:595,1040842,1040842,0,0,489095644416,5143493195,1027829,11373,1640,380,391805,0 135,2,2024-09-07 09:50:40:687,750354,750354,0,0,36296695,0,4503 135,3,2024-09-07 09:50:41:004,1,594,1,0,900,5887,594,0 136,0,2024-09-07 09:50:41:684,150129,0.6,150669,0.8,299075,0.6,399113,2.25 136,1,2024-09-07 09:50:41:443,1042277,1042277,0,0,488956928767,5127372221,1030378,10511,1388,381,392135,0 136,2,2024-09-07 09:50:41:133,752007,751992,15,0,35848746,0,6007 136,3,2024-09-07 09:50:41:106,1,594,2,0,637,6927,594,0 137,0,2024-09-07 09:50:40:935,154432,0.6,150484,0.7,294836,0.6,400441,2.00 137,1,2024-09-07 09:50:40:575,1041094,1041094,0,0,488248007042,5124398948,1024563,13143,3388,366,391898,0 137,2,2024-09-07 09:50:41:715,750487,750487,0,0,37008268,0,3185 137,3,2024-09-07 09:50:40:775,1,594,11,0,484,8219,594,0 138,0,2024-09-07 09:50:41:748,148485,0.7,148785,0.8,297976,0.8,396120,2.00 138,1,2024-09-07 09:50:41:686,1041484,1041484,0,0,488471449999,5127062609,1026715,12499,2270,368,391954,0 138,2,2024-09-07 09:50:40:586,750236,750236,0,0,35299744,0,4988 138,3,2024-09-07 09:50:40:610,1,594,1,0,1200,9389,594,0 139,0,2024-09-07 09:50:41:393,147015,1.1,147577,1.0,295120,1.5,393924,2.25 139,1,2024-09-07 09:50:40:572,1037289,1037289,0,0,486022572676,5156427115,1018908,14557,3824,380,392109,0 139,2,2024-09-07 09:50:40:692,746693,746663,30,0,40604335,0,5997 139,3,2024-09-07 09:50:41:662,1,594,1,0,432,7110,594,0 140,0,2024-09-07 09:50:41:588,149197,0.3,148371,0.5,297644,0.2,397535,1.75 140,1,2024-09-07 09:50:41:536,1047604,1047604,0,0,491508707160,5067560779,1042635,4423,546,364,391606,0 140,2,2024-09-07 09:50:40:695,752077,752076,1,0,28779444,0,5036 140,3,2024-09-07 09:50:40:768,1,594,1,0,575,5990,594,0 141,0,2024-09-07 09:50:41:706,149177,0.3,153325,0.5,292940,0.3,396770,1.75 141,1,2024-09-07 09:50:40:859,1045746,1045746,0,0,490840018540,5100113717,1037549,7005,1192,379,391614,0 141,2,2024-09-07 09:50:41:686,751396,751385,11,0,30873201,0,5369 141,3,2024-09-07 09:50:41:043,1,594,4,0,391,6951,594,0 142,0,2024-09-07 09:50:41:325,150134,0.3,149312,0.5,298967,0.2,398501,1.50 142,1,2024-09-07 09:50:40:585,1043876,1043876,0,0,489748287519,5105853788,1037171,6199,506,382,392102,0 142,2,2024-09-07 09:50:41:307,749914,749882,32,0,31401949,0,6028 142,3,2024-09-07 09:50:41:769,1,594,8,0,484,6354,594,0 143,0,2024-09-07 09:50:41:419,148104,0.4,148187,0.5,297365,0.4,395206,1.75 143,1,2024-09-07 09:50:40:567,1046415,1046415,0,0,490211826827,5086701543,1039953,5894,568,367,391900,0 143,2,2024-09-07 09:50:40:773,754707,754707,0,0,31317936,0,3123 143,3,2024-09-07 09:50:41:149,1,594,1,0,462,7249,594,0 144,0,2024-09-07 09:50:41:497,143530,0.6,147698,0.8,300502,0.6,393754,2.00 144,1,2024-09-07 09:50:40:566,1040331,1040331,0,0,488497770822,5127770981,1029741,8598,1992,381,391733,0 144,2,2024-09-07 09:50:41:766,751633,751633,0,0,30512025,0,4443 144,3,2024-09-07 09:50:41:751,1,594,1,0,249,5839,594,0 145,0,2024-09-07 09:50:41:361,143883,0.6,143849,0.8,305411,0.5,393191,2.25 145,1,2024-09-07 09:50:40:552,1040011,1040011,0,0,488029800345,5137056624,1025994,11346,2671,382,391781,0 145,2,2024-09-07 09:50:41:429,748514,748432,82,0,36171270,0,7814 145,3,2024-09-07 09:50:40:897,1,594,0,0,622,7915,594,0 146,0,2024-09-07 09:50:41:780,149304,0.6,148724,0.7,298787,0.5,396767,2.00 146,1,2024-09-07 09:50:41:592,1041338,1041338,0,0,488671913025,5144699880,1023839,13018,4481,367,391770,0 146,2,2024-09-07 09:50:41:694,749818,749812,6,0,34736874,0,5151 146,3,2024-09-07 09:50:41:274,1,594,8,0,1520,9772,594,0 147,0,2024-09-07 09:50:41:704,149137,0.6,148873,0.7,297519,0.5,396867,2.25 147,1,2024-09-07 09:50:41:384,1045090,1045090,0,0,490678870090,5100061648,1036603,7520,967,367,391791,0 147,2,2024-09-07 09:50:41:013,752999,752999,0,0,30879335,0,2968 147,3,2024-09-07 09:50:40:913,1,594,1,0,1626,9578,594,0 0,0,2024-09-07 09:50:51:728,145589,0.6,145548,0.7,309029,0.6,398841,2.00 0,1,2024-09-07 09:50:50:811,1043366,1043366,0,0,490046435557,5131354919,1034831,7589,946,368,391896,0 0,2,2024-09-07 09:50:51:072,754289,754289,0,0,30823987,0,4480 0,3,2024-09-07 09:50:50:974,1,595,1,0,431,8661,595,0 1,0,2024-09-07 09:50:51:763,150011,0.8,148940,0.9,299350,1.0,399828,2.00 1,1,2024-09-07 09:50:50:596,1043037,1043037,0,0,489304211260,5126319043,1033602,7945,1490,370,391859,0 1,2,2024-09-07 09:50:50:639,753259,753259,0,0,30290770,0,3380 1,3,2024-09-07 09:50:51:309,1,595,31,0,269,7437,595,0 2,0,2024-09-07 09:50:51:568,148192,0.6,148079,0.7,295840,0.6,395130,2.00 2,1,2024-09-07 09:50:50:860,1046141,1046141,0,0,491964294004,5116240335,1039967,5224,950,379,391745,0 2,2,2024-09-07 09:50:51:266,751633,751633,0,0,28912865,0,3594 2,3,2024-09-07 09:50:50:692,1,595,1,0,357,5291,595,0 3,0,2024-09-07 09:50:51:754,149936,0.4,149904,0.6,299268,0.4,398764,2.00 3,1,2024-09-07 09:50:51:620,1044648,1044648,0,0,491150846022,5108953980,1037064,6880,704,379,391716,0 3,2,2024-09-07 09:50:51:154,753308,753285,23,0,30008778,0,5851 3,3,2024-09-07 09:50:51:755,1,595,1,0,484,4588,595,0 4,0,2024-09-07 09:50:51:835,145036,0.4,148987,0.5,303786,0.3,397719,1.75 4,1,2024-09-07 09:50:50:594,1040488,1040488,0,0,487921780741,5165557068,1022685,14049,3754,370,391992,0 4,2,2024-09-07 09:50:51:020,750093,750093,0,0,36617879,0,4534 4,3,2024-09-07 09:50:51:030,1,595,14,0,448,7316,595,0 5,0,2024-09-07 09:50:51:374,149212,0.4,149233,0.5,298632,0.3,397065,1.75 5,1,2024-09-07 09:50:50:766,1041048,1041048,0,0,489505679061,5169184757,1024728,12196,4124,367,392005,0 5,2,2024-09-07 09:50:51:850,749434,749434,0,0,35417368,0,3582 5,3,2024-09-07 09:50:51:732,1,595,1,0,457,8183,595,0 6,0,2024-09-07 09:50:50:923,148939,0.5,148646,0.6,297371,0.4,396593,2.00 6,1,2024-09-07 09:50:50:750,1044103,1044103,0,0,490078470552,5119512670,1033079,9451,1573,379,391702,0 6,2,2024-09-07 09:50:51:117,753904,753886,18,0,34472822,0,5535 6,3,2024-09-07 09:50:51:277,1,595,1,0,710,7266,595,0 7,0,2024-09-07 09:50:51:535,147447,0.5,148444,0.7,294860,0.5,393555,2.00 7,1,2024-09-07 09:50:50:853,1041714,1041714,0,0,489309718955,5153814456,1025391,12557,3766,382,391747,0 7,2,2024-09-07 09:50:50:771,751695,751695,0,0,33106438,0,4791 7,3,2024-09-07 09:50:50:852,1,595,1,0,552,6993,595,0 8,0,2024-09-07 09:50:51:336,149226,0.4,148891,0.5,298188,0.3,397468,1.75 8,1,2024-09-07 09:50:51:030,1041046,1041046,0,0,488409595021,5139282162,1024330,13129,3587,366,392853,0 8,2,2024-09-07 09:50:50:811,746916,746914,2,0,39442173,0,5112 8,3,2024-09-07 09:50:50:592,1,595,6,0,772,9564,595,0 9,0,2024-09-07 09:50:51:142,149494,0.4,145496,0.5,304438,0.3,399045,1.75 9,1,2024-09-07 09:50:50:559,1041127,1041127,0,0,488343146777,5149988516,1024445,13457,3225,369,392001,0 9,2,2024-09-07 09:50:51:101,750170,750169,1,0,37260598,0,5281 9,3,2024-09-07 09:50:51:755,1,595,1,0,1273,10216,595,0 10,0,2024-09-07 09:50:51:607,148630,0.3,148031,0.5,297265,0.3,396162,1.75 10,1,2024-09-07 09:50:50:591,1042831,1042831,0,0,489446470706,5137648875,1027114,12654,3063,381,391981,0 10,2,2024-09-07 09:50:50:766,754637,754637,0,0,39909325,0,4713 10,3,2024-09-07 09:50:50:876,1,595,1,0,669,6788,595,0 11,0,2024-09-07 09:50:51:009,147988,0.5,143484,0.6,300240,0.4,395737,1.75 11,1,2024-09-07 09:50:50:572,1044333,1044333,0,0,490181804259,5144565412,1028511,11519,4303,383,391766,0 11,2,2024-09-07 09:50:51:123,750173,750173,0,0,35336990,0,4698 11,3,2024-09-07 09:50:51:298,1,595,0,0,843,7784,595,0 12,0,2024-09-07 09:50:50:958,150508,0.4,150500,0.6,300465,0.4,399346,1.75 12,1,2024-09-07 09:50:50:952,1044136,1044136,0,0,489761506316,5108983073,1035762,7366,1008,370,391960,0 12,2,2024-09-07 09:50:51:548,750129,750129,0,0,34095425,0,4390 12,3,2024-09-07 09:50:51:062,1,595,0,0,386,7532,595,0 13,0,2024-09-07 09:50:51:358,150658,0.4,150827,0.5,300684,0.4,400532,1.75 13,1,2024-09-07 09:50:51:552,1041516,1041516,0,0,489941426944,5150938814,1030632,8494,2390,382,391803,0 13,2,2024-09-07 09:50:50:607,754433,754433,0,0,30523243,0,3287 13,3,2024-09-07 09:50:51:777,1,595,17,0,522,7933,595,0 14,0,2024-09-07 09:50:50:591,149838,0.4,150934,0.6,299533,0.3,398732,1.75 14,1,2024-09-07 09:50:51:562,1048946,1048946,0,0,492304131336,5095706728,1040491,7326,1129,364,391673,0 14,2,2024-09-07 09:50:50:764,754604,754574,30,0,31968672,0,6104 14,3,2024-09-07 09:50:51:123,1,595,11,0,1168,6562,595,0 15,0,2024-09-07 09:50:51:567,147694,0.4,146826,0.6,294718,0.4,393019,2.00 15,1,2024-09-07 09:50:51:611,1045842,1045842,0,0,490990041902,5114554055,1036772,7157,1913,381,391619,0 15,2,2024-09-07 09:50:50:998,752768,752768,0,0,27609026,0,3622 15,3,2024-09-07 09:50:51:406,1,595,1,0,1126,7878,595,0 16,0,2024-09-07 09:50:51:012,149695,0.6,150392,0.8,300247,0.6,399439,2.00 16,1,2024-09-07 09:50:50:569,1045686,1045686,0,0,490149386034,5125515775,1036272,7970,1444,370,392194,0 16,2,2024-09-07 09:50:51:451,751077,751077,0,0,31399256,0,4719 16,3,2024-09-07 09:50:51:363,1,595,2,0,358,7561,595,0 17,0,2024-09-07 09:50:51:835,154389,0.6,150514,0.8,294857,0.6,400938,2.00 17,1,2024-09-07 09:50:50:602,1043465,1043465,0,0,489149543611,5132187276,1033180,8514,1771,368,392075,0 17,2,2024-09-07 09:50:51:672,755930,755929,1,0,32735242,0,5050 17,3,2024-09-07 09:50:50:580,1,595,9,0,518,8756,595,0 18,0,2024-09-07 09:50:50:955,148146,0.6,149098,0.8,296669,0.6,396623,2.25 18,1,2024-09-07 09:50:51:638,1047924,1047924,0,0,491140797664,5094971087,1040862,5805,1257,367,391725,0 18,2,2024-09-07 09:50:51:766,755376,755376,0,0,29041670,0,3541 18,3,2024-09-07 09:50:50:896,1,595,1,0,1059,5542,595,0 19,0,2024-09-07 09:50:51:544,148107,0.6,148260,0.8,295381,0.6,392954,2.00 19,1,2024-09-07 09:50:50:576,1047467,1047467,0,0,491604192923,5096590944,1039669,6665,1133,366,391777,0 19,2,2024-09-07 09:50:51:758,755425,755425,0,0,27532126,0,3988 19,3,2024-09-07 09:50:51:130,1,595,3,0,524,4596,595,0 20,0,2024-09-07 09:50:51:384,149086,0.6,148944,0.7,297656,0.6,397795,2.00 20,1,2024-09-07 09:50:50:620,1043378,1043378,0,0,489733227951,5132316949,1032803,9069,1506,369,391922,0 20,2,2024-09-07 09:50:50:942,752055,752055,0,0,34007224,0,4321 20,3,2024-09-07 09:50:50:608,1,595,10,0,468,9679,595,0 21,0,2024-09-07 09:50:51:143,149124,0.5,149303,0.6,298320,0.4,396587,2.00 21,1,2024-09-07 09:50:51:541,1041634,1041634,0,0,488538825462,5159006567,1024769,13030,3835,368,392016,0 21,2,2024-09-07 09:50:51:068,746895,746418,477,0,44697228,0,17074 21,3,2024-09-07 09:50:51:423,1,595,1,0,713,8637,595,0 22,0,2024-09-07 09:50:51:721,149253,0.5,149922,0.6,299056,0.4,396789,2.00 22,1,2024-09-07 09:50:51:025,1042579,1042579,0,0,487805681163,5136750510,1024764,14275,3540,382,391822,0 22,2,2024-09-07 09:50:50:767,750309,750283,26,0,32444931,0,6328 22,3,2024-09-07 09:50:51:066,1,595,1,0,228,4994,595,0 23,0,2024-09-07 09:50:51:372,148093,0.5,147857,0.7,295731,0.5,394158,2.00 23,1,2024-09-07 09:50:51:007,1042580,1042580,0,0,490058666267,5166023692,1023108,12894,6578,365,391690,0 23,2,2024-09-07 09:50:51:098,755572,755572,0,0,31234936,0,3773 23,3,2024-09-07 09:50:51:759,1,595,1,0,855,8810,595,0 24,0,2024-09-07 09:50:50:849,149119,0.4,148494,0.6,298576,0.4,396574,1.75 24,1,2024-09-07 09:50:50:605,1042805,1042805,0,0,488860988869,5119129186,1032747,8349,1709,367,392269,0 24,2,2024-09-07 09:50:51:078,751271,751268,3,0,37788648,0,6294 24,3,2024-09-07 09:50:51:702,1,595,3,0,468,7649,595,0 25,0,2024-09-07 09:50:51:430,153107,0.5,149000,0.6,292691,0.4,398437,2.00 25,1,2024-09-07 09:50:50:594,1041188,1041188,0,0,488644720614,5165556022,1022431,15047,3710,369,391928,0 25,2,2024-09-07 09:50:51:610,748972,748972,0,0,38322411,0,3978 25,3,2024-09-07 09:50:51:007,1,595,1,0,532,6504,595,0 26,0,2024-09-07 09:50:51:768,148727,0.4,145382,0.6,305371,0.4,397296,1.75 26,1,2024-09-07 09:50:51:542,1044857,1044857,0,0,490132250978,5133458523,1030521,11803,2533,380,391748,0 26,2,2024-09-07 09:50:50:872,751515,751515,0,0,41117385,0,4689 26,3,2024-09-07 09:50:51:715,1,595,4,0,796,7558,595,0 27,0,2024-09-07 09:50:51:733,149073,0.4,149730,0.6,297685,0.4,396755,2.25 27,1,2024-09-07 09:50:51:702,1045247,1045247,0,0,490959839340,5118432187,1034851,8787,1609,381,391626,0 27,2,2024-09-07 09:50:50:867,751356,751291,65,0,35870840,0,5699 27,3,2024-09-07 09:50:51:017,1,595,0,0,564,5196,595,0 28,0,2024-09-07 09:50:51:414,148006,0.4,148060,0.6,296331,0.3,395335,1.75 28,1,2024-09-07 09:50:50:799,1046223,1046223,0,0,491921851547,5129132391,1037287,7045,1891,382,391904,0 28,2,2024-09-07 09:50:51:764,751421,751421,0,0,30796887,0,2915 28,3,2024-09-07 09:50:51:779,1,595,8,0,502,5932,595,0 29,0,2024-09-07 09:50:51:374,154079,0.4,149956,0.6,294294,0.4,401010,1.75 29,1,2024-09-07 09:50:51:561,1049228,1049228,0,0,491854934805,5088114293,1042870,5582,776,367,391809,0 29,2,2024-09-07 09:50:50:875,751604,751604,0,0,30004046,0,4986 29,3,2024-09-07 09:50:50:963,1,595,1,0,459,6491,595,0 30,0,2024-09-07 09:50:51:461,148963,0.5,145115,0.7,303764,0.4,396710,2.00 30,1,2024-09-07 09:50:50:571,1048352,1048352,0,0,492214543729,5107214412,1040784,6658,910,380,391672,0 30,2,2024-09-07 09:50:51:277,754371,754371,0,0,28652116,0,4192 30,3,2024-09-07 09:50:50:589,1,595,6,0,519,5597,595,0 31,0,2024-09-07 09:50:51:760,149711,0.4,150492,0.6,299883,0.4,400461,2.00 31,1,2024-09-07 09:50:50:564,1051514,1051514,0,0,492881312642,5062714399,1045058,5382,1074,356,391712,0 31,2,2024-09-07 09:50:51:276,752534,752534,0,0,32177345,0,4470 31,3,2024-09-07 09:50:51:728,1,595,1,0,239,5168,595,0 32,0,2024-09-07 09:50:51:416,148445,0.3,149438,0.5,297366,0.3,396594,1.75 32,1,2024-09-07 09:50:50:804,1047603,1047603,0,0,491283497449,5106279451,1041425,5460,718,381,391646,0 32,2,2024-09-07 09:50:50:948,753485,753485,0,0,28304975,0,3922 32,3,2024-09-07 09:50:51:016,1,595,1,0,304,4889,595,0 33,0,2024-09-07 09:50:51:492,150534,0.3,150216,0.4,300594,0.2,399794,1.50 33,1,2024-09-07 09:50:50:575,1047685,1047685,0,0,492398726077,5101579689,1039240,7172,1273,368,391730,0 33,2,2024-09-07 09:50:50:762,753461,753426,35,0,31407561,0,7012 33,3,2024-09-07 09:50:50:895,1,595,1,0,329,5250,595,0 34,0,2024-09-07 09:50:50:935,149533,0.3,153632,0.5,293902,0.2,396986,1.75 34,1,2024-09-07 09:50:51:048,1049927,1049927,0,0,492419759178,5066529035,1046966,2851,110,367,391637,0 34,2,2024-09-07 09:50:50:783,753895,753895,0,0,30016059,0,4562 34,3,2024-09-07 09:50:51:689,1,595,0,0,541,5497,595,0 35,0,2024-09-07 09:50:50:873,148320,0.3,149204,0.5,299285,0.2,397580,1.75 35,1,2024-09-07 09:50:51:067,1045930,1045930,0,0,491013616696,5097540045,1037822,6585,1523,382,391769,0 35,2,2024-09-07 09:50:51:583,752370,752370,0,0,32802095,0,4055 35,3,2024-09-07 09:50:50:908,1,595,0,0,466,5676,595,0 36,0,2024-09-07 09:50:51:515,149204,0.5,149213,0.7,297957,0.4,397452,2.00 36,1,2024-09-07 09:50:50:590,1044575,1044575,0,0,490779939419,5126494330,1031000,11335,2240,366,391759,0 36,2,2024-09-07 09:50:51:755,754064,754064,0,0,34082279,0,3875 36,3,2024-09-07 09:50:50:871,1,595,1,0,556,8226,595,0 37,0,2024-09-07 09:50:51:384,147363,0.5,147489,0.7,295105,0.5,393853,2.25 37,1,2024-09-07 09:50:50:581,1044622,1044615,0,7,491062241258,5128760938,1031971,9731,2913,365,391770,0 37,2,2024-09-07 09:50:51:167,749604,749589,15,0,34094352,0,5815 37,3,2024-09-07 09:50:51:769,1,595,1,0,888,7967,595,0 38,0,2024-09-07 09:50:51:440,147970,0.5,143452,0.7,299968,0.4,393387,2.00 38,1,2024-09-07 09:50:51:608,1044212,1044212,0,0,490774328759,5135090111,1028887,12326,2999,368,391821,0 38,2,2024-09-07 09:50:50:763,751233,751186,47,0,34289078,0,6710 38,3,2024-09-07 09:50:50:997,1,595,1,0,689,7394,595,0 39,0,2024-09-07 09:50:51:770,152887,0.5,149501,0.7,291529,0.5,397893,2.00 39,1,2024-09-07 09:50:50:716,1044230,1044230,0,0,490082161465,5140054240,1026786,13729,3715,365,391865,0 39,2,2024-09-07 09:50:51:422,751863,751863,0,0,32331437,0,3391 39,3,2024-09-07 09:50:50:713,1,595,1,0,525,6658,595,0 40,0,2024-09-07 09:50:51:492,147351,0.8,148002,1.0,295370,1.0,394430,2.75 40,1,2024-09-07 09:50:50:637,1044891,1044891,0,0,489125085331,5126919633,1029286,12537,3068,368,391668,0 40,2,2024-09-07 09:50:51:308,752490,752483,7,0,38959571,0,5347 40,3,2024-09-07 09:50:51:142,1,595,3,0,1028,8853,595,0 41,0,2024-09-07 09:50:51:025,147145,1.4,150545,1.2,287176,2.0,390750,3.25 41,1,2024-09-07 09:50:50:768,1043927,1043927,0,0,489598944765,5124923146,1030159,11609,2159,369,391878,0 41,2,2024-09-07 09:50:50:763,748120,748119,1,0,37112165,0,5408 41,3,2024-09-07 09:50:51:678,1,595,1,0,366,6406,595,0 42,0,2024-09-07 09:50:51:483,148422,1.0,148024,1.1,296290,1.2,393199,2.75 42,1,2024-09-07 09:50:51:441,1041643,1041643,0,0,488709491098,5137747460,1025153,13558,2932,380,391675,0 42,2,2024-09-07 09:50:51:138,749233,749232,1,0,37369155,0,5513 42,3,2024-09-07 09:50:51:009,1,595,1,0,892,5619,595,0 43,0,2024-09-07 09:50:50:949,148387,0.7,144495,0.9,302402,0.7,395488,2.25 43,1,2024-09-07 09:50:50:576,1045358,1045358,0,0,490202905293,5124901673,1030619,12167,2572,366,391696,0 43,2,2024-09-07 09:50:51:738,752706,752706,0,0,35375827,0,4723 43,3,2024-09-07 09:50:51:759,1,595,1,0,571,8694,595,0 44,0,2024-09-07 09:50:50:889,150254,0.4,150170,0.6,300586,0.3,399199,1.75 44,1,2024-09-07 09:50:50:570,1048123,1048123,0,0,491250149091,5079590339,1038757,7425,1941,356,391809,0 44,2,2024-09-07 09:50:51:267,752250,752250,0,0,28746588,0,4344 44,3,2024-09-07 09:50:51:095,1,595,0,0,1097,7405,595,0 45,0,2024-09-07 09:50:51:768,145939,0.5,142638,0.7,299392,0.5,393185,2.00 45,1,2024-09-07 09:50:51:008,1046977,1046977,0,0,492033002690,5113517874,1038726,7348,903,382,391917,0 45,2,2024-09-07 09:50:51:268,752057,752057,0,0,29067305,0,3596 45,3,2024-09-07 09:50:50:941,1,595,1,0,531,5734,595,0 46,0,2024-09-07 09:50:50:960,149070,0.6,148605,0.8,298206,0.6,396023,2.25 46,1,2024-09-07 09:50:50:582,1049064,1049064,0,0,492673709528,5093680452,1041572,6504,988,366,391709,0 46,2,2024-09-07 09:50:50:593,752967,752967,0,0,29767638,0,4443 46,3,2024-09-07 09:50:51:134,1,595,1,0,908,7173,595,0 47,0,2024-09-07 09:50:51:102,150209,0.4,150100,0.6,301275,0.4,399267,2.00 47,1,2024-09-07 09:50:50:575,1049641,1049641,0,0,492514515602,5088708908,1043543,5236,862,365,391641,0 47,2,2024-09-07 09:50:50:909,756763,756763,0,0,28834639,0,4477 47,3,2024-09-07 09:50:51:120,1,595,1,0,600,6582,595,0 48,0,2024-09-07 09:50:51:494,149822,0.3,149868,0.4,299486,0.2,398513,1.50 48,1,2024-09-07 09:50:51:025,1047344,1047344,0,0,490985206267,5099439892,1041246,5520,578,381,391710,0 48,2,2024-09-07 09:50:50:710,753263,753263,0,0,27312417,0,3524 48,3,2024-09-07 09:50:50:762,1,595,170,0,339,5325,595,0 49,0,2024-09-07 09:50:51:785,152537,0.3,149515,0.5,290968,0.3,397136,1.75 49,1,2024-09-07 09:50:51:021,1046062,1046062,0,0,490868763782,5107172090,1038792,5575,1695,382,391809,0 49,2,2024-09-07 09:50:51:805,753991,753991,0,0,29559123,0,4426 49,3,2024-09-07 09:50:51:417,1,595,1,0,992,7151,595,0 50,0,2024-09-07 09:50:51:505,149834,0.3,148086,0.5,298468,0.2,397563,1.75 50,1,2024-09-07 09:50:51:011,1049347,1049347,0,0,493368598711,5100588657,1042823,5855,669,368,391691,0 50,2,2024-09-07 09:50:51:067,751424,751424,0,0,27400277,0,4490 50,3,2024-09-07 09:50:51:291,1,595,1,0,617,6149,595,0 51,0,2024-09-07 09:50:51:681,153132,0.3,149927,0.5,291844,0.2,398295,1.75 51,1,2024-09-07 09:50:51:680,1050134,1050134,0,0,493300594828,5087392298,1044478,4575,1081,365,391706,0 51,2,2024-09-07 09:50:51:316,752980,752980,0,0,26901727,0,3337 51,3,2024-09-07 09:50:51:028,1,595,0,0,678,4270,595,0 52,0,2024-09-07 09:50:51:411,149926,0.5,149583,0.6,299551,0.4,397782,2.00 52,1,2024-09-07 09:50:50:577,1044922,1044922,0,0,490236759402,5131718935,1029899,12693,2330,368,391805,0 52,2,2024-09-07 09:50:51:755,748593,748555,38,0,36142612,0,6742 52,3,2024-09-07 09:50:50:675,1,595,1,0,1782,7092,595,0 53,0,2024-09-07 09:50:51:732,147828,0.7,143503,0.8,300225,0.7,393261,2.50 53,1,2024-09-07 09:50:50:771,1043101,1043101,0,0,489142808527,5135347617,1024919,13787,4395,367,391968,0 53,2,2024-09-07 09:50:51:298,754589,754588,1,0,32733844,0,5455 53,3,2024-09-07 09:50:50:710,1,595,0,0,308,5588,595,0 54,0,2024-09-07 09:50:51:619,146673,0.6,146877,0.8,292593,0.5,391047,2.25 54,1,2024-09-07 09:50:50:580,1046110,1046110,0,0,491465911462,5112809462,1035004,9436,1670,366,391810,0 54,2,2024-09-07 09:50:50:873,752059,752027,32,0,36322523,0,6397 54,3,2024-09-07 09:50:50:782,1,595,1,0,676,7764,595,0 55,0,2024-09-07 09:50:51:762,143683,0.7,148208,0.8,300451,0.6,391251,2.50 55,1,2024-09-07 09:50:50:764,1046315,1046315,0,0,491146145985,5108982052,1035458,9468,1389,365,391731,0 55,2,2024-09-07 09:50:50:736,749632,749576,56,0,33815101,0,7239 55,3,2024-09-07 09:50:50:676,1,595,0,0,304,5516,595,0 56,0,2024-09-07 09:50:51:593,152349,1.1,143521,1.1,295858,1.5,395809,2.75 56,1,2024-09-07 09:50:50:577,1039841,1039841,0,0,488644024358,5171031672,1021876,14277,3688,381,391867,0 56,2,2024-09-07 09:50:51:303,750811,750689,122,0,36098155,0,7432 56,3,2024-09-07 09:50:51:062,1,595,10,0,705,6923,595,0 57,0,2024-09-07 09:50:50:961,147354,1.4,147150,1.2,294636,2.0,393290,3.25 57,1,2024-09-07 09:50:50:988,1042360,1042360,0,0,489103440432,5136884532,1027938,11933,2489,366,392032,0 57,2,2024-09-07 09:50:51:604,753590,753590,0,0,37742158,0,4804 57,3,2024-09-07 09:50:51:738,1,595,11,0,455,7035,595,0 58,0,2024-09-07 09:50:50:555,145658,1.0,141449,1.0,295839,1.2,387561,2.75 58,1,2024-09-07 09:50:50:575,1044242,1044239,0,3,489770846781,5137172700,1028094,12244,3901,367,391726,3 58,2,2024-09-07 09:50:51:072,751353,751353,0,0,35393523,0,3483 58,3,2024-09-07 09:50:51:071,1,595,9,0,1043,6698,595,0 59,0,2024-09-07 09:50:51:749,148325,0.9,147881,1.0,295468,1.0,392081,3.00 59,1,2024-09-07 09:50:50:804,1042886,1042886,0,0,490046251426,5152597952,1025066,14153,3667,369,391653,0 59,2,2024-09-07 09:50:50:591,751536,751536,0,0,33493846,0,3727 59,3,2024-09-07 09:50:51:738,1,595,3,0,1015,7341,595,0 60,0,2024-09-07 09:50:51:762,149641,0.5,149811,0.7,299854,0.5,399022,1.75 60,1,2024-09-07 09:50:50:775,1047938,1047938,0,0,491772486473,5111764457,1039991,6860,1087,370,392031,0 60,2,2024-09-07 09:50:51:157,753535,753535,0,0,31664988,0,3811 60,3,2024-09-07 09:50:51:258,1,595,1,0,409,6959,595,0 61,0,2024-09-07 09:50:51:562,149883,0.6,150404,0.8,299449,0.6,399810,2.00 61,1,2024-09-07 09:50:50:777,1044425,1044425,0,0,490041919859,5131196933,1033302,9444,1679,382,392127,0 61,2,2024-09-07 09:50:51:126,753501,753434,67,0,32257040,0,6411 61,3,2024-09-07 09:50:51:687,1,595,0,0,607,7823,595,0 62,0,2024-09-07 09:50:51:774,148924,0.6,152662,0.7,291610,0.6,396683,2.00 62,1,2024-09-07 09:50:51:131,1050961,1050955,0,6,493290980134,5086198077,1045990,4666,299,365,391975,6 62,2,2024-09-07 09:50:51:660,749867,749866,1,0,32241551,0,5555 62,3,2024-09-07 09:50:51:151,1,595,0,0,482,4807,595,0 63,0,2024-09-07 09:50:51:456,150585,0.4,150317,0.6,301212,0.4,400065,1.75 63,1,2024-09-07 09:50:50:805,1047186,1047180,0,6,491655143737,5106139283,1040334,5991,855,381,391800,6 63,2,2024-09-07 09:50:50:765,752691,752691,0,0,30634692,0,4369 63,3,2024-09-07 09:50:51:737,1,595,1,0,667,6178,595,0 64,0,2024-09-07 09:50:51:554,148654,0.5,148504,0.7,296650,0.4,395527,2.00 64,1,2024-09-07 09:50:50:761,1046542,1046542,0,0,491945481704,5124456457,1037206,7360,1976,370,391794,0 64,2,2024-09-07 09:50:51:158,756878,756859,19,0,29667472,0,6121 64,3,2024-09-07 09:50:51:140,1,595,2,0,651,6877,595,0 65,0,2024-09-07 09:50:51:713,147998,0.6,148318,0.7,296323,0.6,394889,2.00 65,1,2024-09-07 09:50:50:880,1044634,1044634,0,0,489198325072,5113815394,1037201,6560,873,381,391901,0 65,2,2024-09-07 09:50:51:692,751639,751639,0,0,35591627,0,3367 65,3,2024-09-07 09:50:51:711,1,595,0,0,782,6666,595,0 66,0,2024-09-07 09:50:51:768,148350,0.5,147917,0.7,295915,0.5,394646,2.25 66,1,2024-09-07 09:50:51:293,1047021,1047021,0,0,490921720701,5108952682,1040521,5778,722,380,391743,0 66,2,2024-09-07 09:50:51:131,756309,756306,3,0,31729860,0,5455 66,3,2024-09-07 09:50:51:082,1,595,1,0,291,5086,595,0 67,0,2024-09-07 09:50:51:411,147935,0.5,147588,0.7,296192,0.5,394407,2.00 67,1,2024-09-07 09:50:50:784,1046110,1046109,0,1,491926240913,5122397593,1038711,6453,945,380,391787,1 67,2,2024-09-07 09:50:50:587,754722,754707,15,0,31481810,0,6205 67,3,2024-09-07 09:50:51:760,1,595,0,0,595,6295,595,0 68,0,2024-09-07 09:50:50:597,148930,0.6,148710,0.8,296164,0.6,396602,2.25 68,1,2024-09-07 09:50:50:577,1042033,1042033,0,0,488577948846,5138130745,1028244,10259,3530,381,391953,0 68,2,2024-09-07 09:50:51:053,747992,747892,100,0,39432666,0,8578 68,3,2024-09-07 09:50:50:738,1,595,0,0,417,7762,595,0 69,0,2024-09-07 09:50:51:739,148929,0.7,149678,0.8,298496,0.6,396613,2.25 69,1,2024-09-07 09:50:51:017,1041042,1041042,0,0,488968894470,5153644669,1027185,11024,2833,383,391994,0 69,2,2024-09-07 09:50:51:737,749178,749149,29,0,41630258,0,6912 69,3,2024-09-07 09:50:50:765,1,595,1,0,698,8598,595,0 70,0,2024-09-07 09:50:51:537,147097,0.7,147648,0.9,296758,0.6,393287,2.50 70,1,2024-09-07 09:50:50:801,1047863,1047863,0,0,492233946574,5107585846,1039952,7105,806,366,391725,0 70,2,2024-09-07 09:50:51:336,755052,755052,0,0,34685809,0,4323 70,3,2024-09-07 09:50:50:769,1,595,0,0,854,6601,595,0 71,0,2024-09-07 09:50:51:362,146733,1.0,146502,1.0,293998,1.4,392840,2.75 71,1,2024-09-07 09:50:51:596,1045488,1045488,0,0,490055298689,5116387459,1032499,11368,1621,368,391738,0 71,2,2024-09-07 09:50:51:070,750656,750656,0,0,34726016,0,4352 71,3,2024-09-07 09:50:51:764,1,595,1,0,644,6744,595,0 72,0,2024-09-07 09:50:51:056,154287,0.6,150780,0.7,294296,0.6,400200,2.00 72,1,2024-09-07 09:50:51:022,1043181,1043181,0,0,489247274822,5134728759,1027883,12783,2515,369,391819,0 72,2,2024-09-07 09:50:51:758,749397,749397,0,0,36462227,0,3983 72,3,2024-09-07 09:50:51:763,1,595,2,0,564,8827,595,0 73,0,2024-09-07 09:50:51:133,145939,0.4,149756,0.6,306070,0.4,398088,2.00 73,1,2024-09-07 09:50:50:766,1045263,1045263,0,0,490791907136,5103640087,1036860,7421,982,367,391858,0 73,2,2024-09-07 09:50:51:741,752287,752286,1,0,38014237,0,5027 73,3,2024-09-07 09:50:50:978,1,595,0,0,1091,8354,595,0 74,0,2024-09-07 09:50:51:321,150985,0.5,154619,0.6,294908,0.4,399453,2.00 74,1,2024-09-07 09:50:50:635,1044373,1044373,0,0,490096960921,5118818423,1032471,9786,2116,381,391762,0 74,2,2024-09-07 09:50:51:006,752799,752799,0,0,33200119,0,4253 74,3,2024-09-07 09:50:51:452,1,595,1,0,522,7411,595,0 75,0,2024-09-07 09:50:51:772,148064,0.6,146980,0.8,295120,0.5,394599,2.25 75,1,2024-09-07 09:50:51:586,1045661,1045661,0,0,490066911262,5109310651,1037041,7752,868,380,391739,0 75,2,2024-09-07 09:50:51:350,749846,749846,0,0,40229064,0,4766 75,3,2024-09-07 09:50:51:070,1,595,1,0,918,8137,595,0 76,0,2024-09-07 09:50:50:664,149350,0.6,148778,0.8,297524,0.6,397718,2.25 76,1,2024-09-07 09:50:50:810,1045430,1045430,0,0,490682255388,5117802865,1038109,6332,989,382,391790,0 76,2,2024-09-07 09:50:51:063,754077,754074,3,0,32558610,0,5265 76,3,2024-09-07 09:50:51:143,1,595,1,0,227,5455,595,0 77,0,2024-09-07 09:50:51:723,149431,0.5,149919,0.7,299740,0.5,398568,2.00 77,1,2024-09-07 09:50:50:828,1045086,1045086,0,0,491300017209,5125911840,1037108,7103,875,381,391869,0 77,2,2024-09-07 09:50:51:281,753074,753074,0,0,31732445,0,3890 77,3,2024-09-07 09:50:51:099,1,595,11,0,401,6814,595,0 78,0,2024-09-07 09:50:51:744,149997,0.4,149434,0.6,299596,0.4,397127,2.00 78,1,2024-09-07 09:50:50:610,1045483,1045483,0,0,490349708737,5116232384,1032644,9922,2917,367,391670,0 78,2,2024-09-07 09:50:51:405,754271,754258,13,0,29690737,0,8313 78,3,2024-09-07 09:50:51:134,1,595,1,0,311,5062,595,0 79,0,2024-09-07 09:50:51:345,143503,0.4,146798,0.6,300827,0.3,391946,2.00 79,1,2024-09-07 09:50:50:572,1048849,1048849,0,0,492516576269,5099105403,1041005,6484,1360,367,391682,0 79,2,2024-09-07 09:50:51:068,753085,753085,0,0,28823335,0,4195 79,3,2024-09-07 09:50:50:749,1,595,0,0,418,7154,595,0 80,0,2024-09-07 09:50:51:114,148905,0.5,152994,0.7,292501,0.5,396689,2.00 80,1,2024-09-07 09:50:51:619,1045679,1045679,0,0,490396935725,5108108685,1038527,6701,451,368,392269,0 80,2,2024-09-07 09:50:51:095,754663,754663,0,0,29380719,0,4433 80,3,2024-09-07 09:50:50:575,1,595,1,0,681,7372,595,0 81,0,2024-09-07 09:50:51:560,149102,0.5,152511,0.7,291328,0.5,395477,2.00 81,1,2024-09-07 09:50:51:667,1044162,1044162,0,0,489880074372,5119867368,1036006,7390,766,382,391885,0 81,2,2024-09-07 09:50:51:131,751606,751543,63,0,32594274,0,5932 81,3,2024-09-07 09:50:51:124,1,595,1,0,719,6986,595,0 82,0,2024-09-07 09:50:51:558,149278,0.5,149387,0.7,299557,0.4,396786,2.00 82,1,2024-09-07 09:50:50:588,1047072,1047068,0,4,490811877785,5102573982,1041500,4745,823,381,391768,4 82,2,2024-09-07 09:50:51:702,753938,753938,0,0,27645287,0,4484 82,3,2024-09-07 09:50:51:757,1,595,8,0,363,5686,595,0 83,0,2024-09-07 09:50:51:589,148462,0.6,148441,0.7,296330,0.6,393526,2.25 83,1,2024-09-07 09:50:50:553,1045390,1045390,0,0,490642667423,5115384588,1037847,6898,645,382,391709,0 83,2,2024-09-07 09:50:50:765,755074,755049,25,0,29834172,0,5612 83,3,2024-09-07 09:50:50:749,1,595,34,0,1260,7192,595,0 84,0,2024-09-07 09:50:51:840,146673,0.8,146804,0.9,293598,0.7,392589,2.25 84,1,2024-09-07 09:50:51:044,1043602,1043602,0,0,490352547548,5128637744,1031556,10375,1671,367,391967,0 84,2,2024-09-07 09:50:50:586,750123,749713,410,0,43218353,0,17037 84,3,2024-09-07 09:50:51:167,1,595,9,0,908,8025,595,0 85,0,2024-09-07 09:50:51:009,142922,0.7,142880,0.8,303413,0.6,391604,2.25 85,1,2024-09-07 09:50:50:562,1040831,1040831,0,0,488488575020,5159620593,1024528,13506,2797,381,392092,0 85,2,2024-09-07 09:50:50:868,750113,750113,0,0,36387878,0,4255 85,3,2024-09-07 09:50:50:687,1,595,1,0,789,6874,595,0 86,0,2024-09-07 09:50:50:920,149164,0.7,153337,0.8,293230,0.7,396513,2.25 86,1,2024-09-07 09:50:50:826,1043586,1043586,0,0,490340253978,5142862181,1029756,11144,2686,366,392169,0 86,2,2024-09-07 09:50:50:860,751201,751200,1,0,38645605,0,5004 86,3,2024-09-07 09:50:50:600,1,595,6,0,308,8356,595,0 87,0,2024-09-07 09:50:51:295,149073,0.8,148639,0.8,297097,0.9,396584,2.25 87,1,2024-09-07 09:50:50:562,1043398,1043398,0,0,490096673956,5135716268,1030021,11580,1797,366,392076,0 87,2,2024-09-07 09:50:51:067,753206,753200,6,0,35222597,0,6323 87,3,2024-09-07 09:50:51:799,1,595,1,0,473,8563,595,0 88,0,2024-09-07 09:50:51:486,147866,0.5,148323,0.6,296352,0.4,395258,2.00 88,1,2024-09-07 09:50:50:582,1042162,1042162,0,0,488734776082,5126232128,1026783,12335,3044,365,392084,0 88,2,2024-09-07 09:50:50:693,750589,750589,0,0,38008154,0,4465 88,3,2024-09-07 09:50:51:277,1,595,21,0,1080,9231,595,0 89,0,2024-09-07 09:50:51:787,153850,0.5,149292,0.7,294580,0.4,400600,1.75 89,1,2024-09-07 09:50:50:564,1041634,1041634,0,0,490197979742,5157261231,1028654,11037,1943,382,391866,0 89,2,2024-09-07 09:50:51:185,750761,750761,0,0,35801848,0,3173 89,3,2024-09-07 09:50:51:791,1,595,1,0,468,10096,595,0 90,0,2024-09-07 09:50:51:634,145330,0.5,149264,0.6,304538,0.4,397129,2.00 90,1,2024-09-07 09:50:50:671,1044057,1044057,0,0,489677139612,5130563669,1033964,9201,892,380,391825,0 90,2,2024-09-07 09:50:51:406,750141,750136,5,0,39345883,0,6370 90,3,2024-09-07 09:50:50:951,1,595,4,0,322,7281,595,0 91,0,2024-09-07 09:50:50:966,150436,0.4,145958,0.6,305472,0.4,400435,1.75 91,1,2024-09-07 09:50:50:585,1041155,1041155,0,0,489569010411,5160877231,1025308,12699,3148,381,392047,0 91,2,2024-09-07 09:50:51:339,752599,752599,0,0,34587673,0,4713 91,3,2024-09-07 09:50:50:609,1,595,9,0,216,5399,595,0 92,0,2024-09-07 09:50:51:504,150014,0.5,153313,0.6,292316,0.5,396750,1.75 92,1,2024-09-07 09:50:50:588,1044955,1044955,0,0,490865282280,5128065349,1036597,7071,1287,381,392136,0 92,2,2024-09-07 09:50:51:354,751698,751698,0,0,30289146,0,3259 92,3,2024-09-07 09:50:51:016,1,595,1,0,167,5136,595,0 93,0,2024-09-07 09:50:51:018,150960,0.4,154657,0.6,295345,0.4,400010,1.75 93,1,2024-09-07 09:50:50:819,1044926,1044926,0,0,490850756175,5124036694,1033396,9602,1928,366,392048,0 93,2,2024-09-07 09:50:50:937,752469,752469,0,0,36092992,0,4913 93,3,2024-09-07 09:50:51:406,1,595,0,0,294,5844,595,0 94,0,2024-09-07 09:50:51:600,148735,0.4,149738,0.5,299621,0.3,398052,1.75 94,1,2024-09-07 09:50:50:565,1045822,1045822,0,0,490432928932,5115324187,1038880,6510,432,381,391850,0 94,2,2024-09-07 09:50:50:766,753081,753053,28,0,31029188,0,6179 94,3,2024-09-07 09:50:51:691,1,595,5,0,576,7450,595,0 95,0,2024-09-07 09:50:51:353,149115,0.3,149229,0.5,298507,0.3,397597,1.75 95,1,2024-09-07 09:50:50:853,1046847,1046847,0,0,491109179782,5104694817,1038417,7683,747,365,391852,0 95,2,2024-09-07 09:50:51:019,751435,751435,0,0,30494289,0,3308 95,3,2024-09-07 09:50:51:728,1,595,2,0,718,9402,595,0 96,0,2024-09-07 09:50:51:032,148745,0.4,149162,0.5,298208,0.3,396839,1.75 96,1,2024-09-07 09:50:51:598,1045196,1045196,0,0,490394232078,5115492065,1037816,6107,1273,384,391964,0 96,2,2024-09-07 09:50:51:296,754470,754470,0,0,31602803,0,4180 96,3,2024-09-07 09:50:51:147,1,595,1,0,411,6935,595,0 97,0,2024-09-07 09:50:51:381,148208,0.3,147943,0.5,296821,0.3,394208,1.75 97,1,2024-09-07 09:50:50:762,1046569,1046569,0,0,491644521630,5102990261,1039645,5887,1037,367,392140,0 97,2,2024-09-07 09:50:50:650,753492,753492,0,0,31223997,0,4046 97,3,2024-09-07 09:50:50:576,1,595,2,0,242,6743,595,0 98,0,2024-09-07 09:50:51:702,148511,0.3,148572,0.5,298176,0.3,396827,1.50 98,1,2024-09-07 09:50:50:577,1045824,1045824,0,0,490876047144,5113922696,1039349,5640,835,381,391997,0 98,2,2024-09-07 09:50:50:773,752049,752049,0,0,29968723,0,4336 98,3,2024-09-07 09:50:50:710,1,595,1,0,840,9033,595,0 99,0,2024-09-07 09:50:51:465,149725,0.3,150569,0.5,299480,0.3,399338,1.75 99,1,2024-09-07 09:50:51:727,1046689,1046689,0,0,489967985417,5099700329,1040326,5421,942,380,392069,0 99,2,2024-09-07 09:50:51:416,751713,751713,0,0,37146118,0,4276 99,3,2024-09-07 09:50:50:583,1,595,8,0,1124,7715,595,0 100,0,2024-09-07 09:50:51:518,148368,0.7,148596,0.9,296998,0.8,396792,2.50 100,1,2024-09-07 09:50:50:551,1040531,1040531,0,0,487965021909,5160207341,1024520,12697,3314,378,391989,0 100,2,2024-09-07 09:50:51:858,750939,750550,389,0,40413417,0,16909 100,3,2024-09-07 09:50:51:737,1,595,1,0,627,10068,595,0 101,0,2024-09-07 09:50:51:795,151156,1.2,147365,1.1,288594,1.2,394467,2.50 101,1,2024-09-07 09:50:50:555,1042243,1042243,0,0,489256957609,5142144300,1028201,11515,2527,368,391847,0 101,2,2024-09-07 09:50:51:757,747126,747126,0,0,40178374,0,4871 101,3,2024-09-07 09:50:50:951,1,595,2,0,1250,8987,595,0 102,0,2024-09-07 09:50:51:003,145406,0.7,149701,0.8,304199,0.7,397777,2.25 102,1,2024-09-07 09:50:51:144,1042061,1042061,0,0,488854748360,5136807077,1027951,11912,2198,369,391984,0 102,2,2024-09-07 09:50:51:737,751903,751849,54,0,34346585,0,6768 102,3,2024-09-07 09:50:51:618,1,595,1,0,466,6902,595,0 103,0,2024-09-07 09:50:51:596,154792,0.6,154760,0.7,291589,0.6,401368,2.00 103,1,2024-09-07 09:50:51:638,1040558,1040558,0,0,488551871324,5161566425,1023662,13299,3597,381,392077,0 103,2,2024-09-07 09:50:50:615,750388,750388,0,0,37208877,0,3766 103,3,2024-09-07 09:50:50:760,1,595,1,0,916,7104,595,0 104,0,2024-09-07 09:50:51:019,148969,0.7,149161,0.9,297724,0.7,397579,2.25 104,1,2024-09-07 09:50:51:825,1043184,1043184,0,0,488429603070,5135068792,1027663,12678,2843,365,392168,0 104,2,2024-09-07 09:50:51:680,750772,750772,0,0,36940827,0,4161 104,3,2024-09-07 09:50:51:419,1,595,1,0,1245,10607,595,0 105,0,2024-09-07 09:50:51:052,146112,1.0,142384,1.1,298261,1.2,392289,2.75 105,1,2024-09-07 09:50:50:562,1044878,1044878,0,0,490116768530,5137320970,1031316,11623,1939,364,392009,0 105,2,2024-09-07 09:50:51:323,750014,750014,0,0,37008597,0,4360 105,3,2024-09-07 09:50:51:326,1,595,5,0,573,9164,595,0 106,0,2024-09-07 09:50:51:023,144730,1.0,148247,1.0,303670,1.2,396276,2.50 106,1,2024-09-07 09:50:51:761,1043726,1043726,0,0,489310624968,5137700611,1029074,12758,1894,368,391914,0 106,2,2024-09-07 09:50:50:767,750455,750455,0,0,34903453,0,3331 106,3,2024-09-07 09:50:50:685,1,595,1,0,1224,8418,595,0 107,0,2024-09-07 09:50:51:122,149652,0.9,149759,0.9,299419,1.1,399277,2.25 107,1,2024-09-07 09:50:50:594,1040632,1040632,0,0,488176560533,5161719153,1023262,15237,2133,381,392234,0 107,2,2024-09-07 09:50:51:291,750387,750386,1,0,38053472,0,5024 107,3,2024-09-07 09:50:51:762,1,595,1,0,733,9059,595,0 108,0,2024-09-07 09:50:51:847,149317,0.4,149778,0.6,298619,0.4,398496,1.75 108,1,2024-09-07 09:50:51:301,1044948,1044948,0,0,490354968820,5115609529,1036257,7696,995,367,391894,0 108,2,2024-09-07 09:50:51:760,752451,752451,0,0,32720434,0,4246 108,3,2024-09-07 09:50:51:340,1,595,6,0,749,10847,595,0 109,0,2024-09-07 09:50:51:842,149229,0.4,148004,0.6,296904,0.3,396286,1.75 109,1,2024-09-07 09:50:50:627,1042175,1042175,0,0,490280769020,5143981279,1032702,8196,1277,382,392132,0 109,2,2024-09-07 09:50:50:921,750553,750553,0,0,33565252,0,3617 109,3,2024-09-07 09:50:51:140,1,595,2,0,630,7299,595,0 110,0,2024-09-07 09:50:51:816,149025,0.4,144967,0.6,303561,0.4,398643,1.75 110,1,2024-09-07 09:50:51:659,1046759,1046759,0,0,491415539752,5102694600,1038720,6113,1926,368,392045,0 110,2,2024-09-07 09:50:51:331,752928,752928,0,0,31056509,0,4067 110,3,2024-09-07 09:50:50:692,1,595,3,0,722,8035,595,0 111,0,2024-09-07 09:50:51:415,149944,0.4,149075,0.5,298208,0.3,397819,1.75 111,1,2024-09-07 09:50:51:001,1048120,1048120,0,0,491910950687,5102307065,1042638,5101,381,380,391690,0 111,2,2024-09-07 09:50:51:122,752587,752587,0,0,31581404,0,4823 111,3,2024-09-07 09:50:50:913,1,595,1,0,379,6524,595,0 112,0,2024-09-07 09:50:50:911,150228,0.3,149648,0.4,299982,0.2,398073,1.50 112,1,2024-09-07 09:50:50:825,1046638,1046638,0,0,490746701143,5097661202,1039439,5974,1225,380,391624,0 112,2,2024-09-07 09:50:51:133,751806,751805,1,0,29902155,0,5036 112,3,2024-09-07 09:50:50:598,1,595,4,0,282,5723,595,0 113,0,2024-09-07 09:50:50:881,148586,0.3,148632,0.5,297456,0.2,395912,1.75 113,1,2024-09-07 09:50:51:684,1048865,1048865,0,0,493122561337,5089230777,1042636,5281,948,365,391664,0 113,2,2024-09-07 09:50:51:303,757352,757352,0,0,28414780,0,3813 113,3,2024-09-07 09:50:50:685,1,595,1,0,510,6443,595,0 114,0,2024-09-07 09:50:50:878,148545,0.3,149502,0.5,297911,0.2,398118,1.75 114,1,2024-09-07 09:50:50:716,1047200,1047200,0,0,491270998064,5102873301,1039259,6194,1747,381,391565,0 114,2,2024-09-07 09:50:50:878,754145,754144,1,0,29519021,0,5069 114,3,2024-09-07 09:50:51:278,1,595,2,0,395,4814,595,0 115,0,2024-09-07 09:50:50:557,149555,0.3,150147,0.4,298905,0.2,397688,1.50 115,1,2024-09-07 09:50:50:577,1047387,1047387,0,0,491225343958,5104730445,1039131,6739,1517,382,391757,0 115,2,2024-09-07 09:50:51:125,753274,753274,0,0,29581584,0,4382 115,3,2024-09-07 09:50:51:005,1,595,0,0,167,3585,595,0 116,0,2024-09-07 09:50:51:731,149172,0.7,148925,0.8,298574,0.6,398811,2.00 116,1,2024-09-07 09:50:50:810,1041911,1041911,0,0,488341388324,5148767979,1029271,9551,3089,380,392089,0 116,2,2024-09-07 09:50:51:776,751712,751712,0,0,36897746,0,4475 116,3,2024-09-07 09:50:50:912,1,595,4,0,448,7757,595,0 117,0,2024-09-07 09:50:50:961,149343,0.7,148672,0.8,297877,0.7,397921,2.00 117,1,2024-09-07 09:50:51:588,1043333,1043333,0,0,489174180801,5123265821,1031718,10094,1521,369,392033,0 117,2,2024-09-07 09:50:51:161,755731,755731,0,0,33433848,0,4303 117,3,2024-09-07 09:50:51:060,1,595,1,0,490,7634,595,0 118,0,2024-09-07 09:50:51:775,143380,0.6,147174,0.7,300593,0.5,393760,2.00 118,1,2024-09-07 09:50:50:619,1042502,1042502,0,0,489080594664,5143819600,1026750,12131,3621,366,392054,0 118,2,2024-09-07 09:50:51:587,750212,750212,0,0,35521104,0,2842 118,3,2024-09-07 09:50:51:779,1,595,2,0,289,7054,595,0 119,0,2024-09-07 09:50:51:338,149347,0.8,150114,0.8,299364,0.9,398983,2.25 119,1,2024-09-07 09:50:50:554,1043091,1043091,0,0,489716665571,5138164961,1028398,12181,2512,367,391857,0 119,2,2024-09-07 09:50:51:265,752369,752369,0,0,33456927,0,4309 119,3,2024-09-07 09:50:51:325,1,595,1,0,1358,11013,595,0 120,0,2024-09-07 09:50:51:595,148913,0.7,148810,0.8,298916,0.7,398148,2.25 120,1,2024-09-07 09:50:50:877,1044278,1044278,0,0,488989379990,5131253655,1032614,10523,1141,367,392144,0 120,2,2024-09-07 09:50:50:773,752178,752175,3,0,40150982,0,5363 120,3,2024-09-07 09:50:51:291,1,595,1,0,279,7254,595,0 121,0,2024-09-07 09:50:51:740,150157,1.1,149596,1.0,299548,1.4,399390,2.25 121,1,2024-09-07 09:50:51:661,1043473,1043473,0,0,488820461410,5117944486,1032187,9733,1553,366,391840,0 121,2,2024-09-07 09:50:51:131,751928,751928,0,0,36645329,0,4157 121,3,2024-09-07 09:50:50:747,1,595,2,0,387,7936,595,0 122,0,2024-09-07 09:50:51:846,147832,0.8,143859,0.9,301352,0.9,396663,2.00 122,1,2024-09-07 09:50:50:870,1042692,1042692,0,0,489060114175,5136567586,1027698,12582,2412,365,392130,0 122,2,2024-09-07 09:50:51:319,751962,751887,75,0,40270251,0,5989 122,3,2024-09-07 09:50:50:594,1,595,1,0,512,9750,595,0 123,0,2024-09-07 09:50:50:978,149479,0.9,145359,0.9,304521,1.0,398745,2.25 123,1,2024-09-07 09:50:50:560,1042622,1042622,0,0,489146296048,5146425337,1025810,14140,2672,369,392039,0 123,2,2024-09-07 09:50:51:029,750087,750086,1,0,34284001,0,5215 123,3,2024-09-07 09:50:51:135,1,595,1,0,478,6868,595,0 124,0,2024-09-07 09:50:50:930,153368,0.3,153423,0.5,289242,0.3,398497,1.75 124,1,2024-09-07 09:50:51:022,1047022,1047022,0,0,490168432496,5096816967,1039289,6558,1175,365,392178,0 124,2,2024-09-07 09:50:51:011,754747,754694,53,0,30924172,0,6487 124,3,2024-09-07 09:50:50:759,1,595,19,0,490,5945,595,0 125,0,2024-09-07 09:50:51:444,148879,0.4,149006,0.5,298772,0.3,397567,1.75 125,1,2024-09-07 09:50:50:862,1043800,1043800,0,0,490030939141,5116265670,1035733,6916,1151,382,391968,0 125,2,2024-09-07 09:50:51:116,754485,754485,0,0,31072605,0,4534 125,3,2024-09-07 09:50:51:133,1,595,84,0,709,6899,595,0 126,0,2024-09-07 09:50:51:461,149065,0.4,153235,0.6,293257,0.4,397377,1.75 126,1,2024-09-07 09:50:50:552,1047374,1047374,0,0,491608881825,5090149949,1041865,5063,446,365,391987,0 126,2,2024-09-07 09:50:50:616,755399,755399,0,0,32335920,0,4539 126,3,2024-09-07 09:50:50:946,1,595,15,0,268,6603,595,0 127,0,2024-09-07 09:50:51:618,148508,0.3,148639,0.5,296613,0.3,394638,1.75 127,1,2024-09-07 09:50:50:571,1045517,1045517,0,0,490929856330,5109508857,1034847,9034,1636,364,392187,0 127,2,2024-09-07 09:50:50:637,752317,752313,4,0,30424218,0,5305 127,3,2024-09-07 09:50:51:268,1,595,5,0,968,5775,595,0 128,0,2024-09-07 09:50:51:548,149414,0.3,149471,0.4,298608,0.2,397374,1.50 128,1,2024-09-07 09:50:51:605,1045664,1045664,0,0,490965097102,5108018938,1037254,7446,964,367,392423,0 128,2,2024-09-07 09:50:51:383,753319,753319,0,0,28240464,0,3171 128,3,2024-09-07 09:50:50:767,1,595,5,0,1082,9521,595,0 129,0,2024-09-07 09:50:50:999,150867,0.3,150094,0.5,300991,0.3,399807,1.50 129,1,2024-09-07 09:50:50:567,1042607,1042607,0,0,489903531338,5136099406,1032145,8440,2022,379,391962,0 129,2,2024-09-07 09:50:50:692,753843,753839,4,0,30802979,0,5335 129,3,2024-09-07 09:50:50:705,1,595,9,0,506,8267,595,0 130,0,2024-09-07 09:50:51:752,149580,0.4,149000,0.5,298708,0.4,398034,1.75 130,1,2024-09-07 09:50:50:588,1046545,1046545,0,0,491052016388,5101319942,1041112,5038,395,381,391825,0 130,2,2024-09-07 09:50:51:138,755335,755335,0,0,31138446,0,4067 130,3,2024-09-07 09:50:51:291,1,595,34,0,960,8273,595,0 131,0,2024-09-07 09:50:52:075,148146,0.3,148549,0.5,298009,0.3,396219,1.75 131,1,2024-09-07 09:50:51:837,1045960,1045960,0,0,491225573879,5121320468,1038738,6026,1196,381,391865,0 131,2,2024-09-07 09:50:50:570,752296,752296,0,0,28723319,0,3979 131,3,2024-09-07 09:50:51:690,1,595,6,0,392,7692,595,0 132,0,2024-09-07 09:50:51:439,149720,0.5,150620,0.6,299996,0.5,398771,2.00 132,1,2024-09-07 09:50:50:576,1041448,1041448,0,0,488124008271,5140421468,1026145,12601,2702,381,392532,0 132,2,2024-09-07 09:50:50:714,751253,751236,17,0,37345992,0,6451 132,3,2024-09-07 09:50:51:690,1,595,9,0,1298,10773,595,0 133,0,2024-09-07 09:50:51:525,146094,0.4,149463,0.6,306420,0.4,398834,2.00 133,1,2024-09-07 09:50:50:591,1042254,1042254,0,0,489662346678,5159639572,1028193,12299,1762,383,391914,0 133,2,2024-09-07 09:50:51:086,752813,752763,50,0,38440953,0,6861 133,3,2024-09-07 09:50:51:301,1,595,1,0,528,7197,595,0 134,0,2024-09-07 09:50:50:998,150241,0.5,150357,0.7,300907,0.5,399814,2.00 134,1,2024-09-07 09:50:50:590,1043290,1043290,0,0,489286208701,5133554086,1029523,11281,2486,366,391781,0 134,2,2024-09-07 09:50:51:765,753587,753563,24,0,35599177,0,6207 134,3,2024-09-07 09:50:50:760,1,595,15,0,739,7357,595,0 135,0,2024-09-07 09:50:51:184,143142,0.8,143157,0.9,303895,1.0,392022,2.25 135,1,2024-09-07 09:50:51:610,1042580,1042580,0,0,489749102482,5150197558,1029566,11374,1640,380,391805,0 135,2,2024-09-07 09:50:50:695,751654,751654,0,0,36315511,0,4503 135,3,2024-09-07 09:50:51:009,1,595,5,0,900,5892,595,0 136,0,2024-09-07 09:50:51:650,150218,0.6,150756,0.8,299254,0.6,399338,2.25 136,1,2024-09-07 09:50:51:454,1044003,1044003,0,0,489886543267,5136846922,1032103,10512,1388,381,392135,0 136,2,2024-09-07 09:50:51:147,753253,753238,15,0,35860941,0,6007 136,3,2024-09-07 09:50:51:123,1,595,20,0,637,6947,595,0 137,0,2024-09-07 09:50:50:921,154665,0.6,150717,0.7,295281,0.6,401049,2.00 137,1,2024-09-07 09:50:50:576,1042888,1042888,0,0,489118666052,5133251130,1026354,13146,3388,366,391898,0 137,2,2024-09-07 09:50:51:715,751890,751890,0,0,37019923,0,3185 137,3,2024-09-07 09:50:50:769,1,595,24,0,484,8243,595,0 138,0,2024-09-07 09:50:51:751,148779,0.7,149103,0.8,298662,0.8,396983,2.00 138,1,2024-09-07 09:50:51:688,1043294,1043294,0,0,489320835263,5135686725,1028523,12501,2270,368,391954,0 138,2,2024-09-07 09:50:50:588,751720,751720,0,0,35317522,0,4988 138,3,2024-09-07 09:50:50:615,1,595,1,0,1200,9390,595,0 139,0,2024-09-07 09:50:51:378,147285,1.1,147872,1.0,295686,1.5,394607,2.25 139,1,2024-09-07 09:50:50:584,1039085,1039085,0,0,486691248245,5163303889,1020703,14558,3824,380,392109,0 139,2,2024-09-07 09:50:50:694,747487,747457,30,0,40614387,0,5997 139,3,2024-09-07 09:50:51:665,1,595,0,0,432,7110,595,0 140,0,2024-09-07 09:50:51:588,149749,0.3,148893,0.5,298757,0.2,398971,1.75 140,1,2024-09-07 09:50:51:537,1049408,1049408,0,0,492328120807,5075916196,1044439,4423,546,364,391606,0 140,2,2024-09-07 09:50:50:689,753477,753476,1,0,28798278,0,5036 140,3,2024-09-07 09:50:50:767,1,595,1,0,575,5991,595,0 141,0,2024-09-07 09:50:51:738,149517,0.3,153657,0.5,293585,0.3,397615,1.75 141,1,2024-09-07 09:50:50:861,1047522,1047522,0,0,491645309451,5108301637,1039325,7005,1192,379,391614,0 141,2,2024-09-07 09:50:51:703,752954,752943,11,0,30905322,0,5369 141,3,2024-09-07 09:50:51:044,1,595,1,0,391,6952,595,0 142,0,2024-09-07 09:50:51:326,150295,0.3,149466,0.5,299286,0.2,398893,1.50 142,1,2024-09-07 09:50:50:594,1045648,1045648,0,0,490345450019,5112030448,1038943,6199,506,382,392102,0 142,2,2024-09-07 09:50:51:299,751344,751312,32,0,31422032,0,6028 142,3,2024-09-07 09:50:51:746,1,595,14,0,484,6368,595,0 143,0,2024-09-07 09:50:51:375,148333,0.4,148441,0.5,297879,0.4,395842,1.75 143,1,2024-09-07 09:50:50:559,1048128,1048128,0,0,490833445030,5093107227,1041666,5894,568,367,391900,0 143,2,2024-09-07 09:50:50:773,755443,755443,0,0,31326248,0,3123 143,3,2024-09-07 09:50:51:142,1,595,4,0,462,7253,595,0 144,0,2024-09-07 09:50:51:518,143898,0.6,148072,0.8,301287,0.6,394751,2.00 144,1,2024-09-07 09:50:50:577,1042209,1042209,0,0,489547606129,5138765574,1031618,8599,1992,381,391733,0 144,2,2024-09-07 09:50:51:763,753200,753200,0,0,30552825,0,4443 144,3,2024-09-07 09:50:51:740,1,595,2,0,249,5841,595,0 145,0,2024-09-07 09:50:51:368,144023,0.6,144020,0.8,305745,0.5,393613,2.25 145,1,2024-09-07 09:50:50:559,1041795,1041795,0,0,488980409948,5146752289,1027777,11347,2671,382,391781,0 145,2,2024-09-07 09:50:51:497,749948,749866,82,0,36191633,0,7814 145,3,2024-09-07 09:50:50:897,1,595,3,0,622,7918,595,0 146,0,2024-09-07 09:50:51:609,149413,0.6,148821,0.7,298994,0.5,397022,2.00 146,1,2024-09-07 09:50:51:589,1043140,1043140,0,0,489324484402,5151377462,1025641,13018,4481,367,391770,0 146,2,2024-09-07 09:50:51:714,751035,751029,6,0,34747468,0,5151 146,3,2024-09-07 09:50:51:278,1,595,1,0,1520,9773,595,0 147,0,2024-09-07 09:50:51:728,149265,0.6,148979,0.7,297740,0.5,397203,2.25 147,1,2024-09-07 09:50:51:375,1046827,1046827,0,0,491320562036,5106618579,1038339,7521,967,367,391791,0 147,2,2024-09-07 09:50:51:034,754187,754187,0,0,30904197,0,2968 147,3,2024-09-07 09:50:50:914,1,595,4,0,1626,9582,595,0 0,0,2024-09-07 09:51:01:704,145684,0.6,145632,0.7,309247,0.6,399094,2.00 0,1,2024-09-07 09:51:00:801,1045152,1045152,0,0,490915038628,5140222401,1036617,7589,946,368,391896,0 0,2,2024-09-07 09:51:01:073,755301,755301,0,0,30847631,0,4480 0,3,2024-09-07 09:51:00:982,1,596,1,0,431,8662,596,0 1,0,2024-09-07 09:51:01:745,150193,0.8,149145,0.9,299729,1.0,400337,2.00 1,1,2024-09-07 09:51:00:556,1044840,1044840,0,0,489951959868,5133408070,1035377,7973,1490,370,391859,0 1,2,2024-09-07 09:51:00:639,754611,754611,0,0,30330084,0,3380 1,3,2024-09-07 09:51:01:307,1,596,6,0,269,7443,596,0 2,0,2024-09-07 09:51:01:570,148641,0.6,148485,0.7,296686,0.6,396308,2.00 2,1,2024-09-07 09:51:00:862,1047978,1047978,0,0,492625843314,5123025772,1041804,5224,950,379,391745,0 2,2,2024-09-07 09:51:01:266,752918,752918,0,0,28936307,0,3594 2,3,2024-09-07 09:51:00:690,1,596,4,0,357,5295,596,0 3,0,2024-09-07 09:51:01:743,150052,0.4,150031,0.6,299506,0.4,399047,2.00 3,1,2024-09-07 09:51:01:620,1046427,1046427,0,0,491949570480,5117156027,1038842,6881,704,379,391716,0 3,2,2024-09-07 09:51:01:146,754608,754585,23,0,30042586,0,5851 3,3,2024-09-07 09:51:01:752,1,596,0,0,484,4588,596,0 4,0,2024-09-07 09:51:01:772,145328,0.4,149304,0.5,304343,0.3,398517,1.75 4,1,2024-09-07 09:51:00:591,1042233,1042233,0,0,488701770565,5173569402,1024429,14050,3754,370,391992,0 4,2,2024-09-07 09:51:01:020,751523,751523,0,0,36649459,0,4534 4,3,2024-09-07 09:51:01:032,1,596,2,0,448,7318,596,0 5,0,2024-09-07 09:51:01:424,149462,0.4,149444,0.5,298996,0.3,397669,1.75 5,1,2024-09-07 09:51:00:757,1042857,1042857,0,0,490286004111,5177175391,1026537,12196,4124,367,392005,0 5,2,2024-09-07 09:51:01:852,750826,750826,0,0,35440781,0,3582 5,3,2024-09-07 09:51:01:733,1,596,4,0,457,8187,596,0 6,0,2024-09-07 09:51:00:920,149431,0.5,149094,0.6,298286,0.4,397823,2.00 6,1,2024-09-07 09:51:00:757,1045853,1045853,0,0,490773250907,5126640045,1034829,9451,1573,379,391702,0 6,2,2024-09-07 09:51:01:116,754608,754590,18,0,34484032,0,5535 6,3,2024-09-07 09:51:01:276,1,596,64,0,710,7330,596,0 7,0,2024-09-07 09:51:01:536,147700,0.5,148738,0.7,295427,0.5,394260,2.00 7,1,2024-09-07 09:51:00:852,1043558,1043558,0,0,490151256758,5162453334,1027235,12557,3766,382,391747,0 7,2,2024-09-07 09:51:00:770,753205,753205,0,0,33131592,0,4791 7,3,2024-09-07 09:51:00:852,1,596,2,0,552,6995,596,0 8,0,2024-09-07 09:51:01:326,149572,0.4,149242,0.5,298848,0.3,398398,1.75 8,1,2024-09-07 09:51:01:026,1042842,1042842,0,0,489494940890,5150289585,1026125,13130,3587,366,392853,0 8,2,2024-09-07 09:51:00:790,748374,748372,2,0,39456229,0,5112 8,3,2024-09-07 09:51:00:594,1,596,0,0,772,9564,596,0 9,0,2024-09-07 09:51:01:110,149668,0.4,145668,0.5,304802,0.3,399524,1.75 9,1,2024-09-07 09:51:00:561,1042964,1042964,0,0,488834092880,5155086403,1026281,13457,3226,369,392001,0 9,2,2024-09-07 09:51:01:091,751361,751360,1,0,37271205,0,5281 9,3,2024-09-07 09:51:01:752,1,596,0,0,1273,10216,596,0 10,0,2024-09-07 09:51:01:603,148959,0.3,148435,0.5,297920,0.3,397026,1.75 10,1,2024-09-07 09:51:00:583,1044544,1044544,0,0,490146421353,5144795865,1028827,12654,3063,381,391981,0 10,2,2024-09-07 09:51:00:765,755572,755572,0,0,39916871,0,4713 10,3,2024-09-07 09:51:00:873,1,596,1,0,669,6789,596,0 11,0,2024-09-07 09:51:01:007,148423,0.5,143912,0.6,301104,0.4,396879,1.75 11,1,2024-09-07 09:51:00:571,1046088,1046088,0,0,490921860601,5152106792,1030266,11519,4303,383,391766,0 11,2,2024-09-07 09:51:01:122,751633,751633,0,0,35347646,0,4698 11,3,2024-09-07 09:51:01:300,1,596,2,0,843,7786,596,0 12,0,2024-09-07 09:51:00:962,150623,0.4,150599,0.6,300725,0.4,399681,1.75 12,1,2024-09-07 09:51:00:932,1045956,1045956,0,0,490613369224,5117667784,1037582,7366,1008,370,391960,0 12,2,2024-09-07 09:51:01:548,751400,751400,0,0,34114509,0,4390 12,3,2024-09-07 09:51:01:063,1,596,1,0,386,7533,596,0 13,0,2024-09-07 09:51:01:330,150802,0.4,150960,0.5,300930,0.4,400895,1.75 13,1,2024-09-07 09:51:01:532,1043317,1043317,0,0,490654305421,5158290990,1032432,8495,2390,382,391803,0 13,2,2024-09-07 09:51:00:595,755632,755632,0,0,30538624,0,3287 13,3,2024-09-07 09:51:01:762,1,596,10,0,522,7943,596,0 14,0,2024-09-07 09:51:00:570,149842,0.4,150937,0.6,299547,0.3,398732,1.75 14,1,2024-09-07 09:51:01:568,1050659,1050659,0,0,493154040294,5104292818,1042204,7326,1129,364,391673,0 14,2,2024-09-07 09:51:00:763,755784,755754,30,0,31981249,0,6104 14,3,2024-09-07 09:51:01:115,1,596,1,0,1168,6563,596,0 15,0,2024-09-07 09:51:01:555,148068,0.4,147220,0.6,295419,0.4,393952,2.00 15,1,2024-09-07 09:51:01:608,1047581,1047581,0,0,491606830118,5120868465,1038511,7157,1913,381,391619,0 15,2,2024-09-07 09:51:00:998,754061,754061,0,0,27625749,0,3622 15,3,2024-09-07 09:51:01:406,1,596,2,0,1126,7880,596,0 16,0,2024-09-07 09:51:00:937,149802,0.6,150479,0.8,300424,0.6,399681,2.00 16,1,2024-09-07 09:51:00:563,1047424,1047424,0,0,491190220168,5136448198,1037991,7989,1444,370,392194,0 16,2,2024-09-07 09:51:01:450,752446,752446,0,0,31438850,0,4719 16,3,2024-09-07 09:51:01:156,1,596,1,0,358,7562,596,0 17,0,2024-09-07 09:51:01:790,154610,0.6,150730,0.8,295300,0.6,401505,2.00 17,1,2024-09-07 09:51:00:568,1045247,1045247,0,0,489780610654,5138826780,1034961,8515,1771,368,392075,0 17,2,2024-09-07 09:51:01:680,757247,757246,1,0,32765949,0,5050 17,3,2024-09-07 09:51:00:589,1,596,18,0,518,8774,596,0 18,0,2024-09-07 09:51:00:939,148443,0.6,149401,0.8,297306,0.6,397477,2.25 18,1,2024-09-07 09:51:01:654,1049668,1049668,0,0,491827687424,5102154999,1042606,5805,1257,367,391725,0 18,2,2024-09-07 09:51:01:761,756728,756728,0,0,29071196,0,3541 18,3,2024-09-07 09:51:00:902,1,596,1,0,1059,5543,596,0 19,0,2024-09-07 09:51:01:554,148406,0.6,148525,0.8,295927,0.6,393727,2.00 19,1,2024-09-07 09:51:00:565,1049223,1049223,0,0,492329291222,5103980608,1041425,6665,1133,366,391777,0 19,2,2024-09-07 09:51:01:763,756335,756335,0,0,27549668,0,3988 19,3,2024-09-07 09:51:01:139,1,596,1,0,524,4597,596,0 20,0,2024-09-07 09:51:01:406,149646,0.6,149528,0.7,298804,0.6,399267,2.00 20,1,2024-09-07 09:51:00:567,1045168,1045168,0,0,490634877979,5141560252,1034591,9071,1506,369,391922,0 20,2,2024-09-07 09:51:00:928,753369,753369,0,0,34027570,0,4321 20,3,2024-09-07 09:51:00:589,1,596,1,0,468,9680,596,0 21,0,2024-09-07 09:51:01:129,149422,0.5,149638,0.6,298937,0.4,397403,2.00 21,1,2024-09-07 09:51:01:550,1043457,1043457,0,0,489338406146,5167193977,1026592,13030,3835,368,392016,0 21,2,2024-09-07 09:51:01:078,748376,747899,477,0,44721173,0,17074 21,3,2024-09-07 09:51:01:405,1,596,1,0,713,8638,596,0 22,0,2024-09-07 09:51:01:721,149411,0.5,150063,0.6,299328,0.4,397182,2.00 22,1,2024-09-07 09:51:01:023,1044403,1044403,0,0,488670171606,5145574409,1026587,14276,3540,382,391822,0 22,2,2024-09-07 09:51:00:760,751674,751648,26,0,32461122,0,6328 22,3,2024-09-07 09:51:01:068,1,596,31,0,228,5025,596,0 23,0,2024-09-07 09:51:01:388,148328,0.5,148101,0.7,296192,0.5,394793,2.00 23,1,2024-09-07 09:51:01:004,1044325,1044325,0,0,490672909793,5172307532,1024853,12894,6578,365,391690,0 23,2,2024-09-07 09:51:01:092,756200,756200,0,0,31243034,0,3773 23,3,2024-09-07 09:51:01:753,1,596,1,0,855,8811,596,0 24,0,2024-09-07 09:51:00:830,149532,0.4,148854,0.6,299328,0.4,397592,1.75 24,1,2024-09-07 09:51:00:595,1044576,1044576,0,0,489638884670,5127070636,1034518,8349,1709,367,392269,0 24,2,2024-09-07 09:51:01:070,752843,752840,3,0,37804945,0,6294 24,3,2024-09-07 09:51:01:685,1,596,28,0,468,7677,596,0 25,0,2024-09-07 09:51:01:372,153290,0.5,149193,0.6,292991,0.4,398898,2.00 25,1,2024-09-07 09:51:00:563,1042955,1042955,0,0,489314421000,5172398577,1024198,15047,3710,369,391928,0 25,2,2024-09-07 09:51:01:613,750523,750523,0,0,38341250,0,3978 25,3,2024-09-07 09:51:01:000,1,596,1,0,532,6505,596,0 26,0,2024-09-07 09:51:01:720,148861,0.4,145456,0.6,305616,0.4,397548,1.75 26,1,2024-09-07 09:51:01:546,1046615,1046615,0,0,490796320830,5140231072,1032279,11803,2533,380,391748,0 26,2,2024-09-07 09:51:00:863,752600,752600,0,0,41126036,0,4689 26,3,2024-09-07 09:51:01:712,1,596,6,0,796,7564,596,0 27,0,2024-09-07 09:51:01:723,149176,0.4,149849,0.6,297950,0.4,397114,2.25 27,1,2024-09-07 09:51:01:677,1047093,1047093,0,0,491772892424,5126697559,1036697,8787,1609,381,391626,0 27,2,2024-09-07 09:51:00:866,752359,752294,65,0,35877522,0,5699 27,3,2024-09-07 09:51:01:020,1,596,0,0,564,5196,596,0 28,0,2024-09-07 09:51:01:396,148487,0.4,148541,0.6,297352,0.3,396707,1.75 28,1,2024-09-07 09:51:00:797,1048032,1048032,0,0,492420332349,5134270966,1039096,7045,1891,382,391904,0 28,2,2024-09-07 09:51:01:764,752808,752808,0,0,30816224,0,2915 28,3,2024-09-07 09:51:01:779,1,596,0,0,502,5932,596,0 29,0,2024-09-07 09:51:01:361,154181,0.4,150064,0.6,294491,0.4,401294,1.75 29,1,2024-09-07 09:51:01:563,1051000,1051000,0,0,492722708116,5096904723,1044642,5582,776,367,391809,0 29,2,2024-09-07 09:51:00:864,752948,752948,0,0,30020553,0,4986 29,3,2024-09-07 09:51:00:963,1,596,1,0,459,6492,596,0 30,0,2024-09-07 09:51:01:462,149073,0.5,145223,0.7,303974,0.4,396968,2.00 30,1,2024-09-07 09:51:00:571,1050162,1050162,0,0,493119121207,5116399912,1042594,6658,910,380,391672,0 30,2,2024-09-07 09:51:01:274,755449,755449,0,0,28661743,0,4192 30,3,2024-09-07 09:51:00:590,1,596,1,0,519,5598,596,0 31,0,2024-09-07 09:51:01:762,149894,0.4,150679,0.6,300247,0.4,400931,1.75 31,1,2024-09-07 09:51:00:563,1053231,1053231,0,0,493708134452,5071065438,1046775,5382,1074,356,391712,0 31,2,2024-09-07 09:51:01:275,753819,753819,0,0,32194457,0,4470 31,3,2024-09-07 09:51:01:706,1,596,1,0,239,5169,596,0 32,0,2024-09-07 09:51:01:420,148891,0.3,149875,0.5,298242,0.3,397693,1.75 32,1,2024-09-07 09:51:00:804,1049396,1049396,0,0,491984707193,5113444549,1043218,5460,718,381,391646,0 32,2,2024-09-07 09:51:00:934,754699,754699,0,0,28340565,0,3922 32,3,2024-09-07 09:51:01:020,1,596,1,0,304,4890,596,0 33,0,2024-09-07 09:51:01:501,150667,0.3,150327,0.4,300850,0.2,400070,1.50 33,1,2024-09-07 09:51:00:579,1049475,1049475,0,0,493169427198,5109428224,1041030,7172,1273,368,391730,0 33,2,2024-09-07 09:51:00:759,754671,754636,35,0,31436753,0,7012 33,3,2024-09-07 09:51:00:894,1,596,19,0,329,5269,596,0 34,0,2024-09-07 09:51:00:931,149858,0.3,153930,0.5,294480,0.2,397728,1.75 34,1,2024-09-07 09:51:01:053,1051721,1051721,0,0,493413461359,5076726221,1048760,2851,110,367,391637,0 34,2,2024-09-07 09:51:00:769,755332,755332,0,0,30071012,0,4562 34,3,2024-09-07 09:51:01:688,1,596,1,0,541,5498,596,0 35,0,2024-09-07 09:51:00:872,148515,0.3,149415,0.5,299683,0.2,398145,1.75 35,1,2024-09-07 09:51:01:075,1047782,1047782,0,0,491788960187,5105507657,1039674,6585,1523,382,391769,0 35,2,2024-09-07 09:51:01:593,753804,753804,0,0,32855119,0,4055 35,3,2024-09-07 09:51:00:916,1,596,1,0,466,5677,596,0 36,0,2024-09-07 09:51:01:522,149691,0.5,149690,0.7,298865,0.4,398691,2.00 36,1,2024-09-07 09:51:00:590,1046421,1046421,0,0,491533694859,5134167925,1032846,11335,2240,366,391759,0 36,2,2024-09-07 09:51:01:751,754767,754767,0,0,34090960,0,3875 36,3,2024-09-07 09:51:00:863,1,596,1,0,556,8227,596,0 37,0,2024-09-07 09:51:01:378,147642,0.5,147774,0.7,295663,0.5,394568,2.25 37,1,2024-09-07 09:51:00:568,1046437,1046430,0,7,491748089689,5135778664,1033785,9732,2913,365,391770,0 37,2,2024-09-07 09:51:01:143,751138,751123,15,0,34121491,0,5815 37,3,2024-09-07 09:51:01:766,1,596,12,0,888,7979,596,0 38,0,2024-09-07 09:51:01:440,148297,0.5,143793,0.7,300689,0.4,394296,2.00 38,1,2024-09-07 09:51:01:613,1046052,1046052,0,0,491720578008,5144681373,1030726,12327,2999,368,391821,0 38,2,2024-09-07 09:51:00:765,752789,752742,47,0,34313312,0,6710 38,3,2024-09-07 09:51:00:997,1,596,7,0,689,7401,596,0 39,0,2024-09-07 09:51:01:768,153078,0.5,149691,0.7,291897,0.5,398409,2.00 39,1,2024-09-07 09:51:00:718,1045945,1045945,0,0,490722053849,5146614816,1028501,13729,3715,365,391865,0 39,2,2024-09-07 09:51:01:416,753148,753148,0,0,32352851,0,3391 39,3,2024-09-07 09:51:00:716,1,596,11,0,525,6669,596,0 40,0,2024-09-07 09:51:01:500,147661,0.8,148367,1.0,296061,0.9,395300,2.75 40,1,2024-09-07 09:51:00:590,1046557,1046557,0,0,489884885115,5134619035,1030952,12537,3068,368,391668,0 40,2,2024-09-07 09:51:01:305,753539,753532,7,0,38966728,0,5347 40,3,2024-09-07 09:51:01:142,1,596,1,0,1028,8854,596,0 41,0,2024-09-07 09:51:01:043,147585,1.4,151002,1.2,287993,2.0,391955,3.25 41,1,2024-09-07 09:51:00:768,1045681,1045681,0,0,490403050897,5133066427,1031913,11609,2159,369,391878,0 41,2,2024-09-07 09:51:00:759,749657,749656,1,0,37123146,0,5408 41,3,2024-09-07 09:51:01:677,1,596,1,0,366,6407,596,0 42,0,2024-09-07 09:51:01:483,148557,1.0,148145,1.1,296529,1.2,393515,2.75 42,1,2024-09-07 09:51:01:442,1043440,1043440,0,0,489590168264,5146690251,1026950,13558,2932,380,391675,0 42,2,2024-09-07 09:51:01:133,750607,750606,1,0,37383338,0,5513 42,3,2024-09-07 09:51:01:009,1,596,1,0,892,5620,596,0 43,0,2024-09-07 09:51:00:915,148519,0.7,144638,0.9,302651,0.7,395840,2.25 43,1,2024-09-07 09:51:00:579,1047117,1047117,0,0,491060097640,5133579669,1032378,12167,2572,366,391696,0 43,2,2024-09-07 09:51:01:737,753884,753884,0,0,35383892,0,4723 43,3,2024-09-07 09:51:01:749,1,596,1,0,571,8695,596,0 44,0,2024-09-07 09:51:00:859,150259,0.4,150178,0.6,300595,0.3,399200,1.75 44,1,2024-09-07 09:51:00:567,1049960,1049960,0,0,492070268341,5087883315,1040594,7425,1941,356,391809,0 44,2,2024-09-07 09:51:01:276,753496,753496,0,0,28759156,0,4344 44,3,2024-09-07 09:51:01:098,1,596,4,0,1097,7409,596,0 45,0,2024-09-07 09:51:01:767,146304,0.5,142957,0.7,300122,0.5,394116,2.00 45,1,2024-09-07 09:51:01:008,1048787,1048787,0,0,492761443072,5120949420,1040535,7349,903,382,391917,0 45,2,2024-09-07 09:51:01:273,753345,753345,0,0,29082081,0,3596 45,3,2024-09-07 09:51:00:933,1,596,1,0,531,5735,596,0 46,0,2024-09-07 09:51:00:953,149165,0.6,148703,0.8,298388,0.6,396265,2.25 46,1,2024-09-07 09:51:00:578,1050869,1050869,0,0,493616826699,5103213333,1043377,6504,988,366,391709,0 46,2,2024-09-07 09:51:00:592,754263,754263,0,0,29782925,0,4443 46,3,2024-09-07 09:51:01:132,1,596,2,0,908,7175,596,0 47,0,2024-09-07 09:51:01:102,150426,0.4,150342,0.6,301678,0.4,399856,2.00 47,1,2024-09-07 09:51:00:567,1051489,1051489,0,0,493362324048,5097292555,1045391,5236,862,365,391641,0 47,2,2024-09-07 09:51:00:908,758159,758159,0,0,28847973,0,4477 47,3,2024-09-07 09:51:01:117,1,596,1,0,600,6583,596,0 48,0,2024-09-07 09:51:01:489,150150,0.3,150165,0.4,300146,0.2,399392,1.50 48,1,2024-09-07 09:51:01:028,1049166,1049166,0,0,491891430450,5108782954,1043068,5520,578,381,391710,0 48,2,2024-09-07 09:51:00:707,754571,754571,0,0,27352159,0,3524 48,3,2024-09-07 09:51:00:753,1,596,7,0,339,5332,596,0 49,0,2024-09-07 09:51:01:735,152816,0.3,149756,0.5,291525,0.3,397849,1.75 49,1,2024-09-07 09:51:01:021,1047810,1047810,0,0,491811318667,5116810384,1040540,5575,1695,382,391809,0 49,2,2024-09-07 09:51:01:807,754999,754999,0,0,29573065,0,4426 49,3,2024-09-07 09:51:01:416,1,596,1,0,992,7152,596,0 50,0,2024-09-07 09:51:01:512,150362,0.3,148651,0.5,299520,0.2,399326,1.75 50,1,2024-09-07 09:51:01:010,1051163,1051163,0,0,494317321487,5110355704,1044639,5855,669,368,391691,0 50,2,2024-09-07 09:51:01:085,752798,752798,0,0,27431596,0,4490 50,3,2024-09-07 09:51:01:291,1,596,1,0,617,6150,596,0 51,0,2024-09-07 09:51:01:685,153474,0.3,150255,0.5,292488,0.2,399191,1.75 51,1,2024-09-07 09:51:01:680,1051842,1051842,0,0,493984294248,5094397702,1046186,4575,1081,365,391706,0 51,2,2024-09-07 09:51:01:315,754394,754394,0,0,26928122,0,3337 51,3,2024-09-07 09:51:01:031,1,596,1,0,678,4271,596,0 52,0,2024-09-07 09:51:01:421,150080,0.5,149722,0.6,299874,0.4,398174,2.00 52,1,2024-09-07 09:51:00:591,1046734,1046734,0,0,490983078386,5139344827,1031711,12693,2330,368,391805,0 52,2,2024-09-07 09:51:01:772,749997,749959,38,0,36173624,0,6742 52,3,2024-09-07 09:51:00:674,1,596,1,0,1782,7093,596,0 53,0,2024-09-07 09:51:01:750,148095,0.7,143741,0.8,300710,0.7,393910,2.50 53,1,2024-09-07 09:51:00:775,1044846,1044846,0,0,490254740963,5146589549,1026663,13788,4395,367,391968,0 53,2,2024-09-07 09:51:01:299,755303,755302,1,0,32741907,0,5455 53,3,2024-09-07 09:51:00:699,1,596,2,0,308,5590,596,0 54,0,2024-09-07 09:51:01:624,147035,0.6,147266,0.8,293345,0.5,392048,2.25 54,1,2024-09-07 09:51:00:584,1047859,1047859,0,0,492511388353,5123388504,1036752,9437,1670,366,391810,0 54,2,2024-09-07 09:51:00:872,753582,753550,32,0,36350306,0,6397 54,3,2024-09-07 09:51:00:763,1,596,1,0,676,7765,596,0 55,0,2024-09-07 09:51:01:766,143840,0.7,148381,0.8,300770,0.6,391729,2.50 55,1,2024-09-07 09:51:00:763,1048160,1048160,0,0,492411910964,5121764980,1037303,9468,1389,365,391731,0 55,2,2024-09-07 09:51:00:731,751216,751160,56,0,33837978,0,7239 55,3,2024-09-07 09:51:00:674,1,596,1,0,304,5517,596,0 56,0,2024-09-07 09:51:01:585,152446,1.1,143616,1.1,296055,1.5,396054,2.75 56,1,2024-09-07 09:51:00:570,1041610,1041610,0,0,489381670847,5178627357,1023644,14277,3689,381,391867,0 56,2,2024-09-07 09:51:01:303,751829,751707,122,0,36109789,0,7432 56,3,2024-09-07 09:51:01:061,1,596,1,0,705,6924,596,0 57,0,2024-09-07 09:51:00:940,147466,1.4,147266,1.2,294874,2.0,393629,3.25 57,1,2024-09-07 09:51:00:987,1044202,1044202,0,0,490146518163,5147535185,1029779,11933,2490,366,392032,0 57,2,2024-09-07 09:51:01:346,754749,754749,0,0,37755118,0,4804 57,3,2024-09-07 09:51:01:738,1,596,1,0,455,7036,596,0 58,0,2024-09-07 09:51:00:587,146159,1.0,141951,1.0,296824,1.2,388930,2.75 58,1,2024-09-07 09:51:00:596,1045920,1045917,0,3,490655988408,5146133313,1029772,12244,3901,367,391726,3 58,2,2024-09-07 09:51:01:072,752885,752885,0,0,35406290,0,3483 58,3,2024-09-07 09:51:01:068,1,596,1,0,1043,6699,596,0 59,0,2024-09-07 09:51:01:739,148434,0.9,148006,1.0,295683,1.0,392372,3.00 59,1,2024-09-07 09:51:00:804,1044561,1044561,0,0,490743923544,5159689669,1026741,14153,3667,369,391653,0 59,2,2024-09-07 09:51:00:583,752966,752966,0,0,33503528,0,3727 59,3,2024-09-07 09:51:01:737,1,596,1,0,1015,7342,596,0 60,0,2024-09-07 09:51:01:737,149738,0.5,149891,0.7,300040,0.5,399286,1.75 60,1,2024-09-07 09:51:00:776,1049713,1049713,0,0,492585310871,5120060416,1041764,6862,1087,370,392031,0 60,2,2024-09-07 09:51:01:143,754563,754563,0,0,31681237,0,3811 60,3,2024-09-07 09:51:01:258,1,596,6,0,409,6965,596,0 61,0,2024-09-07 09:51:01:517,150094,0.6,150625,0.8,299841,0.6,400309,2.00 61,1,2024-09-07 09:51:00:786,1046156,1046156,0,0,490805611892,5139050425,1035032,9445,1679,382,392127,0 61,2,2024-09-07 09:51:01:130,754798,754731,67,0,32273294,0,6411 61,3,2024-09-07 09:51:01:690,1,596,2,0,607,7825,596,0 62,0,2024-09-07 09:51:01:706,149357,0.6,153093,0.7,292450,0.6,397815,2.00 62,1,2024-09-07 09:51:01:111,1052816,1052810,0,6,493950373119,5092928412,1047845,4666,299,365,391975,6 62,2,2024-09-07 09:51:01:643,751134,751133,1,0,32253677,0,5555 62,3,2024-09-07 09:51:01:143,1,596,1,0,482,4808,596,0 63,0,2024-09-07 09:51:01:454,150701,0.4,150436,0.6,301448,0.4,400349,1.75 63,1,2024-09-07 09:51:00:804,1048973,1048967,0,6,492604806846,5115786462,1042121,5991,855,381,391800,6 63,2,2024-09-07 09:51:00:764,753943,753943,0,0,30646801,0,4369 63,3,2024-09-07 09:51:01:732,1,596,1,0,667,6179,596,0 64,0,2024-09-07 09:51:01:512,148944,0.5,148796,0.7,297221,0.4,396168,2.00 64,1,2024-09-07 09:51:00:755,1048297,1048297,0,0,492822340812,5133549295,1038960,7361,1976,370,391794,0 64,2,2024-09-07 09:51:01:142,758363,758344,19,0,29716950,0,6121 64,3,2024-09-07 09:51:01:140,1,596,1,0,651,6878,596,0 65,0,2024-09-07 09:51:01:733,148216,0.6,148549,0.7,296739,0.6,395458,2.00 65,1,2024-09-07 09:51:00:909,1046339,1046339,0,0,490008167840,5122602231,1038861,6605,873,381,391901,0 65,2,2024-09-07 09:51:01:708,753006,753006,0,0,35640899,0,3367 65,3,2024-09-07 09:51:01:691,1,596,15,0,782,6681,596,0 66,0,2024-09-07 09:51:01:769,148795,0.5,148314,0.7,296856,0.5,395753,2.25 66,1,2024-09-07 09:51:01:293,1048796,1048796,0,0,491709884334,5117108642,1042296,5778,722,380,391743,0 66,2,2024-09-07 09:51:01:140,757061,757058,3,0,31751144,0,5455 66,3,2024-09-07 09:51:01:080,1,596,1,0,291,5087,596,0 67,0,2024-09-07 09:51:01:420,148223,0.5,147875,0.7,296752,0.5,395087,2.00 67,1,2024-09-07 09:51:00:785,1047855,1047854,0,1,492684403944,5130189973,1040456,6453,945,380,391787,1 67,2,2024-09-07 09:51:00:593,756218,756203,15,0,31512541,0,6205 67,3,2024-09-07 09:51:01:750,1,596,1,0,595,6296,596,0 68,0,2024-09-07 09:51:00:579,149238,0.6,149063,0.8,296840,0.6,397525,2.25 68,1,2024-09-07 09:51:00:572,1043800,1043800,0,0,489250947611,5145079885,1030010,10260,3530,381,391953,0 68,2,2024-09-07 09:51:01:044,749442,749342,100,0,39471224,0,8578 68,3,2024-09-07 09:51:00:729,1,596,1,0,417,7763,596,0 69,0,2024-09-07 09:51:01:727,149125,0.7,149874,0.8,298850,0.6,397115,2.25 69,1,2024-09-07 09:51:01:023,1042784,1042784,0,0,489675473932,5160920149,1028926,11025,2833,383,391994,0 69,2,2024-09-07 09:51:01:734,750306,750277,29,0,41651067,0,6912 69,3,2024-09-07 09:51:00:764,1,596,8,0,698,8606,596,0 70,0,2024-09-07 09:51:01:533,147426,0.7,147979,0.9,297415,0.6,394145,2.50 70,1,2024-09-07 09:51:00:814,1049588,1049588,0,0,492809421013,5113493030,1041677,7105,806,366,391725,0 70,2,2024-09-07 09:51:01:328,755992,755992,0,0,34698202,0,4323 70,3,2024-09-07 09:51:00:745,1,596,0,0,854,6601,596,0 71,0,2024-09-07 09:51:01:363,147175,1.0,146887,1.0,294856,1.3,393993,2.75 71,1,2024-09-07 09:51:01:596,1047274,1047274,0,0,490889116527,5124838566,1034285,11368,1621,368,391738,0 71,2,2024-09-07 09:51:01:068,752178,752178,0,0,34746082,0,4352 71,3,2024-09-07 09:51:01:760,1,596,1,0,644,6745,596,0 72,0,2024-09-07 09:51:01:028,154413,0.6,150915,0.7,294527,0.6,400509,2.00 72,1,2024-09-07 09:51:01:023,1044986,1044986,0,0,489899615483,5141419723,1029688,12783,2515,369,391819,0 72,2,2024-09-07 09:51:01:755,750753,750753,0,0,36478122,0,3983 72,3,2024-09-07 09:51:01:763,1,596,0,0,564,8827,596,0 73,0,2024-09-07 09:51:01:137,146053,0.4,149876,0.6,306327,0.4,398432,2.00 73,1,2024-09-07 09:51:00:768,1047006,1047006,0,0,491563457268,5111492991,1038603,7421,982,367,391858,0 73,2,2024-09-07 09:51:01:739,753456,753455,1,0,38025121,0,5027 73,3,2024-09-07 09:51:00:974,1,596,4,0,1091,8358,596,0 74,0,2024-09-07 09:51:01:324,150990,0.5,154628,0.6,294921,0.4,399466,2.00 74,1,2024-09-07 09:51:00:644,1046190,1046190,0,0,491083426862,5128820324,1034287,9787,2116,381,391762,0 74,2,2024-09-07 09:51:01:002,754087,754087,0,0,33209159,0,4253 74,3,2024-09-07 09:51:01:442,1,596,4,0,522,7415,596,0 75,0,2024-09-07 09:51:01:771,148421,0.6,147343,0.7,295839,0.5,395602,2.25 75,1,2024-09-07 09:51:01:588,1047383,1047383,0,0,490888881255,5117662825,1038763,7752,868,380,391739,0 75,2,2024-09-07 09:51:01:362,751200,751200,0,0,40239667,0,4766 75,3,2024-09-07 09:51:01:076,1,596,0,0,918,8137,596,0 76,0,2024-09-07 09:51:00:607,149453,0.6,148871,0.8,297701,0.6,397951,2.25 76,1,2024-09-07 09:51:00:807,1047217,1047217,0,0,491419341797,5125379762,1039895,6333,989,382,391790,0 76,2,2024-09-07 09:51:01:063,755418,755415,3,0,32577403,0,5265 76,3,2024-09-07 09:51:01:146,1,596,1,0,227,5456,596,0 77,0,2024-09-07 09:51:01:704,149639,0.5,150153,0.7,300204,0.5,399170,2.00 77,1,2024-09-07 09:51:00:827,1046896,1046896,0,0,492006219477,5133210666,1038917,7104,875,381,391869,0 77,2,2024-09-07 09:51:01:285,754334,754334,0,0,31749109,0,3890 77,3,2024-09-07 09:51:01:094,1,596,1,0,401,6815,596,0 78,0,2024-09-07 09:51:01:717,150343,0.4,149745,0.6,300266,0.4,397947,2.00 78,1,2024-09-07 09:51:00:614,1047213,1047213,0,0,491335122962,5126206364,1034374,9922,2917,367,391670,0 78,2,2024-09-07 09:51:01:417,755556,755543,13,0,29704447,0,8313 78,3,2024-09-07 09:51:01:133,1,596,1,0,311,5063,596,0 79,0,2024-09-07 09:51:01:347,143774,0.4,147076,0.6,301328,0.3,392608,2.00 79,1,2024-09-07 09:51:00:579,1050608,1050608,0,0,493399397488,5108037094,1042764,6484,1360,367,391682,0 79,2,2024-09-07 09:51:01:068,754008,754008,0,0,28832146,0,4195 79,3,2024-09-07 09:51:00:749,1,596,1,0,418,7155,596,0 80,0,2024-09-07 09:51:01:105,149491,0.6,153579,0.7,293581,0.6,398273,2.00 80,1,2024-09-07 09:51:01:632,1047432,1047432,0,0,490956276451,5113969956,1040280,6701,451,368,392269,0 80,2,2024-09-07 09:51:01:100,756124,756124,0,0,29432261,0,4433 80,3,2024-09-07 09:51:00:589,1,596,5,0,681,7377,596,0 81,0,2024-09-07 09:51:01:538,149401,0.5,152856,0.7,291935,0.5,396337,2.00 81,1,2024-09-07 09:51:01:650,1045900,1045900,0,0,490593742709,5127327937,1037740,7394,766,382,391885,0 81,2,2024-09-07 09:51:01:139,753064,753001,63,0,32637301,0,5932 81,3,2024-09-07 09:51:01:129,1,596,3,0,719,6989,596,0 82,0,2024-09-07 09:51:01:540,149440,0.5,149548,0.7,299871,0.4,397184,2.00 82,1,2024-09-07 09:51:00:595,1048762,1048758,0,4,491600988844,5110677932,1043190,4745,823,381,391768,4 82,2,2024-09-07 09:51:01:690,755375,755375,0,0,27670384,0,4484 82,3,2024-09-07 09:51:01:760,1,596,3,0,363,5689,596,0 83,0,2024-09-07 09:51:01:523,148700,0.6,148649,0.7,296813,0.6,394132,2.25 83,1,2024-09-07 09:51:00:563,1047134,1047134,0,0,491344665193,5122705690,1039591,6898,645,382,391709,0 83,2,2024-09-07 09:51:00:764,755803,755778,25,0,29852611,0,5612 83,3,2024-09-07 09:51:00:750,1,596,1,0,1260,7193,596,0 84,0,2024-09-07 09:51:01:792,147088,0.8,147153,0.9,294379,0.7,393595,2.25 84,1,2024-09-07 09:51:01:073,1045378,1045378,0,0,490939335003,5134665400,1033331,10376,1671,367,391967,0 84,2,2024-09-07 09:51:00:571,751579,751169,410,0,43237801,0,17037 84,3,2024-09-07 09:51:01:143,1,596,34,0,908,8059,596,0 85,0,2024-09-07 09:51:01:071,143094,0.7,143064,0.8,303756,0.6,392087,2.25 85,1,2024-09-07 09:51:00:562,1042591,1042591,0,0,489325531947,5168201272,1026288,13506,2797,381,392092,0 85,2,2024-09-07 09:51:00:878,751660,751660,0,0,36413553,0,4255 85,3,2024-09-07 09:51:00:687,1,596,4,0,789,6878,596,0 86,0,2024-09-07 09:51:00:883,149255,0.7,153428,0.8,293407,0.7,396751,2.25 86,1,2024-09-07 09:51:00:828,1045357,1045357,0,0,491140420181,5151005374,1031527,11144,2686,366,392169,0 86,2,2024-09-07 09:51:00:857,752249,752248,1,0,38659448,0,5004 86,3,2024-09-07 09:51:00:591,1,596,0,0,308,8356,596,0 87,0,2024-09-07 09:51:01:287,149223,0.8,148773,0.8,297330,0.9,396929,2.25 87,1,2024-09-07 09:51:00:569,1045218,1045218,0,0,490744109735,5142389195,1031841,11580,1797,366,392076,0 87,2,2024-09-07 09:51:01:079,754296,754290,6,0,35234455,0,6323 87,3,2024-09-07 09:51:01:803,1,596,4,0,473,8567,596,0 88,0,2024-09-07 09:51:01:523,148338,0.5,148843,0.6,297330,0.4,396574,1.75 88,1,2024-09-07 09:51:00:571,1043890,1043890,0,0,489563349231,5134645855,1028511,12335,3044,365,392084,0 88,2,2024-09-07 09:51:00:690,752142,752142,0,0,38022883,0,4465 88,3,2024-09-07 09:51:01:275,1,596,39,0,1080,9270,596,0 89,0,2024-09-07 09:51:01:775,153958,0.5,149407,0.7,294808,0.4,400892,1.75 89,1,2024-09-07 09:51:00:559,1043426,1043426,0,0,491152744804,5166985243,1030446,11037,1943,382,391866,0 89,2,2024-09-07 09:51:01:133,752043,752043,0,0,35813822,0,3173 89,3,2024-09-07 09:51:01:794,1,596,2,0,468,10098,596,0 90,0,2024-09-07 09:51:01:621,145434,0.5,149348,0.6,304746,0.4,397389,2.00 90,1,2024-09-07 09:51:00:618,1045822,1045822,0,0,490400157983,5137975385,1035729,9201,892,380,391825,0 90,2,2024-09-07 09:51:01:406,751206,751201,5,0,39355971,0,6370 90,3,2024-09-07 09:51:00:931,1,596,2,0,322,7283,596,0 91,0,2024-09-07 09:51:00:923,150617,0.4,146138,0.6,305881,0.4,400961,1.75 91,1,2024-09-07 09:51:00:579,1042951,1042951,0,0,490247615055,5167830846,1027103,12700,3148,381,392047,0 91,2,2024-09-07 09:51:01:331,753957,753957,0,0,34598536,0,4713 91,3,2024-09-07 09:51:00:600,1,596,2,0,216,5401,596,0 92,0,2024-09-07 09:51:01:487,150459,0.5,153754,0.6,293124,0.5,397906,1.75 92,1,2024-09-07 09:51:00:582,1046732,1046732,0,0,491537797276,5134974176,1038374,7071,1287,381,392136,0 92,2,2024-09-07 09:51:01:359,752927,752927,0,0,30301836,0,3259 92,3,2024-09-07 09:51:01:012,1,596,6,0,167,5142,596,0 93,0,2024-09-07 09:51:01:005,151084,0.4,154771,0.6,295609,0.4,400309,1.75 93,1,2024-09-07 09:51:00:818,1046679,1046679,0,0,491369976699,5129358399,1035149,9602,1928,366,392048,0 93,2,2024-09-07 09:51:00:929,753689,753689,0,0,36109445,0,4913 93,3,2024-09-07 09:51:01:406,1,596,33,0,294,5877,596,0 94,0,2024-09-07 09:51:01:664,149011,0.4,150026,0.5,300196,0.3,398805,1.75 94,1,2024-09-07 09:51:00:565,1047637,1047637,0,0,491414572894,5125312388,1040695,6510,432,381,391850,0 94,2,2024-09-07 09:51:00:764,754653,754625,28,0,31050867,0,6179 94,3,2024-09-07 09:51:01:691,1,596,1,0,576,7451,596,0 95,0,2024-09-07 09:51:01:383,149313,0.3,149427,0.5,298944,0.3,398170,1.75 95,1,2024-09-07 09:51:00:853,1048582,1048582,0,0,492010531155,5113833315,1040151,7684,747,365,391852,0 95,2,2024-09-07 09:51:01:023,752873,752873,0,0,30510747,0,3308 95,3,2024-09-07 09:51:01:711,1,596,0,0,718,9402,596,0 96,0,2024-09-07 09:51:01:081,149195,0.4,149613,0.5,299156,0.3,398045,1.75 96,1,2024-09-07 09:51:01:648,1046967,1046967,0,0,491228931412,5124093246,1039587,6107,1273,384,391964,0 96,2,2024-09-07 09:51:01:284,755134,755134,0,0,31625268,0,4180 96,3,2024-09-07 09:51:01:145,1,596,1,0,411,6936,596,0 97,0,2024-09-07 09:51:01:371,148491,0.3,148211,0.5,297399,0.3,394889,1.75 97,1,2024-09-07 09:51:00:766,1048379,1048379,0,0,492674990796,5113486172,1041455,5887,1037,367,392140,0 97,2,2024-09-07 09:51:00:611,754935,754935,0,0,31274507,0,4046 97,3,2024-09-07 09:51:00:569,1,596,13,0,242,6756,596,0 98,0,2024-09-07 09:51:01:715,148849,0.3,148927,0.5,298854,0.3,397698,1.50 98,1,2024-09-07 09:51:00:571,1047635,1047635,0,0,491815582489,5123551157,1041160,5640,835,381,391997,0 98,2,2024-09-07 09:51:00:769,753497,753497,0,0,30008750,0,4336 98,3,2024-09-07 09:51:00:700,1,596,34,0,840,9067,596,0 99,0,2024-09-07 09:51:01:476,149903,0.3,150771,0.5,299806,0.3,399790,1.75 99,1,2024-09-07 09:51:01:754,1048443,1048443,0,0,490924471153,5109529435,1042080,5421,942,380,392069,0 99,2,2024-09-07 09:51:01:428,752995,752995,0,0,37174041,0,4276 99,3,2024-09-07 09:51:00:581,1,596,1,0,1124,7716,596,0 100,0,2024-09-07 09:51:01:515,148686,0.7,148944,0.9,297708,0.8,397704,2.50 100,1,2024-09-07 09:51:00:568,1042219,1042219,0,0,488700998142,5167950323,1026204,12699,3316,378,391989,0 100,2,2024-09-07 09:51:01:838,751899,751510,389,0,40434233,0,16909 100,3,2024-09-07 09:51:01:735,1,596,2,0,627,10070,596,0 101,0,2024-09-07 09:51:01:926,151594,1.2,147824,1.1,289437,1.2,395595,2.25 101,1,2024-09-07 09:51:00:561,1044001,1044001,0,0,489941643706,5149157307,1029959,11515,2527,368,391847,0 101,2,2024-09-07 09:51:01:763,748696,748696,0,0,40208334,0,4871 101,3,2024-09-07 09:51:00:952,1,596,21,0,1250,9008,596,0 102,0,2024-09-07 09:51:00:969,145530,0.7,149805,0.8,304448,0.7,398099,2.25 102,1,2024-09-07 09:51:01:142,1043848,1043848,0,0,489596195930,5144405903,1029738,11912,2198,369,391984,0 102,2,2024-09-07 09:51:01:744,753189,753135,54,0,34376589,0,6768 102,3,2024-09-07 09:51:01:620,1,596,4,0,466,6906,596,0 103,0,2024-09-07 09:51:01:599,154937,0.6,154869,0.7,291845,0.6,401698,2.00 103,1,2024-09-07 09:51:01:627,1042296,1042296,0,0,489370511335,5169953924,1025399,13300,3597,381,392077,0 103,2,2024-09-07 09:51:00:591,751554,751554,0,0,37222643,0,3766 103,3,2024-09-07 09:51:00:763,1,596,4,0,916,7108,596,0 104,0,2024-09-07 09:51:01:041,148976,0.7,149169,0.9,297731,0.7,397579,2.25 104,1,2024-09-07 09:51:01:605,1044966,1044966,0,0,489472662162,5145723827,1029440,12682,2844,365,392168,0 104,2,2024-09-07 09:51:01:668,752073,752073,0,0,36953505,0,4161 104,3,2024-09-07 09:51:01:425,1,596,9,0,1245,10616,596,0 105,0,2024-09-07 09:51:01:244,146448,1.0,142754,1.1,299025,1.1,393247,2.75 105,1,2024-09-07 09:51:00:556,1046699,1046699,0,0,490786072793,5144139093,1033136,11624,1939,364,392009,0 105,2,2024-09-07 09:51:01:322,751437,751437,0,0,37021469,0,4360 105,3,2024-09-07 09:51:01:317,1,596,2,0,573,9166,596,0 106,0,2024-09-07 09:51:00:958,144812,1.0,148329,1.0,303837,1.2,396517,2.50 106,1,2024-09-07 09:51:01:751,1045541,1045541,0,0,490007122186,5144795714,1030889,12758,1894,368,391914,0 106,2,2024-09-07 09:51:00:756,751734,751734,0,0,34917044,0,3331 106,3,2024-09-07 09:51:00:681,1,596,1,0,1224,8419,596,0 107,0,2024-09-07 09:51:01:104,149881,0.9,149966,0.9,299879,1.1,399868,2.25 107,1,2024-09-07 09:51:00:591,1042467,1042467,0,0,489148653244,5171630900,1025095,15239,2133,381,392234,0 107,2,2024-09-07 09:51:01:291,751720,751719,1,0,38065651,0,5024 107,3,2024-09-07 09:51:01:759,1,596,10,0,733,9069,596,0 108,0,2024-09-07 09:51:01:836,149659,0.4,150115,0.6,299245,0.4,399392,1.75 108,1,2024-09-07 09:51:01:304,1046631,1046631,0,0,491149201776,5123697093,1037940,7696,995,367,391894,0 108,2,2024-09-07 09:51:01:761,753770,753770,0,0,32738218,0,4246 108,3,2024-09-07 09:51:01:330,1,596,9,0,749,10856,596,0 109,0,2024-09-07 09:51:01:766,149486,0.4,148295,0.6,297400,0.3,396963,1.75 109,1,2024-09-07 09:51:00:588,1043955,1043955,0,0,491127231444,5152650501,1034482,8196,1277,382,392132,0 109,2,2024-09-07 09:51:00:921,751488,751488,0,0,33579198,0,3617 109,3,2024-09-07 09:51:01:140,1,596,1,0,630,7300,596,0 110,0,2024-09-07 09:51:01:763,149562,0.4,145502,0.6,304663,0.4,400123,1.75 110,1,2024-09-07 09:51:01:645,1048448,1048448,0,0,492128808716,5110006642,1040407,6115,1926,368,392045,0 110,2,2024-09-07 09:51:01:308,754356,754356,0,0,31077331,0,4067 110,3,2024-09-07 09:51:00:694,1,596,1,0,722,8036,596,0 111,0,2024-09-07 09:51:01:412,150248,0.4,149389,0.5,298804,0.3,398667,1.75 111,1,2024-09-07 09:51:01:001,1049833,1049833,0,0,492461908549,5107962439,1044351,5101,381,380,391690,0 111,2,2024-09-07 09:51:01:114,754012,754012,0,0,31598289,0,4823 111,3,2024-09-07 09:51:00:913,1,596,1,0,379,6525,596,0 112,0,2024-09-07 09:51:00:908,150382,0.3,149816,0.4,300286,0.2,398481,1.50 112,1,2024-09-07 09:51:00:826,1048376,1048376,0,0,491524467380,5105598620,1041177,5974,1225,380,391624,0 112,2,2024-09-07 09:51:01:135,753209,753208,1,0,29932941,0,5036 112,3,2024-09-07 09:51:00:601,1,596,5,0,282,5728,596,0 113,0,2024-09-07 09:51:00:867,148808,0.3,148865,0.5,297940,0.2,396504,1.75 113,1,2024-09-07 09:51:01:702,1050692,1050692,0,0,493775962218,5095901252,1044462,5282,948,365,391664,0 113,2,2024-09-07 09:51:01:310,758042,758042,0,0,28426897,0,3813 113,3,2024-09-07 09:51:00:684,1,596,1,0,510,6444,596,0 114,0,2024-09-07 09:51:00:879,148927,0.3,149881,0.5,298711,0.2,399112,1.75 114,1,2024-09-07 09:51:00:717,1048989,1048989,0,0,492142440194,5111745924,1041048,6194,1747,381,391565,0 114,2,2024-09-07 09:51:00:872,755538,755537,1,0,29548695,0,5069 114,3,2024-09-07 09:51:01:278,1,596,1,0,395,4815,596,0 115,0,2024-09-07 09:51:00:560,149723,0.3,150317,0.4,299262,0.2,398134,1.50 115,1,2024-09-07 09:51:00:571,1049126,1049126,0,0,491933380089,5112019507,1040870,6739,1517,382,391757,0 115,2,2024-09-07 09:51:01:133,754750,754750,0,0,29614230,0,4382 115,3,2024-09-07 09:51:01:006,1,596,0,0,167,3585,596,0 116,0,2024-09-07 09:51:01:735,149271,0.7,149040,0.8,298757,0.6,399060,2.00 116,1,2024-09-07 09:51:00:808,1043643,1043643,0,0,489189643993,5157439131,1031002,9552,3089,380,392089,0 116,2,2024-09-07 09:51:01:776,752789,752789,0,0,36916589,0,4475 116,3,2024-09-07 09:51:00:912,1,596,1,0,448,7758,596,0 117,0,2024-09-07 09:51:00:993,149465,0.7,148794,0.8,298119,0.7,398233,2.00 117,1,2024-09-07 09:51:01:607,1045135,1045135,0,0,490080132035,5132528135,1033517,10097,1521,369,392033,0 117,2,2024-09-07 09:51:01:123,756813,756813,0,0,33449333,0,4303 117,3,2024-09-07 09:51:01:061,1,596,2,0,490,7636,596,0 118,0,2024-09-07 09:51:01:837,143888,0.6,147697,0.7,301629,0.5,395156,2.00 118,1,2024-09-07 09:51:00:589,1044287,1044287,0,0,489935949897,5152540284,1028535,12131,3621,366,392054,0 118,2,2024-09-07 09:51:01:588,751709,751709,0,0,35546291,0,2842 118,3,2024-09-07 09:51:01:773,1,596,4,0,289,7058,596,0 119,0,2024-09-07 09:51:01:331,149455,0.8,150228,0.8,299595,0.9,399292,2.25 119,1,2024-09-07 09:51:00:556,1044877,1044877,0,0,490465361248,5145818051,1030184,12181,2512,367,391857,0 119,2,2024-09-07 09:51:01:260,753671,753671,0,0,33473752,0,4309 119,3,2024-09-07 09:51:01:328,1,596,5,0,1358,11018,596,0 120,0,2024-09-07 09:51:01:629,149016,0.7,148912,0.8,299106,0.7,398387,2.25 120,1,2024-09-07 09:51:00:883,1046009,1046009,0,0,489706532704,5138576344,1034344,10524,1141,367,392144,0 120,2,2024-09-07 09:51:00:780,753293,753290,3,0,40160997,0,5363 120,3,2024-09-07 09:51:01:293,1,596,2,0,279,7256,596,0 121,0,2024-09-07 09:51:01:863,150376,1.1,149790,1.0,299924,1.4,399912,2.25 121,1,2024-09-07 09:51:01:687,1045232,1045232,0,0,489955037585,5129438275,1033946,9733,1553,366,391840,0 121,2,2024-09-07 09:51:01:141,753389,753389,0,0,36657674,0,4157 121,3,2024-09-07 09:51:00:731,1,596,7,0,387,7943,596,0 122,0,2024-09-07 09:51:01:768,148253,0.8,144282,0.9,302242,0.9,397836,2.00 122,1,2024-09-07 09:51:00:884,1044463,1044463,0,0,489867948867,5144779053,1029466,12585,2412,365,392130,0 122,2,2024-09-07 09:51:01:320,753256,753181,75,0,40281646,0,5989 122,3,2024-09-07 09:51:00:594,1,596,3,0,512,9753,596,0 123,0,2024-09-07 09:51:00:980,149592,0.9,145494,0.9,304777,1.0,399047,2.25 123,1,2024-09-07 09:51:00:560,1044375,1044375,0,0,490131841105,5156403259,1027563,14140,2672,369,392039,0 123,2,2024-09-07 09:51:01:020,751212,751211,1,0,34294906,0,5215 123,3,2024-09-07 09:51:01:132,1,596,3,0,478,6871,596,0 124,0,2024-09-07 09:51:00:918,153674,0.3,153712,0.5,289816,0.3,399280,1.75 124,1,2024-09-07 09:51:01:027,1048718,1048718,0,0,490938261025,5104645924,1040985,6558,1175,365,392178,0 124,2,2024-09-07 09:51:01:016,756241,756188,53,0,30945581,0,6487 124,3,2024-09-07 09:51:00:772,1,596,1,0,490,5946,596,0 125,0,2024-09-07 09:51:01:431,149103,0.4,149230,0.5,299226,0.3,398140,1.75 125,1,2024-09-07 09:51:00:856,1045536,1045536,0,0,490706067008,5123181211,1037469,6916,1151,382,391968,0 125,2,2024-09-07 09:51:01:130,755938,755938,0,0,31087954,0,4534 125,3,2024-09-07 09:51:01:137,1,596,1,0,709,6900,596,0 126,0,2024-09-07 09:51:01:433,149509,0.4,153735,0.6,294160,0.4,398663,1.75 126,1,2024-09-07 09:51:00:556,1049199,1049199,0,0,492469809261,5098910711,1043689,5064,446,365,391987,0 126,2,2024-09-07 09:51:00:610,756057,756057,0,0,32344160,0,4539 126,3,2024-09-07 09:51:00:909,1,596,11,0,268,6614,596,0 127,0,2024-09-07 09:51:01:601,148786,0.3,148926,0.5,297167,0.3,395391,1.75 127,1,2024-09-07 09:51:00:569,1047312,1047312,0,0,491597574227,5116361746,1036639,9037,1636,364,392187,0 127,2,2024-09-07 09:51:00:640,753877,753873,4,0,30446126,0,5305 127,3,2024-09-07 09:51:01:267,1,596,0,0,968,5775,596,0 128,0,2024-09-07 09:51:01:552,149745,0.3,149833,0.4,299250,0.2,398249,1.50 128,1,2024-09-07 09:51:01:607,1047405,1047405,0,0,491645111118,5115054571,1038995,7446,964,367,392423,0 128,2,2024-09-07 09:51:01:385,754747,754747,0,0,28272597,0,3171 128,3,2024-09-07 09:51:00:807,1,596,16,0,1082,9537,596,0 129,0,2024-09-07 09:51:00:993,151084,0.3,150294,0.5,301311,0.3,400285,1.50 129,1,2024-09-07 09:51:00:567,1044372,1044372,0,0,490604704169,5143357274,1033910,8440,2022,379,391962,0 129,2,2024-09-07 09:51:00:684,755041,755037,4,0,30858461,0,5335 129,3,2024-09-07 09:51:00:688,1,596,1,0,506,8268,596,0 130,0,2024-09-07 09:51:01:719,149947,0.4,149355,0.5,299397,0.4,398930,1.75 130,1,2024-09-07 09:51:00:594,1048269,1048269,0,0,491814050763,5109102878,1042835,5038,396,381,391825,0 130,2,2024-09-07 09:51:01:135,756284,756284,0,0,31159582,0,4067 130,3,2024-09-07 09:51:01:293,1,596,9,0,960,8282,596,0 131,0,2024-09-07 09:51:01:935,148586,0.3,148978,0.5,298876,0.3,397344,1.75 131,1,2024-09-07 09:51:01:823,1047686,1047686,0,0,491805744078,5127364442,1040464,6026,1196,381,391865,0 131,2,2024-09-07 09:51:00:568,753727,753727,0,0,28759816,0,3979 131,3,2024-09-07 09:51:01:687,1,596,1,0,392,7693,596,0 132,0,2024-09-07 09:51:01:418,149836,0.5,150732,0.6,300213,0.5,399079,2.00 132,1,2024-09-07 09:51:00:589,1043206,1043206,0,0,488997885260,5149349912,1027902,12602,2702,381,392532,0 132,2,2024-09-07 09:51:00:707,752640,752623,17,0,37368085,0,6451 132,3,2024-09-07 09:51:01:689,1,596,1,0,1298,10774,596,0 133,0,2024-09-07 09:51:01:521,146232,0.4,149586,0.6,306661,0.4,399202,2.00 133,1,2024-09-07 09:51:00:583,1044004,1044004,0,0,490313505099,5166356995,1029942,12299,1763,383,391914,0 133,2,2024-09-07 09:51:01:097,753938,753888,50,0,38459241,0,6861 133,3,2024-09-07 09:51:01:298,1,596,1,0,528,7198,596,0 134,0,2024-09-07 09:51:00:965,150249,0.5,150364,0.7,300915,0.5,399814,2.00 134,1,2024-09-07 09:51:00:589,1045042,1045042,0,0,490117608876,5142063636,1031275,11281,2486,366,391781,0 134,2,2024-09-07 09:51:01:761,754750,754726,24,0,35616015,0,6207 134,3,2024-09-07 09:51:00:750,1,596,1,0,739,7358,596,0 135,0,2024-09-07 09:51:01:113,143489,0.8,143507,0.9,304707,1.0,392916,2.25 135,1,2024-09-07 09:51:01:728,1044343,1044343,0,0,490412408232,5157019732,1031329,11374,1640,380,391805,0 135,2,2024-09-07 09:51:00:686,752983,752983,0,0,36343186,0,4503 135,3,2024-09-07 09:51:01:004,1,596,1,0,900,5893,596,0 136,0,2024-09-07 09:51:01:645,150300,0.6,150831,0.8,299435,0.6,399583,2.25 136,1,2024-09-07 09:51:01:450,1045793,1045793,0,0,490672214661,5144873953,1033893,10512,1388,381,392135,0 136,2,2024-09-07 09:51:01:133,754495,754480,15,0,35870350,0,6007 136,3,2024-09-07 09:51:01:114,1,596,0,0,637,6947,596,0 137,0,2024-09-07 09:51:00:947,154898,0.6,150933,0.7,295737,0.6,401665,2.00 137,1,2024-09-07 09:51:00:582,1044617,1044617,0,0,489815143987,5140327122,1028083,13146,3388,366,391898,0 137,2,2024-09-07 09:51:01:704,753237,753237,0,0,37031038,0,3185 137,3,2024-09-07 09:51:00:778,1,596,0,0,484,8243,596,0 138,0,2024-09-07 09:51:01:796,149116,0.7,149433,0.8,299316,0.8,397884,2.00 138,1,2024-09-07 09:51:01:685,1045090,1045090,0,0,490266501167,5145275261,1030319,12501,2270,368,391954,0 138,2,2024-09-07 09:51:00:589,752963,752963,0,0,35328736,0,4988 138,3,2024-09-07 09:51:00:610,1,596,1,0,1200,9391,596,0 139,0,2024-09-07 09:51:01:387,147546,1.1,148128,1.0,296210,1.5,395293,2.25 139,1,2024-09-07 09:51:00:574,1040842,1040842,0,0,487500733072,5171575623,1022458,14559,3825,380,392109,0 139,2,2024-09-07 09:51:00:694,748369,748339,30,0,40622667,0,5997 139,3,2024-09-07 09:51:01:663,1,596,7,0,432,7117,596,0 140,0,2024-09-07 09:51:01:603,150285,0.3,149402,0.5,299826,0.2,400465,1.75 140,1,2024-09-07 09:51:01:537,1051192,1051192,0,0,493155715817,5084312489,1046223,4423,546,364,391606,0 140,2,2024-09-07 09:51:00:687,754762,754761,1,0,28817320,0,5036 140,3,2024-09-07 09:51:00:767,1,596,3,0,575,5994,596,0 141,0,2024-09-07 09:51:01:698,149808,0.3,153940,0.5,294212,0.3,398461,1.75 141,1,2024-09-07 09:51:00:863,1049257,1049257,0,0,492580592204,5117803325,1041060,7005,1192,379,391614,0 141,2,2024-09-07 09:51:01:685,754396,754385,11,0,30923435,0,5369 141,3,2024-09-07 09:51:01:043,1,596,13,0,391,6965,596,0 142,0,2024-09-07 09:51:01:309,150448,0.3,149641,0.5,299580,0.2,399318,1.50 142,1,2024-09-07 09:51:00:595,1047421,1047421,0,0,491158062600,5120333141,1040715,6200,506,382,392102,0 142,2,2024-09-07 09:51:01:305,752729,752697,32,0,31440232,0,6028 142,3,2024-09-07 09:51:01:747,1,596,1,0,484,6369,596,0 143,0,2024-09-07 09:51:01:416,148591,0.4,148679,0.5,298306,0.4,396463,1.75 143,1,2024-09-07 09:51:00:558,1049921,1049921,0,0,491555194028,5100457954,1043459,5894,568,367,391900,0 143,2,2024-09-07 09:51:00:769,756152,756152,0,0,31333904,0,3123 143,3,2024-09-07 09:51:01:141,1,596,1,0,462,7254,596,0 144,0,2024-09-07 09:51:01:503,144228,0.6,148419,0.8,302045,0.6,395728,2.00 144,1,2024-09-07 09:51:00:564,1043975,1043975,0,0,490506054655,5148574102,1033383,8600,1992,381,391733,0 144,2,2024-09-07 09:51:01:761,754825,754825,0,0,30631792,0,4443 144,3,2024-09-07 09:51:01:739,1,596,19,0,249,5860,596,0 145,0,2024-09-07 09:51:01:388,144177,0.6,144175,0.8,306097,0.5,394069,2.25 145,1,2024-09-07 09:51:00:562,1043599,1043599,0,0,489891883546,5156036621,1029580,11348,2671,382,391781,0 145,2,2024-09-07 09:51:01:434,751480,751398,82,0,36215772,0,7814 145,3,2024-09-07 09:51:00:894,1,596,8,0,622,7926,596,0 146,0,2024-09-07 09:51:01:665,149515,0.6,148935,0.7,299190,0.5,397269,2.00 146,1,2024-09-07 09:51:01:599,1044906,1044906,0,0,490020562935,5158466003,1027407,13018,4481,367,391770,0 146,2,2024-09-07 09:51:01:702,752137,752131,6,0,34756971,0,5151 146,3,2024-09-07 09:51:01:273,1,596,1,0,1520,9774,596,0 147,0,2024-09-07 09:51:01:709,149384,0.6,149096,0.7,297986,0.5,397534,2.25 147,1,2024-09-07 09:51:01:374,1048552,1048552,0,0,491926397443,5112797495,1040063,7522,967,367,391791,0 147,2,2024-09-07 09:51:01:010,755253,755253,0,0,30915086,0,2968 147,3,2024-09-07 09:51:00:920,1,596,1,0,1626,9583,596,0 0,0,2024-09-07 09:51:11:707,145785,0.6,145745,0.7,309474,0.6,399416,2.00 0,1,2024-09-07 09:51:10:805,1046973,1046973,0,0,491792658506,5149232003,1038438,7589,946,368,391896,0 0,2,2024-09-07 09:51:11:067,756394,756394,0,0,30875088,0,4480 0,3,2024-09-07 09:51:10:979,1,597,2,0,431,8664,597,0 1,0,2024-09-07 09:51:11:840,150316,0.8,149267,0.9,299946,1.0,400355,2.00 1,1,2024-09-07 09:51:10:561,1046598,1046598,0,0,490963018656,5143719377,1037135,7973,1490,370,391859,0 1,2,2024-09-07 09:51:10:646,755939,755939,0,0,30371841,0,3380 1,3,2024-09-07 09:51:11:301,1,597,18,0,269,7461,597,0 2,0,2024-09-07 09:51:11:572,149007,0.6,148890,0.7,297464,0.6,397237,2.00 2,1,2024-09-07 09:51:10:860,1049771,1049771,0,0,493124472963,5128209546,1043597,5224,950,379,391745,0 2,2,2024-09-07 09:51:11:270,754209,754209,0,0,28976213,0,3594 2,3,2024-09-07 09:51:10:691,1,597,87,0,357,5382,597,0 3,0,2024-09-07 09:51:11:744,150151,0.4,150156,0.6,299706,0.4,399283,2.00 3,1,2024-09-07 09:51:11:628,1048202,1048202,0,0,492665944101,5124590281,1040617,6881,704,379,391716,0 3,2,2024-09-07 09:51:11:142,755824,755801,23,0,30065073,0,5851 3,3,2024-09-07 09:51:11:756,1,597,1,0,484,4589,597,0 4,0,2024-09-07 09:51:11:784,145584,0.4,149561,0.5,304879,0.3,399101,1.75 4,1,2024-09-07 09:51:10:594,1043920,1043920,0,0,489528373115,5182193079,1026115,14051,3754,370,391992,0 4,2,2024-09-07 09:51:11:018,753027,753027,0,0,36688963,0,4534 4,3,2024-09-07 09:51:11:027,1,597,1,0,448,7319,597,0 5,0,2024-09-07 09:51:11:387,149743,0.4,149700,0.5,299545,0.3,398505,1.75 5,1,2024-09-07 09:51:10:758,1044639,1044639,0,0,491158974297,5186308806,1028311,12203,4125,367,392005,0 5,2,2024-09-07 09:51:11:831,752195,752195,0,0,35509814,0,3582 5,3,2024-09-07 09:51:11:739,1,597,0,0,457,8187,597,0 6,0,2024-09-07 09:51:10:931,149825,0.5,149467,0.6,299046,0.4,398506,2.00 6,1,2024-09-07 09:51:10:746,1047608,1047608,0,0,491459536671,5133721137,1036584,9451,1573,379,391702,0 6,2,2024-09-07 09:51:11:115,755360,755342,18,0,34513585,0,5535 6,3,2024-09-07 09:51:11:274,1,597,1,0,710,7331,597,0 7,0,2024-09-07 09:51:11:535,148117,0.5,149132,0.7,296209,0.5,395743,2.00 7,1,2024-09-07 09:51:10:856,1045249,1045249,0,0,490796863717,5169115978,1028926,12557,3766,382,391747,0 7,2,2024-09-07 09:51:10:772,754611,754611,0,0,33174250,0,4791 7,3,2024-09-07 09:51:10:855,1,597,1,0,552,6996,597,0 8,0,2024-09-07 09:51:11:331,149865,0.4,149611,0.5,299470,0.3,399245,1.75 8,1,2024-09-07 09:51:11:016,1044635,1044635,0,0,490487915117,5160364162,1027918,13130,3587,366,392853,0 8,2,2024-09-07 09:51:10:794,749918,749916,2,0,39474022,0,5112 8,3,2024-09-07 09:51:10:586,1,597,3,0,772,9567,597,0 9,0,2024-09-07 09:51:11:109,149824,0.4,145856,0.5,305128,0.3,399927,1.75 9,1,2024-09-07 09:51:10:571,1044660,1044660,0,0,489647817150,5163437820,1027976,13458,3226,369,392001,0 9,2,2024-09-07 09:51:11:098,752773,752772,1,0,37288681,0,5281 9,3,2024-09-07 09:51:11:754,1,597,0,0,1273,10216,597,0 10,0,2024-09-07 09:51:11:608,149288,0.3,148725,0.5,298465,0.3,397644,1.75 10,1,2024-09-07 09:51:10:585,1046276,1046276,0,0,491082833826,5154320571,1030556,12657,3063,381,391981,0 10,2,2024-09-07 09:51:10:767,756345,756345,0,0,39924402,0,4713 10,3,2024-09-07 09:51:10:876,1,597,2,0,669,6791,597,0 11,0,2024-09-07 09:51:11:031,148838,0.5,144323,0.6,301918,0.4,397912,1.75 11,1,2024-09-07 09:51:10:574,1047813,1047813,0,0,491829673197,5161321581,1031991,11519,4303,383,391766,0 11,2,2024-09-07 09:51:11:122,753250,753250,0,0,35361323,0,4698 11,3,2024-09-07 09:51:11:298,1,597,1,0,843,7787,597,0 12,0,2024-09-07 09:51:10:948,150764,0.4,150755,0.6,301015,0.4,400111,1.75 12,1,2024-09-07 09:51:10:946,1047735,1047735,0,0,491432022400,5126068294,1039360,7367,1008,370,391960,0 12,2,2024-09-07 09:51:11:549,752868,752868,0,0,34138539,0,4390 12,3,2024-09-07 09:51:11:067,1,597,6,0,386,7539,597,0 13,0,2024-09-07 09:51:11:334,150942,0.4,151068,0.5,301148,0.4,401137,1.75 13,1,2024-09-07 09:51:11:526,1045106,1045106,0,0,491449891337,5166457605,1034220,8496,2390,382,391803,0 13,2,2024-09-07 09:51:10:604,756739,756739,0,0,30550097,0,3287 13,3,2024-09-07 09:51:11:762,1,597,10,0,522,7953,597,0 14,0,2024-09-07 09:51:10:574,149893,0.4,150980,0.6,299658,0.3,399057,1.75 14,1,2024-09-07 09:51:11:565,1052353,1052353,0,0,493757123034,5110414313,1043898,7326,1129,364,391673,0 14,2,2024-09-07 09:51:10:772,756939,756909,30,0,31990580,0,6104 14,3,2024-09-07 09:51:11:114,1,597,1,0,1168,6564,597,0 15,0,2024-09-07 09:51:11:574,148484,0.4,147633,0.6,296221,0.4,395321,2.00 15,1,2024-09-07 09:51:11:616,1049323,1049323,0,0,492213998735,5127091241,1040250,7160,1913,381,391619,0 15,2,2024-09-07 09:51:11:000,755391,755391,0,0,27639057,0,3622 15,3,2024-09-07 09:51:11:407,1,597,2,0,1126,7882,597,0 16,0,2024-09-07 09:51:10:945,149903,0.6,150565,0.8,300621,0.6,399960,2.00 16,1,2024-09-07 09:51:10:565,1049147,1049147,0,0,491943558582,5144168236,1039714,7989,1444,370,392194,0 16,2,2024-09-07 09:51:11:434,753728,753728,0,0,31482200,0,4719 16,3,2024-09-07 09:51:11:149,1,597,0,0,358,7562,597,0 17,0,2024-09-07 09:51:11:777,154788,0.6,150901,0.8,295652,0.6,401791,2.00 17,1,2024-09-07 09:51:10:650,1047039,1047039,0,0,490464348004,5145940985,1036753,8515,1771,368,392075,0 17,2,2024-09-07 09:51:11:670,758339,758338,1,0,32785443,0,5050 17,3,2024-09-07 09:51:10:574,1,597,3,0,518,8777,597,0 18,0,2024-09-07 09:51:10:949,148729,0.6,149663,0.8,297855,0.6,398041,2.25 18,1,2024-09-07 09:51:11:641,1051347,1051347,0,0,492777691036,5111863790,1044285,5805,1257,367,391725,0 18,2,2024-09-07 09:51:11:755,758005,758005,0,0,29116024,0,3541 18,3,2024-09-07 09:51:10:897,1,597,1,0,1059,5544,597,0 19,0,2024-09-07 09:51:11:543,148769,0.6,148865,0.8,296637,0.6,394920,2.00 19,1,2024-09-07 09:51:10:572,1051009,1051009,0,0,493094441913,5111792716,1043211,6665,1133,366,391777,0 19,2,2024-09-07 09:51:11:754,757430,757430,0,0,27562121,0,3988 19,3,2024-09-07 09:51:11:129,1,597,10,0,524,4607,597,0 20,0,2024-09-07 09:51:11:362,150030,0.6,149917,0.7,299578,0.6,399792,2.00 20,1,2024-09-07 09:51:10:572,1046919,1046919,0,0,491299748267,5148415411,1036341,9071,1507,369,391922,0 20,2,2024-09-07 09:51:10:928,754663,754663,0,0,34055925,0,4321 20,3,2024-09-07 09:51:10:597,1,597,1,0,468,9681,597,0 21,0,2024-09-07 09:51:11:170,149735,0.5,149930,0.6,299513,0.4,398182,2.00 21,1,2024-09-07 09:51:11:544,1045219,1045219,0,0,490142897572,5175500958,1028352,13032,3835,368,392016,0 21,2,2024-09-07 09:51:11:070,749773,749296,477,0,44754411,0,17074 21,3,2024-09-07 09:51:11:407,1,597,1,0,713,8639,597,0 22,0,2024-09-07 09:51:11:724,149608,0.5,150251,0.6,299694,0.4,397763,2.00 22,1,2024-09-07 09:51:11:023,1046228,1046228,0,0,489409644286,5153168874,1028412,14276,3540,382,391822,0 22,2,2024-09-07 09:51:10:768,753153,753127,26,0,32497440,0,6328 22,3,2024-09-07 09:51:11:066,1,597,1,0,228,5026,597,0 23,0,2024-09-07 09:51:11:373,148669,0.5,148452,0.7,296844,0.5,396038,2.00 23,1,2024-09-07 09:51:11:005,1046036,1046036,0,0,491548609224,5181230710,1026564,12894,6578,365,391690,0 23,2,2024-09-07 09:51:11:096,756939,756939,0,0,31253277,0,3773 23,3,2024-09-07 09:51:11:754,1,597,1,0,855,8812,597,0 24,0,2024-09-07 09:51:10:811,149889,0.4,149169,0.6,300025,0.4,398371,1.75 24,1,2024-09-07 09:51:10:587,1046341,1046341,0,0,490582817518,5136671766,1036281,8351,1709,367,392269,0 24,2,2024-09-07 09:51:11:069,754316,754313,3,0,37820564,0,6294 24,3,2024-09-07 09:51:11:686,1,597,1,0,468,7678,597,0 25,0,2024-09-07 09:51:11:344,153532,0.5,149425,0.6,293455,0.4,399799,2.00 25,1,2024-09-07 09:51:10:570,1044715,1044715,0,0,489885279413,5178267238,1025957,15048,3710,369,391928,0 25,2,2024-09-07 09:51:11:606,752056,752056,0,0,38357331,0,3978 25,3,2024-09-07 09:51:10:999,1,597,1,0,532,6506,597,0 26,0,2024-09-07 09:51:11:723,149012,0.4,145623,0.6,305897,0.4,398026,1.75 26,1,2024-09-07 09:51:11:541,1048423,1048423,0,0,491755129161,5149947948,1034087,11803,2533,380,391748,0 26,2,2024-09-07 09:51:10:867,753731,753731,0,0,41136554,0,4689 26,3,2024-09-07 09:51:11:712,1,597,1,0,796,7565,597,0 27,0,2024-09-07 09:51:11:730,149378,0.4,150070,0.6,298428,0.4,398029,2.25 27,1,2024-09-07 09:51:11:690,1048916,1048916,0,0,492620367079,5135316206,1038520,8787,1609,381,391626,0 27,2,2024-09-07 09:51:10:867,753324,753259,65,0,35884078,0,5699 27,3,2024-09-07 09:51:11:016,1,597,2,0,564,5198,597,0 28,0,2024-09-07 09:51:11:402,148938,0.4,149000,0.6,298333,0.3,397857,1.75 28,1,2024-09-07 09:51:10:798,1049747,1049747,0,0,493065728519,5140876923,1040810,7046,1891,382,391904,0 28,2,2024-09-07 09:51:11:767,754404,754404,0,0,30842185,0,2915 28,3,2024-09-07 09:51:11:776,1,597,1,0,502,5933,597,0 29,0,2024-09-07 09:51:11:388,154291,0.4,150181,0.6,294720,0.4,401630,1.75 29,1,2024-09-07 09:51:11:568,1052753,1052753,0,0,493649855971,5106297812,1046395,5582,776,367,391809,0 29,2,2024-09-07 09:51:10:866,754230,754230,0,0,30032455,0,4986 29,3,2024-09-07 09:51:10:967,1,597,5,0,459,6497,597,0 30,0,2024-09-07 09:51:11:458,149188,0.5,145325,0.7,304198,0.4,397286,2.00 30,1,2024-09-07 09:51:10:575,1051949,1051949,0,0,493946415625,5124807824,1044379,6660,910,380,391672,0 30,2,2024-09-07 09:51:11:274,756546,756546,0,0,28671957,0,4192 30,3,2024-09-07 09:51:10:586,1,597,4,0,519,5602,597,0 31,0,2024-09-07 09:51:11:760,150006,0.4,150783,0.6,300446,0.4,400931,1.75 31,1,2024-09-07 09:51:10:572,1055076,1055076,0,0,494248729525,5076519947,1048620,5382,1074,356,391712,0 31,2,2024-09-07 09:51:11:275,755134,755134,0,0,32206273,0,4470 31,3,2024-09-07 09:51:11:718,1,597,0,0,239,5169,597,0 32,0,2024-09-07 09:51:11:420,149290,0.3,150273,0.5,299032,0.3,398715,1.75 32,1,2024-09-07 09:51:10:803,1051171,1051171,0,0,492889066399,5122690203,1044993,5460,718,381,391646,0 32,2,2024-09-07 09:51:10:936,755954,755954,0,0,28359896,0,3922 32,3,2024-09-07 09:51:11:017,1,597,8,0,304,4898,597,0 33,0,2024-09-07 09:51:11:492,150769,0.3,150425,0.4,301057,0.2,400320,1.50 33,1,2024-09-07 09:51:10:577,1051220,1051220,0,0,493836877746,5116244208,1042775,7172,1273,368,391730,0 33,2,2024-09-07 09:51:10:765,755915,755880,35,0,31459717,0,7012 33,3,2024-09-07 09:51:10:895,1,597,1,0,329,5270,597,0 34,0,2024-09-07 09:51:10:932,150120,0.3,154186,0.5,295000,0.2,398353,1.75 34,1,2024-09-07 09:51:11:044,1053448,1053448,0,0,494259269582,5085323056,1050487,2851,110,367,391637,0 34,2,2024-09-07 09:51:10:766,756863,756863,0,0,30105259,0,4562 34,3,2024-09-07 09:51:11:688,1,597,1,0,541,5499,597,0 35,0,2024-09-07 09:51:10:872,148783,0.3,149663,0.5,300250,0.2,399012,1.75 35,1,2024-09-07 09:51:11:070,1049507,1049507,0,0,492692319778,5114700289,1041399,6585,1523,382,391769,0 35,2,2024-09-07 09:51:11:583,755237,755237,0,0,32900877,0,4055 35,3,2024-09-07 09:51:10:908,1,597,1,0,466,5678,597,0 36,0,2024-09-07 09:51:11:519,150079,0.5,150087,0.7,299635,0.4,399373,2.00 36,1,2024-09-07 09:51:10:586,1048202,1048202,0,0,492324988609,5142227251,1034627,11335,2240,366,391759,0 36,2,2024-09-07 09:51:11:751,755669,755669,0,0,34105042,0,3875 36,3,2024-09-07 09:51:10:864,1,597,2,0,556,8229,597,0 37,0,2024-09-07 09:51:11:403,148027,0.5,148150,0.7,296420,0.5,396038,2.25 37,1,2024-09-07 09:51:10:585,1048320,1048313,0,7,492509720723,5143862650,1035668,9732,2913,365,391770,0 37,2,2024-09-07 09:51:11:144,752621,752606,15,0,34157741,0,5815 37,3,2024-09-07 09:51:11:778,1,597,2,0,888,7981,597,0 38,0,2024-09-07 09:51:11:438,148638,0.5,144109,0.7,301371,0.4,395090,2.00 38,1,2024-09-07 09:51:11:605,1047843,1047843,0,0,492753979179,5155164417,1032516,12328,2999,368,391821,0 38,2,2024-09-07 09:51:10:760,754333,754286,47,0,34356847,0,6710 38,3,2024-09-07 09:51:10:996,1,597,1,0,689,7402,597,0 39,0,2024-09-07 09:51:11:781,153261,0.5,149851,0.7,292241,0.5,398826,2.00 39,1,2024-09-07 09:51:10:717,1047626,1047626,0,0,491404403780,5153609129,1030181,13730,3715,365,391865,0 39,2,2024-09-07 09:51:11:417,754412,754412,0,0,32389740,0,3391 39,3,2024-09-07 09:51:10:719,1,597,1,0,525,6670,597,0 40,0,2024-09-07 09:51:11:496,147952,0.8,148681,1.0,296670,0.9,395919,2.75 40,1,2024-09-07 09:51:10:578,1048320,1048320,0,0,490678342142,5142666030,1032715,12537,3068,368,391668,0 40,2,2024-09-07 09:51:11:302,754284,754277,7,0,38972450,0,5347 40,3,2024-09-07 09:51:11:142,1,597,0,0,1028,8854,597,0 41,0,2024-09-07 09:51:11:024,147983,1.4,151417,1.2,288779,2.0,392977,3.25 41,1,2024-09-07 09:51:10:776,1047468,1047468,0,0,491282671667,5141985882,1033700,11609,2159,369,391878,0 41,2,2024-09-07 09:51:10:765,751156,751155,1,0,37135238,0,5408 41,3,2024-09-07 09:51:11:678,1,597,1,0,366,6408,597,0 42,0,2024-09-07 09:51:11:480,148706,1.0,148291,1.1,296827,1.2,393965,2.75 42,1,2024-09-07 09:51:11:440,1045276,1045276,0,0,490437225002,5155302003,1028786,13558,2932,380,391675,0 42,2,2024-09-07 09:51:11:132,751951,751950,1,0,37401397,0,5513 42,3,2024-09-07 09:51:11:009,1,597,15,0,892,5635,597,0 43,0,2024-09-07 09:51:10:924,148631,0.7,144738,0.9,302890,0.7,396096,2.25 43,1,2024-09-07 09:51:10:577,1048952,1048952,0,0,492154395728,5144647058,1034213,12167,2572,366,391696,0 43,2,2024-09-07 09:51:11:736,755079,755079,0,0,35394057,0,4723 43,3,2024-09-07 09:51:11:749,1,597,0,0,571,8695,597,0 44,0,2024-09-07 09:51:10:857,150319,0.4,150227,0.6,300719,0.3,399545,1.75 44,1,2024-09-07 09:51:10:581,1051839,1051839,0,0,492862630050,5095883027,1042473,7425,1941,356,391809,0 44,2,2024-09-07 09:51:11:277,754723,754723,0,0,28769749,0,4344 44,3,2024-09-07 09:51:11:097,1,597,0,0,1097,7409,597,0 45,0,2024-09-07 09:51:11:761,146721,0.5,143354,0.7,301011,0.5,395445,2.00 45,1,2024-09-07 09:51:11:004,1050559,1050559,0,0,493440863308,5127893270,1042307,7349,903,382,391917,0 45,2,2024-09-07 09:51:11:272,754753,754753,0,0,29095326,0,3596 45,3,2024-09-07 09:51:10:937,1,597,1,0,531,5736,597,0 46,0,2024-09-07 09:51:10:959,149258,0.6,148786,0.8,298585,0.6,396543,2.25 46,1,2024-09-07 09:51:10:575,1052670,1052670,0,0,494230981036,5109450842,1045178,6504,988,366,391709,0 46,2,2024-09-07 09:51:10:594,755671,755671,0,0,29797169,0,4443 46,3,2024-09-07 09:51:11:137,1,597,1,0,908,7176,597,0 47,0,2024-09-07 09:51:11:105,150588,0.4,150502,0.6,302026,0.4,400110,2.00 47,1,2024-09-07 09:51:10:572,1053291,1053291,0,0,494211686688,5105885637,1047193,5236,862,365,391641,0 47,2,2024-09-07 09:51:10:994,759331,759331,0,0,28858890,0,4477 47,3,2024-09-07 09:51:11:114,1,597,1,0,600,6584,597,0 48,0,2024-09-07 09:51:11:497,150436,0.3,150427,0.4,300677,0.2,399902,1.50 48,1,2024-09-07 09:51:11:024,1050920,1050920,0,0,492503017739,5115171536,1044822,5520,578,381,391710,0 48,2,2024-09-07 09:51:10:702,755936,755936,0,0,27377728,0,3524 48,3,2024-09-07 09:51:10:753,1,597,13,0,339,5345,597,0 49,0,2024-09-07 09:51:11:712,153163,0.3,150089,0.5,292166,0.3,399014,1.75 49,1,2024-09-07 09:51:11:024,1049767,1049767,0,0,492735707114,5126298638,1042497,5575,1695,382,391809,0 49,2,2024-09-07 09:51:11:803,756026,756026,0,0,29598322,0,4426 49,3,2024-09-07 09:51:11:428,1,597,0,0,992,7152,597,0 50,0,2024-09-07 09:51:11:511,150712,0.3,149000,0.5,300261,0.2,399656,1.75 50,1,2024-09-07 09:51:11:012,1052880,1052880,0,0,495028678211,5117675466,1046354,5857,669,368,391691,0 50,2,2024-09-07 09:51:11:066,754131,754131,0,0,27452779,0,4490 50,3,2024-09-07 09:51:11:300,1,597,12,0,617,6162,597,0 51,0,2024-09-07 09:51:11:685,153786,0.3,150542,0.5,293082,0.2,400004,1.75 51,1,2024-09-07 09:51:11:680,1053537,1053537,0,0,494866779667,5103333160,1047881,4575,1081,365,391706,0 51,2,2024-09-07 09:51:11:320,755848,755848,0,0,26954369,0,3337 51,3,2024-09-07 09:51:11:027,1,597,1,0,678,4272,597,0 52,0,2024-09-07 09:51:11:451,150257,0.5,149895,0.6,300274,0.4,398764,2.00 52,1,2024-09-07 09:51:10:584,1048505,1048505,0,0,492072808703,5150453259,1033482,12693,2330,368,391805,0 52,2,2024-09-07 09:51:11:754,751451,751413,38,0,36267494,0,6742 52,3,2024-09-07 09:51:10:674,1,597,1,0,1782,7094,597,0 53,0,2024-09-07 09:51:11:737,148407,0.7,144044,0.8,301438,0.7,395051,2.50 53,1,2024-09-07 09:51:10:776,1046650,1046650,0,0,491266813012,5156948403,1028467,13788,4395,367,391968,0 53,2,2024-09-07 09:51:11:298,755988,755987,1,0,32752898,0,5455 53,3,2024-09-07 09:51:10:702,1,597,1,0,308,5591,597,0 54,0,2024-09-07 09:51:11:662,147406,0.6,147575,0.8,293978,0.5,392701,2.25 54,1,2024-09-07 09:51:10:580,1049746,1049746,0,0,493327274852,5131754732,1038638,9437,1671,366,391810,0 54,2,2024-09-07 09:51:10:873,755101,755069,32,0,36382486,0,6397 54,3,2024-09-07 09:51:10:763,1,597,0,0,676,7765,597,0 55,0,2024-09-07 09:51:11:759,144097,0.7,148636,0.8,301284,0.6,392589,2.50 55,1,2024-09-07 09:51:10:773,1050014,1050014,0,0,493386273840,5131749680,1039157,9468,1389,365,391731,0 55,2,2024-09-07 09:51:10:729,752700,752644,56,0,33874958,0,7239 55,3,2024-09-07 09:51:10:674,1,597,2,0,304,5519,597,0 56,0,2024-09-07 09:51:11:567,152567,1.1,143744,1.1,296299,1.5,396545,2.75 56,1,2024-09-07 09:51:10:580,1043409,1043409,0,0,490330742632,5188360740,1025443,14277,3689,381,391867,0 56,2,2024-09-07 09:51:11:315,752903,752781,122,0,36120600,0,7432 56,3,2024-09-07 09:51:11:066,1,597,16,0,705,6940,597,0 57,0,2024-09-07 09:51:10:965,147699,1.4,147484,1.2,295302,2.0,394456,3.00 57,1,2024-09-07 09:51:11:009,1045921,1045921,0,0,490935964121,5155543750,1031498,11933,2490,366,392032,0 57,2,2024-09-07 09:51:11:318,755790,755790,0,0,37762950,0,4804 57,3,2024-09-07 09:51:11:738,1,597,0,0,455,7036,597,0 58,0,2024-09-07 09:51:10:554,146629,1.0,142408,1.0,297777,1.2,390145,2.75 58,1,2024-09-07 09:51:10:576,1047706,1047703,0,3,491607334038,5155759643,1031558,12244,3901,367,391726,3 58,2,2024-09-07 09:51:11:071,754381,754381,0,0,35419359,0,3483 58,3,2024-09-07 09:51:11:068,1,597,20,0,1043,6719,597,0 59,0,2024-09-07 09:51:11:752,148555,0.9,148132,1.0,295908,1.0,392674,3.00 59,1,2024-09-07 09:51:10:805,1046328,1046328,0,0,491756147683,5169947041,1028507,14153,3668,369,391653,0 59,2,2024-09-07 09:51:10:584,754260,754260,0,0,33514128,0,3727 59,3,2024-09-07 09:51:11:737,1,597,1,0,1015,7343,597,0 60,0,2024-09-07 09:51:11:705,149846,0.5,150004,0.7,300261,0.5,399634,1.75 60,1,2024-09-07 09:51:10:788,1051576,1051576,0,0,493223755349,5126603386,1043625,6864,1087,370,392031,0 60,2,2024-09-07 09:51:11:141,755633,755633,0,0,31692247,0,3811 60,3,2024-09-07 09:51:11:258,1,597,8,0,409,6973,597,0 61,0,2024-09-07 09:51:11:503,150205,0.6,150745,0.8,300033,0.6,400321,2.00 61,1,2024-09-07 09:51:10:786,1047947,1047947,0,0,491893848782,5150111261,1036823,9445,1679,382,392127,0 61,2,2024-09-07 09:51:11:117,756233,756166,67,0,32287845,0,6411 61,3,2024-09-07 09:51:11:687,1,597,1,0,607,7826,597,0 62,0,2024-09-07 09:51:11:713,149784,0.6,153497,0.7,293223,0.6,398750,2.00 62,1,2024-09-07 09:51:11:114,1054602,1054596,0,6,494667892190,5100212094,1049631,4666,299,365,391975,6 62,2,2024-09-07 09:51:11:644,752397,752396,1,0,32270516,0,5555 62,3,2024-09-07 09:51:11:144,1,597,1,0,482,4809,597,0 63,0,2024-09-07 09:51:11:460,150806,0.4,150559,0.6,301647,0.4,400598,1.75 63,1,2024-09-07 09:51:10:804,1050727,1050721,0,6,493546026601,5125350476,1043875,5991,855,381,391800,6 63,2,2024-09-07 09:51:10:766,755108,755108,0,0,30659892,0,4369 63,3,2024-09-07 09:51:11:737,1,597,2,0,667,6181,597,0 64,0,2024-09-07 09:51:11:545,149203,0.5,149068,0.7,297782,0.4,396947,2.00 64,1,2024-09-07 09:51:10:777,1050180,1050180,0,0,493448373988,5140167499,1040841,7362,1977,370,391794,0 64,2,2024-09-07 09:51:11:141,759789,759770,19,0,29745596,0,6121 64,3,2024-09-07 09:51:11:140,1,597,1,0,651,6879,597,0 65,0,2024-09-07 09:51:11:695,148474,0.6,148826,0.7,297263,0.6,396359,2.00 65,1,2024-09-07 09:51:10:859,1048100,1048100,0,0,490911609130,5131856937,1040622,6605,873,381,391901,0 65,2,2024-09-07 09:51:11:695,754378,754378,0,0,35684733,0,3367 65,3,2024-09-07 09:51:11:687,1,597,11,0,782,6692,597,0 66,0,2024-09-07 09:51:11:784,149141,0.5,148691,0.8,297565,0.5,396481,2.00 66,1,2024-09-07 09:51:11:293,1050557,1050557,0,0,492530617449,5125508396,1044057,5778,722,380,391743,0 66,2,2024-09-07 09:51:11:138,757874,757871,3,0,31762160,0,5455 66,3,2024-09-07 09:51:11:087,1,597,1,0,291,5088,597,0 67,0,2024-09-07 09:51:11:417,148683,0.5,148290,0.7,297623,0.5,396651,2.00 67,1,2024-09-07 09:51:10:774,1049651,1049650,0,1,493463310676,5138197311,1042252,6453,945,380,391787,1 67,2,2024-09-07 09:51:10:587,757609,757594,15,0,31551334,0,6205 67,3,2024-09-07 09:51:11:752,1,597,6,0,595,6302,597,0 68,0,2024-09-07 09:51:10:562,149577,0.6,149368,0.8,297465,0.6,398390,2.25 68,1,2024-09-07 09:51:10:572,1045376,1045376,0,0,489956277985,5152425892,1031586,10260,3530,381,391953,0 68,2,2024-09-07 09:51:11:044,750911,750811,100,0,39523263,0,8578 68,3,2024-09-07 09:51:10:741,1,597,4,0,417,7767,597,0 69,0,2024-09-07 09:51:11:725,149302,0.6,150042,0.8,299160,0.6,397545,2.25 69,1,2024-09-07 09:51:11:017,1044548,1044548,0,0,490383831825,5168228695,1030690,11025,2833,383,391994,0 69,2,2024-09-07 09:51:11:735,751729,751700,29,0,41742854,0,6912 69,3,2024-09-07 09:51:10:778,1,597,8,0,698,8614,597,0 70,0,2024-09-07 09:51:11:547,147704,0.7,148279,0.9,298024,0.6,394782,2.50 70,1,2024-09-07 09:51:10:803,1051436,1051436,0,0,493925585791,5124819841,1043525,7105,806,366,391725,0 70,2,2024-09-07 09:51:11:325,756789,756789,0,0,34718136,0,4323 70,3,2024-09-07 09:51:10:745,1,597,1,0,854,6602,597,0 71,0,2024-09-07 09:51:11:368,147578,1.0,147293,1.0,295662,1.3,394995,2.75 71,1,2024-09-07 09:51:11:598,1049023,1049023,0,0,491676759993,5132865742,1036034,11368,1621,368,391738,0 71,2,2024-09-07 09:51:11:070,753786,753786,0,0,34791417,0,4352 71,3,2024-09-07 09:51:11:758,1,597,1,0,644,6746,597,0 72,0,2024-09-07 09:51:11:021,154578,0.6,151072,0.7,294802,0.5,400963,2.00 72,1,2024-09-07 09:51:11:023,1046828,1046828,0,0,490776675534,5150309319,1031530,12783,2515,369,391819,0 72,2,2024-09-07 09:51:11:756,752248,752248,0,0,36490725,0,3983 72,3,2024-09-07 09:51:11:753,1,597,1,0,564,8828,597,0 73,0,2024-09-07 09:51:11:115,146164,0.4,149981,0.6,306556,0.4,398674,2.00 73,1,2024-09-07 09:51:10:804,1048791,1048791,0,0,492448539231,5120468092,1040388,7421,982,367,391858,0 73,2,2024-09-07 09:51:11:739,754556,754555,1,0,38036185,0,5027 73,3,2024-09-07 09:51:10:969,1,597,4,0,1091,8362,597,0 74,0,2024-09-07 09:51:11:323,151042,0.5,154691,0.6,295054,0.4,399780,2.00 74,1,2024-09-07 09:51:10:646,1047991,1047991,0,0,491843523785,5136572466,1036088,9787,2116,381,391762,0 74,2,2024-09-07 09:51:11:004,755169,755169,0,0,33218410,0,4253 74,3,2024-09-07 09:51:11:442,1,597,1,0,522,7416,597,0 75,0,2024-09-07 09:51:11:774,148814,0.6,147778,0.7,296673,0.5,396940,2.25 75,1,2024-09-07 09:51:11:587,1049146,1049146,0,0,491563157080,5124571339,1040526,7752,868,380,391739,0 75,2,2024-09-07 09:51:11:350,752560,752560,0,0,40250805,0,4766 75,3,2024-09-07 09:51:11:072,1,597,15,0,918,8152,597,0 76,0,2024-09-07 09:51:10:588,149561,0.6,148964,0.8,297893,0.6,398262,2.25 76,1,2024-09-07 09:51:10:805,1048983,1048983,0,0,492224103451,5133594080,1041659,6335,989,382,391790,0 76,2,2024-09-07 09:51:11:061,756873,756870,3,0,32597216,0,5265 76,3,2024-09-07 09:51:11:142,1,597,1,0,227,5457,597,0 77,0,2024-09-07 09:51:11:729,149795,0.5,150312,0.7,300543,0.5,399418,2.00 77,1,2024-09-07 09:51:10:828,1048628,1048628,0,0,492784462889,5141166067,1040649,7104,875,381,391869,0 77,2,2024-09-07 09:51:11:283,755434,755434,0,0,31761009,0,3890 77,3,2024-09-07 09:51:11:099,1,597,1,0,401,6816,597,0 78,0,2024-09-07 09:51:11:717,150607,0.4,150007,0.6,300820,0.4,398463,2.00 78,1,2024-09-07 09:51:10:615,1048965,1048965,0,0,492050340379,5133459567,1036126,9922,2917,367,391670,0 78,2,2024-09-07 09:51:11:408,756846,756833,13,0,29720194,0,8313 78,3,2024-09-07 09:51:11:137,1,597,3,0,311,5066,597,0 79,0,2024-09-07 09:51:11:351,144098,0.4,147433,0.6,302048,0.3,393766,2.00 79,1,2024-09-07 09:51:10:573,1052416,1052416,0,0,494266123929,5116828593,1044572,6484,1360,367,391682,0 79,2,2024-09-07 09:51:11:076,755024,755024,0,0,28844641,0,4195 79,3,2024-09-07 09:51:10:751,1,597,0,0,418,7155,597,0 80,0,2024-09-07 09:51:11:077,149832,0.6,153950,0.7,294322,0.6,398680,2.00 80,1,2024-09-07 09:51:11:638,1049180,1049180,0,0,491564301762,5120232842,1042027,6702,451,368,392269,0 80,2,2024-09-07 09:51:11:098,757313,757313,0,0,29462186,0,4433 80,3,2024-09-07 09:51:10:582,1,597,1,0,681,7378,597,0 81,0,2024-09-07 09:51:11:577,149701,0.5,153169,0.7,292539,0.5,397123,2.00 81,1,2024-09-07 09:51:11:653,1047676,1047676,0,0,491734634735,5138941048,1039516,7394,766,382,391885,0 81,2,2024-09-07 09:51:11:125,754576,754513,63,0,32682844,0,5932 81,3,2024-09-07 09:51:11:117,1,597,1,0,719,6990,597,0 82,0,2024-09-07 09:51:11:538,149620,0.5,149735,0.7,300238,0.4,397773,2.00 82,1,2024-09-07 09:51:10:584,1050516,1050512,0,4,492547738378,5120327119,1044944,4745,823,381,391768,4 82,2,2024-09-07 09:51:11:697,756879,756879,0,0,27697401,0,4484 82,3,2024-09-07 09:51:11:757,1,597,4,0,363,5693,597,0 83,0,2024-09-07 09:51:11:527,149065,0.6,148969,0.7,297517,0.6,395389,2.00 83,1,2024-09-07 09:51:10:563,1048849,1048849,0,0,491944172217,5129266732,1041263,6941,645,382,391709,0 83,2,2024-09-07 09:51:10:773,756423,756398,25,0,29865166,0,5612 83,3,2024-09-07 09:51:10:752,1,597,1,0,1260,7194,597,0 84,0,2024-09-07 09:51:11:791,147433,0.8,147508,0.9,295038,0.7,394360,2.25 84,1,2024-09-07 09:51:11:046,1047150,1047150,0,0,491752135993,5143127834,1035102,10377,1671,367,391967,0 84,2,2024-09-07 09:51:10:572,752974,752564,410,0,43278500,0,17037 84,3,2024-09-07 09:51:11:143,1,597,3,0,908,8062,597,0 85,0,2024-09-07 09:51:11:005,143307,0.7,143295,0.8,304242,0.6,392931,2.25 85,1,2024-09-07 09:51:10:563,1044421,1044421,0,0,490138436244,5176651315,1028117,13507,2797,381,392092,0 85,2,2024-09-07 09:51:10:866,753232,753232,0,0,36460483,0,4255 85,3,2024-09-07 09:51:10:684,1,597,6,0,789,6884,597,0 86,0,2024-09-07 09:51:10:882,149380,0.7,153567,0.8,293667,0.7,397226,2.25 86,1,2024-09-07 09:51:10:823,1047142,1047142,0,0,492052532685,5160383636,1033311,11145,2686,366,392169,0 86,2,2024-09-07 09:51:10:859,753309,753308,1,0,38708261,0,5004 86,3,2024-09-07 09:51:10:586,1,597,1,0,308,8357,597,0 87,0,2024-09-07 09:51:11:304,149426,0.8,148951,0.8,297781,0.9,397852,2.25 87,1,2024-09-07 09:51:10:596,1046947,1046947,0,0,491314667059,5148553760,1033568,11582,1797,366,392076,0 87,2,2024-09-07 09:51:11:069,755283,755277,6,0,35258364,0,6323 87,3,2024-09-07 09:51:11:794,1,597,3,0,473,8570,597,0 88,0,2024-09-07 09:51:11:438,148787,0.5,149320,0.6,298293,0.4,397732,1.75 88,1,2024-09-07 09:51:10:573,1045653,1045653,0,0,490643773629,5145612236,1030273,12336,3044,365,392084,0 88,2,2024-09-07 09:51:10:706,753692,753692,0,0,38040550,0,4465 88,3,2024-09-07 09:51:11:271,1,597,1,0,1080,9271,597,0 89,0,2024-09-07 09:51:11:774,154078,0.5,149529,0.7,295037,0.4,401191,1.75 89,1,2024-09-07 09:51:10:565,1045201,1045201,0,0,491969463035,5175302486,1032220,11037,1944,382,391866,0 89,2,2024-09-07 09:51:11:132,753316,753316,0,0,35824795,0,3173 89,3,2024-09-07 09:51:11:794,1,597,3,0,468,10101,597,0 90,0,2024-09-07 09:51:11:617,145525,0.5,149450,0.6,304991,0.4,397722,2.00 90,1,2024-09-07 09:51:10:599,1047527,1047527,0,0,491253884761,5146710018,1037434,9201,892,380,391825,0 90,2,2024-09-07 09:51:11:412,752265,752260,5,0,39367810,0,6370 90,3,2024-09-07 09:51:10:935,1,597,2,0,322,7285,597,0 91,0,2024-09-07 09:51:10:949,150725,0.4,146260,0.6,306133,0.4,400988,1.75 91,1,2024-09-07 09:51:10:571,1044661,1044661,0,0,491093778206,5176501533,1028812,12701,3148,381,392047,0 91,2,2024-09-07 09:51:11:331,755387,755387,0,0,34613878,0,4713 91,3,2024-09-07 09:51:10:600,1,597,2,0,216,5403,597,0 92,0,2024-09-07 09:51:11:442,150878,0.5,154162,0.6,293918,0.5,398806,1.75 92,1,2024-09-07 09:51:10:595,1048476,1048476,0,0,492362268604,5143417445,1040118,7071,1287,381,392136,0 92,2,2024-09-07 09:51:11:350,754168,754168,0,0,30315419,0,3259 92,3,2024-09-07 09:51:11:015,1,597,5,0,167,5147,597,0 93,0,2024-09-07 09:51:10:952,151204,0.4,154903,0.6,295833,0.4,400570,1.75 93,1,2024-09-07 09:51:10:805,1048446,1048446,0,0,492105699283,5136855000,1036916,9602,1928,366,392048,0 93,2,2024-09-07 09:51:10:929,754915,754915,0,0,36132122,0,4913 93,3,2024-09-07 09:51:11:407,1,597,1,0,294,5878,597,0 94,0,2024-09-07 09:51:11:607,149258,0.4,150287,0.5,300698,0.3,399391,1.75 94,1,2024-09-07 09:51:10:599,1049367,1049367,0,0,492336495394,5134741151,1042423,6511,433,381,391850,0 94,2,2024-09-07 09:51:10:768,756131,756103,28,0,31075421,0,6179 94,3,2024-09-07 09:51:11:687,1,597,1,0,576,7452,597,0 95,0,2024-09-07 09:51:11:354,149594,0.3,149700,0.5,299429,0.3,399035,1.75 95,1,2024-09-07 09:51:10:855,1050356,1050356,0,0,492863847576,5122516955,1041925,7684,747,365,391852,0 95,2,2024-09-07 09:51:11:015,754269,754269,0,0,30529164,0,3308 95,3,2024-09-07 09:51:11:710,1,597,6,0,718,9408,597,0 96,0,2024-09-07 09:51:11:022,149571,0.4,150012,0.5,299886,0.3,398779,1.75 96,1,2024-09-07 09:51:11:600,1048810,1048810,0,0,492026049814,5132282190,1041430,6107,1273,384,391964,0 96,2,2024-09-07 09:51:11:290,756016,756016,0,0,31646666,0,4180 96,3,2024-09-07 09:51:11:141,1,597,12,0,411,6948,597,0 97,0,2024-09-07 09:51:11:315,148878,0.3,148579,0.5,298145,0.3,396376,1.75 97,1,2024-09-07 09:51:10:792,1050209,1050209,0,0,493681255725,5123752445,1043281,5890,1038,367,392140,0 97,2,2024-09-07 09:51:10:608,756386,756386,0,0,31322148,0,4046 97,3,2024-09-07 09:51:10:574,1,597,3,0,242,6759,597,0 98,0,2024-09-07 09:51:11:697,149181,0.3,149257,0.5,299520,0.3,398532,1.50 98,1,2024-09-07 09:51:10:578,1049363,1049363,0,0,492411408532,5129875583,1042884,5644,835,381,391997,0 98,2,2024-09-07 09:51:10:776,754973,754973,0,0,30057701,0,4336 98,3,2024-09-07 09:51:10:704,1,597,1,0,840,9068,597,0 99,0,2024-09-07 09:51:11:481,150082,0.3,150936,0.5,300172,0.3,400225,1.75 99,1,2024-09-07 09:51:11:729,1050132,1050132,0,0,491565051879,5116155167,1043768,5422,942,380,392069,0 99,2,2024-09-07 09:51:11:416,754319,754319,0,0,37220919,0,4276 99,3,2024-09-07 09:51:10:584,1,597,7,0,1124,7723,597,0 100,0,2024-09-07 09:51:11:493,148968,0.7,149222,0.9,298299,0.8,398359,2.50 100,1,2024-09-07 09:51:10:608,1043943,1043943,0,0,489567528922,5176882114,1027926,12701,3316,378,391989,0 100,2,2024-09-07 09:51:11:827,752646,752257,389,0,40455001,0,16909 100,3,2024-09-07 09:51:11:774,1,597,3,0,627,10073,597,0 101,0,2024-09-07 09:51:11:728,151996,1.2,148255,1.1,290242,1.2,396670,2.25 101,1,2024-09-07 09:51:10:559,1045705,1045705,0,0,491000585465,5160008566,1031663,11515,2527,368,391847,0 101,2,2024-09-07 09:51:11:762,750212,750212,0,0,40252037,0,4871 101,3,2024-09-07 09:51:10:945,1,597,1,0,1250,9009,597,0 102,0,2024-09-07 09:51:10:944,145666,0.7,149955,0.8,304726,0.7,398531,2.25 102,1,2024-09-07 09:51:11:142,1045596,1045596,0,0,490468293246,5153418621,1031482,11915,2199,369,391984,0 102,2,2024-09-07 09:51:11:738,754701,754647,54,0,34419363,0,6768 102,3,2024-09-07 09:51:11:614,1,597,1,0,466,6907,597,0 103,0,2024-09-07 09:51:11:602,155046,0.6,154988,0.7,292085,0.6,401944,2.00 103,1,2024-09-07 09:51:11:626,1043992,1043992,0,0,489988398182,5176409635,1027094,13301,3597,381,392077,0 103,2,2024-09-07 09:51:10:587,752720,752720,0,0,37255365,0,3766 103,3,2024-09-07 09:51:10:757,1,597,1,0,916,7109,597,0 104,0,2024-09-07 09:51:11:012,149022,0.7,149226,0.9,297814,0.7,397885,2.25 104,1,2024-09-07 09:51:11:605,1046777,1046777,0,0,490480803953,5155932826,1031250,12683,2844,365,392168,0 104,2,2024-09-07 09:51:11:684,753299,753299,0,0,36963814,0,4161 104,3,2024-09-07 09:51:11:421,1,597,1,0,1245,10617,597,0 105,0,2024-09-07 09:51:11:028,146876,1.0,143114,1.1,299829,1.1,394541,2.75 105,1,2024-09-07 09:51:10:563,1048506,1048506,0,0,491459402800,5151017718,1034943,11624,1939,364,392009,0 105,2,2024-09-07 09:51:11:325,752776,752776,0,0,37035169,0,4360 105,3,2024-09-07 09:51:11:305,1,597,7,0,573,9173,597,0 106,0,2024-09-07 09:51:10:935,144909,1.0,148428,1.0,304044,1.2,396820,2.50 106,1,2024-09-07 09:51:11:755,1047230,1047230,0,0,490744667101,5152364077,1032575,12761,1894,368,391914,0 106,2,2024-09-07 09:51:10:756,753086,753086,0,0,34931399,0,3331 106,3,2024-09-07 09:51:10:676,1,597,1,0,1224,8420,597,0 107,0,2024-09-07 09:51:11:109,150051,0.9,150126,0.9,300214,1.1,400157,2.25 107,1,2024-09-07 09:51:10:589,1044256,1044256,0,0,489969021792,5180038607,1026884,15239,2133,381,392234,0 107,2,2024-09-07 09:51:11:291,752829,752828,1,0,38075272,0,5024 107,3,2024-09-07 09:51:11:766,1,597,24,0,733,9093,597,0 108,0,2024-09-07 09:51:11:776,149971,0.4,150403,0.6,299782,0.4,399931,1.75 108,1,2024-09-07 09:51:11:293,1048475,1048475,0,0,492128811418,5133662059,1039783,7697,995,367,391894,0 108,2,2024-09-07 09:51:11:755,755036,755036,0,0,32751709,0,4246 108,3,2024-09-07 09:51:11:334,1,597,3,0,749,10859,597,0 109,0,2024-09-07 09:51:11:785,149823,0.4,148640,0.6,298068,0.3,398123,1.75 109,1,2024-09-07 09:51:10:588,1045731,1045731,0,0,492087442259,5162448873,1036257,8197,1277,382,392132,0 109,2,2024-09-07 09:51:10:922,752558,752558,0,0,33590840,0,3617 109,3,2024-09-07 09:51:11:140,1,597,10,0,630,7310,597,0 110,0,2024-09-07 09:51:11:765,149918,0.4,145828,0.6,305407,0.4,400434,1.75 110,1,2024-09-07 09:51:11:646,1050245,1050245,0,0,492930842162,5118149087,1042203,6115,1927,368,392045,0 110,2,2024-09-07 09:51:11:304,755670,755670,0,0,31092263,0,4067 110,3,2024-09-07 09:51:10:692,1,597,1,0,722,8037,597,0 111,0,2024-09-07 09:51:11:424,150508,0.4,149699,0.5,299419,0.3,399430,1.75 111,1,2024-09-07 09:51:11:001,1051566,1051566,0,0,493376196655,5117283073,1046084,5101,381,380,391690,0 111,2,2024-09-07 09:51:11:116,755416,755416,0,0,31616430,0,4823 111,3,2024-09-07 09:51:10:916,1,597,0,0,379,6525,597,0 112,0,2024-09-07 09:51:10:910,150567,0.3,149993,0.4,300631,0.2,399064,1.50 112,1,2024-09-07 09:51:10:823,1050168,1050168,0,0,492257645235,5113115813,1042968,5975,1225,380,391624,0 112,2,2024-09-07 09:51:11:140,754676,754675,1,0,29957021,0,5036 112,3,2024-09-07 09:51:10:595,1,597,1,0,282,5729,597,0 113,0,2024-09-07 09:51:10:879,149131,0.3,149213,0.5,298615,0.2,397702,1.75 113,1,2024-09-07 09:51:11:700,1052506,1052506,0,0,494646070226,5104737906,1046276,5282,948,365,391664,0 113,2,2024-09-07 09:51:11:303,758737,758737,0,0,28441483,0,3813 113,3,2024-09-07 09:51:10:683,1,597,2,0,510,6446,597,0 114,0,2024-09-07 09:51:10:875,149266,0.3,150196,0.5,299390,0.2,399828,1.75 114,1,2024-09-07 09:51:10:716,1050749,1050749,0,0,492700169037,5117541043,1042808,6194,1747,381,391565,0 114,2,2024-09-07 09:51:10:879,757025,757024,1,0,29590367,0,5069 114,3,2024-09-07 09:51:11:279,1,597,1,0,395,4816,597,0 115,0,2024-09-07 09:51:10:563,149962,0.3,150563,0.4,299742,0.2,399048,1.50 115,1,2024-09-07 09:51:10:574,1050959,1050959,0,0,492752658499,5120367376,1042703,6739,1517,382,391757,0 115,2,2024-09-07 09:51:11:125,756288,756288,0,0,29671417,0,4382 115,3,2024-09-07 09:51:11:001,1,597,122,0,167,3707,597,0 116,0,2024-09-07 09:51:11:707,149391,0.7,149176,0.8,299021,0.6,399496,2.00 116,1,2024-09-07 09:51:10:809,1045246,1045246,0,0,490042595283,5166352256,1032605,9552,3089,380,392089,0 116,2,2024-09-07 09:51:11:751,753808,753808,0,0,36939401,0,4475 116,3,2024-09-07 09:51:10:912,1,597,1,0,448,7759,597,0 117,0,2024-09-07 09:51:10:952,149682,0.7,149012,0.8,298509,0.7,399106,2.00 117,1,2024-09-07 09:51:11:580,1046839,1046839,0,0,490744837340,5140003569,1035105,10213,1521,369,392033,0 117,2,2024-09-07 09:51:11:120,757844,757844,0,0,33474518,0,4303 117,3,2024-09-07 09:51:11:059,1,597,1,0,490,7637,597,0 118,0,2024-09-07 09:51:11:789,144344,0.6,148189,0.7,302574,0.5,396260,2.00 118,1,2024-09-07 09:51:10:588,1045931,1045931,0,0,490780409136,5161243673,1030178,12131,3622,366,392054,0 118,2,2024-09-07 09:51:11:589,753103,753103,0,0,35627190,0,2842 118,3,2024-09-07 09:51:11:772,1,597,0,0,289,7058,597,0 119,0,2024-09-07 09:51:11:336,149583,0.8,150343,0.8,299802,0.9,399628,2.25 119,1,2024-09-07 09:51:10:554,1046677,1046677,0,0,491456686018,5155924420,1031983,12182,2512,367,391857,0 119,2,2024-09-07 09:51:11:261,755090,755090,0,0,33539542,0,4309 119,3,2024-09-07 09:51:11:325,1,597,45,0,1358,11063,597,0 120,0,2024-09-07 09:51:11:571,149130,0.7,149012,0.8,299358,0.7,398708,2.25 120,1,2024-09-07 09:51:10:859,1047845,1047845,0,0,490571940486,5147368166,1036180,10524,1141,367,392144,0 120,2,2024-09-07 09:51:10:772,754441,754438,3,0,40171336,0,5363 120,3,2024-09-07 09:51:11:301,1,597,11,0,279,7267,597,0 121,0,2024-09-07 09:51:11:719,150482,1.1,149900,1.0,300152,1.4,399929,2.25 121,1,2024-09-07 09:51:11:661,1047045,1047045,0,0,490915533048,5139166277,1035759,9733,1553,366,391840,0 121,2,2024-09-07 09:51:11:127,754696,754696,0,0,36671085,0,4157 121,3,2024-09-07 09:51:10:749,1,597,0,0,387,7943,597,0 122,0,2024-09-07 09:51:11:812,148636,0.8,144637,0.9,303062,0.9,398746,2.00 122,1,2024-09-07 09:51:10:859,1046285,1046285,0,0,490695559687,5153203887,1031287,12586,2412,365,392130,0 122,2,2024-09-07 09:51:11:322,754577,754502,75,0,40297509,0,5989 122,3,2024-09-07 09:51:10:594,1,597,5,0,512,9758,597,0 123,0,2024-09-07 09:51:10:958,149672,0.9,145612,0.9,304982,1.0,399284,2.25 123,1,2024-09-07 09:51:10:558,1046123,1046123,0,0,491058533470,5165796892,1029311,14140,2672,369,392039,0 123,2,2024-09-07 09:51:11:019,752412,752411,1,0,34307112,0,5215 123,3,2024-09-07 09:51:11:138,1,597,0,0,478,6871,597,0 124,0,2024-09-07 09:51:10:932,153957,0.3,153958,0.5,290293,0.3,399869,1.75 124,1,2024-09-07 09:51:11:023,1050465,1050465,0,0,491877340262,5114186332,1042732,6558,1175,365,392178,0 124,2,2024-09-07 09:51:11:013,757754,757701,53,0,30960927,0,6487 124,3,2024-09-07 09:51:10:767,1,597,8,0,490,5954,597,0 125,0,2024-09-07 09:51:11:479,149373,0.4,149496,0.5,299782,0.3,399007,1.75 125,1,2024-09-07 09:51:10:875,1047254,1047254,0,0,491575956202,5132058793,1039187,6916,1151,382,391968,0 125,2,2024-09-07 09:51:11:123,757303,757303,0,0,31102407,0,4534 125,3,2024-09-07 09:51:11:143,1,597,13,0,709,6913,597,0 126,0,2024-09-07 09:51:11:417,149895,0.4,154095,0.6,294841,0.4,399364,1.75 126,1,2024-09-07 09:51:10:569,1050983,1050983,0,0,493209678286,5106506049,1045470,5067,446,365,391987,0 126,2,2024-09-07 09:51:10:612,756874,756874,0,0,32354196,0,4539 126,3,2024-09-07 09:51:10:914,1,597,0,0,268,6614,597,0 127,0,2024-09-07 09:51:11:604,149148,0.3,149304,0.5,297975,0.3,396864,1.75 127,1,2024-09-07 09:51:10:572,1049106,1049106,0,0,492557629585,5126107507,1038433,9037,1636,364,392187,0 127,2,2024-09-07 09:51:10:639,755344,755340,4,0,30462794,0,5305 127,3,2024-09-07 09:51:11:267,1,597,41,0,968,5816,597,0 128,0,2024-09-07 09:51:11:521,150079,0.3,150195,0.4,299950,0.2,399132,1.50 128,1,2024-09-07 09:51:11:611,1049112,1049112,0,0,492378710617,5122588426,1040699,7449,964,367,392423,0 128,2,2024-09-07 09:51:11:383,756351,756351,0,0,28323420,0,3171 128,3,2024-09-07 09:51:10:770,1,597,2,0,1082,9539,597,0 129,0,2024-09-07 09:51:10:998,151242,0.3,150467,0.5,301662,0.3,400713,1.50 129,1,2024-09-07 09:51:10:571,1046177,1046177,0,0,491472662323,5152318720,1035715,8440,2022,379,391962,0 129,2,2024-09-07 09:51:10:693,756368,756364,4,0,30888284,0,5335 129,3,2024-09-07 09:51:10:687,1,597,0,0,506,8268,597,0 130,0,2024-09-07 09:51:11:731,150238,0.4,149649,0.5,300007,0.4,399598,1.75 130,1,2024-09-07 09:51:10:584,1050016,1050016,0,0,492433690050,5115465660,1044582,5038,396,381,391825,0 130,2,2024-09-07 09:51:11:125,757045,757045,0,0,31175145,0,4067 130,3,2024-09-07 09:51:11:291,1,597,2,0,960,8284,597,0 131,0,2024-09-07 09:51:11:934,148970,0.3,149392,0.5,299710,0.3,398379,1.75 131,1,2024-09-07 09:51:11:820,1049442,1049442,0,0,492574910820,5135320000,1042218,6027,1197,381,391865,0 131,2,2024-09-07 09:51:10:572,755380,755380,0,0,28803198,0,3979 131,3,2024-09-07 09:51:11:688,1,597,10,0,392,7703,597,0 132,0,2024-09-07 09:51:11:438,149965,0.5,150882,0.6,300516,0.5,399520,2.00 132,1,2024-09-07 09:51:10:576,1045017,1045017,0,0,490063615926,5160251420,1029712,12603,2702,381,392532,0 132,2,2024-09-07 09:51:10:697,754089,754072,17,0,37425443,0,6451 132,3,2024-09-07 09:51:11:690,1,597,10,0,1298,10784,597,0 133,0,2024-09-07 09:51:11:519,146352,0.4,149703,0.6,306909,0.4,399433,2.00 133,1,2024-09-07 09:51:10:599,1045677,1045677,0,0,491170037982,5175450625,1031608,12306,1763,383,391914,0 133,2,2024-09-07 09:51:11:088,755052,755002,50,0,38489787,0,6861 133,3,2024-09-07 09:51:11:297,1,597,1,0,528,7199,597,0 134,0,2024-09-07 09:51:10:943,150297,0.5,150417,0.7,301010,0.5,400111,2.00 134,1,2024-09-07 09:51:10:589,1046796,1046796,0,0,490853081209,5149627635,1033029,11281,2486,366,391781,0 134,2,2024-09-07 09:51:11:757,755986,755962,24,0,35650882,0,6207 134,3,2024-09-07 09:51:10:751,1,597,63,0,739,7421,597,0 135,0,2024-09-07 09:51:11:096,143887,0.8,143868,0.9,305527,0.9,394192,2.25 135,1,2024-09-07 09:51:11:591,1046100,1046100,0,0,491252400144,5165685059,1033085,11375,1640,380,391805,0 135,2,2024-09-07 09:51:10:694,754542,754542,0,0,36408492,0,4503 135,3,2024-09-07 09:51:11:001,1,597,1,0,900,5894,597,0 136,0,2024-09-07 09:51:11:615,150393,0.6,150946,0.8,299643,0.6,399888,2.25 136,1,2024-09-07 09:51:11:455,1047549,1047549,0,0,491409550513,5152430953,1035649,10512,1388,381,392135,0 136,2,2024-09-07 09:51:11:132,755909,755894,15,0,35886667,0,6007 136,3,2024-09-07 09:51:11:106,1,597,3,0,637,6950,597,0 137,0,2024-09-07 09:51:10:951,155072,0.6,151112,0.7,296054,0.6,401950,2.00 137,1,2024-09-07 09:51:10:576,1046352,1046352,0,0,490925897166,5151548150,1029817,13147,3388,366,391898,0 137,2,2024-09-07 09:51:11:706,754471,754471,0,0,37046718,0,3185 137,3,2024-09-07 09:51:10:769,1,597,1,0,484,8244,597,0 138,0,2024-09-07 09:51:11:767,149358,0.7,149697,0.8,299885,0.8,398407,2.00 138,1,2024-09-07 09:51:11:700,1046832,1046832,0,0,491014854780,5152902421,1032061,12501,2270,368,391954,0 138,2,2024-09-07 09:51:10:585,754325,754325,0,0,35344330,0,4988 138,3,2024-09-07 09:51:10:610,1,597,1,0,1200,9392,597,0 139,0,2024-09-07 09:51:11:390,147877,1.1,148468,1.0,296821,1.5,396362,2.25 139,1,2024-09-07 09:51:10:572,1042585,1042585,0,0,488266275298,5179417872,1024201,14559,3825,380,392109,0 139,2,2024-09-07 09:51:10:691,749420,749390,30,0,40632834,0,5997 139,3,2024-09-07 09:51:11:662,1,597,4,0,432,7121,597,0 140,0,2024-09-07 09:51:11:619,150648,0.3,149733,0.5,300519,0.2,400784,1.75 140,1,2024-09-07 09:51:11:540,1052941,1052941,0,0,494044028465,5093302176,1047972,4423,546,364,391606,0 140,2,2024-09-07 09:51:10:686,756072,756071,1,0,28828690,0,5036 140,3,2024-09-07 09:51:10:771,1,597,1,0,575,5995,597,0 141,0,2024-09-07 09:51:11:703,150092,0.3,154274,0.5,294821,0.3,399228,1.75 141,1,2024-09-07 09:51:10:892,1051130,1051130,0,0,493278622789,5124942097,1042933,7005,1192,379,391614,0 141,2,2024-09-07 09:51:11:686,755921,755910,11,0,30942293,0,5369 141,3,2024-09-07 09:51:11:043,1,597,1,0,391,6966,597,0 142,0,2024-09-07 09:51:11:310,150627,0.3,149815,0.5,299924,0.2,399901,1.50 142,1,2024-09-07 09:51:10:600,1049194,1049194,0,0,491963394037,5128561329,1042488,6200,506,382,392102,0 142,2,2024-09-07 09:51:11:300,754279,754247,32,0,31456801,0,6028 142,3,2024-09-07 09:51:11:750,1,597,0,0,484,6369,597,0 143,0,2024-09-07 09:51:11:410,148893,0.4,149014,0.5,299003,0.4,397684,1.75 143,1,2024-09-07 09:51:10:558,1051640,1051640,0,0,492339078825,5108445386,1045176,5896,568,367,391900,0 143,2,2024-09-07 09:51:10:771,756885,756885,0,0,31341123,0,3123 143,3,2024-09-07 09:51:11:140,1,597,0,0,462,7254,597,0 144,0,2024-09-07 09:51:11:506,144557,0.6,148730,0.8,302701,0.6,396512,2.00 144,1,2024-09-07 09:51:10:572,1045782,1045782,0,0,491236425903,5156139474,1035190,8600,1992,381,391733,0 144,2,2024-09-07 09:51:11:755,756225,756225,0,0,30674346,0,4443 144,3,2024-09-07 09:51:11:739,1,597,78,0,249,5938,597,0 145,0,2024-09-07 09:51:11:380,144425,0.6,144400,0.8,306552,0.5,394901,2.25 145,1,2024-09-07 09:51:10:563,1045411,1045411,0,0,490553305936,5162887359,1031391,11348,2672,382,391781,0 145,2,2024-09-07 09:51:11:430,753107,753025,82,0,36266249,0,7814 145,3,2024-09-07 09:51:10:895,1,597,1,0,622,7927,597,0 146,0,2024-09-07 09:51:11:603,149636,0.5,149060,0.7,299472,0.5,397735,2.00 146,1,2024-09-07 09:51:11:589,1046657,1046657,0,0,490868472084,5167090782,1029157,13019,4481,367,391770,0 146,2,2024-09-07 09:51:11:694,753276,753270,6,0,34768739,0,5151 146,3,2024-09-07 09:51:11:274,1,597,1,0,1520,9775,597,0 147,0,2024-09-07 09:51:11:696,149610,0.6,149334,0.7,298412,0.5,398445,2.25 147,1,2024-09-07 09:51:11:374,1050256,1050256,0,0,492633222908,5120013920,1041766,7523,967,367,391791,0 147,2,2024-09-07 09:51:11:009,756314,756314,0,0,30925711,0,2968 147,3,2024-09-07 09:51:10:914,1,597,0,0,1626,9583,597,0 0,0,2024-09-07 09:51:21:715,145902,0.6,145880,0.7,309700,0.6,399751,2.00 0,1,2024-09-07 09:51:20:821,1048870,1048870,0,0,492752821591,5159020297,1040335,7589,946,368,391896,0 0,2,2024-09-07 09:51:21:067,757619,757619,0,0,30897747,0,4480 0,3,2024-09-07 09:51:20:974,1,598,4,0,431,8668,598,0 1,0,2024-09-07 09:51:21:747,150368,0.8,149301,0.9,300027,1.0,400355,2.00 1,1,2024-09-07 09:51:20:557,1048270,1048270,0,0,491483471267,5149088879,1038807,7973,1490,370,391859,0 1,2,2024-09-07 09:51:20:645,757264,757264,0,0,30398092,0,3380 1,3,2024-09-07 09:51:21:337,1,598,5,0,269,7466,598,0 2,0,2024-09-07 09:51:21:600,149390,0.6,149237,0.7,298210,0.6,398165,2.00 2,1,2024-09-07 09:51:20:875,1051565,1051565,0,0,493995625960,5137109879,1045391,5224,950,379,391745,0 2,2,2024-09-07 09:51:21:269,755496,755496,0,0,28997729,0,3594 2,3,2024-09-07 09:51:20:692,1,598,8,0,357,5390,598,0 3,0,2024-09-07 09:51:21:743,150253,0.4,150232,0.6,299918,0.4,399521,2.00 3,1,2024-09-07 09:51:21:619,1049987,1049987,0,0,493408244839,5132219569,1042402,6881,704,379,391716,0 3,2,2024-09-07 09:51:21:142,757127,757104,23,0,30093781,0,5851 3,3,2024-09-07 09:51:21:752,1,598,8,0,484,4597,598,0 4,0,2024-09-07 09:51:21:780,145795,0.4,149833,0.5,305308,0.3,399695,1.75 4,1,2024-09-07 09:51:20:591,1045727,1045727,0,0,490504120177,5192216893,1027920,14053,3754,370,391992,0 4,2,2024-09-07 09:51:21:018,754328,754328,0,0,36719247,0,4534 4,3,2024-09-07 09:51:21:030,1,598,18,0,448,7337,598,0 5,0,2024-09-07 09:51:21:387,150060,0.4,150036,0.5,300152,0.3,399373,1.75 5,1,2024-09-07 09:51:20:758,1046301,1046301,0,0,491791264744,5192896260,1029972,12204,4125,367,392005,0 5,2,2024-09-07 09:51:21:831,753544,753544,0,0,35554055,0,3582 5,3,2024-09-07 09:51:21:733,1,598,1,0,457,8188,598,0 6,0,2024-09-07 09:51:20:926,150100,0.5,149757,0.6,299696,0.4,399245,2.00 6,1,2024-09-07 09:51:20:747,1049413,1049413,0,0,492262912396,5141967357,1038389,9451,1573,379,391702,0 6,2,2024-09-07 09:51:21:116,756325,756307,18,0,34543753,0,5535 6,3,2024-09-07 09:51:21:278,1,598,1,0,710,7332,598,0 7,0,2024-09-07 09:51:21:543,148630,0.5,149625,0.7,297138,0.5,397152,2.00 7,1,2024-09-07 09:51:20:850,1047013,1047013,0,0,491488927206,5176301524,1030690,12557,3766,382,391747,0 7,2,2024-09-07 09:51:20:773,755952,755952,0,0,33210563,0,4791 7,3,2024-09-07 09:51:20:851,1,598,1,0,552,6997,598,0 8,0,2024-09-07 09:51:21:414,150197,0.4,149924,0.5,300184,0.3,400093,1.75 8,1,2024-09-07 09:51:21:017,1046368,1046368,0,0,491349295439,5169106649,1029651,13130,3587,366,392853,0 8,2,2024-09-07 09:51:20:790,751488,751486,2,0,39488034,0,5112 8,3,2024-09-07 09:51:20:586,1,598,1,0,772,9568,598,0 9,0,2024-09-07 09:51:21:149,149994,0.4,146012,0.5,305441,0.3,400330,1.75 9,1,2024-09-07 09:51:20:550,1046506,1046506,0,0,490659860504,5173707192,1029821,13459,3226,369,392001,0 9,2,2024-09-07 09:51:21:088,754244,754243,1,0,37302236,0,5281 9,3,2024-09-07 09:51:21:751,1,598,1,0,1273,10217,598,0 10,0,2024-09-07 09:51:21:600,149548,0.3,148988,0.5,298977,0.3,398274,1.75 10,1,2024-09-07 09:51:20:585,1048135,1048135,0,0,492079392373,5164429507,1032415,12657,3063,381,391981,0 10,2,2024-09-07 09:51:20:761,757040,757040,0,0,39947213,0,4713 10,3,2024-09-07 09:51:20:877,1,598,0,0,669,6791,598,0 11,0,2024-09-07 09:51:21:007,149232,0.5,144716,0.6,302721,0.4,398952,1.75 11,1,2024-09-07 09:51:20:571,1049584,1049584,0,0,492561479529,5168791078,1033762,11519,4303,383,391766,0 11,2,2024-09-07 09:51:21:127,754614,754614,0,0,35372293,0,4698 11,3,2024-09-07 09:51:21:298,1,598,6,0,843,7793,598,0 12,0,2024-09-07 09:51:20:938,150897,0.4,150917,0.6,301334,0.4,400562,1.75 12,1,2024-09-07 09:51:20:935,1049475,1049475,0,0,492252516852,5134422089,1041100,7367,1008,370,391960,0 12,2,2024-09-07 09:51:21:541,754424,754424,0,0,34157379,0,4390 12,3,2024-09-07 09:51:21:060,1,598,1,0,386,7540,598,0 13,0,2024-09-07 09:51:21:366,151070,0.4,151158,0.5,301357,0.4,401386,1.75 13,1,2024-09-07 09:51:21:543,1046853,1046853,0,0,492145277841,5173591915,1035967,8496,2390,382,391803,0 13,2,2024-09-07 09:51:20:596,757765,757765,0,0,30560568,0,3287 13,3,2024-09-07 09:51:21:768,1,598,1,0,522,7954,598,0 14,0,2024-09-07 09:51:20:560,149997,0.4,151065,0.6,299842,0.3,399379,1.75 14,1,2024-09-07 09:51:21:565,1054122,1054122,0,0,494494870618,5117887825,1045667,7326,1129,364,391673,0 14,2,2024-09-07 09:51:20:774,758101,758071,30,0,31998773,0,6104 14,3,2024-09-07 09:51:21:126,1,598,1,0,1168,6565,598,0 15,0,2024-09-07 09:51:21:554,148993,0.4,148145,0.6,297163,0.4,396653,2.00 15,1,2024-09-07 09:51:21:607,1051084,1051084,0,0,492866265143,5133760809,1042011,7160,1913,381,391619,0 15,2,2024-09-07 09:51:21:000,756985,756985,0,0,27652899,0,3622 15,3,2024-09-07 09:51:21:407,1,598,1,0,1126,7883,598,0 16,0,2024-09-07 09:51:20:932,150022,0.6,150655,0.8,300832,0.6,400264,2.00 16,1,2024-09-07 09:51:20:563,1050916,1050916,0,0,492662767882,5151527270,1041483,7989,1444,370,392194,0 16,2,2024-09-07 09:51:21:434,755109,755109,0,0,31512714,0,4719 16,3,2024-09-07 09:51:21:148,1,598,2,0,358,7564,598,0 17,0,2024-09-07 09:51:21:817,154915,0.6,151029,0.8,295901,0.6,402075,2.00 17,1,2024-09-07 09:51:20:571,1048844,1048844,0,0,491372783780,5155216922,1038558,8515,1771,368,392075,0 17,2,2024-09-07 09:51:21:686,759399,759398,1,0,32804685,0,5050 17,3,2024-09-07 09:51:20:574,1,598,2,0,518,8779,598,0 18,0,2024-09-07 09:51:20:942,148947,0.6,149889,0.8,298283,0.6,398562,2.25 18,1,2024-09-07 09:51:21:642,1053180,1053180,0,0,493602117753,5120284192,1046118,5805,1257,367,391725,0 18,2,2024-09-07 09:51:21:756,759380,759380,0,0,29163259,0,3541 18,3,2024-09-07 09:51:20:902,1,598,2,0,1059,5546,598,0 19,0,2024-09-07 09:51:21:546,149168,0.6,149305,0.8,297404,0.6,396054,2.00 19,1,2024-09-07 09:51:20:565,1052826,1052826,0,0,494047861092,5121461024,1045027,6666,1133,366,391777,0 19,2,2024-09-07 09:51:21:755,758667,758667,0,0,27590901,0,3988 19,3,2024-09-07 09:51:21:129,1,598,1,0,524,4608,598,0 20,0,2024-09-07 09:51:21:368,150235,0.6,150129,0.7,300014,0.6,400096,2.00 20,1,2024-09-07 09:51:20:581,1048678,1048678,0,0,492280593360,5158547411,1038100,9071,1507,369,391922,0 20,2,2024-09-07 09:51:20:940,755888,755888,0,0,34120853,0,4321 20,3,2024-09-07 09:51:20:589,1,598,17,0,468,9698,598,0 21,0,2024-09-07 09:51:21:143,150072,0.5,150243,0.6,300124,0.4,398923,2.00 21,1,2024-09-07 09:51:21:543,1046862,1046862,0,0,491176618780,5186074687,1029995,13032,3835,368,392016,0 21,2,2024-09-07 09:51:21:080,751230,750753,477,0,44798742,0,17074 21,3,2024-09-07 09:51:21:418,1,598,1,0,713,8640,598,0 22,0,2024-09-07 09:51:21:722,149824,0.5,150447,0.6,300112,0.4,398336,2.00 22,1,2024-09-07 09:51:21:031,1047965,1047965,0,0,490357831214,5162921006,1030149,14276,3540,382,391822,0 22,2,2024-09-07 09:51:20:760,754545,754519,26,0,32579833,0,6328 22,3,2024-09-07 09:51:21:067,1,598,10,0,228,5036,598,0 23,0,2024-09-07 09:51:21:372,149131,0.5,148878,0.7,297678,0.5,397304,2.00 23,1,2024-09-07 09:51:21:006,1047788,1047788,0,0,492243372054,5188385575,1028315,12895,6578,365,391690,0 23,2,2024-09-07 09:51:21:092,757670,757670,0,0,31274457,0,3773 23,3,2024-09-07 09:51:21:753,1,598,7,0,855,8819,598,0 24,0,2024-09-07 09:51:20:836,150208,0.4,149470,0.6,300618,0.4,399086,1.75 24,1,2024-09-07 09:51:20:581,1048146,1048146,0,0,491494006884,5145952479,1038085,8352,1709,367,392269,0 24,2,2024-09-07 09:51:21:072,755827,755824,3,0,37861079,0,6294 24,3,2024-09-07 09:51:21:700,1,598,0,0,468,7678,598,0 25,0,2024-09-07 09:51:21:348,153830,0.4,149725,0.6,294041,0.4,400713,2.00 25,1,2024-09-07 09:51:20:557,1046492,1046492,0,0,490740965472,5186973222,1027734,15048,3710,369,391928,0 25,2,2024-09-07 09:51:21:612,753576,753576,0,0,38415911,0,3978 25,3,2024-09-07 09:51:21:000,1,598,2,0,532,6508,598,0 26,0,2024-09-07 09:51:21:724,149178,0.4,145791,0.6,306207,0.4,398496,1.75 26,1,2024-09-07 09:51:21:549,1050176,1050176,0,0,492455405977,5157082807,1035840,11803,2533,380,391748,0 26,2,2024-09-07 09:51:20:863,754893,754893,0,0,41146005,0,4689 26,3,2024-09-07 09:51:21:717,1,598,1,0,796,7566,598,0 27,0,2024-09-07 09:51:21:726,149715,0.4,150348,0.6,298998,0.4,398923,2.25 27,1,2024-09-07 09:51:21:679,1050670,1050670,0,0,493386588623,5143137753,1040273,8788,1609,381,391626,0 27,2,2024-09-07 09:51:20:869,754323,754258,65,0,35891568,0,5699 27,3,2024-09-07 09:51:21:015,1,598,0,0,564,5198,598,0 28,0,2024-09-07 09:51:21:400,149360,0.4,149438,0.6,299235,0.3,398996,1.75 28,1,2024-09-07 09:51:20:800,1051558,1051558,0,0,493915015921,5149548329,1042621,7046,1891,382,391904,0 28,2,2024-09-07 09:51:21:766,756003,756003,0,0,30863311,0,2915 28,3,2024-09-07 09:51:21:777,1,598,64,0,502,5997,598,0 29,0,2024-09-07 09:51:21:359,154421,0.4,150285,0.6,294957,0.4,401968,1.75 29,1,2024-09-07 09:51:21:567,1054473,1054473,0,0,494345736819,5113366290,1048115,5582,776,367,391809,0 29,2,2024-09-07 09:51:20:861,755551,755551,0,0,30048481,0,4986 29,3,2024-09-07 09:51:20:968,1,598,1,0,459,6498,598,0 30,0,2024-09-07 09:51:21:455,149305,0.5,145438,0.7,304451,0.4,397597,2.00 30,1,2024-09-07 09:51:20:575,1053715,1053715,0,0,494754082398,5133018513,1046145,6660,910,380,391672,0 30,2,2024-09-07 09:51:21:279,757646,757646,0,0,28681666,0,4192 30,3,2024-09-07 09:51:20:581,1,598,1,0,519,5603,598,0 31,0,2024-09-07 09:51:21:758,150043,0.4,150809,0.6,300523,0.4,400931,1.75 31,1,2024-09-07 09:51:20:566,1056872,1056872,0,0,495142869263,5085511337,1050415,5383,1074,356,391712,0 31,2,2024-09-07 09:51:21:301,756308,756308,0,0,32216352,0,4470 31,3,2024-09-07 09:51:21:713,1,598,1,0,239,5170,598,0 32,0,2024-09-07 09:51:21:440,149655,0.3,150635,0.5,299738,0.3,399659,1.75 32,1,2024-09-07 09:51:20:809,1052906,1052906,0,0,493636485642,5130346674,1046728,5460,718,381,391646,0 32,2,2024-09-07 09:51:20:935,757264,757264,0,0,28387953,0,3922 32,3,2024-09-07 09:51:21:015,1,598,3,0,304,4901,598,0 33,0,2024-09-07 09:51:21:513,150851,0.3,150534,0.4,301259,0.2,400553,1.50 33,1,2024-09-07 09:51:20:575,1053072,1053072,0,0,494757244020,5125575512,1044626,7173,1273,368,391730,0 33,2,2024-09-07 09:51:20:759,757154,757119,35,0,31483776,0,7012 33,3,2024-09-07 09:51:20:902,1,598,7,0,329,5277,598,0 34,0,2024-09-07 09:51:20:944,150347,0.3,154434,0.5,295451,0.2,398945,1.75 34,1,2024-09-07 09:51:21:048,1055177,1055177,0,0,495066719130,5093519779,1052216,2851,110,367,391637,0 34,2,2024-09-07 09:51:20:766,758230,758230,0,0,30127805,0,4562 34,3,2024-09-07 09:51:21:688,1,598,0,0,541,5499,598,0 35,0,2024-09-07 09:51:20:859,149098,0.3,149948,0.5,300839,0.2,399878,1.75 35,1,2024-09-07 09:51:21:068,1051263,1051263,0,0,493552868094,5123505454,1043155,6585,1523,382,391769,0 35,2,2024-09-07 09:51:21:592,756591,756591,0,0,32935557,0,4055 35,3,2024-09-07 09:51:20:909,1,598,1,0,466,5679,598,0 36,0,2024-09-07 09:51:21:524,150401,0.5,150382,0.7,300211,0.4,400074,2.00 36,1,2024-09-07 09:51:20:689,1050017,1050017,0,0,493255872706,5151776784,1036441,11336,2240,366,391759,0 36,2,2024-09-07 09:51:21:750,756635,756635,0,0,34151922,0,3875 36,3,2024-09-07 09:51:20:867,1,598,1,0,556,8230,598,0 37,0,2024-09-07 09:51:21:372,148507,0.5,148651,0.7,297438,0.5,397529,2.25 37,1,2024-09-07 09:51:20:571,1050055,1050048,0,7,493141454581,5150395507,1037403,9732,2913,365,391770,0 37,2,2024-09-07 09:51:21:149,754021,754006,15,0,34208173,0,5815 37,3,2024-09-07 09:51:21:767,1,598,29,0,888,8010,598,0 38,0,2024-09-07 09:51:21:451,148987,0.5,144437,0.7,302072,0.4,395931,2.00 38,1,2024-09-07 09:51:21:607,1049655,1049655,0,0,493531941433,5163379317,1034328,12328,2999,368,391821,0 38,2,2024-09-07 09:51:20:760,755889,755842,47,0,34432284,0,6710 38,3,2024-09-07 09:51:20:999,1,598,1,0,689,7403,598,0 39,0,2024-09-07 09:51:21:760,153440,0.5,149989,0.7,292543,0.5,399241,2.00 39,1,2024-09-07 09:51:20:717,1049389,1049389,0,0,492222676548,5162036134,1031944,13730,3715,365,391865,0 39,2,2024-09-07 09:51:21:421,755939,755939,0,0,32438509,0,3391 39,3,2024-09-07 09:51:20:714,1,598,1,0,525,6671,598,0 40,0,2024-09-07 09:51:21:540,148215,0.8,148923,1.0,297186,0.9,396553,2.75 40,1,2024-09-07 09:51:20:581,1050049,1050049,0,0,491474921826,5150739973,1034444,12537,3068,368,391668,0 40,2,2024-09-07 09:51:21:316,754964,754957,7,0,38977739,0,5347 40,3,2024-09-07 09:51:21:143,1,598,3,0,1028,8857,598,0 41,0,2024-09-07 09:51:21:022,148398,1.4,151837,1.2,289516,2.0,394001,3.25 41,1,2024-09-07 09:51:20:767,1049387,1049387,0,0,492551993315,5154802866,1035618,11610,2159,369,391878,0 41,2,2024-09-07 09:51:20:759,752695,752694,1,0,37148280,0,5408 41,3,2024-09-07 09:51:21:688,1,598,60,0,366,6468,598,0 42,0,2024-09-07 09:51:21:491,148882,1.0,148436,1.1,297156,1.2,394423,2.75 42,1,2024-09-07 09:51:21:439,1046927,1046927,0,0,491295542172,5164072393,1030437,13558,2932,380,391675,0 42,2,2024-09-07 09:51:21:135,753478,753477,1,0,37422522,0,5513 42,3,2024-09-07 09:51:21:009,1,598,1,0,892,5636,598,0 43,0,2024-09-07 09:51:20:917,148733,0.7,144833,0.9,303083,0.7,396348,2.25 43,1,2024-09-07 09:51:20:576,1050739,1050739,0,0,492846657021,5151668678,1036000,12167,2572,366,391696,0 43,2,2024-09-07 09:51:21:736,756180,756180,0,0,35402213,0,4723 43,3,2024-09-07 09:51:21:748,1,598,122,0,571,8817,598,0 44,0,2024-09-07 09:51:20:869,150429,0.4,150333,0.6,300915,0.3,399882,1.75 44,1,2024-09-07 09:51:20:571,1053618,1053618,0,0,493613619379,5103457137,1044251,7426,1941,356,391809,0 44,2,2024-09-07 09:51:21:268,755734,755734,0,0,28777246,0,4344 44,3,2024-09-07 09:51:21:096,1,598,0,0,1097,7409,598,0 45,0,2024-09-07 09:51:21:763,147210,0.5,143825,0.7,302052,0.5,396782,2.00 45,1,2024-09-07 09:51:21:005,1052309,1052309,0,0,494231015476,5135949855,1044056,7350,903,382,391917,0 45,2,2024-09-07 09:51:21:271,756183,756183,0,0,29110312,0,3596 45,3,2024-09-07 09:51:20:934,1,598,1,0,531,5737,598,0 46,0,2024-09-07 09:51:20:952,149372,0.6,148903,0.8,298817,0.6,396837,2.25 46,1,2024-09-07 09:51:20:575,1054451,1054451,0,0,495162386385,5118868456,1046959,6504,988,366,391709,0 46,2,2024-09-07 09:51:20:593,756987,756987,0,0,29809588,0,4443 46,3,2024-09-07 09:51:21:137,1,598,0,0,908,7176,598,0 47,0,2024-09-07 09:51:21:104,150713,0.4,150617,0.6,302275,0.4,400381,2.00 47,1,2024-09-07 09:51:20:575,1055056,1055056,0,0,495015545528,5114036055,1048958,5236,862,365,391641,0 47,2,2024-09-07 09:51:20:909,760414,760414,0,0,28868425,0,4477 47,3,2024-09-07 09:51:21:119,1,598,1,0,600,6585,598,0 48,0,2024-09-07 09:51:21:498,150675,0.3,150657,0.4,301156,0.2,400422,1.50 48,1,2024-09-07 09:51:21:022,1052728,1052728,0,0,493439978072,5124728107,1046630,5520,578,381,391710,0 48,2,2024-09-07 09:51:20:699,757345,757345,0,0,27403489,0,3524 48,3,2024-09-07 09:51:20:756,1,598,0,0,339,5345,598,0 49,0,2024-09-07 09:51:21:717,153611,0.3,150502,0.5,292977,0.3,400165,1.75 49,1,2024-09-07 09:51:21:021,1051495,1051495,0,0,493457926430,5133724849,1044225,5575,1695,382,391809,0 49,2,2024-09-07 09:51:21:806,757211,757211,0,0,29616586,0,4426 49,3,2024-09-07 09:51:21:420,1,598,1,0,992,7153,598,0 50,0,2024-09-07 09:51:21:513,150889,0.3,149175,0.5,300656,0.2,399958,1.75 50,1,2024-09-07 09:51:21:010,1054689,1054689,0,0,495872372160,5126238464,1048163,5857,669,368,391691,0 50,2,2024-09-07 09:51:21:067,755316,755316,0,0,27478249,0,4490 50,3,2024-09-07 09:51:21:291,1,598,2,0,617,6164,598,0 51,0,2024-09-07 09:51:21:690,154093,0.3,150825,0.5,293625,0.2,400739,1.75 51,1,2024-09-07 09:51:21:680,1055283,1055283,0,0,495937210503,5114179380,1049627,4575,1081,365,391706,0 51,2,2024-09-07 09:51:21:328,757364,757364,0,0,26990677,0,3337 51,3,2024-09-07 09:51:21:027,1,598,34,0,678,4306,598,0 52,0,2024-09-07 09:51:21:419,150472,0.5,150094,0.6,300642,0.4,399357,2.00 52,1,2024-09-07 09:51:20:582,1050242,1050242,0,0,492810060959,5158201492,1035219,12693,2330,368,391805,0 52,2,2024-09-07 09:51:21:754,752970,752932,38,0,36334134,0,6742 52,3,2024-09-07 09:51:20:675,1,598,3,0,1782,7097,598,0 53,0,2024-09-07 09:51:21:739,148816,0.7,144455,0.8,302285,0.7,396283,2.50 53,1,2024-09-07 09:51:20:774,1048424,1048424,0,0,491936188418,5163862916,1030241,13788,4395,367,391968,0 53,2,2024-09-07 09:51:21:310,756644,756643,1,0,32763930,0,5455 53,3,2024-09-07 09:51:20:700,1,598,1,0,308,5592,598,0 54,0,2024-09-07 09:51:21:631,147707,0.6,147874,0.8,294566,0.5,393494,2.25 54,1,2024-09-07 09:51:20:580,1051388,1051388,0,0,493892253247,5137669989,1040280,9437,1671,366,391810,0 54,2,2024-09-07 09:51:20:868,756551,756519,32,0,36450945,0,6397 54,3,2024-09-07 09:51:20:763,1,598,1,0,676,7766,598,0 55,0,2024-09-07 09:51:21:765,144367,0.7,148955,0.8,301930,0.6,393523,2.50 55,1,2024-09-07 09:51:20:764,1051746,1051746,0,0,494039268943,5138502686,1040889,9468,1389,365,391731,0 55,2,2024-09-07 09:51:20:728,754227,754171,56,0,33919500,0,7239 55,3,2024-09-07 09:51:20:675,1,598,0,0,304,5519,598,0 56,0,2024-09-07 09:51:21:582,152736,1.1,143906,1.1,296619,1.5,397016,2.75 56,1,2024-09-07 09:51:20:571,1045209,1045209,0,0,491149593220,5196756042,1027243,14277,3689,381,391867,0 56,2,2024-09-07 09:51:21:338,754164,754042,122,0,36131795,0,7432 56,3,2024-09-07 09:51:21:059,1,598,1,0,705,6941,598,0 57,0,2024-09-07 09:51:20:938,148007,1.4,147795,1.2,295921,2.0,395356,3.00 57,1,2024-09-07 09:51:20:988,1047681,1047681,0,0,491642468358,5162744693,1033258,11933,2490,366,392032,0 57,2,2024-09-07 09:51:21:343,756693,756693,0,0,37773166,0,4804 57,3,2024-09-07 09:51:21:738,1,598,3,0,455,7039,598,0 58,0,2024-09-07 09:51:20:576,147078,1.0,142856,1.0,298687,1.2,391294,2.75 58,1,2024-09-07 09:51:20:584,1049537,1049534,0,3,492611595677,5165925774,1033389,12244,3901,367,391726,3 58,2,2024-09-07 09:51:21:082,755852,755852,0,0,35434106,0,3483 58,3,2024-09-07 09:51:21:068,1,598,0,0,1043,6719,598,0 59,0,2024-09-07 09:51:21:768,148674,0.9,148230,1.0,296151,1.0,392991,3.00 59,1,2024-09-07 09:51:20:807,1048088,1048088,0,0,492538922260,5177910285,1030267,14153,3668,369,391653,0 59,2,2024-09-07 09:51:20:586,755639,755639,0,0,33525908,0,3727 59,3,2024-09-07 09:51:21:744,1,598,8,0,1015,7351,598,0 60,0,2024-09-07 09:51:21:746,149965,0.5,150133,0.7,300493,0.5,399977,1.75 60,1,2024-09-07 09:51:20:778,1053315,1053315,0,0,493916599232,5133669218,1045364,6864,1087,370,392031,0 60,2,2024-09-07 09:51:21:142,756801,756801,0,0,31703094,0,3811 60,3,2024-09-07 09:51:21:259,1,598,1,0,409,6974,598,0 61,0,2024-09-07 09:51:21:507,150245,0.6,150786,0.8,300128,0.6,400321,2.00 61,1,2024-09-07 09:51:20:780,1049710,1049710,0,0,492675032511,5158109244,1038586,9445,1679,382,392127,0 61,2,2024-09-07 09:51:21:116,757439,757372,67,0,32304139,0,6411 61,3,2024-09-07 09:51:21:687,1,598,1,0,607,7827,598,0 62,0,2024-09-07 09:51:21:743,150159,0.6,153862,0.7,293947,0.6,399700,2.00 62,1,2024-09-07 09:51:21:114,1056388,1056382,0,6,495551507405,5109162756,1051416,4667,299,365,391975,6 62,2,2024-09-07 09:51:21:644,753763,753762,1,0,32287147,0,5555 62,3,2024-09-07 09:51:21:142,1,598,2,0,482,4811,598,0 63,0,2024-09-07 09:51:21:460,150892,0.4,150665,0.6,301827,0.4,400842,1.75 63,1,2024-09-07 09:51:20:817,1052532,1052526,0,6,494442870219,5134482904,1045680,5991,855,381,391800,6 63,2,2024-09-07 09:51:20:762,756384,756384,0,0,30671896,0,4369 63,3,2024-09-07 09:51:21:732,1,598,6,0,667,6187,598,0 64,0,2024-09-07 09:51:21:523,149426,0.5,149302,0.7,298273,0.4,397527,2.00 64,1,2024-09-07 09:51:20:752,1051950,1051950,0,0,494154575949,5147496240,1042611,7362,1977,370,391794,0 64,2,2024-09-07 09:51:21:141,761180,761161,19,0,29770095,0,6121 64,3,2024-09-07 09:51:21:140,1,598,0,0,651,6879,598,0 65,0,2024-09-07 09:51:21:732,148777,0.6,149123,0.7,297865,0.6,397265,2.00 65,1,2024-09-07 09:51:20:860,1049879,1049879,0,0,491629034262,5139243011,1042401,6605,873,381,391901,0 65,2,2024-09-07 09:51:21:707,755813,755813,0,0,35723073,0,3367 65,3,2024-09-07 09:51:21:687,1,598,0,0,782,6692,598,0 66,0,2024-09-07 09:51:21:768,149420,0.5,149012,0.7,298183,0.5,397143,2.00 66,1,2024-09-07 09:51:21:300,1052306,1052306,0,0,493260429379,5133021511,1045806,5778,722,380,391743,0 66,2,2024-09-07 09:51:21:135,758813,758810,3,0,31789053,0,5455 66,3,2024-09-07 09:51:21:079,1,598,1,0,291,5089,598,0 67,0,2024-09-07 09:51:21:420,149191,0.5,148793,0.7,298649,0.5,398068,2.00 67,1,2024-09-07 09:51:20:766,1051445,1051444,0,1,494398040585,5147776830,1044046,6453,945,380,391787,1 67,2,2024-09-07 09:51:20:586,759026,759011,15,0,31583567,0,6205 67,3,2024-09-07 09:51:21:751,1,598,1,0,595,6303,598,0 68,0,2024-09-07 09:51:20:583,149897,0.6,149685,0.8,298080,0.6,399220,2.25 68,1,2024-09-07 09:51:20:592,1047255,1047255,0,0,490945214986,5162640397,1033464,10261,3530,381,391953,0 68,2,2024-09-07 09:51:21:055,752307,752207,100,0,39559783,0,8578 68,3,2024-09-07 09:51:20:729,1,598,1,0,417,7768,598,0 69,0,2024-09-07 09:51:21:722,149477,0.6,150187,0.8,299455,0.6,397965,2.25 69,1,2024-09-07 09:51:21:019,1046237,1046237,0,0,491142807668,5176567899,1032373,11031,2833,383,391994,0 69,2,2024-09-07 09:51:21:732,753215,753186,29,0,41804221,0,6912 69,3,2024-09-07 09:51:20:760,1,598,3,0,698,8617,598,0 70,0,2024-09-07 09:51:21:544,147967,0.7,148549,0.9,298550,0.6,395378,2.50 70,1,2024-09-07 09:51:20:829,1053166,1053166,0,0,494660361540,5132474418,1045254,7105,807,366,391725,0 70,2,2024-09-07 09:51:21:329,757534,757534,0,0,34731404,0,4323 70,3,2024-09-07 09:51:20:745,1,598,1,0,854,6603,598,0 71,0,2024-09-07 09:51:21:358,147992,1.0,147660,1.0,296494,1.3,395989,2.75 71,1,2024-09-07 09:51:21:601,1050817,1050817,0,0,492547561051,5141779155,1037828,11368,1621,368,391738,0 71,2,2024-09-07 09:51:21:066,755323,755323,0,0,34838815,0,4352 71,3,2024-09-07 09:51:21:751,1,598,1,0,644,6747,598,0 72,0,2024-09-07 09:51:21:025,154734,0.6,151219,0.7,295098,0.5,401417,2.00 72,1,2024-09-07 09:51:21:022,1048663,1048663,0,0,491816081743,5160823876,1033365,12783,2515,369,391819,0 72,2,2024-09-07 09:51:21:758,753783,753783,0,0,36508671,0,3983 72,3,2024-09-07 09:51:21:758,1,598,2,0,564,8830,598,0 73,0,2024-09-07 09:51:21:103,146242,0.4,150078,0.6,306756,0.4,398909,2.00 73,1,2024-09-07 09:51:20:766,1050505,1050505,0,0,493238306371,5128489209,1042102,7421,982,367,391858,0 73,2,2024-09-07 09:51:21:739,755580,755579,1,0,38045711,0,5027 73,3,2024-09-07 09:51:20:983,1,598,1,0,1091,8363,598,0 74,0,2024-09-07 09:51:21:337,151162,0.5,154805,0.6,295257,0.4,400110,2.00 74,1,2024-09-07 09:51:20:636,1049713,1049713,0,0,492602126168,5144299248,1037810,9787,2116,381,391762,0 74,2,2024-09-07 09:51:21:002,756169,756169,0,0,33239069,0,4253 74,3,2024-09-07 09:51:21:442,1,598,2,0,522,7418,598,0 75,0,2024-09-07 09:51:21:764,149275,0.5,148268,0.7,297683,0.5,398301,2.25 75,1,2024-09-07 09:51:21:585,1050941,1050941,0,0,492540937801,5134492503,1042321,7752,868,380,391739,0 75,2,2024-09-07 09:51:21:351,754099,754099,0,0,40265225,0,4766 75,3,2024-09-07 09:51:21:067,1,598,1,0,918,8153,598,0 76,0,2024-09-07 09:51:20:628,149694,0.6,149065,0.8,298124,0.6,398556,2.25 76,1,2024-09-07 09:51:20:827,1050742,1050742,0,0,492996635032,5141514318,1043418,6335,989,382,391790,0 76,2,2024-09-07 09:51:21:072,758228,758225,3,0,32614901,0,5265 76,3,2024-09-07 09:51:21:151,1,598,1,0,227,5458,598,0 77,0,2024-09-07 09:51:21:721,149924,0.5,150443,0.7,300795,0.5,399670,2.00 77,1,2024-09-07 09:51:20:824,1050426,1050426,0,0,493713696050,5150618338,1042447,7104,875,381,391869,0 77,2,2024-09-07 09:51:21:289,756430,756430,0,0,31773985,0,3890 77,3,2024-09-07 09:51:21:112,1,598,0,0,401,6816,598,0 78,0,2024-09-07 09:51:21:719,150848,0.4,150238,0.6,301254,0.4,398976,2.00 78,1,2024-09-07 09:51:20:610,1050792,1050792,0,0,492975549839,5142838474,1037953,9922,2917,367,391670,0 78,2,2024-09-07 09:51:21:406,758200,758187,13,0,29735440,0,8313 78,3,2024-09-07 09:51:21:134,1,598,1,0,311,5067,598,0 79,0,2024-09-07 09:51:21:356,144471,0.4,147855,0.6,302842,0.3,394906,2.00 79,1,2024-09-07 09:51:20:571,1054261,1054261,0,0,495063244680,5124918421,1046417,6484,1360,367,391682,0 79,2,2024-09-07 09:51:21:068,756291,756291,0,0,28854322,0,4195 79,3,2024-09-07 09:51:20:749,1,598,2,0,418,7157,598,0 80,0,2024-09-07 09:51:21:091,150057,0.6,154173,0.7,294767,0.6,398972,2.00 80,1,2024-09-07 09:51:21:631,1050910,1050910,0,0,492460661541,5129417982,1043757,6702,451,368,392269,0 80,2,2024-09-07 09:51:21:091,758528,758528,0,0,29483124,0,4433 80,3,2024-09-07 09:51:20:576,1,598,3,0,681,7381,598,0 81,0,2024-09-07 09:51:21:557,150038,0.5,153479,0.7,293090,0.5,397911,2.00 81,1,2024-09-07 09:51:21:652,1049420,1049420,0,0,492676281196,5148629016,1041260,7394,766,382,391885,0 81,2,2024-09-07 09:51:21:128,756040,755977,63,0,32708510,0,5932 81,3,2024-09-07 09:51:21:119,1,598,0,0,719,6990,598,0 82,0,2024-09-07 09:51:21:549,149835,0.5,149947,0.7,300675,0.4,398340,2.00 82,1,2024-09-07 09:51:20:585,1052316,1052312,0,4,493461252497,5129666469,1046743,4746,823,381,391768,4 82,2,2024-09-07 09:51:21:698,758281,758281,0,0,27730073,0,4484 82,3,2024-09-07 09:51:21:752,1,598,1,0,363,5694,598,0 83,0,2024-09-07 09:51:21:521,149469,0.6,149398,0.7,298377,0.6,396603,2.00 83,1,2024-09-07 09:51:20:560,1050688,1050688,0,0,492869654926,5138772180,1043102,6941,645,382,391709,0 83,2,2024-09-07 09:51:20:764,757058,757033,25,0,29874336,0,5612 83,3,2024-09-07 09:51:20:749,1,598,1,0,1260,7195,598,0 84,0,2024-09-07 09:51:21:774,147748,0.8,147796,0.9,295614,0.7,395065,2.25 84,1,2024-09-07 09:51:21:041,1048855,1048855,0,0,492455716041,5150826910,1036733,10449,1673,367,391967,0 84,2,2024-09-07 09:51:20:571,754582,754172,410,0,43353651,0,17037 84,3,2024-09-07 09:51:21:140,1,598,0,0,908,8062,598,0 85,0,2024-09-07 09:51:21:006,143616,0.7,143606,0.8,304899,0.6,393822,2.25 85,1,2024-09-07 09:51:20:559,1046095,1046095,0,0,490888540520,5184433186,1029790,13508,2797,381,392092,0 85,2,2024-09-07 09:51:20:870,754722,754722,0,0,36541761,0,4255 85,3,2024-09-07 09:51:20:687,1,598,5,0,789,6889,598,0 86,0,2024-09-07 09:51:20:881,149531,0.7,153715,0.8,293988,0.7,397682,2.25 86,1,2024-09-07 09:51:20:825,1048893,1048893,0,0,492855307318,5168666923,1035061,11146,2686,366,392169,0 86,2,2024-09-07 09:51:20:859,754423,754422,1,0,38748928,0,5004 86,3,2024-09-07 09:51:20:593,1,598,1,0,308,8358,598,0 87,0,2024-09-07 09:51:21:330,149738,0.8,149287,0.8,298392,0.9,398773,2.25 87,1,2024-09-07 09:51:20:550,1048686,1048686,0,0,491986583112,5155510154,1035307,11582,1797,366,392076,0 87,2,2024-09-07 09:51:21:067,756193,756187,6,0,35295840,0,6323 87,3,2024-09-07 09:51:21:794,1,598,2,0,473,8572,598,0 88,0,2024-09-07 09:51:21:472,149260,0.5,149793,0.6,299241,0.4,398890,1.75 88,1,2024-09-07 09:51:20:578,1047426,1047426,0,0,491799692550,5157383581,1032045,12337,3044,365,392084,0 88,2,2024-09-07 09:51:20:688,755141,755141,0,0,38055122,0,4465 88,3,2024-09-07 09:51:21:268,1,598,2,0,1080,9273,598,0 89,0,2024-09-07 09:51:21:796,154202,0.5,149636,0.7,295263,0.4,401491,1.75 89,1,2024-09-07 09:51:20:552,1047073,1047073,0,0,492820441902,5184071189,1034090,11039,1944,382,391866,0 89,2,2024-09-07 09:51:21:139,754743,754743,0,0,35841535,0,3173 89,3,2024-09-07 09:51:21:795,1,598,0,0,468,10101,598,0 90,0,2024-09-07 09:51:21:615,145652,0.5,149573,0.6,305254,0.4,398072,2.00 90,1,2024-09-07 09:51:20:592,1049337,1049337,0,0,492205950447,5156393197,1039244,9201,892,380,391825,0 90,2,2024-09-07 09:51:21:412,753504,753499,5,0,39379967,0,6370 90,3,2024-09-07 09:51:20:930,1,598,2,0,322,7287,598,0 91,0,2024-09-07 09:51:20:930,150770,0.4,146306,0.6,306227,0.4,400988,1.75 91,1,2024-09-07 09:51:20:639,1046493,1046493,0,0,492086759576,5186691385,1030639,12706,3148,381,392047,0 91,2,2024-09-07 09:51:21:341,756642,756642,0,0,34625233,0,4713 91,3,2024-09-07 09:51:20:599,1,598,3,0,216,5406,598,0 92,0,2024-09-07 09:51:21:458,151249,0.5,154549,0.6,294656,0.5,399760,1.75 92,1,2024-09-07 09:51:20:580,1050231,1050231,0,0,493122284497,5151227365,1041873,7071,1287,381,392136,0 92,2,2024-09-07 09:51:21:351,755641,755641,0,0,30333539,0,3259 92,3,2024-09-07 09:51:21:016,1,598,1,0,167,5148,598,0 93,0,2024-09-07 09:51:20:981,151302,0.4,154993,0.6,296002,0.4,400805,1.75 93,1,2024-09-07 09:51:20:808,1050177,1050177,0,0,492916900262,5145136592,1038646,9603,1928,366,392048,0 93,2,2024-09-07 09:51:20:940,756207,756207,0,0,36149021,0,4913 93,3,2024-09-07 09:51:21:414,1,598,10,0,294,5888,598,0 94,0,2024-09-07 09:51:21:619,149505,0.4,150552,0.5,301162,0.3,399965,1.75 94,1,2024-09-07 09:51:20:563,1051091,1051091,0,0,492947808520,5141023065,1044145,6512,434,381,391850,0 94,2,2024-09-07 09:51:20:762,757533,757505,28,0,31093351,0,6179 94,3,2024-09-07 09:51:21:690,1,598,2,0,576,7454,598,0 95,0,2024-09-07 09:51:21:351,149912,0.3,149991,0.5,300060,0.3,399937,1.75 95,1,2024-09-07 09:51:20:853,1052083,1052083,0,0,493752433241,5131530207,1043651,7684,748,365,391852,0 95,2,2024-09-07 09:51:21:017,755736,755736,0,0,30545414,0,3308 95,3,2024-09-07 09:51:21:710,1,598,1,0,718,9409,598,0 96,0,2024-09-07 09:51:21:031,149885,0.4,150321,0.5,300463,0.3,399465,1.75 96,1,2024-09-07 09:51:21:587,1050655,1050655,0,0,492965410080,5141980541,1043273,6109,1273,384,391964,0 96,2,2024-09-07 09:51:21:272,756966,756966,0,0,31666671,0,4180 96,3,2024-09-07 09:51:21:142,1,598,1,0,411,6949,598,0 97,0,2024-09-07 09:51:21:371,149353,0.3,149093,0.5,299199,0.3,397880,1.75 97,1,2024-09-07 09:51:20:769,1051966,1051966,0,0,494380711379,5130980526,1045037,5891,1038,367,392140,0 97,2,2024-09-07 09:51:20:611,757844,757844,0,0,31358636,0,4046 97,3,2024-09-07 09:51:20:570,1,598,9,0,242,6768,598,0 98,0,2024-09-07 09:51:21:728,149507,0.3,149576,0.5,300182,0.3,399376,1.50 98,1,2024-09-07 09:51:20:572,1051038,1051038,0,0,493080317417,5136750923,1044559,5644,835,381,391997,0 98,2,2024-09-07 09:51:20:773,756388,756388,0,0,30089902,0,4336 98,3,2024-09-07 09:51:20:699,1,598,2,0,840,9070,598,0 99,0,2024-09-07 09:51:21:475,150237,0.3,151077,0.5,300481,0.3,400633,1.75 99,1,2024-09-07 09:51:21:724,1051896,1051896,0,0,492195907918,5122657079,1045531,5423,942,380,392069,0 99,2,2024-09-07 09:51:21:421,755854,755854,0,0,37287577,0,4276 99,3,2024-09-07 09:51:20:581,1,598,33,0,1124,7756,598,0 100,0,2024-09-07 09:51:21:458,149258,0.7,149459,0.9,298813,0.8,398986,2.50 100,1,2024-09-07 09:51:20:548,1045663,1045663,0,0,490240705719,5183977069,1029646,12701,3316,378,391989,0 100,2,2024-09-07 09:51:21:821,753349,752960,389,0,40473029,0,16909 100,3,2024-09-07 09:51:21:731,1,598,2,0,627,10075,598,0 101,0,2024-09-07 09:51:21:711,152396,1.2,148671,1.1,291002,1.2,397677,2.25 101,1,2024-09-07 09:51:20:557,1047408,1047408,0,0,491902642968,5169975919,1033294,11587,2527,368,391847,0 101,2,2024-09-07 09:51:21:756,751768,751768,0,0,40319702,0,4871 101,3,2024-09-07 09:51:20:942,1,598,1,0,1250,9010,598,0 102,0,2024-09-07 09:51:20:977,145846,0.7,150110,0.8,305051,0.7,398951,2.25 102,1,2024-09-07 09:51:21:144,1047373,1047373,0,0,491132077589,5160338085,1033258,11916,2199,369,391984,0 102,2,2024-09-07 09:51:21:742,756172,756118,54,0,34477561,0,6768 102,3,2024-09-07 09:51:21:613,1,598,2,0,466,6909,598,0 103,0,2024-09-07 09:51:21:603,155141,0.6,155091,0.7,292271,0.6,402202,2.00 103,1,2024-09-07 09:51:21:627,1045749,1045749,0,0,490711990219,5183874775,1028851,13301,3597,381,392077,0 103,2,2024-09-07 09:51:20:582,753706,753706,0,0,37295777,0,3766 103,3,2024-09-07 09:51:20:756,1,598,0,0,916,7109,598,0 104,0,2024-09-07 09:51:21:012,149115,0.7,149331,0.9,297996,0.7,398215,2.25 104,1,2024-09-07 09:51:21:604,1048543,1048543,0,0,491497195009,5166312007,1033014,12685,2844,365,392168,0 104,2,2024-09-07 09:51:21:666,754253,754253,0,0,36977637,0,4161 104,3,2024-09-07 09:51:21:425,1,598,1,0,1245,10618,598,0 105,0,2024-09-07 09:51:21:039,147355,1.0,143539,1.1,300790,1.1,395875,2.75 105,1,2024-09-07 09:51:20:561,1050291,1050291,0,0,492166870671,5158277665,1036727,11624,1940,364,392009,0 105,2,2024-09-07 09:51:21:341,754353,754353,0,0,37053510,0,4360 105,3,2024-09-07 09:51:21:309,1,598,1,0,573,9174,598,0 106,0,2024-09-07 09:51:20:956,145008,1.0,148536,1.0,304268,1.2,397095,2.50 106,1,2024-09-07 09:51:21:753,1049024,1049024,0,0,491571288656,5160765017,1034369,12761,1894,368,391914,0 106,2,2024-09-07 09:51:20:756,754349,754349,0,0,34941952,0,3331 106,3,2024-09-07 09:51:20:677,1,598,1,0,1224,8421,598,0 107,0,2024-09-07 09:51:21:099,150176,0.9,150245,0.9,300456,1.1,400430,2.25 107,1,2024-09-07 09:51:20:592,1045962,1045962,0,0,490646135971,5186963944,1028588,15241,2133,381,392234,0 107,2,2024-09-07 09:51:21:291,753902,753901,1,0,38084943,0,5024 107,3,2024-09-07 09:51:21:756,1,598,5,0,733,9098,598,0 108,0,2024-09-07 09:51:21:780,150208,0.4,150623,0.6,300219,0.4,400455,1.75 108,1,2024-09-07 09:51:21:318,1050267,1050267,0,0,493075881543,5143285488,1041575,7697,995,367,391894,0 108,2,2024-09-07 09:51:21:763,756455,756455,0,0,32768373,0,4246 108,3,2024-09-07 09:51:21:331,1,598,14,0,749,10873,598,0 109,0,2024-09-07 09:51:21:804,150245,0.4,149052,0.6,298850,0.3,399293,1.75 109,1,2024-09-07 09:51:20:591,1047471,1047471,0,0,492977890035,5171526371,1037996,8197,1278,382,392132,0 109,2,2024-09-07 09:51:20:926,753706,753706,0,0,33603543,0,3617 109,3,2024-09-07 09:51:21:144,1,598,1,0,630,7311,598,0 110,0,2024-09-07 09:51:21:748,150113,0.4,146020,0.6,305819,0.4,400731,1.75 110,1,2024-09-07 09:51:21:657,1052042,1052042,0,0,493708281671,5126060350,1044000,6115,1927,368,392045,0 110,2,2024-09-07 09:51:21:310,756909,756909,0,0,31105032,0,4067 110,3,2024-09-07 09:51:20:697,1,598,1,0,722,8038,598,0 111,0,2024-09-07 09:51:21:429,150800,0.4,150022,0.5,300030,0.3,400181,1.75 111,1,2024-09-07 09:51:21:032,1053341,1053341,0,0,494196929699,5125627967,1047858,5102,381,380,391690,0 111,2,2024-09-07 09:51:21:120,756926,756926,0,0,31631509,0,4823 111,3,2024-09-07 09:51:20:914,1,598,1,0,379,6526,598,0 112,0,2024-09-07 09:51:20:918,150737,0.3,150201,0.4,301027,0.2,399614,1.50 112,1,2024-09-07 09:51:20:824,1051853,1051853,0,0,493101342509,5121714083,1044653,5975,1225,380,391624,0 112,2,2024-09-07 09:51:21:134,756144,756143,1,0,29992024,0,5036 112,3,2024-09-07 09:51:20:596,1,598,1,0,282,5730,598,0 113,0,2024-09-07 09:51:20:875,149549,0.3,149615,0.5,299450,0.2,398937,1.75 113,1,2024-09-07 09:51:21:688,1054303,1054303,0,0,495446524509,5112882694,1048072,5283,948,365,391664,0 113,2,2024-09-07 09:51:21:310,759450,759450,0,0,28450357,0,3813 113,3,2024-09-07 09:51:20:689,1,598,1,0,510,6447,598,0 114,0,2024-09-07 09:51:20:879,149583,0.3,150499,0.5,299950,0.2,400556,1.75 114,1,2024-09-07 09:51:20:716,1052455,1052455,0,0,493394405756,5124674682,1044514,6194,1747,381,391565,0 114,2,2024-09-07 09:51:20:880,758567,758566,1,0,29620078,0,5069 114,3,2024-09-07 09:51:21:299,1,598,1,0,395,4817,598,0 115,0,2024-09-07 09:51:20:553,150273,0.3,150913,0.4,300391,0.2,399953,1.50 115,1,2024-09-07 09:51:20:571,1052728,1052728,0,0,493875954398,5131757508,1044472,6739,1517,382,391757,0 115,2,2024-09-07 09:51:21:134,757789,757789,0,0,29693273,0,4382 115,3,2024-09-07 09:51:21:002,1,598,1,0,167,3708,598,0 116,0,2024-09-07 09:51:21:716,149565,0.7,149350,0.8,299344,0.6,399989,2.00 116,1,2024-09-07 09:51:20:809,1047015,1047015,0,0,490927399354,5175747378,1034370,9556,3089,380,392089,0 116,2,2024-09-07 09:51:21:750,755145,755145,0,0,36997006,0,4475 116,3,2024-09-07 09:51:20:913,1,598,1,0,448,7760,598,0 117,0,2024-09-07 09:51:21:000,149960,0.7,149306,0.8,299068,0.7,400023,2.00 117,1,2024-09-07 09:51:21:609,1048594,1048594,0,0,491746164596,5150619449,1036840,10231,1523,369,392429,0 117,2,2024-09-07 09:51:21:121,758778,758778,0,0,33513463,0,4303 117,3,2024-09-07 09:51:21:060,1,598,1,0,490,7638,598,0 118,0,2024-09-07 09:51:21:811,144781,0.6,148628,0.7,303527,0.5,397425,2.00 118,1,2024-09-07 09:51:20:587,1047743,1047743,0,0,491468567052,5168337035,1031990,12131,3622,366,392054,0 118,2,2024-09-07 09:51:21:592,754750,754750,0,0,35691490,0,2842 118,3,2024-09-07 09:51:21:765,1,598,53,0,289,7111,598,0 119,0,2024-09-07 09:51:21:360,149705,0.8,150456,0.8,300010,0.9,399942,2.25 119,1,2024-09-07 09:51:20:548,1048443,1048443,0,0,492169298945,5163263630,1033749,12182,2512,367,391857,0 119,2,2024-09-07 09:51:21:264,756421,756421,0,0,33569211,0,4309 119,3,2024-09-07 09:51:21:340,1,598,0,0,1358,11063,598,0 120,0,2024-09-07 09:51:21:574,149231,0.7,149126,0.8,299607,0.7,399031,2.25 120,1,2024-09-07 09:51:20:861,1049599,1049599,0,0,491410688547,5156006953,1037932,10526,1141,367,392144,0 120,2,2024-09-07 09:51:20:771,755607,755604,3,0,40189968,0,5363 120,3,2024-09-07 09:51:21:298,1,598,9,0,279,7276,598,0 121,0,2024-09-07 09:51:21:695,150520,1.1,149940,1.0,300244,1.4,399929,2.25 121,1,2024-09-07 09:51:21:656,1048831,1048831,0,0,491890218271,5149203735,1037544,9734,1553,366,391840,0 121,2,2024-09-07 09:51:21:139,756026,756026,0,0,36686237,0,4157 121,3,2024-09-07 09:51:20:729,1,598,1,0,387,7944,598,0 122,0,2024-09-07 09:51:21:766,149022,0.8,144970,0.9,303807,0.9,399646,2.00 122,1,2024-09-07 09:51:20:862,1048075,1048075,0,0,491422674974,5160606788,1033077,12586,2412,365,392130,0 122,2,2024-09-07 09:51:21:336,755913,755838,75,0,40309586,0,5989 122,3,2024-09-07 09:51:20:598,1,598,7,0,512,9765,598,0 123,0,2024-09-07 09:51:20:997,149768,0.9,145723,0.9,305188,1.0,399526,2.25 123,1,2024-09-07 09:51:20:558,1047919,1047919,0,0,492228798478,5177619798,1031107,14140,2672,369,392039,0 123,2,2024-09-07 09:51:21:018,753730,753729,1,0,34318680,0,5215 123,3,2024-09-07 09:51:21:134,1,598,1,0,478,6872,598,0 124,0,2024-09-07 09:51:20:975,154180,0.3,154193,0.5,290745,0.3,400472,1.75 124,1,2024-09-07 09:51:21:022,1052186,1052186,0,0,493025811149,5125813844,1044453,6558,1175,365,392178,0 124,2,2024-09-07 09:51:21:009,759133,759080,53,0,30975705,0,6487 124,3,2024-09-07 09:51:20:764,1,598,2,0,490,5956,598,0 125,0,2024-09-07 09:51:21:418,149663,0.4,149779,0.5,300417,0.3,399893,1.75 125,1,2024-09-07 09:51:20:862,1049019,1049019,0,0,492265775465,5139201977,1040952,6916,1151,382,391968,0 125,2,2024-09-07 09:51:21:116,758626,758626,0,0,31120450,0,4534 125,3,2024-09-07 09:51:21:128,1,598,6,0,709,6919,598,0 126,0,2024-09-07 09:51:21:421,150202,0.4,154411,0.6,295399,0.4,400046,1.75 126,1,2024-09-07 09:51:20:560,1052724,1052724,0,0,493904579334,5113585400,1047211,5067,446,365,391987,0 126,2,2024-09-07 09:51:20:611,757815,757815,0,0,32366077,0,4539 126,3,2024-09-07 09:51:20:923,1,598,1,0,268,6615,598,0 127,0,2024-09-07 09:51:21:611,149667,0.3,149848,0.5,298927,0.3,398331,1.75 127,1,2024-09-07 09:51:20:588,1050969,1050969,0,0,493352331547,5134184188,1040296,9037,1636,364,392187,0 127,2,2024-09-07 09:51:20:641,756754,756750,4,0,30475365,0,5305 127,3,2024-09-07 09:51:21:268,1,598,1,0,968,5817,598,0 128,0,2024-09-07 09:51:21:528,150412,0.3,150524,0.4,300615,0.2,399980,1.50 128,1,2024-09-07 09:51:21:649,1050933,1050933,0,0,493231791760,5131399330,1042520,7449,964,367,392423,0 128,2,2024-09-07 09:51:21:388,757895,757895,0,0,28362549,0,3171 128,3,2024-09-07 09:51:20:776,1,598,3,0,1082,9542,598,0 129,0,2024-09-07 09:51:20:999,151384,0.3,150618,0.5,301964,0.3,401105,1.50 129,1,2024-09-07 09:51:20:569,1047938,1047938,0,0,492044479371,5158330368,1037476,8440,2022,379,391962,0 129,2,2024-09-07 09:51:20:696,757859,757855,4,0,30922020,0,5335 129,3,2024-09-07 09:51:20:688,1,598,1,0,506,8269,598,0 130,0,2024-09-07 09:51:21:723,150490,0.4,149880,0.5,300517,0.4,400212,1.75 130,1,2024-09-07 09:51:20:587,1051830,1051830,0,0,493392135128,5125237974,1046396,5038,396,381,391825,0 130,2,2024-09-07 09:51:21:128,757750,757750,0,0,31187851,0,4067 130,3,2024-09-07 09:51:21:292,1,598,4,0,960,8288,598,0 131,0,2024-09-07 09:51:21:934,149378,0.3,149791,0.5,300499,0.3,399471,1.75 131,1,2024-09-07 09:51:21:864,1051211,1051211,0,0,493488380909,5144639083,1043987,6027,1197,381,391865,0 131,2,2024-09-07 09:51:20:566,756929,756929,0,0,28855258,0,3979 131,3,2024-09-07 09:51:21:690,1,598,1,0,392,7704,598,0 132,0,2024-09-07 09:51:21:411,150120,0.5,151067,0.6,300843,0.5,399987,2.00 132,1,2024-09-07 09:51:20:582,1046735,1046735,0,0,490979775842,5170283186,1031341,12691,2703,381,392532,0 132,2,2024-09-07 09:51:20:710,755588,755571,17,0,37484753,0,6451 132,3,2024-09-07 09:51:21:688,1,598,3,0,1298,10787,598,0 133,0,2024-09-07 09:51:21:523,146448,0.4,149801,0.6,307125,0.4,399674,2.00 133,1,2024-09-07 09:51:20:593,1047450,1047450,0,0,491856003783,5182720223,1033377,12310,1763,383,391914,0 133,2,2024-09-07 09:51:21:089,756145,756095,50,0,38519807,0,6861 133,3,2024-09-07 09:51:21:343,1,598,1,0,528,7200,598,0 134,0,2024-09-07 09:51:20:961,150398,0.5,150522,0.7,301175,0.5,400453,2.00 134,1,2024-09-07 09:51:20:585,1048505,1048505,0,0,491719523187,5158589619,1034736,11283,2486,366,391781,0 134,2,2024-09-07 09:51:21:758,757039,757015,24,0,35685532,0,6207 134,3,2024-09-07 09:51:20:751,1,598,10,0,739,7431,598,0 135,0,2024-09-07 09:51:21:100,144319,0.8,144336,0.9,306495,0.9,395515,2.25 135,1,2024-09-07 09:51:21:602,1047817,1047817,0,0,491924969162,5172615524,1034802,11375,1640,380,391805,0 135,2,2024-09-07 09:51:20:688,755967,755967,0,0,36438131,0,4503 135,3,2024-09-07 09:51:21:002,1,598,1,0,900,5895,598,0 136,0,2024-09-07 09:51:21:629,150522,0.6,151046,0.8,299835,0.6,400183,2.25 136,1,2024-09-07 09:51:21:442,1049323,1049323,0,0,492282681417,5161376957,1037421,10514,1388,381,392135,0 136,2,2024-09-07 09:51:21:135,757195,757180,15,0,35900399,0,6007 136,3,2024-09-07 09:51:21:110,1,598,1,0,637,6951,598,0 137,0,2024-09-07 09:51:20:972,155201,0.6,151227,0.7,296303,0.6,402203,2.00 137,1,2024-09-07 09:51:20:587,1048126,1048126,0,0,491615694757,5158592068,1031590,13148,3388,366,391898,0 137,2,2024-09-07 09:51:21:706,755560,755560,0,0,37057119,0,3185 137,3,2024-09-07 09:51:20:777,1,598,0,0,484,8244,598,0 138,0,2024-09-07 09:51:21:756,149590,0.7,149943,0.8,300299,0.8,398932,2.00 138,1,2024-09-07 09:51:21:693,1048596,1048596,0,0,491969967798,5162579939,1033825,12501,2270,368,391954,0 138,2,2024-09-07 09:51:20:607,755657,755657,0,0,35358086,0,4988 138,3,2024-09-07 09:51:20:610,1,598,26,0,1200,9418,598,0 139,0,2024-09-07 09:51:21:372,148287,1.1,148858,1.0,297607,1.5,397556,2.25 139,1,2024-09-07 09:51:20:580,1044362,1044362,0,0,488925598658,5186272601,1025976,14561,3825,380,392109,0 139,2,2024-09-07 09:51:20:693,750685,750655,30,0,40649502,0,5997 139,3,2024-09-07 09:51:21:665,1,598,12,0,432,7133,598,0 140,0,2024-09-07 09:51:21:592,150850,0.3,149944,0.5,300909,0.2,401064,1.75 140,1,2024-09-07 09:51:21:536,1054604,1054604,0,0,494827011300,5101225614,1049635,4423,546,364,391606,0 140,2,2024-09-07 09:51:20:687,757264,757263,1,0,28839267,0,5036 140,3,2024-09-07 09:51:20:767,1,598,1,0,575,5996,598,0 141,0,2024-09-07 09:51:21:700,150382,0.3,154575,0.5,295439,0.3,399997,1.75 141,1,2024-09-07 09:51:20:860,1052933,1052933,0,0,494087012907,5133166726,1044735,7005,1193,379,391614,0 141,2,2024-09-07 09:51:21:688,757513,757502,11,0,30957285,0,5369 141,3,2024-09-07 09:51:21:045,1,598,4,0,391,6970,598,0 142,0,2024-09-07 09:51:21:330,150820,0.3,150025,0.5,300340,0.2,400476,1.50 142,1,2024-09-07 09:51:20:606,1050954,1050954,0,0,492800477867,5137184843,1044248,6200,506,382,392102,0 142,2,2024-09-07 09:51:21:319,755752,755720,32,0,31473288,0,6028 142,3,2024-09-07 09:51:21:750,1,598,1,0,484,6370,598,0 143,0,2024-09-07 09:51:21:391,149343,0.4,149428,0.5,299859,0.4,398938,1.75 143,1,2024-09-07 09:51:20:575,1053345,1053345,0,0,493124127660,5116441896,1046880,5897,568,367,391900,0 143,2,2024-09-07 09:51:20:780,757602,757602,0,0,31353383,0,3123 143,3,2024-09-07 09:51:21:141,1,598,1,0,462,7255,598,0 144,0,2024-09-07 09:51:21:534,144827,0.6,149018,0.8,303315,0.6,397217,2.00 144,1,2024-09-07 09:51:20:567,1047606,1047606,0,0,492001738380,5164050398,1037014,8600,1992,381,391733,0 144,2,2024-09-07 09:51:21:800,757731,757731,0,0,30702496,0,4443 144,3,2024-09-07 09:51:21:740,1,598,7,0,249,5945,598,0 145,0,2024-09-07 09:51:21:381,144712,0.6,144688,0.8,307212,0.5,395855,2.25 145,1,2024-09-07 09:51:20:565,1047242,1047242,0,0,491373031333,5171339461,1033222,11348,2672,382,391781,0 145,2,2024-09-07 09:51:21:438,754563,754481,82,0,36335970,0,7814 145,3,2024-09-07 09:51:20:908,1,598,8,0,622,7935,598,0 146,0,2024-09-07 09:51:21:605,149791,0.5,149206,0.7,299797,0.5,398223,2.00 146,1,2024-09-07 09:51:21:592,1048419,1048419,0,0,491649382426,5175060402,1030919,13019,4481,367,391770,0 146,2,2024-09-07 09:51:21:698,754564,754558,6,0,34781908,0,5151 146,3,2024-09-07 09:51:21:293,1,598,3,0,1520,9778,598,0 147,0,2024-09-07 09:51:21:715,149920,0.6,149628,0.7,299035,0.5,399367,2.00 147,1,2024-09-07 09:51:21:372,1052072,1052072,0,0,493724616111,5131061699,1043581,7524,967,367,391791,0 147,2,2024-09-07 09:51:21:010,757262,757262,0,0,30935759,0,2968 147,3,2024-09-07 09:51:20:912,1,598,4,0,1626,9587,598,0 0,0,2024-09-07 09:51:31:744,146024,0.6,146003,0.7,309944,0.6,400080,2.00 0,1,2024-09-07 09:51:30:813,1050638,1050638,0,0,493636912527,5168084863,1042100,7592,946,368,391896,0 0,2,2024-09-07 09:51:31:077,758711,758711,0,0,30946186,0,4480 0,3,2024-09-07 09:51:30:985,1,599,3,0,431,8671,599,0 1,0,2024-09-07 09:51:31:785,150379,0.8,149322,0.9,300060,1.0,400355,2.00 1,1,2024-09-07 09:51:30:663,1050048,1050048,0,0,492132181168,5155852402,1040584,7974,1490,370,391859,0 1,2,2024-09-07 09:51:30:641,758421,758421,0,0,30421081,0,3380 1,3,2024-09-07 09:51:31:306,1,599,11,0,269,7477,599,0 2,0,2024-09-07 09:51:31:570,149779,0.6,149617,0.7,298944,0.6,399072,2.00 2,1,2024-09-07 09:51:30:876,1053285,1053285,0,0,494859807159,5145972202,1047111,5224,950,379,391745,0 2,2,2024-09-07 09:51:31:266,756897,756897,0,0,29014228,0,3594 2,3,2024-09-07 09:51:30:690,1,599,16,0,357,5406,599,0 3,0,2024-09-07 09:51:31:750,150359,0.4,150332,0.6,300091,0.4,399769,2.00 3,1,2024-09-07 09:51:31:632,1051782,1051782,0,0,494467330302,5143036402,1044196,6882,704,379,391716,0 3,2,2024-09-07 09:51:31:142,758475,758452,23,0,30119471,0,5851 3,3,2024-09-07 09:51:31:753,1,599,1,0,484,4598,599,0 4,0,2024-09-07 09:51:31:794,146013,0.4,150075,0.5,305771,0.3,400280,1.75 4,1,2024-09-07 09:51:30:652,1047479,1047479,0,0,491143377139,5198961852,1029672,14053,3754,370,391992,0 4,2,2024-09-07 09:51:31:021,755414,755414,0,0,36862483,0,4534 4,3,2024-09-07 09:51:31:041,1,599,0,0,448,7337,599,0 5,0,2024-09-07 09:51:31:371,150395,0.4,150375,0.5,300767,0.3,400301,1.75 5,1,2024-09-07 09:51:30:756,1048180,1048180,0,0,492696857795,5202415252,1031851,12204,4125,367,392005,0 5,2,2024-09-07 09:51:31:838,754794,754794,0,0,35627735,0,3582 5,3,2024-09-07 09:51:31:742,1,599,11,0,457,8199,599,0 6,0,2024-09-07 09:51:30:918,150376,0.5,150045,0.6,300266,0.4,399952,2.00 6,1,2024-09-07 09:51:30:746,1051187,1051187,0,0,493017962315,5150149929,1040112,9502,1573,379,391702,0 6,2,2024-09-07 09:51:31:116,757388,757370,18,0,34567175,0,5535 6,3,2024-09-07 09:51:31:274,1,599,4,0,710,7336,599,0 7,0,2024-09-07 09:51:31:530,149201,0.5,150167,0.7,298192,0.5,398744,2.00 7,1,2024-09-07 09:51:30:850,1048751,1048751,0,0,492136115800,5183047645,1032428,12557,3766,382,391747,0 7,2,2024-09-07 09:51:30:770,757244,757244,0,0,33267322,0,4791 7,3,2024-09-07 09:51:30:857,1,599,1,0,552,6998,599,0 8,0,2024-09-07 09:51:31:348,150516,0.4,150180,0.5,300761,0.3,400949,1.75 8,1,2024-09-07 09:51:31:016,1048206,1048206,0,0,492457233723,5180355510,1031489,13130,3587,366,392853,0 8,2,2024-09-07 09:51:30:798,753074,753072,2,0,39508865,0,5112 8,3,2024-09-07 09:51:30:649,1,599,1,0,772,9569,599,0 9,0,2024-09-07 09:51:31:141,150179,0.4,146152,0.5,305716,0.3,400754,1.75 9,1,2024-09-07 09:51:30:551,1048283,1048283,0,0,491395623045,5181223873,1031598,13459,3226,369,392001,0 9,2,2024-09-07 09:51:31:093,755714,755713,1,0,37316659,0,5281 9,3,2024-09-07 09:51:31:767,1,599,11,0,1273,10228,599,0 10,0,2024-09-07 09:51:31:609,149801,0.3,149235,0.5,299462,0.3,398876,1.75 10,1,2024-09-07 09:51:30:655,1049919,1049919,0,0,492867589775,5172459955,1034198,12657,3064,381,391981,0 10,2,2024-09-07 09:51:30:765,757688,757688,0,0,39953391,0,4713 10,3,2024-09-07 09:51:30:874,1,599,0,0,669,6791,599,0 11,0,2024-09-07 09:51:31:013,149593,0.4,145095,0.6,303505,0.4,399997,1.75 11,1,2024-09-07 09:51:30:574,1051358,1051358,0,0,493353871382,5176861196,1035536,11519,4303,383,391766,0 11,2,2024-09-07 09:51:31:123,756103,756103,0,0,35386822,0,4698 11,3,2024-09-07 09:51:31:298,1,599,6,0,843,7799,599,0 12,0,2024-09-07 09:51:30:945,151094,0.4,151095,0.6,301654,0.4,401001,1.75 12,1,2024-09-07 09:51:30:935,1051308,1051308,0,0,493151926568,5143643747,1042933,7367,1008,370,391960,0 12,2,2024-09-07 09:51:31:540,755989,755989,0,0,34178054,0,4390 12,3,2024-09-07 09:51:31:069,1,599,12,0,386,7552,599,0 13,0,2024-09-07 09:51:31:332,151159,0.4,151269,0.5,301563,0.4,401633,1.75 13,1,2024-09-07 09:51:31:537,1048634,1048634,0,0,492884981417,5181189319,1037748,8496,2390,382,391803,0 13,2,2024-09-07 09:51:30:659,758898,758898,0,0,30572817,0,3287 13,3,2024-09-07 09:51:31:784,1,599,9,0,522,7963,599,0 14,0,2024-09-07 09:51:30:568,150108,0.4,151185,0.6,300092,0.3,399700,1.75 14,1,2024-09-07 09:51:31:567,1055834,1055834,0,0,495522050746,5128259215,1047379,7326,1129,364,391673,0 14,2,2024-09-07 09:51:30:765,759054,759024,30,0,32005880,0,6104 14,3,2024-09-07 09:51:31:115,1,599,1,0,1168,6566,599,0 15,0,2024-09-07 09:51:31:552,149482,0.4,148651,0.6,298157,0.4,397941,2.00 15,1,2024-09-07 09:51:31:615,1052866,1052866,0,0,493714840152,5142403350,1043793,7160,1913,381,391619,0 15,2,2024-09-07 09:51:31:004,758504,758504,0,0,27667526,0,3622 15,3,2024-09-07 09:51:31:408,1,599,0,0,1126,7883,599,0 16,0,2024-09-07 09:51:30:934,150136,0.6,150770,0.8,301045,0.6,400564,2.00 16,1,2024-09-07 09:51:30:574,1052633,1052633,0,0,493491279786,5159996812,1043200,7989,1444,370,392194,0 16,2,2024-09-07 09:51:31:433,756422,756422,0,0,31536593,0,4719 16,3,2024-09-07 09:51:31:142,1,599,1,0,358,7565,599,0 17,0,2024-09-07 09:51:31:833,155014,0.6,151157,0.8,296114,0.6,402344,2.00 17,1,2024-09-07 09:51:30:572,1050603,1050603,0,0,492155221535,5163265586,1040316,8516,1771,368,392075,0 17,2,2024-09-07 09:51:31:673,760534,760533,1,0,32825858,0,5050 17,3,2024-09-07 09:51:30:575,1,599,2,0,518,8781,599,0 18,0,2024-09-07 09:51:30:940,149174,0.6,150091,0.8,298670,0.6,399071,2.25 18,1,2024-09-07 09:51:31:642,1054891,1054891,0,0,494446401822,5129053988,1047829,5805,1257,367,391725,0 18,2,2024-09-07 09:51:31:760,760628,760628,0,0,29188739,0,3541 18,3,2024-09-07 09:51:30:897,1,599,11,0,1059,5557,599,0 19,0,2024-09-07 09:51:31:541,149588,0.6,149722,0.8,298251,0.6,397233,2.00 19,1,2024-09-07 09:51:30:630,1054710,1054710,0,0,495130202919,5132450478,1046910,6667,1133,366,391777,0 19,2,2024-09-07 09:51:31:753,759906,759906,0,0,27617995,0,3988 19,3,2024-09-07 09:51:31:129,1,599,1,0,524,4609,599,0 20,0,2024-09-07 09:51:31:346,150380,0.6,150263,0.7,300309,0.6,400390,2.00 20,1,2024-09-07 09:51:30:587,1050392,1050392,0,0,492996502955,5166684400,1039678,9207,1507,369,391922,0 20,2,2024-09-07 09:51:30:928,757156,757156,0,0,34153103,0,4321 20,3,2024-09-07 09:51:30:648,1,599,2,0,468,9700,599,0 21,0,2024-09-07 09:51:31:143,150369,0.5,150546,0.6,300741,0.4,399733,2.00 21,1,2024-09-07 09:51:31:551,1048654,1048654,0,0,491979232858,5194405228,1031786,13033,3835,368,392016,0 21,2,2024-09-07 09:51:31:067,752602,752125,477,0,44836940,0,17074 21,3,2024-09-07 09:51:31:403,1,599,5,0,713,8645,599,0 22,0,2024-09-07 09:51:31:719,150026,0.5,150670,0.6,300538,0.4,398941,2.00 22,1,2024-09-07 09:51:31:024,1049686,1049686,0,0,491162019968,5171199257,1031870,14276,3540,382,391822,0 22,2,2024-09-07 09:51:30:760,755872,755846,26,0,32611126,0,6328 22,3,2024-09-07 09:51:31:066,1,599,1,0,228,5037,599,0 23,0,2024-09-07 09:51:31:367,149568,0.5,149312,0.7,298586,0.5,398538,2.00 23,1,2024-09-07 09:51:31:007,1049595,1049595,0,0,493308396416,5199356360,1030122,12895,6578,365,391690,0 23,2,2024-09-07 09:51:31:094,758487,758487,0,0,31297934,0,3773 23,3,2024-09-07 09:51:31:754,1,599,1,0,855,8820,599,0 24,0,2024-09-07 09:51:30:826,150469,0.4,149726,0.6,301199,0.4,399767,1.75 24,1,2024-09-07 09:51:30:651,1050049,1050049,0,0,492495841928,5156152417,1039987,8353,1709,367,392269,0 24,2,2024-09-07 09:51:31:069,757269,757266,3,0,37878588,0,6294 24,3,2024-09-07 09:51:31:687,1,599,2,0,468,7680,599,0 25,0,2024-09-07 09:51:31:402,154173,0.4,150052,0.6,294727,0.4,401661,2.00 25,1,2024-09-07 09:51:30:574,1048191,1048191,0,0,491687253061,5196573166,1029433,15048,3710,369,391928,0 25,2,2024-09-07 09:51:31:642,755134,755134,0,0,38434305,0,3978 25,3,2024-09-07 09:51:31:006,1,599,8,0,532,6516,599,0 26,0,2024-09-07 09:51:31:726,149357,0.4,145968,0.6,306586,0.4,399011,1.75 26,1,2024-09-07 09:51:31:542,1051932,1051932,0,0,493167736500,5164349721,1037596,11803,2533,380,391748,0 26,2,2024-09-07 09:51:30:861,756265,756265,0,0,41158049,0,4689 26,3,2024-09-07 09:51:31:720,1,599,1,0,796,7567,599,0 27,0,2024-09-07 09:51:31:728,150023,0.4,150687,0.6,299657,0.4,399854,2.25 27,1,2024-09-07 09:51:31:676,1052491,1052491,0,0,494282048045,5152251086,1042093,8789,1609,381,391626,0 27,2,2024-09-07 09:51:30:867,755174,755109,65,0,35899512,0,5699 27,3,2024-09-07 09:51:31:015,1,599,1,0,564,5199,599,0 28,0,2024-09-07 09:51:31:396,149796,0.4,149901,0.6,300090,0.3,400084,1.75 28,1,2024-09-07 09:51:30:809,1053357,1053357,0,0,494753163220,5158078261,1044420,7046,1891,382,391904,0 28,2,2024-09-07 09:51:31:770,757573,757573,0,0,30881977,0,2915 28,3,2024-09-07 09:51:31:790,1,599,9,0,502,6006,599,0 29,0,2024-09-07 09:51:31:360,154549,0.4,150400,0.6,295230,0.4,402279,1.75 29,1,2024-09-07 09:51:31:564,1056200,1056200,0,0,495101866917,5121026150,1049842,5582,776,367,391809,0 29,2,2024-09-07 09:51:30:861,756961,756961,0,0,30060594,0,4986 29,3,2024-09-07 09:51:30:965,1,599,3,0,459,6501,599,0 30,0,2024-09-07 09:51:31:463,149422,0.5,145561,0.7,304713,0.4,397938,2.00 30,1,2024-09-07 09:51:30:581,1055525,1055525,0,0,495881409585,5144448881,1047955,6660,910,380,391672,0 30,2,2024-09-07 09:51:31:286,758859,758859,0,0,28691660,0,4192 30,3,2024-09-07 09:51:30:650,1,599,0,0,519,5603,599,0 31,0,2024-09-07 09:51:31:767,150054,0.4,150828,0.6,300553,0.4,400931,1.75 31,1,2024-09-07 09:51:30:581,1058632,1058632,0,0,495957871999,5093718468,1052175,5383,1074,356,391712,0 31,2,2024-09-07 09:51:31:275,757537,757537,0,0,32229139,0,4470 31,3,2024-09-07 09:51:31:709,1,599,1,0,239,5171,599,0 32,0,2024-09-07 09:51:31:419,150007,0.3,150998,0.5,300450,0.3,400592,1.75 32,1,2024-09-07 09:51:30:809,1054743,1054743,0,0,494550347584,5139648650,1048565,5460,718,381,391646,0 32,2,2024-09-07 09:51:30:936,758721,758721,0,0,28416023,0,3922 32,3,2024-09-07 09:51:31:015,1,599,0,0,304,4901,599,0 33,0,2024-09-07 09:51:31:508,150962,0.3,150625,0.4,301461,0.2,400791,1.50 33,1,2024-09-07 09:51:30:582,1054909,1054909,0,0,495519646843,5133376102,1046462,7174,1273,368,391730,0 33,2,2024-09-07 09:51:30:762,758477,758442,35,0,31548366,0,7012 33,3,2024-09-07 09:51:30:896,1,599,1,0,329,5278,599,0 34,0,2024-09-07 09:51:30:933,150599,0.3,154680,0.5,295871,0.2,399527,1.75 34,1,2024-09-07 09:51:31:046,1056939,1056939,0,0,495986771781,5102826949,1053978,2851,110,367,391637,0 34,2,2024-09-07 09:51:30:765,759400,759400,0,0,30153212,0,4562 34,3,2024-09-07 09:51:31:688,1,599,5,0,541,5504,599,0 35,0,2024-09-07 09:51:30:861,149444,0.3,150246,0.5,301513,0.2,400802,1.75 35,1,2024-09-07 09:51:31:077,1053071,1053071,0,0,494560222625,5133760269,1044962,6586,1523,382,391769,0 35,2,2024-09-07 09:51:31:604,757862,757862,0,0,32998062,0,4055 35,3,2024-09-07 09:51:30:908,1,599,0,0,466,5679,599,0 36,0,2024-09-07 09:51:31:525,150666,0.5,150676,0.7,300779,0.4,400744,2.00 36,1,2024-09-07 09:51:30:651,1051852,1051852,0,0,494010376280,5159589810,1038276,11336,2240,366,391759,0 36,2,2024-09-07 09:51:31:759,757752,757752,0,0,34211092,0,3875 36,3,2024-09-07 09:51:30:866,1,599,0,0,556,8230,599,0 37,0,2024-09-07 09:51:31:392,149046,0.5,149158,0.7,298541,0.5,399019,2.25 37,1,2024-09-07 09:51:30:574,1051857,1051850,0,7,493958132139,5158895829,1039204,9733,2913,365,391770,0 37,2,2024-09-07 09:51:31:163,755309,755294,15,0,34236190,0,5815 37,3,2024-09-07 09:51:31:777,1,599,1,0,888,8011,599,0 38,0,2024-09-07 09:51:31:441,149290,0.5,144737,0.7,302688,0.4,396747,2.00 38,1,2024-09-07 09:51:31:612,1051444,1051444,0,0,494453602121,5172926396,1036117,12328,2999,368,391821,0 38,2,2024-09-07 09:51:30:760,757315,757268,47,0,34500918,0,6710 38,3,2024-09-07 09:51:31:004,1,599,1,0,689,7404,599,0 39,0,2024-09-07 09:51:31:761,153608,0.5,150132,0.7,292861,0.5,399645,2.00 39,1,2024-09-07 09:51:30:718,1051153,1051153,0,0,492852914095,5168508087,1033708,13730,3715,365,391865,0 39,2,2024-09-07 09:51:31:417,757466,757466,0,0,32479702,0,3391 39,3,2024-09-07 09:51:30:718,1,599,1,0,525,6672,599,0 40,0,2024-09-07 09:51:31:502,148450,0.8,149161,1.0,297719,0.9,397179,2.75 40,1,2024-09-07 09:51:30:576,1051829,1051829,0,0,492316483649,5159266439,1036224,12537,3068,368,391668,0 40,2,2024-09-07 09:51:31:307,755637,755630,7,0,38982931,0,5347 40,3,2024-09-07 09:51:31:142,1,599,1,0,1028,8858,599,0 41,0,2024-09-07 09:51:31:025,148796,1.4,152241,1.2,290293,2.0,395018,3.25 41,1,2024-09-07 09:51:30:772,1051098,1051098,0,0,493247438463,5161881987,1037329,11610,2159,369,391878,0 41,2,2024-09-07 09:51:30:762,754159,754158,1,0,37162814,0,5408 41,3,2024-09-07 09:51:31:686,1,599,1,0,366,6469,599,0 42,0,2024-09-07 09:51:31:476,149059,1.0,148616,1.1,297494,1.2,394912,2.75 42,1,2024-09-07 09:51:31:443,1048852,1048852,0,0,491857407412,5169953347,1032361,13559,2932,380,391675,0 42,2,2024-09-07 09:51:31:133,755141,755140,1,0,37437725,0,5513 42,3,2024-09-07 09:51:31:013,1,599,1,0,892,5637,599,0 43,0,2024-09-07 09:51:30:917,148843,0.7,144922,0.9,303252,0.7,396599,2.25 43,1,2024-09-07 09:51:30:580,1052540,1052540,0,0,493710689900,5160413689,1037801,12167,2572,366,391696,0 43,2,2024-09-07 09:51:31:743,757317,757317,0,0,35410592,0,4723 43,3,2024-09-07 09:51:31:754,1,599,1,0,571,8818,599,0 44,0,2024-09-07 09:51:30:863,150541,0.4,150443,0.6,301167,0.3,400210,1.75 44,1,2024-09-07 09:51:30:585,1055390,1055390,0,0,494387095809,5111286050,1046023,7426,1941,356,391809,0 44,2,2024-09-07 09:51:31:266,756800,756800,0,0,28787584,0,4344 44,3,2024-09-07 09:51:31:101,1,599,6,0,1097,7415,599,0 45,0,2024-09-07 09:51:31:762,147724,0.5,144341,0.7,303113,0.5,398136,2.00 45,1,2024-09-07 09:51:31:005,1054059,1054059,0,0,495157267718,5145364551,1045806,7350,903,382,391917,0 45,2,2024-09-07 09:51:31:270,757806,757806,0,0,29130208,0,3596 45,3,2024-09-07 09:51:30:934,1,599,0,0,531,5737,599,0 46,0,2024-09-07 09:51:30:959,149471,0.6,149021,0.8,299026,0.6,397118,2.25 46,1,2024-09-07 09:51:30:651,1056221,1056221,0,0,495984127979,5127194325,1048729,6504,988,366,391709,0 46,2,2024-09-07 09:51:30:640,758284,758284,0,0,29820371,0,4443 46,3,2024-09-07 09:51:31:140,1,599,1,0,908,7177,599,0 47,0,2024-09-07 09:51:31:116,150812,0.4,150709,0.6,302502,0.4,400626,2.00 47,1,2024-09-07 09:51:30:581,1056824,1056824,0,0,495793108666,5121930900,1050726,5236,862,365,391641,0 47,2,2024-09-07 09:51:30:911,761545,761545,0,0,28878276,0,4477 47,3,2024-09-07 09:51:31:123,1,599,6,0,600,6591,599,0 48,0,2024-09-07 09:51:31:507,150878,0.3,150880,0.4,301525,0.2,400938,1.50 48,1,2024-09-07 09:51:31:024,1054470,1054470,0,0,494155492247,5132102251,1048370,5522,578,381,391710,0 48,2,2024-09-07 09:51:30:699,758654,758654,0,0,27438679,0,3524 48,3,2024-09-07 09:51:30:753,1,599,11,0,339,5356,599,0 49,0,2024-09-07 09:51:31:724,154049,0.3,150933,0.5,293819,0.3,401330,1.75 49,1,2024-09-07 09:51:31:032,1053299,1053299,0,0,494209635379,5141452263,1046029,5575,1695,382,391809,0 49,2,2024-09-07 09:51:31:797,758573,758573,0,0,29665988,0,4426 49,3,2024-09-07 09:51:31:420,1,599,2,0,992,7155,599,0 50,0,2024-09-07 09:51:31:511,151037,0.3,149328,0.5,300950,0.2,400235,1.75 50,1,2024-09-07 09:51:31:010,1056507,1056507,0,0,496754578711,5135193215,1049981,5857,669,368,391691,0 50,2,2024-09-07 09:51:31:072,756499,756499,0,0,27493021,0,4490 50,3,2024-09-07 09:51:31:295,1,599,0,0,617,6164,599,0 51,0,2024-09-07 09:51:31:683,154392,0.3,151114,0.5,294161,0.2,401533,1.75 51,1,2024-09-07 09:51:31:680,1057020,1057020,0,0,496935519772,5124298177,1051362,4577,1081,365,391706,0 51,2,2024-09-07 09:51:31:323,758836,758836,0,0,27015074,0,3337 51,3,2024-09-07 09:51:31:033,1,599,1,0,678,4307,599,0 52,0,2024-09-07 09:51:31:415,150701,0.5,150304,0.6,301069,0.4,399929,2.00 52,1,2024-09-07 09:51:30:575,1051918,1051918,0,0,493666459239,5167102776,1036895,12693,2330,368,391805,0 52,2,2024-09-07 09:51:31:759,754269,754231,38,0,36419535,0,6742 52,3,2024-09-07 09:51:30:681,1,599,1,0,1782,7098,599,0 53,0,2024-09-07 09:51:31:786,149265,0.7,144887,0.8,303215,0.7,397605,2.50 53,1,2024-09-07 09:51:30:773,1050179,1050179,0,0,492543509203,5170314988,1031996,13788,4395,367,391968,0 53,2,2024-09-07 09:51:31:307,757470,757469,1,0,32790529,0,5455 53,3,2024-09-07 09:51:30:703,1,599,1,0,308,5593,599,0 54,0,2024-09-07 09:51:31:618,147983,0.6,148144,0.8,295136,0.5,394229,2.25 54,1,2024-09-07 09:51:30:580,1053163,1053163,0,0,494815725197,5147474049,1042050,9442,1671,366,391810,0 54,2,2024-09-07 09:51:30:876,758180,758148,32,0,36508858,0,6397 54,3,2024-09-07 09:51:30:763,1,599,59,0,676,7825,599,0 55,0,2024-09-07 09:51:31:765,144666,0.7,149316,0.8,302603,0.6,394478,2.50 55,1,2024-09-07 09:51:30:763,1053543,1053543,0,0,494766472228,5145939644,1042685,9469,1389,365,391731,0 55,2,2024-09-07 09:51:30:728,755669,755613,56,0,33954790,0,7239 55,3,2024-09-07 09:51:30:682,1,599,1,0,304,5520,599,0 56,0,2024-09-07 09:51:31:563,152924,1.1,144081,1.1,296987,1.5,397528,2.75 56,1,2024-09-07 09:51:30:582,1046953,1046953,0,0,491922118075,5204637244,1028987,14277,3689,381,391867,0 56,2,2024-09-07 09:51:31:316,755547,755425,122,0,36142859,0,7432 56,3,2024-09-07 09:51:31:063,1,599,1,0,705,6942,599,0 57,0,2024-09-07 09:51:30:933,148354,1.4,148095,1.2,296584,2.0,396324,3.00 57,1,2024-09-07 09:51:30:987,1049409,1049409,0,0,492673349467,5173171396,1034986,11933,2490,366,392032,0 57,2,2024-09-07 09:51:31:324,757483,757483,0,0,37780438,0,4804 57,3,2024-09-07 09:51:31:745,1,599,1,0,455,7040,599,0 58,0,2024-09-07 09:51:30:555,147479,1.0,143291,1.0,299575,1.2,392424,2.75 58,1,2024-09-07 09:51:30:576,1051302,1051299,0,3,493378416938,5173713855,1035154,12244,3901,367,391726,3 58,2,2024-09-07 09:51:31:077,757487,757487,0,0,35446936,0,3483 58,3,2024-09-07 09:51:31:068,1,599,1,0,1043,6720,599,0 59,0,2024-09-07 09:51:31:749,148781,0.9,148347,1.0,296395,1.0,393322,3.00 59,1,2024-09-07 09:51:30:804,1049884,1049884,0,0,493277004963,5185436603,1032063,14153,3668,369,391653,0 59,2,2024-09-07 09:51:30:654,757033,757033,0,0,33538573,0,3727 59,3,2024-09-07 09:51:31:737,1,599,1,0,1015,7352,599,0 60,0,2024-09-07 09:51:31:714,150086,0.5,150270,0.7,300730,0.5,400312,1.75 60,1,2024-09-07 09:51:30:779,1055012,1055012,0,0,494891796999,5143573610,1047060,6865,1087,370,392031,0 60,2,2024-09-07 09:51:31:141,757989,757989,0,0,31715526,0,3811 60,3,2024-09-07 09:51:31:270,1,599,1,0,409,6975,599,0 61,0,2024-09-07 09:51:31:511,150260,0.6,150802,0.8,300160,0.6,400321,2.00 61,1,2024-09-07 09:51:30:774,1051559,1051559,0,0,493763999540,5169166105,1040434,9446,1679,382,392127,0 61,2,2024-09-07 09:51:31:122,758489,758422,67,0,32313374,0,6411 61,3,2024-09-07 09:51:31:691,1,599,1,0,607,7828,599,0 62,0,2024-09-07 09:51:31:708,150541,0.6,154245,0.7,294617,0.6,400629,2.00 62,1,2024-09-07 09:51:31:116,1058147,1058141,0,6,496306129098,5116830716,1053175,4667,299,365,391975,6 62,2,2024-09-07 09:51:31:653,755148,755147,1,0,32300474,0,5555 62,3,2024-09-07 09:51:31:143,1,599,0,0,482,4811,599,0 63,0,2024-09-07 09:51:31:465,150974,0.4,150752,0.6,301982,0.4,401072,1.75 63,1,2024-09-07 09:51:30:812,1054278,1054272,0,6,495042305940,5140614650,1047426,5991,855,381,391800,6 63,2,2024-09-07 09:51:30:764,757823,757823,0,0,30687811,0,4369 63,3,2024-09-07 09:51:31:741,1,599,0,0,667,6187,599,0 64,0,2024-09-07 09:51:31:517,149659,0.5,149512,0.7,298742,0.4,398154,2.00 64,1,2024-09-07 09:51:30:764,1053690,1053690,0,0,494845992746,5154588306,1044351,7362,1977,370,391794,0 64,2,2024-09-07 09:51:31:144,762377,762358,19,0,29806565,0,6121 64,3,2024-09-07 09:51:31:140,1,599,0,0,651,6879,599,0 65,0,2024-09-07 09:51:31:691,149086,0.6,149442,0.7,298533,0.6,398139,2.00 65,1,2024-09-07 09:51:30:871,1051676,1051676,0,0,492505177980,5148236781,1044197,6606,873,381,391901,0 65,2,2024-09-07 09:51:31:698,757035,757035,0,0,35766619,0,3367 65,3,2024-09-07 09:51:31:683,1,599,0,0,782,6692,599,0 66,0,2024-09-07 09:51:31:769,149700,0.5,149266,0.7,298723,0.5,397814,2.00 66,1,2024-09-07 09:51:31:296,1054137,1054137,0,0,494196066662,5142596052,1047636,5779,722,380,391743,0 66,2,2024-09-07 09:51:31:133,759857,759854,3,0,31811215,0,5455 66,3,2024-09-07 09:51:31:086,1,599,1,0,291,5090,599,0 67,0,2024-09-07 09:51:31:431,149748,0.5,149334,0.7,299721,0.5,399661,2.00 67,1,2024-09-07 09:51:30:771,1053233,1053232,0,1,495435300083,5158341187,1045834,6453,945,380,391787,1 67,2,2024-09-07 09:51:30:663,760217,760202,15,0,31610949,0,6205 67,3,2024-09-07 09:51:31:759,1,599,0,0,595,6303,599,0 68,0,2024-09-07 09:51:30:565,150242,0.6,149972,0.7,298701,0.6,400041,2.25 68,1,2024-09-07 09:51:30:581,1049034,1049034,0,0,491934075550,5172774050,1035242,10262,3530,381,391953,0 68,2,2024-09-07 09:51:31:048,753740,753640,100,0,39590744,0,8578 68,3,2024-09-07 09:51:30:734,1,599,4,0,417,7772,599,0 69,0,2024-09-07 09:51:31:737,149643,0.6,150363,0.8,299771,0.6,398381,2.25 69,1,2024-09-07 09:51:31:016,1048023,1048023,0,0,492081223487,5186199101,1034159,11031,2833,383,391994,0 69,2,2024-09-07 09:51:31:744,754690,754661,29,0,41996610,0,6912 69,3,2024-09-07 09:51:30:761,1,599,3,0,698,8620,599,0 70,0,2024-09-07 09:51:31:561,148225,0.7,148796,0.9,299021,0.6,396030,2.50 70,1,2024-09-07 09:51:30:809,1054933,1054933,0,0,495508065433,5141246685,1047021,7105,807,366,391725,0 70,2,2024-09-07 09:51:31:325,758158,758158,0,0,34742454,0,4323 70,3,2024-09-07 09:51:30:745,1,599,0,0,854,6603,599,0 71,0,2024-09-07 09:51:31:390,148390,1.0,148081,1.0,297266,1.3,397039,2.75 71,1,2024-09-07 09:51:31:603,1052564,1052564,0,0,493510203247,5151613656,1039575,11368,1621,368,391738,0 71,2,2024-09-07 09:51:31:067,756760,756760,0,0,34890368,0,4352 71,3,2024-09-07 09:51:31:754,1,599,1,0,644,6748,599,0 72,0,2024-09-07 09:51:31:028,154929,0.6,151404,0.7,295432,0.5,401867,2.00 72,1,2024-09-07 09:51:31:027,1050466,1050466,0,0,493013660445,5172914791,1035168,12783,2515,369,391819,0 72,2,2024-09-07 09:51:31:761,755268,755268,0,0,36521431,0,3983 72,3,2024-09-07 09:51:31:756,1,599,1,0,564,8831,599,0 73,0,2024-09-07 09:51:31:137,146324,0.4,150172,0.6,306960,0.4,399144,2.00 73,1,2024-09-07 09:51:30:777,1052274,1052274,0,0,494164642328,5137874523,1043871,7421,982,367,391858,0 73,2,2024-09-07 09:51:31:745,756748,756747,1,0,38057968,0,5027 73,3,2024-09-07 09:51:30:976,1,599,7,0,1091,8370,599,0 74,0,2024-09-07 09:51:31:367,151278,0.5,154899,0.6,295490,0.4,400439,2.00 74,1,2024-09-07 09:51:30:644,1051455,1051455,0,0,493251502064,5150946692,1039552,9787,2116,381,391762,0 74,2,2024-09-07 09:51:31:005,757289,757289,0,0,33258168,0,4253 74,3,2024-09-07 09:51:31:443,1,599,2,0,522,7420,599,0 75,0,2024-09-07 09:51:31:774,149777,0.5,148768,0.7,298652,0.5,399580,2.25 75,1,2024-09-07 09:51:31:589,1052681,1052681,0,0,493597880365,5145196819,1044060,7753,868,380,391739,0 75,2,2024-09-07 09:51:31:359,755540,755540,0,0,40279193,0,4766 75,3,2024-09-07 09:51:31:076,1,599,1,0,918,8154,599,0 76,0,2024-09-07 09:51:30:590,149800,0.6,149158,0.8,298350,0.6,398866,2.25 76,1,2024-09-07 09:51:30:817,1052510,1052510,0,0,493722917554,5148985722,1045182,6337,991,382,391790,0 76,2,2024-09-07 09:51:31:063,759549,759546,3,0,32636231,0,5265 76,3,2024-09-07 09:51:31:142,1,599,1,0,227,5459,599,0 77,0,2024-09-07 09:51:31:700,150026,0.5,150559,0.7,300986,0.5,399919,2.00 77,1,2024-09-07 09:51:30:824,1052218,1052218,0,0,494514930429,5158806680,1044239,7104,875,381,391869,0 77,2,2024-09-07 09:51:31:282,757513,757513,0,0,31784469,0,3890 77,3,2024-09-07 09:51:31:094,1,599,1,0,401,6817,599,0 78,0,2024-09-07 09:51:31:743,151056,0.4,150430,0.6,301683,0.4,399494,2.00 78,1,2024-09-07 09:51:30:629,1052532,1052532,0,0,493642845369,5149622902,1039692,9923,2917,367,391670,0 78,2,2024-09-07 09:51:31:421,759515,759502,13,0,29748625,0,8313 78,3,2024-09-07 09:51:31:140,1,599,1,0,311,5068,599,0 79,0,2024-09-07 09:51:31:382,144841,0.4,148280,0.6,303732,0.3,396077,2.00 79,1,2024-09-07 09:51:30:574,1056038,1056038,0,0,495875601535,5133145822,1048194,6484,1360,367,391682,0 79,2,2024-09-07 09:51:31:068,757478,757478,0,0,28862583,0,4195 79,3,2024-09-07 09:51:30:751,1,599,5,0,418,7162,599,0 80,0,2024-09-07 09:51:31:080,150199,0.6,154317,0.7,295067,0.6,399247,2.00 80,1,2024-09-07 09:51:31:632,1052584,1052584,0,0,493398318828,5139000060,1045431,6702,451,368,392269,0 80,2,2024-09-07 09:51:31:093,759763,759763,0,0,29507244,0,4433 80,3,2024-09-07 09:51:30:578,1,599,1,0,681,7382,599,0 81,0,2024-09-07 09:51:31:536,150345,0.5,153801,0.7,293685,0.5,398674,2.00 81,1,2024-09-07 09:51:31:657,1051217,1051217,0,0,493436658387,5156453249,1043057,7394,766,382,391885,0 81,2,2024-09-07 09:51:31:135,757556,757493,63,0,32775120,0,5932 81,3,2024-09-07 09:51:31:133,1,599,1,0,719,6991,599,0 82,0,2024-09-07 09:51:31:533,150072,0.5,150154,0.7,301102,0.4,398912,2.00 82,1,2024-09-07 09:51:30:656,1054044,1054040,0,4,494177875241,5137113856,1048471,4746,823,381,391768,4 82,2,2024-09-07 09:51:31:698,759579,759579,0,0,27755604,0,4484 82,3,2024-09-07 09:51:31:753,1,599,0,0,363,5694,599,0 83,0,2024-09-07 09:51:31:549,149930,0.6,149877,0.7,299259,0.6,397863,2.00 83,1,2024-09-07 09:51:30:563,1052484,1052484,0,0,493668557098,5147049018,1044898,6941,645,382,391709,0 83,2,2024-09-07 09:51:30:772,757830,757805,25,0,29888369,0,5612 83,3,2024-09-07 09:51:30:761,1,599,10,0,1260,7205,599,0 84,0,2024-09-07 09:51:31:791,148007,0.8,148095,0.9,296176,0.7,395827,2.25 84,1,2024-09-07 09:51:31:043,1050563,1050563,0,0,493127333640,5158513089,1038314,10576,1673,367,391967,0 84,2,2024-09-07 09:51:30:576,755937,755527,410,0,43384155,0,17037 84,3,2024-09-07 09:51:31:141,1,599,5,0,908,8067,599,0 85,0,2024-09-07 09:51:31:009,143983,0.7,143943,0.8,305596,0.6,394799,2.25 85,1,2024-09-07 09:51:30:577,1047885,1047885,0,0,491617851227,5192047291,1031579,13509,2797,381,392092,0 85,2,2024-09-07 09:51:30:869,756168,756168,0,0,36591035,0,4255 85,3,2024-09-07 09:51:30:692,1,599,1,0,789,6890,599,0 86,0,2024-09-07 09:51:30:875,149704,0.7,153877,0.8,294319,0.7,398178,2.25 86,1,2024-09-07 09:51:30:833,1050629,1050629,0,0,493878293814,5179166997,1036797,11146,2686,366,392169,0 86,2,2024-09-07 09:51:30:857,755730,755729,1,0,38898661,0,5004 86,3,2024-09-07 09:51:30:650,1,599,1,0,308,8359,599,0 87,0,2024-09-07 09:51:31:283,150076,0.8,149599,0.8,299020,0.9,399740,2.25 87,1,2024-09-07 09:51:30:551,1050440,1050440,0,0,492815393758,5164009333,1037060,11583,1797,366,392076,0 87,2,2024-09-07 09:51:31:067,756995,756989,6,0,35319284,0,6323 87,3,2024-09-07 09:51:31:796,1,599,1,0,473,8573,599,0 88,0,2024-09-07 09:51:31:476,149670,0.5,150230,0.6,300152,0.4,400032,1.75 88,1,2024-09-07 09:51:30:576,1049147,1049147,0,0,492656229768,5166090984,1033765,12338,3044,365,392084,0 88,2,2024-09-07 09:51:30:692,756587,756587,0,0,38067572,0,4465 88,3,2024-09-07 09:51:31:267,1,599,1,0,1080,9274,599,0 89,0,2024-09-07 09:51:31:776,154335,0.5,149756,0.7,295503,0.4,401812,1.75 89,1,2024-09-07 09:51:30:562,1048828,1048828,0,0,493686698069,5192909208,1035845,11039,1944,382,391866,0 89,2,2024-09-07 09:51:31:133,756221,756221,0,0,35857098,0,3173 89,3,2024-09-07 09:51:31:807,1,599,0,0,468,10101,599,0 90,0,2024-09-07 09:51:31:612,145754,0.5,149712,0.6,305515,0.4,398405,2.00 90,1,2024-09-07 09:51:30:651,1051153,1051153,0,0,493040265279,5164914305,1041059,9201,893,380,391825,0 90,2,2024-09-07 09:51:31:409,754761,754756,5,0,39392358,0,6370 90,3,2024-09-07 09:51:30:934,1,599,3,0,322,7290,599,0 91,0,2024-09-07 09:51:30:926,150782,0.4,146319,0.6,306255,0.4,400988,1.75 91,1,2024-09-07 09:51:30:556,1048217,1048217,0,0,492745900894,5193443792,1032363,12706,3148,381,392047,0 91,2,2024-09-07 09:51:31:338,757836,757836,0,0,34636024,0,4713 91,3,2024-09-07 09:51:30:632,1,599,2,0,216,5408,599,0 92,0,2024-09-07 09:51:31:458,151607,0.5,154922,0.6,295377,0.5,400650,1.75 92,1,2024-09-07 09:51:30:651,1051980,1051980,0,0,494024802111,5160454352,1043622,7071,1287,381,392136,0 92,2,2024-09-07 09:51:31:359,757075,757075,0,0,30358282,0,3259 92,3,2024-09-07 09:51:31:010,1,599,1,0,167,5149,599,0 93,0,2024-09-07 09:51:30:982,151388,0.4,155086,0.6,296189,0.4,401055,1.75 93,1,2024-09-07 09:51:30:819,1051988,1051988,0,0,493954707761,5155649857,1040456,9604,1928,365,392048,0 93,2,2024-09-07 09:51:30:935,757586,757586,0,0,36163592,0,4913 93,3,2024-09-07 09:51:31:416,1,599,1,0,294,5889,599,0 94,0,2024-09-07 09:51:31:598,149737,0.4,150784,0.5,301606,0.3,400537,1.75 94,1,2024-09-07 09:51:30:585,1052820,1052820,0,0,493595722109,5147663259,1045874,6512,434,381,391850,0 94,2,2024-09-07 09:51:30:761,758605,758577,28,0,31107185,0,6179 94,3,2024-09-07 09:51:31:691,1,599,1,0,576,7455,599,0 95,0,2024-09-07 09:51:31:349,150233,0.3,150330,0.5,300697,0.3,400839,1.75 95,1,2024-09-07 09:51:30:853,1053893,1053893,0,0,494562450246,5139759491,1045461,7684,748,365,391852,0 95,2,2024-09-07 09:51:31:016,757075,757075,0,0,30558678,0,3308 95,3,2024-09-07 09:51:31:709,1,599,0,0,718,9409,599,0 96,0,2024-09-07 09:51:31:024,150172,0.4,150603,0.5,301002,0.3,400165,1.75 96,1,2024-09-07 09:51:31:587,1052337,1052337,0,0,493659482086,5149165771,1044955,6109,1273,384,391964,0 96,2,2024-09-07 09:51:31:271,758011,758011,0,0,31707834,0,4180 96,3,2024-09-07 09:51:31:141,1,599,2,0,411,6951,599,0 97,0,2024-09-07 09:51:31:321,149889,0.3,149620,0.5,300252,0.3,399385,1.75 97,1,2024-09-07 09:51:30:787,1053749,1053749,0,0,495342701776,5140745278,1046820,5891,1038,367,392140,0 97,2,2024-09-07 09:51:30:633,759160,759160,0,0,31382770,0,4046 97,3,2024-09-07 09:51:30:573,1,599,32,0,242,6800,599,0 98,0,2024-09-07 09:51:31:716,149840,0.3,149899,0.5,300816,0.3,400211,1.50 98,1,2024-09-07 09:51:30:585,1052849,1052849,0,0,493874842446,5144975181,1046368,5646,835,381,391997,0 98,2,2024-09-07 09:51:30:770,757849,757849,0,0,30128390,0,4336 98,3,2024-09-07 09:51:30:698,1,599,1,0,840,9071,599,0 99,0,2024-09-07 09:51:31:449,150389,0.3,151239,0.5,300805,0.3,401033,1.75 99,1,2024-09-07 09:51:31:737,1053644,1053644,0,0,493053000420,5131569970,1047279,5423,942,380,392069,0 99,2,2024-09-07 09:51:31:419,757353,757353,0,0,37330868,0,4276 99,3,2024-09-07 09:51:30:663,1,599,2,0,1124,7758,599,0 100,0,2024-09-07 09:51:31:485,149490,0.7,149700,0.9,299323,0.8,399582,2.50 100,1,2024-09-07 09:51:30:549,1047395,1047395,0,0,490952689354,5191451328,1031376,12703,3316,378,391989,0 100,2,2024-09-07 09:51:31:838,754108,753719,389,0,40494053,0,16909 100,3,2024-09-07 09:51:31:742,1,599,6,0,627,10081,599,0 101,0,2024-09-07 09:51:31:700,152792,1.2,149081,1.1,291753,1.2,398739,2.25 101,1,2024-09-07 09:51:30:565,1049139,1049139,0,0,492771501249,5178985905,1035024,11588,2527,368,391847,0 101,2,2024-09-07 09:51:31:776,753143,753143,0,0,40370331,0,4871 101,3,2024-09-07 09:51:30:950,1,599,1,0,1250,9011,599,0 102,0,2024-09-07 09:51:30:949,146005,0.7,150251,0.8,305427,0.7,399423,2.25 102,1,2024-09-07 09:51:31:143,1049142,1049142,0,0,492012444820,5169389635,1035026,11917,2199,369,391984,0 102,2,2024-09-07 09:51:31:755,757678,757624,54,0,34511350,0,6768 102,3,2024-09-07 09:51:31:618,1,599,2,0,466,6911,599,0 103,0,2024-09-07 09:51:31:596,155232,0.6,155197,0.7,292433,0.6,402447,2.00 103,1,2024-09-07 09:51:31:625,1047470,1047470,0,0,491525338086,5193039425,1030392,13478,3600,381,392077,0 103,2,2024-09-07 09:51:30:655,754848,754848,0,0,37315239,0,3766 103,3,2024-09-07 09:51:30:755,1,599,1,0,916,7110,599,0 104,0,2024-09-07 09:51:31:043,149209,0.7,149447,0.9,298216,0.7,398540,2.25 104,1,2024-09-07 09:51:31:600,1050299,1050299,0,0,492282028008,5174287979,1034770,12685,2844,365,392168,0 104,2,2024-09-07 09:51:31:669,755277,755277,0,0,36988394,0,4161 104,3,2024-09-07 09:51:31:422,1,599,1,0,1245,10619,599,0 105,0,2024-09-07 09:51:31:029,147817,0.9,143967,1.1,301790,1.1,397194,2.75 105,1,2024-09-07 09:51:30:557,1052098,1052098,0,0,493211869828,5168908557,1038533,11625,1940,364,392009,0 105,2,2024-09-07 09:51:31:324,755915,755915,0,0,37073623,0,4360 105,3,2024-09-07 09:51:31:321,1,599,1,0,573,9175,599,0 106,0,2024-09-07 09:51:30:936,145102,1.0,148640,1.0,304480,1.2,397388,2.50 106,1,2024-09-07 09:51:31:754,1050753,1050753,0,0,492332987256,5168508054,1036098,12761,1894,368,391914,0 106,2,2024-09-07 09:51:30:756,755613,755613,0,0,34955772,0,3331 106,3,2024-09-07 09:51:30:685,1,599,1,0,1224,8422,599,0 107,0,2024-09-07 09:51:31:187,150276,0.9,150345,0.9,300660,1.1,400681,2.25 107,1,2024-09-07 09:51:30:655,1047695,1047695,0,0,491571124545,5196388532,1030321,15241,2133,381,392234,0 107,2,2024-09-07 09:51:31:295,755047,755046,1,0,38094390,0,5024 107,3,2024-09-07 09:51:31:759,1,599,14,0,733,9112,599,0 108,0,2024-09-07 09:51:31:831,150422,0.4,150825,0.6,300662,0.4,400958,1.75 108,1,2024-09-07 09:51:31:304,1052041,1052041,0,0,494019406534,5152905224,1043348,7698,995,367,391894,0 108,2,2024-09-07 09:51:31:769,757801,757801,0,0,32785543,0,4246 108,3,2024-09-07 09:51:31:338,1,599,2,0,749,10875,599,0 109,0,2024-09-07 09:51:31:827,150656,0.4,149451,0.6,299682,0.3,400451,1.75 109,1,2024-09-07 09:51:30:673,1049318,1049318,0,0,493933972145,5181309878,1039843,8197,1278,382,392132,0 109,2,2024-09-07 09:51:30:922,755005,755005,0,0,33619127,0,3617 109,3,2024-09-07 09:51:31:141,1,599,5,0,630,7316,599,0 110,0,2024-09-07 09:51:31:758,150246,0.4,146152,0.6,306111,0.4,401021,1.75 110,1,2024-09-07 09:51:31:644,1053917,1053917,0,0,494886042807,5137958864,1045875,6115,1927,368,392045,0 110,2,2024-09-07 09:51:31:306,758141,758141,0,0,31118481,0,4067 110,3,2024-09-07 09:51:30:701,1,599,0,0,722,8038,599,0 111,0,2024-09-07 09:51:31:415,151085,0.4,150343,0.5,300585,0.3,400952,1.75 111,1,2024-09-07 09:51:31:006,1055063,1055063,0,0,495013625503,5133937418,1049580,5102,381,380,391690,0 111,2,2024-09-07 09:51:31:134,758374,758374,0,0,31646759,0,4823 111,3,2024-09-07 09:51:30:913,1,599,0,0,379,6526,599,0 112,0,2024-09-07 09:51:30:923,150943,0.3,150429,0.4,301435,0.2,400179,1.50 112,1,2024-09-07 09:51:30:837,1053610,1053610,0,0,494195358921,5132830529,1046408,5977,1225,380,391624,0 112,2,2024-09-07 09:51:31:133,757576,757575,1,0,30019309,0,5036 112,3,2024-09-07 09:51:30:630,1,599,6,0,282,5736,599,0 113,0,2024-09-07 09:51:30:866,150014,0.3,150037,0.5,300372,0.2,400217,1.75 113,1,2024-09-07 09:51:31:708,1056042,1056042,0,0,496360552825,5122154712,1049811,5283,948,365,391664,0 113,2,2024-09-07 09:51:31:306,760271,760271,0,0,28472606,0,3813 113,3,2024-09-07 09:51:30:701,1,599,2,0,510,6449,599,0 114,0,2024-09-07 09:51:30:874,149848,0.3,150763,0.5,300471,0.2,401300,1.75 114,1,2024-09-07 09:51:30:717,1054174,1054174,0,0,494136426080,5132264282,1046233,6194,1747,381,391565,0 114,2,2024-09-07 09:51:30:877,760013,760012,1,0,29661296,0,5069 114,3,2024-09-07 09:51:31:278,1,599,46,0,395,4863,599,0 115,0,2024-09-07 09:51:30:553,150610,0.3,151245,0.4,301035,0.2,400881,1.50 115,1,2024-09-07 09:51:30:573,1054530,1054530,0,0,494542520542,5138644959,1046274,6739,1517,382,391757,0 115,2,2024-09-07 09:51:31:125,759404,759404,0,0,29741369,0,4382 115,3,2024-09-07 09:51:31:006,1,599,0,0,167,3708,599,0 116,0,2024-09-07 09:51:31:713,149736,0.7,149539,0.8,299704,0.6,400471,2.00 116,1,2024-09-07 09:51:30:809,1048659,1048659,0,0,491617804716,5182850573,1036013,9557,3089,380,392089,0 116,2,2024-09-07 09:51:31:757,756586,756586,0,0,37106593,0,4475 116,3,2024-09-07 09:51:30:912,1,599,1,0,448,7761,599,0 117,0,2024-09-07 09:51:30:966,150292,0.7,149633,0.8,299753,0.7,400970,2.00 117,1,2024-09-07 09:51:31:585,1050403,1050403,0,0,492695387145,5160362117,1038644,10236,1523,369,392429,0 117,2,2024-09-07 09:51:31:127,759542,759542,0,0,33557456,0,4303 117,3,2024-09-07 09:51:31:062,1,599,1,0,490,7639,599,0 118,0,2024-09-07 09:51:31:767,145191,0.5,149046,0.7,304438,0.5,398574,2.00 118,1,2024-09-07 09:51:30:655,1049527,1049527,0,0,492341871070,5177536370,1033753,12152,3622,366,392054,0 118,2,2024-09-07 09:51:31:589,756194,756194,0,0,35724006,0,2842 118,3,2024-09-07 09:51:31:769,1,599,1,0,289,7112,599,0 119,0,2024-09-07 09:51:31:368,149821,0.8,150578,0.8,300259,0.9,400249,2.25 119,1,2024-09-07 09:51:30:551,1050276,1050276,0,0,493159948591,5173427797,1035582,12182,2512,367,391857,0 119,2,2024-09-07 09:51:31:267,757895,757895,0,0,33609575,0,4309 119,3,2024-09-07 09:51:31:327,1,599,1,0,1358,11064,599,0 120,0,2024-09-07 09:51:31:556,149354,0.7,149259,0.8,299848,0.7,399353,2.25 120,1,2024-09-07 09:51:30:861,1051344,1051344,0,0,492220712847,5164250526,1039676,10527,1141,367,392144,0 120,2,2024-09-07 09:51:30:770,756719,756716,3,0,40203462,0,5363 120,3,2024-09-07 09:51:31:294,1,599,1,0,279,7277,599,0 121,0,2024-09-07 09:51:31:687,150534,1.1,149951,1.0,300274,1.4,399929,2.25 121,1,2024-09-07 09:51:31:658,1050604,1050604,0,0,492825579264,5158709589,1039317,9734,1553,366,391840,0 121,2,2024-09-07 09:51:31:167,757163,757163,0,0,36698544,0,4157 121,3,2024-09-07 09:51:30:728,1,599,1,0,387,7945,599,0 122,0,2024-09-07 09:51:31:759,149393,0.8,145325,0.9,304525,0.9,400560,2.00 122,1,2024-09-07 09:51:30:870,1049781,1049781,0,0,492085138243,5167405022,1034781,12588,2412,365,392130,0 122,2,2024-09-07 09:51:31:322,757345,757270,75,0,40323697,0,5989 122,3,2024-09-07 09:51:30:631,1,599,9,0,512,9774,599,0 123,0,2024-09-07 09:51:30:951,149861,0.9,145804,0.9,305393,1.0,399775,2.25 123,1,2024-09-07 09:51:30:562,1049722,1049722,0,0,493184556999,5187314493,1032910,14140,2672,369,392039,0 123,2,2024-09-07 09:51:31:023,755070,755069,1,0,34332148,0,5215 123,3,2024-09-07 09:51:31:140,1,599,3,0,478,6875,599,0 124,0,2024-09-07 09:51:30:931,154431,0.3,154429,0.5,291171,0.3,401057,1.75 124,1,2024-09-07 09:51:31:029,1054019,1054019,0,0,493722622180,5132937267,1046285,6559,1175,365,392178,0 124,2,2024-09-07 09:51:31:017,760375,760322,53,0,30988762,0,6487 124,3,2024-09-07 09:51:30:759,1,599,1,0,490,5957,599,0 125,0,2024-09-07 09:51:31:461,149961,0.4,150086,0.5,301038,0.3,400794,1.75 125,1,2024-09-07 09:51:30:859,1050849,1050849,0,0,493163047810,5148351990,1042782,6916,1151,382,391968,0 125,2,2024-09-07 09:51:31:116,760058,760058,0,0,31142480,0,4534 125,3,2024-09-07 09:51:31:133,1,599,4,0,709,6923,599,0 126,0,2024-09-07 09:51:31:425,150491,0.4,154672,0.6,295981,0.4,400747,1.75 126,1,2024-09-07 09:51:30:563,1054515,1054515,0,0,494581788213,5120480373,1049001,5067,447,365,391987,0 126,2,2024-09-07 09:51:30:632,758917,758917,0,0,32377724,0,4539 126,3,2024-09-07 09:51:30:906,1,599,4,0,268,6619,599,0 127,0,2024-09-07 09:51:31:597,150258,0.3,150363,0.5,300036,0.3,399867,1.75 127,1,2024-09-07 09:51:30:573,1052716,1052716,0,0,494161079089,5142436435,1042041,9038,1637,364,392187,0 127,2,2024-09-07 09:51:30:653,758084,758080,4,0,30489408,0,5305 127,3,2024-09-07 09:51:31:266,1,599,1,0,968,5818,599,0 128,0,2024-09-07 09:51:31:532,150739,0.3,150843,0.4,301278,0.2,400827,1.50 128,1,2024-09-07 09:51:31:613,1052670,1052670,0,0,494150235359,5140743157,1044257,7449,964,367,392423,0 128,2,2024-09-07 09:51:31:389,759394,759394,0,0,28384001,0,3171 128,3,2024-09-07 09:51:30:771,1,599,2,0,1082,9544,599,0 129,0,2024-09-07 09:51:31:004,151544,0.3,150764,0.5,302237,0.3,401520,1.50 129,1,2024-09-07 09:51:30:571,1049742,1049742,0,0,492771549913,5165833046,1039279,8441,2022,379,391962,0 129,2,2024-09-07 09:51:30:696,759337,759333,4,0,30966759,0,5335 129,3,2024-09-07 09:51:30:689,1,599,6,0,506,8275,599,0 130,0,2024-09-07 09:51:31:738,150739,0.4,150102,0.5,300989,0.4,400824,1.75 130,1,2024-09-07 09:51:30:655,1053667,1053667,0,0,494327707591,5134765872,1048232,5039,396,381,391825,0 130,2,2024-09-07 09:51:31:124,758337,758337,0,0,31195254,0,4067 130,3,2024-09-07 09:51:31:292,1,599,1,0,960,8289,599,0 131,0,2024-09-07 09:51:31:933,149745,0.3,150195,0.5,301260,0.3,400488,1.75 131,1,2024-09-07 09:51:31:827,1052972,1052972,0,0,494311219258,5153077122,1045747,6027,1198,381,391865,0 131,2,2024-09-07 09:51:30:582,758496,758496,0,0,28903468,0,3979 131,3,2024-09-07 09:51:31:689,1,599,1,0,392,7705,599,0 132,0,2024-09-07 09:51:31:472,150287,0.5,151222,0.6,301172,0.5,400474,2.00 132,1,2024-09-07 09:51:30:578,1048528,1048528,0,0,491769559576,5178358429,1033134,12691,2703,381,392532,0 132,2,2024-09-07 09:51:30:699,757028,757011,17,0,37518023,0,6451 132,3,2024-09-07 09:51:31:691,1,599,1,0,1298,10788,599,0 133,0,2024-09-07 09:51:31:532,146542,0.4,149904,0.6,307315,0.4,399939,2.00 133,1,2024-09-07 09:51:30:654,1049239,1049239,0,0,492617960918,5190565789,1035165,12311,1763,383,391914,0 133,2,2024-09-07 09:51:31:087,757302,757252,50,0,38549053,0,6861 133,3,2024-09-07 09:51:31:304,1,599,36,0,528,7236,599,0 134,0,2024-09-07 09:51:30:937,150520,0.5,150632,0.7,301406,0.5,400774,2.00 134,1,2024-09-07 09:51:30:655,1050230,1050230,0,0,492422762555,5166024849,1036458,11286,2486,366,391781,0 134,2,2024-09-07 09:51:31:761,758134,758110,24,0,35705821,0,6207 134,3,2024-09-07 09:51:30:750,1,599,2,0,739,7433,599,0 135,0,2024-09-07 09:51:31:098,144808,0.8,144804,0.9,307436,0.9,396886,2.25 135,1,2024-09-07 09:51:31:593,1049434,1049434,0,0,492798959713,5181787823,1036418,11376,1640,380,391805,0 135,2,2024-09-07 09:51:30:694,757490,757490,0,0,36482109,0,4503 135,3,2024-09-07 09:51:31:006,1,599,1,0,900,5896,599,0 136,0,2024-09-07 09:51:31:631,150632,0.6,151164,0.8,300063,0.6,400481,2.25 136,1,2024-09-07 09:51:31:445,1051089,1051089,0,0,492988473960,5168589752,1039187,10514,1388,381,392135,0 136,2,2024-09-07 09:51:31:139,758447,758432,15,0,35915987,0,6007 136,3,2024-09-07 09:51:31:111,1,599,2,0,637,6953,599,0 137,0,2024-09-07 09:51:30:954,155313,0.6,151333,0.7,296520,0.6,402472,2.00 137,1,2024-09-07 09:51:30:582,1049941,1049941,0,0,492436425604,5166921667,1033404,13149,3388,366,391898,0 137,2,2024-09-07 09:51:31:704,756675,756675,0,0,37066801,0,3185 137,3,2024-09-07 09:51:30:771,1,599,3,0,484,8247,599,0 138,0,2024-09-07 09:51:31:741,149781,0.7,150168,0.8,300664,0.8,399448,2.00 138,1,2024-09-07 09:51:31:693,1050384,1050384,0,0,493175267386,5174753372,1035613,12501,2270,368,391954,0 138,2,2024-09-07 09:51:30:680,756959,756959,0,0,35370020,0,4988 138,3,2024-09-07 09:51:30:630,1,599,2,0,1200,9420,599,0 139,0,2024-09-07 09:51:31:366,148711,1.1,149285,1.0,298431,1.5,398741,2.25 139,1,2024-09-07 09:51:30:579,1046105,1046105,0,0,489655586655,5193757792,1027718,14562,3825,380,392109,0 139,2,2024-09-07 09:51:30:697,751961,751931,30,0,40662019,0,5997 139,3,2024-09-07 09:51:31:666,1,599,1,0,432,7134,599,0 140,0,2024-09-07 09:51:31:610,150997,0.3,150070,0.5,301198,0.2,401349,1.75 140,1,2024-09-07 09:51:31:537,1056367,1056367,0,0,495727234248,5110328660,1051398,4423,546,364,391606,0 140,2,2024-09-07 09:51:30:688,758389,758388,1,0,28850842,0,5036 140,3,2024-09-07 09:51:30:778,1,599,3,0,575,5999,599,0 141,0,2024-09-07 09:51:31:717,150686,0.3,154890,0.5,296027,0.3,400788,1.75 141,1,2024-09-07 09:51:30:870,1054726,1054726,0,0,495094901322,5143393314,1046527,7006,1193,379,391614,0 141,2,2024-09-07 09:51:31:686,759045,759034,11,0,30981573,0,5369 141,3,2024-09-07 09:51:31:045,1,599,1,0,391,6971,599,0 142,0,2024-09-07 09:51:31:318,151034,0.3,150233,0.5,300766,0.2,401058,1.50 142,1,2024-09-07 09:51:30:670,1052768,1052768,0,0,493816708069,5147546229,1046060,6201,507,382,392102,0 142,2,2024-09-07 09:51:31:301,757181,757149,32,0,31488251,0,6028 142,3,2024-09-07 09:51:31:753,1,599,1,0,484,6371,599,0 143,0,2024-09-07 09:51:31:425,149799,0.4,149838,0.5,300758,0.4,400173,1.75 143,1,2024-09-07 09:51:30:556,1055106,1055106,0,0,493973093083,5125074799,1048641,5897,568,367,391900,0 143,2,2024-09-07 09:51:30:782,758476,758476,0,0,31363248,0,3123 143,3,2024-09-07 09:51:31:141,1,599,0,0,462,7255,599,0 144,0,2024-09-07 09:51:31:495,145098,0.6,149295,0.8,303849,0.6,397930,2.00 144,1,2024-09-07 09:51:30:567,1049377,1049377,0,0,492652487322,5170773274,1038785,8600,1992,381,391733,0 144,2,2024-09-07 09:51:31:761,759148,759148,0,0,30737068,0,4443 144,3,2024-09-07 09:51:31:745,1,599,1,0,249,5946,599,0 145,0,2024-09-07 09:51:31:361,145044,0.6,145021,0.8,307900,0.5,396758,2.25 145,1,2024-09-07 09:51:30:562,1048985,1048985,0,0,492040085985,5178303221,1034963,11349,2673,382,391781,0 145,2,2024-09-07 09:51:31:435,756100,756018,82,0,36395128,0,7814 145,3,2024-09-07 09:51:30:903,1,599,17,0,622,7952,599,0 146,0,2024-09-07 09:51:31:596,149959,0.5,149363,0.7,300118,0.5,398691,2.00 146,1,2024-09-07 09:51:31:604,1050136,1050136,0,0,492370971424,5182394812,1032636,13019,4481,367,391770,0 146,2,2024-09-07 09:51:31:699,755909,755903,6,0,34794290,0,5151 146,3,2024-09-07 09:51:31:273,1,599,1,0,1520,9779,599,0 147,0,2024-09-07 09:51:31:707,150216,0.6,149950,0.7,299651,0.5,400303,2.00 147,1,2024-09-07 09:51:31:372,1053921,1053921,0,0,494423918978,5138196053,1045430,7524,967,367,391791,0 147,2,2024-09-07 09:51:31:013,757983,757983,0,0,30946493,0,2968 147,3,2024-09-07 09:51:30:913,1,599,5,0,1626,9592,599,0 0,0,2024-09-07 09:51:41:717,146134,0.6,146115,0.7,310205,0.6,400405,2.00 0,1,2024-09-07 09:51:40:804,1052462,1052462,0,0,494281292056,5174771247,1043923,7593,946,368,391896,0 0,2,2024-09-07 09:51:41:069,759734,759734,0,0,30979562,0,4480 0,3,2024-09-07 09:51:40:985,1,600,4,0,431,8675,600,0 1,0,2024-09-07 09:51:41:762,150381,0.8,149325,0.9,300068,1.0,400355,2.00 1,1,2024-09-07 09:51:40:561,1051854,1051854,0,0,493004876504,5164835157,1042388,7976,1490,370,391859,0 1,2,2024-09-07 09:51:40:643,759519,759519,0,0,30458305,0,3380 1,3,2024-09-07 09:51:41:301,1,600,15,0,269,7492,600,0 2,0,2024-09-07 09:51:41:570,150115,0.6,149988,0.7,299666,0.6,399983,2.00 2,1,2024-09-07 09:51:40:871,1055086,1055086,0,0,495603328566,5153580813,1048911,5225,950,379,391745,0 2,2,2024-09-07 09:51:41:268,758336,758336,0,0,29044615,0,3594 2,3,2024-09-07 09:51:40:695,1,600,27,0,357,5433,600,0 3,0,2024-09-07 09:51:41:751,150443,0.4,150422,0.6,300260,0.4,400021,2.00 3,1,2024-09-07 09:51:41:622,1053500,1053500,0,0,495031640321,5149151974,1045889,6907,704,379,391716,0 3,2,2024-09-07 09:51:41:158,759815,759792,23,0,30140151,0,5851 3,3,2024-09-07 09:51:41:752,1,600,4,0,484,4602,600,0 4,0,2024-09-07 09:51:41:840,146203,0.4,150300,0.5,306285,0.3,400833,1.75 4,1,2024-09-07 09:51:40:593,1049217,1049217,0,0,491926012199,5207119268,1031410,14053,3754,370,391992,0 4,2,2024-09-07 09:51:41:037,756531,756531,0,0,36890558,0,4534 4,3,2024-09-07 09:51:41:037,1,600,2,0,448,7339,600,0 5,0,2024-09-07 09:51:41:405,150724,0.4,150680,0.5,301401,0.3,401133,1.75 5,1,2024-09-07 09:51:40:755,1050007,1050007,0,0,493591014510,5211578952,1033678,12204,4125,367,392005,0 5,2,2024-09-07 09:51:41:844,756091,756091,0,0,35658354,0,3582 5,3,2024-09-07 09:51:41:745,1,600,43,0,457,8242,600,0 6,0,2024-09-07 09:51:40:924,150639,0.5,150304,0.6,300811,0.4,400631,2.00 6,1,2024-09-07 09:51:40:752,1052907,1052907,0,0,493985455006,5160100366,1041832,9502,1573,379,391702,0 6,2,2024-09-07 09:51:41:123,758610,758592,18,0,34600626,0,5535 6,3,2024-09-07 09:51:41:274,1,600,39,0,710,7375,600,0 7,0,2024-09-07 09:51:41:531,149787,0.5,150725,0.7,299283,0.5,400215,2.00 7,1,2024-09-07 09:51:40:850,1050456,1050456,0,0,492979099943,5191671712,1034132,12558,3766,382,391747,0 7,2,2024-09-07 09:51:40:770,758466,758466,0,0,33297316,0,4791 7,3,2024-09-07 09:51:40:859,1,600,89,0,552,7087,600,0 8,0,2024-09-07 09:51:41:363,150818,0.4,150479,0.5,301409,0.3,401769,1.75 8,1,2024-09-07 09:51:41:036,1049999,1049999,0,0,493271088105,5188666356,1033282,13130,3587,366,392853,0 8,2,2024-09-07 09:51:40:792,754516,754514,2,0,39528358,0,5112 8,3,2024-09-07 09:51:40:593,1,600,5,0,772,9574,600,0 9,0,2024-09-07 09:51:41:113,150340,0.4,146296,0.5,306026,0.3,401150,1.75 9,1,2024-09-07 09:51:40:551,1050015,1050015,0,0,492402624411,5191452673,1033329,13460,3226,369,392001,0 9,2,2024-09-07 09:51:41:092,757209,757208,1,0,37334643,0,5281 9,3,2024-09-07 09:51:41:753,1,600,8,0,1273,10236,600,0 10,0,2024-09-07 09:51:41:616,150052,0.3,149456,0.5,299937,0.3,399596,1.75 10,1,2024-09-07 09:51:40:583,1051626,1051626,0,0,493627609360,5180195468,1035905,12657,3064,381,391981,0 10,2,2024-09-07 09:51:40:770,758395,758395,0,0,39958868,0,4713 10,3,2024-09-07 09:51:40:872,1,600,1,0,669,6792,600,0 11,0,2024-09-07 09:51:41:006,149952,0.4,145489,0.6,304287,0.4,401008,1.75 11,1,2024-09-07 09:51:40:572,1053146,1053146,0,0,494085619913,5184320043,1037323,11520,4303,383,391766,0 11,2,2024-09-07 09:51:41:123,757699,757699,0,0,35407415,0,4698 11,3,2024-09-07 09:51:41:299,1,600,0,0,843,7799,600,0 12,0,2024-09-07 09:51:40:966,151286,0.4,151261,0.6,301979,0.4,401476,1.75 12,1,2024-09-07 09:51:40:938,1053022,1053022,0,0,494031669652,5152605775,1044647,7367,1008,370,391960,0 12,2,2024-09-07 09:51:41:541,757455,757455,0,0,34195376,0,4390 12,3,2024-09-07 09:51:41:073,1,600,7,0,386,7559,600,0 13,0,2024-09-07 09:51:41:342,151238,0.4,151356,0.5,301757,0.4,401879,1.75 13,1,2024-09-07 09:51:41:528,1050388,1050388,0,0,493609903431,5188640070,1039501,8497,2390,382,391803,0 13,2,2024-09-07 09:51:40:595,760115,760115,0,0,30585694,0,3287 13,3,2024-09-07 09:51:41:763,1,600,1,0,522,7964,600,0 14,0,2024-09-07 09:51:40:559,150217,0.4,151307,0.6,300335,0.3,400019,1.75 14,1,2024-09-07 09:51:41:561,1057593,1057593,0,0,496160334457,5134736817,1049138,7326,1129,364,391673,0 14,2,2024-09-07 09:51:40:770,760088,760058,30,0,32012483,0,6104 14,3,2024-09-07 09:51:41:122,1,600,0,0,1168,6566,600,0 15,0,2024-09-07 09:51:41:554,149990,0.4,149157,0.6,299094,0.4,399302,2.00 15,1,2024-09-07 09:51:41:609,1054625,1054625,0,0,494685423368,5152249525,1045551,7161,1913,381,391619,0 15,2,2024-09-07 09:51:41:004,760048,760048,0,0,27686656,0,3622 15,3,2024-09-07 09:51:41:413,1,600,0,0,1126,7883,600,0 16,0,2024-09-07 09:51:40:962,150231,0.6,150886,0.8,301266,0.6,400849,2.00 16,1,2024-09-07 09:51:40:571,1054444,1054444,0,0,494167739093,5166990390,1045011,7989,1444,370,392194,0 16,2,2024-09-07 09:51:41:434,757785,757785,0,0,31561429,0,4719 16,3,2024-09-07 09:51:41:144,1,600,1,0,358,7566,600,0 17,0,2024-09-07 09:51:41:901,155125,0.6,151236,0.8,296283,0.6,402611,2.00 17,1,2024-09-07 09:51:40:571,1052299,1052299,0,0,493028210722,5172230644,1042009,8518,1772,368,392075,0 17,2,2024-09-07 09:51:41:673,761700,761699,1,0,32851158,0,5050 17,3,2024-09-07 09:51:40:574,1,600,5,0,518,8786,600,0 18,0,2024-09-07 09:51:40:939,149372,0.6,150296,0.8,299099,0.6,399580,2.25 18,1,2024-09-07 09:51:41:642,1056693,1056693,0,0,495309581821,5137892418,1049631,5805,1257,367,391725,0 18,2,2024-09-07 09:51:41:760,761872,761872,0,0,29212609,0,3541 18,3,2024-09-07 09:51:40:914,1,600,0,0,1059,5557,600,0 19,0,2024-09-07 09:51:41:541,150013,0.6,150179,0.8,299094,0.6,398306,2.00 19,1,2024-09-07 09:51:40:569,1056526,1056526,0,0,496028086728,5141640341,1048726,6667,1133,366,391777,0 19,2,2024-09-07 09:51:41:753,761157,761157,0,0,27638466,0,3988 19,3,2024-09-07 09:51:41:142,1,600,1,0,524,4610,600,0 20,0,2024-09-07 09:51:41:404,150509,0.6,150386,0.7,300551,0.6,400682,2.00 20,1,2024-09-07 09:51:40:613,1052071,1052071,0,0,493552797970,5172780687,1041338,9226,1507,369,391922,0 20,2,2024-09-07 09:51:40:933,758394,758394,0,0,34185037,0,4321 20,3,2024-09-07 09:51:40:589,1,600,22,0,468,9722,600,0 21,0,2024-09-07 09:51:41:160,150691,0.5,150825,0.6,301299,0.4,400541,2.00 21,1,2024-09-07 09:51:41:537,1050380,1050380,0,0,492626936163,5201076399,1033512,13033,3835,368,392016,0 21,2,2024-09-07 09:51:41:070,753891,753414,477,0,44906041,0,17074 21,3,2024-09-07 09:51:41:404,1,600,5,0,713,8650,600,0 22,0,2024-09-07 09:51:41:727,150261,0.5,150873,0.6,300981,0.4,399507,2.00 22,1,2024-09-07 09:51:41:042,1051416,1051416,0,0,492033435778,5180123059,1033600,14276,3540,382,391822,0 22,2,2024-09-07 09:51:40:770,757196,757170,26,0,32647922,0,6328 22,3,2024-09-07 09:51:41:066,1,600,0,0,228,5037,600,0 23,0,2024-09-07 09:51:41:367,150037,0.5,149763,0.7,299528,0.5,399755,2.00 23,1,2024-09-07 09:51:41:003,1051420,1051420,0,0,494378209406,5210297011,1031947,12895,6578,365,391690,0 23,2,2024-09-07 09:51:41:093,759414,759414,0,0,31328541,0,3773 23,3,2024-09-07 09:51:41:761,1,600,1,0,855,8821,600,0 24,0,2024-09-07 09:51:40:824,150730,0.4,150018,0.6,301716,0.4,400484,1.75 24,1,2024-09-07 09:51:40:584,1051785,1051785,0,0,493289534701,5164232846,1041721,8355,1709,367,392269,0 24,2,2024-09-07 09:51:41:080,758634,758631,3,0,37890386,0,6294 24,3,2024-09-07 09:51:41:686,1,600,1,0,468,7681,600,0 25,0,2024-09-07 09:51:41:382,154524,0.4,150406,0.6,295352,0.4,402627,2.00 25,1,2024-09-07 09:51:40:574,1049942,1049942,0,0,492525043547,5205102626,1031184,15048,3710,369,391928,0 25,2,2024-09-07 09:51:41:617,756636,756636,0,0,38448831,0,3978 25,3,2024-09-07 09:51:41:007,1,600,1,0,532,6517,600,0 26,0,2024-09-07 09:51:41:721,149510,0.4,146148,0.6,306957,0.4,399469,1.75 26,1,2024-09-07 09:51:41:541,1053735,1053735,0,0,493744398716,5170261221,1039399,11803,2533,380,391748,0 26,2,2024-09-07 09:51:40:861,757753,757753,0,0,41168412,0,4689 26,3,2024-09-07 09:51:41:713,1,600,1,0,796,7568,600,0 27,0,2024-09-07 09:51:41:724,150387,0.4,150987,0.6,300292,0.4,400758,2.25 27,1,2024-09-07 09:51:41:680,1054181,1054181,0,0,494986197902,5159422022,1043783,8789,1609,381,391626,0 27,2,2024-09-07 09:51:40:873,755853,755788,65,0,35904179,0,5699 27,3,2024-09-07 09:51:41:015,1,600,0,0,564,5199,600,0 28,0,2024-09-07 09:51:41:395,150239,0.4,150322,0.6,300995,0.3,401248,1.75 28,1,2024-09-07 09:51:40:799,1055061,1055061,0,0,495762176046,5168315917,1046124,7046,1891,382,391904,0 28,2,2024-09-07 09:51:41:764,759047,759047,0,0,30899794,0,2915 28,3,2024-09-07 09:51:41:791,1,600,1,0,502,6007,600,0 29,0,2024-09-07 09:51:41:355,154646,0.4,150526,0.6,295474,0.4,402600,1.75 29,1,2024-09-07 09:51:41:561,1057989,1057989,0,0,495848788826,5128606858,1051631,5582,776,367,391809,0 29,2,2024-09-07 09:51:40:861,758398,758398,0,0,30075583,0,4986 29,3,2024-09-07 09:51:40:968,1,600,1,0,459,6502,600,0 30,0,2024-09-07 09:51:41:459,149558,0.5,145680,0.7,304939,0.4,398279,2.00 30,1,2024-09-07 09:51:40:579,1057310,1057310,0,0,496602572099,5151805901,1049740,6660,910,380,391672,0 30,2,2024-09-07 09:51:41:274,759836,759836,0,0,28699377,0,4192 30,3,2024-09-07 09:51:40:582,1,600,0,0,519,5603,600,0 31,0,2024-09-07 09:51:41:760,150063,0.4,150833,0.6,300574,0.4,400958,1.75 31,1,2024-09-07 09:51:40:625,1060375,1060375,0,0,496596335694,5100150481,1053918,5383,1074,356,391712,0 31,2,2024-09-07 09:51:41:275,758666,758666,0,0,32237797,0,4470 31,3,2024-09-07 09:51:41:706,1,600,2,0,239,5173,600,0 32,0,2024-09-07 09:51:41:422,150388,0.3,151366,0.5,301183,0.3,401558,1.75 32,1,2024-09-07 09:51:40:804,1056513,1056513,0,0,495494972660,5149328816,1050335,5460,718,381,391646,0 32,2,2024-09-07 09:51:40:941,760119,760119,0,0,28459735,0,3922 32,3,2024-09-07 09:51:41:016,1,600,0,0,304,4901,600,0 33,0,2024-09-07 09:51:41:495,151032,0.3,150716,0.4,301641,0.2,401040,1.50 33,1,2024-09-07 09:51:40:575,1056771,1056771,0,0,496512004799,5143496652,1048324,7174,1273,368,391730,0 33,2,2024-09-07 09:51:40:761,759850,759815,35,0,31580454,0,7012 33,3,2024-09-07 09:51:40:913,1,600,1,0,329,5279,600,0 34,0,2024-09-07 09:51:40:931,150824,0.3,154921,0.5,296305,0.2,400113,1.75 34,1,2024-09-07 09:51:41:053,1058738,1058738,0,0,496759582829,5110712901,1055777,2851,110,367,391637,0 34,2,2024-09-07 09:51:40:767,760415,760415,0,0,30169043,0,4562 34,3,2024-09-07 09:51:41:688,1,600,1,0,541,5505,600,0 35,0,2024-09-07 09:51:40:864,149774,0.3,150597,0.5,302144,0.2,401697,1.75 35,1,2024-09-07 09:51:41:069,1054809,1054809,0,0,495443139241,5142752308,1046700,6586,1523,382,391769,0 35,2,2024-09-07 09:51:41:589,759247,759247,0,0,33024536,0,4055 35,3,2024-09-07 09:51:40:921,1,600,0,0,466,5679,600,0 36,0,2024-09-07 09:51:41:515,150939,0.5,150949,0.7,301318,0.4,401474,2.00 36,1,2024-09-07 09:51:40:583,1053616,1053616,0,0,494773805759,5167380298,1040039,11337,2240,366,391759,0 36,2,2024-09-07 09:51:41:754,758821,758821,0,0,34249703,0,3875 36,3,2024-09-07 09:51:40:872,1,600,2,0,556,8232,600,0 37,0,2024-09-07 09:51:41:383,149590,0.5,149707,0.7,299588,0.5,400537,2.25 37,1,2024-09-07 09:51:40:577,1053699,1053692,0,7,494737936641,5166891629,1041045,9734,2913,365,391770,0 37,2,2024-09-07 09:51:41:143,756484,756469,15,0,34266926,0,5815 37,3,2024-09-07 09:51:41:772,1,600,13,0,888,8024,600,0 38,0,2024-09-07 09:51:41:466,149594,0.5,145040,0.7,303312,0.4,397580,2.00 38,1,2024-09-07 09:51:41:619,1053233,1053233,0,0,495240925469,5181051637,1037906,12328,2999,368,391821,0 38,2,2024-09-07 09:51:40:772,758852,758805,47,0,34544769,0,6710 38,3,2024-09-07 09:51:41:003,1,600,2,0,689,7406,600,0 39,0,2024-09-07 09:51:41:762,153776,0.5,150311,0.7,293168,0.5,400065,2.00 39,1,2024-09-07 09:51:40:717,1052927,1052927,0,0,493886968337,5179020221,1035482,13730,3715,365,391865,0 39,2,2024-09-07 09:51:41:417,758825,758825,0,0,32520727,0,3391 39,3,2024-09-07 09:51:40:720,1,600,1,0,525,6673,600,0 40,0,2024-09-07 09:51:41:497,148720,0.8,149408,1.0,298203,0.9,397831,2.75 40,1,2024-09-07 09:51:40:580,1053562,1053562,0,0,493090800204,5167113365,1037956,12538,3068,368,391668,0 40,2,2024-09-07 09:51:41:309,756319,756312,7,0,38988201,0,5347 40,3,2024-09-07 09:51:41:152,1,600,1,0,1028,8859,600,0 41,0,2024-09-07 09:51:41:029,149161,1.3,152636,1.2,291060,1.9,396012,3.25 41,1,2024-09-07 09:51:40:770,1052981,1052981,0,0,494028961349,5169830861,1039212,11610,2159,369,391878,0 41,2,2024-09-07 09:51:40:758,755664,755663,1,0,37176370,0,5408 41,3,2024-09-07 09:51:41:676,1,600,1,0,366,6470,600,0 42,0,2024-09-07 09:51:41:476,149243,1.0,148794,1.1,297861,1.2,395402,2.75 42,1,2024-09-07 09:51:41:439,1050610,1050610,0,0,492613320328,5177652573,1034119,13559,2932,380,391675,0 42,2,2024-09-07 09:51:41:136,756645,756644,1,0,37457041,0,5513 42,3,2024-09-07 09:51:41:009,1,600,1,0,892,5638,600,0 43,0,2024-09-07 09:51:40:937,148924,0.7,145023,0.9,303459,0.7,396846,2.25 43,1,2024-09-07 09:51:40:585,1054238,1054238,0,0,494410728430,5167513303,1039499,12167,2572,366,391696,0 43,2,2024-09-07 09:51:41:737,758534,758534,0,0,35418947,0,4723 43,3,2024-09-07 09:51:41:750,1,600,1,0,571,8819,600,0 44,0,2024-09-07 09:51:40:873,150671,0.4,150548,0.6,301401,0.3,400547,1.75 44,1,2024-09-07 09:51:40:570,1057155,1057155,0,0,495196496943,5119474468,1047788,7426,1941,356,391809,0 44,2,2024-09-07 09:51:41:268,757766,757766,0,0,28796437,0,4344 44,3,2024-09-07 09:51:41:095,1,600,1,0,1097,7416,600,0 45,0,2024-09-07 09:51:41:763,148243,0.5,144828,0.7,304163,0.4,399517,2.00 45,1,2024-09-07 09:51:41:016,1055788,1055788,0,0,495840715099,5152358509,1047535,7350,903,382,391917,0 45,2,2024-09-07 09:51:41:270,759323,759323,0,0,29144226,0,3596 45,3,2024-09-07 09:51:40:934,1,600,1,0,531,5738,600,0 46,0,2024-09-07 09:51:40:952,149591,0.6,149133,0.8,299254,0.6,397401,2.25 46,1,2024-09-07 09:51:40:574,1058020,1058020,0,0,496692453211,5134379769,1050528,6504,988,366,391709,0 46,2,2024-09-07 09:51:40:595,759633,759633,0,0,29835778,0,4443 46,3,2024-09-07 09:51:41:136,1,600,1,0,908,7178,600,0 47,0,2024-09-07 09:51:41:109,150913,0.4,150813,0.6,302689,0.4,400867,2.00 47,1,2024-09-07 09:51:40:570,1058585,1058585,0,0,496493542062,5129031810,1052487,5236,862,364,391641,0 47,2,2024-09-07 09:51:40:908,762732,762732,0,0,28889880,0,4477 47,3,2024-09-07 09:51:41:122,1,600,0,0,600,6591,600,0 48,0,2024-09-07 09:51:41:498,151088,0.3,151075,0.4,301903,0.2,401398,1.50 48,1,2024-09-07 09:51:41:028,1056272,1056272,0,0,494855713035,5139380357,1050172,5522,578,381,391710,0 48,2,2024-09-07 09:51:40:698,759921,759921,0,0,27457564,0,3524 48,3,2024-09-07 09:51:40:759,1,600,2,0,339,5358,600,0 49,0,2024-09-07 09:51:41:723,154482,0.3,151388,0.5,294662,0.3,402460,1.75 49,1,2024-09-07 09:51:41:029,1055054,1055054,0,0,495088843635,5150449128,1047783,5575,1696,382,391809,0 49,2,2024-09-07 09:51:41:806,759908,759908,0,0,29688606,0,4426 49,3,2024-09-07 09:51:41:420,1,600,10,0,992,7165,600,0 50,0,2024-09-07 09:51:41:507,151132,0.3,149455,0.5,301217,0.2,400529,1.75 50,1,2024-09-07 09:51:41:019,1058228,1058228,0,0,497271196103,5140466784,1051702,5857,669,368,391691,0 50,2,2024-09-07 09:51:41:068,757793,757793,0,0,27515281,0,4490 50,3,2024-09-07 09:51:41:291,1,600,1,0,617,6165,600,0 51,0,2024-09-07 09:51:41:683,154696,0.3,151394,0.5,294732,0.2,402287,1.75 51,1,2024-09-07 09:51:41:680,1058798,1058798,0,0,497738723032,5132521533,1053139,4578,1081,365,391706,0 51,2,2024-09-07 09:51:41:315,760101,760101,0,0,27049935,0,3337 51,3,2024-09-07 09:51:41:027,1,600,1,0,678,4308,600,0 52,0,2024-09-07 09:51:41:418,150942,0.5,150508,0.6,301485,0.4,400497,2.00 52,1,2024-09-07 09:51:40:581,1053735,1053735,0,0,494362965087,5174354538,1038712,12693,2330,368,391805,0 52,2,2024-09-07 09:51:41:761,755598,755560,38,0,36453926,0,6742 52,3,2024-09-07 09:51:40:675,1,600,2,0,1782,7100,600,0 53,0,2024-09-07 09:51:41:731,149714,0.7,145346,0.8,304113,0.7,398856,2.50 53,1,2024-09-07 09:51:40:774,1052029,1052029,0,0,493531532830,5180404224,1033845,13789,4395,367,391968,0 53,2,2024-09-07 09:51:41:298,758400,758399,1,0,32805616,0,5455 53,3,2024-09-07 09:51:40:697,1,600,1,0,308,5594,600,0 54,0,2024-09-07 09:51:41:620,148258,0.6,148426,0.8,295670,0.5,394982,2.25 54,1,2024-09-07 09:51:40:580,1054956,1054956,0,0,495605062710,5155520621,1043843,9442,1671,366,391810,0 54,2,2024-09-07 09:51:40:868,759602,759570,32,0,36562542,0,6397 54,3,2024-09-07 09:51:40:764,1,600,1,0,676,7826,600,0 55,0,2024-09-07 09:51:41:760,145020,0.7,149661,0.8,303282,0.6,395365,2.50 55,1,2024-09-07 09:51:40:776,1055321,1055321,0,0,495581025086,5154276382,1044463,9469,1389,365,391731,0 55,2,2024-09-07 09:51:40:736,757107,757051,56,0,33974223,0,7239 55,3,2024-09-07 09:51:40:674,1,600,0,0,304,5520,600,0 56,0,2024-09-07 09:51:41:562,153125,1.1,144237,1.1,297354,1.5,398032,2.75 56,1,2024-09-07 09:51:40:592,1048703,1048703,0,0,492716527505,5212786916,1030736,14278,3689,381,391867,0 56,2,2024-09-07 09:51:41:303,757053,756931,122,0,36158286,0,7432 56,3,2024-09-07 09:51:41:059,1,600,1,0,705,6943,600,0 57,0,2024-09-07 09:51:40:934,148700,1.4,148417,1.2,297292,1.9,397206,3.00 57,1,2024-09-07 09:51:41:002,1051215,1051215,0,0,493585383525,5182412507,1036792,11933,2490,366,392032,0 57,2,2024-09-07 09:51:41:316,758172,758172,0,0,37788266,0,4804 57,3,2024-09-07 09:51:41:740,1,600,8,0,455,7048,600,0 58,0,2024-09-07 09:51:40:567,147898,1.0,143698,1.0,300478,1.2,393562,2.75 58,1,2024-09-07 09:51:40:588,1052990,1052987,0,3,494260171154,5182639193,1036842,12244,3901,367,391726,3 58,2,2024-09-07 09:51:41:071,758956,758956,0,0,35476757,0,3483 58,3,2024-09-07 09:51:41:069,1,600,1,0,1043,6721,600,0 59,0,2024-09-07 09:51:41:747,148915,0.9,148477,1.0,296614,1.0,393638,3.00 59,1,2024-09-07 09:51:40:804,1051645,1051645,0,0,494023836683,5193023898,1033824,14153,3668,369,391653,0 59,2,2024-09-07 09:51:40:585,758484,758484,0,0,33552717,0,3727 59,3,2024-09-07 09:51:41:740,1,600,1,0,1015,7353,600,0 60,0,2024-09-07 09:51:41:712,150198,0.5,150389,0.7,300973,0.5,400628,1.75 60,1,2024-09-07 09:51:40:786,1056689,1056689,0,0,495551827029,5150303712,1048736,6866,1087,370,392031,0 60,2,2024-09-07 09:51:41:146,759064,759064,0,0,31727724,0,3811 60,3,2024-09-07 09:51:41:274,1,600,2,0,409,6977,600,0 61,0,2024-09-07 09:51:41:520,150264,0.6,150806,0.8,300177,0.6,400321,2.00 61,1,2024-09-07 09:51:40:777,1053376,1053376,0,0,494770002926,5179391870,1042251,9446,1679,382,392127,0 61,2,2024-09-07 09:51:41:144,759593,759526,67,0,32322901,0,6411 61,3,2024-09-07 09:51:41:691,1,600,0,0,607,7828,600,0 62,0,2024-09-07 09:51:41:706,150877,0.6,154630,0.7,295322,0.6,401593,2.00 62,1,2024-09-07 09:51:41:124,1059951,1059945,0,6,497287290676,5126747431,1054978,4668,299,365,391975,6 62,2,2024-09-07 09:51:41:644,756693,756692,1,0,32313127,0,5555 62,3,2024-09-07 09:51:41:143,1,600,8,0,482,4819,600,0 63,0,2024-09-07 09:51:41:451,151073,0.4,150833,0.6,302144,0.4,401289,1.75 63,1,2024-09-07 09:51:40:813,1056100,1056094,0,6,495793117712,5148287539,1049248,5991,855,381,391800,6 63,2,2024-09-07 09:51:40:761,759169,759169,0,0,30698633,0,4369 63,3,2024-09-07 09:51:41:734,1,600,1,0,667,6188,600,0 64,0,2024-09-07 09:51:41:539,149880,0.5,149716,0.6,299237,0.4,398760,2.00 64,1,2024-09-07 09:51:40:758,1055364,1055364,0,0,495399810659,5160338421,1046024,7363,1977,370,391794,0 64,2,2024-09-07 09:51:41:145,763407,763388,19,0,29838889,0,6121 64,3,2024-09-07 09:51:41:152,1,600,16,0,651,6895,600,0 65,0,2024-09-07 09:51:41:735,149424,0.6,149766,0.7,299179,0.6,399031,2.00 65,1,2024-09-07 09:51:40:864,1053396,1053396,0,0,493167037437,5155089246,1045917,6606,873,381,391901,0 65,2,2024-09-07 09:51:41:697,758390,758390,0,0,35823770,0,3367 65,3,2024-09-07 09:51:41:683,1,600,7,0,782,6699,600,0 66,0,2024-09-07 09:51:41:769,149984,0.5,149526,0.7,299253,0.5,398603,2.00 66,1,2024-09-07 09:51:41:295,1055926,1055926,0,0,495101145615,5151810287,1049424,5780,722,380,391743,0 66,2,2024-09-07 09:51:41:137,761122,761119,3,0,31829586,0,5455 66,3,2024-09-07 09:51:41:079,1,600,14,0,291,5104,600,0 67,0,2024-09-07 09:51:41:415,150300,0.5,149859,0.7,300802,0.5,401069,2.00 67,1,2024-09-07 09:51:40:767,1055020,1055019,0,1,496223298801,5166390615,1047620,6454,945,380,391787,1 67,2,2024-09-07 09:51:40:590,761485,761470,15,0,31626428,0,6205 67,3,2024-09-07 09:51:41:753,1,600,3,0,595,6306,600,0 68,0,2024-09-07 09:51:40:601,150556,0.6,150278,0.7,299347,0.6,400882,2.00 68,1,2024-09-07 09:51:40:589,1050820,1050820,0,0,492745465690,5181113767,1037027,10263,3530,381,391953,0 68,2,2024-09-07 09:51:41:053,755288,755188,100,0,39631452,0,8578 68,3,2024-09-07 09:51:40:729,1,600,1,0,417,7773,600,0 69,0,2024-09-07 09:51:41:747,149784,0.6,150524,0.8,300062,0.6,398770,2.25 69,1,2024-09-07 09:51:41:016,1049703,1049703,0,0,492892173433,5194653410,1035839,11031,2833,383,391994,0 69,2,2024-09-07 09:51:41:745,756123,756094,29,0,42052723,0,6912 69,3,2024-09-07 09:51:40:764,1,600,28,0,698,8648,600,0 70,0,2024-09-07 09:51:41:531,148444,0.7,149033,0.9,299464,0.6,396717,2.50 70,1,2024-09-07 09:51:40:801,1056742,1056742,0,0,496162542700,5148106795,1048830,7105,807,366,391725,0 70,2,2024-09-07 09:51:41:330,758852,758852,0,0,34753786,0,4323 70,3,2024-09-07 09:51:40:749,1,600,12,0,854,6615,600,0 71,0,2024-09-07 09:51:41:356,148797,1.0,148479,1.0,298015,1.3,398036,2.75 71,1,2024-09-07 09:51:41:596,1054332,1054332,0,0,494376309933,5160475533,1041343,11368,1621,368,391738,0 71,2,2024-09-07 09:51:41:067,758259,758259,0,0,34927837,0,4352 71,3,2024-09-07 09:51:41:753,1,600,2,0,644,6750,600,0 72,0,2024-09-07 09:51:41:052,155106,0.6,151558,0.7,295770,0.5,402336,2.00 72,1,2024-09-07 09:51:41:029,1052253,1052253,0,0,493750193254,5180434515,1036955,12783,2515,369,391819,0 72,2,2024-09-07 09:51:41:757,756836,756836,0,0,36536840,0,3983 72,3,2024-09-07 09:51:41:762,1,600,9,0,564,8840,600,0 73,0,2024-09-07 09:51:41:170,146420,0.4,150275,0.6,307160,0.4,399387,2.00 73,1,2024-09-07 09:51:40:780,1054000,1054000,0,0,495064676880,5147002303,1045597,7421,982,367,391858,0 73,2,2024-09-07 09:51:41:747,757998,757997,1,0,38069989,0,5027 73,3,2024-09-07 09:51:40:968,1,600,1,0,1091,8371,600,0 74,0,2024-09-07 09:51:41:320,151407,0.5,155021,0.6,295703,0.4,400771,2.00 74,1,2024-09-07 09:51:40:635,1053241,1053241,0,0,493979318534,5158409387,1041338,9787,2116,381,391762,0 74,2,2024-09-07 09:51:41:007,758203,758203,0,0,33264082,0,4253 74,3,2024-09-07 09:51:41:444,1,600,6,0,522,7426,600,0 75,0,2024-09-07 09:51:41:778,150236,0.5,149276,0.7,299667,0.5,400890,2.25 75,1,2024-09-07 09:51:41:589,1054442,1054442,0,0,494648776820,5155844268,1045821,7753,868,380,391739,0 75,2,2024-09-07 09:51:41:354,757089,757089,0,0,40297021,0,4766 75,3,2024-09-07 09:51:41:068,1,600,10,0,918,8164,600,0 76,0,2024-09-07 09:51:40:643,149918,0.6,149278,0.8,298607,0.6,399157,2.25 76,1,2024-09-07 09:51:40:815,1054306,1054306,0,0,494436689784,5156283999,1046977,6338,991,382,391790,0 76,2,2024-09-07 09:51:41:060,760926,760923,3,0,32649237,0,5265 76,3,2024-09-07 09:51:41:146,1,600,1,0,227,5460,600,0 77,0,2024-09-07 09:51:41:724,150127,0.5,150649,0.7,301161,0.5,400168,2.00 77,1,2024-09-07 09:51:40:840,1053916,1053916,0,0,495260511653,5166429059,1045937,7104,875,381,391869,0 77,2,2024-09-07 09:51:41:283,758658,758658,0,0,31795201,0,3890 77,3,2024-09-07 09:51:41:095,1,600,8,0,401,6825,600,0 78,0,2024-09-07 09:51:41:715,151262,0.4,150596,0.6,302077,0.4,399990,2.00 78,1,2024-09-07 09:51:40:610,1054335,1054335,0,0,494577958126,5159102551,1041495,9923,2917,367,391670,0 78,2,2024-09-07 09:51:41:405,760788,760775,13,0,29757956,0,8313 78,3,2024-09-07 09:51:41:143,1,600,0,0,311,5068,600,0 79,0,2024-09-07 09:51:41:350,145262,0.4,148723,0.6,304660,0.3,397246,2.00 79,1,2024-09-07 09:51:40:579,1057871,1057871,0,0,496650438502,5141032849,1050027,6484,1360,367,391682,0 79,2,2024-09-07 09:51:41:068,758759,758759,0,0,28872072,0,4195 79,3,2024-09-07 09:51:40:754,1,600,0,0,418,7162,600,0 80,0,2024-09-07 09:51:41:159,150326,0.6,154463,0.7,295313,0.6,399535,2.00 80,1,2024-09-07 09:51:41:627,1054255,1054255,0,0,494054211814,5145770505,1047102,6702,451,368,392269,0 80,2,2024-09-07 09:51:41:128,761152,761152,0,0,29530797,0,4433 80,3,2024-09-07 09:51:40:578,1,600,5,0,681,7387,600,0 81,0,2024-09-07 09:51:41:595,150640,0.5,154099,0.7,294282,0.5,399488,2.00 81,1,2024-09-07 09:51:41:666,1053085,1053085,0,0,494260870226,5164884038,1044925,7394,766,382,391885,0 81,2,2024-09-07 09:51:41:147,758989,758926,63,0,32798274,0,5932 81,3,2024-09-07 09:51:41:147,1,600,1,0,719,6992,600,0 82,0,2024-09-07 09:51:41:529,150309,0.5,150392,0.7,301559,0.4,399508,2.00 82,1,2024-09-07 09:51:40:583,1055824,1055820,0,4,495113006435,5146656832,1050251,4746,823,381,391768,4 82,2,2024-09-07 09:51:41:691,760857,760857,0,0,27769986,0,4484 82,3,2024-09-07 09:51:41:766,1,600,1,0,363,5695,600,0 83,0,2024-09-07 09:51:41:525,150425,0.6,150355,0.7,300207,0.6,399106,2.00 83,1,2024-09-07 09:51:40:563,1054184,1054184,0,0,494343816010,5154017878,1046598,6941,645,382,391709,0 83,2,2024-09-07 09:51:40:774,758769,758744,25,0,29901712,0,5612 83,3,2024-09-07 09:51:40:757,1,600,0,0,1260,7205,600,0 84,0,2024-09-07 09:51:41:775,148287,0.8,148397,0.9,296735,0.7,396531,2.25 84,1,2024-09-07 09:51:41:049,1052341,1052341,0,0,494218889416,5169692879,1040092,10576,1673,367,391967,0 84,2,2024-09-07 09:51:40:579,757261,756851,410,0,43429321,0,17037 84,3,2024-09-07 09:51:41:142,1,600,1,0,908,8068,600,0 85,0,2024-09-07 09:51:41:026,144303,0.6,144264,0.8,306310,0.6,395720,2.25 85,1,2024-09-07 09:51:40:563,1049640,1049640,0,0,492624524947,5202432292,1033326,13515,2799,381,392092,0 85,2,2024-09-07 09:51:40:873,757674,757674,0,0,36650089,0,4255 85,3,2024-09-07 09:51:40:695,1,600,2,0,789,6892,600,0 86,0,2024-09-07 09:51:40:879,149885,0.7,154051,0.8,294651,0.7,398643,2.25 86,1,2024-09-07 09:51:40:828,1052362,1052362,0,0,494685911904,5187444489,1038530,11146,2686,366,392169,0 86,2,2024-09-07 09:51:40:859,757177,757176,1,0,38939338,0,5004 86,3,2024-09-07 09:51:40:592,1,600,1,0,308,8360,600,0 87,0,2024-09-07 09:51:41:310,150422,0.8,149918,0.8,299671,0.9,400642,2.25 87,1,2024-09-07 09:51:40:554,1052132,1052132,0,0,493741290194,5173439237,1038752,11583,1797,366,392076,0 87,2,2024-09-07 09:51:41:192,757660,757654,6,0,35333193,0,6323 87,3,2024-09-07 09:51:41:796,1,600,8,0,473,8581,600,0 88,0,2024-09-07 09:51:41:442,150146,0.5,150659,0.6,301082,0.4,401214,1.75 88,1,2024-09-07 09:51:40:569,1050899,1050899,0,0,493558786720,5175272575,1035517,12338,3044,365,392084,0 88,2,2024-09-07 09:51:40:705,758078,758078,0,0,38087964,0,4465 88,3,2024-09-07 09:51:41:267,1,600,2,0,1080,9276,600,0 89,0,2024-09-07 09:51:41:773,154463,0.5,149866,0.7,295746,0.4,402149,1.75 89,1,2024-09-07 09:51:40:557,1050649,1050649,0,0,494543351296,5201723571,1037665,11040,1944,382,391866,0 89,2,2024-09-07 09:51:41:135,757729,757729,0,0,35877131,0,3173 89,3,2024-09-07 09:51:41:793,1,600,8,0,468,10109,600,0 90,0,2024-09-07 09:51:41:624,145879,0.5,149851,0.6,305789,0.4,398730,2.00 90,1,2024-09-07 09:51:40:593,1052884,1052884,0,0,493852649292,5173212039,1042790,9201,893,380,391825,0 90,2,2024-09-07 09:51:41:413,755856,755851,5,0,39403752,0,6370 90,3,2024-09-07 09:51:40:934,1,600,14,0,322,7304,600,0 91,0,2024-09-07 09:51:40:962,150785,0.4,146323,0.6,306265,0.4,400988,1.75 91,1,2024-09-07 09:51:40:564,1049973,1049973,0,0,493625358225,5202409842,1034118,12707,3148,381,392047,0 91,2,2024-09-07 09:51:41:334,758938,758938,0,0,34645161,0,4713 91,3,2024-09-07 09:51:40:602,1,600,1,0,216,5409,600,0 92,0,2024-09-07 09:51:41:470,151931,0.5,155306,0.6,296004,0.4,401569,1.75 92,1,2024-09-07 09:51:40:599,1053779,1053779,0,0,495138168831,5171833710,1045419,7073,1287,381,392136,0 92,2,2024-09-07 09:51:41:350,758559,758559,0,0,30374555,0,3259 92,3,2024-09-07 09:51:41:025,1,600,2,0,167,5151,600,0 93,0,2024-09-07 09:51:40:994,151479,0.4,155157,0.6,296378,0.4,401305,1.75 93,1,2024-09-07 09:51:40:805,1053859,1053859,0,0,494695532162,5163200040,1042325,9606,1928,365,392048,0 93,2,2024-09-07 09:51:40:947,759000,759000,0,0,36177814,0,4913 93,3,2024-09-07 09:51:41:411,1,600,1,0,294,5890,600,0 94,0,2024-09-07 09:51:41:609,149941,0.4,151005,0.5,302054,0.3,401147,1.75 94,1,2024-09-07 09:51:40:565,1054565,1054565,0,0,494252641635,5154405495,1047619,6512,434,381,391850,0 94,2,2024-09-07 09:51:40:770,759651,759623,28,0,31123278,0,6179 94,3,2024-09-07 09:51:41:688,1,600,0,0,576,7455,600,0 95,0,2024-09-07 09:51:41:346,150584,0.3,150623,0.5,301343,0.3,401747,1.75 95,1,2024-09-07 09:51:40:862,1055638,1055638,0,0,495267767841,5146942251,1047206,7684,748,365,391852,0 95,2,2024-09-07 09:51:41:033,758391,758391,0,0,30572751,0,3308 95,3,2024-09-07 09:51:41:709,1,600,1,0,718,9410,600,0 96,0,2024-09-07 09:51:41:056,150455,0.4,150873,0.5,301499,0.3,400874,1.75 96,1,2024-09-07 09:51:41:586,1054160,1054160,0,0,494530922386,5158160362,1046778,6109,1273,384,391964,0 96,2,2024-09-07 09:51:41:268,759215,759215,0,0,31728500,0,4180 96,3,2024-09-07 09:51:41:156,1,600,3,0,411,6954,600,0 97,0,2024-09-07 09:51:41:319,150467,0.3,150186,0.5,301367,0.3,400842,1.75 97,1,2024-09-07 09:51:40:776,1055559,1055559,0,0,495972802794,5147215184,1048630,5891,1038,367,392140,0 97,2,2024-09-07 09:51:40:610,760362,760362,0,0,31408299,0,4046 97,3,2024-09-07 09:51:40:574,1,600,3,0,242,6803,600,0 98,0,2024-09-07 09:51:41:702,150168,0.3,150229,0.5,301481,0.3,401033,1.50 98,1,2024-09-07 09:51:40:572,1054573,1054573,0,0,494465397593,5151115195,1048091,5647,835,381,391997,0 98,2,2024-09-07 09:51:40:774,759291,759291,0,0,30159137,0,4336 98,3,2024-09-07 09:51:40:697,1,600,7,0,840,9078,600,0 99,0,2024-09-07 09:51:41:471,150536,0.3,151404,0.5,301129,0.3,401452,1.75 99,1,2024-09-07 09:51:41:744,1055376,1055376,0,0,493938005257,5140599592,1049010,5424,942,380,392069,0 99,2,2024-09-07 09:51:41:420,758663,758663,0,0,37367077,0,4276 99,3,2024-09-07 09:51:40:581,1,600,1,0,1124,7759,600,0 100,0,2024-09-07 09:51:41:472,149693,0.7,149954,0.9,299790,0.8,400226,2.50 100,1,2024-09-07 09:51:40:560,1049187,1049187,0,0,491814449820,5200404883,1033166,12704,3317,378,391989,0 100,2,2024-09-07 09:51:41:820,754821,754432,389,0,40505500,0,16909 100,3,2024-09-07 09:51:41:743,1,600,2,0,627,10083,600,0 101,0,2024-09-07 09:51:41:709,153197,1.1,149468,1.1,292548,1.2,399723,2.25 101,1,2024-09-07 09:51:40:595,1050843,1050843,0,0,493612413619,5187702991,1036727,11589,2527,368,391847,0 101,2,2024-09-07 09:51:41:761,754611,754611,0,0,40418402,0,4871 101,3,2024-09-07 09:51:40:947,1,600,2,0,1250,9013,600,0 102,0,2024-09-07 09:51:40:956,146181,0.7,150402,0.8,305771,0.7,399876,2.25 102,1,2024-09-07 09:51:41:143,1050820,1050820,0,0,492557872066,5175049013,1036704,11917,2199,369,391984,0 102,2,2024-09-07 09:51:41:742,759249,759195,54,0,34548134,0,6768 102,3,2024-09-07 09:51:41:617,1,600,0,0,466,6911,600,0 103,0,2024-09-07 09:51:41:640,155320,0.6,155300,0.7,292607,0.6,402684,2.00 103,1,2024-09-07 09:51:41:631,1049253,1049253,0,0,492646334799,5204572157,1032173,13479,3601,381,392077,0 103,2,2024-09-07 09:51:40:582,756145,756145,0,0,37365492,0,3766 103,3,2024-09-07 09:51:40:760,1,600,1,0,916,7111,600,0 104,0,2024-09-07 09:51:41:018,149335,0.7,149563,0.9,298453,0.7,398856,2.25 104,1,2024-09-07 09:51:41:599,1052034,1052034,0,0,493116743555,5182778180,1036503,12687,2844,365,392168,0 104,2,2024-09-07 09:51:41:671,756194,756194,0,0,36997095,0,4161 104,3,2024-09-07 09:51:41:417,1,600,1,0,1245,10620,600,0 105,0,2024-09-07 09:51:41:065,148313,0.9,144439,1.1,302847,1.1,398522,2.75 105,1,2024-09-07 09:51:40:560,1053788,1053788,0,0,493953012666,5176487031,1040223,11625,1940,364,392009,0 105,2,2024-09-07 09:51:41:321,757386,757386,0,0,37089489,0,4360 105,3,2024-09-07 09:51:41:312,1,600,2,0,573,9177,600,0 106,0,2024-09-07 09:51:40:948,145214,1.0,148759,1.0,304692,1.2,397680,2.50 106,1,2024-09-07 09:51:41:764,1052561,1052561,0,0,493274361063,5178057368,1037906,12761,1894,368,391914,0 106,2,2024-09-07 09:51:40:759,756954,756954,0,0,34969704,0,3331 106,3,2024-09-07 09:51:40:677,1,600,0,0,1224,8422,600,0 107,0,2024-09-07 09:51:41:114,150370,0.9,150457,0.9,300846,1.1,400926,2.25 107,1,2024-09-07 09:51:40:585,1049491,1049491,0,0,492261403328,5203519474,1032116,15241,2134,381,392234,0 107,2,2024-09-07 09:51:41:299,756168,756167,1,0,38109492,0,5024 107,3,2024-09-07 09:51:41:761,1,600,5,0,733,9117,600,0 108,0,2024-09-07 09:51:41:780,150630,0.4,151036,0.6,301023,0.4,401501,1.75 108,1,2024-09-07 09:51:41:293,1053765,1053765,0,0,494772646759,5160576742,1045072,7698,995,367,391894,0 108,2,2024-09-07 09:51:41:760,759057,759057,0,0,32799500,0,4246 108,3,2024-09-07 09:51:41:333,1,600,0,0,749,10875,600,0 109,0,2024-09-07 09:51:41:765,151073,0.4,149896,0.6,300531,0.3,401627,1.75 109,1,2024-09-07 09:51:40:593,1051062,1051062,0,0,494694341941,5189123584,1041587,8197,1278,382,392132,0 109,2,2024-09-07 09:51:40:922,756337,756337,0,0,33633186,0,3617 109,3,2024-09-07 09:51:41:143,1,600,3,0,630,7319,600,0 110,0,2024-09-07 09:51:41:857,150362,0.4,146271,0.6,306334,0.4,401298,1.75 110,1,2024-09-07 09:51:41:662,1055644,1055644,0,0,495885451979,5148068687,1047601,6116,1927,368,392045,0 110,2,2024-09-07 09:51:41:309,759346,759346,0,0,31132453,0,4067 110,3,2024-09-07 09:51:40:705,1,600,1,0,722,8039,600,0 111,0,2024-09-07 09:51:41:435,151400,0.4,150620,0.5,301149,0.3,401727,1.75 111,1,2024-09-07 09:51:41:007,1056787,1056787,0,0,495926144960,5143206958,1051304,5102,381,380,391690,0 111,2,2024-09-07 09:51:41:125,759777,759777,0,0,31660702,0,4823 111,3,2024-09-07 09:51:40:913,1,600,2,0,379,6528,600,0 112,0,2024-09-07 09:51:40:926,151174,0.3,150649,0.4,301849,0.2,400751,1.50 112,1,2024-09-07 09:51:40:828,1055359,1055359,0,0,495026246113,5141386840,1048157,5977,1225,380,391624,0 112,2,2024-09-07 09:51:41:137,758951,758950,1,0,30046889,0,5036 112,3,2024-09-07 09:51:40:595,1,600,1,0,282,5737,600,0 113,0,2024-09-07 09:51:40:884,150449,0.3,150452,0.5,301258,0.2,401457,1.75 113,1,2024-09-07 09:51:41:685,1057832,1057832,0,0,497426278818,5132993489,1051601,5283,948,365,391664,0 113,2,2024-09-07 09:51:41:309,761269,761269,0,0,28498018,0,3813 113,3,2024-09-07 09:51:40:685,1,600,47,0,510,6496,600,0 114,0,2024-09-07 09:51:40:881,150118,0.3,151033,0.5,300998,0.2,402011,1.75 114,1,2024-09-07 09:51:40:717,1055974,1055974,0,0,495124387867,5142318385,1048033,6194,1747,381,391565,0 114,2,2024-09-07 09:51:40:873,761490,761489,1,0,29713382,0,5069 114,3,2024-09-07 09:51:41:278,1,600,0,0,395,4863,600,0 115,0,2024-09-07 09:51:40:557,150942,0.3,151595,0.4,301717,0.2,401789,1.50 115,1,2024-09-07 09:51:40:575,1056329,1056329,0,0,495387497258,5147280368,1048073,6739,1517,382,391757,0 115,2,2024-09-07 09:51:41:131,760993,760993,0,0,29781475,0,4382 115,3,2024-09-07 09:51:41:007,1,600,2,0,167,3710,600,0 116,0,2024-09-07 09:51:41:729,149910,0.6,149726,0.8,300045,0.6,400949,2.00 116,1,2024-09-07 09:51:40:808,1050336,1050336,0,0,492203154266,5188913244,1037690,9557,3089,380,392089,0 116,2,2024-09-07 09:51:41:751,757967,757967,0,0,37138215,0,4475 116,3,2024-09-07 09:51:40:920,1,600,4,0,448,7765,600,0 117,0,2024-09-07 09:51:41:044,150625,0.7,149986,0.8,300457,0.7,401819,2.00 117,1,2024-09-07 09:51:41:578,1052190,1052190,0,0,493597309089,5169820670,1040427,10240,1523,369,392429,0 117,2,2024-09-07 09:51:41:125,760170,760170,0,0,33573596,0,4303 117,3,2024-09-07 09:51:41:073,1,600,23,0,490,7662,600,0 118,0,2024-09-07 09:51:41:833,145621,0.5,149465,0.7,305313,0.5,399667,2.00 118,1,2024-09-07 09:51:40:585,1051319,1051319,0,0,493178053746,5186085209,1035545,12152,3622,366,392054,0 118,2,2024-09-07 09:51:41:596,757682,757682,0,0,35762086,0,2842 118,3,2024-09-07 09:51:41:771,1,600,15,0,289,7127,600,0 119,0,2024-09-07 09:51:41:355,149933,0.8,150714,0.8,300490,0.9,400563,2.25 119,1,2024-09-07 09:51:40:554,1052098,1052098,0,0,494042979641,5182461668,1037404,12182,2512,367,391857,0 119,2,2024-09-07 09:51:41:265,759304,759304,0,0,33641423,0,4309 119,3,2024-09-07 09:51:41:344,1,600,7,0,1358,11071,600,0 120,0,2024-09-07 09:51:41:542,149470,0.7,149370,0.8,300115,0.7,399706,2.25 120,1,2024-09-07 09:51:40:859,1053064,1053064,0,0,493082681296,5173031895,1041396,10527,1141,367,392144,0 120,2,2024-09-07 09:51:40:770,757803,757800,3,0,40213913,0,5363 120,3,2024-09-07 09:51:41:290,1,600,21,0,279,7298,600,0 121,0,2024-09-07 09:51:41:710,150543,1.1,149958,1.0,300283,1.4,399929,2.25 121,1,2024-09-07 09:51:41:661,1052384,1052384,0,0,493837816416,5168975098,1041097,9734,1553,366,391840,0 121,2,2024-09-07 09:51:41:130,758249,758249,0,0,36708814,0,4157 121,3,2024-09-07 09:51:40:736,1,600,0,0,387,7945,600,0 122,0,2024-09-07 09:51:41:972,149714,0.8,145684,0.9,305232,0.9,401475,2.00 122,1,2024-09-07 09:51:40:859,1051547,1051547,0,0,493111378934,5177786527,1036547,12588,2412,365,392130,0 122,2,2024-09-07 09:51:41:333,758846,758771,75,0,40340048,0,5989 122,3,2024-09-07 09:51:40:594,1,600,1,0,512,9775,600,0 123,0,2024-09-07 09:51:40:950,149968,0.9,145893,0.9,305586,1.0,400019,2.25 123,1,2024-09-07 09:51:40:560,1051526,1051526,0,0,493975239404,5195344600,1034713,14141,2672,369,392039,0 123,2,2024-09-07 09:51:41:029,756356,756355,1,0,34345316,0,5215 123,3,2024-09-07 09:51:41:136,1,600,1,0,478,6876,600,0 124,0,2024-09-07 09:51:40:941,154661,0.3,154645,0.5,291603,0.3,401676,1.75 124,1,2024-09-07 09:51:41:029,1055827,1055827,0,0,494618131106,5142027243,1048093,6559,1175,365,392178,0 124,2,2024-09-07 09:51:41:010,761442,761389,53,0,31006408,0,6487 124,3,2024-09-07 09:51:40:770,1,600,1,0,490,5958,600,0 125,0,2024-09-07 09:51:41:418,150306,0.4,150399,0.5,301676,0.3,401708,1.75 125,1,2024-09-07 09:51:40:874,1052628,1052628,0,0,493959125962,5156477107,1044561,6916,1151,382,391968,0 125,2,2024-09-07 09:51:41:124,761279,761279,0,0,31157419,0,4534 125,3,2024-09-07 09:51:41:155,1,600,12,0,709,6935,600,0 126,0,2024-09-07 09:51:41:430,150745,0.4,154953,0.5,296497,0.4,401459,1.75 126,1,2024-09-07 09:51:40:562,1056337,1056337,0,0,495467002311,5129461335,1050823,5067,447,365,391987,0 126,2,2024-09-07 09:51:40:609,760161,760161,0,0,32388750,0,4539 126,3,2024-09-07 09:51:40:926,1,600,1,0,268,6620,600,0 127,0,2024-09-07 09:51:41:612,150800,0.3,150940,0.5,301161,0.3,401326,1.75 127,1,2024-09-07 09:51:40:570,1054534,1054534,0,0,494906407517,5150030609,1043859,9038,1637,364,392187,0 127,2,2024-09-07 09:51:40:636,759267,759263,4,0,30501765,0,5305 127,3,2024-09-07 09:51:41:271,1,600,0,0,968,5818,600,0 128,0,2024-09-07 09:51:41:518,151068,0.3,151149,0.4,301924,0.2,401713,1.50 128,1,2024-09-07 09:51:41:610,1054559,1054559,0,0,495290238709,5152443541,1046145,7450,964,367,392423,0 128,2,2024-09-07 09:51:41:384,760873,760873,0,0,28415260,0,3171 128,3,2024-09-07 09:51:40:773,1,600,27,0,1082,9571,600,0 129,0,2024-09-07 09:51:41:028,151700,0.3,150925,0.5,302549,0.3,401940,1.50 129,1,2024-09-07 09:51:40:590,1051522,1051522,0,0,493871937623,5177087734,1041059,8441,2022,379,391962,0 129,2,2024-09-07 09:51:40:690,760843,760839,4,0,30994331,0,5335 129,3,2024-09-07 09:51:40:688,1,600,2,0,506,8277,600,0 130,0,2024-09-07 09:51:41:723,150981,0.4,150321,0.5,301500,0.4,401432,1.75 130,1,2024-09-07 09:51:40:583,1055437,1055437,0,0,495211316912,5143811951,1050001,5039,397,381,391825,0 130,2,2024-09-07 09:51:41:131,759048,759048,0,0,31214752,0,4067 130,3,2024-09-07 09:51:41:291,1,600,0,0,960,8289,600,0 131,0,2024-09-07 09:51:41:971,150148,0.3,150575,0.5,301995,0.3,401485,1.75 131,1,2024-09-07 09:51:41:846,1054724,1054724,0,0,495040007592,5160584478,1047498,6028,1198,381,391865,0 131,2,2024-09-07 09:51:40:574,759856,759856,0,0,28938760,0,3979 131,3,2024-09-07 09:51:41:693,1,600,16,0,392,7721,600,0 132,0,2024-09-07 09:51:41:412,150450,0.5,151388,0.6,301551,0.5,400945,2.00 132,1,2024-09-07 09:51:40:585,1050260,1050260,0,0,492512479662,5185991146,1034865,12691,2704,381,392532,0 132,2,2024-09-07 09:51:40:697,758662,758645,17,0,37567287,0,6451 132,3,2024-09-07 09:51:41:688,1,600,5,0,1298,10793,600,0 133,0,2024-09-07 09:51:41:519,146636,0.4,149982,0.6,307529,0.4,400178,2.00 133,1,2024-09-07 09:51:40:596,1050971,1050971,0,0,493157015562,5196218276,1036895,12312,1764,383,391914,0 133,2,2024-09-07 09:51:41:094,758624,758574,50,0,38582070,0,6861 133,3,2024-09-07 09:51:41:298,1,600,28,0,528,7264,600,0 134,0,2024-09-07 09:51:40:938,150636,0.5,150761,0.7,301651,0.5,401094,2.00 134,1,2024-09-07 09:51:40:584,1051985,1051985,0,0,493104701001,5173043848,1038213,11286,2486,366,391781,0 134,2,2024-09-07 09:51:41:775,759112,759088,24,0,35725841,0,6207 134,3,2024-09-07 09:51:40:754,1,600,8,0,739,7441,600,0 135,0,2024-09-07 09:51:41:111,145288,0.8,145279,0.8,308417,0.9,398165,2.25 135,1,2024-09-07 09:51:41:587,1051258,1051258,0,0,493679525835,5190874020,1038241,11377,1640,380,391805,0 135,2,2024-09-07 09:51:40:702,758947,758947,0,0,36534139,0,4503 135,3,2024-09-07 09:51:41:007,1,600,1,0,900,5897,600,0 136,0,2024-09-07 09:51:41:620,150749,0.6,151285,0.8,300278,0.6,400757,2.25 136,1,2024-09-07 09:51:41:448,1052884,1052884,0,0,493690250389,5175766403,1040981,10515,1388,381,392135,0 136,2,2024-09-07 09:51:41:146,759803,759788,15,0,35928452,0,6007 136,3,2024-09-07 09:51:41:112,1,600,9,0,637,6962,600,0 137,0,2024-09-07 09:51:40:948,155427,0.6,151427,0.7,296723,0.6,402745,2.00 137,1,2024-09-07 09:51:40:575,1051724,1051724,0,0,493065550089,5173333326,1035187,13149,3388,366,391898,0 137,2,2024-09-07 09:51:41:705,757797,757797,0,0,37079912,0,3185 137,3,2024-09-07 09:51:40:769,1,600,1,0,484,8248,600,0 138,0,2024-09-07 09:51:41:832,149957,0.7,150359,0.8,301043,0.8,399956,2.00 138,1,2024-09-07 09:51:41:687,1052103,1052103,0,0,494171295210,5184846508,1037332,12501,2270,368,391954,0 138,2,2024-09-07 09:51:40:585,758175,758175,0,0,35380455,0,4988 138,3,2024-09-07 09:51:40:612,1,600,0,0,1200,9420,600,0 139,0,2024-09-07 09:51:41:396,149137,1.1,149729,1.0,299312,1.5,399916,2.25 139,1,2024-09-07 09:51:40:575,1047796,1047796,0,0,490391703995,5201312401,1029409,14562,3825,380,392109,0 139,2,2024-09-07 09:51:40:707,753338,753308,30,0,40674763,0,5997 139,3,2024-09-07 09:51:41:682,1,600,15,0,432,7149,600,0 140,0,2024-09-07 09:51:41:589,151110,0.3,150202,0.5,301430,0.2,401602,1.75 140,1,2024-09-07 09:51:41:577,1058179,1058179,0,0,496623722523,5119389358,1053210,4423,546,364,391606,0 140,2,2024-09-07 09:51:40:687,759704,759703,1,0,28863527,0,5036 140,3,2024-09-07 09:51:40:773,1,600,0,0,575,5999,600,0 141,0,2024-09-07 09:51:41:699,150979,0.3,155193,0.5,296558,0.3,401574,1.75 141,1,2024-09-07 09:51:40:859,1056538,1056538,0,0,496057390056,5153160254,1048339,7006,1193,379,391614,0 141,2,2024-09-07 09:51:41:686,760374,760363,11,0,30992346,0,5369 141,3,2024-09-07 09:51:41:047,1,600,1,0,391,6972,600,0 142,0,2024-09-07 09:51:41:312,151236,0.3,150458,0.5,301179,0.2,401660,1.50 142,1,2024-09-07 09:51:40:592,1054568,1054568,0,0,494557339621,5155125214,1047859,6202,507,382,392102,0 142,2,2024-09-07 09:51:41:303,758530,758498,32,0,31499199,0,6028 142,3,2024-09-07 09:51:41:747,1,600,1,0,484,6372,600,0 143,0,2024-09-07 09:51:41:369,150292,0.4,150299,0.5,301644,0.4,401447,1.75 143,1,2024-09-07 09:51:40:565,1056758,1056758,0,0,494547325216,5130941843,1050293,5897,568,367,391900,0 143,2,2024-09-07 09:51:40:770,759400,759400,0,0,31375045,0,3123 143,3,2024-09-07 09:51:41:144,1,600,17,0,462,7272,600,0 144,0,2024-09-07 09:51:41:500,145360,0.6,149580,0.8,304395,0.6,398635,2.00 144,1,2024-09-07 09:51:40:566,1051126,1051126,0,0,493478546165,5179228464,1040534,8600,1992,381,391733,0 144,2,2024-09-07 09:51:41:757,760647,760647,0,0,30770090,0,4443 144,3,2024-09-07 09:51:41:741,1,600,6,0,249,5952,600,0 145,0,2024-09-07 09:51:41:359,145391,0.6,145345,0.8,308599,0.5,397709,2.25 145,1,2024-09-07 09:51:40:559,1050671,1050671,0,0,492807678531,5186215241,1036649,11349,2673,382,391781,0 145,2,2024-09-07 09:51:41:439,757536,757454,82,0,36437897,0,7814 145,3,2024-09-07 09:51:40:898,1,600,2,0,622,7954,600,0 146,0,2024-09-07 09:51:41:621,150152,0.5,149523,0.7,300480,0.5,399177,2.00 146,1,2024-09-07 09:51:41:585,1051949,1051949,0,0,493517983071,5194061885,1034447,13021,4481,367,391770,0 146,2,2024-09-07 09:51:41:695,757320,757314,6,0,34825802,0,5151 146,3,2024-09-07 09:51:41:274,1,600,2,0,1520,9781,600,0 147,0,2024-09-07 09:51:41:721,150554,0.6,150288,0.7,300305,0.5,401229,2.00 147,1,2024-09-07 09:51:41:379,1055595,1055595,0,0,495243052706,5146518292,1047103,7525,967,367,391791,0 147,2,2024-09-07 09:51:41:010,758653,758653,0,0,30952919,0,2968 147,3,2024-09-07 09:51:40:913,1,600,1,0,1626,9593,600,0 0,0,2024-09-07 09:51:51:709,146243,0.6,146203,0.7,310445,0.6,400654,2.00 0,1,2024-09-07 09:51:50:801,1054273,1054273,0,0,495308488375,5185290767,1045733,7594,946,368,391896,0 0,2,2024-09-07 09:51:51:067,760860,760860,0,0,30993975,0,4480 0,3,2024-09-07 09:51:50:974,1,601,1,0,431,8676,601,0 1,0,2024-09-07 09:51:51:746,150443,0.8,149380,0.9,300166,1.0,400672,2.00 1,1,2024-09-07 09:51:50:572,1053595,1053595,0,0,493770015024,5172748543,1044124,7980,1491,370,391859,0 1,2,2024-09-07 09:51:50:653,760679,760679,0,0,30472575,0,3380 1,3,2024-09-07 09:51:51:309,1,601,8,0,269,7500,601,0 2,0,2024-09-07 09:51:51:573,150521,0.6,150399,0.7,300496,0.6,401343,2.00 2,1,2024-09-07 09:51:50:863,1056897,1056897,0,0,496650342843,5164198428,1050722,5225,950,379,391745,0 2,2,2024-09-07 09:51:51:267,759980,759980,0,0,29065839,0,3594 2,3,2024-09-07 09:51:50:696,1,601,0,0,357,5433,601,0 3,0,2024-09-07 09:51:51:752,150552,0.4,150509,0.6,300453,0.4,400316,2.00 3,1,2024-09-07 09:51:51:618,1055324,1055324,0,0,495904512193,5158030050,1047713,6907,704,379,391716,0 3,2,2024-09-07 09:51:51:143,761143,761120,23,0,30158360,0,5851 3,3,2024-09-07 09:51:51:751,1,601,1,0,484,4603,601,0 4,0,2024-09-07 09:51:51:778,146381,0.4,150484,0.5,306619,0.3,401114,1.75 4,1,2024-09-07 09:51:50:596,1050882,1050882,0,0,492427632168,5212412964,1033073,14055,3754,370,391992,0 4,2,2024-09-07 09:51:51:019,757637,757637,0,0,36923561,0,4534 4,3,2024-09-07 09:51:51:029,1,601,1,0,448,7340,601,0 5,0,2024-09-07 09:51:51:413,150997,0.4,150922,0.5,301973,0.3,401730,1.75 5,1,2024-09-07 09:51:50:769,1051734,1051734,0,0,494214896126,5218153740,1035405,12204,4125,367,392005,0 5,2,2024-09-07 09:51:51:838,757305,757305,0,0,35692113,0,3582 5,3,2024-09-07 09:51:51:731,1,601,125,0,457,8367,601,0 6,0,2024-09-07 09:51:50:918,150979,0.5,150676,0.6,301479,0.4,401800,2.00 6,1,2024-09-07 09:51:50:746,1054704,1054704,0,0,494688675793,5167531875,1043627,9504,1573,379,391702,0 6,2,2024-09-07 09:51:51:118,759840,759822,18,0,34647013,0,5535 6,3,2024-09-07 09:51:51:275,1,601,2,0,710,7377,601,0 7,0,2024-09-07 09:51:51:534,150108,0.5,151096,0.7,299939,0.5,400539,2.00 7,1,2024-09-07 09:51:50:863,1052275,1052275,0,0,493816542428,5200286044,1035951,12558,3766,382,391747,0 7,2,2024-09-07 09:51:50:769,759700,759700,0,0,33359317,0,4791 7,3,2024-09-07 09:51:50:852,1,601,1,0,552,7088,601,0 8,0,2024-09-07 09:51:51:350,151107,0.4,150807,0.5,302012,0.3,402541,1.75 8,1,2024-09-07 09:51:51:020,1051770,1051770,0,0,494189864269,5198053779,1035053,13130,3587,366,392853,0 8,2,2024-09-07 09:51:50:801,756038,756036,2,0,39561207,0,5112 8,3,2024-09-07 09:51:50:585,1,601,3,0,772,9577,601,0 9,0,2024-09-07 09:51:51:130,150518,0.4,146465,0.5,306375,0.3,401710,1.75 9,1,2024-09-07 09:51:50:560,1051792,1051792,0,0,493247388946,5200165169,1035105,13461,3226,369,392001,0 9,2,2024-09-07 09:51:51:103,758533,758532,1,0,37361228,0,5281 9,3,2024-09-07 09:51:51:762,1,601,11,0,1273,10247,601,0 10,0,2024-09-07 09:51:51:605,150383,0.3,149797,0.5,300601,0.3,400855,1.75 10,1,2024-09-07 09:51:50:583,1053430,1053430,0,0,494449141762,5188582796,1037709,12657,3064,381,391981,0 10,2,2024-09-07 09:51:50:762,759279,759279,0,0,39978131,0,4713 10,3,2024-09-07 09:51:50:874,1,601,0,0,669,6792,601,0 11,0,2024-09-07 09:51:51:009,150291,0.4,145822,0.6,304964,0.4,401717,1.75 11,1,2024-09-07 09:51:50:571,1054945,1054945,0,0,494939145646,5193066621,1039122,11520,4303,383,391766,0 11,2,2024-09-07 09:51:51:138,759187,759187,0,0,35435548,0,4698 11,3,2024-09-07 09:51:51:299,1,601,1,0,843,7800,601,0 12,0,2024-09-07 09:51:50:938,151515,0.4,151499,0.6,302465,0.4,402312,1.75 12,1,2024-09-07 09:51:50:933,1054734,1054734,0,0,494801106298,5160488748,1046359,7367,1008,370,391960,0 12,2,2024-09-07 09:51:51:562,758906,758906,0,0,34211575,0,4390 12,3,2024-09-07 09:51:51:060,1,601,0,0,386,7559,601,0 13,0,2024-09-07 09:51:51:350,151385,0.4,151472,0.5,302005,0.4,402340,1.75 13,1,2024-09-07 09:51:51:529,1052175,1052175,0,0,494488150359,5197637879,1041286,8499,2390,382,391803,0 13,2,2024-09-07 09:51:50:594,761486,761486,0,0,30601875,0,3287 13,3,2024-09-07 09:51:51:765,1,601,8,0,522,7972,601,0 14,0,2024-09-07 09:51:50:567,150445,0.4,151524,0.6,300758,0.3,400930,1.75 14,1,2024-09-07 09:51:51:561,1059411,1059411,0,0,496958319014,5142831242,1050953,7329,1129,364,391673,0 14,2,2024-09-07 09:51:50:764,760951,760921,30,0,32017809,0,6104 14,3,2024-09-07 09:51:51:130,1,601,0,0,1168,6566,601,0 15,0,2024-09-07 09:51:51:558,150472,0.4,149647,0.6,300033,0.4,400460,2.00 15,1,2024-09-07 09:51:51:609,1056342,1056342,0,0,495482406194,5160366769,1047268,7161,1913,381,391619,0 15,2,2024-09-07 09:51:51:000,761633,761633,0,0,27698039,0,3622 15,3,2024-09-07 09:51:51:414,1,601,0,0,1126,7883,601,0 16,0,2024-09-07 09:51:50:936,150344,0.6,151009,0.8,301491,0.6,401155,2.00 16,1,2024-09-07 09:51:50:590,1056251,1056251,0,0,494960695904,5175115985,1046817,7990,1444,370,392194,0 16,2,2024-09-07 09:51:51:434,759215,759215,0,0,31581263,0,4719 16,3,2024-09-07 09:51:51:147,1,601,1,0,358,7567,601,0 17,0,2024-09-07 09:51:51:790,155241,0.6,151352,0.8,296506,0.6,402936,2.00 17,1,2024-09-07 09:51:50:573,1054020,1054020,0,0,493812779380,5180273377,1043728,8520,1772,368,392075,0 17,2,2024-09-07 09:51:51:673,762800,762799,1,0,32870281,0,5050 17,3,2024-09-07 09:51:50:576,1,601,0,0,518,8786,601,0 18,0,2024-09-07 09:51:50:944,149489,0.6,150397,0.8,299316,0.6,399592,2.25 18,1,2024-09-07 09:51:51:647,1058492,1058492,0,0,496302791154,5147955195,1051430,5805,1257,367,391725,0 18,2,2024-09-07 09:51:51:757,763011,763011,0,0,29227189,0,3541 18,3,2024-09-07 09:51:50:898,1,601,1,0,1059,5558,601,0 19,0,2024-09-07 09:51:51:547,150385,0.6,150541,0.8,299883,0.6,399289,2.00 19,1,2024-09-07 09:51:50:570,1058288,1058288,0,0,496869881580,5150167656,1050488,6667,1133,366,391777,0 19,2,2024-09-07 09:51:51:756,762524,762524,0,0,27651057,0,3988 19,3,2024-09-07 09:51:51:131,1,601,0,0,524,4610,601,0 20,0,2024-09-07 09:51:51:368,150604,0.6,150490,0.7,300749,0.6,400940,2.00 20,1,2024-09-07 09:51:50:574,1053890,1053890,0,0,494573701644,5183348825,1043154,9229,1507,369,391922,0 20,2,2024-09-07 09:51:50:935,759699,759699,0,0,34269008,0,4321 20,3,2024-09-07 09:51:50:595,1,601,1,0,468,9723,601,0 21,0,2024-09-07 09:51:51:154,150959,0.5,151069,0.6,301850,0.4,401135,2.00 21,1,2024-09-07 09:51:51:547,1052104,1052104,0,0,493279627045,5207911462,1035236,13033,3835,368,392016,0 21,2,2024-09-07 09:51:51:067,755097,754620,477,0,44969106,0,17074 21,3,2024-09-07 09:51:51:408,1,601,13,0,713,8663,601,0 22,0,2024-09-07 09:51:51:717,150516,0.5,151142,0.6,301536,0.4,400397,2.00 22,1,2024-09-07 09:51:51:023,1053139,1053139,0,0,492926585450,5189254971,1035322,14277,3540,382,391822,0 22,2,2024-09-07 09:51:50:760,758572,758546,26,0,32708080,0,6328 22,3,2024-09-07 09:51:51:067,1,601,105,0,228,5142,601,0 23,0,2024-09-07 09:51:51:373,150400,0.5,150154,0.7,300226,0.5,400422,2.00 23,1,2024-09-07 09:51:51:004,1053207,1053207,0,0,495082504644,5217552988,1033734,12895,6578,365,391690,0 23,2,2024-09-07 09:51:51:095,760592,760592,0,0,31383954,0,3773 23,3,2024-09-07 09:51:51:755,1,601,17,0,855,8838,601,0 24,0,2024-09-07 09:51:50:845,151122,0.4,150364,0.6,302483,0.4,401778,1.75 24,1,2024-09-07 09:51:50:602,1053609,1053609,0,0,494018226150,5171703192,1043545,8355,1709,367,392269,0 24,2,2024-09-07 09:51:51:070,759894,759891,3,0,37916015,0,6294 24,3,2024-09-07 09:51:51:692,1,601,1,0,468,7682,601,0 25,0,2024-09-07 09:51:51:345,154894,0.4,150733,0.6,295995,0.4,403472,2.00 25,1,2024-09-07 09:51:50:566,1051752,1051752,0,0,493331308504,5213426322,1032993,15048,3711,369,391928,0 25,2,2024-09-07 09:51:51:606,758095,758095,0,0,38471312,0,3978 25,3,2024-09-07 09:51:51:000,1,601,1,0,532,6518,601,0 26,0,2024-09-07 09:51:51:725,149670,0.4,146306,0.6,307300,0.3,399868,1.75 26,1,2024-09-07 09:51:51:547,1055419,1055419,0,0,494635617709,5179333428,1041083,11803,2533,380,391748,0 26,2,2024-09-07 09:51:50:862,759233,759233,0,0,41198326,0,4689 26,3,2024-09-07 09:51:51:718,1,601,4,0,796,7572,601,0 27,0,2024-09-07 09:51:51:738,150669,0.4,151288,0.6,300883,0.4,401398,2.25 27,1,2024-09-07 09:51:51:676,1056035,1056035,0,0,495949568222,5169224306,1045637,8789,1609,381,391626,0 27,2,2024-09-07 09:51:50:870,756458,756393,65,0,35916510,0,5699 27,3,2024-09-07 09:51:51:019,1,601,1,0,564,5200,601,0 28,0,2024-09-07 09:51:51:417,150656,0.4,150725,0.6,301792,0.3,402255,1.75 28,1,2024-09-07 09:51:50:796,1056840,1056840,0,0,496440556965,5175239024,1047902,7047,1891,382,391904,0 28,2,2024-09-07 09:51:51:771,760623,760623,0,0,30914128,0,2915 28,3,2024-09-07 09:51:51:776,1,601,1,0,502,6008,601,0 29,0,2024-09-07 09:51:51:361,154791,0.4,150692,0.6,295774,0.4,403052,1.75 29,1,2024-09-07 09:51:51:564,1059753,1059753,0,0,496692989834,5137158343,1053394,5583,776,367,391809,0 29,2,2024-09-07 09:51:50:862,759972,759972,0,0,30089406,0,4986 29,3,2024-09-07 09:51:50:962,1,601,1,0,459,6503,601,0 30,0,2024-09-07 09:51:51:457,149681,0.5,145769,0.7,305157,0.4,398544,2.00 30,1,2024-09-07 09:51:50:572,1059058,1059058,0,0,497549566014,5161419769,1051488,6660,910,380,391672,0 30,2,2024-09-07 09:51:51:275,761008,761008,0,0,28710075,0,4192 30,3,2024-09-07 09:51:50:581,1,601,1,0,519,5604,601,0 31,0,2024-09-07 09:51:51:763,150122,0.4,150883,0.6,300696,0.4,401291,1.75 31,1,2024-09-07 09:51:50:566,1062117,1062117,0,0,497782333354,5112067184,1055660,5383,1074,356,391712,0 31,2,2024-09-07 09:51:51:275,759762,759762,0,0,32245162,0,4470 31,3,2024-09-07 09:51:51:706,1,601,1,0,239,5174,601,0 32,0,2024-09-07 09:51:51:439,150766,0.3,151784,0.5,301997,0.3,402932,1.75 32,1,2024-09-07 09:51:50:804,1058230,1058230,0,0,496040415989,5154959389,1052052,5460,718,381,391646,0 32,2,2024-09-07 09:51:50:940,761602,761602,0,0,28474968,0,3922 32,3,2024-09-07 09:51:51:018,1,601,3,0,304,4904,601,0 33,0,2024-09-07 09:51:51:567,151136,0.3,150831,0.4,301847,0.2,401315,1.50 33,1,2024-09-07 09:51:50:576,1058550,1058550,0,0,497141898315,5149921911,1050103,7174,1273,368,391730,0 33,2,2024-09-07 09:51:50:762,761076,761041,35,0,31593994,0,7012 33,3,2024-09-07 09:51:50:899,1,601,0,0,329,5279,601,0 34,0,2024-09-07 09:51:50:929,150973,0.3,155085,0.5,296643,0.2,400394,1.75 34,1,2024-09-07 09:51:51:043,1060588,1060588,0,0,497667604232,5119925366,1057627,2851,110,367,391637,0 34,2,2024-09-07 09:51:50:766,761444,761444,0,0,30178699,0,4562 34,3,2024-09-07 09:51:51:689,1,601,4,0,541,5509,601,0 35,0,2024-09-07 09:51:50:864,150086,0.3,150876,0.5,302655,0.2,402229,1.75 35,1,2024-09-07 09:51:51:067,1056543,1056543,0,0,496441648010,5152894618,1048434,6586,1523,382,391769,0 35,2,2024-09-07 09:51:51:585,760657,760657,0,0,33047875,0,4055 35,3,2024-09-07 09:51:50:907,1,601,1,0,466,5680,601,0 36,0,2024-09-07 09:51:51:551,151272,0.5,151306,0.7,302011,0.4,402601,2.00 36,1,2024-09-07 09:51:50:583,1055425,1055425,0,0,495638741719,5176401085,1041848,11337,2240,366,391759,0 36,2,2024-09-07 09:51:51:756,760144,760144,0,0,34278265,0,3875 36,3,2024-09-07 09:51:50:863,1,601,2,0,556,8234,601,0 37,0,2024-09-07 09:51:51:377,149955,0.5,150065,0.7,300274,0.5,400842,2.25 37,1,2024-09-07 09:51:50:571,1055450,1055443,0,7,495398826018,5173776669,1042796,9734,2913,365,391770,0 37,2,2024-09-07 09:51:51:150,757622,757607,15,0,34306050,0,5815 37,3,2024-09-07 09:51:51:777,1,601,31,0,888,8055,601,0 38,0,2024-09-07 09:51:51:441,149917,0.5,145318,0.7,303880,0.4,398366,2.00 38,1,2024-09-07 09:51:51:606,1055066,1055066,0,0,496222839284,5191091372,1039739,12328,2999,368,391821,0 38,2,2024-09-07 09:51:50:760,760373,760326,47,0,34602600,0,6710 38,3,2024-09-07 09:51:50:998,1,601,1,0,689,7407,601,0 39,0,2024-09-07 09:51:51:766,153973,0.5,150509,0.7,293486,0.5,400636,2.00 39,1,2024-09-07 09:51:50:716,1054633,1054633,0,0,494765386698,5187993289,1037188,13730,3715,365,391865,0 39,2,2024-09-07 09:51:51:423,760149,760149,0,0,32642145,0,3391 39,3,2024-09-07 09:51:50:714,1,601,8,0,525,6681,601,0 40,0,2024-09-07 09:51:51:496,149031,0.8,149726,0.9,298916,0.9,399071,2.75 40,1,2024-09-07 09:51:50:584,1055332,1055332,0,0,494061307801,5176972487,1039724,12540,3068,368,391668,0 40,2,2024-09-07 09:51:51:310,757083,757076,7,0,38996141,0,5347 40,3,2024-09-07 09:51:51:146,1,601,3,0,1028,8862,601,0 41,0,2024-09-07 09:51:51:032,149492,1.3,153008,1.1,291697,1.9,396709,3.25 41,1,2024-09-07 09:51:50:778,1054741,1054741,0,0,494656520290,5176271736,1040972,11610,2159,369,391878,0 41,2,2024-09-07 09:51:50:759,757153,757152,1,0,37203027,0,5408 41,3,2024-09-07 09:51:51:685,1,601,22,0,366,6492,601,0 42,0,2024-09-07 09:51:51:474,149504,1.0,149046,1.1,298335,1.2,396328,2.75 42,1,2024-09-07 09:51:51:438,1052388,1052388,0,0,493322086915,5184937797,1035897,13559,2932,380,391675,0 42,2,2024-09-07 09:51:51:139,758185,758184,1,0,37486646,0,5513 42,3,2024-09-07 09:51:51:010,1,601,1,0,892,5639,601,0 43,0,2024-09-07 09:51:50:916,149063,0.7,145139,0.9,303714,0.7,397318,2.25 43,1,2024-09-07 09:51:50:577,1056051,1056051,0,0,495280923726,5176356782,1041312,12167,2572,366,391696,0 43,2,2024-09-07 09:51:51:736,759953,759953,0,0,35439580,0,4723 43,3,2024-09-07 09:51:51:753,1,601,1,0,571,8820,601,0 44,0,2024-09-07 09:51:50:872,150866,0.4,150737,0.6,301818,0.3,401453,1.75 44,1,2024-09-07 09:51:50:568,1058931,1058931,0,0,496466429925,5132244132,1049564,7426,1941,356,391809,0 44,2,2024-09-07 09:51:51:273,758561,758561,0,0,28803098,0,4344 44,3,2024-09-07 09:51:51:106,1,601,12,0,1097,7428,601,0 45,0,2024-09-07 09:51:51:770,148745,0.5,145297,0.7,305126,0.4,400675,2.00 45,1,2024-09-07 09:51:51:011,1057627,1057627,0,0,496637401664,5160476283,1049374,7350,903,382,391917,0 45,2,2024-09-07 09:51:51:269,760820,760820,0,0,29157080,0,3596 45,3,2024-09-07 09:51:50:935,1,601,0,0,531,5738,601,0 46,0,2024-09-07 09:51:50:949,149689,0.6,149236,0.8,299509,0.6,397707,2.25 46,1,2024-09-07 09:51:50:575,1059816,1059816,0,0,497492373580,5142478255,1052324,6504,988,366,391709,0 46,2,2024-09-07 09:51:50:593,761030,761030,0,0,29849119,0,4443 46,3,2024-09-07 09:51:51:140,1,601,1,0,908,7179,601,0 47,0,2024-09-07 09:51:51:110,151026,0.4,150907,0.6,302933,0.4,401216,2.00 47,1,2024-09-07 09:51:50:567,1060376,1060376,0,0,497402322809,5138221682,1054278,5236,862,364,391641,0 47,2,2024-09-07 09:51:50:908,763816,763816,0,0,28898867,0,4477 47,3,2024-09-07 09:51:51:115,1,601,0,0,600,6591,601,0 48,0,2024-09-07 09:51:51:510,151189,0.3,151190,0.4,302105,0.2,401400,1.50 48,1,2024-09-07 09:51:51:022,1058012,1058012,0,0,495787560831,5148874512,1051910,5524,578,381,391710,0 48,2,2024-09-07 09:51:50:715,761084,761084,0,0,27471205,0,3524 48,3,2024-09-07 09:51:50:754,1,601,0,0,339,5358,601,0 49,0,2024-09-07 09:51:51:725,154897,0.3,151763,0.5,295435,0.3,403364,1.75 49,1,2024-09-07 09:51:51:022,1056796,1056796,0,0,495950567882,5159222467,1049525,5575,1696,382,391809,0 49,2,2024-09-07 09:51:51:802,761275,761275,0,0,29702907,0,4426 49,3,2024-09-07 09:51:51:425,1,601,0,0,992,7165,601,0 50,0,2024-09-07 09:51:51:517,151237,0.3,149574,0.5,301434,0.2,400760,1.75 50,1,2024-09-07 09:51:51:012,1060071,1060071,0,0,498075330355,5148629407,1053545,5857,669,368,391691,0 50,2,2024-09-07 09:51:51:066,759207,759207,0,0,27529634,0,4490 50,3,2024-09-07 09:51:51:296,1,601,0,0,617,6165,601,0 51,0,2024-09-07 09:51:51:708,154925,0.3,151646,0.5,295233,0.2,402865,1.75 51,1,2024-09-07 09:51:51:682,1060518,1060518,0,0,498407678572,5139305018,1054859,4578,1081,365,391706,0 51,2,2024-09-07 09:51:51:316,761353,761353,0,0,27063432,0,3337 51,3,2024-09-07 09:51:51:030,1,601,0,0,678,4308,601,0 52,0,2024-09-07 09:51:51:425,151206,0.5,150774,0.6,302055,0.4,401371,2.00 52,1,2024-09-07 09:51:50:576,1055426,1055426,0,0,495001715272,5181011970,1040403,12693,2330,368,391805,0 52,2,2024-09-07 09:51:51:761,756964,756926,38,0,36498048,0,6742 52,3,2024-09-07 09:51:50:674,1,601,1,0,1782,7101,601,0 53,0,2024-09-07 09:51:51:754,150074,0.7,145709,0.8,304887,0.7,399537,2.50 53,1,2024-09-07 09:51:50:775,1053761,1053761,0,0,494445237020,5189763563,1035577,13789,4395,367,391968,0 53,2,2024-09-07 09:51:51:298,759560,759559,1,0,32832587,0,5455 53,3,2024-09-07 09:51:50:697,1,601,0,0,308,5594,601,0 54,0,2024-09-07 09:51:51:613,148673,0.6,148821,0.8,296489,0.5,396513,2.25 54,1,2024-09-07 09:51:50:580,1056856,1056856,0,0,496395414066,5163615515,1045743,9442,1671,366,391810,0 54,2,2024-09-07 09:51:50:865,760847,760815,32,0,36608010,0,6397 54,3,2024-09-07 09:51:50:763,1,601,1,0,676,7827,601,0 55,0,2024-09-07 09:51:51:767,145318,0.6,149959,0.8,303938,0.6,396211,2.50 55,1,2024-09-07 09:51:50:763,1057149,1057149,0,0,496470602775,5163549082,1046291,9469,1389,365,391731,0 55,2,2024-09-07 09:51:50:732,758650,758594,56,0,34009724,0,7239 55,3,2024-09-07 09:51:50:674,1,601,1,0,304,5521,601,0 56,0,2024-09-07 09:51:51:550,153275,1.1,144394,1.1,297701,1.5,398428,2.75 56,1,2024-09-07 09:51:50:571,1050390,1050390,0,0,493659114168,5222420146,1032423,14278,3689,381,391867,0 56,2,2024-09-07 09:51:51:306,758595,758473,122,0,36183603,0,7432 56,3,2024-09-07 09:51:51:058,1,601,1,0,705,6944,601,0 57,0,2024-09-07 09:51:50:957,149024,1.4,148713,1.2,297842,1.9,397889,3.00 57,1,2024-09-07 09:51:50:986,1052968,1052968,0,0,494325892013,5189949144,1038545,11933,2490,366,392032,0 57,2,2024-09-07 09:51:51:318,758926,758926,0,0,37801092,0,4804 57,3,2024-09-07 09:51:51:738,1,601,1,0,455,7049,601,0 58,0,2024-09-07 09:51:50:570,148308,1.0,144117,1.0,301294,1.2,394634,2.75 58,1,2024-09-07 09:51:50:576,1054759,1054756,0,3,495190131938,5192236531,1038611,12244,3901,367,391726,3 58,2,2024-09-07 09:51:51:071,760544,760544,0,0,35506036,0,3483 58,3,2024-09-07 09:51:51:068,1,601,1,0,1043,6722,601,0 59,0,2024-09-07 09:51:51:748,149057,0.9,148621,1.0,296917,1.0,394073,3.00 59,1,2024-09-07 09:51:50:806,1053426,1053426,0,0,494905364497,5201982117,1035605,14153,3668,369,391653,0 59,2,2024-09-07 09:51:50:583,759898,759898,0,0,33591244,0,3727 59,3,2024-09-07 09:51:51:749,1,601,0,0,1015,7353,601,0 60,0,2024-09-07 09:51:51:713,150320,0.5,150497,0.7,301201,0.5,400882,1.75 60,1,2024-09-07 09:51:50:775,1058403,1058403,0,0,496402976654,5158935260,1050450,6866,1087,370,392031,0 60,2,2024-09-07 09:51:51:151,760196,760196,0,0,31736189,0,3811 60,3,2024-09-07 09:51:51:258,1,601,0,0,409,6977,601,0 61,0,2024-09-07 09:51:51:503,150328,0.6,150863,0.8,300295,0.6,400637,2.00 61,1,2024-09-07 09:51:50:774,1055138,1055138,0,0,495446372299,5186327569,1044013,9446,1679,382,392127,0 61,2,2024-09-07 09:51:51:116,760649,760582,67,0,32333136,0,6411 61,3,2024-09-07 09:51:51:699,1,601,2,0,607,7830,601,0 62,0,2024-09-07 09:51:51:711,151268,0.6,155039,0.7,296200,0.6,402900,2.00 62,1,2024-09-07 09:51:51:163,1061700,1061694,0,6,497987705178,5133843310,1056727,4668,299,365,391975,6 62,2,2024-09-07 09:51:51:646,758274,758273,1,0,32326516,0,5555 62,3,2024-09-07 09:51:51:146,1,601,1,0,482,4820,601,0 63,0,2024-09-07 09:51:51:458,151170,0.4,150932,0.6,302332,0.4,401582,1.75 63,1,2024-09-07 09:51:50:806,1057908,1057902,0,6,496850567090,5159016325,1051056,5991,855,381,391800,6 63,2,2024-09-07 09:51:50:762,760457,760457,0,0,30707802,0,4369 63,3,2024-09-07 09:51:51:739,1,601,6,0,667,6194,601,0 64,0,2024-09-07 09:51:51:532,150033,0.5,149874,0.6,299574,0.4,399011,2.00 64,1,2024-09-07 09:51:50:750,1057108,1057108,0,0,496246309592,5168972842,1047767,7364,1977,370,391794,0 64,2,2024-09-07 09:51:51:141,764565,764546,19,0,29852789,0,6121 64,3,2024-09-07 09:51:51:140,1,601,1,0,651,6896,601,0 65,0,2024-09-07 09:51:51:733,149685,0.6,150039,0.7,299712,0.6,399549,2.00 65,1,2024-09-07 09:51:50:862,1055221,1055221,0,0,494049724576,5164120012,1047742,6606,873,381,391901,0 65,2,2024-09-07 09:51:51:724,759641,759641,0,0,35846282,0,3367 65,3,2024-09-07 09:51:51:699,1,601,0,0,782,6699,601,0 66,0,2024-09-07 09:51:51:766,150310,0.5,149881,0.7,299956,0.5,399710,2.00 66,1,2024-09-07 09:51:51:301,1057669,1057669,0,0,495800793709,5158968628,1051167,5780,722,380,391743,0 66,2,2024-09-07 09:51:51:149,762402,762399,3,0,31845425,0,5455 66,3,2024-09-07 09:51:51:078,1,601,0,0,291,5104,601,0 67,0,2024-09-07 09:51:51:426,150662,0.5,150240,0.7,301503,0.5,401385,2.00 67,1,2024-09-07 09:51:50:767,1056809,1056808,0,1,496852591400,5172854354,1049409,6454,945,380,391787,1 67,2,2024-09-07 09:51:50:583,762718,762703,15,0,31641175,0,6205 67,3,2024-09-07 09:51:51:755,1,601,1,0,595,6307,601,0 68,0,2024-09-07 09:51:50:647,150833,0.6,150580,0.7,299953,0.6,401644,2.00 68,1,2024-09-07 09:51:50:571,1052571,1052571,0,0,493578092818,5189660484,1038778,10263,3530,381,391953,0 68,2,2024-09-07 09:51:51:044,756889,756789,100,0,39695841,0,8578 68,3,2024-09-07 09:51:50:732,1,601,8,0,417,7781,601,0 69,0,2024-09-07 09:51:51:755,149992,0.6,150710,0.8,300437,0.6,399341,2.25 69,1,2024-09-07 09:51:51:018,1051469,1051469,0,0,493624640387,5202428756,1037605,11031,2833,383,391994,0 69,2,2024-09-07 09:51:51:743,757538,757509,29,0,42093356,0,6912 69,3,2024-09-07 09:51:50:760,1,601,1,0,698,8649,601,0 70,0,2024-09-07 09:51:51:537,148800,0.7,149378,0.9,300165,0.6,397708,2.50 70,1,2024-09-07 09:51:50:808,1058526,1058526,0,0,496943035073,5156097353,1050614,7105,807,366,391725,0 70,2,2024-09-07 09:51:51:324,759647,759647,0,0,34770605,0,4323 70,3,2024-09-07 09:51:50:748,1,601,1,0,854,6616,601,0 71,0,2024-09-07 09:51:51:371,149146,1.0,148783,1.0,298659,1.3,398756,2.75 71,1,2024-09-07 09:51:51:601,1056194,1056194,0,0,495286644411,5169865312,1043205,11368,1621,368,391738,0 71,2,2024-09-07 09:51:51:066,759670,759670,0,0,34952580,0,4352 71,3,2024-09-07 09:51:51:755,1,601,15,0,644,6765,601,0 72,0,2024-09-07 09:51:51:075,155359,0.6,151794,0.7,296237,0.5,403224,2.00 72,1,2024-09-07 09:51:51:022,1054074,1054074,0,0,494710330182,5190221444,1038775,12784,2515,369,391819,0 72,2,2024-09-07 09:51:51:766,758364,758364,0,0,36563490,0,3983 72,3,2024-09-07 09:51:51:754,1,601,1,0,564,8841,601,0 73,0,2024-09-07 09:51:51:109,146544,0.4,150384,0.6,307428,0.4,399870,2.00 73,1,2024-09-07 09:51:50:789,1055771,1055771,0,0,495834898241,5154846186,1047368,7421,982,367,391858,0 73,2,2024-09-07 09:51:51:749,759378,759377,1,0,38093400,0,5027 73,3,2024-09-07 09:51:50:976,1,601,21,0,1091,8392,601,0 74,0,2024-09-07 09:51:51:323,151611,0.5,155226,0.6,296180,0.4,401687,2.00 74,1,2024-09-07 09:51:50:636,1055044,1055044,0,0,494816641138,5167011196,1043141,9787,2116,381,391762,0 74,2,2024-09-07 09:51:51:013,759017,759017,0,0,33273999,0,4253 74,3,2024-09-07 09:51:51:447,1,601,1,0,522,7427,601,0 75,0,2024-09-07 09:51:51:768,150732,0.5,149715,0.7,300593,0.5,402037,2.25 75,1,2024-09-07 09:51:51:598,1056281,1056281,0,0,495395501127,5163482152,1047660,7753,868,380,391739,0 75,2,2024-09-07 09:51:51:354,758619,758619,0,0,40325711,0,4766 75,3,2024-09-07 09:51:51:067,1,601,1,0,918,8165,601,0 76,0,2024-09-07 09:51:50:591,150049,0.6,149388,0.8,298873,0.6,399463,2.25 76,1,2024-09-07 09:51:50:805,1056065,1056065,0,0,495050897432,5162595819,1048736,6338,991,382,391790,0 76,2,2024-09-07 09:51:51:059,762354,762351,3,0,32663595,0,5265 76,3,2024-09-07 09:51:51:142,1,601,1,0,227,5461,601,0 77,0,2024-09-07 09:51:51:702,150229,0.5,150746,0.7,301369,0.5,400518,2.00 77,1,2024-09-07 09:51:50:826,1055703,1055703,0,0,495866275003,5172669815,1047724,7104,875,381,391869,0 77,2,2024-09-07 09:51:51:283,759789,759789,0,0,31803133,0,3890 77,3,2024-09-07 09:51:51:100,1,601,1,0,401,6826,601,0 78,0,2024-09-07 09:51:51:717,151358,0.4,150710,0.6,302298,0.4,399990,2.00 78,1,2024-09-07 09:51:50:609,1056153,1056153,0,0,495473440298,5168163304,1043312,9924,2917,367,391670,0 78,2,2024-09-07 09:51:51:414,761971,761958,13,0,29765705,0,8313 78,3,2024-09-07 09:51:51:140,1,601,2,0,311,5070,601,0 79,0,2024-09-07 09:51:51:347,145607,0.4,149108,0.6,305462,0.3,398187,2.00 79,1,2024-09-07 09:51:50:590,1059649,1059649,0,0,497695153689,5151601675,1051805,6484,1360,367,391682,0 79,2,2024-09-07 09:51:51:067,760138,760138,0,0,28880582,0,4195 79,3,2024-09-07 09:51:50:749,1,601,1,0,418,7163,601,0 80,0,2024-09-07 09:51:51:074,150439,0.6,154577,0.7,295529,0.6,399790,2.00 80,1,2024-09-07 09:51:51:619,1056004,1056004,0,0,494974018914,5155105694,1048850,6703,451,368,392269,0 80,2,2024-09-07 09:51:51:092,762424,762424,0,0,29547830,0,4433 80,3,2024-09-07 09:51:50:585,1,601,4,0,681,7391,601,0 81,0,2024-09-07 09:51:51:544,150878,0.5,154386,0.7,294798,0.5,400086,2.00 81,1,2024-09-07 09:51:51:651,1054929,1054929,0,0,495244590203,5174978601,1046767,7395,767,382,391885,0 81,2,2024-09-07 09:51:51:131,760127,760064,63,0,32813553,0,5932 81,3,2024-09-07 09:51:51:117,1,601,2,0,719,6994,601,0 82,0,2024-09-07 09:51:51:530,150547,0.5,150648,0.7,302084,0.4,400398,2.00 82,1,2024-09-07 09:51:50:584,1057541,1057537,0,4,495774085716,5153429385,1051967,4747,823,381,391768,4 82,2,2024-09-07 09:51:51:696,762121,762121,0,0,27784469,0,4484 82,3,2024-09-07 09:51:51:755,1,601,1,0,363,5696,601,0 83,0,2024-09-07 09:51:51:530,150784,0.6,150727,0.7,300931,0.6,399784,2.00 83,1,2024-09-07 09:51:50:566,1055968,1055968,0,0,495322410200,5163992380,1048382,6941,645,382,391709,0 83,2,2024-09-07 09:51:50:768,759810,759785,25,0,29913862,0,5612 83,3,2024-09-07 09:51:50:761,1,601,5,0,1260,7210,601,0 84,0,2024-09-07 09:51:51:790,148695,0.8,148831,0.9,297523,0.7,397973,2.25 84,1,2024-09-07 09:51:51:040,1054085,1054085,0,0,494839009968,5176115711,1041835,10577,1673,367,391967,0 84,2,2024-09-07 09:51:50:589,758510,758100,410,0,43510509,0,17037 84,3,2024-09-07 09:51:51:142,1,601,6,0,908,8074,601,0 85,0,2024-09-07 09:51:51:047,144632,0.6,144602,0.8,306985,0.6,396561,2.25 85,1,2024-09-07 09:51:50:562,1051409,1051409,0,0,493407323394,5210500631,1035095,13515,2799,381,392092,0 85,2,2024-09-07 09:51:50:872,759174,759174,0,0,36710024,0,4255 85,3,2024-09-07 09:51:50:688,1,601,6,0,789,6898,601,0 86,0,2024-09-07 09:51:50:885,150063,0.7,154227,0.8,294989,0.7,399037,2.25 86,1,2024-09-07 09:51:50:830,1054127,1054127,0,0,495491662153,5195730950,1040295,11146,2686,366,392169,0 86,2,2024-09-07 09:51:50:854,758629,758628,1,0,38984658,0,5004 86,3,2024-09-07 09:51:50:588,1,601,177,0,308,8537,601,0 87,0,2024-09-07 09:51:51:288,150751,0.8,150206,0.8,300296,0.9,401288,2.25 87,1,2024-09-07 09:51:50:566,1053908,1053908,0,0,494637817531,5182810374,1040528,11583,1797,366,392076,0 87,2,2024-09-07 09:51:51:070,758332,758326,6,0,35346167,0,6323 87,3,2024-09-07 09:51:51:794,1,601,1,0,473,8582,601,0 88,0,2024-09-07 09:51:51:506,150576,0.5,151050,0.6,301899,0.4,402189,1.75 88,1,2024-09-07 09:51:50:571,1052658,1052658,0,0,494355063744,5183398782,1037276,12338,3044,365,392084,0 88,2,2024-09-07 09:51:50:688,759545,759545,0,0,38112628,0,4465 88,3,2024-09-07 09:51:51:268,1,601,1,0,1080,9277,601,0 89,0,2024-09-07 09:51:51:789,154641,0.5,150000,0.7,296035,0.4,402602,1.75 89,1,2024-09-07 09:51:50:568,1052448,1052448,0,0,495090888501,5207462296,1039464,11040,1944,382,391866,0 89,2,2024-09-07 09:51:51:139,759213,759213,0,0,35901785,0,3173 89,3,2024-09-07 09:51:51:791,1,601,7,0,468,10116,601,0 90,0,2024-09-07 09:51:51:620,145970,0.5,149968,0.6,306026,0.4,399004,2.00 90,1,2024-09-07 09:51:50:593,1054587,1054587,0,0,494610380098,5180954484,1044493,9201,893,380,391825,0 90,2,2024-09-07 09:51:51:415,756978,756973,5,0,39420165,0,6370 90,3,2024-09-07 09:51:50:931,1,601,6,0,322,7310,601,0 91,0,2024-09-07 09:51:50:936,150837,0.4,146369,0.6,306374,0.4,401287,1.75 91,1,2024-09-07 09:51:50:559,1051769,1051769,0,0,494261743422,5208964088,1035914,12707,3148,381,392047,0 91,2,2024-09-07 09:51:51:341,760070,760070,0,0,34659295,0,4713 91,3,2024-09-07 09:51:50:600,1,601,10,0,216,5419,601,0 92,0,2024-09-07 09:51:51:460,152330,0.5,155714,0.6,296824,0.4,402884,1.75 92,1,2024-09-07 09:51:50:592,1055514,1055514,0,0,495764725118,5178261172,1047154,7073,1287,381,392136,0 92,2,2024-09-07 09:51:51:352,760063,760063,0,0,30388069,0,3259 92,3,2024-09-07 09:51:51:014,1,601,46,0,167,5197,601,0 93,0,2024-09-07 09:51:50:978,151567,0.4,155260,0.6,296566,0.4,401593,1.75 93,1,2024-09-07 09:51:50:805,1055699,1055699,0,0,495571490821,5172095594,1044164,9607,1928,365,392048,0 93,2,2024-09-07 09:51:50:928,760283,760283,0,0,36190553,0,4913 93,3,2024-09-07 09:51:51:411,1,601,0,0,294,5890,601,0 94,0,2024-09-07 09:51:51:605,150101,0.4,151190,0.5,302396,0.3,401408,1.75 94,1,2024-09-07 09:51:50:563,1056304,1056304,0,0,495174515575,5163805854,1049358,6512,434,381,391850,0 94,2,2024-09-07 09:51:50:760,760728,760700,28,0,31136138,0,6179 94,3,2024-09-07 09:51:51:696,1,601,1,0,576,7456,601,0 95,0,2024-09-07 09:51:51:342,150843,0.3,150893,0.5,301945,0.3,402268,1.75 95,1,2024-09-07 09:51:50:852,1057442,1057442,0,0,495885849511,5153259626,1049009,7685,748,365,391852,0 95,2,2024-09-07 09:51:51:019,759716,759716,0,0,30585018,0,3308 95,3,2024-09-07 09:51:51:708,1,601,2,0,718,9412,601,0 96,0,2024-09-07 09:51:51:035,150772,0.4,151215,0.5,302140,0.3,401994,1.75 96,1,2024-09-07 09:51:51:588,1055911,1055911,0,0,495466589733,5167692625,1048529,6109,1273,384,391964,0 96,2,2024-09-07 09:51:51:270,760606,760606,0,0,31745689,0,4180 96,3,2024-09-07 09:51:51:163,1,601,14,0,411,6968,601,0 97,0,2024-09-07 09:51:51:316,150850,0.3,150564,0.5,302125,0.3,401244,1.75 97,1,2024-09-07 09:51:50:773,1057357,1057357,0,0,496900583756,5156643124,1050428,5891,1038,367,392140,0 97,2,2024-09-07 09:51:50:606,761596,761596,0,0,31422672,0,4046 97,3,2024-09-07 09:51:50:582,1,601,0,0,242,6803,601,0 98,0,2024-09-07 09:51:51:703,150470,0.3,150523,0.5,302084,0.3,401830,1.50 98,1,2024-09-07 09:51:50:579,1056355,1056355,0,0,495344894086,5160103478,1049871,5649,835,381,391997,0 98,2,2024-09-07 09:51:50:769,760842,760842,0,0,30177713,0,4336 98,3,2024-09-07 09:51:50:698,1,601,16,0,840,9094,601,0 99,0,2024-09-07 09:51:51:466,150724,0.3,151576,0.5,301472,0.3,402033,1.75 99,1,2024-09-07 09:51:51:723,1057123,1057123,0,0,494980981724,5151190564,1050757,5424,942,380,392069,0 99,2,2024-09-07 09:51:51:455,760069,760069,0,0,37388348,0,4276 99,3,2024-09-07 09:51:50:581,1,601,2,0,1124,7761,601,0 100,0,2024-09-07 09:51:51:472,150070,0.7,150311,0.9,300466,0.8,401357,2.50 100,1,2024-09-07 09:51:50:558,1050876,1050876,0,0,492595920267,5208620808,1034855,12704,3317,378,391989,0 100,2,2024-09-07 09:51:51:817,755602,755213,389,0,40519628,0,16909 100,3,2024-09-07 09:51:51:732,1,601,3,0,627,10086,601,0 101,0,2024-09-07 09:51:51:709,153570,1.1,149820,1.1,293192,1.2,400488,2.25 101,1,2024-09-07 09:51:50:561,1052711,1052711,0,0,494362448964,5195754705,1038569,11614,2528,368,391847,0 101,2,2024-09-07 09:51:51:760,755942,755942,0,0,40456068,0,4871 101,3,2024-09-07 09:51:50:949,1,601,15,0,1250,9028,601,0 102,0,2024-09-07 09:51:50:945,146422,0.7,150650,0.8,306258,0.7,400738,2.25 102,1,2024-09-07 09:51:51:142,1052629,1052629,0,0,493505282926,5185026622,1038504,11926,2199,369,391984,0 102,2,2024-09-07 09:51:51:736,760729,760675,54,0,34633825,0,6768 102,3,2024-09-07 09:51:51:630,1,601,8,0,466,6919,601,0 103,0,2024-09-07 09:51:51:647,155463,0.6,155447,0.7,292867,0.6,403156,2.00 103,1,2024-09-07 09:51:51:647,1051100,1051100,0,0,493678351480,5215240405,1034019,13480,3601,381,392077,0 103,2,2024-09-07 09:51:50:592,757495,757495,0,0,37409232,0,3766 103,3,2024-09-07 09:51:50:755,1,601,1,0,916,7112,601,0 104,0,2024-09-07 09:51:51:058,149527,0.7,149757,0.9,298859,0.7,399764,2.25 104,1,2024-09-07 09:51:51:616,1053761,1053761,0,0,494095347050,5192727131,1038230,12687,2844,365,392168,0 104,2,2024-09-07 09:51:51:673,757033,757033,0,0,37011864,0,4161 104,3,2024-09-07 09:51:51:417,1,601,29,0,1245,10649,601,0 105,0,2024-09-07 09:51:51:082,148802,0.9,144896,1.1,303738,1.1,399734,2.75 105,1,2024-09-07 09:51:50:567,1055559,1055559,0,0,494997161827,5187148567,1041993,11625,1941,364,392009,0 105,2,2024-09-07 09:51:51:324,759029,759029,0,0,37126021,0,4360 105,3,2024-09-07 09:51:51:312,1,601,4,0,573,9181,601,0 106,0,2024-09-07 09:51:50:947,145321,1.0,148893,1.0,304905,1.2,397993,2.50 106,1,2024-09-07 09:51:51:752,1054393,1054393,0,0,494261828254,5188098944,1039738,12761,1894,368,391914,0 106,2,2024-09-07 09:51:50:756,758424,758424,0,0,35000556,0,3331 106,3,2024-09-07 09:51:50:677,1,601,1,0,1224,8423,601,0 107,0,2024-09-07 09:51:51:113,150473,0.9,150552,0.9,301037,1.1,401258,2.25 107,1,2024-09-07 09:51:50:586,1051221,1051221,0,0,493059077380,5211680381,1033845,15242,2134,381,392234,0 107,2,2024-09-07 09:51:51:298,757209,757208,1,0,38121191,0,5024 107,3,2024-09-07 09:51:51:761,1,601,1,0,733,9118,601,0 108,0,2024-09-07 09:51:51:772,150737,0.4,151151,0.6,301272,0.4,401519,1.75 108,1,2024-09-07 09:51:51:298,1055573,1055573,0,0,495590720213,5168915467,1046880,7698,995,367,391894,0 108,2,2024-09-07 09:51:51:755,760264,760264,0,0,32811864,0,4246 108,3,2024-09-07 09:51:51:330,1,601,1,0,749,10876,601,0 109,0,2024-09-07 09:51:51:764,151516,0.4,150307,0.6,301310,0.3,402555,1.75 109,1,2024-09-07 09:51:50:589,1052811,1052811,0,0,495338934758,5195769905,1043335,8198,1278,382,392132,0 109,2,2024-09-07 09:51:50:921,757710,757710,0,0,33646237,0,3617 109,3,2024-09-07 09:51:51:141,1,601,12,0,630,7331,601,0 110,0,2024-09-07 09:51:51:751,150479,0.4,146387,0.6,306548,0.4,401542,1.75 110,1,2024-09-07 09:51:51:644,1057436,1057436,0,0,496561140706,5154960909,1049392,6117,1927,368,392045,0 110,2,2024-09-07 09:51:51:312,760754,760754,0,0,31152929,0,4067 110,3,2024-09-07 09:51:50:705,1,601,1,0,722,8040,601,0 111,0,2024-09-07 09:51:51:428,151685,0.4,150877,0.5,301672,0.3,402305,1.75 111,1,2024-09-07 09:51:51:062,1058538,1058538,0,0,496837019545,5152454653,1053055,5102,381,380,391690,0 111,2,2024-09-07 09:51:51:119,760930,760930,0,0,31670816,0,4823 111,3,2024-09-07 09:51:50:918,1,601,0,0,379,6528,601,0 112,0,2024-09-07 09:51:50:920,151456,0.3,150910,0.4,302373,0.2,401633,1.50 112,1,2024-09-07 09:51:50:832,1057132,1057132,0,0,495861529519,5149912926,1049930,5977,1225,380,391624,0 112,2,2024-09-07 09:51:51:155,760277,760276,1,0,30061795,0,5036 112,3,2024-09-07 09:51:50:596,1,601,0,0,282,5737,601,0 113,0,2024-09-07 09:51:50:880,150822,0.3,150830,0.5,301985,0.2,402168,1.75 113,1,2024-09-07 09:51:51:690,1059628,1059628,0,0,498121248847,5140069622,1053397,5283,948,365,391664,0 113,2,2024-09-07 09:51:51:310,762460,762460,0,0,28508592,0,3813 113,3,2024-09-07 09:51:50:686,1,601,1,0,510,6497,601,0 114,0,2024-09-07 09:51:50:876,150489,0.3,151414,0.5,301798,0.2,403532,1.75 114,1,2024-09-07 09:51:50:716,1057751,1057751,0,0,496070363637,5151926897,1049810,6194,1747,381,391565,0 114,2,2024-09-07 09:51:50:875,762691,762690,1,0,29723137,0,5069 114,3,2024-09-07 09:51:51:279,1,601,0,0,395,4863,601,0 115,0,2024-09-07 09:51:50:555,151267,0.3,151918,0.4,302357,0.2,402640,1.50 115,1,2024-09-07 09:51:50:572,1058047,1058047,0,0,496201192816,5155573411,1049791,6739,1517,382,391757,0 115,2,2024-09-07 09:51:51:141,762423,762423,0,0,29795103,0,4382 115,3,2024-09-07 09:51:51:002,1,601,1,0,167,3711,601,0 116,0,2024-09-07 09:51:51:697,150064,0.6,149919,0.8,300393,0.6,401347,2.00 116,1,2024-09-07 09:51:50:807,1052139,1052139,0,0,493044346167,5197674687,1039493,9557,3089,380,392089,0 116,2,2024-09-07 09:51:51:755,759479,759479,0,0,37188325,0,4475 116,3,2024-09-07 09:51:50:916,1,601,3,0,448,7768,601,0 117,0,2024-09-07 09:51:51:019,150951,0.7,150269,0.8,301062,0.7,402524,2.00 117,1,2024-09-07 09:51:51:586,1053967,1053967,0,0,494479998779,5178963795,1042203,10241,1523,369,392429,0 117,2,2024-09-07 09:51:51:118,760880,760880,0,0,33599405,0,4303 117,3,2024-09-07 09:51:51:060,1,601,18,0,490,7680,601,0 118,0,2024-09-07 09:51:51:762,146017,0.5,149865,0.7,306156,0.5,400789,2.00 118,1,2024-09-07 09:51:50:590,1053171,1053171,0,0,493911164075,5193613592,1037397,12152,3622,366,392054,0 118,2,2024-09-07 09:51:51:588,759147,759147,0,0,35833072,0,2842 118,3,2024-09-07 09:51:51:764,1,601,1,0,289,7128,601,0 119,0,2024-09-07 09:51:51:344,150091,0.8,150853,0.8,300790,0.9,401008,2.25 119,1,2024-09-07 09:51:50:559,1053785,1053785,0,0,494784405102,5190279427,1039089,12184,2512,367,391857,0 119,2,2024-09-07 09:51:51:267,760925,760925,0,0,33701140,0,4309 119,3,2024-09-07 09:51:51:325,1,601,1,0,1358,11072,601,0 120,0,2024-09-07 09:51:51:562,149574,0.7,149476,0.8,300331,0.7,399949,2.25 120,1,2024-09-07 09:51:50:932,1054760,1054760,0,0,494174193035,5184413245,1043082,10536,1142,367,392144,0 120,2,2024-09-07 09:51:50:787,758931,758928,3,0,40237058,0,5363 120,3,2024-09-07 09:51:51:296,1,601,1,0,279,7299,601,0 121,0,2024-09-07 09:51:51:708,150601,1.1,150017,1.0,300393,1.4,400237,2.25 121,1,2024-09-07 09:51:51:663,1054146,1054146,0,0,494821803250,5178996857,1042859,9734,1553,366,391840,0 121,2,2024-09-07 09:51:51:130,759274,759274,0,0,36723139,0,4157 121,3,2024-09-07 09:51:50:727,1,601,56,0,387,8001,601,0 122,0,2024-09-07 09:51:51:802,150131,0.8,146085,0.9,306081,0.9,402788,2.00 122,1,2024-09-07 09:51:50:864,1053324,1053324,0,0,493756033981,5184413921,1038323,12589,2412,365,392130,0 122,2,2024-09-07 09:51:51:319,760327,760252,75,0,40372738,0,5989 122,3,2024-09-07 09:51:50:594,1,601,1,0,512,9776,601,0 123,0,2024-09-07 09:51:51:040,150071,0.9,145981,0.9,305797,1.0,400313,2.25 123,1,2024-09-07 09:51:50:562,1053267,1053267,0,0,494786577477,5203633880,1036453,14142,2672,369,392039,0 123,2,2024-09-07 09:51:51:020,757682,757681,1,0,34367659,0,5215 123,3,2024-09-07 09:51:51:140,1,601,9,0,478,6885,601,0 124,0,2024-09-07 09:51:50:933,154857,0.3,154819,0.5,291943,0.3,401919,1.75 124,1,2024-09-07 09:51:51:022,1057562,1057562,0,0,495434332115,5150313311,1049828,6559,1175,365,392178,0 124,2,2024-09-07 09:51:51:013,762564,762511,53,0,31015077,0,6487 124,3,2024-09-07 09:51:50:764,1,601,2,0,490,5960,601,0 125,0,2024-09-07 09:51:51:435,150547,0.4,150674,0.5,302225,0.3,402221,1.75 125,1,2024-09-07 09:51:50:855,1054378,1054378,0,0,494592846762,5163001486,1046311,6916,1151,382,391968,0 125,2,2024-09-07 09:51:51:115,762634,762634,0,0,31170107,0,4534 125,3,2024-09-07 09:51:51:130,1,601,1,0,709,6936,601,0 126,0,2024-09-07 09:51:51:469,151104,0.4,155284,0.5,297126,0.4,402610,1.75 126,1,2024-09-07 09:51:50:562,1058153,1058153,0,0,496517135017,5140095335,1052636,5070,447,365,391987,0 126,2,2024-09-07 09:51:50:614,761431,761431,0,0,32399730,0,4539 126,3,2024-09-07 09:51:50:910,1,601,2,0,268,6622,601,0 127,0,2024-09-07 09:51:51:611,151181,0.3,151286,0.5,301864,0.3,401625,1.75 127,1,2024-09-07 09:51:50:571,1056339,1056339,0,0,495794309082,5159111930,1045664,9038,1637,364,392187,0 127,2,2024-09-07 09:51:50:638,760552,760548,4,0,30515428,0,5305 127,3,2024-09-07 09:51:51:268,1,601,3,0,968,5821,601,0 128,0,2024-09-07 09:51:51:520,151372,0.3,151449,0.4,302570,0.2,402491,1.50 128,1,2024-09-07 09:51:51:608,1056355,1056355,0,0,495890241120,5158601686,1047941,7450,964,367,392423,0 128,2,2024-09-07 09:51:51:406,762372,762372,0,0,28439140,0,3171 128,3,2024-09-07 09:51:50:767,1,601,1,0,1082,9572,601,0 129,0,2024-09-07 09:51:51:044,151894,0.3,151118,0.5,302919,0.3,402538,1.50 129,1,2024-09-07 09:51:50:570,1053307,1053307,0,0,494946637001,5188005398,1042844,8441,2022,379,391962,0 129,2,2024-09-07 09:51:50:694,762243,762239,4,0,31010397,0,5335 129,3,2024-09-07 09:51:50:687,1,601,2,0,506,8279,601,0 130,0,2024-09-07 09:51:51:727,151260,0.4,150653,0.5,302183,0.4,402622,1.75 130,1,2024-09-07 09:51:50:583,1057204,1057204,0,0,496145668458,5153387002,1051767,5040,397,381,391825,0 130,2,2024-09-07 09:51:51:150,759933,759933,0,0,31226757,0,4067 130,3,2024-09-07 09:51:51:297,1,601,1,0,960,8290,601,0 131,0,2024-09-07 09:51:51:931,150463,0.3,150903,0.5,302660,0.3,402205,1.75 131,1,2024-09-07 09:51:51:830,1056482,1056482,0,0,495840841650,5168777293,1049255,6029,1198,381,391865,0 131,2,2024-09-07 09:51:50:573,761277,761277,0,0,28961575,0,3979 131,3,2024-09-07 09:51:51:700,1,601,1,0,392,7722,601,0 132,0,2024-09-07 09:51:51:457,150717,0.5,151633,0.6,302068,0.5,401857,2.00 132,1,2024-09-07 09:51:50:580,1052019,1052019,0,0,493478629108,5196488973,1036579,12736,2704,381,392532,0 132,2,2024-09-07 09:51:50:699,760195,760178,17,0,37677238,0,6451 132,3,2024-09-07 09:51:51:702,1,601,9,0,1298,10802,601,0 133,0,2024-09-07 09:51:51:516,146752,0.4,150136,0.6,307805,0.4,400657,2.00 133,1,2024-09-07 09:51:50:583,1052676,1052676,0,0,493936711941,5204440892,1038599,12313,1764,383,391914,0 133,2,2024-09-07 09:51:51:105,759992,759942,50,0,38619504,0,6861 133,3,2024-09-07 09:51:51:305,1,601,2,0,528,7266,601,0 134,0,2024-09-07 09:51:51:008,150826,0.5,150956,0.7,302071,0.5,401883,2.00 134,1,2024-09-07 09:51:50:589,1053725,1053725,0,0,494136835675,5183627105,1039949,11289,2487,366,391781,0 134,2,2024-09-07 09:51:51:762,759851,759827,24,0,35816197,0,6207 134,3,2024-09-07 09:51:50:749,1,601,2,0,739,7443,601,0 135,0,2024-09-07 09:51:51:107,145781,0.8,145755,0.8,309431,0.9,399297,2.25 135,1,2024-09-07 09:51:51:588,1053060,1053060,0,0,494420068871,5198593894,1040043,11377,1640,380,391805,0 135,2,2024-09-07 09:51:50:688,760489,760489,0,0,36576126,0,4503 135,3,2024-09-07 09:51:51:002,1,601,2,0,900,5899,601,0 136,0,2024-09-07 09:51:51:612,150850,0.6,151406,0.8,300505,0.6,401080,2.25 136,1,2024-09-07 09:51:51:449,1054639,1054639,0,0,494617671939,5185237038,1042736,10515,1388,381,392135,0 136,2,2024-09-07 09:51:51:138,761336,761321,15,0,35962150,0,6007 136,3,2024-09-07 09:51:51:114,1,601,3,0,637,6965,601,0 137,0,2024-09-07 09:51:50:928,155546,0.6,151541,0.7,296922,0.6,403057,2.00 137,1,2024-09-07 09:51:50:576,1053490,1053490,0,0,493871812877,5181526070,1036953,13149,3388,366,391898,0 137,2,2024-09-07 09:51:51:711,758907,758907,0,0,37097980,0,3185 137,3,2024-09-07 09:51:50:769,1,601,1,0,484,8249,601,0 138,0,2024-09-07 09:51:51:786,150060,0.7,150470,0.8,301261,0.8,399963,2.00 138,1,2024-09-07 09:51:51:696,1053899,1053899,0,0,494989367974,5193210435,1039128,12501,2270,368,391954,0 138,2,2024-09-07 09:51:50:586,759308,759308,0,0,35401770,0,4988 138,3,2024-09-07 09:51:50:610,1,601,1,0,1200,9421,601,0 139,0,2024-09-07 09:51:51:412,149541,1.1,150147,0.9,300118,1.5,400858,2.25 139,1,2024-09-07 09:51:50:572,1049475,1049475,0,0,491148583021,5209267582,1031088,14562,3825,380,392109,0 139,2,2024-09-07 09:51:50:696,754756,754726,30,0,40694342,0,5997 139,3,2024-09-07 09:51:51:662,1,601,11,0,432,7160,601,0 140,0,2024-09-07 09:51:51:594,151218,0.3,150323,0.5,301633,0.2,401863,1.75 140,1,2024-09-07 09:51:51:546,1059906,1059906,0,0,497480893994,5128054158,1054937,4423,546,364,391606,0 140,2,2024-09-07 09:51:50:687,761120,761119,1,0,28873344,0,5036 140,3,2024-09-07 09:51:50:766,1,601,3,0,575,6002,601,0 141,0,2024-09-07 09:51:51:715,151213,0.3,155424,0.5,297056,0.2,402191,1.75 141,1,2024-09-07 09:51:50:862,1058362,1058362,0,0,497231527130,5165037489,1050163,7006,1193,379,391614,0 141,2,2024-09-07 09:51:51:698,761527,761516,11,0,31005004,0,5369 141,3,2024-09-07 09:51:51:051,1,601,2,0,391,6974,601,0 142,0,2024-09-07 09:51:51:355,151513,0.3,150746,0.5,301731,0.2,402536,1.50 142,1,2024-09-07 09:51:50:584,1056385,1056385,0,0,495634423764,5166093909,1049675,6203,507,382,392102,0 142,2,2024-09-07 09:51:51:299,759779,759747,32,0,31510434,0,6028 142,3,2024-09-07 09:51:51:746,1,601,0,0,484,6372,601,0 143,0,2024-09-07 09:51:51:382,150699,0.4,150679,0.5,302355,0.4,402142,1.75 143,1,2024-09-07 09:51:50:559,1058527,1058527,0,0,495464067011,5140229904,1052062,5897,568,367,391900,0 143,2,2024-09-07 09:51:50:772,760514,760514,0,0,31383560,0,3123 143,3,2024-09-07 09:51:51:141,1,601,13,0,462,7285,601,0 144,0,2024-09-07 09:51:51:505,145748,0.6,150001,0.8,305175,0.6,399913,2.00 144,1,2024-09-07 09:51:50:569,1052912,1052912,0,0,494343832433,5188075894,1042320,8600,1992,381,391733,0 144,2,2024-09-07 09:51:51:758,761871,761871,0,0,30783613,0,4443 144,3,2024-09-07 09:51:51:738,1,601,1,0,249,5953,601,0 145,0,2024-09-07 09:51:51:368,145689,0.6,145700,0.8,309319,0.5,398463,2.25 145,1,2024-09-07 09:51:50:562,1052374,1052374,0,0,493494155570,5193380491,1038351,11350,2673,382,391781,0 145,2,2024-09-07 09:51:51:446,759016,758934,82,0,36552303,0,7814 145,3,2024-09-07 09:51:50:925,1,601,34,0,622,7988,601,0 146,0,2024-09-07 09:51:51:607,150314,0.5,149692,0.7,300846,0.5,399602,2.00 146,1,2024-09-07 09:51:51:587,1053636,1053636,0,0,494182001115,5200851846,1036134,13021,4481,367,391770,0 146,2,2024-09-07 09:51:51:698,758783,758777,6,0,34855100,0,5151 146,3,2024-09-07 09:51:51:276,1,601,1,0,1520,9782,601,0 147,0,2024-09-07 09:51:51:712,150853,0.6,150573,0.7,300919,0.5,401830,2.00 147,1,2024-09-07 09:51:51:374,1057456,1057456,0,0,496094116208,5155191702,1048964,7525,967,367,391791,0 147,2,2024-09-07 09:51:51:012,759316,759316,0,0,30957835,0,2968 147,3,2024-09-07 09:51:50:917,1,601,11,0,1626,9604,601,0 0,0,2024-09-07 09:52:01:750,146350,0.6,146306,0.7,310661,0.6,400904,2.00 0,1,2024-09-07 09:52:00:804,1056015,1056015,0,0,495958129716,5191963259,1047475,7594,946,368,391896,0 0,2,2024-09-07 09:52:01:068,762100,762100,0,0,31007430,0,4480 0,3,2024-09-07 09:52:00:973,1,602,1,0,431,8677,602,0 1,0,2024-09-07 09:52:01:748,150536,0.8,149473,0.9,300363,1.0,401005,2.00 1,1,2024-09-07 09:52:00:567,1055363,1055363,0,0,494534413622,5180577338,1045890,7982,1491,370,391859,0 1,2,2024-09-07 09:52:00:639,761647,761647,0,0,30484098,0,3380 1,3,2024-09-07 09:52:01:302,1,602,3,0,269,7503,602,0 2,0,2024-09-07 09:52:01:578,151022,0.6,150886,0.7,301451,0.6,402661,2.00 2,1,2024-09-07 09:52:00:870,1058688,1058688,0,0,497420728734,5172069342,1052513,5225,950,379,391745,0 2,2,2024-09-07 09:52:01:267,761465,761465,0,0,29085039,0,3594 2,3,2024-09-07 09:52:00:697,1,602,1,0,357,5434,602,0 3,0,2024-09-07 09:52:01:743,150687,0.4,150598,0.6,300643,0.4,400619,2.00 3,1,2024-09-07 09:52:01:621,1057069,1057069,0,0,496611593898,5165281166,1049458,6907,704,379,391716,0 3,2,2024-09-07 09:52:01:146,762486,762463,23,0,30175205,0,5851 3,3,2024-09-07 09:52:01:754,1,602,0,0,484,4603,602,0 4,0,2024-09-07 09:52:01:760,146496,0.4,150620,0.5,306863,0.3,401355,1.75 4,1,2024-09-07 09:52:00:611,1052607,1052607,0,0,493256702132,5221085292,1034798,14055,3754,370,391992,0 4,2,2024-09-07 09:52:01:018,758785,758785,0,0,36963265,0,4534 4,3,2024-09-07 09:52:01:027,1,602,26,0,448,7366,602,0 5,0,2024-09-07 09:52:01:405,151210,0.4,151153,0.5,302401,0.3,402239,1.75 5,1,2024-09-07 09:52:00:757,1053484,1053484,0,0,494845071554,5224751740,1037154,12204,4126,367,392005,0 5,2,2024-09-07 09:52:01:838,758533,758533,0,0,35735373,0,3582 5,3,2024-09-07 09:52:01:736,1,602,6,0,457,8373,602,0 6,0,2024-09-07 09:52:00:919,151403,0.5,151083,0.6,302291,0.4,402985,2.00 6,1,2024-09-07 09:52:00:746,1056430,1056430,0,0,495571393503,5176606724,1045353,9504,1573,379,391702,0 6,2,2024-09-07 09:52:01:116,761150,761132,18,0,34690682,0,5535 6,3,2024-09-07 09:52:01:275,1,602,9,0,710,7386,602,0 7,0,2024-09-07 09:52:01:543,150300,0.5,151312,0.7,300342,0.5,400832,2.00 7,1,2024-09-07 09:52:00:850,1053961,1053961,0,0,494461698530,5207171354,1037634,12561,3766,382,391747,0 7,2,2024-09-07 09:52:00:773,760942,760942,0,0,33384139,0,4791 7,3,2024-09-07 09:52:00:853,1,602,2,0,552,7090,602,0 8,0,2024-09-07 09:52:01:353,151382,0.4,151112,0.5,302616,0.3,403325,1.75 8,1,2024-09-07 09:52:01:017,1053530,1053530,0,0,494953586128,5205833635,1036813,13130,3587,366,392853,0 8,2,2024-09-07 09:52:00:805,757365,757363,2,0,39581730,0,5112 8,3,2024-09-07 09:52:00:597,1,602,1,0,772,9578,602,0 9,0,2024-09-07 09:52:01:114,150724,0.4,146666,0.5,306788,0.3,402311,1.75 9,1,2024-09-07 09:52:00:569,1053548,1053548,0,0,494200650929,5209873110,1036860,13461,3227,369,392001,0 9,2,2024-09-07 09:52:01:091,759870,759869,1,0,37387706,0,5281 9,3,2024-09-07 09:52:01:755,1,602,1,0,1273,10248,602,0 10,0,2024-09-07 09:52:01:621,150768,0.3,150216,0.5,301444,0.3,402105,1.75 10,1,2024-09-07 09:52:00:585,1055237,1055237,0,0,495364624412,5197883685,1039515,12658,3064,381,391981,0 10,2,2024-09-07 09:52:00:771,760238,760238,0,0,39992867,0,4713 10,3,2024-09-07 09:52:00:871,1,602,0,0,669,6792,602,0 11,0,2024-09-07 09:52:01:006,150588,0.4,146081,0.6,305555,0.4,402463,1.75 11,1,2024-09-07 09:52:00:591,1056784,1056784,0,0,495542134593,5199275727,1040961,11520,4303,383,391766,0 11,2,2024-09-07 09:52:01:128,760579,760579,0,0,35452782,0,4698 11,3,2024-09-07 09:52:01:303,1,602,2,0,843,7802,602,0 12,0,2024-09-07 09:52:00:980,151829,0.4,151797,0.6,303043,0.4,403254,1.75 12,1,2024-09-07 09:52:00:949,1056520,1056520,0,0,495742825808,5170052558,1048144,7368,1008,370,391960,0 12,2,2024-09-07 09:52:01:544,760398,760398,0,0,34226839,0,4390 12,3,2024-09-07 09:52:01:064,1,602,2,0,386,7561,602,0 13,0,2024-09-07 09:52:01:343,151558,0.4,151626,0.5,302321,0.4,402830,1.75 13,1,2024-09-07 09:52:01:524,1054053,1054053,0,0,495466691560,5207648255,1043162,8501,2390,382,391803,0 13,2,2024-09-07 09:52:00:595,762967,762967,0,0,30615942,0,3287 13,3,2024-09-07 09:52:01:762,1,602,4,0,522,7976,602,0 14,0,2024-09-07 09:52:00:562,150725,0.4,151848,0.6,301331,0.3,401851,1.75 14,1,2024-09-07 09:52:01:560,1061260,1061260,0,0,497953815071,5152888046,1052802,7329,1129,364,391673,0 14,2,2024-09-07 09:52:00:764,761599,761569,30,0,32024790,0,6104 14,3,2024-09-07 09:52:01:115,1,602,2,0,1168,6568,602,0 15,0,2024-09-07 09:52:01:560,150899,0.4,150091,0.6,300881,0.4,401589,2.00 15,1,2024-09-07 09:52:01:613,1058091,1058091,0,0,496160778310,5167287815,1049017,7161,1913,381,391619,0 15,2,2024-09-07 09:52:00:997,763166,763166,0,0,27716216,0,3622 15,3,2024-09-07 09:52:01:405,1,602,4,0,1126,7887,602,0 16,0,2024-09-07 09:52:00:938,150461,0.6,151141,0.8,301751,0.6,401479,2.00 16,1,2024-09-07 09:52:00:572,1057982,1057982,0,0,495690440092,5182609986,1048546,7992,1444,370,392194,0 16,2,2024-09-07 09:52:01:434,760686,760686,0,0,31602338,0,4719 16,3,2024-09-07 09:52:01:152,1,602,6,0,358,7573,602,0 17,0,2024-09-07 09:52:01:771,155392,0.6,151481,0.8,296731,0.6,403282,2.00 17,1,2024-09-07 09:52:00:597,1055778,1055778,0,0,494527470997,5187586056,1045486,8520,1772,368,392075,0 17,2,2024-09-07 09:52:01:669,763910,763909,1,0,32883414,0,5050 17,3,2024-09-07 09:52:00:589,1,602,1,0,518,8787,602,0 18,0,2024-09-07 09:52:00:944,149528,0.6,150434,0.8,299394,0.6,399592,2.25 18,1,2024-09-07 09:52:01:640,1060269,1060269,0,0,497133631762,5156374583,1053206,5806,1257,367,391725,0 18,2,2024-09-07 09:52:01:755,764015,764015,0,0,29238343,0,3541 18,3,2024-09-07 09:52:00:900,1,602,4,0,1059,5562,602,0 19,0,2024-09-07 09:52:01:549,150752,0.6,150922,0.8,300608,0.6,400175,2.00 19,1,2024-09-07 09:52:00:582,1060037,1060037,0,0,497746207069,5159047529,1052237,6667,1133,365,391777,0 19,2,2024-09-07 09:52:01:755,764022,764022,0,0,27665247,0,3988 19,3,2024-09-07 09:52:01:149,1,602,1,0,524,4611,602,0 20,0,2024-09-07 09:52:01:381,150692,0.6,150596,0.7,300932,0.6,401169,2.00 20,1,2024-09-07 09:52:00:580,1055579,1055579,0,0,495539997246,5193362087,1044843,9229,1507,369,391922,0 20,2,2024-09-07 09:52:00:934,760999,760999,0,0,34305867,0,4321 20,3,2024-09-07 09:52:00:619,1,602,21,0,468,9744,602,0 21,0,2024-09-07 09:52:01:171,151213,0.5,151323,0.6,302337,0.4,401733,2.00 21,1,2024-09-07 09:52:01:536,1053873,1053873,0,0,493887168751,5214267090,1037004,13034,3835,368,392016,0 21,2,2024-09-07 09:52:01:069,756106,755629,477,0,44996842,0,17074 21,3,2024-09-07 09:52:01:410,1,602,1,0,713,8664,602,0 22,0,2024-09-07 09:52:01:718,150804,0.5,151439,0.6,302145,0.4,401278,2.00 22,1,2024-09-07 09:52:01:033,1054835,1054835,0,0,493509426758,5195347503,1037018,14277,3540,382,391822,0 22,2,2024-09-07 09:52:00:759,759918,759892,26,0,32745322,0,6328 22,3,2024-09-07 09:52:01:067,1,602,81,0,228,5223,602,0 23,0,2024-09-07 09:52:01:369,150698,0.5,150434,0.7,300855,0.5,401088,2.00 23,1,2024-09-07 09:52:01:011,1054947,1054947,0,0,495879360875,5225670529,1035474,12895,6578,365,391690,0 23,2,2024-09-07 09:52:01:095,761851,761851,0,0,31408008,0,3773 23,3,2024-09-07 09:52:01:754,1,602,0,0,855,8838,602,0 24,0,2024-09-07 09:52:00:838,151626,0.4,150886,0.6,303422,0.4,403274,1.75 24,1,2024-09-07 09:52:00:609,1055385,1055385,0,0,494672726916,5178426627,1045321,8355,1709,367,392269,0 24,2,2024-09-07 09:52:01:080,761116,761113,3,0,37932992,0,6294 24,3,2024-09-07 09:52:01:698,1,602,30,0,468,7712,602,0 25,0,2024-09-07 09:52:01:359,155243,0.4,151072,0.6,296634,0.4,404336,2.00 25,1,2024-09-07 09:52:00:598,1053541,1053541,0,0,494050112490,5220777339,1034782,15048,3711,369,391928,0 25,2,2024-09-07 09:52:01:619,759586,759586,0,0,38496372,0,3978 25,3,2024-09-07 09:52:00:999,1,602,1,0,532,6519,602,0 26,0,2024-09-07 09:52:01:734,149812,0.4,146475,0.6,307617,0.3,400276,1.75 26,1,2024-09-07 09:52:01:545,1057132,1057132,0,0,495471108715,5187840118,1042796,11803,2533,380,391748,0 26,2,2024-09-07 09:52:00:861,760708,760708,0,0,41221419,0,4689 26,3,2024-09-07 09:52:01:715,1,602,0,0,796,7572,602,0 27,0,2024-09-07 09:52:01:725,150931,0.4,151515,0.6,301368,0.3,401998,2.25 27,1,2024-09-07 09:52:01:682,1057856,1057856,0,0,496877724915,5178655083,1047458,8789,1609,381,391626,0 27,2,2024-09-07 09:52:00:872,757201,757136,65,0,35924264,0,5699 27,3,2024-09-07 09:52:01:015,1,602,1,0,564,5201,602,0 28,0,2024-09-07 09:52:01:402,151081,0.4,151148,0.6,302575,0.3,403273,1.75 28,1,2024-09-07 09:52:00:803,1058603,1058603,0,0,497462224457,5185610694,1049665,7047,1891,382,391904,0 28,2,2024-09-07 09:52:01:769,762113,762113,0,0,30929602,0,2915 28,3,2024-09-07 09:52:01:778,1,602,6,0,502,6014,602,0 29,0,2024-09-07 09:52:01:356,154959,0.4,150847,0.6,296089,0.4,403498,1.75 29,1,2024-09-07 09:52:01:565,1061585,1061585,0,0,497764233293,5147986320,1055226,5583,776,367,391809,0 29,2,2024-09-07 09:52:00:860,761490,761490,0,0,30100163,0,4986 29,3,2024-09-07 09:52:00:962,1,602,1,0,459,6504,602,0 30,0,2024-09-07 09:52:01:455,149800,0.5,145861,0.7,305360,0.4,398799,2.00 30,1,2024-09-07 09:52:00:574,1060811,1060811,0,0,498157568875,5167656443,1053240,6660,911,380,391672,0 30,2,2024-09-07 09:52:01:281,762200,762200,0,0,28719327,0,4192 30,3,2024-09-07 09:52:00:584,1,602,3,0,519,5607,602,0 31,0,2024-09-07 09:52:01:762,150228,0.4,150984,0.6,300932,0.4,401612,1.75 31,1,2024-09-07 09:52:00:585,1063840,1063840,0,0,498598745715,5120277402,1057383,5383,1074,356,391712,0 31,2,2024-09-07 09:52:01:281,760714,760714,0,0,32254591,0,4470 31,3,2024-09-07 09:52:01:706,1,602,1,0,239,5175,602,0 32,0,2024-09-07 09:52:01:420,151249,0.3,152259,0.5,302929,0.3,404238,1.75 32,1,2024-09-07 09:52:00:821,1059955,1059955,0,0,496861206986,5163313428,1053777,5460,718,381,391646,0 32,2,2024-09-07 09:52:00:935,763122,763122,0,0,28489926,0,3922 32,3,2024-09-07 09:52:01:018,1,602,2,0,304,4906,602,0 33,0,2024-09-07 09:52:01:512,151220,0.3,150952,0.4,302042,0.2,401590,1.50 33,1,2024-09-07 09:52:00:591,1060398,1060398,0,0,498097129679,5159600924,1051951,7174,1273,368,391730,0 33,2,2024-09-07 09:52:00:760,762420,762385,35,0,31619750,0,7012 33,3,2024-09-07 09:52:00:913,1,602,14,0,329,5293,602,0 34,0,2024-09-07 09:52:00:936,151092,0.3,155215,0.5,296883,0.2,400673,1.75 34,1,2024-09-07 09:52:01:045,1062325,1062325,0,0,498590791273,5129259639,1059364,2851,110,367,391637,0 34,2,2024-09-07 09:52:00:768,762676,762676,0,0,30189964,0,4562 34,3,2024-09-07 09:52:01:696,1,602,0,0,541,5509,602,0 35,0,2024-09-07 09:52:00:860,150283,0.3,151107,0.5,303100,0.2,402716,1.75 35,1,2024-09-07 09:52:01:068,1058340,1058340,0,0,497455065837,5163170683,1050231,6586,1523,382,391769,0 35,2,2024-09-07 09:52:01:582,761896,761896,0,0,33080108,0,4055 35,3,2024-09-07 09:52:00:910,1,602,1,0,466,5681,602,0 36,0,2024-09-07 09:52:01:536,151676,0.5,151714,0.7,302834,0.4,403791,2.00 36,1,2024-09-07 09:52:00:592,1057153,1057153,0,0,496598116400,5186186971,1043575,11338,2240,366,391759,0 36,2,2024-09-07 09:52:01:754,761353,761353,0,0,34458477,0,3875 36,3,2024-09-07 09:52:00:867,1,602,24,0,556,8258,602,0 37,0,2024-09-07 09:52:01:370,150144,0.5,150263,0.7,300700,0.5,401139,2.25 37,1,2024-09-07 09:52:00:590,1057311,1057304,0,7,496176591061,5181866271,1044657,9734,2913,365,391770,0 37,2,2024-09-07 09:52:01:146,758918,758903,15,0,34355114,0,5815 37,3,2024-09-07 09:52:01:768,1,602,1,0,888,8056,602,0 38,0,2024-09-07 09:52:01:436,150237,0.5,145592,0.7,304486,0.4,399151,2.00 38,1,2024-09-07 09:52:01:605,1056853,1056853,0,0,496841597674,5197588340,1041524,12330,2999,368,391821,0 38,2,2024-09-07 09:52:00:759,761628,761581,47,0,34637149,0,6710 38,3,2024-09-07 09:52:00:996,1,602,1,0,689,7408,602,0 39,0,2024-09-07 09:52:01:762,154173,0.5,150725,0.7,293885,0.5,401194,2.00 39,1,2024-09-07 09:52:00:716,1056380,1056380,0,0,495567630706,5196229861,1038935,13730,3715,365,391865,0 39,2,2024-09-07 09:52:01:417,761489,761489,0,0,32763593,0,3391 39,3,2024-09-07 09:52:00:712,1,602,0,0,525,6681,602,0 40,0,2024-09-07 09:52:01:497,149445,0.8,150148,0.9,299709,0.9,400047,2.75 40,1,2024-09-07 09:52:00:586,1057093,1057093,0,0,495102329909,5187551738,1041485,12540,3068,368,391668,0 40,2,2024-09-07 09:52:01:303,758077,758070,7,0,39007829,0,5347 40,3,2024-09-07 09:52:01:156,1,602,1,0,1028,8863,602,0 41,0,2024-09-07 09:52:01:063,149768,1.3,153279,1.1,292225,1.9,397389,3.25 41,1,2024-09-07 09:52:00:768,1056448,1056448,0,0,495235803464,5182207743,1042678,11611,2159,369,391878,0 41,2,2024-09-07 09:52:00:768,758571,758570,1,0,37223445,0,5408 41,3,2024-09-07 09:52:01:678,1,602,1,0,366,6493,602,0 42,0,2024-09-07 09:52:01:476,149817,1.0,149372,1.1,298962,1.2,397225,2.75 42,1,2024-09-07 09:52:01:450,1054177,1054177,0,0,494133691043,5193227420,1037685,13560,2932,380,391675,0 42,2,2024-09-07 09:52:01:134,759571,759570,1,0,37515773,0,5513 42,3,2024-09-07 09:52:01:015,1,602,1,0,892,5640,602,0 43,0,2024-09-07 09:52:00:917,149220,0.7,145312,0.9,304069,0.7,397801,2.25 43,1,2024-09-07 09:52:00:592,1057840,1057840,0,0,495914842162,5182826525,1043101,12167,2572,366,391696,0 43,2,2024-09-07 09:52:01:736,761438,761438,0,0,35461142,0,4723 43,3,2024-09-07 09:52:01:749,1,602,1,0,571,8821,602,0 44,0,2024-09-07 09:52:00:871,151156,0.4,151031,0.6,302372,0.3,402381,1.75 44,1,2024-09-07 09:52:00:584,1060832,1060832,0,0,497231667866,5139976433,1051465,7426,1941,356,391809,0 44,2,2024-09-07 09:52:01:268,759244,759244,0,0,28809793,0,4344 44,3,2024-09-07 09:52:01:093,1,602,2,0,1097,7430,602,0 45,0,2024-09-07 09:52:01:780,149163,0.5,145739,0.7,306038,0.4,401785,2.00 45,1,2024-09-07 09:52:01:005,1059363,1059363,0,0,497384094924,5168100328,1051110,7350,903,382,391917,0 45,2,2024-09-07 09:52:01:267,762272,762272,0,0,29176782,0,3596 45,3,2024-09-07 09:52:00:935,1,602,1,0,531,5739,602,0 46,0,2024-09-07 09:52:00:953,149806,0.6,149367,0.8,299740,0.6,398057,2.25 46,1,2024-09-07 09:52:00:592,1061569,1061569,0,0,498212487913,5149766646,1054077,6504,988,366,391709,0 46,2,2024-09-07 09:52:00:593,762572,762572,0,0,29861347,0,4443 46,3,2024-09-07 09:52:01:133,1,602,1,0,908,7180,602,0 47,0,2024-09-07 09:52:01:105,151159,0.4,151037,0.6,303195,0.4,401552,2.00 47,1,2024-09-07 09:52:00:589,1062187,1062187,0,0,498148563648,5145790017,1056089,5236,862,364,391641,0 47,2,2024-09-07 09:52:00:911,764954,764954,0,0,28907828,0,4477 47,3,2024-09-07 09:52:01:115,1,602,1,0,600,6592,602,0 48,0,2024-09-07 09:52:01:509,151231,0.3,151225,0.4,302180,0.2,401400,1.50 48,1,2024-09-07 09:52:01:027,1059753,1059753,0,0,496456048958,5155716911,1053651,5524,578,381,391710,0 48,2,2024-09-07 09:52:00:701,762146,762146,0,0,27483439,0,3524 48,3,2024-09-07 09:52:00:758,1,602,1,0,339,5359,602,0 49,0,2024-09-07 09:52:01:718,155287,0.3,152119,0.5,296171,0.3,404285,1.75 49,1,2024-09-07 09:52:01:027,1058595,1058595,0,0,497063173298,5170563768,1051324,5575,1696,382,391809,0 49,2,2024-09-07 09:52:01:798,762754,762754,0,0,29719389,0,4426 49,3,2024-09-07 09:52:01:418,1,602,0,0,992,7165,602,0 50,0,2024-09-07 09:52:01:506,151346,0.3,149660,0.5,301636,0.2,400999,1.75 50,1,2024-09-07 09:52:01:012,1061859,1061859,0,0,498859181404,5156570577,1055333,5857,669,368,391691,0 50,2,2024-09-07 09:52:01:069,760578,760578,0,0,27541876,0,4490 50,3,2024-09-07 09:52:01:293,1,602,27,0,617,6192,602,0 51,0,2024-09-07 09:52:01:689,155164,0.3,151856,0.5,295708,0.2,403440,1.75 51,1,2024-09-07 09:52:01:684,1062321,1062321,0,0,499299596285,5148334887,1056662,4578,1081,365,391706,0 51,2,2024-09-07 09:52:01:317,762479,762479,0,0,27076873,0,3337 51,3,2024-09-07 09:52:01:027,1,602,3,0,678,4311,602,0 52,0,2024-09-07 09:52:01:416,151516,0.5,151063,0.6,302658,0.4,402265,2.00 52,1,2024-09-07 09:52:00:597,1057091,1057091,0,0,496024884209,5191972072,1041994,12767,2330,368,391805,0 52,2,2024-09-07 09:52:01:757,758226,758188,38,0,36540558,0,6742 52,3,2024-09-07 09:52:00:679,1,602,3,0,1782,7104,602,0 53,0,2024-09-07 09:52:01:732,150375,0.7,145979,0.8,305527,0.7,400233,2.50 53,1,2024-09-07 09:52:00:779,1055553,1055553,0,0,495222660729,5197759995,1037369,13789,4395,367,391968,0 53,2,2024-09-07 09:52:01:301,760691,760690,1,0,32864148,0,5455 53,3,2024-09-07 09:52:00:701,1,602,1,0,308,5595,602,0 54,0,2024-09-07 09:52:01:619,149146,0.6,149353,0.8,297514,0.5,397978,2.25 54,1,2024-09-07 09:52:00:591,1058607,1058607,0,0,497024453074,5170164538,1047494,9442,1671,366,391810,0 54,2,2024-09-07 09:52:00:869,762177,762145,32,0,36639970,0,6397 54,3,2024-09-07 09:52:00:763,1,602,0,0,676,7827,602,0 55,0,2024-09-07 09:52:01:759,145655,0.6,150258,0.8,304601,0.6,397068,2.50 55,1,2024-09-07 09:52:00:768,1058945,1058945,0,0,497228604014,5171493438,1048087,9469,1389,365,391731,0 55,2,2024-09-07 09:52:00:734,760230,760174,56,0,34056362,0,7239 55,3,2024-09-07 09:52:00:679,1,602,29,0,304,5550,602,0 56,0,2024-09-07 09:52:01:555,153430,1.1,144546,1.1,298047,1.5,398817,2.75 56,1,2024-09-07 09:52:00:573,1052157,1052157,0,0,494374969007,5229797276,1034190,14278,3689,381,391867,0 56,2,2024-09-07 09:52:01:302,760035,759913,122,0,36206800,0,7432 56,3,2024-09-07 09:52:01:064,1,602,16,0,705,6960,602,0 57,0,2024-09-07 09:52:01:003,149305,1.4,148980,1.2,298394,1.9,398493,3.00 57,1,2024-09-07 09:52:00:996,1054666,1054666,0,0,495230181672,5199151190,1040243,11933,2490,366,392032,0 57,2,2024-09-07 09:52:01:330,759728,759728,0,0,37811271,0,4804 57,3,2024-09-07 09:52:01:740,1,602,1,0,455,7050,602,0 58,0,2024-09-07 09:52:00:558,148689,1.0,144488,1.0,302112,1.2,395646,2.75 58,1,2024-09-07 09:52:00:585,1056484,1056481,0,3,495911119534,5199587568,1040336,12244,3901,367,391726,3 58,2,2024-09-07 09:52:01:082,761975,761975,0,0,35522855,0,3483 58,3,2024-09-07 09:52:01:068,1,602,3,0,1043,6725,602,0 59,0,2024-09-07 09:52:01:767,149205,0.9,148764,1.0,297242,1.0,394550,3.00 59,1,2024-09-07 09:52:00:811,1055247,1055247,0,0,495762478182,5210700636,1037426,14153,3668,369,391653,0 59,2,2024-09-07 09:52:00:585,761441,761441,0,0,33619736,0,3727 59,3,2024-09-07 09:52:01:740,1,602,0,0,1015,7353,602,0 60,0,2024-09-07 09:52:01:752,150420,0.5,150580,0.7,301413,0.5,401122,1.75 60,1,2024-09-07 09:52:00:793,1060113,1060113,0,0,497217237061,5167239163,1052159,6867,1087,370,392031,0 60,2,2024-09-07 09:52:01:150,761491,761491,0,0,31747406,0,3811 60,3,2024-09-07 09:52:01:264,1,602,0,0,409,6977,602,0 61,0,2024-09-07 09:52:01:510,150428,0.6,150966,0.8,300508,0.6,400975,2.00 61,1,2024-09-07 09:52:00:879,1056997,1056997,0,0,496432527098,5196400904,1045868,9449,1680,382,392127,0 61,2,2024-09-07 09:52:01:129,761638,761571,67,0,32341137,0,6411 61,3,2024-09-07 09:52:01:699,1,602,17,0,607,7847,602,0 62,0,2024-09-07 09:52:01:718,151728,0.6,155474,0.7,297109,0.6,404198,2.00 62,1,2024-09-07 09:52:01:117,1063541,1063535,0,6,498973910228,5143815319,1058568,4668,299,365,391975,6 62,2,2024-09-07 09:52:01:645,759888,759887,1,0,32339608,0,5555 62,3,2024-09-07 09:52:01:148,1,602,10,0,482,4830,602,0 63,0,2024-09-07 09:52:01:475,151296,0.4,151016,0.6,302543,0.4,401886,1.75 63,1,2024-09-07 09:52:00:806,1059694,1059688,0,6,497729157114,5167940811,1052842,5991,855,381,391800,6 63,2,2024-09-07 09:52:00:768,761776,761776,0,0,30718575,0,4369 63,3,2024-09-07 09:52:01:736,1,602,8,0,667,6202,602,0 64,0,2024-09-07 09:52:01:515,150153,0.5,150003,0.6,299838,0.4,399264,2.00 64,1,2024-09-07 09:52:00:765,1058799,1058799,0,0,497327629619,5179923661,1049458,7364,1977,370,391794,0 64,2,2024-09-07 09:52:01:150,765779,765760,19,0,29868642,0,6121 64,3,2024-09-07 09:52:01:140,1,602,5,0,651,6901,602,0 65,0,2024-09-07 09:52:01:676,149884,0.6,150258,0.7,300146,0.6,400063,2.00 65,1,2024-09-07 09:52:00:859,1056981,1056981,0,0,494907642624,5172877054,1049502,6606,873,381,391901,0 65,2,2024-09-07 09:52:01:693,760962,760962,0,0,35864470,0,3367 65,3,2024-09-07 09:52:01:683,1,602,1,0,782,6700,602,0 66,0,2024-09-07 09:52:01:773,150699,0.5,150304,0.7,300758,0.5,400824,2.00 66,1,2024-09-07 09:52:01:294,1059381,1059381,0,0,496643408814,5167570345,1052879,5780,722,380,391743,0 66,2,2024-09-07 09:52:01:133,763778,763775,3,0,31874491,0,5455 66,3,2024-09-07 09:52:01:079,1,602,1,0,291,5105,602,0 67,0,2024-09-07 09:52:01:426,150880,0.6,150411,0.7,301914,0.5,401703,2.00 67,1,2024-09-07 09:52:00:768,1058616,1058615,0,1,497630603992,5180785672,1051216,6454,945,380,391787,1 67,2,2024-09-07 09:52:00:587,764051,764036,15,0,31660748,0,6205 67,3,2024-09-07 09:52:01:754,1,602,5,0,595,6312,602,0 68,0,2024-09-07 09:52:00:569,151108,0.6,150871,0.7,300518,0.6,402416,2.00 68,1,2024-09-07 09:52:00:588,1054217,1054217,0,0,494282423051,5197213041,1040413,10274,3530,381,391953,0 68,2,2024-09-07 09:52:01:047,758264,758164,100,0,39745402,0,8578 68,3,2024-09-07 09:52:00:741,1,602,1,0,417,7782,602,0 69,0,2024-09-07 09:52:01:747,150199,0.6,150923,0.8,300866,0.6,399954,2.25 69,1,2024-09-07 09:52:01:016,1053305,1053305,0,0,494608281376,5212763423,1039441,11031,2833,383,391994,0 69,2,2024-09-07 09:52:01:738,758828,758799,29,0,42188474,0,6912 69,3,2024-09-07 09:52:00:761,1,602,0,0,698,8649,602,0 70,0,2024-09-07 09:52:01:543,149194,0.7,149812,0.9,301022,0.6,398924,2.50 70,1,2024-09-07 09:52:00:802,1060306,1060306,0,0,497825900748,5165138424,1052393,7106,807,366,391725,0 70,2,2024-09-07 09:52:01:333,760607,760607,0,0,34806922,0,4323 70,3,2024-09-07 09:52:00:744,1,602,8,0,854,6624,602,0 71,0,2024-09-07 09:52:01:358,149445,1.0,149085,1.0,299256,1.3,399469,2.75 71,1,2024-09-07 09:52:01:597,1057932,1057932,0,0,496035442002,5177541895,1044943,11368,1621,368,391738,0 71,2,2024-09-07 09:52:01:065,761099,761099,0,0,35021726,0,4352 71,3,2024-09-07 09:52:01:750,1,602,1,0,644,6766,602,0 72,0,2024-09-07 09:52:01:041,155672,0.6,152098,0.7,296818,0.5,404138,2.00 72,1,2024-09-07 09:52:01:025,1055897,1055897,0,0,495449060589,5197763533,1040598,12784,2515,369,391819,0 72,2,2024-09-07 09:52:01:768,759854,759854,0,0,36584308,0,3983 72,3,2024-09-07 09:52:01:754,1,602,1,0,564,8842,602,0 73,0,2024-09-07 09:52:01:106,146710,0.4,150533,0.6,307748,0.4,400336,2.00 73,1,2024-09-07 09:52:00:769,1057567,1057567,0,0,496693968194,5163577603,1049164,7421,982,367,391858,0 73,2,2024-09-07 09:52:01:760,760937,760936,1,0,38127134,0,5027 73,3,2024-09-07 09:52:00:976,1,602,1,0,1091,8393,602,0 74,0,2024-09-07 09:52:01:320,151886,0.5,155541,0.6,296769,0.4,402616,2.00 74,1,2024-09-07 09:52:00:635,1056786,1056786,0,0,495636171207,5175363324,1044883,9787,2116,381,391762,0 74,2,2024-09-07 09:52:01:002,759725,759725,0,0,33282074,0,4253 74,3,2024-09-07 09:52:01:446,1,602,1,0,522,7428,602,0 75,0,2024-09-07 09:52:01:800,151167,0.5,150149,0.7,301497,0.5,403192,2.25 75,1,2024-09-07 09:52:01:588,1058008,1058008,0,0,495988735272,5169618762,1049385,7755,868,380,391739,0 75,2,2024-09-07 09:52:01:354,760095,760095,0,0,40341994,0,4766 75,3,2024-09-07 09:52:01:068,1,602,1,0,918,8166,602,0 76,0,2024-09-07 09:52:00:590,150161,0.6,149503,0.8,299121,0.6,399783,2.25 76,1,2024-09-07 09:52:00:812,1057858,1057858,0,0,496118706774,5173430248,1050529,6338,991,382,391790,0 76,2,2024-09-07 09:52:01:064,763910,763907,3,0,32679341,0,5265 76,3,2024-09-07 09:52:01:147,1,602,32,0,227,5493,602,0 77,0,2024-09-07 09:52:01:694,150355,0.5,150868,0.7,301603,0.5,400856,2.00 77,1,2024-09-07 09:52:00:824,1057497,1057497,0,0,496702240780,5181187435,1049518,7104,875,381,391869,0 77,2,2024-09-07 09:52:01:285,760814,760814,0,0,31812651,0,3890 77,3,2024-09-07 09:52:01:096,1,602,0,0,401,6826,602,0 78,0,2024-09-07 09:52:01:719,151402,0.4,150745,0.6,302358,0.4,399990,2.00 78,1,2024-09-07 09:52:00:612,1057907,1057907,0,0,496114723001,5174689177,1045066,9924,2917,367,391670,0 78,2,2024-09-07 09:52:01:405,763053,763040,13,0,29774479,0,8313 78,3,2024-09-07 09:52:01:133,1,602,1,0,311,5071,602,0 79,0,2024-09-07 09:52:01:356,145983,0.4,149465,0.6,306218,0.3,399097,2.00 79,1,2024-09-07 09:52:00:589,1061479,1061479,0,0,498365372564,5158420647,1053635,6484,1360,367,391682,0 79,2,2024-09-07 09:52:01:072,761777,761777,0,0,28890769,0,4195 79,3,2024-09-07 09:52:00:748,1,602,1,0,418,7164,602,0 80,0,2024-09-07 09:52:01:078,150549,0.6,154656,0.7,295708,0.6,400035,2.00 80,1,2024-09-07 09:52:01:624,1057722,1057722,0,0,495896503677,5164472394,1050568,6703,451,368,392269,0 80,2,2024-09-07 09:52:01:090,763704,763704,0,0,29564741,0,4433 80,3,2024-09-07 09:52:00:575,1,602,0,0,681,7391,602,0 81,0,2024-09-07 09:52:01:541,151136,0.5,154622,0.7,295273,0.5,400662,2.00 81,1,2024-09-07 09:52:01:654,1056830,1056830,0,0,495866086544,5181401028,1048666,7396,768,382,391885,0 81,2,2024-09-07 09:52:01:125,761214,761151,63,0,32826900,0,5932 81,3,2024-09-07 09:52:01:129,1,602,1,0,719,6995,602,0 82,0,2024-09-07 09:52:01:543,150856,0.5,150946,0.7,302690,0.4,401262,2.00 82,1,2024-09-07 09:52:00:590,1059346,1059342,0,4,496452703764,5160538794,1053772,4747,823,381,391768,4 82,2,2024-09-07 09:52:01:692,763465,763465,0,0,27798452,0,4484 82,3,2024-09-07 09:52:01:754,1,602,1,0,363,5697,602,0 83,0,2024-09-07 09:52:01:533,151095,0.6,150989,0.7,301548,0.6,400469,2.00 83,1,2024-09-07 09:52:00:560,1057706,1057706,0,0,495991472216,5170839009,1050120,6941,645,382,391709,0 83,2,2024-09-07 09:52:00:765,761001,760976,25,0,29925890,0,5612 83,3,2024-09-07 09:52:00:749,1,602,7,0,1260,7217,602,0 84,0,2024-09-07 09:52:01:778,149208,0.8,149338,0.9,298491,0.7,399478,2.25 84,1,2024-09-07 09:52:01:042,1055864,1055864,0,0,495692036876,5184952817,1043613,10578,1673,367,391967,0 84,2,2024-09-07 09:52:00:575,759758,759348,410,0,43548112,0,17037 84,3,2024-09-07 09:52:01:146,1,602,0,0,908,8074,602,0 85,0,2024-09-07 09:52:01:015,144942,0.6,144890,0.8,307623,0.6,397376,2.25 85,1,2024-09-07 09:52:00:559,1053208,1053208,0,0,494183512329,5218591237,1036893,13516,2799,381,392092,0 85,2,2024-09-07 09:52:00:869,760686,760686,0,0,36752145,0,4255 85,3,2024-09-07 09:52:00:686,1,602,4,0,789,6902,602,0 86,0,2024-09-07 09:52:00:899,150235,0.7,154384,0.8,295297,0.7,399467,2.25 86,1,2024-09-07 09:52:00:833,1055906,1055906,0,0,496202464278,5203600725,1041989,11231,2686,366,392169,0 86,2,2024-09-07 09:52:00:854,760065,760064,1,0,39033894,0,5004 86,3,2024-09-07 09:52:00:599,1,602,2,0,308,8539,602,0 87,0,2024-09-07 09:52:01:307,150997,0.8,150458,0.8,300807,0.9,401913,2.25 87,1,2024-09-07 09:52:00:552,1055651,1055651,0,0,495474465926,5191470055,1042271,11583,1797,366,392076,0 87,2,2024-09-07 09:52:01:130,759028,759022,6,0,35377363,0,6323 87,3,2024-09-07 09:52:01:797,1,602,3,0,473,8585,602,0 88,0,2024-09-07 09:52:01:454,150986,0.5,151433,0.6,302683,0.4,403236,1.75 88,1,2024-09-07 09:52:00:574,1054416,1054416,0,0,495244561598,5192477476,1039034,12338,3044,365,392084,0 88,2,2024-09-07 09:52:00:718,760978,760978,0,0,38139539,0,4465 88,3,2024-09-07 09:52:01:277,1,602,3,0,1080,9280,602,0 89,0,2024-09-07 09:52:01:792,154786,0.5,150169,0.7,296345,0.4,403078,1.75 89,1,2024-09-07 09:52:00:567,1054264,1054264,0,0,495781292718,5214579160,1041279,11041,1944,382,391866,0 89,2,2024-09-07 09:52:01:144,760824,760824,0,0,35941630,0,3173 89,3,2024-09-07 09:52:01:797,1,602,1,0,468,10117,602,0 90,0,2024-09-07 09:52:01:638,146069,0.5,150063,0.6,306196,0.4,399251,2.00 90,1,2024-09-07 09:52:00:598,1056391,1056391,0,0,495327579267,5188321086,1046297,9201,893,380,391825,0 90,2,2024-09-07 09:52:01:410,758208,758203,5,0,39445123,0,6370 90,3,2024-09-07 09:52:00:936,1,602,1,0,322,7311,602,0 91,0,2024-09-07 09:52:00:927,150933,0.4,146469,0.6,306556,0.4,401600,1.75 91,1,2024-09-07 09:52:00:559,1053538,1053538,0,0,494871559640,5215237442,1037683,12707,3148,381,392047,0 91,2,2024-09-07 09:52:01:336,761080,761080,0,0,34670966,0,4713 91,3,2024-09-07 09:52:00:598,1,602,1,0,216,5420,602,0 92,0,2024-09-07 09:52:01:465,152813,0.5,156196,0.6,297772,0.4,404237,1.75 92,1,2024-09-07 09:52:00:596,1057186,1057186,0,0,496493152686,5185860723,1048822,7076,1288,381,392136,0 92,2,2024-09-07 09:52:01:365,761597,761597,0,0,30404574,0,3259 92,3,2024-09-07 09:52:01:021,1,602,5,0,167,5202,602,0 93,0,2024-09-07 09:52:01:004,151668,0.4,155362,0.6,296763,0.4,401891,1.75 93,1,2024-09-07 09:52:00:808,1057533,1057533,0,0,496298890636,5179520483,1045997,9608,1928,365,392048,0 93,2,2024-09-07 09:52:00:929,761649,761649,0,0,36204938,0,4913 93,3,2024-09-07 09:52:01:408,1,602,1,0,294,5891,602,0 94,0,2024-09-07 09:52:01:637,150215,0.4,151303,0.5,302647,0.3,401686,1.75 94,1,2024-09-07 09:52:00:599,1058123,1058123,0,0,496060638311,5172850457,1051176,6513,434,381,391850,0 94,2,2024-09-07 09:52:00:769,761948,761920,28,0,31148810,0,6179 94,3,2024-09-07 09:52:01:692,1,602,3,0,576,7459,602,0 95,0,2024-09-07 09:52:01:370,151079,0.3,151103,0.5,302423,0.3,402819,1.75 95,1,2024-09-07 09:52:01:035,1059299,1059299,0,0,496913747271,5163709298,1050865,7686,748,365,391852,0 95,2,2024-09-07 09:52:01:041,760968,760968,0,0,30596409,0,3308 95,3,2024-09-07 09:52:01:716,1,602,2,0,718,9414,602,0 96,0,2024-09-07 09:52:01:093,151165,0.4,151623,0.5,302916,0.3,403169,1.75 96,1,2024-09-07 09:52:01:592,1057742,1057742,0,0,496174480365,5174977265,1050360,6109,1273,384,391964,0 96,2,2024-09-07 09:52:01:283,761911,761911,0,0,31762123,0,4180 96,3,2024-09-07 09:52:01:146,1,602,1,0,411,6969,602,0 97,0,2024-09-07 09:52:01:376,151027,0.3,150763,0.5,302511,0.3,401539,1.75 97,1,2024-09-07 09:52:00:774,1059159,1059159,0,0,497725224899,5165069912,1052230,5891,1038,367,392140,0 97,2,2024-09-07 09:52:00:614,762877,762877,0,0,31438687,0,4046 97,3,2024-09-07 09:52:00:602,1,602,1,0,242,6804,602,0 98,0,2024-09-07 09:52:01:691,150739,0.3,150817,0.5,302693,0.3,402612,1.50 98,1,2024-09-07 09:52:00:581,1058142,1058142,0,0,496111952641,5167979565,1051658,5649,835,381,391997,0 98,2,2024-09-07 09:52:00:779,762218,762218,0,0,30202195,0,4336 98,3,2024-09-07 09:52:00:698,1,602,1,0,840,9095,602,0 99,0,2024-09-07 09:52:01:452,150921,0.3,151789,0.5,301855,0.3,402624,1.75 99,1,2024-09-07 09:52:01:726,1058921,1058921,0,0,496045461638,5161999452,1052555,5424,942,380,392069,0 99,2,2024-09-07 09:52:01:422,761376,761376,0,0,37410291,0,4276 99,3,2024-09-07 09:52:00:595,1,602,11,0,1124,7772,602,0 100,0,2024-09-07 09:52:01:462,150495,0.7,150718,0.9,301372,0.8,402631,2.25 100,1,2024-09-07 09:52:00:552,1052612,1052612,0,0,493575603732,5218776579,1036591,12704,3317,378,391989,0 100,2,2024-09-07 09:52:01:866,756610,756221,389,0,40552101,0,16909 100,3,2024-09-07 09:52:01:743,1,602,1,0,627,10087,602,0 101,0,2024-09-07 09:52:01:715,153870,1.1,150100,1.1,293778,1.2,401218,2.25 101,1,2024-09-07 09:52:00:592,1054462,1054462,0,0,494952510125,5201931601,1040320,11614,2528,368,391847,0 101,2,2024-09-07 09:52:01:759,757257,757257,0,0,40554305,0,4871 101,3,2024-09-07 09:52:00:969,1,602,5,0,1250,9033,602,0 102,0,2024-09-07 09:52:00:977,146712,0.7,150974,0.8,306911,0.7,401582,2.25 102,1,2024-09-07 09:52:01:146,1054334,1054334,0,0,494512399392,5195415684,1040209,11926,2199,369,391984,0 102,2,2024-09-07 09:52:01:736,762275,762221,54,0,34672066,0,6768 102,3,2024-09-07 09:52:01:612,1,602,2,0,466,6921,602,0 103,0,2024-09-07 09:52:01:612,155636,0.6,155601,0.7,293176,0.6,403633,2.00 103,1,2024-09-07 09:52:01:629,1052845,1052845,0,0,494482154039,5223770011,1035762,13482,3601,381,392077,0 103,2,2024-09-07 09:52:00:594,758989,758989,0,0,37442673,0,3766 103,3,2024-09-07 09:52:00:758,1,602,1,0,916,7113,602,0 104,0,2024-09-07 09:52:01:048,149805,0.7,150033,0.9,299448,0.7,400677,2.25 104,1,2024-09-07 09:52:01:605,1055518,1055518,0,0,494913180751,5201134219,1039987,12687,2844,365,392168,0 104,2,2024-09-07 09:52:01:667,757720,757720,0,0,37020557,0,4161 104,3,2024-09-07 09:52:01:431,1,602,7,0,1245,10656,602,0 105,0,2024-09-07 09:52:01:051,149211,0.9,145308,1.1,304651,1.1,400861,2.75 105,1,2024-09-07 09:52:00:559,1057349,1057349,0,0,495727776995,5194594584,1043783,11625,1941,364,392009,0 105,2,2024-09-07 09:52:01:328,760531,760531,0,0,37148156,0,4360 105,3,2024-09-07 09:52:01:355,1,602,3,0,573,9184,602,0 106,0,2024-09-07 09:52:00:995,145447,1.0,149015,1.0,305135,1.2,398315,2.50 106,1,2024-09-07 09:52:01:754,1056102,1056102,0,0,494886300019,5194517232,1041444,12764,1894,368,391914,0 106,2,2024-09-07 09:52:00:773,759879,759879,0,0,35027471,0,3331 106,3,2024-09-07 09:52:00:685,1,602,0,0,1224,8423,602,0 107,0,2024-09-07 09:52:01:120,150577,0.9,150659,0.9,301268,1.1,401589,2.25 107,1,2024-09-07 09:52:00:606,1053028,1053028,0,0,493702605680,5218313422,1035652,15242,2134,381,392234,0 107,2,2024-09-07 09:52:01:291,758244,758243,1,0,38137480,0,5024 107,3,2024-09-07 09:52:01:756,1,602,1,0,733,9119,602,0 108,0,2024-09-07 09:52:01:797,150766,0.4,151191,0.6,301360,0.4,401519,1.75 108,1,2024-09-07 09:52:01:294,1057365,1057365,0,0,496309765432,5176286203,1048671,7699,995,367,391894,0 108,2,2024-09-07 09:52:01:755,761311,761311,0,0,32824358,0,4246 108,3,2024-09-07 09:52:01:335,1,602,1,0,749,10877,602,0 109,0,2024-09-07 09:52:01:889,151888,0.4,150674,0.6,302053,0.3,403487,1.75 109,1,2024-09-07 09:52:00:625,1054638,1054638,0,0,496121571749,5203810354,1045162,8198,1278,382,392132,0 109,2,2024-09-07 09:52:00:932,759269,759269,0,0,33662558,0,3617 109,3,2024-09-07 09:52:01:150,1,602,10,0,630,7341,602,0 110,0,2024-09-07 09:52:01:758,150584,0.4,146459,0.6,306744,0.4,401783,1.75 110,1,2024-09-07 09:52:01:647,1059243,1059243,0,0,497204813070,5161519451,1051199,6117,1927,368,392045,0 110,2,2024-09-07 09:52:01:303,762091,762091,0,0,31162977,0,4067 110,3,2024-09-07 09:52:00:698,1,602,1,0,722,8041,602,0 111,0,2024-09-07 09:52:01:415,151929,0.4,151130,0.5,302146,0.3,402905,1.75 111,1,2024-09-07 09:52:01:010,1060312,1060312,0,0,497594358092,5160184867,1054829,5102,381,380,391690,0 111,2,2024-09-07 09:52:01:116,762048,762048,0,0,31680787,0,4823 111,3,2024-09-07 09:52:00:924,1,602,9,0,379,6537,602,0 112,0,2024-09-07 09:52:00:953,151736,0.3,151235,0.4,303009,0.2,402520,1.50 112,1,2024-09-07 09:52:00:828,1058981,1058981,0,0,496803906103,5159502838,1051779,5977,1225,380,391624,0 112,2,2024-09-07 09:52:01:133,761645,761644,1,0,30093078,0,5036 112,3,2024-09-07 09:52:00:592,1,602,1,0,282,5738,602,0 113,0,2024-09-07 09:52:00:868,151095,0.3,151150,0.5,302613,0.2,402872,1.75 113,1,2024-09-07 09:52:01:686,1061411,1061411,0,0,498940148245,5148375755,1055180,5283,948,365,391664,0 113,2,2024-09-07 09:52:01:303,763683,763683,0,0,28520086,0,3813 113,3,2024-09-07 09:52:00:684,1,602,1,0,510,6498,602,0 114,0,2024-09-07 09:52:00:888,151002,0.3,151902,0.5,302803,0.2,405013,1.75 114,1,2024-09-07 09:52:00:716,1059496,1059496,0,0,496805817338,5159425022,1051555,6194,1747,381,391565,0 114,2,2024-09-07 09:52:00:874,763930,763929,1,0,29734877,0,5069 114,3,2024-09-07 09:52:01:278,1,602,2,0,395,4865,602,0 115,0,2024-09-07 09:52:00:555,151570,0.3,152260,0.4,302981,0.2,403451,1.50 115,1,2024-09-07 09:52:00:597,1059814,1059814,0,0,497042169820,5164125452,1051558,6739,1517,382,391757,0 115,2,2024-09-07 09:52:01:134,763985,763985,0,0,29813675,0,4382 115,3,2024-09-07 09:52:01:002,1,602,1,0,167,3712,602,0 116,0,2024-09-07 09:52:01:702,150253,0.6,150082,0.8,300703,0.6,401757,2.00 116,1,2024-09-07 09:52:00:810,1053773,1053773,0,0,493781121292,5205286745,1041125,9558,3090,380,392089,0 116,2,2024-09-07 09:52:01:751,760958,760958,0,0,37238861,0,4475 116,3,2024-09-07 09:52:00:913,1,602,1,0,448,7769,602,0 117,0,2024-09-07 09:52:00:984,151191,0.7,150540,0.8,301585,0.7,403148,2.00 117,1,2024-09-07 09:52:01:580,1055671,1055671,0,0,495088314017,5185510676,1043893,10255,1523,369,392429,0 117,2,2024-09-07 09:52:01:153,761597,761597,0,0,33622463,0,4303 117,3,2024-09-07 09:52:01:150,1,602,7,0,490,7687,602,0 118,0,2024-09-07 09:52:01:769,146411,0.5,150269,0.7,307000,0.5,401774,2.00 118,1,2024-09-07 09:52:00:608,1054671,1054671,0,0,494727277697,5202172009,1038896,12153,3622,366,392054,0 118,2,2024-09-07 09:52:01:586,760619,760619,0,0,35885361,0,2842 118,3,2024-09-07 09:52:01:767,1,602,1,0,289,7129,602,0 119,0,2024-09-07 09:52:01:344,150251,0.8,151015,0.8,301120,0.9,401464,2.25 119,1,2024-09-07 09:52:00:568,1055589,1055589,0,0,495590680026,5198664290,1040893,12184,2512,367,391857,0 119,2,2024-09-07 09:52:01:266,762445,762445,0,0,33757185,0,4309 119,3,2024-09-07 09:52:01:326,1,602,2,0,1358,11074,602,0 120,0,2024-09-07 09:52:01:554,149673,0.7,149581,0.8,300539,0.7,400209,2.25 120,1,2024-09-07 09:52:00:877,1056442,1056442,0,0,495126762222,5194118444,1044763,10537,1142,367,392144,0 120,2,2024-09-07 09:52:00:775,760169,760166,3,0,40262147,0,5363 120,3,2024-09-07 09:52:01:293,1,602,5,0,279,7304,602,0 121,0,2024-09-07 09:52:01:713,150683,1.1,150111,1.0,300603,1.4,400568,2.25 121,1,2024-09-07 09:52:01:663,1055924,1055924,0,0,495629140806,5187232812,1044636,9735,1553,366,391840,0 121,2,2024-09-07 09:52:01:133,760323,760323,0,0,36736589,0,4157 121,3,2024-09-07 09:52:00:727,1,602,1,0,387,8002,602,0 122,0,2024-09-07 09:52:02:048,150609,0.8,146566,0.9,307050,0.9,404070,2.00 122,1,2024-09-07 09:52:00:859,1055085,1055085,0,0,494655431400,5193575871,1040084,12589,2412,365,392130,0 122,2,2024-09-07 09:52:01:432,761786,761711,75,0,40405140,0,5989 122,3,2024-09-07 09:52:00:597,1,602,1,0,512,9777,602,0 123,0,2024-09-07 09:52:00:964,150201,0.9,146061,0.9,306027,1.0,400603,2.25 123,1,2024-09-07 09:52:00:585,1055089,1055089,0,0,495865472690,5214608915,1038275,14142,2672,369,392039,0 123,2,2024-09-07 09:52:01:019,759055,759054,1,0,34392889,0,5215 123,3,2024-09-07 09:52:01:132,1,602,25,0,478,6910,602,0 124,0,2024-09-07 09:52:00:965,154983,0.3,154933,0.5,292149,0.3,402167,1.75 124,1,2024-09-07 09:52:01:035,1059413,1059413,0,0,496273828140,5158824497,1051678,6560,1175,365,392178,0 124,2,2024-09-07 09:52:01:015,763761,763708,53,0,31025513,0,6487 124,3,2024-09-07 09:52:00:763,1,602,2,0,490,5962,602,0 125,0,2024-09-07 09:52:01:425,150798,0.4,150914,0.5,302647,0.3,402732,1.75 125,1,2024-09-07 09:52:00:858,1056057,1056057,0,0,495391266224,5171143029,1047989,6917,1151,382,391968,0 125,2,2024-09-07 09:52:01:123,763847,763847,0,0,31183220,0,4534 125,3,2024-09-07 09:52:01:126,1,602,2,0,709,6938,602,0 126,0,2024-09-07 09:52:01:458,151482,0.4,155699,0.5,297889,0.4,403783,1.75 126,1,2024-09-07 09:52:00:551,1059921,1059921,0,0,497180259351,5146838501,1054403,5071,447,365,391987,0 126,2,2024-09-07 09:52:00:612,762743,762743,0,0,32411853,0,4539 126,3,2024-09-07 09:52:00:910,1,602,1,0,268,6623,602,0 127,0,2024-09-07 09:52:01:619,151390,0.3,151484,0.5,302241,0.3,401932,1.75 127,1,2024-09-07 09:52:00:592,1058218,1058218,0,0,496732848147,5168631669,1047543,9038,1637,364,392187,0 127,2,2024-09-07 09:52:00:638,761832,761828,4,0,30526702,0,5305 127,3,2024-09-07 09:52:01:280,1,602,6,0,968,5827,602,0 128,0,2024-09-07 09:52:01:570,151676,0.3,151744,0.4,303174,0.2,403275,1.50 128,1,2024-09-07 09:52:01:619,1058178,1058178,0,0,496466799690,5164559085,1049762,7451,965,367,392423,0 128,2,2024-09-07 09:52:01:390,763739,763739,0,0,28458185,0,3171 128,3,2024-09-07 09:52:00:772,1,602,16,0,1082,9588,602,0 129,0,2024-09-07 09:52:01:012,152122,0.3,151326,0.5,303305,0.3,403128,1.50 129,1,2024-09-07 09:52:00:572,1055081,1055081,0,0,495700145659,5195747550,1044618,8441,2022,379,391962,0 129,2,2024-09-07 09:52:00:691,763538,763534,4,0,31029003,0,5335 129,3,2024-09-07 09:52:00:688,1,602,2,0,506,8281,602,0 130,0,2024-09-07 09:52:01:772,151705,0.4,151095,0.5,303088,0.4,403901,1.75 130,1,2024-09-07 09:52:00:597,1059053,1059053,0,0,496950260853,5161588551,1053615,5041,397,381,391825,0 130,2,2024-09-07 09:52:01:135,760904,760904,0,0,31236760,0,4067 130,3,2024-09-07 09:52:01:292,1,602,6,0,960,8296,602,0 131,0,2024-09-07 09:52:01:935,150758,0.3,151180,0.5,303260,0.3,402923,1.75 131,1,2024-09-07 09:52:01:844,1058332,1058332,0,0,496486472864,5175407554,1051104,6030,1198,381,391865,0 131,2,2024-09-07 09:52:00:574,762647,762647,0,0,28983940,0,3979 131,3,2024-09-07 09:52:01:698,1,602,3,0,392,7725,602,0 132,0,2024-09-07 09:52:01:455,151021,0.5,151946,0.6,302690,0.5,402774,2.00 132,1,2024-09-07 09:52:00:592,1053756,1053756,0,0,494520466326,5207184719,1038316,12736,2704,381,392532,0 132,2,2024-09-07 09:52:00:701,761611,761594,17,0,37736450,0,6451 132,3,2024-09-07 09:52:01:689,1,602,36,0,1298,10838,602,0 133,0,2024-09-07 09:52:01:587,146897,0.4,150294,0.6,308137,0.4,401134,2.00 133,1,2024-09-07 09:52:00:585,1054482,1054482,0,0,494814226363,5213792244,1040404,12314,1764,383,391914,0 133,2,2024-09-07 09:52:01:086,761516,761466,50,0,38661045,0,6861 133,3,2024-09-07 09:52:01:301,1,602,34,0,528,7300,602,0 134,0,2024-09-07 09:52:00:973,151134,0.5,151266,0.7,302654,0.5,402814,2.00 134,1,2024-09-07 09:52:00:598,1055491,1055491,0,0,495129519947,5193735811,1041713,11290,2488,366,391781,0 134,2,2024-09-07 09:52:01:756,760598,760574,24,0,35829538,0,6207 134,3,2024-09-07 09:52:00:748,1,602,1,0,739,7444,602,0 135,0,2024-09-07 09:52:01:100,146223,0.8,146178,0.8,310318,0.9,400411,2.25 135,1,2024-09-07 09:52:01:596,1054823,1054823,0,0,495323954258,5207861730,1041805,11377,1641,380,391805,0 135,2,2024-09-07 09:52:00:687,762027,762027,0,0,36650186,0,4503 135,3,2024-09-07 09:52:01:002,1,602,3,0,900,5902,602,0 136,0,2024-09-07 09:52:01:622,150964,0.6,151519,0.8,300749,0.6,401392,2.25 136,1,2024-09-07 09:52:01:449,1056441,1056441,0,0,495448693718,5193783314,1044538,10515,1388,381,392135,0 136,2,2024-09-07 09:52:01:134,763010,762995,15,0,35992223,0,6007 136,3,2024-09-07 09:52:01:109,1,602,3,0,637,6968,602,0 137,0,2024-09-07 09:52:00:927,155631,0.6,151682,0.7,297171,0.6,403396,2.00 137,1,2024-09-07 09:52:00:585,1055182,1055182,0,0,494609331636,5189053410,1038645,13149,3388,366,391898,0 137,2,2024-09-07 09:52:01:706,760032,760032,0,0,37113609,0,3185 137,3,2024-09-07 09:52:00:769,1,602,1,0,484,8250,602,0 138,0,2024-09-07 09:52:01:753,150097,0.7,150514,0.8,301346,0.8,399963,2.00 138,1,2024-09-07 09:52:01:685,1055644,1055644,0,0,495840579862,5201930177,1040871,12503,2270,368,391954,0 138,2,2024-09-07 09:52:00:593,760423,760423,0,0,35415249,0,4988 138,3,2024-09-07 09:52:00:610,1,602,1,0,1200,9422,602,0 139,0,2024-09-07 09:52:01:477,149878,1.1,150491,0.9,300862,1.5,401742,2.25 139,1,2024-09-07 09:52:00:575,1051158,1051158,0,0,491811695913,5216083256,1032771,14562,3825,380,392109,0 139,2,2024-09-07 09:52:00:700,756246,756216,30,0,40721236,0,5997 139,3,2024-09-07 09:52:01:663,1,602,3,0,432,7163,602,0 140,0,2024-09-07 09:52:01:591,151325,0.3,150424,0.5,301812,0.2,402106,1.75 140,1,2024-09-07 09:52:01:541,1061723,1061723,0,0,498267859196,5136011729,1056754,4423,546,364,391606,0 140,2,2024-09-07 09:52:00:695,762523,762522,1,0,28884422,0,5036 140,3,2024-09-07 09:52:00:767,1,602,1,0,575,6003,602,0 141,0,2024-09-07 09:52:01:699,151462,0.3,155666,0.5,297542,0.2,402777,1.75 141,1,2024-09-07 09:52:00:859,1060222,1060222,0,0,497846693172,5171329588,1052023,7006,1193,379,391614,0 141,2,2024-09-07 09:52:01:699,762544,762533,11,0,31012785,0,5369 141,3,2024-09-07 09:52:01:045,1,602,1,0,391,6975,602,0 142,0,2024-09-07 09:52:01:330,151842,0.3,151054,0.5,302329,0.2,403422,1.50 142,1,2024-09-07 09:52:00:601,1058183,1058183,0,0,496356804063,5173487762,1051472,6204,507,382,392102,0 142,2,2024-09-07 09:52:01:303,761120,761088,32,0,31521661,0,6028 142,3,2024-09-07 09:52:01:746,1,602,4,0,484,6376,602,0 143,0,2024-09-07 09:52:01:381,151012,0.4,150977,0.5,302938,0.4,402837,1.75 143,1,2024-09-07 09:52:00:568,1060323,1060323,0,0,496294974025,5148699756,1053858,5897,568,367,391900,0 143,2,2024-09-07 09:52:00:773,761766,761766,0,0,31398625,0,3123 143,3,2024-09-07 09:52:01:197,1,602,8,0,462,7293,602,0 144,0,2024-09-07 09:52:01:512,146252,0.6,150511,0.8,306225,0.6,401573,2.00 144,1,2024-09-07 09:52:00:604,1054785,1054785,0,0,495203173519,5196893516,1044191,8601,1993,381,391733,0 144,2,2024-09-07 09:52:01:758,763112,763112,0,0,30801031,0,4443 144,3,2024-09-07 09:52:01:741,1,602,1,0,249,5954,602,0 145,0,2024-09-07 09:52:01:384,146017,0.6,146029,0.8,310000,0.5,399336,2.25 145,1,2024-09-07 09:52:00:557,1054105,1054105,0,0,494131246020,5200251596,1040082,11350,2673,382,391781,0 145,2,2024-09-07 09:52:01:436,760443,760361,82,0,36611498,0,7814 145,3,2024-09-07 09:52:00:896,1,602,21,0,622,8009,602,0 146,0,2024-09-07 09:52:01:609,150471,0.5,149847,0.7,301172,0.5,400000,2.00 146,1,2024-09-07 09:52:01:592,1055454,1055454,0,0,495135246823,5210547748,1037951,13022,4481,367,391770,0 146,2,2024-09-07 09:52:01:695,760155,760149,6,0,34873621,0,5151 146,3,2024-09-07 09:52:01:279,1,602,1,0,1520,9783,602,0 147,0,2024-09-07 09:52:01:768,151105,0.6,150796,0.7,301431,0.5,402464,2.00 147,1,2024-09-07 09:52:01:384,1059248,1059248,0,0,496866980925,5163051959,1050756,7525,967,367,391791,0 147,2,2024-09-07 09:52:01:015,760057,760057,0,0,30963312,0,2968 147,3,2024-09-07 09:52:00:914,1,602,2,0,1626,9606,602,0 0,0,2024-09-07 09:52:11:704,146433,0.6,146399,0.7,310855,0.6,401152,2.00 0,1,2024-09-07 09:52:10:801,1057741,1057741,0,0,496740702032,5199931062,1049200,7595,946,368,391896,0 0,2,2024-09-07 09:52:11:066,763475,763475,0,0,31029602,0,4480 0,3,2024-09-07 09:52:10:974,1,603,1,0,431,8678,603,0 1,0,2024-09-07 09:52:11:788,150642,0.8,149595,0.9,300587,1.0,401319,2.00 1,1,2024-09-07 09:52:10:558,1057158,1057158,0,0,495129206051,5186698947,1047685,7982,1491,370,391859,0 1,2,2024-09-07 09:52:10:657,762469,762469,0,0,30493814,0,3380 1,3,2024-09-07 09:52:11:314,1,603,16,0,269,7519,603,0 2,0,2024-09-07 09:52:11:566,151504,0.6,151382,0.7,302364,0.6,404004,2.00 2,1,2024-09-07 09:52:10:858,1060496,1060496,0,0,498139022111,5179419262,1054321,5225,950,379,391745,0 2,2,2024-09-07 09:52:11:269,762979,762979,0,0,29101746,0,3594 2,3,2024-09-07 09:52:10:690,1,603,1,0,357,5435,603,0 3,0,2024-09-07 09:52:11:760,150813,0.4,150705,0.6,300849,0.4,400908,2.00 3,1,2024-09-07 09:52:11:619,1058840,1058840,0,0,497356108492,5172886709,1051228,6907,705,379,391716,0 3,2,2024-09-07 09:52:11:142,763903,763880,23,0,30193883,0,5851 3,3,2024-09-07 09:52:11:760,1,603,1,0,484,4604,603,0 4,0,2024-09-07 09:52:11:857,146609,0.4,150717,0.5,307075,0.3,401604,1.75 4,1,2024-09-07 09:52:10:590,1054320,1054320,0,0,494133815706,5230309384,1036508,14058,3754,370,391992,0 4,2,2024-09-07 09:52:11:018,759924,759924,0,0,36992180,0,4534 4,3,2024-09-07 09:52:11:027,1,603,1,0,448,7367,603,0 5,0,2024-09-07 09:52:11:391,151418,0.4,151342,0.5,302789,0.3,402748,1.75 5,1,2024-09-07 09:52:10:755,1055294,1055294,0,0,495633972717,5232968627,1038964,12204,4126,367,392005,0 5,2,2024-09-07 09:52:11:829,759615,759615,0,0,35805719,0,3582 5,3,2024-09-07 09:52:11:732,1,603,1,0,457,8374,603,0 6,0,2024-09-07 09:52:10:929,151825,0.5,151514,0.6,303113,0.4,404155,2.00 6,1,2024-09-07 09:52:10:747,1058219,1058219,0,0,496339587228,5184560963,1047142,9504,1573,379,391702,0 6,2,2024-09-07 09:52:11:131,762577,762559,18,0,34751226,0,5535 6,3,2024-09-07 09:52:11:278,1,603,5,0,710,7391,603,0 7,0,2024-09-07 09:52:11:539,150449,0.5,151460,0.7,300631,0.5,401111,2.00 7,1,2024-09-07 09:52:10:850,1055749,1055749,0,0,495351578837,5216296251,1039422,12561,3766,382,391747,0 7,2,2024-09-07 09:52:10:774,762285,762285,0,0,33462020,0,4791 7,3,2024-09-07 09:52:10:851,1,603,1,0,552,7091,603,0 8,0,2024-09-07 09:52:11:329,151678,0.4,151408,0.5,303179,0.3,404047,1.75 8,1,2024-09-07 09:52:11:016,1055369,1055369,0,0,495774063295,5214207161,1038652,13130,3587,366,392853,0 8,2,2024-09-07 09:52:10:790,758523,758521,2,0,39605301,0,5112 8,3,2024-09-07 09:52:10:585,1,603,2,0,772,9580,603,0 9,0,2024-09-07 09:52:11:152,150912,0.4,146869,0.5,307232,0.3,402878,1.75 9,1,2024-09-07 09:52:10:565,1055264,1055264,0,0,494863572826,5216659171,1038575,13462,3227,369,392001,0 9,2,2024-09-07 09:52:11:092,761248,761247,1,0,37422456,0,5281 9,3,2024-09-07 09:52:11:765,1,603,10,0,1273,10258,603,0 10,0,2024-09-07 09:52:11:599,151260,0.3,150657,0.5,302367,0.3,403317,1.75 10,1,2024-09-07 09:52:10:583,1056967,1056967,0,0,496025849792,5204682071,1041245,12658,3064,381,391981,0 10,2,2024-09-07 09:52:10:762,761344,761344,0,0,40015568,0,4713 10,3,2024-09-07 09:52:10:871,1,603,3,0,669,6795,603,0 11,0,2024-09-07 09:52:11:007,150853,0.4,146366,0.6,306120,0.4,403186,1.75 11,1,2024-09-07 09:52:10:573,1058552,1058552,0,0,496294125054,5206934015,1042729,11520,4303,383,391766,0 11,2,2024-09-07 09:52:11:122,761841,761841,0,0,35470946,0,4698 11,3,2024-09-07 09:52:11:299,1,603,1,0,843,7803,603,0 12,0,2024-09-07 09:52:10:950,152188,0.4,152082,0.6,303720,0.4,404157,1.75 12,1,2024-09-07 09:52:10:945,1058298,1058298,0,0,496225333476,5175016068,1049921,7369,1008,370,391960,0 12,2,2024-09-07 09:52:11:543,761760,761760,0,0,34239293,0,4390 12,3,2024-09-07 09:52:11:059,1,603,1,0,386,7562,603,0 13,0,2024-09-07 09:52:11:328,151731,0.4,151790,0.5,302646,0.4,403323,1.75 13,1,2024-09-07 09:52:11:526,1055762,1055762,0,0,496204895023,5215219909,1044870,8502,2390,382,391803,0 13,2,2024-09-07 09:52:10:595,764516,764516,0,0,30632770,0,3287 13,3,2024-09-07 09:52:11:775,1,603,2,0,522,7978,603,0 14,0,2024-09-07 09:52:10:561,151051,0.4,152190,0.6,301973,0.3,402749,1.75 14,1,2024-09-07 09:52:11:566,1063062,1063062,0,0,498503384531,5158486826,1054603,7330,1129,364,391673,0 14,2,2024-09-07 09:52:10:763,762342,762312,30,0,32030126,0,6104 14,3,2024-09-07 09:52:11:119,1,603,12,0,1168,6580,603,0 15,0,2024-09-07 09:52:11:554,151346,0.4,150544,0.6,301780,0.4,402779,2.00 15,1,2024-09-07 09:52:11:608,1059915,1059915,0,0,497143738830,5177254393,1050841,7161,1913,381,391619,0 15,2,2024-09-07 09:52:10:998,764705,764705,0,0,27731541,0,3622 15,3,2024-09-07 09:52:11:408,1,603,1,0,1126,7888,603,0 16,0,2024-09-07 09:52:10:938,150573,0.6,151293,0.8,301987,0.6,401790,2.00 16,1,2024-09-07 09:52:10:571,1059656,1059656,0,0,496394562620,5189813662,1050220,7992,1444,370,392194,0 16,2,2024-09-07 09:52:11:444,762270,762270,0,0,31628747,0,4719 16,3,2024-09-07 09:52:11:142,1,603,1,0,358,7574,603,0 17,0,2024-09-07 09:52:11:818,155499,0.6,151605,0.8,296969,0.6,403625,2.00 17,1,2024-09-07 09:52:10:573,1057531,1057531,0,0,495530015966,5197759594,1047239,8520,1772,368,392075,0 17,2,2024-09-07 09:52:11:669,765051,765050,1,0,32898950,0,5050 17,3,2024-09-07 09:52:10:575,1,603,7,0,518,8794,603,0 18,0,2024-09-07 09:52:10:939,149540,0.6,150449,0.8,299425,0.6,399592,2.25 18,1,2024-09-07 09:52:11:643,1062002,1062002,0,0,497963795009,5164824519,1054939,5806,1257,367,391725,0 18,2,2024-09-07 09:52:11:759,765035,765035,0,0,29247803,0,3541 18,3,2024-09-07 09:52:10:898,1,603,1,0,1059,5563,603,0 19,0,2024-09-07 09:52:11:544,151117,0.6,151285,0.8,301331,0.6,401126,2.00 19,1,2024-09-07 09:52:10:566,1061757,1061757,0,0,498198133946,5163725768,1053956,6668,1133,365,391777,0 19,2,2024-09-07 09:52:11:752,765590,765590,0,0,27680911,0,3988 19,3,2024-09-07 09:52:11:133,1,603,6,0,524,4617,603,0 20,0,2024-09-07 09:52:11:417,150780,0.6,150685,0.7,301099,0.6,401417,2.00 20,1,2024-09-07 09:52:10:567,1057410,1057410,0,0,496402704458,5202302991,1046674,9229,1507,369,391922,0 20,2,2024-09-07 09:52:10:928,762389,762389,0,0,34423821,0,4321 20,3,2024-09-07 09:52:10:588,1,603,6,0,468,9750,603,0 21,0,2024-09-07 09:52:11:146,151467,0.5,151544,0.6,302793,0.4,402292,2.00 21,1,2024-09-07 09:52:11:547,1055646,1055646,0,0,494595828783,5221844102,1038777,13034,3835,368,392016,0 21,2,2024-09-07 09:52:11:066,757217,756740,477,0,45043389,0,17074 21,3,2024-09-07 09:52:11:407,1,603,0,0,713,8664,603,0 22,0,2024-09-07 09:52:11:716,151122,0.5,151778,0.6,302818,0.4,402145,2.00 22,1,2024-09-07 09:52:11:022,1056589,1056589,0,0,494298952932,5203458847,1038772,14277,3540,382,391822,0 22,2,2024-09-07 09:52:10:759,761199,761173,26,0,32800324,0,6328 22,3,2024-09-07 09:52:11:066,1,603,33,0,228,5256,603,0 23,0,2024-09-07 09:52:11:377,150984,0.5,150734,0.7,301406,0.4,401802,2.00 23,1,2024-09-07 09:52:11:007,1056697,1056697,0,0,496754171318,5234785514,1037224,12895,6578,365,391690,0 23,2,2024-09-07 09:52:11:093,763118,763118,0,0,31470494,0,3773 23,3,2024-09-07 09:52:11:759,1,603,11,0,855,8849,603,0 24,0,2024-09-07 09:52:10:814,152216,0.4,151385,0.6,304502,0.4,404828,1.75 24,1,2024-09-07 09:52:10:592,1057175,1057175,0,0,495485009346,5186713413,1047110,8356,1709,367,392269,0 24,2,2024-09-07 09:52:11:074,762393,762390,3,0,37950699,0,6294 24,3,2024-09-07 09:52:11:687,1,603,1,0,468,7713,603,0 25,0,2024-09-07 09:52:11:372,155569,0.4,151395,0.6,297198,0.4,405153,2.00 25,1,2024-09-07 09:52:10:570,1055263,1055263,0,0,494940055148,5229855235,1036504,15048,3711,369,391928,0 25,2,2024-09-07 09:52:11:606,760974,760974,0,0,38518234,0,3978 25,3,2024-09-07 09:52:11:004,1,603,11,0,532,6530,603,0 26,0,2024-09-07 09:52:11:723,149951,0.4,146629,0.6,307916,0.3,400685,1.75 26,1,2024-09-07 09:52:11:548,1058859,1058859,0,0,496217669847,5195464330,1044523,11803,2533,380,391748,0 26,2,2024-09-07 09:52:10:871,761985,761985,0,0,41253977,0,4689 26,3,2024-09-07 09:52:11:716,1,603,2,0,796,7574,603,0 27,0,2024-09-07 09:52:11:725,151163,0.4,151771,0.6,301841,0.3,402623,2.25 27,1,2024-09-07 09:52:11:675,1059649,1059649,0,0,498061539676,5190750448,1049251,8789,1609,381,391626,0 27,2,2024-09-07 09:52:10:866,757955,757890,65,0,35936054,0,5699 27,3,2024-09-07 09:52:11:016,1,603,1,0,564,5202,603,0 28,0,2024-09-07 09:52:11:413,151474,0.4,151530,0.6,303369,0.3,404271,1.75 28,1,2024-09-07 09:52:10:797,1060393,1060393,0,0,498196404815,5193107366,1051455,7047,1891,382,391904,0 28,2,2024-09-07 09:52:11:769,763477,763477,0,0,30941518,0,2915 28,3,2024-09-07 09:52:11:775,1,603,2,0,502,6016,603,0 29,0,2024-09-07 09:52:11:366,155151,0.4,151009,0.6,296440,0.4,403960,1.75 29,1,2024-09-07 09:52:11:562,1063358,1063358,0,0,498644964996,5156900785,1056999,5583,776,367,391809,0 29,2,2024-09-07 09:52:10:861,762946,762946,0,0,30117298,0,4986 29,3,2024-09-07 09:52:10:963,1,603,1,0,459,6505,603,0 30,0,2024-09-07 09:52:11:462,149887,0.5,145957,0.7,305571,0.4,399049,2.00 30,1,2024-09-07 09:52:10:572,1062491,1062491,0,0,498868477061,5174896890,1054920,6660,911,380,391672,0 30,2,2024-09-07 09:52:11:274,763572,763572,0,0,28732757,0,4192 30,3,2024-09-07 09:52:10:581,1,603,11,0,519,5618,603,0 31,0,2024-09-07 09:52:11:765,150357,0.4,151116,0.6,301177,0.4,401934,1.75 31,1,2024-09-07 09:52:10:565,1065602,1065602,0,0,499465606039,5129011680,1059143,5385,1074,356,391712,0 31,2,2024-09-07 09:52:11:275,761547,761547,0,0,32260037,0,4470 31,3,2024-09-07 09:52:11:706,1,603,1,0,239,5176,603,0 32,0,2024-09-07 09:52:11:429,151744,0.3,152798,0.5,303914,0.3,405599,1.75 32,1,2024-09-07 09:52:10:804,1061720,1061720,0,0,497657162470,5171420831,1055542,5460,718,381,391646,0 32,2,2024-09-07 09:52:10:934,764616,764616,0,0,28504094,0,3922 32,3,2024-09-07 09:52:11:015,1,603,1,0,304,4907,603,0 33,0,2024-09-07 09:52:11:496,151319,0.3,151049,0.4,302263,0.2,401889,1.50 33,1,2024-09-07 09:52:10:578,1062189,1062189,0,0,498930878664,5168086544,1053741,7175,1273,368,391730,0 33,2,2024-09-07 09:52:10:764,763869,763834,35,0,31633324,0,7012 33,3,2024-09-07 09:52:10:902,1,603,1,0,329,5294,603,0 34,0,2024-09-07 09:52:10:931,151204,0.3,155324,0.5,297091,0.2,400917,1.75 34,1,2024-09-07 09:52:11:047,1064073,1064073,0,0,499478376079,5138244814,1061112,2851,110,367,391637,0 34,2,2024-09-07 09:52:10:768,763812,763812,0,0,30201922,0,4562 34,3,2024-09-07 09:52:11:688,1,603,1,0,541,5510,603,0 35,0,2024-09-07 09:52:10:857,150479,0.3,151316,0.5,303528,0.2,403228,1.75 35,1,2024-09-07 09:52:11:067,1060153,1060153,0,0,498328518545,5172051137,1052044,6586,1523,382,391769,0 35,2,2024-09-07 09:52:11:587,763004,763004,0,0,33090976,0,4055 35,3,2024-09-07 09:52:10:908,1,603,1,0,466,5682,603,0 36,0,2024-09-07 09:52:11:568,152109,0.5,152163,0.7,303689,0.4,404935,2.00 36,1,2024-09-07 09:52:10:583,1059021,1059021,0,0,497735227787,5197765288,1045441,11340,2240,366,391759,0 36,2,2024-09-07 09:52:11:753,762715,762715,0,0,34509671,0,3875 36,3,2024-09-07 09:52:10:864,1,603,10,0,556,8268,603,0 37,0,2024-09-07 09:52:11:387,150273,0.5,150423,0.7,300985,0.5,401418,2.25 37,1,2024-09-07 09:52:10:575,1058939,1058932,0,7,496861658633,5189176513,1046279,9740,2913,365,391770,0 37,2,2024-09-07 09:52:11:142,760331,760316,15,0,34401384,0,5815 37,3,2024-09-07 09:52:11:768,1,603,1,0,888,8057,603,0 38,0,2024-09-07 09:52:11:440,150541,0.5,145879,0.7,305109,0.4,399956,2.00 38,1,2024-09-07 09:52:11:606,1058606,1058606,0,0,497541605258,5204870084,1043276,12331,2999,368,391821,0 38,2,2024-09-07 09:52:10:759,762745,762698,47,0,34666240,0,6710 38,3,2024-09-07 09:52:10:997,1,603,19,0,689,7427,603,0 39,0,2024-09-07 09:52:11:766,154386,0.5,150951,0.7,294290,0.5,401768,2.00 39,1,2024-09-07 09:52:10:715,1058176,1058176,0,0,496377631826,5204533836,1040731,13730,3715,365,391865,0 39,2,2024-09-07 09:52:11:418,762819,762819,0,0,32797936,0,3391 39,3,2024-09-07 09:52:10:713,1,603,148,0,525,6829,603,0 40,0,2024-09-07 09:52:11:534,149877,0.8,150597,0.9,300615,0.9,401432,2.75 40,1,2024-09-07 09:52:10:578,1058855,1058855,0,0,496117439012,5197888868,1043247,12540,3068,368,391668,0 40,2,2024-09-07 09:52:11:305,759214,759207,7,0,39021895,0,5347 40,3,2024-09-07 09:52:11:142,1,603,1,0,1028,8864,603,0 41,0,2024-09-07 09:52:11:039,150036,1.3,153577,1.1,292797,1.9,398155,3.25 41,1,2024-09-07 09:52:10:775,1058139,1058139,0,0,495881538931,5188797525,1044369,11611,2159,369,391878,0 41,2,2024-09-07 09:52:10:766,759832,759831,1,0,37260005,0,5408 41,3,2024-09-07 09:52:11:677,1,603,1,0,366,6494,603,0 42,0,2024-09-07 09:52:11:486,150171,1.0,149723,1.1,299611,1.2,398129,2.75 42,1,2024-09-07 09:52:11:452,1056014,1056014,0,0,494740711970,5199464104,1039522,13560,2932,380,391675,0 42,2,2024-09-07 09:52:11:134,761004,761003,1,0,37576031,0,5513 42,3,2024-09-07 09:52:11:011,1,603,1,0,892,5641,603,0 43,0,2024-09-07 09:52:10:917,149393,0.7,145491,0.9,304414,0.7,398298,2.25 43,1,2024-09-07 09:52:10:577,1059614,1059614,0,0,496742132896,5191265181,1044875,12167,2572,366,391696,0 43,2,2024-09-07 09:52:11:752,763017,763017,0,0,35485949,0,4723 43,3,2024-09-07 09:52:11:748,1,603,2,0,571,8823,603,0 44,0,2024-09-07 09:52:10:867,151486,0.4,151368,0.6,303023,0.3,403299,1.75 44,1,2024-09-07 09:52:10:563,1062674,1062674,0,0,497857168498,5146311838,1053307,7426,1941,356,391809,0 44,2,2024-09-07 09:52:11:278,759998,759998,0,0,28815563,0,4344 44,3,2024-09-07 09:52:11:101,1,603,11,0,1097,7441,603,0 45,0,2024-09-07 09:52:11:779,149599,0.5,146149,0.7,306956,0.4,402935,2.00 45,1,2024-09-07 09:52:11:009,1061149,1061149,0,0,498165067663,5176054389,1052896,7350,903,382,391917,0 45,2,2024-09-07 09:52:11:270,763823,763823,0,0,29193638,0,3596 45,3,2024-09-07 09:52:10:936,1,603,6,0,531,5745,603,0 46,0,2024-09-07 09:52:10:956,149938,0.6,149495,0.8,299987,0.6,398375,2.25 46,1,2024-09-07 09:52:10:575,1063421,1063421,0,0,499276211746,5160521011,1055927,6506,988,366,391709,0 46,2,2024-09-07 09:52:10:593,764076,764076,0,0,29874640,0,4443 46,3,2024-09-07 09:52:11:134,1,603,1,0,908,7181,603,0 47,0,2024-09-07 09:52:11:106,151279,0.4,151155,0.6,303475,0.4,401903,2.00 47,1,2024-09-07 09:52:10:573,1063960,1063960,0,0,499099868551,5155387088,1057862,5236,862,364,391641,0 47,2,2024-09-07 09:52:10:908,766049,766049,0,0,28915181,0,4477 47,3,2024-09-07 09:52:11:121,1,603,1,0,600,6593,603,0 48,0,2024-09-07 09:52:11:493,151247,0.3,151237,0.4,302207,0.2,401400,1.50 48,1,2024-09-07 09:52:11:022,1061536,1061536,0,0,497455359848,5165879403,1055433,5525,578,381,391710,0 48,2,2024-09-07 09:52:10:702,763163,763163,0,0,27492936,0,3524 48,3,2024-09-07 09:52:10:755,1,603,2,0,339,5361,603,0 49,0,2024-09-07 09:52:11:725,155656,0.3,152487,0.5,296859,0.3,405232,1.75 49,1,2024-09-07 09:52:11:026,1060360,1060360,0,0,497885881680,5178939595,1053089,5575,1696,382,391809,0 49,2,2024-09-07 09:52:11:808,764312,764312,0,0,29732800,0,4426 49,3,2024-09-07 09:52:11:416,1,603,4,0,992,7169,603,0 50,0,2024-09-07 09:52:11:517,151441,0.3,149754,0.5,301810,0.2,401237,1.75 50,1,2024-09-07 09:52:11:013,1063574,1063574,0,0,499659214673,5164675189,1057048,5857,669,368,391691,0 50,2,2024-09-07 09:52:11:067,761855,761855,0,0,27556602,0,4490 50,3,2024-09-07 09:52:11:291,1,603,1,0,617,6193,603,0 51,0,2024-09-07 09:52:11:699,155389,0.3,152068,0.5,296150,0.2,404022,1.75 51,1,2024-09-07 09:52:11:680,1064097,1064097,0,0,500386019466,5159309852,1058438,4578,1081,365,391706,0 51,2,2024-09-07 09:52:11:316,763602,763602,0,0,27088805,0,3337 51,3,2024-09-07 09:52:11:027,1,603,1,0,678,4312,603,0 52,0,2024-09-07 09:52:11:420,151811,0.5,151374,0.6,303291,0.4,403129,2.00 52,1,2024-09-07 09:52:10:575,1058735,1058735,0,0,496804748113,5200397925,1043590,12815,2330,368,391805,0 52,2,2024-09-07 09:52:11:758,759594,759556,38,0,36595602,0,6742 52,3,2024-09-07 09:52:10:675,1,603,8,0,1782,7112,603,0 53,0,2024-09-07 09:52:11:745,150645,0.7,146235,0.8,306089,0.7,400902,2.50 53,1,2024-09-07 09:52:10:778,1057338,1057338,0,0,495991463637,5205750794,1039154,13789,4395,367,391968,0 53,2,2024-09-07 09:52:11:298,762033,762032,1,0,32909525,0,5455 53,3,2024-09-07 09:52:10:697,1,603,1,0,308,5596,603,0 54,0,2024-09-07 09:52:11:615,149684,0.6,149865,0.8,298516,0.5,399388,2.25 54,1,2024-09-07 09:52:10:581,1060398,1060398,0,0,497989140453,5179951542,1049285,9442,1671,366,391810,0 54,2,2024-09-07 09:52:10:865,763261,763229,32,0,36669425,0,6397 54,3,2024-09-07 09:52:10:763,1,603,1,0,676,7828,603,0 55,0,2024-09-07 09:52:11:778,145983,0.6,150639,0.8,305253,0.6,397905,2.50 55,1,2024-09-07 09:52:10:769,1060789,1060789,0,0,497990777221,5179294718,1049930,9470,1389,365,391731,0 55,2,2024-09-07 09:52:10:729,761831,761775,56,0,34095605,0,7239 55,3,2024-09-07 09:52:10:674,1,603,1,0,304,5551,603,0 56,0,2024-09-07 09:52:11:553,153621,1.1,144690,1.1,298374,1.5,399221,2.75 56,1,2024-09-07 09:52:10:573,1053882,1053882,0,0,495205001663,5238323778,1035914,14279,3689,381,391867,0 56,2,2024-09-07 09:52:11:306,761295,761173,122,0,36250673,0,7432 56,3,2024-09-07 09:52:11:058,1,603,1,0,705,6961,603,0 57,0,2024-09-07 09:52:10:970,149547,1.4,149248,1.2,298870,1.9,399113,3.00 57,1,2024-09-07 09:52:10:990,1056504,1056504,0,0,495855211819,5205541257,1042081,11933,2490,366,392032,0 57,2,2024-09-07 09:52:11:319,760624,760624,0,0,37825947,0,4804 57,3,2024-09-07 09:52:11:738,1,603,1,0,455,7051,603,0 58,0,2024-09-07 09:52:10:556,149040,1.0,144849,1.0,302913,1.2,396712,2.75 58,1,2024-09-07 09:52:10:575,1058226,1058223,0,3,496675391007,5207409360,1042078,12244,3901,367,391726,3 58,2,2024-09-07 09:52:11:072,763377,763377,0,0,35551976,0,3483 58,3,2024-09-07 09:52:11:068,1,603,1,0,1043,6726,603,0 59,0,2024-09-07 09:52:11:743,149368,0.9,148930,1.0,297559,1.0,395005,3.00 59,1,2024-09-07 09:52:10:804,1057062,1057062,0,0,496478480386,5218039774,1039241,14153,3668,369,391653,0 59,2,2024-09-07 09:52:10:583,763016,763016,0,0,33644743,0,3727 59,3,2024-09-07 09:52:11:738,1,603,74,0,1015,7427,603,0 60,0,2024-09-07 09:52:11:702,150514,0.5,150676,0.7,301592,0.5,401382,1.75 60,1,2024-09-07 09:52:10:786,1061824,1061824,0,0,498026302216,5175446207,1053870,6867,1087,370,392031,0 60,2,2024-09-07 09:52:11:141,762866,762866,0,0,31759543,0,3811 60,3,2024-09-07 09:52:11:260,1,603,0,0,409,6977,603,0 61,0,2024-09-07 09:52:11:493,150525,0.6,151090,0.8,300755,0.6,401291,2.00 61,1,2024-09-07 09:52:10:839,1058855,1058855,0,0,497314291395,5205406638,1047724,9451,1680,382,392127,0 61,2,2024-09-07 09:52:11:119,762453,762386,67,0,32347264,0,6411 61,3,2024-09-07 09:52:11:688,1,603,1,0,607,7848,603,0 62,0,2024-09-07 09:52:11:716,152255,0.6,155975,0.7,298091,0.6,405517,2.00 62,1,2024-09-07 09:52:11:111,1065288,1065282,0,6,499617702016,5150379514,1060315,4668,299,365,391975,6 62,2,2024-09-07 09:52:11:652,761382,761381,1,0,32351737,0,5555 62,3,2024-09-07 09:52:11:143,1,603,1,0,482,4831,603,0 63,0,2024-09-07 09:52:11:459,151396,0.4,151124,0.6,302755,0.4,402171,1.75 63,1,2024-09-07 09:52:10:803,1061529,1061523,0,6,498550947068,5176312179,1054677,5991,855,381,391800,6 63,2,2024-09-07 09:52:10:765,763235,763235,0,0,30730336,0,4369 63,3,2024-09-07 09:52:11:733,1,603,1,0,667,6203,603,0 64,0,2024-09-07 09:52:11:508,150272,0.5,150115,0.6,300042,0.4,399526,2.00 64,1,2024-09-07 09:52:10:752,1060608,1060608,0,0,498377787609,5190551624,1051267,7364,1977,370,391794,0 64,2,2024-09-07 09:52:11:150,766883,766864,19,0,29880208,0,6121 64,3,2024-09-07 09:52:11:143,1,603,13,0,651,6914,603,0 65,0,2024-09-07 09:52:11:670,150100,0.6,150448,0.7,300559,0.6,400588,2.00 65,1,2024-09-07 09:52:10:860,1058711,1058711,0,0,495862363198,5182607376,1051231,6607,873,381,391901,0 65,2,2024-09-07 09:52:11:694,762074,762074,0,0,35880311,0,3367 65,3,2024-09-07 09:52:11:682,1,603,0,0,782,6700,603,0 66,0,2024-09-07 09:52:11:773,151175,0.5,150703,0.7,301608,0.5,401970,2.00 66,1,2024-09-07 09:52:11:293,1061178,1061178,0,0,497462399308,5175954648,1054675,5781,722,380,391743,0 66,2,2024-09-07 09:52:11:135,765250,765247,3,0,31887984,0,5455 66,3,2024-09-07 09:52:11:078,1,603,10,0,291,5115,603,0 67,0,2024-09-07 09:52:11:478,151009,0.6,150565,0.7,302187,0.5,402007,2.00 67,1,2024-09-07 09:52:10:765,1060434,1060433,0,1,498549718048,5190138465,1053034,6454,945,380,391787,1 67,2,2024-09-07 09:52:10:583,765496,765481,15,0,31681546,0,6205 67,3,2024-09-07 09:52:11:759,1,603,1,0,595,6313,603,0 68,0,2024-09-07 09:52:10:600,151400,0.6,151172,0.7,301139,0.6,403207,2.00 68,1,2024-09-07 09:52:10:584,1055991,1055991,0,0,495252280340,5207130016,1042187,10274,3530,381,391953,0 68,2,2024-09-07 09:52:11:054,759386,759286,100,0,39779314,0,8578 68,3,2024-09-07 09:52:10:728,1,603,1,0,417,7783,603,0 69,0,2024-09-07 09:52:11:725,150429,0.6,151125,0.8,301305,0.6,400560,2.25 69,1,2024-09-07 09:52:11:016,1055062,1055062,0,0,495545650512,5222451783,1041198,11031,2833,383,391994,0 69,2,2024-09-07 09:52:11:733,760074,760045,29,0,42239591,0,6912 69,3,2024-09-07 09:52:10:760,1,603,0,0,698,8649,603,0 70,0,2024-09-07 09:52:11:540,149648,0.7,150281,0.9,301932,0.6,400430,2.50 70,1,2024-09-07 09:52:10:801,1062112,1062112,0,0,498475183819,5171831675,1054198,7107,807,366,391725,0 70,2,2024-09-07 09:52:11:325,761810,761810,0,0,34835538,0,4323 70,3,2024-09-07 09:52:10:748,1,603,13,0,854,6637,603,0 71,0,2024-09-07 09:52:11:369,149713,1.0,149351,1.0,299834,1.3,400202,2.75 71,1,2024-09-07 09:52:11:596,1059678,1059678,0,0,496959946961,5187159900,1046678,11379,1621,368,391738,0 71,2,2024-09-07 09:52:11:066,762386,762386,0,0,35078445,0,4352 71,3,2024-09-07 09:52:11:750,1,603,15,0,644,6781,603,0 72,0,2024-09-07 09:52:11:031,155979,0.6,152464,0.7,297465,0.5,405065,2.00 72,1,2024-09-07 09:52:11:034,1057731,1057731,0,0,496432282018,5207741310,1042432,12784,2515,369,391819,0 72,2,2024-09-07 09:52:11:760,761314,761314,0,0,36613588,0,3983 72,3,2024-09-07 09:52:11:759,1,603,77,0,564,8919,603,0 73,0,2024-09-07 09:52:11:113,146922,0.4,150696,0.6,308062,0.4,400827,2.00 73,1,2024-09-07 09:52:10:777,1059185,1059185,0,0,497326178638,5170032863,1050782,7421,982,367,391858,0 73,2,2024-09-07 09:52:11:739,762365,762364,1,0,38152320,0,5027 73,3,2024-09-07 09:52:10:973,1,603,6,0,1091,8399,603,0 74,0,2024-09-07 09:52:11:328,152197,0.5,155872,0.6,297463,0.4,403542,2.00 74,1,2024-09-07 09:52:10:634,1058581,1058581,0,0,496442870080,5183634880,1046678,9787,2116,381,391762,0 74,2,2024-09-07 09:52:11:006,760386,760386,0,0,33290942,0,4253 74,3,2024-09-07 09:52:11:455,1,603,1,0,522,7429,603,0 75,0,2024-09-07 09:52:11:773,151627,0.5,150600,0.7,302424,0.5,404298,2.25 75,1,2024-09-07 09:52:11:590,1059753,1059753,0,0,496935448082,5179290307,1051129,7756,868,380,391739,0 75,2,2024-09-07 09:52:11:353,761584,761584,0,0,40369491,0,4766 75,3,2024-09-07 09:52:11:067,1,603,1,0,918,8167,603,0 76,0,2024-09-07 09:52:10:606,150278,0.6,149618,0.8,299345,0.6,400085,2.25 76,1,2024-09-07 09:52:10:805,1059625,1059625,0,0,496733040927,5179742774,1052295,6339,991,382,391790,0 76,2,2024-09-07 09:52:11:060,765474,765471,3,0,32693992,0,5265 76,3,2024-09-07 09:52:11:142,1,603,1,0,227,5494,603,0 77,0,2024-09-07 09:52:11:693,150495,0.5,150997,0.7,301855,0.5,401198,2.00 77,1,2024-09-07 09:52:10:828,1059265,1059265,0,0,497433487845,5188673934,1051286,7104,875,381,391869,0 77,2,2024-09-07 09:52:11:283,761981,761981,0,0,31822596,0,3890 77,3,2024-09-07 09:52:11:104,1,603,0,0,401,6826,603,0 78,0,2024-09-07 09:52:11:720,151415,0.4,150764,0.6,302381,0.4,399990,2.00 78,1,2024-09-07 09:52:10:609,1059650,1059650,0,0,497039607436,5184055320,1046809,9924,2917,367,391670,0 78,2,2024-09-07 09:52:11:406,764172,764159,13,0,29782381,0,8313 78,3,2024-09-07 09:52:11:134,1,603,1,0,311,5072,603,0 79,0,2024-09-07 09:52:11:364,146336,0.4,149837,0.6,306981,0.3,400048,2.00 79,1,2024-09-07 09:52:10:573,1063262,1063262,0,0,499304853702,5167930918,1055417,6485,1360,367,391682,0 79,2,2024-09-07 09:52:11:067,763396,763396,0,0,28904358,0,4195 79,3,2024-09-07 09:52:10:749,1,603,1,0,418,7165,603,0 80,0,2024-09-07 09:52:11:083,150652,0.6,154743,0.7,295897,0.6,400279,2.00 80,1,2024-09-07 09:52:11:631,1059453,1059453,0,0,496852981276,5174164875,1052299,6703,451,368,392269,0 80,2,2024-09-07 09:52:11:101,764969,764969,0,0,29576495,0,4433 80,3,2024-09-07 09:52:10:575,1,603,1,0,681,7392,603,0 81,0,2024-09-07 09:52:11:533,151384,0.5,154850,0.7,295725,0.5,401240,2.00 81,1,2024-09-07 09:52:11:658,1058646,1058646,0,0,496669689288,5189638318,1050482,7396,768,382,391885,0 81,2,2024-09-07 09:52:11:128,762359,762296,63,0,32840135,0,5932 81,3,2024-09-07 09:52:11:119,1,603,1,0,719,6996,603,0 82,0,2024-09-07 09:52:11:542,151161,0.5,151288,0.7,303336,0.4,402118,2.00 82,1,2024-09-07 09:52:10:583,1061062,1061058,0,4,497189893655,5168070344,1055487,4748,823,381,391768,4 82,2,2024-09-07 09:52:11:690,764855,764855,0,0,27814481,0,4484 82,3,2024-09-07 09:52:11:759,1,603,0,0,363,5697,603,0 83,0,2024-09-07 09:52:11:525,151375,0.6,151268,0.7,302111,0.6,401181,2.00 83,1,2024-09-07 09:52:10:550,1059523,1059523,0,0,497095937198,5182057947,1051937,6941,645,382,391709,0 83,2,2024-09-07 09:52:10:763,762380,762355,25,0,29936120,0,5612 83,3,2024-09-07 09:52:10:749,1,603,1,0,1260,7218,603,0 84,0,2024-09-07 09:52:11:816,149782,0.8,149854,0.9,299587,0.8,401064,2.25 84,1,2024-09-07 09:52:11:043,1057571,1057571,0,0,496385172376,5192072615,1045318,10580,1673,367,391967,0 84,2,2024-09-07 09:52:10:572,760987,760577,410,0,43609441,0,17037 84,3,2024-09-07 09:52:11:141,1,603,4,0,908,8078,603,0 85,0,2024-09-07 09:52:11:023,145279,0.6,145201,0.8,308275,0.6,398217,2.25 85,1,2024-09-07 09:52:10:680,1054865,1054865,0,0,494829708739,5225419115,1038548,13518,2799,381,392092,0 85,2,2024-09-07 09:52:10:875,762157,762157,0,0,36808379,0,4255 85,3,2024-09-07 09:52:10:689,1,603,8,0,789,6910,603,0 86,0,2024-09-07 09:52:10:875,150391,0.7,154548,0.8,295623,0.7,399889,2.25 86,1,2024-09-07 09:52:10:828,1057616,1057616,0,0,496868093235,5210553998,1043699,11231,2686,366,392169,0 86,2,2024-09-07 09:52:10:853,761430,761429,1,0,39084581,0,5004 86,3,2024-09-07 09:52:10:587,1,603,1,0,308,8540,603,0 87,0,2024-09-07 09:52:11:304,151251,0.8,150704,0.8,301306,0.9,402538,2.25 87,1,2024-09-07 09:52:10:554,1057464,1057464,0,0,496072703489,5197733653,1044084,11583,1797,366,392076,0 87,2,2024-09-07 09:52:11:069,759799,759793,6,0,35392367,0,6323 87,3,2024-09-07 09:52:11:804,1,603,15,0,473,8600,603,0 88,0,2024-09-07 09:52:11:440,151384,0.5,151836,0.6,303394,0.4,404258,1.75 88,1,2024-09-07 09:52:10:571,1056260,1056260,0,0,496120252461,5201423550,1040878,12338,3044,365,392084,0 88,2,2024-09-07 09:52:10:688,762510,762510,0,0,38176122,0,4465 88,3,2024-09-07 09:52:11:277,1,603,9,0,1080,9289,603,0 89,0,2024-09-07 09:52:11:780,154968,0.5,150349,0.7,296703,0.4,403530,1.75 89,1,2024-09-07 09:52:10:557,1056151,1056151,0,0,496629120289,5223332352,1043166,11041,1944,382,391866,0 89,2,2024-09-07 09:52:11:139,762351,762351,0,0,35975143,0,3173 89,3,2024-09-07 09:52:11:798,1,603,2,0,468,10119,603,0 90,0,2024-09-07 09:52:11:616,146157,0.5,150165,0.6,306370,0.4,399491,2.00 90,1,2024-09-07 09:52:10:590,1058132,1058132,0,0,496189239853,5197343326,1048036,9203,893,380,391825,0 90,2,2024-09-07 09:52:11:409,759639,759634,5,0,39481379,0,6370 90,3,2024-09-07 09:52:10:930,1,603,1,0,322,7312,603,0 91,0,2024-09-07 09:52:10:970,151039,0.4,146571,0.6,306784,0.4,401938,1.75 91,1,2024-09-07 09:52:10:560,1055244,1055244,0,0,495722401677,5223993945,1039388,12708,3148,381,392047,0 91,2,2024-09-07 09:52:11:372,761858,761858,0,0,34681887,0,4713 91,3,2024-09-07 09:52:10:601,1,603,1,0,216,5421,603,0 92,0,2024-09-07 09:52:11:456,153300,0.5,156733,0.6,298736,0.4,405586,1.75 92,1,2024-09-07 09:52:10:581,1058987,1058987,0,0,497482719075,5195945055,1050622,7077,1288,381,392136,0 92,2,2024-09-07 09:52:11:365,763178,763178,0,0,30422116,0,3259 92,3,2024-09-07 09:52:11:017,1,603,3,0,167,5205,603,0 93,0,2024-09-07 09:52:10:976,151775,0.4,155477,0.6,296962,0.4,402206,1.75 93,1,2024-09-07 09:52:10:808,1059379,1059379,0,0,497115624841,5187842547,1047843,9608,1928,365,392048,0 93,2,2024-09-07 09:52:10:931,763077,763077,0,0,36221060,0,4913 93,3,2024-09-07 09:52:11:408,1,603,1,0,294,5892,603,0 94,0,2024-09-07 09:52:11:602,150321,0.4,151410,0.5,302855,0.3,401943,1.75 94,1,2024-09-07 09:52:10:563,1059924,1059924,0,0,496962097625,5182039524,1052977,6513,434,381,391850,0 94,2,2024-09-07 09:52:10:764,763068,763040,28,0,31160650,0,6179 94,3,2024-09-07 09:52:11:688,1,603,1,0,576,7460,603,0 95,0,2024-09-07 09:52:11:363,151285,0.3,151315,0.5,302860,0.3,403319,1.75 95,1,2024-09-07 09:52:10:854,1061072,1061072,0,0,497744181627,5172174519,1052636,7687,749,365,391852,0 95,2,2024-09-07 09:52:11:015,762151,762151,0,0,30611043,0,3308 95,3,2024-09-07 09:52:11:716,1,603,1,0,718,9415,603,0 96,0,2024-09-07 09:52:11:030,151575,0.4,152052,0.5,303813,0.3,404327,1.75 96,1,2024-09-07 09:52:11:585,1059557,1059557,0,0,496873852098,5182156473,1052175,6109,1273,384,391964,0 96,2,2024-09-07 09:52:11:271,763352,763352,0,0,31782139,0,4180 96,3,2024-09-07 09:52:11:140,1,603,0,0,411,6969,603,0 97,0,2024-09-07 09:52:11:395,151170,0.3,150924,0.5,302785,0.3,401840,1.75 97,1,2024-09-07 09:52:10:788,1060905,1060905,0,0,498380009028,5171761686,1053976,5891,1038,367,392140,0 97,2,2024-09-07 09:52:10:613,764163,764163,0,0,31454880,0,4046 97,3,2024-09-07 09:52:10:573,1,603,21,0,242,6825,603,0 98,0,2024-09-07 09:52:11:713,150988,0.3,151109,0.5,303252,0.3,403372,1.50 98,1,2024-09-07 09:52:10:572,1059877,1059877,0,0,496814458693,5175233874,1053390,5652,835,381,391997,0 98,2,2024-09-07 09:52:10:773,763333,763333,0,0,30218066,0,4336 98,3,2024-09-07 09:52:10:706,1,603,1,0,840,9096,603,0 99,0,2024-09-07 09:52:11:464,151110,0.3,151993,0.5,302315,0.3,403224,1.75 99,1,2024-09-07 09:52:11:723,1060692,1060692,0,0,497088292048,5172631336,1054325,5425,942,380,392069,0 99,2,2024-09-07 09:52:11:420,762634,762634,0,0,37430329,0,4276 99,3,2024-09-07 09:52:10:581,1,603,1,0,1124,7773,603,0 100,0,2024-09-07 09:52:11:459,150939,0.7,151165,0.9,302275,0.8,403823,2.25 100,1,2024-09-07 09:52:10:556,1054343,1054343,0,0,494476839333,5228137031,1038322,12704,3317,378,391989,0 100,2,2024-09-07 09:52:11:817,757752,757363,389,0,40635078,0,16909 100,3,2024-09-07 09:52:11:731,1,603,2,0,627,10089,603,0 101,0,2024-09-07 09:52:11:716,154189,1.1,150367,1.1,294321,1.2,401940,2.25 101,1,2024-09-07 09:52:10:552,1056232,1056232,0,0,496235494293,5215102107,1042089,11615,2528,368,391847,0 101,2,2024-09-07 09:52:11:760,758529,758529,0,0,40608857,0,4871 101,3,2024-09-07 09:52:10:948,1,603,3,0,1250,9036,603,0 102,0,2024-09-07 09:52:10:967,147027,0.7,151286,0.8,307548,0.7,402612,2.25 102,1,2024-09-07 09:52:11:249,1056118,1056118,0,0,495474579357,5205495580,1041993,11926,2199,369,391984,0 102,2,2024-09-07 09:52:11:737,763723,763669,54,0,34749061,0,6768 102,3,2024-09-07 09:52:11:631,1,603,1,0,466,6922,603,0 103,0,2024-09-07 09:52:11:588,155805,0.6,155791,0.7,293517,0.6,404097,2.00 103,1,2024-09-07 09:52:11:625,1054692,1054692,0,0,495405306655,5233344683,1037607,13483,3602,381,392077,0 103,2,2024-09-07 09:52:10:582,760475,760475,0,0,37514372,0,3766 103,3,2024-09-07 09:52:10:755,1,603,2,0,916,7115,603,0 104,0,2024-09-07 09:52:11:017,150141,0.7,150359,0.9,300084,0.7,401585,2.25 104,1,2024-09-07 09:52:11:603,1057334,1057334,0,0,495799962732,5210176091,1041801,12689,2844,365,392168,0 104,2,2024-09-07 09:52:11:670,758432,758432,0,0,37030593,0,4161 104,3,2024-09-07 09:52:11:416,1,603,1,0,1245,10657,603,0 105,0,2024-09-07 09:52:11:060,149666,0.9,145722,1.1,305585,1.1,402017,2.75 105,1,2024-09-07 09:52:10:559,1059133,1059133,0,0,496795089665,5205441449,1045564,11627,1942,364,392009,0 105,2,2024-09-07 09:52:11:321,762050,762050,0,0,37186179,0,4360 105,3,2024-09-07 09:52:11:308,1,603,2,0,573,9186,603,0 106,0,2024-09-07 09:52:10:941,145575,1.0,149143,1.0,305368,1.2,398633,2.50 106,1,2024-09-07 09:52:11:759,1057869,1057869,0,0,495770503508,5203571748,1043210,12765,1894,368,391914,0 106,2,2024-09-07 09:52:10:757,761464,761464,0,0,35055318,0,3331 106,3,2024-09-07 09:52:10:684,1,603,1,0,1224,8424,603,0 107,0,2024-09-07 09:52:11:107,150688,0.9,150777,0.9,301536,1.1,401902,2.25 107,1,2024-09-07 09:52:10:591,1054827,1054827,0,0,494634312824,5227837317,1037450,15243,2134,381,392234,0 107,2,2024-09-07 09:52:11:291,759415,759414,1,0,38154637,0,5024 107,3,2024-09-07 09:52:11:759,1,603,2,0,733,9121,603,0 108,0,2024-09-07 09:52:11:788,150782,0.4,151204,0.6,301394,0.4,401519,1.75 108,1,2024-09-07 09:52:11:293,1059141,1059141,0,0,497291415591,5186247166,1050446,7700,995,367,391894,0 108,2,2024-09-07 09:52:11:770,762332,762332,0,0,32833923,0,4246 108,3,2024-09-07 09:52:11:335,1,603,1,0,749,10878,603,0 109,0,2024-09-07 09:52:11:811,152244,0.4,151021,0.6,302750,0.3,404378,1.75 109,1,2024-09-07 09:52:10:582,1056347,1056347,0,0,496903042140,5211826916,1046869,8200,1278,382,392132,0 109,2,2024-09-07 09:52:10:928,760750,760750,0,0,33679424,0,3617 109,3,2024-09-07 09:52:11:141,1,603,1,0,630,7342,603,0 110,0,2024-09-07 09:52:11:754,150683,0.4,146531,0.6,306900,0.4,402039,1.75 110,1,2024-09-07 09:52:11:643,1061015,1061015,0,0,498176773504,5171365432,1052971,6117,1927,368,392045,0 110,2,2024-09-07 09:52:11:303,763402,763402,0,0,31174164,0,4067 110,3,2024-09-07 09:52:10:699,1,603,2,0,722,8043,603,0 111,0,2024-09-07 09:52:11:421,152145,0.4,151370,0.5,302574,0.3,403513,1.75 111,1,2024-09-07 09:52:11:004,1062061,1062061,0,0,498494475122,5169330757,1056578,5102,381,380,391690,0 111,2,2024-09-07 09:52:11:122,763121,763121,0,0,31690033,0,4823 111,3,2024-09-07 09:52:10:913,1,603,1,0,379,6538,603,0 112,0,2024-09-07 09:52:10:914,152023,0.3,151570,0.4,303693,0.2,403404,1.50 112,1,2024-09-07 09:52:10:830,1060767,1060767,0,0,497629427263,5167935561,1053565,5977,1225,380,391624,0 112,2,2024-09-07 09:52:11:137,762935,762934,1,0,30106612,0,5036 112,3,2024-09-07 09:52:10:596,1,603,1,0,282,5739,603,0 113,0,2024-09-07 09:52:10:885,151369,0.3,151412,0.5,303166,0.2,403552,1.75 113,1,2024-09-07 09:52:11:687,1063161,1063161,0,0,499922258339,5158316687,1056930,5283,948,365,391664,0 113,2,2024-09-07 09:52:11:307,764955,764955,0,0,28532587,0,3813 113,3,2024-09-07 09:52:10:686,1,603,1,0,510,6499,603,0 114,0,2024-09-07 09:52:10:880,151522,0.3,152458,0.5,303893,0.2,406524,1.75 114,1,2024-09-07 09:52:10:716,1061254,1061254,0,0,497695419534,5168456657,1053312,6195,1747,381,391565,0 114,2,2024-09-07 09:52:10:875,765058,765057,1,0,29743085,0,5069 114,3,2024-09-07 09:52:11:278,1,603,2,0,395,4867,603,0 115,0,2024-09-07 09:52:10:556,151891,0.3,152605,0.4,303638,0.2,404280,1.50 115,1,2024-09-07 09:52:10:578,1061518,1061518,0,0,497913474762,5172979998,1053262,6739,1517,382,391757,0 115,2,2024-09-07 09:52:11:128,765537,765537,0,0,29826875,0,4382 115,3,2024-09-07 09:52:11:002,1,603,1,0,167,3713,603,0 116,0,2024-09-07 09:52:11:698,150396,0.6,150237,0.8,301088,0.6,402181,2.00 116,1,2024-09-07 09:52:10:805,1055474,1055474,0,0,494736738653,5215110702,1042823,9560,3091,380,392089,0 116,2,2024-09-07 09:52:11:753,762296,762296,0,0,37285336,0,4475 116,3,2024-09-07 09:52:10:912,1,603,10,0,448,7779,603,0 117,0,2024-09-07 09:52:10:961,151440,0.7,150773,0.8,302102,0.7,403784,2.00 117,1,2024-09-07 09:52:11:580,1057385,1057385,0,0,495871517038,5193599937,1045606,10256,1523,369,392429,0 117,2,2024-09-07 09:52:11:123,762413,762413,0,0,33663024,0,4303 117,3,2024-09-07 09:52:11:059,1,603,3,0,490,7690,603,0 118,0,2024-09-07 09:52:11:768,146779,0.5,150664,0.7,307812,0.5,402809,2.00 118,1,2024-09-07 09:52:10:586,1056587,1056587,0,0,495689375257,5212540758,1040747,12218,3622,366,392054,0 118,2,2024-09-07 09:52:11:597,762028,762028,0,0,35940277,0,2842 118,3,2024-09-07 09:52:11:766,1,603,1,0,289,7130,603,0 119,0,2024-09-07 09:52:11:356,150433,0.8,151176,0.8,301444,0.9,401916,2.25 119,1,2024-09-07 09:52:10:554,1057413,1057413,0,0,496267013033,5205760536,1042717,12184,2512,367,391857,0 119,2,2024-09-07 09:52:11:264,763843,763843,0,0,33866783,0,4309 119,3,2024-09-07 09:52:11:330,1,603,2,0,1358,11076,603,0 120,0,2024-09-07 09:52:11:570,149772,0.6,149694,0.8,300758,0.7,400448,2.25 120,1,2024-09-07 09:52:10:878,1058230,1058230,0,0,495949746773,5202817103,1046528,10560,1142,367,392144,0 120,2,2024-09-07 09:52:10:773,761499,761496,3,0,40295924,0,5363 120,3,2024-09-07 09:52:11:290,1,603,4,0,279,7308,603,0 121,0,2024-09-07 09:52:11:765,150797,1.1,150239,1.0,300855,1.4,400904,2.25 121,1,2024-09-07 09:52:11:655,1057734,1057734,0,0,496527549802,5196419948,1046446,9735,1553,366,391840,0 121,2,2024-09-07 09:52:11:135,761092,761092,0,0,36747332,0,4157 121,3,2024-09-07 09:52:10:730,1,603,3,0,387,8005,603,0 122,0,2024-09-07 09:52:11:834,151079,0.8,147058,0.9,308070,0.9,405464,2.00 122,1,2024-09-07 09:52:10:859,1056814,1056814,0,0,495536889591,5202645941,1041813,12589,2412,365,392130,0 122,2,2024-09-07 09:52:11:320,763325,763250,75,0,40431641,0,5989 122,3,2024-09-07 09:52:10:598,1,603,0,0,512,9777,603,0 123,0,2024-09-07 09:52:10:992,150295,0.9,146161,0.9,306237,1.0,400885,2.25 123,1,2024-09-07 09:52:10:568,1056897,1056897,0,0,496700472583,5223135705,1040082,14143,2672,369,392039,0 123,2,2024-09-07 09:52:11:021,760539,760538,1,0,34428401,0,5215 123,3,2024-09-07 09:52:11:138,1,603,4,0,478,6914,603,0 124,0,2024-09-07 09:52:10:934,155096,0.3,155039,0.5,292343,0.3,402415,1.75 124,1,2024-09-07 09:52:11:027,1061222,1061222,0,0,497204058602,5168262813,1053487,6560,1175,365,392178,0 124,2,2024-09-07 09:52:11:063,764958,764905,53,0,31038402,0,6487 124,3,2024-09-07 09:52:10:762,1,603,1,0,490,5963,603,0 125,0,2024-09-07 09:52:11:450,151010,0.4,151105,0.5,303058,0.3,403246,1.75 125,1,2024-09-07 09:52:10:856,1057797,1057797,0,0,496111516053,5178504545,1049729,6917,1151,382,391968,0 125,2,2024-09-07 09:52:11:119,765009,765009,0,0,31193788,0,4534 125,3,2024-09-07 09:52:11:129,1,603,1,0,709,6939,603,0 126,0,2024-09-07 09:52:11:445,151915,0.4,156159,0.5,298745,0.4,404976,1.75 126,1,2024-09-07 09:52:10:563,1061769,1061769,0,0,497960756796,5154769654,1056250,5072,447,365,391987,0 126,2,2024-09-07 09:52:10:609,764174,764174,0,0,32424470,0,4539 126,3,2024-09-07 09:52:10:907,1,603,2,0,268,6625,603,0 127,0,2024-09-07 09:52:11:634,151543,0.3,151617,0.5,302543,0.3,402232,1.75 127,1,2024-09-07 09:52:10:576,1059978,1059978,0,0,497700275172,5178443290,1049302,9039,1637,364,392187,0 127,2,2024-09-07 09:52:10:642,763205,763201,4,0,30545521,0,5305 127,3,2024-09-07 09:52:11:277,1,603,11,0,968,5838,603,0 128,0,2024-09-07 09:52:11:586,151955,0.3,152002,0.4,303747,0.2,404077,1.50 128,1,2024-09-07 09:52:11:620,1059963,1059963,0,0,497173265348,5171783273,1051547,7451,965,367,392423,0 128,2,2024-09-07 09:52:11:463,764878,764878,0,0,28471924,0,3171 128,3,2024-09-07 09:52:10:773,1,603,1,0,1082,9589,603,0 129,0,2024-09-07 09:52:11:034,152351,0.3,151562,0.5,303725,0.3,403715,1.50 129,1,2024-09-07 09:52:10:567,1056795,1056795,0,0,496471370396,5203658859,1046331,8442,2022,379,391962,0 129,2,2024-09-07 09:52:10:692,764907,764903,4,0,31043601,0,5335 129,3,2024-09-07 09:52:10:688,1,603,3,0,506,8284,603,0 130,0,2024-09-07 09:52:11:766,152149,0.4,151548,0.5,304035,0.4,405168,1.75 130,1,2024-09-07 09:52:10:583,1060879,1060879,0,0,497847960918,5170746521,1055441,5041,397,381,391825,0 130,2,2024-09-07 09:52:11:128,762002,762002,0,0,31252186,0,4067 130,3,2024-09-07 09:52:11:308,1,603,1,0,960,8297,603,0 131,0,2024-09-07 09:52:11:946,151028,0.3,151445,0.5,303872,0.3,403668,1.75 131,1,2024-09-07 09:52:11:820,1060097,1060097,0,0,497125639146,5181990366,1052869,6030,1198,381,391865,0 131,2,2024-09-07 09:52:10:568,763884,763884,0,0,29001018,0,3979 131,3,2024-09-07 09:52:11:690,1,603,1,0,392,7726,603,0 132,0,2024-09-07 09:52:11:513,151343,0.5,152281,0.6,303346,0.5,403668,2.00 132,1,2024-09-07 09:52:10:583,1055560,1055560,0,0,495075884148,5213066432,1040120,12736,2704,381,392532,0 132,2,2024-09-07 09:52:10:722,763045,763028,17,0,37792387,0,6451 132,3,2024-09-07 09:52:11:688,1,603,2,0,1298,10840,603,0 133,0,2024-09-07 09:52:11:630,147066,0.4,150485,0.6,308492,0.4,401609,2.00 133,1,2024-09-07 09:52:10:583,1056189,1056189,0,0,495505943038,5220969724,1042111,12314,1764,383,391914,0 133,2,2024-09-07 09:52:11:107,762916,762866,50,0,38766050,0,6861 133,3,2024-09-07 09:52:11:297,1,603,1,0,528,7301,603,0 134,0,2024-09-07 09:52:10:990,151469,0.5,151591,0.7,303275,0.5,403595,2.00 134,1,2024-09-07 09:52:10:584,1057276,1057276,0,0,496060587954,5203395500,1043497,11291,2488,366,391781,0 134,2,2024-09-07 09:52:11:776,761297,761273,24,0,35852871,0,6207 134,3,2024-09-07 09:52:10:750,1,603,1,0,739,7445,603,0 135,0,2024-09-07 09:52:11:112,146655,0.8,146610,0.8,311253,0.9,401547,2.25 135,1,2024-09-07 09:52:11:615,1056465,1056465,0,0,496189239729,5216931216,1043446,11378,1641,380,391805,0 135,2,2024-09-07 09:52:10:691,763559,763559,0,0,36711787,0,4503 135,3,2024-09-07 09:52:11:003,1,603,11,0,900,5913,603,0 136,0,2024-09-07 09:52:11:672,151099,0.6,151630,0.8,300968,0.6,401704,2.25 136,1,2024-09-07 09:52:11:443,1058205,1058205,0,0,496111032989,5200597117,1046302,10515,1388,381,392135,0 136,2,2024-09-07 09:52:11:139,764623,764608,15,0,36022193,0,6007 136,3,2024-09-07 09:52:11:109,1,603,4,0,637,6972,603,0 137,0,2024-09-07 09:52:10:941,155754,0.6,151817,0.7,297406,0.6,403731,2.00 137,1,2024-09-07 09:52:10:603,1056988,1056988,0,0,495520202803,5198412364,1040450,13150,3388,366,391898,0 137,2,2024-09-07 09:52:11:727,761109,761109,0,0,37126502,0,3185 137,3,2024-09-07 09:52:10:769,1,603,0,0,484,8250,603,0 138,0,2024-09-07 09:52:11:776,150114,0.7,150534,0.8,301374,0.8,399963,2.00 138,1,2024-09-07 09:52:11:715,1057467,1057467,0,0,496773112582,5211464116,1042693,12504,2270,368,391954,0 138,2,2024-09-07 09:52:10:595,761378,761378,0,0,35430179,0,4988 138,3,2024-09-07 09:52:10:617,1,603,69,0,1200,9491,603,0 139,0,2024-09-07 09:52:11:517,150255,1.1,150857,0.9,301521,1.5,402752,2.25 139,1,2024-09-07 09:52:10:573,1052906,1052906,0,0,492657086041,5224729982,1034518,14563,3825,380,392109,0 139,2,2024-09-07 09:52:10:693,757716,757686,30,0,40753533,0,5997 139,3,2024-09-07 09:52:11:664,1,603,1,0,432,7164,603,0 140,0,2024-09-07 09:52:11:595,151415,0.3,150519,0.5,301978,0.2,402349,1.75 140,1,2024-09-07 09:52:11:542,1063434,1063434,0,0,498887721592,5142299485,1058464,4423,547,364,391606,0 140,2,2024-09-07 09:52:10:687,763829,763828,1,0,28893780,0,5036 140,3,2024-09-07 09:52:10:773,1,603,1,0,575,6004,603,0 141,0,2024-09-07 09:52:11:704,151676,0.3,155889,0.5,298016,0.2,403352,1.75 141,1,2024-09-07 09:52:10:858,1062032,1062032,0,0,498536762907,5178362414,1053833,7006,1193,379,391614,0 141,2,2024-09-07 09:52:11:686,763645,763634,11,0,31023832,0,5369 141,3,2024-09-07 09:52:11:042,1,603,1,0,391,6976,603,0 142,0,2024-09-07 09:52:11:316,152186,0.3,151370,0.5,302963,0.2,404345,1.50 142,1,2024-09-07 09:52:10:589,1059961,1059961,0,0,497254012791,5182626916,1053250,6204,507,382,392102,0 142,2,2024-09-07 09:52:11:300,762511,762479,32,0,31532509,0,6028 142,3,2024-09-07 09:52:11:768,1,603,13,0,484,6389,603,0 143,0,2024-09-07 09:52:11:369,151274,0.4,151265,0.5,303503,0.4,403533,1.75 143,1,2024-09-07 09:52:10:574,1062041,1062041,0,0,497403900666,5159903438,1055576,5897,568,367,391900,0 143,2,2024-09-07 09:52:10:778,763044,763044,0,0,31409776,0,3123 143,3,2024-09-07 09:52:11:142,1,603,1,0,462,7294,603,0 144,0,2024-09-07 09:52:11:522,146771,0.6,151075,0.8,307318,0.6,403043,2.00 144,1,2024-09-07 09:52:10:585,1056574,1056574,0,0,496059811695,5205645096,1045979,8601,1994,381,391733,0 144,2,2024-09-07 09:52:11:772,764320,764320,0,0,30817977,0,4443 144,3,2024-09-07 09:52:11:741,1,603,8,0,249,5962,603,0 145,0,2024-09-07 09:52:11:379,146389,0.6,146322,0.8,310657,0.5,400195,2.25 145,1,2024-09-07 09:52:10:553,1055782,1055782,0,0,495003503898,5209234729,1041756,11353,2673,382,391781,0 145,2,2024-09-07 09:52:11:433,761981,761899,82,0,36701528,0,7814 145,3,2024-09-07 09:52:10:900,1,603,6,0,622,8015,603,0 146,0,2024-09-07 09:52:11:640,150644,0.5,149983,0.7,301467,0.5,400407,2.00 146,1,2024-09-07 09:52:11:589,1057216,1057216,0,0,496032932287,5219703012,1039712,13023,4481,367,391770,0 146,2,2024-09-07 09:52:11:706,761455,761449,6,0,34894877,0,5151 146,3,2024-09-07 09:52:11:275,1,603,11,0,1520,9794,603,0 147,0,2024-09-07 09:52:11:770,151343,0.6,151025,0.7,301936,0.5,403069,2.00 147,1,2024-09-07 09:52:11:405,1060995,1060995,0,0,497605847476,5170564008,1052503,7525,967,367,391791,0 147,2,2024-09-07 09:52:11:015,760885,760885,0,0,30970077,0,2968 147,3,2024-09-07 09:52:10:924,1,603,0,0,1626,9606,603,0 0,0,2024-09-07 09:52:21:707,146534,0.6,146494,0.7,311057,0.6,401409,2.00 0,1,2024-09-07 09:52:20:826,1059447,1059447,0,0,497441490220,5207112998,1050905,7596,946,368,391896,0 0,2,2024-09-07 09:52:21:068,764925,764925,0,0,31057975,0,4480 0,3,2024-09-07 09:52:20:974,1,604,1,0,431,8679,604,0 1,0,2024-09-07 09:52:21:756,150752,0.8,149731,0.9,300812,1.0,401648,2.00 1,1,2024-09-07 09:52:20:557,1058895,1058895,0,0,496004997240,5195626530,1049420,7984,1491,370,391859,0 1,2,2024-09-07 09:52:20:644,763157,763157,0,0,30501704,0,3380 1,3,2024-09-07 09:52:21:311,1,604,1,0,269,7520,604,0 2,0,2024-09-07 09:52:21:570,152051,0.6,151869,0.7,303385,0.6,405372,2.00 2,1,2024-09-07 09:52:20:859,1062219,1062219,0,0,498871290300,5186899382,1056044,5225,950,379,391745,0 2,2,2024-09-07 09:52:21:266,764580,764580,0,0,29116604,0,3594 2,3,2024-09-07 09:52:20:696,1,604,0,0,357,5435,604,0 3,0,2024-09-07 09:52:21:747,150920,0.4,150792,0.6,301058,0.4,401205,2.00 3,1,2024-09-07 09:52:21:618,1060607,1060607,0,0,497943282421,5178901235,1052995,6907,705,379,391716,0 3,2,2024-09-07 09:52:21:142,765419,765396,23,0,30210163,0,5851 3,3,2024-09-07 09:52:21:752,1,604,1,0,484,4605,604,0 4,0,2024-09-07 09:52:21:777,146704,0.4,150801,0.5,307268,0.3,401859,1.75 4,1,2024-09-07 09:52:20:604,1056090,1056090,0,0,495058451461,5239800040,1038277,14059,3754,369,391992,0 4,2,2024-09-07 09:52:21:017,760967,760967,0,0,37021689,0,4534 4,3,2024-09-07 09:52:21:029,1,604,0,0,448,7367,604,0 5,0,2024-09-07 09:52:21:374,151611,0.4,151526,0.5,303162,0.3,403262,1.75 5,1,2024-09-07 09:52:20:765,1057015,1057015,0,0,496630485864,5243408312,1040662,12227,4126,367,392005,0 5,2,2024-09-07 09:52:21:845,760634,760634,0,0,35848478,0,3582 5,3,2024-09-07 09:52:21:732,1,604,0,0,457,8374,604,0 6,0,2024-09-07 09:52:20:942,152279,0.5,151913,0.6,304005,0.4,405284,2.00 6,1,2024-09-07 09:52:20:778,1059916,1059916,0,0,497120273173,5192622820,1048838,9504,1574,379,391702,0 6,2,2024-09-07 09:52:21:118,764127,764109,18,0,34792055,0,5535 6,3,2024-09-07 09:52:21:275,1,604,1,0,710,7392,604,0 7,0,2024-09-07 09:52:21:529,150563,0.5,151581,0.7,300891,0.5,401398,2.00 7,1,2024-09-07 09:52:20:852,1057517,1057517,0,0,496163612456,5224674735,1041190,12561,3766,382,391747,0 7,2,2024-09-07 09:52:20:769,763540,763540,0,0,33496897,0,4791 7,3,2024-09-07 09:52:20:851,1,604,1,0,552,7092,604,0 8,0,2024-09-07 09:52:21:337,151973,0.4,151706,0.5,303782,0.3,404836,1.75 8,1,2024-09-07 09:52:21:016,1057095,1057095,0,0,496596587988,5222583113,1040378,13130,3587,366,392853,0 8,2,2024-09-07 09:52:20:790,759647,759645,2,0,39623230,0,5112 8,3,2024-09-07 09:52:20:593,1,604,1,0,772,9581,604,0 9,0,2024-09-07 09:52:21:106,151123,0.4,147081,0.5,307693,0.3,403473,1.75 9,1,2024-09-07 09:52:20:555,1056941,1056941,0,0,495705198040,5225270352,1040251,13463,3227,369,392001,0 9,2,2024-09-07 09:52:21:082,762572,762571,1,0,37446885,0,5281 9,3,2024-09-07 09:52:21:752,1,604,6,0,1273,10264,604,0 10,0,2024-09-07 09:52:21:601,151762,0.3,151118,0.5,303273,0.3,404499,1.75 10,1,2024-09-07 09:52:20:588,1058735,1058735,0,0,497001911273,5214657159,1043013,12658,3064,381,391981,0 10,2,2024-09-07 09:52:20:766,762541,762541,0,0,40039669,0,4713 10,3,2024-09-07 09:52:20:879,1,604,32,0,669,6827,604,0 11,0,2024-09-07 09:52:21:040,151138,0.4,146648,0.6,306687,0.4,403950,1.75 11,1,2024-09-07 09:52:20:581,1060241,1060241,0,0,496837251387,5212519622,1044418,11520,4303,383,391766,0 11,2,2024-09-07 09:52:21:129,763131,763131,0,0,35488212,0,4698 11,3,2024-09-07 09:52:21:297,1,604,1,0,843,7804,604,0 12,0,2024-09-07 09:52:20:971,152495,0.4,152403,0.6,304400,0.4,405093,1.75 12,1,2024-09-07 09:52:20:940,1060047,1060047,0,0,497021085380,5183123199,1051670,7369,1008,370,391960,0 12,2,2024-09-07 09:52:21:541,763201,763201,0,0,34254176,0,4390 12,3,2024-09-07 09:52:21:063,1,604,1,0,386,7563,604,0 13,0,2024-09-07 09:52:21:326,151907,0.4,151975,0.5,302997,0.4,403806,1.75 13,1,2024-09-07 09:52:21:524,1057498,1057498,0,0,496933351378,5222686887,1046606,8502,2390,382,391803,0 13,2,2024-09-07 09:52:20:607,766016,766016,0,0,30645927,0,3287 13,3,2024-09-07 09:52:21:763,1,604,0,0,522,7978,604,0 14,0,2024-09-07 09:52:20:561,151403,0.4,152513,0.6,302676,0.3,403674,1.75 14,1,2024-09-07 09:52:21:564,1064822,1064822,0,0,499130366327,5164848808,1056363,7330,1129,364,391673,0 14,2,2024-09-07 09:52:20:764,763026,762996,30,0,32034426,0,6104 14,3,2024-09-07 09:52:21:115,1,604,1,0,1168,6581,604,0 15,0,2024-09-07 09:52:21:554,151798,0.4,151004,0.6,302670,0.4,403961,2.00 15,1,2024-09-07 09:52:21:611,1061789,1061789,0,0,497973002341,5185697942,1052715,7161,1913,381,391619,0 15,2,2024-09-07 09:52:20:998,766211,766211,0,0,27745942,0,3622 15,3,2024-09-07 09:52:21:405,1,604,1,0,1126,7889,604,0 16,0,2024-09-07 09:52:20:963,150691,0.6,151410,0.8,302232,0.6,402103,2.00 16,1,2024-09-07 09:52:20:576,1061405,1061405,0,0,497343189202,5199441175,1051969,7992,1444,370,392194,0 16,2,2024-09-07 09:52:21:438,763692,763692,0,0,31646490,0,4719 16,3,2024-09-07 09:52:21:143,1,604,0,0,358,7574,604,0 17,0,2024-09-07 09:52:21:759,155630,0.6,151720,0.8,297221,0.6,403956,2.00 17,1,2024-09-07 09:52:20:576,1059286,1059286,0,0,496360335877,5206311102,1048992,8522,1772,368,392075,0 17,2,2024-09-07 09:52:21:702,766304,766303,1,0,32915466,0,5050 17,3,2024-09-07 09:52:20:577,1,604,1,0,518,8795,604,0 18,0,2024-09-07 09:52:20:958,149550,0.6,150456,0.8,299434,0.6,399599,2.25 18,1,2024-09-07 09:52:21:639,1063766,1063766,0,0,498560691770,5170931156,1056703,5806,1257,367,391725,0 18,2,2024-09-07 09:52:21:760,765869,765869,0,0,29254826,0,3541 18,3,2024-09-07 09:52:20:902,1,604,1,0,1059,5564,604,0 19,0,2024-09-07 09:52:21:540,151445,0.6,151669,0.8,302081,0.6,402115,2.00 19,1,2024-09-07 09:52:20:567,1063585,1063585,0,0,499140235335,5173266198,1055783,6669,1133,365,391777,0 19,2,2024-09-07 09:52:21:752,767164,767164,0,0,27698562,0,3988 19,3,2024-09-07 09:52:21:129,1,604,1,0,524,4618,604,0 20,0,2024-09-07 09:52:21:377,150886,0.6,150773,0.7,301291,0.6,401654,2.00 20,1,2024-09-07 09:52:20:567,1059149,1059149,0,0,497098849105,5209508172,1048412,9230,1507,369,391922,0 20,2,2024-09-07 09:52:20:941,763772,763772,0,0,34478398,0,4321 20,3,2024-09-07 09:52:20:604,1,604,0,0,468,9750,604,0 21,0,2024-09-07 09:52:21:144,151681,0.5,151770,0.6,303222,0.4,402894,2.00 21,1,2024-09-07 09:52:21:537,1057401,1057401,0,0,495299594092,5229120816,1040531,13034,3836,368,392016,0 21,2,2024-09-07 09:52:21:068,758304,757827,477,0,45076598,0,17074 21,3,2024-09-07 09:52:21:404,1,604,1,0,713,8665,604,0 22,0,2024-09-07 09:52:21:725,151438,0.5,152078,0.6,303490,0.4,403037,2.00 22,1,2024-09-07 09:52:21:023,1058192,1058192,0,0,495069923426,5211554453,1040375,14277,3540,382,391822,0 22,2,2024-09-07 09:52:20:765,762442,762416,26,0,32832336,0,6328 22,3,2024-09-07 09:52:21:065,1,604,1,0,228,5257,604,0 23,0,2024-09-07 09:52:21:368,151235,0.5,150984,0.7,301932,0.4,402505,2.00 23,1,2024-09-07 09:52:21:003,1058525,1058525,0,0,497624589920,5243770261,1039052,12895,6578,365,391690,0 23,2,2024-09-07 09:52:21:091,764530,764530,0,0,31517076,0,3773 23,3,2024-09-07 09:52:21:754,1,604,2,0,855,8851,604,0 24,0,2024-09-07 09:52:20:853,152749,0.4,151928,0.6,305562,0.4,406336,1.75 24,1,2024-09-07 09:52:20:581,1058995,1058995,0,0,496102583369,5193113257,1048929,8356,1710,367,392269,0 24,2,2024-09-07 09:52:21:070,763676,763673,3,0,37971693,0,6294 24,3,2024-09-07 09:52:21:686,1,604,2,0,468,7715,604,0 25,0,2024-09-07 09:52:21:340,155826,0.4,151686,0.6,297837,0.4,406002,2.00 25,1,2024-09-07 09:52:20:564,1057051,1057051,0,0,495787195432,5238524203,1038289,15050,3712,369,391928,0 25,2,2024-09-07 09:52:21:616,762307,762307,0,0,38544847,0,3978 25,3,2024-09-07 09:52:21:003,1,604,8,0,532,6538,604,0 26,0,2024-09-07 09:52:21:725,150104,0.4,146765,0.6,308232,0.3,401104,1.75 26,1,2024-09-07 09:52:21:541,1060618,1060618,0,0,497264747595,5206108148,1046281,11804,2533,380,391758,0 26,2,2024-09-07 09:52:20:862,763260,763260,0,0,41276979,0,4689 26,3,2024-09-07 09:52:21:716,1,604,1,0,796,7575,604,0 27,0,2024-09-07 09:52:21:726,151404,0.4,152031,0.6,302281,0.3,403279,2.25 27,1,2024-09-07 09:52:21:676,1061448,1061448,0,0,498893919747,5199219365,1051050,8789,1609,381,391626,0 27,2,2024-09-07 09:52:20:873,758924,758859,65,0,35946348,0,5699 27,3,2024-09-07 09:52:21:015,1,604,1,0,564,5203,604,0 28,0,2024-09-07 09:52:21:388,151835,0.4,151920,0.6,304141,0.3,405276,1.75 28,1,2024-09-07 09:52:20:809,1062113,1062113,0,0,498872398913,5200031459,1053174,7048,1891,382,391904,0 28,2,2024-09-07 09:52:21:765,764821,764821,0,0,30954369,0,2915 28,3,2024-09-07 09:52:21:776,1,604,1,0,502,6017,604,0 29,0,2024-09-07 09:52:21:367,155338,0.4,151171,0.6,296749,0.4,404427,1.75 29,1,2024-09-07 09:52:21:562,1065135,1065135,0,0,499483308858,5165390094,1058776,5583,776,367,391809,0 29,2,2024-09-07 09:52:20:861,764509,764509,0,0,30128872,0,4986 29,3,2024-09-07 09:52:20:963,1,604,1,0,459,6506,604,0 30,0,2024-09-07 09:52:21:466,149989,0.5,146040,0.7,305777,0.4,399303,2.00 30,1,2024-09-07 09:52:20:575,1064267,1064267,0,0,499766044787,5183999063,1056696,6660,911,380,391672,0 30,2,2024-09-07 09:52:21:274,764950,764950,0,0,28746162,0,4192 30,3,2024-09-07 09:52:20:581,1,604,2,0,519,5620,604,0 31,0,2024-09-07 09:52:21:768,150488,0.4,151258,0.6,301440,0.4,402297,1.75 31,1,2024-09-07 09:52:20:568,1067378,1067378,0,0,500237343412,5136783541,1060919,5385,1074,356,391712,0 31,2,2024-09-07 09:52:21:275,762209,762209,0,0,32264122,0,4470 31,3,2024-09-07 09:52:21:717,1,604,0,0,239,5176,604,0 32,0,2024-09-07 09:52:21:419,152199,0.3,153301,0.5,304905,0.3,406908,1.75 32,1,2024-09-07 09:52:20:807,1063542,1063542,0,0,498535888979,5180387568,1057364,5460,718,381,391646,0 32,2,2024-09-07 09:52:20:934,766204,766204,0,0,28525238,0,3922 32,3,2024-09-07 09:52:21:015,1,604,1,0,304,4908,604,0 33,0,2024-09-07 09:52:21:516,151423,0.3,151171,0.4,302512,0.2,402186,1.50 33,1,2024-09-07 09:52:20:575,1063970,1063970,0,0,499668990996,5175623400,1055522,7175,1273,368,391730,0 33,2,2024-09-07 09:52:20:758,765434,765399,35,0,31649731,0,7012 33,3,2024-09-07 09:52:20:899,1,604,2,0,329,5296,604,0 34,0,2024-09-07 09:52:20:943,151321,0.3,155419,0.5,297292,0.2,401176,1.75 34,1,2024-09-07 09:52:21:044,1065819,1065819,0,0,500260241517,5146159363,1062858,2851,110,367,391637,0 34,2,2024-09-07 09:52:20:765,764847,764847,0,0,30210222,0,4562 34,3,2024-09-07 09:52:21:689,1,604,0,0,541,5510,604,0 35,0,2024-09-07 09:52:20:860,150652,0.3,151512,0.5,303919,0.2,403726,1.75 35,1,2024-09-07 09:52:21:067,1061953,1061953,0,0,499103333266,5179953739,1053844,6586,1523,382,391769,0 35,2,2024-09-07 09:52:21:583,764146,764146,0,0,33102505,0,4055 35,3,2024-09-07 09:52:20:908,1,604,7,0,466,5689,604,0 36,0,2024-09-07 09:52:21:517,152538,0.5,152570,0.7,304519,0.4,406046,2.00 36,1,2024-09-07 09:52:20:582,1060790,1060790,0,0,498610989630,5206747081,1047209,11341,2240,366,391759,0 36,2,2024-09-07 09:52:21:751,764136,764136,0,0,34538999,0,3875 36,3,2024-09-07 09:52:20:879,1,604,1,0,556,8269,604,0 37,0,2024-09-07 09:52:21:394,150396,0.5,150548,0.7,301255,0.5,401703,2.25 37,1,2024-09-07 09:52:20:581,1060641,1060634,0,7,497626068030,5197077273,1047979,9742,2913,365,391770,0 37,2,2024-09-07 09:52:21:141,761576,761561,15,0,34450906,0,5815 37,3,2024-09-07 09:52:21:766,1,604,1,0,888,8058,604,0 38,0,2024-09-07 09:52:21:451,150830,0.5,146163,0.7,305721,0.4,400727,2.00 38,1,2024-09-07 09:52:21:605,1060432,1060432,0,0,498343705672,5213073313,1045101,12332,2999,368,391821,0 38,2,2024-09-07 09:52:20:761,763870,763823,47,0,34696359,0,6710 38,3,2024-09-07 09:52:20:997,1,604,1,0,689,7428,604,0 39,0,2024-09-07 09:52:21:759,154604,0.5,151168,0.7,294713,0.5,402386,2.00 39,1,2024-09-07 09:52:20:730,1059934,1059934,0,0,497317386402,5214173450,1042489,13730,3715,365,391865,0 39,2,2024-09-07 09:52:21:417,764069,764069,0,0,32857266,0,3391 39,3,2024-09-07 09:52:20:726,1,604,1,0,525,6830,604,0 40,0,2024-09-07 09:52:21:488,150341,0.8,151045,0.9,301534,0.9,402656,2.75 40,1,2024-09-07 09:52:20:577,1060557,1060557,0,0,496808230343,5204951111,1044949,12540,3068,368,391668,0 40,2,2024-09-07 09:52:21:311,760397,760390,7,0,39034674,0,5347 40,3,2024-09-07 09:52:21:143,1,604,2,0,1028,8866,604,0 41,0,2024-09-07 09:52:21:026,150315,1.3,153822,1.1,293351,1.9,398851,3.25 41,1,2024-09-07 09:52:20:768,1059896,1059896,0,0,496868696649,5198811258,1046126,11611,2159,369,391878,0 41,2,2024-09-07 09:52:20:758,761008,761007,1,0,37276077,0,5408 41,3,2024-09-07 09:52:21:676,1,604,6,0,366,6500,604,0 42,0,2024-09-07 09:52:21:489,150497,1.0,150110,1.1,300297,1.2,398985,2.75 42,1,2024-09-07 09:52:21:440,1057790,1057790,0,0,495637733972,5208597378,1041298,13560,2932,380,391675,0 42,2,2024-09-07 09:52:21:139,762510,762509,1,0,37606851,0,5513 42,3,2024-09-07 09:52:21:012,1,604,1,0,892,5642,604,0 43,0,2024-09-07 09:52:20:922,149562,0.7,145657,0.9,304793,0.7,398765,2.25 43,1,2024-09-07 09:52:20:576,1061376,1061376,0,0,497388797278,5197862301,1046637,12167,2572,366,391696,0 43,2,2024-09-07 09:52:21:736,764478,764478,0,0,35509356,0,4723 43,3,2024-09-07 09:52:21:752,1,604,17,0,571,8840,604,0 44,0,2024-09-07 09:52:20:859,151852,0.4,151702,0.6,303681,0.3,404192,1.75 44,1,2024-09-07 09:52:20:564,1064433,1064433,0,0,498648294645,5154321695,1055066,7426,1941,356,391809,0 44,2,2024-09-07 09:52:21:267,760604,760604,0,0,28819843,0,4344 44,3,2024-09-07 09:52:21:093,1,604,1,0,1097,7442,604,0 45,0,2024-09-07 09:52:21:791,149987,0.5,146586,0.7,307762,0.4,404072,2.00 45,1,2024-09-07 09:52:21:005,1062904,1062904,0,0,498828054703,5182841575,1054650,7351,903,382,391917,0 45,2,2024-09-07 09:52:21:267,765286,765286,0,0,29206393,0,3596 45,3,2024-09-07 09:52:20:935,1,604,1,0,531,5746,604,0 46,0,2024-09-07 09:52:20:966,150060,0.6,149611,0.8,300207,0.6,398705,2.25 46,1,2024-09-07 09:52:20:576,1065206,1065206,0,0,499965418618,5167511043,1057712,6506,988,366,391709,0 46,2,2024-09-07 09:52:20:594,765591,765591,0,0,29886868,0,4443 46,3,2024-09-07 09:52:21:131,1,604,1,0,908,7182,604,0 47,0,2024-09-07 09:52:21:103,151416,0.4,151292,0.6,303713,0.4,402230,2.00 47,1,2024-09-07 09:52:20:569,1065713,1065713,0,0,499907396471,5163556809,1059615,5236,862,364,391641,0 47,2,2024-09-07 09:52:20:908,767292,767292,0,0,28926465,0,4477 47,3,2024-09-07 09:52:21:117,1,604,2,0,600,6595,604,0 48,0,2024-09-07 09:52:21:504,151251,0.3,151241,0.4,302222,0.2,401420,1.50 48,1,2024-09-07 09:52:21:024,1063338,1063338,0,0,498415814325,5175652122,1057235,5525,578,381,391710,0 48,2,2024-09-07 09:52:20:710,764192,764192,0,0,27515230,0,3524 48,3,2024-09-07 09:52:20:760,1,604,4,0,339,5365,604,0 49,0,2024-09-07 09:52:21:712,156044,0.3,152841,0.5,297557,0.3,406182,1.75 49,1,2024-09-07 09:52:21:021,1062143,1062143,0,0,498796865031,5188223679,1054872,5575,1696,382,391809,0 49,2,2024-09-07 09:52:21:801,765848,765848,0,0,29750849,0,4426 49,3,2024-09-07 09:52:21:416,1,604,7,0,992,7176,604,0 50,0,2024-09-07 09:52:21:513,151532,0.3,149850,0.5,301987,0.2,401470,1.75 50,1,2024-09-07 09:52:21:081,1065339,1065339,0,0,500315972139,5171367723,1058813,5857,669,368,391691,0 50,2,2024-09-07 09:52:21:068,763176,763176,0,0,27568092,0,4490 50,3,2024-09-07 09:52:21:291,1,604,1,0,617,6194,604,0 51,0,2024-09-07 09:52:21:687,155596,0.3,152303,0.5,296581,0.2,404562,1.75 51,1,2024-09-07 09:52:21:679,1065861,1065861,0,0,501270555720,5168264365,1060201,4579,1081,365,391706,0 51,2,2024-09-07 09:52:21:318,764835,764835,0,0,27099939,0,3337 51,3,2024-09-07 09:52:21:028,1,604,0,0,678,4312,604,0 52,0,2024-09-07 09:52:21:414,152147,0.5,151695,0.6,303937,0.4,404006,2.00 52,1,2024-09-07 09:52:20:575,1060565,1060565,0,0,497790714773,5210638161,1045420,12815,2330,368,391805,0 52,2,2024-09-07 09:52:21:755,760867,760829,38,0,36661955,0,6742 52,3,2024-09-07 09:52:20:674,1,604,1,0,1782,7113,604,0 53,0,2024-09-07 09:52:21:733,150887,0.7,146490,0.8,306631,0.7,401581,2.50 53,1,2024-09-07 09:52:20:771,1059046,1059046,0,0,496796625750,5214007942,1040862,13789,4395,367,391968,0 53,2,2024-09-07 09:52:21:298,763413,763412,1,0,32954059,0,5455 53,3,2024-09-07 09:52:20:700,1,604,66,0,308,5662,604,0 54,0,2024-09-07 09:52:21:623,150215,0.6,150444,0.8,299577,0.5,400999,2.25 54,1,2024-09-07 09:52:20:587,1062156,1062156,0,0,498991169581,5190224915,1051043,9442,1671,366,391810,0 54,2,2024-09-07 09:52:20:864,764612,764580,32,0,36707559,0,6397 54,3,2024-09-07 09:52:20:762,1,604,4,0,676,7832,604,0 55,0,2024-09-07 09:52:21:775,146288,0.6,150952,0.8,305951,0.6,398735,2.50 55,1,2024-09-07 09:52:20:772,1062441,1062441,0,0,498940572999,5189027101,1051581,9471,1389,365,391731,0 55,2,2024-09-07 09:52:20:740,763282,763226,56,0,34131450,0,7239 55,3,2024-09-07 09:52:20:678,1,604,6,0,304,5557,604,0 56,0,2024-09-07 09:52:21:557,153783,1.1,144864,1.1,298692,1.5,399651,2.75 56,1,2024-09-07 09:52:20:575,1055696,1055696,0,0,495990263559,5246367896,1037727,14280,3689,381,391867,0 56,2,2024-09-07 09:52:21:304,762676,762554,122,0,36284466,0,7432 56,3,2024-09-07 09:52:21:059,1,604,2,0,705,6963,604,0 57,0,2024-09-07 09:52:20:957,149802,1.4,149498,1.2,299345,1.9,399754,3.00 57,1,2024-09-07 09:52:20:990,1058264,1058264,0,0,496776919305,5214984317,1043841,11933,2490,366,392032,0 57,2,2024-09-07 09:52:21:316,761533,761533,0,0,37842288,0,4804 57,3,2024-09-07 09:52:21:738,1,604,1,0,455,7052,604,0 58,0,2024-09-07 09:52:20:561,149439,1.0,145216,1.0,303653,1.2,397719,2.50 58,1,2024-09-07 09:52:20:579,1059965,1059962,0,3,497273270668,5213532184,1043817,12244,3901,367,391726,3 58,2,2024-09-07 09:52:21:070,764773,764773,0,0,35569820,0,3483 58,3,2024-09-07 09:52:21:068,1,604,3,0,1043,6729,604,0 59,0,2024-09-07 09:52:21:738,149561,0.9,149131,1.0,297911,1.0,395487,3.00 59,1,2024-09-07 09:52:20:805,1058852,1058852,0,0,497230870311,5225748990,1041031,14153,3668,369,391653,0 59,2,2024-09-07 09:52:20:587,764437,764437,0,0,33666060,0,3727 59,3,2024-09-07 09:52:21:739,1,604,1,0,1015,7428,604,0 60,0,2024-09-07 09:52:21:706,150591,0.5,150767,0.7,301789,0.5,401620,1.75 60,1,2024-09-07 09:52:20:774,1063552,1063552,0,0,498878664339,5184085426,1055598,6867,1087,370,392031,0 60,2,2024-09-07 09:52:21:140,764236,764236,0,0,31771755,0,3811 60,3,2024-09-07 09:52:21:275,1,604,1,0,409,6978,604,0 61,0,2024-09-07 09:52:21:488,150661,0.6,151218,0.8,300995,0.6,401622,2.00 61,1,2024-09-07 09:52:20:777,1060684,1060684,0,0,498146798447,5213928539,1049553,9451,1680,382,392127,0 61,2,2024-09-07 09:52:21:129,763208,763141,67,0,32353544,0,6411 61,3,2024-09-07 09:52:21:693,1,604,1,0,607,7849,604,0 62,0,2024-09-07 09:52:21:705,152806,0.6,156537,0.7,299064,0.6,406844,2.00 62,1,2024-09-07 09:52:21:111,1067056,1067050,0,6,500501708151,5159317695,1062082,4669,299,365,391975,6 62,2,2024-09-07 09:52:21:644,762856,762855,1,0,32362848,0,5555 62,3,2024-09-07 09:52:21:142,1,604,0,0,482,4831,604,0 63,0,2024-09-07 09:52:21:454,151510,0.4,151234,0.6,302986,0.4,402476,1.75 63,1,2024-09-07 09:52:20:811,1063402,1063396,0,6,499312020665,5184079764,1056550,5991,855,381,391800,6 63,2,2024-09-07 09:52:20:762,764728,764728,0,0,30743454,0,4369 63,3,2024-09-07 09:52:21:732,1,604,0,0,667,6203,604,0 64,0,2024-09-07 09:52:21:512,150360,0.5,150211,0.6,300232,0.4,399779,2.00 64,1,2024-09-07 09:52:20:761,1062374,1062374,0,0,499111075316,5198026936,1053031,7366,1977,370,391794,0 64,2,2024-09-07 09:52:21:141,767971,767952,19,0,29892916,0,6121 64,3,2024-09-07 09:52:21:142,1,604,1,0,651,6915,604,0 65,0,2024-09-07 09:52:21:737,150281,0.6,150649,0.7,300943,0.6,401100,2.00 65,1,2024-09-07 09:52:20:862,1060504,1060504,0,0,496410261576,5188286810,1053024,6607,873,381,391901,0 65,2,2024-09-07 09:52:21:695,763174,763174,0,0,35894927,0,3367 65,3,2024-09-07 09:52:21:695,1,604,1,0,782,6701,604,0 66,0,2024-09-07 09:52:21:768,151619,0.5,151141,0.7,302456,0.5,403085,2.00 66,1,2024-09-07 09:52:21:292,1062910,1062910,0,0,498192105783,5183385280,1056407,5781,722,380,391743,0 66,2,2024-09-07 09:52:21:131,766686,766683,3,0,31904710,0,5455 66,3,2024-09-07 09:52:21:081,1,604,1,0,291,5116,604,0 67,0,2024-09-07 09:52:21:413,151118,0.6,150678,0.7,302444,0.5,402298,2.00 67,1,2024-09-07 09:52:20:775,1062200,1062199,0,1,499522967848,5200040534,1054800,6454,945,380,391787,1 67,2,2024-09-07 09:52:20:588,766820,766805,15,0,31694822,0,6205 67,3,2024-09-07 09:52:21:750,1,604,1,0,595,6314,604,0 68,0,2024-09-07 09:52:20:575,151715,0.6,151493,0.7,301744,0.6,404000,2.00 68,1,2024-09-07 09:52:20:576,1057840,1057840,0,0,496253973738,5217422546,1044036,10274,3530,381,391953,0 68,2,2024-09-07 09:52:21:050,760464,760364,100,0,39821338,0,8578 68,3,2024-09-07 09:52:20:728,1,604,0,0,417,7783,604,0 69,0,2024-09-07 09:52:21:801,150641,0.6,151335,0.8,301729,0.6,401109,2.25 69,1,2024-09-07 09:52:21:016,1056962,1056962,0,0,496410109824,5231348079,1043098,11031,2833,383,391994,0 69,2,2024-09-07 09:52:21:732,761453,761424,29,0,42305503,0,6912 69,3,2024-09-07 09:52:20:760,1,604,11,0,698,8660,604,0 70,0,2024-09-07 09:52:21:539,150123,0.7,150749,0.9,302902,0.6,401628,2.50 70,1,2024-09-07 09:52:20:801,1063916,1063916,0,0,499145793952,5178747889,1056002,7107,807,366,391725,0 70,2,2024-09-07 09:52:21:325,762987,762987,0,0,34861795,0,4323 70,3,2024-09-07 09:52:20:745,1,604,0,0,854,6637,604,0 71,0,2024-09-07 09:52:21:375,150016,1.0,149644,1.0,300402,1.3,400937,2.75 71,1,2024-09-07 09:52:21:599,1061411,1061411,0,0,497720314540,5194973323,1048411,11379,1621,368,391738,0 71,2,2024-09-07 09:52:21:067,763674,763674,0,0,35117062,0,4352 71,3,2024-09-07 09:52:21:754,1,604,1,0,644,6782,604,0 72,0,2024-09-07 09:52:21:066,156339,0.6,152776,0.7,298164,0.5,405990,2.00 72,1,2024-09-07 09:52:21:026,1059595,1059595,0,0,497510691082,5218732556,1044295,12785,2515,369,391819,0 72,2,2024-09-07 09:52:21:755,762761,762761,0,0,36639989,0,3983 72,3,2024-09-07 09:52:21:754,1,604,1,0,564,8920,604,0 73,0,2024-09-07 09:52:21:097,147093,0.4,150855,0.6,308412,0.4,401323,2.00 73,1,2024-09-07 09:52:20:775,1061076,1061076,0,0,498132557706,5178244637,1052672,7422,982,367,391858,0 73,2,2024-09-07 09:52:21:740,763747,763746,1,0,38221613,0,5027 73,3,2024-09-07 09:52:20:972,1,604,1,0,1091,8400,604,0 74,0,2024-09-07 09:52:21:325,152537,0.5,156199,0.6,298082,0.4,404435,2.00 74,1,2024-09-07 09:52:20:638,1060364,1060364,0,0,497120044710,5190594117,1048461,9787,2116,381,391762,0 74,2,2024-09-07 09:52:21:004,761083,761083,0,0,33297983,0,4253 74,3,2024-09-07 09:52:21:442,1,604,1,0,522,7430,604,0 75,0,2024-09-07 09:52:21:770,152050,0.5,151056,0.7,303289,0.5,405395,2.25 75,1,2024-09-07 09:52:21:586,1061502,1061502,0,0,497945872144,5189561689,1052878,7756,868,380,391739,0 75,2,2024-09-07 09:52:21:349,763150,763150,0,0,40397211,0,4766 75,3,2024-09-07 09:52:21:067,1,604,0,0,918,8167,604,0 76,0,2024-09-07 09:52:20:595,150404,0.6,149749,0.8,299612,0.6,400393,2.25 76,1,2024-09-07 09:52:20:814,1061367,1061367,0,0,497478669194,5187356474,1054037,6339,991,382,391790,0 76,2,2024-09-07 09:52:21:064,767004,767001,3,0,32707951,0,5265 76,3,2024-09-07 09:52:21:143,1,604,3,0,227,5497,604,0 77,0,2024-09-07 09:52:21:696,150616,0.5,151124,0.7,302091,0.5,401540,2.00 77,1,2024-09-07 09:52:20:828,1061062,1061062,0,0,498314045750,5197648369,1053080,7105,877,381,391869,0 77,2,2024-09-07 09:52:21:284,763216,763216,0,0,31833053,0,3890 77,3,2024-09-07 09:52:21:094,1,604,8,0,401,6834,604,0 78,0,2024-09-07 09:52:21:717,151424,0.4,150769,0.6,302395,0.4,400007,2.00 78,1,2024-09-07 09:52:20:617,1061543,1061543,0,0,498028123373,5194054394,1048702,9924,2917,367,391670,0 78,2,2024-09-07 09:52:21:404,765031,765018,13,0,29787805,0,8313 78,3,2024-09-07 09:52:21:133,1,604,1,0,311,5073,604,0 79,0,2024-09-07 09:52:21:349,146637,0.4,150197,0.6,307726,0.3,400946,2.00 79,1,2024-09-07 09:52:20:583,1065058,1065058,0,0,500298585830,5177978639,1057213,6485,1360,367,391682,0 79,2,2024-09-07 09:52:21:068,764932,764932,0,0,28915270,0,4195 79,3,2024-09-07 09:52:20:756,1,604,1,0,418,7166,604,0 80,0,2024-09-07 09:52:21:074,150722,0.6,154847,0.7,296086,0.6,400507,2.00 80,1,2024-09-07 09:52:21:619,1061260,1061260,0,0,497570718785,5181487547,1054105,6704,451,368,392269,0 80,2,2024-09-07 09:52:21:092,766348,766348,0,0,29601347,0,4433 80,3,2024-09-07 09:52:20:576,1,604,3,0,681,7395,604,0 81,0,2024-09-07 09:52:21:558,151592,0.5,155112,0.7,296154,0.5,401822,2.00 81,1,2024-09-07 09:52:21:650,1060449,1060449,0,0,497470105244,5197844874,1052284,7397,768,382,391885,0 81,2,2024-09-07 09:52:21:129,763642,763579,63,0,32852544,0,5932 81,3,2024-09-07 09:52:21:124,1,604,4,0,719,7000,604,0 82,0,2024-09-07 09:52:21:536,151475,0.4,151613,0.7,303991,0.4,403034,2.00 82,1,2024-09-07 09:52:20:587,1062754,1062750,0,4,498078183610,5177107801,1057179,4748,823,381,391768,4 82,2,2024-09-07 09:52:21:690,766141,766141,0,0,27826833,0,4484 82,3,2024-09-07 09:52:21:752,1,604,1,0,363,5698,604,0 83,0,2024-09-07 09:52:21:539,151631,0.6,151531,0.7,302656,0.6,401868,2.00 83,1,2024-09-07 09:52:20:556,1061295,1061295,0,0,497936359651,5190638757,1053709,6941,645,382,391709,0 83,2,2024-09-07 09:52:20:766,763842,763817,25,0,29950914,0,5612 83,3,2024-09-07 09:52:20:757,1,604,9,0,1260,7227,604,0 84,0,2024-09-07 09:52:21:787,150349,0.8,150401,0.9,300699,0.8,402576,2.50 84,1,2024-09-07 09:52:21:039,1059360,1059360,0,0,497120985609,5199849446,1047106,10581,1673,367,391967,0 84,2,2024-09-07 09:52:20:580,762370,761960,410,0,43642786,0,17037 84,3,2024-09-07 09:52:21:141,1,604,2,0,908,8080,604,0 85,0,2024-09-07 09:52:21:006,145591,0.6,145516,0.8,308946,0.6,399049,2.25 85,1,2024-09-07 09:52:20:579,1056582,1056582,0,0,495575124817,5233252094,1040264,13519,2799,381,392092,0 85,2,2024-09-07 09:52:20:871,763462,763462,0,0,36859183,0,4255 85,3,2024-09-07 09:52:20:700,1,604,24,0,789,6934,604,0 86,0,2024-09-07 09:52:20:885,150543,0.7,154728,0.8,295949,0.7,400301,2.25 86,1,2024-09-07 09:52:20:828,1059423,1059423,0,0,497514206973,5217214960,1045506,11231,2686,366,392169,0 86,2,2024-09-07 09:52:20:858,762714,762713,1,0,39124549,0,5004 86,3,2024-09-07 09:52:20:592,1,604,1,0,308,8541,604,0 87,0,2024-09-07 09:52:21:291,151479,0.8,150929,0.8,301794,0.9,403195,2.25 87,1,2024-09-07 09:52:20:550,1059120,1059120,0,0,496937934421,5206699078,1045739,11584,1797,366,392076,0 87,2,2024-09-07 09:52:21:067,760740,760734,6,0,35417653,0,6323 87,3,2024-09-07 09:52:21:805,1,604,4,0,473,8604,604,0 88,0,2024-09-07 09:52:21:455,151786,0.5,152226,0.6,304133,0.4,405293,1.75 88,1,2024-09-07 09:52:20:569,1058013,1058013,0,0,496838805294,5208769914,1042630,12339,3044,365,392084,0 88,2,2024-09-07 09:52:20:695,763848,763848,0,0,38202439,0,4465 88,3,2024-09-07 09:52:21:268,1,604,1,0,1080,9290,604,0 89,0,2024-09-07 09:52:21:815,155149,0.5,150522,0.7,297041,0.4,403970,1.75 89,1,2024-09-07 09:52:20:557,1057972,1057972,0,0,497475673166,5231984189,1044987,11041,1944,382,391866,0 89,2,2024-09-07 09:52:21:140,763880,763880,0,0,35996491,0,3173 89,3,2024-09-07 09:52:21:791,1,604,2,0,468,10121,604,0 90,0,2024-09-07 09:52:21:642,146242,0.5,150255,0.6,306560,0.4,399728,2.00 90,1,2024-09-07 09:52:20:611,1059940,1059940,0,0,497042850101,5206062126,1049843,9204,893,380,391825,0 90,2,2024-09-07 09:52:21:419,761030,761025,5,0,39504340,0,6370 90,3,2024-09-07 09:52:20:933,1,604,6,0,322,7318,604,0 91,0,2024-09-07 09:52:20:943,151155,0.4,146684,0.6,307023,0.4,402271,1.75 91,1,2024-09-07 09:52:20:562,1057162,1057162,0,0,496505579930,5232057581,1041305,12709,3148,381,392047,0 91,2,2024-09-07 09:52:21:331,762622,762622,0,0,34690403,0,4713 91,3,2024-09-07 09:52:20:603,1,604,1,0,216,5422,604,0 92,0,2024-09-07 09:52:21:486,153816,0.5,157256,0.6,299700,0.4,406980,1.75 92,1,2024-09-07 09:52:20:580,1060732,1060732,0,0,498207040988,5203344096,1052366,7078,1288,381,392136,0 92,2,2024-09-07 09:52:21:352,764686,764686,0,0,30434606,0,3259 92,3,2024-09-07 09:52:21:012,1,604,1,0,167,5206,604,0 93,0,2024-09-07 09:52:21:004,151901,0.4,155590,0.6,297190,0.4,402491,1.75 93,1,2024-09-07 09:52:20:816,1061162,1061162,0,0,497878199817,5195586084,1049626,9608,1928,365,392048,0 93,2,2024-09-07 09:52:20:934,764552,764552,0,0,36239509,0,4913 93,3,2024-09-07 09:52:21:410,1,604,23,0,294,5915,604,0 94,0,2024-09-07 09:52:21:620,150413,0.4,151513,0.5,303065,0.3,402201,1.75 94,1,2024-09-07 09:52:20:568,1061732,1061732,0,0,497886660721,5191433451,1054785,6513,434,381,391850,0 94,2,2024-09-07 09:52:20:763,764128,764100,28,0,31170475,0,6179 94,3,2024-09-07 09:52:21:702,1,604,1,0,576,7461,604,0 95,0,2024-09-07 09:52:21:359,151483,0.3,151496,0.5,303256,0.3,403836,1.75 95,1,2024-09-07 09:52:20:851,1062819,1062819,0,0,498488280264,5179735450,1054383,7687,749,365,391852,0 95,2,2024-09-07 09:52:21:016,763236,763236,0,0,30621968,0,3308 95,3,2024-09-07 09:52:21:726,1,604,3,0,718,9418,604,0 96,0,2024-09-07 09:52:21:033,152050,0.4,152507,0.5,304679,0.3,405484,1.75 96,1,2024-09-07 09:52:21:585,1061357,1061357,0,0,497625553626,5189870843,1053975,6109,1273,384,391964,0 96,2,2024-09-07 09:52:21:272,764873,764873,0,0,31802025,0,4180 96,3,2024-09-07 09:52:21:145,1,604,1,0,411,6970,604,0 97,0,2024-09-07 09:52:21:341,151314,0.3,151042,0.5,303034,0.3,402133,1.75 97,1,2024-09-07 09:52:20:764,1062665,1062665,0,0,499007203344,5178195327,1055735,5892,1038,367,392140,0 97,2,2024-09-07 09:52:20:616,765465,765465,0,0,31474792,0,4046 97,3,2024-09-07 09:52:20:574,1,604,3,0,242,6828,604,0 98,0,2024-09-07 09:52:21:716,151259,0.3,151388,0.5,303854,0.3,404149,1.50 98,1,2024-09-07 09:52:20:576,1061535,1061535,0,0,497564074405,5182932794,1055048,5652,835,381,391997,0 98,2,2024-09-07 09:52:20:768,764380,764380,0,0,30230079,0,4336 98,3,2024-09-07 09:52:20:700,1,604,4,0,840,9100,604,0 99,0,2024-09-07 09:52:21:501,151308,0.3,152180,0.5,302723,0.3,403795,1.75 99,1,2024-09-07 09:52:22:009,1062428,1062428,0,0,497887476248,5180790291,1056059,5427,942,380,392069,0 99,2,2024-09-07 09:52:21:419,763913,763913,0,0,37443895,0,4276 99,3,2024-09-07 09:52:20:593,1,604,1,0,1124,7774,604,0 100,0,2024-09-07 09:52:21:462,151402,0.7,151648,0.9,303213,0.8,405105,2.25 100,1,2024-09-07 09:52:20:551,1056061,1056061,0,0,495284680505,5236475943,1040040,12704,3317,378,391989,0 100,2,2024-09-07 09:52:21:823,758991,758602,389,0,40675346,0,16909 100,3,2024-09-07 09:52:21:733,1,604,10,0,627,10099,604,0 101,0,2024-09-07 09:52:21:733,154461,1.1,150668,1.1,294871,1.1,402673,2.25 101,1,2024-09-07 09:52:20:557,1058029,1058029,0,0,496984055583,5222796557,1043886,11615,2528,368,391847,0 101,2,2024-09-07 09:52:21:756,759708,759708,0,0,40657952,0,4871 101,3,2024-09-07 09:52:20:957,1,604,1,0,1250,9037,604,0 102,0,2024-09-07 09:52:20:963,147334,0.7,151636,0.8,308232,0.7,403494,2.25 102,1,2024-09-07 09:52:21:142,1057887,1057887,0,0,496180049287,5212898979,1043760,11928,2199,369,391984,0 102,2,2024-09-07 09:52:21:743,765233,765179,54,0,34783496,0,6768 102,3,2024-09-07 09:52:21:613,1,604,1,0,466,6923,604,0 103,0,2024-09-07 09:52:21:611,155970,0.6,155990,0.7,293884,0.6,404561,2.00 103,1,2024-09-07 09:52:21:643,1056497,1056497,0,0,496234337839,5241838378,1039411,13483,3603,381,392077,0 103,2,2024-09-07 09:52:20:589,761848,761848,0,0,37555689,0,3766 103,3,2024-09-07 09:52:20:761,1,604,0,0,916,7115,604,0 104,0,2024-09-07 09:52:21:018,150496,0.7,150711,0.9,300765,0.7,402490,2.25 104,1,2024-09-07 09:52:21:603,1059213,1059213,0,0,496796117807,5220306378,1043680,12689,2844,365,392168,0 104,2,2024-09-07 09:52:21:666,759268,759268,0,0,37039936,0,4161 104,3,2024-09-07 09:52:21:416,1,604,1,0,1245,10658,604,0 105,0,2024-09-07 09:52:21:042,150079,0.9,146204,1.1,306504,1.1,403185,2.75 105,1,2024-09-07 09:52:20:564,1060856,1060856,0,0,497692733981,5214573289,1047287,11627,1942,364,392009,0 105,2,2024-09-07 09:52:21:325,763498,763498,0,0,37209673,0,4360 105,3,2024-09-07 09:52:21:306,1,604,3,0,573,9189,604,0 106,0,2024-09-07 09:52:20:966,145689,1.0,149251,1.0,305632,1.2,398946,2.50 106,1,2024-09-07 09:52:21:771,1059660,1059660,0,0,496365727478,5209706694,1045000,12766,1894,368,391914,0 106,2,2024-09-07 09:52:20:757,762957,762957,0,0,35094249,0,3331 106,3,2024-09-07 09:52:20:677,1,604,2,0,1224,8426,604,0 107,0,2024-09-07 09:52:21:105,150821,0.9,150906,0.9,301766,1.1,402240,2.25 107,1,2024-09-07 09:52:20:606,1056621,1056621,0,0,495513143338,5236987284,1039244,15243,2134,381,392234,0 107,2,2024-09-07 09:52:21:293,760688,760687,1,0,38183934,0,5024 107,3,2024-09-07 09:52:21:755,1,604,183,0,733,9304,604,0 108,0,2024-09-07 09:52:21:769,150786,0.4,151212,0.6,301408,0.4,401519,1.75 108,1,2024-09-07 09:52:21:403,1060919,1060919,0,0,498088315260,5194361149,1052223,7701,995,367,391894,0 108,2,2024-09-07 09:52:21:755,763233,763233,0,0,32842230,0,4246 108,3,2024-09-07 09:52:21:329,1,604,5,0,749,10883,604,0 109,0,2024-09-07 09:52:21:753,152609,0.4,151378,0.6,303421,0.3,405315,1.75 109,1,2024-09-07 09:52:20:594,1058112,1058112,0,0,497747862286,5220464708,1048633,8201,1278,382,392132,0 109,2,2024-09-07 09:52:20:921,762320,762320,0,0,33694050,0,3617 109,3,2024-09-07 09:52:21:143,1,604,9,0,630,7351,604,0 110,0,2024-09-07 09:52:21:753,150788,0.4,146600,0.6,307077,0.4,402281,1.75 110,1,2024-09-07 09:52:21:643,1062778,1062778,0,0,498832035875,5178051207,1054734,6117,1927,368,392045,0 110,2,2024-09-07 09:52:21:302,764690,764690,0,0,31184131,0,4067 110,3,2024-09-07 09:52:20:708,1,604,1,0,722,8044,604,0 111,0,2024-09-07 09:52:21:443,152359,0.4,151582,0.5,303013,0.3,404095,1.75 111,1,2024-09-07 09:52:21:004,1063851,1063851,0,0,499245349034,5176977478,1058368,5102,381,380,391690,0 111,2,2024-09-07 09:52:21:116,764398,764398,0,0,31700315,0,4823 111,3,2024-09-07 09:52:20:912,1,604,1,0,379,6539,604,0 112,0,2024-09-07 09:52:20:916,152349,0.3,151912,0.4,304343,0.2,404275,1.50 112,1,2024-09-07 09:52:20:829,1062608,1062608,0,0,498443041800,5176232655,1055406,5977,1225,380,391624,0 112,2,2024-09-07 09:52:21:133,764302,764301,1,0,30118064,0,5036 112,3,2024-09-07 09:52:20:592,1,604,1,0,282,5740,604,0 113,0,2024-09-07 09:52:20:878,151647,0.3,151640,0.5,303655,0.2,404272,1.75 113,1,2024-09-07 09:52:21:687,1064873,1064873,0,0,500750264556,5166715850,1058642,5283,948,365,391664,0 113,2,2024-09-07 09:52:21:302,766295,766295,0,0,28546646,0,3813 113,3,2024-09-07 09:52:20:684,1,604,3,0,510,6502,604,0 114,0,2024-09-07 09:52:20:878,152069,0.3,152983,0.5,305000,0.2,407996,1.75 114,1,2024-09-07 09:52:20:724,1063029,1063029,0,0,498479217101,5176431919,1055087,6195,1747,381,391565,0 114,2,2024-09-07 09:52:20:876,766451,766450,1,0,29754658,0,5069 114,3,2024-09-07 09:52:21:277,1,604,2,0,395,4869,604,0 115,0,2024-09-07 09:52:20:556,152218,0.3,152900,0.4,304267,0.2,405109,1.50 115,1,2024-09-07 09:52:20:575,1063294,1063294,0,0,498876858724,5182755598,1055038,6739,1517,382,391757,0 115,2,2024-09-07 09:52:21:125,766829,766829,0,0,29847585,0,4382 115,3,2024-09-07 09:52:21:002,1,604,2,0,167,3715,604,0 116,0,2024-09-07 09:52:21:721,150541,0.6,150370,0.8,301402,0.6,402573,2.00 116,1,2024-09-07 09:52:20:811,1057249,1057249,0,0,495840441182,5226381705,1044598,9560,3091,380,392089,0 116,2,2024-09-07 09:52:21:752,763618,763618,0,0,37335728,0,4475 116,3,2024-09-07 09:52:20:915,1,604,14,0,448,7793,604,0 117,0,2024-09-07 09:52:20:968,151674,0.7,150993,0.8,302569,0.7,404424,2.00 117,1,2024-09-07 09:52:21:611,1059159,1059159,0,0,496490450829,5200004255,1047379,10257,1523,369,392429,0 117,2,2024-09-07 09:52:21:118,763397,763397,0,0,33699601,0,4303 117,3,2024-09-07 09:52:21:062,1,604,2,0,490,7692,604,0 118,0,2024-09-07 09:52:21:804,147188,0.5,151023,0.7,308563,0.5,403782,2.00 118,1,2024-09-07 09:52:20:614,1058337,1058337,0,0,496342723927,5219334857,1042497,12218,3622,366,392054,0 118,2,2024-09-07 09:52:21:603,763365,763365,0,0,35970647,0,2842 118,3,2024-09-07 09:52:21:775,1,604,43,0,289,7173,604,0 119,0,2024-09-07 09:52:21:388,150599,0.8,151340,0.8,301767,0.9,402378,2.25 119,1,2024-09-07 09:52:20:562,1059142,1059142,0,0,497133696460,5214777933,1044445,12185,2512,367,391857,0 119,2,2024-09-07 09:52:21:261,765180,765180,0,0,33926196,0,4309 119,3,2024-09-07 09:52:21:324,1,604,13,0,1358,11089,604,0 120,0,2024-09-07 09:52:21:556,149868,0.6,149773,0.8,300936,0.7,400700,2.25 120,1,2024-09-07 09:52:20:858,1060057,1060057,0,0,496910745853,5212591019,1048355,10560,1142,367,392144,0 120,2,2024-09-07 09:52:20:769,762940,762937,3,0,40323346,0,5363 120,3,2024-09-07 09:52:21:292,1,604,3,0,279,7311,604,0 121,0,2024-09-07 09:52:21:751,150921,1.1,150361,1.0,301096,1.4,401225,2.25 121,1,2024-09-07 09:52:21:655,1059500,1059500,0,0,497215547612,5203467194,1048211,9736,1553,366,391840,0 121,2,2024-09-07 09:52:21:128,761754,761754,0,0,36756355,0,4157 121,3,2024-09-07 09:52:20:732,1,604,10,0,387,8015,604,0 122,0,2024-09-07 09:52:21:774,151576,0.8,147556,0.9,309124,0.9,406751,2.00 122,1,2024-09-07 09:52:20:862,1058570,1058570,0,0,496391232905,5211388034,1043568,12590,2412,365,392130,0 122,2,2024-09-07 09:52:21:320,764830,764755,75,0,40454056,0,5989 122,3,2024-09-07 09:52:20:598,1,604,1,0,512,9778,604,0 123,0,2024-09-07 09:52:20:966,150420,0.9,146270,0.9,306469,1.0,401178,2.25 123,1,2024-09-07 09:52:20:558,1058756,1058756,0,0,497625670578,5232550951,1041940,14144,2672,369,392039,0 123,2,2024-09-07 09:52:21:023,762012,762011,1,0,34454650,0,5215 123,3,2024-09-07 09:52:21:143,1,604,1,0,478,6915,604,0 124,0,2024-09-07 09:52:20:995,155206,0.3,155134,0.5,292521,0.3,402661,1.75 124,1,2024-09-07 09:52:21:057,1063051,1063051,0,0,497957875440,5175941611,1055316,6560,1175,365,392178,0 124,2,2024-09-07 09:52:21:020,766091,766038,53,0,31047125,0,6487 124,3,2024-09-07 09:52:20:765,1,604,2,0,490,5965,604,0 125,0,2024-09-07 09:52:21:444,151227,0.4,151278,0.5,303433,0.3,403777,1.75 125,1,2024-09-07 09:52:20:862,1059575,1059575,0,0,497033479566,5187883650,1051507,6917,1151,382,391968,0 125,2,2024-09-07 09:52:21:120,766157,766157,0,0,31202486,0,4534 125,3,2024-09-07 09:52:21:129,1,604,2,0,709,6941,604,0 126,0,2024-09-07 09:52:21:433,152347,0.4,156607,0.5,299620,0.4,406110,1.75 126,1,2024-09-07 09:52:20:551,1063558,1063558,0,0,498885485700,5164127122,1058039,5072,447,365,391987,0 126,2,2024-09-07 09:52:20:615,765630,765630,0,0,32436853,0,4539 126,3,2024-09-07 09:52:20:908,1,604,2,0,268,6627,604,0 127,0,2024-09-07 09:52:21:603,151662,0.3,151748,0.5,302805,0.3,402542,1.75 127,1,2024-09-07 09:52:20:578,1061740,1061740,0,0,498358117218,5185126528,1051064,9039,1637,364,392187,0 127,2,2024-09-07 09:52:20:642,764571,764567,4,0,30557889,0,5305 127,3,2024-09-07 09:52:21:268,1,604,137,0,968,5975,604,0 128,0,2024-09-07 09:52:21:525,152247,0.3,152283,0.4,304329,0.2,404858,1.50 128,1,2024-09-07 09:52:21:618,1061694,1061694,0,0,497869774617,5178892088,1053278,7451,965,367,392423,0 128,2,2024-09-07 09:52:21:383,766011,766011,0,0,28487763,0,3171 128,3,2024-09-07 09:52:20:767,1,604,5,0,1082,9594,604,0 129,0,2024-09-07 09:52:21:012,152567,0.3,151776,0.5,304176,0.3,404292,1.50 129,1,2024-09-07 09:52:20:584,1058570,1058570,0,0,497327082622,5212410475,1048104,8444,2022,379,391962,0 129,2,2024-09-07 09:52:20:686,766141,766137,4,0,31059127,0,5335 129,3,2024-09-07 09:52:20:694,1,604,1,0,506,8285,604,0 130,0,2024-09-07 09:52:21:720,152598,0.4,152017,0.5,304936,0.4,406415,1.75 130,1,2024-09-07 09:52:20:588,1062681,1062681,0,0,498559800759,5178032733,1057242,5042,397,381,391825,0 130,2,2024-09-07 09:52:21:125,763151,763151,0,0,31264222,0,4067 130,3,2024-09-07 09:52:21:291,1,604,9,0,960,8306,604,0 131,0,2024-09-07 09:52:21:949,151314,0.3,151715,0.5,304455,0.3,404423,1.75 131,1,2024-09-07 09:52:21:822,1061838,1061838,0,0,497921614530,5190108501,1054610,6030,1198,381,391865,0 131,2,2024-09-07 09:52:20:568,765062,765062,0,0,29017073,0,3979 131,3,2024-09-07 09:52:21:691,1,604,2,0,392,7728,604,0 132,0,2024-09-07 09:52:21:439,151681,0.5,152612,0.6,304029,0.5,404608,2.00 132,1,2024-09-07 09:52:20:585,1057284,1057284,0,0,496085748243,5223462558,1041844,12736,2704,381,392532,0 132,2,2024-09-07 09:52:20:701,764491,764474,17,0,37848586,0,6451 132,3,2024-09-07 09:52:21:697,1,604,8,0,1298,10848,604,0 133,0,2024-09-07 09:52:21:569,147248,0.4,150660,0.6,308822,0.4,402116,2.00 133,1,2024-09-07 09:52:20:589,1057921,1057921,0,0,496384718421,5230050294,1043843,12314,1764,383,391914,0 133,2,2024-09-07 09:52:21:087,764373,764323,50,0,38815622,0,6861 133,3,2024-09-07 09:52:21:299,1,604,27,0,528,7328,604,0 134,0,2024-09-07 09:52:20:943,151810,0.5,151927,0.7,303955,0.5,404653,2.00 134,1,2024-09-07 09:52:20:594,1059001,1059001,0,0,497012933882,5213171229,1045220,11293,2488,366,391781,0 134,2,2024-09-07 09:52:21:757,761988,761964,24,0,35880529,0,6207 134,3,2024-09-07 09:52:20:757,1,604,2,0,739,7447,604,0 135,0,2024-09-07 09:52:21:096,147069,0.8,147011,0.8,312142,0.9,402716,2.25 135,1,2024-09-07 09:52:21:596,1058334,1058334,0,0,497006402852,5225491739,1045308,11385,1641,380,391805,0 135,2,2024-09-07 09:52:20:694,764881,764881,0,0,36764835,0,4503 135,3,2024-09-07 09:52:21:003,1,604,1,0,900,5914,604,0 136,0,2024-09-07 09:52:21:622,151222,0.6,151772,0.8,301219,0.6,402018,2.25 136,1,2024-09-07 09:52:21:444,1059907,1059907,0,0,496763306492,5207311112,1048003,10515,1389,381,392135,0 136,2,2024-09-07 09:52:21:135,766091,766076,15,0,36050264,0,6007 136,3,2024-09-07 09:52:21:106,1,604,1,0,637,6973,604,0 137,0,2024-09-07 09:52:20:928,155865,0.6,151941,0.7,297641,0.6,404051,2.00 137,1,2024-09-07 09:52:20:577,1058732,1058732,0,0,496323827090,5206624901,1042193,13151,3388,366,391898,0 137,2,2024-09-07 09:52:21:715,762474,762474,0,0,37145779,0,3185 137,3,2024-09-07 09:52:20:776,1,604,0,0,484,8250,604,0 138,0,2024-09-07 09:52:21:756,150119,0.7,150541,0.8,301387,0.8,399963,2.00 138,1,2024-09-07 09:52:21:694,1059264,1059264,0,0,497549945815,5219375711,1044490,12504,2270,368,391954,0 138,2,2024-09-07 09:52:20:598,762317,762317,0,0,35442611,0,4988 138,3,2024-09-07 09:52:20:620,1,604,1,0,1200,9492,604,0 139,0,2024-09-07 09:52:21:362,150606,1.0,151190,0.9,302192,1.4,403656,2.25 139,1,2024-09-07 09:52:20:575,1054647,1054647,0,0,493599455713,5234349803,1036259,14563,3825,380,392109,0 139,2,2024-09-07 09:52:20:696,759224,759194,30,0,40778925,0,5997 139,3,2024-09-07 09:52:21:665,1,604,1,0,432,7165,604,0 140,0,2024-09-07 09:52:21:594,151511,0.3,150613,0.5,302144,0.2,402614,1.75 140,1,2024-09-07 09:52:21:537,1065233,1065233,0,0,499784740571,5151379445,1060262,4423,548,364,391606,0 140,2,2024-09-07 09:52:20:695,765205,765204,1,0,28910119,0,5036 140,3,2024-09-07 09:52:20:766,1,604,1,0,575,6005,604,0 141,0,2024-09-07 09:52:21:699,151907,0.3,156119,0.5,298464,0.2,403900,1.75 141,1,2024-09-07 09:52:20:859,1063836,1063836,0,0,499197876859,5185110970,1055637,7006,1193,379,391614,0 141,2,2024-09-07 09:52:21:698,764880,764869,11,0,31036714,0,5369 141,3,2024-09-07 09:52:21:043,1,604,1,0,391,6977,604,0 142,0,2024-09-07 09:52:21:336,152544,0.3,151719,0.5,303652,0.2,405244,1.50 142,1,2024-09-07 09:52:20:594,1061740,1061740,0,0,498085274743,5191123307,1055026,6206,508,382,392102,0 142,2,2024-09-07 09:52:21:302,763860,763828,32,0,31545242,0,6028 142,3,2024-09-07 09:52:21:752,1,604,1,0,484,6390,604,0 143,0,2024-09-07 09:52:21:391,151541,0.4,151523,0.5,304032,0.4,404238,1.75 143,1,2024-09-07 09:52:20:559,1063780,1063780,0,0,498133923272,5167329089,1057314,5898,568,367,391900,0 143,2,2024-09-07 09:52:20:769,764306,764306,0,0,31419264,0,3123 143,3,2024-09-07 09:52:21:144,1,604,2,0,462,7296,604,0 144,0,2024-09-07 09:52:21:498,147288,0.6,151605,0.8,308459,0.6,404533,2.00 144,1,2024-09-07 09:52:20:578,1058379,1058379,0,0,496810621703,5213369932,1047783,8602,1994,381,391733,0 144,2,2024-09-07 09:52:21:755,765649,765649,0,0,30841952,0,4443 144,3,2024-09-07 09:52:21:740,1,604,2,0,249,5964,604,0 145,0,2024-09-07 09:52:21:361,146695,0.6,146622,0.8,311305,0.5,400972,2.25 145,1,2024-09-07 09:52:20:552,1057585,1057585,0,0,496038069874,5219837822,1043559,11353,2673,382,391781,0 145,2,2024-09-07 09:52:21:429,763287,763205,82,0,36740268,0,7814 145,3,2024-09-07 09:52:20:898,1,604,0,0,622,8015,604,0 146,0,2024-09-07 09:52:21:633,150813,0.5,150119,0.7,301801,0.5,400814,2.00 146,1,2024-09-07 09:52:21:590,1058988,1058988,0,0,496834013447,5227957989,1041484,13023,4481,367,391770,0 146,2,2024-09-07 09:52:21:704,762792,762786,6,0,34920145,0,5151 146,3,2024-09-07 09:52:21:274,1,604,14,0,1520,9808,604,0 147,0,2024-09-07 09:52:21:702,151597,0.6,151274,0.7,302393,0.5,403705,2.00 147,1,2024-09-07 09:52:21:376,1062808,1062808,0,0,498442279342,5179049805,1054316,7525,967,367,391791,0 147,2,2024-09-07 09:52:21:013,761876,761876,0,0,30978441,0,2968 147,3,2024-09-07 09:52:20:912,1,604,0,0,1626,9606,604,0 0,0,2024-09-07 09:52:31:721,146664,0.6,146638,0.7,311340,0.6,401868,2.00 0,1,2024-09-07 09:52:30:802,1061227,1061227,0,0,498212257852,5215039770,1052685,7596,946,368,391896,0 0,2,2024-09-07 09:52:31:069,766435,766435,0,0,31077947,0,4480 0,3,2024-09-07 09:52:30:976,1,605,2,0,431,8681,605,0 1,0,2024-09-07 09:52:31:767,150954,0.8,149947,0.9,301268,1.0,402527,2.00 1,1,2024-09-07 09:52:30:559,1060648,1060648,0,0,496940814278,5205142866,1051173,7984,1491,370,391859,0 1,2,2024-09-07 09:52:30:641,763860,763860,0,0,30508760,0,3380 1,3,2024-09-07 09:52:31:309,1,605,1,0,269,7521,605,0 2,0,2024-09-07 09:52:31:570,152521,0.6,152359,0.7,304293,0.6,406511,2.00 2,1,2024-09-07 09:52:30:859,1064011,1064011,0,0,499466876877,5193010781,1057836,5225,950,379,391745,0 2,2,2024-09-07 09:52:31:266,766049,766049,0,0,29141403,0,3594 2,3,2024-09-07 09:52:30:689,1,605,3,0,357,5438,605,0 3,0,2024-09-07 09:52:31:743,151025,0.4,150914,0.6,301286,0.4,401527,2.00 3,1,2024-09-07 09:52:31:618,1062430,1062430,0,0,498940120916,5189020966,1054818,6907,705,379,391716,0 3,2,2024-09-07 09:52:31:141,766964,766941,23,0,30231210,0,5851 3,3,2024-09-07 09:52:31:756,1,605,1,0,484,4606,605,0 4,0,2024-09-07 09:52:31:809,146805,0.4,150903,0.5,307492,0.3,402165,1.75 4,1,2024-09-07 09:52:30:597,1057870,1057870,0,0,495723505080,5246638347,1040057,14059,3754,369,391992,0 4,2,2024-09-07 09:52:31:017,762137,762137,0,0,37045631,0,4534 4,3,2024-09-07 09:52:31:027,1,605,1,0,448,7368,605,0 5,0,2024-09-07 09:52:31:381,151720,0.4,151644,0.5,303420,0.3,403303,1.75 5,1,2024-09-07 09:52:30:802,1058780,1058780,0,0,497396170797,5251283723,1042427,12227,4126,367,392005,0 5,2,2024-09-07 09:52:31:829,761718,761718,0,0,35867164,0,3582 5,3,2024-09-07 09:52:31:732,1,605,1,0,457,8375,605,0 6,0,2024-09-07 09:52:30:915,152669,0.5,152291,0.6,304763,0.4,406217,2.00 6,1,2024-09-07 09:52:30:751,1061753,1061753,0,0,498009732634,5201733798,1050674,9505,1574,379,391702,0 6,2,2024-09-07 09:52:31:122,765624,765606,18,0,34828380,0,5535 6,3,2024-09-07 09:52:31:286,1,605,1,0,710,7393,605,0 7,0,2024-09-07 09:52:31:533,150654,0.5,151685,0.7,301129,0.5,401646,2.00 7,1,2024-09-07 09:52:30:850,1059278,1059278,0,0,497140247134,5234625241,1042951,12561,3766,382,391747,0 7,2,2024-09-07 09:52:30:770,764839,764839,0,0,33530944,0,4791 7,3,2024-09-07 09:52:30:851,1,605,1,0,552,7093,605,0 8,0,2024-09-07 09:52:31:383,152256,0.4,152007,0.5,304321,0.3,405473,1.75 8,1,2024-09-07 09:52:31:016,1058818,1058818,0,0,497385981780,5230719643,1042101,13130,3587,366,392853,0 8,2,2024-09-07 09:52:30:796,760759,760757,2,0,39663677,0,5112 8,3,2024-09-07 09:52:30:586,1,605,9,0,772,9590,605,0 9,0,2024-09-07 09:52:31:113,151423,0.4,147338,0.5,308221,0.3,404281,1.75 9,1,2024-09-07 09:52:30:549,1058757,1058757,0,0,496796382890,5236445535,1042066,13463,3228,369,392001,0 9,2,2024-09-07 09:52:31:083,763940,763939,1,0,37474837,0,5281 9,3,2024-09-07 09:52:31:753,1,605,1,0,1273,10265,605,0 10,0,2024-09-07 09:52:31:600,152118,0.3,151467,0.5,303988,0.3,405169,1.75 10,1,2024-09-07 09:52:30:583,1060472,1060472,0,0,497599400054,5220845806,1044750,12658,3064,381,391981,0 10,2,2024-09-07 09:52:30:761,763814,763814,0,0,40081356,0,4713 10,3,2024-09-07 09:52:30:873,1,605,2,0,669,6829,605,0 11,0,2024-09-07 09:52:31:024,151525,0.4,147028,0.6,307510,0.4,405379,1.75 11,1,2024-09-07 09:52:30:570,1061959,1061959,0,0,497582766981,5220230237,1046136,11520,4303,383,391766,0 11,2,2024-09-07 09:52:31:131,764433,764433,0,0,35535936,0,4698 11,3,2024-09-07 09:52:31:301,1,605,0,0,843,7804,605,0 12,0,2024-09-07 09:52:30:965,152836,0.4,152757,0.6,305058,0.4,405947,1.75 12,1,2024-09-07 09:52:30:937,1061854,1061854,0,0,497915903338,5192206002,1053476,7370,1008,370,391960,0 12,2,2024-09-07 09:52:31:542,764603,764603,0,0,34269256,0,4390 12,3,2024-09-07 09:52:31:068,1,605,1,0,386,7564,605,0 13,0,2024-09-07 09:52:31:349,152078,0.4,152129,0.5,303317,0.4,404219,1.75 13,1,2024-09-07 09:52:31:524,1059231,1059231,0,0,497736869095,5230910415,1048338,8503,2390,382,391803,0 13,2,2024-09-07 09:52:30:599,767452,767452,0,0,30660100,0,3287 13,3,2024-09-07 09:52:31:769,1,605,1,0,522,7979,605,0 14,0,2024-09-07 09:52:30:563,151710,0.4,152824,0.6,303262,0.3,404289,1.75 14,1,2024-09-07 09:52:31:571,1066606,1066606,0,0,500038935958,5174040947,1058147,7330,1129,364,391673,0 14,2,2024-09-07 09:52:30:764,763844,763814,30,0,32039556,0,6104 14,3,2024-09-07 09:52:31:116,1,605,25,0,1168,6606,605,0 15,0,2024-09-07 09:52:31:558,152208,0.4,151408,0.6,303479,0.4,404975,2.00 15,1,2024-09-07 09:52:31:608,1063526,1063526,0,0,498982294310,5195932599,1054452,7161,1913,381,391619,0 15,2,2024-09-07 09:52:30:998,767633,767633,0,0,27758175,0,3622 15,3,2024-09-07 09:52:31:405,1,605,1,0,1126,7890,605,0 16,0,2024-09-07 09:52:30:959,150822,0.6,151532,0.8,302500,0.6,402560,2.00 16,1,2024-09-07 09:52:30:580,1063148,1063148,0,0,498180350728,5207972055,1053712,7992,1444,370,392194,0 16,2,2024-09-07 09:52:31:440,765193,765193,0,0,31664126,0,4719 16,3,2024-09-07 09:52:31:146,1,605,1,0,358,7575,605,0 17,0,2024-09-07 09:52:31:765,155733,0.6,151827,0.8,297415,0.6,404217,2.00 17,1,2024-09-07 09:52:30:570,1061032,1061032,0,0,497115471804,5214030767,1050737,8523,1772,368,392075,0 17,2,2024-09-07 09:52:31:666,767667,767666,1,0,32935537,0,5050 17,3,2024-09-07 09:52:30:579,1,605,1,0,518,8796,605,0 18,0,2024-09-07 09:52:30:968,149605,0.6,150501,0.8,299549,0.6,399925,2.25 18,1,2024-09-07 09:52:31:638,1065558,1065558,0,0,499366397349,5179110196,1058495,5806,1257,367,391725,0 18,2,2024-09-07 09:52:31:757,766696,766696,0,0,29261464,0,3541 18,3,2024-09-07 09:52:30:902,1,605,1,0,1059,5565,605,0 19,0,2024-09-07 09:52:31:541,151851,0.6,152073,0.8,302954,0.6,403426,2.00 19,1,2024-09-07 09:52:30:570,1065316,1065316,0,0,499898842825,5180947451,1057514,6669,1133,365,391777,0 19,2,2024-09-07 09:52:31:752,768673,768673,0,0,27710451,0,3988 19,3,2024-09-07 09:52:31:129,1,605,1,0,524,4619,605,0 20,0,2024-09-07 09:52:31:353,150984,0.6,150874,0.7,301485,0.6,401925,2.00 20,1,2024-09-07 09:52:30:579,1060933,1060933,0,0,497991034886,5218623823,1050194,9232,1507,369,391922,0 20,2,2024-09-07 09:52:30:936,765185,765185,0,0,34509890,0,4321 20,3,2024-09-07 09:52:30:599,1,605,13,0,468,9763,605,0 21,0,2024-09-07 09:52:31:138,151847,0.5,151942,0.6,303545,0.4,403166,2.00 21,1,2024-09-07 09:52:31:536,1059223,1059223,0,0,496230873911,5238646998,1042352,13035,3836,368,392016,0 21,2,2024-09-07 09:52:31:076,759465,758988,477,0,45105112,0,17074 21,3,2024-09-07 09:52:31:404,1,605,1,0,713,8666,605,0 22,0,2024-09-07 09:52:31:726,151709,0.5,152337,0.6,304005,0.4,403545,2.00 22,1,2024-09-07 09:52:31:024,1059863,1059863,0,0,495815790114,5219265380,1042046,14277,3540,382,391822,0 22,2,2024-09-07 09:52:30:760,763669,763643,26,0,32854239,0,6328 22,3,2024-09-07 09:52:31:069,1,605,1,0,228,5258,605,0 23,0,2024-09-07 09:52:31:369,151562,0.5,151328,0.7,302642,0.4,403696,2.00 23,1,2024-09-07 09:52:31:004,1060304,1060304,0,0,498530338553,5252990654,1040831,12895,6578,365,391690,0 23,2,2024-09-07 09:52:31:106,765893,765893,0,0,31536406,0,3773 23,3,2024-09-07 09:52:31:754,1,605,7,0,855,8858,605,0 24,0,2024-09-07 09:52:30:865,153142,0.4,152355,0.6,306357,0.4,406916,1.75 24,1,2024-09-07 09:52:30:588,1060761,1060761,0,0,496894721253,5201266578,1050695,8356,1710,367,392269,0 24,2,2024-09-07 09:52:31:079,764997,764994,3,0,37993953,0,6294 24,3,2024-09-07 09:52:31:691,1,605,19,0,468,7734,605,0 25,0,2024-09-07 09:52:31:375,156131,0.4,152046,0.6,298501,0.4,406778,2.00 25,1,2024-09-07 09:52:30:579,1058743,1058743,0,0,496467205377,5245646692,1039978,15051,3714,369,391928,0 25,2,2024-09-07 09:52:31:606,763434,763434,0,0,38566484,0,3978 25,3,2024-09-07 09:52:31:005,1,605,14,0,532,6552,605,0 26,0,2024-09-07 09:52:31:719,150267,0.4,146951,0.6,308587,0.3,401673,1.75 26,1,2024-09-07 09:52:31:540,1062375,1062375,0,0,497961901485,5213232301,1048038,11804,2533,380,391758,0 26,2,2024-09-07 09:52:30:860,764732,764732,0,0,41356008,0,4689 26,3,2024-09-07 09:52:31:712,1,605,2,0,796,7577,605,0 27,0,2024-09-07 09:52:31:736,151742,0.4,152382,0.6,302976,0.3,404506,2.25 27,1,2024-09-07 09:52:31:677,1063227,1063227,0,0,499673180363,5207211831,1052829,8789,1609,381,391626,0 27,2,2024-09-07 09:52:30:871,760028,759963,65,0,35966980,0,5699 27,3,2024-09-07 09:52:31:015,1,605,34,0,564,5237,605,0 28,0,2024-09-07 09:52:31:435,152188,0.4,152287,0.6,304820,0.3,406017,1.75 28,1,2024-09-07 09:52:30:800,1063857,1063857,0,0,499730504326,5208816850,1054918,7048,1891,382,391904,0 28,2,2024-09-07 09:52:31:767,766076,766076,0,0,30967487,0,2915 28,3,2024-09-07 09:52:31:777,1,605,4,0,502,6021,605,0 29,0,2024-09-07 09:52:31:366,155575,0.4,151411,0.6,297234,0.4,405345,1.75 29,1,2024-09-07 09:52:31:561,1066918,1066918,0,0,500355911194,5174246154,1060559,5583,776,367,391809,0 29,2,2024-09-07 09:52:30:861,766001,766001,0,0,30142996,0,4986 29,3,2024-09-07 09:52:30:964,1,605,1,0,459,6507,605,0 30,0,2024-09-07 09:52:31:498,150115,0.5,146166,0.7,306070,0.4,399777,2.00 30,1,2024-09-07 09:52:30:571,1066028,1066028,0,0,500569857286,5192173992,1058457,6660,911,380,391672,0 30,2,2024-09-07 09:52:31:278,766495,766495,0,0,28764363,0,4192 30,3,2024-09-07 09:52:30:584,1,605,0,0,519,5620,605,0 31,0,2024-09-07 09:52:31:758,150702,0.4,151495,0.6,301903,0.4,403223,1.75 31,1,2024-09-07 09:52:30:571,1069154,1069154,0,0,501118597815,5145662976,1062694,5386,1074,356,391712,0 31,2,2024-09-07 09:52:31:274,762938,762938,0,0,32270511,0,4470 31,3,2024-09-07 09:52:31:706,1,605,9,0,239,5185,605,0 32,0,2024-09-07 09:52:31:425,152650,0.3,153796,0.5,305851,0.3,408068,1.75 32,1,2024-09-07 09:52:30:812,1065366,1065366,0,0,499632348166,5191505124,1059188,5460,718,381,391646,0 32,2,2024-09-07 09:52:30:937,767703,767703,0,0,28537886,0,3922 32,3,2024-09-07 09:52:31:017,1,605,4,0,304,4912,605,0 33,0,2024-09-07 09:52:31:521,151525,0.3,151276,0.4,302738,0.2,402501,1.50 33,1,2024-09-07 09:52:30:579,1065725,1065725,0,0,500458431945,5183638856,1057277,7175,1273,368,391730,0 33,2,2024-09-07 09:52:30:761,766978,766943,35,0,31661907,0,7012 33,3,2024-09-07 09:52:30:908,1,605,5,0,329,5301,605,0 34,0,2024-09-07 09:52:30:934,151418,0.3,155518,0.5,297503,0.2,401504,1.75 34,1,2024-09-07 09:52:31:048,1067658,1067658,0,0,501043540942,5154098005,1064697,2851,110,367,391637,0 34,2,2024-09-07 09:52:30:774,766039,766039,0,0,30218429,0,4562 34,3,2024-09-07 09:52:31:687,1,605,2,0,541,5512,605,0 35,0,2024-09-07 09:52:30:861,150755,0.3,151632,0.5,304143,0.2,403735,1.75 35,1,2024-09-07 09:52:31:070,1063631,1063631,0,0,499833392060,5187395897,1055522,6586,1523,382,391769,0 35,2,2024-09-07 09:52:31:583,765209,765209,0,0,33112706,0,4055 35,3,2024-09-07 09:52:30:909,1,605,0,0,466,5689,605,0 36,0,2024-09-07 09:52:31:515,152938,0.5,152965,0.7,305311,0.4,407026,2.00 36,1,2024-09-07 09:52:30:589,1062553,1062553,0,0,499288686834,5213687953,1048972,11341,2240,366,391759,0 36,2,2024-09-07 09:52:31:750,765724,765724,0,0,34566240,0,3875 36,3,2024-09-07 09:52:30:878,1,605,18,0,556,8287,605,0 37,0,2024-09-07 09:52:31:448,150515,0.5,150662,0.7,301487,0.5,401946,2.25 37,1,2024-09-07 09:52:30:572,1062446,1062439,0,7,498636575013,5207407164,1049784,9742,2913,365,391770,0 37,2,2024-09-07 09:52:31:147,762763,762748,15,0,34478665,0,5815 37,3,2024-09-07 09:52:31:767,1,605,0,0,888,8058,605,0 38,0,2024-09-07 09:52:31:444,151083,0.5,146451,0.7,306214,0.4,401311,2.00 38,1,2024-09-07 09:52:31:701,1062240,1062240,0,0,499200751206,5221846580,1046909,12332,2999,368,391821,0 38,2,2024-09-07 09:52:30:771,764991,764944,47,0,34731839,0,6710 38,3,2024-09-07 09:52:30:997,1,605,3,0,689,7431,605,0 39,0,2024-09-07 09:52:31:767,154883,0.5,151433,0.7,295198,0.5,403298,2.00 39,1,2024-09-07 09:52:30:724,1061729,1061729,0,0,498272133664,5223887700,1044283,13731,3715,365,391865,0 39,2,2024-09-07 09:52:31:418,765399,765399,0,0,32877655,0,3391 39,3,2024-09-07 09:52:30:725,1,605,2,0,525,6832,605,0 40,0,2024-09-07 09:52:31:494,150698,0.8,151387,0.9,302255,0.9,403496,2.75 40,1,2024-09-07 09:52:30:583,1062344,1062344,0,0,497495504894,5211962999,1046736,12540,3068,368,391668,0 40,2,2024-09-07 09:52:31:311,761642,761635,7,0,39056577,0,5347 40,3,2024-09-07 09:52:31:163,1,605,19,0,1028,8885,605,0 41,0,2024-09-07 09:52:31:027,150711,1.3,154222,1.1,294156,1.9,400274,3.25 41,1,2024-09-07 09:52:30:771,1061640,1061640,0,0,497827817657,5208557503,1047870,11611,2159,369,391878,0 41,2,2024-09-07 09:52:30:762,762220,762219,1,0,37302812,0,5408 41,3,2024-09-07 09:52:31:676,1,605,3,0,366,6503,605,0 42,0,2024-09-07 09:52:31:477,150830,1.0,150462,1.1,300936,1.1,399829,2.75 42,1,2024-09-07 09:52:31:438,1059413,1059413,0,0,496501970511,5217400972,1042921,13560,2932,380,391675,0 42,2,2024-09-07 09:52:31:133,763893,763892,1,0,37654333,0,5513 42,3,2024-09-07 09:52:31:008,1,605,2,0,892,5644,605,0 43,0,2024-09-07 09:52:30:922,149738,0.7,145826,0.9,305139,0.7,399188,2.25 43,1,2024-09-07 09:52:30:582,1063104,1063104,0,0,498017113997,5204255520,1048365,12167,2572,366,391696,0 43,2,2024-09-07 09:52:31:736,765913,765913,0,0,35548993,0,4723 43,3,2024-09-07 09:52:31:748,1,605,18,0,571,8858,605,0 44,0,2024-09-07 09:52:30:880,152178,0.4,152008,0.6,304265,0.3,404808,1.75 44,1,2024-09-07 09:52:30:571,1066150,1066150,0,0,499512385339,5163035352,1056783,7426,1941,356,391809,0 44,2,2024-09-07 09:52:31:277,761476,761476,0,0,28827673,0,4344 44,3,2024-09-07 09:52:31:092,1,605,0,0,1097,7442,605,0 45,0,2024-09-07 09:52:31:768,150417,0.5,147004,0.7,308612,0.4,405084,2.00 45,1,2024-09-07 09:52:31:004,1064666,1064666,0,0,499599538700,5190726720,1056411,7352,903,382,391917,0 45,2,2024-09-07 09:52:31:268,766671,766671,0,0,29220591,0,3596 45,3,2024-09-07 09:52:30:936,1,605,4,0,531,5750,605,0 46,0,2024-09-07 09:52:30:951,150210,0.6,149747,0.8,300494,0.6,399184,2.25 46,1,2024-09-07 09:52:30:582,1066997,1066997,0,0,500801248262,5175965753,1059503,6506,988,366,391709,0 46,2,2024-09-07 09:52:30:602,767098,767098,0,0,29897649,0,4443 46,3,2024-09-07 09:52:31:131,1,605,13,0,908,7195,605,0 47,0,2024-09-07 09:52:31:114,151526,0.4,151378,0.6,303933,0.4,402454,2.00 47,1,2024-09-07 09:52:30:570,1067516,1067516,0,0,500681441005,5171393746,1061418,5236,862,364,391641,0 47,2,2024-09-07 09:52:30:910,768589,768589,0,0,28939220,0,4477 47,3,2024-09-07 09:52:31:126,1,605,0,0,600,6595,605,0 48,0,2024-09-07 09:52:31:508,151313,0.3,151306,0.4,302345,0.2,401745,1.50 48,1,2024-09-07 09:52:31:036,1065200,1065200,0,0,499305839009,5184712414,1059097,5525,578,381,391710,0 48,2,2024-09-07 09:52:30:717,765033,765033,0,0,27521478,0,3524 48,3,2024-09-07 09:52:30:758,1,605,1,0,339,5366,605,0 49,0,2024-09-07 09:52:31:733,156452,0.3,153277,0.5,298367,0.3,407526,1.75 49,1,2024-09-07 09:52:31:025,1064003,1064003,0,0,499733741287,5197758302,1056732,5575,1696,382,391809,0 49,2,2024-09-07 09:52:31:801,767319,767319,0,0,29764244,0,4426 49,3,2024-09-07 09:52:31:416,1,605,6,0,992,7182,605,0 50,0,2024-09-07 09:52:31:527,151629,0.3,149953,0.5,302194,0.2,401764,1.75 50,1,2024-09-07 09:52:31:009,1067074,1067074,0,0,501100631624,5179344262,1060548,5857,669,368,391691,0 50,2,2024-09-07 09:52:31:068,764633,764633,0,0,27579826,0,4490 50,3,2024-09-07 09:52:31:297,1,605,4,0,617,6198,605,0 51,0,2024-09-07 09:52:31:685,155773,0.3,152449,0.5,296928,0.2,404832,1.75 51,1,2024-09-07 09:52:31:680,1067616,1067616,0,0,502137448098,5177077702,1061956,4579,1081,365,391706,0 51,2,2024-09-07 09:52:31:318,765909,765909,0,0,27109514,0,3337 51,3,2024-09-07 09:52:31:027,1,605,93,0,678,4405,605,0 52,0,2024-09-07 09:52:31:417,152394,0.5,151968,0.6,304466,0.4,404524,2.00 52,1,2024-09-07 09:52:30:579,1062399,1062399,0,0,498518093134,5218071165,1047254,12815,2330,368,391805,0 52,2,2024-09-07 09:52:31:757,761999,761961,38,0,36684091,0,6742 52,3,2024-09-07 09:52:30:682,1,605,4,0,1782,7117,605,0 53,0,2024-09-07 09:52:31:733,151223,0.6,146803,0.8,307333,0.7,402734,2.50 53,1,2024-09-07 09:52:30:770,1060900,1060900,0,0,497485498132,5221076530,1042716,13789,4395,367,391968,0 53,2,2024-09-07 09:52:31:298,764901,764900,1,0,32985721,0,5455 53,3,2024-09-07 09:52:30:697,1,605,0,0,308,5662,605,0 54,0,2024-09-07 09:52:31:616,150590,0.6,150759,0.8,300290,0.5,401296,2.25 54,1,2024-09-07 09:52:30:581,1063968,1063968,0,0,499777770230,5198221105,1052855,9442,1671,366,391810,0 54,2,2024-09-07 09:52:30:864,766008,765976,32,0,36735938,0,6397 54,3,2024-09-07 09:52:30:770,1,605,0,0,676,7832,605,0 55,0,2024-09-07 09:52:31:771,146591,0.6,151280,0.8,306579,0.6,399550,2.50 55,1,2024-09-07 09:52:30:770,1064357,1064357,0,0,499844053230,5198366181,1053492,9476,1389,365,391731,0 55,2,2024-09-07 09:52:30:730,764430,764374,56,0,34162084,0,7239 55,3,2024-09-07 09:52:30:677,1,605,0,0,304,5557,605,0 56,0,2024-09-07 09:52:31:565,153963,1.1,145047,1.1,299065,1.4,400234,2.75 56,1,2024-09-07 09:52:30:579,1057469,1057469,0,0,496556561217,5252261412,1039500,14280,3689,381,391867,0 56,2,2024-09-07 09:52:31:315,763979,763857,122,0,36329859,0,7432 56,3,2024-09-07 09:52:31:059,1,605,4,0,705,6967,605,0 57,0,2024-09-07 09:52:30:972,150156,1.4,149819,1.2,300071,1.9,400880,3.00 57,1,2024-09-07 09:52:30:988,1060027,1060027,0,0,497503684025,5222444918,1045604,11933,2490,366,392032,0 57,2,2024-09-07 09:52:31:319,762683,762683,0,0,37862892,0,4804 57,3,2024-09-07 09:52:31:740,1,605,6,0,455,7058,605,0 58,0,2024-09-07 09:52:30:556,149752,1.0,145534,1.0,304349,1.2,398428,2.50 58,1,2024-09-07 09:52:30:579,1061675,1061672,0,3,498061369309,5221627774,1045526,12244,3902,367,391726,3 58,2,2024-09-07 09:52:31:083,765977,765977,0,0,35597070,0,3483 58,3,2024-09-07 09:52:31:069,1,605,18,0,1043,6747,605,0 59,0,2024-09-07 09:52:31:740,149819,0.9,149381,1.0,298443,1.0,396379,3.00 59,1,2024-09-07 09:52:30:804,1060549,1060549,0,0,498018170696,5233881051,1042727,14154,3668,369,391653,0 59,2,2024-09-07 09:52:30:583,765938,765938,0,0,33695723,0,3727 59,3,2024-09-07 09:52:31:747,1,605,4,0,1015,7432,605,0 60,0,2024-09-07 09:52:31:713,150735,0.5,150878,0.7,302061,0.5,402089,1.75 60,1,2024-09-07 09:52:30:772,1065312,1065312,0,0,499875101475,5194184362,1057358,6867,1087,370,392031,0 60,2,2024-09-07 09:52:31:140,765887,765887,0,0,31787917,0,3811 60,3,2024-09-07 09:52:31:258,1,605,1,0,409,6979,605,0 61,0,2024-09-07 09:52:31:559,150882,0.6,151407,0.8,301407,0.6,402518,2.00 61,1,2024-09-07 09:52:30:772,1062484,1062484,0,0,499095497908,5223582885,1051353,9451,1680,382,392127,0 61,2,2024-09-07 09:52:31:115,763852,763785,67,0,32360005,0,6411 61,3,2024-09-07 09:52:31:690,1,605,7,0,607,7856,605,0 62,0,2024-09-07 09:52:31:717,153291,0.6,157035,0.7,300005,0.6,407950,2.00 62,1,2024-09-07 09:52:31:116,1068752,1068746,0,6,501291903041,5167314227,1063778,4669,299,365,391975,6 62,2,2024-09-07 09:52:31:645,764394,764393,1,0,32378722,0,5555 62,3,2024-09-07 09:52:31:154,1,605,1,0,482,4832,605,0 63,0,2024-09-07 09:52:31:576,151635,0.4,151350,0.6,303203,0.4,402798,1.75 63,1,2024-09-07 09:52:30:805,1065186,1065180,0,6,500228882566,5193426562,1058334,5991,855,381,391800,6 63,2,2024-09-07 09:52:30:762,766325,766325,0,0,30758703,0,4369 63,3,2024-09-07 09:52:31:741,1,605,1,0,667,6204,605,0 64,0,2024-09-07 09:52:31:511,150459,0.5,150331,0.6,300446,0.4,400110,2.00 64,1,2024-09-07 09:52:30:756,1064094,1064094,0,0,499732628991,5204379464,1054751,7366,1977,370,391794,0 64,2,2024-09-07 09:52:31:143,769064,769045,19,0,29901793,0,6121 64,3,2024-09-07 09:52:31:146,1,605,1,0,651,6916,605,0 65,0,2024-09-07 09:52:31:678,150403,0.6,150750,0.7,301150,0.6,401105,2.00 65,1,2024-09-07 09:52:30:859,1062306,1062306,0,0,497250267079,5196858271,1054826,6607,873,381,391901,0 65,2,2024-09-07 09:52:31:692,764140,764140,0,0,35905252,0,3367 65,3,2024-09-07 09:52:31:682,1,605,0,0,782,6701,605,0 66,0,2024-09-07 09:52:31:773,151993,0.5,151560,0.7,303235,0.5,404024,2.00 66,1,2024-09-07 09:52:31:300,1064666,1064666,0,0,499015929065,5191773531,1058163,5781,722,380,391743,0 66,2,2024-09-07 09:52:31:132,768195,768192,3,0,31922276,0,5455 66,3,2024-09-07 09:52:31:088,1,605,0,0,291,5116,605,0 67,0,2024-09-07 09:52:31:417,151217,0.6,150783,0.7,302655,0.5,402534,2.00 67,1,2024-09-07 09:52:30:774,1063954,1063953,0,1,500161588553,5206569695,1056554,6454,945,380,391787,1 67,2,2024-09-07 09:52:30:583,768089,768074,15,0,31705013,0,6205 67,3,2024-09-07 09:52:31:750,1,605,0,0,595,6314,605,0 68,0,2024-09-07 09:52:30:575,151978,0.6,151782,0.7,302287,0.6,404624,2.00 68,1,2024-09-07 09:52:30:570,1059593,1059593,0,0,496849726649,5223587248,1045788,10275,3530,381,391953,0 68,2,2024-09-07 09:52:31:056,761551,761451,100,0,39851944,0,8578 68,3,2024-09-07 09:52:30:741,1,605,4,0,417,7787,605,0 69,0,2024-09-07 09:52:31:728,150933,0.6,151592,0.8,302281,0.6,402008,2.25 69,1,2024-09-07 09:52:31:019,1058807,1058807,0,0,497348260440,5241083502,1044943,11031,2833,383,391994,0 69,2,2024-09-07 09:52:31:731,762780,762751,29,0,42355117,0,6912 69,3,2024-09-07 09:52:30:767,1,605,1,0,698,8661,605,0 70,0,2024-09-07 09:52:31:531,150501,0.7,151117,0.9,303623,0.6,402335,2.50 70,1,2024-09-07 09:52:30:802,1065715,1065715,0,0,500121942100,5188679292,1057800,7108,807,366,391725,0 70,2,2024-09-07 09:52:31:328,764234,764234,0,0,34890818,0,4323 70,3,2024-09-07 09:52:30:745,1,605,1,0,854,6638,605,0 71,0,2024-09-07 09:52:31:357,150412,1.0,150052,1.0,301236,1.3,402424,2.75 71,1,2024-09-07 09:52:31:596,1063142,1063142,0,0,498378799492,5201741814,1050141,11380,1621,368,391738,0 71,2,2024-09-07 09:52:31:070,764837,764837,0,0,35138856,0,4352 71,3,2024-09-07 09:52:31:755,1,605,12,0,644,6794,605,0 72,0,2024-09-07 09:52:31:057,156661,0.6,153125,0.7,298769,0.5,406823,2.00 72,1,2024-09-07 09:52:31:021,1061403,1061403,0,0,498313923586,5227038434,1046102,12786,2515,369,391819,0 72,2,2024-09-07 09:52:31:761,764165,764165,0,0,36681387,0,3983 72,3,2024-09-07 09:52:31:759,1,605,1,0,564,8921,605,0 73,0,2024-09-07 09:52:31:115,147250,0.4,151031,0.6,308750,0.4,401749,2.00 73,1,2024-09-07 09:52:30:771,1062814,1062814,0,0,499022091728,5187393702,1054409,7423,982,367,391858,0 73,2,2024-09-07 09:52:31:741,765103,765102,1,0,38285657,0,5027 73,3,2024-09-07 09:52:30:973,1,605,1,0,1091,8401,605,0 74,0,2024-09-07 09:52:31:335,152853,0.5,156495,0.6,298654,0.4,405037,2.00 74,1,2024-09-07 09:52:30:635,1062087,1062087,0,0,497809007873,5197753791,1050184,9787,2116,381,391762,0 74,2,2024-09-07 09:52:31:004,761899,761899,0,0,33325366,0,4253 74,3,2024-09-07 09:52:31:448,1,605,1,0,522,7431,605,0 75,0,2024-09-07 09:52:31:766,152472,0.5,151492,0.7,304126,0.5,406440,2.25 75,1,2024-09-07 09:52:31:597,1063189,1063189,0,0,498840404502,5198685612,1054565,7756,868,380,391739,0 75,2,2024-09-07 09:52:31:350,764598,764598,0,0,40466709,0,4766 75,3,2024-09-07 09:52:31:071,1,605,62,0,918,8229,605,0 76,0,2024-09-07 09:52:30:596,150544,0.6,149901,0.8,299894,0.6,400834,2.25 76,1,2024-09-07 09:52:30:805,1063155,1063155,0,0,498387719364,5196630468,1055825,6339,991,382,391790,0 76,2,2024-09-07 09:52:31:063,768480,768477,3,0,32723111,0,5265 76,3,2024-09-07 09:52:31:160,1,605,1,0,227,5498,605,0 77,0,2024-09-07 09:52:31:726,150738,0.5,151240,0.7,302320,0.5,401812,2.00 77,1,2024-09-07 09:52:30:830,1062821,1062821,0,0,499157051662,5206296205,1054833,7109,879,381,391869,0 77,2,2024-09-07 09:52:31:287,764647,764647,0,0,31854642,0,3890 77,3,2024-09-07 09:52:31:106,1,605,1,0,401,6835,605,0 78,0,2024-09-07 09:52:31:719,151497,0.4,150808,0.6,302517,0.4,400330,2.00 78,1,2024-09-07 09:52:30:610,1063385,1063385,0,0,498955745099,5203471039,1050544,9924,2917,367,391670,0 78,2,2024-09-07 09:52:31:405,765789,765776,13,0,29794543,0,8313 78,3,2024-09-07 09:52:31:134,1,605,2,0,311,5075,605,0 79,0,2024-09-07 09:52:31:353,147066,0.4,150575,0.6,308570,0.3,402248,2.00 79,1,2024-09-07 09:52:30:579,1066801,1066801,0,0,501306533153,5188172100,1058956,6485,1360,367,391682,0 79,2,2024-09-07 09:52:31:083,766506,766506,0,0,28927086,0,4195 79,3,2024-09-07 09:52:30:755,1,605,1,0,418,7167,605,0 80,0,2024-09-07 09:52:31:137,150832,0.6,154962,0.7,296278,0.6,400791,2.00 80,1,2024-09-07 09:52:31:619,1062992,1062992,0,0,498478735944,5190684581,1055837,6704,451,368,392269,0 80,2,2024-09-07 09:52:31:106,767907,767907,0,0,29615470,0,4433 80,3,2024-09-07 09:52:30:581,1,605,3,0,681,7398,605,0 81,0,2024-09-07 09:52:31:555,151768,0.5,155287,0.7,296504,0.5,402071,2.00 81,1,2024-09-07 09:52:31:658,1062237,1062237,0,0,498360430050,5206936621,1054072,7397,768,382,391885,0 81,2,2024-09-07 09:52:31:131,764819,764756,63,0,32862634,0,5932 81,3,2024-09-07 09:52:31:129,1,605,5,0,719,7005,605,0 82,0,2024-09-07 09:52:31:552,151766,0.4,151892,0.7,304518,0.4,403549,2.00 82,1,2024-09-07 09:52:30:583,1064499,1064495,0,4,498819308462,5184699689,1058923,4749,823,381,391768,4 82,2,2024-09-07 09:52:31:689,767276,767276,0,0,27835696,0,4484 82,3,2024-09-07 09:52:31:755,1,605,3,0,363,5701,605,0 83,0,2024-09-07 09:52:31:579,151962,0.6,151872,0.7,303334,0.6,403020,2.00 83,1,2024-09-07 09:52:30:555,1063109,1063109,0,0,498741512331,5198856570,1055522,6942,645,382,391709,0 83,2,2024-09-07 09:52:30:763,765191,765166,25,0,29962160,0,5612 83,3,2024-09-07 09:52:30:753,1,605,6,0,1260,7233,605,0 84,0,2024-09-07 09:52:31:781,150755,0.8,150745,0.9,301456,0.8,402913,2.25 84,1,2024-09-07 09:52:31:039,1061069,1061069,0,0,497699501004,5205782284,1048815,10581,1673,367,391967,0 84,2,2024-09-07 09:52:30:577,763785,763375,410,0,43682084,0,17037 84,3,2024-09-07 09:52:31:156,1,605,11,0,908,8091,605,0 85,0,2024-09-07 09:52:31:081,145879,0.6,145807,0.8,309566,0.6,399861,2.25 85,1,2024-09-07 09:52:30:569,1058381,1058381,0,0,496257173498,5240324846,1042063,13519,2799,381,392092,0 85,2,2024-09-07 09:52:30:877,764661,764661,0,0,36881920,0,4255 85,3,2024-09-07 09:52:30:686,1,605,12,0,789,6946,605,0 86,0,2024-09-07 09:52:30:979,150726,0.7,154926,0.8,296291,0.7,400860,2.25 86,1,2024-09-07 09:52:30:839,1061226,1061226,0,0,498352223016,5225797572,1047305,11234,2687,366,392169,0 86,2,2024-09-07 09:52:30:853,764028,764027,1,0,39151217,0,5004 86,3,2024-09-07 09:52:30:608,1,605,60,0,308,8601,605,0 87,0,2024-09-07 09:52:31:326,151826,0.8,151240,0.8,302419,0.9,404422,2.25 87,1,2024-09-07 09:52:30:556,1060950,1060950,0,0,497876964126,5216325380,1047568,11585,1797,366,392076,0 87,2,2024-09-07 09:52:31:069,761873,761867,6,0,35441335,0,6323 87,3,2024-09-07 09:52:31:800,1,605,7,0,473,8611,605,0 88,0,2024-09-07 09:52:31:450,152124,0.5,152553,0.6,304797,0.4,405995,1.75 88,1,2024-09-07 09:52:30:579,1059807,1059807,0,0,497686764946,5217408427,1044422,12340,3045,365,392084,0 88,2,2024-09-07 09:52:30:693,765062,765062,0,0,38239272,0,4465 88,3,2024-09-07 09:52:31:270,1,605,26,0,1080,9316,605,0 89,0,2024-09-07 09:52:31:782,155414,0.5,150758,0.7,297485,0.4,404844,1.75 89,1,2024-09-07 09:52:30:573,1059762,1059762,0,0,498395841644,5241463853,1046777,11041,1944,382,391866,0 89,2,2024-09-07 09:52:31:137,765314,765314,0,0,36027853,0,3173 89,3,2024-09-07 09:52:31:800,1,605,1,0,468,10122,605,0 90,0,2024-09-07 09:52:31:796,146390,0.5,150384,0.6,306842,0.4,400204,2.00 90,1,2024-09-07 09:52:30:595,1061670,1061670,0,0,497979139258,5215670804,1051573,9204,893,380,391825,0 90,2,2024-09-07 09:52:31:408,762575,762570,5,0,39577124,0,6370 90,3,2024-09-07 09:52:30:935,1,605,9,0,322,7327,605,0 91,0,2024-09-07 09:52:31:031,151357,0.4,146901,0.6,307434,0.4,403131,1.75 91,1,2024-09-07 09:52:30:556,1058871,1058871,0,0,497320375522,5240409232,1043013,12710,3148,381,392047,0 91,2,2024-09-07 09:52:31:341,763316,763316,0,0,34704699,0,4713 91,3,2024-09-07 09:52:30:602,1,605,1,0,216,5423,605,0 92,0,2024-09-07 09:52:31:484,154320,0.5,157716,0.6,300629,0.4,408162,1.75 92,1,2024-09-07 09:52:30:588,1062505,1062505,0,0,499167307301,5213101084,1054139,7078,1288,381,392136,0 92,2,2024-09-07 09:52:31:353,766259,766259,0,0,30457351,0,3259 92,3,2024-09-07 09:52:31:012,1,605,10,0,167,5216,605,0 93,0,2024-09-07 09:52:30:965,152016,0.4,155717,0.6,297395,0.4,402819,1.75 93,1,2024-09-07 09:52:30:805,1062921,1062921,0,0,498650591793,5203432324,1051384,9609,1928,365,392048,0 93,2,2024-09-07 09:52:30:939,766009,766009,0,0,36256953,0,4913 93,3,2024-09-07 09:52:31:406,1,605,1,0,294,5916,605,0 94,0,2024-09-07 09:52:31:652,150525,0.4,151636,0.5,303283,0.3,402525,1.75 94,1,2024-09-07 09:52:30:571,1063579,1063579,0,0,498736216386,5200123234,1056630,6515,434,381,391850,0 94,2,2024-09-07 09:52:30:804,765294,765266,28,0,31182495,0,6179 94,3,2024-09-07 09:52:31:688,1,605,1,0,576,7462,605,0 95,0,2024-09-07 09:52:31:372,151614,0.3,151617,0.5,303472,0.3,403858,1.75 95,1,2024-09-07 09:52:30:860,1064551,1064551,0,0,499465747279,5189663612,1056114,7688,749,365,391852,0 95,2,2024-09-07 09:52:31:020,764182,764182,0,0,30633374,0,3308 95,3,2024-09-07 09:52:31:709,1,605,2,0,718,9420,605,0 96,0,2024-09-07 09:52:31:045,152451,0.4,152889,0.5,305518,0.3,406462,1.75 96,1,2024-09-07 09:52:31:598,1063133,1063133,0,0,498528213130,5199092451,1055749,6111,1273,384,391964,0 96,2,2024-09-07 09:52:31:270,766534,766534,0,0,31826342,0,4180 96,3,2024-09-07 09:52:31:139,1,605,3,0,411,6973,605,0 97,0,2024-09-07 09:52:31:344,151401,0.3,151163,0.5,303265,0.3,402374,1.75 97,1,2024-09-07 09:52:30:772,1064393,1064393,0,0,499841626775,5186665441,1057463,5892,1038,367,392140,0 97,2,2024-09-07 09:52:30:633,766795,766795,0,0,31486159,0,4046 97,3,2024-09-07 09:52:30:579,1,605,9,0,242,6837,605,0 98,0,2024-09-07 09:52:31:813,151524,0.3,151642,0.5,304380,0.2,404749,1.50 98,1,2024-09-07 09:52:30:571,1063246,1063246,0,0,498533945830,5192832536,1056757,5654,835,381,391997,0 98,2,2024-09-07 09:52:30:770,765518,765518,0,0,30244384,0,4336 98,3,2024-09-07 09:52:30:698,1,605,14,0,840,9114,605,0 99,0,2024-09-07 09:52:31:686,151547,0.3,152431,0.5,303256,0.3,404653,1.75 99,1,2024-09-07 09:52:31:765,1064285,1064285,0,0,498712529698,5189280215,1057916,5427,942,380,392069,0 99,2,2024-09-07 09:52:31:425,765233,765233,0,0,37461597,0,4276 99,3,2024-09-07 09:52:30:593,1,605,1,0,1124,7775,605,0 100,0,2024-09-07 09:52:31:512,151799,0.7,152034,0.9,303943,0.8,405865,2.25 100,1,2024-09-07 09:52:30:556,1057832,1057832,0,0,495997983803,5243839631,1041810,12705,3317,378,391989,0 100,2,2024-09-07 09:52:31:820,760262,759873,389,0,40701038,0,16909 100,3,2024-09-07 09:52:31:735,1,605,4,0,627,10103,605,0 101,0,2024-09-07 09:52:31:731,154882,1.1,151075,1.1,295618,1.1,404127,2.25 101,1,2024-09-07 09:52:30:565,1059829,1059829,0,0,497734666275,5230538078,1045684,11617,2528,368,391847,0 101,2,2024-09-07 09:52:31:763,760952,760952,0,0,40685154,0,4871 101,3,2024-09-07 09:52:30:948,1,605,12,0,1250,9049,605,0 102,0,2024-09-07 09:52:30:989,147663,0.7,151971,0.8,308907,0.7,404388,2.25 102,1,2024-09-07 09:52:31:151,1059603,1059603,0,0,497027789320,5221578616,1045475,11929,2199,369,391984,0 102,2,2024-09-07 09:52:31:737,766686,766632,54,0,34809113,0,6768 102,3,2024-09-07 09:52:31:616,1,605,8,0,466,6931,605,0 103,0,2024-09-07 09:52:31:689,156136,0.6,156189,0.7,294183,0.6,405013,2.00 103,1,2024-09-07 09:52:31:638,1058284,1058284,0,0,496983316715,5249585879,1041198,13483,3603,381,392077,0 103,2,2024-09-07 09:52:30:585,763117,763117,0,0,37594067,0,3766 103,3,2024-09-07 09:52:30:761,1,605,9,0,916,7124,605,0 104,0,2024-09-07 09:52:31:069,150772,0.7,151008,0.9,301348,0.7,403105,2.25 104,1,2024-09-07 09:52:31:603,1061016,1061016,0,0,497620767937,5228798668,1045482,12690,2844,365,392168,0 104,2,2024-09-07 09:52:31:669,760092,760092,0,0,37055605,0,4161 104,3,2024-09-07 09:52:31:416,1,605,8,0,1245,10666,605,0 105,0,2024-09-07 09:52:31:045,150469,0.9,146665,1.1,307333,1.0,404210,2.75 105,1,2024-09-07 09:52:30:556,1062541,1062541,0,0,498258729938,5220454830,1048972,11627,1942,364,392009,0 105,2,2024-09-07 09:52:31:321,764926,764926,0,0,37251951,0,4360 105,3,2024-09-07 09:52:31:311,1,605,1,0,573,9190,605,0 106,0,2024-09-07 09:52:31:013,145832,1.0,149373,1.0,305963,1.2,399374,2.50 106,1,2024-09-07 09:52:31:781,1061441,1061441,0,0,497212750010,5218536395,1046777,12769,1895,368,391914,0 106,2,2024-09-07 09:52:30:780,764467,764467,0,0,35155318,0,3331 106,3,2024-09-07 09:52:30:681,1,605,0,0,1224,8426,605,0 107,0,2024-09-07 09:52:31:139,150932,0.9,151012,0.9,301978,1.1,402480,2.25 107,1,2024-09-07 09:52:30:589,1058422,1058422,0,0,496311139420,5245244911,1041045,15243,2134,381,392234,0 107,2,2024-09-07 09:52:31:294,762018,762017,1,0,38262103,0,5024 107,3,2024-09-07 09:52:31:756,1,605,1,0,733,9305,605,0 108,0,2024-09-07 09:52:31:773,150847,0.4,151268,0.6,301514,0.4,401835,1.75 108,1,2024-09-07 09:52:31:312,1062635,1062635,0,0,498846532474,5202101562,1053939,7701,995,367,391894,0 108,2,2024-09-07 09:52:31:758,763998,763998,0,0,32849983,0,4246 108,3,2024-09-07 09:52:31:345,1,605,2,0,749,10885,605,0 109,0,2024-09-07 09:52:31:749,153034,0.4,151793,0.6,304267,0.3,406662,1.75 109,1,2024-09-07 09:52:30:600,1059869,1059869,0,0,498516182418,5228342836,1050390,8201,1278,382,392132,0 109,2,2024-09-07 09:52:30:934,763888,763888,0,0,33713340,0,3617 109,3,2024-09-07 09:52:31:159,1,605,1,0,630,7352,605,0 110,0,2024-09-07 09:52:31:781,150868,0.4,146693,0.6,307261,0.4,402571,1.75 110,1,2024-09-07 09:52:31:651,1064476,1064476,0,0,499587780655,5185739310,1056432,6117,1927,368,392045,0 110,2,2024-09-07 09:52:31:308,766271,766271,0,0,31203243,0,4067 110,3,2024-09-07 09:52:30:692,1,605,4,0,722,8048,605,0 111,0,2024-09-07 09:52:31:440,152544,0.4,151752,0.5,303377,0.3,404345,1.75 111,1,2024-09-07 09:52:31:000,1065676,1065676,0,0,500116056972,5185852316,1060193,5102,381,380,391690,0 111,2,2024-09-07 09:52:31:120,765551,765551,0,0,31712573,0,4823 111,3,2024-09-07 09:52:30:913,1,605,1,0,379,6540,605,0 112,0,2024-09-07 09:52:30:928,152629,0.3,152202,0.4,304881,0.2,404827,1.50 112,1,2024-09-07 09:52:30:830,1064388,1064388,0,0,499161892144,5183572947,1057186,5977,1225,380,391624,0 112,2,2024-09-07 09:52:31:134,765402,765401,1,0,30125639,0,5036 112,3,2024-09-07 09:52:30:595,1,605,1,0,282,5741,605,0 113,0,2024-09-07 09:52:30:878,152032,0.3,151970,0.5,304313,0.2,405405,1.75 113,1,2024-09-07 09:52:31:721,1066707,1066707,0,0,501645386982,5175777684,1060476,5283,948,365,391664,0 113,2,2024-09-07 09:52:31:311,767754,767754,0,0,28559151,0,3813 113,3,2024-09-07 09:52:30:686,1,605,2,0,510,6504,605,0 114,0,2024-09-07 09:52:30:872,152415,0.3,153333,0.5,305750,0.2,408301,1.75 114,1,2024-09-07 09:52:30:716,1064821,1064821,0,0,499485409944,5186633544,1056879,6195,1747,381,391565,0 114,2,2024-09-07 09:52:30:873,767830,767829,1,0,29766650,0,5069 114,3,2024-09-07 09:52:31:279,1,605,1,0,395,4870,605,0 115,0,2024-09-07 09:52:30:553,152550,0.3,153193,0.4,304885,0.2,405898,1.50 115,1,2024-09-07 09:52:30:571,1065096,1065096,0,0,499842563643,5192544139,1056840,6739,1517,382,391757,0 115,2,2024-09-07 09:52:31:125,767990,767990,0,0,29858214,0,4382 115,3,2024-09-07 09:52:31:004,1,605,9,0,167,3724,605,0 116,0,2024-09-07 09:52:31:719,150713,0.6,150546,0.8,301767,0.6,403124,2.00 116,1,2024-09-07 09:52:30:813,1058969,1058969,0,0,496736676505,5235536147,1046316,9562,3091,380,392089,0 116,2,2024-09-07 09:52:31:757,764928,764928,0,0,37357739,0,4475 116,3,2024-09-07 09:52:30:914,1,605,1,0,448,7794,605,0 117,0,2024-09-07 09:52:31:002,152011,0.7,151313,0.8,303250,0.7,405642,2.00 117,1,2024-09-07 09:52:31:593,1060903,1060903,0,0,497238787285,5207699354,1049123,10257,1523,369,392429,0 117,2,2024-09-07 09:52:31:127,764549,764549,0,0,33724177,0,4303 117,3,2024-09-07 09:52:31:065,1,605,2,0,490,7694,605,0 118,0,2024-09-07 09:52:31:787,147494,0.5,151380,0.7,309178,0.5,404512,2.00 118,1,2024-09-07 09:52:30:602,1060075,1060075,0,0,497078358159,5226924633,1044234,12218,3623,366,392054,0 118,2,2024-09-07 09:52:31:588,764591,764591,0,0,36001800,0,2842 118,3,2024-09-07 09:52:31:770,1,605,1,0,289,7174,605,0 119,0,2024-09-07 09:52:31:376,150847,0.8,151550,0.8,302276,0.9,403264,2.25 119,1,2024-09-07 09:52:30:550,1060910,1060910,0,0,497980211554,5223456029,1046213,12185,2512,367,391857,0 119,2,2024-09-07 09:52:31:273,766650,766650,0,0,33984155,0,4309 119,3,2024-09-07 09:52:31:342,1,605,14,0,1358,11103,605,0 120,0,2024-09-07 09:52:31:611,150000,0.6,149892,0.8,301189,0.7,401176,2.25 120,1,2024-09-07 09:52:30:859,1061823,1061823,0,0,497844572402,5222109196,1050121,10560,1142,367,392144,0 120,2,2024-09-07 09:52:30:770,764327,764324,3,0,40356245,0,5363 120,3,2024-09-07 09:52:31:290,1,605,1,0,279,7312,605,0 121,0,2024-09-07 09:52:31:699,151138,1.1,150582,1.0,301496,1.3,402115,2.25 121,1,2024-09-07 09:52:31:661,1061263,1061263,0,0,498071294569,5212208894,1049972,9738,1553,366,391840,0 121,2,2024-09-07 09:52:31:140,762467,762467,0,0,36771867,0,4157 121,3,2024-09-07 09:52:30:731,1,605,16,0,387,8031,605,0 122,0,2024-09-07 09:52:31:780,152042,0.8,147990,0.9,310105,0.9,407897,2.00 122,1,2024-09-07 09:52:30:858,1060301,1060301,0,0,497084674729,5218750669,1045294,12595,2412,365,392130,0 122,2,2024-09-07 09:52:31:319,766335,766260,75,0,40495577,0,5989 122,3,2024-09-07 09:52:30:603,1,605,18,0,512,9796,605,0 123,0,2024-09-07 09:52:30:973,150557,0.9,146378,0.9,306688,1.0,401505,2.25 123,1,2024-09-07 09:52:30:597,1060584,1060584,0,0,498291986338,5239478174,1043768,14144,2672,369,392039,0 123,2,2024-09-07 09:52:31:019,763527,763526,1,0,34496344,0,5215 123,3,2024-09-07 09:52:31:131,1,605,1,0,478,6916,605,0 124,0,2024-09-07 09:52:30:943,155305,0.3,155249,0.5,292732,0.3,402988,1.75 124,1,2024-09-07 09:52:31:033,1064744,1064744,0,0,498781575854,5184326773,1057009,6560,1175,365,392178,0 124,2,2024-09-07 09:52:31:009,767288,767235,53,0,31059737,0,6487 124,3,2024-09-07 09:52:30:771,1,605,1,0,490,5966,605,0 125,0,2024-09-07 09:52:31:418,151354,0.4,151393,0.5,303656,0.3,403791,1.75 125,1,2024-09-07 09:52:30:854,1061284,1061284,0,0,497777411371,5195505378,1053216,6917,1151,382,391968,0 125,2,2024-09-07 09:52:31:117,767282,767282,0,0,31213403,0,4534 125,3,2024-09-07 09:52:31:131,1,605,2,0,709,6943,605,0 126,0,2024-09-07 09:52:31:438,152695,0.4,157056,0.5,300404,0.4,407039,1.75 126,1,2024-09-07 09:52:30:586,1065384,1065384,0,0,499697449280,5172382903,1059865,5072,447,365,391987,0 126,2,2024-09-07 09:52:30:611,767177,767177,0,0,32452917,0,4539 126,3,2024-09-07 09:52:30:908,1,605,5,0,268,6632,605,0 127,0,2024-09-07 09:52:31:608,151778,0.3,151846,0.5,303023,0.3,402777,1.75 127,1,2024-09-07 09:52:30:633,1063563,1063563,0,0,499185567543,5193555274,1052887,9039,1637,364,392187,0 127,2,2024-09-07 09:52:30:644,765914,765910,4,0,30571269,0,5305 127,3,2024-09-07 09:52:31:267,1,605,2,0,968,5977,605,0 128,0,2024-09-07 09:52:31:561,152518,0.3,152533,0.4,304870,0.2,405448,1.50 128,1,2024-09-07 09:52:31:618,1063434,1063434,0,0,498597319810,5186304663,1055018,7451,965,367,392423,0 128,2,2024-09-07 09:52:31:397,767164,767164,0,0,28500278,0,3171 128,3,2024-09-07 09:52:30:771,1,605,1,0,1082,9595,605,0 129,0,2024-09-07 09:52:31:007,152852,0.3,152045,0.5,304705,0.3,405138,1.50 129,1,2024-09-07 09:52:30:571,1060327,1060327,0,0,498160323181,5220931300,1049860,8445,2022,379,391962,0 129,2,2024-09-07 09:52:30:687,767481,767477,4,0,31073836,0,5335 129,3,2024-09-07 09:52:30:687,1,605,1,0,506,8286,605,0 130,0,2024-09-07 09:52:31:743,152966,0.4,152421,0.5,305744,0.4,407107,1.75 130,1,2024-09-07 09:52:30:594,1064595,1064595,0,0,499324555702,5185855885,1059156,5042,397,381,391825,0 130,2,2024-09-07 09:52:31:135,764384,764384,0,0,31280324,0,4067 130,3,2024-09-07 09:52:31:301,1,605,0,0,960,8306,605,0 131,0,2024-09-07 09:52:31:985,151732,0.3,152110,0.5,305268,0.3,405889,1.75 131,1,2024-09-07 09:52:31:821,1063591,1063591,0,0,498754544865,5198618609,1056361,6032,1198,381,391865,0 131,2,2024-09-07 09:52:30:584,766309,766309,0,0,29028616,0,3979 131,3,2024-09-07 09:52:31:688,1,605,3,0,392,7731,605,0 132,0,2024-09-07 09:52:31:487,152042,0.5,152922,0.6,304675,0.5,405434,2.00 132,1,2024-09-07 09:52:30:583,1059065,1059065,0,0,496856360657,5231469717,1043623,12738,2704,381,392532,0 132,2,2024-09-07 09:52:30:701,765944,765927,17,0,37879627,0,6451 132,3,2024-09-07 09:52:31:693,1,605,0,0,1298,10848,605,0 133,0,2024-09-07 09:52:31:598,147425,0.4,150793,0.6,309160,0.4,402562,2.00 133,1,2024-09-07 09:52:30:583,1059688,1059688,0,0,497031818987,5236759565,1045609,12315,1764,383,391914,0 133,2,2024-09-07 09:52:31:090,765732,765682,50,0,38842398,0,6861 133,3,2024-09-07 09:52:31:296,1,605,2,0,528,7330,605,0 134,0,2024-09-07 09:52:30:982,152113,0.5,152243,0.7,304559,0.5,405346,2.00 134,1,2024-09-07 09:52:30:604,1060789,1060789,0,0,497828533524,5221558987,1047007,11294,2488,366,391781,0 134,2,2024-09-07 09:52:31:760,762808,762784,24,0,35895100,0,6207 134,3,2024-09-07 09:52:30:754,1,605,2,0,739,7449,605,0 135,0,2024-09-07 09:52:31:106,147467,0.8,147420,0.8,313015,0.9,403758,2.25 135,1,2024-09-07 09:52:31:585,1060114,1060114,0,0,497733226049,5232975105,1047086,11387,1641,380,391805,0 135,2,2024-09-07 09:52:30:687,766434,766434,0,0,36817697,0,4503 135,3,2024-09-07 09:52:31:011,1,605,0,0,900,5914,605,0 136,0,2024-09-07 09:52:31:626,151363,0.6,151927,0.8,301504,0.6,402474,2.25 136,1,2024-09-07 09:52:31:442,1061599,1061599,0,0,497363401610,5213514182,1049693,10516,1390,381,392135,0 136,2,2024-09-07 09:52:31:140,767590,767575,15,0,36091276,0,6007 136,3,2024-09-07 09:52:31:113,1,605,2,0,637,6975,605,0 137,0,2024-09-07 09:52:30:943,155967,0.6,152049,0.7,297867,0.6,404303,2.00 137,1,2024-09-07 09:52:30:579,1060435,1060435,0,0,497354860355,5217183279,1043891,13155,3389,366,391898,0 137,2,2024-09-07 09:52:31:717,763819,763819,0,0,37190605,0,3185 137,3,2024-09-07 09:52:30:771,1,605,11,0,484,8261,605,0 138,0,2024-09-07 09:52:31:745,150168,0.7,150591,0.8,301508,0.8,400307,2.00 138,1,2024-09-07 09:52:31:684,1061028,1061028,0,0,498285346626,5227028573,1046254,12504,2270,368,391954,0 138,2,2024-09-07 09:52:30:591,763066,763066,0,0,35465882,0,4988 138,3,2024-09-07 09:52:30:610,1,605,3,0,1200,9495,605,0 139,0,2024-09-07 09:52:31:419,150984,1.0,151574,0.9,302981,1.4,404955,2.25 139,1,2024-09-07 09:52:30:583,1056319,1056319,0,0,494378805803,5242390326,1037931,14563,3825,380,392109,0 139,2,2024-09-07 09:52:30:694,760639,760609,30,0,40857294,0,5997 139,3,2024-09-07 09:52:31:662,1,605,1,0,432,7166,605,0 140,0,2024-09-07 09:52:31:589,151605,0.3,150713,0.5,302337,0.2,402909,1.75 140,1,2024-09-07 09:52:31:536,1067066,1067066,0,0,500713257592,5160761839,1062095,4423,548,364,391606,0 140,2,2024-09-07 09:52:30:689,766747,766746,1,0,28924898,0,5036 140,3,2024-09-07 09:52:30:770,1,605,0,0,575,6005,605,0 141,0,2024-09-07 09:52:31:703,152063,0.3,156293,0.5,298794,0.2,404151,1.75 141,1,2024-09-07 09:52:30:859,1065571,1065571,0,0,499988787930,5193152487,1057372,7006,1193,379,391614,0 141,2,2024-09-07 09:52:31:697,766068,766057,11,0,31045250,0,5369 141,3,2024-09-07 09:52:31:047,1,605,0,0,391,6977,605,0 142,0,2024-09-07 09:52:31:372,152815,0.3,152009,0.5,304179,0.2,405794,1.50 142,1,2024-09-07 09:52:30:589,1063523,1063523,0,0,498908444607,5199592018,1056808,6207,508,382,392102,0 142,2,2024-09-07 09:52:31:313,764968,764936,32,0,31555209,0,6028 142,3,2024-09-07 09:52:31:752,1,605,1,0,484,6391,605,0 143,0,2024-09-07 09:52:31:461,151866,0.4,151864,0.5,304709,0.4,405423,1.75 143,1,2024-09-07 09:52:30:557,1065557,1065557,0,0,499163996215,5177753309,1059090,5899,568,367,391900,0 143,2,2024-09-07 09:52:30:771,765763,765763,0,0,31433370,0,3123 143,3,2024-09-07 09:52:31:140,1,605,1,0,462,7297,605,0 144,0,2024-09-07 09:52:31:563,147632,0.6,151994,0.8,309158,0.6,404902,2.00 144,1,2024-09-07 09:52:30:573,1060199,1060199,0,0,497685621834,5222302466,1049603,8602,1994,381,391733,0 144,2,2024-09-07 09:52:31:757,766992,766992,0,0,30853649,0,4443 144,3,2024-09-07 09:52:31:740,1,605,2,0,249,5966,605,0 145,0,2024-09-07 09:52:31:362,147022,0.6,146919,0.8,311895,0.5,401806,2.25 145,1,2024-09-07 09:52:30:580,1059368,1059368,0,0,497013457017,5229848660,1045342,11353,2673,382,391781,0 145,2,2024-09-07 09:52:31:435,764400,764318,82,0,36767852,0,7814 145,3,2024-09-07 09:52:30:903,1,605,9,0,622,8024,605,0 146,0,2024-09-07 09:52:31:694,151008,0.5,150283,0.7,302158,0.5,401366,2.00 146,1,2024-09-07 09:52:31:592,1060739,1060739,0,0,497615354799,5235978016,1043235,13023,4481,367,391770,0 146,2,2024-09-07 09:52:31:696,764004,763998,6,0,34956369,0,5151 146,3,2024-09-07 09:52:31:285,1,605,18,0,1520,9826,605,0 147,0,2024-09-07 09:52:31:701,151956,0.6,151607,0.7,303042,0.5,404960,2.00 147,1,2024-09-07 09:52:31:372,1064653,1064653,0,0,499262888491,5187401362,1056160,7526,967,367,391791,0 147,2,2024-09-07 09:52:31:011,762989,762989,0,0,30988842,0,2968 147,3,2024-09-07 09:52:30:913,1,605,2,0,1626,9608,605,0 0,0,2024-09-07 09:52:41:744,146801,0.6,146815,0.7,311680,0.6,402351,2.00 0,1,2024-09-07 09:52:40:802,1062986,1062986,0,0,498966709329,5222750222,1054443,7597,946,368,391896,0 0,2,2024-09-07 09:52:41:071,767868,767868,0,0,31092741,0,4480 0,3,2024-09-07 09:52:40:977,1,606,1,0,431,8682,606,0 1,0,2024-09-07 09:52:41:816,151252,0.8,150224,0.9,301837,1.0,403457,2.00 1,1,2024-09-07 09:52:40:566,1062450,1062450,0,0,497732737125,5213233925,1052973,7986,1491,370,391859,0 1,2,2024-09-07 09:52:40:655,764532,764532,0,0,30515168,0,3380 1,3,2024-09-07 09:52:41:304,1,606,1,0,269,7522,606,0 2,0,2024-09-07 09:52:41:572,152996,0.6,152780,0.7,305203,0.6,407695,2.00 2,1,2024-09-07 09:52:40:868,1065750,1065750,0,0,500237357505,5200869310,1059575,5225,950,379,391745,0 2,2,2024-09-07 09:52:41:272,767596,767596,0,0,29155972,0,3594 2,3,2024-09-07 09:52:40:690,1,606,1,0,357,5439,606,0 3,0,2024-09-07 09:52:41:747,151147,0.4,151032,0.6,301532,0.4,401830,2.00 3,1,2024-09-07 09:52:41:622,1064122,1064122,0,0,499336696184,5193121883,1056510,6907,705,379,391716,0 3,2,2024-09-07 09:52:41:142,768414,768391,23,0,30242771,0,5851 3,3,2024-09-07 09:52:41:758,1,606,0,0,484,4606,606,0 4,0,2024-09-07 09:52:41:818,146938,0.4,151012,0.5,307745,0.3,402504,1.75 4,1,2024-09-07 09:52:40:591,1059660,1059660,0,0,496650997223,5256162225,1041846,14060,3754,369,391992,0 4,2,2024-09-07 09:52:41:018,763360,763360,0,0,37068008,0,4534 4,3,2024-09-07 09:52:41:027,1,606,1,0,448,7369,606,0 5,0,2024-09-07 09:52:41:446,151767,0.4,151680,0.5,303517,0.3,403303,1.75 5,1,2024-09-07 09:52:40:758,1060603,1060603,0,0,498096052640,5258478282,1044250,12227,4126,367,392005,0 5,2,2024-09-07 09:52:41:839,762687,762687,0,0,35881987,0,3582 5,3,2024-09-07 09:52:41:742,1,606,11,0,457,8386,606,0 6,0,2024-09-07 09:52:40:958,153034,0.4,152646,0.6,305490,0.4,407127,2.00 6,1,2024-09-07 09:52:40:747,1063485,1063485,0,0,498596899423,5207841407,1052406,9505,1574,379,391702,0 6,2,2024-09-07 09:52:41:116,767150,767132,18,0,34869540,0,5535 6,3,2024-09-07 09:52:41:281,1,606,2,0,710,7395,606,0 7,0,2024-09-07 09:52:41:534,150731,0.5,151769,0.7,301328,0.5,401878,2.00 7,1,2024-09-07 09:52:40:852,1061050,1061050,0,0,497848330523,5241944124,1044723,12561,3766,382,391747,0 7,2,2024-09-07 09:52:40:770,766251,766251,0,0,33552321,0,4791 7,3,2024-09-07 09:52:40:851,1,606,1,0,552,7094,606,0 8,0,2024-09-07 09:52:41:367,152488,0.4,152236,0.5,304816,0.3,406082,1.75 8,1,2024-09-07 09:52:41:016,1060570,1060570,0,0,497968737283,5236871377,1043851,13132,3587,366,392853,0 8,2,2024-09-07 09:52:40:789,762021,762019,2,0,39699688,0,5112 8,3,2024-09-07 09:52:40:590,1,606,0,0,772,9590,606,0 9,0,2024-09-07 09:52:41:120,151747,0.4,147632,0.5,308819,0.3,405156,1.75 9,1,2024-09-07 09:52:40:551,1060567,1060567,0,0,497591808933,5244542697,1043876,13463,3228,369,392001,0 9,2,2024-09-07 09:52:41:084,765275,765274,1,0,37523471,0,5281 9,3,2024-09-07 09:52:41:753,1,606,9,0,1273,10274,606,0 10,0,2024-09-07 09:52:41:611,152387,0.3,151781,0.5,304597,0.3,405853,1.75 10,1,2024-09-07 09:52:40:587,1062227,1062227,0,0,498343096890,5228510848,1046505,12658,3064,381,391981,0 10,2,2024-09-07 09:52:40:762,765137,765137,0,0,40144148,0,4713 10,3,2024-09-07 09:52:40:872,1,606,1,0,669,6830,606,0 11,0,2024-09-07 09:52:41:008,152012,0.4,147500,0.6,308567,0.4,406934,1.75 11,1,2024-09-07 09:52:40:571,1063604,1063604,0,0,498462234993,5229224545,1047780,11521,4303,383,391766,0 11,2,2024-09-07 09:52:41:123,765788,765788,0,0,35573019,0,4698 11,3,2024-09-07 09:52:41:302,1,606,0,0,843,7804,606,0 12,0,2024-09-07 09:52:40:961,153153,0.4,153055,0.5,305684,0.4,406804,1.75 12,1,2024-09-07 09:52:40:933,1063584,1063584,0,0,498813451665,5201332354,1055206,7370,1008,370,391960,0 12,2,2024-09-07 09:52:41:540,765995,765995,0,0,34283585,0,4390 12,3,2024-09-07 09:52:41:068,1,606,1,0,386,7565,606,0 13,0,2024-09-07 09:52:41:333,152246,0.4,152309,0.5,303623,0.4,404620,1.75 13,1,2024-09-07 09:52:41:523,1061045,1061045,0,0,498489340481,5238622247,1050151,8504,2390,382,391803,0 13,2,2024-09-07 09:52:40:600,768747,768747,0,0,30676195,0,3287 13,3,2024-09-07 09:52:41:767,1,606,1,0,522,7980,606,0 14,0,2024-09-07 09:52:40:567,151961,0.4,153083,0.6,303779,0.3,404920,1.75 14,1,2024-09-07 09:52:41:574,1068398,1068398,0,0,500734271847,5181085031,1059939,7330,1129,364,391673,0 14,2,2024-09-07 09:52:40:764,764794,764764,30,0,32047158,0,6104 14,3,2024-09-07 09:52:41:119,1,606,0,0,1168,6606,606,0 15,0,2024-09-07 09:52:41:551,152622,0.4,151826,0.6,304260,0.4,405982,2.00 15,1,2024-09-07 09:52:41:623,1065283,1065283,0,0,499900952602,5205260080,1056209,7161,1913,381,391619,0 15,2,2024-09-07 09:52:41:001,769052,769052,0,0,27771997,0,3622 15,3,2024-09-07 09:52:41:404,1,606,1,0,1126,7891,606,0 16,0,2024-09-07 09:52:40:975,150996,0.6,151682,0.8,302810,0.6,403003,2.00 16,1,2024-09-07 09:52:40:563,1064836,1064836,0,0,498747551959,5213807121,1055399,7993,1444,370,392194,0 16,2,2024-09-07 09:52:41:440,766805,766805,0,0,31680399,0,4719 16,3,2024-09-07 09:52:41:161,1,606,6,0,358,7581,606,0 17,0,2024-09-07 09:52:41:773,155820,0.6,151919,0.8,297597,0.6,404458,2.00 17,1,2024-09-07 09:52:40:576,1062797,1062797,0,0,497916481090,5222200742,1052502,8523,1772,368,392075,0 17,2,2024-09-07 09:52:41:680,769174,769173,1,0,32956474,0,5050 17,3,2024-09-07 09:52:40:574,1,606,1,0,518,8797,606,0 18,0,2024-09-07 09:52:40:953,149694,0.6,150611,0.8,299729,0.6,400253,2.25 18,1,2024-09-07 09:52:41:639,1067354,1067354,0,0,500123008985,5186794431,1060291,5806,1257,367,391725,0 18,2,2024-09-07 09:52:41:756,767351,767351,0,0,29267017,0,3541 18,3,2024-09-07 09:52:40:896,1,606,1,0,1059,5566,606,0 19,0,2024-09-07 09:52:41:548,152295,0.6,152580,0.8,303948,0.6,404729,2.00 19,1,2024-09-07 09:52:40:569,1067042,1067042,0,0,500709744238,5189158366,1059240,6669,1133,365,391777,0 19,2,2024-09-07 09:52:41:751,770208,770208,0,0,27721965,0,3988 19,3,2024-09-07 09:52:41:130,1,606,0,0,524,4619,606,0 20,0,2024-09-07 09:52:41:367,151092,0.6,150988,0.7,301686,0.6,402228,2.00 20,1,2024-09-07 09:52:40:567,1062763,1062763,0,0,498976174895,5228685901,1052022,9234,1507,369,391922,0 20,2,2024-09-07 09:52:40:928,766585,766585,0,0,34548248,0,4321 20,3,2024-09-07 09:52:40:596,1,606,0,0,468,9763,606,0 21,0,2024-09-07 09:52:41:181,151979,0.5,152055,0.6,303818,0.4,403417,2.00 21,1,2024-09-07 09:52:41:546,1061051,1061051,0,0,497087418649,5247439310,1044180,13035,3836,368,392016,0 21,2,2024-09-07 09:52:41:071,760537,760060,477,0,45127676,0,17074 21,3,2024-09-07 09:52:41:407,1,606,11,0,713,8677,606,0 22,0,2024-09-07 09:52:41:722,151938,0.5,152550,0.6,304490,0.4,404053,2.00 22,1,2024-09-07 09:52:41:024,1061621,1061621,0,0,496625185647,5227555637,1043804,14277,3540,382,391822,0 22,2,2024-09-07 09:52:40:765,764697,764671,26,0,32866831,0,6328 22,3,2024-09-07 09:52:41:069,1,606,1,0,228,5259,606,0 23,0,2024-09-07 09:52:41:367,151976,0.5,151710,0.7,303457,0.4,404861,2.00 23,1,2024-09-07 09:52:41:002,1062091,1062091,0,0,499407158071,5261905898,1042618,12895,6578,365,391690,0 23,2,2024-09-07 09:52:41:096,767375,767375,0,0,31583061,0,3773 23,3,2024-09-07 09:52:41:754,1,606,11,0,855,8869,606,0 24,0,2024-09-07 09:52:40:867,153375,0.4,152561,0.6,306777,0.4,407214,1.75 24,1,2024-09-07 09:52:40:591,1062500,1062500,0,0,497718105440,5209745608,1052433,8357,1710,367,392269,0 24,2,2024-09-07 09:52:41:071,766297,766294,3,0,38059117,0,6294 24,3,2024-09-07 09:52:41:692,1,606,2,0,468,7736,606,0 25,0,2024-09-07 09:52:41:421,156460,0.4,152341,0.6,299067,0.4,407534,2.00 25,1,2024-09-07 09:52:40:567,1060491,1060491,0,0,497283886369,5254134698,1041726,15051,3714,369,391928,0 25,2,2024-09-07 09:52:41:606,764560,764560,0,0,38594670,0,3978 25,3,2024-09-07 09:52:41:012,1,606,2,0,532,6554,606,0 26,0,2024-09-07 09:52:41:727,150463,0.4,147145,0.6,308994,0.3,402259,1.75 26,1,2024-09-07 09:52:41:540,1064161,1064161,0,0,498794359650,5221738507,1049824,11804,2533,380,391758,0 26,2,2024-09-07 09:52:40:871,765964,765964,0,0,41384679,0,4689 26,3,2024-09-07 09:52:41:712,1,606,1,0,796,7578,606,0 27,0,2024-09-07 09:52:41:726,152175,0.4,152799,0.6,303831,0.3,405714,2.25 27,1,2024-09-07 09:52:41:677,1065056,1065056,0,0,500394531829,5214588381,1054657,8790,1609,381,391626,0 27,2,2024-09-07 09:52:40:871,761171,761106,65,0,36006619,0,5699 27,3,2024-09-07 09:52:41:015,1,606,5,0,564,5242,606,0 28,0,2024-09-07 09:52:41:387,152476,0.4,152592,0.6,305409,0.3,406720,1.75 28,1,2024-09-07 09:52:40:797,1065637,1065637,0,0,500573921244,5217408924,1056698,7048,1891,382,391904,0 28,2,2024-09-07 09:52:41:764,767333,767333,0,0,30981167,0,2915 28,3,2024-09-07 09:52:41:778,1,606,1,0,502,6022,606,0 29,0,2024-09-07 09:52:41:359,155863,0.4,151710,0.6,297872,0.4,406290,1.75 29,1,2024-09-07 09:52:41:561,1068654,1068654,0,0,501067024784,5181477857,1062295,5583,776,367,391809,0 29,2,2024-09-07 09:52:40:867,767496,767496,0,0,30155694,0,4986 29,3,2024-09-07 09:52:40:964,1,606,0,0,459,6507,606,0 30,0,2024-09-07 09:52:41:480,150275,0.5,146330,0.7,306393,0.4,400240,2.00 30,1,2024-09-07 09:52:40:571,1067755,1067755,0,0,501201479641,5198627188,1060184,6660,911,380,391672,0 30,2,2024-09-07 09:52:41:274,767996,767996,0,0,28776563,0,4192 30,3,2024-09-07 09:52:40:584,1,606,0,0,519,5620,606,0 31,0,2024-09-07 09:52:41:762,151019,0.4,151796,0.6,302564,0.4,404119,1.75 31,1,2024-09-07 09:52:40:564,1071032,1071032,0,0,501969484364,5154222576,1064572,5386,1074,356,391712,0 31,2,2024-09-07 09:52:41:275,763666,763666,0,0,32277196,0,4470 31,3,2024-09-07 09:52:41:715,1,606,1,0,239,5186,606,0 32,0,2024-09-07 09:52:41:436,153072,0.3,154235,0.5,306822,0.3,409236,1.75 32,1,2024-09-07 09:52:40:814,1067156,1067156,0,0,500604169471,5201389803,1060978,5460,718,381,391646,0 32,2,2024-09-07 09:52:40:936,769189,769189,0,0,28550594,0,3922 32,3,2024-09-07 09:52:41:021,1,606,12,0,304,4924,606,0 33,0,2024-09-07 09:52:41:501,151645,0.3,151394,0.4,302989,0.2,402811,1.50 33,1,2024-09-07 09:52:40:578,1067542,1067542,0,0,501394103049,5193109499,1059094,7175,1273,368,391730,0 33,2,2024-09-07 09:52:40:759,768484,768449,35,0,31676708,0,7012 33,3,2024-09-07 09:52:40:895,1,606,1,0,329,5302,606,0 34,0,2024-09-07 09:52:40:929,151541,0.3,155630,0.5,297729,0.2,401864,1.75 34,1,2024-09-07 09:52:41:044,1069441,1069441,0,0,502182239447,5165575013,1066480,2851,110,367,391637,0 34,2,2024-09-07 09:52:40:766,767262,767262,0,0,30233799,0,4562 34,3,2024-09-07 09:52:41:692,1,606,1,0,541,5513,606,0 35,0,2024-09-07 09:52:40:864,150797,0.3,151668,0.5,304218,0.2,403735,1.75 35,1,2024-09-07 09:52:41:067,1065403,1065403,0,0,500700722459,5196215596,1057294,6586,1523,382,391769,0 35,2,2024-09-07 09:52:41:582,766179,766179,0,0,33120531,0,4055 35,3,2024-09-07 09:52:40:907,1,606,1,0,466,5690,606,0 36,0,2024-09-07 09:52:41:514,153317,0.5,153364,0.7,306048,0.4,407940,2.00 36,1,2024-09-07 09:52:40:585,1064358,1064358,0,0,500218934524,5223126630,1050777,11341,2240,366,391759,0 36,2,2024-09-07 09:52:41:758,767288,767288,0,0,34611252,0,3875 36,3,2024-09-07 09:52:40:872,1,606,1,0,556,8288,606,0 37,0,2024-09-07 09:52:41:370,150610,0.5,150753,0.7,301682,0.5,402189,2.25 37,1,2024-09-07 09:52:40:584,1064215,1064208,0,7,499351495712,5214740479,1051553,9742,2913,365,391770,0 37,2,2024-09-07 09:52:41:142,764203,764188,15,0,34497005,0,5815 37,3,2024-09-07 09:52:41:781,1,606,8,0,888,8066,606,0 38,0,2024-09-07 09:52:41:437,151342,0.5,146693,0.7,306680,0.4,401880,2.00 38,1,2024-09-07 09:52:41:605,1064033,1064033,0,0,500176135846,5231793262,1048701,12332,3000,368,391821,0 38,2,2024-09-07 09:52:40:760,766213,766166,47,0,34753056,0,6710 38,3,2024-09-07 09:52:41:001,1,606,0,0,689,7431,606,0 39,0,2024-09-07 09:52:41:771,155195,0.5,151768,0.7,295793,0.5,404159,2.00 39,1,2024-09-07 09:52:40:716,1063536,1063536,0,0,499170643352,5233006428,1046090,13731,3715,365,391865,0 39,2,2024-09-07 09:52:41:416,766640,766640,0,0,32900835,0,3391 39,3,2024-09-07 09:52:40:713,1,606,1,0,525,6833,606,0 40,0,2024-09-07 09:52:41:488,150997,0.8,151726,0.9,302810,0.9,404155,2.75 40,1,2024-09-07 09:52:40:577,1064105,1064105,0,0,498285699118,5220023414,1048496,12541,3068,368,391668,0 40,2,2024-09-07 09:52:41:304,762991,762984,7,0,39092225,0,5347 40,3,2024-09-07 09:52:41:147,1,606,0,0,1028,8885,606,0 41,0,2024-09-07 09:52:41:026,151213,1.3,154728,1.1,295112,1.9,401829,3.25 41,1,2024-09-07 09:52:40:768,1063441,1063441,0,0,498914731963,5219579505,1049669,11612,2160,369,391878,0 41,2,2024-09-07 09:52:40:759,763465,763464,1,0,37348489,0,5408 41,3,2024-09-07 09:52:41:679,1,606,1,0,366,6504,606,0 42,0,2024-09-07 09:52:41:476,151159,1.0,150788,1.0,301581,1.1,400666,2.75 42,1,2024-09-07 09:52:41:442,1061228,1061228,0,0,497125068431,5224472587,1044647,13649,2932,380,391675,0 42,2,2024-09-07 09:52:41:132,765274,765273,1,0,37703199,0,5513 42,3,2024-09-07 09:52:41:008,1,606,1,0,892,5645,606,0 43,0,2024-09-07 09:52:40:916,149899,0.7,145965,0.9,305439,0.7,399607,2.25 43,1,2024-09-07 09:52:40:576,1064834,1064834,0,0,498669148656,5210946955,1050095,12167,2572,366,391696,0 43,2,2024-09-07 09:52:41:738,767285,767285,0,0,35601113,0,4723 43,3,2024-09-07 09:52:41:755,1,606,3,0,571,8861,606,0 44,0,2024-09-07 09:52:40:871,152445,0.4,152302,0.6,304778,0.3,405447,1.75 44,1,2024-09-07 09:52:40:565,1067931,1067931,0,0,500420034610,5172225438,1058564,7426,1941,356,391809,0 44,2,2024-09-07 09:52:41:269,762429,762429,0,0,28835181,0,4344 44,3,2024-09-07 09:52:41:093,1,606,1,0,1097,7443,606,0 45,0,2024-09-07 09:52:41:761,150830,0.5,147406,0.7,309457,0.4,406111,2.00 45,1,2024-09-07 09:52:41:012,1066403,1066403,0,0,500357903776,5198452666,1058148,7352,903,382,391917,0 45,2,2024-09-07 09:52:41:268,768076,768076,0,0,29236578,0,3596 45,3,2024-09-07 09:52:40:934,1,606,2,0,531,5752,606,0 46,0,2024-09-07 09:52:40:951,150382,0.6,149911,0.8,300793,0.6,399640,2.25 46,1,2024-09-07 09:52:40:575,1068838,1068838,0,0,501750524481,5185564125,1061344,6506,988,366,391709,0 46,2,2024-09-07 09:52:40:592,768616,768616,0,0,29910340,0,4443 46,3,2024-09-07 09:52:41:133,1,606,1,0,908,7196,606,0 47,0,2024-09-07 09:52:41:100,151615,0.4,151480,0.6,304141,0.4,402703,2.00 47,1,2024-09-07 09:52:40:568,1069342,1069342,0,0,501534115756,5180020055,1063243,5237,862,364,391641,0 47,2,2024-09-07 09:52:40:908,770036,770036,0,0,28951367,0,4477 47,3,2024-09-07 09:52:41:119,1,606,0,0,600,6595,606,0 48,0,2024-09-07 09:52:41:489,151417,0.3,151407,0.4,302545,0.2,402089,1.50 48,1,2024-09-07 09:52:41:032,1066979,1066979,0,0,500208984508,5193923883,1060876,5525,578,381,391710,0 48,2,2024-09-07 09:52:40:698,765682,765682,0,0,27526722,0,3524 48,3,2024-09-07 09:52:40:753,1,606,1,0,339,5367,606,0 49,0,2024-09-07 09:52:41:721,156941,0.3,153728,0.5,299317,0.3,408877,1.75 49,1,2024-09-07 09:52:41:021,1065810,1065810,0,0,500639295540,5206964177,1058538,5576,1696,382,391809,0 49,2,2024-09-07 09:52:41:798,768847,768847,0,0,29775433,0,4426 49,3,2024-09-07 09:52:41:418,1,606,2,0,992,7184,606,0 50,0,2024-09-07 09:52:41:507,151739,0.3,150048,0.5,302406,0.2,402049,1.75 50,1,2024-09-07 09:52:41:009,1068812,1068812,0,0,501936099424,5187808829,1062286,5857,669,368,391691,0 50,2,2024-09-07 09:52:41:071,766174,766174,0,0,27590743,0,4490 50,3,2024-09-07 09:52:41:291,1,606,1,0,617,6199,606,0 51,0,2024-09-07 09:52:41:685,155912,0.3,152579,0.5,297181,0.2,405077,1.75 51,1,2024-09-07 09:52:41:684,1069342,1069342,0,0,502798209599,5183778209,1063681,4580,1081,365,391706,0 51,2,2024-09-07 09:52:41:316,766972,766972,0,0,27116960,0,3337 51,3,2024-09-07 09:52:41:027,1,606,1,0,678,4406,606,0 52,0,2024-09-07 09:52:41:412,152616,0.5,152217,0.6,304891,0.4,405014,2.00 52,1,2024-09-07 09:52:40:578,1064176,1064176,0,0,499300170773,5226151795,1049031,12815,2330,368,391805,0 52,2,2024-09-07 09:52:41:761,763087,763049,38,0,36702127,0,6742 52,3,2024-09-07 09:52:40:677,1,606,2,0,1782,7119,606,0 53,0,2024-09-07 09:52:41:737,151639,0.6,147200,0.8,308128,0.7,403882,2.25 53,1,2024-09-07 09:52:40:771,1062596,1062596,0,0,498209327333,5228523085,1044412,13789,4395,367,391968,0 53,2,2024-09-07 09:52:41:303,766418,766417,1,0,33005642,0,5455 53,3,2024-09-07 09:52:40:704,1,606,8,0,308,5670,606,0 54,0,2024-09-07 09:52:41:641,150775,0.6,150950,0.8,300667,0.5,401596,2.25 54,1,2024-09-07 09:52:40:583,1065781,1065781,0,0,500532874492,5205917038,1054667,9443,1671,366,391810,0 54,2,2024-09-07 09:52:40:867,767396,767364,32,0,36754348,0,6397 54,3,2024-09-07 09:52:40:763,1,606,1,0,676,7833,606,0 55,0,2024-09-07 09:52:41:762,146872,0.6,151558,0.8,307162,0.6,400303,2.50 55,1,2024-09-07 09:52:40:764,1066120,1066120,0,0,500400041033,5204122088,1055255,9476,1389,365,391731,0 55,2,2024-09-07 09:52:40:730,765458,765402,56,0,34183535,0,7239 55,3,2024-09-07 09:52:40:677,1,606,0,0,304,5557,606,0 56,0,2024-09-07 09:52:41:554,154181,1.1,145248,1.1,299480,1.4,400843,2.75 56,1,2024-09-07 09:52:40:570,1059252,1059252,0,0,497303723349,5259967317,1041283,14280,3689,381,391867,0 56,2,2024-09-07 09:52:41:308,765395,765273,122,0,36378985,0,7432 56,3,2024-09-07 09:52:41:060,1,606,2,0,705,6969,606,0 57,0,2024-09-07 09:52:40:969,150597,1.4,150210,1.2,300918,1.9,402129,3.00 57,1,2024-09-07 09:52:41:039,1061830,1061830,0,0,498417119917,5231749648,1047407,11933,2490,366,392032,0 57,2,2024-09-07 09:52:41:316,763904,763904,0,0,37951453,0,4804 57,3,2024-09-07 09:52:41:743,1,606,0,0,455,7058,606,0 58,0,2024-09-07 09:52:40:560,150027,0.9,145812,1.0,304933,1.2,399130,2.50 58,1,2024-09-07 09:52:40:577,1063434,1063431,0,3,498948248221,5230662209,1047285,12244,3902,367,391726,3 58,2,2024-09-07 09:52:41:073,767297,767297,0,0,35627285,0,3483 58,3,2024-09-07 09:52:41:071,1,606,4,0,1043,6751,606,0 59,0,2024-09-07 09:52:41:744,150128,0.9,149681,1.0,299050,1.0,397291,3.00 59,1,2024-09-07 09:52:40:804,1062300,1062300,0,0,498731544241,5241191213,1044478,14154,3668,369,391653,0 59,2,2024-09-07 09:52:40:586,767410,767410,0,0,33729297,0,3727 59,3,2024-09-07 09:52:41:738,1,606,1,0,1015,7433,606,0 60,0,2024-09-07 09:52:41:834,150896,0.5,151033,0.7,302377,0.5,402581,1.75 60,1,2024-09-07 09:52:40:773,1067077,1067077,0,0,500790243492,5203457774,1059123,6867,1087,370,392031,0 60,2,2024-09-07 09:52:41:141,767328,767328,0,0,31804079,0,3811 60,3,2024-09-07 09:52:41:270,1,606,9,0,409,6988,606,0 61,0,2024-09-07 09:52:41:498,151200,0.6,151693,0.8,301997,0.6,403459,2.00 61,1,2024-09-07 09:52:40:772,1064351,1064351,0,0,499894586718,5231752912,1053217,9454,1680,382,392127,0 61,2,2024-09-07 09:52:41:115,764595,764528,67,0,32367419,0,6411 61,3,2024-09-07 09:52:41:694,1,606,1,0,607,7857,606,0 62,0,2024-09-07 09:52:41:708,153750,0.6,157538,0.7,300937,0.6,409100,2.00 62,1,2024-09-07 09:52:41:110,1070534,1070528,0,6,502114235131,5175641345,1065560,4669,299,365,391975,6 62,2,2024-09-07 09:52:41:644,765755,765754,1,0,32391028,0,5555 62,3,2024-09-07 09:52:41:161,1,606,0,0,482,4832,606,0 63,0,2024-09-07 09:52:41:490,151757,0.4,151459,0.6,303464,0.4,403124,1.75 63,1,2024-09-07 09:52:40:815,1066978,1066972,0,6,500972692521,5201030742,1060126,5991,855,381,391800,6 63,2,2024-09-07 09:52:40:764,767858,767858,0,0,30771927,0,4369 63,3,2024-09-07 09:52:41:733,1,606,14,0,667,6218,606,0 64,0,2024-09-07 09:52:41:516,150588,0.5,150448,0.6,300695,0.4,400445,2.00 64,1,2024-09-07 09:52:40:751,1065843,1065843,0,0,500485171909,5212081443,1056499,7367,1977,370,391794,0 64,2,2024-09-07 09:52:41:141,770276,770257,19,0,29913294,0,6121 64,3,2024-09-07 09:52:41:147,1,606,1,0,651,6917,606,0 65,0,2024-09-07 09:52:41:692,150447,0.6,150795,0.7,301227,0.6,401105,2.00 65,1,2024-09-07 09:52:40:872,1064015,1064015,0,0,498143379645,5205952362,1056535,6607,873,381,391901,0 65,2,2024-09-07 09:52:41:693,765029,765029,0,0,35914152,0,3367 65,3,2024-09-07 09:52:41:690,1,606,0,0,782,6701,606,0 66,0,2024-09-07 09:52:41:762,152373,0.5,151955,0.7,303932,0.5,404945,2.00 66,1,2024-09-07 09:52:41:292,1066431,1066431,0,0,499878825629,5200569728,1059928,5781,722,380,391743,0 66,2,2024-09-07 09:52:41:134,769647,769644,3,0,31933663,0,5455 66,3,2024-09-07 09:52:41:089,1,606,0,0,291,5116,606,0 67,0,2024-09-07 09:52:41:412,151310,0.6,150886,0.7,302845,0.5,402770,2.00 67,1,2024-09-07 09:52:40:767,1065722,1065721,0,1,500790402432,5213012773,1058322,6454,945,380,391787,1 67,2,2024-09-07 09:52:40:589,769495,769480,15,0,31716267,0,6205 67,3,2024-09-07 09:52:41:751,1,606,1,0,595,6315,606,0 68,0,2024-09-07 09:52:40:580,152239,0.6,152023,0.7,302791,0.6,405225,2.00 68,1,2024-09-07 09:52:40:576,1061470,1061470,0,0,497637040044,5231710825,1047665,10275,3530,381,391953,0 68,2,2024-09-07 09:52:41:044,762690,762590,100,0,39873400,0,8578 68,3,2024-09-07 09:52:40:728,1,606,0,0,417,7787,606,0 69,0,2024-09-07 09:52:41:723,151231,0.6,151900,0.8,302924,0.6,402895,2.25 69,1,2024-09-07 09:52:41:016,1060610,1060610,0,0,498413511159,5251993378,1046746,11031,2833,383,391994,0 69,2,2024-09-07 09:52:41:736,763958,763929,29,0,42406875,0,6912 69,3,2024-09-07 09:52:40:761,1,606,1,0,698,8662,606,0 70,0,2024-09-07 09:52:41:539,150810,0.7,151430,0.9,304260,0.6,403050,2.50 70,1,2024-09-07 09:52:40:809,1067541,1067541,0,0,501107108954,5198700336,1059626,7108,807,366,391725,0 70,2,2024-09-07 09:52:41:325,765604,765604,0,0,34918610,0,4323 70,3,2024-09-07 09:52:40:745,1,606,15,0,854,6653,606,0 71,0,2024-09-07 09:52:41:359,150942,1.0,150568,1.0,302310,1.2,403919,2.75 71,1,2024-09-07 09:52:41:603,1064942,1064942,0,0,499179355048,5209970636,1051941,11380,1621,368,391738,0 71,2,2024-09-07 09:52:41:071,766125,766125,0,0,35181977,0,4352 71,3,2024-09-07 09:52:41:751,1,606,7,0,644,6801,606,0 72,0,2024-09-07 09:52:41:021,157013,0.6,153440,0.7,299391,0.5,407660,2.00 72,1,2024-09-07 09:52:41:039,1063193,1063193,0,0,498899234140,5233050594,1047891,12787,2515,369,391819,0 72,2,2024-09-07 09:52:41:756,765502,765502,0,0,36705575,0,3983 72,3,2024-09-07 09:52:41:755,1,606,7,0,564,8928,606,0 73,0,2024-09-07 09:52:41:147,147408,0.4,151207,0.6,309116,0.4,402135,2.00 73,1,2024-09-07 09:52:40:777,1064624,1064624,0,0,499954358965,5196907720,1056219,7423,982,367,391858,0 73,2,2024-09-07 09:52:41:738,766444,766443,1,0,38329939,0,5027 73,3,2024-09-07 09:52:40:975,1,606,16,0,1091,8417,606,0 74,0,2024-09-07 09:52:41:324,153102,0.5,156767,0.6,299154,0.4,405630,2.00 74,1,2024-09-07 09:52:40:643,1063863,1063863,0,0,498537851335,5205258462,1051959,9788,2116,381,391762,0 74,2,2024-09-07 09:52:41:001,762761,762761,0,0,33341324,0,4253 74,3,2024-09-07 09:52:41:442,1,606,1,0,522,7432,606,0 75,0,2024-09-07 09:52:41:784,152900,0.5,151877,0.7,304905,0.5,407451,2.25 75,1,2024-09-07 09:52:41:586,1064827,1064827,0,0,499724690018,5207688917,1056202,7757,868,380,391739,0 75,2,2024-09-07 09:52:41:350,765943,765943,0,0,40519558,0,4766 75,3,2024-09-07 09:52:41:071,1,606,0,0,918,8229,606,0 76,0,2024-09-07 09:52:40:590,150726,0.6,150096,0.8,300230,0.6,401295,2.25 76,1,2024-09-07 09:52:40:808,1064894,1064894,0,0,499267529474,5205714284,1057563,6340,991,382,391790,0 76,2,2024-09-07 09:52:41:068,769957,769954,3,0,32741170,0,5265 76,3,2024-09-07 09:52:41:161,1,606,1,0,227,5499,606,0 77,0,2024-09-07 09:52:41:720,150840,0.5,151347,0.7,302501,0.5,402050,2.00 77,1,2024-09-07 09:52:40:824,1064591,1064591,0,0,499788375110,5212766991,1056603,7109,879,381,391869,0 77,2,2024-09-07 09:52:41:283,766124,766124,0,0,31869565,0,3890 77,3,2024-09-07 09:52:41:095,1,606,1,0,401,6836,606,0 78,0,2024-09-07 09:52:41:721,151600,0.4,150900,0.6,302691,0.4,400661,2.00 78,1,2024-09-07 09:52:40:610,1065195,1065195,0,0,499651195516,5210538663,1052354,9924,2917,367,391670,0 78,2,2024-09-07 09:52:41:404,766479,766466,13,0,29800831,0,8313 78,3,2024-09-07 09:52:41:133,1,606,1,0,311,5076,606,0 79,0,2024-09-07 09:52:41:349,147505,0.4,151042,0.6,309535,0.3,403582,2.00 79,1,2024-09-07 09:52:40:571,1068515,1068515,0,0,501863094444,5193836781,1060670,6485,1360,367,391682,0 79,2,2024-09-07 09:52:41:068,768005,768005,0,0,28939463,0,4195 79,3,2024-09-07 09:52:40:752,1,606,0,0,418,7167,606,0 80,0,2024-09-07 09:52:41:087,150946,0.6,155078,0.7,296479,0.6,401074,2.00 80,1,2024-09-07 09:52:41:626,1064689,1064689,0,0,499398086078,5200004236,1057533,6704,452,368,392269,0 80,2,2024-09-07 09:52:41:098,769392,769392,0,0,29631123,0,4433 80,3,2024-09-07 09:52:40:576,1,606,1,0,681,7399,606,0 81,0,2024-09-07 09:52:41:531,151901,0.5,155414,0.7,296738,0.5,402329,2.00 81,1,2024-09-07 09:52:41:650,1064013,1064013,0,0,499270411939,5216228398,1055848,7397,768,382,391885,0 81,2,2024-09-07 09:52:41:125,765938,765875,63,0,32873630,0,5932 81,3,2024-09-07 09:52:41:120,1,606,1,0,719,7006,606,0 82,0,2024-09-07 09:52:41:534,151985,0.4,152096,0.7,304987,0.4,404058,2.00 82,1,2024-09-07 09:52:40:587,1066286,1066282,0,4,499801242266,5194694183,1060710,4749,823,381,391768,4 82,2,2024-09-07 09:52:41:697,768404,768404,0,0,27843489,0,4484 82,3,2024-09-07 09:52:41:757,1,606,0,0,363,5701,606,0 83,0,2024-09-07 09:52:41:529,152373,0.6,152267,0.7,304134,0.6,404188,2.00 83,1,2024-09-07 09:52:40:552,1064875,1064875,0,0,499673341783,5208345984,1057287,6943,645,382,391709,0 83,2,2024-09-07 09:52:40:763,766659,766634,25,0,29974343,0,5612 83,3,2024-09-07 09:52:40:750,1,606,1,0,1260,7234,606,0 84,0,2024-09-07 09:52:41:820,150944,0.8,150957,0.9,301818,0.8,403193,2.25 84,1,2024-09-07 09:52:41:040,1062857,1062857,0,0,498379438700,5212771571,1050603,10581,1673,367,391967,0 84,2,2024-09-07 09:52:40:570,765205,764795,410,0,43724832,0,17037 84,3,2024-09-07 09:52:41:157,1,606,2,0,908,8093,606,0 85,0,2024-09-07 09:52:41:007,146171,0.6,146108,0.8,310206,0.6,400640,2.25 85,1,2024-09-07 09:52:40:559,1060105,1060105,0,0,497122049094,5249216403,1043786,13520,2799,381,392092,0 85,2,2024-09-07 09:52:40:872,765760,765760,0,0,36896994,0,4255 85,3,2024-09-07 09:52:40:689,1,606,78,0,789,7024,606,0 86,0,2024-09-07 09:52:40:879,150908,0.6,155121,0.8,296708,0.7,401454,2.25 86,1,2024-09-07 09:52:40:824,1063063,1063063,0,0,499092465148,5233527039,1049142,11234,2687,366,392169,0 86,2,2024-09-07 09:52:40:857,765280,765279,1,0,39176148,0,5004 86,3,2024-09-07 09:52:40:591,1,606,4,0,308,8605,606,0 87,0,2024-09-07 09:52:41:290,152218,0.8,151641,0.8,303285,0.9,405693,2.25 87,1,2024-09-07 09:52:40:551,1062689,1062689,0,0,498522594968,5222966535,1049306,11586,1797,366,392076,0 87,2,2024-09-07 09:52:41:074,763122,763116,6,0,35469844,0,6323 87,3,2024-09-07 09:52:41:800,1,606,4,0,473,8615,606,0 88,0,2024-09-07 09:52:41:460,152433,0.4,152867,0.6,305384,0.4,406717,1.75 88,1,2024-09-07 09:52:40:589,1061579,1061579,0,0,498433716213,5225163942,1046193,12341,3045,365,392084,0 88,2,2024-09-07 09:52:40:700,766155,766155,0,0,38277028,0,4465 88,3,2024-09-07 09:52:41:272,1,606,23,0,1080,9339,606,0 89,0,2024-09-07 09:52:41:796,155762,0.5,151047,0.7,298090,0.4,405781,1.75 89,1,2024-09-07 09:52:40:555,1061440,1061440,0,0,499008730445,5247864056,1048455,11041,1944,382,391866,0 89,2,2024-09-07 09:52:41:133,766896,766896,0,0,36060031,0,3173 89,3,2024-09-07 09:52:41:796,1,606,2,0,468,10124,606,0 90,0,2024-09-07 09:52:41:630,146561,0.5,150541,0.6,307156,0.4,400664,2.00 90,1,2024-09-07 09:52:40:598,1063432,1063432,0,0,498945727258,5225517378,1053335,9204,893,380,391825,0 90,2,2024-09-07 09:52:41:406,764003,763998,5,0,39635111,0,6370 90,3,2024-09-07 09:52:40:931,1,606,0,0,322,7327,606,0 91,0,2024-09-07 09:52:40:940,151655,0.4,147205,0.6,308011,0.4,404062,1.75 91,1,2024-09-07 09:52:40:565,1060663,1060663,0,0,498132395692,5248860356,1044804,12711,3148,381,392047,0 91,2,2024-09-07 09:52:41:337,764032,764032,0,0,34754380,0,4713 91,3,2024-09-07 09:52:40:599,1,606,1,0,216,5424,606,0 92,0,2024-09-07 09:52:41:452,154786,0.5,158163,0.6,301551,0.4,409316,1.75 92,1,2024-09-07 09:52:40:585,1064277,1064277,0,0,500265022774,5224241040,1055911,7078,1288,381,392136,0 92,2,2024-09-07 09:52:41:352,767741,767741,0,0,30475547,0,3259 92,3,2024-09-07 09:52:41:013,1,606,1,0,167,5217,606,0 93,0,2024-09-07 09:52:40:961,152152,0.4,155840,0.6,297656,0.4,403141,1.75 93,1,2024-09-07 09:52:40:807,1064724,1064724,0,0,499509788458,5212170475,1053187,9609,1928,365,392048,0 93,2,2024-09-07 09:52:40:928,767550,767550,0,0,36273775,0,4913 93,3,2024-09-07 09:52:41:409,1,606,1,0,294,5917,606,0 94,0,2024-09-07 09:52:41:619,150642,0.4,151767,0.5,303517,0.3,402870,1.75 94,1,2024-09-07 09:52:40:563,1065384,1065384,0,0,499607365901,5209006830,1058433,6516,435,381,391850,0 94,2,2024-09-07 09:52:40:762,766541,766513,28,0,31193536,0,6179 94,3,2024-09-07 09:52:41:696,1,606,2,0,576,7464,606,0 95,0,2024-09-07 09:52:41:376,151657,0.3,151663,0.5,303551,0.3,403858,1.75 95,1,2024-09-07 09:52:40:857,1066252,1066252,0,0,500369453084,5198826361,1057814,7689,749,365,391852,0 95,2,2024-09-07 09:52:41:019,765053,765053,0,0,30640400,0,3308 95,3,2024-09-07 09:52:41:715,1,606,1,0,718,9421,606,0 96,0,2024-09-07 09:52:41:030,152817,0.4,153290,0.5,306225,0.3,407364,1.75 96,1,2024-09-07 09:52:41:593,1064843,1064843,0,0,499277491314,5206747490,1057459,6111,1273,384,391964,0 96,2,2024-09-07 09:52:41:277,768012,768012,0,0,31841061,0,4180 96,3,2024-09-07 09:52:41:147,1,606,2,0,411,6975,606,0 97,0,2024-09-07 09:52:41:352,151501,0.3,151267,0.5,303454,0.3,402623,1.75 97,1,2024-09-07 09:52:40:769,1066168,1066168,0,0,500722102059,5195608783,1059238,5892,1038,367,392140,0 97,2,2024-09-07 09:52:40:616,768166,768166,0,0,31496555,0,4046 97,3,2024-09-07 09:52:40:568,1,606,5,0,242,6842,606,0 98,0,2024-09-07 09:52:41:740,151780,0.3,151877,0.5,304888,0.2,405344,1.50 98,1,2024-09-07 09:52:40:570,1065110,1065110,0,0,499556537862,5203257208,1058620,5655,835,381,391997,0 98,2,2024-09-07 09:52:40:769,766638,766638,0,0,30253854,0,4336 98,3,2024-09-07 09:52:40:702,1,606,2,0,840,9116,606,0 99,0,2024-09-07 09:52:41:484,151850,0.3,152742,0.5,303871,0.3,405524,1.75 99,1,2024-09-07 09:52:41:723,1066027,1066027,0,0,499462448546,5196942805,1059658,5427,942,380,392069,0 99,2,2024-09-07 09:52:41:417,766484,766484,0,0,37475033,0,4276 99,3,2024-09-07 09:52:40:582,1,606,1,0,1124,7776,606,0 100,0,2024-09-07 09:52:41:589,152071,0.7,152342,0.9,304565,0.8,406508,2.25 100,1,2024-09-07 09:52:40:552,1059598,1059598,0,0,497006116799,5254108037,1043576,12705,3317,378,391989,0 100,2,2024-09-07 09:52:41:827,761652,761263,389,0,40726079,0,16909 100,3,2024-09-07 09:52:41:743,1,606,23,0,627,10126,606,0 101,0,2024-09-07 09:52:41:726,155403,1.1,151583,1.1,296621,1.1,405623,2.25 101,1,2024-09-07 09:52:40:556,1061606,1061606,0,0,498481760685,5238225789,1047461,11617,2528,368,391847,0 101,2,2024-09-07 09:52:41:763,762275,762275,0,0,40705897,0,4871 101,3,2024-09-07 09:52:40:946,1,606,1,0,1250,9050,606,0 102,0,2024-09-07 09:52:40:941,148004,0.7,152318,0.8,309557,0.7,405215,2.25 102,1,2024-09-07 09:52:41:158,1061465,1061465,0,0,497930335291,5230802855,1047336,11930,2199,369,391984,0 102,2,2024-09-07 09:52:41:737,768036,767982,54,0,34833706,0,6768 102,3,2024-09-07 09:52:41:613,1,606,8,0,466,6939,606,0 103,0,2024-09-07 09:52:41:587,156296,0.6,156342,0.7,294518,0.6,405426,2.00 103,1,2024-09-07 09:52:41:626,1060050,1060050,0,0,497499135769,5254957826,1042964,13483,3603,381,392077,0 103,2,2024-09-07 09:52:40:590,764436,764436,0,0,37617812,0,3766 103,3,2024-09-07 09:52:40:768,1,606,1,0,916,7125,606,0 104,0,2024-09-07 09:52:41:023,151025,0.7,151295,0.9,301870,0.7,403729,2.25 104,1,2024-09-07 09:52:41:600,1062786,1062786,0,0,498452205212,5237302829,1047252,12690,2844,365,392168,0 104,2,2024-09-07 09:52:41:675,760989,760989,0,0,37078589,0,4161 104,3,2024-09-07 09:52:41:417,1,606,36,0,1245,10702,606,0 105,0,2024-09-07 09:52:41:065,150866,0.9,147038,1.1,308148,1.0,405183,2.75 105,1,2024-09-07 09:52:40:556,1064242,1064242,0,0,499144268651,5229507724,1050671,11629,1942,364,392009,0 105,2,2024-09-07 09:52:41:323,766268,766268,0,0,37392186,0,4360 105,3,2024-09-07 09:52:41:306,1,606,15,0,573,9205,606,0 106,0,2024-09-07 09:52:40:943,145971,1.0,149557,1.0,306288,1.2,399802,2.50 106,1,2024-09-07 09:52:41:753,1063209,1063209,0,0,497934237232,5226001124,1048543,12771,1895,368,391914,0 106,2,2024-09-07 09:52:40:761,765978,765978,0,0,35182851,0,3331 106,3,2024-09-07 09:52:40:679,1,606,2,0,1224,8428,606,0 107,0,2024-09-07 09:52:41:156,151042,0.9,151118,0.9,302186,1.1,402698,2.25 107,1,2024-09-07 09:52:40:586,1060136,1060136,0,0,497012879115,5252715453,1042747,15255,2134,381,392234,0 107,2,2024-09-07 09:52:41:299,763409,763408,1,0,38295090,0,5024 107,3,2024-09-07 09:52:41:758,1,606,1,0,733,9306,606,0 108,0,2024-09-07 09:52:41:771,150969,0.4,151378,0.6,301713,0.4,402174,1.75 108,1,2024-09-07 09:52:41:303,1064376,1064376,0,0,499529975145,5209082626,1055680,7701,995,367,391894,0 108,2,2024-09-07 09:52:41:761,764641,764641,0,0,32855877,0,4246 108,3,2024-09-07 09:52:41:330,1,606,1,0,749,10886,606,0 109,0,2024-09-07 09:52:41:786,153539,0.4,152305,0.6,305246,0.3,408001,1.75 109,1,2024-09-07 09:52:40:583,1061577,1061577,0,0,499017847205,5233558064,1052098,8201,1278,382,392132,0 109,2,2024-09-07 09:52:40:920,765339,765339,0,0,33730435,0,3617 109,3,2024-09-07 09:52:41:140,1,606,1,0,630,7353,606,0 110,0,2024-09-07 09:52:41:772,150973,0.4,146800,0.6,307487,0.4,402853,1.75 110,1,2024-09-07 09:52:41:655,1066203,1066203,0,0,500392221627,5193928462,1058159,6117,1927,368,392045,0 110,2,2024-09-07 09:52:41:304,767812,767812,0,0,31219468,0,4067 110,3,2024-09-07 09:52:40:701,1,606,1,0,722,8049,606,0 111,0,2024-09-07 09:52:41:411,152659,0.4,151867,0.5,303597,0.3,404594,1.75 111,1,2024-09-07 09:52:41:001,1067388,1067388,0,0,500817931121,5193024937,1061905,5102,381,380,391690,0 111,2,2024-09-07 09:52:41:115,766645,766645,0,0,31724531,0,4823 111,3,2024-09-07 09:52:40:917,1,606,1,0,379,6541,606,0 112,0,2024-09-07 09:52:40:916,152892,0.3,152422,0.4,305299,0.2,405369,1.50 112,1,2024-09-07 09:52:40:824,1066104,1066104,0,0,499786661741,5189976473,1058902,5977,1225,380,391624,0 112,2,2024-09-07 09:52:41:136,766509,766508,1,0,30134681,0,5036 112,3,2024-09-07 09:52:40:596,1,606,1,0,282,5742,606,0 113,0,2024-09-07 09:52:40:878,152429,0.3,152372,0.5,305122,0.2,406585,1.75 113,1,2024-09-07 09:52:41:685,1068493,1068493,0,0,502513780328,5184572385,1062261,5284,948,365,391664,0 113,2,2024-09-07 09:52:41:305,769204,769204,0,0,28571062,0,3813 113,3,2024-09-07 09:52:40:689,1,606,1,0,510,6505,606,0 114,0,2024-09-07 09:52:40:874,152632,0.3,153525,0.5,306134,0.2,408598,1.75 114,1,2024-09-07 09:52:40:716,1066590,1066590,0,0,500239242880,5194309574,1058648,6195,1747,381,391565,0 114,2,2024-09-07 09:52:40:874,769129,769128,1,0,29775281,0,5069 114,3,2024-09-07 09:52:41:281,1,606,3,0,395,4873,606,0 115,0,2024-09-07 09:52:40:552,152833,0.3,153499,0.4,305484,0.2,406692,1.50 115,1,2024-09-07 09:52:40:571,1066865,1066865,0,0,500512228913,5199393657,1058609,6739,1517,382,391757,0 115,2,2024-09-07 09:52:41:124,769050,769050,0,0,29868210,0,4382 115,3,2024-09-07 09:52:41:002,1,606,1,0,167,3725,606,0 116,0,2024-09-07 09:52:41:741,150916,0.6,150731,0.8,302165,0.6,403728,2.00 116,1,2024-09-07 09:52:40:808,1060735,1060735,0,0,497493244687,5243359575,1048082,9562,3091,380,392089,0 116,2,2024-09-07 09:52:41:758,766266,766266,0,0,37389240,0,4475 116,3,2024-09-07 09:52:40:912,1,606,1,0,448,7795,606,0 117,0,2024-09-07 09:52:40:986,152412,0.7,151757,0.8,304085,0.7,406879,2.00 117,1,2024-09-07 09:52:41:585,1062684,1062684,0,0,498077059440,5216275083,1050902,10259,1523,369,392429,0 117,2,2024-09-07 09:52:41:129,765718,765718,0,0,33745130,0,4303 117,3,2024-09-07 09:52:41:068,1,606,0,0,490,7694,606,0 118,0,2024-09-07 09:52:41:793,147803,0.5,151691,0.7,309762,0.5,405219,2.00 118,1,2024-09-07 09:52:40:597,1061762,1061762,0,0,497726413883,5233572646,1045920,12219,3623,366,392054,0 118,2,2024-09-07 09:52:41:597,765737,765737,0,0,36022285,0,2842 118,3,2024-09-07 09:52:41:781,1,606,1,0,289,7175,606,0 119,0,2024-09-07 09:52:41:337,151168,0.8,151857,0.8,302931,0.9,404178,2.25 119,1,2024-09-07 09:52:40:553,1062684,1062684,0,0,498577642443,5229630673,1047987,12185,2512,367,391857,0 119,2,2024-09-07 09:52:41:267,768104,768104,0,0,34009068,0,4309 119,3,2024-09-07 09:52:41:326,1,606,2,0,1358,11105,606,0 120,0,2024-09-07 09:52:41:549,150184,0.6,150058,0.8,301497,0.7,401691,2.25 120,1,2024-09-07 09:52:40:866,1063495,1063495,0,0,498497908485,5228842167,1051790,10563,1142,367,392144,0 120,2,2024-09-07 09:52:40:772,765857,765854,3,0,40395557,0,5363 120,3,2024-09-07 09:52:41:293,1,606,11,0,279,7323,606,0 121,0,2024-09-07 09:52:41:719,151420,1.1,150899,1.0,302076,1.3,403016,2.25 121,1,2024-09-07 09:52:41:664,1062995,1062995,0,0,498717734030,5218895704,1051704,9738,1553,366,391840,0 121,2,2024-09-07 09:52:41:140,763170,763170,0,0,36783727,0,4157 121,3,2024-09-07 09:52:40:729,1,606,6,0,387,8037,606,0 122,0,2024-09-07 09:52:41:765,152491,0.8,148397,0.9,311023,0.9,409109,2.00 122,1,2024-09-07 09:52:40:860,1062097,1062097,0,0,498236143785,5230422790,1047090,12595,2412,365,392130,0 122,2,2024-09-07 09:52:41:344,767765,767690,75,0,40523114,0,5989 122,3,2024-09-07 09:52:40:608,1,606,1,0,512,9797,606,0 123,0,2024-09-07 09:52:40:986,150674,0.9,146501,0.9,306916,1.0,401828,2.25 123,1,2024-09-07 09:52:40:559,1062405,1062405,0,0,498889241118,5245748146,1045588,14145,2672,369,392039,0 123,2,2024-09-07 09:52:41:030,764945,764944,1,0,34536531,0,5215 123,3,2024-09-07 09:52:41:135,1,606,4,0,478,6920,606,0 124,0,2024-09-07 09:52:40:921,155417,0.3,155364,0.5,292970,0.3,403321,1.75 124,1,2024-09-07 09:52:41:022,1066517,1066517,0,0,499485387733,5191504744,1058781,6561,1175,365,392178,0 124,2,2024-09-07 09:52:41:008,768486,768433,53,0,31073529,0,6487 124,3,2024-09-07 09:52:40:758,1,606,14,0,490,5980,606,0 125,0,2024-09-07 09:52:41:465,151394,0.4,151429,0.5,303748,0.3,403791,1.75 125,1,2024-09-07 09:52:40:858,1063042,1063042,0,0,498442296115,5202341490,1054974,6917,1151,382,391968,0 125,2,2024-09-07 09:52:41:121,768291,768291,0,0,31223208,0,4534 125,3,2024-09-07 09:52:41:126,1,606,1,0,709,6944,606,0 126,0,2024-09-07 09:52:41:418,153051,0.4,157431,0.5,301123,0.4,408000,1.75 126,1,2024-09-07 09:52:40:556,1067166,1067166,0,0,500630763122,5181826264,1061647,5072,447,365,391987,0 126,2,2024-09-07 09:52:40:610,768812,768812,0,0,32497295,0,4539 126,3,2024-09-07 09:52:40:911,1,606,8,0,268,6640,606,0 127,0,2024-09-07 09:52:41:610,151877,0.3,151922,0.5,303196,0.3,403009,1.75 127,1,2024-09-07 09:52:40:571,1065324,1065324,0,0,500011864871,5201933519,1054647,9040,1637,364,392187,0 127,2,2024-09-07 09:52:40:643,767276,767272,4,0,30590276,0,5305 127,3,2024-09-07 09:52:41:272,1,606,1,0,968,5978,606,0 128,0,2024-09-07 09:52:41:526,152733,0.3,152773,0.4,305358,0.2,406042,1.50 128,1,2024-09-07 09:52:41:610,1065213,1065213,0,0,499523750059,5195725399,1056797,7451,965,367,392423,0 128,2,2024-09-07 09:52:41:417,768390,768390,0,0,28512798,0,3171 128,3,2024-09-07 09:52:40:780,1,606,1,0,1082,9596,606,0 129,0,2024-09-07 09:52:41:001,153142,0.3,152341,0.5,305306,0.3,406043,1.50 129,1,2024-09-07 09:52:40:578,1062126,1062126,0,0,498905136653,5228549745,1051657,8447,2022,379,391962,0 129,2,2024-09-07 09:52:40:688,768764,768760,4,0,31091236,0,5335 129,3,2024-09-07 09:52:40:689,1,606,1,0,506,8287,606,0 130,0,2024-09-07 09:52:41:727,153244,0.4,152723,0.5,306334,0.4,407797,1.75 130,1,2024-09-07 09:52:40:606,1066323,1066323,0,0,500069012508,5193451412,1060884,5042,397,381,391825,0 130,2,2024-09-07 09:52:41:124,765636,765636,0,0,31299207,0,4067 130,3,2024-09-07 09:52:41:291,1,606,1,0,960,8307,606,0 131,0,2024-09-07 09:52:41:951,152199,0.3,152641,0.5,306308,0.3,407372,1.75 131,1,2024-09-07 09:52:41:829,1065363,1065363,0,0,499650780422,5207744691,1058131,6034,1198,381,391865,0 131,2,2024-09-07 09:52:40:570,767667,767667,0,0,29042296,0,3979 131,3,2024-09-07 09:52:41:692,1,606,1,0,392,7732,606,0 132,0,2024-09-07 09:52:41:435,152389,0.5,153243,0.6,305363,0.5,406249,2.00 132,1,2024-09-07 09:52:40:584,1060840,1060840,0,0,497736926343,5240504342,1045395,12741,2704,381,392532,0 132,2,2024-09-07 09:52:40:699,767239,767222,17,0,37905636,0,6451 132,3,2024-09-07 09:52:41:696,1,606,17,0,1298,10865,606,0 133,0,2024-09-07 09:52:41:517,147564,0.4,150943,0.6,309447,0.4,402986,2.00 133,1,2024-09-07 09:52:40:587,1061481,1061481,0,0,497997571341,5246633965,1047401,12316,1764,383,391914,0 133,2,2024-09-07 09:52:41:097,767040,766990,50,0,38895826,0,6861 133,3,2024-09-07 09:52:41:306,1,606,1,0,528,7331,606,0 134,0,2024-09-07 09:52:40:941,152355,0.5,152511,0.7,305083,0.5,405969,2.00 134,1,2024-09-07 09:52:40:591,1062611,1062611,0,0,498685447231,5230294585,1048829,11294,2488,366,391781,0 134,2,2024-09-07 09:52:41:758,763685,763661,24,0,35911957,0,6207 134,3,2024-09-07 09:52:40:750,1,606,2,0,739,7451,606,0 135,0,2024-09-07 09:52:41:126,147819,0.8,147809,0.8,313849,0.9,404788,2.25 135,1,2024-09-07 09:52:41:591,1061817,1061817,0,0,498431613451,5240144224,1048788,11388,1641,380,391805,0 135,2,2024-09-07 09:52:40:690,767738,767738,0,0,36851703,0,4503 135,3,2024-09-07 09:52:41:001,1,606,1,0,900,5915,606,0 136,0,2024-09-07 09:52:41:612,151523,0.6,152082,0.8,301832,0.6,402922,2.00 136,1,2024-09-07 09:52:41:453,1063313,1063313,0,0,498199545033,5222087129,1051407,10516,1390,381,392135,0 136,2,2024-09-07 09:52:41:133,768959,768944,15,0,36193712,0,6007 136,3,2024-09-07 09:52:41:105,1,606,1,0,637,6976,606,0 137,0,2024-09-07 09:52:40:927,156065,0.6,152148,0.7,298069,0.6,404545,2.00 137,1,2024-09-07 09:52:40:576,1062255,1062255,0,0,498222362224,5226189954,1045711,13155,3389,366,391898,0 137,2,2024-09-07 09:52:41:723,765233,765233,0,0,37222430,0,3185 137,3,2024-09-07 09:52:40:772,1,606,1,0,484,8262,606,0 138,0,2024-09-07 09:52:41:745,150279,0.7,150682,0.8,301705,0.8,400637,2.00 138,1,2024-09-07 09:52:41:695,1062882,1062882,0,0,499156552359,5236019430,1048107,12505,2270,368,391954,0 138,2,2024-09-07 09:52:40:591,763729,763729,0,0,35480873,0,4988 138,3,2024-09-07 09:52:40:612,1,606,28,0,1200,9523,606,0 139,0,2024-09-07 09:52:41:358,151410,1.0,152034,0.9,303899,1.4,406284,2.25 139,1,2024-09-07 09:52:40:571,1058081,1058081,0,0,495217173350,5251049535,1039693,14563,3825,380,392109,0 139,2,2024-09-07 09:52:40:692,762161,762131,30,0,40930350,0,5997 139,3,2024-09-07 09:52:41:676,1,606,1,0,432,7167,606,0 140,0,2024-09-07 09:52:41:587,151693,0.3,150808,0.5,302564,0.2,403203,1.75 140,1,2024-09-07 09:52:41:536,1068847,1068847,0,0,501574429171,5169522783,1063875,4424,548,364,391606,0 140,2,2024-09-07 09:52:40:690,768336,768335,1,0,28939586,0,5036 140,3,2024-09-07 09:52:40:769,1,606,1,0,575,6006,606,0 141,0,2024-09-07 09:52:41:704,152161,0.3,156418,0.5,299013,0.2,404395,1.75 141,1,2024-09-07 09:52:40:860,1067403,1067403,0,0,500773992524,5201143536,1059204,7006,1193,379,391614,0 141,2,2024-09-07 09:52:41:691,767159,767148,11,0,31054846,0,5369 141,3,2024-09-07 09:52:41:049,1,606,1,0,391,6978,606,0 142,0,2024-09-07 09:52:41:315,153076,0.3,152244,0.5,304635,0.2,406289,1.50 142,1,2024-09-07 09:52:40:591,1065282,1065282,0,0,499666574444,5207341904,1058567,6207,508,382,392102,0 142,2,2024-09-07 09:52:41:304,765987,765955,32,0,31564432,0,6028 142,3,2024-09-07 09:52:41:746,1,606,0,0,484,6391,606,0 143,0,2024-09-07 09:52:41:372,152270,0.4,152265,0.5,305485,0.4,406623,1.75 143,1,2024-09-07 09:52:40:576,1067299,1067299,0,0,500234393164,5188579601,1060832,5899,568,367,391900,0 143,2,2024-09-07 09:52:40:777,767220,767220,0,0,31446933,0,3123 143,3,2024-09-07 09:52:41:140,1,606,2,0,462,7299,606,0 144,0,2024-09-07 09:52:41:504,147822,0.6,152164,0.8,309613,0.6,405190,2.00 144,1,2024-09-07 09:52:40:571,1061954,1061954,0,0,498578933606,5231422740,1051358,8602,1994,381,391733,0 144,2,2024-09-07 09:52:41:757,768396,768396,0,0,30865389,0,4443 144,3,2024-09-07 09:52:41:738,1,606,2,0,249,5968,606,0 145,0,2024-09-07 09:52:41:362,147309,0.6,147232,0.8,312474,0.5,402548,2.25 145,1,2024-09-07 09:52:40:564,1061150,1061150,0,0,497928593186,5239232515,1047124,11353,2673,382,391781,0 145,2,2024-09-07 09:52:41:429,765451,765369,82,0,36783494,0,7814 145,3,2024-09-07 09:52:40:895,1,606,42,0,622,8066,606,0 146,0,2024-09-07 09:52:41:590,151210,0.5,150488,0.7,302554,0.5,401935,2.00 146,1,2024-09-07 09:52:41:597,1062442,1062442,0,0,498457925551,5244646498,1044938,13023,4481,367,391770,0 146,2,2024-09-07 09:52:41:715,765313,765307,6,0,34992633,0,5151 146,3,2024-09-07 09:52:41:273,1,606,91,0,1520,9917,606,0 147,0,2024-09-07 09:52:41:718,152407,0.6,152049,0.7,303894,0.5,406172,2.00 147,1,2024-09-07 09:52:41:379,1066480,1066480,0,0,500156139755,5196450001,1057987,7526,967,367,391791,0 147,2,2024-09-07 09:52:41:009,764183,764183,0,0,30999184,0,2968 147,3,2024-09-07 09:52:40:916,1,606,1,0,1626,9609,606,0 0,0,2024-09-07 09:52:51:727,146966,0.6,146997,0.7,312073,0.6,402838,2.00 0,1,2024-09-07 09:52:50:809,1064790,1064790,0,0,499846695000,5231708412,1056247,7597,946,368,391896,0 0,2,2024-09-07 09:52:51:070,769237,769237,0,0,31106721,0,4480 0,3,2024-09-07 09:52:50:977,1,607,1,0,431,8683,607,0 1,0,2024-09-07 09:52:51:811,151549,0.8,150556,0.9,302473,1.0,404363,2.00 1,1,2024-09-07 09:52:50:570,1064196,1064196,0,0,498334425890,5219418255,1054718,7987,1491,370,391859,0 1,2,2024-09-07 09:52:50:643,765381,765381,0,0,30522289,0,3380 1,3,2024-09-07 09:52:51:303,1,607,1,0,269,7523,607,0 2,0,2024-09-07 09:52:51:575,153466,0.6,153212,0.7,306130,0.6,408829,2.00 2,1,2024-09-07 09:52:50:863,1067484,1067484,0,0,500995716954,5208595282,1061309,5225,950,379,391745,0 2,2,2024-09-07 09:52:51:266,769027,769027,0,0,29167560,0,3594 2,3,2024-09-07 09:52:50:695,1,607,0,0,357,5439,607,0 3,0,2024-09-07 09:52:51:747,151268,0.4,151174,0.6,301761,0.4,402166,2.00 3,1,2024-09-07 09:52:51:626,1065963,1065963,0,0,500291558574,5202828922,1058351,6907,705,379,391716,0 3,2,2024-09-07 09:52:51:143,769887,769864,23,0,30254867,0,5851 3,3,2024-09-07 09:52:51:752,1,607,8,0,484,4614,607,0 4,0,2024-09-07 09:52:51:776,147042,0.4,151140,0.5,308032,0.3,402833,1.75 4,1,2024-09-07 09:52:50:608,1061361,1061361,0,0,497585931398,5265758067,1043547,14060,3754,369,391992,0 4,2,2024-09-07 09:52:51:020,764837,764837,0,0,37096527,0,4534 4,3,2024-09-07 09:52:51:033,1,607,9,0,448,7378,607,0 5,0,2024-09-07 09:52:51:424,151780,0.4,151694,0.5,303543,0.3,403303,1.75 5,1,2024-09-07 09:52:50:757,1062399,1062399,0,0,498940605543,5267153913,1046043,12230,4126,367,392005,0 5,2,2024-09-07 09:52:51:860,763449,763449,0,0,35923089,0,3582 5,3,2024-09-07 09:52:51:744,1,607,8,0,457,8394,607,0 6,0,2024-09-07 09:52:50:915,153372,0.4,153009,0.6,306179,0.4,408056,2.00 6,1,2024-09-07 09:52:50:746,1065261,1065261,0,0,499489224798,5216957280,1054182,9505,1574,379,391702,0 6,2,2024-09-07 09:52:51:117,768619,768601,18,0,34897442,0,5535 6,3,2024-09-07 09:52:51:276,1,607,1,0,710,7396,607,0 7,0,2024-09-07 09:52:51:536,150813,0.5,151864,0.7,301513,0.5,402111,2.00 7,1,2024-09-07 09:52:50:852,1062808,1062808,0,0,498815630737,5251843174,1046481,12561,3766,382,391747,0 7,2,2024-09-07 09:52:50:771,767771,767771,0,0,33587654,0,4791 7,3,2024-09-07 09:52:50:853,1,607,5,0,552,7099,607,0 8,0,2024-09-07 09:52:51:403,152721,0.4,152457,0.5,305278,0.3,406671,1.75 8,1,2024-09-07 09:52:51:016,1062347,1062347,0,0,499019707137,5247565724,1045625,13135,3587,366,392853,0 8,2,2024-09-07 09:52:50:818,763140,763138,2,0,39728619,0,5112 8,3,2024-09-07 09:52:50:591,1,607,1,0,772,9591,607,0 9,0,2024-09-07 09:52:51:116,152080,0.4,147940,0.5,309468,0.3,406054,1.75 9,1,2024-09-07 09:52:50:550,1062341,1062341,0,0,498348787862,5252324987,1045649,13464,3228,369,392001,0 9,2,2024-09-07 09:52:51:096,766423,766422,1,0,37557058,0,5281 9,3,2024-09-07 09:52:51:768,1,607,10,0,1273,10284,607,0 10,0,2024-09-07 09:52:51:604,152685,0.3,152037,0.5,305150,0.3,406537,1.75 10,1,2024-09-07 09:52:50:583,1064002,1064002,0,0,499307500761,5238364178,1048280,12658,3064,381,391981,0 10,2,2024-09-07 09:52:50:762,766521,766521,0,0,40178697,0,4713 10,3,2024-09-07 09:52:50:873,1,607,1,0,669,6831,607,0 11,0,2024-09-07 09:52:51:008,152570,0.4,148040,0.6,309620,0.4,408442,1.75 11,1,2024-09-07 09:52:50:571,1065401,1065401,0,0,499405264491,5238842435,1049577,11521,4303,383,391766,0 11,2,2024-09-07 09:52:51:123,767105,767105,0,0,35608344,0,4698 11,3,2024-09-07 09:52:51:298,1,607,9,0,843,7813,607,0 12,0,2024-09-07 09:52:50:966,153514,0.4,153374,0.5,306307,0.4,407668,1.75 12,1,2024-09-07 09:52:50:932,1065406,1065406,0,0,499716864976,5210530967,1057028,7370,1008,370,391960,0 12,2,2024-09-07 09:52:51:582,767159,767159,0,0,34302595,0,4390 12,3,2024-09-07 09:52:51:061,1,607,5,0,386,7570,607,0 13,0,2024-09-07 09:52:51:329,152411,0.4,152459,0.5,303912,0.4,405031,1.75 13,1,2024-09-07 09:52:51:530,1062814,1062814,0,0,499449251214,5248388128,1051920,8504,2390,382,391803,0 13,2,2024-09-07 09:52:50:594,770122,770122,0,0,30694936,0,3287 13,3,2024-09-07 09:52:51:773,1,607,1,0,522,7981,607,0 14,0,2024-09-07 09:52:50:563,152210,0.4,153341,0.6,304309,0.3,405545,1.75 14,1,2024-09-07 09:52:51:562,1070096,1070096,0,0,501555854393,5189391870,1061636,7331,1129,364,391673,0 14,2,2024-09-07 09:52:50:766,765860,765830,30,0,32058819,0,6104 14,3,2024-09-07 09:52:51:116,1,607,2,0,1168,6608,607,0 15,0,2024-09-07 09:52:51:553,153040,0.4,152219,0.6,305050,0.4,407043,2.00 15,1,2024-09-07 09:52:51:778,1067092,1067092,0,0,500541898413,5211817270,1058018,7161,1913,381,391619,0 15,2,2024-09-07 09:52:51:000,770253,770253,0,0,27783898,0,3622 15,3,2024-09-07 09:52:51:413,1,607,1,0,1126,7892,607,0 16,0,2024-09-07 09:52:50:943,151169,0.6,151857,0.8,303131,0.6,403458,2.00 16,1,2024-09-07 09:52:50:563,1066622,1066622,0,0,499622400646,5222721206,1057185,7993,1444,370,392194,0 16,2,2024-09-07 09:52:51:439,768244,768244,0,0,31693842,0,4719 16,3,2024-09-07 09:52:51:147,1,607,2,0,358,7583,607,0 17,0,2024-09-07 09:52:51:794,155904,0.6,152011,0.8,297800,0.6,404700,2.00 17,1,2024-09-07 09:52:50:568,1064611,1064611,0,0,498995699145,5233130804,1054316,8523,1772,368,392075,0 17,2,2024-09-07 09:52:51:678,770730,770729,1,0,32971789,0,5050 17,3,2024-09-07 09:52:50:593,1,607,8,0,518,8805,607,0 18,0,2024-09-07 09:52:50:941,149801,0.6,150747,0.8,299958,0.6,400579,2.25 18,1,2024-09-07 09:52:51:646,1069097,1069097,0,0,500864155132,5194328735,1062034,5806,1257,367,391725,0 18,2,2024-09-07 09:52:51:765,768041,768041,0,0,29272426,0,3541 18,3,2024-09-07 09:52:50:896,1,607,1,0,1059,5567,607,0 19,0,2024-09-07 09:52:51:542,152797,0.6,153051,0.8,304984,0.6,406035,2.00 19,1,2024-09-07 09:52:50:565,1068874,1068874,0,0,501672500139,5198900149,1061071,6670,1133,365,391777,0 19,2,2024-09-07 09:52:51:751,771613,771613,0,0,27732217,0,3988 19,3,2024-09-07 09:52:51:128,1,607,1,0,524,4620,607,0 20,0,2024-09-07 09:52:51:404,151201,0.6,151102,0.7,301903,0.6,402520,2.00 20,1,2024-09-07 09:52:50:566,1064416,1064416,0,0,500077773633,5239865509,1053675,9234,1507,369,391922,0 20,2,2024-09-07 09:52:50:927,768191,768191,0,0,34627813,0,4321 20,3,2024-09-07 09:52:50:590,1,607,10,0,468,9773,607,0 21,0,2024-09-07 09:52:51:134,152079,0.5,152161,0.6,304035,0.4,403672,2.00 21,1,2024-09-07 09:52:51:604,1062722,1062722,0,0,497576741187,5252512147,1045850,13036,3836,368,392016,0 21,2,2024-09-07 09:52:51:075,761655,761178,477,0,45157399,0,17074 21,3,2024-09-07 09:52:51:409,1,607,8,0,713,8685,607,0 22,0,2024-09-07 09:52:51:719,152124,0.5,152748,0.6,304902,0.4,404563,2.00 22,1,2024-09-07 09:52:51:023,1063412,1063412,0,0,497533503655,5236849184,1045594,14278,3540,382,391822,0 22,2,2024-09-07 09:52:50:759,765748,765722,26,0,32913318,0,6328 22,3,2024-09-07 09:52:51:070,1,607,1,0,228,5260,607,0 23,0,2024-09-07 09:52:51:372,152348,0.5,152121,0.7,304302,0.4,405994,2.00 23,1,2024-09-07 09:52:51:007,1063707,1063707,0,0,500206594118,5270117718,1044234,12895,6578,365,391690,0 23,2,2024-09-07 09:52:51:104,768899,768899,0,0,31651155,0,3773 23,3,2024-09-07 09:52:51:764,1,607,17,0,855,8886,607,0 24,0,2024-09-07 09:52:50:830,153526,0.4,152691,0.6,307076,0.4,407505,1.75 24,1,2024-09-07 09:52:50:581,1064272,1064272,0,0,498636375393,5219196989,1054205,8357,1710,367,392269,0 24,2,2024-09-07 09:52:51:073,767494,767491,3,0,38102101,0,6294 24,3,2024-09-07 09:52:51:696,1,607,24,0,468,7760,607,0 25,0,2024-09-07 09:52:51:354,156766,0.4,152653,0.6,299656,0.4,408365,2.00 25,1,2024-09-07 09:52:50:566,1062249,1062249,0,0,498105523303,5262578658,1043484,15051,3714,369,391928,0 25,2,2024-09-07 09:52:51:618,765734,765734,0,0,38636135,0,3978 25,3,2024-09-07 09:52:51:009,1,607,2,0,532,6556,607,0 26,0,2024-09-07 09:52:51:725,150686,0.4,147353,0.6,309415,0.3,402840,1.75 26,1,2024-09-07 09:52:51:541,1065907,1065907,0,0,499512434448,5229135661,1051570,11804,2533,380,391758,0 26,2,2024-09-07 09:52:50:860,767249,767249,0,0,41421703,0,4689 26,3,2024-09-07 09:52:51:712,1,607,0,0,796,7578,607,0 27,0,2024-09-07 09:52:51:724,152635,0.4,153245,0.6,304744,0.3,407060,2.25 27,1,2024-09-07 09:52:51:684,1066813,1066813,0,0,501228656234,5223113170,1056414,8790,1609,381,391626,0 27,2,2024-09-07 09:52:50:867,762391,762326,65,0,36048506,0,5699 27,3,2024-09-07 09:52:51:015,1,607,3,0,564,5245,607,0 28,0,2024-09-07 09:52:51:407,152743,0.4,152878,0.6,305959,0.3,407449,1.75 28,1,2024-09-07 09:52:50:803,1067387,1067387,0,0,501239674705,5224254195,1058448,7048,1891,382,391904,0 28,2,2024-09-07 09:52:51:766,768594,768594,0,0,30997457,0,2915 28,3,2024-09-07 09:52:51:784,1,607,1,0,502,6023,607,0 29,0,2024-09-07 09:52:51:386,156175,0.4,152063,0.6,298514,0.4,407205,1.75 29,1,2024-09-07 09:52:51:570,1070412,1070412,0,0,501931827237,5190260233,1064053,5583,776,367,391809,0 29,2,2024-09-07 09:52:50:861,768982,768982,0,0,30173463,0,4986 29,3,2024-09-07 09:52:50:970,1,607,11,0,459,6518,607,0 30,0,2024-09-07 09:52:51:460,150436,0.5,146505,0.7,306758,0.4,400731,2.00 30,1,2024-09-07 09:52:50:573,1069521,1069521,0,0,502085937120,5207603759,1061950,6660,911,380,391672,0 30,2,2024-09-07 09:52:51:274,769459,769459,0,0,28789089,0,4192 30,3,2024-09-07 09:52:50:581,1,607,0,0,519,5620,607,0 31,0,2024-09-07 09:52:51:760,151363,0.4,152144,0.6,303195,0.4,405029,1.75 31,1,2024-09-07 09:52:50:565,1072845,1072845,0,0,502834817417,5162936791,1066385,5386,1074,356,391712,0 31,2,2024-09-07 09:52:51:275,764524,764524,0,0,32283124,0,4470 31,3,2024-09-07 09:52:51:708,1,607,1,0,239,5187,607,0 32,0,2024-09-07 09:52:51:434,153493,0.3,154658,0.5,307696,0.3,410364,1.75 32,1,2024-09-07 09:52:50:809,1068904,1068904,0,0,501497315279,5210481088,1062726,5460,718,381,391646,0 32,2,2024-09-07 09:52:50:938,770624,770624,0,0,28565017,0,3922 32,3,2024-09-07 09:52:51:015,1,607,0,0,304,4924,607,0 33,0,2024-09-07 09:52:51:517,151781,0.3,151512,0.4,303206,0.2,403154,1.50 33,1,2024-09-07 09:52:50:579,1069288,1069288,0,0,502405030894,5203337283,1060839,7176,1273,368,391730,0 33,2,2024-09-07 09:52:50:759,769989,769954,35,0,31691419,0,7012 33,3,2024-09-07 09:52:50:895,1,607,3,0,329,5305,607,0 34,0,2024-09-07 09:52:50:930,151668,0.3,155769,0.5,297984,0.2,402233,1.75 34,1,2024-09-07 09:52:51:044,1071175,1071175,0,0,502932102737,5173180392,1068214,2851,110,367,391637,0 34,2,2024-09-07 09:52:50:765,768671,768671,0,0,30244208,0,4562 34,3,2024-09-07 09:52:51:688,1,607,1,0,541,5514,607,0 35,0,2024-09-07 09:52:50:863,150814,0.3,151679,0.5,304244,0.2,403735,1.75 35,1,2024-09-07 09:52:51:071,1067140,1067140,0,0,501375706966,5203103490,1059031,6586,1523,382,391769,0 35,2,2024-09-07 09:52:51:591,766874,766874,0,0,33127200,0,4055 35,3,2024-09-07 09:52:50:907,1,607,2,0,466,5692,607,0 36,0,2024-09-07 09:52:51:530,153625,0.5,153707,0.7,306760,0.4,408845,2.00 36,1,2024-09-07 09:52:50:594,1066163,1066163,0,0,501096101588,5232253746,1052582,11341,2240,366,391759,0 36,2,2024-09-07 09:52:51:754,768731,768731,0,0,34643910,0,3875 36,3,2024-09-07 09:52:50:864,1,607,1,0,556,8289,607,0 37,0,2024-09-07 09:52:51:370,150700,0.5,150849,0.7,301877,0.5,402425,2.25 37,1,2024-09-07 09:52:50:569,1065938,1065931,0,7,500140856231,5222800567,1053276,9742,2913,365,391770,0 37,2,2024-09-07 09:52:51:149,765531,765516,15,0,34525284,0,5815 37,3,2024-09-07 09:52:51:768,1,607,3,0,888,8069,607,0 38,0,2024-09-07 09:52:51:439,151561,0.4,146915,0.6,307177,0.4,402451,2.00 38,1,2024-09-07 09:52:51:607,1065849,1065849,0,0,501082385975,5241056789,1050517,12332,3000,368,391821,0 38,2,2024-09-07 09:52:50:761,767347,767300,47,0,34773986,0,6710 38,3,2024-09-07 09:52:50:997,1,607,0,0,689,7431,607,0 39,0,2024-09-07 09:52:51:759,155509,0.5,152070,0.7,296430,0.5,404988,2.00 39,1,2024-09-07 09:52:50:719,1065279,1065279,0,0,499892151583,5240367637,1047833,13731,3715,365,391865,0 39,2,2024-09-07 09:52:51:426,767794,767794,0,0,32916688,0,3391 39,3,2024-09-07 09:52:50:717,1,607,0,0,525,6833,607,0 40,0,2024-09-07 09:52:51:488,151259,0.8,152007,0.9,303363,0.9,404897,2.75 40,1,2024-09-07 09:52:50:579,1065846,1065846,0,0,499186295573,5229230210,1050237,12541,3068,366,391668,0 40,2,2024-09-07 09:52:51:303,764407,764400,7,0,39122368,0,5347 40,3,2024-09-07 09:52:51:145,1,607,4,0,1028,8889,607,0 41,0,2024-09-07 09:52:51:022,151749,1.3,155352,1.1,296249,1.9,403297,3.25 41,1,2024-09-07 09:52:50:767,1065173,1065173,0,0,499808682066,5228715800,1051401,11612,2160,369,391878,0 41,2,2024-09-07 09:52:50:759,764784,764783,1,0,37381433,0,5408 41,3,2024-09-07 09:52:51:694,1,607,1,0,366,6505,607,0 42,0,2024-09-07 09:52:51:485,151481,1.0,151122,1.0,302262,1.1,401489,2.75 42,1,2024-09-07 09:52:51:447,1063001,1063001,0,0,497894547698,5232417950,1046419,13649,2933,380,391675,0 42,2,2024-09-07 09:52:51:136,766483,766482,1,0,37757239,0,5513 42,3,2024-09-07 09:52:51:015,1,607,1,0,892,5646,607,0 43,0,2024-09-07 09:52:50:919,150057,0.7,146123,0.9,305773,0.7,400016,2.25 43,1,2024-09-07 09:52:50:579,1066576,1066576,0,0,499400493772,5218414720,1051837,12167,2572,366,391696,0 43,2,2024-09-07 09:52:51:737,768655,768655,0,0,35639484,0,4723 43,3,2024-09-07 09:52:51:759,1,607,3,0,571,8864,607,0 44,0,2024-09-07 09:52:50:859,152690,0.4,152557,0.6,305271,0.3,406049,1.75 44,1,2024-09-07 09:52:50:563,1069684,1069684,0,0,501187622969,5179997676,1060316,7427,1941,356,391809,0 44,2,2024-09-07 09:52:51:267,763582,763582,0,0,28846732,0,4344 44,3,2024-09-07 09:52:51:094,1,607,2,0,1097,7445,607,0 45,0,2024-09-07 09:52:51:784,151227,0.5,147795,0.7,310233,0.4,407145,2.00 45,1,2024-09-07 09:52:51:005,1068128,1068128,0,0,501238450685,5207399753,1059873,7352,903,382,391917,0 45,2,2024-09-07 09:52:51:276,769384,769384,0,0,29252445,0,3596 45,3,2024-09-07 09:52:50:933,1,607,0,0,531,5752,607,0 46,0,2024-09-07 09:52:50:955,150564,0.6,150085,0.8,301138,0.6,400091,2.25 46,1,2024-09-07 09:52:50:592,1070584,1070584,0,0,502584654173,5194027271,1063089,6507,988,366,391709,0 46,2,2024-09-07 09:52:50:600,770190,770190,0,0,29928187,0,4443 46,3,2024-09-07 09:52:51:133,1,607,1,0,908,7197,607,0 47,0,2024-09-07 09:52:51:106,151706,0.4,151576,0.6,304331,0.4,402974,2.00 47,1,2024-09-07 09:52:50:567,1071142,1071142,0,0,502247862018,5187288417,1065043,5237,862,364,391641,0 47,2,2024-09-07 09:52:50:908,771465,771465,0,0,28965814,0,4477 47,3,2024-09-07 09:52:51:116,1,607,1,0,600,6596,607,0 48,0,2024-09-07 09:52:51:586,151532,0.3,151527,0.4,302779,0.2,402420,1.50 48,1,2024-09-07 09:52:51:042,1068743,1068743,0,0,501213699241,5204134333,1062640,5525,578,381,391710,0 48,2,2024-09-07 09:52:50:709,766389,766389,0,0,27532993,0,3524 48,3,2024-09-07 09:52:50:753,1,607,9,0,339,5376,607,0 49,0,2024-09-07 09:52:51:714,157428,0.3,154229,0.5,300235,0.3,410203,1.75 49,1,2024-09-07 09:52:51:023,1067601,1067601,0,0,501408779115,5214810415,1060329,5576,1696,382,391809,0 49,2,2024-09-07 09:52:51:797,770367,770367,0,0,29788647,0,4426 49,3,2024-09-07 09:52:51:428,1,607,1,0,992,7185,607,0 50,0,2024-09-07 09:52:51:508,151828,0.3,150157,0.5,302619,0.2,402334,1.75 50,1,2024-09-07 09:52:51:017,1070596,1070596,0,0,502727308302,5195822657,1064070,5857,669,368,391691,0 50,2,2024-09-07 09:52:51:071,767730,767730,0,0,27605513,0,4490 50,3,2024-09-07 09:52:51:292,1,607,4,0,617,6203,607,0 51,0,2024-09-07 09:52:51:685,156014,0.3,152693,0.5,297389,0.2,405324,1.75 51,1,2024-09-07 09:52:51:679,1071098,1071098,0,0,503618132571,5192072233,1065437,4580,1081,365,391706,0 51,2,2024-09-07 09:52:51:316,768145,768145,0,0,27127768,0,3337 51,3,2024-09-07 09:52:51:026,1,607,1,0,678,4407,607,0 52,0,2024-09-07 09:52:51:421,152821,0.5,152422,0.6,305301,0.4,405533,2.00 52,1,2024-09-07 09:52:50:579,1065940,1065940,0,0,500233984648,5235671880,1050795,12815,2330,368,391805,0 52,2,2024-09-07 09:52:51:754,764152,764114,38,0,36725480,0,6742 52,3,2024-09-07 09:52:50:673,1,607,1,0,1782,7120,607,0 53,0,2024-09-07 09:52:51:760,152079,0.6,147622,0.8,308990,0.7,405071,2.25 53,1,2024-09-07 09:52:50:771,1064401,1064401,0,0,499157715965,5238448345,1046216,13789,4396,367,391968,0 53,2,2024-09-07 09:52:51:299,768075,768074,1,0,33031836,0,5455 53,3,2024-09-07 09:52:50:697,1,607,1,0,308,5671,607,0 54,0,2024-09-07 09:52:51:629,150930,0.6,151091,0.8,300959,0.5,401893,2.25 54,1,2024-09-07 09:52:50:582,1067580,1067580,0,0,501193412530,5212728018,1056465,9444,1671,366,391810,0 54,2,2024-09-07 09:52:50:866,768762,768730,32,0,36793436,0,6397 54,3,2024-09-07 09:52:50:762,1,607,8,0,676,7841,607,0 55,0,2024-09-07 09:52:51:767,147162,0.6,151842,0.8,307746,0.6,401108,2.50 55,1,2024-09-07 09:52:50:763,1067961,1067961,0,0,501044393050,5210702159,1057096,9476,1389,365,391731,0 55,2,2024-09-07 09:52:50:730,766516,766460,56,0,34201816,0,7239 55,3,2024-09-07 09:52:50:673,1,607,6,0,304,5563,607,0 56,0,2024-09-07 09:52:51:556,154402,1.1,145420,1.1,299859,1.4,401429,2.75 56,1,2024-09-07 09:52:50:577,1061032,1061032,0,0,498380099042,5270989106,1043062,14281,3689,381,391867,0 56,2,2024-09-07 09:52:51:306,766751,766629,122,0,36418556,0,7432 56,3,2024-09-07 09:52:51:064,1,607,8,0,705,6977,607,0 57,0,2024-09-07 09:52:50:953,151038,1.4,150635,1.2,301799,1.9,403426,3.00 57,1,2024-09-07 09:52:50:988,1063596,1063596,0,0,499158030183,5239338380,1049173,11933,2490,366,392032,0 57,2,2024-09-07 09:52:51:317,765169,765169,0,0,37983706,0,4804 57,3,2024-09-07 09:52:51:737,1,607,1,0,455,7059,607,0 58,0,2024-09-07 09:52:50:556,150317,0.9,146051,1.0,305514,1.2,399839,2.50 58,1,2024-09-07 09:52:50:583,1065141,1065138,0,3,499835654603,5239740299,1048991,12245,3902,367,391726,3 58,2,2024-09-07 09:52:51:071,768453,768453,0,0,35652078,0,3483 58,3,2024-09-07 09:52:51:070,1,607,2,0,1043,6753,607,0 59,0,2024-09-07 09:52:51:744,150466,0.9,150004,1.0,299729,1.0,398218,3.00 59,1,2024-09-07 09:52:50:808,1064006,1064006,0,0,499521015046,5249534123,1046170,14168,3668,369,391653,0 59,2,2024-09-07 09:52:50:583,768868,768868,0,0,33763203,0,3727 59,3,2024-09-07 09:52:51:737,1,607,1,0,1015,7434,607,0 60,0,2024-09-07 09:52:51:704,151077,0.5,151208,0.7,302743,0.5,403058,1.75 60,1,2024-09-07 09:52:50:775,1068875,1068875,0,0,501420337006,5209902873,1060921,6867,1087,370,392031,0 60,2,2024-09-07 09:52:51:141,768670,768670,0,0,31821487,0,3811 60,3,2024-09-07 09:52:51:266,1,607,76,0,409,7064,607,0 61,0,2024-09-07 09:52:51:618,151515,0.6,152044,0.8,302628,0.6,404362,2.00 61,1,2024-09-07 09:52:50:777,1066178,1066178,0,0,500687299413,5239857866,1055043,9455,1680,382,392127,0 61,2,2024-09-07 09:52:51:118,765438,765371,67,0,32375150,0,6411 61,3,2024-09-07 09:52:51:698,1,607,25,0,607,7882,607,0 62,0,2024-09-07 09:52:51:719,154162,0.6,157998,0.7,301822,0.6,410294,2.00 62,1,2024-09-07 09:52:51:116,1072248,1072242,0,6,502972923356,5184341266,1067274,4669,299,365,391975,6 62,2,2024-09-07 09:52:51:648,767187,767186,1,0,32407389,0,5555 62,3,2024-09-07 09:52:51:145,1,607,1,0,482,4833,607,0 63,0,2024-09-07 09:52:51:463,151883,0.4,151583,0.6,303730,0.4,403446,1.75 63,1,2024-09-07 09:52:50:811,1068798,1068792,0,6,501710571576,5208590635,1061946,5991,855,381,391800,6 63,2,2024-09-07 09:52:50:762,769394,769394,0,0,30786133,0,4369 63,3,2024-09-07 09:52:51:736,1,607,1,0,667,6219,607,0 64,0,2024-09-07 09:52:51:515,150726,0.5,150591,0.6,300929,0.4,400796,2.00 64,1,2024-09-07 09:52:50:777,1067611,1067611,0,0,501225986053,5219620008,1058267,7367,1977,370,391794,0 64,2,2024-09-07 09:52:51:141,771570,771551,19,0,29926157,0,6121 64,3,2024-09-07 09:52:51:140,1,607,39,0,651,6956,607,0 65,0,2024-09-07 09:52:51:688,150463,0.6,150815,0.7,301269,0.6,401105,2.00 65,1,2024-09-07 09:52:50:877,1065778,1065778,0,0,499241911316,5217141940,1058297,6607,874,381,391901,0 65,2,2024-09-07 09:52:51:693,765763,765763,0,0,35922118,0,3367 65,3,2024-09-07 09:52:51:684,1,607,1,0,782,6702,607,0 66,0,2024-09-07 09:52:51:765,152731,0.5,152317,0.7,304639,0.5,405903,2.00 66,1,2024-09-07 09:52:51:293,1068241,1068241,0,0,500643389044,5208367920,1061738,5781,722,380,391743,0 66,2,2024-09-07 09:52:51:133,771175,771172,3,0,31948179,0,5455 66,3,2024-09-07 09:52:51:079,1,607,1,0,291,5117,607,0 67,0,2024-09-07 09:52:51:445,151406,0.6,150967,0.7,303030,0.5,403001,2.00 67,1,2024-09-07 09:52:50:776,1067529,1067528,0,1,501590686217,5221158255,1060129,6454,945,380,391787,1 67,2,2024-09-07 09:52:50:582,770960,770945,15,0,31727675,0,6205 67,3,2024-09-07 09:52:51:760,1,607,3,0,595,6318,607,0 68,0,2024-09-07 09:52:50:578,152493,0.6,152252,0.7,303231,0.5,405815,2.00 68,1,2024-09-07 09:52:50:574,1063260,1063260,0,0,498637424843,5241931279,1049455,10275,3530,381,391953,0 68,2,2024-09-07 09:52:51:044,763915,763815,100,0,39891782,0,8578 68,3,2024-09-07 09:52:50:731,1,607,13,0,417,7800,607,0 69,0,2024-09-07 09:52:51:730,151528,0.6,152250,0.8,303589,0.6,403790,2.25 69,1,2024-09-07 09:52:51:017,1062387,1062387,0,0,499225472829,5260351028,1048522,11032,2833,383,391994,0 69,2,2024-09-07 09:52:51:742,764994,764965,29,0,42436760,0,6912 69,3,2024-09-07 09:52:50:761,1,607,10,0,698,8672,607,0 70,0,2024-09-07 09:52:51:530,151076,0.7,151693,0.9,304809,0.6,403753,2.50 70,1,2024-09-07 09:52:50:801,1069190,1069190,0,0,501876754219,5206662841,1061273,7109,808,366,391725,0 70,2,2024-09-07 09:52:51:325,766958,766958,0,0,34950557,0,4323 70,3,2024-09-07 09:52:50:752,1,607,14,0,854,6667,607,0 71,0,2024-09-07 09:52:51:418,151516,1.0,151118,1.0,303352,1.2,405415,2.75 71,1,2024-09-07 09:52:51:600,1066737,1066737,0,0,500047818959,5218787149,1053736,11380,1621,368,391738,0 71,2,2024-09-07 09:52:51:070,767488,767488,0,0,35233266,0,4352 71,3,2024-09-07 09:52:51:759,1,607,0,0,644,6801,607,0 72,0,2024-09-07 09:52:51:077,157323,0.6,153755,0.7,300038,0.5,408536,2.00 72,1,2024-09-07 09:52:51:023,1065047,1065047,0,0,499936086144,5243639753,1049744,12788,2515,369,391819,0 72,2,2024-09-07 09:52:51:773,766685,766685,0,0,36744836,0,3983 72,3,2024-09-07 09:52:51:759,1,607,6,0,564,8934,607,0 73,0,2024-09-07 09:52:51:155,147570,0.4,151374,0.6,309442,0.4,402553,2.00 73,1,2024-09-07 09:52:50:778,1066379,1066379,0,0,500679551099,5204639179,1057969,7428,982,367,391858,0 73,2,2024-09-07 09:52:51:747,767885,767884,1,0,38374076,0,5027 73,3,2024-09-07 09:52:50:969,1,607,1,0,1091,8418,607,0 74,0,2024-09-07 09:52:51:324,153351,0.5,157009,0.6,299639,0.4,406264,2.00 74,1,2024-09-07 09:52:50:643,1065625,1065625,0,0,499442988450,5214640939,1053720,9789,2116,381,391762,0 74,2,2024-09-07 09:52:51:002,763855,763855,0,0,33364382,0,4253 74,3,2024-09-07 09:52:51:452,1,607,6,0,522,7438,607,0 75,0,2024-09-07 09:52:51:774,153286,0.5,152269,0.7,305680,0.5,408491,2.25 75,1,2024-09-07 09:52:51:598,1066627,1066627,0,0,500713496047,5217763527,1058002,7757,868,380,391739,0 75,2,2024-09-07 09:52:51:355,767218,767218,0,0,40546263,0,4766 75,3,2024-09-07 09:52:51:070,1,607,1,0,918,8230,607,0 76,0,2024-09-07 09:52:50:628,150905,0.6,150263,0.8,300564,0.6,401732,2.25 76,1,2024-09-07 09:52:50:830,1066665,1066665,0,0,499988347613,5213152230,1059332,6342,991,382,391790,0 76,2,2024-09-07 09:52:51:062,771290,771287,3,0,32759954,0,5265 76,3,2024-09-07 09:52:51:146,1,607,1,0,227,5500,607,0 77,0,2024-09-07 09:52:51:701,150943,0.5,151457,0.7,302706,0.5,402289,2.00 77,1,2024-09-07 09:52:50:828,1066374,1066374,0,0,500314787428,5218194538,1058386,7109,879,381,391869,0 77,2,2024-09-07 09:52:51:282,767617,767617,0,0,31883989,0,3890 77,3,2024-09-07 09:52:51:094,1,607,2,0,401,6838,607,0 78,0,2024-09-07 09:52:51:722,151718,0.4,151007,0.6,302915,0.4,400982,2.00 78,1,2024-09-07 09:52:50:640,1067015,1067015,0,0,500654420938,5220743460,1054174,9924,2917,367,391670,0 78,2,2024-09-07 09:52:51:413,767158,767145,13,0,29807022,0,8313 78,3,2024-09-07 09:52:51:133,1,607,1,0,311,5077,607,0 79,0,2024-09-07 09:52:51:369,147954,0.4,151561,0.6,310522,0.3,404886,2.00 79,1,2024-09-07 09:52:50:571,1070304,1070304,0,0,502701491242,5202324830,1062459,6485,1360,367,391682,0 79,2,2024-09-07 09:52:51:070,769533,769533,0,0,28953993,0,4195 79,3,2024-09-07 09:52:50:749,1,607,4,0,418,7171,607,0 80,0,2024-09-07 09:52:51:180,151064,0.6,155190,0.7,296691,0.6,401376,2.00 80,1,2024-09-07 09:52:51:621,1066462,1066462,0,0,500313084743,5209343026,1059304,6706,452,368,392269,0 80,2,2024-09-07 09:52:51:103,770960,770960,0,0,29647218,0,4433 80,3,2024-09-07 09:52:50:578,1,607,0,0,681,7399,607,0 81,0,2024-09-07 09:52:51:561,151992,0.5,155520,0.7,296941,0.5,402576,2.00 81,1,2024-09-07 09:52:51:668,1065805,1065805,0,0,500017506250,5223883288,1057640,7397,768,382,391885,0 81,2,2024-09-07 09:52:51:135,767047,766984,63,0,32882563,0,5932 81,3,2024-09-07 09:52:51:130,1,607,8,0,719,7014,607,0 82,0,2024-09-07 09:52:51:548,152178,0.4,152289,0.7,305411,0.4,404603,2.00 82,1,2024-09-07 09:52:50:583,1068026,1068022,0,4,500724980056,5204093508,1062450,4749,823,381,391768,4 82,2,2024-09-07 09:52:51:694,769444,769444,0,0,27850825,0,4484 82,3,2024-09-07 09:52:51:752,1,607,2,0,363,5703,607,0 83,0,2024-09-07 09:52:51:525,152777,0.6,152696,0.7,304997,0.5,405354,2.00 83,1,2024-09-07 09:52:50:551,1066698,1066698,0,0,500568502108,5217453395,1059110,6943,645,382,391709,0 83,2,2024-09-07 09:52:50:764,768246,768221,25,0,29988556,0,5612 83,3,2024-09-07 09:52:50:749,1,607,1,0,1260,7235,607,0 84,0,2024-09-07 09:52:51:763,151094,0.8,151101,0.9,302158,0.8,403497,2.25 84,1,2024-09-07 09:52:51:049,1064601,1064601,0,0,499270875603,5221901971,1052347,10581,1673,367,391967,0 84,2,2024-09-07 09:52:50:569,766502,766092,410,0,43752627,0,17037 84,3,2024-09-07 09:52:51:146,1,607,42,0,908,8135,607,0 85,0,2024-09-07 09:52:51:015,146464,0.6,146429,0.8,310825,0.6,401430,2.25 85,1,2024-09-07 09:52:50:559,1061877,1061877,0,0,498089317398,5259250463,1045557,13521,2799,381,392092,0 85,2,2024-09-07 09:52:50:868,766797,766797,0,0,36917451,0,4255 85,3,2024-09-07 09:52:50:684,1,607,1,0,789,7025,607,0 86,0,2024-09-07 09:52:50:887,151115,0.6,155342,0.8,297124,0.7,402016,2.25 86,1,2024-09-07 09:52:50:824,1064865,1064865,0,0,499938477322,5242179808,1050944,11234,2687,366,392169,0 86,2,2024-09-07 09:52:50:864,766740,766739,1,0,39208469,0,5004 86,3,2024-09-07 09:52:50:588,1,607,2,0,308,8607,607,0 87,0,2024-09-07 09:52:51:310,152675,0.8,152103,0.8,304193,0.9,406927,2.25 87,1,2024-09-07 09:52:50:602,1064303,1064303,0,0,499403618386,5231953119,1050919,11587,1797,366,392076,0 87,2,2024-09-07 09:52:51:070,764431,764425,6,0,35497105,0,6323 87,3,2024-09-07 09:52:51:795,1,607,12,0,473,8627,607,0 88,0,2024-09-07 09:52:51:448,152708,0.4,153166,0.6,305890,0.4,407461,1.75 88,1,2024-09-07 09:52:50:571,1063398,1063398,0,0,499198975513,5233028046,1048012,12341,3045,365,392084,0 88,2,2024-09-07 09:52:50:687,767376,767376,0,0,38330849,0,4465 88,3,2024-09-07 09:52:51:276,1,607,48,0,1080,9387,607,0 89,0,2024-09-07 09:52:51:790,156098,0.5,151382,0.7,298772,0.4,406667,1.75 89,1,2024-09-07 09:52:50:562,1063368,1063368,0,0,499654416117,5254652354,1050383,11041,1944,382,391866,0 89,2,2024-09-07 09:52:51:508,768412,768412,0,0,36109667,0,3173 89,3,2024-09-07 09:52:51:803,1,607,1,0,468,10125,607,0 90,0,2024-09-07 09:52:51:636,146746,0.5,150712,0.6,307504,0.4,401159,2.00 90,1,2024-09-07 09:52:50:605,1065227,1065227,0,0,499688017002,5233180509,1055130,9204,893,380,391825,0 90,2,2024-09-07 09:52:51:410,765352,765347,5,0,39679096,0,6370 90,3,2024-09-07 09:52:50:930,1,607,16,0,322,7343,607,0 91,0,2024-09-07 09:52:50:938,151979,0.4,147498,0.6,308676,0.4,404961,1.75 91,1,2024-09-07 09:52:50:566,1062429,1062429,0,0,498891320720,5256849273,1046569,12712,3148,381,392047,0 91,2,2024-09-07 09:52:51:335,764899,764899,0,0,34772283,0,4713 91,3,2024-09-07 09:52:50:600,1,607,12,0,216,5436,607,0 92,0,2024-09-07 09:52:51:592,155221,0.4,158655,0.6,302428,0.4,410476,1.75 92,1,2024-09-07 09:52:50:595,1066022,1066022,0,0,500863611333,5230437218,1057655,7079,1288,381,392136,0 92,2,2024-09-07 09:52:51:360,769141,769141,0,0,30490186,0,3259 92,3,2024-09-07 09:52:51:008,1,607,1,0,167,5218,607,0 93,0,2024-09-07 09:52:50:955,152271,0.4,155966,0.6,297897,0.4,403437,1.75 93,1,2024-09-07 09:52:50:809,1066476,1066476,0,0,500192704658,5219171064,1054939,9609,1928,365,392048,0 93,2,2024-09-07 09:52:50:962,769118,769118,0,0,36295525,0,4913 93,3,2024-09-07 09:52:51:406,1,607,1,0,294,5918,607,0 94,0,2024-09-07 09:52:51:624,150779,0.4,151898,0.5,303758,0.3,403186,1.75 94,1,2024-09-07 09:52:50:572,1067193,1067193,0,0,500452963983,5217676279,1060242,6516,435,381,391850,0 94,2,2024-09-07 09:52:50:760,767882,767854,28,0,31209147,0,6179 94,3,2024-09-07 09:52:51:694,1,607,1,0,576,7465,607,0 95,0,2024-09-07 09:52:51:418,151677,0.3,151683,0.5,303583,0.3,403858,1.75 95,1,2024-09-07 09:52:50:861,1067998,1067998,0,0,501355299308,5208819082,1059559,7689,750,365,391852,0 95,2,2024-09-07 09:52:51:018,765859,765859,0,0,30647571,0,3308 95,3,2024-09-07 09:52:51:709,1,607,2,0,718,9423,607,0 96,0,2024-09-07 09:52:51:029,153215,0.4,153628,0.5,306934,0.3,408304,1.75 96,1,2024-09-07 09:52:51:591,1066605,1066605,0,0,500019645070,5214418438,1059218,6113,1274,384,391964,0 96,2,2024-09-07 09:52:51:274,769666,769666,0,0,31861980,0,4180 96,3,2024-09-07 09:52:51:149,1,607,3,0,411,6978,607,0 97,0,2024-09-07 09:52:51:352,151581,0.3,151359,0.5,303644,0.3,402851,1.75 97,1,2024-09-07 09:52:50:762,1067891,1067891,0,0,501457087693,5203062644,1060960,5893,1038,367,392140,0 97,2,2024-09-07 09:52:50:606,769597,769597,0,0,31509198,0,4046 97,3,2024-09-07 09:52:50:568,1,607,5,0,242,6847,607,0 98,0,2024-09-07 09:52:51:744,151993,0.3,152092,0.5,305373,0.2,405937,1.50 98,1,2024-09-07 09:52:50:585,1066944,1066944,0,0,500546005196,5213336187,1060453,5656,835,381,391997,0 98,2,2024-09-07 09:52:50:771,767698,767698,0,0,30264465,0,4336 98,3,2024-09-07 09:52:50:698,1,607,27,0,840,9143,607,0 99,0,2024-09-07 09:52:51:456,152170,0.3,153026,0.5,304494,0.3,406423,1.75 99,1,2024-09-07 09:52:51:729,1067802,1067802,0,0,500159095087,5204083004,1061433,5427,942,380,392069,0 99,2,2024-09-07 09:52:51:428,767716,767716,0,0,37489045,0,4276 99,3,2024-09-07 09:52:50:581,1,607,2,0,1124,7778,607,0 100,0,2024-09-07 09:52:51:477,152370,0.7,152629,0.9,305139,0.8,407216,2.25 100,1,2024-09-07 09:52:50:549,1061340,1061340,0,0,497676663279,5261053383,1045316,12706,3318,378,391989,0 100,2,2024-09-07 09:52:51:818,763057,762668,389,0,40751153,0,16909 100,3,2024-09-07 09:52:51:739,1,607,3,0,627,10129,607,0 101,0,2024-09-07 09:52:51:723,155949,1.1,152121,1.0,297738,1.1,407108,2.25 101,1,2024-09-07 09:52:50:551,1063354,1063354,0,0,499211530575,5245720500,1049208,11618,2528,368,391847,0 101,2,2024-09-07 09:52:51:756,763673,763673,0,0,40738752,0,4871 101,3,2024-09-07 09:52:50:943,1,607,5,0,1250,9055,607,0 102,0,2024-09-07 09:52:50:961,148286,0.7,152630,0.8,310196,0.7,406063,2.25 102,1,2024-09-07 09:52:51:146,1063252,1063252,0,0,498883741341,5240564089,1049122,11930,2200,369,391984,0 102,2,2024-09-07 09:52:51:738,769173,769119,54,0,34885844,0,6768 102,3,2024-09-07 09:52:51:629,1,607,1,0,466,6940,607,0 103,0,2024-09-07 09:52:51:616,156463,0.6,156500,0.7,294832,0.6,405840,2.00 103,1,2024-09-07 09:52:51:631,1061859,1061859,0,0,498478447885,5264999343,1044771,13485,3603,381,392077,0 103,2,2024-09-07 09:52:50:590,765753,765753,0,0,37673070,0,3766 103,3,2024-09-07 09:52:50:755,1,607,7,0,916,7132,607,0 104,0,2024-09-07 09:52:51:021,151273,0.7,151502,0.9,302327,0.7,404370,2.25 104,1,2024-09-07 09:52:51:603,1064600,1064600,0,0,499273455408,5245801115,1049066,12690,2844,365,392168,0 104,2,2024-09-07 09:52:51:669,762164,762164,0,0,37097704,0,4161 104,3,2024-09-07 09:52:51:416,1,607,1,0,1245,10703,607,0 105,0,2024-09-07 09:52:51:033,151262,0.9,147408,1.1,308934,1.0,406243,2.75 105,1,2024-09-07 09:52:50:555,1066038,1066038,0,0,500237325907,5240631421,1052467,11629,1942,364,392009,0 105,2,2024-09-07 09:52:51:321,767614,767614,0,0,37431308,0,4360 105,3,2024-09-07 09:52:51:308,1,607,6,0,573,9211,607,0 106,0,2024-09-07 09:52:51:017,146141,1.0,149741,1.0,306625,1.2,400267,2.50 106,1,2024-09-07 09:52:51:750,1064907,1064907,0,0,498704524958,5233900105,1050241,12771,1895,368,391914,0 106,2,2024-09-07 09:52:50:757,767472,767472,0,0,35216518,0,3331 106,3,2024-09-07 09:52:50:696,1,607,1,0,1224,8429,607,0 107,0,2024-09-07 09:52:51:139,151141,0.9,151208,0.9,302363,1.1,402977,2.25 107,1,2024-09-07 09:52:50:594,1061873,1061873,0,0,498104439170,5264145904,1044481,15258,2134,381,392234,0 107,2,2024-09-07 09:52:51:299,764945,764944,1,0,38357434,0,5024 107,3,2024-09-07 09:52:51:754,1,607,1,0,733,9307,607,0 108,0,2024-09-07 09:52:51:792,151086,0.4,151487,0.6,301958,0.4,402511,1.75 108,1,2024-09-07 09:52:51:293,1066156,1066156,0,0,500480340283,5218733292,1057460,7701,995,367,391894,0 108,2,2024-09-07 09:52:51:755,765336,765336,0,0,32862048,0,4246 108,3,2024-09-07 09:52:51:335,1,607,1,0,749,10887,607,0 109,0,2024-09-07 09:52:51:761,154044,0.4,152791,0.6,306199,0.3,409363,1.75 109,1,2024-09-07 09:52:50:612,1063409,1063409,0,0,499657078299,5240160417,1053930,8201,1278,382,392132,0 109,2,2024-09-07 09:52:50:928,766894,766894,0,0,33754130,0,3617 109,3,2024-09-07 09:52:51:140,1,607,1,0,630,7354,607,0 110,0,2024-09-07 09:52:51:814,151100,0.4,146909,0.6,307724,0.4,403154,1.75 110,1,2024-09-07 09:52:51:669,1067925,1067925,0,0,501080709848,5200966850,1059880,6118,1927,368,392045,0 110,2,2024-09-07 09:52:51:309,769379,769379,0,0,31257572,0,4067 110,3,2024-09-07 09:52:50:694,1,607,2,0,722,8051,607,0 111,0,2024-09-07 09:52:51:428,152768,0.4,151978,0.5,303818,0.3,404862,1.75 111,1,2024-09-07 09:52:51:000,1069174,1069174,0,0,501875586559,5203782254,1063691,5102,381,380,391690,0 111,2,2024-09-07 09:52:51:116,767707,767707,0,0,31734369,0,4823 111,3,2024-09-07 09:52:50:913,1,607,1,0,379,6542,607,0 112,0,2024-09-07 09:52:50:912,153091,0.3,152610,0.4,305702,0.2,405878,1.50 112,1,2024-09-07 09:52:50:825,1067883,1067883,0,0,500712044175,5199393275,1060681,5977,1225,380,391624,0 112,2,2024-09-07 09:52:51:136,767552,767551,1,0,30145350,0,5036 112,3,2024-09-07 09:52:50:594,1,607,1,0,282,5743,607,0 113,0,2024-09-07 09:52:50:881,152866,0.3,152794,0.5,305960,0.2,407765,1.75 113,1,2024-09-07 09:52:51:694,1070303,1070303,0,0,503275898598,5192311374,1064071,5284,948,365,391664,0 113,2,2024-09-07 09:52:51:310,770858,770858,0,0,28589864,0,3813 113,3,2024-09-07 09:52:50:684,1,607,5,0,510,6510,607,0 114,0,2024-09-07 09:52:50:886,152762,0.3,153681,0.5,306442,0.2,408901,1.75 114,1,2024-09-07 09:52:50:719,1068300,1068300,0,0,500928097836,5201331217,1060358,6195,1747,381,391565,0 114,2,2024-09-07 09:52:50:873,770382,770381,1,0,29785777,0,5069 114,3,2024-09-07 09:52:51:283,1,607,1,0,395,4874,607,0 115,0,2024-09-07 09:52:50:556,153136,0.3,153785,0.4,306080,0.2,407480,1.50 115,1,2024-09-07 09:52:50:571,1068583,1068583,0,0,501275508771,5207157915,1060327,6739,1517,382,391757,0 115,2,2024-09-07 09:52:51:124,770207,770207,0,0,29875659,0,4382 115,3,2024-09-07 09:52:51:002,1,607,1,0,167,3726,607,0 116,0,2024-09-07 09:52:51:729,151138,0.6,150973,0.8,302588,0.6,404305,2.00 116,1,2024-09-07 09:52:50:819,1062452,1062452,0,0,498314025546,5251832884,1049797,9564,3091,380,392089,0 116,2,2024-09-07 09:52:51:752,767609,767609,0,0,37421004,0,4475 116,3,2024-09-07 09:52:50:921,1,607,1,0,448,7796,607,0 117,0,2024-09-07 09:52:50:965,152862,0.7,152198,0.8,304999,0.7,408108,2.00 117,1,2024-09-07 09:52:51:587,1064464,1064464,0,0,498948952776,5225512669,1052680,10261,1523,369,392429,0 117,2,2024-09-07 09:52:51:125,767014,767014,0,0,33774913,0,4303 117,3,2024-09-07 09:52:51:062,1,607,2,0,490,7696,607,0 118,0,2024-09-07 09:52:51:805,148054,0.5,151951,0.7,310331,0.5,405884,2.00 118,1,2024-09-07 09:52:50:592,1063545,1063545,0,0,498416706228,5240783806,1047700,12221,3624,366,392054,0 118,2,2024-09-07 09:52:51:613,766950,766950,0,0,36079306,0,2842 118,3,2024-09-07 09:52:51:765,1,607,5,0,289,7180,607,0 119,0,2024-09-07 09:52:51:349,151505,0.8,152173,0.8,303642,0.9,405091,2.25 119,1,2024-09-07 09:52:50:551,1064441,1064441,0,0,499511138678,5239163073,1049744,12185,2512,367,391857,0 119,2,2024-09-07 09:52:51:262,769617,769617,0,0,34047592,0,4309 119,3,2024-09-07 09:52:51:328,1,607,3,0,1358,11108,607,0 120,0,2024-09-07 09:52:51:633,150330,0.6,150235,0.8,301846,0.7,402170,2.25 120,1,2024-09-07 09:52:50:858,1065216,1065216,0,0,499166530873,5235747984,1053511,10563,1142,367,392144,0 120,2,2024-09-07 09:52:50:771,767241,767238,3,0,40430050,0,5363 120,3,2024-09-07 09:52:51:291,1,607,4,0,279,7327,607,0 121,0,2024-09-07 09:52:51:734,151735,1.1,151221,1.0,302716,1.3,403936,2.25 121,1,2024-09-07 09:52:51:666,1064768,1064768,0,0,499563604627,5227543965,1053477,9738,1553,366,391840,0 121,2,2024-09-07 09:52:51:130,763981,763981,0,0,36801925,0,4157 121,3,2024-09-07 09:52:50:730,1,607,0,0,387,8037,607,0 122,0,2024-09-07 09:52:51:779,152950,0.8,148825,0.9,311907,0.9,410292,2.00 122,1,2024-09-07 09:52:50:860,1063823,1063823,0,0,499106716673,5239296482,1048816,12595,2412,365,392130,0 122,2,2024-09-07 09:52:51:320,769141,769066,75,0,40551209,0,5989 122,3,2024-09-07 09:52:50:596,1,607,1,0,512,9798,607,0 123,0,2024-09-07 09:52:50:976,150808,0.9,146648,0.9,307142,1.0,402133,2.25 123,1,2024-09-07 09:52:50:558,1064165,1064165,0,0,499854868234,5255650214,1047347,14146,2672,369,392039,0 123,2,2024-09-07 09:52:51:018,766550,766549,1,0,34649172,0,5215 123,3,2024-09-07 09:52:51:135,1,607,1,0,478,6921,607,0 124,0,2024-09-07 09:52:50:950,155551,0.3,155499,0.5,293219,0.3,403672,1.75 124,1,2024-09-07 09:52:51:023,1068289,1068289,0,0,500232560370,5199134857,1060553,6561,1175,365,392178,0 124,2,2024-09-07 09:52:51:013,769802,769749,53,0,31087982,0,6487 124,3,2024-09-07 09:52:50:759,1,607,2,0,490,5982,607,0 125,0,2024-09-07 09:52:51:428,151403,0.4,151448,0.5,303784,0.3,403791,1.75 125,1,2024-09-07 09:52:50:860,1064777,1064777,0,0,499423737793,5212318223,1056708,6917,1152,382,391968,0 125,2,2024-09-07 09:52:51:117,769062,769062,0,0,31232020,0,4534 125,3,2024-09-07 09:52:51:125,1,607,5,0,709,6949,607,0 126,0,2024-09-07 09:52:51:414,153431,0.4,157761,0.5,301800,0.4,408900,1.75 126,1,2024-09-07 09:52:50:566,1069085,1069085,0,0,501598310233,5191627062,1063566,5072,447,365,391987,0 126,2,2024-09-07 09:52:50:609,770287,770287,0,0,32512279,0,4539 126,3,2024-09-07 09:52:50:913,1,607,3,0,268,6643,607,0 127,0,2024-09-07 09:52:51:606,151971,0.3,152009,0.5,303371,0.3,403252,1.75 127,1,2024-09-07 09:52:50:572,1067088,1067088,0,0,500683953569,5208776494,1056410,9041,1637,364,392187,0 127,2,2024-09-07 09:52:50:646,768852,768848,4,0,30610253,0,5305 127,3,2024-09-07 09:52:51:269,1,607,1,0,968,5979,607,0 128,0,2024-09-07 09:52:51:538,152980,0.3,153029,0.4,305796,0.2,406667,1.50 128,1,2024-09-07 09:52:51:612,1066986,1066986,0,0,500333826143,5204031931,1058570,7451,965,367,392423,0 128,2,2024-09-07 09:52:51:385,769554,769554,0,0,28524570,0,3171 128,3,2024-09-07 09:52:50:780,1,607,5,0,1082,9601,607,0 129,0,2024-09-07 09:52:51:064,153442,0.3,152663,0.5,305952,0.3,406923,1.50 129,1,2024-09-07 09:52:50:567,1063880,1063880,0,0,499489056428,5234543780,1053411,8447,2022,379,391962,0 129,2,2024-09-07 09:52:50:689,769891,769887,4,0,31100890,0,5335 129,3,2024-09-07 09:52:50:688,1,607,9,0,506,8296,607,0 130,0,2024-09-07 09:52:51:731,153520,0.4,153024,0.5,306874,0.4,408491,1.75 130,1,2024-09-07 09:52:50:598,1068101,1068101,0,0,500759269260,5200522408,1062660,5043,398,381,391825,0 130,2,2024-09-07 09:52:51:129,767045,767045,0,0,31312154,0,4067 130,3,2024-09-07 09:52:51:293,1,607,1,0,960,8308,607,0 131,0,2024-09-07 09:52:51:930,152726,0.3,153200,0.5,307372,0.3,408881,1.75 131,1,2024-09-07 09:52:51:824,1067109,1067109,0,0,500641175607,5217821814,1059876,6035,1198,381,391865,0 131,2,2024-09-07 09:52:50:720,768999,768999,0,0,29057432,0,3979 131,3,2024-09-07 09:52:51:688,1,607,1,0,392,7733,607,0 132,0,2024-09-07 09:52:51:423,152728,0.5,153560,0.6,305977,0.5,407076,2.00 132,1,2024-09-07 09:52:50:580,1062658,1062658,0,0,498495248882,5248322083,1047213,12741,2704,381,392532,0 132,2,2024-09-07 09:52:50:699,768423,768406,17,0,37932099,0,6451 132,3,2024-09-07 09:52:51:693,1,607,1,0,1298,10866,607,0 133,0,2024-09-07 09:52:51:536,147733,0.4,151110,0.6,309750,0.4,403399,2.00 133,1,2024-09-07 09:52:50:627,1063303,1063303,0,0,498889976735,5255859163,1049223,12316,1764,383,391914,0 133,2,2024-09-07 09:52:51:097,768336,768286,50,0,38926234,0,6861 133,3,2024-09-07 09:52:51:297,1,607,2,0,528,7333,607,0 134,0,2024-09-07 09:52:50:949,152623,0.5,152762,0.7,305585,0.5,406580,2.00 134,1,2024-09-07 09:52:50:604,1064336,1064336,0,0,499293954637,5236565762,1050553,11295,2488,366,391781,0 134,2,2024-09-07 09:52:51:765,764834,764810,24,0,35933320,0,6207 134,3,2024-09-07 09:52:50:750,1,607,3,0,739,7454,607,0 135,0,2024-09-07 09:52:51:113,148171,0.8,148206,0.8,314679,0.9,405771,2.25 135,1,2024-09-07 09:52:51:600,1063593,1063593,0,0,499148870810,5247590015,1050564,11388,1641,380,391805,0 135,2,2024-09-07 09:52:50:697,769124,769124,0,0,36893339,0,4503 135,3,2024-09-07 09:52:51:002,1,607,0,0,900,5915,607,0 136,0,2024-09-07 09:52:51:619,151726,0.6,152259,0.8,302165,0.6,403374,2.00 136,1,2024-09-07 09:52:51:446,1065003,1065003,0,0,499029972667,5230580556,1053097,10516,1390,381,392135,0 136,2,2024-09-07 09:52:51:135,770488,770473,15,0,36235718,0,6007 136,3,2024-09-07 09:52:51:108,1,607,0,0,637,6976,607,0 137,0,2024-09-07 09:52:50:930,156170,0.6,152239,0.7,298257,0.6,404775,2.00 137,1,2024-09-07 09:52:50:580,1063951,1063951,0,0,498976794965,5234024364,1047407,13155,3389,366,391898,0 137,2,2024-09-07 09:52:51:758,766782,766782,0,0,37328714,0,3185 137,3,2024-09-07 09:52:50:770,1,607,3,0,484,8265,607,0 138,0,2024-09-07 09:52:51:756,150392,0.7,150807,0.8,301946,0.8,400973,2.00 138,1,2024-09-07 09:52:51:685,1064627,1064627,0,0,500019293841,5244840920,1049852,12505,2270,368,391954,0 138,2,2024-09-07 09:52:50:607,764426,764426,0,0,35494957,0,4988 138,3,2024-09-07 09:52:50:609,1,607,11,0,1200,9534,607,0 139,0,2024-09-07 09:52:51:383,151937,1.0,152507,0.9,304910,1.4,407598,2.25 139,1,2024-09-07 09:52:50:571,1059853,1059853,0,0,496196105195,5261093635,1041465,14563,3825,380,392109,0 139,2,2024-09-07 09:52:50:700,763666,763636,30,0,40983238,0,5997 139,3,2024-09-07 09:52:51:672,1,607,1,0,432,7168,607,0 140,0,2024-09-07 09:52:51:600,151813,0.3,150910,0.5,302791,0.2,403505,1.75 140,1,2024-09-07 09:52:51:535,1070512,1070512,0,0,502244455829,5176315930,1065540,4424,548,364,391606,0 140,2,2024-09-07 09:52:50:686,769875,769874,1,0,28953946,0,5036 140,3,2024-09-07 09:52:50:766,1,607,1,0,575,6007,607,0 141,0,2024-09-07 09:52:51:702,152263,0.3,156537,0.5,299212,0.2,404654,1.75 141,1,2024-09-07 09:52:50:859,1069185,1069185,0,0,501437491913,5207925539,1060985,7007,1193,379,391614,0 141,2,2024-09-07 09:52:51:694,768264,768253,11,0,31064711,0,5369 141,3,2024-09-07 09:52:51:043,1,607,1,0,391,6979,607,0 142,0,2024-09-07 09:52:51:384,153258,0.3,152444,0.5,305034,0.2,406813,1.50 142,1,2024-09-07 09:52:50:593,1067028,1067028,0,0,500493940649,5215810688,1060313,6207,508,382,392102,0 142,2,2024-09-07 09:52:51:317,767047,767015,32,0,31573950,0,6028 142,3,2024-09-07 09:52:51:748,1,607,7,0,484,6398,607,0 143,0,2024-09-07 09:52:51:379,152687,0.4,152693,0.5,306252,0.4,407774,1.75 143,1,2024-09-07 09:52:50:556,1068973,1068973,0,0,501021064620,5196551882,1062506,5899,568,367,391900,0 143,2,2024-09-07 09:52:50:774,768785,768785,0,0,31462407,0,3123 143,3,2024-09-07 09:52:51:144,1,607,15,0,462,7314,607,0 144,0,2024-09-07 09:52:51:509,147983,0.6,152307,0.8,309912,0.6,405475,2.00 144,1,2024-09-07 09:52:50:566,1063754,1063754,0,0,499502950644,5240846591,1053158,8602,1994,381,391733,0 144,2,2024-09-07 09:52:51:754,769638,769638,0,0,30879554,0,4443 144,3,2024-09-07 09:52:51:740,1,607,1,0,249,5969,607,0 145,0,2024-09-07 09:52:51:377,147584,0.6,147531,0.8,313101,0.5,403349,2.25 145,1,2024-09-07 09:52:50:560,1062901,1062901,0,0,498850439122,5248769393,1048875,11353,2673,382,391781,0 145,2,2024-09-07 09:52:51:433,766588,766506,82,0,36859432,0,7814 145,3,2024-09-07 09:52:50:897,1,607,5,0,622,8071,607,0 146,0,2024-09-07 09:52:51:606,151454,0.5,150694,0.7,302992,0.5,402527,2.00 146,1,2024-09-07 09:52:51:600,1064196,1064196,0,0,499245428704,5252848751,1046692,13023,4481,367,391770,0 146,2,2024-09-07 09:52:51:706,766620,766614,6,0,35019938,0,5151 146,3,2024-09-07 09:52:51:275,1,607,4,0,1520,9921,607,0 147,0,2024-09-07 09:52:51:702,152846,0.6,152495,0.7,304798,0.5,407397,2.00 147,1,2024-09-07 09:52:51:374,1068213,1068213,0,0,500851930846,5203548695,1059717,7529,967,367,391791,0 147,2,2024-09-07 09:52:51:014,765447,765447,0,0,31012555,0,2968 147,3,2024-09-07 09:52:50:916,1,607,1,0,1626,9610,607,0 0,0,2024-09-07 09:53:01:730,147129,0.6,147180,0.7,312403,0.6,403318,2.00 0,1,2024-09-07 09:53:00:812,1066629,1066629,0,0,500676151023,5240206691,1058084,7599,946,368,391896,0 0,2,2024-09-07 09:53:01:067,770579,770579,0,0,31122353,0,4480 0,3,2024-09-07 09:53:00:975,1,608,1,0,431,8684,608,0 1,0,2024-09-07 09:53:01:763,151894,0.8,150873,0.9,303131,1.0,405302,2.00 1,1,2024-09-07 09:53:00:623,1065962,1065962,0,0,499215079962,5228379061,1056484,7987,1491,370,391859,0 1,2,2024-09-07 09:53:00:640,766364,766364,0,0,30532891,0,3380 1,3,2024-09-07 09:53:01:322,1,608,17,0,269,7540,608,0 2,0,2024-09-07 09:53:01:579,153903,0.6,153649,0.7,307010,0.6,409951,2.00 2,1,2024-09-07 09:53:00:869,1069210,1069210,0,0,501757692245,5216363515,1063035,5225,950,379,391745,0 2,2,2024-09-07 09:53:01:266,770349,770349,0,0,29180046,0,3594 2,3,2024-09-07 09:53:00:690,1,608,1,0,357,5440,608,0 3,0,2024-09-07 09:53:01:751,151384,0.4,151300,0.6,301992,0.4,402503,2.00 3,1,2024-09-07 09:53:01:618,1067766,1067766,0,0,501058036802,5210635951,1060154,6907,705,379,391716,0 3,2,2024-09-07 09:53:01:143,771376,771353,23,0,30267166,0,5851 3,3,2024-09-07 09:53:01:754,1,608,0,0,484,4614,608,0 4,0,2024-09-07 09:53:01:792,147168,0.4,151274,0.5,308272,0.3,403174,1.75 4,1,2024-09-07 09:53:00:593,1063173,1063173,0,0,498497266557,5275130845,1045359,14060,3754,369,391992,0 4,2,2024-09-07 09:53:01:018,766247,766247,0,0,37121884,0,4534 4,3,2024-09-07 09:53:01:035,1,608,0,0,448,7378,608,0 5,0,2024-09-07 09:53:01:392,151784,0.4,151703,0.5,303551,0.3,403303,1.75 5,1,2024-09-07 09:53:00:769,1064232,1064232,0,0,500053284331,5278482250,1047876,12230,4126,367,392005,0 5,2,2024-09-07 09:53:01:836,764103,764103,0,0,35934487,0,3582 5,3,2024-09-07 09:53:01:735,1,608,6,0,457,8400,608,0 6,0,2024-09-07 09:53:00:929,153680,0.4,153342,0.6,306885,0.4,409025,2.00 6,1,2024-09-07 09:53:00:751,1066974,1066974,0,0,500298915098,5225231693,1055895,9505,1574,379,391702,0 6,2,2024-09-07 09:53:01:119,770210,770192,18,0,34933107,0,5535 6,3,2024-09-07 09:53:01:291,1,608,5,0,710,7401,608,0 7,0,2024-09-07 09:53:01:572,150895,0.5,151940,0.7,301692,0.5,402351,2.00 7,1,2024-09-07 09:53:00:864,1064632,1064632,0,0,499626646222,5260184221,1048304,12562,3766,382,391747,0 7,2,2024-09-07 09:53:00:770,769380,769380,0,0,33617857,0,4791 7,3,2024-09-07 09:53:00:856,1,608,2,0,552,7101,608,0 8,0,2024-09-07 09:53:01:346,152942,0.4,152671,0.5,305703,0.3,407262,1.75 8,1,2024-09-07 09:53:01:017,1064067,1064067,0,0,499801884173,5255615600,1047343,13137,3587,366,392853,0 8,2,2024-09-07 09:53:00:793,764207,764205,2,0,39777262,0,5112 8,3,2024-09-07 09:53:00:606,1,608,5,0,772,9596,608,0 9,0,2024-09-07 09:53:01:202,152432,0.4,148273,0.5,310129,0.3,406956,1.75 9,1,2024-09-07 09:53:00:560,1064097,1064097,0,0,499177142980,5260884853,1047404,13465,3228,369,392001,0 9,2,2024-09-07 09:53:01:086,767452,767451,1,0,37585528,0,5281 9,3,2024-09-07 09:53:01:763,1,608,2,0,1273,10286,608,0 10,0,2024-09-07 09:53:01:621,152928,0.3,152297,0.5,305697,0.3,407310,1.75 10,1,2024-09-07 09:53:00:590,1065800,1065800,0,0,500124048510,5246946502,1050078,12658,3064,381,391981,0 10,2,2024-09-07 09:53:00:770,768001,768001,0,0,40229532,0,4713 10,3,2024-09-07 09:53:00:878,1,608,0,0,669,6831,608,0 11,0,2024-09-07 09:53:01:005,153087,0.4,148582,0.6,310738,0.4,409979,1.75 11,1,2024-09-07 09:53:00:573,1067138,1067138,0,0,499959964285,5244597288,1051314,11521,4303,383,391766,0 11,2,2024-09-07 09:53:01:131,768341,768341,0,0,35632918,0,4698 11,3,2024-09-07 09:53:01:298,1,608,12,0,843,7825,608,0 12,0,2024-09-07 09:53:00:942,153846,0.4,153740,0.5,306944,0.4,408487,1.75 12,1,2024-09-07 09:53:00:934,1067191,1067191,0,0,500540660412,5218973046,1058813,7370,1008,370,391960,0 12,2,2024-09-07 09:53:01:552,768304,768304,0,0,34318033,0,4390 12,3,2024-09-07 09:53:01:066,1,608,13,0,386,7583,608,0 13,0,2024-09-07 09:53:01:341,152549,0.4,152612,0.5,304216,0.4,405440,1.75 13,1,2024-09-07 09:53:01:561,1064596,1064596,0,0,500216155198,5256300706,1053701,8505,2390,382,391803,0 13,2,2024-09-07 09:53:00:608,771518,771518,0,0,30708366,0,3287 13,3,2024-09-07 09:53:01:765,1,608,1,0,522,7982,608,0 14,0,2024-09-07 09:53:00:568,152456,0.4,153582,0.6,304774,0.3,406165,1.75 14,1,2024-09-07 09:53:01:570,1071899,1071899,0,0,502405120634,5197977934,1063438,7332,1129,364,391673,0 14,2,2024-09-07 09:53:00:767,767103,767073,30,0,32068842,0,6104 14,3,2024-09-07 09:53:01:128,1,608,4,0,1168,6612,608,0 15,0,2024-09-07 09:53:01:564,153448,0.4,152547,0.6,305829,0.4,408060,1.75 15,1,2024-09-07 09:53:01:608,1068852,1068852,0,0,501228827435,5218856259,1059777,7162,1913,381,391619,0 15,2,2024-09-07 09:53:00:997,771406,771406,0,0,27798054,0,3622 15,3,2024-09-07 09:53:01:405,1,608,1,0,1126,7893,608,0 16,0,2024-09-07 09:53:00:945,151336,0.6,152054,0.8,303473,0.6,403920,2.00 16,1,2024-09-07 09:53:00:606,1068407,1068407,0,0,500455460629,5231229698,1058970,7993,1444,370,392194,0 16,2,2024-09-07 09:53:01:449,769780,769780,0,0,31711835,0,4719 16,3,2024-09-07 09:53:01:150,1,608,4,0,358,7587,608,0 17,0,2024-09-07 09:53:01:779,156006,0.6,152119,0.8,297947,0.6,404944,2.00 17,1,2024-09-07 09:53:00:583,1066401,1066401,0,0,499740904708,5240761714,1056105,8524,1772,368,392075,0 17,2,2024-09-07 09:53:01:696,772187,772186,1,0,32986848,0,5050 17,3,2024-09-07 09:53:00:577,1,608,22,0,518,8827,608,0 18,0,2024-09-07 09:53:00:953,149915,0.6,150854,0.8,300215,0.6,400926,2.25 18,1,2024-09-07 09:53:01:648,1070923,1070923,0,0,501644485474,5202255338,1063858,5808,1257,367,391725,0 18,2,2024-09-07 09:53:01:766,768788,768788,0,0,29281790,0,3541 18,3,2024-09-07 09:53:00:906,1,608,13,0,1059,5580,608,0 19,0,2024-09-07 09:53:01:541,153248,0.6,153547,0.8,306047,0.6,407341,2.00 19,1,2024-09-07 09:53:00:565,1070723,1070723,0,0,502482358178,5207120615,1062920,6670,1133,365,391777,0 19,2,2024-09-07 09:53:01:764,773136,773136,0,0,27744275,0,3988 19,3,2024-09-07 09:53:01:134,1,608,16,0,524,4636,608,0 20,0,2024-09-07 09:53:01:408,151316,0.6,151225,0.7,302138,0.6,402809,2.00 20,1,2024-09-07 09:53:00:573,1066201,1066201,0,0,500741646475,5247229181,1055408,9285,1508,369,391922,0 20,2,2024-09-07 09:53:00:942,769672,769672,0,0,34709747,0,4321 20,3,2024-09-07 09:53:00:608,1,608,1,0,468,9774,608,0 21,0,2024-09-07 09:53:01:169,152188,0.5,152254,0.6,304265,0.4,403931,2.00 21,1,2024-09-07 09:53:01:537,1064517,1064517,0,0,498423320331,5261324609,1047645,13036,3836,368,392016,0 21,2,2024-09-07 09:53:01:085,762910,762433,477,0,45185820,0,17074 21,3,2024-09-07 09:53:01:415,1,608,4,0,713,8689,608,0 22,0,2024-09-07 09:53:01:726,152327,0.5,152924,0.6,305278,0.4,405042,2.00 22,1,2024-09-07 09:53:01:024,1065080,1065080,0,0,498435382704,5246141732,1047262,14278,3540,382,391822,0 22,2,2024-09-07 09:53:00:760,766736,766710,26,0,32951047,0,6328 22,3,2024-09-07 09:53:01:067,1,608,1,0,228,5261,608,0 23,0,2024-09-07 09:53:01:380,152776,0.5,152565,0.7,305151,0.4,407179,2.00 23,1,2024-09-07 09:53:01:003,1065494,1065494,0,0,501008948374,5278459013,1046017,12899,6578,365,391690,0 23,2,2024-09-07 09:53:01:098,770369,770369,0,0,31680602,0,3773 23,3,2024-09-07 09:53:01:765,1,608,4,0,855,8890,608,0 24,0,2024-09-07 09:53:00:822,153636,0.4,152814,0.6,307296,0.4,407783,1.75 24,1,2024-09-07 09:53:00:591,1066104,1066104,0,0,499435938439,5227460947,1056037,8357,1710,367,392269,0 24,2,2024-09-07 09:53:01:069,768803,768800,3,0,38147020,0,6294 24,3,2024-09-07 09:53:01:694,1,608,35,0,468,7795,608,0 25,0,2024-09-07 09:53:01:464,157052,0.4,152877,0.6,300233,0.4,409141,2.00 25,1,2024-09-07 09:53:00:560,1063991,1063991,0,0,499019791120,5271945699,1045225,15052,3714,369,391928,0 25,2,2024-09-07 09:53:01:618,766885,766885,0,0,38693200,0,3978 25,3,2024-09-07 09:53:01:000,1,608,1,0,532,6557,608,0 26,0,2024-09-07 09:53:01:724,150927,0.4,147561,0.6,309864,0.3,403438,1.75 26,1,2024-09-07 09:53:01:542,1067672,1067672,0,0,500443285879,5238681899,1053335,11804,2533,380,391758,0 26,2,2024-09-07 09:53:00:861,768540,768540,0,0,41448726,0,4689 26,3,2024-09-07 09:53:01:722,1,608,1,0,796,7579,608,0 27,0,2024-09-07 09:53:01:723,153124,0.4,153711,0.6,305662,0.3,408290,2.25 27,1,2024-09-07 09:53:01:693,1068683,1068683,0,0,501987309886,5230879884,1058284,8790,1609,381,391626,0 27,2,2024-09-07 09:53:00:874,763786,763721,65,0,36108933,0,5699 27,3,2024-09-07 09:53:01:016,1,608,2,0,564,5247,608,0 28,0,2024-09-07 09:53:01:472,152995,0.4,153137,0.6,306489,0.3,408186,1.75 28,1,2024-09-07 09:53:00:807,1069139,1069139,0,0,502181199375,5233836747,1060200,7048,1891,382,391904,0 28,2,2024-09-07 09:53:01:777,769968,769968,0,0,31013265,0,2915 28,3,2024-09-07 09:53:01:777,1,608,1,0,502,6024,608,0 29,0,2024-09-07 09:53:01:370,156533,0.4,152407,0.6,299171,0.4,408142,1.75 29,1,2024-09-07 09:53:01:577,1072181,1072181,0,0,502910355054,5200188536,1065821,5584,776,367,391809,0 29,2,2024-09-07 09:53:00:867,770404,770404,0,0,30198790,0,4986 29,3,2024-09-07 09:53:00:970,1,608,1,0,459,6519,608,0 30,0,2024-09-07 09:53:01:462,150641,0.5,146664,0.7,307141,0.4,401239,2.00 30,1,2024-09-07 09:53:00:586,1071332,1071332,0,0,502913223720,5216018700,1063761,6660,911,380,391672,0 30,2,2024-09-07 09:53:01:273,770779,770779,0,0,28801487,0,4192 30,3,2024-09-07 09:53:00:591,1,608,2,0,519,5622,608,0 31,0,2024-09-07 09:53:01:783,151687,0.4,152466,0.6,303875,0.4,405938,1.75 31,1,2024-09-07 09:53:00:566,1074628,1074628,0,0,503645971876,5171091466,1068168,5386,1074,356,391712,0 31,2,2024-09-07 09:53:01:275,765456,765456,0,0,32290067,0,4470 31,3,2024-09-07 09:53:01:708,1,608,37,0,239,5224,608,0 32,0,2024-09-07 09:53:01:455,153902,0.3,155093,0.5,308554,0.3,411541,1.75 32,1,2024-09-07 09:53:00:807,1070694,1070694,0,0,502186910324,5217531122,1064515,5461,718,381,391646,0 32,2,2024-09-07 09:53:00:935,771967,771967,0,0,28577093,0,3922 32,3,2024-09-07 09:53:01:019,1,608,7,0,304,4931,608,0 33,0,2024-09-07 09:53:01:525,151924,0.3,151630,0.4,303431,0.2,403487,1.50 33,1,2024-09-07 09:53:00:575,1071102,1071102,0,0,503207616274,5211482320,1062653,7176,1273,368,391730,0 33,2,2024-09-07 09:53:00:767,771465,771430,35,0,31704162,0,7012 33,3,2024-09-07 09:53:00:900,1,608,1,0,329,5306,608,0 34,0,2024-09-07 09:53:00:928,151777,0.3,155895,0.5,298245,0.2,402562,1.75 34,1,2024-09-07 09:53:01:045,1072914,1072914,0,0,503762626742,5181574846,1069953,2851,110,367,391637,0 34,2,2024-09-07 09:53:00:767,770138,770138,0,0,30257250,0,4562 34,3,2024-09-07 09:53:01:689,1,608,1,0,541,5515,608,0 35,0,2024-09-07 09:53:00:860,150820,0.3,151687,0.5,304254,0.2,403737,1.75 35,1,2024-09-07 09:53:01:068,1068899,1068899,0,0,502095398508,5210438140,1060790,6586,1523,382,391769,0 35,2,2024-09-07 09:53:01:591,767543,767543,0,0,33131686,0,4055 35,3,2024-09-07 09:53:00:907,1,608,1,0,466,5693,608,0 36,0,2024-09-07 09:53:01:542,153977,0.5,154100,0.7,307485,0.4,409847,2.00 36,1,2024-09-07 09:53:00:587,1067978,1067978,0,0,501868125360,5240196131,1054397,11341,2240,366,391759,0 36,2,2024-09-07 09:53:01:750,770309,770309,0,0,34690799,0,3875 36,3,2024-09-07 09:53:00:863,1,608,1,0,556,8290,608,0 37,0,2024-09-07 09:53:01:385,150792,0.5,150957,0.7,302057,0.5,402658,2.25 37,1,2024-09-07 09:53:00:575,1067761,1067754,0,7,501010434655,5231833390,1055099,9742,2913,365,391770,0 37,2,2024-09-07 09:53:01:142,767107,767092,15,0,34581701,0,5815 37,3,2024-09-07 09:53:01:778,1,608,0,0,888,8069,608,0 38,0,2024-09-07 09:53:01:440,151763,0.4,147131,0.6,307629,0.4,403042,2.00 38,1,2024-09-07 09:53:01:606,1067628,1067628,0,0,501788379745,5248283863,1052296,12332,3000,368,391821,0 38,2,2024-09-07 09:53:00:766,768458,768411,47,0,34794001,0,6710 38,3,2024-09-07 09:53:00:996,1,608,1,0,689,7432,608,0 39,0,2024-09-07 09:53:01:766,155829,0.5,152381,0.7,297107,0.5,405833,2.00 39,1,2024-09-07 09:53:00:725,1067065,1067065,0,0,500604392045,5247698541,1049619,13731,3715,365,391865,0 39,2,2024-09-07 09:53:01:418,768821,768821,0,0,32956708,0,3391 39,3,2024-09-07 09:53:00:712,1,608,1,0,525,6834,608,0 40,0,2024-09-07 09:53:01:508,151515,0.8,152274,0.9,303895,0.9,405587,2.75 40,1,2024-09-07 09:53:00:579,1067597,1067597,0,0,500048574626,5238148098,1051988,12541,3068,366,391668,0 40,2,2024-09-07 09:53:01:310,765825,765818,7,0,39157087,0,5347 40,3,2024-09-07 09:53:01:149,1,608,5,0,1028,8894,608,0 41,0,2024-09-07 09:53:01:035,152304,1.3,155966,1.1,297357,1.9,404818,3.25 41,1,2024-09-07 09:53:00:773,1066936,1066936,0,0,500572406435,5236584819,1053164,11612,2160,369,391878,0 41,2,2024-09-07 09:53:00:767,766044,766043,1,0,37427008,0,5408 41,3,2024-09-07 09:53:01:682,1,608,2,0,366,6507,608,0 42,0,2024-09-07 09:53:01:488,151813,1.0,151441,1.0,302907,1.1,402316,2.75 42,1,2024-09-07 09:53:01:451,1064755,1064755,0,0,499101992078,5244755725,1048173,13649,2933,380,391675,0 42,2,2024-09-07 09:53:01:133,767612,767611,1,0,37790910,0,5513 42,3,2024-09-07 09:53:01:017,1,608,9,0,892,5655,608,0 43,0,2024-09-07 09:53:00:924,150203,0.7,146274,0.9,306107,0.7,400427,2.25 43,1,2024-09-07 09:53:00:576,1068316,1068316,0,0,500049680544,5225044816,1053576,12168,2572,366,391696,0 43,2,2024-09-07 09:53:01:740,769986,769986,0,0,35670904,0,4723 43,3,2024-09-07 09:53:01:749,1,608,1,0,571,8865,608,0 44,0,2024-09-07 09:53:00:873,152938,0.4,152807,0.6,305733,0.3,406671,1.75 44,1,2024-09-07 09:53:00:571,1071455,1071455,0,0,501903764174,5187232604,1062087,7427,1941,356,391809,0 44,2,2024-09-07 09:53:01:267,764748,764748,0,0,28857683,0,4344 44,3,2024-09-07 09:53:01:096,1,608,1,0,1097,7446,608,0 45,0,2024-09-07 09:53:01:759,151625,0.5,148131,0.7,311033,0.4,408156,2.00 45,1,2024-09-07 09:53:01:005,1069871,1069871,0,0,502167478291,5216843069,1061616,7352,903,382,391917,0 45,2,2024-09-07 09:53:01:268,770628,770628,0,0,29263625,0,3596 45,3,2024-09-07 09:53:00:941,1,608,1,0,531,5753,608,0 46,0,2024-09-07 09:53:00:959,150729,0.6,150272,0.8,301474,0.6,400545,2.25 46,1,2024-09-07 09:53:00:574,1072329,1072329,0,0,503276353418,5201048817,1064834,6507,988,366,391709,0 46,2,2024-09-07 09:53:00:593,771675,771675,0,0,29939186,0,4443 46,3,2024-09-07 09:53:01:141,1,608,1,0,908,7198,608,0 47,0,2024-09-07 09:53:01:102,151804,0.4,151669,0.6,304505,0.4,403235,2.00 47,1,2024-09-07 09:53:00:570,1072948,1072948,0,0,503133337969,5196250035,1066849,5237,862,364,391641,0 47,2,2024-09-07 09:53:00:911,772963,772963,0,0,28979054,0,4477 47,3,2024-09-07 09:53:01:128,1,608,2,0,600,6598,608,0 48,0,2024-09-07 09:53:01:513,151649,0.3,151657,0.4,303044,0.2,402777,1.50 48,1,2024-09-07 09:53:01:027,1070539,1070539,0,0,501870357500,5210874342,1064436,5525,578,381,391710,0 48,2,2024-09-07 09:53:00:711,767119,767119,0,0,27538050,0,3524 48,3,2024-09-07 09:53:00:769,1,608,1,0,339,5377,608,0 49,0,2024-09-07 09:53:01:724,157986,0.3,154744,0.5,301121,0.3,411494,1.75 49,1,2024-09-07 09:53:01:024,1069408,1069408,0,0,502284454071,5223733713,1062136,5576,1696,382,391809,0 49,2,2024-09-07 09:53:01:800,771843,771843,0,0,29801052,0,4426 49,3,2024-09-07 09:53:01:420,1,608,2,0,992,7187,608,0 50,0,2024-09-07 09:53:01:505,151938,0.3,150302,0.5,302840,0.2,402617,1.75 50,1,2024-09-07 09:53:01:018,1072371,1072371,0,0,503513987321,5203814619,1065845,5857,669,368,391691,0 50,2,2024-09-07 09:53:01:067,769223,769223,0,0,27616305,0,4490 50,3,2024-09-07 09:53:01:296,1,608,0,0,617,6203,608,0 51,0,2024-09-07 09:53:01:695,156131,0.3,152784,0.5,297595,0.2,405585,1.75 51,1,2024-09-07 09:53:01:681,1072875,1072875,0,0,504261820916,5198608661,1067214,4580,1081,365,391706,0 51,2,2024-09-07 09:53:01:319,769408,769408,0,0,27140075,0,3337 51,3,2024-09-07 09:53:01:027,1,608,1,0,678,4408,608,0 52,0,2024-09-07 09:53:01:422,153013,0.5,152621,0.6,305691,0.4,406027,2.00 52,1,2024-09-07 09:53:00:585,1067716,1067716,0,0,501157474778,5245047817,1052570,12815,2331,368,391805,0 52,2,2024-09-07 09:53:01:766,765156,765118,38,0,36743134,0,6742 52,3,2024-09-07 09:53:00:674,1,608,1,0,1782,7121,608,0 53,0,2024-09-07 09:53:01:732,152502,0.6,148062,0.8,309884,0.7,406236,2.25 53,1,2024-09-07 09:53:00:771,1066169,1066169,0,0,499811669821,5245158080,1047984,13789,4396,367,391968,0 53,2,2024-09-07 09:53:01:301,769601,769600,1,0,33048894,0,5455 53,3,2024-09-07 09:53:00:697,1,608,1,0,308,5672,608,0 54,0,2024-09-07 09:53:01:613,151042,0.6,151218,0.8,301234,0.5,402190,2.25 54,1,2024-09-07 09:53:00:586,1069396,1069396,0,0,502197641462,5222954232,1058280,9445,1671,366,391810,0 54,2,2024-09-07 09:53:00:880,769971,769939,32,0,36816121,0,6397 54,3,2024-09-07 09:53:00:770,1,608,1,0,676,7842,608,0 55,0,2024-09-07 09:53:01:770,147448,0.6,152143,0.8,308373,0.6,401844,2.50 55,1,2024-09-07 09:53:00:767,1069796,1069796,0,0,501866717178,5219092923,1058930,9477,1389,365,391731,0 55,2,2024-09-07 09:53:00:733,767761,767705,56,0,34219281,0,7239 55,3,2024-09-07 09:53:00:677,1,608,5,0,304,5568,608,0 56,0,2024-09-07 09:53:01:602,154649,1.1,145663,1.1,300276,1.4,401994,2.75 56,1,2024-09-07 09:53:00:580,1062833,1062833,0,0,499494001760,5282446644,1044861,14283,3689,381,391867,0 56,2,2024-09-07 09:53:01:310,767956,767834,122,0,36464591,0,7432 56,3,2024-09-07 09:53:01:059,1,608,1,0,705,6978,608,0 57,0,2024-09-07 09:53:00:935,151475,1.4,151129,1.2,302721,1.9,404659,3.00 57,1,2024-09-07 09:53:00:985,1065331,1065331,0,0,500022105304,5248184370,1050908,11933,2490,366,392032,0 57,2,2024-09-07 09:53:01:318,766521,766521,0,0,38023315,0,4804 57,3,2024-09-07 09:53:01:741,1,608,22,0,455,7081,608,0 58,0,2024-09-07 09:53:00:573,150593,0.9,146315,1.0,306048,1.2,400576,2.50 58,1,2024-09-07 09:53:00:594,1066989,1066986,0,3,500767633084,5249290280,1050839,12245,3902,367,391726,3 58,2,2024-09-07 09:53:01:071,769683,769683,0,0,35684961,0,3483 58,3,2024-09-07 09:53:01:068,1,608,16,0,1043,6769,608,0 59,0,2024-09-07 09:53:01:752,150823,0.9,150332,1.0,300389,1.0,399149,3.00 59,1,2024-09-07 09:53:00:805,1065775,1065775,0,0,500210768650,5256756518,1047939,14168,3668,369,391653,0 59,2,2024-09-07 09:53:00:585,770226,770226,0,0,33799027,0,3727 59,3,2024-09-07 09:53:01:741,1,608,1,0,1015,7435,608,0 60,0,2024-09-07 09:53:01:723,151274,0.5,151380,0.7,303078,0.5,403558,1.75 60,1,2024-09-07 09:53:00:772,1070576,1070576,0,0,502335711457,5219187689,1062620,6867,1089,370,392031,0 60,2,2024-09-07 09:53:01:149,769978,769978,0,0,31840606,0,3811 60,3,2024-09-07 09:53:01:264,1,608,4,0,409,7068,608,0 61,0,2024-09-07 09:53:01:507,151865,0.6,152381,0.7,303308,0.6,405303,2.00 61,1,2024-09-07 09:53:00:786,1068011,1068011,0,0,501677406753,5249949725,1056875,9455,1681,382,392127,0 61,2,2024-09-07 09:53:01:119,766459,766392,67,0,32384797,0,6411 61,3,2024-09-07 09:53:01:701,1,608,3,0,607,7885,608,0 62,0,2024-09-07 09:53:01:709,154605,0.6,158455,0.7,302678,0.6,411427,2.00 62,1,2024-09-07 09:53:01:119,1073988,1073982,0,6,503861433456,5193319680,1069014,4669,299,365,391975,6 62,2,2024-09-07 09:53:01:648,768483,768482,1,0,32418853,0,5555 62,3,2024-09-07 09:53:01:145,1,608,5,0,482,4838,608,0 63,0,2024-09-07 09:53:01:479,152007,0.4,151695,0.6,303978,0.4,403777,1.75 63,1,2024-09-07 09:53:00:805,1070549,1070543,0,6,502510347948,5216727534,1063697,5991,855,381,391800,6 63,2,2024-09-07 09:53:00:767,770841,770841,0,0,30797380,0,4369 63,3,2024-09-07 09:53:01:739,1,608,5,0,667,6224,608,0 64,0,2024-09-07 09:53:01:552,150841,0.5,150723,0.6,301171,0.4,401131,2.00 64,1,2024-09-07 09:53:00:750,1069341,1069341,0,0,501886024524,5226435486,1059992,7370,1979,370,391794,0 64,2,2024-09-07 09:53:01:143,773135,773116,19,0,29943470,0,6121 64,3,2024-09-07 09:53:01:150,1,608,8,0,651,6964,608,0 65,0,2024-09-07 09:53:01:749,150469,0.6,150820,0.7,301277,0.6,401107,2.00 65,1,2024-09-07 09:53:00:861,1067571,1067571,0,0,500114746337,5226085589,1060090,6607,874,381,391901,0 65,2,2024-09-07 09:53:01:692,766454,766454,0,0,35929291,0,3367 65,3,2024-09-07 09:53:01:698,1,608,1,0,782,6703,608,0 66,0,2024-09-07 09:53:01:772,153084,0.5,152695,0.7,305390,0.5,406905,2.00 66,1,2024-09-07 09:53:01:310,1069979,1069979,0,0,501557250689,5217651314,1063476,5781,722,380,391743,0 66,2,2024-09-07 09:53:01:141,772645,772642,3,0,31962257,0,5455 66,3,2024-09-07 09:53:01:080,1,608,9,0,291,5126,608,0 67,0,2024-09-07 09:53:01:425,151499,0.5,151054,0.7,303232,0.5,403239,2.00 67,1,2024-09-07 09:53:00:767,1069291,1069290,0,1,502396311210,5229368417,1061891,6454,945,380,391787,1 67,2,2024-09-07 09:53:00:584,772530,772515,15,0,31741391,0,6205 67,3,2024-09-07 09:53:01:754,1,608,1,0,595,6319,608,0 68,0,2024-09-07 09:53:00:563,152719,0.6,152472,0.7,303666,0.5,406415,2.00 68,1,2024-09-07 09:53:00:587,1065058,1065058,0,0,499498289909,5250773146,1051253,10275,3530,381,391953,0 68,2,2024-09-07 09:53:01:046,764890,764790,100,0,39911912,0,8578 68,3,2024-09-07 09:53:00:738,1,608,1,0,417,7801,608,0 69,0,2024-09-07 09:53:01:747,151830,0.6,152572,0.8,304225,0.6,404689,2.25 69,1,2024-09-07 09:53:01:022,1064149,1064149,0,0,499846549336,5266824714,1050283,11032,2834,383,391994,0 69,2,2024-09-07 09:53:01:740,766029,766000,29,0,42464169,0,6912 69,3,2024-09-07 09:53:00:760,1,608,1,0,698,8673,608,0 70,0,2024-09-07 09:53:01:546,151363,0.7,151938,0.9,305358,0.6,404412,2.50 70,1,2024-09-07 09:53:00:802,1071022,1071022,0,0,502771592417,5215769003,1063105,7109,808,366,391725,0 70,2,2024-09-07 09:53:01:330,768443,768443,0,0,34982025,0,4323 70,3,2024-09-07 09:53:00:752,1,608,7,0,854,6674,608,0 71,0,2024-09-07 09:53:01:390,152067,1.0,151657,1.0,304501,1.2,407001,2.75 71,1,2024-09-07 09:53:01:602,1068506,1068506,0,0,500715157967,5225633713,1055505,11380,1621,368,391738,0 71,2,2024-09-07 09:53:01:067,768815,768815,0,0,35252369,0,4352 71,3,2024-09-07 09:53:01:754,1,608,28,0,644,6829,608,0 72,0,2024-09-07 09:53:01:029,157636,0.6,154054,0.7,300676,0.5,409361,2.00 72,1,2024-09-07 09:53:01:025,1066780,1066780,0,0,500647909265,5251017579,1051475,12788,2517,369,391819,0 72,2,2024-09-07 09:53:01:766,767776,767776,0,0,36772991,0,3983 72,3,2024-09-07 09:53:01:766,1,608,1,0,564,8935,608,0 73,0,2024-09-07 09:53:01:107,147697,0.4,151528,0.6,309757,0.4,402960,2.00 73,1,2024-09-07 09:53:00:767,1068063,1068063,0,0,501825216043,5216250401,1059653,7428,982,367,391858,0 73,2,2024-09-07 09:53:01:747,769207,769206,1,0,38433429,0,5027 73,3,2024-09-07 09:53:00:983,1,608,1,0,1091,8419,608,0 74,0,2024-09-07 09:53:01:333,153609,0.5,157269,0.6,300124,0.4,406907,2.00 74,1,2024-09-07 09:53:00:635,1067338,1067338,0,0,500226906645,5222678242,1055432,9790,2116,381,391762,0 74,2,2024-09-07 09:53:01:002,765074,765074,0,0,33397831,0,4253 74,3,2024-09-07 09:53:01:458,1,608,16,0,522,7454,608,0 75,0,2024-09-07 09:53:01:782,153675,0.5,152654,0.7,306462,0.5,409489,2.25 75,1,2024-09-07 09:53:01:585,1068317,1068317,0,0,501403705503,5224832420,1059691,7757,869,380,391739,0 75,2,2024-09-07 09:53:01:350,768430,768430,0,0,40573819,0,4766 75,3,2024-09-07 09:53:01:068,1,608,10,0,918,8240,608,0 76,0,2024-09-07 09:53:00:667,151082,0.6,150421,0.8,300904,0.6,402209,2.25 76,1,2024-09-07 09:53:00:805,1068408,1068408,0,0,500663133589,5220077700,1061075,6342,991,382,391790,0 76,2,2024-09-07 09:53:01:067,772766,772763,3,0,32784398,0,5265 76,3,2024-09-07 09:53:01:151,1,608,9,0,227,5509,608,0 77,0,2024-09-07 09:53:01:768,151041,0.5,151550,0.7,302894,0.5,402537,2.00 77,1,2024-09-07 09:53:00:824,1068191,1068191,0,0,500979486375,5225020985,1060202,7110,879,381,391869,0 77,2,2024-09-07 09:53:01:294,768980,768980,0,0,31896262,0,3890 77,3,2024-09-07 09:53:01:099,1,608,1,0,401,6839,608,0 78,0,2024-09-07 09:53:01:744,151853,0.4,151119,0.6,303175,0.4,401395,2.00 78,1,2024-09-07 09:53:00:616,1068719,1068719,0,0,501244999791,5226750063,1055878,9924,2917,367,391670,0 78,2,2024-09-07 09:53:01:414,768000,767987,13,0,29814684,0,8313 78,3,2024-09-07 09:53:01:137,1,608,1,0,311,5078,608,0 79,0,2024-09-07 09:53:01:351,148446,0.4,152055,0.6,311583,0.3,406214,2.00 79,1,2024-09-07 09:53:00:573,1072101,1072101,0,0,503273802495,5208167820,1064255,6486,1360,367,391682,0 79,2,2024-09-07 09:53:01:067,770965,770965,0,0,28965304,0,4195 79,3,2024-09-07 09:53:00:750,1,608,0,0,418,7171,608,0 80,0,2024-09-07 09:53:01:076,151185,0.6,155303,0.7,296887,0.6,401664,2.00 80,1,2024-09-07 09:53:01:631,1068210,1068210,0,0,501119624666,5217553157,1061052,6706,452,368,392269,0 80,2,2024-09-07 09:53:01:095,772461,772461,0,0,29661933,0,4433 80,3,2024-09-07 09:53:00:585,1,608,2,0,681,7401,608,0 81,0,2024-09-07 09:53:01:535,152097,0.5,155621,0.7,297134,0.5,402816,2.00 81,1,2024-09-07 09:53:01:653,1067606,1067606,0,0,501128061125,5235176789,1059441,7397,768,382,391885,0 81,2,2024-09-07 09:53:01:135,768257,768194,63,0,32893511,0,5932 81,3,2024-09-07 09:53:01:118,1,608,2,0,719,7016,608,0 82,0,2024-09-07 09:53:01:547,152404,0.4,152489,0.7,305798,0.4,405110,2.00 82,1,2024-09-07 09:53:00:586,1069795,1069791,0,4,501537776638,5212379670,1064218,4750,823,381,391768,4 82,2,2024-09-07 09:53:01:704,770391,770391,0,0,27857497,0,4484 82,3,2024-09-07 09:53:01:754,1,608,1,0,363,5704,608,0 83,0,2024-09-07 09:53:01:528,153215,0.6,153110,0.7,305880,0.5,406523,2.00 83,1,2024-09-07 09:53:00:566,1068428,1068428,0,0,501396140810,5225909963,1060837,6946,645,382,391709,0 83,2,2024-09-07 09:53:00:771,769864,769839,25,0,30002196,0,5612 83,3,2024-09-07 09:53:00:755,1,608,1,0,1260,7236,608,0 84,0,2024-09-07 09:53:01:844,151219,0.8,151242,0.9,302398,0.8,403802,2.25 84,1,2024-09-07 09:53:01:040,1066392,1066392,0,0,500038345766,5229753034,1054138,10581,1673,367,391967,0 84,2,2024-09-07 09:53:00:588,767840,767430,410,0,43778970,0,17037 84,3,2024-09-07 09:53:01:157,1,608,2,0,908,8137,608,0 85,0,2024-09-07 09:53:01:016,146753,0.6,146725,0.8,311409,0.6,402189,2.25 85,1,2024-09-07 09:53:00:568,1063639,1063639,0,0,498768640049,5266309028,1047319,13521,2799,381,392092,0 85,2,2024-09-07 09:53:00:882,767971,767971,0,0,36943232,0,4255 85,3,2024-09-07 09:53:00:686,1,608,1,0,789,7026,608,0 86,0,2024-09-07 09:53:00:888,151358,0.6,155570,0.8,297561,0.7,402585,2.25 86,1,2024-09-07 09:53:00:825,1066599,1066599,0,0,500711019365,5250077137,1052678,11234,2687,366,392169,0 86,2,2024-09-07 09:53:00:868,768080,768079,1,0,39230092,0,5004 86,3,2024-09-07 09:53:00:592,1,608,2,0,308,8609,608,0 87,0,2024-09-07 09:53:01:431,153128,0.8,152582,0.8,305098,0.9,408184,2.25 87,1,2024-09-07 09:53:00:551,1066131,1066131,0,0,500151532238,5239756755,1052747,11587,1797,366,392076,0 87,2,2024-09-07 09:53:01:068,765624,765618,6,0,35543646,0,6323 87,3,2024-09-07 09:53:01:843,1,608,3,0,473,8630,608,0 88,0,2024-09-07 09:53:01:444,152984,0.4,153458,0.6,306454,0.4,408155,1.75 88,1,2024-09-07 09:53:00:585,1065108,1065108,0,0,499966882110,5241020331,1049721,12342,3045,365,392084,0 88,2,2024-09-07 09:53:00:691,768636,768636,0,0,38354269,0,4465 88,3,2024-09-07 09:53:01:267,1,608,3,0,1080,9390,608,0 89,0,2024-09-07 09:53:01:791,156443,0.5,151721,0.7,299394,0.4,407606,1.75 89,1,2024-09-07 09:53:00:578,1065166,1065166,0,0,500284497241,5261279461,1052180,11041,1945,382,391866,0 89,2,2024-09-07 09:53:01:137,769679,769679,0,0,36150265,0,3173 89,3,2024-09-07 09:53:01:805,1,608,1,0,468,10126,608,0 90,0,2024-09-07 09:53:01:689,146897,0.5,150867,0.6,307903,0.4,401629,2.00 90,1,2024-09-07 09:53:00:591,1066972,1066972,0,0,500425131863,5240800328,1056875,9204,893,380,391825,0 90,2,2024-09-07 09:53:01:413,766589,766584,5,0,39709416,0,6370 90,3,2024-09-07 09:53:00:932,1,608,2,0,322,7345,608,0 91,0,2024-09-07 09:53:00:936,152302,0.4,147827,0.6,309364,0.4,405842,1.75 91,1,2024-09-07 09:53:00:563,1064171,1064171,0,0,499577670862,5263954118,1048310,12713,3148,381,392047,0 91,2,2024-09-07 09:53:01:331,765834,765834,0,0,34807533,0,4713 91,3,2024-09-07 09:53:00:598,1,608,2,0,216,5438,608,0 92,0,2024-09-07 09:53:01:452,155652,0.4,159106,0.6,303289,0.4,411633,1.75 92,1,2024-09-07 09:53:00:588,1067825,1067825,0,0,501759266809,5239566078,1059458,7079,1288,381,392136,0 92,2,2024-09-07 09:53:01:350,770593,770593,0,0,30505928,0,3259 92,3,2024-09-07 09:53:01:014,1,608,1,0,167,5219,608,0 93,0,2024-09-07 09:53:01:014,152399,0.4,156094,0.6,298154,0.4,403760,1.75 93,1,2024-09-07 09:53:00:805,1068200,1068200,0,0,501166779400,5229036067,1056663,9609,1928,365,392048,0 93,2,2024-09-07 09:53:00:934,770543,770543,0,0,36312933,0,4913 93,3,2024-09-07 09:53:01:410,1,608,7,0,294,5925,608,0 94,0,2024-09-07 09:53:01:708,150891,0.4,152028,0.5,304018,0.3,403518,1.75 94,1,2024-09-07 09:53:00:564,1068978,1068978,0,0,501382230300,5227152536,1062026,6517,435,381,391850,0 94,2,2024-09-07 09:53:00:769,769349,769321,28,0,31226385,0,6179 94,3,2024-09-07 09:53:01:706,1,608,4,0,576,7469,608,0 95,0,2024-09-07 09:53:01:344,151680,0.3,151687,0.5,303588,0.3,403858,1.75 95,1,2024-09-07 09:53:00:852,1069710,1069710,0,0,502109633002,5216479743,1061269,7691,750,365,391852,0 95,2,2024-09-07 09:53:01:017,766593,766593,0,0,30654624,0,3308 95,3,2024-09-07 09:53:01:716,1,608,3,0,718,9426,608,0 96,0,2024-09-07 09:53:01:026,153558,0.4,153975,0.5,307688,0.3,409240,1.75 96,1,2024-09-07 09:53:01:604,1068390,1068390,0,0,500977976925,5224161594,1061003,6113,1274,384,391964,0 96,2,2024-09-07 09:53:01:268,771009,771009,0,0,31873831,0,4180 96,3,2024-09-07 09:53:01:143,1,608,2,0,411,6980,608,0 97,0,2024-09-07 09:53:01:337,151662,0.3,151447,0.5,303806,0.3,403076,1.75 97,1,2024-09-07 09:53:00:777,1069590,1069590,0,0,502077320374,5209419724,1062659,5893,1038,367,392140,0 97,2,2024-09-07 09:53:00:607,771119,771119,0,0,31525659,0,4046 97,3,2024-09-07 09:53:00:584,1,608,2,0,242,6849,608,0 98,0,2024-09-07 09:53:01:742,152203,0.3,152356,0.4,305817,0.2,406527,1.50 98,1,2024-09-07 09:53:00:586,1068751,1068751,0,0,501384398205,5221884814,1062259,5656,836,381,391997,0 98,2,2024-09-07 09:53:00:769,768792,768792,0,0,30274041,0,4336 98,3,2024-09-07 09:53:00:697,1,608,1,0,840,9144,608,0 99,0,2024-09-07 09:53:01:486,152522,0.3,153366,0.5,305181,0.3,407288,1.75 99,1,2024-09-07 09:53:01:729,1069584,1069584,0,0,501126928016,5213931172,1063215,5427,942,380,392069,0 99,2,2024-09-07 09:53:01:443,768742,768742,0,0,37498352,0,4276 99,3,2024-09-07 09:53:00:585,1,608,0,0,1124,7778,608,0 100,0,2024-09-07 09:53:01:508,152640,0.7,152909,0.9,305707,0.8,407940,2.25 100,1,2024-09-07 09:53:00:664,1063072,1063072,0,0,498553209729,5270017134,1047048,12706,3318,378,391989,0 100,2,2024-09-07 09:53:01:850,764518,764129,389,0,40778763,0,16909 100,3,2024-09-07 09:53:01:748,1,608,44,0,627,10173,608,0 101,0,2024-09-07 09:53:01:735,156514,1.1,152684,1.0,298854,1.1,408699,2.25 101,1,2024-09-07 09:53:00:563,1065181,1065181,0,0,500115688895,5255013452,1051034,11618,2529,368,391847,0 101,2,2024-09-07 09:53:01:767,764936,764936,0,0,40762190,0,4871 101,3,2024-09-07 09:53:00:952,1,608,3,0,1250,9058,608,0 102,0,2024-09-07 09:53:00:985,148607,0.7,152948,0.8,310857,0.7,406933,2.25 102,1,2024-09-07 09:53:01:151,1065021,1065021,0,0,499693946387,5248869585,1050891,11930,2200,369,391984,0 102,2,2024-09-07 09:53:01:757,770208,770154,54,0,34902149,0,6768 102,3,2024-09-07 09:53:01:615,1,608,16,0,466,6956,608,0 103,0,2024-09-07 09:53:01:650,156622,0.6,156647,0.7,295122,0.6,406237,2.00 103,1,2024-09-07 09:53:01:648,1063619,1063619,0,0,499625718268,5276682865,1046531,13485,3603,381,392077,0 103,2,2024-09-07 09:53:00:585,767184,767184,0,0,37711476,0,3766 103,3,2024-09-07 09:53:00:755,1,608,0,0,916,7132,608,0 104,0,2024-09-07 09:53:01:009,151547,0.7,151711,0.9,302762,0.7,404948,2.25 104,1,2024-09-07 09:53:01:601,1066338,1066338,0,0,500312185899,5256428829,1050804,12690,2844,365,392168,0 104,2,2024-09-07 09:53:01:670,763366,763366,0,0,37129609,0,4161 104,3,2024-09-07 09:53:01:426,1,608,9,0,1245,10712,608,0 105,0,2024-09-07 09:53:01:038,151631,0.9,147790,1.1,309731,1.0,407247,2.75 105,1,2024-09-07 09:53:00:564,1067857,1067857,0,0,501103946400,5249513452,1054286,11629,1942,364,392009,0 105,2,2024-09-07 09:53:01:324,768764,768764,0,0,37476693,0,4360 105,3,2024-09-07 09:53:01:306,1,608,19,0,573,9230,608,0 106,0,2024-09-07 09:53:00:959,146304,1.0,149935,1.0,306967,1.2,400709,2.50 106,1,2024-09-07 09:53:01:756,1066675,1066675,0,0,499425027423,5241386406,1052008,12772,1895,368,391914,0 106,2,2024-09-07 09:53:00:767,768862,768862,0,0,35265306,0,3331 106,3,2024-09-07 09:53:00:679,1,608,6,0,1224,8435,608,0 107,0,2024-09-07 09:53:01:113,151241,0.9,151282,0.9,302553,1.1,403221,2.25 107,1,2024-09-07 09:53:00:586,1063782,1063782,0,0,499305505270,5276419811,1046390,15258,2134,381,392234,0 107,2,2024-09-07 09:53:01:294,766456,766455,1,0,38384854,0,5024 107,3,2024-09-07 09:53:01:782,1,608,15,0,733,9322,608,0 108,0,2024-09-07 09:53:01:806,151198,0.4,151616,0.6,302179,0.4,402829,1.75 108,1,2024-09-07 09:53:01:308,1067946,1067946,0,0,501303283995,5227131503,1059249,7702,995,367,391894,0 108,2,2024-09-07 09:53:01:768,766107,766107,0,0,32871380,0,4246 108,3,2024-09-07 09:53:01:334,1,608,5,0,749,10892,608,0 109,0,2024-09-07 09:53:01:782,154516,0.4,153262,0.6,307189,0.3,410737,1.75 109,1,2024-09-07 09:53:00:600,1065189,1065189,0,0,500483778812,5248668055,1055706,8205,1278,382,392132,0 109,2,2024-09-07 09:53:00:921,768326,768326,0,0,33773614,0,3617 109,3,2024-09-07 09:53:01:141,1,608,1,0,630,7355,608,0 110,0,2024-09-07 09:53:01:765,151205,0.4,147022,0.6,307922,0.4,403430,1.75 110,1,2024-09-07 09:53:01:653,1069800,1069800,0,0,502106672924,5211373485,1061755,6118,1927,368,392045,0 110,2,2024-09-07 09:53:01:309,770988,770988,0,0,31272174,0,4067 110,3,2024-09-07 09:53:00:690,1,608,1,0,722,8052,608,0 111,0,2024-09-07 09:53:01:420,152884,0.4,152069,0.5,304020,0.3,405132,1.75 111,1,2024-09-07 09:53:00:999,1070973,1070973,0,0,502781350808,5213006785,1065490,5102,381,380,391690,0 111,2,2024-09-07 09:53:01:123,769039,769039,0,0,31750446,0,4823 111,3,2024-09-07 09:53:00:912,1,608,1,0,379,6543,608,0 112,0,2024-09-07 09:53:00:934,153265,0.3,152812,0.4,306097,0.2,406388,1.50 112,1,2024-09-07 09:53:00:828,1069641,1069641,0,0,501595419075,5208381648,1062439,5977,1225,380,391624,0 112,2,2024-09-07 09:53:01:141,768554,768553,1,0,30153931,0,5036 112,3,2024-09-07 09:53:00:596,1,608,1,0,282,5744,608,0 113,0,2024-09-07 09:53:00:869,153276,0.3,153189,0.5,306820,0.2,408870,1.75 113,1,2024-09-07 09:53:01:705,1072079,1072079,0,0,504011477266,5199788959,1065847,5284,948,365,391664,0 113,2,2024-09-07 09:53:01:313,772395,772395,0,0,28602981,0,3813 113,3,2024-09-07 09:53:00:684,1,608,2,0,510,6512,608,0 114,0,2024-09-07 09:53:01:101,152899,0.3,153793,0.5,306697,0.2,409201,1.75 114,1,2024-09-07 09:53:00:716,1070043,1070043,0,0,501728222358,5209471306,1062101,6195,1747,381,391565,0 114,2,2024-09-07 09:53:00:879,771726,771725,1,0,29795078,0,5069 114,3,2024-09-07 09:53:01:278,1,608,0,0,395,4874,608,0 115,0,2024-09-07 09:53:00:562,153419,0.3,154046,0.4,306696,0.2,408239,1.50 115,1,2024-09-07 09:53:00:585,1070399,1070399,0,0,501902471172,5213565878,1062143,6739,1517,382,391757,0 115,2,2024-09-07 09:53:01:135,771397,771397,0,0,29886924,0,4382 115,3,2024-09-07 09:53:01:001,1,608,0,0,167,3726,608,0 116,0,2024-09-07 09:53:01:779,151344,0.6,151188,0.8,303019,0.6,404883,2.00 116,1,2024-09-07 09:53:00:803,1064180,1064180,0,0,499089793648,5259962424,1051525,9564,3091,380,392089,0 116,2,2024-09-07 09:53:01:764,768904,768904,0,0,37450283,0,4475 116,3,2024-09-07 09:53:00:913,1,608,1,0,448,7797,608,0 117,0,2024-09-07 09:53:00:954,153342,0.7,152662,0.8,305940,0.7,409374,2.00 117,1,2024-09-07 09:53:01:595,1066199,1066199,0,0,499544140265,5231636453,1054415,10261,1523,369,392429,0 117,2,2024-09-07 09:53:01:131,768351,768351,0,0,33803695,0,4303 117,3,2024-09-07 09:53:01:061,1,608,28,0,490,7724,608,0 118,0,2024-09-07 09:53:01:793,148304,0.5,152224,0.7,310893,0.5,406573,2.00 118,1,2024-09-07 09:53:00:591,1065293,1065293,0,0,499213317953,5248900237,1049448,12221,3624,366,392054,0 118,2,2024-09-07 09:53:01:599,768256,768256,0,0,36104156,0,2842 118,3,2024-09-07 09:53:01:767,1,608,3,0,289,7183,608,0 119,0,2024-09-07 09:53:01:335,151858,0.8,152512,0.8,304344,0.9,406033,2.25 119,1,2024-09-07 09:53:00:548,1066205,1066205,0,0,500318250801,5247522897,1051508,12185,2512,367,391857,0 119,2,2024-09-07 09:53:01:266,770941,770941,0,0,34098742,0,4309 119,3,2024-09-07 09:53:01:330,1,608,1,0,1358,11109,608,0 120,0,2024-09-07 09:53:01:564,150502,0.6,150420,0.8,302213,0.7,402651,2.25 120,1,2024-09-07 09:53:00:861,1066961,1066961,0,0,499959943209,5243949249,1055256,10563,1142,367,392144,0 120,2,2024-09-07 09:53:00:771,768529,768526,3,0,40475602,0,5363 120,3,2024-09-07 09:53:01:299,1,608,1,0,279,7328,608,0 121,0,2024-09-07 09:53:01:713,152061,1.0,151589,1.0,303406,1.3,404859,2.25 121,1,2024-09-07 09:53:01:667,1066589,1066589,0,0,500414086428,5236335599,1055297,9739,1553,366,391840,0 121,2,2024-09-07 09:53:01:135,764949,764949,0,0,36820549,0,4157 121,3,2024-09-07 09:53:00:728,1,608,34,0,387,8071,608,0 122,0,2024-09-07 09:53:01:800,153379,0.8,149259,0.9,312764,0.9,411452,2.00 122,1,2024-09-07 09:53:00:865,1065529,1065529,0,0,499868962272,5247176648,1050522,12595,2412,365,392130,0 122,2,2024-09-07 09:53:01:321,770556,770481,75,0,40595902,0,5989 122,3,2024-09-07 09:53:00:598,1,608,3,0,512,9801,608,0 123,0,2024-09-07 09:53:00:971,150927,0.9,146763,0.9,307394,1.0,402448,2.25 123,1,2024-09-07 09:53:00:558,1065935,1065935,0,0,500788390709,5265224457,1049116,14147,2672,369,392039,0 123,2,2024-09-07 09:53:01:019,767998,767997,1,0,34697446,0,5215 123,3,2024-09-07 09:53:01:139,1,608,18,0,478,6939,608,0 124,0,2024-09-07 09:53:00:922,155695,0.3,155622,0.5,293457,0.3,404001,1.75 124,1,2024-09-07 09:53:01:022,1070029,1070029,0,0,501232180345,5209250474,1062293,6561,1175,365,392178,0 124,2,2024-09-07 09:53:01:018,771370,771317,53,0,31108538,0,6487 124,3,2024-09-07 09:53:00:760,1,608,1,0,490,5983,608,0 125,0,2024-09-07 09:53:01:430,151410,0.4,151452,0.5,303792,0.3,403791,1.75 125,1,2024-09-07 09:53:00:855,1066585,1066585,0,0,500423444734,5222538129,1058514,6918,1153,382,391968,0 125,2,2024-09-07 09:53:01:121,769807,769807,0,0,31239716,0,4534 125,3,2024-09-07 09:53:01:130,1,608,1,0,709,6950,608,0 126,0,2024-09-07 09:53:01:427,153788,0.4,158152,0.5,302512,0.4,409845,1.75 126,1,2024-09-07 09:53:00:553,1070874,1070874,0,0,502544204503,5201223640,1065354,5073,447,365,391987,0 126,2,2024-09-07 09:53:00:611,771892,771892,0,0,32529652,0,4539 126,3,2024-09-07 09:53:00:907,1,608,1,0,268,6644,608,0 127,0,2024-09-07 09:53:01:611,152061,0.3,152100,0.5,303552,0.3,403495,1.75 127,1,2024-09-07 09:53:00:574,1068832,1068832,0,0,501418940844,5216283807,1058153,9042,1637,364,392187,0 127,2,2024-09-07 09:53:00:642,770485,770481,4,0,30634451,0,5305 127,3,2024-09-07 09:53:01:281,1,608,2,0,968,5981,608,0 128,0,2024-09-07 09:53:01:539,153196,0.3,153298,0.4,306269,0.2,407241,1.50 128,1,2024-09-07 09:53:01:615,1068729,1068729,0,0,501126118694,5212115048,1060312,7452,965,367,392423,0 128,2,2024-09-07 09:53:01:387,770701,770701,0,0,28543525,0,3171 128,3,2024-09-07 09:53:00:778,1,608,15,0,1082,9616,608,0 129,0,2024-09-07 09:53:01:002,153769,0.3,153005,0.5,306613,0.3,407829,1.50 129,1,2024-09-07 09:53:00:567,1065631,1065631,0,0,500376516582,5243633945,1055159,8450,2022,379,391962,0 129,2,2024-09-07 09:53:00:696,771025,771021,4,0,31111478,0,5335 129,3,2024-09-07 09:53:00:695,1,608,4,0,506,8300,608,0 130,0,2024-09-07 09:53:01:729,153807,0.4,153325,0.5,307442,0.4,409188,1.75 130,1,2024-09-07 09:53:00:595,1069877,1069877,0,0,501799345078,5211092786,1064436,5043,398,381,391825,0 130,2,2024-09-07 09:53:01:142,768548,768548,0,0,31324933,0,4067 130,3,2024-09-07 09:53:01:293,1,608,2,0,960,8310,608,0 131,0,2024-09-07 09:53:01:973,153273,0.3,153755,0.5,308469,0.3,410347,1.75 131,1,2024-09-07 09:53:01:829,1068881,1068881,0,0,501570151173,5227282521,1061648,6035,1198,381,391865,0 131,2,2024-09-07 09:53:00:566,770281,770281,0,0,29070669,0,3979 131,3,2024-09-07 09:53:01:690,1,608,4,0,392,7737,608,0 132,0,2024-09-07 09:53:01:432,153016,0.5,153897,0.6,306652,0.5,407923,2.00 132,1,2024-09-07 09:53:00:580,1064411,1064411,0,0,499057331878,5254233867,1048965,12742,2704,381,392532,0 132,2,2024-09-07 09:53:00:698,769446,769429,17,0,37971857,0,6451 132,3,2024-09-07 09:53:01:702,1,608,0,0,1298,10866,608,0 133,0,2024-09-07 09:53:01:621,147869,0.4,151251,0.6,310061,0.4,403815,2.00 133,1,2024-09-07 09:53:00:587,1065094,1065094,0,0,499676444715,5264012625,1051014,12316,1764,383,391914,0 133,2,2024-09-07 09:53:01:093,769727,769677,50,0,38954733,0,6861 133,3,2024-09-07 09:53:01:297,1,608,2,0,528,7335,608,0 134,0,2024-09-07 09:53:00:956,152853,0.5,152994,0.7,306093,0.5,407153,2.00 134,1,2024-09-07 09:53:00:585,1066123,1066123,0,0,500085960016,5244729150,1052339,11295,2489,366,391781,0 134,2,2024-09-07 09:53:01:768,765994,765970,24,0,35961091,0,6207 134,3,2024-09-07 09:53:00:755,1,608,1,0,739,7455,608,0 135,0,2024-09-07 09:53:01:338,148568,0.8,148596,0.8,315473,0.9,406849,2.25 135,1,2024-09-07 09:53:01:597,1065310,1065310,0,0,499916465333,5255506384,1052280,11389,1641,380,391805,0 135,2,2024-09-07 09:53:00:687,770384,770384,0,0,36921382,0,4503 135,3,2024-09-07 09:53:01:003,1,608,0,0,900,5915,608,0 136,0,2024-09-07 09:53:01:647,151885,0.6,152453,0.8,302514,0.6,403829,2.00 136,1,2024-09-07 09:53:01:452,1066738,1066738,0,0,499831274628,5238875117,1054832,10516,1390,381,392135,0 136,2,2024-09-07 09:53:01:133,772021,772006,15,0,36290884,0,6007 136,3,2024-09-07 09:53:01:117,1,608,1,0,637,6977,608,0 137,0,2024-09-07 09:53:00:921,156269,0.6,152312,0.7,298447,0.6,405017,2.00 137,1,2024-09-07 09:53:00:575,1065753,1065753,0,0,499982094696,5244427361,1049209,13155,3389,366,391898,0 137,2,2024-09-07 09:53:01:715,768307,768307,0,0,37378664,0,3185 137,3,2024-09-07 09:53:00:770,1,608,0,0,484,8265,608,0 138,0,2024-09-07 09:53:01:768,150514,0.7,150937,0.8,302173,0.8,401295,2.00 138,1,2024-09-07 09:53:01:723,1066412,1066412,0,0,500734662444,5252226038,1051637,12505,2270,368,391954,0 138,2,2024-09-07 09:53:00:594,765143,765143,0,0,35512432,0,4988 138,3,2024-09-07 09:53:00:610,1,608,2,0,1200,9536,608,0 139,0,2024-09-07 09:53:01:408,152450,1.0,153030,0.9,305890,1.4,408919,2.25 139,1,2024-09-07 09:53:00:585,1061512,1061512,0,0,497079136210,5270218101,1043124,14563,3825,380,392109,0 139,2,2024-09-07 09:53:00:692,765032,765002,30,0,41037659,0,5997 139,3,2024-09-07 09:53:01:682,1,608,12,0,432,7180,608,0 140,0,2024-09-07 09:53:01:594,151918,0.3,151023,0.5,303013,0.2,403804,1.75 140,1,2024-09-07 09:53:01:536,1072248,1072248,0,0,503231761329,5186302738,1067275,4425,548,364,391606,0 140,2,2024-09-07 09:53:00:692,771441,771440,1,0,28967892,0,5036 140,3,2024-09-07 09:53:00:768,1,608,2,0,575,6009,608,0 141,0,2024-09-07 09:53:01:712,152378,0.3,156632,0.5,299416,0.2,404937,1.75 141,1,2024-09-07 09:53:00:859,1070947,1070947,0,0,502172510025,5215408075,1062747,7007,1193,379,391614,0 141,2,2024-09-07 09:53:01:705,769482,769471,11,0,31074505,0,5369 141,3,2024-09-07 09:53:01:043,1,608,1,0,391,6980,608,0 142,0,2024-09-07 09:53:01:305,153447,0.3,152640,0.5,305428,0.2,407322,1.50 142,1,2024-09-07 09:53:00:585,1068789,1068789,0,0,501130573684,5222364208,1062071,6210,508,382,392102,0 142,2,2024-09-07 09:53:01:299,768040,768008,32,0,31582276,0,6028 142,3,2024-09-07 09:53:01:771,1,608,2,0,484,6400,608,0 143,0,2024-09-07 09:53:01:389,153137,0.4,153113,0.5,307084,0.4,408932,1.75 143,1,2024-09-07 09:53:00:563,1070738,1070738,0,0,502035483654,5206844074,1064271,5899,568,367,391900,0 143,2,2024-09-07 09:53:00:769,770257,770257,0,0,31478853,0,3123 143,3,2024-09-07 09:53:01:141,1,608,1,0,462,7315,608,0 144,0,2024-09-07 09:53:01:555,148107,0.6,152424,0.8,310158,0.6,405773,2.00 144,1,2024-09-07 09:53:00:569,1065655,1065655,0,0,500283472176,5248862105,1055059,8602,1994,381,391733,0 144,2,2024-09-07 09:53:01:769,771007,771007,0,0,30893589,0,4443 144,3,2024-09-07 09:53:01:744,1,608,3,0,249,5972,608,0 145,0,2024-09-07 09:53:01:432,147890,0.6,147797,0.8,313684,0.5,404106,2.25 145,1,2024-09-07 09:53:00:561,1064656,1064656,0,0,499669632638,5257146996,1050628,11355,2673,382,391781,0 145,2,2024-09-07 09:53:01:451,767730,767648,82,0,36884841,0,7814 145,3,2024-09-07 09:53:00:896,1,608,0,0,622,8071,608,0 146,0,2024-09-07 09:53:01:655,151693,0.5,150899,0.7,303400,0.5,403142,2.00 146,1,2024-09-07 09:53:01:621,1065922,1065922,0,0,499815534893,5258828206,1048416,13024,4482,367,391770,0 146,2,2024-09-07 09:53:01:697,767878,767872,6,0,35053614,0,5151 146,3,2024-09-07 09:53:01:275,1,608,6,0,1520,9927,608,0 147,0,2024-09-07 09:53:01:729,153286,0.6,152984,0.7,305734,0.5,408636,2.00 147,1,2024-09-07 09:53:01:391,1069979,1069979,0,0,501569202820,5210894634,1061483,7529,967,367,391791,0 147,2,2024-09-07 09:53:01:009,766808,766808,0,0,31025033,0,2968 147,3,2024-09-07 09:53:00:912,1,608,1,0,1626,9611,608,0 0,0,2024-09-07 09:53:11:719,147305,0.6,147331,0.7,312766,0.6,403723,2.00 0,1,2024-09-07 09:53:10:827,1068354,1068354,0,0,501287246263,5246513367,1059809,7599,946,368,391896,0 0,2,2024-09-07 09:53:11:077,771917,771917,0,0,31134515,0,4480 0,3,2024-09-07 09:53:10:974,1,609,1,0,431,8685,609,0 1,0,2024-09-07 09:53:11:748,152209,0.8,151165,0.9,303696,1.0,405928,2.00 1,1,2024-09-07 09:53:10:565,1067726,1067726,0,0,500138477588,5237790144,1058246,7989,1491,370,391859,0 1,2,2024-09-07 09:53:10:639,767397,767397,0,0,30543647,0,3380 1,3,2024-09-07 09:53:11:307,1,609,1,0,269,7541,609,0 2,0,2024-09-07 09:53:11:566,154296,0.6,154107,0.7,307812,0.6,410988,2.00 2,1,2024-09-07 09:53:10:859,1071002,1071002,0,0,502549425082,5224426897,1064827,5225,950,379,391745,0 2,2,2024-09-07 09:53:11:266,771603,771603,0,0,29187784,0,3594 2,3,2024-09-07 09:53:10:698,1,609,1,0,357,5441,609,0 3,0,2024-09-07 09:53:11:750,151530,0.4,151441,0.6,302294,0.4,402969,2.00 3,1,2024-09-07 09:53:11:618,1069549,1069549,0,0,501955722352,5219754615,1061937,6907,705,379,391716,0 3,2,2024-09-07 09:53:11:141,772788,772765,23,0,30276737,0,5851 3,3,2024-09-07 09:53:11:760,1,609,5,0,484,4619,609,0 4,0,2024-09-07 09:53:11:796,147270,0.4,151375,0.5,308491,0.3,403421,1.75 4,1,2024-09-07 09:53:10:594,1064941,1064941,0,0,499381600233,5284143050,1047127,14060,3754,369,391992,0 4,2,2024-09-07 09:53:11:025,767797,767797,0,0,37162688,0,4534 4,3,2024-09-07 09:53:11:027,1,609,2,0,448,7380,609,0 5,0,2024-09-07 09:53:11:375,151842,0.4,151753,0.5,303655,0.3,403595,1.75 5,1,2024-09-07 09:53:10:757,1066031,1066031,0,0,500748452425,5285616151,1049675,12230,4126,367,392005,0 5,2,2024-09-07 09:53:11:833,764802,764802,0,0,35949048,0,3582 5,3,2024-09-07 09:53:11:732,1,609,2,0,457,8402,609,0 6,0,2024-09-07 09:53:10:918,154108,0.4,153761,0.6,307728,0.4,410360,2.00 6,1,2024-09-07 09:53:10:752,1068783,1068783,0,0,500991895777,5232328612,1057704,9505,1574,379,391702,0 6,2,2024-09-07 09:53:11:116,771731,771713,18,0,34947552,0,5535 6,3,2024-09-07 09:53:11:280,1,609,0,0,710,7401,609,0 7,0,2024-09-07 09:53:11:531,150986,0.5,152044,0.7,301866,0.5,402633,2.00 7,1,2024-09-07 09:53:10:850,1066329,1066329,0,0,500307671190,5267146641,1050001,12562,3766,382,391747,0 7,2,2024-09-07 09:53:10:786,770876,770876,0,0,33642133,0,4791 7,3,2024-09-07 09:53:10:853,1,609,0,0,552,7101,609,0 8,0,2024-09-07 09:53:11:335,153101,0.4,152844,0.5,306071,0.3,407552,1.75 8,1,2024-09-07 09:53:11:033,1065815,1065815,0,0,500493813313,5262865556,1049091,13137,3587,366,392853,0 8,2,2024-09-07 09:53:10:795,765237,765235,2,0,39823803,0,5112 8,3,2024-09-07 09:53:10:607,1,609,8,0,772,9604,609,0 9,0,2024-09-07 09:53:11:142,152707,0.4,148561,0.5,310729,0.3,407494,1.75 9,1,2024-09-07 09:53:10:584,1065831,1065831,0,0,500034171993,5269650979,1049138,13465,3228,369,392001,0 9,2,2024-09-07 09:53:11:100,768534,768533,1,0,37651625,0,5281 9,3,2024-09-07 09:53:11:760,1,609,1,0,1273,10287,609,0 10,0,2024-09-07 09:53:11:601,153252,0.3,152675,0.5,306393,0.3,408445,1.75 10,1,2024-09-07 09:53:10:597,1067553,1067553,0,0,500832361664,5254238187,1051831,12658,3064,381,391981,0 10,2,2024-09-07 09:53:10:766,769448,769448,0,0,40285418,0,4713 10,3,2024-09-07 09:53:10:871,1,609,7,0,669,6838,609,0 11,0,2024-09-07 09:53:11:005,153449,0.4,148920,0.6,311485,0.4,410311,1.75 11,1,2024-09-07 09:53:10:577,1068737,1068737,0,0,500924967067,5254939213,1052831,11603,4303,383,391766,0 11,2,2024-09-07 09:53:11:124,769623,769623,0,0,35678343,0,4698 11,3,2024-09-07 09:53:11:301,1,609,2,0,843,7827,609,0 12,0,2024-09-07 09:53:10:945,154176,0.4,154026,0.5,307605,0.4,409267,1.75 12,1,2024-09-07 09:53:10:934,1068952,1068952,0,0,501320850366,5226958836,1060574,7370,1008,370,391960,0 12,2,2024-09-07 09:53:11:541,769459,769459,0,0,34340114,0,4390 12,3,2024-09-07 09:53:11:059,1,609,10,0,386,7593,609,0 13,0,2024-09-07 09:53:11:341,152706,0.4,152778,0.5,304558,0.4,406001,1.75 13,1,2024-09-07 09:53:11:533,1066339,1066339,0,0,500932621116,5263685550,1055444,8505,2390,382,391803,0 13,2,2024-09-07 09:53:10:620,772777,772777,0,0,30731950,0,3287 13,3,2024-09-07 09:53:11:766,1,609,8,0,522,7990,609,0 14,0,2024-09-07 09:53:10:571,152793,0.4,153925,0.6,305443,0.3,407408,1.75 14,1,2024-09-07 09:53:11:563,1073675,1073675,0,0,503410657430,5208153456,1065213,7333,1129,364,391673,0 14,2,2024-09-07 09:53:10:764,768347,768317,30,0,32087423,0,6104 14,3,2024-09-07 09:53:11:115,1,609,1,0,1168,6613,609,0 15,0,2024-09-07 09:53:11:565,153826,0.4,152882,0.6,306523,0.4,408788,1.75 15,1,2024-09-07 09:53:11:608,1070537,1070537,0,0,502026681812,5226988628,1061462,7162,1913,381,391619,0 15,2,2024-09-07 09:53:10:999,772619,772619,0,0,27816636,0,3622 15,3,2024-09-07 09:53:11:405,1,609,1,0,1126,7894,609,0 16,0,2024-09-07 09:53:11:000,151579,0.6,152288,0.8,303937,0.6,404761,2.00 16,1,2024-09-07 09:53:10:569,1070127,1070127,0,0,501272128966,5239546938,1060689,7994,1444,370,392194,0 16,2,2024-09-07 09:53:11:433,771198,771198,0,0,31725213,0,4719 16,3,2024-09-07 09:53:11:142,1,609,1,0,358,7588,609,0 17,0,2024-09-07 09:53:11:821,156124,0.6,152260,0.8,298195,0.6,405412,2.00 17,1,2024-09-07 09:53:10:577,1068243,1068243,0,0,500696960529,5250534086,1057946,8525,1772,368,392075,0 17,2,2024-09-07 09:53:11:686,773594,773593,1,0,32999506,0,5050 17,3,2024-09-07 09:53:10:575,1,609,1,0,518,8828,609,0 18,0,2024-09-07 09:53:10:944,150137,0.6,151061,0.8,300650,0.6,401835,2.25 18,1,2024-09-07 09:53:11:640,1072682,1072682,0,0,502392232980,5209836135,1065617,5808,1257,367,391725,0 18,2,2024-09-07 09:53:11:758,769582,769582,0,0,29289118,0,3541 18,3,2024-09-07 09:53:10:896,1,609,1,0,1059,5581,609,0 19,0,2024-09-07 09:53:11:539,153732,0.6,153996,0.8,307026,0.6,408520,2.00 19,1,2024-09-07 09:53:10:576,1072568,1072568,0,0,503489544874,5217305765,1064765,6670,1133,365,391777,0 19,2,2024-09-07 09:53:11:752,774599,774599,0,0,27753716,0,3988 19,3,2024-09-07 09:53:11:129,1,609,1,0,524,4637,609,0 20,0,2024-09-07 09:53:11:376,151428,0.6,151345,0.7,302381,0.6,403127,2.00 20,1,2024-09-07 09:53:10:577,1068002,1068002,0,0,501585353320,5255961488,1057209,9285,1508,369,391922,0 20,2,2024-09-07 09:53:10:928,771250,771250,0,0,34773326,0,4321 20,3,2024-09-07 09:53:10:593,1,609,1,0,468,9775,609,0 21,0,2024-09-07 09:53:11:127,152299,0.5,152367,0.6,304455,0.4,404260,2.00 21,1,2024-09-07 09:53:11:536,1066172,1066172,0,0,499528404064,5272550135,1049300,13036,3836,368,392016,0 21,2,2024-09-07 09:53:11:067,764265,763788,477,0,45206964,0,17074 21,3,2024-09-07 09:53:11:404,1,609,1,0,713,8690,609,0 22,0,2024-09-07 09:53:11:727,152423,0.5,153034,0.6,305489,0.4,405042,2.00 22,1,2024-09-07 09:53:11:029,1066981,1066981,0,0,499720868637,5259335141,1049163,14278,3540,382,391822,0 22,2,2024-09-07 09:53:10:769,767500,767474,26,0,32957388,0,6328 22,3,2024-09-07 09:53:11:066,1,609,5,0,228,5266,609,0 23,0,2024-09-07 09:53:11:369,153167,0.5,152976,0.7,305970,0.4,408150,2.00 23,1,2024-09-07 09:53:11:009,1067318,1067318,0,0,501937703657,5287909685,1047841,12899,6578,365,391690,0 23,2,2024-09-07 09:53:11:091,771884,771884,0,0,31698627,0,3773 23,3,2024-09-07 09:53:11:755,1,609,1,0,855,8891,609,0 24,0,2024-09-07 09:53:10:927,153736,0.4,152911,0.6,307512,0.4,408028,1.75 24,1,2024-09-07 09:53:10:589,1067809,1067809,0,0,500287873009,5236161455,1057742,8357,1710,367,392269,0 24,2,2024-09-07 09:53:11:069,770278,770275,3,0,38253609,0,6294 24,3,2024-09-07 09:53:11:689,1,609,1,0,468,7796,609,0 25,0,2024-09-07 09:53:11:355,157322,0.4,153125,0.6,300772,0.4,409765,2.00 25,1,2024-09-07 09:53:10:569,1065758,1065758,0,0,499903388558,5281066265,1046992,15052,3714,369,391928,0 25,2,2024-09-07 09:53:11:623,768058,768058,0,0,38753847,0,3978 25,3,2024-09-07 09:53:11:011,1,609,6,0,532,6563,609,0 26,0,2024-09-07 09:53:11:722,151174,0.4,147824,0.6,310407,0.3,404308,1.75 26,1,2024-09-07 09:53:11:540,1069392,1069392,0,0,501471882452,5249134536,1055055,11804,2533,380,391758,0 26,2,2024-09-07 09:53:10:864,769738,769738,0,0,41503797,0,4689 26,3,2024-09-07 09:53:11:717,1,609,1,0,796,7580,609,0 27,0,2024-09-07 09:53:11:724,153513,0.4,154107,0.6,306385,0.3,408944,2.25 27,1,2024-09-07 09:53:11:676,1070520,1070520,0,0,502778059826,5239010583,1060121,8790,1609,381,391626,0 27,2,2024-09-07 09:53:10:872,765159,765094,65,0,36164147,0,5699 27,3,2024-09-07 09:53:11:022,1,609,1,0,564,5248,609,0 28,0,2024-09-07 09:53:11:403,153398,0.4,153559,0.6,307254,0.3,409681,1.75 28,1,2024-09-07 09:53:10:799,1070908,1070908,0,0,502978485864,5241992051,1061968,7049,1891,382,391904,0 28,2,2024-09-07 09:53:11:768,771407,771407,0,0,31033977,0,2915 28,3,2024-09-07 09:53:11:786,1,609,2,0,502,6026,609,0 29,0,2024-09-07 09:53:11:370,156869,0.4,152727,0.6,299769,0.4,408968,1.75 29,1,2024-09-07 09:53:11:566,1073957,1073957,0,0,503792089735,5209164068,1067597,5584,776,367,391809,0 29,2,2024-09-07 09:53:10:871,771561,771561,0,0,30220044,0,4986 29,3,2024-09-07 09:53:10:964,1,609,1,0,459,6520,609,0 30,0,2024-09-07 09:53:11:455,150814,0.5,146825,0.7,307485,0.4,401663,2.00 30,1,2024-09-07 09:53:10:578,1073050,1073050,0,0,503735934898,5224413338,1065479,6660,911,380,391672,0 30,2,2024-09-07 09:53:11:274,772134,772134,0,0,28822253,0,4192 30,3,2024-09-07 09:53:10:587,1,609,2,0,519,5624,609,0 31,0,2024-09-07 09:53:11:763,151961,0.4,152744,0.6,304443,0.4,406589,1.75 31,1,2024-09-07 09:53:10:569,1076422,1076422,0,0,504528622049,5179970778,1069962,5386,1074,356,391712,0 31,2,2024-09-07 09:53:11:275,766576,766576,0,0,32311008,0,4470 31,3,2024-09-07 09:53:11:714,1,609,1,0,239,5225,609,0 32,0,2024-09-07 09:53:11:421,154336,0.3,155522,0.5,309355,0.3,412554,1.75 32,1,2024-09-07 09:53:10:815,1072507,1072507,0,0,502851905070,5224340441,1066328,5461,718,381,391646,0 32,2,2024-09-07 09:53:10:940,773208,773208,0,0,28587249,0,3922 32,3,2024-09-07 09:53:11:020,1,609,1,0,304,4932,609,0 33,0,2024-09-07 09:53:11:587,152067,0.3,151746,0.4,303706,0.2,403933,1.50 33,1,2024-09-07 09:53:10:578,1072831,1072831,0,0,504193483490,5221445845,1064382,7176,1273,368,391730,0 33,2,2024-09-07 09:53:10:771,772877,772842,35,0,31716929,0,7012 33,3,2024-09-07 09:53:10:897,1,609,8,0,329,5314,609,0 34,0,2024-09-07 09:53:10:938,151884,0.3,156010,0.5,298478,0.2,402825,1.75 34,1,2024-09-07 09:53:11:053,1074705,1074705,0,0,504687780777,5190915702,1071744,2851,110,367,391637,0 34,2,2024-09-07 09:53:10:769,771593,771593,0,0,30266726,0,4562 34,3,2024-09-07 09:53:11:689,1,609,1,0,541,5516,609,0 35,0,2024-09-07 09:53:10:857,150867,0.3,151735,0.5,304356,0.2,404048,1.75 35,1,2024-09-07 09:53:11:067,1070686,1070686,0,0,502931757139,5218936360,1062577,6586,1523,382,391769,0 35,2,2024-09-07 09:53:11:583,768183,768183,0,0,33136651,0,4055 35,3,2024-09-07 09:53:10:911,1,609,0,0,466,5693,609,0 36,0,2024-09-07 09:53:11:577,154403,0.5,154537,0.7,308328,0.4,411156,2.00 36,1,2024-09-07 09:53:10:712,1069840,1069840,0,0,502778514726,5249431624,1056258,11342,2240,366,391759,0 36,2,2024-09-07 09:53:11:752,771897,771897,0,0,34710112,0,3875 36,3,2024-09-07 09:53:10:863,1,609,1,0,556,8291,609,0 37,0,2024-09-07 09:53:11:387,150898,0.5,151052,0.7,302267,0.5,402942,2.25 37,1,2024-09-07 09:53:10:569,1069492,1069485,0,7,501882850703,5240697218,1056829,9743,2913,365,391770,0 37,2,2024-09-07 09:53:11:142,768629,768614,15,0,34596782,0,5815 37,3,2024-09-07 09:53:11:776,1,609,9,0,888,8078,609,0 38,0,2024-09-07 09:53:11:437,151942,0.4,147272,0.6,307969,0.4,403321,2.00 38,1,2024-09-07 09:53:11:604,1069412,1069412,0,0,502552614126,5256082789,1054080,12332,3000,368,391821,0 38,2,2024-09-07 09:53:10:767,769488,769441,47,0,34816616,0,6710 38,3,2024-09-07 09:53:10:998,1,609,1,0,689,7433,609,0 39,0,2024-09-07 09:53:11:761,156097,0.5,152641,0.7,297654,0.5,406364,2.00 39,1,2024-09-07 09:53:10:727,1068867,1068867,0,0,501090073420,5252676120,1051421,13731,3715,365,391865,0 39,2,2024-09-07 09:53:11:417,769818,769818,0,0,32965863,0,3391 39,3,2024-09-07 09:53:10:721,1,609,1,0,525,6835,609,0 40,0,2024-09-07 09:53:11:489,151841,0.8,152628,0.9,304596,0.9,406641,2.75 40,1,2024-09-07 09:53:10:579,1069271,1069271,0,0,500820699003,5246020928,1053662,12541,3068,366,391668,0 40,2,2024-09-07 09:53:11:303,767357,767350,7,0,39198198,0,5347 40,3,2024-09-07 09:53:11:143,1,609,0,0,1028,8894,609,0 41,0,2024-09-07 09:53:11:056,152700,1.3,156324,1.1,298083,1.9,405173,3.25 41,1,2024-09-07 09:53:10:783,1068657,1068657,0,0,501527993017,5246338483,1054882,11615,2160,369,391878,0 41,2,2024-09-07 09:53:10:771,767342,767341,1,0,37460234,0,5408 41,3,2024-09-07 09:53:11:689,1,609,128,0,366,6635,609,0 42,0,2024-09-07 09:53:11:495,152091,1.0,151732,1.0,303468,1.1,403079,2.75 42,1,2024-09-07 09:53:11:447,1066541,1066541,0,0,499973204862,5253739916,1049959,13649,2933,380,391675,0 42,2,2024-09-07 09:53:11:133,768752,768751,1,0,37824053,0,5513 42,3,2024-09-07 09:53:11:013,1,609,337,0,892,5992,609,0 43,0,2024-09-07 09:53:10:915,150402,0.7,146459,0.9,306487,0.7,401021,2.25 43,1,2024-09-07 09:53:10:577,1070055,1070055,0,0,500911869950,5233850396,1055315,12168,2572,366,391696,0 43,2,2024-09-07 09:53:11:741,771223,771223,0,0,35736159,0,4723 43,3,2024-09-07 09:53:11:749,1,609,1,0,571,8866,609,0 44,0,2024-09-07 09:53:10:864,153263,0.4,153107,0.6,306373,0.3,407892,1.75 44,1,2024-09-07 09:53:10:569,1073182,1073182,0,0,502805266899,5196335268,1063814,7427,1941,356,391809,0 44,2,2024-09-07 09:53:11:267,766128,766128,0,0,28876429,0,4344 44,3,2024-09-07 09:53:11:095,1,609,5,0,1097,7451,609,0 45,0,2024-09-07 09:53:11:773,151934,0.5,148426,0.7,311696,0.4,408861,2.00 45,1,2024-09-07 09:53:11:014,1071587,1071587,0,0,502730828116,5222667053,1063332,7352,903,382,391917,0 45,2,2024-09-07 09:53:11:277,771848,771848,0,0,29282498,0,3596 45,3,2024-09-07 09:53:10:939,1,609,1,0,531,5754,609,0 46,0,2024-09-07 09:53:10:955,150973,0.6,150525,0.8,301953,0.6,401446,2.25 46,1,2024-09-07 09:53:10:577,1074129,1074129,0,0,504072077784,5209128100,1066634,6507,988,366,391709,0 46,2,2024-09-07 09:53:10:598,773136,773136,0,0,29960617,0,4443 46,3,2024-09-07 09:53:11:130,1,609,13,0,908,7211,609,0 47,0,2024-09-07 09:53:11:102,151941,0.4,151801,0.6,304757,0.4,403718,2.00 47,1,2024-09-07 09:53:10:577,1074779,1074779,0,0,504098882689,5206011956,1068680,5237,862,364,391641,0 47,2,2024-09-07 09:53:10:908,774313,774313,0,0,28997712,0,4477 47,3,2024-09-07 09:53:11:115,1,609,2,0,600,6600,609,0 48,0,2024-09-07 09:53:11:526,151863,0.3,151865,0.4,303496,0.2,403720,1.50 48,1,2024-09-07 09:53:11:044,1072274,1072274,0,0,502598568849,5218306138,1066170,5526,578,381,391710,0 48,2,2024-09-07 09:53:10:711,768000,768000,0,0,27543372,0,3524 48,3,2024-09-07 09:53:10:755,1,609,0,0,339,5377,609,0 49,0,2024-09-07 09:53:11:716,158509,0.3,155228,0.5,302056,0.3,412667,1.75 49,1,2024-09-07 09:53:11:021,1071162,1071162,0,0,503039443986,5231446872,1063890,5576,1696,382,391809,0 49,2,2024-09-07 09:53:11:796,773266,773266,0,0,29810225,0,4426 49,3,2024-09-07 09:53:11:416,1,609,1,0,992,7188,609,0 50,0,2024-09-07 09:53:11:505,152043,0.3,150424,0.5,303086,0.2,402946,1.75 50,1,2024-09-07 09:53:11:009,1074197,1074197,0,0,504280423706,5211586499,1067671,5857,669,368,391691,0 50,2,2024-09-07 09:53:11:067,770749,770749,0,0,27629148,0,4490 50,3,2024-09-07 09:53:11:291,1,609,1,0,617,6204,609,0 51,0,2024-09-07 09:53:11:700,156273,0.3,152899,0.5,297820,0.2,405922,1.75 51,1,2024-09-07 09:53:11:682,1074623,1074623,0,0,505165263130,5207734278,1068962,4580,1081,365,391706,0 51,2,2024-09-07 09:53:11:315,770833,770833,0,0,27147944,0,3337 51,3,2024-09-07 09:53:11:034,1,609,0,0,678,4408,609,0 52,0,2024-09-07 09:53:11:414,153107,0.5,152740,0.6,305895,0.4,406029,2.00 52,1,2024-09-07 09:53:10:582,1069475,1069475,0,0,502329800408,5256964393,1054329,12815,2331,368,391805,0 52,2,2024-09-07 09:53:11:756,766035,765997,38,0,36751747,0,6742 52,3,2024-09-07 09:53:10:676,1,609,0,0,1782,7121,609,0 53,0,2024-09-07 09:53:11:753,152892,0.6,148433,0.8,310680,0.7,407171,2.25 53,1,2024-09-07 09:53:10:801,1068030,1068030,0,0,500512948688,5252314345,1049845,13789,4396,367,391968,0 53,2,2024-09-07 09:53:11:303,771286,771285,1,0,33066123,0,5455 53,3,2024-09-07 09:53:10:722,1,609,17,0,308,5689,609,0 54,0,2024-09-07 09:53:11:615,151151,0.6,151317,0.8,301436,0.5,402435,2.25 54,1,2024-09-07 09:53:10:592,1071185,1071185,0,0,503027374029,5231375017,1060069,9445,1671,366,391810,0 54,2,2024-09-07 09:53:10:865,771448,771416,32,0,36834029,0,6397 54,3,2024-09-07 09:53:10:762,1,609,1,0,676,7843,609,0 55,0,2024-09-07 09:53:11:774,147695,0.6,152414,0.8,308883,0.6,402416,2.50 55,1,2024-09-07 09:53:10:764,1071614,1071614,0,0,502825081245,5228789879,1060748,9477,1389,365,391731,0 55,2,2024-09-07 09:53:10:729,768961,768905,56,0,34228322,0,7239 55,3,2024-09-07 09:53:10:679,1,609,1,0,304,5569,609,0 56,0,2024-09-07 09:53:11:550,154925,1.1,145931,1.1,300789,1.4,402887,2.75 56,1,2024-09-07 09:53:10:577,1064665,1064665,0,0,500404779301,5291816028,1046693,14283,3689,381,391867,0 56,2,2024-09-07 09:53:11:303,769128,769006,122,0,36497044,0,7432 56,3,2024-09-07 09:53:11:060,1,609,4,0,705,6982,609,0 57,0,2024-09-07 09:53:10:947,151879,1.4,151521,1.2,303491,1.9,405482,3.00 57,1,2024-09-07 09:53:10:988,1067139,1067139,0,0,501039389201,5258586696,1052716,11933,2490,366,392032,0 57,2,2024-09-07 09:53:11:315,767861,767861,0,0,38055792,0,4804 57,3,2024-09-07 09:53:11:739,1,609,1,0,455,7082,609,0 58,0,2024-09-07 09:53:10:581,150999,0.9,146679,1.0,306827,1.2,401972,2.50 58,1,2024-09-07 09:53:10:584,1068728,1068725,0,3,501471642833,5256695952,1052575,12247,3903,367,391726,3 58,2,2024-09-07 09:53:11:071,771042,771042,0,0,35716856,0,3483 58,3,2024-09-07 09:53:11:076,1,609,1,0,1043,6770,609,0 59,0,2024-09-07 09:53:11:755,151134,0.9,150666,1.0,301037,1.0,399984,3.00 59,1,2024-09-07 09:53:10:813,1067504,1067504,0,0,500987544774,5264846868,1049665,14171,3668,369,391653,0 59,2,2024-09-07 09:53:10:586,771405,771405,0,0,33844323,0,3727 59,3,2024-09-07 09:53:11:742,1,609,1,0,1015,7436,609,0 60,0,2024-09-07 09:53:11:714,151440,0.5,151566,0.7,303397,0.5,403971,1.75 60,1,2024-09-07 09:53:10:784,1072375,1072375,0,0,503262253891,5228610634,1064419,6867,1089,370,392031,0 60,2,2024-09-07 09:53:11:140,771352,771352,0,0,31861355,0,3811 60,3,2024-09-07 09:53:11:263,1,609,2,0,409,7070,609,0 61,0,2024-09-07 09:53:11:527,152152,0.6,152691,0.7,303892,0.6,405924,2.00 61,1,2024-09-07 09:53:10:770,1069809,1069809,0,0,502429942530,5257686988,1058672,9455,1682,382,392127,0 61,2,2024-09-07 09:53:11:115,767615,767548,67,0,32402883,0,6411 61,3,2024-09-07 09:53:11:690,1,609,1,0,607,7886,609,0 62,0,2024-09-07 09:53:11:723,155030,0.6,158871,0.7,303496,0.6,412442,2.00 62,1,2024-09-07 09:53:11:111,1075761,1075755,0,6,504806019692,5202888310,1070787,4669,299,365,391975,6 62,2,2024-09-07 09:53:11:650,769706,769705,1,0,32435297,0,5555 62,3,2024-09-07 09:53:11:143,1,609,16,0,482,4854,609,0 63,0,2024-09-07 09:53:11:469,152137,0.4,151833,0.6,304248,0.4,404224,1.75 63,1,2024-09-07 09:53:10:811,1072388,1072382,0,6,503372804860,5225539886,1065536,5991,855,381,391800,6 63,2,2024-09-07 09:53:10:779,772307,772307,0,0,30822950,0,4369 63,3,2024-09-07 09:53:11:732,1,609,1,0,667,6225,609,0 64,0,2024-09-07 09:53:11:532,150973,0.5,150827,0.6,301390,0.4,401391,2.00 64,1,2024-09-07 09:53:10:754,1071120,1071120,0,0,502682588525,5234534827,1061771,7370,1979,370,391794,0 64,2,2024-09-07 09:53:11:167,774610,774591,19,0,29954505,0,6121 64,3,2024-09-07 09:53:11:142,1,609,3,0,651,6967,609,0 65,0,2024-09-07 09:53:11:681,150523,0.6,150866,0.7,301394,0.6,401443,2.00 65,1,2024-09-07 09:53:10:862,1069361,1069361,0,0,500932167968,5234437144,1061879,6608,874,381,391901,0 65,2,2024-09-07 09:53:11:693,767156,767156,0,0,35935352,0,3367 65,3,2024-09-07 09:53:11:683,1,609,1,0,782,6704,609,0 66,0,2024-09-07 09:53:11:765,153471,0.5,153140,0.7,306241,0.5,408228,2.00 66,1,2024-09-07 09:53:11:293,1071685,1071685,0,0,502149066811,5223709131,1065182,5781,722,380,391743,0 66,2,2024-09-07 09:53:11:139,774147,774144,3,0,31976648,0,5455 66,3,2024-09-07 09:53:11:096,1,609,4,0,291,5130,609,0 67,0,2024-09-07 09:53:11:419,151617,0.5,151154,0.7,303424,0.5,403538,2.00 67,1,2024-09-07 09:53:10:766,1071153,1071152,0,1,503313512845,5238702881,1063753,6454,945,380,391787,1 67,2,2024-09-07 09:53:10:595,774030,774015,15,0,31752701,0,6205 67,3,2024-09-07 09:53:11:750,1,609,13,0,595,6332,609,0 68,0,2024-09-07 09:53:10:568,152875,0.6,152662,0.7,304002,0.5,406698,2.00 68,1,2024-09-07 09:53:10:577,1066843,1066843,0,0,500101446205,5256998969,1053038,10275,3530,381,391953,0 68,2,2024-09-07 09:53:11:043,765977,765877,100,0,39926045,0,8578 68,3,2024-09-07 09:53:10:746,1,609,3,0,417,7804,609,0 69,0,2024-09-07 09:53:11:727,152094,0.6,152840,0.8,304792,0.6,405207,2.25 69,1,2024-09-07 09:53:11:018,1065934,1065934,0,0,500509998659,5273682481,1052068,11032,2834,383,391994,0 69,2,2024-09-07 09:53:11:740,767022,766993,29,0,42482702,0,6912 69,3,2024-09-07 09:53:10:765,1,609,1,0,698,8674,609,0 70,0,2024-09-07 09:53:11:542,151715,0.7,152288,0.9,306050,0.6,405606,2.50 70,1,2024-09-07 09:53:10:822,1072741,1072741,0,0,503511854778,5223303048,1064823,7110,808,366,391725,0 70,2,2024-09-07 09:53:11:329,770015,770015,0,0,35002806,0,4323 70,3,2024-09-07 09:53:10:755,1,609,1,0,854,6675,609,0 71,0,2024-09-07 09:53:11:356,152401,1.0,152014,1.0,305214,1.2,407307,2.75 71,1,2024-09-07 09:53:11:596,1070321,1070321,0,0,501678699406,5235391771,1057320,11380,1621,368,391738,0 71,2,2024-09-07 09:53:11:067,770039,770039,0,0,35268407,0,4352 71,3,2024-09-07 09:53:11:755,1,609,6,0,644,6835,609,0 72,0,2024-09-07 09:53:11:024,157968,0.6,154350,0.7,301223,0.5,410154,2.00 72,1,2024-09-07 09:53:11:021,1068615,1068615,0,0,501502033037,5259839556,1053309,12788,2518,369,391819,0 72,2,2024-09-07 09:53:11:755,768887,768887,0,0,36821890,0,3983 72,3,2024-09-07 09:53:11:757,1,609,0,0,564,8935,609,0 73,0,2024-09-07 09:53:11:103,147859,0.4,151694,0.6,310101,0.4,403538,2.00 73,1,2024-09-07 09:53:10:766,1069870,1069870,0,0,502702071345,5225276380,1061460,7428,982,367,391858,0 73,2,2024-09-07 09:53:11:741,770509,770508,1,0,38495805,0,5027 73,3,2024-09-07 09:53:10:975,1,609,2,0,1091,8421,609,0 74,0,2024-09-07 09:53:11:338,153958,0.4,157628,0.6,300772,0.4,408177,2.00 74,1,2024-09-07 09:53:10:635,1069121,1069121,0,0,501208141830,5232792372,1057212,9792,2117,381,391762,0 74,2,2024-09-07 09:53:11:008,766421,766421,0,0,33438910,0,4253 74,3,2024-09-07 09:53:11:442,1,609,3,0,522,7457,609,0 75,0,2024-09-07 09:53:11:795,154002,0.5,153006,0.7,307082,0.5,410194,2.25 75,1,2024-09-07 09:53:11:595,1069989,1069989,0,0,502243325524,5233428168,1061363,7757,869,380,391739,0 75,2,2024-09-07 09:53:11:350,769679,769679,0,0,40629193,0,4766 75,3,2024-09-07 09:53:11:067,1,609,5,0,918,8245,609,0 76,0,2024-09-07 09:53:10:590,151311,0.6,150656,0.8,301365,0.6,403151,2.25 76,1,2024-09-07 09:53:10:815,1070139,1070139,0,0,501386880501,5227495526,1062805,6343,991,382,391790,0 76,2,2024-09-07 09:53:11:061,774307,774304,3,0,32814303,0,5265 76,3,2024-09-07 09:53:11:142,1,609,25,0,227,5534,609,0 77,0,2024-09-07 09:53:11:707,151180,0.5,151678,0.7,303185,0.5,403022,2.00 77,1,2024-09-07 09:53:10:835,1069958,1069958,0,0,502167633848,5237082489,1061969,7110,879,381,391869,0 77,2,2024-09-07 09:53:11:290,770355,770355,0,0,31933026,0,3890 77,3,2024-09-07 09:53:11:099,1,609,3,0,401,6842,609,0 78,0,2024-09-07 09:53:11:750,152065,0.4,151354,0.6,303661,0.4,402253,2.00 78,1,2024-09-07 09:53:10:610,1070451,1070451,0,0,501903709508,5233517139,1057610,9924,2917,367,391670,0 78,2,2024-09-07 09:53:11:415,768734,768721,13,0,29823062,0,8313 78,3,2024-09-07 09:53:11:133,1,609,1,0,311,5079,609,0 79,0,2024-09-07 09:53:11:345,148914,0.4,152544,0.6,312526,0.3,407380,2.00 79,1,2024-09-07 09:53:10:596,1073907,1073907,0,0,503895129407,5214535769,1066061,6486,1360,367,391682,0 79,2,2024-09-07 09:53:11:068,772445,772445,0,0,29011591,0,4195 79,3,2024-09-07 09:53:10:761,1,609,4,0,418,7175,609,0 80,0,2024-09-07 09:53:11:094,151319,0.6,155414,0.7,297111,0.6,401990,2.00 80,1,2024-09-07 09:53:11:648,1069972,1069972,0,0,502130916548,5227785897,1062814,6706,452,368,392269,0 80,2,2024-09-07 09:53:11:091,774026,774026,0,0,29673807,0,4433 80,3,2024-09-07 09:53:10:583,1,609,1,0,681,7402,609,0 81,0,2024-09-07 09:53:11:535,152220,0.5,155755,0.7,297381,0.5,403171,2.00 81,1,2024-09-07 09:53:11:652,1069367,1069367,0,0,501855381556,5242636352,1061201,7398,768,382,391885,0 81,2,2024-09-07 09:53:11:128,769677,769614,63,0,32904667,0,5932 81,3,2024-09-07 09:53:11:118,1,609,1,0,719,7017,609,0 82,0,2024-09-07 09:53:11:534,152511,0.4,152595,0.7,305981,0.4,405112,2.00 82,1,2024-09-07 09:53:10:590,1071636,1071632,0,4,502318919566,5220350844,1066059,4750,823,381,391768,4 82,2,2024-09-07 09:53:11:691,771139,771139,0,0,27863036,0,4484 82,3,2024-09-07 09:53:11:763,1,609,2,0,363,5706,609,0 83,0,2024-09-07 09:53:11:530,153602,0.6,153513,0.7,306650,0.5,407421,2.00 83,1,2024-09-07 09:53:10:562,1070286,1070286,0,0,502311429834,5235223139,1062695,6946,645,382,391709,0 83,2,2024-09-07 09:53:10:852,771430,771405,25,0,30013725,0,5612 83,3,2024-09-07 09:53:10:751,1,609,1,0,1260,7237,609,0 84,0,2024-09-07 09:53:11:792,151330,0.8,151341,0.9,302595,0.8,404051,2.25 84,1,2024-09-07 09:53:11:039,1068180,1068180,0,0,501007753861,5239593529,1055926,10581,1673,367,391967,0 84,2,2024-09-07 09:53:10:581,769296,768886,410,0,43798644,0,17037 84,3,2024-09-07 09:53:11:141,1,609,1,0,908,8138,609,0 85,0,2024-09-07 09:53:11:013,146991,0.6,146987,0.8,311968,0.6,402785,2.25 85,1,2024-09-07 09:53:10:583,1065295,1065295,0,0,499453739411,5273352200,1048975,13521,2799,381,392092,0 85,2,2024-09-07 09:53:10:864,769158,769158,0,0,36960328,0,4255 85,3,2024-09-07 09:53:10:706,1,609,10,0,789,7036,609,0 86,0,2024-09-07 09:53:10:913,151639,0.6,155839,0.8,298089,0.7,403476,2.25 86,1,2024-09-07 09:53:10:838,1068359,1068359,0,0,501441695450,5257515060,1054438,11234,2687,366,392169,0 86,2,2024-09-07 09:53:10:854,769212,769211,1,0,39241851,0,5004 86,3,2024-09-07 09:53:10:595,1,609,1,0,308,8610,609,0 87,0,2024-09-07 09:53:11:317,153531,0.8,152972,0.8,305882,0.9,408928,2.25 87,1,2024-09-07 09:53:10:557,1067833,1067833,0,0,500854120139,5247010649,1054448,11588,1797,366,392076,0 87,2,2024-09-07 09:53:11:066,767014,767008,6,0,35558276,0,6323 87,3,2024-09-07 09:53:11:799,1,609,3,0,473,8633,609,0 88,0,2024-09-07 09:53:11:469,153354,0.4,153859,0.6,307226,0.4,409561,1.75 88,1,2024-09-07 09:53:10:587,1066808,1066808,0,0,500858633970,5250100156,1051420,12343,3045,365,392084,0 88,2,2024-09-07 09:53:10:690,769954,769954,0,0,38386641,0,4465 88,3,2024-09-07 09:53:11:267,1,609,1,0,1080,9391,609,0 89,0,2024-09-07 09:53:11:775,156778,0.5,152053,0.7,300067,0.4,408380,1.75 89,1,2024-09-07 09:53:10:553,1066878,1066878,0,0,501034672193,5269045066,1053892,11041,1945,382,391866,0 89,2,2024-09-07 09:53:11:132,770856,770856,0,0,36181999,0,3173 89,3,2024-09-07 09:53:11:793,1,609,2,0,468,10128,609,0 90,0,2024-09-07 09:53:11:628,147070,0.5,151028,0.6,308222,0.4,402022,2.00 90,1,2024-09-07 09:53:10:590,1068721,1068721,0,0,501215340905,5248934288,1058623,9205,893,380,391825,0 90,2,2024-09-07 09:53:11:413,767835,767830,5,0,39746260,0,6370 90,3,2024-09-07 09:53:10:934,1,609,1,0,322,7346,609,0 91,0,2024-09-07 09:53:10:926,152606,0.4,148156,0.6,309988,0.4,406465,1.75 91,1,2024-09-07 09:53:10:562,1065908,1065908,0,0,500641960136,5275103382,1050046,12714,3148,381,392047,0 91,2,2024-09-07 09:53:11:333,766907,766907,0,0,34833180,0,4713 91,3,2024-09-07 09:53:10:606,1,609,2,0,216,5440,609,0 92,0,2024-09-07 09:53:11:513,156039,0.4,159548,0.6,304138,0.4,412677,1.75 92,1,2024-09-07 09:53:10:600,1069596,1069596,0,0,502453499122,5246718505,1061229,7079,1288,381,392136,0 92,2,2024-09-07 09:53:11:356,771822,771822,0,0,30525638,0,3259 92,3,2024-09-07 09:53:11:016,1,609,1,0,167,5220,609,0 93,0,2024-09-07 09:53:10:985,152522,0.4,156254,0.6,298438,0.4,404192,1.75 93,1,2024-09-07 09:53:10:815,1069928,1069928,0,0,501911995472,5236645540,1058391,9609,1928,365,392048,0 93,2,2024-09-07 09:53:10:931,771989,771989,0,0,36335649,0,4913 93,3,2024-09-07 09:53:11:412,1,609,4,0,294,5929,609,0 94,0,2024-09-07 09:53:11:648,150997,0.4,152126,0.5,304247,0.3,403764,1.75 94,1,2024-09-07 09:53:10:569,1070729,1070729,0,0,502400156184,5237506768,1063777,6517,435,381,391850,0 94,2,2024-09-07 09:53:10:767,770853,770825,28,0,31249432,0,6179 94,3,2024-09-07 09:53:11:694,1,609,6,0,576,7475,609,0 95,0,2024-09-07 09:53:11:366,151741,0.3,151737,0.5,303702,0.3,404189,1.75 95,1,2024-09-07 09:53:10:853,1071430,1071430,0,0,502995437729,5225473532,1062988,7692,750,365,391852,0 95,2,2024-09-07 09:53:11:021,767262,767262,0,0,30664033,0,3308 95,3,2024-09-07 09:53:11:709,1,609,4,0,718,9430,609,0 96,0,2024-09-07 09:53:11:062,153966,0.4,154349,0.5,308523,0.3,410540,1.75 96,1,2024-09-07 09:53:11:584,1070124,1070124,0,0,501562072483,5230162563,1062737,6113,1274,384,391964,0 96,2,2024-09-07 09:53:11:274,772550,772550,0,0,31887158,0,4180 96,3,2024-09-07 09:53:11:140,1,609,7,0,411,6987,609,0 97,0,2024-09-07 09:53:11:332,151759,0.3,151562,0.5,303993,0.3,403365,1.75 97,1,2024-09-07 09:53:10:768,1071348,1071348,0,0,502744771560,5216233314,1064416,5894,1038,367,392140,0 97,2,2024-09-07 09:53:10:618,772629,772629,0,0,31539365,0,4046 97,3,2024-09-07 09:53:10:591,1,609,5,0,242,6854,609,0 98,0,2024-09-07 09:53:11:724,152383,0.3,152522,0.4,306120,0.2,406777,1.50 98,1,2024-09-07 09:53:10:579,1070524,1070524,0,0,502162570036,5229873474,1064031,5657,836,381,391997,0 98,2,2024-09-07 09:53:10:779,769852,769852,0,0,30283608,0,4336 98,3,2024-09-07 09:53:10:700,1,609,0,0,840,9144,609,0 99,0,2024-09-07 09:53:11:480,152788,0.3,153653,0.5,305749,0.3,407828,1.75 99,1,2024-09-07 09:53:11:726,1071372,1071372,0,0,501905131552,5221920298,1065003,5427,942,380,392069,0 99,2,2024-09-07 09:53:11:417,769812,769812,0,0,37507822,0,4276 99,3,2024-09-07 09:53:10:592,1,609,2,0,1124,7780,609,0 100,0,2024-09-07 09:53:11:502,152967,0.7,153253,0.9,306419,0.8,409072,2.25 100,1,2024-09-07 09:53:10:554,1064854,1064854,0,0,499427727454,5278985804,1048830,12706,3318,378,391989,0 100,2,2024-09-07 09:53:11:929,766041,765652,389,0,40796117,0,16909 100,3,2024-09-07 09:53:11:731,1,609,2,0,627,10175,609,0 101,0,2024-09-07 09:53:11:712,156923,1.1,153082,1.0,299519,1.1,409071,2.25 101,1,2024-09-07 09:53:10:559,1066941,1066941,0,0,500967180044,5263658772,1052794,11618,2529,368,391847,0 101,2,2024-09-07 09:53:11:759,766224,766224,0,0,40781980,0,4871 101,3,2024-09-07 09:53:10:946,1,609,1,0,1250,9059,609,0 102,0,2024-09-07 09:53:10:960,148886,0.7,153271,0.8,311449,0.7,407690,2.25 102,1,2024-09-07 09:53:11:151,1066728,1066728,0,0,500431854178,5256386514,1052598,11930,2200,369,391984,0 102,2,2024-09-07 09:53:11:741,771305,771251,54,0,34914657,0,6768 102,3,2024-09-07 09:53:11:614,1,609,1,0,466,6957,609,0 103,0,2024-09-07 09:53:11:605,156821,0.6,156812,0.7,295464,0.6,406821,2.00 103,1,2024-09-07 09:53:11:683,1065456,1065456,0,0,500491184833,5285616842,1048367,13486,3603,381,392077,0 103,2,2024-09-07 09:53:10:588,768503,768503,0,0,37731092,0,3766 103,3,2024-09-07 09:53:10:755,1,609,2,0,916,7134,609,0 104,0,2024-09-07 09:53:11:024,151886,0.7,152063,0.9,303382,0.7,406111,2.25 104,1,2024-09-07 09:53:11:601,1068141,1068141,0,0,501118923071,5264704262,1052607,12690,2844,365,392168,0 104,2,2024-09-07 09:53:11:670,764637,764637,0,0,37158531,0,4161 104,3,2024-09-07 09:53:11:416,1,609,1,0,1245,10713,609,0 105,0,2024-09-07 09:53:11:032,151949,0.9,148129,1.1,310421,1.0,408074,2.75 105,1,2024-09-07 09:53:10:555,1069567,1069567,0,0,501630212020,5255097063,1055996,11629,1942,364,392009,0 105,2,2024-09-07 09:53:11:321,770012,770012,0,0,37553755,0,4360 105,3,2024-09-07 09:53:11:304,1,609,2,0,573,9232,609,0 106,0,2024-09-07 09:53:10:970,146555,1.0,150174,1.0,307433,1.2,401586,2.50 106,1,2024-09-07 09:53:11:779,1068398,1068398,0,0,500166117538,5248989214,1053731,12772,1895,368,391914,0 106,2,2024-09-07 09:53:10:760,770308,770308,0,0,35301977,0,3331 106,3,2024-09-07 09:53:10:682,1,609,1,0,1224,8436,609,0 107,0,2024-09-07 09:53:11:108,151370,0.9,151412,0.9,302795,1.1,403655,2.25 107,1,2024-09-07 09:53:10:593,1065561,1065561,0,0,500058258604,5284175448,1048167,15260,2134,381,392234,0 107,2,2024-09-07 09:53:11:301,767850,767849,1,0,38431054,0,5024 107,3,2024-09-07 09:53:11:758,1,609,4,0,733,9326,609,0 108,0,2024-09-07 09:53:11:773,151386,0.4,151826,0.6,302603,0.4,403705,1.75 108,1,2024-09-07 09:53:11:293,1069704,1069704,0,0,501931009827,5233606694,1061007,7702,995,367,391894,0 108,2,2024-09-07 09:53:11:756,766946,766946,0,0,32883937,0,4246 108,3,2024-09-07 09:53:11:330,1,609,0,0,749,10892,609,0 109,0,2024-09-07 09:53:11:761,155028,0.4,153737,0.6,308110,0.3,411885,1.75 109,1,2024-09-07 09:53:10:588,1067017,1067017,0,0,501376612743,5257826081,1057534,8205,1278,382,392132,0 109,2,2024-09-07 09:53:10:920,769756,769756,0,0,33795889,0,3617 109,3,2024-09-07 09:53:11:140,1,609,1,0,630,7356,609,0 110,0,2024-09-07 09:53:11:745,151322,0.4,147127,0.6,308147,0.4,403758,1.75 110,1,2024-09-07 09:53:11:646,1071592,1071592,0,0,502939366582,5219867996,1063547,6118,1927,368,392045,0 110,2,2024-09-07 09:53:11:304,772440,772440,0,0,31289686,0,4067 110,3,2024-09-07 09:53:10:692,1,609,8,0,722,8060,609,0 111,0,2024-09-07 09:53:11:425,153002,0.4,152171,0.5,304216,0.3,405473,1.75 111,1,2024-09-07 09:53:11:009,1072662,1072662,0,0,503643373029,5221811649,1067179,5102,381,380,391690,0 111,2,2024-09-07 09:53:11:116,770417,770417,0,0,31773082,0,4823 111,3,2024-09-07 09:53:10:912,1,609,2,0,379,6545,609,0 112,0,2024-09-07 09:53:10:909,153377,0.3,152927,0.4,306311,0.2,406404,1.50 112,1,2024-09-07 09:53:10:829,1071367,1071367,0,0,502236734107,5214958800,1064165,5977,1225,380,391624,0 112,2,2024-09-07 09:53:11:133,769347,769346,1,0,30158582,0,5036 112,3,2024-09-07 09:53:10:593,1,609,0,0,282,5744,609,0 113,0,2024-09-07 09:53:10:875,153657,0.3,153592,0.5,307608,0.2,409811,1.75 113,1,2024-09-07 09:53:11:687,1073813,1073813,0,0,504729154606,5207073271,1067581,5284,948,365,391664,0 113,2,2024-09-07 09:53:11:304,773878,773878,0,0,28613575,0,3813 113,3,2024-09-07 09:53:10:688,1,609,0,0,510,6512,609,0 114,0,2024-09-07 09:53:10:878,152989,0.3,153893,0.5,306903,0.2,409419,1.75 114,1,2024-09-07 09:53:10:719,1071827,1071827,0,0,502684092502,5219163532,1063885,6195,1747,381,391565,0 114,2,2024-09-07 09:53:10:872,773110,773109,1,0,29805151,0,5069 114,3,2024-09-07 09:53:11:279,1,609,1,0,395,4875,609,0 115,0,2024-09-07 09:53:10:557,153687,0.3,154322,0.4,307176,0.2,408849,1.50 115,1,2024-09-07 09:53:10:577,1072170,1072170,0,0,502733601378,5222013700,1063914,6739,1517,382,391757,0 115,2,2024-09-07 09:53:11:125,772469,772469,0,0,29893714,0,4382 115,3,2024-09-07 09:53:11:008,1,609,1,0,167,3727,609,0 116,0,2024-09-07 09:53:11:716,151627,0.6,151449,0.8,303580,0.6,405761,2.00 116,1,2024-09-07 09:53:10:816,1065908,1065908,0,0,499641674887,5265672362,1053251,9566,3091,380,392089,0 116,2,2024-09-07 09:53:11:762,770137,770137,0,0,37464710,0,4475 116,3,2024-09-07 09:53:10:927,1,609,6,0,448,7803,609,0 117,0,2024-09-07 09:53:10:975,153717,0.7,153060,0.8,306697,0.7,410109,2.00 117,1,2024-09-07 09:53:11:578,1067892,1067892,0,0,500250847166,5238871264,1056106,10263,1523,369,392429,0 117,2,2024-09-07 09:53:11:116,769693,769693,0,0,33822298,0,4303 117,3,2024-09-07 09:53:11:060,1,609,3,0,490,7727,609,0 118,0,2024-09-07 09:53:11:772,148694,0.5,152632,0.7,311675,0.5,408045,2.00 118,1,2024-09-07 09:53:10:588,1067057,1067057,0,0,500114728179,5258058193,1051212,12221,3624,366,392054,0 118,2,2024-09-07 09:53:11:594,769567,769567,0,0,36123117,0,2842 118,3,2024-09-07 09:53:11:764,1,609,2,0,289,7185,609,0 119,0,2024-09-07 09:53:11:344,152174,0.8,152840,0.8,304995,0.9,406886,2.25 119,1,2024-09-07 09:53:10:549,1067938,1067938,0,0,501163897574,5256179524,1053241,12185,2512,367,391857,0 119,2,2024-09-07 09:53:11:274,772138,772138,0,0,34125083,0,4309 119,3,2024-09-07 09:53:11:332,1,609,4,0,1358,11113,609,0 120,0,2024-09-07 09:53:11:585,150668,0.6,150588,0.8,302549,0.7,403095,2.25 120,1,2024-09-07 09:53:10:860,1068687,1068687,0,0,500777098491,5252577593,1056975,10570,1142,367,392144,0 120,2,2024-09-07 09:53:10:789,769926,769923,3,0,40510592,0,5363 120,3,2024-09-07 09:53:11:291,1,609,2,0,279,7330,609,0 121,0,2024-09-07 09:53:11:710,152383,1.0,151886,1.0,304015,1.3,405481,2.25 121,1,2024-09-07 09:53:11:661,1068399,1068399,0,0,501232250592,5244851894,1057106,9739,1554,366,391840,0 121,2,2024-09-07 09:53:11:125,766043,766043,0,0,36845309,0,4157 121,3,2024-09-07 09:53:10:729,1,609,2,0,387,8073,609,0 122,0,2024-09-07 09:53:11:787,153780,0.8,149640,0.9,313563,0.9,412467,2.00 122,1,2024-09-07 09:53:10:870,1067290,1067290,0,0,500840023544,5257242276,1052282,12596,2412,365,392130,0 122,2,2024-09-07 09:53:11:320,771882,771807,75,0,40678980,0,5989 122,3,2024-09-07 09:53:10:611,1,609,38,0,512,9839,609,0 123,0,2024-09-07 09:53:10:955,151038,0.9,146907,0.9,307674,1.0,402890,2.25 123,1,2024-09-07 09:53:10:590,1067754,1067754,0,0,501572684290,5273606591,1050901,14181,2672,369,392039,0 123,2,2024-09-07 09:53:11:021,769509,769508,1,0,34750886,0,5215 123,3,2024-09-07 09:53:11:133,1,609,2,0,478,6941,609,0 124,0,2024-09-07 09:53:10:971,155806,0.3,155735,0.5,293680,0.3,404244,1.75 124,1,2024-09-07 09:53:11:031,1071824,1071824,0,0,502244293114,5219497652,1064088,6561,1175,365,392178,0 124,2,2024-09-07 09:53:11:009,772807,772754,53,0,31126163,0,6487 124,3,2024-09-07 09:53:10:769,1,609,2,0,490,5985,609,0 125,0,2024-09-07 09:53:11:461,151454,0.4,151488,0.5,303893,0.3,404108,1.75 125,1,2024-09-07 09:53:10:866,1068376,1068376,0,0,501392657545,5232416084,1060305,6918,1153,382,391968,0 125,2,2024-09-07 09:53:11:117,770459,770459,0,0,31251134,0,4534 125,3,2024-09-07 09:53:11:130,1,609,1,0,709,6951,609,0 126,0,2024-09-07 09:53:11:455,154214,0.4,158604,0.5,303354,0.4,411176,1.75 126,1,2024-09-07 09:53:10:555,1072648,1072648,0,0,503331333274,5209245725,1067128,5073,447,365,391987,0 126,2,2024-09-07 09:53:10:623,773427,773427,0,0,32552520,0,4539 126,3,2024-09-07 09:53:10:908,1,609,1,0,268,6645,609,0 127,0,2024-09-07 09:53:11:593,152168,0.3,152190,0.5,303750,0.3,403790,1.75 127,1,2024-09-07 09:53:10:580,1070644,1070644,0,0,502366429541,5225901721,1059963,9044,1637,364,392187,0 127,2,2024-09-07 09:53:10:664,772046,772042,4,0,30660766,0,5305 127,3,2024-09-07 09:53:11:269,1,609,3,0,968,5984,609,0 128,0,2024-09-07 09:53:11:539,153360,0.3,153457,0.4,306616,0.2,407508,1.50 128,1,2024-09-07 09:53:11:605,1070467,1070467,0,0,502078815875,5221786551,1062050,7452,965,367,392423,0 128,2,2024-09-07 09:53:11:390,771904,771904,0,0,28552890,0,3171 128,3,2024-09-07 09:53:10:772,1,609,2,0,1082,9618,609,0 129,0,2024-09-07 09:53:11:013,154056,0.3,153266,0.5,307154,0.3,408379,1.50 129,1,2024-09-07 09:53:10:577,1067405,1067405,0,0,501279024921,5252837970,1056932,8451,2022,379,391962,0 129,2,2024-09-07 09:53:10:698,771984,771980,4,0,31119314,0,5335 129,3,2024-09-07 09:53:10:690,1,609,11,0,506,8311,609,0 130,0,2024-09-07 09:53:11:717,154133,0.4,153650,0.5,308103,0.4,410325,1.75 130,1,2024-09-07 09:53:10:591,1071705,1071705,0,0,502838352303,5221666706,1066261,5045,399,381,391825,0 130,2,2024-09-07 09:53:11:149,770079,770079,0,0,31338579,0,4067 130,3,2024-09-07 09:53:11:293,1,609,2,0,960,8312,609,0 131,0,2024-09-07 09:53:11:950,153623,0.3,154135,0.5,309143,0.3,410641,1.75 131,1,2024-09-07 09:53:11:834,1070615,1070615,0,0,502336234358,5235128942,1063382,6035,1198,381,391865,0 131,2,2024-09-07 09:53:10:576,771591,771591,0,0,29082859,0,3979 131,3,2024-09-07 09:53:11:689,1,609,1,0,392,7738,609,0 132,0,2024-09-07 09:53:11:419,153308,0.5,154234,0.6,307228,0.5,408700,2.00 132,1,2024-09-07 09:53:10:577,1066145,1066145,0,0,499832176482,5262185610,1050699,12742,2704,381,392532,0 132,2,2024-09-07 09:53:10:711,770619,770602,17,0,37983112,0,6451 132,3,2024-09-07 09:53:11:689,1,609,7,0,1298,10873,609,0 133,0,2024-09-07 09:53:11:528,148038,0.4,151429,0.6,310433,0.4,404385,2.00 133,1,2024-09-07 09:53:10:589,1066845,1066845,0,0,500567136754,5273162182,1052764,12317,1764,383,391914,0 133,2,2024-09-07 09:53:11:099,771085,771035,50,0,38977028,0,6861 133,3,2024-09-07 09:53:11:299,1,609,1,0,528,7336,609,0 134,0,2024-09-07 09:53:10:960,153189,0.5,153318,0.7,306766,0.5,408296,2.00 134,1,2024-09-07 09:53:10:589,1067843,1067843,0,0,500869281892,5252745689,1054059,11295,2489,366,391781,0 134,2,2024-09-07 09:53:11:759,767251,767227,24,0,35976298,0,6207 134,3,2024-09-07 09:53:10:752,1,609,1,0,739,7456,609,0 135,0,2024-09-07 09:53:11:125,148897,0.8,148891,0.8,316113,0.9,407515,2.25 135,1,2024-09-07 09:53:11:636,1066953,1066953,0,0,500838469657,5264898521,1053923,11389,1641,380,391805,0 135,2,2024-09-07 09:53:10:688,771638,771638,0,0,36939937,0,4503 135,3,2024-09-07 09:53:11:011,1,609,1,0,900,5916,609,0 136,0,2024-09-07 09:53:11:696,152156,0.6,152706,0.8,303037,0.6,404749,2.00 136,1,2024-09-07 09:53:11:562,1068455,1068455,0,0,500728649420,5248169640,1056547,10518,1390,381,392135,0 136,2,2024-09-07 09:53:11:132,773451,773436,15,0,36408959,0,6007 136,3,2024-09-07 09:53:11:111,1,609,2,0,637,6979,609,0 137,0,2024-09-07 09:53:10:927,156384,0.6,152447,0.7,298703,0.6,405502,2.00 137,1,2024-09-07 09:53:10:580,1067510,1067510,0,0,500773504517,5252600972,1050966,13155,3389,366,391898,0 137,2,2024-09-07 09:53:11:711,769663,769663,0,0,37410926,0,3185 137,3,2024-09-07 09:53:10:773,1,609,4,0,484,8269,609,0 138,0,2024-09-07 09:53:11:800,150724,0.7,151161,0.8,302620,0.8,402192,2.00 138,1,2024-09-07 09:53:11:694,1068195,1068195,0,0,501702183906,5262186426,1053418,12507,2270,368,391954,0 138,2,2024-09-07 09:53:10:593,765958,765958,0,0,35545990,0,4988 138,3,2024-09-07 09:53:10:610,1,609,3,0,1200,9539,609,0 139,0,2024-09-07 09:53:11:367,152868,1.0,153514,0.9,306845,1.4,410121,2.25 139,1,2024-09-07 09:53:10:584,1063316,1063316,0,0,497906046229,5278989615,1044927,14564,3825,380,392109,0 139,2,2024-09-07 09:53:10:700,766475,766445,30,0,41109061,0,5997 139,3,2024-09-07 09:53:11:674,1,609,2,0,432,7182,609,0 140,0,2024-09-07 09:53:11:589,152017,0.3,151144,0.5,303243,0.2,404117,1.75 140,1,2024-09-07 09:53:11:536,1074045,1074045,0,0,504084931562,5194946600,1069072,4425,548,364,391606,0 140,2,2024-09-07 09:53:10:689,772936,772935,1,0,28984168,0,5036 140,3,2024-09-07 09:53:10:777,1,609,1,0,575,6010,609,0 141,0,2024-09-07 09:53:11:697,152499,0.3,156739,0.5,299622,0.2,405255,1.75 141,1,2024-09-07 09:53:10:859,1072668,1072668,0,0,503123776862,5225069178,1064468,7007,1193,379,391614,0 141,2,2024-09-07 09:53:11:685,770887,770876,11,0,31092991,0,5369 141,3,2024-09-07 09:53:11:043,1,609,5,0,391,6985,609,0 142,0,2024-09-07 09:53:11:310,153557,0.3,152741,0.5,305660,0.2,407333,1.50 142,1,2024-09-07 09:53:10:601,1070565,1070565,0,0,501885505058,5230124841,1063847,6210,508,382,392102,0 142,2,2024-09-07 09:53:11:305,768797,768765,32,0,31594240,0,6028 142,3,2024-09-07 09:53:11:749,1,609,0,0,484,6400,609,0 143,0,2024-09-07 09:53:11:376,153579,0.4,153491,0.5,307892,0.4,409886,1.75 143,1,2024-09-07 09:53:10:563,1072528,1072528,0,0,502753651735,5214199143,1066061,5899,568,367,391900,0 143,2,2024-09-07 09:53:10:778,771888,771888,0,0,31501177,0,3123 143,3,2024-09-07 09:53:11:140,1,609,2,0,462,7317,609,0 144,0,2024-09-07 09:53:11:525,148202,0.6,152542,0.8,310372,0.6,406013,2.00 144,1,2024-09-07 09:53:10:581,1067406,1067406,0,0,501054907660,5256792444,1056807,8605,1994,381,391733,0 144,2,2024-09-07 09:53:11:754,772469,772469,0,0,30906266,0,4443 144,3,2024-09-07 09:53:11:739,1,609,1,0,249,5973,609,0 145,0,2024-09-07 09:53:11:468,148155,0.6,148067,0.8,314206,0.5,404763,2.25 145,1,2024-09-07 09:53:10:563,1066384,1066384,0,0,500371192779,5264413094,1052353,11357,2674,382,391781,0 145,2,2024-09-07 09:53:11:434,768885,768803,82,0,36899801,0,7814 145,3,2024-09-07 09:53:10:895,1,609,3,0,622,8074,609,0 146,0,2024-09-07 09:53:11:595,151959,0.5,151138,0.7,303902,0.5,404005,2.00 146,1,2024-09-07 09:53:11:585,1067722,1067722,0,0,500480232115,5265945667,1050209,13031,4482,367,391770,0 146,2,2024-09-07 09:53:11:706,769048,769042,6,0,35127045,0,5151 146,3,2024-09-07 09:53:11:275,1,609,1,0,1520,9928,609,0 147,0,2024-09-07 09:53:11:698,153644,0.6,153360,0.7,306496,0.5,409335,2.00 147,1,2024-09-07 09:53:11:372,1071689,1071689,0,0,502287165624,5218252058,1063191,7531,967,367,391791,0 147,2,2024-09-07 09:53:11:014,768238,768238,0,0,31052169,0,2968 147,3,2024-09-07 09:53:10:913,1,609,5,0,1626,9616,609,0 0,0,2024-09-07 09:53:21:714,147449,0.6,147465,0.7,313078,0.6,404122,2.00 0,1,2024-09-07 09:53:20:819,1070135,1070135,0,0,502216486914,5255978586,1061588,7600,947,368,391896,0 0,2,2024-09-07 09:53:21:082,773192,773192,0,0,31145739,0,4480 0,3,2024-09-07 09:53:20:981,1,610,3,0,431,8688,610,0 1,0,2024-09-07 09:53:21:875,152463,0.8,151425,0.9,304220,1.0,406580,2.00 1,1,2024-09-07 09:53:20:582,1069508,1069508,0,0,501107786988,5247676734,1060027,7990,1491,370,391859,0 1,2,2024-09-07 09:53:20:648,768641,768641,0,0,30555336,0,3380 1,3,2024-09-07 09:53:21:310,1,610,2,0,269,7543,610,0 2,0,2024-09-07 09:53:21:569,154706,0.6,154490,0.7,308578,0.6,412021,2.00 2,1,2024-09-07 09:53:20:869,1072810,1072810,0,0,503375583957,5232828593,1066634,5226,950,379,391745,0 2,2,2024-09-07 09:53:21:266,772906,772906,0,0,29196124,0,3594 2,3,2024-09-07 09:53:20:690,1,610,2,0,357,5443,610,0 3,0,2024-09-07 09:53:21:745,151679,0.4,151591,0.6,302606,0.4,403437,2.00 3,1,2024-09-07 09:53:21:626,1071249,1071249,0,0,502676539410,5227093680,1063637,6907,705,379,391716,0 3,2,2024-09-07 09:53:21:142,774312,774289,23,0,30288265,0,5851 3,3,2024-09-07 09:53:21:752,1,610,0,0,484,4619,610,0 4,0,2024-09-07 09:53:21:788,147360,0.4,151461,0.5,308701,0.3,403697,1.75 4,1,2024-09-07 09:53:20:597,1066684,1066684,0,0,500309963439,5293630424,1048869,14061,3754,369,391992,0 4,2,2024-09-07 09:53:21:023,769292,769292,0,0,37189266,0,4534 4,3,2024-09-07 09:53:21:034,1,610,0,0,448,7380,610,0 5,0,2024-09-07 09:53:21:432,151925,0.4,151852,0.5,303822,0.3,403960,1.75 5,1,2024-09-07 09:53:20:781,1067796,1067796,0,0,501576192727,5294152280,1051439,12230,4127,367,392005,0 5,2,2024-09-07 09:53:21:834,765492,765492,0,0,35958180,0,3582 5,3,2024-09-07 09:53:21:739,1,610,13,0,457,8415,610,0 6,0,2024-09-07 09:53:20:933,154610,0.4,154247,0.6,308669,0.4,411713,2.00 6,1,2024-09-07 09:53:20:761,1070614,1070614,0,0,501934650128,5241922478,1059535,9505,1574,379,391702,0 6,2,2024-09-07 09:53:21:121,773192,773174,18,0,34963101,0,5535 6,3,2024-09-07 09:53:21:274,1,610,1,0,710,7402,610,0 7,0,2024-09-07 09:53:21:536,151091,0.5,152146,0.7,302069,0.5,402949,2.00 7,1,2024-09-07 09:53:20:854,1068032,1068032,0,0,501098246773,5275223413,1051703,12563,3766,382,391747,0 7,2,2024-09-07 09:53:20:773,772293,772293,0,0,33656426,0,4791 7,3,2024-09-07 09:53:20:853,1,610,1,0,552,7102,610,0 8,0,2024-09-07 09:53:21:394,153229,0.4,152967,0.5,306326,0.3,407804,1.75 8,1,2024-09-07 09:53:21:016,1067658,1067658,0,0,501328370934,5271434402,1050934,13137,3587,366,392853,0 8,2,2024-09-07 09:53:20:811,766413,766411,2,0,39884929,0,5112 8,3,2024-09-07 09:53:20:597,1,610,7,0,772,9611,610,0 9,0,2024-09-07 09:53:21:125,152929,0.4,148794,0.5,311164,0.3,408000,1.75 9,1,2024-09-07 09:53:20:583,1067675,1067675,0,0,500952651953,5279273527,1050979,13467,3229,369,392001,0 9,2,2024-09-07 09:53:21:085,769473,769472,1,0,37669855,0,5281 9,3,2024-09-07 09:53:21:753,1,610,0,0,1273,10287,610,0 10,0,2024-09-07 09:53:21:601,153648,0.3,153069,0.5,307222,0.3,409653,1.75 10,1,2024-09-07 09:53:20:589,1069347,1069347,0,0,501856008299,5264881140,1053615,12668,3064,381,391981,0 10,2,2024-09-07 09:53:20:782,770991,770991,0,0,40368344,0,4713 10,3,2024-09-07 09:53:20:873,1,610,1,0,669,6839,610,0 11,0,2024-09-07 09:53:21:009,153662,0.4,149087,0.6,311906,0.4,410611,1.75 11,1,2024-09-07 09:53:20:576,1070542,1070542,0,0,501836586348,5264494028,1054586,11653,4303,383,391766,0 11,2,2024-09-07 09:53:21:133,770973,770973,0,0,35701633,0,4698 11,3,2024-09-07 09:53:21:298,1,610,0,0,843,7827,610,0 12,0,2024-09-07 09:53:21:018,154463,0.4,154303,0.5,308225,0.4,410036,1.75 12,1,2024-09-07 09:53:20:949,1070758,1070758,0,0,502399570811,5237999710,1062380,7370,1008,370,391960,0 12,2,2024-09-07 09:53:21:560,770691,770691,0,0,34362439,0,4390 12,3,2024-09-07 09:53:21:066,1,610,16,0,386,7609,610,0 13,0,2024-09-07 09:53:21:394,152930,0.4,152958,0.5,304977,0.4,406566,1.75 13,1,2024-09-07 09:53:21:536,1068182,1068182,0,0,501882290987,5273446559,1057284,8508,2390,382,391803,0 13,2,2024-09-07 09:53:20:596,774058,774058,0,0,30756878,0,3287 13,3,2024-09-07 09:53:21:772,1,610,13,0,522,8003,610,0 14,0,2024-09-07 09:53:20:586,153243,0.4,154352,0.6,306295,0.3,408659,1.75 14,1,2024-09-07 09:53:21:566,1075501,1075501,0,0,504415070041,5218304674,1067039,7333,1129,364,391673,0 14,2,2024-09-07 09:53:20:781,769672,769642,30,0,32104130,0,6104 14,3,2024-09-07 09:53:21:115,1,610,0,0,1168,6613,610,0 15,0,2024-09-07 09:53:21:558,154104,0.4,153160,0.6,307062,0.4,409526,1.75 15,1,2024-09-07 09:53:21:607,1072328,1072328,0,0,502954001056,5236421616,1063253,7162,1913,381,391619,0 15,2,2024-09-07 09:53:21:006,773895,773895,0,0,27845633,0,3622 15,3,2024-09-07 09:53:21:420,1,610,6,0,1126,7900,610,0 16,0,2024-09-07 09:53:20:948,151875,0.6,152611,0.8,304540,0.6,405731,2.00 16,1,2024-09-07 09:53:20:597,1071913,1071913,0,0,501948749042,5246475443,1062473,7996,1444,370,392194,0 16,2,2024-09-07 09:53:21:436,772452,772452,0,0,31737279,0,4719 16,3,2024-09-07 09:53:21:169,1,610,13,0,358,7601,610,0 17,0,2024-09-07 09:53:21:777,156289,0.6,152409,0.8,298504,0.6,405875,2.00 17,1,2024-09-07 09:53:20:571,1069962,1069962,0,0,501584408787,5259586992,1059665,8525,1772,368,392075,0 17,2,2024-09-07 09:53:21:695,775015,775014,1,0,33014284,0,5050 17,3,2024-09-07 09:53:20:575,1,610,6,0,518,8834,610,0 18,0,2024-09-07 09:53:20:945,150417,0.6,151348,0.8,301219,0.6,402733,2.25 18,1,2024-09-07 09:53:21:648,1074400,1074400,0,0,503089001184,5216914000,1067335,5808,1257,367,391725,0 18,2,2024-09-07 09:53:21:757,770465,770465,0,0,29294622,0,3541 18,3,2024-09-07 09:53:20:903,1,610,1,0,1059,5582,610,0 19,0,2024-09-07 09:53:21:544,154202,0.6,154478,0.8,307888,0.6,409689,2.00 19,1,2024-09-07 09:53:20:571,1074292,1074292,0,0,504287591340,5225383133,1066489,6670,1133,365,391777,0 19,2,2024-09-07 09:53:21:751,775998,775998,0,0,27762337,0,3988 19,3,2024-09-07 09:53:21:130,1,610,1,0,524,4638,610,0 20,0,2024-09-07 09:53:21:423,151550,0.6,151457,0.7,302630,0.6,403453,2.00 20,1,2024-09-07 09:53:20:604,1069743,1069743,0,0,502324926993,5263557711,1058950,9285,1508,369,391922,0 20,2,2024-09-07 09:53:20:935,772681,772681,0,0,34798096,0,4321 20,3,2024-09-07 09:53:20:830,1,610,3,0,468,9778,610,0 21,0,2024-09-07 09:53:21:207,152422,0.5,152479,0.6,304714,0.4,404605,2.00 21,1,2024-09-07 09:53:21:541,1067998,1067998,0,0,500269157058,5280237781,1051125,13037,3836,368,392016,0 21,2,2024-09-07 09:53:21:066,765755,765278,477,0,45227492,0,17074 21,3,2024-09-07 09:53:21:410,1,610,1,0,713,8691,610,0 22,0,2024-09-07 09:53:21:718,152456,0.5,153059,0.6,305572,0.4,405042,2.00 22,1,2024-09-07 09:53:21:029,1068806,1068806,0,0,500461207637,5266917444,1050988,14278,3540,382,391822,0 22,2,2024-09-07 09:53:20:765,768182,768156,26,0,32964890,0,6328 22,3,2024-09-07 09:53:21:073,1,610,2,0,228,5268,610,0 23,0,2024-09-07 09:53:21:372,153492,0.5,153328,0.7,306704,0.4,409105,2.00 23,1,2024-09-07 09:53:21:003,1069130,1069130,0,0,502674791169,5295541071,1049651,12901,6578,365,391690,0 23,2,2024-09-07 09:53:21:092,773439,773439,0,0,31723076,0,3773 23,3,2024-09-07 09:53:21:755,1,610,1,0,855,8892,610,0 24,0,2024-09-07 09:53:21:013,153847,0.4,153022,0.6,307716,0.4,408280,1.75 24,1,2024-09-07 09:53:20:598,1069559,1069559,0,0,501352872357,5247384333,1059455,8394,1710,367,392269,0 24,2,2024-09-07 09:53:21:075,771791,771788,3,0,38301156,0,6294 24,3,2024-09-07 09:53:21:702,1,610,1,0,468,7797,610,0 25,0,2024-09-07 09:53:21:484,157571,0.4,153384,0.6,301280,0.4,410349,2.00 25,1,2024-09-07 09:53:20:580,1067496,1067496,0,0,500765953890,5290107751,1048726,15056,3714,369,391928,0 25,2,2024-09-07 09:53:21:614,769069,769069,0,0,38795065,0,3978 25,3,2024-09-07 09:53:21:007,1,610,0,0,532,6563,610,0 26,0,2024-09-07 09:53:21:724,151486,0.4,148144,0.6,311031,0.3,405187,1.75 26,1,2024-09-07 09:53:21:545,1071151,1071151,0,0,502169479637,5256339537,1056814,11804,2533,380,391758,0 26,2,2024-09-07 09:53:20:867,770849,770849,0,0,41532595,0,4689 26,3,2024-09-07 09:53:21:713,1,610,1,0,796,7581,610,0 27,0,2024-09-07 09:53:21:724,153799,0.4,154393,0.6,306962,0.3,409659,2.25 27,1,2024-09-07 09:53:21:680,1072292,1072292,0,0,503483062832,5246370773,1061893,8790,1609,381,391626,0 27,2,2024-09-07 09:53:20:874,766592,766527,65,0,36210577,0,5699 27,3,2024-09-07 09:53:21:016,1,610,1,0,564,5249,610,0 28,0,2024-09-07 09:53:21:402,153942,0.4,154062,0.6,308288,0.3,411193,1.75 28,1,2024-09-07 09:53:20:803,1072718,1072718,0,0,503808864966,5250457161,1063777,7050,1891,382,391904,0 28,2,2024-09-07 09:53:21:765,772747,772747,0,0,31065480,0,2915 28,3,2024-09-07 09:53:21:783,1,610,45,0,502,6071,610,0 29,0,2024-09-07 09:53:21:358,157167,0.4,153061,0.6,300402,0.4,409776,1.75 29,1,2024-09-07 09:53:21:566,1075792,1075792,0,0,504698927147,5218372795,1069431,5585,776,367,391809,0 29,2,2024-09-07 09:53:20:867,772667,772667,0,0,30233641,0,4986 29,3,2024-09-07 09:53:20:971,1,610,1,0,459,6521,610,0 30,0,2024-09-07 09:53:21:457,150996,0.5,146981,0.7,307836,0.4,402109,2.00 30,1,2024-09-07 09:53:20:575,1074787,1074787,0,0,504418814252,5231398472,1067216,6660,911,380,391672,0 30,2,2024-09-07 09:53:21:274,773494,773494,0,0,28836552,0,4192 30,3,2024-09-07 09:53:20:581,1,610,1,0,519,5625,610,0 31,0,2024-09-07 09:53:21:778,152182,0.4,153014,0.6,304982,0.4,407187,1.75 31,1,2024-09-07 09:53:20:575,1078261,1078261,0,0,505546660899,5190267751,1071801,5386,1074,356,391712,0 31,2,2024-09-07 09:53:21:276,767765,767765,0,0,32333503,0,4470 31,3,2024-09-07 09:53:21:706,1,610,0,0,239,5225,610,0 32,0,2024-09-07 09:53:21:423,154705,0.3,155896,0.5,310183,0.3,413560,1.75 32,1,2024-09-07 09:53:20:809,1074242,1074242,0,0,503746889772,5233426467,1068063,5461,718,381,391646,0 32,2,2024-09-07 09:53:20:943,774465,774465,0,0,28594690,0,3922 32,3,2024-09-07 09:53:21:016,1,610,3,0,304,4935,610,0 33,0,2024-09-07 09:53:21:495,152225,0.3,151906,0.4,304045,0.2,404381,1.50 33,1,2024-09-07 09:53:20:592,1074546,1074546,0,0,504656547867,5226202805,1066095,7178,1273,368,391730,0 33,2,2024-09-07 09:53:20:760,774392,774357,35,0,31727932,0,7012 33,3,2024-09-07 09:53:20:903,1,610,1,0,329,5315,610,0 34,0,2024-09-07 09:53:20:933,151989,0.3,156123,0.5,298689,0.2,403075,1.75 34,1,2024-09-07 09:53:21:066,1076447,1076447,0,0,505471669130,5198843369,1073486,2851,110,367,391637,0 34,2,2024-09-07 09:53:20:768,773008,773008,0,0,30275358,0,4562 34,3,2024-09-07 09:53:21:691,1,610,1,0,541,5517,610,0 35,0,2024-09-07 09:53:20:861,150971,0.3,151836,0.5,304541,0.2,404365,1.75 35,1,2024-09-07 09:53:21:073,1072482,1072482,0,0,503779758166,5227554690,1064373,6586,1523,382,391769,0 35,2,2024-09-07 09:53:21:584,768939,768939,0,0,33141306,0,4055 35,3,2024-09-07 09:53:20:923,1,610,2,0,466,5695,610,0 36,0,2024-09-07 09:53:21:516,154874,0.5,155027,0.7,309302,0.4,412484,2.00 36,1,2024-09-07 09:53:20:596,1071684,1071684,0,0,503386579033,5255639941,1058102,11342,2240,366,391759,0 36,2,2024-09-07 09:53:21:752,773397,773397,0,0,34731587,0,3875 36,3,2024-09-07 09:53:20:866,1,610,1,0,556,8292,610,0 37,0,2024-09-07 09:53:21:386,151002,0.5,151152,0.7,302474,0.5,403253,2.25 37,1,2024-09-07 09:53:20:570,1071174,1071167,0,7,502424468172,5246239267,1058510,9744,2913,365,391770,0 37,2,2024-09-07 09:53:21:172,770234,770219,15,0,34624755,0,5815 37,3,2024-09-07 09:53:21:767,1,610,1,0,888,8079,610,0 38,0,2024-09-07 09:53:21:447,152051,0.4,147385,0.6,308220,0.4,403565,2.00 38,1,2024-09-07 09:53:21:604,1071124,1071124,0,0,503448905231,5265163622,1055792,12332,3000,368,391821,0 38,2,2024-09-07 09:53:20:765,770712,770665,47,0,34825211,0,6710 38,3,2024-09-07 09:53:21:000,1,610,1,0,689,7434,610,0 39,0,2024-09-07 09:53:21:766,156320,0.5,152868,0.7,298096,0.5,406879,2.00 39,1,2024-09-07 09:53:20:733,1070687,1070687,0,0,501723869957,5259120312,1053241,13731,3715,365,391865,0 39,2,2024-09-07 09:53:21:431,770758,770758,0,0,32973843,0,3391 39,3,2024-09-07 09:53:20:724,1,610,1,0,525,6836,610,0 40,0,2024-09-07 09:53:21:522,152246,0.8,153021,0.9,305436,0.9,407928,2.75 40,1,2024-09-07 09:53:20:579,1071060,1071060,0,0,501588037110,5253867467,1055451,12541,3068,366,391668,0 40,2,2024-09-07 09:53:21:303,768843,768836,7,0,39231343,0,5347 40,3,2024-09-07 09:53:21:155,1,610,2,0,1028,8896,610,0 41,0,2024-09-07 09:53:21:023,152903,1.3,156521,1.1,298487,1.9,405456,3.25 41,1,2024-09-07 09:53:20:781,1070415,1070415,0,0,502272232572,5253937611,1056640,11615,2160,369,391878,0 41,2,2024-09-07 09:53:20:760,768649,768648,1,0,37496275,0,5408 41,3,2024-09-07 09:53:21:679,1,610,2,0,366,6637,610,0 42,0,2024-09-07 09:53:21:484,152343,1.0,152023,1.0,304101,1.1,403837,2.75 42,1,2024-09-07 09:53:21:443,1068328,1068328,0,0,500864820914,5262860612,1051746,13649,2933,380,391675,0 42,2,2024-09-07 09:53:21:133,770041,770040,1,0,37904548,0,5513 42,3,2024-09-07 09:53:21:011,1,610,5,0,892,5997,610,0 43,0,2024-09-07 09:53:20:955,150606,0.7,146645,0.9,306860,0.7,401585,2.25 43,1,2024-09-07 09:53:20:605,1071814,1071814,0,0,501838696991,5243278132,1057074,12168,2572,366,391696,0 43,2,2024-09-07 09:53:21:748,772449,772449,0,0,35770286,0,4723 43,3,2024-09-07 09:53:21:753,1,610,8,0,571,8874,610,0 44,0,2024-09-07 09:53:20:858,153655,0.4,153514,0.6,307177,0.3,409130,1.75 44,1,2024-09-07 09:53:20:563,1074895,1074895,0,0,503536004381,5203738937,1065527,7427,1941,356,391809,0 44,2,2024-09-07 09:53:21:274,767456,767456,0,0,28898142,0,4344 44,3,2024-09-07 09:53:21:100,1,610,1,0,1097,7452,610,0 45,0,2024-09-07 09:53:21:769,152205,0.5,148702,0.7,312281,0.4,409561,2.00 45,1,2024-09-07 09:53:21:005,1073383,1073383,0,0,503457622998,5230094102,1065128,7352,903,382,391917,0 45,2,2024-09-07 09:53:21:276,773169,773169,0,0,29307435,0,3596 45,3,2024-09-07 09:53:20:938,1,610,1,0,531,5755,610,0 46,0,2024-09-07 09:53:20:969,151271,0.6,150852,0.8,302590,0.6,402373,2.25 46,1,2024-09-07 09:53:20:594,1076034,1076034,0,0,504870438219,5217246608,1068539,6507,988,366,391709,0 46,2,2024-09-07 09:53:20:628,774498,774498,0,0,29982727,0,4443 46,3,2024-09-07 09:53:21:133,1,610,3,0,908,7214,610,0 47,0,2024-09-07 09:53:21:115,152103,0.4,151962,0.6,305107,0.4,404195,2.00 47,1,2024-09-07 09:53:20:571,1076574,1076574,0,0,504805137238,5213220632,1070475,5237,862,364,391641,0 47,2,2024-09-07 09:53:20:924,775597,775597,0,0,29013198,0,4477 47,3,2024-09-07 09:53:21:120,1,610,1,0,600,6601,610,0 48,0,2024-09-07 09:53:21:509,152155,0.3,152206,0.4,304079,0.2,404647,1.50 48,1,2024-09-07 09:53:21:024,1074085,1074085,0,0,503541259107,5227891098,1067981,5526,578,381,391710,0 48,2,2024-09-07 09:53:20:705,768920,768920,0,0,27548283,0,3524 48,3,2024-09-07 09:53:20:772,1,610,2,0,339,5379,610,0 49,0,2024-09-07 09:53:21:739,158993,0.3,155654,0.5,302893,0.3,413837,1.75 49,1,2024-09-07 09:53:21:024,1072925,1072925,0,0,503701875316,5238206400,1065653,5576,1696,382,391809,0 49,2,2024-09-07 09:53:21:796,774645,774645,0,0,29818429,0,4426 49,3,2024-09-07 09:53:21:430,1,610,2,0,992,7190,610,0 50,0,2024-09-07 09:53:21:509,152173,0.3,150529,0.5,303333,0.2,403264,1.75 50,1,2024-09-07 09:53:21:016,1075941,1075941,0,0,505119626953,5220067116,1069415,5857,669,368,391691,0 50,2,2024-09-07 09:53:21:074,772161,772161,0,0,27637174,0,4490 50,3,2024-09-07 09:53:21:298,1,610,1,0,617,6205,610,0 51,0,2024-09-07 09:53:21:691,156394,0.3,153008,0.5,298031,0.2,406284,1.75 51,1,2024-09-07 09:53:21:696,1076375,1076375,0,0,505962119234,5215792167,1070713,4581,1081,365,391706,0 51,2,2024-09-07 09:53:21:316,772260,772260,0,0,27156373,0,3337 51,3,2024-09-07 09:53:21:028,1,610,1,0,678,4409,610,0 52,0,2024-09-07 09:53:21:420,153148,0.5,152786,0.6,305967,0.4,406029,2.00 52,1,2024-09-07 09:53:20:592,1071250,1071250,0,0,503305854645,5266995381,1056104,12815,2331,368,391805,0 52,2,2024-09-07 09:53:21:756,766762,766724,38,0,36759946,0,6742 52,3,2024-09-07 09:53:20:684,1,610,1,0,1782,7122,610,0 53,0,2024-09-07 09:53:21:762,153272,0.6,148772,0.8,311444,0.7,408099,2.25 53,1,2024-09-07 09:53:20:790,1069793,1069793,0,0,501362965504,5260952361,1051607,13790,4396,367,391968,0 53,2,2024-09-07 09:53:21:307,772719,772718,1,0,33078862,0,5455 53,3,2024-09-07 09:53:20:701,1,610,0,0,308,5689,610,0 54,0,2024-09-07 09:53:21:613,151235,0.6,151411,0.8,301605,0.5,402674,2.25 54,1,2024-09-07 09:53:20:598,1072953,1072953,0,0,503679462981,5238023556,1061836,9446,1671,366,391810,0 54,2,2024-09-07 09:53:20:874,772879,772847,32,0,36852097,0,6397 54,3,2024-09-07 09:53:20:780,1,610,0,0,676,7843,610,0 55,0,2024-09-07 09:53:21:760,147928,0.6,152652,0.8,309374,0.6,402981,2.50 55,1,2024-09-07 09:53:20:765,1073359,1073359,0,0,503719396648,5237842726,1062493,9477,1389,365,391731,0 55,2,2024-09-07 09:53:20:738,769980,769924,56,0,34238215,0,7239 55,3,2024-09-07 09:53:20:678,1,610,0,0,304,5569,610,0 56,0,2024-09-07 09:53:21:581,155209,1.1,146246,1.1,301431,1.4,403749,2.75 56,1,2024-09-07 09:53:20:678,1066399,1066399,0,0,501120752418,5299240099,1048426,14284,3689,381,391867,0 56,2,2024-09-07 09:53:21:308,770256,770134,122,0,36520190,0,7432 56,3,2024-09-07 09:53:21:063,1,610,8,0,705,6990,610,0 57,0,2024-09-07 09:53:20:973,152141,1.4,151804,1.2,304039,1.9,406164,3.00 57,1,2024-09-07 09:53:21:009,1068919,1068919,0,0,501676150575,5265248712,1054494,11934,2491,366,392032,0 57,2,2024-09-07 09:53:21:324,769294,769294,0,0,38098732,0,4804 57,3,2024-09-07 09:53:21:747,1,610,2,0,455,7084,610,0 58,0,2024-09-07 09:53:20:559,151502,0.9,147119,1.0,307868,1.2,403489,2.50 58,1,2024-09-07 09:53:20:575,1070397,1070394,0,3,502244545987,5264593036,1054243,12248,3903,367,391726,3 58,2,2024-09-07 09:53:21:085,772311,772311,0,0,35776114,0,3483 58,3,2024-09-07 09:53:21:080,1,610,0,0,1043,6770,610,0 59,0,2024-09-07 09:53:21:750,151459,0.9,150968,1.0,301697,1.0,400821,3.00 59,1,2024-09-07 09:53:20:810,1069282,1069282,0,0,501995786991,5275313655,1051432,14182,3668,369,391653,0 59,2,2024-09-07 09:53:20:585,772455,772455,0,0,33875249,0,3727 59,3,2024-09-07 09:53:21:747,1,610,10,0,1015,7446,610,0 60,0,2024-09-07 09:53:21:709,151609,0.5,151732,0.7,303720,0.5,404368,1.75 60,1,2024-09-07 09:53:20:790,1074177,1074177,0,0,503936722807,5235493909,1066221,6867,1089,370,392031,0 60,2,2024-09-07 09:53:21:153,772707,772707,0,0,31878267,0,3811 60,3,2024-09-07 09:53:21:276,1,610,4,0,409,7074,610,0 61,0,2024-09-07 09:53:21:533,152419,0.6,152933,0.7,304412,0.6,406516,2.00 61,1,2024-09-07 09:53:20:777,1071635,1071635,0,0,503174227611,5265415628,1060498,9455,1682,382,392127,0 61,2,2024-09-07 09:53:21:129,768806,768739,67,0,32421219,0,6411 61,3,2024-09-07 09:53:21:687,1,610,1,0,607,7887,610,0 62,0,2024-09-07 09:53:21:718,155421,0.6,159276,0.7,304311,0.6,413452,2.00 62,1,2024-09-07 09:53:21:128,1077521,1077515,0,6,505680405191,5211793512,1072547,4669,299,365,391975,6 62,2,2024-09-07 09:53:21:654,770871,770870,1,0,32455045,0,5555 62,3,2024-09-07 09:53:21:155,1,610,1,0,482,4855,610,0 63,0,2024-09-07 09:53:21:466,152306,0.4,152005,0.6,304556,0.4,404678,1.75 63,1,2024-09-07 09:53:20:811,1074153,1074147,0,6,504093547361,5232904491,1067301,5991,855,381,391800,6 63,2,2024-09-07 09:53:20:764,773764,773764,0,0,30847026,0,4369 63,3,2024-09-07 09:53:21:732,1,610,1,0,667,6226,610,0 64,0,2024-09-07 09:53:21:510,151084,0.5,150918,0.6,301588,0.4,401637,2.00 64,1,2024-09-07 09:53:20:765,1072847,1072847,0,0,503310257030,5240931485,1063498,7370,1979,370,391794,0 64,2,2024-09-07 09:53:21:141,776040,776021,19,0,29964884,0,6121 64,3,2024-09-07 09:53:21:170,1,610,0,0,651,6967,610,0 65,0,2024-09-07 09:53:21:679,150638,0.6,150955,0.7,301605,0.6,401785,2.00 65,1,2024-09-07 09:53:20:873,1071095,1071095,0,0,501936043276,5244634112,1063613,6608,874,381,391901,0 65,2,2024-09-07 09:53:21:698,767903,767903,0,0,35940984,0,3367 65,3,2024-09-07 09:53:21:691,1,610,0,0,782,6704,610,0 66,0,2024-09-07 09:53:21:801,153939,0.5,153607,0.7,307181,0.5,409553,2.00 66,1,2024-09-07 09:53:21:298,1073406,1073406,0,0,502962187617,5231981324,1066903,5781,722,380,391743,0 66,2,2024-09-07 09:53:21:144,775731,775728,3,0,31988110,0,5455 66,3,2024-09-07 09:53:21:079,1,610,1,0,291,5131,610,0 67,0,2024-09-07 09:53:21:431,151731,0.5,151260,0.7,303644,0.5,403854,2.00 67,1,2024-09-07 09:53:20:781,1072952,1072951,0,1,504218287681,5247898582,1065552,6454,945,380,391787,1 67,2,2024-09-07 09:53:20:595,775650,775635,15,0,31764068,0,6205 67,3,2024-09-07 09:53:21:751,1,610,1,0,595,6333,610,0 68,0,2024-09-07 09:53:20:593,152983,0.6,152779,0.7,304239,0.5,406936,2.00 68,1,2024-09-07 09:53:20:570,1068625,1068625,0,0,500947331100,5265642829,1054820,10275,3530,381,391953,0 68,2,2024-09-07 09:53:21:066,767318,767218,100,0,39940797,0,8578 68,3,2024-09-07 09:53:20:739,1,610,1,0,417,7805,610,0 69,0,2024-09-07 09:53:21:745,152313,0.6,153048,0.8,305255,0.6,405729,2.25 69,1,2024-09-07 09:53:21:025,1067714,1067714,0,0,501331723084,5282082355,1053848,11032,2834,383,391994,0 69,2,2024-09-07 09:53:21:743,767973,767944,29,0,42502692,0,6912 69,3,2024-09-07 09:53:20:765,1,610,5,0,698,8679,610,0 70,0,2024-09-07 09:53:21:559,152110,0.7,152726,0.9,306852,0.6,406752,2.50 70,1,2024-09-07 09:53:20:803,1074498,1074498,0,0,504411457662,5232455865,1066580,7110,808,366,391725,0 70,2,2024-09-07 09:53:21:324,771592,771592,0,0,35021119,0,4323 70,3,2024-09-07 09:53:20:747,1,610,71,0,854,6746,610,0 71,0,2024-09-07 09:53:21:370,152613,1.0,152249,1.0,305644,1.2,407602,2.75 71,1,2024-09-07 09:53:21:595,1072099,1072099,0,0,502438292269,5243109624,1059098,11380,1621,368,391738,0 71,2,2024-09-07 09:53:21:077,771292,771292,0,0,35280779,0,4352 71,3,2024-09-07 09:53:21:752,1,610,10,0,644,6845,610,0 72,0,2024-09-07 09:53:21:022,158262,0.6,154671,0.7,301858,0.5,410925,2.00 72,1,2024-09-07 09:53:21:024,1070386,1070386,0,0,502208788519,5267061258,1055080,12788,2518,369,391819,0 72,2,2024-09-07 09:53:21:756,770164,770164,0,0,36865086,0,3983 72,3,2024-09-07 09:53:21:755,1,610,15,0,564,8950,610,0 73,0,2024-09-07 09:53:21:113,148074,0.4,151898,0.6,310518,0.4,404116,2.00 73,1,2024-09-07 09:53:20:791,1071624,1071624,0,0,503509806158,5233508573,1063214,7428,982,367,391858,0 73,2,2024-09-07 09:53:21:739,771776,771775,1,0,38526397,0,5027 73,3,2024-09-07 09:53:20:978,1,610,0,0,1091,8421,610,0 74,0,2024-09-07 09:53:21:328,154388,0.4,158022,0.6,301626,0.4,409418,2.00 74,1,2024-09-07 09:53:20:642,1070812,1070812,0,0,502144076524,5242332307,1058903,9792,2117,381,391762,0 74,2,2024-09-07 09:53:21:001,767752,767752,0,0,33478946,0,4253 74,3,2024-09-07 09:53:21:449,1,610,0,0,522,7457,610,0 75,0,2024-09-07 09:53:21:778,154296,0.5,153318,0.7,307645,0.5,410904,2.25 75,1,2024-09-07 09:53:21:585,1071733,1071733,0,0,502955058805,5240782477,1063107,7757,869,380,391739,0 75,2,2024-09-07 09:53:21:362,770958,770958,0,0,40685156,0,4766 75,3,2024-09-07 09:53:21:074,1,610,14,0,918,8259,610,0 76,0,2024-09-07 09:53:20:607,151624,0.6,150970,0.8,301975,0.6,404091,2.25 76,1,2024-09-07 09:53:20:812,1071912,1071912,0,0,502192319372,5235759052,1064577,6344,991,382,391790,0 76,2,2024-09-07 09:53:21:063,775692,775689,3,0,32836009,0,5265 76,3,2024-09-07 09:53:21:155,1,610,1,0,227,5535,610,0 77,0,2024-09-07 09:53:21:707,151342,0.5,151862,0.7,303497,0.5,403477,2.00 77,1,2024-09-07 09:53:20:826,1071735,1071735,0,0,502954951905,5245141351,1063745,7110,880,381,391869,0 77,2,2024-09-07 09:53:21:284,771610,771610,0,0,31955243,0,3890 77,3,2024-09-07 09:53:21:101,1,610,12,0,401,6854,610,0 78,0,2024-09-07 09:53:21:744,152377,0.4,151663,0.6,304296,0.4,403230,2.00 78,1,2024-09-07 09:53:20:863,1072289,1072289,0,0,502761081961,5242321736,1059448,9924,2917,367,391670,0 78,2,2024-09-07 09:53:21:420,769689,769676,13,0,29839502,0,8313 78,3,2024-09-07 09:53:21:132,1,610,5,0,311,5084,610,0 79,0,2024-09-07 09:53:21:362,149364,0.4,152990,0.6,313457,0.3,408545,2.00 79,1,2024-09-07 09:53:20:579,1075654,1075654,0,0,504820755060,5223925157,1067807,6487,1360,367,391682,0 79,2,2024-09-07 09:53:21:074,773857,773857,0,0,29032377,0,4195 79,3,2024-09-07 09:53:20:789,1,610,1,0,418,7176,610,0 80,0,2024-09-07 09:53:21:113,151428,0.6,155540,0.7,297337,0.6,402311,2.00 80,1,2024-09-07 09:53:21:619,1071794,1071794,0,0,502944687222,5236081911,1064634,6708,452,368,392269,0 80,2,2024-09-07 09:53:21:113,775436,775436,0,0,29684492,0,4433 80,3,2024-09-07 09:53:20:589,1,610,10,0,681,7412,610,0 81,0,2024-09-07 09:53:21:545,152325,0.5,155899,0.7,297638,0.5,403509,2.00 81,1,2024-09-07 09:53:21:682,1071089,1071089,0,0,502800635049,5252253901,1062923,7398,768,382,391885,0 81,2,2024-09-07 09:53:21:141,771189,771126,63,0,32916246,0,5932 81,3,2024-09-07 09:53:21:124,1,610,1,0,719,7018,610,0 82,0,2024-09-07 09:53:21:533,152558,0.4,152630,0.7,306060,0.4,405112,2.00 82,1,2024-09-07 09:53:20:597,1073340,1073336,0,4,503194122471,5229247265,1067763,4750,823,381,391768,4 82,2,2024-09-07 09:53:21:697,771800,771800,0,0,27867451,0,4484 82,3,2024-09-07 09:53:21:752,1,610,1,0,363,5707,610,0 83,0,2024-09-07 09:53:21:536,153957,0.6,153860,0.7,307421,0.5,408356,2.00 83,1,2024-09-07 09:53:20:580,1072023,1072023,0,0,502970034181,5241964313,1064431,6947,645,382,391709,0 83,2,2024-09-07 09:53:20:764,773038,773013,25,0,30025975,0,5612 83,3,2024-09-07 09:53:20:760,1,610,0,0,1260,7237,610,0 84,0,2024-09-07 09:53:21:769,151423,0.8,151440,0.9,302775,0.8,404292,2.25 84,1,2024-09-07 09:53:21:051,1069908,1069908,0,0,501751523892,5247158530,1057654,10581,1673,367,391967,0 84,2,2024-09-07 09:53:20:575,770843,770433,410,0,43811637,0,17037 84,3,2024-09-07 09:53:21:146,1,610,4,0,908,8142,610,0 85,0,2024-09-07 09:53:21:036,147218,0.6,147205,0.8,312445,0.6,403375,2.25 85,1,2024-09-07 09:53:20:562,1067100,1067100,0,0,500158887638,5280599682,1050780,13521,2799,381,392092,0 85,2,2024-09-07 09:53:20:867,770281,770281,0,0,36972456,0,4255 85,3,2024-09-07 09:53:20:696,1,610,7,0,789,7043,610,0 86,0,2024-09-07 09:53:20:879,151938,0.6,156154,0.8,298715,0.7,404348,2.25 86,1,2024-09-07 09:53:20:828,1070137,1070137,0,0,502178511218,5265024640,1056216,11234,2687,366,392169,0 86,2,2024-09-07 09:53:20:857,770248,770247,1,0,39263190,0,5004 86,3,2024-09-07 09:53:20:626,1,610,12,0,308,8622,610,0 87,0,2024-09-07 09:53:21:356,153832,0.8,153264,0.8,306523,0.9,409627,2.25 87,1,2024-09-07 09:53:20:551,1069616,1069616,0,0,501659144190,5255209937,1056231,11588,1797,366,392076,0 87,2,2024-09-07 09:53:21:074,768615,768609,6,0,35585629,0,6323 87,3,2024-09-07 09:53:21:802,1,610,4,0,473,8637,610,0 88,0,2024-09-07 09:53:21:469,153837,0.4,154339,0.6,308223,0.4,411019,1.75 88,1,2024-09-07 09:53:20:571,1068594,1068594,0,0,501623272825,5257946749,1053206,12343,3045,365,392084,0 88,2,2024-09-07 09:53:20:690,771218,771218,0,0,38417920,0,4465 88,3,2024-09-07 09:53:21:267,1,610,0,0,1080,9391,610,0 89,0,2024-09-07 09:53:21:860,157094,0.5,152380,0.7,300727,0.4,409256,1.75 89,1,2024-09-07 09:53:20:549,1068610,1068610,0,0,501697191252,5275864654,1055624,11041,1945,382,391866,0 89,2,2024-09-07 09:53:21:145,772007,772007,0,0,36214896,0,3173 89,3,2024-09-07 09:53:21:795,1,610,1,0,468,10129,610,0 90,0,2024-09-07 09:53:21:646,147204,0.5,151180,0.6,308528,0.4,402435,2.00 90,1,2024-09-07 09:53:20:644,1070446,1070446,0,0,501908275213,5256036027,1060348,9205,893,380,391825,0 90,2,2024-09-07 09:53:21:417,769176,769171,5,0,39775472,0,6370 90,3,2024-09-07 09:53:20:937,1,610,1,0,322,7347,610,0 91,0,2024-09-07 09:53:20:945,152887,0.4,148402,0.6,310516,0.4,407063,1.75 91,1,2024-09-07 09:53:20:575,1067696,1067696,0,0,501296794389,5281947251,1051834,12714,3148,381,392047,0 91,2,2024-09-07 09:53:21:345,768108,768108,0,0,34856616,0,4713 91,3,2024-09-07 09:53:20:620,1,610,11,0,216,5451,610,0 92,0,2024-09-07 09:53:21:480,156419,0.4,159928,0.6,304895,0.4,413716,1.75 92,1,2024-09-07 09:53:20:595,1071312,1071312,0,0,503459355801,5256974206,1062944,7080,1288,381,392136,0 92,2,2024-09-07 09:53:21:360,773109,773109,0,0,30545090,0,3259 92,3,2024-09-07 09:53:21:015,1,610,5,0,167,5225,610,0 93,0,2024-09-07 09:53:21:044,152682,0.4,156423,0.6,298770,0.4,404672,1.75 93,1,2024-09-07 09:53:20:812,1071726,1071726,0,0,502856618866,5246242422,1060189,9609,1928,365,392048,0 93,2,2024-09-07 09:53:20:933,773503,773503,0,0,36357831,0,4913 93,3,2024-09-07 09:53:21:415,1,610,0,0,294,5929,610,0 94,0,2024-09-07 09:53:21:624,151098,0.4,152237,0.5,304459,0.3,404020,1.75 94,1,2024-09-07 09:53:20:576,1072525,1072525,0,0,503227291920,5245972682,1065572,6518,435,381,391850,0 94,2,2024-09-07 09:53:20:775,772313,772285,28,0,31271109,0,6179 94,3,2024-09-07 09:53:21:702,1,610,2,0,576,7477,610,0 95,0,2024-09-07 09:53:21:361,151841,0.3,151843,0.5,303883,0.3,404508,1.75 95,1,2024-09-07 09:53:20:852,1073198,1073198,0,0,503645054235,5232161905,1064755,7692,751,365,391852,0 95,2,2024-09-07 09:53:21:029,767935,767935,0,0,30682175,0,3308 95,3,2024-09-07 09:53:21:712,1,610,2,0,718,9432,610,0 96,0,2024-09-07 09:53:21:038,154471,0.4,154814,0.5,309473,0.3,411929,1.75 96,1,2024-09-07 09:53:21:595,1071872,1071872,0,0,502407436134,5238785170,1064485,6113,1274,384,391964,0 96,2,2024-09-07 09:53:21:276,774052,774052,0,0,31897285,0,4180 96,3,2024-09-07 09:53:21:171,1,610,4,0,411,6991,610,0 97,0,2024-09-07 09:53:21:321,151866,0.3,151668,0.5,304201,0.3,403663,1.75 97,1,2024-09-07 09:53:20:799,1073156,1073156,0,0,503654492486,5225440576,1066224,5894,1038,367,392140,0 97,2,2024-09-07 09:53:20:854,774119,774119,0,0,31554490,0,4046 97,3,2024-09-07 09:53:20:570,1,610,1,0,242,6855,610,0 98,0,2024-09-07 09:53:21:725,152488,0.3,152647,0.4,306347,0.2,407025,1.50 98,1,2024-09-07 09:53:20:575,1072292,1072292,0,0,502907628747,5237494792,1065798,5658,836,381,391997,0 98,2,2024-09-07 09:53:20:773,771096,771096,0,0,30292241,0,4336 98,3,2024-09-07 09:53:20:711,1,610,1,0,840,9145,610,0 99,0,2024-09-07 09:53:21:517,153012,0.3,153889,0.5,306195,0.3,408373,1.75 99,1,2024-09-07 09:53:21:726,1073161,1073161,0,0,502777982928,5230804435,1066790,5428,943,380,392069,0 99,2,2024-09-07 09:53:21:423,770755,770755,0,0,37515254,0,4276 99,3,2024-09-07 09:53:20:609,1,610,1,0,1124,7781,610,0 100,0,2024-09-07 09:53:21:464,153359,0.7,153665,0.9,307239,0.8,410248,2.25 100,1,2024-09-07 09:53:20:551,1066604,1066604,0,0,500321508652,5288112589,1050580,12706,3318,378,391989,0 100,2,2024-09-07 09:53:21:817,767589,767200,389,0,40817583,0,16909 100,3,2024-09-07 09:53:21:731,1,610,1,0,627,10176,610,0 101,0,2024-09-07 09:53:21:764,157113,1.1,153307,1.0,299899,1.1,409351,2.25 101,1,2024-09-07 09:53:20:551,1068750,1068750,0,0,501987046853,5273991387,1054603,11618,2529,368,391847,0 101,2,2024-09-07 09:53:21:763,767608,767608,0,0,40803315,0,4871 101,3,2024-09-07 09:53:20:950,1,610,2,0,1250,9061,610,0 102,0,2024-09-07 09:53:20:964,149161,0.7,153552,0.8,312070,0.7,408435,2.25 102,1,2024-09-07 09:53:21:147,1068533,1068533,0,0,501487884725,5267141478,1054403,11930,2200,369,391984,0 102,2,2024-09-07 09:53:21:825,772473,772419,54,0,34927362,0,6768 102,3,2024-09-07 09:53:21:613,1,610,11,0,466,6968,610,0 103,0,2024-09-07 09:53:21:590,157022,0.6,157037,0.7,295855,0.6,407395,2.00 103,1,2024-09-07 09:53:21:630,1067287,1067287,0,0,501365952103,5294569086,1050198,13486,3603,381,392077,0 103,2,2024-09-07 09:53:20:585,769757,769757,0,0,37747724,0,3766 103,3,2024-09-07 09:53:20:757,1,610,1,0,916,7135,610,0 104,0,2024-09-07 09:53:21:054,152313,0.7,152445,0.9,304261,0.7,407134,2.25 104,1,2024-09-07 09:53:21:599,1069975,1069975,0,0,501952212198,5273233027,1054441,12690,2844,365,392168,0 104,2,2024-09-07 09:53:21:671,766000,766000,0,0,37209183,0,4161 104,3,2024-09-07 09:53:21:430,1,610,4,0,1245,10717,610,0 105,0,2024-09-07 09:53:21:031,152225,0.9,148423,1.1,311027,1.0,408796,2.50 105,1,2024-09-07 09:53:20:559,1071216,1071216,0,0,502406061577,5263005727,1057645,11629,1942,364,392009,0 105,2,2024-09-07 09:53:21:321,771358,771358,0,0,37600457,0,4360 105,3,2024-09-07 09:53:21:308,1,610,18,0,573,9250,610,0 106,0,2024-09-07 09:53:20:947,146841,1.0,150461,1.0,308060,1.2,402477,2.50 106,1,2024-09-07 09:53:21:770,1070149,1070149,0,0,501157649242,5259108224,1055482,12772,1895,368,391914,0 106,2,2024-09-07 09:53:20:795,771746,771746,0,0,35352566,0,3331 106,3,2024-09-07 09:53:20:717,1,610,3,0,1224,8439,610,0 107,0,2024-09-07 09:53:21:152,151534,0.9,151575,0.9,303078,1.1,404133,2.25 107,1,2024-09-07 09:53:20:591,1067367,1067367,0,0,500905198392,5292914954,1049973,15260,2134,381,392234,0 107,2,2024-09-07 09:53:21:294,769149,769148,1,0,38466454,0,5024 107,3,2024-09-07 09:53:21:755,1,610,2,0,733,9328,610,0 108,0,2024-09-07 09:53:21:837,151689,0.4,152139,0.6,303225,0.4,404630,1.75 108,1,2024-09-07 09:53:21:297,1071495,1071495,0,0,502666871360,5241169404,1062795,7704,996,367,391894,0 108,2,2024-09-07 09:53:21:784,767919,767919,0,0,32898929,0,4246 108,3,2024-09-07 09:53:21:331,1,610,6,0,749,10898,610,0 109,0,2024-09-07 09:53:21:805,155460,0.4,154217,0.6,309000,0.3,413057,1.75 109,1,2024-09-07 09:53:20:597,1068759,1068759,0,0,502041603963,5264786406,1059275,8205,1279,382,392132,0 109,2,2024-09-07 09:53:20:932,771122,771122,0,0,33817006,0,3617 109,3,2024-09-07 09:53:21:170,1,610,1,0,630,7357,610,0 110,0,2024-09-07 09:53:21:748,151426,0.4,147242,0.6,308406,0.4,404079,1.75 110,1,2024-09-07 09:53:21:644,1073357,1073357,0,0,503503191959,5225690494,1065312,6118,1927,368,392045,0 110,2,2024-09-07 09:53:21:303,773921,773921,0,0,31318569,0,4067 110,3,2024-09-07 09:53:20:695,1,610,0,0,722,8060,610,0 111,0,2024-09-07 09:53:21:418,153130,0.4,152279,0.5,304472,0.3,405809,1.75 111,1,2024-09-07 09:53:21:007,1074391,1074391,0,0,504497410737,5230526185,1068908,5102,381,380,391690,0 111,2,2024-09-07 09:53:21:128,771812,771812,0,0,31798597,0,4823 111,3,2024-09-07 09:53:20:920,1,610,0,0,379,6545,610,0 112,0,2024-09-07 09:53:20:931,153422,0.3,152963,0.4,306415,0.2,406404,1.50 112,1,2024-09-07 09:53:20:830,1073143,1073143,0,0,503026391198,5222997315,1065939,5979,1225,380,391624,0 112,2,2024-09-07 09:53:21:133,770013,770012,1,0,30162744,0,5036 112,3,2024-09-07 09:53:20:598,1,610,1,0,282,5745,610,0 113,0,2024-09-07 09:53:20:871,154021,0.3,153976,0.5,308330,0.2,410715,1.75 113,1,2024-09-07 09:53:21:697,1075527,1075527,0,0,505432772610,5214208172,1069294,5285,948,365,391664,0 113,2,2024-09-07 09:53:21:303,775413,775413,0,0,28624436,0,3813 113,3,2024-09-07 09:53:20:693,1,610,19,0,510,6531,610,0 114,0,2024-09-07 09:53:20:875,153082,0.3,153982,0.5,307095,0.2,409669,1.75 114,1,2024-09-07 09:53:20:726,1073632,1073632,0,0,503431967332,5226779161,1065690,6195,1747,381,391565,0 114,2,2024-09-07 09:53:20:875,774652,774651,1,0,29813728,0,5069 114,3,2024-09-07 09:53:21:278,1,610,0,0,395,4875,610,0 115,0,2024-09-07 09:53:20:564,153950,0.3,154567,0.4,307662,0.2,409428,1.50 115,1,2024-09-07 09:53:20:575,1073856,1073856,0,0,503600148625,5230803389,1065600,6739,1517,382,391757,0 115,2,2024-09-07 09:53:21:128,773528,773528,0,0,29900042,0,4382 115,3,2024-09-07 09:53:21:010,1,610,1,0,167,3728,610,0 116,0,2024-09-07 09:53:21:715,151949,0.6,151762,0.8,304198,0.6,406658,2.00 116,1,2024-09-07 09:53:20:818,1067619,1067619,0,0,500316213160,5272636694,1054959,9569,3091,380,392089,0 116,2,2024-09-07 09:53:21:760,771195,771195,0,0,37474879,0,4475 116,3,2024-09-07 09:53:20:932,1,610,0,0,448,7803,610,0 117,0,2024-09-07 09:53:20:958,154040,0.7,153359,0.8,307304,0.7,410807,2.00 117,1,2024-09-07 09:53:21:587,1069686,1069686,0,0,501182760520,5248343627,1057899,10264,1523,369,392429,0 117,2,2024-09-07 09:53:21:148,771201,771201,0,0,33848005,0,4303 117,3,2024-09-07 09:53:21:066,1,610,1,0,490,7728,610,0 118,0,2024-09-07 09:53:21:768,149209,0.5,153162,0.7,312681,0.5,409507,2.00 118,1,2024-09-07 09:53:20:613,1068858,1068858,0,0,501088154867,5267923452,1053012,12222,3624,366,392054,0 118,2,2024-09-07 09:53:21:587,770860,770860,0,0,36149768,0,2842 118,3,2024-09-07 09:53:21:765,1,610,2,0,289,7187,610,0 119,0,2024-09-07 09:53:21:332,152468,0.8,153172,0.8,305655,0.9,407747,2.25 119,1,2024-09-07 09:53:20:551,1069653,1069653,0,0,502021634340,5264925313,1054956,12185,2512,367,391857,0 119,2,2024-09-07 09:53:21:279,773247,773247,0,0,34136343,0,4309 119,3,2024-09-07 09:53:21:328,1,610,2,0,1358,11115,610,0 120,0,2024-09-07 09:53:21:558,150823,0.6,150745,0.8,302882,0.7,403486,2.25 120,1,2024-09-07 09:53:20:864,1070392,1070392,0,0,501667396059,5261674047,1058679,10571,1142,367,392144,0 120,2,2024-09-07 09:53:20:773,771268,771265,3,0,40538765,0,5363 120,3,2024-09-07 09:53:21:297,1,610,2,0,279,7332,610,0 121,0,2024-09-07 09:53:21:697,152656,1.0,152151,1.0,304512,1.3,406105,2.25 121,1,2024-09-07 09:53:21:658,1070153,1070153,0,0,502019498348,5252896354,1058860,9739,1554,366,391840,0 121,2,2024-09-07 09:53:21:134,767327,767327,0,0,36870795,0,4157 121,3,2024-09-07 09:53:20:731,1,610,1,0,387,8074,610,0 122,0,2024-09-07 09:53:21:784,154186,0.8,150041,0.9,314346,0.9,413499,2.00 122,1,2024-09-07 09:53:20:863,1069040,1069040,0,0,501502659700,5264076171,1054031,12596,2413,365,392130,0 122,2,2024-09-07 09:53:21:320,772997,772922,75,0,40706564,0,5989 122,3,2024-09-07 09:53:20:829,1,610,4,0,512,9843,610,0 123,0,2024-09-07 09:53:21:012,151191,0.9,147052,0.9,308009,1.0,403310,2.25 123,1,2024-09-07 09:53:20:574,1069582,1069582,0,0,502477517789,5282845335,1052729,14181,2672,369,392039,0 123,2,2024-09-07 09:53:21:024,771070,771069,1,0,34784057,0,5215 123,3,2024-09-07 09:53:21:155,1,610,6,0,478,6947,610,0 124,0,2024-09-07 09:53:20:992,155920,0.3,155841,0.5,293898,0.3,404485,1.75 124,1,2024-09-07 09:53:21:037,1073554,1073554,0,0,502833489944,5225556671,1065817,6561,1176,365,392178,0 124,2,2024-09-07 09:53:21:020,774246,774193,53,0,31163781,0,6487 124,3,2024-09-07 09:53:20:779,1,610,1,0,490,5986,610,0 125,0,2024-09-07 09:53:21:445,151541,0.4,151581,0.5,304083,0.3,404438,1.75 125,1,2024-09-07 09:53:20:867,1070113,1070113,0,0,502215404570,5240837980,1062042,6918,1153,382,391968,0 125,2,2024-09-07 09:53:21:122,771132,771132,0,0,31262315,0,4534 125,3,2024-09-07 09:53:21:128,1,610,0,0,709,6951,610,0 126,0,2024-09-07 09:53:21:436,154700,0.4,159101,0.5,304290,0.4,412521,1.75 126,1,2024-09-07 09:53:20:582,1074428,1074428,0,0,504049425347,5216557825,1068908,5073,447,365,391987,0 126,2,2024-09-07 09:53:20:632,774979,774979,0,0,32576159,0,4539 126,3,2024-09-07 09:53:20:912,1,610,0,0,268,6645,610,0 127,0,2024-09-07 09:53:21:590,152286,0.3,152293,0.5,303947,0.3,404074,1.75 127,1,2024-09-07 09:53:20:570,1072397,1072397,0,0,503196019037,5234387296,1061716,9044,1637,364,392187,0 127,2,2024-09-07 09:53:20:645,773694,773690,4,0,30690855,0,5305 127,3,2024-09-07 09:53:21:267,1,610,2,0,968,5986,610,0 128,0,2024-09-07 09:53:21:589,153488,0.3,153581,0.4,306854,0.2,407757,1.50 128,1,2024-09-07 09:53:21:617,1072164,1072164,0,0,502849944363,5229630961,1063746,7453,965,367,392423,0 128,2,2024-09-07 09:53:21:393,773162,773162,0,0,28563787,0,3171 128,3,2024-09-07 09:53:20:788,1,610,10,0,1082,9628,610,0 129,0,2024-09-07 09:53:21:015,154274,0.3,153486,0.5,307568,0.3,408907,1.50 129,1,2024-09-07 09:53:20:575,1069205,1069205,0,0,502063875405,5260853136,1058732,8451,2022,379,391962,0 129,2,2024-09-07 09:53:20:688,772932,772928,4,0,31125796,0,5335 129,3,2024-09-07 09:53:20:690,1,610,9,0,506,8320,610,0 130,0,2024-09-07 09:53:21:727,154494,0.4,154054,0.5,308928,0.4,411493,1.75 130,1,2024-09-07 09:53:20:589,1073461,1073461,0,0,503550302238,5228944306,1068016,5045,400,381,391825,0 130,2,2024-09-07 09:53:21:133,771597,771597,0,0,31349298,0,4067 130,3,2024-09-07 09:53:21:297,1,610,1,0,960,8313,610,0 131,0,2024-09-07 09:53:21:952,153831,0.3,154332,0.5,309566,0.3,410945,1.75 131,1,2024-09-07 09:53:21:821,1072353,1072353,0,0,503137255124,5243294542,1065120,6035,1198,381,391865,0 131,2,2024-09-07 09:53:20:575,772949,772949,0,0,29094205,0,3979 131,3,2024-09-07 09:53:21:701,1,610,9,0,392,7747,610,0 132,0,2024-09-07 09:53:21:449,153600,0.5,154533,0.6,307825,0.5,409447,2.00 132,1,2024-09-07 09:53:20:594,1067923,1067923,0,0,500617981435,5270243218,1052477,12742,2704,381,392532,0 132,2,2024-09-07 09:53:20:706,771711,771694,17,0,37997929,0,6451 132,3,2024-09-07 09:53:21:704,1,610,20,0,1298,10893,610,0 133,0,2024-09-07 09:53:21:567,148248,0.4,151639,0.6,310887,0.4,404975,2.00 133,1,2024-09-07 09:53:20:599,1068597,1068597,0,0,501254317705,5280217282,1054513,12320,1764,383,391914,0 133,2,2024-09-07 09:53:21:172,772289,772239,50,0,38989998,0,6861 133,3,2024-09-07 09:53:21:300,1,610,5,0,528,7341,610,0 134,0,2024-09-07 09:53:20:945,153634,0.5,153734,0.7,307569,0.5,409577,2.00 134,1,2024-09-07 09:53:20:587,1069585,1069585,0,0,501760512606,5261834226,1055799,11297,2489,366,391781,0 134,2,2024-09-07 09:53:21:765,768635,768611,24,0,35989945,0,6207 134,3,2024-09-07 09:53:20:761,1,610,1,0,739,7457,610,0 135,0,2024-09-07 09:53:21:124,149198,0.8,149190,0.8,316739,0.9,408226,2.25 135,1,2024-09-07 09:53:21:587,1068747,1068747,0,0,501777195514,5274492251,1055717,11389,1641,380,391805,0 135,2,2024-09-07 09:53:20:692,772984,772984,0,0,36962394,0,4503 135,3,2024-09-07 09:53:21:007,1,610,2,0,900,5918,610,0 136,0,2024-09-07 09:53:21:638,152491,0.6,153009,0.8,303639,0.6,405668,2.00 136,1,2024-09-07 09:53:21:448,1070167,1070167,0,0,501397326715,5255086745,1058259,10518,1390,381,392135,0 136,2,2024-09-07 09:53:21:140,774897,774882,15,0,36456718,0,6007 136,3,2024-09-07 09:53:21:118,1,610,1,0,637,6980,610,0 137,0,2024-09-07 09:53:20:937,156546,0.6,152595,0.7,298976,0.6,405977,2.00 137,1,2024-09-07 09:53:20:579,1069263,1069263,0,0,501624435728,5261412791,1052718,13156,3389,366,391898,0 137,2,2024-09-07 09:53:21:709,770965,770965,0,0,37470207,0,3185 137,3,2024-09-07 09:53:20:780,1,610,0,0,484,8269,610,0 138,0,2024-09-07 09:53:21:795,151020,0.7,151452,0.8,303259,0.8,403128,2.00 138,1,2024-09-07 09:53:21:700,1069891,1069891,0,0,502427480241,5269645981,1055114,12507,2270,368,391954,0 138,2,2024-09-07 09:53:20:603,766825,766825,0,0,35563813,0,4988 138,3,2024-09-07 09:53:20:625,1,610,16,0,1200,9555,610,0 139,0,2024-09-07 09:53:21:378,153314,1.0,154021,0.9,307747,1.4,411282,2.25 139,1,2024-09-07 09:53:20:571,1065152,1065152,0,0,498700583076,5287350948,1046760,14566,3826,380,392109,0 139,2,2024-09-07 09:53:20:698,768039,768009,30,0,41146021,0,5997 139,3,2024-09-07 09:53:21:671,1,610,3,0,432,7185,610,0 140,0,2024-09-07 09:53:21:592,152118,0.3,151263,0.5,303469,0.2,404434,1.75 140,1,2024-09-07 09:53:21:538,1075846,1075846,0,0,504967775751,5203886133,1070873,4425,548,364,391606,0 140,2,2024-09-07 09:53:20:689,774415,774414,1,0,29006491,0,5036 140,3,2024-09-07 09:53:20:783,1,610,1,0,575,6011,610,0 141,0,2024-09-07 09:53:21:704,152604,0.3,156869,0.5,299830,0.2,405576,1.75 141,1,2024-09-07 09:53:20:869,1074391,1074391,0,0,503832932140,5232327371,1066191,7007,1193,379,391614,0 141,2,2024-09-07 09:53:21:697,772326,772315,11,0,31111766,0,5369 141,3,2024-09-07 09:53:21:042,1,610,0,0,391,6985,610,0 142,0,2024-09-07 09:53:21:344,153603,0.3,152771,0.5,305739,0.2,407333,1.50 142,1,2024-09-07 09:53:20:584,1072328,1072328,0,0,502802116248,5239500985,1065610,6210,508,382,392102,0 142,2,2024-09-07 09:53:21:304,769494,769462,32,0,31603016,0,6028 142,3,2024-09-07 09:53:21:747,1,610,21,0,484,6421,610,0 143,0,2024-09-07 09:53:21:399,153915,0.4,153851,0.5,308655,0.4,410815,1.75 143,1,2024-09-07 09:53:20:572,1074406,1074406,0,0,503479552596,5221632811,1067938,5900,568,367,391900,0 143,2,2024-09-07 09:53:20:773,773413,773413,0,0,31524336,0,3123 143,3,2024-09-07 09:53:21:171,1,610,6,0,462,7323,610,0 144,0,2024-09-07 09:53:21:505,148283,0.6,152647,0.8,310574,0.6,406242,2.00 144,1,2024-09-07 09:53:20:578,1069181,1069181,0,0,501825281499,5264670604,1058582,8605,1994,381,391733,0 144,2,2024-09-07 09:53:21:755,773922,773922,0,0,30916711,0,4443 144,3,2024-09-07 09:53:21:746,1,610,17,0,249,5990,610,0 145,0,2024-09-07 09:53:21:396,148360,0.6,148327,0.8,314726,0.5,405277,2.25 145,1,2024-09-07 09:53:20:583,1068193,1068193,0,0,501295346186,5273853344,1054162,11357,2674,382,391781,0 145,2,2024-09-07 09:53:21:439,770024,769942,82,0,36916251,0,7814 145,3,2024-09-07 09:53:20:903,1,610,1,0,622,8075,610,0 146,0,2024-09-07 09:53:21:612,152263,0.5,151432,0.7,304515,0.5,404862,2.00 146,1,2024-09-07 09:53:21:586,1069515,1069515,0,0,501290190172,5274290191,1052002,13031,4482,367,391770,0 146,2,2024-09-07 09:53:21:698,770073,770067,6,0,35155319,0,5151 146,3,2024-09-07 09:53:21:281,1,610,13,0,1520,9941,610,0 147,0,2024-09-07 09:53:21:727,153943,0.6,153678,0.7,307160,0.5,410045,2.00 147,1,2024-09-07 09:53:21:373,1073448,1073448,0,0,503197246635,5227473956,1064950,7531,967,367,391791,0 147,2,2024-09-07 09:53:21:016,769726,769726,0,0,31092504,0,2968 147,3,2024-09-07 09:53:20:917,1,610,1,0,1626,9617,610,0 0,0,2024-09-07 09:53:31:734,147582,0.6,147622,0.7,313402,0.6,404523,2.00 0,1,2024-09-07 09:53:30:806,1071905,1071905,0,0,503040158077,5264367236,1063358,7600,947,368,391896,0 0,2,2024-09-07 09:53:31:067,774570,774570,0,0,31157630,0,4480 0,3,2024-09-07 09:53:30:977,1,611,1,0,431,8689,611,0 1,0,2024-09-07 09:53:31:807,152725,0.8,151664,0.9,304715,1.0,407207,2.00 1,1,2024-09-07 09:53:30:558,1071407,1071407,0,0,502209228339,5258851598,1061926,7990,1491,370,391859,0 1,2,2024-09-07 09:53:30:639,769881,769881,0,0,30565574,0,3380 1,3,2024-09-07 09:53:31:318,1,611,16,0,269,7559,611,0 2,0,2024-09-07 09:53:31:602,155122,0.6,154834,0.7,309388,0.6,413043,2.00 2,1,2024-09-07 09:53:30:862,1074535,1074535,0,0,504197212370,5241185515,1068358,5226,951,379,391745,0 2,2,2024-09-07 09:53:31:270,774207,774207,0,0,29206587,0,3594 2,3,2024-09-07 09:53:30:691,1,611,0,0,357,5443,611,0 3,0,2024-09-07 09:53:31:746,151832,0.4,151743,0.6,302967,0.4,403915,2.00 3,1,2024-09-07 09:53:31:621,1073042,1073042,0,0,503529786681,5235764121,1065430,6907,705,379,391716,0 3,2,2024-09-07 09:53:31:142,775801,775778,23,0,30298630,0,5851 3,3,2024-09-07 09:53:31:756,1,611,1,0,484,4620,611,0 4,0,2024-09-07 09:53:31:779,147446,0.4,151548,0.5,308867,0.3,403949,1.75 4,1,2024-09-07 09:53:30:591,1068490,1068490,0,0,501126402970,5301949067,1050675,14061,3754,369,391992,0 4,2,2024-09-07 09:53:31:018,770751,770751,0,0,37212067,0,4534 4,3,2024-09-07 09:53:31:026,1,611,1,0,448,7381,611,0 5,0,2024-09-07 09:53:31:387,152031,0.4,151958,0.5,304062,0.3,404294,1.75 5,1,2024-09-07 09:53:30:755,1069588,1069588,0,0,502367590454,5302277024,1053230,12231,4127,367,392005,0 5,2,2024-09-07 09:53:31:833,766322,766322,0,0,35968121,0,3582 5,3,2024-09-07 09:53:31:733,1,611,2,0,457,8417,611,0 6,0,2024-09-07 09:53:30:916,155113,0.4,154748,0.6,309660,0.4,413087,2.00 6,1,2024-09-07 09:53:30:745,1072410,1072410,0,0,502802937827,5250764967,1061331,9505,1574,379,391702,0 6,2,2024-09-07 09:53:31:124,774505,774487,18,0,34976135,0,5535 6,3,2024-09-07 09:53:31:275,1,611,0,0,710,7402,611,0 7,0,2024-09-07 09:53:31:537,151204,0.5,152263,0.7,302297,0.5,403256,2.00 7,1,2024-09-07 09:53:30:862,1069811,1069811,0,0,501742807328,5281844637,1053482,12563,3766,382,391747,0 7,2,2024-09-07 09:53:30:769,773741,773741,0,0,33671148,0,4791 7,3,2024-09-07 09:53:30:853,1,611,1,0,552,7103,611,0 8,0,2024-09-07 09:53:31:325,153332,0.4,153070,0.5,306534,0.3,408074,1.75 8,1,2024-09-07 09:53:31:016,1069474,1069474,0,0,502314341164,5281533854,1052750,13137,3587,366,392853,0 8,2,2024-09-07 09:53:30:799,767809,767807,2,0,39941747,0,5112 8,3,2024-09-07 09:53:30:585,1,611,1,0,772,9612,611,0 9,0,2024-09-07 09:53:31:101,153153,0.4,148997,0.5,311631,0.3,408514,1.75 9,1,2024-09-07 09:53:30:550,1069419,1069419,0,0,501914062642,5289067156,1052721,13468,3230,369,392001,0 9,2,2024-09-07 09:53:31:092,770248,770247,1,0,37699141,0,5281 9,3,2024-09-07 09:53:31:756,1,611,1,0,1273,10288,611,0 10,0,2024-09-07 09:53:31:608,154111,0.3,153478,0.5,308061,0.3,410837,1.75 10,1,2024-09-07 09:53:30:582,1071090,1071090,0,0,502663836691,5273246305,1055358,12668,3064,381,391981,0 10,2,2024-09-07 09:53:30:762,772461,772461,0,0,40418951,0,4713 10,3,2024-09-07 09:53:30:884,1,611,1,0,669,6840,611,0 11,0,2024-09-07 09:53:31:006,153795,0.4,149222,0.6,312233,0.4,410898,1.75 11,1,2024-09-07 09:53:30:571,1072298,1072298,0,0,502474940541,5271131311,1056342,11653,4303,383,391766,0 11,2,2024-09-07 09:53:31:122,772359,772359,0,0,35754553,0,4698 11,3,2024-09-07 09:53:31:301,1,611,28,0,843,7855,611,0 12,0,2024-09-07 09:53:30:936,154750,0.4,154597,0.5,308874,0.4,410810,1.75 12,1,2024-09-07 09:53:30:933,1072494,1072494,0,0,503180967044,5245988891,1064115,7371,1008,370,391960,0 12,2,2024-09-07 09:53:31:552,771774,771774,0,0,34386316,0,4390 12,3,2024-09-07 09:53:31:061,1,611,15,0,386,7624,611,0 13,0,2024-09-07 09:53:31:339,153125,0.4,153199,0.5,305404,0.4,407164,1.75 13,1,2024-09-07 09:53:31:537,1069916,1069916,0,0,502602409491,5280952957,1059018,8508,2390,382,391803,0 13,2,2024-09-07 09:53:30:595,775216,775216,0,0,30780825,0,3287 13,3,2024-09-07 09:53:31:768,1,611,1,0,522,8004,611,0 14,0,2024-09-07 09:53:30:570,153678,0.4,154798,0.6,307199,0.3,409894,1.75 14,1,2024-09-07 09:53:31:571,1077292,1077292,0,0,505284520605,5227100474,1068830,7333,1129,364,391673,0 14,2,2024-09-07 09:53:30:764,771053,771023,30,0,32119580,0,6104 14,3,2024-09-07 09:53:31:115,1,611,2,0,1168,6615,611,0 15,0,2024-09-07 09:53:31:563,154370,0.4,153449,0.6,307618,0.4,410233,1.75 15,1,2024-09-07 09:53:31:610,1074078,1074078,0,0,503805498887,5245093638,1065003,7162,1913,381,391619,0 15,2,2024-09-07 09:53:30:999,775235,775235,0,0,27860454,0,3622 15,3,2024-09-07 09:53:31:415,1,611,1,0,1126,7901,611,0 16,0,2024-09-07 09:53:30:933,152210,0.6,152935,0.8,305216,0.6,406611,2.00 16,1,2024-09-07 09:53:30:563,1073627,1073627,0,0,502607645615,5253217382,1064187,7996,1444,370,392194,0 16,2,2024-09-07 09:53:31:440,773576,773576,0,0,31746425,0,4719 16,3,2024-09-07 09:53:31:147,1,611,1,0,358,7602,611,0 17,0,2024-09-07 09:53:31:771,156451,0.6,152591,0.8,298867,0.6,406342,2.00 17,1,2024-09-07 09:53:30:575,1071738,1071738,0,0,502504290672,5268967449,1061440,8526,1772,368,392075,0 17,2,2024-09-07 09:53:31:666,776288,776287,1,0,33028058,0,5050 17,3,2024-09-07 09:53:30:574,1,611,1,0,518,8835,611,0 18,0,2024-09-07 09:53:30:941,150716,0.6,151675,0.8,301874,0.6,403656,2.25 18,1,2024-09-07 09:53:31:643,1076158,1076158,0,0,504030686626,5226436718,1069092,5809,1257,367,391725,0 18,2,2024-09-07 09:53:31:757,771622,771622,0,0,29302034,0,3541 18,3,2024-09-07 09:53:30:904,1,611,0,0,1059,5582,611,0 19,0,2024-09-07 09:53:31:558,154633,0.6,154905,0.8,308762,0.6,410783,2.00 19,1,2024-09-07 09:53:30:566,1076152,1076152,0,0,505036652504,5232988401,1068349,6670,1133,365,391777,0 19,2,2024-09-07 09:53:31:757,777173,777173,0,0,27771644,0,3988 19,3,2024-09-07 09:53:31:129,1,611,1,0,524,4639,611,0 20,0,2024-09-07 09:53:31:375,151667,0.6,151579,0.7,302901,0.6,403785,2.00 20,1,2024-09-07 09:53:30:566,1071483,1071483,0,0,503322976134,5273730857,1060690,9285,1508,369,391922,0 20,2,2024-09-07 09:53:30:928,774095,774095,0,0,34814671,0,4321 20,3,2024-09-07 09:53:30:589,1,611,2,0,468,9780,611,0 21,0,2024-09-07 09:53:31:132,152537,0.5,152622,0.6,304991,0.4,404936,2.00 21,1,2024-09-07 09:53:31:566,1069760,1069760,0,0,501107135871,5288777499,1052885,13039,3836,368,392016,0 21,2,2024-09-07 09:53:31:067,767151,766674,477,0,45250085,0,17074 21,3,2024-09-07 09:53:31:406,1,611,1,0,713,8692,611,0 22,0,2024-09-07 09:53:31:720,152470,0.5,153073,0.6,305590,0.4,405042,2.00 22,1,2024-09-07 09:53:31:023,1070493,1070493,0,0,501036554286,5272836171,1052675,14278,3540,382,391822,0 22,2,2024-09-07 09:53:30:759,768869,768843,26,0,32971646,0,6328 22,3,2024-09-07 09:53:31:073,1,611,3,0,228,5271,611,0 23,0,2024-09-07 09:53:31:387,153853,0.5,153671,0.7,307414,0.4,410040,2.00 23,1,2024-09-07 09:53:31:004,1070876,1070876,0,0,503426553620,5303184853,1051397,12901,6578,365,391690,0 23,2,2024-09-07 09:53:31:095,774939,774939,0,0,31739288,0,3773 23,3,2024-09-07 09:53:31:755,1,611,1,0,855,8893,611,0 24,0,2024-09-07 09:53:30:836,153956,0.4,153112,0.6,307930,0.4,408516,1.75 24,1,2024-09-07 09:53:30:596,1071335,1071335,0,0,502345597642,5257577139,1061229,8396,1710,367,392269,0 24,2,2024-09-07 09:53:31:068,773434,773431,3,0,38344998,0,6294 24,3,2024-09-07 09:53:31:689,1,611,3,0,468,7800,611,0 25,0,2024-09-07 09:53:31:349,157775,0.4,153591,0.6,301749,0.4,410925,2.00 25,1,2024-09-07 09:53:30:558,1069225,1069225,0,0,501531439190,5298116491,1050455,15056,3714,369,391928,0 25,2,2024-09-07 09:53:31:618,770167,770167,0,0,38849810,0,3978 25,3,2024-09-07 09:53:31:001,1,611,4,0,532,6567,611,0 26,0,2024-09-07 09:53:31:730,151842,0.4,148422,0.6,311688,0.3,406065,1.75 26,1,2024-09-07 09:53:31:544,1072934,1072934,0,0,503040092103,5265377177,1058597,11804,2533,380,391758,0 26,2,2024-09-07 09:53:30:861,771896,771896,0,0,41562204,0,4689 26,3,2024-09-07 09:53:31:717,1,611,0,0,796,7581,611,0 27,0,2024-09-07 09:53:31:747,154089,0.4,154681,0.6,307530,0.3,410374,2.25 27,1,2024-09-07 09:53:31:676,1074097,1074097,0,0,504285848998,5254601284,1063697,8791,1609,381,391626,0 27,2,2024-09-07 09:53:30:871,768121,768056,65,0,36324697,0,5699 27,3,2024-09-07 09:53:31:015,1,611,1,0,564,5250,611,0 28,0,2024-09-07 09:53:31:464,154448,0.4,154621,0.6,309349,0.3,412689,1.75 28,1,2024-09-07 09:53:30:801,1074541,1074541,0,0,504438347540,5256928484,1065600,7050,1891,382,391904,0 28,2,2024-09-07 09:53:31:763,774088,774088,0,0,31084126,0,2915 28,3,2024-09-07 09:53:31:785,1,611,1,0,502,6072,611,0 29,0,2024-09-07 09:53:31:393,157503,0.4,153368,0.6,301016,0.4,410617,1.75 29,1,2024-09-07 09:53:31:578,1077584,1077584,0,0,505618954790,5227759930,1071223,5585,776,367,391809,0 29,2,2024-09-07 09:53:30:868,773824,773824,0,0,30249766,0,4986 29,3,2024-09-07 09:53:30:964,1,611,3,0,459,6524,611,0 30,0,2024-09-07 09:53:31:462,151160,0.5,147118,0.7,308171,0.4,402535,2.00 30,1,2024-09-07 09:53:30:571,1076532,1076532,0,0,505288449172,5240440317,1068959,6662,911,380,391672,0 30,2,2024-09-07 09:53:31:274,774767,774767,0,0,28854433,0,4192 30,3,2024-09-07 09:53:30:581,1,611,1,0,519,5626,611,0 31,0,2024-09-07 09:53:31:761,152426,0.4,153250,0.6,305475,0.4,407842,1.75 31,1,2024-09-07 09:53:30:566,1080067,1080067,0,0,506318148749,5198054710,1073607,5386,1074,356,391712,0 31,2,2024-09-07 09:53:31:275,769070,769070,0,0,32359607,0,4470 31,3,2024-09-07 09:53:31:706,1,611,3,0,239,5228,611,0 32,0,2024-09-07 09:53:31:645,155095,0.3,156299,0.5,310989,0.3,414557,1.75 32,1,2024-09-07 09:53:30:804,1075972,1075972,0,0,504434131722,5240437652,1069793,5461,718,381,391646,0 32,2,2024-09-07 09:53:30:945,775635,775635,0,0,28603057,0,3922 32,3,2024-09-07 09:53:31:015,1,611,1,0,304,4936,611,0 33,0,2024-09-07 09:53:31:492,152406,0.3,152088,0.4,304403,0.2,404787,1.50 33,1,2024-09-07 09:53:30:574,1076336,1076336,0,0,505438628805,5234128557,1067885,7178,1273,368,391730,0 33,2,2024-09-07 09:53:30:758,775829,775794,35,0,31737148,0,7012 33,3,2024-09-07 09:53:30:908,1,611,13,0,329,5328,611,0 34,0,2024-09-07 09:53:30:928,152090,0.3,156221,0.5,298856,0.2,403310,1.75 34,1,2024-09-07 09:53:31:052,1078253,1078253,0,0,506326829720,5207488219,1075292,2851,110,367,391637,0 34,2,2024-09-07 09:53:30:770,774410,774410,0,0,30284447,0,4562 34,3,2024-09-07 09:53:31:688,1,611,1,0,541,5518,611,0 35,0,2024-09-07 09:53:30:863,151081,0.3,151948,0.5,304770,0.2,404682,1.75 35,1,2024-09-07 09:53:31:072,1074241,1074241,0,0,504386610322,5233767384,1066132,6586,1523,382,391769,0 35,2,2024-09-07 09:53:31:588,769744,769744,0,0,33146659,0,4055 35,3,2024-09-07 09:53:30:909,1,611,3,0,466,5698,611,0 36,0,2024-09-07 09:53:31:516,155360,0.5,155504,0.7,310291,0.4,413813,2.00 36,1,2024-09-07 09:53:30:590,1073457,1073457,0,0,504209274604,5263996485,1059875,11342,2240,366,391759,0 36,2,2024-09-07 09:53:31:757,774889,774889,0,0,34744874,0,3875 36,3,2024-09-07 09:53:30:875,1,611,3,0,556,8295,611,0 37,0,2024-09-07 09:53:31:377,151119,0.5,151254,0.7,302671,0.5,403546,2.25 37,1,2024-09-07 09:53:30:569,1073017,1073010,0,7,503410662023,5256237819,1060353,9744,2913,365,391770,0 37,2,2024-09-07 09:53:31:142,771754,771739,15,0,34638807,0,5815 37,3,2024-09-07 09:53:31:768,1,611,1,0,888,8080,611,0 38,0,2024-09-07 09:53:31:435,152160,0.4,147496,0.6,308416,0.4,403831,2.00 38,1,2024-09-07 09:53:31:605,1072937,1072937,0,0,504429719672,5275081057,1057605,12332,3000,368,391821,0 38,2,2024-09-07 09:53:30:763,772114,772067,47,0,34839262,0,6710 38,3,2024-09-07 09:53:30:999,1,611,0,0,689,7434,611,0 39,0,2024-09-07 09:53:31:769,156519,0.5,153077,0.7,298500,0.5,407398,2.00 39,1,2024-09-07 09:53:30:716,1072432,1072432,0,0,502552670424,5267537507,1054986,13731,3715,365,391865,0 39,2,2024-09-07 09:53:31:417,771505,771505,0,0,32980088,0,3391 39,3,2024-09-07 09:53:30:714,1,611,1,0,525,6837,611,0 40,0,2024-09-07 09:53:31:506,152649,0.8,153414,0.9,306260,0.9,409086,2.75 40,1,2024-09-07 09:53:30:669,1072842,1072842,0,0,502365332677,5261816964,1057233,12541,3068,366,391668,0 40,2,2024-09-07 09:53:31:310,770349,770342,7,0,39268385,0,5347 40,3,2024-09-07 09:53:31:150,1,611,1,0,1028,8897,611,0 41,0,2024-09-07 09:53:31:023,153063,1.3,156680,1.1,298742,1.9,405743,3.25 41,1,2024-09-07 09:53:30:773,1072148,1072148,0,0,503231890114,5263931359,1058372,11616,2160,369,391878,0 41,2,2024-09-07 09:53:30:757,770121,770120,1,0,37533676,0,5408 41,3,2024-09-07 09:53:31:676,1,611,1,0,366,6638,611,0 42,0,2024-09-07 09:53:31:474,152636,1.0,152310,1.0,304701,1.1,404620,2.75 42,1,2024-09-07 09:53:31:444,1070084,1070084,0,0,501605654133,5270642666,1053502,13649,2933,380,391675,0 42,2,2024-09-07 09:53:31:132,771226,771225,1,0,37946684,0,5513 42,3,2024-09-07 09:53:31:011,1,611,8,0,892,6005,611,0 43,0,2024-09-07 09:53:30:967,150805,0.7,146846,0.9,307307,0.7,402168,2.25 43,1,2024-09-07 09:53:30:576,1073655,1073655,0,0,502791748964,5253173404,1058915,12168,2572,366,391696,0 43,2,2024-09-07 09:53:31:739,773549,773549,0,0,35798479,0,4723 43,3,2024-09-07 09:53:31:749,1,611,1,0,571,8875,611,0 44,0,2024-09-07 09:53:30:943,154096,0.4,153923,0.6,308039,0.3,410348,1.75 44,1,2024-09-07 09:53:30:575,1076634,1076634,0,0,504392040407,5212407079,1067266,7427,1941,356,391809,0 44,2,2024-09-07 09:53:31:266,768849,768849,0,0,28914861,0,4344 44,3,2024-09-07 09:53:31:093,1,611,12,0,1097,7464,611,0 45,0,2024-09-07 09:53:31:777,152506,0.5,148990,0.7,312802,0.4,410310,2.00 45,1,2024-09-07 09:53:31:005,1075118,1075118,0,0,504278053839,5238461792,1066863,7352,903,382,391917,0 45,2,2024-09-07 09:53:31:267,774506,774506,0,0,29328393,0,3596 45,3,2024-09-07 09:53:30:934,1,611,1,0,531,5756,611,0 46,0,2024-09-07 09:53:30:956,151586,0.6,151161,0.8,303240,0.6,403290,2.25 46,1,2024-09-07 09:53:30:575,1077845,1077845,0,0,505899484445,5227682728,1070350,6507,988,366,391709,0 46,2,2024-09-07 09:53:30:592,775680,775680,0,0,30004449,0,4443 46,3,2024-09-07 09:53:31:131,1,611,44,0,908,7258,611,0 47,0,2024-09-07 09:53:31:107,152263,0.4,152126,0.6,305488,0.4,404687,2.00 47,1,2024-09-07 09:53:30:570,1078365,1078365,0,0,505649018172,5221754034,1072266,5237,862,364,391641,0 47,2,2024-09-07 09:53:30:909,776900,776900,0,0,29030581,0,4477 47,3,2024-09-07 09:53:31:121,1,611,5,0,600,6606,611,0 48,0,2024-09-07 09:53:31:493,152505,0.3,152543,0.4,304715,0.2,405499,1.50 48,1,2024-09-07 09:53:31:024,1075754,1075754,0,0,504207379153,5234686820,1069649,5527,578,381,391710,0 48,2,2024-09-07 09:53:30:700,770025,770025,0,0,27556743,0,3524 48,3,2024-09-07 09:53:30:753,1,611,1,0,339,5380,611,0 49,0,2024-09-07 09:53:31:718,159449,0.3,156110,0.5,303833,0.3,414941,1.75 49,1,2024-09-07 09:53:31:023,1074703,1074703,0,0,504455125545,5245884390,1067431,5576,1696,382,391809,0 49,2,2024-09-07 09:53:31:804,775932,775932,0,0,29827260,0,4426 49,3,2024-09-07 09:53:31:444,1,611,7,0,992,7197,611,0 50,0,2024-09-07 09:53:31:516,152290,0.3,150649,0.5,303563,0.2,403576,1.75 50,1,2024-09-07 09:53:31:250,1077728,1077728,0,0,505928877179,5228286352,1071202,5857,669,368,391691,0 50,2,2024-09-07 09:53:31:074,773629,773629,0,0,27645732,0,4490 50,3,2024-09-07 09:53:31:296,1,611,0,0,617,6205,611,0 51,0,2024-09-07 09:53:31:698,156512,0.3,153140,0.5,298279,0.2,406614,1.75 51,1,2024-09-07 09:53:31:680,1078085,1078085,0,0,506529828246,5221562639,1072423,4581,1081,365,391706,0 51,2,2024-09-07 09:53:31:319,773646,773646,0,0,27164380,0,3337 51,3,2024-09-07 09:53:31:027,1,611,21,0,678,4430,611,0 52,0,2024-09-07 09:53:31:414,153156,0.5,152805,0.6,305992,0.4,406029,2.00 52,1,2024-09-07 09:53:30:575,1073012,1073012,0,0,504211034249,5276183257,1057865,12816,2331,368,391805,0 52,2,2024-09-07 09:53:31:757,767417,767379,38,0,36765703,0,6742 52,3,2024-09-07 09:53:30:674,1,611,1,0,1782,7123,611,0 53,0,2024-09-07 09:53:31:739,153634,0.6,149129,0.8,312150,0.7,409025,2.25 53,1,2024-09-07 09:53:30:771,1071535,1071535,0,0,502166866167,5269130499,1053349,13790,4396,367,391968,0 53,2,2024-09-07 09:53:31:302,774279,774278,1,0,33093116,0,5455 53,3,2024-09-07 09:53:30:698,1,611,0,0,308,5689,611,0 54,0,2024-09-07 09:53:31:616,151324,0.6,151502,0.8,301785,0.5,402925,2.25 54,1,2024-09-07 09:53:30:580,1074736,1074736,0,0,504540033572,5246765513,1063619,9446,1671,366,391810,0 54,2,2024-09-07 09:53:30:864,774427,774395,32,0,36867912,0,6397 54,3,2024-09-07 09:53:30:764,1,611,2,0,676,7845,611,0 55,0,2024-09-07 09:53:31:773,148147,0.6,152878,0.8,309796,0.6,403584,2.50 55,1,2024-09-07 09:53:30:767,1075137,1075137,0,0,504670915374,5247485033,1064271,9477,1389,365,391731,0 55,2,2024-09-07 09:53:30:742,771149,771093,56,0,34249853,0,7239 55,3,2024-09-07 09:53:30:674,1,611,2,0,304,5571,611,0 56,0,2024-09-07 09:53:31:595,155509,1.1,146549,1.1,302062,1.4,404607,2.75 56,1,2024-09-07 09:53:30:587,1068193,1068193,0,0,501952118473,5307794840,1050220,14284,3689,381,391867,0 56,2,2024-09-07 09:53:31:302,771283,771161,122,0,36580410,0,7432 56,3,2024-09-07 09:53:31:061,1,611,112,0,705,7102,611,0 57,0,2024-09-07 09:53:30:971,152452,1.4,152107,1.2,304583,1.9,406858,3.00 57,1,2024-09-07 09:53:30:986,1070759,1070759,0,0,502403937442,5272847703,1056332,11936,2491,366,392032,0 57,2,2024-09-07 09:53:31:315,770683,770683,0,0,38229268,0,4804 57,3,2024-09-07 09:53:31:748,1,611,0,0,455,7084,611,0 58,0,2024-09-07 09:53:30:561,152022,0.9,147642,1.0,309020,1.1,404847,2.50 58,1,2024-09-07 09:53:30:580,1072166,1072163,0,3,503049477352,5272916335,1056011,12249,3903,367,391726,3 58,2,2024-09-07 09:53:31:071,773632,773632,0,0,35826535,0,3483 58,3,2024-09-07 09:53:31:068,1,611,1,0,1043,6771,611,0 59,0,2024-09-07 09:53:31:742,151761,0.9,151272,1.0,302317,1.0,401640,3.00 59,1,2024-09-07 09:53:30:811,1071018,1071018,0,0,502891308405,5284622182,1053167,14183,3668,369,391653,0 59,2,2024-09-07 09:53:30:583,773541,773541,0,0,33948694,0,3727 59,3,2024-09-07 09:53:31:748,1,611,52,0,1015,7498,611,0 60,0,2024-09-07 09:53:31:710,151769,0.5,151874,0.7,304033,0.5,404778,1.75 60,1,2024-09-07 09:53:30:772,1075925,1075925,0,0,504694791331,5243214254,1067968,6868,1089,370,392031,0 60,2,2024-09-07 09:53:31:149,774091,774091,0,0,31899430,0,3811 60,3,2024-09-07 09:53:31:261,1,611,0,0,409,7074,611,0 61,0,2024-09-07 09:53:31:504,152642,0.6,153189,0.7,304922,0.6,407145,2.00 61,1,2024-09-07 09:53:30:771,1073370,1073370,0,0,503873991923,5272609285,1062233,9455,1682,382,392127,0 61,2,2024-09-07 09:53:31:124,770152,770085,67,0,32437360,0,6411 61,3,2024-09-07 09:53:31:689,1,611,1,0,607,7888,611,0 62,0,2024-09-07 09:53:31:709,155818,0.6,159654,0.7,305095,0.6,414484,2.00 62,1,2024-09-07 09:53:31:111,1079285,1079279,0,6,506582400768,5220920084,1074311,4669,299,365,391975,6 62,2,2024-09-07 09:53:31:644,772088,772087,1,0,32471527,0,5555 62,3,2024-09-07 09:53:31:156,1,611,11,0,482,4866,611,0 63,0,2024-09-07 09:53:31:450,152470,0.4,152180,0.6,304874,0.4,405145,1.75 63,1,2024-09-07 09:53:30:816,1075851,1075845,0,6,504751268191,5239631522,1068999,5991,855,381,391800,6 63,2,2024-09-07 09:53:30:761,775198,775198,0,0,30864823,0,4369 63,3,2024-09-07 09:53:31:739,1,611,1,0,667,6227,611,0 64,0,2024-09-07 09:53:31:609,151187,0.5,151028,0.6,301783,0.4,401889,2.00 64,1,2024-09-07 09:53:30:764,1074637,1074637,0,0,504197039674,5249933689,1065288,7370,1979,370,391794,0 64,2,2024-09-07 09:53:31:162,777489,777470,19,0,29976510,0,6121 64,3,2024-09-07 09:53:31:141,1,611,1,0,651,6968,611,0 65,0,2024-09-07 09:53:31:716,150753,0.6,151056,0.7,301836,0.6,402128,2.00 65,1,2024-09-07 09:53:30:863,1072883,1072883,0,0,502781814301,5253276436,1065400,6609,874,381,391901,0 65,2,2024-09-07 09:53:31:706,768709,768709,0,0,35947869,0,3367 65,3,2024-09-07 09:53:31:687,1,611,7,0,782,6711,611,0 66,0,2024-09-07 09:53:31:762,154458,0.5,154069,0.7,308200,0.5,410808,2.00 66,1,2024-09-07 09:53:31:320,1075237,1075237,0,0,503926149080,5241814762,1068734,5781,722,380,391743,0 66,2,2024-09-07 09:53:31:132,777229,777226,3,0,31999644,0,5455 66,3,2024-09-07 09:53:31:092,1,611,0,0,291,5131,611,0 67,0,2024-09-07 09:53:31:416,151840,0.5,151344,0.7,303855,0.5,404144,2.00 67,1,2024-09-07 09:53:30:766,1074683,1074682,0,1,504935652266,5255220810,1067283,6454,945,380,391787,1 67,2,2024-09-07 09:53:30:587,777203,777188,15,0,31774974,0,6205 67,3,2024-09-07 09:53:31:756,1,611,1,0,595,6334,611,0 68,0,2024-09-07 09:53:30:594,153093,0.6,152875,0.7,304447,0.5,407187,2.00 68,1,2024-09-07 09:53:30:571,1070404,1070404,0,0,501645182837,5272786986,1056599,10275,3530,381,391953,0 68,2,2024-09-07 09:53:31:046,768781,768681,100,0,39965533,0,8578 68,3,2024-09-07 09:53:30:728,1,611,1,0,417,7806,611,0 69,0,2024-09-07 09:53:31:793,152535,0.6,153265,0.8,305670,0.6,406242,2.25 69,1,2024-09-07 09:53:31:017,1069496,1069496,0,0,502289191887,5291847684,1055630,11032,2834,383,391994,0 69,2,2024-09-07 09:53:31:748,768808,768779,29,0,42512348,0,6912 69,3,2024-09-07 09:53:30:760,1,611,11,0,698,8690,611,0 70,0,2024-09-07 09:53:31:531,152568,0.7,153186,0.9,307728,0.6,407919,2.50 70,1,2024-09-07 09:53:30:801,1076270,1076270,0,0,505259598027,5241077608,1068352,7110,808,366,391725,0 70,2,2024-09-07 09:53:31:325,773151,773151,0,0,35039077,0,4323 70,3,2024-09-07 09:53:30:746,1,611,5,0,854,6751,611,0 71,0,2024-09-07 09:53:31:366,152756,1.0,152373,1.0,305917,1.2,407908,2.75 71,1,2024-09-07 09:53:31:602,1073837,1073837,0,0,503540656041,5254258269,1060836,11380,1621,368,391738,0 71,2,2024-09-07 09:53:31:071,772694,772694,0,0,35301843,0,4352 71,3,2024-09-07 09:53:31:755,1,611,1,0,644,6846,611,0 72,0,2024-09-07 09:53:31:038,158568,0.6,154957,0.7,302487,0.5,411679,2.00 72,1,2024-09-07 09:53:31:030,1072186,1072186,0,0,502872358638,5273922288,1056879,12789,2518,369,391819,0 72,2,2024-09-07 09:53:31:774,771231,771231,0,0,36907871,0,3983 72,3,2024-09-07 09:53:31:768,1,611,5,0,564,8955,611,0 73,0,2024-09-07 09:53:31:097,148254,0.4,152138,0.6,310946,0.4,404686,2.00 73,1,2024-09-07 09:53:30:766,1073418,1073418,0,0,504406748783,5242648018,1065008,7428,982,367,391858,0 73,2,2024-09-07 09:53:31:739,772923,772922,1,0,38561403,0,5027 73,3,2024-09-07 09:53:30:971,1,611,1,0,1091,8422,611,0 74,0,2024-09-07 09:53:31:323,154829,0.4,158510,0.6,302555,0.4,410597,2.00 74,1,2024-09-07 09:53:30:642,1072614,1072614,0,0,502971698507,5250813166,1060705,9792,2117,381,391762,0 74,2,2024-09-07 09:53:31:004,769235,769235,0,0,33538792,0,4253 74,3,2024-09-07 09:53:31:442,1,611,19,0,522,7476,611,0 75,0,2024-09-07 09:53:31:790,154596,0.5,153612,0.7,308189,0.5,411628,2.25 75,1,2024-09-07 09:53:31:593,1073514,1073514,0,0,503688187506,5248327395,1064887,7758,869,380,391739,0 75,2,2024-09-07 09:53:31:350,772296,772296,0,0,40719413,0,4766 75,3,2024-09-07 09:53:31:068,1,611,0,0,918,8259,611,0 76,0,2024-09-07 09:53:30:609,151943,0.6,151294,0.8,302665,0.6,405072,2.25 76,1,2024-09-07 09:53:30:805,1073671,1073671,0,0,503136865570,5245382712,1066334,6346,991,382,391790,0 76,2,2024-09-07 09:53:31:062,776870,776867,3,0,32853155,0,5265 76,3,2024-09-07 09:53:31:141,1,611,1,0,227,5536,611,0 77,0,2024-09-07 09:53:31:698,151504,0.5,152024,0.7,303825,0.5,403971,2.00 77,1,2024-09-07 09:53:30:830,1073484,1073484,0,0,503719340668,5252991598,1065493,7111,880,381,391869,0 77,2,2024-09-07 09:53:31:285,772909,772909,0,0,31972882,0,3890 77,3,2024-09-07 09:53:31:094,1,611,1,0,401,6855,611,0 78,0,2024-09-07 09:53:31:731,152702,0.4,152008,0.6,304956,0.4,404142,2.00 78,1,2024-09-07 09:53:30:614,1074049,1074049,0,0,503579835416,5250708889,1061208,9924,2917,367,391670,0 78,2,2024-09-07 09:53:31:404,770839,770826,13,0,29853564,0,8313 78,3,2024-09-07 09:53:31:133,1,611,2,0,311,5086,611,0 79,0,2024-09-07 09:53:31:357,149787,0.4,153433,0.6,314355,0.3,409742,2.00 79,1,2024-09-07 09:53:30:576,1077383,1077383,0,0,505563869913,5231506279,1069535,6488,1360,367,391682,0 79,2,2024-09-07 09:53:31:074,775178,775178,0,0,29050700,0,4195 79,3,2024-09-07 09:53:30:748,1,611,1,0,418,7177,611,0 80,0,2024-09-07 09:53:31:088,151546,0.6,155664,0.7,297583,0.6,402644,2.00 80,1,2024-09-07 09:53:31:628,1073602,1073602,0,0,503796166806,5244729600,1066442,6708,452,368,392269,0 80,2,2024-09-07 09:53:31:095,777003,777003,0,0,29704504,0,4433 80,3,2024-09-07 09:53:30:584,1,611,0,0,681,7412,611,0 81,0,2024-09-07 09:53:31:597,152459,0.5,156032,0.7,297860,0.5,403848,2.00 81,1,2024-09-07 09:53:31:650,1072881,1072881,0,0,503705508966,5261484084,1064715,7398,768,382,391885,0 81,2,2024-09-07 09:53:31:127,772624,772561,63,0,32926380,0,5932 81,3,2024-09-07 09:53:31:121,1,611,4,0,719,7022,611,0 82,0,2024-09-07 09:53:31:543,152578,0.4,152642,0.7,306087,0.4,405112,2.00 82,1,2024-09-07 09:53:30:583,1075071,1075067,0,4,504048477200,5237947685,1069494,4750,823,381,391768,4 82,2,2024-09-07 09:53:31:690,772507,772507,0,0,27873976,0,4484 82,3,2024-09-07 09:53:31:756,1,611,1,0,363,5708,611,0 83,0,2024-09-07 09:53:31:616,154293,0.6,154180,0.7,308135,0.5,409263,2.00 83,1,2024-09-07 09:53:30:572,1073827,1073827,0,0,503850703834,5250929005,1066235,6947,645,382,391709,0 83,2,2024-09-07 09:53:30:764,774447,774422,25,0,30036915,0,5612 83,3,2024-09-07 09:53:30:750,1,611,0,0,1260,7237,611,0 84,0,2024-09-07 09:53:31:813,151530,0.8,151533,0.9,302969,0.8,404544,2.25 84,1,2024-09-07 09:53:31:049,1071656,1071656,0,0,502405194820,5253831799,1059402,10581,1673,367,391967,0 84,2,2024-09-07 09:53:30:593,772507,772097,410,0,43834003,0,17037 84,3,2024-09-07 09:53:31:141,1,611,1,0,908,8143,611,0 85,0,2024-09-07 09:53:31:004,147422,0.6,147419,0.8,312914,0.6,403936,2.25 85,1,2024-09-07 09:53:30:564,1068804,1068804,0,0,500734897302,5286535569,1052484,13521,2799,381,392092,0 85,2,2024-09-07 09:53:30:865,771434,771434,0,0,36989238,0,4255 85,3,2024-09-07 09:53:30:685,1,611,1,0,789,7044,611,0 86,0,2024-09-07 09:53:30:901,152254,0.6,156511,0.8,299392,0.7,405240,2.25 86,1,2024-09-07 09:53:30:830,1071923,1071923,0,0,502931764327,5272739714,1058002,11234,2687,366,392169,0 86,2,2024-09-07 09:53:30:854,771257,771256,1,0,39276147,0,5004 86,3,2024-09-07 09:53:30:586,1,611,1,0,308,8623,611,0 87,0,2024-09-07 09:53:31:316,154114,0.8,153527,0.8,307104,0.9,410302,2.25 87,1,2024-09-07 09:53:30:750,1071351,1071351,0,0,502365163214,5262497051,1057965,11588,1798,366,392076,0 87,2,2024-09-07 09:53:31:074,770160,770154,6,0,35606465,0,6323 87,3,2024-09-07 09:53:31:798,1,611,6,0,473,8643,611,0 88,0,2024-09-07 09:53:31:463,154340,0.4,154899,0.6,309290,0.4,412393,1.75 88,1,2024-09-07 09:53:30:576,1070406,1070406,0,0,502487898031,5267089459,1055016,12345,3045,365,392084,0 88,2,2024-09-07 09:53:30:688,772518,772518,0,0,38470689,0,4465 88,3,2024-09-07 09:53:31:268,1,611,5,0,1080,9396,611,0 89,0,2024-09-07 09:53:31:830,157411,0.5,152710,0.7,301355,0.4,410060,1.75 89,1,2024-09-07 09:53:30:549,1070413,1070413,0,0,502468337177,5283960520,1057427,11041,1945,382,391866,0 89,2,2024-09-07 09:53:31:131,773085,773085,0,0,36268982,0,3173 89,3,2024-09-07 09:53:31:817,1,611,3,0,468,10132,611,0 90,0,2024-09-07 09:53:31:652,147360,0.5,151342,0.6,308850,0.4,402840,2.00 90,1,2024-09-07 09:53:30:596,1072209,1072209,0,0,502817879724,5265439243,1062111,9205,893,380,391825,0 90,2,2024-09-07 09:53:31:406,770384,770379,5,0,39838060,0,6370 90,3,2024-09-07 09:53:30:930,1,611,1,0,322,7348,611,0 91,0,2024-09-07 09:53:30:978,153149,0.4,148642,0.6,311011,0.4,407694,1.75 91,1,2024-09-07 09:53:30:560,1069501,1069501,0,0,502051610749,5289803346,1053639,12714,3148,381,392047,0 91,2,2024-09-07 09:53:31:331,769495,769495,0,0,34896749,0,4713 91,3,2024-09-07 09:53:30:604,1,611,1,0,216,5452,611,0 92,0,2024-09-07 09:53:31:553,156783,0.4,160343,0.6,305610,0.4,414716,1.75 92,1,2024-09-07 09:53:30:582,1073040,1073040,0,0,504243032216,5264979001,1064672,7080,1288,381,392136,0 92,2,2024-09-07 09:53:31:351,774409,774409,0,0,30562657,0,3259 92,3,2024-09-07 09:53:31:235,1,611,1,0,167,5226,611,0 93,0,2024-09-07 09:53:30:990,152867,0.4,156623,0.6,299099,0.4,405126,1.75 93,1,2024-09-07 09:53:30:805,1073499,1073499,0,0,503649821001,5254351022,1061962,9609,1928,365,392048,0 93,2,2024-09-07 09:53:30:939,774948,774948,0,0,36385208,0,4913 93,3,2024-09-07 09:53:31:407,1,611,3,0,294,5932,611,0 94,0,2024-09-07 09:53:31:607,151208,0.4,152329,0.5,304642,0.3,404260,1.75 94,1,2024-09-07 09:53:30:563,1074350,1074350,0,0,504057328274,5254488194,1067397,6518,435,381,391850,0 94,2,2024-09-07 09:53:30:760,773767,773739,28,0,31291530,0,6179 94,3,2024-09-07 09:53:31:691,1,611,98,0,576,7575,611,0 95,0,2024-09-07 09:53:31:344,151955,0.3,151959,0.5,304108,0.3,404829,1.75 95,1,2024-09-07 09:53:30:854,1074873,1074873,0,0,504698360421,5242836835,1066429,7693,751,365,391852,0 95,2,2024-09-07 09:53:31:014,768810,768810,0,0,30696452,0,3308 95,3,2024-09-07 09:53:31:718,1,611,2,0,718,9434,611,0 96,0,2024-09-07 09:53:31:059,154977,0.4,155270,0.5,310447,0.3,413285,1.75 96,1,2024-09-07 09:53:31:600,1073608,1073608,0,0,503285704619,5247746842,1066221,6113,1274,384,391964,0 96,2,2024-09-07 09:53:31:268,775443,775443,0,0,31916769,0,4180 96,3,2024-09-07 09:53:31:140,1,611,1,0,411,6992,611,0 97,0,2024-09-07 09:53:31:366,151988,0.3,151795,0.5,304408,0.3,403966,1.75 97,1,2024-09-07 09:53:30:763,1074919,1074919,0,0,504599553360,5235059455,1067987,5894,1038,367,392140,0 97,2,2024-09-07 09:53:30:616,775602,775602,0,0,31567458,0,4046 97,3,2024-09-07 09:53:30:569,1,611,1,0,242,6856,611,0 98,0,2024-09-07 09:53:31:732,152598,0.3,152740,0.4,306554,0.2,407290,1.50 98,1,2024-09-07 09:53:30:580,1074125,1074125,0,0,503676286485,5245371767,1067631,5658,836,381,391997,0 98,2,2024-09-07 09:53:30:769,772470,772470,0,0,30303716,0,4336 98,3,2024-09-07 09:53:30:698,1,611,1,0,840,9146,611,0 99,0,2024-09-07 09:53:31:466,153239,0.3,154073,0.5,306609,0.3,408889,1.75 99,1,2024-09-07 09:53:31:730,1074865,1074865,0,0,503622372599,5239419876,1068494,5428,943,380,392069,0 99,2,2024-09-07 09:53:31:419,771526,771526,0,0,37522328,0,4276 99,3,2024-09-07 09:53:30:590,1,611,0,0,1124,7781,611,0 100,0,2024-09-07 09:53:31:517,153783,0.7,154078,0.9,308092,0.8,411416,2.25 100,1,2024-09-07 09:53:30:551,1068370,1068370,0,0,501280299514,5297903965,1052343,12708,3319,378,391989,0 100,2,2024-09-07 09:53:31:819,769133,768744,389,0,40838570,0,16909 100,3,2024-09-07 09:53:31:734,1,611,9,0,627,10185,611,0 101,0,2024-09-07 09:53:31:785,157272,1.1,153457,1.0,300175,1.1,409642,2.25 101,1,2024-09-07 09:53:30:555,1070511,1070511,0,0,502738428861,5281650475,1056362,11620,2529,368,391847,0 101,2,2024-09-07 09:53:31:757,769208,769208,0,0,40821144,0,4871 101,3,2024-09-07 09:53:30:949,1,611,1,0,1250,9062,611,0 102,0,2024-09-07 09:53:30:984,149427,0.7,153848,0.8,312656,0.7,409232,2.25 102,1,2024-09-07 09:53:31:146,1070296,1070296,0,0,502269723738,5275122173,1056165,11931,2200,369,391984,0 102,2,2024-09-07 09:53:31:748,773647,773593,54,0,34938908,0,6768 102,3,2024-09-07 09:53:31:615,1,611,1,0,466,6969,611,0 103,0,2024-09-07 09:53:31:597,157230,0.6,157244,0.7,296312,0.6,407977,2.00 103,1,2024-09-07 09:53:31:631,1069074,1069074,0,0,502303344745,5304184621,1051983,13488,3603,381,392077,0 103,2,2024-09-07 09:53:30:582,770903,770903,0,0,37768341,0,3766 103,3,2024-09-07 09:53:30:774,1,611,6,0,916,7141,611,0 104,0,2024-09-07 09:53:31:025,152763,0.7,152870,0.9,305146,0.7,408658,2.25 104,1,2024-09-07 09:53:31:609,1071707,1071707,0,0,502737910598,5281352851,1056172,12691,2844,365,392168,0 104,2,2024-09-07 09:53:31:677,767433,767433,0,0,37256644,0,4161 104,3,2024-09-07 09:53:31:438,1,611,15,0,1245,10732,611,0 105,0,2024-09-07 09:53:31:030,152502,0.9,148687,1.1,311594,1.0,409523,2.50 105,1,2024-09-07 09:53:30:554,1073026,1073026,0,0,503312399435,5272322675,1059453,11631,1942,364,392009,0 105,2,2024-09-07 09:53:31:326,772716,772716,0,0,37649558,0,4360 105,3,2024-09-07 09:53:31:313,1,611,118,0,573,9368,611,0 106,0,2024-09-07 09:53:30:941,147138,1.0,150780,1.0,308722,1.2,403411,2.50 106,1,2024-09-07 09:53:31:758,1071911,1071911,0,0,502065799945,5268443969,1057244,12772,1895,368,391914,0 106,2,2024-09-07 09:53:30:755,772950,772950,0,0,35393536,0,3331 106,3,2024-09-07 09:53:30:682,1,611,0,0,1224,8439,611,0 107,0,2024-09-07 09:53:31:138,151715,0.9,151740,0.9,303397,1.1,404679,2.25 107,1,2024-09-07 09:53:30:585,1069159,1069159,0,0,501553766781,5299935737,1051761,15264,2134,381,392234,0 107,2,2024-09-07 09:53:31:292,770417,770416,1,0,38515674,0,5024 107,3,2024-09-07 09:53:31:760,1,611,2,0,733,9330,611,0 108,0,2024-09-07 09:53:31:777,151991,0.4,152474,0.6,303842,0.4,405510,1.75 108,1,2024-09-07 09:53:31:298,1073235,1073235,0,0,503447630956,5249138916,1064535,7704,996,367,391894,0 108,2,2024-09-07 09:53:31:760,768996,768996,0,0,32912737,0,4246 108,3,2024-09-07 09:53:31:339,1,611,1,0,749,10899,611,0 109,0,2024-09-07 09:53:31:778,155919,0.4,154648,0.6,309884,0.3,414206,1.75 109,1,2024-09-07 09:53:30:582,1070522,1070522,0,0,502797528862,5272556604,1061038,8205,1279,382,392132,0 109,2,2024-09-07 09:53:30:925,772441,772441,0,0,33839042,0,3617 109,3,2024-09-07 09:53:31:163,1,611,1,0,630,7358,611,0 110,0,2024-09-07 09:53:31:779,151565,0.4,147345,0.6,308663,0.4,404400,1.75 110,1,2024-09-07 09:53:31:644,1075090,1075090,0,0,504259313111,5233373441,1067045,6118,1927,368,392045,0 110,2,2024-09-07 09:53:31:303,775476,775476,0,0,31342443,0,4067 110,3,2024-09-07 09:53:30:698,1,611,12,0,722,8072,611,0 111,0,2024-09-07 09:53:31:418,153243,0.4,152415,0.5,304713,0.3,406143,1.75 111,1,2024-09-07 09:53:31:000,1076123,1076123,0,0,505141836128,5237128928,1070640,5102,381,380,391690,0 111,2,2024-09-07 09:53:31:132,773241,773241,0,0,31836588,0,4823 111,3,2024-09-07 09:53:30:913,1,611,5,0,379,6550,611,0 112,0,2024-09-07 09:53:30:917,153442,0.3,152970,0.4,306445,0.2,406404,1.50 112,1,2024-09-07 09:53:30:837,1074827,1074827,0,0,503581196133,5228683630,1067623,5979,1225,380,391624,0 112,2,2024-09-07 09:53:31:142,770697,770696,1,0,30166728,0,5036 112,3,2024-09-07 09:53:30:592,1,611,1,0,282,5746,611,0 113,0,2024-09-07 09:53:30:892,154366,0.3,154335,0.5,309000,0.2,411611,1.75 113,1,2024-09-07 09:53:31:688,1077330,1077330,0,0,506288844816,5222861821,1071097,5285,948,365,391664,0 113,2,2024-09-07 09:53:31:303,776925,776925,0,0,28633464,0,3813 113,3,2024-09-07 09:53:30:684,1,611,1,0,510,6532,611,0 114,0,2024-09-07 09:53:30:876,153165,0.3,154081,0.5,307272,0.2,409914,1.75 114,1,2024-09-07 09:53:30:715,1075429,1075429,0,0,504429263584,5236892124,1067487,6195,1747,381,391565,0 114,2,2024-09-07 09:53:30:879,776265,776264,1,0,29823969,0,5069 114,3,2024-09-07 09:53:31:278,1,611,4,0,395,4879,611,0 115,0,2024-09-07 09:53:30:556,154156,0.3,154794,0.4,308122,0.2,410039,1.50 115,1,2024-09-07 09:53:30:571,1075587,1075587,0,0,504524426883,5240176103,1067330,6740,1517,382,391757,0 115,2,2024-09-07 09:53:31:125,774585,774585,0,0,29905956,0,4382 115,3,2024-09-07 09:53:31:002,1,611,1,0,167,3729,611,0 116,0,2024-09-07 09:53:31:846,152249,0.6,152085,0.8,304861,0.6,407507,2.00 116,1,2024-09-07 09:53:30:815,1069411,1069411,0,0,501360372995,5283294415,1056751,9569,3091,380,392089,0 116,2,2024-09-07 09:53:31:806,772229,772229,0,0,37486177,0,4475 116,3,2024-09-07 09:53:30:912,1,611,1,0,448,7804,611,0 117,0,2024-09-07 09:53:30:974,154265,0.7,153632,0.8,307879,0.7,411505,2.00 117,1,2024-09-07 09:53:31:621,1071479,1071479,0,0,502119295399,5257898328,1059692,10264,1523,369,392429,0 117,2,2024-09-07 09:53:31:122,772768,772768,0,0,33866285,0,4303 117,3,2024-09-07 09:53:31:065,1,611,2,0,490,7730,611,0 118,0,2024-09-07 09:53:31:782,149723,0.5,153723,0.7,313753,0.5,411003,2.00 118,1,2024-09-07 09:53:30:584,1070697,1070697,0,0,501938819362,5276606772,1054850,12223,3624,366,392054,0 118,2,2024-09-07 09:53:31:599,772194,772194,0,0,36166536,0,2842 118,3,2024-09-07 09:53:31:771,1,611,4,0,289,7191,611,0 119,0,2024-09-07 09:53:31:336,152819,0.8,153491,0.8,306318,0.9,408574,2.25 119,1,2024-09-07 09:53:30:549,1071430,1071430,0,0,502833267271,5273213272,1056733,12185,2512,367,391857,0 119,2,2024-09-07 09:53:31:261,774349,774349,0,0,34156110,0,4309 119,3,2024-09-07 09:53:31:325,1,611,5,0,1358,11120,611,0 120,0,2024-09-07 09:53:31:603,150999,0.6,150894,0.8,303193,0.7,403899,2.25 120,1,2024-09-07 09:53:30:870,1072118,1072118,0,0,502868345596,5273913703,1060404,10572,1142,367,392144,0 120,2,2024-09-07 09:53:30:777,772522,772519,3,0,40561136,0,5363 120,3,2024-09-07 09:53:31:298,1,611,7,0,279,7339,611,0 121,0,2024-09-07 09:53:31:882,152907,1.0,152387,1.0,304967,1.3,406745,2.25 121,1,2024-09-07 09:53:31:661,1071957,1071957,0,0,502908665148,5262053609,1060664,9739,1554,366,391840,0 121,2,2024-09-07 09:53:31:125,768590,768590,0,0,36947230,0,4157 121,3,2024-09-07 09:53:30:728,1,611,1,0,387,8075,611,0 122,0,2024-09-07 09:53:31:830,154597,0.8,150427,0.9,315063,0.9,414537,2.00 122,1,2024-09-07 09:53:30:870,1070686,1070686,0,0,502275639098,5272071596,1055676,12596,2414,365,392130,0 122,2,2024-09-07 09:53:31:331,774223,774148,75,0,40747616,0,5989 122,3,2024-09-07 09:53:30:596,1,611,0,0,512,9843,611,0 123,0,2024-09-07 09:53:30:963,151360,0.9,147211,0.9,308370,1.0,403749,2.25 123,1,2024-09-07 09:53:30:568,1071352,1071352,0,0,503436371708,5292722436,1054499,14181,2672,369,392039,0 123,2,2024-09-07 09:53:31:021,772605,772604,1,0,34847315,0,5215 123,3,2024-09-07 09:53:31:139,1,611,2,0,478,6949,611,0 124,0,2024-09-07 09:53:30:934,156010,0.3,155943,0.5,294091,0.3,404724,1.75 124,1,2024-09-07 09:53:31:025,1075326,1075326,0,0,503721013596,5234626981,1067584,6566,1176,365,392178,0 124,2,2024-09-07 09:53:31:011,775630,775577,53,0,31209448,0,6487 124,3,2024-09-07 09:53:30:767,1,611,3,0,490,5989,611,0 125,0,2024-09-07 09:53:31:437,151643,0.4,151703,0.5,304292,0.3,404763,1.75 125,1,2024-09-07 09:53:30:860,1071905,1071905,0,0,503149148479,5250372354,1063833,6919,1153,382,391968,0 125,2,2024-09-07 09:53:31:124,772004,772004,0,0,31276008,0,4534 125,3,2024-09-07 09:53:31:126,1,611,2,0,709,6953,611,0 126,0,2024-09-07 09:53:31:460,155176,0.4,159611,0.5,305233,0.4,413832,1.75 126,1,2024-09-07 09:53:30:553,1076149,1076149,0,0,504658324445,5222792158,1070629,5073,447,365,391987,0 126,2,2024-09-07 09:53:30:616,776469,776469,0,0,32597310,0,4539 126,3,2024-09-07 09:53:30:909,1,611,5,0,268,6650,611,0 127,0,2024-09-07 09:53:31:600,152396,0.3,152397,0.5,304199,0.3,404353,1.75 127,1,2024-09-07 09:53:30:575,1074143,1074143,0,0,503844338782,5241018017,1063461,9045,1637,364,392187,0 127,2,2024-09-07 09:53:30:651,775188,775184,4,0,30720984,0,5305 127,3,2024-09-07 09:53:31:267,1,611,1,0,968,5987,611,0 128,0,2024-09-07 09:53:31:542,153596,0.3,153693,0.4,307063,0.2,408020,1.50 128,1,2024-09-07 09:53:31:619,1073952,1073952,0,0,503668032258,5237936711,1065533,7454,965,367,392423,0 128,2,2024-09-07 09:53:31:387,774585,774585,0,0,28574886,0,3171 128,3,2024-09-07 09:53:30:769,1,611,1,0,1082,9629,611,0 129,0,2024-09-07 09:53:31:008,154490,0.3,153679,0.5,307970,0.3,409402,1.50 129,1,2024-09-07 09:53:30:570,1070979,1070979,0,0,502708791180,5267471944,1060505,8452,2022,379,391962,0 129,2,2024-09-07 09:53:30:685,773700,773696,4,0,31131929,0,5335 129,3,2024-09-07 09:53:30:690,1,611,1,0,506,8321,611,0 130,0,2024-09-07 09:53:31:780,154934,0.4,154501,0.5,309772,0.4,412680,1.75 130,1,2024-09-07 09:53:30:586,1075174,1075174,0,0,504353094346,5237156897,1069727,5047,400,381,391825,0 130,2,2024-09-07 09:53:31:125,773133,773133,0,0,31362828,0,4067 130,3,2024-09-07 09:53:31:296,1,611,1,0,960,8314,611,0 131,0,2024-09-07 09:53:31:949,153977,0.3,154479,0.5,309850,0.3,411234,1.75 131,1,2024-09-07 09:53:31:826,1074068,1074068,0,0,503833758888,5250422261,1066833,6037,1198,381,391865,0 131,2,2024-09-07 09:53:30:569,774315,774315,0,0,29106185,0,3979 131,3,2024-09-07 09:53:31:705,1,611,2,0,392,7749,611,0 132,0,2024-09-07 09:53:31:692,153949,0.5,154866,0.6,308402,0.4,410258,2.00 132,1,2024-09-07 09:53:30:580,1069735,1069735,0,0,501380391223,5278063079,1054288,12743,2704,381,392532,0 132,2,2024-09-07 09:53:30:700,772803,772786,17,0,38009592,0,6451 132,3,2024-09-07 09:53:31:693,1,611,1,0,1298,10894,611,0 133,0,2024-09-07 09:53:31:551,148448,0.4,151853,0.6,311327,0.4,405557,2.00 133,1,2024-09-07 09:53:30:583,1070321,1070321,0,0,502092450836,5288792565,1056235,12322,1764,383,391914,0 133,2,2024-09-07 09:53:31:092,773479,773429,50,0,39004053,0,6861 133,3,2024-09-07 09:53:31:309,1,611,0,0,528,7341,611,0 134,0,2024-09-07 09:53:30:950,154040,0.5,154204,0.7,308460,0.5,410783,2.00 134,1,2024-09-07 09:53:30:584,1071366,1071366,0,0,502555108471,5269953014,1057577,11299,2490,366,391781,0 134,2,2024-09-07 09:53:31:782,769997,769973,24,0,36008323,0,6207 134,3,2024-09-07 09:53:30:752,1,611,1,0,739,7458,611,0 135,0,2024-09-07 09:53:31:127,149477,0.8,149474,0.8,317291,0.9,408949,2.25 135,1,2024-09-07 09:53:31:857,1070457,1070457,0,0,502642905038,5283381810,1057426,11390,1641,380,391805,0 135,2,2024-09-07 09:53:30:687,774341,774341,0,0,36979690,0,4503 135,3,2024-09-07 09:53:31:006,1,611,5,0,900,5923,611,0 136,0,2024-09-07 09:53:31:645,152848,0.6,153352,0.8,304357,0.6,406596,2.00 136,1,2024-09-07 09:53:31:441,1071926,1071926,0,0,502307388180,5264432646,1060016,10520,1390,381,392135,0 136,2,2024-09-07 09:53:31:133,776002,775987,15,0,36492760,0,6007 136,3,2024-09-07 09:53:31:106,1,611,1,0,637,6981,611,0 137,0,2024-09-07 09:53:30:940,156738,0.6,152748,0.7,299303,0.6,406460,2.00 137,1,2024-09-07 09:53:30:575,1071047,1071047,0,0,502450205748,5269988049,1054499,13159,3389,366,391898,0 137,2,2024-09-07 09:53:31:707,772232,772232,0,0,37509749,0,3185 137,3,2024-09-07 09:53:30:770,1,611,2,0,484,8271,611,0 138,0,2024-09-07 09:53:31:787,151362,0.7,151796,0.8,303971,0.8,404068,2.00 138,1,2024-09-07 09:53:31:693,1071625,1071625,0,0,503261695187,5278329488,1056843,12512,2270,368,391954,0 138,2,2024-09-07 09:53:30:588,767859,767859,0,0,35594295,0,4988 138,3,2024-09-07 09:53:30:612,1,611,1,0,1200,9556,611,0 139,0,2024-09-07 09:53:31:439,153773,1.0,154453,0.9,308579,1.4,412368,2.25 139,1,2024-09-07 09:53:30:571,1066920,1066920,0,0,499439595925,5295248910,1048527,14567,3826,380,392109,0 139,2,2024-09-07 09:53:30:694,769303,769273,30,0,41200467,0,5997 139,3,2024-09-07 09:53:31:666,1,611,3,0,432,7188,611,0 140,0,2024-09-07 09:53:31:594,152221,0.3,151390,0.5,303696,0.2,404747,1.75 140,1,2024-09-07 09:53:31:544,1077634,1077634,0,0,506009904848,5214405746,1072661,4425,548,364,391606,0 140,2,2024-09-07 09:53:30:687,775863,775862,1,0,29024348,0,5036 140,3,2024-09-07 09:53:30:767,1,611,5,0,575,6016,611,0 141,0,2024-09-07 09:53:31:697,152717,0.3,156995,0.5,300082,0.2,405900,1.75 141,1,2024-09-07 09:53:30:862,1076201,1076201,0,0,504506980259,5239240921,1068001,7007,1193,379,391614,0 141,2,2024-09-07 09:53:31:687,773807,773796,11,0,31131278,0,5369 141,3,2024-09-07 09:53:31:045,1,611,4,0,391,6989,611,0 142,0,2024-09-07 09:53:31:322,153622,0.3,152796,0.5,305773,0.2,407333,1.50 142,1,2024-09-07 09:53:30:584,1074133,1074133,0,0,503624246538,5247920306,1067415,6210,508,382,392102,0 142,2,2024-09-07 09:53:31:299,770163,770131,32,0,31612708,0,6028 142,3,2024-09-07 09:53:31:754,1,611,1,0,484,6422,611,0 143,0,2024-09-07 09:53:31:408,154269,0.4,154193,0.5,309378,0.4,411711,1.75 143,1,2024-09-07 09:53:30:563,1076181,1076181,0,0,504278647822,5229805587,1069712,5901,568,367,391900,0 143,2,2024-09-07 09:53:30:769,774934,774934,0,0,31557879,0,3123 143,3,2024-09-07 09:53:31:156,1,611,2,0,462,7325,611,0 144,0,2024-09-07 09:53:31:509,148390,0.6,152734,0.8,310764,0.6,406475,2.00 144,1,2024-09-07 09:53:30:565,1070979,1070979,0,0,502644810385,5273045605,1060380,8605,1994,381,391733,0 144,2,2024-09-07 09:53:31:774,775593,775593,0,0,30931785,0,4443 144,3,2024-09-07 09:53:31:750,1,611,6,0,249,5996,611,0 145,0,2024-09-07 09:53:31:365,148584,0.6,148536,0.8,315195,0.5,405906,2.25 145,1,2024-09-07 09:53:30:562,1069932,1069932,0,0,502226404594,5283357378,1055901,11357,2674,382,391781,0 145,2,2024-09-07 09:53:31:432,771142,771060,82,0,36932891,0,7814 145,3,2024-09-07 09:53:30:900,1,611,1,0,622,8076,611,0 146,0,2024-09-07 09:53:31:645,152607,0.5,151760,0.7,305184,0.5,405759,2.00 146,1,2024-09-07 09:53:31:611,1071247,1071247,0,0,502330678476,5285058010,1053734,13031,4482,367,391770,0 146,2,2024-09-07 09:53:31:702,771190,771184,6,0,35182576,0,5151 146,3,2024-09-07 09:53:31:277,1,611,0,0,1520,9941,611,0 147,0,2024-09-07 09:53:31:724,154235,0.6,153946,0.7,307711,0.5,410752,2.00 147,1,2024-09-07 09:53:31:379,1075238,1075238,0,0,504072800785,5236379601,1066739,7532,967,367,391791,0 147,2,2024-09-07 09:53:31:011,771285,771285,0,0,31117798,0,2968 147,3,2024-09-07 09:53:30:912,1,611,1,0,1626,9618,611,0 0,0,2024-09-07 09:53:41:703,147739,0.6,147770,0.7,313721,0.6,404955,2.00 0,1,2024-09-07 09:53:40:801,1073638,1073638,0,0,503848383518,5272622741,1065090,7601,947,368,391896,0 0,2,2024-09-07 09:53:41:090,775808,775808,0,0,31168806,0,4480 0,3,2024-09-07 09:53:40:974,1,612,1,0,431,8690,612,0 1,0,2024-09-07 09:53:41:770,152962,0.8,151879,0.9,305172,1.0,407823,2.00 1,1,2024-09-07 09:53:40:575,1073164,1073164,0,0,503180643641,5268715970,1063683,7990,1491,370,391859,0 1,2,2024-09-07 09:53:40:639,771198,771198,0,0,30577762,0,3380 1,3,2024-09-07 09:53:41:305,1,612,15,0,269,7574,612,0 2,0,2024-09-07 09:53:41:566,155520,0.5,155217,0.7,310158,0.6,414098,2.00 2,1,2024-09-07 09:53:40:859,1076308,1076308,0,0,505227589742,5251634351,1070131,5226,951,379,391745,0 2,2,2024-09-07 09:53:41:268,775624,775624,0,0,29218156,0,3594 2,3,2024-09-07 09:53:40:690,1,612,3,0,357,5446,612,0 3,0,2024-09-07 09:53:41:744,151987,0.4,151924,0.6,303343,0.4,404373,2.00 3,1,2024-09-07 09:53:41:618,1074868,1074868,0,0,504636470905,5246971590,1067255,6908,705,379,391716,0 3,2,2024-09-07 09:53:41:142,777084,777061,23,0,30308276,0,5851 3,3,2024-09-07 09:53:41:752,1,612,1,0,484,4621,612,0 4,0,2024-09-07 09:53:41:806,147526,0.4,151646,0.5,309060,0.3,404181,1.75 4,1,2024-09-07 09:53:40:591,1070248,1070248,0,0,502006810368,5310917400,1052432,14062,3754,369,391992,0 4,2,2024-09-07 09:53:41:018,772069,772069,0,0,37228264,0,4534 4,3,2024-09-07 09:53:41:031,1,612,2,0,448,7383,612,0 5,0,2024-09-07 09:53:41:406,152153,0.4,152073,0.5,304283,0.3,404629,1.75 5,1,2024-09-07 09:53:40:755,1071340,1071340,0,0,503045518152,5309257446,1054981,12231,4128,367,392005,0 5,2,2024-09-07 09:53:41:833,767213,767213,0,0,35978953,0,3582 5,3,2024-09-07 09:53:41:734,1,612,2,0,457,8419,612,0 6,0,2024-09-07 09:53:40:933,155605,0.4,155244,0.6,310640,0.4,414405,2.00 6,1,2024-09-07 09:53:40:752,1074182,1074182,0,0,503575244974,5258656159,1063103,9505,1574,379,391702,0 6,2,2024-09-07 09:53:41:120,775876,775858,18,0,34991596,0,5535 6,3,2024-09-07 09:53:41:273,1,612,1,0,710,7403,612,0 7,0,2024-09-07 09:53:41:564,151297,0.5,152395,0.7,302528,0.5,403557,2.00 7,1,2024-09-07 09:53:40:851,1071581,1071581,0,0,502576749899,5290332582,1055252,12563,3766,382,391747,0 7,2,2024-09-07 09:53:40:770,775177,775177,0,0,33684344,0,4791 7,3,2024-09-07 09:53:40:851,1,612,0,0,552,7103,612,0 8,0,2024-09-07 09:53:41:350,153438,0.4,153177,0.5,306731,0.3,408325,1.75 8,1,2024-09-07 09:53:41:019,1071207,1071207,0,0,503104774824,5290038383,1054458,13162,3587,366,392853,0 8,2,2024-09-07 09:53:40:790,769290,769288,2,0,39970791,0,5112 8,3,2024-09-07 09:53:40:589,1,612,1,0,772,9613,612,0 9,0,2024-09-07 09:53:41:142,153331,0.4,149168,0.5,312010,0.3,409054,1.75 9,1,2024-09-07 09:53:40:557,1071140,1071140,0,0,502885622480,5299545282,1054372,13538,3230,369,392001,0 9,2,2024-09-07 09:53:41:083,770970,770969,1,0,37722643,0,5281 9,3,2024-09-07 09:53:41:752,1,612,4,0,1273,10292,612,0 10,0,2024-09-07 09:53:41:598,154589,0.3,153897,0.5,308916,0.3,411893,1.75 10,1,2024-09-07 09:53:40:589,1072784,1072784,0,0,503441219252,5281272190,1057052,12668,3064,381,391981,0 10,2,2024-09-07 09:53:40:761,773857,773857,0,0,40502203,0,4713 10,3,2024-09-07 09:53:40:871,1,612,1,0,669,6841,612,0 11,0,2024-09-07 09:53:41:018,153908,0.4,149333,0.6,312493,0.4,411213,1.75 11,1,2024-09-07 09:53:40:573,1074078,1074078,0,0,503263244785,5279215676,1058122,11653,4303,383,391766,0 11,2,2024-09-07 09:53:41:123,773921,773921,0,0,35810477,0,4698 11,3,2024-09-07 09:53:41:303,1,612,13,0,843,7868,612,0 12,0,2024-09-07 09:53:40:966,155044,0.4,154879,0.5,309445,0.4,411560,1.75 12,1,2024-09-07 09:53:40:947,1074307,1074307,0,0,503954171454,5253880537,1065928,7371,1008,370,391960,0 12,2,2024-09-07 09:53:41:542,772899,772899,0,0,34425598,0,4390 12,3,2024-09-07 09:53:41:067,1,612,1,0,386,7625,612,0 13,0,2024-09-07 09:53:41:340,153344,0.4,153426,0.5,305906,0.4,407751,1.75 13,1,2024-09-07 09:53:41:543,1071683,1071683,0,0,503342166214,5288580649,1060783,8510,2390,382,391803,0 13,2,2024-09-07 09:53:40:602,776235,776235,0,0,30827092,0,3287 13,3,2024-09-07 09:53:41:762,1,612,1,0,522,8005,612,0 14,0,2024-09-07 09:53:40:574,154156,0.4,155262,0.6,308134,0.3,411145,1.75 14,1,2024-09-07 09:53:41:561,1079013,1079013,0,0,506046436534,5234818131,1070551,7333,1129,364,391673,0 14,2,2024-09-07 09:53:40:763,772532,772502,30,0,32146335,0,6104 14,3,2024-09-07 09:53:41:121,1,612,1,0,1168,6616,612,0 15,0,2024-09-07 09:53:41:569,154668,0.4,153745,0.6,308133,0.4,410987,1.75 15,1,2024-09-07 09:53:41:608,1075894,1075894,0,0,504660979546,5253850347,1066819,7162,1913,381,391619,0 15,2,2024-09-07 09:53:40:998,776576,776576,0,0,27884588,0,3622 15,3,2024-09-07 09:53:41:407,1,612,219,0,1126,8120,612,0 16,0,2024-09-07 09:53:40:950,152561,0.6,153292,0.8,305885,0.6,407543,2.00 16,1,2024-09-07 09:53:40:562,1075435,1075435,0,0,503558017879,5262877187,1065992,7999,1444,370,392194,0 16,2,2024-09-07 09:53:41:442,774689,774689,0,0,31758101,0,4719 16,3,2024-09-07 09:53:41:142,1,612,16,0,358,7618,612,0 17,0,2024-09-07 09:53:41:867,156636,0.6,152769,0.8,299207,0.6,406830,2.00 17,1,2024-09-07 09:53:40:574,1073542,1073542,0,0,503418282320,5278276744,1063244,8526,1772,368,392075,0 17,2,2024-09-07 09:53:41:667,777608,777607,1,0,33042639,0,5050 17,3,2024-09-07 09:53:40:575,1,612,0,0,518,8835,612,0 18,0,2024-09-07 09:53:40:943,151038,0.6,152005,0.8,302508,0.6,404592,2.25 18,1,2024-09-07 09:53:41:638,1077918,1077918,0,0,505107084264,5237299913,1070851,5810,1257,367,391725,0 18,2,2024-09-07 09:53:41:755,772806,772806,0,0,29309941,0,3541 18,3,2024-09-07 09:53:40:896,1,612,3,0,1059,5585,612,0 19,0,2024-09-07 09:53:41:540,155042,0.6,155339,0.8,309625,0.6,411979,2.00 19,1,2024-09-07 09:53:40:566,1077933,1077933,0,0,506072101989,5243448280,1070130,6670,1133,365,391777,0 19,2,2024-09-07 09:53:41:752,778373,778373,0,0,27780878,0,3988 19,3,2024-09-07 09:53:41:132,1,612,0,0,524,4639,612,0 20,0,2024-09-07 09:53:41:417,151777,0.6,151698,0.7,303134,0.6,404098,2.00 20,1,2024-09-07 09:53:40:578,1073268,1073268,0,0,504102221923,5281698998,1062474,9285,1509,369,391922,0 20,2,2024-09-07 09:53:40:938,775538,775538,0,0,34830000,0,4321 20,3,2024-09-07 09:53:40:591,1,612,1,0,468,9781,612,0 21,0,2024-09-07 09:53:41:255,152676,0.5,152748,0.6,305258,0.4,405282,2.00 21,1,2024-09-07 09:53:41:542,1071413,1071413,0,0,501838299384,5296274364,1054535,13042,3836,368,392016,0 21,2,2024-09-07 09:53:41:069,768554,768077,477,0,45264399,0,17074 21,3,2024-09-07 09:53:41:422,1,612,4,0,713,8696,612,0 22,0,2024-09-07 09:53:41:716,152477,0.5,153079,0.6,305602,0.4,405056,2.00 22,1,2024-09-07 09:53:41:023,1072215,1072215,0,0,501774881945,5280364919,1054397,14278,3540,382,391822,0 22,2,2024-09-07 09:53:40:761,769627,769601,26,0,32979453,0,6328 22,3,2024-09-07 09:53:41:068,1,612,1,0,228,5272,612,0 23,0,2024-09-07 09:53:41:390,154194,0.5,154003,0.6,308126,0.4,410972,2.00 23,1,2024-09-07 09:53:41:003,1072591,1072591,0,0,504220662593,5311251800,1053112,12901,6578,365,391690,0 23,2,2024-09-07 09:53:41:099,776346,776346,0,0,31752936,0,3773 23,3,2024-09-07 09:53:41:754,1,612,0,0,855,8893,612,0 24,0,2024-09-07 09:53:40:831,154051,0.4,153207,0.6,308126,0.4,408756,1.75 24,1,2024-09-07 09:53:40:581,1073134,1073134,0,0,502900978264,5263592104,1063007,8417,1710,367,392269,0 24,2,2024-09-07 09:53:41:069,774911,774908,3,0,38388999,0,6294 24,3,2024-09-07 09:53:41:686,1,612,70,0,468,7870,612,0 25,0,2024-09-07 09:53:41:400,158020,0.4,153829,0.6,302175,0.4,411518,2.00 25,1,2024-09-07 09:53:40:560,1071071,1071071,0,0,502407342198,5307141204,1052301,15056,3714,369,391928,0 25,2,2024-09-07 09:53:41:607,771443,771443,0,0,38896312,0,3978 25,3,2024-09-07 09:53:41:000,1,612,4,0,532,6571,612,0 26,0,2024-09-07 09:53:41:719,152179,0.4,148697,0.6,312345,0.3,406924,1.75 26,1,2024-09-07 09:53:41:541,1074671,1074671,0,0,503943099324,5274627053,1060334,11804,2533,380,391758,0 26,2,2024-09-07 09:53:40:861,772879,772879,0,0,41586204,0,4689 26,3,2024-09-07 09:53:41:711,1,612,1,0,796,7582,612,0 27,0,2024-09-07 09:53:41:754,154342,0.4,154955,0.6,308064,0.3,411061,2.25 27,1,2024-09-07 09:53:41:678,1075882,1075882,0,0,504977298589,5261733614,1065481,8792,1609,381,391626,0 27,2,2024-09-07 09:53:40:868,769701,769636,65,0,36366912,0,5699 27,3,2024-09-07 09:53:41:017,1,612,0,0,564,5250,612,0 28,0,2024-09-07 09:53:41:395,154998,0.4,155200,0.6,310462,0.3,414187,1.75 28,1,2024-09-07 09:53:40:802,1076299,1076299,0,0,505352987638,5266300970,1067358,7050,1891,382,391904,0 28,2,2024-09-07 09:53:41:764,775406,775406,0,0,31107288,0,2915 28,3,2024-09-07 09:53:41:776,1,612,1,0,502,6073,612,0 29,0,2024-09-07 09:53:41:360,157813,0.4,153697,0.6,301602,0.4,411448,1.75 29,1,2024-09-07 09:53:41:562,1079409,1079409,0,0,506386065897,5235577731,1073048,5585,776,367,391809,0 29,2,2024-09-07 09:53:40:865,774983,774983,0,0,30265043,0,4986 29,3,2024-09-07 09:53:40:979,1,612,0,0,459,6524,612,0 30,0,2024-09-07 09:53:41:467,151313,0.5,147259,0.7,308476,0.4,402924,2.00 30,1,2024-09-07 09:53:40:570,1078282,1078282,0,0,505920623914,5246910626,1070709,6662,911,380,391672,0 30,2,2024-09-07 09:53:41:273,776109,776109,0,0,28870361,0,4192 30,3,2024-09-07 09:53:40:581,1,612,1,0,519,5627,612,0 31,0,2024-09-07 09:53:41:769,152649,0.4,153496,0.6,305952,0.4,408466,1.75 31,1,2024-09-07 09:53:40:563,1081791,1081791,0,0,507186432694,5206899137,1075331,5386,1074,356,391712,0 31,2,2024-09-07 09:53:41:274,770446,770446,0,0,32379408,0,4470 31,3,2024-09-07 09:53:41:706,1,612,26,0,239,5254,612,0 32,0,2024-09-07 09:53:41:422,155488,0.3,156688,0.5,311699,0.3,415580,1.75 32,1,2024-09-07 09:53:40:804,1077839,1077839,0,0,505352081950,5249758537,1071660,5461,718,381,391646,0 32,2,2024-09-07 09:53:40:936,776875,776875,0,0,28614124,0,3922 32,3,2024-09-07 09:53:41:017,1,612,1,0,304,4937,612,0 33,0,2024-09-07 09:53:41:501,152574,0.3,152235,0.4,304702,0.2,405315,1.50 33,1,2024-09-07 09:53:40:576,1078107,1078107,0,0,506450559548,5244353895,1069656,7178,1273,368,391730,0 33,2,2024-09-07 09:53:40:759,777181,777146,35,0,31753740,0,7012 33,3,2024-09-07 09:53:40:895,1,612,8,0,329,5336,612,0 34,0,2024-09-07 09:53:40:929,152183,0.3,156344,0.5,299028,0.2,403585,1.75 34,1,2024-09-07 09:53:41:046,1080015,1080015,0,0,507443554067,5218744971,1077053,2852,110,367,391637,0 34,2,2024-09-07 09:53:40:765,775705,775705,0,0,30293905,0,4562 34,3,2024-09-07 09:53:41:689,1,612,0,0,541,5518,612,0 35,0,2024-09-07 09:53:40:857,151228,0.3,152060,0.5,305020,0.2,405023,1.75 35,1,2024-09-07 09:53:41:067,1076013,1076013,0,0,505015417320,5240189266,1067904,6586,1523,382,391769,0 35,2,2024-09-07 09:53:41:583,770675,770675,0,0,33152471,0,4055 35,3,2024-09-07 09:53:40:908,1,612,1,0,466,5699,612,0 36,0,2024-09-07 09:53:41:514,155859,0.5,155997,0.7,311325,0.4,415137,2.00 36,1,2024-09-07 09:53:40:589,1075298,1075298,0,0,504836200062,5270408188,1061716,11342,2240,366,391759,0 36,2,2024-09-07 09:53:41:750,776360,776360,0,0,34759569,0,3875 36,3,2024-09-07 09:53:40:863,1,612,1,0,556,8296,612,0 37,0,2024-09-07 09:53:41:383,151226,0.5,151375,0.7,302880,0.5,403831,2.25 37,1,2024-09-07 09:53:40:568,1074826,1074819,0,7,504130458487,5263554050,1062162,9744,2913,365,391770,0 37,2,2024-09-07 09:53:41:142,773302,773287,15,0,34653361,0,5815 37,3,2024-09-07 09:53:41:766,1,612,12,0,888,8092,612,0 38,0,2024-09-07 09:53:41:435,152259,0.4,147587,0.6,308612,0.4,404082,2.00 38,1,2024-09-07 09:53:41:607,1074787,1074787,0,0,505351988095,5284439289,1059455,12332,3000,368,391821,0 38,2,2024-09-07 09:53:40:759,773576,773529,47,0,34851548,0,6710 38,3,2024-09-07 09:53:40:997,1,612,1,0,689,7435,612,0 39,0,2024-09-07 09:53:41:759,156741,0.5,153272,0.7,298872,0.5,407901,2.00 39,1,2024-09-07 09:53:40:719,1074216,1074216,0,0,503388030197,5276006621,1056770,13731,3715,365,391865,0 39,2,2024-09-07 09:53:41:418,772223,772223,0,0,32986295,0,3391 39,3,2024-09-07 09:53:40:713,1,612,13,0,525,6850,612,0 40,0,2024-09-07 09:53:41:513,153059,0.8,153880,0.9,307145,0.9,410273,2.75 40,1,2024-09-07 09:53:40:576,1074606,1074606,0,0,503094186929,5269281084,1058997,12541,3068,366,391668,0 40,2,2024-09-07 09:53:41:305,771845,771838,7,0,39311124,0,5347 40,3,2024-09-07 09:53:41:142,1,612,1,0,1028,8898,612,0 41,0,2024-09-07 09:53:41:025,153188,1.3,156815,1.1,298997,1.9,406031,3.25 41,1,2024-09-07 09:53:40:767,1073929,1073929,0,0,504019939148,5272032478,1060153,11616,2160,369,391878,0 41,2,2024-09-07 09:53:40:758,771580,771579,1,0,37566286,0,5408 41,3,2024-09-07 09:53:41:677,1,612,34,0,366,6672,612,0 42,0,2024-09-07 09:53:41:475,152942,1.0,152615,1.0,305276,1.1,405371,2.75 42,1,2024-09-07 09:53:41:440,1071838,1071838,0,0,502453272370,5279361664,1055255,13650,2933,380,391675,0 42,2,2024-09-07 09:53:41:134,772269,772268,1,0,38000148,0,5513 42,3,2024-09-07 09:53:41:009,1,612,1,0,892,6006,612,0 43,0,2024-09-07 09:53:40:916,151025,0.7,147074,0.9,307743,0.7,402727,2.25 43,1,2024-09-07 09:53:40:580,1075418,1075418,0,0,503662860724,5262050072,1060678,12168,2572,366,391696,0 43,2,2024-09-07 09:53:41:736,774593,774593,0,0,35829671,0,4723 43,3,2024-09-07 09:53:41:749,1,612,1,0,571,8876,612,0 44,0,2024-09-07 09:53:40:883,154532,0.4,154398,0.6,308930,0.3,411577,1.75 44,1,2024-09-07 09:53:40:563,1078425,1078425,0,0,505274096627,5221382622,1069057,7427,1941,356,391809,0 44,2,2024-09-07 09:53:41:268,770432,770432,0,0,28955216,0,4344 44,3,2024-09-07 09:53:41:093,1,612,1,0,1097,7465,612,0 45,0,2024-09-07 09:53:41:756,152766,0.5,149272,0.7,313370,0.4,411077,2.00 45,1,2024-09-07 09:53:41:005,1076936,1076936,0,0,505235562363,5248242096,1068681,7352,903,382,391917,0 45,2,2024-09-07 09:53:41:270,775842,775842,0,0,29351088,0,3596 45,3,2024-09-07 09:53:40:935,1,612,6,0,531,5762,612,0 46,0,2024-09-07 09:53:40:992,151930,0.6,151496,0.8,303948,0.6,404267,2.25 46,1,2024-09-07 09:53:40:579,1079588,1079588,0,0,506501043298,5233836094,1072093,6507,988,366,391709,0 46,2,2024-09-07 09:53:40:593,776731,776731,0,0,30019331,0,4443 46,3,2024-09-07 09:53:41:131,1,612,26,0,908,7284,612,0 47,0,2024-09-07 09:53:41:105,152426,0.4,152278,0.6,305848,0.4,405166,2.00 47,1,2024-09-07 09:53:40:566,1080204,1080204,0,0,506728435761,5232703001,1074105,5237,862,364,391641,0 47,2,2024-09-07 09:53:40:908,778245,778245,0,0,29053412,0,4477 47,3,2024-09-07 09:53:41:125,1,612,1,0,600,6607,612,0 48,0,2024-09-07 09:53:41:525,152847,0.3,152897,0.4,305380,0.2,406429,1.50 48,1,2024-09-07 09:53:41:064,1077563,1077563,0,0,505192860182,5244714274,1071456,5529,578,381,391710,0 48,2,2024-09-07 09:53:40:704,771194,771194,0,0,27565090,0,3524 48,3,2024-09-07 09:53:40:757,1,612,1,0,339,5381,612,0 49,0,2024-09-07 09:53:41:754,159920,0.3,156575,0.5,304680,0.3,416126,1.75 49,1,2024-09-07 09:53:41:022,1076496,1076496,0,0,505250805987,5253990434,1069222,5578,1696,382,391809,0 49,2,2024-09-07 09:53:41:801,777082,777082,0,0,29837339,0,4426 49,3,2024-09-07 09:53:41:418,1,612,0,0,992,7197,612,0 50,0,2024-09-07 09:53:41:513,152407,0.3,150785,0.5,303792,0.2,403912,1.75 50,1,2024-09-07 09:53:41:010,1079461,1079461,0,0,506580762740,5234902025,1072935,5857,669,368,391691,0 50,2,2024-09-07 09:53:41:069,775141,775141,0,0,27657525,0,4490 50,3,2024-09-07 09:53:41:297,1,612,1,0,617,6206,612,0 51,0,2024-09-07 09:53:41:687,156625,0.3,153255,0.5,298536,0.2,406954,1.75 51,1,2024-09-07 09:53:41:680,1079857,1079857,0,0,507382869094,5230188326,1074195,4581,1081,365,391706,0 51,2,2024-09-07 09:53:41:323,775071,775071,0,0,27172962,0,3337 51,3,2024-09-07 09:53:41:034,1,612,1,0,678,4431,612,0 52,0,2024-09-07 09:53:41:436,153162,0.5,152815,0.6,306006,0.4,406033,2.00 52,1,2024-09-07 09:53:40:576,1074788,1074788,0,0,505102252568,5285232427,1059641,12816,2331,368,391805,0 52,2,2024-09-07 09:53:41:755,768102,768064,38,0,36773206,0,6742 52,3,2024-09-07 09:53:40:674,1,612,1,0,1782,7124,612,0 53,0,2024-09-07 09:53:41:730,154000,0.6,149455,0.8,312842,0.7,409978,2.25 53,1,2024-09-07 09:53:40:771,1073290,1073290,0,0,503063570624,5278216638,1055104,13790,4396,367,391968,0 53,2,2024-09-07 09:53:41:298,775730,775729,1,0,33104799,0,5455 53,3,2024-09-07 09:53:40:700,1,612,1,0,308,5690,612,0 54,0,2024-09-07 09:53:41:614,151423,0.6,151586,0.8,301966,0.5,403164,2.25 54,1,2024-09-07 09:53:40:580,1076522,1076522,0,0,505049474763,5251975011,1065404,9447,1671,366,391810,0 54,2,2024-09-07 09:53:40:865,775951,775919,32,0,36882954,0,6397 54,3,2024-09-07 09:53:40:762,1,612,36,0,676,7881,612,0 55,0,2024-09-07 09:53:41:759,148346,0.6,153094,0.8,310253,0.6,404139,2.50 55,1,2024-09-07 09:53:40:764,1076949,1076949,0,0,505409206790,5254990331,1066083,9477,1389,365,391731,0 55,2,2024-09-07 09:53:40:734,772369,772313,56,0,34258117,0,7239 55,3,2024-09-07 09:53:40:673,1,612,1,0,304,5572,612,0 56,0,2024-09-07 09:53:41:563,155805,1.1,146869,1.1,302710,1.4,405548,2.75 56,1,2024-09-07 09:53:40:574,1069937,1069937,0,0,502870500916,5317260370,1051964,14284,3689,381,391867,0 56,2,2024-09-07 09:53:41:307,772136,772014,122,0,36602461,0,7432 56,3,2024-09-07 09:53:41:069,1,612,0,0,705,7102,612,0 57,0,2024-09-07 09:53:40:942,152725,1.4,152353,1.2,305144,1.8,407583,3.00 57,1,2024-09-07 09:53:40:990,1072472,1072472,0,0,503080917810,5279971524,1058044,11937,2491,366,392032,0 57,2,2024-09-07 09:53:41:316,772313,772313,0,0,38286652,0,4804 57,3,2024-09-07 09:53:41:747,1,612,2,0,455,7086,612,0 58,0,2024-09-07 09:53:40:555,152553,1.0,148182,1.0,310094,1.2,406358,2.75 58,1,2024-09-07 09:53:40:576,1073817,1073814,0,3,503875021461,5281466859,1057662,12249,3903,367,391726,3 58,2,2024-09-07 09:53:41:080,774936,774936,0,0,35882559,0,3483 58,3,2024-09-07 09:53:41:079,1,612,1,0,1043,6772,612,0 59,0,2024-09-07 09:53:41:747,152075,0.9,151610,1.0,302983,1.0,402511,3.00 59,1,2024-09-07 09:53:40:804,1072828,1072828,0,0,503757082693,5293561873,1054976,14184,3668,369,391653,0 59,2,2024-09-07 09:53:40:596,774783,774783,0,0,34000605,0,3727 59,3,2024-09-07 09:53:41:746,1,612,1,0,1015,7499,612,0 60,0,2024-09-07 09:53:41:718,151938,0.5,152042,0.7,304321,0.5,405228,1.75 60,1,2024-09-07 09:53:40:785,1077707,1077707,0,0,505423827811,5250760861,1069750,6868,1089,370,392031,0 60,2,2024-09-07 09:53:41:143,775386,775386,0,0,31918494,0,3811 60,3,2024-09-07 09:53:41:258,1,612,0,0,409,7074,612,0 61,0,2024-09-07 09:53:41:545,152876,0.6,153440,0.7,305387,0.6,407766,2.00 61,1,2024-09-07 09:53:40:772,1075079,1075079,0,0,504526592551,5279293883,1063941,9456,1682,382,392127,0 61,2,2024-09-07 09:53:41:128,771467,771400,67,0,32459565,0,6411 61,3,2024-09-07 09:53:41:702,1,612,2,0,607,7890,612,0 62,0,2024-09-07 09:53:41:708,156169,0.6,160069,0.7,305818,0.6,415480,2.00 62,1,2024-09-07 09:53:41:111,1081099,1081093,0,6,507507865698,5230299699,1076124,4670,299,365,391975,6 62,2,2024-09-07 09:53:41:643,773300,773299,1,0,32490870,0,5555 62,3,2024-09-07 09:53:41:143,1,612,7,0,482,4873,612,0 63,0,2024-09-07 09:53:41:483,152661,0.4,152384,0.6,305212,0.4,405593,1.75 63,1,2024-09-07 09:53:40:804,1077621,1077615,0,6,505679948875,5249085145,1070769,5991,855,381,391800,6 63,2,2024-09-07 09:53:40:761,776525,776525,0,0,30884463,0,4369 63,3,2024-09-07 09:53:41:739,1,612,1,0,667,6228,612,0 64,0,2024-09-07 09:53:41:530,151285,0.5,151116,0.6,301971,0.4,402136,2.00 64,1,2024-09-07 09:53:40:751,1076356,1076356,0,0,504915709533,5257248923,1067007,7370,1979,370,391794,0 64,2,2024-09-07 09:53:41:141,778759,778740,19,0,29987736,0,6121 64,3,2024-09-07 09:53:41:152,1,612,0,0,651,6968,612,0 65,0,2024-09-07 09:53:41:682,150890,0.6,151173,0.7,302082,0.6,402457,2.00 65,1,2024-09-07 09:53:40:860,1074738,1074738,0,0,503752227339,5263172091,1067255,6609,874,381,391901,0 65,2,2024-09-07 09:53:41:693,769621,769621,0,0,35956445,0,3367 65,3,2024-09-07 09:53:41:684,1,612,1,0,782,6712,612,0 66,0,2024-09-07 09:53:41:765,154912,0.5,154569,0.7,309175,0.5,412119,2.00 66,1,2024-09-07 09:53:41:295,1077008,1077008,0,0,504740752774,5250139012,1070505,5781,722,380,391743,0 66,2,2024-09-07 09:53:41:136,778614,778611,3,0,32010911,0,5455 66,3,2024-09-07 09:53:41:079,1,612,1,0,291,5132,612,0 67,0,2024-09-07 09:53:41:415,151955,0.5,151476,0.7,304066,0.5,404432,2.00 67,1,2024-09-07 09:53:40:765,1076455,1076454,0,1,505641325041,5262447496,1069055,6454,945,380,391787,1 67,2,2024-09-07 09:53:40:590,778655,778640,15,0,31787703,0,6205 67,3,2024-09-07 09:53:41:750,1,612,1,0,595,6335,612,0 68,0,2024-09-07 09:53:40:605,153192,0.6,152994,0.7,304642,0.5,407434,2.00 68,1,2024-09-07 09:53:40:584,1072177,1072177,0,0,502470760798,5281228846,1058371,10275,3531,381,391953,0 68,2,2024-09-07 09:53:41:052,770293,770193,100,0,39981236,0,8578 68,3,2024-09-07 09:53:40:733,1,612,9,0,417,7815,612,0 69,0,2024-09-07 09:53:41:782,152756,0.6,153437,0.8,306073,0.6,406745,2.25 69,1,2024-09-07 09:53:41:019,1071320,1071320,0,0,503087509061,5300030581,1057454,11032,2834,383,391994,0 69,2,2024-09-07 09:53:41:734,769519,769490,29,0,42519772,0,6912 69,3,2024-09-07 09:53:40:772,1,612,1,0,698,8691,612,0 70,0,2024-09-07 09:53:41:535,152995,0.7,153617,0.9,308543,0.6,409089,2.50 70,1,2024-09-07 09:53:40:801,1077991,1077991,0,0,505950741119,5248110117,1070073,7110,808,366,391725,0 70,2,2024-09-07 09:53:41:331,774676,774676,0,0,35054589,0,4323 70,3,2024-09-07 09:53:40:745,1,612,2,0,854,6753,612,0 71,0,2024-09-07 09:53:41:361,152881,1.0,152491,1.0,306161,1.2,408193,2.75 71,1,2024-09-07 09:53:41:603,1075663,1075663,0,0,504307064496,5262059165,1062661,11381,1621,368,391738,0 71,2,2024-09-07 09:53:41:068,774184,774184,0,0,35316474,0,4352 71,3,2024-09-07 09:53:41:751,1,612,1,0,644,6847,612,0 72,0,2024-09-07 09:53:41:107,158888,0.6,155222,0.7,303066,0.5,412470,2.00 72,1,2024-09-07 09:53:41:022,1073923,1073923,0,0,503612489696,5281701860,1058615,12790,2518,369,391819,0 72,2,2024-09-07 09:53:41:755,772297,772297,0,0,36932394,0,3983 72,3,2024-09-07 09:53:41:754,1,612,1,0,564,8956,612,0 73,0,2024-09-07 09:53:41:111,148475,0.4,152364,0.6,311387,0.4,405270,2.00 73,1,2024-09-07 09:53:40:765,1075191,1075191,0,0,505298833261,5251793867,1066781,7428,982,367,391858,0 73,2,2024-09-07 09:53:41:745,774028,774027,1,0,38647241,0,5027 73,3,2024-09-07 09:53:40:978,1,612,1,0,1091,8423,612,0 74,0,2024-09-07 09:53:41:336,155294,0.4,158994,0.6,303420,0.4,411904,2.00 74,1,2024-09-07 09:53:40:635,1074278,1074278,0,0,503735404642,5258854407,1062369,9792,2117,381,391762,0 74,2,2024-09-07 09:53:41:002,770703,770703,0,0,33573803,0,4253 74,3,2024-09-07 09:53:41:442,1,612,1,0,522,7477,612,0 75,0,2024-09-07 09:53:41:766,154843,0.5,153912,0.7,308744,0.5,412434,2.25 75,1,2024-09-07 09:53:41:585,1075255,1075255,0,0,504638664712,5258052758,1066627,7758,870,380,391739,0 75,2,2024-09-07 09:53:41:354,773616,773616,0,0,40758454,0,4766 75,3,2024-09-07 09:53:41:068,1,612,1,0,918,8260,612,0 76,0,2024-09-07 09:53:40:589,152274,0.6,151617,0.8,303354,0.6,405983,2.25 76,1,2024-09-07 09:53:40:805,1075338,1075338,0,0,503966711533,5253868628,1068001,6346,991,382,391790,0 76,2,2024-09-07 09:53:41:073,778031,778028,3,0,32870562,0,5265 76,3,2024-09-07 09:53:41:142,1,612,1,0,227,5537,612,0 77,0,2024-09-07 09:53:41:710,151678,0.5,152190,0.7,304175,0.5,404469,2.00 77,1,2024-09-07 09:53:40:842,1075259,1075259,0,0,504384390728,5259930071,1067267,7112,880,381,391869,0 77,2,2024-09-07 09:53:41:287,774223,774223,0,0,31996642,0,3890 77,3,2024-09-07 09:53:41:098,1,612,1,0,401,6856,612,0 78,0,2024-09-07 09:53:41:717,153070,0.4,152335,0.6,305616,0.4,404964,2.00 78,1,2024-09-07 09:53:40:624,1075809,1075809,0,0,504330947643,5258364838,1062967,9925,2917,367,391670,0 78,2,2024-09-07 09:53:41:407,772030,772017,13,0,29865752,0,8313 78,3,2024-09-07 09:53:41:133,1,612,2,0,311,5088,612,0 79,0,2024-09-07 09:53:41:362,150215,0.4,153859,0.6,315212,0.3,410889,2.00 79,1,2024-09-07 09:53:40:574,1079149,1079149,0,0,506367930037,5239695548,1071301,6488,1360,367,391682,0 79,2,2024-09-07 09:53:41:069,776288,776288,0,0,29069370,0,4195 79,3,2024-09-07 09:53:40:750,1,612,0,0,418,7177,612,0 80,0,2024-09-07 09:53:41:088,151664,0.6,155784,0.7,297835,0.6,402996,2.00 80,1,2024-09-07 09:53:41:626,1075360,1075360,0,0,504558123890,5252482601,1068200,6708,452,368,392269,0 80,2,2024-09-07 09:53:41:091,778571,778571,0,0,29718580,0,4433 80,3,2024-09-07 09:53:40:580,1,612,1,0,681,7413,612,0 81,0,2024-09-07 09:53:41:548,152589,0.5,156149,0.7,298107,0.5,404193,2.00 81,1,2024-09-07 09:53:41:651,1074653,1074653,0,0,504525657111,5269906755,1066485,7400,768,382,391885,0 81,2,2024-09-07 09:53:41:127,774106,774043,63,0,32940088,0,5932 81,3,2024-09-07 09:53:41:126,1,612,2,0,719,7024,612,0 82,0,2024-09-07 09:53:41:601,152581,0.4,152648,0.7,306105,0.4,405115,2.00 82,1,2024-09-07 09:53:40:591,1076819,1076815,0,4,505157262716,5249197343,1071242,4750,823,381,391768,4 82,2,2024-09-07 09:53:41:692,773250,773250,0,0,27879449,0,4484 82,3,2024-09-07 09:53:41:752,1,612,1,0,363,5709,612,0 83,0,2024-09-07 09:53:41:552,154621,0.5,154527,0.7,308846,0.5,410174,2.00 83,1,2024-09-07 09:53:40:586,1075631,1075631,0,0,504765865507,5260256380,1068039,6947,645,382,391709,0 83,2,2024-09-07 09:53:40:770,775935,775910,25,0,30048894,0,5612 83,3,2024-09-07 09:53:40:751,1,612,1,0,1260,7238,612,0 84,0,2024-09-07 09:53:41:780,151613,0.8,151633,0.9,303162,0.8,404778,2.25 84,1,2024-09-07 09:53:41:043,1073407,1073407,0,0,503237519052,5262299907,1061153,10581,1673,367,391967,0 84,2,2024-09-07 09:53:40:574,774126,773716,410,0,43851084,0,17037 84,3,2024-09-07 09:53:41:141,1,612,1,0,908,8144,612,0 85,0,2024-09-07 09:53:41:009,147632,0.6,147603,0.8,313347,0.6,404522,2.25 85,1,2024-09-07 09:53:40:567,1070529,1070529,0,0,501741626465,5296789045,1054208,13521,2800,381,392092,0 85,2,2024-09-07 09:53:40:869,772686,772686,0,0,37006696,0,4255 85,3,2024-09-07 09:53:40:687,1,612,2,0,789,7046,612,0 86,0,2024-09-07 09:53:40:883,152608,0.6,156868,0.8,300051,0.7,406128,2.25 86,1,2024-09-07 09:53:40:824,1073727,1073727,0,0,503601951656,5279580758,1059806,11234,2687,366,392169,0 86,2,2024-09-07 09:53:40:853,772400,772399,1,0,39287435,0,5004 86,3,2024-09-07 09:53:40:590,1,612,29,0,308,8652,612,0 87,0,2024-09-07 09:53:41:283,154383,0.8,153790,0.8,307625,0.9,411009,2.25 87,1,2024-09-07 09:53:40:550,1073099,1073099,0,0,503328849814,5272267619,1059713,11588,1798,366,392076,0 87,2,2024-09-07 09:53:41:080,771717,771711,6,0,35628618,0,6323 87,3,2024-09-07 09:53:41:797,1,612,2,0,473,8645,612,0 88,0,2024-09-07 09:53:41:474,154894,0.5,155419,0.6,310391,0.4,413985,1.75 88,1,2024-09-07 09:53:40:569,1072181,1072181,0,0,503247233471,5274949793,1056789,12347,3045,365,392084,0 88,2,2024-09-07 09:53:40:695,773770,773770,0,0,38550785,0,4465 88,3,2024-09-07 09:53:41:267,1,612,15,0,1080,9411,612,0 89,0,2024-09-07 09:53:41:821,157753,0.5,153019,0.7,301957,0.4,410952,1.75 89,1,2024-09-07 09:53:40:550,1072105,1072105,0,0,503232650091,5291882076,1059118,11042,1945,382,391866,0 89,2,2024-09-07 09:53:41:132,774243,774243,0,0,36304617,0,3173 89,3,2024-09-07 09:53:41:792,1,612,2,0,468,10134,612,0 90,0,2024-09-07 09:53:41:624,147509,0.5,151517,0.6,309192,0.4,403253,2.00 90,1,2024-09-07 09:53:40:600,1073937,1073937,0,0,503661640828,5274108848,1063839,9205,893,380,391825,0 90,2,2024-09-07 09:53:41:409,771687,771682,5,0,39876529,0,6370 90,3,2024-09-07 09:53:40:943,1,612,6,0,322,7354,612,0 91,0,2024-09-07 09:53:40:928,153385,0.4,148853,0.6,311527,0.4,408312,1.75 91,1,2024-09-07 09:53:40:574,1071288,1071288,0,0,502887712655,5298549858,1055426,12714,3148,381,392047,0 91,2,2024-09-07 09:53:41:354,770844,770844,0,0,34926002,0,4713 91,3,2024-09-07 09:53:40:600,1,612,3,0,216,5455,612,0 92,0,2024-09-07 09:53:41:445,157157,0.4,160723,0.6,306396,0.4,415725,1.75 92,1,2024-09-07 09:53:40:580,1074780,1074780,0,0,505043062867,5273195518,1066409,7083,1288,381,392136,0 92,2,2024-09-07 09:53:41:357,775687,775687,0,0,30581027,0,3259 92,3,2024-09-07 09:53:41:009,1,612,1,0,167,5227,612,0 93,0,2024-09-07 09:53:40:967,153056,0.4,156793,0.6,299415,0.4,405585,1.75 93,1,2024-09-07 09:53:40:805,1075273,1075273,0,0,504663050109,5264663099,1063735,9610,1928,365,392048,0 93,2,2024-09-07 09:53:40:928,776292,776292,0,0,36422949,0,4913 93,3,2024-09-07 09:53:41:408,1,612,3,0,294,5935,612,0 94,0,2024-09-07 09:53:41:597,151311,0.4,152423,0.5,304842,0.3,404499,1.75 94,1,2024-09-07 09:53:40:562,1076212,1076212,0,0,505113797407,5265250207,1069258,6519,435,381,391850,0 94,2,2024-09-07 09:53:40:762,775106,775078,28,0,31315155,0,6179 94,3,2024-09-07 09:53:41:689,1,612,1,0,576,7576,612,0 95,0,2024-09-07 09:53:41:379,152091,0.3,152072,0.5,304353,0.3,405153,1.75 95,1,2024-09-07 09:53:40:852,1076619,1076619,0,0,505550902344,5251497964,1068175,7693,751,365,391852,0 95,2,2024-09-07 09:53:41:018,769719,769719,0,0,30706894,0,3308 95,3,2024-09-07 09:53:41:709,1,612,2,0,718,9436,612,0 96,0,2024-09-07 09:53:41:035,155456,0.4,155784,0.5,311455,0.3,414602,1.75 96,1,2024-09-07 09:53:41:583,1075363,1075363,0,0,504144837582,5256522082,1067976,6113,1274,384,391964,0 96,2,2024-09-07 09:53:41:270,776799,776799,0,0,31929823,0,4180 96,3,2024-09-07 09:53:41:140,1,612,2,0,411,6994,612,0 97,0,2024-09-07 09:53:41:331,152080,0.3,151911,0.5,304639,0.3,404271,1.75 97,1,2024-09-07 09:53:40:763,1076676,1076676,0,0,505329420225,5242509446,1069744,5894,1038,367,392140,0 97,2,2024-09-07 09:53:40:610,777081,777081,0,0,31580759,0,4046 97,3,2024-09-07 09:53:40:572,1,612,1,0,242,6857,612,0 98,0,2024-09-07 09:53:41:714,152695,0.3,152829,0.4,306743,0.2,407547,1.50 98,1,2024-09-07 09:53:40:570,1075771,1075771,0,0,504446028606,5253221132,1069277,5658,836,381,391997,0 98,2,2024-09-07 09:53:40:772,773902,773902,0,0,30315545,0,4336 98,3,2024-09-07 09:53:40:705,1,612,5,0,840,9151,612,0 99,0,2024-09-07 09:53:41:467,153436,0.3,154280,0.5,307035,0.3,409415,1.75 99,1,2024-09-07 09:53:41:729,1076667,1076667,0,0,504483784363,5248219133,1070295,5429,943,380,392069,0 99,2,2024-09-07 09:53:41:416,772316,772316,0,0,37530097,0,4276 99,3,2024-09-07 09:53:40:581,1,612,1,0,1124,7782,612,0 100,0,2024-09-07 09:53:41:527,154180,0.7,154521,0.9,308972,0.8,412599,2.25 100,1,2024-09-07 09:53:40:549,1070062,1070062,0,0,501801384779,5303325003,1054034,12709,3319,378,391989,0 100,2,2024-09-07 09:53:41:817,770691,770302,389,0,40860689,0,16909 100,3,2024-09-07 09:53:41:735,1,612,1,0,627,10186,612,0 101,0,2024-09-07 09:53:41:705,157389,1.1,153573,1.0,300422,1.1,409947,2.25 101,1,2024-09-07 09:53:40:550,1072303,1072303,0,0,503405891984,5288463080,1058154,11620,2529,368,391847,0 101,2,2024-09-07 09:53:41:757,770653,770653,0,0,40838847,0,4871 101,3,2024-09-07 09:53:40:946,1,612,1,0,1250,9063,612,0 102,0,2024-09-07 09:53:40:948,149721,0.7,154146,0.8,313263,0.7,410017,2.25 102,1,2024-09-07 09:53:41:143,1072079,1072079,0,0,503246481549,5285105204,1057947,11931,2201,369,391984,0 102,2,2024-09-07 09:53:41:738,774723,774669,54,0,34947852,0,6768 102,3,2024-09-07 09:53:41:618,1,612,2,0,466,6971,612,0 103,0,2024-09-07 09:53:41:587,157465,0.6,157489,0.7,296715,0.5,408585,2.00 103,1,2024-09-07 09:53:41:625,1070845,1070845,0,0,503290863941,5314229848,1053754,13488,3603,381,392077,0 103,2,2024-09-07 09:53:40:582,771988,771988,0,0,37778040,0,3766 103,3,2024-09-07 09:53:40:758,1,612,1,0,916,7142,612,0 104,0,2024-09-07 09:53:41:009,153231,0.7,153354,0.9,306051,0.7,409844,2.25 104,1,2024-09-07 09:53:41:613,1073451,1073451,0,0,503497729823,5289202844,1057912,12695,2844,365,392168,0 104,2,2024-09-07 09:53:41:670,768729,768729,0,0,37319932,0,4161 104,3,2024-09-07 09:53:41:418,1,612,11,0,1245,10743,612,0 105,0,2024-09-07 09:53:41:091,152785,0.9,148956,1.1,312171,1.0,410219,2.50 105,1,2024-09-07 09:53:40:559,1074784,1074784,0,0,504239527232,5281815192,1061210,11631,1943,364,392009,0 105,2,2024-09-07 09:53:41:321,774040,774040,0,0,37724523,0,4360 105,3,2024-09-07 09:53:41:306,1,612,1,0,573,9369,612,0 106,0,2024-09-07 09:53:40:934,147464,1.0,151098,1.0,309389,1.2,404372,2.50 106,1,2024-09-07 09:53:41:752,1073689,1073689,0,0,502834483051,5276310567,1059020,12774,1895,368,391914,0 106,2,2024-09-07 09:53:40:756,774004,774004,0,0,35413934,0,3331 106,3,2024-09-07 09:53:40:681,1,612,2,0,1224,8441,612,0 107,0,2024-09-07 09:53:41:109,151863,0.9,151917,0.9,303734,1.1,405096,2.25 107,1,2024-09-07 09:53:40:591,1070929,1070929,0,0,502232963184,5307011979,1053531,15264,2134,381,392234,0 107,2,2024-09-07 09:53:41:290,771722,771721,1,0,38547758,0,5024 107,3,2024-09-07 09:53:41:755,1,612,59,0,733,9389,612,0 108,0,2024-09-07 09:53:41:772,152330,0.4,152854,0.6,304558,0.4,406403,1.75 108,1,2024-09-07 09:53:41:306,1075012,1075012,0,0,504380423749,5258651740,1066311,7705,996,367,391894,0 108,2,2024-09-07 09:53:41:755,770236,770236,0,0,32930962,0,4246 108,3,2024-09-07 09:53:41:330,1,612,2,0,749,10901,612,0 109,0,2024-09-07 09:53:41:782,156362,0.4,155099,0.6,310798,0.3,415357,1.75 109,1,2024-09-07 09:53:40:591,1072300,1072300,0,0,503549788377,5280341266,1062816,8205,1279,382,392132,0 109,2,2024-09-07 09:53:40:937,773665,773665,0,0,33859546,0,3617 109,3,2024-09-07 09:53:41:140,1,612,1,0,630,7359,612,0 110,0,2024-09-07 09:53:41:763,151688,0.4,147450,0.6,308937,0.4,404723,1.75 110,1,2024-09-07 09:53:41:651,1076827,1076827,0,0,505051758294,5241499053,1068782,6118,1927,368,392045,0 110,2,2024-09-07 09:53:41:306,777069,777069,0,0,31377969,0,4067 110,3,2024-09-07 09:53:40:698,1,612,1,0,722,8073,612,0 111,0,2024-09-07 09:53:41:414,153358,0.4,152530,0.5,304954,0.3,406470,1.75 111,1,2024-09-07 09:53:41:005,1077855,1077855,0,0,506153957507,5247427193,1072372,5102,381,380,391690,0 111,2,2024-09-07 09:53:41:125,774715,774715,0,0,31862092,0,4823 111,3,2024-09-07 09:53:40:922,1,612,1,0,379,6551,612,0 112,0,2024-09-07 09:53:40:919,153449,0.3,152976,0.4,306457,0.2,406404,1.50 112,1,2024-09-07 09:53:40:826,1076712,1076712,0,0,504443993067,5237487076,1069508,5979,1225,380,391624,0 112,2,2024-09-07 09:53:41:133,771418,771417,1,0,30171316,0,5036 112,3,2024-09-07 09:53:40:591,1,612,1,0,282,5747,612,0 113,0,2024-09-07 09:53:40:875,154733,0.3,154678,0.5,309684,0.2,412568,1.50 113,1,2024-09-07 09:53:41:685,1079166,1079166,0,0,506891944998,5229009923,1072933,5285,948,365,391664,0 113,2,2024-09-07 09:53:41:303,778425,778425,0,0,28642561,0,3813 113,3,2024-09-07 09:53:40:687,1,612,1,0,510,6533,612,0 114,0,2024-09-07 09:53:40:874,153257,0.3,154187,0.5,307460,0.2,410153,1.75 114,1,2024-09-07 09:53:40:718,1077209,1077209,0,0,505187843990,5244610620,1069267,6195,1747,381,391565,0 114,2,2024-09-07 09:53:40:872,777850,777849,1,0,29840069,0,5069 114,3,2024-09-07 09:53:41:278,1,612,1,0,395,4880,612,0 115,0,2024-09-07 09:53:40:553,154360,0.3,155000,0.4,308574,0.2,410620,1.50 115,1,2024-09-07 09:53:40:570,1077374,1077374,0,0,505350867337,5248582910,1069117,6740,1517,382,391757,0 115,2,2024-09-07 09:53:41:124,775743,775743,0,0,29912599,0,4382 115,3,2024-09-07 09:53:41:002,1,612,0,0,167,3729,612,0 116,0,2024-09-07 09:53:41:701,152583,0.6,152415,0.8,305514,0.6,408397,2.00 116,1,2024-09-07 09:53:40:810,1071159,1071159,0,0,502466164952,5294563153,1058499,9569,3091,380,392089,0 116,2,2024-09-07 09:53:41:751,773112,773112,0,0,37496099,0,4475 116,3,2024-09-07 09:53:40:912,1,612,2,0,448,7806,612,0 117,0,2024-09-07 09:53:40:984,154520,0.7,153883,0.8,308423,0.7,412179,2.00 117,1,2024-09-07 09:53:41:583,1073235,1073235,0,0,502970020282,5266583686,1061448,10264,1523,369,392429,0 117,2,2024-09-07 09:53:41:126,774304,774304,0,0,33883005,0,4303 117,3,2024-09-07 09:53:41:071,1,612,1,0,490,7731,612,0 118,0,2024-09-07 09:53:41:804,150245,0.5,154280,0.7,314804,0.5,412574,2.00 118,1,2024-09-07 09:53:40:591,1072482,1072482,0,0,502677316946,5284130648,1056635,12223,3624,366,392054,0 118,2,2024-09-07 09:53:41:591,773504,773504,0,0,36181133,0,2842 118,3,2024-09-07 09:53:41:765,1,612,1,0,289,7192,612,0 119,0,2024-09-07 09:53:41:358,153162,0.8,153819,0.8,306906,0.9,409431,2.25 119,1,2024-09-07 09:53:40:548,1073147,1073147,0,0,503473821637,5279761189,1058450,12185,2512,367,391857,0 119,2,2024-09-07 09:53:41:260,775539,775539,0,0,34167643,0,4309 119,3,2024-09-07 09:53:41:325,1,612,2,0,1358,11122,612,0 120,0,2024-09-07 09:53:41:623,151148,0.6,151049,0.8,303511,0.7,404301,2.25 120,1,2024-09-07 09:53:40:858,1073859,1073859,0,0,503672928383,5282230596,1062143,10574,1142,367,392144,0 120,2,2024-09-07 09:53:40:770,773776,773773,3,0,40603075,0,5363 120,3,2024-09-07 09:53:41:296,1,612,21,0,279,7360,612,0 121,0,2024-09-07 09:53:41:714,153123,1.0,152626,1.0,305485,1.3,407360,2.25 121,1,2024-09-07 09:53:41:657,1073721,1073721,0,0,503916387118,5272511684,1062428,9739,1554,366,391840,0 121,2,2024-09-07 09:53:41:127,769854,769854,0,0,37004516,0,4157 121,3,2024-09-07 09:53:40:754,1,612,1,0,387,8076,612,0 122,0,2024-09-07 09:53:41:956,154966,0.8,150803,0.9,315854,0.9,415610,2.00 122,1,2024-09-07 09:53:40:864,1072449,1072449,0,0,503348227761,5283059538,1057439,12596,2414,365,392130,0 122,2,2024-09-07 09:53:41:322,775455,775380,75,0,40819588,0,5989 122,3,2024-09-07 09:53:40:599,1,612,2,0,512,9845,612,0 123,0,2024-09-07 09:53:40:983,151533,0.9,147369,0.9,308738,1.0,404222,2.25 123,1,2024-09-07 09:53:40:558,1073068,1073068,0,0,504213967583,5300780495,1056215,14181,2672,369,392039,0 123,2,2024-09-07 09:53:41:019,774035,774034,1,0,34894461,0,5215 123,3,2024-09-07 09:53:41:132,1,612,1,0,478,6950,612,0 124,0,2024-09-07 09:53:40:943,156096,0.3,156035,0.5,294280,0.3,404971,1.75 124,1,2024-09-07 09:53:41:022,1077105,1077105,0,0,504388453490,5241555285,1069363,6566,1176,365,392178,0 124,2,2024-09-07 09:53:41:015,776919,776866,53,0,31233861,0,6487 124,3,2024-09-07 09:53:40:758,1,612,31,0,490,6020,612,0 125,0,2024-09-07 09:53:41:431,151753,0.4,151822,0.5,304529,0.3,405092,1.75 125,1,2024-09-07 09:53:40:855,1073702,1073702,0,0,504127885495,5260406168,1065630,6919,1153,382,391968,0 125,2,2024-09-07 09:53:41:122,772912,772912,0,0,31313157,0,4534 125,3,2024-09-07 09:53:41:129,1,612,53,0,709,7006,612,0 126,0,2024-09-07 09:53:41:434,155682,0.4,160124,0.5,306208,0.4,415171,1.75 126,1,2024-09-07 09:53:40:574,1077884,1077884,0,0,505726762976,5233648143,1072364,5073,447,365,391987,0 126,2,2024-09-07 09:53:40:613,777795,777795,0,0,32615484,0,4539 126,3,2024-09-07 09:53:40:907,1,612,1,0,268,6651,612,0 127,0,2024-09-07 09:53:41:600,152504,0.3,152527,0.5,304406,0.3,404656,1.75 127,1,2024-09-07 09:53:40:576,1075951,1075951,0,0,504598736500,5248717760,1065268,9045,1638,364,392187,0 127,2,2024-09-07 09:53:40:638,776564,776560,4,0,30759731,0,5305 127,3,2024-09-07 09:53:41:267,1,612,0,0,968,5987,612,0 128,0,2024-09-07 09:53:41:596,153688,0.3,153797,0.4,307248,0.2,408278,1.50 128,1,2024-09-07 09:53:41:622,1075698,1075698,0,0,504523867328,5246626516,1067279,7454,965,367,392423,0 128,2,2024-09-07 09:53:41:391,776029,776029,0,0,28585140,0,3171 128,3,2024-09-07 09:53:40:768,1,612,3,0,1082,9632,612,0 129,0,2024-09-07 09:53:40:993,154691,0.3,153879,0.5,308368,0.3,409932,1.50 129,1,2024-09-07 09:53:40:580,1072715,1072715,0,0,503313033152,5273684706,1062240,8453,2022,379,391962,0 129,2,2024-09-07 09:53:40:688,774358,774354,4,0,31137438,0,5335 129,3,2024-09-07 09:53:40:688,1,612,1,0,506,8322,612,0 130,0,2024-09-07 09:53:41:775,155344,0.4,154945,0.5,310605,0.4,413854,1.75 130,1,2024-09-07 09:53:40:591,1077058,1077058,0,0,505349768003,5247299337,1071610,5048,400,381,391825,0 130,2,2024-09-07 09:53:41:123,774675,774675,0,0,31375250,0,4067 130,3,2024-09-07 09:53:41:301,1,612,1,0,960,8315,612,0 131,0,2024-09-07 09:53:41:939,154109,0.3,154599,0.5,310069,0.3,411537,1.75 131,1,2024-09-07 09:53:41:823,1075822,1075822,0,0,504609448077,5258342344,1068587,6037,1198,381,391865,0 131,2,2024-09-07 09:53:40:565,775908,775908,0,0,29119389,0,3979 131,3,2024-09-07 09:53:41:688,1,612,1,0,392,7750,612,0 132,0,2024-09-07 09:53:41:415,154256,0.5,155146,0.6,308966,0.4,411034,2.00 132,1,2024-09-07 09:53:40:580,1071528,1071528,0,0,502320399472,5287644468,1056080,12744,2704,381,392532,0 132,2,2024-09-07 09:53:40:700,773863,773846,17,0,38019829,0,6451 132,3,2024-09-07 09:53:41:688,1,612,1,0,1298,10895,612,0 133,0,2024-09-07 09:53:41:574,148651,0.4,152093,0.6,311780,0.4,406141,2.00 133,1,2024-09-07 09:53:40:605,1072138,1072138,0,0,503110025225,5299152917,1058052,12322,1764,383,391914,0 133,2,2024-09-07 09:53:41:087,774531,774481,50,0,39012938,0,6861 133,3,2024-09-07 09:53:41:302,1,612,1,0,528,7342,612,0 134,0,2024-09-07 09:53:40:949,154469,0.5,154698,0.7,309351,0.5,412184,2.00 134,1,2024-09-07 09:53:40:591,1073161,1073161,0,0,503305558584,5277619912,1059371,11299,2491,366,391781,0 134,2,2024-09-07 09:53:41:755,771510,771486,24,0,36026757,0,6207 134,3,2024-09-07 09:53:40:751,1,612,1,0,739,7459,612,0 135,0,2024-09-07 09:53:41:099,149716,0.8,149731,0.8,317869,0.9,409677,2.25 135,1,2024-09-07 09:53:41:585,1072282,1072282,0,0,503686259205,5294093225,1059246,11395,1641,380,391974,0 135,2,2024-09-07 09:53:40:687,775726,775726,0,0,36995412,0,4503 135,3,2024-09-07 09:53:41:002,1,612,2,0,900,5925,612,0 136,0,2024-09-07 09:53:41:633,153203,0.6,153712,0.8,305041,0.6,407523,2.00 136,1,2024-09-07 09:53:41:441,1073696,1073696,0,0,503133621700,5272895229,1061785,10521,1390,381,392135,0 136,2,2024-09-07 09:53:41:137,777012,776997,15,0,36520560,0,6007 136,3,2024-09-07 09:53:41:106,1,612,1,0,637,6982,612,0 137,0,2024-09-07 09:53:40:938,156904,0.6,152937,0.7,299611,0.6,406928,2.00 137,1,2024-09-07 09:53:40:575,1072752,1072752,0,0,503359010701,5279250271,1056204,13159,3389,366,391898,0 137,2,2024-09-07 09:53:41:714,773608,773608,0,0,37590794,0,3185 137,3,2024-09-07 09:53:40:770,1,612,2,0,484,8273,612,0 138,0,2024-09-07 09:53:41:808,151693,0.7,152161,0.8,304661,0.8,404989,2.00 138,1,2024-09-07 09:53:41:700,1073299,1073299,0,0,504076072476,5286730452,1058516,12513,2270,368,391954,0 138,2,2024-09-07 09:53:40:603,768994,768994,0,0,35663773,0,4988 138,3,2024-09-07 09:53:40:611,1,612,1,0,1200,9557,612,0 139,0,2024-09-07 09:53:41:379,154227,1.0,154886,0.9,309436,1.4,413551,2.25 139,1,2024-09-07 09:53:40:576,1068692,1068692,0,0,500279119678,5304114952,1050299,14567,3826,380,392109,0 139,2,2024-09-07 09:53:40:712,770480,770450,30,0,41239852,0,5997 139,3,2024-09-07 09:53:41:666,1,612,2,0,432,7190,612,0 140,0,2024-09-07 09:53:41:587,152354,0.3,151517,0.5,303923,0.2,405086,1.75 140,1,2024-09-07 09:53:41:539,1079496,1079496,0,0,506974949606,5224167688,1074523,4425,548,364,391606,0 140,2,2024-09-07 09:53:40:688,777298,777297,1,0,29064414,0,5036 140,3,2024-09-07 09:53:40:767,1,612,1,0,575,6017,612,0 141,0,2024-09-07 09:53:41:702,152862,0.3,157133,0.5,300308,0.2,406254,1.75 141,1,2024-09-07 09:53:40:860,1077968,1077968,0,0,505251306377,5246912801,1069768,7007,1193,379,391614,0 141,2,2024-09-07 09:53:41:686,775201,775190,11,0,31155957,0,5369 141,3,2024-09-07 09:53:41:043,1,612,1,0,391,6990,612,0 142,0,2024-09-07 09:53:41:309,153631,0.3,152805,0.5,305789,0.2,407333,1.50 142,1,2024-09-07 09:53:40:594,1075905,1075905,0,0,504708386538,5259033115,1069186,6211,508,382,392102,0 142,2,2024-09-07 09:53:41:302,770906,770874,32,0,31632981,0,6028 142,3,2024-09-07 09:53:41:746,1,612,6,0,484,6428,612,0 143,0,2024-09-07 09:53:41:399,154646,0.4,154528,0.5,310110,0.4,412643,1.75 143,1,2024-09-07 09:53:40:555,1077898,1077898,0,0,505231920703,5239468282,1071429,5901,568,367,391900,0 143,2,2024-09-07 09:53:40:769,776426,776426,0,0,31582862,0,3123 143,3,2024-09-07 09:53:41:153,1,612,5,0,462,7330,612,0 144,0,2024-09-07 09:53:41:522,148482,0.6,152843,0.8,310946,0.6,406721,2.00 144,1,2024-09-07 09:53:40:565,1072767,1072767,0,0,503353827058,5280319611,1062167,8606,1994,381,391733,0 144,2,2024-09-07 09:53:41:755,776917,776917,0,0,30947932,0,4443 144,3,2024-09-07 09:53:41:747,1,612,3,0,249,5999,612,0 145,0,2024-09-07 09:53:41:383,148797,0.6,148745,0.8,315650,0.5,406506,2.25 145,1,2024-09-07 09:53:40:552,1071786,1071786,0,0,503444981303,5295741965,1057754,11358,2674,382,391781,0 145,2,2024-09-07 09:53:41:433,772418,772336,82,0,36943229,0,7814 145,3,2024-09-07 09:53:40:895,1,612,1,0,622,8077,612,0 146,0,2024-09-07 09:53:41:644,152943,0.5,152047,0.7,305849,0.5,406649,2.00 146,1,2024-09-07 09:53:41:586,1072953,1072953,0,0,503070145110,5292961552,1055418,13053,4482,367,391770,0 146,2,2024-09-07 09:53:41:696,772106,772100,6,0,35208558,0,5151 146,3,2024-09-07 09:53:41:273,1,612,1,0,1520,9942,612,0 147,0,2024-09-07 09:53:41:701,154497,0.6,154204,0.7,308212,0.5,411422,2.00 147,1,2024-09-07 09:53:41:374,1076948,1076948,0,0,504617110998,5242014976,1068448,7533,967,367,391791,0 147,2,2024-09-07 09:53:41:011,772785,772785,0,0,31143345,0,2968 147,3,2024-09-07 09:53:40:913,1,612,1,0,1626,9619,612,0 0,0,2024-09-07 09:53:51:732,147922,0.6,147933,0.7,314097,0.6,405518,2.00 0,1,2024-09-07 09:53:50:801,1075412,1075412,0,0,504858522233,5282882893,1066864,7601,947,368,391896,0 0,2,2024-09-07 09:53:51:066,776943,776943,0,0,31178594,0,4480 0,3,2024-09-07 09:53:50:974,1,613,19,0,431,8709,613,0 1,0,2024-09-07 09:53:51:750,153266,0.8,152217,0.9,305818,1.0,409009,2.00 1,1,2024-09-07 09:53:50:563,1074885,1074885,0,0,504044100598,5277510529,1065404,7990,1491,370,391859,0 1,2,2024-09-07 09:53:50:639,772581,772581,0,0,30592615,0,3380 1,3,2024-09-07 09:53:51:303,1,613,3,0,269,7577,613,0 2,0,2024-09-07 09:53:51:566,155855,0.5,155545,0.7,310807,0.6,414802,2.00 2,1,2024-09-07 09:53:50:863,1078062,1078062,0,0,505965291354,5259162382,1071885,5226,951,379,391745,0 2,2,2024-09-07 09:53:51:265,776972,776972,0,0,29228793,0,3594 2,3,2024-09-07 09:53:50:692,1,613,1,0,357,5447,613,0 3,0,2024-09-07 09:53:51:750,152247,0.4,152202,0.6,303878,0.4,405274,2.00 3,1,2024-09-07 09:53:51:624,1076674,1076674,0,0,505441074844,5255166122,1069061,6908,705,379,391716,0 3,2,2024-09-07 09:53:51:142,778278,778255,23,0,30318944,0,5851 3,3,2024-09-07 09:53:51:754,1,613,0,0,484,4621,613,0 4,0,2024-09-07 09:53:51:828,147661,0.4,151770,0.5,309357,0.3,404644,1.75 4,1,2024-09-07 09:53:50:591,1071998,1071998,0,0,502792705244,5318942449,1054182,14062,3754,369,391992,0 4,2,2024-09-07 09:53:51:022,773410,773410,0,0,37241685,0,4534 4,3,2024-09-07 09:53:51:028,1,613,1,0,448,7384,613,0 5,0,2024-09-07 09:53:51:384,152395,0.4,152305,0.5,304698,0.3,405494,1.75 5,1,2024-09-07 09:53:50:755,1073073,1073073,0,0,503790493344,5316864472,1056714,12231,4128,367,392005,0 5,2,2024-09-07 09:53:51:829,768422,768422,0,0,35993116,0,3582 5,3,2024-09-07 09:53:51:732,1,613,5,0,457,8424,613,0 6,0,2024-09-07 09:53:50:959,156056,0.4,155741,0.6,311542,0.4,415601,2.00 6,1,2024-09-07 09:53:50:746,1075965,1075965,0,0,504646971285,5269515518,1064886,9505,1574,379,391702,0 6,2,2024-09-07 09:53:51:120,777144,777126,18,0,35003138,0,5535 6,3,2024-09-07 09:53:51:281,1,613,0,0,710,7403,613,0 7,0,2024-09-07 09:53:51:532,151425,0.5,152500,0.7,302757,0.5,403863,2.00 7,1,2024-09-07 09:53:50:853,1073330,1073330,0,0,503381219761,5298533303,1057001,12563,3766,382,391747,0 7,2,2024-09-07 09:53:50:769,776580,776580,0,0,33697945,0,4791 7,3,2024-09-07 09:53:50:850,1,613,0,0,552,7103,613,0 8,0,2024-09-07 09:53:51:396,153538,0.4,153277,0.5,306931,0.3,408683,1.75 8,1,2024-09-07 09:53:51:022,1073007,1073007,0,0,503882399483,5297989637,1056258,13162,3587,366,392853,0 8,2,2024-09-07 09:53:50:793,770733,770731,2,0,39993810,0,5112 8,3,2024-09-07 09:53:50:591,1,613,4,0,772,9617,613,0 9,0,2024-09-07 09:53:51:107,153465,0.4,149290,0.5,312278,0.3,409117,1.75 9,1,2024-09-07 09:53:50:559,1072859,1072859,0,0,503532507325,5306368481,1056091,13538,3230,369,392001,0 9,2,2024-09-07 09:53:51:085,771682,771681,1,0,37731481,0,5281 9,3,2024-09-07 09:53:51:761,1,613,1,0,1273,10293,613,0 10,0,2024-09-07 09:53:51:602,154991,0.3,154283,0.5,309700,0.3,412860,1.75 10,1,2024-09-07 09:53:50:584,1074607,1074607,0,0,504162680412,5288711274,1058875,12668,3064,381,391981,0 10,2,2024-09-07 09:53:50:762,775431,775431,0,0,40598197,0,4713 10,3,2024-09-07 09:53:50:871,1,613,2,0,669,6843,613,0 11,0,2024-09-07 09:53:51:009,154028,0.4,149432,0.6,312678,0.4,411450,1.75 11,1,2024-09-07 09:53:50:570,1075800,1075800,0,0,504217948972,5288926630,1059844,11653,4303,383,391766,0 11,2,2024-09-07 09:53:51:122,775362,775362,0,0,35836840,0,4698 11,3,2024-09-07 09:53:51:298,1,613,0,0,843,7868,613,0 12,0,2024-09-07 09:53:50:942,155332,0.4,155156,0.5,309974,0.4,412172,1.75 12,1,2024-09-07 09:53:51:062,1076111,1076111,0,0,504686106383,5261386952,1067731,7372,1008,370,391960,0 12,2,2024-09-07 09:53:51:551,773961,773961,0,0,34448468,0,4390 12,3,2024-09-07 09:53:51:059,1,613,13,0,386,7638,613,0 13,0,2024-09-07 09:53:51:367,153615,0.4,153664,0.5,306425,0.4,408591,1.75 13,1,2024-09-07 09:53:51:524,1073447,1073447,0,0,504130373835,5296808656,1062547,8510,2390,382,391803,0 13,2,2024-09-07 09:53:50:608,777213,777213,0,0,30852016,0,3287 13,3,2024-09-07 09:53:51:770,1,613,10,0,522,8015,613,0 14,0,2024-09-07 09:53:50:580,154516,0.4,155632,0.6,308887,0.3,411849,1.75 14,1,2024-09-07 09:53:51:562,1080798,1080798,0,0,506766362691,5242181967,1072335,7334,1129,364,391673,0 14,2,2024-09-07 09:53:50:770,773986,773956,30,0,32176835,0,6104 14,3,2024-09-07 09:53:51:121,1,613,38,0,1168,6654,613,0 15,0,2024-09-07 09:53:51:556,155082,0.4,154170,0.6,308926,0.3,412487,1.75 15,1,2024-09-07 09:53:51:611,1077563,1077563,0,0,505509315820,5262586381,1068488,7162,1913,381,391619,0 15,2,2024-09-07 09:53:50:997,777833,777833,0,0,27915247,0,3622 15,3,2024-09-07 09:53:51:406,1,613,0,0,1126,8120,613,0 16,0,2024-09-07 09:53:50:949,152901,0.6,153638,0.8,306549,0.6,408404,2.00 16,1,2024-09-07 09:53:50:566,1077174,1077174,0,0,504518288733,5272671992,1067731,7999,1444,370,392194,0 16,2,2024-09-07 09:53:51:443,775825,775825,0,0,31769705,0,4719 16,3,2024-09-07 09:53:51:142,1,613,1,0,358,7619,613,0 17,0,2024-09-07 09:53:51:774,156848,0.6,152918,0.8,299518,0.6,407254,2.00 17,1,2024-09-07 09:53:50:586,1075329,1075329,0,0,504590658401,5290164635,1065029,8528,1772,368,392075,0 17,2,2024-09-07 09:53:51:670,778936,778935,1,0,33061317,0,5050 17,3,2024-09-07 09:53:50:576,1,613,2,0,518,8837,613,0 18,0,2024-09-07 09:53:50:958,151325,0.6,152307,0.8,303083,0.6,405220,2.25 18,1,2024-09-07 09:53:51:638,1079739,1079739,0,0,505968357917,5246057863,1072672,5810,1257,367,391725,0 18,2,2024-09-07 09:53:51:754,774206,774206,0,0,29323843,0,3541 18,3,2024-09-07 09:53:50:895,1,613,8,0,1059,5593,613,0 19,0,2024-09-07 09:53:51:541,155445,0.6,155743,0.8,310452,0.6,412996,2.00 19,1,2024-09-07 09:53:50:568,1079676,1079676,0,0,506638440952,5249241716,1071872,6671,1133,365,391777,0 19,2,2024-09-07 09:53:51:751,779559,779559,0,0,27789853,0,3988 19,3,2024-09-07 09:53:51:131,1,613,1,0,524,4640,613,0 20,0,2024-09-07 09:53:51:372,151917,0.6,151838,0.7,303390,0.6,404507,2.00 20,1,2024-09-07 09:53:50:568,1074996,1074996,0,0,504761669877,5288437615,1064201,9286,1509,369,391922,0 20,2,2024-09-07 09:53:50:934,776996,776996,0,0,34843205,0,4321 20,3,2024-09-07 09:53:50:599,1,613,10,0,468,9791,613,0 21,0,2024-09-07 09:53:51:144,152778,0.5,152855,0.6,305481,0.4,405519,2.00 21,1,2024-09-07 09:53:51:537,1073190,1073190,0,0,502618190645,5304242314,1056311,13043,3836,368,392016,0 21,2,2024-09-07 09:53:51:071,769894,769417,477,0,45278968,0,17074 21,3,2024-09-07 09:53:51:411,1,613,13,0,713,8709,613,0 22,0,2024-09-07 09:53:51:717,152535,0.5,153130,0.6,305732,0.4,405371,2.00 22,1,2024-09-07 09:53:51:023,1073901,1073901,0,0,502333542382,5286086692,1056083,14278,3540,382,391822,0 22,2,2024-09-07 09:53:50:760,770468,770442,26,0,32985088,0,6328 22,3,2024-09-07 09:53:51:074,1,613,1,0,228,5273,613,0 23,0,2024-09-07 09:53:51:370,154607,0.5,154429,0.6,308951,0.4,412303,2.00 23,1,2024-09-07 09:53:51:003,1074325,1074325,0,0,504792804496,5317098135,1054843,12904,6578,365,391690,0 23,2,2024-09-07 09:53:51:091,777686,777686,0,0,31764169,0,3773 23,3,2024-09-07 09:53:51:754,1,613,0,0,855,8893,613,0 24,0,2024-09-07 09:53:50:836,154138,0.4,153293,0.6,308316,0.4,409030,1.75 24,1,2024-09-07 09:53:50:586,1074956,1074956,0,0,503831354056,5273161189,1064828,8418,1710,367,392269,0 24,2,2024-09-07 09:53:51:073,776373,776370,3,0,38417720,0,6294 24,3,2024-09-07 09:53:51:690,1,613,4,0,468,7874,613,0 25,0,2024-09-07 09:53:51:356,158196,0.4,154019,0.6,302543,0.4,411808,2.00 25,1,2024-09-07 09:53:50:568,1072824,1072824,0,0,503067557266,5314037244,1054052,15058,3714,369,391928,0 25,2,2024-09-07 09:53:51:612,772837,772837,0,0,38945490,0,3978 25,3,2024-09-07 09:53:51:004,1,613,22,0,532,6593,613,0 26,0,2024-09-07 09:53:51:725,152444,0.4,148982,0.6,312855,0.3,407425,1.75 26,1,2024-09-07 09:53:51:543,1076479,1076479,0,0,504781558188,5283274202,1062142,11804,2533,380,391758,0 26,2,2024-09-07 09:53:50:863,773727,773727,0,0,41602543,0,4689 26,3,2024-09-07 09:53:51:712,1,613,1,0,796,7583,613,0 27,0,2024-09-07 09:53:51:727,154647,0.4,155326,0.6,308762,0.3,412243,2.25 27,1,2024-09-07 09:53:51:706,1077615,1077615,0,0,505988086396,5271980079,1067214,8792,1609,381,391626,0 27,2,2024-09-07 09:53:50:867,771128,771063,65,0,36386390,0,5699 27,3,2024-09-07 09:53:51:015,1,613,1,0,564,5251,613,0 28,0,2024-09-07 09:53:51:392,155348,0.4,155549,0.6,311174,0.3,414477,1.75 28,1,2024-09-07 09:53:50:797,1078105,1078105,0,0,506184345195,5274814601,1069163,7051,1891,382,391904,0 28,2,2024-09-07 09:53:51:772,776834,776834,0,0,31142962,0,2915 28,3,2024-09-07 09:53:51:782,1,613,2,0,502,6075,613,0 29,0,2024-09-07 09:53:51:361,158133,0.4,154021,0.6,302231,0.4,412209,1.75 29,1,2024-09-07 09:53:51:561,1081151,1081151,0,0,507030338882,5242169821,1074790,5585,776,367,391809,0 29,2,2024-09-07 09:53:50:864,776150,776150,0,0,30285434,0,4986 29,3,2024-09-07 09:53:50:964,1,613,1,0,459,6525,613,0 30,0,2024-09-07 09:53:51:460,151500,0.5,147439,0.7,308853,0.4,403490,2.00 30,1,2024-09-07 09:53:50:577,1079977,1079977,0,0,506848791899,5256354328,1072402,6664,911,380,391672,0 30,2,2024-09-07 09:53:51:281,777255,777255,0,0,28904060,0,4192 30,3,2024-09-07 09:53:50:583,1,613,0,0,519,5627,613,0 31,0,2024-09-07 09:53:51:759,152992,0.4,153856,0.6,306687,0.4,409687,1.75 31,1,2024-09-07 09:53:50:568,1083521,1083521,0,0,508084437435,5215980951,1077061,5386,1074,356,391712,0 31,2,2024-09-07 09:53:51:277,771830,771830,0,0,32407002,0,4470 31,3,2024-09-07 09:53:51:709,1,613,1,0,239,5255,613,0 32,0,2024-09-07 09:53:51:492,155819,0.3,157052,0.5,312362,0.3,416267,1.75 32,1,2024-09-07 09:53:50:807,1079729,1079729,0,0,506307906413,5259500265,1073549,5462,718,381,391646,0 32,2,2024-09-07 09:53:50:937,778254,778254,0,0,28644452,0,3922 32,3,2024-09-07 09:53:51:021,1,613,1,0,304,4938,613,0 33,0,2024-09-07 09:53:51:495,152810,0.3,152482,0.4,305209,0.2,406236,1.50 33,1,2024-09-07 09:53:50:578,1079965,1079965,0,0,507417625588,5254158300,1071514,7178,1273,368,391730,0 33,2,2024-09-07 09:53:50:759,778276,778241,35,0,31778701,0,7012 33,3,2024-09-07 09:53:50:895,1,613,1,0,329,5337,613,0 34,0,2024-09-07 09:53:50:929,152330,0.3,156478,0.5,299281,0.2,404049,1.75 34,1,2024-09-07 09:53:51:044,1081776,1081776,0,0,508298441898,5227394566,1078814,2852,110,367,391637,0 34,2,2024-09-07 09:53:50:766,777079,777079,0,0,30307527,0,4562 34,3,2024-09-07 09:53:51:690,1,613,0,0,541,5518,613,0 35,0,2024-09-07 09:53:50:882,151444,0.3,152304,0.5,305465,0.2,405906,1.75 35,1,2024-09-07 09:53:51:067,1077794,1077794,0,0,505983267593,5250001232,1069685,6586,1523,382,391769,0 35,2,2024-09-07 09:53:51:585,771828,771828,0,0,33165348,0,4055 35,3,2024-09-07 09:53:50:908,1,613,8,0,466,5707,613,0 36,0,2024-09-07 09:53:51:517,156347,0.5,156456,0.7,312257,0.4,416313,2.00 36,1,2024-09-07 09:53:50:587,1077116,1077116,0,0,505671233453,5278864089,1063534,11342,2240,366,391759,0 36,2,2024-09-07 09:53:51:755,777702,777702,0,0,34769645,0,3875 36,3,2024-09-07 09:53:50:863,1,613,1,0,556,8297,613,0 37,0,2024-09-07 09:53:51:374,151333,0.5,151493,0.7,303128,0.5,404157,2.25 37,1,2024-09-07 09:53:50:569,1076687,1076680,0,7,505180865441,5274188676,1064023,9744,2913,365,391770,0 37,2,2024-09-07 09:53:51:142,774725,774710,15,0,34666583,0,5815 37,3,2024-09-07 09:53:51:771,1,613,4,0,888,8096,613,0 38,0,2024-09-07 09:53:51:435,152376,0.4,147701,0.6,308840,0.4,404443,2.00 38,1,2024-09-07 09:53:51:605,1076573,1076573,0,0,506014230992,5291176134,1061241,12332,3000,368,391821,0 38,2,2024-09-07 09:53:50:759,775019,774972,47,0,34861466,0,6710 38,3,2024-09-07 09:53:50:997,1,613,2,0,689,7437,613,0 39,0,2024-09-07 09:53:51:759,156846,0.5,153372,0.7,299072,0.5,407901,2.00 39,1,2024-09-07 09:53:50:718,1075933,1075933,0,0,504252024408,5284765374,1058486,13732,3715,365,391865,0 39,2,2024-09-07 09:53:51:416,772883,772883,0,0,32991222,0,3391 39,3,2024-09-07 09:53:50:717,1,613,12,0,525,6862,613,0 40,0,2024-09-07 09:53:51:507,153453,0.8,154277,0.9,307952,0.9,411173,2.75 40,1,2024-09-07 09:53:50:578,1076350,1076350,0,0,503983163496,5278352570,1060740,12541,3069,366,391668,0 40,2,2024-09-07 09:53:51:304,773366,773359,7,0,39338093,0,5347 40,3,2024-09-07 09:53:51:142,1,613,2,0,1028,8900,613,0 41,0,2024-09-07 09:53:51:032,153292,1.3,156915,1.1,299204,1.9,406270,3.25 41,1,2024-09-07 09:53:50:768,1075641,1075641,0,0,504728691304,5279281963,1061864,11617,2160,369,391878,0 41,2,2024-09-07 09:53:50:759,773103,773102,1,0,37606495,0,5408 41,3,2024-09-07 09:53:51:681,1,613,1,0,366,6673,613,0 42,0,2024-09-07 09:53:51:473,153160,1.0,152862,1.0,305778,1.1,405966,2.75 42,1,2024-09-07 09:53:51:440,1073502,1073502,0,0,503126572496,5286272842,1056919,13650,2933,380,391675,0 42,2,2024-09-07 09:53:51:132,773390,773389,1,0,38041624,0,5513 42,3,2024-09-07 09:53:51:009,1,613,5,0,892,6011,613,0 43,0,2024-09-07 09:53:50:917,151274,0.7,147331,0.9,308282,0.7,403580,2.25 43,1,2024-09-07 09:53:50:579,1077117,1077117,0,0,504324127089,5268890189,1062377,12168,2572,366,391696,0 43,2,2024-09-07 09:53:51:737,775651,775651,0,0,35863718,0,4723 43,3,2024-09-07 09:53:51:749,1,613,1,0,571,8877,613,0 44,0,2024-09-07 09:53:50:887,154909,0.4,154777,0.6,309656,0.3,412274,1.75 44,1,2024-09-07 09:53:50:564,1080280,1080280,0,0,506028647245,5229093685,1070912,7427,1941,356,391809,0 44,2,2024-09-07 09:53:51:275,771970,771970,0,0,28987558,0,4344 44,3,2024-09-07 09:53:51:096,1,613,1,0,1097,7466,613,0 45,0,2024-09-07 09:53:51:766,153184,0.5,149635,0.7,314225,0.4,412565,2.00 45,1,2024-09-07 09:53:51:005,1078616,1078616,0,0,506042980483,5256485938,1070361,7352,903,382,391917,0 45,2,2024-09-07 09:53:51:269,777097,777097,0,0,29382650,0,3596 45,3,2024-09-07 09:53:50:934,1,613,43,0,531,5805,613,0 46,0,2024-09-07 09:53:50:950,152236,0.6,151791,0.8,304588,0.6,405102,2.25 46,1,2024-09-07 09:53:50:577,1081414,1081414,0,0,507078247201,5239768595,1073919,6507,988,366,391709,0 46,2,2024-09-07 09:53:50:592,777934,777934,0,0,30038668,0,4443 46,3,2024-09-07 09:53:51:131,1,613,1,0,908,7285,613,0 47,0,2024-09-07 09:53:51:102,152595,0.4,152450,0.6,306212,0.4,405594,2.00 47,1,2024-09-07 09:53:50:567,1081950,1081950,0,0,507426708338,5239823910,1075851,5237,862,364,391641,0 47,2,2024-09-07 09:53:50:908,779636,779636,0,0,29077879,0,4477 47,3,2024-09-07 09:53:51:118,1,613,5,0,600,6612,613,0 48,0,2024-09-07 09:53:51:493,153138,0.3,153199,0.4,306001,0.2,407018,1.50 48,1,2024-09-07 09:53:51:023,1079326,1079326,0,0,506033191597,5253263422,1073219,5529,578,381,391710,0 48,2,2024-09-07 09:53:50:698,772485,772485,0,0,27574978,0,3524 48,3,2024-09-07 09:53:50:767,1,613,1,0,339,5382,613,0 49,0,2024-09-07 09:53:51:724,160365,0.3,157000,0.5,305474,0.3,417154,1.75 49,1,2024-09-07 09:53:51:032,1078307,1078307,0,0,506133597967,5262969078,1071033,5578,1696,382,391809,0 49,2,2024-09-07 09:53:51:797,778408,778408,0,0,29851290,0,4426 49,3,2024-09-07 09:53:51:419,1,613,1,0,992,7198,613,0 50,0,2024-09-07 09:53:51:506,152544,0.3,150936,0.5,304081,0.2,404361,1.75 50,1,2024-09-07 09:53:51:010,1081248,1081248,0,0,507446951031,5243677541,1074722,5857,669,368,391691,0 50,2,2024-09-07 09:53:51:067,776581,776581,0,0,27668807,0,4490 50,3,2024-09-07 09:53:51:294,1,613,9,0,617,6215,613,0 51,0,2024-09-07 09:53:51:687,156757,0.3,153389,0.5,298726,0.2,407196,1.75 51,1,2024-09-07 09:53:51:681,1081659,1081659,0,0,508281820656,5239284175,1075996,4582,1081,365,391706,0 51,2,2024-09-07 09:53:51:316,776372,776372,0,0,27182234,0,3337 51,3,2024-09-07 09:53:51:028,1,613,0,0,678,4431,613,0 52,0,2024-09-07 09:53:51:415,153224,0.5,152871,0.6,306108,0.4,406358,2.00 52,1,2024-09-07 09:53:50:594,1076617,1076617,0,0,506008720493,5294474367,1061470,12816,2331,368,391805,0 52,2,2024-09-07 09:53:51:754,768930,768892,38,0,36780142,0,6742 52,3,2024-09-07 09:53:50:684,1,613,1,0,1782,7125,613,0 53,0,2024-09-07 09:53:51:760,154430,0.6,149900,0.8,313683,0.7,411308,2.25 53,1,2024-09-07 09:53:50:771,1075104,1075104,0,0,504026451202,5287969643,1056918,13790,4396,367,391968,0 53,2,2024-09-07 09:53:51:304,777215,777214,1,0,33114213,0,5455 53,3,2024-09-07 09:53:50:697,1,613,1,0,308,5691,613,0 54,0,2024-09-07 09:53:51:617,151497,0.6,151683,0.8,302176,0.5,403452,2.25 54,1,2024-09-07 09:53:50:586,1078300,1078300,0,0,505889598934,5260493902,1067182,9447,1671,366,391810,0 54,2,2024-09-07 09:53:50:865,777442,777410,32,0,36894953,0,6397 54,3,2024-09-07 09:53:50:763,1,613,1,0,676,7882,613,0 55,0,2024-09-07 09:53:51:758,148497,0.6,153261,0.8,310576,0.6,404392,2.50 55,1,2024-09-07 09:53:50:764,1078756,1078756,0,0,506246928826,5263475615,1067890,9477,1389,365,391731,0 55,2,2024-09-07 09:53:50:729,773773,773717,56,0,34271866,0,7239 55,3,2024-09-07 09:53:50:675,1,613,19,0,304,5591,613,0 56,0,2024-09-07 09:53:51:557,156071,1.1,147114,1.1,303247,1.4,406086,2.75 56,1,2024-09-07 09:53:50:574,1071680,1071680,0,0,503618640686,5325260211,1053684,14307,3689,381,391867,0 56,2,2024-09-07 09:53:51:305,772900,772778,122,0,36629933,0,7432 56,3,2024-09-07 09:53:51:063,1,613,9,0,705,7111,613,0 57,0,2024-09-07 09:53:50:937,153060,1.4,152688,1.2,305792,1.8,408658,3.00 57,1,2024-09-07 09:53:50:987,1074287,1074287,0,0,503726261434,5287026442,1059818,11978,2491,366,392032,0 57,2,2024-09-07 09:53:51:315,773855,773855,0,0,38320498,0,4804 57,3,2024-09-07 09:53:51:744,1,613,1,0,455,7087,613,0 58,0,2024-09-07 09:53:50:572,152924,1.0,148512,1.0,310887,1.2,406803,2.75 58,1,2024-09-07 09:53:50:576,1075549,1075546,0,3,504717473067,5290061103,1059392,12250,3904,367,391726,3 58,2,2024-09-07 09:53:51:074,776457,776457,0,0,35905839,0,3483 58,3,2024-09-07 09:53:51:068,1,613,1,0,1043,6773,613,0 59,0,2024-09-07 09:53:51:788,152366,0.9,151910,1.0,303593,1.0,403281,3.00 59,1,2024-09-07 09:53:50:807,1074658,1074658,0,0,504652387370,5302708940,1056806,14184,3668,369,391653,0 59,2,2024-09-07 09:53:50:591,775834,775834,0,0,34026205,0,3727 59,3,2024-09-07 09:53:51:737,1,613,2,0,1015,7501,613,0 60,0,2024-09-07 09:53:51:708,152125,0.5,152213,0.7,304676,0.5,405796,1.75 60,1,2024-09-07 09:53:50:778,1079437,1079437,0,0,506334625869,5260056263,1071480,6868,1089,370,392031,0 60,2,2024-09-07 09:53:51:149,776564,776564,0,0,31953845,0,3811 60,3,2024-09-07 09:53:51:258,1,613,1,0,409,7075,613,0 61,0,2024-09-07 09:53:51:542,153205,0.6,153823,0.7,306044,0.6,408984,2.00 61,1,2024-09-07 09:53:50:778,1076761,1076761,0,0,505251324839,5286742780,1065622,9457,1682,382,392127,0 61,2,2024-09-07 09:53:51:119,772871,772804,67,0,32482479,0,6411 61,3,2024-09-07 09:53:51:687,1,613,1,0,607,7891,613,0 62,0,2024-09-07 09:53:51:710,156523,0.6,160402,0.7,306458,0.6,416223,2.00 62,1,2024-09-07 09:53:51:111,1082858,1082852,0,6,508202925853,5237427324,1077883,4670,299,365,391975,6 62,2,2024-09-07 09:53:51:647,774621,774620,1,0,32521797,0,5555 62,3,2024-09-07 09:53:51:143,1,613,1,0,482,4874,613,0 63,0,2024-09-07 09:53:51:452,152934,0.4,152621,0.6,305697,0.4,406483,1.75 63,1,2024-09-07 09:53:50:807,1079420,1079414,0,6,506641448979,5258877759,1072568,5991,855,381,391800,6 63,2,2024-09-07 09:53:50:779,777637,777637,0,0,30909302,0,4369 63,3,2024-09-07 09:53:51:739,1,613,2,0,667,6230,613,0 64,0,2024-09-07 09:53:51:519,151427,0.5,151222,0.6,302232,0.4,402618,2.00 64,1,2024-09-07 09:53:50:762,1078156,1078156,0,0,505922362577,5267493010,1068806,7371,1979,370,391794,0 64,2,2024-09-07 09:53:51:142,780070,780051,19,0,29999125,0,6121 64,3,2024-09-07 09:53:51:143,1,613,1,0,651,6969,613,0 65,0,2024-09-07 09:53:51:688,151101,0.6,151408,0.7,302554,0.6,403358,2.00 65,1,2024-09-07 09:53:50:865,1076497,1076497,0,0,504622133821,5272049955,1069014,6609,874,381,391901,0 65,2,2024-09-07 09:53:51:702,770706,770706,0,0,35973328,0,3367 65,3,2024-09-07 09:53:51:690,1,613,0,0,782,6712,613,0 66,0,2024-09-07 09:53:51:769,155388,0.5,155025,0.7,310114,0.5,413303,2.00 66,1,2024-09-07 09:53:51:294,1078756,1078756,0,0,505437741190,5257260408,1072253,5781,722,380,391743,0 66,2,2024-09-07 09:53:51:132,779841,779838,3,0,32022869,0,5455 66,3,2024-09-07 09:53:51:084,1,613,2,0,291,5134,613,0 67,0,2024-09-07 09:53:51:417,152052,0.5,151595,0.7,304282,0.5,404742,2.00 67,1,2024-09-07 09:53:50:769,1078219,1078218,0,1,506554927680,5271726537,1070819,6454,945,380,391787,1 67,2,2024-09-07 09:53:50:585,780052,780037,15,0,31821711,0,6205 67,3,2024-09-07 09:53:51:749,1,613,1,0,595,6336,613,0 68,0,2024-09-07 09:53:50:600,153300,0.6,153095,0.7,304855,0.5,407768,2.00 68,1,2024-09-07 09:53:50:580,1073980,1073980,0,0,503598584920,5292678804,1060173,10276,3531,381,391953,0 68,2,2024-09-07 09:53:51:044,771690,771590,100,0,39994508,0,8578 68,3,2024-09-07 09:53:50:728,1,613,0,0,417,7815,613,0 69,0,2024-09-07 09:53:51:752,152869,0.6,153558,0.8,306293,0.6,406758,2.25 69,1,2024-09-07 09:53:51:016,1073153,1073153,0,0,503979896223,5309174504,1059287,11032,2834,383,391994,0 69,2,2024-09-07 09:53:51:742,770232,770203,29,0,42528535,0,6912 69,3,2024-09-07 09:53:50:760,1,613,1,0,698,8692,613,0 70,0,2024-09-07 09:53:51:550,153367,0.7,154003,0.9,309330,0.6,409991,2.50 70,1,2024-09-07 09:53:50:811,1079751,1079751,0,0,506776773548,5256483296,1071833,7110,808,366,391725,0 70,2,2024-09-07 09:53:51:325,776133,776133,0,0,35067355,0,4323 70,3,2024-09-07 09:53:50:749,1,613,9,0,854,6762,613,0 71,0,2024-09-07 09:53:51:370,152976,1.0,152607,1.0,306372,1.2,408440,2.75 71,1,2024-09-07 09:53:51:595,1077383,1077383,0,0,505265934287,5271781617,1064381,11381,1621,368,391738,0 71,2,2024-09-07 09:53:51:066,775831,775831,0,0,35329639,0,4352 71,3,2024-09-07 09:53:51:750,1,613,3,0,644,6850,613,0 72,0,2024-09-07 09:53:51:022,159149,0.6,155505,0.7,303604,0.5,413085,2.00 72,1,2024-09-07 09:53:51:023,1075702,1075702,0,0,504346849819,5289184253,1060394,12790,2518,369,391819,0 72,2,2024-09-07 09:53:51:764,773296,773296,0,0,36951494,0,3983 72,3,2024-09-07 09:53:51:754,1,613,13,0,564,8969,613,0 73,0,2024-09-07 09:53:51:097,148748,0.4,152632,0.6,311907,0.4,406114,2.00 73,1,2024-09-07 09:53:50:771,1077060,1077060,0,0,505989309656,5258904018,1068649,7429,982,367,391858,0 73,2,2024-09-07 09:53:51:739,775013,775012,1,0,38663041,0,5027 73,3,2024-09-07 09:53:50:971,1,613,1,0,1091,8424,613,0 74,0,2024-09-07 09:53:51:322,155618,0.4,159374,0.6,304166,0.4,412555,2.00 74,1,2024-09-07 09:53:50:644,1076052,1076052,0,0,504668428386,5268391564,1064143,9792,2117,381,391762,0 74,2,2024-09-07 09:53:51:003,772180,772180,0,0,33607838,0,4253 74,3,2024-09-07 09:53:51:442,1,613,0,0,522,7477,613,0 75,0,2024-09-07 09:53:51:765,155224,0.5,154312,0.7,309574,0.5,413918,2.25 75,1,2024-09-07 09:53:51:589,1077019,1077019,0,0,505390079686,5265734118,1068391,7758,870,380,391739,0 75,2,2024-09-07 09:53:51:354,774861,774861,0,0,40797186,0,4766 75,3,2024-09-07 09:53:51:078,1,613,5,0,918,8265,613,0 76,0,2024-09-07 09:53:50:609,152625,0.6,151973,0.8,304007,0.6,406838,2.25 76,1,2024-09-07 09:53:50:807,1077091,1077091,0,0,504682273082,5261211946,1069753,6347,991,382,391790,0 76,2,2024-09-07 09:53:51:065,779075,779072,3,0,32899064,0,5265 76,3,2024-09-07 09:53:51:141,1,613,6,0,227,5543,613,0 77,0,2024-09-07 09:53:51:701,151821,0.5,152380,0.7,304507,0.5,404867,2.00 77,1,2024-09-07 09:53:50:826,1077032,1077032,0,0,505305239366,5269381001,1069040,7112,880,381,391869,0 77,2,2024-09-07 09:53:51:284,775624,775624,0,0,32036170,0,3890 77,3,2024-09-07 09:53:51:094,1,613,1,0,401,6857,613,0 78,0,2024-09-07 09:53:51:718,153363,0.4,152642,0.6,306189,0.4,405568,2.00 78,1,2024-09-07 09:53:50:610,1077613,1077613,0,0,505255060803,5267846829,1064771,9925,2917,367,391670,0 78,2,2024-09-07 09:53:51:404,773266,773253,13,0,29896752,0,8313 78,3,2024-09-07 09:53:51:147,1,613,15,0,311,5103,613,0 79,0,2024-09-07 09:53:51:351,150618,0.4,154263,0.6,316052,0.3,411896,2.00 79,1,2024-09-07 09:53:50:577,1080913,1080913,0,0,507266743391,5248811829,1073065,6488,1360,367,391682,0 79,2,2024-09-07 09:53:51:068,777505,777505,0,0,29111951,0,4195 79,3,2024-09-07 09:53:50:749,1,613,5,0,418,7182,613,0 80,0,2024-09-07 09:53:51:077,151805,0.6,155940,0.7,298097,0.6,403434,2.00 80,1,2024-09-07 09:53:51:629,1077086,1077086,0,0,505348332155,5260526372,1069926,6708,452,368,392269,0 80,2,2024-09-07 09:53:51:091,780056,780056,0,0,29753643,0,4433 80,3,2024-09-07 09:53:50:576,1,613,2,0,681,7415,613,0 81,0,2024-09-07 09:53:51:544,152688,0.5,156256,0.7,298320,0.5,404426,2.00 81,1,2024-09-07 09:53:51:650,1076378,1076378,0,0,505275926213,5277590381,1068209,7401,768,382,391885,0 81,2,2024-09-07 09:53:51:130,775507,775444,63,0,32952386,0,5932 81,3,2024-09-07 09:53:51:118,1,613,1,0,719,7025,613,0 82,0,2024-09-07 09:53:51:533,152645,0.4,152724,0.7,306225,0.4,405456,2.00 82,1,2024-09-07 09:53:50:590,1078577,1078573,0,4,505972199741,5257583438,1073000,4750,823,381,391768,4 82,2,2024-09-07 09:53:51:692,774113,774113,0,0,27887168,0,4484 82,3,2024-09-07 09:53:51:760,1,613,9,0,363,5718,613,0 83,0,2024-09-07 09:53:51:577,155046,0.5,154965,0.7,309662,0.5,411495,2.00 83,1,2024-09-07 09:53:50:554,1077459,1077459,0,0,505476553577,5267551545,1069867,6947,645,382,391709,0 83,2,2024-09-07 09:53:50:767,777426,777401,25,0,30062296,0,5612 83,3,2024-09-07 09:53:50:749,1,613,1,0,1260,7239,613,0 84,0,2024-09-07 09:53:51:802,151713,0.8,151736,0.9,303381,0.8,405067,2.25 84,1,2024-09-07 09:53:51:041,1075210,1075210,0,0,504029603638,5270376803,1062955,10582,1673,367,391967,0 84,2,2024-09-07 09:53:50:577,775572,775162,410,0,43881593,0,17037 84,3,2024-09-07 09:53:51:142,1,613,1,0,908,8145,613,0 85,0,2024-09-07 09:53:51:039,147792,0.6,147785,0.8,313686,0.6,404811,2.25 85,1,2024-09-07 09:53:50:567,1072282,1072282,0,0,502569838118,5305303495,1055958,13524,2800,381,392092,0 85,2,2024-09-07 09:53:50:870,774033,774033,0,0,37024773,0,4255 85,3,2024-09-07 09:53:50:685,1,613,0,0,789,7046,613,0 86,0,2024-09-07 09:53:50:920,152915,0.6,157186,0.8,300582,0.7,406640,2.25 86,1,2024-09-07 09:53:50:834,1075479,1075479,0,0,504340321047,5287098024,1061558,11234,2687,366,392169,0 86,2,2024-09-07 09:53:50:858,773177,773176,1,0,39293827,0,5004 86,3,2024-09-07 09:53:50:590,1,613,1,0,308,8653,613,0 87,0,2024-09-07 09:53:51:283,154726,0.7,154121,0.8,308325,0.9,412150,2.25 87,1,2024-09-07 09:53:50:766,1074925,1074925,0,0,504048092764,5279610445,1061539,11588,1798,366,392076,0 87,2,2024-09-07 09:53:51:066,773239,773233,6,0,35643762,0,6323 87,3,2024-09-07 09:53:51:802,1,613,1,0,473,8646,613,0 88,0,2024-09-07 09:53:51:501,155240,0.5,155801,0.6,311135,0.4,414354,1.75 88,1,2024-09-07 09:53:50:569,1073953,1073953,0,0,504021958916,5282855087,1058561,12347,3045,365,392084,0 88,2,2024-09-07 09:53:50:688,775253,775253,0,0,38585221,0,4465 88,3,2024-09-07 09:53:51:268,1,613,0,0,1080,9411,613,0 89,0,2024-09-07 09:53:51:794,158073,0.5,153325,0.6,302559,0.4,411720,1.75 89,1,2024-09-07 09:53:50:614,1073821,1073821,0,0,503957667339,5299326208,1060832,11044,1945,382,391866,0 89,2,2024-09-07 09:53:51:143,775450,775450,0,0,36389009,0,3173 89,3,2024-09-07 09:53:51:792,1,613,4,0,468,10138,613,0 90,0,2024-09-07 09:53:51:619,147701,0.5,151702,0.6,309555,0.4,403825,2.00 90,1,2024-09-07 09:53:50:606,1075678,1075678,0,0,504367068986,5281422211,1065580,9205,893,380,391825,0 90,2,2024-09-07 09:53:51:404,772836,772831,5,0,39893031,0,6370 90,3,2024-09-07 09:53:50:952,1,613,5,0,322,7359,613,0 91,0,2024-09-07 09:53:51:013,153696,0.4,149159,0.6,312198,0.4,409456,1.75 91,1,2024-09-07 09:53:50:571,1072962,1072962,0,0,503752160896,5307577373,1057100,12714,3148,381,392047,0 91,2,2024-09-07 09:53:51:331,772276,772276,0,0,34951943,0,4713 91,3,2024-09-07 09:53:50:614,1,613,1,0,216,5456,613,0 92,0,2024-09-07 09:53:51:465,157504,0.4,161076,0.6,307056,0.4,416462,1.75 92,1,2024-09-07 09:53:50:603,1076540,1076540,0,0,505769752932,5280703149,1068169,7083,1288,381,392136,0 92,2,2024-09-07 09:53:51:355,777004,777004,0,0,30626034,0,3259 92,3,2024-09-07 09:53:51:009,1,613,1,0,167,5228,613,0 93,0,2024-09-07 09:53:51:003,153300,0.4,157024,0.6,299891,0.4,406454,1.75 93,1,2024-09-07 09:53:50:807,1077074,1077074,0,0,505642459687,5274797292,1065536,9610,1928,365,392048,0 93,2,2024-09-07 09:53:50:939,777407,777407,0,0,36465467,0,4913 93,3,2024-09-07 09:53:51:410,1,613,6,0,294,5941,613,0 94,0,2024-09-07 09:53:51:616,151452,0.4,152572,0.5,305098,0.3,404995,1.75 94,1,2024-09-07 09:53:50:581,1077909,1077909,0,0,505772909226,5272086891,1070955,6519,435,381,391850,0 94,2,2024-09-07 09:53:50:761,776484,776456,28,0,31342534,0,6179 94,3,2024-09-07 09:53:51:689,1,613,2,0,576,7578,613,0 95,0,2024-09-07 09:53:51:343,152310,0.3,152289,0.5,304782,0.3,405982,1.75 95,1,2024-09-07 09:53:50:859,1078435,1078435,0,0,506368071332,5259866085,1069991,7693,751,365,391852,0 95,2,2024-09-07 09:53:51:016,770770,770770,0,0,30728424,0,3308 95,3,2024-09-07 09:53:51:711,1,613,12,0,718,9448,613,0 96,0,2024-09-07 09:53:51:107,155918,0.4,156263,0.5,312414,0.3,415770,1.75 96,1,2024-09-07 09:53:51:594,1077128,1077128,0,0,504950230920,5264778354,1069739,6115,1274,384,391964,0 96,2,2024-09-07 09:53:51:295,778144,778144,0,0,31943668,0,4180 96,3,2024-09-07 09:53:51:154,1,613,0,0,411,6994,613,0 97,0,2024-09-07 09:53:51:572,152166,0.3,152033,0.5,304876,0.3,404590,1.75 97,1,2024-09-07 09:53:50:769,1078438,1078438,0,0,506208284110,5251438104,1071505,5895,1038,367,392140,0 97,2,2024-09-07 09:53:50:626,778536,778536,0,0,31632780,0,4046 97,3,2024-09-07 09:53:50:581,1,613,1,0,242,6858,613,0 98,0,2024-09-07 09:53:51:726,152797,0.3,152955,0.4,306995,0.2,407888,1.50 98,1,2024-09-07 09:53:50:576,1077580,1077580,0,0,505352193980,5262463183,1071085,5659,836,381,391997,0 98,2,2024-09-07 09:53:50:777,775324,775324,0,0,30329765,0,4336 98,3,2024-09-07 09:53:50:700,1,613,10,0,840,9161,613,0 99,0,2024-09-07 09:53:51:449,153569,0.3,154398,0.5,307277,0.3,409440,1.75 99,1,2024-09-07 09:53:51:725,1078415,1078415,0,0,505351224700,5257078184,1072043,5429,943,380,392069,0 99,2,2024-09-07 09:53:51:415,772961,772961,0,0,37537170,0,4276 99,3,2024-09-07 09:53:50:595,1,613,6,0,1124,7788,613,0 100,0,2024-09-07 09:53:51:586,154565,0.7,154927,0.9,309749,0.7,413515,2.25 100,1,2024-09-07 09:53:50:617,1071865,1071865,0,0,502521728627,5310744435,1055837,12709,3319,378,391989,0 100,2,2024-09-07 09:53:51:849,772207,771818,389,0,40875923,0,16909 100,3,2024-09-07 09:53:51:740,1,613,10,0,627,10196,613,0 101,0,2024-09-07 09:53:51:727,157508,1.1,153671,1.0,300623,1.1,410188,2.25 101,1,2024-09-07 09:53:50:559,1074069,1074069,0,0,504369037096,5298273806,1059919,11621,2529,368,391847,0 101,2,2024-09-07 09:53:51:760,772224,772224,0,0,40862243,0,4871 101,3,2024-09-07 09:53:50:950,1,613,5,0,1250,9068,613,0 102,0,2024-09-07 09:53:50:964,149976,0.6,154415,0.8,313802,0.6,410633,2.25 102,1,2024-09-07 09:53:51:142,1073856,1073856,0,0,504119593347,5293989626,1059724,11931,2201,369,391984,0 102,2,2024-09-07 09:53:51:737,775831,775777,54,0,34957999,0,6768 102,3,2024-09-07 09:53:51:616,1,613,1,0,466,6972,613,0 103,0,2024-09-07 09:53:51:588,157764,0.6,157740,0.7,297180,0.5,409460,2.00 103,1,2024-09-07 09:53:51:625,1072591,1072591,0,0,504235133698,5323864731,1055500,13488,3603,381,392077,0 103,2,2024-09-07 09:53:50:586,773082,773082,0,0,37786432,0,3766 103,3,2024-09-07 09:53:50:757,1,613,1,0,916,7143,613,0 104,0,2024-09-07 09:53:51:085,153654,0.7,153731,0.9,306810,0.7,410630,2.25 104,1,2024-09-07 09:53:51:730,1075249,1075249,0,0,504365461262,5298123023,1059710,12695,2844,365,392168,0 104,2,2024-09-07 09:53:51:687,770429,770429,0,0,37396528,0,4161 104,3,2024-09-07 09:53:51:416,1,613,65,0,1245,10808,613,0 105,0,2024-09-07 09:53:51:165,153181,0.9,149312,1.1,312953,1.0,411510,2.50 105,1,2024-09-07 09:53:50:554,1076561,1076561,0,0,505164891632,5291309007,1062987,11631,1943,364,392009,0 105,2,2024-09-07 09:53:51:336,775314,775314,0,0,37745031,0,4360 105,3,2024-09-07 09:53:51:307,1,613,1,0,573,9370,613,0 106,0,2024-09-07 09:53:51:004,147778,1.0,151434,1.0,310078,1.1,405193,2.50 106,1,2024-09-07 09:53:51:750,1075443,1075443,0,0,503594321235,5284081697,1060774,12774,1895,368,391914,0 106,2,2024-09-07 09:53:50:758,775110,775110,0,0,35430207,0,3331 106,3,2024-09-07 09:53:50:676,1,613,1,0,1224,8442,613,0 107,0,2024-09-07 09:53:51:100,152038,0.9,152092,0.9,304098,1.1,405550,2.25 107,1,2024-09-07 09:53:50:589,1072711,1072711,0,0,503114578237,5316099280,1055312,15265,2134,381,392234,0 107,2,2024-09-07 09:53:51:296,772991,772990,1,0,38572735,0,5024 107,3,2024-09-07 09:53:51:755,1,613,1,0,733,9390,613,0 108,0,2024-09-07 09:53:51:783,152615,0.4,153151,0.6,305137,0.4,407056,1.75 108,1,2024-09-07 09:53:51:295,1076786,1076786,0,0,505252355011,5267578142,1068085,7705,996,367,391894,0 108,2,2024-09-07 09:53:51:754,771574,771574,0,0,32957677,0,4246 108,3,2024-09-07 09:53:51:330,1,613,1,0,749,10902,613,0 109,0,2024-09-07 09:53:51:755,156802,0.4,155520,0.6,311637,0.3,416372,1.75 109,1,2024-09-07 09:53:50:587,1074104,1074104,0,0,504514021896,5290206596,1064619,8205,1280,382,392132,0 109,2,2024-09-07 09:53:50:921,774875,774875,0,0,33898957,0,3617 109,3,2024-09-07 09:53:51:141,1,613,0,0,630,7359,613,0 110,0,2024-09-07 09:53:51:750,151835,0.4,147605,0.6,309209,0.4,405189,1.75 110,1,2024-09-07 09:53:51:643,1078643,1078643,0,0,505764558555,5248797848,1070598,6118,1927,368,392045,0 110,2,2024-09-07 09:53:51:304,778489,778489,0,0,31422756,0,4067 110,3,2024-09-07 09:53:50:698,1,613,6,0,722,8079,613,0 111,0,2024-09-07 09:53:51:444,153460,0.4,152649,0.5,305197,0.3,406733,1.75 111,1,2024-09-07 09:53:51:003,1079651,1079651,0,0,506969766448,5255768127,1074168,5102,381,380,391690,0 111,2,2024-09-07 09:53:51:120,776104,776104,0,0,31896374,0,4823 111,3,2024-09-07 09:53:50:916,1,613,67,0,379,6618,613,0 112,0,2024-09-07 09:53:50:916,153495,0.3,153023,0.4,306579,0.2,406720,1.50 112,1,2024-09-07 09:53:50:824,1078465,1078465,0,0,505327662899,5246494063,1071260,5980,1225,380,391624,0 112,2,2024-09-07 09:53:51:133,772224,772223,1,0,30177200,0,5036 112,3,2024-09-07 09:53:50:593,1,613,2,0,282,5749,613,0 113,0,2024-09-07 09:53:50:872,155126,0.3,155082,0.5,310534,0.2,413847,1.50 113,1,2024-09-07 09:53:51:696,1080869,1080869,0,0,507821441366,5238403395,1074636,5285,948,365,391664,0 113,2,2024-09-07 09:53:51:304,779917,779917,0,0,28656081,0,3813 113,3,2024-09-07 09:53:50:684,1,613,28,0,510,6561,613,0 114,0,2024-09-07 09:53:50:875,153366,0.3,154295,0.5,307689,0.2,410471,1.75 114,1,2024-09-07 09:53:50:716,1078919,1078919,0,0,505971497198,5252588310,1070977,6195,1747,381,391565,0 114,2,2024-09-07 09:53:50:874,779304,779303,1,0,29867380,0,5069 114,3,2024-09-07 09:53:51:277,1,613,1,0,395,4881,613,0 115,0,2024-09-07 09:53:50:557,154533,0.3,155171,0.4,308923,0.2,410885,1.50 115,1,2024-09-07 09:53:50:580,1079122,1079122,0,0,506132486761,5256533698,1070865,6740,1517,382,391757,0 115,2,2024-09-07 09:53:51:124,777141,777141,0,0,29928988,0,4382 115,3,2024-09-07 09:53:51:002,1,613,0,0,167,3729,613,0 116,0,2024-09-07 09:53:51:856,152832,0.6,152653,0.8,306048,0.6,408942,2.00 116,1,2024-09-07 09:53:50:821,1072862,1072862,0,0,503246097384,5302535290,1060202,9569,3091,380,392089,0 116,2,2024-09-07 09:53:51:783,773935,773935,0,0,37504792,0,4475 116,3,2024-09-07 09:53:50:913,1,613,1,0,448,7807,613,0 117,0,2024-09-07 09:53:51:071,154846,0.7,154186,0.8,309089,0.7,413315,2.00 117,1,2024-09-07 09:53:51:607,1075042,1075042,0,0,503684718240,5273907124,1063254,10265,1523,369,392429,0 117,2,2024-09-07 09:53:51:120,775825,775825,0,0,33898748,0,4303 117,3,2024-09-07 09:53:51:065,1,613,3,0,490,7734,613,0 118,0,2024-09-07 09:53:51:764,150576,0.5,154640,0.7,315612,0.5,412907,2.00 118,1,2024-09-07 09:53:50:590,1074242,1074242,0,0,503444852117,5291948197,1058394,12224,3624,366,392054,0 118,2,2024-09-07 09:53:51:595,775043,775043,0,0,36196347,0,2842 118,3,2024-09-07 09:53:51:772,1,613,1,0,289,7193,613,0 119,0,2024-09-07 09:53:51:358,153488,0.8,154136,0.8,307477,0.9,410216,2.25 119,1,2024-09-07 09:53:50:551,1074867,1074867,0,0,504399285012,5289156645,1060170,12185,2512,367,391857,0 119,2,2024-09-07 09:53:51:261,776732,776732,0,0,34178168,0,4309 119,3,2024-09-07 09:53:51:325,1,613,1,0,1358,11123,613,0 120,0,2024-09-07 09:53:51:571,151328,0.6,151241,0.8,303870,0.7,404891,2.25 120,1,2024-09-07 09:53:50:864,1075656,1075656,0,0,504413439892,5289863589,1063940,10574,1142,367,392144,0 120,2,2024-09-07 09:53:50:780,775022,775019,3,0,40661134,0,5363 120,3,2024-09-07 09:53:51:297,1,613,3,0,279,7363,613,0 121,0,2024-09-07 09:53:51:716,153470,1.0,152993,1.0,306170,1.3,408550,2.25 121,1,2024-09-07 09:53:51:660,1075501,1075501,0,0,504626965502,5279867896,1064203,9742,1556,366,391840,0 121,2,2024-09-07 09:53:51:134,771265,771265,0,0,37036570,0,4157 121,3,2024-09-07 09:53:50:729,1,613,4,0,387,8080,613,0 122,0,2024-09-07 09:53:51:758,155328,0.8,151124,0.9,316564,0.9,416301,2.00 122,1,2024-09-07 09:53:50:876,1074213,1074213,0,0,504083801041,5290612666,1059200,12598,2415,365,392130,0 122,2,2024-09-07 09:53:51:319,776745,776670,75,0,40855563,0,5989 122,3,2024-09-07 09:53:50:594,1,613,2,0,512,9847,613,0 123,0,2024-09-07 09:53:50:973,151774,0.9,147609,0.9,309270,1.0,405110,2.25 123,1,2024-09-07 09:53:50:616,1074919,1074919,0,0,505108243327,5310025710,1058066,14181,2672,369,392039,0 123,2,2024-09-07 09:53:51:031,775144,775143,1,0,34918202,0,5215 123,3,2024-09-07 09:53:51:139,1,613,11,0,478,6961,613,0 124,0,2024-09-07 09:53:51:000,156227,0.3,156173,0.5,294528,0.3,405435,1.75 124,1,2024-09-07 09:53:51:022,1078826,1078826,0,0,505383249989,5251726550,1071083,6567,1176,365,392178,0 124,2,2024-09-07 09:53:51:010,778267,778214,53,0,31291375,0,6487 124,3,2024-09-07 09:53:50:759,1,613,2,0,490,6022,613,0 125,0,2024-09-07 09:53:51:522,151973,0.4,152004,0.5,304962,0.3,405969,1.75 125,1,2024-09-07 09:53:50:861,1075429,1075429,0,0,505252561253,5271957911,1067357,6919,1153,382,391968,0 125,2,2024-09-07 09:53:51:125,773997,773997,0,0,31355391,0,4534 125,3,2024-09-07 09:53:51:134,1,613,3,0,709,7009,613,0 126,0,2024-09-07 09:53:51:443,156132,0.4,160630,0.5,307135,0.4,416340,1.75 126,1,2024-09-07 09:53:50:571,1079592,1079592,0,0,506539860142,5241920883,1074072,5073,447,365,391987,0 126,2,2024-09-07 09:53:50:617,779097,779097,0,0,32644327,0,4539 126,3,2024-09-07 09:53:50:912,1,613,9,0,268,6660,613,0 127,0,2024-09-07 09:53:51:591,152613,0.3,152640,0.5,304628,0.3,404976,1.75 127,1,2024-09-07 09:53:50:578,1077712,1077712,0,0,505498252926,5257858285,1067029,9045,1638,364,392187,0 127,2,2024-09-07 09:53:50:637,777996,777992,4,0,30801753,0,5305 127,3,2024-09-07 09:53:51:271,1,613,5,0,968,5992,613,0 128,0,2024-09-07 09:53:51:613,153808,0.3,153909,0.4,307465,0.2,408628,1.50 128,1,2024-09-07 09:53:51:613,1077492,1077492,0,0,505454776230,5256085164,1069073,7454,965,367,392423,0 128,2,2024-09-07 09:53:51:383,777469,777469,0,0,28598995,0,3171 128,3,2024-09-07 09:53:50:767,1,613,1,0,1082,9633,613,0 129,0,2024-09-07 09:53:51:001,154816,0.3,153993,0.5,308597,0.3,409962,1.50 129,1,2024-09-07 09:53:50:569,1074468,1074468,0,0,504049797029,5281217422,1063993,8453,2022,379,391962,0 129,2,2024-09-07 09:53:50:688,774985,774981,4,0,31142748,0,5335 129,3,2024-09-07 09:53:50:688,1,613,0,0,506,8322,613,0 130,0,2024-09-07 09:53:51:722,155739,0.4,155355,0.5,311351,0.4,414767,1.75 130,1,2024-09-07 09:53:50:585,1078992,1078992,0,0,506329968007,5257310815,1073543,5049,400,381,391825,0 130,2,2024-09-07 09:53:51:126,776237,776237,0,0,31392431,0,4067 130,3,2024-09-07 09:53:51:294,1,613,22,0,960,8337,613,0 131,0,2024-09-07 09:53:52:002,154223,0.3,154700,0.5,310295,0.3,411767,1.75 131,1,2024-09-07 09:53:51:820,1077629,1077629,0,0,505181093640,5264224027,1070394,6037,1198,381,391865,0 131,2,2024-09-07 09:53:50:568,777478,777478,0,0,29136927,0,3979 131,3,2024-09-07 09:53:51:690,1,613,3,0,392,7753,613,0 132,0,2024-09-07 09:53:51:458,154484,0.5,155387,0.6,309507,0.4,411613,2.00 132,1,2024-09-07 09:53:50:649,1073337,1073337,0,0,503218616370,5296812962,1057888,12745,2704,381,392532,0 132,2,2024-09-07 09:53:50:728,774983,774966,17,0,38030642,0,6451 132,3,2024-09-07 09:53:51:699,1,613,9,0,1298,10904,613,0 133,0,2024-09-07 09:53:51:532,148887,0.4,152320,0.6,312300,0.4,407008,2.00 133,1,2024-09-07 09:53:50:586,1073958,1073958,0,0,503839619946,5306645016,1059872,12322,1764,383,391914,0 133,2,2024-09-07 09:53:51:090,775603,775553,50,0,39021675,0,6861 133,3,2024-09-07 09:53:51:297,1,613,3,0,528,7345,613,0 134,0,2024-09-07 09:53:51:020,154863,0.5,155078,0.7,310120,0.5,412942,2.00 134,1,2024-09-07 09:53:50:591,1074949,1074949,0,0,504048843086,5285165895,1061159,11299,2491,366,391781,0 134,2,2024-09-07 09:53:51:757,773023,772999,24,0,36041083,0,6207 134,3,2024-09-07 09:53:50:752,1,613,3,0,739,7462,613,0 135,0,2024-09-07 09:53:51:102,150083,0.8,150090,0.8,318749,0.9,411094,2.25 135,1,2024-09-07 09:53:51:589,1074132,1074132,0,0,504628787368,5303717663,1061096,11395,1641,380,391974,0 135,2,2024-09-07 09:53:50:688,777035,777035,0,0,37007905,0,4503 135,3,2024-09-07 09:53:51:003,1,613,2,0,900,5927,613,0 136,0,2024-09-07 09:53:51:635,153533,0.6,154029,0.8,305651,0.6,408383,2.00 136,1,2024-09-07 09:53:51:463,1075492,1075492,0,0,504400273699,5285744832,1063581,10521,1390,381,392135,0 136,2,2024-09-07 09:53:51:135,778105,778090,15,0,36547211,0,6007 136,3,2024-09-07 09:53:51:110,1,613,1,0,637,6983,613,0 137,0,2024-09-07 09:53:50:948,157084,0.6,153094,0.7,299901,0.6,407340,2.00 137,1,2024-09-07 09:53:50:578,1074529,1074529,0,0,504118936736,5287001346,1057981,13159,3389,366,391898,0 137,2,2024-09-07 09:53:51:708,774846,774846,0,0,37621284,0,3185 137,3,2024-09-07 09:53:50:776,1,613,2,0,484,8275,613,0 138,0,2024-09-07 09:53:51:739,151989,0.7,152454,0.8,305257,0.8,405633,2.00 138,1,2024-09-07 09:53:51:687,1075078,1075078,0,0,505066511811,5296841681,1060294,12514,2270,368,391954,0 138,2,2024-09-07 09:53:50:592,770324,770324,0,0,35687674,0,4988 138,3,2024-09-07 09:53:50:612,1,613,0,0,1200,9557,613,0 139,0,2024-09-07 09:53:51:392,154623,1.0,155337,0.9,310267,1.4,414642,2.25 139,1,2024-09-07 09:53:50:576,1070437,1070437,0,0,501289779631,5314520674,1052044,14567,3826,380,392109,0 139,2,2024-09-07 09:53:50:693,771702,771672,30,0,41258655,0,5997 139,3,2024-09-07 09:53:51:662,1,613,1,0,432,7191,613,0 140,0,2024-09-07 09:53:51:589,152474,0.3,151675,0.5,304234,0.2,405526,1.75 140,1,2024-09-07 09:53:51:536,1081198,1081198,0,0,507876442485,5233310767,1076225,4425,548,364,391606,0 140,2,2024-09-07 09:53:50:687,778731,778730,1,0,29112273,0,5036 140,3,2024-09-07 09:53:50:768,1,613,0,0,575,6017,613,0 141,0,2024-09-07 09:53:51:698,152974,0.3,157242,0.5,300535,0.2,406499,1.75 141,1,2024-09-07 09:53:50:863,1079662,1079662,0,0,506022638798,5254778603,1071462,7007,1193,379,391614,0 141,2,2024-09-07 09:53:51:688,776630,776619,11,0,31194400,0,5369 141,3,2024-09-07 09:53:51:043,1,613,6,0,391,6996,613,0 142,0,2024-09-07 09:53:51:326,153685,0.3,152869,0.5,305894,0.2,407660,1.50 142,1,2024-09-07 09:53:50:592,1077726,1077726,0,0,505452879271,5266692843,1071007,6211,508,382,392102,0 142,2,2024-09-07 09:53:51:299,771707,771675,32,0,31650071,0,6028 142,3,2024-09-07 09:53:51:746,1,613,0,0,484,6428,613,0 143,0,2024-09-07 09:53:51:386,155078,0.4,154887,0.5,310931,0.4,413899,1.75 143,1,2024-09-07 09:53:50:558,1079649,1079649,0,0,506221017317,5249535933,1073179,5902,568,367,391900,0 143,2,2024-09-07 09:53:50:768,777824,777824,0,0,31618993,0,3123 143,3,2024-09-07 09:53:51:144,1,613,1,0,462,7331,613,0 144,0,2024-09-07 09:53:51:529,148560,0.6,152949,0.8,311139,0.6,406999,2.00 144,1,2024-09-07 09:53:50:564,1074561,1074561,0,0,504022264224,5287244752,1063961,8606,1994,381,391733,0 144,2,2024-09-07 09:53:51:755,778471,778471,0,0,30977884,0,4443 144,3,2024-09-07 09:53:51:738,1,613,1,0,249,6000,613,0 145,0,2024-09-07 09:53:51:379,148949,0.6,148906,0.8,315982,0.5,406795,2.25 145,1,2024-09-07 09:53:50:553,1073436,1073436,0,0,504233994530,5303781664,1059404,11358,2674,382,391781,0 145,2,2024-09-07 09:53:51:429,773700,773618,82,0,36957138,0,7814 145,3,2024-09-07 09:53:50:894,1,613,4,0,622,8081,613,0 146,0,2024-09-07 09:53:51:728,153207,0.5,152311,0.7,306392,0.5,407212,2.00 146,1,2024-09-07 09:53:51:619,1074838,1074838,0,0,503931632817,5301920108,1057296,13060,4482,367,391770,0 146,2,2024-09-07 09:53:51:712,772837,772831,6,0,35224935,0,5151 146,3,2024-09-07 09:53:51:278,1,613,4,0,1520,9946,613,0 147,0,2024-09-07 09:53:51:713,154844,0.6,154547,0.7,308955,0.5,412607,2.00 147,1,2024-09-07 09:53:51:377,1078716,1078716,0,0,505737673395,5253465568,1070216,7533,967,367,391791,0 147,2,2024-09-07 09:53:51:011,774316,774316,0,0,31180931,0,2968 147,3,2024-09-07 09:53:50:915,1,613,3,0,1626,9622,613,0 0,0,2024-09-07 09:54:01:720,148108,0.6,148133,0.7,314474,0.6,406081,2.00 0,1,2024-09-07 09:54:00:802,1077180,1077180,0,0,505714016934,5291604495,1068630,7602,948,368,391896,0 0,2,2024-09-07 09:54:01:066,777998,777998,0,0,31188378,0,4480 0,3,2024-09-07 09:54:00:978,1,614,2,0,431,8711,614,0 1,0,2024-09-07 09:54:01:877,153694,0.8,152593,0.9,306631,0.9,410271,2.00 1,1,2024-09-07 09:54:00:576,1076639,1076639,0,0,504840698148,5285664027,1067157,7991,1491,370,391859,0 1,2,2024-09-07 09:54:00:651,773963,773963,0,0,30612231,0,3380 1,3,2024-09-07 09:54:01:301,1,614,0,0,269,7577,614,0 2,0,2024-09-07 09:54:01:569,156154,0.5,155843,0.7,311397,0.6,415538,2.00 2,1,2024-09-07 09:54:00:859,1079921,1079921,0,0,506769378823,5267363847,1073743,5227,951,379,391745,0 2,2,2024-09-07 09:54:01:266,778328,778328,0,0,29239236,0,3594 2,3,2024-09-07 09:54:00:690,1,614,3,0,357,5450,614,0 3,0,2024-09-07 09:54:01:751,152533,0.4,152507,0.6,304520,0.4,406179,2.00 3,1,2024-09-07 09:54:01:618,1078462,1078462,0,0,506268037127,5263595694,1070849,6908,705,379,391716,0 3,2,2024-09-07 09:54:01:145,779303,779280,23,0,30329724,0,5851 3,3,2024-09-07 09:54:01:751,1,614,1,0,484,4622,614,0 4,0,2024-09-07 09:54:01:799,147836,0.4,151933,0.5,309692,0.3,405131,1.75 4,1,2024-09-07 09:54:00:592,1073667,1073667,0,0,503600900879,5327187114,1055850,14063,3754,369,391992,0 4,2,2024-09-07 09:54:01:026,774794,774794,0,0,37259243,0,4534 4,3,2024-09-07 09:54:01:027,1,614,1,0,448,7385,614,0 5,0,2024-09-07 09:54:01:428,152690,0.4,152612,0.5,305326,0.3,406405,1.75 5,1,2024-09-07 09:54:00:758,1074805,1074805,0,0,504383441474,5322968366,1058446,12231,4128,367,392005,0 5,2,2024-09-07 09:54:01:850,769683,769683,0,0,36009051,0,3582 5,3,2024-09-07 09:54:01:734,1,614,1,0,457,8425,614,0 6,0,2024-09-07 09:54:00:941,156533,0.4,156181,0.6,312409,0.4,416745,2.00 6,1,2024-09-07 09:54:00:748,1077664,1077664,0,0,505267356582,5275853559,1066585,9505,1574,379,391702,0 6,2,2024-09-07 09:54:01:127,778412,778394,18,0,35014869,0,5535 6,3,2024-09-07 09:54:01:277,1,614,1,0,710,7404,614,0 7,0,2024-09-07 09:54:01:545,151552,0.5,152612,0.7,303021,0.5,404207,2.00 7,1,2024-09-07 09:54:00:850,1075110,1075110,0,0,504280721938,5307683521,1058781,12563,3766,382,391747,0 7,2,2024-09-07 09:54:00:771,778111,778111,0,0,33708958,0,4791 7,3,2024-09-07 09:54:00:851,1,614,1,0,552,7104,614,0 8,0,2024-09-07 09:54:01:415,153648,0.4,153377,0.5,307163,0.3,409024,1.75 8,1,2024-09-07 09:54:01:026,1074731,1074731,0,0,504611676184,5305509052,1057980,13162,3589,366,392853,0 8,2,2024-09-07 09:54:00:799,772178,772176,2,0,40026615,0,5112 8,3,2024-09-07 09:54:00:600,1,614,13,0,772,9630,614,0 9,0,2024-09-07 09:54:01:111,153521,0.4,149336,0.5,312359,0.3,409117,1.75 9,1,2024-09-07 09:54:00:551,1074455,1074455,0,0,504281449141,5314190380,1057687,13538,3230,369,392001,0 9,2,2024-09-07 09:54:01:092,772343,772342,1,0,37751252,0,5281 9,3,2024-09-07 09:54:01:767,1,614,6,0,1273,10299,614,0 10,0,2024-09-07 09:54:01:604,155374,0.3,154663,0.5,310450,0.3,413811,1.75 10,1,2024-09-07 09:54:00:583,1076361,1076361,0,0,504783466846,5295096000,1060628,12669,3064,381,391981,0 10,2,2024-09-07 09:54:00:764,776880,776880,0,0,40636015,0,4713 10,3,2024-09-07 09:54:00:877,1,614,3,0,669,6846,614,0 11,0,2024-09-07 09:54:01:009,154131,0.4,149518,0.6,312898,0.4,411712,1.75 11,1,2024-09-07 09:54:00:575,1077553,1077553,0,0,505255376008,5299519786,1061597,11653,4303,383,391766,0 11,2,2024-09-07 09:54:01:128,776927,776927,0,0,35881691,0,4698 11,3,2024-09-07 09:54:01:303,1,614,2,0,843,7870,614,0 12,0,2024-09-07 09:54:00:950,155579,0.4,155394,0.5,310424,0.4,412743,1.75 12,1,2024-09-07 09:54:00:934,1077813,1077813,0,0,505471504639,5269511117,1069429,7375,1009,370,391960,0 12,2,2024-09-07 09:54:01:541,775135,775135,0,0,34476159,0,4390 12,3,2024-09-07 09:54:01:060,1,614,1,0,386,7639,614,0 13,0,2024-09-07 09:54:01:354,153902,0.4,153977,0.5,307057,0.3,409456,1.75 13,1,2024-09-07 09:54:01:553,1075188,1075188,0,0,505103597553,5306807888,1064288,8510,2390,382,391803,0 13,2,2024-09-07 09:54:00:599,778119,778119,0,0,30870504,0,3287 13,3,2024-09-07 09:54:01:767,1,614,3,0,522,8018,614,0 14,0,2024-09-07 09:54:00:571,154832,0.4,155952,0.6,309473,0.3,412524,1.75 14,1,2024-09-07 09:54:01:560,1082533,1082533,0,0,507536290116,5250070701,1074070,7334,1129,364,391673,0 14,2,2024-09-07 09:54:00:767,775613,775583,30,0,32233342,0,6104 14,3,2024-09-07 09:54:01:126,1,614,0,0,1168,6654,614,0 15,0,2024-09-07 09:54:01:552,155621,0.4,154666,0.6,309992,0.3,413998,1.75 15,1,2024-09-07 09:54:01:609,1079322,1079322,0,0,506490635204,5272697605,1070247,7162,1913,381,391619,0 15,2,2024-09-07 09:54:01:002,779114,779114,0,0,27960805,0,3622 15,3,2024-09-07 09:54:01:406,1,614,5,0,1126,8125,614,0 16,0,2024-09-07 09:54:00:974,153189,0.6,153959,0.8,307150,0.6,409259,2.00 16,1,2024-09-07 09:54:00:564,1078876,1078876,0,0,505120854336,5278894229,1069431,8001,1444,370,392194,0 16,2,2024-09-07 09:54:01:447,777046,777046,0,0,31783164,0,4719 16,3,2024-09-07 09:54:01:143,1,614,1,0,358,7620,614,0 17,0,2024-09-07 09:54:01:791,157025,0.6,153076,0.8,299792,0.6,407661,2.00 17,1,2024-09-07 09:54:00:586,1077064,1077064,0,0,505479958047,5299245773,1066764,8528,1772,368,392075,0 17,2,2024-09-07 09:54:01:683,780237,780236,1,0,33075854,0,5050 17,3,2024-09-07 09:54:00:604,1,614,5,0,518,8842,614,0 18,0,2024-09-07 09:54:00:940,151589,0.6,152578,0.8,303592,0.6,405866,2.25 18,1,2024-09-07 09:54:01:651,1081547,1081547,0,0,506757803737,5254074387,1074479,5811,1257,367,391725,0 18,2,2024-09-07 09:54:01:759,775466,775466,0,0,29333430,0,3541 18,3,2024-09-07 09:54:00:900,1,614,1,0,1059,5594,614,0 19,0,2024-09-07 09:54:01:546,155826,0.6,156147,0.8,311283,0.6,414014,2.00 19,1,2024-09-07 09:54:00:566,1081418,1081418,0,0,507385779595,5256849716,1073612,6673,1133,365,391777,0 19,2,2024-09-07 09:54:01:751,780820,780820,0,0,27803538,0,3988 19,3,2024-09-07 09:54:01:129,1,614,6,0,524,4646,614,0 20,0,2024-09-07 09:54:01:396,152055,0.6,151972,0.7,303707,0.6,404956,2.00 20,1,2024-09-07 09:54:00:582,1076807,1076807,0,0,505755802078,5298559869,1066008,9289,1510,369,391922,0 20,2,2024-09-07 09:54:00:928,778367,778367,0,0,34858629,0,4321 20,3,2024-09-07 09:54:00:590,1,614,2,0,468,9793,614,0 21,0,2024-09-07 09:54:01:214,152884,0.5,152961,0.6,305684,0.4,405769,2.00 21,1,2024-09-07 09:54:01:561,1075051,1075051,0,0,503657014200,5314819345,1058172,13043,3836,368,392016,0 21,2,2024-09-07 09:54:01:068,771276,770799,477,0,45294706,0,17074 21,3,2024-09-07 09:54:01:409,1,614,15,0,713,8724,614,0 22,0,2024-09-07 09:54:01:717,152645,0.5,153236,0.6,305936,0.4,405690,2.00 22,1,2024-09-07 09:54:01:025,1075695,1075695,0,0,503374694873,5296659820,1057877,14278,3540,382,391822,0 22,2,2024-09-07 09:54:00:762,771427,771401,26,0,32992320,0,6328 22,3,2024-09-07 09:54:01:066,1,614,1,0,228,5274,614,0 23,0,2024-09-07 09:54:01:366,155090,0.5,154896,0.6,309926,0.4,413638,2.00 23,1,2024-09-07 09:54:01:002,1076032,1076032,0,0,505580790874,5325098066,1056550,12904,6578,365,391690,0 23,2,2024-09-07 09:54:01:102,779140,779140,0,0,31778990,0,3773 23,3,2024-09-07 09:54:01:756,1,614,1,0,855,8894,614,0 24,0,2024-09-07 09:54:00:891,154245,0.4,153425,0.6,308515,0.4,409318,1.75 24,1,2024-09-07 09:54:00:600,1076686,1076686,0,0,504525000815,5280301063,1066557,8419,1710,367,392269,0 24,2,2024-09-07 09:54:01:075,777903,777900,3,0,38457182,0,6294 24,3,2024-09-07 09:54:01:686,1,614,1,0,468,7875,614,0 25,0,2024-09-07 09:54:01:355,158314,0.4,154130,0.6,302769,0.4,412052,2.00 25,1,2024-09-07 09:54:00:565,1074573,1074573,0,0,503799204245,5321806289,1055801,15058,3714,369,391928,0 25,2,2024-09-07 09:54:01:605,774281,774281,0,0,39006277,0,3978 25,3,2024-09-07 09:54:01:003,1,614,7,0,532,6600,614,0 26,0,2024-09-07 09:54:01:727,152629,0.4,149197,0.6,313303,0.3,407940,1.75 26,1,2024-09-07 09:54:01:541,1078263,1078263,0,0,505620370318,5291845475,1063926,11804,2533,380,391758,0 26,2,2024-09-07 09:54:00:860,774431,774431,0,0,41611768,0,4689 26,3,2024-09-07 09:54:01:715,1,614,1,0,796,7584,614,0 27,0,2024-09-07 09:54:01:722,155027,0.4,155726,0.6,309568,0.3,413389,2.25 27,1,2024-09-07 09:54:01:679,1079412,1079412,0,0,506679462345,5279090758,1069010,8793,1609,381,391626,0 27,2,2024-09-07 09:54:00:868,772626,772561,65,0,36425517,0,5699 27,3,2024-09-07 09:54:01:018,1,614,0,0,564,5251,614,0 28,0,2024-09-07 09:54:01:396,155565,0.4,155738,0.6,311564,0.3,414770,1.75 28,1,2024-09-07 09:54:00:797,1079870,1079870,0,0,506836439792,5281542203,1070928,7051,1891,382,391904,0 28,2,2024-09-07 09:54:01:775,778291,778291,0,0,31203474,0,2915 28,3,2024-09-07 09:54:01:780,1,614,1,0,502,6076,614,0 29,0,2024-09-07 09:54:01:363,158441,0.4,154328,0.6,302822,0.4,412975,1.75 29,1,2024-09-07 09:54:01:571,1082908,1082908,0,0,507847854628,5250487866,1076547,5585,776,367,391809,0 29,2,2024-09-07 09:54:00:861,777188,777188,0,0,30309575,0,4986 29,3,2024-09-07 09:54:00:964,1,614,1,0,459,6526,614,0 30,0,2024-09-07 09:54:01:469,151710,0.5,147634,0.7,309262,0.4,404074,2.00 30,1,2024-09-07 09:54:00:578,1081670,1081670,0,0,507548997338,5263535068,1074095,6664,911,380,391672,0 30,2,2024-09-07 09:54:01:280,778304,778304,0,0,28931948,0,4192 30,3,2024-09-07 09:54:00:585,1,614,80,0,519,5707,614,0 31,0,2024-09-07 09:54:01:760,153430,0.4,154314,0.6,307592,0.4,410942,1.75 31,1,2024-09-07 09:54:00:565,1085309,1085309,0,0,508932160044,5224609119,1078849,5386,1074,356,391712,0 31,2,2024-09-07 09:54:01:278,773285,773285,0,0,32446739,0,4470 31,3,2024-09-07 09:54:01:712,1,614,1,0,239,5256,614,0 32,0,2024-09-07 09:54:01:421,156135,0.3,157345,0.5,312991,0.3,417016,1.75 32,1,2024-09-07 09:54:00:814,1081528,1081528,0,0,507127551386,5267861853,1075348,5462,718,381,391646,0 32,2,2024-09-07 09:54:00:935,779630,779630,0,0,28656721,0,3922 32,3,2024-09-07 09:54:01:017,1,614,1,0,304,4939,614,0 33,0,2024-09-07 09:54:01:493,153099,0.3,152751,0.4,305814,0.2,407132,1.50 33,1,2024-09-07 09:54:00:575,1081802,1081802,0,0,508260031759,5262701001,1073351,7178,1273,368,391730,0 33,2,2024-09-07 09:54:00:768,779343,779308,35,0,31787330,0,7012 33,3,2024-09-07 09:54:00:894,1,614,3,0,329,5340,614,0 34,0,2024-09-07 09:54:00:954,152468,0.3,156652,0.5,299603,0.2,404479,1.75 34,1,2024-09-07 09:54:01:061,1083534,1083534,0,0,509134950334,5235900218,1080572,2852,110,367,391637,0 34,2,2024-09-07 09:54:00:770,778380,778380,0,0,30316463,0,4562 34,3,2024-09-07 09:54:01:688,1,614,1,0,541,5519,614,0 35,0,2024-09-07 09:54:00:864,151739,0.3,152551,0.5,306037,0.2,406810,1.75 35,1,2024-09-07 09:54:01:067,1079666,1079666,0,0,506947591159,5259797769,1071556,6587,1523,382,391769,0 35,2,2024-09-07 09:54:01:583,773009,773009,0,0,33178733,0,4055 35,3,2024-09-07 09:54:00:912,1,614,1,0,466,5708,614,0 36,0,2024-09-07 09:54:01:516,156780,0.5,156864,0.6,313170,0.4,417480,2.00 36,1,2024-09-07 09:54:00:587,1078903,1078903,0,0,506461010276,5286921606,1065321,11342,2240,366,391759,0 36,2,2024-09-07 09:54:01:755,779047,779047,0,0,34780600,0,3875 36,3,2024-09-07 09:54:00:863,1,614,1,0,556,8298,614,0 37,0,2024-09-07 09:54:01:373,151446,0.5,151620,0.7,303370,0.5,404487,2.25 37,1,2024-09-07 09:54:00:575,1078444,1078437,0,7,505834505652,5280847996,1065779,9745,2913,365,391770,0 37,2,2024-09-07 09:54:01:143,776265,776250,15,0,34686157,0,5815 37,3,2024-09-07 09:54:01:766,1,614,5,0,888,8101,614,0 38,0,2024-09-07 09:54:01:438,152500,0.4,147821,0.6,309079,0.4,404762,2.00 38,1,2024-09-07 09:54:01:605,1078313,1078313,0,0,506947340440,5300622543,1062981,12332,3000,368,391821,0 38,2,2024-09-07 09:54:00:762,776411,776364,47,0,34873680,0,6710 38,3,2024-09-07 09:54:01:007,1,614,1,0,689,7438,614,0 39,0,2024-09-07 09:54:01:758,156895,0.5,153413,0.7,299151,0.5,407901,2.00 39,1,2024-09-07 09:54:00:715,1077687,1077687,0,0,504960091835,5291952035,1060240,13732,3715,365,391865,0 39,2,2024-09-07 09:54:01:428,773611,773611,0,0,32998000,0,3391 39,3,2024-09-07 09:54:00:716,1,614,1,0,525,6863,614,0 40,0,2024-09-07 09:54:01:518,153811,0.8,154642,0.9,308699,0.9,411989,2.75 40,1,2024-09-07 09:54:00:587,1078151,1078151,0,0,504745317279,5286139865,1062541,12541,3069,366,391668,0 40,2,2024-09-07 09:54:01:304,774877,774870,7,0,39358658,0,5347 40,3,2024-09-07 09:54:01:143,1,614,0,0,1028,8900,614,0 41,0,2024-09-07 09:54:01:031,153398,1.3,156991,1.1,299386,1.9,406506,3.25 41,1,2024-09-07 09:54:00:768,1077354,1077354,0,0,505778509959,5289922089,1063577,11617,2160,369,391878,0 41,2,2024-09-07 09:54:00:762,774614,774613,1,0,37647165,0,5408 41,3,2024-09-07 09:54:01:679,1,614,1,0,366,6674,614,0 42,0,2024-09-07 09:54:01:481,153417,1.0,153112,1.0,306258,1.1,406552,2.75 42,1,2024-09-07 09:54:01:440,1075231,1075231,0,0,503935765879,5294555406,1058648,13650,2933,380,391675,0 42,2,2024-09-07 09:54:01:134,774608,774607,1,0,38068879,0,5513 42,3,2024-09-07 09:54:01:011,1,614,11,0,892,6022,614,0 43,0,2024-09-07 09:54:00:917,151556,0.7,147593,0.9,308913,0.7,404475,2.25 43,1,2024-09-07 09:54:00:586,1078890,1078890,0,0,505161407475,5277514107,1064150,12168,2572,366,391696,0 43,2,2024-09-07 09:54:01:743,776517,776517,0,0,35873907,0,4723 43,3,2024-09-07 09:54:01:760,1,614,1,0,571,8878,614,0 44,0,2024-09-07 09:54:00:880,155234,0.4,155102,0.6,310221,0.3,412947,1.75 44,1,2024-09-07 09:54:00:565,1082118,1082118,0,0,506701836754,5236043587,1072749,7428,1941,356,391809,0 44,2,2024-09-07 09:54:01:267,773551,773551,0,0,29040339,0,4344 44,3,2024-09-07 09:54:01:093,1,614,4,0,1097,7470,614,0 45,0,2024-09-07 09:54:01:773,153695,0.5,150148,0.7,315300,0.4,414054,2.00 45,1,2024-09-07 09:54:01:005,1080356,1080356,0,0,506684405303,5263070651,1072101,7352,903,382,391917,0 45,2,2024-09-07 09:54:01:268,778399,778399,0,0,29407057,0,3596 45,3,2024-09-07 09:54:00:934,1,614,1,0,531,5806,614,0 46,0,2024-09-07 09:54:00:963,152578,0.6,152109,0.8,305235,0.6,405971,2.25 46,1,2024-09-07 09:54:00:576,1083038,1083038,0,0,507936307385,5248596442,1075543,6507,988,366,391709,0 46,2,2024-09-07 09:54:00:602,779128,779128,0,0,30070920,0,4443 46,3,2024-09-07 09:54:01:134,1,614,3,0,908,7288,614,0 47,0,2024-09-07 09:54:01:119,152763,0.4,152623,0.6,306563,0.4,406022,2.00 47,1,2024-09-07 09:54:00:568,1083762,1083762,0,0,508243849952,5248119409,1077663,5237,862,364,391641,0 47,2,2024-09-07 09:54:00:911,780954,780954,0,0,29106137,0,4477 47,3,2024-09-07 09:54:01:129,1,614,16,0,600,6628,614,0 48,0,2024-09-07 09:54:01:494,153343,0.3,153449,0.4,306518,0.2,407655,1.50 48,1,2024-09-07 09:54:01:025,1081068,1081068,0,0,506750133354,5260599962,1074961,5529,578,381,391710,0 48,2,2024-09-07 09:54:00:708,773817,773817,0,0,27586012,0,3524 48,3,2024-09-07 09:54:00:759,1,614,1,0,339,5383,614,0 49,0,2024-09-07 09:54:01:718,160785,0.3,157358,0.5,306235,0.3,418144,1.75 49,1,2024-09-07 09:54:01:025,1080103,1080103,0,0,506868216504,5270475386,1072829,5578,1696,382,391809,0 49,2,2024-09-07 09:54:01:806,779710,779710,0,0,29864022,0,4426 49,3,2024-09-07 09:54:01:429,1,614,3,0,992,7201,614,0 50,0,2024-09-07 09:54:01:513,152720,0.3,151115,0.5,304446,0.2,404810,1.75 50,1,2024-09-07 09:54:01:011,1082975,1082975,0,0,508227259318,5251579645,1076449,5857,669,368,391691,0 50,2,2024-09-07 09:54:01:067,777964,777964,0,0,27680864,0,4490 50,3,2024-09-07 09:54:01:296,1,614,4,0,617,6219,614,0 51,0,2024-09-07 09:54:01:687,156856,0.3,153507,0.5,298894,0.2,407445,1.75 51,1,2024-09-07 09:54:01:679,1083362,1083362,0,0,509161672631,5248193475,1077699,4582,1081,365,391706,0 51,2,2024-09-07 09:54:01:316,777642,777642,0,0,27195007,0,3337 51,3,2024-09-07 09:54:01:027,1,614,5,0,678,4436,614,0 52,0,2024-09-07 09:54:01:483,153323,0.5,152976,0.6,306319,0.4,406686,2.00 52,1,2024-09-07 09:54:00:582,1078395,1078395,0,0,506672572034,5301237420,1063248,12816,2331,368,391805,0 52,2,2024-09-07 09:54:01:768,770012,769974,38,0,36790905,0,6742 52,3,2024-09-07 09:54:00:676,1,614,1,0,1782,7126,614,0 53,0,2024-09-07 09:54:01:758,154931,0.6,150381,0.8,314679,0.7,412652,2.25 53,1,2024-09-07 09:54:00:771,1076878,1076878,0,0,504912292836,5296948630,1058690,13792,4396,367,391968,0 53,2,2024-09-07 09:54:01:303,778539,778538,1,0,33127567,0,5455 53,3,2024-09-07 09:54:00:697,1,614,0,0,308,5691,614,0 54,0,2024-09-07 09:54:01:621,151610,0.6,151770,0.8,302416,0.5,403772,2.25 54,1,2024-09-07 09:54:00:587,1080124,1080124,0,0,506865817281,5270370531,1069006,9447,1671,366,391810,0 54,2,2024-09-07 09:54:00:865,778915,778883,32,0,36909739,0,6397 54,3,2024-09-07 09:54:00:766,1,614,0,0,676,7882,614,0 55,0,2024-09-07 09:54:01:787,148603,0.6,153362,0.8,310828,0.6,404648,2.50 55,1,2024-09-07 09:54:00:768,1080581,1080581,0,0,507353052032,5274646628,1069715,9477,1389,365,391731,0 55,2,2024-09-07 09:54:00:731,775126,775070,56,0,34285315,0,7239 55,3,2024-09-07 09:54:00:676,1,614,1,0,304,5592,614,0 56,0,2024-09-07 09:54:01:571,156313,1.1,147323,1.1,303713,1.4,406607,2.75 56,1,2024-09-07 09:54:00:579,1073503,1073503,0,0,504421250658,5333634533,1055507,14307,3689,381,391867,0 56,2,2024-09-07 09:54:01:304,773635,773513,122,0,36641539,0,7432 56,3,2024-09-07 09:54:01:066,1,614,21,0,705,7132,614,0 57,0,2024-09-07 09:54:00:951,153480,1.3,153077,1.2,306618,1.8,409803,3.00 57,1,2024-09-07 09:54:00:992,1076063,1076063,0,0,504397034345,5293899568,1061594,11978,2491,366,392032,0 57,2,2024-09-07 09:54:01:329,775330,775330,0,0,38358403,0,4804 57,3,2024-09-07 09:54:01:738,1,614,2,0,455,7089,614,0 58,0,2024-09-07 09:54:00:554,153126,1.0,148712,1.0,311308,1.2,407093,2.75 58,1,2024-09-07 09:54:00:578,1077314,1077311,0,3,505713519794,5300165239,1061157,12250,3904,367,391726,3 58,2,2024-09-07 09:54:01:072,778004,778004,0,0,35943714,0,3483 58,3,2024-09-07 09:54:01:068,1,614,1,0,1043,6774,614,0 59,0,2024-09-07 09:54:01:738,152654,0.9,152209,1.0,304192,1.0,404034,3.00 59,1,2024-09-07 09:54:00:804,1076350,1076350,0,0,505353807256,5309905149,1058498,14184,3668,369,391653,0 59,2,2024-09-07 09:54:00:591,776784,776784,0,0,34057038,0,3727 59,3,2024-09-07 09:54:01:740,1,614,0,0,1015,7501,614,0 60,0,2024-09-07 09:54:01:721,152350,0.5,152410,0.7,305092,0.5,406379,1.75 60,1,2024-09-07 09:54:00:772,1081241,1081241,0,0,507282042642,5269764347,1073284,6868,1089,370,392031,0 60,2,2024-09-07 09:54:01:144,777573,777573,0,0,31971639,0,3811 60,3,2024-09-07 09:54:01:260,1,614,13,0,409,7088,614,0 61,0,2024-09-07 09:54:01:524,153617,0.6,154259,0.7,306831,0.6,410216,2.00 61,1,2024-09-07 09:54:00:771,1078489,1078489,0,0,505887155258,5293378586,1067350,9457,1682,382,392127,0 61,2,2024-09-07 09:54:01:128,774310,774243,67,0,32521029,0,6411 61,3,2024-09-07 09:54:01:694,1,614,6,0,607,7897,614,0 62,0,2024-09-07 09:54:01:728,156814,0.6,160706,0.7,306994,0.6,416921,2.00 62,1,2024-09-07 09:54:01:112,1084646,1084640,0,6,509124505969,5246813492,1079671,4670,299,365,391975,6 62,2,2024-09-07 09:54:01:645,775906,775905,1,0,32544110,0,5555 62,3,2024-09-07 09:54:01:143,1,614,8,0,482,4882,614,0 63,0,2024-09-07 09:54:01:452,153251,0.4,152931,0.6,306294,0.4,407387,1.75 63,1,2024-09-07 09:54:00:804,1081156,1081150,0,6,507496527206,5267762636,1074304,5991,855,381,391800,6 63,2,2024-09-07 09:54:00:762,778692,778692,0,0,30930839,0,4369 63,3,2024-09-07 09:54:01:732,1,614,1,0,667,6231,614,0 64,0,2024-09-07 09:54:01:517,151563,0.5,151397,0.6,302546,0.4,403100,2.00 64,1,2024-09-07 09:54:00:762,1079922,1079922,0,0,506554642246,5273969052,1070572,7371,1979,370,391794,0 64,2,2024-09-07 09:54:01:148,781407,781388,19,0,30014098,0,6121 64,3,2024-09-07 09:54:01:147,1,614,1,0,651,6970,614,0 65,0,2024-09-07 09:54:01:686,151393,0.6,151701,0.7,303144,0.6,404280,2.00 65,1,2024-09-07 09:54:00:866,1078318,1078318,0,0,505293176994,5278942101,1070835,6609,874,381,391901,0 65,2,2024-09-07 09:54:01:694,772016,772016,0,0,35987923,0,3367 65,3,2024-09-07 09:54:01:686,1,614,4,0,782,6716,614,0 66,0,2024-09-07 09:54:01:785,155824,0.5,155438,0.7,310975,0.5,414479,2.00 66,1,2024-09-07 09:54:01:304,1080491,1080491,0,0,506092324032,5263973613,1073988,5781,722,380,391743,0 66,2,2024-09-07 09:54:01:134,781045,781042,3,0,32034065,0,5455 66,3,2024-09-07 09:54:01:090,1,614,1,0,291,5135,614,0 67,0,2024-09-07 09:54:01:431,152137,0.5,151731,0.7,304512,0.5,405054,2.00 67,1,2024-09-07 09:54:00:768,1080070,1080069,0,1,507359838803,5279932667,1072670,6454,945,380,391787,1 67,2,2024-09-07 09:54:00:587,781513,781498,15,0,31841591,0,6205 67,3,2024-09-07 09:54:01:750,1,614,0,0,595,6336,614,0 68,0,2024-09-07 09:54:00:682,153411,0.6,153219,0.7,305087,0.5,408126,2.00 68,1,2024-09-07 09:54:00:595,1075851,1075851,0,0,504541062733,5302336591,1062042,10278,3531,381,391953,0 68,2,2024-09-07 09:54:01:050,773237,773137,100,0,40013000,0,8578 68,3,2024-09-07 09:54:00:731,1,614,1,0,417,7816,614,0 69,0,2024-09-07 09:54:01:732,152909,0.6,153597,0.8,306372,0.6,406758,2.25 69,1,2024-09-07 09:54:01:028,1074930,1074930,0,0,504829999187,5317865215,1061064,11032,2834,383,391994,0 69,2,2024-09-07 09:54:01:733,770935,770906,29,0,42539531,0,6912 69,3,2024-09-07 09:54:00:767,1,614,8,0,698,8700,614,0 70,0,2024-09-07 09:54:01:559,153726,0.7,154356,0.9,310081,0.6,410919,2.50 70,1,2024-09-07 09:54:00:831,1081509,1081509,0,0,507484150913,5263683829,1073590,7110,809,366,391725,0 70,2,2024-09-07 09:54:01:336,777677,777677,0,0,35079597,0,4323 70,3,2024-09-07 09:54:00:748,1,614,1,0,854,6763,614,0 71,0,2024-09-07 09:54:01:369,153074,0.9,152712,1.0,306584,1.2,408681,2.75 71,1,2024-09-07 09:54:01:597,1079152,1079152,0,0,506077812305,5280059896,1066150,11381,1621,368,391738,0 71,2,2024-09-07 09:54:01:068,777293,777293,0,0,35341221,0,4352 71,3,2024-09-07 09:54:01:750,1,614,1,0,644,6851,614,0 72,0,2024-09-07 09:54:01:030,159407,0.6,155735,0.7,304086,0.5,413637,2.00 72,1,2024-09-07 09:54:01:028,1077443,1077443,0,0,505219315544,5298103458,1062135,12790,2518,369,391819,0 72,2,2024-09-07 09:54:01:765,774501,774501,0,0,36983221,0,3983 72,3,2024-09-07 09:54:01:756,1,614,0,0,564,8969,614,0 73,0,2024-09-07 09:54:01:124,149019,0.4,152944,0.6,312509,0.4,407006,2.00 73,1,2024-09-07 09:54:00:768,1078825,1078825,0,0,506935491492,5268538031,1070414,7429,982,367,391858,0 73,2,2024-09-07 09:54:01:743,775960,775959,1,0,38683685,0,5027 73,3,2024-09-07 09:54:00:969,1,614,3,0,1091,8427,614,0 74,0,2024-09-07 09:54:01:334,155914,0.4,159682,0.6,304755,0.4,413307,2.00 74,1,2024-09-07 09:54:00:636,1077806,1077806,0,0,505627868716,5278163907,1065897,9792,2117,381,391762,0 74,2,2024-09-07 09:54:01:005,773721,773721,0,0,33638757,0,4253 74,3,2024-09-07 09:54:01:452,1,614,1,0,522,7478,614,0 75,0,2024-09-07 09:54:01:841,155750,0.5,154835,0.7,310581,0.5,415479,2.25 75,1,2024-09-07 09:54:01:585,1078712,1078712,0,0,506203712937,5274046700,1070084,7758,870,380,391739,0 75,2,2024-09-07 09:54:01:350,776257,776257,0,0,40827973,0,4766 75,3,2024-09-07 09:54:01:067,1,614,1,0,918,8266,614,0 76,0,2024-09-07 09:54:00:603,152936,0.6,152294,0.8,304654,0.6,407701,2.25 76,1,2024-09-07 09:54:00:808,1078821,1078821,0,0,505438678762,5269103310,1071482,6348,991,382,391790,0 76,2,2024-09-07 09:54:01:060,780274,780271,3,0,32936808,0,5265 76,3,2024-09-07 09:54:01:144,1,614,1,0,227,5544,614,0 77,0,2024-09-07 09:54:01:702,151988,0.5,152533,0.7,304827,0.5,405293,2.00 77,1,2024-09-07 09:54:00:842,1078789,1078789,0,0,506199685754,5278559681,1070797,7112,880,381,391869,0 77,2,2024-09-07 09:54:01:291,776915,776915,0,0,32067237,0,3890 77,3,2024-09-07 09:54:01:096,1,614,124,0,401,6981,614,0 78,0,2024-09-07 09:54:01:720,153600,0.4,152911,0.6,306697,0.4,406183,2.00 78,1,2024-09-07 09:54:00:622,1079414,1079414,0,0,505829581376,5273835143,1066572,9925,2917,367,391670,0 78,2,2024-09-07 09:54:01:415,774588,774575,13,0,29928727,0,8313 78,3,2024-09-07 09:54:01:134,1,614,0,0,311,5103,614,0 79,0,2024-09-07 09:54:01:350,151016,0.4,154626,0.6,316839,0.3,412932,2.00 79,1,2024-09-07 09:54:00:578,1082692,1082692,0,0,508125373315,5257584868,1074844,6488,1360,367,391682,0 79,2,2024-09-07 09:54:01:068,778826,778826,0,0,29140816,0,4195 79,3,2024-09-07 09:54:00:749,1,614,1,0,418,7183,614,0 80,0,2024-09-07 09:54:01:092,151965,0.6,156099,0.7,298397,0.6,403881,2.00 80,1,2024-09-07 09:54:01:619,1078890,1078890,0,0,506258174724,5269770957,1071730,6708,452,368,392269,0 80,2,2024-09-07 09:54:01:099,781407,781407,0,0,29767458,0,4433 80,3,2024-09-07 09:54:00:586,1,614,8,0,681,7423,614,0 81,0,2024-09-07 09:54:01:546,152773,0.5,156363,0.7,298501,0.5,404666,2.00 81,1,2024-09-07 09:54:01:653,1078125,1078125,0,0,506008830113,5285151653,1069956,7401,768,382,391885,0 81,2,2024-09-07 09:54:01:127,776840,776777,63,0,32968902,0,5932 81,3,2024-09-07 09:54:01:127,1,614,0,0,719,7025,614,0 82,0,2024-09-07 09:54:01:531,152765,0.4,152814,0.7,306445,0.4,405798,2.00 82,1,2024-09-07 09:54:00:590,1080258,1080254,0,4,506609784759,5264116322,1074681,4750,823,381,391768,4 82,2,2024-09-07 09:54:01:693,775134,775134,0,0,27895995,0,4484 82,3,2024-09-07 09:54:01:757,1,614,2,0,363,5720,614,0 83,0,2024-09-07 09:54:01:526,155523,0.5,155445,0.7,310589,0.5,412839,2.00 83,1,2024-09-07 09:54:00:550,1079250,1079250,0,0,506171592551,5274666259,1071658,6947,645,382,391709,0 83,2,2024-09-07 09:54:00:767,778742,778717,25,0,30074513,0,5612 83,3,2024-09-07 09:54:00:749,1,614,1,0,1260,7240,614,0 84,0,2024-09-07 09:54:01:766,151822,0.8,151857,0.9,303581,0.8,405349,2.25 84,1,2024-09-07 09:54:01:044,1076942,1076942,0,0,504838500757,5278593341,1064687,10582,1673,367,391967,0 84,2,2024-09-07 09:54:00:575,777077,776667,410,0,43894030,0,17037 84,3,2024-09-07 09:54:01:143,1,614,2,0,908,8147,614,0 85,0,2024-09-07 09:54:01:003,147907,0.6,147889,0.8,313955,0.6,405052,2.25 85,1,2024-09-07 09:54:00:570,1074093,1074093,0,0,503531632224,5315130101,1057767,13526,2800,381,392092,0 85,2,2024-09-07 09:54:00:870,775556,775556,0,0,37040970,0,4255 85,3,2024-09-07 09:54:00:698,1,614,1,0,789,7047,614,0 86,0,2024-09-07 09:54:00:939,153140,0.6,157440,0.8,301051,0.7,407144,2.25 86,1,2024-09-07 09:54:00:837,1077329,1077329,0,0,505193463447,5295777602,1063408,11234,2687,366,392169,0 86,2,2024-09-07 09:54:00:878,773875,773874,1,0,39299525,0,5004 86,3,2024-09-07 09:54:00:587,1,614,1,0,308,8654,614,0 87,0,2024-09-07 09:54:01:296,155128,0.7,154522,0.8,309127,0.9,413333,2.25 87,1,2024-09-07 09:54:00:550,1076660,1076660,0,0,504806547970,5287342230,1063274,11588,1798,366,392076,0 87,2,2024-09-07 09:54:01:067,774757,774751,6,0,35658755,0,6323 87,3,2024-09-07 09:54:01:794,1,614,0,0,473,8646,614,0 88,0,2024-09-07 09:54:01:496,155445,0.5,156021,0.6,311567,0.4,414652,1.75 88,1,2024-09-07 09:54:00:576,1075695,1075695,0,0,504868954254,5291581356,1060302,12348,3045,365,392084,0 88,2,2024-09-07 09:54:00:690,776689,776689,0,0,38627927,0,4465 88,3,2024-09-07 09:54:01:267,1,614,0,0,1080,9411,614,0 89,0,2024-09-07 09:54:01:905,158381,0.5,153628,0.6,303116,0.4,412527,1.75 89,1,2024-09-07 09:54:00:555,1075607,1075607,0,0,504618991508,5306262561,1062616,11046,1945,382,391866,0 89,2,2024-09-07 09:54:01:136,776591,776591,0,0,36412408,0,3173 89,3,2024-09-07 09:54:01:792,1,614,9,0,468,10147,614,0 90,0,2024-09-07 09:54:01:697,147894,0.5,151907,0.6,310020,0.4,404434,2.00 90,1,2024-09-07 09:54:00:591,1077533,1077533,0,0,505116851608,5289180055,1067435,9205,893,380,391825,0 90,2,2024-09-07 09:54:01:415,773868,773863,5,0,39920164,0,6370 90,3,2024-09-07 09:54:00:935,1,614,13,0,322,7372,614,0 91,0,2024-09-07 09:54:00:948,154093,0.4,149536,0.6,313037,0.4,410701,1.75 91,1,2024-09-07 09:54:00:562,1074758,1074758,0,0,504503777453,5315389323,1058895,12715,3148,381,392047,0 91,2,2024-09-07 09:54:01:337,773825,773825,0,0,34994974,0,4713 91,3,2024-09-07 09:54:00:601,1,614,1,0,216,5457,614,0 92,0,2024-09-07 09:54:01:445,157771,0.4,161403,0.6,307595,0.4,417194,1.75 92,1,2024-09-07 09:54:00:587,1078234,1078234,0,0,506503994545,5288322020,1069863,7083,1288,381,392136,0 92,2,2024-09-07 09:54:01:351,778433,778433,0,0,30663440,0,3259 92,3,2024-09-07 09:54:01:011,1,614,0,0,167,5228,614,0 93,0,2024-09-07 09:54:00:965,153628,0.4,157337,0.6,300466,0.4,407371,1.75 93,1,2024-09-07 09:54:00:805,1078890,1078890,0,0,506587030723,5284530260,1067352,9610,1928,365,392048,0 93,2,2024-09-07 09:54:00:928,778461,778461,0,0,36497827,0,4913 93,3,2024-09-07 09:54:01:409,1,614,375,0,375,6316,614,0 94,0,2024-09-07 09:54:01:620,151614,0.4,152730,0.5,305435,0.3,405496,1.75 94,1,2024-09-07 09:54:00:564,1079803,1079803,0,0,506692245473,5281599699,1072849,6519,435,381,391850,0 94,2,2024-09-07 09:54:00:788,777816,777788,28,0,31381465,0,6179 94,3,2024-09-07 09:54:01:695,1,614,2,0,576,7580,614,0 95,0,2024-09-07 09:54:01:344,152593,0.3,152605,0.5,305360,0.3,406930,1.75 95,1,2024-09-07 09:54:00:853,1080194,1080194,0,0,507241786668,5268872496,1071749,7694,751,365,391852,0 95,2,2024-09-07 09:54:01:020,772058,772058,0,0,30762701,0,3308 95,3,2024-09-07 09:54:01:715,1,614,1,0,718,9449,614,0 96,0,2024-09-07 09:54:01:035,156367,0.4,156716,0.5,313309,0.3,416969,1.75 96,1,2024-09-07 09:54:01:583,1078842,1078842,0,0,505617374099,5271613185,1071453,6115,1274,384,391964,0 96,2,2024-09-07 09:54:01:268,779388,779388,0,0,31957149,0,4180 96,3,2024-09-07 09:54:01:142,1,614,1,0,411,6995,614,0 97,0,2024-09-07 09:54:01:319,152297,0.3,152161,0.5,305118,0.3,404896,1.75 97,1,2024-09-07 09:54:00:763,1080183,1080183,0,0,506951390122,5259017651,1073250,5895,1038,367,392140,0 97,2,2024-09-07 09:54:00:616,780178,780178,0,0,31654479,0,4046 97,3,2024-09-07 09:54:00:578,1,614,1,0,242,6859,614,0 98,0,2024-09-07 09:54:01:703,152902,0.3,153076,0.4,307218,0.2,408220,1.50 98,1,2024-09-07 09:54:00:572,1079354,1079354,0,0,506167129280,5270795969,1072859,5659,836,381,391997,0 98,2,2024-09-07 09:54:00:769,776696,776696,0,0,30342854,0,4336 98,3,2024-09-07 09:54:00:698,1,614,4,0,840,9165,614,0 99,0,2024-09-07 09:54:01:459,153618,0.3,154433,0.5,307345,0.3,409440,1.75 99,1,2024-09-07 09:54:01:724,1080226,1080226,0,0,506363234302,5267383625,1073853,5430,943,380,392069,0 99,2,2024-09-07 09:54:01:422,773716,773716,0,0,37545195,0,4276 99,3,2024-09-07 09:54:00:589,1,614,2,0,1124,7790,614,0 100,0,2024-09-07 09:54:01:468,154938,0.7,155321,0.9,310530,0.7,414413,2.25 100,1,2024-09-07 09:54:00:559,1073627,1073627,0,0,503393772245,5319674011,1057599,12709,3319,378,391989,0 100,2,2024-09-07 09:54:01:829,773752,773363,389,0,40893744,0,16909 100,3,2024-09-07 09:54:01:732,1,614,1,0,627,10197,614,0 101,0,2024-09-07 09:54:01:702,157603,1.1,153762,1.0,300811,1.1,410429,2.25 101,1,2024-09-07 09:54:00:557,1075849,1075849,0,0,505231889729,5307056393,1061696,11624,2529,368,391847,0 101,2,2024-09-07 09:54:01:759,773721,773721,0,0,40879864,0,4871 101,3,2024-09-07 09:54:00:942,1,614,1,0,1250,9069,614,0 102,0,2024-09-07 09:54:00:969,150209,0.6,154665,0.8,314296,0.6,411215,2.25 102,1,2024-09-07 09:54:01:147,1075625,1075625,0,0,504865298910,5301600770,1061493,11931,2201,369,391984,0 102,2,2024-09-07 09:54:01:738,777112,777058,54,0,34969878,0,6768 102,3,2024-09-07 09:54:01:619,1,614,5,0,466,6977,614,0 103,0,2024-09-07 09:54:01:627,158090,0.6,158076,0.7,297762,0.5,410351,2.00 103,1,2024-09-07 09:54:01:631,1074273,1074273,0,0,505098810837,5332684475,1057182,13488,3603,381,392077,0 103,2,2024-09-07 09:54:00:590,774072,774072,0,0,37795482,0,3766 103,3,2024-09-07 09:54:00:757,1,614,1,0,916,7144,614,0 104,0,2024-09-07 09:54:01:009,153979,0.7,154052,0.9,307454,0.7,411306,2.25 104,1,2024-09-07 09:54:01:609,1077000,1077000,0,0,505273254405,5307366683,1061460,12695,2845,365,392168,0 104,2,2024-09-07 09:54:01:679,772002,772002,0,0,37452743,0,4161 104,3,2024-09-07 09:54:01:416,1,614,16,0,1245,10824,614,0 105,0,2024-09-07 09:54:01:028,153674,0.9,149752,1.1,313964,1.0,412995,2.50 105,1,2024-09-07 09:54:00:556,1078339,1078339,0,0,505866798561,5298540323,1064765,11631,1943,364,392009,0 105,2,2024-09-07 09:54:01:348,776629,776629,0,0,37774586,0,4360 105,3,2024-09-07 09:54:01:312,1,614,7,0,573,9377,614,0 106,0,2024-09-07 09:54:00:947,148087,1.0,151733,1.0,310698,1.1,405980,2.50 106,1,2024-09-07 09:54:01:761,1077150,1077150,0,0,504342637862,5291900264,1062478,12777,1895,368,391914,0 106,2,2024-09-07 09:54:00:757,776181,776181,0,0,35454156,0,3331 106,3,2024-09-07 09:54:00:677,1,614,1,0,1224,8443,614,0 107,0,2024-09-07 09:54:01:106,152223,0.9,152257,0.9,304438,1.1,405922,2.25 107,1,2024-09-07 09:54:00:602,1074537,1074537,0,0,504259733641,5327892267,1057137,15266,2134,381,392234,0 107,2,2024-09-07 09:54:01:303,774176,774175,1,0,38599835,0,5024 107,3,2024-09-07 09:54:01:756,1,614,1,0,733,9391,614,0 108,0,2024-09-07 09:54:01:842,152901,0.4,153409,0.6,305678,0.4,407660,1.75 108,1,2024-09-07 09:54:01:294,1078542,1078542,0,0,506117439264,5276503793,1069840,7706,996,367,391894,0 108,2,2024-09-07 09:54:01:763,772822,772822,0,0,32982900,0,4246 108,3,2024-09-07 09:54:01:341,1,614,2,0,749,10904,614,0 109,0,2024-09-07 09:54:01:793,157228,0.4,155894,0.6,312435,0.3,417402,1.75 109,1,2024-09-07 09:54:00:590,1075852,1075852,0,0,505389595749,5299252194,1066364,8208,1280,382,392132,0 109,2,2024-09-07 09:54:00:924,776136,776136,0,0,33927740,0,3617 109,3,2024-09-07 09:54:01:146,1,614,8,0,630,7367,614,0 110,0,2024-09-07 09:54:01:786,151986,0.4,147745,0.6,309525,0.4,405644,1.75 110,1,2024-09-07 09:54:01:645,1080316,1080316,0,0,506726075246,5258633091,1072270,6118,1928,368,392045,0 110,2,2024-09-07 09:54:01:303,779764,779764,0,0,31458033,0,4067 110,3,2024-09-07 09:54:00:696,1,614,10,0,722,8089,614,0 111,0,2024-09-07 09:54:01:432,153565,0.4,152746,0.5,305371,0.3,406978,1.75 111,1,2024-09-07 09:54:01:006,1081459,1081459,0,0,507685783937,5263140692,1075976,5102,381,380,391690,0 111,2,2024-09-07 09:54:01:127,777457,777457,0,0,31935629,0,4823 111,3,2024-09-07 09:54:00:917,1,614,0,0,379,6618,614,0 112,0,2024-09-07 09:54:00:920,153574,0.3,153099,0.4,306786,0.2,407032,1.50 112,1,2024-09-07 09:54:00:825,1080274,1080274,0,0,506149692448,5254862378,1073069,5980,1225,380,391624,0 112,2,2024-09-07 09:54:01:132,773281,773280,1,0,30185465,0,5036 112,3,2024-09-07 09:54:00:591,1,614,1,0,282,5750,614,0 113,0,2024-09-07 09:54:00:875,155588,0.3,155561,0.5,311434,0.2,415187,1.50 113,1,2024-09-07 09:54:01:693,1082637,1082637,0,0,508611446104,5246426967,1076403,5286,948,365,391664,0 113,2,2024-09-07 09:54:01:320,781306,781306,0,0,28671084,0,3813 113,3,2024-09-07 09:54:00:684,1,614,0,0,510,6561,614,0 114,0,2024-09-07 09:54:00:874,153457,0.3,154405,0.5,307879,0.2,410760,1.75 114,1,2024-09-07 09:54:00:716,1080679,1080679,0,0,506769331891,5260718127,1072737,6195,1747,381,391565,0 114,2,2024-09-07 09:54:00:879,780854,780853,1,0,29882258,0,5069 114,3,2024-09-07 09:54:01:279,1,614,0,0,395,4881,614,0 115,0,2024-09-07 09:54:00:565,154664,0.3,155279,0.4,309178,0.2,411129,1.50 115,1,2024-09-07 09:54:00:587,1080921,1080921,0,0,507159936329,5266956356,1072664,6740,1517,382,391757,0 115,2,2024-09-07 09:54:01:129,778537,778537,0,0,29944162,0,4382 115,3,2024-09-07 09:54:01:002,1,614,1,0,167,3730,614,0 116,0,2024-09-07 09:54:01:697,153063,0.6,152901,0.8,306541,0.6,409459,2.00 116,1,2024-09-07 09:54:00:803,1074593,1074593,0,0,503990311165,5310171488,1061933,9569,3091,380,392089,0 116,2,2024-09-07 09:54:01:761,774685,774685,0,0,37512041,0,4475 116,3,2024-09-07 09:54:00:913,1,614,1,0,448,7808,614,0 117,0,2024-09-07 09:54:00:998,155250,0.7,154593,0.8,309867,0.7,414479,2.00 117,1,2024-09-07 09:54:01:598,1076818,1076818,0,0,504583077402,5283029534,1065029,10266,1523,369,392429,0 117,2,2024-09-07 09:54:01:121,777420,777420,0,0,33914184,0,4303 117,3,2024-09-07 09:54:01:066,1,614,3,0,490,7737,614,0 118,0,2024-09-07 09:54:01:777,150771,0.5,154840,0.7,316023,0.5,413197,2.00 118,1,2024-09-07 09:54:00:622,1076009,1076009,0,0,504334135416,5300996700,1060161,12224,3624,366,392054,0 118,2,2024-09-07 09:54:01:600,776601,776601,0,0,36211655,0,2842 118,3,2024-09-07 09:54:01:770,1,614,1,0,289,7194,614,0 119,0,2024-09-07 09:54:01:409,153790,0.8,154432,0.8,308092,0.9,410992,2.25 119,1,2024-09-07 09:54:00:554,1076670,1076670,0,0,505527294627,5300566309,1061973,12185,2512,367,391857,0 119,2,2024-09-07 09:54:01:265,777836,777836,0,0,34189576,0,4309 119,3,2024-09-07 09:54:01:346,1,614,4,0,1358,11127,614,0 120,0,2024-09-07 09:54:01:545,151535,0.6,151429,0.8,304298,0.6,405454,2.25 120,1,2024-09-07 09:54:00:858,1077455,1077455,0,0,505370894812,5299636196,1065738,10575,1142,367,392144,0 120,2,2024-09-07 09:54:00:770,776086,776083,3,0,40678753,0,5363 120,3,2024-09-07 09:54:01:290,1,614,1,0,279,7364,614,0 121,0,2024-09-07 09:54:01:691,153904,1.0,153400,1.0,306936,1.3,409814,2.25 121,1,2024-09-07 09:54:01:661,1077242,1077242,0,0,505368944107,5287486030,1065944,9742,1556,366,391840,0 121,2,2024-09-07 09:54:01:125,772829,772829,0,0,37076850,0,4157 121,3,2024-09-07 09:54:00:730,1,614,0,0,387,8080,614,0 122,0,2024-09-07 09:54:01:783,155625,0.8,151390,0.9,317157,0.9,417025,2.00 122,1,2024-09-07 09:54:00:861,1076030,1076030,0,0,504846091965,5298435986,1061016,12599,2415,365,392130,0 122,2,2024-09-07 09:54:01:322,778134,778059,75,0,40882714,0,5989 122,3,2024-09-07 09:54:00:595,1,614,2,0,512,9849,614,0 123,0,2024-09-07 09:54:01:012,152115,0.9,147906,0.9,309926,1.0,406036,2.25 123,1,2024-09-07 09:54:00:591,1076515,1076515,0,0,505938991729,5318540380,1059661,14182,2672,369,392039,0 123,2,2024-09-07 09:54:01:022,776326,776325,1,0,34945699,0,5215 123,3,2024-09-07 09:54:01:136,1,614,40,0,478,7001,614,0 124,0,2024-09-07 09:54:00:916,156379,0.3,156349,0.5,294827,0.3,405896,1.75 124,1,2024-09-07 09:54:01:025,1080539,1080539,0,0,506138418240,5259483548,1072796,6567,1176,365,392178,0 124,2,2024-09-07 09:54:01:011,779642,779589,53,0,31322540,0,6487 124,3,2024-09-07 09:54:00:758,1,614,3,0,490,6025,614,0 125,0,2024-09-07 09:54:01:423,152288,0.4,152304,0.5,305535,0.3,406847,1.75 125,1,2024-09-07 09:54:00:856,1077209,1077209,0,0,506214626103,5281935508,1069137,6919,1153,382,391968,0 125,2,2024-09-07 09:54:01:127,775202,775202,0,0,31395958,0,4534 125,3,2024-09-07 09:54:01:127,1,614,7,0,709,7016,614,0 126,0,2024-09-07 09:54:01:429,156577,0.4,161087,0.5,308011,0.3,417496,1.75 126,1,2024-09-07 09:54:00:572,1081363,1081363,0,0,507304480352,5249843400,1075843,5073,447,365,391987,0 126,2,2024-09-07 09:54:00:618,780328,780328,0,0,32689450,0,4539 126,3,2024-09-07 09:54:00:932,1,614,0,0,268,6660,614,0 127,0,2024-09-07 09:54:01:614,152713,0.3,152775,0.5,304890,0.3,405317,1.75 127,1,2024-09-07 09:54:00:587,1079462,1079462,0,0,506543315387,5268482039,1068779,9045,1638,364,392187,0 127,2,2024-09-07 09:54:00:640,779589,779585,4,0,30841545,0,5305 127,3,2024-09-07 09:54:01:269,1,614,1,0,968,5993,614,0 128,0,2024-09-07 09:54:01:525,153907,0.3,154026,0.4,307710,0.2,408950,1.50 128,1,2024-09-07 09:54:01:608,1079272,1079272,0,0,506103456248,5262707456,1070853,7454,965,367,392423,0 128,2,2024-09-07 09:54:01:403,778965,778965,0,0,28611291,0,3171 128,3,2024-09-07 09:54:00:767,1,614,9,0,1082,9642,614,0 129,0,2024-09-07 09:54:01:015,154858,0.3,154039,0.5,308687,0.3,409962,1.50 129,1,2024-09-07 09:54:00:567,1076249,1076249,0,0,504832031867,5289215938,1065774,8453,2022,379,391962,0 129,2,2024-09-07 09:54:00:691,775724,775720,4,0,31150368,0,5335 129,3,2024-09-07 09:54:00:688,1,614,0,0,506,8322,614,0 130,0,2024-09-07 09:54:01:759,156121,0.4,155742,0.5,312059,0.4,415710,1.75 130,1,2024-09-07 09:54:00:623,1080773,1080773,0,0,507125632362,5265480548,1075322,5050,401,381,391825,0 130,2,2024-09-07 09:54:01:129,777803,777803,0,0,31409605,0,4067 130,3,2024-09-07 09:54:01:301,1,614,0,0,960,8337,614,0 131,0,2024-09-07 09:54:01:937,154319,0.3,154788,0.5,310478,0.3,412004,1.75 131,1,2024-09-07 09:54:01:839,1079369,1079369,0,0,506103971151,5273622989,1072134,6037,1198,381,391865,0 131,2,2024-09-07 09:54:00:567,778986,778986,0,0,29151555,0,3979 131,3,2024-09-07 09:54:01:693,1,614,1,0,392,7754,614,0 132,0,2024-09-07 09:54:01:415,154728,0.5,155655,0.6,309985,0.4,412211,2.00 132,1,2024-09-07 09:54:00:585,1075071,1075071,0,0,504104699402,5305848689,1059622,12745,2704,381,392532,0 132,2,2024-09-07 09:54:00:697,776240,776223,17,0,38042276,0,6451 132,3,2024-09-07 09:54:01:693,1,614,1,0,1298,10905,614,0 133,0,2024-09-07 09:54:01:525,149208,0.4,152632,0.6,312946,0.4,407875,2.00 133,1,2024-09-07 09:54:00:587,1075666,1075666,0,0,504554899646,5313973709,1061578,12324,1764,383,391914,0 133,2,2024-09-07 09:54:01:097,776546,776496,50,0,39029866,0,6861 133,3,2024-09-07 09:54:01:313,1,614,6,0,528,7351,614,0 134,0,2024-09-07 09:54:01:039,155179,0.5,155394,0.7,310718,0.5,413589,2.00 134,1,2024-09-07 09:54:00:893,1076848,1076848,0,0,504929057083,5294132404,1063057,11300,2491,366,391781,0 134,2,2024-09-07 09:54:01:765,774516,774492,24,0,36063380,0,6207 134,3,2024-09-07 09:54:00:780,1,614,130,0,739,7592,614,0 135,0,2024-09-07 09:54:01:127,150584,0.8,150556,0.8,319750,0.9,412624,2.25 135,1,2024-09-07 09:54:01:593,1075909,1075909,0,0,505525687391,5312864322,1062873,11395,1641,380,391974,0 135,2,2024-09-07 09:54:00:687,778384,778384,0,0,37023376,0,4503 135,3,2024-09-07 09:54:01:004,1,614,3,0,900,5930,614,0 136,0,2024-09-07 09:54:01:636,153863,0.6,154350,0.8,306334,0.6,409217,2.00 136,1,2024-09-07 09:54:01:441,1077172,1077172,0,0,505187037394,5293814111,1065259,10523,1390,381,392135,0 136,2,2024-09-07 09:54:01:134,779372,779357,15,0,36572718,0,6007 136,3,2024-09-07 09:54:01:109,1,614,9,0,637,6992,614,0 137,0,2024-09-07 09:54:00:920,157252,0.6,153241,0.7,300204,0.6,407763,2.00 137,1,2024-09-07 09:54:00:575,1076250,1076250,0,0,504920781807,5295199012,1059702,13159,3389,366,391898,0 137,2,2024-09-07 09:54:01:706,776117,776117,0,0,37643496,0,3185 137,3,2024-09-07 09:54:00:770,1,614,0,0,484,8275,614,0 138,0,2024-09-07 09:54:01:749,152246,0.7,152706,0.8,305815,0.8,406245,2.00 138,1,2024-09-07 09:54:01:703,1076843,1076843,0,0,506054453243,5307162237,1062053,12518,2272,368,391954,0 138,2,2024-09-07 09:54:00:595,771552,771552,0,0,35725586,0,4988 138,3,2024-09-07 09:54:00:622,1,614,1,0,1200,9558,614,0 139,0,2024-09-07 09:54:01:399,155018,1.0,155731,0.9,311079,1.4,415694,2.25 139,1,2024-09-07 09:54:00:589,1072062,1072062,0,0,502063195873,5322547456,1053669,14567,3826,380,392109,0 139,2,2024-09-07 09:54:00:693,772982,772952,30,0,41319977,0,5997 139,3,2024-09-07 09:54:01:665,1,614,10,0,432,7201,614,0 140,0,2024-09-07 09:54:01:586,152628,0.3,151854,0.5,304556,0.2,405978,1.75 140,1,2024-09-07 09:54:01:541,1082955,1082955,0,0,508497606456,5239658644,1077982,4425,548,364,391606,0 140,2,2024-09-07 09:54:00:689,780060,780059,1,0,29154921,0,5036 140,3,2024-09-07 09:54:00:767,1,614,3,0,575,6020,614,0 141,0,2024-09-07 09:54:01:701,153060,0.3,157337,0.5,300741,0.2,406737,1.75 141,1,2024-09-07 09:54:00:860,1081455,1081455,0,0,507056789050,5265392749,1073255,7007,1193,379,391614,0 141,2,2024-09-07 09:54:01:686,777908,777897,11,0,31226876,0,5369 141,3,2024-09-07 09:54:01:049,1,614,1,0,391,6997,614,0 142,0,2024-09-07 09:54:01:309,153792,0.3,152961,0.5,306088,0.2,408000,1.50 142,1,2024-09-07 09:54:00:587,1079437,1079437,0,0,506286913747,5275283260,1072717,6212,508,382,392102,0 142,2,2024-09-07 09:54:01:301,772696,772664,32,0,31670507,0,6028 142,3,2024-09-07 09:54:01:756,1,614,0,0,484,6428,614,0 143,0,2024-09-07 09:54:01:374,155565,0.4,155329,0.5,311821,0.4,415334,1.75 143,1,2024-09-07 09:54:00:572,1081477,1081477,0,0,507266892408,5260222931,1075006,5903,568,367,391900,0 143,2,2024-09-07 09:54:00:769,779289,779289,0,0,31656731,0,3123 143,3,2024-09-07 09:54:01:145,1,614,0,0,462,7331,614,0 144,0,2024-09-07 09:54:01:551,148673,0.6,153044,0.8,311338,0.6,407288,2.00 144,1,2024-09-07 09:54:00:627,1076385,1076385,0,0,504884855861,5296072090,1065785,8606,1994,381,391733,0 144,2,2024-09-07 09:54:01:780,779947,779947,0,0,30992751,0,4443 144,3,2024-09-07 09:54:01:753,1,614,4,0,249,6004,614,0 145,0,2024-09-07 09:54:01:406,149096,0.6,149040,0.8,316228,0.5,407056,2.25 145,1,2024-09-07 09:54:00:565,1075257,1075257,0,0,505104748108,5312695241,1061224,11359,2674,382,391781,0 145,2,2024-09-07 09:54:01:438,775131,775049,82,0,36974398,0,7814 145,3,2024-09-07 09:54:00:910,1,614,14,0,622,8095,614,0 146,0,2024-09-07 09:54:01:612,153453,0.5,152516,0.7,306849,0.5,407723,2.00 146,1,2024-09-07 09:54:01:589,1076706,1076706,0,0,504831145873,5311120876,1059164,13060,4482,367,391770,0 146,2,2024-09-07 09:54:01:697,773599,773593,6,0,35238261,0,5151 146,3,2024-09-07 09:54:01:276,1,614,0,0,1520,9946,614,0 147,0,2024-09-07 09:54:01:720,155244,0.5,154932,0.7,309799,0.5,413776,2.00 147,1,2024-09-07 09:54:01:373,1080458,1080458,0,0,506518031203,5261536647,1071958,7533,967,367,391791,0 147,2,2024-09-07 09:54:01:010,775711,775711,0,0,31228095,0,2968 147,3,2024-09-07 09:54:00:918,1,614,4,0,1626,9626,614,0 0,0,2024-09-07 09:54:11:719,148307,0.6,148299,0.7,314916,0.6,406671,2.00 0,1,2024-09-07 09:54:10:804,1078945,1078945,0,0,506596479428,5300568887,1070395,7602,948,368,391896,0 0,2,2024-09-07 09:54:11:095,779085,779085,0,0,31198822,0,4480 0,3,2024-09-07 09:54:10:976,1,615,1,0,431,8712,615,0 1,0,2024-09-07 09:54:11:757,154144,0.8,153051,0.9,307483,0.9,411523,2.00 1,1,2024-09-07 09:54:10:560,1078346,1078346,0,0,505503097623,5292461942,1068864,7991,1491,370,391859,0 1,2,2024-09-07 09:54:10:641,775445,775445,0,0,30627062,0,3380 1,3,2024-09-07 09:54:11:310,1,615,1,0,269,7578,615,0 2,0,2024-09-07 09:54:11:569,156418,0.5,156132,0.7,311930,0.6,416255,2.00 2,1,2024-09-07 09:54:10:865,1081699,1081699,0,0,507763046708,5277457190,1075521,5227,951,379,391745,0 2,2,2024-09-07 09:54:11:266,779661,779661,0,0,29249813,0,3594 2,3,2024-09-07 09:54:10:694,1,615,3,0,357,5453,615,0 3,0,2024-09-07 09:54:11:743,152889,0.4,152845,0.6,305224,0.4,407111,2.00 3,1,2024-09-07 09:54:11:620,1080261,1080261,0,0,507110586246,5272163940,1072648,6908,705,379,391716,0 3,2,2024-09-07 09:54:11:142,780387,780364,23,0,30338753,0,5851 3,3,2024-09-07 09:54:11:752,1,615,1,0,484,4623,615,0 4,0,2024-09-07 09:54:11:774,147995,0.4,152096,0.5,310026,0.3,405617,1.75 4,1,2024-09-07 09:54:10:594,1075416,1075416,0,0,504408579797,5335431380,1057599,14063,3754,369,391992,0 4,2,2024-09-07 09:54:11:018,776042,776042,0,0,37272563,0,4534 4,3,2024-09-07 09:54:11:028,1,615,0,0,448,7385,615,0 5,0,2024-09-07 09:54:11:397,153051,0.4,152949,0.5,306046,0.3,407358,1.75 5,1,2024-09-07 09:54:10:758,1076565,1076565,0,0,505352305089,5332836099,1060202,12233,4130,367,392005,0 5,2,2024-09-07 09:54:11:829,770917,770917,0,0,36024298,0,3582 5,3,2024-09-07 09:54:11:733,1,615,1,0,457,8426,615,0 6,0,2024-09-07 09:54:10:919,156944,0.4,156627,0.6,313315,0.4,417888,2.00 6,1,2024-09-07 09:54:10:750,1079358,1079358,0,0,506080584896,5284130967,1068279,9505,1574,379,391702,0 6,2,2024-09-07 09:54:11:116,779633,779615,18,0,35025255,0,5535 6,3,2024-09-07 09:54:11:277,1,615,1,0,710,7405,615,0 7,0,2024-09-07 09:54:11:531,151659,0.5,152726,0.7,303242,0.5,404525,2.00 7,1,2024-09-07 09:54:10:855,1076858,1076858,0,0,505106240236,5316106574,1060528,12564,3766,382,391747,0 7,2,2024-09-07 09:54:10:773,779601,779601,0,0,33720132,0,4791 7,3,2024-09-07 09:54:10:856,1,615,1,0,552,7105,615,0 8,0,2024-09-07 09:54:11:333,153773,0.4,153503,0.5,307416,0.3,409359,1.75 8,1,2024-09-07 09:54:11:029,1076435,1076435,0,0,505664726898,5316265204,1059683,13163,3589,366,392853,0 8,2,2024-09-07 09:54:10:796,773456,773454,2,0,40083068,0,5112 8,3,2024-09-07 09:54:10:596,1,615,36,0,772,9666,615,0 9,0,2024-09-07 09:54:11:120,153534,0.4,149342,0.5,312398,0.3,409117,1.75 9,1,2024-09-07 09:54:10:557,1076369,1076369,0,0,505174891876,5323741895,1059565,13574,3230,369,392001,0 9,2,2024-09-07 09:54:11:093,773127,773126,1,0,37784538,0,5281 9,3,2024-09-07 09:54:11:752,1,615,11,0,1273,10310,615,0 10,0,2024-09-07 09:54:11:613,155709,0.3,155004,0.5,311206,0.3,414738,1.75 10,1,2024-09-07 09:54:10:584,1078092,1078092,0,0,505695890961,5304384036,1062359,12669,3064,381,391981,0 10,2,2024-09-07 09:54:10:764,778301,778301,0,0,40683284,0,4713 10,3,2024-09-07 09:54:10:875,1,615,0,0,669,6846,615,0 11,0,2024-09-07 09:54:11:011,154210,0.4,149603,0.6,313087,0.4,411946,1.75 11,1,2024-09-07 09:54:10:578,1079308,1079308,0,0,506174401544,5308899996,1063352,11653,4303,383,391766,0 11,2,2024-09-07 09:54:11:122,778356,778356,0,0,35910672,0,4698 11,3,2024-09-07 09:54:11:298,1,615,1,0,843,7871,615,0 12,0,2024-09-07 09:54:11:009,155804,0.4,155619,0.5,310890,0.4,413321,1.75 12,1,2024-09-07 09:54:10:942,1079553,1079553,0,0,506362366121,5278664948,1071169,7375,1009,370,391960,0 12,2,2024-09-07 09:54:11:541,776539,776539,0,0,34516902,0,4390 12,3,2024-09-07 09:54:11:058,1,615,1,0,386,7640,615,0 13,0,2024-09-07 09:54:11:333,154226,0.4,154300,0.5,307669,0.3,410338,1.75 13,1,2024-09-07 09:54:11:530,1077055,1077055,0,0,505951771388,5315682274,1066154,8511,2390,382,391803,0 13,2,2024-09-07 09:54:10:631,778954,778954,0,0,30884957,0,3287 13,3,2024-09-07 09:54:11:762,1,615,1,0,522,8019,615,0 14,0,2024-09-07 09:54:10:562,155117,0.4,156214,0.6,310006,0.3,413194,1.75 14,1,2024-09-07 09:54:11:561,1084323,1084323,0,0,508376852062,5258653356,1075860,7334,1129,364,391673,0 14,2,2024-09-07 09:54:10:764,777058,777028,30,0,32264057,0,6104 14,3,2024-09-07 09:54:11:117,1,615,1,0,1168,6655,615,0 15,0,2024-09-07 09:54:11:558,156161,0.4,155202,0.6,311176,0.4,415504,1.75 15,1,2024-09-07 09:54:11:608,1081091,1081091,0,0,507239748210,5280413830,1072016,7162,1913,381,391619,0 15,2,2024-09-07 09:54:10:997,780477,780477,0,0,27987991,0,3622 15,3,2024-09-07 09:54:11:408,1,615,5,0,1126,8130,615,0 16,0,2024-09-07 09:54:10:942,153494,0.6,154273,0.8,307767,0.6,410081,2.00 16,1,2024-09-07 09:54:10:574,1080692,1080692,0,0,505829495627,5286154961,1071247,8001,1444,370,392194,0 16,2,2024-09-07 09:54:11:434,778193,778193,0,0,31793778,0,4719 16,3,2024-09-07 09:54:11:155,1,615,9,0,358,7629,615,0 17,0,2024-09-07 09:54:11:759,157196,0.6,153252,0.8,300091,0.6,408093,2.00 17,1,2024-09-07 09:54:10:578,1078750,1078750,0,0,506417877822,5308771042,1068450,8528,1772,368,392075,0 17,2,2024-09-07 09:54:11:666,781406,781405,1,0,33089264,0,5050 17,3,2024-09-07 09:54:10:584,1,615,0,0,518,8842,615,0 18,0,2024-09-07 09:54:10:942,151843,0.6,152827,0.8,304084,0.6,406455,2.25 18,1,2024-09-07 09:54:11:718,1083361,1083361,0,0,507508531923,5261697946,1076293,5811,1257,367,391725,0 18,2,2024-09-07 09:54:11:755,776769,776769,0,0,29344886,0,3541 18,3,2024-09-07 09:54:10:899,1,615,0,0,1059,5594,615,0 19,0,2024-09-07 09:54:11:544,156162,0.6,156574,0.8,312080,0.6,415029,2.00 19,1,2024-09-07 09:54:10:573,1083168,1083168,0,0,508334052639,5266449978,1075360,6675,1133,365,391777,0 19,2,2024-09-07 09:54:11:752,782149,782149,0,0,27814529,0,3988 19,3,2024-09-07 09:54:11:129,1,615,2,0,524,4648,615,0 20,0,2024-09-07 09:54:11:346,152225,0.6,152128,0.7,304052,0.6,405428,2.00 20,1,2024-09-07 09:54:10:573,1078597,1078597,0,0,506798323806,5309132177,1067798,9289,1510,369,391922,0 20,2,2024-09-07 09:54:10:934,779488,779488,0,0,34872489,0,4321 20,3,2024-09-07 09:54:10:601,1,615,9,0,468,9802,615,0 21,0,2024-09-07 09:54:11:164,152984,0.5,153074,0.6,305847,0.4,406015,2.00 21,1,2024-09-07 09:54:11:536,1076808,1076808,0,0,504383260679,5322279269,1059929,13043,3836,368,392016,0 21,2,2024-09-07 09:54:11:083,772618,772141,477,0,45310586,0,17074 21,3,2024-09-07 09:54:11:403,1,615,1,0,713,8725,615,0 22,0,2024-09-07 09:54:11:725,152772,0.5,153367,0.6,306182,0.4,406018,2.00 22,1,2024-09-07 09:54:11:026,1077365,1077365,0,0,504150735580,5304556066,1059547,14278,3540,382,391822,0 22,2,2024-09-07 09:54:10:764,772547,772521,26,0,33000390,0,6328 22,3,2024-09-07 09:54:11:072,1,615,1,0,228,5275,615,0 23,0,2024-09-07 09:54:11:377,155566,0.5,155393,0.6,310904,0.4,415011,2.00 23,1,2024-09-07 09:54:11:003,1077839,1077839,0,0,506491957845,5334322770,1058357,12904,6578,365,391690,0 23,2,2024-09-07 09:54:11:094,780392,780392,0,0,31788912,0,3773 23,3,2024-09-07 09:54:11:754,1,615,1,0,855,8895,615,0 24,0,2024-09-07 09:54:10:865,154351,0.4,153543,0.6,308720,0.4,409614,1.75 24,1,2024-09-07 09:54:10:582,1078527,1078527,0,0,505241488873,5287690052,1068397,8420,1710,367,392269,0 24,2,2024-09-07 09:54:11:070,779294,779291,3,0,38493748,0,6294 24,3,2024-09-07 09:54:11:686,1,615,2,0,468,7877,615,0 25,0,2024-09-07 09:54:11:341,158422,0.4,154234,0.6,302944,0.4,412309,2.00 25,1,2024-09-07 09:54:10:565,1076310,1076310,0,0,504694205170,5331101154,1057538,15058,3714,369,391928,0 25,2,2024-09-07 09:54:11:610,775733,775733,0,0,39051690,0,3978 25,3,2024-09-07 09:54:11:000,1,615,1,0,532,6601,615,0 26,0,2024-09-07 09:54:11:721,152850,0.4,149378,0.6,313697,0.3,408499,1.75 26,1,2024-09-07 09:54:11:553,1080030,1080030,0,0,506640062401,5302208748,1065693,11804,2533,380,391758,0 26,2,2024-09-07 09:54:10:865,775080,775080,0,0,41625359,0,4689 26,3,2024-09-07 09:54:11:711,1,615,0,0,796,7584,615,0 27,0,2024-09-07 09:54:11:724,155438,0.4,156122,0.6,310444,0.3,414547,2.25 27,1,2024-09-07 09:54:11:679,1081174,1081174,0,0,507620656018,5288686144,1070772,8793,1609,381,391626,0 27,2,2024-09-07 09:54:10:876,774137,774072,65,0,36459086,0,5699 27,3,2024-09-07 09:54:11:018,1,615,0,0,564,5251,615,0 28,0,2024-09-07 09:54:11:401,155703,0.4,155888,0.6,311866,0.3,415065,1.75 28,1,2024-09-07 09:54:10:797,1081609,1081609,0,0,507598313633,5289359998,1072667,7051,1891,382,391904,0 28,2,2024-09-07 09:54:11:764,779902,779902,0,0,31254008,0,2915 28,3,2024-09-07 09:54:11:776,1,615,1,0,502,6077,615,0 29,0,2024-09-07 09:54:11:386,158754,0.4,154645,0.6,303409,0.3,413710,1.75 29,1,2024-09-07 09:54:11:561,1084596,1084596,0,0,508389380383,5256058972,1078235,5585,776,367,391809,0 29,2,2024-09-07 09:54:10:865,778296,778296,0,0,30327534,0,4986 29,3,2024-09-07 09:54:10:968,1,615,10,0,459,6536,615,0 30,0,2024-09-07 09:54:11:455,151915,0.5,147823,0.7,309693,0.4,404654,2.00 30,1,2024-09-07 09:54:10:580,1083408,1083408,0,0,508452794770,5272848891,1075833,6664,911,380,391672,0 30,2,2024-09-07 09:54:11:275,779345,779345,0,0,28947583,0,4192 30,3,2024-09-07 09:54:10:591,1,615,3,0,519,5710,615,0 31,0,2024-09-07 09:54:11:764,153895,0.4,154785,0.6,308523,0.4,412174,1.75 31,1,2024-09-07 09:54:10:573,1087096,1087096,0,0,509912574385,5234550314,1080636,5386,1074,356,391712,0 31,2,2024-09-07 09:54:11:278,774838,774838,0,0,32482662,0,4470 31,3,2024-09-07 09:54:11:709,1,615,3,0,239,5259,615,0 32,0,2024-09-07 09:54:11:422,156396,0.3,157612,0.5,313567,0.3,417708,1.75 32,1,2024-09-07 09:54:10:809,1083296,1083296,0,0,507876512851,5275501195,1077116,5462,718,381,391646,0 32,2,2024-09-07 09:54:10:941,780930,780930,0,0,28667290,0,3922 32,3,2024-09-07 09:54:11:015,1,615,1,0,304,4940,615,0 33,0,2024-09-07 09:54:11:506,153473,0.3,153099,0.4,306482,0.2,408091,1.50 33,1,2024-09-07 09:54:10:582,1083604,1083604,0,0,509264202398,5272853673,1075153,7178,1273,368,391730,0 33,2,2024-09-07 09:54:10:758,780510,780475,35,0,31797335,0,7012 33,3,2024-09-07 09:54:10:900,1,615,1,0,329,5341,615,0 34,0,2024-09-07 09:54:10:943,152628,0.3,156836,0.5,299934,0.2,404989,1.75 34,1,2024-09-07 09:54:11:051,1085338,1085338,0,0,509934626391,5244021891,1082374,2853,111,367,391637,0 34,2,2024-09-07 09:54:10:765,779759,779759,0,0,30329063,0,4562 34,3,2024-09-07 09:54:11:690,1,615,1,0,541,5520,615,0 35,0,2024-09-07 09:54:10:885,152033,0.3,152898,0.5,306701,0.2,407733,1.75 35,1,2024-09-07 09:54:11:067,1081445,1081445,0,0,508026305726,5270749351,1073334,6588,1523,382,391769,0 35,2,2024-09-07 09:54:11:588,774256,774256,0,0,33190470,0,4055 35,3,2024-09-07 09:54:10:908,1,615,4,0,466,5712,615,0 36,0,2024-09-07 09:54:11:524,157225,0.5,157314,0.6,314097,0.4,418660,2.00 36,1,2024-09-07 09:54:10:584,1080741,1080741,0,0,507343525342,5295872891,1067159,11342,2240,366,391759,0 36,2,2024-09-07 09:54:11:750,780181,780181,0,0,34796037,0,3875 36,3,2024-09-07 09:54:10:864,1,615,8,0,556,8306,615,0 37,0,2024-09-07 09:54:11:375,151563,0.5,151748,0.7,303600,0.5,404805,2.25 37,1,2024-09-07 09:54:10:573,1080158,1080151,0,7,506603601197,5288668791,1067493,9745,2913,365,391770,0 37,2,2024-09-07 09:54:11:151,777820,777805,15,0,34699921,0,5815 37,3,2024-09-07 09:54:11:766,1,615,1,0,888,8102,615,0 38,0,2024-09-07 09:54:11:437,152639,0.4,147956,0.6,309338,0.4,405098,2.00 38,1,2024-09-07 09:54:11:607,1080100,1080100,0,0,507657830528,5307840094,1064767,12333,3000,368,391821,0 38,2,2024-09-07 09:54:10:765,777750,777703,47,0,34883191,0,6710 38,3,2024-09-07 09:54:10:996,1,615,1,0,689,7439,615,0 39,0,2024-09-07 09:54:11:762,156911,0.5,153426,0.7,299182,0.5,407901,2.00 39,1,2024-09-07 09:54:10:734,1079443,1079443,0,0,505980961179,5302273685,1061996,13732,3715,365,391865,0 39,2,2024-09-07 09:54:11:416,774394,774394,0,0,33004629,0,3391 39,3,2024-09-07 09:54:10:726,1,615,0,0,525,6863,615,0 40,0,2024-09-07 09:54:11:543,154188,0.8,154995,0.9,309396,0.9,413062,2.75 40,1,2024-09-07 09:54:10:584,1079888,1079888,0,0,505757762762,5296552326,1064277,12542,3069,366,391668,0 40,2,2024-09-07 09:54:11:307,776258,776251,7,0,39415861,0,5347 40,3,2024-09-07 09:54:11:143,1,615,1,0,1028,8901,615,0 41,0,2024-09-07 09:54:11:021,153486,1.3,157084,1.1,299547,1.9,406738,3.25 41,1,2024-09-07 09:54:10:777,1079070,1079070,0,0,506469174339,5296943652,1065292,11618,2160,369,391878,0 41,2,2024-09-07 09:54:10:758,776020,776019,1,0,37684416,0,5408 41,3,2024-09-07 09:54:11:677,1,615,1,0,366,6675,615,0 42,0,2024-09-07 09:54:11:475,153674,0.9,153350,1.0,306744,1.1,407159,2.75 42,1,2024-09-07 09:54:11:439,1077033,1077033,0,0,504902546977,5304560859,1060449,13651,2933,380,391675,0 42,2,2024-09-07 09:54:11:133,775949,775948,1,0,38122861,0,5513 42,3,2024-09-07 09:54:11:013,1,615,1,0,892,6023,615,0 43,0,2024-09-07 09:54:10:917,151856,0.7,147895,0.9,309621,0.7,405358,2.25 43,1,2024-09-07 09:54:10:582,1080655,1080655,0,0,505936398584,5285416678,1065915,12168,2572,366,391696,0 43,2,2024-09-07 09:54:11:736,777265,777265,0,0,35883646,0,4723 43,3,2024-09-07 09:54:11:749,1,615,1,0,571,8879,615,0 44,0,2024-09-07 09:54:10:864,155513,0.4,155364,0.6,310715,0.3,413660,1.75 44,1,2024-09-07 09:54:10:567,1083891,1083891,0,0,507604663995,5245274859,1074521,7429,1941,356,391809,0 44,2,2024-09-07 09:54:11:278,775067,775067,0,0,29083772,0,4344 44,3,2024-09-07 09:54:11:094,1,615,33,0,1097,7503,615,0 45,0,2024-09-07 09:54:11:756,154250,0.5,150678,0.7,316400,0.4,415581,2.00 45,1,2024-09-07 09:54:11:005,1082147,1082147,0,0,507699288660,5273412721,1073892,7352,903,382,391917,0 45,2,2024-09-07 09:54:11:285,779835,779835,0,0,29466403,0,3596 45,3,2024-09-07 09:54:10:939,1,615,1,0,531,5807,615,0 46,0,2024-09-07 09:54:10:951,152904,0.6,152400,0.8,305856,0.6,406786,2.25 46,1,2024-09-07 09:54:10:582,1084910,1084910,0,0,508822891252,5257651707,1077415,6507,988,366,391709,0 46,2,2024-09-07 09:54:10:594,780309,780309,0,0,30112041,0,4443 46,3,2024-09-07 09:54:11:130,1,615,1,0,908,7289,615,0 47,0,2024-09-07 09:54:11:168,152943,0.4,152763,0.6,306881,0.4,406442,2.00 47,1,2024-09-07 09:54:10:567,1085518,1085518,0,0,508949758292,5255373420,1079419,5237,862,364,391641,0 47,2,2024-09-07 09:54:10:910,782212,782212,0,0,29129098,0,4477 47,3,2024-09-07 09:54:11:120,1,615,11,0,600,6639,615,0 48,0,2024-09-07 09:54:11:490,153580,0.3,153708,0.4,306998,0.2,408265,1.50 48,1,2024-09-07 09:54:11:024,1082862,1082862,0,0,507462267963,5267881211,1076755,5529,578,381,391710,0 48,2,2024-09-07 09:54:10:699,775132,775132,0,0,27597871,0,3524 48,3,2024-09-07 09:54:10:753,1,615,4,0,339,5387,615,0 49,0,2024-09-07 09:54:11:728,161168,0.3,157786,0.5,306985,0.3,419159,1.75 49,1,2024-09-07 09:54:11:024,1081835,1081835,0,0,507514455240,5277097990,1074561,5578,1696,382,391809,0 49,2,2024-09-07 09:54:11:804,781018,781018,0,0,29877841,0,4426 49,3,2024-09-07 09:54:11:416,1,615,1,0,992,7202,615,0 50,0,2024-09-07 09:54:11:509,152896,0.3,151304,0.5,304782,0.2,405259,1.75 50,1,2024-09-07 09:54:11:010,1084744,1084744,0,0,509010336993,5259522875,1078218,5857,669,368,391691,0 50,2,2024-09-07 09:54:11:067,779097,779097,0,0,27690190,0,4490 50,3,2024-09-07 09:54:11:292,1,615,1,0,617,6220,615,0 51,0,2024-09-07 09:54:11:700,156958,0.3,153602,0.5,299085,0.2,407690,1.75 51,1,2024-09-07 09:54:11:682,1085061,1085061,0,0,509773474570,5254406379,1079398,4582,1081,365,391706,0 51,2,2024-09-07 09:54:11:317,778965,778965,0,0,27207123,0,3337 51,3,2024-09-07 09:54:11:028,1,615,1,0,678,4437,615,0 52,0,2024-09-07 09:54:11:417,153436,0.5,153097,0.6,306551,0.4,407037,2.00 52,1,2024-09-07 09:54:10:582,1080165,1080165,0,0,507278602006,5307437407,1065016,12818,2331,368,391805,0 52,2,2024-09-07 09:54:11:754,771136,771098,38,0,36801197,0,6742 52,3,2024-09-07 09:54:10:679,1,615,2,0,1782,7128,615,0 53,0,2024-09-07 09:54:11:731,155431,0.6,150832,0.8,315694,0.7,413954,2.25 53,1,2024-09-07 09:54:10:778,1078625,1078625,0,0,505976036267,5307718090,1060435,13793,4397,367,391968,0 53,2,2024-09-07 09:54:11:297,779881,779880,1,0,33142203,0,5455 53,3,2024-09-07 09:54:10:698,1,615,1,0,308,5692,615,0 54,0,2024-09-07 09:54:11:614,151729,0.6,151866,0.8,302633,0.5,404061,2.25 54,1,2024-09-07 09:54:10:580,1081929,1081929,0,0,507877506140,5280616545,1070811,9447,1671,366,391810,0 54,2,2024-09-07 09:54:10:865,780345,780313,32,0,36926403,0,6397 54,3,2024-09-07 09:54:10:764,1,615,1,0,676,7883,615,0 55,0,2024-09-07 09:54:11:761,148712,0.6,153452,0.8,311018,0.6,404907,2.50 55,1,2024-09-07 09:54:10:765,1082353,1082353,0,0,507927609826,5280510491,1071487,9477,1389,365,391731,0 55,2,2024-09-07 09:54:10:729,776611,776555,56,0,34297277,0,7239 55,3,2024-09-07 09:54:10:679,1,615,1,0,304,5593,615,0 56,0,2024-09-07 09:54:11:564,156513,1.1,147505,1.1,304099,1.4,407139,2.75 56,1,2024-09-07 09:54:10:575,1075307,1075307,0,0,505388526252,5343675618,1057311,14307,3689,381,391867,0 56,2,2024-09-07 09:54:11:308,774341,774219,122,0,36657121,0,7432 56,3,2024-09-07 09:54:11:060,1,615,7,0,705,7139,615,0 57,0,2024-09-07 09:54:10:947,153897,1.3,153507,1.2,307511,1.8,410962,3.00 57,1,2024-09-07 09:54:10:989,1077892,1077892,0,0,505245910879,5302570389,1063422,11979,2491,366,392032,0 57,2,2024-09-07 09:54:11:324,776786,776786,0,0,38405896,0,4804 57,3,2024-09-07 09:54:11:738,1,615,1,0,455,7090,615,0 58,0,2024-09-07 09:54:10:579,153269,1.0,148841,1.0,311604,1.2,407389,2.75 58,1,2024-09-07 09:54:10:575,1079072,1079069,0,3,506836999607,5311802775,1062915,12250,3904,367,391726,3 58,2,2024-09-07 09:54:11:075,779573,779573,0,0,35973029,0,3483 58,3,2024-09-07 09:54:11:068,1,615,101,0,1043,6875,615,0 59,0,2024-09-07 09:54:11:744,152949,0.9,152515,1.0,304713,1.0,404830,3.00 59,1,2024-09-07 09:54:10:808,1078175,1078175,0,0,506302162970,5319623447,1060323,14184,3668,369,391653,0 59,2,2024-09-07 09:54:10:596,778021,778021,0,0,34118447,0,3727 59,3,2024-09-07 09:54:11:737,1,615,0,0,1015,7501,615,0 60,0,2024-09-07 09:54:11:764,152571,0.5,152650,0.7,305523,0.5,406992,1.75 60,1,2024-09-07 09:54:10:777,1083021,1083021,0,0,508037288728,5277589439,1075063,6869,1089,370,392031,0 60,2,2024-09-07 09:54:11:147,778609,778609,0,0,31992405,0,3811 60,3,2024-09-07 09:54:11:262,1,615,0,0,409,7088,615,0 61,0,2024-09-07 09:54:11:535,154068,0.6,154699,0.7,307767,0.6,411480,2.00 61,1,2024-09-07 09:54:10:785,1080265,1080265,0,0,506817582515,5302951430,1069125,9458,1682,382,392127,0 61,2,2024-09-07 09:54:11:118,775880,775813,67,0,32599467,0,6411 61,3,2024-09-07 09:54:11:693,1,615,1,0,607,7898,615,0 62,0,2024-09-07 09:54:11:710,157057,0.6,161017,0.7,307535,0.6,417637,2.00 62,1,2024-09-07 09:54:11:111,1086396,1086390,0,6,510020748340,5255981252,1081421,4670,299,365,391975,6 62,2,2024-09-07 09:54:11:644,777175,777174,1,0,32575587,0,5555 62,3,2024-09-07 09:54:11:146,1,615,13,0,482,4895,615,0 63,0,2024-09-07 09:54:11:456,153549,0.4,153272,0.6,306961,0.4,408296,1.75 63,1,2024-09-07 09:54:10:808,1082921,1082915,0,6,508166112146,5274736360,1076067,5993,855,381,391800,6 63,2,2024-09-07 09:54:10:764,779839,779839,0,0,30965928,0,4369 63,3,2024-09-07 09:54:11:732,1,615,1,0,667,6232,615,0 64,0,2024-09-07 09:54:11:509,151733,0.5,151567,0.6,302892,0.4,403581,2.00 64,1,2024-09-07 09:54:10:750,1081650,1081650,0,0,507580945676,5284369611,1072300,7371,1979,370,391794,0 64,2,2024-09-07 09:54:11:147,782678,782659,19,0,30025493,0,6121 64,3,2024-09-07 09:54:11:147,1,615,0,0,651,6970,615,0 65,0,2024-09-07 09:54:11:682,151741,0.6,152051,0.7,303847,0.6,405238,2.00 65,1,2024-09-07 09:54:10:864,1080098,1080098,0,0,505981365996,5286015842,1072615,6609,874,381,391901,0 65,2,2024-09-07 09:54:11:693,773291,773291,0,0,36002050,0,3367 65,3,2024-09-07 09:54:11:683,1,615,0,0,782,6716,615,0 66,0,2024-09-07 09:54:11:832,156249,0.5,155853,0.7,311910,0.5,415629,2.00 66,1,2024-09-07 09:54:11:305,1082285,1082285,0,0,507061080201,5273818315,1075782,5781,722,380,391743,0 66,2,2024-09-07 09:54:11:133,782263,782260,3,0,32045432,0,5455 66,3,2024-09-07 09:54:11:081,1,615,1,0,291,5136,615,0 67,0,2024-09-07 09:54:11:421,152261,0.5,151848,0.7,304762,0.5,405382,2.00 67,1,2024-09-07 09:54:10:781,1081910,1081909,0,1,508236901171,5288888236,1074509,6455,945,380,391787,1 67,2,2024-09-07 09:54:10:583,783145,783130,15,0,31855036,0,6205 67,3,2024-09-07 09:54:11:750,1,615,1,0,595,6337,615,0 68,0,2024-09-07 09:54:10:643,153538,0.6,153337,0.7,305318,0.5,408452,2.00 68,1,2024-09-07 09:54:10:578,1077660,1077660,0,0,505632627443,5313453075,1063850,10279,3531,381,391953,0 68,2,2024-09-07 09:54:11:054,774650,774550,100,0,40026104,0,8578 68,3,2024-09-07 09:54:10:728,1,615,1,0,417,7817,615,0 69,0,2024-09-07 09:54:11:735,152925,0.6,153611,0.8,306407,0.6,406758,2.25 69,1,2024-09-07 09:54:11:017,1076698,1076698,0,0,505678684655,5326539830,1062832,11032,2834,383,391994,0 69,2,2024-09-07 09:54:11:734,771775,771746,29,0,42548866,0,6912 69,3,2024-09-07 09:54:10:768,1,615,2,0,698,8702,615,0 70,0,2024-09-07 09:54:11:535,154083,0.7,154696,0.9,310754,0.6,411853,2.50 70,1,2024-09-07 09:54:10:801,1083209,1083209,0,0,508245046736,5271400536,1075290,7110,809,366,391725,0 70,2,2024-09-07 09:54:11:326,779092,779092,0,0,35091184,0,4323 70,3,2024-09-07 09:54:10:749,1,615,1,0,854,6764,615,0 71,0,2024-09-07 09:54:11:358,153175,0.9,152811,1.0,306787,1.2,408924,2.75 71,1,2024-09-07 09:54:11:602,1080915,1080915,0,0,506929696949,5288690759,1067913,11381,1621,368,391738,0 71,2,2024-09-07 09:54:11:067,778762,778762,0,0,35353749,0,4352 71,3,2024-09-07 09:54:11:751,1,615,1,0,644,6852,615,0 72,0,2024-09-07 09:54:11:028,159691,0.6,155928,0.7,304562,0.5,414253,2.00 72,1,2024-09-07 09:54:11:025,1079225,1079225,0,0,505915486368,5305195338,1063916,12790,2519,369,391819,0 72,2,2024-09-07 09:54:11:755,775854,775854,0,0,37032344,0,3983 72,3,2024-09-07 09:54:11:756,1,615,2,0,564,8971,615,0 73,0,2024-09-07 09:54:11:150,149339,0.4,153252,0.6,313183,0.4,407897,2.00 73,1,2024-09-07 09:54:10:781,1080618,1080618,0,0,507731295420,5276686035,1072207,7429,982,367,391858,0 73,2,2024-09-07 09:54:11:744,776762,776761,1,0,38697423,0,5027 73,3,2024-09-07 09:54:10:970,1,615,5,0,1091,8432,615,0 74,0,2024-09-07 09:54:11:330,156206,0.4,159975,0.6,305318,0.4,414000,2.00 74,1,2024-09-07 09:54:10:638,1079596,1079596,0,0,506406277151,5286199215,1067687,9792,2117,381,391762,0 74,2,2024-09-07 09:54:11:003,775215,775215,0,0,33662204,0,4253 74,3,2024-09-07 09:54:11:441,1,615,0,0,522,7478,615,0 75,0,2024-09-07 09:54:11:776,156284,0.5,155412,0.7,311707,0.5,417024,2.25 75,1,2024-09-07 09:54:11:585,1080450,1080450,0,0,507175469378,5283953887,1071822,7758,870,380,391739,0 75,2,2024-09-07 09:54:11:360,777692,777692,0,0,40865573,0,4766 75,3,2024-09-07 09:54:11:075,1,615,2,0,918,8268,615,0 76,0,2024-09-07 09:54:10:589,153255,0.6,152598,0.8,305267,0.6,408539,2.25 76,1,2024-09-07 09:54:10:805,1080592,1080592,0,0,506300934014,5277932725,1073253,6348,991,382,391790,0 76,2,2024-09-07 09:54:11:073,781324,781321,3,0,32959307,0,5265 76,3,2024-09-07 09:54:11:147,1,615,112,0,227,5656,615,0 77,0,2024-09-07 09:54:11:694,152153,0.5,152679,0.7,305145,0.5,405702,2.00 77,1,2024-09-07 09:54:10:834,1080535,1080535,0,0,507080059316,5287593742,1072543,7112,880,381,391869,0 77,2,2024-09-07 09:54:11:285,778054,778054,0,0,32107060,0,3890 77,3,2024-09-07 09:54:11:101,1,615,1,0,401,6982,615,0 78,0,2024-09-07 09:54:11:754,153864,0.4,153156,0.6,307212,0.4,406795,2.00 78,1,2024-09-07 09:54:10:612,1081133,1081133,0,0,506609359850,5281855679,1068291,9925,2917,367,391670,0 78,2,2024-09-07 09:54:11:405,775995,775982,13,0,29971507,0,8313 78,3,2024-09-07 09:54:11:142,1,615,13,0,311,5116,615,0 79,0,2024-09-07 09:54:11:347,151375,0.4,155046,0.6,317657,0.3,413967,2.00 79,1,2024-09-07 09:54:10:580,1084414,1084414,0,0,508881612522,5265282645,1076566,6488,1360,367,391682,0 79,2,2024-09-07 09:54:11:074,780149,780149,0,0,29187728,0,4195 79,3,2024-09-07 09:54:10:749,1,615,1,0,418,7184,615,0 80,0,2024-09-07 09:54:11:088,152134,0.6,156256,0.7,298683,0.6,404340,2.00 80,1,2024-09-07 09:54:11:627,1080646,1080646,0,0,507181971836,5279168832,1073486,6708,452,368,392269,0 80,2,2024-09-07 09:54:11:098,782544,782544,0,0,29776800,0,4433 80,3,2024-09-07 09:54:10:582,1,615,11,0,681,7434,615,0 81,0,2024-09-07 09:54:11:580,152885,0.5,156456,0.7,298697,0.5,404910,2.00 81,1,2024-09-07 09:54:11:651,1079871,1079871,0,0,506702881960,5292253575,1071702,7401,768,382,391885,0 81,2,2024-09-07 09:54:11:124,778231,778168,63,0,32981082,0,5932 81,3,2024-09-07 09:54:11:117,1,615,1,0,719,7026,615,0 82,0,2024-09-07 09:54:11:531,152876,0.4,152935,0.7,306678,0.4,406138,2.00 82,1,2024-09-07 09:54:10:599,1082025,1082021,0,4,507345516272,5271634258,1076448,4750,823,381,391768,4 82,2,2024-09-07 09:54:11:694,776305,776305,0,0,27904499,0,4484 82,3,2024-09-07 09:54:11:752,1,615,2,0,363,5722,615,0 83,0,2024-09-07 09:54:11:535,156010,0.5,155899,0.7,311533,0.5,414186,2.00 83,1,2024-09-07 09:54:10:557,1081015,1081015,0,0,506957984572,5282684962,1073423,6947,645,382,391709,0 83,2,2024-09-07 09:54:10:769,780094,780069,25,0,30086026,0,5612 83,3,2024-09-07 09:54:10:749,1,615,1,0,1260,7241,615,0 84,0,2024-09-07 09:54:11:790,151921,0.8,151981,0.9,303822,0.8,405641,2.25 84,1,2024-09-07 09:54:11:047,1078763,1078763,0,0,505854766815,5288932343,1066507,10583,1673,367,391967,0 84,2,2024-09-07 09:54:10:602,778479,778069,410,0,43916810,0,17037 84,3,2024-09-07 09:54:11:151,1,615,4,0,908,8151,615,0 85,0,2024-09-07 09:54:11:202,148009,0.6,147993,0.8,314210,0.6,405320,2.25 85,1,2024-09-07 09:54:10:558,1075806,1075806,0,0,504383772261,5323847475,1059479,13527,2800,381,392092,0 85,2,2024-09-07 09:54:10:871,776932,776932,0,0,37056210,0,4255 85,3,2024-09-07 09:54:10:689,1,615,1,0,789,7048,615,0 86,0,2024-09-07 09:54:10:889,153358,0.6,157636,0.8,301454,0.7,407666,2.25 86,1,2024-09-07 09:54:10:830,1079066,1079066,0,0,506083463914,5304857248,1065145,11234,2687,366,392169,0 86,2,2024-09-07 09:54:10:856,774581,774580,1,0,39306864,0,5004 86,3,2024-09-07 09:54:10:625,1,615,4,0,308,8658,615,0 87,0,2024-09-07 09:54:11:343,155559,0.7,154957,0.8,309943,0.9,414475,2.25 87,1,2024-09-07 09:54:10:557,1078312,1078312,0,0,505480520433,5294223214,1064926,11588,1798,366,392076,0 87,2,2024-09-07 09:54:11:075,776241,776235,6,0,35674675,0,6323 87,3,2024-09-07 09:54:11:808,1,615,1,0,473,8647,615,0 88,0,2024-09-07 09:54:11:572,155589,0.5,156157,0.6,311819,0.4,414932,1.75 88,1,2024-09-07 09:54:10:574,1077542,1077542,0,0,505801024404,5301205759,1062142,12354,3046,365,392084,0 88,2,2024-09-07 09:54:10:708,778313,778313,0,0,38661221,0,4465 88,3,2024-09-07 09:54:11:295,1,615,5,0,1080,9416,615,0 89,0,2024-09-07 09:54:11:805,158696,0.5,153929,0.6,303692,0.4,413267,1.75 89,1,2024-09-07 09:54:10:566,1077306,1077306,0,0,505557783901,5315930845,1064314,11047,1945,382,391866,0 89,2,2024-09-07 09:54:11:133,777698,777698,0,0,36442834,0,3173 89,3,2024-09-07 09:54:11:791,1,615,20,0,468,10167,615,0 90,0,2024-09-07 09:54:11:619,148095,0.5,152113,0.6,310441,0.4,405011,2.00 90,1,2024-09-07 09:54:10:612,1079247,1079247,0,0,505676348134,5295092939,1069148,9206,893,380,391825,0 90,2,2024-09-07 09:54:11:421,774865,774860,5,0,39949766,0,6370 90,3,2024-09-07 09:54:10:936,1,615,1,0,322,7373,615,0 91,0,2024-09-07 09:54:11:032,154586,0.4,150018,0.6,313950,0.4,411972,1.75 91,1,2024-09-07 09:54:10:617,1076553,1076553,0,0,505638563857,5327029562,1060689,12716,3148,381,392047,0 91,2,2024-09-07 09:54:11:335,775358,775358,0,0,35048681,0,4713 91,3,2024-09-07 09:54:10:636,1,615,11,0,216,5468,615,0 92,0,2024-09-07 09:54:11:461,158083,0.4,161665,0.6,308130,0.4,417913,1.75 92,1,2024-09-07 09:54:10:605,1080008,1080008,0,0,507219942883,5295897093,1071635,7085,1288,381,392136,0 92,2,2024-09-07 09:54:11:383,779594,779594,0,0,30714655,0,3259 92,3,2024-09-07 09:54:11:029,1,615,6,0,167,5234,615,0 93,0,2024-09-07 09:54:11:379,153976,0.4,157692,0.6,301138,0.4,408322,1.75 93,1,2024-09-07 09:54:10:843,1080512,1080512,0,0,507342639169,5292334894,1068971,9612,1929,365,392048,0 93,2,2024-09-07 09:54:11:103,779599,779599,0,0,36528076,0,4913 93,3,2024-09-07 09:54:11:427,1,615,23,0,375,6339,615,0 94,0,2024-09-07 09:54:11:656,151786,0.4,152884,0.5,305766,0.3,405939,1.75 94,1,2024-09-07 09:54:10:573,1081537,1081537,0,0,507345649346,5288402182,1074582,6520,435,381,391850,0 94,2,2024-09-07 09:54:10:766,779064,779036,28,0,31408623,0,6179 94,3,2024-09-07 09:54:11:693,1,615,1,0,576,7581,615,0 95,0,2024-09-07 09:54:11:465,152926,0.3,152915,0.5,306022,0.3,407884,1.75 95,1,2024-09-07 09:54:10:857,1081933,1081933,0,0,507898037755,5275691792,1073486,7696,751,365,391852,0 95,2,2024-09-07 09:54:11:023,773371,773371,0,0,30797291,0,3308 95,3,2024-09-07 09:54:11:711,1,615,1,0,718,9450,615,0 96,0,2024-09-07 09:54:11:104,156778,0.4,157187,0.5,314179,0.3,418126,1.75 96,1,2024-09-07 09:54:11:611,1080552,1080552,0,0,506604379200,5281737912,1073162,6116,1274,384,391964,0 96,2,2024-09-07 09:54:11:285,780580,780580,0,0,31971691,0,4180 96,3,2024-09-07 09:54:11:158,1,615,1,0,411,6996,615,0 97,0,2024-09-07 09:54:11:367,152411,0.3,152274,0.5,305303,0.3,405221,1.75 97,1,2024-09-07 09:54:10:771,1081936,1081936,0,0,507680933753,5266436625,1075002,5895,1039,367,392140,0 97,2,2024-09-07 09:54:10:614,781559,781559,0,0,31667983,0,4046 97,3,2024-09-07 09:54:10:573,1,615,2,0,242,6861,615,0 98,0,2024-09-07 09:54:11:718,153041,0.3,153208,0.4,307461,0.2,408554,1.50 98,1,2024-09-07 09:54:10:577,1081016,1081016,0,0,506981004112,5279138896,1074521,5659,836,381,391997,0 98,2,2024-09-07 09:54:10:786,778120,778120,0,0,30358156,0,4336 98,3,2024-09-07 09:54:10:707,1,615,8,0,840,9173,615,0 99,0,2024-09-07 09:54:11:487,153632,0.3,154449,0.5,307365,0.3,409440,1.75 99,1,2024-09-07 09:54:11:723,1081989,1081989,0,0,507265549929,5276617744,1075615,5431,943,380,392069,0 99,2,2024-09-07 09:54:11:417,774611,774611,0,0,37555895,0,4276 99,3,2024-09-07 09:54:10:596,1,615,3,0,1124,7793,615,0 100,0,2024-09-07 09:54:11:508,155315,0.7,155647,0.9,311244,0.7,415371,2.25 100,1,2024-09-07 09:54:10:554,1075379,1075379,0,0,504372968993,5329648698,1059349,12711,3319,378,391989,0 100,2,2024-09-07 09:54:11:817,775277,774888,389,0,40911581,0,16909 100,3,2024-09-07 09:54:11:731,1,615,1,0,627,10198,615,0 101,0,2024-09-07 09:54:11:747,157688,1.1,153847,1.0,301020,1.1,410671,2.25 101,1,2024-09-07 09:54:10:581,1077583,1077583,0,0,506184027038,5316726064,1063430,11624,2529,368,391847,0 101,2,2024-09-07 09:54:11:755,775202,775202,0,0,40900178,0,4871 101,3,2024-09-07 09:54:10:948,1,615,5,0,1250,9074,615,0 102,0,2024-09-07 09:54:11:027,150432,0.6,154889,0.8,314776,0.6,411826,2.25 102,1,2024-09-07 09:54:11:148,1077347,1077347,0,0,505615201819,5309287201,1063215,11931,2201,369,391984,0 102,2,2024-09-07 09:54:11:744,778441,778387,54,0,34984439,0,6768 102,3,2024-09-07 09:54:11:613,1,615,0,0,466,6977,615,0 103,0,2024-09-07 09:54:11:593,158364,0.6,158420,0.7,298400,0.5,411237,2.00 103,1,2024-09-07 09:54:11:628,1076102,1076102,0,0,506053542692,5342445464,1059011,13488,3603,381,392077,0 103,2,2024-09-07 09:54:10:587,774892,774892,0,0,37804432,0,3766 103,3,2024-09-07 09:54:10:762,1,615,8,0,916,7152,615,0 104,0,2024-09-07 09:54:11:043,154255,0.7,154340,0.9,308068,0.7,411977,2.25 104,1,2024-09-07 09:54:11:602,1078784,1078784,0,0,506081412598,5315661339,1063244,12695,2845,365,392168,0 104,2,2024-09-07 09:54:11:666,773543,773543,0,0,37495131,0,4161 104,3,2024-09-07 09:54:11:418,1,615,5,0,1245,10829,615,0 105,0,2024-09-07 09:54:11:079,154193,0.9,150256,1.1,315079,1.0,414625,2.50 105,1,2024-09-07 09:54:10:577,1080094,1080094,0,0,506661020637,5306760349,1066519,11632,1943,364,392009,0 105,2,2024-09-07 09:54:11:327,778104,778104,0,0,37814515,0,4360 105,3,2024-09-07 09:54:11:307,1,615,1,0,573,9378,615,0 106,0,2024-09-07 09:54:11:005,148391,1.0,152038,1.0,311348,1.1,406865,2.50 106,1,2024-09-07 09:54:11:751,1078822,1078822,0,0,505168272292,5300342666,1064150,12777,1895,368,391914,0 106,2,2024-09-07 09:54:10:756,777350,777350,0,0,35474210,0,3331 106,3,2024-09-07 09:54:10:684,1,615,3,0,1224,8446,615,0 107,0,2024-09-07 09:54:11:133,152376,0.9,152423,0.9,304765,1.1,406326,2.25 107,1,2024-09-07 09:54:10:596,1076284,1076284,0,0,505055299488,5336147253,1058882,15268,2134,381,392234,0 107,2,2024-09-07 09:54:11:327,775276,775275,1,0,38625464,0,5024 107,3,2024-09-07 09:54:11:759,1,615,0,0,733,9391,615,0 108,0,2024-09-07 09:54:11:763,153157,0.4,153651,0.6,306166,0.4,408272,1.75 108,1,2024-09-07 09:54:11:308,1080273,1080273,0,0,507127010112,5286845531,1071571,7706,996,367,391894,0 108,2,2024-09-07 09:54:11:757,774251,774251,0,0,33026494,0,4246 108,3,2024-09-07 09:54:11:332,1,615,10,0,749,10914,615,0 109,0,2024-09-07 09:54:11:831,157608,0.4,156274,0.6,313212,0.3,418440,1.75 109,1,2024-09-07 09:54:10:594,1077677,1077677,0,0,506264414002,5308247754,1068188,8209,1280,382,392132,0 109,2,2024-09-07 09:54:10:923,777592,777592,0,0,33976713,0,3617 109,3,2024-09-07 09:54:11:151,1,615,4,0,630,7371,615,0 110,0,2024-09-07 09:54:11:753,152143,0.4,147913,0.6,309876,0.4,406098,1.75 110,1,2024-09-07 09:54:11:645,1082129,1082129,0,0,507617261719,5267737864,1074082,6119,1928,368,392045,0 110,2,2024-09-07 09:54:11:305,780826,780826,0,0,31476839,0,4067 110,3,2024-09-07 09:54:10:699,1,615,1,0,722,8090,615,0 111,0,2024-09-07 09:54:11:417,153665,0.4,152829,0.5,305581,0.3,407233,1.75 111,1,2024-09-07 09:54:11:004,1083137,1083137,0,0,508673906215,5273253320,1077654,5102,381,380,391690,0 111,2,2024-09-07 09:54:11:120,778755,778755,0,0,31964865,0,4823 111,3,2024-09-07 09:54:10:914,1,615,4,0,379,6622,615,0 112,0,2024-09-07 09:54:10:920,153685,0.3,153203,0.4,307014,0.2,407357,1.50 112,1,2024-09-07 09:54:10:827,1081979,1081979,0,0,506865815925,5262179349,1074774,5980,1225,380,391624,0 112,2,2024-09-07 09:54:11:133,774397,774396,1,0,30194335,0,5036 112,3,2024-09-07 09:54:10:602,1,615,1,0,282,5751,615,0 113,0,2024-09-07 09:54:10:892,156047,0.3,156049,0.5,312448,0.2,416505,1.50 113,1,2024-09-07 09:54:11:706,1084418,1084418,0,0,509631235188,5256764880,1078183,5287,948,365,391664,0 113,2,2024-09-07 09:54:11:307,782533,782533,0,0,28681267,0,3813 113,3,2024-09-07 09:54:10:690,1,615,2,0,510,6563,615,0 114,0,2024-09-07 09:54:10:873,153578,0.3,154513,0.5,308094,0.2,411042,1.75 114,1,2024-09-07 09:54:10:722,1082427,1082427,0,0,507585200843,5269015838,1074485,6195,1747,381,391565,0 114,2,2024-09-07 09:54:10:877,782265,782264,1,0,29894005,0,5069 114,3,2024-09-07 09:54:11:277,1,615,1,0,395,4882,615,0 115,0,2024-09-07 09:54:10:562,154779,0.3,155380,0.4,309382,0.2,411400,1.50 115,1,2024-09-07 09:54:10:572,1082735,1082735,0,0,508061286373,5276111439,1074478,6740,1517,382,391757,0 115,2,2024-09-07 09:54:11:124,779954,779954,0,0,29954528,0,4382 115,3,2024-09-07 09:54:11:001,1,615,0,0,167,3730,615,0 116,0,2024-09-07 09:54:11:716,153264,0.6,153116,0.8,306924,0.6,409947,2.00 116,1,2024-09-07 09:54:10:811,1076285,1076285,0,0,504871052960,5319208141,1063623,9570,3092,380,392089,0 116,2,2024-09-07 09:54:11:751,775409,775409,0,0,37521928,0,4475 116,3,2024-09-07 09:54:10:913,1,615,3,0,448,7811,615,0 117,0,2024-09-07 09:54:11:027,155656,0.7,155002,0.8,310677,0.7,415651,2.00 117,1,2024-09-07 09:54:11:579,1078575,1078575,0,0,505373874504,5291159841,1066785,10267,1523,369,392429,0 117,2,2024-09-07 09:54:11:121,778922,778922,0,0,33933379,0,4303 117,3,2024-09-07 09:54:11:067,1,615,15,0,490,7752,615,0 118,0,2024-09-07 09:54:11:771,150902,0.5,154969,0.7,316342,0.5,413487,2.00 118,1,2024-09-07 09:54:10:597,1077811,1077811,0,0,505079074174,5308590637,1061961,12226,3624,366,392054,0 118,2,2024-09-07 09:54:11:586,778165,778165,0,0,36225790,0,2842 118,3,2024-09-07 09:54:11:765,1,615,1,0,289,7195,615,0 119,0,2024-09-07 09:54:11:397,154069,0.8,154725,0.8,308634,0.9,411774,2.25 119,1,2024-09-07 09:54:10:555,1078462,1078462,0,0,506503804283,5310512483,1063764,12186,2512,367,391857,0 119,2,2024-09-07 09:54:11:272,778973,778973,0,0,34204612,0,4309 119,3,2024-09-07 09:54:11:346,1,615,298,0,1358,11425,615,0 120,0,2024-09-07 09:54:11:601,151741,0.6,151660,0.8,304760,0.6,406034,2.25 120,1,2024-09-07 09:54:10:906,1079220,1079220,0,0,506297403355,5309172719,1067499,10579,1142,367,392144,0 120,2,2024-09-07 09:54:10:814,777132,777129,3,0,40741138,0,5363 120,3,2024-09-07 09:54:11:298,1,615,9,0,279,7373,615,0 121,0,2024-09-07 09:54:11:691,154332,1.0,153876,1.0,307915,1.3,411077,2.25 121,1,2024-09-07 09:54:11:661,1079018,1079018,0,0,506064348882,5294623310,1067717,9745,1556,366,391840,0 121,2,2024-09-07 09:54:11:133,774396,774396,0,0,37123410,0,4157 121,3,2024-09-07 09:54:10:737,1,615,2,0,387,8082,615,0 122,0,2024-09-07 09:54:11:816,155918,0.8,151638,0.9,317715,0.9,417721,2.00 122,1,2024-09-07 09:54:10:865,1077802,1077802,0,0,505717032715,5307451938,1062788,12599,2415,365,392130,0 122,2,2024-09-07 09:54:11:327,779406,779331,75,0,40912256,0,5989 122,3,2024-09-07 09:54:10:611,1,615,19,0,512,9868,615,0 123,0,2024-09-07 09:54:10:958,152422,0.9,148240,0.9,310596,1.0,406938,2.25 123,1,2024-09-07 09:54:10:558,1078342,1078342,0,0,506637828581,5326080342,1061453,14217,2672,369,392039,0 123,2,2024-09-07 09:54:11:022,777365,777364,1,0,34973460,0,5215 123,3,2024-09-07 09:54:11:132,1,615,1,0,478,7002,615,0 124,0,2024-09-07 09:54:10:971,156549,0.3,156520,0.5,295175,0.3,406378,1.75 124,1,2024-09-07 09:54:11:035,1082243,1082243,0,0,506801646771,5266565517,1074499,6568,1176,365,392178,0 124,2,2024-09-07 09:54:11:009,780935,780882,53,0,31352318,0,6487 124,3,2024-09-07 09:54:10:758,1,615,9,0,490,6034,615,0 125,0,2024-09-07 09:54:11:438,152599,0.4,152624,0.5,306218,0.3,407778,1.75 125,1,2024-09-07 09:54:10:861,1079002,1079002,0,0,507166478526,5291659852,1070930,6919,1153,382,391968,0 125,2,2024-09-07 09:54:11:115,776500,776500,0,0,31420529,0,4534 125,3,2024-09-07 09:54:11:127,1,615,2,0,709,7018,615,0 126,0,2024-09-07 09:54:11:421,157014,0.4,161512,0.5,308837,0.3,418671,1.75 126,1,2024-09-07 09:54:10:551,1083130,1083130,0,0,508108289701,5258056114,1077608,5075,447,365,391987,0 126,2,2024-09-07 09:54:10:621,781580,781580,0,0,32717686,0,4539 126,3,2024-09-07 09:54:10:909,1,615,0,0,268,6660,615,0 127,0,2024-09-07 09:54:11:614,152833,0.3,152896,0.5,305105,0.3,405634,1.75 127,1,2024-09-07 09:54:10:581,1081284,1081284,0,0,507546175888,5278674234,1070601,9045,1638,364,392187,0 127,2,2024-09-07 09:54:10:649,780966,780962,4,0,30892006,0,5305 127,3,2024-09-07 09:54:11:291,1,615,1,0,968,5994,615,0 128,0,2024-09-07 09:54:11:520,154014,0.3,154147,0.4,307974,0.2,409272,1.50 128,1,2024-09-07 09:54:11:608,1081052,1081052,0,0,507029645934,5272130350,1072633,7454,965,367,392423,0 128,2,2024-09-07 09:54:11:394,780310,780310,0,0,28623893,0,3171 128,3,2024-09-07 09:54:10:776,1,615,2,0,1082,9644,615,0 129,0,2024-09-07 09:54:11:004,154873,0.3,154054,0.5,308717,0.3,409962,1.50 129,1,2024-09-07 09:54:10:573,1078000,1078000,0,0,505600720828,5297052407,1067525,8453,2022,379,391962,0 129,2,2024-09-07 09:54:10:690,776467,776463,4,0,31156212,0,5335 129,3,2024-09-07 09:54:10:689,1,615,1,0,506,8323,615,0 130,0,2024-09-07 09:54:11:722,156468,0.4,156118,0.5,312748,0.4,416666,1.75 130,1,2024-09-07 09:54:10:607,1082476,1082476,0,0,507912879667,5273546455,1077025,5050,401,381,391825,0 130,2,2024-09-07 09:54:11:124,779352,779352,0,0,31426577,0,4067 130,3,2024-09-07 09:54:11:292,1,615,1,0,960,8338,615,0 131,0,2024-09-07 09:54:11:937,154398,0.3,154904,0.5,310662,0.3,412241,1.75 131,1,2024-09-07 09:54:11:820,1081191,1081191,0,0,507405472558,5286803841,1073956,6037,1198,381,391865,0 131,2,2024-09-07 09:54:10:572,780518,780518,0,0,29168754,0,3979 131,3,2024-09-07 09:54:11:693,1,615,1,0,392,7755,615,0 132,0,2024-09-07 09:54:11:425,154944,0.5,155895,0.6,310419,0.4,412803,2.00 132,1,2024-09-07 09:54:10:776,1076796,1076796,0,0,504869911124,5313665926,1061346,12746,2704,381,392532,0 132,2,2024-09-07 09:54:10:698,777682,777665,17,0,38055197,0,6451 132,3,2024-09-07 09:54:11:690,1,615,1,0,1298,10906,615,0 133,0,2024-09-07 09:54:11:536,149520,0.4,152980,0.6,313670,0.4,408786,2.00 133,1,2024-09-07 09:54:10:583,1077482,1077482,0,0,505225267994,5320909397,1063393,12325,1764,383,391914,0 133,2,2024-09-07 09:54:11:089,777353,777303,50,0,39036295,0,6861 133,3,2024-09-07 09:54:11:299,1,615,1,0,528,7352,615,0 134,0,2024-09-07 09:54:10:953,155464,0.5,155680,0.7,311316,0.5,414273,2.00 134,1,2024-09-07 09:54:10:596,1078559,1078559,0,0,505733550101,5302350323,1064768,11300,2491,366,391781,0 134,2,2024-09-07 09:54:11:755,776059,776035,24,0,36080287,0,6207 134,3,2024-09-07 09:54:10:771,1,615,1,0,739,7593,615,0 135,0,2024-09-07 09:54:11:097,151114,0.8,151150,0.8,320835,0.9,414098,2.25 135,1,2024-09-07 09:54:11:586,1077646,1077646,0,0,506264306378,5320451888,1064610,11395,1641,380,391974,0 135,2,2024-09-07 09:54:10:698,779827,779827,0,0,37046238,0,4503 135,3,2024-09-07 09:54:11:001,1,615,0,0,900,5930,615,0 136,0,2024-09-07 09:54:11:706,154189,0.6,154663,0.8,307003,0.6,410054,2.00 136,1,2024-09-07 09:54:11:447,1078908,1078908,0,0,506096252546,5303118388,1066994,10524,1390,381,392135,0 136,2,2024-09-07 09:54:11:132,780480,780465,15,0,36612747,0,6007 136,3,2024-09-07 09:54:11:106,1,615,9,0,637,7001,615,0 137,0,2024-09-07 09:54:10:938,157423,0.6,153402,0.7,300496,0.6,408185,2.00 137,1,2024-09-07 09:54:10:582,1078028,1078028,0,0,505760407926,5303722880,1061480,13159,3389,366,391898,0 137,2,2024-09-07 09:54:11:705,777281,777281,0,0,37661761,0,3185 137,3,2024-09-07 09:54:10:776,1,615,7,0,484,8282,615,0 138,0,2024-09-07 09:54:11:745,152499,0.7,152923,0.8,306292,0.8,406855,2.00 138,1,2024-09-07 09:54:11:685,1078576,1078576,0,0,506801569502,5314910912,1063786,12518,2272,368,391954,0 138,2,2024-09-07 09:54:10:599,772910,772910,0,0,35763173,0,4988 138,3,2024-09-07 09:54:10:610,1,615,3,0,1200,9561,615,0 139,0,2024-09-07 09:54:11:378,155440,1.0,156114,0.9,311820,1.4,416696,2.25 139,1,2024-09-07 09:54:10:574,1073876,1073876,0,0,502992005379,5332144043,1055482,14567,3827,380,392109,0 139,2,2024-09-07 09:54:10:696,774289,774259,30,0,41344929,0,5997 139,3,2024-09-07 09:54:11:666,1,615,1,0,432,7202,615,0 140,0,2024-09-07 09:54:11:588,152792,0.3,152023,0.5,304899,0.2,406438,1.75 140,1,2024-09-07 09:54:11:536,1084778,1084778,0,0,509335791575,5248189263,1079805,4425,548,364,391606,0 140,2,2024-09-07 09:54:10:688,781188,781187,1,0,29186384,0,5036 140,3,2024-09-07 09:54:10:767,1,615,1,0,575,6021,615,0 141,0,2024-09-07 09:54:11:701,153157,0.3,157433,0.5,300940,0.2,407003,1.75 141,1,2024-09-07 09:54:10:860,1083234,1083234,0,0,507913730401,5274201009,1075034,7007,1193,379,391614,0 141,2,2024-09-07 09:54:11:686,779266,779255,11,0,31274096,0,5369 141,3,2024-09-07 09:54:11:048,1,615,0,0,391,6997,615,0 142,0,2024-09-07 09:54:11:311,153910,0.3,153083,0.5,306335,0.2,408313,1.50 142,1,2024-09-07 09:54:10:589,1081204,1081204,0,0,507077717233,5283464011,1074483,6213,508,382,392102,0 142,2,2024-09-07 09:54:11:304,773736,773704,32,0,31686919,0,6028 142,3,2024-09-07 09:54:11:749,1,615,1,0,484,6429,615,0 143,0,2024-09-07 09:54:11:389,156043,0.4,155820,0.5,312832,0.4,416595,1.75 143,1,2024-09-07 09:54:10:558,1083182,1083182,0,0,507958791471,5267294921,1076711,5903,568,367,391900,0 143,2,2024-09-07 09:54:10:769,780503,780503,0,0,31687202,0,3123 143,3,2024-09-07 09:54:11:143,1,615,10,0,462,7341,615,0 144,0,2024-09-07 09:54:11:496,148777,0.6,153160,0.8,311593,0.6,407581,2.00 144,1,2024-09-07 09:54:10:582,1078253,1078253,0,0,505701913931,5304449733,1067653,8606,1994,381,391733,0 144,2,2024-09-07 09:54:11:762,781393,781393,0,0,31009488,0,4443 144,3,2024-09-07 09:54:11:743,1,615,4,0,249,6008,615,0 145,0,2024-09-07 09:54:11:364,149206,0.6,149153,0.8,316478,0.5,407302,2.25 145,1,2024-09-07 09:54:10:554,1077041,1077041,0,0,505972154515,5321591240,1063005,11360,2676,382,391781,0 145,2,2024-09-07 09:54:11:429,776691,776609,82,0,36990998,0,7814 145,3,2024-09-07 09:54:10:903,1,615,16,0,622,8111,615,0 146,0,2024-09-07 09:54:11:609,153627,0.5,152722,0.7,307222,0.5,408271,2.00 146,1,2024-09-07 09:54:11:595,1078478,1078478,0,0,505437568638,5317353113,1060936,13060,4482,367,391770,0 146,2,2024-09-07 09:54:11:695,774328,774322,6,0,35247216,0,5151 146,3,2024-09-07 09:54:11:276,1,615,9,0,1520,9955,615,0 147,0,2024-09-07 09:54:11:710,155639,0.5,155354,0.7,310668,0.5,414957,2.00 147,1,2024-09-07 09:54:11:372,1082224,1082224,0,0,507364004479,5270172583,1073724,7533,967,367,391791,0 147,2,2024-09-07 09:54:11:009,777255,777255,0,0,31266892,0,2968 147,3,2024-09-07 09:54:10:930,1,615,26,0,1626,9652,615,0 0,0,2024-09-07 09:54:21:718,148521,0.6,148523,0.7,315361,0.6,407210,2.00 0,1,2024-09-07 09:54:20:802,1080773,1080773,0,0,507582883918,5310605087,1072223,7602,948,368,391896,0 0,2,2024-09-07 09:54:21:071,780069,780069,0,0,31208366,0,4480 0,3,2024-09-07 09:54:20:989,1,616,2,0,431,8714,616,0 1,0,2024-09-07 09:54:21:752,154668,0.8,153529,0.9,308426,0.9,412750,2.00 1,1,2024-09-07 09:54:20:565,1080083,1080083,0,0,506462224126,5302241030,1070601,7991,1491,370,391859,0 1,2,2024-09-07 09:54:20:654,776927,776927,0,0,30645251,0,3380 1,3,2024-09-07 09:54:21:312,1,616,7,0,269,7585,616,0 2,0,2024-09-07 09:54:21:575,156687,0.5,156384,0.7,312501,0.5,416986,2.00 2,1,2024-09-07 09:54:20:860,1083453,1083453,0,0,508709345997,5287074834,1077274,5227,952,379,391745,0 2,2,2024-09-07 09:54:21:280,781031,781031,0,0,29262317,0,3594 2,3,2024-09-07 09:54:20:690,1,616,1,0,357,5454,616,0 3,0,2024-09-07 09:54:21:769,153213,0.4,153153,0.6,305905,0.4,408041,2.00 3,1,2024-09-07 09:54:21:621,1082062,1082062,0,0,507855763901,5279770944,1074449,6908,705,379,391716,0 3,2,2024-09-07 09:54:21:142,781643,781620,23,0,30348844,0,5851 3,3,2024-09-07 09:54:21:753,1,616,2,0,484,4625,616,0 4,0,2024-09-07 09:54:21:809,148158,0.4,152261,0.5,310381,0.3,406089,1.75 4,1,2024-09-07 09:54:20:598,1077238,1077238,0,0,505078814986,5342285992,1059421,14063,3754,369,391992,0 4,2,2024-09-07 09:54:21:029,777336,777336,0,0,37289020,0,4534 4,3,2024-09-07 09:54:21:027,1,616,0,0,448,7385,616,0 5,0,2024-09-07 09:54:21:394,153372,0.4,153310,0.5,306744,0.3,408278,1.75 5,1,2024-09-07 09:54:20:766,1078346,1078346,0,0,506188671017,5341364830,1061983,12233,4130,367,392005,0 5,2,2024-09-07 09:54:21:834,772284,772284,0,0,36037381,0,3582 5,3,2024-09-07 09:54:21:735,1,616,10,0,457,8436,616,0 6,0,2024-09-07 09:54:20:918,157436,0.4,157092,0.6,314211,0.4,419074,2.00 6,1,2024-09-07 09:54:20:748,1081156,1081156,0,0,507096302196,5294450552,1070076,9506,1574,379,391702,0 6,2,2024-09-07 09:54:21:116,780879,780861,18,0,35036978,0,5535 6,3,2024-09-07 09:54:21:275,1,616,2,0,710,7407,616,0 7,0,2024-09-07 09:54:21:530,151786,0.5,152859,0.7,303494,0.5,404876,2.00 7,1,2024-09-07 09:54:20:851,1078621,1078621,0,0,505941893008,5324622201,1062291,12564,3766,382,391747,0 7,2,2024-09-07 09:54:20:775,780920,780920,0,0,33728747,0,4791 7,3,2024-09-07 09:54:20:851,1,616,1,0,552,7106,616,0 8,0,2024-09-07 09:54:21:360,153903,0.4,153620,0.5,307658,0.3,409719,1.75 8,1,2024-09-07 09:54:21:031,1078203,1078203,0,0,506468378563,5324533725,1061451,13163,3589,366,392853,0 8,2,2024-09-07 09:54:20:790,774801,774799,2,0,40112334,0,5112 8,3,2024-09-07 09:54:20:588,1,616,6,0,772,9672,616,0 9,0,2024-09-07 09:54:21:112,153539,0.4,149347,0.5,312412,0.3,409117,1.75 9,1,2024-09-07 09:54:20:568,1078115,1078115,0,0,505798859328,5330178270,1061311,13574,3230,369,392001,0 9,2,2024-09-07 09:54:21:084,774117,774116,1,0,37806239,0,5281 9,3,2024-09-07 09:54:21:751,1,616,1,0,1273,10311,616,0 10,0,2024-09-07 09:54:21:602,156123,0.3,155379,0.5,311889,0.3,415751,1.75 10,1,2024-09-07 09:54:20:583,1079825,1079825,0,0,506515811988,5312779158,1064092,12669,3064,381,391981,0 10,2,2024-09-07 09:54:20:766,779686,779686,0,0,40751282,0,4713 10,3,2024-09-07 09:54:20:871,1,616,1,0,669,6847,616,0 11,0,2024-09-07 09:54:21:016,154296,0.4,149678,0.6,313294,0.4,412197,1.75 11,1,2024-09-07 09:54:20:574,1081135,1081135,0,0,507096433553,5318427529,1065178,11654,4303,383,391766,0 11,2,2024-09-07 09:54:21:131,779784,779784,0,0,35942568,0,4698 11,3,2024-09-07 09:54:21:298,1,616,1,0,843,7872,616,0 12,0,2024-09-07 09:54:20:947,156029,0.4,155879,0.5,311327,0.4,413928,1.75 12,1,2024-09-07 09:54:20:934,1081296,1081296,0,0,506948276233,5284698738,1072911,7376,1009,370,391960,0 12,2,2024-09-07 09:54:21:542,777879,777879,0,0,34559972,0,4390 12,3,2024-09-07 09:54:21:060,1,616,7,0,386,7647,616,0 13,0,2024-09-07 09:54:21:330,154544,0.4,154628,0.5,308334,0.3,411187,1.75 13,1,2024-09-07 09:54:21:542,1078806,1078806,0,0,506804998821,5324504934,1067904,8512,2390,382,391803,0 13,2,2024-09-07 09:54:20:611,779637,779637,0,0,30899293,0,3287 13,3,2024-09-07 09:54:21:766,1,616,1,0,522,8020,616,0 14,0,2024-09-07 09:54:20:575,155393,0.4,156468,0.6,310529,0.3,413880,1.75 14,1,2024-09-07 09:54:21:563,1086101,1086101,0,0,509291623135,5267931635,1077638,7334,1129,364,391673,0 14,2,2024-09-07 09:54:20:767,778588,778558,30,0,32308264,0,6104 14,3,2024-09-07 09:54:21:115,1,616,1,0,1168,6656,616,0 15,0,2024-09-07 09:54:21:554,156765,0.4,155770,0.6,312202,0.4,416948,2.00 15,1,2024-09-07 09:54:21:641,1082850,1082850,0,0,507976123836,5287994031,1073774,7163,1913,381,391619,0 15,2,2024-09-07 09:54:21:010,781955,781955,0,0,28044292,0,3622 15,3,2024-09-07 09:54:21:405,1,616,1,0,1126,8131,616,0 16,0,2024-09-07 09:54:20:928,153835,0.6,154612,0.8,308389,0.6,410849,2.00 16,1,2024-09-07 09:54:20:596,1082400,1082400,0,0,506814558087,5296170658,1072955,8001,1444,370,392194,0 16,2,2024-09-07 09:54:21:442,779294,779294,0,0,31807002,0,4719 16,3,2024-09-07 09:54:21:142,1,616,1,0,358,7630,616,0 17,0,2024-09-07 09:54:21:781,157360,0.6,153404,0.8,300394,0.6,408477,2.00 17,1,2024-09-07 09:54:20:579,1080572,1080572,0,0,507336336392,5318128030,1070272,8528,1772,368,392075,0 17,2,2024-09-07 09:54:21:666,782372,782371,1,0,33099707,0,5050 17,3,2024-09-07 09:54:20:590,1,616,10,0,518,8852,616,0 18,0,2024-09-07 09:54:20:941,152076,0.6,153074,0.8,304546,0.6,407061,2.25 18,1,2024-09-07 09:54:21:639,1085057,1085057,0,0,508254935213,5269278712,1077988,5812,1257,367,391725,0 18,2,2024-09-07 09:54:21:758,778271,778271,0,0,29363408,0,3541 18,3,2024-09-07 09:54:20:896,1,616,1,0,1059,5595,616,0 19,0,2024-09-07 09:54:21:551,156533,0.6,156936,0.8,312838,0.6,415986,2.00 19,1,2024-09-07 09:54:20:569,1084997,1084997,0,0,509055807834,5273793665,1077188,6676,1133,365,391777,0 19,2,2024-09-07 09:54:21:755,783482,783482,0,0,27832140,0,3988 19,3,2024-09-07 09:54:21:130,1,616,1,0,524,4649,616,0 20,0,2024-09-07 09:54:21:356,152393,0.6,152300,0.7,304367,0.6,405873,2.00 20,1,2024-09-07 09:54:20:575,1080442,1080442,0,0,507759275212,5318954664,1069643,9289,1510,369,391922,0 20,2,2024-09-07 09:54:20:929,780510,780510,0,0,34883410,0,4321 20,3,2024-09-07 09:54:20:589,1,616,1,0,468,9803,616,0 21,0,2024-09-07 09:54:21:131,153087,0.5,153173,0.6,306030,0.4,406268,2.00 21,1,2024-09-07 09:54:21:542,1078570,1078570,0,0,505215218243,5330760687,1061690,13044,3836,368,392016,0 21,2,2024-09-07 09:54:21:077,773952,773475,477,0,45323765,0,17074 21,3,2024-09-07 09:54:21:404,1,616,1,0,713,8726,616,0 22,0,2024-09-07 09:54:21:717,152878,0.5,153491,0.6,306427,0.4,406373,2.00 22,1,2024-09-07 09:54:21:023,1079188,1079188,0,0,505027552339,5313476437,1061370,14278,3540,382,391822,0 22,2,2024-09-07 09:54:20:760,773659,773633,26,0,33007472,0,6328 22,3,2024-09-07 09:54:21:072,1,616,1,0,228,5276,616,0 23,0,2024-09-07 09:54:21:368,156079,0.5,155870,0.6,311887,0.4,416313,2.00 23,1,2024-09-07 09:54:21:010,1079578,1079578,0,0,507245953871,5341979717,1060096,12904,6578,365,391690,0 23,2,2024-09-07 09:54:21:093,781582,781582,0,0,31797725,0,3773 23,3,2024-09-07 09:54:21:756,1,616,11,0,855,8906,616,0 24,0,2024-09-07 09:54:20:833,154463,0.4,153648,0.6,308920,0.4,409926,1.75 24,1,2024-09-07 09:54:20:598,1080207,1080207,0,0,505974553503,5295201989,1070077,8420,1710,367,392269,0 24,2,2024-09-07 09:54:21:069,780739,780736,3,0,38527198,0,6294 24,3,2024-09-07 09:54:21:702,1,616,2,0,468,7879,616,0 25,0,2024-09-07 09:54:21:344,158516,0.4,154335,0.6,303131,0.4,412570,2.00 25,1,2024-09-07 09:54:20:587,1078148,1078148,0,0,505577852708,5340177511,1059376,15058,3714,369,391928,0 25,2,2024-09-07 09:54:21:610,777149,777149,0,0,39089447,0,3978 25,3,2024-09-07 09:54:21:004,1,616,3,0,532,6604,616,0 26,0,2024-09-07 09:54:21:723,153043,0.4,149576,0.6,314122,0.3,409002,1.75 26,1,2024-09-07 09:54:21:541,1081723,1081723,0,0,507303428011,5309019185,1067386,11804,2533,380,391758,0 26,2,2024-09-07 09:54:20:860,775812,775812,0,0,41642639,0,4689 26,3,2024-09-07 09:54:21:712,1,616,2,0,796,7586,616,0 27,0,2024-09-07 09:54:21:726,155893,0.4,156552,0.6,311354,0.3,415712,2.25 27,1,2024-09-07 09:54:21:687,1083067,1083067,0,0,508453228537,5297217680,1072665,8793,1609,381,391626,0 27,2,2024-09-07 09:54:20:866,775727,775662,65,0,36491956,0,5699 27,3,2024-09-07 09:54:21:015,1,616,1,0,564,5252,616,0 28,0,2024-09-07 09:54:21:398,155818,0.4,156028,0.6,312118,0.3,415360,1.75 28,1,2024-09-07 09:54:20:798,1083406,1083406,0,0,508046600690,5294051436,1074463,7052,1891,382,391904,0 28,2,2024-09-07 09:54:21:764,781373,781373,0,0,31295189,0,2915 28,3,2024-09-07 09:54:21:778,1,616,21,0,502,6098,616,0 29,0,2024-09-07 09:54:21:359,159065,0.4,154940,0.6,304001,0.3,414498,1.75 29,1,2024-09-07 09:54:21:624,1086378,1086378,0,0,509237479033,5264745445,1080015,5586,777,367,391809,0 29,2,2024-09-07 09:54:20:866,779473,779473,0,0,30363639,0,4986 29,3,2024-09-07 09:54:20:979,1,616,0,0,459,6536,616,0 30,0,2024-09-07 09:54:21:455,152128,0.5,148027,0.7,310143,0.4,405256,2.00 30,1,2024-09-07 09:54:20:571,1085129,1085129,0,0,509347894341,5282054780,1077554,6664,911,380,391672,0 30,2,2024-09-07 09:54:21:275,780317,780317,0,0,28967360,0,4192 30,3,2024-09-07 09:54:20:581,1,616,1,0,519,5711,616,0 31,0,2024-09-07 09:54:21:757,154310,0.4,155258,0.6,309478,0.4,413400,1.75 31,1,2024-09-07 09:54:20:569,1088901,1088901,0,0,510877365635,5244283148,1082441,5386,1074,356,391712,0 31,2,2024-09-07 09:54:21:289,776443,776443,0,0,32540469,0,4470 31,3,2024-09-07 09:54:21:706,1,616,1,0,239,5260,616,0 32,0,2024-09-07 09:54:21:429,156674,0.3,157900,0.5,314152,0.3,418447,1.75 32,1,2024-09-07 09:54:20:804,1085050,1085050,0,0,508770192612,5284583503,1078870,5462,718,381,391646,0 32,2,2024-09-07 09:54:20:946,782324,782324,0,0,28678815,0,3922 32,3,2024-09-07 09:54:21:017,1,616,1,0,304,4941,616,0 33,0,2024-09-07 09:54:21:497,153844,0.3,153435,0.4,307186,0.2,409008,1.50 33,1,2024-09-07 09:54:20:585,1085380,1085380,0,0,510092390068,5281261372,1076927,7180,1273,368,391730,0 33,2,2024-09-07 09:54:20:769,781680,781645,35,0,31811158,0,7012 33,3,2024-09-07 09:54:20:895,1,616,1,0,329,5342,616,0 34,0,2024-09-07 09:54:20:929,152816,0.3,156999,0.5,300286,0.2,405462,1.75 34,1,2024-09-07 09:54:21:046,1087136,1087136,0,0,510884703094,5253616259,1084172,2853,111,367,391637,0 34,2,2024-09-07 09:54:20:767,781049,781049,0,0,30339212,0,4562 34,3,2024-09-07 09:54:21:699,1,616,2,0,541,5522,616,0 35,0,2024-09-07 09:54:20:859,152393,0.3,153236,0.5,307378,0.2,408652,1.75 35,1,2024-09-07 09:54:21:068,1083231,1083231,0,0,508760746442,5278244282,1075120,6588,1523,382,391769,0 35,2,2024-09-07 09:54:21:586,775576,775576,0,0,33210059,0,4055 35,3,2024-09-07 09:54:20:907,1,616,1,0,466,5713,616,0 36,0,2024-09-07 09:54:21:542,157685,0.5,157776,0.6,314968,0.4,419774,2.00 36,1,2024-09-07 09:54:20:590,1082547,1082547,0,0,508150133173,5304046602,1068965,11342,2240,366,391759,0 36,2,2024-09-07 09:54:21:750,781388,781388,0,0,34806697,0,3875 36,3,2024-09-07 09:54:20:865,1,616,1,0,556,8307,616,0 37,0,2024-09-07 09:54:21:404,151685,0.5,151866,0.7,303880,0.5,405131,2.25 37,1,2024-09-07 09:54:20:583,1081939,1081932,0,7,507452041920,5297274491,1069274,9745,2913,365,391770,0 37,2,2024-09-07 09:54:21:142,779100,779085,15,0,34710041,0,5815 37,3,2024-09-07 09:54:21:766,1,616,1,0,888,8103,616,0 38,0,2024-09-07 09:54:21:449,152765,0.4,148079,0.6,309596,0.4,405426,2.00 38,1,2024-09-07 09:54:21:624,1081900,1081900,0,0,508648662911,5317857423,1066567,12333,3000,368,391821,0 38,2,2024-09-07 09:54:20:766,779219,779172,47,0,34892657,0,6710 38,3,2024-09-07 09:54:21:007,1,616,0,0,689,7439,616,0 39,0,2024-09-07 09:54:21:760,156918,0.5,153432,0.7,299191,0.5,407918,2.00 39,1,2024-09-07 09:54:20:720,1081319,1081319,0,0,507100491002,5313575459,1063872,13732,3715,365,391865,0 39,2,2024-09-07 09:54:21:424,775362,775362,0,0,33012967,0,3391 39,3,2024-09-07 09:54:20:726,1,616,2,0,525,6865,616,0 40,0,2024-09-07 09:54:21:499,154562,0.8,155348,0.9,310122,0.9,414013,2.75 40,1,2024-09-07 09:54:20:579,1081606,1081606,0,0,506595112198,5305093439,1065994,12543,3069,366,391668,0 40,2,2024-09-07 09:54:21:303,777574,777567,7,0,39446061,0,5347 40,3,2024-09-07 09:54:21:142,1,616,68,0,1028,8969,616,0 41,0,2024-09-07 09:54:21:023,153575,1.3,157184,1.1,299712,1.9,406985,3.25 41,1,2024-09-07 09:54:20:770,1080840,1080840,0,0,507302326412,5305419033,1067062,11618,2160,369,391878,0 41,2,2024-09-07 09:54:20:765,777464,777463,1,0,37726486,0,5408 41,3,2024-09-07 09:54:21:678,1,616,1,0,366,6676,616,0 42,0,2024-09-07 09:54:21:487,153893,0.9,153587,1.0,307215,1.1,407741,2.75 42,1,2024-09-07 09:54:21:438,1078842,1078842,0,0,505712935532,5312810045,1062257,13652,2933,380,391675,0 42,2,2024-09-07 09:54:21:134,777418,777417,1,0,38157125,0,5513 42,3,2024-09-07 09:54:21:014,1,616,2,0,892,6025,616,0 43,0,2024-09-07 09:54:20:917,152168,0.7,148168,0.9,310271,0.7,406207,2.25 43,1,2024-09-07 09:54:20:576,1082405,1082405,0,0,506750023190,5293725809,1067665,12168,2572,366,391696,0 43,2,2024-09-07 09:54:21:736,777948,777948,0,0,35895012,0,4723 43,3,2024-09-07 09:54:21:750,1,616,1,0,571,8880,616,0 44,0,2024-09-07 09:54:20:886,155777,0.4,155643,0.6,311202,0.3,414344,1.75 44,1,2024-09-07 09:54:20:571,1085688,1085688,0,0,508636896058,5255712019,1076318,7429,1941,356,391809,0 44,2,2024-09-07 09:54:21:275,776612,776612,0,0,29144976,0,4344 44,3,2024-09-07 09:54:21:093,1,616,1,0,1097,7504,616,0 45,0,2024-09-07 09:54:21:758,154794,0.5,151240,0.7,317451,0.4,417050,2.00 45,1,2024-09-07 09:54:21:010,1083820,1083820,0,0,508512707808,5281758022,1075565,7352,903,382,391917,0 45,2,2024-09-07 09:54:21:268,781349,781349,0,0,29500573,0,3596 45,3,2024-09-07 09:54:20:938,1,616,2,0,531,5809,616,0 46,0,2024-09-07 09:54:20:949,153232,0.6,152698,0.8,306491,0.6,407621,2.00 46,1,2024-09-07 09:54:20:576,1086638,1086638,0,0,509728279971,5266843613,1079143,6507,988,366,391709,0 46,2,2024-09-07 09:54:20:593,781345,781345,0,0,30155586,0,4443 46,3,2024-09-07 09:54:21:131,1,616,1,0,908,7290,616,0 47,0,2024-09-07 09:54:21:151,153094,0.4,152937,0.6,307214,0.4,406845,1.75 47,1,2024-09-07 09:54:20:567,1087323,1087323,0,0,509799472133,5264064478,1081223,5237,863,364,391641,0 47,2,2024-09-07 09:54:20:908,783269,783269,0,0,29149390,0,4477 47,3,2024-09-07 09:54:21:126,1,616,40,0,600,6679,616,0 48,0,2024-09-07 09:54:21:492,153811,0.3,153974,0.4,307459,0.2,408922,1.50 48,1,2024-09-07 09:54:21:022,1084568,1084568,0,0,508172015899,5275119221,1078461,5529,578,381,391710,0 48,2,2024-09-07 09:54:20:702,776575,776575,0,0,27609940,0,3524 48,3,2024-09-07 09:54:20:755,1,616,3,0,339,5390,616,0 49,0,2024-09-07 09:54:21:713,161557,0.3,158180,0.5,307777,0.3,420179,1.75 49,1,2024-09-07 09:54:21:021,1083612,1083612,0,0,508296558564,5285076265,1076337,5579,1696,382,391809,0 49,2,2024-09-07 09:54:21:799,782238,782238,0,0,29889282,0,4426 49,3,2024-09-07 09:54:21:424,1,616,13,0,992,7215,616,0 50,0,2024-09-07 09:54:21:508,153037,0.3,151468,0.5,305128,0.2,405739,1.75 50,1,2024-09-07 09:54:21:011,1086476,1086476,0,0,510074950626,5270272545,1079950,5857,669,368,391691,0 50,2,2024-09-07 09:54:21:071,780213,780213,0,0,27697994,0,4490 50,3,2024-09-07 09:54:21:298,1,616,1,0,617,6221,616,0 51,0,2024-09-07 09:54:21:696,157034,0.3,153705,0.5,299274,0.2,407938,1.75 51,1,2024-09-07 09:54:21:683,1086818,1086818,0,0,510492963962,5261706078,1081155,4582,1081,365,391706,0 51,2,2024-09-07 09:54:21:321,780302,780302,0,0,27217945,0,3337 51,3,2024-09-07 09:54:21:027,1,616,1,0,678,4438,616,0 52,0,2024-09-07 09:54:21:421,153562,0.5,153223,0.6,306793,0.4,407372,2.00 52,1,2024-09-07 09:54:20:581,1082002,1082002,0,0,508006677079,5314831229,1066853,12818,2331,368,391805,0 52,2,2024-09-07 09:54:21:755,772325,772287,38,0,36811297,0,6742 52,3,2024-09-07 09:54:20:675,1,616,1,0,1782,7129,616,0 53,0,2024-09-07 09:54:21:728,155895,0.6,151331,0.8,316674,0.7,415264,2.25 53,1,2024-09-07 09:54:20:770,1080369,1080369,0,0,506625253815,5314373243,1062177,13794,4398,367,391968,0 53,2,2024-09-07 09:54:21:298,781108,781107,1,0,33150779,0,5455 53,3,2024-09-07 09:54:20:701,1,616,1,0,308,5693,616,0 54,0,2024-09-07 09:54:21:617,151849,0.6,151968,0.8,302852,0.5,404360,2.25 54,1,2024-09-07 09:54:20:585,1083653,1083653,0,0,508518537043,5287134053,1072535,9447,1671,366,391810,0 54,2,2024-09-07 09:54:20:865,781863,781831,32,0,36940191,0,6397 54,3,2024-09-07 09:54:20:766,1,616,1,0,676,7884,616,0 55,0,2024-09-07 09:54:21:760,148831,0.6,153552,0.8,311211,0.6,405184,2.50 55,1,2024-09-07 09:54:20:769,1084118,1084118,0,0,508690854702,5288249363,1073252,9477,1389,365,391731,0 55,2,2024-09-07 09:54:20:729,778113,778057,56,0,34307007,0,7239 55,3,2024-09-07 09:54:20:681,1,616,11,0,304,5604,616,0 56,0,2024-09-07 09:54:21:561,156701,1.1,147689,1.1,304495,1.4,407675,2.75 56,1,2024-09-07 09:54:20:570,1077027,1077027,0,0,506107668911,5351132729,1059031,14307,3689,381,391867,0 56,2,2024-09-07 09:54:21:319,775045,774923,122,0,36666802,0,7432 56,3,2024-09-07 09:54:21:070,1,616,1,0,705,7140,616,0 57,0,2024-09-07 09:54:21:039,154340,1.3,153951,1.2,308390,1.8,412124,3.00 57,1,2024-09-07 09:54:21:008,1079635,1079635,0,0,506041545749,5310701461,1065165,11979,2491,366,392032,0 57,2,2024-09-07 09:54:21:320,778231,778231,0,0,38463698,0,4804 57,3,2024-09-07 09:54:21:745,1,616,1,0,455,7091,616,0 58,0,2024-09-07 09:54:20:586,153410,1.0,148960,1.0,311886,1.2,407679,2.75 58,1,2024-09-07 09:54:20:586,1080876,1080873,0,3,507739661428,5321002914,1064719,12250,3904,367,391726,3 58,2,2024-09-07 09:54:21:073,781013,781013,0,0,36022172,0,3483 58,3,2024-09-07 09:54:21:074,1,616,3,0,1043,6878,616,0 59,0,2024-09-07 09:54:21:768,153241,0.9,152808,1.0,305292,1.0,405621,3.00 59,1,2024-09-07 09:54:20:804,1079945,1079945,0,0,506971195101,5326509120,1062093,14184,3668,369,391653,0 59,2,2024-09-07 09:54:20:590,779278,779278,0,0,34147803,0,3727 59,3,2024-09-07 09:54:21:740,1,616,1,0,1015,7502,616,0 60,0,2024-09-07 09:54:21:716,152776,0.5,152859,0.7,305919,0.5,407571,1.75 60,1,2024-09-07 09:54:20:775,1084793,1084793,0,0,508969401462,5287096095,1076834,6870,1089,370,392031,0 60,2,2024-09-07 09:54:21:141,779607,779607,0,0,32010758,0,3811 60,3,2024-09-07 09:54:21:260,1,616,1,0,409,7089,616,0 61,0,2024-09-07 09:54:21:506,154484,0.6,155157,0.7,308733,0.6,412666,2.00 61,1,2024-09-07 09:54:20:779,1082096,1082096,0,0,507752672400,5312522797,1070956,9458,1682,382,392127,0 61,2,2024-09-07 09:54:21:116,777429,777362,67,0,32638226,0,6411 61,3,2024-09-07 09:54:21:687,1,616,2,0,607,7900,616,0 62,0,2024-09-07 09:54:21:740,157318,0.6,161314,0.7,308076,0.6,418290,2.00 62,1,2024-09-07 09:54:21:111,1088231,1088225,0,6,510704727472,5262998844,1083253,4673,299,365,391975,6 62,2,2024-09-07 09:54:21:644,778621,778620,1,0,32602307,0,5555 62,3,2024-09-07 09:54:21:143,1,616,1,0,482,4896,616,0 63,0,2024-09-07 09:54:21:450,153882,0.4,153626,0.6,307686,0.4,409214,1.75 63,1,2024-09-07 09:54:20:804,1084700,1084694,0,6,508803187778,5281310739,1077846,5993,855,381,391800,6 63,2,2024-09-07 09:54:20:768,781008,781008,0,0,31007394,0,4369 63,3,2024-09-07 09:54:21:732,1,616,1,0,667,6233,616,0 64,0,2024-09-07 09:54:21:574,151910,0.5,151741,0.6,303228,0.4,404064,2.00 64,1,2024-09-07 09:54:20:760,1083385,1083385,0,0,508303505292,5291779512,1074034,7372,1979,370,391794,0 64,2,2024-09-07 09:54:21:140,783914,783895,19,0,30037409,0,6121 64,3,2024-09-07 09:54:21:154,1,616,8,0,651,6978,616,0 65,0,2024-09-07 09:54:21:696,152085,0.6,152377,0.7,304585,0.6,406136,2.00 65,1,2024-09-07 09:54:20:874,1081912,1081912,0,0,506994653057,5296335252,1074429,6609,874,381,391901,0 65,2,2024-09-07 09:54:21:698,774654,774654,0,0,36023529,0,3367 65,3,2024-09-07 09:54:21:683,1,616,1,0,782,6717,616,0 66,0,2024-09-07 09:54:21:768,156703,0.5,156244,0.7,312769,0.5,416767,2.00 66,1,2024-09-07 09:54:21:293,1083994,1083994,0,0,507900279945,5282375631,1077491,5781,722,380,391743,0 66,2,2024-09-07 09:54:21:132,783620,783617,3,0,32056099,0,5455 66,3,2024-09-07 09:54:21:085,1,616,1,0,291,5137,616,0 67,0,2024-09-07 09:54:21:434,152397,0.5,151974,0.7,305006,0.5,405698,2.00 67,1,2024-09-07 09:54:20:766,1083653,1083652,0,1,508954292811,5296204016,1076252,6455,945,380,391787,1 67,2,2024-09-07 09:54:20:590,784431,784416,15,0,31867036,0,6205 67,3,2024-09-07 09:54:21:751,1,616,1,0,595,6338,616,0 68,0,2024-09-07 09:54:20:589,153658,0.6,153447,0.7,305572,0.5,408794,2.00 68,1,2024-09-07 09:54:20:574,1079414,1079414,0,0,506391835011,5321225529,1065604,10279,3531,381,391953,0 68,2,2024-09-07 09:54:21:052,775925,775825,100,0,40035356,0,8578 68,3,2024-09-07 09:54:20:732,1,616,1,0,417,7818,616,0 69,0,2024-09-07 09:54:21:724,152933,0.6,153619,0.8,306417,0.6,406758,2.25 69,1,2024-09-07 09:54:21:020,1078498,1078498,0,0,506500747622,5334931495,1064632,11032,2834,383,391994,0 69,2,2024-09-07 09:54:21:732,772687,772658,29,0,42558708,0,6912 69,3,2024-09-07 09:54:20:766,1,616,0,0,698,8702,616,0 70,0,2024-09-07 09:54:21:539,154419,0.7,155066,0.9,311438,0.6,412820,2.50 70,1,2024-09-07 09:54:20:814,1084967,1084967,0,0,509017089042,5279242273,1077048,7110,809,366,391725,0 70,2,2024-09-07 09:54:21:324,780478,780478,0,0,35100722,0,4323 70,3,2024-09-07 09:54:20:747,1,616,1,0,854,6765,616,0 71,0,2024-09-07 09:54:21:357,153277,0.9,152905,1.0,306990,1.2,409177,2.50 71,1,2024-09-07 09:54:21:603,1082673,1082673,0,0,507821650359,5297733191,1069671,11381,1621,368,391738,0 71,2,2024-09-07 09:54:21:074,780262,780262,0,0,35366023,0,4352 71,3,2024-09-07 09:54:21:755,1,616,2,0,644,6854,616,0 72,0,2024-09-07 09:54:21:036,159925,0.6,156162,0.7,305017,0.5,414804,2.00 72,1,2024-09-07 09:54:21:022,1081049,1081049,0,0,506692993237,5313167443,1065739,12791,2519,369,391819,0 72,2,2024-09-07 09:54:21:755,777341,777341,0,0,37062393,0,3983 72,3,2024-09-07 09:54:21:770,1,616,1,0,564,8972,616,0 73,0,2024-09-07 09:54:21:130,149631,0.4,153540,0.6,313831,0.4,408752,2.00 73,1,2024-09-07 09:54:20:767,1082388,1082388,0,0,508760365768,5287139368,1073977,7429,982,367,391858,0 73,2,2024-09-07 09:54:21:739,777431,777430,1,0,38711593,0,5027 73,3,2024-09-07 09:54:20:983,1,616,67,0,1091,8499,616,0 74,0,2024-09-07 09:54:21:327,156463,0.4,160232,0.6,305859,0.4,414695,2.00 74,1,2024-09-07 09:54:20:635,1081329,1081329,0,0,507412519740,5296416656,1069420,9792,2117,381,391762,0 74,2,2024-09-07 09:54:21:002,776746,776746,0,0,33708524,0,4253 74,3,2024-09-07 09:54:21:445,1,616,1,0,522,7479,616,0 75,0,2024-09-07 09:54:21:764,156828,0.5,155965,0.7,312834,0.5,418406,2.25 75,1,2024-09-07 09:54:21:585,1082192,1082192,0,0,507780260655,5290165234,1073564,7758,870,380,391739,0 75,2,2024-09-07 09:54:21:350,779304,779304,0,0,40911585,0,4766 75,3,2024-09-07 09:54:21:067,1,616,1,0,918,8269,616,0 76,0,2024-09-07 09:54:20:612,153576,0.6,152929,0.8,305893,0.6,409370,2.25 76,1,2024-09-07 09:54:20:885,1082357,1082357,0,0,507237799940,5287546974,1075018,6348,991,382,391790,0 76,2,2024-09-07 09:54:21:063,782322,782319,3,0,32980469,0,5265 76,3,2024-09-07 09:54:21:142,1,616,1,0,227,5657,616,0 77,0,2024-09-07 09:54:21:705,152292,0.5,152849,0.7,305455,0.5,406113,2.00 77,1,2024-09-07 09:54:20:824,1082321,1082321,0,0,507893907078,5295950192,1074328,7113,880,381,391869,0 77,2,2024-09-07 09:54:21:283,779117,779117,0,0,32128246,0,3890 77,3,2024-09-07 09:54:21:111,1,616,1,0,401,6983,616,0 78,0,2024-09-07 09:54:21:724,154088,0.4,153426,0.6,307731,0.4,407540,2.00 78,1,2024-09-07 09:54:20:610,1083019,1083019,0,0,507377284644,5289843309,1070177,9925,2917,367,391670,0 78,2,2024-09-07 09:54:21:404,777357,777344,13,0,30014638,0,8313 78,3,2024-09-07 09:54:21:134,1,616,1,0,311,5117,616,0 79,0,2024-09-07 09:54:21:353,151753,0.4,155452,0.6,318424,0.3,415028,2.00 79,1,2024-09-07 09:54:20:571,1086244,1086244,0,0,509731941766,5273937325,1078396,6488,1360,367,391682,0 79,2,2024-09-07 09:54:21:073,781491,781491,0,0,29220645,0,4195 79,3,2024-09-07 09:54:20:749,1,616,3,0,418,7187,616,0 80,0,2024-09-07 09:54:21:094,152308,0.6,156419,0.7,299022,0.6,404792,2.00 80,1,2024-09-07 09:54:21:625,1082364,1082364,0,0,507810864326,5285601888,1075204,6708,452,368,392269,0 80,2,2024-09-07 09:54:21:104,783593,783593,0,0,29784857,0,4433 80,3,2024-09-07 09:54:20:575,1,616,1,0,681,7435,616,0 81,0,2024-09-07 09:54:21:580,152993,0.5,156569,0.7,298895,0.5,405172,2.00 81,1,2024-09-07 09:54:21:650,1081705,1081705,0,0,507364466547,5299060880,1073536,7401,768,382,391885,0 81,2,2024-09-07 09:54:21:125,779608,779545,63,0,32996290,0,5932 81,3,2024-09-07 09:54:21:117,1,616,17,0,719,7043,616,0 82,0,2024-09-07 09:54:21:539,152979,0.4,153078,0.7,306929,0.4,406461,2.00 82,1,2024-09-07 09:54:20:591,1083826,1083822,0,4,508405693918,5282398190,1078249,4750,823,381,391768,4 82,2,2024-09-07 09:54:21:696,777556,777556,0,0,27915993,0,4484 82,3,2024-09-07 09:54:21:752,1,616,1,0,363,5723,616,0 83,0,2024-09-07 09:54:21:532,156483,0.5,156362,0.7,312501,0.5,415465,2.00 83,1,2024-09-07 09:54:20:556,1082781,1082781,0,0,507858007027,5291837521,1075189,6947,645,382,391709,0 83,2,2024-09-07 09:54:20:766,781393,781368,25,0,30095956,0,5612 83,3,2024-09-07 09:54:20:749,1,616,2,0,1260,7243,616,0 84,0,2024-09-07 09:54:21:827,152038,0.8,152105,0.9,304029,0.8,405965,2.25 84,1,2024-09-07 09:54:21:042,1080540,1080540,0,0,506678599596,5297324621,1068283,10584,1673,367,391967,0 84,2,2024-09-07 09:54:20:571,779965,779555,410,0,43932375,0,17037 84,3,2024-09-07 09:54:21:141,1,616,2,0,908,8153,616,0 85,0,2024-09-07 09:54:21:032,148101,0.6,148091,0.8,314408,0.6,405579,2.25 85,1,2024-09-07 09:54:20:561,1077501,1077501,0,0,505364440290,5333842126,1061173,13528,2800,381,392092,0 85,2,2024-09-07 09:54:20:866,778393,778393,0,0,37071054,0,4255 85,3,2024-09-07 09:54:20:685,1,616,1,0,789,7049,616,0 86,0,2024-09-07 09:54:20:958,153542,0.6,157844,0.8,301839,0.7,408184,2.25 86,1,2024-09-07 09:54:20:908,1080779,1080779,0,0,506976870558,5313945939,1066858,11234,2687,366,392169,0 86,2,2024-09-07 09:54:20:856,775318,775317,1,0,39313228,0,5004 86,3,2024-09-07 09:54:20:604,1,616,10,0,308,8668,616,0 87,0,2024-09-07 09:54:21:322,156002,0.7,155392,0.8,310831,0.9,415648,2.25 87,1,2024-09-07 09:54:20:558,1080053,1080053,0,0,506203561251,5301583143,1066666,11589,1798,366,392076,0 87,2,2024-09-07 09:54:21:068,777830,777824,6,0,35690171,0,6323 87,3,2024-09-07 09:54:21:800,1,616,1,0,473,8648,616,0 88,0,2024-09-07 09:54:21:470,155712,0.5,156270,0.6,312067,0.4,415243,1.75 88,1,2024-09-07 09:54:20:571,1079347,1079347,0,0,506438872198,5307776019,1063946,12354,3047,365,392084,0 88,2,2024-09-07 09:54:20:693,779701,779701,0,0,38690381,0,4465 88,3,2024-09-07 09:54:21:289,1,616,8,0,1080,9424,616,0 89,0,2024-09-07 09:54:21:779,158951,0.5,154220,0.6,304259,0.4,414084,1.75 89,1,2024-09-07 09:54:20:560,1079068,1079068,0,0,506324770501,5323835011,1066076,11047,1945,382,391866,0 89,2,2024-09-07 09:54:21:133,779030,779030,0,0,36473606,0,3173 89,3,2024-09-07 09:54:21:796,1,616,5,0,468,10172,616,0 90,0,2024-09-07 09:54:21:634,148292,0.5,152315,0.6,310885,0.4,405581,2.00 90,1,2024-09-07 09:54:20:599,1080946,1080946,0,0,506370328429,5302236629,1070845,9207,894,380,391825,0 90,2,2024-09-07 09:54:21:406,775817,775812,5,0,39970240,0,6370 90,3,2024-09-07 09:54:20:931,1,616,4,0,322,7377,616,0 91,0,2024-09-07 09:54:20:939,155037,0.4,150478,0.6,314881,0.4,413258,1.75 91,1,2024-09-07 09:54:20:571,1078370,1078370,0,0,506679325830,5337741709,1062506,12716,3148,381,392047,0 91,2,2024-09-07 09:54:21:335,776756,776756,0,0,35076620,0,4713 91,3,2024-09-07 09:54:20:605,1,616,2,0,216,5470,616,0 92,0,2024-09-07 09:54:21:450,158361,0.4,161953,0.6,308671,0.4,418621,1.75 92,1,2024-09-07 09:54:20:603,1081680,1081680,0,0,507956882187,5303553931,1073306,7086,1288,381,392136,0 92,2,2024-09-07 09:54:21:354,780940,780940,0,0,30752333,0,3259 92,3,2024-09-07 09:54:21:017,1,616,6,0,167,5240,616,0 93,0,2024-09-07 09:54:21:136,154324,0.4,158084,0.6,301785,0.4,409226,1.75 93,1,2024-09-07 09:54:20:847,1082452,1082452,0,0,508172704694,5301130177,1070883,9640,1929,365,392048,0 93,2,2024-09-07 09:54:20:930,780710,780710,0,0,36558704,0,4913 93,3,2024-09-07 09:54:21:406,1,616,4,0,375,6343,616,0 94,0,2024-09-07 09:54:21:608,151941,0.4,153058,0.5,306089,0.3,406402,1.75 94,1,2024-09-07 09:54:20:569,1083220,1083220,0,0,507996645962,5295170994,1076265,6520,435,381,391850,0 94,2,2024-09-07 09:54:20:824,780282,780254,28,0,31438572,0,6179 94,3,2024-09-07 09:54:21:696,1,616,0,0,576,7581,616,0 95,0,2024-09-07 09:54:21:417,153258,0.3,153210,0.5,306729,0.3,408781,1.75 95,1,2024-09-07 09:54:20:851,1083748,1083748,0,0,508799762126,5284956724,1075300,7697,751,365,391852,0 95,2,2024-09-07 09:54:21:319,774711,774711,0,0,30826816,0,3308 95,3,2024-09-07 09:54:21:709,1,616,30,0,718,9480,616,0 96,0,2024-09-07 09:54:21:088,157188,0.4,157625,0.5,315084,0.3,419300,1.75 96,1,2024-09-07 09:54:21:601,1082296,1082296,0,0,507541196243,5291310256,1074906,6116,1274,384,391964,0 96,2,2024-09-07 09:54:21:270,781868,781868,0,0,31986797,0,4180 96,3,2024-09-07 09:54:21:140,1,616,15,0,411,7011,616,0 97,0,2024-09-07 09:54:21:337,152530,0.3,152378,0.5,305557,0.3,405528,1.75 97,1,2024-09-07 09:54:20:774,1083736,1083736,0,0,508431182894,5274074395,1076800,5897,1039,367,392140,0 97,2,2024-09-07 09:54:20:607,782974,782974,0,0,31680939,0,4046 97,3,2024-09-07 09:54:20:570,1,616,2,0,242,6863,616,0 98,0,2024-09-07 09:54:21:714,153170,0.3,153342,0.4,307724,0.2,408908,1.50 98,1,2024-09-07 09:54:20:678,1082781,1082781,0,0,507767538056,5287174760,1076286,5659,836,381,391997,0 98,2,2024-09-07 09:54:20:773,779401,779401,0,0,30373059,0,4336 98,3,2024-09-07 09:54:20:715,1,616,1,0,840,9174,616,0 99,0,2024-09-07 09:54:21:464,153642,0.3,154454,0.5,307382,0.3,409440,1.75 99,1,2024-09-07 09:54:21:754,1083735,1083735,0,0,508041503044,5284543487,1077361,5431,943,380,392069,0 99,2,2024-09-07 09:54:21:419,775570,775570,0,0,37565587,0,4276 99,3,2024-09-07 09:54:20:581,1,616,1,0,1124,7794,616,0 100,0,2024-09-07 09:54:21:550,155660,0.7,156002,0.9,311898,0.7,416323,2.25 100,1,2024-09-07 09:54:20:558,1077220,1077220,0,0,505124473587,5337367078,1061189,12712,3319,378,391989,0 100,2,2024-09-07 09:54:21:826,776632,776243,389,0,40925132,0,16909 100,3,2024-09-07 09:54:21:731,1,616,0,0,627,10198,616,0 101,0,2024-09-07 09:54:21:715,157774,1.1,153942,1.0,301176,1.1,410901,2.25 101,1,2024-09-07 09:54:20:556,1079440,1079440,0,0,506958101820,5324637648,1065286,11625,2529,368,391847,0 101,2,2024-09-07 09:54:21:757,776699,776699,0,0,40913329,0,4871 101,3,2024-09-07 09:54:20:972,1,616,2,0,1250,9076,616,0 102,0,2024-09-07 09:54:20:951,150622,0.6,155127,0.8,315219,0.6,412385,2.25 102,1,2024-09-07 09:54:21:148,1079178,1079178,0,0,506432533499,5317630923,1065046,11931,2201,369,391984,0 102,2,2024-09-07 09:54:21:747,779889,779835,54,0,34999313,0,6768 102,3,2024-09-07 09:54:21:617,1,616,2,0,466,6979,616,0 103,0,2024-09-07 09:54:21:588,158679,0.6,158727,0.7,299081,0.5,412128,2.00 103,1,2024-09-07 09:54:21:625,1077893,1077893,0,0,506767773579,5349796595,1060802,13488,3603,381,392077,0 103,2,2024-09-07 09:54:20:593,775578,775578,0,0,37810888,0,3766 103,3,2024-09-07 09:54:20:772,1,616,1,0,916,7153,616,0 104,0,2024-09-07 09:54:21:027,154520,0.7,154623,0.9,308622,0.7,412668,2.25 104,1,2024-09-07 09:54:21:611,1080530,1080530,0,0,506742692806,5322467548,1064990,12695,2845,365,392168,0 104,2,2024-09-07 09:54:21:668,775035,775035,0,0,37530403,0,4161 104,3,2024-09-07 09:54:21:421,1,616,5,0,1245,10834,616,0 105,0,2024-09-07 09:54:21:036,154754,0.9,150762,1.1,316262,1.0,416183,2.50 105,1,2024-09-07 09:54:20:559,1081870,1081870,0,0,507477534892,5315157522,1068291,11635,1944,364,392009,0 105,2,2024-09-07 09:54:21:329,779611,779611,0,0,37844527,0,4360 105,3,2024-09-07 09:54:21:312,1,616,6,0,573,9384,616,0 106,0,2024-09-07 09:54:20:973,148675,0.9,152335,1.0,311991,1.1,407698,2.50 106,1,2024-09-07 09:54:21:754,1080582,1080582,0,0,506151448995,5310431985,1065908,12778,1896,368,391914,0 106,2,2024-09-07 09:54:20:759,778439,778439,0,0,35495825,0,3331 106,3,2024-09-07 09:54:20:679,1,616,2,0,1224,8448,616,0 107,0,2024-09-07 09:54:21:108,152516,0.9,152567,0.9,305096,1.1,406759,2.25 107,1,2024-09-07 09:54:20:772,1078073,1078073,0,0,505796698320,5343812326,1060670,15269,2134,381,392234,0 107,2,2024-09-07 09:54:21:308,776403,776402,1,0,38646582,0,5024 107,3,2024-09-07 09:54:21:756,1,616,1,0,733,9392,616,0 108,0,2024-09-07 09:54:21:907,153373,0.4,153897,0.6,306636,0.4,408912,1.75 108,1,2024-09-07 09:54:21:297,1082015,1082015,0,0,507887776131,5294844985,1073311,7708,996,367,391894,0 108,2,2024-09-07 09:54:21:765,775815,775815,0,0,33060992,0,4246 108,3,2024-09-07 09:54:21:330,1,616,10,0,749,10924,616,0 109,0,2024-09-07 09:54:21:744,158031,0.4,156660,0.6,314015,0.3,419443,1.75 109,1,2024-09-07 09:54:20:590,1079455,1079455,0,0,507342755716,5319306496,1069965,8210,1280,382,392132,0 109,2,2024-09-07 09:54:20:921,778887,778887,0,0,34026886,0,3617 109,3,2024-09-07 09:54:21:140,1,616,13,0,630,7384,616,0 110,0,2024-09-07 09:54:21:750,152323,0.4,148081,0.6,310215,0.4,406551,1.75 110,1,2024-09-07 09:54:21:643,1083857,1083857,0,0,508294626842,5274788016,1075810,6119,1928,368,392045,0 110,2,2024-09-07 09:54:21:303,781930,781930,0,0,31507983,0,4067 110,3,2024-09-07 09:54:20:696,1,616,4,0,722,8094,616,0 111,0,2024-09-07 09:54:21:414,153760,0.4,152917,0.5,305782,0.3,407488,1.75 111,1,2024-09-07 09:54:21:010,1084914,1084914,0,0,509667674904,5283455803,1079431,5102,381,380,391690,0 111,2,2024-09-07 09:54:21:118,780098,780098,0,0,32008860,0,4823 111,3,2024-09-07 09:54:20:913,1,616,1,0,379,6623,616,0 112,0,2024-09-07 09:54:20:910,153800,0.3,153326,0.4,307279,0.2,407678,1.50 112,1,2024-09-07 09:54:20:830,1083755,1083755,0,0,507771612639,5271382622,1076549,5981,1225,380,391624,0 112,2,2024-09-07 09:54:21:133,775584,775583,1,0,30205014,0,5036 112,3,2024-09-07 09:54:20:594,1,616,1,0,282,5752,616,0 113,0,2024-09-07 09:54:20:901,156521,0.3,156532,0.5,313478,0.2,417829,1.50 113,1,2024-09-07 09:54:21:685,1086208,1086208,0,0,510482265289,5265375299,1079972,5288,948,365,391664,0 113,2,2024-09-07 09:54:21:303,783787,783787,0,0,28702738,0,3813 113,3,2024-09-07 09:54:20:684,1,616,1,0,510,6564,616,0 114,0,2024-09-07 09:54:20:876,153687,0.3,154627,0.5,308328,0.2,411335,1.75 114,1,2024-09-07 09:54:20:719,1084141,1084141,0,0,508307455675,5276381508,1076199,6195,1747,381,391565,0 114,2,2024-09-07 09:54:20:875,783719,783718,1,0,29905492,0,5069 114,3,2024-09-07 09:54:21:294,1,616,1,0,395,4883,616,0 115,0,2024-09-07 09:54:20:567,154876,0.3,155480,0.4,309581,0.2,411649,1.50 115,1,2024-09-07 09:54:20:572,1084575,1084575,0,0,508855853244,5284222980,1076317,6741,1517,382,391757,0 115,2,2024-09-07 09:54:21:125,781398,781398,0,0,29966361,0,4382 115,3,2024-09-07 09:54:21:009,1,616,1,0,167,3731,616,0 116,0,2024-09-07 09:54:21:708,153451,0.6,153306,0.8,307314,0.6,410454,2.00 116,1,2024-09-07 09:54:20:826,1078065,1078065,0,0,505784871441,5328527277,1065403,9570,3092,380,392089,0 116,2,2024-09-07 09:54:21:751,776118,776118,0,0,37528139,0,4475 116,3,2024-09-07 09:54:20:912,1,616,1,0,448,7812,616,0 117,0,2024-09-07 09:54:21:012,156105,0.6,155459,0.8,311577,0.7,416851,2.00 117,1,2024-09-07 09:54:21:591,1080354,1080354,0,0,506238426486,5299976996,1068563,10268,1523,369,392429,0 117,2,2024-09-07 09:54:21:118,780464,780464,0,0,33951401,0,4303 117,3,2024-09-07 09:54:21:060,1,616,1,0,490,7753,616,0 118,0,2024-09-07 09:54:21:782,151022,0.5,155119,0.7,316574,0.5,413785,2.00 118,1,2024-09-07 09:54:20:586,1079598,1079598,0,0,505874889813,5316690824,1063748,12226,3624,366,392054,0 118,2,2024-09-07 09:54:21:593,779640,779640,0,0,36241512,0,2842 118,3,2024-09-07 09:54:21:768,1,616,1,0,289,7196,616,0 119,0,2024-09-07 09:54:21:373,154376,0.8,155002,0.8,309174,0.9,412540,2.25 119,1,2024-09-07 09:54:20:558,1080276,1080276,0,0,507171090466,5317330453,1065578,12186,2512,367,391857,0 119,2,2024-09-07 09:54:21:266,780211,780211,0,0,34215302,0,4309 119,3,2024-09-07 09:54:21:336,1,616,9,0,1358,11434,616,0 120,0,2024-09-07 09:54:21:578,151966,0.6,151873,0.8,305178,0.6,406601,2.25 120,1,2024-09-07 09:54:20:870,1081001,1081001,0,0,507146157334,5317920368,1069280,10579,1142,367,392144,0 120,2,2024-09-07 09:54:20:770,778093,778090,3,0,40759837,0,5363 120,3,2024-09-07 09:54:21:295,1,616,4,0,279,7377,616,0 121,0,2024-09-07 09:54:21:700,154815,1.0,154376,1.0,308869,1.3,412335,2.25 121,1,2024-09-07 09:54:21:660,1080813,1080813,0,0,507039139264,5304711948,1069512,9745,1556,366,391840,0 121,2,2024-09-07 09:54:21:127,775885,775885,0,0,37163781,0,4157 121,3,2024-09-07 09:54:20:732,1,616,5,0,387,8087,616,0 122,0,2024-09-07 09:54:21:781,156217,0.8,151904,0.9,318249,0.9,418454,2.00 122,1,2024-09-07 09:54:20:860,1079553,1079553,0,0,506454871798,5315056591,1064539,12599,2415,365,392130,0 122,2,2024-09-07 09:54:21:325,780655,780580,75,0,40935186,0,5989 122,3,2024-09-07 09:54:20:597,1,616,35,0,512,9903,616,0 123,0,2024-09-07 09:54:20:963,152733,0.9,148569,0.9,311260,1.0,407846,2.25 123,1,2024-09-07 09:54:20:561,1080107,1080107,0,0,507372741992,5333626575,1063218,14217,2672,369,392039,0 123,2,2024-09-07 09:54:21:024,778465,778464,1,0,34993706,0,5215 123,3,2024-09-07 09:54:21:132,1,616,4,0,478,7006,616,0 124,0,2024-09-07 09:54:20:932,156733,0.3,156702,0.5,295557,0.3,406836,1.75 124,1,2024-09-07 09:54:21:022,1084012,1084012,0,0,507552223055,5274270665,1076267,6569,1176,365,392178,0 124,2,2024-09-07 09:54:21:010,782170,782117,53,0,31381194,0,6487 124,3,2024-09-07 09:54:20:758,1,616,2,0,490,6036,616,0 125,0,2024-09-07 09:54:21:458,152929,0.4,152948,0.5,306876,0.3,408700,1.75 125,1,2024-09-07 09:54:20:886,1080791,1080791,0,0,508004371820,5300281649,1072718,6920,1153,382,391968,0 125,2,2024-09-07 09:54:21:122,777809,777809,0,0,31452002,0,4534 125,3,2024-09-07 09:54:21:134,1,616,9,0,709,7027,616,0 126,0,2024-09-07 09:54:21:416,157458,0.4,161952,0.5,309679,0.3,419832,1.75 126,1,2024-09-07 09:54:20:573,1084856,1084856,0,0,508930884310,5266416048,1079333,5076,447,365,391987,0 126,2,2024-09-07 09:54:20:625,782868,782868,0,0,32744110,0,4539 126,3,2024-09-07 09:54:20:907,1,616,1,0,268,6661,616,0 127,0,2024-09-07 09:54:21:596,152948,0.3,153005,0.5,305334,0.3,405943,1.75 127,1,2024-09-07 09:54:20:577,1083059,1083059,0,0,508304169592,5286468219,1072375,9046,1638,364,392187,0 127,2,2024-09-07 09:54:20:646,782377,782373,4,0,30927220,0,5305 127,3,2024-09-07 09:54:21:269,1,616,2,0,968,5996,616,0 128,0,2024-09-07 09:54:21:519,154159,0.3,154280,0.4,308246,0.2,409618,1.50 128,1,2024-09-07 09:54:21:605,1082840,1082840,0,0,508122250334,5283183336,1074421,7454,965,367,392423,0 128,2,2024-09-07 09:54:21:382,781604,781604,0,0,28634987,0,3171 128,3,2024-09-07 09:54:20:772,1,616,1,0,1082,9645,616,0 129,0,2024-09-07 09:54:21:002,154880,0.3,154064,0.5,308731,0.3,409962,1.50 129,1,2024-09-07 09:54:20:568,1079733,1079733,0,0,506422803732,5305447362,1069258,8453,2022,379,391962,0 129,2,2024-09-07 09:54:20:689,777459,777455,4,0,31166902,0,5335 129,3,2024-09-07 09:54:20:689,1,616,4,0,506,8327,616,0 130,0,2024-09-07 09:54:21:722,156818,0.4,156485,0.5,313399,0.4,417600,1.75 130,1,2024-09-07 09:54:20:590,1084307,1084307,0,0,509140398632,5285975134,1078856,5050,401,381,391825,0 130,2,2024-09-07 09:54:21:125,780761,780761,0,0,31442863,0,4067 130,3,2024-09-07 09:54:21:305,1,616,12,0,960,8350,616,0 131,0,2024-09-07 09:54:21:969,154493,0.3,155002,0.5,310855,0.3,412471,1.75 131,1,2024-09-07 09:54:21:836,1082945,1082945,0,0,508362263142,5296522908,1075710,6037,1198,381,391865,0 131,2,2024-09-07 09:54:20:570,781952,781952,0,0,29181946,0,3979 131,3,2024-09-07 09:54:21:695,1,616,8,0,392,7763,616,0 132,0,2024-09-07 09:54:21:412,155172,0.5,156106,0.6,310878,0.4,413404,2.00 132,1,2024-09-07 09:54:20:579,1078547,1078547,0,0,505657082337,5321746808,1063095,12748,2704,381,392532,0 132,2,2024-09-07 09:54:20:697,779009,778992,17,0,38069048,0,6451 132,3,2024-09-07 09:54:21:695,1,616,1,0,1298,10907,616,0 133,0,2024-09-07 09:54:21:533,149831,0.4,153331,0.6,314335,0.4,409651,2.00 133,1,2024-09-07 09:54:20:590,1079217,1079217,0,0,506074466021,5329607994,1065128,12325,1764,383,391914,0 133,2,2024-09-07 09:54:21:091,778025,777975,50,0,39043774,0,6861 133,3,2024-09-07 09:54:21:304,1,616,12,0,528,7364,616,0 134,0,2024-09-07 09:54:20:948,155742,0.5,155936,0.7,311806,0.5,414950,2.00 134,1,2024-09-07 09:54:20:586,1080418,1080418,0,0,506689576863,5312060475,1066627,11300,2491,366,391781,0 134,2,2024-09-07 09:54:21:756,777637,777613,24,0,36094955,0,6207 134,3,2024-09-07 09:54:20:763,1,616,1,0,739,7594,616,0 135,0,2024-09-07 09:54:21:114,151643,0.8,151694,0.8,322021,0.9,415565,2.25 135,1,2024-09-07 09:54:21:585,1079471,1079471,0,0,507226264884,5330270442,1066435,11395,1641,380,391974,0 135,2,2024-09-07 09:54:20:689,781287,781287,0,0,37060419,0,4503 135,3,2024-09-07 09:54:21:009,1,616,3,0,900,5933,616,0 136,0,2024-09-07 09:54:21:628,154507,0.6,154982,0.8,307635,0.6,410925,2.00 136,1,2024-09-07 09:54:21:446,1080711,1080711,0,0,507052515011,5312891364,1068795,10526,1390,381,392135,0 136,2,2024-09-07 09:54:21:132,781600,781585,15,0,36635223,0,6007 136,3,2024-09-07 09:54:21:111,1,616,1,0,637,7002,616,0 137,0,2024-09-07 09:54:20:920,157557,0.6,153573,0.7,300796,0.6,408606,2.00 137,1,2024-09-07 09:54:20:575,1079755,1079755,0,0,506696460123,5313294342,1063207,13159,3389,366,391898,0 137,2,2024-09-07 09:54:21:706,778271,778271,0,0,37687753,0,3185 137,3,2024-09-07 09:54:20:778,1,616,14,0,484,8296,616,0 138,0,2024-09-07 09:54:21:747,152739,0.7,153186,0.8,306759,0.7,407498,2.00 138,1,2024-09-07 09:54:21:689,1080433,1080433,0,0,507687606022,5323999963,1065642,12519,2272,368,391954,0 138,2,2024-09-07 09:54:20:588,774352,774352,0,0,35804250,0,4988 138,3,2024-09-07 09:54:20:611,1,616,1,0,1200,9562,616,0 139,0,2024-09-07 09:54:21:381,155839,1.0,156517,0.9,312614,1.3,417725,2.25 139,1,2024-09-07 09:54:20:591,1075553,1075553,0,0,503761650237,5340102245,1057158,14568,3827,380,392109,0 139,2,2024-09-07 09:54:20:692,775571,775541,30,0,41368914,0,5997 139,3,2024-09-07 09:54:21:664,1,616,0,0,432,7202,616,0 140,0,2024-09-07 09:54:21:587,152964,0.3,152171,0.5,305252,0.2,406895,1.75 140,1,2024-09-07 09:54:21:541,1086542,1086542,0,0,510076799355,5255752123,1081569,4425,548,364,391606,0 140,2,2024-09-07 09:54:20:687,782242,782241,1,0,29202672,0,5036 140,3,2024-09-07 09:54:20:766,1,616,3,0,575,6024,616,0 141,0,2024-09-07 09:54:21:706,153251,0.3,157521,0.5,301131,0.2,407267,1.75 141,1,2024-09-07 09:54:20:859,1084972,1084972,0,0,508770249287,5282999541,1076772,7007,1193,379,391614,0 141,2,2024-09-07 09:54:21:686,780588,780577,11,0,31303968,0,5369 141,3,2024-09-07 09:54:21:043,1,616,17,0,391,7014,616,0 142,0,2024-09-07 09:54:21:313,154047,0.3,153208,0.5,306572,0.2,408645,1.50 142,1,2024-09-07 09:54:20:631,1082930,1082930,0,0,507801105293,5290902820,1076208,6214,508,382,392102,0 142,2,2024-09-07 09:54:21:302,775041,775009,32,0,31721061,0,6028 142,3,2024-09-07 09:54:21:755,1,616,1,0,484,6430,616,0 143,0,2024-09-07 09:54:21:372,156519,0.4,156300,0.5,313843,0.4,417802,1.75 143,1,2024-09-07 09:54:20:563,1084927,1084927,0,0,508770285085,5275607395,1078454,5905,568,367,391900,0 143,2,2024-09-07 09:54:20:768,781689,781689,0,0,31730115,0,3123 143,3,2024-09-07 09:54:21:140,1,616,1,0,462,7342,616,0 144,0,2024-09-07 09:54:21:501,148891,0.6,153273,0.8,311806,0.6,407887,2.00 144,1,2024-09-07 09:54:20:575,1079988,1079988,0,0,506593316469,5313526866,1069388,8606,1994,381,391733,0 144,2,2024-09-07 09:54:21:755,782822,782822,0,0,31023940,0,4443 144,3,2024-09-07 09:54:21:739,1,616,104,0,249,6112,616,0 145,0,2024-09-07 09:54:21:387,149292,0.6,149239,0.8,316666,0.5,407560,2.25 145,1,2024-09-07 09:54:20:559,1078907,1078907,0,0,506829773120,5330350556,1064871,11360,2676,382,391781,0 145,2,2024-09-07 09:54:21:432,778147,778065,82,0,37008267,0,7814 145,3,2024-09-07 09:54:20:898,1,616,3,0,622,8114,616,0 146,0,2024-09-07 09:54:21:595,153834,0.5,152912,0.7,307621,0.5,408788,2.00 146,1,2024-09-07 09:54:21:587,1080237,1080237,0,0,506316316852,5326291831,1062695,13060,4482,367,391770,0 146,2,2024-09-07 09:54:21:696,775090,775084,6,0,35257328,0,5151 146,3,2024-09-07 09:54:21:289,1,616,1,0,1520,9956,616,0 147,0,2024-09-07 09:54:21:727,156060,0.5,155781,0.7,311571,0.5,416133,2.00 147,1,2024-09-07 09:54:21:494,1084011,1084011,0,0,508375708504,5280451869,1075511,7533,967,367,391791,0 147,2,2024-09-07 09:54:21:020,778757,778757,0,0,31295256,0,2968 147,3,2024-09-07 09:54:20:913,1,616,1,0,1626,9653,616,0 0,0,2024-09-07 09:54:31:716,148764,0.6,148774,0.7,315910,0.6,407882,2.00 0,1,2024-09-07 09:54:30:803,1082547,1082547,0,0,508354686436,5318498497,1073997,7602,948,368,391896,0 0,2,2024-09-07 09:54:31:075,780834,780834,0,0,31222370,0,4480 0,3,2024-09-07 09:54:30:974,1,617,1,0,431,8715,617,0 1,0,2024-09-07 09:54:31:751,155045,0.8,153898,0.9,309166,0.9,413408,2.00 1,1,2024-09-07 09:54:30:561,1081777,1081777,0,0,507193757265,5309835706,1072295,7991,1491,370,391859,0 1,2,2024-09-07 09:54:30:639,778461,778461,0,0,30673547,0,3380 1,3,2024-09-07 09:54:31:302,1,617,10,0,269,7595,617,0 2,0,2024-09-07 09:54:31:567,157070,0.5,156733,0.7,313259,0.6,418075,2.00 2,1,2024-09-07 09:54:30:859,1085217,1085217,0,0,509476658926,5295167572,1079038,5227,952,379,391745,0 2,2,2024-09-07 09:54:31:267,782514,782514,0,0,29316288,0,3594 2,3,2024-09-07 09:54:30:690,1,617,1,0,357,5455,617,0 3,0,2024-09-07 09:54:31:743,153532,0.4,153481,0.6,306591,0.4,408775,2.00 3,1,2024-09-07 09:54:31:619,1083827,1083827,0,0,508819907348,5289587168,1076212,6910,705,379,391716,0 3,2,2024-09-07 09:54:31:146,782747,782724,23,0,30370870,0,5851 3,3,2024-09-07 09:54:31:752,1,617,2,0,484,4627,617,0 4,0,2024-09-07 09:54:31:786,148298,0.4,152424,0.5,310732,0.3,406507,1.75 4,1,2024-09-07 09:54:30:595,1078959,1078959,0,0,505831746930,5349963361,1061142,14063,3754,369,391992,0 4,2,2024-09-07 09:54:31:018,778511,778511,0,0,37297528,0,4534 4,3,2024-09-07 09:54:31:056,1,617,10,0,448,7395,617,0 5,0,2024-09-07 09:54:31:391,153664,0.4,153565,0.5,307341,0.3,408907,1.75 5,1,2024-09-07 09:54:30:771,1080164,1080164,0,0,506981569802,5349431047,1063801,12233,4130,367,392005,0 5,2,2024-09-07 09:54:31:830,773662,773662,0,0,36049089,0,3582 5,3,2024-09-07 09:54:31:745,1,617,0,0,457,8436,617,0 6,0,2024-09-07 09:54:30:921,157865,0.4,157507,0.6,315029,0.4,420108,2.00 6,1,2024-09-07 09:54:30:746,1082972,1082972,0,0,508146753737,5305110016,1071892,9506,1574,379,391702,0 6,2,2024-09-07 09:54:31:126,782373,782355,18,0,35046519,0,5535 6,3,2024-09-07 09:54:31:274,1,617,0,0,710,7407,617,0 7,0,2024-09-07 09:54:31:533,151965,0.5,152993,0.7,303779,0.5,405340,2.00 7,1,2024-09-07 09:54:30:850,1080380,1080380,0,0,506779687680,5333137870,1064050,12564,3766,382,391747,0 7,2,2024-09-07 09:54:30:772,782040,782040,0,0,33735917,0,4791 7,3,2024-09-07 09:54:30:851,1,617,1,0,552,7107,617,0 8,0,2024-09-07 09:54:31:373,154032,0.4,153733,0.5,307886,0.3,409981,1.75 8,1,2024-09-07 09:54:31:031,1079997,1079997,0,0,507371537481,5333702079,1063244,13164,3589,366,392853,0 8,2,2024-09-07 09:54:30:791,776096,776094,2,0,40142863,0,5112 8,3,2024-09-07 09:54:30:585,1,617,1,0,772,9673,617,0 9,0,2024-09-07 09:54:31:147,153586,0.4,149395,0.5,312506,0.3,409403,1.75 9,1,2024-09-07 09:54:30:554,1079877,1079877,0,0,506659508676,5338918220,1063073,13574,3230,369,392001,0 9,2,2024-09-07 09:54:31:087,775171,775170,1,0,37815844,0,5281 9,3,2024-09-07 09:54:31:752,1,617,1,0,1273,10312,617,0 10,0,2024-09-07 09:54:31:604,156550,0.3,155774,0.5,312709,0.3,417140,1.75 10,1,2024-09-07 09:54:30:584,1081588,1081588,0,0,507200538260,5319774590,1065855,12669,3064,381,391981,0 10,2,2024-09-07 09:54:30:763,780935,780935,0,0,40765547,0,4713 10,3,2024-09-07 09:54:30:871,1,617,1,0,669,6848,617,0 11,0,2024-09-07 09:54:31:016,154401,0.4,149790,0.6,313492,0.4,412494,1.75 11,1,2024-09-07 09:54:30:572,1082874,1082874,0,0,507941118039,5327015763,1066917,11654,4303,383,391766,0 11,2,2024-09-07 09:54:31:125,781183,781183,0,0,35954191,0,4698 11,3,2024-09-07 09:54:31:298,1,617,1,0,843,7873,617,0 12,0,2024-09-07 09:54:30:970,156228,0.4,156046,0.5,311688,0.4,414226,1.75 12,1,2024-09-07 09:54:30:962,1083127,1083127,0,0,507830892678,5293733397,1074742,7376,1009,370,391960,0 12,2,2024-09-07 09:54:31:552,779355,779355,0,0,34601011,0,4390 12,3,2024-09-07 09:54:31:061,1,617,1,0,386,7648,617,0 13,0,2024-09-07 09:54:31:349,154809,0.4,154877,0.5,308909,0.3,411763,1.75 13,1,2024-09-07 09:54:31:544,1080620,1080620,0,0,507700787893,5333727245,1069718,8512,2390,382,391803,0 13,2,2024-09-07 09:54:30:595,780365,780365,0,0,30915599,0,3287 13,3,2024-09-07 09:54:31:766,1,617,7,0,522,8027,617,0 14,0,2024-09-07 09:54:30:575,155730,0.4,156784,0.6,311203,0.3,415026,1.75 14,1,2024-09-07 09:54:31:575,1087850,1087850,0,0,510140980014,5276596027,1079387,7334,1129,364,391673,0 14,2,2024-09-07 09:54:30:764,780132,780102,30,0,32358648,0,6104 14,3,2024-09-07 09:54:31:125,1,617,1,0,1168,6657,617,0 15,0,2024-09-07 09:54:31:556,157133,0.4,156106,0.6,312842,0.4,417262,2.00 15,1,2024-09-07 09:54:31:608,1084644,1084644,0,0,508872875625,5297152368,1075568,7163,1913,381,391619,0 15,2,2024-09-07 09:54:31:001,783455,783455,0,0,28065385,0,3622 15,3,2024-09-07 09:54:31:418,1,617,1,0,1126,8132,617,0 16,0,2024-09-07 09:54:30:971,154149,0.6,154912,0.8,309027,0.6,411621,2.00 16,1,2024-09-07 09:54:30:581,1084206,1084206,0,0,507705015796,5305255879,1074761,8001,1444,370,392194,0 16,2,2024-09-07 09:54:31:433,780336,780336,0,0,31832694,0,4719 16,3,2024-09-07 09:54:31:162,1,617,11,0,358,7641,617,0 17,0,2024-09-07 09:54:31:766,157553,0.6,153575,0.8,300788,0.6,409070,2.00 17,1,2024-09-07 09:54:30:572,1082312,1082312,0,0,508067033388,5325609298,1072012,8528,1772,368,392075,0 17,2,2024-09-07 09:54:31:666,783519,783518,1,0,33129459,0,5050 17,3,2024-09-07 09:54:30:575,1,617,4,0,518,8856,617,0 18,0,2024-09-07 09:54:30:949,152398,0.6,153417,0.8,305208,0.6,408286,2.25 18,1,2024-09-07 09:54:31:640,1086848,1086848,0,0,509109935243,5277966088,1079779,5812,1257,367,391725,0 18,2,2024-09-07 09:54:31:755,779832,779832,0,0,29384456,0,3541 18,3,2024-09-07 09:54:30:897,1,617,1,0,1059,5596,617,0 19,0,2024-09-07 09:54:31:540,156882,0.6,157272,0.8,313474,0.6,416753,2.00 19,1,2024-09-07 09:54:30:569,1086763,1086763,0,0,509637614852,5279770514,1078954,6676,1133,365,391777,0 19,2,2024-09-07 09:54:31:757,784758,784758,0,0,27856290,0,3988 19,3,2024-09-07 09:54:31:146,1,617,1,0,524,4650,617,0 20,0,2024-09-07 09:54:31:352,152584,0.6,152532,0.7,304833,0.5,406676,2.00 20,1,2024-09-07 09:54:30:571,1082187,1082187,0,0,508460717251,5326114889,1071388,9289,1510,369,391922,0 20,2,2024-09-07 09:54:30:927,781675,781675,0,0,34892859,0,4321 20,3,2024-09-07 09:54:30:589,1,617,1,0,468,9804,617,0 21,0,2024-09-07 09:54:31:145,153212,0.5,153291,0.6,306296,0.4,406737,2.00 21,1,2024-09-07 09:54:31:539,1080339,1080339,0,0,506153892577,5340275703,1063459,13044,3836,368,392016,0 21,2,2024-09-07 09:54:31:067,775326,774849,477,0,45333787,0,17074 21,3,2024-09-07 09:54:31:406,1,617,7,0,713,8733,617,0 22,0,2024-09-07 09:54:31:721,153095,0.5,153750,0.6,306924,0.4,407293,2.00 22,1,2024-09-07 09:54:31:023,1080926,1080926,0,0,506231282382,5325661561,1063108,14278,3540,382,391822,0 22,2,2024-09-07 09:54:30:761,774946,774920,26,0,33014262,0,6328 22,3,2024-09-07 09:54:31:072,1,617,1,0,228,5277,617,0 23,0,2024-09-07 09:54:31:372,156521,0.5,156349,0.6,312861,0.4,417478,2.00 23,1,2024-09-07 09:54:31:007,1081380,1081380,0,0,507989067426,5349519350,1061898,12904,6578,365,391690,0 23,2,2024-09-07 09:54:31:099,782809,782809,0,0,31806382,0,3773 23,3,2024-09-07 09:54:31:754,1,617,1,0,855,8907,617,0 24,0,2024-09-07 09:54:30:856,154581,0.4,153760,0.6,309115,0.4,410227,1.75 24,1,2024-09-07 09:54:30:582,1082039,1082039,0,0,506807402576,5303698065,1071909,8420,1710,367,392269,0 24,2,2024-09-07 09:54:31:080,782180,782177,3,0,38541258,0,6294 24,3,2024-09-07 09:54:31:688,1,617,1,0,468,7880,617,0 25,0,2024-09-07 09:54:31:358,158631,0.4,154433,0.6,303328,0.4,412889,2.00 25,1,2024-09-07 09:54:30:560,1079934,1079934,0,0,506186496428,5346467282,1061161,15059,3714,369,391928,0 25,2,2024-09-07 09:54:31:605,778525,778525,0,0,39111185,0,3978 25,3,2024-09-07 09:54:31:011,1,617,2,0,532,6606,617,0 26,0,2024-09-07 09:54:31:721,153146,0.4,149676,0.6,314324,0.3,409002,1.75 26,1,2024-09-07 09:54:31:543,1083427,1083427,0,0,508076560591,5316896670,1069090,11804,2533,380,391758,0 26,2,2024-09-07 09:54:30:861,776629,776629,0,0,41649833,0,4689 26,3,2024-09-07 09:54:31:712,1,617,2,0,796,7588,617,0 27,0,2024-09-07 09:54:31:726,156307,0.4,156970,0.6,312119,0.3,416658,2.25 27,1,2024-09-07 09:54:31:717,1084831,1084831,0,0,509136861057,5304201674,1074429,8793,1609,381,391626,0 27,2,2024-09-07 09:54:30:867,777279,777214,65,0,36509221,0,5699 27,3,2024-09-07 09:54:31:023,1,617,18,0,564,5270,617,0 28,0,2024-09-07 09:54:31:456,155913,0.4,156145,0.6,312341,0.3,415590,1.75 28,1,2024-09-07 09:54:30:798,1085106,1085106,0,0,508779988285,5301764768,1076161,7054,1891,382,391904,0 28,2,2024-09-07 09:54:31:764,782850,782850,0,0,31341293,0,2915 28,3,2024-09-07 09:54:31:776,1,617,43,0,502,6141,617,0 29,0,2024-09-07 09:54:31:366,159345,0.4,155205,0.6,304509,0.3,415086,1.75 29,1,2024-09-07 09:54:31:575,1088143,1088143,0,0,510029255915,5272832413,1081780,5586,777,367,391809,0 29,2,2024-09-07 09:54:30:861,780782,780782,0,0,30397248,0,4986 29,3,2024-09-07 09:54:30:964,1,617,5,0,459,6541,617,0 30,0,2024-09-07 09:54:31:464,152391,0.5,148290,0.7,310700,0.4,406154,2.00 30,1,2024-09-07 09:54:30:570,1086814,1086814,0,0,510200432496,5290818497,1079239,6664,911,380,391672,0 30,2,2024-09-07 09:54:31:274,781152,781152,0,0,28989862,0,4192 30,3,2024-09-07 09:54:30:582,1,617,0,0,519,5711,617,0 31,0,2024-09-07 09:54:31:760,154680,0.4,155598,0.6,310225,0.4,414080,1.75 31,1,2024-09-07 09:54:30:563,1090651,1090651,0,0,511659174780,5252210075,1084191,5386,1074,356,391712,0 31,2,2024-09-07 09:54:31:275,777931,777931,0,0,32590355,0,4470 31,3,2024-09-07 09:54:31:711,1,617,3,0,239,5263,617,0 32,0,2024-09-07 09:54:31:468,157106,0.3,158293,0.5,314941,0.3,419912,1.75 32,1,2024-09-07 09:54:30:805,1086825,1086825,0,0,509836024132,5295407339,1080645,5462,718,381,391646,0 32,2,2024-09-07 09:54:30:939,783708,783708,0,0,28734226,0,3922 32,3,2024-09-07 09:54:31:017,1,617,9,0,304,4950,617,0 33,0,2024-09-07 09:54:31:493,154146,0.3,153769,0.4,307817,0.2,409794,1.50 33,1,2024-09-07 09:54:30:587,1087168,1087168,0,0,510864694405,5289162156,1078715,7180,1273,368,391730,0 33,2,2024-09-07 09:54:30:775,782813,782778,35,0,31839998,0,7012 33,3,2024-09-07 09:54:30:910,1,617,19,0,329,5361,617,0 34,0,2024-09-07 09:54:30:940,152995,0.3,157183,0.5,300602,0.2,405841,1.75 34,1,2024-09-07 09:54:31:050,1088945,1088945,0,0,511581326316,5260731499,1085981,2853,111,367,391637,0 34,2,2024-09-07 09:54:30:771,782244,782244,0,0,30356061,0,4562 34,3,2024-09-07 09:54:31:689,1,617,3,0,541,5525,617,0 35,0,2024-09-07 09:54:30:858,152679,0.3,153564,0.5,307950,0.2,409272,1.75 35,1,2024-09-07 09:54:31:066,1085055,1085055,0,0,509629695243,5287147539,1076944,6588,1523,382,391769,0 35,2,2024-09-07 09:54:31:587,776919,776919,0,0,33241863,0,4055 35,3,2024-09-07 09:54:30:906,1,617,1,0,466,5714,617,0 36,0,2024-09-07 09:54:31:519,158065,0.5,158178,0.6,315795,0.4,420786,2.00 36,1,2024-09-07 09:54:30:583,1084312,1084312,0,0,509021987220,5312879578,1070729,11342,2241,366,391759,0 36,2,2024-09-07 09:54:31:751,782763,782763,0,0,34815245,0,3875 36,3,2024-09-07 09:54:30:873,1,617,19,0,556,8326,617,0 37,0,2024-09-07 09:54:31:372,151816,0.5,152004,0.7,304183,0.5,405597,2.25 37,1,2024-09-07 09:54:30:571,1083756,1083749,0,7,508278581249,5305659277,1071091,9745,2913,365,391770,0 37,2,2024-09-07 09:54:31:150,780316,780301,15,0,34720063,0,5815 37,3,2024-09-07 09:54:31:772,1,617,10,0,888,8113,617,0 38,0,2024-09-07 09:54:31:440,152881,0.4,148185,0.6,309830,0.4,405669,2.00 38,1,2024-09-07 09:54:31:619,1083671,1083671,0,0,509407843553,5325562154,1068338,12333,3000,368,391821,0 38,2,2024-09-07 09:54:30:762,780603,780556,47,0,34900826,0,6710 38,3,2024-09-07 09:54:31:013,1,617,9,0,689,7448,617,0 39,0,2024-09-07 09:54:31:763,156988,0.5,153489,0.7,299316,0.5,408255,2.00 39,1,2024-09-07 09:54:30:716,1083123,1083123,0,0,507750775073,5320173044,1065676,13732,3715,365,391865,0 39,2,2024-09-07 09:54:31:416,776440,776440,0,0,33019677,0,3391 39,3,2024-09-07 09:54:30:713,1,617,1,0,525,6866,617,0 40,0,2024-09-07 09:54:31:516,154972,0.8,155758,0.9,310957,0.9,415369,2.75 40,1,2024-09-07 09:54:30:582,1083276,1083276,0,0,507496701276,5314242025,1067664,12543,3069,366,391668,0 40,2,2024-09-07 09:54:31:305,778918,778911,7,0,39476283,0,5347 40,3,2024-09-07 09:54:31:155,1,617,9,0,1028,8978,617,0 41,0,2024-09-07 09:54:31:048,153690,1.3,157275,1.1,299902,1.9,407281,3.00 41,1,2024-09-07 09:54:30:771,1082575,1082575,0,0,507984398820,5312359479,1068796,11619,2160,369,391878,0 41,2,2024-09-07 09:54:30:762,778919,778918,1,0,37742203,0,5408 41,3,2024-09-07 09:54:31:678,1,617,5,0,366,6681,617,0 42,0,2024-09-07 09:54:31:483,154053,0.9,153733,1.0,307577,1.1,407993,2.75 42,1,2024-09-07 09:54:31:449,1080586,1080586,0,0,506426493815,5320085831,1064001,13652,2933,380,391675,0 42,2,2024-09-07 09:54:31:134,778910,778909,1,0,38175790,0,5513 42,3,2024-09-07 09:54:31:011,1,617,2,0,892,6027,617,0 43,0,2024-09-07 09:54:30:927,152449,0.7,148428,0.9,310825,0.7,406727,2.25 43,1,2024-09-07 09:54:30:576,1084150,1084150,0,0,507867905554,5305011001,1069410,12168,2572,366,391696,0 43,2,2024-09-07 09:54:31:736,778527,778527,0,0,35899343,0,4723 43,3,2024-09-07 09:54:31:750,1,617,1,0,571,8881,617,0 44,0,2024-09-07 09:54:30:858,156095,0.4,155987,0.6,311880,0.3,415471,1.75 44,1,2024-09-07 09:54:30:585,1087466,1087466,0,0,509317268956,5262664726,1078095,7430,1941,356,391809,0 44,2,2024-09-07 09:54:31:287,778039,778039,0,0,29232411,0,4344 44,3,2024-09-07 09:54:31:098,1,617,2,0,1097,7506,617,0 45,0,2024-09-07 09:54:31:757,155136,0.5,151598,0.7,318192,0.4,417340,2.00 45,1,2024-09-07 09:54:31:011,1085596,1085596,0,0,509468987435,5291535734,1077341,7352,903,382,391917,0 45,2,2024-09-07 09:54:31:276,782949,782949,0,0,29528600,0,3596 45,3,2024-09-07 09:54:30:943,1,617,5,0,531,5814,617,0 46,0,2024-09-07 09:54:30:956,153524,0.6,152998,0.8,307103,0.6,408394,2.00 46,1,2024-09-07 09:54:30:576,1088388,1088388,0,0,510352595558,5273241935,1080893,6507,988,366,391709,0 46,2,2024-09-07 09:54:30:594,782378,782378,0,0,30213827,0,4443 46,3,2024-09-07 09:54:31:132,1,617,0,0,908,7290,617,0 47,0,2024-09-07 09:54:31:106,153309,0.4,153134,0.6,307599,0.4,407431,1.75 47,1,2024-09-07 09:54:30:571,1089047,1089047,0,0,510735132645,5273593670,1082947,5237,863,364,391641,0 47,2,2024-09-07 09:54:30:907,784380,784380,0,0,29187068,0,4477 47,3,2024-09-07 09:54:31:140,1,617,40,0,600,6719,617,0 48,0,2024-09-07 09:54:31:501,154185,0.3,154329,0.4,308128,0.2,410149,1.50 48,1,2024-09-07 09:54:31:042,1086270,1086270,0,0,508890530176,5282506287,1080163,5529,578,381,391710,0 48,2,2024-09-07 09:54:30:702,778133,778133,0,0,27643876,0,3524 48,3,2024-09-07 09:54:30:781,1,617,5,0,339,5395,617,0 49,0,2024-09-07 09:54:31:711,161860,0.3,158492,0.5,308461,0.3,420914,1.75 49,1,2024-09-07 09:54:31:022,1085347,1085347,0,0,509031136611,5292631622,1078072,5579,1696,382,391809,0 49,2,2024-09-07 09:54:31:807,783543,783543,0,0,29914817,0,4426 49,3,2024-09-07 09:54:31:426,1,617,7,0,992,7222,617,0 50,0,2024-09-07 09:54:31:515,153289,0.3,151751,0.5,305634,0.2,406672,1.75 50,1,2024-09-07 09:54:31:018,1088181,1088181,0,0,511126351418,5280989562,1081655,5857,669,368,391691,0 50,2,2024-09-07 09:54:31:074,781390,781390,0,0,27717376,0,4490 50,3,2024-09-07 09:54:31:291,1,617,2,0,617,6223,617,0 51,0,2024-09-07 09:54:31:690,157154,0.3,153835,0.5,299569,0.2,408424,1.75 51,1,2024-09-07 09:54:31:689,1088591,1088591,0,0,511317409425,5270101285,1082928,4582,1081,365,391706,0 51,2,2024-09-07 09:54:31:316,781665,781665,0,0,27242583,0,3337 51,3,2024-09-07 09:54:31:032,1,617,1,0,678,4439,617,0 52,0,2024-09-07 09:54:31:428,153783,0.5,153467,0.6,307234,0.4,408281,2.00 52,1,2024-09-07 09:54:30:598,1083770,1083770,0,0,508914748756,5324020661,1068621,12818,2331,368,391805,0 52,2,2024-09-07 09:54:31:767,773621,773583,38,0,36824446,0,6742 52,3,2024-09-07 09:54:30:678,1,617,1,0,1782,7130,617,0 53,0,2024-09-07 09:54:31:759,156384,0.6,151796,0.8,317633,0.7,416436,2.25 53,1,2024-09-07 09:54:30:776,1082055,1082055,0,0,507727638682,5325512393,1063863,13794,4398,367,391968,0 53,2,2024-09-07 09:54:31:309,782310,782309,1,0,33158481,0,5455 53,3,2024-09-07 09:54:30:906,1,617,1,0,308,5694,617,0 54,0,2024-09-07 09:54:31:619,151960,0.6,152063,0.8,303086,0.5,404668,2.25 54,1,2024-09-07 09:54:30:580,1085445,1085445,0,0,509133956793,5293399258,1074327,9447,1671,366,391810,0 54,2,2024-09-07 09:54:30:865,783318,783286,32,0,36948447,0,6397 54,3,2024-09-07 09:54:30:763,1,617,1,0,676,7885,617,0 55,0,2024-09-07 09:54:31:761,148937,0.6,153672,0.8,311434,0.6,405519,2.50 55,1,2024-09-07 09:54:30:764,1085919,1085919,0,0,509467881518,5296122844,1075052,9478,1389,365,391731,0 55,2,2024-09-07 09:54:30:729,779574,779518,56,0,34314863,0,7239 55,3,2024-09-07 09:54:30:675,1,617,0,0,304,5604,617,0 56,0,2024-09-07 09:54:31:561,156817,1.1,147801,1.1,304704,1.4,407677,2.75 56,1,2024-09-07 09:54:30:570,1078780,1078780,0,0,506901034502,5359266070,1060780,14311,3689,381,391867,0 56,2,2024-09-07 09:54:31:313,775824,775702,122,0,36673705,0,7432 56,3,2024-09-07 09:54:31:059,1,617,2,0,705,7142,617,0 57,0,2024-09-07 09:54:30:945,154736,1.3,154346,1.2,309210,1.8,413098,3.00 57,1,2024-09-07 09:54:30:994,1081420,1081420,0,0,506785323245,5318280382,1066950,11979,2491,366,392032,0 57,2,2024-09-07 09:54:31:320,779741,779741,0,0,38498138,0,4804 57,3,2024-09-07 09:54:31:744,1,617,1,0,455,7092,617,0 58,0,2024-09-07 09:54:30:605,153529,1.0,149064,1.0,312109,1.2,407923,2.75 58,1,2024-09-07 09:54:30:585,1082657,1082654,0,3,508269255822,5326427850,1066500,12250,3904,367,391726,3 58,2,2024-09-07 09:54:31:076,782514,782514,0,0,36035242,0,3483 58,3,2024-09-07 09:54:31:074,1,617,1,0,1043,6879,617,0 59,0,2024-09-07 09:54:31:774,153477,0.9,153072,1.0,305828,1.0,406191,3.00 59,1,2024-09-07 09:54:30:806,1081712,1081712,0,0,507803478632,5334957565,1063860,14184,3668,369,391653,0 59,2,2024-09-07 09:54:30:585,780567,780567,0,0,34164353,0,3727 59,3,2024-09-07 09:54:31:737,1,617,1,0,1015,7503,617,0 60,0,2024-09-07 09:54:31:709,153047,0.5,153130,0.7,306491,0.5,408466,1.75 60,1,2024-09-07 09:54:30:776,1086570,1086570,0,0,509746179122,5295161843,1078611,6870,1089,370,392031,0 60,2,2024-09-07 09:54:31:144,780440,780440,0,0,32029722,0,3811 60,3,2024-09-07 09:54:31:265,1,617,2,0,409,7091,617,0 61,0,2024-09-07 09:54:31:515,154874,0.6,155537,0.7,309497,0.6,413390,2.00 61,1,2024-09-07 09:54:30:830,1083758,1083758,0,0,508428666461,5319541115,1072618,9458,1682,382,392127,0 61,2,2024-09-07 09:54:31:141,778948,778881,67,0,32678792,0,6411 61,3,2024-09-07 09:54:31:691,1,617,10,0,607,7910,617,0 62,0,2024-09-07 09:54:31:710,157723,0.6,161722,0.7,308887,0.6,419868,2.00 62,1,2024-09-07 09:54:31:111,1089981,1089975,0,6,511451394905,5270806837,1085003,4673,299,365,391975,6 62,2,2024-09-07 09:54:31:643,780163,780162,1,0,32648501,0,5555 62,3,2024-09-07 09:54:31:147,1,617,7,0,482,4903,617,0 63,0,2024-09-07 09:54:31:453,154224,0.4,153963,0.6,308316,0.4,410093,1.75 63,1,2024-09-07 09:54:30:806,1086446,1086440,0,6,509636442562,5289892322,1079592,5993,855,381,391800,6 63,2,2024-09-07 09:54:30:770,782124,782124,0,0,31026250,0,4369 63,3,2024-09-07 09:54:31:732,1,617,1,0,667,6234,617,0 64,0,2024-09-07 09:54:31:516,152077,0.5,151901,0.6,303579,0.4,404466,2.00 64,1,2024-09-07 09:54:30:750,1085098,1085098,0,0,509100763537,5299967658,1075747,7372,1979,370,391794,0 64,2,2024-09-07 09:54:31:145,785084,785065,19,0,30064384,0,6121 64,3,2024-09-07 09:54:31:143,1,617,3,0,651,6981,617,0 65,0,2024-09-07 09:54:31:684,152368,0.6,152663,0.7,305207,0.6,406773,2.00 65,1,2024-09-07 09:54:30:875,1083612,1083612,0,0,507807139529,5304704942,1076128,6610,874,381,391901,0 65,2,2024-09-07 09:54:31:703,776032,776032,0,0,36045838,0,3367 65,3,2024-09-07 09:54:31:686,1,617,1,0,782,6718,617,0 66,0,2024-09-07 09:54:31:809,157120,0.5,156653,0.7,313631,0.5,417751,2.00 66,1,2024-09-07 09:54:31:293,1085751,1085751,0,0,508839278383,5292008007,1079248,5781,722,380,391743,0 66,2,2024-09-07 09:54:31:139,784969,784966,3,0,32077766,0,5455 66,3,2024-09-07 09:54:31:082,1,617,1,0,291,5138,617,0 67,0,2024-09-07 09:54:31:422,152538,0.5,152125,0.7,305310,0.5,406161,2.00 67,1,2024-09-07 09:54:30:773,1085396,1085395,0,1,509389334299,5300773450,1077995,6455,945,380,391787,1 67,2,2024-09-07 09:54:30:601,785597,785582,15,0,31883516,0,6205 67,3,2024-09-07 09:54:31:753,1,617,1,0,595,6339,617,0 68,0,2024-09-07 09:54:30:562,153770,0.6,153562,0.7,305824,0.5,409032,2.00 68,1,2024-09-07 09:54:30:571,1081130,1081130,0,0,507239075099,5329848775,1067320,10279,3531,381,391953,0 68,2,2024-09-07 09:54:31:061,777348,777248,100,0,40047218,0,8578 68,3,2024-09-07 09:54:30:738,1,617,0,0,417,7818,617,0 69,0,2024-09-07 09:54:31:782,152996,0.6,153677,0.8,306540,0.6,407096,2.25 69,1,2024-09-07 09:54:31:021,1080308,1080308,0,0,507134388525,5341451590,1066441,11033,2834,383,391994,0 69,2,2024-09-07 09:54:31:733,773843,773814,29,0,42570815,0,6912 69,3,2024-09-07 09:54:30:763,1,617,5,0,698,8707,617,0 70,0,2024-09-07 09:54:31:539,154796,0.7,155491,0.9,312251,0.6,414145,2.50 70,1,2024-09-07 09:54:30:802,1086753,1086753,0,0,509783290383,5287026493,1078833,7111,809,366,391725,0 70,2,2024-09-07 09:54:31:324,781759,781759,0,0,35107829,0,4323 70,3,2024-09-07 09:54:30:746,1,617,0,0,854,6765,617,0 71,0,2024-09-07 09:54:31:383,153357,0.9,153021,1.0,307188,1.2,409472,2.50 71,1,2024-09-07 09:54:31:595,1084432,1084432,0,0,508519204873,5304824101,1071429,11382,1621,368,391738,0 71,2,2024-09-07 09:54:31:074,781710,781710,0,0,35375567,0,4352 71,3,2024-09-07 09:54:31:750,1,617,1,0,644,6855,617,0 72,0,2024-09-07 09:54:31:039,160092,0.6,156336,0.7,305361,0.5,415163,2.00 72,1,2024-09-07 09:54:31:035,1082884,1082884,0,0,507669687466,5323055915,1067574,12791,2519,369,391819,0 72,2,2024-09-07 09:54:31:755,778849,778849,0,0,37077339,0,3983 72,3,2024-09-07 09:54:31:754,1,617,1,0,564,8973,617,0 73,0,2024-09-07 09:54:31:131,149911,0.4,153803,0.6,314337,0.4,409332,2.00 73,1,2024-09-07 09:54:30:771,1084199,1084199,0,0,509428827016,5294005262,1075788,7429,982,367,391858,0 73,2,2024-09-07 09:54:31:739,778083,778082,1,0,38719060,0,5027 73,3,2024-09-07 09:54:30:974,1,617,7,0,1091,8506,617,0 74,0,2024-09-07 09:54:31:327,156811,0.4,160639,0.6,306501,0.4,415894,2.00 74,1,2024-09-07 09:54:30:635,1083055,1083055,0,0,508130264991,5303731053,1071146,9792,2117,381,391762,0 74,2,2024-09-07 09:54:31:012,778269,778269,0,0,33725670,0,4253 74,3,2024-09-07 09:54:31:442,1,617,1,0,522,7480,617,0 75,0,2024-09-07 09:54:31:765,157188,0.5,156314,0.7,313541,0.5,418712,2.25 75,1,2024-09-07 09:54:31:590,1083917,1083917,0,0,508720566154,5299725487,1075289,7758,870,380,391739,0 75,2,2024-09-07 09:54:31:350,780852,780852,0,0,40925363,0,4766 75,3,2024-09-07 09:54:31:074,1,617,1,0,918,8270,617,0 76,0,2024-09-07 09:54:30:601,153896,0.6,153219,0.8,306493,0.6,410138,2.25 76,1,2024-09-07 09:54:30:806,1084063,1084063,0,0,508212475629,5297590867,1076724,6348,991,382,391790,0 76,2,2024-09-07 09:54:31:068,783397,783394,3,0,33036386,0,5265 76,3,2024-09-07 09:54:31:141,1,617,4,0,227,5661,617,0 77,0,2024-09-07 09:54:31:703,152467,0.5,153047,0.7,305810,0.5,406675,2.00 77,1,2024-09-07 09:54:30:828,1084040,1084040,0,0,508643851362,5303683104,1076047,7113,880,381,391869,0 77,2,2024-09-07 09:54:31:291,780169,780169,0,0,32145006,0,3890 77,3,2024-09-07 09:54:31:100,1,617,1,0,401,6984,617,0 78,0,2024-09-07 09:54:31:736,154409,0.4,153775,0.6,308418,0.4,408720,2.00 78,1,2024-09-07 09:54:30:610,1084700,1084700,0,0,508345506542,5299691802,1071858,9925,2917,367,391670,0 78,2,2024-09-07 09:54:31:427,778839,778826,13,0,30129520,0,8313 78,3,2024-09-07 09:54:31:134,1,617,7,0,311,5124,617,0 79,0,2024-09-07 09:54:31:353,152095,0.4,155802,0.6,319083,0.3,415741,2.00 79,1,2024-09-07 09:54:30:580,1088025,1088025,0,0,510552520473,5282285341,1080177,6488,1360,367,391682,0 79,2,2024-09-07 09:54:31:074,782742,782742,0,0,29255144,0,4195 79,3,2024-09-07 09:54:30:749,1,617,1,0,418,7188,617,0 80,0,2024-09-07 09:54:31:125,152556,0.6,156664,0.7,299486,0.6,405670,2.00 80,1,2024-09-07 09:54:31:627,1084041,1084041,0,0,508486386797,5292531783,1076881,6708,452,368,392269,0 80,2,2024-09-07 09:54:31:102,784735,784735,0,0,29802800,0,4433 80,3,2024-09-07 09:54:30:575,1,617,1,0,681,7436,617,0 81,0,2024-09-07 09:54:31:552,153129,0.5,156691,0.7,299131,0.5,405679,2.00 81,1,2024-09-07 09:54:31:652,1083435,1083435,0,0,508114602020,5306850975,1075266,7401,768,382,391885,0 81,2,2024-09-07 09:54:31:125,780925,780862,63,0,33020480,0,5932 81,3,2024-09-07 09:54:31:126,1,617,4,0,719,7047,617,0 82,0,2024-09-07 09:54:31:538,153189,0.4,153266,0.7,307370,0.4,407361,2.00 82,1,2024-09-07 09:54:30:583,1085535,1085531,0,4,509075681299,5289273311,1079957,4750,824,381,391768,4 82,2,2024-09-07 09:54:31:690,778843,778843,0,0,27935794,0,4484 82,3,2024-09-07 09:54:31:757,1,617,1,0,363,5724,617,0 83,0,2024-09-07 09:54:31:538,156980,0.5,156831,0.7,313434,0.5,416633,2.00 83,1,2024-09-07 09:54:30:554,1084541,1084541,0,0,508614405820,5299560640,1076949,6947,645,382,391709,0 83,2,2024-09-07 09:54:30:772,782607,782582,25,0,30148790,0,5612 83,3,2024-09-07 09:54:30:750,1,617,1,0,1260,7244,617,0 84,0,2024-09-07 09:54:31:793,152154,0.8,152223,0.9,304262,0.8,406314,2.25 84,1,2024-09-07 09:54:31:044,1082278,1082278,0,0,507495113386,5305623601,1070021,10584,1673,367,391967,0 84,2,2024-09-07 09:54:30:577,781441,781031,410,0,43945082,0,17037 84,3,2024-09-07 09:54:31:143,1,617,4,0,908,8157,617,0 85,0,2024-09-07 09:54:31:037,148204,0.6,148187,0.8,314639,0.6,405915,2.25 85,1,2024-09-07 09:54:30:559,1079278,1079278,0,0,506112628036,5341495306,1062950,13528,2800,381,392092,0 85,2,2024-09-07 09:54:30:865,779740,779740,0,0,37080186,0,4255 85,3,2024-09-07 09:54:30:690,1,617,0,0,789,7049,617,0 86,0,2024-09-07 09:54:30:921,153674,0.6,157975,0.8,302074,0.7,408199,2.25 86,1,2024-09-07 09:54:30:836,1082581,1082581,0,0,507715593594,5321494508,1068660,11234,2687,366,392169,0 86,2,2024-09-07 09:54:30:854,776157,776156,1,0,39320109,0,5004 86,3,2024-09-07 09:54:30:589,1,617,1,0,308,8669,617,0 87,0,2024-09-07 09:54:31:289,156421,0.7,155776,0.8,311643,0.9,416580,2.25 87,1,2024-09-07 09:54:30:562,1081747,1081747,0,0,507119270330,5310871178,1068360,11589,1798,366,392076,0 87,2,2024-09-07 09:54:31:066,779360,779354,6,0,35703213,0,6323 87,3,2024-09-07 09:54:31:802,1,617,2,0,473,8650,617,0 88,0,2024-09-07 09:54:31:447,155817,0.5,156373,0.6,312315,0.4,415483,1.75 88,1,2024-09-07 09:54:30:569,1081053,1081053,0,0,507239835707,5315932051,1065652,12354,3047,365,392084,0 88,2,2024-09-07 09:54:30:690,781235,781235,0,0,38726028,0,4465 88,3,2024-09-07 09:54:31:267,1,617,1,0,1080,9425,617,0 89,0,2024-09-07 09:54:31:793,159222,0.5,154482,0.6,304786,0.4,414687,1.75 89,1,2024-09-07 09:54:30:550,1080817,1080817,0,0,507237886141,5333158517,1067823,11048,1946,382,391866,0 89,2,2024-09-07 09:54:31:139,780442,780442,0,0,36504636,0,3173 89,3,2024-09-07 09:54:31:801,1,617,0,0,468,10172,617,0 90,0,2024-09-07 09:54:31:652,148561,0.5,152604,0.6,311435,0.4,406445,2.00 90,1,2024-09-07 09:54:30:591,1082739,1082739,0,0,507082317480,5309553704,1072637,9207,895,380,391825,0 90,2,2024-09-07 09:54:31:417,776602,776597,5,0,39978997,0,6370 90,3,2024-09-07 09:54:30:943,1,617,3,0,322,7380,617,0 91,0,2024-09-07 09:54:30:950,155414,0.4,150817,0.6,315620,0.4,414013,1.75 91,1,2024-09-07 09:54:30:556,1080109,1080109,0,0,507278985984,5343956231,1064244,12717,3148,381,392047,0 91,2,2024-09-07 09:54:31:333,778296,778296,0,0,35128500,0,4713 91,3,2024-09-07 09:54:30:600,1,617,6,0,216,5476,617,0 92,0,2024-09-07 09:54:31:453,158752,0.4,162332,0.6,309413,0.4,420031,1.75 92,1,2024-09-07 09:54:30:582,1083514,1083514,0,0,508879695856,5313031077,1075139,7087,1288,381,392136,0 92,2,2024-09-07 09:54:31:350,782388,782388,0,0,30803481,0,3259 92,3,2024-09-07 09:54:31:011,1,617,13,0,167,5253,617,0 93,0,2024-09-07 09:54:30:973,154637,0.4,158412,0.6,302422,0.4,410049,1.75 93,1,2024-09-07 09:54:30:805,1084281,1084281,0,0,509207719345,5311753668,1072711,9641,1929,365,392048,0 93,2,2024-09-07 09:54:30:940,781825,781825,0,0,36610400,0,4913 93,3,2024-09-07 09:54:31:414,1,617,1,0,375,6344,617,0 94,0,2024-09-07 09:54:31:639,152094,0.4,153235,0.5,306390,0.3,406808,1.75 94,1,2024-09-07 09:54:30:569,1085054,1085054,0,0,508749633905,5303202300,1078094,6525,435,381,391850,0 94,2,2024-09-07 09:54:30:763,781556,781528,28,0,31508173,0,6179 94,3,2024-09-07 09:54:31:688,1,617,1,0,576,7582,617,0 95,0,2024-09-07 09:54:31:352,153581,0.3,153528,0.5,307339,0.3,409435,1.75 95,1,2024-09-07 09:54:30:998,1085490,1085490,0,0,509494673300,5292137211,1077041,7697,752,365,391852,0 95,2,2024-09-07 09:54:31:017,776044,776044,0,0,30966221,0,3308 95,3,2024-09-07 09:54:31:711,1,617,18,0,718,9498,617,0 96,0,2024-09-07 09:54:31:070,157574,0.4,158035,0.5,315938,0.3,420306,1.75 96,1,2024-09-07 09:54:31:586,1084102,1084102,0,0,508471294284,5300847566,1076711,6117,1274,384,391964,0 96,2,2024-09-07 09:54:31:269,783222,783222,0,0,32013757,0,4180 96,3,2024-09-07 09:54:31:149,1,617,1,0,411,7012,617,0 97,0,2024-09-07 09:54:31:309,152658,0.3,152503,0.5,305846,0.3,405978,1.75 97,1,2024-09-07 09:54:30:764,1085547,1085547,0,0,509315180777,5283164758,1078606,5900,1041,367,392140,0 97,2,2024-09-07 09:54:30:607,784130,784130,0,0,31696353,0,4046 97,3,2024-09-07 09:54:30:576,1,617,6,0,242,6869,617,0 98,0,2024-09-07 09:54:31:694,153282,0.3,153443,0.4,307942,0.2,409170,1.50 98,1,2024-09-07 09:54:30:570,1084589,1084589,0,0,508747825074,5297239854,1078094,5659,836,381,391997,0 98,2,2024-09-07 09:54:30:773,780717,780717,0,0,30394831,0,4336 98,3,2024-09-07 09:54:30:700,1,617,4,0,840,9178,617,0 99,0,2024-09-07 09:54:31:460,153684,0.3,154500,0.5,307503,0.3,409745,1.75 99,1,2024-09-07 09:54:31:735,1085445,1085445,0,0,508908603434,5293402627,1079071,5431,943,380,392069,0 99,2,2024-09-07 09:54:31:427,776608,776608,0,0,37611872,0,4276 99,3,2024-09-07 09:54:30:584,1,617,8,0,1124,7802,617,0 100,0,2024-09-07 09:54:31:464,156089,0.7,156426,0.9,312775,0.7,417664,2.25 100,1,2024-09-07 09:54:30:549,1078961,1078961,0,0,506179873816,5348148776,1062930,12712,3319,378,391989,0 100,2,2024-09-07 09:54:31:847,777838,777449,389,0,40935217,0,16909 100,3,2024-09-07 09:54:31:733,1,617,69,0,627,10267,617,0 101,0,2024-09-07 09:54:31:695,157884,1.1,154036,1.0,301360,1.1,411174,2.25 101,1,2024-09-07 09:54:30:551,1081241,1081241,0,0,507689488997,5332126486,1067087,11625,2529,368,391847,0 101,2,2024-09-07 09:54:31:756,778160,778160,0,0,40925640,0,4871 101,3,2024-09-07 09:54:30:949,1,617,1,0,1250,9077,617,0 102,0,2024-09-07 09:54:30:946,150783,0.6,155317,0.8,315584,0.6,412663,2.25 102,1,2024-09-07 09:54:31:144,1080938,1080938,0,0,506965294629,5323126639,1066805,11932,2201,369,391984,0 102,2,2024-09-07 09:54:31:742,781366,781312,54,0,35011272,0,6768 102,3,2024-09-07 09:54:31:615,1,617,2,0,466,6981,617,0 103,0,2024-09-07 09:54:31:599,158955,0.5,158983,0.7,299592,0.5,412662,2.00 103,1,2024-09-07 09:54:31:629,1079718,1079718,0,0,507623792185,5358534415,1062627,13488,3603,381,392077,0 103,2,2024-09-07 09:54:30:582,776253,776253,0,0,37816269,0,3766 103,3,2024-09-07 09:54:30:760,1,617,2,0,916,7155,617,0 104,0,2024-09-07 09:54:31:045,154844,0.7,154970,0.9,309235,0.7,413783,2.25 104,1,2024-09-07 09:54:31:604,1082246,1082246,0,0,507599503156,5331197401,1066706,12695,2845,365,392168,0 104,2,2024-09-07 09:54:31:669,776579,776579,0,0,37554216,0,4161 104,3,2024-09-07 09:54:31:420,1,617,2,0,1245,10836,617,0 105,0,2024-09-07 09:54:31:040,155133,0.9,151165,1.1,317009,1.0,416665,2.50 105,1,2024-09-07 09:54:30:554,1083592,1083592,0,0,508008980598,5320599095,1070013,11635,1944,364,392009,0 105,2,2024-09-07 09:54:31:325,781209,781209,0,0,37859792,0,4360 105,3,2024-09-07 09:54:31:304,1,617,10,0,573,9394,617,0 106,0,2024-09-07 09:54:30:948,148994,0.9,152598,1.0,312606,1.1,408513,2.50 106,1,2024-09-07 09:54:31:766,1082303,1082303,0,0,506936353626,5318427056,1067628,12779,1896,368,391914,0 106,2,2024-09-07 09:54:30:760,779601,779601,0,0,35506830,0,3331 106,3,2024-09-07 09:54:30:703,1,617,3,0,1224,8451,617,0 107,0,2024-09-07 09:54:31:110,152692,0.9,152735,0.9,305459,1.1,407307,2.25 107,1,2024-09-07 09:54:30:585,1079891,1079891,0,0,506438373570,5350423142,1062486,15270,2135,381,392234,0 107,2,2024-09-07 09:54:31:291,777474,777473,1,0,38670481,0,5024 107,3,2024-09-07 09:54:31:755,1,617,2,0,733,9394,617,0 108,0,2024-09-07 09:54:31:765,153678,0.4,154209,0.6,307295,0.4,410112,1.75 108,1,2024-09-07 09:54:31:295,1083814,1083814,0,0,508654768931,5302848989,1075109,7709,996,367,391894,0 108,2,2024-09-07 09:54:31:756,777354,777354,0,0,33115147,0,4246 108,3,2024-09-07 09:54:31:338,1,617,9,0,749,10933,617,0 109,0,2024-09-07 09:54:31:767,158358,0.4,156993,0.6,314699,0.3,420140,1.75 109,1,2024-09-07 09:54:30:583,1081153,1081153,0,0,508231098906,5328534661,1071663,8210,1280,382,392132,0 109,2,2024-09-07 09:54:30:921,780273,780273,0,0,34056586,0,3617 109,3,2024-09-07 09:54:31:163,1,617,10,0,630,7394,617,0 110,0,2024-09-07 09:54:31:796,152582,0.4,148319,0.6,310693,0.4,407487,1.75 110,1,2024-09-07 09:54:31:643,1085598,1085598,0,0,509401699330,5286044095,1077551,6119,1928,368,392045,0 110,2,2024-09-07 09:54:31:305,782978,782978,0,0,31527183,0,4067 110,3,2024-09-07 09:54:30:690,1,617,18,0,722,8112,617,0 111,0,2024-09-07 09:54:31:423,153900,0.4,153064,0.5,306047,0.3,407978,1.75 111,1,2024-09-07 09:54:31:001,1086697,1086697,0,0,510619411022,5293209292,1081213,5103,381,380,391690,0 111,2,2024-09-07 09:54:31:125,781510,781510,0,0,32079817,0,4823 111,3,2024-09-07 09:54:30:913,1,617,1,0,379,6624,617,0 112,0,2024-09-07 09:54:30:930,153973,0.3,153551,0.4,307706,0.2,408574,1.50 112,1,2024-09-07 09:54:30:829,1085597,1085597,0,0,508828060564,5282112704,1078391,5981,1225,380,391624,0 112,2,2024-09-07 09:54:31:134,776825,776824,1,0,30231884,0,5036 112,3,2024-09-07 09:54:30:591,1,617,1,0,282,5753,617,0 113,0,2024-09-07 09:54:30:880,156969,0.3,157000,0.5,314477,0.2,418973,1.50 113,1,2024-09-07 09:54:31:687,1088006,1088006,0,0,511196813279,5272661934,1081770,5288,948,365,391664,0 113,2,2024-09-07 09:54:31:305,784974,784974,0,0,28734157,0,3813 113,3,2024-09-07 09:54:30:690,1,617,19,0,510,6583,617,0 114,0,2024-09-07 09:54:30:873,153787,0.3,154762,0.5,308549,0.2,411653,1.75 114,1,2024-09-07 09:54:30:716,1085899,1085899,0,0,509066378136,5284121094,1077957,6195,1747,381,391565,0 114,2,2024-09-07 09:54:30:873,785177,785176,1,0,29941673,0,5069 114,3,2024-09-07 09:54:31:278,1,617,5,0,395,4888,617,0 115,0,2024-09-07 09:54:30:554,154975,0.3,155574,0.4,309820,0.2,411980,1.50 115,1,2024-09-07 09:54:30:574,1086439,1086439,0,0,509910126289,5294936570,1078180,6742,1517,382,391757,0 115,2,2024-09-07 09:54:31:126,782864,782864,0,0,29998110,0,4382 115,3,2024-09-07 09:54:31:018,1,617,3,0,167,3734,617,0 116,0,2024-09-07 09:54:31:704,153555,0.6,153426,0.8,307534,0.6,410473,2.00 116,1,2024-09-07 09:54:30:806,1079847,1079847,0,0,506687229505,5337720248,1067185,9570,3092,380,392089,0 116,2,2024-09-07 09:54:31:754,777022,777022,0,0,37534899,0,4475 116,3,2024-09-07 09:54:30:914,1,617,1,0,448,7813,617,0 117,0,2024-09-07 09:54:30:992,156522,0.6,155839,0.8,312447,0.7,417799,2.00 117,1,2024-09-07 09:54:31:588,1082100,1082100,0,0,507321473451,5310938818,1070309,10268,1523,369,392429,0 117,2,2024-09-07 09:54:31:127,781873,781873,0,0,33963382,0,4303 117,3,2024-09-07 09:54:31:064,1,617,4,0,490,7757,617,0 118,0,2024-09-07 09:54:31:763,151123,0.5,155234,0.7,316770,0.5,414034,2.00 118,1,2024-09-07 09:54:30:586,1081320,1081320,0,0,506656164120,5324645920,1065469,12227,3624,366,392054,0 118,2,2024-09-07 09:54:31:586,781147,781147,0,0,36254405,0,2842 118,3,2024-09-07 09:54:31:767,1,617,0,0,289,7196,617,0 119,0,2024-09-07 09:54:31:342,154627,0.8,155235,0.8,309672,0.9,413124,2.25 119,1,2024-09-07 09:54:30:548,1082043,1082043,0,0,508123773499,5326988660,1067345,12186,2512,367,391857,0 119,2,2024-09-07 09:54:31:265,781552,781552,0,0,34225051,0,4309 119,3,2024-09-07 09:54:31:325,1,617,7,0,1358,11441,617,0 120,0,2024-09-07 09:54:31:565,152222,0.6,152135,0.8,305719,0.6,407480,2.25 120,1,2024-09-07 09:54:30:861,1082786,1082786,0,0,508043017657,5327026919,1071065,10579,1142,367,392144,0 120,2,2024-09-07 09:54:30:770,778867,778864,3,0,40768413,0,5363 120,3,2024-09-07 09:54:31:290,1,617,1,0,279,7378,617,0 121,0,2024-09-07 09:54:31:684,155186,1.0,154770,1.0,309651,1.3,413018,2.25 121,1,2024-09-07 09:54:31:659,1082559,1082559,0,0,507947118815,5313923786,1071257,9746,1556,366,391840,0 121,2,2024-09-07 09:54:31:126,777439,777439,0,0,37179152,0,4157 121,3,2024-09-07 09:54:30:728,1,617,3,0,387,8090,617,0 122,0,2024-09-07 09:54:31:817,156618,0.8,152296,0.9,319062,0.9,419900,2.00 122,1,2024-09-07 09:54:30:860,1081300,1081300,0,0,507271186955,5323404246,1066285,12599,2416,365,392130,0 122,2,2024-09-07 09:54:31:325,782145,782070,75,0,40958841,0,5989 122,3,2024-09-07 09:54:30:599,1,617,1,0,512,9904,617,0 123,0,2024-09-07 09:54:30:966,153031,0.9,148888,0.9,311928,1.0,408669,2.25 123,1,2024-09-07 09:54:30:559,1081975,1081975,0,0,508110021751,5341199208,1065085,14218,2672,369,392039,0 123,2,2024-09-07 09:54:31:028,779615,779614,1,0,35007122,0,5215 123,3,2024-09-07 09:54:31:133,1,617,0,0,478,7006,617,0 124,0,2024-09-07 09:54:30:999,156916,0.3,156874,0.5,295876,0.3,407266,1.75 124,1,2024-09-07 09:54:31:021,1085795,1085795,0,0,508484086512,5283761937,1078050,6569,1176,365,392178,0 124,2,2024-09-07 09:54:31:023,783301,783248,53,0,31424153,0,6487 124,3,2024-09-07 09:54:30:760,1,617,26,0,490,6062,617,0 125,0,2024-09-07 09:54:31:456,153218,0.4,153237,0.5,307483,0.3,409340,1.75 125,1,2024-09-07 09:54:30:892,1082534,1082534,0,0,508950605708,5310113058,1074461,6920,1153,382,391968,0 125,2,2024-09-07 09:54:31:131,779149,779149,0,0,31497682,0,4534 125,3,2024-09-07 09:54:31:133,1,617,6,0,709,7033,617,0 126,0,2024-09-07 09:54:31:453,157876,0.4,162396,0.5,310471,0.3,420866,1.75 126,1,2024-09-07 09:54:30:551,1086680,1086680,0,0,509910301048,5276416904,1081156,5077,447,365,391987,0 126,2,2024-09-07 09:54:30:611,784180,784180,0,0,32806701,0,4539 126,3,2024-09-07 09:54:30:912,1,617,0,0,268,6661,617,0 127,0,2024-09-07 09:54:31:616,153097,0.3,153164,0.5,305652,0.3,406397,1.75 127,1,2024-09-07 09:54:30:580,1084774,1084774,0,0,508937433757,5293015349,1074089,9047,1638,364,392187,0 127,2,2024-09-07 09:54:30:637,783481,783477,4,0,31000387,0,5305 127,3,2024-09-07 09:54:31:269,1,617,14,0,968,6010,617,0 128,0,2024-09-07 09:54:31:546,154271,0.3,154394,0.4,308466,0.2,409868,1.50 128,1,2024-09-07 09:54:31:604,1084560,1084560,0,0,509003337999,5292299558,1076141,7454,965,367,392423,0 128,2,2024-09-07 09:54:31:386,782931,782931,0,0,28659512,0,3171 128,3,2024-09-07 09:54:30:772,1,617,1,0,1082,9646,617,0 129,0,2024-09-07 09:54:31:244,154928,0.3,154120,0.5,308833,0.3,410273,1.50 129,1,2024-09-07 09:54:30:583,1081369,1081369,0,0,507123710070,5312683823,1070893,8454,2022,379,391962,0 129,2,2024-09-07 09:54:30:707,778509,778505,4,0,31188511,0,5335 129,3,2024-09-07 09:54:30:710,1,617,6,0,506,8333,617,0 130,0,2024-09-07 09:54:31:812,157217,0.4,156879,0.5,314206,0.4,418927,1.75 130,1,2024-09-07 09:54:30:583,1086082,1086082,0,0,509996984683,5294791607,1080630,5051,401,381,391825,0 130,2,2024-09-07 09:54:31:125,781962,781962,0,0,31467004,0,4067 130,3,2024-09-07 09:54:31:292,1,617,4,0,960,8354,617,0 131,0,2024-09-07 09:54:31:947,154592,0.3,155112,0.5,311059,0.3,412763,1.75 131,1,2024-09-07 09:54:31:823,1084681,1084681,0,0,509147195497,5304558852,1077444,6039,1198,381,391865,0 131,2,2024-09-07 09:54:30:575,783446,783446,0,0,29210979,0,3979 131,3,2024-09-07 09:54:31:688,1,617,51,0,392,7814,617,0 132,0,2024-09-07 09:54:31:439,155336,0.5,156272,0.6,311206,0.4,413656,2.00 132,1,2024-09-07 09:54:30:576,1080292,1080292,0,0,506431891847,5329664805,1064840,12748,2704,381,392532,0 132,2,2024-09-07 09:54:30:700,780517,780500,17,0,38084171,0,6451 132,3,2024-09-07 09:54:31:688,1,617,4,0,1298,10911,617,0 133,0,2024-09-07 09:54:31:540,150105,0.4,153602,0.6,314877,0.4,410163,2.00 133,1,2024-09-07 09:54:30:587,1080889,1080889,0,0,506913070551,5338160032,1066798,12327,1764,383,391914,0 133,2,2024-09-07 09:54:31:087,778698,778648,50,0,39049118,0,6861 133,3,2024-09-07 09:54:31:297,1,617,1,0,528,7365,617,0 134,0,2024-09-07 09:54:30:939,156092,0.5,156295,0.7,312476,0.5,416097,2.00 134,1,2024-09-07 09:54:30:585,1082213,1082213,0,0,507422858097,5319528806,1068422,11300,2491,366,391781,0 134,2,2024-09-07 09:54:31:759,779059,779035,24,0,36105557,0,6207 134,3,2024-09-07 09:54:30:749,1,617,1,0,739,7595,617,0 135,0,2024-09-07 09:54:31:100,151979,0.8,152041,0.8,322834,0.9,415941,2.25 135,1,2024-09-07 09:54:31:588,1081204,1081204,0,0,507880110890,5336968147,1068167,11396,1641,380,391974,0 135,2,2024-09-07 09:54:30:690,782836,782836,0,0,37071843,0,4503 135,3,2024-09-07 09:54:31:006,1,617,1,0,900,5934,617,0 136,0,2024-09-07 09:54:31:672,154817,0.6,155300,0.8,308220,0.6,411681,2.00 136,1,2024-09-07 09:54:31:449,1082472,1082472,0,0,507760038821,5320169131,1070556,10526,1390,381,392135,0 136,2,2024-09-07 09:54:31:133,782632,782617,15,0,36655608,0,6007 136,3,2024-09-07 09:54:31:112,1,617,1,0,637,7003,617,0 137,0,2024-09-07 09:54:30:940,157726,0.6,153760,0.7,301142,0.6,409189,2.00 137,1,2024-09-07 09:54:30:618,1081477,1081477,0,0,507497406170,5321415894,1064929,13159,3389,366,391898,0 137,2,2024-09-07 09:54:31:715,779292,779292,0,0,37696965,0,3185 137,3,2024-09-07 09:54:30:770,1,617,53,0,484,8349,617,0 138,0,2024-09-07 09:54:31:835,153077,0.7,153532,0.8,307406,0.7,408718,2.00 138,1,2024-09-07 09:54:31:690,1082157,1082157,0,0,508421967675,5331492313,1067365,12520,2272,368,391954,0 138,2,2024-09-07 09:54:30:599,775968,775968,0,0,35852853,0,4988 138,3,2024-09-07 09:54:30:611,1,617,3,0,1200,9565,617,0 139,0,2024-09-07 09:54:31:420,156178,1.0,156876,0.9,313282,1.3,418443,2.25 139,1,2024-09-07 09:54:30:577,1077400,1077400,0,0,504509159420,5347797924,1059005,14568,3827,380,392109,0 139,2,2024-09-07 09:54:30:692,776818,776788,30,0,41382768,0,5997 139,3,2024-09-07 09:54:31:664,1,617,1,0,432,7203,617,0 140,0,2024-09-07 09:54:31:591,153226,0.3,152430,0.5,305765,0.2,407842,1.75 140,1,2024-09-07 09:54:31:563,1088341,1088341,0,0,510954010060,5265020882,1083342,4451,548,364,391606,0 140,2,2024-09-07 09:54:30:690,783403,783402,1,0,29226365,0,5036 140,3,2024-09-07 09:54:30:769,1,617,66,0,575,6090,617,0 141,0,2024-09-07 09:54:31:703,153391,0.3,157662,0.5,301411,0.2,407745,1.75 141,1,2024-09-07 09:54:30:859,1086738,1086738,0,0,509466850219,5290185896,1078538,7007,1193,379,391614,0 141,2,2024-09-07 09:54:31:689,781943,781932,11,0,31331203,0,5369 141,3,2024-09-07 09:54:31:043,1,617,3,0,391,7017,617,0 142,0,2024-09-07 09:54:31:311,154281,0.3,153411,0.5,306987,0.2,409539,1.50 142,1,2024-09-07 09:54:30:590,1084708,1084708,0,0,508562658317,5299028291,1077975,6225,508,382,392102,0 142,2,2024-09-07 09:54:31:301,776362,776330,32,0,31748750,0,6028 142,3,2024-09-07 09:54:31:746,1,617,2,0,484,6432,617,0 143,0,2024-09-07 09:54:31:387,157024,0.4,156791,0.5,314746,0.4,419108,1.75 143,1,2024-09-07 09:54:30:564,1086701,1086701,0,0,509491908478,5282992731,1080228,5905,568,367,391900,0 143,2,2024-09-07 09:54:30:771,782869,782869,0,0,31764660,0,3123 143,3,2024-09-07 09:54:31:155,1,617,1,0,462,7343,617,0 144,0,2024-09-07 09:54:31:517,148999,0.6,153408,0.8,312001,0.6,408202,2.00 144,1,2024-09-07 09:54:30:571,1081697,1081697,0,0,507224005841,5320231745,1071093,8610,1994,381,391733,0 144,2,2024-09-07 09:54:31:761,784258,784258,0,0,31047665,0,4443 144,3,2024-09-07 09:54:31:739,1,617,1,0,249,6113,617,0 145,0,2024-09-07 09:54:31:364,149389,0.6,149335,0.8,316894,0.5,407887,2.25 145,1,2024-09-07 09:54:30:552,1080627,1080627,0,0,507640537788,5338629567,1066590,11361,2676,382,391781,0 145,2,2024-09-07 09:54:31:429,779498,779416,82,0,37018736,0,7814 145,3,2024-09-07 09:54:30:897,1,617,1,0,622,8115,617,0 146,0,2024-09-07 09:54:31:618,153952,0.5,153021,0.7,307843,0.5,408828,2.00 146,1,2024-09-07 09:54:31:586,1082047,1082047,0,0,507547560699,5338743867,1064505,13060,4482,367,391770,0 146,2,2024-09-07 09:54:31:703,775921,775915,6,0,35266953,0,5151 146,3,2024-09-07 09:54:31:290,1,617,25,0,1520,9981,617,0 147,0,2024-09-07 09:54:31:700,156461,0.5,156178,0.7,312338,0.5,417055,2.00 147,1,2024-09-07 09:54:31:372,1085736,1085736,0,0,509200629012,5288890419,1077236,7533,967,367,391791,0 147,2,2024-09-07 09:54:31:018,780307,780307,0,0,31324755,0,2968 147,3,2024-09-07 09:54:30:918,1,617,1,0,1626,9654,617,0 0,0,2024-09-07 09:54:41:722,149079,0.6,149057,0.7,316532,0.6,408887,2.00 0,1,2024-09-07 09:54:40:808,1084285,1084285,0,0,509358719975,5328772740,1075734,7603,948,368,391896,0 0,2,2024-09-07 09:54:41:066,781384,781384,0,0,31236441,0,4480 0,3,2024-09-07 09:54:40:977,1,618,4,0,431,8719,618,0 1,0,2024-09-07 09:54:41:774,155333,0.8,154207,0.9,309784,0.9,414109,2.00 1,1,2024-09-07 09:54:40:576,1083559,1083559,0,0,507954610340,5317656848,1074077,7991,1491,370,391859,0 1,2,2024-09-07 09:54:40:643,780000,780000,0,0,30709041,0,3380 1,3,2024-09-07 09:54:41:310,1,618,1,0,269,7596,618,0 2,0,2024-09-07 09:54:41:566,157540,0.6,157201,0.7,314210,0.6,419467,2.00 2,1,2024-09-07 09:54:40:859,1086926,1086926,0,0,510294188482,5303671557,1080747,5227,952,379,391745,0 2,2,2024-09-07 09:54:41:266,784042,784042,0,0,29382077,0,3594 2,3,2024-09-07 09:54:40:703,1,618,4,0,357,5459,618,0 3,0,2024-09-07 09:54:41:742,153853,0.4,153818,0.6,307239,0.4,409668,2.00 3,1,2024-09-07 09:54:41:619,1085645,1085645,0,0,509510246856,5296780029,1078030,6910,705,379,391716,0 3,2,2024-09-07 09:54:41:142,783750,783727,23,0,30389078,0,5851 3,3,2024-09-07 09:54:41:752,1,618,4,0,484,4631,618,0 4,0,2024-09-07 09:54:41:760,148448,0.4,152584,0.5,311067,0.3,406925,1.75 4,1,2024-09-07 09:54:40:610,1080752,1080752,0,0,506824773462,5360055455,1062934,14064,3754,369,391992,0 4,2,2024-09-07 09:54:41:018,779566,779566,0,0,37306142,0,4534 4,3,2024-09-07 09:54:41:028,1,618,1,0,448,7396,618,0 5,0,2024-09-07 09:54:41:385,153935,0.4,153836,0.5,307858,0.3,409503,1.75 5,1,2024-09-07 09:54:40:763,1081905,1081905,0,0,507908439479,5358834037,1065542,12233,4130,367,392005,0 5,2,2024-09-07 09:54:41:833,775203,775203,0,0,36061867,0,3582 5,3,2024-09-07 09:54:41:742,1,618,19,0,457,8455,618,0 6,0,2024-09-07 09:54:40:919,158284,0.4,157905,0.6,315832,0.4,421171,1.75 6,1,2024-09-07 09:54:40:753,1084713,1084713,0,0,508905068978,5312832385,1073633,9506,1574,379,391702,0 6,2,2024-09-07 09:54:41:122,783722,783704,18,0,35056132,0,5535 6,3,2024-09-07 09:54:41:274,1,618,2,0,710,7409,618,0 7,0,2024-09-07 09:54:41:542,152122,0.5,153181,0.7,304115,0.5,405788,2.00 7,1,2024-09-07 09:54:40:866,1082181,1082181,0,0,507616534192,5341649566,1065851,12564,3766,382,391747,0 7,2,2024-09-07 09:54:40:779,783082,783082,0,0,33744037,0,4791 7,3,2024-09-07 09:54:40:856,1,618,1,0,552,7108,618,0 8,0,2024-09-07 09:54:41:384,154122,0.4,153842,0.5,308109,0.3,410233,1.75 8,1,2024-09-07 09:54:41:019,1081757,1081757,0,0,508311237559,5343231003,1065004,13164,3589,366,392853,0 8,2,2024-09-07 09:54:40:793,777474,777472,2,0,40162855,0,5112 8,3,2024-09-07 09:54:40:588,1,618,7,0,772,9680,618,0 9,0,2024-09-07 09:54:41:129,153664,0.4,149483,0.5,312718,0.3,409719,1.75 9,1,2024-09-07 09:54:40:578,1081605,1081605,0,0,507403849802,5346509690,1064801,13574,3230,369,392001,0 9,2,2024-09-07 09:54:41:083,776378,776377,1,0,37831164,0,5281 9,3,2024-09-07 09:54:41:752,1,618,1,0,1273,10313,618,0 10,0,2024-09-07 09:54:41:600,157039,0.3,156271,0.5,313597,0.3,418483,1.75 10,1,2024-09-07 09:54:40:587,1083410,1083410,0,0,508257382292,5330523800,1067677,12669,3064,381,391981,0 10,2,2024-09-07 09:54:40:764,782128,782128,0,0,40779113,0,4713 10,3,2024-09-07 09:54:40:878,1,618,2,0,669,6850,618,0 11,0,2024-09-07 09:54:41:016,154513,0.4,149908,0.6,313708,0.4,412800,1.75 11,1,2024-09-07 09:54:40:577,1084640,1084640,0,0,508943999102,5337206373,1068681,11656,4303,383,391766,0 11,2,2024-09-07 09:54:41:134,782774,782774,0,0,35973843,0,4698 11,3,2024-09-07 09:54:41:298,1,618,1,0,843,7874,618,0 12,0,2024-09-07 09:54:40:937,156374,0.4,156161,0.5,311974,0.4,414481,1.75 12,1,2024-09-07 09:54:40:936,1084852,1084852,0,0,508522779358,5300889853,1076465,7378,1009,370,391960,0 12,2,2024-09-07 09:54:41:541,780928,780928,0,0,34640394,0,4390 12,3,2024-09-07 09:54:41:060,1,618,1,0,386,7649,618,0 13,0,2024-09-07 09:54:41:332,155029,0.4,155096,0.5,309375,0.3,412288,1.75 13,1,2024-09-07 09:54:41:524,1082298,1082298,0,0,508327886859,5340271813,1071396,8512,2390,382,391803,0 13,2,2024-09-07 09:54:40:601,781101,781101,0,0,30935497,0,3287 13,3,2024-09-07 09:54:41:762,1,618,43,0,522,8070,618,0 14,0,2024-09-07 09:54:40:566,156163,0.4,157210,0.6,312019,0.3,416157,1.75 14,1,2024-09-07 09:54:41:561,1089656,1089656,0,0,511020931294,5285578053,1081193,7334,1129,364,391673,0 14,2,2024-09-07 09:54:40:764,781654,781624,30,0,32399524,0,6104 14,3,2024-09-07 09:54:41:129,1,618,1,0,1168,6658,618,0 15,0,2024-09-07 09:54:41:552,157326,0.4,156324,0.6,313219,0.4,417554,2.00 15,1,2024-09-07 09:54:41:608,1086442,1086442,0,0,509809525434,5306796452,1077366,7163,1913,381,391619,0 15,2,2024-09-07 09:54:41:008,785030,785030,0,0,28235157,0,3622 15,3,2024-09-07 09:54:41:409,1,618,0,0,1126,8132,618,0 16,0,2024-09-07 09:54:40:951,154464,0.6,155162,0.8,309648,0.6,412435,2.00 16,1,2024-09-07 09:54:40:563,1085920,1085920,0,0,508668015615,5315170273,1076471,8005,1444,370,392194,0 16,2,2024-09-07 09:54:41:434,781650,781650,0,0,31861160,0,4719 16,3,2024-09-07 09:54:41:142,1,618,1,0,358,7642,618,0 17,0,2024-09-07 09:54:41:764,157756,0.6,153774,0.7,301201,0.6,409656,2.00 17,1,2024-09-07 09:54:40:575,1084072,1084072,0,0,508853972188,5333754961,1073771,8528,1773,368,392075,0 17,2,2024-09-07 09:54:41:667,784448,784447,1,0,33176988,0,5050 17,3,2024-09-07 09:54:40:577,1,618,1,0,518,8857,618,0 18,0,2024-09-07 09:54:40:947,152819,0.6,153820,0.8,306069,0.6,409541,2.25 18,1,2024-09-07 09:54:41:639,1088587,1088587,0,0,510064899154,5287688914,1081518,5812,1257,367,391725,0 18,2,2024-09-07 09:54:41:754,781270,781270,0,0,29413866,0,3541 18,3,2024-09-07 09:54:40:895,1,618,1,0,1059,5597,618,0 19,0,2024-09-07 09:54:41:544,157139,0.6,157535,0.8,314085,0.6,417463,2.00 19,1,2024-09-07 09:54:40:568,1088501,1088501,0,0,510461002886,5288231921,1080691,6677,1133,365,391777,0 19,2,2024-09-07 09:54:41:751,786211,786211,0,0,27897784,0,3988 19,3,2024-09-07 09:54:41:140,1,618,1,0,524,4651,618,0 20,0,2024-09-07 09:54:41:362,152911,0.6,152801,0.7,305475,0.5,407596,2.00 20,1,2024-09-07 09:54:40:577,1083954,1083954,0,0,509186558009,5333526686,1073154,9290,1510,369,391922,0 20,2,2024-09-07 09:54:40:928,782887,782887,0,0,34901801,0,4321 20,3,2024-09-07 09:54:40:600,1,618,2,0,468,9806,618,0 21,0,2024-09-07 09:54:41:170,153390,0.5,153465,0.6,306634,0.4,407223,2.00 21,1,2024-09-07 09:54:41:535,1082061,1082061,0,0,507128271895,5350170685,1065181,13044,3836,368,392016,0 21,2,2024-09-07 09:54:41:066,776626,776149,477,0,45343906,0,17074 21,3,2024-09-07 09:54:41:406,1,618,2,0,713,8735,618,0 22,0,2024-09-07 09:54:41:717,153385,0.5,154059,0.6,307487,0.4,408200,2.00 22,1,2024-09-07 09:54:41:023,1082704,1082704,0,0,506982248402,5333332171,1064885,14279,3540,382,391822,0 22,2,2024-09-07 09:54:40:765,776344,776318,26,0,33022217,0,6328 22,3,2024-09-07 09:54:41:066,1,618,1,0,228,5278,618,0 23,0,2024-09-07 09:54:41:369,156980,0.5,156793,0.6,313724,0.4,418610,2.00 23,1,2024-09-07 09:54:41:008,1083160,1083160,0,0,508605767876,5355797884,1063678,12904,6578,365,391690,0 23,2,2024-09-07 09:54:41:091,784087,784087,0,0,31814813,0,3773 23,3,2024-09-07 09:54:41:754,1,618,1,0,855,8908,618,0 24,0,2024-09-07 09:54:40:857,154696,0.4,153887,0.6,309368,0.4,410542,1.75 24,1,2024-09-07 09:54:40:595,1083779,1083779,0,0,507584919092,5311624760,1073648,8421,1710,367,392269,0 24,2,2024-09-07 09:54:41:071,783608,783605,3,0,38558944,0,6294 24,3,2024-09-07 09:54:41:686,1,618,7,0,468,7887,618,0 25,0,2024-09-07 09:54:41:349,158753,0.4,154547,0.6,303576,0.4,413217,2.00 25,1,2024-09-07 09:54:40:585,1081736,1081736,0,0,506982314405,5354604186,1062963,15059,3714,369,391928,0 25,2,2024-09-07 09:54:41:789,779896,779896,0,0,39129843,0,3978 25,3,2024-09-07 09:54:41:016,1,618,9,0,532,6615,618,0 26,0,2024-09-07 09:54:41:723,153193,0.4,149706,0.6,314400,0.3,409002,1.75 26,1,2024-09-07 09:54:41:541,1085181,1085181,0,0,508957793137,5325844355,1070843,11805,2533,380,391758,0 26,2,2024-09-07 09:54:40:864,777624,777624,0,0,41658677,0,4689 26,3,2024-09-07 09:54:41:716,1,618,1,0,796,7589,618,0 27,0,2024-09-07 09:54:41:724,156661,0.4,157324,0.6,312797,0.3,417567,2.25 27,1,2024-09-07 09:54:41:677,1086550,1086550,0,0,510017264118,5313136141,1076148,8793,1609,381,391626,0 27,2,2024-09-07 09:54:40:879,778652,778587,65,0,36520268,0,5699 27,3,2024-09-07 09:54:41:017,1,618,1,0,564,5271,618,0 28,0,2024-09-07 09:54:41:386,156024,0.4,156257,0.6,312531,0.3,415837,1.75 28,1,2024-09-07 09:54:40:805,1086858,1086858,0,0,509762684384,5311799576,1077912,7055,1891,382,391904,0 28,2,2024-09-07 09:54:41:764,784340,784340,0,0,31392665,0,2915 28,3,2024-09-07 09:54:41:778,1,618,27,0,502,6168,618,0 29,0,2024-09-07 09:54:41:356,159587,0.4,155430,0.6,304984,0.3,415674,1.75 29,1,2024-09-07 09:54:41:566,1089895,1089895,0,0,510912344617,5281807792,1083532,5586,777,367,391809,0 29,2,2024-09-07 09:54:40:864,782202,782202,0,0,30439201,0,4986 29,3,2024-09-07 09:54:40:963,1,618,1,0,459,6542,618,0 30,0,2024-09-07 09:54:41:456,152730,0.5,148564,0.6,311368,0.4,407046,2.00 30,1,2024-09-07 09:54:40:576,1088650,1088650,0,0,510931100945,5298332121,1081075,6664,911,380,391672,0 30,2,2024-09-07 09:54:41:277,781817,781817,0,0,29001960,0,4192 30,3,2024-09-07 09:54:40:584,1,618,1,0,519,5712,618,0 31,0,2024-09-07 09:54:41:757,155004,0.4,155919,0.6,310793,0.4,414762,1.75 31,1,2024-09-07 09:54:40:568,1092413,1092413,0,0,512593111159,5261680294,1085953,5386,1074,356,391712,0 31,2,2024-09-07 09:54:41:277,779388,779388,0,0,32649186,0,4470 31,3,2024-09-07 09:54:41:708,1,618,2,0,239,5265,618,0 32,0,2024-09-07 09:54:41:443,157623,0.3,158809,0.5,315979,0.3,421345,1.75 32,1,2024-09-07 09:54:40:812,1088579,1088579,0,0,510403023174,5301275416,1082399,5462,718,381,391646,0 32,2,2024-09-07 09:54:40:934,785263,785263,0,0,28783305,0,3922 32,3,2024-09-07 09:54:41:021,1,618,2,0,304,4952,618,0 33,0,2024-09-07 09:54:41:515,154466,0.3,154095,0.4,308442,0.2,410610,1.50 33,1,2024-09-07 09:54:40:621,1088981,1088981,0,0,511652950146,5297174231,1080528,7180,1273,368,391730,0 33,2,2024-09-07 09:54:40:770,783948,783913,35,0,31858138,0,7012 33,3,2024-09-07 09:54:40:895,1,618,5,0,329,5366,618,0 34,0,2024-09-07 09:54:40:933,153160,0.3,157333,0.5,300932,0.2,406198,1.75 34,1,2024-09-07 09:54:41:044,1090698,1090698,0,0,512289684174,5268004181,1087734,2853,111,367,391637,0 34,2,2024-09-07 09:54:40:765,783331,783331,0,0,30372408,0,4562 34,3,2024-09-07 09:54:41:688,1,618,1,0,541,5526,618,0 35,0,2024-09-07 09:54:40:866,152980,0.3,153823,0.5,308449,0.2,409913,1.75 35,1,2024-09-07 09:54:41:067,1086888,1086888,0,0,510491507173,5295976539,1078777,6588,1523,382,391769,0 35,2,2024-09-07 09:54:41:582,778408,778408,0,0,33285841,0,4055 35,3,2024-09-07 09:54:40:913,1,618,2,0,466,5716,618,0 36,0,2024-09-07 09:54:41:517,158477,0.5,158580,0.6,316563,0.4,421822,2.00 36,1,2024-09-07 09:54:40:589,1086102,1086102,0,0,509842783562,5321213104,1072519,11342,2241,366,391759,0 36,2,2024-09-07 09:54:41:755,784111,784111,0,0,34825173,0,3875 36,3,2024-09-07 09:54:40:863,1,618,1,0,556,8327,618,0 37,0,2024-09-07 09:54:41:373,151979,0.5,152192,0.7,304508,0.5,406071,2.25 37,1,2024-09-07 09:54:40:569,1085440,1085433,0,7,509069299402,5313679646,1072775,9745,2913,365,391770,0 37,2,2024-09-07 09:54:41:142,781369,781354,15,0,34726586,0,5815 37,3,2024-09-07 09:54:41:765,1,618,1,0,888,8114,618,0 38,0,2024-09-07 09:54:41:438,152993,0.4,148275,0.6,310034,0.4,405913,2.00 38,1,2024-09-07 09:54:41:605,1085490,1085490,0,0,510264844194,5334238314,1070157,12333,3000,368,391821,0 38,2,2024-09-07 09:54:40:769,781875,781828,47,0,34907747,0,6710 38,3,2024-09-07 09:54:40:996,1,618,1,0,689,7449,618,0 39,0,2024-09-07 09:54:41:760,157079,0.5,153580,0.7,299502,0.5,408581,2.00 39,1,2024-09-07 09:54:40:721,1084847,1084847,0,0,508394841729,5326713475,1067399,13733,3715,365,391865,0 39,2,2024-09-07 09:54:41:420,777610,777610,0,0,33027758,0,3391 39,3,2024-09-07 09:54:40:724,1,618,1,0,525,6867,618,0 40,0,2024-09-07 09:54:41:493,155419,0.8,156206,0.9,311941,0.9,416718,2.75 40,1,2024-09-07 09:54:40:577,1085104,1085104,0,0,508276603365,5322270617,1069492,12543,3069,366,391668,0 40,2,2024-09-07 09:54:41:307,780101,780094,7,0,39491483,0,5347 40,3,2024-09-07 09:54:41:141,1,618,11,0,1028,8989,618,0 41,0,2024-09-07 09:54:41:023,153783,1.3,157391,1.1,300098,1.9,407553,3.00 41,1,2024-09-07 09:54:40:775,1084312,1084312,0,0,508650891613,5319147396,1070533,11619,2160,369,391878,0 41,2,2024-09-07 09:54:40:765,780350,780349,1,0,37761182,0,5408 41,3,2024-09-07 09:54:41:680,1,618,1,0,366,6682,618,0 42,0,2024-09-07 09:54:41:488,154173,0.9,153855,1.0,307815,1.1,408245,2.75 42,1,2024-09-07 09:54:41:443,1082357,1082357,0,0,507119638883,5327165215,1065772,13652,2933,380,391675,0 42,2,2024-09-07 09:54:41:133,780388,780387,1,0,38193448,0,5513 42,3,2024-09-07 09:54:41:017,1,618,1,0,892,6028,618,0 43,0,2024-09-07 09:54:40:917,152672,0.7,148629,0.9,311257,0.7,407241,2.25 43,1,2024-09-07 09:54:40:576,1085910,1085910,0,0,508775550020,5314210446,1071169,12169,2572,366,391696,0 43,2,2024-09-07 09:54:41:736,779282,779282,0,0,35905567,0,4723 43,3,2024-09-07 09:54:41:757,1,618,0,0,571,8881,618,0 44,0,2024-09-07 09:54:40:922,156484,0.4,156379,0.6,312668,0.3,416615,1.75 44,1,2024-09-07 09:54:40:571,1089327,1089327,0,0,510015099513,5269859331,1079956,7430,1941,356,391809,0 44,2,2024-09-07 09:54:41:268,779472,779472,0,0,29274097,0,4344 44,3,2024-09-07 09:54:41:097,1,618,3,0,1097,7509,618,0 45,0,2024-09-07 09:54:41:791,155334,0.5,151804,0.7,318577,0.4,417639,2.00 45,1,2024-09-07 09:54:41:009,1087400,1087400,0,0,510299758447,5300221303,1079144,7353,903,382,391917,0 45,2,2024-09-07 09:54:41:268,784421,784421,0,0,29579115,0,3596 45,3,2024-09-07 09:54:40:935,1,618,42,0,531,5856,618,0 46,0,2024-09-07 09:54:40:991,153809,0.6,153317,0.8,307708,0.5,409178,2.00 46,1,2024-09-07 09:54:40:588,1090132,1090132,0,0,511463357822,5284664772,1082636,6508,988,366,391709,0 46,2,2024-09-07 09:54:40:601,783541,783541,0,0,30253767,0,4443 46,3,2024-09-07 09:54:41:132,1,618,1,0,908,7291,618,0 47,0,2024-09-07 09:54:41:105,153492,0.4,153338,0.6,308007,0.3,408035,1.75 47,1,2024-09-07 09:54:40:569,1090757,1090757,0,0,511530941033,5281714924,1084656,5238,863,364,391641,0 47,2,2024-09-07 09:54:40:914,785298,785298,0,0,29218803,0,4477 47,3,2024-09-07 09:54:41:126,1,618,1,0,600,6720,618,0 48,0,2024-09-07 09:54:41:496,154590,0.3,154752,0.4,308928,0.2,411423,1.50 48,1,2024-09-07 09:54:41:022,1088053,1088053,0,0,509795831535,5291779344,1081946,5529,578,381,391710,0 48,2,2024-09-07 09:54:40:704,779630,779630,0,0,27691906,0,3524 48,3,2024-09-07 09:54:40:761,1,618,1,0,339,5396,618,0 49,0,2024-09-07 09:54:41:722,162177,0.3,158766,0.5,309048,0.3,421597,1.75 49,1,2024-09-07 09:54:41:021,1087165,1087165,0,0,509692576440,5299507925,1079890,5579,1696,382,391809,0 49,2,2024-09-07 09:54:41:797,784882,784882,0,0,29938345,0,4426 49,3,2024-09-07 09:54:41:435,1,618,1,0,992,7223,618,0 50,0,2024-09-07 09:54:41:507,153603,0.3,152055,0.5,306293,0.2,407600,1.75 50,1,2024-09-07 09:54:41:282,1089943,1089943,0,0,511790130580,5287854738,1083415,5859,669,368,391691,0 50,2,2024-09-07 09:54:41:067,782580,782580,0,0,27745857,0,4490 50,3,2024-09-07 09:54:41:300,1,618,5,0,617,6228,618,0 51,0,2024-09-07 09:54:41:695,157311,0.3,154002,0.5,299892,0.2,408885,1.75 51,1,2024-09-07 09:54:41:690,1090254,1090254,0,0,511915426647,5276186673,1084591,4582,1081,365,391706,0 51,2,2024-09-07 09:54:41:317,782955,782955,0,0,27275045,0,3337 51,3,2024-09-07 09:54:41:026,1,618,0,0,678,4439,618,0 52,0,2024-09-07 09:54:41:419,154109,0.5,153763,0.6,307803,0.4,409208,2.00 52,1,2024-09-07 09:54:40:576,1085513,1085513,0,0,509610832475,5331090582,1070364,12818,2331,368,391805,0 52,2,2024-09-07 09:54:41:754,774966,774928,38,0,36835763,0,6742 52,3,2024-09-07 09:54:40:683,1,618,1,0,1782,7131,618,0 53,0,2024-09-07 09:54:41:731,156819,0.6,152269,0.8,318550,0.7,417602,2.25 53,1,2024-09-07 09:54:40:778,1083828,1083828,0,0,508506801256,5333422406,1065636,13794,4398,367,391968,0 53,2,2024-09-07 09:54:41:301,783604,783603,1,0,33167187,0,5455 53,3,2024-09-07 09:54:40:702,1,618,1,0,308,5695,618,0 54,0,2024-09-07 09:54:41:613,152073,0.6,152175,0.8,303282,0.5,404980,2.25 54,1,2024-09-07 09:54:40:588,1087239,1087239,0,0,509954857954,5301724418,1076121,9447,1671,366,391810,0 54,2,2024-09-07 09:54:40:865,784638,784606,32,0,36957436,0,6397 54,3,2024-09-07 09:54:40:763,1,618,1,0,676,7886,618,0 55,0,2024-09-07 09:54:41:760,149044,0.6,153802,0.8,311648,0.6,405870,2.50 55,1,2024-09-07 09:54:40:764,1087722,1087722,0,0,510266005373,5304202346,1076854,9479,1389,365,391731,0 55,2,2024-09-07 09:54:40:729,780863,780807,56,0,34321995,0,7239 55,3,2024-09-07 09:54:40:673,1,618,2,0,304,5606,618,0 56,0,2024-09-07 09:54:41:672,156861,1.1,147835,1.1,304781,1.4,407677,2.75 56,1,2024-09-07 09:54:40:578,1080594,1080594,0,0,507951062808,5370017034,1062594,14311,3689,381,391867,0 56,2,2024-09-07 09:54:41:324,776827,776705,122,0,36683415,0,7432 56,3,2024-09-07 09:54:41:059,1,618,4,0,705,7146,618,0 57,0,2024-09-07 09:54:40:954,155133,1.3,154730,1.2,309967,1.8,414033,3.00 57,1,2024-09-07 09:54:40:993,1083159,1083159,0,0,507460688588,5325163891,1068688,11980,2491,366,392032,0 57,2,2024-09-07 09:54:41:317,781090,781090,0,0,38513170,0,4804 57,3,2024-09-07 09:54:41:742,1,618,31,0,455,7123,618,0 58,0,2024-09-07 09:54:40:591,153621,1.0,149155,1.0,312321,1.2,408170,2.75 58,1,2024-09-07 09:54:40:581,1084445,1084442,0,3,509181045636,5335664390,1068288,12250,3904,367,391726,3 58,2,2024-09-07 09:54:41:070,783906,783906,0,0,36054228,0,3483 58,3,2024-09-07 09:54:41:068,1,618,1,0,1043,6880,618,0 59,0,2024-09-07 09:54:41:749,153730,0.9,153286,1.0,306297,1.0,406799,3.00 59,1,2024-09-07 09:54:40:809,1083497,1083497,0,0,508706587721,5344124246,1065645,14184,3668,369,391653,0 59,2,2024-09-07 09:54:40:587,782127,782127,0,0,34177568,0,3727 59,3,2024-09-07 09:54:41:742,1,618,1,0,1015,7504,618,0 60,0,2024-09-07 09:54:41:706,153350,0.5,153422,0.7,307126,0.5,409347,1.75 60,1,2024-09-07 09:54:40:803,1088298,1088298,0,0,510720284993,5305177579,1080339,6870,1089,370,392031,0 60,2,2024-09-07 09:54:41:157,781099,781099,0,0,32042882,0,3811 60,3,2024-09-07 09:54:41:258,1,618,5,0,409,7096,618,0 61,0,2024-09-07 09:54:41:539,155201,0.6,155806,0.7,310141,0.6,414104,2.00 61,1,2024-09-07 09:54:40:771,1085650,1085650,0,0,509257774558,5328145527,1074510,9458,1682,382,392127,0 61,2,2024-09-07 09:54:41:128,780382,780315,67,0,32732216,0,6411 61,3,2024-09-07 09:54:41:687,1,618,1,0,607,7911,618,0 62,0,2024-09-07 09:54:41:707,158251,0.6,162215,0.7,309854,0.6,421301,2.00 62,1,2024-09-07 09:54:41:118,1091707,1091701,0,6,512297498357,5279415800,1086729,4673,299,365,391975,6 62,2,2024-09-07 09:54:41:644,781720,781719,1,0,32683611,0,5555 62,3,2024-09-07 09:54:41:147,1,618,5,0,482,4908,618,0 63,0,2024-09-07 09:54:41:477,154554,0.4,154297,0.6,308977,0.3,410963,1.75 63,1,2024-09-07 09:54:40:804,1088226,1088220,0,6,510641368041,5300155007,1081372,5993,855,381,391800,6 63,2,2024-09-07 09:54:40:761,783194,783194,0,0,31056150,0,4369 63,3,2024-09-07 09:54:41:732,1,618,1,0,667,6235,618,0 64,0,2024-09-07 09:54:41:528,152238,0.5,152049,0.6,303892,0.4,404868,2.00 64,1,2024-09-07 09:54:40:751,1086942,1086942,0,0,510157519624,5310694989,1077591,7372,1979,370,391794,0 64,2,2024-09-07 09:54:41:145,786213,786194,19,0,30085522,0,6121 64,3,2024-09-07 09:54:41:145,1,618,5,0,651,6986,618,0 65,0,2024-09-07 09:54:41:672,152634,0.6,152928,0.7,305693,0.6,407380,2.00 65,1,2024-09-07 09:54:40:858,1085320,1085320,0,0,508711452609,5314135855,1077832,6614,874,381,391901,0 65,2,2024-09-07 09:54:41:696,777520,777520,0,0,36111757,0,3367 65,3,2024-09-07 09:54:41:689,1,618,1,0,782,6719,618,0 66,0,2024-09-07 09:54:41:762,157512,0.5,157054,0.7,314429,0.5,418776,2.00 66,1,2024-09-07 09:54:41:297,1087515,1087515,0,0,509813472363,5301969856,1081012,5781,722,380,391743,0 66,2,2024-09-07 09:54:41:133,786275,786272,3,0,32102233,0,5455 66,3,2024-09-07 09:54:41:081,1,618,2,0,291,5140,618,0 67,0,2024-09-07 09:54:41:420,152702,0.5,152265,0.7,305621,0.5,406631,2.00 67,1,2024-09-07 09:54:40:769,1087140,1087139,0,1,509903370021,5306225729,1079739,6455,945,380,391787,1 67,2,2024-09-07 09:54:40:587,786718,786703,15,0,31900524,0,6205 67,3,2024-09-07 09:54:41:751,1,618,0,0,595,6339,618,0 68,0,2024-09-07 09:54:40:625,153869,0.6,153666,0.7,306018,0.5,409264,2.00 68,1,2024-09-07 09:54:40:582,1082858,1082858,0,0,508142281791,5339048349,1069048,10279,3531,381,391953,0 68,2,2024-09-07 09:54:41:047,778770,778670,100,0,40057943,0,8578 68,3,2024-09-07 09:54:40:739,1,618,2,0,417,7820,618,0 69,0,2024-09-07 09:54:41:760,153087,0.6,153800,0.8,306732,0.6,407425,2.25 69,1,2024-09-07 09:54:41:017,1082070,1082070,0,0,508099890485,5351273323,1068203,11033,2834,383,391994,0 69,2,2024-09-07 09:54:41:748,774976,774947,29,0,42581841,0,6912 69,3,2024-09-07 09:54:40:767,1,618,3,0,698,8710,618,0 70,0,2024-09-07 09:54:41:560,155270,0.7,155971,0.9,313199,0.6,415484,2.50 70,1,2024-09-07 09:54:40:808,1088602,1088602,0,0,511132981565,5300650594,1080681,7112,809,366,391725,0 70,2,2024-09-07 09:54:41:326,782900,782900,0,0,35115017,0,4323 70,3,2024-09-07 09:54:40:750,1,618,1,0,854,6766,618,0 71,0,2024-09-07 09:54:41:381,153476,0.9,153127,1.0,307396,1.2,409752,2.50 71,1,2024-09-07 09:54:41:606,1086219,1086219,0,0,509509615375,5314835846,1073216,11382,1621,368,391738,0 71,2,2024-09-07 09:54:41:067,783123,783123,0,0,35383982,0,4352 71,3,2024-09-07 09:54:41:750,1,618,1,0,644,6856,618,0 72,0,2024-09-07 09:54:41:066,160225,0.6,156457,0.7,305602,0.5,415411,2.00 72,1,2024-09-07 09:54:41:020,1084630,1084630,0,0,508464276840,5331137913,1069320,12791,2519,369,391819,0 72,2,2024-09-07 09:54:41:758,780296,780296,0,0,37092768,0,3983 72,3,2024-09-07 09:54:41:757,1,618,1,0,564,8974,618,0 73,0,2024-09-07 09:54:41:117,150135,0.4,154026,0.6,314826,0.4,409850,2.00 73,1,2024-09-07 09:54:40:781,1086009,1086009,0,0,510116732071,5301010842,1077598,7429,982,367,391858,0 73,2,2024-09-07 09:54:41:752,778788,778787,1,0,38724801,0,5027 73,3,2024-09-07 09:54:40:978,1,618,4,0,1091,8510,618,0 74,0,2024-09-07 09:54:41:328,157189,0.4,161057,0.6,307282,0.4,417039,2.00 74,1,2024-09-07 09:54:40:638,1084841,1084841,0,0,508942036868,5311992375,1072930,9793,2118,381,391762,0 74,2,2024-09-07 09:54:41:005,779685,779685,0,0,33739238,0,4253 74,3,2024-09-07 09:54:41:442,1,618,1,0,522,7481,618,0 75,0,2024-09-07 09:54:41:765,157377,0.5,156505,0.7,313920,0.5,419025,2.25 75,1,2024-09-07 09:54:41:607,1085659,1085659,0,0,509584205705,5308489612,1077031,7758,870,380,391739,0 75,2,2024-09-07 09:54:41:350,782348,782348,0,0,40950325,0,4766 75,3,2024-09-07 09:54:41:066,1,618,4,0,918,8274,618,0 76,0,2024-09-07 09:54:40:652,154189,0.6,153511,0.8,307120,0.6,410876,2.25 76,1,2024-09-07 09:54:40:809,1085873,1085873,0,0,509204791919,5307797909,1078534,6348,991,382,391790,0 76,2,2024-09-07 09:54:41:061,784644,784641,3,0,33075208,0,5265 76,3,2024-09-07 09:54:41:144,1,618,5,0,227,5666,618,0 77,0,2024-09-07 09:54:41:718,152679,0.5,153221,0.7,306254,0.5,407251,2.00 77,1,2024-09-07 09:54:40:828,1085730,1085730,0,0,509569478243,5313203287,1077737,7113,880,381,391869,0 77,2,2024-09-07 09:54:41:302,781119,781119,0,0,32172570,0,3890 77,3,2024-09-07 09:54:41:095,1,618,1,0,401,6985,618,0 78,0,2024-09-07 09:54:41:715,154879,0.4,154194,0.6,309263,0.3,409949,2.00 78,1,2024-09-07 09:54:40:610,1086411,1086411,0,0,509215524875,5308551318,1073569,9925,2917,367,391670,0 78,2,2024-09-07 09:54:41:413,780334,780321,13,0,30164810,0,8313 78,3,2024-09-07 09:54:41:138,1,618,1,0,311,5125,618,0 79,0,2024-09-07 09:54:41:377,152395,0.4,156112,0.6,319686,0.3,416451,2.00 79,1,2024-09-07 09:54:40:575,1089892,1089892,0,0,511387600887,5290959658,1082044,6488,1360,367,391682,0 79,2,2024-09-07 09:54:41:081,784124,784124,0,0,29289747,0,4195 79,3,2024-09-07 09:54:40:750,1,618,1,0,418,7189,618,0 80,0,2024-09-07 09:54:41:078,152882,0.6,156996,0.7,300111,0.6,406613,2.00 80,1,2024-09-07 09:54:41:619,1085822,1085822,0,0,509505087553,5302893841,1078662,6708,452,368,392269,0 80,2,2024-09-07 09:54:41:091,785974,785974,0,0,29829408,0,4433 80,3,2024-09-07 09:54:40:588,1,618,6,0,681,7442,618,0 81,0,2024-09-07 09:54:41:558,153289,0.5,156873,0.7,299470,0.5,406160,2.00 81,1,2024-09-07 09:54:41:652,1085169,1085169,0,0,508779300434,5313862430,1077000,7401,768,382,391885,0 81,2,2024-09-07 09:54:41:136,782233,782170,63,0,33057359,0,5932 81,3,2024-09-07 09:54:41:121,1,618,0,0,719,7047,618,0 82,0,2024-09-07 09:54:41:532,153504,0.4,153586,0.7,308007,0.4,408326,2.00 82,1,2024-09-07 09:54:40:587,1087258,1087254,0,4,510046434083,5299195965,1081680,4750,824,381,391768,4 82,2,2024-09-07 09:54:41:690,780239,780239,0,0,27964537,0,4484 82,3,2024-09-07 09:54:41:752,1,618,2,0,363,5726,618,0 83,0,2024-09-07 09:54:41:528,157458,0.5,157242,0.7,314325,0.5,417824,2.00 83,1,2024-09-07 09:54:40:560,1086308,1086308,0,0,509431557034,5307934520,1078716,6947,645,382,391709,0 83,2,2024-09-07 09:54:40:768,784012,783987,25,0,30177871,0,5612 83,3,2024-09-07 09:54:40:752,1,618,2,0,1260,7246,618,0 84,0,2024-09-07 09:54:41:767,152263,0.8,152331,0.9,304498,0.8,406634,2.25 84,1,2024-09-07 09:54:41:320,1084060,1084060,0,0,508186046662,5312678876,1071799,10588,1673,367,391967,0 84,2,2024-09-07 09:54:40:587,782737,782327,410,0,43954395,0,17037 84,3,2024-09-07 09:54:41:141,1,618,2,0,908,8159,618,0 85,0,2024-09-07 09:54:41:004,148326,0.6,148313,0.8,314881,0.6,406269,2.25 85,1,2024-09-07 09:54:40:574,1081013,1081013,0,0,507062914655,5351194011,1064682,13530,2801,381,392092,0 85,2,2024-09-07 09:54:40:869,781116,781116,0,0,37090654,0,4255 85,3,2024-09-07 09:54:40:688,1,618,15,0,789,7064,618,0 86,0,2024-09-07 09:54:40:912,153717,0.6,158013,0.8,302154,0.7,408199,2.25 86,1,2024-09-07 09:54:40:830,1084365,1084365,0,0,508682234738,5331283888,1070444,11234,2687,366,392169,0 86,2,2024-09-07 09:54:40:864,777166,777165,1,0,39328106,0,5004 86,3,2024-09-07 09:54:40:594,1,618,2,0,308,8671,618,0 87,0,2024-09-07 09:54:41:313,156801,0.7,156141,0.8,312388,0.9,417508,2.25 87,1,2024-09-07 09:54:40:571,1083543,1083543,0,0,507963465015,5319432074,1070156,11589,1798,366,392076,0 87,2,2024-09-07 09:54:41:068,780710,780704,6,0,35712963,0,6323 87,3,2024-09-07 09:54:41:795,1,618,1,0,473,8651,618,0 88,0,2024-09-07 09:54:41:469,155902,0.5,156479,0.6,312514,0.4,415714,1.75 88,1,2024-09-07 09:54:40:574,1082822,1082822,0,0,507967569643,5323395634,1067420,12355,3047,365,392084,0 88,2,2024-09-07 09:54:40:735,782764,782764,0,0,38743823,0,4465 88,3,2024-09-07 09:54:41:267,1,618,1,0,1080,9426,618,0 89,0,2024-09-07 09:54:41:782,159472,0.5,154729,0.6,305285,0.4,415295,1.75 89,1,2024-09-07 09:54:40:562,1082618,1082618,0,0,507908420646,5340049920,1069623,11049,1946,382,391866,0 89,2,2024-09-07 09:54:41:133,781898,781898,0,0,36520321,0,3173 89,3,2024-09-07 09:54:41:793,1,618,1,0,468,10173,618,0 90,0,2024-09-07 09:54:41:611,148843,0.5,152928,0.6,312088,0.4,407294,2.00 90,1,2024-09-07 09:54:40:600,1084461,1084461,0,0,507830216109,5317193678,1074359,9207,895,380,391825,0 90,2,2024-09-07 09:54:41:419,777268,777263,5,0,39984690,0,6370 90,3,2024-09-07 09:54:40:933,1,618,2,0,322,7382,618,0 91,0,2024-09-07 09:54:40:934,155707,0.4,151136,0.6,316239,0.4,414750,1.75 91,1,2024-09-07 09:54:40:583,1081936,1081936,0,0,507948602102,5350824954,1066071,12717,3148,381,392047,0 91,2,2024-09-07 09:54:41:331,779846,779846,0,0,35149630,0,4713 91,3,2024-09-07 09:54:40:629,1,618,5,0,216,5481,618,0 92,0,2024-09-07 09:54:41:447,159249,0.4,162864,0.6,310399,0.4,421508,1.75 92,1,2024-09-07 09:54:40:581,1085273,1085273,0,0,509848184530,5323006631,1076898,7087,1288,381,392136,0 92,2,2024-09-07 09:54:41:350,783934,783934,0,0,30853468,0,3259 92,3,2024-09-07 09:54:41:017,1,618,1,0,167,5254,618,0 93,0,2024-09-07 09:54:41:035,154961,0.4,158741,0.6,303048,0.4,410910,1.75 93,1,2024-09-07 09:54:40:812,1085994,1085994,0,0,510137581785,5322113101,1074265,9793,1936,365,392048,0 93,2,2024-09-07 09:54:40:944,782897,782897,0,0,36673388,0,4913 93,3,2024-09-07 09:54:41:435,1,618,1,0,375,6345,618,0 94,0,2024-09-07 09:54:41:599,152274,0.4,153391,0.5,306716,0.3,407214,1.75 94,1,2024-09-07 09:54:40:566,1086759,1086759,0,0,509486019687,5310874549,1079797,6527,435,381,391850,0 94,2,2024-09-07 09:54:40:765,782567,782539,28,0,31530022,0,6179 94,3,2024-09-07 09:54:41:687,1,618,50,0,576,7632,618,0 95,0,2024-09-07 09:54:41:349,153871,0.3,153741,0.5,307900,0.3,410027,1.75 95,1,2024-09-07 09:54:40:891,1087207,1087207,0,0,510465195958,5302333277,1078758,7697,752,365,391852,0 95,2,2024-09-07 09:54:41:018,777510,777510,0,0,31024722,0,3308 95,3,2024-09-07 09:54:41:709,1,618,1,0,718,9499,618,0 96,0,2024-09-07 09:54:41:043,158009,0.4,158427,0.5,316680,0.3,421342,1.75 96,1,2024-09-07 09:54:41:586,1085847,1085847,0,0,509217469873,5308523930,1078456,6117,1274,384,391964,0 96,2,2024-09-07 09:54:41:280,784569,784569,0,0,32076830,0,4180 96,3,2024-09-07 09:54:41:143,1,618,15,0,411,7027,618,0 97,0,2024-09-07 09:54:41:330,152812,0.3,152658,0.5,306124,0.3,406432,1.75 97,1,2024-09-07 09:54:40:794,1087249,1087249,0,0,509887451313,5289085439,1080308,5900,1041,367,392140,0 97,2,2024-09-07 09:54:40:668,785219,785219,0,0,31717439,0,4046 97,3,2024-09-07 09:54:40:597,1,618,5,0,242,6874,618,0 98,0,2024-09-07 09:54:41:695,153380,0.3,153541,0.4,308127,0.2,409411,1.50 98,1,2024-09-07 09:54:40:577,1086325,1086325,0,0,509661786480,5306568535,1079828,5661,836,381,391997,0 98,2,2024-09-07 09:54:40:773,782002,782002,0,0,30424962,0,4336 98,3,2024-09-07 09:54:40:707,1,618,1,0,840,9179,618,0 99,0,2024-09-07 09:54:41:489,153772,0.3,154591,0.5,307707,0.3,410068,1.75 99,1,2024-09-07 09:54:41:733,1087232,1087232,0,0,510247887529,5307101504,1080856,5433,943,380,392069,0 99,2,2024-09-07 09:54:41:420,777841,777841,0,0,37649416,0,4276 99,3,2024-09-07 09:54:40:601,1,618,78,0,1124,7880,618,0 100,0,2024-09-07 09:54:41:461,156546,0.7,156916,0.9,313751,0.7,419040,2.25 100,1,2024-09-07 09:54:40:560,1080772,1080772,0,0,507072639627,5357269535,1064739,12713,3320,378,391989,0 100,2,2024-09-07 09:54:41:818,779068,778679,389,0,40945591,0,16909 100,3,2024-09-07 09:54:41:731,1,618,0,0,627,10267,618,0 101,0,2024-09-07 09:54:41:726,157985,1.1,154153,1.0,301572,1.1,411459,2.25 101,1,2024-09-07 09:54:40:570,1083008,1083008,0,0,508528495366,5340637528,1068854,11625,2529,368,391847,0 101,2,2024-09-07 09:54:41:756,779615,779615,0,0,40935049,0,4871 101,3,2024-09-07 09:54:40:953,1,618,1,0,1250,9078,618,0 102,0,2024-09-07 09:54:40:963,150891,0.6,155434,0.8,315822,0.6,412908,2.25 102,1,2024-09-07 09:54:41:149,1082694,1082694,0,0,507762849449,5331255931,1068561,11932,2201,369,391984,0 102,2,2024-09-07 09:54:41:748,782832,782778,54,0,35023085,0,6768 102,3,2024-09-07 09:54:41:613,1,618,2,0,466,6983,618,0 103,0,2024-09-07 09:54:41:591,159191,0.5,159220,0.7,300018,0.5,413156,2.00 103,1,2024-09-07 09:54:41:639,1081543,1081543,0,0,508292458065,5365391282,1064452,13488,3603,381,392077,0 103,2,2024-09-07 09:54:40:587,776996,776996,0,0,37821741,0,3766 103,3,2024-09-07 09:54:40:764,1,618,0,0,916,7155,618,0 104,0,2024-09-07 09:54:41:058,155251,0.7,155356,0.9,310001,0.7,414976,2.25 104,1,2024-09-07 09:54:41:606,1084078,1084078,0,0,508661760190,5341965460,1068536,12697,2845,365,392168,0 104,2,2024-09-07 09:54:41:667,778029,778029,0,0,37570262,0,4161 104,3,2024-09-07 09:54:41:420,1,618,1,0,1245,10837,618,0 105,0,2024-09-07 09:54:41:053,155334,0.9,151379,1.1,317447,1.0,416942,2.50 105,1,2024-09-07 09:54:40:568,1085370,1085370,0,0,508867039653,5329301116,1071789,11637,1944,364,392009,0 105,2,2024-09-07 09:54:41:328,782747,782747,0,0,37878906,0,4360 105,3,2024-09-07 09:54:41:308,1,618,3,0,573,9397,618,0 106,0,2024-09-07 09:54:40:944,149290,0.9,152916,1.0,313230,1.1,409253,2.50 106,1,2024-09-07 09:54:41:750,1084010,1084010,0,0,507811895279,5327299375,1069335,12779,1896,368,391914,0 106,2,2024-09-07 09:54:40:761,780848,780848,0,0,35519218,0,3331 106,3,2024-09-07 09:54:40:682,1,618,2,0,1224,8453,618,0 107,0,2024-09-07 09:54:41:098,152864,0.9,152934,0.9,305866,1.1,407901,2.25 107,1,2024-09-07 09:54:40:589,1081725,1081725,0,0,507495530343,5361184087,1064319,15271,2135,381,392234,0 107,2,2024-09-07 09:54:41:296,778364,778363,1,0,38677112,0,5024 107,3,2024-09-07 09:54:41:757,1,618,11,0,733,9405,618,0 108,0,2024-09-07 09:54:41:769,154067,0.4,154612,0.6,308129,0.4,411355,1.75 108,1,2024-09-07 09:54:41:301,1085507,1085507,0,0,509410282559,5310645568,1076801,7710,996,367,391894,0 108,2,2024-09-07 09:54:41:755,778901,778901,0,0,33158025,0,4246 108,3,2024-09-07 09:54:41:333,1,618,2,0,749,10935,618,0 109,0,2024-09-07 09:54:41:757,158652,0.4,157288,0.6,315266,0.3,420864,1.75 109,1,2024-09-07 09:54:40:585,1083001,1083001,0,0,509107313332,5337571864,1073510,8211,1280,382,392132,0 109,2,2024-09-07 09:54:40:921,781605,781605,0,0,34145351,0,3617 109,3,2024-09-07 09:54:41:145,1,618,1,0,630,7395,618,0 110,0,2024-09-07 09:54:41:778,152896,0.4,148631,0.6,311273,0.4,408439,1.75 110,1,2024-09-07 09:54:41:652,1087401,1087401,0,0,510194114717,5294160161,1079354,6119,1928,368,392045,0 110,2,2024-09-07 09:54:41:303,784161,784161,0,0,31573144,0,4067 110,3,2024-09-07 09:54:40:696,1,618,0,0,722,8112,618,0 111,0,2024-09-07 09:54:41:419,154058,0.4,153230,0.5,306376,0.3,408457,1.75 111,1,2024-09-07 09:54:41:008,1088415,1088415,0,0,511557925635,5303503447,1082777,5257,381,380,391690,0 111,2,2024-09-07 09:54:41:118,782792,782792,0,0,32116944,0,4823 111,3,2024-09-07 09:54:40:913,1,618,4,0,379,6628,618,0 112,0,2024-09-07 09:54:40:912,154275,0.3,153850,0.4,308270,0.2,409519,1.50 112,1,2024-09-07 09:54:40:830,1087357,1087357,0,0,509491033102,5288966158,1080151,5981,1225,380,391624,0 112,2,2024-09-07 09:54:41:133,778125,778124,1,0,30278547,0,5036 112,3,2024-09-07 09:54:40:603,1,618,78,0,282,5831,618,0 113,0,2024-09-07 09:54:40:872,157420,0.3,157438,0.5,315380,0.2,420122,1.50 113,1,2024-09-07 09:54:41:684,1089715,1089715,0,0,511811114186,5278939351,1083479,5288,948,365,391664,0 113,2,2024-09-07 09:54:41:308,786220,786220,0,0,28786283,0,3813 113,3,2024-09-07 09:54:40:688,1,618,1,0,510,6584,618,0 114,0,2024-09-07 09:54:40:880,153914,0.3,154884,0.5,308840,0.2,412018,1.75 114,1,2024-09-07 09:54:40:719,1087617,1087617,0,0,509838561979,5292007182,1079675,6195,1747,381,391565,0 114,2,2024-09-07 09:54:40:878,786546,786545,1,0,29963203,0,5069 114,3,2024-09-07 09:54:41:286,1,618,1,0,395,4889,618,0 115,0,2024-09-07 09:54:40:553,155103,0.3,155691,0.4,310048,0.2,412322,1.50 115,1,2024-09-07 09:54:40:574,1088096,1088096,0,0,510469129718,5300715783,1079837,6742,1517,382,391757,0 115,2,2024-09-07 09:54:41:127,784233,784233,0,0,30040866,0,4382 115,3,2024-09-07 09:54:41:004,1,618,1,0,167,3735,618,0 116,0,2024-09-07 09:54:41:695,153605,0.6,153465,0.8,307624,0.6,410473,2.00 116,1,2024-09-07 09:54:40:805,1081611,1081611,0,0,507800348821,5349006215,1068948,9571,3092,380,392089,0 116,2,2024-09-07 09:54:41:752,777888,777888,0,0,37540900,0,4475 116,3,2024-09-07 09:54:40:914,1,618,4,0,448,7817,618,0 117,0,2024-09-07 09:54:40:984,156932,0.6,156241,0.8,313214,0.7,418747,2.00 117,1,2024-09-07 09:54:41:583,1083869,1083869,0,0,508004859625,5317914300,1072077,10268,1524,369,392429,0 117,2,2024-09-07 09:54:41:127,783255,783255,0,0,33974916,0,4303 117,3,2024-09-07 09:54:41:059,1,618,1,0,490,7758,618,0 118,0,2024-09-07 09:54:41:763,151203,0.5,155325,0.7,316992,0.5,414269,2.00 118,1,2024-09-07 09:54:40:588,1083089,1083089,0,0,507570773926,5333914962,1067238,12227,3624,366,392054,0 118,2,2024-09-07 09:54:41:587,782639,782639,0,0,36266050,0,2842 118,3,2024-09-07 09:54:41:766,1,618,0,0,289,7196,618,0 119,0,2024-09-07 09:54:41:334,154854,0.8,155481,0.8,310163,0.9,413694,2.25 119,1,2024-09-07 09:54:40:570,1083816,1083816,0,0,508959168088,5335463773,1069118,12186,2512,367,391857,0 119,2,2024-09-07 09:54:41:268,782995,782995,0,0,34238150,0,4309 119,3,2024-09-07 09:54:41:331,1,618,1,0,1358,11442,618,0 120,0,2024-09-07 09:54:41:567,152524,0.6,152431,0.8,306333,0.6,408353,2.25 120,1,2024-09-07 09:54:40:887,1084508,1084508,0,0,508788179771,5334613249,1072785,10581,1142,367,392144,0 120,2,2024-09-07 09:54:40:778,779573,779570,3,0,40776576,0,5363 120,3,2024-09-07 09:54:41:293,1,618,1,0,279,7379,618,0 121,0,2024-09-07 09:54:41:713,155472,1.0,155046,1.0,310239,1.3,413704,2.25 121,1,2024-09-07 09:54:41:667,1084325,1084325,0,0,508706697849,5321692057,1073023,9746,1556,366,391840,0 121,2,2024-09-07 09:54:41:135,778867,778867,0,0,37196218,0,4157 121,3,2024-09-07 09:54:40:734,1,618,4,0,387,8094,618,0 122,0,2024-09-07 09:54:41:761,157191,0.8,152758,0.9,320032,0.9,421437,2.00 122,1,2024-09-07 09:54:40:961,1083043,1083043,0,0,508028865851,5331118714,1068028,12599,2416,365,392130,0 122,2,2024-09-07 09:54:41:326,783703,783628,75,0,40979631,0,5989 122,3,2024-09-07 09:54:40:595,1,618,1,0,512,9905,618,0 123,0,2024-09-07 09:54:41:012,153351,0.8,149213,0.9,312555,1.0,409501,2.25 123,1,2024-09-07 09:54:40:594,1083761,1083761,0,0,508920320843,5349446168,1066870,14219,2672,369,392039,0 123,2,2024-09-07 09:54:41:017,780728,780727,1,0,35019136,0,5215 123,3,2024-09-07 09:54:41:132,1,618,0,0,478,7006,618,0 124,0,2024-09-07 09:54:41:092,157060,0.3,157040,0.5,296212,0.3,407672,1.75 124,1,2024-09-07 09:54:41:022,1087532,1087532,0,0,509330002542,5293311281,1079571,6785,1176,365,392178,0 124,2,2024-09-07 09:54:41:018,784357,784304,53,0,31480592,0,6487 124,3,2024-09-07 09:54:40:766,1,618,2,0,490,6064,618,0 125,0,2024-09-07 09:54:41:438,153492,0.4,153449,0.5,307969,0.3,409973,1.75 125,1,2024-09-07 09:54:40:929,1084277,1084277,0,0,509705799737,5317878896,1076204,6920,1153,382,391968,0 125,2,2024-09-07 09:54:41:134,780665,780665,0,0,31539320,0,4534 125,3,2024-09-07 09:54:41:131,1,618,2,0,709,7035,618,0 126,0,2024-09-07 09:54:41:417,158272,0.4,162812,0.5,311214,0.3,421892,1.75 126,1,2024-09-07 09:54:40:568,1088465,1088465,0,0,510854592991,5286138149,1082941,5077,447,365,391987,0 126,2,2024-09-07 09:54:40:621,785489,785489,0,0,32841582,0,4539 126,3,2024-09-07 09:54:40:954,1,618,6,0,268,6667,618,0 127,0,2024-09-07 09:54:41:611,153252,0.3,153316,0.5,305997,0.3,406857,1.75 127,1,2024-09-07 09:54:40:591,1086508,1086508,0,0,509898078348,5302829039,1075821,9048,1639,364,392187,0 127,2,2024-09-07 09:54:40:652,784535,784531,4,0,31059827,0,5305 127,3,2024-09-07 09:54:41:267,1,618,2,0,968,6012,618,0 128,0,2024-09-07 09:54:41:579,154360,0.3,154482,0.4,308653,0.2,410131,1.50 128,1,2024-09-07 09:54:41:606,1086297,1086297,0,0,509834360055,5300918117,1077876,7456,965,367,392423,0 128,2,2024-09-07 09:54:41:388,784273,784273,0,0,28703436,0,3171 128,3,2024-09-07 09:54:40:906,1,618,5,0,1082,9651,618,0 129,0,2024-09-07 09:54:41:110,155043,0.3,154212,0.5,309023,0.3,410606,1.50 129,1,2024-09-07 09:54:40:578,1083177,1083177,0,0,507979599514,5321487794,1072701,8454,2022,379,391962,0 129,2,2024-09-07 09:54:40:696,779667,779663,4,0,31216961,0,5335 129,3,2024-09-07 09:54:40:699,1,618,10,0,506,8343,618,0 130,0,2024-09-07 09:54:41:719,157714,0.4,157365,0.5,315099,0.4,420273,1.75 130,1,2024-09-07 09:54:40:588,1087835,1087835,0,0,510827470678,5303329968,1082382,5052,401,381,391825,0 130,2,2024-09-07 09:54:41:127,783195,783195,0,0,31496755,0,4067 130,3,2024-09-07 09:54:41:300,1,618,1,0,960,8355,618,0 131,0,2024-09-07 09:54:41:926,154686,0.3,155210,0.5,311256,0.3,413044,1.75 131,1,2024-09-07 09:54:41:820,1086448,1086448,0,0,509976002452,5313076765,1079211,6039,1198,381,391865,0 131,2,2024-09-07 09:54:40:575,784886,784886,0,0,29242265,0,3979 131,3,2024-09-07 09:54:41:688,1,618,3,0,392,7817,618,0 132,0,2024-09-07 09:54:41:444,155472,0.5,156382,0.6,311455,0.4,413907,2.00 132,1,2024-09-07 09:54:40:591,1082123,1082123,0,0,507541596833,5340965443,1066671,12748,2704,381,392532,0 132,2,2024-09-07 09:54:40:711,782010,781993,17,0,38096990,0,6451 132,3,2024-09-07 09:54:41:692,1,618,1,0,1298,10912,618,0 133,0,2024-09-07 09:54:41:523,150340,0.4,153819,0.6,315325,0.4,410695,2.00 133,1,2024-09-07 09:54:40:609,1082652,1082652,0,0,507778473752,5346984805,1068561,12327,1764,383,391914,0 133,2,2024-09-07 09:54:41:096,779526,779476,50,0,39056415,0,6861 133,3,2024-09-07 09:54:41:307,1,618,1,0,528,7366,618,0 134,0,2024-09-07 09:54:40:974,156475,0.5,156705,0.7,313242,0.5,417156,2.00 134,1,2024-09-07 09:54:40:591,1084089,1084089,0,0,508305672159,5328482277,1070298,11300,2491,366,391781,0 134,2,2024-09-07 09:54:41:758,780562,780538,24,0,36116417,0,6207 134,3,2024-09-07 09:54:40:756,1,618,1,0,739,7596,618,0 135,0,2024-09-07 09:54:41:120,152213,0.8,152232,0.8,323255,0.9,416259,2.25 135,1,2024-09-07 09:54:41:585,1083031,1083031,0,0,508857704493,5346911290,1069994,11396,1641,380,391974,0 135,2,2024-09-07 09:54:40:687,784356,784356,0,0,37083772,0,4503 135,3,2024-09-07 09:54:41:008,1,618,0,0,900,5934,618,0 136,0,2024-09-07 09:54:41:651,155121,0.6,155570,0.8,308801,0.6,412440,2.00 136,1,2024-09-07 09:54:41:443,1084260,1084260,0,0,508656894312,5329308062,1072344,10526,1390,381,392135,0 136,2,2024-09-07 09:54:41:133,783814,783799,15,0,36666969,0,6007 136,3,2024-09-07 09:54:41:106,1,618,9,0,637,7012,618,0 137,0,2024-09-07 09:54:40:982,157927,0.6,153959,0.7,301534,0.6,409755,2.00 137,1,2024-09-07 09:54:40:592,1083291,1083291,0,0,508344217151,5330022155,1066741,13161,3389,366,391898,0 137,2,2024-09-07 09:54:41:708,780198,780198,0,0,37706445,0,3185 137,3,2024-09-07 09:54:40:769,1,618,2,0,484,8351,618,0 138,0,2024-09-07 09:54:41:749,153481,0.7,153927,0.8,308244,0.7,409942,2.00 138,1,2024-09-07 09:54:41:684,1083937,1083937,0,0,509249854361,5339901697,1069145,12520,2272,368,391954,0 138,2,2024-09-07 09:54:40:588,777585,777585,0,0,35899987,0,4988 138,3,2024-09-07 09:54:40:612,1,618,3,0,1200,9568,618,0 139,0,2024-09-07 09:54:41:378,156483,1.0,157167,0.9,313856,1.3,419221,2.25 139,1,2024-09-07 09:54:40:594,1079231,1079231,0,0,505412197362,5357048905,1060835,14569,3827,380,392109,0 139,2,2024-09-07 09:54:40:698,778188,778158,30,0,41405499,0,5997 139,3,2024-09-07 09:54:41:664,1,618,1,0,432,7204,618,0 140,0,2024-09-07 09:54:41:593,153518,0.3,152733,0.5,306400,0.2,408816,1.75 140,1,2024-09-07 09:54:41:540,1090152,1090152,0,0,512203373217,5277765575,1085152,4452,548,364,391606,0 140,2,2024-09-07 09:54:40:694,784536,784535,1,0,29249083,0,5036 140,3,2024-09-07 09:54:40:770,1,618,1,0,575,6091,618,0 141,0,2024-09-07 09:54:41:697,153566,0.3,157832,0.5,301740,0.2,408234,1.50 141,1,2024-09-07 09:54:40:865,1088474,1088474,0,0,510304381726,5298817724,1080274,7007,1193,379,391614,0 141,2,2024-09-07 09:54:41:688,783237,783226,11,0,31357681,0,5369 141,3,2024-09-07 09:54:41:043,1,618,2,0,391,7019,618,0 142,0,2024-09-07 09:54:41:314,154578,0.3,153691,0.5,307573,0.2,410440,1.50 142,1,2024-09-07 09:54:40:611,1086500,1086500,0,0,509491890659,5308571727,1079766,6225,509,382,392102,0 142,2,2024-09-07 09:54:41:300,777665,777633,32,0,31799655,0,6028 142,3,2024-09-07 09:54:41:750,1,618,2,0,484,6434,618,0 143,0,2024-09-07 09:54:41:384,157418,0.4,157271,0.5,315677,0.4,420206,1.75 143,1,2024-09-07 09:54:40:559,1088437,1088437,0,0,510537968067,5293854561,1081962,5907,568,367,391900,0 143,2,2024-09-07 09:54:40:778,784119,784119,0,0,31811152,0,3123 143,3,2024-09-07 09:54:41:143,1,618,6,0,462,7349,618,0 144,0,2024-09-07 09:54:41:491,149132,0.6,153528,0.8,312284,0.6,408513,2.00 144,1,2024-09-07 09:54:40:575,1083459,1083459,0,0,507936088013,5327647240,1072855,8610,1994,381,391733,0 144,2,2024-09-07 09:54:41:754,785624,785624,0,0,31075254,0,4443 144,3,2024-09-07 09:54:41:739,1,618,2,0,249,6115,618,0 145,0,2024-09-07 09:54:41:360,149509,0.6,149441,0.8,317156,0.5,408206,2.25 145,1,2024-09-07 09:54:40:560,1082387,1082387,0,0,508317321933,5345564819,1068349,11362,2676,382,391781,0 145,2,2024-09-07 09:54:41:433,780876,780794,82,0,37030455,0,7814 145,3,2024-09-07 09:54:40:903,1,618,3,0,622,8118,618,0 146,0,2024-09-07 09:54:41:644,153988,0.5,153068,0.7,307931,0.5,408828,2.00 146,1,2024-09-07 09:54:41:589,1083780,1083780,0,0,508192141621,5345357028,1066238,13060,4482,367,391770,0 146,2,2024-09-07 09:54:41:695,776900,776894,6,0,35276324,0,5151 146,3,2024-09-07 09:54:41:278,1,618,2,0,1520,9983,618,0 147,0,2024-09-07 09:54:41:696,156851,0.5,156556,0.7,313070,0.5,417958,2.00 147,1,2024-09-07 09:54:41:375,1087412,1087412,0,0,509906438973,5296190484,1078910,7535,967,367,391791,0 147,2,2024-09-07 09:54:41:014,781725,781725,0,0,31363019,0,2968 147,3,2024-09-07 09:54:40:914,1,618,1,0,1626,9655,618,0 0,0,2024-09-07 09:54:51:729,149428,0.6,149374,0.7,317154,0.6,409824,2.00 0,1,2024-09-07 09:54:50:809,1086116,1086116,0,0,510151660510,5336913494,1077565,7603,948,368,391896,0 0,2,2024-09-07 09:54:51:069,782070,782070,0,0,31255380,0,4480 0,3,2024-09-07 09:54:50:977,1,619,11,0,431,8730,619,0 1,0,2024-09-07 09:54:51:867,155572,0.8,154488,0.9,310309,0.9,414860,2.00 1,1,2024-09-07 09:54:50:561,1085188,1085188,0,0,508573593974,5324031184,1075706,7991,1491,370,391859,0 1,2,2024-09-07 09:54:50:648,781559,781559,0,0,30745367,0,3380 1,3,2024-09-07 09:54:51:306,1,619,1,0,269,7597,619,0 2,0,2024-09-07 09:54:51:569,158102,0.6,157699,0.7,315299,0.6,421388,2.00 2,1,2024-09-07 09:54:50:863,1088667,1088667,0,0,511033974816,5311318657,1082488,5227,952,379,391745,0 2,2,2024-09-07 09:54:51:297,785626,785626,0,0,29430114,0,3594 2,3,2024-09-07 09:54:50:691,1,619,3,0,357,5462,619,0 3,0,2024-09-07 09:54:51:747,154204,0.4,154126,0.6,307892,0.4,410556,2.00 3,1,2024-09-07 09:54:51:618,1087471,1087471,0,0,510277321551,5304692961,1079855,6911,705,379,391716,0 3,2,2024-09-07 09:54:51:143,784855,784832,23,0,30405563,0,5851 3,3,2024-09-07 09:54:51:753,1,619,61,0,484,4692,619,0 4,0,2024-09-07 09:54:51:798,148606,0.4,152746,0.5,311399,0.3,407350,1.75 4,1,2024-09-07 09:54:50:591,1082561,1082561,0,0,507865963893,5370640398,1064743,14064,3754,369,391992,0 4,2,2024-09-07 09:54:51:018,780591,780591,0,0,37314780,0,4534 4,3,2024-09-07 09:54:51:028,1,619,1,0,448,7397,619,0 5,0,2024-09-07 09:54:51:419,154165,0.4,154061,0.5,308334,0.3,410108,1.75 5,1,2024-09-07 09:54:50:769,1083690,1083690,0,0,508588802503,5365797561,1067327,12233,4130,367,392005,0 5,2,2024-09-07 09:54:51:831,776763,776763,0,0,36078129,0,3582 5,3,2024-09-07 09:54:51:736,1,619,1,0,457,8456,619,0 6,0,2024-09-07 09:54:50:928,158691,0.4,158279,0.6,316616,0.4,422195,1.75 6,1,2024-09-07 09:54:50:746,1086476,1086476,0,0,509452582246,5318457041,1075395,9507,1574,379,391702,0 6,2,2024-09-07 09:54:51:116,785054,785036,18,0,35065910,0,5535 6,3,2024-09-07 09:54:51:302,1,619,1,0,710,7410,619,0 7,0,2024-09-07 09:54:51:538,152286,0.5,153343,0.7,304451,0.5,406235,2.00 7,1,2024-09-07 09:54:50:850,1083922,1083922,0,0,508366848857,5349302149,1067592,12564,3766,382,391747,0 7,2,2024-09-07 09:54:50:770,784172,784172,0,0,33749974,0,4791 7,3,2024-09-07 09:54:50:856,1,619,51,0,552,7159,619,0 8,0,2024-09-07 09:54:51:333,154225,0.4,153940,0.5,308300,0.3,410481,1.75 8,1,2024-09-07 09:54:51:016,1083522,1083522,0,0,509092042881,5351190849,1066769,13164,3589,366,392853,0 8,2,2024-09-07 09:54:50:790,778902,778900,2,0,40179912,0,5112 8,3,2024-09-07 09:54:50:584,1,619,1,0,772,9681,619,0 9,0,2024-09-07 09:54:51:123,153777,0.4,149592,0.5,312941,0.3,410041,1.75 9,1,2024-09-07 09:54:50:559,1083386,1083386,0,0,508272359959,5355357396,1066581,13575,3230,369,392001,0 9,2,2024-09-07 09:54:51:087,777664,777663,1,0,37844643,0,5281 9,3,2024-09-07 09:54:51:757,1,619,1,0,1273,10314,619,0 10,0,2024-09-07 09:54:51:600,157560,0.3,156796,0.5,314590,0.3,419845,1.75 10,1,2024-09-07 09:54:50:590,1085156,1085156,0,0,508933020031,5337433630,1069423,12669,3064,381,391981,0 10,2,2024-09-07 09:54:50:762,783360,783360,0,0,40792454,0,4713 10,3,2024-09-07 09:54:50:908,1,619,1,0,669,6851,619,0 11,0,2024-09-07 09:54:51:009,154608,0.4,150034,0.6,313921,0.4,413106,1.75 11,1,2024-09-07 09:54:50:572,1086481,1086481,0,0,509865009536,5346582753,1070522,11656,4303,383,391766,0 11,2,2024-09-07 09:54:51:125,784176,784176,0,0,35986142,0,4698 11,3,2024-09-07 09:54:51:302,1,619,1,0,843,7875,619,0 12,0,2024-09-07 09:54:50:955,156480,0.4,156267,0.5,312189,0.4,414746,1.75 12,1,2024-09-07 09:54:50:952,1086640,1086640,0,0,509426506619,5310246326,1078252,7379,1009,370,391960,0 12,2,2024-09-07 09:54:51:541,782270,782270,0,0,34680255,0,4390 12,3,2024-09-07 09:54:51:062,1,619,0,0,386,7649,619,0 13,0,2024-09-07 09:54:51:326,155252,0.4,155327,0.5,309784,0.3,412802,1.75 13,1,2024-09-07 09:54:51:541,1084087,1084087,0,0,509040997118,5347868287,1073185,8512,2390,382,391803,0 13,2,2024-09-07 09:54:50:607,781874,781874,0,0,30959620,0,3287 13,3,2024-09-07 09:54:51:763,1,619,2,0,522,8072,619,0 14,0,2024-09-07 09:54:50:564,156598,0.4,157625,0.6,312865,0.3,417341,1.75 14,1,2024-09-07 09:54:51:563,1091435,1091435,0,0,511839481369,5293898542,1082972,7334,1129,364,391673,0 14,2,2024-09-07 09:54:50:770,783023,782993,30,0,32427918,0,6104 14,3,2024-09-07 09:54:51:124,1,619,44,0,1168,6702,619,0 15,0,2024-09-07 09:54:51:583,157457,0.4,156482,0.6,313494,0.4,417849,2.00 15,1,2024-09-07 09:54:51:612,1088286,1088286,0,0,510713685615,5316164965,1079209,7164,1913,381,391619,0 15,2,2024-09-07 09:54:50:998,786529,786529,0,0,28268368,0,3622 15,3,2024-09-07 09:54:51:417,1,619,5,0,1126,8137,619,0 16,0,2024-09-07 09:54:50:948,154775,0.6,155472,0.8,310277,0.6,413236,2.00 16,1,2024-09-07 09:54:50:571,1087706,1087706,0,0,509620102413,5324962428,1078256,8006,1444,370,392194,0 16,2,2024-09-07 09:54:51:452,783016,783016,0,0,31897735,0,4719 16,3,2024-09-07 09:54:51:142,1,619,21,0,358,7663,619,0 17,0,2024-09-07 09:54:51:796,157978,0.6,153998,0.7,301623,0.6,410211,2.00 17,1,2024-09-07 09:54:50:591,1085847,1085847,0,0,509713311737,5342577338,1075545,8529,1773,368,392075,0 17,2,2024-09-07 09:54:51:676,785292,785291,1,0,33197331,0,5050 17,3,2024-09-07 09:54:50:579,1,619,11,0,518,8868,619,0 18,0,2024-09-07 09:54:50:954,153295,0.6,154268,0.8,306950,0.6,410780,2.25 18,1,2024-09-07 09:54:51:639,1090321,1090321,0,0,510976025412,5296932129,1083252,5812,1257,367,391725,0 18,2,2024-09-07 09:54:51:755,782831,782831,0,0,29437884,0,3541 18,3,2024-09-07 09:54:50:908,1,619,1,0,1059,5598,619,0 19,0,2024-09-07 09:54:51:570,157429,0.6,157821,0.8,314655,0.6,418155,2.00 19,1,2024-09-07 09:54:50:577,1090233,1090233,0,0,511590847194,5299944422,1082409,6691,1133,365,391777,0 19,2,2024-09-07 09:54:51:758,787659,787659,0,0,27949095,0,3988 19,3,2024-09-07 09:54:51:130,1,619,5,0,524,4656,619,0 20,0,2024-09-07 09:54:51:383,153284,0.6,153128,0.7,306141,0.5,408537,2.00 20,1,2024-09-07 09:54:50:593,1085741,1085741,0,0,509865527824,5340485812,1074941,9290,1510,369,391922,0 20,2,2024-09-07 09:54:50:946,784031,784031,0,0,34911784,0,4321 20,3,2024-09-07 09:54:50:594,1,619,1,0,468,9807,619,0 21,0,2024-09-07 09:54:51:155,153531,0.5,153634,0.6,307023,0.4,407688,2.00 21,1,2024-09-07 09:54:51:537,1083805,1083805,0,0,507852686448,5357561965,1066924,13045,3836,368,392016,0 21,2,2024-09-07 09:54:51:070,777804,777327,477,0,45354113,0,17074 21,3,2024-09-07 09:54:51:410,1,619,2,0,713,8737,619,0 22,0,2024-09-07 09:54:51:740,153692,0.5,154397,0.6,308175,0.4,409131,2.00 22,1,2024-09-07 09:54:51:022,1084429,1084429,0,0,507902767639,5342703379,1066610,14279,3540,382,391822,0 22,2,2024-09-07 09:54:50:760,777817,777791,26,0,33031226,0,6328 22,3,2024-09-07 09:54:51:069,1,619,0,0,228,5278,619,0 23,0,2024-09-07 09:54:51:378,157447,0.5,157201,0.6,314534,0.4,419787,2.00 23,1,2024-09-07 09:54:51:007,1084881,1084881,0,0,509453243871,5364388096,1065399,12904,6578,365,391690,0 23,2,2024-09-07 09:54:51:092,785403,785403,0,0,31824284,0,3773 23,3,2024-09-07 09:54:51:757,1,619,1,0,855,8909,619,0 24,0,2024-09-07 09:54:50:910,154812,0.4,154000,0.6,309586,0.4,410875,1.75 24,1,2024-09-07 09:54:50:595,1085549,1085549,0,0,508480426702,5320741309,1075418,8421,1710,367,392269,0 24,2,2024-09-07 09:54:51:071,784722,784719,3,0,38576072,0,6294 24,3,2024-09-07 09:54:51:697,1,619,18,0,468,7905,619,0 25,0,2024-09-07 09:54:51:424,158878,0.4,154681,0.6,303834,0.4,413544,2.00 25,1,2024-09-07 09:54:50:570,1083516,1083516,0,0,507822463137,5363164820,1064743,15059,3714,369,391928,0 25,2,2024-09-07 09:54:51:612,781214,781214,0,0,39145741,0,3978 25,3,2024-09-07 09:54:51:000,1,619,1,0,532,6616,619,0 26,0,2024-09-07 09:54:51:726,153203,0.4,149721,0.6,314426,0.3,409002,1.75 26,1,2024-09-07 09:54:51:541,1086890,1086890,0,0,509820221231,5334604333,1072552,11805,2533,380,391758,0 26,2,2024-09-07 09:54:50:860,778772,778772,0,0,41669343,0,4689 26,3,2024-09-07 09:54:51:713,1,619,1,0,796,7590,619,0 27,0,2024-09-07 09:54:51:726,157022,0.4,157698,0.6,313500,0.3,418464,2.25 27,1,2024-09-07 09:54:51:683,1088355,1088355,0,0,510948302372,5322600810,1077953,8793,1609,381,391626,0 27,2,2024-09-07 09:54:50:911,779940,779875,65,0,36533123,0,5699 27,3,2024-09-07 09:54:51:015,1,619,3,0,564,5274,619,0 28,0,2024-09-07 09:54:51:408,156119,0.4,156346,0.6,312696,0.3,416064,1.75 28,1,2024-09-07 09:54:50:797,1088581,1088581,0,0,510578216388,5320136743,1079635,7055,1891,382,391904,0 28,2,2024-09-07 09:54:51:778,785731,785731,0,0,31442913,0,2915 28,3,2024-09-07 09:54:51:790,1,619,12,0,502,6180,619,0 29,0,2024-09-07 09:54:51:368,159809,0.4,155672,0.6,305451,0.3,416288,1.75 29,1,2024-09-07 09:54:51:562,1091690,1091690,0,0,511658297283,5289404132,1085327,5586,777,367,391809,0 29,2,2024-09-07 09:54:50:861,783653,783653,0,0,30493484,0,4986 29,3,2024-09-07 09:54:50:963,1,619,10,0,459,6552,619,0 30,0,2024-09-07 09:54:51:465,153026,0.5,148890,0.6,311993,0.4,407912,2.00 30,1,2024-09-07 09:54:50:572,1090394,1090394,0,0,511616670006,5305477527,1082819,6664,911,380,391672,0 30,2,2024-09-07 09:54:51:280,782532,782532,0,0,29032802,0,4192 30,3,2024-09-07 09:54:50:581,1,619,1,0,519,5713,619,0 31,0,2024-09-07 09:54:51:759,155252,0.4,156198,0.6,311322,0.4,415447,1.75 31,1,2024-09-07 09:54:50:570,1094293,1094293,0,0,513353797798,5269457501,1087833,5386,1074,356,391712,0 31,2,2024-09-07 09:54:51:279,780833,780833,0,0,32682595,0,4470 31,3,2024-09-07 09:54:51:707,1,619,1,0,239,5266,619,0 32,0,2024-09-07 09:54:51:452,158193,0.3,159339,0.5,317038,0.3,422962,1.75 32,1,2024-09-07 09:54:50:805,1090269,1090269,0,0,511158644735,5309203683,1084088,5463,718,381,391646,0 32,2,2024-09-07 09:54:50:950,786828,786828,0,0,28825314,0,3922 32,3,2024-09-07 09:54:51:019,1,619,51,0,304,5003,619,0 33,0,2024-09-07 09:54:51:540,154790,0.3,154413,0.4,309070,0.2,411519,1.50 33,1,2024-09-07 09:54:50:576,1090781,1090781,0,0,512432209703,5305105407,1082327,7181,1273,368,391730,0 33,2,2024-09-07 09:54:50:759,785004,784969,35,0,31875185,0,7012 33,3,2024-09-07 09:54:50:908,1,619,0,0,329,5366,619,0 34,0,2024-09-07 09:54:50:933,153310,0.3,157469,0.5,301244,0.2,406632,1.75 34,1,2024-09-07 09:54:51:050,1092467,1092467,0,0,513021564494,5275449484,1089503,2853,111,367,391637,0 34,2,2024-09-07 09:54:50:769,784449,784449,0,0,30391249,0,4562 34,3,2024-09-07 09:54:51:693,1,619,0,0,541,5526,619,0 35,0,2024-09-07 09:54:50:863,153228,0.3,154058,0.5,308902,0.2,410535,1.75 35,1,2024-09-07 09:54:51:067,1088650,1088650,0,0,511419212259,5305434857,1080539,6588,1523,382,391769,0 35,2,2024-09-07 09:54:51:584,779842,779842,0,0,33364207,0,4055 35,3,2024-09-07 09:54:50:908,1,619,15,0,466,5731,619,0 36,0,2024-09-07 09:54:51:516,158867,0.5,158963,0.6,317341,0.4,422842,2.00 36,1,2024-09-07 09:54:50:586,1087899,1087899,0,0,510580992638,5328713643,1074316,11342,2241,366,391759,0 36,2,2024-09-07 09:54:51:759,785374,785374,0,0,34833792,0,3875 36,3,2024-09-07 09:54:50:945,1,619,9,0,556,8336,619,0 37,0,2024-09-07 09:54:51:380,152160,0.5,152361,0.7,304845,0.5,406524,2.25 37,1,2024-09-07 09:54:50:569,1087183,1087176,0,7,509769963628,5320797837,1074517,9745,2914,365,391770,0 37,2,2024-09-07 09:54:51:143,782545,782530,15,0,34734751,0,5815 37,3,2024-09-07 09:54:51:780,1,619,14,0,888,8128,619,0 38,0,2024-09-07 09:54:51:441,153101,0.4,148371,0.6,310229,0.4,406169,2.00 38,1,2024-09-07 09:54:51:612,1087239,1087239,0,0,511218097057,5343897567,1071905,12334,3000,368,391821,0 38,2,2024-09-07 09:54:50:758,783210,783163,47,0,34915405,0,6710 38,3,2024-09-07 09:54:50:996,1,619,1,0,689,7450,619,0 39,0,2024-09-07 09:54:51:763,157211,0.5,153701,0.7,299755,0.5,408899,2.00 39,1,2024-09-07 09:54:50:716,1086509,1086509,0,0,509157809443,5334442968,1069061,13733,3715,365,391865,0 39,2,2024-09-07 09:54:51:421,778945,778945,0,0,33036533,0,3391 39,3,2024-09-07 09:54:50:713,1,619,39,0,525,6906,619,0 40,0,2024-09-07 09:54:51:524,155932,0.8,156715,0.9,312926,0.9,418073,2.75 40,1,2024-09-07 09:54:50:584,1086954,1086954,0,0,508856072372,5328190593,1071342,12543,3069,366,391668,0 40,2,2024-09-07 09:54:51:302,781315,781308,7,0,39503245,0,5347 40,3,2024-09-07 09:54:51:147,1,619,2,0,1028,8991,619,0 41,0,2024-09-07 09:54:51:026,153896,1.3,157518,1.1,300327,1.9,407831,3.00 41,1,2024-09-07 09:54:50:768,1086090,1086090,0,0,509808858038,5330866713,1072311,11619,2160,369,391878,0 41,2,2024-09-07 09:54:50:758,781701,781700,1,0,37776781,0,5408 41,3,2024-09-07 09:54:51:683,1,619,1,0,366,6683,619,0 42,0,2024-09-07 09:54:51:500,154299,0.9,153959,1.0,308027,1.1,408513,2.75 42,1,2024-09-07 09:54:51:456,1084107,1084107,0,0,508013372603,5336247743,1067522,13652,2933,380,391675,0 42,2,2024-09-07 09:54:51:132,781782,781781,1,0,38207923,0,5513 42,3,2024-09-07 09:54:51:010,1,619,1,0,892,6029,619,0 43,0,2024-09-07 09:54:50:917,152863,0.7,148815,0.9,311668,0.7,407746,2.25 43,1,2024-09-07 09:54:50:578,1087601,1087601,0,0,509516392322,5321726437,1072860,12169,2572,366,391696,0 43,2,2024-09-07 09:54:51:739,780131,780131,0,0,35912531,0,4723 43,3,2024-09-07 09:54:51:763,1,619,1,0,571,8882,619,0 44,0,2024-09-07 09:54:50:865,156904,0.4,156787,0.6,313494,0.3,417798,1.75 44,1,2024-09-07 09:54:50:578,1091053,1091053,0,0,510692502689,5276792628,1081682,7430,1941,356,391809,0 44,2,2024-09-07 09:54:51:278,781013,781013,0,0,29315780,0,4344 44,3,2024-09-07 09:54:51:093,1,619,1,0,1097,7510,619,0 45,0,2024-09-07 09:54:51:762,155462,0.5,151931,0.7,318878,0.4,417930,2.00 45,1,2024-09-07 09:54:51:007,1089208,1089208,0,0,511292646932,5310330021,1080952,7353,903,382,391917,0 45,2,2024-09-07 09:54:51:278,785870,785870,0,0,29622318,0,3596 45,3,2024-09-07 09:54:50:939,1,619,1,0,531,5857,619,0 46,0,2024-09-07 09:54:50:956,154098,0.6,153614,0.8,308284,0.5,409963,2.00 46,1,2024-09-07 09:54:50:578,1091831,1091831,0,0,512151500505,5291721995,1084335,6508,988,366,391709,0 46,2,2024-09-07 09:54:50:596,785019,785019,0,0,30292762,0,4443 46,3,2024-09-07 09:54:51:131,1,619,2,0,908,7293,619,0 47,0,2024-09-07 09:54:51:106,153692,0.4,153552,0.6,308408,0.3,408614,1.75 47,1,2024-09-07 09:54:50:571,1092620,1092620,0,0,512327086076,5289886643,1086519,5238,863,364,391641,0 47,2,2024-09-07 09:54:50:908,786070,786070,0,0,29239753,0,4477 47,3,2024-09-07 09:54:51:124,1,619,15,0,600,6735,619,0 48,0,2024-09-07 09:54:51:529,155045,0.3,155238,0.4,309872,0.2,412671,1.50 48,1,2024-09-07 09:54:51:022,1089790,1089790,0,0,510620477244,5300217662,1083683,5529,578,381,391710,0 48,2,2024-09-07 09:54:50:705,781217,781217,0,0,27724267,0,3524 48,3,2024-09-07 09:54:50:754,1,619,3,0,339,5399,619,0 49,0,2024-09-07 09:54:51:739,162452,0.3,159043,0.5,309601,0.3,422332,1.75 49,1,2024-09-07 09:54:51:021,1088935,1088935,0,0,510633865707,5309178250,1081660,5579,1696,382,391809,0 49,2,2024-09-07 09:54:51:798,786353,786353,0,0,29964325,0,4426 49,3,2024-09-07 09:54:51:418,1,619,1,0,992,7224,619,0 50,0,2024-09-07 09:54:51:512,153948,0.3,152389,0.5,306945,0.2,408502,1.75 50,1,2024-09-07 09:54:51:011,1091704,1091704,0,0,512514734074,5295452544,1085176,5859,669,368,391691,0 50,2,2024-09-07 09:54:51:066,783752,783752,0,0,27765421,0,4490 50,3,2024-09-07 09:54:51:302,1,619,2,0,617,6230,619,0 51,0,2024-09-07 09:54:51:695,157500,0.3,154185,0.5,300204,0.2,409358,1.75 51,1,2024-09-07 09:54:51:683,1091992,1091992,0,0,512600825399,5283192098,1086329,4582,1081,365,391706,0 51,2,2024-09-07 09:54:51:316,784084,784084,0,0,27298379,0,3337 51,3,2024-09-07 09:54:51:034,1,619,1,0,678,4440,619,0 52,0,2024-09-07 09:54:51:413,154416,0.5,154106,0.6,308480,0.4,410113,2.00 52,1,2024-09-07 09:54:50:587,1087282,1087282,0,0,510370357875,5338801569,1072133,12818,2331,368,391805,0 52,2,2024-09-07 09:54:51:777,776342,776304,38,0,36848089,0,6742 52,3,2024-09-07 09:54:50:675,1,619,1,0,1782,7132,619,0 53,0,2024-09-07 09:54:51:744,157261,0.6,152703,0.8,319442,0.6,418776,2.25 53,1,2024-09-07 09:54:50:771,1085611,1085611,0,0,509386858485,5342348709,1067418,13795,4398,367,391968,0 53,2,2024-09-07 09:54:51:298,785070,785069,1,0,33175852,0,5455 53,3,2024-09-07 09:54:50:702,1,619,0,0,308,5695,619,0 54,0,2024-09-07 09:54:51:621,152188,0.6,152285,0.8,303522,0.5,405303,2.25 54,1,2024-09-07 09:54:50:586,1089062,1089062,0,0,510788986966,5310180762,1077944,9447,1671,366,391810,0 54,2,2024-09-07 09:54:50:867,785797,785765,32,0,36965309,0,6397 54,3,2024-09-07 09:54:50:763,1,619,1,0,676,7887,619,0 55,0,2024-09-07 09:54:51:761,149170,0.6,153931,0.8,311910,0.6,406223,2.50 55,1,2024-09-07 09:54:50:770,1089439,1089439,0,0,511059668864,5312245298,1078571,9479,1389,365,391731,0 55,2,2024-09-07 09:54:50:731,782185,782129,56,0,34331830,0,7239 55,3,2024-09-07 09:54:50:675,1,619,10,0,304,5616,619,0 56,0,2024-09-07 09:54:51:550,156884,1.1,147848,1.1,304806,1.4,407677,2.75 56,1,2024-09-07 09:54:50:579,1082392,1082392,0,0,508580496110,5376491965,1064392,14311,3689,381,391867,0 56,2,2024-09-07 09:54:51:303,777905,777783,122,0,36694912,0,7432 56,3,2024-09-07 09:54:51:063,1,619,1,0,705,7147,619,0 57,0,2024-09-07 09:54:50:951,155468,1.3,155089,1.2,310663,1.8,414978,3.00 57,1,2024-09-07 09:54:50:989,1084930,1084930,0,0,508171815326,5332399189,1070459,11980,2491,366,392032,0 57,2,2024-09-07 09:54:51:315,782333,782333,0,0,38528063,0,4804 57,3,2024-09-07 09:54:51:739,1,619,1,0,455,7124,619,0 58,0,2024-09-07 09:54:50:557,153696,1.0,149250,1.0,312518,1.2,408406,2.75 58,1,2024-09-07 09:54:50:579,1086270,1086267,0,3,510146564205,5345445820,1070113,12250,3904,367,391726,3 58,2,2024-09-07 09:54:51:071,785321,785321,0,0,36065274,0,3483 58,3,2024-09-07 09:54:51:068,1,619,2,0,1043,6882,619,0 59,0,2024-09-07 09:54:51:775,153925,0.9,153501,1.0,306763,1.0,407380,3.00 59,1,2024-09-07 09:54:50:804,1085263,1085263,0,0,509415059532,5351335514,1067411,14184,3668,369,391653,0 59,2,2024-09-07 09:54:50:582,783575,783575,0,0,34190092,0,3727 59,3,2024-09-07 09:54:51:742,1,619,1,0,1015,7505,619,0 60,0,2024-09-07 09:54:51:721,153670,0.5,153715,0.7,307810,0.5,410222,1.75 60,1,2024-09-07 09:54:50:772,1090053,1090053,0,0,511518473387,5313375151,1082094,6870,1089,370,392031,0 60,2,2024-09-07 09:54:51:144,781844,781844,0,0,32060189,0,3811 60,3,2024-09-07 09:54:51:272,1,619,1,0,409,7097,619,0 61,0,2024-09-07 09:54:51:510,155493,0.6,156095,0.7,310698,0.6,414817,2.00 61,1,2024-09-07 09:54:50:775,1087438,1087438,0,0,510107182717,5336948037,1076298,9458,1682,382,392127,0 61,2,2024-09-07 09:54:51:124,781828,781761,67,0,32771178,0,6411 61,3,2024-09-07 09:54:51:690,1,619,2,0,607,7913,619,0 62,0,2024-09-07 09:54:51:706,158763,0.6,162751,0.7,310993,0.6,422936,2.00 62,1,2024-09-07 09:54:51:112,1093480,1093474,0,6,513198360411,5288562305,1088502,4673,299,365,391975,6 62,2,2024-09-07 09:54:51:656,783271,783270,1,0,32738714,0,5555 62,3,2024-09-07 09:54:51:147,1,619,1,0,482,4909,619,0 63,0,2024-09-07 09:54:51:469,154884,0.4,154597,0.6,309640,0.3,411791,1.75 63,1,2024-09-07 09:54:50:805,1090018,1090012,0,6,511577864757,5309763191,1083164,5993,855,381,391800,6 63,2,2024-09-07 09:54:50:761,784261,784261,0,0,31089192,0,4369 63,3,2024-09-07 09:54:51:733,1,619,1,0,667,6236,619,0 64,0,2024-09-07 09:54:51:534,152396,0.5,152219,0.6,304223,0.4,405279,2.00 64,1,2024-09-07 09:54:50:752,1088653,1088653,0,0,510810650284,5317390075,1079302,7372,1979,370,391794,0 64,2,2024-09-07 09:54:51:148,787221,787202,19,0,30100916,0,6121 64,3,2024-09-07 09:54:51:142,1,619,19,0,651,7005,619,0 65,0,2024-09-07 09:54:51:690,152851,0.6,153173,0.7,306179,0.6,408000,2.00 65,1,2024-09-07 09:54:50:862,1087069,1087069,0,0,509402982504,5321341590,1079580,6614,875,381,391901,0 65,2,2024-09-07 09:54:51:693,779034,779034,0,0,36158436,0,3367 65,3,2024-09-07 09:54:51:683,1,619,0,0,782,6719,619,0 66,0,2024-09-07 09:54:51:775,157878,0.5,157451,0.7,315175,0.5,419785,2.00 66,1,2024-09-07 09:54:51:308,1089254,1089254,0,0,510837293914,5312392847,1082751,5781,722,380,391743,0 66,2,2024-09-07 09:54:51:132,787611,787608,3,0,32140576,0,5455 66,3,2024-09-07 09:54:51:079,1,619,1,0,291,5141,619,0 67,0,2024-09-07 09:54:51:413,152868,0.5,152414,0.7,305914,0.5,407065,2.00 67,1,2024-09-07 09:54:50:768,1088835,1088834,0,1,510577737563,5313284850,1081434,6455,945,380,391787,1 67,2,2024-09-07 09:54:50:582,787802,787787,15,0,31919043,0,6205 67,3,2024-09-07 09:54:51:749,1,619,6,0,595,6345,619,0 68,0,2024-09-07 09:54:50:588,153982,0.6,153752,0.7,306228,0.5,409512,2.00 68,1,2024-09-07 09:54:50:571,1084642,1084642,0,0,508999717598,5347792811,1070832,10279,3531,381,391953,0 68,2,2024-09-07 09:54:51:048,780003,779903,100,0,40068491,0,8578 68,3,2024-09-07 09:54:50:730,1,619,10,0,417,7830,619,0 69,0,2024-09-07 09:54:51:738,153214,0.6,153920,0.8,306969,0.6,407776,2.25 69,1,2024-09-07 09:54:51:016,1083835,1083835,0,0,508926393525,5359719697,1069967,11033,2835,383,391994,0 69,2,2024-09-07 09:54:51:739,776230,776201,29,0,42594507,0,6912 69,3,2024-09-07 09:54:50:766,1,619,1,0,698,8711,619,0 70,0,2024-09-07 09:54:51:545,155768,0.7,156470,0.9,314207,0.6,416798,2.25 70,1,2024-09-07 09:54:50:802,1090361,1090361,0,0,512081467029,5310254687,1082440,7112,809,366,391725,0 70,2,2024-09-07 09:54:51:326,784065,784065,0,0,35122868,0,4323 70,3,2024-09-07 09:54:50:745,1,619,1,0,854,6767,619,0 71,0,2024-09-07 09:54:51:359,153585,0.9,153224,1.0,307617,1.2,410043,2.50 71,1,2024-09-07 09:54:51:597,1087957,1087957,0,0,510389238793,5323746017,1074954,11382,1621,368,391738,0 71,2,2024-09-07 09:54:51:069,784687,784687,0,0,35400589,0,4352 71,3,2024-09-07 09:54:51:753,1,619,1,0,644,6857,619,0 72,0,2024-09-07 09:54:51:043,160322,0.6,156572,0.7,305800,0.5,415678,2.00 72,1,2024-09-07 09:54:51:021,1086361,1086361,0,0,509142226384,5338048122,1071051,12791,2519,369,391819,0 72,2,2024-09-07 09:54:51:758,781785,781785,0,0,37103722,0,3983 72,3,2024-09-07 09:54:51:754,1,619,1,0,564,8975,619,0 73,0,2024-09-07 09:54:51:121,150334,0.4,154244,0.6,315233,0.4,410350,2.00 73,1,2024-09-07 09:54:50:777,1087796,1087796,0,0,511106875904,5311039533,1079385,7429,982,367,391858,0 73,2,2024-09-07 09:54:51:743,779665,779664,1,0,38733257,0,5027 73,3,2024-09-07 09:54:50:968,1,619,1,0,1091,8511,619,0 74,0,2024-09-07 09:54:51:322,157595,0.4,161474,0.6,308079,0.4,418209,2.00 74,1,2024-09-07 09:54:50:635,1086715,1086715,0,0,510143406234,5324165217,1074804,9793,2118,381,391762,0 74,2,2024-09-07 09:54:51:001,781132,781132,0,0,33755184,0,4253 74,3,2024-09-07 09:54:51:448,1,619,1,0,522,7482,619,0 75,0,2024-09-07 09:54:51:765,157532,0.5,156641,0.7,314182,0.5,419312,2.25 75,1,2024-09-07 09:54:51:592,1087471,1087471,0,0,510670047598,5319486283,1078843,7758,870,380,391739,0 75,2,2024-09-07 09:54:51:362,783822,783822,0,0,40964853,0,4766 75,3,2024-09-07 09:54:51:078,1,619,1,0,918,8275,619,0 76,0,2024-09-07 09:54:50:603,154484,0.6,153833,0.8,307689,0.5,411669,2.25 76,1,2024-09-07 09:54:50:809,1087706,1087706,0,0,510078076005,5316761169,1080367,6348,991,382,391790,0 76,2,2024-09-07 09:54:51:063,786055,786052,3,0,33109892,0,5265 76,3,2024-09-07 09:54:51:149,1,619,1,0,227,5667,619,0 77,0,2024-09-07 09:54:51:703,152901,0.5,153439,0.7,306663,0.5,407847,2.00 77,1,2024-09-07 09:54:50:831,1087499,1087499,0,0,510056427845,5318276056,1079506,7113,880,381,391869,0 77,2,2024-09-07 09:54:51:303,781830,781830,0,0,32200625,0,3890 77,3,2024-09-07 09:54:51:100,1,619,18,0,401,7003,619,0 78,0,2024-09-07 09:54:51:718,155343,0.4,154663,0.6,310163,0.4,411237,2.00 78,1,2024-09-07 09:54:50:621,1088252,1088252,0,0,510220624036,5318928568,1075408,9927,2917,367,391670,0 78,2,2024-09-07 09:54:51:407,781750,781737,13,0,30203870,0,8313 78,3,2024-09-07 09:54:51:133,1,619,2,0,311,5127,619,0 79,0,2024-09-07 09:54:51:378,152642,0.4,156371,0.6,320254,0.3,417146,2.00 79,1,2024-09-07 09:54:50:572,1091687,1091687,0,0,512019231165,5297452284,1083839,6488,1360,367,391682,0 79,2,2024-09-07 09:54:51:071,785509,785509,0,0,29323885,0,4195 79,3,2024-09-07 09:54:50:749,1,619,0,0,418,7189,619,0 80,0,2024-09-07 09:54:51:090,153200,0.6,157330,0.7,300776,0.6,407537,2.00 80,1,2024-09-07 09:54:51:619,1087580,1087580,0,0,510229960114,5310545647,1080420,6708,452,368,392269,0 80,2,2024-09-07 09:54:51:091,787072,787072,0,0,29853871,0,4433 80,3,2024-09-07 09:54:50:579,1,619,1,0,681,7443,619,0 81,0,2024-09-07 09:54:51:539,153447,0.5,157063,0.7,299795,0.5,406600,2.00 81,1,2024-09-07 09:54:51:657,1086926,1086926,0,0,509660075384,5322937216,1078757,7401,768,382,391885,0 81,2,2024-09-07 09:54:51:125,783383,783320,63,0,33080707,0,5932 81,3,2024-09-07 09:54:51:120,1,619,1,0,719,7048,619,0 82,0,2024-09-07 09:54:51:537,153820,0.4,153899,0.7,308638,0.4,409216,2.00 82,1,2024-09-07 09:54:50:592,1088947,1088943,0,4,510957958487,5308510548,1083368,4750,825,381,391768,4 82,2,2024-09-07 09:54:51:692,781596,781596,0,0,28021071,0,4484 82,3,2024-09-07 09:54:51:757,1,619,1,0,363,5727,619,0 83,0,2024-09-07 09:54:51:539,157885,0.5,157711,0.7,315215,0.5,418990,2.00 83,1,2024-09-07 09:54:50:551,1088072,1088072,0,0,510281207625,5316719906,1080480,6947,645,382,391709,0 83,2,2024-09-07 09:54:50:770,785331,785306,25,0,30206454,0,5612 83,3,2024-09-07 09:54:50:758,1,619,2,0,1260,7248,619,0 84,0,2024-09-07 09:54:51:776,152401,0.8,152449,0.9,304700,0.8,406945,2.25 84,1,2024-09-07 09:54:51:040,1085796,1085796,0,0,509057465506,5321524798,1073535,10588,1673,367,391967,0 84,2,2024-09-07 09:54:50:577,783888,783478,410,0,43962458,0,17037 84,3,2024-09-07 09:54:51:141,1,619,9,0,908,8168,619,0 85,0,2024-09-07 09:54:51:022,148452,0.6,148443,0.8,315158,0.6,406599,2.25 85,1,2024-09-07 09:54:50:562,1082860,1082860,0,0,508298979436,5363740151,1066529,13530,2801,381,392092,0 85,2,2024-09-07 09:54:50:916,782468,782468,0,0,37101214,0,4255 85,3,2024-09-07 09:54:50:686,1,619,2,0,789,7066,619,0 86,0,2024-09-07 09:54:50:938,153735,0.6,158024,0.8,302183,0.7,408199,2.25 86,1,2024-09-07 09:54:50:823,1086128,1086128,0,0,509705398510,5341649376,1072207,11234,2687,366,392169,0 86,2,2024-09-07 09:54:50:943,778298,778297,1,0,39338741,0,5004 86,3,2024-09-07 09:54:50:591,1,619,1,0,308,8672,619,0 87,0,2024-09-07 09:54:51:315,157187,0.7,156495,0.8,313044,0.9,418445,2.25 87,1,2024-09-07 09:54:50:562,1085267,1085267,0,0,508822778299,5328177037,1071879,11589,1799,366,392076,0 87,2,2024-09-07 09:54:51:067,781994,781988,6,0,35724910,0,6323 87,3,2024-09-07 09:54:51:799,1,619,0,0,473,8651,619,0 88,0,2024-09-07 09:54:51:501,155973,0.5,156572,0.6,312719,0.4,415938,1.75 88,1,2024-09-07 09:54:50:582,1084580,1084580,0,0,508831141545,5332231430,1069176,12356,3048,365,392084,0 88,2,2024-09-07 09:54:50:694,784164,784164,0,0,38768402,0,4465 88,3,2024-09-07 09:54:51:297,1,619,7,0,1080,9433,619,0 89,0,2024-09-07 09:54:51:841,159728,0.5,154944,0.6,305763,0.4,415900,1.75 89,1,2024-09-07 09:54:50:550,1084346,1084346,0,0,508667550841,5347841625,1071351,11049,1946,382,391866,0 89,2,2024-09-07 09:54:51:138,783367,783367,0,0,36535560,0,3173 89,3,2024-09-07 09:54:51:798,1,619,1,0,468,10174,619,0 90,0,2024-09-07 09:54:51:625,149162,0.5,153246,0.6,312684,0.4,408159,2.00 90,1,2024-09-07 09:54:50:590,1086215,1086215,0,0,508676259341,5325857737,1076112,9208,895,380,391825,0 90,2,2024-09-07 09:54:51:440,777891,777886,5,0,39991072,0,6370 90,3,2024-09-07 09:54:50:932,1,619,13,0,322,7395,619,0 91,0,2024-09-07 09:54:50:939,155977,0.4,151384,0.6,316842,0.4,415422,1.75 91,1,2024-09-07 09:54:50:572,1083715,1083715,0,0,508744974988,5358985678,1067849,12718,3148,381,392047,0 91,2,2024-09-07 09:54:51:339,781253,781253,0,0,35169752,0,4713 91,3,2024-09-07 09:54:50:631,1,619,1,0,216,5482,619,0 92,0,2024-09-07 09:54:51:442,159828,0.4,163430,0.6,311423,0.4,423040,1.75 92,1,2024-09-07 09:54:50:590,1087058,1087058,0,0,510659803014,5331330927,1078683,7087,1288,381,392136,0 92,2,2024-09-07 09:54:51:366,785478,785478,0,0,30910192,0,3259 92,3,2024-09-07 09:54:51:013,1,619,5,0,167,5259,619,0 93,0,2024-09-07 09:54:50:969,155273,0.4,159054,0.6,303676,0.4,411744,1.75 93,1,2024-09-07 09:54:50:805,1087796,1087796,0,0,510860929119,5329560821,1076067,9793,1936,365,392048,0 93,2,2024-09-07 09:54:50:939,783851,783851,0,0,36697492,0,4913 93,3,2024-09-07 09:54:51:417,1,619,8,0,375,6353,619,0 94,0,2024-09-07 09:54:51:612,152408,0.4,153547,0.5,307034,0.3,407635,1.75 94,1,2024-09-07 09:54:50:572,1088531,1088531,0,0,510523074085,5321613043,1081569,6527,435,381,391850,0 94,2,2024-09-07 09:54:50:760,783497,783469,28,0,31558847,0,6179 94,3,2024-09-07 09:54:51:741,1,619,1,0,576,7633,619,0 95,0,2024-09-07 09:54:51:386,154138,0.3,153992,0.5,308363,0.3,410698,1.75 95,1,2024-09-07 09:54:50:858,1089071,1089071,0,0,511127739768,5309382158,1080619,7700,752,365,391852,0 95,2,2024-09-07 09:54:51:015,779013,779013,0,0,31096864,0,3308 95,3,2024-09-07 09:54:51:712,1,619,1,0,718,9500,619,0 96,0,2024-09-07 09:54:51:045,158426,0.4,158782,0.5,317454,0.3,422378,1.75 96,1,2024-09-07 09:54:51:593,1087580,1087580,0,0,509901228624,5315561600,1080189,6117,1274,384,391964,0 96,2,2024-09-07 09:54:51:279,785810,785810,0,0,32152649,0,4180 96,3,2024-09-07 09:54:51:142,1,619,1,0,411,7028,619,0 97,0,2024-09-07 09:54:51:317,152953,0.3,152834,0.5,306444,0.3,406893,1.75 97,1,2024-09-07 09:54:50:769,1089079,1089079,0,0,510886101825,5299296244,1082137,5901,1041,367,392140,0 97,2,2024-09-07 09:54:50:621,786288,786288,0,0,31733111,0,4046 97,3,2024-09-07 09:54:50:578,1,619,1,0,242,6875,619,0 98,0,2024-09-07 09:54:51:696,153476,0.3,153631,0.4,308292,0.2,409652,1.50 98,1,2024-09-07 09:54:50:580,1088003,1088003,0,0,510654578236,5316918844,1081500,5667,836,381,391997,0 98,2,2024-09-07 09:54:50:770,783310,783310,0,0,30480962,0,4336 98,3,2024-09-07 09:54:50:701,1,619,2,0,840,9181,619,0 99,0,2024-09-07 09:54:51:462,153887,0.3,154716,0.5,307920,0.3,410417,1.75 99,1,2024-09-07 09:54:51:726,1089006,1089006,0,0,511167690797,5316501410,1082630,5433,943,380,392069,0 99,2,2024-09-07 09:54:51:417,779054,779054,0,0,37689168,0,4276 99,3,2024-09-07 09:54:50:587,1,619,2,0,1124,7882,619,0 100,0,2024-09-07 09:54:51:505,157034,0.7,157476,0.9,314786,0.7,420411,2.25 100,1,2024-09-07 09:54:50:577,1082583,1082583,0,0,507841793886,5365153013,1066550,12713,3320,378,391989,0 100,2,2024-09-07 09:54:51:821,780324,779935,389,0,40958167,0,16909 100,3,2024-09-07 09:54:51:732,1,619,2,0,627,10269,619,0 101,0,2024-09-07 09:54:51:711,158097,1.1,154263,1.0,301799,1.1,411763,2.25 101,1,2024-09-07 09:54:50:608,1084832,1084832,0,0,509429514674,5349783750,1070677,11626,2529,368,391847,0 101,2,2024-09-07 09:54:51:776,780980,780980,0,0,40945078,0,4871 101,3,2024-09-07 09:54:50:951,1,619,1,0,1250,9079,619,0 102,0,2024-09-07 09:54:50:951,150999,0.6,155522,0.8,316020,0.6,413169,2.25 102,1,2024-09-07 09:54:51:144,1084449,1084449,0,0,508530404731,5339079126,1070316,11932,2201,369,391984,0 102,2,2024-09-07 09:54:51:737,784181,784127,54,0,35034297,0,6768 102,3,2024-09-07 09:54:51:618,1,619,1,0,466,6984,619,0 103,0,2024-09-07 09:54:51:590,159417,0.5,159434,0.7,300435,0.5,413679,2.00 103,1,2024-09-07 09:54:51:630,1083331,1083331,0,0,508936506493,5372036317,1066237,13491,3603,381,392077,0 103,2,2024-09-07 09:54:50:584,777855,777855,0,0,37828760,0,3766 103,3,2024-09-07 09:54:50:767,1,619,2,0,916,7157,619,0 104,0,2024-09-07 09:54:51:022,155646,0.7,155804,0.9,310859,0.7,416093,2.25 104,1,2024-09-07 09:54:51:602,1085887,1085887,0,0,509605436578,5351568297,1070343,12699,2845,365,392168,0 104,2,2024-09-07 09:54:51:672,779522,779522,0,0,37585048,0,4161 104,3,2024-09-07 09:54:51:420,1,619,2,0,1245,10839,619,0 105,0,2024-09-07 09:54:51:042,155471,0.9,151540,1.1,317754,1.0,417231,2.50 105,1,2024-09-07 09:54:50:559,1087166,1087166,0,0,509616251084,5336913080,1073585,11637,1944,364,392009,0 105,2,2024-09-07 09:54:51:329,784190,784190,0,0,37892190,0,4360 105,3,2024-09-07 09:54:51:307,1,619,4,0,573,9401,619,0 106,0,2024-09-07 09:54:50:963,149556,0.9,153213,1.0,313834,1.1,410014,2.50 106,1,2024-09-07 09:54:51:776,1085766,1085766,0,0,508497847331,5334324361,1071091,12779,1896,368,391914,0 106,2,2024-09-07 09:54:50:756,782252,782252,0,0,35537659,0,3331 106,3,2024-09-07 09:54:50:686,1,619,3,0,1224,8456,619,0 107,0,2024-09-07 09:54:51:215,153061,0.9,153150,0.9,306296,1.1,408484,2.25 107,1,2024-09-07 09:54:50:588,1083503,1083503,0,0,508179759488,5368206796,1066097,15271,2135,381,392234,0 107,2,2024-09-07 09:54:51:331,779083,779082,1,0,38684310,0,5024 107,3,2024-09-07 09:54:51:761,1,619,3,0,733,9408,619,0 108,0,2024-09-07 09:54:51:788,154492,0.4,155052,0.6,309041,0.4,412636,1.75 108,1,2024-09-07 09:54:51:298,1087247,1087247,0,0,510267375337,5319446372,1078541,7710,996,367,391894,0 108,2,2024-09-07 09:54:51:758,780402,780402,0,0,33196372,0,4246 108,3,2024-09-07 09:54:51:338,1,619,9,0,749,10944,619,0 109,0,2024-09-07 09:54:51:883,158896,0.4,157558,0.6,315834,0.3,421555,1.75 109,1,2024-09-07 09:54:50:583,1084700,1084700,0,0,509821049442,5345064540,1075207,8213,1280,382,392132,0 109,2,2024-09-07 09:54:50:926,783093,783093,0,0,34204104,0,3617 109,3,2024-09-07 09:54:51:140,1,619,6,0,630,7401,619,0 110,0,2024-09-07 09:54:51:745,153221,0.4,148960,0.6,311924,0.4,409364,1.75 110,1,2024-09-07 09:54:51:650,1089127,1089127,0,0,510970793849,5302104804,1081080,6119,1928,368,392045,0 110,2,2024-09-07 09:54:51:309,785408,785408,0,0,31593359,0,4067 110,3,2024-09-07 09:54:50:694,1,619,73,0,722,8185,619,0 111,0,2024-09-07 09:54:51:413,154248,0.4,153415,0.5,306711,0.3,408952,1.75 111,1,2024-09-07 09:54:51:000,1090171,1090171,0,0,512367619405,5311847799,1084533,5257,381,380,391690,0 111,2,2024-09-07 09:54:51:125,783999,783999,0,0,32153891,0,4823 111,3,2024-09-07 09:54:50:918,1,619,1,0,379,6629,619,0 112,0,2024-09-07 09:54:50:919,154579,0.3,154190,0.4,308972,0.2,410457,1.50 112,1,2024-09-07 09:54:50:823,1089033,1089033,0,0,510350194405,5297764565,1081827,5981,1225,380,391624,0 112,2,2024-09-07 09:54:51:134,779519,779518,1,0,30315838,0,5036 112,3,2024-09-07 09:54:50:592,1,619,4,0,282,5835,619,0 113,0,2024-09-07 09:54:50:868,157824,0.3,157909,0.5,316265,0.2,421298,1.50 113,1,2024-09-07 09:54:51:702,1091425,1091425,0,0,512564146835,5286600728,1085189,5288,948,365,391664,0 113,2,2024-09-07 09:54:51:304,787542,787542,0,0,28809353,0,3813 113,3,2024-09-07 09:54:50:686,1,619,1,0,510,6585,619,0 114,0,2024-09-07 09:54:50:920,154025,0.3,155000,0.5,309077,0.2,412352,1.75 114,1,2024-09-07 09:54:50:728,1089367,1089367,0,0,510736967266,5301211098,1081425,6195,1747,381,391565,0 114,2,2024-09-07 09:54:50:908,787795,787794,1,0,30024428,0,5069 114,3,2024-09-07 09:54:51:278,1,619,1,0,395,4890,619,0 115,0,2024-09-07 09:54:50:578,155232,0.3,155816,0.4,310270,0.2,412658,1.50 115,1,2024-09-07 09:54:50:579,1089761,1089761,0,0,511235366433,5308553409,1081502,6742,1517,382,391757,0 115,2,2024-09-07 09:54:51:125,785433,785433,0,0,30153472,0,4382 115,3,2024-09-07 09:54:51:001,1,619,1,0,167,3736,619,0 116,0,2024-09-07 09:54:51:954,153620,0.6,153483,0.8,307654,0.6,410473,2.00 116,1,2024-09-07 09:54:50:807,1083381,1083381,0,0,508532798299,5356502970,1070718,9571,3092,380,392089,0 116,2,2024-09-07 09:54:51:779,778966,778966,0,0,37549193,0,4475 116,3,2024-09-07 09:54:50:918,1,619,1,0,448,7818,619,0 117,0,2024-09-07 09:54:51:035,157304,0.6,156601,0.8,313932,0.7,419687,2.00 117,1,2024-09-07 09:54:51:624,1085557,1085557,0,0,508805226461,5326074592,1073765,10268,1524,369,392429,0 117,2,2024-09-07 09:54:51:125,784566,784566,0,0,33985055,0,4303 117,3,2024-09-07 09:54:51:063,1,619,1,0,490,7759,619,0 118,0,2024-09-07 09:54:51:830,151304,0.5,155415,0.7,317171,0.5,414520,2.00 118,1,2024-09-07 09:54:50:589,1084898,1084898,0,0,508388840863,5342238183,1069047,12227,3624,366,392054,0 118,2,2024-09-07 09:54:51:588,784121,784121,0,0,36280148,0,2842 118,3,2024-09-07 09:54:51:775,1,619,16,0,289,7212,619,0 119,0,2024-09-07 09:54:51:345,155076,0.8,155698,0.8,310646,0.9,414267,2.25 119,1,2024-09-07 09:54:50:549,1085574,1085574,0,0,509983222309,5345833173,1070876,12186,2512,367,391857,0 119,2,2024-09-07 09:54:51:301,784425,784425,0,0,34249867,0,4309 119,3,2024-09-07 09:54:51:333,1,619,6,0,1358,11448,619,0 120,0,2024-09-07 09:54:51:545,152853,0.6,152736,0.8,306948,0.6,409261,2.25 120,1,2024-09-07 09:54:50:910,1086349,1086349,0,0,509549233289,5342395901,1074624,10583,1142,367,392144,0 120,2,2024-09-07 09:54:50:770,780258,780255,3,0,40784060,0,5363 120,3,2024-09-07 09:54:51:293,1,619,1,0,279,7380,619,0 121,0,2024-09-07 09:54:51:774,155760,1.0,155325,1.0,310782,1.3,414397,2.25 121,1,2024-09-07 09:54:51:662,1086070,1086070,0,0,509559163752,5330364543,1074767,9747,1556,366,391840,0 121,2,2024-09-07 09:54:51:127,780420,780420,0,0,37216201,0,4157 121,3,2024-09-07 09:54:50:727,1,619,3,0,387,8097,619,0 122,0,2024-09-07 09:54:51:873,157733,0.8,153324,0.9,321099,0.9,422923,2.00 122,1,2024-09-07 09:54:50:861,1084843,1084843,0,0,508886955844,5339841520,1069828,12599,2416,365,392130,0 122,2,2024-09-07 09:54:51:326,785213,785138,75,0,40998376,0,5989 122,3,2024-09-07 09:54:50:601,1,619,1,0,512,9906,619,0 123,0,2024-09-07 09:54:50:976,153657,0.8,149522,0.9,313242,1.0,410332,2.25 123,1,2024-09-07 09:54:50:560,1085605,1085605,0,0,509842459836,5358814473,1068713,14220,2672,369,392039,0 123,2,2024-09-07 09:54:51:024,781858,781857,1,0,35030804,0,5215 123,3,2024-09-07 09:54:51:134,1,619,9,0,478,7015,619,0 124,0,2024-09-07 09:54:50:951,157234,0.3,157204,0.5,296517,0.3,408079,1.75 124,1,2024-09-07 09:54:51:022,1089255,1089255,0,0,510280837731,5303027540,1081293,6786,1176,365,392178,0 124,2,2024-09-07 09:54:51:013,785348,785295,53,0,31501225,0,6487 124,3,2024-09-07 09:54:50:769,1,619,1,0,490,6065,619,0 125,0,2024-09-07 09:54:51:530,153738,0.4,153686,0.5,308449,0.3,410601,1.75 125,1,2024-09-07 09:54:50:869,1086122,1086122,0,0,510632845857,5327445929,1078048,6921,1153,382,391968,0 125,2,2024-09-07 09:54:51:121,782152,782152,0,0,31583200,0,4534 125,3,2024-09-07 09:54:51:138,1,619,11,0,709,7046,619,0 126,0,2024-09-07 09:54:51:457,158649,0.4,163184,0.5,311952,0.3,422911,1.75 126,1,2024-09-07 09:54:50:554,1090336,1090336,0,0,511900913997,5296821748,1084812,5077,447,365,391987,0 126,2,2024-09-07 09:54:50:611,786746,786746,0,0,32882893,0,4539 126,3,2024-09-07 09:54:50:923,1,619,2,0,268,6669,619,0 127,0,2024-09-07 09:54:51:597,153399,0.3,153460,0.5,306302,0.3,407311,1.75 127,1,2024-09-07 09:54:50:569,1088391,1088391,0,0,510674388127,5311029869,1077704,9048,1639,364,392187,0 127,2,2024-09-07 09:54:50:637,785525,785521,4,0,31098978,0,5305 127,3,2024-09-07 09:54:51:274,1,619,2,0,968,6014,619,0 128,0,2024-09-07 09:54:51:599,154461,0.3,154580,0.4,308850,0.2,410389,1.50 128,1,2024-09-07 09:54:51:620,1088135,1088135,0,0,510656434173,5309357294,1079714,7456,965,367,392423,0 128,2,2024-09-07 09:54:51:385,785686,785686,0,0,28732685,0,3171 128,3,2024-09-07 09:54:50:771,1,619,3,0,1082,9654,619,0 129,0,2024-09-07 09:54:51:030,155149,0.3,154322,0.5,309250,0.3,410922,1.50 129,1,2024-09-07 09:54:50:581,1084904,1084904,0,0,508885504654,5330764781,1074428,8454,2022,379,391962,0 129,2,2024-09-07 09:54:50:690,780991,780987,4,0,31256620,0,5335 129,3,2024-09-07 09:54:50:690,1,619,5,0,506,8348,619,0 130,0,2024-09-07 09:54:51:715,158200,0.4,157853,0.5,316116,0.4,421621,1.75 130,1,2024-09-07 09:54:50:584,1089529,1089529,0,0,511617995120,5311497804,1084074,5053,402,381,391825,0 130,2,2024-09-07 09:54:51:131,784362,784362,0,0,31580837,0,4067 130,3,2024-09-07 09:54:51:296,1,619,1,0,960,8356,619,0 131,0,2024-09-07 09:54:51:966,154798,0.3,155320,0.5,311452,0.3,413336,1.75 131,1,2024-09-07 09:54:51:826,1088246,1088246,0,0,510725001384,5320826548,1081009,6039,1198,381,391865,0 131,2,2024-09-07 09:54:50:570,786329,786329,0,0,29270746,0,3979 131,3,2024-09-07 09:54:51:690,1,619,2,0,392,7819,619,0 132,0,2024-09-07 09:54:51:469,155593,0.5,156484,0.6,311660,0.4,414173,2.00 132,1,2024-09-07 09:54:50:626,1083902,1083902,0,0,508498938951,5350719009,1068450,12748,2704,381,392532,0 132,2,2024-09-07 09:54:50:703,783511,783494,17,0,38108372,0,6451 132,3,2024-09-07 09:54:51:690,1,619,3,0,1298,10915,619,0 133,0,2024-09-07 09:54:51:557,150541,0.4,154035,0.6,315757,0.4,411200,2.00 133,1,2024-09-07 09:54:50:590,1084374,1084374,0,0,508392869850,5353316303,1070282,12328,1764,383,391914,0 133,2,2024-09-07 09:54:51:088,780303,780253,50,0,39062736,0,6861 133,3,2024-09-07 09:54:51:306,1,619,3,0,528,7369,619,0 134,0,2024-09-07 09:54:50:992,156908,0.5,157126,0.7,314052,0.4,418472,2.00 134,1,2024-09-07 09:54:50:641,1085852,1085852,0,0,509160652717,5337181375,1072060,11301,2491,366,391781,0 134,2,2024-09-07 09:54:51:756,782002,781978,24,0,36128391,0,6207 134,3,2024-09-07 09:54:50:751,1,619,1,0,739,7597,619,0 135,0,2024-09-07 09:54:51:125,152360,0.8,152343,0.8,323552,0.9,416556,2.25 135,1,2024-09-07 09:54:51:586,1084807,1084807,0,0,509755831135,5356097238,1071769,11397,1641,380,391974,0 135,2,2024-09-07 09:54:50:687,785810,785810,0,0,37096717,0,4503 135,3,2024-09-07 09:54:51:008,1,619,2,0,900,5936,619,0 136,0,2024-09-07 09:54:51:755,155408,0.6,155850,0.8,309336,0.6,413220,2.00 136,1,2024-09-07 09:54:51:482,1086068,1086068,0,0,509658134333,5339523621,1074151,10527,1390,381,392135,0 136,2,2024-09-07 09:54:51:139,785246,785231,15,0,36686616,0,6007 136,3,2024-09-07 09:54:51:111,1,619,0,0,637,7012,619,0 137,0,2024-09-07 09:54:50:946,158148,0.6,154178,0.7,301940,0.6,410344,2.00 137,1,2024-09-07 09:54:50:602,1085104,1085104,0,0,509084856729,5337567096,1068553,13161,3390,366,391898,0 137,2,2024-09-07 09:54:51:718,780934,780934,0,0,37713791,0,3185 137,3,2024-09-07 09:54:50:789,1,619,4,0,484,8355,619,0 138,0,2024-09-07 09:54:51:751,153900,0.7,154352,0.8,309146,0.7,411169,2.00 138,1,2024-09-07 09:54:51:703,1085671,1085671,0,0,510028422991,5347812800,1070879,12520,2272,368,391954,0 138,2,2024-09-07 09:54:50:599,779021,779021,0,0,35919375,0,4988 138,3,2024-09-07 09:54:50:625,1,619,1,0,1200,9569,619,0 139,0,2024-09-07 09:54:51:511,156747,1.0,157449,0.9,314376,1.3,419923,2.25 139,1,2024-09-07 09:54:50:579,1080954,1080954,0,0,506312301162,5366234536,1062558,14569,3827,380,392109,0 139,2,2024-09-07 09:54:50:692,779660,779630,30,0,41424508,0,5997 139,3,2024-09-07 09:54:51:663,1,619,3,0,432,7207,619,0 140,0,2024-09-07 09:54:51:588,153870,0.3,153064,0.5,307055,0.2,409720,1.75 140,1,2024-09-07 09:54:51:539,1091974,1091974,0,0,513203093138,5288050886,1086974,4452,548,364,391606,0 140,2,2024-09-07 09:54:50:687,785689,785688,1,0,29288009,0,5036 140,3,2024-09-07 09:54:50:767,1,619,1,0,575,6092,619,0 141,0,2024-09-07 09:54:51:701,153730,0.3,158029,0.5,302087,0.2,408728,1.50 141,1,2024-09-07 09:54:50:860,1090215,1090215,0,0,511174135009,5307769237,1082015,7007,1193,379,391614,0 141,2,2024-09-07 09:54:51:692,784356,784345,11,0,31384884,0,5369 141,3,2024-09-07 09:54:51:047,1,619,1,0,391,7020,619,0 142,0,2024-09-07 09:54:51:564,154867,0.3,153996,0.5,308227,0.2,411369,1.50 142,1,2024-09-07 09:54:50:614,1088228,1088228,0,0,510254062776,5316424674,1081494,6225,509,382,392102,0 142,2,2024-09-07 09:54:51:306,779004,778972,32,0,31863655,0,6028 142,3,2024-09-07 09:54:51:746,1,619,6,0,484,6440,619,0 143,0,2024-09-07 09:54:51:414,157883,0.4,157721,0.5,316553,0.4,421415,1.75 143,1,2024-09-07 09:54:50:581,1090216,1090216,0,0,511451506191,5303176207,1083741,5907,568,367,391900,0 143,2,2024-09-07 09:54:50:769,785510,785510,0,0,31857638,0,3123 143,3,2024-09-07 09:54:51:144,1,619,3,0,462,7352,619,0 144,0,2024-09-07 09:54:51:545,149269,0.6,153627,0.8,312545,0.6,408847,2.00 144,1,2024-09-07 09:54:50:572,1085212,1085212,0,0,508681941349,5335330625,1074608,8610,1994,381,391733,0 144,2,2024-09-07 09:54:51:764,786740,786740,0,0,31098277,0,4443 144,3,2024-09-07 09:54:51:739,1,619,1,0,249,6116,619,0 145,0,2024-09-07 09:54:51:377,149626,0.6,149555,0.8,317414,0.5,408518,2.25 145,1,2024-09-07 09:54:50:552,1084134,1084134,0,0,509062837836,5353196572,1070096,11362,2676,382,391781,0 145,2,2024-09-07 09:54:51:434,782122,782040,82,0,37039743,0,7814 145,3,2024-09-07 09:54:50:910,1,619,9,0,622,8127,619,0 146,0,2024-09-07 09:54:51:639,154003,0.5,153082,0.7,307961,0.5,408828,2.00 146,1,2024-09-07 09:54:51:598,1085462,1085462,0,0,508956163656,5353168697,1067919,13061,4482,367,391770,0 146,2,2024-09-07 09:54:51:701,778011,778005,6,0,35289075,0,5151 146,3,2024-09-07 09:54:51:284,1,619,1,0,1520,9984,619,0 147,0,2024-09-07 09:54:51:705,157188,0.5,156906,0.7,313800,0.5,418897,2.00 147,1,2024-09-07 09:54:51:374,1089092,1089092,0,0,510855211600,5305881163,1080590,7535,967,367,391791,0 147,2,2024-09-07 09:54:51:011,783027,783027,0,0,31396741,0,2968 147,3,2024-09-07 09:54:50:917,1,619,48,0,1626,9703,619,0 0,0,2024-09-07 09:55:01:730,149741,0.6,149697,0.7,317842,0.6,410720,2.00 0,1,2024-09-07 09:55:00:811,1087836,1087836,0,0,511037047239,5346016930,1079283,7604,949,368,391896,0 0,2,2024-09-07 09:55:01:069,782764,782764,0,0,31273884,0,4480 0,3,2024-09-07 09:55:00:987,1,620,45,0,431,8775,620,0 1,0,2024-09-07 09:55:01:858,155832,0.8,154755,0.9,310833,0.9,415541,2.00 1,1,2024-09-07 09:55:00:568,1086945,1086945,0,0,509416608472,5332690920,1077462,7992,1491,370,391859,0 1,2,2024-09-07 09:55:00:644,783007,783007,0,0,30797642,0,3380 1,3,2024-09-07 09:55:01:305,1,620,12,0,269,7609,620,0 2,0,2024-09-07 09:55:01:577,158642,0.6,158220,0.7,316426,0.6,422952,2.00 2,1,2024-09-07 09:55:00:862,1090432,1090432,0,0,511914643534,5320309275,1084252,5228,952,379,391745,0 2,2,2024-09-07 09:55:01:276,787075,787075,0,0,29481205,0,3594 2,3,2024-09-07 09:55:00:693,1,620,0,0,357,5462,620,0 3,0,2024-09-07 09:55:01:751,154486,0.4,154432,0.6,308489,0.4,411418,2.00 3,1,2024-09-07 09:55:01:618,1089200,1089200,0,0,511329734503,5315508910,1081584,6911,705,379,391716,0 3,2,2024-09-07 09:55:01:152,786115,786092,23,0,30428490,0,5851 3,3,2024-09-07 09:55:01:753,1,620,1,0,484,4693,620,0 4,0,2024-09-07 09:55:01:794,148759,0.4,152905,0.5,311711,0.3,407759,1.75 4,1,2024-09-07 09:55:00:601,1084371,1084371,0,0,509123917075,5383401691,1066553,14064,3754,369,391992,0 4,2,2024-09-07 09:55:01:019,781635,781635,0,0,37322723,0,4534 4,3,2024-09-07 09:55:01:033,1,620,1,0,448,7398,620,0 5,0,2024-09-07 09:55:01:396,154376,0.4,154301,0.5,308815,0.3,410760,1.75 5,1,2024-09-07 09:55:00:759,1085484,1085484,0,0,509431809282,5374377508,1069121,12233,4130,367,392005,0 5,2,2024-09-07 09:55:01:829,778268,778268,0,0,36094229,0,3582 5,3,2024-09-07 09:55:01:733,1,620,1,0,457,8457,620,0 6,0,2024-09-07 09:55:00:927,159057,0.4,158653,0.6,317378,0.4,423195,1.75 6,1,2024-09-07 09:55:00:746,1088285,1088285,0,0,510216005678,5326224588,1077204,9507,1574,379,391702,0 6,2,2024-09-07 09:55:01:123,786403,786385,18,0,35073896,0,5535 6,3,2024-09-07 09:55:01:274,1,620,1,0,710,7411,620,0 7,0,2024-09-07 09:55:01:541,152476,0.5,153514,0.7,304787,0.5,406725,2.00 7,1,2024-09-07 09:55:00:851,1085698,1085698,0,0,509324554759,5359027629,1069368,12564,3766,382,391747,0 7,2,2024-09-07 09:55:00:772,785381,785381,0,0,33756539,0,4791 7,3,2024-09-07 09:55:00:854,1,620,0,0,552,7159,620,0 8,0,2024-09-07 09:55:01:324,154328,0.4,154034,0.5,308482,0.3,410719,1.75 8,1,2024-09-07 09:55:01:041,1085243,1085243,0,0,509720140202,5357636871,1068489,13164,3590,366,392853,0 8,2,2024-09-07 09:55:00:796,780130,780128,2,0,40194024,0,5112 8,3,2024-09-07 09:55:00:584,1,620,2,0,772,9683,620,0 9,0,2024-09-07 09:55:01:109,153883,0.4,149705,0.5,313195,0.3,410371,1.75 9,1,2024-09-07 09:55:00:554,1085128,1085128,0,0,509143180661,5364235107,1068322,13576,3230,369,392001,0 9,2,2024-09-07 09:55:01:085,779043,779042,1,0,37863046,0,5281 9,3,2024-09-07 09:55:01:764,1,620,11,0,1273,10325,620,0 10,0,2024-09-07 09:55:01:605,158043,0.3,157314,0.5,315559,0.3,421143,1.75 10,1,2024-09-07 09:55:00:591,1086923,1086923,0,0,509744029578,5345704477,1071190,12669,3064,381,391981,0 10,2,2024-09-07 09:55:00:762,784719,784719,0,0,40808521,0,4713 10,3,2024-09-07 09:55:00:876,1,620,0,0,669,6851,620,0 11,0,2024-09-07 09:55:01:039,154719,0.4,150149,0.6,314146,0.4,413408,1.75 11,1,2024-09-07 09:55:00:576,1088285,1088285,0,0,510640609660,5354483034,1072326,11656,4303,383,391766,0 11,2,2024-09-07 09:55:01:129,785617,785617,0,0,35999488,0,4698 11,3,2024-09-07 09:55:01:304,1,620,0,0,843,7875,620,0 12,0,2024-09-07 09:55:00:990,156576,0.4,156378,0.5,312393,0.4,414995,1.75 12,1,2024-09-07 09:55:00:955,1088354,1088354,0,0,510124720989,5317481850,1079966,7379,1009,370,391960,0 12,2,2024-09-07 09:55:01:556,783628,783628,0,0,34724462,0,4390 12,3,2024-09-07 09:55:01:064,1,620,3,0,386,7652,620,0 13,0,2024-09-07 09:55:01:331,155461,0.4,155525,0.5,310184,0.3,413311,1.75 13,1,2024-09-07 09:55:01:534,1085810,1085810,0,0,509815159668,5355866056,1074908,8512,2390,382,391803,0 13,2,2024-09-07 09:55:00:599,782912,782912,0,0,30985716,0,3287 13,3,2024-09-07 09:55:01:788,1,620,3,0,522,8075,620,0 14,0,2024-09-07 09:55:00:560,157038,0.4,158054,0.6,313732,0.3,418559,1.75 14,1,2024-09-07 09:55:01:564,1093151,1093151,0,0,512615016361,5301781793,1084688,7334,1129,364,391673,0 14,2,2024-09-07 09:55:00:772,784331,784301,30,0,32446126,0,6104 14,3,2024-09-07 09:55:01:117,1,620,3,0,1168,6705,620,0 15,0,2024-09-07 09:55:01:566,157581,0.4,156611,0.6,313738,0.4,418135,2.00 15,1,2024-09-07 09:55:01:618,1090070,1090070,0,0,511518571164,5324438406,1080993,7164,1913,381,391619,0 15,2,2024-09-07 09:55:01:005,788109,788109,0,0,28304485,0,3622 15,3,2024-09-07 09:55:01:416,1,620,0,0,1126,8137,620,0 16,0,2024-09-07 09:55:00:936,155069,0.6,155771,0.8,310795,0.6,414019,2.00 16,1,2024-09-07 09:55:00:566,1089625,1089625,0,0,510383422440,5332861665,1080175,8006,1444,370,392194,0 16,2,2024-09-07 09:55:01:447,784478,784478,0,0,31944224,0,4719 16,3,2024-09-07 09:55:01:150,1,620,18,0,358,7681,620,0 17,0,2024-09-07 09:55:01:807,158201,0.6,154219,0.7,302085,0.5,410807,2.00 17,1,2024-09-07 09:55:00:589,1087624,1087624,0,0,510421413612,5349862953,1077321,8530,1773,368,392075,0 17,2,2024-09-07 09:55:01:666,785977,785976,1,0,33213539,0,5050 17,3,2024-09-07 09:55:00:574,1,620,1,0,518,8869,620,0 18,0,2024-09-07 09:55:00:947,153788,0.6,154737,0.8,307870,0.6,412046,2.25 18,1,2024-09-07 09:55:01:640,1092074,1092074,0,0,511917357328,5306481686,1085004,5813,1257,367,391725,0 18,2,2024-09-07 09:55:01:764,784339,784339,0,0,29464048,0,3541 18,3,2024-09-07 09:55:00:901,1,620,12,0,1059,5610,620,0 19,0,2024-09-07 09:55:01:544,157699,0.6,158085,0.8,315235,0.6,418946,2.00 19,1,2024-09-07 09:55:00:566,1091985,1091985,0,0,512607869926,5310250291,1084161,6691,1133,365,391777,0 19,2,2024-09-07 09:55:01:767,789182,789182,0,0,27984510,0,3988 19,3,2024-09-07 09:55:01:131,1,620,1,0,524,4657,620,0 20,0,2024-09-07 09:55:01:344,153581,0.6,153441,0.7,306779,0.5,409426,2.00 20,1,2024-09-07 09:55:00:566,1087458,1087458,0,0,510625640915,5348237589,1076658,9290,1510,369,391922,0 20,2,2024-09-07 09:55:00:931,785073,785073,0,0,34921624,0,4321 20,3,2024-09-07 09:55:00:589,1,620,6,0,468,9813,620,0 21,0,2024-09-07 09:55:01:139,153710,0.5,153805,0.6,307395,0.4,408171,2.00 21,1,2024-09-07 09:55:01:541,1085565,1085565,0,0,508792381515,5367128005,1068681,13047,3837,368,392016,0 21,2,2024-09-07 09:55:01:070,778868,778391,477,0,45362286,0,17074 21,3,2024-09-07 09:55:01:404,1,620,1,0,713,8738,620,0 22,0,2024-09-07 09:55:01:733,154019,0.5,154724,0.6,308858,0.4,410013,2.00 22,1,2024-09-07 09:55:01:025,1086203,1086203,0,0,508647208547,5350289096,1068384,14279,3540,382,391822,0 22,2,2024-09-07 09:55:00:760,779242,779216,26,0,33047001,0,6328 22,3,2024-09-07 09:55:01:069,1,620,1,0,228,5279,620,0 23,0,2024-09-07 09:55:01:372,157886,0.5,157646,0.6,315356,0.4,420919,2.00 23,1,2024-09-07 09:55:01:006,1086579,1086579,0,0,510353861364,5373490906,1067097,12904,6578,365,391690,0 23,2,2024-09-07 09:55:01:092,786719,786719,0,0,31833221,0,3773 23,3,2024-09-07 09:55:01:774,1,620,9,0,855,8918,620,0 24,0,2024-09-07 09:55:00:864,154926,0.4,154134,0.6,309842,0.4,411191,1.75 24,1,2024-09-07 09:55:00:586,1087241,1087241,0,0,509164182033,5327726382,1077109,8422,1710,367,392269,0 24,2,2024-09-07 09:55:01:071,785845,785842,3,0,38589536,0,6294 24,3,2024-09-07 09:55:01:689,1,620,13,0,468,7918,620,0 25,0,2024-09-07 09:55:01:340,159013,0.4,154812,0.6,304066,0.4,413874,2.00 25,1,2024-09-07 09:55:00:558,1085207,1085207,0,0,508719276377,5372286737,1066434,15059,3714,369,391928,0 25,2,2024-09-07 09:55:01:618,782622,782622,0,0,39169132,0,3978 25,3,2024-09-07 09:55:01:019,1,620,7,0,532,6623,620,0 26,0,2024-09-07 09:55:01:730,153207,0.4,149732,0.6,314438,0.3,409010,1.75 26,1,2024-09-07 09:55:01:552,1088577,1088577,0,0,510790736004,5344440753,1074239,11805,2533,380,391758,0 26,2,2024-09-07 09:55:00:876,780050,780050,0,0,41680596,0,4689 26,3,2024-09-07 09:55:01:721,1,620,1,0,796,7591,620,0 27,0,2024-09-07 09:55:01:729,157372,0.4,158074,0.6,314196,0.3,419390,2.25 27,1,2024-09-07 09:55:01:706,1090128,1090128,0,0,511869373281,5331946492,1079726,8793,1609,381,391626,0 27,2,2024-09-07 09:55:00:874,781239,781174,65,0,36545463,0,5699 27,3,2024-09-07 09:55:01:017,1,620,1,0,564,5275,620,0 28,0,2024-09-07 09:55:01:423,156213,0.4,156439,0.6,312882,0.3,416295,1.75 28,1,2024-09-07 09:55:00:801,1090314,1090314,0,0,511322175020,5327850683,1081367,7056,1891,382,391904,0 28,2,2024-09-07 09:55:01:765,787181,787181,0,0,31481372,0,2915 28,3,2024-09-07 09:55:01:780,1,620,0,0,502,6180,620,0 29,0,2024-09-07 09:55:01:388,160026,0.4,155902,0.6,305938,0.3,416886,1.75 29,1,2024-09-07 09:55:01:564,1093378,1093378,0,0,512672363207,5299761524,1087015,5586,777,367,391809,0 29,2,2024-09-07 09:55:00:874,785082,785082,0,0,30530120,0,4986 29,3,2024-09-07 09:55:00:973,1,620,2,0,459,6554,620,0 30,0,2024-09-07 09:55:01:466,153331,0.5,149211,0.6,312631,0.4,408790,2.00 30,1,2024-09-07 09:55:00:573,1092134,1092134,0,0,512462378449,5314140927,1084559,6664,911,380,391672,0 30,2,2024-09-07 09:55:01:279,783286,783286,0,0,29046795,0,4192 30,3,2024-09-07 09:55:00:585,1,620,21,0,519,5734,620,0 31,0,2024-09-07 09:55:01:781,155521,0.4,156472,0.6,311903,0.4,416152,1.75 31,1,2024-09-07 09:55:00:564,1096058,1096058,0,0,514173503129,5277756077,1089598,5386,1074,356,391712,0 31,2,2024-09-07 09:55:01:279,782388,782388,0,0,32753828,0,4470 31,3,2024-09-07 09:55:01:706,1,620,1,0,239,5267,620,0 32,0,2024-09-07 09:55:01:430,158739,0.3,159877,0.5,318172,0.3,424298,1.75 32,1,2024-09-07 09:55:00:811,1092044,1092044,0,0,511840365335,5316214344,1085863,5463,718,381,391646,0 32,2,2024-09-07 09:55:00:952,788356,788356,0,0,28859214,0,3922 32,3,2024-09-07 09:55:01:018,1,620,13,0,304,5016,620,0 33,0,2024-09-07 09:55:01:558,155090,0.3,154716,0.4,309645,0.2,412302,1.50 33,1,2024-09-07 09:55:00:576,1092526,1092526,0,0,513554426934,5316495360,1084071,7182,1273,368,391730,0 33,2,2024-09-07 09:55:00:759,786163,786128,35,0,31920356,0,7012 33,3,2024-09-07 09:55:00:895,1,620,1,0,329,5367,620,0 34,0,2024-09-07 09:55:00:932,153461,0.3,157616,0.5,301546,0.2,407005,1.75 34,1,2024-09-07 09:55:01:052,1094277,1094277,0,0,513835274383,5283710659,1091313,2853,111,367,391637,0 34,2,2024-09-07 09:55:00:771,785427,785427,0,0,30407695,0,4562 34,3,2024-09-07 09:55:01:690,1,620,11,0,541,5537,620,0 35,0,2024-09-07 09:55:00:858,153489,0.3,154295,0.5,309393,0.2,411141,1.75 35,1,2024-09-07 09:55:01:071,1090419,1090419,0,0,512275662868,5314180680,1082308,6588,1523,382,391769,0 35,2,2024-09-07 09:55:01:584,781436,781436,0,0,33434164,0,4055 35,3,2024-09-07 09:55:00:907,1,620,2,0,466,5733,620,0 36,0,2024-09-07 09:55:01:532,159209,0.5,159372,0.6,318162,0.4,423855,2.00 36,1,2024-09-07 09:55:00:603,1089671,1089671,0,0,511445105819,5337470137,1076088,11342,2241,366,391759,0 36,2,2024-09-07 09:55:01:773,786702,786702,0,0,34843714,0,3875 36,3,2024-09-07 09:55:00:873,1,620,0,0,556,8336,620,0 37,0,2024-09-07 09:55:01:367,152323,0.5,152533,0.7,305221,0.5,406978,2.25 37,1,2024-09-07 09:55:00:569,1088900,1088893,0,7,510751838841,5330719090,1076234,9745,2914,365,391770,0 37,2,2024-09-07 09:55:01:151,783669,783654,15,0,34741054,0,5815 37,3,2024-09-07 09:55:01:778,1,620,1,0,888,8129,620,0 38,0,2024-09-07 09:55:01:451,153187,0.4,148473,0.6,310430,0.4,406416,2.00 38,1,2024-09-07 09:55:01:614,1089043,1089043,0,0,512012779454,5351950999,1073709,12334,3000,368,391821,0 38,2,2024-09-07 09:55:00:761,784529,784482,47,0,34922758,0,6710 38,3,2024-09-07 09:55:01:003,1,620,2,0,689,7452,620,0 39,0,2024-09-07 09:55:01:760,157349,0.5,153843,0.7,300000,0.5,409294,2.00 39,1,2024-09-07 09:55:00:724,1088213,1088213,0,0,509997961031,5342947517,1070764,13734,3715,365,391865,0 39,2,2024-09-07 09:55:01:421,780244,780244,0,0,33044036,0,3391 39,3,2024-09-07 09:55:00:720,1,620,0,0,525,6906,620,0 40,0,2024-09-07 09:55:01:494,156444,0.8,157266,0.9,313916,0.9,419425,2.75 40,1,2024-09-07 09:55:00:580,1088822,1088822,0,0,509851144999,5338276413,1073210,12543,3069,366,391668,0 40,2,2024-09-07 09:55:01:307,782689,782682,7,0,39515003,0,5347 40,3,2024-09-07 09:55:01:151,1,620,1,0,1028,8992,620,0 41,0,2024-09-07 09:55:01:023,153987,1.3,157628,1.1,300525,1.9,408127,3.00 41,1,2024-09-07 09:55:00:790,1087802,1087802,0,0,510634882446,5339235405,1074023,11619,2160,369,391878,0 41,2,2024-09-07 09:55:00:771,782984,782983,1,0,37788110,0,5408 41,3,2024-09-07 09:55:01:695,1,620,2,0,366,6685,620,0 42,0,2024-09-07 09:55:01:477,154416,0.9,154061,1.0,308234,1.1,408776,2.75 42,1,2024-09-07 09:55:01:443,1085838,1085838,0,0,508916373895,5345418554,1069252,13653,2933,380,391675,0 42,2,2024-09-07 09:55:01:134,783125,783124,1,0,38227690,0,5513 42,3,2024-09-07 09:55:01:017,1,620,2,0,892,6031,620,0 43,0,2024-09-07 09:55:00:925,153077,0.7,149003,0.9,312091,0.7,408254,2.25 43,1,2024-09-07 09:55:00:576,1089453,1089453,0,0,510551981640,5332215595,1074712,12169,2572,366,391696,0 43,2,2024-09-07 09:55:01:739,781159,781159,0,0,35920373,0,4723 43,3,2024-09-07 09:55:01:748,1,620,0,0,571,8882,620,0 44,0,2024-09-07 09:55:00:859,157317,0.4,157201,0.6,314287,0.3,418971,1.75 44,1,2024-09-07 09:55:00:563,1092815,1092815,0,0,511382057104,5283834405,1083444,7430,1941,356,391809,0 44,2,2024-09-07 09:55:01:266,782428,782428,0,0,29354008,0,4344 44,3,2024-09-07 09:55:01:093,1,620,1,0,1097,7511,620,0 45,0,2024-09-07 09:55:01:785,155597,0.5,152051,0.7,319144,0.4,418215,2.00 45,1,2024-09-07 09:55:01:028,1090958,1090958,0,0,512119948690,5318829956,1082702,7353,903,382,391917,0 45,2,2024-09-07 09:55:01:288,787405,787405,0,0,29653214,0,3596 45,3,2024-09-07 09:55:00:934,1,620,10,0,531,5867,620,0 46,0,2024-09-07 09:55:00:963,154361,0.6,153913,0.8,308867,0.5,410758,2.00 46,1,2024-09-07 09:55:00:575,1093574,1093574,0,0,512682733672,5297171573,1086078,6508,988,366,391709,0 46,2,2024-09-07 09:55:00:592,786459,786459,0,0,30332923,0,4443 46,3,2024-09-07 09:55:01:134,1,620,1,0,908,7294,620,0 47,0,2024-09-07 09:55:01:113,153905,0.4,153748,0.6,308861,0.3,409191,1.75 47,1,2024-09-07 09:55:00:567,1094316,1094316,0,0,513013325699,5296907451,1088214,5239,863,364,391641,0 47,2,2024-09-07 09:55:00:908,786724,786724,0,0,29257560,0,4477 47,3,2024-09-07 09:55:01:119,1,620,1,0,600,6736,620,0 48,0,2024-09-07 09:55:01:496,155500,0.3,155710,0.4,310788,0.2,413785,1.50 48,1,2024-09-07 09:55:01:033,1091414,1091414,0,0,511416024632,5308352288,1085307,5529,578,381,391710,0 48,2,2024-09-07 09:55:00:711,782790,782790,0,0,27763823,0,3524 48,3,2024-09-07 09:55:00:755,1,620,28,0,339,5427,620,0 49,0,2024-09-07 09:55:01:725,162717,0.3,159304,0.5,310078,0.3,423011,1.75 49,1,2024-09-07 09:55:01:034,1090685,1090685,0,0,511498560232,5318047761,1083410,5579,1696,382,391809,0 49,2,2024-09-07 09:55:01:804,787921,787921,0,0,30006936,0,4426 49,3,2024-09-07 09:55:01:425,1,620,16,0,992,7240,620,0 50,0,2024-09-07 09:55:01:512,154291,0.3,152718,0.5,307626,0.2,409350,1.75 50,1,2024-09-07 09:55:01:012,1093471,1093471,0,0,513436748532,5304797879,1086943,5859,669,368,391691,0 50,2,2024-09-07 09:55:01:069,784832,784832,0,0,27783985,0,4490 50,3,2024-09-07 09:55:01:299,1,620,0,0,617,6230,620,0 51,0,2024-09-07 09:55:01:702,157692,0.3,154360,0.5,300575,0.2,409818,1.75 51,1,2024-09-07 09:55:01:684,1093696,1093696,0,0,513516285227,5292460479,1088033,4582,1081,365,391706,0 51,2,2024-09-07 09:55:01:322,785104,785104,0,0,27312278,0,3337 51,3,2024-09-07 09:55:01:045,1,620,1,0,678,4441,620,0 52,0,2024-09-07 09:55:01:437,154769,0.5,154453,0.6,309157,0.4,411030,2.00 52,1,2024-09-07 09:55:00:575,1089053,1089053,0,0,511293701829,5348195732,1073903,12819,2331,368,391805,0 52,2,2024-09-07 09:55:01:779,777668,777630,38,0,36860661,0,6742 52,3,2024-09-07 09:55:00:690,1,620,1,0,1782,7133,620,0 53,0,2024-09-07 09:55:01:733,157685,0.6,153134,0.8,320325,0.6,419931,2.25 53,1,2024-09-07 09:55:00:772,1087372,1087372,0,0,510379870570,5352390479,1069178,13795,4399,367,391968,0 53,2,2024-09-07 09:55:01:304,786374,786373,1,0,33192626,0,5455 53,3,2024-09-07 09:55:00:711,1,620,1,0,308,5696,620,0 54,0,2024-09-07 09:55:01:630,152305,0.6,152400,0.8,303747,0.5,405627,2.25 54,1,2024-09-07 09:55:00:584,1090904,1090904,0,0,511821197490,5320633673,1079784,9449,1671,366,391810,0 54,2,2024-09-07 09:55:00:874,786860,786828,32,0,36971696,0,6397 54,3,2024-09-07 09:55:00:771,1,620,1,0,676,7888,620,0 55,0,2024-09-07 09:55:01:760,149274,0.6,154059,0.8,312182,0.6,406521,2.50 55,1,2024-09-07 09:55:00:775,1091209,1091209,0,0,512042371839,5322177674,1080341,9479,1389,365,391731,0 55,2,2024-09-07 09:55:00:729,783502,783446,56,0,34339488,0,7239 55,3,2024-09-07 09:55:00:684,1,620,1,0,304,5617,620,0 56,0,2024-09-07 09:55:01:559,156891,1.1,147855,1.1,304827,1.4,407677,2.75 56,1,2024-09-07 09:55:00:573,1084157,1084157,0,0,509285071208,5383768653,1066157,14311,3689,381,391867,0 56,2,2024-09-07 09:55:01:311,779094,778972,122,0,36705278,0,7432 56,3,2024-09-07 09:55:01:069,1,620,0,0,705,7147,620,0 57,0,2024-09-07 09:55:00:940,155826,1.3,155427,1.2,311366,1.8,415880,3.00 57,1,2024-09-07 09:55:01:032,1086694,1086694,0,0,509008267097,5340902099,1072223,11980,2491,366,392032,0 57,2,2024-09-07 09:55:01:326,783569,783569,0,0,38545098,0,4804 57,3,2024-09-07 09:55:01:738,1,620,4,0,455,7128,620,0 58,0,2024-09-07 09:55:00:553,153783,1.0,149349,1.0,312680,1.2,408651,2.75 58,1,2024-09-07 09:55:00:575,1088071,1088068,0,3,511034458177,5354439028,1071914,12250,3904,367,391726,3 58,2,2024-09-07 09:55:01:086,786830,786830,0,0,36077914,0,3483 58,3,2024-09-07 09:55:01:075,1,620,9,0,1043,6891,620,0 59,0,2024-09-07 09:55:01:745,154180,0.9,153726,1.0,307178,1.0,407956,3.00 59,1,2024-09-07 09:55:00:810,1087049,1087049,0,0,510426693051,5361556697,1069196,14185,3668,369,391653,0 59,2,2024-09-07 09:55:00:583,785078,785078,0,0,34201026,0,3727 59,3,2024-09-07 09:55:01:738,1,620,0,0,1015,7505,620,0 60,0,2024-09-07 09:55:01:716,154001,0.5,154048,0.7,308515,0.5,411094,1.75 60,1,2024-09-07 09:55:00:775,1091857,1091857,0,0,512384937526,5322232356,1083897,6871,1089,370,392031,0 60,2,2024-09-07 09:55:01:141,782617,782617,0,0,32090109,0,3811 60,3,2024-09-07 09:55:01:264,1,620,6,0,409,7103,620,0 61,0,2024-09-07 09:55:01:513,155776,0.6,156371,0.7,311184,0.6,415542,2.00 61,1,2024-09-07 09:55:00:774,1089168,1089168,0,0,510677611514,5342937394,1078028,9458,1682,382,392127,0 61,2,2024-09-07 09:55:01:123,783337,783270,67,0,32806658,0,6411 61,3,2024-09-07 09:55:01:699,1,620,3,0,607,7916,620,0 62,0,2024-09-07 09:55:01:712,159323,0.6,163308,0.7,312066,0.6,424324,2.00 62,1,2024-09-07 09:55:01:110,1095271,1095265,0,6,514167412709,5298401687,1090293,4673,299,365,391975,6 62,2,2024-09-07 09:55:01:650,784756,784755,1,0,32811569,0,5555 62,3,2024-09-07 09:55:01:143,1,620,1,0,482,4910,620,0 63,0,2024-09-07 09:55:01:480,155198,0.4,154900,0.6,310247,0.3,412633,1.75 63,1,2024-09-07 09:55:00:810,1091857,1091851,0,6,512572553430,5319913759,1085003,5993,855,381,391800,6 63,2,2024-09-07 09:55:00:762,785555,785555,0,0,31112256,0,4369 63,3,2024-09-07 09:55:01:732,1,620,0,0,667,6236,620,0 64,0,2024-09-07 09:55:01:520,152561,0.5,152391,0.6,304521,0.4,405698,2.00 64,1,2024-09-07 09:55:00:754,1090426,1090426,0,0,511689984232,5326362401,1081075,7372,1979,370,391794,0 64,2,2024-09-07 09:55:01:141,788171,788152,19,0,30144257,0,6121 64,3,2024-09-07 09:55:01:146,1,620,27,0,651,7032,620,0 65,0,2024-09-07 09:55:01:678,153079,0.6,153415,0.7,306649,0.6,408598,2.00 65,1,2024-09-07 09:55:00:866,1088843,1088843,0,0,510114887599,5328754633,1081353,6615,875,381,391901,0 65,2,2024-09-07 09:55:01:707,780625,780625,0,0,36242938,0,3367 65,3,2024-09-07 09:55:01:689,1,620,1,0,782,6720,620,0 66,0,2024-09-07 09:55:01:779,158300,0.5,157863,0.7,315936,0.5,420726,2.00 66,1,2024-09-07 09:55:01:301,1090943,1090943,0,0,511525549563,5319569878,1084440,5781,722,380,391743,0 66,2,2024-09-07 09:55:01:137,788921,788918,3,0,32173701,0,5455 66,3,2024-09-07 09:55:01:081,1,620,1,0,291,5142,620,0 67,0,2024-09-07 09:55:01:422,153058,0.5,152607,0.7,306239,0.5,407537,2.00 67,1,2024-09-07 09:55:00:770,1090601,1090600,0,1,511621949857,5323911246,1083200,6455,945,380,391787,1 67,2,2024-09-07 09:55:00:582,789016,789001,15,0,31961331,0,6205 67,3,2024-09-07 09:55:01:750,1,620,5,0,595,6350,620,0 68,0,2024-09-07 09:55:00:583,154082,0.6,153823,0.7,306435,0.5,409763,2.00 68,1,2024-09-07 09:55:00:580,1086488,1086488,0,0,510029089315,5358277751,1072677,10280,3531,381,391953,0 68,2,2024-09-07 09:55:01:047,781228,781128,100,0,40077750,0,8578 68,3,2024-09-07 09:55:00:730,1,620,1,0,417,7831,620,0 69,0,2024-09-07 09:55:01:732,153342,0.6,154017,0.8,307234,0.6,408110,2.25 69,1,2024-09-07 09:55:01:016,1085671,1085671,0,0,509911803629,5369745695,1071803,11033,2835,383,391994,0 69,2,2024-09-07 09:55:01:738,777596,777567,29,0,42605506,0,6912 69,3,2024-09-07 09:55:00:759,1,620,6,0,698,8717,620,0 70,0,2024-09-07 09:55:01:530,156264,0.7,156986,0.9,315227,0.6,418146,2.25 70,1,2024-09-07 09:55:00:810,1092122,1092122,0,0,512790198553,5317462001,1084200,7113,809,366,391725,0 70,2,2024-09-07 09:55:01:329,785382,785382,0,0,35132274,0,4323 70,3,2024-09-07 09:55:00:749,1,620,6,0,854,6773,620,0 71,0,2024-09-07 09:55:01:373,153692,0.9,153342,1.0,307840,1.2,410330,2.50 71,1,2024-09-07 09:55:01:609,1089690,1089690,0,0,511019438163,5330158273,1076686,11383,1621,368,391738,0 71,2,2024-09-07 09:55:01:071,785988,785988,0,0,35409225,0,4352 71,3,2024-09-07 09:55:01:773,1,620,1,0,644,6858,620,0 72,0,2024-09-07 09:55:01:030,160454,0.6,156657,0.7,305997,0.5,415921,2.00 72,1,2024-09-07 09:55:01:024,1088187,1088187,0,0,510006740534,5346826025,1072877,12791,2519,369,391819,0 72,2,2024-09-07 09:55:01:764,783012,783012,0,0,37116897,0,3983 72,3,2024-09-07 09:55:01:757,1,620,1,0,564,8976,620,0 73,0,2024-09-07 09:55:01:134,150531,0.4,154452,0.6,315655,0.4,410858,2.00 73,1,2024-09-07 09:55:00:768,1089522,1089522,0,0,511763828952,5317719793,1081111,7429,982,367,391858,0 73,2,2024-09-07 09:55:01:742,780681,780680,1,0,38744104,0,5027 73,3,2024-09-07 09:55:00:973,1,620,1,0,1091,8512,620,0 74,0,2024-09-07 09:55:01:324,158011,0.4,161931,0.6,308971,0.4,419364,2.00 74,1,2024-09-07 09:55:00:644,1088495,1088495,0,0,510900387892,5331894084,1076583,9794,2118,381,391762,0 74,2,2024-09-07 09:55:01:031,782476,782476,0,0,33766405,0,4253 74,3,2024-09-07 09:55:01:442,1,620,2,0,522,7484,620,0 75,0,2024-09-07 09:55:01:784,157655,0.5,156763,0.7,314432,0.5,419615,2.25 75,1,2024-09-07 09:55:01:590,1089244,1089244,0,0,511473900724,5327659628,1080616,7758,870,380,391739,0 75,2,2024-09-07 09:55:01:356,785243,785243,0,0,40977308,0,4766 75,3,2024-09-07 09:55:01:069,1,620,3,0,918,8278,620,0 76,0,2024-09-07 09:55:00:589,154768,0.6,154164,0.8,308287,0.5,412444,2.25 76,1,2024-09-07 09:55:00:817,1089474,1089474,0,0,510883077826,5325006978,1082135,6348,991,382,391790,0 76,2,2024-09-07 09:55:01:071,787428,787425,3,0,33188836,0,5265 76,3,2024-09-07 09:55:01:148,1,620,12,0,227,5679,620,0 77,0,2024-09-07 09:55:01:705,153110,0.5,153669,0.7,307155,0.5,408424,2.00 77,1,2024-09-07 09:55:00:825,1089335,1089335,0,0,510968348821,5327679884,1081341,7114,880,381,391869,0 77,2,2024-09-07 09:55:01:279,782586,782586,0,0,32218104,0,3890 77,3,2024-09-07 09:55:01:101,1,620,1,0,401,7004,620,0 78,0,2024-09-07 09:55:01:758,155765,0.4,155132,0.6,311116,0.4,412392,2.00 78,1,2024-09-07 09:55:00:622,1090052,1090052,0,0,511025002504,5327386182,1077206,9929,2917,367,391670,0 78,2,2024-09-07 09:55:01:404,783242,783229,13,0,30289293,0,8313 78,3,2024-09-07 09:55:01:137,1,620,1,0,311,5128,620,0 79,0,2024-09-07 09:55:01:347,152901,0.4,156650,0.6,320863,0.3,417920,2.00 79,1,2024-09-07 09:55:00:573,1093504,1093504,0,0,512698656437,5304401281,1085655,6489,1360,367,391682,0 79,2,2024-09-07 09:55:01:069,787035,787035,0,0,29365540,0,4195 79,3,2024-09-07 09:55:00:748,1,620,1,0,418,7190,620,0 80,0,2024-09-07 09:55:01:076,153541,0.6,157700,0.7,301451,0.6,408454,2.00 80,1,2024-09-07 09:55:01:633,1089338,1089338,0,0,511359300298,5321983625,1082177,6709,452,368,392269,0 80,2,2024-09-07 09:55:01:090,788193,788193,0,0,29874217,0,4433 80,3,2024-09-07 09:55:00:583,1,620,0,0,681,7443,620,0 81,0,2024-09-07 09:55:01:543,153612,0.5,157242,0.7,300145,0.5,407099,2.00 81,1,2024-09-07 09:55:01:651,1088678,1088678,0,0,510581566808,5332344012,1080509,7401,768,382,391885,0 81,2,2024-09-07 09:55:01:129,784421,784358,63,0,33103672,0,5932 81,3,2024-09-07 09:55:01:121,1,620,1,0,719,7049,620,0 82,0,2024-09-07 09:55:01:583,154153,0.4,154248,0.6,309298,0.4,410139,2.00 82,1,2024-09-07 09:55:00:585,1090684,1090680,0,4,511802178161,5317151034,1085105,4750,825,381,391768,4 82,2,2024-09-07 09:55:01:696,783109,783109,0,0,28051269,0,4484 82,3,2024-09-07 09:55:01:774,1,620,1,0,363,5728,620,0 83,0,2024-09-07 09:55:01:522,158374,0.5,158114,0.7,316078,0.5,420190,2.00 83,1,2024-09-07 09:55:00:551,1089874,1089874,0,0,510966518072,5323834951,1082282,6947,645,382,391709,0 83,2,2024-09-07 09:55:00:770,786637,786612,25,0,30246478,0,5612 83,3,2024-09-07 09:55:00:749,1,620,1,0,1260,7249,620,0 84,0,2024-09-07 09:55:01:795,152512,0.8,152569,0.9,304919,0.8,407271,2.25 84,1,2024-09-07 09:55:01:044,1087611,1087611,0,0,510088499892,5331983739,1075350,10588,1673,367,391967,0 84,2,2024-09-07 09:55:00:584,784937,784527,410,0,43970964,0,17037 84,3,2024-09-07 09:55:01:151,1,620,3,0,908,8171,620,0 85,0,2024-09-07 09:55:01:015,148585,0.6,148563,0.8,315431,0.6,406936,2.25 85,1,2024-09-07 09:55:00:559,1084600,1084600,0,0,509168896722,5372599740,1068269,13530,2801,381,392092,0 85,2,2024-09-07 09:55:00:875,783786,783786,0,0,37113115,0,4255 85,3,2024-09-07 09:55:00:696,1,620,2,0,789,7068,620,0 86,0,2024-09-07 09:55:00:890,153739,0.6,158032,0.8,302197,0.7,408199,2.25 86,1,2024-09-07 09:55:00:839,1087856,1087856,0,0,510553582033,5350258829,1073935,11234,2687,366,392169,0 86,2,2024-09-07 09:55:00:870,779502,779501,1,0,39346898,0,5004 86,3,2024-09-07 09:55:00:593,1,620,4,0,308,8676,620,0 87,0,2024-09-07 09:55:01:304,157555,0.7,156844,0.8,313728,0.8,419362,2.25 87,1,2024-09-07 09:55:00:552,1086985,1086985,0,0,509677878202,5336860952,1073597,11589,1799,366,392076,0 87,2,2024-09-07 09:55:01:070,783244,783238,6,0,35734621,0,6323 87,3,2024-09-07 09:55:01:798,1,620,84,0,473,8735,620,0 88,0,2024-09-07 09:55:01:444,156064,0.5,156671,0.6,312876,0.4,416169,1.75 88,1,2024-09-07 09:55:00:571,1086363,1086363,0,0,509667605194,5340735273,1070959,12356,3048,365,392084,0 88,2,2024-09-07 09:55:00:697,785727,785727,0,0,38789820,0,4465 88,3,2024-09-07 09:55:01:273,1,620,1,0,1080,9434,620,0 89,0,2024-09-07 09:55:01:780,159936,0.5,155153,0.6,306152,0.4,416471,1.75 89,1,2024-09-07 09:55:00:552,1086040,1086040,0,0,509319556095,5354520037,1073045,11049,1946,382,391866,0 89,2,2024-09-07 09:55:01:145,784826,784826,0,0,36554273,0,3173 89,3,2024-09-07 09:55:01:791,1,620,6,0,468,10180,620,0 90,0,2024-09-07 09:55:01:624,149488,0.5,153581,0.6,313292,0.4,409032,2.00 90,1,2024-09-07 09:55:00:595,1087973,1087973,0,0,509393362551,5333238338,1077869,9208,896,380,391825,0 90,2,2024-09-07 09:55:01:406,778593,778588,5,0,39997982,0,6370 90,3,2024-09-07 09:55:00:952,1,620,1,0,322,7396,620,0 91,0,2024-09-07 09:55:00:924,156238,0.4,151661,0.6,317375,0.4,416116,1.75 91,1,2024-09-07 09:55:00:559,1085502,1085502,0,0,509755412238,5369270389,1069636,12718,3148,381,392047,0 91,2,2024-09-07 09:55:01:331,782720,782720,0,0,35191971,0,4713 91,3,2024-09-07 09:55:00:614,1,620,1,0,216,5483,620,0 92,0,2024-09-07 09:55:01:456,160334,0.4,163987,0.6,312516,0.4,424526,1.75 92,1,2024-09-07 09:55:00:602,1088773,1088773,0,0,511125411910,5336249106,1080398,7087,1288,381,392136,0 92,2,2024-09-07 09:55:01:350,786991,786991,0,0,30964210,0,3259 92,3,2024-09-07 09:55:01:017,1,620,5,0,167,5264,620,0 93,0,2024-09-07 09:55:00:958,155603,0.4,159368,0.6,304318,0.4,412576,1.75 93,1,2024-09-07 09:55:00:804,1089644,1089644,0,0,511508206662,5336239630,1077915,9793,1936,365,392048,0 93,2,2024-09-07 09:55:00:928,785101,785101,0,0,36731140,0,4913 93,3,2024-09-07 09:55:01:411,1,620,0,0,375,6353,620,0 94,0,2024-09-07 09:55:01:608,152563,0.4,153717,0.5,307368,0.3,407991,1.75 94,1,2024-09-07 09:55:00:563,1090241,1090241,0,0,511464381399,5331283578,1083279,6527,435,381,391850,0 94,2,2024-09-07 09:55:00:761,784374,784346,28,0,31570294,0,6179 94,3,2024-09-07 09:55:01:695,1,620,0,0,576,7633,620,0 95,0,2024-09-07 09:55:01:381,154392,0.3,154250,0.5,308842,0.3,411289,1.75 95,1,2024-09-07 09:55:00:855,1090755,1090755,0,0,511786551206,5316127571,1082303,7700,752,365,391852,0 95,2,2024-09-07 09:55:01:015,780417,780417,0,0,31191723,0,3308 95,3,2024-09-07 09:55:01:723,1,620,1,0,718,9501,620,0 96,0,2024-09-07 09:55:01:027,158800,0.4,159167,0.5,318183,0.3,423387,1.75 96,1,2024-09-07 09:55:01:587,1089334,1089334,0,0,510712088669,5323853778,1081942,6118,1274,384,391964,0 96,2,2024-09-07 09:55:01:280,787194,787194,0,0,32200046,0,4180 96,3,2024-09-07 09:55:01:146,1,620,1,0,411,7029,620,0 97,0,2024-09-07 09:55:01:321,153116,0.3,153003,0.5,306799,0.3,407344,1.75 97,1,2024-09-07 09:55:00:763,1090821,1090821,0,0,511938626062,5309953771,1083878,5902,1041,367,392140,0 97,2,2024-09-07 09:55:00:614,787422,787422,0,0,31758009,0,4046 97,3,2024-09-07 09:55:00:570,1,620,16,0,242,6891,620,0 98,0,2024-09-07 09:55:01:705,153575,0.3,153734,0.4,308506,0.2,409892,1.50 98,1,2024-09-07 09:55:00:573,1089726,1089726,0,0,511603876302,5326596900,1083223,5667,836,381,391997,0 98,2,2024-09-07 09:55:00:776,784486,784486,0,0,30538444,0,4336 98,3,2024-09-07 09:55:00:698,1,620,1,0,840,9182,620,0 99,0,2024-09-07 09:55:01:450,153997,0.3,154841,0.5,308150,0.3,410749,1.75 99,1,2024-09-07 09:55:01:725,1090774,1090774,0,0,511991785255,5324920770,1084398,5433,943,380,392069,0 99,2,2024-09-07 09:55:01:421,780487,780487,0,0,37730979,0,4276 99,3,2024-09-07 09:55:00:587,1,620,1,0,1124,7883,620,0 100,0,2024-09-07 09:55:01:464,157516,0.7,157943,0.9,315826,0.7,421728,2.25 100,1,2024-09-07 09:55:00:549,1084348,1084348,0,0,508795219974,5374864181,1068315,12713,3320,378,391989,0 100,2,2024-09-07 09:55:01:822,781581,781192,389,0,40967968,0,16909 100,3,2024-09-07 09:55:01:732,1,620,1,0,627,10270,620,0 101,0,2024-09-07 09:55:01:740,158212,1.1,154377,1.0,302013,1.1,412076,2.25 101,1,2024-09-07 09:55:00:559,1086573,1086573,0,0,510351760266,5359164358,1072417,11627,2529,368,391847,0 101,2,2024-09-07 09:55:01:766,782311,782311,0,0,40974069,0,4871 101,3,2024-09-07 09:55:00:951,1,620,1,0,1250,9080,620,0 102,0,2024-09-07 09:55:00:949,151104,0.6,155632,0.8,316221,0.6,413419,2.25 102,1,2024-09-07 09:55:01:185,1086199,1086199,0,0,509522885796,5349208739,1072063,11935,2201,369,391984,0 102,2,2024-09-07 09:55:01:739,785496,785442,54,0,35044244,0,6768 102,3,2024-09-07 09:55:01:618,1,620,1,0,466,6985,620,0 103,0,2024-09-07 09:55:01:592,159621,0.5,159644,0.7,300808,0.5,414200,2.00 103,1,2024-09-07 09:55:01:628,1085140,1085140,0,0,509813513611,5380975217,1068044,13493,3603,381,392077,0 103,2,2024-09-07 09:55:00:585,778808,778808,0,0,37834861,0,3766 103,3,2024-09-07 09:55:00:755,1,620,0,0,916,7157,620,0 104,0,2024-09-07 09:55:01:017,156077,0.7,156245,0.9,311781,0.7,417270,2.25 104,1,2024-09-07 09:55:01:607,1087610,1087610,0,0,510282130885,5358461018,1072066,12699,2845,365,392168,0 104,2,2024-09-07 09:55:01:665,780919,780919,0,0,37603057,0,4161 104,3,2024-09-07 09:55:01:420,1,620,1,0,1245,10840,620,0 105,0,2024-09-07 09:55:01:034,155604,0.9,151648,1.1,317984,1.0,417520,2.50 105,1,2024-09-07 09:55:00:554,1088850,1088850,0,0,510392116786,5344783227,1075269,11637,1944,364,392009,0 105,2,2024-09-07 09:55:01:335,785718,785718,0,0,37907270,0,4360 105,3,2024-09-07 09:55:01:306,1,620,1,0,573,9402,620,0 106,0,2024-09-07 09:55:00:952,149828,0.9,153523,1.0,314474,1.1,410771,2.50 106,1,2024-09-07 09:55:01:751,1087585,1087585,0,0,509259991125,5342087618,1072910,12779,1896,368,391914,0 106,2,2024-09-07 09:55:00:756,783646,783646,0,0,35554052,0,3331 106,3,2024-09-07 09:55:00:690,1,620,1,0,1224,8457,620,0 107,0,2024-09-07 09:55:01:101,153259,0.9,153366,0.9,306738,1.1,409055,2.25 107,1,2024-09-07 09:55:00:586,1085269,1085269,0,0,508961059875,5376215135,1067861,15273,2135,381,392234,0 107,2,2024-09-07 09:55:01:306,779780,779779,1,0,38691313,0,5024 107,3,2024-09-07 09:55:01:766,1,620,9,0,733,9417,620,0 108,0,2024-09-07 09:55:01:797,154929,0.4,155502,0.6,310013,0.4,413823,1.75 108,1,2024-09-07 09:55:01:308,1089014,1089014,0,0,511144089812,5328427652,1080308,7710,996,367,391894,0 108,2,2024-09-07 09:55:01:784,781921,781921,0,0,33240540,0,4246 108,3,2024-09-07 09:55:01:339,1,620,1,0,749,10945,620,0 109,0,2024-09-07 09:55:01:796,159174,0.4,157824,0.6,316392,0.3,422278,1.75 109,1,2024-09-07 09:55:00:588,1086530,1086530,0,0,510707633862,5354332101,1077036,8214,1280,382,392132,0 109,2,2024-09-07 09:55:00:940,784601,784601,0,0,34246933,0,3617 109,3,2024-09-07 09:55:01:142,1,620,3,0,630,7404,620,0 110,0,2024-09-07 09:55:01:750,153561,0.4,149266,0.6,312624,0.4,410268,1.75 110,1,2024-09-07 09:55:01:652,1090833,1090833,0,0,511827172809,5310849412,1082785,6120,1928,368,392045,0 110,2,2024-09-07 09:55:01:310,786491,786491,0,0,31631375,0,4067 110,3,2024-09-07 09:55:00:703,1,620,1,0,722,8186,620,0 111,0,2024-09-07 09:55:01:420,154421,0.4,153618,0.5,307056,0.3,409447,1.75 111,1,2024-09-07 09:55:01:005,1091952,1091952,0,0,513131267821,5319679137,1086314,5257,381,380,391690,0 111,2,2024-09-07 09:55:01:119,785088,785088,0,0,32178504,0,4823 111,3,2024-09-07 09:55:00:916,1,620,1,0,379,6630,620,0 112,0,2024-09-07 09:55:00:930,154858,0.3,154523,0.4,309667,0.2,411370,1.50 112,1,2024-09-07 09:55:00:825,1090769,1090769,0,0,511291445700,5307482950,1083563,5981,1225,380,391624,0 112,2,2024-09-07 09:55:01:132,781018,781017,1,0,30364423,0,5036 112,3,2024-09-07 09:55:00:592,1,620,5,0,282,5840,620,0 113,0,2024-09-07 09:55:00:883,158277,0.3,158343,0.5,317147,0.2,422487,1.50 113,1,2024-09-07 09:55:01:698,1093120,1093120,0,0,513505940235,5296171909,1086884,5288,948,365,391664,0 113,2,2024-09-07 09:55:01:314,788758,788758,0,0,28842688,0,3813 113,3,2024-09-07 09:55:00:698,1,620,1,0,510,6586,620,0 114,0,2024-09-07 09:55:00:880,154159,0.3,155139,0.5,309330,0.2,412677,1.75 114,1,2024-09-07 09:55:00:719,1091152,1091152,0,0,511561970339,5309690133,1083210,6195,1747,381,391565,0 114,2,2024-09-07 09:55:00:877,788845,788844,1,0,30042907,0,5069 114,3,2024-09-07 09:55:01:288,1,620,1,0,395,4891,620,0 115,0,2024-09-07 09:55:00:554,155374,0.3,155944,0.4,310546,0.2,413006,1.50 115,1,2024-09-07 09:55:00:571,1091526,1091526,0,0,511993693387,5316291814,1083267,6742,1517,382,391757,0 115,2,2024-09-07 09:55:01:128,786716,786716,0,0,30190022,0,4382 115,3,2024-09-07 09:55:01:004,1,620,2,0,167,3738,620,0 116,0,2024-09-07 09:55:01:713,153624,0.6,153488,0.8,307662,0.6,410473,2.00 116,1,2024-09-07 09:55:00:810,1085059,1085059,0,0,509314098261,5364458393,1072396,9571,3092,380,392089,0 116,2,2024-09-07 09:55:01:751,780221,780221,0,0,37557058,0,4475 116,3,2024-09-07 09:55:00:916,1,620,5,0,448,7823,620,0 117,0,2024-09-07 09:55:00:976,157643,0.6,156963,0.8,314616,0.7,420613,2.00 117,1,2024-09-07 09:55:01:579,1087373,1087373,0,0,509549468050,5333675501,1075581,10268,1524,369,392429,0 117,2,2024-09-07 09:55:01:128,785722,785722,0,0,33994151,0,4303 117,3,2024-09-07 09:55:01:070,1,620,8,0,490,7767,620,0 118,0,2024-09-07 09:55:01:816,151390,0.5,155505,0.7,317339,0.5,414769,2.00 118,1,2024-09-07 09:55:00:590,1086683,1086683,0,0,509175596665,5350240696,1070832,12227,3624,366,392054,0 118,2,2024-09-07 09:55:01:590,785614,785614,0,0,36292927,0,2842 118,3,2024-09-07 09:55:01:774,1,620,1,0,289,7213,620,0 119,0,2024-09-07 09:55:01:332,155292,0.8,155913,0.8,311068,0.8,414846,2.25 119,1,2024-09-07 09:55:00:548,1087332,1087332,0,0,510875867579,5354912770,1072633,12187,2512,367,391857,0 119,2,2024-09-07 09:55:01:267,785944,785944,0,0,34263496,0,4309 119,3,2024-09-07 09:55:01:325,1,620,1,0,1358,11449,620,0 120,0,2024-09-07 09:55:01:589,153227,0.6,153063,0.8,307576,0.6,410185,2.25 120,1,2024-09-07 09:55:00:868,1088137,1088137,0,0,510297325371,5350020276,1076412,10583,1142,367,392144,0 120,2,2024-09-07 09:55:00:771,781033,781030,3,0,40793957,0,5363 120,3,2024-09-07 09:55:01:319,1,620,3,0,279,7383,620,0 121,0,2024-09-07 09:55:01:723,156023,1.0,155596,1.0,311346,1.3,415064,2.25 121,1,2024-09-07 09:55:01:660,1087843,1087843,0,0,510355610438,5338471914,1076539,9748,1556,366,391840,0 121,2,2024-09-07 09:55:01:124,781821,781821,0,0,37240201,0,4157 121,3,2024-09-07 09:55:00:728,1,620,3,0,387,8100,620,0 122,0,2024-09-07 09:55:01:784,158291,0.8,153880,0.9,322231,0.9,424452,2.00 122,1,2024-09-07 09:55:00:877,1086565,1086565,0,0,509896899068,5350075876,1071549,12599,2417,365,392130,0 122,2,2024-09-07 09:55:01:325,786797,786722,75,0,41017948,0,5989 122,3,2024-09-07 09:55:00:599,1,620,1,0,512,9907,620,0 123,0,2024-09-07 09:55:00:960,153986,0.8,149840,0.9,313877,1.0,411177,2.25 123,1,2024-09-07 09:55:00:558,1087400,1087400,0,0,510777533571,5368328496,1070508,14220,2672,369,392039,0 123,2,2024-09-07 09:55:01:027,783173,783172,1,0,35045054,0,5215 123,3,2024-09-07 09:55:01:134,1,620,3,0,478,7018,620,0 124,0,2024-09-07 09:55:00:954,157387,0.3,157382,0.5,296810,0.3,408472,1.75 124,1,2024-09-07 09:55:01:027,1091091,1091091,0,0,511135819834,5311851135,1083128,6787,1176,365,392178,0 124,2,2024-09-07 09:55:01:021,786341,786288,53,0,31518611,0,6487 124,3,2024-09-07 09:55:00:758,1,620,4,0,490,6069,620,0 125,0,2024-09-07 09:55:01:446,153984,0.4,153922,0.5,308948,0.3,411240,1.75 125,1,2024-09-07 09:55:00:981,1087885,1087885,0,0,511311743079,5334491112,1079811,6921,1153,382,391968,0 125,2,2024-09-07 09:55:01:119,783600,783600,0,0,31649314,0,4534 125,3,2024-09-07 09:55:01:127,1,620,2,0,709,7048,620,0 126,0,2024-09-07 09:55:01:424,159069,0.4,163569,0.5,312682,0.3,423957,1.75 126,1,2024-09-07 09:55:00:551,1092138,1092138,0,0,512827289891,5306265089,1086614,5077,447,365,391987,0 126,2,2024-09-07 09:55:00:626,788102,788102,0,0,32963858,0,4539 126,3,2024-09-07 09:55:00:912,1,620,1,0,268,6670,620,0 127,0,2024-09-07 09:55:01:594,153554,0.3,153620,0.5,306614,0.3,407764,1.75 127,1,2024-09-07 09:55:00:575,1090185,1090185,0,0,511445049459,5319054876,1079497,9049,1639,364,392187,0 127,2,2024-09-07 09:55:00:637,786725,786721,4,0,31167209,0,5305 127,3,2024-09-07 09:55:01:277,1,620,0,0,968,6014,620,0 128,0,2024-09-07 09:55:01:527,154549,0.3,154677,0.4,309054,0.2,410630,1.50 128,1,2024-09-07 09:55:01:606,1089919,1089919,0,0,511572363006,5318724441,1081498,7456,965,367,392423,0 128,2,2024-09-07 09:55:01:398,786912,786912,0,0,28762810,0,3171 128,3,2024-09-07 09:55:00:787,1,620,50,0,1082,9704,620,0 129,0,2024-09-07 09:55:01:110,155275,0.3,154453,0.5,309484,0.3,411261,1.50 129,1,2024-09-07 09:55:00:567,1086540,1086540,0,0,509663018521,5338823314,1076064,8454,2022,379,391962,0 129,2,2024-09-07 09:55:00:705,782298,782294,4,0,31280554,0,5335 129,3,2024-09-07 09:55:00:701,1,620,42,0,506,8390,620,0 130,0,2024-09-07 09:55:01:715,158714,0.4,158362,0.5,317113,0.4,422948,1.75 130,1,2024-09-07 09:55:00:582,1091323,1091323,0,0,512112792586,5316677784,1085868,5053,402,381,391825,0 130,2,2024-09-07 09:55:01:128,785563,785563,0,0,31601498,0,4067 130,3,2024-09-07 09:55:01:296,1,620,0,0,960,8356,620,0 131,0,2024-09-07 09:55:01:932,154934,0.3,155434,0.5,311666,0.3,413628,1.75 131,1,2024-09-07 09:55:01:828,1090030,1090030,0,0,511757817545,5331416170,1082793,6039,1198,381,391865,0 131,2,2024-09-07 09:55:00:567,787648,787648,0,0,29304501,0,3979 131,3,2024-09-07 09:55:01:690,1,620,1,0,392,7820,620,0 132,0,2024-09-07 09:55:01:431,155699,0.5,156597,0.6,311837,0.4,414419,2.00 132,1,2024-09-07 09:55:00:580,1085676,1085676,0,0,509272790220,5358649754,1070224,12748,2704,381,392532,0 132,2,2024-09-07 09:55:00:751,784786,784769,17,0,38119824,0,6451 132,3,2024-09-07 09:55:01:690,1,620,9,0,1298,10924,620,0 133,0,2024-09-07 09:55:01:542,150736,0.4,154240,0.6,316152,0.4,411727,2.00 133,1,2024-09-07 09:55:00:584,1086149,1086149,0,0,509390895417,5363467512,1072057,12328,1764,383,391914,0 133,2,2024-09-07 09:55:01:113,781313,781263,50,0,39069770,0,6861 133,3,2024-09-07 09:55:01:311,1,620,5,0,528,7374,620,0 134,0,2024-09-07 09:55:00:958,157343,0.5,157557,0.7,314911,0.4,419692,2.00 134,1,2024-09-07 09:55:00:588,1087553,1087553,0,0,509788027496,5343584303,1073761,11301,2491,366,391781,0 134,2,2024-09-07 09:55:01:757,783301,783277,24,0,36137256,0,6207 134,3,2024-09-07 09:55:00:749,1,620,1,0,739,7598,620,0 135,0,2024-09-07 09:55:01:101,152478,0.8,152454,0.8,323778,0.9,416848,2.25 135,1,2024-09-07 09:55:01:585,1086564,1086564,0,0,510664840787,5365366858,1073526,11397,1641,380,391974,0 135,2,2024-09-07 09:55:00:705,787370,787370,0,0,37112353,0,4503 135,3,2024-09-07 09:55:01:005,1,620,1,0,900,5937,620,0 136,0,2024-09-07 09:55:01:637,155688,0.6,156111,0.8,309915,0.6,414011,2.00 136,1,2024-09-07 09:55:01:443,1087799,1087799,0,0,510575940463,5348858166,1075880,10529,1390,381,392135,0 136,2,2024-09-07 09:55:01:134,786731,786716,15,0,36705175,0,6007 136,3,2024-09-07 09:55:01:106,1,620,5,0,637,7017,620,0 137,0,2024-09-07 09:55:00:945,158368,0.6,154410,0.7,302310,0.6,410927,2.00 137,1,2024-09-07 09:55:00:581,1086837,1086837,0,0,509899092066,5345857059,1070286,13161,3390,366,391898,0 137,2,2024-09-07 09:55:01:710,781619,781619,0,0,37720108,0,3185 137,3,2024-09-07 09:55:00:778,1,620,1,0,484,8356,620,0 138,0,2024-09-07 09:55:01:747,154399,0.7,154766,0.8,310076,0.7,412458,2.00 138,1,2024-09-07 09:55:01:703,1087445,1087445,0,0,510790940818,5355579072,1072653,12520,2272,368,391954,0 138,2,2024-09-07 09:55:00:586,780601,780601,0,0,35935542,0,4988 138,3,2024-09-07 09:55:00:626,1,620,6,0,1200,9575,620,0 139,0,2024-09-07 09:55:01:379,156997,1.0,157729,0.9,314918,1.3,420636,2.25 139,1,2024-09-07 09:55:00:576,1082764,1082764,0,0,507178017631,5375138188,1064365,14572,3827,380,392109,0 139,2,2024-09-07 09:55:00:711,781175,781145,30,0,41440408,0,5997 139,3,2024-09-07 09:55:01:673,1,620,1,0,432,7208,620,0 140,0,2024-09-07 09:55:01:591,154199,0.3,153407,0.5,307732,0.2,410653,1.75 140,1,2024-09-07 09:55:01:537,1093795,1093795,0,0,514162672534,5297797831,1088795,4452,548,364,391606,0 140,2,2024-09-07 09:55:00:691,786702,786701,1,0,29361102,0,5036 140,3,2024-09-07 09:55:00:767,1,620,20,0,575,6112,620,0 141,0,2024-09-07 09:55:01:704,153891,0.3,158234,0.5,302432,0.2,409211,1.50 141,1,2024-09-07 09:55:00:864,1091963,1091963,0,0,511933889436,5315677002,1083763,7007,1193,379,391614,0 141,2,2024-09-07 09:55:01:701,785424,785413,11,0,31420753,0,5369 141,3,2024-09-07 09:55:01:052,1,620,0,0,391,7020,620,0 142,0,2024-09-07 09:55:01:330,155196,0.3,154306,0.5,308908,0.2,412284,1.50 142,1,2024-09-07 09:55:00:589,1089949,1089949,0,0,510997907227,5324110957,1083215,6225,509,382,392102,0 142,2,2024-09-07 09:55:01:305,780519,780487,32,0,31902612,0,6028 142,3,2024-09-07 09:55:01:749,1,620,1,0,484,6441,620,0 143,0,2024-09-07 09:55:01:434,158294,0.4,158139,0.5,317395,0.4,422535,1.75 143,1,2024-09-07 09:55:00:560,1092025,1092025,0,0,512276073382,5311574482,1085550,5907,568,367,391900,0 143,2,2024-09-07 09:55:00:769,786897,786897,0,0,31918621,0,3123 143,3,2024-09-07 09:55:01:167,1,620,1,0,462,7353,620,0 144,0,2024-09-07 09:55:01:516,149397,0.6,153750,0.8,312797,0.6,409154,2.00 144,1,2024-09-07 09:55:00:565,1086946,1086946,0,0,509630956170,5345073732,1076342,8610,1994,381,391733,0 144,2,2024-09-07 09:55:01:756,787818,787818,0,0,31136540,0,4443 144,3,2024-09-07 09:55:01:744,1,620,1,0,249,6117,620,0 145,0,2024-09-07 09:55:01:365,149746,0.6,149677,0.8,317699,0.5,408848,2.25 145,1,2024-09-07 09:55:00:552,1085906,1085906,0,0,509647814320,5359215814,1071868,11362,2676,382,391781,0 145,2,2024-09-07 09:55:01:428,783412,783330,82,0,37048723,0,7814 145,3,2024-09-07 09:55:00:900,1,620,1,0,622,8128,620,0 146,0,2024-09-07 09:55:01:613,154014,0.5,153084,0.7,307974,0.5,408828,2.00 146,1,2024-09-07 09:55:01:586,1087214,1087214,0,0,509725078620,5360992015,1069671,13061,4482,367,391770,0 146,2,2024-09-07 09:55:01:706,779260,779254,6,0,35301133,0,5151 146,3,2024-09-07 09:55:01:276,1,620,27,0,1520,10011,620,0 147,0,2024-09-07 09:55:01:714,157500,0.5,157245,0.7,314497,0.5,419811,2.00 147,1,2024-09-07 09:55:01:385,1091026,1091026,0,0,512001435107,5317637669,1082524,7535,967,367,391791,0 147,2,2024-09-07 09:55:01:033,784232,784232,0,0,31457476,0,2968 147,3,2024-09-07 09:55:00:912,1,620,6,0,1626,9709,620,0 0,0,2024-09-07 09:55:11:706,150016,0.6,149999,0.7,318404,0.6,411284,2.00 0,1,2024-09-07 09:55:10:802,1089583,1089583,0,0,512093193066,5356852108,1081030,7604,949,368,391896,0 0,2,2024-09-07 09:55:11:067,783600,783600,0,0,31292329,0,4480 0,3,2024-09-07 09:55:10:978,1,621,12,0,431,8787,621,0 1,0,2024-09-07 09:55:11:744,156183,0.8,155110,0.9,311456,0.9,416700,2.00 1,1,2024-09-07 09:55:10:557,1088683,1088683,0,0,510208852751,5341034527,1079199,7993,1491,370,391859,0 1,2,2024-09-07 09:55:10:638,784512,784512,0,0,30902140,0,3380 1,3,2024-09-07 09:55:11:302,1,621,1,0,269,7610,621,0 2,0,2024-09-07 09:55:11:565,158968,0.6,158542,0.7,317147,0.6,423247,2.00 2,1,2024-09-07 09:55:10:859,1092131,1092131,0,0,512757218146,5328941047,1085950,5229,952,379,391745,0 2,2,2024-09-07 09:55:11:270,788593,788593,0,0,29508490,0,3594 2,3,2024-09-07 09:55:10:691,1,621,0,0,357,5462,621,0 3,0,2024-09-07 09:55:11:743,154784,0.4,154771,0.6,309078,0.4,412163,2.00 3,1,2024-09-07 09:55:11:618,1090973,1090973,0,0,512135705370,5323999497,1083348,6920,705,379,391716,0 3,2,2024-09-07 09:55:11:141,787423,787400,23,0,30465535,0,5851 3,3,2024-09-07 09:55:11:752,1,621,1,0,484,4694,621,0 4,0,2024-09-07 09:55:11:814,148923,0.4,153086,0.5,312064,0.3,408295,1.75 4,1,2024-09-07 09:55:10:591,1086098,1086098,0,0,509938519449,5391735684,1068280,14064,3754,369,391992,0 4,2,2024-09-07 09:55:11:019,782454,782454,0,0,37331496,0,4534 4,3,2024-09-07 09:55:11:027,1,621,2,0,448,7400,621,0 5,0,2024-09-07 09:55:11:384,154712,0.4,154619,0.5,309439,0.3,411893,1.75 5,1,2024-09-07 09:55:10:756,1087271,1087271,0,0,510249208602,5382770297,1070907,12234,4130,367,392005,0 5,2,2024-09-07 09:55:11:831,779732,779732,0,0,36111720,0,3582 5,3,2024-09-07 09:55:11:732,1,621,2,0,457,8459,621,0 6,0,2024-09-07 09:55:10:920,159386,0.4,158975,0.6,318041,0.4,423890,1.75 6,1,2024-09-07 09:55:10:746,1090028,1090028,0,0,510955926651,5333763514,1078947,9507,1574,379,391702,0 6,2,2024-09-07 09:55:11:120,787803,787785,18,0,35087365,0,5535 6,3,2024-09-07 09:55:11:274,1,621,1,0,710,7412,621,0 7,0,2024-09-07 09:55:11:529,152734,0.5,153772,0.7,305315,0.5,407671,2.00 7,1,2024-09-07 09:55:10:850,1087402,1087402,0,0,510107599326,5367025018,1071072,12564,3766,382,391747,0 7,2,2024-09-07 09:55:10:770,786517,786517,0,0,33763853,0,4791 7,3,2024-09-07 09:55:10:859,1,621,1,0,552,7160,621,0 8,0,2024-09-07 09:55:11:326,154473,0.4,154169,0.5,308723,0.3,411173,1.75 8,1,2024-09-07 09:55:11:025,1087029,1087029,0,0,510668043918,5367301229,1070275,13164,3590,366,392853,0 8,2,2024-09-07 09:55:10:790,781234,781232,2,0,40209017,0,5112 8,3,2024-09-07 09:55:10:584,1,621,1,0,772,9684,621,0 9,0,2024-09-07 09:55:11:113,154101,0.4,149892,0.5,313627,0.3,411213,1.75 9,1,2024-09-07 09:55:10:562,1086869,1086869,0,0,510004720607,5373090262,1070063,13576,3230,369,392001,0 9,2,2024-09-07 09:55:11:083,780493,780492,1,0,37881399,0,5281 9,3,2024-09-07 09:55:11:752,1,621,1,0,1273,10326,621,0 10,0,2024-09-07 09:55:11:599,158499,0.3,157812,0.5,316517,0.3,422260,1.75 10,1,2024-09-07 09:55:10:582,1088745,1088745,0,0,510736703857,5355796347,1073011,12670,3064,381,391981,0 10,2,2024-09-07 09:55:10:767,786104,786104,0,0,40824420,0,4713 10,3,2024-09-07 09:55:10:871,1,621,1,0,669,6852,621,0 11,0,2024-09-07 09:55:11:007,154837,0.4,150252,0.6,314366,0.4,413738,1.75 11,1,2024-09-07 09:55:10:571,1090100,1090100,0,0,511672464467,5364957173,1074141,11656,4303,383,391766,0 11,2,2024-09-07 09:55:11:123,786766,786766,0,0,36016513,0,4698 11,3,2024-09-07 09:55:11:298,1,621,1,0,843,7876,621,0 12,0,2024-09-07 09:55:10:936,156668,0.4,156475,0.5,312605,0.4,415323,1.75 12,1,2024-09-07 09:55:10:933,1090199,1090199,0,0,510876169186,5325536907,1081797,7393,1009,370,391960,0 12,2,2024-09-07 09:55:11:548,784926,784926,0,0,34755491,0,4390 12,3,2024-09-07 09:55:11:058,1,621,1,0,386,7653,621,0 13,0,2024-09-07 09:55:11:332,155617,0.4,155642,0.5,310410,0.3,413383,1.75 13,1,2024-09-07 09:55:11:524,1087528,1087528,0,0,510659281631,5364549421,1076626,8512,2390,382,391803,0 13,2,2024-09-07 09:55:10:595,784028,784028,0,0,31015354,0,3287 13,3,2024-09-07 09:55:11:762,1,621,1,0,522,8076,621,0 14,0,2024-09-07 09:55:10:562,157438,0.4,158502,0.6,314508,0.3,419446,1.75 14,1,2024-09-07 09:55:11:561,1094939,1094939,0,0,513359740833,5309394115,1086476,7334,1129,364,391673,0 14,2,2024-09-07 09:55:10:769,785645,785615,30,0,32467589,0,6104 14,3,2024-09-07 09:55:11:119,1,621,0,0,1168,6705,621,0 15,0,2024-09-07 09:55:11:572,157678,0.4,156734,0.6,313947,0.4,418373,2.00 15,1,2024-09-07 09:55:11:608,1091823,1091823,0,0,512433199009,5333780770,1082746,7164,1913,381,391619,0 15,2,2024-09-07 09:55:11:002,789556,789556,0,0,28331380,0,3622 15,3,2024-09-07 09:55:11:406,1,621,0,0,1126,8137,621,0 16,0,2024-09-07 09:55:10:930,155368,0.6,156020,0.8,311340,0.6,414608,2.00 16,1,2024-09-07 09:55:10:580,1091395,1091395,0,0,511415423157,5343628439,1081941,8009,1445,370,392194,0 16,2,2024-09-07 09:55:11:434,785922,785922,0,0,31982682,0,4719 16,3,2024-09-07 09:55:11:142,1,621,0,0,358,7681,621,0 17,0,2024-09-07 09:55:11:760,158481,0.6,154478,0.7,302606,0.5,411623,2.00 17,1,2024-09-07 09:55:10:580,1089361,1089361,0,0,511248735808,5358425327,1079058,8530,1773,368,392075,0 17,2,2024-09-07 09:55:11:665,786610,786609,1,0,33227155,0,5050 17,3,2024-09-07 09:55:10:575,1,621,3,0,518,8872,621,0 18,0,2024-09-07 09:55:10:950,154131,0.6,155102,0.8,308619,0.6,412714,2.25 18,1,2024-09-07 09:55:11:643,1093806,1093806,0,0,512570517871,5313259345,1086732,5817,1257,367,391725,0 18,2,2024-09-07 09:55:11:754,785832,785832,0,0,29658469,0,3541 18,3,2024-09-07 09:55:10:896,1,621,1,0,1059,5611,621,0 19,0,2024-09-07 09:55:11:539,158111,0.6,158475,0.8,316038,0.6,420159,2.00 19,1,2024-09-07 09:55:10:570,1093720,1093720,0,0,513534938496,5319758439,1085896,6691,1133,365,391777,0 19,2,2024-09-07 09:55:11:751,790780,790780,0,0,28018188,0,3988 19,3,2024-09-07 09:55:11:133,1,621,6,0,524,4663,621,0 20,0,2024-09-07 09:55:11:354,153893,0.6,153806,0.7,307461,0.5,410243,2.00 20,1,2024-09-07 09:55:10:571,1089254,1089254,0,0,511310528711,5355291586,1078454,9290,1510,369,391922,0 20,2,2024-09-07 09:55:10:927,786191,786191,0,0,34933570,0,4321 20,3,2024-09-07 09:55:10:589,1,621,1,0,468,9814,621,0 21,0,2024-09-07 09:55:11:128,153877,0.5,153961,0.6,307748,0.4,408579,2.00 21,1,2024-09-07 09:55:11:560,1087324,1087324,0,0,509560594242,5375007312,1070437,13050,3837,368,392016,0 21,2,2024-09-07 09:55:11:066,779839,779362,477,0,45374843,0,17074 21,3,2024-09-07 09:55:11:405,1,621,1,0,713,8739,621,0 22,0,2024-09-07 09:55:11:717,154308,0.4,154977,0.6,309388,0.4,410621,2.00 22,1,2024-09-07 09:55:11:023,1087993,1087993,0,0,509630152203,5360270807,1070174,14279,3540,381,391822,0 22,2,2024-09-07 09:55:10:760,780901,780875,26,0,33058010,0,6328 22,3,2024-09-07 09:55:11:066,1,621,4,0,228,5283,621,0 23,0,2024-09-07 09:55:11:376,158321,0.5,158090,0.6,316175,0.4,421933,2.00 23,1,2024-09-07 09:55:11:009,1088351,1088351,0,0,511121608899,5381282738,1068867,12906,6578,365,391690,0 23,2,2024-09-07 09:55:11:098,787990,787990,0,0,31842759,0,3773 23,3,2024-09-07 09:55:11:754,1,621,9,0,855,8927,621,0 24,0,2024-09-07 09:55:10:812,155074,0.4,154280,0.6,310134,0.4,411637,1.75 24,1,2024-09-07 09:55:10:582,1089025,1089025,0,0,509974709090,5336047203,1078892,8423,1710,367,392269,0 24,2,2024-09-07 09:55:11:068,786951,786948,3,0,38609177,0,6294 24,3,2024-09-07 09:55:11:688,1,621,7,0,468,7925,621,0 25,0,2024-09-07 09:55:11:350,159114,0.4,154915,0.6,304286,0.4,414124,2.00 25,1,2024-09-07 09:55:10:569,1087029,1087029,0,0,509450757351,5379789309,1068254,15060,3715,369,391928,0 25,2,2024-09-07 09:55:11:605,783977,783977,0,0,39187393,0,3978 25,3,2024-09-07 09:55:10:999,1,621,20,0,532,6643,621,0 26,0,2024-09-07 09:55:11:729,153266,0.4,149792,0.6,314588,0.3,409349,1.75 26,1,2024-09-07 09:55:11:546,1090434,1090434,0,0,511380822669,5350481956,1076096,11805,2533,380,391758,0 26,2,2024-09-07 09:55:10:861,781412,781412,0,0,41693940,0,4689 26,3,2024-09-07 09:55:11:714,1,621,1,0,796,7592,621,0 27,0,2024-09-07 09:55:11:722,157814,0.4,158503,0.6,315071,0.3,420735,2.25 27,1,2024-09-07 09:55:11:676,1091825,1091825,0,0,512530435671,5338696477,1081423,8793,1609,381,391626,0 27,2,2024-09-07 09:55:10:868,782464,782399,65,0,36559330,0,5699 27,3,2024-09-07 09:55:11:017,1,621,0,0,564,5275,621,0 28,0,2024-09-07 09:55:11:407,156318,0.4,156531,0.6,313092,0.3,416587,1.75 28,1,2024-09-07 09:55:10:806,1092017,1092017,0,0,512152146133,5336308788,1083069,7057,1891,382,391904,0 28,2,2024-09-07 09:55:11:764,788664,788664,0,0,31542591,0,2915 28,3,2024-09-07 09:55:11:776,1,621,4,0,502,6184,621,0 29,0,2024-09-07 09:55:11:388,160203,0.4,156085,0.6,306233,0.3,417139,1.75 29,1,2024-09-07 09:55:11:607,1095184,1095184,0,0,513566416966,5308847339,1088821,5586,777,367,391809,0 29,2,2024-09-07 09:55:10:860,786432,786432,0,0,30558810,0,4986 29,3,2024-09-07 09:55:10:965,1,621,1,0,459,6555,621,0 30,0,2024-09-07 09:55:11:456,153601,0.5,149459,0.6,313136,0.4,409291,2.00 30,1,2024-09-07 09:55:10:572,1093842,1093842,0,0,513174838920,5321476611,1086266,6665,911,380,391672,0 30,2,2024-09-07 09:55:11:273,784126,784126,0,0,29065126,0,4192 30,3,2024-09-07 09:55:10:581,1,621,2,0,519,5736,621,0 31,0,2024-09-07 09:55:11:758,155842,0.4,156829,0.6,312580,0.3,417354,1.75 31,1,2024-09-07 09:55:10:564,1097846,1097846,0,0,515140282321,5287501202,1091386,5386,1074,356,391712,0 31,2,2024-09-07 09:55:11:275,783804,783804,0,0,32794832,0,4470 31,3,2024-09-07 09:55:11:706,1,621,1,0,239,5268,621,0 32,0,2024-09-07 09:55:11:415,159102,0.3,160215,0.5,318867,0.3,424694,1.75 32,1,2024-09-07 09:55:10:804,1093740,1093740,0,0,512627129303,5324511340,1087542,5480,718,381,391646,0 32,2,2024-09-07 09:55:10:934,789852,789852,0,0,28891748,0,3922 32,3,2024-09-07 09:55:11:019,1,621,3,0,304,5019,621,0 33,0,2024-09-07 09:55:11:537,155391,0.3,155019,0.4,310262,0.2,413076,1.50 33,1,2024-09-07 09:55:10:581,1094254,1094254,0,0,514292192314,5324071292,1085799,7182,1273,368,391730,0 33,2,2024-09-07 09:55:10:769,787450,787415,35,0,31959657,0,7012 33,3,2024-09-07 09:55:10:896,1,621,1,0,329,5368,621,0 34,0,2024-09-07 09:55:10:931,153641,0.3,157805,0.5,301917,0.2,407566,1.75 34,1,2024-09-07 09:55:11:045,1096121,1096121,0,0,514488346142,5290403858,1093157,2853,111,367,391637,0 34,2,2024-09-07 09:55:10:765,786288,786288,0,0,30423490,0,4562 34,3,2024-09-07 09:55:11:694,1,621,1,0,541,5538,621,0 35,0,2024-09-07 09:55:10:857,153831,0.3,154637,0.5,310096,0.2,412388,1.75 35,1,2024-09-07 09:55:11:066,1092146,1092146,0,0,513023722993,5321957932,1084035,6588,1523,382,391769,0 35,2,2024-09-07 09:55:11:583,782917,782917,0,0,33671324,0,4055 35,3,2024-09-07 09:55:10:907,1,621,1,0,466,5734,621,0 36,0,2024-09-07 09:55:11:548,159566,0.5,159698,0.6,318814,0.4,424581,2.00 36,1,2024-09-07 09:55:10:583,1091496,1091496,0,0,512275363551,5345897436,1077913,11342,2241,366,391759,0 36,2,2024-09-07 09:55:11:751,788210,788210,0,0,34860751,0,3875 36,3,2024-09-07 09:55:10:867,1,621,5,0,556,8341,621,0 37,0,2024-09-07 09:55:11:380,152560,0.5,152781,0.7,305732,0.5,407900,2.25 37,1,2024-09-07 09:55:10:570,1090665,1090658,0,7,511518675344,5338514479,1077999,9745,2914,365,391770,0 37,2,2024-09-07 09:55:11:141,784772,784757,15,0,34750573,0,5815 37,3,2024-09-07 09:55:11:766,1,621,26,0,888,8155,621,0 38,0,2024-09-07 09:55:11:438,153332,0.4,148609,0.6,310710,0.4,406880,2.00 38,1,2024-09-07 09:55:11:605,1090897,1090897,0,0,513114661745,5363099306,1075563,12334,3000,368,391821,0 38,2,2024-09-07 09:55:10:766,785714,785667,47,0,34932453,0,6710 38,3,2024-09-07 09:55:10:997,1,621,1,0,689,7453,621,0 39,0,2024-09-07 09:55:11:764,157572,0.5,154051,0.7,300443,0.5,410216,2.00 39,1,2024-09-07 09:55:10:719,1090001,1090001,0,0,510923952123,5352321505,1072552,13734,3715,365,391865,0 39,2,2024-09-07 09:55:11:417,781614,781614,0,0,33056198,0,3391 39,3,2024-09-07 09:55:10:718,1,621,1,0,525,6907,621,0 40,0,2024-09-07 09:55:11:491,156922,0.8,157729,0.9,314870,0.9,420559,2.75 40,1,2024-09-07 09:55:10:577,1090579,1090579,0,0,510638571074,5346264809,1074967,12543,3069,366,391668,0 40,2,2024-09-07 09:55:11:302,783998,783991,7,0,39527187,0,5347 40,3,2024-09-07 09:55:11:143,1,621,1,0,1028,8993,621,0 41,0,2024-09-07 09:55:11:029,154098,1.3,157737,1.1,300751,1.9,408437,3.00 41,1,2024-09-07 09:55:10:767,1089543,1089543,0,0,511577247094,5348768853,1075763,11620,2160,369,391878,0 41,2,2024-09-07 09:55:10:769,784264,784263,1,0,37798594,0,5408 41,3,2024-09-07 09:55:11:676,1,621,1,0,366,6686,621,0 42,0,2024-09-07 09:55:11:478,154536,0.9,154168,1.0,308442,1.1,409110,2.75 42,1,2024-09-07 09:55:11:441,1087573,1087573,0,0,509672701823,5353143821,1070987,13653,2933,380,391675,0 42,2,2024-09-07 09:55:11:134,784411,784410,1,0,38240386,0,5513 42,3,2024-09-07 09:55:11:009,1,621,1,0,892,6032,621,0 43,0,2024-09-07 09:55:10:921,153184,0.7,149104,0.9,312321,0.7,408260,2.25 43,1,2024-09-07 09:55:10:580,1091197,1091197,0,0,511414360409,5340935347,1076455,12170,2572,366,391696,0 43,2,2024-09-07 09:55:11:736,782242,782242,0,0,35928046,0,4723 43,3,2024-09-07 09:55:11:750,1,621,0,0,571,8882,621,0 44,0,2024-09-07 09:55:10:865,157704,0.4,157601,0.6,315106,0.3,419939,1.75 44,1,2024-09-07 09:55:10:563,1094503,1094503,0,0,512022013752,5290373678,1085128,7433,1942,356,391809,0 44,2,2024-09-07 09:55:11:269,783739,783739,0,0,29374823,0,4344 44,3,2024-09-07 09:55:11:093,1,621,1,0,1097,7512,621,0 45,0,2024-09-07 09:55:11:758,155703,0.5,152159,0.7,319359,0.4,418446,2.00 45,1,2024-09-07 09:55:11:013,1092723,1092723,0,0,513015663456,5327957331,1084467,7353,903,382,391917,0 45,2,2024-09-07 09:55:11:269,788812,788812,0,0,29677370,0,3596 45,3,2024-09-07 09:55:10:933,1,621,1,0,531,5868,621,0 46,0,2024-09-07 09:55:10:950,154629,0.6,154158,0.8,309361,0.5,411351,2.00 46,1,2024-09-07 09:55:10:576,1095257,1095257,0,0,513321903023,5303714775,1087761,6508,988,366,391709,0 46,2,2024-09-07 09:55:10:592,788071,788071,0,0,30357270,0,4443 46,3,2024-09-07 09:55:11:133,1,621,1,0,908,7295,621,0 47,0,2024-09-07 09:55:11:106,154213,0.4,154018,0.6,309390,0.3,410058,1.75 47,1,2024-09-07 09:55:10:570,1096035,1096035,0,0,513785804536,5304742912,1089933,5239,863,364,391641,0 47,2,2024-09-07 09:55:10:908,787412,787412,0,0,29279601,0,4477 47,3,2024-09-07 09:55:11:124,1,621,0,0,600,6736,621,0 48,0,2024-09-07 09:55:11:507,155846,0.3,156061,0.4,311556,0.2,414568,1.50 48,1,2024-09-07 09:55:11:022,1093175,1093175,0,0,512106644155,5315609701,1087068,5529,578,381,391710,0 48,2,2024-09-07 09:55:10:701,784236,784236,0,0,27822455,0,3524 48,3,2024-09-07 09:55:10:768,1,621,0,0,339,5427,621,0 49,0,2024-09-07 09:55:11:721,163171,0.3,159732,0.5,310869,0.3,424571,1.75 49,1,2024-09-07 09:55:11:022,1092414,1092414,0,0,512262110588,5325933879,1085139,5579,1696,382,391809,0 49,2,2024-09-07 09:55:11:809,789272,789272,0,0,30097197,0,4426 49,3,2024-09-07 09:55:11:415,1,621,0,0,992,7240,621,0 50,0,2024-09-07 09:55:11:511,154644,0.3,153037,0.5,308277,0.2,410270,1.75 50,1,2024-09-07 09:55:11:010,1095165,1095165,0,0,514268425431,5313386644,1088637,5859,669,368,391691,0 50,2,2024-09-07 09:55:11:066,785890,785890,0,0,27823662,0,4490 50,3,2024-09-07 09:55:11:291,1,621,18,0,617,6248,621,0 51,0,2024-09-07 09:55:11:692,157882,0.3,154538,0.5,300875,0.2,410246,1.75 51,1,2024-09-07 09:55:11:685,1095420,1095420,0,0,514299108308,5300441364,1089757,4582,1081,365,391706,0 51,2,2024-09-07 09:55:11:314,786099,786099,0,0,27335939,0,3337 51,3,2024-09-07 09:55:11:027,1,621,1,0,678,4442,621,0 52,0,2024-09-07 09:55:11:415,155078,0.5,154745,0.6,309771,0.4,411682,2.00 52,1,2024-09-07 09:55:10:577,1090793,1090793,0,0,511955459305,5354931810,1075643,12819,2331,368,391805,0 52,2,2024-09-07 09:55:11:755,779285,779247,38,0,36882188,0,6742 52,3,2024-09-07 09:55:10:675,1,621,2,0,1782,7135,621,0 53,0,2024-09-07 09:55:11:740,158090,0.6,153524,0.8,321183,0.6,420999,2.25 53,1,2024-09-07 09:55:10:780,1089167,1089167,0,0,511356283628,5362263712,1070973,13795,4399,367,391968,0 53,2,2024-09-07 09:55:11:297,787728,787727,1,0,33201087,0,5455 53,3,2024-09-07 09:55:10:701,1,621,1,0,308,5697,621,0 54,0,2024-09-07 09:55:11:618,152436,0.6,152550,0.8,304048,0.5,406090,2.25 54,1,2024-09-07 09:55:10:585,1092748,1092748,0,0,512718912317,5329720531,1081628,9449,1671,366,391810,0 54,2,2024-09-07 09:55:10:878,787954,787922,32,0,36979862,0,6397 54,3,2024-09-07 09:55:10:775,1,621,2,0,676,7890,621,0 55,0,2024-09-07 09:55:11:761,149377,0.6,154178,0.8,312416,0.6,406770,2.50 55,1,2024-09-07 09:55:10:769,1093006,1093006,0,0,512778827983,5329654442,1082138,9479,1389,365,391731,0 55,2,2024-09-07 09:55:10:730,784845,784789,56,0,34348585,0,7239 55,3,2024-09-07 09:55:10:674,1,621,1,0,304,5618,621,0 56,0,2024-09-07 09:55:11:584,156938,1.1,147916,1.1,304930,1.4,407988,2.75 56,1,2024-09-07 09:55:10:570,1085837,1085837,0,0,510130791448,5392390022,1067837,14311,3689,381,391867,0 56,2,2024-09-07 09:55:11:305,780501,780379,122,0,36718099,0,7432 56,3,2024-09-07 09:55:11:060,1,621,0,0,705,7147,621,0 57,0,2024-09-07 09:55:10:951,156220,1.3,155836,1.2,312167,1.8,417129,3.00 57,1,2024-09-07 09:55:10:989,1088502,1088502,0,0,509801400566,5348972168,1074029,11982,2491,366,392032,0 57,2,2024-09-07 09:55:11:321,784780,784780,0,0,38561536,0,4804 57,3,2024-09-07 09:55:11:744,1,621,2,0,455,7130,621,0 58,0,2024-09-07 09:55:10:598,153877,1.0,149466,1.0,312859,1.2,408942,2.75 58,1,2024-09-07 09:55:10:579,1089792,1089789,0,3,511926682943,5363472177,1073635,12250,3904,367,391726,3 58,2,2024-09-07 09:55:11:081,788290,788290,0,0,36091617,0,3483 58,3,2024-09-07 09:55:11:068,1,621,1,0,1043,6892,621,0 59,0,2024-09-07 09:55:11:740,154333,0.9,153897,1.0,307546,1.0,408215,3.00 59,1,2024-09-07 09:55:10:805,1088884,1088884,0,0,511470782154,5372126324,1071030,14186,3668,369,391653,0 59,2,2024-09-07 09:55:10:583,786507,786507,0,0,34214244,0,3727 59,3,2024-09-07 09:55:11:737,1,621,1,0,1015,7506,621,0 60,0,2024-09-07 09:55:11:705,154308,0.5,154332,0.7,309049,0.5,411626,1.75 60,1,2024-09-07 09:55:10:773,1093643,1093643,0,0,513342136958,5332182086,1085683,6871,1089,370,392031,0 60,2,2024-09-07 09:55:11:142,783423,783423,0,0,32103868,0,3811 60,3,2024-09-07 09:55:11:258,1,621,1,0,409,7104,621,0 61,0,2024-09-07 09:55:11:496,156119,0.6,156704,0.7,311839,0.6,416703,2.00 61,1,2024-09-07 09:55:10:772,1091021,1091021,0,0,511551430092,5351887712,1079881,9458,1682,382,392127,0 61,2,2024-09-07 09:55:11:117,784744,784677,67,0,32841663,0,6411 61,3,2024-09-07 09:55:11:687,1,621,1,0,607,7917,621,0 62,0,2024-09-07 09:55:11:707,159662,0.6,163693,0.7,312729,0.6,424641,2.00 62,1,2024-09-07 09:55:11:112,1097117,1097111,0,6,515025054594,5307102489,1092139,4673,299,365,391975,6 62,2,2024-09-07 09:55:11:645,786244,786243,1,0,32859664,0,5555 62,3,2024-09-07 09:55:11:142,1,621,1,0,482,4911,621,0 63,0,2024-09-07 09:55:11:451,155507,0.4,155194,0.6,310860,0.3,413453,1.75 63,1,2024-09-07 09:55:10:804,1093573,1093567,0,6,513249691266,5326876107,1086718,5994,855,381,391800,6 63,2,2024-09-07 09:55:10:766,786988,786988,0,0,31153047,0,4369 63,3,2024-09-07 09:55:11:732,1,621,45,0,667,6281,621,0 64,0,2024-09-07 09:55:11:529,152742,0.5,152590,0.6,304871,0.4,406278,2.00 64,1,2024-09-07 09:55:10:752,1092143,1092143,0,0,512281516300,5332611353,1082792,7372,1979,370,391794,0 64,2,2024-09-07 09:55:11:151,788958,788939,19,0,30163166,0,6121 64,3,2024-09-07 09:55:11:142,1,621,0,0,651,7032,621,0 65,0,2024-09-07 09:55:11:681,153437,0.6,153736,0.7,307350,0.6,409873,2.00 65,1,2024-09-07 09:55:10:875,1090587,1090587,0,0,511070024501,5338608437,1083095,6617,875,381,391901,0 65,2,2024-09-07 09:55:11:694,782091,782091,0,0,36319820,0,3367 65,3,2024-09-07 09:55:11:683,1,621,1,0,782,6721,621,0 66,0,2024-09-07 09:55:11:762,158626,0.5,158204,0.7,316579,0.5,421449,2.00 66,1,2024-09-07 09:55:11:292,1092732,1092732,0,0,512220329057,5326766608,1086229,5781,722,380,391743,0 66,2,2024-09-07 09:55:11:135,790408,790405,3,0,32218171,0,5455 66,3,2024-09-07 09:55:11:078,1,621,1,0,291,5143,621,0 67,0,2024-09-07 09:55:11:434,153315,0.5,152839,0.7,306746,0.5,408457,2.00 67,1,2024-09-07 09:55:10:772,1092366,1092365,0,1,512452431964,5332397590,1084965,6455,945,380,391787,1 67,2,2024-09-07 09:55:10:587,790287,790272,15,0,32133625,0,6205 67,3,2024-09-07 09:55:11:750,1,621,2,0,595,6352,621,0 68,0,2024-09-07 09:55:10:574,154195,0.6,153954,0.7,306708,0.5,410222,2.00 68,1,2024-09-07 09:55:10:571,1088361,1088361,0,0,510939975887,5367588496,1074549,10281,3531,381,391953,0 68,2,2024-09-07 09:55:11:044,782371,782271,100,0,40088592,0,8578 68,3,2024-09-07 09:55:10:729,1,621,1,0,417,7832,621,0 69,0,2024-09-07 09:55:11:724,153554,0.6,154239,0.8,307643,0.6,409020,2.25 69,1,2024-09-07 09:55:11:020,1087454,1087454,0,0,510805840121,5378885992,1073586,11033,2835,383,391994,0 69,2,2024-09-07 09:55:11:733,778932,778903,29,0,42620121,0,6912 69,3,2024-09-07 09:55:10:760,1,621,5,0,698,8722,621,0 70,0,2024-09-07 09:55:11:532,156740,0.7,157420,0.9,316113,0.6,419353,2.25 70,1,2024-09-07 09:55:10:806,1093917,1093917,0,0,513415615360,5323832660,1085995,7113,809,366,391725,0 70,2,2024-09-07 09:55:11:331,786867,786867,0,0,35142491,0,4323 70,3,2024-09-07 09:55:10:745,1,621,2,0,854,6775,621,0 71,0,2024-09-07 09:55:11:373,153815,0.9,153460,1.0,308077,1.2,410647,2.50 71,1,2024-09-07 09:55:11:596,1091405,1091405,0,0,511793505861,5338013834,1078401,11383,1621,368,391738,0 71,2,2024-09-07 09:55:11:066,787113,787113,0,0,35417281,0,4352 71,3,2024-09-07 09:55:11:750,1,621,1,0,644,6859,621,0 72,0,2024-09-07 09:55:11:033,160556,0.6,156786,0.7,306225,0.5,416262,2.00 72,1,2024-09-07 09:55:11:021,1089914,1089914,0,0,510705212358,5353928787,1074603,12791,2520,369,391819,0 72,2,2024-09-07 09:55:11:755,784291,784291,0,0,37126417,0,3983 72,3,2024-09-07 09:55:11:754,1,621,1,0,564,8977,621,0 73,0,2024-09-07 09:55:11:161,150632,0.4,154567,0.6,315910,0.4,410903,2.00 73,1,2024-09-07 09:55:10:777,1091290,1091290,0,0,512616171897,5326350110,1082879,7429,982,367,391858,0 73,2,2024-09-07 09:55:11:744,781775,781774,1,0,38753202,0,5027 73,3,2024-09-07 09:55:10:982,1,621,1,0,1091,8513,621,0 74,0,2024-09-07 09:55:11:326,158410,0.4,162362,0.6,309726,0.4,420302,2.00 74,1,2024-09-07 09:55:10:635,1090212,1090212,0,0,511667814973,5339714124,1078300,9794,2118,381,391762,0 74,2,2024-09-07 09:55:11:001,783709,783709,0,0,33795720,0,4253 74,3,2024-09-07 09:55:11:442,1,621,1,0,522,7485,621,0 75,0,2024-09-07 09:55:11:780,157769,0.5,156865,0.7,314636,0.5,419852,2.25 75,1,2024-09-07 09:55:11:585,1091035,1091035,0,0,512362582194,5336710254,1082407,7758,870,380,391739,0 75,2,2024-09-07 09:55:11:354,786708,786708,0,0,40988802,0,4766 75,3,2024-09-07 09:55:11:066,1,621,1,0,918,8279,621,0 76,0,2024-09-07 09:55:10:578,155023,0.6,154429,0.8,308783,0.5,413054,2.25 76,1,2024-09-07 09:55:10:805,1091209,1091209,0,0,511833893131,5334720744,1083870,6348,991,382,391790,0 76,2,2024-09-07 09:55:11:061,788942,788939,3,0,33225316,0,5265 76,3,2024-09-07 09:55:11:142,1,621,7,0,227,5686,621,0 77,0,2024-09-07 09:55:11:693,153376,0.5,153929,0.7,307680,0.5,409272,2.00 77,1,2024-09-07 09:55:10:828,1091083,1091083,0,0,511832380353,5336502157,1083089,7114,880,381,391869,0 77,2,2024-09-07 09:55:11:285,783282,783282,0,0,32235391,0,3890 77,3,2024-09-07 09:55:11:095,1,621,2,0,401,7006,621,0 78,0,2024-09-07 09:55:11:731,156164,0.4,155476,0.6,311841,0.4,413105,2.00 78,1,2024-09-07 09:55:10:615,1091761,1091761,0,0,511765796739,5334986183,1078914,9930,2917,367,391670,0 78,2,2024-09-07 09:55:11:414,784672,784659,13,0,30325293,0,8313 78,3,2024-09-07 09:55:11:133,1,621,1,0,311,5129,621,0 79,0,2024-09-07 09:55:11:399,153297,0.4,157031,0.6,321711,0.3,419384,2.00 79,1,2024-09-07 09:55:10:575,1095300,1095300,0,0,513479671846,5312382802,1087451,6489,1360,367,391682,0 79,2,2024-09-07 09:55:11:067,788627,788627,0,0,29389266,0,4195 79,3,2024-09-07 09:55:10:759,1,621,5,0,418,7195,621,0 80,0,2024-09-07 09:55:11:075,153864,0.6,158060,0.7,302094,0.6,409289,2.00 80,1,2024-09-07 09:55:11:619,1091099,1091099,0,0,512257547798,5331221696,1083937,6710,452,368,392269,0 80,2,2024-09-07 09:55:11:093,789277,789277,0,0,29901950,0,4433 80,3,2024-09-07 09:55:10:576,1,621,2,0,681,7445,621,0 81,0,2024-09-07 09:55:11:545,153803,0.5,157405,0.7,300464,0.5,407515,2.00 81,1,2024-09-07 09:55:11:650,1090400,1090400,0,0,511271717152,5339432868,1082230,7402,768,382,391885,0 81,2,2024-09-07 09:55:11:125,785396,785333,63,0,33158638,0,5932 81,3,2024-09-07 09:55:11:117,1,621,0,0,719,7049,621,0 82,0,2024-09-07 09:55:11:532,154422,0.4,154522,0.6,309866,0.4,410785,2.00 82,1,2024-09-07 09:55:10:583,1092482,1092478,0,4,512691347657,5326360488,1086902,4751,825,381,391768,4 82,2,2024-09-07 09:55:11:694,784716,784716,0,0,28088412,0,4484 82,3,2024-09-07 09:55:11:752,1,621,1,0,363,5729,621,0 83,0,2024-09-07 09:55:11:522,158790,0.5,158493,0.7,316918,0.5,421229,2.00 83,1,2024-09-07 09:55:10:560,1091589,1091589,0,0,511726758637,5331640333,1083997,6947,645,382,391709,0 83,2,2024-09-07 09:55:10:771,787879,787854,25,0,30279927,0,5612 83,3,2024-09-07 09:55:10:758,1,621,2,0,1260,7251,621,0 84,0,2024-09-07 09:55:11:772,152645,0.8,152693,0.9,305239,0.8,407719,2.25 84,1,2024-09-07 09:55:11:040,1089439,1089439,0,0,510847508920,5339748438,1077174,10592,1673,367,391967,0 84,2,2024-09-07 09:55:10:570,786063,785653,410,0,43980388,0,17037 84,3,2024-09-07 09:55:11:141,1,621,1,0,908,8172,621,0 85,0,2024-09-07 09:55:11:005,148698,0.6,148691,0.8,315678,0.6,407197,2.25 85,1,2024-09-07 09:55:10:562,1086469,1086469,0,0,510021528934,5381332584,1070137,13530,2802,381,392092,0 85,2,2024-09-07 09:55:10:869,785070,785070,0,0,37125271,0,4255 85,3,2024-09-07 09:55:10:685,1,621,1,0,789,7069,621,0 86,0,2024-09-07 09:55:10:895,153769,0.6,158071,0.8,302310,0.7,408516,2.25 86,1,2024-09-07 09:55:10:835,1089654,1089654,0,0,511324411568,5358118602,1075733,11234,2687,366,392169,0 86,2,2024-09-07 09:55:10:874,780778,780777,1,0,39361824,0,5004 86,3,2024-09-07 09:55:10:587,1,621,2,0,308,8678,621,0 87,0,2024-09-07 09:55:11:337,157994,0.7,157276,0.8,314569,0.8,420671,2.25 87,1,2024-09-07 09:55:10:564,1088774,1088774,0,0,510663352831,5346871350,1075384,11591,1799,366,392076,0 87,2,2024-09-07 09:55:11:072,784534,784528,6,0,35747682,0,6323 87,3,2024-09-07 09:55:11:809,1,621,1,0,473,8736,621,0 88,0,2024-09-07 09:55:11:465,156163,0.5,156772,0.6,313050,0.4,416463,1.75 88,1,2024-09-07 09:55:10:570,1088113,1088113,0,0,510623345884,5350430396,1072708,12357,3048,365,392084,0 88,2,2024-09-07 09:55:10:692,787074,787074,0,0,38809224,0,4465 88,3,2024-09-07 09:55:11:270,1,621,1,0,1080,9435,621,0 89,0,2024-09-07 09:55:11:763,160130,0.5,155310,0.6,306474,0.4,416759,1.75 89,1,2024-09-07 09:55:10:553,1087770,1087770,0,0,510238426910,5363875229,1074774,11049,1947,382,391866,0 89,2,2024-09-07 09:55:11:135,786150,786150,0,0,36565816,0,3173 89,3,2024-09-07 09:55:11:794,1,621,8,0,468,10188,621,0 90,0,2024-09-07 09:55:11:625,149774,0.5,153856,0.6,313784,0.4,409544,2.00 90,1,2024-09-07 09:55:10:590,1089776,1089776,0,0,510199819649,5341485399,1079671,9208,897,380,391825,0 90,2,2024-09-07 09:55:11:410,779409,779404,5,0,40007542,0,6370 90,3,2024-09-07 09:55:10:955,1,621,5,0,322,7401,621,0 91,0,2024-09-07 09:55:10:954,156572,0.4,151991,0.6,318076,0.4,417215,1.75 91,1,2024-09-07 09:55:10:568,1087304,1087304,0,0,510599810028,5377904754,1071436,12720,3148,381,392047,0 91,2,2024-09-07 09:55:11:332,784103,784103,0,0,35204751,0,4713 91,3,2024-09-07 09:55:10:616,1,621,5,0,216,5488,621,0 92,0,2024-09-07 09:55:11:442,160738,0.4,164342,0.6,313256,0.4,424910,1.75 92,1,2024-09-07 09:55:10:584,1090543,1090543,0,0,511848760913,5343698470,1082167,7088,1288,381,392136,0 92,2,2024-09-07 09:55:11:349,788399,788399,0,0,31000481,0,3259 92,3,2024-09-07 09:55:11:010,1,621,5,0,167,5269,621,0 93,0,2024-09-07 09:55:10:977,155940,0.4,159656,0.6,304923,0.4,413372,1.75 93,1,2024-09-07 09:55:10:806,1091427,1091427,0,0,512412901275,5345494190,1079698,9793,1936,365,392048,0 93,2,2024-09-07 09:55:10:928,786539,786539,0,0,36757472,0,4913 93,3,2024-09-07 09:55:11:406,1,621,2,0,375,6355,621,0 94,0,2024-09-07 09:55:11:621,152758,0.4,153903,0.5,307749,0.3,408600,1.75 94,1,2024-09-07 09:55:10:575,1091964,1091964,0,0,512073471426,5337646610,1085001,6528,435,381,391850,0 94,2,2024-09-07 09:55:10:763,785104,785076,28,0,31582979,0,6179 94,3,2024-09-07 09:55:11:696,1,621,17,0,576,7650,621,0 95,0,2024-09-07 09:55:11:674,154704,0.3,154555,0.5,309493,0.3,412513,1.75 95,1,2024-09-07 09:55:10:909,1092399,1092399,0,0,512683908726,5325274743,1083947,7700,752,365,391852,0 95,2,2024-09-07 09:55:11:030,781951,781951,0,0,31225246,0,3308 95,3,2024-09-07 09:55:11:719,1,621,2,0,718,9503,621,0 96,0,2024-09-07 09:55:11:027,159130,0.4,159508,0.5,318878,0.3,424094,1.75 96,1,2024-09-07 09:55:11:583,1091038,1091038,0,0,511508636914,5332458724,1083627,6137,1274,384,391964,0 96,2,2024-09-07 09:55:11:269,788702,788702,0,0,32284622,0,4180 96,3,2024-09-07 09:55:11:141,1,621,5,0,411,7034,621,0 97,0,2024-09-07 09:55:11:310,153374,0.3,153213,0.5,307289,0.3,408215,1.75 97,1,2024-09-07 09:55:10:764,1092679,1092679,0,0,513118487108,5321992482,1085735,5903,1041,367,392140,0 97,2,2024-09-07 09:55:10:607,788544,788544,0,0,31784002,0,4046 97,3,2024-09-07 09:55:10:569,1,621,1,0,242,6892,621,0 98,0,2024-09-07 09:55:11:768,153706,0.3,153862,0.4,308763,0.2,410375,1.50 98,1,2024-09-07 09:55:10:570,1091432,1091432,0,0,512348400814,5334401086,1084924,5672,836,381,391997,0 98,2,2024-09-07 09:55:10:779,785716,785716,0,0,30583922,0,4336 98,3,2024-09-07 09:55:10:703,1,621,1,0,840,9183,621,0 99,0,2024-09-07 09:55:11:483,154207,0.3,155031,0.5,308613,0.3,411649,1.75 99,1,2024-09-07 09:55:11:725,1092498,1092498,0,0,512542018280,5330782316,1086121,5434,943,380,392069,0 99,2,2024-09-07 09:55:11:425,781919,781919,0,0,37800819,0,4276 99,3,2024-09-07 09:55:10:582,1,621,11,0,1124,7894,621,0 100,0,2024-09-07 09:55:11:459,157974,0.7,158409,0.9,316784,0.7,422876,2.25 100,1,2024-09-07 09:55:10:547,1086055,1086055,0,0,509514702726,5382248319,1070021,12714,3320,378,391989,0 100,2,2024-09-07 09:55:11:817,783084,782695,389,0,40983311,0,16909 100,3,2024-09-07 09:55:11:731,1,621,2,0,627,10272,621,0 101,0,2024-09-07 09:55:11:706,158317,1.1,154491,1.0,302238,1.1,412399,2.25 101,1,2024-09-07 09:55:10:553,1088414,1088414,0,0,511027463457,5366110621,1074255,11630,2529,368,391847,0 101,2,2024-09-07 09:55:11:759,783381,783381,0,0,40982632,0,4871 101,3,2024-09-07 09:55:10:941,1,621,1,0,1250,9081,621,0 102,0,2024-09-07 09:55:10:955,151225,0.6,155742,0.8,316453,0.6,413732,2.25 102,1,2024-09-07 09:55:11:147,1087970,1087970,0,0,510518044999,5359321002,1073834,11935,2201,369,391984,0 102,2,2024-09-07 09:55:11:736,786814,786760,54,0,35055616,0,6768 102,3,2024-09-07 09:55:11:618,1,621,1,0,466,6986,621,0 103,0,2024-09-07 09:55:11:623,159745,0.5,159781,0.7,301026,0.5,414222,2.00 103,1,2024-09-07 09:55:11:634,1086936,1086936,0,0,510758635870,5390626339,1069840,13493,3603,381,392077,0 103,2,2024-09-07 09:55:10:582,779900,779900,0,0,37845377,0,3766 103,3,2024-09-07 09:55:10:766,1,621,3,0,916,7160,621,0 104,0,2024-09-07 09:55:11:009,156476,0.7,156614,0.9,312576,0.7,418122,2.25 104,1,2024-09-07 09:55:11:602,1089396,1089396,0,0,511125754809,5367015113,1073851,12700,2845,365,392168,0 104,2,2024-09-07 09:55:11:666,782213,782213,0,0,37617723,0,4161 104,3,2024-09-07 09:55:11:417,1,621,1,0,1245,10841,621,0 105,0,2024-09-07 09:55:11:037,155693,0.9,151747,1.1,318217,1.0,417766,2.50 105,1,2024-09-07 09:55:10:555,1090557,1090557,0,0,511010439544,5351098824,1076976,11637,1944,364,392009,0 105,2,2024-09-07 09:55:11:322,787138,787138,0,0,37940182,0,4360 105,3,2024-09-07 09:55:11:303,1,621,5,0,573,9407,621,0 106,0,2024-09-07 09:55:10:968,150070,0.9,153806,1.0,315014,1.1,411366,2.50 106,1,2024-09-07 09:55:11:756,1089242,1089242,0,0,510185463990,5351520294,1074564,12782,1896,368,391914,0 106,2,2024-09-07 09:55:10:760,785144,785144,0,0,35573947,0,3331 106,3,2024-09-07 09:55:10:683,1,621,4,0,1224,8461,621,0 107,0,2024-09-07 09:55:11:108,153537,0.9,153635,0.9,307237,1.1,409861,2.25 107,1,2024-09-07 09:55:10:587,1087096,1087096,0,0,509684302907,5383664616,1069687,15274,2135,381,392234,0 107,2,2024-09-07 09:55:11:292,780393,780392,1,0,38698376,0,5024 107,3,2024-09-07 09:55:11:754,1,621,14,0,733,9431,621,0 108,0,2024-09-07 09:55:11:766,155277,0.4,155910,0.6,310783,0.4,414582,1.75 108,1,2024-09-07 09:55:11:308,1090731,1090731,0,0,511895666233,5336267670,1082024,7710,997,367,391894,0 108,2,2024-09-07 09:55:11:755,783452,783452,0,0,33279303,0,4246 108,3,2024-09-07 09:55:11:337,1,621,1,0,749,10946,621,0 109,0,2024-09-07 09:55:11:746,159574,0.4,158207,0.6,317163,0.3,423787,1.75 109,1,2024-09-07 09:55:10:594,1088283,1088283,0,0,511353604623,5361125415,1078788,8215,1280,382,392132,0 109,2,2024-09-07 09:55:10:924,786109,786109,0,0,34285096,0,3617 109,3,2024-09-07 09:55:11:140,1,621,0,0,630,7404,621,0 110,0,2024-09-07 09:55:11:757,153886,0.4,149561,0.6,313297,0.4,411149,1.75 110,1,2024-09-07 09:55:11:643,1092603,1092603,0,0,512627921356,5318991061,1084555,6120,1928,368,392045,0 110,2,2024-09-07 09:55:11:304,787559,787559,0,0,31664621,0,4067 110,3,2024-09-07 09:55:10:692,1,621,14,0,722,8200,621,0 111,0,2024-09-07 09:55:11:424,154583,0.4,153779,0.5,307369,0.3,409837,1.75 111,1,2024-09-07 09:55:11:002,1093811,1093811,0,0,513919024384,5327770443,1088173,5257,381,380,391690,0 111,2,2024-09-07 09:55:11:120,786027,786027,0,0,32201011,0,4823 111,3,2024-09-07 09:55:10:913,1,621,1,0,379,6631,621,0 112,0,2024-09-07 09:55:10:948,155178,0.3,154801,0.4,310264,0.2,412018,1.50 112,1,2024-09-07 09:55:10:824,1092518,1092518,0,0,512178353909,5316681269,1085311,5982,1225,380,391624,0 112,2,2024-09-07 09:55:11:135,782520,782519,1,0,30420498,0,5036 112,3,2024-09-07 09:55:10:592,1,621,3,0,282,5843,621,0 113,0,2024-09-07 09:55:10:867,158690,0.3,158772,0.5,317956,0.2,423504,1.50 113,1,2024-09-07 09:55:11:697,1094870,1094870,0,0,514305013659,5304353522,1088634,5288,948,365,391664,0 113,2,2024-09-07 09:55:11:302,789960,789960,0,0,29035088,0,3813 113,3,2024-09-07 09:55:10:686,1,621,2,0,510,6588,621,0 114,0,2024-09-07 09:55:10:876,154304,0.3,155278,0.5,309626,0.2,413145,1.75 114,1,2024-09-07 09:55:10:718,1092953,1092953,0,0,512328588607,5317552548,1085011,6195,1747,381,391565,0 114,2,2024-09-07 09:55:10:879,789921,789920,1,0,30063599,0,5069 114,3,2024-09-07 09:55:11:284,1,621,415,0,415,5306,621,0 115,0,2024-09-07 09:55:10:563,155485,0.3,156061,0.4,310765,0.2,413264,1.50 115,1,2024-09-07 09:55:10:572,1093278,1093278,0,0,512685003866,5323446355,1085019,6742,1517,382,391757,0 115,2,2024-09-07 09:55:11:125,788075,788075,0,0,30362785,0,4382 115,3,2024-09-07 09:55:11:002,1,621,1,0,167,3739,621,0 116,0,2024-09-07 09:55:11:696,153686,0.6,153536,0.8,307743,0.6,410799,2.00 116,1,2024-09-07 09:55:10:803,1086838,1086838,0,0,510245912928,5373931053,1074175,9571,3092,380,392089,0 116,2,2024-09-07 09:55:11:753,781606,781606,0,0,37570134,0,4475 116,3,2024-09-07 09:55:10:912,1,621,1,0,448,7824,621,0 117,0,2024-09-07 09:55:10:950,158018,0.6,157405,0.8,315437,0.7,421934,2.00 117,1,2024-09-07 09:55:11:578,1089195,1089195,0,0,510384872171,5342167185,1077403,10268,1524,369,392429,0 117,2,2024-09-07 09:55:11:120,786913,786913,0,0,34008505,0,4303 117,3,2024-09-07 09:55:11:059,1,621,2,0,490,7769,621,0 118,0,2024-09-07 09:55:11:775,151485,0.5,155602,0.7,317530,0.5,415071,2.00 118,1,2024-09-07 09:55:10:588,1088433,1088433,0,0,509963084321,5358243916,1072581,12228,3624,366,392054,0 118,2,2024-09-07 09:55:11:590,786974,786974,0,0,36305840,0,2842 118,3,2024-09-07 09:55:11:764,1,621,1,0,289,7214,621,0 119,0,2024-09-07 09:55:11:376,155461,0.8,156102,0.8,311412,0.8,415110,2.25 119,1,2024-09-07 09:55:10:556,1089139,1089139,0,0,511965599746,5365950283,1074439,12188,2512,367,391857,0 119,2,2024-09-07 09:55:11:269,787348,787348,0,0,34278953,0,4309 119,3,2024-09-07 09:55:11:335,1,621,2,0,1358,11451,621,0 120,0,2024-09-07 09:55:11:564,153528,0.6,153326,0.8,308152,0.6,410710,2.25 120,1,2024-09-07 09:55:10:858,1089919,1089919,0,0,510933003220,5356511109,1078194,10583,1142,367,392144,0 120,2,2024-09-07 09:55:10:769,781880,781877,3,0,40802472,0,5363 120,3,2024-09-07 09:55:11:290,1,621,1,0,279,7384,621,0 121,0,2024-09-07 09:55:11:686,156335,1.0,155914,1.0,312043,1.2,416170,2.25 121,1,2024-09-07 09:55:11:655,1089611,1089611,0,0,511096708509,5346011748,1078306,9749,1556,366,391840,0 121,2,2024-09-07 09:55:11:125,783384,783384,0,0,37257956,0,4157 121,3,2024-09-07 09:55:10:727,1,621,12,0,387,8112,621,0 122,0,2024-09-07 09:55:11:760,158670,0.8,154216,0.9,322966,0.9,424759,2.00 122,1,2024-09-07 09:55:10:870,1088300,1088300,0,0,510407565895,5355319210,1073283,12600,2417,365,392130,0 122,2,2024-09-07 09:55:11:320,788257,788182,75,0,41033988,0,5989 122,3,2024-09-07 09:55:10:594,1,621,3,0,512,9910,621,0 123,0,2024-09-07 09:55:11:016,154284,0.8,150131,0.9,314516,1.0,411954,2.25 123,1,2024-09-07 09:55:10:558,1089196,1089196,0,0,511435067569,5375036620,1072304,14220,2672,369,392039,0 123,2,2024-09-07 09:55:11:021,784611,784610,1,0,35065629,0,5215 123,3,2024-09-07 09:55:11:140,1,621,2,0,478,7020,621,0 124,0,2024-09-07 09:55:10:928,157586,0.3,157574,0.5,297185,0.3,409035,1.75 124,1,2024-09-07 09:55:11:034,1092843,1092843,0,0,511836393513,5319040662,1084878,6788,1177,365,392178,0 124,2,2024-09-07 09:55:11:010,787108,787055,53,0,31531294,0,6487 124,3,2024-09-07 09:55:10:761,1,621,2,0,490,6071,621,0 125,0,2024-09-07 09:55:11:420,154382,0.4,154237,0.5,309619,0.3,412516,1.75 125,1,2024-09-07 09:55:10:858,1089679,1089679,0,0,512145190807,5343034905,1081605,6921,1153,382,391968,0 125,2,2024-09-07 09:55:11:118,785141,785141,0,0,31703251,0,4534 125,3,2024-09-07 09:55:11:126,1,621,3,0,709,7051,621,0 126,0,2024-09-07 09:55:11:416,159442,0.4,163912,0.5,313344,0.3,424678,1.75 126,1,2024-09-07 09:55:10:556,1093949,1093949,0,0,513617433462,5314321754,1088425,5077,447,365,391987,0 126,2,2024-09-07 09:55:10:617,789526,789526,0,0,33003412,0,4539 126,3,2024-09-07 09:55:10:908,1,621,36,0,268,6706,621,0 127,0,2024-09-07 09:55:11:619,153803,0.3,153892,0.5,307106,0.3,408664,1.75 127,1,2024-09-07 09:55:10:571,1091956,1091956,0,0,512316683910,5327930899,1081268,9049,1639,364,392187,0 127,2,2024-09-07 09:55:10:638,787853,787849,4,0,31187780,0,5305 127,3,2024-09-07 09:55:11:270,1,621,0,0,968,6014,621,0 128,0,2024-09-07 09:55:11:529,154676,0.3,154812,0.4,309331,0.2,411129,1.50 128,1,2024-09-07 09:55:11:605,1091621,1091621,0,0,512460347097,5328495666,1083089,7567,965,367,392423,0 128,2,2024-09-07 09:55:11:383,788021,788021,0,0,28800497,0,3171 128,3,2024-09-07 09:55:10:800,1,621,1,0,1082,9705,621,0 129,0,2024-09-07 09:55:11:011,155487,0.3,154648,0.5,309905,0.3,412133,1.50 129,1,2024-09-07 09:55:10:570,1088314,1088314,0,0,510767335560,5350345808,1077838,8454,2022,379,391962,0 129,2,2024-09-07 09:55:10:689,783656,783652,4,0,31461753,0,5335 129,3,2024-09-07 09:55:10:691,1,621,0,0,506,8390,621,0 130,0,2024-09-07 09:55:11:730,159206,0.4,158813,0.5,318041,0.4,424098,1.75 130,1,2024-09-07 09:55:10:587,1093089,1093089,0,0,513059648855,5326404391,1087633,5054,402,381,391825,0 130,2,2024-09-07 09:55:11:128,786897,786897,0,0,31626448,0,4067 130,3,2024-09-07 09:55:11:291,1,621,41,0,960,8397,621,0 131,0,2024-09-07 09:55:11:932,155046,0.3,155560,0.5,311905,0.3,413958,1.75 131,1,2024-09-07 09:55:11:819,1091832,1091832,0,0,512776285007,5341823587,1084595,6039,1198,381,391865,0 131,2,2024-09-07 09:55:10:569,788826,788826,0,0,29330409,0,3979 131,3,2024-09-07 09:55:11:688,1,621,1,0,392,7821,621,0 132,0,2024-09-07 09:55:11:409,155808,0.5,156714,0.6,312060,0.4,414754,2.00 132,1,2024-09-07 09:55:10:580,1087523,1087523,0,0,509997314495,5366085812,1072070,12749,2704,381,392532,0 132,2,2024-09-07 09:55:10:701,786011,785994,17,0,38133220,0,6451 132,3,2024-09-07 09:55:11:694,1,621,1,0,1298,10925,621,0 133,0,2024-09-07 09:55:11:525,150840,0.4,154344,0.6,316394,0.4,411734,2.00 133,1,2024-09-07 09:55:10:582,1087927,1087927,0,0,510284705683,5372579422,1073835,12328,1764,383,391914,0 133,2,2024-09-07 09:55:11:090,782484,782434,50,0,39078461,0,6861 133,3,2024-09-07 09:55:11:296,1,621,1,0,528,7375,621,0 134,0,2024-09-07 09:55:10:950,157739,0.5,157943,0.7,315693,0.4,420633,2.00 134,1,2024-09-07 09:55:10:584,1089338,1089338,0,0,510526441081,5351107740,1075546,11301,2491,366,391781,0 134,2,2024-09-07 09:55:11:757,784636,784612,24,0,36149547,0,6207 134,3,2024-09-07 09:55:10:755,1,621,2,0,739,7600,621,0 135,0,2024-09-07 09:55:11:118,152589,0.8,152570,0.8,323992,0.9,417076,2.25 135,1,2024-09-07 09:55:11:585,1088353,1088353,0,0,511578583793,5374692848,1075315,11397,1641,380,391974,0 135,2,2024-09-07 09:55:10:687,788771,788771,0,0,37125942,0,4503 135,3,2024-09-07 09:55:11:020,1,621,1,0,900,5938,621,0 136,0,2024-09-07 09:55:11:632,155942,0.6,156380,0.8,310440,0.6,414605,2.00 136,1,2024-09-07 09:55:11:456,1089573,1089573,0,0,511465980315,5357908856,1077654,10529,1390,381,392135,0 136,2,2024-09-07 09:55:11:134,788223,788208,15,0,36718524,0,6007 136,3,2024-09-07 09:55:11:107,1,621,2,0,637,7019,621,0 137,0,2024-09-07 09:55:10:927,158632,0.6,154673,0.7,302844,0.6,411796,2.00 137,1,2024-09-07 09:55:10:576,1088572,1088572,0,0,510577920004,5352761361,1072020,13162,3390,366,391898,0 137,2,2024-09-07 09:55:11:708,782333,782333,0,0,37725459,0,3185 137,3,2024-09-07 09:55:10:771,1,621,0,0,484,8356,621,0 138,0,2024-09-07 09:55:11:750,154742,0.7,155157,0.8,310826,0.7,413141,2.00 138,1,2024-09-07 09:55:11:695,1089153,1089153,0,0,511727601449,5365061830,1074361,12520,2272,368,391954,0 138,2,2024-09-07 09:55:10:586,782127,782127,0,0,35958192,0,4988 138,3,2024-09-07 09:55:10:610,1,621,3,0,1200,9578,621,0 139,0,2024-09-07 09:55:11:382,157414,1.0,158112,0.9,315657,1.3,422033,2.25 139,1,2024-09-07 09:55:10:571,1084528,1084528,0,0,507916504864,5382710089,1066129,14572,3827,380,392109,0 139,2,2024-09-07 09:55:10:713,782702,782672,30,0,41459360,0,5997 139,3,2024-09-07 09:55:11:662,1,621,2,0,432,7210,621,0 140,0,2024-09-07 09:55:11:589,154498,0.3,153705,0.5,308413,0.2,411451,1.75 140,1,2024-09-07 09:55:11:536,1095563,1095563,0,0,514905281238,5305354059,1090562,4453,548,364,391606,0 140,2,2024-09-07 09:55:10:687,787783,787782,1,0,29380063,0,5036 140,3,2024-09-07 09:55:10:768,1,621,7,0,575,6119,621,0 141,0,2024-09-07 09:55:11:708,154051,0.3,158406,0.5,302768,0.2,409629,1.50 141,1,2024-09-07 09:55:10:881,1093687,1093687,0,0,512776538915,5324273407,1085487,7007,1193,379,391614,0 141,2,2024-09-07 09:55:11:701,786430,786419,11,0,31437693,0,5369 141,3,2024-09-07 09:55:11:043,1,621,2,0,391,7022,621,0 142,0,2024-09-07 09:55:11:321,155488,0.3,154607,0.5,309495,0.2,412936,1.50 142,1,2024-09-07 09:55:10:584,1091708,1091708,0,0,511819619377,5332602273,1084973,6226,509,382,392102,0 142,2,2024-09-07 09:55:11:301,782068,782036,32,0,31937043,0,6028 142,3,2024-09-07 09:55:11:746,1,621,6,0,484,6447,621,0 143,0,2024-09-07 09:55:11:390,158717,0.4,158562,0.5,318209,0.4,423559,1.75 143,1,2024-09-07 09:55:10:556,1093746,1093746,0,0,513014090902,5319164666,1087271,5907,568,367,391900,0 143,2,2024-09-07 09:55:10:771,788178,788178,0,0,31949898,0,3123 143,3,2024-09-07 09:55:11:140,1,621,1,0,462,7354,621,0 144,0,2024-09-07 09:55:11:507,149518,0.6,153911,0.8,313081,0.5,409624,2.00 144,1,2024-09-07 09:55:10:571,1088643,1088643,0,0,510689383116,5355870862,1078038,8611,1994,381,391733,0 144,2,2024-09-07 09:55:11:755,788891,788891,0,0,31179322,0,4443 144,3,2024-09-07 09:55:11:739,1,621,0,0,249,6117,621,0 145,0,2024-09-07 09:55:11:361,149862,0.6,149796,0.8,317960,0.5,409110,2.25 145,1,2024-09-07 09:55:10:567,1087699,1087699,0,0,510568360384,5368621215,1073660,11363,2676,382,391781,0 145,2,2024-09-07 09:55:11:428,784652,784570,82,0,37059652,0,7814 145,3,2024-09-07 09:55:10:896,1,621,3,0,622,8131,621,0 146,0,2024-09-07 09:55:11:597,154062,0.5,153133,0.7,308075,0.5,409121,2.00 146,1,2024-09-07 09:55:11:590,1088983,1088983,0,0,510527225330,5369153173,1071440,13061,4482,367,391770,0 146,2,2024-09-07 09:55:11:705,780512,780506,6,0,35311996,0,5151 146,3,2024-09-07 09:55:11:274,1,621,0,0,1520,10011,621,0 147,0,2024-09-07 09:55:11:699,157916,0.5,157699,0.7,315342,0.5,421135,2.00 147,1,2024-09-07 09:55:11:375,1092831,1092831,0,0,512849369894,5326381856,1084326,7538,967,367,391791,0 147,2,2024-09-07 09:55:11:014,785380,785380,0,0,31484588,0,2968 147,3,2024-09-07 09:55:10:914,1,621,1,0,1626,9710,621,0 0,0,2024-09-07 09:55:21:755,150240,0.6,150234,0.7,318885,0.6,411797,2.00 0,1,2024-09-07 09:55:20:802,1091382,1091382,0,0,512754300949,5363785002,1082828,7605,949,368,391896,0 0,2,2024-09-07 09:55:21:070,784577,784577,0,0,31311366,0,4480 0,3,2024-09-07 09:55:20:975,1,622,6,0,431,8793,622,0 1,0,2024-09-07 09:55:21:897,156580,0.8,155504,0.9,312253,0.9,417851,2.00 1,1,2024-09-07 09:55:20:562,1090446,1090446,0,0,511183524033,5351033288,1080960,7995,1491,370,391859,0 1,2,2024-09-07 09:55:20:646,785869,785869,0,0,30932825,0,3380 1,3,2024-09-07 09:55:21:306,1,622,0,0,269,7610,622,0 2,0,2024-09-07 09:55:21:570,159183,0.6,158737,0.7,317584,0.6,423531,2.00 2,1,2024-09-07 09:55:20:859,1093885,1093885,0,0,513679836120,5338368528,1087704,5229,952,379,391745,0 2,2,2024-09-07 09:55:21:266,789949,789949,0,0,29584513,0,3594 2,3,2024-09-07 09:55:20:692,1,622,1,0,357,5463,622,0 3,0,2024-09-07 09:55:21:761,155079,0.4,155060,0.6,309720,0.4,412935,2.00 3,1,2024-09-07 09:55:21:617,1092712,1092712,0,0,513041203654,5333267891,1085087,6920,705,379,391716,0 3,2,2024-09-07 09:55:21:147,788900,788877,23,0,30507592,0,5851 3,3,2024-09-07 09:55:21:762,1,622,1,0,484,4695,622,0 4,0,2024-09-07 09:55:22:040,149155,0.4,153298,0.5,312482,0.3,408865,1.75 4,1,2024-09-07 09:55:20:610,1087865,1087865,0,0,510967220671,5402239907,1070047,14064,3754,369,391992,0 4,2,2024-09-07 09:55:21:027,783126,783126,0,0,37339290,0,4534 4,3,2024-09-07 09:55:21:029,1,622,2,0,448,7402,622,0 5,0,2024-09-07 09:55:21:423,155113,0.4,155037,0.5,310198,0.3,413198,1.75 5,1,2024-09-07 09:55:20:774,1089042,1089042,0,0,511079979567,5391310834,1072675,12235,4132,367,392005,0 5,2,2024-09-07 09:55:21:850,781285,781285,0,0,36130142,0,3582 5,3,2024-09-07 09:55:21:743,1,622,1,0,457,8460,622,0 6,0,2024-09-07 09:55:20:917,159668,0.4,159243,0.6,318583,0.4,424612,1.75 6,1,2024-09-07 09:55:20:753,1091717,1091717,0,0,511774628759,5342091040,1080636,9507,1574,379,391702,0 6,2,2024-09-07 09:55:21:121,789330,789312,18,0,35100917,0,5535 6,3,2024-09-07 09:55:21:276,1,622,0,0,710,7412,622,0 7,0,2024-09-07 09:55:21:531,153049,0.5,154111,0.7,305958,0.5,408601,2.00 7,1,2024-09-07 09:55:20:854,1089219,1089219,0,0,510890827992,5375040829,1072889,12564,3766,382,391747,0 7,2,2024-09-07 09:55:20:784,787567,787567,0,0,33772031,0,4791 7,3,2024-09-07 09:55:20:855,1,622,3,0,552,7163,622,0 8,0,2024-09-07 09:55:21:354,154627,0.4,154315,0.5,309025,0.3,411642,1.75 8,1,2024-09-07 09:55:21:030,1088816,1088816,0,0,511532182721,5376093963,1072061,13165,3590,366,392853,0 8,2,2024-09-07 09:55:20:791,782292,782290,2,0,40224952,0,5112 8,3,2024-09-07 09:55:20:608,1,622,10,0,772,9694,622,0 9,0,2024-09-07 09:55:21:172,154416,0.4,150171,0.5,314235,0.3,412173,1.75 9,1,2024-09-07 09:55:20:572,1088590,1088590,0,0,510737557582,5380610284,1071783,13577,3230,369,392001,0 9,2,2024-09-07 09:55:21:127,781925,781924,1,0,37900536,0,5281 9,3,2024-09-07 09:55:21:762,1,622,1,0,1273,10327,622,0 10,0,2024-09-07 09:55:21:602,158929,0.3,158264,0.5,317441,0.3,423393,1.75 10,1,2024-09-07 09:55:20:583,1090596,1090596,0,0,511549577501,5364068462,1074862,12670,3064,381,391981,0 10,2,2024-09-07 09:55:20:763,787441,787441,0,0,40836027,0,4713 10,3,2024-09-07 09:55:20:875,1,622,1,0,669,6853,622,0 11,0,2024-09-07 09:55:21:010,154956,0.4,150371,0.6,314622,0.4,414050,1.75 11,1,2024-09-07 09:55:20:572,1091826,1091826,0,0,512459470114,5372969644,1075867,11656,4303,383,391766,0 11,2,2024-09-07 09:55:21:125,787803,787803,0,0,36026288,0,4698 11,3,2024-09-07 09:55:21:318,1,622,1,0,843,7877,622,0 12,0,2024-09-07 09:55:21:067,156786,0.4,156592,0.5,312845,0.4,415650,1.75 12,1,2024-09-07 09:55:20:957,1091896,1091896,0,0,511733629397,5334362544,1083492,7395,1009,370,391960,0 12,2,2024-09-07 09:55:21:545,786235,786235,0,0,34784778,0,4390 12,3,2024-09-07 09:55:21:067,1,622,3,0,386,7656,622,0 13,0,2024-09-07 09:55:21:356,155660,0.4,155678,0.5,310504,0.3,413383,1.75 13,1,2024-09-07 09:55:21:535,1089222,1089222,0,0,511385059567,5372113912,1078318,8514,2390,382,391803,0 13,2,2024-09-07 09:55:20:596,785325,785325,0,0,31037510,0,3287 13,3,2024-09-07 09:55:21:787,1,622,1,0,522,8077,622,0 14,0,2024-09-07 09:55:20:568,157815,0.4,158892,0.6,315309,0.3,420366,1.75 14,1,2024-09-07 09:55:21:563,1096654,1096654,0,0,514120830201,5317140376,1088191,7334,1129,364,391673,0 14,2,2024-09-07 09:55:20:763,786923,786893,30,0,32493911,0,6104 14,3,2024-09-07 09:55:21:131,1,622,15,0,1168,6720,622,0 15,0,2024-09-07 09:55:21:552,157763,0.4,156836,0.6,314148,0.4,418605,2.00 15,1,2024-09-07 09:55:21:612,1093610,1093610,0,0,513383449684,5343463771,1084533,7164,1913,381,391619,0 15,2,2024-09-07 09:55:20:999,791012,791012,0,0,28375416,0,3622 15,3,2024-09-07 09:55:21:411,1,622,5,0,1126,8142,622,0 16,0,2024-09-07 09:55:21:040,155605,0.6,156254,0.8,311817,0.6,415207,2.00 16,1,2024-09-07 09:55:20:574,1093171,1093171,0,0,512455316314,5354299582,1083715,8011,1445,370,392194,0 16,2,2024-09-07 09:55:21:441,787483,787483,0,0,32023131,0,4719 16,3,2024-09-07 09:55:21:153,1,622,1,0,358,7682,622,0 17,0,2024-09-07 09:55:21:827,158802,0.6,154791,0.7,303241,0.5,412545,2.00 17,1,2024-09-07 09:55:20:580,1091126,1091126,0,0,512072083367,5366895484,1080823,8530,1773,368,392075,0 17,2,2024-09-07 09:55:21:666,787308,787307,1,0,33246050,0,5050 17,3,2024-09-07 09:55:20:574,1,622,12,0,518,8884,622,0 18,0,2024-09-07 09:55:20:951,154394,0.6,155431,0.8,309245,0.6,413405,2.25 18,1,2024-09-07 09:55:21:641,1095558,1095558,0,0,513349562750,5321191740,1088484,5817,1257,367,391725,0 18,2,2024-09-07 09:55:21:755,787231,787231,0,0,29706866,0,3541 18,3,2024-09-07 09:55:20:897,1,622,1,0,1059,5612,622,0 19,0,2024-09-07 09:55:21:538,158611,0.6,158982,0.8,317017,0.6,421610,2.00 19,1,2024-09-07 09:55:20:575,1095409,1095409,0,0,514305949356,5327806521,1087585,6691,1133,365,391777,0 19,2,2024-09-07 09:55:21:755,792270,792270,0,0,28081591,0,3988 19,3,2024-09-07 09:55:21:143,1,622,100,0,524,4763,622,0 20,0,2024-09-07 09:55:21:357,154186,0.6,154093,0.7,308097,0.5,411090,2.00 20,1,2024-09-07 09:55:20:601,1091067,1091067,0,0,512118740131,5363577279,1080267,9290,1510,369,391922,0 20,2,2024-09-07 09:55:20:930,787422,787422,0,0,34950851,0,4321 20,3,2024-09-07 09:55:20:599,1,622,14,0,468,9828,622,0 21,0,2024-09-07 09:55:21:191,154021,0.5,154118,0.6,308086,0.4,408986,2.00 21,1,2024-09-07 09:55:21:536,1089008,1089008,0,0,510536871587,5384939757,1072119,13052,3837,368,392016,0 21,2,2024-09-07 09:55:21:070,780870,780393,477,0,45385846,0,17074 21,3,2024-09-07 09:55:21:406,1,622,9,0,713,8748,622,0 22,0,2024-09-07 09:55:21:730,154582,0.4,155244,0.6,309913,0.4,411244,2.00 22,1,2024-09-07 09:55:21:028,1089703,1089703,0,0,510518316521,5369302611,1071884,14279,3540,381,391822,0 22,2,2024-09-07 09:55:20:767,782503,782477,26,0,33069877,0,6328 22,3,2024-09-07 09:55:21:069,1,622,1,0,228,5284,622,0 23,0,2024-09-07 09:55:21:404,158740,0.5,158490,0.6,316942,0.4,422964,2.00 23,1,2024-09-07 09:55:21:007,1090124,1090124,0,0,511912406764,5389316844,1070640,12906,6578,365,391690,0 23,2,2024-09-07 09:55:21:098,789316,789316,0,0,31857945,0,3773 23,3,2024-09-07 09:55:21:763,1,622,3,0,855,8930,622,0 24,0,2024-09-07 09:55:20:965,155248,0.4,154436,0.6,310477,0.4,412121,1.75 24,1,2024-09-07 09:55:20:589,1090744,1090744,0,0,510701822734,5343494165,1080611,8423,1710,367,392269,0 24,2,2024-09-07 09:55:21:074,788123,788120,3,0,38624505,0,6294 24,3,2024-09-07 09:55:21:700,1,622,1,0,468,7926,622,0 25,0,2024-09-07 09:55:21:350,159227,0.4,155002,0.6,304489,0.4,414368,2.00 25,1,2024-09-07 09:55:20:561,1088743,1088743,0,0,510272647785,5388200086,1069968,15060,3715,369,391928,0 25,2,2024-09-07 09:55:21:611,785220,785220,0,0,39203005,0,3978 25,3,2024-09-07 09:55:21:008,1,622,10,0,532,6653,622,0 26,0,2024-09-07 09:55:21:721,153359,0.4,149879,0.6,314783,0.3,409673,1.75 26,1,2024-09-07 09:55:21:541,1092173,1092173,0,0,512195928584,5358773550,1077835,11805,2533,380,391758,0 26,2,2024-09-07 09:55:20:876,782680,782680,0,0,41707636,0,4689 26,3,2024-09-07 09:55:21:712,1,622,1,0,796,7593,622,0 27,0,2024-09-07 09:55:21:722,158268,0.4,158960,0.6,316035,0.3,422017,2.25 27,1,2024-09-07 09:55:21:702,1093591,1093591,0,0,513270741395,5346245168,1083189,8793,1609,381,391626,0 27,2,2024-09-07 09:55:20:877,783735,783670,65,0,36571079,0,5699 27,3,2024-09-07 09:55:21:021,1,622,1,0,564,5276,622,0 28,0,2024-09-07 09:55:21:402,156443,0.4,156644,0.6,313292,0.3,416902,1.75 28,1,2024-09-07 09:55:20:798,1093785,1093785,0,0,513066655481,5345733327,1084837,7057,1891,382,391904,0 28,2,2024-09-07 09:55:21:764,789933,789933,0,0,31580213,0,2915 28,3,2024-09-07 09:55:21:779,1,622,0,0,502,6184,622,0 29,0,2024-09-07 09:55:21:359,160334,0.4,156214,0.6,306506,0.3,417386,1.75 29,1,2024-09-07 09:55:21:563,1096943,1096943,0,0,514202472739,5315372441,1090580,5586,777,367,391809,0 29,2,2024-09-07 09:55:20:890,787792,787792,0,0,30604712,0,4986 29,3,2024-09-07 09:55:20:965,1,622,7,0,459,6562,622,0 30,0,2024-09-07 09:55:21:461,153812,0.5,149657,0.6,313603,0.4,409802,2.00 30,1,2024-09-07 09:55:20:572,1095614,1095614,0,0,514050002621,5330461401,1088037,6666,911,380,391672,0 30,2,2024-09-07 09:55:21:273,785044,785044,0,0,29085946,0,4192 30,3,2024-09-07 09:55:20:590,1,622,4,0,519,5740,622,0 31,0,2024-09-07 09:55:21:776,156262,0.4,157214,0.6,313365,0.3,418518,1.75 31,1,2024-09-07 09:55:20:572,1099643,1099643,0,0,515848067642,5294645775,1093183,5386,1074,356,391712,0 31,2,2024-09-07 09:55:21:275,785228,785228,0,0,32837925,0,4470 31,3,2024-09-07 09:55:21:710,1,622,0,0,239,5268,622,0 32,0,2024-09-07 09:55:21:421,159302,0.3,160391,0.5,319279,0.3,424998,1.75 32,1,2024-09-07 09:55:20:829,1095554,1095554,0,0,513287345724,5331307234,1089356,5480,718,381,391646,0 32,2,2024-09-07 09:55:20:944,791327,791327,0,0,28936486,0,3922 32,3,2024-09-07 09:55:21:018,1,622,6,0,304,5025,622,0 33,0,2024-09-07 09:55:21:496,155692,0.3,155315,0.4,310813,0.2,413888,1.50 33,1,2024-09-07 09:55:20:575,1095974,1095974,0,0,515001371759,5331347097,1087519,7182,1273,368,391730,0 33,2,2024-09-07 09:55:20:763,788879,788844,35,0,31993232,0,7012 33,3,2024-09-07 09:55:20:903,1,622,1,0,329,5369,622,0 34,0,2024-09-07 09:55:20:950,153850,0.3,158047,0.5,302348,0.2,408165,1.75 34,1,2024-09-07 09:55:21:048,1097817,1097817,0,0,515490387043,5300580692,1094853,2853,111,367,391637,0 34,2,2024-09-07 09:55:20:767,786968,786968,0,0,30440341,0,4562 34,3,2024-09-07 09:55:21:697,1,622,1,0,541,5539,622,0 35,0,2024-09-07 09:55:20:863,154260,0.3,155029,0.5,310980,0.2,413637,1.75 35,1,2024-09-07 09:55:21:070,1093952,1093952,0,0,513732557152,5329299097,1085841,6588,1523,382,391769,0 35,2,2024-09-07 09:55:21:583,784396,784396,0,0,33702197,0,4055 35,3,2024-09-07 09:55:20:907,1,622,11,0,466,5745,622,0 36,0,2024-09-07 09:55:21:520,159848,0.5,160028,0.6,319400,0.4,425290,2.00 36,1,2024-09-07 09:55:20:657,1093334,1093334,0,0,513034876543,5353631257,1079750,11343,2241,366,391759,0 36,2,2024-09-07 09:55:21:751,789648,789648,0,0,34884230,0,3875 36,3,2024-09-07 09:55:20:875,1,622,0,0,556,8341,622,0 37,0,2024-09-07 09:55:21:384,152845,0.5,153095,0.7,306353,0.5,408815,2.25 37,1,2024-09-07 09:55:20:573,1092443,1092436,0,7,512538539309,5348840407,1079777,9745,2914,365,391770,0 37,2,2024-09-07 09:55:21:142,785829,785814,15,0,34760397,0,5815 37,3,2024-09-07 09:55:21:765,1,622,1,0,888,8156,622,0 38,0,2024-09-07 09:55:21:442,153491,0.4,148803,0.6,311045,0.4,407347,2.00 38,1,2024-09-07 09:55:21:604,1092641,1092641,0,0,513731418923,5369373165,1077307,12334,3000,368,391821,0 38,2,2024-09-07 09:55:20:763,786810,786763,47,0,34944215,0,6710 38,3,2024-09-07 09:55:21:001,1,622,1,0,689,7454,622,0 39,0,2024-09-07 09:55:21:770,157876,0.5,154337,0.7,301003,0.5,411162,2.00 39,1,2024-09-07 09:55:20:747,1091721,1091721,0,0,511778704787,5360976484,1074272,13734,3715,365,391865,0 39,2,2024-09-07 09:55:21:428,783081,783081,0,0,33071167,0,3391 39,3,2024-09-07 09:55:20:726,1,622,1,0,525,6908,622,0 40,0,2024-09-07 09:55:21:496,157377,0.8,158164,0.9,315767,0.9,421716,2.75 40,1,2024-09-07 09:55:20:602,1092358,1092358,0,0,511580941618,5355816720,1076746,12543,3069,366,391668,0 40,2,2024-09-07 09:55:21:311,785312,785305,7,0,39543505,0,5347 40,3,2024-09-07 09:55:21:146,1,622,9,0,1028,9002,622,0 41,0,2024-09-07 09:55:21:082,154222,1.3,157861,1.1,300980,1.9,408758,3.00 41,1,2024-09-07 09:55:20:776,1091347,1091347,0,0,512401712907,5357157394,1077567,11620,2160,369,391878,0 41,2,2024-09-07 09:55:20:761,785336,785335,1,0,37811831,0,5408 41,3,2024-09-07 09:55:21:691,1,622,0,0,366,6686,622,0 42,0,2024-09-07 09:55:21:475,154655,0.9,154309,1.0,308671,1.1,409432,2.75 42,1,2024-09-07 09:55:21:442,1089388,1089388,0,0,510617525897,5362737746,1072802,13653,2933,380,391675,0 42,2,2024-09-07 09:55:21:141,785686,785685,1,0,38253620,0,5513 42,3,2024-09-07 09:55:21:014,1,622,2,0,892,6034,622,0 43,0,2024-09-07 09:55:20:920,153222,0.7,149138,0.9,312399,0.7,408260,2.25 43,1,2024-09-07 09:55:20:576,1093051,1093051,0,0,512340001991,5350312626,1078309,12170,2572,366,391696,0 43,2,2024-09-07 09:55:21:753,783479,783479,0,0,35945593,0,4723 43,3,2024-09-07 09:55:21:755,1,622,1,0,571,8883,622,0 44,0,2024-09-07 09:55:20:866,158061,0.4,157981,0.6,315838,0.3,420848,1.75 44,1,2024-09-07 09:55:20:567,1096229,1096229,0,0,512618483742,5296485802,1086854,7433,1942,356,391809,0 44,2,2024-09-07 09:55:21:278,784933,784933,0,0,29426763,0,4344 44,3,2024-09-07 09:55:21:107,1,622,1,0,1097,7513,622,0 45,0,2024-09-07 09:55:21:764,155801,0.5,152256,0.7,319541,0.4,418692,2.00 45,1,2024-09-07 09:55:21:006,1094566,1094566,0,0,513958129552,5337575676,1086310,7353,903,382,391917,0 45,2,2024-09-07 09:55:21:273,790246,790246,0,0,29723241,0,3596 45,3,2024-09-07 09:55:20:945,1,622,3,0,531,5871,622,0 46,0,2024-09-07 09:55:20:955,154865,0.6,154400,0.7,309811,0.5,411912,2.00 46,1,2024-09-07 09:55:20:580,1097012,1097012,0,0,513984320720,5310517437,1089516,6508,988,366,391709,0 46,2,2024-09-07 09:55:20:599,789516,789516,0,0,30397656,0,4443 46,3,2024-09-07 09:55:21:145,1,622,8,0,908,7303,622,0 47,0,2024-09-07 09:55:21:121,154517,0.4,154303,0.6,309995,0.3,410910,1.75 47,1,2024-09-07 09:55:20:591,1097795,1097795,0,0,514505319843,5312079012,1091692,5240,863,364,391641,0 47,2,2024-09-07 09:55:20:912,788120,788120,0,0,29292570,0,4477 47,3,2024-09-07 09:55:21:115,1,622,1,0,600,6737,622,0 48,0,2024-09-07 09:55:21:495,156157,0.3,156393,0.4,312183,0.2,415229,1.50 48,1,2024-09-07 09:55:21:022,1094954,1094954,0,0,513230587632,5327044558,1088847,5529,578,381,391710,0 48,2,2024-09-07 09:55:20:704,785633,785633,0,0,27849483,0,3524 48,3,2024-09-07 09:55:20:752,1,622,3,0,339,5430,622,0 49,0,2024-09-07 09:55:21:731,163723,0.3,160227,0.5,311875,0.3,426110,1.75 49,1,2024-09-07 09:55:21:022,1094200,1094200,0,0,513183292312,5335389968,1086924,5580,1696,382,391809,0 49,2,2024-09-07 09:55:21:799,790844,790844,0,0,30170245,0,4426 49,3,2024-09-07 09:55:21:424,1,622,1,0,992,7241,622,0 50,0,2024-09-07 09:55:21:516,154911,0.3,153355,0.5,308964,0.2,411059,1.75 50,1,2024-09-07 09:55:21:019,1096943,1096943,0,0,514990811554,5320836184,1090415,5859,669,368,391691,0 50,2,2024-09-07 09:55:21:074,787177,787177,0,0,27869849,0,4490 50,3,2024-09-07 09:55:21:308,1,622,1,0,617,6249,622,0 51,0,2024-09-07 09:55:21:702,158035,0.3,154694,0.5,301200,0.2,410624,1.75 51,1,2024-09-07 09:55:21:688,1097195,1097195,0,0,515320068666,5310819486,1091531,4583,1081,365,391706,0 51,2,2024-09-07 09:55:21:320,787047,787047,0,0,27385946,0,3337 51,3,2024-09-07 09:55:21:030,1,622,5,0,678,4447,622,0 52,0,2024-09-07 09:55:21:422,155346,0.5,155005,0.6,310281,0.4,412296,2.00 52,1,2024-09-07 09:55:20:577,1092674,1092674,0,0,512979406490,5365292770,1077524,12819,2331,368,391805,0 52,2,2024-09-07 09:55:21:763,780835,780797,38,0,36896591,0,6742 52,3,2024-09-07 09:55:20:674,1,622,1,0,1782,7136,622,0 53,0,2024-09-07 09:55:21:748,158536,0.6,153908,0.8,321941,0.6,421994,2.25 53,1,2024-09-07 09:55:20:777,1090962,1090962,0,0,512212389573,5370939189,1072767,13795,4400,367,391968,0 53,2,2024-09-07 09:55:21:317,789180,789179,1,0,33214581,0,5455 53,3,2024-09-07 09:55:20:705,1,622,0,0,308,5697,622,0 54,0,2024-09-07 09:55:21:618,152592,0.6,152696,0.8,304376,0.5,406569,2.25 54,1,2024-09-07 09:55:20:590,1094466,1094466,0,0,513525833664,5337897204,1083346,9449,1671,366,391810,0 54,2,2024-09-07 09:55:20:871,789169,789137,32,0,36990470,0,6397 54,3,2024-09-07 09:55:20:764,1,622,0,0,676,7890,622,0 55,0,2024-09-07 09:55:21:776,149474,0.6,154286,0.8,312644,0.6,407014,2.50 55,1,2024-09-07 09:55:20:768,1094807,1094807,0,0,513444481216,5336429804,1083939,9479,1389,365,391731,0 55,2,2024-09-07 09:55:20:733,786135,786079,56,0,34357760,0,7239 55,3,2024-09-07 09:55:20:674,1,622,1,0,304,5619,622,0 56,0,2024-09-07 09:55:21:554,157056,1.1,148011,1.1,305136,1.4,408320,2.75 56,1,2024-09-07 09:55:20:576,1087619,1087619,0,0,511010597921,5401368314,1069618,14312,3689,381,391867,0 56,2,2024-09-07 09:55:21:319,781820,781698,122,0,36731161,0,7432 56,3,2024-09-07 09:55:21:070,1,622,8,0,705,7155,622,0 57,0,2024-09-07 09:55:20:937,156680,1.3,156300,1.2,313112,1.8,418461,3.00 57,1,2024-09-07 09:55:21:002,1090191,1090191,0,0,510596708045,5357052400,1075718,11982,2491,366,392032,0 57,2,2024-09-07 09:55:21:321,786177,786177,0,0,38578247,0,4804 57,3,2024-09-07 09:55:21:752,1,622,1,0,455,7131,622,0 58,0,2024-09-07 09:55:20:570,153983,1.0,149563,1.0,313082,1.2,409239,2.75 58,1,2024-09-07 09:55:20:575,1091591,1091588,0,3,512805738430,5372380826,1075434,12250,3904,367,391726,3 58,2,2024-09-07 09:55:21:074,789661,789661,0,0,36105398,0,3483 58,3,2024-09-07 09:55:21:070,1,622,2,0,1043,6894,622,0 59,0,2024-09-07 09:55:21:744,154455,0.9,153992,1.0,307776,1.0,408457,3.00 59,1,2024-09-07 09:55:20:815,1090691,1090691,0,0,512116438293,5378725156,1072837,14186,3668,369,391653,0 59,2,2024-09-07 09:55:20:583,787797,787797,0,0,34233074,0,3727 59,3,2024-09-07 09:55:21:736,1,622,2,0,1015,7508,622,0 60,0,2024-09-07 09:55:21:718,154548,0.5,154554,0.7,309512,0.4,412160,1.75 60,1,2024-09-07 09:55:20:788,1095370,1095370,0,0,514310681590,5342081799,1087410,6871,1089,370,392031,0 60,2,2024-09-07 09:55:21:143,784381,784381,0,0,32122768,0,3811 60,3,2024-09-07 09:55:21:260,1,622,1,0,409,7105,622,0 61,0,2024-09-07 09:55:21:502,156520,0.6,157108,0.7,312660,0.5,417843,2.00 61,1,2024-09-07 09:55:20:769,1092802,1092802,0,0,512390335051,5360501772,1081662,9458,1682,382,392127,0 61,2,2024-09-07 09:55:21:115,786126,786059,67,0,32865071,0,6411 61,3,2024-09-07 09:55:21:697,1,622,3,0,607,7920,622,0 62,0,2024-09-07 09:55:21:710,159849,0.6,163919,0.7,313094,0.6,424946,2.00 62,1,2024-09-07 09:55:21:112,1098908,1098902,0,6,515939026755,5316466543,1093930,4673,299,365,391975,6 62,2,2024-09-07 09:55:21:645,787734,787733,1,0,32895693,0,5555 62,3,2024-09-07 09:55:21:142,1,622,1,0,482,4912,622,0 63,0,2024-09-07 09:55:21:452,155812,0.4,155462,0.6,311496,0.3,414223,1.75 63,1,2024-09-07 09:55:20:829,1095281,1095275,0,6,513946466152,5334110832,1088426,5994,855,381,391800,6 63,2,2024-09-07 09:55:20:765,788335,788335,0,0,31190716,0,4369 63,3,2024-09-07 09:55:21:736,1,622,6,0,667,6287,622,0 64,0,2024-09-07 09:55:21:515,152934,0.5,152814,0.6,305272,0.4,406823,2.00 64,1,2024-09-07 09:55:20:761,1093940,1093940,0,0,512967256856,5339731022,1084589,7372,1979,370,391794,0 64,2,2024-09-07 09:55:21:143,789723,789704,19,0,30191688,0,6121 64,3,2024-09-07 09:55:21:152,1,622,0,0,651,7032,622,0 65,0,2024-09-07 09:55:21:674,153850,0.6,154160,0.7,308208,0.6,411105,2.00 65,1,2024-09-07 09:55:20:868,1092375,1092375,0,0,511956597781,5347762095,1084883,6617,875,381,391901,0 65,2,2024-09-07 09:55:21:709,783624,783624,0,0,36382694,0,3367 65,3,2024-09-07 09:55:21:697,1,622,646,0,782,7367,622,0 66,0,2024-09-07 09:55:21:813,158920,0.5,158496,0.7,317167,0.5,422154,2.00 66,1,2024-09-07 09:55:21:293,1094486,1094486,0,0,513177916907,5336646909,1087983,5781,722,380,391743,0 66,2,2024-09-07 09:55:21:139,791789,791786,3,0,32300596,0,5455 66,3,2024-09-07 09:55:21:088,1,622,211,0,291,5354,622,0 67,0,2024-09-07 09:55:21:438,153600,0.5,153158,0.7,307400,0.5,409380,2.00 67,1,2024-09-07 09:55:20:765,1094202,1094201,0,1,513227645957,5340341425,1086801,6455,945,380,391787,1 67,2,2024-09-07 09:55:20:598,791322,791307,15,0,32153926,0,6205 67,3,2024-09-07 09:55:21:762,1,622,1,0,595,6353,622,0 68,0,2024-09-07 09:55:20:582,154368,0.6,154108,0.7,307025,0.5,410716,2.00 68,1,2024-09-07 09:55:20:569,1090088,1090088,0,0,511940507712,5377821653,1076275,10282,3531,381,391953,0 68,2,2024-09-07 09:55:21:050,783488,783388,100,0,40099518,0,8578 68,3,2024-09-07 09:55:20:762,1,622,1,0,417,7833,622,0 69,0,2024-09-07 09:55:21:753,153830,0.6,154540,0.8,308250,0.6,409942,2.25 69,1,2024-09-07 09:55:21:019,1089246,1089246,0,0,511552069439,5386539061,1075378,11033,2835,383,391994,0 69,2,2024-09-07 09:55:21:741,780453,780424,29,0,42645002,0,6912 69,3,2024-09-07 09:55:20:765,1,622,1,0,698,8723,622,0 70,0,2024-09-07 09:55:21:532,157201,0.7,157875,0.9,316998,0.6,420484,2.25 70,1,2024-09-07 09:55:20:807,1095684,1095684,0,0,514175818447,5331557738,1087762,7113,809,366,391725,0 70,2,2024-09-07 09:55:21:326,788090,788090,0,0,35153476,0,4323 70,3,2024-09-07 09:55:20:746,1,622,1,0,854,6776,622,0 71,0,2024-09-07 09:55:21:424,153938,0.9,153592,1.0,308296,1.2,410965,2.50 71,1,2024-09-07 09:55:21:623,1093205,1093205,0,0,512733345339,5347529225,1080201,11383,1621,368,391738,0 71,2,2024-09-07 09:55:21:074,788259,788259,0,0,35425668,0,4352 71,3,2024-09-07 09:55:21:766,1,622,7,0,644,6866,622,0 72,0,2024-09-07 09:55:21:032,160680,0.6,156909,0.7,306440,0.5,416597,2.00 72,1,2024-09-07 09:55:21:021,1091641,1091641,0,0,511359572694,5360596100,1076329,12792,2520,369,391819,0 72,2,2024-09-07 09:55:21:763,785742,785742,0,0,37140625,0,3983 72,3,2024-09-07 09:55:21:755,1,622,2,0,564,8979,622,0 73,0,2024-09-07 09:55:21:138,150671,0.4,154618,0.6,315998,0.4,410903,2.00 73,1,2024-09-07 09:55:20:770,1093067,1093067,0,0,513301642230,5333322881,1084656,7429,982,367,391858,0 73,2,2024-09-07 09:55:21:763,782987,782986,1,0,38765441,0,5027 73,3,2024-09-07 09:55:20:979,1,622,0,0,1091,8513,622,0 74,0,2024-09-07 09:55:21:328,158807,0.4,162718,0.6,310435,0.4,421247,2.00 74,1,2024-09-07 09:55:20:646,1092100,1092100,0,0,512394965416,5347141647,1080188,9794,2118,381,391762,0 74,2,2024-09-07 09:55:21:003,784839,784839,0,0,33805784,0,4253 74,3,2024-09-07 09:55:21:444,1,622,0,0,522,7485,622,0 75,0,2024-09-07 09:55:21:817,157847,0.5,156947,0.7,314816,0.5,420090,2.25 75,1,2024-09-07 09:55:21:585,1092782,1092782,0,0,513186377436,5345100756,1084154,7758,870,380,391739,0 75,2,2024-09-07 09:55:21:356,788190,788190,0,0,41003154,0,4766 75,3,2024-09-07 09:55:21:079,1,622,2,0,918,8281,622,0 76,0,2024-09-07 09:55:20:660,155257,0.6,154667,0.8,309231,0.5,413624,2.25 76,1,2024-09-07 09:55:20:833,1093003,1093003,0,0,512699552364,5343598858,1085664,6348,991,382,391790,0 76,2,2024-09-07 09:55:21:075,790364,790361,3,0,33251764,0,5265 76,3,2024-09-07 09:55:21:142,1,622,3,0,227,5689,622,0 77,0,2024-09-07 09:55:21:712,153689,0.5,154246,0.7,308281,0.5,410210,2.00 77,1,2024-09-07 09:55:20:830,1092926,1092926,0,0,512965666114,5348089794,1084932,7114,880,381,391869,0 77,2,2024-09-07 09:55:21:294,783957,783957,0,0,32245829,0,3890 77,3,2024-09-07 09:55:21:106,1,622,0,0,401,7006,622,0 78,0,2024-09-07 09:55:21:719,156501,0.4,155779,0.6,312479,0.3,413820,2.00 78,1,2024-09-07 09:55:20:611,1093481,1093481,0,0,512488518135,5342483311,1080634,9930,2917,367,391670,0 78,2,2024-09-07 09:55:21:411,786117,786104,13,0,30362256,0,8313 78,3,2024-09-07 09:55:21:141,1,622,1,0,311,5130,622,0 79,0,2024-09-07 09:55:21:379,153773,0.4,157526,0.6,322789,0.3,420851,2.00 79,1,2024-09-07 09:55:20:574,1097139,1097139,0,0,514238156879,5320150924,1089288,6491,1360,367,391682,0 79,2,2024-09-07 09:55:21:082,790195,790195,0,0,29415564,0,4195 79,3,2024-09-07 09:55:20:760,1,622,3,0,418,7198,622,0 80,0,2024-09-07 09:55:21:085,154182,0.6,158383,0.7,302727,0.5,410090,2.00 80,1,2024-09-07 09:55:21:621,1092897,1092897,0,0,513107357642,5339976567,1085734,6710,453,368,392269,0 80,2,2024-09-07 09:55:21:096,790488,790488,0,0,29958714,0,4433 80,3,2024-09-07 09:55:20:575,1,622,1,0,681,7446,622,0 81,0,2024-09-07 09:55:21:531,153964,0.5,157561,0.7,300808,0.5,407902,2.00 81,1,2024-09-07 09:55:21:653,1092287,1092287,0,0,511981174486,5346764556,1084117,7402,768,382,391885,0 81,2,2024-09-07 09:55:21:137,786345,786282,63,0,33175170,0,5932 81,3,2024-09-07 09:55:21:125,1,622,1,0,719,7050,622,0 82,0,2024-09-07 09:55:21:585,154691,0.4,154788,0.6,310367,0.4,411402,2.00 82,1,2024-09-07 09:55:20:583,1094255,1094251,0,4,513608680646,5335858388,1088675,4751,825,381,391768,4 82,2,2024-09-07 09:55:21:692,786270,786270,0,0,28138693,0,4484 82,3,2024-09-07 09:55:21:762,1,622,1,0,363,5730,622,0 83,0,2024-09-07 09:55:21:527,159209,0.5,158900,0.7,317770,0.5,422257,2.00 83,1,2024-09-07 09:55:20:561,1093389,1093389,0,0,512542937912,5340097956,1085797,6947,645,382,391709,0 83,2,2024-09-07 09:55:20:786,789254,789229,25,0,30345047,0,5612 83,3,2024-09-07 09:55:20:755,1,622,0,0,1260,7251,622,0 84,0,2024-09-07 09:55:21:789,152812,0.8,152851,0.9,305565,0.8,408192,2.25 84,1,2024-09-07 09:55:21:053,1091203,1091203,0,0,511623827024,5347696513,1078937,10593,1673,367,391967,0 84,2,2024-09-07 09:55:20:577,787256,786846,410,0,43993779,0,17037 84,3,2024-09-07 09:55:21:150,1,622,2,0,908,8174,622,0 85,0,2024-09-07 09:55:21:006,148790,0.6,148787,0.8,315886,0.6,407444,2.25 85,1,2024-09-07 09:55:20:561,1088220,1088220,0,0,510673016276,5388027927,1071887,13531,2802,381,392092,0 85,2,2024-09-07 09:55:20:871,786337,786337,0,0,37143800,0,4255 85,3,2024-09-07 09:55:20:687,1,622,42,0,789,7111,622,0 86,0,2024-09-07 09:55:20:894,153860,0.6,158169,0.8,302503,0.7,408836,2.25 86,1,2024-09-07 09:55:20:894,1091461,1091461,0,0,512400542936,5369018918,1077539,11235,2687,366,392169,0 86,2,2024-09-07 09:55:20:854,782114,782113,1,0,39379680,0,5004 86,3,2024-09-07 09:55:20:590,1,622,1,0,308,8679,622,0 87,0,2024-09-07 09:55:21:309,158508,0.7,157695,0.8,315511,0.8,422030,2.25 87,1,2024-09-07 09:55:20:551,1090528,1090528,0,0,511650518259,5356914159,1077137,11592,1799,366,392076,0 87,2,2024-09-07 09:55:21:071,785865,785859,6,0,35762341,0,6323 87,3,2024-09-07 09:55:21:800,1,622,6,0,473,8742,622,0 88,0,2024-09-07 09:55:21:482,156260,0.5,156875,0.6,313256,0.4,416768,1.75 88,1,2024-09-07 09:55:20:570,1089888,1089888,0,0,511468514851,5359031182,1074483,12357,3048,365,392084,0 88,2,2024-09-07 09:55:20:691,788470,788470,0,0,38821845,0,4465 88,3,2024-09-07 09:55:21:267,1,622,0,0,1080,9435,622,0 89,0,2024-09-07 09:55:21:767,160269,0.5,155455,0.6,306713,0.4,417005,1.75 89,1,2024-09-07 09:55:20:561,1089557,1089557,0,0,511232648185,5373988615,1076561,11049,1947,382,391866,0 89,2,2024-09-07 09:55:21:131,787497,787497,0,0,36577992,0,3173 89,3,2024-09-07 09:55:21:799,1,622,1,0,468,10189,622,0 90,0,2024-09-07 09:55:21:635,149976,0.5,154070,0.6,314238,0.4,410050,2.00 90,1,2024-09-07 09:55:20:591,1091571,1091571,0,0,511214923241,5351822374,1081466,9208,897,380,391825,0 90,2,2024-09-07 09:55:21:496,780330,780325,5,0,40016702,0,6370 90,3,2024-09-07 09:55:20:930,1,622,4,0,322,7405,622,0 91,0,2024-09-07 09:55:20:947,156978,0.4,152375,0.6,318887,0.4,418392,1.75 91,1,2024-09-07 09:55:20:556,1089039,1089039,0,0,511360398898,5385721242,1073171,12720,3148,381,392047,0 91,2,2024-09-07 09:55:21:333,785520,785520,0,0,35221829,0,4713 91,3,2024-09-07 09:55:20:598,1,622,1,0,216,5489,622,0 92,0,2024-09-07 09:55:21:444,160934,0.4,164548,0.6,313679,0.4,425201,1.75 92,1,2024-09-07 09:55:20:595,1092196,1092196,0,0,512395160675,5349386704,1083819,7089,1288,381,392136,0 92,2,2024-09-07 09:55:21:350,789919,789919,0,0,31032299,0,3259 92,3,2024-09-07 09:55:21:015,1,622,4,0,167,5273,622,0 93,0,2024-09-07 09:55:20:986,156210,0.4,159997,0.6,305513,0.4,414146,1.75 93,1,2024-09-07 09:55:20:816,1093218,1093218,0,0,513254655292,5354255897,1081487,9794,1937,365,392048,0 93,2,2024-09-07 09:55:20:930,787992,787992,0,0,36802387,0,4913 93,3,2024-09-07 09:55:21:411,1,622,4,0,375,6359,622,0 94,0,2024-09-07 09:55:21:620,152981,0.4,154110,0.5,308110,0.3,409164,1.75 94,1,2024-09-07 09:55:20:571,1093693,1093693,0,0,513060816444,5347784623,1086730,6528,435,381,391850,0 94,2,2024-09-07 09:55:20:837,785767,785739,28,0,31602654,0,6179 94,3,2024-09-07 09:55:21:692,1,622,3,0,576,7653,622,0 95,0,2024-09-07 09:55:21:366,155132,0.3,154975,0.5,310345,0.3,413767,1.75 95,1,2024-09-07 09:55:20:856,1094219,1094219,0,0,513570611718,5334560127,1085767,7700,752,365,391852,0 95,2,2024-09-07 09:55:21:018,783498,783498,0,0,31265754,0,3308 95,3,2024-09-07 09:55:21:713,1,622,1,0,718,9504,622,0 96,0,2024-09-07 09:55:21:067,159460,0.4,159813,0.5,319509,0.3,424804,1.75 96,1,2024-09-07 09:55:21:583,1092705,1092705,0,0,512252669825,5340178937,1085294,6137,1274,384,391964,0 96,2,2024-09-07 09:55:21:270,790315,790315,0,0,32327158,0,4180 96,3,2024-09-07 09:55:21:142,1,622,10,0,411,7044,622,0 97,0,2024-09-07 09:55:21:314,153649,0.3,153528,0.5,307920,0.3,409104,1.75 97,1,2024-09-07 09:55:20:767,1094436,1094436,0,0,513914880459,5330147852,1087491,5904,1041,367,392140,0 97,2,2024-09-07 09:55:20:612,789640,789640,0,0,31843777,0,4046 97,3,2024-09-07 09:55:20:574,1,622,1,0,242,6893,622,0 98,0,2024-09-07 09:55:21:724,153869,0.3,154024,0.4,309103,0.2,410871,1.50 98,1,2024-09-07 09:55:20:571,1093239,1093239,0,0,513175351260,5342983394,1086731,5672,836,381,391997,0 98,2,2024-09-07 09:55:20:778,786752,786752,0,0,30603817,0,4336 98,3,2024-09-07 09:55:20:706,1,622,2,0,840,9185,622,0 99,0,2024-09-07 09:55:21:469,154483,0.3,155338,0.5,309172,0.3,412556,1.75 99,1,2024-09-07 09:55:21:727,1094240,1094240,0,0,513273309053,5338310050,1087862,5435,943,380,392069,0 99,2,2024-09-07 09:55:21:421,783424,783424,0,0,37879424,0,4276 99,3,2024-09-07 09:55:20:597,1,622,19,0,1124,7913,622,0 100,0,2024-09-07 09:55:21:458,158424,0.7,158856,0.9,317681,0.7,424086,2.25 100,1,2024-09-07 09:55:20:559,1087871,1087871,0,0,510477988355,5392067025,1071836,12715,3320,378,391989,0 100,2,2024-09-07 09:55:21:817,784429,784040,389,0,41001323,0,16909 100,3,2024-09-07 09:55:21:732,1,622,0,0,627,10272,622,0 101,0,2024-09-07 09:55:21:714,158452,1.1,154624,1.0,302483,1.1,412709,2.25 101,1,2024-09-07 09:55:20:567,1090218,1090218,0,0,511683037141,5372811397,1076059,11630,2529,368,391847,0 101,2,2024-09-07 09:55:21:756,784513,784513,0,0,40994891,0,4871 101,3,2024-09-07 09:55:20:956,1,622,2,0,1250,9083,622,0 102,0,2024-09-07 09:55:20:946,151333,0.6,155865,0.8,316710,0.6,414077,2.25 102,1,2024-09-07 09:55:21:156,1089746,1089746,0,0,511467765717,5368984461,1075610,11935,2201,369,391984,0 102,2,2024-09-07 09:55:21:737,788153,788099,54,0,35068693,0,6768 102,3,2024-09-07 09:55:21:614,1,622,8,0,466,6994,622,0 103,0,2024-09-07 09:55:21:589,159790,0.5,159816,0.7,301118,0.5,414222,2.00 103,1,2024-09-07 09:55:21:625,1088742,1088742,0,0,511385013562,5397106241,1071644,13495,3603,381,392077,0 103,2,2024-09-07 09:55:20:583,781088,781088,0,0,37857960,0,3766 103,3,2024-09-07 09:55:20:755,1,622,1,0,916,7161,622,0 104,0,2024-09-07 09:55:21:056,156838,0.7,157006,0.9,313371,0.6,419151,2.25 104,1,2024-09-07 09:55:21:599,1091188,1091188,0,0,512027834533,5376176429,1075643,12700,2845,365,392168,0 104,2,2024-09-07 09:55:21:667,783430,783430,0,0,37634262,0,4161 104,3,2024-09-07 09:55:21:421,1,622,2,0,1245,10843,622,0 105,0,2024-09-07 09:55:21:062,155791,0.9,151848,1.1,318419,1.0,418010,2.50 105,1,2024-09-07 09:55:20:567,1092370,1092370,0,0,511896202569,5360070778,1078789,11637,1944,364,392009,0 105,2,2024-09-07 09:55:21:330,788637,788637,0,0,37956458,0,4360 105,3,2024-09-07 09:55:21:318,1,622,1,0,573,9408,622,0 106,0,2024-09-07 09:55:20:947,150331,0.9,154054,1.0,315463,1.1,411973,2.50 106,1,2024-09-07 09:55:21:804,1091097,1091097,0,0,510943062927,5359283470,1076418,12783,1896,368,391914,0 106,2,2024-09-07 09:55:20:770,786759,786759,0,0,35593777,0,3331 106,3,2024-09-07 09:55:20:691,1,622,1,0,1224,8462,622,0 107,0,2024-09-07 09:55:21:115,153834,0.9,153929,0.9,307879,1.1,410810,2.25 107,1,2024-09-07 09:55:20:599,1088835,1088835,0,0,510337977270,5390388751,1071426,15274,2135,381,392234,0 107,2,2024-09-07 09:55:21:291,781161,781160,1,0,38706221,0,5024 107,3,2024-09-07 09:55:21:766,1,622,3,0,733,9434,622,0 108,0,2024-09-07 09:55:21:818,155561,0.4,156248,0.6,311408,0.4,415295,1.75 108,1,2024-09-07 09:55:21:308,1092475,1092475,0,0,512692197376,5344395601,1083768,7710,997,367,391894,0 108,2,2024-09-07 09:55:21:767,784918,784918,0,0,33305477,0,4246 108,3,2024-09-07 09:55:21:341,1,622,9,0,749,10955,622,0 109,0,2024-09-07 09:55:21:807,160063,0.4,158670,0.6,318146,0.3,425325,1.75 109,1,2024-09-07 09:55:20:599,1090108,1090108,0,0,512355611450,5371504666,1080612,8216,1280,382,392132,0 109,2,2024-09-07 09:55:20:930,787585,787585,0,0,34321812,0,3617 109,3,2024-09-07 09:55:21:146,1,622,10,0,630,7414,622,0 110,0,2024-09-07 09:55:21:772,154190,0.4,149856,0.6,313929,0.4,411990,1.75 110,1,2024-09-07 09:55:21:654,1094419,1094419,0,0,513402300357,5326943705,1086371,6120,1928,368,392045,0 110,2,2024-09-07 09:55:21:312,788907,788907,0,0,31691890,0,4067 110,3,2024-09-07 09:55:20:702,1,622,1,0,722,8201,622,0 111,0,2024-09-07 09:55:21:416,154750,0.4,153963,0.5,307673,0.3,410229,1.75 111,1,2024-09-07 09:55:21:001,1095606,1095606,0,0,514571614839,5334481481,1089968,5257,381,380,391690,0 111,2,2024-09-07 09:55:21:126,786960,786960,0,0,32226770,0,4823 111,3,2024-09-07 09:55:20:913,1,622,34,0,379,6665,622,0 112,0,2024-09-07 09:55:20:909,155427,0.3,155081,0.4,310788,0.2,412631,1.50 112,1,2024-09-07 09:55:20:833,1094297,1094297,0,0,513117947232,5326247359,1087090,5982,1225,380,391624,0 112,2,2024-09-07 09:55:21:143,784048,784047,1,0,30448113,0,5036 112,3,2024-09-07 09:55:20:594,1,622,1,0,282,5844,622,0 113,0,2024-09-07 09:55:20:878,159094,0.3,159165,0.5,318775,0.2,424483,1.50 113,1,2024-09-07 09:55:21:692,1096675,1096675,0,0,515031933562,5312073111,1090428,5299,948,365,391664,0 113,2,2024-09-07 09:55:21:333,791406,791406,0,0,29089060,0,3813 113,3,2024-09-07 09:55:20:688,1,622,0,0,510,6588,622,0 114,0,2024-09-07 09:55:20:883,154457,0.3,155438,0.5,309972,0.2,413608,1.75 114,1,2024-09-07 09:55:20:750,1094678,1094678,0,0,513134010311,5325904794,1086734,6197,1747,381,391565,0 114,2,2024-09-07 09:55:20:876,791098,791097,1,0,30086174,0,5069 114,3,2024-09-07 09:55:21:278,1,622,1,0,415,5307,622,0 115,0,2024-09-07 09:55:20:554,155579,0.3,156149,0.4,310954,0.2,413513,1.50 115,1,2024-09-07 09:55:20:571,1095011,1095011,0,0,513564363828,5332416986,1086751,6743,1517,382,391757,0 115,2,2024-09-07 09:55:21:134,789388,789388,0,0,30403668,0,4382 115,3,2024-09-07 09:55:21:003,1,622,1,0,167,3740,622,0 116,0,2024-09-07 09:55:21:713,153786,0.6,153629,0.8,307927,0.6,411122,2.00 116,1,2024-09-07 09:55:20:811,1088677,1088677,0,0,511115347456,5382805951,1076014,9571,3092,380,392089,0 116,2,2024-09-07 09:55:21:758,783024,783024,0,0,37583145,0,4475 116,3,2024-09-07 09:55:20:913,1,622,2,0,448,7826,622,0 117,0,2024-09-07 09:55:21:002,158479,0.6,157892,0.8,316375,0.6,423304,2.00 117,1,2024-09-07 09:55:21:608,1090939,1090939,0,0,511190473653,5350393193,1079147,10268,1524,369,392429,0 117,2,2024-09-07 09:55:21:126,788207,788207,0,0,34020941,0,4303 117,3,2024-09-07 09:55:21:071,1,622,3,0,490,7772,622,0 118,0,2024-09-07 09:55:21:779,151582,0.5,155699,0.7,317738,0.5,415367,2.00 118,1,2024-09-07 09:55:20:589,1090163,1090163,0,0,510548848452,5364238902,1074311,12228,3624,366,392054,0 118,2,2024-09-07 09:55:21:588,788223,788223,0,0,36326365,0,2842 118,3,2024-09-07 09:55:21:772,1,622,3,0,289,7217,622,0 119,0,2024-09-07 09:55:21:335,155598,0.8,156225,0.8,311686,0.8,415386,2.25 119,1,2024-09-07 09:55:20:561,1090946,1090946,0,0,512684739907,5373297832,1076245,12189,2512,367,391857,0 119,2,2024-09-07 09:55:21:262,788622,788622,0,0,34295119,0,4309 119,3,2024-09-07 09:55:21:327,1,622,1,0,1358,11452,622,0 120,0,2024-09-07 09:55:21:555,153731,0.6,153563,0.8,308593,0.6,411221,2.25 120,1,2024-09-07 09:55:20:859,1091744,1091744,0,0,511688047731,5364220441,1080016,10586,1142,367,392144,0 120,2,2024-09-07 09:55:20:775,782910,782907,3,0,40812879,0,5363 120,3,2024-09-07 09:55:21:290,1,622,0,0,279,7384,622,0 121,0,2024-09-07 09:55:21:720,156736,1.0,156289,1.0,312842,1.2,417334,2.25 121,1,2024-09-07 09:55:21:662,1091378,1091378,0,0,511918217184,5354385913,1080073,9749,1556,366,391840,0 121,2,2024-09-07 09:55:21:137,784794,784794,0,0,37272885,0,4157 121,3,2024-09-07 09:55:20:734,1,622,1,0,387,8113,622,0 122,0,2024-09-07 09:55:21:797,158850,0.8,154404,0.9,323406,0.9,425056,2.00 122,1,2024-09-07 09:55:20:859,1090117,1090117,0,0,511143437624,5362880395,1075094,12605,2418,365,392130,0 122,2,2024-09-07 09:55:21:323,789710,789635,75,0,41050402,0,5989 122,3,2024-09-07 09:55:20:602,1,622,2,0,512,9912,622,0 123,0,2024-09-07 09:55:20:986,154582,0.8,150435,0.9,315156,1.0,412731,2.25 123,1,2024-09-07 09:55:20:562,1091023,1091023,0,0,512528368502,5386134569,1074128,14223,2672,369,392039,0 123,2,2024-09-07 09:55:21:022,786112,786111,1,0,35083946,0,5215 123,3,2024-09-07 09:55:21:145,1,622,1,0,478,7021,622,0 124,0,2024-09-07 09:55:20:937,157762,0.3,157820,0.5,297581,0.3,409636,1.75 124,1,2024-09-07 09:55:21:023,1094544,1094544,0,0,512594686422,5326956178,1086579,6788,1177,365,392178,0 124,2,2024-09-07 09:55:21:020,787805,787752,53,0,31543550,0,6487 124,3,2024-09-07 09:55:20:763,1,622,3,0,490,6074,622,0 125,0,2024-09-07 09:55:21:434,154829,0.4,154675,0.5,310448,0.3,413790,1.75 125,1,2024-09-07 09:55:20:855,1091432,1091432,0,0,512919157803,5351005618,1083357,6922,1153,382,391968,0 125,2,2024-09-07 09:55:21:116,786610,786610,0,0,31751542,0,4534 125,3,2024-09-07 09:55:21:137,1,622,3,0,709,7054,622,0 126,0,2024-09-07 09:55:21:434,159728,0.4,164175,0.5,313925,0.3,425407,1.75 126,1,2024-09-07 09:55:20:561,1095642,1095642,0,0,514328781621,5321625331,1090118,5077,447,365,391987,0 126,2,2024-09-07 09:55:20:615,790959,790959,0,0,33040999,0,4539 126,3,2024-09-07 09:55:20:908,1,622,1,0,268,6707,622,0 127,0,2024-09-07 09:55:21:609,154115,0.3,154189,0.5,307730,0.3,409590,1.75 127,1,2024-09-07 09:55:20:576,1093723,1093723,0,0,513004633182,5335048158,1083032,9052,1639,364,392187,0 127,2,2024-09-07 09:55:20:638,788855,788851,4,0,31211594,0,5305 127,3,2024-09-07 09:55:21:271,1,622,2,0,968,6016,622,0 128,0,2024-09-07 09:55:21:522,154833,0.3,154991,0.4,309627,0.2,411622,1.50 128,1,2024-09-07 09:55:21:604,1093254,1093254,0,0,513285102341,5336955582,1084721,7568,965,367,392423,0 128,2,2024-09-07 09:55:21:385,789119,789119,0,0,28830881,0,3171 128,3,2024-09-07 09:55:20:769,1,622,10,0,1082,9715,622,0 129,0,2024-09-07 09:55:21:053,155803,0.3,154976,0.5,310483,0.3,413072,1.50 129,1,2024-09-07 09:55:20:568,1089997,1089997,0,0,511478401162,5357693637,1079520,8455,2022,379,391962,0 129,2,2024-09-07 09:55:20:687,785225,785221,4,0,31505314,0,5335 129,3,2024-09-07 09:55:20:688,1,622,9,0,506,8399,622,0 130,0,2024-09-07 09:55:21:731,159626,0.4,159277,0.5,318919,0.4,425243,1.75 130,1,2024-09-07 09:55:20:606,1094889,1094889,0,0,513828589162,5334388880,1089433,5054,402,381,391825,0 130,2,2024-09-07 09:55:21:144,788072,788072,0,0,31663504,0,4067 130,3,2024-09-07 09:55:21:294,1,622,1,0,960,8398,622,0 131,0,2024-09-07 09:55:21:941,155158,0.3,155682,0.5,312155,0.3,414281,1.75 131,1,2024-09-07 09:55:21:820,1093604,1093604,0,0,513507712892,5349422673,1086366,6040,1198,381,391865,0 131,2,2024-09-07 09:55:20:568,789984,789984,0,0,29358787,0,3979 131,3,2024-09-07 09:55:21:697,1,622,5,0,392,7826,622,0 132,0,2024-09-07 09:55:21:433,155940,0.5,156814,0.6,312297,0.4,415096,2.00 132,1,2024-09-07 09:55:20:576,1089296,1089296,0,0,510654558364,5372832156,1073843,12749,2704,381,392532,0 132,2,2024-09-07 09:55:20:706,787320,787303,17,0,38146680,0,6451 132,3,2024-09-07 09:55:21:697,1,622,1,0,1298,10926,622,0 133,0,2024-09-07 09:55:21:522,150875,0.4,154393,0.6,316458,0.4,411734,2.00 133,1,2024-09-07 09:55:20:592,1089638,1089638,0,0,510922176960,5379125700,1075546,12328,1764,383,391914,0 133,2,2024-09-07 09:55:21:091,783693,783643,50,0,39093187,0,6861 133,3,2024-09-07 09:55:21:314,1,622,12,0,528,7387,622,0 134,0,2024-09-07 09:55:21:014,158085,0.5,158341,0.7,316482,0.4,421609,2.00 134,1,2024-09-07 09:55:20:590,1091076,1091076,0,0,511329756638,5359341325,1077283,11302,2491,366,391781,0 134,2,2024-09-07 09:55:21:774,785828,785804,24,0,36160609,0,6207 134,3,2024-09-07 09:55:20:759,1,622,1,0,739,7601,622,0 135,0,2024-09-07 09:55:21:118,152671,0.8,152679,0.8,324173,0.9,417326,2.25 135,1,2024-09-07 09:55:21:586,1090083,1090083,0,0,512307452462,5382178383,1077045,11397,1641,380,391974,0 135,2,2024-09-07 09:55:20:693,790281,790281,0,0,37149391,0,4503 135,3,2024-09-07 09:55:21:012,1,622,7,0,900,5945,622,0 136,0,2024-09-07 09:55:21:618,156179,0.6,156598,0.8,310936,0.6,415187,2.00 136,1,2024-09-07 09:55:21:450,1091318,1091318,0,0,512253145359,5365956873,1079399,10529,1390,381,392135,0 136,2,2024-09-07 09:55:21:143,789674,789659,15,0,36735914,0,6007 136,3,2024-09-07 09:55:21:106,1,622,12,0,637,7031,622,0 137,0,2024-09-07 09:55:20:924,158959,0.6,154992,0.7,303462,0.6,412674,2.00 137,1,2024-09-07 09:55:20:575,1090251,1090251,0,0,511435090390,5361467413,1073699,13162,3390,366,391898,0 137,2,2024-09-07 09:55:21:707,783036,783036,0,0,37731716,0,3185 137,3,2024-09-07 09:55:20:780,1,622,3,0,484,8359,622,0 138,0,2024-09-07 09:55:21:756,155068,0.7,155469,0.8,311407,0.7,413849,2.00 138,1,2024-09-07 09:55:21:712,1090993,1090993,0,0,512473853999,5372656441,1076201,12520,2272,368,391954,0 138,2,2024-09-07 09:55:20:590,783680,783680,0,0,35975815,0,4988 138,3,2024-09-07 09:55:20:613,1,622,3,0,1200,9581,622,0 139,0,2024-09-07 09:55:21:375,157884,1.0,158580,0.9,316638,1.3,423563,2.25 139,1,2024-09-07 09:55:20:576,1086340,1086340,0,0,508946068236,5393331659,1067937,14575,3828,380,392109,0 139,2,2024-09-07 09:55:20:705,784182,784152,30,0,41480136,0,5997 139,3,2024-09-07 09:55:21:670,1,622,58,0,432,7268,622,0 140,0,2024-09-07 09:55:21:588,154802,0.3,154012,0.5,309036,0.2,412317,1.75 140,1,2024-09-07 09:55:21:536,1097369,1097369,0,0,515743728700,5313913699,1092368,4453,548,364,391606,0 140,2,2024-09-07 09:55:20:686,789040,789039,1,0,29403910,0,5036 140,3,2024-09-07 09:55:20:769,1,622,33,0,575,6152,622,0 141,0,2024-09-07 09:55:21:704,154238,0.3,158553,0.5,303073,0.2,410046,1.50 141,1,2024-09-07 09:55:20:860,1095476,1095476,0,0,513582297001,5332509120,1087276,7007,1193,379,391614,0 141,2,2024-09-07 09:55:21:698,787439,787428,11,0,31464148,0,5369 141,3,2024-09-07 09:55:21:042,1,622,1,0,391,7023,622,0 142,0,2024-09-07 09:55:21:346,155738,0.3,154866,0.5,310010,0.2,413537,1.50 142,1,2024-09-07 09:55:20:584,1093464,1093464,0,0,512694803987,5341570715,1086728,6227,509,382,392102,0 142,2,2024-09-07 09:55:21:310,783510,783478,32,0,31985304,0,6028 142,3,2024-09-07 09:55:21:767,1,622,2,0,484,6449,622,0 143,0,2024-09-07 09:55:21:454,159109,0.4,158950,0.5,318970,0.4,424573,1.75 143,1,2024-09-07 09:55:20:860,1095527,1095527,0,0,513743745189,5326679004,1089049,5910,568,367,391900,0 143,2,2024-09-07 09:55:20:775,789530,789530,0,0,32004997,0,3123 143,3,2024-09-07 09:55:21:145,1,622,0,0,462,7354,622,0 144,0,2024-09-07 09:55:21:563,149666,0.6,154073,0.8,313427,0.5,410077,2.00 144,1,2024-09-07 09:55:20:581,1090408,1090408,0,0,511389890113,5363116460,1079803,8611,1994,381,391733,0 144,2,2024-09-07 09:55:21:763,790162,790162,0,0,31212208,0,4443 144,3,2024-09-07 09:55:21:769,1,622,61,0,249,6178,622,0 145,0,2024-09-07 09:55:21:366,149959,0.6,149867,0.8,318148,0.5,409355,2.25 145,1,2024-09-07 09:55:20:561,1089530,1089530,0,0,511417799137,5377344964,1075490,11364,2676,382,391781,0 145,2,2024-09-07 09:55:21:430,785888,785806,82,0,37077976,0,7814 145,3,2024-09-07 09:55:20:896,1,622,1,0,622,8132,622,0 146,0,2024-09-07 09:55:21:591,154157,0.5,153244,0.7,308274,0.5,409436,2.00 146,1,2024-09-07 09:55:21:658,1090707,1090707,0,0,511394245305,5377948365,1073164,13061,4482,367,391770,0 146,2,2024-09-07 09:55:21:698,781896,781890,6,0,35326332,0,5151 146,3,2024-09-07 09:55:21:278,1,622,0,0,1520,10011,622,0 147,0,2024-09-07 09:55:21:713,158406,0.5,158124,0.7,316249,0.5,422471,2.00 147,1,2024-09-07 09:55:21:385,1094698,1094698,0,0,513971541784,5337790698,1086192,7539,967,367,391791,0 147,2,2024-09-07 09:55:21:025,786772,786772,0,0,31526270,0,2968 147,3,2024-09-07 09:55:20:912,1,622,0,0,1626,9710,622,0 0,0,2024-09-07 09:55:31:756,150422,0.5,150422,0.7,319313,0.6,412305,2.00 0,1,2024-09-07 09:55:30:824,1093135,1093135,0,0,513371808041,5370250333,1084580,7606,949,368,391896,0 0,2,2024-09-07 09:55:31:072,785721,785721,0,0,31343495,0,4480 0,3,2024-09-07 09:55:30:974,1,623,14,0,431,8807,623,0 1,0,2024-09-07 09:55:31:783,157004,0.8,155933,0.9,313099,0.9,418982,2.00 1,1,2024-09-07 09:55:30:558,1092204,1092204,0,0,511926213329,5358719806,1082716,7997,1491,370,391859,0 1,2,2024-09-07 09:55:30:641,787208,787208,0,0,31027515,0,3380 1,3,2024-09-07 09:55:31:309,1,623,70,0,269,7680,623,0 2,0,2024-09-07 09:55:31:574,159357,0.6,158895,0.7,317873,0.6,423854,2.00 2,1,2024-09-07 09:55:30:864,1095617,1095617,0,0,514594540836,5347757021,1089434,5231,952,379,391745,0 2,2,2024-09-07 09:55:31:268,791370,791370,0,0,29630427,0,3594 2,3,2024-09-07 09:55:30:694,1,623,8,0,357,5471,623,0 3,0,2024-09-07 09:55:31:754,155363,0.4,155381,0.6,310306,0.4,413701,2.00 3,1,2024-09-07 09:55:31:625,1094576,1094576,0,0,513818183753,5341544381,1086950,6921,705,379,391716,0 3,2,2024-09-07 09:55:31:143,790331,790308,23,0,30535841,0,5851 3,3,2024-09-07 09:55:31:759,1,623,1,0,484,4696,623,0 4,0,2024-09-07 09:55:31:795,149350,0.4,153506,0.5,312900,0.3,409439,1.75 4,1,2024-09-07 09:55:30:659,1089694,1089694,0,0,511709539951,5409916927,1071875,14064,3755,369,391992,0 4,2,2024-09-07 09:55:31:022,783803,783803,0,0,37347128,0,4534 4,3,2024-09-07 09:55:31:038,1,623,3,0,448,7405,623,0 5,0,2024-09-07 09:55:31:381,155544,0.4,155522,0.5,311095,0.3,414477,1.75 5,1,2024-09-07 09:55:30:777,1090829,1090829,0,0,511889402228,5399580690,1074461,12235,4133,367,392005,0 5,2,2024-09-07 09:55:31:839,782792,782792,0,0,36152141,0,3582 5,3,2024-09-07 09:55:31:735,1,623,1,0,457,8461,623,0 6,0,2024-09-07 09:55:30:929,159966,0.4,159541,0.6,319168,0.4,425382,1.75 6,1,2024-09-07 09:55:30:757,1093426,1093426,0,0,512568701733,5350174261,1082345,9507,1574,379,391702,0 6,2,2024-09-07 09:55:31:117,790819,790801,18,0,35115583,0,5535 6,3,2024-09-07 09:55:31:274,1,623,1,0,710,7413,623,0 7,0,2024-09-07 09:55:31:537,153407,0.5,154448,0.7,306547,0.5,409524,2.00 7,1,2024-09-07 09:55:30:854,1090955,1090955,0,0,511831507277,5384611081,1074625,12564,3766,382,391747,0 7,2,2024-09-07 09:55:30:784,788684,788684,0,0,33780560,0,4791 7,3,2024-09-07 09:55:30:855,1,623,1,0,552,7164,623,0 8,0,2024-09-07 09:55:31:393,154793,0.4,154496,0.5,309346,0.3,412087,1.75 8,1,2024-09-07 09:55:31:030,1090448,1090448,0,0,512071850058,5381696618,1073689,13167,3592,366,392853,0 8,2,2024-09-07 09:55:30:799,783394,783392,2,0,40237186,0,5112 8,3,2024-09-07 09:55:30:595,1,623,25,0,772,9719,623,0 9,0,2024-09-07 09:55:31:200,154741,0.4,150462,0.5,314850,0.3,413090,1.75 9,1,2024-09-07 09:55:30:586,1090330,1090330,0,0,511507039932,5388499613,1073520,13580,3230,369,392001,0 9,2,2024-09-07 09:55:31:090,783574,783573,1,0,37922023,0,5281 9,3,2024-09-07 09:55:31:755,1,623,1,0,1273,10328,623,0 10,0,2024-09-07 09:55:31:620,159359,0.3,158699,0.5,318329,0.3,424523,1.75 10,1,2024-09-07 09:55:30:585,1092357,1092357,0,0,512346657709,5372211597,1076623,12670,3064,381,391981,0 10,2,2024-09-07 09:55:30:762,788690,788690,0,0,40849235,0,4713 10,3,2024-09-07 09:55:30:879,1,623,1,0,669,6854,623,0 11,0,2024-09-07 09:55:31:015,155066,0.4,150482,0.6,314875,0.4,414354,1.75 11,1,2024-09-07 09:55:30:583,1093632,1093632,0,0,513226432857,5380835275,1077673,11656,4303,383,391766,0 11,2,2024-09-07 09:55:31:132,788914,788914,0,0,36037407,0,4698 11,3,2024-09-07 09:55:31:307,1,623,0,0,843,7877,623,0 12,0,2024-09-07 09:55:30:951,156917,0.4,156710,0.5,313074,0.4,415965,1.75 12,1,2024-09-07 09:55:31:086,1093643,1093643,0,0,512495737544,5342214736,1085239,7395,1009,370,391960,0 12,2,2024-09-07 09:55:31:550,787464,787464,0,0,34914731,0,4390 12,3,2024-09-07 09:55:31:070,1,623,1,0,386,7657,623,0 13,0,2024-09-07 09:55:31:399,155669,0.4,155691,0.5,310538,0.3,413383,1.75 13,1,2024-09-07 09:55:31:564,1090873,1090873,0,0,512242799088,5380922280,1079966,8516,2391,382,391803,0 13,2,2024-09-07 09:55:30:597,786655,786655,0,0,31112361,0,3287 13,3,2024-09-07 09:55:31:765,1,623,7,0,522,8084,623,0 14,0,2024-09-07 09:55:30:565,158175,0.4,159210,0.6,316047,0.3,421293,1.75 14,1,2024-09-07 09:55:31:565,1098373,1098373,0,0,514839524202,5324693755,1089892,7352,1129,364,391673,0 14,2,2024-09-07 09:55:30:773,788146,788116,30,0,32517429,0,6104 14,3,2024-09-07 09:55:31:116,1,623,1,0,1168,6721,623,0 15,0,2024-09-07 09:55:31:562,157856,0.4,156941,0.6,314322,0.4,418847,2.00 15,1,2024-09-07 09:55:31:613,1095410,1095410,0,0,514145014181,5351266421,1086333,7164,1913,381,391619,0 15,2,2024-09-07 09:55:31:010,792389,792389,0,0,28399993,0,3622 15,3,2024-09-07 09:55:31:409,1,623,0,0,1126,8142,623,0 16,0,2024-09-07 09:55:31:011,155823,0.6,156471,0.8,312277,0.6,415808,2.00 16,1,2024-09-07 09:55:30:605,1094970,1094970,0,0,513118298371,5361227558,1085513,8012,1445,370,392194,0 16,2,2024-09-07 09:55:31:440,788850,788850,0,0,32059793,0,4719 16,3,2024-09-07 09:55:31:145,1,623,0,0,358,7682,623,0 17,0,2024-09-07 09:55:31:795,159127,0.6,155145,0.7,303890,0.5,413458,2.00 17,1,2024-09-07 09:55:30:589,1092913,1092913,0,0,512922127854,5375719314,1082610,8530,1773,368,392075,0 17,2,2024-09-07 09:55:31:671,788207,788206,1,0,33264013,0,5050 17,3,2024-09-07 09:55:30:596,1,623,20,0,518,8904,623,0 18,0,2024-09-07 09:55:30:944,154662,0.6,155702,0.8,309800,0.6,414085,2.25 18,1,2024-09-07 09:55:31:638,1097318,1097318,0,0,513848089284,5326364690,1090243,5817,1258,367,391725,0 18,2,2024-09-07 09:55:31:760,788730,788730,0,0,29778033,0,3541 18,3,2024-09-07 09:55:30:918,1,623,1,0,1059,5613,623,0 19,0,2024-09-07 09:55:31:546,159117,0.6,159503,0.8,318142,0.6,423186,2.00 19,1,2024-09-07 09:55:30:583,1097124,1097124,0,0,515077586100,5335667211,1089300,6691,1133,365,391777,0 19,2,2024-09-07 09:55:31:756,793762,793762,0,0,28165121,0,3988 19,3,2024-09-07 09:55:31:138,1,623,2,0,524,4765,623,0 20,0,2024-09-07 09:55:31:388,154499,0.6,154405,0.7,308728,0.5,411875,2.00 20,1,2024-09-07 09:55:30:620,1092852,1092852,0,0,512911138795,5371690752,1082051,9291,1510,369,391922,0 20,2,2024-09-07 09:55:30:939,788836,788836,0,0,34965838,0,4321 20,3,2024-09-07 09:55:30:618,1,623,7,0,468,9835,623,0 21,0,2024-09-07 09:55:31:131,154186,0.5,154260,0.6,308420,0.4,409378,2.00 21,1,2024-09-07 09:55:31:542,1090848,1090848,0,0,511585329262,5395596927,1073959,13052,3837,368,392016,0 21,2,2024-09-07 09:55:31:067,781692,781215,477,0,45393308,0,17074 21,3,2024-09-07 09:55:31:411,1,623,14,0,713,8762,623,0 22,0,2024-09-07 09:55:31:720,154814,0.4,155496,0.6,310417,0.4,411880,2.00 22,1,2024-09-07 09:55:31:025,1091474,1091474,0,0,511301244024,5377293559,1073655,14279,3540,381,391822,0 22,2,2024-09-07 09:55:30:766,784025,783999,26,0,33080781,0,6328 22,3,2024-09-07 09:55:31:067,1,623,7,0,228,5291,623,0 23,0,2024-09-07 09:55:31:387,159099,0.5,158902,0.6,317765,0.4,423974,2.00 23,1,2024-09-07 09:55:31:002,1091886,1091886,0,0,512840659359,5398749903,1072402,12906,6578,365,391690,0 23,2,2024-09-07 09:55:31:091,790730,790730,0,0,31871466,0,3773 23,3,2024-09-07 09:55:31:755,1,623,0,0,855,8930,623,0 24,0,2024-09-07 09:55:30:899,155416,0.4,154620,0.6,310793,0.4,412581,1.75 24,1,2024-09-07 09:55:30:622,1092501,1092501,0,0,511733393688,5353960251,1082367,8424,1710,367,392269,0 24,2,2024-09-07 09:55:31:069,789295,789292,3,0,38638717,0,6294 24,3,2024-09-07 09:55:31:704,1,623,0,0,468,7926,623,0 25,0,2024-09-07 09:55:31:372,159322,0.4,155093,0.6,304696,0.4,414613,2.00 25,1,2024-09-07 09:55:30:596,1090528,1090528,0,0,511149095882,5397182520,1071753,15060,3715,369,391928,0 25,2,2024-09-07 09:55:31:635,786411,786411,0,0,39218518,0,3978 25,3,2024-09-07 09:55:31:005,1,623,1,0,532,6654,623,0 26,0,2024-09-07 09:55:31:731,153473,0.4,149985,0.6,315005,0.3,409997,1.75 26,1,2024-09-07 09:55:31:543,1093959,1093959,0,0,513039441884,5367367951,1079621,11805,2533,380,391758,0 26,2,2024-09-07 09:55:30:870,784071,784071,0,0,41719596,0,4689 26,3,2024-09-07 09:55:31:715,1,623,25,0,796,7618,623,0 27,0,2024-09-07 09:55:31:726,158757,0.4,159470,0.6,317041,0.3,423338,2.25 27,1,2024-09-07 09:55:31:678,1095345,1095345,0,0,514123237100,5354943748,1084942,8794,1609,381,391626,0 27,2,2024-09-07 09:55:30:873,785100,785035,65,0,36585508,0,5699 27,3,2024-09-07 09:55:31:024,1,623,1,0,564,5277,623,0 28,0,2024-09-07 09:55:31:391,156545,0.4,156732,0.6,313508,0.3,417205,1.75 28,1,2024-09-07 09:55:30:799,1095573,1095573,0,0,513805388012,5353329632,1086625,7057,1891,382,391904,0 28,2,2024-09-07 09:55:31:767,791061,791061,0,0,31604245,0,2915 28,3,2024-09-07 09:55:31:776,1,623,1,0,502,6185,623,0 29,0,2024-09-07 09:55:31:360,160429,0.4,156301,0.6,306701,0.3,417644,1.75 29,1,2024-09-07 09:55:31:561,1098681,1098681,0,0,515141094853,5324902750,1092318,5586,777,367,391809,0 29,2,2024-09-07 09:55:30:871,789101,789101,0,0,30649993,0,4986 29,3,2024-09-07 09:55:30:963,1,623,41,0,459,6603,623,0 30,0,2024-09-07 09:55:31:458,154029,0.5,149865,0.6,314022,0.4,410327,2.00 30,1,2024-09-07 09:55:30:574,1097359,1097359,0,0,515110080604,5341211025,1089782,6666,911,380,391672,0 30,2,2024-09-07 09:55:31:274,786195,786195,0,0,29109434,0,4192 30,3,2024-09-07 09:55:30:584,1,623,9,0,519,5749,623,0 31,0,2024-09-07 09:55:31:756,156696,0.4,157615,0.6,314192,0.3,419729,1.75 31,1,2024-09-07 09:55:30:564,1101433,1101433,0,0,516748677518,5303903103,1094973,5386,1074,356,391712,0 31,2,2024-09-07 09:55:31:284,786590,786590,0,0,32867251,0,4470 31,3,2024-09-07 09:55:31:705,1,623,1,0,239,5269,623,0 32,0,2024-09-07 09:55:31:426,159430,0.3,160527,0.5,319551,0.3,425285,1.75 32,1,2024-09-07 09:55:30:804,1097321,1097321,0,0,514210683663,5340788211,1091123,5480,718,381,391646,0 32,2,2024-09-07 09:55:30:938,792788,792788,0,0,28991011,0,3922 32,3,2024-09-07 09:55:31:024,1,623,1,0,304,5026,623,0 33,0,2024-09-07 09:55:31:524,156007,0.3,155651,0.4,311440,0.2,414685,1.50 33,1,2024-09-07 09:55:30:585,1097694,1097694,0,0,515873686529,5340255984,1089238,7183,1273,368,391730,0 33,2,2024-09-07 09:55:30:764,790260,790225,35,0,32021424,0,7012 33,3,2024-09-07 09:55:30:904,1,623,5,0,329,5374,623,0 34,0,2024-09-07 09:55:30:947,154071,0.3,158263,0.5,302742,0.2,408760,1.75 34,1,2024-09-07 09:55:31:051,1099672,1099672,0,0,516647832676,5312336635,1096708,2853,111,367,391637,0 34,2,2024-09-07 09:55:30:771,787635,787635,0,0,30452768,0,4562 34,3,2024-09-07 09:55:31:692,1,623,1,0,541,5540,623,0 35,0,2024-09-07 09:55:30:861,154703,0.3,155502,0.5,311876,0.2,414871,1.75 35,1,2024-09-07 09:55:31:067,1095688,1095688,0,0,514579101809,5338063154,1087576,6589,1523,382,391769,0 35,2,2024-09-07 09:55:31:595,785915,785915,0,0,33759503,0,4055 35,3,2024-09-07 09:55:30:911,1,623,1,0,466,5746,623,0 36,0,2024-09-07 09:55:31:554,160115,0.5,160283,0.6,319964,0.4,426017,2.00 36,1,2024-09-07 09:55:30:613,1095108,1095108,0,0,513852386098,5361955225,1081524,11343,2241,366,391759,0 36,2,2024-09-07 09:55:31:770,791134,791134,0,0,34897189,0,3875 36,3,2024-09-07 09:55:30:873,1,623,1,0,556,8342,623,0 37,0,2024-09-07 09:55:31:375,153177,0.5,153445,0.7,307032,0.5,409723,2.25 37,1,2024-09-07 09:55:30:569,1094277,1094270,0,7,513308209671,5356663211,1081610,9746,2914,365,391770,0 37,2,2024-09-07 09:55:31:150,786899,786884,15,0,34768834,0,5815 37,3,2024-09-07 09:55:31:772,1,623,1,0,888,8157,623,0 38,0,2024-09-07 09:55:31:437,153677,0.4,148991,0.6,311413,0.4,407819,2.00 38,1,2024-09-07 09:55:31:610,1094412,1094412,0,0,514657907904,5378754600,1079078,12334,3000,368,391821,0 38,2,2024-09-07 09:55:30:776,787794,787747,47,0,34950842,0,6710 38,3,2024-09-07 09:55:31:004,1,623,1,0,689,7455,623,0 39,0,2024-09-07 09:55:31:765,158206,0.5,154681,0.7,301622,0.4,412069,2.00 39,1,2024-09-07 09:55:30:721,1093554,1093554,0,0,512580159039,5369101532,1076105,13734,3715,365,391865,0 39,2,2024-09-07 09:55:31:417,784545,784545,0,0,33083284,0,3391 39,3,2024-09-07 09:55:30:729,1,623,1,0,525,6909,623,0 40,0,2024-09-07 09:55:31:493,157814,0.8,158630,0.9,316636,0.8,422866,2.75 40,1,2024-09-07 09:55:30:590,1094159,1094159,0,0,512465353265,5364782291,1078547,12543,3069,366,391668,0 40,2,2024-09-07 09:55:31:307,786555,786548,7,0,39553840,0,5347 40,3,2024-09-07 09:55:31:143,1,623,1,0,1028,9003,623,0 41,0,2024-09-07 09:55:31:021,154337,1.3,157984,1.1,301226,1.9,409088,3.00 41,1,2024-09-07 09:55:30:768,1093208,1093208,0,0,513355609000,5366831792,1079428,11620,2160,369,391878,0 41,2,2024-09-07 09:55:30:768,786488,786487,1,0,37824758,0,5408 41,3,2024-09-07 09:55:31:676,1,623,1,0,366,6687,623,0 42,0,2024-09-07 09:55:31:509,154792,0.9,154442,1.0,308923,1.1,409778,2.75 42,1,2024-09-07 09:55:31:447,1091194,1091194,0,0,511546503621,5372171834,1074608,13653,2933,380,391675,0 42,2,2024-09-07 09:55:31:143,786997,786996,1,0,38269547,0,5513 42,3,2024-09-07 09:55:31:021,1,623,1,0,892,6035,623,0 43,0,2024-09-07 09:55:30:930,153235,0.7,149156,0.9,312440,0.7,408260,2.25 43,1,2024-09-07 09:55:30:585,1094816,1094816,0,0,513147225557,5358496429,1080074,12170,2572,366,391696,0 43,2,2024-09-07 09:55:31:736,784830,784830,0,0,35959605,0,4723 43,3,2024-09-07 09:55:31:757,1,623,0,0,571,8883,623,0 44,0,2024-09-07 09:55:30:914,158387,0.4,158350,0.6,316537,0.3,421767,1.75 44,1,2024-09-07 09:55:30:565,1098028,1098028,0,0,513328117500,5303680099,1088653,7433,1942,356,391809,0 44,2,2024-09-07 09:55:31:267,786064,786064,0,0,29463024,0,4344 44,3,2024-09-07 09:55:31:095,1,623,3,0,1097,7516,623,0 45,0,2024-09-07 09:55:31:765,155899,0.5,152334,0.7,319762,0.4,418922,2.00 45,1,2024-09-07 09:55:31:010,1096315,1096315,0,0,514689966709,5345132618,1088059,7353,903,382,391917,0 45,2,2024-09-07 09:55:31:268,791831,791831,0,0,29756908,0,3596 45,3,2024-09-07 09:55:30:941,1,623,2,0,531,5873,623,0 46,0,2024-09-07 09:55:30:955,155092,0.6,154624,0.7,310223,0.5,412487,2.00 46,1,2024-09-07 09:55:30:575,1098773,1098773,0,0,514937396711,5320319646,1091277,6508,988,366,391709,0 46,2,2024-09-07 09:55:30:595,790899,790899,0,0,30419918,0,4443 46,3,2024-09-07 09:55:31:135,1,623,1,0,908,7304,623,0 47,0,2024-09-07 09:55:31:107,154844,0.4,154601,0.6,310680,0.3,411784,1.75 47,1,2024-09-07 09:55:30:568,1099566,1099566,0,0,515393265436,5321107371,1093463,5240,863,364,391641,0 47,2,2024-09-07 09:55:30:919,788950,788950,0,0,29310386,0,4477 47,3,2024-09-07 09:55:31:117,1,623,1,0,600,6738,623,0 48,0,2024-09-07 09:55:31:532,156445,0.3,156678,0.4,312716,0.2,415935,1.50 48,1,2024-09-07 09:55:31:029,1096720,1096720,0,0,513764088848,5332613470,1090613,5529,578,381,391710,0 48,2,2024-09-07 09:55:30:702,787166,787166,0,0,27888750,0,3524 48,3,2024-09-07 09:55:30:763,1,623,2,0,339,5432,623,0 49,0,2024-09-07 09:55:31:733,164282,0.3,160774,0.5,313001,0.3,427735,1.75 49,1,2024-09-07 09:55:31:029,1095866,1095866,0,0,514079268321,5344637825,1088589,5581,1696,382,391809,0 49,2,2024-09-07 09:55:31:810,792376,792376,0,0,30214147,0,4426 49,3,2024-09-07 09:55:31:416,1,623,1,0,992,7242,623,0 50,0,2024-09-07 09:55:31:515,155212,0.3,153667,0.5,309598,0.2,411971,1.75 50,1,2024-09-07 09:55:31:011,1098736,1098736,0,0,515784548857,5328969595,1092208,5859,669,368,391691,0 50,2,2024-09-07 09:55:31:067,788598,788598,0,0,27895293,0,4490 50,3,2024-09-07 09:55:31:306,1,623,1,0,617,6250,623,0 51,0,2024-09-07 09:55:31:719,158207,0.3,154868,0.5,301530,0.2,411050,1.75 51,1,2024-09-07 09:55:31:681,1098956,1098956,0,0,516391813369,5321706689,1093292,4583,1081,365,391706,0 51,2,2024-09-07 09:55:31:326,787804,787804,0,0,27403970,0,3337 51,3,2024-09-07 09:55:31:029,1,623,1,0,678,4448,623,0 52,0,2024-09-07 09:55:31:429,155551,0.5,155283,0.6,310775,0.4,412928,2.00 52,1,2024-09-07 09:55:30:596,1094384,1094384,0,0,513537861098,5370993633,1079232,12821,2331,368,391805,0 52,2,2024-09-07 09:55:31:757,782383,782345,38,0,36924901,0,6742 52,3,2024-09-07 09:55:30:674,1,623,0,0,1782,7136,623,0 53,0,2024-09-07 09:55:31:749,158890,0.6,154260,0.8,322778,0.6,423015,2.25 53,1,2024-09-07 09:55:30:783,1092756,1092756,0,0,513028085395,5379213436,1074561,13795,4400,367,391968,0 53,2,2024-09-07 09:55:31:301,790635,790634,1,0,33223962,0,5455 53,3,2024-09-07 09:55:30:708,1,623,1,0,308,5698,623,0 54,0,2024-09-07 09:55:31:622,152747,0.6,152852,0.8,304695,0.5,407022,2.25 54,1,2024-09-07 09:55:30:603,1096215,1096215,0,0,514425076737,5346991356,1085094,9450,1671,366,391810,0 54,2,2024-09-07 09:55:30:872,790250,790218,32,0,37001341,0,6397 54,3,2024-09-07 09:55:30:774,1,623,0,0,676,7890,623,0 55,0,2024-09-07 09:55:31:776,149562,0.6,154371,0.8,312832,0.6,407253,2.50 55,1,2024-09-07 09:55:30:767,1096576,1096576,0,0,514333737624,5345433339,1085707,9480,1389,365,391731,0 55,2,2024-09-07 09:55:30:739,787197,787141,56,0,34365553,0,7239 55,3,2024-09-07 09:55:30:676,1,623,131,0,304,5750,623,0 56,0,2024-09-07 09:55:31:564,157190,1.1,148136,1.1,305330,1.4,408652,2.75 56,1,2024-09-07 09:55:30:575,1089435,1089435,0,0,511935990488,5410807261,1071433,14313,3689,381,391867,0 56,2,2024-09-07 09:55:31:312,783209,783087,122,0,36745224,0,7432 56,3,2024-09-07 09:55:31:071,1,623,0,0,705,7155,623,0 57,0,2024-09-07 09:55:30:954,157142,1.3,156778,1.2,314085,1.7,419761,3.00 57,1,2024-09-07 09:55:30:993,1091949,1091949,0,0,511311512517,5364342030,1077473,11984,2492,366,392032,0 57,2,2024-09-07 09:55:31:326,787607,787607,0,0,38593763,0,4804 57,3,2024-09-07 09:55:31:754,1,623,0,0,455,7131,623,0 58,0,2024-09-07 09:55:30:585,154092,1.0,149678,1.0,313304,1.2,409533,2.75 58,1,2024-09-07 09:55:30:575,1093357,1093354,0,3,513592331565,5380376339,1077200,12250,3904,367,391726,3 58,2,2024-09-07 09:55:31:071,790766,790766,0,0,36116091,0,3483 58,3,2024-09-07 09:55:31:070,1,623,0,0,1043,6894,623,0 59,0,2024-09-07 09:55:31:747,154560,0.9,154096,1.0,307960,1.0,408704,3.00 59,1,2024-09-07 09:55:30:826,1092494,1092494,0,0,512871529476,5386452584,1074637,14189,3668,369,391653,0 59,2,2024-09-07 09:55:30:591,789272,789272,0,0,34252343,0,3727 59,3,2024-09-07 09:55:31:754,1,623,0,0,1015,7508,623,0 60,0,2024-09-07 09:55:31:753,154769,0.5,154774,0.7,309925,0.4,412672,1.75 60,1,2024-09-07 09:55:30:775,1097170,1097170,0,0,515121613827,5350448766,1089210,6871,1089,370,392031,0 60,2,2024-09-07 09:55:31:150,785408,785408,0,0,32207364,0,3811 60,3,2024-09-07 09:55:31:263,1,623,0,0,409,7105,623,0 61,0,2024-09-07 09:55:31:503,156924,0.6,157525,0.7,313482,0.5,419000,2.00 61,1,2024-09-07 09:55:30:779,1094560,1094560,0,0,512948533432,5366416327,1083419,9459,1682,382,392127,0 61,2,2024-09-07 09:55:31:117,787391,787324,67,0,32926743,0,6411 61,3,2024-09-07 09:55:31:692,1,623,4,0,607,7924,623,0 62,0,2024-09-07 09:55:31:792,159989,0.6,164076,0.7,313370,0.6,425236,2.00 62,1,2024-09-07 09:55:31:113,1100722,1100716,0,6,516593381130,5323129901,1095744,4673,299,365,391975,6 62,2,2024-09-07 09:55:31:644,789147,789146,1,0,32937613,0,5555 62,3,2024-09-07 09:55:31:143,1,623,1,0,482,4913,623,0 63,0,2024-09-07 09:55:31:516,156096,0.4,155758,0.6,312091,0.3,414974,1.75 63,1,2024-09-07 09:55:30:805,1097058,1097052,0,6,514770773393,5342518293,1090203,5994,855,381,391800,6 63,2,2024-09-07 09:55:30:768,789828,789828,0,0,31222276,0,4369 63,3,2024-09-07 09:55:31:735,1,623,0,0,667,6287,623,0 64,0,2024-09-07 09:55:31:526,153148,0.5,153043,0.6,305692,0.4,407440,2.00 64,1,2024-09-07 09:55:30:751,1095639,1095639,0,0,513825363747,5348761692,1086274,7386,1979,370,391794,0 64,2,2024-09-07 09:55:31:150,790423,790404,19,0,30211580,0,6121 64,3,2024-09-07 09:55:31:145,1,623,9,0,651,7041,623,0 65,0,2024-09-07 09:55:31:687,154295,0.6,154638,0.7,309108,0.6,412390,2.00 65,1,2024-09-07 09:55:30:864,1094205,1094205,0,0,512853705033,5357011495,1086711,6619,875,381,391901,0 65,2,2024-09-07 09:55:31:697,785196,785196,0,0,36425012,0,3367 65,3,2024-09-07 09:55:31:693,1,623,1,0,782,7368,623,0 66,0,2024-09-07 09:55:31:786,159195,0.5,158782,0.7,317723,0.5,422856,2.00 66,1,2024-09-07 09:55:31:308,1096122,1096122,0,0,514004535174,5345138338,1089618,5781,723,380,391743,0 66,2,2024-09-07 09:55:31:143,793305,793302,3,0,32352469,0,5455 66,3,2024-09-07 09:55:31:081,1,623,14,0,291,5368,623,0 67,0,2024-09-07 09:55:31:419,153948,0.5,153493,0.7,308085,0.5,410342,2.00 67,1,2024-09-07 09:55:30:783,1095889,1095888,0,1,513977344724,5347997311,1088488,6455,945,380,391787,1 67,2,2024-09-07 09:55:30:606,792469,792454,15,0,32199679,0,6205 67,3,2024-09-07 09:55:31:755,1,623,1,0,595,6354,623,0 68,0,2024-09-07 09:55:30:581,154527,0.6,154287,0.7,307377,0.5,411173,2.00 68,1,2024-09-07 09:55:30:576,1091804,1091804,0,0,512659721845,5385181125,1077991,10282,3531,381,391953,0 68,2,2024-09-07 09:55:31:047,784479,784379,100,0,40109237,0,8578 68,3,2024-09-07 09:55:30:733,1,623,0,0,417,7833,623,0 69,0,2024-09-07 09:55:31:766,154205,0.6,154897,0.8,308840,0.6,410881,2.25 69,1,2024-09-07 09:55:31:016,1091001,1091001,0,0,512290601963,5394096231,1077133,11033,2835,383,391994,0 69,2,2024-09-07 09:55:31:746,781984,781955,29,0,42660314,0,6912 69,3,2024-09-07 09:55:30:761,1,623,1,0,698,8724,623,0 70,0,2024-09-07 09:55:31:537,157600,0.7,158318,0.9,317817,0.6,421702,2.25 70,1,2024-09-07 09:55:30:801,1097451,1097451,0,0,515060793004,5340530854,1089529,7113,809,366,391725,0 70,2,2024-09-07 09:55:31:334,789377,789377,0,0,35165488,0,4323 70,3,2024-09-07 09:55:30:751,1,623,57,0,854,6833,623,0 71,0,2024-09-07 09:55:31:360,154053,0.9,153702,1.0,308541,1.2,411302,2.50 71,1,2024-09-07 09:55:31:599,1094987,1094987,0,0,513633091673,5356643988,1081983,11383,1621,368,391738,0 71,2,2024-09-07 09:55:31:067,789447,789447,0,0,35439720,0,4352 71,3,2024-09-07 09:55:31:749,1,623,1,0,644,6867,623,0 72,0,2024-09-07 09:55:31:103,160814,0.6,157022,0.7,306688,0.5,416927,2.00 72,1,2024-09-07 09:55:31:031,1093481,1093481,0,0,512278679344,5369912382,1078168,12793,2520,369,391819,0 72,2,2024-09-07 09:55:31:765,787029,787029,0,0,37154144,0,3983 72,3,2024-09-07 09:55:31:755,1,623,4,0,564,8983,623,0 73,0,2024-09-07 09:55:31:110,150688,0.4,154628,0.6,316035,0.4,410903,2.00 73,1,2024-09-07 09:55:30:775,1094896,1094896,0,0,514233846244,5342762757,1086484,7430,982,367,391858,0 73,2,2024-09-07 09:55:31:769,784251,784250,1,0,38782252,0,5027 73,3,2024-09-07 09:55:30:970,1,623,1,0,1091,8514,623,0 74,0,2024-09-07 09:55:31:327,159219,0.4,163057,0.6,311076,0.4,422168,2.00 74,1,2024-09-07 09:55:30:648,1093826,1093826,0,0,513134693905,5354691134,1081914,9794,2118,381,391762,0 74,2,2024-09-07 09:55:31:005,786114,786114,0,0,33817336,0,4253 74,3,2024-09-07 09:55:31:443,1,623,1,0,522,7486,623,0 75,0,2024-09-07 09:55:31:764,157956,0.5,157031,0.7,314992,0.5,420330,2.25 75,1,2024-09-07 09:55:31:595,1094592,1094592,0,0,513967708059,5353051585,1085964,7758,870,380,391739,0 75,2,2024-09-07 09:55:31:351,789604,789604,0,0,41018791,0,4766 75,3,2024-09-07 09:55:31:070,1,623,0,0,918,8281,623,0 76,0,2024-09-07 09:55:30:592,155480,0.6,154894,0.8,309666,0.5,414228,2.25 76,1,2024-09-07 09:55:30:811,1094736,1094736,0,0,513421538974,5351062828,1087397,6348,991,382,391790,0 76,2,2024-09-07 09:55:31:061,791702,791699,3,0,33284941,0,5265 76,3,2024-09-07 09:55:31:141,1,623,1,0,227,5690,623,0 77,0,2024-09-07 09:55:31:703,154014,0.5,154588,0.7,308909,0.5,411068,2.00 77,1,2024-09-07 09:55:30:831,1094665,1094665,0,0,513738323620,5356001215,1086671,7114,880,381,391869,0 77,2,2024-09-07 09:55:31:281,784739,784739,0,0,32264311,0,3890 77,3,2024-09-07 09:55:31:099,1,623,2,0,401,7008,623,0 78,0,2024-09-07 09:55:31:723,156783,0.4,156050,0.6,313003,0.3,414508,2.00 78,1,2024-09-07 09:55:30:610,1095259,1095259,0,0,513195970588,5349776195,1082412,9930,2917,367,391670,0 78,2,2024-09-07 09:55:31:408,787513,787500,13,0,30386240,0,8313 78,3,2024-09-07 09:55:31:142,1,623,1,0,311,5131,623,0 79,0,2024-09-07 09:55:31:366,154309,0.4,158031,0.6,323898,0.3,422356,2.00 79,1,2024-09-07 09:55:30:588,1098957,1098957,0,0,514971542997,5327631826,1091106,6491,1360,367,391682,0 79,2,2024-09-07 09:55:31:069,791690,791690,0,0,29434781,0,4195 79,3,2024-09-07 09:55:30:775,1,623,1,0,418,7199,623,0 80,0,2024-09-07 09:55:31:077,154503,0.6,158692,0.7,303358,0.5,410955,2.00 80,1,2024-09-07 09:55:31:629,1094688,1094688,0,0,513909364158,5348208970,1087525,6710,453,368,392269,0 80,2,2024-09-07 09:55:31:090,791875,791875,0,0,29985731,0,4433 80,3,2024-09-07 09:55:30:575,1,623,1,0,681,7447,623,0 81,0,2024-09-07 09:55:31:579,154127,0.5,157731,0.7,301110,0.5,408315,2.00 81,1,2024-09-07 09:55:31:658,1093973,1093973,0,0,512861955642,5355863276,1085802,7403,768,382,391885,0 81,2,2024-09-07 09:55:31:136,787104,787041,63,0,33190287,0,5932 81,3,2024-09-07 09:55:31:117,1,623,1,0,719,7051,623,0 82,0,2024-09-07 09:55:31:552,154939,0.4,155016,0.6,310855,0.4,412020,2.00 82,1,2024-09-07 09:55:30:589,1095961,1095957,0,4,514593778571,5346282434,1090358,4774,825,381,391768,4 82,2,2024-09-07 09:55:31:715,787775,787775,0,0,28188296,0,4484 82,3,2024-09-07 09:55:31:755,1,623,1,0,363,5731,623,0 83,0,2024-09-07 09:55:31:543,159612,0.5,159313,0.7,318511,0.5,423295,2.00 83,1,2024-09-07 09:55:30:557,1095091,1095091,0,0,513281313471,5347734961,1087499,6947,645,382,391709,0 83,2,2024-09-07 09:55:30:771,790834,790809,25,0,30389880,0,5612 83,3,2024-09-07 09:55:30:750,1,623,55,0,1260,7306,623,0 84,0,2024-09-07 09:55:32:008,153003,0.8,153021,0.9,305906,0.8,408649,2.25 84,1,2024-09-07 09:55:31:047,1093023,1093023,0,0,512718803297,5358826893,1080757,10593,1673,367,391967,0 84,2,2024-09-07 09:55:30:583,788456,788046,410,0,44007610,0,17037 84,3,2024-09-07 09:55:31:141,1,623,1,0,908,8175,623,0 85,0,2024-09-07 09:55:31:015,148895,0.6,148888,0.8,316075,0.6,407691,2.25 85,1,2024-09-07 09:55:30:583,1089947,1089947,0,0,511339057121,5394858384,1073614,13531,2802,381,392092,0 85,2,2024-09-07 09:55:30:865,787504,787504,0,0,37158260,0,4255 85,3,2024-09-07 09:55:30:689,1,623,3,0,789,7114,623,0 86,0,2024-09-07 09:55:30:931,153970,0.6,158295,0.8,302698,0.7,409159,2.25 86,1,2024-09-07 09:55:30:835,1093230,1093230,0,0,512947395215,5374654643,1079308,11235,2687,366,392169,0 86,2,2024-09-07 09:55:30:862,783471,783470,1,0,39393042,0,5004 86,3,2024-09-07 09:55:30:599,1,623,3,0,308,8682,623,0 87,0,2024-09-07 09:55:31:300,159011,0.7,158153,0.8,316458,0.8,423371,2.25 87,1,2024-09-07 09:55:30:559,1092316,1092316,0,0,512335338573,5363906976,1078924,11593,1799,366,392076,0 87,2,2024-09-07 09:55:31:069,787260,787254,6,0,35775799,0,6323 87,3,2024-09-07 09:55:31:804,1,623,2,0,473,8744,623,0 88,0,2024-09-07 09:55:31:486,156358,0.5,156977,0.6,313481,0.4,417049,1.75 88,1,2024-09-07 09:55:30:575,1091700,1091700,0,0,512259194394,5367098506,1076295,12357,3048,365,392084,0 88,2,2024-09-07 09:55:30:705,789738,789738,0,0,38836597,0,4465 88,3,2024-09-07 09:55:31:284,1,623,10,0,1080,9445,623,0 89,0,2024-09-07 09:55:31:789,160353,0.5,155577,0.6,306895,0.4,417269,1.75 89,1,2024-09-07 09:55:30:573,1091307,1091307,0,0,512151141891,5383370650,1078310,11050,1947,382,391866,0 89,2,2024-09-07 09:55:31:136,788720,788720,0,0,36598196,0,3173 89,3,2024-09-07 09:55:31:796,1,623,1,0,468,10190,623,0 90,0,2024-09-07 09:55:31:901,150152,0.5,154270,0.6,314657,0.4,410596,2.00 90,1,2024-09-07 09:55:30:612,1093389,1093389,0,0,512129728555,5361152038,1083283,9209,897,380,391825,0 90,2,2024-09-07 09:55:31:500,781422,781417,5,0,40028843,0,6370 90,3,2024-09-07 09:55:30:953,1,623,27,0,322,7432,623,0 91,0,2024-09-07 09:55:30:997,157396,0.4,152789,0.6,319729,0.4,419553,1.75 91,1,2024-09-07 09:55:30:576,1090866,1090866,0,0,512159378535,5393900788,1074996,12722,3148,381,392047,0 91,2,2024-09-07 09:55:31:335,786799,786799,0,0,35239835,0,4713 91,3,2024-09-07 09:55:30:613,1,623,7,0,216,5496,623,0 92,0,2024-09-07 09:55:31:491,161091,0.4,164721,0.6,313974,0.4,425516,1.75 92,1,2024-09-07 09:55:30:600,1093916,1093916,0,0,513289729079,5358719948,1085538,7090,1288,381,392136,0 92,2,2024-09-07 09:55:31:371,791387,791387,0,0,31135482,0,3259 92,3,2024-09-07 09:55:31:058,1,623,6,0,167,5279,623,0 93,0,2024-09-07 09:55:31:054,156502,0.4,160294,0.6,306091,0.4,414919,1.75 93,1,2024-09-07 09:55:30:876,1095006,1095006,0,0,513980923480,5361702748,1083274,9795,1937,365,392048,0 93,2,2024-09-07 09:55:30:950,789487,789487,0,0,36824798,0,4913 93,3,2024-09-07 09:55:31:444,1,623,1,0,375,6360,623,0 94,0,2024-09-07 09:55:31:877,153204,0.4,154342,0.5,308545,0.3,409747,1.75 94,1,2024-09-07 09:55:30:588,1095408,1095408,0,0,513802862473,5355418789,1088443,6530,435,381,391850,0 94,2,2024-09-07 09:55:30:818,786410,786382,28,0,31612882,0,6179 94,3,2024-09-07 09:55:31:695,1,623,9,0,576,7662,623,0 95,0,2024-09-07 09:55:31:554,155578,0.3,155455,0.5,311236,0.3,415043,1.75 95,1,2024-09-07 09:55:30:887,1095995,1095995,0,0,514372872563,5342760079,1087541,7702,752,365,391852,0 95,2,2024-09-07 09:55:31:025,784941,784941,0,0,31296943,0,3308 95,3,2024-09-07 09:55:31:730,1,623,196,0,718,9700,623,0 96,0,2024-09-07 09:55:31:049,159748,0.4,160077,0.5,320049,0.3,425527,1.75 96,1,2024-09-07 09:55:31:594,1094400,1094400,0,0,513066891585,5348725202,1086988,6138,1274,384,391964,0 96,2,2024-09-07 09:55:31:288,791795,791795,0,0,32378126,0,4180 96,3,2024-09-07 09:55:31:148,1,623,1,0,411,7045,623,0 97,0,2024-09-07 09:55:31:441,153976,0.3,153854,0.5,308606,0.3,410026,1.50 97,1,2024-09-07 09:55:30:768,1096213,1096213,0,0,514653025949,5337702796,1089268,5904,1041,367,392140,0 97,2,2024-09-07 09:55:30:612,790723,790723,0,0,31864062,0,4046 97,3,2024-09-07 09:55:30:576,1,623,1,0,242,6894,623,0 98,0,2024-09-07 09:55:31:712,154045,0.3,154185,0.4,309432,0.2,411380,1.50 98,1,2024-09-07 09:55:30:579,1094965,1094965,0,0,513929478543,5350824822,1088455,5673,837,381,391997,0 98,2,2024-09-07 09:55:30:788,787790,787790,0,0,30626373,0,4336 98,3,2024-09-07 09:55:30:710,1,623,4,0,840,9189,623,0 99,0,2024-09-07 09:55:31:679,154827,0.3,155685,0.5,309851,0.3,413497,1.75 99,1,2024-09-07 09:55:31:731,1096029,1096029,0,0,514473170332,5350623749,1089650,5436,943,380,392069,0 99,2,2024-09-07 09:55:31:428,784889,784889,0,0,37930223,0,4276 99,3,2024-09-07 09:55:30:589,1,623,1,0,1124,7914,623,0 100,0,2024-09-07 09:55:31:597,158861,0.7,159275,0.9,318587,0.7,425219,2.25 100,1,2024-09-07 09:55:30:574,1089619,1089619,0,0,511561934982,5403094618,1073584,12715,3320,378,391989,0 100,2,2024-09-07 09:55:31:828,785701,785312,389,0,41016895,0,16909 100,3,2024-09-07 09:55:31:754,1,623,35,0,627,10307,623,0 101,0,2024-09-07 09:55:31:762,158570,1.1,154747,1.0,302695,1.1,413038,2.25 101,1,2024-09-07 09:55:30:578,1091988,1091988,0,0,512469592553,5380867856,1077828,11631,2529,368,391847,0 101,2,2024-09-07 09:55:31:775,785674,785674,0,0,41007292,0,4871 101,3,2024-09-07 09:55:30:947,1,623,8,0,1250,9091,623,0 102,0,2024-09-07 09:55:30:965,151442,0.6,155984,0.8,316958,0.6,414411,2.25 102,1,2024-09-07 09:55:31:155,1091456,1091456,0,0,512328977404,5377746041,1077320,11935,2201,369,391984,0 102,2,2024-09-07 09:55:31:757,789523,789469,54,0,35081587,0,6768 102,3,2024-09-07 09:55:31:615,1,623,4,0,466,6998,623,0 103,0,2024-09-07 09:55:31:682,159805,0.5,159832,0.7,301143,0.5,414222,2.00 103,1,2024-09-07 09:55:31:658,1090484,1090484,0,0,512101657801,5404487187,1073386,13495,3603,381,392077,0 103,2,2024-09-07 09:55:30:612,782383,782383,0,0,37873090,0,3766 103,3,2024-09-07 09:55:30:775,1,623,21,0,916,7182,623,0 104,0,2024-09-07 09:55:31:076,157190,0.7,157357,0.9,314076,0.6,420068,2.25 104,1,2024-09-07 09:55:31:609,1092933,1092933,0,0,512711593902,5383224313,1077385,12703,2845,365,392168,0 104,2,2024-09-07 09:55:31:670,784625,784625,0,0,37652466,0,4161 104,3,2024-09-07 09:55:31:432,1,623,1,0,1245,10844,623,0 105,0,2024-09-07 09:55:31:044,155891,0.9,151931,1.0,318617,1.0,418259,2.50 105,1,2024-09-07 09:55:30:574,1094084,1094084,0,0,512559429181,5366881589,1080502,11638,1944,364,392009,0 105,2,2024-09-07 09:55:31:328,790113,790113,0,0,37973187,0,4360 105,3,2024-09-07 09:55:31:309,1,623,2,0,573,9410,623,0 106,0,2024-09-07 09:55:30:992,150562,0.9,154268,1.0,315924,1.1,412579,2.50 106,1,2024-09-07 09:55:31:751,1092816,1092816,0,0,512029804575,5370302196,1078136,12784,1896,368,391914,0 106,2,2024-09-07 09:55:30:775,788270,788270,0,0,35608885,0,3331 106,3,2024-09-07 09:55:30:692,1,623,0,0,1224,8462,623,0 107,0,2024-09-07 09:55:31:141,154156,0.9,154260,0.9,308519,1.1,411669,2.25 107,1,2024-09-07 09:55:30:597,1090660,1090660,0,0,511223559052,5399457029,1073251,15274,2135,381,392234,0 107,2,2024-09-07 09:55:31:308,781948,781947,1,0,38714233,0,5024 107,3,2024-09-07 09:55:31:763,1,623,7,0,733,9441,623,0 108,0,2024-09-07 09:55:31:770,155828,0.4,156513,0.6,311940,0.4,415975,1.75 108,1,2024-09-07 09:55:31:309,1094190,1094190,0,0,513342189528,5351127964,1085482,7711,997,367,391894,0 108,2,2024-09-07 09:55:31:756,786386,786386,0,0,33336371,0,4246 108,3,2024-09-07 09:55:31:342,1,623,0,0,749,10955,623,0 109,0,2024-09-07 09:55:31:783,160583,0.4,159164,0.6,319243,0.3,426874,1.75 109,1,2024-09-07 09:55:30:589,1091832,1091832,0,0,512998621301,5378168996,1082335,8217,1280,382,392132,0 109,2,2024-09-07 09:55:30:945,789174,789174,0,0,34354296,0,3617 109,3,2024-09-07 09:55:31:139,1,623,5,0,630,7419,623,0 110,0,2024-09-07 09:55:31:761,154505,0.4,150163,0.6,314511,0.4,412805,1.75 110,1,2024-09-07 09:55:31:657,1096183,1096183,0,0,514419180473,5337274249,1088135,6120,1928,368,392045,0 110,2,2024-09-07 09:55:31:307,790337,790337,0,0,31715281,0,4067 110,3,2024-09-07 09:55:30:702,1,623,12,0,722,8213,623,0 111,0,2024-09-07 09:55:31:417,154900,0.4,154119,0.5,307994,0.3,410666,1.75 111,1,2024-09-07 09:55:31:006,1097411,1097411,0,0,515447116074,5343426913,1091773,5257,381,380,391690,0 111,2,2024-09-07 09:55:31:119,787714,787714,0,0,32238040,0,4823 111,3,2024-09-07 09:55:30:920,1,623,9,0,379,6674,623,0 112,0,2024-09-07 09:55:30:933,155658,0.3,155336,0.4,311277,0.2,413244,1.50 112,1,2024-09-07 09:55:30:831,1095935,1095935,0,0,513762843686,5332896932,1088728,5982,1225,380,391624,0 112,2,2024-09-07 09:55:31:135,785537,785536,1,0,30547821,0,5036 112,3,2024-09-07 09:55:30:602,1,623,0,0,282,5844,623,0 113,0,2024-09-07 09:55:30:871,159461,0.3,159510,0.5,319566,0.2,425499,1.50 113,1,2024-09-07 09:55:31:694,1098427,1098427,0,0,516052803446,5322423822,1092180,5299,948,365,391664,0 113,2,2024-09-07 09:55:31:308,792803,792803,0,0,29115714,0,3813 113,3,2024-09-07 09:55:30:702,1,623,3,0,510,6591,623,0 114,0,2024-09-07 09:55:30:881,154596,0.3,155613,0.5,310275,0.2,414041,1.75 114,1,2024-09-07 09:55:30:721,1096475,1096475,0,0,513870542807,5333473663,1088531,6197,1747,381,391565,0 114,2,2024-09-07 09:55:30:880,792235,792234,1,0,30108115,0,5069 114,3,2024-09-07 09:55:31:283,1,623,1,0,415,5308,623,0 115,0,2024-09-07 09:55:30:555,155668,0.3,156251,0.4,311133,0.2,413740,1.50 115,1,2024-09-07 09:55:30:577,1096788,1096788,0,0,514589201141,5342850405,1088528,6743,1517,382,391757,0 115,2,2024-09-07 09:55:31:137,790476,790476,0,0,30424072,0,4382 115,3,2024-09-07 09:55:31:004,1,623,1,0,167,3741,623,0 116,0,2024-09-07 09:55:31:841,153897,0.6,153734,0.8,308160,0.6,411456,2.00 116,1,2024-09-07 09:55:30:819,1090476,1090476,0,0,512104187876,5392896528,1077813,9571,3092,380,392089,0 116,2,2024-09-07 09:55:31:788,784425,784425,0,0,37598711,0,4475 116,3,2024-09-07 09:55:30:940,1,623,1,0,448,7827,623,0 117,0,2024-09-07 09:55:30:973,158948,0.6,158394,0.8,317359,0.6,424671,2.00 117,1,2024-09-07 09:55:31:583,1092717,1092717,0,0,512187285675,5360564724,1080923,10270,1524,369,392429,0 117,2,2024-09-07 09:55:31:122,789665,789665,0,0,34039704,0,4303 117,3,2024-09-07 09:55:31:063,1,623,3,0,490,7775,623,0 118,0,2024-09-07 09:55:31:790,151682,0.5,155808,0.7,317969,0.5,415639,2.00 118,1,2024-09-07 09:55:30:590,1091924,1091924,0,0,511431288232,5373209963,1076072,12228,3624,366,392054,0 118,2,2024-09-07 09:55:31:597,789406,789406,0,0,36337542,0,2842 118,3,2024-09-07 09:55:31:783,1,623,1,0,289,7218,623,0 119,0,2024-09-07 09:55:31:486,155718,0.8,156320,0.8,311917,0.8,415645,2.25 119,1,2024-09-07 09:55:30:552,1092687,1092687,0,0,513178661559,5378370527,1077986,12189,2512,367,391857,0 119,2,2024-09-07 09:55:31:282,789920,789920,0,0,34307392,0,4309 119,3,2024-09-07 09:55:31:334,1,623,5,0,1358,11457,623,0 120,0,2024-09-07 09:55:31:585,153921,0.6,153758,0.8,309011,0.6,411729,2.25 120,1,2024-09-07 09:55:30:872,1093489,1093489,0,0,512488473033,5372378078,1081761,10586,1142,367,392144,0 120,2,2024-09-07 09:55:30:782,784021,784018,3,0,40833201,0,5363 120,3,2024-09-07 09:55:31:315,1,623,23,0,279,7407,623,0 121,0,2024-09-07 09:55:31:783,157131,1.0,156742,1.0,313671,1.2,418526,2.25 121,1,2024-09-07 09:55:31:662,1093121,1093121,0,0,512847402378,5363885094,1081816,9749,1556,366,391840,0 121,2,2024-09-07 09:55:31:143,785979,785979,0,0,37289504,0,4157 121,3,2024-09-07 09:55:30:745,1,623,8,0,387,8121,623,0 122,0,2024-09-07 09:55:31:782,159028,0.8,154543,0.9,323725,0.9,425352,2.00 122,1,2024-09-07 09:55:30:864,1091904,1091904,0,0,512099264105,5372589101,1076881,12605,2418,365,392130,0 122,2,2024-09-07 09:55:31:335,791263,791188,75,0,41069155,0,5989 122,3,2024-09-07 09:55:30:611,1,623,3,0,512,9915,623,0 123,0,2024-09-07 09:55:30:950,154885,0.8,150735,0.9,315825,1.0,413517,2.25 123,1,2024-09-07 09:55:30:574,1092766,1092766,0,0,513387226790,5394850945,1075871,14223,2672,369,392039,0 123,2,2024-09-07 09:55:31:025,787584,787583,1,0,35103110,0,5215 123,3,2024-09-07 09:55:31:149,1,623,2,0,478,7023,623,0 124,0,2024-09-07 09:55:30:968,157965,0.3,158053,0.5,297984,0.3,410220,1.75 124,1,2024-09-07 09:55:31:041,1096334,1096334,0,0,513319516472,5334652847,1088367,6790,1177,365,392178,0 124,2,2024-09-07 09:55:31:011,788520,788467,53,0,31556342,0,6487 124,3,2024-09-07 09:55:30:762,1,623,3,0,490,6077,623,0 125,0,2024-09-07 09:55:31:435,155319,0.4,155150,0.5,311339,0.3,415061,1.75 125,1,2024-09-07 09:55:30:861,1093199,1093199,0,0,513659150911,5358693306,1085120,6925,1154,382,392045,0 125,2,2024-09-07 09:55:31:118,788117,788117,0,0,31871005,0,4534 125,3,2024-09-07 09:55:31:128,1,623,9,0,709,7063,623,0 126,0,2024-09-07 09:55:31:447,159973,0.4,164459,0.5,314464,0.3,426132,1.75 126,1,2024-09-07 09:55:30:590,1097428,1097428,0,0,515117579312,5329697557,1091904,5077,447,365,391987,0 126,2,2024-09-07 09:55:30:616,792609,792609,0,0,33070776,0,4539 126,3,2024-09-07 09:55:30:921,1,623,17,0,268,6724,623,0 127,0,2024-09-07 09:55:31:616,154441,0.3,154503,0.5,308402,0.3,410498,1.75 127,1,2024-09-07 09:55:30:569,1095506,1095506,0,0,513865563970,5343830982,1084815,9052,1639,364,392187,0 127,2,2024-09-07 09:55:30:640,789964,789960,4,0,31232234,0,5305 127,3,2024-09-07 09:55:31:284,1,623,1,0,968,6017,623,0 128,0,2024-09-07 09:55:31:550,155002,0.3,155160,0.4,309972,0.2,412093,1.50 128,1,2024-09-07 09:55:31:607,1095141,1095141,0,0,514110958279,5345631423,1086608,7568,965,367,392423,0 128,2,2024-09-07 09:55:31:399,790127,790127,0,0,28849462,0,3171 128,3,2024-09-07 09:55:30:782,1,623,0,0,1082,9715,623,0 129,0,2024-09-07 09:55:31:003,156101,0.3,155323,0.5,311194,0.3,414048,1.50 129,1,2024-09-07 09:55:30:567,1091698,1091698,0,0,512273717999,5365891031,1081221,8455,2022,379,391962,0 129,2,2024-09-07 09:55:30:703,786768,786764,4,0,31536631,0,5335 129,3,2024-09-07 09:55:30:688,1,623,1,0,506,8400,623,0 130,0,2024-09-07 09:55:31:780,160059,0.4,159736,0.5,319838,0.4,426359,1.75 130,1,2024-09-07 09:55:30:594,1096651,1096651,0,0,514652862072,5342851009,1091194,5055,402,381,391825,0 130,2,2024-09-07 09:55:31:132,789373,789373,0,0,31701073,0,4067 130,3,2024-09-07 09:55:31:310,1,623,2,0,960,8400,623,0 131,0,2024-09-07 09:55:31:959,155294,0.3,155810,0.5,312358,0.3,414601,1.75 131,1,2024-09-07 09:55:31:830,1095295,1095295,0,0,514690756610,5361496801,1088057,6040,1198,381,391865,0 131,2,2024-09-07 09:55:30:577,791106,791106,0,0,29383914,0,3979 131,3,2024-09-07 09:55:31:695,1,623,12,0,392,7838,623,0 132,0,2024-09-07 09:55:31:452,156055,0.5,156947,0.6,312556,0.4,415423,2.00 132,1,2024-09-07 09:55:30:585,1091066,1091066,0,0,511811787840,5384586816,1075612,12750,2704,381,392532,0 132,2,2024-09-07 09:55:30:709,788500,788483,17,0,38159053,0,6451 132,3,2024-09-07 09:55:31:692,1,623,10,0,1298,10936,623,0 133,0,2024-09-07 09:55:31:524,150887,0.4,154402,0.6,316491,0.4,411734,2.00 133,1,2024-09-07 09:55:30:589,1091312,1091312,0,0,511857700598,5388669735,1077220,12328,1764,383,391914,0 133,2,2024-09-07 09:55:31:089,785114,785064,50,0,39105623,0,6861 133,3,2024-09-07 09:55:31:305,1,623,1,0,528,7388,623,0 134,0,2024-09-07 09:55:30:971,158445,0.5,158660,0.7,317224,0.4,422442,2.00 134,1,2024-09-07 09:55:30:587,1092778,1092778,0,0,511960838128,5365792293,1078985,11302,2491,366,391781,0 134,2,2024-09-07 09:55:31:756,787037,787013,24,0,36171085,0,6207 134,3,2024-09-07 09:55:30:774,1,623,2,0,739,7603,623,0 135,0,2024-09-07 09:55:31:101,152771,0.8,152761,0.8,324380,0.8,417563,2.25 135,1,2024-09-07 09:55:31:584,1091872,1091872,0,0,513162281124,5390903784,1078834,11397,1641,380,391974,0 135,2,2024-09-07 09:55:30:715,791852,791852,0,0,37164779,0,4503 135,3,2024-09-07 09:55:31:004,1,623,1,0,900,5946,623,0 136,0,2024-09-07 09:55:31:625,156404,0.6,156813,0.8,311366,0.6,415763,2.00 136,1,2024-09-07 09:55:31:456,1093133,1093133,0,0,512990187076,5373576285,1081214,10529,1390,381,392135,0 136,2,2024-09-07 09:55:31:138,791017,791002,15,0,36748761,0,6007 136,3,2024-09-07 09:55:31:108,1,623,15,0,637,7046,623,0 137,0,2024-09-07 09:55:30:979,159314,0.6,155328,0.7,304109,0.6,413598,2.00 137,1,2024-09-07 09:55:30:603,1092023,1092023,0,0,512347283068,5370728248,1075471,13162,3390,366,391898,0 137,2,2024-09-07 09:55:31:711,783947,783947,0,0,37741664,0,3185 137,3,2024-09-07 09:55:30:785,1,623,0,0,484,8359,623,0 138,0,2024-09-07 09:55:31:783,155352,0.7,155717,0.8,311993,0.7,414539,2.00 138,1,2024-09-07 09:55:31:887,1092795,1092795,0,0,513288674905,5380944804,1078002,12521,2272,368,391954,0 138,2,2024-09-07 09:55:30:590,785102,785102,0,0,35992761,0,4988 138,3,2024-09-07 09:55:30:618,1,623,3,0,1200,9584,623,0 139,0,2024-09-07 09:55:31:392,158433,0.9,159123,0.9,317688,1.3,425058,2.25 139,1,2024-09-07 09:55:30:581,1088135,1088135,0,0,509780884730,5401843720,1069731,14576,3828,380,392109,0 139,2,2024-09-07 09:55:30:708,785706,785676,30,0,41497191,0,5997 139,3,2024-09-07 09:55:31:670,1,623,4,0,432,7272,623,0 140,0,2024-09-07 09:55:31:594,155117,0.3,154311,0.5,309634,0.2,413121,1.75 140,1,2024-09-07 09:55:31:536,1099167,1099167,0,0,516422610817,5320818386,1094166,4453,548,364,391606,0 140,2,2024-09-07 09:55:30:689,790357,790356,1,0,29426922,0,5036 140,3,2024-09-07 09:55:30:782,1,623,0,0,575,6152,623,0 141,0,2024-09-07 09:55:31:701,154385,0.3,158680,0.5,303419,0.2,410456,1.50 141,1,2024-09-07 09:55:30:864,1097241,1097241,0,0,514298483722,5340018909,1089041,7007,1193,379,391614,0 141,2,2024-09-07 09:55:31:693,788240,788229,11,0,31499423,0,5369 141,3,2024-09-07 09:55:31:048,1,623,1,0,391,7024,623,0 142,0,2024-09-07 09:55:31:376,155977,0.3,155110,0.5,310509,0.2,414118,1.50 142,1,2024-09-07 09:55:30:589,1095222,1095222,0,0,513558082802,5350489322,1088483,6229,510,382,392102,0 142,2,2024-09-07 09:55:31:306,784986,784954,32,0,32029960,0,6028 142,3,2024-09-07 09:55:31:751,1,623,2,0,484,6451,623,0 143,0,2024-09-07 09:55:31:397,159536,0.4,159349,0.5,319776,0.4,425512,1.75 143,1,2024-09-07 09:55:30:560,1097337,1097337,0,0,514672326270,5336173588,1090859,5910,568,367,391900,0 143,2,2024-09-07 09:55:30:782,790974,790974,0,0,32041322,0,3123 143,3,2024-09-07 09:55:31:142,1,623,7,0,462,7361,623,0 144,0,2024-09-07 09:55:31:563,149821,0.6,154224,0.8,313750,0.5,410533,2.00 144,1,2024-09-07 09:55:30:577,1092195,1092195,0,0,512252837815,5371966628,1081590,8611,1994,381,391733,0 144,2,2024-09-07 09:55:31:759,791264,791264,0,0,31234515,0,4443 144,3,2024-09-07 09:55:31:743,1,623,4,0,249,6182,623,0 145,0,2024-09-07 09:55:31:372,150073,0.6,149961,0.8,318344,0.5,409608,2.25 145,1,2024-09-07 09:55:30:555,1091389,1091389,0,0,512286760960,5386199527,1077349,11364,2676,382,391781,0 145,2,2024-09-07 09:55:31:432,787100,787018,82,0,37087965,0,7814 145,3,2024-09-07 09:55:30:918,1,623,1,0,622,8133,623,0 146,0,2024-09-07 09:55:31:608,154275,0.5,153342,0.7,308509,0.5,409780,2.00 146,1,2024-09-07 09:55:31:586,1092458,1092458,0,0,512084122232,5384987301,1074915,13061,4482,367,391770,0 146,2,2024-09-07 09:55:31:701,783251,783245,6,0,35338639,0,5151 146,3,2024-09-07 09:55:31:284,1,623,4,0,1520,10015,623,0 147,0,2024-09-07 09:55:31:703,158856,0.5,158617,0.7,317255,0.5,423830,2.00 147,1,2024-09-07 09:55:31:381,1096504,1096504,0,0,514821867663,5346541540,1087998,7539,967,367,391791,0 147,2,2024-09-07 09:55:31:011,788132,788132,0,0,31556415,0,2968 147,3,2024-09-07 09:55:30:919,1,623,2,0,1626,9712,623,0 0,0,2024-09-07 09:55:41:782,150626,0.5,150594,0.7,319755,0.6,412834,2.00 0,1,2024-09-07 09:55:40:801,1094946,1094946,0,0,514262634331,5379389171,1086390,7607,949,368,391896,0 0,2,2024-09-07 09:55:41:067,786918,786918,0,0,31368250,0,4480 0,3,2024-09-07 09:55:40:974,1,624,1,0,431,8808,624,0 1,0,2024-09-07 09:55:41:840,157419,0.8,156364,0.9,313928,0.9,420213,2.00 1,1,2024-09-07 09:55:40:568,1093950,1093950,0,0,512710427203,5366845425,1084461,7998,1491,370,391859,0 1,2,2024-09-07 09:55:40:639,788457,788457,0,0,31067963,0,3380 1,3,2024-09-07 09:55:41:307,1,624,15,0,269,7695,624,0 2,0,2024-09-07 09:55:41:570,159470,0.6,159006,0.7,318129,0.6,424148,2.00 2,1,2024-09-07 09:55:40:859,1097359,1097359,0,0,515456215188,5356572738,1091176,5231,952,379,391745,0 2,2,2024-09-07 09:55:41:269,792837,792837,0,0,29669038,0,3594 2,3,2024-09-07 09:55:40:689,1,624,1,0,357,5472,624,0 3,0,2024-09-07 09:55:41:750,155668,0.4,155692,0.6,310849,0.4,414459,2.00 3,1,2024-09-07 09:55:41:618,1096318,1096318,0,0,514535102010,5348880580,1088692,6921,705,379,391716,0 3,2,2024-09-07 09:55:41:142,791778,791755,23,0,30572455,0,5851 3,3,2024-09-07 09:55:41:755,1,624,13,0,484,4709,624,0 4,0,2024-09-07 09:55:41:835,149555,0.4,153748,0.5,313318,0.3,409996,1.75 4,1,2024-09-07 09:55:40:593,1091386,1091386,0,0,512360569982,5416699177,1073563,14066,3757,369,391992,0 4,2,2024-09-07 09:55:41:020,784549,784549,0,0,37355269,0,4534 4,3,2024-09-07 09:55:41:027,1,624,1,0,448,7406,624,0 5,0,2024-09-07 09:55:41:406,156009,0.4,155985,0.5,312006,0.3,415707,1.75 5,1,2024-09-07 09:55:40:755,1092602,1092602,0,0,512546440899,5406403490,1076233,12236,4133,367,392005,0 5,2,2024-09-07 09:55:41:836,784126,784126,0,0,36176150,0,3582 5,3,2024-09-07 09:55:41:746,1,624,8,0,457,8469,624,0 6,0,2024-09-07 09:55:40:915,160252,0.4,159839,0.6,319701,0.4,426113,1.75 6,1,2024-09-07 09:55:40:748,1095162,1095162,0,0,513442815552,5359057091,1084081,9507,1574,379,391702,0 6,2,2024-09-07 09:55:41:116,792314,792296,18,0,35128746,0,5535 6,3,2024-09-07 09:55:41:276,1,624,4,0,710,7417,624,0 7,0,2024-09-07 09:55:41:531,153745,0.5,154797,0.7,307283,0.5,410445,2.00 7,1,2024-09-07 09:55:40:850,1092725,1092725,0,0,512583011023,5392300177,1076394,12565,3766,382,391747,0 7,2,2024-09-07 09:55:40:772,789980,789980,0,0,33797530,0,4791 7,3,2024-09-07 09:55:40:851,1,624,43,0,552,7207,624,0 8,0,2024-09-07 09:55:41:329,154984,0.4,154675,0.5,309664,0.3,412568,1.75 8,1,2024-09-07 09:55:41:017,1092362,1092362,0,0,513001563708,5391159554,1075601,13169,3592,366,392853,0 8,2,2024-09-07 09:55:40:792,784332,784330,2,0,40246937,0,5112 8,3,2024-09-07 09:55:40:585,1,624,1,0,772,9720,624,0 9,0,2024-09-07 09:55:41:108,155061,0.4,150826,0.5,315531,0.3,414045,1.75 9,1,2024-09-07 09:55:40:554,1092093,1092093,0,0,512262356820,5396241170,1075280,13583,3230,369,392001,0 9,2,2024-09-07 09:55:41:088,785135,785134,1,0,37940839,0,5281 9,3,2024-09-07 09:55:41:765,1,624,3,0,1273,10331,624,0 10,0,2024-09-07 09:55:41:600,159775,0.3,159134,0.5,319198,0.3,425663,1.75 10,1,2024-09-07 09:55:40:583,1094146,1094146,0,0,513123175225,5380120217,1078412,12670,3064,381,391981,0 10,2,2024-09-07 09:55:40:764,790092,790092,0,0,40864917,0,4713 10,3,2024-09-07 09:55:40:875,1,624,0,0,669,6854,624,0 11,0,2024-09-07 09:55:41:007,155192,0.4,150601,0.6,315119,0.4,414689,1.75 11,1,2024-09-07 09:55:40:583,1095385,1095385,0,0,514129355297,5390025090,1079426,11656,4303,383,391766,0 11,2,2024-09-07 09:55:41:124,790079,790079,0,0,36046025,0,4698 11,3,2024-09-07 09:55:41:298,1,624,1,0,843,7878,624,0 12,0,2024-09-07 09:55:40:958,157045,0.4,156845,0.5,313347,0.4,416293,1.75 12,1,2024-09-07 09:55:40:933,1095328,1095328,0,0,513307278769,5350540997,1086924,7395,1009,370,391960,0 12,2,2024-09-07 09:55:41:548,788678,788678,0,0,34941588,0,4390 12,3,2024-09-07 09:55:41:062,1,624,1,0,386,7658,624,0 13,0,2024-09-07 09:55:41:329,155676,0.4,155702,0.5,310554,0.3,413383,1.75 13,1,2024-09-07 09:55:41:538,1092776,1092776,0,0,513008969219,5389094907,1081869,8516,2391,382,391803,0 13,2,2024-09-07 09:55:40:599,787928,787928,0,0,31143173,0,3287 13,3,2024-09-07 09:55:41:766,1,624,1,0,522,8085,624,0 14,0,2024-09-07 09:55:40:568,158521,0.4,159553,0.6,316766,0.3,422253,1.75 14,1,2024-09-07 09:55:41:561,1100255,1100255,0,0,515966845537,5336184294,1091774,7352,1129,364,391673,0 14,2,2024-09-07 09:55:40:764,789336,789306,30,0,32553806,0,6104 14,3,2024-09-07 09:55:41:115,1,624,1,0,1168,6722,624,0 15,0,2024-09-07 09:55:41:561,157939,0.4,157038,0.6,314505,0.4,419087,2.00 15,1,2024-09-07 09:55:41:608,1097223,1097223,0,0,515104657289,5361029547,1088146,7164,1913,381,391619,0 15,2,2024-09-07 09:55:40:997,793800,793800,0,0,28434239,0,3622 15,3,2024-09-07 09:55:41:404,1,624,5,0,1126,8147,624,0 16,0,2024-09-07 09:55:40:966,156056,0.6,156677,0.8,312726,0.6,416398,2.00 16,1,2024-09-07 09:55:40:566,1096750,1096750,0,0,514035431341,5370600527,1087293,8012,1445,370,392194,0 16,2,2024-09-07 09:55:41:441,790220,790220,0,0,32091893,0,4719 16,3,2024-09-07 09:55:41:150,1,624,21,0,358,7703,624,0 17,0,2024-09-07 09:55:41:814,159451,0.6,155459,0.7,304507,0.5,414339,2.00 17,1,2024-09-07 09:55:40:576,1094645,1094645,0,0,513671078983,5383507899,1084342,8530,1773,368,392075,0 17,2,2024-09-07 09:55:41:675,789174,789173,1,0,33285555,0,5050 17,3,2024-09-07 09:55:40:574,1,624,5,0,518,8909,624,0 18,0,2024-09-07 09:55:40:941,154918,0.6,155955,0.8,310361,0.6,414787,2.25 18,1,2024-09-07 09:55:41:638,1099100,1099100,0,0,514582773709,5333947454,1092025,5817,1258,367,391725,0 18,2,2024-09-07 09:55:41:759,790079,790079,0,0,29814299,0,3541 18,3,2024-09-07 09:55:40:898,1,624,1,0,1059,5614,624,0 19,0,2024-09-07 09:55:41:546,159655,0.6,160073,0.8,319225,0.6,424670,2.00 19,1,2024-09-07 09:55:40:565,1098901,1098901,0,0,515849502751,5343577700,1091077,6691,1133,365,391777,0 19,2,2024-09-07 09:55:41:760,795257,795257,0,0,28201627,0,3988 19,3,2024-09-07 09:55:41:129,1,624,0,0,524,4765,624,0 20,0,2024-09-07 09:55:41:349,154798,0.6,154713,0.7,309366,0.5,412714,2.00 20,1,2024-09-07 09:55:40:572,1094576,1094576,0,0,513658617865,5379340528,1083775,9291,1510,369,391922,0 20,2,2024-09-07 09:55:40:927,790268,790268,0,0,34982489,0,4321 20,3,2024-09-07 09:55:40:591,1,624,1,0,468,9836,624,0 21,0,2024-09-07 09:55:41:132,154354,0.5,154418,0.6,308727,0.4,409780,2.00 21,1,2024-09-07 09:55:41:539,1092592,1092592,0,0,512431927352,5404255076,1075702,13053,3837,368,392016,0 21,2,2024-09-07 09:55:41:067,782317,781840,477,0,45400354,0,17074 21,3,2024-09-07 09:55:41:408,1,624,0,0,713,8762,624,0 22,0,2024-09-07 09:55:41:742,155078,0.4,155730,0.6,310927,0.4,412531,2.00 22,1,2024-09-07 09:55:41:023,1093158,1093158,0,0,512196406264,5386394538,1075339,14279,3540,381,391822,0 22,2,2024-09-07 09:55:40:764,785688,785662,26,0,33094402,0,6328 22,3,2024-09-07 09:55:41:066,1,624,2,0,228,5293,624,0 23,0,2024-09-07 09:55:41:393,159508,0.5,159272,0.6,318499,0.4,424988,2.00 23,1,2024-09-07 09:55:41:003,1093655,1093655,0,0,513896297867,5409422750,1074171,12906,6578,365,391690,0 23,2,2024-09-07 09:55:41:092,792201,792201,0,0,31884087,0,3773 23,3,2024-09-07 09:55:41:759,1,624,1,0,855,8931,624,0 24,0,2024-09-07 09:55:40:867,155574,0.4,154755,0.6,311118,0.4,413035,1.75 24,1,2024-09-07 09:55:40:580,1094287,1094287,0,0,512487432584,5361666983,1084152,8425,1710,367,392269,0 24,2,2024-09-07 09:55:41:071,790373,790370,3,0,38650815,0,6294 24,3,2024-09-07 09:55:41:687,1,624,3,0,468,7929,624,0 25,0,2024-09-07 09:55:41:338,159401,0.4,155181,0.6,304901,0.4,414858,2.00 25,1,2024-09-07 09:55:40:561,1092303,1092303,0,0,512038430839,5406270709,1073526,15062,3715,369,391928,0 25,2,2024-09-07 09:55:41:606,787557,787557,0,0,39233138,0,3978 25,3,2024-09-07 09:55:41:000,1,624,3,0,532,6657,624,0 26,0,2024-09-07 09:55:41:722,153601,0.4,150108,0.6,315262,0.3,410355,1.75 26,1,2024-09-07 09:55:41:559,1095760,1095760,0,0,513901112361,5376121967,1081422,11805,2533,380,391758,0 26,2,2024-09-07 09:55:40:865,785530,785530,0,0,41733613,0,4689 26,3,2024-09-07 09:55:41:725,1,624,0,0,796,7618,624,0 27,0,2024-09-07 09:55:41:729,159267,0.4,159999,0.6,318056,0.3,424678,2.25 27,1,2024-09-07 09:55:41:680,1097220,1097220,0,0,514938251465,5363286405,1086817,8794,1609,381,391626,0 27,2,2024-09-07 09:55:40:867,786312,786247,65,0,36614721,0,5699 27,3,2024-09-07 09:55:41:015,1,624,2,0,564,5279,624,0 28,0,2024-09-07 09:55:41:394,156646,0.4,156830,0.6,313746,0.3,417498,1.75 28,1,2024-09-07 09:55:40:806,1097380,1097380,0,0,514742182828,5362884442,1088432,7057,1891,382,391904,0 28,2,2024-09-07 09:55:41:772,792107,792107,0,0,31626854,0,2915 28,3,2024-09-07 09:55:41:783,1,624,1,0,502,6186,624,0 29,0,2024-09-07 09:55:41:360,160532,0.4,156405,0.6,306897,0.3,417898,1.75 29,1,2024-09-07 09:55:41:566,1100421,1100421,0,0,515791040970,5331573043,1094058,5586,777,367,391809,0 29,2,2024-09-07 09:55:40:871,790446,790446,0,0,30669137,0,4986 29,3,2024-09-07 09:55:40:966,1,624,1,0,459,6604,624,0 30,0,2024-09-07 09:55:41:455,154210,0.5,150040,0.6,314399,0.4,410839,2.00 30,1,2024-09-07 09:55:40:570,1099081,1099081,0,0,515846914738,5348743215,1091503,6667,911,380,391672,0 30,2,2024-09-07 09:55:41:277,787385,787385,0,0,29141831,0,4192 30,3,2024-09-07 09:55:40:581,1,624,0,0,519,5749,624,0 31,0,2024-09-07 09:55:41:755,157075,0.4,158043,0.6,314994,0.3,420862,1.75 31,1,2024-09-07 09:55:40:564,1103227,1103227,0,0,517519235930,5311691228,1096767,5386,1074,356,391712,0 31,2,2024-09-07 09:55:41:277,787851,787851,0,0,32900254,0,4470 31,3,2024-09-07 09:55:41:706,1,624,1,0,239,5270,624,0 32,0,2024-09-07 09:55:41:445,159549,0.3,160650,0.5,319777,0.3,425583,1.75 32,1,2024-09-07 09:55:40:806,1099161,1099161,0,0,515107694083,5350007496,1092963,5480,718,381,391646,0 32,2,2024-09-07 09:55:40:934,794178,794178,0,0,29029393,0,3922 32,3,2024-09-07 09:55:41:015,1,624,1,0,304,5027,624,0 33,0,2024-09-07 09:55:41:521,156312,0.3,155956,0.4,311982,0.2,415451,1.50 33,1,2024-09-07 09:55:40:575,1099489,1099489,0,0,516518724684,5346870054,1091033,7183,1273,368,391730,0 33,2,2024-09-07 09:55:40:758,791782,791747,35,0,32051351,0,7012 33,3,2024-09-07 09:55:40:895,1,624,1,0,329,5375,624,0 34,0,2024-09-07 09:55:40:929,154311,0.3,158507,0.5,303163,0.2,409367,1.75 34,1,2024-09-07 09:55:41:049,1101456,1101456,0,0,517419019962,5320239365,1098492,2853,111,367,391637,0 34,2,2024-09-07 09:55:40:766,788292,788292,0,0,30462623,0,4562 34,3,2024-09-07 09:55:41:687,1,624,1,0,541,5541,624,0 35,0,2024-09-07 09:55:40:857,155162,0.3,155976,0.5,312766,0.2,416121,1.75 35,1,2024-09-07 09:55:41:067,1097509,1097509,0,0,515610659666,5348624396,1089396,6590,1523,382,391769,0 35,2,2024-09-07 09:55:41:588,787431,787431,0,0,33821553,0,4055 35,3,2024-09-07 09:55:40:911,1,624,1,0,466,5747,624,0 36,0,2024-09-07 09:55:41:576,160352,0.5,160570,0.6,320508,0.4,426777,2.00 36,1,2024-09-07 09:55:40:582,1096880,1096880,0,0,514614481871,5369687926,1083296,11343,2241,366,391759,0 36,2,2024-09-07 09:55:41:755,792756,792756,0,0,34911389,0,3875 36,3,2024-09-07 09:55:40:869,1,624,4,0,556,8346,624,0 37,0,2024-09-07 09:55:41:368,153540,0.5,153776,0.7,307756,0.5,410650,2.25 37,1,2024-09-07 09:55:40:568,1096093,1096086,0,7,514237683073,5366073875,1083425,9747,2914,365,391770,0 37,2,2024-09-07 09:55:41:140,788231,788216,15,0,34779586,0,5815 37,3,2024-09-07 09:55:41:771,1,624,1,0,888,8158,624,0 38,0,2024-09-07 09:55:41:437,153826,0.4,149158,0.6,311766,0.4,408296,2.00 38,1,2024-09-07 09:55:41:608,1096235,1096235,0,0,515450859246,5386794948,1080901,12334,3000,368,391821,0 38,2,2024-09-07 09:55:40:763,788767,788720,47,0,34958137,0,6710 38,3,2024-09-07 09:55:40:997,1,624,1,0,689,7456,624,0 39,0,2024-09-07 09:55:41:761,158598,0.5,155015,0.7,302291,0.4,412936,2.00 39,1,2024-09-07 09:55:40:716,1095323,1095323,0,0,513411517715,5377521957,1077874,13734,3715,365,391865,0 39,2,2024-09-07 09:55:41:416,786133,786133,0,0,33097827,0,3391 39,3,2024-09-07 09:55:40:724,1,624,0,0,525,6909,624,0 40,0,2024-09-07 09:55:41:534,158272,0.8,159063,0.9,317499,0.8,424046,2.75 40,1,2024-09-07 09:55:40:576,1095960,1095960,0,0,513512813565,5375371015,1080348,12543,3069,366,391668,0 40,2,2024-09-07 09:55:41:308,787855,787848,7,0,39565844,0,5347 40,3,2024-09-07 09:55:41:149,1,624,1,0,1028,9004,624,0 41,0,2024-09-07 09:55:41:027,154483,1.3,158104,1.1,301462,1.8,409407,3.00 41,1,2024-09-07 09:55:40:772,1094960,1094960,0,0,514214410945,5375527748,1081180,11620,2160,369,391878,0 41,2,2024-09-07 09:55:40:759,787595,787594,1,0,37834708,0,5408 41,3,2024-09-07 09:55:41:678,1,624,8,0,366,6695,624,0 42,0,2024-09-07 09:55:41:477,154917,0.9,154571,1.0,309171,1.1,410118,2.75 42,1,2024-09-07 09:55:41:439,1092911,1092911,0,0,512433549949,5381187788,1076325,13653,2933,380,391675,0 42,2,2024-09-07 09:55:41:132,788353,788352,1,0,38285494,0,5513 42,3,2024-09-07 09:55:41:009,1,624,1,0,892,6036,624,0 43,0,2024-09-07 09:55:40:925,153243,0.7,149158,0.9,312451,0.7,408262,2.25 43,1,2024-09-07 09:55:40:576,1096672,1096672,0,0,514054110860,5367683497,1081930,12170,2572,366,391696,0 43,2,2024-09-07 09:55:41:746,786172,786172,0,0,35971270,0,4723 43,3,2024-09-07 09:55:41:754,1,624,1,0,571,8884,624,0 44,0,2024-09-07 09:55:40:858,158718,0.4,158706,0.6,317231,0.3,422686,1.75 44,1,2024-09-07 09:55:40:563,1099814,1099814,0,0,514021630475,5310805543,1090439,7433,1942,356,391809,0 44,2,2024-09-07 09:55:41:269,787405,787405,0,0,29492790,0,4344 44,3,2024-09-07 09:55:41:093,1,624,2,0,1097,7518,624,0 45,0,2024-09-07 09:55:41:763,155999,0.5,152441,0.7,319950,0.4,419188,2.00 45,1,2024-09-07 09:55:41:004,1098132,1098132,0,0,515546125116,5353903640,1089876,7353,903,382,391917,0 45,2,2024-09-07 09:55:41:285,793159,793159,0,0,29792535,0,3596 45,3,2024-09-07 09:55:40:934,1,624,1,0,531,5874,624,0 46,0,2024-09-07 09:55:40:949,155324,0.6,154843,0.7,310642,0.5,413067,2.00 46,1,2024-09-07 09:55:40:575,1100486,1100486,0,0,515819601552,5329277212,1092990,6508,988,366,391709,0 46,2,2024-09-07 09:55:40:594,792235,792235,0,0,30451705,0,4443 46,3,2024-09-07 09:55:41:131,1,624,1,0,908,7305,624,0 47,0,2024-09-07 09:55:41:100,155183,0.4,154917,0.6,311315,0.3,412660,1.75 47,1,2024-09-07 09:55:40:567,1101345,1101345,0,0,516164283294,5328947753,1095242,5240,863,364,391641,0 47,2,2024-09-07 09:55:40:907,789875,789875,0,0,29334512,0,4477 47,3,2024-09-07 09:55:41:114,1,624,1,0,600,6739,624,0 48,0,2024-09-07 09:55:41:503,156716,0.3,156957,0.4,313227,0.2,416648,1.50 48,1,2024-09-07 09:55:41:025,1098440,1098440,0,0,514823357336,5343410204,1092332,5530,578,381,391710,0 48,2,2024-09-07 09:55:40:700,788437,788437,0,0,27918809,0,3524 48,3,2024-09-07 09:55:40:752,1,624,1,0,339,5433,624,0 49,0,2024-09-07 09:55:41:715,164885,0.3,161376,0.5,314089,0.3,429187,1.75 49,1,2024-09-07 09:55:41:022,1097606,1097606,0,0,514800032684,5352043545,1090329,5581,1696,382,391809,0 49,2,2024-09-07 09:55:41:808,793908,793908,0,0,30246362,0,4426 49,3,2024-09-07 09:55:41:415,1,624,65,0,992,7307,624,0 50,0,2024-09-07 09:55:41:520,155517,0.3,153967,0.5,310229,0.2,412765,1.75 50,1,2024-09-07 09:55:41:010,1100434,1100434,0,0,516489283304,5336215314,1093905,5860,669,368,391691,0 50,2,2024-09-07 09:55:41:067,790111,790111,0,0,27927996,0,4490 50,3,2024-09-07 09:55:41:295,1,624,1,0,617,6251,624,0 51,0,2024-09-07 09:55:41:685,158371,0.3,155000,0.5,301827,0.2,411447,1.75 51,1,2024-09-07 09:55:41:680,1100756,1100756,0,0,517304112974,5330981907,1095092,4583,1081,365,391706,0 51,2,2024-09-07 09:55:41:322,788475,788475,0,0,27419770,0,3337 51,3,2024-09-07 09:55:41:027,1,624,3,0,678,4451,624,0 52,0,2024-09-07 09:55:41:448,155810,0.5,155545,0.6,311260,0.4,413520,2.00 52,1,2024-09-07 09:55:40:576,1096121,1096121,0,0,514225893408,5378001313,1080969,12821,2331,368,391805,0 52,2,2024-09-07 09:55:41:760,783848,783810,38,0,36945355,0,6742 52,3,2024-09-07 09:55:40:678,1,624,2,0,1782,7138,624,0 53,0,2024-09-07 09:55:41:770,159310,0.6,154654,0.8,323585,0.6,424004,2.25 53,1,2024-09-07 09:55:40:772,1094499,1094499,0,0,513745765372,5386504120,1076304,13795,4400,367,391968,0 53,2,2024-09-07 09:55:41:309,792152,792151,1,0,33238884,0,5455 53,3,2024-09-07 09:55:40:701,1,624,7,0,308,5705,624,0 54,0,2024-09-07 09:55:41:633,152912,0.6,153023,0.8,305027,0.5,407514,2.25 54,1,2024-09-07 09:55:40:579,1097967,1097967,0,0,515035280801,5353211763,1086846,9450,1671,366,391810,0 54,2,2024-09-07 09:55:40:864,791293,791261,32,0,37010062,0,6397 54,3,2024-09-07 09:55:40:763,1,624,9,0,676,7899,624,0 55,0,2024-09-07 09:55:41:768,149662,0.6,154454,0.8,313040,0.6,407505,2.50 55,1,2024-09-07 09:55:40:764,1098335,1098335,0,0,515127235371,5353487061,1087466,9480,1389,365,391731,0 55,2,2024-09-07 09:55:40:730,788219,788163,56,0,34372806,0,7239 55,3,2024-09-07 09:55:40:674,1,624,2,0,304,5752,624,0 56,0,2024-09-07 09:55:41:560,157306,1.1,148240,1.1,305574,1.4,408966,2.75 56,1,2024-09-07 09:55:40:579,1091232,1091232,0,0,512974608369,5421370474,1073230,14313,3689,381,391867,0 56,2,2024-09-07 09:55:41:312,784763,784641,122,0,36762074,0,7432 56,3,2024-09-07 09:55:41:062,1,624,1,0,705,7156,624,0 57,0,2024-09-07 09:55:40:942,157615,1.3,157276,1.2,315076,1.7,421108,3.00 57,1,2024-09-07 09:55:40:994,1093658,1093658,0,0,512089409828,5372267426,1079182,11984,2492,366,392032,0 57,2,2024-09-07 09:55:41:336,788928,788928,0,0,38610588,0,4804 57,3,2024-09-07 09:55:41:746,1,624,1,0,455,7132,624,0 58,0,2024-09-07 09:55:40:568,154195,1.0,149797,1.0,313504,1.2,409821,2.75 58,1,2024-09-07 09:55:40:574,1095118,1095115,0,3,514490996849,5389488775,1078961,12250,3904,367,391726,3 58,2,2024-09-07 09:55:41:073,791806,791806,0,0,36126214,0,3483 58,3,2024-09-07 09:55:41:068,1,624,1,0,1043,6895,624,0 59,0,2024-09-07 09:55:41:752,154664,0.9,154198,1.0,308167,1.0,408965,3.00 59,1,2024-09-07 09:55:40:804,1094321,1094321,0,0,513869272662,5396572187,1076464,14189,3668,369,391653,0 59,2,2024-09-07 09:55:40:584,790518,790518,0,0,34262469,0,3727 59,3,2024-09-07 09:55:41:745,1,624,2,0,1015,7510,624,0 60,0,2024-09-07 09:55:41:755,154951,0.5,154959,0.7,310285,0.4,413183,1.75 60,1,2024-09-07 09:55:40:780,1098918,1098918,0,0,515845595395,5357852151,1090958,6871,1089,370,392031,0 60,2,2024-09-07 09:55:41:140,786654,786654,0,0,32232849,0,3811 60,3,2024-09-07 09:55:41:260,1,624,1,0,409,7106,624,0 61,0,2024-09-07 09:55:41:598,157375,0.6,157946,0.7,314382,0.5,420190,2.00 61,1,2024-09-07 09:55:40:774,1096301,1096301,0,0,513631267941,5373504350,1085160,9459,1682,382,392127,0 61,2,2024-09-07 09:55:41:117,788645,788578,67,0,32948349,0,6411 61,3,2024-09-07 09:55:41:690,1,624,9,0,607,7933,624,0 62,0,2024-09-07 09:55:41:713,160108,0.6,164208,0.7,313598,0.6,425538,2.00 62,1,2024-09-07 09:55:41:112,1102500,1102494,0,6,517404641833,5331367166,1097522,4673,299,365,391975,6 62,2,2024-09-07 09:55:41:652,790686,790685,1,0,32972255,0,5555 62,3,2024-09-07 09:55:41:143,1,624,1,0,482,4914,624,0 63,0,2024-09-07 09:55:41:513,156373,0.4,156047,0.6,312643,0.3,415760,1.75 63,1,2024-09-07 09:55:40:804,1098759,1098753,0,6,515760436621,5352566917,1091904,5994,855,381,391800,6 63,2,2024-09-07 09:55:40:764,791297,791297,0,0,31259396,0,4369 63,3,2024-09-07 09:55:41:739,1,624,1,0,667,6288,624,0 64,0,2024-09-07 09:55:41:613,153400,0.5,153252,0.6,306109,0.4,407980,2.00 64,1,2024-09-07 09:55:40:758,1097374,1097374,0,0,514651833174,5357213982,1088008,7387,1979,370,391794,0 64,2,2024-09-07 09:55:41:141,791084,791065,19,0,30221794,0,6121 64,3,2024-09-07 09:55:41:139,1,624,3,0,651,7044,624,0 65,0,2024-09-07 09:55:41:722,154766,0.6,155087,0.7,309990,0.6,413648,2.00 65,1,2024-09-07 09:55:40:870,1095941,1095941,0,0,513524568237,5363974282,1088447,6619,875,381,391901,0 65,2,2024-09-07 09:55:41:722,786731,786731,0,0,36487680,0,3367 65,3,2024-09-07 09:55:41:683,1,624,2,0,782,7370,624,0 66,0,2024-09-07 09:55:41:790,159499,0.5,159078,0.7,318269,0.5,423679,2.00 66,1,2024-09-07 09:55:41:299,1097981,1097981,0,0,514931998678,5354753284,1091477,5781,723,380,391743,0 66,2,2024-09-07 09:55:41:132,794779,794776,3,0,32404790,0,5455 66,3,2024-09-07 09:55:41:079,1,624,162,0,291,5530,624,0 67,0,2024-09-07 09:55:41:413,154289,0.5,153869,0.7,308751,0.5,411260,2.00 67,1,2024-09-07 09:55:40:766,1097748,1097747,0,1,514963473531,5358124008,1090347,6455,945,380,391787,1 67,2,2024-09-07 09:55:40:586,793702,793687,15,0,32229535,0,6205 67,3,2024-09-07 09:55:41:759,1,624,2,0,595,6356,624,0 68,0,2024-09-07 09:55:40:564,154711,0.6,154457,0.7,307727,0.5,411631,2.00 68,1,2024-09-07 09:55:40:574,1093647,1093647,0,0,513513134688,5393879756,1079834,10282,3531,381,391953,0 68,2,2024-09-07 09:55:41:049,785350,785250,100,0,40116636,0,8578 68,3,2024-09-07 09:55:40:735,1,624,1,0,417,7834,624,0 69,0,2024-09-07 09:55:41:755,154512,0.6,155226,0.8,309526,0.6,411820,2.25 69,1,2024-09-07 09:55:41:018,1092778,1092778,0,0,512982435300,5401299073,1078903,11039,2836,383,391994,0 69,2,2024-09-07 09:55:41:742,783495,783466,29,0,42680609,0,6912 69,3,2024-09-07 09:55:40:765,1,624,2,0,698,8726,624,0 70,0,2024-09-07 09:55:41:537,158061,0.7,158733,0.9,318684,0.6,422849,2.25 70,1,2024-09-07 09:55:40:808,1099178,1099178,0,0,516222949736,5352262696,1091256,7113,809,366,391725,0 70,2,2024-09-07 09:55:41:329,790734,790734,0,0,35176645,0,4323 70,3,2024-09-07 09:55:40:747,1,624,3,0,854,6836,624,0 71,0,2024-09-07 09:55:41:357,154184,0.9,153817,1.0,308761,1.2,411626,2.50 71,1,2024-09-07 09:55:41:603,1096696,1096696,0,0,514329133927,5363730679,1083692,11383,1621,368,391738,0 71,2,2024-09-07 09:55:41:068,790588,790588,0,0,35448771,0,4352 71,3,2024-09-07 09:55:41:755,1,624,1,0,644,6868,624,0 72,0,2024-09-07 09:55:41:077,160928,0.5,157162,0.7,306936,0.5,417259,2.00 72,1,2024-09-07 09:55:41:021,1095273,1095273,0,0,513137811757,5378723048,1079954,12798,2521,369,391819,0 72,2,2024-09-07 09:55:41:767,788262,788262,0,0,37167759,0,3983 72,3,2024-09-07 09:55:41:773,1,624,1,0,564,8984,624,0 73,0,2024-09-07 09:55:41:124,150692,0.4,154633,0.6,316043,0.4,410903,2.00 73,1,2024-09-07 09:55:40:794,1096683,1096683,0,0,515066333241,5351217178,1088270,7430,983,367,391858,0 73,2,2024-09-07 09:55:41:763,785594,785593,1,0,38794150,0,5027 73,3,2024-09-07 09:55:40:969,1,624,10,0,1091,8524,624,0 74,0,2024-09-07 09:55:41:334,159593,0.4,163434,0.6,311732,0.4,423153,2.00 74,1,2024-09-07 09:55:40:638,1095522,1095522,0,0,513646905294,5359957325,1083610,9794,2118,381,391762,0 74,2,2024-09-07 09:55:41:002,787417,787417,0,0,33832355,0,4253 74,3,2024-09-07 09:55:41:442,1,624,0,0,522,7486,624,0 75,0,2024-09-07 09:55:41:782,158060,0.5,157121,0.7,315176,0.5,420578,2.25 75,1,2024-09-07 09:55:41:589,1096385,1096385,0,0,514881430347,5362356767,1087757,7758,870,380,391739,0 75,2,2024-09-07 09:55:41:353,790955,790955,0,0,41032873,0,4766 75,3,2024-09-07 09:55:41:067,1,624,2,0,918,8283,624,0 76,0,2024-09-07 09:55:40:586,155688,0.6,155134,0.8,310121,0.5,414790,2.25 76,1,2024-09-07 09:55:40:807,1096573,1096573,0,0,514208740997,5359118000,1089234,6348,991,382,391790,0 76,2,2024-09-07 09:55:41:063,792994,792991,3,0,33314916,0,5265 76,3,2024-09-07 09:55:41:143,1,624,1,0,227,5691,624,0 77,0,2024-09-07 09:55:41:769,154341,0.5,154896,0.7,309546,0.5,411942,2.00 77,1,2024-09-07 09:55:40:829,1096397,1096397,0,0,514443435173,5363245212,1088403,7114,880,381,391869,0 77,2,2024-09-07 09:55:41:281,785753,785753,0,0,32285811,0,3890 77,3,2024-09-07 09:55:41:098,1,624,0,0,401,7008,624,0 78,0,2024-09-07 09:55:41:764,157086,0.4,156312,0.6,313528,0.3,415257,2.00 78,1,2024-09-07 09:55:40:610,1096957,1096957,0,0,513958819974,5357601234,1084110,9930,2917,367,391670,0 78,2,2024-09-07 09:55:41:404,788876,788863,13,0,30408877,0,8313 78,3,2024-09-07 09:55:41:142,1,624,3,0,311,5134,624,0 79,0,2024-09-07 09:55:41:355,154829,0.4,158560,0.6,325024,0.3,423824,2.00 79,1,2024-09-07 09:55:40:571,1100716,1100716,0,0,516052031680,5338571044,1092865,6491,1360,367,391682,0 79,2,2024-09-07 09:55:41:068,793197,793197,0,0,29468862,0,4195 79,3,2024-09-07 09:55:40:751,1,624,1,0,418,7200,624,0 80,0,2024-09-07 09:55:41:080,154816,0.6,159000,0.7,303961,0.5,411778,2.00 80,1,2024-09-07 09:55:41:634,1096497,1096497,0,0,514559568632,5354917815,1089333,6711,453,368,392269,0 80,2,2024-09-07 09:55:41:091,793227,793227,0,0,30021436,0,4433 80,3,2024-09-07 09:55:40:575,1,624,1,0,681,7448,624,0 81,0,2024-09-07 09:55:41:609,154288,0.5,157908,0.7,301399,0.5,408730,2.00 81,1,2024-09-07 09:55:41:684,1095695,1095695,0,0,513668763633,5364205030,1087524,7403,768,382,391885,0 81,2,2024-09-07 09:55:41:130,787795,787732,63,0,33205965,0,5932 81,3,2024-09-07 09:55:41:123,1,624,1,0,719,7052,624,0 82,0,2024-09-07 09:55:41:555,155184,0.4,155268,0.6,311331,0.4,412650,2.00 82,1,2024-09-07 09:55:40:583,1097747,1097743,0,4,515580324940,5356380826,1092144,4774,825,381,391768,4 82,2,2024-09-07 09:55:41:702,789226,789226,0,0,28223835,0,4484 82,3,2024-09-07 09:55:41:759,1,624,3,0,363,5734,624,0 83,0,2024-09-07 09:55:41:604,159994,0.5,159688,0.7,319255,0.5,424330,2.00 83,1,2024-09-07 09:55:40:553,1096819,1096819,0,0,514052593078,5355665168,1089227,6947,645,382,391709,0 83,2,2024-09-07 09:55:40:764,792314,792289,25,0,30446199,0,5612 83,3,2024-09-07 09:55:40:751,1,624,2,0,1260,7308,624,0 84,0,2024-09-07 09:55:41:787,153172,0.8,153184,0.9,306248,0.8,409113,2.25 84,1,2024-09-07 09:55:41:047,1094776,1094776,0,0,513786933040,5369689531,1082508,10595,1673,367,391967,0 84,2,2024-09-07 09:55:40:571,789529,789119,410,0,44019522,0,17037 84,3,2024-09-07 09:55:41:142,1,624,2,0,908,8177,624,0 85,0,2024-09-07 09:55:41:005,148979,0.6,148990,0.8,316269,0.6,407945,2.25 85,1,2024-09-07 09:55:40:559,1091670,1091670,0,0,512148488713,5403154234,1075337,13531,2802,381,392092,0 85,2,2024-09-07 09:55:40:873,788586,788586,0,0,37168154,0,4255 85,3,2024-09-07 09:55:40:684,1,624,3,0,789,7117,624,0 86,0,2024-09-07 09:55:40:940,154078,0.6,158421,0.8,302940,0.7,409486,2.25 86,1,2024-09-07 09:55:40:825,1094988,1094988,0,0,514019104612,5385528973,1081066,11235,2687,366,392169,0 86,2,2024-09-07 09:55:40:854,785020,785019,1,0,39411887,0,5004 86,3,2024-09-07 09:55:40:590,1,624,5,0,308,8687,624,0 87,0,2024-09-07 09:55:41:351,159481,0.7,158635,0.8,317481,0.8,424695,2.25 87,1,2024-09-07 09:55:40:598,1094056,1094056,0,0,513115826706,5371873434,1080663,11594,1799,366,392076,0 87,2,2024-09-07 09:55:41:068,788513,788507,6,0,35789708,0,6323 87,3,2024-09-07 09:55:41:794,1,624,4,0,473,8748,624,0 88,0,2024-09-07 09:55:41:437,156477,0.5,157069,0.6,313709,0.4,417347,1.75 88,1,2024-09-07 09:55:40:568,1093530,1093530,0,0,513029141526,5374992291,1078124,12358,3048,365,392084,0 88,2,2024-09-07 09:55:40:687,790824,790824,0,0,38848894,0,4465 88,3,2024-09-07 09:55:41:268,1,624,9,0,1080,9454,624,0 89,0,2024-09-07 09:55:41:773,160453,0.5,155686,0.6,307098,0.4,417542,1.75 89,1,2024-09-07 09:55:40:559,1093065,1093065,0,0,512926524980,5391325371,1080067,11051,1947,382,391866,0 89,2,2024-09-07 09:55:41:132,790024,790024,0,0,36612934,0,3173 89,3,2024-09-07 09:55:41:791,1,624,1,0,468,10191,624,0 90,0,2024-09-07 09:55:41:628,150332,0.5,154464,0.6,315047,0.4,411104,2.00 90,1,2024-09-07 09:55:40:594,1095158,1095158,0,0,512875473697,5368924128,1085051,9210,897,380,391825,0 90,2,2024-09-07 09:55:41:415,782654,782649,5,0,40044138,0,6370 90,3,2024-09-07 09:55:40:934,1,624,1,0,322,7433,624,0 91,0,2024-09-07 09:55:41:039,157837,0.4,153240,0.6,320586,0.4,420714,1.75 91,1,2024-09-07 09:55:40:582,1092633,1092633,0,0,512923958657,5401809783,1076761,12724,3148,381,392047,0 91,2,2024-09-07 09:55:41:331,788047,788047,0,0,35254985,0,4713 91,3,2024-09-07 09:55:40:599,1,624,1,0,216,5497,624,0 92,0,2024-09-07 09:55:41:468,161218,0.4,164852,0.6,314200,0.4,425812,1.75 92,1,2024-09-07 09:55:40:580,1095728,1095728,0,0,514072320404,5366772290,1087349,7091,1288,381,392136,0 92,2,2024-09-07 09:55:41:357,792836,792836,0,0,31166953,0,3259 92,3,2024-09-07 09:55:41:009,1,624,1,0,167,5280,624,0 93,0,2024-09-07 09:55:40:986,156786,0.4,160588,0.6,306692,0.4,415709,1.75 93,1,2024-09-07 09:55:40:806,1096774,1096774,0,0,514638393654,5368468712,1085041,9796,1937,365,392048,0 93,2,2024-09-07 09:55:40:937,790897,790897,0,0,36876481,0,4913 93,3,2024-09-07 09:55:41:405,1,624,1,0,375,6361,624,0 94,0,2024-09-07 09:55:41:664,153415,0.4,154595,0.5,308998,0.3,410312,1.75 94,1,2024-09-07 09:55:40:570,1097170,1097170,0,0,514736339755,5365083112,1090205,6530,435,381,391850,0 94,2,2024-09-07 09:55:40:818,787137,787109,28,0,31633400,0,6179 94,3,2024-09-07 09:55:41:696,1,624,1,0,576,7663,624,0 95,0,2024-09-07 09:55:41:356,156015,0.3,155920,0.5,312210,0.3,416261,1.75 95,1,2024-09-07 09:55:40:869,1097678,1097678,0,0,515206096044,5351277791,1089221,7705,752,365,391852,0 95,2,2024-09-07 09:55:41:019,786393,786393,0,0,31338362,0,3308 95,3,2024-09-07 09:55:41:714,1,624,1,0,718,9701,624,0 96,0,2024-09-07 09:55:41:089,160029,0.4,160371,0.5,320614,0.3,426225,1.75 96,1,2024-09-07 09:55:41:588,1096124,1096124,0,0,513826780521,5356605815,1088712,6138,1274,384,391964,0 96,2,2024-09-07 09:55:41:269,793293,793293,0,0,32421520,0,4180 96,3,2024-09-07 09:55:41:148,1,624,3,0,411,7048,624,0 97,0,2024-09-07 09:55:41:317,154328,0.3,154228,0.5,309306,0.3,410950,1.50 97,1,2024-09-07 09:55:40:765,1098002,1098002,0,0,515475238669,5346197256,1091055,5906,1041,367,392140,0 97,2,2024-09-07 09:55:40:610,791991,791991,0,0,31900362,0,4046 97,3,2024-09-07 09:55:40:569,1,624,1,0,242,6895,624,0 98,0,2024-09-07 09:55:41:710,154249,0.3,154350,0.4,309768,0.2,411878,1.50 98,1,2024-09-07 09:55:40:569,1096785,1096785,0,0,514677100017,5358620569,1090273,5675,837,381,391997,0 98,2,2024-09-07 09:55:40:777,788771,788771,0,0,30643834,0,4336 98,3,2024-09-07 09:55:40:699,1,624,2,0,840,9191,624,0 99,0,2024-09-07 09:55:41:460,155159,0.3,156038,0.5,310513,0.3,414405,1.75 99,1,2024-09-07 09:55:41:726,1097815,1097815,0,0,515264169223,5358900735,1091434,5437,944,380,392069,0 99,2,2024-09-07 09:55:41:423,786384,786384,0,0,37970539,0,4276 99,3,2024-09-07 09:55:40:588,1,624,2,0,1124,7916,624,0 100,0,2024-09-07 09:55:41:517,159261,0.7,159751,0.9,319486,0.7,426416,2.25 100,1,2024-09-07 09:55:40:560,1091280,1091280,0,0,512224746654,5409942229,1075243,12717,3320,378,391989,0 100,2,2024-09-07 09:55:41:818,786975,786586,389,0,41030444,0,16909 100,3,2024-09-07 09:55:41:738,1,624,1,0,627,10308,624,0 101,0,2024-09-07 09:55:41:699,158695,1.1,154854,1.0,302960,1.1,413358,2.25 101,1,2024-09-07 09:55:40:555,1093782,1093782,0,0,513258659706,5388934226,1079621,11632,2529,368,391847,0 101,2,2024-09-07 09:55:41:767,786833,786833,0,0,41018624,0,4871 101,3,2024-09-07 09:55:40:942,1,624,1,0,1250,9092,624,0 102,0,2024-09-07 09:55:41:002,151584,0.6,156097,0.8,317200,0.6,414743,2.25 102,1,2024-09-07 09:55:41:141,1093214,1093214,0,0,513251097160,5387101797,1079078,11935,2201,369,391984,0 102,2,2024-09-07 09:55:41:746,790804,790750,54,0,35096274,0,6768 102,3,2024-09-07 09:55:41:626,1,624,15,0,466,7013,624,0 103,0,2024-09-07 09:55:41:625,159808,0.5,159837,0.7,301157,0.5,414222,2.00 103,1,2024-09-07 09:55:41:632,1092317,1092317,0,0,512915750300,5412850658,1075219,13495,3603,381,392077,0 103,2,2024-09-07 09:55:40:604,783746,783746,0,0,37887580,0,3766 103,3,2024-09-07 09:55:40:771,1,624,42,0,916,7224,624,0 104,0,2024-09-07 09:55:41:037,157545,0.7,157701,0.9,314756,0.6,420969,2.25 104,1,2024-09-07 09:55:41:629,1094693,1094693,0,0,513485102512,5391131104,1079145,12703,2845,365,392168,0 104,2,2024-09-07 09:55:41:676,785968,785968,0,0,37668397,0,4161 104,3,2024-09-07 09:55:41:423,1,624,2,0,1245,10846,624,0 105,0,2024-09-07 09:55:41:042,155980,0.9,152017,1.0,318804,1.0,418500,2.50 105,1,2024-09-07 09:55:40:554,1095814,1095814,0,0,513756139662,5379017284,1082232,11638,1944,364,392009,0 105,2,2024-09-07 09:55:41:324,791399,791399,0,0,37988945,0,4360 105,3,2024-09-07 09:55:41:307,1,624,1,0,573,9411,624,0 106,0,2024-09-07 09:55:40:988,150769,0.9,154484,1.0,316402,1.1,413193,2.50 106,1,2024-09-07 09:55:41:750,1094602,1094602,0,0,512712985884,5377319247,1079920,12786,1896,368,391914,0 106,2,2024-09-07 09:55:40:757,789498,789498,0,0,35624176,0,3331 106,3,2024-09-07 09:55:40:678,1,624,12,0,1224,8474,624,0 107,0,2024-09-07 09:55:41:120,154455,0.9,154593,0.9,309141,1.1,412481,2.25 107,1,2024-09-07 09:55:40:612,1092404,1092404,0,0,511932397276,5406752763,1074994,15275,2135,381,392234,0 107,2,2024-09-07 09:55:41:301,782900,782899,1,0,38723203,0,5024 107,3,2024-09-07 09:55:41:773,1,624,7,0,733,9448,624,0 108,0,2024-09-07 09:55:41:773,156105,0.4,156752,0.6,312444,0.4,416682,1.75 108,1,2024-09-07 09:55:41:296,1095927,1095927,0,0,514037857276,5358259999,1087216,7714,997,367,391894,0 108,2,2024-09-07 09:55:41:765,787813,787813,0,0,33376933,0,4246 108,3,2024-09-07 09:55:41:330,1,624,1,0,749,10956,624,0 109,0,2024-09-07 09:55:41:794,161145,0.4,159730,0.6,320364,0.3,428382,1.75 109,1,2024-09-07 09:55:40:584,1093531,1093531,0,0,513796087704,5386403645,1084032,8219,1280,382,392132,0 109,2,2024-09-07 09:55:40:926,790707,790707,0,0,34385107,0,3617 109,3,2024-09-07 09:55:41:140,1,624,0,0,630,7419,624,0 110,0,2024-09-07 09:55:41:815,154807,0.4,150465,0.6,315152,0.4,413656,1.75 110,1,2024-09-07 09:55:41:651,1097947,1097947,0,0,515007620360,5343360183,1089897,6121,1929,368,392045,0 110,2,2024-09-07 09:55:41:310,791855,791855,0,0,31760974,0,4067 110,3,2024-09-07 09:55:40:690,1,624,1,0,722,8214,624,0 111,0,2024-09-07 09:55:41:437,155061,0.4,154281,0.5,308262,0.3,411119,1.75 111,1,2024-09-07 09:55:41:006,1099218,1099218,0,0,516466340455,5353867316,1093580,5257,381,380,391690,0 111,2,2024-09-07 09:55:41:116,788396,788396,0,0,32250682,0,4823 111,3,2024-09-07 09:55:40:915,1,624,3,0,379,6677,624,0 112,0,2024-09-07 09:55:40:908,155900,0.3,155587,0.4,311744,0.2,413854,1.50 112,1,2024-09-07 09:55:40:824,1097687,1097687,0,0,514412603379,5339599531,1090480,5982,1225,380,391624,0 112,2,2024-09-07 09:55:41:141,787012,787011,1,0,30578657,0,5036 112,3,2024-09-07 09:55:40:592,1,624,0,0,282,5844,624,0 113,0,2024-09-07 09:55:40:888,159849,0.3,159907,0.5,320335,0.2,426522,1.50 113,1,2024-09-07 09:55:41:684,1100202,1100202,0,0,516885434823,5330919349,1093954,5300,948,365,391664,0 113,2,2024-09-07 09:55:41:307,794283,794283,0,0,29149194,0,3813 113,3,2024-09-07 09:55:40:684,1,624,0,0,510,6591,624,0 114,0,2024-09-07 09:55:40:872,154779,0.3,155769,0.5,310622,0.2,414485,1.75 114,1,2024-09-07 09:55:40:716,1098208,1098208,0,0,514877185266,5343734233,1090264,6197,1747,381,391565,0 114,2,2024-09-07 09:55:40:875,793237,793236,1,0,30136129,0,5069 114,3,2024-09-07 09:55:41:278,1,624,1,0,415,5309,624,0 115,0,2024-09-07 09:55:40:556,155758,0.3,156333,0.4,311341,0.2,413995,1.50 115,1,2024-09-07 09:55:40:571,1098613,1098613,0,0,515310071815,5350229259,1090353,6743,1517,382,391757,0 115,2,2024-09-07 09:55:41:131,791521,791521,0,0,30443010,0,4382 115,3,2024-09-07 09:55:41:002,1,624,1,0,167,3742,624,0 116,0,2024-09-07 09:55:41:736,154023,0.6,153871,0.8,308386,0.6,411776,2.00 116,1,2024-09-07 09:55:40:804,1092321,1092321,0,0,512941489556,5401481464,1079658,9571,3092,380,392089,0 116,2,2024-09-07 09:55:41:755,785988,785988,0,0,37620352,0,4475 116,3,2024-09-07 09:55:40:931,1,624,1,0,448,7828,624,0 117,0,2024-09-07 09:55:40:990,159437,0.6,158893,0.8,318350,0.6,425970,2.00 117,1,2024-09-07 09:55:41:583,1094493,1094493,0,0,513157620779,5370405754,1082698,10270,1525,369,392429,0 117,2,2024-09-07 09:55:41:128,790977,790977,0,0,34051630,0,4303 117,3,2024-09-07 09:55:41:068,1,624,14,0,490,7789,624,0 118,0,2024-09-07 09:55:41:766,151784,0.5,155910,0.7,318212,0.5,415952,2.00 118,1,2024-09-07 09:55:40:586,1093651,1093651,0,0,512155149308,5380650065,1077798,12229,3624,366,392054,0 118,2,2024-09-07 09:55:41:597,790500,790500,0,0,36349833,0,2842 118,3,2024-09-07 09:55:41:768,1,624,4,0,289,7222,624,0 119,0,2024-09-07 09:55:41:357,155811,0.8,156429,0.8,312117,0.8,415895,2.25 119,1,2024-09-07 09:55:40:547,1094478,1094478,0,0,513923295799,5386005968,1079777,12189,2512,367,391857,0 119,2,2024-09-07 09:55:41:264,791284,791284,0,0,34329979,0,4309 119,3,2024-09-07 09:55:41:326,1,624,4,0,1358,11461,624,0 120,0,2024-09-07 09:55:41:546,154126,0.6,153963,0.8,309431,0.6,412253,2.25 120,1,2024-09-07 09:55:40:858,1095262,1095262,0,0,513187374530,5379530896,1083533,10587,1142,367,392144,0 120,2,2024-09-07 09:55:40:779,785203,785200,3,0,40847546,0,5363 120,3,2024-09-07 09:55:41:296,1,624,0,0,279,7407,624,0 121,0,2024-09-07 09:55:41:706,157569,1.0,157230,1.0,314587,1.2,419705,2.25 121,1,2024-09-07 09:55:41:675,1094886,1094886,0,0,513665589356,5372265747,1083581,9749,1556,366,391840,0 121,2,2024-09-07 09:55:41:130,787147,787147,0,0,37305357,0,4157 121,3,2024-09-07 09:55:40:730,1,624,11,0,387,8132,624,0 122,0,2024-09-07 09:55:41:952,159144,0.8,154642,0.9,323938,0.9,425647,2.00 122,1,2024-09-07 09:55:40:864,1093752,1093752,0,0,513004377253,5381776628,1078729,12605,2418,365,392130,0 122,2,2024-09-07 09:55:41:326,792769,792694,75,0,41093615,0,5989 122,3,2024-09-07 09:55:40:594,1,624,5,0,512,9920,624,0 123,0,2024-09-07 09:55:40:952,155187,0.8,150990,0.9,316440,1.0,414275,2.25 123,1,2024-09-07 09:55:40:559,1094485,1094485,0,0,514221892072,5403335348,1077590,14223,2672,369,392039,0 123,2,2024-09-07 09:55:41:022,789087,789086,1,0,35119645,0,5215 123,3,2024-09-07 09:55:41:133,1,624,2,0,478,7025,624,0 124,0,2024-09-07 09:55:40:975,158198,0.3,158295,0.5,298421,0.3,410809,1.75 124,1,2024-09-07 09:55:41:026,1098075,1098075,0,0,514041001205,5342026854,1090105,6791,1179,365,392178,0 124,2,2024-09-07 09:55:41:010,789214,789161,53,0,31566563,0,6487 124,3,2024-09-07 09:55:40:758,1,624,1,0,490,6078,624,0 125,0,2024-09-07 09:55:41:441,155788,0.4,155608,0.5,312225,0.3,416273,1.75 125,1,2024-09-07 09:55:40:864,1094865,1094865,0,0,514337158982,5365699601,1086786,6925,1154,382,392045,0 125,2,2024-09-07 09:55:41:119,789584,789584,0,0,31899923,0,4534 125,3,2024-09-07 09:55:41:129,1,624,0,0,709,7063,624,0 126,0,2024-09-07 09:55:41:436,160257,0.4,164753,0.5,314978,0.3,426827,1.75 126,1,2024-09-07 09:55:40:575,1099192,1099192,0,0,515696473183,5335655516,1093667,5078,447,365,391987,0 126,2,2024-09-07 09:55:40:614,794253,794253,0,0,33111611,0,4539 126,3,2024-09-07 09:55:40:907,1,624,7,0,268,6731,624,0 127,0,2024-09-07 09:55:41:600,154794,0.3,154849,0.5,309094,0.3,411427,1.75 127,1,2024-09-07 09:55:40:585,1097273,1097273,0,0,514633731917,5351737599,1086582,9052,1639,364,392187,0 127,2,2024-09-07 09:55:40:642,791146,791142,4,0,31250196,0,5305 127,3,2024-09-07 09:55:41:268,1,624,5,0,968,6022,624,0 128,0,2024-09-07 09:55:41:536,155192,0.3,155341,0.4,310342,0.2,412584,1.50 128,1,2024-09-07 09:55:41:606,1096967,1096967,0,0,515054566965,5355342363,1088434,7568,965,367,392423,0 128,2,2024-09-07 09:55:41:391,791023,791023,0,0,28892459,0,3171 128,3,2024-09-07 09:55:40:775,1,624,1,0,1082,9716,624,0 129,0,2024-09-07 09:55:41:027,156452,0.3,155688,0.5,311894,0.3,414994,1.50 129,1,2024-09-07 09:55:40:582,1093495,1093495,0,0,513334017656,5376839955,1083018,8455,2022,379,391962,0 129,2,2024-09-07 09:55:40:689,788298,788294,4,0,31576472,0,5335 129,3,2024-09-07 09:55:40:687,1,624,5,0,506,8405,624,0 130,0,2024-09-07 09:55:41:744,160471,0.4,160168,0.5,320685,0.4,427500,1.75 130,1,2024-09-07 09:55:40:590,1098338,1098338,0,0,515618614680,5352797430,1092880,5055,403,381,391825,0 130,2,2024-09-07 09:55:41:163,790826,790826,0,0,31744047,0,4067 130,3,2024-09-07 09:55:41:297,1,624,3,0,960,8403,624,0 131,0,2024-09-07 09:55:41:938,155402,0.3,155919,0.5,312567,0.3,414946,1.75 131,1,2024-09-07 09:55:41:823,1097092,1097092,0,0,515561109233,5370470058,1089854,6040,1198,381,391865,0 131,2,2024-09-07 09:55:40:567,792157,792157,0,0,29411665,0,3979 131,3,2024-09-07 09:55:41:689,1,624,30,0,392,7868,624,0 132,0,2024-09-07 09:55:41:414,156190,0.5,157077,0.6,312802,0.4,415761,2.00 132,1,2024-09-07 09:55:40:576,1092900,1092900,0,0,512447064075,5391112858,1077445,12751,2704,381,392532,0 132,2,2024-09-07 09:55:40:701,789849,789832,17,0,38178010,0,6451 132,3,2024-09-07 09:55:41:688,1,624,2,0,1298,10938,624,0 133,0,2024-09-07 09:55:41:528,150892,0.4,154408,0.6,316506,0.4,411735,2.00 133,1,2024-09-07 09:55:40:583,1093161,1093161,0,0,512710948845,5397385557,1079068,12328,1765,383,391914,0 133,2,2024-09-07 09:55:41:087,786560,786510,50,0,39118507,0,6861 133,3,2024-09-07 09:55:41:297,1,624,19,0,528,7407,624,0 134,0,2024-09-07 09:55:40:954,158794,0.5,158955,0.7,317939,0.4,423389,2.00 134,1,2024-09-07 09:55:40:588,1094516,1094516,0,0,512842336429,5374741832,1080723,11302,2491,366,391781,0 134,2,2024-09-07 09:55:41:774,788299,788275,24,0,36182857,0,6207 134,3,2024-09-07 09:55:40:750,1,624,1,0,739,7604,624,0 135,0,2024-09-07 09:55:41:175,152850,0.8,152846,0.8,324560,0.8,417813,2.25 135,1,2024-09-07 09:55:41:600,1093664,1093664,0,0,513834665620,5397827612,1080626,11397,1641,380,391974,0 135,2,2024-09-07 09:55:40:687,793331,793331,0,0,37177873,0,4503 135,3,2024-09-07 09:55:41:006,1,624,3,0,900,5949,624,0 136,0,2024-09-07 09:55:41:672,156598,0.6,157034,0.8,311790,0.6,416343,2.00 136,1,2024-09-07 09:55:41:448,1094879,1094879,0,0,513856084407,5382396416,1082959,10530,1390,381,392135,0 136,2,2024-09-07 09:55:41:141,792339,792324,15,0,36763480,0,6007 136,3,2024-09-07 09:55:41:105,1,624,1,0,637,7047,624,0 137,0,2024-09-07 09:55:40:920,159682,0.6,155659,0.7,304774,0.6,414463,2.00 137,1,2024-09-07 09:55:40:581,1093778,1093778,0,0,513326282500,5380624837,1077225,13163,3390,366,391898,0 137,2,2024-09-07 09:55:41:719,784940,784940,0,0,37751410,0,3185 137,3,2024-09-07 09:55:40:772,1,624,1,0,484,8360,624,0 138,0,2024-09-07 09:55:41:835,155614,0.7,155972,0.8,312533,0.7,415231,2.00 138,1,2024-09-07 09:55:41:689,1094556,1094556,0,0,513943941808,5387667655,1079762,12522,2272,368,391954,0 138,2,2024-09-07 09:55:40:586,786520,786520,0,0,36008953,0,4988 138,3,2024-09-07 09:55:40:610,1,624,7,0,1200,9591,624,0 139,0,2024-09-07 09:55:41:463,159014,0.9,159638,0.9,318855,1.3,426608,2.25 139,1,2024-09-07 09:55:40:571,1089882,1089882,0,0,510448842461,5408741903,1071478,14576,3828,380,392109,0 139,2,2024-09-07 09:55:40:706,787217,787187,30,0,41517069,0,5997 139,3,2024-09-07 09:55:41:664,1,624,33,0,432,7305,624,0 140,0,2024-09-07 09:55:41:598,155412,0.3,154620,0.5,310264,0.2,413943,1.75 140,1,2024-09-07 09:55:41:540,1101004,1101004,0,0,517240859208,5329148454,1096003,4453,548,364,391606,0 140,2,2024-09-07 09:55:40:686,791805,791804,1,0,29460586,0,5036 140,3,2024-09-07 09:55:40:767,1,624,4,0,575,6156,624,0 141,0,2024-09-07 09:55:41:698,154543,0.3,158840,0.5,303730,0.2,410881,1.50 141,1,2024-09-07 09:55:40:859,1098961,1098961,0,0,515011008589,5347354051,1090761,7007,1193,379,391614,0 141,2,2024-09-07 09:55:41:686,788969,788958,11,0,31514091,0,5369 141,3,2024-09-07 09:55:41:047,1,624,1,0,391,7025,624,0 142,0,2024-09-07 09:55:41:319,156206,0.3,155367,0.5,310986,0.2,414741,1.50 142,1,2024-09-07 09:55:40:584,1096949,1096949,0,0,514202855110,5357135979,1090210,6229,510,382,392102,0 142,2,2024-09-07 09:55:41:308,786555,786523,32,0,32061275,0,6028 142,3,2024-09-07 09:55:41:756,1,624,3,0,484,6454,624,0 143,0,2024-09-07 09:55:41:371,159928,0.4,159731,0.5,320569,0.4,426611,1.75 143,1,2024-09-07 09:55:40:556,1099163,1099163,0,0,515598757705,5345639376,1092682,5913,568,367,391900,0 143,2,2024-09-07 09:55:40:774,792512,792512,0,0,32067582,0,3123 143,3,2024-09-07 09:55:41:142,1,624,1,0,462,7362,624,0 144,0,2024-09-07 09:55:41:503,149994,0.6,154397,0.8,314081,0.5,410964,2.00 144,1,2024-09-07 09:55:40:585,1093973,1093973,0,0,513105235802,5380811581,1083367,8611,1995,381,391733,0 144,2,2024-09-07 09:55:41:765,792296,792296,0,0,31260115,0,4443 144,3,2024-09-07 09:55:41:741,1,624,1,0,249,6183,624,0 145,0,2024-09-07 09:55:41:379,150168,0.6,150043,0.8,318541,0.5,409850,2.25 145,1,2024-09-07 09:55:40:553,1093197,1093197,0,0,513233588438,5395857276,1079157,11364,2676,382,391781,0 145,2,2024-09-07 09:55:41:439,788301,788219,82,0,37099070,0,7814 145,3,2024-09-07 09:55:40:894,1,624,18,0,622,8151,624,0 146,0,2024-09-07 09:55:41:607,154411,0.5,153466,0.7,308751,0.5,410138,2.00 146,1,2024-09-07 09:55:41:600,1094225,1094225,0,0,513171820307,5395991274,1076681,13062,4482,367,391770,0 146,2,2024-09-07 09:55:41:696,784742,784736,6,0,35354988,0,5151 146,3,2024-09-07 09:55:41:276,1,624,26,0,1520,10041,624,0 147,0,2024-09-07 09:55:41:721,159390,0.5,159118,0.7,318226,0.5,425106,2.00 147,1,2024-09-07 09:55:41:395,1098215,1098215,0,0,515577532970,5354417889,1089708,7540,967,367,391791,0 147,2,2024-09-07 09:55:41:031,789418,789418,0,0,31588142,0,2968 147,3,2024-09-07 09:55:40:912,1,624,1,0,1626,9713,624,0 0,0,2024-09-07 09:55:51:785,150736,0.5,150717,0.7,320013,0.6,412889,2.00 0,1,2024-09-07 09:55:50:808,1096700,1096700,0,0,514934707568,5386625238,1088128,7622,950,368,391896,0 0,2,2024-09-07 09:55:51:114,788107,788107,0,0,31394859,0,4480 0,3,2024-09-07 09:55:50:976,1,625,13,0,431,8821,625,0 1,0,2024-09-07 09:55:51:792,157826,0.8,156803,0.9,314674,0.9,421154,2.00 1,1,2024-09-07 09:55:50:560,1095648,1095648,0,0,513369745691,5373767356,1086159,7998,1491,370,391859,0 1,2,2024-09-07 09:55:50:647,789650,789650,0,0,31087040,0,3380 1,3,2024-09-07 09:55:51:308,1,625,6,0,269,7701,625,0 2,0,2024-09-07 09:55:51:566,159567,0.6,159106,0.7,318337,0.6,424391,2.00 2,1,2024-09-07 09:55:50:867,1099117,1099117,0,0,516279245253,5364978061,1092934,5231,952,379,391745,0 2,2,2024-09-07 09:55:51:267,794229,794229,0,0,29691581,0,3594 2,3,2024-09-07 09:55:50:691,1,625,1,0,357,5473,625,0 3,0,2024-09-07 09:55:51:746,155902,0.4,155956,0.6,311383,0.4,415051,2.00 3,1,2024-09-07 09:55:51:618,1098099,1098099,0,0,515353014718,5357251293,1090472,6922,705,379,391716,0 3,2,2024-09-07 09:55:51:155,793146,793123,23,0,30608886,0,5851 3,3,2024-09-07 09:55:51:753,1,625,0,0,484,4709,625,0 4,0,2024-09-07 09:55:51:948,149824,0.4,154016,0.5,313868,0.3,410827,1.75 4,1,2024-09-07 09:55:50:606,1093131,1093131,0,0,513024507020,5423563720,1075308,14066,3757,369,391992,0 4,2,2024-09-07 09:55:51:031,785280,785280,0,0,37373624,0,4534 4,3,2024-09-07 09:55:51:032,1,625,9,0,448,7415,625,0 5,0,2024-09-07 09:55:51:458,156418,0.4,156340,0.5,312766,0.3,416442,1.75 5,1,2024-09-07 09:55:50:763,1094417,1094417,0,0,513447630010,5415664342,1078048,12236,4133,367,392005,0 5,2,2024-09-07 09:55:51:828,785645,785645,0,0,36212029,0,3582 5,3,2024-09-07 09:55:51:749,1,625,1,0,457,8470,625,0 6,0,2024-09-07 09:55:50:920,160657,0.4,160245,0.6,320493,0.4,427445,2.00 6,1,2024-09-07 09:55:50:747,1096936,1096936,0,0,514328970052,5368070856,1085855,9507,1574,379,391702,0 6,2,2024-09-07 09:55:51:127,793798,793780,18,0,35165562,0,5535 6,3,2024-09-07 09:55:51:276,1,625,2,0,710,7419,625,0 7,0,2024-09-07 09:55:51:569,154082,0.5,155113,0.7,307977,0.5,411274,2.00 7,1,2024-09-07 09:55:50:851,1094484,1094484,0,0,513315449036,5399795405,1078153,12565,3766,382,391747,0 7,2,2024-09-07 09:55:50:774,791322,791322,0,0,33823898,0,4791 7,3,2024-09-07 09:55:50:855,1,625,0,0,552,7207,625,0 8,0,2024-09-07 09:55:51:341,155118,0.4,154862,0.5,310007,0.3,412992,1.75 8,1,2024-09-07 09:55:51:017,1094122,1094122,0,0,513626650008,5397554243,1077360,13170,3592,366,392853,0 8,2,2024-09-07 09:55:50:830,785152,785150,2,0,40255301,0,5112 8,3,2024-09-07 09:55:50:606,1,625,5,0,772,9725,625,0 9,0,2024-09-07 09:55:51:163,155394,0.3,151151,0.5,316137,0.3,414752,1.75 9,1,2024-09-07 09:55:50:554,1093877,1093877,0,0,513226201863,5406018688,1077063,13584,3230,369,392001,0 9,2,2024-09-07 09:55:51:107,786647,786646,1,0,37956812,0,5281 9,3,2024-09-07 09:55:51:752,1,625,8,0,1273,10339,625,0 10,0,2024-09-07 09:55:51:602,160168,0.3,159545,0.5,320010,0.2,426685,1.75 10,1,2024-09-07 09:55:50:588,1095946,1095946,0,0,513912961251,5388172909,1080212,12670,3064,381,391981,0 10,2,2024-09-07 09:55:50:772,791415,791415,0,0,40878259,0,4713 10,3,2024-09-07 09:55:50:889,1,625,1,0,669,6855,625,0 11,0,2024-09-07 09:55:51:030,155339,0.4,150738,0.6,315404,0.4,415125,1.75 11,1,2024-09-07 09:55:50:572,1097160,1097160,0,0,514958288605,5398471215,1081201,11656,4303,383,391766,0 11,2,2024-09-07 09:55:51:124,791231,791231,0,0,36054671,0,4698 11,3,2024-09-07 09:55:51:298,1,625,10,0,843,7888,625,0 12,0,2024-09-07 09:55:50:987,157165,0.4,156946,0.5,313571,0.4,416539,1.75 12,1,2024-09-07 09:55:50:947,1097077,1097077,0,0,514091755581,5358560424,1088673,7395,1009,370,391960,0 12,2,2024-09-07 09:55:51:541,789826,789826,0,0,34955427,0,4390 12,3,2024-09-07 09:55:51:063,1,625,1,0,386,7659,625,0 13,0,2024-09-07 09:55:51:392,155723,0.4,155746,0.5,310641,0.3,413669,1.75 13,1,2024-09-07 09:55:51:541,1094531,1094531,0,0,513912680228,5398343575,1083623,8517,2391,382,391803,0 13,2,2024-09-07 09:55:50:598,789352,789352,0,0,31163374,0,3287 13,3,2024-09-07 09:55:51:767,1,625,6,0,522,8091,625,0 14,0,2024-09-07 09:55:50:567,158924,0.4,159978,0.6,317588,0.3,423549,1.75 14,1,2024-09-07 09:55:51:561,1102063,1102063,0,0,516994117867,5346574748,1093582,7352,1129,364,391673,0 14,2,2024-09-07 09:55:50:764,790860,790830,30,0,32585050,0,6104 14,3,2024-09-07 09:55:51:126,1,625,1,0,1168,6723,625,0 15,0,2024-09-07 09:55:51:568,158052,0.4,157123,0.6,314697,0.4,419367,2.00 15,1,2024-09-07 09:55:51:614,1098931,1098931,0,0,515920684552,5369326041,1089854,7164,1913,381,391619,0 15,2,2024-09-07 09:55:51:002,794943,794943,0,0,28446841,0,3622 15,3,2024-09-07 09:55:51:407,1,625,0,0,1126,8147,625,0 16,0,2024-09-07 09:55:51:115,156253,0.6,156850,0.8,313101,0.6,416726,2.00 16,1,2024-09-07 09:55:50:568,1098531,1098531,0,0,514691009897,5377352527,1089074,8012,1445,370,392194,0 16,2,2024-09-07 09:55:51:453,791512,791512,0,0,32111426,0,4719 16,3,2024-09-07 09:55:51:146,1,625,20,0,358,7723,625,0 17,0,2024-09-07 09:55:51:806,159742,0.6,155725,0.7,305056,0.5,414896,2.00 17,1,2024-09-07 09:55:50:577,1096350,1096350,0,0,514455854411,5391660322,1086039,8536,1775,368,392075,0 17,2,2024-09-07 09:55:51:672,790235,790234,1,0,33312110,0,5050 17,3,2024-09-07 09:55:50:574,1,625,3,0,518,8912,625,0 18,0,2024-09-07 09:55:50:957,155249,0.6,156281,0.8,311077,0.6,415988,2.25 18,1,2024-09-07 09:55:51:644,1100898,1100898,0,0,515276394283,5341130844,1093823,5817,1258,367,391725,0 18,2,2024-09-07 09:55:51:765,791326,791326,0,0,29835670,0,3541 18,3,2024-09-07 09:55:50:908,1,625,1,0,1059,5615,625,0 19,0,2024-09-07 09:55:51:541,159990,0.6,160397,0.8,319881,0.7,425059,2.00 19,1,2024-09-07 09:55:50:571,1100667,1100667,0,0,516891513087,5354164680,1092843,6691,1133,365,391777,0 19,2,2024-09-07 09:55:51:752,796784,796784,0,0,28238958,0,3988 19,3,2024-09-07 09:55:51:141,1,625,10,0,524,4775,625,0 20,0,2024-09-07 09:55:51:410,155088,0.6,155036,0.7,309977,0.5,413515,2.00 20,1,2024-09-07 09:55:50:576,1096278,1096278,0,0,514412245526,5387423401,1085470,9298,1510,369,391922,0 20,2,2024-09-07 09:55:50:941,791818,791818,0,0,35021073,0,4321 20,3,2024-09-07 09:55:50:596,1,625,6,0,468,9842,625,0 21,0,2024-09-07 09:55:51:160,154547,0.5,154601,0.6,309065,0.4,410365,2.00 21,1,2024-09-07 09:55:51:535,1094402,1094402,0,0,513306866294,5413198159,1077512,13053,3837,368,392016,0 21,2,2024-09-07 09:55:51:074,782937,782460,477,0,45441482,0,17074 21,3,2024-09-07 09:55:51:436,1,625,1,0,713,8763,625,0 22,0,2024-09-07 09:55:51:724,155446,0.4,156078,0.6,311638,0.4,413753,2.00 22,1,2024-09-07 09:55:51:024,1094882,1094882,0,0,513094178102,5395670012,1077063,14279,3540,381,391822,0 22,2,2024-09-07 09:55:50:765,787218,787192,26,0,33126360,0,6328 22,3,2024-09-07 09:55:51:068,1,625,55,0,228,5348,625,0 23,0,2024-09-07 09:55:51:376,159855,0.5,159599,0.6,319133,0.4,425692,2.00 23,1,2024-09-07 09:55:51:011,1095414,1095414,0,0,514592196826,5416541225,1075930,12906,6578,365,391690,0 23,2,2024-09-07 09:55:51:092,793720,793720,0,0,31938932,0,3773 23,3,2024-09-07 09:55:51:758,1,625,1,0,855,8932,625,0 24,0,2024-09-07 09:55:50:840,155806,0.4,154982,0.6,311606,0.4,413833,1.75 24,1,2024-09-07 09:55:50:591,1096107,1096107,0,0,513212487624,5369105339,1085971,8426,1710,367,392269,0 24,2,2024-09-07 09:55:51:075,791454,791451,3,0,38662361,0,6294 24,3,2024-09-07 09:55:51:686,1,625,0,0,468,7929,625,0 25,0,2024-09-07 09:55:51:477,159552,0.4,155302,0.6,305147,0.4,415314,2.00 25,1,2024-09-07 09:55:50:563,1094096,1094096,0,0,513145632901,5417489793,1075319,15062,3715,369,391928,0 25,2,2024-09-07 09:55:51:618,788639,788639,0,0,39246150,0,3978 25,3,2024-09-07 09:55:51:009,1,625,0,0,532,6657,625,0 26,0,2024-09-07 09:55:51:722,153827,0.4,150331,0.6,315708,0.3,411299,1.75 26,1,2024-09-07 09:55:51:542,1097582,1097582,0,0,514866771611,5385940711,1083241,11808,2533,380,391758,0 26,2,2024-09-07 09:55:50:865,787173,787173,0,0,41752038,0,4689 26,3,2024-09-07 09:55:51:714,1,625,1,0,796,7619,625,0 27,0,2024-09-07 09:55:51:738,159749,0.4,160469,0.6,318977,0.3,425856,2.25 27,1,2024-09-07 09:55:51:689,1098972,1098972,0,0,515728447388,5371332662,1088569,8794,1609,381,391626,0 27,2,2024-09-07 09:55:50:907,787553,787488,65,0,36626158,0,5699 27,3,2024-09-07 09:55:51:016,1,625,1,0,564,5280,625,0 28,0,2024-09-07 09:55:51:400,156767,0.4,156949,0.6,313995,0.3,417822,1.75 28,1,2024-09-07 09:55:50:804,1099137,1099137,0,0,515648986525,5372113497,1090187,7058,1892,382,391904,0 28,2,2024-09-07 09:55:51:769,793179,793179,0,0,31642688,0,2915 28,3,2024-09-07 09:55:51:777,1,625,42,0,502,6228,625,0 29,0,2024-09-07 09:55:51:372,160636,0.4,156513,0.6,307109,0.3,418226,1.75 29,1,2024-09-07 09:55:51:561,1102178,1102178,0,0,516603917883,5339859168,1095814,5586,778,367,391809,0 29,2,2024-09-07 09:55:50:865,791762,791762,0,0,30682330,0,4986 29,3,2024-09-07 09:55:50:967,1,625,0,0,459,6604,625,0 30,0,2024-09-07 09:55:51:455,154301,0.5,150156,0.6,314642,0.4,410839,2.00 30,1,2024-09-07 09:55:50:575,1100898,1100898,0,0,516694743176,5357368948,1093320,6667,911,380,391672,0 30,2,2024-09-07 09:55:51:274,788762,788762,0,0,29155422,0,4192 30,3,2024-09-07 09:55:50:581,1,625,0,0,519,5749,625,0 31,0,2024-09-07 09:55:51:759,157529,0.4,158471,0.6,315782,0.3,421806,1.75 31,1,2024-09-07 09:55:50:563,1105010,1105010,0,0,518157006575,5318135588,1098549,5387,1074,356,391712,0 31,2,2024-09-07 09:55:51:274,789054,789054,0,0,32918259,0,4470 31,3,2024-09-07 09:55:51:709,1,625,1,0,239,5271,625,0 32,0,2024-09-07 09:55:51:418,159673,0.3,160759,0.5,319979,0.3,425829,1.75 32,1,2024-09-07 09:55:50:813,1100940,1100940,0,0,516042025728,5359542202,1094742,5480,718,381,391646,0 32,2,2024-09-07 09:55:50:947,795490,795490,0,0,29065771,0,3922 32,3,2024-09-07 09:55:51:015,1,625,5,0,304,5032,625,0 33,0,2024-09-07 09:55:51:492,156575,0.3,156209,0.4,312511,0.2,416016,1.50 33,1,2024-09-07 09:55:50:587,1101261,1101261,0,0,517256147921,5354365946,1092805,7183,1273,368,391730,0 33,2,2024-09-07 09:55:50:777,793169,793134,35,0,32081398,0,7012 33,3,2024-09-07 09:55:50:904,1,625,1,0,329,5376,625,0 34,0,2024-09-07 09:55:50:940,154571,0.3,158783,0.5,303693,0.2,410274,1.75 34,1,2024-09-07 09:55:51:047,1103266,1103266,0,0,518399927335,5330159428,1100302,2853,111,367,391637,0 34,2,2024-09-07 09:55:50:771,789071,789071,0,0,30476765,0,4562 34,3,2024-09-07 09:55:51:693,1,625,1,0,541,5542,625,0 35,0,2024-09-07 09:55:50:866,155559,0.3,156378,0.5,313491,0.2,416830,1.75 35,1,2024-09-07 09:55:51:074,1099269,1099269,0,0,516379943027,5356476712,1091156,6590,1523,382,391769,0 35,2,2024-09-07 09:55:51:590,788926,788926,0,0,33881629,0,4055 35,3,2024-09-07 09:55:50:912,1,625,20,0,466,5767,625,0 36,0,2024-09-07 09:55:51:523,160766,0.5,161004,0.6,321334,0.4,428276,2.00 36,1,2024-09-07 09:55:50:589,1098603,1098603,0,0,515458395565,5378381409,1085017,11345,2241,366,391759,0 36,2,2024-09-07 09:55:51:758,794245,794245,0,0,34962987,0,3875 36,3,2024-09-07 09:55:50:864,1,625,3,0,556,8349,625,0 37,0,2024-09-07 09:55:51:440,153847,0.5,154092,0.7,308456,0.5,411545,2.25 37,1,2024-09-07 09:55:50:578,1097875,1097868,0,7,515023999107,5374153558,1085207,9747,2914,365,391770,0 37,2,2024-09-07 09:55:51:150,789657,789642,15,0,34868678,0,5815 37,3,2024-09-07 09:55:51:770,1,625,1,0,888,8159,625,0 38,0,2024-09-07 09:55:51:435,153988,0.4,149342,0.6,312133,0.4,408709,2.00 38,1,2024-09-07 09:55:51:609,1097929,1097929,0,0,516222382544,5394662368,1082595,12334,3000,368,391821,0 38,2,2024-09-07 09:55:50:771,789569,789522,47,0,34967031,0,6710 38,3,2024-09-07 09:55:51:006,1,625,2,0,689,7458,625,0 39,0,2024-09-07 09:55:51:776,158872,0.5,155306,0.7,302890,0.4,413531,2.00 39,1,2024-09-07 09:55:50:727,1097124,1097124,0,0,514455474141,5388106606,1079675,13734,3715,365,391865,0 39,2,2024-09-07 09:55:51:419,787617,787617,0,0,33154360,0,3391 39,3,2024-09-07 09:55:50:715,1,625,10,0,525,6919,625,0 40,0,2024-09-07 09:55:51:587,158664,0.8,159505,0.9,318345,0.8,425050,2.75 40,1,2024-09-07 09:55:50:576,1097766,1097766,0,0,514488315780,5385287147,1082154,12543,3069,366,391668,0 40,2,2024-09-07 09:55:51:316,789311,789304,7,0,39582835,0,5347 40,3,2024-09-07 09:55:51:178,1,625,1,0,1028,9005,625,0 41,0,2024-09-07 09:55:51:030,154623,1.3,158258,1.1,301733,1.8,409895,3.00 41,1,2024-09-07 09:55:50:777,1096792,1096792,0,0,515118078061,5384686987,1083012,11620,2160,369,391878,0 41,2,2024-09-07 09:55:50:759,788816,788815,1,0,37845544,0,5408 41,3,2024-09-07 09:55:51:687,1,625,1,0,366,6696,625,0 42,0,2024-09-07 09:55:51:476,155012,0.9,154671,1.0,309393,1.1,410378,2.75 42,1,2024-09-07 09:55:51:438,1094714,1094714,0,0,513145912630,5388462973,1078128,13653,2933,380,391675,0 42,2,2024-09-07 09:55:51:156,789412,789411,1,0,38295343,0,5513 42,3,2024-09-07 09:55:51:012,1,625,0,0,892,6036,625,0 43,0,2024-09-07 09:55:50:923,153304,0.7,149225,0.9,312574,0.7,408585,2.25 43,1,2024-09-07 09:55:50:576,1098411,1098411,0,0,514846811315,5375705108,1083669,12170,2572,366,391696,0 43,2,2024-09-07 09:55:51:739,787685,787685,0,0,35984134,0,4723 43,3,2024-09-07 09:55:51:758,1,625,1,0,571,8885,625,0 44,0,2024-09-07 09:55:50:885,159121,0.4,159115,0.6,318065,0.3,424040,1.75 44,1,2024-09-07 09:55:50:574,1101607,1101607,0,0,515050617496,5321176263,1092231,7434,1942,356,391809,0 44,2,2024-09-07 09:55:51:272,788765,788765,0,0,29506805,0,4344 44,3,2024-09-07 09:55:51:099,1,625,1,0,1097,7519,625,0 45,0,2024-09-07 09:55:51:806,156107,0.5,152524,0.7,320131,0.4,419467,2.00 45,1,2024-09-07 09:55:51:011,1099884,1099884,0,0,516348563308,5362096675,1091628,7353,903,382,391917,0 45,2,2024-09-07 09:55:51:287,794316,794316,0,0,29805676,0,3596 45,3,2024-09-07 09:55:50:937,1,625,0,0,531,5874,625,0 46,0,2024-09-07 09:55:50:957,155486,0.6,155011,0.7,311029,0.5,413335,2.00 46,1,2024-09-07 09:55:50:582,1102274,1102274,0,0,516633801308,5337530114,1094778,6508,988,366,391709,0 46,2,2024-09-07 09:55:50:599,793564,793564,0,0,30471118,0,4443 46,3,2024-09-07 09:55:51:154,1,625,1,0,908,7306,625,0 47,0,2024-09-07 09:55:51:110,155462,0.4,155179,0.6,311846,0.3,413187,1.75 47,1,2024-09-07 09:55:50:571,1103137,1103137,0,0,516986826725,5337279922,1097033,5241,863,364,391641,0 47,2,2024-09-07 09:55:50:912,791000,791000,0,0,29348847,0,4477 47,3,2024-09-07 09:55:51:116,1,625,1,0,600,6740,625,0 48,0,2024-09-07 09:55:51:491,157034,0.3,157285,0.4,313929,0.2,417786,1.50 48,1,2024-09-07 09:55:51:025,1100181,1100181,0,0,515490210740,5350252218,1094073,5530,578,381,391710,0 48,2,2024-09-07 09:55:50:704,789778,789778,0,0,27963464,0,3524 48,3,2024-09-07 09:55:50:754,1,625,1,0,339,5434,625,0 49,0,2024-09-07 09:55:51:716,165256,0.3,161722,0.5,314759,0.3,429506,1.75 49,1,2024-09-07 09:55:51:025,1099414,1099414,0,0,515516852394,5359420791,1092137,5581,1696,382,391809,0 49,2,2024-09-07 09:55:51:796,795341,795341,0,0,30278808,0,4426 49,3,2024-09-07 09:55:51:418,1,625,2,0,992,7309,625,0 50,0,2024-09-07 09:55:51:506,155861,0.3,154272,0.5,310846,0.2,413575,1.75 50,1,2024-09-07 09:55:51:016,1102168,1102168,0,0,517300869140,5344488234,1095639,5860,669,368,391691,0 50,2,2024-09-07 09:55:51:075,791557,791557,0,0,27970317,0,4490 50,3,2024-09-07 09:55:51:300,1,625,28,0,617,6279,625,0 51,0,2024-09-07 09:55:51:694,158592,0.3,155195,0.5,302183,0.2,412046,1.75 51,1,2024-09-07 09:55:51:684,1102501,1102501,0,0,518290926142,5340984835,1096837,4583,1081,365,391706,0 51,2,2024-09-07 09:55:51:317,789247,789247,0,0,27434390,0,3337 51,3,2024-09-07 09:55:51:035,1,625,1,0,678,4452,625,0 52,0,2024-09-07 09:55:51:432,156162,0.5,155924,0.6,312002,0.4,414818,2.00 52,1,2024-09-07 09:55:50:587,1097834,1097834,0,0,515188624044,5387827988,1082682,12821,2331,368,391805,0 52,2,2024-09-07 09:55:51:761,785257,785219,38,0,37040691,0,6742 52,3,2024-09-07 09:55:50:674,1,625,0,0,1782,7138,625,0 53,0,2024-09-07 09:55:51:739,159638,0.6,154962,0.8,324232,0.6,424741,2.25 53,1,2024-09-07 09:55:50:779,1096232,1096232,0,0,514446669486,5393717195,1078037,13795,4400,367,391968,0 53,2,2024-09-07 09:55:51:298,793710,793709,1,0,33272455,0,5455 53,3,2024-09-07 09:55:50:704,1,625,3,0,308,5708,625,0 54,0,2024-09-07 09:55:51:613,153160,0.6,153294,0.8,305521,0.5,408400,2.25 54,1,2024-09-07 09:55:50:598,1099697,1099697,0,0,515980249386,5362817453,1088576,9450,1671,366,391810,0 54,2,2024-09-07 09:55:50:872,792282,792250,32,0,37044684,0,6397 54,3,2024-09-07 09:55:50:764,1,625,1,0,676,7900,625,0 55,0,2024-09-07 09:55:51:760,149795,0.6,154583,0.8,313288,0.6,407973,2.50 55,1,2024-09-07 09:55:50:771,1100076,1100076,0,0,515903313573,5361513951,1089206,9481,1389,365,391731,0 55,2,2024-09-07 09:55:50:730,789224,789168,56,0,34385161,0,7239 55,3,2024-09-07 09:55:50:682,1,625,1,0,304,5753,625,0 56,0,2024-09-07 09:55:51:562,157532,1.1,148410,1.1,306003,1.4,409908,2.75 56,1,2024-09-07 09:55:50:575,1093022,1093022,0,0,513856206835,5430368428,1075020,14313,3689,381,391867,0 56,2,2024-09-07 09:55:51:303,786396,786274,122,0,36780659,0,7432 56,3,2024-09-07 09:55:51:063,1,625,6,0,705,7162,625,0 57,0,2024-09-07 09:55:50:940,158108,1.3,157743,1.1,316005,1.7,422338,3.00 57,1,2024-09-07 09:55:51:003,1095471,1095471,0,0,513253334466,5384051771,1080993,11986,2492,366,392032,0 57,2,2024-09-07 09:55:51:334,790200,790200,0,0,38625315,0,4804 57,3,2024-09-07 09:55:51:749,1,625,1,0,455,7133,625,0 58,0,2024-09-07 09:55:50:558,154298,1.0,149914,1.0,313728,1.2,410130,2.75 58,1,2024-09-07 09:55:50:575,1096927,1096924,0,3,515311794206,5397813090,1080769,12251,3904,367,391726,3 58,2,2024-09-07 09:55:51:084,793038,793038,0,0,36136871,0,3483 58,3,2024-09-07 09:55:51:073,1,625,52,0,1043,6947,625,0 59,0,2024-09-07 09:55:51:769,154793,0.9,154307,1.0,308400,1.0,409290,3.00 59,1,2024-09-07 09:55:50:804,1096060,1096060,0,0,514550750261,5403491956,1078203,14189,3668,369,391653,0 59,2,2024-09-07 09:55:50:595,791945,791945,0,0,34276645,0,3727 59,3,2024-09-07 09:55:51:749,1,625,0,0,1015,7510,625,0 60,0,2024-09-07 09:55:51:733,155062,0.5,155070,0.7,310508,0.4,413183,1.75 60,1,2024-09-07 09:55:50:777,1100676,1100676,0,0,516467211005,5364252808,1092716,6871,1089,370,392031,0 60,2,2024-09-07 09:55:51:163,788084,788084,0,0,32251761,0,3811 60,3,2024-09-07 09:55:51:261,1,625,15,0,409,7121,625,0 61,0,2024-09-07 09:55:51:493,157758,0.6,158333,0.7,315164,0.5,421123,2.00 61,1,2024-09-07 09:55:50:772,1098015,1098015,0,0,514359529959,5380990103,1086874,9459,1682,382,392127,0 61,2,2024-09-07 09:55:51:118,789896,789829,67,0,32963236,0,6411 61,3,2024-09-07 09:55:51:697,1,625,1,0,607,7934,625,0 62,0,2024-09-07 09:55:51:722,160201,0.6,164341,0.7,313797,0.6,425776,2.00 62,1,2024-09-07 09:55:51:119,1104316,1104310,0,6,518417175888,5341608202,1099338,4673,299,365,391975,6 62,2,2024-09-07 09:55:51:655,792180,792179,1,0,32989078,0,5555 62,3,2024-09-07 09:55:51:163,1,625,1,0,482,4915,625,0 63,0,2024-09-07 09:55:51:460,156633,0.4,156282,0.6,313214,0.3,416370,1.75 63,1,2024-09-07 09:55:50:804,1100621,1100615,0,6,516635056457,5361531090,1093764,5994,857,381,391800,6 63,2,2024-09-07 09:55:50:772,792749,792749,0,0,31273746,0,4369 63,3,2024-09-07 09:55:51:748,1,625,0,0,667,6288,625,0 64,0,2024-09-07 09:55:51:518,153651,0.5,153534,0.6,306666,0.4,408818,2.00 64,1,2024-09-07 09:55:50:770,1099109,1099109,0,0,515538885599,5366252679,1089743,7387,1979,370,391794,0 64,2,2024-09-07 09:55:51:155,791780,791761,19,0,30236399,0,6121 64,3,2024-09-07 09:55:51:154,1,625,1,0,651,7045,625,0 65,0,2024-09-07 09:55:51:730,155170,0.6,155496,0.7,310721,0.6,414336,2.00 65,1,2024-09-07 09:55:50:867,1097726,1097726,0,0,514469892118,5373612894,1090232,6619,875,381,391901,0 65,2,2024-09-07 09:55:51:699,788084,788084,0,0,36544673,0,3367 65,3,2024-09-07 09:55:51:687,1,625,17,0,782,7387,625,0 66,0,2024-09-07 09:55:51:772,159904,0.5,159465,0.7,319110,0.5,425065,2.00 66,1,2024-09-07 09:55:51:304,1099718,1099718,0,0,515767516167,5363358130,1093211,5784,723,380,391743,0 66,2,2024-09-07 09:55:51:142,796373,796370,3,0,32433717,0,5455 66,3,2024-09-07 09:55:51:087,1,625,1,0,291,5531,625,0 67,0,2024-09-07 09:55:51:426,154624,0.5,154167,0.7,309366,0.5,412114,2.00 67,1,2024-09-07 09:55:50:766,1099603,1099602,0,1,515863932713,5367332193,1092202,6455,945,380,391787,1 67,2,2024-09-07 09:55:50:584,794975,794960,15,0,32251282,0,6205 67,3,2024-09-07 09:55:51:752,1,625,0,0,595,6356,625,0 68,0,2024-09-07 09:55:50:600,154874,0.6,154581,0.7,308080,0.5,412025,2.00 68,1,2024-09-07 09:55:50:586,1095415,1095415,0,0,514592924438,5404880665,1081602,10282,3531,381,391953,0 68,2,2024-09-07 09:55:51:054,786084,785984,100,0,40136265,0,8578 68,3,2024-09-07 09:55:50:729,1,625,3,0,417,7837,625,0 69,0,2024-09-07 09:55:51:741,154814,0.6,155554,0.8,310119,0.6,412454,2.25 69,1,2024-09-07 09:55:51:023,1094515,1094515,0,0,513872989027,5410500129,1080639,11040,2836,383,391994,0 69,2,2024-09-07 09:55:51:739,784975,784946,29,0,42724960,0,6912 69,3,2024-09-07 09:55:50:771,1,625,4,0,698,8730,625,0 70,0,2024-09-07 09:55:51:545,158472,0.7,159165,0.9,319491,0.6,423886,2.25 70,1,2024-09-07 09:55:50:828,1100923,1100923,0,0,516872389451,5358882874,1093000,7114,809,366,391725,0 70,2,2024-09-07 09:55:51:342,792065,792065,0,0,35213219,0,4323 70,3,2024-09-07 09:55:50:745,1,625,1,0,854,6837,625,0 71,0,2024-09-07 09:55:51:362,154326,0.9,153953,1.0,309058,1.2,412067,2.50 71,1,2024-09-07 09:55:51:600,1098459,1098459,0,0,515242642659,5373030637,1085454,11384,1621,368,391738,0 71,2,2024-09-07 09:55:51:069,791658,791658,0,0,35465405,0,4352 71,3,2024-09-07 09:55:51:767,1,625,1,0,644,6869,625,0 72,0,2024-09-07 09:55:51:069,161049,0.5,157269,0.7,307169,0.5,417495,2.00 72,1,2024-09-07 09:55:51:023,1097045,1097045,0,0,514029964853,5387782116,1081725,12799,2521,369,391819,0 72,2,2024-09-07 09:55:51:756,789493,789493,0,0,37180385,0,3983 72,3,2024-09-07 09:55:51:757,1,625,0,0,564,8984,625,0 73,0,2024-09-07 09:55:51:101,150735,0.4,154688,0.6,316163,0.4,411216,2.00 73,1,2024-09-07 09:55:50:772,1098498,1098498,0,0,515836628709,5359077695,1090085,7430,983,367,391858,0 73,2,2024-09-07 09:55:51:755,787019,787018,1,0,38809167,0,5027 73,3,2024-09-07 09:55:50:983,1,625,1,0,1091,8525,625,0 74,0,2024-09-07 09:55:51:326,159997,0.4,163825,0.6,312566,0.4,424461,2.00 74,1,2024-09-07 09:55:50:634,1097262,1097262,0,0,514363902898,5367276949,1085349,9795,2118,381,391762,0 74,2,2024-09-07 09:55:51:009,788808,788808,0,0,33845722,0,4253 74,3,2024-09-07 09:55:51:443,1,625,1,0,522,7487,625,0 75,0,2024-09-07 09:55:51:775,158174,0.5,157219,0.7,315382,0.5,420887,2.25 75,1,2024-09-07 09:55:51:592,1098209,1098209,0,0,515647403188,5370156873,1089580,7759,870,380,391739,0 75,2,2024-09-07 09:55:51:363,792173,792173,0,0,41044062,0,4766 75,3,2024-09-07 09:55:51:073,1,625,1,0,918,8284,625,0 76,0,2024-09-07 09:55:50:618,155860,0.6,155314,0.8,310477,0.5,415084,2.25 76,1,2024-09-07 09:55:50:809,1098343,1098343,0,0,514991797310,5367105949,1091004,6348,991,382,391790,0 76,2,2024-09-07 09:55:51:069,794292,794289,3,0,33333160,0,5265 76,3,2024-09-07 09:55:51:154,1,625,4,0,227,5695,625,0 77,0,2024-09-07 09:55:51:699,154623,0.5,155164,0.7,310137,0.5,412493,2.00 77,1,2024-09-07 09:55:50:830,1098245,1098245,0,0,515186379001,5370915270,1090251,7114,880,381,391869,0 77,2,2024-09-07 09:55:51:300,786860,786860,0,0,32305266,0,3890 77,3,2024-09-07 09:55:51:103,1,625,1,0,401,7009,625,0 78,0,2024-09-07 09:55:51:718,157465,0.4,156649,0.6,314212,0.3,416451,2.00 78,1,2024-09-07 09:55:50:610,1098682,1098682,0,0,514822117193,5366458637,1085835,9930,2917,367,391670,0 78,2,2024-09-07 09:55:51:408,790156,790143,13,0,30432520,0,8313 78,3,2024-09-07 09:55:51:154,1,625,1,0,311,5135,625,0 79,0,2024-09-07 09:55:51:357,155179,0.4,158898,0.6,325762,0.3,424135,2.00 79,1,2024-09-07 09:55:50:575,1102615,1102615,0,0,516884704515,5347062805,1094764,6491,1360,367,391682,0 79,2,2024-09-07 09:55:51:073,794759,794759,0,0,29485066,0,4195 79,3,2024-09-07 09:55:50:771,1,625,1,0,418,7201,625,0 80,0,2024-09-07 09:55:51:091,155108,0.5,159328,0.7,304569,0.5,412554,2.00 80,1,2024-09-07 09:55:51:619,1098291,1098291,0,0,515371921832,5363254143,1091127,6711,453,368,392269,0 80,2,2024-09-07 09:55:51:112,794814,794814,0,0,30069941,0,4433 80,3,2024-09-07 09:55:50:581,1,625,10,0,681,7458,625,0 81,0,2024-09-07 09:55:51:559,154474,0.5,158068,0.7,301739,0.5,409303,2.00 81,1,2024-09-07 09:55:51:665,1097550,1097550,0,0,514539467138,5373213649,1089379,7403,768,382,391885,0 81,2,2024-09-07 09:55:51:149,788478,788415,63,0,33220872,0,5932 81,3,2024-09-07 09:55:51:117,1,625,2,0,719,7054,625,0 82,0,2024-09-07 09:55:51:570,155530,0.4,155634,0.6,312021,0.4,413879,2.00 82,1,2024-09-07 09:55:50:595,1099489,1099485,0,4,516449627029,5365284302,1093886,4774,825,381,391768,4 82,2,2024-09-07 09:55:51:694,790750,790750,0,0,28248308,0,4484 82,3,2024-09-07 09:55:51:766,1,625,49,0,363,5783,625,0 83,0,2024-09-07 09:55:51:527,160316,0.5,159999,0.7,319926,0.5,425035,2.00 83,1,2024-09-07 09:55:50:558,1098583,1098583,0,0,514790514159,5363402799,1090991,6947,645,382,391709,0 83,2,2024-09-07 09:55:50:773,793816,793791,25,0,30484287,0,5612 83,3,2024-09-07 09:55:50:771,1,625,1,0,1260,7309,625,0 84,0,2024-09-07 09:55:51:779,153434,0.8,153441,0.9,306719,0.8,410004,2.25 84,1,2024-09-07 09:55:51:275,1096602,1096602,0,0,514694027015,5379124426,1084332,10597,1673,367,391967,0 84,2,2024-09-07 09:55:50:571,790627,790217,410,0,44043203,0,17037 84,3,2024-09-07 09:55:51:169,1,625,9,0,908,8186,625,0 85,0,2024-09-07 09:55:51:061,149111,0.6,149105,0.8,316546,0.6,408398,2.25 85,1,2024-09-07 09:55:50:567,1093394,1093394,0,0,512899161968,5410917019,1077061,13531,2802,381,392092,0 85,2,2024-09-07 09:55:50:873,789669,789669,0,0,37185638,0,4255 85,3,2024-09-07 09:55:50:693,1,625,3,0,789,7120,625,0 86,0,2024-09-07 09:55:50:902,154275,0.6,158627,0.8,303363,0.7,410356,2.25 86,1,2024-09-07 09:55:51:054,1096697,1096697,0,0,514927766772,5394909199,1082775,11235,2687,366,392169,0 86,2,2024-09-07 09:55:50:858,786619,786618,1,0,39478971,0,5004 86,3,2024-09-07 09:55:50:602,1,625,2,0,308,8689,625,0 87,0,2024-09-07 09:55:51:306,159966,0.7,159109,0.8,318472,0.8,425847,2.25 87,1,2024-09-07 09:55:50:556,1095772,1095772,0,0,514038151671,5381258921,1082378,11595,1799,366,392076,0 87,2,2024-09-07 09:55:51:074,789848,789842,6,0,35852646,0,6323 87,3,2024-09-07 09:55:51:795,1,625,2,0,473,8750,625,0 88,0,2024-09-07 09:55:51:450,156600,0.5,157193,0.6,313937,0.4,417662,1.75 88,1,2024-09-07 09:55:50:588,1095222,1095222,0,0,513645798719,5381307092,1079816,12358,3048,365,392084,0 88,2,2024-09-07 09:55:50:695,791951,791951,0,0,38864466,0,4465 88,3,2024-09-07 09:55:51:267,1,625,0,0,1080,9454,625,0 89,0,2024-09-07 09:55:51:765,160562,0.5,155787,0.6,307327,0.4,417859,1.75 89,1,2024-09-07 09:55:50:563,1094832,1094832,0,0,514098328120,5403223602,1081833,11052,1947,382,391866,0 89,2,2024-09-07 09:55:51:300,791353,791353,0,0,36627491,0,3173 89,3,2024-09-07 09:55:51:799,1,625,1,0,468,10192,625,0 90,0,2024-09-07 09:55:51:680,150456,0.5,154558,0.6,315274,0.4,411105,2.00 90,1,2024-09-07 09:55:50:600,1096906,1096906,0,0,513688903697,5377217749,1086799,9210,897,380,391825,0 90,2,2024-09-07 09:55:51:412,783981,783976,5,0,40055474,0,6370 90,3,2024-09-07 09:55:50:935,1,625,2,0,322,7435,625,0 91,0,2024-09-07 09:55:50:977,158261,0.4,153594,0.6,321390,0.4,421663,1.75 91,1,2024-09-07 09:55:50:562,1094419,1094419,0,0,513605519275,5408823618,1078547,12724,3148,381,392047,0 91,2,2024-09-07 09:55:51:343,789246,789246,0,0,35267231,0,4713 91,3,2024-09-07 09:55:50:598,1,625,2,0,216,5499,625,0 92,0,2024-09-07 09:55:51:484,161318,0.4,164972,0.6,314398,0.4,426054,1.75 92,1,2024-09-07 09:55:50:586,1097441,1097441,0,0,515045705097,5376779856,1089061,7092,1288,381,392136,0 92,2,2024-09-07 09:55:51:362,794271,794271,0,0,31199286,0,3259 92,3,2024-09-07 09:55:51:012,1,625,1,0,167,5281,625,0 93,0,2024-09-07 09:55:50:973,157032,0.4,160847,0.6,307224,0.4,416295,1.75 93,1,2024-09-07 09:55:50:814,1098565,1098565,0,0,515493568389,5377156931,1086832,9796,1937,365,392048,0 93,2,2024-09-07 09:55:50:944,792188,792188,0,0,36892650,0,4913 93,3,2024-09-07 09:55:51:409,1,625,10,0,375,6371,625,0 94,0,2024-09-07 09:55:51:631,153652,0.4,154867,0.5,309521,0.3,411192,1.75 94,1,2024-09-07 09:55:50:572,1098980,1098980,0,0,515521866167,5373179334,1092015,6530,435,381,391850,0 94,2,2024-09-07 09:55:50:765,787996,787968,28,0,31643833,0,6179 94,3,2024-09-07 09:55:51:694,1,625,0,0,576,7663,625,0 95,0,2024-09-07 09:55:51:478,156392,0.3,156301,0.5,312990,0.3,416988,1.75 95,1,2024-09-07 09:55:50:860,1099469,1099469,0,0,516283670789,5362208277,1091011,7706,752,365,391852,0 95,2,2024-09-07 09:55:51:023,787909,787909,0,0,31362193,0,3308 95,3,2024-09-07 09:55:51:741,1,625,11,0,718,9712,625,0 96,0,2024-09-07 09:55:51:044,160427,0.4,160742,0.5,321401,0.3,427704,1.75 96,1,2024-09-07 09:55:51:593,1097817,1097817,0,0,514642144183,5364930241,1090405,6138,1274,384,391964,0 96,2,2024-09-07 09:55:51:282,794917,794917,0,0,32465173,0,4180 96,3,2024-09-07 09:55:51:164,1,625,10,0,411,7058,625,0 97,0,2024-09-07 09:55:51:345,154641,0.3,154585,0.5,309955,0.3,411843,1.50 97,1,2024-09-07 09:55:50:777,1099773,1099773,0,0,516381392560,5355432250,1092826,5906,1041,367,392140,0 97,2,2024-09-07 09:55:50:613,793319,793319,0,0,31948060,0,4046 97,3,2024-09-07 09:55:50:587,1,625,0,0,242,6895,625,0 98,0,2024-09-07 09:55:51:696,154407,0.3,154509,0.4,310120,0.2,412280,1.50 98,1,2024-09-07 09:55:50:576,1098561,1098561,0,0,515505549747,5367179759,1092048,5676,837,381,391997,0 98,2,2024-09-07 09:55:50:803,789572,789572,0,0,30658790,0,4336 98,3,2024-09-07 09:55:50:704,1,625,1,0,840,9192,625,0 99,0,2024-09-07 09:55:51:466,155470,0.3,156337,0.5,311093,0.3,415039,1.75 99,1,2024-09-07 09:55:51:735,1099696,1099696,0,0,515836791821,5364815177,1093315,5437,944,380,392069,0 99,2,2024-09-07 09:55:51:437,787945,787945,0,0,38004800,0,4276 99,3,2024-09-07 09:55:50:588,1,625,2,0,1124,7918,625,0 100,0,2024-09-07 09:55:51:471,159678,0.7,160176,0.9,320295,0.7,427398,2.25 100,1,2024-09-07 09:55:50:553,1093068,1093068,0,0,513074893431,5418735060,1077031,12717,3320,378,391989,0 100,2,2024-09-07 09:55:51:826,788457,788068,389,0,41078393,0,16909 100,3,2024-09-07 09:55:51:736,1,625,1,0,627,10309,625,0 101,0,2024-09-07 09:55:51:712,158865,1.1,155005,1.0,303251,1.1,413844,2.25 101,1,2024-09-07 09:55:50:553,1095504,1095504,0,0,514243927999,5398945718,1081343,11632,2529,368,391847,0 101,2,2024-09-07 09:55:51:763,787952,787952,0,0,41047696,0,4871 101,3,2024-09-07 09:55:50:952,1,625,12,0,1250,9104,625,0 102,0,2024-09-07 09:55:50:956,151676,0.6,156230,0.8,317460,0.6,415004,2.25 102,1,2024-09-07 09:55:51:170,1095049,1095049,0,0,514257387260,5397384337,1080913,11935,2201,369,391984,0 102,2,2024-09-07 09:55:51:750,791930,791876,54,0,35134195,0,6768 102,3,2024-09-07 09:55:51:618,1,625,1,0,466,7014,625,0 103,0,2024-09-07 09:55:51:612,159842,0.5,159886,0.7,301237,0.5,414526,2.00 103,1,2024-09-07 09:55:51:650,1094086,1094086,0,0,513786489231,5421808096,1076988,13495,3603,381,392077,0 103,2,2024-09-07 09:55:50:587,785056,785056,0,0,37918169,0,3766 103,3,2024-09-07 09:55:50:771,1,625,34,0,916,7258,625,0 104,0,2024-09-07 09:55:51:033,157969,0.7,158123,0.9,315532,0.6,422286,2.25 104,1,2024-09-07 09:55:51:602,1096492,1096492,0,0,514368307349,5400099911,1080943,12704,2845,365,392168,0 104,2,2024-09-07 09:55:51:681,787328,787328,0,0,37681684,0,4161 104,3,2024-09-07 09:55:51:419,1,625,3,0,1245,10849,625,0 105,0,2024-09-07 09:55:51:055,156064,0.9,152130,1.0,319016,1.0,418782,2.50 105,1,2024-09-07 09:55:50:563,1097536,1097536,0,0,514709360002,5388682994,1083953,11639,1944,364,392009,0 105,2,2024-09-07 09:55:51:328,792616,792616,0,0,38001216,0,4360 105,3,2024-09-07 09:55:51:317,1,625,102,0,573,9513,625,0 106,0,2024-09-07 09:55:50:951,150955,0.9,154655,1.0,316750,1.1,413453,2.50 106,1,2024-09-07 09:55:51:760,1096382,1096382,0,0,513550108284,5385819503,1081700,12786,1896,368,391914,0 106,2,2024-09-07 09:55:50:771,790851,790851,0,0,35637813,0,3331 106,3,2024-09-07 09:55:50:690,1,625,5,0,1224,8479,625,0 107,0,2024-09-07 09:55:51:129,154757,0.9,154831,0.9,309708,1.1,413089,2.25 107,1,2024-09-07 09:55:50:592,1094210,1094210,0,0,512648321710,5414114598,1076800,15275,2135,381,392234,0 107,2,2024-09-07 09:55:51:296,784117,784116,1,0,38735011,0,5024 107,3,2024-09-07 09:55:51:757,1,625,3,0,733,9451,625,0 108,0,2024-09-07 09:55:51:827,156431,0.4,157102,0.6,313149,0.4,417796,1.75 108,1,2024-09-07 09:55:51:298,1097688,1097688,0,0,515271715178,5370786399,1088977,7714,997,367,391894,0 108,2,2024-09-07 09:55:51:776,789211,789211,0,0,33393765,0,4246 108,3,2024-09-07 09:55:51:350,1,625,3,0,749,10959,625,0 109,0,2024-09-07 09:55:51:833,161526,0.4,160100,0.6,321130,0.3,428691,1.75 109,1,2024-09-07 09:55:50:607,1095316,1095316,0,0,514653154403,5395183327,1085817,8219,1280,382,392132,0 109,2,2024-09-07 09:55:50:933,792184,792184,0,0,34405143,0,3617 109,3,2024-09-07 09:55:51:153,1,625,1,0,630,7420,625,0 110,0,2024-09-07 09:55:51:748,155101,0.4,150741,0.6,315754,0.4,414404,1.75 110,1,2024-09-07 09:55:51:661,1099730,1099730,0,0,515562231654,5349039736,1091680,6121,1929,368,392045,0 110,2,2024-09-07 09:55:51:312,793337,793337,0,0,31781592,0,4067 110,3,2024-09-07 09:55:50:703,1,625,1,0,722,8215,625,0 111,0,2024-09-07 09:55:51:422,155236,0.4,154475,0.5,308638,0.3,411735,1.75 111,1,2024-09-07 09:55:51:000,1100964,1100964,0,0,517327133897,5362635549,1095326,5257,381,380,391690,0 111,2,2024-09-07 09:55:51:129,789065,789065,0,0,32258753,0,4823 111,3,2024-09-07 09:55:50:913,1,625,1,0,379,6678,625,0 112,0,2024-09-07 09:55:50:915,156215,0.3,155950,0.4,312409,0.2,415042,1.50 112,1,2024-09-07 09:55:50:834,1099448,1099448,0,0,515420658868,5349982238,1092240,5983,1225,380,391624,0 112,2,2024-09-07 09:55:51:152,788523,788522,1,0,30612729,0,5036 112,3,2024-09-07 09:55:50:605,1,625,0,0,282,5844,625,0 113,0,2024-09-07 09:55:50:873,160196,0.3,160207,0.5,321005,0.2,427270,1.50 113,1,2024-09-07 09:55:51:692,1101929,1101929,0,0,517548478823,5337694242,1095680,5301,948,365,391664,0 113,2,2024-09-07 09:55:51:305,795836,795836,0,0,29186640,0,3813 113,3,2024-09-07 09:55:50:693,1,625,45,0,510,6636,625,0 114,0,2024-09-07 09:55:50:874,155042,0.3,156020,0.5,311088,0.2,415431,1.75 114,1,2024-09-07 09:55:50:722,1099937,1099937,0,0,515802267572,5353205877,1091993,6197,1747,381,391565,0 114,2,2024-09-07 09:55:50:890,794447,794446,1,0,30156640,0,5069 114,3,2024-09-07 09:55:51:281,1,625,1,0,415,5310,625,0 115,0,2024-09-07 09:55:50:570,155903,0.3,156464,0.4,311612,0.2,414504,1.50 115,1,2024-09-07 09:55:50:587,1100409,1100409,0,0,516200531605,5359379694,1092149,6743,1517,382,391757,0 115,2,2024-09-07 09:55:51:141,792495,792495,0,0,30459197,0,4382 115,3,2024-09-07 09:55:51:018,1,625,1,0,167,3743,625,0 116,0,2024-09-07 09:55:51:703,154236,0.6,154123,0.8,308832,0.6,412684,2.00 116,1,2024-09-07 09:55:50:809,1094020,1094020,0,0,513822038711,5410551558,1081357,9571,3092,380,392089,0 116,2,2024-09-07 09:55:51:762,787475,787475,0,0,37652534,0,4475 116,3,2024-09-07 09:55:50:919,1,625,3,0,448,7831,625,0 117,0,2024-09-07 09:55:50:977,159898,0.6,159359,0.8,319312,0.6,426955,2.00 117,1,2024-09-07 09:55:51:602,1096274,1096274,0,0,513896399824,5377952080,1084479,10270,1525,369,392429,0 117,2,2024-09-07 09:55:51:119,792238,792238,0,0,34101130,0,4303 117,3,2024-09-07 09:55:51:063,1,625,1,0,490,7790,625,0 118,0,2024-09-07 09:55:51:823,151898,0.5,156055,0.7,318425,0.5,416265,2.00 118,1,2024-09-07 09:55:50:585,1095345,1095345,0,0,512855414223,5387867291,1079492,12229,3624,366,392054,0 118,2,2024-09-07 09:55:51:604,791606,791606,0,0,36390015,0,2842 118,3,2024-09-07 09:55:51:769,1,625,18,0,289,7240,625,0 119,0,2024-09-07 09:55:51:348,155927,0.8,156528,0.8,312336,0.8,416213,2.25 119,1,2024-09-07 09:55:50:553,1096223,1096223,0,0,514731821268,5394240973,1081522,12189,2512,367,391857,0 119,2,2024-09-07 09:55:51:262,792597,792597,0,0,34353941,0,4309 119,3,2024-09-07 09:55:51:332,1,625,1,0,1358,11462,625,0 120,0,2024-09-07 09:55:51:561,154267,0.6,154067,0.8,309651,0.6,412262,2.25 120,1,2024-09-07 09:55:50:888,1097057,1097057,0,0,513895704774,5386746582,1085328,10587,1142,367,392144,0 120,2,2024-09-07 09:55:50:781,786536,786533,3,0,40861391,0,5363 120,3,2024-09-07 09:55:51:293,1,625,5,0,279,7412,625,0 121,0,2024-09-07 09:55:51:692,157991,1.0,157654,1.0,315417,1.2,420621,2.25 121,1,2024-09-07 09:55:51:670,1096601,1096601,0,0,514436691413,5380117952,1085295,9750,1556,366,391840,0 121,2,2024-09-07 09:55:51:162,788411,788411,0,0,37317120,0,4157 121,3,2024-09-07 09:55:50:739,1,625,4,0,387,8136,625,0 122,0,2024-09-07 09:55:51:776,159231,0.8,154758,0.9,324147,0.9,425880,2.00 122,1,2024-09-07 09:55:50:889,1095512,1095512,0,0,513953568792,5391393683,1080489,12605,2418,365,392130,0 122,2,2024-09-07 09:55:51:320,794220,794145,75,0,41112042,0,5989 122,3,2024-09-07 09:55:50:596,1,625,1,0,512,9921,625,0 123,0,2024-09-07 09:55:50:958,155445,0.8,151243,0.9,316999,0.9,414886,2.25 123,1,2024-09-07 09:55:50:571,1096295,1096295,0,0,514913224876,5410383540,1079400,14223,2672,369,392039,0 123,2,2024-09-07 09:55:51:017,790466,790465,1,0,35132866,0,5215 123,3,2024-09-07 09:55:51:133,1,625,19,0,478,7044,625,0 124,0,2024-09-07 09:55:50:996,158502,0.3,158549,0.5,298946,0.3,411680,1.75 124,1,2024-09-07 09:55:51:028,1099852,1099852,0,0,514819533713,5349969029,1091881,6792,1179,365,392178,0 124,2,2024-09-07 09:55:51:025,789977,789924,53,0,31575339,0,6487 124,3,2024-09-07 09:55:50:771,1,625,1,0,490,6079,625,0 125,0,2024-09-07 09:55:51:418,156148,0.4,155991,0.5,312975,0.3,417012,1.75 125,1,2024-09-07 09:55:50:871,1096697,1096697,0,0,515228115101,5374818150,1088617,6926,1154,382,392045,0 125,2,2024-09-07 09:55:51:135,791088,791088,0,0,31922054,0,4534 125,3,2024-09-07 09:55:51:130,1,625,3,0,709,7066,625,0 126,0,2024-09-07 09:55:51:456,160671,0.4,165149,0.5,315714,0.3,428284,1.75 126,1,2024-09-07 09:55:50:555,1100901,1100901,0,0,516386033685,5342693666,1095375,5078,448,365,391987,0 126,2,2024-09-07 09:55:50:613,795722,795722,0,0,33133175,0,4539 126,3,2024-09-07 09:55:50:913,1,625,4,0,268,6735,625,0 127,0,2024-09-07 09:55:51:600,155118,0.3,155169,0.5,309738,0.3,412275,1.75 127,1,2024-09-07 09:55:50:571,1098998,1098998,0,0,515413302060,5359690147,1088307,9052,1639,364,392187,0 127,2,2024-09-07 09:55:50:653,792609,792605,4,0,31277315,0,5305 127,3,2024-09-07 09:55:51:269,1,625,26,0,968,6048,625,0 128,0,2024-09-07 09:55:51:524,155351,0.3,155494,0.4,310693,0.2,412975,1.50 128,1,2024-09-07 09:55:51:605,1098692,1098692,0,0,515735378893,5362364890,1090156,7571,965,367,392423,0 128,2,2024-09-07 09:55:51:389,791762,791762,0,0,28909016,0,3171 128,3,2024-09-07 09:55:50:771,1,625,2,0,1082,9718,625,0 129,0,2024-09-07 09:55:51:062,156746,0.3,155971,0.5,312476,0.3,415627,1.50 129,1,2024-09-07 09:55:50:603,1095200,1095200,0,0,514056441995,5384271095,1084722,8456,2022,379,391962,0 129,2,2024-09-07 09:55:50:694,789822,789818,4,0,31617941,0,5335 129,3,2024-09-07 09:55:50:693,1,625,3,0,506,8408,625,0 130,0,2024-09-07 09:55:51:769,160884,0.4,160578,0.5,321485,0.4,428511,1.75 130,1,2024-09-07 09:55:50:589,1100138,1100138,0,0,516425232419,5361084100,1094679,5056,403,381,391825,0 130,2,2024-09-07 09:55:51:128,792273,792273,0,0,31770566,0,4067 130,3,2024-09-07 09:55:51:291,1,625,4,0,960,8407,625,0 131,0,2024-09-07 09:55:51:959,155553,0.3,156083,0.5,312875,0.3,415386,1.75 131,1,2024-09-07 09:55:51:849,1098993,1098993,0,0,516281127143,5377869766,1091755,6040,1198,381,391865,0 131,2,2024-09-07 09:55:50:596,793344,793344,0,0,29441145,0,3979 131,3,2024-09-07 09:55:51:724,1,625,1,0,392,7869,625,0 132,0,2024-09-07 09:55:51:431,156287,0.5,157188,0.6,313051,0.4,415999,2.00 132,1,2024-09-07 09:55:50:590,1094657,1094657,0,0,513291068379,5400015813,1079192,12761,2704,381,392532,0 132,2,2024-09-07 09:55:50:706,791001,790984,17,0,38232684,0,6451 132,3,2024-09-07 09:55:51:687,1,625,14,0,1298,10952,625,0 133,0,2024-09-07 09:55:51:529,150943,0.4,154460,0.6,316623,0.4,412046,2.00 133,1,2024-09-07 09:55:50:610,1094855,1094855,0,0,513512814403,5405628056,1080762,12328,1765,383,391914,0 133,2,2024-09-07 09:55:51:095,787925,787875,50,0,39143003,0,6861 133,3,2024-09-07 09:55:51:303,1,625,1,0,528,7408,625,0 134,0,2024-09-07 09:55:50:945,159224,0.5,159360,0.7,318731,0.4,424541,2.00 134,1,2024-09-07 09:55:50:589,1096371,1096371,0,0,513848196639,5385081651,1082578,11302,2491,366,391781,0 134,2,2024-09-07 09:55:51:760,789649,789625,24,0,36209175,0,6207 134,3,2024-09-07 09:55:50:765,1,625,0,0,739,7604,625,0 135,0,2024-09-07 09:55:51:098,152943,0.8,152939,0.8,324723,0.8,418076,2.25 135,1,2024-09-07 09:55:51:588,1095426,1095426,0,0,514734899942,5407079565,1082387,11398,1641,380,391974,0 135,2,2024-09-07 09:55:50:703,794572,794572,0,0,37201051,0,4503 135,3,2024-09-07 09:55:51:013,1,625,0,0,900,5949,625,0 136,0,2024-09-07 09:55:51:649,156758,0.6,157189,0.8,312121,0.6,416595,2.00 136,1,2024-09-07 09:55:51:442,1096677,1096677,0,0,514794641955,5391974874,1084757,10530,1390,381,392135,0 136,2,2024-09-07 09:55:51:155,793614,793599,15,0,36779096,0,6007 136,3,2024-09-07 09:55:51:124,1,625,2,0,637,7049,625,0 137,0,2024-09-07 09:55:50:948,159940,0.6,155946,0.7,305323,0.6,414978,2.00 137,1,2024-09-07 09:55:50:586,1095567,1095567,0,0,514154973265,5389032774,1079013,13164,3390,366,391898,0 137,2,2024-09-07 09:55:51:705,786103,786103,0,0,37762880,0,3185 137,3,2024-09-07 09:55:50:771,1,625,2,0,484,8362,625,0 138,0,2024-09-07 09:55:51:767,155986,0.7,156312,0.8,313258,0.7,416373,2.00 138,1,2024-09-07 09:55:51:689,1096331,1096331,0,0,514712114949,5395487572,1081537,12522,2272,368,391954,0 138,2,2024-09-07 09:55:50:592,787811,787811,0,0,36024042,0,4988 138,3,2024-09-07 09:55:50:612,1,625,5,0,1200,9596,625,0 139,0,2024-09-07 09:55:51:377,159387,1.0,160016,0.9,319622,1.3,427032,2.25 139,1,2024-09-07 09:55:50:592,1091663,1091663,0,0,511232556585,5416764868,1073258,14577,3828,380,392109,0 139,2,2024-09-07 09:55:50:693,788776,788746,30,0,41534723,0,5997 139,3,2024-09-07 09:55:51:663,1,625,3,0,432,7308,625,0 140,0,2024-09-07 09:55:51:595,155706,0.3,154924,0.5,310824,0.2,414733,1.75 140,1,2024-09-07 09:55:51:537,1102844,1102844,0,0,518171238073,5338559734,1097843,4453,548,364,391606,0 140,2,2024-09-07 09:55:50:694,793254,793253,1,0,29500059,0,5036 140,3,2024-09-07 09:55:50:771,1,625,1,0,575,6157,625,0 141,0,2024-09-07 09:55:51:723,154739,0.3,159046,0.5,304090,0.2,411460,1.50 141,1,2024-09-07 09:55:50:893,1100693,1100693,0,0,515993218602,5357333084,1092493,7007,1193,379,391614,0 141,2,2024-09-07 09:55:51:692,789728,789717,11,0,31521165,0,5369 141,3,2024-09-07 09:55:51:051,1,625,1,0,391,7026,625,0 142,0,2024-09-07 09:55:51:330,156551,0.3,155705,0.5,311673,0.2,415997,1.50 142,1,2024-09-07 09:55:50:598,1098734,1098734,0,0,514989742929,5365205335,1091995,6229,510,382,392102,0 142,2,2024-09-07 09:55:51:315,787984,787952,32,0,32077703,0,6028 142,3,2024-09-07 09:55:51:774,1,625,1,0,484,6455,625,0 143,0,2024-09-07 09:55:51:376,160271,0.4,160055,0.5,321225,0.4,427342,1.75 143,1,2024-09-07 09:55:50:575,1100887,1100887,0,0,516532793631,5355157200,1094405,5914,568,367,391900,0 143,2,2024-09-07 09:55:50:770,794025,794025,0,0,32102989,0,3123 143,3,2024-09-07 09:55:51:154,1,625,1,0,462,7363,625,0 144,0,2024-09-07 09:55:51:496,150230,0.6,154632,0.8,314560,0.5,411778,2.00 144,1,2024-09-07 09:55:50:565,1095811,1095811,0,0,513861868209,5388609735,1085205,8611,1995,381,391733,0 144,2,2024-09-07 09:55:51:759,793447,793447,0,0,31285045,0,4443 144,3,2024-09-07 09:55:51:748,1,625,13,0,249,6196,625,0 145,0,2024-09-07 09:55:51:370,150279,0.6,150178,0.8,318816,0.5,410298,2.25 145,1,2024-09-07 09:55:50:554,1094955,1094955,0,0,514178720491,5405581278,1080915,11364,2676,382,391781,0 145,2,2024-09-07 09:55:51:429,789317,789235,82,0,37119436,0,7814 145,3,2024-09-07 09:55:50:905,1,625,14,0,622,8165,625,0 146,0,2024-09-07 09:55:51:591,154619,0.5,153673,0.7,309174,0.5,411013,2.00 146,1,2024-09-07 09:55:51:589,1095934,1095934,0,0,514008028064,5404480385,1078389,13063,4482,367,391770,0 146,2,2024-09-07 09:55:51:696,786126,786120,6,0,35370117,0,5151 146,3,2024-09-07 09:55:51:285,1,625,4,0,1520,10045,625,0 147,0,2024-09-07 09:55:51:743,159858,0.5,159594,0.7,319199,0.5,426312,2.00 147,1,2024-09-07 09:55:51:603,1100174,1100174,0,0,516393226102,5362833638,1091667,7540,967,367,391791,0 147,2,2024-09-07 09:55:51:010,790780,790780,0,0,31634553,0,2968 147,3,2024-09-07 09:55:50:917,1,625,1,0,1626,9714,625,0 0,0,2024-09-07 09:56:01:701,150771,0.5,150754,0.7,320110,0.6,412889,2.00 0,1,2024-09-07 09:56:00:805,1098568,1098568,0,0,515798930912,5395655370,1089992,7624,952,368,391896,0 0,2,2024-09-07 09:56:01:066,789567,789567,0,0,31435532,0,4480 0,3,2024-09-07 09:56:00:973,1,626,1,0,431,8822,626,0 1,0,2024-09-07 09:56:01:781,158172,0.8,157162,0.9,315382,0.9,422093,2.00 1,1,2024-09-07 09:56:00:562,1097473,1097473,0,0,514223409988,5382621421,1087980,8000,1493,370,391859,0 1,2,2024-09-07 09:56:00:643,791013,791013,0,0,31114608,0,3380 1,3,2024-09-07 09:56:01:303,1,626,10,0,269,7711,626,0 2,0,2024-09-07 09:56:01:581,159674,0.6,159201,0.7,318531,0.6,424636,2.00 2,1,2024-09-07 09:56:00:859,1100894,1100894,0,0,517167930473,5374013516,1094711,5231,952,379,391745,0 2,2,2024-09-07 09:56:01:267,795573,795573,0,0,29736517,0,3594 2,3,2024-09-07 09:56:00:690,1,626,38,0,357,5511,626,0 3,0,2024-09-07 09:56:01:750,156149,0.4,156172,0.6,311864,0.4,415652,2.00 3,1,2024-09-07 09:56:01:622,1099883,1099883,0,0,516182082556,5365870335,1092256,6922,705,379,391716,0 3,2,2024-09-07 09:56:01:149,794592,794569,23,0,30634993,0,5851 3,3,2024-09-07 09:56:01:751,1,626,1,0,484,4710,626,0 4,0,2024-09-07 09:56:01:765,150116,0.4,154327,0.5,314475,0.3,411581,1.75 4,1,2024-09-07 09:56:00:614,1094971,1094971,0,0,513983446755,5433514194,1077147,14067,3757,369,391992,0 4,2,2024-09-07 09:56:01:018,786287,786287,0,0,37389150,0,4534 4,3,2024-09-07 09:56:01:027,1,626,3,0,448,7418,626,0 5,0,2024-09-07 09:56:01:371,156728,0.4,156615,0.5,313388,0.3,417093,1.75 5,1,2024-09-07 09:56:00:757,1096213,1096213,0,0,514334225840,5424791407,1079844,12236,4133,367,392005,0 5,2,2024-09-07 09:56:01:829,787127,787127,0,0,36255352,0,3582 5,3,2024-09-07 09:56:01:735,1,626,1,0,457,8471,626,0 6,0,2024-09-07 09:56:00:918,161164,0.4,160756,0.6,321504,0.4,428949,2.00 6,1,2024-09-07 09:56:00:747,1098680,1098680,0,0,514996293612,5375154883,1087599,9507,1574,379,391702,0 6,2,2024-09-07 09:56:01:120,795354,795336,18,0,35197094,0,5535 6,3,2024-09-07 09:56:01:274,1,626,1,0,710,7420,626,0 7,0,2024-09-07 09:56:01:532,154395,0.5,155451,0.7,308615,0.5,412025,2.00 7,1,2024-09-07 09:56:00:851,1096270,1096270,0,0,514237317257,5409306870,1079938,12566,3766,382,391747,0 7,2,2024-09-07 09:56:00:770,792779,792779,0,0,33855546,0,4791 7,3,2024-09-07 09:56:00:856,1,626,1,0,552,7208,626,0 8,0,2024-09-07 09:56:01:323,155259,0.4,155015,0.5,310318,0.3,413390,1.75 8,1,2024-09-07 09:56:01:016,1095868,1095868,0,0,514428383619,5405722618,1079106,13170,3592,366,392853,0 8,2,2024-09-07 09:56:00:793,785843,785841,2,0,40262033,0,5112 8,3,2024-09-07 09:56:00:585,1,626,1,0,772,9726,626,0 9,0,2024-09-07 09:56:01:099,155668,0.3,151422,0.5,316673,0.3,415375,1.75 9,1,2024-09-07 09:56:00:564,1095644,1095644,0,0,514035143145,5414277662,1078829,13585,3230,369,392001,0 9,2,2024-09-07 09:56:01:090,788213,788212,1,0,37975344,0,5281 9,3,2024-09-07 09:56:01:752,1,626,1,0,1273,10340,626,0 10,0,2024-09-07 09:56:01:600,160541,0.3,159942,0.5,320793,0.2,427701,1.75 10,1,2024-09-07 09:56:00:582,1097736,1097736,0,0,514767100198,5396863343,1082002,12670,3064,381,391981,0 10,2,2024-09-07 09:56:00:762,792952,792952,0,0,40898528,0,4713 10,3,2024-09-07 09:56:00:872,1,626,1,0,669,6856,626,0 11,0,2024-09-07 09:56:01:008,155508,0.4,150889,0.6,315731,0.4,415574,1.75 11,1,2024-09-07 09:56:00:571,1098928,1098928,0,0,515746773632,5406504878,1082969,11656,4303,383,391766,0 11,2,2024-09-07 09:56:01:123,792365,792365,0,0,36062500,0,4698 11,3,2024-09-07 09:56:01:302,1,626,1,0,843,7889,626,0 12,0,2024-09-07 09:56:00:961,157263,0.4,157046,0.5,313775,0.4,416782,1.75 12,1,2024-09-07 09:56:00:936,1098854,1098854,0,0,515117356369,5369007247,1090449,7396,1009,370,391960,0 12,2,2024-09-07 09:56:01:540,790912,790912,0,0,34975301,0,4390 12,3,2024-09-07 09:56:01:062,1,626,1,0,386,7660,626,0 13,0,2024-09-07 09:56:01:346,155819,0.4,155843,0.5,310825,0.3,414003,1.75 13,1,2024-09-07 09:56:01:527,1096265,1096265,0,0,514811927853,5407535946,1085355,8519,2391,382,391803,0 13,2,2024-09-07 09:56:00:599,790881,790881,0,0,31181436,0,3287 13,3,2024-09-07 09:56:01:763,1,626,0,0,522,8091,626,0 14,0,2024-09-07 09:56:00:562,159430,0.4,160473,0.6,318532,0.3,424912,1.75 14,1,2024-09-07 09:56:01:566,1103774,1103774,0,0,517709273814,5353863022,1095293,7352,1129,364,391673,0 14,2,2024-09-07 09:56:00:766,792077,792047,30,0,32597785,0,6104 14,3,2024-09-07 09:56:01:115,1,626,0,0,1168,6723,626,0 15,0,2024-09-07 09:56:01:555,158174,0.4,157225,0.6,314892,0.4,419672,2.00 15,1,2024-09-07 09:56:01:608,1100685,1100685,0,0,516809344933,5378365210,1091608,7164,1913,381,391619,0 15,2,2024-09-07 09:56:00:998,795997,795997,0,0,28456090,0,3622 15,3,2024-09-07 09:56:01:409,1,626,1,0,1126,8148,626,0 16,0,2024-09-07 09:56:00:944,156367,0.6,156979,0.8,313365,0.6,416975,2.00 16,1,2024-09-07 09:56:00:572,1100241,1100241,0,0,515576779681,5386547536,1090784,8012,1445,370,392194,0 16,2,2024-09-07 09:56:01:434,792807,792807,0,0,32142018,0,4719 16,3,2024-09-07 09:56:01:142,1,626,1,0,358,7724,626,0 17,0,2024-09-07 09:56:01:772,159962,0.6,155951,0.7,305518,0.5,415403,2.00 17,1,2024-09-07 09:56:00:742,1098105,1098105,0,0,515425227531,5401806468,1087778,8552,1775,368,392075,0 17,2,2024-09-07 09:56:01:669,791407,791406,1,0,33335045,0,5050 17,3,2024-09-07 09:56:00:576,1,626,7,0,518,8919,626,0 18,0,2024-09-07 09:56:00:946,155648,0.6,156666,0.8,311867,0.6,417121,2.25 18,1,2024-09-07 09:56:01:637,1102651,1102651,0,0,516077108792,5349285939,1095576,5817,1258,367,391725,0 18,2,2024-09-07 09:56:01:755,792604,792604,0,0,29881408,0,3541 18,3,2024-09-07 09:56:00:896,1,626,1,0,1059,5616,626,0 19,0,2024-09-07 09:56:01:541,160188,0.6,160589,0.8,320276,0.7,425343,2.00 19,1,2024-09-07 09:56:00:577,1102283,1102283,0,0,517851900031,5364065271,1094436,6713,1134,365,391777,0 19,2,2024-09-07 09:56:01:751,798278,798278,0,0,28290983,0,3988 19,3,2024-09-07 09:56:01:136,1,626,2,0,524,4777,626,0 20,0,2024-09-07 09:56:01:369,155422,0.5,155320,0.7,310567,0.5,414318,2.00 20,1,2024-09-07 09:56:00:586,1098080,1098080,0,0,515448910539,5398188718,1087272,9298,1510,369,391922,0 20,2,2024-09-07 09:56:00:939,793350,793350,0,0,35076543,0,4321 20,3,2024-09-07 09:56:00:599,1,626,2,0,468,9844,626,0 21,0,2024-09-07 09:56:01:133,154762,0.5,154814,0.6,309525,0.4,410931,2.00 21,1,2024-09-07 09:56:01:536,1096121,1096121,0,0,514104365760,5421464638,1079229,13055,3837,368,392016,0 21,2,2024-09-07 09:56:01:072,783651,783174,477,0,45458831,0,17074 21,3,2024-09-07 09:56:01:408,1,626,5,0,713,8768,626,0 22,0,2024-09-07 09:56:01:723,155855,0.4,156473,0.6,312491,0.4,414995,2.00 22,1,2024-09-07 09:56:01:023,1096581,1096581,0,0,514106297190,5406416118,1078734,14307,3540,381,391822,0 22,2,2024-09-07 09:56:00:764,788671,788645,26,0,33157845,0,6328 22,3,2024-09-07 09:56:01:066,1,626,1,0,228,5349,626,0 23,0,2024-09-07 09:56:01:373,160125,0.5,159885,0.6,319725,0.4,426402,2.00 23,1,2024-09-07 09:56:01:009,1097005,1097005,0,0,515438370598,5425151573,1077521,12906,6578,365,391690,0 23,2,2024-09-07 09:56:01:092,795216,795216,0,0,31965685,0,3773 23,3,2024-09-07 09:56:01:754,1,626,8,0,855,8940,626,0 24,0,2024-09-07 09:56:00:831,156095,0.4,155303,0.5,312218,0.4,414732,1.75 24,1,2024-09-07 09:56:00:588,1097927,1097927,0,0,514131836509,5378471255,1087791,8426,1710,367,392269,0 24,2,2024-09-07 09:56:01:092,792660,792657,3,0,38673860,0,6294 24,3,2024-09-07 09:56:01:686,1,626,1,0,468,7930,626,0 25,0,2024-09-07 09:56:01:356,159702,0.4,155482,0.6,305454,0.4,415802,2.00 25,1,2024-09-07 09:56:00:563,1095932,1095932,0,0,513996224392,5426185433,1077155,15062,3715,369,391928,0 25,2,2024-09-07 09:56:01:606,789621,789621,0,0,39255121,0,3978 25,3,2024-09-07 09:56:01:000,1,626,16,0,532,6673,626,0 26,0,2024-09-07 09:56:01:723,154143,0.4,150653,0.6,316313,0.3,412219,1.75 26,1,2024-09-07 09:56:01:541,1099389,1099389,0,0,515722279229,5394635721,1085048,11808,2533,380,391758,0 26,2,2024-09-07 09:56:00:860,788655,788655,0,0,41764228,0,4689 26,3,2024-09-07 09:56:01:713,1,626,1,0,796,7620,626,0 27,0,2024-09-07 09:56:01:727,160211,0.4,160916,0.6,319855,0.3,426910,2.25 27,1,2024-09-07 09:56:01:677,1100801,1100801,0,0,516886835853,5383089015,1090398,8794,1609,381,391626,0 27,2,2024-09-07 09:56:00:865,788830,788765,65,0,36635753,0,5699 27,3,2024-09-07 09:56:01:015,1,626,1,0,564,5281,626,0 28,0,2024-09-07 09:56:01:398,156865,0.4,157083,0.6,314244,0.3,418140,1.75 28,1,2024-09-07 09:56:00:806,1100917,1100917,0,0,516445678489,5380272514,1091967,7058,1892,382,391904,0 28,2,2024-09-07 09:56:01:764,794401,794401,0,0,31656285,0,2915 28,3,2024-09-07 09:56:01:779,1,626,0,0,502,6228,626,0 29,0,2024-09-07 09:56:01:367,160763,0.4,156641,0.6,307319,0.3,418551,1.75 29,1,2024-09-07 09:56:01:575,1103973,1103973,0,0,517497615625,5348984573,1097609,5586,778,367,391809,0 29,2,2024-09-07 09:56:00:861,793007,793007,0,0,30693316,0,4986 29,3,2024-09-07 09:56:00:963,1,626,1,0,459,6605,626,0 30,0,2024-09-07 09:56:01:456,154339,0.5,150192,0.6,314719,0.4,410839,2.00 30,1,2024-09-07 09:56:00:573,1102642,1102642,0,0,517521462731,5365769956,1095064,6667,911,380,391672,0 30,2,2024-09-07 09:56:01:273,790193,790193,0,0,29167491,0,4192 30,3,2024-09-07 09:56:00:588,1,626,5,0,519,5754,626,0 31,0,2024-09-07 09:56:01:760,157882,0.4,158836,0.6,316492,0.3,422724,1.75 31,1,2024-09-07 09:56:00:564,1106752,1106752,0,0,519091913749,5327535994,1100291,5387,1074,356,391712,0 31,2,2024-09-07 09:56:01:274,790329,790329,0,0,32939998,0,4470 31,3,2024-09-07 09:56:01:705,1,626,1,0,239,5272,626,0 32,0,2024-09-07 09:56:01:421,159775,0.3,160852,0.5,320162,0.3,426077,1.75 32,1,2024-09-07 09:56:00:834,1102638,1102638,0,0,516628366087,5365576203,1096440,5480,718,381,391646,0 32,2,2024-09-07 09:56:00:943,796845,796845,0,0,29103456,0,3922 32,3,2024-09-07 09:56:01:015,1,626,2,0,304,5034,626,0 33,0,2024-09-07 09:56:01:493,156807,0.3,156436,0.4,312972,0.2,416630,1.50 33,1,2024-09-07 09:56:00:582,1103133,1103133,0,0,518066509715,5362662601,1094677,7183,1273,368,391730,0 33,2,2024-09-07 09:56:00:759,794533,794498,35,0,32118191,0,7012 33,3,2024-09-07 09:56:00:894,1,626,1,0,329,5377,626,0 34,0,2024-09-07 09:56:00:930,154876,0.3,159166,0.5,304308,0.2,411152,1.75 34,1,2024-09-07 09:56:01:044,1105048,1105048,0,0,519023444878,5336533001,1102084,2853,111,367,391637,0 34,2,2024-09-07 09:56:00:770,790017,790017,0,0,30511454,0,4562 34,3,2024-09-07 09:56:01:691,1,626,1,0,541,5543,626,0 35,0,2024-09-07 09:56:00:858,155865,0.3,156697,0.5,314112,0.2,417523,1.75 35,1,2024-09-07 09:56:01:067,1101005,1101005,0,0,517091782297,5363757609,1092892,6590,1523,382,391769,0 35,2,2024-09-07 09:56:01:583,790298,790298,0,0,33922957,0,4055 35,3,2024-09-07 09:56:00:907,1,626,10,0,466,5777,626,0 36,0,2024-09-07 09:56:01:522,161258,0.5,161449,0.6,322276,0.4,429385,2.00 36,1,2024-09-07 09:56:00:590,1100376,1100376,0,0,516249040490,5386470935,1086790,11345,2241,366,391759,0 36,2,2024-09-07 09:56:01:751,795749,795749,0,0,34986213,0,3875 36,3,2024-09-07 09:56:00:863,1,626,9,0,556,8358,626,0 37,0,2024-09-07 09:56:01:382,154172,0.5,154423,0.7,309064,0.5,412244,2.25 37,1,2024-09-07 09:56:00:576,1099600,1099593,0,7,515884987432,5383003643,1086932,9747,2914,365,391770,0 37,2,2024-09-07 09:56:01:149,791112,791097,15,0,34900784,0,5815 37,3,2024-09-07 09:56:01:771,1,626,8,0,888,8167,626,0 38,0,2024-09-07 09:56:01:434,154146,0.4,149481,0.6,312466,0.4,409088,2.00 38,1,2024-09-07 09:56:01:614,1099759,1099759,0,0,516915551099,5401916730,1084425,12334,3000,368,391821,0 38,2,2024-09-07 09:56:00:760,790249,790202,47,0,35006927,0,6710 38,3,2024-09-07 09:56:00:997,1,626,1,0,689,7459,626,0 39,0,2024-09-07 09:56:01:759,159165,0.5,155523,0.7,303401,0.4,414120,2.00 39,1,2024-09-07 09:56:00:722,1098863,1098863,0,0,515452701395,5398381158,1081414,13734,3715,365,391865,0 39,2,2024-09-07 09:56:01:417,789196,789196,0,0,33182985,0,3391 39,3,2024-09-07 09:56:00:713,1,626,29,0,525,6948,626,0 40,0,2024-09-07 09:56:01:511,159053,0.8,159910,0.9,319099,0.8,426077,2.75 40,1,2024-09-07 09:56:00:584,1099521,1099521,0,0,515348466604,5394038584,1083908,12543,3070,366,391668,0 40,2,2024-09-07 09:56:01:305,790810,790803,7,0,39597423,0,5347 40,3,2024-09-07 09:56:01:142,1,626,1,0,1028,9006,626,0 41,0,2024-09-07 09:56:01:022,154786,1.3,158418,1.1,302057,1.8,410328,3.00 41,1,2024-09-07 09:56:00:767,1098590,1098590,0,0,515665266787,5390297079,1084810,11620,2160,369,391878,0 41,2,2024-09-07 09:56:00:762,789984,789983,1,0,37856060,0,5408 41,3,2024-09-07 09:56:01:678,1,626,1,0,366,6697,626,0 42,0,2024-09-07 09:56:01:475,155132,0.9,154777,1.0,309612,1.1,410631,2.75 42,1,2024-09-07 09:56:01:450,1096481,1096481,0,0,513808355319,5395252888,1079895,13653,2933,380,391675,0 42,2,2024-09-07 09:56:01:140,790550,790549,1,0,38304471,0,5513 42,3,2024-09-07 09:56:01:012,1,626,1,0,892,6037,626,0 43,0,2024-09-07 09:56:00:920,153389,0.7,149332,0.9,312775,0.7,408926,2.25 43,1,2024-09-07 09:56:00:577,1100204,1100204,0,0,515690574297,5384252761,1085462,12170,2572,366,391696,0 43,2,2024-09-07 09:56:01:736,789093,789093,0,0,35998103,0,4723 43,3,2024-09-07 09:56:01:749,1,626,2,0,571,8887,626,0 44,0,2024-09-07 09:56:00:859,159567,0.4,159568,0.6,319025,0.3,425367,1.75 44,1,2024-09-07 09:56:00:567,1103354,1103354,0,0,516219833755,5333110068,1093978,7434,1942,356,391809,0 44,2,2024-09-07 09:56:01:273,790127,790127,0,0,29519717,0,4344 44,3,2024-09-07 09:56:01:095,1,626,1,0,1097,7520,626,0 45,0,2024-09-07 09:56:01:764,156208,0.5,152629,0.7,320349,0.4,419753,2.00 45,1,2024-09-07 09:56:01:005,1101704,1101704,0,0,517069912979,5369478057,1093448,7353,903,382,391917,0 45,2,2024-09-07 09:56:01:268,795366,795366,0,0,29813894,0,3596 45,3,2024-09-07 09:56:00:935,1,626,1,0,531,5875,626,0 46,0,2024-09-07 09:56:00:951,155599,0.5,155120,0.7,311258,0.5,413594,2.00 46,1,2024-09-07 09:56:00:581,1104109,1104109,0,0,517615096734,5347440164,1096612,6509,988,366,391709,0 46,2,2024-09-07 09:56:00:595,794981,794981,0,0,30483970,0,4443 46,3,2024-09-07 09:56:01:136,1,626,1,0,908,7307,626,0 47,0,2024-09-07 09:56:01:113,155702,0.4,155401,0.6,312297,0.3,413712,1.75 47,1,2024-09-07 09:56:00:567,1104908,1104908,0,0,517823816242,5345756722,1098804,5241,863,364,391641,0 47,2,2024-09-07 09:56:00:907,792189,792189,0,0,29359240,0,4477 47,3,2024-09-07 09:56:01:120,1,626,1,0,600,6741,626,0 48,0,2024-09-07 09:56:01:489,157419,0.3,157689,0.4,314732,0.2,418953,1.50 48,1,2024-09-07 09:56:01:022,1101957,1101957,0,0,516191864060,5357525382,1095849,5530,578,381,391710,0 48,2,2024-09-07 09:56:00:709,790968,790968,0,0,27985530,0,3524 48,3,2024-09-07 09:56:00:754,1,626,7,0,339,5441,626,0 49,0,2024-09-07 09:56:01:709,165445,0.3,161941,0.5,315162,0.3,429790,1.75 49,1,2024-09-07 09:56:01:028,1101126,1101126,0,0,516350497395,5367944388,1093849,5581,1696,382,391809,0 49,2,2024-09-07 09:56:01:799,796823,796823,0,0,30315967,0,4426 49,3,2024-09-07 09:56:01:416,1,626,1,0,992,7310,626,0 50,0,2024-09-07 09:56:01:513,156164,0.3,154576,0.5,311464,0.2,414379,1.75 50,1,2024-09-07 09:56:01:012,1103912,1103912,0,0,517936133811,5351000623,1097383,5860,669,368,391691,0 50,2,2024-09-07 09:56:01:071,793000,793000,0,0,28005302,0,4490 50,3,2024-09-07 09:56:01:291,1,626,1,0,617,6280,626,0 51,0,2024-09-07 09:56:01:683,158789,0.3,155399,0.5,302624,0.2,412611,1.75 51,1,2024-09-07 09:56:01:681,1104291,1104291,0,0,519194081842,5350137716,1098627,4583,1081,365,391706,0 51,2,2024-09-07 09:56:01:315,789970,789970,0,0,27445112,0,3337 51,3,2024-09-07 09:56:01:028,1,626,1,0,678,4453,626,0 52,0,2024-09-07 09:56:01:438,156587,0.5,156360,0.6,312846,0.4,416054,2.00 52,1,2024-09-07 09:56:00:582,1099638,1099638,0,0,515998420373,5396152279,1084486,12821,2331,368,391805,0 52,2,2024-09-07 09:56:01:755,786806,786768,38,0,37088702,0,6742 52,3,2024-09-07 09:56:00:675,1,626,2,0,1782,7140,626,0 53,0,2024-09-07 09:56:01:733,159906,0.6,155228,0.8,324827,0.6,425456,2.25 53,1,2024-09-07 09:56:00:771,1097904,1097904,0,0,515311541230,5402515918,1079709,13795,4400,367,391968,0 53,2,2024-09-07 09:56:01:303,795102,795101,1,0,33311651,0,5455 53,3,2024-09-07 09:56:00:702,1,626,10,0,308,5718,626,0 54,0,2024-09-07 09:56:01:619,153473,0.6,153608,0.8,306123,0.5,409345,2.25 54,1,2024-09-07 09:56:00:583,1101291,1101291,0,0,516791168165,5371068259,1090170,9450,1671,366,391810,0 54,2,2024-09-07 09:56:00:865,793549,793517,32,0,37128841,0,6397 54,3,2024-09-07 09:56:00:766,1,626,28,0,676,7928,626,0 55,0,2024-09-07 09:56:01:759,149959,0.6,154744,0.8,313627,0.6,408450,2.50 55,1,2024-09-07 09:56:00:766,1101813,1101813,0,0,516586191119,5368493029,1090943,9481,1389,365,391731,0 55,2,2024-09-07 09:56:00:730,790187,790131,56,0,34400205,0,7239 55,3,2024-09-07 09:56:00:674,1,626,1,0,304,5754,626,0 56,0,2024-09-07 09:56:01:557,157798,1.1,148690,1.1,306625,1.4,410837,2.75 56,1,2024-09-07 09:56:00:576,1094849,1094849,0,0,514384066703,5435855948,1076846,14314,3689,381,391867,0 56,2,2024-09-07 09:56:01:302,787847,787725,122,0,36795187,0,7432 56,3,2024-09-07 09:56:01:071,1,626,1,0,705,7163,626,0 57,0,2024-09-07 09:56:00:953,158528,1.3,158192,1.1,316904,1.7,423472,3.00 57,1,2024-09-07 09:56:00:999,1097223,1097223,0,0,514051280506,5392170254,1082744,11987,2492,366,392032,0 57,2,2024-09-07 09:56:01:316,791488,791488,0,0,38636079,0,4804 57,3,2024-09-07 09:56:01:738,1,626,2,0,455,7135,626,0 58,0,2024-09-07 09:56:00:555,154422,1.0,150049,1.0,313950,1.2,410460,2.75 58,1,2024-09-07 09:56:00:582,1098713,1098710,0,3,516268167449,5407525622,1082553,12253,3904,367,391726,3 58,2,2024-09-07 09:56:01:073,794252,794252,0,0,36145547,0,3483 58,3,2024-09-07 09:56:01:072,1,626,0,0,1043,6947,626,0 59,0,2024-09-07 09:56:01:740,154921,0.9,154438,1.0,308641,1.0,409610,3.00 59,1,2024-09-07 09:56:00:808,1097929,1097929,0,0,515365046259,5411779039,1080072,14189,3668,369,391653,0 59,2,2024-09-07 09:56:00:586,793166,793166,0,0,34286515,0,3727 59,3,2024-09-07 09:56:01:737,1,626,1,0,1015,7511,626,0 60,0,2024-09-07 09:56:01:706,155105,0.5,155110,0.7,310593,0.4,413183,1.75 60,1,2024-09-07 09:56:00:782,1102376,1102376,0,0,517223313080,5371936413,1094416,6871,1089,370,392031,0 60,2,2024-09-07 09:56:01:154,789370,789370,0,0,32272314,0,3811 60,3,2024-09-07 09:56:01:258,1,626,0,0,409,7121,626,0 61,0,2024-09-07 09:56:01:509,158105,0.6,158700,0.7,315925,0.5,422059,2.00 61,1,2024-09-07 09:56:00:770,1099751,1099751,0,0,515138238219,5388935562,1088610,9459,1682,382,392127,0 61,2,2024-09-07 09:56:01:115,791275,791208,67,0,32976528,0,6411 61,3,2024-09-07 09:56:01:691,1,626,0,0,607,7934,626,0 62,0,2024-09-07 09:56:01:707,160286,0.6,164434,0.7,313972,0.6,426006,2.00 62,1,2024-09-07 09:56:01:120,1106092,1106086,0,6,519024370978,5347784992,1101114,4673,299,365,391975,6 62,2,2024-09-07 09:56:01:644,793560,793559,1,0,33005363,0,5555 62,3,2024-09-07 09:56:01:149,1,626,8,0,482,4923,626,0 63,0,2024-09-07 09:56:01:456,156861,0.4,156511,0.6,313696,0.3,416963,1.75 63,1,2024-09-07 09:56:00:811,1102417,1102411,0,6,517430726360,5369647047,1095560,5994,857,381,391800,6 63,2,2024-09-07 09:56:00:762,794010,794010,0,0,31287247,0,4369 63,3,2024-09-07 09:56:01:734,1,626,0,0,667,6288,626,0 64,0,2024-09-07 09:56:01:516,153965,0.5,153811,0.6,307288,0.4,409707,2.00 64,1,2024-09-07 09:56:00:750,1100826,1100826,0,0,516195058443,5373030110,1091459,7388,1979,370,391794,0 64,2,2024-09-07 09:56:01:144,792761,792742,19,0,30281870,0,6121 64,3,2024-09-07 09:56:01:144,1,626,1,0,651,7046,626,0 65,0,2024-09-07 09:56:01:672,155475,0.6,155766,0.7,311285,0.6,415030,2.00 65,1,2024-09-07 09:56:00:859,1099521,1099521,0,0,515177873974,5380907236,1092027,6619,875,381,391901,0 65,2,2024-09-07 09:56:01:698,789495,789495,0,0,36593664,0,3367 65,3,2024-09-07 09:56:01:683,1,626,1,0,782,7388,626,0 66,0,2024-09-07 09:56:01:770,160396,0.5,159980,0.7,320108,0.5,426304,2.00 66,1,2024-09-07 09:56:01:293,1101536,1101536,0,0,516661281325,5372548835,1095027,5785,724,380,391743,0 66,2,2024-09-07 09:56:01:132,797773,797770,3,0,32453838,0,5455 66,3,2024-09-07 09:56:01:089,1,626,6,0,291,5537,626,0 67,0,2024-09-07 09:56:01:415,154962,0.5,154483,0.7,309997,0.5,412968,2.00 67,1,2024-09-07 09:56:00:774,1101414,1101413,0,1,516925201186,5378159494,1094012,6456,945,380,391787,1 67,2,2024-09-07 09:56:00:582,796464,796449,15,0,32275290,0,6205 67,3,2024-09-07 09:56:01:756,1,626,6,0,595,6362,626,0 68,0,2024-09-07 09:56:00:571,155029,0.6,154736,0.7,308405,0.5,412428,2.00 68,1,2024-09-07 09:56:00:576,1097217,1097217,0,0,515432344354,5413525009,1083402,10283,3532,381,391953,0 68,2,2024-09-07 09:56:01:044,786692,786592,100,0,40152555,0,8578 68,3,2024-09-07 09:56:00:731,1,626,0,0,417,7837,626,0 69,0,2024-09-07 09:56:01:732,155043,0.6,155793,0.8,310584,0.6,413050,2.25 69,1,2024-09-07 09:56:01:016,1096379,1096379,0,0,514841515913,5420515260,1082503,11040,2836,383,391994,0 69,2,2024-09-07 09:56:01:735,786398,786369,29,0,42800491,0,6912 69,3,2024-09-07 09:56:00:760,1,626,1,0,698,8731,626,0 70,0,2024-09-07 09:56:01:532,158857,0.7,159578,0.9,320281,0.6,424882,2.25 70,1,2024-09-07 09:56:00:804,1102717,1102717,0,0,517816901413,5368631405,1094794,7114,809,366,391725,0 70,2,2024-09-07 09:56:01:324,793621,793621,0,0,35289162,0,4323 70,3,2024-09-07 09:56:00:746,1,626,0,0,854,6837,626,0 71,0,2024-09-07 09:56:01:364,154474,0.9,154086,1.0,309392,1.2,412517,2.50 71,1,2024-09-07 09:56:01:596,1100256,1100256,0,0,516330217425,5384139909,1087251,11384,1621,367,391738,0 71,2,2024-09-07 09:56:01:066,792709,792709,0,0,35481092,0,4352 71,3,2024-09-07 09:56:01:749,1,626,1,0,644,6870,626,0 72,0,2024-09-07 09:56:01:023,161159,0.5,157374,0.7,307366,0.5,417764,2.00 72,1,2024-09-07 09:56:01:021,1098793,1098793,0,0,514849119809,5396096498,1083473,12799,2521,369,391819,0 72,2,2024-09-07 09:56:01:766,790578,790578,0,0,37188601,0,3983 72,3,2024-09-07 09:56:01:764,1,626,4,0,564,8988,626,0 73,0,2024-09-07 09:56:01:121,150831,0.4,154785,0.6,316378,0.4,411543,2.00 73,1,2024-09-07 09:56:00:768,1100256,1100256,0,0,516632162758,5367157287,1091843,7430,983,367,391858,0 73,2,2024-09-07 09:56:01:741,788524,788523,1,0,38823561,0,5027 73,3,2024-09-07 09:56:00:974,1,626,1,0,1091,8526,626,0 74,0,2024-09-07 09:56:01:323,160485,0.4,164325,0.6,313479,0.4,425811,2.00 74,1,2024-09-07 09:56:00:635,1099033,1099033,0,0,515341805145,5377212926,1087120,9795,2118,381,391762,0 74,2,2024-09-07 09:56:01:000,790110,790110,0,0,33859892,0,4253 74,3,2024-09-07 09:56:01:442,1,626,1,0,522,7488,626,0 75,0,2024-09-07 09:56:01:765,158268,0.5,157329,0.7,315588,0.5,421182,2.25 75,1,2024-09-07 09:56:01:584,1099993,1099993,0,0,516320570784,5377024804,1091364,7759,870,380,391739,0 75,2,2024-09-07 09:56:01:351,793165,793165,0,0,41054925,0,4766 75,3,2024-09-07 09:56:01:071,1,626,3,0,918,8287,626,0 76,0,2024-09-07 09:56:00:581,155974,0.6,155419,0.8,310721,0.5,415320,2.25 76,1,2024-09-07 09:56:00:813,1100162,1100162,0,0,515862454255,5375969933,1092822,6348,992,382,391790,0 76,2,2024-09-07 09:56:01:064,795578,795575,3,0,33346575,0,5265 76,3,2024-09-07 09:56:01:150,1,626,14,0,227,5709,626,0 77,0,2024-09-07 09:56:01:695,154847,0.5,155384,0.7,310570,0.5,413002,2.00 77,1,2024-09-07 09:56:00:824,1100010,1100010,0,0,515942825650,5378676881,1092015,7115,880,381,391869,0 77,2,2024-09-07 09:56:01:280,788132,788132,0,0,32335244,0,3890 77,3,2024-09-07 09:56:01:093,1,626,2,0,401,7011,626,0 78,0,2024-09-07 09:56:01:719,157878,0.4,157052,0.6,315032,0.3,417610,2.00 78,1,2024-09-07 09:56:00:610,1100425,1100425,0,0,515732131700,5375693532,1087577,9931,2917,367,391670,0 78,2,2024-09-07 09:56:01:409,791354,791341,13,0,30444073,0,8313 78,3,2024-09-07 09:56:01:136,1,626,1,0,311,5136,626,0 79,0,2024-09-07 09:56:01:356,155373,0.4,159096,0.6,326167,0.3,424444,2.00 79,1,2024-09-07 09:56:00:571,1104424,1104424,0,0,517848656412,5356818036,1096573,6491,1360,367,391682,0 79,2,2024-09-07 09:56:01:073,796317,796317,0,0,29501598,0,4195 79,3,2024-09-07 09:56:00:749,1,626,1,0,418,7202,626,0 80,0,2024-09-07 09:56:01:100,155383,0.5,159639,0.7,305152,0.5,413338,2.00 80,1,2024-09-07 09:56:01:637,1100043,1100043,0,0,516183511252,5371579716,1092876,6714,453,368,392269,0 80,2,2024-09-07 09:56:01:094,796293,796293,0,0,30089797,0,4433 80,3,2024-09-07 09:56:00:580,1,626,7,0,681,7465,626,0 81,0,2024-09-07 09:56:01:557,154663,0.5,158290,0.7,302136,0.5,409853,2.00 81,1,2024-09-07 09:56:01:652,1099335,1099335,0,0,515242067524,5380453332,1091163,7404,768,382,391885,0 81,2,2024-09-07 09:56:01:132,789167,789104,63,0,33233609,0,5932 81,3,2024-09-07 09:56:01:118,1,626,1,0,719,7055,626,0 82,0,2024-09-07 09:56:01:532,155937,0.4,156069,0.6,312857,0.4,415059,2.00 82,1,2024-09-07 09:56:00:589,1101264,1101260,0,4,517445657688,5375476827,1095661,4774,825,381,391768,4 82,2,2024-09-07 09:56:01:691,792231,792231,0,0,28276494,0,4484 82,3,2024-09-07 09:56:01:751,1,626,1,0,363,5784,626,0 83,0,2024-09-07 09:56:01:539,160630,0.5,160297,0.7,320492,0.5,425726,2.00 83,1,2024-09-07 09:56:00:556,1100235,1100235,0,0,515601508665,5371821032,1092642,6948,645,382,391709,0 83,2,2024-09-07 09:56:00:767,795319,795294,25,0,30531740,0,5612 83,3,2024-09-07 09:56:00:751,1,626,4,0,1260,7313,626,0 84,0,2024-09-07 09:56:01:768,153718,0.8,153754,0.9,307347,0.8,410928,2.25 84,1,2024-09-07 09:56:01:039,1098347,1098347,0,0,515637070194,5388823692,1086076,10598,1673,367,391967,0 84,2,2024-09-07 09:56:00:573,791886,791476,410,0,44092337,0,17037 84,3,2024-09-07 09:56:01:141,1,626,1,0,908,8187,626,0 85,0,2024-09-07 09:56:01:015,149255,0.6,149267,0.8,316880,0.6,408909,2.25 85,1,2024-09-07 09:56:00:565,1095153,1095153,0,0,513797339583,5420180265,1078819,13532,2802,381,392092,0 85,2,2024-09-07 09:56:00:872,790670,790670,0,0,37206835,0,4255 85,3,2024-09-07 09:56:00:685,1,626,1,0,789,7121,626,0 86,0,2024-09-07 09:56:00:910,154537,0.6,158902,0.8,303987,0.7,411318,2.25 86,1,2024-09-07 09:56:00:824,1098524,1098524,0,0,515876981316,5404699135,1084602,11235,2687,366,392169,0 86,2,2024-09-07 09:56:00:856,788222,788221,1,0,39518153,0,5004 86,3,2024-09-07 09:56:00:586,1,626,27,0,308,8716,626,0 87,0,2024-09-07 09:56:01:284,160388,0.7,159561,0.8,319370,0.8,427026,2.25 87,1,2024-09-07 09:56:00:552,1097604,1097604,0,0,515115692494,5392245340,1084209,11596,1799,366,392076,0 87,2,2024-09-07 09:56:01:067,791233,791227,6,0,35894105,0,6323 87,3,2024-09-07 09:56:01:799,1,626,4,0,473,8754,626,0 88,0,2024-09-07 09:56:01:457,156726,0.5,157320,0.6,314176,0.4,417992,1.75 88,1,2024-09-07 09:56:00:576,1097044,1097044,0,0,514518059949,5390189764,1081638,12358,3048,365,392084,0 88,2,2024-09-07 09:56:00:733,793211,793211,0,0,38878224,0,4465 88,3,2024-09-07 09:56:01:274,1,626,1,0,1080,9455,626,0 89,0,2024-09-07 09:56:01:771,160686,0.5,155901,0.6,307578,0.4,418192,1.75 89,1,2024-09-07 09:56:00:572,1096581,1096581,0,0,515020708066,5412643731,1083581,11052,1948,382,391866,0 89,2,2024-09-07 09:56:01:140,792533,792533,0,0,36640139,0,3173 89,3,2024-09-07 09:56:01:791,1,626,2,0,468,10194,626,0 90,0,2024-09-07 09:56:01:657,150497,0.4,154598,0.6,315362,0.4,411105,2.00 90,1,2024-09-07 09:56:00:600,1098692,1098692,0,0,514493320990,5385473830,1088584,9211,897,380,391825,0 90,2,2024-09-07 09:56:01:411,785301,785296,5,0,40068646,0,6370 90,3,2024-09-07 09:56:00:941,1,626,1,0,322,7436,626,0 91,0,2024-09-07 09:56:00:935,158647,0.4,153946,0.6,322139,0.4,422568,1.75 91,1,2024-09-07 09:56:00:557,1096237,1096237,0,0,514322784049,5416184054,1080364,12725,3148,381,392047,0 91,2,2024-09-07 09:56:01:330,790555,790555,0,0,35279966,0,4713 91,3,2024-09-07 09:56:00:600,1,626,1,0,216,5500,626,0 92,0,2024-09-07 09:56:01:483,161410,0.4,165071,0.6,314587,0.4,426297,1.75 92,1,2024-09-07 09:56:00:582,1099149,1099149,0,0,515725428731,5383773521,1090769,7092,1288,381,392136,0 92,2,2024-09-07 09:56:01:353,795588,795588,0,0,31214649,0,3259 92,3,2024-09-07 09:56:01:012,1,626,3,0,167,5284,626,0 93,0,2024-09-07 09:56:00:976,157281,0.4,161108,0.6,307686,0.3,416868,1.75 93,1,2024-09-07 09:56:00:811,1100301,1100301,0,0,516273886616,5385174188,1088566,9798,1937,365,392048,0 93,2,2024-09-07 09:56:00:928,793469,793469,0,0,36924341,0,4913 93,3,2024-09-07 09:56:01:412,1,626,1,0,375,6372,626,0 94,0,2024-09-07 09:56:01:624,153944,0.4,155197,0.5,310121,0.3,412093,1.75 94,1,2024-09-07 09:56:00:571,1100662,1100662,0,0,516364128580,5381809651,1093695,6532,435,381,391850,0 94,2,2024-09-07 09:56:00:761,788933,788905,28,0,31654241,0,6179 94,3,2024-09-07 09:56:01:691,1,626,0,0,576,7663,626,0 95,0,2024-09-07 09:56:01:343,156702,0.3,156588,0.5,313619,0.3,417684,1.75 95,1,2024-09-07 09:56:00:855,1101233,1101233,0,0,516893360887,5368478213,1092775,7706,752,365,391852,0 95,2,2024-09-07 09:56:01:015,789279,789279,0,0,31384237,0,3308 95,3,2024-09-07 09:56:01:712,1,626,1,0,718,9713,626,0 96,0,2024-09-07 09:56:01:038,160919,0.4,161207,0.5,322427,0.3,429227,1.75 96,1,2024-09-07 09:56:01:585,1099545,1099545,0,0,515475666563,5373612968,1092133,6138,1274,384,391964,0 96,2,2024-09-07 09:56:01:278,796438,796438,0,0,32509884,0,4180 96,3,2024-09-07 09:56:01:152,1,626,1,0,411,7059,626,0 97,0,2024-09-07 09:56:01:333,154965,0.3,154919,0.5,310579,0.3,412677,1.50 97,1,2024-09-07 09:56:00:768,1101539,1101539,0,0,517042910140,5362245309,1094590,5908,1041,367,392140,0 97,2,2024-09-07 09:56:00:612,794720,794720,0,0,31982456,0,4046 97,3,2024-09-07 09:56:00:572,1,626,1,0,242,6896,626,0 98,0,2024-09-07 09:56:01:697,154572,0.3,154661,0.4,310465,0.2,412714,1.50 98,1,2024-09-07 09:56:00:572,1100354,1100354,0,0,516158709003,5373964500,1093841,5676,837,381,391997,0 98,2,2024-09-07 09:56:00:771,790271,790271,0,0,30672243,0,4336 98,3,2024-09-07 09:56:00:703,1,626,23,0,840,9215,626,0 99,0,2024-09-07 09:56:01:460,155711,0.3,156593,0.5,311607,0.3,415659,1.75 99,1,2024-09-07 09:56:01:740,1101468,1101468,0,0,516770724124,5374424070,1095083,5440,945,380,392069,0 99,2,2024-09-07 09:56:01:417,789398,789398,0,0,38058129,0,4276 99,3,2024-09-07 09:56:00:584,1,626,24,0,1124,7942,626,0 100,0,2024-09-07 09:56:01:509,160087,0.7,160562,0.9,321079,0.7,428478,2.25 100,1,2024-09-07 09:56:00:549,1094726,1094726,0,0,513868178541,5427154023,1078679,12727,3320,378,391989,0 100,2,2024-09-07 09:56:01:821,789944,789555,389,0,41124045,0,16909 100,3,2024-09-07 09:56:01:735,1,626,3,0,627,10312,626,0 101,0,2024-09-07 09:56:01:706,159021,1.1,155161,1.0,303591,1.1,414292,2.25 101,1,2024-09-07 09:56:00:566,1097083,1097083,0,0,515116382243,5407943116,1082922,11632,2529,368,391847,0 101,2,2024-09-07 09:56:01:770,789061,789061,0,0,41066727,0,4871 101,3,2024-09-07 09:56:00:946,1,626,125,0,1250,9229,626,0 102,0,2024-09-07 09:56:00:945,151772,0.6,156339,0.8,317683,0.6,415244,2.25 102,1,2024-09-07 09:56:01:142,1096829,1096829,0,0,515130624583,5406328715,1082693,11935,2201,369,391984,0 102,2,2024-09-07 09:56:01:755,792932,792878,54,0,35164415,0,6768 102,3,2024-09-07 09:56:01:621,1,626,2,0,466,7016,626,0 103,0,2024-09-07 09:56:01:610,159937,0.5,159981,0.7,301445,0.5,414860,2.00 103,1,2024-09-07 09:56:01:638,1095859,1095859,0,0,514595435626,5430185304,1078760,13496,3603,381,392077,0 103,2,2024-09-07 09:56:00:588,786581,786581,0,0,37952128,0,3766 103,3,2024-09-07 09:56:00:755,1,626,10,0,916,7268,626,0 104,0,2024-09-07 09:56:01:011,158454,0.7,158592,0.9,316479,0.6,423631,2.25 104,1,2024-09-07 09:56:01:600,1098222,1098222,0,0,515236336071,5408930474,1082673,12704,2845,365,392168,0 104,2,2024-09-07 09:56:01:671,788624,788624,0,0,37697020,0,4161 104,3,2024-09-07 09:56:01:416,1,626,3,0,1245,10852,626,0 105,0,2024-09-07 09:56:01:031,156159,0.9,152234,1.0,319255,1.0,419080,2.50 105,1,2024-09-07 09:56:00:554,1099334,1099334,0,0,515621608479,5397991989,1085751,11639,1944,364,392009,0 105,2,2024-09-07 09:56:01:323,793623,793623,0,0,38013963,0,4360 105,3,2024-09-07 09:56:01:307,1,626,6,0,573,9519,626,0 106,0,2024-09-07 09:56:00:940,151088,0.9,154790,1.0,316995,1.1,413695,2.50 106,1,2024-09-07 09:56:01:750,1098123,1098123,0,0,514134388533,5391812298,1083440,12787,1896,368,391914,0 106,2,2024-09-07 09:56:00:758,792314,792314,0,0,35652162,0,3331 106,3,2024-09-07 09:56:00:688,1,626,2,0,1224,8481,626,0 107,0,2024-09-07 09:56:01:098,154994,0.9,155033,0.9,310143,1.1,413587,2.25 107,1,2024-09-07 09:56:00:585,1096030,1096030,0,0,513586841557,5423732551,1078620,15275,2135,381,392234,0 107,2,2024-09-07 09:56:01:291,785250,785249,1,0,38748103,0,5024 107,3,2024-09-07 09:56:01:781,1,626,10,0,733,9461,626,0 108,0,2024-09-07 09:56:01:798,156842,0.4,157496,0.6,313926,0.4,418976,1.75 108,1,2024-09-07 09:56:01:303,1099451,1099451,0,0,516064355633,5378885777,1090740,7714,997,367,391894,0 108,2,2024-09-07 09:56:01:755,790385,790385,0,0,33408790,0,4246 108,3,2024-09-07 09:56:01:330,1,626,1,0,749,10960,626,0 109,0,2024-09-07 09:56:01:755,161720,0.4,160301,0.6,321554,0.3,429006,1.75 109,1,2024-09-07 09:56:00:587,1097082,1097082,0,0,515302853232,5401866799,1087583,8219,1280,382,392132,0 109,2,2024-09-07 09:56:00:932,793688,793688,0,0,34423499,0,3617 109,3,2024-09-07 09:56:01:147,1,626,1,0,630,7421,626,0 110,0,2024-09-07 09:56:01:752,155405,0.4,151039,0.6,316344,0.4,415163,1.75 110,1,2024-09-07 09:56:01:643,1101471,1101471,0,0,516537204088,5358907027,1093421,6121,1929,368,392045,0 110,2,2024-09-07 09:56:01:306,794760,794760,0,0,31797143,0,4067 110,3,2024-09-07 09:56:00:690,1,626,13,0,722,8228,626,0 111,0,2024-09-07 09:56:01:423,155457,0.4,154693,0.5,309070,0.3,412305,1.75 111,1,2024-09-07 09:56:01:005,1102789,1102789,0,0,518277450419,5372297402,1097150,5258,381,380,391690,0 111,2,2024-09-07 09:56:01:128,789790,789790,0,0,32266170,0,4823 111,3,2024-09-07 09:56:00:912,1,626,0,0,379,6678,626,0 112,0,2024-09-07 09:56:00:965,156657,0.3,156358,0.4,313244,0.2,416274,1.50 112,1,2024-09-07 09:56:00:831,1101285,1101285,0,0,516483435812,5360879868,1094077,5983,1225,380,391624,0 112,2,2024-09-07 09:56:01:148,789915,789914,1,0,30680890,0,5036 112,3,2024-09-07 09:56:00:592,1,626,1,0,282,5845,626,0 113,0,2024-09-07 09:56:00:870,160491,0.3,160503,0.5,321585,0.2,427953,1.50 113,1,2024-09-07 09:56:01:692,1103771,1103771,0,0,518421060691,5346538847,1097522,5301,948,365,391664,0 113,2,2024-09-07 09:56:01:310,797346,797346,0,0,29219985,0,3813 113,3,2024-09-07 09:56:00:685,1,626,3,0,510,6639,626,0 114,0,2024-09-07 09:56:00:874,155366,0.3,156357,0.5,311717,0.2,416335,1.75 114,1,2024-09-07 09:56:00:715,1101754,1101754,0,0,516576938238,5361315746,1093810,6197,1747,381,391565,0 114,2,2024-09-07 09:56:00:873,795632,795631,1,0,30183570,0,5069 114,3,2024-09-07 09:56:01:278,1,626,51,0,415,5361,626,0 115,0,2024-09-07 09:56:00:555,156067,0.3,156634,0.4,311940,0.2,414977,1.50 115,1,2024-09-07 09:56:00:576,1102186,1102186,0,0,516939989293,5366961916,1093923,6746,1517,382,391757,0 115,2,2024-09-07 09:56:01:125,793411,793411,0,0,30479450,0,4382 115,3,2024-09-07 09:56:01:002,1,626,34,0,167,3777,626,0 116,0,2024-09-07 09:56:01:718,154529,0.6,154428,0.8,309467,0.6,413605,2.00 116,1,2024-09-07 09:56:00:805,1095775,1095775,0,0,514836324123,5420947344,1083111,9572,3092,380,392089,0 116,2,2024-09-07 09:56:01:751,788949,788949,0,0,37741607,0,4475 116,3,2024-09-07 09:56:00:912,1,626,3,0,448,7834,626,0 117,0,2024-09-07 09:56:00:969,160355,0.6,159798,0.8,320181,0.6,428260,2.00 117,1,2024-09-07 09:56:01:625,1097946,1097946,0,0,514471077824,5383864065,1086151,10270,1525,369,392429,0 117,2,2024-09-07 09:56:01:122,793554,793554,0,0,34122887,0,4303 117,3,2024-09-07 09:56:01:065,1,626,0,0,490,7790,626,0 118,0,2024-09-07 09:56:01:777,152010,0.5,156156,0.7,318680,0.5,416571,2.00 118,1,2024-09-07 09:56:00:603,1097062,1097062,0,0,513867700860,5398246388,1081206,12231,3625,366,392054,0 118,2,2024-09-07 09:56:01:590,792691,792691,0,0,36421912,0,2842 118,3,2024-09-07 09:56:01:764,1,626,5,0,289,7245,626,0 119,0,2024-09-07 09:56:01:341,156030,0.8,156639,0.8,312577,0.8,416536,2.25 119,1,2024-09-07 09:56:00:565,1097976,1097976,0,0,515227190457,5399448894,1083274,12190,2512,367,391857,0 119,2,2024-09-07 09:56:01:262,793921,793921,0,0,34380314,0,4309 119,3,2024-09-07 09:56:01:330,1,626,2,0,1358,11464,626,0 120,0,2024-09-07 09:56:01:565,154301,0.6,154106,0.8,309738,0.6,412262,2.25 120,1,2024-09-07 09:56:00:862,1098795,1098795,0,0,514635433226,5394282454,1087066,10587,1142,367,392144,0 120,2,2024-09-07 09:56:00:770,787797,787794,3,0,40878290,0,5363 120,3,2024-09-07 09:56:01:291,1,626,12,0,279,7424,626,0 121,0,2024-09-07 09:56:01:757,158369,1.0,157986,1.0,316125,1.2,421544,2.25 121,1,2024-09-07 09:56:01:655,1098382,1098382,0,0,515183745812,5387758529,1087075,9750,1557,366,391840,0 121,2,2024-09-07 09:56:01:125,789728,789728,0,0,37332900,0,4157 121,3,2024-09-07 09:56:00:734,1,626,1,0,387,8137,626,0 122,0,2024-09-07 09:56:01:762,159332,0.8,154834,0.9,324363,0.8,426105,2.00 122,1,2024-09-07 09:56:00:859,1097319,1097319,0,0,514725279496,5399232785,1082296,12605,2418,365,392130,0 122,2,2024-09-07 09:56:01:327,795512,795437,75,0,41124629,0,5989 122,3,2024-09-07 09:56:00:594,1,626,1,0,512,9922,626,0 123,0,2024-09-07 09:56:00:976,155673,0.8,151475,0.9,317468,0.9,415478,2.25 123,1,2024-09-07 09:56:00:563,1098105,1098105,0,0,515740882745,5418822794,1081210,14223,2672,369,392039,0 123,2,2024-09-07 09:56:01:019,791768,791767,1,0,35145272,0,5215 123,3,2024-09-07 09:56:01:136,1,626,1,0,478,7045,626,0 124,0,2024-09-07 09:56:00:916,158816,0.3,158853,0.5,299501,0.3,412557,1.75 124,1,2024-09-07 09:56:01:023,1101629,1101629,0,0,515721567446,5359130171,1093658,6792,1179,365,392178,0 124,2,2024-09-07 09:56:01:012,790923,790870,53,0,31583701,0,6487 124,3,2024-09-07 09:56:00:759,1,626,1,0,490,6080,626,0 125,0,2024-09-07 09:56:01:439,156444,0.4,156265,0.5,313630,0.3,417681,1.75 125,1,2024-09-07 09:56:00:857,1098547,1098547,0,0,516127096505,5384029283,1090467,6926,1154,382,392045,0 125,2,2024-09-07 09:56:01:122,792408,792408,0,0,31938704,0,4534 125,3,2024-09-07 09:56:01:126,1,626,2,0,709,7068,626,0 126,0,2024-09-07 09:56:01:469,161152,0.4,165687,0.5,316746,0.3,429806,1.75 126,1,2024-09-07 09:56:00:557,1102728,1102728,0,0,517328122810,5352247916,1097202,5078,448,365,391987,0 126,2,2024-09-07 09:56:00:610,797184,797184,0,0,33152515,0,4539 126,3,2024-09-07 09:56:00:906,1,626,5,0,268,6740,626,0 127,0,2024-09-07 09:56:01:599,155436,0.3,155493,0.5,310381,0.3,413085,1.75 127,1,2024-09-07 09:56:00:578,1100732,1100732,0,0,516319560673,5368878322,1090041,9052,1639,364,392187,0 127,2,2024-09-07 09:56:00:637,794092,794088,4,0,31295546,0,5305 127,3,2024-09-07 09:56:01:268,1,626,1,0,968,6049,626,0 128,0,2024-09-07 09:56:01:534,155528,0.3,155646,0.4,311024,0.2,413414,1.50 128,1,2024-09-07 09:56:01:608,1100461,1100461,0,0,516770768541,5372916802,1091925,7571,965,367,392423,0 128,2,2024-09-07 09:56:01:386,792458,792458,0,0,28925708,0,3171 128,3,2024-09-07 09:56:00:768,1,626,1,0,1082,9719,626,0 129,0,2024-09-07 09:56:01:003,157013,0.3,156221,0.5,312968,0.3,416234,1.50 129,1,2024-09-07 09:56:00:581,1096963,1096963,0,0,515152736730,5395481353,1086485,8456,2022,379,391962,0 129,2,2024-09-07 09:56:00:689,791340,791336,4,0,31647504,0,5335 129,3,2024-09-07 09:56:00:688,1,626,1,0,506,8409,626,0 130,0,2024-09-07 09:56:01:725,161261,0.4,160981,0.5,322260,0.4,429529,1.75 130,1,2024-09-07 09:56:00:589,1101880,1101880,0,0,517326241868,5370303565,1096421,5056,403,381,391825,0 130,2,2024-09-07 09:56:01:125,793713,793713,0,0,31803205,0,4067 130,3,2024-09-07 09:56:01:295,1,626,1,0,960,8408,626,0 131,0,2024-09-07 09:56:01:932,155731,0.3,156245,0.5,313202,0.3,415829,1.75 131,1,2024-09-07 09:56:01:823,1100787,1100787,0,0,516992345760,5385226371,1093549,6040,1198,381,391865,0 131,2,2024-09-07 09:56:00:567,794425,794425,0,0,29479645,0,3979 131,3,2024-09-07 09:56:01:691,1,626,0,0,392,7869,626,0 132,0,2024-09-07 09:56:01:483,156383,0.5,157281,0.6,313253,0.4,416242,2.00 132,1,2024-09-07 09:56:00:606,1096488,1096488,0,0,514208683612,5409427829,1081022,12762,2704,381,392532,0 132,2,2024-09-07 09:56:00:701,792019,792002,17,0,38302897,0,6451 132,3,2024-09-07 09:56:01:697,1,626,1,0,1298,10953,626,0 133,0,2024-09-07 09:56:01:544,151056,0.4,154567,0.6,316836,0.4,412362,2.00 133,1,2024-09-07 09:56:00:584,1096641,1096641,0,0,514360613291,5414386754,1082548,12328,1765,383,391914,0 133,2,2024-09-07 09:56:01:090,789396,789346,50,0,39166701,0,6861 133,3,2024-09-07 09:56:01:302,1,626,1,0,528,7409,626,0 134,0,2024-09-07 09:56:00:952,159699,0.5,159825,0.7,319693,0.4,426027,2.00 134,1,2024-09-07 09:56:00:585,1098094,1098094,0,0,514637050926,5393202261,1084301,11302,2491,366,391781,0 134,2,2024-09-07 09:56:01:755,790979,790955,24,0,36245421,0,6207 134,3,2024-09-07 09:56:00:749,1,626,1,0,739,7605,626,0 135,0,2024-09-07 09:56:01:119,153054,0.8,153041,0.8,324962,0.8,418382,2.25 135,1,2024-09-07 09:56:01:593,1097210,1097210,0,0,515438722776,5414410812,1084171,11398,1641,380,391974,0 135,2,2024-09-07 09:56:00:689,795753,795753,0,0,37227556,0,4503 135,3,2024-09-07 09:56:01:002,1,626,2,0,900,5951,626,0 136,0,2024-09-07 09:56:01:628,156888,0.6,157295,0.8,312353,0.6,416858,2.00 136,1,2024-09-07 09:56:01:443,1098411,1098411,0,0,515549475185,5399684516,1086491,10530,1390,381,392135,0 136,2,2024-09-07 09:56:01:140,794968,794953,15,0,36790860,0,6007 136,3,2024-09-07 09:56:01:121,1,626,4,0,637,7053,626,0 137,0,2024-09-07 09:56:00:926,160178,0.6,156184,0.7,305758,0.6,415495,2.00 137,1,2024-09-07 09:56:00:578,1097328,1097328,0,0,514924004836,5396851342,1080773,13165,3390,366,391898,0 137,2,2024-09-07 09:56:01:706,787319,787319,0,0,37773868,0,3185 137,3,2024-09-07 09:56:00:769,1,626,1,0,484,8363,626,0 138,0,2024-09-07 09:56:01:756,156415,0.7,156682,0.8,314065,0.7,417585,2.00 138,1,2024-09-07 09:56:01:700,1098107,1098107,0,0,515605337754,5404565799,1083312,12523,2272,368,391954,0 138,2,2024-09-07 09:56:00:586,789138,789138,0,0,36036957,0,4988 138,3,2024-09-07 09:56:00:610,1,626,1,0,1200,9597,626,0 139,0,2024-09-07 09:56:01:371,159578,0.9,160217,0.9,320070,1.3,427335,2.25 139,1,2024-09-07 09:56:00:576,1093398,1093398,0,0,512158747738,5426218137,1074991,14579,3828,380,392109,0 139,2,2024-09-07 09:56:00:703,790225,790195,30,0,41550181,0,5997 139,3,2024-09-07 09:56:01:662,1,626,1,0,432,7309,626,0 140,0,2024-09-07 09:56:01:589,155993,0.3,155195,0.5,311411,0.2,415486,1.75 140,1,2024-09-07 09:56:01:536,1104599,1104599,0,0,518975066876,5346682375,1099598,4453,548,364,391606,0 140,2,2024-09-07 09:56:00:688,794682,794681,1,0,29513418,0,5036 140,3,2024-09-07 09:56:00:767,1,626,0,0,575,6157,626,0 141,0,2024-09-07 09:56:01:704,154937,0.3,159257,0.5,304473,0.2,412054,1.50 141,1,2024-09-07 09:56:00:858,1102420,1102420,0,0,516576927673,5363315020,1094220,7007,1193,379,391614,0 141,2,2024-09-07 09:56:01:692,790472,790461,11,0,31528772,0,5369 141,3,2024-09-07 09:56:01:043,1,626,1,0,391,7027,626,0 142,0,2024-09-07 09:56:01:330,156953,0.3,156137,0.5,312490,0.2,417244,1.50 142,1,2024-09-07 09:56:00:589,1100477,1100477,0,0,515797201451,5373472886,1093736,6230,511,382,392102,0 142,2,2024-09-07 09:56:01:305,789469,789437,32,0,32093266,0,6028 142,3,2024-09-07 09:56:01:752,1,626,8,0,484,6463,626,0 143,0,2024-09-07 09:56:01:387,160606,0.4,160339,0.5,321773,0.4,428107,1.75 143,1,2024-09-07 09:56:00:574,1102703,1102703,0,0,517596832541,5365948686,1096220,5915,568,367,391900,0 143,2,2024-09-07 09:56:00:787,795517,795517,0,0,32122679,0,3123 143,3,2024-09-07 09:56:01:140,1,626,10,0,462,7373,626,0 144,0,2024-09-07 09:56:01:497,150528,0.6,154973,0.8,315191,0.5,412721,2.00 144,1,2024-09-07 09:56:00:569,1097597,1097597,0,0,514781855397,5398080327,1086991,8611,1995,381,391733,0 144,2,2024-09-07 09:56:01:754,794678,794678,0,0,31305629,0,4443 144,3,2024-09-07 09:56:01:739,1,626,1,0,249,6197,626,0 145,0,2024-09-07 09:56:01:387,150428,0.6,150356,0.8,319139,0.5,410754,2.25 145,1,2024-09-07 09:56:00:554,1096779,1096779,0,0,515082441554,5414835167,1082735,11367,2677,382,391781,0 145,2,2024-09-07 09:56:01:429,790286,790204,82,0,37136148,0,7814 145,3,2024-09-07 09:56:00:894,1,626,1,0,622,8166,626,0 146,0,2024-09-07 09:56:01:615,154922,0.5,153996,0.7,309778,0.5,411911,2.00 146,1,2024-09-07 09:56:01:589,1097680,1097680,0,0,514599128971,5410520817,1080135,13063,4482,367,391770,0 146,2,2024-09-07 09:56:01:695,787760,787754,6,0,35386000,0,5151 146,3,2024-09-07 09:56:01:274,1,626,1,0,1520,10046,626,0 147,0,2024-09-07 09:56:01:722,160294,0.5,160079,0.7,320081,0.5,427434,2.00 147,1,2024-09-07 09:56:01:373,1101887,1101887,0,0,517106046626,5370084008,1093380,7540,967,367,391791,0 147,2,2024-09-07 09:56:01:013,792119,792119,0,0,31658276,0,2968 147,3,2024-09-07 09:56:00:916,1,626,17,0,1626,9731,626,0 0,0,2024-09-07 09:56:11:893,150791,0.5,150769,0.7,320143,0.6,412889,2.00 0,1,2024-09-07 09:56:10:801,1100194,1100194,0,0,516458966784,5402779919,1091606,7636,952,368,391896,0 0,2,2024-09-07 09:56:11:069,790960,790960,0,0,31463414,0,4480 0,3,2024-09-07 09:56:10:976,1,627,2,0,431,8824,627,0 1,0,2024-09-07 09:56:11:815,158533,0.8,157511,0.9,316114,0.9,422979,2.00 1,1,2024-09-07 09:56:10:725,1099272,1099272,0,0,515239156269,5393147220,1089778,8001,1493,370,391859,0 1,2,2024-09-07 09:56:10:692,792345,792345,0,0,31157051,0,3380 1,3,2024-09-07 09:56:11:303,1,627,14,0,269,7725,627,0 2,0,2024-09-07 09:56:11:574,159773,0.6,159291,0.7,318702,0.6,424899,2.00 2,1,2024-09-07 09:56:10:862,1102576,1102576,0,0,517870872790,5381350775,1096393,5231,952,379,391745,0 2,2,2024-09-07 09:56:11:265,796863,796863,0,0,29760725,0,3594 2,3,2024-09-07 09:56:10:769,1,627,1,0,357,5512,627,0 3,0,2024-09-07 09:56:11:748,156394,0.4,156390,0.6,312371,0.4,416239,2.00 3,1,2024-09-07 09:56:11:656,1101665,1101665,0,0,517170744899,5375944348,1094036,6924,705,379,391716,0 3,2,2024-09-07 09:56:11:145,795888,795865,23,0,30660016,0,5851 3,3,2024-09-07 09:56:11:766,1,627,1,0,484,4711,627,0 4,0,2024-09-07 09:56:11:851,150365,0.4,154615,0.5,314994,0.3,411851,1.75 4,1,2024-09-07 09:56:10:761,1096704,1096704,0,0,514739385360,5441309189,1078879,14068,3757,369,391992,0 4,2,2024-09-07 09:56:11:036,787403,787403,0,0,37416764,0,4534 4,3,2024-09-07 09:56:11:042,1,627,85,0,448,7503,627,0 5,0,2024-09-07 09:56:11:671,156997,0.4,156900,0.5,313925,0.3,417398,1.75 5,1,2024-09-07 09:56:10:808,1097967,1097967,0,0,515131261610,5433120768,1081597,12237,4133,367,392005,0 5,2,2024-09-07 09:56:11:849,788424,788424,0,0,36285756,0,3582 5,3,2024-09-07 09:56:11:743,1,627,11,0,457,8482,627,0 6,0,2024-09-07 09:56:10:922,161516,0.5,161157,0.6,322158,0.4,429117,2.00 6,1,2024-09-07 09:56:10:792,1100458,1100458,0,0,516075774914,5386131841,1089377,9507,1574,379,391702,0 6,2,2024-09-07 09:56:11:118,796827,796809,18,0,35229798,0,5535 6,3,2024-09-07 09:56:11:274,1,627,44,0,710,7464,627,0 7,0,2024-09-07 09:56:11:530,154654,0.5,155768,0.7,309202,0.5,412328,2.00 7,1,2024-09-07 09:56:10:938,1098048,1098048,0,0,514965515828,5416808019,1081716,12566,3766,382,391747,0 7,2,2024-09-07 09:56:10:776,794277,794277,0,0,33894065,0,4791 7,3,2024-09-07 09:56:11:083,1,627,6,0,552,7214,627,0 8,0,2024-09-07 09:56:11:429,155409,0.4,155156,0.5,310632,0.3,413827,1.75 8,1,2024-09-07 09:56:11:019,1097599,1097599,0,0,515175331039,5413373501,1080836,13171,3592,366,392853,0 8,2,2024-09-07 09:56:10:812,786561,786559,2,0,40270246,0,5112 8,3,2024-09-07 09:56:10:686,1,627,10,0,772,9736,627,0 9,0,2024-09-07 09:56:11:194,155940,0.3,151678,0.5,317199,0.3,416004,1.75 9,1,2024-09-07 09:56:10:573,1097467,1097467,0,0,515032884100,5424448124,1080652,13585,3230,369,392001,0 9,2,2024-09-07 09:56:11:106,789766,789765,1,0,37994553,0,5281 9,3,2024-09-07 09:56:11:770,1,627,4,0,1273,10344,627,0 10,0,2024-09-07 09:56:11:639,160925,0.3,160307,0.5,321565,0.2,428755,1.75 10,1,2024-09-07 09:56:10:710,1099505,1099505,0,0,515692410212,5406295613,1083771,12670,3064,381,391981,0 10,2,2024-09-07 09:56:10:779,794534,794534,0,0,40917263,0,4713 10,3,2024-09-07 09:56:10:875,1,627,1,0,669,6857,627,0 11,0,2024-09-07 09:56:11:010,155665,0.4,151050,0.6,316076,0.4,416016,1.75 11,1,2024-09-07 09:56:10:810,1100748,1100748,0,0,516856767904,5417758843,1084789,11656,4303,383,391766,0 11,2,2024-09-07 09:56:11:278,793440,793440,0,0,36070340,0,4698 11,3,2024-09-07 09:56:11:308,1,627,1,0,843,7890,627,0 12,0,2024-09-07 09:56:11:078,157357,0.4,157144,0.5,313953,0.4,417024,1.75 12,1,2024-09-07 09:56:10:991,1100638,1100638,0,0,516096271838,5378992691,1092232,7397,1009,370,391960,0 12,2,2024-09-07 09:56:11:540,791991,791991,0,0,34990418,0,4390 12,3,2024-09-07 09:56:11:068,1,627,8,0,386,7668,627,0 13,0,2024-09-07 09:56:11:348,155923,0.4,155936,0.5,311051,0.3,414337,1.75 13,1,2024-09-07 09:56:11:527,1098006,1098006,0,0,515560954908,5415235763,1087096,8519,2391,382,391803,0 13,2,2024-09-07 09:56:10:688,792537,792537,0,0,31205184,0,3287 13,3,2024-09-07 09:56:11:766,1,627,1,0,522,8092,627,0 14,0,2024-09-07 09:56:10:698,159934,0.4,160967,0.6,319565,0.3,426304,1.75 14,1,2024-09-07 09:56:11:561,1105587,1105587,0,0,518431248083,5361194093,1097106,7352,1129,364,391673,0 14,2,2024-09-07 09:56:10:801,793389,793359,30,0,32609429,0,6104 14,3,2024-09-07 09:56:11:120,1,627,2,0,1168,6725,627,0 15,0,2024-09-07 09:56:11:560,158287,0.4,157341,0.6,315091,0.4,419954,2.00 15,1,2024-09-07 09:56:11:609,1102481,1102481,0,0,517584647711,5386288020,1093403,7165,1913,381,391619,0 15,2,2024-09-07 09:56:11:000,797103,797103,0,0,28468754,0,3622 15,3,2024-09-07 09:56:11:405,1,627,1,0,1126,8149,627,0 16,0,2024-09-07 09:56:10:974,156481,0.6,157087,0.8,313566,0.6,417205,2.00 16,1,2024-09-07 09:56:10:811,1102002,1102002,0,0,516499051867,5396124668,1092540,8016,1446,370,392194,0 16,2,2024-09-07 09:56:11:436,794095,794095,0,0,32180575,0,4719 16,3,2024-09-07 09:56:11:146,1,627,1,0,358,7725,627,0 17,0,2024-09-07 09:56:11:811,160200,0.6,156140,0.7,305918,0.5,415924,2.00 17,1,2024-09-07 09:56:10:863,1099808,1099808,0,0,516158928311,5409968666,1089400,8633,1775,368,392075,0 17,2,2024-09-07 09:56:11:681,792762,792761,1,0,33364838,0,5050 17,3,2024-09-07 09:56:10:739,1,627,2,0,518,8921,627,0 18,0,2024-09-07 09:56:10:955,156088,0.6,157077,0.8,312713,0.6,418328,2.25 18,1,2024-09-07 09:56:11:638,1104410,1104410,0,0,516806580641,5356761314,1097335,5817,1258,367,391725,0 18,2,2024-09-07 09:56:11:758,793830,793830,0,0,29907129,0,3541 18,3,2024-09-07 09:56:10:900,1,627,1,0,1059,5617,627,0 19,0,2024-09-07 09:56:11:539,160352,0.6,160736,0.8,320566,0.7,425651,2.00 19,1,2024-09-07 09:56:10:685,1104334,1104334,0,0,518726197905,5373222550,1096458,6742,1134,365,391777,0 19,2,2024-09-07 09:56:11:757,799644,799644,0,0,28314628,0,3988 19,3,2024-09-07 09:56:11:143,1,627,5,0,524,4782,627,0 20,0,2024-09-07 09:56:11:472,155736,0.5,155610,0.7,311161,0.5,415089,2.00 20,1,2024-09-07 09:56:10:895,1099988,1099988,0,0,516518169962,5409081561,1089179,9299,1510,369,391922,0 20,2,2024-09-07 09:56:10:941,794773,794773,0,0,35101406,0,4321 20,3,2024-09-07 09:56:10:684,1,627,1,0,468,9845,627,0 21,0,2024-09-07 09:56:11:269,154965,0.5,155016,0.6,309952,0.4,411497,2.00 21,1,2024-09-07 09:56:11:589,1097891,1097891,0,0,515097395794,5431795440,1080998,13056,3837,368,392016,0 21,2,2024-09-07 09:56:11:080,784489,784012,477,0,45472052,0,17074 21,3,2024-09-07 09:56:11:405,1,627,11,0,713,8779,627,0 22,0,2024-09-07 09:56:11:717,156364,0.4,156934,0.6,313408,0.4,416203,2.00 22,1,2024-09-07 09:56:11:022,1098367,1098367,0,0,514972196498,5415225661,1080520,14307,3540,381,391822,0 22,2,2024-09-07 09:56:10:784,790140,790114,26,0,33178696,0,6328 22,3,2024-09-07 09:56:11:066,1,627,0,0,228,5349,627,0 23,0,2024-09-07 09:56:11:374,160382,0.5,160163,0.6,320294,0.4,427119,2.00 23,1,2024-09-07 09:56:11:003,1098949,1098949,0,0,516280936903,5433989632,1079461,12910,6578,365,391690,0 23,2,2024-09-07 09:56:11:106,796602,796602,0,0,31990943,0,3773 23,3,2024-09-07 09:56:11:757,1,627,1,0,855,8941,627,0 24,0,2024-09-07 09:56:10:907,156452,0.4,155653,0.5,312899,0.4,415668,1.75 24,1,2024-09-07 09:56:10:780,1099674,1099674,0,0,514944337511,5386784096,1089538,8426,1710,367,392269,0 24,2,2024-09-07 09:56:11:113,794052,794049,3,0,38690291,0,6294 24,3,2024-09-07 09:56:11:717,1,627,3,0,468,7933,627,0 25,0,2024-09-07 09:56:11:382,159872,0.4,155677,0.6,305811,0.4,416282,2.00 25,1,2024-09-07 09:56:10:671,1097721,1097721,0,0,514868681303,5435091516,1078943,15063,3715,369,391928,0 25,2,2024-09-07 09:56:11:634,790373,790373,0,0,39264649,0,3978 25,3,2024-09-07 09:56:11:010,1,627,2,0,532,6675,627,0 26,0,2024-09-07 09:56:11:720,154478,0.4,150988,0.6,317007,0.3,413146,1.75 26,1,2024-09-07 09:56:11:554,1101147,1101147,0,0,516627785311,5403824479,1086806,11808,2533,380,391758,0 26,2,2024-09-07 09:56:10:867,790174,790174,0,0,41778964,0,4689 26,3,2024-09-07 09:56:11:712,1,627,1,0,796,7621,627,0 27,0,2024-09-07 09:56:11:743,160625,0.4,161334,0.6,320767,0.3,428120,2.25 27,1,2024-09-07 09:56:11:684,1102576,1102576,0,0,517448182281,5388846059,1092173,8794,1609,381,391626,0 27,2,2024-09-07 09:56:10:880,790253,790188,65,0,36651410,0,5699 27,3,2024-09-07 09:56:11:015,1,627,0,0,564,5281,627,0 28,0,2024-09-07 09:56:11:390,156984,0.4,157211,0.6,314452,0.3,418454,1.75 28,1,2024-09-07 09:56:10:797,1102722,1102722,0,0,517491977291,5390921342,1093772,7058,1892,382,391904,0 28,2,2024-09-07 09:56:11:769,795453,795453,0,0,31670245,0,2915 28,3,2024-09-07 09:56:11:788,1,627,1,0,502,6229,627,0 29,0,2024-09-07 09:56:11:356,160877,0.4,156773,0.6,307600,0.3,418888,1.75 29,1,2024-09-07 09:56:11:592,1105729,1105729,0,0,518373884983,5357860081,1099365,5586,778,367,391809,0 29,2,2024-09-07 09:56:10:875,794186,794186,0,0,30703610,0,4986 29,3,2024-09-07 09:56:10:968,1,627,1,0,459,6606,627,0 30,0,2024-09-07 09:56:11:457,154347,0.5,150201,0.6,314735,0.4,410839,2.00 30,1,2024-09-07 09:56:10:805,1104425,1104425,0,0,518279491383,5373481019,1096847,6667,911,380,391672,0 30,2,2024-09-07 09:56:11:273,791714,791714,0,0,29181418,0,4192 30,3,2024-09-07 09:56:10:693,1,627,2,0,519,5756,627,0 31,0,2024-09-07 09:56:11:768,158220,0.4,159188,0.6,317219,0.3,423625,1.75 31,1,2024-09-07 09:56:10:684,1108592,1108592,0,0,519895365350,5335618511,1102131,5387,1074,356,391712,0 31,2,2024-09-07 09:56:11:303,791756,791756,0,0,32954491,0,4470 31,3,2024-09-07 09:56:11:714,1,627,4,0,239,5276,627,0 32,0,2024-09-07 09:56:11:438,159840,0.3,160943,0.5,320328,0.3,426319,1.75 32,1,2024-09-07 09:56:10:803,1104360,1104360,0,0,517218700779,5371793986,1098161,5481,718,381,391646,0 32,2,2024-09-07 09:56:10:939,798161,798161,0,0,29121939,0,3922 32,3,2024-09-07 09:56:11:015,1,627,2,0,304,5036,627,0 33,0,2024-09-07 09:56:11:505,157055,0.3,156666,0.4,313433,0.2,417250,1.50 33,1,2024-09-07 09:56:10:808,1104898,1104898,0,0,518754701551,5369727825,1096442,7183,1273,368,391730,0 33,2,2024-09-07 09:56:10:779,795896,795861,35,0,32141835,0,7012 33,3,2024-09-07 09:56:10:895,1,627,1,0,329,5378,627,0 34,0,2024-09-07 09:56:10:936,155171,0.3,159535,0.5,304927,0.2,412062,1.75 34,1,2024-09-07 09:56:11:044,1106764,1106764,0,0,519868283266,5345110478,1103800,2853,111,367,391637,0 34,2,2024-09-07 09:56:10:769,791202,791202,0,0,30531543,0,4562 34,3,2024-09-07 09:56:11:696,1,627,1,0,541,5544,627,0 35,0,2024-09-07 09:56:11:094,156138,0.3,156996,0.5,314645,0.2,418211,1.75 35,1,2024-09-07 09:56:11:071,1102766,1102766,0,0,518029371988,5373291560,1094653,6590,1523,382,391769,0 35,2,2024-09-07 09:56:11:594,791603,791603,0,0,33987392,0,4055 35,3,2024-09-07 09:56:10:912,1,627,1,0,466,5778,627,0 36,0,2024-09-07 09:56:11:537,161786,0.5,161998,0.6,323390,0.4,431136,2.00 36,1,2024-09-07 09:56:10:757,1102192,1102192,0,0,517034796711,5394470146,1088606,11345,2241,366,391759,0 36,2,2024-09-07 09:56:11:757,797175,797175,0,0,35019289,0,3875 36,3,2024-09-07 09:56:10:882,1,627,12,0,556,8370,627,0 37,0,2024-09-07 09:56:11:372,154494,0.5,154730,0.7,309675,0.5,413188,2.25 37,1,2024-09-07 09:56:10:818,1101351,1101344,0,7,516611724918,5390423948,1088683,9747,2914,365,391770,0 37,2,2024-09-07 09:56:11:142,792649,792634,15,0,34946729,0,5815 37,3,2024-09-07 09:56:11:773,1,627,0,0,888,8167,627,0 38,0,2024-09-07 09:56:11:439,154301,0.4,149600,0.6,312779,0.4,409526,2.00 38,1,2024-09-07 09:56:11:608,1101580,1101580,0,0,517766287164,5410607744,1086246,12334,3000,368,391821,0 38,2,2024-09-07 09:56:10:778,790941,790894,47,0,35014128,0,6710 38,3,2024-09-07 09:56:10:999,1,627,0,0,689,7459,627,0 39,0,2024-09-07 09:56:11:790,159403,0.5,155757,0.7,303901,0.4,414784,2.00 39,1,2024-09-07 09:56:10:802,1100672,1100672,0,0,516451644596,5408511421,1083223,13734,3715,365,391865,0 39,2,2024-09-07 09:56:11:421,790803,790803,0,0,33212637,0,3391 39,3,2024-09-07 09:56:10:723,1,627,2,0,525,6950,627,0 40,0,2024-09-07 09:56:11:492,159425,0.8,160289,0.9,319881,0.8,427110,2.75 40,1,2024-09-07 09:56:10:715,1101329,1101329,0,0,516308992161,5403784937,1085716,12543,3070,366,391668,0 40,2,2024-09-07 09:56:11:304,792226,792219,7,0,39614652,0,5347 40,3,2024-09-07 09:56:11:145,1,627,1,0,1028,9007,627,0 41,0,2024-09-07 09:56:11:021,154938,1.3,158586,1.1,302387,1.8,410761,3.00 41,1,2024-09-07 09:56:10:778,1100395,1100395,0,0,516705357409,5400805103,1086614,11621,2160,369,391878,0 41,2,2024-09-07 09:56:10:800,791014,791013,1,0,37867117,0,5408 41,3,2024-09-07 09:56:11:683,1,627,0,0,366,6697,627,0 42,0,2024-09-07 09:56:11:480,155215,0.9,154862,1.0,309807,1.1,410872,2.75 42,1,2024-09-07 09:56:11:449,1098202,1098202,0,0,514719270208,5404489580,1081616,13653,2933,380,391675,0 42,2,2024-09-07 09:56:11:133,791590,791589,1,0,38314361,0,5513 42,3,2024-09-07 09:56:11:011,1,627,1,0,892,6038,627,0 43,0,2024-09-07 09:56:10:917,153499,0.7,149420,0.9,313012,0.7,409254,2.25 43,1,2024-09-07 09:56:10:736,1101977,1101977,0,0,516770262179,5395148419,1087235,12170,2572,366,391696,0 43,2,2024-09-07 09:56:11:739,790535,790535,0,0,36011034,0,4723 43,3,2024-09-07 09:56:11:760,1,627,0,0,571,8887,627,0 44,0,2024-09-07 09:56:10:870,160048,0.4,160102,0.6,320013,0.3,426700,1.75 44,1,2024-09-07 09:56:10:683,1105061,1105061,0,0,516870725997,5339686840,1095685,7434,1942,356,391809,0 44,2,2024-09-07 09:56:11:266,791353,791353,0,0,29532736,0,4344 44,3,2024-09-07 09:56:11:097,1,627,1,0,1097,7521,627,0 45,0,2024-09-07 09:56:11:768,156300,0.5,152721,0.7,320549,0.4,420043,2.00 45,1,2024-09-07 09:56:11:010,1103494,1103494,0,0,517709289666,5376043549,1095238,7353,903,382,391917,0 45,2,2024-09-07 09:56:11:267,796535,796535,0,0,29825477,0,3596 45,3,2024-09-07 09:56:10:936,1,627,1,0,531,5876,627,0 46,0,2024-09-07 09:56:10:949,155684,0.5,155230,0.7,311475,0.5,413843,2.00 46,1,2024-09-07 09:56:10:776,1105897,1105897,0,0,518608223008,5357472195,1098400,6509,988,366,391709,0 46,2,2024-09-07 09:56:10:683,796387,796387,0,0,30495778,0,4443 46,3,2024-09-07 09:56:11:132,1,627,1,0,908,7308,627,0 47,0,2024-09-07 09:56:11:109,155904,0.4,155594,0.6,312686,0.3,414243,1.75 47,1,2024-09-07 09:56:10:809,1106718,1106718,0,0,518728942711,5354909136,1100614,5241,863,364,391641,0 47,2,2024-09-07 09:56:10:910,793448,793448,0,0,29371281,0,4477 47,3,2024-09-07 09:56:11:134,1,627,1,0,600,6742,627,0 48,0,2024-09-07 09:56:11:508,157826,0.3,158127,0.4,315554,0.2,420129,1.50 48,1,2024-09-07 09:56:11:027,1103607,1103607,0,0,516981638660,5365574440,1097499,5530,578,381,391710,0 48,2,2024-09-07 09:56:10:772,792224,792224,0,0,28017372,0,3524 48,3,2024-09-07 09:56:10:800,1,627,1,0,339,5442,627,0 49,0,2024-09-07 09:56:11:732,165581,0.3,162103,0.5,315466,0.3,430083,1.75 49,1,2024-09-07 09:56:11:021,1102907,1102907,0,0,517229556268,5377009217,1095630,5581,1696,382,391809,0 49,2,2024-09-07 09:56:11:834,798291,798291,0,0,30345350,0,4426 49,3,2024-09-07 09:56:11:417,1,627,1,0,992,7311,627,0 50,0,2024-09-07 09:56:11:510,156445,0.3,154885,0.5,312004,0.2,415105,1.75 50,1,2024-09-07 09:56:11:012,1105779,1105779,0,0,518866530728,5360448635,1099250,5860,669,368,391691,0 50,2,2024-09-07 09:56:11:067,794272,794272,0,0,28034851,0,4490 50,3,2024-09-07 09:56:11:298,1,627,2,0,617,6282,627,0 51,0,2024-09-07 09:56:11:731,159038,0.3,155636,0.5,303030,0.2,413219,1.75 51,1,2024-09-07 09:56:11:682,1105942,1105942,0,0,519987016566,5358269934,1100278,4583,1081,365,391706,0 51,2,2024-09-07 09:56:11:319,790770,790770,0,0,27457716,0,3337 51,3,2024-09-07 09:56:11:027,1,627,1,0,678,4454,627,0 52,0,2024-09-07 09:56:11:412,157011,0.5,156836,0.6,313732,0.4,417317,2.00 52,1,2024-09-07 09:56:10:801,1101447,1101447,0,0,516793016198,5404295699,1086295,12821,2331,368,391805,0 52,2,2024-09-07 09:56:11:766,788198,788160,38,0,37142903,0,6742 52,3,2024-09-07 09:56:10:680,1,627,1,0,1782,7141,627,0 53,0,2024-09-07 09:56:11:736,160194,0.6,155476,0.7,325383,0.6,426180,2.25 53,1,2024-09-07 09:56:10:771,1099665,1099665,0,0,516066650294,5410210494,1081469,13796,4400,367,391968,0 53,2,2024-09-07 09:56:11:303,796628,796627,1,0,33344051,0,5455 53,3,2024-09-07 09:56:10:774,1,627,3,0,308,5721,627,0 54,0,2024-09-07 09:56:11:635,153808,0.6,153957,0.8,306776,0.5,410248,2.25 54,1,2024-09-07 09:56:10:789,1103284,1103284,0,0,517663248072,5380142732,1092161,9451,1672,366,391810,0 54,2,2024-09-07 09:56:10:868,794985,794953,32,0,37170003,0,6397 54,3,2024-09-07 09:56:10:800,1,627,0,0,676,7928,627,0 55,0,2024-09-07 09:56:11:774,150124,0.6,154944,0.8,313989,0.6,408937,2.50 55,1,2024-09-07 09:56:10:784,1103600,1103600,0,0,517286216781,5375685427,1092730,9481,1389,365,391731,0 55,2,2024-09-07 09:56:10:764,791036,790980,56,0,34410031,0,7239 55,3,2024-09-07 09:56:10:675,1,627,1,0,304,5755,627,0 56,0,2024-09-07 09:56:11:574,158151,1.1,148995,1.1,307323,1.4,411737,2.75 56,1,2024-09-07 09:56:10:816,1096609,1096609,0,0,515230956889,5444525476,1078606,14314,3689,381,391867,0 56,2,2024-09-07 09:56:11:306,789415,789293,122,0,36812240,0,7432 56,3,2024-09-07 09:56:11:063,1,627,1,0,705,7164,627,0 57,0,2024-09-07 09:56:10:951,158963,1.3,158646,1.1,317811,1.7,424613,3.00 57,1,2024-09-07 09:56:10:988,1099035,1099035,0,0,514939179082,5401193223,1084553,11990,2492,366,392032,0 57,2,2024-09-07 09:56:11:321,792923,792923,0,0,38662497,0,4804 57,3,2024-09-07 09:56:11:743,1,627,1,0,455,7136,627,0 58,0,2024-09-07 09:56:10:809,154547,1.0,150163,1.0,314187,1.2,410780,2.75 58,1,2024-09-07 09:56:10:781,1100526,1100523,0,3,516872779386,5413731356,1084366,12253,3904,367,391726,3 58,2,2024-09-07 09:56:11:071,795467,795467,0,0,36156956,0,3483 58,3,2024-09-07 09:56:11:070,1,627,0,0,1043,6947,627,0 59,0,2024-09-07 09:56:11:749,155039,0.9,154551,1.0,308870,1.0,409941,3.00 59,1,2024-09-07 09:56:10:803,1099800,1099800,0,0,516430909494,5422559796,1081943,14189,3668,369,391653,0 59,2,2024-09-07 09:56:10:694,794419,794419,0,0,34297699,0,3727 59,3,2024-09-07 09:56:11:743,1,627,3,0,1015,7514,627,0 60,0,2024-09-07 09:56:11:713,155119,0.5,155124,0.7,310620,0.4,413183,1.75 60,1,2024-09-07 09:56:10:779,1104106,1104106,0,0,518086075744,5380706939,1096146,6871,1089,370,392031,0 60,2,2024-09-07 09:56:11:142,790736,790736,0,0,32288073,0,3811 60,3,2024-09-07 09:56:11:264,1,627,1,0,409,7122,627,0 61,0,2024-09-07 09:56:11:521,158463,0.6,159075,0.7,316593,0.5,422972,2.00 61,1,2024-09-07 09:56:10:770,1101576,1101576,0,0,516104347955,5398785643,1090435,9459,1682,382,392127,0 61,2,2024-09-07 09:56:11:143,792653,792586,67,0,32999708,0,6411 61,3,2024-09-07 09:56:11:738,1,627,0,0,607,7934,627,0 62,0,2024-09-07 09:56:11:715,160362,0.6,164524,0.7,314156,0.6,426258,2.00 62,1,2024-09-07 09:56:11:121,1107843,1107837,0,6,519657235899,5354219875,1102865,4673,299,365,391975,6 62,2,2024-09-07 09:56:11:667,794706,794705,1,0,33016598,0,5555 62,3,2024-09-07 09:56:11:150,1,627,7,0,482,4930,627,0 63,0,2024-09-07 09:56:11:454,157096,0.4,156713,0.6,314153,0.3,417557,1.75 63,1,2024-09-07 09:56:10:812,1104171,1104165,0,6,518112908663,5376640076,1097314,5994,857,381,391800,6 63,2,2024-09-07 09:56:10:778,795319,795319,0,0,31299061,0,4369 63,3,2024-09-07 09:56:11:739,1,627,1,0,667,6289,627,0 64,0,2024-09-07 09:56:11:517,154282,0.5,154155,0.6,307910,0.4,410590,2.00 64,1,2024-09-07 09:56:10:778,1102570,1102570,0,0,516906466084,5380364076,1093202,7389,1979,370,391794,0 64,2,2024-09-07 09:56:11:145,793894,793875,19,0,30304252,0,6121 64,3,2024-09-07 09:56:11:142,1,627,3,0,651,7049,627,0 65,0,2024-09-07 09:56:11:725,155737,0.6,156035,0.7,311825,0.6,415764,2.00 65,1,2024-09-07 09:56:10:888,1101064,1101064,0,0,515856941397,5387963164,1093568,6621,875,381,391901,0 65,2,2024-09-07 09:56:11:721,790745,790745,0,0,36705525,0,3367 65,3,2024-09-07 09:56:11:711,1,627,2,0,782,7390,627,0 66,0,2024-09-07 09:56:11:773,160985,0.5,160550,0.7,321180,0.5,428040,2.00 66,1,2024-09-07 09:56:11:306,1103275,1103275,0,0,517319444060,5379336226,1096766,5785,724,380,391743,0 66,2,2024-09-07 09:56:11:145,799236,799233,3,0,32490100,0,5455 66,3,2024-09-07 09:56:11:083,1,627,0,0,291,5537,627,0 67,0,2024-09-07 09:56:11:414,155266,0.5,154806,0.7,310660,0.5,413809,2.00 67,1,2024-09-07 09:56:10:801,1103279,1103278,0,1,517832791818,5387447165,1095876,6457,945,380,391787,1 67,2,2024-09-07 09:56:10:712,797975,797960,15,0,32310778,0,6205 67,3,2024-09-07 09:56:11:766,1,627,1,0,595,6363,627,0 68,0,2024-09-07 09:56:10:685,155167,0.6,154885,0.7,308681,0.5,412828,2.00 68,1,2024-09-07 09:56:10:720,1099045,1099045,0,0,516108604917,5420525935,1085227,10285,3533,381,391953,0 68,2,2024-09-07 09:56:11:061,787380,787280,100,0,40163714,0,8578 68,3,2024-09-07 09:56:10:763,1,627,2,0,417,7839,627,0 69,0,2024-09-07 09:56:11:746,155273,0.6,156041,0.8,311078,0.6,413634,2.25 69,1,2024-09-07 09:56:11:034,1098126,1098126,0,0,515786748112,5430244408,1084250,11040,2836,383,391994,0 69,2,2024-09-07 09:56:11:735,787844,787815,29,0,42833492,0,6912 69,3,2024-09-07 09:56:10:778,1,627,0,0,698,8731,627,0 70,0,2024-09-07 09:56:11:540,159211,0.7,159942,0.9,321101,0.6,425829,2.25 70,1,2024-09-07 09:56:10:820,1104451,1104451,0,0,518492690988,5375509408,1096527,7115,809,366,391725,0 70,2,2024-09-07 09:56:11:329,795065,795065,0,0,35352336,0,4323 70,3,2024-09-07 09:56:10:801,1,627,2,0,854,6839,627,0 71,0,2024-09-07 09:56:11:362,154642,0.9,154241,1.0,309746,1.2,412960,2.50 71,1,2024-09-07 09:56:11:602,1102004,1102004,0,0,517088946820,5391853305,1088999,11384,1621,367,391738,0 71,2,2024-09-07 09:56:11:071,793798,793798,0,0,35501187,0,4352 71,3,2024-09-07 09:56:11:756,1,627,4,0,644,6874,627,0 72,0,2024-09-07 09:56:11:060,161254,0.5,157479,0.7,307542,0.5,418004,2.00 72,1,2024-09-07 09:56:11:037,1100485,1100485,0,0,515568507460,5403445449,1085165,12799,2521,369,391819,0 72,2,2024-09-07 09:56:11:763,791613,791613,0,0,37201538,0,3983 72,3,2024-09-07 09:56:11:768,1,627,8,0,564,8996,627,0 73,0,2024-09-07 09:56:11:119,150960,0.4,154901,0.6,316615,0.4,411888,2.00 73,1,2024-09-07 09:56:10:770,1102079,1102079,0,0,517322590205,5374200915,1093665,7431,983,367,391858,0 73,2,2024-09-07 09:56:11:745,790024,790023,1,0,38841832,0,5027 73,3,2024-09-07 09:56:10:972,1,627,2,0,1091,8528,627,0 74,0,2024-09-07 09:56:11:342,161034,0.4,164830,0.6,314458,0.4,427192,2.00 74,1,2024-09-07 09:56:10:677,1100826,1100826,0,0,516242535162,5386382148,1088913,9795,2118,381,391762,0 74,2,2024-09-07 09:56:11:006,791427,791427,0,0,33873316,0,4253 74,3,2024-09-07 09:56:11:445,1,627,13,0,522,7501,627,0 75,0,2024-09-07 09:56:11:770,158393,0.5,157444,0.7,315828,0.5,421472,2.25 75,1,2024-09-07 09:56:11:589,1101752,1101752,0,0,517055441045,5384522697,1093122,7760,870,380,391739,0 75,2,2024-09-07 09:56:11:356,794338,794338,0,0,41063854,0,4766 75,3,2024-09-07 09:56:11:078,1,627,5,0,918,8292,627,0 76,0,2024-09-07 09:56:10:846,156082,0.6,155510,0.8,310924,0.5,415574,2.25 76,1,2024-09-07 09:56:10:808,1101945,1101945,0,0,516637030068,5383890281,1094605,6348,992,382,391790,0 76,2,2024-09-07 09:56:11:067,796936,796933,3,0,33365024,0,5265 76,3,2024-09-07 09:56:11:143,1,627,12,0,227,5721,627,0 77,0,2024-09-07 09:56:11:734,155041,0.5,155579,0.7,310972,0.5,413499,2.00 77,1,2024-09-07 09:56:10:835,1101784,1101784,0,0,516755681243,5386986636,1093789,7115,880,381,391869,0 77,2,2024-09-07 09:56:11:281,789401,789401,0,0,32348343,0,3890 77,3,2024-09-07 09:56:11:104,1,627,3,0,401,7014,627,0 78,0,2024-09-07 09:56:11:721,158311,0.4,157486,0.6,315895,0.3,418794,2.00 78,1,2024-09-07 09:56:10:676,1102220,1102220,0,0,516635533200,5384842292,1089372,9931,2917,367,391670,0 78,2,2024-09-07 09:56:11:405,792684,792671,13,0,30455424,0,8313 78,3,2024-09-07 09:56:11:133,1,627,1,0,311,5137,627,0 79,0,2024-09-07 09:56:11:367,155525,0.4,159231,0.6,326461,0.3,424714,2.00 79,1,2024-09-07 09:56:10:804,1106280,1106280,0,0,518587370979,5364335571,1098429,6491,1360,367,391682,0 79,2,2024-09-07 09:56:11:079,797712,797712,0,0,29517657,0,4195 79,3,2024-09-07 09:56:10:768,1,627,1,0,418,7203,627,0 80,0,2024-09-07 09:56:11:210,155699,0.5,159968,0.7,305756,0.5,414101,2.00 80,1,2024-09-07 09:56:11:637,1101855,1101855,0,0,517100688954,5381098385,1094687,6715,453,368,392269,0 80,2,2024-09-07 09:56:11:102,797636,797636,0,0,30109249,0,4433 80,3,2024-09-07 09:56:10:775,1,627,15,0,681,7480,627,0 81,0,2024-09-07 09:56:11:559,154859,0.5,158513,0.7,302568,0.5,410444,2.00 81,1,2024-09-07 09:56:11:660,1101137,1101137,0,0,516030569052,5388552318,1092965,7404,768,382,391885,0 81,2,2024-09-07 09:56:11:134,790072,790009,63,0,33249608,0,5932 81,3,2024-09-07 09:56:11:145,1,627,11,0,719,7066,627,0 82,0,2024-09-07 09:56:11:535,156381,0.4,156539,0.6,313736,0.4,416330,2.00 82,1,2024-09-07 09:56:10:694,1103114,1103110,0,4,517995359272,5381269164,1097509,4776,825,381,391768,4 82,2,2024-09-07 09:56:11:735,793668,793668,0,0,28314277,0,4484 82,3,2024-09-07 09:56:11:768,1,627,0,0,363,5784,627,0 83,0,2024-09-07 09:56:11:545,160868,0.5,160565,0.7,321024,0.5,426431,2.00 83,1,2024-09-07 09:56:10:558,1102061,1102061,0,0,516547176014,5381565799,1094464,6952,645,382,391709,0 83,2,2024-09-07 09:56:10:771,796737,796712,25,0,30607222,0,5612 83,3,2024-09-07 09:56:10:773,1,627,1,0,1260,7314,627,0 84,0,2024-09-07 09:56:11:781,154057,0.8,154093,0.9,308048,0.8,411858,2.25 84,1,2024-09-07 09:56:11:042,1100210,1100210,0,0,516560750274,5398216741,1087939,10598,1673,367,391967,0 84,2,2024-09-07 09:56:10:685,793259,792849,410,0,44117429,0,17037 84,3,2024-09-07 09:56:11:147,1,627,27,0,908,8214,627,0 85,0,2024-09-07 09:56:11:002,149425,0.6,149421,0.8,317218,0.6,409359,2.25 85,1,2024-09-07 09:56:10:667,1096944,1096944,0,0,514837842067,5430814648,1080609,13533,2802,381,392092,0 85,2,2024-09-07 09:56:10:868,791428,791428,0,0,37216066,0,4255 85,3,2024-09-07 09:56:10:716,1,627,5,0,789,7126,627,0 86,0,2024-09-07 09:56:10:947,154857,0.6,159225,0.8,304634,0.7,412210,2.25 86,1,2024-09-07 09:56:10:833,1100346,1100346,0,0,516657861542,5412785759,1086424,11235,2687,366,392169,0 86,2,2024-09-07 09:56:10:887,789694,789693,1,0,39560132,0,5004 86,3,2024-09-07 09:56:10:701,1,627,7,0,308,8723,627,0 87,0,2024-09-07 09:56:11:296,160791,0.7,160011,0.8,320258,0.8,428124,2.25 87,1,2024-09-07 09:56:10:558,1099383,1099383,0,0,515713076942,5398368988,1085988,11596,1799,366,392076,0 87,2,2024-09-07 09:56:11:068,792771,792765,6,0,35929723,0,6323 87,3,2024-09-07 09:56:11:802,1,627,1,0,473,8755,627,0 88,0,2024-09-07 09:56:11:490,156855,0.5,157441,0.6,314420,0.4,418302,1.75 88,1,2024-09-07 09:56:10:820,1098818,1098818,0,0,515294699662,5398086052,1083412,12358,3048,365,392084,0 88,2,2024-09-07 09:56:10:715,794383,794383,0,0,38889316,0,4465 88,3,2024-09-07 09:56:11:266,1,627,2,0,1080,9457,627,0 89,0,2024-09-07 09:56:11:811,160827,0.4,156025,0.6,307816,0.4,418531,1.75 89,1,2024-09-07 09:56:10:687,1098346,1098346,0,0,515815041836,5420736845,1085346,11052,1948,382,391866,0 89,2,2024-09-07 09:56:11:141,793720,793720,0,0,36651945,0,3173 89,3,2024-09-07 09:56:11:794,1,627,1,0,468,10195,627,0 90,0,2024-09-07 09:56:11:625,150511,0.4,154613,0.6,315396,0.4,411105,2.00 90,1,2024-09-07 09:56:10:722,1100516,1100516,0,0,515405863823,5394807312,1090407,9211,898,380,391825,0 90,2,2024-09-07 09:56:11:443,786715,786710,5,0,40082199,0,6370 90,3,2024-09-07 09:56:10:958,1,627,2,0,322,7438,627,0 91,0,2024-09-07 09:56:10:952,158976,0.4,154303,0.6,322884,0.4,423522,1.75 91,1,2024-09-07 09:56:10:804,1097979,1097979,0,0,515112865704,5424272968,1082106,12725,3148,381,392047,0 91,2,2024-09-07 09:56:11:340,791863,791863,0,0,35295202,0,4713 91,3,2024-09-07 09:56:10:683,1,627,10,0,216,5510,627,0 92,0,2024-09-07 09:56:11:455,161499,0.4,165160,0.6,314778,0.4,426541,1.75 92,1,2024-09-07 09:56:10:723,1101003,1101003,0,0,516612798927,5392817163,1092623,7092,1288,381,392136,0 92,2,2024-09-07 09:56:11:365,796700,796700,0,0,31226998,0,3259 92,3,2024-09-07 09:56:11:012,1,627,1,0,167,5285,627,0 93,0,2024-09-07 09:56:10:994,157490,0.4,161334,0.6,308130,0.3,417460,1.75 93,1,2024-09-07 09:56:10:809,1102106,1102106,0,0,517072327030,5393314377,1090371,9798,1937,365,392048,0 93,2,2024-09-07 09:56:10:942,794930,794930,0,0,36941130,0,4913 93,3,2024-09-07 09:56:11:409,1,627,1,0,375,6373,627,0 94,0,2024-09-07 09:56:11:611,154258,0.4,155537,0.5,310759,0.3,412945,1.75 94,1,2024-09-07 09:56:10:812,1102453,1102453,0,0,517350638008,5391859247,1095485,6532,436,381,391850,0 94,2,2024-09-07 09:56:10:797,790080,790052,28,0,31664959,0,6179 94,3,2024-09-07 09:56:11:734,1,627,0,0,576,7663,627,0 95,0,2024-09-07 09:56:11:350,156999,0.3,156860,0.5,314193,0.3,418361,1.75 95,1,2024-09-07 09:56:11:086,1103049,1103049,0,0,517756054419,5377254322,1094591,7706,752,365,391852,0 95,2,2024-09-07 09:56:11:016,790568,790568,0,0,31402435,0,3308 95,3,2024-09-07 09:56:11:732,1,627,2,0,718,9715,627,0 96,0,2024-09-07 09:56:11:050,161465,0.4,161717,0.5,323527,0.3,430705,1.75 96,1,2024-09-07 09:56:11:622,1101229,1101229,0,0,516383714910,5382865710,1093817,6138,1274,384,391964,0 96,2,2024-09-07 09:56:11:267,797931,797931,0,0,32549422,0,4180 96,3,2024-09-07 09:56:11:142,1,627,1,0,411,7060,627,0 97,0,2024-09-07 09:56:11:330,155284,0.3,155257,0.5,311209,0.3,413565,1.50 97,1,2024-09-07 09:56:10:771,1103302,1103302,0,0,517974265381,5371703909,1096353,5908,1041,367,392140,0 97,2,2024-09-07 09:56:10:681,796274,796274,0,0,32010820,0,4046 97,3,2024-09-07 09:56:10:808,1,627,1,0,242,6897,627,0 98,0,2024-09-07 09:56:11:750,154715,0.3,154815,0.4,310771,0.2,413114,1.50 98,1,2024-09-07 09:56:10:807,1102102,1102102,0,0,517111988715,5383796347,1095588,5677,837,381,391997,0 98,2,2024-09-07 09:56:10:803,790963,790963,0,0,30683387,0,4336 98,3,2024-09-07 09:56:10:772,1,627,4,0,840,9219,627,0 99,0,2024-09-07 09:56:11:513,155966,0.3,156809,0.5,312103,0.3,416279,1.75 99,1,2024-09-07 09:56:11:739,1103222,1103222,0,0,517468288079,5381666197,1096834,5443,945,380,392069,0 99,2,2024-09-07 09:56:11:419,790810,790810,0,0,38113221,0,4276 99,3,2024-09-07 09:56:10:788,1,627,3,0,1124,7945,627,0 100,0,2024-09-07 09:56:11:484,160465,0.7,160959,0.9,321842,0.7,429473,2.25 100,1,2024-09-07 09:56:10:557,1096518,1096518,0,0,514521058260,5433923251,1080471,12727,3320,378,391989,0 100,2,2024-09-07 09:56:11:825,791395,791006,389,0,41165085,0,16909 100,3,2024-09-07 09:56:11:737,1,627,1,0,627,10313,627,0 101,0,2024-09-07 09:56:11:726,159195,1.1,155331,1.0,303958,1.1,414765,2.25 101,1,2024-09-07 09:56:10:690,1099051,1099051,0,0,515901155356,5416483888,1084844,11678,2529,368,391847,0 101,2,2024-09-07 09:56:11:759,790180,790180,0,0,41112455,0,4871 101,3,2024-09-07 09:56:10:971,1,627,1,0,1250,9230,627,0 102,0,2024-09-07 09:56:11:006,151876,0.6,156426,0.8,317859,0.6,415486,2.25 102,1,2024-09-07 09:56:11:144,1098643,1098643,0,0,515780915583,5413029561,1084507,11935,2201,369,391984,0 102,2,2024-09-07 09:56:11:746,793958,793904,54,0,35187130,0,6768 102,3,2024-09-07 09:56:11:628,1,627,1,0,466,7017,627,0 103,0,2024-09-07 09:56:11:635,160052,0.5,160093,0.7,301652,0.5,415187,2.00 103,1,2024-09-07 09:56:11:647,1097695,1097695,0,0,515556658876,5440176573,1080596,13496,3603,381,392077,0 103,2,2024-09-07 09:56:10:709,788131,788131,0,0,37990241,0,3766 103,3,2024-09-07 09:56:10:775,1,627,1,0,916,7269,627,0 104,0,2024-09-07 09:56:11:036,158947,0.7,159064,0.9,317460,0.6,424956,2.25 104,1,2024-09-07 09:56:11:619,1100005,1100005,0,0,515939984802,5416102913,1084456,12704,2845,365,392168,0 104,2,2024-09-07 09:56:11:681,789916,789916,0,0,37716376,0,4161 104,3,2024-09-07 09:56:11:416,1,627,10,0,1245,10862,627,0 105,0,2024-09-07 09:56:11:051,156270,0.9,152359,1.0,319469,1.0,419360,2.50 105,1,2024-09-07 09:56:10:803,1101169,1101169,0,0,516399340478,5405900356,1087586,11639,1944,364,392009,0 105,2,2024-09-07 09:56:11:349,794713,794713,0,0,38024109,0,4360 105,3,2024-09-07 09:56:11:305,1,627,1,0,573,9520,627,0 106,0,2024-09-07 09:56:10:953,151183,0.9,154896,1.0,317190,1.1,413936,2.50 106,1,2024-09-07 09:56:11:758,1099958,1099958,0,0,515113677100,5401830473,1085275,12787,1896,368,391914,0 106,2,2024-09-07 09:56:10:781,793678,793678,0,0,35671423,0,3331 106,3,2024-09-07 09:56:10:685,1,627,30,0,1224,8511,627,0 107,0,2024-09-07 09:56:11:103,155176,0.9,155238,0.9,310575,1.1,414071,2.25 107,1,2024-09-07 09:56:10:689,1097833,1097833,0,0,514558983356,5433683922,1080423,15275,2135,381,392234,0 107,2,2024-09-07 09:56:11:301,786585,786584,1,0,38764288,0,5024 107,3,2024-09-07 09:56:11:766,1,627,3,0,733,9464,627,0 108,0,2024-09-07 09:56:11:811,157282,0.4,157925,0.6,314750,0.4,420133,1.75 108,1,2024-09-07 09:56:11:314,1101180,1101180,0,0,516824612612,5386683268,1092469,7714,997,367,391894,0 108,2,2024-09-07 09:56:11:769,791744,791744,0,0,33426486,0,4246 108,3,2024-09-07 09:56:11:366,1,627,2,0,749,10962,627,0 109,0,2024-09-07 09:56:11:808,161880,0.4,160469,0.6,321859,0.3,429294,1.75 109,1,2024-09-07 09:56:10:690,1098827,1098827,0,0,516136653963,5410433472,1089328,8219,1280,382,392132,0 109,2,2024-09-07 09:56:10:933,795225,795225,0,0,34444408,0,3617 109,3,2024-09-07 09:56:11:157,1,627,10,0,630,7431,627,0 110,0,2024-09-07 09:56:11:764,155687,0.4,151363,0.6,316890,0.4,415963,1.75 110,1,2024-09-07 09:56:11:651,1103249,1103249,0,0,517694464294,5370655389,1095199,6121,1929,368,392045,0 110,2,2024-09-07 09:56:11:306,796106,796106,0,0,31813715,0,4067 110,3,2024-09-07 09:56:10:694,1,627,1,0,722,8229,627,0 111,0,2024-09-07 09:56:11:414,155664,0.4,154906,0.5,309514,0.3,412892,1.75 111,1,2024-09-07 09:56:11:000,1104557,1104557,0,0,518948572926,5379172406,1098918,5258,381,380,391690,0 111,2,2024-09-07 09:56:11:139,790591,790591,0,0,32274420,0,4823 111,3,2024-09-07 09:56:10:930,1,627,1,0,379,6679,627,0 112,0,2024-09-07 09:56:10:931,157123,0.3,156822,0.4,314185,0.2,417589,1.50 112,1,2024-09-07 09:56:10:840,1103066,1103066,0,0,517140457956,5367694521,1095857,5984,1225,380,391624,0 112,2,2024-09-07 09:56:11:138,791422,791421,1,0,30755386,0,5036 112,3,2024-09-07 09:56:10:711,1,627,0,0,282,5845,627,0 113,0,2024-09-07 09:56:10:888,160796,0.3,160770,0.5,322128,0.2,428713,1.50 113,1,2024-09-07 09:56:11:921,1105567,1105567,0,0,519062421374,5353104739,1099317,5302,948,365,391664,0 113,2,2024-09-07 09:56:11:304,798801,798801,0,0,29306563,0,3813 113,3,2024-09-07 09:56:10:714,1,627,1,0,510,6640,627,0 114,0,2024-09-07 09:56:10:882,155694,0.3,156710,0.5,312418,0.2,417247,1.75 114,1,2024-09-07 09:56:10:776,1103531,1103531,0,0,517534995979,5371060347,1095587,6197,1747,381,391565,0 114,2,2024-09-07 09:56:10:876,796954,796953,1,0,30209759,0,5069 114,3,2024-09-07 09:56:11:278,1,627,2,0,415,5363,627,0 115,0,2024-09-07 09:56:10:684,156264,0.3,156814,0.4,312287,0.2,415485,1.50 115,1,2024-09-07 09:56:10:802,1103949,1103949,0,0,518014655421,5377980803,1095686,6746,1517,382,391757,0 115,2,2024-09-07 09:56:11:129,794159,794159,0,0,30494013,0,4382 115,3,2024-09-07 09:56:11:003,1,627,2,0,167,3779,627,0 116,0,2024-09-07 09:56:11:751,154850,0.6,154752,0.8,310141,0.6,414479,2.00 116,1,2024-09-07 09:56:10:810,1097497,1097497,0,0,515690592522,5429706678,1084831,9574,3092,380,392089,0 116,2,2024-09-07 09:56:11:779,790454,790454,0,0,37769225,0,4475 116,3,2024-09-07 09:56:10:916,1,627,44,0,448,7878,627,0 117,0,2024-09-07 09:56:11:014,160827,0.6,160249,0.8,321060,0.6,429461,2.00 117,1,2024-09-07 09:56:11:593,1099699,1099699,0,0,515306314768,5392460823,1087904,10270,1525,369,392429,0 117,2,2024-09-07 09:56:11:117,794989,794989,0,0,34145364,0,4303 117,3,2024-09-07 09:56:11:061,1,627,0,0,490,7790,627,0 118,0,2024-09-07 09:56:11:776,152139,0.5,156271,0.7,318962,0.5,416883,2.00 118,1,2024-09-07 09:56:10:794,1098840,1098840,0,0,514605904437,5405821037,1082984,12231,3625,366,392054,0 118,2,2024-09-07 09:56:11:593,793881,793881,0,0,36444409,0,2842 118,3,2024-09-07 09:56:11:768,1,627,0,0,289,7245,627,0 119,0,2024-09-07 09:56:11:341,156154,0.8,156768,0.8,312783,0.8,416872,2.25 119,1,2024-09-07 09:56:10:559,1099777,1099777,0,0,516078681985,5408161917,1085075,12190,2512,367,391857,0 119,2,2024-09-07 09:56:11:261,795048,795048,0,0,34397556,0,4309 119,3,2024-09-07 09:56:11:334,1,627,2,0,1358,11466,627,0 120,0,2024-09-07 09:56:11:582,154314,0.6,154125,0.8,309767,0.6,412262,2.25 120,1,2024-09-07 09:56:10:878,1100655,1100655,0,0,515738851568,5405458506,1088926,10587,1142,367,392144,0 120,2,2024-09-07 09:56:10:790,789089,789086,3,0,40892931,0,5363 120,3,2024-09-07 09:56:11:301,1,627,1,0,279,7425,627,0 121,0,2024-09-07 09:56:11:785,158753,1.0,158350,1.0,316839,1.2,422483,2.25 121,1,2024-09-07 09:56:11:659,1100047,1100047,0,0,515875337029,5394807113,1088739,9751,1557,366,391840,0 121,2,2024-09-07 09:56:11:126,791060,791060,0,0,37346935,0,4157 121,3,2024-09-07 09:56:10:750,1,627,3,0,387,8140,627,0 122,0,2024-09-07 09:56:11:779,159425,0.8,154943,0.9,324565,0.8,426341,2.00 122,1,2024-09-07 09:56:10:871,1099090,1099090,0,0,515527404138,5407404116,1084066,12606,2418,365,392130,0 122,2,2024-09-07 09:56:11:319,796719,796644,75,0,41137332,0,5989 122,3,2024-09-07 09:56:10:686,1,627,13,0,512,9935,627,0 123,0,2024-09-07 09:56:10:956,155905,0.8,151713,0.9,317946,0.9,416055,2.25 123,1,2024-09-07 09:56:10:560,1099913,1099913,0,0,516482754811,5426389066,1083018,14223,2672,369,392039,0 123,2,2024-09-07 09:56:11:019,793123,793122,1,0,35157040,0,5215 123,3,2024-09-07 09:56:11:133,1,627,6,0,478,7051,627,0 124,0,2024-09-07 09:56:10:930,159151,0.3,159223,0.5,300109,0.3,413453,1.75 124,1,2024-09-07 09:56:11:021,1103440,1103440,0,0,516633004536,5368369333,1095468,6793,1179,365,392178,0 124,2,2024-09-07 09:56:11:012,792033,791980,53,0,31594627,0,6487 124,3,2024-09-07 09:56:10:769,1,627,0,0,490,6080,627,0 125,0,2024-09-07 09:56:11:433,156744,0.4,156553,0.5,314128,0.3,418342,1.75 125,1,2024-09-07 09:56:10:862,1100292,1100292,0,0,516812771146,5391032747,1092212,6926,1154,382,392045,0 125,2,2024-09-07 09:56:11:134,793719,793719,0,0,31953677,0,4534 125,3,2024-09-07 09:56:11:132,1,627,2,0,709,7070,627,0 126,0,2024-09-07 09:56:11:422,161667,0.4,166247,0.5,317823,0.3,431338,1.75 126,1,2024-09-07 09:56:10:557,1104544,1104544,0,0,518232348803,5361446798,1099017,5079,448,365,391987,0 126,2,2024-09-07 09:56:10:675,798631,798631,0,0,33167880,0,4539 126,3,2024-09-07 09:56:10:908,1,627,1,0,268,6741,627,0 127,0,2024-09-07 09:56:11:600,155709,0.3,155792,0.5,311030,0.3,413919,1.75 127,1,2024-09-07 09:56:10:811,1102489,1102489,0,0,517086905670,5376665798,1091797,9053,1639,364,392187,0 127,2,2024-09-07 09:56:10:670,795517,795513,4,0,31316361,0,5305 127,3,2024-09-07 09:56:11:268,1,627,2,0,968,6051,627,0 128,0,2024-09-07 09:56:11:536,155684,0.3,155816,0.4,311319,0.2,413803,1.50 128,1,2024-09-07 09:56:11:646,1102231,1102231,0,0,517432481051,5379708470,1093695,7571,965,367,392423,0 128,2,2024-09-07 09:56:11:383,793237,793237,0,0,28953905,0,3171 128,3,2024-09-07 09:56:10:800,1,627,1,0,1082,9720,627,0 129,0,2024-09-07 09:56:11:005,157259,0.3,156459,0.5,313467,0.3,416879,1.50 129,1,2024-09-07 09:56:10:818,1098797,1098797,0,0,516082407152,5405016493,1088319,8456,2022,379,391962,0 129,2,2024-09-07 09:56:10:685,792800,792796,4,0,31722545,0,5335 129,3,2024-09-07 09:56:10:714,1,627,2,0,506,8411,627,0 130,0,2024-09-07 09:56:11:718,161651,0.4,161352,0.5,323050,0.4,430539,1.75 130,1,2024-09-07 09:56:10:738,1103739,1103739,0,0,518163108192,5378918567,1098278,5058,403,381,391825,0 130,2,2024-09-07 09:56:11:146,795184,795184,0,0,31918090,0,4067 130,3,2024-09-07 09:56:11:311,1,627,0,0,960,8408,627,0 131,0,2024-09-07 09:56:11:922,155885,0.3,156392,0.5,313509,0.3,416309,1.75 131,1,2024-09-07 09:56:11:826,1102602,1102602,0,0,517656118655,5392213711,1095361,6043,1198,381,391865,0 131,2,2024-09-07 09:56:10:810,795470,795470,0,0,29527815,0,3979 131,3,2024-09-07 09:56:11:696,1,627,1,0,392,7870,627,0 132,0,2024-09-07 09:56:11:416,156464,0.5,157385,0.6,313449,0.4,416476,2.00 132,1,2024-09-07 09:56:10:802,1098289,1098289,0,0,514897744383,5416543756,1082823,12762,2704,381,392532,0 132,2,2024-09-07 09:56:10:783,793133,793116,17,0,38324263,0,6451 132,3,2024-09-07 09:56:11:697,1,627,1,0,1298,10954,627,0 133,0,2024-09-07 09:56:11:538,151167,0.4,154674,0.6,317073,0.4,412688,2.00 133,1,2024-09-07 09:56:10:687,1098449,1098449,0,0,515202191478,5422993815,1084356,12328,1765,383,391914,0 133,2,2024-09-07 09:56:11:087,790976,790926,50,0,39189968,0,6861 133,3,2024-09-07 09:56:11:303,1,627,1,0,528,7410,627,0 134,0,2024-09-07 09:56:10:960,160180,0.5,160302,0.6,320644,0.4,427322,2.00 134,1,2024-09-07 09:56:10:704,1099867,1099867,0,0,515619560665,5403253080,1086074,11302,2491,366,391781,0 134,2,2024-09-07 09:56:11:768,792281,792257,24,0,36274569,0,6207 134,3,2024-09-07 09:56:10:779,1,627,23,0,739,7628,627,0 135,0,2024-09-07 09:56:11:115,153163,0.8,153137,0.8,325216,0.8,418670,2.25 135,1,2024-09-07 09:56:11:590,1098979,1098979,0,0,516270961242,5423016695,1085940,11398,1641,380,391974,0 135,2,2024-09-07 09:56:10:697,796707,796707,0,0,37240344,0,4503 135,3,2024-09-07 09:56:11:004,1,627,1,0,900,5952,627,0 136,0,2024-09-07 09:56:11:625,156992,0.6,157393,0.8,312575,0.6,417118,2.00 136,1,2024-09-07 09:56:11:442,1100248,1100248,0,0,516536709561,5409716291,1088327,10531,1390,381,392135,0 136,2,2024-09-07 09:56:11:133,796266,796251,15,0,36802958,0,6007 136,3,2024-09-07 09:56:11:120,1,627,1,0,637,7054,627,0 137,0,2024-09-07 09:56:10:949,160428,0.6,156402,0.7,306155,0.6,416012,2.00 137,1,2024-09-07 09:56:10:812,1099147,1099147,0,0,515922075720,5406977852,1082592,13165,3390,366,391898,0 137,2,2024-09-07 09:56:11:742,788649,788649,0,0,37784821,0,3185 137,3,2024-09-07 09:56:10:804,1,627,1,0,484,8364,627,0 138,0,2024-09-07 09:56:11:759,156811,0.7,157113,0.8,314883,0.7,418751,2.00 138,1,2024-09-07 09:56:11:691,1099838,1099838,0,0,516534777411,5413997057,1085043,12523,2272,368,391954,0 138,2,2024-09-07 09:56:10:704,790432,790432,0,0,36050942,0,4988 138,3,2024-09-07 09:56:10:676,1,627,6,0,1200,9603,627,0 139,0,2024-09-07 09:56:11:387,159702,0.9,160363,0.9,320359,1.3,427628,2.25 139,1,2024-09-07 09:56:10:822,1095224,1095224,0,0,513073125410,5435561549,1076817,14579,3828,380,392109,0 139,2,2024-09-07 09:56:10:773,791759,791729,30,0,41571369,0,5997 139,3,2024-09-07 09:56:11:672,1,627,1,0,432,7310,627,0 140,0,2024-09-07 09:56:11:601,156293,0.3,155483,0.5,312006,0.2,416345,1.75 140,1,2024-09-07 09:56:11:537,1106467,1106467,0,0,519875122972,5355817156,1101466,4453,548,364,391628,0 140,2,2024-09-07 09:56:10:712,796113,796112,1,0,29533174,0,5036 140,3,2024-09-07 09:56:10:800,1,627,2,0,575,6159,627,0 141,0,2024-09-07 09:56:11:742,155163,0.3,159465,0.5,304903,0.2,412626,1.50 141,1,2024-09-07 09:56:10:871,1104165,1104165,0,0,517453411471,5372331488,1095965,7007,1193,379,391614,0 141,2,2024-09-07 09:56:11:918,791245,791234,11,0,31536559,0,5369 141,3,2024-09-07 09:56:11:045,1,627,2,0,391,7029,627,0 142,0,2024-09-07 09:56:11:326,157392,0.3,156569,0.5,313359,0.2,418542,1.50 142,1,2024-09-07 09:56:10:693,1102222,1102222,0,0,516523877682,5380912054,1095480,6231,511,382,392102,0 142,2,2024-09-07 09:56:11:305,790810,790778,32,0,32132240,0,6028 142,3,2024-09-07 09:56:11:746,1,627,0,0,484,6463,627,0 143,0,2024-09-07 09:56:11:402,160874,0.4,160628,0.5,322324,0.4,428861,1.75 143,1,2024-09-07 09:56:10:681,1104534,1104534,0,0,518447564310,5374611622,1098050,5916,568,367,391900,0 143,2,2024-09-07 09:56:10:771,796921,796921,0,0,32147874,0,3123 143,3,2024-09-07 09:56:11:154,1,627,5,0,462,7378,627,0 144,0,2024-09-07 09:56:11:520,150859,0.6,155302,0.8,315854,0.5,413645,2.00 144,1,2024-09-07 09:56:10:824,1099391,1099391,0,0,515337690600,5404010763,1088785,8611,1995,381,391733,0 144,2,2024-09-07 09:56:11:764,796097,796097,0,0,31351651,0,4443 144,3,2024-09-07 09:56:11:748,1,627,2,0,249,6199,627,0 145,0,2024-09-07 09:56:11:397,150591,0.6,150535,0.8,319510,0.5,411229,2.25 145,1,2024-09-07 09:56:10:660,1098545,1098545,0,0,515762201879,5421874498,1084501,11367,2677,382,391781,0 145,2,2024-09-07 09:56:11:437,791011,790929,82,0,37147599,0,7814 145,3,2024-09-07 09:56:10:899,1,627,1,0,622,8167,627,0 146,0,2024-09-07 09:56:11:620,155249,0.5,154326,0.7,310463,0.5,412840,2.00 146,1,2024-09-07 09:56:11:588,1099429,1099429,0,0,515379626720,5418435882,1081884,13063,4482,367,391770,0 146,2,2024-09-07 09:56:11:711,789336,789330,6,0,35400729,0,5151 146,3,2024-09-07 09:56:11:277,1,627,3,0,1520,10049,627,0 147,0,2024-09-07 09:56:11:930,160735,0.5,160503,0.7,321024,0.5,428607,2.00 147,1,2024-09-07 09:56:11:378,1103693,1103693,0,0,517910652363,5378249497,1095186,7540,967,367,391791,0 147,2,2024-09-07 09:56:11:010,793608,793608,0,0,31675758,0,2968 147,3,2024-09-07 09:56:10:931,1,627,1,0,1626,9732,627,0 0,0,2024-09-07 09:56:21:765,150795,0.5,150773,0.7,320152,0.6,412889,2.00 0,1,2024-09-07 09:56:20:801,1102130,1102130,0,0,517457207864,5413098360,1093533,7645,952,368,391896,0 0,2,2024-09-07 09:56:21:066,792367,792367,0,0,31507550,0,4480 0,3,2024-09-07 09:56:20:981,1,628,3,0,431,8827,628,0 1,0,2024-09-07 09:56:21:771,158885,0.8,157851,0.9,316809,0.9,423953,2.00 1,1,2024-09-07 09:56:20:565,1100943,1100943,0,0,516038811500,5401411166,1091448,8002,1493,370,391859,0 1,2,2024-09-07 09:56:20:642,793714,793714,0,0,31196914,0,3380 1,3,2024-09-07 09:56:21:314,1,628,1,0,269,7726,628,0 2,0,2024-09-07 09:56:21:573,159863,0.6,159389,0.7,318905,0.6,425143,2.00 2,1,2024-09-07 09:56:20:861,1104362,1104362,0,0,518662863682,5389470399,1098179,5231,952,379,391745,0 2,2,2024-09-07 09:56:21:270,798049,798049,0,0,29774462,0,3594 2,3,2024-09-07 09:56:20:711,1,628,1,0,357,5513,628,0 3,0,2024-09-07 09:56:21:772,156596,0.4,156601,0.6,312824,0.4,416835,2.00 3,1,2024-09-07 09:56:21:620,1103368,1103368,0,0,517945121919,5383898758,1095739,6924,705,379,391716,0 3,2,2024-09-07 09:56:21:144,797245,797222,23,0,30682454,0,5851 3,3,2024-09-07 09:56:21:755,1,628,4,0,484,4715,628,0 4,0,2024-09-07 09:56:21:831,150714,0.4,154893,0.5,315720,0.3,413044,1.75 4,1,2024-09-07 09:56:20:591,1098372,1098372,0,0,515610097709,5450328644,1080547,14068,3757,369,391992,0 4,2,2024-09-07 09:56:21:035,788676,788676,0,0,37475208,0,4534 4,3,2024-09-07 09:56:21:030,1,628,10,0,448,7513,628,0 5,0,2024-09-07 09:56:21:429,157268,0.4,157197,0.5,314450,0.3,418244,1.75 5,1,2024-09-07 09:56:20:760,1099729,1099729,0,0,515787824703,5440491242,1083298,12298,4133,367,392005,0 5,2,2024-09-07 09:56:21:846,789610,789610,0,0,36326758,0,3582 5,3,2024-09-07 09:56:21:743,1,628,12,0,457,8494,628,0 6,0,2024-09-07 09:56:20:924,161897,0.5,161615,0.6,322777,0.4,431067,2.00 6,1,2024-09-07 09:56:20:751,1102211,1102211,0,0,517112622840,5396691633,1091130,9507,1574,379,391702,0 6,2,2024-09-07 09:56:21:117,798225,798207,18,0,35315577,0,5535 6,3,2024-09-07 09:56:21:278,1,628,1,0,710,7465,628,0 7,0,2024-09-07 09:56:21:531,154997,0.5,156106,0.7,309865,0.5,413383,2.00 7,1,2024-09-07 09:56:20:855,1099743,1099743,0,0,515863866735,5426848592,1083309,12668,3766,382,391747,0 7,2,2024-09-07 09:56:20:775,795872,795872,0,0,33935718,0,4791 7,3,2024-09-07 09:56:20:855,1,628,9,0,552,7223,628,0 8,0,2024-09-07 09:56:21:332,155561,0.4,155328,0.5,310948,0.3,414221,1.75 8,1,2024-09-07 09:56:21:025,1099408,1099408,0,0,515967982784,5421481698,1082644,13172,3592,366,392853,0 8,2,2024-09-07 09:56:20:802,787265,787263,2,0,40277530,0,5112 8,3,2024-09-07 09:56:20:593,1,628,0,0,772,9736,628,0 9,0,2024-09-07 09:56:21:122,156167,0.3,151899,0.5,317635,0.3,416647,1.75 9,1,2024-09-07 09:56:20:562,1099274,1099274,0,0,515916831843,5433443571,1082459,13585,3230,369,392001,0 9,2,2024-09-07 09:56:21:086,791247,791246,1,0,38011670,0,5281 9,3,2024-09-07 09:56:21:755,1,628,1,0,1273,10345,628,0 10,0,2024-09-07 09:56:21:607,161299,0.3,160683,0.5,322289,0.2,429703,1.75 10,1,2024-09-07 09:56:20:582,1101254,1101254,0,0,516526678158,5414784673,1085519,12671,3064,381,391981,0 10,2,2024-09-07 09:56:20:767,796095,796095,0,0,40931167,0,4713 10,3,2024-09-07 09:56:20:874,1,628,2,0,669,6859,628,0 11,0,2024-09-07 09:56:21:006,155832,0.4,151238,0.6,316428,0.4,416475,1.75 11,1,2024-09-07 09:56:20:572,1102526,1102526,0,0,517583381669,5425166934,1086567,11656,4303,383,391766,0 11,2,2024-09-07 09:56:21:123,794561,794561,0,0,36079009,0,4698 11,3,2024-09-07 09:56:21:298,1,628,1,0,843,7891,628,0 12,0,2024-09-07 09:56:20:996,157440,0.4,157234,0.5,314115,0.4,417270,1.75 12,1,2024-09-07 09:56:20:933,1102381,1102381,0,0,516988919690,5388104271,1093974,7398,1009,370,391960,0 12,2,2024-09-07 09:56:21:546,792907,792907,0,0,35004002,0,4390 12,3,2024-09-07 09:56:21:059,1,628,1,0,386,7669,628,0 13,0,2024-09-07 09:56:21:352,156064,0.4,156051,0.5,311307,0.3,414671,1.75 13,1,2024-09-07 09:56:21:528,1099789,1099789,0,0,516240339147,5422256295,1088878,8520,2391,382,391803,0 13,2,2024-09-07 09:56:20:595,793991,793991,0,0,31228955,0,3287 13,3,2024-09-07 09:56:21:768,1,628,0,0,522,8092,628,0 14,0,2024-09-07 09:56:20:559,160408,0.4,161477,0.6,320517,0.3,427603,1.75 14,1,2024-09-07 09:56:21:565,1107324,1107324,0,0,519268229779,5369665144,1098843,7352,1129,364,391673,0 14,2,2024-09-07 09:56:20:766,794707,794677,30,0,32619785,0,6104 14,3,2024-09-07 09:56:21:115,1,628,1,0,1168,6726,628,0 15,0,2024-09-07 09:56:21:558,158392,0.4,157456,0.6,315315,0.4,420242,2.00 15,1,2024-09-07 09:56:21:627,1104294,1104294,0,0,518533091932,5395920623,1095216,7165,1913,381,391619,0 15,2,2024-09-07 09:56:21:000,798230,798230,0,0,28482968,0,3622 15,3,2024-09-07 09:56:21:412,1,628,2,0,1126,8151,628,0 16,0,2024-09-07 09:56:20:974,156545,0.6,157201,0.8,313759,0.6,417451,2.00 16,1,2024-09-07 09:56:20:566,1103687,1103687,0,0,517383437515,5405191644,1094224,8017,1446,370,392194,0 16,2,2024-09-07 09:56:21:447,795372,795372,0,0,32218540,0,4719 16,3,2024-09-07 09:56:21:158,1,628,3,0,358,7728,628,0 17,0,2024-09-07 09:56:21:791,160423,0.5,156311,0.7,306300,0.5,416433,2.00 17,1,2024-09-07 09:56:20:617,1101540,1101540,0,0,516902660546,5417749677,1091131,8634,1775,368,392075,0 17,2,2024-09-07 09:56:21:665,794149,794148,1,0,33388496,0,5050 17,3,2024-09-07 09:56:20:579,1,628,10,0,518,8931,628,0 18,0,2024-09-07 09:56:20:941,156488,0.6,157510,0.8,313563,0.6,419464,2.25 18,1,2024-09-07 09:56:21:662,1106185,1106185,0,0,517409272907,5362918928,1099110,5817,1258,367,391725,0 18,2,2024-09-07 09:56:21:765,795137,795137,0,0,29927018,0,3541 18,3,2024-09-07 09:56:20:896,1,628,1,0,1059,5618,628,0 19,0,2024-09-07 09:56:21:539,160475,0.6,160866,0.8,320820,0.7,425913,2.00 19,1,2024-09-07 09:56:20:566,1106085,1106085,0,0,519468160367,5380846485,1098209,6742,1134,365,391777,0 19,2,2024-09-07 09:56:21:755,800914,800914,0,0,28341958,0,3988 19,3,2024-09-07 09:56:21:130,1,628,1,0,524,4783,628,0 20,0,2024-09-07 09:56:21:383,156037,0.5,155865,0.7,311690,0.5,415840,2.00 20,1,2024-09-07 09:56:20:571,1101687,1101687,0,0,517491566558,5419160304,1090878,9299,1510,369,391922,0 20,2,2024-09-07 09:56:20:939,796155,796155,0,0,35143380,0,4321 20,3,2024-09-07 09:56:20:589,1,628,1,0,468,9846,628,0 21,0,2024-09-07 09:56:21:137,155200,0.5,155235,0.6,310386,0.4,412106,2.00 21,1,2024-09-07 09:56:21:545,1099618,1099618,0,0,515980332216,5441030770,1082723,13058,3837,368,392016,0 21,2,2024-09-07 09:56:21:071,785462,784985,477,0,45501650,0,17074 21,3,2024-09-07 09:56:21:421,1,628,7,0,713,8786,628,0 22,0,2024-09-07 09:56:21:721,156816,0.4,157386,0.6,314385,0.4,417470,2.00 22,1,2024-09-07 09:56:21:028,1100133,1100133,0,0,515861234761,5424364888,1082286,14307,3540,381,391822,0 22,2,2024-09-07 09:56:20:759,791461,791435,26,0,33278752,0,6328 22,3,2024-09-07 09:56:21:065,1,628,1,0,228,5350,628,0 23,0,2024-09-07 09:56:21:380,160627,0.5,160412,0.6,320860,0.4,427849,2.00 23,1,2024-09-07 09:56:21:003,1100788,1100788,0,0,517069560738,5442098267,1081299,12911,6578,365,391690,0 23,2,2024-09-07 09:56:21:092,798103,798103,0,0,32030605,0,3773 23,3,2024-09-07 09:56:21:763,1,628,6,0,855,8947,628,0 24,0,2024-09-07 09:56:20:981,156782,0.4,156005,0.5,313538,0.4,416568,1.75 24,1,2024-09-07 09:56:20:585,1101386,1101386,0,0,515664608936,5394175044,1091246,8430,1710,367,392269,0 24,2,2024-09-07 09:56:21:087,795486,795483,3,0,38706934,0,6294 24,3,2024-09-07 09:56:21:696,1,628,1,0,468,7934,628,0 25,0,2024-09-07 09:56:21:386,160072,0.4,155865,0.6,306166,0.4,416766,2.00 25,1,2024-09-07 09:56:20:567,1099505,1099505,0,0,515588061915,5442448272,1080727,15063,3715,369,391928,0 25,2,2024-09-07 09:56:21:850,791097,791097,0,0,39272005,0,3978 25,3,2024-09-07 09:56:21:000,1,628,10,0,532,6685,628,0 26,0,2024-09-07 09:56:21:720,154829,0.4,151312,0.6,317731,0.3,414046,1.75 26,1,2024-09-07 09:56:21:544,1102919,1102919,0,0,517448838386,5412171676,1088578,11808,2533,380,391758,0 26,2,2024-09-07 09:56:20:861,791787,791787,0,0,41793516,0,4689 26,3,2024-09-07 09:56:21:715,1,628,4,0,796,7625,628,0 27,0,2024-09-07 09:56:21:732,161047,0.4,161772,0.6,321663,0.3,429282,2.25 27,1,2024-09-07 09:56:21:675,1104380,1104380,0,0,518253278568,5397029528,1093977,8794,1609,381,391626,0 27,2,2024-09-07 09:56:20:867,791855,791790,65,0,36669746,0,5699 27,3,2024-09-07 09:56:21:020,1,628,0,0,564,5281,628,0 28,0,2024-09-07 09:56:21:397,157092,0.4,157339,0.6,314719,0.3,418766,1.75 28,1,2024-09-07 09:56:20:801,1104409,1104409,0,0,518330966276,5399464910,1095459,7058,1892,382,391904,0 28,2,2024-09-07 09:56:21:765,796503,796503,0,0,31682734,0,2915 28,3,2024-09-07 09:56:21:781,1,628,11,0,502,6240,628,0 29,0,2024-09-07 09:56:21:366,161007,0.4,156878,0.6,307826,0.3,419198,1.75 29,1,2024-09-07 09:56:21:565,1107483,1107483,0,0,519507084022,5369322941,1101119,5586,778,367,391809,0 29,2,2024-09-07 09:56:20:863,795249,795249,0,0,30711394,0,4986 29,3,2024-09-07 09:56:20:984,1,628,10,0,459,6616,628,0 30,0,2024-09-07 09:56:21:455,154354,0.5,150208,0.6,314750,0.4,410839,2.00 30,1,2024-09-07 09:56:20:570,1106164,1106164,0,0,518991605779,5380755669,1098586,6667,911,380,391672,0 30,2,2024-09-07 09:56:21:276,793135,793135,0,0,29200122,0,4192 30,3,2024-09-07 09:56:20:581,1,628,1,0,519,5757,628,0 31,0,2024-09-07 09:56:21:761,158596,0.4,159533,0.6,317935,0.3,424560,1.75 31,1,2024-09-07 09:56:20:565,1110367,1110367,0,0,520602136957,5342758661,1103906,5387,1074,356,391712,0 31,2,2024-09-07 09:56:21:277,793090,793090,0,0,32970783,0,4470 31,3,2024-09-07 09:56:21:712,1,628,1,0,239,5277,628,0 32,0,2024-09-07 09:56:21:458,159934,0.3,161045,0.5,320499,0.3,426539,1.75 32,1,2024-09-07 09:56:20:817,1106097,1106097,0,0,518049956037,5380288521,1099898,5481,718,381,391646,0 32,2,2024-09-07 09:56:20:936,799271,799271,0,0,29136955,0,3922 32,3,2024-09-07 09:56:21:019,1,628,1,0,304,5037,628,0 33,0,2024-09-07 09:56:21:510,157278,0.3,156868,0.4,313875,0.2,417810,1.50 33,1,2024-09-07 09:56:20:583,1106667,1106667,0,0,519486961858,5377165582,1098211,7183,1273,368,391730,0 33,2,2024-09-07 09:56:20:759,797344,797309,35,0,32162798,0,7012 33,3,2024-09-07 09:56:20:896,1,628,0,0,329,5378,628,0 34,0,2024-09-07 09:56:20:939,155502,0.3,159881,0.5,305570,0.2,412887,1.75 34,1,2024-09-07 09:56:21:048,1108592,1108592,0,0,520620975222,5352848221,1105628,2853,111,367,391637,0 34,2,2024-09-07 09:56:20:767,792390,792390,0,0,30544955,0,4562 34,3,2024-09-07 09:56:21:691,1,628,0,0,541,5544,628,0 35,0,2024-09-07 09:56:20:862,156412,0.3,157242,0.5,315197,0.2,418882,1.75 35,1,2024-09-07 09:56:21:066,1104506,1104506,0,0,518815545125,5381318498,1096393,6590,1523,382,391769,0 35,2,2024-09-07 09:56:21:595,792817,792817,0,0,34037442,0,4055 35,3,2024-09-07 09:56:20:908,1,628,2,0,466,5780,628,0 36,0,2024-09-07 09:56:21:544,162323,0.5,162554,0.6,324473,0.4,432764,2.00 36,1,2024-09-07 09:56:20:626,1103928,1103928,0,0,517859842224,5402957367,1090342,11345,2241,366,391759,0 36,2,2024-09-07 09:56:21:760,798738,798738,0,0,35102214,0,3875 36,3,2024-09-07 09:56:20:862,1,628,1,0,556,8371,628,0 37,0,2024-09-07 09:56:21:401,154794,0.5,155021,0.7,310290,0.5,414033,2.25 37,1,2024-09-07 09:56:20:569,1103097,1103090,0,7,517424551088,5398921961,1090428,9748,2914,365,391770,0 37,2,2024-09-07 09:56:21:142,793969,793954,15,0,34991307,0,5815 37,3,2024-09-07 09:56:21:771,1,628,75,0,888,8242,628,0 38,0,2024-09-07 09:56:21:435,154470,0.4,149736,0.6,313093,0.4,409969,2.00 38,1,2024-09-07 09:56:21:604,1103294,1103294,0,0,518526098944,5418655289,1087944,12350,3000,368,391821,0 38,2,2024-09-07 09:56:20:760,791673,791626,47,0,35035248,0,6710 38,3,2024-09-07 09:56:21:000,1,628,1,0,689,7460,628,0 39,0,2024-09-07 09:56:21:791,159650,0.5,156006,0.7,304406,0.4,415493,2.00 39,1,2024-09-07 09:56:20:715,1102485,1102485,0,0,517449437590,5418756428,1085036,13734,3715,365,391865,0 39,2,2024-09-07 09:56:21:427,792250,792250,0,0,33262598,0,3391 39,3,2024-09-07 09:56:20:715,1,628,4,0,525,6954,628,0 40,0,2024-09-07 09:56:21:494,159829,0.8,160671,0.9,320660,0.8,428111,2.75 40,1,2024-09-07 09:56:20:579,1103079,1103079,0,0,517136821264,5412183632,1087466,12543,3070,366,391668,0 40,2,2024-09-07 09:56:21:305,793799,793792,7,0,39628688,0,5347 40,3,2024-09-07 09:56:21:152,1,628,0,0,1028,9007,628,0 41,0,2024-09-07 09:56:21:038,155096,1.3,158757,1.1,302721,1.8,411219,3.00 41,1,2024-09-07 09:56:20:775,1102184,1102184,0,0,517633524920,5410215910,1088403,11621,2160,369,391878,0 41,2,2024-09-07 09:56:20:759,792206,792205,1,0,37880478,0,5408 41,3,2024-09-07 09:56:21:691,1,628,10,0,366,6707,628,0 42,0,2024-09-07 09:56:21:496,155298,0.9,154961,1.0,310012,1.1,411122,2.75 42,1,2024-09-07 09:56:21:439,1100033,1100033,0,0,515563592332,5413076086,1083446,13654,2933,380,391675,0 42,2,2024-09-07 09:56:21:133,792527,792526,1,0,38322905,0,5513 42,3,2024-09-07 09:56:21:009,1,628,2,0,892,6040,628,0 43,0,2024-09-07 09:56:20:916,153626,0.7,149526,0.9,313275,0.7,409587,2.25 43,1,2024-09-07 09:56:20:579,1103744,1103744,0,0,517603280326,5403586204,1089002,12170,2572,366,391696,0 43,2,2024-09-07 09:56:21:735,792065,792065,0,0,36031623,0,4723 43,3,2024-09-07 09:56:21:754,1,628,1,0,571,8888,628,0 44,0,2024-09-07 09:56:20:863,160476,0.4,160642,0.6,320968,0.3,428021,1.75 44,1,2024-09-07 09:56:20:569,1106776,1106776,0,0,517543853564,5346499967,1097400,7434,1942,356,391809,0 44,2,2024-09-07 09:56:21:268,792643,792643,0,0,29545556,0,4344 44,3,2024-09-07 09:56:21:093,1,628,25,0,1097,7546,628,0 45,0,2024-09-07 09:56:21:769,156414,0.5,152821,0.7,320788,0.4,420349,2.00 45,1,2024-09-07 09:56:21:005,1105292,1105292,0,0,518615643889,5385272729,1097036,7353,903,382,391917,0 45,2,2024-09-07 09:56:21:279,797719,797719,0,0,29837363,0,3596 45,3,2024-09-07 09:56:20:938,1,628,2,0,531,5878,628,0 46,0,2024-09-07 09:56:20:951,155787,0.5,155354,0.7,311654,0.5,414104,2.00 46,1,2024-09-07 09:56:20:577,1107686,1107686,0,0,519477861505,5366284560,1100189,6509,988,366,391709,0 46,2,2024-09-07 09:56:20:593,797689,797689,0,0,30508388,0,4443 46,3,2024-09-07 09:56:21:131,1,628,10,0,908,7318,628,0 47,0,2024-09-07 09:56:21:105,156112,0.4,155787,0.6,313107,0.3,414757,1.75 47,1,2024-09-07 09:56:20:567,1108467,1108467,0,0,519560587276,5363328008,1102363,5241,863,364,391641,0 47,2,2024-09-07 09:56:20:907,794737,794737,0,0,29383604,0,4477 47,3,2024-09-07 09:56:21:115,1,628,1,0,600,6743,628,0 48,0,2024-09-07 09:56:21:501,158230,0.3,158572,0.4,316437,0.2,421256,1.50 48,1,2024-09-07 09:56:21:034,1105314,1105314,0,0,518044748549,5376389145,1099205,5531,578,381,391710,0 48,2,2024-09-07 09:56:20:715,793505,793505,0,0,28060052,0,3524 48,3,2024-09-07 09:56:20:759,1,628,0,0,339,5442,628,0 49,0,2024-09-07 09:56:21:741,165724,0.3,162227,0.5,315728,0.3,430376,1.75 49,1,2024-09-07 09:56:21:021,1104697,1104697,0,0,518117852720,5386091048,1097420,5581,1696,382,391809,0 49,2,2024-09-07 09:56:21:797,799633,799633,0,0,30372405,0,4426 49,3,2024-09-07 09:56:21:430,1,628,10,0,992,7321,628,0 50,0,2024-09-07 09:56:21:514,156724,0.3,155183,0.5,312614,0.2,415888,1.75 50,1,2024-09-07 09:56:21:010,1107466,1107466,0,0,519625190861,5368160323,1100937,5860,669,368,391691,0 50,2,2024-09-07 09:56:21:067,795603,795603,0,0,28073134,0,4490 50,3,2024-09-07 09:56:21:303,1,628,1,0,617,6283,628,0 51,0,2024-09-07 09:56:21:692,159246,0.3,155822,0.5,303476,0.2,413865,1.75 51,1,2024-09-07 09:56:21:680,1107771,1107771,0,0,520866108236,5367239874,1102106,4584,1081,365,391706,0 51,2,2024-09-07 09:56:21:324,791660,791660,0,0,27467724,0,3337 51,3,2024-09-07 09:56:21:028,1,628,1,0,678,4455,628,0 52,0,2024-09-07 09:56:21:431,157450,0.5,157316,0.6,314649,0.4,418558,2.00 52,1,2024-09-07 09:56:20:581,1103122,1103122,0,0,517578055285,5412381722,1087970,12821,2331,368,391805,0 52,2,2024-09-07 09:56:21:761,789651,789613,38,0,37231919,0,6742 52,3,2024-09-07 09:56:20:678,1,628,1,0,1782,7142,628,0 53,0,2024-09-07 09:56:21:744,160490,0.6,155719,0.7,325945,0.6,426903,2.25 53,1,2024-09-07 09:56:20:775,1101385,1101385,0,0,516795780124,5417784565,1083189,13796,4400,367,391968,0 53,2,2024-09-07 09:56:21:298,798065,798064,1,0,33394015,0,5455 53,3,2024-09-07 09:56:20:715,1,628,7,0,308,5728,628,0 54,0,2024-09-07 09:56:21:630,154143,0.6,154313,0.8,307527,0.5,411174,2.25 54,1,2024-09-07 09:56:20:580,1105027,1105027,0,0,518454147626,5388255334,1093904,9451,1672,366,391810,0 54,2,2024-09-07 09:56:20:865,796578,796546,32,0,37223857,0,6397 54,3,2024-09-07 09:56:20:776,1,628,2,0,676,7930,628,0 55,0,2024-09-07 09:56:21:770,150308,0.6,155101,0.8,314381,0.6,409416,2.50 55,1,2024-09-07 09:56:20:767,1105308,1105308,0,0,518225626685,5385250635,1094438,9481,1389,365,391731,0 55,2,2024-09-07 09:56:20:733,791693,791637,56,0,34440445,0,7239 55,3,2024-09-07 09:56:20:679,1,628,1,0,304,5756,628,0 56,0,2024-09-07 09:56:21:595,158481,1.1,149342,1.1,307985,1.4,412648,2.75 56,1,2024-09-07 09:56:20:581,1098336,1098336,0,0,515800097268,5450388541,1080333,14314,3689,381,391867,0 56,2,2024-09-07 09:56:21:310,790916,790794,122,0,36826872,0,7432 56,3,2024-09-07 09:56:21:068,1,628,1,0,705,7165,628,0 57,0,2024-09-07 09:56:20:978,159387,1.3,159062,1.1,318741,1.7,425773,3.00 57,1,2024-09-07 09:56:20:987,1100848,1100848,0,0,516134481951,5413290026,1086365,11991,2492,366,392032,0 57,2,2024-09-07 09:56:21:332,794385,794385,0,0,38680304,0,4804 57,3,2024-09-07 09:56:21:747,1,628,17,0,455,7153,628,0 58,0,2024-09-07 09:56:20:578,154674,1.0,150270,1.0,314447,1.2,411106,2.75 58,1,2024-09-07 09:56:20:584,1102276,1102273,0,3,517848787023,5423607389,1086116,12253,3904,367,391726,3 58,2,2024-09-07 09:56:21:072,796527,796527,0,0,36165550,0,3483 58,3,2024-09-07 09:56:21:072,1,628,1,0,1043,6948,628,0 59,0,2024-09-07 09:56:21:739,155164,0.9,154672,1.0,309142,1.0,410273,3.00 59,1,2024-09-07 09:56:20:804,1101626,1101626,0,0,517338522573,5431756865,1083769,14189,3668,369,391653,0 59,2,2024-09-07 09:56:20:586,795471,795471,0,0,34307293,0,3727 59,3,2024-09-07 09:56:21:746,1,628,1,0,1015,7515,628,0 60,0,2024-09-07 09:56:21:766,155125,0.5,155131,0.7,310626,0.4,413184,1.75 60,1,2024-09-07 09:56:20:783,1105917,1105917,0,0,518845550639,5388439087,1097957,6871,1089,370,392031,0 60,2,2024-09-07 09:56:21:183,792283,792283,0,0,32307208,0,3811 60,3,2024-09-07 09:56:21:261,1,628,9,0,409,7131,628,0 61,0,2024-09-07 09:56:21:524,158837,0.6,159458,0.7,317292,0.5,423900,2.00 61,1,2024-09-07 09:56:20:781,1103333,1103333,0,0,516833586203,5406263144,1092192,9459,1682,382,392127,0 61,2,2024-09-07 09:56:21:117,793881,793814,67,0,33013578,0,6411 61,3,2024-09-07 09:56:21:692,1,628,3,0,607,7937,628,0 62,0,2024-09-07 09:56:21:708,160456,0.6,164635,0.7,314334,0.6,426506,2.00 62,1,2024-09-07 09:56:21:114,1109638,1109632,0,6,520530305901,5363057165,1104660,4673,299,365,391975,6 62,2,2024-09-07 09:56:21:650,795795,795794,1,0,33026440,0,5555 62,3,2024-09-07 09:56:21:163,1,628,7,0,482,4937,628,0 63,0,2024-09-07 09:56:21:458,157315,0.4,156965,0.6,314582,0.3,418140,1.75 63,1,2024-09-07 09:56:20:804,1105899,1105893,0,6,519135900538,5387056780,1099042,5994,857,381,391800,6 63,2,2024-09-07 09:56:20:761,796657,796657,0,0,31312348,0,4369 63,3,2024-09-07 09:56:21:732,1,628,1,0,667,6290,628,0 64,0,2024-09-07 09:56:21:599,154624,0.5,154483,0.6,308586,0.4,411517,2.00 64,1,2024-09-07 09:56:20:778,1104266,1104266,0,0,517655228074,5387999699,1094898,7389,1979,370,391794,0 64,2,2024-09-07 09:56:21:151,795177,795158,19,0,30328675,0,6121 64,3,2024-09-07 09:56:21:140,1,628,0,0,651,7049,628,0 65,0,2024-09-07 09:56:21:717,156006,0.6,156284,0.7,312391,0.6,416473,2.00 65,1,2024-09-07 09:56:20:860,1103024,1103024,0,0,516686584435,5396821630,1095492,6657,875,381,391901,0 65,2,2024-09-07 09:56:21:699,791863,791863,0,0,36751087,0,3367 65,3,2024-09-07 09:56:21:697,1,628,1,0,782,7391,628,0 66,0,2024-09-07 09:56:21:764,161531,0.5,161115,0.7,322247,0.5,429528,2.00 66,1,2024-09-07 09:56:21:292,1105059,1105059,0,0,518183762222,5388151661,1098549,5785,725,380,391743,0 66,2,2024-09-07 09:56:21:132,800706,800703,3,0,32520071,0,5455 66,3,2024-09-07 09:56:21:083,1,628,1,0,291,5538,628,0 67,0,2024-09-07 09:56:21:421,155585,0.5,155119,0.7,311285,0.5,414646,2.00 67,1,2024-09-07 09:56:20:766,1105037,1105036,0,1,518802528840,5397306398,1097634,6457,945,380,391787,1 67,2,2024-09-07 09:56:20:581,799368,799353,15,0,32329763,0,6205 67,3,2024-09-07 09:56:21:755,1,628,4,0,595,6367,628,0 68,0,2024-09-07 09:56:20:585,155296,0.6,155032,0.7,308988,0.5,413226,2.00 68,1,2024-09-07 09:56:20:576,1100830,1100830,0,0,517029668113,5430147705,1087008,10289,3533,381,391953,0 68,2,2024-09-07 09:56:21:052,788118,788018,100,0,40192977,0,8578 68,3,2024-09-07 09:56:20:730,1,628,0,0,417,7839,628,0 69,0,2024-09-07 09:56:21:787,155504,0.6,156278,0.8,311568,0.6,414270,2.25 69,1,2024-09-07 09:56:21:017,1099944,1099944,0,0,516617290994,5438807166,1086067,11041,2836,383,391994,0 69,2,2024-09-07 09:56:21:734,789275,789246,29,0,42919397,0,6912 69,3,2024-09-07 09:56:20:766,1,628,49,0,698,8780,628,0 70,0,2024-09-07 09:56:21:529,159610,0.7,160373,0.9,321833,0.6,426915,2.25 70,1,2024-09-07 09:56:20:801,1106219,1106219,0,0,519385549419,5384847422,1098292,7118,809,366,391725,0 70,2,2024-09-07 09:56:21:328,796603,796603,0,0,35405104,0,4323 70,3,2024-09-07 09:56:20:745,1,628,1,0,854,6840,628,0 71,0,2024-09-07 09:56:21:359,154829,0.9,154387,1.0,310104,1.2,413433,2.50 71,1,2024-09-07 09:56:21:599,1103789,1103789,0,0,517950916301,5400617904,1090783,11385,1621,367,391738,0 71,2,2024-09-07 09:56:21:065,795026,795026,0,0,35559500,0,4352 71,3,2024-09-07 09:56:21:755,1,628,1,0,644,6875,628,0 72,0,2024-09-07 09:56:21:091,161353,0.5,157561,0.7,307724,0.5,418245,2.00 72,1,2024-09-07 09:56:21:045,1102291,1102291,0,0,516608837773,5413974005,1086971,12799,2521,369,391819,0 72,2,2024-09-07 09:56:21:759,792502,792502,0,0,37211662,0,3983 72,3,2024-09-07 09:56:21:767,1,628,1,0,564,8997,628,0 73,0,2024-09-07 09:56:21:123,151079,0.4,155025,0.6,316841,0.4,412208,2.00 73,1,2024-09-07 09:56:20:767,1103824,1103824,0,0,517981348417,5380913963,1095410,7431,983,367,391858,0 73,2,2024-09-07 09:56:21:767,791490,791489,1,0,38856891,0,5027 73,3,2024-09-07 09:56:20:982,1,628,5,0,1091,8533,628,0 74,0,2024-09-07 09:56:21:330,161526,0.4,165386,0.6,315443,0.4,428512,2.00 74,1,2024-09-07 09:56:20:635,1102662,1102662,0,0,517149000632,5395595772,1090749,9795,2118,381,391762,0 74,2,2024-09-07 09:56:21:002,792841,792841,0,0,33886210,0,4253 74,3,2024-09-07 09:56:21:442,1,628,1,0,522,7502,628,0 75,0,2024-09-07 09:56:21:772,158495,0.5,157571,0.7,316034,0.5,421759,2.25 75,1,2024-09-07 09:56:21:594,1103524,1103524,0,0,517940847835,5393523098,1094894,7760,870,380,391739,0 75,2,2024-09-07 09:56:21:350,795531,795531,0,0,41072682,0,4766 75,3,2024-09-07 09:56:21:083,1,628,1,0,918,8293,628,0 76,0,2024-09-07 09:56:20:626,156176,0.6,155607,0.8,311106,0.5,415856,2.25 76,1,2024-09-07 09:56:20:807,1103718,1103718,0,0,517424979040,5391950094,1096378,6348,992,382,391790,0 76,2,2024-09-07 09:56:21:073,798245,798242,3,0,33378940,0,5265 76,3,2024-09-07 09:56:21:146,1,628,30,0,227,5751,628,0 77,0,2024-09-07 09:56:21:748,155226,0.5,155754,0.7,311374,0.5,414020,2.00 77,1,2024-09-07 09:56:20:825,1103589,1103589,0,0,517778553625,5397396429,1095594,7115,880,381,391869,0 77,2,2024-09-07 09:56:21:292,790740,790740,0,0,32361912,0,3890 77,3,2024-09-07 09:56:21:094,1,628,1,0,401,7015,628,0 78,0,2024-09-07 09:56:21:734,158752,0.4,157928,0.6,316792,0.3,419892,2.00 78,1,2024-09-07 09:56:20:616,1104033,1104033,0,0,517502326869,5393648700,1091185,9931,2917,367,391670,0 78,2,2024-09-07 09:56:21:406,794047,794034,13,0,30474295,0,8313 78,3,2024-09-07 09:56:21:133,1,628,1,0,311,5138,628,0 79,0,2024-09-07 09:56:21:358,155634,0.4,159349,0.6,326738,0.3,425010,2.00 79,1,2024-09-07 09:56:20:571,1108017,1108017,0,0,519401220433,5372596722,1100166,6491,1360,367,391682,0 79,2,2024-09-07 09:56:21:071,799025,799025,0,0,29531651,0,4195 79,3,2024-09-07 09:56:20:766,1,628,6,0,418,7209,628,0 80,0,2024-09-07 09:56:21:124,156019,0.5,160261,0.7,306342,0.5,414877,2.00 80,1,2024-09-07 09:56:21:631,1103552,1103552,0,0,517946925418,5389713913,1096384,6715,453,368,392269,0 80,2,2024-09-07 09:56:21:101,799014,799014,0,0,30128562,0,4433 80,3,2024-09-07 09:56:20:576,1,628,7,0,681,7487,628,0 81,0,2024-09-07 09:56:21:575,155073,0.5,158739,0.7,302997,0.5,410998,2.00 81,1,2024-09-07 09:56:21:672,1103008,1103008,0,0,516748744400,5395931514,1094835,7405,768,382,391885,0 81,2,2024-09-07 09:56:21:131,791111,791048,63,0,33261865,0,5932 81,3,2024-09-07 09:56:21:132,1,628,7,0,719,7073,628,0 82,0,2024-09-07 09:56:21:540,156849,0.4,157000,0.6,314665,0.4,417575,2.00 82,1,2024-09-07 09:56:20:589,1104863,1104859,0,4,518943293131,5391007602,1099258,4776,825,381,391768,4 82,2,2024-09-07 09:56:21:692,795052,795052,0,0,28337789,0,4484 82,3,2024-09-07 09:56:21:756,1,628,0,0,363,5784,628,0 83,0,2024-09-07 09:56:21:537,161111,0.5,160830,0.7,321579,0.5,427143,2.00 83,1,2024-09-07 09:56:20:553,1103730,1103730,0,0,517258751898,5388861620,1096133,6952,645,382,391709,0 83,2,2024-09-07 09:56:20:772,798174,798149,25,0,30632267,0,5612 83,3,2024-09-07 09:56:20:755,1,628,1,0,1260,7315,628,0 84,0,2024-09-07 09:56:21:790,154384,0.8,154450,0.9,308739,0.8,412771,2.25 84,1,2024-09-07 09:56:21:042,1101903,1101903,0,0,517046438019,5403275001,1089632,10598,1673,367,391967,0 84,2,2024-09-07 09:56:20:571,794676,794266,410,0,44160664,0,17037 84,3,2024-09-07 09:56:21:158,1,628,1,0,908,8215,628,0 85,0,2024-09-07 09:56:21:018,149617,0.6,149588,0.8,317617,0.6,409879,2.25 85,1,2024-09-07 09:56:20:590,1098683,1098683,0,0,515815166106,5441114129,1082338,13542,2803,381,392092,0 85,2,2024-09-07 09:56:20:867,792220,792220,0,0,37233500,0,4255 85,3,2024-09-07 09:56:20:687,1,628,9,0,789,7135,628,0 86,0,2024-09-07 09:56:20:875,155190,0.6,159564,0.8,305259,0.7,413116,2.25 86,1,2024-09-07 09:56:20:828,1102083,1102083,0,0,517617039305,5422885346,1088160,11236,2687,366,392169,0 86,2,2024-09-07 09:56:20:855,791245,791244,1,0,39599755,0,5004 86,3,2024-09-07 09:56:20:594,1,628,7,0,308,8730,628,0 87,0,2024-09-07 09:56:21:296,161219,0.7,160427,0.8,321161,0.8,429343,2.25 87,1,2024-09-07 09:56:20:564,1101061,1101061,0,0,516576209223,5407243449,1087666,11596,1799,366,392076,0 87,2,2024-09-07 09:56:21:066,794363,794357,6,0,36097186,0,6323 87,3,2024-09-07 09:56:21:795,1,628,1,0,473,8756,628,0 88,0,2024-09-07 09:56:21:439,156980,0.5,157541,0.6,314696,0.4,418626,1.75 88,1,2024-09-07 09:56:20:568,1100559,1100559,0,0,516093231112,5406192532,1085153,12358,3048,365,392084,0 88,2,2024-09-07 09:56:20:688,795384,795384,0,0,38899293,0,4465 88,3,2024-09-07 09:56:21:268,1,628,3,0,1080,9460,628,0 89,0,2024-09-07 09:56:21:789,160967,0.4,156133,0.6,308045,0.4,418897,1.75 89,1,2024-09-07 09:56:20:555,1100071,1100071,0,0,516764350105,5430408414,1087071,11052,1948,382,391866,0 89,2,2024-09-07 09:56:21:132,794823,794823,0,0,36661720,0,3173 89,3,2024-09-07 09:56:21:794,1,628,3,0,468,10198,628,0 90,0,2024-09-07 09:56:21:635,150521,0.4,154623,0.6,315406,0.4,411105,2.00 90,1,2024-09-07 09:56:20:615,1102199,1102199,0,0,516266934842,5403574420,1092090,9211,898,380,391825,0 90,2,2024-09-07 09:56:21:408,788101,788096,5,0,40094580,0,6370 90,3,2024-09-07 09:56:20:942,1,628,4,0,322,7442,628,0 91,0,2024-09-07 09:56:20:933,159327,0.4,154639,0.6,323558,0.4,424464,1.75 91,1,2024-09-07 09:56:20:583,1099621,1099621,0,0,515863358797,5431948783,1083748,12725,3148,381,392047,0 91,2,2024-09-07 09:56:21:331,793272,793272,0,0,35307268,0,4713 91,3,2024-09-07 09:56:20:602,1,628,1,0,216,5511,628,0 92,0,2024-09-07 09:56:21:445,161594,0.4,165230,0.6,314973,0.4,426767,1.75 92,1,2024-09-07 09:56:20:581,1102722,1102722,0,0,517814862989,5405027775,1094341,7093,1288,381,392136,0 92,2,2024-09-07 09:56:21:350,797736,797736,0,0,31240434,0,3259 92,3,2024-09-07 09:56:21:010,1,628,0,0,167,5285,628,0 93,0,2024-09-07 09:56:21:006,157725,0.4,161567,0.6,308566,0.3,418052,1.75 93,1,2024-09-07 09:56:20:820,1103864,1103864,0,0,517656248963,5399296476,1092129,9798,1937,365,392048,0 93,2,2024-09-07 09:56:20:928,796211,796211,0,0,36957689,0,4913 93,3,2024-09-07 09:56:21:425,1,628,1,0,375,6374,628,0 94,0,2024-09-07 09:56:21:631,154591,0.4,155862,0.5,311382,0.3,413832,1.75 94,1,2024-09-07 09:56:20:595,1104145,1104145,0,0,518192525791,5400458027,1097177,6532,436,381,391850,0 94,2,2024-09-07 09:56:20:761,791236,791208,28,0,31680364,0,6179 94,3,2024-09-07 09:56:21:700,1,628,10,0,576,7673,628,0 95,0,2024-09-07 09:56:21:356,157256,0.3,157162,0.5,314706,0.3,419050,1.75 95,1,2024-09-07 09:56:20:853,1104701,1104701,0,0,518540067602,5385256334,1096242,7707,752,365,391852,0 95,2,2024-09-07 09:56:21:016,791795,791795,0,0,31416394,0,3308 95,3,2024-09-07 09:56:21:715,1,628,1,0,718,9716,628,0 96,0,2024-09-07 09:56:21:043,161990,0.3,162237,0.5,324726,0.3,432228,1.75 96,1,2024-09-07 09:56:21:586,1102950,1102950,0,0,517211317123,5391544751,1095527,6147,1276,384,391964,0 96,2,2024-09-07 09:56:21:282,799429,799429,0,0,32596520,0,4180 96,3,2024-09-07 09:56:21:161,1,628,9,0,411,7069,628,0 97,0,2024-09-07 09:56:21:335,155616,0.3,155566,0.5,311861,0.3,414387,1.50 97,1,2024-09-07 09:56:20:762,1105035,1105035,0,0,518816180034,5380310094,1098084,5909,1042,367,392140,0 97,2,2024-09-07 09:56:20:608,797731,797731,0,0,32044585,0,4046 97,3,2024-09-07 09:56:20:569,1,628,19,0,242,6916,628,0 98,0,2024-09-07 09:56:21:701,154855,0.3,154963,0.4,311085,0.2,413514,1.50 98,1,2024-09-07 09:56:20:570,1103864,1103864,0,0,517803087319,5390960237,1097346,5679,839,381,391997,0 98,2,2024-09-07 09:56:20:780,791684,791684,0,0,30697864,0,4336 98,3,2024-09-07 09:56:20:699,1,628,53,0,840,9272,628,0 99,0,2024-09-07 09:56:21:475,156187,0.3,157045,0.5,312589,0.3,416919,1.75 99,1,2024-09-07 09:56:21:730,1104954,1104954,0,0,518447417885,5391639260,1098566,5443,945,380,392069,0 99,2,2024-09-07 09:56:21:427,792283,792283,0,0,38164258,0,4276 99,3,2024-09-07 09:56:20:585,1,628,2,0,1124,7947,628,0 100,0,2024-09-07 09:56:21:470,160859,0.7,161384,0.8,322618,0.7,430497,2.25 100,1,2024-09-07 09:56:20:555,1098237,1098237,0,0,515298630584,5442246369,1082161,12756,3320,378,391989,0 100,2,2024-09-07 09:56:21:827,792819,792430,389,0,41229053,0,16909 100,3,2024-09-07 09:56:21:731,1,628,1,0,627,10314,628,0 101,0,2024-09-07 09:56:21:728,159364,1.1,155497,1.0,304264,1.1,415213,2.25 101,1,2024-09-07 09:56:20:555,1100749,1100749,0,0,516766570346,5425504329,1086542,11678,2529,368,391847,0 101,2,2024-09-07 09:56:21:772,791419,791419,0,0,41138979,0,4871 101,3,2024-09-07 09:56:20:943,1,628,4,0,1250,9234,628,0 102,0,2024-09-07 09:56:20:946,151956,0.6,156533,0.8,318050,0.6,415724,2.25 102,1,2024-09-07 09:56:21:159,1100420,1100420,0,0,516666963937,5422269733,1086284,11935,2201,369,391984,0 102,2,2024-09-07 09:56:21:742,794866,794812,54,0,35210714,0,6768 102,3,2024-09-07 09:56:21:627,1,628,11,0,466,7028,628,0 103,0,2024-09-07 09:56:21:608,160173,0.5,160214,0.7,301882,0.5,415513,2.00 103,1,2024-09-07 09:56:21:628,1099442,1099442,0,0,516197036907,5447019050,1082339,13499,3604,381,392077,0 103,2,2024-09-07 09:56:20:589,789683,789683,0,0,38049165,0,3766 103,3,2024-09-07 09:56:20:759,1,628,1,0,916,7270,628,0 104,0,2024-09-07 09:56:21:015,159425,0.7,159552,0.9,318479,0.6,426270,2.25 104,1,2024-09-07 09:56:21:604,1101811,1101811,0,0,516819208144,5425046590,1086262,12704,2845,365,392168,0 104,2,2024-09-07 09:56:21:675,791239,791239,0,0,37731447,0,4161 104,3,2024-09-07 09:56:21:421,1,628,2,0,1245,10864,628,0 105,0,2024-09-07 09:56:21:052,156379,0.9,152463,1.0,319690,1.0,419656,2.50 105,1,2024-09-07 09:56:20:553,1102880,1102880,0,0,517271872278,5414771220,1089296,11640,1944,364,392009,0 105,2,2024-09-07 09:56:21:326,795761,795761,0,0,38035061,0,4360 105,3,2024-09-07 09:56:21:309,1,628,1,0,573,9521,628,0 106,0,2024-09-07 09:56:20:951,151284,0.9,154995,1.0,317390,1.1,414180,2.50 106,1,2024-09-07 09:56:21:753,1101683,1101683,0,0,515852875894,5409382007,1086999,12788,1896,368,391914,0 106,2,2024-09-07 09:56:20:759,794943,794943,0,0,35684292,0,3331 106,3,2024-09-07 09:56:20:714,1,628,2,0,1224,8513,628,0 107,0,2024-09-07 09:56:21:106,155412,0.9,155437,0.9,310958,1.0,414602,2.25 107,1,2024-09-07 09:56:20:590,1099592,1099592,0,0,515297927650,5441268232,1082182,15275,2135,381,392234,0 107,2,2024-09-07 09:56:21:292,787937,787936,1,0,38779126,0,5024 107,3,2024-09-07 09:56:21:755,1,628,1,0,733,9465,628,0 108,0,2024-09-07 09:56:21:801,157731,0.4,158337,0.6,315625,0.4,421300,1.75 108,1,2024-09-07 09:56:21:298,1102929,1102929,0,0,517446254022,5393080198,1094217,7715,997,367,391894,0 108,2,2024-09-07 09:56:21:754,793049,793049,0,0,33442057,0,4246 108,3,2024-09-07 09:56:21:336,1,628,2,0,749,10964,628,0 109,0,2024-09-07 09:56:21:768,161993,0.4,160598,0.6,322102,0.3,429582,1.75 109,1,2024-09-07 09:56:20:588,1100564,1100564,0,0,516873772028,5418014934,1091064,8220,1280,382,392132,0 109,2,2024-09-07 09:56:20:921,796612,796612,0,0,34467316,0,3617 109,3,2024-09-07 09:56:21:168,1,628,14,0,630,7445,628,0 110,0,2024-09-07 09:56:21:804,155977,0.4,151635,0.6,317501,0.4,416734,1.75 110,1,2024-09-07 09:56:21:660,1105000,1105000,0,0,518493690499,5378787295,1096949,6122,1929,368,392045,0 110,2,2024-09-07 09:56:21:323,797392,797392,0,0,31827014,0,4067 110,3,2024-09-07 09:56:20:704,1,628,10,0,722,8239,628,0 111,0,2024-09-07 09:56:21:413,155875,0.4,155137,0.5,309943,0.3,413474,1.75 111,1,2024-09-07 09:56:21:001,1106338,1106338,0,0,519681422270,5386672939,1100699,5258,381,380,391690,0 111,2,2024-09-07 09:56:21:116,791535,791535,0,0,32287389,0,4823 111,3,2024-09-07 09:56:20:913,1,628,1,0,379,6680,628,0 112,0,2024-09-07 09:56:20:916,157576,0.3,157260,0.4,315131,0.2,418832,1.50 112,1,2024-09-07 09:56:20:826,1104943,1104943,0,0,518131073009,5377888819,1097734,5984,1225,380,391624,0 112,2,2024-09-07 09:56:21:139,792773,792772,1,0,30776435,0,5036 112,3,2024-09-07 09:56:20:599,1,628,5,0,282,5850,628,0 113,0,2024-09-07 09:56:20:868,161062,0.3,161079,0.5,322662,0.2,429443,1.50 113,1,2024-09-07 09:56:21:693,1107244,1107244,0,0,519843533545,5361033478,1100994,5302,948,365,391664,0 113,2,2024-09-07 09:56:21:311,800287,800287,0,0,29327998,0,3813 113,3,2024-09-07 09:56:20:704,1,628,1,0,510,6641,628,0 114,0,2024-09-07 09:56:20:873,156018,0.3,157057,0.5,313145,0.2,418165,1.75 114,1,2024-09-07 09:56:20:716,1105279,1105279,0,0,518255869020,5378450631,1097335,6197,1747,381,391565,0 114,2,2024-09-07 09:56:20:875,798419,798418,1,0,30236670,0,5069 114,3,2024-09-07 09:56:21:278,1,628,1,0,415,5364,628,0 115,0,2024-09-07 09:56:20:557,156450,0.3,157005,0.4,312624,0.2,415968,1.50 115,1,2024-09-07 09:56:20:570,1105715,1105715,0,0,518921590262,5387209946,1097452,6746,1517,382,391757,0 115,2,2024-09-07 09:56:21:131,794849,794849,0,0,30507842,0,4382 115,3,2024-09-07 09:56:21:002,1,628,1,0,167,3780,628,0 116,0,2024-09-07 09:56:21:739,155198,0.6,155063,0.8,310843,0.6,415396,2.00 116,1,2024-09-07 09:56:20:803,1099284,1099284,0,0,516787159993,5440998924,1086618,9574,3092,380,392089,0 116,2,2024-09-07 09:56:21:776,792027,792027,0,0,37849445,0,4475 116,3,2024-09-07 09:56:20:913,1,628,0,0,448,7878,628,0 117,0,2024-09-07 09:56:20:970,161266,0.6,160686,0.8,321955,0.6,430625,2.00 117,1,2024-09-07 09:56:21:592,1101446,1101446,0,0,515992510516,5399533320,1089649,10272,1525,369,392429,0 117,2,2024-09-07 09:56:21:119,796560,796560,0,0,34193758,0,4303 117,3,2024-09-07 09:56:21:060,1,628,1,0,490,7791,628,0 118,0,2024-09-07 09:56:21:766,152260,0.5,156406,0.7,319189,0.5,417201,2.00 118,1,2024-09-07 09:56:20:587,1100596,1100596,0,0,515496725985,5415081537,1084740,12231,3625,366,392054,0 118,2,2024-09-07 09:56:21:586,794892,794892,0,0,36461393,0,2842 118,3,2024-09-07 09:56:21:771,1,628,0,0,289,7245,628,0 119,0,2024-09-07 09:56:21:342,156274,0.8,156887,0.8,313036,0.8,417211,2.25 119,1,2024-09-07 09:56:20:566,1101557,1101557,0,0,517052187416,5418418459,1086839,12205,2513,367,391857,0 119,2,2024-09-07 09:56:21:262,796111,796111,0,0,34422141,0,4309 119,3,2024-09-07 09:56:21:326,1,628,1,0,1358,11467,628,0 120,0,2024-09-07 09:56:21:563,154321,0.6,154130,0.8,309776,0.6,412262,2.25 120,1,2024-09-07 09:56:20:873,1102438,1102438,0,0,516566377492,5413873906,1090708,10588,1142,367,392144,0 120,2,2024-09-07 09:56:20:771,790532,790529,3,0,40907482,0,5363 120,3,2024-09-07 09:56:21:299,1,628,2,0,279,7427,628,0 121,0,2024-09-07 09:56:21:709,159095,1.0,158705,1.0,317538,1.2,423375,2.25 121,1,2024-09-07 09:56:21:663,1101734,1101734,0,0,516628304349,5402459625,1090426,9751,1557,366,391840,0 121,2,2024-09-07 09:56:21:132,792525,792525,0,0,37361260,0,4157 121,3,2024-09-07 09:56:20:727,1,628,1,0,387,8141,628,0 122,0,2024-09-07 09:56:21:763,159511,0.8,155044,0.9,324748,0.8,426581,2.00 122,1,2024-09-07 09:56:20:876,1100874,1100874,0,0,516458335667,5416854110,1085850,12606,2418,365,392130,0 122,2,2024-09-07 09:56:21:324,797723,797648,75,0,41147663,0,5989 122,3,2024-09-07 09:56:20:594,1,628,5,0,512,9940,628,0 123,0,2024-09-07 09:56:20:977,156136,0.8,151944,0.9,318424,0.9,416645,2.25 123,1,2024-09-07 09:56:20:562,1101721,1101721,0,0,517142850628,5433138128,1084826,14223,2672,369,392039,0 123,2,2024-09-07 09:56:21:023,794484,794483,1,0,35172216,0,5215 123,3,2024-09-07 09:56:21:131,1,628,1,0,478,7052,628,0 124,0,2024-09-07 09:56:20:919,159525,0.3,159538,0.5,300728,0.3,414350,1.75 124,1,2024-09-07 09:56:21:028,1105218,1105218,0,0,517426536977,5376439723,1097246,6793,1179,365,392178,0 124,2,2024-09-07 09:56:21:011,793243,793190,53,0,31606477,0,6487 124,3,2024-09-07 09:56:20:772,1,628,1,0,490,6081,628,0 125,0,2024-09-07 09:56:21:433,157018,0.4,156800,0.5,314641,0.3,419037,1.75 125,1,2024-09-07 09:56:20:855,1102067,1102067,0,0,517477063996,5397843543,1093987,6926,1154,382,392045,0 125,2,2024-09-07 09:56:21:117,794928,794928,0,0,31972066,0,4534 125,3,2024-09-07 09:56:21:133,1,628,2,0,709,7072,628,0 126,0,2024-09-07 09:56:21:424,162216,0.4,166840,0.5,318950,0.3,432890,1.75 126,1,2024-09-07 09:56:20:556,1106334,1106334,0,0,519079116511,5370060485,1100805,5081,448,365,391987,0 126,2,2024-09-07 09:56:20:616,800100,800100,0,0,33196019,0,4539 126,3,2024-09-07 09:56:20:911,1,628,3,0,268,6744,628,0 127,0,2024-09-07 09:56:21:605,156037,0.3,156120,0.5,311685,0.3,414754,1.75 127,1,2024-09-07 09:56:20:569,1104256,1104256,0,0,518010022906,5386031176,1093564,9053,1639,364,392187,0 127,2,2024-09-07 09:56:20:643,797029,797025,4,0,31337337,0,5305 127,3,2024-09-07 09:56:21:267,1,628,1,0,968,6052,628,0 128,0,2024-09-07 09:56:21:541,155811,0.3,155970,0.4,311633,0.2,414211,1.50 128,1,2024-09-07 09:56:21:629,1103970,1103970,0,0,518206876877,5387618874,1095432,7573,965,367,392423,0 128,2,2024-09-07 09:56:21:387,793916,793916,0,0,28975011,0,3171 128,3,2024-09-07 09:56:20:766,1,628,1,0,1082,9721,628,0 129,0,2024-09-07 09:56:21:000,157482,0.3,156696,0.5,313944,0.3,417503,1.50 129,1,2024-09-07 09:56:20:577,1100541,1100541,0,0,516933618361,5413718528,1090062,8457,2022,379,391962,0 129,2,2024-09-07 09:56:20:689,794202,794198,4,0,31749182,0,5335 129,3,2024-09-07 09:56:20:687,1,628,16,0,506,8427,628,0 130,0,2024-09-07 09:56:21:720,162052,0.4,161757,0.5,323796,0.3,431561,1.75 130,1,2024-09-07 09:56:20:593,1105450,1105450,0,0,519035185546,5387822127,1099989,5058,403,381,391825,0 130,2,2024-09-07 09:56:21:132,796789,796789,0,0,31940556,0,4067 130,3,2024-09-07 09:56:21:298,1,628,1,0,960,8409,628,0 131,0,2024-09-07 09:56:21:931,156040,0.3,156547,0.5,313828,0.3,416796,1.75 131,1,2024-09-07 09:56:21:820,1104395,1104395,0,0,518122488836,5397088031,1097154,6043,1198,381,391865,0 131,2,2024-09-07 09:56:20:566,796692,796692,0,0,29565410,0,3979 131,3,2024-09-07 09:56:21:692,1,628,11,0,392,7881,628,0 132,0,2024-09-07 09:56:21:431,156564,0.5,157498,0.6,313640,0.4,416747,2.00 132,1,2024-09-07 09:56:20:589,1100100,1100100,0,0,515774495308,5425617863,1084632,12764,2704,381,392532,0 132,2,2024-09-07 09:56:20:716,794086,794069,17,0,38403171,0,6451 132,3,2024-09-07 09:56:21:692,1,628,3,0,1298,10957,628,0 133,0,2024-09-07 09:56:21:530,151274,0.4,154788,0.6,317308,0.4,413012,2.00 133,1,2024-09-07 09:56:20:587,1100150,1100150,0,0,515900061905,5430414209,1086047,12338,1765,383,391914,0 133,2,2024-09-07 09:56:21:087,792588,792538,50,0,39223960,0,6861 133,3,2024-09-07 09:56:21:296,1,628,127,0,528,7537,628,0 134,0,2024-09-07 09:56:20:947,160654,0.5,160809,0.6,321630,0.4,428660,2.00 134,1,2024-09-07 09:56:20:593,1101628,1101628,0,0,516518942374,5412944551,1087767,11369,2492,366,391781,0 134,2,2024-09-07 09:56:21:757,793530,793506,24,0,36311989,0,6207 134,3,2024-09-07 09:56:20:760,1,628,0,0,739,7628,628,0 135,0,2024-09-07 09:56:21:127,153272,0.7,153249,0.8,325452,0.8,418998,2.25 135,1,2024-09-07 09:56:21:594,1100710,1100710,0,0,517183646347,5432474962,1087670,11399,1641,380,391974,0 135,2,2024-09-07 09:56:20:705,797930,797930,0,0,37276336,0,4503 135,3,2024-09-07 09:56:21:002,1,628,5,0,900,5957,628,0 136,0,2024-09-07 09:56:21:640,157072,0.6,157495,0.8,312750,0.6,417365,2.00 136,1,2024-09-07 09:56:21:450,1101993,1101993,0,0,517421316001,5418730070,1090072,10531,1390,381,392135,0 136,2,2024-09-07 09:56:21:139,797592,797577,15,0,36815566,0,6007 136,3,2024-09-07 09:56:21:106,1,628,2,0,637,7056,628,0 137,0,2024-09-07 09:56:20:925,160629,0.6,156614,0.7,306584,0.6,416508,2.00 137,1,2024-09-07 09:56:20:578,1100871,1100871,0,0,516793679670,5415829956,1084316,13165,3390,366,391898,0 137,2,2024-09-07 09:56:21:716,790133,790133,0,0,37796443,0,3185 137,3,2024-09-07 09:56:20:778,1,628,3,0,484,8367,628,0 138,0,2024-09-07 09:56:21:746,157247,0.7,157545,0.8,315736,0.7,419911,2.00 138,1,2024-09-07 09:56:21:683,1101615,1101615,0,0,517333342451,5422099688,1086820,12523,2272,368,391954,0 138,2,2024-09-07 09:56:20:586,791764,791764,0,0,36063841,0,4988 138,3,2024-09-07 09:56:20:615,1,628,23,0,1200,9626,628,0 139,0,2024-09-07 09:56:21:367,159816,0.9,160495,0.9,320606,1.3,427919,2.25 139,1,2024-09-07 09:56:20:571,1096938,1096938,0,0,513957176330,5444599505,1078531,14579,3828,380,392109,0 139,2,2024-09-07 09:56:20:715,792989,792959,30,0,41583062,0,5997 139,3,2024-09-07 09:56:21:663,1,628,1,0,432,7311,628,0 140,0,2024-09-07 09:56:21:589,156573,0.3,155777,0.5,312615,0.2,417090,1.75 140,1,2024-09-07 09:56:21:536,1108185,1108185,0,0,520765662792,5364817378,1103184,4453,548,364,391628,0 140,2,2024-09-07 09:56:20:688,797385,797384,1,0,29544948,0,5036 140,3,2024-09-07 09:56:20:767,1,628,13,0,575,6172,628,0 141,0,2024-09-07 09:56:21:699,155392,0.3,159711,0.5,305333,0.2,413209,1.50 141,1,2024-09-07 09:56:20:862,1105899,1105899,0,0,518156621732,5379495142,1097699,7007,1193,379,391614,0 141,2,2024-09-07 09:56:21:693,792189,792178,11,0,31546522,0,5369 141,3,2024-09-07 09:56:21:051,1,628,1,0,391,7030,628,0 142,0,2024-09-07 09:56:21:317,157856,0.3,157018,0.5,314291,0.2,419743,1.50 142,1,2024-09-07 09:56:20:633,1103972,1103972,0,0,517439436004,5390302061,1097230,6231,511,382,392102,0 142,2,2024-09-07 09:56:21:299,792118,792086,32,0,32148759,0,6028 142,3,2024-09-07 09:56:21:757,1,628,0,0,484,6463,628,0 143,0,2024-09-07 09:56:21:371,161143,0.4,160924,0.5,322852,0.4,429559,1.75 143,1,2024-09-07 09:56:20:564,1106307,1106307,0,0,519326031095,5383520700,1099823,5916,568,367,391900,0 143,2,2024-09-07 09:56:20:777,798457,798457,0,0,32167622,0,3123 143,3,2024-09-07 09:56:21:140,1,628,1,0,462,7379,628,0 144,0,2024-09-07 09:56:21:520,151173,0.6,155624,0.8,316503,0.5,414617,2.00 144,1,2024-09-07 09:56:20:564,1101107,1101107,0,0,516029026524,5411096900,1090501,8611,1995,381,391733,0 144,2,2024-09-07 09:56:21:755,797484,797484,0,0,31420086,0,4443 144,3,2024-09-07 09:56:21:743,1,628,1,0,249,6200,628,0 145,0,2024-09-07 09:56:21:363,150759,0.6,150703,0.8,319884,0.5,411707,2.25 145,1,2024-09-07 09:56:20:553,1100274,1100274,0,0,516549821121,5430282699,1086204,11393,2677,382,391781,0 145,2,2024-09-07 09:56:21:436,791662,791580,82,0,37156910,0,7814 145,3,2024-09-07 09:56:20:895,1,628,0,0,622,8167,628,0 146,0,2024-09-07 09:56:21:605,155605,0.5,154638,0.7,311141,0.5,413775,2.00 146,1,2024-09-07 09:56:21:594,1101194,1101194,0,0,516116413309,5425933926,1083649,13063,4482,367,391770,0 146,2,2024-09-07 09:56:21:698,790804,790798,6,0,35445324,0,5151 146,3,2024-09-07 09:56:21:279,1,628,15,0,1520,10064,628,0 147,0,2024-09-07 09:56:21:722,161147,0.5,160952,0.7,321916,0.5,429821,2.00 147,1,2024-09-07 09:56:21:376,1105487,1105487,0,0,518974809318,5389074723,1096980,7540,967,367,391791,0 147,2,2024-09-07 09:56:21:011,795064,795064,0,0,31692341,0,2968 147,3,2024-09-07 09:56:20:914,1,628,2,0,1626,9734,628,0 0,0,2024-09-07 09:56:31:712,150846,0.5,150811,0.7,320255,0.6,413199,2.00 0,1,2024-09-07 09:56:30:806,1103938,1103938,0,0,518284381726,5421626798,1095340,7646,952,368,391896,0 0,2,2024-09-07 09:56:31:067,793911,793911,0,0,31584530,0,4480 0,3,2024-09-07 09:56:30:975,1,629,5,0,431,8832,629,0 1,0,2024-09-07 09:56:31:778,159291,0.8,158256,0.9,317643,0.9,425275,2.00 1,1,2024-09-07 09:56:30:573,1102709,1102709,0,0,516774669227,5408956993,1093213,8003,1493,370,391859,0 1,2,2024-09-07 09:56:30:638,795021,795021,0,0,31223772,0,3380 1,3,2024-09-07 09:56:31:302,1,629,1,0,269,7727,629,0 2,0,2024-09-07 09:56:31:570,159958,0.6,159507,0.7,319134,0.6,425434,2.00 2,1,2024-09-07 09:56:30:860,1106020,1106020,0,0,519644019575,5399609980,1099837,5231,952,379,391745,0 2,2,2024-09-07 09:56:31:268,799198,799198,0,0,29845098,0,3594 2,3,2024-09-07 09:56:30:692,1,629,1,0,357,5514,629,0 3,0,2024-09-07 09:56:31:760,156754,0.4,156763,0.6,313151,0.4,417106,2.00 3,1,2024-09-07 09:56:31:623,1105079,1105079,0,0,518711063634,5391855922,1097450,6924,705,379,391716,0 3,2,2024-09-07 09:56:31:152,798615,798592,23,0,30816224,0,5851 3,3,2024-09-07 09:56:31:753,1,629,0,0,484,4715,629,0 4,0,2024-09-07 09:56:31:779,150983,0.4,155153,0.5,316292,0.3,413590,1.75 4,1,2024-09-07 09:56:30:671,1100129,1100129,0,0,516469358852,5459300007,1082303,14069,3757,369,391992,0 4,2,2024-09-07 09:56:31:022,789939,789939,0,0,37514680,0,4534 4,3,2024-09-07 09:56:31:037,1,629,4,0,448,7517,629,0 5,0,2024-09-07 09:56:31:408,157587,0.4,157490,0.5,315112,0.3,419334,1.75 5,1,2024-09-07 09:56:30:778,1101329,1101329,0,0,516561593986,5448522731,1084897,12299,4133,367,392005,0 5,2,2024-09-07 09:56:31:829,790832,790832,0,0,36380172,0,3582 5,3,2024-09-07 09:56:31:732,1,629,4,0,457,8498,629,0 6,0,2024-09-07 09:56:30:917,162330,0.5,161886,0.6,323869,0.4,431609,2.00 6,1,2024-09-07 09:56:30:749,1103929,1103929,0,0,517907103366,5404797622,1092848,9507,1574,379,391702,0 6,2,2024-09-07 09:56:31:117,799726,799708,18,0,35352738,0,5535 6,3,2024-09-07 09:56:31:276,1,629,1,0,710,7466,629,0 7,0,2024-09-07 09:56:31:532,155298,0.5,156412,0.7,310469,0.5,414190,2.00 7,1,2024-09-07 09:56:30:851,1101449,1101449,0,0,516829144135,5436781675,1085014,12669,3766,382,391747,0 7,2,2024-09-07 09:56:30:769,797205,797205,0,0,33963655,0,4791 7,3,2024-09-07 09:56:30:851,1,629,2,0,552,7225,629,0 8,0,2024-09-07 09:56:31:351,155745,0.4,155485,0.5,311317,0.3,414764,1.75 8,1,2024-09-07 09:56:31:052,1101181,1101181,0,0,516715070813,5429126214,1084416,13173,3592,366,392853,0 8,2,2024-09-07 09:56:30:795,788081,788079,2,0,40287393,0,5112 8,3,2024-09-07 09:56:30:589,1,629,4,0,772,9740,629,0 9,0,2024-09-07 09:56:31:114,156478,0.3,152175,0.5,318278,0.3,417816,1.75 9,1,2024-09-07 09:56:30:618,1101036,1101036,0,0,516613692059,5440590588,1084219,13587,3230,369,392001,0 9,2,2024-09-07 09:56:31:095,792649,792648,1,0,38030764,0,5281 9,3,2024-09-07 09:56:31:752,1,629,1,0,1273,10346,629,0 10,0,2024-09-07 09:56:31:610,161616,0.3,161013,0.5,322955,0.2,430429,1.75 10,1,2024-09-07 09:56:30:593,1103024,1103024,0,0,517458928844,5424304397,1087289,12671,3064,381,391981,0 10,2,2024-09-07 09:56:30:763,797684,797684,0,0,40948071,0,4713 10,3,2024-09-07 09:56:30:871,1,629,7,0,669,6866,629,0 11,0,2024-09-07 09:56:31:017,156078,0.4,151457,0.6,316924,0.4,417440,1.75 11,1,2024-09-07 09:56:30:594,1104301,1104301,0,0,518367166190,5433172969,1088341,11657,4303,383,391766,0 11,2,2024-09-07 09:56:31:132,795944,795944,0,0,36094191,0,4698 11,3,2024-09-07 09:56:31:298,1,629,1,0,843,7892,629,0 12,0,2024-09-07 09:56:30:955,157554,0.4,157377,0.5,314356,0.4,417708,1.75 12,1,2024-09-07 09:56:31:034,1104148,1104148,0,0,517710752771,5395477854,1095739,7400,1009,370,391960,0 12,2,2024-09-07 09:56:31:548,793672,793672,0,0,35011991,0,4390 12,3,2024-09-07 09:56:31:064,1,629,4,0,386,7673,629,0 13,0,2024-09-07 09:56:31:332,156248,0.4,156284,0.5,311695,0.3,415522,1.75 13,1,2024-09-07 09:56:31:539,1101575,1101575,0,0,516957208304,5429633195,1090664,8520,2391,382,391803,0 13,2,2024-09-07 09:56:30:603,795580,795580,0,0,31251884,0,3287 13,3,2024-09-07 09:56:31:766,1,629,4,0,522,8096,629,0 14,0,2024-09-07 09:56:30:580,160947,0.4,161930,0.6,321469,0.3,428766,1.75 14,1,2024-09-07 09:56:31:564,1109047,1109047,0,0,520126904512,5378344283,1100566,7352,1129,364,391673,0 14,2,2024-09-07 09:56:30:763,796099,796069,30,0,32636210,0,6104 14,3,2024-09-07 09:56:31:114,1,629,0,0,1168,6726,629,0 15,0,2024-09-07 09:56:31:558,158515,0.4,157594,0.6,315545,0.4,420563,2.00 15,1,2024-09-07 09:56:31:610,1106092,1106092,0,0,519235637869,5403106578,1097014,7165,1913,381,391619,0 15,2,2024-09-07 09:56:31:006,799355,799355,0,0,28494332,0,3622 15,3,2024-09-07 09:56:31:405,1,629,1,0,1126,8152,629,0 16,0,2024-09-07 09:56:30:998,156646,0.6,157295,0.8,313982,0.6,417773,2.00 16,1,2024-09-07 09:56:30:582,1105474,1105474,0,0,518518415047,5416789044,1096010,8018,1446,370,392194,0 16,2,2024-09-07 09:56:31:435,796520,796520,0,0,32236072,0,4719 16,3,2024-09-07 09:56:31:147,1,629,1,0,358,7729,629,0 17,0,2024-09-07 09:56:31:805,160527,0.5,156435,0.7,306538,0.5,416478,2.00 17,1,2024-09-07 09:56:30:588,1103340,1103340,0,0,517611065716,5425077881,1092931,8634,1775,368,392075,0 17,2,2024-09-07 09:56:31:671,795537,795536,1,0,33414197,0,5050 17,3,2024-09-07 09:56:30:583,1,629,4,0,518,8935,629,0 18,0,2024-09-07 09:56:30:956,156885,0.6,157912,0.8,314352,0.6,420397,2.25 18,1,2024-09-07 09:56:31:640,1107961,1107961,0,0,518516731115,5374137468,1100886,5817,1258,367,391725,0 18,2,2024-09-07 09:56:31:755,796452,796452,0,0,29955270,0,3541 18,3,2024-09-07 09:56:30:902,1,629,5,0,1059,5623,629,0 19,0,2024-09-07 09:56:31:538,160565,0.6,160968,0.8,321010,0.7,426140,2.00 19,1,2024-09-07 09:56:30:566,1107866,1107866,0,0,520187175342,5388209289,1099989,6743,1134,365,391777,0 19,2,2024-09-07 09:56:31:751,802005,802005,0,0,28358979,0,3988 19,3,2024-09-07 09:56:31:128,1,629,1,0,524,4784,629,0 20,0,2024-09-07 09:56:31:349,156329,0.5,156140,0.7,312231,0.5,416516,2.00 20,1,2024-09-07 09:56:30:583,1103484,1103484,0,0,518239536561,5426973690,1092674,9299,1511,369,391922,0 20,2,2024-09-07 09:56:30:931,797518,797518,0,0,35177553,0,4321 20,3,2024-09-07 09:56:30:591,1,629,13,0,468,9859,629,0 21,0,2024-09-07 09:56:31:193,155482,0.5,155467,0.6,310959,0.4,412964,2.00 21,1,2024-09-07 09:56:31:537,1101324,1101324,0,0,516659487947,5448099996,1084429,13058,3837,368,392016,0 21,2,2024-09-07 09:56:31:094,786641,786164,477,0,45553438,0,17074 21,3,2024-09-07 09:56:31:405,1,629,5,0,713,8791,629,0 22,0,2024-09-07 09:56:31:718,157148,0.4,157711,0.6,315114,0.4,418122,2.00 22,1,2024-09-07 09:56:31:023,1101922,1101922,0,0,516607172693,5432277550,1084073,14308,3541,381,391822,0 22,2,2024-09-07 09:56:30:776,792583,792557,26,0,33323343,0,6328 22,3,2024-09-07 09:56:31:066,1,629,0,0,228,5350,629,0 23,0,2024-09-07 09:56:31:379,161055,0.5,160846,0.6,321684,0.4,429286,2.00 23,1,2024-09-07 09:56:31:010,1102567,1102567,0,0,517837041022,5449995531,1083076,12913,6578,365,391690,0 23,2,2024-09-07 09:56:31:094,799523,799523,0,0,32116322,0,3773 23,3,2024-09-07 09:56:31:754,1,629,7,0,855,8954,629,0 24,0,2024-09-07 09:56:30:814,157125,0.4,156304,0.5,314186,0.4,417456,1.75 24,1,2024-09-07 09:56:30:582,1103113,1103113,0,0,516494935661,5402688123,1092973,8430,1710,367,392269,0 24,2,2024-09-07 09:56:31:069,796912,796909,3,0,38730071,0,6294 24,3,2024-09-07 09:56:31:690,1,629,35,0,468,7969,629,0 25,0,2024-09-07 09:56:31:384,160251,0.4,156058,0.6,306495,0.4,417195,2.00 25,1,2024-09-07 09:56:30:577,1101290,1101290,0,0,516521614704,5452004252,1082512,15063,3715,369,391928,0 25,2,2024-09-07 09:56:31:617,791823,791823,0,0,39281286,0,3978 25,3,2024-09-07 09:56:31:016,1,629,10,0,532,6695,629,0 26,0,2024-09-07 09:56:31:721,155105,0.4,151573,0.6,318308,0.3,414708,1.75 26,1,2024-09-07 09:56:31:541,1104636,1104636,0,0,518087346716,5418694233,1090295,11808,2533,380,391758,0 26,2,2024-09-07 09:56:30:860,793219,793219,0,0,41809709,0,4689 26,3,2024-09-07 09:56:31:713,1,629,2,0,796,7627,629,0 27,0,2024-09-07 09:56:31:723,161434,0.4,162198,0.6,322490,0.3,430316,2.25 27,1,2024-09-07 09:56:31:681,1106175,1106175,0,0,519084293209,5405486080,1095772,8794,1609,381,391626,0 27,2,2024-09-07 09:56:30:867,793524,793459,65,0,36692946,0,5699 27,3,2024-09-07 09:56:31:017,1,629,0,0,564,5281,629,0 28,0,2024-09-07 09:56:31:416,157242,0.4,157469,0.6,315002,0.3,419215,1.75 28,1,2024-09-07 09:56:30:796,1106155,1106155,0,0,518945242612,5405754512,1097205,7058,1892,382,391904,0 28,2,2024-09-07 09:56:31:765,797649,797649,0,0,31699124,0,2915 28,3,2024-09-07 09:56:31:776,1,629,1,0,502,6241,629,0 29,0,2024-09-07 09:56:31:381,161101,0.4,156985,0.6,308036,0.3,419459,1.75 29,1,2024-09-07 09:56:31:564,1109283,1109283,0,0,520288304216,5377290752,1102919,5586,778,367,391809,0 29,2,2024-09-07 09:56:30:860,796340,796340,0,0,30724270,0,4986 29,3,2024-09-07 09:56:30:965,1,629,1,0,459,6617,629,0 30,0,2024-09-07 09:56:31:460,154407,0.5,150249,0.6,314874,0.4,411173,2.00 30,1,2024-09-07 09:56:30:571,1107982,1107982,0,0,519895393013,5389929922,1100404,6667,911,380,391672,0 30,2,2024-09-07 09:56:31:278,794620,794620,0,0,29214881,0,4192 30,3,2024-09-07 09:56:30:587,1,629,1,0,519,5758,629,0 31,0,2024-09-07 09:56:31:759,159022,0.4,159960,0.6,318788,0.3,425905,1.75 31,1,2024-09-07 09:56:30:566,1112096,1112096,0,0,521388589440,5350677231,1105634,5388,1074,356,391712,0 31,2,2024-09-07 09:56:31:276,794396,794396,0,0,32989397,0,4470 31,3,2024-09-07 09:56:31:707,1,629,1,0,239,5278,629,0 32,0,2024-09-07 09:56:31:421,160041,0.3,161155,0.5,320705,0.3,426861,1.75 32,1,2024-09-07 09:56:30:804,1107863,1107863,0,0,519039565216,5390339329,1101664,5481,718,381,391646,0 32,2,2024-09-07 09:56:30:934,800435,800435,0,0,29167681,0,3922 32,3,2024-09-07 09:56:31:015,1,629,1,0,304,5038,629,0 33,0,2024-09-07 09:56:31:493,157436,0.3,157025,0.4,314183,0.2,418062,1.50 33,1,2024-09-07 09:56:30:580,1108422,1108422,0,0,520287054995,5385395867,1099965,7184,1273,368,391730,0 33,2,2024-09-07 09:56:30:763,798542,798507,35,0,32192153,0,7012 33,3,2024-09-07 09:56:30:895,1,629,2,0,329,5380,629,0 34,0,2024-09-07 09:56:30:929,155753,0.3,160168,0.5,306102,0.2,413423,1.75 34,1,2024-09-07 09:56:31:044,1110376,1110376,0,0,521633326385,5363069366,1107410,2855,111,367,391637,0 34,2,2024-09-07 09:56:30:765,793688,793688,0,0,30572462,0,4562 34,3,2024-09-07 09:56:31:690,1,629,1,0,541,5545,629,0 35,0,2024-09-07 09:56:30:860,156743,0.3,157560,0.5,315838,0.2,420054,1.75 35,1,2024-09-07 09:56:31:067,1106396,1106396,0,0,519547105249,5388859061,1098283,6590,1523,382,391769,0 35,2,2024-09-07 09:56:31:584,793966,793966,0,0,34070454,0,4055 35,3,2024-09-07 09:56:30:912,1,629,1,0,466,5781,629,0 36,0,2024-09-07 09:56:31:525,162687,0.5,162906,0.6,325153,0.4,433078,2.00 36,1,2024-09-07 09:56:30:590,1105758,1105758,0,0,518684008827,5411437393,1092172,11345,2241,366,391759,0 36,2,2024-09-07 09:56:31:751,800123,800123,0,0,35148309,0,3875 36,3,2024-09-07 09:56:30:864,1,629,2,0,556,8373,629,0 37,0,2024-09-07 09:56:31:378,155072,0.5,155352,0.7,310898,0.5,414790,2.25 37,1,2024-09-07 09:56:30:574,1104827,1104820,0,7,518093280882,5405849797,1092158,9748,2914,365,391770,0 37,2,2024-09-07 09:56:31:141,795389,795374,15,0,35039645,0,5815 37,3,2024-09-07 09:56:31:766,1,629,3,0,888,8245,629,0 38,0,2024-09-07 09:56:31:441,154637,0.4,149917,0.6,313434,0.4,410574,2.00 38,1,2024-09-07 09:56:31:608,1105090,1105090,0,0,519121733165,5424868407,1089739,12351,3000,368,391821,0 38,2,2024-09-07 09:56:30:763,792534,792487,47,0,35048195,0,6710 38,3,2024-09-07 09:56:30:998,1,629,1,0,689,7461,629,0 39,0,2024-09-07 09:56:31:760,160007,0.5,156337,0.7,305066,0.4,416722,2.00 39,1,2024-09-07 09:56:30:715,1104262,1104262,0,0,518250436558,5427102409,1086813,13734,3715,365,391865,0 39,2,2024-09-07 09:56:31:424,793718,793718,0,0,33323586,0,3391 39,3,2024-09-07 09:56:30:714,1,629,1,0,525,6955,629,0 40,0,2024-09-07 09:56:31:497,160165,0.8,160993,0.9,321329,0.8,428831,2.75 40,1,2024-09-07 09:56:30:578,1104884,1104884,0,0,517993814446,5420874329,1089271,12543,3070,366,391668,0 40,2,2024-09-07 09:56:31:303,795295,795288,7,0,39645117,0,5347 40,3,2024-09-07 09:56:31:148,1,629,1,0,1028,9008,629,0 41,0,2024-09-07 09:56:31:028,155359,1.3,159013,1.1,303211,1.8,412137,3.00 41,1,2024-09-07 09:56:30:768,1103964,1103964,0,0,518388990560,5417888254,1090183,11621,2160,369,391878,0 41,2,2024-09-07 09:56:30:771,793614,793613,1,0,37901756,0,5408 41,3,2024-09-07 09:56:31:676,1,629,34,0,366,6741,629,0 42,0,2024-09-07 09:56:31:476,155418,0.9,155088,1.0,310313,1.1,411597,2.75 42,1,2024-09-07 09:56:31:439,1101817,1101817,0,0,516446388682,5422056605,1085230,13654,2933,380,391675,0 42,2,2024-09-07 09:56:31:132,793325,793324,1,0,38334534,0,5513 42,3,2024-09-07 09:56:31:011,1,629,1,0,892,6041,629,0 43,0,2024-09-07 09:56:30:934,153850,0.7,149744,0.9,313780,0.7,410505,2.25 43,1,2024-09-07 09:56:30:578,1105432,1105432,0,0,518303388021,5410685307,1090690,12170,2572,366,391696,0 43,2,2024-09-07 09:56:31:739,793611,793611,0,0,36062630,0,4723 43,3,2024-09-07 09:56:31:749,1,629,2,0,571,8890,629,0 44,0,2024-09-07 09:56:30:868,160968,0.4,161124,0.6,321862,0.3,429218,1.75 44,1,2024-09-07 09:56:30:565,1108469,1108469,0,0,518458190216,5355702903,1099093,7434,1942,356,391809,0 44,2,2024-09-07 09:56:31:269,794085,794085,0,0,29558433,0,4344 44,3,2024-09-07 09:56:31:094,1,629,169,0,1097,7715,629,0 45,0,2024-09-07 09:56:31:765,156525,0.5,152931,0.7,320987,0.4,420668,2.00 45,1,2024-09-07 09:56:31:014,1107097,1107097,0,0,519492204021,5394205798,1098841,7353,903,382,391917,0 45,2,2024-09-07 09:56:31:268,798854,798854,0,0,29849475,0,3596 45,3,2024-09-07 09:56:30:934,1,629,1,0,531,5879,629,0 46,0,2024-09-07 09:56:30:952,155906,0.5,155458,0.7,311870,0.5,414434,2.00 46,1,2024-09-07 09:56:30:586,1109442,1109442,0,0,520280686682,5374419335,1101945,6509,988,366,391709,0 46,2,2024-09-07 09:56:30:593,798861,798861,0,0,30520732,0,4443 46,3,2024-09-07 09:56:31:132,1,629,0,0,908,7318,629,0 47,0,2024-09-07 09:56:31:101,156214,0.4,155899,0.6,313331,0.3,414758,1.75 47,1,2024-09-07 09:56:30:568,1110308,1110308,0,0,520478454867,5372621796,1104203,5242,863,364,391641,0 47,2,2024-09-07 09:56:30:909,796096,796096,0,0,29393968,0,4477 47,3,2024-09-07 09:56:31:114,1,629,1,0,600,6744,629,0 48,0,2024-09-07 09:56:31:488,158609,0.3,158947,0.4,317229,0.2,422186,1.50 48,1,2024-09-07 09:56:31:022,1107021,1107021,0,0,518922910242,5385371288,1100911,5532,578,381,391710,0 48,2,2024-09-07 09:56:30:698,794828,794828,0,0,28074919,0,3524 48,3,2024-09-07 09:56:30:754,1,629,2,0,339,5444,629,0 49,0,2024-09-07 09:56:31:713,165836,0.3,162337,0.5,315927,0.3,430613,1.75 49,1,2024-09-07 09:56:31:021,1106503,1106503,0,0,518883507097,5393968762,1099226,5581,1696,382,391809,0 49,2,2024-09-07 09:56:31:803,800724,800724,0,0,30389225,0,4426 49,3,2024-09-07 09:56:31:416,1,629,1,0,992,7322,629,0 50,0,2024-09-07 09:56:31:508,156972,0.3,155449,0.5,313123,0.2,416500,1.75 50,1,2024-09-07 09:56:31:011,1109239,1109239,0,0,520561489491,5377654811,1102710,5860,669,368,391691,0 50,2,2024-09-07 09:56:31:067,796863,796863,0,0,28088804,0,4490 50,3,2024-09-07 09:56:31:291,1,629,1,0,617,6284,629,0 51,0,2024-09-07 09:56:31:705,159540,0.3,156092,0.5,303978,0.2,414720,1.75 51,1,2024-09-07 09:56:31:684,1109509,1109509,0,0,521701955943,5375701705,1103844,4584,1081,365,391706,0 51,2,2024-09-07 09:56:31:315,792708,792708,0,0,27485794,0,3337 51,3,2024-09-07 09:56:31:029,1,629,1,0,678,4456,629,0 52,0,2024-09-07 09:56:31:418,157837,0.5,157680,0.6,315375,0.4,419259,2.00 52,1,2024-09-07 09:56:30:581,1104884,1104884,0,0,518390742739,5420736082,1089732,12821,2331,368,391805,0 52,2,2024-09-07 09:56:31:758,790846,790808,38,0,37293593,0,6742 52,3,2024-09-07 09:56:30:673,1,629,5,0,1782,7147,629,0 53,0,2024-09-07 09:56:31:751,160863,0.6,156111,0.7,326728,0.6,428391,2.25 53,1,2024-09-07 09:56:30:774,1103076,1103076,0,0,517533314018,5425461124,1084880,13796,4400,367,391968,0 53,2,2024-09-07 09:56:31:301,799566,799565,1,0,33430203,0,5455 53,3,2024-09-07 09:56:30:697,1,629,1,0,308,5729,629,0 54,0,2024-09-07 09:56:31:616,154442,0.6,154666,0.8,308227,0.5,411986,2.25 54,1,2024-09-07 09:56:30:582,1106785,1106785,0,0,519143960842,5395317289,1095662,9451,1672,366,391810,0 54,2,2024-09-07 09:56:30:865,798003,797971,32,0,37266234,0,6397 54,3,2024-09-07 09:56:30:762,1,629,1,0,676,7931,629,0 55,0,2024-09-07 09:56:31:768,150468,0.6,155285,0.8,314726,0.6,409829,2.50 55,1,2024-09-07 09:56:30:777,1107041,1107041,0,0,518959142229,5392730317,1096171,9481,1389,365,391731,0 55,2,2024-09-07 09:56:30:730,792455,792399,56,0,34454513,0,7239 55,3,2024-09-07 09:56:30:677,1,629,1,0,304,5757,629,0 56,0,2024-09-07 09:56:31:567,158807,1.1,149656,1.1,308572,1.4,413280,2.75 56,1,2024-09-07 09:56:30:578,1100110,1100110,0,0,516595981335,5458577715,1082107,14314,3689,381,391867,0 56,2,2024-09-07 09:56:31:302,792352,792230,122,0,36857653,0,7432 56,3,2024-09-07 09:56:31:087,1,629,6,0,705,7171,629,0 57,0,2024-09-07 09:56:30:935,159799,1.3,159491,1.1,319538,1.7,426832,3.00 57,1,2024-09-07 09:56:31:006,1102674,1102674,0,0,517143860568,5423542009,1088191,11991,2492,366,392032,0 57,2,2024-09-07 09:56:31:317,795988,795988,0,0,38699234,0,4804 57,3,2024-09-07 09:56:31:738,1,629,1,0,455,7154,629,0 58,0,2024-09-07 09:56:30:563,154800,1.0,150405,1.0,314723,1.2,411557,2.75 58,1,2024-09-07 09:56:30:577,1104035,1104032,0,3,518599312809,5431273646,1087875,12253,3904,367,391726,3 58,2,2024-09-07 09:56:31:095,797583,797583,0,0,36182984,0,3483 58,3,2024-09-07 09:56:31:068,1,629,1,0,1043,6949,629,0 59,0,2024-09-07 09:56:31:797,155250,0.9,154779,1.0,309353,1.0,410521,3.00 59,1,2024-09-07 09:56:30:806,1103352,1103352,0,0,518064996129,5439163715,1085495,14189,3668,369,391653,0 59,2,2024-09-07 09:56:30:587,796505,796505,0,0,34316344,0,3727 59,3,2024-09-07 09:56:31:737,1,629,1,0,1015,7516,629,0 60,0,2024-09-07 09:56:31:793,155190,0.5,155192,0.7,310748,0.4,413520,1.75 60,1,2024-09-07 09:56:30:772,1107716,1107716,0,0,519824543002,5398429610,1099755,6872,1089,370,392031,0 60,2,2024-09-07 09:56:31:151,793894,793894,0,0,32328041,0,3811 60,3,2024-09-07 09:56:31:258,1,629,1,0,409,7132,629,0 61,0,2024-09-07 09:56:31:499,159259,0.6,159894,0.7,318086,0.5,425236,2.00 61,1,2024-09-07 09:56:30:770,1105097,1105097,0,0,517749636893,5415599291,1093956,9459,1682,382,392127,0 61,2,2024-09-07 09:56:31:121,795191,795124,67,0,33029971,0,6411 61,3,2024-09-07 09:56:31:690,1,629,0,0,607,7937,629,0 62,0,2024-09-07 09:56:31:718,160551,0.6,164739,0.7,314519,0.6,426789,2.00 62,1,2024-09-07 09:56:31:125,1111361,1111355,0,6,521236842881,5370223685,1106382,4674,299,365,391975,6 62,2,2024-09-07 09:56:31:644,796881,796880,1,0,33035771,0,5555 62,3,2024-09-07 09:56:31:148,1,629,0,0,482,4937,629,0 63,0,2024-09-07 09:56:31:468,157466,0.4,157137,0.6,314894,0.3,418380,1.75 63,1,2024-09-07 09:56:30:806,1107618,1107612,0,6,520156940686,5397393880,1100761,5994,857,381,391800,6 63,2,2024-09-07 09:56:30:763,797929,797929,0,0,31325921,0,4369 63,3,2024-09-07 09:56:31:731,1,629,2,0,667,6292,629,0 64,0,2024-09-07 09:56:31:516,154898,0.5,154744,0.6,309120,0.4,412043,2.00 64,1,2024-09-07 09:56:30:766,1105995,1105995,0,0,518719679591,5398822950,1096627,7389,1979,370,391794,0 64,2,2024-09-07 09:56:31:141,796472,796453,19,0,30384167,0,6121 64,3,2024-09-07 09:56:31:140,1,629,1,0,651,7050,629,0 65,0,2024-09-07 09:56:31:678,156339,0.6,156588,0.7,313059,0.6,417638,2.00 65,1,2024-09-07 09:56:30:861,1104767,1104767,0,0,517568734616,5405874932,1097235,6657,875,381,391901,0 65,2,2024-09-07 09:56:31:711,793077,793077,0,0,36785044,0,3367 65,3,2024-09-07 09:56:31:683,1,629,9,0,782,7400,629,0 66,0,2024-09-07 09:56:31:782,161867,0.5,161429,0.7,322956,0.5,429843,2.00 66,1,2024-09-07 09:56:31:312,1106795,1106795,0,0,518923916362,5395745757,1100285,5785,725,380,391743,0 66,2,2024-09-07 09:56:31:132,802197,802194,3,0,32553270,0,5455 66,3,2024-09-07 09:56:31:094,1,629,2,0,291,5540,629,0 67,0,2024-09-07 09:56:31:412,155882,0.5,155434,0.7,311883,0.5,415431,2.00 67,1,2024-09-07 09:56:30:766,1106875,1106874,0,1,519601925248,5405503207,1099472,6457,945,380,391787,1 67,2,2024-09-07 09:56:30:583,800817,800802,15,0,32350987,0,6205 67,3,2024-09-07 09:56:31:750,1,629,0,0,595,6367,629,0 68,0,2024-09-07 09:56:30:618,155483,0.6,155197,0.7,309349,0.5,413789,2.00 68,1,2024-09-07 09:56:30:581,1102687,1102687,0,0,517785237231,5437948220,1088865,10289,3533,381,391953,0 68,2,2024-09-07 09:56:31:046,788986,788886,100,0,40208459,0,8578 68,3,2024-09-07 09:56:30:728,1,629,1,0,417,7840,629,0 69,0,2024-09-07 09:56:31:787,155855,0.6,156626,0.8,312200,0.6,415488,2.25 69,1,2024-09-07 09:56:31:015,1101710,1101710,0,0,517390572849,5446841270,1087833,11041,2836,383,391994,0 69,2,2024-09-07 09:56:31:746,790658,790629,29,0,42969674,0,6912 69,3,2024-09-07 09:56:30:766,1,629,0,0,698,8780,629,0 70,0,2024-09-07 09:56:31:537,159923,0.7,160714,0.9,322504,0.6,427618,2.25 70,1,2024-09-07 09:56:30:806,1107927,1107927,0,0,520192740088,5393111546,1100000,7118,809,366,391725,0 70,2,2024-09-07 09:56:31:327,798014,798014,0,0,35465336,0,4323 70,3,2024-09-07 09:56:30:753,1,629,1,0,854,6841,629,0 71,0,2024-09-07 09:56:31:364,155108,0.9,154647,1.0,310583,1.2,414357,2.50 71,1,2024-09-07 09:56:31:601,1105580,1105580,0,0,518946568830,5410777015,1092573,11386,1621,367,391738,0 71,2,2024-09-07 09:56:31:080,796432,796432,0,0,35587561,0,4352 71,3,2024-09-07 09:56:31:750,1,629,0,0,644,6875,629,0 72,0,2024-09-07 09:56:31:068,161483,0.5,157688,0.7,307961,0.5,418743,2.00 72,1,2024-09-07 09:56:31:021,1104008,1104008,0,0,517394910702,5421985320,1088687,12800,2521,369,391819,0 72,2,2024-09-07 09:56:31:755,793341,793341,0,0,37218431,0,3983 72,3,2024-09-07 09:56:31:754,1,629,3,0,564,9000,629,0 73,0,2024-09-07 09:56:31:129,151273,0.4,155252,0.6,317264,0.4,413065,2.00 73,1,2024-09-07 09:56:30:787,1105607,1105607,0,0,518755121181,5388817225,1097192,7432,983,367,391858,0 73,2,2024-09-07 09:56:31:766,792926,792925,1,0,38875552,0,5027 73,3,2024-09-07 09:56:30:977,1,629,1,0,1091,8534,629,0 74,0,2024-09-07 09:56:31:326,161953,0.4,165864,0.6,316329,0.4,429651,2.00 74,1,2024-09-07 09:56:30:643,1104406,1104406,0,0,518040458588,5404645110,1092493,9795,2118,381,391762,0 74,2,2024-09-07 09:56:31:008,794338,794338,0,0,33919959,0,4253 74,3,2024-09-07 09:56:31:445,1,629,23,0,522,7525,629,0 75,0,2024-09-07 09:56:31:798,158615,0.5,157678,0.7,316250,0.5,422073,2.25 75,1,2024-09-07 09:56:31:585,1105223,1105223,0,0,518841430893,5402686916,1096592,7761,870,380,391739,0 75,2,2024-09-07 09:56:31:354,796670,796670,0,0,41085302,0,4766 75,3,2024-09-07 09:56:31:067,1,629,1,0,918,8294,629,0 76,0,2024-09-07 09:56:30:581,156273,0.6,155697,0.7,311308,0.5,416180,2.25 76,1,2024-09-07 09:56:30:835,1105553,1105553,0,0,518244023078,5400349610,1098213,6348,992,382,391790,0 76,2,2024-09-07 09:56:31:065,799415,799412,3,0,33395644,0,5265 76,3,2024-09-07 09:56:31:165,1,629,8,0,227,5759,629,0 77,0,2024-09-07 09:56:31:712,155340,0.5,155859,0.7,311620,0.5,414032,2.00 77,1,2024-09-07 09:56:30:826,1105407,1105407,0,0,518717007951,5406993138,1097412,7115,880,381,391869,0 77,2,2024-09-07 09:56:31:281,792162,792162,0,0,32377501,0,3890 77,3,2024-09-07 09:56:31:095,1,629,2,0,401,7017,629,0 78,0,2024-09-07 09:56:31:720,159148,0.4,158340,0.6,317536,0.3,420826,2.00 78,1,2024-09-07 09:56:30:614,1105775,1105775,0,0,518151031732,5400287599,1092927,9931,2917,367,391670,0 78,2,2024-09-07 09:56:31:405,795385,795372,13,0,30491013,0,8313 78,3,2024-09-07 09:56:31:132,1,629,1,0,311,5139,629,0 79,0,2024-09-07 09:56:31:367,155727,0.4,159469,0.6,326972,0.3,425255,2.00 79,1,2024-09-07 09:56:30:573,1109783,1109783,0,0,520224259951,5380951863,1101932,6491,1360,367,391682,0 79,2,2024-09-07 09:56:31:069,800162,800162,0,0,29542463,0,4195 79,3,2024-09-07 09:56:30:754,1,629,0,0,418,7209,629,0 80,0,2024-09-07 09:56:31:205,156282,0.5,160557,0.7,306835,0.5,415481,2.00 80,1,2024-09-07 09:56:31:691,1105308,1105308,0,0,518802879988,5398522335,1098135,6717,456,368,392269,0 80,2,2024-09-07 09:56:31:102,800323,800323,0,0,30149409,0,4433 80,3,2024-09-07 09:56:30:581,1,629,1,0,681,7488,629,0 81,0,2024-09-07 09:56:31:585,155328,0.5,159001,0.7,303525,0.5,411879,2.00 81,1,2024-09-07 09:56:31:652,1104833,1104833,0,0,517881295718,5407595375,1096659,7406,768,382,391885,0 81,2,2024-09-07 09:56:31:125,792232,792169,63,0,33280066,0,5932 81,3,2024-09-07 09:56:31:128,1,629,1,0,719,7074,629,0 82,0,2024-09-07 09:56:31:546,157200,0.4,157340,0.6,315429,0.4,418243,2.00 82,1,2024-09-07 09:56:30:601,1106592,1106588,0,4,519799679962,5399807362,1100987,4776,825,381,391768,4 82,2,2024-09-07 09:56:31:691,796302,796302,0,0,28359062,0,4484 82,3,2024-09-07 09:56:31:752,1,629,1,0,363,5785,629,0 83,0,2024-09-07 09:56:31:528,161496,0.5,161285,0.7,322306,0.5,428538,2.00 83,1,2024-09-07 09:56:30:557,1105594,1105594,0,0,518100795930,5397588355,1097997,6952,645,382,391709,0 83,2,2024-09-07 09:56:30:764,799699,799674,25,0,30657453,0,5612 83,3,2024-09-07 09:56:30:754,1,629,1,0,1260,7316,629,0 84,0,2024-09-07 09:56:31:827,154713,0.8,154779,0.9,309415,0.8,413617,2.25 84,1,2024-09-07 09:56:31:046,1103670,1103670,0,0,517950740239,5412640500,1091399,10598,1673,367,391967,0 84,2,2024-09-07 09:56:30:584,796077,795667,410,0,44206025,0,17037 84,3,2024-09-07 09:56:31:149,1,629,6,0,908,8221,629,0 85,0,2024-09-07 09:56:31:057,149774,0.6,149730,0.8,317981,0.6,410308,2.25 85,1,2024-09-07 09:56:30:560,1100433,1100433,0,0,516647290471,5449709199,1084088,13542,2803,381,392092,0 85,2,2024-09-07 09:56:30:866,792939,792939,0,0,37253464,0,4255 85,3,2024-09-07 09:56:30:685,1,629,0,0,789,7135,629,0 86,0,2024-09-07 09:56:30:912,155494,0.6,159885,0.8,305856,0.6,413743,2.25 86,1,2024-09-07 09:56:30:836,1103836,1103836,0,0,518460212853,5431731738,1089912,11237,2687,366,392169,0 86,2,2024-09-07 09:56:30:855,792739,792738,1,0,39629725,0,5004 86,3,2024-09-07 09:56:30:590,1,629,3,0,308,8733,629,0 87,0,2024-09-07 09:56:31:296,161635,0.7,160869,0.8,321997,0.8,430392,2.25 87,1,2024-09-07 09:56:30:578,1102787,1102787,0,0,517349106482,5415190085,1089391,11597,1799,366,392076,0 87,2,2024-09-07 09:56:31:095,796003,795997,6,0,36200592,0,6323 87,3,2024-09-07 09:56:31:800,1,629,47,0,473,8803,629,0 88,0,2024-09-07 09:56:31:473,157127,0.4,157677,0.6,314996,0.4,419059,1.75 88,1,2024-09-07 09:56:30:571,1102312,1102312,0,0,517042714316,5415838708,1086906,12358,3048,365,392084,0 88,2,2024-09-07 09:56:30:688,796447,796447,0,0,38913826,0,4465 88,3,2024-09-07 09:56:31:267,1,629,0,0,1080,9460,629,0 89,0,2024-09-07 09:56:31:824,161079,0.4,156249,0.6,308293,0.4,419150,1.75 89,1,2024-09-07 09:56:30:554,1101847,1101847,0,0,517512163594,5438066415,1088847,11052,1948,382,391866,0 89,2,2024-09-07 09:56:31:138,795884,795884,0,0,36674423,0,3173 89,3,2024-09-07 09:56:31:793,1,629,3,0,468,10201,629,0 90,0,2024-09-07 09:56:31:637,150567,0.4,154676,0.6,315544,0.4,411419,2.00 90,1,2024-09-07 09:56:30:599,1103955,1103955,0,0,517126548127,5412364744,1093845,9212,898,380,391825,0 90,2,2024-09-07 09:56:31:406,789608,789603,5,0,40113994,0,6370 90,3,2024-09-07 09:56:30:932,1,629,0,0,322,7442,629,0 91,0,2024-09-07 09:56:30:932,159720,0.4,155038,0.6,324406,0.4,425775,1.75 91,1,2024-09-07 09:56:30:579,1101407,1101407,0,0,516828192740,5441793092,1085532,12727,3148,381,392047,0 91,2,2024-09-07 09:56:31:346,794546,794546,0,0,35326653,0,4713 91,3,2024-09-07 09:56:30:610,1,629,5,0,216,5516,629,0 92,0,2024-09-07 09:56:31:461,161678,0.4,165337,0.6,315145,0.4,427052,1.75 92,1,2024-09-07 09:56:30:597,1104469,1104469,0,0,518694687349,5414040750,1096087,7094,1288,381,392136,0 92,2,2024-09-07 09:56:31:351,798809,798809,0,0,31255520,0,3259 92,3,2024-09-07 09:56:31:017,1,629,8,0,167,5293,629,0 93,0,2024-09-07 09:56:30:978,157903,0.4,161723,0.6,308920,0.3,418338,1.75 93,1,2024-09-07 09:56:30:840,1105633,1105633,0,0,518734286518,5410218367,1093898,9798,1937,365,392048,0 93,2,2024-09-07 09:56:30:929,797491,797491,0,0,36975651,0,4913 93,3,2024-09-07 09:56:31:416,1,629,0,0,375,6374,629,0 94,0,2024-09-07 09:56:31:622,154852,0.4,156108,0.5,311930,0.3,414353,1.75 94,1,2024-09-07 09:56:30:566,1105902,1105902,0,0,519066219578,5409367023,1098934,6532,436,381,391850,0 94,2,2024-09-07 09:56:30:769,792606,792578,28,0,31694668,0,6179 94,3,2024-09-07 09:56:31:700,1,629,3,0,576,7676,629,0 95,0,2024-09-07 09:56:31:358,157614,0.3,157533,0.5,315384,0.3,420187,1.75 95,1,2024-09-07 09:56:30:866,1106518,1106518,0,0,519573788367,5395734112,1098058,7708,752,365,391852,0 95,2,2024-09-07 09:56:31:014,793011,793011,0,0,31427776,0,3308 95,3,2024-09-07 09:56:31:710,1,629,1,0,718,9717,629,0 96,0,2024-09-07 09:56:31:067,162365,0.3,162611,0.5,325494,0.3,432562,1.75 96,1,2024-09-07 09:56:31:588,1104764,1104764,0,0,517941037419,5399123678,1097339,6149,1276,384,391964,0 96,2,2024-09-07 09:56:31:269,801050,801050,0,0,32617427,0,4180 96,3,2024-09-07 09:56:31:140,1,629,8,0,411,7077,629,0 97,0,2024-09-07 09:56:31:381,155919,0.3,155852,0.5,312452,0.3,415181,1.50 97,1,2024-09-07 09:56:30:763,1106805,1106805,0,0,519852845867,5390876208,1099851,5912,1042,367,392140,0 97,2,2024-09-07 09:56:30:612,799101,799101,0,0,32065003,0,4046 97,3,2024-09-07 09:56:30:569,1,629,3,0,242,6919,629,0 98,0,2024-09-07 09:56:31:709,155044,0.3,155146,0.4,311440,0.2,414092,1.50 98,1,2024-09-07 09:56:30:578,1105645,1105645,0,0,518788082738,5401099568,1099124,5681,840,381,391997,0 98,2,2024-09-07 09:56:30:777,792482,792482,0,0,30708488,0,4336 98,3,2024-09-07 09:56:30:705,1,629,9,0,840,9281,629,0 99,0,2024-09-07 09:56:31:452,156493,0.3,157345,0.5,313224,0.3,418144,1.75 99,1,2024-09-07 09:56:31:730,1106796,1106796,0,0,519285536320,5400243382,1100408,5443,945,380,392069,0 99,2,2024-09-07 09:56:31:416,793603,793603,0,0,38290017,0,4276 99,3,2024-09-07 09:56:30:591,1,629,3,0,1124,7950,629,0 100,0,2024-09-07 09:56:31:507,161186,0.7,161705,0.8,323271,0.7,431208,2.25 100,1,2024-09-07 09:56:30:578,1100017,1100017,0,0,516304966375,5452713678,1083939,12758,3320,378,391989,0 100,2,2024-09-07 09:56:31:820,794278,793889,389,0,41272789,0,16909 100,3,2024-09-07 09:56:31:731,1,629,0,0,627,10314,629,0 101,0,2024-09-07 09:56:31:716,159621,1.1,155755,1.0,304752,1.1,416093,2.25 101,1,2024-09-07 09:56:30:564,1102468,1102468,0,0,517331636244,5431459684,1088260,11679,2529,368,391847,0 101,2,2024-09-07 09:56:31:768,792763,792763,0,0,41195933,0,4871 101,3,2024-09-07 09:56:30:944,1,629,2,0,1250,9236,629,0 102,0,2024-09-07 09:56:30:941,152084,0.6,156669,0.8,318316,0.6,416163,2.25 102,1,2024-09-07 09:56:31:144,1102152,1102152,0,0,517264540009,5428446772,1088016,11935,2201,369,391984,0 102,2,2024-09-07 09:56:31:738,795588,795534,54,0,35223734,0,6768 102,3,2024-09-07 09:56:31:616,1,629,1,0,466,7029,629,0 103,0,2024-09-07 09:56:31:590,160396,0.5,160419,0.7,302273,0.5,416354,2.00 103,1,2024-09-07 09:56:31:626,1101113,1101113,0,0,516787633219,5453227967,1084009,13499,3605,381,392077,0 103,2,2024-09-07 09:56:30:592,791147,791147,0,0,38083929,0,3766 103,3,2024-09-07 09:56:30:755,1,629,2,0,916,7272,629,0 104,0,2024-09-07 09:56:31:081,159868,0.7,160015,0.9,319384,0.6,427438,2.25 104,1,2024-09-07 09:56:31:618,1103580,1103580,0,0,517550712775,5432517743,1088031,12704,2845,365,392168,0 104,2,2024-09-07 09:56:31:676,792647,792647,0,0,37752349,0,4161 104,3,2024-09-07 09:56:31:432,1,629,4,0,1245,10868,629,0 105,0,2024-09-07 09:56:31:093,156484,0.9,152592,1.0,319941,1.0,419981,2.50 105,1,2024-09-07 09:56:30:568,1104635,1104635,0,0,518210057638,5424320728,1091050,11640,1945,364,392009,0 105,2,2024-09-07 09:56:31:321,796908,796908,0,0,38052379,0,4360 105,3,2024-09-07 09:56:31:304,1,629,0,0,573,9521,629,0 106,0,2024-09-07 09:56:30:935,151389,0.9,155100,1.0,317591,1.1,414514,2.50 106,1,2024-09-07 09:56:31:749,1103379,1103379,0,0,516720190986,5418237743,1088694,12789,1896,368,391914,0 106,2,2024-09-07 09:56:30:756,796113,796113,0,0,35697195,0,3331 106,3,2024-09-07 09:56:30:678,1,629,3,0,1224,8516,629,0 107,0,2024-09-07 09:56:31:101,155524,0.9,155549,0.9,311213,1.0,414674,2.25 107,1,2024-09-07 09:56:30:598,1101400,1101400,0,0,516357367828,5452073726,1083988,15276,2136,381,392234,0 107,2,2024-09-07 09:56:31:296,789323,789322,1,0,38802514,0,5024 107,3,2024-09-07 09:56:31:755,1,629,2,0,733,9467,629,0 108,0,2024-09-07 09:56:31:770,158115,0.4,158734,0.6,316404,0.4,422267,1.75 108,1,2024-09-07 09:56:31:303,1104683,1104683,0,0,518230296369,5401084539,1095971,7715,997,367,391894,0 108,2,2024-09-07 09:56:31:770,794388,794388,0,0,33460286,0,4246 108,3,2024-09-07 09:56:31:330,1,629,9,0,749,10973,629,0 109,0,2024-09-07 09:56:31:754,162102,0.4,160707,0.6,322317,0.3,429817,1.75 109,1,2024-09-07 09:56:30:589,1102345,1102345,0,0,517649816695,5426011504,1092844,8221,1280,382,392132,0 109,2,2024-09-07 09:56:30:921,797782,797782,0,0,34479346,0,3617 109,3,2024-09-07 09:56:31:140,1,629,6,0,630,7451,629,0 110,0,2024-09-07 09:56:31:751,156239,0.4,151881,0.6,318033,0.4,417354,1.75 110,1,2024-09-07 09:56:31:654,1106849,1106849,0,0,519126153490,5385293630,1098798,6122,1929,368,392045,0 110,2,2024-09-07 09:56:31:304,798626,798626,0,0,31842620,0,4067 110,3,2024-09-07 09:56:30:690,1,629,0,0,722,8239,629,0 111,0,2024-09-07 09:56:31:429,156135,0.4,155398,0.5,310518,0.3,414340,1.75 111,1,2024-09-07 09:56:31:006,1108122,1108122,0,0,520573682023,5395734085,1102483,5258,381,380,391690,0 111,2,2024-09-07 09:56:31:115,792725,792725,0,0,32304469,0,4823 111,3,2024-09-07 09:56:30:913,1,629,2,0,379,6682,629,0 112,0,2024-09-07 09:56:30:912,157955,0.3,157646,0.4,315900,0.2,419554,1.50 112,1,2024-09-07 09:56:30:824,1106660,1106660,0,0,518788252018,5384625713,1099450,5985,1225,380,391624,0 112,2,2024-09-07 09:56:31:133,794030,794029,1,0,30890489,0,5036 112,3,2024-09-07 09:56:30:591,1,629,8,0,282,5858,629,0 113,0,2024-09-07 09:56:30:864,161451,0.3,161487,0.5,323489,0.2,430885,1.50 113,1,2024-09-07 09:56:31:691,1109000,1109000,0,0,520776496482,5370519953,1102749,5303,948,365,391664,0 113,2,2024-09-07 09:56:31:303,801686,801686,0,0,29409439,0,3813 113,3,2024-09-07 09:56:30:685,1,629,1,0,510,6642,629,0 114,0,2024-09-07 09:56:30:878,156331,0.3,157414,0.5,313808,0.2,419002,1.75 114,1,2024-09-07 09:56:30:716,1106977,1106977,0,0,519276080328,5388817236,1099033,6197,1747,381,391565,0 114,2,2024-09-07 09:56:30:872,799882,799881,1,0,30257676,0,5069 114,3,2024-09-07 09:56:31:278,1,629,1,0,415,5365,629,0 115,0,2024-09-07 09:56:30:556,156607,0.3,157200,0.4,312957,0.2,416399,1.50 115,1,2024-09-07 09:56:30:579,1107492,1107492,0,0,519900545634,5397163095,1099229,6746,1517,382,391757,0 115,2,2024-09-07 09:56:31:125,795509,795509,0,0,30518875,0,4382 115,3,2024-09-07 09:56:31:005,1,629,0,0,167,3780,629,0 116,0,2024-09-07 09:56:31:881,155490,0.6,155376,0.8,311437,0.6,416038,2.00 116,1,2024-09-07 09:56:30:814,1101001,1101001,0,0,517500831641,5448469611,1088335,9574,3092,380,392089,0 116,2,2024-09-07 09:56:31:755,793549,793549,0,0,37908489,0,4475 116,3,2024-09-07 09:56:30:912,1,629,6,0,448,7884,629,0 117,0,2024-09-07 09:56:31:009,161667,0.6,161101,0.8,322752,0.6,431712,2.00 117,1,2024-09-07 09:56:31:586,1103189,1103189,0,0,516792731320,5407719417,1091392,10272,1525,369,392429,0 117,2,2024-09-07 09:56:31:120,798114,798114,0,0,34273926,0,4303 117,3,2024-09-07 09:56:31:061,1,629,9,0,490,7800,629,0 118,0,2024-09-07 09:56:31:766,152416,0.5,156535,0.7,319500,0.5,417661,2.00 118,1,2024-09-07 09:56:30:610,1102344,1102344,0,0,516257612778,5422943161,1086488,12231,3625,366,392054,0 118,2,2024-09-07 09:56:31:586,795998,795998,0,0,36507869,0,2842 118,3,2024-09-07 09:56:31:767,1,629,1,0,289,7246,629,0 119,0,2024-09-07 09:56:31:359,156389,0.8,156988,0.8,313263,0.8,417467,2.25 119,1,2024-09-07 09:56:30:584,1103278,1103278,0,0,517705035986,5425136261,1088560,12205,2513,367,391857,0 119,2,2024-09-07 09:56:31:260,797182,797182,0,0,34443044,0,4309 119,3,2024-09-07 09:56:31:325,1,629,15,0,1358,11482,629,0 120,0,2024-09-07 09:56:31:666,154374,0.6,154176,0.8,309885,0.6,412598,2.25 120,1,2024-09-07 09:56:30:859,1104213,1104213,0,0,517424102231,5422676591,1092479,10591,1143,367,392144,0 120,2,2024-09-07 09:56:30:769,792090,792087,3,0,40933727,0,5363 120,3,2024-09-07 09:56:31:290,1,629,99,0,279,7526,629,0 121,0,2024-09-07 09:56:31:731,159486,1.0,159110,1.0,318366,1.2,424661,2.25 121,1,2024-09-07 09:56:31:675,1103527,1103527,0,0,517463566154,5410964706,1092218,9752,1557,366,391840,0 121,2,2024-09-07 09:56:31:129,793776,793776,0,0,37377042,0,4157 121,3,2024-09-07 09:56:30:748,1,629,23,0,387,8164,629,0 122,0,2024-09-07 09:56:31:776,159604,0.8,155153,0.9,324954,0.8,426865,2.00 122,1,2024-09-07 09:56:30:859,1102688,1102688,0,0,517426332230,5426683490,1087664,12606,2418,365,392130,0 122,2,2024-09-07 09:56:31:318,798821,798746,75,0,41159609,0,5989 122,3,2024-09-07 09:56:30:597,1,629,2,0,512,9942,629,0 123,0,2024-09-07 09:56:30:959,156310,0.8,152103,0.9,318760,0.9,416911,2.25 123,1,2024-09-07 09:56:30:568,1103437,1103437,0,0,517915891593,5441026902,1086542,14223,2672,369,392039,0 123,2,2024-09-07 09:56:31:027,795836,795835,1,0,35188000,0,5215 123,3,2024-09-07 09:56:31:132,1,629,1,0,478,7053,629,0 124,0,2024-09-07 09:56:30:923,159815,0.3,159824,0.5,301278,0.3,414878,1.75 124,1,2024-09-07 09:56:31:025,1107007,1107007,0,0,518319204529,5385519022,1099035,6793,1179,365,392178,0 124,2,2024-09-07 09:56:31:012,794519,794466,53,0,31622043,0,6487 124,3,2024-09-07 09:56:30:769,1,629,6,0,490,6087,629,0 125,0,2024-09-07 09:56:31:443,157312,0.4,157117,0.5,315338,0.3,420132,1.75 125,1,2024-09-07 09:56:30:858,1103797,1103797,0,0,518391323169,5407167231,1095716,6927,1154,382,392045,0 125,2,2024-09-07 09:56:31:160,796153,796153,0,0,31986649,0,4534 125,3,2024-09-07 09:56:31:126,1,629,7,0,709,7079,629,0 126,0,2024-09-07 09:56:31:414,162590,0.4,167197,0.5,319690,0.3,433223,1.75 126,1,2024-09-07 09:56:30:561,1108112,1108112,0,0,519712328508,5376552968,1102581,5082,449,365,391987,0 126,2,2024-09-07 09:56:30:610,801560,801560,0,0,33210466,0,4539 126,3,2024-09-07 09:56:30:918,1,629,3,0,268,6747,629,0 127,0,2024-09-07 09:56:31:611,156360,0.3,156419,0.5,312263,0.3,415537,1.75 127,1,2024-09-07 09:56:30:569,1106021,1106021,0,0,518769398425,5393832390,1095326,9055,1640,364,392187,0 127,2,2024-09-07 09:56:30:637,798520,798516,4,0,31367873,0,5305 127,3,2024-09-07 09:56:31:268,1,629,0,0,968,6052,629,0 128,0,2024-09-07 09:56:31:565,155994,0.3,156156,0.4,311942,0.2,414807,1.50 128,1,2024-09-07 09:56:31:611,1105673,1105673,0,0,518826186843,5394061416,1097134,7574,965,367,392423,0 128,2,2024-09-07 09:56:31:382,794793,794793,0,0,28987880,0,3171 128,3,2024-09-07 09:56:30:777,1,629,2,0,1082,9723,629,0 129,0,2024-09-07 09:56:31:046,157823,0.3,157015,0.5,314613,0.3,418730,1.50 129,1,2024-09-07 09:56:30:571,1102338,1102338,0,0,517848272452,5423191286,1091858,8458,2022,379,391962,0 129,2,2024-09-07 09:56:30:691,795655,795651,4,0,31770710,0,5335 129,3,2024-09-07 09:56:30:688,1,629,5,0,506,8432,629,0 130,0,2024-09-07 09:56:31:732,162437,0.4,162079,0.5,324493,0.3,432281,1.75 130,1,2024-09-07 09:56:30:591,1107198,1107198,0,0,519758052241,5395211659,1101737,5058,403,381,391825,0 130,2,2024-09-07 09:56:31:127,798284,798284,0,0,32021232,0,4067 130,3,2024-09-07 09:56:31:295,1,629,3,0,960,8412,629,0 131,0,2024-09-07 09:56:31:930,156297,0.3,156812,0.5,314344,0.3,417734,1.75 131,1,2024-09-07 09:56:31:822,1106135,1106135,0,0,518836380473,5404407611,1098893,6044,1198,381,391865,0 131,2,2024-09-07 09:56:30:579,797950,797950,0,0,29583013,0,3979 131,3,2024-09-07 09:56:31:692,1,629,3,0,392,7884,629,0 132,0,2024-09-07 09:56:31:547,156682,0.5,157636,0.6,313878,0.4,417220,2.00 132,1,2024-09-07 09:56:30:583,1101857,1101857,0,0,516646858116,5434569821,1086389,12764,2704,381,392532,0 132,2,2024-09-07 09:56:30:698,794848,794831,17,0,38426591,0,6451 132,3,2024-09-07 09:56:31:693,1,629,2,0,1298,10959,629,0 133,0,2024-09-07 09:56:31:532,151465,0.4,155019,0.6,317775,0.4,413938,2.00 133,1,2024-09-07 09:56:30:583,1101914,1101914,0,0,516619283755,5437855293,1087809,12339,1766,383,391914,0 133,2,2024-09-07 09:56:31:095,794039,793989,50,0,39252420,0,6861 133,3,2024-09-07 09:56:31:299,1,629,3,0,528,7540,629,0 134,0,2024-09-07 09:56:30:948,161118,0.5,161278,0.6,322560,0.4,429750,2.00 134,1,2024-09-07 09:56:30:595,1103426,1103426,0,0,517472501339,5422672044,1089565,11369,2492,366,391781,0 134,2,2024-09-07 09:56:31:760,794955,794931,24,0,36340482,0,6207 134,3,2024-09-07 09:56:30:755,1,629,1,0,739,7629,629,0 135,0,2024-09-07 09:56:31:118,153366,0.7,153376,0.8,325693,0.8,419319,2.25 135,1,2024-09-07 09:56:31:585,1102467,1102467,0,0,517772857191,5438604507,1089427,11399,1641,380,391974,0 135,2,2024-09-07 09:56:30:687,799032,799032,0,0,37307542,0,4503 135,3,2024-09-07 09:56:31:010,1,629,1,0,900,5958,629,0 136,0,2024-09-07 09:56:31:640,157175,0.6,157595,0.8,312992,0.6,417708,2.00 136,1,2024-09-07 09:56:31:453,1103823,1103823,0,0,518223372502,5426930868,1091902,10531,1390,381,392135,0 136,2,2024-09-07 09:56:31:138,798784,798769,15,0,36828604,0,6007 136,3,2024-09-07 09:56:31:117,1,629,1,0,637,7057,629,0 137,0,2024-09-07 09:56:30:935,160742,0.6,156754,0.7,306804,0.6,416534,2.00 137,1,2024-09-07 09:56:30:592,1102639,1102639,0,0,517655598285,5424602750,1086083,13166,3390,366,391898,0 137,2,2024-09-07 09:56:31:718,791580,791580,0,0,37814207,0,3185 137,3,2024-09-07 09:56:30:769,1,629,22,0,484,8389,629,0 138,0,2024-09-07 09:56:31:745,157637,0.7,157922,0.8,316480,0.7,420841,2.00 138,1,2024-09-07 09:56:31:684,1103379,1103379,0,0,518033091138,5429258159,1088584,12523,2272,368,391954,0 138,2,2024-09-07 09:56:30:595,793145,793145,0,0,36086535,0,4988 138,3,2024-09-07 09:56:30:612,1,629,9,0,1200,9635,629,0 139,0,2024-09-07 09:56:31:393,159927,0.9,160613,0.9,320820,1.3,428167,2.25 139,1,2024-09-07 09:56:30:572,1098711,1098711,0,0,514771249695,5452927486,1080304,14579,3828,380,392109,0 139,2,2024-09-07 09:56:30:692,794162,794132,30,0,41595877,0,5997 139,3,2024-09-07 09:56:31:665,1,629,1,0,432,7312,629,0 140,0,2024-09-07 09:56:31:590,156819,0.3,156040,0.5,313142,0.2,417710,1.75 140,1,2024-09-07 09:56:31:537,1110018,1110018,0,0,521762825288,5374895631,1105017,4453,548,364,391628,0 140,2,2024-09-07 09:56:30:687,798754,798753,1,0,29557582,0,5036 140,3,2024-09-07 09:56:30:773,1,629,1,0,575,6173,629,0 141,0,2024-09-07 09:56:31:697,155676,0.3,160025,0.5,305909,0.2,414059,1.50 141,1,2024-09-07 09:56:30:865,1107643,1107643,0,0,519036952868,5388435120,1099443,7007,1193,379,391614,0 141,2,2024-09-07 09:56:31:686,793316,793305,11,0,31571392,0,5369 141,3,2024-09-07 09:56:31:043,1,629,17,0,391,7047,629,0 142,0,2024-09-07 09:56:31:388,158258,0.3,157400,0.5,315048,0.2,420453,1.50 142,1,2024-09-07 09:56:30:584,1105701,1105701,0,0,518194339191,5398024452,1098959,6231,511,382,392102,0 142,2,2024-09-07 09:56:31:301,793442,793410,32,0,32163934,0,6028 142,3,2024-09-07 09:56:31:786,1,629,14,0,484,6477,629,0 143,0,2024-09-07 09:56:31:405,161557,0.4,161311,0.5,323651,0.4,431022,1.75 143,1,2024-09-07 09:56:30:558,1107973,1107973,0,0,520242052571,5392825506,1101489,5916,568,367,391900,0 143,2,2024-09-07 09:56:30:769,799874,799874,0,0,32187986,0,3123 143,3,2024-09-07 09:56:31:139,1,629,1,0,462,7380,629,0 144,0,2024-09-07 09:56:31:515,151500,0.6,155973,0.8,317187,0.5,415468,2.00 144,1,2024-09-07 09:56:30:566,1102947,1102947,0,0,516762165358,5418687809,1092340,8612,1995,381,391733,0 144,2,2024-09-07 09:56:31:757,798956,798956,0,0,31446332,0,4443 144,3,2024-09-07 09:56:31:739,1,629,0,0,249,6200,629,0 145,0,2024-09-07 09:56:31:383,150926,0.6,150869,0.8,320242,0.5,412133,2.25 145,1,2024-09-07 09:56:30:580,1101987,1101987,0,0,517316709407,5438171034,1087917,11393,2677,382,391781,0 145,2,2024-09-07 09:56:31:430,792452,792370,82,0,37180064,0,7814 145,3,2024-09-07 09:56:30:894,1,629,1,0,622,8168,629,0 146,0,2024-09-07 09:56:31:668,155904,0.5,154946,0.7,311738,0.5,414412,2.00 146,1,2024-09-07 09:56:31:613,1102897,1102897,0,0,517103150431,5435926207,1085351,13064,4482,367,391770,0 146,2,2024-09-07 09:56:31:695,792316,792310,6,0,35463689,0,5151 146,3,2024-09-07 09:56:31:277,1,629,3,0,1520,10067,629,0 147,0,2024-09-07 09:56:31:715,161556,0.5,161395,0.7,322776,0.5,430844,2.00 147,1,2024-09-07 09:56:31:372,1107246,1107246,0,0,519796441573,5397420729,1098739,7540,967,367,391791,0 147,2,2024-09-07 09:56:31:014,796641,796641,0,0,31709628,0,2968 147,3,2024-09-07 09:56:30:913,1,629,2,0,1626,9736,629,0 0,0,2024-09-07 09:56:41:838,150964,0.5,150918,0.7,320435,0.6,413511,2.00 0,1,2024-09-07 09:56:40:821,1105740,1105740,0,0,519065318633,5429610515,1097142,7646,952,368,391896,0 0,2,2024-09-07 09:56:41:067,795465,795465,0,0,31613468,0,4480 0,3,2024-09-07 09:56:40:985,1,630,6,0,431,8838,630,0 1,0,2024-09-07 09:56:41:760,159740,0.8,158710,0.9,318590,0.9,426608,2.00 1,1,2024-09-07 09:56:40:559,1104452,1104452,0,0,517726981675,5418666663,1094956,8003,1493,370,391859,0 1,2,2024-09-07 09:56:40:645,796295,796295,0,0,31241968,0,3380 1,3,2024-09-07 09:56:41:305,1,630,12,0,269,7739,630,0 2,0,2024-09-07 09:56:41:565,160065,0.6,159612,0.7,319342,0.6,425739,2.00 2,1,2024-09-07 09:56:40:862,1107781,1107781,0,0,520515494990,5408485311,1101597,5232,952,379,391745,0 2,2,2024-09-07 09:56:41:277,800332,800332,0,0,29860816,0,3594 2,3,2024-09-07 09:56:40:693,1,630,23,0,357,5537,630,0 3,0,2024-09-07 09:56:41:750,156886,0.4,156894,0.6,313388,0.4,417377,2.00 3,1,2024-09-07 09:56:41:622,1106873,1106873,0,0,519462618675,5399582624,1099244,6924,705,379,391716,0 3,2,2024-09-07 09:56:41:142,799802,799779,23,0,30832273,0,5851 3,3,2024-09-07 09:56:41:760,1,630,2,0,484,4717,630,0 4,0,2024-09-07 09:56:41:821,151207,0.4,155368,0.5,316785,0.3,414088,1.75 4,1,2024-09-07 09:56:40:591,1101796,1101796,0,0,517356115636,5468382590,1083970,14069,3757,369,391992,0 4,2,2024-09-07 09:56:41:026,791256,791256,0,0,37551376,0,4534 4,3,2024-09-07 09:56:41:029,1,630,0,0,448,7517,630,0 5,0,2024-09-07 09:56:41:399,158003,0.4,157855,0.5,315862,0.3,420450,1.75 5,1,2024-09-07 09:56:40:756,1103114,1103114,0,0,517333622460,5456559211,1086682,12299,4133,367,392005,0 5,2,2024-09-07 09:56:41:829,792124,792124,0,0,36421084,0,3582 5,3,2024-09-07 09:56:41:749,1,630,6,0,457,8504,630,0 6,0,2024-09-07 09:56:40:932,162528,0.5,162038,0.6,324206,0.4,431904,2.00 6,1,2024-09-07 09:56:40:748,1105666,1105666,0,0,518549592474,5411420289,1094585,9507,1574,379,391702,0 6,2,2024-09-07 09:56:41:115,801036,801018,18,0,35382227,0,5535 6,3,2024-09-07 09:56:41:279,1,630,1,0,710,7467,630,0 7,0,2024-09-07 09:56:41:536,155597,0.5,156731,0.7,311101,0.5,414956,2.00 7,1,2024-09-07 09:56:40:859,1103220,1103220,0,0,517782486061,5446586069,1086785,12669,3766,382,391747,0 7,2,2024-09-07 09:56:40:774,798579,798579,0,0,34012549,0,4791 7,3,2024-09-07 09:56:40:851,1,630,23,0,552,7248,630,0 8,0,2024-09-07 09:56:41:378,155968,0.4,155695,0.5,311708,0.3,415327,1.75 8,1,2024-09-07 09:56:41:026,1102871,1102871,0,0,517417172662,5436277124,1086106,13173,3592,366,392853,0 8,2,2024-09-07 09:56:40:800,789104,789102,2,0,40301436,0,5112 8,3,2024-09-07 09:56:40:587,1,630,1,0,772,9741,630,0 9,0,2024-09-07 09:56:41:115,156888,0.3,152591,0.5,319127,0.3,419047,1.75 9,1,2024-09-07 09:56:40:550,1102763,1102763,0,0,517431352632,5448930207,1085946,13587,3230,369,392001,0 9,2,2024-09-07 09:56:41:088,793965,793964,1,0,38050354,0,5281 9,3,2024-09-07 09:56:41:761,1,630,9,0,1273,10355,630,0 10,0,2024-09-07 09:56:41:608,161908,0.3,161294,0.5,323472,0.2,431141,1.75 10,1,2024-09-07 09:56:40:588,1104657,1104657,0,0,518357494507,5433442613,1088922,12671,3064,381,391981,0 10,2,2024-09-07 09:56:40:769,799261,799261,0,0,40975118,0,4713 10,3,2024-09-07 09:56:40:876,1,630,1,0,669,6867,630,0 11,0,2024-09-07 09:56:41:022,156415,0.4,151764,0.6,317578,0.4,418324,1.75 11,1,2024-09-07 09:56:40:581,1106019,1106019,0,0,519205319444,5441716090,1090058,11658,4303,383,391766,0 11,2,2024-09-07 09:56:41:135,797408,797408,0,0,36107912,0,4698 11,3,2024-09-07 09:56:41:299,1,630,1,0,843,7893,630,0 12,0,2024-09-07 09:56:40:955,157706,0.4,157536,0.5,314635,0.4,418196,1.75 12,1,2024-09-07 09:56:40:969,1105880,1105880,0,0,518596785978,5404501920,1097471,7400,1009,370,391960,0 12,2,2024-09-07 09:56:41:557,794365,794365,0,0,35023986,0,4390 12,3,2024-09-07 09:56:41:064,1,630,0,0,386,7673,630,0 13,0,2024-09-07 09:56:41:361,156553,0.4,156573,0.5,312292,0.3,416460,1.75 13,1,2024-09-07 09:56:41:529,1103244,1103244,0,0,517910036027,5439407860,1092331,8522,2391,382,391803,0 13,2,2024-09-07 09:56:40:598,797065,797065,0,0,31271971,0,3287 13,3,2024-09-07 09:56:41:772,1,630,6,0,522,8102,630,0 14,0,2024-09-07 09:56:40:562,161388,0.4,162413,0.6,322393,0.3,429893,1.75 14,1,2024-09-07 09:56:41:561,1110753,1110753,0,0,520890270998,5386087859,1102272,7352,1129,364,391673,0 14,2,2024-09-07 09:56:40:764,797631,797601,30,0,32653185,0,6104 14,3,2024-09-07 09:56:41:114,1,630,10,0,1168,6736,630,0 15,0,2024-09-07 09:56:41:553,158623,0.4,157700,0.6,315800,0.4,420887,2.00 15,1,2024-09-07 09:56:41:608,1107855,1107855,0,0,520267353636,5413629162,1098776,7166,1913,381,391619,0 15,2,2024-09-07 09:56:41:002,800429,800429,0,0,28502431,0,3622 15,3,2024-09-07 09:56:41:405,1,630,1,0,1126,8153,630,0 16,0,2024-09-07 09:56:40:944,156773,0.6,157411,0.8,314215,0.6,418108,2.00 16,1,2024-09-07 09:56:40:571,1107224,1107224,0,0,519543930209,5427244989,1097759,8019,1446,370,392194,0 16,2,2024-09-07 09:56:41:439,797627,797627,0,0,32252021,0,4719 16,3,2024-09-07 09:56:41:153,1,630,0,0,358,7729,630,0 17,0,2024-09-07 09:56:41:839,160578,0.5,156474,0.7,306617,0.5,416478,2.00 17,1,2024-09-07 09:56:40:593,1105085,1105085,0,0,518357966159,5432742729,1094676,8634,1775,368,392075,0 17,2,2024-09-07 09:56:41:669,797093,797092,1,0,33444319,0,5050 17,3,2024-09-07 09:56:40:580,1,630,2,0,518,8937,630,0 18,0,2024-09-07 09:56:40:944,157267,0.6,158320,0.8,315055,0.6,421342,2.25 18,1,2024-09-07 09:56:41:639,1109697,1109697,0,0,519594152839,5385022429,1102622,5817,1258,367,391725,0 18,2,2024-09-07 09:56:41:757,797847,797847,0,0,29977151,0,3541 18,3,2024-09-07 09:56:40:905,1,630,17,0,1059,5640,630,0 19,0,2024-09-07 09:56:41:542,160651,0.6,161072,0.8,321179,0.7,426391,2.00 19,1,2024-09-07 09:56:40:578,1109613,1109613,0,0,520853978779,5395007434,1101736,6743,1134,365,391777,0 19,2,2024-09-07 09:56:41:753,803058,803058,0,0,28373113,0,3988 19,3,2024-09-07 09:56:41:129,1,630,1,0,524,4785,630,0 20,0,2024-09-07 09:56:41:363,156554,0.5,156397,0.7,312704,0.5,417093,2.00 20,1,2024-09-07 09:56:40:580,1105186,1105186,0,0,519133480052,5436223318,1094376,9299,1511,369,391922,0 20,2,2024-09-07 09:56:40:932,798780,798780,0,0,35247116,0,4321 20,3,2024-09-07 09:56:40:594,1,630,9,0,468,9868,630,0 21,0,2024-09-07 09:56:41:169,155781,0.5,155763,0.6,311588,0.4,413882,2.00 21,1,2024-09-07 09:56:41:554,1103180,1103180,0,0,517451983582,5456397082,1086284,13059,3837,368,392016,0 21,2,2024-09-07 09:56:41:080,787759,787282,477,0,45603467,0,17074 21,3,2024-09-07 09:56:41:409,1,630,1,0,713,8792,630,0 22,0,2024-09-07 09:56:41:727,157457,0.4,158031,0.6,315752,0.4,418808,2.00 22,1,2024-09-07 09:56:41:027,1103713,1103713,0,0,517405717882,5440496709,1085864,14308,3541,381,391822,0 22,2,2024-09-07 09:56:40:760,793842,793816,26,0,33348187,0,6328 22,3,2024-09-07 09:56:41:066,1,630,1,0,228,5351,630,0 23,0,2024-09-07 09:56:41:373,161566,0.5,161363,0.6,322705,0.4,430804,2.00 23,1,2024-09-07 09:56:41:013,1104250,1104250,0,0,518541371470,5457292087,1084758,12914,6578,365,391690,0 23,2,2024-09-07 09:56:41:093,800976,800976,0,0,32161320,0,3773 23,3,2024-09-07 09:56:41:760,1,630,4,0,855,8958,630,0 24,0,2024-09-07 09:56:40:824,157453,0.4,156633,0.5,314814,0.4,418276,1.75 24,1,2024-09-07 09:56:40:584,1104836,1104836,0,0,517180675482,5409684485,1094696,8430,1710,367,392269,0 24,2,2024-09-07 09:56:41:074,798440,798437,3,0,38755861,0,6294 24,3,2024-09-07 09:56:41:686,1,630,14,0,468,7983,630,0 25,0,2024-09-07 09:56:41:400,160424,0.4,156227,0.6,306832,0.4,417602,1.75 25,1,2024-09-07 09:56:40:602,1102962,1102962,0,0,517346367713,5460416023,1084181,15065,3716,369,391928,0 25,2,2024-09-07 09:56:41:628,792473,792473,0,0,39288897,0,3978 25,3,2024-09-07 09:56:41:011,1,630,1,0,532,6696,630,0 26,0,2024-09-07 09:56:41:725,155387,0.4,151813,0.6,318816,0.3,415327,1.75 26,1,2024-09-07 09:56:41:559,1106350,1106350,0,0,518908359963,5427043013,1092009,11808,2533,380,391758,0 26,2,2024-09-07 09:56:40:866,794782,794782,0,0,41838266,0,4689 26,3,2024-09-07 09:56:41:712,1,630,1,0,796,7628,630,0 27,0,2024-09-07 09:56:41:728,161817,0.4,162595,0.6,323331,0.3,431349,2.25 27,1,2024-09-07 09:56:41:687,1107862,1107862,0,0,519839554734,5413194263,1097459,8794,1609,381,391626,0 27,2,2024-09-07 09:56:40:866,795069,795004,65,0,36712467,0,5699 27,3,2024-09-07 09:56:41:016,1,630,7,0,564,5288,630,0 28,0,2024-09-07 09:56:41:389,157410,0.4,157646,0.6,315320,0.3,419665,1.75 28,1,2024-09-07 09:56:40:811,1107895,1107895,0,0,519819653746,5414641654,1098945,7058,1892,382,391904,0 28,2,2024-09-07 09:56:41:765,798901,798901,0,0,31712312,0,2915 28,3,2024-09-07 09:56:41:778,1,630,1,0,502,6242,630,0 29,0,2024-09-07 09:56:41:362,161198,0.4,157083,0.6,308228,0.3,419715,1.75 29,1,2024-09-07 09:56:41:573,1111059,1111059,0,0,521276887968,5387285780,1104695,5586,778,367,391809,0 29,2,2024-09-07 09:56:40:867,797373,797373,0,0,30732776,0,4986 29,3,2024-09-07 09:56:40:970,1,630,1,0,459,6618,630,0 30,0,2024-09-07 09:56:41:465,154495,0.5,150354,0.6,315056,0.4,411500,2.00 30,1,2024-09-07 09:56:40:593,1109763,1109763,0,0,520617364493,5397302321,1102185,6667,911,380,391672,0 30,2,2024-09-07 09:56:41:274,796264,796264,0,0,29228643,0,4192 30,3,2024-09-07 09:56:40:585,1,630,1,0,519,5759,630,0 31,0,2024-09-07 09:56:41:763,159510,0.4,160430,0.6,319735,0.3,427245,1.75 31,1,2024-09-07 09:56:40:568,1113817,1113817,0,0,522118900257,5358029940,1107355,5388,1074,356,391712,0 31,2,2024-09-07 09:56:41:287,795668,795668,0,0,33010008,0,4470 31,3,2024-09-07 09:56:41:711,1,630,13,0,239,5291,630,0 32,0,2024-09-07 09:56:41:415,160161,0.3,161249,0.5,320924,0.3,427170,1.75 32,1,2024-09-07 09:56:40:826,1109592,1109592,0,0,519988663487,5399976179,1103392,5482,718,381,391646,0 32,2,2024-09-07 09:56:40:936,801662,801662,0,0,29191248,0,3922 32,3,2024-09-07 09:56:41:016,1,630,2,0,304,5040,630,0 33,0,2024-09-07 09:56:41:500,157558,0.3,157124,0.4,314422,0.2,418315,1.50 33,1,2024-09-07 09:56:40:581,1110184,1110184,0,0,521260230290,5395246431,1101727,7184,1273,368,391730,0 33,2,2024-09-07 09:56:40:770,799866,799831,35,0,32213396,0,7012 33,3,2024-09-07 09:56:40:899,1,630,0,0,329,5380,630,0 34,0,2024-09-07 09:56:40:937,155989,0.3,160397,0.5,306513,0.2,413934,1.75 34,1,2024-09-07 09:56:41:051,1112117,1112117,0,0,522505605645,5371900958,1109151,2855,111,367,391637,0 34,2,2024-09-07 09:56:40:769,795057,795057,0,0,30590279,0,4562 34,3,2024-09-07 09:56:41:688,1,630,1,0,541,5546,630,0 35,0,2024-09-07 09:56:40:862,157151,0.3,157937,0.5,316650,0.2,421234,1.75 35,1,2024-09-07 09:56:41:068,1108228,1108228,0,0,520499080152,5398537832,1100114,6591,1523,382,391769,0 35,2,2024-09-07 09:56:41:585,795241,795241,0,0,34101568,0,4055 35,3,2024-09-07 09:56:40:912,1,630,8,0,466,5789,630,0 36,0,2024-09-07 09:56:41:525,162887,0.5,163093,0.6,325569,0.4,433389,2.00 36,1,2024-09-07 09:56:40:590,1107528,1107528,0,0,519832831082,5423076644,1093942,11345,2241,366,391759,0 36,2,2024-09-07 09:56:41:750,801501,801501,0,0,35178476,0,3875 36,3,2024-09-07 09:56:40:870,1,630,0,0,556,8373,630,0 37,0,2024-09-07 09:56:41:397,155366,0.5,155647,0.7,311509,0.5,415556,2.25 37,1,2024-09-07 09:56:40:587,1106500,1106493,0,7,518860645555,5413680977,1093828,9750,2915,365,391770,0 37,2,2024-09-07 09:56:41:142,796731,796716,15,0,35073895,0,5815 37,3,2024-09-07 09:56:41:773,1,630,1,0,888,8246,630,0 38,0,2024-09-07 09:56:41:458,154818,0.4,150124,0.6,313885,0.4,411159,2.00 38,1,2024-09-07 09:56:41:615,1106818,1106818,0,0,519908249602,5432923766,1091466,12351,3001,368,391821,0 38,2,2024-09-07 09:56:40:763,793456,793409,47,0,35065430,0,6710 38,3,2024-09-07 09:56:41:001,1,630,3,0,689,7464,630,0 39,0,2024-09-07 09:56:41:758,160445,0.5,156793,0.7,305876,0.4,417966,2.00 39,1,2024-09-07 09:56:40:718,1106082,1106082,0,0,519210426687,5436977601,1088632,13735,3715,365,391865,0 39,2,2024-09-07 09:56:41:425,795074,795074,0,0,33381467,0,3391 39,3,2024-09-07 09:56:40:713,1,630,1,0,525,6956,630,0 40,0,2024-09-07 09:56:41:502,160443,0.8,161310,0.9,321926,0.8,429517,2.50 40,1,2024-09-07 09:56:40:583,1106737,1106737,0,0,518714756079,5428216131,1091124,12543,3070,366,391668,0 40,2,2024-09-07 09:56:41:302,796756,796749,7,0,39667514,0,5347 40,3,2024-09-07 09:56:41:142,1,630,2,0,1028,9010,630,0 41,0,2024-09-07 09:56:41:037,155673,1.3,159317,1.1,303853,1.8,413013,3.00 41,1,2024-09-07 09:56:40:780,1105781,1105781,0,0,519130484446,5425436065,1092000,11621,2160,369,391878,0 41,2,2024-09-07 09:56:40:759,794946,794945,1,0,37918391,0,5408 41,3,2024-09-07 09:56:41:679,1,630,1,0,366,6742,630,0 42,0,2024-09-07 09:56:41:475,155604,0.9,155247,1.0,310663,1.1,412094,2.75 42,1,2024-09-07 09:56:41:441,1103572,1103572,0,0,517261032061,5430343873,1086984,13655,2933,380,391675,0 42,2,2024-09-07 09:56:41:133,793990,793989,1,0,38344130,0,5513 42,3,2024-09-07 09:56:41:012,1,630,2,0,892,6043,630,0 43,0,2024-09-07 09:56:40:928,154148,0.7,150026,0.9,314352,0.7,411378,2.25 43,1,2024-09-07 09:56:40:583,1107092,1107092,0,0,519000267798,5417772979,1092350,12170,2572,366,391696,0 43,2,2024-09-07 09:56:41:741,795097,795097,0,0,36080305,0,4723 43,3,2024-09-07 09:56:41:749,1,630,9,0,571,8899,630,0 44,0,2024-09-07 09:56:40:861,161422,0.4,161586,0.6,322770,0.3,430358,1.75 44,1,2024-09-07 09:56:40:568,1110212,1110212,0,0,519426036546,5365452520,1100836,7434,1942,356,391809,0 44,2,2024-09-07 09:56:41:271,795587,795587,0,0,29575772,0,4344 44,3,2024-09-07 09:56:41:092,1,630,4,0,1097,7719,630,0 45,0,2024-09-07 09:56:41:766,156629,0.5,153061,0.7,321248,0.4,421000,2.00 45,1,2024-09-07 09:56:41:033,1108875,1108875,0,0,520702391976,5406457537,1100619,7353,903,382,391917,0 45,2,2024-09-07 09:56:41:269,799940,799940,0,0,29860006,0,3596 45,3,2024-09-07 09:56:40:942,1,630,15,0,531,5894,630,0 46,0,2024-09-07 09:56:40:958,156028,0.5,155584,0.7,312118,0.5,414760,2.00 46,1,2024-09-07 09:56:40:614,1111256,1111256,0,0,521165773926,5383377295,1103759,6509,988,366,391709,0 46,2,2024-09-07 09:56:40:594,799996,799996,0,0,30529281,0,4443 46,3,2024-09-07 09:56:41:132,1,630,13,0,908,7331,630,0 47,0,2024-09-07 09:56:41:101,156252,0.4,155940,0.6,313401,0.3,414758,1.75 47,1,2024-09-07 09:56:40:576,1112127,1112127,0,0,521323598526,5381191003,1106022,5242,863,364,391641,0 47,2,2024-09-07 09:56:40:909,797553,797553,0,0,29406682,0,4477 47,3,2024-09-07 09:56:41:114,1,630,8,0,600,6752,630,0 48,0,2024-09-07 09:56:41:500,159012,0.3,159320,0.4,317960,0.2,423107,1.50 48,1,2024-09-07 09:56:41:046,1108784,1108784,0,0,519752620634,5393830785,1102674,5532,578,381,391710,0 48,2,2024-09-07 09:56:40:707,796172,796172,0,0,28102602,0,3524 48,3,2024-09-07 09:56:40:757,1,630,5,0,339,5449,630,0 49,0,2024-09-07 09:56:41:716,165925,0.3,162460,0.5,316119,0.3,430854,1.75 49,1,2024-09-07 09:56:41:030,1108239,1108239,0,0,519656772132,5401887188,1100962,5581,1696,382,391809,0 49,2,2024-09-07 09:56:41:817,801798,801798,0,0,30402329,0,4426 49,3,2024-09-07 09:56:41:424,1,630,1,0,992,7323,630,0 50,0,2024-09-07 09:56:41:505,157195,0.3,155690,0.5,313578,0.2,417104,1.75 50,1,2024-09-07 09:56:41:018,1110948,1110948,0,0,521408685914,5386262636,1104419,5860,669,368,391691,0 50,2,2024-09-07 09:56:41:076,798204,798204,0,0,28104293,0,4490 50,3,2024-09-07 09:56:41:293,1,630,2,0,617,6286,630,0 51,0,2024-09-07 09:56:41:685,159877,0.3,156410,0.5,304606,0.2,415609,1.75 51,1,2024-09-07 09:56:41:683,1111294,1111294,0,0,522481627074,5383649366,1105629,4584,1081,365,391706,0 51,2,2024-09-07 09:56:41:338,793994,793994,0,0,27508757,0,3337 51,3,2024-09-07 09:56:41:035,1,630,9,0,678,4465,630,0 52,0,2024-09-07 09:56:41:436,158119,0.5,157949,0.6,315961,0.4,419938,2.00 52,1,2024-09-07 09:56:40:587,1106641,1106641,0,0,519428080408,5431252566,1091489,12821,2331,368,391805,0 52,2,2024-09-07 09:56:41:760,792067,792029,38,0,37343462,0,6742 52,3,2024-09-07 09:56:40:673,1,630,4,0,1782,7151,630,0 53,0,2024-09-07 09:56:41:743,161363,0.6,156640,0.7,327752,0.6,429898,2.25 53,1,2024-09-07 09:56:40:787,1104786,1104786,0,0,518242985552,5432767184,1086590,13796,4400,367,391968,0 53,2,2024-09-07 09:56:41:306,801096,801095,1,0,33468298,0,5455 53,3,2024-09-07 09:56:40:706,1,630,2,0,308,5731,630,0 54,0,2024-09-07 09:56:41:623,154761,0.6,155004,0.8,308867,0.5,412820,2.25 54,1,2024-09-07 09:56:40:592,1108557,1108557,0,0,519778554499,5401877083,1097434,9451,1672,366,391810,0 54,2,2024-09-07 09:56:40:863,799535,799503,32,0,37326156,0,6397 54,3,2024-09-07 09:56:40:763,1,630,1,0,676,7932,630,0 55,0,2024-09-07 09:56:41:780,150619,0.6,155439,0.8,315042,0.6,410224,2.50 55,1,2024-09-07 09:56:40:768,1108758,1108758,0,0,519839065998,5401745550,1097888,9481,1389,365,391731,0 55,2,2024-09-07 09:56:40:733,793198,793142,56,0,34475329,0,7239 55,3,2024-09-07 09:56:40:680,1,630,2,0,304,5759,630,0 56,0,2024-09-07 09:56:41:549,159089,1.1,149925,1.1,309057,1.4,413896,2.75 56,1,2024-09-07 09:56:40:604,1101917,1101917,0,0,517500240586,5467814895,1083913,14314,3690,381,391867,0 56,2,2024-09-07 09:56:41:301,793836,793714,122,0,36881733,0,7432 56,3,2024-09-07 09:56:41:063,1,630,1,0,705,7172,630,0 57,0,2024-09-07 09:56:40:949,160180,1.3,159887,1.1,320305,1.7,427839,3.00 57,1,2024-09-07 09:56:40:993,1104398,1104398,0,0,517978938775,5432052323,1089915,11991,2492,366,392032,0 57,2,2024-09-07 09:56:41:324,797505,797505,0,0,38744517,0,4804 57,3,2024-09-07 09:56:41:739,1,630,2,0,455,7156,630,0 58,0,2024-09-07 09:56:40:560,154951,1.0,150558,1.0,315040,1.2,411999,2.75 58,1,2024-09-07 09:56:40:604,1105748,1105745,0,3,519212754000,5437524368,1089588,12253,3904,367,391726,3 58,2,2024-09-07 09:56:41:076,798888,798888,0,0,36202139,0,3483 58,3,2024-09-07 09:56:41:071,1,630,1,0,1043,6950,630,0 59,0,2024-09-07 09:56:41:756,155361,0.9,154867,1.0,309535,1.0,410766,3.00 59,1,2024-09-07 09:56:40:822,1105098,1105098,0,0,519033772152,5448984351,1087240,14189,3669,369,391653,0 59,2,2024-09-07 09:56:40:585,797433,797433,0,0,34325441,0,3727 59,3,2024-09-07 09:56:41:746,1,630,49,0,1015,7565,630,0 60,0,2024-09-07 09:56:41:727,155281,0.5,155284,0.7,310938,0.4,413834,1.75 60,1,2024-09-07 09:56:40:781,1109469,1109469,0,0,520656158639,5406884387,1101508,6872,1089,370,392031,0 60,2,2024-09-07 09:56:41:150,795492,795492,0,0,32346407,0,3811 60,3,2024-09-07 09:56:41:258,1,630,1,0,409,7133,630,0 61,0,2024-09-07 09:56:41:497,159695,0.6,160369,0.7,319003,0.5,426547,2.00 61,1,2024-09-07 09:56:40:777,1106950,1106950,0,0,518509524667,5423387572,1095809,9459,1682,382,392127,0 61,2,2024-09-07 09:56:41:117,796483,796416,67,0,33044023,0,6411 61,3,2024-09-07 09:56:41:701,1,630,1,0,607,7938,630,0 62,0,2024-09-07 09:56:41:718,160659,0.6,164847,0.7,314712,0.6,427092,2.00 62,1,2024-09-07 09:56:41:119,1113182,1113176,0,6,522211029360,5380071389,1108203,4674,299,365,391975,6 62,2,2024-09-07 09:56:41:645,798061,798060,1,0,33048151,0,5555 62,3,2024-09-07 09:56:41:143,1,630,53,0,482,4990,630,0 63,0,2024-09-07 09:56:41:451,157591,0.4,157246,0.6,315126,0.3,418645,1.75 63,1,2024-09-07 09:56:40:811,1109451,1109445,0,6,521016400922,5406142949,1102594,5994,857,381,391800,6 63,2,2024-09-07 09:56:40:773,799201,799201,0,0,31336552,0,4369 63,3,2024-09-07 09:56:41:740,1,630,8,0,667,6300,630,0 64,0,2024-09-07 09:56:41:519,155095,0.5,154972,0.6,309566,0.4,412544,2.00 64,1,2024-09-07 09:56:40:755,1107720,1107720,0,0,519669233031,5408521612,1098352,7389,1979,370,391794,0 64,2,2024-09-07 09:56:41:141,797829,797810,19,0,30404080,0,6121 64,3,2024-09-07 09:56:41:140,1,630,1,0,651,7051,630,0 65,0,2024-09-07 09:56:41:690,156742,0.6,156983,0.7,313818,0.6,418806,2.00 65,1,2024-09-07 09:56:40:879,1106577,1106577,0,0,518578119094,5416176058,1099044,6658,875,381,391901,0 65,2,2024-09-07 09:56:41:698,794280,794280,0,0,36814256,0,3367 65,3,2024-09-07 09:56:41:686,1,630,4,0,782,7404,630,0 66,0,2024-09-07 09:56:41:784,162047,0.5,161618,0.7,323368,0.5,430139,2.00 66,1,2024-09-07 09:56:41:314,1108566,1108566,0,0,519827962035,5405022475,1102056,5785,725,380,391743,0 66,2,2024-09-07 09:56:41:133,803544,803541,3,0,32578716,0,5455 66,3,2024-09-07 09:56:41:081,1,630,1,0,291,5541,630,0 67,0,2024-09-07 09:56:41:417,156186,0.5,155754,0.7,312469,0.5,416229,2.00 67,1,2024-09-07 09:56:40:775,1108759,1108758,0,1,520548444200,5415284272,1101355,6458,945,380,391787,1 67,2,2024-09-07 09:56:40:582,802190,802175,15,0,32368018,0,6205 67,3,2024-09-07 09:56:41:750,1,630,2,0,595,6369,630,0 68,0,2024-09-07 09:56:40:612,155689,0.6,155410,0.7,309726,0.5,414344,2.00 68,1,2024-09-07 09:56:40:584,1104477,1104477,0,0,518233460606,5442698814,1090654,10290,3533,381,391953,0 68,2,2024-09-07 09:56:41:057,789945,789845,100,0,40289498,0,8578 68,3,2024-09-07 09:56:40:728,1,630,1,0,417,7841,630,0 69,0,2024-09-07 09:56:41:784,156291,0.6,157075,0.8,313085,0.6,416683,2.25 69,1,2024-09-07 09:56:41:020,1103394,1103394,0,0,518209765591,5455293338,1089517,11041,2836,383,391994,0 69,2,2024-09-07 09:56:41:741,792065,792036,29,0,43097270,0,6912 69,3,2024-09-07 09:56:40:770,1,630,1,0,698,8781,630,0 70,0,2024-09-07 09:56:41:534,160251,0.7,161009,0.9,323035,0.6,428322,2.25 70,1,2024-09-07 09:56:40:815,1109707,1109707,0,0,521003351419,5401445842,1101780,7118,809,366,391725,0 70,2,2024-09-07 09:56:41:325,799448,799448,0,0,35507667,0,4323 70,3,2024-09-07 09:56:40:748,1,630,0,0,854,6841,630,0 71,0,2024-09-07 09:56:41:363,155421,0.9,154995,1.0,311241,1.1,415231,2.50 71,1,2024-09-07 09:56:41:599,1107360,1107360,0,0,519683260099,5418416032,1094352,11387,1621,367,391738,0 71,2,2024-09-07 09:56:41:068,797840,797840,0,0,35632108,0,4352 71,3,2024-09-07 09:56:41:760,1,630,1,0,644,6876,630,0 72,0,2024-09-07 09:56:41:039,161638,0.5,157820,0.7,308281,0.5,419223,2.00 72,1,2024-09-07 09:56:41:039,1105735,1105735,0,0,518282619748,5431006722,1090414,12800,2521,369,391819,0 72,2,2024-09-07 09:56:41:767,794079,794079,0,0,37226838,0,3983 72,3,2024-09-07 09:56:41:765,1,630,2,0,564,9002,630,0 73,0,2024-09-07 09:56:41:100,151603,0.4,155523,0.6,317834,0.4,413993,2.00 73,1,2024-09-07 09:56:40:769,1107411,1107411,0,0,519625650190,5397685886,1098994,7434,983,367,391858,0 73,2,2024-09-07 09:56:41:742,794500,794499,1,0,38897420,0,5027 73,3,2024-09-07 09:56:40:986,1,630,7,0,1091,8541,630,0 74,0,2024-09-07 09:56:41:370,162387,0.4,166293,0.6,317221,0.4,430801,2.00 74,1,2024-09-07 09:56:40:635,1106219,1106219,0,0,518972445993,5414176074,1094306,9795,2118,381,391762,0 74,2,2024-09-07 09:56:41:001,795808,795808,0,0,33937571,0,4253 74,3,2024-09-07 09:56:41:446,1,630,6,0,522,7531,630,0 75,0,2024-09-07 09:56:41:767,158739,0.5,157814,0.7,316477,0.5,422387,2.25 75,1,2024-09-07 09:56:41:584,1107022,1107022,0,0,519776151461,5412212389,1098390,7762,870,380,391739,0 75,2,2024-09-07 09:56:41:357,797875,797875,0,0,41098824,0,4766 75,3,2024-09-07 09:56:41:067,1,630,2,0,918,8296,630,0 76,0,2024-09-07 09:56:40:606,156405,0.6,155809,0.7,311564,0.5,416515,2.25 76,1,2024-09-07 09:56:40:809,1107221,1107221,0,0,519042588297,5408496047,1099881,6348,992,382,391790,0 76,2,2024-09-07 09:56:41:062,800567,800564,3,0,33408397,0,5265 76,3,2024-09-07 09:56:41:142,1,630,1,0,227,5760,630,0 77,0,2024-09-07 09:56:41:698,155380,0.5,155898,0.7,311698,0.5,414032,2.00 77,1,2024-09-07 09:56:40:834,1107237,1107237,0,0,519579974441,5415828063,1099241,7116,880,381,391869,0 77,2,2024-09-07 09:56:41:293,793615,793615,0,0,32395236,0,3890 77,3,2024-09-07 09:56:41:098,1,630,1,0,401,7018,630,0 78,0,2024-09-07 09:56:41:716,159503,0.4,158713,0.6,318280,0.3,421753,2.00 78,1,2024-09-07 09:56:40:625,1107477,1107477,0,0,518940613191,5408282539,1094629,9931,2917,367,391670,0 78,2,2024-09-07 09:56:41:410,796647,796634,13,0,30505672,0,8313 78,3,2024-09-07 09:56:41:133,1,630,1,0,311,5140,630,0 79,0,2024-09-07 09:56:41:358,155826,0.4,159554,0.6,327176,0.3,425486,2.00 79,1,2024-09-07 09:56:40:571,1111598,1111598,0,0,521020645831,5389027679,1103747,6491,1360,367,391682,0 79,2,2024-09-07 09:56:41:067,801307,801307,0,0,29553754,0,4195 79,3,2024-09-07 09:56:40:750,1,630,6,0,418,7215,630,0 80,0,2024-09-07 09:56:41:085,156514,0.5,160825,0.7,307304,0.5,416052,2.00 80,1,2024-09-07 09:56:41:626,1107104,1107104,0,0,519874756280,5409402329,1099931,6717,456,368,392269,0 80,2,2024-09-07 09:56:41:096,801681,801681,0,0,30171597,0,4433 80,3,2024-09-07 09:56:40:584,1,630,158,0,681,7646,630,0 81,0,2024-09-07 09:56:41:535,155621,0.5,159327,0.7,304135,0.5,412768,2.00 81,1,2024-09-07 09:56:41:652,1106557,1106557,0,0,518749113559,5416536497,1098383,7406,768,382,391885,0 81,2,2024-09-07 09:56:41:126,793389,793326,63,0,33293373,0,5932 81,3,2024-09-07 09:56:41:118,1,630,13,0,719,7087,630,0 82,0,2024-09-07 09:56:41:539,157488,0.4,157644,0.6,316050,0.4,418936,2.00 82,1,2024-09-07 09:56:40:589,1108385,1108381,0,4,520721500029,5409228691,1102780,4776,825,381,391768,4 82,2,2024-09-07 09:56:41:703,797419,797419,0,0,28381077,0,4484 82,3,2024-09-07 09:56:41:760,1,630,12,0,363,5797,630,0 83,0,2024-09-07 09:56:41:527,161984,0.5,161826,0.7,323321,0.5,430203,2.00 83,1,2024-09-07 09:56:40:551,1107348,1107348,0,0,518951615580,5406268685,1099751,6952,645,382,391709,0 83,2,2024-09-07 09:56:40:772,801170,801145,25,0,30685507,0,5612 83,3,2024-09-07 09:56:40:755,1,630,2,0,1260,7318,630,0 84,0,2024-09-07 09:56:41:780,155029,0.8,155102,0.9,310082,0.8,414439,2.25 84,1,2024-09-07 09:56:41:054,1105462,1105462,0,0,518615530574,5419488519,1093190,10599,1673,367,391967,0 84,2,2024-09-07 09:56:40:576,797492,797082,410,0,44272096,0,17037 84,3,2024-09-07 09:56:41:143,1,630,90,0,908,8311,630,0 85,0,2024-09-07 09:56:41:024,149930,0.6,149908,0.8,318322,0.6,410728,2.25 85,1,2024-09-07 09:56:40:559,1102098,1102098,0,0,517409216227,5457632450,1085752,13543,2803,381,392092,0 85,2,2024-09-07 09:56:40:863,793691,793691,0,0,37279344,0,4255 85,3,2024-09-07 09:56:40:685,1,630,0,0,789,7135,630,0 86,0,2024-09-07 09:56:40:891,155759,0.6,160137,0.8,306364,0.6,414347,2.25 86,1,2024-09-07 09:56:40:824,1105618,1105618,0,0,519200088735,5439699780,1091665,11266,2687,366,392169,0 86,2,2024-09-07 09:56:40:860,794227,794226,1,0,39661177,0,5004 86,3,2024-09-07 09:56:40:586,1,630,1,0,308,8734,630,0 87,0,2024-09-07 09:56:41:305,162006,0.7,161245,0.8,322753,0.8,431412,2.25 87,1,2024-09-07 09:56:40:550,1104566,1104566,0,0,518233985855,5424253254,1091170,11597,1799,366,392076,0 87,2,2024-09-07 09:56:41:074,797559,797553,6,0,36252378,0,6323 87,3,2024-09-07 09:56:41:807,1,630,12,0,473,8815,630,0 88,0,2024-09-07 09:56:41:476,157287,0.4,157836,0.6,315316,0.4,419534,1.75 88,1,2024-09-07 09:56:40:575,1104108,1104108,0,0,518133220211,5426913155,1088702,12358,3048,365,392084,0 88,2,2024-09-07 09:56:40:688,797673,797673,0,0,38935609,0,4465 88,3,2024-09-07 09:56:41:277,1,630,4,0,1080,9464,630,0 89,0,2024-09-07 09:56:41:815,161187,0.4,156388,0.6,308495,0.4,419384,1.75 89,1,2024-09-07 09:56:40:554,1103553,1103553,0,0,518239542312,5445521094,1090552,11053,1948,382,391866,0 89,2,2024-09-07 09:56:41:136,796795,796795,0,0,36685525,0,3173 89,3,2024-09-07 09:56:41:811,1,630,1,0,468,10202,630,0 90,0,2024-09-07 09:56:41:694,150659,0.4,154788,0.6,315746,0.4,411737,2.00 90,1,2024-09-07 09:56:40:592,1105677,1105677,0,0,517970297247,5420975006,1095567,9212,898,380,391825,0 90,2,2024-09-07 09:56:41:418,791119,791114,5,0,40145920,0,6370 90,3,2024-09-07 09:56:40:943,1,630,4,0,322,7446,630,0 91,0,2024-09-07 09:56:40:991,160209,0.4,155489,0.6,325372,0.4,427129,1.75 91,1,2024-09-07 09:56:40:576,1103206,1103206,0,0,517603783307,5449748382,1087328,12730,3148,381,392047,0 91,2,2024-09-07 09:56:41:349,795856,795856,0,0,35352729,0,4713 91,3,2024-09-07 09:56:40:607,1,630,3,0,216,5519,630,0 92,0,2024-09-07 09:56:41:455,161803,0.4,165440,0.6,315359,0.4,427343,1.75 92,1,2024-09-07 09:56:40:584,1106318,1106318,0,0,519858294696,5425874524,1097935,7095,1288,381,392136,0 92,2,2024-09-07 09:56:41:354,799918,799918,0,0,31270678,0,3259 92,3,2024-09-07 09:56:41:020,1,630,1,0,167,5294,630,0 93,0,2024-09-07 09:56:40:972,158041,0.4,161864,0.6,309211,0.3,418607,1.75 93,1,2024-09-07 09:56:40:813,1107449,1107449,0,0,519563713414,5418682282,1095714,9798,1937,365,392048,0 93,2,2024-09-07 09:56:40:934,798819,798819,0,0,36992130,0,4913 93,3,2024-09-07 09:56:41:416,1,630,1,0,375,6375,630,0 94,0,2024-09-07 09:56:41:626,155083,0.4,156348,0.5,312365,0.3,414845,1.75 94,1,2024-09-07 09:56:40:568,1107697,1107697,0,0,519838523208,5417303965,1100728,6533,436,381,391850,0 94,2,2024-09-07 09:56:40:765,793944,793916,28,0,31712861,0,6179 94,3,2024-09-07 09:56:41:708,1,630,5,0,576,7681,630,0 95,0,2024-09-07 09:56:41:366,158014,0.3,157948,0.5,316165,0.3,421364,1.75 95,1,2024-09-07 09:56:40:852,1108334,1108334,0,0,520484741527,5405002240,1099874,7708,752,365,391852,0 95,2,2024-09-07 09:56:41:020,794319,794319,0,0,31442528,0,3308 95,3,2024-09-07 09:56:41:713,1,630,1,0,718,9718,630,0 96,0,2024-09-07 09:56:41:030,162577,0.3,162838,0.5,325926,0.3,432848,1.75 96,1,2024-09-07 09:56:41:666,1106540,1106540,0,0,519078927065,5410716375,1099115,6149,1276,384,391964,0 96,2,2024-09-07 09:56:41:268,802306,802306,0,0,32639792,0,4180 96,3,2024-09-07 09:56:41:140,1,630,4,0,411,7081,630,0 97,0,2024-09-07 09:56:41:354,156189,0.3,156160,0.5,313028,0.3,415975,1.50 97,1,2024-09-07 09:56:40:771,1108597,1108597,0,0,520890594920,5401416752,1101641,5913,1043,367,392140,0 97,2,2024-09-07 09:56:40:624,800432,800432,0,0,32080736,0,4046 97,3,2024-09-07 09:56:40:591,1,630,2,0,242,6921,630,0 98,0,2024-09-07 09:56:41:735,155233,0.3,155334,0.4,311830,0.2,414670,1.50 98,1,2024-09-07 09:56:40:580,1107490,1107490,0,0,519801602303,5411444521,1100969,5681,840,381,391997,0 98,2,2024-09-07 09:56:40:778,793501,793501,0,0,30723823,0,4336 98,3,2024-09-07 09:56:40:712,1,630,9,0,840,9290,630,0 99,0,2024-09-07 09:56:41:474,156924,0.3,157743,0.5,314091,0.3,419414,1.75 99,1,2024-09-07 09:56:41:743,1108527,1108527,0,0,520160862673,5409204319,1102138,5444,945,380,392069,0 99,2,2024-09-07 09:56:41:424,794957,794957,0,0,38329920,0,4276 99,3,2024-09-07 09:56:40:585,1,630,5,0,1124,7955,630,0 100,0,2024-09-07 09:56:41:500,161493,0.7,162006,0.8,323794,0.7,431913,2.25 100,1,2024-09-07 09:56:40:549,1101741,1101741,0,0,517140061184,5461320184,1085663,12758,3320,378,391989,0 100,2,2024-09-07 09:56:41:816,795669,795280,389,0,41304761,0,16909 100,3,2024-09-07 09:56:41:736,1,630,1,0,627,10315,630,0 101,0,2024-09-07 09:56:41:698,159931,1.1,156089,1.0,305319,1.0,417025,2.25 101,1,2024-09-07 09:56:40:612,1104221,1104221,0,0,518042047231,5438889708,1090012,11680,2529,368,391847,0 101,2,2024-09-07 09:56:41:806,794179,794179,0,0,41258257,0,4871 101,3,2024-09-07 09:56:40:948,1,630,1,0,1250,9237,630,0 102,0,2024-09-07 09:56:40:975,152219,0.6,156829,0.8,318642,0.6,416634,2.25 102,1,2024-09-07 09:56:41:143,1103753,1103753,0,0,517913367471,5435627554,1089572,11980,2201,369,391984,0 102,2,2024-09-07 09:56:41:739,796291,796237,54,0,35239292,0,6768 102,3,2024-09-07 09:56:41:624,1,630,1,0,466,7030,630,0 103,0,2024-09-07 09:56:41:617,160709,0.5,160737,0.7,302822,0.5,417248,2.00 103,1,2024-09-07 09:56:41:634,1102899,1102899,0,0,517448365519,5460157833,1085795,13499,3605,381,392077,0 103,2,2024-09-07 09:56:40:585,792660,792660,0,0,38140997,0,3766 103,3,2024-09-07 09:56:40:787,1,630,8,0,916,7280,630,0 104,0,2024-09-07 09:56:41:031,160302,0.7,160448,0.9,320231,0.6,428568,2.25 104,1,2024-09-07 09:56:41:599,1105389,1105389,0,0,518354010141,5440725817,1089838,12706,2845,365,392168,0 104,2,2024-09-07 09:56:41:691,794185,794185,0,0,37782340,0,4161 104,3,2024-09-07 09:56:41:419,1,630,37,0,1245,10905,630,0 105,0,2024-09-07 09:56:41:037,156602,0.9,152680,1.0,320189,1.0,420308,2.50 105,1,2024-09-07 09:56:40:565,1106365,1106365,0,0,519135672893,5433694410,1092780,11640,1945,364,392009,0 105,2,2024-09-07 09:56:41:325,798027,798027,0,0,38067153,0,4360 105,3,2024-09-07 09:56:41:320,1,630,14,0,573,9535,630,0 106,0,2024-09-07 09:56:40:952,151491,0.9,155203,1.0,317835,1.1,414875,2.50 106,1,2024-09-07 09:56:41:759,1105132,1105132,0,0,517545899742,5426636818,1090445,12791,1896,368,391914,0 106,2,2024-09-07 09:56:40:768,797103,797103,0,0,35708335,0,3331 106,3,2024-09-07 09:56:40:683,1,630,9,0,1224,8525,630,0 107,0,2024-09-07 09:56:41:097,155560,0.9,155594,0.9,311305,1.0,414674,2.25 107,1,2024-09-07 09:56:40:594,1103185,1103185,0,0,517083356570,5459529519,1085773,15276,2136,381,392234,0 107,2,2024-09-07 09:56:41:307,790809,790808,1,0,38821557,0,5024 107,3,2024-09-07 09:56:41:760,1,630,9,0,733,9476,630,0 108,0,2024-09-07 09:56:41:812,158482,0.4,159085,0.6,317153,0.4,423152,1.75 108,1,2024-09-07 09:56:41:351,1106462,1106462,0,0,519149327898,5410494571,1097744,7720,998,367,391894,0 108,2,2024-09-07 09:56:41:776,795699,795699,0,0,33488177,0,4246 108,3,2024-09-07 09:56:41:341,1,630,8,0,749,10981,630,0 109,0,2024-09-07 09:56:41:760,162201,0.4,160808,0.6,322507,0.3,430054,1.75 109,1,2024-09-07 09:56:40:585,1104180,1104180,0,0,518342715829,5433134206,1094679,8221,1280,382,392132,0 109,2,2024-09-07 09:56:40:933,798879,798879,0,0,34489291,0,3617 109,3,2024-09-07 09:56:41:140,1,630,2,0,630,7453,630,0 110,0,2024-09-07 09:56:41:793,156452,0.4,152096,0.6,318534,0.4,417940,1.75 110,1,2024-09-07 09:56:41:648,1108652,1108652,0,0,519904880712,5393215584,1100601,6122,1929,368,392045,0 110,2,2024-09-07 09:56:41:305,799998,799998,0,0,31864594,0,4067 110,3,2024-09-07 09:56:40:693,1,630,1,0,722,8240,630,0 111,0,2024-09-07 09:56:41:422,156412,0.4,155695,0.5,311114,0.3,415214,1.75 111,1,2024-09-07 09:56:41:003,1109883,1109883,0,0,521272204021,5402865466,1104244,5258,381,380,391690,0 111,2,2024-09-07 09:56:41:117,793908,793908,0,0,32317384,0,4823 111,3,2024-09-07 09:56:40:921,1,630,3,0,379,6685,630,0 112,0,2024-09-07 09:56:40:921,158263,0.3,157932,0.4,316476,0.2,420210,1.50 112,1,2024-09-07 09:56:40:831,1108457,1108457,0,0,519564554939,5392532403,1101247,5985,1225,380,391624,0 112,2,2024-09-07 09:56:41:133,795336,795335,1,0,30908249,0,5036 112,3,2024-09-07 09:56:40:597,1,630,1,0,282,5859,630,0 113,0,2024-09-07 09:56:40:863,161942,0.3,161982,0.5,324498,0.2,432384,1.50 113,1,2024-09-07 09:56:41:684,1110669,1110669,0,0,521727887947,5380144570,1104418,5303,948,365,391664,0 113,2,2024-09-07 09:56:41:310,803123,803123,0,0,29431280,0,3813 113,3,2024-09-07 09:56:40:685,1,630,1,0,510,6643,630,0 114,0,2024-09-07 09:56:40:894,156668,0.3,157721,0.5,314459,0.2,419862,1.75 114,1,2024-09-07 09:56:40:724,1108814,1108814,0,0,520081679180,5397054243,1100870,6197,1747,381,391565,0 114,2,2024-09-07 09:56:40:873,801273,801272,1,0,30281805,0,5069 114,3,2024-09-07 09:56:41:289,1,630,1,0,415,5366,630,0 115,0,2024-09-07 09:56:40:571,156755,0.3,157348,0.4,313260,0.2,416807,1.50 115,1,2024-09-07 09:56:40:581,1109282,1109282,0,0,520590884588,5404214174,1101019,6746,1517,382,391757,0 115,2,2024-09-07 09:56:41:125,796215,796215,0,0,30526754,0,4382 115,3,2024-09-07 09:56:41:009,1,630,1,0,167,3781,630,0 116,0,2024-09-07 09:56:41:779,155769,0.6,155627,0.8,312023,0.6,416636,2.00 116,1,2024-09-07 09:56:40:809,1102606,1102606,0,0,518440036548,5458102549,1089939,9575,3092,380,392089,0 116,2,2024-09-07 09:56:41:767,795024,795024,0,0,37968536,0,4475 116,3,2024-09-07 09:56:40:922,1,630,1,0,448,7885,630,0 117,0,2024-09-07 09:56:41:016,162099,0.6,161486,0.8,323511,0.6,432735,2.00 117,1,2024-09-07 09:56:41:581,1104989,1104989,0,0,517601676378,5415987592,1093192,10272,1525,369,392429,0 117,2,2024-09-07 09:56:41:118,799556,799556,0,0,34368089,0,4303 117,3,2024-09-07 09:56:41:061,1,630,14,0,490,7814,630,0 118,0,2024-09-07 09:56:41:774,152560,0.5,156725,0.7,319840,0.5,418102,2.00 118,1,2024-09-07 09:56:40:590,1104149,1104149,0,0,517043597985,5431092783,1088293,12231,3625,366,392054,0 118,2,2024-09-07 09:56:41:585,797272,797272,0,0,36534332,0,2842 118,3,2024-09-07 09:56:41:773,1,630,34,0,289,7280,630,0 119,0,2024-09-07 09:56:41:347,156476,0.8,157079,0.8,313486,0.8,417712,2.25 119,1,2024-09-07 09:56:40:557,1105033,1105033,0,0,518498931856,5433520230,1090312,12208,2513,367,391857,0 119,2,2024-09-07 09:56:41:260,798125,798125,0,0,34463426,0,4309 119,3,2024-09-07 09:56:41:330,1,630,2,0,1358,11484,630,0 120,0,2024-09-07 09:56:41:581,154470,0.6,154281,0.8,310074,0.6,412952,2.25 120,1,2024-09-07 09:56:40:862,1105973,1105973,0,0,518234831758,5430976416,1094239,10591,1143,367,392144,0 120,2,2024-09-07 09:56:40:774,793639,793636,3,0,40953883,0,5363 120,3,2024-09-07 09:56:41:295,1,630,1,0,279,7527,630,0 121,0,2024-09-07 09:56:41:693,159964,0.9,159571,1.0,319203,1.2,425948,2.25 121,1,2024-09-07 09:56:41:664,1105237,1105237,0,0,518310050433,5419647891,1093928,9752,1557,366,391840,0 121,2,2024-09-07 09:56:41:126,795182,795182,0,0,37394365,0,4157 121,3,2024-09-07 09:56:40:729,1,630,3,0,387,8167,630,0 122,0,2024-09-07 09:56:41:771,159694,0.8,155242,0.9,325162,0.8,427164,2.00 122,1,2024-09-07 09:56:40:862,1104381,1104381,0,0,518046939130,5433024094,1089357,12606,2418,365,392130,0 122,2,2024-09-07 09:56:41:330,799999,799924,75,0,41174579,0,5989 122,3,2024-09-07 09:56:40:599,1,630,2,0,512,9944,630,0 123,0,2024-09-07 09:56:40:952,156417,0.8,152212,0.9,318998,0.9,417165,2.25 123,1,2024-09-07 09:56:40:558,1105196,1105196,0,0,518736310959,5449370334,1088301,14223,2672,369,392039,0 123,2,2024-09-07 09:56:41:021,797121,797120,1,0,35214534,0,5215 123,3,2024-09-07 09:56:41:132,1,630,5,0,478,7058,630,0 124,0,2024-09-07 09:56:40:944,160034,0.3,160075,0.5,301700,0.3,415392,1.75 124,1,2024-09-07 09:56:41:023,1108746,1108746,0,0,519294372741,5395436029,1100774,6793,1179,365,392178,0 124,2,2024-09-07 09:56:41:015,795847,795794,53,0,31637721,0,6487 124,3,2024-09-07 09:56:40:774,1,630,2,0,490,6089,630,0 125,0,2024-09-07 09:56:41:419,157730,0.4,157517,0.5,316149,0.3,421306,1.75 125,1,2024-09-07 09:56:40:869,1105552,1105552,0,0,519128350979,5414742943,1097470,6928,1154,382,392045,0 125,2,2024-09-07 09:56:41:116,797556,797556,0,0,32001111,0,4534 125,3,2024-09-07 09:56:41:131,1,630,1,0,709,7080,630,0 126,0,2024-09-07 09:56:41:433,162809,0.4,167423,0.5,320105,0.3,433533,1.75 126,1,2024-09-07 09:56:40:553,1109970,1109970,0,0,520540130960,5384959925,1104438,5083,449,365,391987,0 126,2,2024-09-07 09:56:40:622,802916,802916,0,0,33229227,0,4539 126,3,2024-09-07 09:56:40:911,1,630,16,0,268,6763,630,0 127,0,2024-09-07 09:56:41:621,156636,0.3,156747,0.5,312860,0.3,416298,1.75 127,1,2024-09-07 09:56:40:574,1107776,1107776,0,0,519479791083,5401071426,1097080,9056,1640,364,392187,0 127,2,2024-09-07 09:56:40:642,799797,799793,4,0,31392191,0,5305 127,3,2024-09-07 09:56:41:277,1,630,12,0,968,6064,630,0 128,0,2024-09-07 09:56:41:547,156204,0.3,156341,0.4,312367,0.2,415395,1.50 128,1,2024-09-07 09:56:41:610,1107393,1107393,0,0,519577333054,5401748679,1098854,7574,965,367,392423,0 128,2,2024-09-07 09:56:41:383,795809,795809,0,0,29004466,0,3171 128,3,2024-09-07 09:56:40:770,1,630,13,0,1082,9736,630,0 129,0,2024-09-07 09:56:41:012,158249,0.3,157439,0.5,315487,0.3,419979,1.50 129,1,2024-09-07 09:56:40:575,1104040,1104040,0,0,518778925354,5432691700,1093555,8463,2022,379,391962,0 129,2,2024-09-07 09:56:40:687,797119,797115,4,0,31799895,0,5335 129,3,2024-09-07 09:56:40:688,1,630,1,0,506,8433,630,0 130,0,2024-09-07 09:56:41:719,162721,0.4,162389,0.5,325118,0.3,433016,1.75 130,1,2024-09-07 09:56:40:588,1108964,1108964,0,0,520563053535,5403444436,1103503,5058,403,381,391825,0 130,2,2024-09-07 09:56:41:125,799682,799682,0,0,32049102,0,4067 130,3,2024-09-07 09:56:41:298,1,630,5,0,960,8417,630,0 131,0,2024-09-07 09:56:41:943,156620,0.3,157129,0.5,314948,0.3,418621,1.75 131,1,2024-09-07 09:56:41:829,1107898,1107898,0,0,519618987813,5412409165,1100656,6044,1198,381,391865,0 131,2,2024-09-07 09:56:40:567,799315,799315,0,0,29606340,0,3979 131,3,2024-09-07 09:56:41:697,1,630,7,0,392,7891,630,0 132,0,2024-09-07 09:56:41:419,156833,0.5,157806,0.6,314221,0.4,417710,2.00 132,1,2024-09-07 09:56:40:583,1103588,1103588,0,0,517572065946,5444110540,1088120,12764,2704,381,392532,0 132,2,2024-09-07 09:56:40:707,795543,795526,17,0,38448625,0,6451 132,3,2024-09-07 09:56:41:699,1,630,2,0,1298,10961,630,0 133,0,2024-09-07 09:56:41:531,151748,0.4,155348,0.6,318381,0.4,414820,2.00 133,1,2024-09-07 09:56:40:583,1103657,1103657,0,0,517395556065,5446056179,1089552,12339,1766,383,391914,0 133,2,2024-09-07 09:56:41:087,795574,795524,50,0,39295165,0,6861 133,3,2024-09-07 09:56:41:305,1,630,3,0,528,7543,630,0 134,0,2024-09-07 09:56:40:948,161597,0.5,161751,0.6,323467,0.4,430964,2.00 134,1,2024-09-07 09:56:40:596,1105235,1105235,0,0,518573725219,5433907047,1091374,11369,2492,366,391781,0 134,2,2024-09-07 09:56:41:786,796467,796443,24,0,36372849,0,6207 134,3,2024-09-07 09:56:40:750,1,630,120,0,739,7749,630,0 135,0,2024-09-07 09:56:41:096,153496,0.7,153496,0.8,325933,0.8,419646,2.25 135,1,2024-09-07 09:56:41:591,1104125,1104125,0,0,518587635438,5447185733,1091083,11401,1641,380,391974,0 135,2,2024-09-07 09:56:40:687,800078,800078,0,0,37337310,0,4503 135,3,2024-09-07 09:56:41:008,1,630,2,0,900,5960,630,0 136,0,2024-09-07 09:56:41:663,157301,0.6,157717,0.8,313247,0.6,418043,2.00 136,1,2024-09-07 09:56:41:449,1105610,1105610,0,0,519173731237,5436637575,1093689,10531,1390,381,392135,0 136,2,2024-09-07 09:56:41:133,799892,799877,15,0,36841614,0,6007 136,3,2024-09-07 09:56:41:111,1,630,7,0,637,7064,630,0 137,0,2024-09-07 09:56:40:930,160779,0.6,156799,0.7,306888,0.6,416534,2.00 137,1,2024-09-07 09:56:40:577,1104533,1104533,0,0,518639018920,5434588878,1087975,13168,3390,366,391898,0 137,2,2024-09-07 09:56:41:708,793024,793024,0,0,37834334,0,3185 137,3,2024-09-07 09:56:40:776,1,630,1,0,484,8390,630,0 138,0,2024-09-07 09:56:41:762,158018,0.7,158263,0.8,317207,0.7,421741,2.00 138,1,2024-09-07 09:56:41:693,1105177,1105177,0,0,518850845968,5437596386,1090381,12524,2272,368,391954,0 138,2,2024-09-07 09:56:40:589,794505,794505,0,0,36117047,0,4988 138,3,2024-09-07 09:56:40:621,1,630,1,0,1200,9636,630,0 139,0,2024-09-07 09:56:41:409,160019,0.9,160688,0.9,320997,1.3,428413,2.25 139,1,2024-09-07 09:56:40:571,1100426,1100426,0,0,515720813504,5462610498,1082018,14580,3828,380,392109,0 139,2,2024-09-07 09:56:40:692,795227,795197,30,0,41611304,0,5997 139,3,2024-09-07 09:56:41:662,1,630,9,0,432,7321,630,0 140,0,2024-09-07 09:56:41:594,157046,0.3,156273,0.5,313636,0.2,418269,1.75 140,1,2024-09-07 09:56:41:543,1111823,1111823,0,0,522412732632,5381498601,1106822,4453,548,364,391628,0 140,2,2024-09-07 09:56:40:692,800092,800091,1,0,29570528,0,5036 140,3,2024-09-07 09:56:40:772,1,630,2,0,575,6175,630,0 141,0,2024-09-07 09:56:41:713,155983,0.3,160351,0.5,306524,0.2,414961,1.50 141,1,2024-09-07 09:56:40:862,1109411,1109411,0,0,519714196232,5395345752,1101211,7007,1193,379,391614,0 141,2,2024-09-07 09:56:41:686,794463,794452,11,0,31587527,0,5369 141,3,2024-09-07 09:56:41:047,1,630,1,0,391,7048,630,0 142,0,2024-09-07 09:56:41:341,158547,0.3,157728,0.5,315696,0.2,421107,1.50 142,1,2024-09-07 09:56:40:593,1107436,1107436,0,0,519158887125,5407852600,1100694,6231,511,382,392102,0 142,2,2024-09-07 09:56:41:308,794665,794633,32,0,32176707,0,6028 142,3,2024-09-07 09:56:41:747,1,630,2,0,484,6479,630,0 143,0,2024-09-07 09:56:41:423,162046,0.4,161814,0.5,324663,0.4,432393,1.75 143,1,2024-09-07 09:56:40:565,1109816,1109816,0,0,521159247389,5402169331,1103332,5916,568,367,391900,0 143,2,2024-09-07 09:56:40:770,801352,801352,0,0,32214992,0,3123 143,3,2024-09-07 09:56:41:141,1,630,16,0,462,7396,630,0 144,0,2024-09-07 09:56:41:500,151790,0.6,156295,0.7,317831,0.5,416270,2.00 144,1,2024-09-07 09:56:40:565,1104685,1104685,0,0,517491980588,5426194430,1094077,8613,1995,381,391733,0 144,2,2024-09-07 09:56:41:767,800406,800406,0,0,31469032,0,4443 144,3,2024-09-07 09:56:41:742,1,630,1,0,249,6201,630,0 145,0,2024-09-07 09:56:41:364,151080,0.6,151027,0.8,320587,0.5,412527,2.25 145,1,2024-09-07 09:56:40:556,1103777,1103777,0,0,518230775443,5447619486,1089707,11393,2677,382,391781,0 145,2,2024-09-07 09:56:41:437,793112,793030,82,0,37208640,0,7814 145,3,2024-09-07 09:56:40:900,1,630,1,0,622,8169,630,0 146,0,2024-09-07 09:56:41:608,156123,0.5,155225,0.7,312257,0.5,415003,2.00 146,1,2024-09-07 09:56:41:592,1104695,1104695,0,0,518155823013,5446617782,1087148,13065,4482,367,391770,0 146,2,2024-09-07 09:56:41:702,793855,793849,6,0,35483595,0,5151 146,3,2024-09-07 09:56:41:290,1,630,6,0,1520,10073,630,0 147,0,2024-09-07 09:56:41:751,161982,0.5,161815,0.7,323572,0.5,431850,2.00 147,1,2024-09-07 09:56:41:372,1108962,1108962,0,0,520480132390,5404383011,1100454,7541,967,367,391791,0 147,2,2024-09-07 09:56:41:009,798084,798084,0,0,31726847,0,2968 147,3,2024-09-07 09:56:40:913,1,630,4,0,1626,9740,630,0 0,0,2024-09-07 09:56:51:718,151048,0.5,151032,0.7,320644,0.6,413831,2.00 0,1,2024-09-07 09:56:50:816,1107541,1107541,0,0,519890752809,5438138113,1098943,7646,952,368,391896,0 0,2,2024-09-07 09:56:51:067,796881,796881,0,0,31684863,0,4480 0,3,2024-09-07 09:56:50:973,1,631,7,0,431,8845,631,0 1,0,2024-09-07 09:56:51:755,160237,0.8,159193,0.9,319631,0.9,427920,2.00 1,1,2024-09-07 09:56:50:599,1106136,1106136,0,0,518806446053,5429736664,1096640,8003,1493,370,391859,0 1,2,2024-09-07 09:56:50:642,797793,797793,0,0,31305351,0,3380 1,3,2024-09-07 09:56:51:302,1,631,3,0,269,7742,631,0 2,0,2024-09-07 09:56:51:574,160176,0.6,159721,0.7,319557,0.6,426034,2.00 2,1,2024-09-07 09:56:50:860,1109536,1109536,0,0,521244515509,5416069906,1103352,5232,952,379,391745,0 2,2,2024-09-07 09:56:51:267,801447,801447,0,0,29901282,0,3594 2,3,2024-09-07 09:56:50:694,1,631,1,0,357,5538,631,0 3,0,2024-09-07 09:56:51:747,157017,0.4,157025,0.6,313608,0.4,417634,2.00 3,1,2024-09-07 09:56:51:621,1108593,1108593,0,0,520502803999,5410228748,1100964,6924,705,379,391716,0 3,2,2024-09-07 09:56:51:143,800987,800964,23,0,30875919,0,5851 3,3,2024-09-07 09:56:51:752,1,631,14,0,484,4731,631,0 4,0,2024-09-07 09:56:51:813,151397,0.4,155578,0.5,317195,0.3,414594,1.75 4,1,2024-09-07 09:56:50:611,1102754,1102754,0,0,518199148318,5477329180,1084851,14123,3780,369,391992,0 4,2,2024-09-07 09:56:51:026,792635,792635,0,0,37681289,0,4534 4,3,2024-09-07 09:56:51:033,1,631,12,0,448,7529,631,0 5,0,2024-09-07 09:56:51:375,158417,0.4,158288,0.5,316687,0.3,421633,1.75 5,1,2024-09-07 09:56:50:762,1104831,1104831,0,0,517935659772,5463416048,1088312,12386,4133,367,392005,0 5,2,2024-09-07 09:56:51:829,793424,793424,0,0,36554367,0,3582 5,3,2024-09-07 09:56:51:740,1,631,2,0,457,8506,631,0 6,0,2024-09-07 09:56:50:919,162657,0.5,162172,0.6,324459,0.4,432199,2.00 6,1,2024-09-07 09:56:50:751,1107231,1107231,0,0,519163992426,5417848592,1096150,9507,1574,379,391702,0 6,2,2024-09-07 09:56:51:117,802168,802150,18,0,35492171,0,5535 6,3,2024-09-07 09:56:51:274,1,631,2,0,710,7469,631,0 7,0,2024-09-07 09:56:51:530,155906,0.5,157030,0.7,311694,0.5,415759,2.00 7,1,2024-09-07 09:56:50:856,1104984,1104984,0,0,518688214096,5456081919,1088549,12669,3766,382,391747,0 7,2,2024-09-07 09:56:50:786,799872,799872,0,0,34093105,0,4791 7,3,2024-09-07 09:56:50:855,1,631,8,0,552,7256,631,0 8,0,2024-09-07 09:56:51:325,156189,0.4,155894,0.5,312136,0.3,415944,1.75 8,1,2024-09-07 09:56:51:026,1104505,1104505,0,0,518270000024,5445062306,1087740,13173,3592,366,392853,0 8,2,2024-09-07 09:56:50:793,790189,790187,2,0,40343116,0,5112 8,3,2024-09-07 09:56:50:586,1,631,1,0,772,9742,631,0 9,0,2024-09-07 09:56:51:149,157338,0.3,153036,0.5,320067,0.3,420302,1.75 9,1,2024-09-07 09:56:50:560,1104483,1104483,0,0,518418504395,5459061661,1087666,13587,3230,369,392001,0 9,2,2024-09-07 09:56:51:154,795182,795181,1,0,38119038,0,5281 9,3,2024-09-07 09:56:51:763,1,631,1,0,1273,10356,631,0 10,0,2024-09-07 09:56:51:607,162204,0.3,161576,0.5,324008,0.2,431795,1.75 10,1,2024-09-07 09:56:50:583,1106491,1106491,0,0,519228517769,5442616415,1090756,12671,3064,381,391981,0 10,2,2024-09-07 09:56:50:764,800620,800620,0,0,41013142,0,4713 10,3,2024-09-07 09:56:50:881,1,631,0,0,669,6867,631,0 11,0,2024-09-07 09:56:51:011,156721,0.4,152092,0.6,318256,0.4,419261,1.75 11,1,2024-09-07 09:56:50:572,1107792,1107792,0,0,520216207829,5452279911,1091831,11658,4303,383,391766,0 11,2,2024-09-07 09:56:51:123,798848,798848,0,0,36155033,0,4698 11,3,2024-09-07 09:56:51:299,1,631,8,0,843,7901,631,0 12,0,2024-09-07 09:56:51:001,157888,0.4,157709,0.5,314995,0.4,418659,1.75 12,1,2024-09-07 09:56:50:934,1107635,1107635,0,0,519332382050,5412321614,1099222,7404,1009,370,391960,0 12,2,2024-09-07 09:56:51:540,795020,795020,0,0,35051524,0,4390 12,3,2024-09-07 09:56:51:059,1,631,2,0,386,7675,631,0 13,0,2024-09-07 09:56:51:338,156871,0.4,156941,0.5,312968,0.3,417341,1.75 13,1,2024-09-07 09:56:51:542,1105014,1105014,0,0,518739894755,5448035116,1094101,8522,2391,382,391803,0 13,2,2024-09-07 09:56:50:602,798491,798491,0,0,31397758,0,3287 13,3,2024-09-07 09:56:51:769,1,631,10,0,522,8112,631,0 14,0,2024-09-07 09:56:50:562,161817,0.4,162845,0.6,323355,0.3,431038,1.75 14,1,2024-09-07 09:56:51:563,1112525,1112525,0,0,521860646007,5396030562,1104044,7352,1129,364,391673,0 14,2,2024-09-07 09:56:50:764,799240,799210,30,0,32728976,0,6104 14,3,2024-09-07 09:56:51:116,1,631,23,0,1168,6759,631,0 15,0,2024-09-07 09:56:51:556,158737,0.4,157831,0.6,316040,0.4,421200,2.00 15,1,2024-09-07 09:56:51:608,1109677,1109677,0,0,521157841456,5422865281,1100598,7166,1913,381,391619,0 15,2,2024-09-07 09:56:51:008,801558,801558,0,0,28584712,0,3622 15,3,2024-09-07 09:56:51:405,1,631,8,0,1126,8161,631,0 16,0,2024-09-07 09:56:50:956,156886,0.6,157541,0.8,314460,0.6,418410,2.00 16,1,2024-09-07 09:56:50:613,1108987,1108987,0,0,520345787806,5435551099,1099522,8019,1446,370,392194,0 16,2,2024-09-07 09:56:51:434,798643,798643,0,0,32283395,0,4719 16,3,2024-09-07 09:56:51:142,1,631,2,0,358,7731,631,0 17,0,2024-09-07 09:56:51:771,160590,0.5,156490,0.7,306655,0.5,416478,2.00 17,1,2024-09-07 09:56:50:588,1106687,1106687,0,0,519354940807,5443579699,1096180,8732,1775,368,392075,0 17,2,2024-09-07 09:56:51:667,798568,798567,1,0,33521759,0,5050 17,3,2024-09-07 09:56:50:588,1,631,3,0,518,8940,631,0 18,0,2024-09-07 09:56:50:941,157602,0.6,158662,0.8,315787,0.6,422240,2.25 18,1,2024-09-07 09:56:51:640,1111416,1111416,0,0,520510605481,5394834402,1104293,5865,1258,367,391725,0 18,2,2024-09-07 09:56:51:755,799127,799127,0,0,30028651,0,3541 18,3,2024-09-07 09:56:50:902,1,631,38,0,1059,5678,631,0 19,0,2024-09-07 09:56:51:540,160742,0.6,161160,0.8,321371,0.7,426636,2.00 19,1,2024-09-07 09:56:50:572,1111356,1111356,0,0,521931767555,5406021970,1103478,6744,1134,365,391777,0 19,2,2024-09-07 09:56:51:753,804145,804145,0,0,28447870,0,3988 19,3,2024-09-07 09:56:51:129,1,631,7,0,524,4792,631,0 20,0,2024-09-07 09:56:51:358,156790,0.5,156632,0.7,313152,0.5,417680,2.00 20,1,2024-09-07 09:56:50:572,1106898,1106898,0,0,520094772888,5446626646,1096043,9344,1511,369,391922,0 20,2,2024-09-07 09:56:50:928,800141,800141,0,0,35350507,0,4321 20,3,2024-09-07 09:56:50:596,1,631,0,0,468,9868,631,0 21,0,2024-09-07 09:56:51:133,156100,0.5,156094,0.6,312203,0.4,414608,2.00 21,1,2024-09-07 09:56:51:537,1104967,1104967,0,0,518345574775,5466248968,1087961,13169,3837,368,392016,0 21,2,2024-09-07 09:56:51:066,789038,788561,477,0,45678126,0,17074 21,3,2024-09-07 09:56:51:403,1,631,1,0,713,8793,631,0 22,0,2024-09-07 09:56:51:720,157740,0.4,158305,0.6,316292,0.4,419490,2.00 22,1,2024-09-07 09:56:51:023,1105432,1105432,0,0,518463744878,5451762777,1087579,14312,3541,381,391822,0 22,2,2024-09-07 09:56:50:762,795034,795008,26,0,33463563,0,6328 22,3,2024-09-07 09:56:51:066,1,631,1,0,228,5352,631,0 23,0,2024-09-07 09:56:51:372,162082,0.5,161928,0.6,323753,0.4,431847,2.00 23,1,2024-09-07 09:56:51:009,1105909,1105909,0,0,519517265252,5467458046,1086411,12920,6578,365,391690,0 23,2,2024-09-07 09:56:51:097,802427,802427,0,0,32277004,0,3773 23,3,2024-09-07 09:56:51:756,1,631,9,0,855,8967,631,0 24,0,2024-09-07 09:56:50:856,157759,0.4,156922,0.5,315414,0.4,419108,1.75 24,1,2024-09-07 09:56:50:585,1106591,1106591,0,0,518277481147,5420871871,1096450,8431,1710,367,392269,0 24,2,2024-09-07 09:56:51:069,799945,799942,3,0,38808245,0,6294 24,3,2024-09-07 09:56:51:687,1,631,1,0,468,7984,631,0 25,0,2024-09-07 09:56:51:348,160581,0.4,156380,0.6,307156,0.4,417993,1.75 25,1,2024-09-07 09:56:50:594,1104694,1104694,0,0,518168300782,5469452006,1085853,15125,3716,369,391928,0 25,2,2024-09-07 09:56:51:620,793344,793344,0,0,39330498,0,3978 25,3,2024-09-07 09:56:51:003,1,631,34,0,532,6730,631,0 26,0,2024-09-07 09:56:51:720,155603,0.4,152057,0.6,319288,0.3,415938,1.75 26,1,2024-09-07 09:56:51:541,1108200,1108200,0,0,520208259688,5440271649,1093859,11808,2533,380,391758,0 26,2,2024-09-07 09:56:50:865,796217,796217,0,0,41895571,0,4689 26,3,2024-09-07 09:56:51:713,1,631,1,0,796,7629,631,0 27,0,2024-09-07 09:56:51:726,162181,0.4,162957,0.6,324120,0.3,432382,2.25 27,1,2024-09-07 09:56:51:676,1109628,1109628,0,0,520611105117,5421193146,1099225,8794,1609,381,391626,0 27,2,2024-09-07 09:56:50:866,796498,796433,65,0,36790295,0,5699 27,3,2024-09-07 09:56:51:015,1,631,8,0,564,5296,631,0 28,0,2024-09-07 09:56:51:391,157576,0.4,157813,0.6,315654,0.3,420139,1.75 28,1,2024-09-07 09:56:50:808,1109594,1109594,0,0,520758481951,5424598730,1100644,7058,1892,382,391904,0 28,2,2024-09-07 09:56:51:777,800245,800245,0,0,31780491,0,2915 28,3,2024-09-07 09:56:51:781,1,631,34,0,502,6276,631,0 29,0,2024-09-07 09:56:51:360,161299,0.4,157176,0.6,308389,0.3,419959,1.75 29,1,2024-09-07 09:56:51:563,1112770,1112770,0,0,522219271299,5397588578,1106320,5672,778,367,391809,0 29,2,2024-09-07 09:56:50:863,798169,798169,0,0,30753659,0,4986 29,3,2024-09-07 09:56:50:969,1,631,23,0,459,6641,631,0 30,0,2024-09-07 09:56:51:464,154608,0.5,150468,0.6,315305,0.4,411832,2.00 30,1,2024-09-07 09:56:50:584,1111561,1111561,0,0,521293910318,5404311084,1103983,6667,911,380,391672,0 30,2,2024-09-07 09:56:51:274,797588,797588,0,0,29325995,0,4192 30,3,2024-09-07 09:56:50:583,1,631,2,0,519,5761,631,0 31,0,2024-09-07 09:56:51:765,160048,0.4,160930,0.6,320747,0.3,428589,1.75 31,1,2024-09-07 09:56:50:571,1115643,1115643,0,0,522871901533,5365895132,1109180,5389,1074,356,391712,0 31,2,2024-09-07 09:56:51:281,797192,797192,0,0,33201923,0,4470 31,3,2024-09-07 09:56:51:712,1,631,9,0,239,5300,631,0 32,0,2024-09-07 09:56:51:446,160285,0.3,161372,0.5,321147,0.3,427465,1.75 32,1,2024-09-07 09:56:50:813,1111330,1111330,0,0,520769569607,5408194894,1105130,5482,718,381,391646,0 32,2,2024-09-07 09:56:50:935,802819,802819,0,0,29217417,0,3922 32,3,2024-09-07 09:56:51:015,1,631,1,0,304,5041,631,0 33,0,2024-09-07 09:56:51:505,157668,0.3,157243,0.4,314649,0.2,418555,1.50 33,1,2024-09-07 09:56:50:609,1111923,1111923,0,0,521957293153,5402705285,1103459,7191,1273,368,391730,0 33,2,2024-09-07 09:56:50:761,801004,800969,35,0,32273731,0,7012 33,3,2024-09-07 09:56:50:897,1,631,1,0,329,5381,631,0 34,0,2024-09-07 09:56:50:931,156204,0.3,160616,0.5,306927,0.2,414462,1.75 34,1,2024-09-07 09:56:51:044,1113785,1113785,0,0,523223630347,5380199702,1110637,3037,111,367,391637,0 34,2,2024-09-07 09:56:50:769,796410,796410,0,0,30658075,0,4562 34,3,2024-09-07 09:56:51:688,1,631,18,0,541,5564,631,0 35,0,2024-09-07 09:56:50:890,157607,0.3,158396,0.5,317469,0.2,422387,1.75 35,1,2024-09-07 09:56:51:067,1110010,1110010,0,0,521483829875,5408633689,1101896,6591,1523,382,391769,0 35,2,2024-09-07 09:56:51:600,796671,796671,0,0,34255822,0,4055 35,3,2024-09-07 09:56:50:908,1,631,7,0,466,5796,631,0 36,0,2024-09-07 09:56:51:520,163042,0.5,163213,0.6,325866,0.4,433682,2.00 36,1,2024-09-07 09:56:50:587,1109250,1109250,0,0,520535720288,5430758816,1095624,11385,2241,366,391759,0 36,2,2024-09-07 09:56:51:753,802675,802675,0,0,35254930,0,3875 36,3,2024-09-07 09:56:50:868,1,631,15,0,556,8388,631,0 37,0,2024-09-07 09:56:51:373,155667,0.5,155944,0.7,312086,0.5,416384,2.25 37,1,2024-09-07 09:56:50:572,1108171,1108164,0,7,519549408598,5421109804,1095498,9751,2915,365,391770,0 37,2,2024-09-07 09:56:51:142,797829,797814,15,0,35193361,0,5815 37,3,2024-09-07 09:56:51:770,1,631,24,0,888,8270,631,0 38,0,2024-09-07 09:56:51:442,155045,0.4,150323,0.6,314333,0.4,411732,2.00 38,1,2024-09-07 09:56:51:612,1108644,1108644,0,0,520841324901,5442682837,1093292,12351,3001,368,391821,0 38,2,2024-09-07 09:56:50:769,794625,794578,47,0,35126827,0,6710 38,3,2024-09-07 09:56:50:998,1,631,10,0,689,7474,631,0 39,0,2024-09-07 09:56:51:761,160897,0.5,157260,0.7,306783,0.4,419140,2.00 39,1,2024-09-07 09:56:50:715,1107608,1107608,0,0,519900575782,5444523147,1090127,13766,3715,365,391865,0 39,2,2024-09-07 09:56:51:417,796128,796128,0,0,33465100,0,3391 39,3,2024-09-07 09:56:50:726,1,631,7,0,525,6963,631,0 40,0,2024-09-07 09:56:51:495,160708,0.8,161578,0.9,322480,0.8,430242,2.50 40,1,2024-09-07 09:56:50:576,1108511,1108511,0,0,519470656764,5436123517,1092898,12543,3070,366,391668,0 40,2,2024-09-07 09:56:51:303,798238,798231,7,0,39744222,0,5347 40,3,2024-09-07 09:56:51:142,1,631,0,0,1028,9010,631,0 41,0,2024-09-07 09:56:51:021,156001,1.3,159666,1.1,304516,1.8,413936,3.00 41,1,2024-09-07 09:56:50:771,1107501,1107501,0,0,519778690823,5432113523,1093720,11621,2160,369,391878,0 41,2,2024-09-07 09:56:50:762,796357,796356,1,0,38016819,0,5408 41,3,2024-09-07 09:56:51:676,1,631,1,0,366,6743,631,0 42,0,2024-09-07 09:56:51:475,155781,0.9,155427,1.0,311033,1.1,412575,2.75 42,1,2024-09-07 09:56:51:439,1105314,1105314,0,0,517984899916,5437836161,1088725,13656,2933,380,391675,0 42,2,2024-09-07 09:56:51:132,794665,794664,1,0,38364986,0,5513 42,3,2024-09-07 09:56:51:009,1,631,6,0,892,6049,631,0 43,0,2024-09-07 09:56:50:931,154470,0.7,150319,0.9,314999,0.7,412295,2.25 43,1,2024-09-07 09:56:50:586,1108836,1108836,0,0,519808687286,5426063436,1094094,12170,2572,366,391696,0 43,2,2024-09-07 09:56:51:742,796577,796577,0,0,36140337,0,4723 43,3,2024-09-07 09:56:51:752,1,631,8,0,571,8907,631,0 44,0,2024-09-07 09:56:50:908,161853,0.4,162047,0.6,323645,0.3,431485,1.75 44,1,2024-09-07 09:56:50:565,1111961,1111961,0,0,520154021346,5372938828,1102585,7434,1942,356,391809,0 44,2,2024-09-07 09:56:51:274,797130,797130,0,0,29632932,0,4344 44,3,2024-09-07 09:56:51:097,1,631,1,0,1097,7720,631,0 45,0,2024-09-07 09:56:51:762,156766,0.5,153169,0.7,321492,0.4,421321,2.00 45,1,2024-09-07 09:56:51:009,1110636,1110636,0,0,521640677944,5416245142,1102380,7353,903,382,391917,0 45,2,2024-09-07 09:56:51:272,800956,800956,0,0,29892517,0,3596 45,3,2024-09-07 09:56:50:934,1,631,33,0,531,5927,631,0 46,0,2024-09-07 09:56:50:978,156157,0.5,155682,0.7,312366,0.5,415095,2.00 46,1,2024-09-07 09:56:50:595,1112693,1112693,0,0,521999993516,5392270679,1105166,6538,989,366,391709,0 46,2,2024-09-07 09:56:50:595,800984,800984,0,0,30588362,0,4443 46,3,2024-09-07 09:56:51:132,1,631,2,0,908,7333,631,0 47,0,2024-09-07 09:56:51:103,156270,0.4,155953,0.6,313433,0.3,414758,1.75 47,1,2024-09-07 09:56:50:572,1113960,1113960,0,0,522147307254,5389606806,1107853,5244,863,364,391641,0 47,2,2024-09-07 09:56:50:913,799058,799058,0,0,29542413,0,4477 47,3,2024-09-07 09:56:51:119,1,631,1,0,600,6753,631,0 48,0,2024-09-07 09:56:51:498,159374,0.3,159656,0.4,318692,0.2,424045,1.50 48,1,2024-09-07 09:56:51:022,1110586,1110586,0,0,520737309297,5404084266,1104476,5532,578,381,391710,0 48,2,2024-09-07 09:56:50:702,797420,797420,0,0,28142647,0,3524 48,3,2024-09-07 09:56:50:761,1,631,1,0,339,5450,631,0 49,0,2024-09-07 09:56:51:720,166001,0.3,162524,0.5,316288,0.3,431092,1.75 49,1,2024-09-07 09:56:51:034,1109982,1109982,0,0,520512592401,5410771785,1102705,5581,1696,382,391809,0 49,2,2024-09-07 09:56:51:801,802936,802936,0,0,30447724,0,4426 49,3,2024-09-07 09:56:51:416,1,631,1,0,992,7324,631,0 50,0,2024-09-07 09:56:51:511,157419,0.3,155902,0.5,314034,0.2,417650,1.75 50,1,2024-09-07 09:56:51:010,1112678,1112678,0,0,522117934133,5393578881,1106148,5861,669,368,391691,0 50,2,2024-09-07 09:56:51:066,799420,799420,0,0,28162103,0,4490 50,3,2024-09-07 09:56:51:291,1,631,1,0,617,6287,631,0 51,0,2024-09-07 09:56:51:687,160210,0.3,156729,0.5,305242,0.2,416467,1.75 51,1,2024-09-07 09:56:51:680,1113068,1113068,0,0,523379635707,5392930469,1107403,4584,1081,365,391706,0 51,2,2024-09-07 09:56:51:315,795306,795306,0,0,27571617,0,3337 51,3,2024-09-07 09:56:51:027,1,631,11,0,678,4476,631,0 52,0,2024-09-07 09:56:51:434,158409,0.5,158234,0.6,316532,0.4,420645,2.00 52,1,2024-09-07 09:56:50:574,1108380,1108380,0,0,520134835282,5438790709,1093228,12821,2331,368,391805,0 52,2,2024-09-07 09:56:51:754,793265,793227,38,0,37508571,0,6742 52,3,2024-09-07 09:56:50:674,1,631,1,0,1782,7152,631,0 53,0,2024-09-07 09:56:51:734,161884,0.6,157147,0.7,328819,0.6,431222,2.25 53,1,2024-09-07 09:56:50:785,1106318,1106318,0,0,519110555846,5441969418,1088122,13796,4400,367,391968,0 53,2,2024-09-07 09:56:51:299,802591,802590,1,0,33545298,0,5455 53,3,2024-09-07 09:56:50:697,1,631,1,0,308,5732,631,0 54,0,2024-09-07 09:56:51:615,155140,0.6,155308,0.8,309556,0.5,413639,2.25 54,1,2024-09-07 09:56:50:595,1110011,1110011,0,0,520674069284,5411281055,1098856,9483,1672,366,391810,0 54,2,2024-09-07 09:56:50:869,800852,800820,32,0,37392474,0,6397 54,3,2024-09-07 09:56:50:763,1,631,3,0,676,7935,631,0 55,0,2024-09-07 09:56:51:761,150773,0.6,155610,0.8,315343,0.5,410646,2.50 55,1,2024-09-07 09:56:50:764,1110485,1110485,0,0,520539688436,5409227242,1099615,9481,1389,365,391731,0 55,2,2024-09-07 09:56:50:738,794010,793954,56,0,34541477,0,7239 55,3,2024-09-07 09:56:50:686,1,631,11,0,304,5770,631,0 56,0,2024-09-07 09:56:51:577,159310,1.1,150175,1.1,309536,1.4,414507,2.75 56,1,2024-09-07 09:56:50:573,1103593,1103593,0,0,518254335387,5475858986,1085587,14316,3690,381,391867,0 56,2,2024-09-07 09:56:51:303,795217,795095,122,0,36956238,0,7432 56,3,2024-09-07 09:56:51:064,1,631,10,0,705,7182,631,0 57,0,2024-09-07 09:56:50:959,160590,1.2,160288,1.1,321079,1.7,428848,3.00 57,1,2024-09-07 09:56:50:992,1106127,1106127,0,0,518996955754,5442747855,1091628,12006,2493,366,392032,0 57,2,2024-09-07 09:56:51:325,799006,799006,0,0,38821016,0,4804 57,3,2024-09-07 09:56:51:739,1,631,8,0,455,7164,631,0 58,0,2024-09-07 09:56:50:558,155121,1.0,150691,1.0,315373,1.2,412436,2.75 58,1,2024-09-07 09:56:50:583,1107466,1107463,0,3,520100473918,5446730787,1091306,12253,3904,367,391726,3 58,2,2024-09-07 09:56:51:071,800144,800144,0,0,36295058,0,3483 58,3,2024-09-07 09:56:51:068,1,631,11,0,1043,6961,631,0 59,0,2024-09-07 09:56:51:742,155459,0.9,154978,1.0,309734,0.9,411001,3.00 59,1,2024-09-07 09:56:50:813,1106849,1106849,0,0,519852387053,5457435864,1088991,14189,3669,369,391653,0 59,2,2024-09-07 09:56:50:583,798253,798253,0,0,34375086,0,3727 59,3,2024-09-07 09:56:51:739,1,631,11,0,1015,7576,631,0 60,0,2024-09-07 09:56:51:719,155393,0.5,155406,0.7,311183,0.4,414170,1.75 60,1,2024-09-07 09:56:50:808,1111122,1111122,0,0,521285540898,5413875462,1103152,6881,1089,370,392031,0 60,2,2024-09-07 09:56:51:141,796952,796952,0,0,32499837,0,3811 60,3,2024-09-07 09:56:51:259,1,631,1,0,409,7134,631,0 61,0,2024-09-07 09:56:51:520,160194,0.6,160873,0.7,319988,0.5,427859,2.00 61,1,2024-09-07 09:56:50:790,1108592,1108592,0,0,519493617059,5433633823,1097451,9459,1682,382,392127,0 61,2,2024-09-07 09:56:51:120,797851,797784,67,0,33114423,0,6411 61,3,2024-09-07 09:56:51:689,1,631,0,0,607,7938,631,0 62,0,2024-09-07 09:56:51:706,160760,0.6,164947,0.7,314934,0.6,427387,2.00 62,1,2024-09-07 09:56:51:111,1114902,1114896,0,6,523172580943,5390141727,1109922,4675,299,365,391975,6 62,2,2024-09-07 09:56:51:643,799190,799189,1,0,33106185,0,5555 62,3,2024-09-07 09:56:51:143,1,631,3,0,482,4993,631,0 63,0,2024-09-07 09:56:51:463,157700,0.4,157361,0.6,315324,0.3,418904,1.75 63,1,2024-09-07 09:56:50:805,1111219,1111213,0,6,521930813469,5415651637,1104362,5994,857,381,391800,6 63,2,2024-09-07 09:56:50:765,800324,800324,0,0,31380939,0,4369 63,3,2024-09-07 09:56:51:732,1,631,8,0,667,6308,631,0 64,0,2024-09-07 09:56:51:540,155291,0.5,155173,0.6,309988,0.4,413057,2.00 64,1,2024-09-07 09:56:50:757,1109446,1109446,0,0,520772824582,5419955890,1100078,7389,1979,370,391794,0 64,2,2024-09-07 09:56:51:143,799192,799173,19,0,30522844,0,6121 64,3,2024-09-07 09:56:51:142,1,631,23,0,651,7074,631,0 65,0,2024-09-07 09:56:51:752,157201,0.6,157412,0.7,314672,0.6,419940,2.00 65,1,2024-09-07 09:56:50:860,1108298,1108298,0,0,519416231628,5425071165,1100746,6677,875,381,391901,0 65,2,2024-09-07 09:56:51:706,795618,795618,0,0,36888775,0,3367 65,3,2024-09-07 09:56:51:694,1,631,5,0,782,7409,631,0 66,0,2024-09-07 09:56:51:767,162192,0.5,161755,0.7,323631,0.5,430432,2.00 66,1,2024-09-07 09:56:51:323,1110330,1110330,0,0,520867542025,5415858383,1103820,5785,725,380,391743,0 66,2,2024-09-07 09:56:51:132,804636,804633,3,0,32636263,0,5455 66,3,2024-09-07 09:56:51:079,1,631,1,0,291,5542,631,0 67,0,2024-09-07 09:56:51:446,156487,0.5,156049,0.7,313023,0.5,417015,2.00 67,1,2024-09-07 09:56:50:766,1110485,1110484,0,1,521518397144,5425282100,1103081,6458,945,380,391787,1 67,2,2024-09-07 09:56:50:597,803465,803450,15,0,32464677,0,6205 67,3,2024-09-07 09:56:51:752,1,631,8,0,595,6377,631,0 68,0,2024-09-07 09:56:50:615,155903,0.6,155608,0.7,310118,0.5,414905,2.00 68,1,2024-09-07 09:56:50:635,1106185,1106185,0,0,519071137778,5451917599,1092298,10354,3533,381,391953,0 68,2,2024-09-07 09:56:51:046,791045,790945,100,0,40393253,0,8578 68,3,2024-09-07 09:56:50:747,1,631,16,0,417,7857,631,0 69,0,2024-09-07 09:56:51:748,156686,0.6,157529,0.8,313880,0.6,417365,2.25 69,1,2024-09-07 09:56:51:033,1105130,1105130,0,0,518906448264,5462956198,1091223,11070,2837,383,391994,0 69,2,2024-09-07 09:56:51:737,793441,793412,29,0,43199729,0,6912 69,3,2024-09-07 09:56:50:770,1,631,19,0,698,8800,631,0 70,0,2024-09-07 09:56:51:531,160560,0.7,161282,0.9,323552,0.6,428858,2.25 70,1,2024-09-07 09:56:50:814,1111404,1111404,0,0,521966586419,5411926017,1103377,7218,809,366,391725,0 70,2,2024-09-07 09:56:51:325,800820,800820,0,0,35624669,0,4323 70,3,2024-09-07 09:56:50:750,1,631,3,0,854,6844,631,0 71,0,2024-09-07 09:56:51:356,155769,0.9,155328,1.0,311942,1.1,416065,2.50 71,1,2024-09-07 09:56:51:604,1109081,1109081,0,0,520421524417,5426348165,1096065,11395,1621,367,391738,0 71,2,2024-09-07 09:56:51:066,799307,799307,0,0,35719124,0,4352 71,3,2024-09-07 09:56:51:752,1,631,12,0,644,6888,631,0 72,0,2024-09-07 09:56:51:062,161806,0.5,158002,0.7,308636,0.5,419714,2.00 72,1,2024-09-07 09:56:51:022,1107540,1107540,0,0,519350139784,5441903714,1092219,12800,2521,369,391819,0 72,2,2024-09-07 09:56:51:757,794719,794719,0,0,37253401,0,3983 72,3,2024-09-07 09:56:51:757,1,631,3,0,564,9005,631,0 73,0,2024-09-07 09:56:51:135,151887,0.4,155838,0.6,318514,0.4,414889,2.00 73,1,2024-09-07 09:56:50:803,1109236,1109236,0,0,520410954114,5405709403,1100818,7435,983,367,391858,0 73,2,2024-09-07 09:56:51:770,795997,795996,1,0,38964543,0,5027 73,3,2024-09-07 09:56:50:971,1,631,1,0,1091,8542,631,0 74,0,2024-09-07 09:56:51:324,162824,0.4,166775,0.6,318046,0.4,431928,2.00 74,1,2024-09-07 09:56:50:646,1107967,1107967,0,0,519715237504,5421831678,1096054,9795,2118,381,391762,0 74,2,2024-09-07 09:56:51:008,797339,797339,0,0,34036893,0,4253 74,3,2024-09-07 09:56:51:456,1,631,1,0,522,7532,631,0 75,0,2024-09-07 09:56:51:776,158855,0.5,157929,0.7,316698,0.5,422691,2.25 75,1,2024-09-07 09:56:51:617,1108822,1108822,0,0,520713076112,5421965422,1100190,7762,870,380,391739,0 75,2,2024-09-07 09:56:51:349,798942,798942,0,0,41140065,0,4766 75,3,2024-09-07 09:56:51:067,1,631,12,0,918,8308,631,0 76,0,2024-09-07 09:56:50:595,156533,0.6,155942,0.7,311802,0.5,416853,2.25 76,1,2024-09-07 09:56:50:825,1108969,1108969,0,0,519843656044,5416906808,1101628,6348,993,382,391790,0 76,2,2024-09-07 09:56:51:075,801602,801599,3,0,33455298,0,5265 76,3,2024-09-07 09:56:51:142,1,631,1,0,227,5761,631,0 77,0,2024-09-07 09:56:51:702,155398,0.5,155911,0.7,311729,0.5,414032,2.00 77,1,2024-09-07 09:56:50:863,1108977,1108977,0,0,520482920447,5425481962,1100966,7131,880,381,391869,0 77,2,2024-09-07 09:56:51:290,795071,795071,0,0,32535188,0,3890 77,3,2024-09-07 09:56:51:095,1,631,3,0,401,7021,631,0 78,0,2024-09-07 09:56:51:721,159852,0.4,159080,0.6,318955,0.3,422650,2.00 78,1,2024-09-07 09:56:50:624,1109155,1109155,0,0,519754484320,5416731337,1096307,9931,2917,367,391670,0 78,2,2024-09-07 09:56:51:404,797942,797929,13,0,30563822,0,8313 78,3,2024-09-07 09:56:51:132,1,631,1,0,311,5141,631,0 79,0,2024-09-07 09:56:51:348,155916,0.4,159643,0.6,327376,0.3,425721,2.00 79,1,2024-09-07 09:56:50:578,1113342,1113342,0,0,521812507743,5397297106,1105491,6491,1360,367,391682,0 79,2,2024-09-07 09:56:51:068,802363,802363,0,0,29577666,0,4195 79,3,2024-09-07 09:56:50:750,1,631,13,0,418,7228,631,0 80,0,2024-09-07 09:56:51:092,156756,0.5,161064,0.7,307711,0.5,416621,2.00 80,1,2024-09-07 09:56:51:627,1108890,1108890,0,0,520647801311,5417416075,1101717,6717,456,368,392269,0 80,2,2024-09-07 09:56:51:096,802961,802961,0,0,30222964,0,4433 80,3,2024-09-07 09:56:50:588,1,631,57,0,681,7703,631,0 81,0,2024-09-07 09:56:51:541,155952,0.5,159637,0.7,304732,0.5,413648,2.00 81,1,2024-09-07 09:56:51:655,1108251,1108251,0,0,519825822828,5428693294,1099843,7629,779,382,391885,0 81,2,2024-09-07 09:56:51:137,794727,794664,63,0,33367954,0,5932 81,3,2024-09-07 09:56:51:118,1,631,25,0,719,7112,631,0 82,0,2024-09-07 09:56:51:606,157748,0.4,157947,0.6,316641,0.4,419626,2.00 82,1,2024-09-07 09:56:50:589,1110016,1110012,0,4,521609894802,5418486763,1104411,4776,825,381,391768,4 82,2,2024-09-07 09:56:51:696,798605,798605,0,0,28439715,0,4484 82,3,2024-09-07 09:56:51:753,1,631,1,0,363,5798,631,0 83,0,2024-09-07 09:56:51:578,162492,0.5,162364,0.7,324329,0.5,431364,2.00 83,1,2024-09-07 09:56:50:582,1108974,1108974,0,0,519525524517,5412525396,1101348,6981,645,382,391709,0 83,2,2024-09-07 09:56:50:772,802561,802536,25,0,30767914,0,5612 83,3,2024-09-07 09:56:50:750,1,631,9,0,1260,7327,631,0 84,0,2024-09-07 09:56:51:777,155376,0.8,155407,0.9,310701,0.8,415288,2.25 84,1,2024-09-07 09:56:51:069,1107197,1107197,0,0,519518074748,5429013813,1094890,10634,1673,367,391967,0 84,2,2024-09-07 09:56:50:591,799007,798597,410,0,44366135,0,17037 84,3,2024-09-07 09:56:51:141,1,631,0,0,908,8311,631,0 85,0,2024-09-07 09:56:51:009,150093,0.6,150071,0.8,318632,0.6,411100,2.25 85,1,2024-09-07 09:56:50:611,1103846,1103846,0,0,518459079848,5468563008,1087499,13544,2803,381,392092,0 85,2,2024-09-07 09:56:50:869,794503,794503,0,0,37358215,0,4255 85,3,2024-09-07 09:56:50:689,1,631,1,0,789,7136,631,0 86,0,2024-09-07 09:56:50:883,156022,0.6,160404,0.8,306824,0.6,414972,2.25 86,1,2024-09-07 09:56:50:881,1107352,1107352,0,0,519868820217,5447284673,1093351,11314,2687,366,392169,0 86,2,2024-09-07 09:56:50:877,795618,795617,1,0,39775286,0,5004 86,3,2024-09-07 09:56:50:587,1,631,1,0,308,8735,631,0 87,0,2024-09-07 09:56:51:310,162410,0.7,161596,0.8,323577,0.8,432368,2.25 87,1,2024-09-07 09:56:50:571,1106291,1106291,0,0,519000244124,5432402418,1092894,11598,1799,366,392076,0 87,2,2024-09-07 09:56:51:070,798898,798892,6,0,36329930,0,6323 87,3,2024-09-07 09:56:51:846,1,631,11,0,473,8826,631,0 88,0,2024-09-07 09:56:51:436,157470,0.4,157983,0.6,315661,0.4,419999,1.75 88,1,2024-09-07 09:56:50:611,1105884,1105884,0,0,519009793845,5435921886,1090478,12358,3048,365,392084,0 88,2,2024-09-07 09:56:50:689,799141,799141,0,0,38986563,0,4465 88,3,2024-09-07 09:56:51:267,1,631,4,0,1080,9468,631,0 89,0,2024-09-07 09:56:51:784,161286,0.4,156505,0.6,308676,0.4,419627,1.75 89,1,2024-09-07 09:56:50:557,1105334,1105334,0,0,518964665072,5453300250,1092319,11067,1948,382,391866,0 89,2,2024-09-07 09:56:51:132,797560,797560,0,0,36706138,0,3173 89,3,2024-09-07 09:56:51:792,1,631,4,0,468,10206,631,0 90,0,2024-09-07 09:56:51:636,150781,0.4,154908,0.6,316004,0.4,412072,2.00 90,1,2024-09-07 09:56:50:679,1107395,1107395,0,0,519097426785,5432474224,1097284,9213,898,380,391825,0 90,2,2024-09-07 09:56:51:404,792636,792631,5,0,40198169,0,6370 90,3,2024-09-07 09:56:50:933,1,631,175,0,322,7621,631,0 91,0,2024-09-07 09:56:50:936,160728,0.4,155948,0.6,326402,0.4,428406,1.75 91,1,2024-09-07 09:56:50:644,1104904,1104904,0,0,518465394916,5458755177,1089023,12733,3148,381,392047,0 91,2,2024-09-07 09:56:51:338,797329,797329,0,0,35429077,0,4713 91,3,2024-09-07 09:56:50:599,1,631,7,0,216,5526,631,0 92,0,2024-09-07 09:56:51:440,161916,0.4,165556,0.6,315551,0.4,427639,1.75 92,1,2024-09-07 09:56:50:603,1108108,1108108,0,0,520687736570,5434877558,1099702,7118,1288,381,392136,0 92,2,2024-09-07 09:56:51:350,801064,801064,0,0,31333165,0,3259 92,3,2024-09-07 09:56:51:009,1,631,1,0,167,5295,631,0 93,0,2024-09-07 09:56:50:971,158152,0.4,161962,0.6,309418,0.3,418861,1.75 93,1,2024-09-07 09:56:50:848,1109189,1109189,0,0,520182721161,5425127312,1097454,9798,1937,365,392048,0 93,2,2024-09-07 09:56:50:928,799989,799989,0,0,37037833,0,4913 93,3,2024-09-07 09:56:51:407,1,631,239,0,375,6614,631,0 94,0,2024-09-07 09:56:51:614,155300,0.4,156540,0.5,312792,0.3,415384,1.75 94,1,2024-09-07 09:56:50:600,1109387,1109387,0,0,520449286638,5423614141,1102418,6533,436,381,391850,0 94,2,2024-09-07 09:56:50:793,795361,795333,28,0,31762366,0,6179 94,3,2024-09-07 09:56:51:689,1,631,3,0,576,7684,631,0 95,0,2024-09-07 09:56:51:400,158430,0.3,158363,0.5,316998,0.3,422505,1.75 95,1,2024-09-07 09:56:50:866,1110059,1110059,0,0,521159336452,5411992386,1101599,7708,752,365,391852,0 95,2,2024-09-07 09:56:51:015,795709,795709,0,0,31574195,0,3308 95,3,2024-09-07 09:56:51:734,1,631,56,0,718,9774,631,0 96,0,2024-09-07 09:56:51:022,162713,0.3,162979,0.5,326218,0.3,433134,1.75 96,1,2024-09-07 09:56:51:623,1108235,1108235,0,0,519729195419,5417688734,1100809,6149,1277,384,391964,0 96,2,2024-09-07 09:56:51:268,803458,803458,0,0,32714262,0,4180 96,3,2024-09-07 09:56:51:140,1,631,3,0,411,7084,631,0 97,0,2024-09-07 09:56:51:351,156499,0.3,156464,0.5,313632,0.3,416760,1.50 97,1,2024-09-07 09:56:50:781,1110297,1110297,0,0,521615527301,5408899987,1103341,5913,1043,367,392140,0 97,2,2024-09-07 09:56:50:616,801699,801699,0,0,32134120,0,4046 97,3,2024-09-07 09:56:50:573,1,631,2,0,242,6923,631,0 98,0,2024-09-07 09:56:51:691,155446,0.3,155542,0.4,312269,0.2,415247,1.50 98,1,2024-09-07 09:56:50:597,1109190,1109190,0,0,520632641931,5420241550,1102669,5681,840,381,391997,0 98,2,2024-09-07 09:56:50:772,794517,794517,0,0,30775400,0,4336 98,3,2024-09-07 09:56:50:708,1,631,2,0,840,9292,631,0 99,0,2024-09-07 09:56:51:444,157380,0.3,158151,0.5,314971,0.3,420637,1.75 99,1,2024-09-07 09:56:51:742,1110212,1110212,0,0,521007322405,5418977943,1103572,5674,966,380,392069,0 99,2,2024-09-07 09:56:51:416,796219,796219,0,0,38455852,0,4276 99,3,2024-09-07 09:56:50:586,1,631,12,0,1124,7967,631,0 100,0,2024-09-07 09:56:51:466,161815,0.7,162274,0.8,324337,0.7,432596,2.25 100,1,2024-09-07 09:56:50:642,1103303,1103303,0,0,517820849020,5468676554,1087218,12765,3320,378,391989,0 100,2,2024-09-07 09:56:51:826,797097,796708,389,0,41447473,0,16909 100,3,2024-09-07 09:56:51:731,1,631,11,0,627,10326,631,0 101,0,2024-09-07 09:56:51:696,160250,1.0,156419,1.0,305929,1.0,417915,2.25 101,1,2024-09-07 09:56:50:608,1105954,1105954,0,0,519073712622,5449783400,1091713,11712,2529,368,391847,0 101,2,2024-09-07 09:56:51:756,795707,795707,0,0,41382200,0,4871 101,3,2024-09-07 09:56:50:945,1,631,25,0,1250,9262,631,0 102,0,2024-09-07 09:56:50:977,152391,0.6,157021,0.8,319020,0.6,417081,2.25 102,1,2024-09-07 09:56:51:156,1105403,1105403,0,0,518588578937,5443758688,1091053,12149,2201,369,391984,0 102,2,2024-09-07 09:56:51:745,797074,797020,54,0,35288900,0,6768 102,3,2024-09-07 09:56:51:619,1,631,1,0,466,7031,631,0 103,0,2024-09-07 09:56:51:602,161081,0.5,161090,0.7,303416,0.5,417993,2.00 103,1,2024-09-07 09:56:51:634,1104533,1104533,0,0,518184114187,5468194106,1087390,13538,3605,381,392077,0 103,2,2024-09-07 09:56:50:598,794193,794193,0,0,38276529,0,3766 103,3,2024-09-07 09:56:50:761,1,631,1,0,916,7281,631,0 104,0,2024-09-07 09:56:51:012,160727,0.7,160884,0.9,321132,0.6,429695,2.25 104,1,2024-09-07 09:56:51:639,1107074,1107074,0,0,519247025911,5450128937,1091504,12725,2845,365,392168,0 104,2,2024-09-07 09:56:51:666,795748,795748,0,0,37826807,0,4161 104,3,2024-09-07 09:56:51:416,1,631,9,0,1245,10914,631,0 105,0,2024-09-07 09:56:51:024,156717,0.9,152788,1.0,320417,1.0,420610,2.50 105,1,2024-09-07 09:56:50:635,1108064,1108064,0,0,519877854167,5441448883,1094478,11640,1946,364,392009,0 105,2,2024-09-07 09:56:51:338,799078,799078,0,0,38115547,0,4360 105,3,2024-09-07 09:56:51:304,1,631,1,0,573,9536,631,0 106,0,2024-09-07 09:56:50:944,151605,0.9,155309,1.0,318106,1.1,415214,2.50 106,1,2024-09-07 09:56:51:780,1106873,1106873,0,0,518247880156,5433867558,1092185,12791,1897,368,391914,0 106,2,2024-09-07 09:56:50:761,798115,798115,0,0,35755306,0,3331 106,3,2024-09-07 09:56:50:718,1,631,2,0,1224,8527,631,0 107,0,2024-09-07 09:56:51:105,155576,0.9,155603,0.9,311339,1.0,414674,2.25 107,1,2024-09-07 09:56:50:608,1104881,1104881,0,0,517912270918,5468197722,1087468,15277,2136,381,392234,0 107,2,2024-09-07 09:56:51:291,792307,792306,1,0,38883611,0,5024 107,3,2024-09-07 09:56:51:755,1,631,12,0,733,9488,631,0 108,0,2024-09-07 09:56:51:780,158795,0.4,159430,0.6,317885,0.4,424041,1.75 108,1,2024-09-07 09:56:51:295,1108202,1108202,0,0,519994137493,5419221964,1099483,7721,998,367,391894,0 108,2,2024-09-07 09:56:51:755,797073,797073,0,0,33565885,0,4246 108,3,2024-09-07 09:56:51:337,1,631,11,0,749,10992,631,0 109,0,2024-09-07 09:56:51:797,162301,0.4,160894,0.6,322688,0.3,430295,1.75 109,1,2024-09-07 09:56:50:618,1105913,1105913,0,0,519347008405,5443644427,1096411,8222,1280,382,392132,0 109,2,2024-09-07 09:56:50:921,799920,799920,0,0,34622622,0,3617 109,3,2024-09-07 09:56:51:139,1,631,1,0,630,7454,631,0 110,0,2024-09-07 09:56:51:757,156687,0.4,152289,0.6,319055,0.4,418523,1.75 110,1,2024-09-07 09:56:51:657,1110474,1110474,0,0,520854785484,5403073238,1102423,6122,1929,368,392045,0 110,2,2024-09-07 09:56:51:310,801332,801332,0,0,31925445,0,4067 110,3,2024-09-07 09:56:50:704,1,631,1,0,722,8241,631,0 111,0,2024-09-07 09:56:51:412,156742,0.4,156030,0.5,311720,0.3,416108,1.75 111,1,2024-09-07 09:56:51:013,1111660,1111660,0,0,522086927437,5411409096,1106021,5258,381,380,391690,0 111,2,2024-09-07 09:56:51:120,795182,795182,0,0,32357802,0,4823 111,3,2024-09-07 09:56:50:915,1,631,8,0,379,6693,631,0 112,0,2024-09-07 09:56:50:926,158581,0.3,158197,0.4,316992,0.2,420882,1.50 112,1,2024-09-07 09:56:50:837,1110260,1110260,0,0,520728301379,5404548634,1103050,5985,1225,380,391624,0 112,2,2024-09-07 09:56:51:133,796522,796521,1,0,30959780,0,5036 112,3,2024-09-07 09:56:50:594,1,631,1,0,282,5860,631,0 113,0,2024-09-07 09:56:50:864,162508,0.3,162541,0.5,325520,0.2,433736,1.50 113,1,2024-09-07 09:56:51:692,1112286,1112286,0,0,522436991612,5387571492,1106033,5305,948,365,391664,0 113,2,2024-09-07 09:56:51:302,804563,804563,0,0,29475872,0,3813 113,3,2024-09-07 09:56:50:688,1,631,5,0,510,6648,631,0 114,0,2024-09-07 09:56:50:882,156998,0.3,158034,0.5,315102,0.2,420653,1.75 114,1,2024-09-07 09:56:50:739,1110625,1110625,0,0,520849762549,5404983934,1102681,6197,1747,381,391565,0 114,2,2024-09-07 09:56:50:872,802667,802666,1,0,30329441,0,5069 114,3,2024-09-07 09:56:51:279,1,631,0,0,415,5366,631,0 115,0,2024-09-07 09:56:50:560,156937,0.3,157481,0.4,313576,0.2,417216,1.50 115,1,2024-09-07 09:56:50:586,1110945,1110945,0,0,521490745025,5413873100,1102618,6810,1517,382,391757,0 115,2,2024-09-07 09:56:51:126,797082,797082,0,0,30552498,0,4382 115,3,2024-09-07 09:56:51:009,1,631,12,0,167,3793,631,0 116,0,2024-09-07 09:56:51:712,156021,0.6,155844,0.8,312479,0.6,417260,2.00 116,1,2024-09-07 09:56:50:803,1104477,1104477,0,0,519210059576,5466405495,1091809,9576,3092,380,392089,0 116,2,2024-09-07 09:56:51:754,796445,796445,0,0,38063848,0,4475 116,3,2024-09-07 09:56:50:912,1,631,103,0,448,7988,631,0 117,0,2024-09-07 09:56:50:962,162488,0.6,161863,0.8,324277,0.6,433600,2.00 117,1,2024-09-07 09:56:51:592,1106458,1106458,0,0,518320264183,5423700428,1094659,10273,1526,369,392429,0 117,2,2024-09-07 09:56:51:120,801046,801046,0,0,34514813,0,4303 117,3,2024-09-07 09:56:51:059,1,631,1,0,490,7815,631,0 118,0,2024-09-07 09:56:51:769,152717,0.5,156907,0.7,320171,0.5,418557,2.00 118,1,2024-09-07 09:56:50:593,1105829,1105829,0,0,517883989506,5440232771,1089936,12268,3625,366,392054,0 118,2,2024-09-07 09:56:51:600,798637,798637,0,0,36738666,0,2842 118,3,2024-09-07 09:56:51:765,1,631,4,0,289,7284,631,0 119,0,2024-09-07 09:56:51:335,156562,0.8,157168,0.8,313675,0.8,417957,2.25 119,1,2024-09-07 09:56:50:558,1106788,1106788,0,0,519289717772,5441908716,1092055,12220,2513,367,391857,0 119,2,2024-09-07 09:56:51:261,798866,798866,0,0,34504295,0,4309 119,3,2024-09-07 09:56:51:329,1,631,9,0,1358,11493,631,0 120,0,2024-09-07 09:56:51:558,154590,0.6,154397,0.8,310279,0.6,413287,2.25 120,1,2024-09-07 09:56:50:858,1107689,1107689,0,0,518857995286,5437467613,1095955,10591,1143,367,392144,0 120,2,2024-09-07 09:56:50:771,795126,795123,3,0,41010265,0,5363 120,3,2024-09-07 09:56:51:290,1,631,1,0,279,7528,631,0 121,0,2024-09-07 09:56:51:686,160488,0.9,160099,1.0,320141,1.2,427375,2.25 121,1,2024-09-07 09:56:51:660,1107028,1107028,0,0,519318576892,5430165392,1095719,9752,1557,366,391840,0 121,2,2024-09-07 09:56:51:128,796700,796700,0,0,37510606,0,4157 121,3,2024-09-07 09:56:50:748,1,631,1,0,387,8168,631,0 122,0,2024-09-07 09:56:51:771,159805,0.8,155353,0.9,325379,0.8,427474,2.00 122,1,2024-09-07 09:56:50:876,1106143,1106143,0,0,518851214238,5441378239,1091116,12609,2418,365,392130,0 122,2,2024-09-07 09:56:51:319,801144,801069,75,0,41220084,0,5989 122,3,2024-09-07 09:56:50:595,1,631,1,0,512,9945,631,0 123,0,2024-09-07 09:56:50:990,156523,0.8,152320,0.9,319213,0.9,417411,2.25 123,1,2024-09-07 09:56:50:625,1106937,1106937,0,0,519710032299,5459604458,1090040,14225,2672,369,392039,0 123,2,2024-09-07 09:56:51:018,798323,798322,1,0,35284501,0,5215 123,3,2024-09-07 09:56:51:135,1,631,22,0,478,7080,631,0 124,0,2024-09-07 09:56:50:920,160245,0.3,160281,0.5,302096,0.3,415895,1.75 124,1,2024-09-07 09:56:51:022,1110363,1110363,0,0,519989441749,5402649834,1102391,6793,1179,365,392178,0 124,2,2024-09-07 09:56:51:009,797231,797178,53,0,31711891,0,6487 124,3,2024-09-07 09:56:50:764,1,631,5,0,490,6094,631,0 125,0,2024-09-07 09:56:51:427,158110,0.4,157946,0.5,316955,0.3,422463,1.75 125,1,2024-09-07 09:56:50:857,1107248,1107248,0,0,519752305508,5421822485,1099085,7009,1154,382,392045,0 125,2,2024-09-07 09:56:51:126,798867,798867,0,0,32081782,0,4534 125,3,2024-09-07 09:56:51:131,1,631,6,0,709,7086,631,0 126,0,2024-09-07 09:56:51:422,162944,0.4,167558,0.5,320406,0.3,433812,1.75 126,1,2024-09-07 09:56:50:609,1111592,1111592,0,0,521331793772,5393229175,1106060,5083,449,365,391987,0 126,2,2024-09-07 09:56:50:647,804030,804030,0,0,33285451,0,4539 126,3,2024-09-07 09:56:50:932,1,631,1,0,268,6764,631,0 127,0,2024-09-07 09:56:51:619,156918,0.3,157055,0.5,313459,0.3,417047,1.75 127,1,2024-09-07 09:56:50:621,1109425,1109425,0,0,520231461768,5409182522,1098725,9060,1640,364,392187,0 127,2,2024-09-07 09:56:50:642,801089,801085,4,0,31527003,0,5305 127,3,2024-09-07 09:56:51:274,1,631,7,0,968,6071,631,0 128,0,2024-09-07 09:56:51:543,156418,0.3,156554,0.4,312779,0.2,415974,1.50 128,1,2024-09-07 09:56:51:606,1109063,1109063,0,0,520345585888,5409736098,1100524,7574,965,367,392423,0 128,2,2024-09-07 09:56:51:383,796978,796978,0,0,29105875,0,3171 128,3,2024-09-07 09:56:50:767,1,631,19,0,1082,9755,631,0 129,0,2024-09-07 09:56:50:990,158682,0.3,157871,0.5,316384,0.3,421159,1.50 129,1,2024-09-07 09:56:50:575,1105819,1105819,0,0,519682662836,5443166607,1095082,8715,2022,379,391962,0 129,2,2024-09-07 09:56:50:689,798429,798425,4,0,31861740,0,5335 129,3,2024-09-07 09:56:50:688,1,631,15,0,506,8448,631,0 130,0,2024-09-07 09:56:51:713,162986,0.4,162674,0.5,325671,0.3,433730,1.75 130,1,2024-09-07 09:56:50:584,1110685,1110685,0,0,521205362496,5410371308,1105224,5058,403,381,391825,0 130,2,2024-09-07 09:56:51:141,801090,801090,0,0,32104596,0,4067 130,3,2024-09-07 09:56:51:291,1,631,1,0,960,8418,631,0 131,0,2024-09-07 09:56:51:927,156952,0.3,157440,0.5,315635,0.3,419575,1.75 131,1,2024-09-07 09:56:51:820,1109650,1109650,0,0,520470240850,5421182589,1102408,6044,1198,381,391865,0 131,2,2024-09-07 09:56:50:586,800750,800750,0,0,29709549,0,3979 131,3,2024-09-07 09:56:51:688,1,631,13,0,392,7904,631,0 132,0,2024-09-07 09:56:51:429,156999,0.5,157970,0.6,314533,0.4,418198,2.00 132,1,2024-09-07 09:56:50:588,1105336,1105336,0,0,518447713217,5453448587,1089867,12765,2704,381,392532,0 132,2,2024-09-07 09:56:50:706,796245,796228,17,0,38484180,0,6451 132,3,2024-09-07 09:56:51:689,1,631,1,0,1298,10962,631,0 133,0,2024-09-07 09:56:51:531,152093,0.4,155677,0.6,319085,0.4,415724,2.00 133,1,2024-09-07 09:56:50:589,1105319,1105319,0,0,518380900133,5456622501,1091206,12347,1766,383,391914,0 133,2,2024-09-07 09:56:51:086,797030,796980,50,0,39401363,0,6861 133,3,2024-09-07 09:56:51:315,1,631,5,0,528,7548,631,0 134,0,2024-09-07 09:56:50:977,162056,0.5,162193,0.6,324336,0.4,432079,2.00 134,1,2024-09-07 09:56:50:781,1107032,1107032,0,0,519565606364,5444417088,1093165,11375,2492,366,391781,0 134,2,2024-09-07 09:56:51:758,797871,797847,24,0,36442828,0,6207 134,3,2024-09-07 09:56:50:750,1,631,1,0,739,7750,631,0 135,0,2024-09-07 09:56:51:115,153626,0.7,153614,0.8,326200,0.8,419974,2.25 135,1,2024-09-07 09:56:51:586,1106032,1106032,0,0,519623114360,5458137678,1092990,11401,1641,380,391974,0 135,2,2024-09-07 09:56:50:687,801142,801142,0,0,37399173,0,4503 135,3,2024-09-07 09:56:51:008,1,631,7,0,900,5967,631,0 136,0,2024-09-07 09:56:51:616,157417,0.6,157853,0.8,313526,0.6,418368,2.00 136,1,2024-09-07 09:56:51:450,1107344,1107344,0,0,520177513268,5446998690,1095422,10532,1390,381,392135,0 136,2,2024-09-07 09:56:51:133,800910,800895,15,0,36877420,0,6007 136,3,2024-09-07 09:56:51:108,1,631,27,0,637,7091,631,0 137,0,2024-09-07 09:56:50:921,160801,0.6,156812,0.7,306920,0.6,416534,2.00 137,1,2024-09-07 09:56:50:575,1106230,1106230,0,0,519453748511,5442960923,1089672,13168,3390,366,391898,0 137,2,2024-09-07 09:56:51:719,794487,794487,0,0,37886062,0,3185 137,3,2024-09-07 09:56:50:770,1,631,1,0,484,8391,631,0 138,0,2024-09-07 09:56:51:746,158381,0.7,158645,0.8,317941,0.7,422638,2.00 138,1,2024-09-07 09:56:51:696,1106998,1106998,0,0,519810678886,5447572725,1092200,12525,2273,368,391954,0 138,2,2024-09-07 09:56:50:587,795837,795837,0,0,36174594,0,4988 138,3,2024-09-07 09:56:50:624,1,631,2,0,1200,9638,631,0 139,0,2024-09-07 09:56:51:361,160117,0.9,160759,0.9,321178,1.3,428641,2.25 139,1,2024-09-07 09:56:50:574,1102082,1102082,0,0,516292865712,5468593318,1083674,14580,3828,380,392109,0 139,2,2024-09-07 09:56:50:711,796374,796344,30,0,41649151,0,5997 139,3,2024-09-07 09:56:51:662,1,631,12,0,432,7333,631,0 140,0,2024-09-07 09:56:51:588,157257,0.3,156480,0.5,314074,0.2,418839,1.75 140,1,2024-09-07 09:56:51:536,1113607,1113607,0,0,523221769755,5390350418,1108539,4520,548,364,391628,0 140,2,2024-09-07 09:56:50:696,801453,801452,1,0,29674903,0,5036 140,3,2024-09-07 09:56:50:771,1,631,1,0,575,6176,631,0 141,0,2024-09-07 09:56:51:723,156321,0.3,160677,0.5,307190,0.2,415832,1.50 141,1,2024-09-07 09:56:50:860,1111094,1111094,0,0,520450508978,5403055562,1102894,7007,1193,379,391614,0 141,2,2024-09-07 09:56:51:685,795812,795801,11,0,31647288,0,5369 141,3,2024-09-07 09:56:51:046,1,631,0,0,391,7048,631,0 142,0,2024-09-07 09:56:51:327,158812,0.3,158008,0.5,316246,0.2,421801,1.50 142,1,2024-09-07 09:56:50:585,1109189,1109189,0,0,520095516615,5417641840,1102445,6233,511,382,392102,0 142,2,2024-09-07 09:56:51:300,795902,795870,32,0,32227776,0,6028 142,3,2024-09-07 09:56:51:746,1,631,7,0,484,6486,631,0 143,0,2024-09-07 09:56:51:375,162577,0.4,162356,0.5,325718,0.4,433757,1.75 143,1,2024-09-07 09:56:50:556,1111549,1111549,0,0,521896126137,5409776566,1105064,5917,568,367,391900,0 143,2,2024-09-07 09:56:50:771,802896,802896,0,0,32384265,0,3123 143,3,2024-09-07 09:56:51:140,1,631,8,0,462,7404,631,0 144,0,2024-09-07 09:56:51:532,152101,0.6,156619,0.7,318449,0.5,417060,2.00 144,1,2024-09-07 09:56:50:572,1106359,1106359,0,0,518171304041,5433487989,1095730,8634,1995,381,391733,0 144,2,2024-09-07 09:56:51:757,801733,801733,0,0,31513047,0,4443 144,3,2024-09-07 09:56:51:741,1,631,4,0,249,6205,631,0 145,0,2024-09-07 09:56:51:383,151242,0.6,151161,0.8,320890,0.5,412913,2.25 145,1,2024-09-07 09:56:50:556,1105159,1105159,0,0,518976905201,5455695314,1091065,11417,2677,382,391781,0 145,2,2024-09-07 09:56:51:435,793928,793846,82,0,37272025,0,7814 145,3,2024-09-07 09:56:50:898,1,631,4,0,622,8173,631,0 146,0,2024-09-07 09:56:51:627,156351,0.5,155447,0.7,312738,0.5,415600,2.00 146,1,2024-09-07 09:56:51:602,1106417,1106417,0,0,518988805598,5455287417,1088869,13066,4482,367,391770,0 146,2,2024-09-07 09:56:51:703,795284,795278,6,0,35543335,0,5151 146,3,2024-09-07 09:56:51:274,1,631,0,0,1520,10073,631,0 147,0,2024-09-07 09:56:51:744,162388,0.5,162222,0.7,324326,0.5,432807,2.00 147,1,2024-09-07 09:56:51:373,1110771,1110771,0,0,521380393538,5414006590,1102256,7548,967,367,391791,0 147,2,2024-09-07 09:56:51:010,799607,799607,0,0,31843236,0,2968 147,3,2024-09-07 09:56:50:922,1,631,9,0,1626,9749,631,0 0,0,2024-09-07 09:57:01:710,151147,0.5,151150,0.7,320863,0.6,414142,2.00 0,1,2024-09-07 09:57:00:820,1109278,1109278,0,0,520609791429,5446177625,1100622,7704,952,368,391896,0 0,2,2024-09-07 09:57:01:071,798395,798395,0,0,31790392,0,4480 0,3,2024-09-07 09:57:00:978,1,632,0,0,431,8845,632,0 1,0,2024-09-07 09:57:01:766,160757,0.8,159681,0.9,320579,0.9,429225,2.00 1,1,2024-09-07 09:57:00:564,1107882,1107882,0,0,519450889288,5436714794,1098386,8003,1493,370,391859,0 1,2,2024-09-07 09:57:00:638,799391,799391,0,0,31435650,0,3380 1,3,2024-09-07 09:57:01:305,1,632,5,0,269,7747,632,0 2,0,2024-09-07 09:57:01:584,160279,0.6,159834,0.7,319768,0.6,426330,2.00 2,1,2024-09-07 09:57:00:863,1111252,1111252,0,0,522018159585,5424203988,1105068,5232,952,379,391745,0 2,2,2024-09-07 09:57:01:267,802545,802545,0,0,29946310,0,3594 2,3,2024-09-07 09:57:00:698,1,632,1,0,357,5539,632,0 3,0,2024-09-07 09:57:01:748,157123,0.4,157132,0.6,313817,0.4,417893,2.00 3,1,2024-09-07 09:57:01:627,1110394,1110394,0,0,521461885854,5420374812,1102764,6925,705,379,391716,0 3,2,2024-09-07 09:57:01:145,802110,802087,23,0,30914338,0,5851 3,3,2024-09-07 09:57:01:754,1,632,19,0,484,4750,632,0 4,0,2024-09-07 09:57:01:773,151590,0.4,155796,0.5,317595,0.3,415139,1.75 4,1,2024-09-07 09:57:00:611,1104860,1104860,0,0,519003457791,5490082936,1086214,14329,4317,369,391992,0 4,2,2024-09-07 09:57:01:018,794155,794155,0,0,37777492,0,4534 4,3,2024-09-07 09:57:01:030,1,632,63,0,448,7592,632,0 5,0,2024-09-07 09:57:01:387,158860,0.4,158700,0.5,317520,0.3,422690,1.75 5,1,2024-09-07 09:57:00:759,1106442,1106442,0,0,518756236110,5472748031,1089764,12545,4133,367,392005,0 5,2,2024-09-07 09:57:01:830,794730,794730,0,0,36747787,0,3582 5,3,2024-09-07 09:57:01:739,1,632,0,0,457,8506,632,0 6,0,2024-09-07 09:57:00:929,162785,0.5,162270,0.6,324717,0.4,432488,2.00 6,1,2024-09-07 09:57:00:747,1108993,1108993,0,0,520047566611,5427810517,1097738,9666,1589,379,391702,0 6,2,2024-09-07 09:57:01:118,803291,803273,18,0,35603996,0,5535 6,3,2024-09-07 09:57:01:274,1,632,1,0,710,7470,632,0 7,0,2024-09-07 09:57:01:533,156178,0.5,157322,0.7,312243,0.5,416524,2.00 7,1,2024-09-07 09:57:00:854,1106786,1106786,0,0,519832156297,5468016104,1090340,12680,3766,382,391747,0 7,2,2024-09-07 09:57:00:775,801206,801206,0,0,34268531,0,4791 7,3,2024-09-07 09:57:00:858,1,632,15,0,552,7271,632,0 8,0,2024-09-07 09:57:01:329,156393,0.4,156113,0.5,312562,0.3,416497,1.75 8,1,2024-09-07 09:57:01:017,1106370,1106370,0,0,519269204667,5455826015,1089558,13220,3592,366,392853,0 8,2,2024-09-07 09:57:00:800,791359,791357,2,0,40383506,0,5112 8,3,2024-09-07 09:57:00:592,1,632,1,0,772,9743,632,0 9,0,2024-09-07 09:57:01:114,157787,0.3,153439,0.5,321011,0.3,421528,1.75 9,1,2024-09-07 09:57:00:552,1106287,1106287,0,0,519398907003,5469188813,1089470,13587,3230,369,392001,0 9,2,2024-09-07 09:57:01:094,796429,796428,1,0,38176887,0,5281 9,3,2024-09-07 09:57:01:752,1,632,4,0,1273,10360,632,0 10,0,2024-09-07 09:57:01:606,162450,0.3,161865,0.5,324564,0.2,432314,1.75 10,1,2024-09-07 09:57:00:588,1108275,1108275,0,0,520093850842,5451488760,1092540,12671,3064,381,391981,0 10,2,2024-09-07 09:57:00:767,802018,802018,0,0,41095900,0,4713 10,3,2024-09-07 09:57:00:871,1,632,1,0,669,6868,632,0 11,0,2024-09-07 09:57:01:010,157067,0.4,152417,0.6,318963,0.4,420198,1.75 11,1,2024-09-07 09:57:00:574,1109570,1109570,0,0,521063613756,5461097798,1093609,11658,4303,383,391766,0 11,2,2024-09-07 09:57:01:123,800295,800295,0,0,36210357,0,4698 11,3,2024-09-07 09:57:01:298,1,632,8,0,843,7909,632,0 12,0,2024-09-07 09:57:00:946,158069,0.4,157887,0.5,315348,0.4,419117,1.75 12,1,2024-09-07 09:57:00:935,1109208,1109208,0,0,520377836302,5423469571,1100744,7455,1009,370,391960,0 12,2,2024-09-07 09:57:01:547,795698,795698,0,0,35084704,0,4390 12,3,2024-09-07 09:57:01:059,1,632,88,0,386,7763,632,0 13,0,2024-09-07 09:57:01:324,157186,0.4,157272,0.5,313663,0.3,418299,1.75 13,1,2024-09-07 09:57:01:525,1106769,1106769,0,0,519547954156,5456493872,1095856,8522,2391,382,391803,0 13,2,2024-09-07 09:57:00:602,800007,800007,0,0,31490014,0,3287 13,3,2024-09-07 09:57:01:762,1,632,1,0,522,8113,632,0 14,0,2024-09-07 09:57:00:568,162250,0.4,163292,0.6,324225,0.3,432141,1.75 14,1,2024-09-07 09:57:01:566,1114282,1114282,0,0,522715142594,5405138991,1105801,7352,1129,364,391673,0 14,2,2024-09-07 09:57:00:767,800794,800764,30,0,32777332,0,6104 14,3,2024-09-07 09:57:01:115,1,632,1,0,1168,6760,632,0 15,0,2024-09-07 09:57:01:562,158851,0.4,157943,0.6,316274,0.4,421517,2.00 15,1,2024-09-07 09:57:01:610,1111434,1111434,0,0,521970006558,5431362179,1102355,7166,1913,381,391619,0 15,2,2024-09-07 09:57:01:006,802902,802902,0,0,28709597,0,3622 15,3,2024-09-07 09:57:01:405,1,632,14,0,1126,8175,632,0 16,0,2024-09-07 09:57:00:944,157007,0.6,157665,0.8,314714,0.6,418734,2.00 16,1,2024-09-07 09:57:00:565,1110712,1110712,0,0,521118813081,5443673056,1101247,8019,1446,370,392194,0 16,2,2024-09-07 09:57:01:449,799573,799573,0,0,32316941,0,4719 16,3,2024-09-07 09:57:01:149,1,632,1,0,358,7732,632,0 17,0,2024-09-07 09:57:01:779,160594,0.5,156497,0.7,306671,0.5,416478,2.00 17,1,2024-09-07 09:57:00:575,1108435,1108435,0,0,520242949538,5452783593,1097927,8733,1775,368,392075,0 17,2,2024-09-07 09:57:01:666,799967,799966,1,0,33600546,0,5050 17,3,2024-09-07 09:57:00:580,1,632,54,0,518,8994,632,0 18,0,2024-09-07 09:57:00:943,157987,0.6,159033,0.8,316476,0.6,423147,2.25 18,1,2024-09-07 09:57:01:639,1113124,1113124,0,0,521259633807,5402917263,1105998,5867,1259,367,391725,0 18,2,2024-09-07 09:57:01:755,800469,800469,0,0,30102546,0,3541 18,3,2024-09-07 09:57:00:911,1,632,4,0,1059,5682,632,0 19,0,2024-09-07 09:57:01:557,160835,0.6,161245,0.8,321577,0.7,426854,2.00 19,1,2024-09-07 09:57:00:566,1113074,1113074,0,0,522799949479,5415425426,1105128,6812,1134,365,391777,0 19,2,2024-09-07 09:57:01:753,805283,805283,0,0,28508109,0,3988 19,3,2024-09-07 09:57:01:135,1,632,8,0,524,4800,632,0 20,0,2024-09-07 09:57:01:376,157005,0.5,156847,0.7,313577,0.5,418262,2.00 20,1,2024-09-07 09:57:00:577,1108492,1108492,0,0,520876731133,5454926685,1097632,9349,1511,369,391922,0 20,2,2024-09-07 09:57:00:935,801308,801308,0,0,35433876,0,4321 20,3,2024-09-07 09:57:00:600,1,632,4,0,468,9872,632,0 21,0,2024-09-07 09:57:01:129,156399,0.5,156413,0.6,312857,0.4,415570,2.00 21,1,2024-09-07 09:57:01:545,1106582,1106582,0,0,519194258856,5475417731,1089573,13171,3838,368,392016,0 21,2,2024-09-07 09:57:01:071,790313,789836,477,0,45812548,0,17074 21,3,2024-09-07 09:57:01:408,1,632,3,0,713,8796,632,0 22,0,2024-09-07 09:57:01:717,157996,0.4,158571,0.6,316837,0.4,420193,2.00 22,1,2024-09-07 09:57:01:028,1107186,1107186,0,0,519319804721,5461263491,1089271,14374,3541,381,391822,0 22,2,2024-09-07 09:57:00:767,796230,796204,26,0,33566643,0,6328 22,3,2024-09-07 09:57:01:072,1,632,1,0,228,5353,632,0 23,0,2024-09-07 09:57:01:377,162613,0.5,162448,0.6,324861,0.4,433213,2.00 23,1,2024-09-07 09:57:01:006,1107695,1107695,0,0,520491844694,5478366452,1088077,13035,6583,365,391690,0 23,2,2024-09-07 09:57:01:104,803624,803624,0,0,32374842,0,3773 23,3,2024-09-07 09:57:01:753,1,632,10,0,855,8977,632,0 24,0,2024-09-07 09:57:00:828,158095,0.4,157217,0.5,316093,0.4,419960,1.75 24,1,2024-09-07 09:57:00:598,1108278,1108278,0,0,518983164442,5428423441,1098127,8441,1710,367,392269,0 24,2,2024-09-07 09:57:01:076,801299,801296,3,0,38864516,0,6294 24,3,2024-09-07 09:57:01:687,1,632,3,0,468,7987,632,0 25,0,2024-09-07 09:57:01:384,160726,0.4,156507,0.6,307469,0.4,418397,1.75 25,1,2024-09-07 09:57:00:573,1106500,1106500,0,0,519103391498,5479128661,1087657,15127,3716,369,391928,0 25,2,2024-09-07 09:57:01:619,794277,794277,0,0,39366963,0,3978 25,3,2024-09-07 09:57:01:000,1,632,1,0,532,6731,632,0 26,0,2024-09-07 09:57:01:722,155830,0.4,152306,0.6,319740,0.3,416587,1.75 26,1,2024-09-07 09:57:01:550,1109936,1109936,0,0,520977056874,5448299787,1095594,11809,2533,380,391758,0 26,2,2024-09-07 09:57:00:867,797584,797584,0,0,41946118,0,4689 26,3,2024-09-07 09:57:01:713,1,632,1,0,796,7630,632,0 27,0,2024-09-07 09:57:01:724,162535,0.4,163357,0.6,324921,0.3,433361,2.25 27,1,2024-09-07 09:57:01:680,1111369,1111369,0,0,521477650086,5430170036,1100966,8794,1609,381,391626,0 27,2,2024-09-07 09:57:00:871,798016,797951,65,0,36829229,0,5699 27,3,2024-09-07 09:57:01:014,1,632,19,0,564,5315,632,0 28,0,2024-09-07 09:57:01:388,157743,0.4,157978,0.6,316026,0.3,420613,1.75 28,1,2024-09-07 09:57:00:800,1111416,1111416,0,0,521690524085,5434317609,1102466,7058,1892,382,391904,0 28,2,2024-09-07 09:57:01:764,801619,801619,0,0,31827562,0,2915 28,3,2024-09-07 09:57:01:779,1,632,2,0,502,6278,632,0 29,0,2024-09-07 09:57:01:360,161411,0.4,157274,0.6,308569,0.3,420195,1.75 29,1,2024-09-07 09:57:01:574,1114601,1114601,0,0,523209245050,5407808153,1108151,5672,778,367,391809,0 29,2,2024-09-07 09:57:00:866,798837,798837,0,0,30770242,0,4986 29,3,2024-09-07 09:57:00:967,1,632,3,0,459,6644,632,0 30,0,2024-09-07 09:57:01:458,154728,0.5,150579,0.6,315550,0.4,412150,2.00 30,1,2024-09-07 09:57:00:579,1113167,1113167,0,0,522293024643,5414898922,1105589,6667,911,380,391672,0 30,2,2024-09-07 09:57:01:278,799140,799140,0,0,29426703,0,4192 30,3,2024-09-07 09:57:00:587,1,632,1,0,519,5762,632,0 31,0,2024-09-07 09:57:01:757,160539,0.4,161396,0.6,321732,0.3,429945,1.75 31,1,2024-09-07 09:57:00:582,1117365,1117365,0,0,523750869162,5375031022,1110902,5389,1074,356,391712,0 31,2,2024-09-07 09:57:01:277,798796,798796,0,0,33279806,0,4470 31,3,2024-09-07 09:57:01:709,1,632,8,0,239,5308,632,0 32,0,2024-09-07 09:57:01:441,160385,0.3,161460,0.5,321356,0.3,427745,1.75 32,1,2024-09-07 09:57:00:811,1113084,1113084,0,0,521716055869,5418319660,1106831,5535,718,381,391646,0 32,2,2024-09-07 09:57:00:936,803870,803870,0,0,29249298,0,3922 32,3,2024-09-07 09:57:01:014,1,632,134,0,304,5175,632,0 33,0,2024-09-07 09:57:01:496,157780,0.3,157348,0.4,314855,0.2,418815,1.50 33,1,2024-09-07 09:57:00:585,1113571,1113571,0,0,522730327172,5411206149,1105044,7254,1273,368,391730,0 33,2,2024-09-07 09:57:00:758,802116,802081,35,0,32360173,0,7012 33,3,2024-09-07 09:57:00:898,1,632,33,0,329,5414,632,0 34,0,2024-09-07 09:57:00:931,156392,0.3,160827,0.5,307343,0.2,414984,1.75 34,1,2024-09-07 09:57:01:044,1115539,1115539,0,0,524038081492,5388673708,1112386,3042,111,367,391637,0 34,2,2024-09-07 09:57:00:770,797875,797875,0,0,30776768,0,4562 34,3,2024-09-07 09:57:01:693,1,632,2,0,541,5566,632,0 35,0,2024-09-07 09:57:00:864,158042,0.3,158815,0.5,318327,0.2,423542,1.75 35,1,2024-09-07 09:57:01:071,1111721,1111721,0,0,522433347773,5418977937,1103547,6651,1523,382,391769,0 35,2,2024-09-07 09:57:01:583,797959,797959,0,0,34390364,0,4055 35,3,2024-09-07 09:57:00:911,1,632,8,0,466,5804,632,0 36,0,2024-09-07 09:57:01:519,163150,0.5,163335,0.6,326126,0.4,433979,2.00 36,1,2024-09-07 09:57:00:588,1110789,1110789,0,0,521297008791,5438750970,1097162,11386,2241,366,391759,0 36,2,2024-09-07 09:57:01:753,803716,803716,0,0,35498733,0,3875 36,3,2024-09-07 09:57:00:866,1,632,1,0,556,8389,632,0 37,0,2024-09-07 09:57:01:366,155966,0.5,156227,0.7,312699,0.5,417170,2.25 37,1,2024-09-07 09:57:00:573,1109906,1109899,0,7,520689713508,5433566078,1097114,9868,2917,365,391770,0 37,2,2024-09-07 09:57:01:141,799353,799338,15,0,35427088,0,5815 37,3,2024-09-07 09:57:01:766,1,632,27,0,888,8297,632,0 38,0,2024-09-07 09:57:01:454,155248,0.4,150565,0.6,314776,0.4,412295,2.00 38,1,2024-09-07 09:57:01:608,1110237,1110237,0,0,521481206317,5449449472,1094885,12351,3001,368,391821,0 38,2,2024-09-07 09:57:00:760,795846,795799,47,0,35215879,0,6710 38,3,2024-09-07 09:57:01:001,1,632,0,0,689,7474,632,0 39,0,2024-09-07 09:57:01:759,161359,0.5,157691,0.7,307638,0.4,420327,2.00 39,1,2024-09-07 09:57:00:723,1109412,1109412,0,0,520520105410,5451590574,1091886,13811,3715,365,391865,0 39,2,2024-09-07 09:57:01:421,797440,797440,0,0,33707865,0,3391 39,3,2024-09-07 09:57:00:713,1,632,9,0,525,6972,632,0 40,0,2024-09-07 09:57:01:494,160997,0.8,161830,0.9,323061,0.8,430857,2.50 40,1,2024-09-07 09:57:00:578,1110311,1110311,0,0,520234232388,5444210781,1094692,12549,3070,366,391668,0 40,2,2024-09-07 09:57:01:303,799689,799682,7,0,39796542,0,5347 40,3,2024-09-07 09:57:01:142,1,632,1,0,1028,9011,632,0 41,0,2024-09-07 09:57:01:034,156343,1.3,159990,1.1,305203,1.8,414878,3.00 41,1,2024-09-07 09:57:00:770,1109277,1109277,0,0,520462395207,5439143340,1095496,11621,2160,369,391878,0 41,2,2024-09-07 09:57:00:759,797902,797901,1,0,38102834,0,5408 41,3,2024-09-07 09:57:01:681,1,632,1,0,366,6744,632,0 42,0,2024-09-07 09:57:01:474,155961,0.9,155596,1.0,311411,1.0,413039,2.75 42,1,2024-09-07 09:57:01:440,1107056,1107056,0,0,518815753893,5446497066,1090467,13656,2933,380,391675,0 42,2,2024-09-07 09:57:01:133,795365,795364,1,0,38389093,0,5513 42,3,2024-09-07 09:57:01:009,1,632,35,0,892,6084,632,0 43,0,2024-09-07 09:57:00:934,154814,0.7,150660,0.9,315681,0.7,413167,2.25 43,1,2024-09-07 09:57:00:578,1110500,1110500,0,0,520759597394,5436489364,1095691,12237,2572,366,391696,0 43,2,2024-09-07 09:57:01:736,797914,797914,0,0,36201970,0,4723 43,3,2024-09-07 09:57:01:749,1,632,8,0,571,8915,632,0 44,0,2024-09-07 09:57:00:870,162296,0.4,162506,0.6,324494,0.3,432630,1.75 44,1,2024-09-07 09:57:00:571,1113721,1113721,0,0,520735788169,5379075750,1104345,7434,1942,356,391809,0 44,2,2024-09-07 09:57:01:267,798564,798564,0,0,29706427,0,4344 44,3,2024-09-07 09:57:01:102,1,632,2,0,1097,7722,632,0 45,0,2024-09-07 09:57:01:757,156884,0.5,153292,0.7,321734,0.4,421637,2.00 45,1,2024-09-07 09:57:01:004,1112421,1112421,0,0,522438323961,5424479384,1104165,7353,903,382,391917,0 45,2,2024-09-07 09:57:01:267,802261,802261,0,0,29947123,0,3596 45,3,2024-09-07 09:57:00:943,1,632,1,0,531,5928,632,0 46,0,2024-09-07 09:57:00:951,156273,0.5,155791,0.7,312588,0.5,415438,2.00 46,1,2024-09-07 09:57:00:574,1114651,1114651,0,0,522850944620,5401467158,1107070,6592,989,366,391709,0 46,2,2024-09-07 09:57:00:593,801986,801986,0,0,30627899,0,4443 46,3,2024-09-07 09:57:01:135,1,632,1,0,908,7334,632,0 47,0,2024-09-07 09:57:01:116,156272,0.4,155958,0.6,313443,0.3,414771,1.75 47,1,2024-09-07 09:57:00:568,1115751,1115751,0,0,522899744206,5397433331,1109643,5245,863,364,391641,0 47,2,2024-09-07 09:57:00:916,800627,800627,0,0,29629431,0,4477 47,3,2024-09-07 09:57:01:116,1,632,9,0,600,6762,632,0 48,0,2024-09-07 09:57:01:495,159729,0.3,160006,0.4,319376,0.2,424976,1.50 48,1,2024-09-07 09:57:01:031,1112154,1112154,0,0,521559856857,5412980810,1105967,5607,580,381,391710,0 48,2,2024-09-07 09:57:00:699,798614,798614,0,0,28270349,0,3524 48,3,2024-09-07 09:57:00:758,1,632,5,0,339,5455,632,0 49,0,2024-09-07 09:57:01:710,166084,0.3,162611,0.5,316494,0.3,431329,1.75 49,1,2024-09-07 09:57:01:035,1111463,1111463,0,0,521130859541,5417335205,1104182,5585,1696,382,391809,0 49,2,2024-09-07 09:57:01:798,804111,804111,0,0,30539500,0,4426 49,3,2024-09-07 09:57:01:420,1,632,147,0,992,7471,632,0 50,0,2024-09-07 09:57:01:515,157655,0.3,156140,0.5,314476,0.2,418235,1.75 50,1,2024-09-07 09:57:01:058,1114325,1114325,0,0,523173426030,5404383493,1107795,5861,669,368,391691,0 50,2,2024-09-07 09:57:01:070,800785,800785,0,0,28240026,0,4490 50,3,2024-09-07 09:57:01:297,1,632,2,0,617,6289,632,0 51,0,2024-09-07 09:57:01:690,160527,0.3,157078,0.5,305861,0.2,417334,1.75 51,1,2024-09-07 09:57:01:681,1114675,1114675,0,0,524517217681,5405221153,1108912,4682,1081,365,391706,0 51,2,2024-09-07 09:57:01:317,796549,796549,0,0,27713976,0,3337 51,3,2024-09-07 09:57:01:038,1,632,8,0,678,4484,632,0 52,0,2024-09-07 09:57:01:427,158657,0.5,158498,0.6,317092,0.4,421318,2.00 52,1,2024-09-07 09:57:00:626,1110049,1110049,0,0,521034895329,5448113140,1094897,12821,2331,368,391805,0 52,2,2024-09-07 09:57:01:754,794506,794468,38,0,37699315,0,6742 52,3,2024-09-07 09:57:00:686,1,632,138,0,1782,7290,632,0 53,0,2024-09-07 09:57:01:729,162394,0.6,157647,0.8,329816,0.6,432292,2.25 53,1,2024-09-07 09:57:00:778,1108158,1108158,0,0,520150766389,5453423617,1089842,13916,4400,367,391968,0 53,2,2024-09-07 09:57:01:302,803855,803854,1,0,33749974,0,5455 53,3,2024-09-07 09:57:00:698,1,632,1,0,308,5733,632,0 54,0,2024-09-07 09:57:01:631,155471,0.6,155610,0.8,310163,0.5,414463,2.25 54,1,2024-09-07 09:57:00:593,1111807,1111807,0,0,521531042182,5420652491,1100612,9523,1672,366,391810,0 54,2,2024-09-07 09:57:00:871,802275,802243,32,0,37484729,0,6397 54,3,2024-09-07 09:57:00:767,1,632,1,0,676,7936,632,0 55,0,2024-09-07 09:57:01:765,150915,0.6,155770,0.8,315640,0.5,411035,2.50 55,1,2024-09-07 09:57:00:775,1111924,1111924,0,0,521452677252,5418997362,1101011,9523,1390,365,391731,0 55,2,2024-09-07 09:57:00:735,795009,794953,56,0,34633800,0,7239 55,3,2024-09-07 09:57:00:687,1,632,11,0,304,5781,632,0 56,0,2024-09-07 09:57:01:580,159553,1.1,150410,1.1,310014,1.4,415096,2.75 56,1,2024-09-07 09:57:00:585,1105306,1105306,0,0,519058597803,5484357614,1087299,14317,3690,381,391867,0 56,2,2024-09-07 09:57:01:307,796534,796412,122,0,37001191,0,7432 56,3,2024-09-07 09:57:01:059,1,632,17,0,705,7199,632,0 57,0,2024-09-07 09:57:00:944,160987,1.2,160716,1.1,321827,1.6,429880,3.00 57,1,2024-09-07 09:57:00:986,1107886,1107886,0,0,519743859518,5450450894,1093385,12008,2493,366,392032,0 57,2,2024-09-07 09:57:01:317,800487,800487,0,0,38892478,0,4804 57,3,2024-09-07 09:57:01:740,1,632,4,0,455,7168,632,0 58,0,2024-09-07 09:57:00:558,155311,1.0,150847,1.0,315718,1.2,412908,2.75 58,1,2024-09-07 09:57:00:579,1109227,1109224,0,3,520858027977,5454653623,1093067,12253,3904,367,391726,3 58,2,2024-09-07 09:57:01:074,801594,801594,0,0,36359572,0,3483 58,3,2024-09-07 09:57:01:070,1,632,0,0,1043,6961,632,0 59,0,2024-09-07 09:57:01:738,155558,0.9,155062,1.0,309920,0.9,411257,3.00 59,1,2024-09-07 09:57:00:805,1108700,1108700,0,0,520516013636,5464419432,1090842,14189,3669,369,391653,0 59,2,2024-09-07 09:57:00:593,798916,798916,0,0,34401435,0,3727 59,3,2024-09-07 09:57:01:737,1,632,18,0,1015,7594,632,0 60,0,2024-09-07 09:57:01:706,155494,0.5,155522,0.7,311432,0.4,414485,1.75 60,1,2024-09-07 09:57:00:773,1112866,1112866,0,0,522130440661,5422970966,1104892,6885,1089,370,392031,0 60,2,2024-09-07 09:57:01:141,798448,798448,0,0,32531995,0,3811 60,3,2024-09-07 09:57:01:258,1,632,1,0,409,7135,632,0 61,0,2024-09-07 09:57:01:508,160677,0.6,161366,0.7,320996,0.5,429215,2.00 61,1,2024-09-07 09:57:00:772,1110314,1110314,0,0,520376533603,5442944719,1099162,9470,1682,382,392127,0 61,2,2024-09-07 09:57:01:115,799407,799340,67,0,33197127,0,6411 61,3,2024-09-07 09:57:01:693,1,632,1,0,607,7939,632,0 62,0,2024-09-07 09:57:01:710,160859,0.6,165055,0.7,315157,0.6,427682,2.00 62,1,2024-09-07 09:57:01:111,1116686,1116680,0,6,523867895409,5397932466,1111577,4803,300,365,391975,6 62,2,2024-09-07 09:57:01:647,800201,800200,1,0,33129988,0,5555 62,3,2024-09-07 09:57:01:143,1,632,1,0,482,4994,632,0 63,0,2024-09-07 09:57:01:451,157798,0.4,157453,0.6,315536,0.3,419157,1.75 63,1,2024-09-07 09:57:00:820,1112994,1112988,0,6,522671581467,5423332877,1106137,5994,857,381,391800,6 63,2,2024-09-07 09:57:00:761,801363,801363,0,0,31408432,0,4369 63,3,2024-09-07 09:57:01:732,1,632,8,0,667,6316,632,0 64,0,2024-09-07 09:57:01:539,155472,0.5,155369,0.6,310396,0.4,413582,2.00 64,1,2024-09-07 09:57:00:754,1111117,1111117,0,0,521520841359,5427837339,1101749,7389,1979,370,391794,0 64,2,2024-09-07 09:57:01:141,800762,800743,19,0,30660612,0,6121 64,3,2024-09-07 09:57:01:147,1,632,12,0,651,7086,632,0 65,0,2024-09-07 09:57:01:686,157597,0.6,157877,0.7,315556,0.6,421049,2.00 65,1,2024-09-07 09:57:00:863,1109963,1109963,0,0,520178885227,5433392798,1102400,6688,875,381,391901,0 65,2,2024-09-07 09:57:01:695,796900,796900,0,0,36962276,0,3367 65,3,2024-09-07 09:57:01:689,1,632,1,0,782,7410,632,0 66,0,2024-09-07 09:57:01:761,162323,0.5,161895,0.7,323876,0.5,430716,2.00 66,1,2024-09-07 09:57:01:301,1111978,1111978,0,0,521843438798,5425967020,1105468,5785,725,380,391743,0 66,2,2024-09-07 09:57:01:138,805850,805847,3,0,32733763,0,5455 66,3,2024-09-07 09:57:01:087,1,632,1,0,291,5543,632,0 67,0,2024-09-07 09:57:01:423,156761,0.5,156314,0.7,313617,0.5,417755,2.00 67,1,2024-09-07 09:57:00:770,1112301,1112300,0,1,522258056530,5432974409,1104897,6458,945,380,391787,1 67,2,2024-09-07 09:57:00:588,804753,804738,15,0,32519778,0,6205 67,3,2024-09-07 09:57:01:749,1,632,8,0,595,6385,632,0 68,0,2024-09-07 09:57:00:589,156096,0.6,155794,0.7,310607,0.5,415507,2.00 68,1,2024-09-07 09:57:00:578,1107922,1107922,0,0,519759240186,5460012677,1093827,10562,3533,381,391953,0 68,2,2024-09-07 09:57:01:058,792240,792140,100,0,40481180,0,8578 68,3,2024-09-07 09:57:00:738,1,632,8,0,417,7865,632,0 69,0,2024-09-07 09:57:01:730,157141,0.6,157947,0.8,314794,0.6,418628,2.25 69,1,2024-09-07 09:57:01:020,1106893,1106893,0,0,519491549971,5469245820,1092985,11071,2837,383,391994,0 69,2,2024-09-07 09:57:01:731,794509,794480,29,0,43359053,0,6912 69,3,2024-09-07 09:57:00:760,1,632,39,0,698,8839,632,0 70,0,2024-09-07 09:57:01:543,160850,0.7,161562,0.9,324133,0.6,429716,2.25 70,1,2024-09-07 09:57:00:843,1113138,1113138,0,0,522668095934,5419801801,1105052,7277,809,366,391725,0 70,2,2024-09-07 09:57:01:334,802319,802319,0,0,35714985,0,4323 70,3,2024-09-07 09:57:00:747,1,632,28,0,854,6872,632,0 71,0,2024-09-07 09:57:01:354,156104,0.9,155679,1.0,312633,1.1,417143,2.75 71,1,2024-09-07 09:57:01:596,1110771,1110771,0,0,521316327186,5436372855,1097580,11570,1621,367,391738,0 71,2,2024-09-07 09:57:01:073,800726,800726,0,0,35780622,0,4352 71,3,2024-09-07 09:57:01:749,1,632,10,0,644,6898,632,0 72,0,2024-09-07 09:57:01:021,161963,0.5,158192,0.7,308971,0.5,420181,2.00 72,1,2024-09-07 09:57:01:031,1109298,1109298,0,0,520161140559,5450335880,1093975,12802,2521,369,391819,0 72,2,2024-09-07 09:57:01:755,795424,795424,0,0,37280398,0,3983 72,3,2024-09-07 09:57:01:754,1,632,2,0,564,9007,632,0 73,0,2024-09-07 09:57:01:113,152244,0.4,156176,0.6,319182,0.4,415804,2.00 73,1,2024-09-07 09:57:00:772,1110989,1110989,0,0,521107784903,5412916906,1102571,7435,983,367,391858,0 73,2,2024-09-07 09:57:01:742,797440,797439,1,0,39034428,0,5027 73,3,2024-09-07 09:57:00:975,1,632,7,0,1091,8549,632,0 74,0,2024-09-07 09:57:01:324,163257,0.4,167222,0.6,318902,0.4,433097,2.00 74,1,2024-09-07 09:57:00:635,1109771,1109771,0,0,520439586687,5429313459,1097858,9795,2118,381,391762,0 74,2,2024-09-07 09:57:01:004,798847,798847,0,0,34109671,0,4253 74,3,2024-09-07 09:57:01:449,1,632,2,0,522,7534,632,0 75,0,2024-09-07 09:57:01:764,158965,0.5,158053,0.7,316932,0.5,423010,2.25 75,1,2024-09-07 09:57:01:584,1110565,1110565,0,0,521529639717,5430420897,1101933,7762,870,380,391739,0 75,2,2024-09-07 09:57:01:349,800199,800199,0,0,41350459,0,4766 75,3,2024-09-07 09:57:01:070,1,632,6,0,918,8314,632,0 76,0,2024-09-07 09:57:00:620,156659,0.6,156052,0.7,312061,0.5,417189,2.25 76,1,2024-09-07 09:57:00:811,1110749,1110749,0,0,520618683735,5424950815,1103408,6348,993,382,391790,0 76,2,2024-09-07 09:57:01:079,802554,802551,3,0,33486753,0,5265 76,3,2024-09-07 09:57:01:146,1,632,1,0,227,5762,632,0 77,0,2024-09-07 09:57:01:706,155406,0.5,155916,0.7,311739,0.5,414032,2.00 77,1,2024-09-07 09:57:00:834,1110749,1110749,0,0,521455141501,5435491550,1102738,7131,880,381,391869,0 77,2,2024-09-07 09:57:01:288,796666,796666,0,0,32631426,0,3890 77,3,2024-09-07 09:57:01:100,1,632,3,0,401,7024,632,0 78,0,2024-09-07 09:57:01:750,160214,0.4,159459,0.6,319682,0.3,423553,2.00 78,1,2024-09-07 09:57:00:616,1110663,1110663,0,0,520453325975,5424476548,1097741,10005,2917,367,391670,0 78,2,2024-09-07 09:57:01:413,799288,799275,13,0,30611270,0,8313 78,3,2024-09-07 09:57:01:139,1,632,1,0,311,5142,632,0 79,0,2024-09-07 09:57:01:380,156000,0.4,159729,0.6,327544,0.3,425959,2.00 79,1,2024-09-07 09:57:00:574,1115028,1115028,0,0,522730296240,5406913749,1107173,6495,1360,367,391682,0 79,2,2024-09-07 09:57:01:070,803520,803520,0,0,29667360,0,4195 79,3,2024-09-07 09:57:00:755,1,632,9,0,418,7237,632,0 80,0,2024-09-07 09:57:01:101,157010,0.5,161300,0.7,308155,0.5,417197,2.00 80,1,2024-09-07 09:57:01:630,1110564,1110564,0,0,521507147882,5426320190,1103391,6717,456,368,392269,0 80,2,2024-09-07 09:57:01:100,804261,804261,0,0,30301382,0,4433 80,3,2024-09-07 09:57:00:576,1,632,1,0,681,7704,632,0 81,0,2024-09-07 09:57:01:533,156263,0.5,159936,0.7,305383,0.5,414471,2.00 81,1,2024-09-07 09:57:01:663,1110071,1110071,0,0,520573744423,5436526070,1101660,7632,779,382,391885,0 81,2,2024-09-07 09:57:01:146,796084,796021,63,0,33410376,0,5932 81,3,2024-09-07 09:57:01:117,1,632,1,0,719,7113,632,0 82,0,2024-09-07 09:57:01:575,158000,0.4,158212,0.6,317148,0.4,420298,2.00 82,1,2024-09-07 09:57:00:588,1111908,1111904,0,4,522398513762,5426829518,1106301,4778,825,381,391768,4 82,2,2024-09-07 09:57:01:694,799845,799845,0,0,28518095,0,4484 82,3,2024-09-07 09:57:01:752,1,632,1,0,363,5799,632,0 83,0,2024-09-07 09:57:01:537,162985,0.6,162876,0.7,325350,0.5,432438,2.00 83,1,2024-09-07 09:57:00:558,1110704,1110704,0,0,520324381977,5420893566,1103077,6982,645,382,391709,0 83,2,2024-09-07 09:57:00:764,803845,803820,25,0,30854122,0,5612 83,3,2024-09-07 09:57:00:750,1,632,2,0,1260,7329,632,0 84,0,2024-09-07 09:57:01:770,155693,0.8,155727,0.9,311344,0.8,416109,2.25 84,1,2024-09-07 09:57:01:043,1108715,1108715,0,0,520571582262,5442145927,1095978,10855,1882,367,391967,0 84,2,2024-09-07 09:57:00:574,800265,799855,410,0,44465830,0,17037 84,3,2024-09-07 09:57:01:147,1,632,11,0,908,8322,632,0 85,0,2024-09-07 09:57:01:064,150238,0.6,150215,0.8,318944,0.6,411530,2.25 85,1,2024-09-07 09:57:00:571,1105476,1105476,0,0,519225265970,5476834830,1089128,13545,2803,381,392092,0 85,2,2024-09-07 09:57:00:871,795463,795463,0,0,37431378,0,4255 85,3,2024-09-07 09:57:00:687,1,632,10,0,789,7146,632,0 86,0,2024-09-07 09:57:00:895,156262,0.6,160686,0.8,307267,0.6,415578,2.25 86,1,2024-09-07 09:57:00:824,1109085,1109085,0,0,520728227755,5456891460,1094936,11462,2687,366,392169,0 86,2,2024-09-07 09:57:00:861,796905,796904,1,0,39850401,0,5004 86,3,2024-09-07 09:57:00:587,1,632,7,0,308,8742,632,0 87,0,2024-09-07 09:57:01:287,162784,0.7,161977,0.8,324349,0.8,433450,2.25 87,1,2024-09-07 09:57:00:572,1108056,1108056,0,0,519633280377,5439167771,1094659,11598,1799,366,392076,0 87,2,2024-09-07 09:57:01:070,800324,800318,6,0,36484996,0,6323 87,3,2024-09-07 09:57:01:798,1,632,11,0,473,8837,632,0 88,0,2024-09-07 09:57:01:520,157651,0.4,158168,0.6,315972,0.4,420473,1.75 88,1,2024-09-07 09:57:00:569,1107694,1107694,0,0,520072208539,5446991215,1092288,12358,3048,365,392084,0 88,2,2024-09-07 09:57:00:688,800693,800693,0,0,39048299,0,4465 88,3,2024-09-07 09:57:01:270,1,632,11,0,1080,9479,632,0 89,0,2024-09-07 09:57:01:791,161374,0.4,156591,0.6,308870,0.4,419864,1.75 89,1,2024-09-07 09:57:00:569,1107020,1107020,0,0,519810554530,5462102961,1094005,11067,1948,382,391866,0 89,2,2024-09-07 09:57:01:140,798236,798236,0,0,36748697,0,3173 89,3,2024-09-07 09:57:01:793,1,632,1,0,468,10207,632,0 90,0,2024-09-07 09:57:01:619,150907,0.4,155036,0.6,316243,0.4,412388,2.00 90,1,2024-09-07 09:57:00:603,1109160,1109160,0,0,519847296144,5440340823,1099048,9214,898,380,391825,0 90,2,2024-09-07 09:57:01:406,794178,794173,5,0,40259209,0,6370 90,3,2024-09-07 09:57:00:935,1,632,2,0,322,7623,632,0 91,0,2024-09-07 09:57:00:925,161228,0.4,156432,0.6,327427,0.4,429737,1.75 91,1,2024-09-07 09:57:00:569,1106669,1106669,0,0,519385941715,5468193381,1090788,12733,3148,381,392047,0 91,2,2024-09-07 09:57:01:331,798775,798775,0,0,35506900,0,4713 91,3,2024-09-07 09:57:00:605,1,632,7,0,216,5533,632,0 92,0,2024-09-07 09:57:01:475,162036,0.4,165680,0.6,315777,0.4,427937,1.75 92,1,2024-09-07 09:57:00:600,1109839,1109839,0,0,521428110250,5442745891,1101433,7118,1288,381,392136,0 92,2,2024-09-07 09:57:01:354,802114,802114,0,0,31359255,0,3259 92,3,2024-09-07 09:57:01:018,1,632,21,0,167,5316,632,0 93,0,2024-09-07 09:57:00:985,158244,0.4,162070,0.6,309605,0.3,419110,1.75 93,1,2024-09-07 09:57:00:811,1110966,1110966,0,0,520755304516,5431673884,1099134,9895,1937,365,392048,0 93,2,2024-09-07 09:57:00:940,800990,800990,0,0,37064642,0,4913 93,3,2024-09-07 09:57:01:406,1,632,1,0,375,6615,632,0 94,0,2024-09-07 09:57:01:601,155476,0.4,156717,0.5,313165,0.3,415884,1.75 94,1,2024-09-07 09:57:00:570,1111141,1111141,0,0,521347245063,5432857348,1104171,6534,436,381,391850,0 94,2,2024-09-07 09:57:00:761,796855,796827,28,0,31808315,0,6179 94,3,2024-09-07 09:57:01:692,1,632,30,0,576,7714,632,0 95,0,2024-09-07 09:57:01:353,158870,0.3,158806,0.5,317866,0.3,423655,1.75 95,1,2024-09-07 09:57:00:860,1111848,1111848,0,0,521976381750,5420784001,1103384,7712,752,365,391852,0 95,2,2024-09-07 09:57:01:019,797007,797007,0,0,31624631,0,3308 95,3,2024-09-07 09:57:01:709,1,632,7,0,718,9781,632,0 96,0,2024-09-07 09:57:01:089,162848,0.3,163083,0.5,326469,0.3,433442,1.75 96,1,2024-09-07 09:57:01:588,1109748,1109748,0,0,520488097652,5425633592,1102319,6152,1277,384,391964,0 96,2,2024-09-07 09:57:01:280,804563,804563,0,0,32790958,0,4180 96,3,2024-09-07 09:57:01:140,1,632,16,0,411,7100,632,0 97,0,2024-09-07 09:57:01:408,156779,0.3,156773,0.5,314226,0.3,417541,1.50 97,1,2024-09-07 09:57:00:768,1112134,1112134,0,0,522592198899,5419302886,1105178,5913,1043,367,392140,0 97,2,2024-09-07 09:57:00:621,803119,803119,0,0,32212393,0,4046 97,3,2024-09-07 09:57:00:576,1,632,0,0,242,6923,632,0 98,0,2024-09-07 09:57:01:709,155671,0.3,155758,0.4,312702,0.2,415842,1.50 98,1,2024-09-07 09:57:00:582,1111017,1111017,0,0,521498746450,5429462362,1104488,5689,840,381,391997,0 98,2,2024-09-07 09:57:00:774,795755,795755,0,0,30834541,0,4336 98,3,2024-09-07 09:57:00:703,1,632,1,0,840,9293,632,0 99,0,2024-09-07 09:57:01:457,157821,0.3,158646,0.5,315921,0.3,421866,1.75 99,1,2024-09-07 09:57:01:722,1111974,1111974,0,0,521599247121,5425326777,1105334,5674,966,380,392069,0 99,2,2024-09-07 09:57:01:419,797340,797340,0,0,38559878,0,4276 99,3,2024-09-07 09:57:00:594,1,632,7,0,1124,7974,632,0 100,0,2024-09-07 09:57:01:495,162090,0.7,162569,0.8,324910,0.7,433324,2.25 100,1,2024-09-07 09:57:00:553,1105078,1105078,0,0,518529214896,5476976472,1088845,12913,3320,378,391989,0 100,2,2024-09-07 09:57:01:819,798522,798133,389,0,41554858,0,16909 100,3,2024-09-07 09:57:01:731,1,632,33,0,627,10359,632,0 101,0,2024-09-07 09:57:01:734,160585,1.0,156731,1.0,306661,1.0,418889,2.25 101,1,2024-09-07 09:57:00:572,1107660,1107660,0,0,519725820138,5456672933,1093419,11712,2529,368,391847,0 101,2,2024-09-07 09:57:01:768,797135,797135,0,0,41700300,0,4871 101,3,2024-09-07 09:57:00:965,1,632,5,0,1250,9267,632,0 102,0,2024-09-07 09:57:00:943,152578,0.6,157188,0.8,319368,0.6,417544,2.25 102,1,2024-09-07 09:57:01:147,1106956,1106956,0,0,519340486926,5451844913,1092543,12212,2201,369,391984,0 102,2,2024-09-07 09:57:01:736,797806,797752,54,0,35321105,0,6768 102,3,2024-09-07 09:57:01:625,1,632,8,0,466,7039,632,0 103,0,2024-09-07 09:57:01:592,161436,0.5,161418,0.7,304114,0.5,418997,2.00 103,1,2024-09-07 09:57:01:630,1106215,1106215,0,0,519327395276,5480092273,1089070,13540,3605,381,392077,0 103,2,2024-09-07 09:57:00:594,795686,795686,0,0,38356760,0,3766 103,3,2024-09-07 09:57:00:759,1,632,9,0,916,7290,632,0 104,0,2024-09-07 09:57:01:041,161185,0.7,161314,0.9,322075,0.6,430817,2.25 104,1,2024-09-07 09:57:01:601,1108755,1108755,0,0,520031748506,5458580188,1093156,12754,2845,365,392168,0 104,2,2024-09-07 09:57:01:674,797225,797225,0,0,37958846,0,4161 104,3,2024-09-07 09:57:01:418,1,632,2,0,1245,10916,632,0 105,0,2024-09-07 09:57:01:083,156856,0.9,152890,1.0,320693,1.0,420945,2.50 105,1,2024-09-07 09:57:00:555,1109896,1109896,0,0,520629073493,5449246660,1096310,11640,1946,364,392009,0 105,2,2024-09-07 09:57:01:321,800371,800371,0,0,38164020,0,4360 105,3,2024-09-07 09:57:01:307,1,632,8,0,573,9544,632,0 106,0,2024-09-07 09:57:00:939,151723,0.9,155436,1.0,318361,1.1,415544,2.50 106,1,2024-09-07 09:57:01:749,1108502,1108502,0,0,519255034523,5444642941,1093732,12873,1897,368,391914,0 106,2,2024-09-07 09:57:00:758,799090,799090,0,0,35787082,0,3331 106,3,2024-09-07 09:57:00:687,1,632,2,0,1224,8529,632,0 107,0,2024-09-07 09:57:01:143,155582,0.9,155612,0.9,311346,1.0,414674,2.25 107,1,2024-09-07 09:57:00:610,1106582,1106582,0,0,518574041133,5475324686,1089169,15277,2136,381,392234,0 107,2,2024-09-07 09:57:01:306,793791,793790,1,0,38961912,0,5024 107,3,2024-09-07 09:57:01:755,1,632,13,0,733,9501,632,0 108,0,2024-09-07 09:57:01:822,159150,0.4,159792,0.6,318546,0.4,424966,1.75 108,1,2024-09-07 09:57:01:308,1109872,1109872,0,0,520862106921,5428360420,1101152,7722,998,367,391894,0 108,2,2024-09-07 09:57:01:755,798412,798412,0,0,33603835,0,4246 108,3,2024-09-07 09:57:01:330,1,632,35,0,749,11027,632,0 109,0,2024-09-07 09:57:01:774,162402,0.4,160987,0.6,322903,0.3,430534,1.75 109,1,2024-09-07 09:57:00:600,1107659,1107659,0,0,520185445393,5452717090,1098156,8223,1280,382,392132,0 109,2,2024-09-07 09:57:00:926,801071,801071,0,0,34699836,0,3617 109,3,2024-09-07 09:57:01:139,1,632,2,0,630,7456,632,0 110,0,2024-09-07 09:57:01:751,156887,0.4,152517,0.6,319501,0.4,419127,1.75 110,1,2024-09-07 09:57:01:644,1112183,1112183,0,0,521625186553,5411409093,1104094,6160,1929,368,392045,0 110,2,2024-09-07 09:57:01:309,802656,802656,0,0,31984954,0,4067 110,3,2024-09-07 09:57:00:694,1,632,1,0,722,8242,632,0 111,0,2024-09-07 09:57:01:422,157060,0.4,156310,0.5,312349,0.3,416993,1.75 111,1,2024-09-07 09:57:01:006,1113433,1113433,0,0,523033906625,5421482742,1107779,5273,381,380,391690,0 111,2,2024-09-07 09:57:01:115,796350,796350,0,0,32466280,0,4823 111,3,2024-09-07 09:57:00:917,1,632,12,0,379,6705,632,0 112,0,2024-09-07 09:57:00:926,158849,0.3,158438,0.4,317500,0.2,421585,1.50 112,1,2024-09-07 09:57:00:831,1111947,1111947,0,0,521457211200,5412108339,1104737,5985,1225,380,391624,0 112,2,2024-09-07 09:57:01:141,797808,797807,1,0,31014782,0,5036 112,3,2024-09-07 09:57:00:600,1,632,2,0,282,5862,632,0 113,0,2024-09-07 09:57:00:871,163012,0.3,163068,0.5,326603,0.2,435207,1.75 113,1,2024-09-07 09:57:01:694,1114244,1114244,0,0,523312206639,5396668119,1107988,5308,948,365,391664,0 113,2,2024-09-07 09:57:01:305,805845,805845,0,0,29521627,0,3813 113,3,2024-09-07 09:57:00:687,1,632,1,0,510,6649,632,0 114,0,2024-09-07 09:57:00:886,157310,0.3,158334,0.5,315749,0.2,421508,1.75 114,1,2024-09-07 09:57:00:716,1112235,1112235,0,0,521580450127,5412496538,1104291,6197,1747,381,391565,0 114,2,2024-09-07 09:57:00:880,803956,803955,1,0,30420460,0,5069 114,3,2024-09-07 09:57:01:278,1,632,0,0,415,5366,632,0 115,0,2024-09-07 09:57:00:596,157103,0.3,157641,0.4,313905,0.2,417631,1.50 115,1,2024-09-07 09:57:00:580,1112521,1112521,0,0,522136644363,5420734606,1104172,6831,1518,382,391757,0 115,2,2024-09-07 09:57:01:126,798060,798060,0,0,30601818,0,4382 115,3,2024-09-07 09:57:01:005,1,632,21,0,167,3814,632,0 116,0,2024-09-07 09:57:01:727,156258,0.6,156084,0.8,312964,0.6,417873,2.00 116,1,2024-09-07 09:57:00:884,1106004,1106004,0,0,520052925680,5475300590,1093326,9586,3092,380,392089,0 116,2,2024-09-07 09:57:01:787,797864,797864,0,0,38167267,0,4475 116,3,2024-09-07 09:57:00:933,1,632,6,0,448,7994,632,0 117,0,2024-09-07 09:57:01:010,162875,0.6,162271,0.8,325070,0.6,434751,2.00 117,1,2024-09-07 09:57:01:612,1108360,1108360,0,0,519151923333,5433067042,1096458,10376,1526,369,392429,0 117,2,2024-09-07 09:57:01:124,802473,802473,0,0,34638404,0,4303 117,3,2024-09-07 09:57:01:069,1,632,35,0,490,7850,632,0 118,0,2024-09-07 09:57:01:762,152881,0.5,157071,0.7,320501,0.5,418998,2.00 118,1,2024-09-07 09:57:00:622,1107532,1107532,0,0,518689251801,5449025115,1091622,12284,3626,366,392054,0 118,2,2024-09-07 09:57:01:595,800072,800072,0,0,36896027,0,2842 118,3,2024-09-07 09:57:01:763,1,632,1,0,289,7285,632,0 119,0,2024-09-07 09:57:01:382,156661,0.8,157267,0.8,313870,0.8,418195,2.25 119,1,2024-09-07 09:57:00:559,1108476,1108476,0,0,520150072898,5450800549,1093740,12223,2513,367,391857,0 119,2,2024-09-07 09:57:01:261,799627,799627,0,0,34585890,0,4309 119,3,2024-09-07 09:57:01:330,1,632,15,0,1358,11508,632,0 120,0,2024-09-07 09:57:01:582,154733,0.6,154523,0.8,310529,0.6,413624,2.25 120,1,2024-09-07 09:57:00:921,1109471,1109471,0,0,519756844137,5446792867,1097736,10591,1144,367,392144,0 120,2,2024-09-07 09:57:00:770,796616,796613,3,0,41163688,0,5363 120,3,2024-09-07 09:57:01:291,1,632,0,0,279,7528,632,0 121,0,2024-09-07 09:57:01:740,161033,0.9,160597,1.0,321162,1.2,428648,2.25 121,1,2024-09-07 09:57:01:699,1108787,1108787,0,0,520100249324,5438195096,1097478,9752,1557,366,391840,0 121,2,2024-09-07 09:57:01:167,798257,798257,0,0,37581860,0,4157 121,3,2024-09-07 09:57:00:730,1,632,2,0,387,8170,632,0 122,0,2024-09-07 09:57:01:761,159906,0.8,155445,0.9,325572,0.8,427764,2.00 122,1,2024-09-07 09:57:00:898,1107850,1107850,0,0,519785166415,5451087104,1092823,12609,2418,365,392130,0 122,2,2024-09-07 09:57:01:318,802100,802025,75,0,41284297,0,5989 122,3,2024-09-07 09:57:00:595,1,632,3,0,512,9948,632,0 123,0,2024-09-07 09:57:00:955,156615,0.8,152404,0.9,319406,0.9,417661,2.25 123,1,2024-09-07 09:57:00:595,1108860,1108860,0,0,520670638805,5469568208,1091962,14226,2672,369,392039,0 123,2,2024-09-07 09:57:01:018,799288,799287,1,0,35316860,0,5215 123,3,2024-09-07 09:57:01:132,1,632,18,0,478,7098,632,0 124,0,2024-09-07 09:57:00:935,160429,0.3,160496,0.5,302489,0.3,416414,1.75 124,1,2024-09-07 09:57:01:072,1112288,1112288,0,0,521047990989,5413749046,1104315,6794,1179,365,392178,0 124,2,2024-09-07 09:57:01:010,798755,798702,53,0,31769650,0,6487 124,3,2024-09-07 09:57:00:758,1,632,4,0,490,6098,632,0 125,0,2024-09-07 09:57:01:485,158546,0.4,158369,0.5,317796,0.3,423629,1.75 125,1,2024-09-07 09:57:00:881,1108974,1108974,0,0,520631814564,5431597782,1100694,7126,1154,382,392045,0 125,2,2024-09-07 09:57:01:127,800133,800133,0,0,32129583,0,4534 125,3,2024-09-07 09:57:01:138,1,632,3,0,709,7089,632,0 126,0,2024-09-07 09:57:01:434,163055,0.4,167682,0.5,320627,0.3,434107,1.75 126,1,2024-09-07 09:57:00:564,1113541,1113541,0,0,522267327606,5403153992,1107990,5102,449,365,391987,0 126,2,2024-09-07 09:57:00:614,805000,805000,0,0,33394219,0,4539 126,3,2024-09-07 09:57:00:910,1,632,52,0,268,6816,632,0 127,0,2024-09-07 09:57:01:589,157221,0.3,157355,0.5,314004,0.3,417826,1.75 127,1,2024-09-07 09:57:00:586,1111202,1111202,0,0,520868582173,5415950513,1100501,9061,1640,364,392187,0 127,2,2024-09-07 09:57:00:643,802312,802308,4,0,31586827,0,5305 127,3,2024-09-07 09:57:01:267,1,632,21,0,968,6092,632,0 128,0,2024-09-07 09:57:01:667,156630,0.3,156781,0.4,313230,0.2,416527,1.50 128,1,2024-09-07 09:57:01:726,1110697,1110697,0,0,521173205221,5419098749,1102063,7669,965,367,392423,0 128,2,2024-09-07 09:57:01:384,798169,798169,0,0,29181851,0,3171 128,3,2024-09-07 09:57:00:777,1,632,1,0,1082,9756,632,0 129,0,2024-09-07 09:57:01:040,159112,0.3,158311,0.5,317350,0.3,422407,1.50 129,1,2024-09-07 09:57:00:609,1107593,1107593,0,0,520647661135,5453240259,1096856,8715,2022,379,391962,0 129,2,2024-09-07 09:57:00:687,799634,799630,4,0,31906896,0,5335 129,3,2024-09-07 09:57:00:691,1,632,13,0,506,8461,632,0 130,0,2024-09-07 09:57:01:716,163264,0.4,162929,0.5,326191,0.3,434405,1.75 130,1,2024-09-07 09:57:00:603,1112479,1112479,0,0,522100285001,5419650010,1107018,5058,403,381,391825,0 130,2,2024-09-07 09:57:01:133,802605,802605,0,0,32231613,0,4067 130,3,2024-09-07 09:57:01:292,1,632,5,0,960,8423,632,0 131,0,2024-09-07 09:57:01:940,157277,0.3,157749,0.5,316328,0.3,420484,1.75 131,1,2024-09-07 09:57:01:854,1111433,1111433,0,0,521058232006,5427898717,1104122,6113,1198,381,391865,0 131,2,2024-09-07 09:57:00:598,802199,802199,0,0,29819049,0,3979 131,3,2024-09-07 09:57:01:701,1,632,8,0,392,7912,632,0 132,0,2024-09-07 09:57:01:431,157198,0.5,158167,0.6,314887,0.4,418670,2.00 132,1,2024-09-07 09:57:00:603,1107079,1107079,0,0,519243198065,5461842203,1091610,12765,2704,381,392532,0 132,2,2024-09-07 09:57:00:703,796911,796894,17,0,38544467,0,6451 132,3,2024-09-07 09:57:01:695,1,632,22,0,1298,10984,632,0 133,0,2024-09-07 09:57:01:609,152432,0.4,156026,0.6,319753,0.4,416562,2.00 133,1,2024-09-07 09:57:00:612,1107022,1107022,0,0,519142198420,5466554457,1092454,12713,1855,383,391914,0 133,2,2024-09-07 09:57:01:094,798473,798423,50,0,39519843,0,6861 133,3,2024-09-07 09:57:01:304,1,632,50,0,528,7598,632,0 134,0,2024-09-07 09:57:00:943,162509,0.5,162642,0.6,325214,0.4,433158,2.00 134,1,2024-09-07 09:57:00:597,1108749,1108749,0,0,520357566415,5452928088,1094860,11397,2492,366,391781,0 134,2,2024-09-07 09:57:01:755,799488,799464,24,0,36585847,0,6207 134,3,2024-09-07 09:57:00:759,1,632,15,0,739,7765,632,0 135,0,2024-09-07 09:57:01:101,153750,0.7,153732,0.8,326452,0.8,420295,2.25 135,1,2024-09-07 09:57:01:588,1107797,1107797,0,0,520631612281,5468936115,1094685,11471,1641,380,391974,0 135,2,2024-09-07 09:57:00:707,802231,802231,0,0,37526031,0,4503 135,3,2024-09-07 09:57:01:005,1,632,15,0,900,5982,632,0 136,0,2024-09-07 09:57:01:644,157561,0.6,157981,0.8,313788,0.6,418708,2.00 136,1,2024-09-07 09:57:01:492,1109038,1109038,0,0,520854825088,5454439731,1097063,10585,1390,381,392135,0 136,2,2024-09-07 09:57:01:141,801848,801833,15,0,36906371,0,6007 136,3,2024-09-07 09:57:01:106,1,632,17,0,637,7108,632,0 137,0,2024-09-07 09:57:00:922,160807,0.6,156821,0.7,306928,0.6,416534,2.00 137,1,2024-09-07 09:57:00:605,1107994,1107994,0,0,520276753442,5452157594,1091330,13274,3390,366,391898,0 137,2,2024-09-07 09:57:01:708,795908,795908,0,0,37938599,0,3185 137,3,2024-09-07 09:57:00:772,1,632,1,0,484,8392,632,0 138,0,2024-09-07 09:57:01:738,158704,0.7,158987,0.8,318633,0.7,423552,2.00 138,1,2024-09-07 09:57:01:687,1108668,1108668,0,0,520580942661,5455654718,1093868,12527,2273,368,391954,0 138,2,2024-09-07 09:57:00:594,797110,797110,0,0,36247465,0,4988 138,3,2024-09-07 09:57:00:615,1,632,1,0,1200,9639,632,0 139,0,2024-09-07 09:57:01:373,160203,0.9,160859,0.9,321359,1.3,428877,2.25 139,1,2024-09-07 09:57:00:588,1103844,1103844,0,0,517292409219,5478905115,1085436,14580,3828,380,392109,0 139,2,2024-09-07 09:57:00:693,797471,797441,30,0,41696343,0,5997 139,3,2024-09-07 09:57:01:676,1,632,24,0,432,7357,632,0 140,0,2024-09-07 09:57:01:597,157455,0.3,156694,0.5,314506,0.2,419406,1.75 140,1,2024-09-07 09:57:01:546,1115373,1115373,0,0,524208934208,5400572262,1110305,4520,548,364,391628,0 140,2,2024-09-07 09:57:00:688,802680,802679,1,0,29710967,0,5036 140,3,2024-09-07 09:57:00:769,1,632,0,0,575,6176,632,0 141,0,2024-09-07 09:57:01:698,156674,0.3,160986,0.5,307852,0.2,416742,1.50 141,1,2024-09-07 09:57:00:873,1112917,1112917,0,0,521401203101,5412794828,1104716,7008,1193,379,391614,0 141,2,2024-09-07 09:57:01:686,797220,797209,11,0,31701107,0,5369 141,3,2024-09-07 09:57:01:044,1,632,0,0,391,7048,632,0 142,0,2024-09-07 09:57:01:351,159087,0.3,158262,0.5,316807,0.2,422489,1.50 142,1,2024-09-07 09:57:00:602,1110931,1110931,0,0,520845135591,5425420668,1104186,6234,511,382,392102,0 142,2,2024-09-07 09:57:01:312,797155,797123,32,0,32283658,0,6028 142,3,2024-09-07 09:57:01:746,1,632,1,0,484,6487,632,0 143,0,2024-09-07 09:57:01:397,163141,0.4,162916,0.5,326872,0.4,435415,1.75 143,1,2024-09-07 09:57:00:615,1113332,1113332,0,0,522812513016,5419299004,1106839,5925,568,367,391900,0 143,2,2024-09-07 09:57:00:770,804168,804168,0,0,32433398,0,3123 143,3,2024-09-07 09:57:01:147,1,632,9,0,462,7413,632,0 144,0,2024-09-07 09:57:01:491,152397,0.6,156932,0.7,319137,0.5,417999,2.00 144,1,2024-09-07 09:57:00:598,1108093,1108093,0,0,518897760013,5441971584,1097302,8795,1996,381,391733,0 144,2,2024-09-07 09:57:01:755,803018,803018,0,0,31558531,0,4443 144,3,2024-09-07 09:57:01:740,1,632,1,0,249,6206,632,0 145,0,2024-09-07 09:57:01:367,151385,0.6,151322,0.8,321214,0.5,413312,2.25 145,1,2024-09-07 09:57:00:555,1107116,1107116,0,0,519782381586,5465734485,1092657,11755,2704,382,391781,0 145,2,2024-09-07 09:57:01:434,794892,794810,82,0,37339096,0,7814 145,3,2024-09-07 09:57:00:906,1,632,6,0,622,8179,632,0 146,0,2024-09-07 09:57:01:616,156577,0.5,155672,0.7,313228,0.5,416235,2.00 146,1,2024-09-07 09:57:01:612,1108125,1108125,0,0,519746655242,5463265063,1090577,13066,4482,367,391770,0 146,2,2024-09-07 09:57:01:703,796623,796617,6,0,35650662,0,5151 146,3,2024-09-07 09:57:01:291,1,632,2,0,1520,10075,632,0 147,0,2024-09-07 09:57:01:693,162782,0.5,162589,0.7,325064,0.5,433877,2.00 147,1,2024-09-07 09:57:01:376,1112552,1112552,0,0,521996039232,5420383748,1104036,7549,967,367,391791,0 147,2,2024-09-07 09:57:01:009,801056,801056,0,0,31903757,0,2968 147,3,2024-09-07 09:57:00:921,1,632,9,0,1626,9758,632,0 0,0,2024-09-07 09:57:11:726,151306,0.5,151347,0.7,321290,0.5,414980,2.00 0,1,2024-09-07 09:57:10:817,1111066,1111066,0,0,521397972244,5454242002,1102409,7705,952,368,391896,0 0,2,2024-09-07 09:57:11:073,799867,799867,0,0,31828989,0,4480 0,3,2024-09-07 09:57:10:995,1,633,18,0,431,8863,633,0 1,0,2024-09-07 09:57:11:778,161213,0.8,160162,0.9,321537,0.9,430394,2.00 1,1,2024-09-07 09:57:10:574,1109598,1109598,0,0,520200049745,5444790077,1100099,8006,1493,370,391859,0 1,2,2024-09-07 09:57:10:647,801003,801003,0,0,31495825,0,3380 1,3,2024-09-07 09:57:11:306,1,633,1,0,269,7748,633,0 2,0,2024-09-07 09:57:11:577,160405,0.6,159950,0.7,320029,0.6,426645,2.00 2,1,2024-09-07 09:57:10:860,1113058,1113058,0,0,522838770268,5432794751,1106874,5232,952,379,391745,0 2,2,2024-09-07 09:57:11:265,803695,803695,0,0,29981396,0,3594 2,3,2024-09-07 09:57:10:695,1,633,1,0,357,5540,633,0 3,0,2024-09-07 09:57:11:754,157238,0.4,157236,0.6,314071,0.4,418231,2.00 3,1,2024-09-07 09:57:11:618,1112133,1112133,0,0,522084328903,5427256762,1104496,6932,705,379,391716,0 3,2,2024-09-07 09:57:11:142,803199,803176,23,0,30956290,0,5851 3,3,2024-09-07 09:57:11:755,1,633,18,0,484,4768,633,0 4,0,2024-09-07 09:57:11:850,151701,0.4,155913,0.5,317834,0.3,415181,1.75 4,1,2024-09-07 09:57:10:601,1106682,1106682,0,0,519800376220,5498645199,1088035,14330,4317,369,391992,0 4,2,2024-09-07 09:57:11:027,795617,795617,0,0,37861398,0,4534 4,3,2024-09-07 09:57:11:027,1,633,4,0,448,7596,633,0 5,0,2024-09-07 09:57:11:382,159270,0.4,159125,0.5,318326,0.3,423758,1.75 5,1,2024-09-07 09:57:10:766,1108016,1108016,0,0,519621726158,5482329712,1091203,12679,4134,367,392005,0 5,2,2024-09-07 09:57:11:847,796071,796071,0,0,36858179,0,3582 5,3,2024-09-07 09:57:11:741,1,633,51,0,457,8557,633,0 6,0,2024-09-07 09:57:10:921,162910,0.5,162369,0.6,324949,0.4,432736,2.00 6,1,2024-09-07 09:57:10:746,1110742,1110742,0,0,520940871784,5437157054,1099486,9667,1589,379,391702,0 6,2,2024-09-07 09:57:11:117,804304,804286,18,0,35683880,0,5535 6,3,2024-09-07 09:57:11:274,1,633,1,0,710,7471,633,0 7,0,2024-09-07 09:57:11:531,156414,0.5,157594,0.7,312748,0.5,417131,2.00 7,1,2024-09-07 09:57:10:850,1107962,1107962,0,0,520539034210,5476402476,1091247,12891,3824,382,391747,0 7,2,2024-09-07 09:57:10:770,802479,802479,0,0,34337025,0,4791 7,3,2024-09-07 09:57:10:851,1,633,13,0,552,7284,633,0 8,0,2024-09-07 09:57:11:339,156642,0.4,156361,0.5,313080,0.3,417337,1.75 8,1,2024-09-07 09:57:11:025,1108054,1108054,0,0,519796255169,5462305288,1091004,13457,3593,366,392853,0 8,2,2024-09-07 09:57:10:817,792606,792604,2,0,40511069,0,5112 8,3,2024-09-07 09:57:10:590,1,633,64,0,772,9807,633,0 9,0,2024-09-07 09:57:11:120,158203,0.4,153837,0.5,321790,0.3,422323,1.75 9,1,2024-09-07 09:57:10:549,1107836,1107836,0,0,520158933362,5480076623,1090401,13834,3601,369,392001,0 9,2,2024-09-07 09:57:11:083,797617,797616,1,0,38264148,0,5281 9,3,2024-09-07 09:57:11:780,1,633,11,0,1273,10371,633,0 10,0,2024-09-07 09:57:11:616,162809,0.3,162250,0.5,325308,0.2,433324,1.75 10,1,2024-09-07 09:57:10:582,1110004,1110004,0,0,520811683327,5459083864,1094268,12672,3064,381,391981,0 10,2,2024-09-07 09:57:10:762,803524,803524,0,0,41215058,0,4713 10,3,2024-09-07 09:57:10:871,1,633,1,0,669,6869,633,0 11,0,2024-09-07 09:57:11:008,157391,0.4,152713,0.6,319631,0.4,420973,1.75 11,1,2024-09-07 09:57:10:578,1111364,1111364,0,0,522208259883,5472895455,1095402,11659,4303,383,391766,0 11,2,2024-09-07 09:57:11:130,801752,801752,0,0,36299137,0,4698 11,3,2024-09-07 09:57:11:302,1,633,7,0,843,7916,633,0 12,0,2024-09-07 09:57:11:006,158242,0.4,158060,0.5,315690,0.4,419544,1.75 12,1,2024-09-07 09:57:10:953,1111081,1111081,0,0,521382065784,5434452233,1102574,7497,1010,370,391960,0 12,2,2024-09-07 09:57:11:576,796514,796514,0,0,35120650,0,4390 12,3,2024-09-07 09:57:11:067,1,633,43,0,386,7806,633,0 13,0,2024-09-07 09:57:11:357,157486,0.4,157594,0.5,314229,0.3,418956,1.75 13,1,2024-09-07 09:57:11:538,1108517,1108517,0,0,520526952192,5466739910,1097603,8523,2391,382,391803,0 13,2,2024-09-07 09:57:10:602,801432,801432,0,0,31544290,0,3287 13,3,2024-09-07 09:57:11:762,1,633,1,0,522,8114,633,0 14,0,2024-09-07 09:57:10:562,162665,0.4,163714,0.6,325092,0.3,433242,1.75 14,1,2024-09-07 09:57:11:571,1116028,1116028,0,0,523709985495,5415345984,1107546,7352,1130,364,391673,0 14,2,2024-09-07 09:57:10:764,802327,802297,30,0,32855869,0,6104 14,3,2024-09-07 09:57:11:118,1,633,2,0,1168,6762,633,0 15,0,2024-09-07 09:57:11:558,158997,0.4,158098,0.6,316600,0.4,421989,2.00 15,1,2024-09-07 09:57:11:608,1112985,1112985,0,0,522598686014,5438084432,1103888,7184,1913,381,391619,0 15,2,2024-09-07 09:57:11:002,804426,804426,0,0,28802686,0,3622 15,3,2024-09-07 09:57:11:407,1,633,7,0,1126,8182,633,0 16,0,2024-09-07 09:57:10:952,157119,0.6,157777,0.8,314953,0.6,419022,2.00 16,1,2024-09-07 09:57:10:581,1112507,1112507,0,0,522263734442,5455460138,1103039,8021,1447,370,392194,0 16,2,2024-09-07 09:57:11:458,800334,800334,0,0,32352248,0,4719 16,3,2024-09-07 09:57:11:158,1,633,10,0,358,7742,633,0 17,0,2024-09-07 09:57:11:851,160649,0.5,156539,0.7,306769,0.5,416779,2.00 17,1,2024-09-07 09:57:10:588,1110281,1110281,0,0,521028290525,5461147697,1099749,8757,1775,368,392075,0 17,2,2024-09-07 09:57:11:705,801491,801490,1,0,33666893,0,5050 17,3,2024-09-07 09:57:10:584,1,633,23,0,518,9017,633,0 18,0,2024-09-07 09:57:10:945,158376,0.6,159453,0.8,317312,0.6,424423,2.25 18,1,2024-09-07 09:57:11:652,1114806,1114806,0,0,522179913105,5412710425,1107650,5897,1259,367,391725,0 18,2,2024-09-07 09:57:11:773,801926,801926,0,0,30169349,0,3541 18,3,2024-09-07 09:57:10:906,1,633,6,0,1059,5688,633,0 19,0,2024-09-07 09:57:11:543,160940,0.6,161360,0.8,321772,0.7,427178,2.00 19,1,2024-09-07 09:57:10:572,1114745,1114745,0,0,523611078673,5424014845,1106794,6817,1134,365,391777,0 19,2,2024-09-07 09:57:11:773,806385,806385,0,0,28547290,0,3988 19,3,2024-09-07 09:57:11:128,1,633,8,0,524,4808,633,0 20,0,2024-09-07 09:57:11:354,157205,0.5,157020,0.7,313939,0.5,418626,2.00 20,1,2024-09-07 09:57:10:572,1110383,1110383,0,0,521508871768,5461848411,1099512,9360,1511,369,391922,0 20,2,2024-09-07 09:57:10:933,802438,802438,0,0,35513964,0,4321 20,3,2024-09-07 09:57:10:595,1,633,1,0,468,9873,633,0 21,0,2024-09-07 09:57:11:165,156689,0.5,156684,0.6,313412,0.4,416164,2.00 21,1,2024-09-07 09:57:11:578,1108306,1108306,0,0,519870941170,5483773750,1091037,13347,3922,368,392016,0 21,2,2024-09-07 09:57:11:083,791535,791058,477,0,46061446,0,17074 21,3,2024-09-07 09:57:11:423,1,633,34,0,713,8830,633,0 22,0,2024-09-07 09:57:11:722,158348,0.4,158888,0.6,317550,0.4,421402,2.00 22,1,2024-09-07 09:57:11:035,1108701,1108701,0,0,520105368074,5469695405,1090767,14393,3541,381,391822,0 22,2,2024-09-07 09:57:10:760,797582,797556,26,0,34110824,0,6328 22,3,2024-09-07 09:57:11:071,1,633,0,0,228,5353,633,0 23,0,2024-09-07 09:57:11:370,162968,0.5,162803,0.6,325582,0.4,434068,2.00 23,1,2024-09-07 09:57:11:003,1109397,1109397,0,0,521178707911,5486004185,1089666,13148,6583,365,391690,0 23,2,2024-09-07 09:57:11:095,804832,804832,0,0,32497981,0,3773 23,3,2024-09-07 09:57:11:756,1,633,15,0,855,8992,633,0 24,0,2024-09-07 09:57:10:914,158393,0.4,157545,0.5,316691,0.4,420721,1.75 24,1,2024-09-07 09:57:10:616,1109994,1109994,0,0,519883559321,5437747231,1099842,8442,1710,367,392269,0 24,2,2024-09-07 09:57:11:073,802495,802492,3,0,39056986,0,6294 24,3,2024-09-07 09:57:11:694,1,633,3,0,468,7990,633,0 25,0,2024-09-07 09:57:11:342,160921,0.4,156675,0.6,307861,0.4,418961,1.75 25,1,2024-09-07 09:57:10:560,1108169,1108169,0,0,519871409824,5487065938,1089325,15128,3716,369,391928,0 25,2,2024-09-07 09:57:11:609,795251,795251,0,0,39408461,0,3978 25,3,2024-09-07 09:57:11:003,1,633,6,0,532,6737,633,0 26,0,2024-09-07 09:57:11:722,156188,0.4,152657,0.6,320454,0.3,417813,1.75 26,1,2024-09-07 09:57:11:543,1111681,1111681,0,0,521903929131,5458098358,1097338,11810,2533,380,391758,0 26,2,2024-09-07 09:57:10:860,798763,798763,0,0,42183392,0,4689 26,3,2024-09-07 09:57:11:715,1,633,1,0,796,7631,633,0 27,0,2024-09-07 09:57:11:724,162865,0.4,163702,0.6,325538,0.3,434092,2.25 27,1,2024-09-07 09:57:11:690,1113065,1113065,0,0,522265350420,5438276867,1102661,8795,1609,381,391626,0 27,2,2024-09-07 09:57:10:870,799430,799365,65,0,36938234,0,5699 27,3,2024-09-07 09:57:11:015,1,633,8,0,564,5323,633,0 28,0,2024-09-07 09:57:11:421,157981,0.4,158224,0.6,316531,0.3,421536,1.75 28,1,2024-09-07 09:57:10:798,1113170,1113170,0,0,522651226510,5444243413,1104220,7058,1892,382,391904,0 28,2,2024-09-07 09:57:11:773,803166,803166,0,0,31973886,0,2915 28,3,2024-09-07 09:57:11:776,1,633,1,0,502,6279,633,0 29,0,2024-09-07 09:57:11:363,161558,0.4,157393,0.6,308798,0.3,420692,1.75 29,1,2024-09-07 09:57:11:570,1116329,1116329,0,0,523935285252,5415548447,1109870,5681,778,367,391809,0 29,2,2024-09-07 09:57:10:860,799575,799575,0,0,30804786,0,4986 29,3,2024-09-07 09:57:10:964,1,633,1,0,459,6645,633,0 30,0,2024-09-07 09:57:11:458,154946,0.5,150803,0.6,316038,0.4,413093,2.00 30,1,2024-09-07 09:57:10:570,1114965,1114965,0,0,523232073933,5424952931,1107335,6719,911,380,391672,0 30,2,2024-09-07 09:57:11:274,800688,800688,0,0,29601219,0,4192 30,3,2024-09-07 09:57:10:580,1,633,1,0,519,5763,633,0 31,0,2024-09-07 09:57:11:761,161014,0.4,161909,0.6,322734,0.3,431101,1.75 31,1,2024-09-07 09:57:10:564,1119218,1119218,0,0,524589384014,5383564089,1112755,5389,1074,356,391712,0 31,2,2024-09-07 09:57:11:275,800313,800313,0,0,33429360,0,4470 31,3,2024-09-07 09:57:11:712,1,633,9,0,239,5317,633,0 32,0,2024-09-07 09:57:11:428,160496,0.3,161570,0.5,321582,0.3,428066,1.75 32,1,2024-09-07 09:57:10:806,1114900,1114900,0,0,522581534374,5427371179,1108642,5540,718,381,391646,0 32,2,2024-09-07 09:57:10:936,805021,805021,0,0,29308098,0,3922 32,3,2024-09-07 09:57:11:026,1,633,1,0,304,5176,633,0 33,0,2024-09-07 09:57:11:543,157883,0.3,157457,0.4,315057,0.2,419160,1.50 33,1,2024-09-07 09:57:10:574,1115467,1115467,0,0,523589243597,5420098947,1106923,7271,1273,368,391730,0 33,2,2024-09-07 09:57:10:771,803195,803160,35,0,32398177,0,7012 33,3,2024-09-07 09:57:10:895,1,633,6,0,329,5420,633,0 34,0,2024-09-07 09:57:10:940,156518,0.3,160938,0.5,307548,0.2,414985,1.75 34,1,2024-09-07 09:57:11:054,1117356,1117356,0,0,524996546350,5398503763,1114203,3042,111,367,391637,0 34,2,2024-09-07 09:57:10:766,799351,799351,0,0,30826664,0,4562 34,3,2024-09-07 09:57:11:696,1,633,0,0,541,5566,633,0 35,0,2024-09-07 09:57:10:866,158408,0.3,159211,0.5,319102,0.2,424477,1.75 35,1,2024-09-07 09:57:11:069,1113448,1113448,0,0,523079712980,5425641078,1105274,6651,1523,382,391769,0 35,2,2024-09-07 09:57:11:590,799231,799231,0,0,34460932,0,4055 35,3,2024-09-07 09:57:10:914,1,633,26,0,466,5830,633,0 36,0,2024-09-07 09:57:11:538,163254,0.5,163448,0.6,326327,0.4,434228,2.00 36,1,2024-09-07 09:57:10:589,1112580,1112580,0,0,522191070028,5449029439,1098801,11533,2246,366,391759,0 36,2,2024-09-07 09:57:11:761,804813,804813,0,0,35613445,0,3875 36,3,2024-09-07 09:57:10:870,1,633,1,0,556,8390,633,0 37,0,2024-09-07 09:57:11:368,156225,0.5,156460,0.7,313196,0.5,417765,2.25 37,1,2024-09-07 09:57:10:577,1111650,1111643,0,7,521499074626,5443036563,1098648,10075,2920,365,391770,0 37,2,2024-09-07 09:57:11:147,800746,800731,15,0,35484112,0,5815 37,3,2024-09-07 09:57:11:771,1,633,184,0,888,8481,633,0 38,0,2024-09-07 09:57:11:448,155518,0.4,150801,0.6,315370,0.4,413216,2.00 38,1,2024-09-07 09:57:11:620,1112031,1112031,0,0,522190593864,5456966847,1096679,12351,3001,368,391821,0 38,2,2024-09-07 09:57:10:763,797042,796995,47,0,35386508,0,6710 38,3,2024-09-07 09:57:11:001,1,633,0,0,689,7474,633,0 39,0,2024-09-07 09:57:11:763,161711,0.5,158027,0.7,308361,0.5,421061,2.00 39,1,2024-09-07 09:57:10:716,1111043,1111043,0,0,521376045169,5464294013,1092750,14105,4188,365,391865,0 39,2,2024-09-07 09:57:11:418,798635,798635,0,0,33893993,0,3391 39,3,2024-09-07 09:57:10:718,1,633,108,0,525,7080,633,0 40,0,2024-09-07 09:57:11:494,161417,0.8,162243,0.9,323816,0.8,432207,2.75 40,1,2024-09-07 09:57:10:575,1112017,1112017,0,0,520920098448,5452058803,1096267,12669,3081,366,391668,0 40,2,2024-09-07 09:57:11:303,800989,800982,7,0,39957157,0,5347 40,3,2024-09-07 09:57:11:152,1,633,0,0,1028,9011,633,0 41,0,2024-09-07 09:57:11:022,156664,1.3,160310,1.1,305836,1.8,415706,3.00 41,1,2024-09-07 09:57:10:768,1110879,1110879,0,0,521209036498,5447027120,1097098,11621,2160,369,391878,0 41,2,2024-09-07 09:57:10:759,799113,799112,1,0,38262599,0,5408 41,3,2024-09-07 09:57:11:678,1,633,1,0,366,6745,633,0 42,0,2024-09-07 09:57:11:480,156103,0.9,155745,1.0,311766,1.0,413438,2.75 42,1,2024-09-07 09:57:11:445,1108812,1108812,0,0,519565751100,5454418201,1092223,13656,2933,380,391675,0 42,2,2024-09-07 09:57:11:132,796124,796123,1,0,38507087,0,5513 42,3,2024-09-07 09:57:11:009,1,633,28,0,892,6112,633,0 43,0,2024-09-07 09:57:10:919,155095,0.7,150934,0.9,316305,0.7,413786,2.25 43,1,2024-09-07 09:57:10:577,1112246,1112246,0,0,521569828108,5444819250,1097437,12237,2572,366,391696,0 43,2,2024-09-07 09:57:11:735,799411,799411,0,0,36513593,0,4723 43,3,2024-09-07 09:57:11:772,1,633,8,0,571,8923,633,0 44,0,2024-09-07 09:57:10:865,162743,0.4,162939,0.6,325309,0.3,433721,1.75 44,1,2024-09-07 09:57:10:569,1115448,1115448,0,0,521606629096,5388112126,1106072,7434,1942,356,391809,0 44,2,2024-09-07 09:57:11:267,800010,800010,0,0,29838185,0,4344 44,3,2024-09-07 09:57:11:092,1,633,1,0,1097,7723,633,0 45,0,2024-09-07 09:57:11:783,157021,0.5,153451,0.7,322042,0.4,422078,2.00 45,1,2024-09-07 09:57:11:014,1114193,1114193,0,0,523284432131,5433388282,1105935,7355,903,382,391917,0 45,2,2024-09-07 09:57:11:268,803746,803746,0,0,30047977,0,3596 45,3,2024-09-07 09:57:10:939,1,633,14,0,531,5942,633,0 46,0,2024-09-07 09:57:10:965,156393,0.5,155907,0.7,312810,0.5,415675,2.00 46,1,2024-09-07 09:57:10:578,1116456,1116456,0,0,523704843967,5410313164,1108875,6592,989,366,391709,0 46,2,2024-09-07 09:57:10:592,802777,802777,0,0,30676881,0,4443 46,3,2024-09-07 09:57:11:135,1,633,2,0,908,7336,633,0 47,0,2024-09-07 09:57:11:102,156327,0.4,156001,0.6,313548,0.3,415089,1.75 47,1,2024-09-07 09:57:10:567,1117405,1117405,0,0,523644784299,5405488186,1111253,5289,863,364,391641,0 47,2,2024-09-07 09:57:10:909,802238,802238,0,0,29694079,0,4477 47,3,2024-09-07 09:57:11:116,1,633,13,0,600,6775,633,0 48,0,2024-09-07 09:57:11:501,160158,0.3,160436,0.4,320239,0.2,426318,1.50 48,1,2024-09-07 09:57:11:023,1114097,1114097,0,0,522195012963,5419985071,1107834,5683,580,381,391710,0 48,2,2024-09-07 09:57:10:700,800062,800062,0,0,28353642,0,3524 48,3,2024-09-07 09:57:10:753,1,633,14,0,339,5469,633,0 49,0,2024-09-07 09:57:11:719,166178,0.3,162706,0.5,316704,0.3,431618,1.75 49,1,2024-09-07 09:57:11:023,1113379,1113379,0,0,522099442878,5428084965,1105917,5766,1696,382,391809,0 49,2,2024-09-07 09:57:11:813,805244,805244,0,0,30598522,0,4426 49,3,2024-09-07 09:57:11:416,1,633,1,0,992,7472,633,0 50,0,2024-09-07 09:57:11:510,157817,0.3,156305,0.5,314784,0.2,418510,1.75 50,1,2024-09-07 09:57:11:013,1116288,1116288,0,0,524055534004,5413711707,1109743,5876,669,368,391691,0 50,2,2024-09-07 09:57:11:071,801874,801874,0,0,28271889,0,4490 50,3,2024-09-07 09:57:11:293,1,633,1,0,617,6290,633,0 51,0,2024-09-07 09:57:11:693,160791,0.3,157324,0.5,306404,0.2,417881,1.75 51,1,2024-09-07 09:57:11:687,1116532,1116532,0,0,525417242882,5414756963,1110716,4735,1081,365,391706,0 51,2,2024-09-07 09:57:11:322,798030,798030,0,0,27769490,0,3337 51,3,2024-09-07 09:57:11:027,1,633,8,0,678,4492,633,0 52,0,2024-09-07 09:57:11:416,159014,0.5,158816,0.6,317781,0.4,422496,2.00 52,1,2024-09-07 09:57:10:577,1111880,1111880,0,0,521596636654,5454373494,1096709,12839,2332,368,391805,0 52,2,2024-09-07 09:57:11:765,795802,795764,38,0,37992519,0,6742 52,3,2024-09-07 09:57:10:675,1,633,0,0,1782,7290,633,0 53,0,2024-09-07 09:57:11:766,162787,0.6,157982,0.8,330562,0.7,433137,2.25 53,1,2024-09-07 09:57:10:788,1109954,1109954,0,0,520823954636,5461479260,1091490,14058,4406,367,391968,0 53,2,2024-09-07 09:57:11:302,804971,804970,1,0,33804163,0,5455 53,3,2024-09-07 09:57:10:710,1,633,1,0,308,5734,633,0 54,0,2024-09-07 09:57:11:616,155769,0.6,155920,0.8,310755,0.5,415261,2.25 54,1,2024-09-07 09:57:10:580,1113628,1113628,0,0,522318938456,5429248268,1102384,9572,1672,366,391810,0 54,2,2024-09-07 09:57:10:871,803578,803546,32,0,37542687,0,6397 54,3,2024-09-07 09:57:10:763,1,633,3,0,676,7939,633,0 55,0,2024-09-07 09:57:11:762,151114,0.6,155945,0.8,316006,0.5,411662,2.50 55,1,2024-09-07 09:57:10:767,1113841,1113841,0,0,522295927382,5429347707,1102569,9843,1429,365,391731,0 55,2,2024-09-07 09:57:10:729,796044,795988,56,0,34778168,0,7239 55,3,2024-09-07 09:57:10:681,1,633,7,0,304,5788,633,0 56,0,2024-09-07 09:57:11:566,159910,1.1,150714,1.1,310693,1.4,416087,2.75 56,1,2024-09-07 09:57:10:572,1107041,1107041,0,0,519879065284,5492878070,1089034,14317,3690,381,391867,0 56,2,2024-09-07 09:57:11:303,797796,797674,122,0,37071855,0,7432 56,3,2024-09-07 09:57:11:063,1,633,48,0,705,7247,633,0 57,0,2024-09-07 09:57:10:944,161311,1.2,161048,1.1,322486,1.6,430615,3.00 57,1,2024-09-07 09:57:11:036,1109663,1109663,0,0,520728536503,5460541150,1095162,12008,2493,366,392032,0 57,2,2024-09-07 09:57:11:322,801775,801775,0,0,39260236,0,4804 57,3,2024-09-07 09:57:11:739,1,633,1,0,455,7169,633,0 58,0,2024-09-07 09:57:10:559,155545,1.0,151078,1.0,316192,1.2,413809,2.50 58,1,2024-09-07 09:57:10:575,1110935,1110932,0,3,521495029512,5461918475,1094643,12381,3908,367,391726,3 58,2,2024-09-07 09:57:11:070,803086,803086,0,0,36516827,0,3483 58,3,2024-09-07 09:57:11:070,1,633,2,0,1043,6963,633,0 59,0,2024-09-07 09:57:11:748,155697,0.9,155184,1.0,310183,0.9,411725,3.00 59,1,2024-09-07 09:57:10:804,1110352,1110352,0,0,521475239448,5474777289,1092449,14234,3669,369,391653,0 59,2,2024-09-07 09:57:10:588,799527,799527,0,0,34444901,0,3727 59,3,2024-09-07 09:57:11:738,1,633,17,0,1015,7611,633,0 60,0,2024-09-07 09:57:11:792,155742,0.5,155749,0.7,311847,0.4,415405,1.75 60,1,2024-09-07 09:57:10:800,1114523,1114523,0,0,522794257729,5430813063,1106342,7072,1109,370,392031,0 60,2,2024-09-07 09:57:11:142,799951,799951,0,0,32602704,0,3811 60,3,2024-09-07 09:57:11:261,1,633,1,0,409,7136,633,0 61,0,2024-09-07 09:57:11:493,161145,0.6,161803,0.7,321956,0.5,430371,2.00 61,1,2024-09-07 09:57:10:770,1112010,1112010,0,0,521339837841,5453146063,1100857,9471,1682,382,392127,0 61,2,2024-09-07 09:57:11:118,801014,800947,67,0,33264559,0,6411 61,3,2024-09-07 09:57:11:695,1,633,11,0,607,7950,633,0 62,0,2024-09-07 09:57:11:721,160978,0.6,165190,0.7,315376,0.6,428001,2.00 62,1,2024-09-07 09:57:11:119,1118454,1118448,0,6,524679295796,5406304376,1113344,4804,300,365,391975,6 62,2,2024-09-07 09:57:11:646,801288,801287,1,0,33184655,0,5555 62,3,2024-09-07 09:57:11:148,1,633,1,0,482,4995,633,0 63,0,2024-09-07 09:57:11:454,157892,0.4,157560,0.6,315732,0.3,419480,1.75 63,1,2024-09-07 09:57:10:808,1114708,1114702,0,6,523367221780,5430796554,1107851,5994,857,381,391800,6 63,2,2024-09-07 09:57:10:762,802331,802331,0,0,31464530,0,4369 63,3,2024-09-07 09:57:11:733,1,633,12,0,667,6328,633,0 64,0,2024-09-07 09:57:11:511,155581,0.5,155468,0.6,310623,0.4,413608,2.00 64,1,2024-09-07 09:57:10:754,1112895,1112895,0,0,522373446481,5436650821,1103526,7390,1979,370,391794,0 64,2,2024-09-07 09:57:11:141,802330,802311,19,0,30718698,0,6121 64,3,2024-09-07 09:57:11:140,1,633,7,0,651,7093,633,0 65,0,2024-09-07 09:57:11:696,158004,0.6,158264,0.7,316381,0.6,422053,2.00 65,1,2024-09-07 09:57:10:860,1111755,1111755,0,0,520999389825,5442206118,1104182,6698,875,381,391901,0 65,2,2024-09-07 09:57:11:716,798122,798122,0,0,37005693,0,3367 65,3,2024-09-07 09:57:11:686,1,633,2,0,782,7412,633,0 66,0,2024-09-07 09:57:11:772,162446,0.5,162001,0.7,324101,0.5,430948,2.00 66,1,2024-09-07 09:57:11:298,1113820,1113820,0,0,522641939198,5434266134,1107310,5785,725,380,391743,0 66,2,2024-09-07 09:57:11:135,806940,806937,3,0,32797591,0,5455 66,3,2024-09-07 09:57:11:080,1,633,1,0,291,5544,633,0 67,0,2024-09-07 09:57:11:420,157054,0.5,156560,0.7,314120,0.5,418331,2.00 67,1,2024-09-07 09:57:10:766,1114056,1114055,0,1,523110781662,5441810327,1106652,6458,945,380,391787,1 67,2,2024-09-07 09:57:10:581,806125,806110,15,0,32578615,0,6205 67,3,2024-09-07 09:57:11:753,1,633,7,0,595,6392,633,0 68,0,2024-09-07 09:57:10:584,156366,0.6,156050,0.7,311146,0.5,416400,2.00 68,1,2024-09-07 09:57:10:584,1109321,1109321,0,0,520401319783,5468682356,1094895,10742,3684,381,391953,0 68,2,2024-09-07 09:57:11:055,793553,793453,100,0,40622969,0,8578 68,3,2024-09-07 09:57:10:728,1,633,5,0,417,7870,633,0 69,0,2024-09-07 09:57:11:729,157508,0.7,158320,0.8,315567,0.7,419851,2.25 69,1,2024-09-07 09:57:11:019,1108584,1108584,0,0,520316992754,5479631497,1094285,11323,2976,383,391994,0 69,2,2024-09-07 09:57:11:734,795733,795704,29,0,43723533,0,6912 69,3,2024-09-07 09:57:10:760,1,633,1,0,698,8840,633,0 70,0,2024-09-07 09:57:11:555,161230,0.7,161957,0.9,324872,0.6,430602,2.25 70,1,2024-09-07 09:57:10:805,1114837,1114837,0,0,523299225317,5427364231,1106603,7420,814,366,391725,0 70,2,2024-09-07 09:57:11:328,803693,803693,0,0,36155503,0,4323 70,3,2024-09-07 09:57:10:745,1,633,1,0,854,6873,633,0 71,0,2024-09-07 09:57:11:357,156423,0.9,156008,1.0,313317,1.1,418014,2.75 71,1,2024-09-07 09:57:11:612,1112371,1112371,0,0,522177388967,5445814507,1099106,11644,1621,367,391738,0 71,2,2024-09-07 09:57:11:071,802060,802060,0,0,35933486,0,4352 71,3,2024-09-07 09:57:11:764,1,633,9,0,644,6907,633,0 72,0,2024-09-07 09:57:11:035,162127,0.5,158360,0.7,309319,0.5,420598,2.00 72,1,2024-09-07 09:57:11:029,1111019,1111019,0,0,520879506521,5458038344,1095692,12806,2521,369,391819,0 72,2,2024-09-07 09:57:11:766,796213,796213,0,0,37334347,0,3983 72,3,2024-09-07 09:57:11:765,1,633,16,0,564,9023,633,0 73,0,2024-09-07 09:57:11:113,152549,0.4,156499,0.6,319752,0.4,416444,2.00 73,1,2024-09-07 09:57:10:766,1112709,1112709,0,0,521893580776,5421118413,1104290,7436,983,367,391858,0 73,2,2024-09-07 09:57:11:739,799003,799002,1,0,39078801,0,5027 73,3,2024-09-07 09:57:10:984,1,633,6,0,1091,8555,633,0 74,0,2024-09-07 09:57:11:328,163657,0.4,167636,0.6,319721,0.4,434103,2.00 74,1,2024-09-07 09:57:10:639,1111512,1111512,0,0,521419927290,5439481562,1099599,9795,2118,381,391762,0 74,2,2024-09-07 09:57:11:002,800326,800326,0,0,34187724,0,4253 74,3,2024-09-07 09:57:11:443,1,633,3,0,522,7537,633,0 75,0,2024-09-07 09:57:11:764,159106,0.5,158208,0.7,317230,0.5,423500,2.25 75,1,2024-09-07 09:57:11:590,1112210,1112210,0,0,522318416958,5439607128,1103342,7981,887,380,391739,0 75,2,2024-09-07 09:57:11:354,801583,801583,0,0,41418723,0,4766 75,3,2024-09-07 09:57:11:068,1,633,16,0,918,8330,633,0 76,0,2024-09-07 09:57:10:595,156786,0.6,156166,0.7,312280,0.5,417443,2.25 76,1,2024-09-07 09:57:10:823,1112471,1112471,0,0,521318960379,5432225404,1105130,6348,993,382,391790,0 76,2,2024-09-07 09:57:11:062,803320,803317,3,0,33525925,0,5265 76,3,2024-09-07 09:57:11:142,1,633,1,0,227,5763,633,0 77,0,2024-09-07 09:57:11:730,155464,0.5,155969,0.7,311868,0.5,414349,2.00 77,1,2024-09-07 09:57:10:824,1112517,1112517,0,0,522487724479,5446442529,1104505,7132,880,381,391869,0 77,2,2024-09-07 09:57:11:281,798148,798148,0,0,32690537,0,3890 77,3,2024-09-07 09:57:11:098,1,633,1,0,401,7025,633,0 78,0,2024-09-07 09:57:11:815,160609,0.4,159883,0.6,320516,0.3,424909,2.00 78,1,2024-09-07 09:57:10:613,1112478,1112478,0,0,521154183625,5432503330,1099383,10164,2931,367,391670,0 78,2,2024-09-07 09:57:11:414,800711,800698,13,0,30715979,0,8313 78,3,2024-09-07 09:57:11:135,1,633,3,0,311,5145,633,0 79,0,2024-09-07 09:57:11:389,156096,0.4,159839,0.6,327746,0.3,426225,2.00 79,1,2024-09-07 09:57:10:572,1116789,1116789,0,0,523485526878,5414682392,1108934,6495,1360,367,391682,0 79,2,2024-09-07 09:57:11:071,804641,804641,0,0,29751782,0,4195 79,3,2024-09-07 09:57:10:749,1,633,8,0,418,7245,633,0 80,0,2024-09-07 09:57:11:101,157174,0.5,161490,0.7,308477,0.5,417502,2.00 80,1,2024-09-07 09:57:11:634,1112382,1112382,0,0,522567547047,5437227547,1105209,6717,456,368,392269,0 80,2,2024-09-07 09:57:11:097,805372,805372,0,0,30330974,0,4433 80,3,2024-09-07 09:57:10:575,1,633,1,0,681,7705,633,0 81,0,2024-09-07 09:57:11:544,156531,0.5,160220,0.7,305925,0.5,415055,2.00 81,1,2024-09-07 09:57:11:657,1111755,1111755,0,0,521084102195,5441978388,1103344,7632,779,382,391885,0 81,2,2024-09-07 09:57:11:126,797466,797403,63,0,33496323,0,5932 81,3,2024-09-07 09:57:11:119,1,633,2,0,719,7115,633,0 82,0,2024-09-07 09:57:11:539,158331,0.4,158557,0.6,317805,0.4,421485,2.00 82,1,2024-09-07 09:57:10:582,1113517,1113513,0,4,523073437597,5433977356,1107910,4778,825,381,391768,4 82,2,2024-09-07 09:57:11:696,801139,801139,0,0,28643805,0,4484 82,3,2024-09-07 09:57:11:756,1,633,1,0,363,5800,633,0 83,0,2024-09-07 09:57:11:522,163387,0.6,163228,0.7,326151,0.6,433497,2.00 83,1,2024-09-07 09:57:10:555,1112434,1112434,0,0,521121897549,5429335782,1104807,6982,645,382,391709,0 83,2,2024-09-07 09:57:10:767,805081,805056,25,0,30903571,0,5612 83,3,2024-09-07 09:57:10:749,1,633,8,0,1260,7337,633,0 84,0,2024-09-07 09:57:11:786,155988,0.8,156043,0.9,311998,0.7,416866,2.25 84,1,2024-09-07 09:57:11:040,1110551,1110551,0,0,521506710326,5452185651,1097805,10864,1882,367,391967,0 84,2,2024-09-07 09:57:10:579,801539,801129,410,0,44557512,0,17037 84,3,2024-09-07 09:57:11:146,1,633,4,0,908,8326,633,0 85,0,2024-09-07 09:57:11:006,150418,0.6,150380,0.8,319325,0.6,412090,2.25 85,1,2024-09-07 09:57:10:567,1107262,1107262,0,0,519883340140,5484258491,1090856,13603,2803,381,392092,0 85,2,2024-09-07 09:57:10:867,796471,796471,0,0,37511231,0,4255 85,3,2024-09-07 09:57:10:694,1,633,4,0,789,7150,633,0 86,0,2024-09-07 09:57:10:983,156582,0.6,161010,0.8,307881,0.6,416184,2.25 86,1,2024-09-07 09:57:10:847,1110614,1110614,0,0,521368805716,5463801301,1096455,11472,2687,366,392169,0 86,2,2024-09-07 09:57:10:870,798163,798162,1,0,40312306,0,5004 86,3,2024-09-07 09:57:10:586,1,633,4,0,308,8746,633,0 87,0,2024-09-07 09:57:11:296,163149,0.7,162317,0.8,325044,0.8,434206,2.25 87,1,2024-09-07 09:57:10:549,1109784,1109784,0,0,520617749280,5450567683,1096160,11824,1800,366,392076,0 87,2,2024-09-07 09:57:11:071,801616,801610,6,0,36836465,0,6323 87,3,2024-09-07 09:57:11:796,1,633,12,0,473,8849,633,0 88,0,2024-09-07 09:57:11:457,157892,0.4,158395,0.6,316462,0.4,421376,1.75 88,1,2024-09-07 09:57:10:569,1109406,1109406,0,0,520692021145,5453828641,1093928,12430,3048,365,392084,0 88,2,2024-09-07 09:57:10:689,802201,802201,0,0,39154384,0,4465 88,3,2024-09-07 09:57:11:268,1,633,152,0,1080,9631,633,0 89,0,2024-09-07 09:57:11:798,161514,0.4,156717,0.6,309139,0.4,420306,1.75 89,1,2024-09-07 09:57:10:550,1108716,1108716,0,0,520612628507,5470396441,1095701,11067,1948,382,391866,0 89,2,2024-09-07 09:57:11:136,798951,798951,0,0,36799465,0,3173 89,3,2024-09-07 09:57:11:843,1,633,20,0,468,10227,633,0 90,0,2024-09-07 09:57:11:619,151101,0.4,155258,0.6,316689,0.4,413284,2.00 90,1,2024-09-07 09:57:10:590,1110854,1110854,0,0,520583693601,5448690892,1100609,9347,898,380,391825,0 90,2,2024-09-07 09:57:11:433,795724,795719,5,0,40334802,0,6370 90,3,2024-09-07 09:57:10:944,1,633,9,0,322,7632,633,0 91,0,2024-09-07 09:57:10:951,161710,0.4,156924,0.6,328345,0.4,430850,1.75 91,1,2024-09-07 09:57:10:562,1108401,1108401,0,0,520189888199,5476736315,1092501,12752,3148,381,392047,0 91,2,2024-09-07 09:57:11:331,800401,800401,0,0,35600607,0,4713 91,3,2024-09-07 09:57:10:603,1,633,119,0,216,5652,633,0 92,0,2024-09-07 09:57:11:486,162168,0.4,165789,0.6,315984,0.4,428257,1.75 92,1,2024-09-07 09:57:10:582,1111619,1111619,0,0,522345022140,5452182535,1103213,7118,1288,381,392136,0 92,2,2024-09-07 09:57:11:351,803246,803246,0,0,31394355,0,3259 92,3,2024-09-07 09:57:11:018,1,633,2,0,167,5318,633,0 93,0,2024-09-07 09:57:10:955,158347,0.4,162206,0.6,309848,0.3,419429,1.75 93,1,2024-09-07 09:57:10:813,1112662,1112662,0,0,521684676221,5441234622,1100830,9895,1937,365,392048,0 93,2,2024-09-07 09:57:10:930,802017,802017,0,0,37102757,0,4913 93,3,2024-09-07 09:57:11:405,1,633,1,0,375,6616,633,0 94,0,2024-09-07 09:57:11:616,155595,0.4,156825,0.5,313384,0.3,415904,1.75 94,1,2024-09-07 09:57:10:563,1112938,1112938,0,0,522116045738,5441119105,1105962,6540,436,381,391850,0 94,2,2024-09-07 09:57:10:764,798409,798381,28,0,31902119,0,6179 94,3,2024-09-07 09:57:11:691,1,633,3,0,576,7717,633,0 95,0,2024-09-07 09:57:11:353,159243,0.3,159189,0.5,318651,0.3,424550,1.75 95,1,2024-09-07 09:57:10:856,1113546,1113546,0,0,522598417797,5427359827,1105079,7715,752,365,391852,0 95,2,2024-09-07 09:57:11:027,798351,798351,0,0,31707867,0,3308 95,3,2024-09-07 09:57:11:725,1,633,9,0,718,9790,633,0 96,0,2024-09-07 09:57:11:047,162956,0.3,163187,0.5,326681,0.3,433677,1.75 96,1,2024-09-07 09:57:11:603,1111607,1111607,0,0,521151090201,5433750759,1103959,6364,1284,384,391964,0 96,2,2024-09-07 09:57:11:268,805671,805671,0,0,32821082,0,4180 96,3,2024-09-07 09:57:11:140,1,633,4,0,411,7104,633,0 97,0,2024-09-07 09:57:11:333,157062,0.3,157039,0.5,314727,0.3,418149,1.50 97,1,2024-09-07 09:57:10:783,1113907,1113907,0,0,523367137939,5427360856,1106951,5913,1043,367,392140,0 97,2,2024-09-07 09:57:10:611,804488,804488,0,0,32272045,0,4046 97,3,2024-09-07 09:57:10:586,1,633,6,0,242,6929,633,0 98,0,2024-09-07 09:57:11:704,155950,0.3,156020,0.4,313284,0.2,416685,1.50 98,1,2024-09-07 09:57:10:570,1112768,1112768,0,0,522509898352,5439879670,1106238,5690,840,381,391997,0 98,2,2024-09-07 09:57:10:837,797050,797050,0,0,30888318,0,4336 98,3,2024-09-07 09:57:10:706,1,633,3,0,840,9296,633,0 99,0,2024-09-07 09:57:11:453,158197,0.3,159022,0.5,316682,0.3,422675,1.75 99,1,2024-09-07 09:57:11:766,1113761,1113761,0,0,522433710187,5433908969,1107120,5675,966,380,392069,0 99,2,2024-09-07 09:57:11:419,798588,798588,0,0,38659689,0,4276 99,3,2024-09-07 09:57:10:581,1,633,30,0,1124,8004,633,0 100,0,2024-09-07 09:57:11:505,162471,0.7,162923,0.8,325638,0.7,434566,2.25 100,1,2024-09-07 09:57:10:548,1106519,1106519,0,0,519755159498,5490868702,1090027,13075,3417,378,391989,0 100,2,2024-09-07 09:57:11:817,799993,799604,389,0,41677550,0,16909 100,3,2024-09-07 09:57:11:733,1,633,1,0,627,10360,633,0 101,0,2024-09-07 09:57:11:733,160904,1.0,157084,1.0,307307,1.0,419706,2.25 101,1,2024-09-07 09:57:10:550,1109448,1109448,0,0,520604419974,5466438573,1095125,11794,2529,368,391847,0 101,2,2024-09-07 09:57:11:771,798350,798350,0,0,41787207,0,4871 101,3,2024-09-07 09:57:10:942,1,633,1,0,1250,9268,633,0 102,0,2024-09-07 09:57:10:969,152751,0.6,157329,0.8,319696,0.6,417953,2.25 102,1,2024-09-07 09:57:11:142,1108836,1108836,0,0,520223770530,5461571679,1094327,12308,2201,369,391984,0 102,2,2024-09-07 09:57:11:736,798606,798552,54,0,35397718,0,6768 102,3,2024-09-07 09:57:11:620,1,633,11,0,466,7050,633,0 103,0,2024-09-07 09:57:11:618,161763,0.5,161768,0.7,304710,0.5,419651,2.00 103,1,2024-09-07 09:57:11:634,1107994,1107994,0,0,520010915342,5487419089,1090847,13542,3605,381,392077,0 103,2,2024-09-07 09:57:10:582,797129,797129,0,0,38473648,0,3766 103,3,2024-09-07 09:57:10:756,1,633,9,0,916,7299,633,0 104,0,2024-09-07 09:57:11:040,161588,0.7,161748,0.9,322915,0.6,431832,2.25 104,1,2024-09-07 09:57:11:622,1110496,1110496,0,0,520792468618,5466524653,1094897,12754,2845,365,392168,0 104,2,2024-09-07 09:57:11:673,798734,798734,0,0,38016248,0,4161 104,3,2024-09-07 09:57:11:419,1,633,0,0,1245,10916,633,0 105,0,2024-09-07 09:57:11:028,156990,0.9,153047,1.0,320993,1.0,421379,2.50 105,1,2024-09-07 09:57:10:562,1111592,1111592,0,0,521243470568,5456094810,1097954,11692,1946,364,392009,0 105,2,2024-09-07 09:57:11:323,801646,801646,0,0,38442686,0,4360 105,3,2024-09-07 09:57:11:304,1,633,1,0,573,9545,633,0 106,0,2024-09-07 09:57:10:949,151832,0.9,155549,1.0,318584,1.1,415793,2.50 106,1,2024-09-07 09:57:11:751,1110205,1110205,0,0,520203478324,5455678936,1095126,13146,1933,368,391914,0 106,2,2024-09-07 09:57:10:758,799900,799900,0,0,35808244,0,3331 106,3,2024-09-07 09:57:10:690,1,633,12,0,1224,8541,633,0 107,0,2024-09-07 09:57:11:113,155631,0.9,155671,0.9,311439,1.0,414963,2.25 107,1,2024-09-07 09:57:10:585,1108304,1108304,0,0,519571660595,5485704787,1090890,15278,2136,381,392234,0 107,2,2024-09-07 09:57:11:293,795170,795169,1,0,39048717,0,5024 107,3,2024-09-07 09:57:11:767,1,633,14,0,733,9515,633,0 108,0,2024-09-07 09:57:11:853,159580,0.4,160198,0.6,319411,0.4,426238,1.75 108,1,2024-09-07 09:57:11:297,1111704,1111704,0,0,521674402113,5437125185,1102933,7773,998,367,391894,0 108,2,2024-09-07 09:57:11:763,799867,799867,0,0,33700402,0,4246 108,3,2024-09-07 09:57:11:339,1,633,1,0,749,11028,633,0 109,0,2024-09-07 09:57:11:809,162508,0.4,161079,0.6,323118,0.3,430840,1.75 109,1,2024-09-07 09:57:10:582,1109386,1109386,0,0,521049651110,5461863469,1099872,8234,1280,382,392132,0 109,2,2024-09-07 09:57:11:142,802133,802133,0,0,34743975,0,3617 109,3,2024-09-07 09:57:11:140,1,633,13,0,630,7469,633,0 110,0,2024-09-07 09:57:11:783,157037,0.4,152695,0.6,319855,0.4,419387,1.75 110,1,2024-09-07 09:57:11:649,1113919,1113919,0,0,522589121599,5421266010,1105828,6162,1929,368,392045,0 110,2,2024-09-07 09:57:11:303,803815,803815,0,0,32067918,0,4067 110,3,2024-09-07 09:57:10:700,1,633,0,0,722,8242,633,0 111,0,2024-09-07 09:57:11:423,157333,0.4,156584,0.5,312868,0.3,417512,1.75 111,1,2024-09-07 09:57:11:003,1115093,1115093,0,0,523807776093,5429541525,1109439,5273,381,380,391690,0 111,2,2024-09-07 09:57:11:117,797767,797767,0,0,32598581,0,4823 111,3,2024-09-07 09:57:10:920,1,633,8,0,379,6713,633,0 112,0,2024-09-07 09:57:10:955,159170,0.3,158768,0.4,318189,0.2,422660,1.50 112,1,2024-09-07 09:57:10:824,1113731,1113731,0,0,522409642125,5422050939,1106521,5985,1225,380,391624,0 112,2,2024-09-07 09:57:11:136,799168,799167,1,0,31168450,0,5036 112,3,2024-09-07 09:57:10:595,1,633,1,0,282,5863,633,0 113,0,2024-09-07 09:57:10:872,163403,0.3,163441,0.5,327356,0.2,435740,1.75 113,1,2024-09-07 09:57:11:692,1116029,1116029,0,0,524210684748,5405824600,1109773,5308,948,365,391664,0 113,2,2024-09-07 09:57:11:303,807041,807041,0,0,29552531,0,3813 113,3,2024-09-07 09:57:10:688,1,633,6,0,510,6655,633,0 114,0,2024-09-07 09:57:10:874,157629,0.3,158626,0.5,316341,0.2,422344,1.75 114,1,2024-09-07 09:57:10:720,1114087,1114087,0,0,522667122755,5424574900,1105982,6358,1747,381,391565,0 114,2,2024-09-07 09:57:10:876,805345,805344,1,0,30483993,0,5069 114,3,2024-09-07 09:57:11:278,1,633,1,0,415,5367,633,0 115,0,2024-09-07 09:57:10:562,157296,0.3,157846,0.4,314291,0.2,418196,1.50 115,1,2024-09-07 09:57:10:571,1114457,1114457,0,0,522966734791,5429819774,1106060,6879,1518,382,391757,0 115,2,2024-09-07 09:57:11:130,799203,799203,0,0,30659112,0,4382 115,3,2024-09-07 09:57:11:005,1,633,8,0,167,3822,633,0 116,0,2024-09-07 09:57:11:840,156537,0.6,156405,0.8,313540,0.6,418473,2.00 116,1,2024-09-07 09:57:10:817,1107914,1107914,0,0,520986009245,5485636939,1095182,9639,3093,380,392089,0 116,2,2024-09-07 09:57:11:792,799080,799080,0,0,38218720,0,4475 116,3,2024-09-07 09:57:10:915,1,633,7,0,448,8001,633,0 117,0,2024-09-07 09:57:10:958,163219,0.6,162625,0.8,325750,0.6,435430,2.00 117,1,2024-09-07 09:57:11:594,1110131,1110131,0,0,520094723398,5442853690,1098228,10377,1526,369,392429,0 117,2,2024-09-07 09:57:11:118,803797,803797,0,0,34757793,0,4303 117,3,2024-09-07 09:57:11:063,1,633,0,0,490,7850,633,0 118,0,2024-09-07 09:57:11:782,153114,0.5,157302,0.7,320988,0.5,419633,2.00 118,1,2024-09-07 09:57:10:585,1109301,1109301,0,0,519532614409,5458222036,1093335,12340,3626,366,392054,0 118,2,2024-09-07 09:57:11:587,801432,801432,0,0,37059415,0,2842 118,3,2024-09-07 09:57:11:764,1,633,20,0,289,7305,633,0 119,0,2024-09-07 09:57:11:334,156791,0.8,157410,0.8,314170,0.8,418647,2.25 119,1,2024-09-07 09:57:10:554,1110249,1110249,0,0,520879971136,5458722843,1095510,12226,2513,367,391857,0 119,2,2024-09-07 09:57:11:263,800326,800326,0,0,34622548,0,4309 119,3,2024-09-07 09:57:11:329,1,633,8,0,1358,11516,633,0 120,0,2024-09-07 09:57:11:569,154956,0.6,154755,0.8,310963,0.6,414553,2.25 120,1,2024-09-07 09:57:10:860,1111127,1111127,0,0,520725825539,5457468608,1099274,10709,1144,367,392144,0 120,2,2024-09-07 09:57:10:770,798060,798057,3,0,41301444,0,5363 120,3,2024-09-07 09:57:11:290,1,633,478,0,478,8006,633,0 121,0,2024-09-07 09:57:11:729,161504,0.9,161056,1.0,322146,1.1,429803,2.25 121,1,2024-09-07 09:57:11:659,1110519,1110519,0,0,521060839816,5448611250,1099175,9787,1557,366,391840,0 121,2,2024-09-07 09:57:11:126,799739,799739,0,0,37706647,0,4157 121,3,2024-09-07 09:57:10:737,1,633,11,0,387,8181,633,0 122,0,2024-09-07 09:57:11:764,160020,0.8,155564,0.9,325809,0.8,428080,2.00 122,1,2024-09-07 09:57:10:867,1109588,1109588,0,0,520637617320,5460372519,1094555,12615,2418,365,392130,0 122,2,2024-09-07 09:57:11:388,803179,803104,75,0,41420721,0,5989 122,3,2024-09-07 09:57:10:596,1,633,5,0,512,9953,633,0 123,0,2024-09-07 09:57:10:968,156726,0.8,152520,0.9,319640,0.9,417980,2.25 123,1,2024-09-07 09:57:10:560,1110521,1110521,0,0,521322287293,5476965443,1093551,14298,2672,369,392039,0 123,2,2024-09-07 09:57:11:020,800306,800305,1,0,35470708,0,5215 123,3,2024-09-07 09:57:11:139,1,633,24,0,478,7122,633,0 124,0,2024-09-07 09:57:10:927,160550,0.3,160612,0.5,302736,0.3,416455,1.75 124,1,2024-09-07 09:57:11:028,1114095,1114095,0,0,521890086917,5422419992,1106122,6794,1179,365,392178,0 124,2,2024-09-07 09:57:11:013,800256,800203,53,0,31921913,0,6487 124,3,2024-09-07 09:57:10:758,1,633,1,0,490,6099,633,0 125,0,2024-09-07 09:57:11:459,158901,0.4,158754,0.5,318550,0.3,424548,1.75 125,1,2024-09-07 09:57:10:854,1110850,1110850,0,0,521241630373,5438013979,1102569,7126,1155,382,392045,0 125,2,2024-09-07 09:57:11:175,801392,801392,0,0,32187367,0,4534 125,3,2024-09-07 09:57:11:152,1,633,1,0,709,7090,633,0 126,0,2024-09-07 09:57:11:424,163162,0.4,167791,0.5,320855,0.3,434357,1.75 126,1,2024-09-07 09:57:10:562,1115296,1115296,0,0,523276952521,5413425592,1109745,5102,449,365,391987,0 126,2,2024-09-07 09:57:10:613,806115,806115,0,0,33429700,0,4539 126,3,2024-09-07 09:57:10:908,1,633,1,0,268,6817,633,0 127,0,2024-09-07 09:57:11:596,157482,0.3,157628,0.5,314544,0.3,418453,1.75 127,1,2024-09-07 09:57:10:577,1112949,1112949,0,0,521894305991,5426380329,1102248,9061,1640,364,392187,0 127,2,2024-09-07 09:57:10:637,803652,803648,4,0,31781827,0,5305 127,3,2024-09-07 09:57:11:267,1,633,9,0,968,6101,633,0 128,0,2024-09-07 09:57:11:538,156914,0.3,157053,0.4,313757,0.2,417393,1.50 128,1,2024-09-07 09:57:11:643,1112403,1112403,0,0,522133518289,5429106321,1103741,7697,965,367,392423,0 128,2,2024-09-07 09:57:11:391,799418,799418,0,0,29254708,0,3171 128,3,2024-09-07 09:57:10:767,1,633,1,0,1082,9757,633,0 129,0,2024-09-07 09:57:11:006,159504,0.3,158703,0.5,318159,0.3,423158,1.50 129,1,2024-09-07 09:57:10:571,1109232,1109232,0,0,521304941497,5460116753,1098494,8716,2022,379,391962,0 129,2,2024-09-07 09:57:10:689,800793,800789,4,0,31953641,0,5335 129,3,2024-09-07 09:57:10:688,1,633,2,0,506,8463,633,0 130,0,2024-09-07 09:57:11:728,163619,0.4,163328,0.5,326898,0.4,435857,1.75 130,1,2024-09-07 09:57:10:583,1114299,1114299,0,0,522808886979,5427000935,1108838,5058,403,381,391825,0 130,2,2024-09-07 09:57:11:135,804049,804049,0,0,32270269,0,4067 130,3,2024-09-07 09:57:11:291,1,633,2,0,960,8425,633,0 131,0,2024-09-07 09:57:11:934,157607,0.3,158077,0.5,317030,0.3,421305,1.75 131,1,2024-09-07 09:57:11:827,1113107,1113107,0,0,521787052094,5435464092,1105796,6113,1198,381,391865,0 131,2,2024-09-07 09:57:10:569,803541,803541,0,0,29898058,0,3979 131,3,2024-09-07 09:57:11:696,1,633,8,0,392,7920,633,0 132,0,2024-09-07 09:57:11:419,157358,0.5,158354,0.6,315214,0.4,419077,2.00 132,1,2024-09-07 09:57:10:577,1108812,1108812,0,0,520502129014,5476059799,1093011,13025,2776,381,392532,0 132,2,2024-09-07 09:57:10:701,797738,797721,17,0,38791898,0,6451 132,3,2024-09-07 09:57:11:695,1,633,7,0,1298,10991,633,0 133,0,2024-09-07 09:57:11:522,152726,0.4,156313,0.6,320306,0.4,417184,2.00 133,1,2024-09-07 09:57:10:583,1108733,1108733,0,0,519769735265,5473347236,1094163,12715,1855,383,391914,0 133,2,2024-09-07 09:57:11:088,799961,799911,50,0,39725385,0,6861 133,3,2024-09-07 09:57:11:300,1,633,17,0,528,7615,633,0 134,0,2024-09-07 09:57:10:997,162947,0.5,163087,0.6,326072,0.4,434144,2.00 134,1,2024-09-07 09:57:10:584,1109770,1109770,0,0,520902797849,5461710292,1095296,11562,2912,366,391781,0 134,2,2024-09-07 09:57:11:766,800958,800934,24,0,36743705,0,6207 134,3,2024-09-07 09:57:10:749,1,633,1,0,739,7766,633,0 135,0,2024-09-07 09:57:11:098,153868,0.7,153878,0.8,326727,0.8,420707,2.25 135,1,2024-09-07 09:57:11:592,1109478,1109478,0,0,521477019380,5480017816,1095845,11795,1838,380,391974,0 135,2,2024-09-07 09:57:10:690,803646,803646,0,0,37726413,0,4503 135,3,2024-09-07 09:57:11:008,1,633,12,0,900,5994,633,0 136,0,2024-09-07 09:57:11:647,157676,0.6,158085,0.8,314011,0.6,418946,2.00 136,1,2024-09-07 09:57:11:448,1110701,1110701,0,0,521680568725,5463195196,1098726,10585,1390,381,392135,0 136,2,2024-09-07 09:57:11:136,802665,802650,15,0,36940807,0,6007 136,3,2024-09-07 09:57:11:106,1,633,1,0,637,7109,633,0 137,0,2024-09-07 09:57:10:922,160868,0.6,156883,0.7,307028,0.6,416833,2.00 137,1,2024-09-07 09:57:10:575,1109734,1109734,0,0,520931114641,5459343692,1093019,13325,3390,366,391898,0 137,2,2024-09-07 09:57:11:711,797368,797368,0,0,38166144,0,3185 137,3,2024-09-07 09:57:10:769,1,633,1,0,484,8393,633,0 138,0,2024-09-07 09:57:11:741,159093,0.7,159443,0.8,319421,0.7,424853,2.00 138,1,2024-09-07 09:57:11:693,1110421,1110421,0,0,521481656794,5465541859,1095554,12594,2273,368,391954,0 138,2,2024-09-07 09:57:10:585,798543,798543,0,0,36328571,0,4988 138,3,2024-09-07 09:57:10:611,1,633,0,0,1200,9639,633,0 139,0,2024-09-07 09:57:11:393,160312,0.9,160958,0.9,321571,1.3,429167,2.25 139,1,2024-09-07 09:57:10:571,1105557,1105557,0,0,518337997134,5489700638,1087149,14580,3828,380,392109,0 139,2,2024-09-07 09:57:10:700,798534,798504,30,0,41793153,0,5997 139,3,2024-09-07 09:57:11:671,1,633,26,0,432,7383,633,0 140,0,2024-09-07 09:57:11:591,157603,0.3,156846,0.5,314872,0.2,419663,1.75 140,1,2024-09-07 09:57:11:545,1117169,1117169,0,0,525023787104,5408918928,1112101,4520,548,364,391628,0 140,2,2024-09-07 09:57:10:687,803862,803861,1,0,29767660,0,5036 140,3,2024-09-07 09:57:10:767,1,633,7,0,575,6183,633,0 141,0,2024-09-07 09:57:11:700,156946,0.3,161246,0.5,308332,0.2,417244,1.50 141,1,2024-09-07 09:57:10:860,1114682,1114682,0,0,522189257812,5421116794,1106470,7019,1193,379,391614,0 141,2,2024-09-07 09:57:11:687,798624,798613,11,0,31757727,0,5369 141,3,2024-09-07 09:57:11:052,1,633,0,0,391,7048,633,0 142,0,2024-09-07 09:57:11:347,159422,0.3,158616,0.5,317447,0.2,423639,1.50 142,1,2024-09-07 09:57:10:584,1112683,1112683,0,0,522009678898,5437396685,1105938,6234,511,382,392102,0 142,2,2024-09-07 09:57:11:303,798552,798520,32,0,32317222,0,6028 142,3,2024-09-07 09:57:11:748,1,633,0,0,484,6487,633,0 143,0,2024-09-07 09:57:11:394,163479,0.4,163289,0.5,327600,0.4,435861,1.75 143,1,2024-09-07 09:57:10:559,1115118,1115118,0,0,523516741484,5426520829,1108625,5925,568,367,391900,0 143,2,2024-09-07 09:57:10:769,805310,805310,0,0,32503193,0,3123 143,3,2024-09-07 09:57:11:140,1,633,17,0,462,7430,633,0 144,0,2024-09-07 09:57:11:507,152724,0.6,157227,0.7,319748,0.5,418623,2.00 144,1,2024-09-07 09:57:10:574,1109757,1109757,0,0,519775205135,5451081654,1098966,8795,1996,381,391733,0 144,2,2024-09-07 09:57:11:785,804329,804329,0,0,31640203,0,4443 144,3,2024-09-07 09:57:11:774,1,633,1,0,249,6207,633,0 145,0,2024-09-07 09:57:11:449,151543,0.6,151515,0.8,321601,0.5,413855,2.25 145,1,2024-09-07 09:57:10:551,1108749,1108749,0,0,520467878783,5473784089,1094145,11891,2713,382,391781,0 145,2,2024-09-07 09:57:11:456,796043,795961,82,0,37393268,0,7814 145,3,2024-09-07 09:57:10:895,1,633,22,0,622,8201,633,0 146,0,2024-09-07 09:57:11:611,156931,0.5,155994,0.7,313864,0.5,417199,2.00 146,1,2024-09-07 09:57:11:598,1109884,1109884,0,0,520388018342,5470138622,1092336,13066,4482,367,391770,0 146,2,2024-09-07 09:57:11:716,797866,797860,6,0,35763543,0,5151 146,3,2024-09-07 09:57:11:274,1,633,0,0,1520,10075,633,0 147,0,2024-09-07 09:57:11:699,163075,0.5,162923,0.7,325709,0.5,434552,2.00 147,1,2024-09-07 09:57:11:375,1114158,1114158,0,0,522858262485,5429373032,1105630,7561,967,367,391791,0 147,2,2024-09-07 09:57:11:014,802563,802563,0,0,32028225,0,2968 147,3,2024-09-07 09:57:10:914,1,633,12,0,1626,9770,633,0 0,0,2024-09-07 09:57:21:782,151608,0.5,151591,0.7,321915,0.5,415974,2.00 0,1,2024-09-07 09:57:20:814,1112618,1112618,0,0,522043235200,5461456609,1103917,7749,952,368,391896,0 0,2,2024-09-07 09:57:21:081,801266,801266,0,0,31878395,0,4480 0,3,2024-09-07 09:57:20:978,1,634,3,0,431,8866,634,0 1,0,2024-09-07 09:57:21:787,161644,0.8,160619,0.9,322389,0.9,431629,2.00 1,1,2024-09-07 09:57:20:573,1111381,1111381,0,0,521238707661,5455558660,1101881,8006,1494,370,391859,0 1,2,2024-09-07 09:57:20:652,802544,802544,0,0,31549552,0,3380 1,3,2024-09-07 09:57:21:302,1,634,4,0,269,7752,634,0 2,0,2024-09-07 09:57:21:573,160508,0.6,160080,0.7,320266,0.6,426976,2.00 2,1,2024-09-07 09:57:20:859,1114824,1114824,0,0,523750887466,5442190703,1108639,5233,952,379,391745,0 2,2,2024-09-07 09:57:21:270,804872,804872,0,0,30021278,0,3594 2,3,2024-09-07 09:57:20:709,1,634,7,0,357,5547,634,0 3,0,2024-09-07 09:57:21:746,157365,0.4,157353,0.6,314324,0.4,418565,2.00 3,1,2024-09-07 09:57:21:625,1113890,1113890,0,0,522809133501,5434746738,1106252,6933,705,379,391716,0 3,2,2024-09-07 09:57:21:145,804144,804121,23,0,31014044,0,5851 3,3,2024-09-07 09:57:21:755,1,634,8,0,484,4776,634,0 4,0,2024-09-07 09:57:21:817,151744,0.4,155960,0.5,317937,0.3,415181,1.75 4,1,2024-09-07 09:57:20:611,1107982,1107982,0,0,520461570171,5506041612,1089240,14400,4342,369,391992,0 4,2,2024-09-07 09:57:21:037,797137,797137,0,0,37957145,0,4534 4,3,2024-09-07 09:57:21:039,1,634,11,0,448,7607,634,0 5,0,2024-09-07 09:57:21:393,159632,0.4,159492,0.5,319077,0.3,424654,1.75 5,1,2024-09-07 09:57:20:771,1109765,1109765,0,0,520718020506,5495143457,1092603,12940,4222,367,392005,0 5,2,2024-09-07 09:57:21:831,797317,797317,0,0,36973436,0,3582 5,3,2024-09-07 09:57:21:736,1,634,17,0,457,8574,634,0 6,0,2024-09-07 09:57:20:930,163002,0.5,162461,0.6,325126,0.4,432972,2.00 6,1,2024-09-07 09:57:20:752,1112449,1112449,0,0,521777876837,5446029202,1101190,9670,1589,379,391702,0 6,2,2024-09-07 09:57:21:119,805415,805397,18,0,35764476,0,5535 6,3,2024-09-07 09:57:21:280,1,634,6,0,710,7477,634,0 7,0,2024-09-07 09:57:21:536,156657,0.5,157831,0.7,313203,0.5,417727,2.00 7,1,2024-09-07 09:57:20:855,1110039,1110039,0,0,521543269203,5488796127,1092978,13012,4049,382,391747,0 7,2,2024-09-07 09:57:20:782,803648,803648,0,0,34533676,0,4791 7,3,2024-09-07 09:57:20:854,1,634,9,0,552,7293,634,0 8,0,2024-09-07 09:57:21:330,156981,0.4,156654,0.5,313742,0.3,418238,1.75 8,1,2024-09-07 09:57:21:022,1109777,1109777,0,0,520545057739,5470158403,1092727,13457,3593,366,392853,0 8,2,2024-09-07 09:57:20:799,793907,793905,2,0,40673490,0,5112 8,3,2024-09-07 09:57:20:589,1,634,0,0,772,9807,634,0 9,0,2024-09-07 09:57:21:272,158521,0.4,154146,0.5,322448,0.3,423049,1.75 9,1,2024-09-07 09:57:20:561,1109463,1109463,0,0,520874624315,5488672299,1091780,14051,3632,369,392001,0 9,2,2024-09-07 09:57:21:096,798739,798738,1,0,38325519,0,5281 9,3,2024-09-07 09:57:21:763,1,634,0,0,1273,10371,634,0 10,0,2024-09-07 09:57:21:622,163281,0.3,162699,0.5,326206,0.3,434651,1.75 10,1,2024-09-07 09:57:20:590,1111666,1111666,0,0,521497513952,5466495518,1095930,12672,3064,381,391981,0 10,2,2024-09-07 09:57:20:761,804824,804824,0,0,41327251,0,4713 10,3,2024-09-07 09:57:20:879,1,634,4,0,669,6873,634,0 11,0,2024-09-07 09:57:21:010,157701,0.4,153034,0.6,320297,0.4,421868,1.75 11,1,2024-09-07 09:57:20:570,1112975,1112975,0,0,522848156010,5479892460,1096999,11673,4303,383,391766,0 11,2,2024-09-07 09:57:21:124,803006,803006,0,0,36344292,0,4698 11,3,2024-09-07 09:57:21:305,1,634,10,0,843,7926,634,0 12,0,2024-09-07 09:57:21:007,158394,0.4,158218,0.5,316002,0.3,419953,1.75 12,1,2024-09-07 09:57:20:941,1112873,1112873,0,0,522039510728,5441376304,1104366,7497,1010,370,391960,0 12,2,2024-09-07 09:57:21:565,797492,797492,0,0,35198388,0,4390 12,3,2024-09-07 09:57:21:074,1,634,1,0,386,7807,634,0 13,0,2024-09-07 09:57:21:339,157751,0.4,157851,0.5,314745,0.3,419556,1.75 13,1,2024-09-07 09:57:21:526,1110255,1110255,0,0,521357255076,5475361130,1099341,8523,2391,382,391803,0 13,2,2024-09-07 09:57:20:598,802800,802800,0,0,31608005,0,3287 13,3,2024-09-07 09:57:21:773,1,634,1,0,522,8115,634,0 14,0,2024-09-07 09:57:20:565,163057,0.4,164069,0.6,325876,0.3,434288,1.75 14,1,2024-09-07 09:57:21:574,1117914,1117914,0,0,524576808040,5424340238,1109427,7357,1130,364,391673,0 14,2,2024-09-07 09:57:20:769,803776,803746,30,0,32959886,0,6104 14,3,2024-09-07 09:57:21:116,1,634,1,0,1168,6763,634,0 15,0,2024-09-07 09:57:21:560,159145,0.4,158268,0.6,316922,0.4,422466,1.75 15,1,2024-09-07 09:57:21:619,1114839,1114839,0,0,523410384388,5446767422,1105686,7240,1913,381,391619,0 15,2,2024-09-07 09:57:21:002,805890,805890,0,0,28837595,0,3622 15,3,2024-09-07 09:57:21:406,1,634,16,0,1126,8198,634,0 16,0,2024-09-07 09:57:20:958,157206,0.6,157875,0.8,315157,0.6,419283,2.00 16,1,2024-09-07 09:57:20:563,1114363,1114363,0,0,523203088689,5465252257,1104893,8023,1447,370,392194,0 16,2,2024-09-07 09:57:21:462,801040,801040,0,0,32399890,0,4719 16,3,2024-09-07 09:57:21:154,1,634,2,0,358,7744,634,0 17,0,2024-09-07 09:57:21:789,160735,0.5,156633,0.7,306945,0.5,417123,2.00 17,1,2024-09-07 09:57:20:568,1111996,1111996,0,0,521791239175,5469188943,1101464,8757,1775,368,392075,0 17,2,2024-09-07 09:57:21:672,802955,802954,1,0,33722966,0,5050 17,3,2024-09-07 09:57:20:574,1,634,1,0,518,9018,634,0 18,0,2024-09-07 09:57:20:949,158857,0.6,159925,0.8,318292,0.6,425814,2.25 18,1,2024-09-07 09:57:21:640,1116423,1116423,0,0,522909271896,5420628650,1109263,5901,1259,367,391725,0 18,2,2024-09-07 09:57:21:761,803425,803425,0,0,30254540,0,3541 18,3,2024-09-07 09:57:20:902,1,634,1,0,1059,5689,634,0 19,0,2024-09-07 09:57:21:554,161035,0.6,161458,0.8,321968,0.7,427477,2.00 19,1,2024-09-07 09:57:20:565,1116558,1116558,0,0,524401610147,5432178264,1108607,6817,1134,365,391777,0 19,2,2024-09-07 09:57:21:765,807457,807457,0,0,28598193,0,3988 19,3,2024-09-07 09:57:21:129,1,634,9,0,524,4817,634,0 20,0,2024-09-07 09:57:21:424,157327,0.5,157148,0.7,314179,0.5,418890,2.00 20,1,2024-09-07 09:57:20:574,1112108,1112108,0,0,522213428940,5469374480,1101236,9361,1511,369,391922,0 20,2,2024-09-07 09:57:20:939,803416,803416,0,0,35712701,0,4321 20,3,2024-09-07 09:57:20:597,1,634,5,0,468,9878,634,0 21,0,2024-09-07 09:57:21:245,156922,0.5,156909,0.6,313853,0.4,416681,2.00 21,1,2024-09-07 09:57:21:544,1110040,1110040,0,0,520653590424,5492022300,1092769,13349,3922,368,392016,0 21,2,2024-09-07 09:57:21:077,793103,792626,477,0,46154285,0,17074 21,3,2024-09-07 09:57:21:451,1,634,0,0,713,8830,634,0 22,0,2024-09-07 09:57:21:729,158726,0.4,159338,0.6,318368,0.4,422549,2.00 22,1,2024-09-07 09:57:21:023,1110522,1110522,0,0,520918513508,5478786157,1092480,14491,3551,381,391822,0 22,2,2024-09-07 09:57:20:769,798793,798767,26,0,34307615,0,6328 22,3,2024-09-07 09:57:21:067,1,634,1,0,228,5354,634,0 23,0,2024-09-07 09:57:21:373,163173,0.5,163005,0.7,325974,0.4,434383,2.00 23,1,2024-09-07 09:57:21:006,1111103,1111103,0,0,522169674142,5497754157,1091047,13347,6709,365,391690,0 23,2,2024-09-07 09:57:21:093,805852,805852,0,0,32543401,0,3773 23,3,2024-09-07 09:57:21:755,1,634,90,0,855,9082,634,0 24,0,2024-09-07 09:57:20:838,158677,0.4,157816,0.5,317274,0.4,421434,1.75 24,1,2024-09-07 09:57:20:583,1111806,1111806,0,0,520623022336,5445472693,1101653,8443,1710,367,392269,0 24,2,2024-09-07 09:57:21:078,803813,803810,3,0,39412873,0,6294 24,3,2024-09-07 09:57:21:687,1,634,2,0,468,7992,634,0 25,0,2024-09-07 09:57:21:372,161143,0.4,156893,0.6,308271,0.4,419542,1.75 25,1,2024-09-07 09:57:20:560,1109789,1109789,0,0,520805690350,5498400861,1090586,15339,3864,369,391928,0 25,2,2024-09-07 09:57:21:618,796229,796229,0,0,39497229,0,3978 25,3,2024-09-07 09:57:21:005,1,634,25,0,532,6762,634,0 26,0,2024-09-07 09:57:21:723,156611,0.4,153099,0.6,321313,0.3,418916,1.75 26,1,2024-09-07 09:57:21:551,1113398,1113398,0,0,522654159716,5465996665,1099055,11810,2533,380,391758,0 26,2,2024-09-07 09:57:20:866,799932,799932,0,0,42245425,0,4689 26,3,2024-09-07 09:57:21:718,1,634,0,0,796,7631,634,0 27,0,2024-09-07 09:57:21:724,163163,0.4,163981,0.6,326090,0.3,434752,2.25 27,1,2024-09-07 09:57:21:681,1114868,1114868,0,0,523137250859,5447267736,1104464,8795,1609,381,391626,0 27,2,2024-09-07 09:57:20:867,800937,800872,65,0,37017326,0,5699 27,3,2024-09-07 09:57:21:022,1,634,15,0,564,5338,634,0 28,0,2024-09-07 09:57:21:395,158290,0.4,158516,0.6,317161,0.3,422434,1.75 28,1,2024-09-07 09:57:20:813,1114860,1114860,0,0,523419845126,5452213831,1105909,7059,1892,382,391904,0 28,2,2024-09-07 09:57:21:772,804548,804548,0,0,32110819,0,2915 28,3,2024-09-07 09:57:21:777,1,634,0,0,502,6279,634,0 29,0,2024-09-07 09:57:21:362,161749,0.4,157556,0.6,309115,0.3,421197,1.75 29,1,2024-09-07 09:57:21:567,1118088,1118088,0,0,524685938245,5423603989,1111629,5681,778,367,391809,0 29,2,2024-09-07 09:57:20:866,800273,800273,0,0,30861661,0,4986 29,3,2024-09-07 09:57:20:965,1,634,1,0,459,6646,634,0 30,0,2024-09-07 09:57:21:462,155260,0.5,151066,0.6,316629,0.4,414003,2.00 30,1,2024-09-07 09:57:20:575,1116636,1116636,0,0,524409637330,5437238699,1108984,6741,911,380,391672,0 30,2,2024-09-07 09:57:21:279,802055,802055,0,0,29706573,0,4192 30,3,2024-09-07 09:57:20:585,1,634,20,0,519,5783,634,0 31,0,2024-09-07 09:57:21:758,161467,0.4,162341,0.6,323708,0.3,432237,1.75 31,1,2024-09-07 09:57:20:573,1120951,1120951,0,0,525470703457,5393017125,1114435,5442,1074,356,391712,0 31,2,2024-09-07 09:57:21:277,801799,801799,0,0,33581023,0,4470 31,3,2024-09-07 09:57:21:708,1,634,8,0,239,5325,634,0 32,0,2024-09-07 09:57:21:421,160615,0.3,161711,0.5,321827,0.3,428380,1.75 32,1,2024-09-07 09:57:20:815,1116422,1116422,0,0,523479531184,5436606835,1110163,5540,719,381,391646,0 32,2,2024-09-07 09:57:20:951,806250,806250,0,0,29382966,0,3922 32,3,2024-09-07 09:57:21:023,1,634,0,0,304,5176,634,0 33,0,2024-09-07 09:57:21:497,157995,0.3,157586,0.4,315305,0.2,419492,1.50 33,1,2024-09-07 09:57:20:578,1117241,1117241,0,0,524507318541,5429467578,1108696,7272,1273,368,391730,0 33,2,2024-09-07 09:57:20:777,804066,804031,35,0,32439969,0,7012 33,3,2024-09-07 09:57:20:908,1,634,1,0,329,5421,634,0 34,0,2024-09-07 09:57:20:954,156565,0.3,160971,0.5,307628,0.2,414985,1.75 34,1,2024-09-07 09:57:21:056,1119098,1119098,0,0,525853792408,5407248784,1115945,3042,111,367,391637,0 34,2,2024-09-07 09:57:20:772,800865,800865,0,0,30872098,0,4562 34,3,2024-09-07 09:57:21:691,1,634,2,0,541,5568,634,0 35,0,2024-09-07 09:57:20:868,158785,0.3,159592,0.5,319810,0.2,425374,1.75 35,1,2024-09-07 09:57:21:078,1115214,1115214,0,0,523926043412,5434379500,1107040,6651,1523,382,391769,0 35,2,2024-09-07 09:57:21:588,800620,800620,0,0,34512361,0,4055 35,3,2024-09-07 09:57:20:914,1,634,8,0,466,5838,634,0 36,0,2024-09-07 09:57:21:549,163344,0.5,163552,0.6,326531,0.4,434458,2.00 36,1,2024-09-07 09:57:20:585,1114355,1114355,0,0,523042066612,5458228003,1100555,11554,2246,366,391759,0 36,2,2024-09-07 09:57:21:752,805885,805885,0,0,35759034,0,3875 36,3,2024-09-07 09:57:20:865,1,634,8,0,556,8398,634,0 37,0,2024-09-07 09:57:21:371,156447,0.5,156690,0.7,313664,0.5,418342,2.25 37,1,2024-09-07 09:57:20:568,1113154,1113147,0,7,522175508291,5450674501,1100081,10146,2920,365,391770,0 37,2,2024-09-07 09:57:21:152,802004,801989,15,0,35635942,0,5815 37,3,2024-09-07 09:57:21:776,1,634,232,0,888,8713,634,0 38,0,2024-09-07 09:57:21:446,155830,0.4,151105,0.6,316009,0.4,414101,2.00 38,1,2024-09-07 09:57:21:616,1113469,1113469,0,0,522939165635,5467022703,1097592,12652,3225,368,391821,0 38,2,2024-09-07 09:57:20:759,798325,798278,47,0,35458869,0,6710 38,3,2024-09-07 09:57:21:003,1,634,1,0,689,7475,634,0 39,0,2024-09-07 09:57:21:767,162031,0.5,158336,0.7,308946,0.5,421743,2.00 39,1,2024-09-07 09:57:20:725,1112642,1112642,0,0,522173200631,5472828948,1094349,14105,4188,365,391865,0 39,2,2024-09-07 09:57:21:419,799847,799847,0,0,33967362,0,3391 39,3,2024-09-07 09:57:20:718,1,634,10,0,525,7090,634,0 40,0,2024-09-07 09:57:21:504,161869,0.8,162703,0.9,324719,0.9,433763,2.75 40,1,2024-09-07 09:57:20:587,1113691,1113691,0,0,521898371306,5462391969,1097937,12673,3081,366,391668,0 40,2,2024-09-07 09:57:21:306,802201,802194,7,0,40022312,0,5347 40,3,2024-09-07 09:57:21:153,1,634,1,0,1028,9012,634,0 41,0,2024-09-07 09:57:21:030,157021,1.3,160655,1.1,306507,1.8,416580,3.00 41,1,2024-09-07 09:57:20:772,1112623,1112623,0,0,521990966767,5455459974,1098841,11622,2160,369,391878,0 41,2,2024-09-07 09:57:20:775,800302,800301,1,0,38466203,0,5408 41,3,2024-09-07 09:57:21:683,1,634,6,0,366,6751,634,0 42,0,2024-09-07 09:57:21:482,156262,0.9,155893,1.0,312085,1.0,413871,2.75 42,1,2024-09-07 09:57:21:442,1110553,1110553,0,0,520737650650,5467066581,1093872,13748,2933,380,391675,0 42,2,2024-09-07 09:57:21:133,797003,797002,1,0,38746916,0,5513 42,3,2024-09-07 09:57:21:024,1,634,1,0,892,6113,634,0 43,0,2024-09-07 09:57:20:943,155347,0.7,151194,0.9,316839,0.7,414391,2.25 43,1,2024-09-07 09:57:20:575,1113905,1113905,0,0,522176764599,5451281118,1099096,12237,2572,366,391696,0 43,2,2024-09-07 09:57:21:736,800779,800779,0,0,36851768,0,4723 43,3,2024-09-07 09:57:21:756,1,634,8,0,571,8931,634,0 44,0,2024-09-07 09:57:20:874,163127,0.4,163371,0.6,326088,0.3,434735,1.75 44,1,2024-09-07 09:57:20:571,1117159,1117159,0,0,522356323933,5395783296,1107783,7434,1942,356,391809,0 44,2,2024-09-07 09:57:21:270,801510,801510,0,0,29885296,0,4344 44,3,2024-09-07 09:57:21:102,1,634,1,0,1097,7724,634,0 45,0,2024-09-07 09:57:21:757,157170,0.5,153616,0.7,322403,0.4,422519,2.00 45,1,2024-09-07 09:57:21:009,1115912,1115912,0,0,524338935736,5444365818,1107654,7355,903,382,391917,0 45,2,2024-09-07 09:57:21:272,805175,805175,0,0,30083753,0,3596 45,3,2024-09-07 09:57:20:945,1,634,1,0,531,5943,634,0 46,0,2024-09-07 09:57:20:953,156487,0.5,155988,0.7,312969,0.5,415925,2.00 46,1,2024-09-07 09:57:20:574,1118180,1118180,0,0,524404029266,5417733133,1110599,6592,989,366,391709,0 46,2,2024-09-07 09:57:20:598,803455,803455,0,0,30712074,0,4443 46,3,2024-09-07 09:57:21:133,1,634,8,0,908,7344,634,0 47,0,2024-09-07 09:57:21:107,156425,0.4,156090,0.6,313732,0.3,415413,1.75 47,1,2024-09-07 09:57:20:566,1119146,1119146,0,0,524629190108,5415713189,1112983,5300,863,364,391641,0 47,2,2024-09-07 09:57:20:915,803777,803777,0,0,29738355,0,4477 47,3,2024-09-07 09:57:21:120,1,634,10,0,600,6785,634,0 48,0,2024-09-07 09:57:21:498,160599,0.3,160924,0.4,321167,0.2,427636,1.50 48,1,2024-09-07 09:57:21:026,1115816,1115816,0,0,523231727025,5430646865,1109553,5683,580,381,391710,0 48,2,2024-09-07 09:57:20:698,801605,801605,0,0,28445249,0,3524 48,3,2024-09-07 09:57:20:758,1,634,1,0,339,5470,634,0 49,0,2024-09-07 09:57:21:745,166292,0.3,162836,0.5,316935,0.3,431912,1.75 49,1,2024-09-07 09:57:21:021,1115123,1115123,0,0,522767947119,5435059700,1107661,5766,1696,382,391809,0 49,2,2024-09-07 09:57:21:803,806226,806226,0,0,30631204,0,4426 49,3,2024-09-07 09:57:21:421,1,634,42,0,992,7514,634,0 50,0,2024-09-07 09:57:21:511,157918,0.3,156431,0.5,315006,0.2,418787,1.75 50,1,2024-09-07 09:57:21:014,1117948,1117948,0,0,525066148789,5424666856,1111298,5980,670,368,391691,0 50,2,2024-09-07 09:57:21:067,802937,802937,0,0,28301157,0,4490 50,3,2024-09-07 09:57:21:298,1,634,1,0,617,6291,634,0 51,0,2024-09-07 09:57:21:685,161037,0.3,157573,0.5,306822,0.2,418437,1.75 51,1,2024-09-07 09:57:21:689,1118212,1118212,0,0,526112292372,5421884577,1112396,4735,1081,365,391706,0 51,2,2024-09-07 09:57:21:324,799528,799528,0,0,27872506,0,3337 51,3,2024-09-07 09:57:21:031,1,634,7,0,678,4499,634,0 52,0,2024-09-07 09:57:21:428,159403,0.5,159230,0.6,318576,0.4,423667,2.00 52,1,2024-09-07 09:57:20:576,1113596,1113596,0,0,522301338137,5462149509,1098402,12862,2332,368,391805,0 52,2,2024-09-07 09:57:21:764,797031,796993,38,0,38181212,0,6742 52,3,2024-09-07 09:57:20:674,1,634,2,0,1782,7292,634,0 53,0,2024-09-07 09:57:21:738,162972,0.6,158160,0.8,330963,0.7,433417,2.25 53,1,2024-09-07 09:57:20:777,1111507,1111507,0,0,521567027341,5469239434,1093034,14067,4406,367,391968,0 53,2,2024-09-07 09:57:21:302,805946,805945,1,0,33897454,0,5455 53,3,2024-09-07 09:57:20:697,1,634,1,0,308,5735,634,0 54,0,2024-09-07 09:57:21:636,156071,0.6,156220,0.8,311389,0.5,416004,2.25 54,1,2024-09-07 09:57:20:581,1115316,1115316,0,0,523157167989,5438074158,1104072,9572,1672,366,391810,0 54,2,2024-09-07 09:57:20:870,804717,804685,32,0,37930370,0,6397 54,3,2024-09-07 09:57:20:780,1,634,52,0,676,7991,634,0 55,0,2024-09-07 09:57:21:763,151333,0.6,156153,0.8,316422,0.5,412250,2.50 55,1,2024-09-07 09:57:20:765,1115584,1115584,0,0,523125101992,5438316069,1104268,9887,1429,365,391731,0 55,2,2024-09-07 09:57:20:735,797289,797233,56,0,34906718,0,7239 55,3,2024-09-07 09:57:20:681,1,634,8,0,304,5796,634,0 56,0,2024-09-07 09:57:21:556,160346,1.1,151102,1.1,311526,1.4,417285,2.75 56,1,2024-09-07 09:57:20:584,1108656,1108656,0,0,520459448609,5499106116,1090648,14318,3690,381,391867,0 56,2,2024-09-07 09:57:21:308,799020,798898,122,0,37152719,0,7432 56,3,2024-09-07 09:57:21:069,1,634,0,0,705,7247,634,0 57,0,2024-09-07 09:57:20:941,161614,1.2,161350,1.1,323075,1.6,431288,3.00 57,1,2024-09-07 09:57:21:013,1111344,1111344,0,0,521279885183,5466401686,1096839,12012,2493,366,392032,0 57,2,2024-09-07 09:57:21:324,803149,803149,0,0,39687254,0,4804 57,3,2024-09-07 09:57:21:748,1,634,1,0,455,7170,634,0 58,0,2024-09-07 09:57:20:553,155873,1.0,151352,1.0,316778,1.2,414738,2.50 58,1,2024-09-07 09:57:20:578,1112685,1112682,0,3,522223857098,5469812811,1096392,12382,3908,367,391726,3 58,2,2024-09-07 09:57:21:081,804459,804459,0,0,36572897,0,3483 58,3,2024-09-07 09:57:21:072,1,634,30,0,1043,6993,634,0 59,0,2024-09-07 09:57:21:749,155866,0.9,155358,1.0,310521,0.9,412190,3.00 59,1,2024-09-07 09:57:20:811,1111946,1111946,0,0,522030298684,5482704803,1093597,14515,3834,369,391653,0 59,2,2024-09-07 09:57:20:585,800237,800237,0,0,34518258,0,3727 59,3,2024-09-07 09:57:21:741,1,634,37,0,1015,7648,634,0 60,0,2024-09-07 09:57:21:722,156040,0.5,156055,0.7,312455,0.4,416332,1.75 60,1,2024-09-07 09:57:20:780,1116205,1116205,0,0,523790437702,5441566849,1107976,7120,1109,370,392031,0 60,2,2024-09-07 09:57:21:154,801431,801431,0,0,32770953,0,3811 60,3,2024-09-07 09:57:21:266,1,634,0,0,409,7136,634,0 61,0,2024-09-07 09:57:21:527,161594,0.6,162232,0.7,322889,0.5,431480,2.00 61,1,2024-09-07 09:57:20:772,1113808,1113808,0,0,522189335707,5462146856,1102625,9501,1682,382,392127,0 61,2,2024-09-07 09:57:21:123,802460,802393,67,0,33326518,0,6411 61,3,2024-09-07 09:57:21:690,1,634,11,0,607,7961,634,0 62,0,2024-09-07 09:57:21:720,161099,0.6,165326,0.7,315570,0.6,428334,2.00 62,1,2024-09-07 09:57:21:139,1120172,1120166,0,6,525547191328,5415209379,1115062,4804,300,365,391975,6 62,2,2024-09-07 09:57:21:647,802554,802553,1,0,33233157,0,5555 62,3,2024-09-07 09:57:21:150,1,634,16,0,482,5011,634,0 63,0,2024-09-07 09:57:21:522,158012,0.4,157675,0.6,315962,0.3,419799,1.75 63,1,2024-09-07 09:57:20:814,1116386,1116380,0,6,524070420737,5438421624,1109478,6045,857,381,391800,6 63,2,2024-09-07 09:57:20:760,803354,803354,0,0,31557275,0,4369 63,3,2024-09-07 09:57:21:737,1,634,11,0,667,6339,634,0 64,0,2024-09-07 09:57:21:528,155625,0.5,155518,0.6,310715,0.4,413608,2.00 64,1,2024-09-07 09:57:20:773,1114616,1114616,0,0,523299591668,5446314580,1105246,7391,1979,370,391794,0 64,2,2024-09-07 09:57:21:147,803917,803898,19,0,30770000,0,6121 64,3,2024-09-07 09:57:21:147,1,634,1,0,651,7094,634,0 65,0,2024-09-07 09:57:21:684,158375,0.6,158623,0.7,317108,0.6,422975,2.00 65,1,2024-09-07 09:57:20:869,1113389,1113389,0,0,521662503005,5449220767,1105816,6698,875,381,391901,0 65,2,2024-09-07 09:57:21:699,799455,799455,0,0,37075220,0,3367 65,3,2024-09-07 09:57:21:686,1,634,366,0,782,7778,634,0 66,0,2024-09-07 09:57:21:793,162534,0.5,162087,0.7,324293,0.5,431205,2.00 66,1,2024-09-07 09:57:21:310,1115593,1115593,0,0,523672785165,5445059546,1109083,5785,725,380,391743,0 66,2,2024-09-07 09:57:21:138,808117,808114,3,0,32859865,0,5455 66,3,2024-09-07 09:57:21:085,1,634,3,0,291,5547,634,0 67,0,2024-09-07 09:57:21:414,157305,0.5,156793,0.7,314601,0.5,418973,2.00 67,1,2024-09-07 09:57:20:771,1115799,1115798,0,1,523900014288,5450161420,1108395,6458,945,380,391787,1 67,2,2024-09-07 09:57:20:584,807497,807482,15,0,32618940,0,6205 67,3,2024-09-07 09:57:21:756,1,634,11,0,595,6403,634,0 68,0,2024-09-07 09:57:20:581,156662,0.6,156349,0.7,311772,0.5,417269,2.00 68,1,2024-09-07 09:57:20:570,1111223,1111223,0,0,521275038263,5478873332,1096576,10868,3779,381,391953,0 68,2,2024-09-07 09:57:21:057,794850,794750,100,0,40738474,0,8578 68,3,2024-09-07 09:57:20:733,1,634,1,0,417,7871,634,0 69,0,2024-09-07 09:57:21:742,157867,0.7,158634,0.8,316223,0.7,420530,2.25 69,1,2024-09-07 09:57:21:022,1110284,1110284,0,0,521061912036,5487520924,1095985,11323,2976,383,391994,0 69,2,2024-09-07 09:57:21:749,797054,797025,29,0,43938987,0,6912 69,3,2024-09-07 09:57:20:759,1,634,2,0,698,8842,634,0 70,0,2024-09-07 09:57:21:535,161612,0.7,162380,0.9,325527,0.6,431851,2.50 70,1,2024-09-07 09:57:20:804,1116611,1116611,0,0,524225496690,5437065332,1108377,7420,814,366,391725,0 70,2,2024-09-07 09:57:21:331,804995,804995,0,0,36259243,0,4323 70,3,2024-09-07 09:57:20:751,1,634,1,0,854,6874,634,0 71,0,2024-09-07 09:57:21:368,156724,0.9,156338,1.0,313972,1.1,418812,2.75 71,1,2024-09-07 09:57:21:601,1114244,1114244,0,0,523329388992,5458218740,1100911,11711,1622,367,391738,0 71,2,2024-09-07 09:57:21:067,803393,803393,0,0,35996928,0,4352 71,3,2024-09-07 09:57:21:760,1,634,7,0,644,6914,634,0 72,0,2024-09-07 09:57:21:029,162297,0.5,158512,0.7,309606,0.5,421011,2.00 72,1,2024-09-07 09:57:21:044,1112721,1112721,0,0,521653791387,5467158227,1097177,13016,2528,369,391819,0 72,2,2024-09-07 09:57:21:760,797153,797153,0,0,37487926,0,3983 72,3,2024-09-07 09:57:21:759,1,634,1,0,564,9024,634,0 73,0,2024-09-07 09:57:21:110,152829,0.4,156746,0.6,320286,0.4,417053,2.00 73,1,2024-09-07 09:57:20:771,1114501,1114501,0,0,522935780423,5432167748,1106082,7436,983,367,391858,0 73,2,2024-09-07 09:57:21:748,800214,800213,1,0,39461880,0,5027 73,3,2024-09-07 09:57:20:975,1,634,1,0,1091,8556,634,0 74,0,2024-09-07 09:57:21:327,164052,0.4,168027,0.6,320504,0.4,435180,2.00 74,1,2024-09-07 09:57:20:642,1113263,1113263,0,0,522548195473,5451051630,1101350,9795,2118,381,391762,0 74,2,2024-09-07 09:57:21:003,801783,801783,0,0,34260037,0,4253 74,3,2024-09-07 09:57:21:442,1,634,1,0,522,7538,634,0 75,0,2024-09-07 09:57:21:779,159270,0.5,158340,0.7,317585,0.5,423942,2.25 75,1,2024-09-07 09:57:21:589,1114002,1114002,0,0,523107317556,5447968263,1105097,8018,887,380,391739,0 75,2,2024-09-07 09:57:21:353,803042,803042,0,0,41514807,0,4766 75,3,2024-09-07 09:57:21:067,1,634,76,0,918,8406,634,0 76,0,2024-09-07 09:57:20:585,156885,0.6,156274,0.7,312460,0.5,417695,2.25 76,1,2024-09-07 09:57:20:812,1114186,1114186,0,0,522364753978,5442987405,1106845,6348,993,382,391790,0 76,2,2024-09-07 09:57:21:068,804001,803998,3,0,33544072,0,5265 76,3,2024-09-07 09:57:21:149,1,634,1,0,227,5764,634,0 77,0,2024-09-07 09:57:21:703,155580,0.5,156052,0.7,312059,0.5,414676,2.00 77,1,2024-09-07 09:57:20:830,1114341,1114341,0,0,523353564596,5455520592,1106328,7133,880,381,391869,0 77,2,2024-09-07 09:57:21:285,799643,799643,0,0,32831725,0,3890 77,3,2024-09-07 09:57:21:102,1,634,1,0,401,7026,634,0 78,0,2024-09-07 09:57:21:720,161071,0.4,160342,0.6,321494,0.3,426276,2.00 78,1,2024-09-07 09:57:20:613,1114168,1114168,0,0,521761737430,5439277056,1101036,10201,2931,367,391670,0 78,2,2024-09-07 09:57:21:420,802156,802143,13,0,30782933,0,8313 78,3,2024-09-07 09:57:21:133,1,634,29,0,311,5174,634,0 79,0,2024-09-07 09:57:21:374,156218,0.4,159958,0.6,327952,0.3,426523,2.00 79,1,2024-09-07 09:57:20:577,1118532,1118532,0,0,524202911612,5422239191,1110671,6501,1360,367,391682,0 79,2,2024-09-07 09:57:21:067,805655,805655,0,0,29804018,0,4195 79,3,2024-09-07 09:57:20:756,1,634,9,0,418,7254,634,0 80,0,2024-09-07 09:57:21:084,157311,0.5,161625,0.7,308755,0.5,417767,2.00 80,1,2024-09-07 09:57:21:634,1114201,1114201,0,0,523318336912,5445043264,1107028,6717,456,368,392269,0 80,2,2024-09-07 09:57:21:109,806387,806387,0,0,30387612,0,4433 80,3,2024-09-07 09:57:20:577,1,634,1,0,681,7706,634,0 81,0,2024-09-07 09:57:21:553,156788,0.5,160445,0.7,306392,0.5,415570,2.00 81,1,2024-09-07 09:57:21:675,1113531,1113531,0,0,521724349422,5448748674,1105120,7632,779,382,391885,0 81,2,2024-09-07 09:57:21:126,798899,798836,63,0,33527776,0,5932 81,3,2024-09-07 09:57:21:138,1,634,10,0,719,7125,634,0 82,0,2024-09-07 09:57:21:548,158759,0.4,158949,0.6,318619,0.4,422657,2.00 82,1,2024-09-07 09:57:20:590,1115168,1115164,0,4,523581675533,5439378896,1109561,4778,825,381,391768,4 82,2,2024-09-07 09:57:21:696,802418,802418,0,0,28725283,0,4484 82,3,2024-09-07 09:57:21:765,1,634,7,0,363,5807,634,0 83,0,2024-09-07 09:57:21:531,163583,0.6,163418,0.7,326541,0.6,433796,2.00 83,1,2024-09-07 09:57:20:558,1114276,1114276,0,0,522087899304,5439409966,1106648,6983,645,382,391709,0 83,2,2024-09-07 09:57:20:765,806088,806063,25,0,30970613,0,5612 83,3,2024-09-07 09:57:20:756,1,634,2,0,1260,7339,634,0 84,0,2024-09-07 09:57:21:868,156296,0.8,156399,0.9,312589,0.7,417666,2.25 84,1,2024-09-07 09:57:21:065,1112213,1112213,0,0,522176224675,5459672739,1099447,10884,1882,367,391967,0 84,2,2024-09-07 09:57:20:571,802777,802367,410,0,44615613,0,17037 84,3,2024-09-07 09:57:21:151,1,634,287,0,908,8613,634,0 85,0,2024-09-07 09:57:21:027,150633,0.6,150570,0.8,319716,0.6,412643,2.25 85,1,2024-09-07 09:57:20:801,1108997,1108997,0,0,520700582523,5492722461,1092591,13603,2803,381,392092,0 85,2,2024-09-07 09:57:20:866,797665,797665,0,0,37562025,0,4255 85,3,2024-09-07 09:57:20:689,1,634,16,0,789,7166,634,0 86,0,2024-09-07 09:57:20:915,156971,0.6,161428,0.8,308646,0.7,417301,2.25 86,1,2024-09-07 09:57:20:853,1112392,1112392,0,0,522224062958,5474545209,1097820,11777,2795,366,392169,0 86,2,2024-09-07 09:57:20:868,799379,799378,1,0,40390493,0,5004 86,3,2024-09-07 09:57:20:597,1,634,1,0,308,8747,634,0 87,0,2024-09-07 09:57:21:288,163431,0.7,162608,0.8,325634,0.8,434965,2.25 87,1,2024-09-07 09:57:20:552,1111520,1111520,0,0,521470965034,5459893855,1097882,11838,1800,366,392076,0 87,2,2024-09-07 09:57:21:067,802995,802989,6,0,37162065,0,6323 87,3,2024-09-07 09:57:21:799,1,634,11,0,473,8860,634,0 88,0,2024-09-07 09:57:21:446,158196,0.4,158696,0.6,317088,0.4,422051,1.75 88,1,2024-09-07 09:57:20:600,1111145,1111145,0,0,521448153286,5462295217,1095602,12494,3049,365,392084,0 88,2,2024-09-07 09:57:20:690,803539,803539,0,0,39235395,0,4465 88,3,2024-09-07 09:57:21:269,1,634,2,0,1080,9633,634,0 89,0,2024-09-07 09:57:21:779,161685,0.4,156859,0.6,309452,0.4,420783,1.75 89,1,2024-09-07 09:57:20:559,1110426,1110426,0,0,521463751566,5480026157,1097256,11212,1958,382,391866,0 89,2,2024-09-07 09:57:21:134,799631,799631,0,0,36862606,0,3173 89,3,2024-09-07 09:57:21:805,1,634,1,0,468,10228,634,0 90,0,2024-09-07 09:57:21:647,151400,0.4,155536,0.6,317295,0.4,414022,2.00 90,1,2024-09-07 09:57:20:598,1112400,1112400,0,0,521311969112,5457874735,1101744,9652,1004,380,391825,0 90,2,2024-09-07 09:57:21:441,797048,797043,5,0,40404766,0,6370 90,3,2024-09-07 09:57:20:931,1,634,6,0,322,7638,634,0 91,0,2024-09-07 09:57:20:929,162156,0.4,157357,0.6,329245,0.4,431840,1.75 91,1,2024-09-07 09:57:20:560,1110085,1110085,0,0,521108928621,5486900026,1094094,12843,3148,381,392047,0 91,2,2024-09-07 09:57:21:337,801808,801808,0,0,35785972,0,4713 91,3,2024-09-07 09:57:20:611,1,634,11,0,216,5663,634,0 92,0,2024-09-07 09:57:21:453,162277,0.4,165917,0.6,316199,0.4,428597,1.75 92,1,2024-09-07 09:57:20:588,1113345,1113345,0,0,523060725393,5459848941,1104928,7128,1289,381,392136,0 92,2,2024-09-07 09:57:21:358,804568,804568,0,0,31425805,0,3259 92,3,2024-09-07 09:57:21:029,1,634,1,0,167,5319,634,0 93,0,2024-09-07 09:57:20:975,158470,0.4,162319,0.6,310091,0.3,419754,1.75 93,1,2024-09-07 09:57:20:871,1114485,1114485,0,0,522327730451,5448079680,1102652,9896,1937,365,392048,0 93,2,2024-09-07 09:57:20:948,802895,802895,0,0,37146732,0,4913 93,3,2024-09-07 09:57:21:413,1,634,2,0,375,6618,634,0 94,0,2024-09-07 09:57:21:614,155639,0.4,156866,0.5,313473,0.3,415904,1.75 94,1,2024-09-07 09:57:20:570,1114694,1114694,0,0,523013457869,5450315377,1107718,6540,436,381,391850,0 94,2,2024-09-07 09:57:20:769,799883,799855,28,0,31951984,0,6179 94,3,2024-09-07 09:57:21:687,1,634,10,0,576,7727,634,0 95,0,2024-09-07 09:57:21:349,159625,0.3,159525,0.5,319405,0.3,425507,1.75 95,1,2024-09-07 09:57:20:858,1115245,1115245,0,0,523401768957,5435753639,1106777,7716,752,365,391852,0 95,2,2024-09-07 09:57:21:021,799576,799576,0,0,31750875,0,3308 95,3,2024-09-07 09:57:21:711,1,634,10,0,718,9800,634,0 96,0,2024-09-07 09:57:21:033,163048,0.3,163250,0.5,326890,0.3,433917,1.75 96,1,2024-09-07 09:57:21:591,1113370,1113370,0,0,522018819210,5442823921,1105722,6364,1284,384,391964,0 96,2,2024-09-07 09:57:21:275,806818,806818,0,0,32877868,0,4180 96,3,2024-09-07 09:57:21:151,1,634,9,0,411,7113,634,0 97,0,2024-09-07 09:57:21:326,157293,0.3,157285,0.5,315200,0.3,418733,1.50 97,1,2024-09-07 09:57:20:785,1115525,1115525,0,0,524217887290,5436242861,1108569,5913,1043,367,392140,0 97,2,2024-09-07 09:57:20:612,805773,805773,0,0,32360803,0,4046 97,3,2024-09-07 09:57:20:569,1,634,56,0,242,6985,634,0 98,0,2024-09-07 09:57:21:730,156277,0.3,156306,0.4,313921,0.2,417562,1.50 98,1,2024-09-07 09:57:20:577,1114554,1114554,0,0,523347084492,5448595623,1108024,5690,840,381,391997,0 98,2,2024-09-07 09:57:20:781,798342,798342,0,0,30922502,0,4336 98,3,2024-09-07 09:57:20:722,1,634,6,0,840,9302,634,0 99,0,2024-09-07 09:57:21:461,158499,0.3,159334,0.5,317252,0.3,423353,1.75 99,1,2024-09-07 09:57:21:758,1115399,1115399,0,0,523154797494,5441552959,1108758,5675,966,380,392069,0 99,2,2024-09-07 09:57:21:425,799912,799912,0,0,38715501,0,4276 99,3,2024-09-07 09:57:20:582,1,634,14,0,1124,8018,634,0 100,0,2024-09-07 09:57:21:474,162918,0.7,163415,0.9,326517,0.7,436042,2.25 100,1,2024-09-07 09:57:20:576,1108330,1108330,0,0,520597939083,5500863309,1091601,13305,3424,378,391989,0 100,2,2024-09-07 09:57:21:819,801221,800832,389,0,41856789,0,16909 100,3,2024-09-07 09:57:21:735,1,634,1,0,627,10361,634,0 101,0,2024-09-07 09:57:21:700,161220,1.0,157415,1.0,307908,1.0,420565,2.25 101,1,2024-09-07 09:57:20:560,1111053,1111053,0,0,521268055795,5475253137,1096347,12032,2674,368,391847,0 101,2,2024-09-07 09:57:21:770,799556,799556,0,0,41969530,0,4871 101,3,2024-09-07 09:57:20:955,1,634,3,0,1250,9271,634,0 102,0,2024-09-07 09:57:20:949,152890,0.6,157494,0.8,320019,0.6,418347,2.25 102,1,2024-09-07 09:57:21:161,1110527,1110527,0,0,521054473552,5470747044,1095891,12427,2209,369,391984,0 102,2,2024-09-07 09:57:21:741,799594,799540,54,0,35474843,0,6768 102,3,2024-09-07 09:57:21:622,1,634,6,0,466,7056,634,0 103,0,2024-09-07 09:57:21:701,162043,0.5,162047,0.7,305230,0.5,420366,2.00 103,1,2024-09-07 09:57:21:633,1109737,1109737,0,0,520847043899,5496276384,1092586,13546,3605,381,392077,0 103,2,2024-09-07 09:57:20:586,798572,798572,0,0,38638712,0,3766 103,3,2024-09-07 09:57:20:760,1,634,9,0,916,7308,634,0 104,0,2024-09-07 09:57:21:012,161976,0.7,162171,0.9,323644,0.6,432843,2.25 104,1,2024-09-07 09:57:21:608,1112137,1112137,0,0,521539719207,5474473964,1096537,12755,2845,365,392168,0 104,2,2024-09-07 09:57:21:677,800133,800133,0,0,38084235,0,4161 104,3,2024-09-07 09:57:21:424,1,634,0,0,1245,10916,634,0 105,0,2024-09-07 09:57:21:033,157133,0.9,153214,1.0,321299,1.0,421839,2.50 105,1,2024-09-07 09:57:20:562,1113303,1113303,0,0,522393112489,5468288471,1099628,11728,1947,364,392009,0 105,2,2024-09-07 09:57:21:329,803030,803030,0,0,38533674,0,4360 105,3,2024-09-07 09:57:21:308,1,634,5,0,573,9550,634,0 106,0,2024-09-07 09:57:20:959,151921,0.9,155634,1.0,318793,1.1,416026,2.50 106,1,2024-09-07 09:57:21:769,1111761,1111761,0,0,520986257164,5464164198,1096653,13175,1933,368,391914,0 106,2,2024-09-07 09:57:20:768,800571,800571,0,0,35920584,0,3331 106,3,2024-09-07 09:57:20:688,1,634,2,0,1224,8543,634,0 107,0,2024-09-07 09:57:21:106,155728,0.9,155759,0.9,311621,1.0,415303,2.25 107,1,2024-09-07 09:57:20:591,1110037,1110037,0,0,520601909620,5496621295,1092621,15280,2136,381,392234,0 107,2,2024-09-07 09:57:21:298,796678,796677,1,0,39140619,0,5024 107,3,2024-09-07 09:57:21:770,1,634,8,0,733,9523,634,0 108,0,2024-09-07 09:57:21:774,160022,0.4,160672,0.6,320326,0.4,427567,1.75 108,1,2024-09-07 09:57:21:315,1113538,1113538,0,0,522738181330,5448049173,1104766,7774,998,367,391894,0 108,2,2024-09-07 09:57:21:756,801370,801370,0,0,33985519,0,4246 108,3,2024-09-07 09:57:21:339,1,634,1,0,749,11029,634,0 109,0,2024-09-07 09:57:21:752,162616,0.4,161184,0.6,323329,0.3,431128,1.75 109,1,2024-09-07 09:57:20:599,1111182,1111182,0,0,521804768980,5469810805,1101667,8235,1280,382,392132,0 109,2,2024-09-07 09:57:20:939,803168,803168,0,0,34798914,0,3617 109,3,2024-09-07 09:57:21:150,1,634,3,0,630,7472,634,0 110,0,2024-09-07 09:57:21:773,157155,0.4,152817,0.6,320109,0.4,419625,1.75 110,1,2024-09-07 09:57:21:660,1115783,1115783,0,0,523407377664,5429775599,1107692,6162,1929,368,392045,0 110,2,2024-09-07 09:57:21:311,804735,804735,0,0,32197104,0,4067 110,3,2024-09-07 09:57:20:705,1,634,2,0,722,8244,634,0 111,0,2024-09-07 09:57:21:422,157564,0.4,156783,0.5,313275,0.3,418000,1.75 111,1,2024-09-07 09:57:21:006,1116808,1116808,0,0,524425331594,5436358483,1111127,5300,381,380,391690,0 111,2,2024-09-07 09:57:21:121,799227,799227,0,0,32649058,0,4823 111,3,2024-09-07 09:57:20:932,1,634,21,0,379,6734,634,0 112,0,2024-09-07 09:57:20:953,159583,0.3,159212,0.4,318981,0.2,423868,1.50 112,1,2024-09-07 09:57:20:831,1115498,1115498,0,0,523004814512,5428368132,1108286,5987,1225,380,391624,0 112,2,2024-09-07 09:57:21:134,800475,800474,1,0,31201492,0,5036 112,3,2024-09-07 09:57:20:600,1,634,56,0,282,5919,634,0 113,0,2024-09-07 09:57:20:869,163617,0.3,163615,0.5,327777,0.2,436053,1.75 113,1,2024-09-07 09:57:21:691,1117817,1117817,0,0,525207490889,5416052763,1111561,5308,948,365,391664,0 113,2,2024-09-07 09:57:21:308,808086,808086,0,0,29628111,0,3813 113,3,2024-09-07 09:57:20:689,1,634,1,0,510,6656,634,0 114,0,2024-09-07 09:57:20:877,157943,0.3,158903,0.5,316968,0.2,423106,1.75 114,1,2024-09-07 09:57:20:728,1115790,1115790,0,0,523675217252,5434897279,1107685,6358,1747,381,391565,0 114,2,2024-09-07 09:57:20:880,806729,806728,1,0,30541496,0,5069 114,3,2024-09-07 09:57:21:277,1,634,3,0,415,5370,634,0 115,0,2024-09-07 09:57:20:558,157514,0.3,158031,0.4,314698,0.2,418785,1.50 115,1,2024-09-07 09:57:20:578,1116165,1116165,0,0,523571590540,5436093821,1107768,6879,1518,382,391757,0 115,2,2024-09-07 09:57:21:125,800428,800428,0,0,30710443,0,4382 115,3,2024-09-07 09:57:21:007,1,634,8,0,167,3830,634,0 116,0,2024-09-07 09:57:21:695,156907,0.6,156783,0.8,314276,0.6,419577,2.00 116,1,2024-09-07 09:57:20:817,1109653,1109653,0,0,521947349523,5496207064,1096818,9742,3093,380,392089,0 116,2,2024-09-07 09:57:21:752,800287,800287,0,0,38367429,0,4475 116,3,2024-09-07 09:57:20:922,1,634,16,0,448,8017,634,0 117,0,2024-09-07 09:57:21:069,163529,0.6,162915,0.8,326335,0.6,436117,2.00 117,1,2024-09-07 09:57:21:584,1111834,1111834,0,0,520737755605,5449657727,1099931,10377,1526,369,392429,0 117,2,2024-09-07 09:57:21:145,805262,805262,0,0,34873273,0,4303 117,3,2024-09-07 09:57:21:079,1,634,37,0,490,7887,634,0 118,0,2024-09-07 09:57:21:777,153408,0.5,157597,0.7,321626,0.5,420672,2.00 118,1,2024-09-07 09:57:20:591,1110946,1110946,0,0,520331488206,5466948908,1094925,12395,3626,366,392054,0 118,2,2024-09-07 09:57:21:595,802928,802928,0,0,37246448,0,2842 118,3,2024-09-07 09:57:21:770,1,634,109,0,289,7414,634,0 119,0,2024-09-07 09:57:21:354,156942,0.8,157604,0.8,314478,0.8,419132,2.25 119,1,2024-09-07 09:57:20:548,1111895,1111895,0,0,521624535493,5466705962,1097151,12231,2513,367,391857,0 119,2,2024-09-07 09:57:21:266,801000,801000,0,0,34653372,0,4309 119,3,2024-09-07 09:57:21:330,1,634,9,0,1358,11525,634,0 120,0,2024-09-07 09:57:21:549,155234,0.6,155057,0.8,311567,0.6,415447,2.25 120,1,2024-09-07 09:57:20:863,1112802,1112802,0,0,521581406060,5466427444,1100946,10711,1145,367,392144,0 120,2,2024-09-07 09:57:20:775,799591,799588,3,0,41411885,0,5363 120,3,2024-09-07 09:57:21:307,1,634,6,0,478,8012,634,0 121,0,2024-09-07 09:57:21:692,161952,0.9,161490,1.0,323071,1.1,431001,2.25 121,1,2024-09-07 09:57:21:662,1112254,1112254,0,0,521775622121,5456160461,1100910,9787,1557,366,391840,0 121,2,2024-09-07 09:57:21:145,801237,801237,0,0,37839057,0,4157 121,3,2024-09-07 09:57:20:734,1,634,7,0,387,8188,634,0 122,0,2024-09-07 09:57:21:802,160131,0.8,155687,0.9,326019,0.8,428383,2.00 122,1,2024-09-07 09:57:20:886,1111416,1111416,0,0,521672084773,5471034491,1096383,12615,2418,365,392130,0 122,2,2024-09-07 09:57:21:324,804379,804304,75,0,41527234,0,5989 122,3,2024-09-07 09:57:20:601,1,634,4,0,512,9957,634,0 123,0,2024-09-07 09:57:20:981,156860,0.8,152637,0.9,319895,0.9,418325,2.25 123,1,2024-09-07 09:57:20:561,1112224,1112224,0,0,522187031384,5486346405,1095175,14377,2672,369,392039,0 123,2,2024-09-07 09:57:21:034,801228,801227,1,0,35525032,0,5215 123,3,2024-09-07 09:57:21:137,1,634,8,0,478,7130,634,0 124,0,2024-09-07 09:57:20:937,160586,0.3,160670,0.5,302821,0.3,416455,1.75 124,1,2024-09-07 09:57:21:026,1115551,1115551,0,0,522858210786,5432448538,1107568,6802,1181,365,392178,0 124,2,2024-09-07 09:57:21:018,801707,801654,53,0,31977048,0,6487 124,3,2024-09-07 09:57:20:772,1,634,4,0,490,6103,634,0 125,0,2024-09-07 09:57:21:423,159261,0.4,159117,0.5,319262,0.3,425443,1.75 125,1,2024-09-07 09:57:20:862,1112632,1112632,0,0,522236911653,5448212465,1104350,7127,1155,382,392045,0 125,2,2024-09-07 09:57:21:121,802735,802735,0,0,32277140,0,4534 125,3,2024-09-07 09:57:21:129,1,634,5,0,709,7095,634,0 126,0,2024-09-07 09:57:21:433,163267,0.4,167894,0.5,321029,0.3,434605,1.75 126,1,2024-09-07 09:57:20:561,1117026,1117026,0,0,524119343334,5422562757,1111419,5158,449,365,391987,0 126,2,2024-09-07 09:57:20:621,807185,807185,0,0,33482817,0,4539 126,3,2024-09-07 09:57:20:914,1,634,8,0,268,6825,634,0 127,0,2024-09-07 09:57:21:603,157720,0.3,157850,0.5,315042,0.3,419048,1.75 127,1,2024-09-07 09:57:20:569,1114668,1114668,0,0,522534964645,5433018335,1103966,9062,1640,364,392187,0 127,2,2024-09-07 09:57:20:641,804907,804903,4,0,31861318,0,5305 127,3,2024-09-07 09:57:21:280,1,634,13,0,968,6114,634,0 128,0,2024-09-07 09:57:21:533,157185,0.3,157339,0.4,314404,0.2,418266,1.50 128,1,2024-09-07 09:57:21:606,1114195,1114195,0,0,522836051693,5436527489,1105511,7719,965,367,392423,0 128,2,2024-09-07 09:57:21:384,800744,800744,0,0,29322631,0,3171 128,3,2024-09-07 09:57:20:772,1,634,1,0,1082,9758,634,0 129,0,2024-09-07 09:57:21:026,159805,0.3,158995,0.5,318764,0.3,423856,1.50 129,1,2024-09-07 09:57:20:571,1111036,1111036,0,0,521929604185,5466770435,1100298,8716,2022,379,391962,0 129,2,2024-09-07 09:57:20:687,802062,802058,4,0,31979157,0,5335 129,3,2024-09-07 09:57:20:690,1,634,2,0,506,8465,634,0 130,0,2024-09-07 09:57:21:732,164092,0.4,163813,0.5,327927,0.4,437317,1.75 130,1,2024-09-07 09:57:20:585,1116051,1116051,0,0,523672357448,5435868506,1110588,5060,403,381,391825,0 130,2,2024-09-07 09:57:21:129,805318,805318,0,0,32330059,0,4067 130,3,2024-09-07 09:57:21:298,1,634,2,0,960,8427,634,0 131,0,2024-09-07 09:57:21:924,157925,0.3,158389,0.5,317686,0.3,422120,1.75 131,1,2024-09-07 09:57:21:824,1114749,1114749,0,0,522648663969,5444441025,1107434,6117,1198,381,391865,0 131,2,2024-09-07 09:57:20:573,804831,804831,0,0,30001873,0,3979 131,3,2024-09-07 09:57:21:728,1,634,22,0,392,7942,634,0 132,0,2024-09-07 09:57:21:421,157531,0.5,158513,0.6,315553,0.4,419507,2.00 132,1,2024-09-07 09:57:20:581,1110524,1110524,0,0,521155665974,5483034390,1094723,13025,2776,381,392532,0 132,2,2024-09-07 09:57:20:698,798599,798582,17,0,38921937,0,6451 132,3,2024-09-07 09:57:21:688,1,634,1,0,1298,10992,634,0 133,0,2024-09-07 09:57:21:550,152995,0.4,156547,0.6,320865,0.4,417842,2.00 133,1,2024-09-07 09:57:20:583,1110328,1110328,0,0,520740721720,5483598930,1095734,12738,1856,383,391914,0 133,2,2024-09-07 09:57:21:093,801314,801264,50,0,39786808,0,6861 133,3,2024-09-07 09:57:21:319,1,634,30,0,528,7645,634,0 134,0,2024-09-07 09:57:21:000,163314,0.5,163476,0.7,326848,0.4,435368,2.00 134,1,2024-09-07 09:57:20:610,1111724,1111724,0,0,521715780329,5473222968,1096727,11823,3174,366,391781,0 134,2,2024-09-07 09:57:21:762,802293,802269,24,0,36820999,0,6207 134,3,2024-09-07 09:57:20:762,1,634,1,0,739,7767,634,0 135,0,2024-09-07 09:57:21:098,153999,0.7,154033,0.8,327024,0.8,421197,2.25 135,1,2024-09-07 09:57:21:589,1111199,1111199,0,0,522202833800,5488286182,1097451,11906,1842,380,391974,0 135,2,2024-09-07 09:57:20:695,805132,805132,0,0,37779512,0,4503 135,3,2024-09-07 09:57:21:010,1,634,15,0,900,6009,634,0 136,0,2024-09-07 09:57:21:630,157772,0.6,158203,0.8,314218,0.6,419197,2.00 136,1,2024-09-07 09:57:21:445,1112409,1112409,0,0,522497282884,5472065239,1100420,10599,1390,381,392135,0 136,2,2024-09-07 09:57:21:134,803311,803296,15,0,37035666,0,6007 136,3,2024-09-07 09:57:21:112,1,634,8,0,637,7117,634,0 137,0,2024-09-07 09:57:20:932,160945,0.6,156987,0.7,307199,0.6,417177,2.00 137,1,2024-09-07 09:57:20:585,1111437,1111437,0,0,521759966167,5468039284,1094722,13325,3390,366,391898,0 137,2,2024-09-07 09:57:21:703,798815,798815,0,0,38258863,0,3185 137,3,2024-09-07 09:57:20:776,1,634,2,0,484,8395,634,0 138,0,2024-09-07 09:57:21:781,159561,0.7,159938,0.8,320349,0.7,426163,2.00 138,1,2024-09-07 09:57:21:687,1111997,1111997,0,0,522207768926,5473094366,1097130,12594,2273,368,391954,0 138,2,2024-09-07 09:57:20:593,800006,800006,0,0,36568569,0,4988 138,3,2024-09-07 09:57:20:621,1,634,38,0,1200,9677,634,0 139,0,2024-09-07 09:57:21:404,160428,0.9,161049,0.9,321802,1.3,429453,2.25 139,1,2024-09-07 09:57:20:580,1107369,1107369,0,0,519323027050,5500609958,1088894,14647,3828,380,392109,0 139,2,2024-09-07 09:57:20:696,799487,799457,30,0,42113275,0,5997 139,3,2024-09-07 09:57:21:670,1,634,8,0,432,7391,634,0 140,0,2024-09-07 09:57:21:593,157729,0.3,156955,0.5,315135,0.2,419901,1.75 140,1,2024-09-07 09:57:21:540,1118916,1118916,0,0,525890356784,5418029547,1113848,4520,548,364,391628,0 140,2,2024-09-07 09:57:20:690,804874,804873,1,0,29788289,0,5036 140,3,2024-09-07 09:57:20:771,1,634,10,0,575,6193,634,0 141,0,2024-09-07 09:57:21:698,157165,0.3,161474,0.5,308747,0.2,417786,1.50 141,1,2024-09-07 09:57:20:863,1116391,1116391,0,0,523080362451,5430270837,1108179,7019,1193,379,391614,0 141,2,2024-09-07 09:57:21:695,800105,800094,11,0,31811376,0,5369 141,3,2024-09-07 09:57:21:059,1,634,2,0,391,7050,634,0 142,0,2024-09-07 09:57:21:335,159803,0.3,159008,0.5,318255,0.2,424849,1.50 142,1,2024-09-07 09:57:20:590,1114412,1114412,0,0,522826850725,5445817720,1107666,6235,511,382,392102,0 142,2,2024-09-07 09:57:21:299,799939,799907,32,0,32357247,0,6028 142,3,2024-09-07 09:57:21:763,1,634,193,0,484,6680,634,0 143,0,2024-09-07 09:57:21:378,163703,0.4,163484,0.5,328048,0.4,436137,1.75 143,1,2024-09-07 09:57:20:562,1116899,1116899,0,0,524343342344,5435122631,1110405,5926,568,367,391900,0 143,2,2024-09-07 09:57:20:801,806356,806356,0,0,32567257,0,3123 143,3,2024-09-07 09:57:21:152,1,634,11,0,462,7441,634,0 144,0,2024-09-07 09:57:21:502,153013,0.6,157534,0.7,320313,0.5,419419,2.00 144,1,2024-09-07 09:57:20:582,1111617,1111617,0,0,520621683544,5460208308,1100802,8819,1996,381,391733,0 144,2,2024-09-07 09:57:21:757,805653,805653,0,0,31714795,0,4443 144,3,2024-09-07 09:57:21:738,1,634,8,0,249,6215,634,0 145,0,2024-09-07 09:57:21:400,151748,0.6,151712,0.8,322051,0.5,414459,2.25 145,1,2024-09-07 09:57:20:578,1110561,1110561,0,0,521311366799,5483191826,1095913,11935,2713,382,391781,0 145,2,2024-09-07 09:57:21:435,797225,797143,82,0,37476561,0,7814 145,3,2024-09-07 09:57:20:902,1,634,1,0,622,8202,634,0 146,0,2024-09-07 09:57:21:598,157350,0.5,156422,0.7,314696,0.5,418390,2.00 146,1,2024-09-07 09:57:21:584,1111527,1111527,0,0,521225299307,5480483161,1093582,13350,4595,367,391770,0 146,2,2024-09-07 09:57:21:696,798994,798988,6,0,36094820,0,5151 146,3,2024-09-07 09:57:21:286,1,634,13,0,1520,10088,634,0 147,0,2024-09-07 09:57:21:701,163366,0.5,163200,0.7,326312,0.5,435172,2.00 147,1,2024-09-07 09:57:21:385,1116022,1116022,0,0,523561657638,5436780810,1107494,7561,967,367,391791,0 147,2,2024-09-07 09:57:21:019,804113,804113,0,0,32073428,0,2968 147,3,2024-09-07 09:57:20:941,1,634,14,0,1626,9784,634,0 0,0,2024-09-07 09:57:31:706,151927,0.5,151925,0.7,322598,0.5,416860,2.00 0,1,2024-09-07 09:57:30:801,1114426,1114426,0,0,523013743757,5471758618,1105684,7790,952,368,391896,0 0,2,2024-09-07 09:57:31:067,802703,802703,0,0,31951114,0,4480 0,3,2024-09-07 09:57:30:974,1,635,1,0,431,8867,635,0 1,0,2024-09-07 09:57:31:751,162046,0.8,161014,0.9,323320,0.9,432722,2.00 1,1,2024-09-07 09:57:30:558,1113056,1113056,0,0,521980030550,5463302609,1103556,8006,1494,370,391859,0 1,2,2024-09-07 09:57:30:643,803983,803983,0,0,31633893,0,3380 1,3,2024-09-07 09:57:31:302,1,635,1,0,269,7753,635,0 2,0,2024-09-07 09:57:31:567,160647,0.6,160206,0.7,320515,0.6,427315,2.00 2,1,2024-09-07 09:57:30:859,1116574,1116574,0,0,524519277207,5450400334,1110388,5234,952,379,391745,0 2,2,2024-09-07 09:57:31:265,806235,806235,0,0,30061870,0,3594 2,3,2024-09-07 09:57:30:690,1,635,1,0,357,5548,635,0 3,0,2024-09-07 09:57:31:752,157473,0.4,157476,0.6,314554,0.4,418901,2.00 3,1,2024-09-07 09:57:31:617,1115646,1115646,0,0,523708757492,5444016767,1108008,6933,705,379,391716,0 3,2,2024-09-07 09:57:31:143,804904,804881,23,0,31037238,0,5851 3,3,2024-09-07 09:57:31:752,1,635,8,0,484,4784,635,0 4,0,2024-09-07 09:57:31:760,151757,0.4,155979,0.5,317973,0.3,415181,1.75 4,1,2024-09-07 09:57:30:591,1110048,1110048,0,0,521126552194,5514542985,1090994,14523,4531,369,391992,0 4,2,2024-09-07 09:57:31:017,798658,798658,0,0,38109222,0,4534 4,3,2024-09-07 09:57:31:027,1,635,70,0,448,7677,635,0 5,0,2024-09-07 09:57:31:385,159942,0.4,159831,0.5,319786,0.3,425569,1.75 5,1,2024-09-07 09:57:30:755,1111460,1111460,0,0,521424458621,5502679828,1094297,12940,4223,367,392005,0 5,2,2024-09-07 09:57:31:829,798689,798689,0,0,37037310,0,3582 5,3,2024-09-07 09:57:31:748,1,635,0,0,457,8574,635,0 6,0,2024-09-07 09:57:30:922,163084,0.5,162553,0.6,325306,0.4,433216,2.00 6,1,2024-09-07 09:57:30:745,1114087,1114087,0,0,522572249938,5454682515,1102800,9698,1589,379,391702,0 6,2,2024-09-07 09:57:31:118,806604,806586,18,0,35844550,0,5535 6,3,2024-09-07 09:57:31:274,1,635,0,0,710,7477,635,0 7,0,2024-09-07 09:57:31:532,156901,0.5,158045,0.7,313688,0.5,418304,2.00 7,1,2024-09-07 09:57:30:851,1111847,1111847,0,0,522357574333,5497520978,1094782,13016,4049,382,391747,0 7,2,2024-09-07 09:57:30:771,804801,804801,0,0,34562833,0,4791 7,3,2024-09-07 09:57:30:850,1,635,9,0,552,7302,635,0 8,0,2024-09-07 09:57:31:328,157320,0.4,156997,0.5,314387,0.3,419076,1.75 8,1,2024-09-07 09:57:31:016,1111341,1111341,0,0,521324511724,5478309549,1094290,13457,3594,366,392853,0 8,2,2024-09-07 09:57:30:790,795165,795163,2,0,40749529,0,5112 8,3,2024-09-07 09:57:30:585,1,635,1,0,772,9808,635,0 9,0,2024-09-07 09:57:31:098,158819,0.4,154388,0.5,322982,0.3,423723,1.75 9,1,2024-09-07 09:57:30:550,1111242,1111242,0,0,521945300510,5499980410,1093559,14051,3632,369,392001,0 9,2,2024-09-07 09:57:31:083,800147,800146,1,0,38469152,0,5281 9,3,2024-09-07 09:57:31:752,1,635,165,0,1273,10536,635,0 10,0,2024-09-07 09:57:31:601,163781,0.3,163202,0.5,327223,0.3,435977,1.75 10,1,2024-09-07 09:57:30:583,1113482,1113482,0,0,522487328826,5477064749,1097697,12721,3064,381,391981,0 10,2,2024-09-07 09:57:30:761,805994,805994,0,0,41446298,0,4713 10,3,2024-09-07 09:57:30:871,1,635,1,0,669,6874,635,0 11,0,2024-09-07 09:57:31:008,158008,0.4,153371,0.6,320935,0.4,422679,1.75 11,1,2024-09-07 09:57:30:571,1114799,1114799,0,0,523597013965,5488458647,1098742,11754,4303,383,391766,0 11,2,2024-09-07 09:57:31:123,804262,804262,0,0,36526386,0,4698 11,3,2024-09-07 09:57:31:303,1,635,10,0,843,7936,635,0 12,0,2024-09-07 09:57:30:952,158542,0.4,158378,0.5,316332,0.3,420340,1.75 12,1,2024-09-07 09:57:30:937,1114648,1114648,0,0,522670416003,5448004462,1106141,7497,1010,370,391960,0 12,2,2024-09-07 09:57:31:544,798662,798662,0,0,35237385,0,4390 12,3,2024-09-07 09:57:31:059,1,635,2,0,386,7809,635,0 13,0,2024-09-07 09:57:31:380,158003,0.4,158086,0.5,315225,0.3,420153,1.75 13,1,2024-09-07 09:57:31:526,1112086,1112086,0,0,522359432109,5485982434,1101146,8548,2392,382,391803,0 13,2,2024-09-07 09:57:30:596,804075,804075,0,0,31656362,0,3287 13,3,2024-09-07 09:57:31:766,1,635,12,0,522,8127,635,0 14,0,2024-09-07 09:57:30:581,163420,0.4,164459,0.6,326667,0.3,435327,1.75 14,1,2024-09-07 09:57:31:561,1119673,1119673,0,0,525322435876,5432309233,1111184,7359,1130,364,391673,0 14,2,2024-09-07 09:57:30:765,805113,805083,30,0,33024237,0,6104 14,3,2024-09-07 09:57:31:115,1,635,3,0,1168,6766,635,0 15,0,2024-09-07 09:57:31:553,159317,0.4,158455,0.6,317254,0.4,422911,1.75 15,1,2024-09-07 09:57:31:610,1116682,1116682,0,0,524254362903,5455911602,1107524,7245,1913,381,391619,0 15,2,2024-09-07 09:57:30:998,807457,807457,0,0,28885290,0,3622 15,3,2024-09-07 09:57:31:405,1,635,20,0,1126,8218,635,0 16,0,2024-09-07 09:57:30:937,157320,0.6,157977,0.8,315349,0.6,419537,2.00 16,1,2024-09-07 09:57:30:584,1116035,1116035,0,0,523771124582,5471309620,1106565,8023,1447,370,392194,0 16,2,2024-09-07 09:57:31:434,801746,801746,0,0,32417292,0,4719 16,3,2024-09-07 09:57:31:143,1,635,3,0,358,7747,635,0 17,0,2024-09-07 09:57:31:876,160885,0.5,156745,0.7,307142,0.5,417448,2.00 17,1,2024-09-07 09:57:30:572,1113734,1113734,0,0,522696261272,5478662491,1103199,8759,1776,368,392075,0 17,2,2024-09-07 09:57:31:665,804485,804484,1,0,33872723,0,5050 17,3,2024-09-07 09:57:30:573,1,635,1,0,518,9019,635,0 18,0,2024-09-07 09:57:30:942,159322,0.6,160391,0.8,319263,0.6,427143,2.25 18,1,2024-09-07 09:57:31:641,1118241,1118241,0,0,523807775431,5430133953,1111056,5926,1259,367,391725,0 18,2,2024-09-07 09:57:31:758,804981,804981,0,0,30351392,0,3541 18,3,2024-09-07 09:57:30:903,1,635,1,0,1059,5690,635,0 19,0,2024-09-07 09:57:31:542,161130,0.6,161570,0.8,322182,0.7,427774,2.00 19,1,2024-09-07 09:57:30:566,1118323,1118323,0,0,525081228461,5439180191,1110372,6817,1134,365,391777,0 19,2,2024-09-07 09:57:31:752,808523,808523,0,0,28661126,0,3988 19,3,2024-09-07 09:57:31:130,1,635,12,0,524,4829,635,0 20,0,2024-09-07 09:57:31:378,157429,0.5,157247,0.7,314407,0.5,419161,2.00 20,1,2024-09-07 09:57:30:573,1113890,1113890,0,0,522900184667,5476591196,1103018,9361,1511,369,391922,0 20,2,2024-09-07 09:57:30:940,804433,804433,0,0,35775469,0,4321 20,3,2024-09-07 09:57:30:589,1,635,16,0,468,9894,635,0 21,0,2024-09-07 09:57:31:127,157128,0.5,157110,0.6,314280,0.4,417163,2.00 21,1,2024-09-07 09:57:31:541,1111772,1111772,0,0,521286914811,5498871817,1094492,13358,3922,368,392016,0 21,2,2024-09-07 09:57:31:068,794537,794060,477,0,46234314,0,17074 21,3,2024-09-07 09:57:31:408,1,635,0,0,713,8830,635,0 22,0,2024-09-07 09:57:31:740,159145,0.4,159740,0.6,319192,0.4,423727,2.00 22,1,2024-09-07 09:57:31:027,1112256,1112256,0,0,521873740906,5489493739,1094064,14640,3552,381,391822,0 22,2,2024-09-07 09:57:30:760,799958,799932,26,0,34375489,0,6328 22,3,2024-09-07 09:57:31:066,1,635,9,0,228,5363,635,0 23,0,2024-09-07 09:57:31:372,163302,0.5,163145,0.7,326242,0.4,434668,2.00 23,1,2024-09-07 09:57:31:003,1112873,1112873,0,0,522870410087,5505231629,1092816,13347,6710,365,391690,0 23,2,2024-09-07 09:57:31:093,806932,806932,0,0,32610172,0,3773 23,3,2024-09-07 09:57:31:753,1,635,13,0,855,9095,635,0 24,0,2024-09-07 09:57:30:826,158979,0.4,158119,0.5,317888,0.4,422231,1.75 24,1,2024-09-07 09:57:30:582,1113486,1113486,0,0,521378850801,5453680819,1103300,8476,1710,367,392269,0 24,2,2024-09-07 09:57:31:069,805134,805131,3,0,39512593,0,6294 24,3,2024-09-07 09:57:31:692,1,635,188,0,468,8180,635,0 25,0,2024-09-07 09:57:31:349,161363,0.4,157086,0.6,308682,0.4,420176,1.75 25,1,2024-09-07 09:57:30:558,1111563,1111563,0,0,521450041354,5505265769,1092360,15339,3864,369,391928,0 25,2,2024-09-07 09:57:31:613,797604,797604,0,0,39644105,0,3978 25,3,2024-09-07 09:57:31:000,1,635,231,0,532,6993,635,0 26,0,2024-09-07 09:57:31:735,157069,0.4,153567,0.6,322221,0.3,420303,1.75 26,1,2024-09-07 09:57:31:542,1115054,1115054,0,0,523437669665,5475092179,1100521,11945,2588,380,391758,0 26,2,2024-09-07 09:57:30:861,801085,801085,0,0,42322933,0,4689 26,3,2024-09-07 09:57:31:717,1,635,1,0,796,7632,635,0 27,0,2024-09-07 09:57:31:743,163440,0.4,164277,0.6,326627,0.3,435483,2.25 27,1,2024-09-07 09:57:31:676,1116609,1116609,0,0,523983702961,5456319370,1106174,8826,1609,381,391626,0 27,2,2024-09-07 09:57:30:868,802352,802287,65,0,37142939,0,5699 27,3,2024-09-07 09:57:31:024,1,635,8,0,564,5346,635,0 28,0,2024-09-07 09:57:31:389,158618,0.4,158876,0.6,317849,0.3,423387,1.75 28,1,2024-09-07 09:57:30:797,1116715,1116715,0,0,524419118217,5462631947,1107763,7060,1892,382,391904,0 28,2,2024-09-07 09:57:31:764,805895,805895,0,0,32256555,0,2915 28,3,2024-09-07 09:57:31:776,1,635,1,0,502,6280,635,0 29,0,2024-09-07 09:57:31:356,161920,0.4,157723,0.6,309465,0.3,421712,1.75 29,1,2024-09-07 09:57:31:561,1119935,1119935,0,0,525629781532,5433247854,1113476,5681,778,367,391809,0 29,2,2024-09-07 09:57:30:861,801183,801183,0,0,30883082,0,4986 29,3,2024-09-07 09:57:30:968,1,635,16,0,459,6662,635,0 30,0,2024-09-07 09:57:31:489,155583,0.5,151376,0.6,317267,0.4,414965,2.00 30,1,2024-09-07 09:57:30:571,1117970,1117970,0,0,525103966825,5444972231,1110220,6839,911,380,391672,0 30,2,2024-09-07 09:57:31:275,803477,803477,0,0,29815329,0,4192 30,3,2024-09-07 09:57:30:585,1,635,12,0,519,5795,635,0 31,0,2024-09-07 09:57:31:771,161947,0.4,162796,0.6,324600,0.3,433414,1.75 31,1,2024-09-07 09:57:30:586,1122189,1122189,0,0,526013683216,5398815280,1115621,5492,1076,356,391712,0 31,2,2024-09-07 09:57:31:277,803214,803214,0,0,33728139,0,4470 31,3,2024-09-07 09:57:31:716,1,635,11,0,239,5336,635,0 32,0,2024-09-07 09:57:31:496,160734,0.3,161832,0.5,322090,0.3,428712,1.75 32,1,2024-09-07 09:57:30:805,1118271,1118271,0,0,524080077307,5443153008,1111998,5554,719,381,391646,0 32,2,2024-09-07 09:57:30:936,807604,807604,0,0,29420908,0,3922 32,3,2024-09-07 09:57:31:014,1,635,1,0,304,5177,635,0 33,0,2024-09-07 09:57:31:491,158109,0.3,157707,0.4,315565,0.2,419815,1.50 33,1,2024-09-07 09:57:30:575,1118970,1118970,0,0,525518503398,5439821139,1110425,7272,1273,368,391730,0 33,2,2024-09-07 09:57:30:758,804865,804830,35,0,32498827,0,7012 33,3,2024-09-07 09:57:30:900,1,635,0,0,329,5421,635,0 34,0,2024-09-07 09:57:30:940,156577,0.3,160991,0.5,307651,0.2,414985,1.75 34,1,2024-09-07 09:57:31:044,1120837,1120837,0,0,526744423275,5416489779,1117684,3042,111,367,391637,0 34,2,2024-09-07 09:57:30:767,802475,802475,0,0,30941929,0,4562 34,3,2024-09-07 09:57:31:689,1,635,25,0,541,5593,635,0 35,0,2024-09-07 09:57:30:869,159119,0.3,159966,0.5,320496,0.2,426303,1.75 35,1,2024-09-07 09:57:31:067,1116906,1116906,0,0,524711437864,5443112976,1108597,6786,1523,382,391769,0 35,2,2024-09-07 09:57:31:583,802003,802003,0,0,34618938,0,4055 35,3,2024-09-07 09:57:30:910,1,635,2,0,466,5840,635,0 36,0,2024-09-07 09:57:31:516,163448,0.5,163638,0.6,326710,0.4,434688,2.00 36,1,2024-09-07 09:57:30:583,1116096,1116096,0,0,523814367027,5466695975,1102289,11561,2246,366,391759,0 36,2,2024-09-07 09:57:31:753,807037,807037,0,0,35913776,0,3875 36,3,2024-09-07 09:57:30:864,1,635,3,0,556,8401,635,0 37,0,2024-09-07 09:57:31:372,156698,0.5,156906,0.7,314145,0.5,418915,2.25 37,1,2024-09-07 09:57:30:569,1115087,1115080,0,7,523278426985,5462723627,1101871,10289,2920,365,391770,0 37,2,2024-09-07 09:57:31:146,803016,803001,15,0,35693555,0,5815 37,3,2024-09-07 09:57:31:766,1,635,11,0,888,8724,635,0 38,0,2024-09-07 09:57:31:442,156165,0.4,151405,0.6,316668,0.4,414971,2.00 38,1,2024-09-07 09:57:31:604,1115254,1115254,0,0,523589345672,5474666523,1099241,12746,3267,368,391821,0 38,2,2024-09-07 09:57:30:761,799680,799633,47,0,35528706,0,6710 38,3,2024-09-07 09:57:30:997,1,635,1,0,689,7476,635,0 39,0,2024-09-07 09:57:31:760,162329,0.5,158610,0.7,309477,0.5,422404,2.00 39,1,2024-09-07 09:57:30:716,1114529,1114529,0,0,523098934282,5482827117,1096206,14135,4188,365,391865,0 39,2,2024-09-07 09:57:31:417,801161,801161,0,0,34040528,0,3391 39,3,2024-09-07 09:57:30:713,1,635,13,0,525,7103,635,0 40,0,2024-09-07 09:57:31:506,162300,0.8,163182,0.9,325499,0.9,434739,2.75 40,1,2024-09-07 09:57:30:576,1115477,1115477,0,0,522782014128,5471728338,1099707,12689,3081,366,391668,0 40,2,2024-09-07 09:57:31:303,803363,803356,7,0,40103385,0,5347 40,3,2024-09-07 09:57:31:149,1,635,3,0,1028,9015,635,0 41,0,2024-09-07 09:57:31:020,157318,1.3,160990,1.1,307101,1.8,417396,3.00 41,1,2024-09-07 09:57:30:771,1114312,1114312,0,0,522831234992,5464835655,1100367,11782,2163,369,391878,0 41,2,2024-09-07 09:57:30:758,801624,801623,1,0,38646601,0,5408 41,3,2024-09-07 09:57:31:678,1,635,3,0,366,6754,635,0 42,0,2024-09-07 09:57:31:475,156407,0.9,156048,1.0,312420,1.0,414306,2.75 42,1,2024-09-07 09:57:31:440,1112300,1112300,0,0,521788859647,5477914432,1095619,13748,2933,380,391675,0 42,2,2024-09-07 09:57:31:146,798015,798014,1,0,38988993,0,5513 42,3,2024-09-07 09:57:31:009,1,635,46,0,892,6159,635,0 43,0,2024-09-07 09:57:30:917,155572,0.7,151436,0.9,317354,0.7,415018,2.25 43,1,2024-09-07 09:57:30:577,1115583,1115583,0,0,522924259486,5460477111,1100462,12448,2673,366,391696,0 43,2,2024-09-07 09:57:31:739,802041,802041,0,0,36933993,0,4723 43,3,2024-09-07 09:57:31:750,1,635,8,0,571,8939,635,0 44,0,2024-09-07 09:57:30:861,163525,0.4,163742,0.6,326838,0.3,435657,1.75 44,1,2024-09-07 09:57:30:574,1118828,1118828,0,0,522946882190,5401966749,1109452,7434,1942,356,391809,0 44,2,2024-09-07 09:57:31:267,802970,802970,0,0,29933901,0,4344 44,3,2024-09-07 09:57:31:100,1,635,43,0,1097,7767,635,0 45,0,2024-09-07 09:57:31:770,157337,0.5,153779,0.7,322782,0.4,422968,2.00 45,1,2024-09-07 09:57:31:007,1117652,1117652,0,0,525159874712,5453400494,1109382,7367,903,382,391917,0 45,2,2024-09-07 09:57:31:267,806545,806545,0,0,30271781,0,3596 45,3,2024-09-07 09:57:30:936,1,635,1,0,531,5944,635,0 46,0,2024-09-07 09:57:30:952,156583,0.5,156109,0.7,313167,0.5,416174,2.00 46,1,2024-09-07 09:57:30:574,1119886,1119886,0,0,525196992331,5426205773,1112289,6608,989,366,391709,0 46,2,2024-09-07 09:57:30:593,804156,804156,0,0,30734514,0,4443 46,3,2024-09-07 09:57:31:131,1,635,5,0,908,7349,635,0 47,0,2024-09-07 09:57:31:102,156544,0.4,156205,0.6,313941,0.3,415752,1.75 47,1,2024-09-07 09:57:30:569,1120891,1120891,0,0,525285272061,5422546506,1114728,5300,863,364,391641,0 47,2,2024-09-07 09:57:30:912,805153,805153,0,0,29842670,0,4477 47,3,2024-09-07 09:57:31:117,1,635,8,0,600,6793,635,0 48,0,2024-09-07 09:57:31:497,161103,0.3,161437,0.4,322165,0.2,428948,1.50 48,1,2024-09-07 09:57:31:027,1117573,1117573,0,0,524029507573,5438940777,1111309,5684,580,381,391710,0 48,2,2024-09-07 09:57:30:699,803197,803197,0,0,28507037,0,3524 48,3,2024-09-07 09:57:30:753,1,635,1,0,339,5471,635,0 49,0,2024-09-07 09:57:31:711,166415,0.3,162943,0.5,317156,0.3,432221,1.75 49,1,2024-09-07 09:57:31:021,1116981,1116981,0,0,523574710386,5443418182,1109518,5767,1696,382,391809,0 49,2,2024-09-07 09:57:31:801,807219,807219,0,0,30702817,0,4426 49,3,2024-09-07 09:57:31:416,1,635,1,0,992,7515,635,0 50,0,2024-09-07 09:57:31:508,158017,0.3,156525,0.5,315215,0.2,419028,1.75 50,1,2024-09-07 09:57:31:014,1119791,1119791,0,0,525896414786,5433401174,1113132,5989,670,368,391691,0 50,2,2024-09-07 09:57:31:067,803921,803921,0,0,28321556,0,4490 50,3,2024-09-07 09:57:31:294,1,635,1,0,617,6292,635,0 51,0,2024-09-07 09:57:31:686,161256,0.3,157761,0.5,307247,0.2,418959,1.75 51,1,2024-09-07 09:57:31:680,1120069,1120069,0,0,526952746362,5430508085,1114253,4735,1081,365,391706,0 51,2,2024-09-07 09:57:31:317,800975,800975,0,0,27950064,0,3337 51,3,2024-09-07 09:57:31:027,1,635,11,0,678,4510,635,0 52,0,2024-09-07 09:57:31:413,159838,0.5,159649,0.6,319382,0.4,424842,2.00 52,1,2024-09-07 09:57:30:574,1115344,1115344,0,0,523172292309,5471921146,1100057,12951,2336,368,391805,0 52,2,2024-09-07 09:57:31:755,798288,798250,38,0,38292227,0,6742 52,3,2024-09-07 09:57:30:674,1,635,50,0,1782,7342,635,0 53,0,2024-09-07 09:57:31:742,163112,0.6,158294,0.8,331241,0.7,433719,2.25 53,1,2024-09-07 09:57:30:771,1113273,1113273,0,0,522597132284,5480351649,1094728,14139,4406,367,391968,0 53,2,2024-09-07 09:57:31:303,807151,807150,1,0,33973623,0,5455 53,3,2024-09-07 09:57:30:697,1,635,1,0,308,5736,635,0 54,0,2024-09-07 09:57:31:627,156341,0.6,156526,0.8,311970,0.5,416763,2.25 54,1,2024-09-07 09:57:30:579,1117045,1117045,0,0,523906983995,5445964587,1105801,9572,1672,366,391810,0 54,2,2024-09-07 09:57:30:864,805890,805858,32,0,38061104,0,6397 54,3,2024-09-07 09:57:30:766,1,635,1,0,676,7992,635,0 55,0,2024-09-07 09:57:31:760,151568,0.6,156368,0.8,316864,0.5,412839,2.50 55,1,2024-09-07 09:57:30:769,1117265,1117265,0,0,523905203465,5446620355,1105940,9896,1429,365,391731,0 55,2,2024-09-07 09:57:30:729,798551,798495,56,0,35032803,0,7239 55,3,2024-09-07 09:57:30:674,1,635,8,0,304,5804,635,0 56,0,2024-09-07 09:57:31:579,160780,1.1,151493,1.1,312355,1.4,418207,2.75 56,1,2024-09-07 09:57:30:576,1110430,1110430,0,0,521313311598,5508900580,1092264,14453,3713,381,391867,0 56,2,2024-09-07 09:57:31:302,800137,800015,122,0,37245472,0,7432 56,3,2024-09-07 09:57:31:062,1,635,44,0,705,7291,635,0 57,0,2024-09-07 09:57:30:935,161907,1.2,161620,1.1,323598,1.6,432033,3.00 57,1,2024-09-07 09:57:30:992,1113072,1113072,0,0,522477579298,5478958739,1098544,12035,2493,366,392032,0 57,2,2024-09-07 09:57:31:322,804658,804658,0,0,39827532,0,4804 57,3,2024-09-07 09:57:31:739,1,635,1,0,455,7171,635,0 58,0,2024-09-07 09:57:30:560,156200,1.0,151660,1.0,317445,1.2,415671,2.50 58,1,2024-09-07 09:57:30:575,1114290,1114287,0,3,523057731674,5478511492,1097980,12399,3908,367,391726,3 58,2,2024-09-07 09:57:31:076,805800,805800,0,0,36646088,0,3483 58,3,2024-09-07 09:57:31:070,1,635,22,0,1043,7015,635,0 59,0,2024-09-07 09:57:31:762,156047,0.9,155522,1.0,310885,0.9,412662,3.00 59,1,2024-09-07 09:57:30:805,1113716,1113716,0,0,522969636817,5493044927,1095259,14623,3834,369,391653,0 59,2,2024-09-07 09:57:30:584,801028,801028,0,0,34547214,0,3727 59,3,2024-09-07 09:57:31:746,1,635,8,0,1015,7656,635,0 60,0,2024-09-07 09:57:31:743,156388,0.5,156373,0.7,313081,0.4,417246,1.75 60,1,2024-09-07 09:57:30:777,1117789,1117789,0,0,524585727711,5450068952,1109526,7154,1109,370,392031,0 60,2,2024-09-07 09:57:31:146,802836,802836,0,0,32863684,0,3811 60,3,2024-09-07 09:57:31:258,1,635,0,0,409,7136,635,0 61,0,2024-09-07 09:57:31:570,162050,0.6,162688,0.7,323814,0.5,432642,2.00 61,1,2024-09-07 09:57:30:772,1115222,1115222,0,0,522963506690,5470391797,1103981,9557,1684,382,392127,0 61,2,2024-09-07 09:57:31:121,803935,803868,67,0,33380234,0,6411 61,3,2024-09-07 09:57:31:686,1,635,15,0,607,7976,635,0 62,0,2024-09-07 09:57:31:711,161227,0.6,165430,0.7,315823,0.6,428634,2.00 62,1,2024-09-07 09:57:31:125,1122061,1122055,0,6,526635279693,5426381261,1116951,4804,300,365,391975,6 62,2,2024-09-07 09:57:31:644,803920,803919,1,0,33307492,0,5555 62,3,2024-09-07 09:57:31:143,1,635,25,0,482,5036,635,0 63,0,2024-09-07 09:57:31:451,158131,0.4,157790,0.6,316217,0.3,420118,1.75 63,1,2024-09-07 09:57:30:805,1118152,1118146,0,6,524636457947,5444310265,1111244,6045,857,381,391800,6 63,2,2024-09-07 09:57:30:768,804164,804164,0,0,31609217,0,4369 63,3,2024-09-07 09:57:31:738,1,635,12,0,667,6351,635,0 64,0,2024-09-07 09:57:31:514,155638,0.5,155525,0.6,310742,0.4,413608,2.00 64,1,2024-09-07 09:57:30:753,1116335,1116335,0,0,524288922972,5456461668,1106964,7392,1979,370,391794,0 64,2,2024-09-07 09:57:31:140,805411,805392,19,0,30840129,0,6121 64,3,2024-09-07 09:57:31:139,1,635,0,0,651,7094,635,0 65,0,2024-09-07 09:57:31:732,158742,0.6,158995,0.7,317840,0.6,423910,2.00 65,1,2024-09-07 09:57:30:861,1115169,1115169,0,0,522529652993,5458187303,1107596,6698,875,381,391901,0 65,2,2024-09-07 09:57:31:793,800898,800898,0,0,37150293,0,3367 65,3,2024-09-07 09:57:31:718,1,635,1,0,782,7779,635,0 66,0,2024-09-07 09:57:31:764,162630,0.5,162191,0.7,324505,0.5,431427,2.00 66,1,2024-09-07 09:57:31:295,1117368,1117368,0,0,524470245378,5453433897,1110858,5785,725,380,391743,0 66,2,2024-09-07 09:57:31:131,809238,809235,3,0,32908748,0,5455 66,3,2024-09-07 09:57:31:079,1,635,1,0,291,5548,635,0 67,0,2024-09-07 09:57:31:420,157531,0.5,157034,0.7,315055,0.5,419547,2.00 67,1,2024-09-07 09:57:30:772,1117579,1117578,0,1,524640567929,5458068895,1110171,6462,945,380,391787,1 67,2,2024-09-07 09:57:30:584,808652,808637,15,0,32685485,0,6205 67,3,2024-09-07 09:57:31:750,1,635,9,0,595,6412,635,0 68,0,2024-09-07 09:57:30:623,156971,0.6,156651,0.7,312414,0.5,418071,2.00 68,1,2024-09-07 09:57:30:606,1113004,1113004,0,0,522093855435,5487756014,1098342,10883,3779,381,391953,0 68,2,2024-09-07 09:57:31:055,796212,796112,100,0,40828478,0,8578 68,3,2024-09-07 09:57:30:728,1,635,2,0,417,7873,635,0 69,0,2024-09-07 09:57:31:745,158153,0.7,158937,0.8,316773,0.7,421196,2.25 69,1,2024-09-07 09:57:31:036,1111979,1111979,0,0,521849524153,5496415832,1097631,11372,2976,383,391994,0 69,2,2024-09-07 09:57:31:743,798356,798327,29,0,44023905,0,6912 69,3,2024-09-07 09:57:30:764,1,635,4,0,698,8846,635,0 70,0,2024-09-07 09:57:31:531,162047,0.7,162839,0.9,326580,0.6,433112,2.50 70,1,2024-09-07 09:57:30:802,1118385,1118385,0,0,525248545055,5447629442,1110151,7420,814,366,391725,0 70,2,2024-09-07 09:57:31:324,806131,806131,0,0,36338059,0,4323 70,3,2024-09-07 09:57:30:745,1,635,1,0,854,6875,635,0 71,0,2024-09-07 09:57:31:356,157066,0.9,156698,1.0,314609,1.1,419651,2.50 71,1,2024-09-07 09:57:31:596,1115867,1115867,0,0,524072244660,5466231515,1102530,11715,1622,367,391738,0 71,2,2024-09-07 09:57:31:067,804599,804599,0,0,36066173,0,4352 71,3,2024-09-07 09:57:31:753,1,635,11,0,644,6925,635,0 72,0,2024-09-07 09:57:31:032,162452,0.5,158668,0.7,309936,0.5,421406,2.00 72,1,2024-09-07 09:57:31:028,1114173,1114173,0,0,522482448919,5476096881,1098551,13092,2530,369,391819,0 72,2,2024-09-07 09:57:31:755,798187,798187,0,0,37584571,0,3983 72,3,2024-09-07 09:57:31:754,1,635,3,0,564,9027,635,0 73,0,2024-09-07 09:57:31:149,153041,0.4,157033,0.6,320766,0.4,417656,2.00 73,1,2024-09-07 09:57:30:767,1116187,1116187,0,0,523736800509,5440443458,1107768,7436,983,367,391858,0 73,2,2024-09-07 09:57:31:740,801354,801353,1,0,39544068,0,5027 73,3,2024-09-07 09:57:30:971,1,635,44,0,1091,8600,635,0 74,0,2024-09-07 09:57:31:335,164397,0.4,168413,0.6,321282,0.4,436131,2.00 74,1,2024-09-07 09:57:30:636,1115024,1115024,0,0,523370258538,5460515626,1102948,9939,2137,381,391762,0 74,2,2024-09-07 09:57:31:002,803173,803173,0,0,34590664,0,4253 74,3,2024-09-07 09:57:31:444,1,635,4,0,522,7542,635,0 75,0,2024-09-07 09:57:31:764,159435,0.5,158494,0.7,317927,0.5,424383,2.25 75,1,2024-09-07 09:57:31:584,1115787,1115787,0,0,523920738386,5456434261,1106882,8018,887,380,391739,0 75,2,2024-09-07 09:57:31:350,804510,804510,0,0,41586150,0,4766 75,3,2024-09-07 09:57:31:068,1,635,27,0,918,8433,635,0 76,0,2024-09-07 09:57:30:644,156997,0.6,156368,0.7,312664,0.5,417927,2.25 76,1,2024-09-07 09:57:30:808,1115840,1115840,0,0,523126570807,5451112662,1108498,6349,993,382,391790,0 76,2,2024-09-07 09:57:31:065,804725,804722,3,0,33562739,0,5265 76,3,2024-09-07 09:57:31:144,1,635,12,0,227,5776,635,0 77,0,2024-09-07 09:57:31:767,155690,0.5,156153,0.7,312279,0.5,415014,2.00 77,1,2024-09-07 09:57:30:824,1116147,1116147,0,0,524234390669,5464598334,1108133,7134,880,381,391869,0 77,2,2024-09-07 09:57:31:291,801201,801201,0,0,32957116,0,3890 77,3,2024-09-07 09:57:31:134,1,635,18,0,401,7044,635,0 78,0,2024-09-07 09:57:31:752,161586,0.4,160845,0.6,322486,0.3,427584,2.00 78,1,2024-09-07 09:57:30:610,1115739,1115739,0,0,522518672064,5447267605,1102601,10206,2932,367,391670,0 78,2,2024-09-07 09:57:31:405,803753,803740,13,0,30826379,0,8313 78,3,2024-09-07 09:57:31:133,1,635,16,0,311,5190,635,0 79,0,2024-09-07 09:57:31:348,156322,0.4,160064,0.6,328200,0.3,426808,2.00 79,1,2024-09-07 09:57:30:572,1120304,1120304,0,0,525134279940,5431757967,1112443,6501,1360,367,391682,0 79,2,2024-09-07 09:57:31:068,806695,806695,0,0,29963329,0,4195 79,3,2024-09-07 09:57:30:754,1,635,8,0,418,7262,635,0 80,0,2024-09-07 09:57:31:165,157415,0.5,161731,0.7,308954,0.5,418032,2.00 80,1,2024-09-07 09:57:31:638,1116009,1116009,0,0,524118115506,5453599067,1108830,6723,456,368,392269,0 80,2,2024-09-07 09:57:31:105,807467,807467,0,0,30421309,0,4433 80,3,2024-09-07 09:57:30:580,1,635,53,0,681,7759,635,0 81,0,2024-09-07 09:57:31:546,156984,0.5,160634,0.7,306797,0.5,416095,2.00 81,1,2024-09-07 09:57:31:675,1115268,1115268,0,0,522457960377,5456614926,1106854,7634,780,382,391885,0 81,2,2024-09-07 09:57:31:125,800290,800227,63,0,33588363,0,5932 81,3,2024-09-07 09:57:31:120,1,635,1,0,719,7126,635,0 82,0,2024-09-07 09:57:31:530,159228,0.4,159368,0.6,319461,0.4,423837,2.00 82,1,2024-09-07 09:57:30:584,1117026,1117022,0,4,524360600611,5447733625,1111415,4782,825,381,391768,4 82,2,2024-09-07 09:57:31:700,803698,803698,0,0,28777095,0,4484 82,3,2024-09-07 09:57:31:752,1,635,1,0,363,5808,635,0 83,0,2024-09-07 09:57:31:526,163733,0.6,163572,0.7,326812,0.6,434120,2.00 83,1,2024-09-07 09:57:30:551,1116037,1116037,0,0,522998985971,5448741051,1108409,6983,645,382,391709,0 83,2,2024-09-07 09:57:30:764,807228,807203,25,0,30996837,0,5612 83,3,2024-09-07 09:57:30:749,1,635,8,0,1260,7347,635,0 84,0,2024-09-07 09:57:31:769,156593,0.8,156703,0.9,313176,0.7,418487,2.25 84,1,2024-09-07 09:57:31:039,1113728,1113728,0,0,522904766420,5467542387,1100942,10904,1882,367,391967,0 84,2,2024-09-07 09:57:30:571,804086,803676,410,0,44716048,0,17037 84,3,2024-09-07 09:57:31:146,1,635,1,0,908,8614,635,0 85,0,2024-09-07 09:57:31:022,150822,0.6,150820,0.8,320156,0.6,413213,2.25 85,1,2024-09-07 09:57:30:589,1110771,1110771,0,0,521543762579,5502382729,1094226,13742,2803,381,392092,0 85,2,2024-09-07 09:57:30:864,798939,798939,0,0,37650734,0,4255 85,3,2024-09-07 09:57:30:685,1,635,0,0,789,7166,635,0 86,0,2024-09-07 09:57:30:874,157414,0.7,161876,0.8,309501,0.7,418663,2.25 86,1,2024-09-07 09:57:30:824,1114050,1114050,0,0,522916160835,5482281207,1099442,11813,2795,366,392169,0 86,2,2024-09-07 09:57:30:854,800518,800517,1,0,40506753,0,5004 86,3,2024-09-07 09:57:30:588,1,635,0,0,308,8747,635,0 87,0,2024-09-07 09:57:31:307,163704,0.7,162871,0.8,326140,0.8,435685,2.25 87,1,2024-09-07 09:57:30:552,1113263,1113263,0,0,522170911470,5467506712,1099590,11873,1800,366,392076,0 87,2,2024-09-07 09:57:31:066,804393,804387,6,0,37363041,0,6323 87,3,2024-09-07 09:57:31:793,1,635,11,0,473,8871,635,0 88,0,2024-09-07 09:57:31:465,158528,0.4,159049,0.6,317751,0.4,423207,1.75 88,1,2024-09-07 09:57:30:574,1112866,1112866,0,0,522263367245,5470939323,1097317,12500,3049,365,392084,0 88,2,2024-09-07 09:57:30:688,804928,804928,0,0,39315279,0,4465 88,3,2024-09-07 09:57:31:267,1,635,6,0,1080,9639,635,0 89,0,2024-09-07 09:57:31:766,161877,0.4,157018,0.6,309788,0.4,421278,1.75 89,1,2024-09-07 09:57:30:573,1112073,1112073,0,0,522302398794,5488675577,1098902,11212,1959,382,391866,0 89,2,2024-09-07 09:57:31:132,800536,800536,0,0,36930159,0,3173 89,3,2024-09-07 09:57:31:792,1,635,0,0,468,10228,635,0 90,0,2024-09-07 09:57:31:671,151718,0.4,155866,0.6,317936,0.4,415094,2.00 90,1,2024-09-07 09:57:30:592,1114159,1114159,0,0,522304849680,5468884339,1103379,9776,1004,380,391825,0 90,2,2024-09-07 09:57:31:407,798438,798433,5,0,40510697,0,6370 90,3,2024-09-07 09:57:30:930,1,635,1,0,322,7639,635,0 91,0,2024-09-07 09:57:30:924,162606,0.4,157765,0.6,330140,0.4,433179,1.75 91,1,2024-09-07 09:57:30:558,1111193,1111193,0,0,521769346842,5495835535,1094803,13064,3326,381,392047,0 91,2,2024-09-07 09:57:31:331,803126,803126,0,0,35887479,0,4713 91,3,2024-09-07 09:57:30:599,1,635,8,0,216,5671,635,0 92,0,2024-09-07 09:57:31:454,162401,0.4,166069,0.6,316425,0.4,428909,1.75 92,1,2024-09-07 09:57:30:584,1115152,1115152,0,0,523965641574,5469147677,1106735,7128,1289,381,392136,0 92,2,2024-09-07 09:57:31:351,805975,805975,0,0,31476317,0,3259 92,3,2024-09-07 09:57:31:009,1,635,26,0,167,5345,635,0 93,0,2024-09-07 09:57:31:026,158569,0.4,162454,0.6,310359,0.3,420099,1.75 93,1,2024-09-07 09:57:30:843,1115886,1115886,0,0,523103391110,5456302279,1104025,9922,1939,365,392048,0 93,2,2024-09-07 09:57:30:953,803675,803675,0,0,37160946,0,4913 93,3,2024-09-07 09:57:31:414,1,635,16,0,375,6634,635,0 94,0,2024-09-07 09:57:31:617,155656,0.4,156882,0.5,313502,0.3,415904,1.75 94,1,2024-09-07 09:57:30:577,1116506,1116506,0,0,523965740220,5460125452,1109530,6540,436,381,391850,0 94,2,2024-09-07 09:57:30:761,801411,801383,28,0,32054265,0,6179 94,3,2024-09-07 09:57:31:689,1,635,14,0,576,7741,635,0 95,0,2024-09-07 09:57:31:346,159977,0.3,159874,0.5,320129,0.3,426430,1.75 95,1,2024-09-07 09:57:30:851,1117013,1117013,0,0,524154189618,5443542396,1108545,7716,752,365,391852,0 95,2,2024-09-07 09:57:31:015,800999,800999,0,0,31855915,0,3308 95,3,2024-09-07 09:57:31:717,1,635,8,0,718,9808,635,0 96,0,2024-09-07 09:57:31:026,163141,0.3,163341,0.5,327092,0.3,434178,1.75 96,1,2024-09-07 09:57:31:583,1115107,1115107,0,0,523030267447,5453302724,1107455,6368,1284,384,391964,0 96,2,2024-09-07 09:57:31:268,807965,807965,0,0,32939964,0,4180 96,3,2024-09-07 09:57:31:140,1,635,2,0,411,7115,635,0 97,0,2024-09-07 09:57:31:313,157523,0.3,157535,0.5,315680,0.3,419343,1.50 97,1,2024-09-07 09:57:30:763,1117299,1117299,0,0,524884476602,5443262009,1110343,5913,1043,367,392140,0 97,2,2024-09-07 09:57:30:607,806948,806948,0,0,32389692,0,4046 97,3,2024-09-07 09:57:30:570,1,635,3,0,242,6988,635,0 98,0,2024-09-07 09:57:31:711,156580,0.3,156606,0.4,314621,0.2,418455,1.50 98,1,2024-09-07 09:57:30:574,1116380,1116380,0,0,524209764666,5457567656,1109850,5690,840,381,391997,0 98,2,2024-09-07 09:57:30:776,799730,799730,0,0,30966220,0,4336 98,3,2024-09-07 09:57:30:700,1,635,1,0,840,9303,635,0 99,0,2024-09-07 09:57:31:462,158786,0.3,159645,0.5,317806,0.3,424055,1.75 99,1,2024-09-07 09:57:31:723,1117265,1117265,0,0,523802296361,5448371763,1110624,5675,966,380,392069,0 99,2,2024-09-07 09:57:31:416,801222,801222,0,0,38849002,0,4276 99,3,2024-09-07 09:57:30:584,1,635,9,0,1124,8027,635,0 100,0,2024-09-07 09:57:31:493,163359,0.7,163922,0.9,327433,0.8,437603,2.25 100,1,2024-09-07 09:57:30:557,1110041,1110041,0,0,521530361798,5511522939,1093115,13502,3424,378,391989,0 100,2,2024-09-07 09:57:31:825,802226,801837,389,0,41948159,0,16909 100,3,2024-09-07 09:57:31:743,1,635,2,0,627,10363,635,0 101,0,2024-09-07 09:57:31:706,161580,1.0,157720,1.0,308525,1.0,421419,2.25 101,1,2024-09-07 09:57:30:551,1112616,1112616,0,0,522252239729,5485629916,1097899,12043,2674,368,391847,0 101,2,2024-09-07 09:57:31:757,800833,800833,0,0,42039251,0,4871 101,3,2024-09-07 09:57:30:957,1,635,107,0,1250,9378,635,0 102,0,2024-09-07 09:57:30:957,153036,0.6,157661,0.8,320337,0.6,418755,2.25 102,1,2024-09-07 09:57:31:146,1112291,1112291,0,0,521838963788,5479503994,1097586,12496,2209,369,391984,0 102,2,2024-09-07 09:57:31:744,800510,800456,54,0,35587922,0,6768 102,3,2024-09-07 09:57:31:616,1,635,20,0,466,7076,635,0 103,0,2024-09-07 09:57:31:600,162270,0.5,162297,0.7,305696,0.5,420973,2.00 103,1,2024-09-07 09:57:31:638,1111403,1111403,0,0,521512802924,5503427586,1094250,13548,3605,381,392077,0 103,2,2024-09-07 09:57:30:587,799856,799856,0,0,38722385,0,3766 103,3,2024-09-07 09:57:30:756,1,635,10,0,916,7318,635,0 104,0,2024-09-07 09:57:31:005,162344,0.7,162572,0.9,324404,0.6,433855,2.25 104,1,2024-09-07 09:57:31:609,1113930,1113930,0,0,522326681827,5484087482,1098027,13037,2866,365,392168,0 104,2,2024-09-07 09:57:31:672,801529,801529,0,0,38328716,0,4161 104,3,2024-09-07 09:57:31:419,1,635,65,0,1245,10981,635,0 105,0,2024-09-07 09:57:31:033,157295,0.9,153370,1.0,321613,1.0,422286,2.50 105,1,2024-09-07 09:57:30:555,1115118,1115118,0,0,523423210868,5478944962,1101443,11728,1947,364,392009,0 105,2,2024-09-07 09:57:31:323,804480,804480,0,0,38675634,0,4360 105,3,2024-09-07 09:57:31:304,1,635,2,0,573,9552,635,0 106,0,2024-09-07 09:57:30:934,152028,0.9,155730,1.0,318991,1.1,416268,2.50 106,1,2024-09-07 09:57:31:752,1113603,1113603,0,0,521816501278,5473143032,1098427,13243,1933,368,391914,0 106,2,2024-09-07 09:57:30:756,801273,801273,0,0,35938431,0,3331 106,3,2024-09-07 09:57:30:677,1,635,24,0,1224,8567,635,0 107,0,2024-09-07 09:57:31:098,155844,0.9,155876,0.9,311841,1.0,415654,2.25 107,1,2024-09-07 09:57:30:585,1111730,1111730,0,0,521528093579,5506808955,1094256,15338,2136,381,392234,0 107,2,2024-09-07 09:57:31:304,798117,798116,1,0,39234568,0,5024 107,3,2024-09-07 09:57:31:755,1,635,8,0,733,9531,635,0 108,0,2024-09-07 09:57:31:814,160503,0.4,161140,0.6,321302,0.4,428914,1.75 108,1,2024-09-07 09:57:31:418,1115326,1115326,0,0,523607181340,5457185009,1106549,7779,998,367,391894,0 108,2,2024-09-07 09:57:31:757,802880,802880,0,0,34076098,0,4246 108,3,2024-09-07 09:57:31:329,1,635,1,0,749,11030,635,0 109,0,2024-09-07 09:57:31:750,162741,0.4,161306,0.6,323551,0.3,431423,1.75 109,1,2024-09-07 09:57:30:585,1112922,1112922,0,0,522480009194,5476830667,1103407,8235,1280,382,392132,0 109,2,2024-09-07 09:57:30:921,804230,804230,0,0,34836580,0,3617 109,3,2024-09-07 09:57:31:140,1,635,4,0,630,7476,635,0 110,0,2024-09-07 09:57:31:848,157254,0.4,152923,0.6,320349,0.4,419875,1.75 110,1,2024-09-07 09:57:31:653,1117464,1117464,0,0,524412167666,5440161105,1109373,6162,1929,368,392045,0 110,2,2024-09-07 09:57:31:312,805728,805728,0,0,32382765,0,4067 110,3,2024-09-07 09:57:30:695,1,635,115,0,722,8359,635,0 111,0,2024-09-07 09:57:31:429,157771,0.4,156991,0.5,313659,0.3,418522,1.75 111,1,2024-09-07 09:57:31:005,1118700,1118700,0,0,525296086189,5445560152,1113019,5300,381,380,391690,0 111,2,2024-09-07 09:57:31:118,800954,800954,0,0,32755120,0,4823 111,3,2024-09-07 09:57:30:915,1,635,8,0,379,6742,635,0 112,0,2024-09-07 09:57:30:926,159997,0.3,159615,0.4,319866,0.2,425046,1.50 112,1,2024-09-07 09:57:30:824,1117308,1117308,0,0,523943609914,5438036999,1110096,5987,1225,380,391624,0 112,2,2024-09-07 09:57:31:133,801832,801831,1,0,31265758,0,5036 112,3,2024-09-07 09:57:30:600,1,635,13,0,282,5932,635,0 113,0,2024-09-07 09:57:30:865,163752,0.3,163755,0.5,328062,0.2,436348,1.75 113,1,2024-09-07 09:57:31:693,1119664,1119664,0,0,526062761224,5424893239,1113408,5308,948,365,391664,0 113,2,2024-09-07 09:57:31:303,809257,809257,0,0,29667776,0,3813 113,3,2024-09-07 09:57:30:684,1,635,1,0,510,6657,635,0 114,0,2024-09-07 09:57:30:875,158235,0.3,159186,0.5,317564,0.2,423913,1.75 114,1,2024-09-07 09:57:30:715,1117560,1117560,0,0,524379974772,5442219653,1109455,6358,1747,381,391565,0 114,2,2024-09-07 09:57:30:879,808101,808100,1,0,30575056,0,5069 114,3,2024-09-07 09:57:31:278,1,635,3,0,415,5373,635,0 115,0,2024-09-07 09:57:30:567,157739,0.3,158236,0.4,315122,0.2,419359,1.50 115,1,2024-09-07 09:57:30:571,1117916,1117916,0,0,524642364114,5447014389,1109519,6879,1518,382,391757,0 115,2,2024-09-07 09:57:31:127,801717,801717,0,0,30773824,0,4382 115,3,2024-09-07 09:57:31:002,1,635,8,0,167,3838,635,0 116,0,2024-09-07 09:57:31:730,157234,0.7,157144,0.8,314945,0.6,420735,2.00 116,1,2024-09-07 09:57:30:803,1111278,1111278,0,0,522556683611,5503542928,1098292,9893,3093,380,392089,0 116,2,2024-09-07 09:57:31:751,801443,801443,0,0,38534510,0,4475 116,3,2024-09-07 09:57:30:912,1,635,57,0,448,8074,635,0 117,0,2024-09-07 09:57:30:966,163814,0.6,163220,0.8,326901,0.6,436940,2.00 117,1,2024-09-07 09:57:31:587,1113362,1113362,0,0,521565154728,5458889593,1101321,10515,1526,369,392429,0 117,2,2024-09-07 09:57:31:118,806705,806705,0,0,34965873,0,4303 117,3,2024-09-07 09:57:31:064,1,635,1,0,490,7888,635,0 118,0,2024-09-07 09:57:31:773,153764,0.5,157911,0.7,322259,0.5,421651,2.00 118,1,2024-09-07 09:57:30:592,1112622,1112622,0,0,521171030257,5475923603,1096583,12413,3626,366,392054,0 118,2,2024-09-07 09:57:31:591,804335,804335,0,0,37340311,0,2842 118,3,2024-09-07 09:57:31:768,1,635,1,0,289,7415,635,0 119,0,2024-09-07 09:57:31:332,157110,0.7,157771,0.8,314826,0.8,419601,2.25 119,1,2024-09-07 09:57:30:554,1113742,1113742,0,0,522222462669,5473485347,1098992,12237,2513,367,391857,0 119,2,2024-09-07 09:57:31:261,801794,801794,0,0,34708037,0,4309 119,3,2024-09-07 09:57:31:327,1,635,8,0,1358,11533,635,0 120,0,2024-09-07 09:57:31:542,155573,0.6,155383,0.8,312179,0.6,416354,2.25 120,1,2024-09-07 09:57:30:864,1114514,1114514,0,0,522493622272,5476074356,1102652,10717,1145,367,392144,0 120,2,2024-09-07 09:57:30:770,800893,800890,3,0,41505789,0,5363 120,3,2024-09-07 09:57:31:296,1,635,7,0,478,8019,635,0 121,0,2024-09-07 09:57:31:706,162389,0.9,161922,1.0,323937,1.2,431976,2.25 121,1,2024-09-07 09:57:31:674,1113969,1113969,0,0,522500978167,5463812264,1102622,9788,1559,366,391840,0 121,2,2024-09-07 09:57:31:124,802684,802684,0,0,38013853,0,4157 121,3,2024-09-07 09:57:30:727,1,635,4,0,387,8192,635,0 122,0,2024-09-07 09:57:31:761,160255,0.8,155797,0.9,326242,0.8,428709,2.00 122,1,2024-09-07 09:57:30:883,1113102,1113102,0,0,522298274489,5477823754,1098069,12615,2418,365,392130,0 122,2,2024-09-07 09:57:31:318,805686,805611,75,0,41618436,0,5989 122,3,2024-09-07 09:57:30:594,1,635,8,0,512,9965,635,0 123,0,2024-09-07 09:57:30:956,156965,0.8,152741,0.9,320137,0.9,418644,2.25 123,1,2024-09-07 09:57:30:562,1113943,1113943,0,0,522916864444,5493960200,1096894,14377,2672,369,392039,0 123,2,2024-09-07 09:57:31:027,802046,802045,1,0,35563780,0,5215 123,3,2024-09-07 09:57:31:140,1,635,12,0,478,7142,635,0 124,0,2024-09-07 09:57:30:934,160599,0.3,160688,0.5,302849,0.3,416455,1.75 124,1,2024-09-07 09:57:31:021,1117489,1117489,0,0,523622548448,5440905248,1109392,6906,1191,365,392178,0 124,2,2024-09-07 09:57:31:017,803310,803257,53,0,32117389,0,6487 124,3,2024-09-07 09:57:30:763,1,635,9,0,490,6112,635,0 125,0,2024-09-07 09:57:31:501,159629,0.4,159479,0.5,319972,0.3,426393,1.75 125,1,2024-09-07 09:57:30:863,1114397,1114397,0,0,522925167240,5455399625,1106114,7128,1155,382,392045,0 125,2,2024-09-07 09:57:31:117,804136,804136,0,0,32367893,0,4534 125,3,2024-09-07 09:57:31:126,1,635,142,0,709,7237,635,0 126,0,2024-09-07 09:57:31:416,163366,0.4,167976,0.5,321207,0.3,434844,1.75 126,1,2024-09-07 09:57:30:552,1118761,1118761,0,0,524784862137,5429415366,1113154,5158,449,365,391987,0 126,2,2024-09-07 09:57:30:612,808328,808328,0,0,33519908,0,4539 126,3,2024-09-07 09:57:30:912,1,635,2,0,268,6827,635,0 127,0,2024-09-07 09:57:31:604,157958,0.3,158066,0.5,315489,0.3,419636,1.75 127,1,2024-09-07 09:57:30:572,1116431,1116431,0,0,523588585583,5443760652,1105729,9062,1640,364,392187,0 127,2,2024-09-07 09:57:30:642,805979,805975,4,0,31892803,0,5305 127,3,2024-09-07 09:57:31:266,1,635,12,0,968,6126,635,0 128,0,2024-09-07 09:57:31:561,157534,0.3,157649,0.4,315070,0.2,419133,1.50 128,1,2024-09-07 09:57:31:610,1115928,1115928,0,0,523751922370,5446166993,1107242,7721,965,367,392423,0 128,2,2024-09-07 09:57:31:390,802121,802121,0,0,29372116,0,3171 128,3,2024-09-07 09:57:30:771,1,635,1,0,1082,9759,635,0 129,0,2024-09-07 09:57:31:082,160080,0.3,159255,0.5,319330,0.3,424538,1.50 129,1,2024-09-07 09:57:30:573,1112439,1112439,0,0,522667451698,5474737195,1101677,8740,2022,379,391962,0 129,2,2024-09-07 09:57:30:685,803424,803420,4,0,32098568,0,5335 129,3,2024-09-07 09:57:30:691,1,635,1,0,506,8466,635,0 130,0,2024-09-07 09:57:31:712,164633,0.4,164326,0.5,329026,0.4,438666,1.75 130,1,2024-09-07 09:57:30:585,1117713,1117713,0,0,524352645814,5443112171,1112247,5063,403,381,391825,0 130,2,2024-09-07 09:57:31:127,806495,806495,0,0,32411150,0,4067 130,3,2024-09-07 09:57:31:294,1,635,1,0,960,8428,635,0 131,0,2024-09-07 09:57:31:931,158260,0.3,158694,0.5,318312,0.3,422933,1.75 131,1,2024-09-07 09:57:31:825,1116634,1116634,0,0,523764460948,5456260520,1109294,6142,1198,381,391865,0 131,2,2024-09-07 09:57:30:572,806221,806221,0,0,30104366,0,3979 131,3,2024-09-07 09:57:31:688,1,635,8,0,392,7950,635,0 132,0,2024-09-07 09:57:31:453,157695,0.5,158668,0.6,315862,0.4,419944,2.00 132,1,2024-09-07 09:57:30:585,1112313,1112313,0,0,521864518475,5490641381,1096508,13029,2776,381,392532,0 132,2,2024-09-07 09:57:30:698,799671,799654,17,0,39082451,0,6451 132,3,2024-09-07 09:57:31:688,1,635,267,0,1298,11259,635,0 133,0,2024-09-07 09:57:31:559,153228,0.4,156772,0.6,321345,0.4,418505,2.00 133,1,2024-09-07 09:57:30:589,1112157,1112157,0,0,521621870171,5494155633,1097280,12947,1930,383,391914,0 133,2,2024-09-07 09:57:31:086,802679,802629,50,0,39882929,0,6861 133,3,2024-09-07 09:57:31:296,1,635,7,0,528,7652,635,0 134,0,2024-09-07 09:57:30:950,163676,0.5,163888,0.7,327613,0.4,436385,2.00 134,1,2024-09-07 09:57:30:595,1113356,1113356,0,0,522650124531,5483216457,1098347,11835,3174,366,391781,0 134,2,2024-09-07 09:57:31:755,803722,803698,24,0,37001192,0,6207 134,3,2024-09-07 09:57:30:749,1,635,93,0,739,7860,635,0 135,0,2024-09-07 09:57:31:114,154191,0.7,154185,0.8,327339,0.8,421643,2.25 135,1,2024-09-07 09:57:31:585,1112916,1112916,0,0,523086777063,5498175236,1099012,12057,1847,380,391974,0 135,2,2024-09-07 09:57:30:700,806505,806505,0,0,37919513,0,4503 135,3,2024-09-07 09:57:31:007,1,635,55,0,900,6064,635,0 136,0,2024-09-07 09:57:31:616,157867,0.6,158294,0.8,314400,0.6,419440,2.00 136,1,2024-09-07 09:57:31:441,1114134,1114134,0,0,523264228489,5480136647,1102145,10599,1390,381,392135,0 136,2,2024-09-07 09:57:31:137,803981,803966,15,0,37101516,0,6007 136,3,2024-09-07 09:57:31:109,1,635,2,0,637,7119,635,0 137,0,2024-09-07 09:57:30:943,161053,0.6,157093,0.7,307422,0.6,417511,2.00 137,1,2024-09-07 09:57:30:576,1113132,1113132,0,0,522660983325,5477992365,1096335,13407,3390,366,391898,0 137,2,2024-09-07 09:57:31:704,800244,800244,0,0,38397257,0,3185 137,3,2024-09-07 09:57:30:774,1,635,50,0,484,8445,635,0 138,0,2024-09-07 09:57:31:739,160032,0.7,160411,0.8,321327,0.8,427515,2.00 138,1,2024-09-07 09:57:31:695,1113867,1113867,0,0,522976780780,5481358499,1098999,12595,2273,368,391954,0 138,2,2024-09-07 09:57:30:586,801410,801410,0,0,36899631,0,4988 138,3,2024-09-07 09:57:30:611,1,635,1,0,1200,9678,635,0 139,0,2024-09-07 09:57:31:358,160531,0.9,161147,0.9,321993,1.3,429767,2.25 139,1,2024-09-07 09:57:30:573,1109112,1109112,0,0,520326084303,5511090583,1090636,14648,3828,380,392109,0 139,2,2024-09-07 09:57:30:692,800556,800526,30,0,42216060,0,5997 139,3,2024-09-07 09:57:31:662,1,635,8,0,432,7399,635,0 140,0,2024-09-07 09:57:31:587,157834,0.3,157067,0.5,315348,0.2,420142,1.75 140,1,2024-09-07 09:57:31:541,1120733,1120733,0,0,526760930507,5427033969,1115665,4520,548,364,391628,0 140,2,2024-09-07 09:57:30:686,805902,805901,1,0,29832426,0,5036 140,3,2024-09-07 09:57:30:770,1,635,1,0,575,6194,635,0 141,0,2024-09-07 09:57:31:701,157354,0.3,161686,0.5,309160,0.2,418284,1.50 141,1,2024-09-07 09:57:30:870,1118080,1118080,0,0,524195444192,5441592051,1109868,7019,1193,379,391614,0 141,2,2024-09-07 09:57:31:686,801591,801580,11,0,31886088,0,5369 141,3,2024-09-07 09:57:31:047,1,635,4,0,391,7054,635,0 142,0,2024-09-07 09:57:31:343,160219,0.3,159422,0.5,319118,0.2,425987,1.50 142,1,2024-09-07 09:57:30:606,1116131,1116131,0,0,523769820578,5455778166,1109379,6241,511,382,392102,0 142,2,2024-09-07 09:57:31:305,801193,801161,32,0,32441639,0,6028 142,3,2024-09-07 09:57:31:746,1,635,1,0,484,6681,635,0 143,0,2024-09-07 09:57:31:380,163856,0.4,163628,0.5,328346,0.4,436439,1.75 143,1,2024-09-07 09:57:30:563,1118732,1118732,0,0,525117286098,5443142132,1112238,5926,568,367,391900,0 143,2,2024-09-07 09:57:30:814,807473,807473,0,0,32659813,0,3123 143,3,2024-09-07 09:57:31:145,1,635,10,0,462,7451,635,0 144,0,2024-09-07 09:57:31:502,153295,0.6,157824,0.7,320931,0.5,420231,2.00 144,1,2024-09-07 09:57:30:568,1113391,1113391,0,0,521648919753,5470870166,1102575,8820,1996,381,391733,0 144,2,2024-09-07 09:57:31:757,806987,806987,0,0,31771727,0,4443 144,3,2024-09-07 09:57:31:739,1,635,9,0,249,6224,635,0 145,0,2024-09-07 09:57:31:355,151956,0.6,151923,0.8,322495,0.5,415041,2.25 145,1,2024-09-07 09:57:30:552,1112152,1112152,0,0,522195490580,5492690719,1097500,11939,2713,382,391781,0 145,2,2024-09-07 09:57:31:429,798446,798364,82,0,37690754,0,7814 145,3,2024-09-07 09:57:30:948,1,635,92,0,622,8294,635,0 146,0,2024-09-07 09:57:31:597,157750,0.5,156855,0.7,315532,0.5,419431,2.00 146,1,2024-09-07 09:57:31:594,1112959,1112959,0,0,522031062955,5489870194,1094886,13403,4670,367,391770,0 146,2,2024-09-07 09:57:31:702,800170,800164,6,0,36148230,0,5151 146,3,2024-09-07 09:57:31:274,1,635,184,0,1520,10272,635,0 147,0,2024-09-07 09:57:31:698,163657,0.5,163466,0.7,326901,0.5,436054,2.00 147,1,2024-09-07 09:57:31:371,1117594,1117594,0,0,524353588713,5445103981,1109033,7594,967,367,391791,0 147,2,2024-09-07 09:57:31:013,805398,805398,0,0,32460670,0,3359 147,3,2024-09-07 09:57:30:926,1,635,14,0,1626,9798,635,0 0,0,2024-09-07 09:57:41:721,152275,0.5,152260,0.7,323251,0.5,417784,2.00 0,1,2024-09-07 09:57:40:802,1116331,1116331,0,0,523942561340,5481425382,1107589,7790,952,368,391896,0 0,2,2024-09-07 09:57:41:080,804011,804011,0,0,31988479,0,4480 0,3,2024-09-07 09:57:40:974,1,636,1,0,431,8868,636,0 1,0,2024-09-07 09:57:41:859,162462,0.8,161414,0.9,324143,0.9,433894,2.00 1,1,2024-09-07 09:57:40:558,1114859,1114859,0,0,522934845116,5473246223,1105359,8006,1494,370,391859,0 1,2,2024-09-07 09:57:40:648,805365,805365,0,0,31671649,0,3380 1,3,2024-09-07 09:57:41:302,1,636,1,0,269,7754,636,0 2,0,2024-09-07 09:57:41:568,160768,0.6,160331,0.7,320762,0.6,427623,2.00 2,1,2024-09-07 09:57:40:860,1118287,1118287,0,0,525078395040,5456240893,1112101,5234,952,379,391745,0 2,2,2024-09-07 09:57:41:265,807682,807682,0,0,30144299,0,3594 2,3,2024-09-07 09:57:40:704,1,636,1,0,357,5549,636,0 3,0,2024-09-07 09:57:41:749,157598,0.4,157587,0.6,314840,0.4,419226,2.00 3,1,2024-09-07 09:57:41:626,1117434,1117434,0,0,524541823719,5452635378,1109796,6933,705,379,391716,0 3,2,2024-09-07 09:57:41:150,805672,805649,23,0,31062204,0,5851 3,3,2024-09-07 09:57:41:770,1,636,12,0,484,4796,636,0 4,0,2024-09-07 09:57:41:778,151762,0.4,155983,0.5,317989,0.3,415181,1.75 4,1,2024-09-07 09:57:40:590,1111788,1111788,0,0,522106231209,5524693350,1092734,14523,4531,369,391992,0 4,2,2024-09-07 09:57:41:037,800145,800145,0,0,38248238,0,4534 4,3,2024-09-07 09:57:41:032,1,636,188,0,448,7865,636,0 5,0,2024-09-07 09:57:41:393,160292,0.4,160170,0.5,320489,0.3,426498,1.75 5,1,2024-09-07 09:57:40:785,1113157,1113157,0,0,522363776774,5512600777,1095984,12950,4223,367,392005,0 5,2,2024-09-07 09:57:41:829,800193,800193,0,0,37132642,0,3582 5,3,2024-09-07 09:57:41:736,1,636,7,0,457,8581,636,0 6,0,2024-09-07 09:57:40:914,163175,0.5,162631,0.6,325497,0.4,433454,2.00 6,1,2024-09-07 09:57:40:746,1115756,1115756,0,0,523415117761,5463344010,1104469,9698,1589,379,391702,0 6,2,2024-09-07 09:57:41:115,807687,807669,18,0,35916407,0,5535 6,3,2024-09-07 09:57:41:273,1,636,46,0,710,7523,636,0 7,0,2024-09-07 09:57:41:545,157124,0.5,158277,0.7,314106,0.5,418885,2.00 7,1,2024-09-07 09:57:40:857,1113489,1113489,0,0,523150112772,5505914488,1096423,13017,4049,382,391747,0 7,2,2024-09-07 09:57:40:768,805837,805837,0,0,34640858,0,4791 7,3,2024-09-07 09:57:40:855,1,636,9,0,552,7311,636,0 8,0,2024-09-07 09:57:41:322,157634,0.4,157303,0.5,315098,0.3,419996,1.75 8,1,2024-09-07 09:57:41:020,1113072,1113072,0,0,521972861578,5487024520,1095622,13730,3720,366,392853,0 8,2,2024-09-07 09:57:40:792,796526,796524,2,0,40826047,0,5112 8,3,2024-09-07 09:57:40:584,1,636,44,0,772,9852,636,0 9,0,2024-09-07 09:57:41:104,159075,0.4,154663,0.5,323541,0.3,424404,1.75 9,1,2024-09-07 09:57:40:550,1112569,1112569,0,0,522920725895,5510479613,1094788,14148,3633,369,392001,0 9,2,2024-09-07 09:57:41:090,801443,801442,1,0,38601497,0,5281 9,3,2024-09-07 09:57:41:772,1,636,5,0,1273,10541,636,0 10,0,2024-09-07 09:57:41:601,164222,0.4,163716,0.5,328220,0.3,437304,1.75 10,1,2024-09-07 09:57:40:583,1115108,1115108,0,0,523253934418,5486644126,1098971,12979,3158,381,391981,0 10,2,2024-09-07 09:57:40:775,807080,807080,0,0,41531788,0,4713 10,3,2024-09-07 09:57:40:875,1,636,41,0,669,6915,636,0 11,0,2024-09-07 09:57:41:007,158326,0.4,153686,0.6,321580,0.4,423551,1.75 11,1,2024-09-07 09:57:40:571,1116497,1116497,0,0,524406980302,5497057093,1100430,11764,4303,383,391766,0 11,2,2024-09-07 09:57:41:126,805670,805670,0,0,36592586,0,4698 11,3,2024-09-07 09:57:41:311,1,636,8,0,843,7944,636,0 12,0,2024-09-07 09:57:40:936,158686,0.4,158545,0.5,316639,0.3,420773,1.75 12,1,2024-09-07 09:57:40:933,1116461,1116461,0,0,523629793722,5457931272,1107954,7497,1010,370,391960,0 12,2,2024-09-07 09:57:41:541,799788,799788,0,0,35272343,0,4390 12,3,2024-09-07 09:57:41:067,1,636,4,0,386,7813,636,0 13,0,2024-09-07 09:57:41:342,158226,0.4,158317,0.5,315674,0.3,420812,1.75 13,1,2024-09-07 09:57:41:639,1113830,1113830,0,0,523168144116,5494428819,1102890,8548,2392,382,391803,0 13,2,2024-09-07 09:57:40:599,805226,805226,0,0,31734968,0,3287 13,3,2024-09-07 09:57:41:766,1,636,0,0,522,8127,636,0 14,0,2024-09-07 09:57:40:559,163798,0.4,164842,0.6,327475,0.3,436373,1.75 14,1,2024-09-07 09:57:41:580,1121493,1121493,0,0,526066067490,5440132841,1112991,7372,1130,364,391673,0 14,2,2024-09-07 09:57:40:774,806611,806581,30,0,33068061,0,6104 14,3,2024-09-07 09:57:41:115,1,636,5,0,1168,6771,636,0 15,0,2024-09-07 09:57:41:568,159505,0.4,158619,0.6,317570,0.4,423362,1.75 15,1,2024-09-07 09:57:41:702,1118248,1118248,0,0,524959518018,5463370197,1109078,7257,1913,381,391619,0 15,2,2024-09-07 09:57:41:000,808860,808860,0,0,28945079,0,3622 15,3,2024-09-07 09:57:41:422,1,636,14,0,1126,8232,636,0 16,0,2024-09-07 09:57:40:932,157423,0.6,158064,0.8,315546,0.6,419786,2.00 16,1,2024-09-07 09:57:40:573,1117779,1117779,0,0,524641471253,5480281640,1108309,8023,1447,370,392194,0 16,2,2024-09-07 09:57:41:435,802478,802478,0,0,32432901,0,4719 16,3,2024-09-07 09:57:41:145,1,636,3,0,358,7750,636,0 17,0,2024-09-07 09:57:41:777,160999,0.5,156853,0.7,307388,0.5,417762,2.00 17,1,2024-09-07 09:57:40:568,1115441,1115441,0,0,523430419665,5486497461,1104879,8786,1776,368,392075,0 17,2,2024-09-07 09:57:41:670,805891,805890,1,0,33941259,0,5050 17,3,2024-09-07 09:57:40:574,1,636,17,0,518,9036,636,0 18,0,2024-09-07 09:57:40:948,159833,0.6,160865,0.8,320290,0.6,428478,2.25 18,1,2024-09-07 09:57:41:638,1119993,1119993,0,0,524646214543,5438746617,1112808,5926,1259,367,391725,0 18,2,2024-09-07 09:57:41:767,806442,806442,0,0,30405675,0,3541 18,3,2024-09-07 09:57:40:898,1,636,0,0,1059,5690,636,0 19,0,2024-09-07 09:57:41:541,161230,0.6,161684,0.8,322410,0.7,428079,2.00 19,1,2024-09-07 09:57:40:566,1119897,1119897,0,0,525936860279,5447898978,1111946,6817,1134,365,391777,0 19,2,2024-09-07 09:57:41:752,809825,809825,0,0,28706494,0,3988 19,3,2024-09-07 09:57:41:131,1,636,8,0,524,4837,636,0 20,0,2024-09-07 09:57:41:376,157509,0.5,157343,0.7,314575,0.5,419411,2.00 20,1,2024-09-07 09:57:40:567,1115643,1115643,0,0,523712876388,5485342806,1104754,9378,1511,369,391922,0 20,2,2024-09-07 09:57:40:927,805351,805351,0,0,35834614,0,4321 20,3,2024-09-07 09:57:40:589,1,636,2,0,468,9896,636,0 21,0,2024-09-07 09:57:41:125,157327,0.5,157337,0.6,314685,0.4,417671,2.00 21,1,2024-09-07 09:57:41:543,1113459,1113459,0,0,522234124180,5508962795,1096168,13369,3922,368,392016,0 21,2,2024-09-07 09:57:41:079,796110,795633,477,0,46372739,0,17074 21,3,2024-09-07 09:57:41:414,1,636,1,0,713,8831,636,0 22,0,2024-09-07 09:57:41:724,159567,0.4,160149,0.6,320055,0.4,424845,2.00 22,1,2024-09-07 09:57:41:024,1114102,1114102,0,0,522788096431,5499379876,1095884,14666,3552,381,391822,0 22,2,2024-09-07 09:57:40:760,801289,801263,26,0,34501765,0,6328 22,3,2024-09-07 09:57:41:066,1,636,51,0,228,5414,636,0 23,0,2024-09-07 09:57:41:372,163418,0.5,163287,0.7,326488,0.4,434967,2.00 23,1,2024-09-07 09:57:41:005,1114637,1114637,0,0,523579374671,5512762219,1094577,13350,6710,365,391690,0 23,2,2024-09-07 09:57:41:102,808061,808061,0,0,32649879,0,3773 23,3,2024-09-07 09:57:41:766,1,636,8,0,855,9103,636,0 24,0,2024-09-07 09:57:40:827,159277,0.4,158440,0.5,318451,0.4,423026,1.75 24,1,2024-09-07 09:57:40:582,1115149,1115149,0,0,522268331360,5464099006,1104754,8619,1776,367,392269,0 24,2,2024-09-07 09:57:41:073,806434,806431,3,0,39576752,0,6294 24,3,2024-09-07 09:57:41:686,1,636,20,0,468,8200,636,0 25,0,2024-09-07 09:57:41:360,161587,0.4,157261,0.6,309076,0.4,420760,1.75 25,1,2024-09-07 09:57:40:558,1113260,1113260,0,0,522244775944,5513652461,1094057,15339,3864,369,391928,0 25,2,2024-09-07 09:57:41:619,798859,798859,0,0,39746334,0,3978 25,3,2024-09-07 09:57:41:005,1,636,5,0,532,6998,636,0 26,0,2024-09-07 09:57:41:733,157550,0.4,154028,0.6,323131,0.4,421542,1.75 26,1,2024-09-07 09:57:41:581,1116314,1116314,0,0,524044649160,5481769529,1101740,11977,2597,380,391758,0 26,2,2024-09-07 09:57:40:861,802328,802328,0,0,42434708,0,4689 26,3,2024-09-07 09:57:41:716,1,636,15,0,796,7647,636,0 27,0,2024-09-07 09:57:41:729,163734,0.4,164558,0.6,327183,0.3,436176,2.25 27,1,2024-09-07 09:57:41:677,1118392,1118392,0,0,524773753295,5464562712,1107956,8826,1610,381,391626,0 27,2,2024-09-07 09:57:40:870,803665,803600,65,0,37216427,0,5699 27,3,2024-09-07 09:57:41:016,1,636,12,0,564,5358,636,0 28,0,2024-09-07 09:57:41:391,158982,0.4,159189,0.6,318547,0.3,424331,1.75 28,1,2024-09-07 09:57:40:798,1118469,1118469,0,0,525360252092,5472344274,1109517,7060,1892,382,391904,0 28,2,2024-09-07 09:57:41:766,807166,807166,0,0,32382848,0,2915 28,3,2024-09-07 09:57:41:779,1,636,10,0,502,6290,636,0 29,0,2024-09-07 09:57:41:368,162110,0.4,157907,0.6,309804,0.3,422206,1.75 29,1,2024-09-07 09:57:41:567,1121656,1121656,0,0,526310139038,5440217276,1115197,5681,778,367,391809,0 29,2,2024-09-07 09:57:40:861,802067,802067,0,0,30928767,0,4986 29,3,2024-09-07 09:57:40:967,1,636,4,0,459,6666,636,0 30,0,2024-09-07 09:57:41:458,155916,0.5,151720,0.6,317952,0.4,415891,2.00 30,1,2024-09-07 09:57:40:572,1120061,1120061,0,0,526099202686,5456283589,1112022,7068,971,380,391672,0 30,2,2024-09-07 09:57:41:273,804879,804879,0,0,29880894,0,4192 30,3,2024-09-07 09:57:40:583,1,636,250,0,519,6045,636,0 31,0,2024-09-07 09:57:41:762,162408,0.4,163235,0.6,325502,0.3,434603,1.75 31,1,2024-09-07 09:57:40:563,1124322,1124322,0,0,526888885355,5409037462,1117452,5754,1116,356,391712,0 31,2,2024-09-07 09:57:41:274,804616,804616,0,0,33772381,0,4470 31,3,2024-09-07 09:57:41:714,1,636,114,0,239,5450,636,0 32,0,2024-09-07 09:57:41:413,160851,0.3,161958,0.5,322344,0.3,429067,1.75 32,1,2024-09-07 09:57:40:812,1119875,1119875,0,0,525042053790,5453103946,1113602,5554,719,381,391646,0 32,2,2024-09-07 09:57:40:935,809024,809024,0,0,29492297,0,3922 32,3,2024-09-07 09:57:41:020,1,636,0,0,304,5177,636,0 33,0,2024-09-07 09:57:41:493,158242,0.3,157838,0.4,315774,0.2,420163,1.50 33,1,2024-09-07 09:57:40:577,1120757,1120757,0,0,526176644113,5446683167,1112212,7272,1273,368,391730,0 33,2,2024-09-07 09:57:40:761,805508,805473,35,0,32535508,0,7012 33,3,2024-09-07 09:57:40:894,1,636,0,0,329,5421,636,0 34,0,2024-09-07 09:57:40:929,156582,0.3,160998,0.5,307664,0.2,415002,1.75 34,1,2024-09-07 09:57:41:051,1122537,1122537,0,0,527451379567,5423803746,1119384,3042,111,367,391637,0 34,2,2024-09-07 09:57:40:769,804129,804129,0,0,31009891,0,4562 34,3,2024-09-07 09:57:41:698,1,636,6,0,541,5599,636,0 35,0,2024-09-07 09:57:40:860,159482,0.3,160334,0.5,321183,0.2,427224,1.75 35,1,2024-09-07 09:57:41:067,1118648,1118648,0,0,525567762871,5451990686,1110339,6786,1523,382,391769,0 35,2,2024-09-07 09:57:41:589,803460,803460,0,0,34686055,0,4055 35,3,2024-09-07 09:57:40:906,1,636,22,0,466,5862,636,0 36,0,2024-09-07 09:57:41:517,163537,0.5,163733,0.6,326899,0.4,434924,2.00 36,1,2024-09-07 09:57:40:583,1117811,1117811,0,0,524510538579,5474000538,1104004,11561,2246,366,391759,0 36,2,2024-09-07 09:57:41:755,808076,808076,0,0,35974842,0,3875 36,3,2024-09-07 09:57:40:867,1,636,14,0,556,8415,636,0 37,0,2024-09-07 09:57:41:378,156959,0.5,157123,0.7,314566,0.5,419523,2.25 37,1,2024-09-07 09:57:40:589,1116704,1116697,0,7,524271894173,5473040038,1103488,10289,2920,365,391770,0 37,2,2024-09-07 09:57:41:146,803987,803972,15,0,35794778,0,5815 37,3,2024-09-07 09:57:41:766,1,636,1,0,888,8725,636,0 38,0,2024-09-07 09:57:41:437,156485,0.4,151722,0.6,317285,0.4,415890,2.00 38,1,2024-09-07 09:57:41:607,1116976,1116976,0,0,524390434819,5483048414,1100963,12746,3267,368,391821,0 38,2,2024-09-07 09:57:40:765,801135,801088,47,0,35649375,0,6710 38,3,2024-09-07 09:57:41:000,1,636,0,0,689,7476,636,0 39,0,2024-09-07 09:57:41:795,162634,0.5,158845,0.7,310013,0.5,423087,2.00 39,1,2024-09-07 09:57:40:716,1116237,1116237,0,0,524007883644,5492348221,1097914,14135,4188,365,391865,0 39,2,2024-09-07 09:57:41:425,802517,802517,0,0,34154926,0,3391 39,3,2024-09-07 09:57:40:712,1,636,7,0,525,7110,636,0 40,0,2024-09-07 09:57:41:488,162712,0.8,163595,0.9,326175,0.9,435995,2.75 40,1,2024-09-07 09:57:40:575,1117227,1117227,0,0,523706822172,5481998192,1101346,12800,3081,366,391668,0 40,2,2024-09-07 09:57:41:311,804432,804425,7,0,40166077,0,5347 40,3,2024-09-07 09:57:41:147,1,636,9,0,1028,9024,636,0 41,0,2024-09-07 09:57:41:058,157639,1.2,161304,1.1,307710,1.8,418138,3.00 41,1,2024-09-07 09:57:40:783,1116071,1116071,0,0,523923683396,5476303296,1102088,11820,2163,369,391878,0 41,2,2024-09-07 09:57:40:761,802832,802831,1,0,38725694,0,5408 41,3,2024-09-07 09:57:41:678,1,636,1,0,366,6755,636,0 42,0,2024-09-07 09:57:41:473,156571,0.9,156195,1.0,312736,1.0,414718,2.75 42,1,2024-09-07 09:57:41:441,1113967,1113967,0,0,522699951445,5488358810,1097050,13961,2956,380,391675,0 42,2,2024-09-07 09:57:41:135,799183,799182,1,0,39187794,0,5513 42,3,2024-09-07 09:57:41:009,1,636,1,0,892,6160,636,0 43,0,2024-09-07 09:57:40:920,155797,0.7,151685,0.9,317807,0.7,415607,2.25 43,1,2024-09-07 09:57:40:581,1117131,1117131,0,0,524021065058,5471940982,1102010,12448,2673,366,391696,0 43,2,2024-09-07 09:57:41:738,803321,803321,0,0,37029230,0,4723 43,3,2024-09-07 09:57:41:754,1,636,8,0,571,8947,636,0 44,0,2024-09-07 09:57:40:861,163918,0.4,164123,0.6,327552,0.3,436764,1.75 44,1,2024-09-07 09:57:40:563,1120511,1120511,0,0,523784589694,5410552414,1111135,7434,1942,356,391809,0 44,2,2024-09-07 09:57:41:272,804494,804494,0,0,29979381,0,4344 44,3,2024-09-07 09:57:41:100,1,636,0,0,1097,7767,636,0 45,0,2024-09-07 09:57:41:764,157502,0.5,153967,0.7,323144,0.4,423422,2.00 45,1,2024-09-07 09:57:41:005,1119411,1119411,0,0,525944802950,5461485531,1111141,7367,903,382,391917,0 45,2,2024-09-07 09:57:41:266,807952,807952,0,0,30333420,0,3596 45,3,2024-09-07 09:57:40:934,1,636,5,0,531,5949,636,0 46,0,2024-09-07 09:57:40:949,156678,0.5,156203,0.7,313347,0.5,416422,2.00 46,1,2024-09-07 09:57:40:575,1121698,1121698,0,0,526017737141,5434912953,1114101,6608,989,366,391709,0 46,2,2024-09-07 09:57:40:592,804895,804895,0,0,30762496,0,4443 46,3,2024-09-07 09:57:41:131,1,636,1,0,908,7350,636,0 47,0,2024-09-07 09:57:41:102,156664,0.4,156343,0.6,314170,0.3,416092,1.75 47,1,2024-09-07 09:57:40:574,1122450,1122450,0,0,526013625783,5430095078,1116287,5300,863,364,391641,0 47,2,2024-09-07 09:57:40:907,806546,806546,0,0,29959408,0,4477 47,3,2024-09-07 09:57:41:115,1,636,9,0,600,6802,636,0 48,0,2024-09-07 09:57:41:511,161660,0.3,161960,0.4,323129,0.2,430306,1.50 48,1,2024-09-07 09:57:41:022,1119279,1119279,0,0,524896715823,5447876525,1113015,5684,580,381,391710,0 48,2,2024-09-07 09:57:40:698,804808,804808,0,0,28565738,0,3524 48,3,2024-09-07 09:57:40:753,1,636,1,0,339,5472,636,0 49,0,2024-09-07 09:57:41:712,166534,0.3,163060,0.5,317360,0.3,432522,1.75 49,1,2024-09-07 09:57:41:021,1118848,1118848,0,0,524582791614,5453734910,1111385,5767,1696,382,391809,0 49,2,2024-09-07 09:57:41:797,808436,808436,0,0,30740492,0,4426 49,3,2024-09-07 09:57:41:419,1,636,1,0,992,7516,636,0 50,0,2024-09-07 09:57:41:510,158115,0.3,156613,0.5,315396,0.2,419296,1.75 50,1,2024-09-07 09:57:41:009,1121617,1121617,0,0,527036774967,5445063133,1114958,5989,670,368,391691,0 50,2,2024-09-07 09:57:41:067,804856,804856,0,0,28341596,0,4490 50,3,2024-09-07 09:57:41:293,1,636,0,0,617,6292,636,0 51,0,2024-09-07 09:57:41:696,161474,0.3,157944,0.5,307643,0.2,419463,1.75 51,1,2024-09-07 09:57:41:693,1121772,1121772,0,0,527830547811,5439429852,1115956,4735,1081,365,391706,0 51,2,2024-09-07 09:57:41:316,802590,802590,0,0,28027529,0,3337 51,3,2024-09-07 09:57:41:034,1,636,9,0,678,4519,636,0 52,0,2024-09-07 09:57:41:420,160294,0.5,160048,0.6,320254,0.4,425872,2.00 52,1,2024-09-07 09:57:40:575,1117060,1117060,0,0,524000843837,5480772066,1101771,12953,2336,368,391805,0 52,2,2024-09-07 09:57:41:767,799589,799551,38,0,38391473,0,6742 52,3,2024-09-07 09:57:40:682,1,636,44,0,1782,7386,636,0 53,0,2024-09-07 09:57:41:760,163225,0.6,158435,0.8,331488,0.7,434007,2.25 53,1,2024-09-07 09:57:40:774,1114924,1114924,0,0,523756961569,5492277089,1096378,14140,4406,367,391968,0 53,2,2024-09-07 09:57:41:303,808350,808349,1,0,34036957,0,5455 53,3,2024-09-07 09:57:40:697,1,636,1,0,308,5737,636,0 54,0,2024-09-07 09:57:41:647,156630,0.6,156815,0.8,312530,0.5,417521,2.25 54,1,2024-09-07 09:57:40:582,1118773,1118773,0,0,524929077972,5456628314,1107529,9572,1672,366,391810,0 54,2,2024-09-07 09:57:40:866,807123,807091,32,0,38179793,0,6397 54,3,2024-09-07 09:57:40:773,1,636,6,0,676,7998,636,0 55,0,2024-09-07 09:57:41:783,151813,0.6,156587,0.8,317332,0.5,413403,2.50 55,1,2024-09-07 09:57:40:770,1119016,1119016,0,0,524484360735,5452917917,1107665,9922,1429,365,391731,0 55,2,2024-09-07 09:57:40:728,799925,799869,56,0,35111944,0,7239 55,3,2024-09-07 09:57:40:677,1,636,29,0,304,5833,636,0 56,0,2024-09-07 09:57:41:556,161224,1.1,151872,1.1,313158,1.4,419389,2.75 56,1,2024-09-07 09:57:40:576,1112120,1112120,0,0,522448891678,5520920781,1093927,14480,3713,381,391867,0 56,2,2024-09-07 09:57:41:311,801387,801265,122,0,37401325,0,7432 56,3,2024-09-07 09:57:41:069,1,636,9,0,705,7300,636,0 57,0,2024-09-07 09:57:40:949,162153,1.2,161902,1.1,324133,1.6,432752,3.00 57,1,2024-09-07 09:57:40:995,1114860,1114860,0,0,523010084450,5484950413,1100328,12038,2494,366,392032,0 57,2,2024-09-07 09:57:41:320,805853,805853,0,0,39896255,0,4804 57,3,2024-09-07 09:57:41:742,1,636,1,0,455,7172,636,0 58,0,2024-09-07 09:57:40:554,156535,1.0,151981,1.0,318138,1.2,416599,2.75 58,1,2024-09-07 09:57:40:575,1115762,1115759,0,3,523819334476,5486581111,1099431,12420,3908,367,391726,3 58,2,2024-09-07 09:57:41:071,807105,807105,0,0,36740844,0,3483 58,3,2024-09-07 09:57:41:070,1,636,5,0,1043,7020,636,0 59,0,2024-09-07 09:57:41:740,156233,0.9,155668,1.0,311215,0.9,413150,3.00 59,1,2024-09-07 09:57:40:804,1115445,1115445,0,0,523791300373,5502314109,1096900,14711,3834,369,391653,0 59,2,2024-09-07 09:57:40:583,801832,801832,0,0,34584261,0,3727 59,3,2024-09-07 09:57:41:742,1,636,28,0,1015,7684,636,0 60,0,2024-09-07 09:57:41:742,156742,0.5,156713,0.7,313760,0.4,418152,1.75 60,1,2024-09-07 09:57:40:776,1119595,1119595,0,0,525585332471,5460617331,1111296,7190,1109,370,392031,0 60,2,2024-09-07 09:57:41:144,804259,804259,0,0,32929510,0,3811 60,3,2024-09-07 09:57:41:257,1,636,1,0,409,7137,636,0 61,0,2024-09-07 09:57:41:543,162480,0.6,163140,0.7,324661,0.5,433779,2.00 61,1,2024-09-07 09:57:40:770,1117208,1117208,0,0,523791400979,5479681369,1105788,9730,1690,382,392127,0 61,2,2024-09-07 09:57:41:114,805346,805279,67,0,33439112,0,6411 61,3,2024-09-07 09:57:41:688,1,636,3,0,607,7979,636,0 62,0,2024-09-07 09:57:41:720,161369,0.6,165553,0.7,316061,0.6,428990,2.00 62,1,2024-09-07 09:57:41:111,1123845,1123839,0,6,527379690450,5434103842,1118735,4804,300,365,391975,6 62,2,2024-09-07 09:57:41:643,805317,805316,1,0,33352288,0,5555 62,3,2024-09-07 09:57:41:144,1,636,1,0,482,5037,636,0 63,0,2024-09-07 09:57:41:450,158261,0.4,157921,0.6,316472,0.3,420438,1.75 63,1,2024-09-07 09:57:40:807,1119987,1119981,0,6,525296828194,5451172453,1113079,6045,857,381,391800,6 63,2,2024-09-07 09:57:40:761,804901,804901,0,0,31644366,0,4369 63,3,2024-09-07 09:57:41:735,1,636,8,0,667,6359,636,0 64,0,2024-09-07 09:57:41:536,155645,0.5,155530,0.6,310754,0.4,413608,2.00 64,1,2024-09-07 09:57:40:758,1118142,1118142,0,0,525416220650,5467955422,1108771,7392,1979,370,391794,0 64,2,2024-09-07 09:57:41:144,806909,806890,19,0,30879347,0,6121 64,3,2024-09-07 09:57:41:144,1,636,11,0,651,7105,636,0 65,0,2024-09-07 09:57:41:683,159104,0.6,159325,0.7,318550,0.6,424760,2.00 65,1,2024-09-07 09:57:40:861,1116965,1116965,0,0,523294412183,5466227879,1109390,6700,875,381,391901,0 65,2,2024-09-07 09:57:41:703,802357,802357,0,0,37244666,0,3367 65,3,2024-09-07 09:57:41:689,1,636,1,0,782,7780,636,0 66,0,2024-09-07 09:57:41:821,162709,0.5,162282,0.7,324705,0.5,431664,2.00 66,1,2024-09-07 09:57:41:294,1118842,1118842,0,0,525234412326,5461263919,1112331,5786,725,380,391743,0 66,2,2024-09-07 09:57:41:131,810278,810275,3,0,32963308,0,5455 66,3,2024-09-07 09:57:41:079,1,636,1,0,291,5549,636,0 67,0,2024-09-07 09:57:41:443,157754,0.5,157255,0.7,315519,0.5,420129,2.00 67,1,2024-09-07 09:57:40:822,1119266,1119265,0,1,525344991173,5465471156,1111857,6463,945,380,391787,1 67,2,2024-09-07 09:57:40:582,809680,809665,15,0,32725207,0,6205 67,3,2024-09-07 09:57:41:754,1,636,7,0,595,6419,636,0 68,0,2024-09-07 09:57:40:576,157302,0.6,157002,0.7,313016,0.5,418988,2.25 68,1,2024-09-07 09:57:40:575,1114717,1114717,0,0,522652532540,5494229876,1099976,10962,3779,381,391953,0 68,2,2024-09-07 09:57:41:051,797602,797502,100,0,40960690,0,8578 68,3,2024-09-07 09:57:40:728,1,636,33,0,417,7906,636,0 69,0,2024-09-07 09:57:41:755,158433,0.7,159204,0.8,317347,0.7,421886,2.25 69,1,2024-09-07 09:57:41:022,1113774,1113774,0,0,522400060290,5502360045,1099426,11372,2976,383,391994,0 69,2,2024-09-07 09:57:41:754,799660,799631,29,0,44159511,0,6912 69,3,2024-09-07 09:57:40:759,1,636,0,0,698,8846,636,0 70,0,2024-09-07 09:57:41:539,162455,0.7,163271,0.9,327155,0.7,434345,2.50 70,1,2024-09-07 09:57:40:801,1120127,1120127,0,0,526139272695,5457136691,1111874,7439,814,366,391725,0 70,2,2024-09-07 09:57:41:323,807225,807225,0,0,36403105,0,4323 70,3,2024-09-07 09:57:40:748,1,636,0,0,854,6875,636,0 71,0,2024-09-07 09:57:41:355,157387,0.9,157017,1.0,315204,1.1,420492,2.50 71,1,2024-09-07 09:57:41:596,1117768,1117768,0,0,524952561369,5476168547,1104328,11814,1626,367,391738,0 71,2,2024-09-07 09:57:41:066,805916,805916,0,0,36188057,0,4352 71,3,2024-09-07 09:57:41:752,1,636,9,0,644,6934,636,0 72,0,2024-09-07 09:57:41:027,162614,0.5,158816,0.7,310231,0.5,421748,2.00 72,1,2024-09-07 09:57:41:043,1116043,1116043,0,0,523279792106,5486256482,1100067,13291,2685,369,391819,0 72,2,2024-09-07 09:57:41:754,799324,799324,0,0,37643566,0,3983 72,3,2024-09-07 09:57:41:754,1,636,7,0,564,9034,636,0 73,0,2024-09-07 09:57:41:153,153276,0.4,157269,0.6,321265,0.4,418290,2.00 73,1,2024-09-07 09:57:40:768,1117885,1117885,0,0,524789368539,5451618046,1109453,7449,983,367,391858,0 73,2,2024-09-07 09:57:41:744,802485,802484,1,0,39717494,0,5027 73,3,2024-09-07 09:57:40:971,1,636,6,0,1091,8606,636,0 74,0,2024-09-07 09:57:41:323,164778,0.4,168794,0.6,322070,0.4,437177,2.00 74,1,2024-09-07 09:57:40:639,1116678,1116678,0,0,523993555070,5467296536,1104601,9940,2137,381,391762,0 74,2,2024-09-07 09:57:41:006,804650,804650,0,0,34678606,0,4253 74,3,2024-09-07 09:57:41:442,1,636,1,0,522,7543,636,0 75,0,2024-09-07 09:57:41:773,159587,0.5,158665,0.7,318299,0.5,424888,2.25 75,1,2024-09-07 09:57:41:589,1117404,1117404,0,0,525010917588,5467834107,1108498,8019,887,380,391739,0 75,2,2024-09-07 09:57:41:356,806008,806008,0,0,41640111,0,4766 75,3,2024-09-07 09:57:41:070,1,636,16,0,918,8449,636,0 76,0,2024-09-07 09:57:40:613,157098,0.6,156443,0.7,312845,0.5,418174,2.25 76,1,2024-09-07 09:57:40:806,1117575,1117575,0,0,523994228678,5460167443,1110232,6350,993,382,391790,0 76,2,2024-09-07 09:57:41:066,805442,805439,3,0,33596956,0,5265 76,3,2024-09-07 09:57:41:144,1,636,2,0,227,5778,636,0 77,0,2024-09-07 09:57:41:731,155819,0.5,156271,0.7,312521,0.5,415344,2.00 77,1,2024-09-07 09:57:40:824,1118014,1118014,0,0,525003141429,5472690000,1109999,7135,880,381,391869,0 77,2,2024-09-07 09:57:41:288,802663,802663,0,0,33026645,0,3890 77,3,2024-09-07 09:57:41:108,1,636,51,0,401,7095,636,0 78,0,2024-09-07 09:57:41:726,162071,0.4,161318,0.6,323517,0.3,428910,2.00 78,1,2024-09-07 09:57:40:610,1117636,1117636,0,0,523302870997,5455973286,1104340,10364,2932,367,391670,0 78,2,2024-09-07 09:57:41:404,805359,805346,13,0,30889656,0,8313 78,3,2024-09-07 09:57:41:134,1,636,4,0,311,5194,636,0 79,0,2024-09-07 09:57:41:350,156420,0.4,160181,0.6,328409,0.3,427097,2.00 79,1,2024-09-07 09:57:40:571,1122119,1122119,0,0,526056663181,5441207583,1114258,6501,1360,367,391682,0 79,2,2024-09-07 09:57:41:071,807973,807973,0,0,30023625,0,4195 79,3,2024-09-07 09:57:40:749,1,636,14,0,418,7276,636,0 80,0,2024-09-07 09:57:41:097,157525,0.5,161828,0.7,309154,0.5,418301,2.00 80,1,2024-09-07 09:57:41:619,1117534,1117534,0,0,524865886022,5461379659,1110352,6725,457,368,392269,0 80,2,2024-09-07 09:57:41:091,808265,808265,0,0,30460090,0,4433 80,3,2024-09-07 09:57:40:580,1,636,1,0,681,7760,636,0 81,0,2024-09-07 09:57:41:575,157190,0.5,160835,0.7,307190,0.5,416623,2.00 81,1,2024-09-07 09:57:41:678,1116954,1116954,0,0,523316653340,5465741490,1108538,7636,780,382,391885,0 81,2,2024-09-07 09:57:41:128,801859,801796,63,0,33662273,0,5932 81,3,2024-09-07 09:57:41:118,1,636,0,0,719,7126,636,0 82,0,2024-09-07 09:57:41:560,159683,0.4,159802,0.6,320300,0.4,425003,2.00 82,1,2024-09-07 09:57:40:583,1118611,1118607,0,4,525135782442,5455831909,1113000,4782,825,381,391768,4 82,2,2024-09-07 09:57:41:693,805074,805074,0,0,28816384,0,4484 82,3,2024-09-07 09:57:41:766,1,636,0,0,363,5808,636,0 83,0,2024-09-07 09:57:41:538,163849,0.6,163682,0.7,327053,0.6,434415,2.00 83,1,2024-09-07 09:57:40:553,1117794,1117794,0,0,523905998164,5458260530,1110158,6991,645,382,391709,0 83,2,2024-09-07 09:57:40:770,808449,808424,25,0,31041208,0,5612 83,3,2024-09-07 09:57:40:750,1,636,8,0,1260,7355,636,0 84,0,2024-09-07 09:57:41:784,156895,0.8,156972,0.9,313762,0.7,419226,2.25 84,1,2024-09-07 09:57:41:039,1115615,1115615,0,0,523819754398,5477639479,1102730,11003,1882,367,391967,0 84,2,2024-09-07 09:57:40:571,805340,804930,410,0,44803196,0,17037 84,3,2024-09-07 09:57:41:160,1,636,9,0,908,8623,636,0 85,0,2024-09-07 09:57:41:010,151019,0.6,151022,0.8,320592,0.6,413794,2.25 85,1,2024-09-07 09:57:40:559,1112553,1112553,0,0,522434614524,5511681860,1096008,13742,2803,381,392092,0 85,2,2024-09-07 09:57:40:867,800274,800274,0,0,37755887,0,4255 85,3,2024-09-07 09:57:40:686,1,636,2,0,789,7168,636,0 86,0,2024-09-07 09:57:40:875,157890,0.7,162339,0.8,310402,0.7,419892,2.25 86,1,2024-09-07 09:57:40:825,1115742,1115742,0,0,523869180137,5492140065,1101134,11813,2795,366,392169,0 86,2,2024-09-07 09:57:40:854,801784,801783,1,0,40585650,0,5004 86,3,2024-09-07 09:57:40:588,1,636,1,0,308,8748,636,0 87,0,2024-09-07 09:57:41:288,163969,0.7,163136,0.8,326641,0.8,436402,2.25 87,1,2024-09-07 09:57:40:554,1115008,1115008,0,0,523001028282,5476394128,1101328,11879,1801,366,392076,0 87,2,2024-09-07 09:57:41:067,805695,805689,6,0,37526277,0,6323 87,3,2024-09-07 09:57:41:795,1,636,9,0,473,8880,636,0 88,0,2024-09-07 09:57:41:471,158868,0.4,159400,0.6,318473,0.4,424167,1.75 88,1,2024-09-07 09:57:40:573,1114571,1114571,0,0,522884067340,5477763080,1098961,12561,3049,365,392084,0 88,2,2024-09-07 09:57:40:690,806079,806079,0,0,39455546,0,4465 88,3,2024-09-07 09:57:41:266,1,636,172,0,1080,9811,636,0 89,0,2024-09-07 09:57:41:822,162065,0.4,157213,0.6,310149,0.4,421739,1.75 89,1,2024-09-07 09:57:40:560,1113820,1113820,0,0,523335690006,5499881989,1100627,11234,1959,382,391866,0 89,2,2024-09-07 09:57:41:137,801453,801453,0,0,36972760,0,3173 89,3,2024-09-07 09:57:41:794,1,636,20,0,468,10248,636,0 90,0,2024-09-07 09:57:41:746,152023,0.4,156184,0.6,318634,0.4,416010,2.00 90,1,2024-09-07 09:57:40:593,1115739,1115739,0,0,523105985815,5477407741,1104959,9776,1004,380,391825,0 90,2,2024-09-07 09:57:41:414,799752,799747,5,0,40597573,0,6370 90,3,2024-09-07 09:57:40:931,1,636,90,0,322,7729,636,0 91,0,2024-09-07 09:57:40:926,163029,0.4,158168,0.6,331035,0.4,434290,1.75 91,1,2024-09-07 09:57:40:556,1113109,1113109,0,0,522661039945,5507574906,1096347,13190,3572,381,392047,0 91,2,2024-09-07 09:57:41:336,804502,804502,0,0,35963175,0,4713 91,3,2024-09-07 09:57:40:600,1,636,8,0,216,5679,636,0 92,0,2024-09-07 09:57:41:465,162522,0.4,166173,0.6,316702,0.4,429247,1.75 92,1,2024-09-07 09:57:40:582,1116938,1116938,0,0,524902458048,5478827862,1108521,7128,1289,381,392136,0 92,2,2024-09-07 09:57:41:355,807398,807398,0,0,31551911,0,3259 92,3,2024-09-07 09:57:41:010,1,636,5,0,167,5350,636,0 93,0,2024-09-07 09:57:40:957,158723,0.4,162576,0.6,310589,0.3,420430,1.75 93,1,2024-09-07 09:57:40:864,1117980,1117980,0,0,523874354202,5465528021,1105824,10203,1953,365,392048,0 93,2,2024-09-07 09:57:40:929,804383,804383,0,0,37182797,0,4913 93,3,2024-09-07 09:57:41:412,1,636,0,0,375,6634,636,0 94,0,2024-09-07 09:57:41:663,155662,0.4,156887,0.5,313513,0.3,415904,1.75 94,1,2024-09-07 09:57:40:574,1118172,1118172,0,0,524733930926,5468063374,1111195,6541,436,381,391850,0 94,2,2024-09-07 09:57:40:761,802999,802971,28,0,32100872,0,6179 94,3,2024-09-07 09:57:41:695,1,636,5,0,576,7746,636,0 95,0,2024-09-07 09:57:41:360,160341,0.3,160231,0.5,320782,0.3,427347,1.75 95,1,2024-09-07 09:57:40:852,1118775,1118775,0,0,524967229655,5451995501,1110306,7717,752,365,391852,0 95,2,2024-09-07 09:57:41:020,802465,802465,0,0,31919367,0,3308 95,3,2024-09-07 09:57:41:718,1,636,18,0,718,9826,636,0 96,0,2024-09-07 09:57:41:023,163226,0.3,163437,0.5,327277,0.3,434409,1.75 96,1,2024-09-07 09:57:41:589,1116860,1116860,0,0,523848538182,5462112525,1109199,6377,1284,384,391964,0 96,2,2024-09-07 09:57:41:279,809011,809011,0,0,32977603,0,4180 96,3,2024-09-07 09:57:41:145,1,636,3,0,411,7118,636,0 97,0,2024-09-07 09:57:41:344,157744,0.3,157764,0.5,316134,0.3,419937,1.50 97,1,2024-09-07 09:57:40:786,1119079,1119079,0,0,525752535463,5452410736,1112123,5913,1043,367,392140,0 97,2,2024-09-07 09:57:40:610,807916,807916,0,0,32427474,0,4046 97,3,2024-09-07 09:57:40:569,1,636,1,0,242,6989,636,0 98,0,2024-09-07 09:57:41:698,156917,0.3,156926,0.4,315315,0.2,419365,1.50 98,1,2024-09-07 09:57:40:571,1118192,1118192,0,0,524970041366,5465553246,1111661,5691,840,381,391997,0 98,2,2024-09-07 09:57:40:770,801177,801177,0,0,31029237,0,4336 98,3,2024-09-07 09:57:40:699,1,636,2,0,840,9305,636,0 99,0,2024-09-07 09:57:41:487,159051,0.3,159921,0.5,318351,0.3,424736,1.75 99,1,2024-09-07 09:57:41:746,1118783,1118783,0,0,524556988561,5456212070,1112123,5694,966,380,392069,0 99,2,2024-09-07 09:57:41:442,802420,802420,0,0,39014478,0,4276 99,3,2024-09-07 09:57:40:581,1,636,7,0,1124,8034,636,0 100,0,2024-09-07 09:57:41:515,163893,0.7,164421,0.9,328429,0.8,438849,2.50 100,1,2024-09-07 09:57:40:548,1111634,1111634,0,0,522381699993,5521592452,1094456,13687,3491,378,391989,0 100,2,2024-09-07 09:57:41:817,803210,802821,389,0,42019351,0,16909 100,3,2024-09-07 09:57:41:731,1,636,27,0,627,10390,636,0 101,0,2024-09-07 09:57:41:708,161911,1.0,158057,1.0,309149,1.0,422237,2.25 101,1,2024-09-07 09:57:40:550,1114320,1114320,0,0,523082246950,5494626232,1099584,12062,2674,368,391847,0 101,2,2024-09-07 09:57:41:767,802079,802079,0,0,42185000,0,4871 101,3,2024-09-07 09:57:40:942,1,636,0,0,1250,9378,636,0 102,0,2024-09-07 09:57:40:944,153176,0.6,157819,0.8,320616,0.6,419161,2.25 102,1,2024-09-07 09:57:41:145,1114016,1114016,0,0,523150720096,5492956084,1099310,12497,2209,369,391984,0 102,2,2024-09-07 09:57:41:737,801669,801615,54,0,35693580,0,6768 102,3,2024-09-07 09:57:41:614,1,636,1,0,466,7077,636,0 103,0,2024-09-07 09:57:41:601,162505,0.5,162511,0.7,306169,0.5,421583,2.00 103,1,2024-09-07 09:57:41:633,1113218,1113218,0,0,522220481135,5511021549,1096064,13549,3605,381,392077,0 103,2,2024-09-07 09:57:40:582,801013,801013,0,0,38825633,0,3766 103,3,2024-09-07 09:57:40:765,1,636,12,0,916,7330,636,0 104,0,2024-09-07 09:57:41:009,162728,0.7,162997,0.9,325203,0.6,434824,2.25 104,1,2024-09-07 09:57:41:603,1115349,1115349,0,0,523029927187,5491520123,1099444,13039,2866,365,392168,0 104,2,2024-09-07 09:57:41:674,802867,802867,0,0,38405637,0,4161 104,3,2024-09-07 09:57:41:426,1,636,2,0,1245,10983,636,0 105,0,2024-09-07 09:57:41:028,157455,0.9,153533,1.0,321961,1.0,422738,2.50 105,1,2024-09-07 09:57:40:554,1116841,1116841,0,0,524167430887,5486915098,1103165,11729,1947,364,392009,0 105,2,2024-09-07 09:57:41:323,805807,805807,0,0,38832045,0,4360 105,3,2024-09-07 09:57:41:305,1,636,0,0,573,9552,636,0 106,0,2024-09-07 09:57:40:948,152133,0.9,155823,1.0,319198,1.1,416524,2.50 106,1,2024-09-07 09:57:41:754,1115313,1115313,0,0,522729450336,5482655952,1100137,13243,1933,368,391914,0 106,2,2024-09-07 09:57:40:760,801977,801977,0,0,35981051,0,3331 106,3,2024-09-07 09:57:40:677,1,636,1,0,1224,8568,636,0 107,0,2024-09-07 09:57:41:122,155956,0.9,155998,0.9,312097,1.0,415992,2.25 107,1,2024-09-07 09:57:40:587,1113485,1113485,0,0,522329585129,5515664990,1095972,15377,2136,381,392234,0 107,2,2024-09-07 09:57:41:294,799585,799584,1,0,39455953,0,5024 107,3,2024-09-07 09:57:41:766,1,636,16,0,733,9547,636,0 108,0,2024-09-07 09:57:41:786,161001,0.4,161664,0.6,322292,0.4,430241,1.75 108,1,2024-09-07 09:57:41:303,1117125,1117125,0,0,524464567586,5466100190,1108340,7787,998,367,391894,0 108,2,2024-09-07 09:57:41:772,804515,804515,0,0,34148684,0,4246 108,3,2024-09-07 09:57:41:334,1,636,4,0,749,11034,636,0 109,0,2024-09-07 09:57:41:762,162851,0.4,161424,0.6,323776,0.3,431709,1.75 109,1,2024-09-07 09:57:40:582,1114688,1114688,0,0,523125311709,5483620938,1105173,8235,1280,382,392132,0 109,2,2024-09-07 09:57:40:924,805496,805496,0,0,34884998,0,3617 109,3,2024-09-07 09:57:41:149,1,636,7,0,630,7483,636,0 110,0,2024-09-07 09:57:41:778,157341,0.4,153030,0.6,320536,0.4,420158,1.75 110,1,2024-09-07 09:57:41:644,1119226,1119226,0,0,525373341392,5450148783,1111134,6163,1929,368,392045,0 110,2,2024-09-07 09:57:41:305,806744,806744,0,0,32434014,0,4067 110,3,2024-09-07 09:57:40:693,1,636,15,0,722,8374,636,0 111,0,2024-09-07 09:57:41:418,157973,0.4,157173,0.5,314073,0.3,419057,1.75 111,1,2024-09-07 09:57:41:001,1120420,1120420,0,0,526063122956,5453426047,1114739,5300,381,380,391690,0 111,2,2024-09-07 09:57:41:114,802411,802411,0,0,32846081,0,4823 111,3,2024-09-07 09:57:40:915,1,636,16,0,379,6758,636,0 112,0,2024-09-07 09:57:40:924,160421,0.3,160041,0.4,320701,0.2,426223,1.50 112,1,2024-09-07 09:57:40:824,1119046,1119046,0,0,524909239496,5447907183,1111832,5989,1225,380,391624,0 112,2,2024-09-07 09:57:41:136,803025,803024,1,0,31341678,0,5036 112,3,2024-09-07 09:57:40:594,1,636,1,0,282,5933,636,0 113,0,2024-09-07 09:57:40:882,163866,0.3,163891,0.5,328288,0.2,436631,1.75 113,1,2024-09-07 09:57:41:699,1121399,1121399,0,0,527012837000,5434614131,1115143,5308,948,365,391664,0 113,2,2024-09-07 09:57:41:303,810408,810408,0,0,29700015,0,3813 113,3,2024-09-07 09:57:40:686,1,636,24,0,510,6681,636,0 114,0,2024-09-07 09:57:40:877,158526,0.3,159471,0.5,318154,0.2,424691,1.75 114,1,2024-09-07 09:57:40:716,1119258,1119258,0,0,525283293526,5451690019,1111153,6358,1747,381,391565,0 114,2,2024-09-07 09:57:40:872,809306,809305,1,0,30628478,0,5069 114,3,2024-09-07 09:57:41:279,1,636,2,0,415,5375,636,0 115,0,2024-09-07 09:57:40:554,157921,0.3,158470,0.4,315562,0.2,419933,1.50 115,1,2024-09-07 09:57:40:571,1119636,1119636,0,0,525363641567,5454470993,1111239,6879,1518,382,391757,0 115,2,2024-09-07 09:57:41:126,803200,803200,0,0,30813701,0,4382 115,3,2024-09-07 09:57:41:005,1,636,9,0,167,3847,636,0 116,0,2024-09-07 09:57:41:733,157682,0.7,157557,0.8,315947,0.7,422282,2.25 116,1,2024-09-07 09:57:40:803,1113000,1113000,0,0,523313305031,5511659366,1100010,9897,3093,380,392089,0 116,2,2024-09-07 09:57:41:753,802776,802776,0,0,38635124,0,4475 116,3,2024-09-07 09:57:40:915,1,636,0,0,448,8074,636,0 117,0,2024-09-07 09:57:40:949,164093,0.6,163489,0.8,327433,0.6,437673,2.00 117,1,2024-09-07 09:57:41:587,1115234,1115234,0,0,522564447003,5470263061,1103023,10631,1580,369,392429,0 117,2,2024-09-07 09:57:41:126,808044,808044,0,0,35031776,0,4303 117,3,2024-09-07 09:57:41:066,1,636,2,0,490,7890,636,0 118,0,2024-09-07 09:57:41:776,154083,0.5,158232,0.7,322945,0.5,422538,2.00 118,1,2024-09-07 09:57:40:584,1114413,1114413,0,0,522014261918,5484703797,1098374,12413,3626,366,392054,0 118,2,2024-09-07 09:57:41:585,805646,805646,0,0,37435420,0,2842 118,3,2024-09-07 09:57:41:768,1,636,2,0,289,7417,636,0 119,0,2024-09-07 09:57:41:345,157307,0.7,157948,0.8,315174,0.8,420102,2.25 119,1,2024-09-07 09:57:40:549,1115471,1115471,0,0,523042143653,5482044005,1100721,12237,2513,367,391857,0 119,2,2024-09-07 09:57:41:263,802753,802753,0,0,34792619,0,4309 119,3,2024-09-07 09:57:41:331,1,636,18,0,1358,11551,636,0 120,0,2024-09-07 09:57:41:584,155923,0.6,155734,0.8,312826,0.6,417217,2.25 120,1,2024-09-07 09:57:40:860,1116260,1116260,0,0,523322643901,5485122762,1104339,10776,1145,367,392144,0 120,2,2024-09-07 09:57:40:769,802287,802284,3,0,41594324,0,5363 120,3,2024-09-07 09:57:41:291,1,636,1,0,478,8020,636,0 121,0,2024-09-07 09:57:41:689,162800,1.0,162363,1.0,324810,1.2,433169,2.25 121,1,2024-09-07 09:57:41:664,1115082,1115082,0,0,523209482560,5471500608,1103663,9855,1564,366,391840,0 121,2,2024-09-07 09:57:41:136,804114,804114,0,0,38143941,0,4157 121,3,2024-09-07 09:57:40:727,1,636,125,0,387,8317,636,0 122,0,2024-09-07 09:57:41:864,160376,0.8,155910,0.9,326463,0.8,429024,2.00 122,1,2024-09-07 09:57:40:860,1114895,1114895,0,0,523056288651,5486110631,1099846,12631,2418,365,392130,0 122,2,2024-09-07 09:57:41:334,807093,807018,75,0,41730484,0,5989 122,3,2024-09-07 09:57:40:596,1,636,6,0,512,9971,636,0 123,0,2024-09-07 09:57:41:024,157080,0.8,152863,0.9,320423,0.9,418990,2.25 123,1,2024-09-07 09:57:40:562,1115177,1115177,0,0,523430294188,5500385415,1097911,14549,2717,369,392039,0 123,2,2024-09-07 09:57:41:020,802747,802746,1,0,35599364,0,5215 123,3,2024-09-07 09:57:41:133,1,636,10,0,478,7152,636,0 124,0,2024-09-07 09:57:40:915,160605,0.3,160691,0.5,302858,0.3,416455,1.75 124,1,2024-09-07 09:57:41:022,1119174,1119174,0,0,524492199821,5449886024,1111075,6908,1191,365,392178,0 124,2,2024-09-07 09:57:41:008,804780,804727,53,0,32224203,0,6487 124,3,2024-09-07 09:57:40:760,1,636,1,0,490,6113,636,0 125,0,2024-09-07 09:57:41:511,159969,0.4,159841,0.5,320728,0.3,427348,1.75 125,1,2024-09-07 09:57:40:854,1116194,1116194,0,0,523958037191,5466152241,1107911,7128,1155,382,392045,0 125,2,2024-09-07 09:57:41:117,805632,805632,0,0,32412019,0,4534 125,3,2024-09-07 09:57:41:125,1,636,7,0,709,7244,636,0 126,0,2024-09-07 09:57:41:514,163447,0.4,168071,0.5,321384,0.3,435090,1.75 126,1,2024-09-07 09:57:40:551,1120512,1120512,0,0,525594381689,5437677643,1114905,5158,449,365,391987,0 126,2,2024-09-07 09:57:40:610,809398,809398,0,0,33581397,0,4539 126,3,2024-09-07 09:57:40:906,1,636,4,0,268,6831,636,0 127,0,2024-09-07 09:57:41:629,158181,0.3,158303,0.5,315931,0.3,420209,1.75 127,1,2024-09-07 09:57:40:569,1118217,1118217,0,0,524565449804,5453917313,1107514,9063,1640,364,392187,0 127,2,2024-09-07 09:57:40:636,807142,807138,4,0,31933101,0,5305 127,3,2024-09-07 09:57:41:273,1,636,11,0,968,6137,636,0 128,0,2024-09-07 09:57:41:522,157874,0.3,158000,0.4,315723,0.2,420051,1.50 128,1,2024-09-07 09:57:41:607,1117722,1117722,0,0,524476029563,5453642355,1109036,7721,965,367,392423,0 128,2,2024-09-07 09:57:41:391,803521,803521,0,0,29448150,0,3171 128,3,2024-09-07 09:57:40:781,1,636,2,0,1082,9761,636,0 129,0,2024-09-07 09:57:40:995,160352,0.3,159534,0.5,319841,0.3,425270,1.50 129,1,2024-09-07 09:57:40:567,1114468,1114468,0,0,523258982294,5481711122,1103577,8869,2022,379,391962,0 129,2,2024-09-07 09:57:40:687,804646,804642,4,0,32156024,0,5335 129,3,2024-09-07 09:57:40:690,1,636,1,0,506,8467,636,0 130,0,2024-09-07 09:57:41:744,165159,0.4,164873,0.6,330201,0.4,440326,1.75 130,1,2024-09-07 09:57:40:582,1119507,1119507,0,0,525076789302,5450615499,1114040,5064,403,381,391825,0 130,2,2024-09-07 09:57:41:133,807657,807657,0,0,32471851,0,4067 130,3,2024-09-07 09:57:41:330,1,636,7,0,960,8435,636,0 131,0,2024-09-07 09:57:41:939,158579,0.3,158992,0.5,318963,0.3,423798,1.75 131,1,2024-09-07 09:57:41:833,1118435,1118435,0,0,524517586711,5464105265,1111095,6142,1198,381,391865,0 131,2,2024-09-07 09:57:40:567,807525,807525,0,0,30147741,0,3979 131,3,2024-09-07 09:57:41:697,1,636,17,0,392,7967,636,0 132,0,2024-09-07 09:57:41:522,157852,0.5,158822,0.6,316182,0.4,420360,2.00 132,1,2024-09-07 09:57:40:579,1114098,1114098,0,0,522731784728,5499890836,1098292,13030,2776,381,392532,0 132,2,2024-09-07 09:57:40:698,800814,800797,17,0,39147452,0,6451 132,3,2024-09-07 09:57:41:695,1,636,2,0,1298,11261,636,0 133,0,2024-09-07 09:57:41:520,153447,0.4,156999,0.6,321843,0.4,419070,2.00 133,1,2024-09-07 09:57:40:582,1113893,1113893,0,0,522574112654,5504079753,1099016,12947,1930,383,391914,0 133,2,2024-09-07 09:57:41:094,803958,803908,50,0,40034158,0,6861 133,3,2024-09-07 09:57:41:301,1,636,1,0,528,7653,636,0 134,0,2024-09-07 09:57:40:939,164105,0.5,164259,0.7,328402,0.4,437394,2.00 134,1,2024-09-07 09:57:40:586,1115006,1115006,0,0,523411542668,5491299318,1099986,11846,3174,366,391781,0 134,2,2024-09-07 09:57:41:770,805085,805061,24,0,37102172,0,6207 134,3,2024-09-07 09:57:40:761,1,636,11,0,739,7871,636,0 135,0,2024-09-07 09:57:41:110,154353,0.7,154344,0.8,327714,0.8,422058,2.25 135,1,2024-09-07 09:57:41:591,1114660,1114660,0,0,524010390473,5508120181,1100706,12107,1847,380,391974,0 135,2,2024-09-07 09:57:40:686,807823,807823,0,0,38118507,0,4503 135,3,2024-09-07 09:57:41:005,1,636,8,0,900,6072,636,0 136,0,2024-09-07 09:57:41:619,157961,0.6,158408,0.8,314597,0.6,419697,2.00 136,1,2024-09-07 09:57:41:443,1115879,1115879,0,0,524116939087,5489142053,1103889,10600,1390,381,392135,0 136,2,2024-09-07 09:57:41:134,804650,804635,15,0,37134554,0,6007 136,3,2024-09-07 09:57:41:107,1,636,1,0,637,7120,636,0 137,0,2024-09-07 09:57:40:932,161179,0.6,157211,0.7,307663,0.6,417827,2.00 137,1,2024-09-07 09:57:40:579,1115004,1115004,0,0,523578215506,5487965357,1098170,13444,3390,366,391898,0 137,2,2024-09-07 09:57:41:704,801675,801675,0,0,38479390,0,3185 137,3,2024-09-07 09:57:40:770,1,636,10,0,484,8455,636,0 138,0,2024-09-07 09:57:41:773,160501,0.7,160884,0.8,322346,0.8,428768,2.00 138,1,2024-09-07 09:57:41:690,1115600,1115600,0,0,523642779545,5488600560,1100730,12597,2273,368,391954,0 138,2,2024-09-07 09:57:40:585,802900,802900,0,0,36979005,0,4988 138,3,2024-09-07 09:57:40:610,1,636,67,0,1200,9745,636,0 139,0,2024-09-07 09:57:41:441,160637,0.9,161258,0.9,322202,1.3,430069,2.25 139,1,2024-09-07 09:57:40:574,1110857,1110857,0,0,521195992999,5520362572,1092349,14680,3828,380,392109,0 139,2,2024-09-07 09:57:40:693,801776,801746,30,0,42334002,0,5997 139,3,2024-09-07 09:57:41:669,1,636,10,0,432,7409,636,0 140,0,2024-09-07 09:57:41:592,157931,0.3,157159,0.5,315553,0.2,420433,1.75 140,1,2024-09-07 09:57:41:548,1122544,1122544,0,0,527657236599,5436323245,1117476,4520,548,364,391628,0 140,2,2024-09-07 09:57:40:687,806864,806863,1,0,29879858,0,5036 140,3,2024-09-07 09:57:40:769,1,636,1,0,575,6195,636,0 141,0,2024-09-07 09:57:41:712,157535,0.3,161903,0.5,309524,0.2,418788,1.50 141,1,2024-09-07 09:57:40:860,1119807,1119807,0,0,525157063782,5451431498,1111595,7019,1193,379,391614,0 141,2,2024-09-07 09:57:41:687,803093,803082,11,0,31955624,0,5369 141,3,2024-09-07 09:57:41:049,1,636,2,0,391,7056,636,0 142,0,2024-09-07 09:57:41:376,160638,0.3,159845,0.5,320010,0.2,427187,1.50 142,1,2024-09-07 09:57:40:584,1117831,1117831,0,0,524568242046,5464107547,1111079,6241,511,382,392102,0 142,2,2024-09-07 09:57:41:316,802541,802509,32,0,32492189,0,6028 142,3,2024-09-07 09:57:41:747,1,636,1,0,484,6682,636,0 143,0,2024-09-07 09:57:41:386,163987,0.4,163751,0.5,328604,0.4,436728,1.75 143,1,2024-09-07 09:57:40:556,1120494,1120494,0,0,525960893966,5452040014,1113998,5928,568,367,391900,0 143,2,2024-09-07 09:57:40:777,808639,808639,0,0,32708774,0,3123 143,3,2024-09-07 09:57:41:143,1,636,11,0,462,7462,636,0 144,0,2024-09-07 09:57:41:515,153550,0.6,158096,0.8,321502,0.5,420989,2.00 144,1,2024-09-07 09:57:40:566,1115000,1115000,0,0,522492193421,5479710118,1104178,8826,1996,381,391733,0 144,2,2024-09-07 09:57:41:755,808242,808242,0,0,31833260,0,4443 144,3,2024-09-07 09:57:41:752,1,636,2,0,249,6226,636,0 145,0,2024-09-07 09:57:41:394,152169,0.6,152130,0.8,322957,0.5,415608,2.25 145,1,2024-09-07 09:57:40:555,1114014,1114014,0,0,522963328536,5501480013,1099249,12012,2753,382,391781,0 145,2,2024-09-07 09:57:41:434,799753,799671,82,0,37774529,0,7814 145,3,2024-09-07 09:57:40:899,1,636,10,0,622,8304,636,0 146,0,2024-09-07 09:57:41:602,158164,0.6,157314,0.7,316384,0.5,420640,2.25 146,1,2024-09-07 09:57:41:589,1115015,1115015,0,0,522972164930,5501572005,1096605,13592,4818,367,391770,0 146,2,2024-09-07 09:57:41:699,801428,801422,6,0,36258108,0,5151 146,3,2024-09-07 09:57:41:279,1,636,1,0,1520,10273,636,0 147,0,2024-09-07 09:57:41:726,163936,0.5,163722,0.7,327472,0.5,436736,2.00 147,1,2024-09-07 09:57:41:383,1119454,1119454,0,0,525218727315,5454201166,1110854,7633,967,367,391791,0 147,2,2024-09-07 09:57:41:013,806669,806669,0,0,32500307,0,3359 147,3,2024-09-07 09:57:40:915,1,636,10,0,1626,9808,636,0 0,0,2024-09-07 09:57:51:715,152572,0.5,152571,0.7,323845,0.5,418412,2.00 0,1,2024-09-07 09:57:50:802,1118077,1118077,0,0,524684985790,5489097141,1109335,7790,952,368,391896,0 0,2,2024-09-07 09:57:51:076,805261,805261,0,0,32117428,0,4480 0,3,2024-09-07 09:57:50:982,1,637,8,0,431,8876,637,0 1,0,2024-09-07 09:57:51:756,162845,0.8,161849,0.9,325006,0.9,434900,2.00 1,1,2024-09-07 09:57:50:557,1116635,1116635,0,0,523792998596,5482082210,1107134,8007,1494,370,391859,0 1,2,2024-09-07 09:57:50:640,806777,806777,0,0,31709730,0,3380 1,3,2024-09-07 09:57:51:308,1,637,1,0,269,7755,637,0 2,0,2024-09-07 09:57:51:591,160906,0.6,160492,0.7,321035,0.6,428083,2.00 2,1,2024-09-07 09:57:50:865,1120053,1120053,0,0,525897727700,5464791310,1113866,5235,952,379,391745,0 2,2,2024-09-07 09:57:51:270,809227,809227,0,0,30214338,0,3594 2,3,2024-09-07 09:57:50:690,1,637,1,0,357,5550,637,0 3,0,2024-09-07 09:57:51:744,157712,0.4,157706,0.6,315041,0.4,419471,2.00 3,1,2024-09-07 09:57:51:617,1119167,1119167,0,0,525405820841,5461541511,1111529,6933,705,379,391716,0 3,2,2024-09-07 09:57:51:149,806371,806348,23,0,31076646,0,5851 3,3,2024-09-07 09:57:51:752,1,637,8,0,484,4804,637,0 4,0,2024-09-07 09:57:51:762,151809,0.4,156031,0.5,318101,0.3,415486,1.75 4,1,2024-09-07 09:57:50:600,1113562,1113562,0,0,522879047469,5533714719,1094345,14684,4533,369,391992,0 4,2,2024-09-07 09:57:51:031,801589,801589,0,0,38352966,0,4534 4,3,2024-09-07 09:57:51:039,1,637,2,0,448,7867,637,0 5,0,2024-09-07 09:57:51:402,160687,0.4,160563,0.5,321316,0.3,427763,1.75 5,1,2024-09-07 09:57:50:772,1114925,1114925,0,0,523170793274,5521391046,1097731,12971,4223,367,392005,0 5,2,2024-09-07 09:57:51:834,801745,801745,0,0,37306070,0,3582 5,3,2024-09-07 09:57:51:733,1,637,3,0,457,8584,637,0 6,0,2024-09-07 09:57:50:927,163272,0.5,162731,0.6,325698,0.4,433763,2.00 6,1,2024-09-07 09:57:50:751,1117528,1117528,0,0,524398889790,5473894321,1106205,9734,1589,379,391702,0 6,2,2024-09-07 09:57:51:116,808805,808787,18,0,35973668,0,5535 6,3,2024-09-07 09:57:51:273,1,637,1,0,710,7524,637,0 7,0,2024-09-07 09:57:51:537,157292,0.5,158450,0.7,314451,0.5,419153,2.00 7,1,2024-09-07 09:57:50:850,1115102,1115102,0,0,523910509967,5513822608,1098035,13018,4049,382,391747,0 7,2,2024-09-07 09:57:50:770,806853,806853,0,0,34689694,0,4791 7,3,2024-09-07 09:57:50:851,1,637,14,0,552,7325,637,0 8,0,2024-09-07 09:57:51:334,157919,0.4,157577,0.5,315662,0.3,420596,1.75 8,1,2024-09-07 09:57:51:030,1114744,1114744,0,0,522736154735,5495440593,1097222,13802,3720,366,392853,0 8,2,2024-09-07 09:57:50:802,798133,798131,2,0,40983676,0,5112 8,3,2024-09-07 09:57:50:589,1,637,7,0,772,9859,637,0 9,0,2024-09-07 09:57:51:160,159385,0.4,154963,0.5,324205,0.3,425497,1.75 9,1,2024-09-07 09:57:50:558,1114388,1114388,0,0,523662687719,5522239486,1095909,14380,4099,369,392001,0 9,2,2024-09-07 09:57:51:095,802631,802630,1,0,38708699,0,5281 9,3,2024-09-07 09:57:51:752,1,637,1,0,1273,10542,637,0 10,0,2024-09-07 09:57:51:607,164598,0.4,164029,0.5,329051,0.3,438125,1.75 10,1,2024-09-07 09:57:50:583,1116375,1116375,0,0,523961825262,5494324788,1100203,12993,3179,381,391981,0 10,2,2024-09-07 09:57:50:762,808202,808202,0,0,41689541,0,4713 10,3,2024-09-07 09:57:50:871,1,637,3,0,669,6918,637,0 11,0,2024-09-07 09:57:51:015,158657,0.4,153979,0.6,322172,0.4,424389,1.75 11,1,2024-09-07 09:57:50:578,1118334,1118334,0,0,525270839300,5506529579,1102213,11818,4303,383,391766,0 11,2,2024-09-07 09:57:51:136,806996,806996,0,0,36729263,0,4698 11,3,2024-09-07 09:57:51:298,1,637,9,0,843,7953,637,0 12,0,2024-09-07 09:57:50:963,158854,0.4,158719,0.5,317040,0.3,421313,1.75 12,1,2024-09-07 09:57:50:937,1118196,1118196,0,0,524333663360,5465291320,1109689,7497,1010,370,391960,0 12,2,2024-09-07 09:57:51:558,801063,801063,0,0,35348629,0,4390 12,3,2024-09-07 09:57:51:063,1,637,1,0,386,7814,637,0 13,0,2024-09-07 09:57:51:382,158545,0.4,158633,0.5,316348,0.3,421895,1.75 13,1,2024-09-07 09:57:51:552,1115572,1115572,0,0,523830751199,5501699205,1104620,8560,2392,382,391803,0 13,2,2024-09-07 09:57:50:603,806442,806442,0,0,31883604,0,3287 13,3,2024-09-07 09:57:51:762,1,637,1,0,522,8128,637,0 14,0,2024-09-07 09:57:50:569,164120,0.4,165166,0.6,328175,0.3,437081,1.75 14,1,2024-09-07 09:57:51:569,1123237,1123237,0,0,526859204392,5448452785,1114735,7372,1130,364,391673,0 14,2,2024-09-07 09:57:50:763,807965,807935,30,0,33183333,0,6104 14,3,2024-09-07 09:57:51:119,1,637,7,0,1168,6778,637,0 15,0,2024-09-07 09:57:51:582,159752,0.4,158860,0.6,318044,0.4,424273,1.75 15,1,2024-09-07 09:57:51:610,1120180,1120180,0,0,525950652047,5474032986,1110972,7295,1913,381,391619,0 15,2,2024-09-07 09:57:51:008,810077,810077,0,0,29104555,0,3622 15,3,2024-09-07 09:57:51:405,1,637,8,0,1126,8240,637,0 16,0,2024-09-07 09:57:50:956,157559,0.6,158191,0.8,315796,0.6,420201,2.00 16,1,2024-09-07 09:57:50:572,1119531,1119531,0,0,525451248107,5488620157,1110060,8024,1447,370,392194,0 16,2,2024-09-07 09:57:51:434,803314,803314,0,0,32468919,0,4719 16,3,2024-09-07 09:57:51:143,1,637,6,0,358,7756,637,0 17,0,2024-09-07 09:57:51:811,161205,0.5,157083,0.7,307818,0.5,418617,2.00 17,1,2024-09-07 09:57:50:574,1117159,1117159,0,0,524278048247,5495303224,1106597,8786,1776,368,392075,0 17,2,2024-09-07 09:57:51:670,807296,807295,1,0,33989905,0,5050 17,3,2024-09-07 09:57:50:577,1,637,8,0,518,9044,637,0 18,0,2024-09-07 09:57:50:955,160297,0.6,161378,0.8,321176,0.6,429677,2.25 18,1,2024-09-07 09:57:51:639,1121690,1121690,0,0,525565254542,5448242091,1114504,5927,1259,367,391725,0 18,2,2024-09-07 09:57:51:755,807883,807883,0,0,30479688,0,3541 18,3,2024-09-07 09:57:50:900,1,637,1,0,1059,5691,637,0 19,0,2024-09-07 09:57:51:541,161358,0.6,161804,0.8,322644,0.7,428403,2.00 19,1,2024-09-07 09:57:50:571,1121774,1121774,0,0,526506455399,5454584562,1113639,7000,1135,365,391777,0 19,2,2024-09-07 09:57:51:752,811197,811197,0,0,28781462,0,3988 19,3,2024-09-07 09:57:51:131,1,637,8,0,524,4845,637,0 20,0,2024-09-07 09:57:51:346,157611,0.5,157431,0.7,314805,0.5,419718,2.00 20,1,2024-09-07 09:57:50:574,1117382,1117382,0,0,524509663892,5494727404,1106308,9535,1539,369,391922,0 20,2,2024-09-07 09:57:50:934,806122,806122,0,0,35886237,0,4321 20,3,2024-09-07 09:57:50:595,1,637,6,0,468,9902,637,0 21,0,2024-09-07 09:57:51:178,157464,0.5,157473,0.6,314933,0.4,417723,2.00 21,1,2024-09-07 09:57:51:558,1115209,1115209,0,0,522792214576,5515584453,1097808,13479,3922,368,392016,0 21,2,2024-09-07 09:57:51:076,797605,797128,477,0,46480918,0,17074 21,3,2024-09-07 09:57:51:404,1,637,1,0,713,8832,637,0 22,0,2024-09-07 09:57:51:719,159943,0.4,160544,0.6,320820,0.4,425790,2.00 22,1,2024-09-07 09:57:51:031,1115861,1115861,0,0,523446613295,5506281836,1097643,14666,3552,381,391822,0 22,2,2024-09-07 09:57:50:761,802715,802689,26,0,34657129,0,6328 22,3,2024-09-07 09:57:51:066,1,637,1,0,228,5415,637,0 23,0,2024-09-07 09:57:51:368,163523,0.5,163370,0.7,326703,0.4,435208,2.00 23,1,2024-09-07 09:57:51:010,1116388,1116388,0,0,524221547655,5519846704,1096271,13407,6710,365,391690,0 23,2,2024-09-07 09:57:51:098,809127,809127,0,0,32769385,0,3773 23,3,2024-09-07 09:57:51:755,1,637,8,0,855,9111,637,0 24,0,2024-09-07 09:57:50:987,159598,0.4,158718,0.5,319023,0.4,423646,1.75 24,1,2024-09-07 09:57:50:589,1116746,1116746,0,0,523232329487,5474152837,1106351,8619,1776,367,392269,0 24,2,2024-09-07 09:57:51:074,807551,807548,3,0,39684573,0,6294 24,3,2024-09-07 09:57:51:691,1,637,1,0,468,8201,637,0 25,0,2024-09-07 09:57:51:350,161846,0.4,157516,0.6,309584,0.4,421599,1.75 25,1,2024-09-07 09:57:50:563,1114911,1114911,0,0,523091104539,5523538845,1095470,15536,3905,369,391928,0 25,2,2024-09-07 09:57:51:606,800330,800330,0,0,39840291,0,3978 25,3,2024-09-07 09:57:51:006,1,637,1,0,532,6999,637,0 26,0,2024-09-07 09:57:51:732,157943,0.4,154401,0.6,323868,0.4,422265,1.75 26,1,2024-09-07 09:57:51:550,1118270,1118270,0,0,524945225024,5494504600,1103054,12316,2900,380,391758,0 26,2,2024-09-07 09:57:50:862,803663,803663,0,0,42510553,0,4689 26,3,2024-09-07 09:57:51:724,1,637,3,0,796,7650,637,0 27,0,2024-09-07 09:57:51:724,164115,0.4,164971,0.6,327924,0.3,437512,2.25 27,1,2024-09-07 09:57:51:676,1120100,1120100,0,0,525656549687,5474297758,1109557,8927,1616,381,391626,0 27,2,2024-09-07 09:57:50:867,804791,804726,65,0,37414276,0,5699 27,3,2024-09-07 09:57:51:019,1,637,12,0,564,5370,637,0 28,0,2024-09-07 09:57:51:389,159323,0.4,159517,0.6,319200,0.3,425156,1.75 28,1,2024-09-07 09:57:50:807,1120316,1120316,0,0,526213863669,5481382481,1111364,7060,1892,382,391904,0 28,2,2024-09-07 09:57:51:770,808474,808474,0,0,32440774,0,2915 28,3,2024-09-07 09:57:51:776,1,637,33,0,502,6323,637,0 29,0,2024-09-07 09:57:51:355,162273,0.4,158070,0.6,310120,0.3,422598,1.75 29,1,2024-09-07 09:57:51:566,1123430,1123430,0,0,527056414684,5447930873,1116971,5681,778,367,391809,0 29,2,2024-09-07 09:57:50:862,803162,803162,0,0,31033166,0,4986 29,3,2024-09-07 09:57:50:965,1,637,55,0,459,6721,637,0 30,0,2024-09-07 09:57:51:462,156225,0.5,151992,0.6,318545,0.4,416525,2.00 30,1,2024-09-07 09:57:50:579,1121741,1121741,0,0,526831988903,5464421430,1113607,7163,971,380,391672,0 30,2,2024-09-07 09:57:51:273,806107,806107,0,0,29964477,0,4192 30,3,2024-09-07 09:57:50:588,1,637,1,0,519,6046,637,0 31,0,2024-09-07 09:57:51:760,162816,0.4,163668,0.6,326338,0.4,435596,1.75 31,1,2024-09-07 09:57:50:565,1126037,1126037,0,0,527636313911,5416817903,1119167,5754,1116,356,391712,0 31,2,2024-09-07 09:57:51:278,806108,806108,0,0,33852643,0,4470 31,3,2024-09-07 09:57:51:708,1,637,25,0,239,5475,637,0 32,0,2024-09-07 09:57:51:417,161008,0.3,162117,0.5,322617,0.3,429530,1.75 32,1,2024-09-07 09:57:50:807,1121863,1121863,0,0,525890462985,5462431504,1115481,5663,719,381,391646,0 32,2,2024-09-07 09:57:50:940,810553,810553,0,0,29566551,0,3922 32,3,2024-09-07 09:57:51:015,1,637,2,0,304,5179,637,0 33,0,2024-09-07 09:57:51:494,158352,0.3,157955,0.4,316009,0.2,420406,1.50 33,1,2024-09-07 09:57:50:575,1122471,1122471,0,0,526820570327,5453564406,1113911,7287,1273,368,391730,0 33,2,2024-09-07 09:57:50:759,806202,806167,35,0,32555262,0,7012 33,3,2024-09-07 09:57:50:900,1,637,0,0,329,5421,637,0 34,0,2024-09-07 09:57:50:969,156638,0.3,161056,0.5,307779,0.2,415330,1.75 34,1,2024-09-07 09:57:51:045,1124259,1124259,0,0,528256448540,5432043183,1121106,3042,111,367,391637,0 34,2,2024-09-07 09:57:50:766,805621,805621,0,0,31044515,0,4562 34,3,2024-09-07 09:57:51:695,1,637,1,0,541,5600,637,0 35,0,2024-09-07 09:57:50:878,159889,0.3,160746,0.5,321972,0.2,428579,1.75 35,1,2024-09-07 09:57:51:067,1120486,1120486,0,0,526361018156,5460145999,1112177,6786,1523,382,391769,0 35,2,2024-09-07 09:57:51:584,805048,805048,0,0,34832671,0,4055 35,3,2024-09-07 09:57:50:912,1,637,13,0,466,5875,637,0 36,0,2024-09-07 09:57:51:534,163634,0.5,163821,0.6,327089,0.4,435241,2.00 36,1,2024-09-07 09:57:50:583,1119418,1119418,0,0,525190469919,5481368323,1105609,11563,2246,366,391759,0 36,2,2024-09-07 09:57:51:756,809146,809146,0,0,36050509,0,3875 36,3,2024-09-07 09:57:50:863,1,637,1,0,556,8416,637,0 37,0,2024-09-07 09:57:51:367,157125,0.5,157287,0.7,314889,0.5,419788,2.25 37,1,2024-09-07 09:57:50:574,1118640,1118633,0,7,525206013185,5483189409,1105381,10332,2920,365,391770,0 37,2,2024-09-07 09:57:51:143,805063,805048,15,0,35824872,0,5815 37,3,2024-09-07 09:57:51:770,1,637,0,0,888,8725,637,0 38,0,2024-09-07 09:57:51:436,156743,0.4,151955,0.6,317812,0.4,416446,2.00 38,1,2024-09-07 09:57:51:606,1118733,1118733,0,0,525209269160,5491596563,1102717,12749,3267,368,391821,0 38,2,2024-09-07 09:57:50:760,802718,802671,47,0,35777000,0,6710 38,3,2024-09-07 09:57:50:997,1,637,0,0,689,7476,637,0 39,0,2024-09-07 09:57:51:764,162931,0.5,159228,0.7,310707,0.5,424320,2.00 39,1,2024-09-07 09:57:50:715,1118003,1118003,0,0,524851508393,5501192722,1099670,14145,4188,365,391865,0 39,2,2024-09-07 09:57:51:416,803783,803783,0,0,34233595,0,3391 39,3,2024-09-07 09:57:50:715,1,637,12,0,525,7122,637,0 40,0,2024-09-07 09:57:51:496,163149,0.9,163892,1.0,327165,1.0,436920,2.75 40,1,2024-09-07 09:57:50:576,1118725,1118725,0,0,524742999117,5494384505,1102434,13093,3198,366,391668,0 40,2,2024-09-07 09:57:51:302,805522,805515,7,0,40250713,0,5347 40,3,2024-09-07 09:57:51:143,1,637,184,0,1028,9208,637,0 41,0,2024-09-07 09:57:51:051,157959,1.2,161619,1.1,308266,1.8,419022,3.00 41,1,2024-09-07 09:57:50:774,1117695,1117695,0,0,525094913448,5488438274,1103712,11820,2163,369,391878,0 41,2,2024-09-07 09:57:50:763,804167,804166,1,0,38950262,0,5408 41,3,2024-09-07 09:57:51:677,1,637,9,0,366,6764,637,0 42,0,2024-09-07 09:57:51:476,156761,0.9,156374,1.0,313099,1.0,415299,2.75 42,1,2024-09-07 09:57:51:442,1115762,1115762,0,0,523499170885,5497114684,1098792,14014,2956,380,391675,0 42,2,2024-09-07 09:57:51:135,800425,800424,1,0,39283679,0,5513 42,3,2024-09-07 09:57:51:014,1,637,1,0,892,6161,637,0 43,0,2024-09-07 09:57:50:923,156103,0.7,151993,0.9,318500,0.7,416590,2.25 43,1,2024-09-07 09:57:50:576,1118956,1118956,0,0,524818031053,5481532018,1103559,12656,2741,366,391696,0 43,2,2024-09-07 09:57:51:734,804554,804554,0,0,37126207,0,4723 43,3,2024-09-07 09:57:51:750,1,637,9,0,571,8956,637,0 44,0,2024-09-07 09:57:50:857,164250,0.4,164452,0.6,328213,0.3,437487,1.75 44,1,2024-09-07 09:57:50:564,1122200,1122200,0,0,524641251889,5420052723,1112753,7504,1943,356,391809,0 44,2,2024-09-07 09:57:51:298,805932,805932,0,0,30102543,0,4344 44,3,2024-09-07 09:57:51:098,1,637,5,0,1097,7772,637,0 45,0,2024-09-07 09:57:51:764,157794,0.5,154225,0.7,323682,0.4,424347,2.00 45,1,2024-09-07 09:57:51:012,1121145,1121145,0,0,526790732296,5470243941,1112875,7367,903,382,391917,0 45,2,2024-09-07 09:57:51:268,809230,809230,0,0,30380472,0,3596 45,3,2024-09-07 09:57:50:940,1,637,1,0,531,5950,637,0 46,0,2024-09-07 09:57:50:953,156808,0.5,156341,0.7,313595,0.5,416920,2.00 46,1,2024-09-07 09:57:50:575,1123378,1123378,0,0,526598007259,5441154042,1115760,6629,989,366,391709,0 46,2,2024-09-07 09:57:50:594,805684,805684,0,0,30781464,0,4443 46,3,2024-09-07 09:57:51:134,1,637,5,0,908,7355,637,0 47,0,2024-09-07 09:57:51:108,156887,0.4,156553,0.6,314644,0.3,416988,1.75 47,1,2024-09-07 09:57:50:574,1124334,1124334,0,0,526983537016,5440518186,1118147,5323,864,364,391641,0 47,2,2024-09-07 09:57:50:916,807856,807856,0,0,30150656,0,4477 47,3,2024-09-07 09:57:51:116,1,637,10,0,600,6812,637,0 48,0,2024-09-07 09:57:51:512,162129,0.3,162428,0.4,324070,0.2,431501,1.50 48,1,2024-09-07 09:57:51:028,1121094,1121094,0,0,525856395314,5457828645,1114830,5684,580,381,391710,0 48,2,2024-09-07 09:57:50:704,806285,806285,0,0,28597934,0,3524 48,3,2024-09-07 09:57:50:757,1,637,2,0,339,5474,637,0 49,0,2024-09-07 09:57:51:715,166670,0.3,163191,0.5,317570,0.3,432846,1.75 49,1,2024-09-07 09:57:51:021,1120658,1120658,0,0,525478208947,5463040137,1113194,5768,1696,382,391809,0 49,2,2024-09-07 09:57:51:802,809740,809740,0,0,30802602,0,4426 49,3,2024-09-07 09:57:51:422,1,637,3,0,992,7519,637,0 50,0,2024-09-07 09:57:51:505,158220,0.3,156706,0.5,315649,0.2,419636,1.75 50,1,2024-09-07 09:57:51:014,1123456,1123456,0,0,527810683825,5452967184,1116797,5989,670,368,391691,0 50,2,2024-09-07 09:57:51:066,805639,805639,0,0,28367042,0,4490 50,3,2024-09-07 09:57:51:298,1,637,1,0,617,6293,637,0 51,0,2024-09-07 09:57:51:683,161580,0.3,158051,0.5,307841,0.2,419465,1.75 51,1,2024-09-07 09:57:51:680,1123482,1123482,0,0,528493998736,5446589660,1117659,4742,1081,365,391706,0 51,2,2024-09-07 09:57:51:318,804019,804019,0,0,28112712,0,3337 51,3,2024-09-07 09:57:51:037,1,637,8,0,678,4527,637,0 52,0,2024-09-07 09:57:51:412,160660,0.5,160485,0.6,321007,0.4,426946,2.00 52,1,2024-09-07 09:57:50:584,1118813,1118813,0,0,524961153259,5490847335,1103524,12953,2336,368,391805,0 52,2,2024-09-07 09:57:51:757,800972,800934,38,0,38499099,0,6742 52,3,2024-09-07 09:57:50:675,1,637,1,0,1782,7387,637,0 53,0,2024-09-07 09:57:51:736,163336,0.6,158527,0.8,331725,0.7,434270,2.25 53,1,2024-09-07 09:57:50:770,1116626,1116626,0,0,524489317457,5500351569,1098048,14172,4406,367,391968,0 53,2,2024-09-07 09:57:51:306,809387,809386,1,0,34146095,0,5455 53,3,2024-09-07 09:57:50:701,1,637,1,0,308,5738,637,0 54,0,2024-09-07 09:57:51:614,156863,0.6,157095,0.8,313076,0.5,418112,2.25 54,1,2024-09-07 09:57:50:590,1120495,1120495,0,0,525766017659,5465245624,1109251,9572,1672,366,391810,0 54,2,2024-09-07 09:57:50:867,808262,808230,32,0,38283036,0,6397 54,3,2024-09-07 09:57:50:763,1,637,27,0,676,8025,637,0 55,0,2024-09-07 09:57:51:782,152098,0.6,156873,0.8,317903,0.5,414326,2.50 55,1,2024-09-07 09:57:50:781,1120619,1120619,0,0,525176069484,5461222676,1109006,10145,1468,365,391731,0 55,2,2024-09-07 09:57:50:732,801223,801167,56,0,35203596,0,7239 55,3,2024-09-07 09:57:50:673,1,637,8,0,304,5841,637,0 56,0,2024-09-07 09:57:51:583,161619,1.1,152255,1.1,313947,1.5,420460,2.75 56,1,2024-09-07 09:57:50:573,1113701,1113701,0,0,523251954481,5529767363,1095503,14484,3714,381,391867,0 56,2,2024-09-07 09:57:51:320,802620,802498,122,0,37532471,0,7432 56,3,2024-09-07 09:57:51:065,1,637,18,0,705,7318,637,0 57,0,2024-09-07 09:57:50:941,162510,1.2,162293,1.1,324809,1.6,433549,3.00 57,1,2024-09-07 09:57:50:997,1116286,1116286,0,0,523850501768,5494384019,1101621,12170,2495,366,392032,0 57,2,2024-09-07 09:57:51:318,806946,806946,0,0,39966137,0,4804 57,3,2024-09-07 09:57:51:754,1,637,8,0,455,7180,637,0 58,0,2024-09-07 09:57:50:563,156847,1.0,152333,1.0,318804,1.2,417461,2.75 58,1,2024-09-07 09:57:50:578,1117401,1117398,0,3,524584370336,5496728670,1100546,12746,4106,367,391726,3 58,2,2024-09-07 09:57:51:076,808355,808355,0,0,36864193,0,3483 58,3,2024-09-07 09:57:51:093,1,637,1,0,1043,7021,637,0 59,0,2024-09-07 09:57:51:751,156413,0.9,155850,1.0,311541,0.9,413557,3.00 59,1,2024-09-07 09:57:50:803,1117073,1117073,0,0,524552029535,5510710151,1098467,14772,3834,369,391653,0 59,2,2024-09-07 09:57:50:583,802991,802991,0,0,34742224,0,3727 59,3,2024-09-07 09:57:51:738,1,637,10,0,1015,7694,637,0 60,0,2024-09-07 09:57:51:745,157043,0.5,157025,0.7,314313,0.4,418796,1.75 60,1,2024-09-07 09:57:50:772,1121226,1121226,0,0,526500544290,5470055498,1112927,7190,1109,370,392031,0 60,2,2024-09-07 09:57:51:154,805600,805600,0,0,32992189,0,3811 60,3,2024-09-07 09:57:51:270,1,637,5,0,409,7142,637,0 61,0,2024-09-07 09:57:51:512,162890,0.6,163551,0.7,325495,0.5,434790,2.00 61,1,2024-09-07 09:57:50:788,1118913,1118913,0,0,524464763705,5486941888,1107480,9743,1690,382,392127,0 61,2,2024-09-07 09:57:51:154,806802,806735,67,0,33540040,0,6411 61,3,2024-09-07 09:57:51:692,1,637,6,0,607,7985,637,0 62,0,2024-09-07 09:57:51:715,161510,0.6,165677,0.7,316346,0.6,429451,2.00 62,1,2024-09-07 09:57:51:127,1125493,1125487,0,6,528518690055,5446051106,1120340,4846,301,365,391975,6 62,2,2024-09-07 09:57:51:661,806798,806797,1,0,33438508,0,5555 62,3,2024-09-07 09:57:51:155,1,637,1,0,482,5038,637,0 63,0,2024-09-07 09:57:51:452,158389,0.4,158029,0.6,316693,0.3,420681,1.75 63,1,2024-09-07 09:57:50:838,1121621,1121615,0,6,526011984369,5458901952,1114712,6046,857,381,391800,6 63,2,2024-09-07 09:57:50:762,805538,805538,0,0,31704143,0,4369 63,3,2024-09-07 09:57:51:732,1,637,12,0,667,6371,637,0 64,0,2024-09-07 09:57:51:569,155688,0.5,155581,0.6,310877,0.4,413909,2.00 64,1,2024-09-07 09:57:50:753,1119945,1119945,0,0,526519438989,5479204483,1110574,7392,1979,370,391794,0 64,2,2024-09-07 09:57:51:148,808364,808345,19,0,30922400,0,6121 64,3,2024-09-07 09:57:51:143,1,637,4,0,651,7109,637,0 65,0,2024-09-07 09:57:51:700,159535,0.6,159758,0.7,319324,0.6,426195,2.00 65,1,2024-09-07 09:57:50:860,1118650,1118650,0,0,523963784987,5473336509,1111074,6701,875,381,391901,0 65,2,2024-09-07 09:57:51:700,803922,803922,0,0,37291222,0,3367 65,3,2024-09-07 09:57:51:693,1,637,27,0,782,7807,637,0 66,0,2024-09-07 09:57:51:762,162814,0.5,162368,0.7,324896,0.5,431945,2.00 66,1,2024-09-07 09:57:51:299,1120808,1120808,0,0,526170877642,5472072789,1114011,6053,744,380,391743,0 66,2,2024-09-07 09:57:51:133,811330,811327,3,0,33097735,0,5455 66,3,2024-09-07 09:57:51:087,1,637,1,0,291,5550,637,0 67,0,2024-09-07 09:57:51:411,157927,0.5,157438,0.7,315806,0.5,420409,2.00 67,1,2024-09-07 09:57:50:766,1121012,1121011,0,1,526521753087,5477495211,1113603,6463,945,380,391787,1 67,2,2024-09-07 09:57:50:589,810729,810714,15,0,32798271,0,6205 67,3,2024-09-07 09:57:51:751,1,637,15,0,595,6434,637,0 68,0,2024-09-07 09:57:50:628,157583,0.6,157295,0.7,313524,0.5,419548,2.25 68,1,2024-09-07 09:57:50:574,1116411,1116411,0,0,523459578375,5503395640,1101548,11083,3780,381,391953,0 68,2,2024-09-07 09:57:51:051,799125,799025,100,0,41105896,0,8578 68,3,2024-09-07 09:57:50:735,1,637,0,0,417,7906,637,0 69,0,2024-09-07 09:57:51:786,158811,0.7,159518,0.8,318001,0.7,423003,2.25 69,1,2024-09-07 09:57:51:029,1115453,1115453,0,0,523295768716,5511752184,1101105,11372,2976,383,391994,0 69,2,2024-09-07 09:57:51:745,800867,800838,29,0,44422373,0,6912 69,3,2024-09-07 09:57:50:760,1,637,1,0,698,8847,637,0 70,0,2024-09-07 09:57:51:537,162841,0.8,163547,0.9,328209,0.7,435156,2.50 70,1,2024-09-07 09:57:50:810,1121908,1121908,0,0,527000411459,5466050893,1113655,7439,814,366,391725,0 70,2,2024-09-07 09:57:51:331,808303,808303,0,0,36473064,0,4323 70,3,2024-09-07 09:57:50:749,1,637,1,0,854,6876,637,0 71,0,2024-09-07 09:57:51:356,157707,0.9,157295,1.0,315802,1.1,421290,2.50 71,1,2024-09-07 09:57:51:598,1119527,1119527,0,0,526071643437,5488704826,1105893,12008,1626,367,391738,0 71,2,2024-09-07 09:57:51:068,807213,807213,0,0,36237191,0,4352 71,3,2024-09-07 09:57:51:750,1,637,7,0,644,6941,637,0 72,0,2024-09-07 09:57:51:056,162775,0.5,159001,0.7,310583,0.5,422369,2.00 72,1,2024-09-07 09:57:51:042,1117604,1117604,0,0,524010022864,5493970628,1101622,13297,2685,369,391819,0 72,2,2024-09-07 09:57:51:756,800528,800528,0,0,37789798,0,3983 72,3,2024-09-07 09:57:51:756,1,637,7,0,564,9041,637,0 73,0,2024-09-07 09:57:51:115,153557,0.4,157598,0.6,321909,0.4,419447,2.00 73,1,2024-09-07 09:57:50:861,1119687,1119687,0,0,525669676261,5460923867,1111254,7450,983,367,391858,0 73,2,2024-09-07 09:57:51:738,803729,803728,1,0,39840510,0,5027 73,3,2024-09-07 09:57:50:978,1,637,78,0,1091,8684,637,0 74,0,2024-09-07 09:57:51:325,165131,0.4,169142,0.6,322734,0.4,437872,2.00 74,1,2024-09-07 09:57:50:645,1118444,1118444,0,0,524563170149,5473521724,1106360,9947,2137,381,391762,0 74,2,2024-09-07 09:57:51:008,806010,806010,0,0,34758412,0,4253 74,3,2024-09-07 09:57:51:442,1,637,1,0,522,7544,637,0 75,0,2024-09-07 09:57:51:768,159818,0.5,158916,0.7,318832,0.5,425781,2.25 75,1,2024-09-07 09:57:51:595,1119226,1119226,0,0,526143532567,5480835374,1110034,8260,932,380,391739,0 75,2,2024-09-07 09:57:51:349,807239,807239,0,0,41759940,0,4766 75,3,2024-09-07 09:57:51:069,1,637,16,0,918,8465,637,0 76,0,2024-09-07 09:57:50:601,157212,0.6,156554,0.7,313098,0.5,418615,2.25 76,1,2024-09-07 09:57:50:806,1119299,1119299,0,0,524933478077,5469958266,1111948,6357,994,382,391790,0 76,2,2024-09-07 09:57:51:066,806287,806284,3,0,33629977,0,5265 76,3,2024-09-07 09:57:51:154,1,637,1,0,227,5779,637,0 77,0,2024-09-07 09:57:51:701,156033,0.5,156461,0.7,312990,0.5,416265,2.00 77,1,2024-09-07 09:57:50:837,1119778,1119778,0,0,525867926908,5482166802,1111677,7221,880,381,391869,0 77,2,2024-09-07 09:57:51:284,804138,804138,0,0,33095921,0,3890 77,3,2024-09-07 09:57:51:098,1,637,99,0,401,7194,637,0 78,0,2024-09-07 09:57:51:723,162508,0.4,161799,0.6,324526,0.3,430066,2.00 78,1,2024-09-07 09:57:50:613,1119357,1119357,0,0,524266146061,5465892115,1106060,10365,2932,367,391670,0 78,2,2024-09-07 09:57:51:405,806853,806840,13,0,31005257,0,8313 78,3,2024-09-07 09:57:51:134,1,637,1,0,311,5195,637,0 79,0,2024-09-07 09:57:51:354,156524,0.4,160285,0.6,328638,0.3,427413,2.00 79,1,2024-09-07 09:57:50:574,1123774,1123774,0,0,526983810243,5450766383,1115913,6501,1360,367,391682,0 79,2,2024-09-07 09:57:51:078,809272,809272,0,0,30118984,0,4195 79,3,2024-09-07 09:57:50:750,1,637,9,0,418,7285,637,0 80,0,2024-09-07 09:57:51:083,157668,0.5,161935,0.7,309367,0.5,418632,2.00 80,1,2024-09-07 09:57:51:627,1119510,1119510,0,0,525494274752,5468420777,1112237,6816,457,368,392269,0 80,2,2024-09-07 09:57:51:094,809186,809186,0,0,30591043,0,4433 80,3,2024-09-07 09:57:50:577,1,637,1,0,681,7761,637,0 81,0,2024-09-07 09:57:51:573,157320,0.5,160954,0.7,307402,0.5,416630,2.00 81,1,2024-09-07 09:57:51:705,1118739,1118739,0,0,523905846991,5471929515,1110323,7636,780,382,391885,0 81,2,2024-09-07 09:57:51:132,803280,803217,63,0,33800825,0,5932 81,3,2024-09-07 09:57:51:130,1,637,13,0,719,7139,637,0 82,0,2024-09-07 09:57:51:538,160098,0.4,160208,0.6,321080,0.4,425917,2.00 82,1,2024-09-07 09:57:50:586,1120425,1120421,0,4,525991570771,5464800163,1114808,4788,825,381,391768,4 82,2,2024-09-07 09:57:51:694,806511,806511,0,0,28863865,0,4484 82,3,2024-09-07 09:57:51:752,1,637,2,0,363,5810,637,0 83,0,2024-09-07 09:57:51:524,163971,0.6,163807,0.7,327253,0.6,434677,2.00 83,1,2024-09-07 09:57:50:557,1119532,1119532,0,0,524755055895,5467033913,1111896,6991,645,382,391709,0 83,2,2024-09-07 09:57:50:764,809473,809448,25,0,31066263,0,5612 83,3,2024-09-07 09:57:50:749,1,637,11,0,1260,7366,637,0 84,0,2024-09-07 09:57:51:769,157144,0.8,157250,0.9,314303,0.7,419839,2.25 84,1,2024-09-07 09:57:51:040,1117374,1117374,0,0,524764058242,5487490897,1104488,11004,1882,367,391967,0 84,2,2024-09-07 09:57:50:573,806457,806047,410,0,44849450,0,17037 84,3,2024-09-07 09:57:51:155,1,637,1,0,908,8624,637,0 85,0,2024-09-07 09:57:51:026,151290,0.6,151259,0.8,321170,0.6,414568,2.25 85,1,2024-09-07 09:57:50:561,1114295,1114295,0,0,523347145057,5521067546,1097749,13743,2803,381,392092,0 85,2,2024-09-07 09:57:50:879,801615,801615,0,0,37918568,0,4255 85,3,2024-09-07 09:57:50:687,1,637,2,0,789,7170,637,0 86,0,2024-09-07 09:57:50:876,158263,0.7,162733,0.8,311216,0.7,420842,2.25 86,1,2024-09-07 09:57:50:838,1117176,1117176,0,0,524435075294,5498137398,1102568,11813,2795,366,392169,0 86,2,2024-09-07 09:57:50:858,803107,803106,1,0,40725754,0,5004 86,3,2024-09-07 09:57:50:588,1,637,8,0,308,8756,637,0 87,0,2024-09-07 09:57:51:305,164345,0.7,163489,0.8,327377,0.8,437255,2.25 87,1,2024-09-07 09:57:50:562,1116685,1116685,0,0,524003341319,5486680363,1103004,11880,1801,366,392076,0 87,2,2024-09-07 09:57:51:068,806850,806844,6,0,37635702,0,6323 87,3,2024-09-07 09:57:51:795,1,637,8,0,473,8888,637,0 88,0,2024-09-07 09:57:51:559,159200,0.4,159693,0.6,319113,0.4,425020,1.75 88,1,2024-09-07 09:57:50:578,1116334,1116334,0,0,523905605583,5488992769,1100627,12658,3049,365,392084,0 88,2,2024-09-07 09:57:50:689,807329,807329,0,0,39525377,0,4465 88,3,2024-09-07 09:57:51:277,1,637,1,0,1080,9812,637,0 89,0,2024-09-07 09:57:51:766,162241,0.4,157403,0.6,310511,0.4,422162,1.75 89,1,2024-09-07 09:57:50:554,1115150,1115150,0,0,524153888803,5508742095,1101920,11269,1961,382,391866,0 89,2,2024-09-07 09:57:51:138,802575,802575,0,0,37050537,0,3173 89,3,2024-09-07 09:57:51:791,1,637,5,0,468,10253,637,0 90,0,2024-09-07 09:57:51:687,152302,0.4,156453,0.6,319230,0.4,416633,2.00 90,1,2024-09-07 09:57:50:595,1117315,1117315,0,0,523856145614,5485917012,1106462,9849,1004,380,391825,0 90,2,2024-09-07 09:57:51:409,800973,800968,5,0,40696972,0,6370 90,3,2024-09-07 09:57:50:930,1,637,1,0,322,7730,637,0 91,0,2024-09-07 09:57:50:961,163427,0.4,158569,0.6,331861,0.4,435434,1.75 91,1,2024-09-07 09:57:50:559,1114604,1114604,0,0,523319391679,5515772336,1097563,13356,3685,381,392047,0 91,2,2024-09-07 09:57:51:331,805843,805843,0,0,36115767,0,4713 91,3,2024-09-07 09:57:50:605,1,637,76,0,216,5755,637,0 92,0,2024-09-07 09:57:51:476,162664,0.4,166329,0.6,316996,0.4,429703,1.75 92,1,2024-09-07 09:57:50:595,1118743,1118743,0,0,525869676756,5489013613,1110319,7135,1289,381,392136,0 92,2,2024-09-07 09:57:51:350,808857,808857,0,0,31657482,0,3259 92,3,2024-09-07 09:57:51:013,1,637,1,0,167,5351,637,0 93,0,2024-09-07 09:57:50:961,158838,0.4,162687,0.6,310809,0.3,420702,1.75 93,1,2024-09-07 09:57:50:805,1119669,1119669,0,0,524440108641,5471727043,1107505,10211,1953,365,392048,0 93,2,2024-09-07 09:57:50:933,805127,805127,0,0,37216591,0,4913 93,3,2024-09-07 09:57:51:406,1,637,5,0,375,6639,637,0 94,0,2024-09-07 09:57:51:603,155708,0.4,156946,0.5,313605,0.3,416200,1.75 94,1,2024-09-07 09:57:50:573,1120006,1120006,0,0,525696762251,5478129343,1113026,6544,436,381,391850,0 94,2,2024-09-07 09:57:50:761,804582,804554,28,0,32264067,0,6179 94,3,2024-09-07 09:57:51:691,1,637,1,0,576,7747,637,0 95,0,2024-09-07 09:57:51:345,160728,0.3,160664,0.5,321620,0.3,428619,1.75 95,1,2024-09-07 09:57:50:856,1120514,1120514,0,0,525910732712,5462438205,1111903,7850,761,365,391852,0 95,2,2024-09-07 09:57:51:016,803970,803970,0,0,32000636,0,3308 95,3,2024-09-07 09:57:51:711,1,637,12,0,718,9838,637,0 96,0,2024-09-07 09:57:51:026,163328,0.3,163530,0.5,327470,0.3,434693,1.75 96,1,2024-09-07 09:57:51:598,1118689,1118689,0,0,524806565503,5471935841,1111028,6377,1284,384,391964,0 96,2,2024-09-07 09:57:51:270,810072,810072,0,0,33038971,0,4180 96,3,2024-09-07 09:57:51:145,1,637,1,0,411,7119,637,0 97,0,2024-09-07 09:57:51:316,157916,0.3,157912,0.5,316474,0.3,420212,1.50 97,1,2024-09-07 09:57:50:783,1120750,1120750,0,0,526631263103,5461763316,1113767,5939,1044,367,392140,0 97,2,2024-09-07 09:57:50:620,809001,809001,0,0,32529636,0,4046 97,3,2024-09-07 09:57:50:599,1,637,171,0,242,7160,637,0 98,0,2024-09-07 09:57:51:692,157184,0.3,157210,0.4,315847,0.2,419891,1.50 98,1,2024-09-07 09:57:50:583,1119845,1119845,0,0,525592800319,5472243463,1113310,5695,840,381,391997,0 98,2,2024-09-07 09:57:50:770,802647,802647,0,0,31079106,0,4336 98,3,2024-09-07 09:57:50:707,1,637,2,0,840,9307,637,0 99,0,2024-09-07 09:57:51:454,159380,0.3,160246,0.5,319006,0.3,425847,1.75 99,1,2024-09-07 09:57:51:746,1120748,1120748,0,0,525234434162,5463690160,1114008,5774,966,380,392069,0 99,2,2024-09-07 09:57:51:416,803766,803766,0,0,39146822,0,4276 99,3,2024-09-07 09:57:50:594,1,637,14,0,1124,8048,637,0 100,0,2024-09-07 09:57:51:503,164357,0.8,164763,0.9,329271,0.9,439691,2.50 100,1,2024-09-07 09:57:50:558,1113427,1113427,0,0,523215421547,5531162325,1096122,13813,3492,378,391989,0 100,2,2024-09-07 09:57:51:828,804346,803957,389,0,42223679,0,16909 100,3,2024-09-07 09:57:51:731,1,637,17,0,627,10407,637,0 101,0,2024-09-07 09:57:51:809,162249,1.0,158324,1.0,309755,1.0,423010,2.25 101,1,2024-09-07 09:57:50:567,1116023,1116023,0,0,524058679714,5505502793,1101179,12169,2675,368,391847,0 101,2,2024-09-07 09:57:51:762,803347,803347,0,0,42241675,0,4871 101,3,2024-09-07 09:57:50:947,1,637,4,0,1250,9382,637,0 102,0,2024-09-07 09:57:50:975,153377,0.6,157999,0.8,320963,0.6,419686,2.25 102,1,2024-09-07 09:57:51:176,1115668,1115668,0,0,524216867391,5504146407,1100960,12499,2209,369,391984,0 102,2,2024-09-07 09:57:51:744,802907,802853,54,0,35807969,0,6768 102,3,2024-09-07 09:57:51:617,1,637,1,0,466,7078,637,0 103,0,2024-09-07 09:57:51:604,162846,0.5,162823,0.7,306802,0.5,422424,2.00 103,1,2024-09-07 09:57:51:628,1114651,1114651,0,0,522962939188,5519162870,1097423,13623,3605,381,392077,0 103,2,2024-09-07 09:57:50:588,802210,802210,0,0,38869623,0,3766 103,3,2024-09-07 09:57:50:755,1,637,8,0,916,7338,637,0 104,0,2024-09-07 09:57:51:037,163076,0.7,163346,0.9,325857,0.6,435533,2.25 104,1,2024-09-07 09:57:51:600,1116932,1116932,0,0,523993197322,5503335231,1100595,13385,2952,365,392168,0 104,2,2024-09-07 09:57:51:885,804259,804259,0,0,38627441,0,4161 104,3,2024-09-07 09:57:51:420,1,637,17,0,1245,11000,637,0 105,0,2024-09-07 09:57:51:037,157684,0.9,153759,1.0,322408,1.0,423487,2.50 105,1,2024-09-07 09:57:50:558,1118568,1118568,0,0,524998613967,5496085958,1104837,11784,1947,364,392009,0 105,2,2024-09-07 09:57:51:325,807102,807102,0,0,39090054,0,4360 105,3,2024-09-07 09:57:51:307,1,637,1,0,573,9553,637,0 106,0,2024-09-07 09:57:50:954,152268,0.9,155947,1.0,319466,1.1,416979,2.50 106,1,2024-09-07 09:57:51:757,1116954,1116954,0,0,523499491880,5490893049,1101777,13244,1933,368,391914,0 106,2,2024-09-07 09:57:50:757,802966,802966,0,0,36091961,0,3331 106,3,2024-09-07 09:57:50:677,1,637,27,0,1224,8595,637,0 107,0,2024-09-07 09:57:51:119,156154,0.9,156218,0.9,312497,1.0,416785,2.25 107,1,2024-09-07 09:57:50:593,1115051,1115051,0,0,522974260846,5522807945,1097479,15435,2137,381,392234,0 107,2,2024-09-07 09:57:51:300,800945,800944,1,0,39612364,0,5024 107,3,2024-09-07 09:57:51:765,1,637,9,0,733,9556,637,0 108,0,2024-09-07 09:57:51:772,161454,0.4,162136,0.6,323219,0.4,431406,1.75 108,1,2024-09-07 09:57:51:307,1118795,1118795,0,0,525128196767,5473340584,1109974,7823,998,367,391894,0 108,2,2024-09-07 09:57:51:756,805969,805969,0,0,34244654,0,4246 108,3,2024-09-07 09:57:51:330,1,637,12,0,749,11046,637,0 109,0,2024-09-07 09:57:51:755,162968,0.4,161551,0.6,323996,0.3,432029,1.75 109,1,2024-09-07 09:57:50:596,1116327,1116327,0,0,523885884902,5491840949,1106788,8259,1280,382,392132,0 109,2,2024-09-07 09:57:50:948,806891,806891,0,0,34969538,0,3617 109,3,2024-09-07 09:57:51:152,1,637,16,0,630,7499,637,0 110,0,2024-09-07 09:57:51:781,157473,0.4,153134,0.6,320720,0.4,420493,1.75 110,1,2024-09-07 09:57:51:663,1120887,1120887,0,0,526264566034,5459264807,1112795,6163,1929,368,392045,0 110,2,2024-09-07 09:57:51:320,807557,807557,0,0,32478854,0,4067 110,3,2024-09-07 09:57:50:695,1,637,7,0,722,8381,637,0 111,0,2024-09-07 09:57:51:412,158054,0.4,157283,0.5,314298,0.3,419057,1.75 111,1,2024-09-07 09:57:51:013,1122197,1122197,0,0,526959327124,5463362344,1116366,5449,382,380,391690,0 111,2,2024-09-07 09:57:51:117,803902,803902,0,0,32980363,0,4823 111,3,2024-09-07 09:57:50:913,1,637,8,0,379,6766,637,0 112,0,2024-09-07 09:57:50:923,160807,0.3,160395,0.4,321544,0.2,427165,1.50 112,1,2024-09-07 09:57:50:844,1120777,1120777,0,0,525702238569,5456022031,1113563,5989,1225,380,391624,0 112,2,2024-09-07 09:57:51:133,804540,804539,1,0,31589335,0,5036 112,3,2024-09-07 09:57:50:594,1,637,3,0,282,5936,637,0 113,0,2024-09-07 09:57:50:869,163980,0.3,164004,0.5,328503,0.2,436866,1.75 113,1,2024-09-07 09:57:51:692,1123166,1123166,0,0,527809307349,5442801868,1116910,5308,948,365,391664,0 113,2,2024-09-07 09:57:51:308,811624,811624,0,0,29734469,0,3813 113,3,2024-09-07 09:57:50:691,1,637,7,0,510,6688,637,0 114,0,2024-09-07 09:57:50:872,158776,0.3,159747,0.5,318671,0.2,425275,1.75 114,1,2024-09-07 09:57:50:717,1121064,1121064,0,0,526317028584,5462229487,1112959,6358,1747,381,391565,0 114,2,2024-09-07 09:57:50:878,810399,810398,1,0,30650031,0,5069 114,3,2024-09-07 09:57:51:278,1,637,1,0,415,5376,637,0 115,0,2024-09-07 09:57:50:557,158189,0.3,158749,0.4,316073,0.2,420825,1.50 115,1,2024-09-07 09:57:50:573,1121301,1121301,0,0,526093559025,5461980291,1112904,6879,1518,382,391757,0 115,2,2024-09-07 09:57:51:135,804691,804691,0,0,30847629,0,4382 115,3,2024-09-07 09:57:51:005,1,637,9,0,167,3856,637,0 116,0,2024-09-07 09:57:51:775,158106,0.7,157964,0.9,316754,0.7,423141,2.25 116,1,2024-09-07 09:57:50:805,1114645,1114645,0,0,524026519771,5520939375,1101262,10177,3206,380,392089,0 116,2,2024-09-07 09:57:51:777,804146,804146,0,0,38746341,0,4475 116,3,2024-09-07 09:57:50:913,1,637,1,0,448,8075,637,0 117,0,2024-09-07 09:57:51:000,164427,0.6,163848,0.8,328104,0.6,438692,2.00 117,1,2024-09-07 09:57:51:602,1116865,1116865,0,0,523566925764,5480884974,1104647,10638,1580,369,392429,0 117,2,2024-09-07 09:57:51:132,809083,809083,0,0,35158612,0,4303 117,3,2024-09-07 09:57:51:065,1,637,0,0,490,7890,637,0 118,0,2024-09-07 09:57:51:807,154443,0.5,158570,0.7,323620,0.5,423467,2.00 118,1,2024-09-07 09:57:50:593,1116087,1116087,0,0,522784088815,5493000467,1100008,12453,3626,366,392054,0 118,2,2024-09-07 09:57:51:590,806976,806976,0,0,37638995,0,2842 118,3,2024-09-07 09:57:51:774,1,637,343,0,343,7760,637,0 119,0,2024-09-07 09:57:51:353,157489,0.7,158101,0.8,315503,0.8,420492,2.25 119,1,2024-09-07 09:57:50:577,1117105,1117105,0,0,523841359073,5490943394,1102329,12263,2513,367,391857,0 119,2,2024-09-07 09:57:51:268,803823,803823,0,0,34897505,0,4309 119,3,2024-09-07 09:57:51:333,1,637,16,0,1358,11567,637,0 120,0,2024-09-07 09:57:51:542,156238,0.6,156030,0.8,313418,0.6,417933,2.25 120,1,2024-09-07 09:57:50:858,1117963,1117963,0,0,524389385148,5496241349,1106042,10776,1145,367,392144,0 120,2,2024-09-07 09:57:50:770,803673,803670,3,0,41722740,0,5363 120,3,2024-09-07 09:57:51:294,1,637,0,0,478,8020,637,0 121,0,2024-09-07 09:57:51:746,163255,1.0,162761,1.0,325602,1.2,434197,2.25 121,1,2024-09-07 09:57:51:675,1117140,1117140,0,0,524181025242,5484191236,1105191,10122,1827,366,391840,0 121,2,2024-09-07 09:57:51:146,805572,805572,0,0,38269232,0,4157 121,3,2024-09-07 09:57:50:729,1,637,0,0,387,8317,637,0 122,0,2024-09-07 09:57:51:766,160525,0.8,156051,0.9,326759,0.8,429468,2.00 122,1,2024-09-07 09:57:50:882,1116614,1116614,0,0,523939715611,5495435864,1101565,12631,2418,365,392130,0 122,2,2024-09-07 09:57:51:330,808466,808391,75,0,41930974,0,5989 122,3,2024-09-07 09:57:50:609,1,637,0,0,512,9971,637,0 123,0,2024-09-07 09:57:50:970,157180,0.8,152976,0.9,320657,0.9,419227,2.25 123,1,2024-09-07 09:57:50:563,1117206,1117206,0,0,524155762543,5510163415,1099520,14834,2852,369,392039,0 123,2,2024-09-07 09:57:51:018,803418,803417,1,0,35705943,0,5215 123,3,2024-09-07 09:57:51:139,1,637,8,0,478,7160,637,0 124,0,2024-09-07 09:57:50:940,160664,0.3,160745,0.5,302942,0.3,416736,1.75 124,1,2024-09-07 09:57:51:045,1120877,1120877,0,0,525116152186,5456576159,1112770,6916,1191,365,392178,0 124,2,2024-09-07 09:57:51:014,806265,806212,53,0,32331925,0,6487 124,3,2024-09-07 09:57:50:770,1,637,174,0,490,6287,637,0 125,0,2024-09-07 09:57:51:417,160407,0.4,160237,0.5,321526,0.3,428635,1.75 125,1,2024-09-07 09:57:50:859,1118036,1118036,0,0,525108094158,5478110787,1109753,7128,1155,382,392045,0 125,2,2024-09-07 09:57:51:116,807160,807160,0,0,32501383,0,4534 125,3,2024-09-07 09:57:51:130,1,637,2,0,709,7246,637,0 126,0,2024-09-07 09:57:51:446,163534,0.4,168178,0.5,321584,0.3,435370,1.75 126,1,2024-09-07 09:57:50:559,1122246,1122246,0,0,526243324715,5444659461,1116638,5159,449,365,391987,0 126,2,2024-09-07 09:57:50:613,810392,810392,0,0,33687512,0,4539 126,3,2024-09-07 09:57:50:915,1,637,1,0,268,6832,637,0 127,0,2024-09-07 09:57:51:613,158341,0.3,158468,0.5,316291,0.3,420482,1.75 127,1,2024-09-07 09:57:50:592,1119712,1119712,0,0,525484008210,5463554270,1108964,9107,1641,364,392187,0 127,2,2024-09-07 09:57:50:650,808144,808140,4,0,31975994,0,5305 127,3,2024-09-07 09:57:51:277,1,637,8,0,968,6145,637,0 128,0,2024-09-07 09:57:51:530,158146,0.3,158266,0.4,316294,0.2,420593,1.50 128,1,2024-09-07 09:57:51:631,1119476,1119476,0,0,525304325510,5462197352,1110790,7721,965,367,392423,0 128,2,2024-09-07 09:57:51:383,804989,804989,0,0,29716354,0,3171 128,3,2024-09-07 09:57:50:772,1,637,2,0,1082,9763,637,0 129,0,2024-09-07 09:57:51:007,160733,0.3,159866,0.5,320581,0.3,426393,1.50 129,1,2024-09-07 09:57:50:573,1116194,1116194,0,0,524333805902,5492701976,1105303,8869,2022,379,391962,0 129,2,2024-09-07 09:57:50:685,805970,805966,4,0,32229985,0,5335 129,3,2024-09-07 09:57:50:688,1,637,5,0,506,8472,637,0 130,0,2024-09-07 09:57:51:732,165497,0.4,165218,0.6,330956,0.4,440683,1.75 130,1,2024-09-07 09:57:50:598,1121264,1121264,0,0,525792539491,5458038410,1115797,5064,403,381,391825,0 130,2,2024-09-07 09:57:51:136,808840,808840,0,0,32520600,0,4067 130,3,2024-09-07 09:57:51:294,1,637,1,0,960,8436,637,0 131,0,2024-09-07 09:57:51:944,158908,0.3,159295,0.5,319521,0.3,424589,1.75 131,1,2024-09-07 09:57:51:826,1120197,1120197,0,0,525296318591,5472570714,1112800,6199,1198,381,391865,0 131,2,2024-09-07 09:57:50:576,808856,808856,0,0,30181787,0,3979 131,3,2024-09-07 09:57:51:694,1,637,12,0,392,7979,637,0 132,0,2024-09-07 09:57:51:431,158021,0.5,159007,0.6,316569,0.4,420931,2.00 132,1,2024-09-07 09:57:50:580,1115843,1115843,0,0,523522269287,5508293384,1100035,13032,2776,381,392532,0 132,2,2024-09-07 09:57:50:718,802159,802142,17,0,39229459,0,6451 132,3,2024-09-07 09:57:51:691,1,637,1,0,1298,11262,637,0 133,0,2024-09-07 09:57:51:517,153749,0.4,157317,0.6,322521,0.4,420189,2.00 133,1,2024-09-07 09:57:50:588,1115620,1115620,0,0,523340334568,5512292535,1100730,12960,1930,383,391914,0 133,2,2024-09-07 09:57:51:093,805138,805088,50,0,40111206,0,6861 133,3,2024-09-07 09:57:51:302,1,637,41,0,528,7694,637,0 134,0,2024-09-07 09:57:51:004,164447,0.5,164588,0.7,329068,0.4,438188,2.00 134,1,2024-09-07 09:57:50:594,1116840,1116840,0,0,524187158391,5499802930,1101771,11895,3174,366,391781,0 134,2,2024-09-07 09:57:51:773,806493,806469,24,0,37253460,0,6207 134,3,2024-09-07 09:57:50:752,1,637,10,0,739,7881,637,0 135,0,2024-09-07 09:57:51:140,154593,0.7,154582,0.8,328228,0.8,422975,2.25 135,1,2024-09-07 09:57:51:589,1116378,1116378,0,0,524624501243,5515082568,1102393,12138,1847,380,391974,0 135,2,2024-09-07 09:57:50:702,809287,809287,0,0,38246425,0,4503 135,3,2024-09-07 09:57:51:004,1,637,10,0,900,6082,637,0 136,0,2024-09-07 09:57:51:613,158095,0.6,158558,0.8,314872,0.6,420175,2.00 136,1,2024-09-07 09:57:51:441,1117681,1117681,0,0,524891536490,5497278043,1105690,10600,1391,381,392135,0 136,2,2024-09-07 09:57:51:135,805446,805431,15,0,37163456,0,6007 136,3,2024-09-07 09:57:51:117,1,637,3,0,637,7123,637,0 137,0,2024-09-07 09:57:50:937,161420,0.6,157425,0.7,308038,0.6,418705,2.00 137,1,2024-09-07 09:57:50:584,1116721,1116721,0,0,524322491509,5495823079,1099886,13445,3390,366,391898,0 137,2,2024-09-07 09:57:51:711,803082,803082,0,0,38649284,0,3185 137,3,2024-09-07 09:57:50:769,1,637,19,0,484,8474,637,0 138,0,2024-09-07 09:57:51:783,160977,0.7,161332,0.8,323257,0.8,429931,2.00 138,1,2024-09-07 09:57:51:732,1117283,1117283,0,0,524626574008,5499728989,1102224,12781,2278,368,391954,0 138,2,2024-09-07 09:57:50:589,804344,804344,0,0,37142843,0,4988 138,3,2024-09-07 09:57:50:616,1,637,1,0,1200,9746,637,0 139,0,2024-09-07 09:57:51:415,160779,0.9,161368,0.9,322432,1.3,430396,2.25 139,1,2024-09-07 09:57:50:578,1112610,1112610,0,0,521980062313,5528911027,1094102,14680,3828,380,392109,0 139,2,2024-09-07 09:57:50:701,803062,803032,30,0,42562815,0,5997 139,3,2024-09-07 09:57:51:666,1,637,15,0,432,7424,637,0 140,0,2024-09-07 09:57:51:617,158044,0.3,157252,0.5,315758,0.2,420760,1.75 140,1,2024-09-07 09:57:51:545,1124295,1124295,0,0,528585361142,5446529842,1119108,4635,552,364,391628,0 140,2,2024-09-07 09:57:50:698,807643,807642,1,0,29902963,0,5036 140,3,2024-09-07 09:57:50:769,1,637,5,0,575,6200,637,0 141,0,2024-09-07 09:57:51:704,157635,0.3,161996,0.5,309743,0.2,418789,1.50 141,1,2024-09-07 09:57:50:859,1121406,1121406,0,0,525941243327,5459847852,1113147,7066,1193,379,391614,0 141,2,2024-09-07 09:57:51:689,804631,804620,11,0,32125736,0,5369 141,3,2024-09-07 09:57:51:050,1,637,2,0,391,7058,637,0 142,0,2024-09-07 09:57:51:323,161062,0.3,160256,0.5,320838,0.2,428145,1.50 142,1,2024-09-07 09:57:50:601,1119484,1119484,0,0,525352038267,5472378026,1112731,6242,511,382,392102,0 142,2,2024-09-07 09:57:51:300,803853,803821,32,0,32724682,0,6028 142,3,2024-09-07 09:57:51:746,1,637,10,0,484,6692,637,0 143,0,2024-09-07 09:57:51:370,164096,0.4,163858,0.5,328808,0.4,436959,1.75 143,1,2024-09-07 09:57:50:557,1122247,1122247,0,0,526955620183,5462274734,1115751,5928,568,367,391900,0 143,2,2024-09-07 09:57:50:776,809699,809699,0,0,32762917,0,3123 143,3,2024-09-07 09:57:51:140,1,637,12,0,462,7474,637,0 144,0,2024-09-07 09:57:51:493,153807,0.6,158323,0.8,322054,0.5,421640,2.00 144,1,2024-09-07 09:57:50:575,1116925,1116925,0,0,523279750431,5488213592,1106099,8830,1996,381,391733,0 144,2,2024-09-07 09:57:51:756,809243,809243,0,0,31863759,0,4443 144,3,2024-09-07 09:57:51:752,1,637,1,0,249,6227,637,0 145,0,2024-09-07 09:57:51:364,152430,0.6,152361,0.8,323534,0.5,416459,2.25 145,1,2024-09-07 09:57:50:593,1115710,1115710,0,0,523799475366,5510713816,1100858,12099,2753,382,391781,0 145,2,2024-09-07 09:57:51:443,801071,800989,82,0,37915143,0,7814 145,3,2024-09-07 09:57:50:902,1,637,1,0,622,8305,637,0 146,0,2024-09-07 09:57:51:616,158533,0.6,157678,0.8,317196,0.6,421668,2.25 146,1,2024-09-07 09:57:51:588,1116729,1116729,0,0,523691935910,5509393924,1098301,13610,4818,367,391770,0 146,2,2024-09-07 09:57:51:695,802757,802751,6,0,36397211,0,5151 146,3,2024-09-07 09:57:51:277,1,637,61,0,1520,10334,637,0 147,0,2024-09-07 09:57:51:749,164313,0.5,164097,0.7,328190,0.5,437741,2.00 147,1,2024-09-07 09:57:51:371,1121250,1121250,0,0,526094673803,5463421168,1112649,7634,967,367,391791,0 147,2,2024-09-07 09:57:51:020,807831,807831,0,0,32586906,0,3359 147,3,2024-09-07 09:57:50:916,1,637,9,0,1626,9817,637,0 0,0,2024-09-07 09:58:01:731,152821,0.5,152828,0.7,324409,0.5,419059,2.00 0,1,2024-09-07 09:58:00:813,1119831,1119831,0,0,525438490417,5496888831,1111084,7794,953,368,391896,0 0,2,2024-09-07 09:58:01:080,806423,806423,0,0,32148231,0,4480 0,3,2024-09-07 09:58:00:978,1,638,4,0,431,8880,638,0 1,0,2024-09-07 09:58:01:830,163290,0.8,162250,0.9,325777,0.9,435930,2.00 1,1,2024-09-07 09:58:00:558,1118323,1118323,0,0,524605968148,5490495334,1108820,8008,1495,370,391859,0 1,2,2024-09-07 09:58:00:657,808175,808175,0,0,31752851,0,3380 1,3,2024-09-07 09:58:01:321,1,638,6,0,269,7761,638,0 2,0,2024-09-07 09:58:01:573,161093,0.6,160634,0.7,321342,0.6,428557,2.00 2,1,2024-09-07 09:58:00:861,1121823,1121823,0,0,527161920246,5477633317,1115636,5235,952,379,391745,0 2,2,2024-09-07 09:58:01:266,810568,810568,0,0,30248205,0,3594 2,3,2024-09-07 09:58:00:690,1,638,1,0,357,5551,638,0 3,0,2024-09-07 09:58:01:746,157832,0.4,157818,0.6,315252,0.4,419717,2.00 3,1,2024-09-07 09:58:01:617,1120940,1120940,0,0,526366287458,5471308660,1113302,6933,705,379,391716,0 3,2,2024-09-07 09:58:01:143,807007,806984,23,0,31096053,0,5851 3,3,2024-09-07 09:58:01:756,1,638,11,0,484,4815,638,0 4,0,2024-09-07 09:58:01:786,151892,0.4,156115,0.5,318267,0.3,415805,1.75 4,1,2024-09-07 09:58:00:595,1115299,1115299,0,0,523686398255,5542061183,1096082,14684,4533,369,391992,0 4,2,2024-09-07 09:58:01:029,803030,803030,0,0,38446188,0,4534 4,3,2024-09-07 09:58:01:028,1,638,263,0,448,8130,638,0 5,0,2024-09-07 09:58:01:380,161173,0.4,161037,0.5,322248,0.3,429154,1.75 5,1,2024-09-07 09:58:00:759,1116710,1116710,0,0,523925577151,5529326342,1099515,12972,4223,367,392005,0 5,2,2024-09-07 09:58:01:850,803088,803088,0,0,37519843,0,3582 5,3,2024-09-07 09:58:01:736,1,638,17,0,457,8601,638,0 6,0,2024-09-07 09:58:00:939,163397,0.5,162842,0.6,325880,0.4,434064,2.00 6,1,2024-09-07 09:58:00:746,1119274,1119274,0,0,525097677490,5481146634,1107951,9734,1589,379,391702,0 6,2,2024-09-07 09:58:01:117,810044,810026,18,0,36022655,0,5535 6,3,2024-09-07 09:58:01:274,1,638,2,0,710,7526,638,0 7,0,2024-09-07 09:58:01:534,157427,0.5,158561,0.7,314672,0.5,419423,2.00 7,1,2024-09-07 09:58:00:851,1116988,1116988,0,0,524614117582,5522580271,1099543,13310,4135,382,391747,0 7,2,2024-09-07 09:58:00:772,807765,807765,0,0,34759243,0,4791 7,3,2024-09-07 09:58:00:858,1,638,1,0,552,7326,638,0 8,0,2024-09-07 09:58:01:343,158151,0.4,157791,0.5,316071,0.3,421091,1.75 8,1,2024-09-07 09:58:01:032,1116453,1116453,0,0,523591351798,5504517749,1098931,13802,3720,366,392853,0 8,2,2024-09-07 09:58:00:797,799529,799527,2,0,41083696,0,5112 8,3,2024-09-07 09:58:00:586,1,638,7,0,772,9866,638,0 9,0,2024-09-07 09:58:01:155,159799,0.4,155329,0.5,324980,0.3,426676,1.75 9,1,2024-09-07 09:58:00:557,1116057,1116057,0,0,524354737819,5529634478,1097576,14381,4100,369,392001,0 9,2,2024-09-07 09:58:01:113,803989,803988,1,0,38838599,0,5281 9,3,2024-09-07 09:58:01:756,1,638,63,0,1273,10605,638,0 10,0,2024-09-07 09:58:01:602,164784,0.4,164217,0.5,329446,0.3,438408,1.75 10,1,2024-09-07 09:58:00:583,1118201,1118201,0,0,524839273985,5506386910,1101468,13227,3506,381,391981,0 10,2,2024-09-07 09:58:00:769,809361,809361,0,0,41844985,0,4713 10,3,2024-09-07 09:58:00:878,1,638,4,0,669,6922,638,0 11,0,2024-09-07 09:58:01:008,158929,0.4,154249,0.6,322794,0.4,425135,1.75 11,1,2024-09-07 09:58:00:571,1120179,1120179,0,0,526012110406,5515091589,1103875,11997,4307,383,391766,0 11,2,2024-09-07 09:58:01:124,808229,808229,0,0,36803646,0,4698 11,3,2024-09-07 09:58:01:302,1,638,0,0,843,7953,638,0 12,0,2024-09-07 09:58:01:014,159053,0.4,158923,0.5,317441,0.3,421895,1.75 12,1,2024-09-07 09:58:00:947,1120050,1120050,0,0,525199757652,5474266111,1111541,7499,1010,370,391960,0 12,2,2024-09-07 09:58:01:547,802388,802388,0,0,35381798,0,4390 12,3,2024-09-07 09:58:01:064,1,638,1,0,386,7815,638,0 13,0,2024-09-07 09:58:01:364,158974,0.4,159048,0.5,317193,0.3,423208,1.75 13,1,2024-09-07 09:58:01:549,1117346,1117346,0,0,524702551904,5510786941,1106388,8566,2392,382,391803,0 13,2,2024-09-07 09:58:00:613,807696,807696,0,0,31933591,0,3287 13,3,2024-09-07 09:58:01:771,1,638,7,0,522,8135,638,0 14,0,2024-09-07 09:58:00:574,164408,0.4,165455,0.6,328782,0.3,437786,1.75 14,1,2024-09-07 09:58:01:563,1124971,1124971,0,0,527838419400,5458451807,1116468,7373,1130,364,391673,0 14,2,2024-09-07 09:58:00:769,809149,809119,30,0,33228590,0,6104 14,3,2024-09-07 09:58:01:123,1,638,0,0,1168,6778,638,0 15,0,2024-09-07 09:58:01:559,160059,0.4,159168,0.6,318651,0.4,425220,1.75 15,1,2024-09-07 09:58:01:608,1121909,1121909,0,0,526576223621,5480608367,1112698,7298,1913,381,391619,0 15,2,2024-09-07 09:58:01:000,811382,811382,0,0,29143563,0,3622 15,3,2024-09-07 09:58:01:406,1,638,1,0,1126,8241,638,0 16,0,2024-09-07 09:58:00:952,157733,0.6,158339,0.8,316140,0.6,420701,2.00 16,1,2024-09-07 09:58:00:563,1121309,1121309,0,0,526095699080,5495378901,1111838,8024,1447,370,392194,0 16,2,2024-09-07 09:58:01:440,804229,804229,0,0,32493597,0,4719 16,3,2024-09-07 09:58:01:180,1,638,8,0,358,7764,638,0 17,0,2024-09-07 09:58:01:785,161513,0.5,157381,0.7,308427,0.5,419530,2.00 17,1,2024-09-07 09:58:00:584,1118962,1118962,0,0,525131463561,5504157038,1108399,8787,1776,368,392075,0 17,2,2024-09-07 09:58:01:666,808767,808766,1,0,34031096,0,5050 17,3,2024-09-07 09:58:00:592,1,638,2,0,518,9046,638,0 18,0,2024-09-07 09:58:00:939,160742,0.6,161789,0.8,322119,0.6,430840,2.25 18,1,2024-09-07 09:58:01:644,1123377,1123377,0,0,526241510385,5455207401,1116191,5927,1259,367,391725,0 18,2,2024-09-07 09:58:01:757,809354,809354,0,0,30530493,0,3541 18,3,2024-09-07 09:58:00:905,1,638,15,0,1059,5706,638,0 19,0,2024-09-07 09:58:01:541,161487,0.6,161927,0.8,322853,0.7,428720,2.00 19,1,2024-09-07 09:58:00:569,1123583,1123583,0,0,527510057083,5464859472,1115448,7000,1135,365,391777,0 19,2,2024-09-07 09:58:01:757,812623,812623,0,0,28830664,0,3988 19,3,2024-09-07 09:58:01:142,1,638,25,0,524,4870,638,0 20,0,2024-09-07 09:58:01:369,157710,0.5,157553,0.7,315042,0.5,420039,2.00 20,1,2024-09-07 09:58:00:567,1119166,1119166,0,0,525338198593,5503375173,1108089,9538,1539,369,391922,0 20,2,2024-09-07 09:58:00:929,806844,806844,0,0,35923332,0,4321 20,3,2024-09-07 09:58:00:594,1,638,0,0,468,9902,638,0 21,0,2024-09-07 09:58:01:169,157506,0.5,157511,0.6,315012,0.4,417723,2.00 21,1,2024-09-07 09:58:01:545,1116950,1116950,0,0,523811482844,5526453335,1099519,13508,3923,368,392016,0 21,2,2024-09-07 09:58:01:093,799005,798528,477,0,46589249,0,17074 21,3,2024-09-07 09:58:01:406,1,638,1,0,713,8833,638,0 22,0,2024-09-07 09:58:01:717,160316,0.4,160886,0.6,321583,0.4,426742,2.00 22,1,2024-09-07 09:58:01:026,1117572,1117572,0,0,524406270094,5516126201,1099354,14666,3552,381,391822,0 22,2,2024-09-07 09:58:00:769,804193,804167,26,0,34743412,0,6328 22,3,2024-09-07 09:58:01:066,1,638,1,0,228,5416,638,0 23,0,2024-09-07 09:58:01:369,163619,0.5,163459,0.7,326903,0.4,435449,2.00 23,1,2024-09-07 09:58:01:009,1118189,1118189,0,0,525071952821,5528745295,1098070,13409,6710,365,391690,0 23,2,2024-09-07 09:58:01:099,810237,810237,0,0,32924951,0,3773 23,3,2024-09-07 09:58:01:755,1,638,16,0,855,9127,638,0 24,0,2024-09-07 09:58:00:839,159832,0.4,158958,0.5,319530,0.4,424242,1.75 24,1,2024-09-07 09:58:00:583,1118597,1118597,0,0,524155948077,5484383254,1108124,8697,1776,367,392269,0 24,2,2024-09-07 09:58:01:070,808630,808627,3,0,39747003,0,6294 24,3,2024-09-07 09:58:01:686,1,638,69,0,468,8270,638,0 25,0,2024-09-07 09:58:01:347,162142,0.4,157814,0.6,310208,0.4,422458,1.75 25,1,2024-09-07 09:58:00:563,1116516,1116516,0,0,523671346583,5530054996,1097016,15594,3906,369,391928,0 25,2,2024-09-07 09:58:01:619,801834,801834,0,0,39937098,0,3978 25,3,2024-09-07 09:58:01:034,1,638,3,0,532,7002,638,0 26,0,2024-09-07 09:58:01:721,158273,0.4,154685,0.6,324498,0.4,422952,1.75 26,1,2024-09-07 09:58:01:544,1120075,1120075,0,0,526037535694,5506220815,1104841,12334,2900,380,391758,0 26,2,2024-09-07 09:58:00:862,804964,804964,0,0,42613773,0,4689 26,3,2024-09-07 09:58:01:951,1,638,58,0,796,7708,638,0 27,0,2024-09-07 09:58:01:737,164603,0.4,165480,0.6,328921,0.3,439138,2.25 27,1,2024-09-07 09:58:01:677,1121720,1121720,0,0,526378165840,5481877573,1111177,8927,1616,381,391626,0 27,2,2024-09-07 09:58:00:870,805846,805781,65,0,37464650,0,5699 27,3,2024-09-07 09:58:01:017,1,638,2,0,564,5372,638,0 28,0,2024-09-07 09:58:01:389,159641,0.4,159855,0.6,319849,0.3,425980,1.75 28,1,2024-09-07 09:58:00:798,1122209,1122209,0,0,527035720730,5489896238,1113257,7060,1892,382,391904,0 28,2,2024-09-07 09:58:01:770,809828,809828,0,0,32489734,0,2915 28,3,2024-09-07 09:58:01:777,1,638,17,0,502,6340,638,0 29,0,2024-09-07 09:58:01:355,162454,0.4,158231,0.6,310441,0.3,423024,1.75 29,1,2024-09-07 09:58:01:578,1125140,1125140,0,0,527774153245,5455278219,1118681,5681,778,367,391809,0 29,2,2024-09-07 09:58:00:862,804371,804371,0,0,31064874,0,4986 29,3,2024-09-07 09:58:00:963,1,638,1,0,459,6722,638,0 30,0,2024-09-07 09:58:01:465,156492,0.5,152240,0.6,319071,0.4,417137,2.00 30,1,2024-09-07 09:58:00:571,1123550,1123550,0,0,527658334395,5472998184,1115416,7163,971,380,391672,0 30,2,2024-09-07 09:58:01:273,807349,807349,0,0,29990597,0,4192 30,3,2024-09-07 09:58:00:581,1,638,1,0,519,6047,638,0 31,0,2024-09-07 09:58:01:763,163219,0.4,164052,0.6,327120,0.4,436633,1.75 31,1,2024-09-07 09:58:00:565,1127830,1127830,0,0,528516466630,5425738378,1120960,5754,1116,356,391712,0 31,2,2024-09-07 09:58:01:288,807547,807547,0,0,33888636,0,4470 31,3,2024-09-07 09:58:01:706,1,638,9,0,239,5484,638,0 32,0,2024-09-07 09:58:01:416,161179,0.3,162259,0.5,322919,0.3,429992,1.75 32,1,2024-09-07 09:58:00:810,1123616,1123616,0,0,526599449385,5469698146,1117234,5663,719,381,391646,0 32,2,2024-09-07 09:58:00:935,812009,812009,0,0,29614869,0,3922 32,3,2024-09-07 09:58:01:020,1,638,10,0,304,5189,638,0 33,0,2024-09-07 09:58:01:501,158454,0.3,158064,0.4,316218,0.2,420641,1.50 33,1,2024-09-07 09:58:00:581,1124211,1124211,0,0,527525056480,5460800298,1115651,7287,1273,368,391730,0 33,2,2024-09-07 09:58:00:766,806926,806891,35,0,32574434,0,7012 33,3,2024-09-07 09:58:00:896,1,638,0,0,329,5421,638,0 34,0,2024-09-07 09:58:00:929,156741,0.3,161161,0.5,307954,0.2,415661,1.75 34,1,2024-09-07 09:58:01:044,1126064,1126064,0,0,529042489084,5440211900,1122911,3042,111,367,391637,0 34,2,2024-09-07 09:58:00:765,807011,807011,0,0,31070367,0,4562 34,3,2024-09-07 09:58:01:697,1,638,1,0,541,5601,638,0 35,0,2024-09-07 09:58:00:864,160343,0.3,161184,0.5,322931,0.2,429917,1.75 35,1,2024-09-07 09:58:01:067,1122308,1122308,0,0,526969868604,5466462675,1113999,6786,1523,382,391769,0 35,2,2024-09-07 09:58:01:591,806650,806650,0,0,34891622,0,4055 35,3,2024-09-07 09:58:00:907,1,638,1,0,466,5876,638,0 36,0,2024-09-07 09:58:01:525,163744,0.5,163946,0.6,327282,0.4,435533,2.00 36,1,2024-09-07 09:58:00:584,1121322,1121322,0,0,525990424191,5490125171,1107420,11656,2246,366,391759,0 36,2,2024-09-07 09:58:01:750,810398,810398,0,0,36104082,0,3875 36,3,2024-09-07 09:58:00:864,1,638,0,0,556,8416,638,0 37,0,2024-09-07 09:58:01:367,157259,0.5,157413,0.7,315136,0.5,420038,2.25 37,1,2024-09-07 09:58:00:569,1120437,1120430,0,7,526123587851,5492612162,1107178,10332,2920,365,391770,0 37,2,2024-09-07 09:58:01:144,806065,806050,15,0,35861036,0,5815 37,3,2024-09-07 09:58:01:766,1,638,1,0,888,8726,638,0 38,0,2024-09-07 09:58:01:438,156977,0.4,152169,0.6,318250,0.4,416964,2.00 38,1,2024-09-07 09:58:01:605,1120437,1120437,0,0,526038230703,5500112827,1104421,12749,3267,368,391821,0 38,2,2024-09-07 09:58:00:770,804293,804246,47,0,35883867,0,6710 38,3,2024-09-07 09:58:01:005,1,638,2,0,689,7478,638,0 39,0,2024-09-07 09:58:01:763,163306,0.5,159622,0.7,311488,0.5,425528,2.00 39,1,2024-09-07 09:58:00:718,1119776,1119776,0,0,525518563517,5508418897,1101441,14147,4188,365,391865,0 39,2,2024-09-07 09:58:01:416,805176,805176,0,0,34354642,0,3391 39,3,2024-09-07 09:58:00:716,1,638,8,0,525,7130,638,0 40,0,2024-09-07 09:58:01:518,163329,0.9,164079,1.0,327487,1.0,437224,2.75 40,1,2024-09-07 09:58:00:581,1120501,1120501,0,0,525482573152,5502981001,1104029,13265,3207,366,391668,0 40,2,2024-09-07 09:58:01:303,806645,806638,7,0,40359506,0,5347 40,3,2024-09-07 09:58:01:164,1,638,1,0,1028,9209,638,0 41,0,2024-09-07 09:58:01:046,158251,1.2,161921,1.1,308892,1.7,419789,3.00 41,1,2024-09-07 09:58:00:769,1119422,1119422,0,0,525970190472,5497877404,1105414,11845,2163,369,391878,0 41,2,2024-09-07 09:58:00:770,805382,805381,1,0,39083350,0,5408 41,3,2024-09-07 09:58:01:690,1,638,11,0,366,6775,638,0 42,0,2024-09-07 09:58:01:479,156937,0.9,156559,1.0,313499,1.0,415885,2.75 42,1,2024-09-07 09:58:01:445,1117219,1117219,0,0,524141720289,5504069004,1100221,14042,2956,380,391675,0 42,2,2024-09-07 09:58:01:169,801646,801645,1,0,39410295,0,5513 42,3,2024-09-07 09:58:01:009,1,638,31,0,892,6192,638,0 43,0,2024-09-07 09:58:00:918,156477,0.7,152370,0.9,319214,0.7,417730,2.25 43,1,2024-09-07 09:58:00:580,1120393,1120393,0,0,525446196171,5488362915,1104981,12671,2741,366,391696,0 43,2,2024-09-07 09:58:01:740,805819,805819,0,0,37218064,0,4723 43,3,2024-09-07 09:58:01:750,1,638,4,0,571,8960,638,0 44,0,2024-09-07 09:58:00:876,164521,0.4,164724,0.6,328828,0.3,438191,1.75 44,1,2024-09-07 09:58:00:563,1123925,1123925,0,0,525698506896,5430768303,1114478,7504,1943,356,391809,0 44,2,2024-09-07 09:58:01:268,807320,807320,0,0,30135073,0,4344 44,3,2024-09-07 09:58:01:101,1,638,0,0,1097,7772,638,0 45,0,2024-09-07 09:58:01:764,158118,0.5,154514,0.7,324298,0.4,425276,2.00 45,1,2024-09-07 09:58:01:007,1122796,1122796,0,0,527531404200,5477928446,1114526,7367,903,382,391917,0 45,2,2024-09-07 09:58:01:267,810537,810537,0,0,30413945,0,3596 45,3,2024-09-07 09:58:00:934,1,638,10,0,531,5960,638,0 46,0,2024-09-07 09:58:00:956,156958,0.5,156500,0.7,313958,0.5,417394,2.00 46,1,2024-09-07 09:58:00:580,1125188,1125188,0,0,527543035555,5450974185,1117564,6635,989,366,391709,0 46,2,2024-09-07 09:58:00:594,806579,806579,0,0,30801513,0,4443 46,3,2024-09-07 09:58:01:136,1,638,1,0,908,7356,638,0 47,0,2024-09-07 09:58:01:104,157198,0.4,156863,0.6,315209,0.3,417881,1.75 47,1,2024-09-07 09:58:00:569,1126121,1126121,0,0,527621931268,5447377496,1119909,5348,864,364,391641,0 47,2,2024-09-07 09:58:00:911,809292,809292,0,0,30197259,0,4477 47,3,2024-09-07 09:58:01:117,1,638,0,0,600,6812,638,0 48,0,2024-09-07 09:58:01:492,162584,0.3,162871,0.4,324940,0.2,432690,1.50 48,1,2024-09-07 09:58:01:026,1122879,1122879,0,0,526530884470,5464804547,1116615,5684,580,381,391710,0 48,2,2024-09-07 09:58:00:711,807751,807751,0,0,28621391,0,3524 48,3,2024-09-07 09:58:00:757,1,638,1,0,339,5475,638,0 49,0,2024-09-07 09:58:01:719,166797,0.3,163305,0.5,317805,0.3,433157,1.75 49,1,2024-09-07 09:58:01:031,1122478,1122478,0,0,526259630672,5471246760,1115011,5771,1696,382,391809,0 49,2,2024-09-07 09:58:01:798,811182,811182,0,0,30828978,0,4426 49,3,2024-09-07 09:58:01:416,1,638,1,0,992,7520,638,0 50,0,2024-09-07 09:58:01:508,158338,0.3,156835,0.5,315892,0.2,419989,1.75 50,1,2024-09-07 09:58:01:011,1125172,1125172,0,0,528778068756,5462766270,1118513,5989,670,368,391691,0 50,2,2024-09-07 09:58:01:067,806369,806369,0,0,28387722,0,4490 50,3,2024-09-07 09:58:01:302,1,638,0,0,617,6293,638,0 51,0,2024-09-07 09:58:01:687,161617,0.3,158092,0.5,307910,0.2,419465,1.75 51,1,2024-09-07 09:58:01:680,1125211,1125211,0,0,529499089680,5456770372,1119388,4742,1081,365,391706,0 51,2,2024-09-07 09:58:01:318,805552,805552,0,0,28139820,0,3337 51,3,2024-09-07 09:58:01:028,1,638,2,0,678,4529,638,0 52,0,2024-09-07 09:58:01:413,160988,0.5,160840,0.6,321748,0.4,427905,2.00 52,1,2024-09-07 09:58:00:585,1120567,1120567,0,0,525818753160,5499648927,1105278,12953,2336,368,391805,0 52,2,2024-09-07 09:58:01:755,802535,802497,38,0,38629062,0,6742 52,3,2024-09-07 09:58:00:676,1,638,7,0,1782,7394,638,0 53,0,2024-09-07 09:58:01:739,163458,0.6,158620,0.8,331894,0.7,434502,2.25 53,1,2024-09-07 09:58:00:772,1118428,1118428,0,0,525486673675,5510796083,1099818,14204,4406,367,391968,0 53,2,2024-09-07 09:58:01:335,810473,810472,1,0,34206064,0,5455 53,3,2024-09-07 09:58:00:697,1,638,3,0,308,5741,638,0 54,0,2024-09-07 09:58:01:615,157087,0.6,157327,0.8,313526,0.5,418711,2.25 54,1,2024-09-07 09:58:00:585,1122244,1122244,0,0,526696581459,5474797813,1111000,9572,1672,366,391810,0 54,2,2024-09-07 09:58:00:869,809274,809242,32,0,38355287,0,6397 54,3,2024-09-07 09:58:00:769,1,638,3,0,676,8028,638,0 55,0,2024-09-07 09:58:01:770,152372,0.6,157150,0.8,318517,0.5,415215,2.50 55,1,2024-09-07 09:58:00:766,1122376,1122376,0,0,526116657549,5470845310,1110763,10145,1468,365,391731,0 55,2,2024-09-07 09:58:00:730,802617,802561,56,0,35292042,0,7239 55,3,2024-09-07 09:58:00:676,1,638,1,0,304,5842,638,0 56,0,2024-09-07 09:58:01:587,161948,1.2,152572,1.1,314565,1.5,421239,2.75 56,1,2024-09-07 09:58:00:575,1115586,1115586,0,0,523964806896,5537564471,1097339,14533,3714,381,391867,0 56,2,2024-09-07 09:58:01:309,803991,803869,122,0,37653911,0,7432 56,3,2024-09-07 09:58:01:076,1,638,403,0,705,7721,638,0 57,0,2024-09-07 09:58:00:961,162898,1.3,162731,1.1,325611,1.7,434858,3.00 57,1,2024-09-07 09:58:00:987,1118199,1118199,0,0,524647299951,5503849584,1103270,12400,2529,366,392032,0 57,2,2024-09-07 09:58:01:320,807986,807986,0,0,40032553,0,4804 57,3,2024-09-07 09:58:01:742,1,638,1,0,455,7181,638,0 58,0,2024-09-07 09:58:00:554,157153,1.0,152650,1.0,319455,1.2,418328,2.75 58,1,2024-09-07 09:58:00:578,1119293,1119290,0,3,525542324284,5508971909,1102003,12985,4302,367,391726,3 58,2,2024-09-07 09:58:01:080,809748,809748,0,0,36991540,0,3483 58,3,2024-09-07 09:58:01:068,1,638,2,0,1043,7023,638,0 59,0,2024-09-07 09:58:01:750,156584,0.9,156000,1.0,311899,0.9,413972,3.00 59,1,2024-09-07 09:58:00:805,1118819,1118819,0,0,525505819364,5521231735,1100119,14866,3834,369,391653,0 59,2,2024-09-07 09:58:00:583,804174,804174,0,0,34852016,0,3727 59,3,2024-09-07 09:58:01:737,1,638,12,0,1015,7706,638,0 60,0,2024-09-07 09:58:01:726,157277,0.5,157275,0.7,314815,0.4,419432,1.75 60,1,2024-09-07 09:58:00:779,1123080,1123080,0,0,527913635544,5484725219,1114779,7192,1109,370,392031,0 60,2,2024-09-07 09:58:01:147,806919,806919,0,0,33028418,0,3811 60,3,2024-09-07 09:58:01:257,1,638,1,0,409,7143,638,0 61,0,2024-09-07 09:58:01:516,163331,0.6,163942,0.7,326324,0.5,435872,2.00 61,1,2024-09-07 09:58:00:789,1120742,1120742,0,0,525373669413,5496594605,1109309,9743,1690,382,392127,0 61,2,2024-09-07 09:58:01:136,808273,808206,67,0,33585868,0,6411 61,3,2024-09-07 09:58:01:699,1,638,0,0,607,7985,638,0 62,0,2024-09-07 09:58:01:717,161652,0.6,165863,0.7,316642,0.6,429922,2.00 62,1,2024-09-07 09:58:01:123,1127505,1127499,0,6,529597831366,5457338483,1122290,4908,301,365,391975,6 62,2,2024-09-07 09:58:01:659,808210,808209,1,0,33475276,0,5555 62,3,2024-09-07 09:58:01:146,1,638,2,0,482,5040,638,0 63,0,2024-09-07 09:58:01:455,158459,0.4,158130,0.6,316887,0.3,420927,1.75 63,1,2024-09-07 09:58:00:811,1123536,1123530,0,6,526738535939,5466533484,1116613,6060,857,381,391800,6 63,2,2024-09-07 09:58:00:768,806257,806257,0,0,31726587,0,4369 63,3,2024-09-07 09:58:01:736,1,638,2,0,667,6373,638,0 64,0,2024-09-07 09:58:01:538,155787,0.5,155683,0.6,311086,0.4,414236,2.00 64,1,2024-09-07 09:58:00:761,1121684,1121684,0,0,527258252122,5487007380,1112313,7392,1979,370,391794,0 64,2,2024-09-07 09:58:01:188,809791,809772,19,0,30960009,0,6121 64,3,2024-09-07 09:58:01:144,1,638,3,0,651,7112,638,0 65,0,2024-09-07 09:58:01:678,159974,0.6,160248,0.7,320312,0.6,427568,2.00 65,1,2024-09-07 09:58:00:871,1120452,1120452,0,0,524860344635,5482535310,1112875,6701,876,381,391901,0 65,2,2024-09-07 09:58:01:697,805457,805457,0,0,37371346,0,3367 65,3,2024-09-07 09:58:01:684,1,638,1,0,782,7808,638,0 66,0,2024-09-07 09:58:01:767,162915,0.5,162479,0.7,325122,0.5,432233,2.00 66,1,2024-09-07 09:58:01:301,1122541,1122541,0,0,526843884412,5479278633,1115730,6067,744,380,391743,0 66,2,2024-09-07 09:58:01:165,812606,812603,3,0,33121479,0,5455 66,3,2024-09-07 09:58:01:094,1,638,12,0,291,5562,638,0 67,0,2024-09-07 09:58:01:427,158040,0.5,157558,0.7,316041,0.5,420663,2.00 67,1,2024-09-07 09:58:00:771,1122771,1122770,0,1,527372447051,5486341113,1115362,6463,945,380,391787,1 67,2,2024-09-07 09:58:00:582,811621,811606,15,0,32829033,0,6205 67,3,2024-09-07 09:58:01:750,1,638,3,0,595,6437,638,0 68,0,2024-09-07 09:58:00:624,157828,0.6,157517,0.7,313943,0.5,420062,2.25 68,1,2024-09-07 09:58:00:593,1118019,1118019,0,0,523962093220,5508938037,1103135,11104,3780,381,391953,0 68,2,2024-09-07 09:58:01:045,800636,800536,100,0,41221853,0,8578 68,3,2024-09-07 09:58:00:730,1,638,10,0,417,7916,638,0 69,0,2024-09-07 09:58:01:961,159195,0.7,159937,0.8,318799,0.7,424239,2.25 69,1,2024-09-07 09:58:01:016,1117197,1117197,0,0,523976078534,5519241001,1102844,11376,2977,383,391994,0 69,2,2024-09-07 09:58:01:732,802262,802233,29,0,44496257,0,6912 69,3,2024-09-07 09:58:00:770,1,638,1,0,698,8848,638,0 70,0,2024-09-07 09:58:01:535,163031,0.8,163712,0.9,328527,0.7,435477,2.50 70,1,2024-09-07 09:58:00:807,1123558,1123558,0,0,527839586219,5474898677,1115293,7451,814,366,391725,0 70,2,2024-09-07 09:58:01:330,809490,809490,0,0,36537111,0,4323 70,3,2024-09-07 09:58:00:757,1,638,1,0,854,6877,638,0 71,0,2024-09-07 09:58:01:366,158016,0.9,157583,1.0,316444,1.1,422075,2.50 71,1,2024-09-07 09:58:01:598,1121228,1121228,0,0,526668633848,5494971644,1107593,12009,1626,367,391738,0 71,2,2024-09-07 09:58:01:080,808422,808422,0,0,36340147,0,4352 71,3,2024-09-07 09:58:01:750,1,638,1,0,644,6942,638,0 72,0,2024-09-07 09:58:01:034,162976,0.5,159214,0.7,310975,0.5,422936,2.00 72,1,2024-09-07 09:58:01:033,1119438,1119438,0,0,524838239042,5503263900,1103347,13405,2686,369,391819,0 72,2,2024-09-07 09:58:01:758,801809,801809,0,0,37891710,0,3983 72,3,2024-09-07 09:58:01:756,1,638,0,0,564,9041,638,0 73,0,2024-09-07 09:58:01:113,153949,0.4,157993,0.6,322766,0.4,420359,2.00 73,1,2024-09-07 09:58:00:772,1121390,1121390,0,0,526438266503,5469092952,1112951,7456,983,367,391858,0 73,2,2024-09-07 09:58:01:747,804958,804957,1,0,39926682,0,5027 73,3,2024-09-07 09:58:00:974,1,638,9,0,1091,8693,638,0 74,0,2024-09-07 09:58:01:351,165442,0.4,169455,0.6,323329,0.4,438647,2.00 74,1,2024-09-07 09:58:00:638,1120147,1120147,0,0,525349714985,5481967150,1108056,9954,2137,381,391762,0 74,2,2024-09-07 09:58:01:026,807343,807343,0,0,34853079,0,4253 74,3,2024-09-07 09:58:01:442,1,638,1,0,522,7545,638,0 75,0,2024-09-07 09:58:01:765,160131,0.5,159214,0.7,319495,0.5,426686,2.25 75,1,2024-09-07 09:58:01:586,1121059,1121059,0,0,527113724001,5490941896,1111864,8263,932,380,391739,0 75,2,2024-09-07 09:58:01:350,808520,808520,0,0,41858452,0,4766 75,3,2024-09-07 09:58:01:068,1,638,21,0,918,8486,638,0 76,0,2024-09-07 09:58:00:626,157375,0.6,156691,0.7,313394,0.5,419118,2.25 76,1,2024-09-07 09:58:00:806,1121079,1121079,0,0,526020903329,5481102201,1113728,6357,994,382,391790,0 76,2,2024-09-07 09:58:01:067,807210,807207,3,0,33657937,0,5265 76,3,2024-09-07 09:58:01:177,1,638,0,0,227,5779,638,0 77,0,2024-09-07 09:58:01:691,156328,0.5,156762,0.7,313583,0.5,417177,2.00 77,1,2024-09-07 09:58:00:833,1121545,1121545,0,0,526891056689,5492899391,1113443,7222,880,381,391869,0 77,2,2024-09-07 09:58:01:281,805464,805464,0,0,33142677,0,3890 77,3,2024-09-07 09:58:01:099,1,638,28,0,401,7222,638,0 78,0,2024-09-07 09:58:01:726,162969,0.4,162283,0.6,325410,0.4,431306,2.00 78,1,2024-09-07 09:58:00:615,1121110,1121110,0,0,525132833086,5474851502,1107813,10365,2932,367,391670,0 78,2,2024-09-07 09:58:01:404,808389,808376,13,0,31041246,0,8313 78,3,2024-09-07 09:58:01:144,1,638,12,0,311,5207,638,0 79,0,2024-09-07 09:58:01:348,156634,0.4,160411,0.6,328891,0.3,427734,2.00 79,1,2024-09-07 09:58:00:577,1125600,1125600,0,0,527815130689,5459293954,1117738,6502,1360,367,391682,0 79,2,2024-09-07 09:58:01:068,810762,810762,0,0,30167265,0,4195 79,3,2024-09-07 09:58:00:752,1,638,1,0,418,7286,638,0 80,0,2024-09-07 09:58:01:073,157789,0.5,162049,0.7,309599,0.5,418973,2.00 80,1,2024-09-07 09:58:01:660,1121275,1121275,0,0,526309415813,5476757596,1114002,6816,457,368,392269,0 80,2,2024-09-07 09:58:01:099,809789,809789,0,0,30603478,0,4433 80,3,2024-09-07 09:58:00:579,1,638,1,0,681,7762,638,0 81,0,2024-09-07 09:58:01:539,157368,0.5,161008,0.7,307477,0.5,416630,2.00 81,1,2024-09-07 09:58:01:650,1120528,1120528,0,0,524963197085,5482719802,1112109,7638,781,382,391885,0 81,2,2024-09-07 09:58:01:144,804775,804712,63,0,33842978,0,5932 81,3,2024-09-07 09:58:01:130,1,638,12,0,719,7151,638,0 82,0,2024-09-07 09:58:01:536,160482,0.4,160573,0.6,321844,0.4,426864,2.00 82,1,2024-09-07 09:58:00:583,1122262,1122258,0,4,526726895140,5472409054,1116645,4788,825,381,391768,4 82,2,2024-09-07 09:58:01:709,807999,807999,0,0,28896253,0,4484 82,3,2024-09-07 09:58:01:756,1,638,2,0,363,5812,638,0 83,0,2024-09-07 09:58:01:534,164059,0.6,163880,0.7,327452,0.6,434909,2.00 83,1,2024-09-07 09:58:00:551,1121310,1121310,0,0,525553421445,5475193005,1113673,6992,645,382,391709,0 83,2,2024-09-07 09:58:00:768,810688,810663,25,0,31103207,0,5612 83,3,2024-09-07 09:58:00:749,1,638,8,0,1260,7374,638,0 84,0,2024-09-07 09:58:01:762,157404,0.8,157501,0.9,314764,0.7,420421,2.25 84,1,2024-09-07 09:58:01:039,1119173,1119173,0,0,525567758407,5495975423,1106287,11004,1882,367,391967,0 84,2,2024-09-07 09:58:00:580,807526,807116,410,0,44936286,0,17037 84,3,2024-09-07 09:58:01:146,1,638,2,0,908,8626,638,0 85,0,2024-09-07 09:58:01:022,151610,0.6,151560,0.8,321780,0.6,415485,2.25 85,1,2024-09-07 09:58:00:570,1116092,1116092,0,0,524260246445,5530564247,1099545,13744,2803,381,392092,0 85,2,2024-09-07 09:58:00:888,803066,803066,0,0,37987085,0,4255 85,3,2024-09-07 09:58:00:688,1,638,1,0,789,7171,638,0 86,0,2024-09-07 09:58:00:930,158585,0.7,163040,0.8,311812,0.7,421640,2.25 86,1,2024-09-07 09:58:00:844,1119118,1119118,0,0,525480696830,5509427890,1104395,11927,2796,366,392169,0 86,2,2024-09-07 09:58:00:859,804445,804444,1,0,40808007,0,5004 86,3,2024-09-07 09:58:00:594,1,638,4,0,308,8760,638,0 87,0,2024-09-07 09:58:01:359,164793,0.7,163926,0.8,328212,0.8,438726,2.25 87,1,2024-09-07 09:58:00:562,1118370,1118370,0,0,524885082521,5495742893,1104689,11880,1801,366,392076,0 87,2,2024-09-07 09:58:01:071,807848,807842,6,0,37726010,0,6323 87,3,2024-09-07 09:58:01:798,1,638,2,0,473,8890,638,0 88,0,2024-09-07 09:58:01:476,159513,0.4,160007,0.6,319763,0.4,425871,1.75 88,1,2024-09-07 09:58:00:602,1117996,1117996,0,0,524706101296,5497697873,1102277,12670,3049,365,392084,0 88,2,2024-09-07 09:58:00:697,808738,808738,0,0,39609073,0,4465 88,3,2024-09-07 09:58:01:277,1,638,17,0,1080,9829,638,0 89,0,2024-09-07 09:58:01:768,162400,0.4,157553,0.6,310823,0.4,422576,1.75 89,1,2024-09-07 09:58:00:562,1117116,1117116,0,0,524917911510,5518696559,1103453,11490,2173,382,391866,0 89,2,2024-09-07 09:58:01:147,803841,803841,0,0,37196354,0,3173 89,3,2024-09-07 09:58:01:799,1,638,2,0,468,10255,638,0 90,0,2024-09-07 09:58:01:653,152528,0.4,156725,0.6,319707,0.4,417238,2.00 90,1,2024-09-07 09:58:00:615,1119150,1119150,0,0,524632734961,5495145420,1108076,10041,1033,380,391825,0 90,2,2024-09-07 09:58:01:413,802278,802273,5,0,40797528,0,6370 90,3,2024-09-07 09:58:00:930,1,638,169,0,322,7899,638,0 91,0,2024-09-07 09:58:00:963,163797,0.4,158927,0.6,332652,0.4,436470,1.75 91,1,2024-09-07 09:58:00:562,1116122,1116122,0,0,524038675090,5526005011,1098561,13603,3958,381,392047,0 91,2,2024-09-07 09:58:01:333,807263,807263,0,0,36273594,0,4713 91,3,2024-09-07 09:58:00:599,1,638,11,0,216,5766,638,0 92,0,2024-09-07 09:58:01:453,162814,0.4,166494,0.6,317298,0.4,430190,1.75 92,1,2024-09-07 09:58:00:587,1120520,1120520,0,0,526697714334,5497541852,1112096,7135,1289,381,392136,0 92,2,2024-09-07 09:58:01:351,810340,810340,0,0,31732669,0,3259 92,3,2024-09-07 09:58:01:017,1,638,2,0,167,5353,638,0 93,0,2024-09-07 09:58:00:965,158939,0.4,162799,0.6,310981,0.3,420964,1.75 93,1,2024-09-07 09:58:00:810,1121403,1121403,0,0,525426218948,5481908549,1109237,10212,1954,365,392048,0 93,2,2024-09-07 09:58:00:933,805886,805886,0,0,37231926,0,4913 93,3,2024-09-07 09:58:01:405,1,638,19,0,375,6658,638,0 94,0,2024-09-07 09:58:01:614,155798,0.4,157040,0.5,313795,0.3,416549,1.75 94,1,2024-09-07 09:58:00:595,1121867,1121867,0,0,526462223601,5486101406,1114886,6545,436,381,391850,0 94,2,2024-09-07 09:58:00:768,806008,805980,28,0,32306287,0,6179 94,3,2024-09-07 09:58:01:688,1,638,4,0,576,7751,638,0 95,0,2024-09-07 09:58:01:357,161195,0.3,161150,0.5,322517,0.3,429964,1.75 95,1,2024-09-07 09:58:00:861,1122278,1122278,0,0,526740901283,5470945752,1113667,7850,761,365,391852,0 95,2,2024-09-07 09:58:01:018,805457,805457,0,0,32062124,0,3308 95,3,2024-09-07 09:58:01:717,1,638,1,0,718,9839,638,0 96,0,2024-09-07 09:58:01:021,163413,0.3,163658,0.5,327689,0.3,434987,1.75 96,1,2024-09-07 09:58:01:584,1120452,1120452,0,0,525520991700,5479343732,1112790,6377,1285,384,391964,0 96,2,2024-09-07 09:58:01:267,811309,811309,0,0,33066279,0,4180 96,3,2024-09-07 09:58:01:147,1,638,1,0,411,7120,638,0 97,0,2024-09-07 09:58:01:360,158036,0.3,158041,0.5,316718,0.3,420459,1.50 97,1,2024-09-07 09:58:00:786,1122576,1122576,0,0,527306699282,5468717506,1115592,5940,1044,367,392140,0 97,2,2024-09-07 09:58:00:608,809965,809965,0,0,32548607,0,4046 97,3,2024-09-07 09:58:00:585,1,638,3,0,242,7163,638,0 98,0,2024-09-07 09:58:01:694,157412,0.3,157405,0.4,316280,0.2,420385,1.50 98,1,2024-09-07 09:58:00:637,1121681,1121681,0,0,526464590167,5481227635,1115144,5697,840,381,391997,0 98,2,2024-09-07 09:58:00:786,804241,804241,0,0,31131438,0,4336 98,3,2024-09-07 09:58:00:712,1,638,1,0,840,9308,638,0 99,0,2024-09-07 09:58:01:449,159783,0.3,160645,0.5,319819,0.3,426976,1.75 99,1,2024-09-07 09:58:01:729,1122475,1122475,0,0,526012800064,5471745266,1115735,5774,966,380,392069,0 99,2,2024-09-07 09:58:01:418,805072,805072,0,0,39197636,0,4276 99,3,2024-09-07 09:58:00:586,1,638,7,0,1124,8055,638,0 100,0,2024-09-07 09:58:01:467,164524,0.8,164973,0.9,329662,0.9,440011,2.50 100,1,2024-09-07 09:58:00:551,1115258,1115258,0,0,524017096926,5539621359,1097952,13814,3492,378,391989,0 100,2,2024-09-07 09:58:01:817,805515,805126,389,0,42293000,0,16909 100,3,2024-09-07 09:58:01:731,1,638,10,0,627,10417,638,0 101,0,2024-09-07 09:58:01:719,162534,1.0,158623,1.0,310330,1.0,423824,2.25 101,1,2024-09-07 09:58:00:551,1117757,1117757,0,0,524892262742,5514675259,1102810,12267,2680,368,391847,0 101,2,2024-09-07 09:58:01:756,804624,804624,0,0,42292942,0,4871 101,3,2024-09-07 09:58:00:958,1,638,1,0,1250,9383,638,0 102,0,2024-09-07 09:58:01:045,153577,0.6,158200,0.8,321381,0.6,420301,2.25 102,1,2024-09-07 09:58:01:151,1117387,1117387,0,0,524818670987,5510531589,1102679,12499,2209,369,391984,0 102,2,2024-09-07 09:58:01:740,804235,804181,54,0,35900124,0,6768 102,3,2024-09-07 09:58:01:614,1,638,1,0,466,7079,638,0 103,0,2024-09-07 09:58:01:600,163276,0.5,163247,0.7,307658,0.5,423872,2.00 103,1,2024-09-07 09:58:01:625,1116605,1116605,0,0,523908718482,5530053961,1099129,13862,3614,381,392077,0 103,2,2024-09-07 09:58:00:596,803528,803528,0,0,39007384,0,3766 103,3,2024-09-07 09:58:00:755,1,638,3,0,916,7341,638,0 104,0,2024-09-07 09:58:01:015,163373,0.7,163659,0.9,326432,0.6,436296,2.25 104,1,2024-09-07 09:58:01:600,1118809,1118809,0,0,524837987404,5513189655,1102289,13476,3044,365,392168,0 104,2,2024-09-07 09:58:01:669,805538,805538,0,0,38697995,0,4161 104,3,2024-09-07 09:58:01:428,1,638,1,0,1245,11001,638,0 105,0,2024-09-07 09:58:01:033,157998,0.9,154042,1.0,323017,1.0,424470,2.50 105,1,2024-09-07 09:58:00:564,1120332,1120332,0,0,525944422237,5505966741,1106601,11784,1947,364,392009,0 105,2,2024-09-07 09:58:01:329,808363,808363,0,0,39184346,0,4360 105,3,2024-09-07 09:58:01:321,1,638,8,0,573,9561,638,0 106,0,2024-09-07 09:58:00:989,152418,0.9,156129,1.0,319824,1.1,417464,2.50 106,1,2024-09-07 09:58:01:750,1118828,1118828,0,0,524492536347,5501481141,1103623,13272,1933,368,391914,0 106,2,2024-09-07 09:58:00:774,803903,803903,0,0,36155723,0,3331 106,3,2024-09-07 09:58:00:677,1,638,1,0,1224,8596,638,0 107,0,2024-09-07 09:58:01:141,156447,0.9,156503,0.9,313108,1.0,417768,2.25 107,1,2024-09-07 09:58:00:584,1116965,1116965,0,0,523673591401,5530891468,1099261,15558,2146,381,392234,0 107,2,2024-09-07 09:58:01:301,802099,802098,1,0,39685858,0,5024 107,3,2024-09-07 09:58:01:760,1,638,2,0,733,9558,638,0 108,0,2024-09-07 09:58:01:816,161920,0.4,162592,0.6,324062,0.4,432572,1.75 108,1,2024-09-07 09:58:01:299,1120602,1120602,0,0,525959999985,5481919387,1111777,7827,998,367,391894,0 108,2,2024-09-07 09:58:01:755,807366,807366,0,0,34279723,0,4246 108,3,2024-09-07 09:58:01:333,1,638,1,0,749,11047,638,0 109,0,2024-09-07 09:58:01:757,163106,0.4,161678,0.6,324248,0.3,432369,1.75 109,1,2024-09-07 09:58:00:583,1118202,1118202,0,0,524772637377,5501286563,1108636,8286,1280,382,392132,0 109,2,2024-09-07 09:58:00:922,808347,808347,0,0,35009736,0,3617 109,3,2024-09-07 09:58:01:143,1,638,19,0,630,7518,638,0 110,0,2024-09-07 09:58:01:745,157575,0.4,153257,0.6,320917,0.4,420830,1.75 110,1,2024-09-07 09:58:01:649,1122619,1122619,0,0,526876247821,5465673745,1114527,6163,1929,368,392045,0 110,2,2024-09-07 09:58:01:316,808241,808241,0,0,32500463,0,4067 110,3,2024-09-07 09:58:00:690,1,638,1,0,722,8382,638,0 111,0,2024-09-07 09:58:01:415,158100,0.4,157321,0.5,314366,0.3,419057,1.75 111,1,2024-09-07 09:58:00:999,1123895,1123895,0,0,527681823863,5470834968,1118064,5449,382,380,391690,0 111,2,2024-09-07 09:58:01:118,805434,805434,0,0,33017464,0,4823 111,3,2024-09-07 09:58:00:913,1,638,2,0,379,6768,638,0 112,0,2024-09-07 09:58:00:912,161165,0.3,160752,0.4,322307,0.2,428096,1.50 112,1,2024-09-07 09:58:00:878,1122558,1122558,0,0,526610998973,5465314840,1115344,5989,1225,380,391624,0 112,2,2024-09-07 09:58:01:168,805964,805963,1,0,31677362,0,5036 112,3,2024-09-07 09:58:00:594,1,638,0,0,282,5936,638,0 113,0,2024-09-07 09:58:00:868,164083,0.3,164107,0.5,328703,0.2,437087,1.75 113,1,2024-09-07 09:58:01:685,1124980,1124980,0,0,528596774480,5450851877,1118724,5308,948,365,391664,0 113,2,2024-09-07 09:58:01:317,812652,812652,0,0,29761443,0,3813 113,3,2024-09-07 09:58:00:688,1,638,1,0,510,6689,638,0 114,0,2024-09-07 09:58:00:894,159016,0.3,159984,0.5,319162,0.2,425819,1.75 114,1,2024-09-07 09:58:00:718,1122781,1122781,0,0,526922001454,5468461787,1114676,6358,1747,381,391565,0 114,2,2024-09-07 09:58:00:877,811473,811472,1,0,30708855,0,5069 114,3,2024-09-07 09:58:01:289,1,638,1,0,415,5377,638,0 115,0,2024-09-07 09:58:00:556,158506,0.3,159051,0.4,316683,0.2,421705,1.50 115,1,2024-09-07 09:58:00:583,1123114,1123114,0,0,526921994153,5470426729,1114715,6880,1519,382,391757,0 115,2,2024-09-07 09:58:01:135,806116,806116,0,0,30873459,0,4382 115,3,2024-09-07 09:58:01:029,1,638,5,0,167,3861,638,0 116,0,2024-09-07 09:58:01:696,158370,0.7,158271,0.9,317347,0.7,423795,2.25 116,1,2024-09-07 09:58:00:808,1116348,1116348,0,0,524722162631,5528465383,1102953,10189,3206,380,392089,0 116,2,2024-09-07 09:58:01:750,805445,805445,0,0,38815904,0,4475 116,3,2024-09-07 09:58:00:913,1,638,1,0,448,8076,638,0 117,0,2024-09-07 09:58:00:968,164930,0.6,164346,0.8,329103,0.7,440365,2.00 117,1,2024-09-07 09:58:01:581,1118643,1118643,0,0,524450176391,5490281310,1106409,10653,1581,369,392429,0 117,2,2024-09-07 09:58:01:118,810190,810190,0,0,35208874,0,4303 117,3,2024-09-07 09:58:01:066,1,638,1,0,490,7891,638,0 118,0,2024-09-07 09:58:01:808,154750,0.5,158890,0.7,324263,0.5,424281,2.00 118,1,2024-09-07 09:58:00:586,1117842,1117842,0,0,523910484387,5504704909,1101755,12461,3626,366,392054,0 118,2,2024-09-07 09:58:01:596,808235,808235,0,0,37805849,0,2842 118,3,2024-09-07 09:58:01:788,1,638,22,0,343,7782,638,0 119,0,2024-09-07 09:58:01:352,157624,0.7,158257,0.8,315843,0.8,420895,2.25 119,1,2024-09-07 09:58:00:596,1118736,1118736,0,0,524472405282,5497917173,1103926,12297,2513,367,391857,0 119,2,2024-09-07 09:58:01:263,805068,805068,0,0,34947620,0,4309 119,3,2024-09-07 09:58:01:335,1,638,8,0,1358,11575,638,0 120,0,2024-09-07 09:58:01:577,156504,0.6,156283,0.8,313921,0.6,418582,2.25 120,1,2024-09-07 09:58:00:870,1119610,1119610,0,0,525109478351,5503945277,1107687,10778,1145,367,392144,0 120,2,2024-09-07 09:58:00:771,804916,804913,3,0,41847802,0,5363 120,3,2024-09-07 09:58:01:300,1,638,1,0,478,8021,638,0 121,0,2024-09-07 09:58:01:684,163667,1.0,163136,1.0,326396,1.3,435278,2.25 121,1,2024-09-07 09:58:01:660,1118932,1118932,0,0,525136362549,5494125420,1106982,10123,1827,366,391840,0 121,2,2024-09-07 09:58:01:179,807036,807036,0,0,38430362,0,4157 121,3,2024-09-07 09:58:00:730,1,638,3,0,387,8320,638,0 122,0,2024-09-07 09:58:01:766,160685,0.7,156210,0.9,327044,0.8,429951,2.00 122,1,2024-09-07 09:58:00:864,1118298,1118298,0,0,524849861643,5504960098,1103249,12631,2418,365,392130,0 122,2,2024-09-07 09:58:01:323,809834,809759,75,0,41992554,0,5989 122,3,2024-09-07 09:58:00:596,1,638,1,0,512,9972,638,0 123,0,2024-09-07 09:58:00:988,157262,0.8,153081,0.9,320843,0.9,419474,2.25 123,1,2024-09-07 09:58:00:564,1118842,1118842,0,0,524849252651,5517903562,1101068,14922,2852,369,392039,0 123,2,2024-09-07 09:58:01:029,804183,804182,1,0,35761864,0,5215 123,3,2024-09-07 09:58:01:136,1,638,9,0,478,7169,638,0 124,0,2024-09-07 09:58:00:927,160760,0.3,160837,0.5,303103,0.3,417083,1.75 124,1,2024-09-07 09:58:01:028,1122741,1122741,0,0,525927078113,5464974201,1114634,6916,1191,365,392178,0 124,2,2024-09-07 09:58:01:017,807749,807696,53,0,32378522,0,6487 124,3,2024-09-07 09:58:00:764,1,638,2,0,490,6289,638,0 125,0,2024-09-07 09:58:01:420,160871,0.4,160723,0.5,322448,0.3,430028,1.75 125,1,2024-09-07 09:58:00:860,1119819,1119819,0,0,525936379705,5486786561,1111533,7131,1155,382,392045,0 125,2,2024-09-07 09:58:01:124,808689,808689,0,0,32574660,0,4534 125,3,2024-09-07 09:58:01:129,1,638,40,0,709,7286,638,0 126,0,2024-09-07 09:58:01:418,163642,0.4,168297,0.5,321779,0.3,435678,1.75 126,1,2024-09-07 09:58:00:555,1124011,1124011,0,0,527097502618,5453446232,1118402,5160,449,365,391987,0 126,2,2024-09-07 09:58:00:615,811620,811620,0,0,33722525,0,4539 126,3,2024-09-07 09:58:00:907,1,638,5,0,268,6837,638,0 127,0,2024-09-07 09:58:01:592,158443,0.3,158576,0.5,316541,0.3,420730,1.75 127,1,2024-09-07 09:58:00:579,1121652,1121652,0,0,526299361209,5472530646,1110777,9231,1644,364,392187,0 127,2,2024-09-07 09:58:00:639,809138,809134,4,0,32004235,0,5305 127,3,2024-09-07 09:58:01:267,1,638,1,0,968,6146,638,0 128,0,2024-09-07 09:58:01:549,158362,0.3,158495,0.4,316748,0.2,421099,1.50 128,1,2024-09-07 09:58:01:616,1121251,1121251,0,0,526084730788,5470291226,1112565,7721,965,367,392423,0 128,2,2024-09-07 09:58:01:383,806555,806555,0,0,29743473,0,3171 128,3,2024-09-07 09:58:00:783,1,638,0,0,1082,9763,638,0 129,0,2024-09-07 09:58:00:992,161142,0.3,160246,0.5,321356,0.3,427547,1.50 129,1,2024-09-07 09:58:00:580,1117989,1117989,0,0,525327468885,5502868254,1107097,8870,2022,379,391962,0 129,2,2024-09-07 09:58:00:692,807411,807407,4,0,32263700,0,5335 129,3,2024-09-07 09:58:00:690,1,638,1,0,506,8473,638,0 130,0,2024-09-07 09:58:01:718,165705,0.4,165435,0.6,331334,0.4,440975,1.75 130,1,2024-09-07 09:58:00:584,1122987,1122987,0,0,526494740707,5465329520,1117520,5064,403,381,391825,0 130,2,2024-09-07 09:58:01:131,810119,810119,0,0,32558014,0,4067 130,3,2024-09-07 09:58:01:291,1,638,4,0,960,8440,638,0 131,0,2024-09-07 09:58:01:946,159189,0.3,159594,0.5,320096,0.3,425363,1.75 131,1,2024-09-07 09:58:01:838,1122068,1122068,0,0,526259571251,5482454663,1114667,6203,1198,381,391865,0 131,2,2024-09-07 09:58:00:601,810112,810112,0,0,30204343,0,3979 131,3,2024-09-07 09:58:01:742,1,638,28,0,392,8007,638,0 132,0,2024-09-07 09:58:01:414,158203,0.5,159219,0.6,316975,0.4,421499,2.00 132,1,2024-09-07 09:58:00:583,1117467,1117467,0,0,524354001934,5517006396,1101659,13032,2776,381,392532,0 132,2,2024-09-07 09:58:00:698,803508,803491,17,0,39299379,0,6451 132,3,2024-09-07 09:58:01:689,1,638,1,0,1298,11263,638,0 133,0,2024-09-07 09:58:01:516,154145,0.4,157730,0.6,323347,0.4,421488,2.00 133,1,2024-09-07 09:58:00:584,1117374,1117374,0,0,524004830697,5519353474,1102484,12960,1930,383,391914,0 133,2,2024-09-07 09:58:01:093,806435,806385,50,0,40171179,0,6861 133,3,2024-09-07 09:58:01:311,1,638,1,0,528,7695,638,0 134,0,2024-09-07 09:58:00:976,164765,0.5,164918,0.7,329639,0.4,438976,2.00 134,1,2024-09-07 09:58:00:604,1118471,1118471,0,0,525095714731,5509458104,1103402,11895,3174,366,391781,0 134,2,2024-09-07 09:58:01:771,807866,807842,24,0,37310598,0,6207 134,3,2024-09-07 09:58:00:750,1,638,1,0,739,7882,638,0 135,0,2024-09-07 09:58:01:110,154875,0.7,154886,0.8,328882,0.8,423840,2.25 135,1,2024-09-07 09:58:01:592,1118123,1118123,0,0,525456804311,5524419323,1104063,12213,1847,380,391974,0 135,2,2024-09-07 09:58:00:690,810547,810547,0,0,38289571,0,4503 135,3,2024-09-07 09:58:01:005,1,638,4,0,900,6086,638,0 136,0,2024-09-07 09:58:01:614,158253,0.6,158720,0.8,315224,0.6,420670,2.00 136,1,2024-09-07 09:58:01:449,1119411,1119411,0,0,525719307363,5506143936,1107412,10607,1392,381,392135,0 136,2,2024-09-07 09:58:01:147,806448,806433,15,0,37259502,0,6007 136,3,2024-09-07 09:58:01:114,1,638,0,0,637,7123,638,0 137,0,2024-09-07 09:58:00:943,161682,0.6,157704,0.7,308638,0.6,419617,2.00 137,1,2024-09-07 09:58:00:590,1118419,1118419,0,0,525205263151,5505389715,1101554,13475,3390,366,391898,0 137,2,2024-09-07 09:58:01:719,804406,804406,0,0,38744732,0,3185 137,3,2024-09-07 09:58:00:774,1,638,5,0,484,8479,638,0 138,0,2024-09-07 09:58:01:844,161412,0.8,161734,0.8,324108,0.9,431162,2.00 138,1,2024-09-07 09:58:01:685,1118764,1118764,0,0,525385333839,5507929727,1103694,12792,2278,368,391954,0 138,2,2024-09-07 09:58:00:586,805786,805786,0,0,37238898,0,4988 138,3,2024-09-07 09:58:00:621,1,638,5,0,1200,9751,638,0 139,0,2024-09-07 09:58:01:366,160905,0.9,161486,0.9,322653,1.3,430703,2.25 139,1,2024-09-07 09:58:00:571,1114294,1114294,0,0,522860478091,5538865661,1095639,14808,3847,380,392109,0 139,2,2024-09-07 09:58:00:698,804280,804250,30,0,42753653,0,5997 139,3,2024-09-07 09:58:01:662,1,638,11,0,432,7435,638,0 140,0,2024-09-07 09:58:01:590,158166,0.3,157359,0.5,315973,0.2,421083,1.75 140,1,2024-09-07 09:58:01:536,1126145,1126145,0,0,529522204935,5456166155,1120958,4635,552,364,391628,0 140,2,2024-09-07 09:58:00:693,808427,808426,1,0,29928085,0,5036 140,3,2024-09-07 09:58:00:770,1,638,1,0,575,6201,638,0 141,0,2024-09-07 09:58:01:707,157675,0.3,162038,0.5,309817,0.2,418789,1.50 141,1,2024-09-07 09:58:00:865,1123183,1123183,0,0,526867649687,5469532669,1114923,7067,1193,379,391614,0 141,2,2024-09-07 09:58:01:688,806110,806099,11,0,32182234,0,5369 141,3,2024-09-07 09:58:01:043,1,638,1,0,391,7059,638,0 142,0,2024-09-07 09:58:01:311,161457,0.3,160631,0.5,321598,0.2,429082,1.50 142,1,2024-09-07 09:58:00:595,1121348,1121348,0,0,526078596318,5479961427,1114593,6243,512,382,392102,0 142,2,2024-09-07 09:58:01:301,805271,805239,32,0,32766994,0,6028 142,3,2024-09-07 09:58:01:755,1,638,9,0,484,6701,638,0 143,0,2024-09-07 09:58:01:369,164189,0.4,163945,0.5,329007,0.4,437197,1.75 143,1,2024-09-07 09:58:00:588,1124038,1124038,0,0,528152954369,5474464035,1117542,5928,568,367,391900,0 143,2,2024-09-07 09:58:00:775,810842,810842,0,0,32802887,0,3123 143,3,2024-09-07 09:58:01:148,1,638,4,0,462,7478,638,0 144,0,2024-09-07 09:58:01:506,154038,0.6,158562,0.8,322539,0.5,422223,2.00 144,1,2024-09-07 09:58:00:569,1118745,1118745,0,0,523967207157,5495500359,1107918,8831,1996,381,391733,0 144,2,2024-09-07 09:58:01:761,810440,810440,0,0,31886676,0,4443 144,3,2024-09-07 09:58:01:742,1,638,2,0,249,6229,638,0 145,0,2024-09-07 09:58:01:359,152739,0.6,152674,0.8,324138,0.5,417318,2.25 145,1,2024-09-07 09:58:00:563,1117432,1117432,0,0,524843771531,5521940978,1102505,12173,2754,382,391781,0 145,2,2024-09-07 09:58:01:429,802572,802490,82,0,38016034,0,7814 145,3,2024-09-07 09:58:00:896,1,638,10,0,622,8315,638,0 146,0,2024-09-07 09:58:01:648,158841,0.6,158006,0.8,317851,0.6,422354,2.25 146,1,2024-09-07 09:58:01:592,1118485,1118485,0,0,524620571801,5519374117,1100056,13611,4818,367,391770,0 146,2,2024-09-07 09:58:01:697,804028,804022,6,0,36562898,0,5151 146,3,2024-09-07 09:58:01:286,1,638,10,0,1520,10344,638,0 147,0,2024-09-07 09:58:01:728,164816,0.6,164556,0.7,329111,0.5,439396,2.00 147,1,2024-09-07 09:58:01:377,1123017,1123017,0,0,526758075480,5470268561,1114416,7634,967,367,391791,0 147,2,2024-09-07 09:58:01:026,808929,808929,0,0,32611736,0,3359 147,3,2024-09-07 09:58:00:927,1,638,45,0,1626,9862,638,0 0,0,2024-09-07 09:58:11:721,153052,0.5,153052,0.7,324870,0.5,419685,2.00 0,1,2024-09-07 09:58:10:804,1121616,1121616,0,0,526341319183,5506085880,1112869,7794,953,368,391896,0 0,2,2024-09-07 09:58:11:077,807618,807618,0,0,32167482,0,4480 0,3,2024-09-07 09:58:10:974,1,639,1,0,431,8881,639,0 1,0,2024-09-07 09:58:11:779,163700,0.8,162646,0.9,326593,0.9,436982,2.00 1,1,2024-09-07 09:58:10:557,1120162,1120162,0,0,525659121569,5501242169,1110658,8009,1495,370,391859,0 1,2,2024-09-07 09:58:10:638,809647,809647,0,0,31780065,0,3380 1,3,2024-09-07 09:58:11:301,1,639,3,0,269,7764,639,0 2,0,2024-09-07 09:58:11:580,161236,0.6,160804,0.7,321671,0.6,429010,2.00 2,1,2024-09-07 09:58:10:859,1123574,1123574,0,0,527782818612,5483990166,1117387,5235,952,379,391745,0 2,2,2024-09-07 09:58:11:268,812042,812042,0,0,30261939,0,3594 2,3,2024-09-07 09:58:10:695,1,639,14,0,357,5565,639,0 3,0,2024-09-07 09:58:11:748,157911,0.4,157901,0.6,315434,0.4,419964,2.00 3,1,2024-09-07 09:58:11:622,1122717,1122717,0,0,527255432284,5480366610,1115079,6933,705,379,391716,0 3,2,2024-09-07 09:58:11:144,807753,807730,23,0,31119444,0,5851 3,3,2024-09-07 09:58:11:759,1,639,1,0,484,4816,639,0 4,0,2024-09-07 09:58:11:879,152000,0.4,156222,0.5,318509,0.3,416111,1.75 4,1,2024-09-07 09:58:10:591,1117106,1117106,0,0,524429161924,5549888639,1097889,14684,4533,369,391992,0 4,2,2024-09-07 09:58:11:018,804533,804533,0,0,38471853,0,4534 4,3,2024-09-07 09:58:11:028,1,639,4,0,448,8134,639,0 5,0,2024-09-07 09:58:11:403,161636,0.4,161546,0.5,323190,0.3,430459,1.75 5,1,2024-09-07 09:58:10:766,1118497,1118497,0,0,524573613690,5536106235,1101302,12972,4223,367,392005,0 5,2,2024-09-07 09:58:11:829,804597,804597,0,0,37595950,0,3582 5,3,2024-09-07 09:58:11:736,1,639,1,0,457,8602,639,0 6,0,2024-09-07 09:58:10:920,163505,0.5,162956,0.6,326115,0.4,434347,2.00 6,1,2024-09-07 09:58:10:746,1121034,1121034,0,0,525723759457,5487578799,1109711,9734,1589,379,391702,0 6,2,2024-09-07 09:58:11:116,811357,811339,18,0,36061665,0,5535 6,3,2024-09-07 09:58:11:276,1,639,1,0,710,7527,639,0 7,0,2024-09-07 09:58:11:548,157543,0.5,158673,0.7,314870,0.5,419686,2.00 7,1,2024-09-07 09:58:10:850,1118764,1118764,0,0,525336965799,5530079893,1101319,13310,4135,382,391747,0 7,2,2024-09-07 09:58:10:770,808608,808608,0,0,34769326,0,4791 7,3,2024-09-07 09:58:10:851,1,639,10,0,552,7336,639,0 8,0,2024-09-07 09:58:11:356,158373,0.4,158023,0.5,316470,0.3,421603,1.75 8,1,2024-09-07 09:58:11:024,1118145,1118145,0,0,524516612849,5514351291,1100615,13810,3720,366,392853,0 8,2,2024-09-07 09:58:10:796,801070,801068,2,0,41212647,0,5112 8,3,2024-09-07 09:58:10:593,1,639,1,0,772,9867,639,0 9,0,2024-09-07 09:58:11:218,160249,0.4,155737,0.5,325854,0.3,427856,1.75 9,1,2024-09-07 09:58:10:549,1117933,1117933,0,0,525121263693,5537850069,1099413,14420,4100,369,392001,0 9,2,2024-09-07 09:58:11:083,805416,805415,1,0,38920258,0,5281 9,3,2024-09-07 09:58:11:755,1,639,3,0,1273,10608,639,0 10,0,2024-09-07 09:58:11:604,164926,0.4,164329,0.5,329719,0.3,438698,1.75 10,1,2024-09-07 09:58:10:583,1119983,1119983,0,0,525463052622,5513136984,1103244,13233,3506,381,391981,0 10,2,2024-09-07 09:58:10:761,810494,810494,0,0,41912347,0,4713 10,3,2024-09-07 09:58:10:871,1,639,1,0,669,6923,639,0 11,0,2024-09-07 09:58:11:013,159210,0.4,154534,0.6,323411,0.4,425937,1.75 11,1,2024-09-07 09:58:10:575,1121913,1121913,0,0,526844420839,5523906169,1105609,11997,4307,383,391766,0 11,2,2024-09-07 09:58:11:125,809367,809367,0,0,36880458,0,4698 11,3,2024-09-07 09:58:11:299,1,639,2,0,843,7955,639,0 12,0,2024-09-07 09:58:11:028,159250,0.4,159151,0.5,317857,0.3,422455,1.75 12,1,2024-09-07 09:58:10:934,1121817,1121817,0,0,526153721354,5483977055,1113308,7499,1010,370,391960,0 12,2,2024-09-07 09:58:11:576,803827,803827,0,0,35404451,0,4390 12,3,2024-09-07 09:58:11:060,1,639,10,0,386,7825,639,0 13,0,2024-09-07 09:58:11:346,159425,0.4,159491,0.5,318145,0.3,424432,1.75 13,1,2024-09-07 09:58:11:559,1119201,1119201,0,0,525506118976,5519085686,1108243,8566,2392,382,391803,0 13,2,2024-09-07 09:58:10:602,809091,809091,0,0,31955396,0,3287 13,3,2024-09-07 09:58:11:765,1,639,10,0,522,8145,639,0 14,0,2024-09-07 09:58:10:568,164675,0.4,165734,0.6,329319,0.3,438541,1.75 14,1,2024-09-07 09:58:11:562,1126751,1126751,0,0,528483220731,5465064089,1118248,7373,1130,364,391673,0 14,2,2024-09-07 09:58:10:763,810318,810288,30,0,33245908,0,6104 14,3,2024-09-07 09:58:11:124,1,639,2,0,1168,6780,639,0 15,0,2024-09-07 09:58:11:560,160377,0.4,159517,0.6,319322,0.4,426163,1.75 15,1,2024-09-07 09:58:11:621,1123609,1123609,0,0,527518340564,5490175285,1114398,7298,1913,381,391619,0 15,2,2024-09-07 09:58:10:998,812800,812800,0,0,29161778,0,3622 15,3,2024-09-07 09:58:11:411,1,639,1,0,1126,8242,639,0 16,0,2024-09-07 09:58:10:942,157910,0.6,158514,0.8,316461,0.6,421195,2.00 16,1,2024-09-07 09:58:10:563,1123031,1123031,0,0,526760050531,5502229468,1113559,8025,1447,370,392194,0 16,2,2024-09-07 09:58:11:455,805363,805363,0,0,32509724,0,4719 16,3,2024-09-07 09:58:11:146,1,639,7,0,358,7771,639,0 17,0,2024-09-07 09:58:11:793,161866,0.5,157679,0.7,309093,0.5,420462,2.00 17,1,2024-09-07 09:58:10:653,1120764,1120764,0,0,525891591929,5511959351,1110200,8788,1776,368,392075,0 17,2,2024-09-07 09:58:11:671,809997,809996,1,0,34045643,0,5050 17,3,2024-09-07 09:58:10:585,1,639,4,0,518,9050,639,0 18,0,2024-09-07 09:58:10:945,161152,0.6,162228,0.8,323010,0.6,432053,2.25 18,1,2024-09-07 09:58:11:647,1125139,1125139,0,0,527421966077,5467345995,1117953,5927,1259,367,391725,0 18,2,2024-09-07 09:58:11:755,810882,810882,0,0,30610839,0,3541 18,3,2024-09-07 09:58:10:899,1,639,1,0,1059,5707,639,0 19,0,2024-09-07 09:58:11:599,161608,0.6,162032,0.8,323116,0.7,429038,2.00 19,1,2024-09-07 09:58:10:570,1125363,1125363,0,0,528503356310,5474938381,1117228,7000,1135,365,391777,0 19,2,2024-09-07 09:58:11:753,814167,814167,0,0,28843224,0,3988 19,3,2024-09-07 09:58:11:129,1,639,9,0,524,4879,639,0 20,0,2024-09-07 09:58:11:363,157836,0.5,157661,0.7,315301,0.5,420370,2.00 20,1,2024-09-07 09:58:10:567,1120939,1120939,0,0,526057947465,5510892600,1109861,9539,1539,369,391922,0 20,2,2024-09-07 09:58:10:931,807530,807530,0,0,35941251,0,4321 20,3,2024-09-07 09:58:10:589,1,639,1,0,468,9903,639,0 21,0,2024-09-07 09:58:11:156,157519,0.5,157531,0.6,315042,0.4,417723,2.00 21,1,2024-09-07 09:58:11:545,1118777,1118777,0,0,524482402787,5533433543,1101345,13508,3924,368,392016,0 21,2,2024-09-07 09:58:11:066,800447,799970,477,0,46619914,0,17074 21,3,2024-09-07 09:58:11:417,1,639,11,0,713,8844,639,0 22,0,2024-09-07 09:58:11:720,160676,0.4,161288,0.6,322296,0.4,427687,2.00 22,1,2024-09-07 09:58:11:026,1119284,1119284,0,0,525232144283,5524753326,1101066,14666,3552,381,391822,0 22,2,2024-09-07 09:58:10:759,805764,805738,26,0,34771189,0,6328 22,3,2024-09-07 09:58:11:066,1,639,1,0,228,5417,639,0 23,0,2024-09-07 09:58:11:370,163690,0.5,163563,0.7,327080,0.4,435683,2.00 23,1,2024-09-07 09:58:11:005,1119998,1119998,0,0,525940378240,5537629042,1099879,13409,6710,365,391690,0 23,2,2024-09-07 09:58:11:092,811322,811322,0,0,32946947,0,3773 23,3,2024-09-07 09:58:11:759,1,639,175,0,855,9302,639,0 24,0,2024-09-07 09:58:10:827,160048,0.4,159196,0.5,319961,0.4,424849,1.75 24,1,2024-09-07 09:58:10:584,1120302,1120302,0,0,524851692343,5491796404,1109825,8701,1776,367,392269,0 24,2,2024-09-07 09:58:11:069,809718,809715,3,0,39788161,0,6294 24,3,2024-09-07 09:58:11:688,1,639,1,0,468,8271,639,0 25,0,2024-09-07 09:58:11:403,162451,0.4,158110,0.6,310850,0.4,423351,1.75 25,1,2024-09-07 09:58:10:557,1118341,1118341,0,0,524503473559,5538957665,1098819,15616,3906,369,391928,0 25,2,2024-09-07 09:58:11:618,803359,803359,0,0,40027042,0,3978 25,3,2024-09-07 09:58:11:005,1,639,14,0,532,7016,639,0 26,0,2024-09-07 09:58:11:737,158533,0.4,154938,0.6,325040,0.4,423669,1.75 26,1,2024-09-07 09:58:11:545,1121686,1121686,0,0,526796105103,5514319967,1106441,12345,2900,380,391758,0 26,2,2024-09-07 09:58:10:861,806267,806267,0,0,42662628,0,4689 26,3,2024-09-07 09:58:11:713,1,639,44,0,796,7752,639,0 27,0,2024-09-07 09:58:11:731,165149,0.4,166005,0.6,329951,0.4,440685,2.25 27,1,2024-09-07 09:58:11:685,1123414,1123414,0,0,527016058641,5489010305,1112830,8968,1616,381,391626,0 27,2,2024-09-07 09:58:10:868,806843,806778,65,0,37539988,0,5699 27,3,2024-09-07 09:58:11:035,1,639,5,0,564,5377,639,0 28,0,2024-09-07 09:58:11:398,159982,0.4,160159,0.6,320492,0.3,426830,1.75 28,1,2024-09-07 09:58:10:797,1124075,1124075,0,0,527871588413,5498428796,1115122,7061,1892,382,391904,0 28,2,2024-09-07 09:58:11:766,811112,811112,0,0,32511751,0,2915 28,3,2024-09-07 09:58:11:782,1,639,1,0,502,6341,639,0 29,0,2024-09-07 09:58:11:361,162612,0.4,158385,0.6,310743,0.3,423417,1.75 29,1,2024-09-07 09:58:11:569,1126906,1126906,0,0,528578288047,5463444955,1120445,5683,778,367,391809,0 29,2,2024-09-07 09:58:10:860,805649,805649,0,0,31089600,0,4986 29,3,2024-09-07 09:58:10:963,1,639,1,0,459,6723,639,0 30,0,2024-09-07 09:58:11:501,156725,0.4,152452,0.6,319576,0.4,417738,2.00 30,1,2024-09-07 09:58:10:571,1125286,1125286,0,0,528455771792,5481117529,1117152,7163,971,380,391672,0 30,2,2024-09-07 09:58:11:274,808597,808597,0,0,30003463,0,4192 30,3,2024-09-07 09:58:10:583,1,639,1,0,519,6048,639,0 31,0,2024-09-07 09:58:11:758,163600,0.4,164429,0.6,327890,0.4,437643,1.75 31,1,2024-09-07 09:58:10:564,1129602,1129602,0,0,529195284111,5432591175,1122732,5754,1116,356,391712,0 31,2,2024-09-07 09:58:11:275,808943,808943,0,0,33917718,0,4470 31,3,2024-09-07 09:58:11:709,1,639,2,0,239,5486,639,0 32,0,2024-09-07 09:58:11:432,161385,0.3,162426,0.5,323261,0.3,430458,1.75 32,1,2024-09-07 09:58:10:804,1125354,1125354,0,0,527414111952,5478013533,1118972,5663,719,381,391646,0 32,2,2024-09-07 09:58:10:935,813410,813410,0,0,29630188,0,3922 32,3,2024-09-07 09:58:11:015,1,639,0,0,304,5189,639,0 33,0,2024-09-07 09:58:11:725,158529,0.3,158178,0.4,316419,0.2,420901,1.50 33,1,2024-09-07 09:58:10:575,1125973,1125973,0,0,528490124789,5470586178,1117407,7292,1274,368,391730,0 33,2,2024-09-07 09:58:10:766,807706,807671,35,0,32582168,0,7012 33,3,2024-09-07 09:58:10:898,1,639,1,0,329,5422,639,0 34,0,2024-09-07 09:58:10:931,156864,0.3,161275,0.5,308190,0.2,415978,1.75 34,1,2024-09-07 09:58:11:045,1127776,1127776,0,0,529833271159,5448252030,1124620,3045,111,367,391637,0 34,2,2024-09-07 09:58:10:767,808489,808489,0,0,31085878,0,4562 34,3,2024-09-07 09:58:11:688,1,639,1,0,541,5602,639,0 35,0,2024-09-07 09:58:10:865,160820,0.3,161635,0.5,323950,0.2,431239,1.75 35,1,2024-09-07 09:58:11:067,1124014,1124014,0,0,527788939329,5474800540,1115705,6786,1523,382,391769,0 35,2,2024-09-07 09:58:11:589,808121,808121,0,0,34920098,0,4055 35,3,2024-09-07 09:58:10:909,1,639,7,0,466,5883,639,0 36,0,2024-09-07 09:58:11:532,163839,0.5,164067,0.6,327492,0.4,435839,2.00 36,1,2024-09-07 09:58:10:583,1123051,1123051,0,0,526927819653,5499680869,1109148,11657,2246,366,391759,0 36,2,2024-09-07 09:58:11:751,811725,811725,0,0,36131824,0,3875 36,3,2024-09-07 09:58:10:864,1,639,0,0,556,8416,639,0 37,0,2024-09-07 09:58:11:368,157358,0.5,157525,0.7,315334,0.5,420314,2.25 37,1,2024-09-07 09:58:10:575,1122289,1122282,0,7,526920239604,5500771343,1109030,10332,2920,365,391770,0 37,2,2024-09-07 09:58:11:143,806828,806813,15,0,35876032,0,5815 37,3,2024-09-07 09:58:11:766,1,639,3,0,888,8729,639,0 38,0,2024-09-07 09:58:11:443,157187,0.4,152362,0.6,318663,0.4,417474,2.00 38,1,2024-09-07 09:58:11:617,1122191,1122191,0,0,526717967369,5507053704,1106175,12749,3267,368,391821,0 38,2,2024-09-07 09:58:10:760,805714,805667,47,0,35928986,0,6710 38,3,2024-09-07 09:58:10:997,1,639,1,0,689,7479,639,0 39,0,2024-09-07 09:58:11:773,163751,0.5,160055,0.7,312311,0.5,426657,2.00 39,1,2024-09-07 09:58:10:717,1121501,1121501,0,0,526312588317,5516518859,1103166,14147,4188,365,391865,0 39,2,2024-09-07 09:58:11:422,806676,806676,0,0,34394681,0,3391 39,3,2024-09-07 09:58:10:713,1,639,1,0,525,7131,639,0 40,0,2024-09-07 09:58:11:515,163457,0.9,164219,1.0,327780,1.0,437518,2.75 40,1,2024-09-07 09:58:10:576,1121992,1121992,0,0,526236576017,5510929372,1105514,13271,3207,366,391668,0 40,2,2024-09-07 09:58:11:307,807694,807687,7,0,40417594,0,5347 40,3,2024-09-07 09:58:11:146,1,639,2,0,1028,9211,639,0 41,0,2024-09-07 09:58:11:035,158538,1.2,162202,1.1,309459,1.7,420579,3.00 41,1,2024-09-07 09:58:10:777,1121337,1121337,0,0,526747436894,5506394005,1107266,11908,2163,369,391878,0 41,2,2024-09-07 09:58:10:758,806525,806524,1,0,39161681,0,5408 41,3,2024-09-07 09:58:11:685,1,639,2,0,366,6777,639,0 42,0,2024-09-07 09:58:11:478,157152,0.9,156757,1.0,313927,1.0,416468,2.75 42,1,2024-09-07 09:58:11:441,1119197,1119197,0,0,524805031437,5511641487,1102070,14171,2956,380,391675,0 42,2,2024-09-07 09:58:11:139,803022,803021,1,0,39522106,0,5513 42,3,2024-09-07 09:58:11:012,1,639,2,0,892,6194,639,0 43,0,2024-09-07 09:58:10:921,156845,0.7,152766,0.9,319938,0.7,419334,2.25 43,1,2024-09-07 09:58:10:578,1122249,1122249,0,0,526441382872,5499343438,1106680,12824,2745,366,391696,0 43,2,2024-09-07 09:58:11:736,807139,807139,0,0,37334153,0,4723 43,3,2024-09-07 09:58:11:749,1,639,6,0,571,8966,639,0 44,0,2024-09-07 09:58:10:857,164805,0.4,164981,0.6,329344,0.3,438917,1.75 44,1,2024-09-07 09:58:10:567,1125641,1125641,0,0,526887785320,5442764238,1116193,7505,1943,356,391809,0 44,2,2024-09-07 09:58:11:267,808450,808450,0,0,30149316,0,4344 44,3,2024-09-07 09:58:11:096,1,639,8,0,1097,7780,639,0 45,0,2024-09-07 09:58:11:780,158455,0.5,154870,0.7,324981,0.4,426158,2.00 45,1,2024-09-07 09:58:11:005,1124587,1124587,0,0,528443515440,5487215380,1116317,7367,903,382,391917,0 45,2,2024-09-07 09:58:11:268,811897,811897,0,0,30448724,0,3596 45,3,2024-09-07 09:58:10:934,1,639,1,0,531,5961,639,0 46,0,2024-09-07 09:58:10:954,157122,0.5,156674,0.7,314295,0.5,417901,2.00 46,1,2024-09-07 09:58:10:578,1127001,1127001,0,0,528574953858,5461409251,1119377,6635,989,366,391709,0 46,2,2024-09-07 09:58:10:593,807692,807692,0,0,30814465,0,4443 46,3,2024-09-07 09:58:11:139,1,639,8,0,908,7364,639,0 47,0,2024-09-07 09:58:11:104,157560,0.4,157192,0.6,315879,0.3,418833,1.75 47,1,2024-09-07 09:58:10:575,1127950,1127950,0,0,528462257350,5455882569,1121738,5348,864,364,391641,0 47,2,2024-09-07 09:58:10:911,810526,810526,0,0,30217239,0,4477 47,3,2024-09-07 09:58:11:117,1,639,1,0,600,6813,639,0 48,0,2024-09-07 09:58:11:533,163012,0.3,163344,0.4,325890,0.2,433800,1.50 48,1,2024-09-07 09:58:11:026,1124605,1124605,0,0,527335640188,5473083349,1118341,5684,580,381,391710,0 48,2,2024-09-07 09:58:10:698,809202,809202,0,0,28636458,0,3524 48,3,2024-09-07 09:58:10:753,1,639,1,0,339,5476,639,0 49,0,2024-09-07 09:58:11:710,166926,0.3,163432,0.5,318045,0.3,433474,1.75 49,1,2024-09-07 09:58:11:027,1124229,1124229,0,0,527065001032,5479480920,1116762,5771,1696,382,391809,0 49,2,2024-09-07 09:58:11:808,812633,812633,0,0,30845022,0,4426 49,3,2024-09-07 09:58:11:428,1,639,0,0,992,7520,639,0 50,0,2024-09-07 09:58:11:509,158471,0.3,156972,0.5,316132,0.2,420314,1.75 50,1,2024-09-07 09:58:11:014,1126953,1126953,0,0,529543146728,5470602239,1120293,5990,670,368,391691,0 50,2,2024-09-07 09:58:11:068,807041,807041,0,0,28396551,0,4490 50,3,2024-09-07 09:58:11:291,1,639,2,0,617,6295,639,0 51,0,2024-09-07 09:58:11:696,161630,0.3,158108,0.5,307941,0.2,419465,1.75 51,1,2024-09-07 09:58:11:683,1126995,1126995,0,0,530429125205,5466170453,1121172,4742,1081,365,391706,0 51,2,2024-09-07 09:58:11:315,807121,807121,0,0,28156122,0,3337 51,3,2024-09-07 09:58:11:027,1,639,5,0,678,4534,639,0 52,0,2024-09-07 09:58:11:415,161336,0.5,161179,0.6,322406,0.4,428839,2.00 52,1,2024-09-07 09:58:10:574,1122351,1122351,0,0,526423778313,5505924075,1107062,12953,2336,368,391805,0 52,2,2024-09-07 09:58:11:755,804062,804024,38,0,38678453,0,6742 52,3,2024-09-07 09:58:10:674,1,639,1,0,1782,7395,639,0 53,0,2024-09-07 09:58:11:728,163553,0.6,158699,0.8,332061,0.7,434740,2.25 53,1,2024-09-07 09:58:10:787,1120143,1120143,0,0,526313457084,5519229479,1101533,14204,4406,367,391968,0 53,2,2024-09-07 09:58:11:298,811550,811549,1,0,34222134,0,5455 53,3,2024-09-07 09:58:10:697,1,639,12,0,308,5753,639,0 54,0,2024-09-07 09:58:11:623,157304,0.6,157544,0.7,314000,0.5,419313,2.25 54,1,2024-09-07 09:58:10:584,1123940,1123940,0,0,527331146457,5481285119,1112696,9572,1672,366,391810,0 54,2,2024-09-07 09:58:10:865,810257,810225,32,0,38382492,0,6397 54,3,2024-09-07 09:58:10:762,1,639,1,0,676,8029,639,0 55,0,2024-09-07 09:58:11:759,152683,0.6,157429,0.8,319164,0.5,416070,2.50 55,1,2024-09-07 09:58:10:764,1124128,1124128,0,0,526871160182,5478542041,1112515,10145,1468,365,391731,0 55,2,2024-09-07 09:58:10:730,804182,804126,56,0,35334748,0,7239 55,3,2024-09-07 09:58:10:674,1,639,2,0,304,5844,639,0 56,0,2024-09-07 09:58:11:603,162249,1.2,152832,1.1,315102,1.5,421914,2.75 56,1,2024-09-07 09:58:10:587,1117400,1117400,0,0,524713083205,5545293796,1099153,14533,3714,381,391867,0 56,2,2024-09-07 09:58:11:313,805329,805207,122,0,37711189,0,7432 56,3,2024-09-07 09:58:11:067,1,639,6,0,705,7727,639,0 57,0,2024-09-07 09:58:10:955,163367,1.3,163204,1.2,326621,1.8,436682,3.00 57,1,2024-09-07 09:58:11:027,1119895,1119895,0,0,525299490476,5510776442,1104963,12403,2529,366,392032,0 57,2,2024-09-07 09:58:11:317,809096,809096,0,0,40099468,0,4804 57,3,2024-09-07 09:58:11:737,1,639,2,0,455,7183,639,0 58,0,2024-09-07 09:58:10:554,157462,1.0,152962,1.0,320111,1.2,419150,2.75 58,1,2024-09-07 09:58:10:575,1120892,1120889,0,3,526164437670,5515585909,1103599,12988,4302,367,391726,3 58,2,2024-09-07 09:58:11:076,811018,811018,0,0,37066820,0,3483 58,3,2024-09-07 09:58:11:068,1,639,3,0,1043,7026,639,0 59,0,2024-09-07 09:58:11:739,156742,0.9,156148,1.0,312205,0.9,414362,3.00 59,1,2024-09-07 09:58:10:804,1120574,1120574,0,0,526089299151,5527503433,1101854,14886,3834,369,391653,0 59,2,2024-09-07 09:58:10:583,805465,805465,0,0,34885974,0,3727 59,3,2024-09-07 09:58:11:737,1,639,9,0,1015,7715,639,0 60,0,2024-09-07 09:58:11:722,157523,0.5,157504,0.7,315333,0.4,420044,1.75 60,1,2024-09-07 09:58:10:781,1124876,1124876,0,0,528680024597,5492534248,1116575,7192,1109,370,392031,0 60,2,2024-09-07 09:58:11:145,808173,808173,0,0,33056236,0,3811 60,3,2024-09-07 09:58:11:261,1,639,11,0,409,7154,639,0 61,0,2024-09-07 09:58:11:525,163708,0.5,164331,0.7,327094,0.5,436837,2.00 61,1,2024-09-07 09:58:10:782,1122502,1122502,0,0,526132515425,5504387385,1111068,9744,1690,382,392127,0 61,2,2024-09-07 09:58:11:116,809679,809612,67,0,33610493,0,6411 61,3,2024-09-07 09:58:11:693,1,639,0,0,607,7985,639,0 62,0,2024-09-07 09:58:11:717,161831,0.6,166034,0.7,316955,0.6,430361,2.00 62,1,2024-09-07 09:58:11:114,1129279,1129273,0,6,530232300151,5463822856,1124064,4908,301,365,391975,6 62,2,2024-09-07 09:58:11:649,809648,809647,1,0,33494963,0,5555 62,3,2024-09-07 09:58:11:144,1,639,1,0,482,5041,639,0 63,0,2024-09-07 09:58:11:461,158547,0.4,158218,0.6,317091,0.3,421182,1.75 63,1,2024-09-07 09:58:10:804,1125332,1125326,0,6,527786896872,5477181614,1118409,6060,857,381,391800,6 63,2,2024-09-07 09:58:10:763,807072,807072,0,0,31740135,0,4369 63,3,2024-09-07 09:58:11:735,1,639,8,0,667,6381,639,0 64,0,2024-09-07 09:58:11:535,155893,0.5,155775,0.6,311350,0.4,414562,2.00 64,1,2024-09-07 09:58:10:774,1123515,1123515,0,0,528223051795,5496843153,1114142,7394,1979,370,391794,0 64,2,2024-09-07 09:58:11:150,811199,811180,19,0,30978683,0,6121 64,3,2024-09-07 09:58:11:142,1,639,0,0,651,7112,639,0 65,0,2024-09-07 09:58:11:682,160473,0.6,160779,0.7,321288,0.6,428901,2.00 65,1,2024-09-07 09:58:10:861,1122223,1122223,0,0,525665136380,5490799649,1114646,6701,876,381,391901,0 65,2,2024-09-07 09:58:11:695,806983,806983,0,0,37404228,0,3367 65,3,2024-09-07 09:58:11:683,1,639,8,0,782,7816,639,0 66,0,2024-09-07 09:58:11:767,163027,0.5,162607,0.7,325341,0.5,432534,2.00 66,1,2024-09-07 09:58:11:297,1124300,1124300,0,0,527679799628,5487842703,1117489,6067,744,380,391743,0 66,2,2024-09-07 09:58:11:139,813954,813951,3,0,33139320,0,5455 66,3,2024-09-07 09:58:11:085,1,639,0,0,291,5562,639,0 67,0,2024-09-07 09:58:11:417,158146,0.5,157651,0.7,316256,0.5,420916,2.00 67,1,2024-09-07 09:58:10:766,1124539,1124538,0,1,528294018524,5495710359,1117130,6463,945,380,391787,1 67,2,2024-09-07 09:58:10:583,812401,812386,15,0,32836655,0,6205 67,3,2024-09-07 09:58:11:757,1,639,8,0,595,6445,639,0 68,0,2024-09-07 09:58:10:597,158040,0.6,157715,0.7,314353,0.5,420583,2.00 68,1,2024-09-07 09:58:10:591,1119803,1119803,0,0,524824503992,5517797563,1104919,11104,3780,381,391953,0 68,2,2024-09-07 09:58:11:051,802174,802074,100,0,41274575,0,8578 68,3,2024-09-07 09:58:10:730,1,639,8,0,417,7924,639,0 69,0,2024-09-07 09:58:11:729,159600,0.7,160385,0.8,319629,0.7,425326,2.25 69,1,2024-09-07 09:58:11:020,1118915,1118915,0,0,524812775661,5527857660,1104562,11376,2977,383,391994,0 69,2,2024-09-07 09:58:11:733,803780,803751,29,0,44557516,0,6912 69,3,2024-09-07 09:58:10:760,1,639,0,0,698,8848,639,0 70,0,2024-09-07 09:58:11:546,163161,0.8,163863,0.9,328756,0.7,435787,2.50 70,1,2024-09-07 09:58:10:804,1125385,1125385,0,0,528683338938,5483496800,1117120,7451,814,366,391725,0 70,2,2024-09-07 09:58:11:329,810535,810535,0,0,36599439,0,4323 70,3,2024-09-07 09:58:10:745,1,639,5,0,854,6882,639,0 71,0,2024-09-07 09:58:11:388,158310,0.9,157867,1.0,317026,1.1,422826,2.50 71,1,2024-09-07 09:58:11:600,1122923,1122923,0,0,527326700252,5501827834,1109284,12013,1626,367,391738,0 71,2,2024-09-07 09:58:11:067,809570,809570,0,0,36373824,0,4352 71,3,2024-09-07 09:58:11:750,1,639,1,0,644,6943,639,0 72,0,2024-09-07 09:58:11:124,163218,0.5,159434,0.7,311401,0.5,423568,2.00 72,1,2024-09-07 09:58:11:022,1121258,1121258,0,0,525764989155,5512973556,1105159,13413,2686,369,391819,0 72,2,2024-09-07 09:58:11:760,803250,803250,0,0,37995222,0,3983 72,3,2024-09-07 09:58:11:755,1,639,8,0,564,9049,639,0 73,0,2024-09-07 09:58:11:123,154393,0.4,158426,0.6,323713,0.4,421956,2.00 73,1,2024-09-07 09:58:10:770,1123194,1123194,0,0,527261808683,5477686945,1114753,7458,983,367,391858,0 73,2,2024-09-07 09:58:11:740,806276,806275,1,0,40044632,0,5027 73,3,2024-09-07 09:58:10:973,1,639,1,0,1091,8694,639,0 74,0,2024-09-07 09:58:11:338,165724,0.4,169725,0.6,323891,0.4,439398,2.00 74,1,2024-09-07 09:58:10:635,1121985,1121985,0,0,526204221563,5491007716,1109894,9954,2137,381,391762,0 74,2,2024-09-07 09:58:11:002,808565,808565,0,0,34903150,0,4253 74,3,2024-09-07 09:58:11:455,1,639,1,0,522,7546,639,0 75,0,2024-09-07 09:58:11:763,160454,0.5,159552,0.7,320169,0.5,427605,2.25 75,1,2024-09-07 09:58:11:588,1122847,1122847,0,0,527968189522,5499901088,1113652,8263,932,380,391739,0 75,2,2024-09-07 09:58:11:354,809828,809828,0,0,41896648,0,4766 75,3,2024-09-07 09:58:11:071,1,639,9,0,918,8495,639,0 76,0,2024-09-07 09:58:10:619,157535,0.6,156874,0.7,313719,0.5,419595,2.25 76,1,2024-09-07 09:58:10:805,1122821,1122821,0,0,526673536697,5487826541,1115468,6359,994,382,391790,0 76,2,2024-09-07 09:58:11:062,808317,808314,3,0,33676656,0,5265 76,3,2024-09-07 09:58:11:146,1,639,3,0,227,5782,639,0 77,0,2024-09-07 09:58:11:712,156662,0.5,157050,0.7,314243,0.5,418097,2.00 77,1,2024-09-07 09:58:10:824,1123329,1123329,0,0,527890786492,5503065491,1115227,7222,880,381,391869,0 77,2,2024-09-07 09:58:11:281,806737,806737,0,0,33161293,0,3890 77,3,2024-09-07 09:58:11:113,1,639,1,0,401,7223,639,0 78,0,2024-09-07 09:58:11:716,163444,0.4,162716,0.6,326307,0.4,432440,2.00 78,1,2024-09-07 09:58:10:614,1122905,1122905,0,0,525993023143,5483571145,1109608,10365,2932,367,391670,0 78,2,2024-09-07 09:58:11:409,809864,809851,13,0,31066806,0,8313 78,3,2024-09-07 09:58:11:139,1,639,2,0,311,5209,639,0 79,0,2024-09-07 09:58:11:349,156770,0.4,160521,0.6,329159,0.3,428078,2.00 79,1,2024-09-07 09:58:10:573,1127342,1127342,0,0,528471916990,5465972909,1119480,6502,1360,367,391682,0 79,2,2024-09-07 09:58:11:067,812236,812236,0,0,30185986,0,4195 79,3,2024-09-07 09:58:10:752,1,639,10,0,418,7296,639,0 80,0,2024-09-07 09:58:11:084,157896,0.5,162179,0.7,309844,0.5,419306,2.00 80,1,2024-09-07 09:58:11:622,1123010,1123010,0,0,527371212780,5487547328,1115736,6817,457,368,392269,0 80,2,2024-09-07 09:58:11:091,810427,810427,0,0,30609713,0,4433 80,3,2024-09-07 09:58:10:603,1,639,3,0,681,7765,639,0 81,0,2024-09-07 09:58:11:561,157385,0.5,161022,0.7,307500,0.5,416630,2.00 81,1,2024-09-07 09:58:11:714,1122249,1122249,0,0,525671703122,5490104050,1113830,7638,781,382,391885,0 81,2,2024-09-07 09:58:11:140,806431,806368,63,0,33863533,0,5932 81,3,2024-09-07 09:58:11:132,1,639,0,0,719,7151,639,0 82,0,2024-09-07 09:58:11:561,160839,0.4,160907,0.6,322518,0.4,427782,2.00 82,1,2024-09-07 09:58:10:583,1124057,1124053,0,4,527627079838,5481589014,1118440,4788,825,381,391768,4 82,2,2024-09-07 09:58:11:694,809615,809615,0,0,28911135,0,4484 82,3,2024-09-07 09:58:11:753,1,639,2,0,363,5814,639,0 83,0,2024-09-07 09:58:11:548,164142,0.6,163974,0.7,327649,0.6,435134,2.00 83,1,2024-09-07 09:58:10:550,1123062,1123062,0,0,526308228559,5482989741,1115424,6993,645,382,391709,0 83,2,2024-09-07 09:58:10:763,811725,811700,25,0,31114247,0,5612 83,3,2024-09-07 09:58:10:751,1,639,1,0,1260,7375,639,0 84,0,2024-09-07 09:58:11:809,157629,0.8,157718,0.9,315220,0.7,421022,2.25 84,1,2024-09-07 09:58:11:040,1120983,1120983,0,0,526467334880,5505153599,1108097,11004,1882,367,391967,0 84,2,2024-09-07 09:58:10:573,808557,808147,410,0,44962673,0,17037 84,3,2024-09-07 09:58:11:142,1,639,2,0,908,8628,639,0 85,0,2024-09-07 09:58:11:004,151924,0.6,151860,0.8,322443,0.6,416384,2.25 85,1,2024-09-07 09:58:10:560,1117863,1117863,0,0,525030202335,5538571526,1101316,13744,2803,381,392092,0 85,2,2024-09-07 09:58:10:865,804736,804736,0,0,38031414,0,4255 85,3,2024-09-07 09:58:10:685,1,639,4,0,789,7175,639,0 86,0,2024-09-07 09:58:10:882,158835,0.7,163292,0.8,312334,0.7,422355,2.25 86,1,2024-09-07 09:58:10:838,1120794,1120794,0,0,526474731196,5519630930,1106066,11932,2796,366,392169,0 86,2,2024-09-07 09:58:10:856,805772,805771,1,0,40854504,0,5004 86,3,2024-09-07 09:58:10:588,1,639,36,0,308,8796,639,0 87,0,2024-09-07 09:58:11:317,165362,0.7,164438,0.8,329370,0.9,440643,2.25 87,1,2024-09-07 09:58:10:556,1120128,1120128,0,0,525682557100,5503950056,1106445,11881,1802,366,392076,0 87,2,2024-09-07 09:58:11:067,808970,808964,6,0,37763276,0,6323 87,3,2024-09-07 09:58:11:794,1,639,7,0,473,8897,639,0 88,0,2024-09-07 09:58:11:526,159838,0.4,160334,0.6,320426,0.4,426703,1.75 88,1,2024-09-07 09:58:10:569,1119808,1119808,0,0,525519706119,5506193438,1104086,12673,3049,365,392084,0 88,2,2024-09-07 09:58:10:687,810051,810051,0,0,39658161,0,4465 88,3,2024-09-07 09:58:11:270,1,639,12,0,1080,9841,639,0 89,0,2024-09-07 09:58:11:767,162557,0.4,157715,0.6,311139,0.4,422979,1.75 89,1,2024-09-07 09:58:10:553,1118902,1118902,0,0,525859538540,5528449574,1105239,11490,2173,382,391866,0 89,2,2024-09-07 09:58:11:140,805110,805110,0,0,37263247,0,3173 89,3,2024-09-07 09:58:11:799,1,639,30,0,468,10285,639,0 90,0,2024-09-07 09:58:11:633,152772,0.4,156978,0.6,320216,0.4,417865,2.00 90,1,2024-09-07 09:58:10:590,1120914,1120914,0,0,525427925761,5503472501,1109839,10042,1033,380,391825,0 90,2,2024-09-07 09:58:11:474,803531,803526,5,0,40837174,0,6370 90,3,2024-09-07 09:58:10:931,1,639,2,0,322,7901,639,0 91,0,2024-09-07 09:58:10:945,164186,0.4,159321,0.6,333442,0.4,437485,1.75 91,1,2024-09-07 09:58:10:557,1117853,1117853,0,0,524967455863,5535805127,1100282,13613,3958,381,392047,0 91,2,2024-09-07 09:58:11:331,808718,808718,0,0,36341377,0,4713 91,3,2024-09-07 09:58:10:606,1,639,8,0,216,5774,639,0 92,0,2024-09-07 09:58:11:508,162968,0.4,166653,0.6,317649,0.4,430628,1.75 92,1,2024-09-07 09:58:10:581,1122316,1122316,0,0,527698275580,5507738367,1113892,7135,1289,381,392136,0 92,2,2024-09-07 09:58:11:357,811655,811655,0,0,31752210,0,3259 92,3,2024-09-07 09:58:11:019,1,639,13,0,167,5366,639,0 93,0,2024-09-07 09:58:10:950,159027,0.4,162877,0.6,311174,0.3,421200,1.75 93,1,2024-09-07 09:58:10:807,1123232,1123232,0,0,526094230473,5488859505,1111066,10212,1954,365,392048,0 93,2,2024-09-07 09:58:10:930,806735,806735,0,0,37243035,0,4913 93,3,2024-09-07 09:58:11:410,1,639,2,0,375,6660,639,0 94,0,2024-09-07 09:58:11:621,155918,0.4,157147,0.5,314021,0.3,416868,1.75 94,1,2024-09-07 09:58:10:566,1123614,1123614,0,0,527283413931,5494507091,1116633,6545,436,381,391850,0 94,2,2024-09-07 09:58:10:763,807425,807397,28,0,32333233,0,6179 94,3,2024-09-07 09:58:11:697,1,639,1,0,576,7752,639,0 95,0,2024-09-07 09:58:11:386,161734,0.3,161642,0.5,323487,0.3,431280,1.75 95,1,2024-09-07 09:58:10:866,1124086,1124086,0,0,527486037506,5478572095,1115473,7851,762,365,391852,0 95,2,2024-09-07 09:58:11:015,806915,806915,0,0,32089466,0,3308 95,3,2024-09-07 09:58:11:716,1,639,1,0,718,9840,639,0 96,0,2024-09-07 09:58:11:038,163515,0.3,163761,0.5,327920,0.3,435273,1.75 96,1,2024-09-07 09:58:11:590,1122217,1122217,0,0,526674504272,5491058152,1114555,6377,1285,384,391964,0 96,2,2024-09-07 09:58:11:275,812782,812782,0,0,33082480,0,4180 96,3,2024-09-07 09:58:11:144,1,639,1,0,411,7121,639,0 97,0,2024-09-07 09:58:11:342,158136,0.3,158139,0.5,316950,0.3,420698,1.50 97,1,2024-09-07 09:58:10:766,1124379,1124379,0,0,528080517328,5476580760,1117395,5940,1044,367,392140,0 97,2,2024-09-07 09:58:10:607,810839,810839,0,0,32558092,0,4046 97,3,2024-09-07 09:58:10:574,1,639,1,0,242,7164,639,0 98,0,2024-09-07 09:58:11:754,157629,0.3,157606,0.4,316670,0.2,420903,1.50 98,1,2024-09-07 09:58:10:574,1123455,1123455,0,0,527182685987,5488594848,1116917,5698,840,381,391997,0 98,2,2024-09-07 09:58:10:770,805688,805688,0,0,31146956,0,4336 98,3,2024-09-07 09:58:10:718,1,639,6,0,840,9314,639,0 99,0,2024-09-07 09:58:11:504,160215,0.3,161073,0.5,320643,0.3,428137,1.75 99,1,2024-09-07 09:58:11:724,1124266,1124266,0,0,526655219675,5478356631,1117526,5774,966,380,392069,0 99,2,2024-09-07 09:58:11:429,806571,806571,0,0,39220967,0,4276 99,3,2024-09-07 09:58:10:590,1,639,2,0,1124,8057,639,0 100,0,2024-09-07 09:58:11:499,164679,0.8,165120,0.9,329937,0.9,440311,2.50 100,1,2024-09-07 09:58:10:553,1117016,1117016,0,0,524791589875,5547612957,1099710,13814,3492,378,391989,0 100,2,2024-09-07 09:58:11:817,806654,806265,389,0,42310424,0,16909 100,3,2024-09-07 09:58:11:732,1,639,0,0,627,10417,639,0 101,0,2024-09-07 09:58:11:760,162794,1.0,158920,1.0,310950,1.0,424597,2.25 101,1,2024-09-07 09:58:10:550,1119552,1119552,0,0,525805892404,5523963252,1104605,12267,2680,368,391847,0 101,2,2024-09-07 09:58:11:761,805692,805692,0,0,42323567,0,4871 101,3,2024-09-07 09:58:10:943,1,639,36,0,1250,9419,639,0 102,0,2024-09-07 09:58:10:982,153771,0.6,158418,0.8,321830,0.6,420863,2.25 102,1,2024-09-07 09:58:11:150,1119165,1119165,0,0,525695452826,5519707307,1104454,12502,2209,369,391984,0 102,2,2024-09-07 09:58:11:737,805599,805545,54,0,35936861,0,6768 102,3,2024-09-07 09:58:11:623,1,639,1,0,466,7080,639,0 103,0,2024-09-07 09:58:11:597,163743,0.5,163728,0.7,308534,0.5,425270,2.00 103,1,2024-09-07 09:58:11:630,1118325,1118325,0,0,524659912591,5537840017,1100848,13863,3614,381,392077,0 103,2,2024-09-07 09:58:10:592,804915,804915,0,0,39035567,0,3766 103,3,2024-09-07 09:58:10:755,1,639,47,0,916,7388,639,0 104,0,2024-09-07 09:58:11:016,163625,0.7,163937,0.9,327018,0.6,436939,2.25 104,1,2024-09-07 09:58:11:631,1120434,1120434,0,0,525622242110,5521488213,1103899,13491,3044,365,392168,0 104,2,2024-09-07 09:58:11:682,806743,806743,0,0,38747913,0,4161 104,3,2024-09-07 09:58:11:428,1,639,1,0,1245,11002,639,0 105,0,2024-09-07 09:58:11:059,158358,0.9,154357,1.0,323712,1.0,425329,2.50 105,1,2024-09-07 09:58:10:572,1122073,1122073,0,0,526907341233,5516236045,1108307,11819,1947,364,392009,0 105,2,2024-09-07 09:58:11:332,809682,809682,0,0,39283891,0,4360 105,3,2024-09-07 09:58:11:311,1,639,7,0,573,9568,639,0 106,0,2024-09-07 09:58:10:941,152584,0.9,156298,1.0,320158,1.1,417942,2.50 106,1,2024-09-07 09:58:11:763,1120294,1120294,0,0,525389774110,5510686325,1105089,13272,1933,368,391914,0 106,2,2024-09-07 09:58:10:761,804920,804920,0,0,36212254,0,3331 106,3,2024-09-07 09:58:10:683,1,639,48,0,1224,8644,639,0 107,0,2024-09-07 09:58:11:100,156763,0.9,156840,0.9,313787,1.0,418655,2.25 107,1,2024-09-07 09:58:10:605,1118702,1118702,0,0,524640029927,5541138097,1100995,15560,2147,381,392234,0 107,2,2024-09-07 09:58:11:330,803350,803349,1,0,39838915,0,5024 107,3,2024-09-07 09:58:11:778,1,639,5,0,733,9563,639,0 108,0,2024-09-07 09:58:11:830,162389,0.4,163039,0.6,324939,0.4,433719,1.75 108,1,2024-09-07 09:58:11:293,1122333,1122333,0,0,526580658951,5488326109,1113507,7828,998,367,391894,0 108,2,2024-09-07 09:58:11:758,808835,808835,0,0,34306616,0,4246 108,3,2024-09-07 09:58:11:344,1,639,5,0,749,11052,639,0 109,0,2024-09-07 09:58:11:815,163225,0.4,161802,0.6,324465,0.3,432695,1.75 109,1,2024-09-07 09:58:10:584,1119986,1119986,0,0,525756424074,5511371112,1110420,8286,1280,382,392132,0 109,2,2024-09-07 09:58:10:929,809948,809948,0,0,35035398,0,3617 109,3,2024-09-07 09:58:11:142,1,639,7,0,630,7525,639,0 110,0,2024-09-07 09:58:11:756,157702,0.4,153405,0.6,321156,0.3,421146,1.75 110,1,2024-09-07 09:58:11:643,1124378,1124378,0,0,527571988614,5472780376,1116286,6163,1929,368,392045,0 110,2,2024-09-07 09:58:11:316,808947,808947,0,0,32512439,0,4067 110,3,2024-09-07 09:58:10:714,1,639,9,0,722,8391,639,0 111,0,2024-09-07 09:58:11:415,158114,0.4,157332,0.5,314403,0.3,419057,1.75 111,1,2024-09-07 09:58:11:005,1125541,1125541,0,0,528443761233,5478624475,1119710,5449,382,380,391690,0 111,2,2024-09-07 09:58:11:118,806916,806916,0,0,33048745,0,4823 111,3,2024-09-07 09:58:10:924,1,639,130,0,379,6898,639,0 112,0,2024-09-07 09:58:10:919,161539,0.3,161123,0.4,323010,0.2,429080,1.50 112,1,2024-09-07 09:58:10:825,1124379,1124379,0,0,527358722229,5472963419,1117164,5990,1225,380,391624,0 112,2,2024-09-07 09:58:11:136,807496,807495,1,0,31695775,0,5036 112,3,2024-09-07 09:58:10:593,1,639,0,0,282,5936,639,0 113,0,2024-09-07 09:58:10:865,164187,0.3,164183,0.5,328899,0.2,437353,1.75 113,1,2024-09-07 09:58:11:687,1126771,1126771,0,0,529627739525,5461300172,1120512,5311,948,365,391664,0 113,2,2024-09-07 09:58:11:302,813819,813819,0,0,29770428,0,3813 113,3,2024-09-07 09:58:10:690,1,639,2,0,510,6691,639,0 114,0,2024-09-07 09:58:10:877,159245,0.3,160200,0.5,319639,0.2,426419,1.75 114,1,2024-09-07 09:58:10:719,1124511,1124511,0,0,527453217485,5473927201,1116406,6358,1747,381,391565,0 114,2,2024-09-07 09:58:10:872,812494,812493,1,0,30749073,0,5069 114,3,2024-09-07 09:58:11:278,1,639,1,0,415,5378,639,0 115,0,2024-09-07 09:58:10:555,158848,0.3,159368,0.4,317332,0.2,422643,1.50 115,1,2024-09-07 09:58:10:576,1124857,1124857,0,0,527709854844,5478466501,1116458,6880,1519,382,391757,0 115,2,2024-09-07 09:58:11:130,807665,807665,0,0,30888101,0,4382 115,3,2024-09-07 09:58:11:001,1,639,0,0,167,3861,639,0 116,0,2024-09-07 09:58:11:714,158642,0.7,158541,0.9,317886,0.7,424518,2.25 116,1,2024-09-07 09:58:10:807,1118034,1118034,0,0,525410640126,5535618030,1104638,10190,3206,380,392089,0 116,2,2024-09-07 09:58:11:751,806587,806587,0,0,38835080,0,4475 116,3,2024-09-07 09:58:10:912,1,639,16,0,448,8092,639,0 117,0,2024-09-07 09:58:10:966,165431,0.7,164889,0.8,330218,0.7,442141,2.00 117,1,2024-09-07 09:58:11:800,1120460,1120460,0,0,525318796478,5499164381,1108226,10653,1581,369,392429,0 117,2,2024-09-07 09:58:11:119,811171,811171,0,0,35224744,0,4303 117,3,2024-09-07 09:58:11:059,1,639,2,0,490,7893,639,0 118,0,2024-09-07 09:58:11:994,155057,0.5,159209,0.7,324944,0.5,425132,2.00 118,1,2024-09-07 09:58:10:614,1119563,1119563,0,0,524726406955,5513207830,1103474,12463,3626,366,392054,0 118,2,2024-09-07 09:58:11:594,809509,809509,0,0,37838088,0,2842 118,3,2024-09-07 09:58:11:778,1,639,18,0,343,7800,639,0 119,0,2024-09-07 09:58:11:427,157791,0.7,158410,0.8,316173,0.8,421326,2.25 119,1,2024-09-07 09:58:10:571,1120593,1120593,0,0,525097118268,5504478491,1105783,12297,2513,367,391857,0 119,2,2024-09-07 09:58:11:263,806454,806454,0,0,34971987,0,4309 119,3,2024-09-07 09:58:11:326,1,639,96,0,1358,11671,639,0 120,0,2024-09-07 09:58:11:619,156725,0.6,156535,0.8,314424,0.6,419187,2.25 120,1,2024-09-07 09:58:11:256,1121248,1121248,0,0,525799525959,5511427561,1109318,10785,1145,367,392144,0 120,2,2024-09-07 09:58:10:786,805990,805987,3,0,41906821,0,5363 120,3,2024-09-07 09:58:11:293,1,639,3,0,478,8024,639,0 121,0,2024-09-07 09:58:11:718,164042,1.0,163512,1.0,327174,1.3,436340,2.25 121,1,2024-09-07 09:58:11:655,1120712,1120712,0,0,525876163149,5501808252,1108762,10123,1827,366,391840,0 121,2,2024-09-07 09:58:11:126,808498,808498,0,0,38497027,0,4157 121,3,2024-09-07 09:58:10:726,1,639,9,0,387,8329,639,0 122,0,2024-09-07 09:58:11:768,160867,0.7,156355,0.9,327424,0.8,430415,2.00 122,1,2024-09-07 09:58:10:868,1120088,1120088,0,0,525875421413,5515680313,1105035,12635,2418,365,392130,0 122,2,2024-09-07 09:58:11:318,811165,811090,75,0,42102335,0,5989 122,3,2024-09-07 09:58:10:601,1,639,2,0,512,9974,639,0 123,0,2024-09-07 09:58:10:957,157356,0.8,153178,0.9,321043,0.9,419725,2.25 123,1,2024-09-07 09:58:10:558,1120554,1120554,0,0,525513757655,5524901620,1102780,14922,2852,369,392039,0 123,2,2024-09-07 09:58:11:018,804965,804964,1,0,35793562,0,5215 123,3,2024-09-07 09:58:11:139,1,639,2,0,478,7171,639,0 124,0,2024-09-07 09:58:10:931,160902,0.3,160953,0.5,303332,0.3,417408,1.75 124,1,2024-09-07 09:58:11:027,1124502,1124502,0,0,526860709190,5474577352,1116393,6918,1191,365,392178,0 124,2,2024-09-07 09:58:11:014,809143,809090,53,0,32405023,0,6487 124,3,2024-09-07 09:58:10:758,1,639,1,0,490,6290,639,0 125,0,2024-09-07 09:58:11:419,161364,0.4,161198,0.5,323396,0.3,431350,1.75 125,1,2024-09-07 09:58:10:862,1121627,1121627,0,0,526658411981,5494204694,1113340,7132,1155,382,392045,0 125,2,2024-09-07 09:58:11:116,810186,810186,0,0,32594746,0,4534 125,3,2024-09-07 09:58:11:126,1,639,2,0,709,7288,639,0 126,0,2024-09-07 09:58:11:441,163747,0.4,168430,0.5,321991,0.3,435953,1.75 126,1,2024-09-07 09:58:10:554,1125677,1125677,0,0,527682384201,5459409036,1120068,5160,449,365,391987,0 126,2,2024-09-07 09:58:10:614,813002,813002,0,0,33750406,0,4539 126,3,2024-09-07 09:58:10:909,1,639,0,0,268,6837,639,0 127,0,2024-09-07 09:58:11:626,158561,0.3,158676,0.5,316769,0.3,420990,1.75 127,1,2024-09-07 09:58:10:574,1123411,1123411,0,0,526825765502,5477998267,1112536,9231,1644,364,392187,0 127,2,2024-09-07 09:58:10:639,810006,810002,4,0,32016502,0,5305 127,3,2024-09-07 09:58:11:293,1,639,13,0,968,6159,639,0 128,0,2024-09-07 09:58:11:537,158551,0.3,158708,0.4,317138,0.2,421602,1.50 128,1,2024-09-07 09:58:11:605,1122971,1122971,0,0,526853643760,5478119566,1114285,7721,965,367,392423,0 128,2,2024-09-07 09:58:11:385,808259,808259,0,0,29760786,0,3171 128,3,2024-09-07 09:58:10:771,1,639,24,0,1082,9787,639,0 129,0,2024-09-07 09:58:10:997,161557,0.3,160701,0.5,322174,0.3,428725,1.50 129,1,2024-09-07 09:58:10:568,1119730,1119730,0,0,526038059578,5510150649,1108837,8871,2022,379,391962,0 129,2,2024-09-07 09:58:10:686,808749,808745,4,0,32279977,0,5335 129,3,2024-09-07 09:58:10:694,1,639,1,0,506,8474,639,0 130,0,2024-09-07 09:58:11:748,165859,0.4,165586,0.6,331616,0.4,441269,1.75 130,1,2024-09-07 09:58:10:600,1124775,1124775,0,0,527487763435,5475434101,1119308,5064,403,381,391825,0 130,2,2024-09-07 09:58:11:140,811181,811181,0,0,32579150,0,4067 130,3,2024-09-07 09:58:11:304,1,639,12,0,960,8452,639,0 131,0,2024-09-07 09:58:11:939,159476,0.3,159884,0.5,320643,0.3,426153,1.75 131,1,2024-09-07 09:58:11:822,1123891,1123891,0,0,527151639407,5491571185,1116487,6206,1198,381,391865,0 131,2,2024-09-07 09:58:10:574,811253,811253,0,0,30235981,0,3979 131,3,2024-09-07 09:58:11:690,1,639,1,0,392,8008,639,0 132,0,2024-09-07 09:58:11:414,158394,0.5,159415,0.6,317377,0.4,422098,2.00 132,1,2024-09-07 09:58:10:576,1119222,1119222,0,0,525040458703,5524341032,1103413,13033,2776,381,392532,0 132,2,2024-09-07 09:58:10:701,804959,804942,17,0,39326266,0,6451 132,3,2024-09-07 09:58:11:690,1,639,4,0,1298,11267,639,0 133,0,2024-09-07 09:58:11:600,154600,0.4,158192,0.6,324298,0.4,422800,2.00 133,1,2024-09-07 09:58:10:583,1119122,1119122,0,0,524860095637,5528163559,1104231,12961,1930,383,391914,0 133,2,2024-09-07 09:58:11:104,807787,807737,50,0,40197188,0,6861 133,3,2024-09-07 09:58:11:296,1,639,1,0,528,7696,639,0 134,0,2024-09-07 09:58:10:941,165063,0.5,165164,0.7,330213,0.4,439687,2.00 134,1,2024-09-07 09:58:10:584,1120146,1120146,0,0,525878075767,5517492575,1105077,11895,3174,366,391781,0 134,2,2024-09-07 09:58:11:758,809022,808998,24,0,37348827,0,6207 134,3,2024-09-07 09:58:10:749,1,639,1,0,739,7883,639,0 135,0,2024-09-07 09:58:11:177,155200,0.7,155227,0.8,329537,0.8,424793,2.25 135,1,2024-09-07 09:58:11:591,1119895,1119895,0,0,526192069925,5531992355,1105835,12213,1847,380,391974,0 135,2,2024-09-07 09:58:10:694,811921,811921,0,0,38345299,0,4503 135,3,2024-09-07 09:58:11:002,1,639,1,0,900,6087,639,0 136,0,2024-09-07 09:58:11:657,158429,0.6,158891,0.8,315570,0.6,421174,2.00 136,1,2024-09-07 09:58:11:456,1121118,1121118,0,0,526433672965,5513682886,1109118,10608,1392,381,392135,0 136,2,2024-09-07 09:58:11:139,807521,807506,15,0,37365558,0,6007 136,3,2024-09-07 09:58:11:107,1,639,15,0,637,7138,639,0 137,0,2024-09-07 09:58:10:919,162017,0.6,158033,0.7,309318,0.6,420528,2.00 137,1,2024-09-07 09:58:10:604,1120197,1120197,0,0,526179500719,5515478123,1103332,13475,3390,366,391898,0 137,2,2024-09-07 09:58:11:709,805631,805631,0,0,38813276,0,3185 137,3,2024-09-07 09:58:10:771,1,639,11,0,484,8490,639,0 138,0,2024-09-07 09:58:11:816,161862,0.8,162169,0.9,324947,0.9,432335,2.00 138,1,2024-09-07 09:58:11:684,1120325,1120325,0,0,526242914255,5517461065,1105178,12869,2278,368,391954,0 138,2,2024-09-07 09:58:10:586,807249,807249,0,0,37299844,0,4988 138,3,2024-09-07 09:58:10:617,1,639,1,0,1200,9752,639,0 139,0,2024-09-07 09:58:11:370,161020,0.9,161637,0.9,322908,1.3,431025,2.25 139,1,2024-09-07 09:58:10:571,1116118,1116118,0,0,523691622243,5547668369,1097462,14809,3847,380,392109,0 139,2,2024-09-07 09:58:10:696,805795,805765,30,0,42856074,0,5997 139,3,2024-09-07 09:58:11:663,1,639,4,0,432,7439,639,0 140,0,2024-09-07 09:58:11:594,158301,0.3,157489,0.5,316252,0.2,421421,1.75 140,1,2024-09-07 09:58:11:545,1128050,1128050,0,0,530405837355,5465141450,1122863,4635,552,364,391628,0 140,2,2024-09-07 09:58:10:701,809114,809113,1,0,29938499,0,5036 140,3,2024-09-07 09:58:10:767,1,639,24,0,575,6225,639,0 141,0,2024-09-07 09:58:11:702,157688,0.3,162049,0.5,309835,0.2,418789,1.50 141,1,2024-09-07 09:58:10:859,1124950,1124950,0,0,527578867234,5476807682,1116690,7067,1193,379,391614,0 141,2,2024-09-07 09:58:11:687,807682,807671,11,0,32203485,0,5369 141,3,2024-09-07 09:58:11:046,1,639,1,0,391,7060,639,0 142,0,2024-09-07 09:58:11:331,161791,0.3,160989,0.5,322273,0.2,429990,1.50 142,1,2024-09-07 09:58:10:599,1123164,1123164,0,0,526984910089,5489250043,1116409,6243,512,382,392102,0 142,2,2024-09-07 09:58:11:320,806784,806752,32,0,32801090,0,6028 142,3,2024-09-07 09:58:11:746,1,639,5,0,484,6706,639,0 143,0,2024-09-07 09:58:11:398,164283,0.4,164029,0.5,329199,0.4,437434,1.75 143,1,2024-09-07 09:58:10:557,1125851,1125851,0,0,529094192432,5484089178,1119355,5928,568,367,391900,0 143,2,2024-09-07 09:58:10:769,811948,811948,0,0,32819235,0,3123 143,3,2024-09-07 09:58:11:144,1,639,7,0,462,7485,639,0 144,0,2024-09-07 09:58:11:533,154264,0.6,158793,0.8,323003,0.5,422818,2.00 144,1,2024-09-07 09:58:10:565,1120467,1120467,0,0,524714921525,5503183380,1109640,8831,1996,381,391733,0 144,2,2024-09-07 09:58:11:755,811458,811458,0,0,31898861,0,4443 144,3,2024-09-07 09:58:11:743,1,639,3,0,249,6232,639,0 145,0,2024-09-07 09:58:11:367,153048,0.6,153013,0.8,324776,0.5,418211,2.25 145,1,2024-09-07 09:58:10:560,1119161,1119161,0,0,525570040968,5529420689,1104234,12173,2754,382,391781,0 145,2,2024-09-07 09:58:11:432,804206,804124,82,0,38081706,0,7814 145,3,2024-09-07 09:58:10:901,1,639,1,0,622,8316,639,0 146,0,2024-09-07 09:58:11:603,159123,0.6,158279,0.8,318407,0.6,423024,2.25 146,1,2024-09-07 09:58:11:592,1120253,1120253,0,0,525442056476,5527803646,1101824,13611,4818,367,391770,0 146,2,2024-09-07 09:58:11:729,805361,805355,6,0,36624742,0,5151 146,3,2024-09-07 09:58:11:274,1,639,4,0,1520,10348,639,0 147,0,2024-09-07 09:58:11:746,165408,0.6,165090,0.7,330214,0.5,441244,2.00 147,1,2024-09-07 09:58:11:647,1124831,1124831,0,0,527424789819,5477096334,1116229,7635,967,367,391791,0 147,2,2024-09-07 09:58:11:009,809997,809997,0,0,32626762,0,3359 147,3,2024-09-07 09:58:10:913,1,639,5,0,1626,9867,639,0 0,0,2024-09-07 09:58:21:710,153284,0.5,153267,0.7,325375,0.5,420306,2.00 0,1,2024-09-07 09:58:20:804,1123366,1123366,0,0,527363976408,5516629681,1114619,7794,953,368,391896,0 0,2,2024-09-07 09:58:21:067,808899,808899,0,0,32197207,0,4480 0,3,2024-09-07 09:58:20:977,1,640,2,0,431,8883,640,0 1,0,2024-09-07 09:58:21:748,164072,0.8,163051,0.8,327351,0.9,438003,2.00 1,1,2024-09-07 09:58:20:563,1121914,1121914,0,0,526583659619,5510722791,1112409,8010,1495,370,391859,0 1,2,2024-09-07 09:58:20:660,811085,811085,0,0,31807203,0,3380 1,3,2024-09-07 09:58:21:307,1,640,5,0,269,7769,640,0 2,0,2024-09-07 09:58:21:591,161408,0.6,160953,0.7,322040,0.6,429470,2.00 2,1,2024-09-07 09:58:20:859,1125351,1125351,0,0,528702720265,5493365759,1119164,5235,952,379,391745,0 2,2,2024-09-07 09:58:21:265,813393,813393,0,0,30280665,0,3594 2,3,2024-09-07 09:58:20:690,1,640,0,0,357,5565,640,0 3,0,2024-09-07 09:58:21:744,157981,0.4,157981,0.6,315627,0.4,420218,2.00 3,1,2024-09-07 09:58:21:618,1124487,1124487,0,0,528115548087,5489152285,1116849,6933,705,379,391716,0 3,2,2024-09-07 09:58:21:144,808764,808741,23,0,31133641,0,5851 3,3,2024-09-07 09:58:21:752,1,640,3,0,484,4819,640,0 4,0,2024-09-07 09:58:21:785,152094,0.4,156337,0.5,318739,0.3,416437,1.75 4,1,2024-09-07 09:58:20:597,1118917,1118917,0,0,525308722232,5559009884,1099698,14685,4534,369,391992,0 4,2,2024-09-07 09:58:21:018,805898,805898,0,0,38521606,0,4534 4,3,2024-09-07 09:58:21:027,1,640,317,0,448,8451,640,0 5,0,2024-09-07 09:58:21:392,162113,0.4,162039,0.5,324218,0.4,431816,1.75 5,1,2024-09-07 09:58:20:759,1120211,1120211,0,0,525291769142,5543605963,1103014,12974,4223,367,392005,0 5,2,2024-09-07 09:58:21:858,806048,806048,0,0,37640876,0,3582 5,3,2024-09-07 09:58:21:732,1,640,1,0,457,8603,640,0 6,0,2024-09-07 09:58:20:922,163610,0.5,163072,0.6,326314,0.4,434632,2.00 6,1,2024-09-07 09:58:20:751,1122763,1122763,0,0,526797604443,5498533735,1111439,9735,1589,379,391702,0 6,2,2024-09-07 09:58:21:115,812824,812806,18,0,36122987,0,5535 6,3,2024-09-07 09:58:21:273,1,640,1,0,710,7528,640,0 7,0,2024-09-07 09:58:21:539,157637,0.5,158790,0.7,315080,0.5,419925,2.00 7,1,2024-09-07 09:58:20:862,1120565,1120565,0,0,526119116766,5538136020,1103120,13310,4135,382,391747,0 7,2,2024-09-07 09:58:20:769,809279,809279,0,0,34784516,0,4791 7,3,2024-09-07 09:58:20:854,1,640,22,0,552,7358,640,0 8,0,2024-09-07 09:58:21:333,158577,0.4,158214,0.5,316870,0.3,422133,1.75 8,1,2024-09-07 09:58:21:016,1119869,1119869,0,0,525255055148,5522237847,1102339,13810,3720,366,392853,0 8,2,2024-09-07 09:58:20:790,802521,802519,2,0,41263834,0,5112 8,3,2024-09-07 09:58:20:585,1,640,0,0,772,9867,640,0 9,0,2024-09-07 09:58:21:100,160687,0.4,156162,0.5,326768,0.3,429041,1.75 9,1,2024-09-07 09:58:20:553,1119674,1119674,0,0,526212666333,5549003607,1101153,14421,4100,369,392001,0 9,2,2024-09-07 09:58:21:084,806949,806948,1,0,38985833,0,5281 9,3,2024-09-07 09:58:21:755,1,640,1,0,1273,10609,640,0 10,0,2024-09-07 09:58:21:601,165040,0.4,164422,0.5,329960,0.3,438981,1.75 10,1,2024-09-07 09:58:20:585,1121659,1121659,0,0,526065938108,5519693035,1104899,13254,3506,381,391981,0 10,2,2024-09-07 09:58:20:762,811614,811614,0,0,41980695,0,4713 10,3,2024-09-07 09:58:20:884,1,640,1,0,669,6924,640,0 11,0,2024-09-07 09:58:21:008,159496,0.4,154837,0.6,324004,0.4,426735,1.75 11,1,2024-09-07 09:58:20:572,1123795,1123795,0,0,527541756276,5531215824,1107491,11997,4307,383,391766,0 11,2,2024-09-07 09:58:21:128,810399,810399,0,0,36948778,0,4698 11,3,2024-09-07 09:58:21:298,1,640,8,0,843,7963,640,0 12,0,2024-09-07 09:58:20:939,159484,0.4,159361,0.5,318280,0.3,423080,1.75 12,1,2024-09-07 09:58:20:934,1123580,1123580,0,0,526991341816,5492710931,1115068,7500,1012,370,391960,0 12,2,2024-09-07 09:58:21:551,805271,805271,0,0,35488077,0,4390 12,3,2024-09-07 09:58:21:062,1,640,68,0,386,7893,640,0 13,0,2024-09-07 09:58:21:354,159875,0.4,159948,0.5,319076,0.3,425576,1.75 13,1,2024-09-07 09:58:21:532,1120860,1120860,0,0,526426586304,5528627119,1109902,8566,2392,382,391803,0 13,2,2024-09-07 09:58:20:597,810409,810409,0,0,32013654,0,3287 13,3,2024-09-07 09:58:21:767,1,640,1,0,522,8146,640,0 14,0,2024-09-07 09:58:20:578,164939,0.4,165980,0.6,329839,0.3,439264,1.75 14,1,2024-09-07 09:58:21:572,1128546,1128546,0,0,529401164351,5474444388,1120043,7373,1130,364,391673,0 14,2,2024-09-07 09:58:20:764,811399,811369,30,0,33273337,0,6104 14,3,2024-09-07 09:58:21:116,1,640,1,0,1168,6781,640,0 15,0,2024-09-07 09:58:21:567,160729,0.4,159848,0.6,320017,0.4,427094,1.75 15,1,2024-09-07 09:58:21:608,1125166,1125166,0,0,528350593712,5498805653,1115955,7298,1913,381,391619,0 15,2,2024-09-07 09:58:20:998,813983,813983,0,0,29291266,0,3622 15,3,2024-09-07 09:58:21:409,1,640,12,0,1126,8254,640,0 16,0,2024-09-07 09:58:20:941,158089,0.6,158687,0.8,316829,0.6,421684,2.00 16,1,2024-09-07 09:58:20:570,1124728,1124728,0,0,527511440871,5509971153,1115255,8026,1447,370,392194,0 16,2,2024-09-07 09:58:21:448,806595,806595,0,0,32537136,0,4719 16,3,2024-09-07 09:58:21:143,1,640,1,0,358,7772,640,0 17,0,2024-09-07 09:58:21:765,162239,0.5,157994,0.7,309799,0.5,421369,2.00 17,1,2024-09-07 09:58:20:580,1122463,1122463,0,0,526680487494,5520086382,1111898,8789,1776,368,392075,0 17,2,2024-09-07 09:58:21:668,811202,811201,1,0,34068436,0,5050 17,3,2024-09-07 09:58:20:575,1,640,3,0,518,9053,640,0 18,0,2024-09-07 09:58:20:939,161585,0.6,162665,0.8,323896,0.6,433198,2.25 18,1,2024-09-07 09:58:21:638,1126956,1126956,0,0,528562160530,5478878991,1119770,5927,1259,367,391725,0 18,2,2024-09-07 09:58:21:764,812289,812289,0,0,30641966,0,3541 18,3,2024-09-07 09:58:20:896,1,640,1,0,1059,5708,640,0 19,0,2024-09-07 09:58:21:563,161714,0.6,162162,0.8,323335,0.7,429363,2.00 19,1,2024-09-07 09:58:20:568,1127123,1127123,0,0,529212306000,5482218303,1118988,7000,1135,365,391777,0 19,2,2024-09-07 09:58:21:763,815642,815642,0,0,28864272,0,3988 19,3,2024-09-07 09:58:21:130,1,640,7,0,524,4886,640,0 20,0,2024-09-07 09:58:21:369,157932,0.5,157776,0.7,315551,0.5,420699,2.00 20,1,2024-09-07 09:58:20:571,1122690,1122690,0,0,527122807191,5521831959,1111611,9539,1540,369,391922,0 20,2,2024-09-07 09:58:20:944,808200,808200,0,0,35965062,0,4321 20,3,2024-09-07 09:58:20:595,1,640,6,0,468,9909,640,0 21,0,2024-09-07 09:58:21:146,157527,0.5,157538,0.6,315056,0.4,417723,2.00 21,1,2024-09-07 09:58:21:542,1120478,1120478,0,0,525320240712,5542057404,1103046,13508,3924,368,392016,0 21,2,2024-09-07 09:58:21:077,801882,801405,477,0,46688887,0,17074 21,3,2024-09-07 09:58:21:409,1,640,1,0,713,8845,640,0 22,0,2024-09-07 09:58:21:718,161055,0.4,161638,0.6,322984,0.4,428604,2.00 22,1,2024-09-07 09:58:21:024,1121019,1121019,0,0,526221953774,5535028877,1102800,14667,3552,381,391822,0 22,2,2024-09-07 09:58:20:759,807257,807231,26,0,34837615,0,6328 22,3,2024-09-07 09:58:21:066,1,640,7,0,228,5424,640,0 23,0,2024-09-07 09:58:21:370,163775,0.5,163662,0.7,327257,0.4,435918,2.00 23,1,2024-09-07 09:58:21:004,1121839,1121839,0,0,526776569918,5546133630,1101720,13409,6710,365,391690,0 23,2,2024-09-07 09:58:21:092,812483,812483,0,0,32992962,0,3773 23,3,2024-09-07 09:58:21:753,1,640,5,0,855,9307,640,0 24,0,2024-09-07 09:58:20:837,160268,0.4,159405,0.5,320432,0.4,425441,1.75 24,1,2024-09-07 09:58:20:583,1121976,1121976,0,0,525761391877,5501651515,1111485,8715,1776,367,392269,0 24,2,2024-09-07 09:58:21:071,810650,810647,3,0,39872691,0,6294 24,3,2024-09-07 09:58:21:689,1,640,1,0,468,8272,640,0 25,0,2024-09-07 09:58:21:390,162765,0.4,158458,0.6,311493,0.4,424221,1.75 25,1,2024-09-07 09:58:20:557,1120136,1120136,0,0,525240890232,5546911512,1100613,15617,3906,369,391928,0 25,2,2024-09-07 09:58:21:606,804935,804935,0,0,40109626,0,3978 25,3,2024-09-07 09:58:21:000,1,640,9,0,532,7025,640,0 26,0,2024-09-07 09:58:21:720,158805,0.4,155152,0.6,325602,0.4,424358,1.75 26,1,2024-09-07 09:58:21:541,1123531,1123531,0,0,527730979232,5524284881,1108256,12375,2900,380,391758,0 26,2,2024-09-07 09:58:20:860,807614,807614,0,0,42832715,0,4689 26,3,2024-09-07 09:58:21:712,1,640,1,0,796,7753,640,0 27,0,2024-09-07 09:58:21:726,165714,0.4,166540,0.6,331023,0.4,442188,2.25 27,1,2024-09-07 09:58:21:676,1125092,1125092,0,0,527979149266,5498874539,1114508,8968,1616,381,391626,0 27,2,2024-09-07 09:58:20:866,807976,807911,65,0,37603968,0,5699 27,3,2024-09-07 09:58:21:017,1,640,1,0,564,5378,640,0 28,0,2024-09-07 09:58:21:428,160267,0.4,160487,0.6,321096,0.3,427659,1.75 28,1,2024-09-07 09:58:20:804,1125819,1125819,0,0,528594350820,5505851923,1116866,7061,1892,382,391904,0 28,2,2024-09-07 09:58:21:764,812403,812403,0,0,32552304,0,2915 28,3,2024-09-07 09:58:21:778,1,640,2,0,502,6343,640,0 29,0,2024-09-07 09:58:21:357,162772,0.4,158551,0.6,311060,0.3,423805,1.75 29,1,2024-09-07 09:58:21:566,1128663,1128663,0,0,529180985024,5469673655,1122201,5683,779,367,391809,0 29,2,2024-09-07 09:58:20:861,806971,806971,0,0,31127666,0,4986 29,3,2024-09-07 09:58:20:966,1,640,1,0,459,6724,640,0 30,0,2024-09-07 09:58:21:477,156930,0.4,152703,0.6,320038,0.4,418364,2.00 30,1,2024-09-07 09:58:20:571,1127084,1127084,0,0,529238928186,5489255352,1118950,7163,971,380,391672,0 30,2,2024-09-07 09:58:21:274,809879,809879,0,0,30045427,0,4192 30,3,2024-09-07 09:58:20:585,1,640,147,0,519,6195,640,0 31,0,2024-09-07 09:58:21:756,163978,0.4,164832,0.6,328641,0.4,438628,1.75 31,1,2024-09-07 09:58:20:568,1131386,1131386,0,0,529911128464,5439943120,1124516,5754,1116,356,391712,0 31,2,2024-09-07 09:58:21:275,810133,810133,0,0,34095904,0,4470 31,3,2024-09-07 09:58:21:711,1,640,65,0,239,5551,640,0 32,0,2024-09-07 09:58:21:417,161573,0.3,162613,0.5,323618,0.3,430937,1.75 32,1,2024-09-07 09:58:20:803,1127096,1127096,0,0,528334002691,5487364790,1120714,5663,719,381,391646,0 32,2,2024-09-07 09:58:20:935,814801,814801,0,0,29648375,0,3922 32,3,2024-09-07 09:58:21:015,1,640,1,0,304,5190,640,0 33,0,2024-09-07 09:58:21:493,158622,0.3,158268,0.4,316633,0.2,421146,1.50 33,1,2024-09-07 09:58:20:576,1127780,1127780,0,0,529206411744,5477868508,1119214,7292,1274,368,391730,0 33,2,2024-09-07 09:58:20:759,808667,808632,35,0,32595679,0,7012 33,3,2024-09-07 09:58:20:895,1,640,1,0,329,5423,640,0 34,0,2024-09-07 09:58:20:933,156986,0.3,161412,0.5,308439,0.2,416319,1.75 34,1,2024-09-07 09:58:21:044,1129558,1129558,0,0,530785929642,5457896773,1126401,3045,112,367,391637,0 34,2,2024-09-07 09:58:20:766,809784,809784,0,0,31100486,0,4562 34,3,2024-09-07 09:58:21:693,1,640,24,0,541,5626,640,0 35,0,2024-09-07 09:58:20:871,161324,0.3,162121,0.5,324960,0.2,432580,1.75 35,1,2024-09-07 09:58:21:067,1125844,1125844,0,0,528682549075,5483907050,1117535,6786,1523,382,391769,0 35,2,2024-09-07 09:58:21:581,809607,809607,0,0,35056479,0,4055 35,3,2024-09-07 09:58:20:910,1,640,52,0,466,5935,640,0 36,0,2024-09-07 09:58:21:522,163949,0.5,164172,0.6,327732,0.4,436137,2.00 36,1,2024-09-07 09:58:20:586,1124801,1124801,0,0,527766514577,5508235620,1110898,11657,2246,366,391759,0 36,2,2024-09-07 09:58:21:751,813183,813183,0,0,36176567,0,3875 36,3,2024-09-07 09:58:20:867,1,640,33,0,556,8449,640,0 37,0,2024-09-07 09:58:21:372,157477,0.5,157622,0.7,315484,0.5,420573,2.25 37,1,2024-09-07 09:58:20:586,1124131,1124124,0,7,527693387769,5508700009,1110870,10333,2921,365,391770,0 37,2,2024-09-07 09:58:21:145,807573,807558,15,0,35894317,0,5815 37,3,2024-09-07 09:58:21:769,1,640,2,0,888,8731,640,0 38,0,2024-09-07 09:58:21:444,157387,0.4,152562,0.6,319062,0.4,417970,2.00 38,1,2024-09-07 09:58:21:605,1123955,1123955,0,0,527492858576,5514997909,1107939,12749,3267,368,391821,0 38,2,2024-09-07 09:58:20:759,807173,807126,47,0,35981631,0,6710 38,3,2024-09-07 09:58:20:997,1,640,8,0,689,7487,640,0 39,0,2024-09-07 09:58:21:761,164208,0.5,160476,0.7,313174,0.5,427852,2.00 39,1,2024-09-07 09:58:20:720,1123250,1123250,0,0,527257319930,5526121990,1104915,14147,4188,365,391865,0 39,2,2024-09-07 09:58:21:422,808299,808299,0,0,34490608,0,3391 39,3,2024-09-07 09:58:20:714,1,640,86,0,525,7217,640,0 40,0,2024-09-07 09:58:21:504,163567,0.9,164348,1.0,327987,1.0,437820,2.75 40,1,2024-09-07 09:58:20:576,1123766,1123766,0,0,526782144777,5517907969,1106957,13567,3242,366,391668,0 40,2,2024-09-07 09:58:21:303,808836,808829,7,0,40581636,0,5347 40,3,2024-09-07 09:58:21:143,1,640,2,0,1028,9213,640,0 41,0,2024-09-07 09:58:21:021,158858,1.2,162497,1.1,310032,1.7,421332,3.00 41,1,2024-09-07 09:58:20:769,1122858,1122858,0,0,527515734298,5514395042,1108787,11908,2163,369,391878,0 41,2,2024-09-07 09:58:20:759,807566,807565,1,0,39243754,0,5408 41,3,2024-09-07 09:58:21:678,1,640,1,0,366,6778,640,0 42,0,2024-09-07 09:58:21:474,157350,0.9,156981,1.0,314366,1.0,417065,2.75 42,1,2024-09-07 09:58:21:442,1120825,1120825,0,0,525528393765,5519479420,1103684,14185,2956,380,391675,0 42,2,2024-09-07 09:58:21:133,804430,804429,1,0,39766845,0,5513 42,3,2024-09-07 09:58:21:009,1,640,19,0,892,6213,640,0 43,0,2024-09-07 09:58:20:935,157384,0.7,153249,0.9,321057,0.8,420518,2.25 43,1,2024-09-07 09:58:20:577,1124087,1124087,0,0,527248606872,5508226345,1108498,12844,2745,366,391696,0 43,2,2024-09-07 09:58:21:736,808440,808440,0,0,37414054,0,4723 43,3,2024-09-07 09:58:21:749,1,640,8,0,571,8974,640,0 44,0,2024-09-07 09:58:20:870,165084,0.4,165237,0.6,329876,0.3,439606,1.75 44,1,2024-09-07 09:58:20:567,1127403,1127403,0,0,527932240164,5453419246,1117955,7505,1943,356,391809,0 44,2,2024-09-07 09:58:21:266,809528,809528,0,0,30181676,0,4344 44,3,2024-09-07 09:58:21:094,1,640,12,0,1097,7792,640,0 45,0,2024-09-07 09:58:21:757,158782,0.5,155189,0.7,325726,0.4,427089,2.00 45,1,2024-09-07 09:58:21:019,1126380,1126380,0,0,529233560682,5495296282,1118109,7368,903,382,391917,0 45,2,2024-09-07 09:58:21:269,813252,813252,0,0,30487837,0,3596 45,3,2024-09-07 09:58:20:934,1,640,2,0,531,5963,640,0 46,0,2024-09-07 09:58:20:953,157312,0.5,156847,0.7,314655,0.5,418393,2.00 46,1,2024-09-07 09:58:20:584,1128778,1128778,0,0,529385902181,5469684957,1121154,6635,989,366,391709,0 46,2,2024-09-07 09:58:20:596,808861,808861,0,0,30838661,0,4443 46,3,2024-09-07 09:58:21:131,1,640,3,0,908,7367,640,0 47,0,2024-09-07 09:58:21:101,157900,0.4,157515,0.6,316563,0.3,419756,1.75 47,1,2024-09-07 09:58:20:567,1129702,1129702,0,0,529286597357,5464312348,1123490,5348,864,364,391641,0 47,2,2024-09-07 09:58:20:910,811795,811795,0,0,30263642,0,4477 47,3,2024-09-07 09:58:21:115,1,640,8,0,600,6821,640,0 48,0,2024-09-07 09:58:21:500,163443,0.3,163816,0.4,326731,0.2,434958,1.50 48,1,2024-09-07 09:58:21:022,1126374,1126374,0,0,528134204559,5481262190,1120110,5684,580,381,391710,0 48,2,2024-09-07 09:58:20:701,810756,810756,0,0,28657876,0,3524 48,3,2024-09-07 09:58:20:756,1,640,1,0,339,5477,640,0 49,0,2024-09-07 09:58:21:716,167046,0.3,163553,0.5,318277,0.3,433804,1.75 49,1,2024-09-07 09:58:21:024,1125969,1125969,0,0,527796966992,5486992580,1118502,5771,1696,382,391809,0 49,2,2024-09-07 09:58:21:802,814049,814049,0,0,30882577,0,4426 49,3,2024-09-07 09:58:21:419,1,640,1,0,992,7521,640,0 50,0,2024-09-07 09:58:21:505,158579,0.3,157101,0.5,316376,0.2,420660,1.75 50,1,2024-09-07 09:58:21:010,1128702,1128702,0,0,530320629199,5478578011,1122041,5991,670,368,391691,0 50,2,2024-09-07 09:58:21:067,807727,807727,0,0,28439857,0,4490 50,3,2024-09-07 09:58:21:291,1,640,0,0,617,6295,640,0 51,0,2024-09-07 09:58:21:686,161638,0.3,158112,0.5,307957,0.2,419484,1.75 51,1,2024-09-07 09:58:21:680,1128795,1128795,0,0,531639463825,5478396307,1122972,4742,1081,365,391706,0 51,2,2024-09-07 09:58:21:315,808696,808696,0,0,28176222,0,3337 51,3,2024-09-07 09:58:21:027,1,640,7,0,678,4541,640,0 52,0,2024-09-07 09:58:21:426,161711,0.5,161564,0.6,323090,0.4,429759,2.00 52,1,2024-09-07 09:58:20:575,1124081,1124081,0,0,527219140890,5514030887,1108792,12953,2336,368,391805,0 52,2,2024-09-07 09:58:21:758,805638,805600,38,0,38750556,0,6742 52,3,2024-09-07 09:58:20:675,1,640,0,0,1782,7395,640,0 53,0,2024-09-07 09:58:21:740,163652,0.6,158791,0.8,332250,0.7,434996,2.25 53,1,2024-09-07 09:58:20:770,1121912,1121912,0,0,527092205058,5527231908,1103302,14204,4406,367,391968,0 53,2,2024-09-07 09:58:21:297,812775,812774,1,0,34267080,0,5455 53,3,2024-09-07 09:58:20:697,1,640,4,0,308,5757,640,0 54,0,2024-09-07 09:58:21:619,157512,0.6,157770,0.7,314451,0.5,419877,2.25 54,1,2024-09-07 09:58:20:616,1125655,1125655,0,0,527813124859,5486281912,1114411,9572,1672,366,391810,0 54,2,2024-09-07 09:58:20:866,811157,811125,32,0,38396156,0,6397 54,3,2024-09-07 09:58:20:762,1,640,1,0,676,8030,640,0 55,0,2024-09-07 09:58:21:760,152974,0.6,157776,0.8,319797,0.5,416927,2.50 55,1,2024-09-07 09:58:20:764,1125843,1125843,0,0,527691644246,5486900637,1114230,10145,1468,365,391731,0 55,2,2024-09-07 09:58:20:729,805720,805664,56,0,35441298,0,7239 55,3,2024-09-07 09:58:20:677,1,640,7,0,304,5851,640,0 56,0,2024-09-07 09:58:21:594,162512,1.2,153086,1.1,315620,1.5,422663,2.75 56,1,2024-09-07 09:58:20:571,1119110,1119110,0,0,525512814746,5553905216,1100843,14553,3714,381,391867,0 56,2,2024-09-07 09:58:21:303,806708,806586,122,0,37763839,0,7432 56,3,2024-09-07 09:58:21:063,1,640,12,0,705,7739,640,0 57,0,2024-09-07 09:58:20:947,163849,1.4,163676,1.2,327316,1.9,438122,3.00 57,1,2024-09-07 09:58:21:001,1121672,1121672,0,0,526093640399,5519452748,1106701,12442,2529,366,392032,0 57,2,2024-09-07 09:58:21:317,810279,810279,0,0,40158647,0,4804 57,3,2024-09-07 09:58:21:738,1,640,4,0,455,7187,640,0 58,0,2024-09-07 09:58:20:576,157771,1.0,153247,1.0,320737,1.2,419970,2.75 58,1,2024-09-07 09:58:20:575,1122661,1122658,0,3,527007714499,5525260327,1105238,13118,4302,367,391726,3 58,2,2024-09-07 09:58:21:072,812235,812235,0,0,37153669,0,3483 58,3,2024-09-07 09:58:21:068,1,640,1,0,1043,7027,640,0 59,0,2024-09-07 09:58:21:741,156882,0.9,156300,1.0,312483,0.9,414760,3.00 59,1,2024-09-07 09:58:20:805,1122269,1122269,0,0,527097849023,5538128298,1103530,14905,3834,369,391653,0 59,2,2024-09-07 09:58:20:585,806825,806825,0,0,35039909,0,3727 59,3,2024-09-07 09:58:21:737,1,640,2,0,1015,7717,640,0 60,0,2024-09-07 09:58:21:734,157758,0.5,157732,0.7,315823,0.4,420655,1.75 60,1,2024-09-07 09:58:20:773,1126687,1126687,0,0,529436034134,5500357070,1118386,7192,1109,370,392031,0 60,2,2024-09-07 09:58:21:149,809469,809469,0,0,33099411,0,3811 60,3,2024-09-07 09:58:21:258,1,640,1,0,409,7155,640,0 61,0,2024-09-07 09:58:21:509,164096,0.5,164719,0.7,327860,0.5,437871,2.00 61,1,2024-09-07 09:58:20:770,1124175,1124175,0,0,526891461797,5512537566,1112724,9761,1690,382,392127,0 61,2,2024-09-07 09:58:21:131,810937,810870,67,0,33652010,0,6411 61,3,2024-09-07 09:58:21:688,1,640,1,0,607,7986,640,0 62,0,2024-09-07 09:58:21:713,162002,0.6,166189,0.7,317325,0.6,430845,2.00 62,1,2024-09-07 09:58:21:110,1130618,1130612,0,6,530833484359,5469934960,1125403,4908,301,365,391975,6 62,2,2024-09-07 09:58:21:658,810961,810960,1,0,33575809,0,5555 62,3,2024-09-07 09:58:21:143,1,640,3,0,482,5044,640,0 63,0,2024-09-07 09:58:21:457,158625,0.4,158317,0.6,317281,0.3,421433,1.75 63,1,2024-09-07 09:58:20:804,1127191,1127185,0,6,528707443287,5486648192,1120268,6060,857,381,391800,6 63,2,2024-09-07 09:58:20:761,808061,808061,0,0,31773967,0,4369 63,3,2024-09-07 09:58:21:737,1,640,8,0,667,6389,640,0 64,0,2024-09-07 09:58:21:539,156012,0.5,155890,0.6,311602,0.4,414883,2.00 64,1,2024-09-07 09:58:20:753,1125248,1125248,0,0,528955791529,5504358432,1115875,7394,1979,370,391794,0 64,2,2024-09-07 09:58:21:148,812641,812622,19,0,31011330,0,6121 64,3,2024-09-07 09:58:21:148,1,640,1,0,651,7113,640,0 65,0,2024-09-07 09:58:21:717,160966,0.6,161282,0.7,322321,0.6,430238,2.00 65,1,2024-09-07 09:58:20:862,1124038,1124038,0,0,526582640151,5500186290,1116460,6702,876,381,391901,0 65,2,2024-09-07 09:58:21:697,808414,808414,0,0,37440898,0,3367 65,3,2024-09-07 09:58:21:683,1,640,2,0,782,7818,640,0 66,0,2024-09-07 09:58:21:788,163159,0.5,162706,0.7,325557,0.5,432832,2.00 66,1,2024-09-07 09:58:21:293,1126034,1126034,0,0,528648329222,5497735305,1119222,6068,744,380,391743,0 66,2,2024-09-07 09:58:21:131,815371,815368,3,0,33159943,0,5455 66,3,2024-09-07 09:58:21:079,1,640,1,0,291,5563,640,0 67,0,2024-09-07 09:58:21:452,158253,0.5,157752,0.7,316465,0.5,421165,2.00 67,1,2024-09-07 09:58:20:766,1126358,1126357,0,1,529200975674,5504967179,1118949,6463,945,380,391787,1 67,2,2024-09-07 09:58:20:583,813093,813078,15,0,32847875,0,6205 67,3,2024-09-07 09:58:21:750,1,640,14,0,595,6459,640,0 68,0,2024-09-07 09:58:20:610,158229,0.6,157923,0.7,314747,0.5,421090,2.00 68,1,2024-09-07 09:58:20:580,1121562,1121562,0,0,525615202801,5525953237,1106677,11105,3780,381,391953,0 68,2,2024-09-07 09:58:21:055,803672,803572,100,0,41316901,0,8578 68,3,2024-09-07 09:58:20:728,1,640,53,0,417,7977,640,0 69,0,2024-09-07 09:58:21:783,160022,0.7,160838,0.8,320473,0.7,426400,2.25 69,1,2024-09-07 09:58:21:024,1120613,1120613,0,0,525595511248,5535968409,1106260,11376,2977,383,391994,0 69,2,2024-09-07 09:58:21:744,805241,805212,29,0,44630701,0,6912 69,3,2024-09-07 09:58:20:760,1,640,1,0,698,8849,640,0 70,0,2024-09-07 09:58:21:538,163283,0.8,163974,0.9,328985,0.7,436095,2.50 70,1,2024-09-07 09:58:20:803,1127169,1127169,0,0,529571815576,5492575081,1118904,7451,814,366,391725,0 70,2,2024-09-07 09:58:21:324,811575,811575,0,0,36633518,0,4323 70,3,2024-09-07 09:58:20:749,1,640,0,0,854,6882,640,0 71,0,2024-09-07 09:58:21:363,158607,0.9,158161,1.0,317627,1.1,423573,2.50 71,1,2024-09-07 09:58:21:597,1124792,1124792,0,0,528263519107,5511568977,1111152,12014,1626,367,391738,0 71,2,2024-09-07 09:58:21:067,810578,810578,0,0,36393498,0,4352 71,3,2024-09-07 09:58:21:757,1,640,13,0,644,6956,640,0 72,0,2024-09-07 09:58:21:033,163439,0.5,159659,0.7,311822,0.5,424121,2.00 72,1,2024-09-07 09:58:21:025,1122977,1122977,0,0,526785685734,5523406610,1106878,13413,2686,369,391819,0 72,2,2024-09-07 09:58:21:763,804745,804745,0,0,38179023,0,3983 72,3,2024-09-07 09:58:21:761,1,640,2,0,564,9051,640,0 73,0,2024-09-07 09:58:21:128,154818,0.4,158875,0.6,324669,0.4,423156,2.00 73,1,2024-09-07 09:58:20:766,1124792,1124792,0,0,528370486878,5489030886,1116351,7458,983,367,391858,0 73,2,2024-09-07 09:58:21:766,807569,807568,1,0,40164618,0,5027 73,3,2024-09-07 09:58:20:980,1,640,20,0,1091,8714,640,0 74,0,2024-09-07 09:58:21:336,165983,0.4,169994,0.6,324429,0.4,440060,2.00 74,1,2024-09-07 09:58:20:642,1123750,1123750,0,0,526965147256,5498967121,1111659,9954,2137,381,391762,0 74,2,2024-09-07 09:58:21:001,809563,809563,0,0,35080109,0,4253 74,3,2024-09-07 09:58:21:442,1,640,33,0,522,7579,640,0 75,0,2024-09-07 09:58:21:767,160810,0.5,159871,0.7,320857,0.5,428533,2.25 75,1,2024-09-07 09:58:21:584,1124607,1124607,0,0,528801247034,5508499743,1115412,8263,932,380,391739,0 75,2,2024-09-07 09:58:21:350,811228,811228,0,0,41954151,0,4766 75,3,2024-09-07 09:58:21:067,1,640,14,0,918,8509,640,0 76,0,2024-09-07 09:58:20:579,157722,0.6,157059,0.7,314086,0.5,420060,2.25 76,1,2024-09-07 09:58:20:804,1124468,1124468,0,0,527548713657,5496846989,1117115,6359,994,382,391790,0 76,2,2024-09-07 09:58:21:063,809547,809544,3,0,33705783,0,5265 76,3,2024-09-07 09:58:21:142,1,640,5,0,227,5787,640,0 77,0,2024-09-07 09:58:21:757,157000,0.5,157388,0.7,314955,0.5,418788,2.00 77,1,2024-09-07 09:58:20:824,1124975,1124975,0,0,528492091374,5509293771,1116871,7222,882,381,391869,0 77,2,2024-09-07 09:58:21:281,807967,807967,0,0,33195588,0,3890 77,3,2024-09-07 09:58:21:093,1,640,6,0,401,7229,640,0 78,0,2024-09-07 09:58:21:721,163854,0.4,163148,0.6,327215,0.4,433452,2.00 78,1,2024-09-07 09:58:20:636,1124623,1124623,0,0,526669421541,5490540309,1111324,10367,2932,367,391670,0 78,2,2024-09-07 09:58:21:406,811262,811249,13,0,31112041,0,8313 78,3,2024-09-07 09:58:21:133,1,640,35,0,311,5244,640,0 79,0,2024-09-07 09:58:21:364,156904,0.4,160643,0.6,329400,0.3,428383,2.00 79,1,2024-09-07 09:58:20:571,1129048,1129048,0,0,529248105150,5473883610,1121186,6502,1360,367,391682,0 79,2,2024-09-07 09:58:21:068,813760,813760,0,0,30211576,0,4195 79,3,2024-09-07 09:58:20:750,1,640,8,0,418,7304,640,0 80,0,2024-09-07 09:58:21:090,158042,0.5,162313,0.7,310082,0.5,419637,2.00 80,1,2024-09-07 09:58:21:620,1124839,1124839,0,0,528122684796,5495260909,1117565,6817,457,368,392269,0 80,2,2024-09-07 09:58:21:091,811162,811162,0,0,30619594,0,4433 80,3,2024-09-07 09:58:20:581,1,640,1,0,681,7766,640,0 81,0,2024-09-07 09:58:21:544,157387,0.5,161026,0.7,307506,0.5,416630,2.00 81,1,2024-09-07 09:58:21:668,1124031,1124031,0,0,526784463534,5501474705,1115611,7639,781,382,391885,0 81,2,2024-09-07 09:58:21:132,807846,807783,63,0,33901798,0,5932 81,3,2024-09-07 09:58:21:120,1,640,1,0,719,7152,640,0 82,0,2024-09-07 09:58:21:561,161180,0.4,161255,0.6,323225,0.4,428700,2.00 82,1,2024-09-07 09:58:20:586,1125850,1125846,0,4,528265572147,5488189132,1120233,4788,825,381,391768,4 82,2,2024-09-07 09:58:21:692,811023,811023,0,0,28932366,0,4484 82,3,2024-09-07 09:58:21:755,1,640,6,0,363,5820,640,0 83,0,2024-09-07 09:58:21:526,164236,0.6,164067,0.7,327837,0.6,435366,2.00 83,1,2024-09-07 09:58:20:551,1124755,1124755,0,0,527232424172,5492385967,1117117,6993,645,382,391709,0 83,2,2024-09-07 09:58:20:764,813062,813037,25,0,31143994,0,5612 83,3,2024-09-07 09:58:20:749,1,640,8,0,1260,7383,640,0 84,0,2024-09-07 09:58:21:781,157843,0.7,157954,0.9,315629,0.7,421594,2.25 84,1,2024-09-07 09:58:21:042,1122711,1122711,0,0,527239715228,5513215220,1109824,11005,1882,367,391967,0 84,2,2024-09-07 09:58:20:571,809518,809108,410,0,44988273,0,17037 84,3,2024-09-07 09:58:21:142,1,640,5,0,908,8633,640,0 85,0,2024-09-07 09:58:21:026,152261,0.6,152189,0.8,323104,0.6,417218,2.25 85,1,2024-09-07 09:58:20:560,1119633,1119633,0,0,525863058851,5547168496,1103086,13744,2803,381,392092,0 85,2,2024-09-07 09:58:20:865,806264,806264,0,0,38104090,0,4255 85,3,2024-09-07 09:58:20:694,1,640,2,0,789,7177,640,0 86,0,2024-09-07 09:58:20:876,159107,0.7,163588,0.8,312866,0.7,422978,2.25 86,1,2024-09-07 09:58:20:827,1122575,1122575,0,0,527208499641,5527291873,1107847,11932,2796,366,392169,0 86,2,2024-09-07 09:58:20:864,807183,807182,1,0,40903717,0,5004 86,3,2024-09-07 09:58:20:595,1,640,5,0,308,8801,640,0 87,0,2024-09-07 09:58:21:333,165930,0.8,164974,0.8,330397,0.9,441847,2.25 87,1,2024-09-07 09:58:20:550,1121839,1121839,0,0,526637721121,5513766756,1108155,11881,1803,366,392076,0 87,2,2024-09-07 09:58:21:067,810026,810020,6,0,37832723,0,6323 87,3,2024-09-07 09:58:21:802,1,640,8,0,473,8905,640,0 88,0,2024-09-07 09:58:21:478,160148,0.4,160636,0.6,321038,0.4,427534,1.75 88,1,2024-09-07 09:58:20:570,1121402,1121402,0,0,526122198439,5512525622,1105671,12682,3049,365,392084,0 88,2,2024-09-07 09:58:20:691,811315,811315,0,0,39739965,0,4465 88,3,2024-09-07 09:58:21:267,1,640,68,0,1080,9909,640,0 89,0,2024-09-07 09:58:21:800,162737,0.4,157872,0.6,311426,0.4,423393,1.75 89,1,2024-09-07 09:58:20:557,1120427,1120427,0,0,526588578725,5536149018,1106758,11494,2175,382,391866,0 89,2,2024-09-07 09:58:21:133,806423,806423,0,0,37330781,0,3173 89,3,2024-09-07 09:58:21:802,1,640,6,0,468,10291,640,0 90,0,2024-09-07 09:58:21:612,153001,0.4,157218,0.6,320703,0.4,418478,2.00 90,1,2024-09-07 09:58:20:596,1122664,1122664,0,0,526246944523,5512153031,1111583,10048,1033,380,391825,0 90,2,2024-09-07 09:58:21:410,804874,804869,5,0,40895923,0,6370 90,3,2024-09-07 09:58:20:932,1,640,4,0,322,7905,640,0 91,0,2024-09-07 09:58:20:958,164575,0.4,159705,0.6,334204,0.4,438567,1.75 91,1,2024-09-07 09:58:20:555,1119639,1119639,0,0,525871951489,5545244982,1102067,13614,3958,381,392047,0 91,2,2024-09-07 09:58:21:332,810088,810088,0,0,36385048,0,4713 91,3,2024-09-07 09:58:20:597,1,640,231,0,231,6005,640,0 92,0,2024-09-07 09:58:21:465,163119,0.4,166838,0.6,317967,0.4,431060,1.75 92,1,2024-09-07 09:58:20:598,1124118,1124118,0,0,528462105566,5515625854,1115693,7136,1289,381,392136,0 92,2,2024-09-07 09:58:21:357,812927,812927,0,0,31781239,0,3259 92,3,2024-09-07 09:58:21:009,1,640,14,0,167,5380,640,0 93,0,2024-09-07 09:58:20:958,159120,0.4,162983,0.6,311360,0.3,421444,1.75 93,1,2024-09-07 09:58:20:805,1124982,1124982,0,0,527217065695,5500247093,1112815,10213,1954,365,392048,0 93,2,2024-09-07 09:58:20:933,807711,807711,0,0,37284668,0,4913 93,3,2024-09-07 09:58:21:413,1,640,2,0,375,6662,640,0 94,0,2024-09-07 09:58:21:618,156053,0.4,157266,0.5,314267,0.3,417188,1.75 94,1,2024-09-07 09:58:20:566,1125375,1125375,0,0,527994878124,5501898486,1118393,6546,436,381,391850,0 94,2,2024-09-07 09:58:20:761,808753,808725,28,0,32387430,0,6179 94,3,2024-09-07 09:58:21:690,1,640,3,0,576,7755,640,0 95,0,2024-09-07 09:58:21:368,162215,0.3,162097,0.5,324517,0.3,432424,1.75 95,1,2024-09-07 09:58:20:880,1125846,1125846,0,0,528491615571,5488878674,1117233,7851,762,365,391852,0 95,2,2024-09-07 09:58:21:017,808344,808344,0,0,32132423,0,3308 95,3,2024-09-07 09:58:21:709,1,640,8,0,718,9848,640,0 96,0,2024-09-07 09:58:21:037,163622,0.3,163881,0.5,328132,0.3,435566,1.75 96,1,2024-09-07 09:58:21:606,1123997,1123997,0,0,527448521369,5498987907,1116335,6377,1285,384,391964,0 96,2,2024-09-07 09:58:21:269,814231,814231,0,0,33120850,0,4180 96,3,2024-09-07 09:58:21:152,1,640,8,0,411,7129,640,0 97,0,2024-09-07 09:58:21:370,158237,0.3,158248,0.5,317162,0.3,420944,1.50 97,1,2024-09-07 09:58:20:768,1126175,1126175,0,0,528918630080,5485117174,1119191,5940,1044,367,392140,0 97,2,2024-09-07 09:58:20:607,811555,811555,0,0,32571603,0,4046 97,3,2024-09-07 09:58:20:581,1,640,22,0,242,7186,640,0 98,0,2024-09-07 09:58:21:699,157847,0.3,157794,0.4,317038,0.2,421408,1.50 98,1,2024-09-07 09:58:20:570,1125202,1125202,0,0,528104377593,5498061031,1118664,5698,840,381,391997,0 98,2,2024-09-07 09:58:20:771,807116,807116,0,0,31176127,0,4336 98,3,2024-09-07 09:58:20:698,1,640,0,0,840,9314,640,0 99,0,2024-09-07 09:58:21:449,160646,0.3,161513,0.5,321511,0.3,429271,1.75 99,1,2024-09-07 09:58:21:724,1126046,1126046,0,0,527503281473,5487055520,1119306,5774,966,380,392069,0 99,2,2024-09-07 09:58:21:420,808136,808136,0,0,39263342,0,4276 99,3,2024-09-07 09:58:20:582,1,640,1,0,1124,8058,640,0 100,0,2024-09-07 09:58:21:471,164804,0.8,165248,0.9,330211,0.9,440588,2.50 100,1,2024-09-07 09:58:20:551,1118810,1118810,0,0,525564353761,5555585888,1101502,13814,3494,378,391989,0 100,2,2024-09-07 09:58:21:828,807718,807329,389,0,42355844,0,16909 100,3,2024-09-07 09:58:21:731,1,640,14,0,627,10431,640,0 101,0,2024-09-07 09:58:21:711,163103,1.0,159194,1.0,311537,1.0,425358,2.25 101,1,2024-09-07 09:58:20:550,1121317,1121317,0,0,526695785299,5533052558,1106370,12267,2680,368,391847,0 101,2,2024-09-07 09:58:21:772,806742,806742,0,0,42372473,0,4871 101,3,2024-09-07 09:58:20:950,1,640,50,0,1250,9469,640,0 102,0,2024-09-07 09:58:20:965,153973,0.6,158644,0.8,322270,0.6,421414,2.25 102,1,2024-09-07 09:58:21:146,1120931,1120931,0,0,526713965715,5530233414,1106220,12502,2209,369,391984,0 102,2,2024-09-07 09:58:21:737,807075,807021,54,0,36032417,0,6768 102,3,2024-09-07 09:58:21:613,1,640,2,0,466,7082,640,0 103,0,2024-09-07 09:58:21:590,164203,0.5,164215,0.7,309425,0.5,426458,2.00 103,1,2024-09-07 09:58:21:625,1120109,1120109,0,0,525240341250,5543910667,1102631,13864,3614,381,392077,0 103,2,2024-09-07 09:58:20:585,806206,806206,0,0,39082455,0,3766 103,3,2024-09-07 09:58:20:760,1,640,21,0,916,7409,640,0 104,0,2024-09-07 09:58:21:025,163936,0.7,164232,0.9,327576,0.6,437810,2.25 104,1,2024-09-07 09:58:21:601,1122368,1122368,0,0,526210687157,5528070625,1105771,13553,3044,365,392168,0 104,2,2024-09-07 09:58:21:674,807763,807763,0,0,38809251,0,4161 104,3,2024-09-07 09:58:21:419,1,640,22,0,1245,11024,640,0 105,0,2024-09-07 09:58:21:042,158723,0.9,154675,1.0,324389,1.0,426324,2.50 105,1,2024-09-07 09:58:20:575,1123849,1123849,0,0,528002346002,5527595425,1110081,11821,1947,364,392009,0 105,2,2024-09-07 09:58:21:322,810995,810995,0,0,39402394,0,4360 105,3,2024-09-07 09:58:21:305,1,640,14,0,573,9582,640,0 106,0,2024-09-07 09:58:20:953,152762,0.9,156476,1.0,320499,1.1,418409,2.50 106,1,2024-09-07 09:58:21:754,1122314,1122314,0,0,526385319456,5521720252,1106944,13437,1933,368,391914,0 106,2,2024-09-07 09:58:20:759,806117,806117,0,0,36265858,0,3331 106,3,2024-09-07 09:58:20:676,1,640,11,0,1224,8655,640,0 107,0,2024-09-07 09:58:21:096,157093,0.9,157177,0.9,314461,1.0,419582,2.25 107,1,2024-09-07 09:58:20:585,1120439,1120439,0,0,525596869618,5551424957,1102697,15595,2147,381,392234,0 107,2,2024-09-07 09:58:21:293,804628,804627,1,0,39905988,0,5024 107,3,2024-09-07 09:58:21:758,1,640,8,0,733,9571,640,0 108,0,2024-09-07 09:58:21:811,162810,0.4,163469,0.6,325840,0.4,434861,1.75 108,1,2024-09-07 09:58:21:294,1124036,1124036,0,0,527375636430,5496548469,1115210,7828,998,367,391894,0 108,2,2024-09-07 09:58:21:756,810229,810229,0,0,34397334,0,4246 108,3,2024-09-07 09:58:21:330,1,640,0,0,749,11052,640,0 109,0,2024-09-07 09:58:21:745,163361,0.4,161937,0.6,324724,0.3,433021,1.75 109,1,2024-09-07 09:58:20:583,1121753,1121753,0,0,526442166107,5518527910,1112187,8286,1280,382,392132,0 109,2,2024-09-07 09:58:20:921,811381,811381,0,0,35068934,0,3617 109,3,2024-09-07 09:58:21:151,1,640,2,0,630,7527,640,0 110,0,2024-09-07 09:58:21:748,157836,0.4,153507,0.6,321412,0.3,421476,1.75 110,1,2024-09-07 09:58:21:642,1126159,1126159,0,0,528455999703,5481784216,1118067,6163,1929,368,392045,0 110,2,2024-09-07 09:58:21:303,809577,809577,0,0,32545155,0,4067 110,3,2024-09-07 09:58:20:691,1,640,51,0,722,8442,640,0 111,0,2024-09-07 09:58:21:422,158121,0.4,157343,0.5,314418,0.3,419063,1.75 111,1,2024-09-07 09:58:21:001,1127035,1127035,0,0,529108404427,5485475617,1121203,5449,383,380,391690,0 111,2,2024-09-07 09:58:21:115,808258,808258,0,0,33091017,0,4823 111,3,2024-09-07 09:58:20:913,1,640,12,0,379,6910,640,0 112,0,2024-09-07 09:58:20:909,161870,0.3,161441,0.4,323714,0.2,429974,1.50 112,1,2024-09-07 09:58:20:824,1126141,1126141,0,0,528131746880,5480882871,1118926,5990,1225,380,391624,0 112,2,2024-09-07 09:58:21:133,809097,809096,1,0,31721070,0,5036 112,3,2024-09-07 09:58:20:595,1,640,17,0,282,5953,640,0 113,0,2024-09-07 09:58:20:880,164271,0.3,164259,0.5,329073,0.2,437604,1.75 113,1,2024-09-07 09:58:21:685,1128596,1128596,0,0,530496081959,5470136972,1122337,5311,948,365,391664,0 113,2,2024-09-07 09:58:21:304,815043,815043,0,0,29787784,0,3813 113,3,2024-09-07 09:58:20:684,1,640,2,0,510,6693,640,0 114,0,2024-09-07 09:58:20:874,159457,0.3,160422,0.5,320070,0.2,427001,1.75 114,1,2024-09-07 09:58:20:717,1126213,1126213,0,0,528295899944,5482510456,1118108,6358,1747,381,391565,0 114,2,2024-09-07 09:58:20:875,813454,813453,1,0,30765686,0,5069 114,3,2024-09-07 09:58:21:281,1,640,2,0,415,5380,640,0 115,0,2024-09-07 09:58:20:556,159151,0.3,159658,0.4,318000,0.2,423555,1.50 115,1,2024-09-07 09:58:20:575,1126673,1126673,0,0,528843974634,5490011890,1118274,6880,1519,382,391757,0 115,2,2024-09-07 09:58:21:126,809317,809317,0,0,30905739,0,4382 115,3,2024-09-07 09:58:21:001,1,640,12,0,167,3873,640,0 116,0,2024-09-07 09:58:21:707,158888,0.7,158846,0.9,318378,0.7,425197,2.25 116,1,2024-09-07 09:58:20:902,1119741,1119741,0,0,526267340797,5544426805,1106345,10190,3206,380,392089,0 116,2,2024-09-07 09:58:21:751,807920,807920,0,0,38877844,0,4475 116,3,2024-09-07 09:58:20:927,1,640,1,0,448,8093,640,0 117,0,2024-09-07 09:58:21:000,166001,0.7,165455,0.8,331244,0.7,443419,2.00 117,1,2024-09-07 09:58:21:591,1122132,1122132,0,0,526182628004,5508207465,1109897,10654,1581,369,392429,0 117,2,2024-09-07 09:58:21:118,812348,812348,0,0,35263310,0,4303 117,3,2024-09-07 09:58:21:063,1,640,2,0,490,7895,640,0 118,0,2024-09-07 09:58:21:772,155364,0.5,159525,0.7,325546,0.5,425986,2.00 118,1,2024-09-07 09:58:20:597,1121319,1121319,0,0,525464306261,5520874269,1105230,12463,3626,366,392054,0 118,2,2024-09-07 09:58:21:593,810805,810805,0,0,37886977,0,2842 118,3,2024-09-07 09:58:21:767,1,640,1,0,343,7801,640,0 119,0,2024-09-07 09:58:21:354,157951,0.7,158573,0.8,316469,0.8,421756,2.25 119,1,2024-09-07 09:58:20:592,1122280,1122280,0,0,525979922899,5513859074,1107469,12298,2513,367,391857,0 119,2,2024-09-07 09:58:21:262,807794,807794,0,0,35024440,0,4309 119,3,2024-09-07 09:58:21:325,1,640,9,0,1358,11680,640,0 120,0,2024-09-07 09:58:21:557,156959,0.6,156798,0.8,314895,0.6,419786,2.25 120,1,2024-09-07 09:58:20:863,1123155,1123155,0,0,526671898138,5520614655,1111218,10792,1145,367,392144,0 120,2,2024-09-07 09:58:20:779,807329,807326,3,0,41970184,0,5363 120,3,2024-09-07 09:58:21:294,1,640,2,0,478,8026,640,0 121,0,2024-09-07 09:58:21:763,164433,1.0,163895,1.0,327969,1.3,437396,2.25 121,1,2024-09-07 09:58:21:674,1122433,1122433,0,0,526851011568,5512177104,1110474,10132,1827,366,391840,0 121,2,2024-09-07 09:58:21:144,809835,809835,0,0,38638057,0,4157 121,3,2024-09-07 09:58:20:728,1,640,11,0,387,8340,640,0 122,0,2024-09-07 09:58:21:850,161029,0.7,156506,0.9,327800,0.8,430853,2.00 122,1,2024-09-07 09:58:20:862,1121867,1121867,0,0,526777320098,5525346819,1106811,12638,2418,365,392130,0 122,2,2024-09-07 09:58:21:323,812384,812309,75,0,42182149,0,5989 122,3,2024-09-07 09:58:20:596,1,640,1,0,512,9975,640,0 123,0,2024-09-07 09:58:20:970,157443,0.8,153271,0.9,321240,0.9,419964,2.25 123,1,2024-09-07 09:58:20:558,1122245,1122245,0,0,526266202744,5532754761,1104470,14923,2852,369,392039,0 123,2,2024-09-07 09:58:21:018,806009,806008,1,0,35900454,0,5215 123,3,2024-09-07 09:58:21:132,1,640,7,0,478,7178,640,0 124,0,2024-09-07 09:58:20:920,161011,0.3,161085,0.5,303556,0.3,417734,1.75 124,1,2024-09-07 09:58:21:022,1126237,1126237,0,0,527807981305,5484264939,1118126,6919,1192,365,392178,0 124,2,2024-09-07 09:58:21:010,810551,810498,53,0,32462056,0,6487 124,3,2024-09-07 09:58:20:758,1,640,1,0,490,6291,640,0 125,0,2024-09-07 09:58:21:431,161892,0.4,161719,0.5,324373,0.3,432727,1.75 125,1,2024-09-07 09:58:20:882,1123371,1123371,0,0,527336364034,5501241274,1115084,7132,1155,382,392045,0 125,2,2024-09-07 09:58:21:115,811633,811633,0,0,32640522,0,4534 125,3,2024-09-07 09:58:21:130,1,640,3,0,709,7291,640,0 126,0,2024-09-07 09:58:21:423,163835,0.4,168539,0.5,322207,0.3,436246,1.75 126,1,2024-09-07 09:58:20:561,1127429,1127429,0,0,528480600660,5467598943,1121819,5161,449,365,391987,0 126,2,2024-09-07 09:58:20:609,814467,814467,0,0,33814589,0,4539 126,3,2024-09-07 09:58:20:915,1,640,7,0,268,6844,640,0 127,0,2024-09-07 09:58:21:644,158664,0.3,158770,0.5,316964,0.3,421246,1.75 127,1,2024-09-07 09:58:20:569,1125165,1125165,0,0,527534353019,5485329379,1114290,9231,1644,364,392187,0 127,2,2024-09-07 09:58:20:643,810652,810648,4,0,32032576,0,5305 127,3,2024-09-07 09:58:21:267,1,640,9,0,968,6168,640,0 128,0,2024-09-07 09:58:21:527,158766,0.3,158910,0.4,317566,0.2,422154,1.50 128,1,2024-09-07 09:58:21:606,1124648,1124648,0,0,527483157716,5484629647,1115962,7721,965,367,392423,0 128,2,2024-09-07 09:58:21:383,809839,809839,0,0,29794623,0,3171 128,3,2024-09-07 09:58:20:779,1,640,18,0,1082,9805,640,0 129,0,2024-09-07 09:58:21:019,162016,0.3,161122,0.5,323004,0.3,429862,1.50 129,1,2024-09-07 09:58:20:570,1121528,1121528,0,0,526748590385,5517552147,1110635,8871,2022,379,391962,0 129,2,2024-09-07 09:58:20:691,810252,810248,4,0,32301371,0,5335 129,3,2024-09-07 09:58:20:688,1,640,3,0,506,8477,640,0 130,0,2024-09-07 09:58:21:738,165976,0.4,165712,0.6,331827,0.4,441561,1.75 130,1,2024-09-07 09:58:20:586,1126497,1126497,0,0,528336432890,5484126714,1121029,5065,403,381,391825,0 130,2,2024-09-07 09:58:21:128,812168,812168,0,0,32593850,0,4067 130,3,2024-09-07 09:58:21:295,1,640,1,0,960,8453,640,0 131,0,2024-09-07 09:58:21:948,159735,0.3,160144,0.5,321256,0.3,426916,1.75 131,1,2024-09-07 09:58:21:828,1125659,1125659,0,0,528049346573,5500835652,1118255,6206,1198,381,391865,0 131,2,2024-09-07 09:58:20:570,812265,812265,0,0,30248202,0,3979 131,3,2024-09-07 09:58:21:694,1,640,12,0,392,8020,640,0 132,0,2024-09-07 09:58:21:424,158629,0.5,159612,0.6,317790,0.4,422712,2.00 132,1,2024-09-07 09:58:20:592,1120909,1120909,0,0,525830863292,5532444747,1105100,13033,2776,381,392532,0 132,2,2024-09-07 09:58:20:701,806447,806430,17,0,39367356,0,6451 132,3,2024-09-07 09:58:21:692,1,640,2,0,1298,11269,640,0 133,0,2024-09-07 09:58:21:526,155029,0.4,158660,0.6,325268,0.4,424026,2.00 133,1,2024-09-07 09:58:20:585,1120907,1120907,0,0,525531847785,5535260002,1106016,12961,1930,383,391914,0 133,2,2024-09-07 09:58:21:086,809055,809005,50,0,40236358,0,6861 133,3,2024-09-07 09:58:21:302,1,640,0,0,528,7696,640,0 134,0,2024-09-07 09:58:20:946,165337,0.5,165432,0.7,330735,0.4,440383,2.00 134,1,2024-09-07 09:58:20:596,1121922,1121922,0,0,526762103008,5526697489,1106853,11895,3174,366,391781,0 134,2,2024-09-07 09:58:21:786,810013,809989,24,0,37382940,0,6207 134,3,2024-09-07 09:58:20:750,1,640,1,0,739,7884,640,0 135,0,2024-09-07 09:58:21:109,155544,0.7,155577,0.8,330226,0.8,425698,2.25 135,1,2024-09-07 09:58:21:591,1121715,1121715,0,0,527039654723,5540800676,1107655,12213,1847,380,391974,0 135,2,2024-09-07 09:58:20:687,813362,813362,0,0,38410344,0,4503 135,3,2024-09-07 09:58:21:002,1,640,10,0,900,6097,640,0 136,0,2024-09-07 09:58:21:616,158618,0.6,159062,0.8,315976,0.6,421633,2.00 136,1,2024-09-07 09:58:21:448,1122984,1122984,0,0,527417619326,5523787211,1110984,10608,1392,381,392135,0 136,2,2024-09-07 09:58:21:144,808636,808621,15,0,37427433,0,6007 136,3,2024-09-07 09:58:21:106,1,640,5,0,637,7143,640,0 137,0,2024-09-07 09:58:20:919,162351,0.6,158360,0.7,310039,0.6,421460,2.00 137,1,2024-09-07 09:58:20:581,1121960,1121960,0,0,526923574389,5524148529,1104903,13641,3416,366,391898,0 137,2,2024-09-07 09:58:21:713,806899,806899,0,0,38858475,0,3185 137,3,2024-09-07 09:58:20:771,1,640,6,0,484,8496,640,0 138,0,2024-09-07 09:58:21:772,162284,0.8,162581,0.9,325784,0.9,433422,2.00 138,1,2024-09-07 09:58:21:706,1122090,1122090,0,0,526980174497,5528378983,1106275,13221,2594,368,391954,0 138,2,2024-09-07 09:58:20:585,808592,808592,0,0,37373246,0,4988 138,3,2024-09-07 09:58:20:610,1,640,1,0,1200,9753,640,0 139,0,2024-09-07 09:58:21:361,161141,0.9,161749,0.9,323129,1.3,431329,2.25 139,1,2024-09-07 09:58:20:571,1117853,1117853,0,0,524455010880,5555750124,1099197,14809,3847,380,392109,0 139,2,2024-09-07 09:58:20:697,807205,807175,30,0,42934513,0,5997 139,3,2024-09-07 09:58:21:666,1,640,9,0,432,7448,640,0 140,0,2024-09-07 09:58:21:598,158406,0.3,157601,0.5,316495,0.2,421740,1.75 140,1,2024-09-07 09:58:21:535,1129534,1129534,0,0,531187247497,5473271203,1124333,4649,552,364,391628,0 140,2,2024-09-07 09:58:20:687,809828,809827,1,0,29956132,0,5036 140,3,2024-09-07 09:58:20:767,1,640,12,0,575,6237,640,0 141,0,2024-09-07 09:58:21:697,157695,0.3,162056,0.5,309848,0.2,418807,1.50 141,1,2024-09-07 09:58:20:862,1126644,1126644,0,0,528433358214,5485531787,1118384,7067,1193,379,391614,0 141,2,2024-09-07 09:58:21:690,809153,809142,11,0,32251308,0,5369 141,3,2024-09-07 09:58:21:044,1,640,241,0,391,7301,640,0 142,0,2024-09-07 09:58:21:322,162157,0.3,161318,0.4,322989,0.2,430925,1.50 142,1,2024-09-07 09:58:20:591,1124887,1124887,0,0,527521626954,5494922769,1118132,6243,512,382,392102,0 142,2,2024-09-07 09:58:21:301,808265,808233,32,0,32841858,0,6028 142,3,2024-09-07 09:58:21:746,1,640,25,0,484,6731,640,0 143,0,2024-09-07 09:58:21:373,164373,0.4,164138,0.5,329369,0.4,437666,1.75 143,1,2024-09-07 09:58:20:558,1127618,1127618,0,0,529858293530,5491935181,1121122,5928,568,367,391900,0 143,2,2024-09-07 09:58:20:778,813221,813221,0,0,32869798,0,3123 143,3,2024-09-07 09:58:21:144,1,640,8,0,462,7493,640,0 144,0,2024-09-07 09:58:21:531,154495,0.6,159018,0.8,323469,0.5,423414,2.00 144,1,2024-09-07 09:58:20:568,1122165,1122165,0,0,525339114476,5509772117,1111338,8831,1996,381,391733,0 144,2,2024-09-07 09:58:21:763,812356,812356,0,0,31910418,0,4443 144,3,2024-09-07 09:58:21:750,1,640,0,0,249,6232,640,0 145,0,2024-09-07 09:58:21:373,153350,0.6,153324,0.8,325432,0.5,419072,2.25 145,1,2024-09-07 09:58:20:575,1120925,1120925,0,0,526378173682,5537742163,1105997,12174,2754,382,391781,0 145,2,2024-09-07 09:58:21:440,805737,805655,82,0,38133079,0,7814 145,3,2024-09-07 09:58:20:895,1,640,1,0,622,8317,640,0 146,0,2024-09-07 09:58:21:638,159406,0.6,158570,0.8,318976,0.6,423691,2.25 146,1,2024-09-07 09:58:21:591,1122005,1122005,0,0,526417953832,5538292828,1103537,13649,4819,367,391770,0 146,2,2024-09-07 09:58:21:696,806590,806584,6,0,36731884,0,5151 146,3,2024-09-07 09:58:21:275,1,640,66,0,1520,10414,640,0 147,0,2024-09-07 09:58:21:831,165945,0.6,165634,0.7,331330,0.6,442725,2.25 147,1,2024-09-07 09:58:21:372,1126515,1126515,0,0,528199929670,5485069622,1117913,7635,967,367,391791,0 147,2,2024-09-07 09:58:21:013,811105,811105,0,0,32660034,0,3359 147,3,2024-09-07 09:58:20:915,1,640,18,0,1626,9885,640,0 0,0,2024-09-07 09:58:31:813,153583,0.5,153583,0.7,326028,0.5,421477,2.00 0,1,2024-09-07 09:58:30:803,1124969,1124969,0,0,528269493030,5526033798,1116222,7794,953,368,391896,0 0,2,2024-09-07 09:58:31:068,810210,810210,0,0,32243536,0,4480 0,3,2024-09-07 09:58:30:977,1,641,12,0,431,8895,641,0 1,0,2024-09-07 09:58:31:772,164436,0.8,163403,0.8,328025,0.9,438726,2.00 1,1,2024-09-07 09:58:30:568,1123657,1123657,0,0,527490450085,5520477476,1114107,8055,1495,370,391859,0 1,2,2024-09-07 09:58:30:639,812279,812279,0,0,31829341,0,3380 1,3,2024-09-07 09:58:31:307,1,641,2,0,269,7771,641,0 2,0,2024-09-07 09:58:31:568,161697,0.6,161213,0.7,322516,0.6,430403,2.00 2,1,2024-09-07 09:58:30:964,1127085,1127085,0,0,529465313070,5501251553,1120898,5235,952,379,391745,0 2,2,2024-09-07 09:58:31:276,814720,814720,0,0,30319514,0,3594 2,3,2024-09-07 09:58:30:690,1,641,1,0,357,5566,641,0 3,0,2024-09-07 09:58:31:771,158095,0.4,158112,0.6,315876,0.4,420677,2.00 3,1,2024-09-07 09:58:31:620,1126177,1126177,0,0,528833713303,5496837408,1118518,6954,705,379,391716,0 3,2,2024-09-07 09:58:31:142,809782,809759,23,0,31168616,0,5851 3,3,2024-09-07 09:58:31:754,1,641,12,0,484,4831,641,0 4,0,2024-09-07 09:58:31:785,152287,0.4,156547,0.5,319149,0.3,417307,1.75 4,1,2024-09-07 09:58:30:600,1120657,1120657,0,0,526322594088,5569438165,1101436,14685,4536,369,391992,0 4,2,2024-09-07 09:58:31:021,807094,807094,0,0,38557414,0,4534 4,3,2024-09-07 09:58:31:027,1,641,6,0,448,8457,641,0 5,0,2024-09-07 09:58:31:438,162588,0.4,162516,0.5,325207,0.4,433012,1.75 5,1,2024-09-07 09:58:30:755,1122060,1122060,0,0,526092158220,5552177105,1104839,12997,4224,367,392005,0 5,2,2024-09-07 09:58:31:829,807464,807464,0,0,37749553,0,3582 5,3,2024-09-07 09:58:31:732,1,641,1,0,457,8604,641,0 6,0,2024-09-07 09:58:30:956,163740,0.5,163196,0.6,326531,0.4,434931,2.00 6,1,2024-09-07 09:58:30:758,1124240,1124240,0,0,527495170534,5505950512,1112881,9769,1590,379,391702,0 6,2,2024-09-07 09:58:31:120,814219,814201,18,0,36233272,0,5535 6,3,2024-09-07 09:58:31:274,1,641,1,0,710,7529,641,0 7,0,2024-09-07 09:58:31:531,157750,0.5,158882,0.7,315280,0.5,420263,2.00 7,1,2024-09-07 09:58:30:854,1122270,1122270,0,0,527059694902,5547790608,1104825,13310,4135,382,391747,0 7,2,2024-09-07 09:58:30:770,809959,809959,0,0,34840105,0,4791 7,3,2024-09-07 09:58:30:851,1,641,12,0,552,7370,641,0 8,0,2024-09-07 09:58:31:329,158690,0.4,158322,0.5,317148,0.3,422222,1.75 8,1,2024-09-07 09:58:31:033,1121598,1121598,0,0,526108939342,5531144384,1104068,13810,3720,366,392853,0 8,2,2024-09-07 09:58:30:801,804037,804035,2,0,41365743,0,5112 8,3,2024-09-07 09:58:30:592,1,641,29,0,772,9896,641,0 9,0,2024-09-07 09:58:31:189,161110,0.4,156588,0.5,327611,0.3,430004,1.75 9,1,2024-09-07 09:58:30:561,1121367,1121367,0,0,526852505838,5555926489,1102844,14423,4100,369,392001,0 9,2,2024-09-07 09:58:31:083,808390,808389,1,0,39127726,0,5281 9,3,2024-09-07 09:58:31:790,1,641,8,0,1273,10617,641,0 10,0,2024-09-07 09:58:31:614,165139,0.4,164541,0.5,330160,0.3,439230,1.75 10,1,2024-09-07 09:58:30:584,1123433,1123433,0,0,527004995959,5529775989,1106601,13324,3508,381,391981,0 10,2,2024-09-07 09:58:30:763,812647,812647,0,0,42026663,0,4713 10,3,2024-09-07 09:58:30:873,1,641,15,0,669,6939,641,0 11,0,2024-09-07 09:58:31:009,159756,0.4,155105,0.6,324562,0.4,427313,1.75 11,1,2024-09-07 09:58:30:571,1125505,1125505,0,0,528141559619,5537613889,1109197,12001,4307,383,391766,0 11,2,2024-09-07 09:58:31:124,811435,811435,0,0,37007820,0,4698 11,3,2024-09-07 09:58:31:298,1,641,7,0,843,7970,641,0 12,0,2024-09-07 09:58:31:034,159781,0.4,159603,0.5,318843,0.3,423819,1.75 12,1,2024-09-07 09:58:30:951,1125218,1125218,0,0,527670273834,5500304708,1116643,7563,1012,370,391960,0 12,2,2024-09-07 09:58:31:652,806768,806768,0,0,35593400,0,4390 12,3,2024-09-07 09:58:31:064,1,641,77,0,386,7970,641,0 13,0,2024-09-07 09:58:31:329,160299,0.4,160344,0.5,319853,0.3,426432,1.75 13,1,2024-09-07 09:58:31:551,1122685,1122685,0,0,527316881851,5538257287,1111684,8609,2392,382,391803,0 13,2,2024-09-07 09:58:30:599,811602,811602,0,0,32109923,0,3287 13,3,2024-09-07 09:58:31:765,1,641,5,0,522,8151,641,0 14,0,2024-09-07 09:58:30:571,165304,0.4,166351,0.6,330524,0.3,440273,1.75 14,1,2024-09-07 09:58:31:565,1130327,1130327,0,0,530388141484,5484539023,1121824,7373,1130,364,391673,0 14,2,2024-09-07 09:58:30:769,812481,812451,30,0,33352189,0,6104 14,3,2024-09-07 09:58:31:115,1,641,8,0,1168,6789,641,0 15,0,2024-09-07 09:58:31:598,161058,0.4,160172,0.6,320688,0.4,427960,1.75 15,1,2024-09-07 09:58:31:611,1126965,1126965,0,0,529041682407,5506397349,1117697,7355,1913,381,391619,0 15,2,2024-09-07 09:58:30:999,815257,815257,0,0,29538280,0,3622 15,3,2024-09-07 09:58:31:410,1,641,25,0,1126,8279,641,0 16,0,2024-09-07 09:58:30:954,158251,0.6,158869,0.8,317159,0.6,422098,2.00 16,1,2024-09-07 09:58:30:569,1126542,1126542,0,0,528284014862,5517977196,1117067,8028,1447,370,392194,0 16,2,2024-09-07 09:58:31:470,807915,807915,0,0,32563175,0,4719 16,3,2024-09-07 09:58:31:143,1,641,1,0,358,7773,641,0 17,0,2024-09-07 09:58:32:008,162507,0.5,158309,0.7,310365,0.5,422026,2.00 17,1,2024-09-07 09:58:30:616,1124340,1124340,0,0,527530092957,5528920397,1113775,8789,1776,368,392075,0 17,2,2024-09-07 09:58:31:671,812584,812583,1,0,34123258,0,5050 17,3,2024-09-07 09:58:30:579,1,641,1,0,518,9054,641,0 18,0,2024-09-07 09:58:30:942,162001,0.6,163103,0.8,324673,0.6,434230,2.25 18,1,2024-09-07 09:58:31:645,1128604,1128604,0,0,529528966212,5488882301,1121418,5927,1259,367,391725,0 18,2,2024-09-07 09:58:31:762,813612,813612,0,0,30840153,0,3541 18,3,2024-09-07 09:58:30:920,1,641,1,0,1059,5709,641,0 19,0,2024-09-07 09:58:31:544,161863,0.6,162312,0.8,323603,0.7,429811,2.00 19,1,2024-09-07 09:58:30:567,1128758,1128758,0,0,530124451606,5491502062,1120623,7000,1135,365,391777,0 19,2,2024-09-07 09:58:31:790,816954,816954,0,0,28890032,0,3988 19,3,2024-09-07 09:58:31:132,1,641,12,0,524,4898,641,0 20,0,2024-09-07 09:58:31:653,158032,0.5,157878,0.7,315778,0.5,420982,2.00 20,1,2024-09-07 09:58:30:567,1124421,1124421,0,0,527898781214,5529873176,1113339,9541,1541,369,391922,0 20,2,2024-09-07 09:58:30:932,809065,809065,0,0,35990788,0,4321 20,3,2024-09-07 09:58:30:590,1,641,0,0,468,9909,641,0 21,0,2024-09-07 09:58:31:124,157576,0.5,157586,0.6,315159,0.4,418003,2.00 21,1,2024-09-07 09:58:31:544,1122231,1122231,0,0,526103981753,5550420987,1104793,13514,3924,368,392016,0 21,2,2024-09-07 09:58:31:078,803355,802878,477,0,46770608,0,17074 21,3,2024-09-07 09:58:31:419,1,641,1,0,713,8846,641,0 22,0,2024-09-07 09:58:31:722,161488,0.4,162059,0.6,323867,0.4,429917,2.00 22,1,2024-09-07 09:58:31:022,1122818,1122818,0,0,527224204397,5545297615,1104597,14669,3552,381,391822,0 22,2,2024-09-07 09:58:30:760,808647,808621,26,0,34888480,0,6328 22,3,2024-09-07 09:58:31:078,1,641,8,0,228,5432,641,0 23,0,2024-09-07 09:58:31:380,163889,0.5,163756,0.7,327489,0.4,436214,2.00 23,1,2024-09-07 09:58:31:002,1123580,1123580,0,0,527496329810,5553616686,1103460,13410,6710,365,391690,0 23,2,2024-09-07 09:58:31:104,813789,813789,0,0,33071422,0,3773 23,3,2024-09-07 09:58:31:765,1,641,17,0,855,9324,641,0 24,0,2024-09-07 09:58:30:839,160438,0.4,159568,0.5,320822,0.4,425741,1.75 24,1,2024-09-07 09:58:30:594,1123720,1123720,0,0,526575881501,5510480962,1113149,8795,1776,367,392269,0 24,2,2024-09-07 09:58:31:072,811409,811406,3,0,39945031,0,6294 24,3,2024-09-07 09:58:31:692,1,641,1,0,468,8273,641,0 25,0,2024-09-07 09:58:31:345,163051,0.4,158723,0.6,312018,0.4,424795,1.75 25,1,2024-09-07 09:58:30:558,1121798,1121798,0,0,525886324864,5553741359,1102274,15618,3906,369,391928,0 25,2,2024-09-07 09:58:31:622,806387,806387,0,0,40237968,0,3978 25,3,2024-09-07 09:58:31:000,1,641,0,0,532,7025,641,0 26,0,2024-09-07 09:58:31:722,159143,0.4,155486,0.6,326266,0.4,425528,1.75 26,1,2024-09-07 09:58:31:540,1125270,1125270,0,0,528612175638,5533551555,1109993,12377,2900,380,391758,0 26,2,2024-09-07 09:58:30:862,809103,809103,0,0,42943601,0,4689 26,3,2024-09-07 09:58:31:712,1,641,1,0,796,7754,641,0 27,0,2024-09-07 09:58:31:726,166066,0.4,166877,0.6,331677,0.4,442550,2.25 27,1,2024-09-07 09:58:31:679,1126955,1126955,0,0,528973198353,5509231481,1116371,8968,1616,381,391626,0 27,2,2024-09-07 09:58:30:880,809083,809018,65,0,37667992,0,5699 27,3,2024-09-07 09:58:31:014,1,641,1,0,564,5379,641,0 28,0,2024-09-07 09:58:31:392,160566,0.4,160814,0.6,321748,0.3,428360,1.75 28,1,2024-09-07 09:58:30:804,1127549,1127549,0,0,529352426020,5513685867,1118596,7061,1892,382,391904,0 28,2,2024-09-07 09:58:31:765,813544,813544,0,0,32622311,0,2915 28,3,2024-09-07 09:58:31:778,1,641,1,0,502,6344,641,0 29,0,2024-09-07 09:58:31:361,162961,0.4,158737,0.6,311375,0.3,424386,1.75 29,1,2024-09-07 09:58:31:575,1130321,1130321,0,0,529928143983,5478104654,1123767,5775,779,367,391809,0 29,2,2024-09-07 09:58:30:861,808277,808277,0,0,31188978,0,4986 29,3,2024-09-07 09:58:30:973,1,641,1,0,459,6725,641,0 30,0,2024-09-07 09:58:31:471,157242,0.5,153052,0.6,320740,0.4,419436,2.00 30,1,2024-09-07 09:58:30:571,1128805,1128805,0,0,530309393996,5500368465,1120670,7164,971,380,391672,0 30,2,2024-09-07 09:58:31:283,811213,811213,0,0,30173576,0,4192 30,3,2024-09-07 09:58:30:580,1,641,12,0,519,6207,641,0 31,0,2024-09-07 09:58:31:776,164316,0.4,165194,0.6,329292,0.4,439322,1.75 31,1,2024-09-07 09:58:30:575,1133151,1133151,0,0,530757839668,5448838700,1126281,5754,1116,356,391712,0 31,2,2024-09-07 09:58:31:278,811323,811323,0,0,34197868,0,4470 31,3,2024-09-07 09:58:31:711,1,641,13,0,239,5564,641,0 32,0,2024-09-07 09:58:31:417,161825,0.3,162849,0.5,324127,0.3,431881,1.75 32,1,2024-09-07 09:58:30:804,1128860,1128860,0,0,529278504277,5497027390,1122475,5665,720,381,391646,0 32,2,2024-09-07 09:58:30:944,816136,816136,0,0,29719690,0,3922 32,3,2024-09-07 09:58:31:015,1,641,2,0,304,5192,641,0 33,0,2024-09-07 09:58:31:503,158773,0.3,158401,0.4,316872,0.2,421620,1.50 33,1,2024-09-07 09:58:30:578,1129533,1129533,0,0,530024234918,5486662346,1120908,7351,1274,368,391730,0 33,2,2024-09-07 09:58:30:759,809699,809664,35,0,32625733,0,7012 33,3,2024-09-07 09:58:30:895,1,641,1,0,329,5424,641,0 34,0,2024-09-07 09:58:30:946,157214,0.3,161598,0.5,308909,0.2,417211,1.75 34,1,2024-09-07 09:58:31:050,1131439,1131439,0,0,531739449989,5467758921,1128279,3048,112,367,391637,0 34,2,2024-09-07 09:58:30:766,811072,811072,0,0,31253552,0,4562 34,3,2024-09-07 09:58:31:700,1,641,1,0,541,5627,641,0 35,0,2024-09-07 09:58:30:860,161800,0.3,162563,0.4,325875,0.2,433736,1.75 35,1,2024-09-07 09:58:31:079,1127599,1127599,0,0,529491668971,5492305155,1119290,6786,1523,382,391769,0 35,2,2024-09-07 09:58:31:587,811141,811141,0,0,35115753,0,4055 35,3,2024-09-07 09:58:30:906,1,641,8,0,466,5943,641,0 36,0,2024-09-07 09:58:31:525,164067,0.5,164294,0.6,327957,0.4,436444,2.00 36,1,2024-09-07 09:58:30:583,1126436,1126436,0,0,528479199506,5515750515,1112533,11657,2246,366,391759,0 36,2,2024-09-07 09:58:31:764,814679,814679,0,0,36231466,0,3875 36,3,2024-09-07 09:58:30:862,1,641,1,0,556,8450,641,0 37,0,2024-09-07 09:58:31:403,157598,0.5,157753,0.7,315682,0.5,420899,2.25 37,1,2024-09-07 09:58:30:570,1125901,1125894,0,7,528512780077,5517247892,1112640,10333,2921,365,391770,0 37,2,2024-09-07 09:58:31:143,808235,808220,15,0,35927242,0,5815 37,3,2024-09-07 09:58:31:766,1,641,1,0,888,8732,641,0 38,0,2024-09-07 09:58:31:454,157479,0.4,152662,0.6,319278,0.4,417970,2.00 38,1,2024-09-07 09:58:31:611,1125754,1125754,0,0,528349785493,5523741183,1109738,12749,3267,368,391821,0 38,2,2024-09-07 09:58:30:760,808688,808641,47,0,36036527,0,6710 38,3,2024-09-07 09:58:30:999,1,641,16,0,689,7503,641,0 39,0,2024-09-07 09:58:31:777,164596,0.5,160853,0.7,313929,0.5,428743,2.00 39,1,2024-09-07 09:58:30:716,1125012,1125012,0,0,527990137262,5533838955,1106677,14147,4188,365,391865,0 39,2,2024-09-07 09:58:31:422,809861,809861,0,0,34623255,0,3391 39,3,2024-09-07 09:58:30:713,1,641,8,0,525,7225,641,0 40,0,2024-09-07 09:58:31:497,163679,0.9,164450,1.0,328190,1.0,438060,2.75 40,1,2024-09-07 09:58:30:577,1125546,1125546,0,0,527464864131,5526439579,1108432,13837,3277,366,391668,0 40,2,2024-09-07 09:58:31:303,809997,809990,7,0,40642935,0,5347 40,3,2024-09-07 09:58:31:142,1,641,1,0,1028,9214,641,0 41,0,2024-09-07 09:58:31:021,159114,1.2,162778,1.1,310534,1.7,421943,3.00 41,1,2024-09-07 09:58:30:768,1124761,1124761,0,0,528351951113,5524006739,1110477,12083,2201,369,391878,0 41,2,2024-09-07 09:58:30:759,808614,808613,1,0,39320774,0,5408 41,3,2024-09-07 09:58:31:681,1,641,21,0,366,6799,641,0 42,0,2024-09-07 09:58:31:477,157626,0.9,157255,1.0,314862,1.0,417993,2.75 42,1,2024-09-07 09:58:31:457,1122576,1122576,0,0,526390887275,5528547066,1105435,14185,2956,380,391675,0 42,2,2024-09-07 09:58:31:134,805920,805919,1,0,39917339,0,5513 42,3,2024-09-07 09:58:31:009,1,641,1,0,892,6214,641,0 43,0,2024-09-07 09:58:30:925,157726,0.7,153586,0.9,321790,0.8,421353,2.25 43,1,2024-09-07 09:58:30:580,1125732,1125732,0,0,528257811855,5518856097,1110132,12855,2745,366,391696,0 43,2,2024-09-07 09:58:31:746,809687,809687,0,0,37496984,0,4723 43,3,2024-09-07 09:58:31:763,1,641,8,0,571,8982,641,0 44,0,2024-09-07 09:58:30:874,165454,0.4,165629,0.6,330636,0.3,440882,1.75 44,1,2024-09-07 09:58:30:562,1129144,1129144,0,0,529048234395,5465185314,1119683,7518,1943,356,391809,0 44,2,2024-09-07 09:58:31:270,810603,810603,0,0,30240295,0,4344 44,3,2024-09-07 09:58:31:098,1,641,2,0,1097,7794,641,0 45,0,2024-09-07 09:58:31:769,159075,0.5,155482,0.7,326446,0.4,427909,2.00 45,1,2024-09-07 09:58:31:005,1128133,1128133,0,0,530150983025,5505039269,1119825,7405,903,382,391917,0 45,2,2024-09-07 09:58:31:269,814555,814555,0,0,30546615,0,3596 45,3,2024-09-07 09:58:30:944,1,641,65,0,531,6028,641,0 46,0,2024-09-07 09:58:30:962,157467,0.5,157019,0.7,314966,0.5,418786,2.00 46,1,2024-09-07 09:58:30:580,1130457,1130457,0,0,530022044841,5476379292,1122833,6635,989,366,391709,0 46,2,2024-09-07 09:58:30:593,810158,810158,0,0,30985519,0,4443 46,3,2024-09-07 09:58:31:131,1,641,1,0,908,7368,641,0 47,0,2024-09-07 09:58:31:132,158176,0.4,157801,0.6,317191,0.3,420359,1.75 47,1,2024-09-07 09:58:30:567,1131449,1131449,0,0,530235394110,5474366234,1125214,5371,864,364,391641,0 47,2,2024-09-07 09:58:30:914,813023,813023,0,0,30420340,0,4477 47,3,2024-09-07 09:58:31:117,1,641,17,0,600,6838,641,0 48,0,2024-09-07 09:58:31:504,163836,0.3,164230,0.4,327562,0.2,435944,1.50 48,1,2024-09-07 09:58:31:025,1128141,1128141,0,0,529032751664,5490836106,1121843,5718,580,381,391710,0 48,2,2024-09-07 09:58:30:706,812264,812264,0,0,28704167,0,3524 48,3,2024-09-07 09:58:30:753,1,641,1,0,339,5478,641,0 49,0,2024-09-07 09:58:31:717,167189,0.3,163691,0.5,318564,0.3,434276,1.75 49,1,2024-09-07 09:58:31:035,1127698,1127698,0,0,528758831377,5497026824,1120230,5772,1696,382,391809,0 49,2,2024-09-07 09:58:31:861,815377,815377,0,0,30927562,0,4426 49,3,2024-09-07 09:58:31:457,1,641,1,0,992,7522,641,0 50,0,2024-09-07 09:58:31:511,158690,0.3,157206,0.5,316592,0.2,420901,1.75 50,1,2024-09-07 09:58:31:014,1130435,1130435,0,0,531089876955,5486444237,1123774,5991,670,368,391691,0 50,2,2024-09-07 09:58:31:070,808545,808545,0,0,28460215,0,4490 50,3,2024-09-07 09:58:31:303,1,641,1,0,617,6296,641,0 51,0,2024-09-07 09:58:31:684,161692,0.3,158169,0.5,308065,0.2,419802,1.75 51,1,2024-09-07 09:58:31:683,1130606,1130606,0,0,532462570785,5486934920,1124783,4742,1081,365,391706,0 51,2,2024-09-07 09:58:31:315,810049,810049,0,0,28337084,0,3337 51,3,2024-09-07 09:58:31:027,1,641,8,0,678,4549,641,0 52,0,2024-09-07 09:58:31:428,162152,0.5,161996,0.6,323924,0.4,431085,2.00 52,1,2024-09-07 09:58:30:579,1125796,1125796,0,0,527980658806,5522037176,1110506,12954,2336,368,391805,0 52,2,2024-09-07 09:58:31:767,807095,807057,38,0,38872310,0,6742 52,3,2024-09-07 09:58:30:676,1,641,4,0,1782,7399,641,0 53,0,2024-09-07 09:58:31:739,163747,0.6,158883,0.8,332439,0.7,435280,2.25 53,1,2024-09-07 09:58:30:781,1123683,1123683,0,0,527841361721,5534982364,1105073,14204,4406,367,391968,0 53,2,2024-09-07 09:58:31:300,814099,814098,1,0,34326531,0,5455 53,3,2024-09-07 09:58:30:700,1,641,0,0,308,5757,641,0 54,0,2024-09-07 09:58:31:636,157656,0.6,157921,0.7,314765,0.5,420119,2.25 54,1,2024-09-07 09:58:30:580,1127294,1127294,0,0,528650749416,5494827289,1116050,9572,1672,366,391810,0 54,2,2024-09-07 09:58:30:881,811918,811886,32,0,38427904,0,6397 54,3,2024-09-07 09:58:30:763,1,641,11,0,676,8041,641,0 55,0,2024-09-07 09:58:31:762,153189,0.6,158029,0.8,320380,0.5,417410,2.50 55,1,2024-09-07 09:58:30:764,1127605,1127605,0,0,528473352029,5495097979,1115991,10146,1468,365,391731,0 55,2,2024-09-07 09:58:30:729,807126,807070,56,0,35520986,0,7239 55,3,2024-09-07 09:58:30:674,1,641,11,0,304,5862,641,0 56,0,2024-09-07 09:58:31:630,162842,1.2,153375,1.1,316273,1.5,423759,2.75 56,1,2024-09-07 09:58:30:570,1120751,1120751,0,0,526236954045,5561997440,1102443,14593,3715,381,391867,0 56,2,2024-09-07 09:58:31:463,808139,808017,122,0,37865227,0,7432 56,3,2024-09-07 09:58:31:059,1,641,2,0,705,7741,641,0 57,0,2024-09-07 09:58:30:957,164251,1.4,164002,1.2,328344,2.0,438763,3.00 57,1,2024-09-07 09:58:30:998,1122990,1122990,0,0,526650576561,5526452584,1107758,12629,2603,366,392032,0 57,2,2024-09-07 09:58:31:338,811330,811330,0,0,40202499,0,4804 57,3,2024-09-07 09:58:31:746,1,641,1,0,455,7188,641,0 58,0,2024-09-07 09:58:30:554,158106,1.0,153527,1.0,321374,1.2,420777,2.75 58,1,2024-09-07 09:58:30:579,1124394,1124391,0,3,527786890474,5533305690,1106971,13118,4302,367,391726,3 58,2,2024-09-07 09:58:31:070,813321,813321,0,0,37206950,0,3483 58,3,2024-09-07 09:58:31:070,1,641,0,0,1043,7027,641,0 59,0,2024-09-07 09:58:31:748,157089,0.9,156473,1.0,312877,0.9,415338,3.00 59,1,2024-09-07 09:58:30:804,1123970,1123970,0,0,527880028148,5546800222,1105182,14954,3834,369,391653,0 59,2,2024-09-07 09:58:30:582,808123,808123,0,0,35122211,0,3727 59,3,2024-09-07 09:58:31:739,1,641,2,0,1015,7719,641,0 60,0,2024-09-07 09:58:31:733,158081,0.5,158058,0.7,316512,0.4,421882,1.75 60,1,2024-09-07 09:58:30:775,1128293,1128293,0,0,530213393685,5508654411,1119984,7200,1109,370,392031,0 60,2,2024-09-07 09:58:31:143,810824,810824,0,0,33155720,0,3811 60,3,2024-09-07 09:58:31:258,1,641,0,0,409,7155,641,0 61,0,2024-09-07 09:58:31:566,164434,0.5,165081,0.7,328481,0.5,438582,2.00 61,1,2024-09-07 09:58:30:791,1125946,1125946,0,0,527777740969,5521708843,1114495,9761,1690,382,392127,0 61,2,2024-09-07 09:58:31:115,812101,812034,67,0,33706104,0,6411 61,3,2024-09-07 09:58:31:690,1,641,0,0,607,7986,641,0 62,0,2024-09-07 09:58:31:713,162231,0.6,166429,0.7,317818,0.6,431778,2.00 62,1,2024-09-07 09:58:31:111,1132596,1132590,0,6,531634783869,5479893578,1127002,5219,369,365,391975,6 62,2,2024-09-07 09:58:31:645,812191,812190,1,0,33654352,0,5555 62,3,2024-09-07 09:58:31:143,1,641,2,0,482,5046,641,0 63,0,2024-09-07 09:58:31:484,158760,0.4,158459,0.6,317574,0.3,421923,1.75 63,1,2024-09-07 09:58:30:806,1128767,1128761,0,6,529306885770,5493054878,1121844,6060,857,381,391800,6 63,2,2024-09-07 09:58:30:761,809111,809111,0,0,31807189,0,4369 63,3,2024-09-07 09:58:31:736,1,641,22,0,667,6411,641,0 64,0,2024-09-07 09:58:31:608,156242,0.5,156135,0.6,312007,0.4,415797,2.00 64,1,2024-09-07 09:58:30:750,1127076,1127076,0,0,529838556836,5513659591,1117690,7407,1979,370,391794,0 64,2,2024-09-07 09:58:31:150,813838,813819,19,0,31033884,0,6121 64,3,2024-09-07 09:58:31:142,1,641,10,0,651,7123,641,0 65,0,2024-09-07 09:58:31:673,161417,0.6,161770,0.7,323246,0.6,431387,2.00 65,1,2024-09-07 09:58:30:859,1125763,1125763,0,0,527338935321,5508121015,1118184,6703,876,381,391901,0 65,2,2024-09-07 09:58:31:700,809880,809880,0,0,37497001,0,3367 65,3,2024-09-07 09:58:31:693,1,641,7,0,782,7825,641,0 66,0,2024-09-07 09:58:31:798,163268,0.5,162842,0.7,325788,0.5,433141,2.00 66,1,2024-09-07 09:58:31:299,1127776,1127776,0,0,529696121879,5508470107,1120964,6068,744,380,391743,0 66,2,2024-09-07 09:58:31:131,816853,816850,3,0,33203872,0,5455 66,3,2024-09-07 09:58:31:079,1,641,1,0,291,5564,641,0 67,0,2024-09-07 09:58:31:431,158355,0.5,157848,0.7,316687,0.5,421494,2.00 67,1,2024-09-07 09:58:30:766,1127980,1127979,0,1,529902043315,5512206728,1120571,6463,945,380,391787,1 67,2,2024-09-07 09:58:30:583,813870,813855,15,0,32860477,0,6205 67,3,2024-09-07 09:58:31:763,1,641,11,0,595,6470,641,0 68,0,2024-09-07 09:58:30:568,158372,0.6,158042,0.7,314987,0.5,421128,2.00 68,1,2024-09-07 09:58:30:570,1123276,1123276,0,0,526482238245,5534906771,1108390,11105,3781,381,391953,0 68,2,2024-09-07 09:58:31:046,805253,805153,100,0,41419900,0,8578 68,3,2024-09-07 09:58:30:728,1,641,1,0,417,7978,641,0 69,0,2024-09-07 09:58:31:751,160397,0.7,161195,0.8,321305,0.7,427441,2.25 69,1,2024-09-07 09:58:31:029,1122440,1122440,0,0,526623217868,5546748033,1108087,11376,2977,383,391994,0 69,2,2024-09-07 09:58:31:734,806661,806632,29,0,44723439,0,6912 69,3,2024-09-07 09:58:30:760,1,641,1,0,698,8850,641,0 70,0,2024-09-07 09:58:31:537,163389,0.8,164058,0.9,329206,0.7,436341,2.50 70,1,2024-09-07 09:58:30:801,1128887,1128887,0,0,530337986326,5500408396,1120622,7451,814,366,391725,0 70,2,2024-09-07 09:58:31:327,812733,812733,0,0,36666287,0,4323 70,3,2024-09-07 09:58:30:748,1,641,3,0,854,6885,641,0 71,0,2024-09-07 09:58:31:368,158877,0.9,158402,1.0,318115,1.1,424184,2.50 71,1,2024-09-07 09:58:31:599,1126380,1126380,0,0,529235484731,5521561409,1112740,12014,1626,367,391738,0 71,2,2024-09-07 09:58:31:070,811525,811525,0,0,36452674,0,4352 71,3,2024-09-07 09:58:31:768,1,641,12,0,644,6968,641,0 72,0,2024-09-07 09:58:31:022,163716,0.5,159940,0.7,312306,0.5,424982,2.00 72,1,2024-09-07 09:58:31:021,1124651,1124651,0,0,527579069486,5531910972,1108546,13419,2686,369,391819,0 72,2,2024-09-07 09:58:31:791,806329,806329,0,0,38262366,0,3983 72,3,2024-09-07 09:58:31:765,1,641,136,0,564,9187,641,0 73,0,2024-09-07 09:58:31:101,155202,0.4,159263,0.6,325479,0.4,423928,2.00 73,1,2024-09-07 09:58:30:777,1126683,1126683,0,0,529236061540,5498188035,1118230,7470,983,367,391858,0 73,2,2024-09-07 09:58:31:743,808803,808802,1,0,40229074,0,5027 73,3,2024-09-07 09:58:30:984,1,641,1,0,1091,8715,641,0 74,0,2024-09-07 09:58:31:326,166350,0.4,170384,0.6,325155,0.4,441408,2.00 74,1,2024-09-07 09:58:30:648,1125503,1125503,0,0,528046168792,5510122060,1113409,9957,2137,381,391762,0 74,2,2024-09-07 09:58:31:006,810648,810648,0,0,35162789,0,4253 74,3,2024-09-07 09:58:31:448,1,641,0,0,522,7579,641,0 75,0,2024-09-07 09:58:31:772,161134,0.5,160199,0.7,321490,0.5,429341,2.25 75,1,2024-09-07 09:58:31:591,1126439,1126439,0,0,529710027724,5517883749,1117244,8263,932,380,391739,0 75,2,2024-09-07 09:58:31:351,812555,812555,0,0,42021222,0,4766 75,3,2024-09-07 09:58:31:070,1,641,1,0,918,8510,641,0 76,0,2024-09-07 09:58:30:680,157871,0.6,157229,0.7,314437,0.5,420491,2.25 76,1,2024-09-07 09:58:30:805,1126306,1126306,0,0,528550860900,5507740502,1118862,6449,995,382,391790,0 76,2,2024-09-07 09:58:31:064,810876,810873,3,0,33766001,0,5265 76,3,2024-09-07 09:58:31:141,1,641,33,0,227,5820,641,0 77,0,2024-09-07 09:58:31:693,157301,0.5,157688,0.7,315548,0.5,419617,2.00 77,1,2024-09-07 09:58:30:833,1126623,1126623,0,0,529274490761,5519189415,1118090,7524,1009,381,391869,0 77,2,2024-09-07 09:58:31:288,809187,809187,0,0,33255597,0,3890 77,3,2024-09-07 09:58:31:100,1,641,1,0,401,7230,641,0 78,0,2024-09-07 09:58:31:723,164256,0.4,163553,0.6,328028,0.4,434540,2.00 78,1,2024-09-07 09:58:30:609,1126320,1126320,0,0,527366165906,5498118630,1112996,10392,2932,367,391670,0 78,2,2024-09-07 09:58:31:416,812652,812639,13,0,31199908,0,8313 78,3,2024-09-07 09:58:31:133,1,641,5,0,311,5249,641,0 79,0,2024-09-07 09:58:31:357,157047,0.4,160798,0.6,329698,0.3,428832,2.00 79,1,2024-09-07 09:58:30:578,1130547,1130547,0,0,530048055437,5483525948,1122335,6785,1427,367,391682,0 79,2,2024-09-07 09:58:31:070,815108,815108,0,0,30258196,0,4195 79,3,2024-09-07 09:58:30:749,1,641,12,0,418,7316,641,0 80,0,2024-09-07 09:58:31:085,158152,0.5,162430,0.7,310301,0.5,419898,2.00 80,1,2024-09-07 09:58:31:767,1126503,1126503,0,0,528909248018,5503392449,1119229,6817,457,368,392269,0 80,2,2024-09-07 09:58:31:101,811980,811980,0,0,30638902,0,4433 80,3,2024-09-07 09:58:30:579,1,641,2,0,681,7768,641,0 81,0,2024-09-07 09:58:31:547,157425,0.5,161069,0.7,307612,0.5,416936,2.00 81,1,2024-09-07 09:58:31:658,1125784,1125784,0,0,527748057579,5511559109,1117348,7655,781,382,391885,0 81,2,2024-09-07 09:58:31:126,809262,809199,63,0,33956792,0,5932 81,3,2024-09-07 09:58:31:117,1,641,1,0,719,7153,641,0 82,0,2024-09-07 09:58:31:549,161655,0.4,161683,0.6,324031,0.4,430061,2.00 82,1,2024-09-07 09:58:30:583,1127588,1127584,0,4,528948025024,5495265965,1121970,4789,825,381,391768,4 82,2,2024-09-07 09:58:31:693,812599,812599,0,0,28967429,0,4484 82,3,2024-09-07 09:58:31:765,1,641,1,0,363,5821,641,0 83,0,2024-09-07 09:58:31:538,164326,0.6,164165,0.7,328045,0.6,435640,2.00 83,1,2024-09-07 09:58:30:551,1126469,1126469,0,0,528277699636,5503161280,1118831,6993,645,382,391709,0 83,2,2024-09-07 09:58:30:764,814407,814382,25,0,31188847,0,5612 83,3,2024-09-07 09:58:30:749,1,641,8,0,1260,7391,641,0 84,0,2024-09-07 09:58:31:772,158018,0.7,158092,0.9,315990,0.7,421859,2.25 84,1,2024-09-07 09:58:31:208,1124415,1124415,0,0,527992784586,5521202754,1111527,11005,1883,367,391967,0 84,2,2024-09-07 09:58:30:570,810307,809897,410,0,45010420,0,17037 84,3,2024-09-07 09:58:31:142,1,641,3,0,908,8636,641,0 85,0,2024-09-07 09:58:31:007,152549,0.6,152452,0.8,323655,0.6,417854,2.25 85,1,2024-09-07 09:58:30:561,1121436,1121436,0,0,526863797981,5557459428,1104888,13745,2803,381,392092,0 85,2,2024-09-07 09:58:30:866,807735,807735,0,0,38163233,0,4255 85,3,2024-09-07 09:58:30:700,1,641,1,0,789,7178,641,0 86,0,2024-09-07 09:58:30:880,159406,0.7,163907,0.8,313511,0.7,424147,2.25 86,1,2024-09-07 09:58:30:838,1124323,1124323,0,0,528175454798,5537314911,1109595,11932,2796,366,392169,0 86,2,2024-09-07 09:58:30:859,808770,808769,1,0,40943123,0,5004 86,3,2024-09-07 09:58:30:591,1,641,1,0,308,8802,641,0 87,0,2024-09-07 09:58:31:311,166332,0.8,165332,0.8,331144,0.9,442547,2.25 87,1,2024-09-07 09:58:30:549,1123603,1123603,0,0,527682747964,5524461687,1109919,11881,1803,366,392076,0 87,2,2024-09-07 09:58:31:070,811194,811188,6,0,37883338,0,6323 87,3,2024-09-07 09:58:31:795,1,641,9,0,473,8914,641,0 88,0,2024-09-07 09:58:31:473,160454,0.4,160965,0.6,321641,0.4,428281,1.75 88,1,2024-09-07 09:58:30:570,1123126,1123126,0,0,526853757513,5520495668,1107334,12743,3049,365,392084,0 88,2,2024-09-07 09:58:30:689,812410,812410,0,0,39807684,0,4465 88,3,2024-09-07 09:58:31:270,1,641,2,0,1080,9911,641,0 89,0,2024-09-07 09:58:31:772,162936,0.4,158042,0.6,311801,0.4,423978,1.75 89,1,2024-09-07 09:58:30:552,1122125,1122125,0,0,527420231859,5545277956,1108425,11525,2175,382,391866,0 89,2,2024-09-07 09:58:31:143,807747,807747,0,0,37394747,0,3173 89,3,2024-09-07 09:58:31:792,1,641,75,0,468,10366,641,0 90,0,2024-09-07 09:58:31:725,153361,0.4,157546,0.6,321340,0.4,419704,2.00 90,1,2024-09-07 09:58:30:590,1124417,1124417,0,0,526945809670,5519446023,1113336,10048,1033,380,391825,0 90,2,2024-09-07 09:58:31:414,806144,806139,5,0,41006098,0,6370 90,3,2024-09-07 09:58:30:931,1,641,364,0,364,8269,641,0 91,0,2024-09-07 09:58:30:932,164931,0.4,160006,0.6,334905,0.4,439306,1.75 91,1,2024-09-07 09:58:30:555,1121375,1121375,0,0,526606195765,5553164799,1103788,13629,3958,381,392047,0 91,2,2024-09-07 09:58:31:333,811196,811196,0,0,36441703,0,4713 91,3,2024-09-07 09:58:30:603,1,641,12,0,231,6017,641,0 92,0,2024-09-07 09:58:31:470,163362,0.4,167072,0.6,318410,0.4,431932,1.75 92,1,2024-09-07 09:58:30:580,1125821,1125821,0,0,529307979243,5524440531,1117396,7136,1289,381,392136,0 92,2,2024-09-07 09:58:31:352,814243,814243,0,0,31830953,0,3259 92,3,2024-09-07 09:58:31:013,1,641,2,0,167,5382,641,0 93,0,2024-09-07 09:58:30:963,159228,0.4,163112,0.6,311620,0.3,421878,1.75 93,1,2024-09-07 09:58:30:806,1126631,1126631,0,0,528151839225,5509983744,1114461,10215,1955,365,392048,0 93,2,2024-09-07 09:58:30:937,808820,808820,0,0,37319559,0,4913 93,3,2024-09-07 09:58:31:414,1,641,1,0,375,6663,641,0 94,0,2024-09-07 09:58:31:603,156268,0.4,157471,0.5,314719,0.3,418009,1.75 94,1,2024-09-07 09:58:30:562,1127019,1127019,0,0,528632171183,5509403933,1119850,6732,437,381,391850,0 94,2,2024-09-07 09:58:30:761,810155,810127,28,0,32473987,0,6179 94,3,2024-09-07 09:58:31:711,1,641,7,0,576,7762,641,0 95,0,2024-09-07 09:58:31:366,162686,0.3,162571,0.5,325459,0.3,433579,1.75 95,1,2024-09-07 09:58:30:855,1127532,1127532,0,0,529421338593,5498508434,1118919,7851,762,365,391852,0 95,2,2024-09-07 09:58:31:017,809779,809779,0,0,32267808,0,3308 95,3,2024-09-07 09:58:31:719,1,641,8,0,718,9856,641,0 96,0,2024-09-07 09:58:31:023,163744,0.3,163998,0.5,328344,0.3,435875,1.75 96,1,2024-09-07 09:58:31:583,1125693,1125693,0,0,528262033232,5507476663,1118031,6377,1285,384,391964,0 96,2,2024-09-07 09:58:31:270,815672,815672,0,0,33176581,0,4180 96,3,2024-09-07 09:58:31:142,1,641,3,0,411,7132,641,0 97,0,2024-09-07 09:58:31:319,158346,0.3,158367,0.5,317400,0.3,421293,1.50 97,1,2024-09-07 09:58:30:765,1127896,1127896,0,0,529700896380,5493255382,1120909,5943,1044,367,392140,0 97,2,2024-09-07 09:58:30:610,812227,812227,0,0,32604972,0,4046 97,3,2024-09-07 09:58:30:569,1,641,0,0,242,7186,641,0 98,0,2024-09-07 09:58:31:705,157952,0.3,157904,0.4,317226,0.2,421416,1.50 98,1,2024-09-07 09:58:30:570,1127002,1127002,0,0,528945840879,5506702288,1120463,5699,840,381,391997,0 98,2,2024-09-07 09:58:30:772,808753,808753,0,0,31241231,0,4336 98,3,2024-09-07 09:58:30:703,1,641,1,0,840,9315,641,0 99,0,2024-09-07 09:58:31:547,161028,0.3,161922,0.5,322329,0.3,430204,1.75 99,1,2024-09-07 09:58:31:726,1127785,1127785,0,0,528406253613,5496312874,1121040,5778,967,380,392069,0 99,2,2024-09-07 09:58:31:520,809612,809612,0,0,39330303,0,4276 99,3,2024-09-07 09:58:30:581,1,641,16,0,1124,8074,641,0 100,0,2024-09-07 09:58:31:497,164907,0.8,165361,0.9,330424,0.9,440821,2.50 100,1,2024-09-07 09:58:30:638,1120534,1120534,0,0,526250176220,5562695562,1103222,13817,3495,378,391989,0 100,2,2024-09-07 09:58:31:817,808664,808275,389,0,42499218,0,16909 100,3,2024-09-07 09:58:31:731,1,641,1,0,627,10432,641,0 101,0,2024-09-07 09:58:31:712,163378,1.0,159458,1.0,312058,1.0,425968,2.25 101,1,2024-09-07 09:58:30:556,1123113,1123113,0,0,527569378897,5542239365,1108157,12276,2680,368,391847,0 101,2,2024-09-07 09:58:31:766,807754,807754,0,0,42406404,0,4871 101,3,2024-09-07 09:58:30:946,1,641,1,0,1250,9470,641,0 102,0,2024-09-07 09:58:30:945,154231,0.6,158923,0.8,322834,0.6,422261,2.25 102,1,2024-09-07 09:58:31:145,1122686,1122686,0,0,527579023117,5539264633,1107974,12503,2209,369,391984,0 102,2,2024-09-07 09:58:31:743,808625,808571,54,0,36081019,0,6768 102,3,2024-09-07 09:58:31:613,1,641,58,0,466,7140,641,0 103,0,2024-09-07 09:58:31:597,164606,0.5,164626,0.7,310119,0.5,427293,2.00 103,1,2024-09-07 09:58:31:625,1121896,1121896,0,0,526170248530,5553424395,1104418,13864,3614,381,392077,0 103,2,2024-09-07 09:58:30:582,807426,807426,0,0,39144461,0,3766 103,3,2024-09-07 09:58:30:757,1,641,24,0,916,7433,641,0 104,0,2024-09-07 09:58:31:007,164269,0.7,164580,0.9,328235,0.6,438631,2.25 104,1,2024-09-07 09:58:31:605,1124240,1124240,0,0,527144779375,5537859970,1107643,13553,3044,365,392168,0 104,2,2024-09-07 09:58:31:667,808904,808904,0,0,38862472,0,4161 104,3,2024-09-07 09:58:31:457,1,641,0,0,1245,11024,641,0 105,0,2024-09-07 09:58:31:036,159114,0.9,154983,1.0,325054,1.0,427174,2.50 105,1,2024-09-07 09:58:30:562,1125724,1125724,0,0,528950549670,5537381293,1111956,11821,1947,364,392009,0 105,2,2024-09-07 09:58:31:329,812273,812273,0,0,39470776,0,4360 105,3,2024-09-07 09:58:31:318,1,641,21,0,573,9603,641,0 106,0,2024-09-07 09:58:30:938,152919,0.9,156648,1.0,320865,1.1,418817,2.50 106,1,2024-09-07 09:58:31:806,1123957,1123957,0,0,527126093956,5529392402,1108587,13437,1933,368,391914,0 106,2,2024-09-07 09:58:30:757,807386,807386,0,0,36399586,0,3331 106,3,2024-09-07 09:58:30:679,1,641,1,0,1224,8656,641,0 107,0,2024-09-07 09:58:31:146,157376,0.9,157460,0.9,315092,1.0,420233,2.25 107,1,2024-09-07 09:58:30:589,1122052,1122052,0,0,526352625208,5560436694,1104020,15855,2177,381,392234,0 107,2,2024-09-07 09:58:31:293,805800,805799,1,0,39987370,0,5024 107,3,2024-09-07 09:58:31:760,1,641,8,0,733,9579,641,0 108,0,2024-09-07 09:58:31:890,163205,0.4,163897,0.6,326662,0.4,435875,1.75 108,1,2024-09-07 09:58:31:305,1125754,1125754,0,0,528383874976,5507165553,1116913,7843,998,367,391894,0 108,2,2024-09-07 09:58:31:764,811514,811514,0,0,34972412,0,4432 108,3,2024-09-07 09:58:31:330,1,641,7,0,749,11059,641,0 109,0,2024-09-07 09:58:31:756,163513,0.4,162094,0.6,325012,0.3,433482,1.75 109,1,2024-09-07 09:58:30:592,1123480,1123480,0,0,527387995187,5528754531,1113867,8333,1280,382,392132,0 109,2,2024-09-07 09:58:30:921,812741,812741,0,0,35297272,0,3617 109,3,2024-09-07 09:58:31:142,1,641,1,0,630,7528,641,0 110,0,2024-09-07 09:58:31:779,157945,0.4,153630,0.6,321659,0.3,421714,1.75 110,1,2024-09-07 09:58:31:658,1127689,1127689,0,0,529328590022,5490753366,1119597,6163,1929,368,392045,0 110,2,2024-09-07 09:58:31:304,810447,810447,0,0,32682659,0,4067 110,3,2024-09-07 09:58:30:695,1,641,0,0,722,8442,641,0 111,0,2024-09-07 09:58:31:417,158179,0.4,157411,0.5,314532,0.3,419398,1.75 111,1,2024-09-07 09:58:30:999,1128995,1128995,0,0,529986394361,5495203985,1123033,5579,383,380,391690,0 111,2,2024-09-07 09:58:31:116,809709,809709,0,0,33222702,0,4823 111,3,2024-09-07 09:58:30:916,1,641,8,0,379,6918,641,0 112,0,2024-09-07 09:58:30:920,162278,0.3,161858,0.4,324490,0.2,431266,1.50 112,1,2024-09-07 09:58:30:831,1127929,1127929,0,0,529056099739,5490307877,1120713,5991,1225,380,391624,0 112,2,2024-09-07 09:58:31:134,810586,810585,1,0,31767981,0,5036 112,3,2024-09-07 09:58:30:591,1,641,15,0,282,5968,641,0 113,0,2024-09-07 09:58:30:864,164364,0.3,164365,0.5,329264,0.2,437895,1.75 113,1,2024-09-07 09:58:31:691,1130445,1130445,0,0,531421052781,5479568950,1124186,5311,948,365,391664,0 113,2,2024-09-07 09:58:31:307,816338,816338,0,0,29831482,0,3813 113,3,2024-09-07 09:58:30:688,1,641,1,0,510,6694,641,0 114,0,2024-09-07 09:58:30:886,159615,0.3,160595,0.5,320403,0.2,427244,1.75 114,1,2024-09-07 09:58:30:716,1127940,1127940,0,0,529025040166,5490193483,1119834,6359,1747,381,391565,0 114,2,2024-09-07 09:58:30:873,814199,814198,1,0,30849830,0,5069 114,3,2024-09-07 09:58:31:280,1,641,1,0,415,5381,641,0 115,0,2024-09-07 09:58:30:554,159397,0.3,159915,0.4,318567,0.2,424060,1.50 115,1,2024-09-07 09:58:30:571,1128357,1128357,0,0,529512395888,5496922112,1119958,6880,1519,382,391757,0 115,2,2024-09-07 09:58:31:125,810754,810754,0,0,31050425,0,4382 115,3,2024-09-07 09:58:31:005,1,641,11,0,167,3884,641,0 116,0,2024-09-07 09:58:31:706,159217,0.7,159151,0.9,319062,0.7,426320,2.25 116,1,2024-09-07 09:58:30:803,1121433,1121433,0,0,527055755985,5552560726,1108037,10190,3206,380,392089,0 116,2,2024-09-07 09:58:31:762,809385,809385,0,0,38952874,0,4475 116,3,2024-09-07 09:58:30:919,1,641,1,0,448,8094,641,0 117,0,2024-09-07 09:58:31:121,166368,0.7,165871,0.8,332058,0.7,444077,2.00 117,1,2024-09-07 09:58:31:596,1123826,1123826,0,0,527053072513,5517314613,1111591,10654,1581,369,392429,0 117,2,2024-09-07 09:58:31:119,813615,813615,0,0,35300414,0,4303 117,3,2024-09-07 09:58:31:060,1,641,3,0,490,7898,641,0 118,0,2024-09-07 09:58:31:783,155641,0.5,159835,0.7,326196,0.5,426734,2.00 118,1,2024-09-07 09:58:30:591,1123058,1123058,0,0,526429399562,5530913075,1106967,12465,3626,366,392054,0 118,2,2024-09-07 09:58:31:587,812022,812022,0,0,37916015,0,2842 118,3,2024-09-07 09:58:31:768,1,641,26,0,343,7827,641,0 119,0,2024-09-07 09:58:31:358,158158,0.7,158738,0.8,316860,0.8,422343,2.25 119,1,2024-09-07 09:58:30:548,1124001,1124001,0,0,526777504435,5522207149,1109189,12299,2513,367,391857,0 119,2,2024-09-07 09:58:31:265,809209,809209,0,0,35079963,0,4309 119,3,2024-09-07 09:58:31:325,1,641,16,0,1358,11696,641,0 120,0,2024-09-07 09:58:31:620,157282,0.6,157125,0.8,315555,0.6,420791,2.25 120,1,2024-09-07 09:58:30:868,1124817,1124817,0,0,527569544446,5529894910,1112879,10793,1145,367,392144,0 120,2,2024-09-07 09:58:30:770,808646,808643,3,0,42024781,0,5363 120,3,2024-09-07 09:58:31:291,1,641,8,0,478,8034,641,0 121,0,2024-09-07 09:58:31:689,164766,1.0,164245,1.0,328644,1.2,438151,2.25 121,1,2024-09-07 09:58:31:655,1124199,1124199,0,0,527714458878,5521080847,1112240,10132,1827,366,391840,0 121,2,2024-09-07 09:58:31:125,810909,810909,0,0,38702393,0,4157 121,3,2024-09-07 09:58:30:727,1,641,101,0,387,8441,641,0 122,0,2024-09-07 09:58:31:781,161293,0.7,156742,0.9,328318,0.8,431670,2.00 122,1,2024-09-07 09:58:30:860,1123588,1123588,0,0,527698539811,5534917863,1108532,12638,2418,365,392130,0 122,2,2024-09-07 09:58:31:321,813682,813607,75,0,42260667,0,5989 122,3,2024-09-07 09:58:30:594,1,641,1,0,512,9976,641,0 123,0,2024-09-07 09:58:30:984,157567,0.8,153388,0.9,321519,0.9,420420,2.25 123,1,2024-09-07 09:58:30:557,1123948,1123948,0,0,527286387083,5544091553,1105987,15089,2872,369,392039,0 123,2,2024-09-07 09:58:31:021,807020,807019,1,0,35951917,0,5215 123,3,2024-09-07 09:58:31:136,1,641,0,0,478,7178,641,0 124,0,2024-09-07 09:58:30:941,161237,0.3,161297,0.5,303975,0.3,418573,1.75 124,1,2024-09-07 09:58:31:021,1127947,1127947,0,0,528614782193,5492894400,1119814,6941,1192,365,392178,0 124,2,2024-09-07 09:58:31:008,811795,811742,53,0,32544333,0,6487 124,3,2024-09-07 09:58:30:758,1,641,2,0,490,6293,641,0 125,0,2024-09-07 09:58:31:515,162350,0.4,162228,0.5,325296,0.3,433815,1.75 125,1,2024-09-07 09:58:30:863,1124972,1124972,0,0,528192135444,5511784377,1116261,7455,1256,382,392045,0 125,2,2024-09-07 09:58:31:117,813012,813012,0,0,32922206,0,4534 125,3,2024-09-07 09:58:31:129,1,641,2,0,709,7293,641,0 126,0,2024-09-07 09:58:31:448,163946,0.4,168654,0.5,322426,0.3,436545,1.75 126,1,2024-09-07 09:58:30:551,1129091,1129091,0,0,529312305553,5477063268,1123275,5360,456,365,391987,0 126,2,2024-09-07 09:58:30:610,815777,815777,0,0,34095469,0,4539 126,3,2024-09-07 09:58:30:919,1,641,1,0,268,6845,641,0 127,0,2024-09-07 09:58:31:624,158782,0.3,158871,0.5,317180,0.3,421557,1.75 127,1,2024-09-07 09:58:30:580,1126938,1126938,0,0,528522412291,5496375490,1115912,9376,1650,364,392187,0 127,2,2024-09-07 09:58:30:636,811318,811314,4,0,32160691,0,5305 127,3,2024-09-07 09:58:31:269,1,641,7,0,968,6175,641,0 128,0,2024-09-07 09:58:31:583,158893,0.3,159020,0.4,317806,0.2,422161,1.50 128,1,2024-09-07 09:58:31:605,1126251,1126251,0,0,528193700317,5492069955,1117565,7721,965,367,392423,0 128,2,2024-09-07 09:58:31:392,811296,811296,0,0,29859502,0,3171 128,3,2024-09-07 09:58:30:767,1,641,2,0,1082,9807,641,0 129,0,2024-09-07 09:58:31:008,162415,0.3,161517,0.5,323817,0.3,430783,1.50 129,1,2024-09-07 09:58:30:570,1123274,1123274,0,0,527545141851,5526239086,1112334,8918,2022,379,391962,0 129,2,2024-09-07 09:58:30:686,811818,811814,4,0,32367796,0,5335 129,3,2024-09-07 09:58:30:688,1,641,8,0,506,8485,641,0 130,0,2024-09-07 09:58:31:750,166070,0.4,165800,0.6,332043,0.4,441791,1.75 130,1,2024-09-07 09:58:30:585,1128221,1128221,0,0,529115825170,5492279515,1122753,5065,403,381,391825,0 130,2,2024-09-07 09:58:31:124,813270,813270,0,0,32617128,0,4067 130,3,2024-09-07 09:58:31:299,1,641,2,0,960,8455,641,0 131,0,2024-09-07 09:58:31:928,159982,0.3,160437,0.5,321798,0.3,427522,1.75 131,1,2024-09-07 09:58:31:832,1127392,1127392,0,0,528826265680,5508845239,1119987,6207,1198,381,391865,0 131,2,2024-09-07 09:58:30:566,813333,813333,0,0,30388033,0,3979 131,3,2024-09-07 09:58:31:702,1,641,10,0,392,8030,641,0 132,0,2024-09-07 09:58:31:411,158894,0.5,159857,0.6,318316,0.4,423589,2.00 132,1,2024-09-07 09:58:30:579,1122661,1122661,0,0,526678168667,5541185189,1106852,13033,2776,381,392532,0 132,2,2024-09-07 09:58:30:701,807962,807945,17,0,39478636,0,6451 132,3,2024-09-07 09:58:31:692,1,641,1,0,1298,11270,641,0 133,0,2024-09-07 09:58:31:527,155397,0.4,159012,0.6,326052,0.4,424792,2.00 133,1,2024-09-07 09:58:30:583,1122638,1122638,0,0,526412896710,5544313189,1107747,12961,1930,383,391914,0 133,2,2024-09-07 09:58:31:087,810390,810340,50,0,40313074,0,6861 133,3,2024-09-07 09:58:31:303,1,641,1,0,528,7697,641,0 134,0,2024-09-07 09:58:30:949,165720,0.5,165827,0.7,331504,0.4,441470,2.00 134,1,2024-09-07 09:58:30:593,1123634,1123634,0,0,527512658602,5534531285,1108565,11895,3174,366,391781,0 134,2,2024-09-07 09:58:31:791,811204,811180,24,0,37419140,0,6207 134,3,2024-09-07 09:58:30:749,1,641,1,0,739,7885,641,0 135,0,2024-09-07 09:58:31:119,155849,0.7,155888,0.8,330900,0.8,426553,2.25 135,1,2024-09-07 09:58:31:598,1123480,1123480,0,0,527769613547,5548349967,1109420,12213,1847,380,391974,0 135,2,2024-09-07 09:58:30:694,814640,814640,0,0,38491728,0,4503 135,3,2024-09-07 09:58:31:004,1,641,12,0,900,6109,641,0 136,0,2024-09-07 09:58:31:614,158801,0.6,159230,0.8,316303,0.6,422071,2.00 136,1,2024-09-07 09:58:31:459,1124763,1124763,0,0,528212071037,5532011505,1112763,10608,1392,381,392135,0 136,2,2024-09-07 09:58:31:133,809828,809813,15,0,37572776,0,6007 136,3,2024-09-07 09:58:31:115,1,641,202,0,637,7345,641,0 137,0,2024-09-07 09:58:30:935,162675,0.6,158676,0.7,310610,0.6,422095,2.00 137,1,2024-09-07 09:58:30:577,1123688,1123688,0,0,527628451808,5531446310,1106630,13642,3416,366,391898,0 137,2,2024-09-07 09:58:31:710,808093,808093,0,0,38912101,0,3185 137,3,2024-09-07 09:58:30:768,1,641,1,0,484,8497,641,0 138,0,2024-09-07 09:58:31:770,162722,0.8,162992,0.9,326639,1.0,434535,2.00 138,1,2024-09-07 09:58:31:738,1123928,1123928,0,0,527889680662,5538093288,1108063,13271,2594,368,391954,0 138,2,2024-09-07 09:58:30:605,810083,810083,0,0,37472903,0,4988 138,3,2024-09-07 09:58:30:620,1,641,1,0,1200,9754,641,0 139,0,2024-09-07 09:58:31:400,161270,0.9,161884,0.9,323382,1.3,431809,2.25 139,1,2024-09-07 09:58:30:571,1119540,1119540,0,0,525197499472,5564335143,1100758,14917,3865,380,392109,0 139,2,2024-09-07 09:58:30:692,808547,808517,30,0,43003926,0,5997 139,3,2024-09-07 09:58:31:669,1,641,10,0,432,7458,641,0 140,0,2024-09-07 09:58:31:591,158504,0.3,157712,0.5,316722,0.2,421977,1.75 140,1,2024-09-07 09:58:31:597,1131561,1131561,0,0,531979869487,5482467428,1126200,4809,552,364,391628,0 140,2,2024-09-07 09:58:30:688,810592,810591,1,0,30069075,0,5036 140,3,2024-09-07 09:58:30:767,1,641,1,0,575,6238,641,0 141,0,2024-09-07 09:58:31:721,157750,0.3,162123,0.5,309975,0.2,419136,1.50 141,1,2024-09-07 09:58:30:860,1128403,1128403,0,0,529280236931,5494435842,1120142,7067,1194,379,391614,0 141,2,2024-09-07 09:58:31:692,810601,810590,11,0,32322050,0,5369 141,3,2024-09-07 09:58:31:046,1,641,8,0,391,7309,641,0 142,0,2024-09-07 09:58:31:310,162575,0.3,161766,0.5,323806,0.2,432262,1.50 142,1,2024-09-07 09:58:30:603,1126442,1126442,0,0,528485954138,5506679728,1119239,6556,647,382,392102,0 142,2,2024-09-07 09:58:31:299,809746,809714,32,0,32973799,0,6028 142,3,2024-09-07 09:58:31:756,1,641,1,0,484,6732,641,0 143,0,2024-09-07 09:58:31:422,164465,0.4,164243,0.5,329546,0.4,437956,1.75 143,1,2024-09-07 09:58:30:559,1129179,1129179,0,0,530746152605,5501210664,1122683,5928,568,367,391900,0 143,2,2024-09-07 09:58:30:767,814570,814570,0,0,32946736,0,3123 143,3,2024-09-07 09:58:31:142,1,641,19,0,462,7512,641,0 144,0,2024-09-07 09:58:31:528,154676,0.6,159197,0.8,323853,0.5,423729,2.00 144,1,2024-09-07 09:58:30:567,1123905,1123905,0,0,526289000310,5519596633,1113078,8831,1996,381,391733,0 144,2,2024-09-07 09:58:31:792,813093,813093,0,0,32078410,0,4443 144,3,2024-09-07 09:58:31:754,1,641,1,0,249,6233,641,0 145,0,2024-09-07 09:58:31:410,153596,0.6,153589,0.8,325992,0.5,419645,2.25 145,1,2024-09-07 09:58:30:555,1122589,1122589,0,0,527011079819,5544565895,1107660,12175,2754,382,391781,0 145,2,2024-09-07 09:58:31:447,807287,807205,82,0,38216718,0,7814 145,3,2024-09-07 09:58:30:902,1,641,304,0,622,8621,641,0 146,0,2024-09-07 09:58:31:605,159762,0.6,158891,0.8,319673,0.6,424845,2.25 146,1,2024-09-07 09:58:31:589,1123742,1123742,0,0,527439747004,5548949481,1105271,13652,4819,367,391770,0 146,2,2024-09-07 09:58:31:711,808094,808088,6,0,36796016,0,5151 146,3,2024-09-07 09:58:31:281,1,641,49,0,1520,10463,641,0 147,0,2024-09-07 09:58:31:722,166303,0.6,165980,0.7,332055,0.6,443103,2.00 147,1,2024-09-07 09:58:31:376,1128238,1128238,0,0,529040161953,5494127505,1119628,7643,967,367,391791,0 147,2,2024-09-07 09:58:31:014,812261,812261,0,0,32725030,0,3359 147,3,2024-09-07 09:58:30:940,1,641,12,0,1626,9897,641,0 0,0,2024-09-07 09:58:41:738,153998,0.5,153992,0.7,326855,0.5,422367,2.00 0,1,2024-09-07 09:58:40:809,1126645,1126645,0,0,528995128545,5533968314,1117861,7831,953,368,391896,0 0,2,2024-09-07 09:58:41:070,811519,811519,0,0,32354183,0,4480 0,3,2024-09-07 09:58:40:978,1,642,5,0,431,8900,642,0 1,0,2024-09-07 09:58:41:782,164703,0.8,163723,0.8,328630,0.9,439417,2.00 1,1,2024-09-07 09:58:40:561,1125273,1125273,0,0,528117797679,5527155137,1115721,8057,1495,370,391859,0 1,2,2024-09-07 09:58:40:667,813396,813396,0,0,31892865,0,3380 1,3,2024-09-07 09:58:41:305,1,642,4,0,269,7775,642,0 2,0,2024-09-07 09:58:41:588,162001,0.6,161521,0.7,323140,0.6,431349,2.00 2,1,2024-09-07 09:58:40:861,1128699,1128699,0,0,530300874672,5509912729,1122512,5235,952,379,391745,0 2,2,2024-09-07 09:58:41:266,816055,816055,0,0,30357755,0,3594 2,3,2024-09-07 09:58:40:693,1,642,2,0,357,5568,642,0 3,0,2024-09-07 09:58:41:745,158257,0.4,158266,0.6,316178,0.4,421165,2.00 3,1,2024-09-07 09:58:41:622,1127960,1127960,0,0,529878425149,5507660487,1120293,6962,705,379,391716,0 3,2,2024-09-07 09:58:41:148,811011,810988,23,0,31258118,0,5851 3,3,2024-09-07 09:58:41:754,1,642,8,0,484,4839,642,0 4,0,2024-09-07 09:58:41:809,152597,0.4,156820,0.5,319752,0.3,418245,1.75 4,1,2024-09-07 09:58:40:620,1122358,1122358,0,0,527117577145,5577796293,1103132,14689,4537,369,391992,0 4,2,2024-09-07 09:58:41:022,808283,808283,0,0,38601677,0,4534 4,3,2024-09-07 09:58:41:033,1,642,135,0,448,8592,642,0 5,0,2024-09-07 09:58:41:395,163046,0.4,162949,0.5,326142,0.4,434150,1.75 5,1,2024-09-07 09:58:40:755,1123792,1123792,0,0,527071671951,5562508069,1106565,13002,4225,367,392005,0 5,2,2024-09-07 09:58:41:829,809013,809013,0,0,37830732,0,3582 5,3,2024-09-07 09:58:41:738,1,642,1,0,457,8605,642,0 6,0,2024-09-07 09:58:40:921,163875,0.5,163316,0.6,326770,0.4,435239,2.00 6,1,2024-09-07 09:58:40:754,1126221,1126221,0,0,528296512621,5514616615,1114779,9852,1590,379,391702,0 6,2,2024-09-07 09:58:41:117,815619,815601,18,0,36287678,0,5535 6,3,2024-09-07 09:58:41:274,1,642,64,0,710,7593,642,0 7,0,2024-09-07 09:58:41:535,157872,0.5,158998,0.7,315521,0.5,420614,2.00 7,1,2024-09-07 09:58:40:864,1124093,1124093,0,0,527915684656,5556629919,1106648,13310,4135,382,391747,0 7,2,2024-09-07 09:58:40:771,810603,810603,0,0,34889194,0,4791 7,3,2024-09-07 09:58:40:863,1,642,1,0,552,7371,642,0 8,0,2024-09-07 09:58:41:348,158737,0.4,158371,0.5,317232,0.3,422222,1.75 8,1,2024-09-07 09:58:41:022,1123323,1123323,0,0,527056067511,5541003232,1105792,13810,3721,366,392853,0 8,2,2024-09-07 09:58:40:790,805426,805424,2,0,41455847,0,5112 8,3,2024-09-07 09:58:40:589,1,642,0,0,772,9896,642,0 9,0,2024-09-07 09:58:41:117,161464,0.4,156923,0.5,328342,0.3,430926,1.75 9,1,2024-09-07 09:58:40:557,1123100,1123100,0,0,527391202305,5561879750,1104577,14423,4100,369,392001,0 9,2,2024-09-07 09:58:41:097,809820,809819,1,0,39352348,0,5281 9,3,2024-09-07 09:58:41:758,1,642,11,0,1273,10628,642,0 10,0,2024-09-07 09:58:41:598,165237,0.4,164636,0.5,330333,0.3,439468,1.75 10,1,2024-09-07 09:58:40:585,1125112,1125112,0,0,527866505237,5538728183,1108280,13324,3508,381,391981,0 10,2,2024-09-07 09:58:40:762,813904,813904,0,0,42128275,0,4713 10,3,2024-09-07 09:58:40:872,1,642,0,0,669,6939,642,0 11,0,2024-09-07 09:58:41:022,160013,0.4,155340,0.6,325038,0.4,427922,1.75 11,1,2024-09-07 09:58:40:578,1127223,1127223,0,0,528720199439,5544016613,1110901,12015,4307,383,391766,0 11,2,2024-09-07 09:58:41:127,812322,812322,0,0,37095301,0,4698 11,3,2024-09-07 09:58:41:298,1,642,8,0,843,7978,642,0 12,0,2024-09-07 09:58:40:938,160083,0.4,159881,0.5,319515,0.3,424765,1.75 12,1,2024-09-07 09:58:40:935,1126954,1126954,0,0,528376062440,5508625887,1118158,7783,1013,370,391960,0 12,2,2024-09-07 09:58:41:550,808315,808315,0,0,35772662,0,4390 12,3,2024-09-07 09:58:41:066,1,642,258,0,386,8228,642,0 13,0,2024-09-07 09:58:41:343,160593,0.4,160628,0.5,320470,0.3,427115,1.75 13,1,2024-09-07 09:58:41:529,1124429,1124429,0,0,527958090465,5544996045,1113428,8609,2392,382,391803,0 13,2,2024-09-07 09:58:40:604,812830,812830,0,0,32288598,0,3287 13,3,2024-09-07 09:58:41:761,1,642,11,0,522,8162,642,0 14,0,2024-09-07 09:58:40:588,165754,0.4,166836,0.6,331392,0.3,441442,1.75 14,1,2024-09-07 09:58:41:562,1131952,1131952,0,0,531182810368,5492996502,1123443,7379,1130,364,391673,0 14,2,2024-09-07 09:58:40:767,813629,813599,30,0,33440154,0,6104 14,3,2024-09-07 09:58:41:117,1,642,1,0,1168,6790,642,0 15,0,2024-09-07 09:58:41:561,161384,0.4,160483,0.6,321340,0.4,428795,1.75 15,1,2024-09-07 09:58:41:607,1128565,1128565,0,0,529902788980,5515408553,1119297,7355,1913,381,391619,0 15,2,2024-09-07 09:58:40:999,816508,816508,0,0,29608412,0,3622 15,3,2024-09-07 09:58:41:405,1,642,16,0,1126,8295,642,0 16,0,2024-09-07 09:58:40:930,158410,0.6,159026,0.7,317457,0.6,422496,2.00 16,1,2024-09-07 09:58:40:578,1128339,1128339,0,0,529120319998,5527044082,1118823,8069,1447,370,392194,0 16,2,2024-09-07 09:58:41:453,809214,809214,0,0,32659030,0,4719 16,3,2024-09-07 09:58:41:142,1,642,1,0,358,7774,642,0 17,0,2024-09-07 09:58:41:824,162777,0.5,158560,0.7,310897,0.5,422647,2.00 17,1,2024-09-07 09:58:40:576,1126126,1126126,0,0,528393328292,5538238453,1115551,8798,1777,368,392075,0 17,2,2024-09-07 09:58:41:673,813894,813893,1,0,34270245,0,5050 17,3,2024-09-07 09:58:40:574,1,642,338,0,518,9392,642,0 18,0,2024-09-07 09:58:40:959,162411,0.6,163484,0.8,325473,0.6,435249,2.25 18,1,2024-09-07 09:58:41:638,1130420,1130420,0,0,530627572776,5500184913,1123234,5927,1259,367,391725,0 18,2,2024-09-07 09:58:41:760,814932,814932,0,0,30902173,0,3541 18,3,2024-09-07 09:58:40:901,1,642,18,0,1059,5727,642,0 19,0,2024-09-07 09:58:41:538,162013,0.6,162477,0.8,323941,0.7,430275,2.00 19,1,2024-09-07 09:58:40:573,1130574,1130574,0,0,530896676003,5499553477,1122439,7000,1135,365,391777,0 19,2,2024-09-07 09:58:41:753,818202,818202,0,0,28968308,0,3988 19,3,2024-09-07 09:58:41:129,1,642,12,0,524,4910,642,0 20,0,2024-09-07 09:58:41:360,158123,0.5,157951,0.7,315960,0.5,421218,2.00 20,1,2024-09-07 09:58:40:584,1126217,1126217,0,0,528689066025,5538177598,1115135,9541,1541,369,391922,0 20,2,2024-09-07 09:58:40:928,810065,810065,0,0,36187045,0,4321 20,3,2024-09-07 09:58:40:606,1,642,0,0,468,9909,642,0 21,0,2024-09-07 09:58:41:163,157649,0.5,157688,0.6,315334,0.4,418304,2.00 21,1,2024-09-07 09:58:41:536,1123962,1123962,0,0,527116452206,5561186154,1106498,13540,3924,368,392016,0 21,2,2024-09-07 09:58:41:068,804727,804250,477,0,46830161,0,17074 21,3,2024-09-07 09:58:41:404,1,642,6,0,713,8852,642,0 22,0,2024-09-07 09:58:41:721,161935,0.4,162517,0.6,324757,0.4,431248,2.00 22,1,2024-09-07 09:58:41:026,1124657,1124657,0,0,527929122780,5552665366,1106436,14669,3552,381,391822,0 22,2,2024-09-07 09:58:40:761,810003,809977,26,0,35166342,0,6328 22,3,2024-09-07 09:58:41:071,1,642,8,0,228,5440,642,0 23,0,2024-09-07 09:58:41:368,164002,0.5,163866,0.7,327724,0.4,436508,2.00 23,1,2024-09-07 09:58:41:006,1125274,1125274,0,0,528113878043,5560027118,1105154,13410,6710,365,391690,0 23,2,2024-09-07 09:58:41:091,815186,815186,0,0,33096520,0,3773 23,3,2024-09-07 09:58:41:755,1,642,12,0,855,9336,642,0 24,0,2024-09-07 09:58:40:891,160555,0.4,159683,0.5,321078,0.4,425979,1.75 24,1,2024-09-07 09:58:40:591,1125434,1125434,0,0,527597894466,5521244902,1114862,8796,1776,367,392269,0 24,2,2024-09-07 09:58:41:068,812015,812012,3,0,39962099,0,6294 24,3,2024-09-07 09:58:41:686,1,642,50,0,468,8323,642,0 25,0,2024-09-07 09:58:41:361,163300,0.4,158967,0.6,312461,0.4,425339,1.75 25,1,2024-09-07 09:58:40:583,1123497,1123497,0,0,526823587628,5563489131,1103973,15618,3906,369,391928,0 25,2,2024-09-07 09:58:41:609,807851,807851,0,0,40320889,0,3978 25,3,2024-09-07 09:58:41:012,1,642,0,0,532,7025,642,0 26,0,2024-09-07 09:58:41:725,159565,0.4,155857,0.6,327137,0.4,426696,1.75 26,1,2024-09-07 09:58:41:541,1126932,1126932,0,0,529387204138,5542373088,1111538,12494,2900,380,391758,0 26,2,2024-09-07 09:58:40:861,810610,810610,0,0,43059480,0,4689 26,3,2024-09-07 09:58:41:712,1,642,1,0,796,7755,642,0 27,0,2024-09-07 09:58:41:725,166247,0.4,167060,0.6,332056,0.4,442851,2.25 27,1,2024-09-07 09:58:41:677,1128683,1128683,0,0,529700322679,5516982986,1118082,8984,1617,381,391626,0 27,2,2024-09-07 09:58:40:867,810236,810171,65,0,37743953,0,5699 27,3,2024-09-07 09:58:41:020,1,642,10,0,564,5389,642,0 28,0,2024-09-07 09:58:41:506,160847,0.4,161076,0.6,322352,0.3,429247,1.75 28,1,2024-09-07 09:58:40:812,1129186,1129186,0,0,530125705967,5522882775,1120020,7265,1901,382,391904,0 28,2,2024-09-07 09:58:41:769,814636,814636,0,0,32715418,0,2915 28,3,2024-09-07 09:58:41:778,1,642,9,0,502,6353,642,0 29,0,2024-09-07 09:58:41:360,163172,0.4,158937,0.6,311772,0.3,424980,1.75 29,1,2024-09-07 09:58:41:561,1132047,1132047,0,0,530908569246,5488408253,1125462,5806,779,367,391809,0 29,2,2024-09-07 09:58:40:861,809693,809693,0,0,31332890,0,4986 29,3,2024-09-07 09:58:40:971,1,642,3,0,459,6728,642,0 30,0,2024-09-07 09:58:41:456,157603,0.5,153482,0.7,321611,0.4,420838,2.00 30,1,2024-09-07 09:58:40:577,1130576,1130576,0,0,531306098887,5511321640,1122371,7234,971,380,391672,0 30,2,2024-09-07 09:58:41:276,812498,812498,0,0,30278316,0,4192 30,3,2024-09-07 09:58:40:586,1,642,8,0,519,6215,642,0 31,0,2024-09-07 09:58:41:775,164601,0.4,165466,0.6,329874,0.4,440024,1.75 31,1,2024-09-07 09:58:40:576,1134909,1134909,0,0,531636548729,5457929070,1128039,5754,1116,356,391712,0 31,2,2024-09-07 09:58:41:275,812427,812427,0,0,34283656,0,4470 31,3,2024-09-07 09:58:41:706,1,642,8,0,239,5572,642,0 32,0,2024-09-07 09:58:41:422,162141,0.3,163169,0.5,324756,0.3,432754,1.75 32,1,2024-09-07 09:58:40:810,1130442,1130442,0,0,530048870146,5505031540,1124057,5665,720,381,391646,0 32,2,2024-09-07 09:58:40:941,817491,817491,0,0,29798355,0,3922 32,3,2024-09-07 09:58:41:022,1,642,2,0,304,5194,642,0 33,0,2024-09-07 09:58:41:491,158951,0.3,158553,0.4,317181,0.2,422076,1.50 33,1,2024-09-07 09:58:40:575,1131348,1131348,0,0,530909599556,5495691411,1122723,7351,1274,368,391730,0 33,2,2024-09-07 09:58:40:762,810874,810839,35,0,32692761,0,7012 33,3,2024-09-07 09:58:40:906,1,642,1,0,329,5425,642,0 34,0,2024-09-07 09:58:40:929,157470,0.3,161899,0.5,309490,0.2,418026,1.75 34,1,2024-09-07 09:58:41:045,1133305,1133305,0,0,532771229749,5478284337,1130145,3048,112,367,391637,0 34,2,2024-09-07 09:58:40:766,812255,812255,0,0,31321703,0,4562 34,3,2024-09-07 09:58:41:687,1,642,2,0,541,5629,642,0 35,0,2024-09-07 09:58:40:863,162283,0.3,163006,0.4,326797,0.2,434855,1.75 35,1,2024-09-07 09:58:41:067,1129357,1129357,0,0,530413304098,5501839242,1121048,6786,1523,382,391769,0 35,2,2024-09-07 09:58:41:583,812607,812607,0,0,35210028,0,4055 35,3,2024-09-07 09:58:40:910,1,642,7,0,466,5950,642,0 36,0,2024-09-07 09:58:41:520,164191,0.5,164424,0.6,328193,0.4,436771,2.00 36,1,2024-09-07 09:58:40:585,1128176,1128176,0,0,529337339097,5524731611,1114273,11657,2246,366,391759,0 36,2,2024-09-07 09:58:41:755,816008,816008,0,0,36637545,0,3875 36,3,2024-09-07 09:58:40:863,1,642,1,0,556,8451,642,0 37,0,2024-09-07 09:58:41:371,157736,0.5,157864,0.7,315925,0.5,421261,2.25 37,1,2024-09-07 09:58:40:573,1127641,1127634,0,7,529139905444,5524298842,1114277,10435,2922,365,391770,0 37,2,2024-09-07 09:58:41:142,808924,808909,15,0,35942024,0,5815 37,3,2024-09-07 09:58:41:778,1,642,21,0,888,8753,642,0 38,0,2024-09-07 09:58:41:437,157520,0.4,152689,0.6,319360,0.4,417970,2.00 38,1,2024-09-07 09:58:41:605,1127460,1127460,0,0,529342396311,5534043503,1111438,12755,3267,368,391821,0 38,2,2024-09-07 09:58:40:760,810079,810032,47,0,36088911,0,6710 38,3,2024-09-07 09:58:40:997,1,642,1,0,689,7504,642,0 39,0,2024-09-07 09:58:41:768,164967,0.5,161233,0.7,314624,0.5,429720,2.00 39,1,2024-09-07 09:58:40:715,1126793,1126793,0,0,528834325906,5542627943,1108458,14147,4188,365,391865,0 39,2,2024-09-07 09:58:41:529,811333,811333,0,0,34736096,0,3391 39,3,2024-09-07 09:58:40:713,1,642,8,0,525,7233,642,0 40,0,2024-09-07 09:58:41:506,163759,0.9,164547,1.0,328384,1.0,438291,2.75 40,1,2024-09-07 09:58:40:577,1127326,1127326,0,0,528112188939,5533229382,1110210,13839,3277,366,391668,0 40,2,2024-09-07 09:58:41:302,811246,811239,7,0,40711044,0,5347 40,3,2024-09-07 09:58:41:143,1,642,16,0,1028,9230,642,0 41,0,2024-09-07 09:58:41:027,159358,1.2,163042,1.1,311001,1.7,422511,3.00 41,1,2024-09-07 09:58:40:771,1126510,1126510,0,0,529355663588,5534571026,1112225,12084,2201,369,391878,0 41,2,2024-09-07 09:58:40:759,809533,809532,1,0,39406158,0,5408 41,3,2024-09-07 09:58:41:677,1,642,49,0,366,6848,642,0 42,0,2024-09-07 09:58:41:475,157958,0.9,157576,1.0,315443,1.0,418863,2.75 42,1,2024-09-07 09:58:41:438,1124210,1124210,0,0,527021784000,5535279880,1107069,14185,2956,380,391675,0 42,2,2024-09-07 09:58:41:132,807383,807382,1,0,40010620,0,5513 42,3,2024-09-07 09:58:41:009,1,642,1,0,892,6215,642,0 43,0,2024-09-07 09:58:40:918,158056,0.8,153886,0.9,322422,0.8,422059,2.25 43,1,2024-09-07 09:58:40:578,1127526,1127526,0,0,529042299394,5527469363,1111875,12905,2746,366,391696,0 43,2,2024-09-07 09:58:41:735,811116,811116,0,0,37569916,0,4723 43,3,2024-09-07 09:58:41:754,1,642,12,0,571,8994,642,0 44,0,2024-09-07 09:58:40:860,165869,0.4,166082,0.6,331535,0.3,441991,1.75 44,1,2024-09-07 09:58:40:563,1130706,1130706,0,0,529891425243,5473886985,1121245,7518,1943,356,391809,0 44,2,2024-09-07 09:58:41:266,811675,811675,0,0,30325658,0,4344 44,3,2024-09-07 09:58:41:096,1,642,2,0,1097,7796,642,0 45,0,2024-09-07 09:58:41:762,159391,0.5,155797,0.7,327085,0.4,428660,2.00 45,1,2024-09-07 09:58:41:013,1129862,1129862,0,0,530913652033,5512958735,1121554,7405,903,382,391917,0 45,2,2024-09-07 09:58:41:268,815741,815741,0,0,30598345,0,3596 45,3,2024-09-07 09:58:40:940,1,642,0,0,531,6028,642,0 46,0,2024-09-07 09:58:40:952,157642,0.5,157164,0.7,315271,0.5,419193,2.00 46,1,2024-09-07 09:58:40:583,1132054,1132054,0,0,531096362248,5487462578,1124429,6636,989,366,391709,0 46,2,2024-09-07 09:58:40:606,811407,811407,0,0,31101989,0,4443 46,3,2024-09-07 09:58:41:131,1,642,26,0,908,7394,642,0 47,0,2024-09-07 09:58:41:108,158417,0.4,158098,0.6,317657,0.3,420904,1.75 47,1,2024-09-07 09:58:40:572,1133164,1133164,0,0,531049184838,5483107090,1126905,5395,864,364,391641,0 47,2,2024-09-07 09:58:40:913,814410,814410,0,0,30498933,0,4477 47,3,2024-09-07 09:58:41:116,1,642,24,0,600,6862,642,0 48,0,2024-09-07 09:58:41:499,164271,0.3,164634,0.4,328394,0.2,437021,1.50 48,1,2024-09-07 09:58:41:022,1129864,1129864,0,0,530188186840,5502788113,1123566,5718,580,381,391710,0 48,2,2024-09-07 09:58:40:704,813623,813623,0,0,28731702,0,3524 48,3,2024-09-07 09:58:40:754,1,642,83,0,339,5561,642,0 49,0,2024-09-07 09:58:41:731,167361,0.3,163860,0.5,318881,0.3,434736,1.75 49,1,2024-09-07 09:58:41:023,1129446,1129446,0,0,529407506762,5503779135,1121978,5772,1696,382,391809,0 49,2,2024-09-07 09:58:41:797,816815,816815,0,0,30967378,0,4426 49,3,2024-09-07 09:58:41:415,1,642,1,0,992,7523,642,0 50,0,2024-09-07 09:58:41:519,158787,0.3,157308,0.5,316776,0.2,421145,1.75 50,1,2024-09-07 09:58:41:009,1132207,1132207,0,0,531930989378,5495189460,1125546,5991,670,368,391691,0 50,2,2024-09-07 09:58:41:070,809467,809467,0,0,28478768,0,4490 50,3,2024-09-07 09:58:41:294,1,642,1,0,617,6297,642,0 51,0,2024-09-07 09:58:41:699,161778,0.3,158300,0.5,308283,0.2,420132,1.75 51,1,2024-09-07 09:58:41:698,1132383,1132383,0,0,533210165567,5494562935,1126560,4742,1081,365,391706,0 51,2,2024-09-07 09:58:41:323,811412,811412,0,0,28391477,0,3337 51,3,2024-09-07 09:58:41:028,1,642,11,0,678,4560,642,0 52,0,2024-09-07 09:58:41:416,162629,0.5,162475,0.6,324844,0.4,432400,2.00 52,1,2024-09-07 09:58:40:575,1127517,1127517,0,0,529110595732,5533530771,1112227,12954,2336,368,391805,0 52,2,2024-09-07 09:58:41:760,808477,808439,38,0,38935529,0,6742 52,3,2024-09-07 09:58:40:684,1,642,0,0,1782,7399,642,0 53,0,2024-09-07 09:58:41:735,163845,0.6,159000,0.8,332654,0.7,435572,2.25 53,1,2024-09-07 09:58:40:772,1125306,1125306,0,0,528689656092,5544012756,1106694,14206,4406,367,391968,0 53,2,2024-09-07 09:58:41:297,815611,815610,1,0,34436011,0,5455 53,3,2024-09-07 09:58:40:697,1,642,24,0,308,5781,642,0 54,0,2024-09-07 09:58:41:614,157758,0.6,158043,0.7,315000,0.5,420356,2.25 54,1,2024-09-07 09:58:40:587,1129092,1129092,0,0,529555234855,5504152853,1117848,9572,1672,366,391810,0 54,2,2024-09-07 09:58:40:873,812673,812641,32,0,38540515,0,6397 54,3,2024-09-07 09:58:40:763,1,642,19,0,676,8060,642,0 55,0,2024-09-07 09:58:41:762,153377,0.6,158234,0.8,320810,0.5,417921,2.50 55,1,2024-09-07 09:58:40:764,1129339,1129339,0,0,529349099044,5504144193,1117725,10146,1468,365,391731,0 55,2,2024-09-07 09:58:40:728,808683,808627,56,0,35578083,0,7239 55,3,2024-09-07 09:58:40:673,1,642,8,0,304,5870,642,0 56,0,2024-09-07 09:58:41:553,163231,1.2,153768,1.1,317075,1.5,424724,2.75 56,1,2024-09-07 09:58:40:579,1122624,1122624,0,0,527084191499,5570961606,1104315,14594,3715,381,391867,0 56,2,2024-09-07 09:58:41:302,809673,809551,122,0,37985327,0,7432 56,3,2024-09-07 09:58:41:062,1,642,26,0,705,7767,642,0 57,0,2024-09-07 09:58:40:947,164472,1.4,164222,1.2,328708,2.0,439059,3.00 57,1,2024-09-07 09:58:41:012,1124831,1124831,0,0,527536765600,5536529099,1109460,12682,2689,366,392032,0 57,2,2024-09-07 09:58:41:320,812340,812340,0,0,40366069,0,4804 57,3,2024-09-07 09:58:41:739,1,642,1,0,455,7189,642,0 58,0,2024-09-07 09:58:40:558,158401,1.0,153811,1.0,321964,1.2,421568,2.75 58,1,2024-09-07 09:58:40:578,1126112,1126109,0,3,528831032766,5544181369,1108688,13119,4302,367,391726,3 58,2,2024-09-07 09:58:41:082,814434,814434,0,0,37294773,0,3483 58,3,2024-09-07 09:58:41:069,1,642,8,0,1043,7035,642,0 59,0,2024-09-07 09:58:41:740,157303,0.9,156678,1.0,313303,0.9,415907,3.00 59,1,2024-09-07 09:58:40:818,1125512,1125512,0,0,528835131017,5556833172,1106715,14963,3834,369,391653,0 59,2,2024-09-07 09:58:40:585,809612,809612,0,0,35206564,0,3727 59,3,2024-09-07 09:58:41:736,1,642,1,0,1015,7720,642,0 60,0,2024-09-07 09:58:41:709,158489,0.5,158481,0.7,317347,0.4,423084,1.75 60,1,2024-09-07 09:58:40:778,1129940,1129940,0,0,530999553914,5517236412,1121586,7245,1109,370,392031,0 60,2,2024-09-07 09:58:41:141,812036,812036,0,0,33241014,0,3811 60,3,2024-09-07 09:58:41:258,1,642,2,0,409,7157,642,0 61,0,2024-09-07 09:58:41:556,164730,0.5,165380,0.7,329109,0.5,439301,2.00 61,1,2024-09-07 09:58:40:775,1127684,1127684,0,0,528759087994,5532093718,1116227,9767,1690,382,392127,0 61,2,2024-09-07 09:58:41:120,813201,813134,67,0,33757133,0,6411 61,3,2024-09-07 09:58:41:706,1,642,42,0,607,8028,642,0 62,0,2024-09-07 09:58:41:748,162561,0.6,166782,0.7,318453,0.6,432738,2.00 62,1,2024-09-07 09:58:41:120,1134325,1134319,0,6,532508717219,5489047866,1128729,5221,369,365,391975,6 62,2,2024-09-07 09:58:41:644,813485,813484,1,0,33831817,0,5555 62,3,2024-09-07 09:58:41:143,1,642,1,0,482,5047,642,0 63,0,2024-09-07 09:58:41:455,158906,0.4,158623,0.6,317880,0.3,422420,1.75 63,1,2024-09-07 09:58:40:809,1130631,1130625,0,6,530074004030,5501857446,1123583,6185,857,381,391800,6 63,2,2024-09-07 09:58:40:762,810285,810285,0,0,31877266,0,4369 63,3,2024-09-07 09:58:41:735,1,642,16,0,667,6427,642,0 64,0,2024-09-07 09:58:41:552,156528,0.5,156456,0.6,312600,0.4,416617,2.00 64,1,2024-09-07 09:58:40:758,1128863,1128863,0,0,530588979099,5521412474,1119477,7407,1979,370,391794,0 64,2,2024-09-07 09:58:41:154,815171,815152,19,0,31080967,0,6121 64,3,2024-09-07 09:58:41:146,1,642,16,0,651,7139,642,0 65,0,2024-09-07 09:58:41:685,161908,0.6,162229,0.7,324085,0.6,432560,2.00 65,1,2024-09-07 09:58:40:870,1127433,1127433,0,0,528386118833,5518929430,1119853,6704,876,381,391901,0 65,2,2024-09-07 09:58:41:693,811408,811408,0,0,37571376,0,3367 65,3,2024-09-07 09:58:41:691,1,642,2,0,782,7827,642,0 66,0,2024-09-07 09:58:41:763,163387,0.5,162948,0.7,325988,0.5,433478,2.00 66,1,2024-09-07 09:58:41:295,1129512,1129512,0,0,530572987137,5517498326,1122700,6068,744,380,391743,0 66,2,2024-09-07 09:58:41:132,818267,818264,3,0,33236109,0,5455 66,3,2024-09-07 09:58:41:081,1,642,7,0,291,5571,642,0 67,0,2024-09-07 09:58:41:414,158485,0.5,157972,0.7,316937,0.5,421821,2.00 67,1,2024-09-07 09:58:40:766,1129940,1129939,0,1,530638770332,5520170248,1122506,6488,945,380,391787,1 67,2,2024-09-07 09:58:40:586,814597,814582,15,0,32887624,0,6205 67,3,2024-09-07 09:58:41:754,1,642,8,0,595,6478,642,0 68,0,2024-09-07 09:58:40:572,158419,0.6,158084,0.7,315073,0.5,421128,2.00 68,1,2024-09-07 09:58:40:578,1125032,1125032,0,0,527165861282,5542011555,1110146,11105,3781,381,391953,0 68,2,2024-09-07 09:58:41:063,806623,806523,100,0,41452228,0,8578 68,3,2024-09-07 09:58:40:737,1,642,1,0,417,7979,642,0 69,0,2024-09-07 09:58:41:746,160733,0.7,161558,0.8,322044,0.7,428372,2.25 69,1,2024-09-07 09:58:41:027,1124241,1124241,0,0,527340416166,5554364446,1109888,11376,2977,383,391994,0 69,2,2024-09-07 09:58:41:738,808248,808219,29,0,44943166,0,6912 69,3,2024-09-07 09:58:40:760,1,642,1,0,698,8851,642,0 70,0,2024-09-07 09:58:41:534,163489,0.8,164161,0.9,329383,0.7,436586,2.50 70,1,2024-09-07 09:58:40:809,1130651,1130651,0,0,531061622127,5508345775,1122377,7459,815,366,391725,0 70,2,2024-09-07 09:58:41:325,813943,813943,0,0,36733886,0,4323 70,3,2024-09-07 09:58:40:745,1,642,4,0,854,6889,642,0 71,0,2024-09-07 09:58:41:357,159123,0.9,158632,1.0,318631,1.1,424778,2.50 71,1,2024-09-07 09:58:41:597,1128299,1128299,0,0,530076929960,5530265496,1114658,12015,1626,367,391738,0 71,2,2024-09-07 09:58:41:072,812506,812506,0,0,36496485,0,4352 71,3,2024-09-07 09:58:41:756,1,642,16,0,644,6984,642,0 72,0,2024-09-07 09:58:41:032,164014,0.5,160238,0.7,312925,0.5,425749,2.00 72,1,2024-09-07 09:58:41:021,1126428,1126428,0,0,528351441717,5540126631,1110322,13420,2686,369,391819,0 72,2,2024-09-07 09:58:41:755,807888,807888,0,0,38343074,0,3983 72,3,2024-09-07 09:58:41:754,1,642,1,0,564,9188,642,0 73,0,2024-09-07 09:58:41:162,155477,0.4,159516,0.6,326097,0.4,424591,2.00 73,1,2024-09-07 09:58:40:775,1128349,1128349,0,0,530167775941,5507864857,1119896,7470,983,367,391858,0 73,2,2024-09-07 09:58:41:745,810173,810172,1,0,40336122,0,5027 73,3,2024-09-07 09:58:40:977,1,642,64,0,1091,8779,642,0 74,0,2024-09-07 09:58:41:330,166802,0.4,170863,0.6,326084,0.4,442779,2.00 74,1,2024-09-07 09:58:40:638,1127106,1127106,0,0,528948346238,5519606009,1115011,9958,2137,381,391762,0 74,2,2024-09-07 09:58:41:012,811708,811708,0,0,35248539,0,4253 74,3,2024-09-07 09:58:41:446,1,642,7,0,522,7586,642,0 75,0,2024-09-07 09:58:41:768,161449,0.5,160519,0.7,322157,0.5,430169,2.25 75,1,2024-09-07 09:58:41:597,1128174,1128174,0,0,530461262397,5526408245,1118813,8429,932,380,391739,0 75,2,2024-09-07 09:58:41:362,813748,813748,0,0,42234553,0,4766 75,3,2024-09-07 09:58:41:072,1,642,243,0,918,8753,642,0 76,0,2024-09-07 09:58:40:590,158039,0.6,157389,0.7,314754,0.5,420899,2.25 76,1,2024-09-07 09:58:40:809,1127997,1127997,0,0,529467223929,5517754140,1120502,6499,996,382,391790,0 76,2,2024-09-07 09:58:41:070,812241,812238,3,0,33886867,0,5265 76,3,2024-09-07 09:58:41:142,1,642,27,0,227,5847,642,0 77,0,2024-09-07 09:58:41:727,157568,0.5,157945,0.7,316050,0.5,420193,2.00 77,1,2024-09-07 09:58:40:824,1128245,1128245,0,0,529941438057,5526697645,1119634,7602,1009,381,391869,0 77,2,2024-09-07 09:58:41:281,810531,810531,0,0,33407356,0,3890 77,3,2024-09-07 09:58:41:096,1,642,2,0,401,7232,642,0 78,0,2024-09-07 09:58:41:723,164611,0.4,163918,0.6,328849,0.3,435426,2.00 78,1,2024-09-07 09:58:40:615,1128037,1128037,0,0,528211588287,5507296746,1114659,10446,2932,367,391670,0 78,2,2024-09-07 09:58:41:405,814000,813987,13,0,31280239,0,8313 78,3,2024-09-07 09:58:41:133,1,642,1,0,311,5250,642,0 79,0,2024-09-07 09:58:41:349,157199,0.4,160963,0.6,330002,0.3,429281,2.00 79,1,2024-09-07 09:58:40:577,1132458,1132458,0,0,530984087114,5493725878,1124160,6871,1427,367,391682,0 79,2,2024-09-07 09:58:41:070,816391,816391,0,0,30313050,0,4195 79,3,2024-09-07 09:58:40:752,1,642,8,0,418,7324,642,0 80,0,2024-09-07 09:58:41:077,158254,0.5,162523,0.7,310502,0.5,420151,2.00 80,1,2024-09-07 09:58:41:628,1128252,1128252,0,0,529649410633,5511206535,1120977,6818,457,368,392269,0 80,2,2024-09-07 09:58:41:089,812946,812946,0,0,30704653,0,4433 80,3,2024-09-07 09:58:40:576,1,642,0,0,681,7768,642,0 81,0,2024-09-07 09:58:41:598,157533,0.5,161175,0.7,307780,0.5,417252,2.00 81,1,2024-09-07 09:58:41:664,1127634,1127634,0,0,528733689074,5521664603,1119198,7655,781,382,391885,0 81,2,2024-09-07 09:58:41:129,810613,810550,63,0,34023007,0,5932 81,3,2024-09-07 09:58:41:122,1,642,1,0,719,7154,642,0 82,0,2024-09-07 09:58:41:532,162152,0.4,162166,0.6,324936,0.4,431425,2.00 82,1,2024-09-07 09:58:40:585,1129347,1129343,0,4,529766341130,5503889722,1123727,4791,825,381,391768,4 82,2,2024-09-07 09:58:41:690,814054,814054,0,0,29053555,0,4484 82,3,2024-09-07 09:58:41:756,1,642,9,0,363,5830,642,0 83,0,2024-09-07 09:58:41:521,164428,0.6,164292,0.7,328263,0.6,435926,2.00 83,1,2024-09-07 09:58:40:554,1128305,1128305,0,0,529174129955,5512507679,1120661,6999,645,382,391709,0 83,2,2024-09-07 09:58:40:764,815771,815746,25,0,31279247,0,5612 83,3,2024-09-07 09:58:40:749,1,642,12,0,1260,7403,642,0 84,0,2024-09-07 09:58:41:768,158141,0.7,158207,0.9,316256,0.7,422134,2.25 84,1,2024-09-07 09:58:41:053,1126215,1126215,0,0,528856457891,5530366549,1113326,11006,1883,367,391967,0 84,2,2024-09-07 09:58:40:575,810964,810554,410,0,45021847,0,17037 84,3,2024-09-07 09:58:41:140,1,642,1,0,908,8637,642,0 85,0,2024-09-07 09:58:41:006,152785,0.6,152660,0.8,324127,0.6,418343,2.25 85,1,2024-09-07 09:58:40:577,1123164,1123164,0,0,527865044296,5567816598,1106616,13745,2803,381,392092,0 85,2,2024-09-07 09:58:40:881,809172,809172,0,0,38392671,0,4255 85,3,2024-09-07 09:58:40:685,1,642,13,0,789,7191,642,0 86,0,2024-09-07 09:58:40:913,159774,0.7,164290,0.8,314336,0.7,425266,2.25 86,1,2024-09-07 09:58:40:827,1126007,1126007,0,0,528734418749,5543405300,1111248,11963,2796,366,392169,0 86,2,2024-09-07 09:58:40:894,810224,810223,1,0,41224583,0,5004 86,3,2024-09-07 09:58:40:590,1,642,1,0,308,8803,642,0 87,0,2024-09-07 09:58:41:322,166545,0.8,165550,0.8,331558,0.9,442856,2.25 87,1,2024-09-07 09:58:40:556,1125242,1125242,0,0,528406245692,5531939849,1111558,11881,1803,366,392076,0 87,2,2024-09-07 09:58:41:076,812256,812250,6,0,37915590,0,6323 87,3,2024-09-07 09:58:41:795,1,642,22,0,473,8936,642,0 88,0,2024-09-07 09:58:41:442,160727,0.4,161257,0.6,322205,0.4,429044,1.75 88,1,2024-09-07 09:58:40:573,1124985,1124985,0,0,527631290945,5528873073,1109151,12785,3049,365,392084,0 88,2,2024-09-07 09:58:40:692,813535,813535,0,0,39881531,0,4465 88,3,2024-09-07 09:58:41:267,1,642,2,0,1080,9913,642,0 89,0,2024-09-07 09:58:41:781,163131,0.4,158222,0.6,312174,0.4,424539,1.75 89,1,2024-09-07 09:58:40:553,1123969,1123969,0,0,528510686175,5556910016,1110200,11594,2175,382,391866,0 89,2,2024-09-07 09:58:41:134,809270,809270,0,0,37525905,0,3173 89,3,2024-09-07 09:58:41:793,1,642,1,0,468,10367,642,0 90,0,2024-09-07 09:58:41:628,153771,0.4,157958,0.6,322224,0.4,420947,2.00 90,1,2024-09-07 09:58:40:596,1126197,1126197,0,0,528071429167,5531068913,1115115,10049,1033,380,391825,0 90,2,2024-09-07 09:58:41:414,807410,807405,5,0,41111470,0,6370 90,3,2024-09-07 09:58:40:930,1,642,1,0,364,8270,642,0 91,0,2024-09-07 09:58:40:946,165222,0.4,160311,0.6,335507,0.4,440016,1.75 91,1,2024-09-07 09:58:40:557,1123051,1123051,0,0,527409008907,5561636700,1105464,13629,3958,381,392047,0 91,2,2024-09-07 09:58:41:330,812187,812187,0,0,36498011,0,4713 91,3,2024-09-07 09:58:40:615,1,642,11,0,231,6028,642,0 92,0,2024-09-07 09:58:41:453,163688,0.4,167359,0.6,319005,0.4,432750,1.75 92,1,2024-09-07 09:58:40:591,1127668,1127668,0,0,530182556213,5533483179,1119243,7136,1289,381,392136,0 92,2,2024-09-07 09:58:41:350,815513,815513,0,0,31950274,0,3259 92,3,2024-09-07 09:58:41:009,1,642,17,0,167,5399,642,0 93,0,2024-09-07 09:58:40:983,159372,0.4,163295,0.6,311926,0.3,422371,1.75 93,1,2024-09-07 09:58:40:809,1128514,1128514,0,0,528967218088,5519286488,1116209,10349,1956,365,392048,0 93,2,2024-09-07 09:58:40:934,809991,809991,0,0,37388791,0,4913 93,3,2024-09-07 09:58:41:407,1,642,22,0,375,6685,642,0 94,0,2024-09-07 09:58:41:633,156566,0.4,157761,0.5,315285,0.3,418835,1.75 94,1,2024-09-07 09:58:40:572,1128816,1128816,0,0,529352200862,5516944161,1121645,6734,437,381,391850,0 94,2,2024-09-07 09:58:40:761,811341,811313,28,0,32514957,0,6179 94,3,2024-09-07 09:58:41:708,1,642,7,0,576,7769,642,0 95,0,2024-09-07 09:58:41:378,163104,0.3,163019,0.5,326280,0.3,434519,1.75 95,1,2024-09-07 09:58:40:852,1129154,1129154,0,0,530295977916,5508445970,1120404,7988,762,365,391852,0 95,2,2024-09-07 09:58:41:028,811281,811281,0,0,32411044,0,3308 95,3,2024-09-07 09:58:41:715,1,642,15,0,718,9871,642,0 96,0,2024-09-07 09:58:41:076,163854,0.3,164126,0.5,328571,0.3,436202,1.75 96,1,2024-09-07 09:58:41:677,1127506,1127506,0,0,529128006665,5516406318,1119844,6377,1285,384,391964,0 96,2,2024-09-07 09:58:41:271,817055,817055,0,0,33220809,0,4180 96,3,2024-09-07 09:58:41:143,1,642,10,0,411,7142,642,0 97,0,2024-09-07 09:58:41:322,158458,0.3,158479,0.5,317623,0.3,421635,1.50 97,1,2024-09-07 09:58:40:766,1129695,1129695,0,0,530441332359,5500800521,1122708,5943,1044,367,392140,0 97,2,2024-09-07 09:58:40:619,812936,812936,0,0,32619282,0,4046 97,3,2024-09-07 09:58:40:573,1,642,2,0,242,7188,642,0 98,0,2024-09-07 09:58:41:719,157996,0.3,157936,0.4,317314,0.2,421416,1.50 98,1,2024-09-07 09:58:40:573,1128766,1128766,0,0,529727994863,5514831887,1122227,5699,840,381,391997,0 98,2,2024-09-07 09:58:40:770,810227,810227,0,0,31287380,0,4336 98,3,2024-09-07 09:58:40:699,1,642,1,0,840,9316,642,0 99,0,2024-09-07 09:58:41:475,161422,0.3,162301,0.5,323130,0.3,431123,1.75 99,1,2024-09-07 09:58:41:723,1129578,1129578,0,0,529091443700,5503417310,1122833,5778,967,380,392069,0 99,2,2024-09-07 09:58:41:499,811020,811020,0,0,39442391,0,4276 99,3,2024-09-07 09:58:40:585,1,642,12,0,1124,8086,642,0 100,0,2024-09-07 09:58:41:465,165011,0.8,165454,0.9,330617,0.9,441058,2.50 100,1,2024-09-07 09:58:40:556,1122255,1122255,0,0,527340163340,5574355044,1104891,13869,3495,378,391989,0 100,2,2024-09-07 09:58:41:819,809992,809603,389,0,42581179,0,16909 100,3,2024-09-07 09:58:41:731,1,642,4,0,627,10436,642,0 101,0,2024-09-07 09:58:41:695,163617,1.0,159674,1.0,312487,1.0,426548,2.25 101,1,2024-09-07 09:58:40:557,1124871,1124871,0,0,528339051867,5550225921,1109915,12276,2680,368,391847,0 101,2,2024-09-07 09:58:41:764,808675,808675,0,0,42429689,0,4871 101,3,2024-09-07 09:58:40:942,1,642,2,0,1250,9472,642,0 102,0,2024-09-07 09:58:40:954,154536,0.6,159236,0.8,323456,0.6,423159,2.25 102,1,2024-09-07 09:58:41:174,1124294,1124294,0,0,528620251315,5550562509,1109528,12557,2209,369,391984,0 102,2,2024-09-07 09:58:41:737,810096,810042,54,0,36136053,0,6768 102,3,2024-09-07 09:58:41:615,1,642,33,0,466,7173,642,0 103,0,2024-09-07 09:58:41:602,164899,0.5,164924,0.7,310713,0.5,427974,2.00 103,1,2024-09-07 09:58:41:628,1123641,1123641,0,0,526994176364,5562566616,1106108,13919,3614,381,392077,0 103,2,2024-09-07 09:58:40:593,808755,808755,0,0,39370703,0,3766 103,3,2024-09-07 09:58:40:759,1,642,12,0,916,7445,642,0 104,0,2024-09-07 09:58:41:032,164645,0.7,165007,0.9,329029,0.6,440369,2.25 104,1,2024-09-07 09:58:41:600,1125944,1125944,0,0,527988687885,5547553585,1109185,13679,3080,365,392168,0 104,2,2024-09-07 09:58:41:683,810094,810094,0,0,38926509,0,4161 104,3,2024-09-07 09:58:41:418,1,642,9,0,1245,11033,642,0 105,0,2024-09-07 09:58:41:026,159435,0.9,155311,1.0,325730,1.0,427996,2.50 105,1,2024-09-07 09:58:40:554,1127588,1127588,0,0,529854934460,5546884068,1113820,11821,1947,364,392009,0 105,2,2024-09-07 09:58:41:325,813500,813500,0,0,39529060,0,4360 105,3,2024-09-07 09:58:41:309,1,642,13,0,573,9616,642,0 106,0,2024-09-07 09:58:40:967,153062,0.9,156807,1.0,321217,1.1,419209,2.50 106,1,2024-09-07 09:58:41:756,1125771,1125771,0,0,527804607747,5536441444,1110401,13437,1933,368,391914,0 106,2,2024-09-07 09:58:40:756,808712,808712,0,0,36470296,0,3331 106,3,2024-09-07 09:58:40:685,1,642,3,0,1224,8659,642,0 107,0,2024-09-07 09:58:41:110,157627,0.9,157708,0.9,315623,1.0,420874,2.25 107,1,2024-09-07 09:58:40:597,1123814,1123814,0,0,527078747979,5568185398,1105782,15855,2177,381,392234,0 107,2,2024-09-07 09:58:41:295,807046,807045,1,0,40086086,0,5024 107,3,2024-09-07 09:58:41:785,1,642,13,0,733,9592,642,0 108,0,2024-09-07 09:58:41:799,163603,0.4,164281,0.6,327487,0.4,436862,1.75 108,1,2024-09-07 09:58:41:295,1127409,1127409,0,0,529060283061,5514436021,1118567,7844,998,367,391894,0 108,2,2024-09-07 09:58:41:766,812816,812816,0,0,35034095,0,4432 108,3,2024-09-07 09:58:41:342,1,642,18,0,749,11077,642,0 109,0,2024-09-07 09:58:41:763,163673,0.4,162259,0.6,325305,0.3,433939,1.75 109,1,2024-09-07 09:58:40:588,1125168,1125168,0,0,528333672660,5538725298,1115551,8337,1280,382,392132,0 109,2,2024-09-07 09:58:40:932,813934,813934,0,0,35358427,0,3617 109,3,2024-09-07 09:58:41:140,1,642,3,0,630,7531,642,0 110,0,2024-09-07 09:58:41:752,158054,0.4,153730,0.6,321869,0.3,421959,1.75 110,1,2024-09-07 09:58:41:643,1129510,1129510,0,0,530167751755,5500158210,1121357,6223,1930,368,392045,0 110,2,2024-09-07 09:58:41:302,811379,811379,0,0,32777380,0,4067 110,3,2024-09-07 09:58:40:689,1,642,5,0,722,8447,642,0 111,0,2024-09-07 09:58:41:416,158301,0.4,157487,0.5,314709,0.3,419727,1.75 111,1,2024-09-07 09:58:41:000,1130640,1130640,0,0,530758269908,5503641204,1124664,5593,383,380,391690,0 111,2,2024-09-07 09:58:41:117,810966,810966,0,0,33394700,0,4823 111,3,2024-09-07 09:58:40:913,1,642,14,0,379,6932,642,0 112,0,2024-09-07 09:58:40:922,162749,0.3,162323,0.4,325404,0.2,432592,1.50 112,1,2024-09-07 09:58:40:824,1129722,1129722,0,0,529881317659,5498933572,1122506,5991,1225,380,391624,0 112,2,2024-09-07 09:58:41:133,812107,812106,1,0,31905113,0,5036 112,3,2024-09-07 09:58:40:595,1,642,64,0,282,6032,642,0 113,0,2024-09-07 09:58:40:871,164466,0.3,164479,0.5,329460,0.2,438186,1.75 113,1,2024-09-07 09:58:41:692,1132099,1132099,0,0,532131834952,5486928123,1125840,5311,948,365,391664,0 113,2,2024-09-07 09:58:41:306,817761,817761,0,0,29893140,0,3813 113,3,2024-09-07 09:58:40:683,1,642,0,0,510,6694,642,0 114,0,2024-09-07 09:58:40:890,159747,0.3,160715,0.5,320633,0.2,427501,1.75 114,1,2024-09-07 09:58:40:724,1129725,1129725,0,0,529773412821,5497850483,1121619,6359,1747,381,391565,0 114,2,2024-09-07 09:58:40:883,814913,814912,1,0,30939643,0,5069 114,3,2024-09-07 09:58:41:278,1,642,1,0,415,5382,642,0 115,0,2024-09-07 09:58:40:590,159610,0.3,160141,0.4,319011,0.2,424590,1.50 115,1,2024-09-07 09:58:40:579,1130004,1130004,0,0,530297671102,5505216615,1121605,6880,1519,382,391757,0 115,2,2024-09-07 09:58:41:130,812227,812227,0,0,31178253,0,4382 115,3,2024-09-07 09:58:41:008,1,642,33,0,167,3917,642,0 116,0,2024-09-07 09:58:41:711,159611,0.7,159536,0.9,319893,0.7,427472,2.25 116,1,2024-09-07 09:58:40:809,1123140,1123140,0,0,527898619378,5561539660,1109723,10211,3206,380,392089,0 116,2,2024-09-07 09:58:41:759,810934,810934,0,0,38994403,0,4475 116,3,2024-09-07 09:58:40:915,1,642,37,0,448,8131,642,0 117,0,2024-09-07 09:58:40:970,166593,0.7,166106,0.8,332479,0.7,444368,2.00 117,1,2024-09-07 09:58:41:580,1125546,1125546,0,0,528113757488,5528087728,1113311,10654,1581,369,392429,0 117,2,2024-09-07 09:58:41:118,814749,814749,0,0,35330783,0,4303 117,3,2024-09-07 09:58:41:064,1,642,142,0,490,8040,642,0 118,0,2024-09-07 09:58:41:814,155932,0.5,160107,0.7,326830,0.5,427512,2.00 118,1,2024-09-07 09:58:40:593,1124781,1124781,0,0,527245764624,5540189382,1108533,12597,3651,366,392054,0 118,2,2024-09-07 09:58:41:601,813025,813025,0,0,37997222,0,2842 118,3,2024-09-07 09:58:41:769,1,642,3,0,343,7830,642,0 119,0,2024-09-07 09:58:41:338,158382,0.7,158934,0.8,317286,0.8,422952,2.25 119,1,2024-09-07 09:58:40:561,1125714,1125714,0,0,527818112628,5532868450,1110902,12299,2513,367,391857,0 119,2,2024-09-07 09:58:41:266,810626,810626,0,0,35131225,0,4309 119,3,2024-09-07 09:58:41:334,1,642,8,0,1358,11704,642,0 120,0,2024-09-07 09:58:41:607,157700,0.6,157522,0.8,316388,0.6,421980,2.25 120,1,2024-09-07 09:58:40:863,1126666,1126666,0,0,528593837006,5540640141,1114725,10796,1145,367,392144,0 120,2,2024-09-07 09:58:40:775,809952,809949,3,0,42085426,0,5363 120,3,2024-09-07 09:58:41:297,1,642,4,0,478,8038,642,0 121,0,2024-09-07 09:58:41:691,165083,1.0,164534,1.0,329256,1.2,438835,2.25 121,1,2024-09-07 09:58:41:655,1125819,1125819,0,0,528641679534,5531537933,1113643,10338,1838,366,391840,0 121,2,2024-09-07 09:58:41:130,811982,811982,0,0,38774809,0,4157 121,3,2024-09-07 09:58:40:728,1,642,1,0,387,8442,642,0 122,0,2024-09-07 09:58:41:771,161622,0.7,157033,0.9,328890,0.8,432651,2.00 122,1,2024-09-07 09:58:40:868,1125299,1125299,0,0,528486816570,5543610881,1110147,12733,2419,365,392130,0 122,2,2024-09-07 09:58:41:324,815017,814942,75,0,42334092,0,5989 122,3,2024-09-07 09:58:40:601,1,642,1,0,512,9977,642,0 123,0,2024-09-07 09:58:40:990,157714,0.8,153542,0.9,321859,0.9,420900,2.25 123,1,2024-09-07 09:58:40:574,1125735,1125735,0,0,528204632469,5553759318,1107773,15089,2873,369,392039,0 123,2,2024-09-07 09:58:41:026,808256,808255,1,0,36029080,0,5215 123,3,2024-09-07 09:58:41:138,1,642,8,0,478,7186,642,0 124,0,2024-09-07 09:58:40:928,161540,0.3,161602,0.5,304543,0.3,419498,1.75 124,1,2024-09-07 09:58:41:024,1129725,1129725,0,0,529544802928,5502870678,1121561,6972,1192,365,392178,0 124,2,2024-09-07 09:58:41:010,812984,812931,53,0,32635564,0,6487 124,3,2024-09-07 09:58:40:759,1,642,4,0,490,6297,642,0 125,0,2024-09-07 09:58:41:422,162821,0.4,162697,0.5,326235,0.3,435018,1.75 125,1,2024-09-07 09:58:40:857,1126748,1126748,0,0,528909875224,5519350790,1118036,7455,1257,382,392045,0 125,2,2024-09-07 09:58:41:121,814433,814433,0,0,33011806,0,4534 125,3,2024-09-07 09:58:41:131,1,642,45,0,709,7338,642,0 126,0,2024-09-07 09:58:41:471,164064,0.4,168785,0.5,322661,0.3,436905,1.75 126,1,2024-09-07 09:58:40:578,1130795,1130795,0,0,529938224010,5483950632,1124962,5377,456,365,391987,0 126,2,2024-09-07 09:58:40:618,817262,817262,0,0,34236080,0,4539 126,3,2024-09-07 09:58:40:938,1,642,15,0,268,6860,642,0 127,0,2024-09-07 09:58:41:591,158909,0.3,159001,0.5,317417,0.3,421924,1.75 127,1,2024-09-07 09:58:40:574,1128613,1128613,0,0,529394213723,5505882478,1117518,9445,1650,364,392187,0 127,2,2024-09-07 09:58:40:642,812070,812066,4,0,32209110,0,5305 127,3,2024-09-07 09:58:41:277,1,642,10,0,968,6185,642,0 128,0,2024-09-07 09:58:41:525,158932,0.3,159065,0.4,317875,0.2,422161,1.50 128,1,2024-09-07 09:58:41:605,1128078,1128078,0,0,529206182923,5502550628,1119392,7721,965,367,392423,0 128,2,2024-09-07 09:58:41:391,812651,812651,0,0,29947485,0,3171 128,3,2024-09-07 09:58:40:774,1,642,18,0,1082,9825,642,0 129,0,2024-09-07 09:58:40:991,162776,0.3,161898,0.5,324611,0.3,431700,1.50 129,1,2024-09-07 09:58:40:579,1124925,1124925,0,0,528503829833,5536278519,1113985,8918,2022,379,391962,0 129,2,2024-09-07 09:58:40:691,813305,813301,4,0,32411787,0,5335 129,3,2024-09-07 09:58:40:693,1,642,91,0,506,8576,642,0 130,0,2024-09-07 09:58:41:721,166167,0.4,165914,0.6,332215,0.4,442038,1.75 130,1,2024-09-07 09:58:40:592,1129805,1129805,0,0,530008642796,5501395159,1124337,5065,403,381,391825,0 130,2,2024-09-07 09:58:41:137,814472,814472,0,0,32789304,0,4067 130,3,2024-09-07 09:58:41:293,1,642,8,0,960,8463,642,0 131,0,2024-09-07 09:58:42:072,160206,0.3,160669,0.5,322298,0.3,428111,1.75 131,1,2024-09-07 09:58:41:839,1129103,1129103,0,0,529607370672,5516991801,1121697,6208,1198,381,391865,0 131,2,2024-09-07 09:58:40:587,814306,814306,0,0,30406134,0,3979 131,3,2024-09-07 09:58:41:706,1,642,26,0,392,8056,642,0 132,0,2024-09-07 09:58:41:409,159213,0.5,160173,0.6,318901,0.4,424447,2.00 132,1,2024-09-07 09:58:40:578,1124352,1124352,0,0,527521553880,5551035514,1108333,13242,2777,381,392532,0 132,2,2024-09-07 09:58:40:697,809384,809367,17,0,39525095,0,6451 132,3,2024-09-07 09:58:41:688,1,642,1,0,1298,11271,642,0 133,0,2024-09-07 09:58:41:539,155689,0.4,159294,0.6,326678,0.4,425459,2.00 133,1,2024-09-07 09:58:40:593,1124382,1124382,0,0,527231176447,5553020173,1109474,12978,1930,383,391914,0 133,2,2024-09-07 09:58:41:104,811727,811677,50,0,40345986,0,6861 133,3,2024-09-07 09:58:41:297,1,642,2,0,528,7699,642,0 134,0,2024-09-07 09:58:41:005,166212,0.5,166292,0.7,332390,0.5,442830,2.00 134,1,2024-09-07 09:58:40:598,1125382,1125382,0,0,528229566786,5542002110,1110313,11895,3174,366,391781,0 134,2,2024-09-07 09:58:41:765,812340,812316,24,0,37446740,0,6207 134,3,2024-09-07 09:58:40:755,1,642,18,0,739,7903,642,0 135,0,2024-09-07 09:58:41:138,156157,0.7,156169,0.8,331567,0.8,427376,2.25 135,1,2024-09-07 09:58:41:604,1125230,1125230,0,0,528641943507,5557635355,1111170,12213,1847,380,391974,0 135,2,2024-09-07 09:58:40:725,815916,815916,0,0,38559998,0,4503 135,3,2024-09-07 09:58:41:067,1,642,22,0,900,6131,642,0 136,0,2024-09-07 09:58:41:618,158951,0.6,159373,0.8,316613,0.6,422493,2.00 136,1,2024-09-07 09:58:41:441,1126524,1126524,0,0,528829744646,5538642847,1114524,10608,1392,381,392135,0 136,2,2024-09-07 09:58:41:134,811242,811227,15,0,37676673,0,6007 136,3,2024-09-07 09:58:41:108,1,642,5,0,637,7350,642,0 137,0,2024-09-07 09:58:40:935,162964,0.6,158926,0.7,311117,0.6,422701,2.00 137,1,2024-09-07 09:58:40:578,1125254,1125254,0,0,528422318069,5539760034,1108189,13649,3416,366,391898,0 137,2,2024-09-07 09:58:41:720,809305,809305,0,0,39007254,0,3185 137,3,2024-09-07 09:58:40:771,1,642,8,0,484,8505,642,0 138,0,2024-09-07 09:58:41:808,163132,0.8,163408,0.9,327436,1.0,435572,2.00 138,1,2024-09-07 09:58:41:691,1125363,1125363,0,0,528627614945,5545843418,1109472,13297,2594,368,391954,0 138,2,2024-09-07 09:58:40:590,811345,811345,0,0,37574445,0,4988 138,3,2024-09-07 09:58:40:615,1,642,1,0,1200,9755,642,0 139,0,2024-09-07 09:58:41:373,161427,0.9,162017,0.9,323678,1.3,432247,2.25 139,1,2024-09-07 09:58:40:727,1121256,1121256,0,0,525815902761,5570983314,1102474,14917,3865,380,392109,0 139,2,2024-09-07 09:58:40:729,809802,809772,30,0,43057025,0,5997 139,3,2024-09-07 09:58:41:674,1,642,18,0,432,7476,642,0 140,0,2024-09-07 09:58:41:588,158592,0.3,157807,0.5,316919,0.2,422231,1.75 140,1,2024-09-07 09:58:41:536,1133360,1133360,0,0,532791284675,5491036615,1127989,4819,552,364,391628,0 140,2,2024-09-07 09:58:40:691,811560,811559,1,0,30144118,0,5036 140,3,2024-09-07 09:58:40:771,1,642,42,0,575,6280,642,0 141,0,2024-09-07 09:58:41:703,157833,0.3,162217,0.5,310173,0.2,419447,1.50 141,1,2024-09-07 09:58:40:863,1130141,1130141,0,0,530139282735,5504042824,1121785,7162,1194,379,391614,0 141,2,2024-09-07 09:58:41:689,811910,811899,11,0,32413040,0,5369 141,3,2024-09-07 09:58:41:047,1,642,134,0,391,7443,642,0 142,0,2024-09-07 09:58:41:306,163070,0.3,162272,0.5,324751,0.2,433610,1.50 142,1,2024-09-07 09:58:40:599,1127960,1127960,0,0,529182295512,5514721186,1120625,6683,652,382,392102,0 142,2,2024-09-07 09:58:41:301,811236,811204,32,0,33048826,0,6028 142,3,2024-09-07 09:58:41:754,1,642,1,0,484,6733,642,0 143,0,2024-09-07 09:58:41:368,164562,0.4,164356,0.5,329769,0.4,438256,1.75 143,1,2024-09-07 09:58:40:556,1130839,1130839,0,0,531512058873,5509768766,1124270,6001,568,367,391900,0 143,2,2024-09-07 09:58:40:781,815870,815870,0,0,33029028,0,3123 143,3,2024-09-07 09:58:41:141,1,642,8,0,462,7520,642,0 144,0,2024-09-07 09:58:41:507,154788,0.6,159307,0.8,324106,0.5,423953,2.00 144,1,2024-09-07 09:58:40:579,1125542,1125542,0,0,527209243943,5529236443,1114714,8832,1996,381,391733,0 144,2,2024-09-07 09:58:41:771,813894,813894,0,0,32097508,0,4443 144,3,2024-09-07 09:58:41:749,1,642,7,0,249,6240,642,0 145,0,2024-09-07 09:58:41:454,153797,0.6,153794,0.8,326470,0.5,420073,2.25 145,1,2024-09-07 09:58:40:554,1124233,1124233,0,0,527689484435,5551607973,1109304,12175,2754,382,391781,0 145,2,2024-09-07 09:58:41:454,808731,808649,82,0,38270153,0,7814 145,3,2024-09-07 09:58:40:921,1,642,19,0,622,8640,642,0 146,0,2024-09-07 09:58:41:598,160149,0.6,159268,0.8,320472,0.6,425994,2.25 146,1,2024-09-07 09:58:41:586,1125472,1125472,0,0,528018909987,5555136762,1107000,13653,4819,367,391770,0 146,2,2024-09-07 09:58:41:694,809553,809547,6,0,36896130,0,5151 146,3,2024-09-07 09:58:41:279,1,642,3,0,1520,10466,642,0 147,0,2024-09-07 09:58:41:719,166521,0.6,166179,0.7,332426,0.6,443375,2.00 147,1,2024-09-07 09:58:41:379,1130002,1130002,0,0,529871791361,5502952346,1121391,7644,967,367,391791,0 147,2,2024-09-07 09:58:41:025,813319,813319,0,0,32845935,0,3359 147,3,2024-09-07 09:58:40:913,1,642,9,0,1626,9906,642,0 0,0,2024-09-07 09:58:51:710,154435,0.5,154433,0.7,327787,0.6,423787,2.00 0,1,2024-09-07 09:58:50:805,1128603,1128603,0,0,529785981431,5542664211,1119760,7890,953,368,391896,0 0,2,2024-09-07 09:58:51:071,812812,812812,0,0,32401035,0,4480 0,3,2024-09-07 09:58:50:974,1,643,1,0,431,8901,643,0 1,0,2024-09-07 09:58:51:756,164956,0.8,163986,0.8,329153,0.9,440133,2.00 1,1,2024-09-07 09:58:50:557,1127175,1127175,0,0,528945899958,5535776637,1117621,8058,1496,370,391859,0 1,2,2024-09-07 09:58:50:646,814581,814581,0,0,31919442,0,3380 1,3,2024-09-07 09:58:51:312,1,643,1,0,269,7776,643,0 2,0,2024-09-07 09:58:51:565,162329,0.6,161871,0.7,323820,0.6,432299,2.00 2,1,2024-09-07 09:58:50:859,1130618,1130618,0,0,531313709884,5520556012,1124423,5243,952,379,391745,0 2,2,2024-09-07 09:58:51:266,817475,817475,0,0,30502027,0,3594 2,3,2024-09-07 09:58:50:693,1,643,1,0,357,5569,643,0 3,0,2024-09-07 09:58:51:749,158427,0.4,158461,0.6,316492,0.4,421633,2.00 3,1,2024-09-07 09:58:51:618,1129634,1129634,0,0,530527541770,5515329229,1121720,7197,717,379,391716,0 3,2,2024-09-07 09:58:51:143,812333,812310,23,0,31315906,0,5851 3,3,2024-09-07 09:58:51:753,1,643,12,0,484,4851,643,0 4,0,2024-09-07 09:58:51:804,152924,0.4,157144,0.5,320405,0.3,419162,1.75 4,1,2024-09-07 09:58:50:610,1124173,1124173,0,0,527872332616,5585866287,1104946,14690,4537,369,391992,0 4,2,2024-09-07 09:58:51:018,809525,809525,0,0,38780524,0,4534 4,3,2024-09-07 09:58:51:027,1,643,1,0,448,8593,643,0 5,0,2024-09-07 09:58:51:387,163511,0.4,163408,0.5,327094,0.4,435309,1.75 5,1,2024-09-07 09:58:50:761,1125578,1125578,0,0,528130532993,5573435412,1108351,13002,4225,367,392005,0 5,2,2024-09-07 09:58:51:836,810480,810480,0,0,37863129,0,3582 5,3,2024-09-07 09:58:51:740,1,643,13,0,457,8618,643,0 6,0,2024-09-07 09:58:50:914,163985,0.5,163428,0.6,327033,0.4,435574,2.00 6,1,2024-09-07 09:58:50:745,1127959,1127959,0,0,529277120843,5524722955,1116517,9852,1590,379,391702,0 6,2,2024-09-07 09:58:51:116,817057,817039,18,0,36319374,0,5535 6,3,2024-09-07 09:58:51:276,1,643,1,0,710,7594,643,0 7,0,2024-09-07 09:58:51:531,157998,0.5,159114,0.7,315759,0.5,420931,2.00 7,1,2024-09-07 09:58:50:853,1125835,1125835,0,0,528785823278,5565621793,1108390,13310,4135,382,391747,0 7,2,2024-09-07 09:58:50:770,811358,811358,0,0,34924152,0,4791 7,3,2024-09-07 09:58:50:852,1,643,8,0,552,7379,643,0 8,0,2024-09-07 09:58:51:422,158756,0.4,158383,0.5,317274,0.3,422222,1.75 8,1,2024-09-07 09:58:51:016,1124946,1124946,0,0,527717778525,5547862215,1107415,13810,3721,366,392853,0 8,2,2024-09-07 09:58:50:805,806834,806832,2,0,41515967,0,5112 8,3,2024-09-07 09:58:50:585,1,643,1,0,772,9897,643,0 9,0,2024-09-07 09:58:51:172,161811,0.4,157255,0.5,329013,0.3,431840,1.75 9,1,2024-09-07 09:58:50:564,1124801,1124801,0,0,528134698090,5569769382,1106276,14424,4101,369,392001,0 9,2,2024-09-07 09:58:51:083,811303,811302,1,0,39417311,0,5281 9,3,2024-09-07 09:58:51:762,1,643,1,0,1273,10629,643,0 10,0,2024-09-07 09:58:51:610,165353,0.4,164715,0.5,330515,0.3,439699,1.75 10,1,2024-09-07 09:58:50:585,1126832,1126832,0,0,528779009432,5548228287,1109999,13325,3508,381,391981,0 10,2,2024-09-07 09:58:50:765,815393,815393,0,0,42193356,0,4713 10,3,2024-09-07 09:58:50:871,1,643,2,0,669,6941,643,0 11,0,2024-09-07 09:58:51:028,160226,0.4,155571,0.6,325503,0.4,428490,1.75 11,1,2024-09-07 09:58:50:571,1129065,1129065,0,0,529678102849,5554048904,1112737,12020,4308,383,391766,0 11,2,2024-09-07 09:58:51:129,813101,813101,0,0,37123781,0,4698 11,3,2024-09-07 09:58:51:298,1,643,8,0,843,7986,643,0 12,0,2024-09-07 09:58:50:950,160407,0.4,160227,0.5,320124,0.3,425661,1.75 12,1,2024-09-07 09:58:50:933,1128701,1128701,0,0,529314041467,5518487562,1119903,7785,1013,370,391960,0 12,2,2024-09-07 09:58:51:542,809747,809747,0,0,35900380,0,4390 12,3,2024-09-07 09:58:51:063,1,643,15,0,386,8243,643,0 13,0,2024-09-07 09:58:51:356,160862,0.4,160939,0.5,321033,0.3,427811,1.75 13,1,2024-09-07 09:58:51:524,1125865,1125865,0,0,528730737716,5553143456,1114840,8633,2392,382,391803,0 13,2,2024-09-07 09:58:50:597,814161,814161,0,0,32395426,0,3287 13,3,2024-09-07 09:58:51:767,1,643,11,0,522,8173,643,0 14,0,2024-09-07 09:58:50:563,166179,0.4,167283,0.6,332344,0.3,442669,1.75 14,1,2024-09-07 09:58:51:561,1133619,1133619,0,0,531851376941,5500361598,1125048,7440,1131,364,391673,0 14,2,2024-09-07 09:58:50:769,814716,814686,30,0,33528572,0,6104 14,3,2024-09-07 09:58:51:115,1,643,1,0,1168,6791,643,0 15,0,2024-09-07 09:58:51:553,161704,0.4,160790,0.6,321974,0.4,429629,1.75 15,1,2024-09-07 09:58:51:611,1130395,1130395,0,0,531046262711,5527677320,1121053,7429,1913,381,391619,0 15,2,2024-09-07 09:58:50:999,817591,817591,0,0,29915734,0,3622 15,3,2024-09-07 09:58:51:406,1,643,15,0,1126,8310,643,0 16,0,2024-09-07 09:58:50:951,158564,0.6,159190,0.7,317775,0.6,422881,2.00 16,1,2024-09-07 09:58:50:563,1130052,1130052,0,0,529923314295,5535396249,1120535,8070,1447,370,392194,0 16,2,2024-09-07 09:58:51:440,810422,810422,0,0,32960022,0,4719 16,3,2024-09-07 09:58:51:146,1,643,5,0,358,7779,643,0 17,0,2024-09-07 09:58:51:765,163025,0.5,158801,0.7,311396,0.5,423277,2.00 17,1,2024-09-07 09:58:50:568,1127879,1127879,0,0,529270502091,5547269955,1117304,8798,1777,368,392075,0 17,2,2024-09-07 09:58:51:666,815175,815174,1,0,34298213,0,5050 17,3,2024-09-07 09:58:50:576,1,643,1,0,518,9393,643,0 18,0,2024-09-07 09:58:50:942,162763,0.6,163859,0.8,326226,0.6,436280,2.25 18,1,2024-09-07 09:58:51:638,1132187,1132187,0,0,531334280197,5507836621,1124973,5955,1259,367,391725,0 18,2,2024-09-07 09:58:51:757,816080,816080,0,0,31013821,0,3541 18,3,2024-09-07 09:58:50:897,1,643,1,0,1059,5728,643,0 19,0,2024-09-07 09:58:51:540,162180,0.6,162641,0.8,324296,0.7,430721,2.00 19,1,2024-09-07 09:58:50:566,1132315,1132315,0,0,531907248022,5509916830,1124180,7000,1135,365,391777,0 19,2,2024-09-07 09:58:51:752,819605,819605,0,0,29051617,0,3988 19,3,2024-09-07 09:58:51:134,1,643,10,0,524,4920,643,0 20,0,2024-09-07 09:58:51:355,158214,0.5,158039,0.7,316138,0.5,421455,2.00 20,1,2024-09-07 09:58:50:567,1128046,1128046,0,0,529475496767,5546353425,1116962,9542,1542,369,391922,0 20,2,2024-09-07 09:58:50:933,811125,811125,0,0,36329587,0,4321 20,3,2024-09-07 09:58:50:589,1,643,10,0,468,9919,643,0 21,0,2024-09-07 09:58:51:163,157762,0.5,157811,0.6,315544,0.4,418614,2.00 21,1,2024-09-07 09:58:51:543,1125693,1125693,0,0,527947964160,5569842721,1108229,13540,3924,368,392016,0 21,2,2024-09-07 09:58:51:079,806023,805546,477,0,46957893,0,17074 21,3,2024-09-07 09:58:51:404,1,643,1,0,713,8853,643,0 22,0,2024-09-07 09:58:51:726,162442,0.4,163077,0.6,325745,0.4,432604,2.00 22,1,2024-09-07 09:58:51:054,1126322,1126322,0,0,528908133609,5563013281,1108092,14678,3552,381,391822,0 22,2,2024-09-07 09:58:50:761,811421,811395,26,0,35204800,0,6328 22,3,2024-09-07 09:58:51:066,1,643,1,0,228,5441,643,0 23,0,2024-09-07 09:58:51:367,164127,0.5,163976,0.7,327950,0.4,436813,2.00 23,1,2024-09-07 09:58:51:003,1127099,1127099,0,0,529313078276,5572307592,1106979,13410,6710,365,391690,0 23,2,2024-09-07 09:58:51:092,816556,816556,0,0,33259663,0,3773 23,3,2024-09-07 09:58:51:753,1,643,8,0,855,9344,643,0 24,0,2024-09-07 09:58:50:816,160654,0.4,159778,0.5,321276,0.4,426225,1.75 24,1,2024-09-07 09:58:50:585,1127146,1127146,0,0,528417939525,5529929710,1116570,8800,1776,367,392269,0 24,2,2024-09-07 09:58:51:084,812698,812695,3,0,39996409,0,6294 24,3,2024-09-07 09:58:51:699,1,643,2,0,468,8325,643,0 25,0,2024-09-07 09:58:51:410,163492,0.4,159190,0.6,312877,0.4,425833,1.75 25,1,2024-09-07 09:58:50:558,1125209,1125209,0,0,527718826238,5572995773,1105671,15632,3906,369,391928,0 25,2,2024-09-07 09:58:51:623,809304,809304,0,0,40473513,0,3978 25,3,2024-09-07 09:58:51:000,1,643,60,0,532,7085,643,0 26,0,2024-09-07 09:58:51:731,159987,0.4,156286,0.6,328036,0.4,427830,1.75 26,1,2024-09-07 09:58:51:542,1128771,1128771,0,0,530446634169,5553694644,1113357,12514,2900,380,391758,0 26,2,2024-09-07 09:58:50:861,812134,812134,0,0,43185366,0,4689 26,3,2024-09-07 09:58:51:712,1,643,6,0,796,7761,643,0 27,0,2024-09-07 09:58:51:727,166386,0.4,167207,0.6,332325,0.4,443139,2.25 27,1,2024-09-07 09:58:51:677,1130310,1130310,0,0,530413448950,5524693048,1119695,8998,1617,381,391626,0 27,2,2024-09-07 09:58:50:867,811278,811213,65,0,37809481,0,5699 27,3,2024-09-07 09:58:51:015,1,643,1,0,564,5390,643,0 28,0,2024-09-07 09:58:51:387,161133,0.4,161385,0.6,322962,0.3,429925,1.75 28,1,2024-09-07 09:58:50:802,1130954,1130954,0,0,531198564962,5534080850,1121773,7280,1901,382,391904,0 28,2,2024-09-07 09:58:51:767,815576,815576,0,0,32825751,0,2915 28,3,2024-09-07 09:58:51:784,1,643,144,0,502,6497,643,0 29,0,2024-09-07 09:58:51:369,163400,0.4,159148,0.6,312157,0.3,425576,1.75 29,1,2024-09-07 09:58:51:563,1133774,1133774,0,0,531955576646,5499299557,1127189,5806,779,367,391809,0 29,2,2024-09-07 09:58:50:861,811217,811217,0,0,31465477,0,4986 29,3,2024-09-07 09:58:50:964,1,643,0,0,459,6728,643,0 30,0,2024-09-07 09:58:51:457,158044,0.5,153948,0.7,322537,0.4,422036,2.00 30,1,2024-09-07 09:58:50:571,1132301,1132301,0,0,531961251273,5518585774,1124056,7274,971,380,391672,0 30,2,2024-09-07 09:58:51:273,813767,813767,0,0,30356668,0,4192 30,3,2024-09-07 09:58:50:582,1,643,0,0,519,6215,643,0 31,0,2024-09-07 09:58:51:759,164876,0.4,165739,0.6,330420,0.4,440709,1.75 31,1,2024-09-07 09:58:50:564,1136585,1136585,0,0,532510076852,5467008217,1129715,5754,1116,356,391712,0 31,2,2024-09-07 09:58:51:275,813420,813420,0,0,34567007,0,4470 31,3,2024-09-07 09:58:51:706,1,643,18,0,239,5590,643,0 32,0,2024-09-07 09:58:51:423,162501,0.3,163513,0.5,325463,0.3,433747,1.75 32,1,2024-09-07 09:58:50:803,1132250,1132250,0,0,530693190744,5512154208,1125839,5691,720,381,391646,0 32,2,2024-09-07 09:58:50:935,818808,818808,0,0,29874196,0,3922 32,3,2024-09-07 09:58:51:017,1,643,1,0,304,5195,643,0 33,0,2024-09-07 09:58:51:503,159126,0.3,158755,0.4,317517,0.2,422576,1.50 33,1,2024-09-07 09:58:50:593,1132897,1132897,0,0,531794967914,5504860999,1124265,7358,1274,368,391730,0 33,2,2024-09-07 09:58:50:761,812138,812103,35,0,32822124,0,7012 33,3,2024-09-07 09:58:50:899,1,643,195,0,329,5620,643,0 34,0,2024-09-07 09:58:50:929,157791,0.3,162215,0.5,310184,0.2,418964,1.75 34,1,2024-09-07 09:58:51:044,1135047,1135047,0,0,533499561355,5486227449,1131833,3102,112,367,391637,0 34,2,2024-09-07 09:58:50:768,813498,813498,0,0,31363530,0,4562 34,3,2024-09-07 09:58:51:698,1,643,0,0,541,5629,643,0 35,0,2024-09-07 09:58:50:859,162722,0.3,163453,0.5,327640,0.2,436070,1.75 35,1,2024-09-07 09:58:51:073,1131170,1131170,0,0,531210224717,5509968780,1122861,6786,1523,382,391769,0 35,2,2024-09-07 09:58:51:582,814069,814069,0,0,35352287,0,4055 35,3,2024-09-07 09:58:50:907,1,643,8,0,466,5958,643,0 36,0,2024-09-07 09:58:51:527,164318,0.5,164545,0.6,328417,0.4,437086,2.00 36,1,2024-09-07 09:58:50:595,1129833,1129833,0,0,530193891688,5533812054,1115895,11692,2246,366,391759,0 36,2,2024-09-07 09:58:51:753,817242,817242,0,0,36722179,0,3875 36,3,2024-09-07 09:58:50:863,1,643,120,0,556,8571,643,0 37,0,2024-09-07 09:58:51:370,157880,0.5,157988,0.7,316170,0.5,421607,2.25 37,1,2024-09-07 09:58:50:585,1129112,1129105,0,7,529913353333,5532481589,1115734,10449,2922,365,391770,0 37,2,2024-09-07 09:58:51:146,809817,809802,15,0,35982741,0,5815 37,3,2024-09-07 09:58:51:785,1,643,5,0,888,8758,643,0 38,0,2024-09-07 09:58:51:435,157534,0.4,152703,0.6,319382,0.4,417970,2.00 38,1,2024-09-07 09:58:51:604,1129082,1129082,0,0,529980677936,5541753202,1112763,13024,3295,368,391821,0 38,2,2024-09-07 09:58:50:761,811457,811410,47,0,36330329,0,6710 38,3,2024-09-07 09:58:50:998,1,643,1,0,689,7505,643,0 39,0,2024-09-07 09:58:51:765,165321,0.5,161615,0.7,315314,0.5,430619,2.00 39,1,2024-09-07 09:58:50:720,1128508,1128508,0,0,529892807698,5553368271,1110173,14147,4188,365,391865,0 39,2,2024-09-07 09:58:51:425,812743,812743,0,0,34798200,0,3391 39,3,2024-09-07 09:58:50:713,1,643,8,0,525,7241,643,0 40,0,2024-09-07 09:58:51:506,163850,0.9,164620,1.0,328531,1.0,438515,2.75 40,1,2024-09-07 09:58:50:579,1129050,1129050,0,0,528957202952,5541921248,1111934,13839,3277,366,391668,0 40,2,2024-09-07 09:58:51:303,812562,812555,7,0,40789495,0,5347 40,3,2024-09-07 09:58:51:145,1,643,0,0,1028,9230,643,0 41,0,2024-09-07 09:58:51:024,159586,1.2,163283,1.1,311472,1.7,423069,3.00 41,1,2024-09-07 09:58:50:772,1128230,1128230,0,0,530123036447,5542551130,1113943,12086,2201,369,391878,0 41,2,2024-09-07 09:58:50:763,810372,810371,1,0,39447757,0,5408 41,3,2024-09-07 09:58:51:682,1,643,1,0,366,6849,643,0 42,0,2024-09-07 09:58:51:474,158283,0.9,157900,1.0,316073,1.0,419732,2.75 42,1,2024-09-07 09:58:51:442,1126029,1126029,0,0,527815221773,5543515672,1108888,14185,2956,380,391675,0 42,2,2024-09-07 09:58:51:134,808973,808972,1,0,40170019,0,5513 42,3,2024-09-07 09:58:51:009,1,643,2,0,892,6217,643,0 43,0,2024-09-07 09:58:50:916,158352,0.8,154142,0.9,323004,0.8,422748,2.25 43,1,2024-09-07 09:58:50:577,1129228,1129228,0,0,529894782050,5536482667,1113554,12928,2746,366,391696,0 43,2,2024-09-07 09:58:51:744,812447,812447,0,0,37647402,0,4723 43,3,2024-09-07 09:58:51:751,1,643,12,0,571,9006,643,0 44,0,2024-09-07 09:58:50:888,166326,0.4,166597,0.6,332437,0.3,443487,1.75 44,1,2024-09-07 09:58:50:566,1132666,1132666,0,0,530660976297,5483451302,1122834,7791,2041,356,391809,0 44,2,2024-09-07 09:58:51:267,812866,812866,0,0,30396329,0,4344 44,3,2024-09-07 09:58:51:093,1,643,1,0,1097,7797,643,0 45,0,2024-09-07 09:58:51:770,159691,0.5,156110,0.7,327802,0.4,429602,2.00 45,1,2024-09-07 09:58:51:011,1131613,1131613,0,0,531968716313,5523863584,1123305,7405,903,382,391917,0 45,2,2024-09-07 09:58:51:268,816887,816887,0,0,30659832,0,3596 45,3,2024-09-07 09:58:50:934,1,643,1,0,531,6029,643,0 46,0,2024-09-07 09:58:50:948,157799,0.5,157327,0.7,315571,0.5,419635,2.00 46,1,2024-09-07 09:58:50:577,1133755,1133755,0,0,531924479604,5496551637,1126089,6677,989,366,391709,0 46,2,2024-09-07 09:58:50:593,812796,812796,0,0,31189190,0,4443 46,3,2024-09-07 09:58:51:131,1,643,1,0,908,7395,643,0 47,0,2024-09-07 09:58:51:100,158658,0.4,158367,0.6,318159,0.3,421595,1.75 47,1,2024-09-07 09:58:50:567,1134670,1134670,0,0,531795800203,5491082414,1128400,5406,864,364,391641,0 47,2,2024-09-07 09:58:50:907,815588,815588,0,0,30924751,0,4477 47,3,2024-09-07 09:58:51:119,1,643,32,0,600,6894,643,0 48,0,2024-09-07 09:58:51:493,164664,0.3,165027,0.4,329189,0.2,438015,1.50 48,1,2024-09-07 09:58:51:022,1131656,1131656,0,0,531119174020,5512405553,1125358,5718,580,381,391710,0 48,2,2024-09-07 09:58:50:699,814655,814655,0,0,28968299,0,3524 48,3,2024-09-07 09:58:50:760,1,643,6,0,339,5567,643,0 49,0,2024-09-07 09:58:51:730,167535,0.3,164026,0.5,319208,0.3,435203,1.75 49,1,2024-09-07 09:58:51:021,1131170,1131170,0,0,530411245601,5514299625,1123686,5788,1696,382,391809,0 49,2,2024-09-07 09:58:51:798,818002,818002,0,0,31108837,0,4426 49,3,2024-09-07 09:58:51:419,1,643,0,0,992,7523,643,0 50,0,2024-09-07 09:58:51:512,158874,0.3,157396,0.5,316979,0.2,421392,1.75 50,1,2024-09-07 09:58:51:010,1133924,1133924,0,0,532623147869,5503063402,1127095,6158,671,368,391691,0 50,2,2024-09-07 09:58:51:066,810531,810531,0,0,28520604,0,4490 50,3,2024-09-07 09:58:51:292,1,643,15,0,617,6312,643,0 51,0,2024-09-07 09:58:51:692,161891,0.3,158432,0.5,308511,0.2,420469,1.75 51,1,2024-09-07 09:58:51:682,1134251,1134251,0,0,534164976692,5504331915,1128428,4742,1081,365,391706,0 51,2,2024-09-07 09:58:51:315,812614,812614,0,0,28452133,0,3337 51,3,2024-09-07 09:58:51:027,1,643,37,0,678,4597,643,0 52,0,2024-09-07 09:58:51:412,163142,0.5,162957,0.6,325838,0.4,433727,2.00 52,1,2024-09-07 09:58:50:577,1129258,1129258,0,0,529821979549,5540880024,1113967,12955,2336,368,391805,0 52,2,2024-09-07 09:58:51:757,809931,809893,38,0,39029569,0,6742 52,3,2024-09-07 09:58:50:674,1,643,1,0,1782,7400,643,0 53,0,2024-09-07 09:58:51:730,163976,0.6,159104,0.8,332897,0.7,435875,2.25 53,1,2024-09-07 09:58:50:812,1127145,1127145,0,0,529791102644,5555384755,1108530,14209,4406,367,391968,0 53,2,2024-09-07 09:58:51:298,817003,817002,1,0,34481541,0,5455 53,3,2024-09-07 09:58:50:697,1,643,9,0,308,5790,643,0 54,0,2024-09-07 09:58:51:617,157864,0.6,158155,0.7,315225,0.5,420612,2.25 54,1,2024-09-07 09:58:50:580,1130748,1130748,0,0,530199389204,5511128633,1119465,9611,1672,366,391810,0 54,2,2024-09-07 09:58:50:867,813313,813281,32,0,38567796,0,6397 54,3,2024-09-07 09:58:50:766,1,643,95,0,676,8155,643,0 55,0,2024-09-07 09:58:51:760,153577,0.6,158438,0.8,321233,0.5,418449,2.50 55,1,2024-09-07 09:58:50:767,1131010,1131010,0,0,530310955073,5514485418,1119332,10210,1468,365,391731,0 55,2,2024-09-07 09:58:50:730,810280,810224,56,0,35622247,0,7239 55,3,2024-09-07 09:58:50:674,1,643,9,0,304,5879,643,0 56,0,2024-09-07 09:58:51:573,163664,1.2,154167,1.1,317866,1.5,426050,2.75 56,1,2024-09-07 09:58:50:570,1124244,1124244,0,0,527910819487,5579538570,1105934,14595,3715,381,391867,0 56,2,2024-09-07 09:58:51:302,811089,810967,122,0,38044752,0,7432 56,3,2024-09-07 09:58:51:069,1,643,1,0,705,7768,643,0 57,0,2024-09-07 09:58:50:938,164619,1.5,164379,1.2,328972,2.0,439354,3.00 57,1,2024-09-07 09:58:50:995,1126656,1126656,0,0,528376909299,5545438007,1111277,12690,2689,366,392032,0 57,2,2024-09-07 09:58:51:316,813490,813490,0,0,40481140,0,4804 57,3,2024-09-07 09:58:51:738,1,643,1,0,455,7190,643,0 58,0,2024-09-07 09:58:50:554,158669,1.0,154132,1.0,322583,1.2,422351,2.75 58,1,2024-09-07 09:58:50:575,1127898,1127895,0,3,529576640180,5552005609,1110474,13119,4302,367,391726,3 58,2,2024-09-07 09:58:51:072,815517,815517,0,0,37349412,0,3483 58,3,2024-09-07 09:58:51:075,1,643,1,0,1043,7036,643,0 59,0,2024-09-07 09:58:51:746,157537,0.9,156896,1.0,313727,0.9,416520,3.00 59,1,2024-09-07 09:58:50:807,1127460,1127460,0,0,529640067450,5565685656,1108557,15069,3834,369,391653,0 59,2,2024-09-07 09:58:50:582,811079,811079,0,0,35338336,0,3727 59,3,2024-09-07 09:58:51:740,1,643,7,0,1015,7727,643,0 60,0,2024-09-07 09:58:51:710,158947,0.5,158951,0.7,318240,0.5,424374,1.75 60,1,2024-09-07 09:58:50:775,1131823,1131823,0,0,531841488851,5527508652,1123134,7526,1163,370,392031,0 60,2,2024-09-07 09:58:51:140,813340,813340,0,0,33307733,0,3811 60,3,2024-09-07 09:58:51:264,1,643,1,0,409,7158,643,0 61,0,2024-09-07 09:58:51:547,165019,0.5,165628,0.7,329697,0.5,440023,2.00 61,1,2024-09-07 09:58:50:774,1129250,1129250,0,0,529675159507,5541711604,1117793,9767,1690,382,392127,0 61,2,2024-09-07 09:58:51:115,814309,814242,67,0,33812755,0,6411 61,3,2024-09-07 09:58:51:692,1,643,1,0,607,8029,643,0 62,0,2024-09-07 09:58:51:712,162919,0.6,167109,0.7,319102,0.6,433631,2.00 62,1,2024-09-07 09:58:51:111,1135777,1135771,0,6,532988990830,5494677505,1130118,5284,369,365,391975,6 62,2,2024-09-07 09:58:51:643,814789,814788,1,0,33905247,0,5555 62,3,2024-09-07 09:58:51:145,1,643,2,0,482,5049,643,0 63,0,2024-09-07 09:58:51:483,159078,0.4,158796,0.6,318232,0.3,422919,1.75 63,1,2024-09-07 09:58:51:035,1132333,1132327,0,6,530786103689,5509626763,1125244,6226,857,381,391800,6 63,2,2024-09-07 09:58:50:764,811411,811411,0,0,32177129,0,4369 63,3,2024-09-07 09:58:51:747,1,643,20,0,667,6447,643,0 64,0,2024-09-07 09:58:51:527,156844,0.5,156780,0.6,313244,0.4,417524,2.00 64,1,2024-09-07 09:58:50:750,1130536,1130536,0,0,531581437557,5531930583,1121118,7439,1979,370,391794,0 64,2,2024-09-07 09:58:51:143,816352,816333,19,0,31124711,0,6121 64,3,2024-09-07 09:58:51:140,1,643,1,0,651,7140,643,0 65,0,2024-09-07 09:58:51:675,162354,0.6,162656,0.7,324949,0.5,433721,2.00 65,1,2024-09-07 09:58:50:859,1129187,1129187,0,0,529304000648,5529115398,1121471,6838,878,381,391901,0 65,2,2024-09-07 09:58:51:698,812910,812910,0,0,37647917,0,3367 65,3,2024-09-07 09:58:51:686,1,643,1,0,782,7828,643,0 66,0,2024-09-07 09:58:51:765,163504,0.5,163059,0.7,326224,0.5,433818,2.00 66,1,2024-09-07 09:58:51:293,1131268,1131268,0,0,531084871104,5522949091,1124456,6068,744,380,391743,0 66,2,2024-09-07 09:58:51:139,819604,819601,3,0,33275640,0,5455 66,3,2024-09-07 09:58:51:079,1,643,1,0,291,5572,643,0 67,0,2024-09-07 09:58:51:413,158598,0.5,158116,0.7,317169,0.5,422152,2.00 67,1,2024-09-07 09:58:50:768,1131737,1131736,0,1,531489257098,5529130496,1124303,6488,945,380,391787,1 67,2,2024-09-07 09:58:50:583,815458,815443,15,0,32982712,0,6205 67,3,2024-09-07 09:58:51:751,1,643,8,0,595,6486,643,0 68,0,2024-09-07 09:58:50:582,158430,0.6,158106,0.7,315112,0.5,421128,2.00 68,1,2024-09-07 09:58:50:570,1126679,1126679,0,0,528288970245,5553608764,1111792,11106,3781,381,391953,0 68,2,2024-09-07 09:58:51:050,808156,808056,100,0,41505054,0,8578 68,3,2024-09-07 09:58:50:728,1,643,12,0,417,7991,643,0 69,0,2024-09-07 09:58:51:748,161103,0.7,161879,0.8,322770,0.7,429178,2.25 69,1,2024-09-07 09:58:51:016,1126051,1126051,0,0,528266028231,5564049099,1111698,11376,2977,383,391994,0 69,2,2024-09-07 09:58:51:749,809719,809690,29,0,45055293,0,6912 69,3,2024-09-07 09:58:50:767,1,643,5,0,698,8856,643,0 70,0,2024-09-07 09:58:51:550,163580,0.8,164261,0.9,329586,0.7,436816,2.50 70,1,2024-09-07 09:58:50:802,1132355,1132355,0,0,531699657813,5515013057,1124081,7459,815,366,391725,0 70,2,2024-09-07 09:58:51:326,815344,815344,0,0,36792437,0,4323 70,3,2024-09-07 09:58:50:745,1,643,34,0,854,6923,643,0 71,0,2024-09-07 09:58:51:364,159350,0.9,158865,1.0,319099,1.1,425354,2.50 71,1,2024-09-07 09:58:51:613,1130204,1130204,0,0,531096794484,5540648026,1116563,12015,1626,367,391738,0 71,2,2024-09-07 09:58:51:067,813275,813275,0,0,36514231,0,4352 71,3,2024-09-07 09:58:51:765,1,643,8,0,644,6992,643,0 72,0,2024-09-07 09:58:51:022,164340,0.5,160563,0.7,313551,0.5,426760,2.00 72,1,2024-09-07 09:58:51:021,1128062,1128062,0,0,529296611110,5549884814,1111956,13420,2686,369,391819,0 72,2,2024-09-07 09:58:51:755,809408,809408,0,0,38427420,0,3983 72,3,2024-09-07 09:58:51:754,1,643,1,0,564,9189,643,0 73,0,2024-09-07 09:58:51:101,155760,0.4,159798,0.6,326671,0.4,425284,2.00 73,1,2024-09-07 09:58:50:779,1130163,1130163,0,0,530828068299,5514773388,1121707,7473,983,367,391858,0 73,2,2024-09-07 09:58:51:739,811620,811619,1,0,40424583,0,5027 73,3,2024-09-07 09:58:50:969,1,643,1,0,1091,8780,643,0 74,0,2024-09-07 09:58:51:324,167275,0.4,171382,0.6,327060,0.4,444336,2.00 74,1,2024-09-07 09:58:50:635,1128932,1128932,0,0,529822195526,5528736127,1116837,9958,2137,381,391762,0 74,2,2024-09-07 09:58:51:002,812740,812740,0,0,35296992,0,4253 74,3,2024-09-07 09:58:51:442,1,643,1,0,522,7587,643,0 75,0,2024-09-07 09:58:51:777,161776,0.5,160842,0.7,322787,0.5,430982,2.25 75,1,2024-09-07 09:58:51:585,1129868,1129868,0,0,531159077592,5533660879,1120506,8430,932,380,391739,0 75,2,2024-09-07 09:58:51:353,814927,814927,0,0,42281380,0,4766 75,3,2024-09-07 09:58:51:070,1,643,1,0,918,8754,643,0 76,0,2024-09-07 09:58:50:578,158179,0.6,157541,0.7,315070,0.5,421304,2.25 76,1,2024-09-07 09:58:50:805,1129741,1129741,0,0,530085864878,5524468098,1122245,6499,997,382,391790,0 76,2,2024-09-07 09:58:51:065,813597,813594,3,0,33983197,0,5265 76,3,2024-09-07 09:58:51:143,1,643,1,0,227,5848,643,0 77,0,2024-09-07 09:58:51:709,157808,0.5,158192,0.7,316590,0.5,420855,2.00 77,1,2024-09-07 09:58:50:844,1129981,1129981,0,0,530872818840,5536549377,1121370,7602,1009,381,391869,0 77,2,2024-09-07 09:58:51:287,811830,811830,0,0,33516755,0,3890 77,3,2024-09-07 09:58:51:094,1,643,1,0,401,7233,643,0 78,0,2024-09-07 09:58:51:731,165059,0.4,164307,0.6,329662,0.4,436532,2.00 78,1,2024-09-07 09:58:50:611,1129526,1129526,0,0,529047767869,5517962603,1115700,10713,3113,367,391670,0 78,2,2024-09-07 09:58:51:404,815050,815037,13,0,31484695,0,8313 78,3,2024-09-07 09:58:51:133,1,643,39,0,311,5289,643,0 79,0,2024-09-07 09:58:51:345,157362,0.4,161140,0.6,330342,0.3,429743,2.00 79,1,2024-09-07 09:58:50:571,1134105,1134105,0,0,531714182129,5501576554,1125799,6879,1427,367,391682,0 79,2,2024-09-07 09:58:51:071,817623,817623,0,0,30438127,0,4195 79,3,2024-09-07 09:58:50:756,1,643,8,0,418,7332,643,0 80,0,2024-09-07 09:58:51:072,158332,0.5,162644,0.7,310706,0.5,420390,2.00 80,1,2024-09-07 09:58:51:631,1130114,1130114,0,0,530520909931,5520220241,1122838,6819,457,368,392269,0 80,2,2024-09-07 09:58:51:094,814017,814017,0,0,30760510,0,4433 80,3,2024-09-07 09:58:50:575,1,643,1,0,681,7769,643,0 81,0,2024-09-07 09:58:51:537,157629,0.5,161293,0.7,308023,0.5,417571,2.00 81,1,2024-09-07 09:58:51:650,1129334,1129334,0,0,529617723670,5530754045,1120897,7655,782,382,391885,0 81,2,2024-09-07 09:58:51:129,811898,811835,63,0,34143014,0,5932 81,3,2024-09-07 09:58:51:117,1,643,10,0,719,7164,643,0 82,0,2024-09-07 09:58:51:538,162598,0.4,162668,0.6,325953,0.4,432781,2.00 82,1,2024-09-07 09:58:50:587,1131138,1131134,0,4,530574047645,5512467498,1125517,4792,825,381,391768,4 82,2,2024-09-07 09:58:51:696,815441,815441,0,0,29109088,0,4484 82,3,2024-09-07 09:58:51:752,1,643,49,0,363,5879,643,0 83,0,2024-09-07 09:58:51:538,164569,0.6,164398,0.7,328480,0.6,436218,2.00 83,1,2024-09-07 09:58:50:551,1129996,1129996,0,0,529977238115,5520922477,1122340,7011,645,382,391709,0 83,2,2024-09-07 09:58:50:766,817279,817254,25,0,31322413,0,5612 83,3,2024-09-07 09:58:50:755,1,643,9,0,1260,7412,643,0 84,0,2024-09-07 09:58:51:778,158251,0.7,158310,0.9,316483,0.7,422377,2.25 84,1,2024-09-07 09:58:51:041,1127979,1127979,0,0,529802162463,5540243610,1115090,11006,1883,367,391967,0 84,2,2024-09-07 09:58:50:571,811707,811297,410,0,45037665,0,17037 84,3,2024-09-07 09:58:51:144,1,643,1,0,908,8638,643,0 85,0,2024-09-07 09:58:51:022,152972,0.6,152884,0.8,324519,0.6,418849,2.25 85,1,2024-09-07 09:58:50:563,1124994,1124994,0,0,528777646668,5577318721,1108446,13745,2803,381,392092,0 85,2,2024-09-07 09:58:50:867,810661,810661,0,0,38449243,0,4255 85,3,2024-09-07 09:58:50:715,1,643,1,0,789,7192,643,0 86,0,2024-09-07 09:58:50:960,160199,0.7,164705,0.8,315106,0.7,426425,2.25 86,1,2024-09-07 09:58:50:833,1127881,1127881,0,0,529555532365,5551963311,1113116,11969,2796,366,392169,0 86,2,2024-09-07 09:58:50:855,811658,811657,1,0,41280291,0,5004 86,3,2024-09-07 09:58:50:586,1,643,1,0,308,8804,643,0 87,0,2024-09-07 09:58:51:316,166698,0.8,165700,0.8,331861,1.0,443174,2.25 87,1,2024-09-07 09:58:50:550,1127158,1127158,0,0,529350238928,5541712462,1113474,11881,1803,366,392076,0 87,2,2024-09-07 09:58:51:066,813265,813259,6,0,37955441,0,6323 87,3,2024-09-07 09:58:51:795,1,643,9,0,473,8945,643,0 88,0,2024-09-07 09:58:51:446,161032,0.4,161570,0.6,322792,0.4,429829,1.75 88,1,2024-09-07 09:58:50:569,1126730,1126730,0,0,528371830757,5536752598,1110872,12809,3049,365,392084,0 88,2,2024-09-07 09:58:50:688,814603,814603,0,0,39915782,0,4465 88,3,2024-09-07 09:58:51:275,1,643,307,0,1080,10220,643,0 89,0,2024-09-07 09:58:51:864,163334,0.4,158436,0.6,312591,0.4,425107,1.75 89,1,2024-09-07 09:58:50:552,1125711,1125711,0,0,529324528686,5565389169,1111941,11595,2175,382,391866,0 89,2,2024-09-07 09:58:51:132,810800,810800,0,0,37632947,0,3173 89,3,2024-09-07 09:58:51:793,1,643,34,0,468,10401,643,0 90,0,2024-09-07 09:58:51:624,154217,0.4,158391,0.6,323177,0.4,422210,2.00 90,1,2024-09-07 09:58:50:590,1127910,1127910,0,0,528989186348,5540676224,1116827,10050,1033,380,391825,0 90,2,2024-09-07 09:58:51:406,808696,808691,5,0,41201252,0,6370 90,3,2024-09-07 09:58:50:930,1,643,2,0,364,8272,643,0 91,0,2024-09-07 09:58:51:028,165499,0.4,160599,0.6,336077,0.4,440754,1.75 91,1,2024-09-07 09:58:50:560,1124842,1124842,0,0,528430536260,5572149920,1107255,13629,3958,381,392047,0 91,2,2024-09-07 09:58:51:335,813398,813398,0,0,36574746,0,4713 91,3,2024-09-07 09:58:50:611,1,643,15,0,231,6043,643,0 92,0,2024-09-07 09:58:51:476,163999,0.4,167689,0.6,319635,0.4,433793,1.75 92,1,2024-09-07 09:58:50:587,1129297,1129297,0,0,530950051476,5541709398,1120872,7136,1289,381,392136,0 92,2,2024-09-07 09:58:51:350,816768,816768,0,0,32424250,0,3906 92,3,2024-09-07 09:58:51:016,1,643,5,0,167,5404,643,0 93,0,2024-09-07 09:58:50:964,159558,0.4,163487,0.6,312285,0.3,422849,1.75 93,1,2024-09-07 09:58:50:804,1130227,1130227,0,0,529752893135,5528144578,1117835,10436,1956,365,392048,0 93,2,2024-09-07 09:58:50:930,811166,811166,0,0,37799956,0,4913 93,3,2024-09-07 09:58:51:410,1,643,18,0,375,6703,643,0 94,0,2024-09-07 09:58:51:626,156898,0.4,158059,0.5,315928,0.3,419837,1.75 94,1,2024-09-07 09:58:50:567,1130440,1130440,0,0,530156664589,5525261675,1123269,6734,437,381,391850,0 94,2,2024-09-07 09:58:50:762,812537,812509,28,0,32593512,0,6179 94,3,2024-09-07 09:58:51:691,1,643,56,0,576,7825,643,0 95,0,2024-09-07 09:58:51:385,163495,0.3,163487,0.5,327144,0.3,435688,1.75 95,1,2024-09-07 09:58:50:851,1130919,1130919,0,0,531241006738,5518179540,1122167,7990,762,365,391852,0 95,2,2024-09-07 09:58:51:023,812681,812681,0,0,32592044,0,3308 95,3,2024-09-07 09:58:51:716,1,643,11,0,718,9882,643,0 96,0,2024-09-07 09:58:51:217,163996,0.3,164241,0.5,328830,0.3,436525,1.75 96,1,2024-09-07 09:58:51:603,1129161,1129161,0,0,530069213518,5526449004,1121433,6443,1285,384,391964,0 96,2,2024-09-07 09:58:51:289,818465,818465,0,0,33255154,0,4180 96,3,2024-09-07 09:58:51:151,1,643,2,0,411,7144,643,0 97,0,2024-09-07 09:58:51:327,158585,0.3,158615,0.5,317845,0.3,421974,1.50 97,1,2024-09-07 09:58:50:779,1131405,1131405,0,0,531224295614,5509032483,1124418,5943,1044,367,392140,0 97,2,2024-09-07 09:58:50:610,813726,813726,0,0,32634834,0,4046 97,3,2024-09-07 09:58:50:582,1,643,11,0,242,7199,643,0 98,0,2024-09-07 09:58:51:735,158011,0.3,157945,0.4,317346,0.2,421416,1.50 98,1,2024-09-07 09:58:50:570,1130382,1130382,0,0,530581381723,5523636108,1123839,5703,840,381,391997,0 98,2,2024-09-07 09:58:50:772,811665,811665,0,0,31362495,0,4336 98,3,2024-09-07 09:58:50:711,1,643,2,0,840,9318,643,0 99,0,2024-09-07 09:58:51:465,161736,0.3,162628,0.5,323871,0.3,432054,1.75 99,1,2024-09-07 09:58:51:725,1131409,1131409,0,0,530072305015,5513427143,1124664,5778,967,380,392069,0 99,2,2024-09-07 09:58:51:420,812512,812512,0,0,39795099,0,4276 99,3,2024-09-07 09:58:50:582,1,643,9,0,1124,8095,643,0 100,0,2024-09-07 09:58:51:479,165100,0.8,165569,0.9,330809,0.9,441290,2.50 100,1,2024-09-07 09:58:50:553,1124006,1124006,0,0,528255449724,5583738605,1106642,13869,3495,378,391989,0 100,2,2024-09-07 09:58:51:817,811280,810891,389,0,42617474,0,16909 100,3,2024-09-07 09:58:51:737,1,643,3,0,627,10439,643,0 101,0,2024-09-07 09:58:51:724,163864,1.0,159872,1.0,312918,1.0,427143,2.25 101,1,2024-09-07 09:58:50:551,1126449,1126449,0,0,529062621910,5557821940,1111489,12280,2680,368,391847,0 101,2,2024-09-07 09:58:51:763,809484,809484,0,0,42456808,0,4871 101,3,2024-09-07 09:58:50:947,1,643,7,0,1250,9479,643,0 102,0,2024-09-07 09:58:50:942,154889,0.6,159576,0.8,324119,0.6,424030,2.25 102,1,2024-09-07 09:58:51:147,1126130,1126130,0,0,529374540616,5558520576,1111358,12563,2209,369,391984,0 102,2,2024-09-07 09:58:51:740,811620,811566,54,0,36245544,0,6768 102,3,2024-09-07 09:58:51:614,1,643,2,0,466,7175,643,0 103,0,2024-09-07 09:58:51:593,165217,0.5,165205,0.7,311211,0.5,428649,2.00 103,1,2024-09-07 09:58:51:651,1125344,1125344,0,0,527628814557,5569601405,1107774,13956,3614,381,392077,0 103,2,2024-09-07 09:58:50:583,810177,810177,0,0,39432882,0,3766 103,3,2024-09-07 09:58:50:756,1,643,9,0,916,7454,643,0 104,0,2024-09-07 09:58:51:151,165026,0.7,165495,0.9,329724,0.7,441324,2.25 104,1,2024-09-07 09:58:51:601,1127281,1127281,0,0,528608652106,5554237091,1110504,13696,3081,365,392168,0 104,2,2024-09-07 09:58:51:666,811191,811191,0,0,38967279,0,4161 104,3,2024-09-07 09:58:51:419,1,643,1,0,1245,11034,643,0 105,0,2024-09-07 09:58:51:041,159740,0.9,155640,1.0,326331,1.0,428654,2.50 105,1,2024-09-07 09:58:50:557,1129370,1129370,0,0,530700761308,5555957089,1115565,11858,1947,364,392009,0 105,2,2024-09-07 09:58:51:326,814711,814711,0,0,39626029,0,4360 105,3,2024-09-07 09:58:51:305,1,643,6,0,573,9622,643,0 106,0,2024-09-07 09:58:50:939,153194,0.9,156965,1.0,321529,1.1,419588,2.50 106,1,2024-09-07 09:58:51:763,1127516,1127516,0,0,528724569277,5546080377,1112146,13437,1933,368,391914,0 106,2,2024-09-07 09:58:50:764,810130,810130,0,0,36525898,0,3331 106,3,2024-09-07 09:58:50:681,1,643,5,0,1224,8664,643,0 107,0,2024-09-07 09:58:51:107,157885,0.9,157951,0.9,316123,1.0,421441,2.25 107,1,2024-09-07 09:58:50:586,1125537,1125537,0,0,527838799932,5576657708,1107467,15893,2177,381,392234,0 107,2,2024-09-07 09:58:51:290,808285,808284,1,0,40204061,0,5024 107,3,2024-09-07 09:58:51:756,1,643,9,0,733,9601,643,0 108,0,2024-09-07 09:58:51:822,163962,0.4,164692,0.6,328265,0.4,437902,1.75 108,1,2024-09-07 09:58:51:303,1129149,1129149,0,0,529915129191,5524448112,1120094,8055,1000,367,391894,0 108,2,2024-09-07 09:58:51:763,813855,813855,0,0,35491554,0,4432 108,3,2024-09-07 09:58:51:549,1,643,3,0,749,11080,643,0 109,0,2024-09-07 09:58:51:765,163857,0.4,162450,0.6,325624,0.3,434415,1.75 109,1,2024-09-07 09:58:50:588,1126852,1126852,0,0,529181451919,5549918801,1116695,8657,1500,382,392132,0 109,2,2024-09-07 09:58:50:941,815155,815155,0,0,35491986,0,3617 109,3,2024-09-07 09:58:51:156,1,643,1,0,630,7532,643,0 110,0,2024-09-07 09:58:51:756,158140,0.4,153821,0.6,322063,0.3,422216,1.75 110,1,2024-09-07 09:58:51:645,1131215,1131215,0,0,531112613370,5511131463,1122819,6441,1955,368,392045,0 110,2,2024-09-07 09:58:51:303,812358,812358,0,0,33113322,0,4067 110,3,2024-09-07 09:58:50:724,1,643,21,0,722,8468,643,0 111,0,2024-09-07 09:58:51:412,158406,0.4,157600,0.5,314926,0.3,420054,1.75 111,1,2024-09-07 09:58:51:000,1132468,1132468,0,0,531695672319,5514057678,1126383,5702,383,380,391690,0 111,2,2024-09-07 09:58:51:116,812211,812211,0,0,33558228,0,4823 111,3,2024-09-07 09:58:50:913,1,643,14,0,379,6946,643,0 112,0,2024-09-07 09:58:50:960,163235,0.3,162819,0.4,326445,0.2,433992,1.50 112,1,2024-09-07 09:58:50:837,1131236,1131236,0,0,530573730598,5506178251,1124013,5998,1225,380,391624,0 112,2,2024-09-07 09:58:51:134,813531,813530,1,0,31955329,0,5036 112,3,2024-09-07 09:58:50:601,1,643,15,0,282,6047,643,0 113,0,2024-09-07 09:58:50:881,164595,0.3,164595,0.5,329688,0.2,438473,1.75 113,1,2024-09-07 09:58:51:685,1133963,1133963,0,0,532869674542,5494529866,1127704,5311,948,365,391664,0 113,2,2024-09-07 09:58:51:304,819198,819198,0,0,29926243,0,3813 113,3,2024-09-07 09:58:50:695,1,643,3,0,510,6697,643,0 114,0,2024-09-07 09:58:50:875,159829,0.3,160811,0.5,320836,0.2,427746,1.75 114,1,2024-09-07 09:58:50:719,1131447,1131447,0,0,530356340080,5504218761,1123318,6381,1748,381,391565,0 114,2,2024-09-07 09:58:50:875,815542,815541,1,0,30992968,0,5069 114,3,2024-09-07 09:58:51:278,1,643,0,0,415,5382,643,0 115,0,2024-09-07 09:58:50:554,159806,0.3,160344,0.4,319370,0.2,425091,1.50 115,1,2024-09-07 09:58:50:571,1131772,1131772,0,0,531298767694,5515430078,1123373,6880,1519,382,391757,0 115,2,2024-09-07 09:58:51:129,813653,813653,0,0,31224383,0,4382 115,3,2024-09-07 09:58:51:002,1,643,8,0,167,3925,643,0 116,0,2024-09-07 09:58:51:708,160015,0.7,159952,0.9,320746,0.7,428693,2.00 116,1,2024-09-07 09:58:51:030,1125038,1125038,0,0,528831850580,5571177458,1111619,10213,3206,380,392089,0 116,2,2024-09-07 09:58:51:752,812384,812384,0,0,39075928,0,4475 116,3,2024-09-07 09:58:50:913,1,643,13,0,448,8144,643,0 117,0,2024-09-07 09:58:50:959,166751,0.7,166246,0.8,332777,0.7,444653,2.00 117,1,2024-09-07 09:58:51:596,1127380,1127380,0,0,529108419356,5538264147,1115145,10654,1581,369,392429,0 117,2,2024-09-07 09:58:51:118,815784,815784,0,0,35448490,0,4303 117,3,2024-09-07 09:58:51:059,1,643,10,0,490,8050,643,0 118,0,2024-09-07 09:58:51:774,156220,0.5,160423,0.7,327429,0.5,428328,2.00 118,1,2024-09-07 09:58:50:585,1126489,1126489,0,0,528088049282,5548884299,1110241,12597,3651,366,392054,0 118,2,2024-09-07 09:58:51:589,814125,814125,0,0,38050260,0,2842 118,3,2024-09-07 09:58:51:768,1,643,2,0,343,7832,643,0 119,0,2024-09-07 09:58:51:375,158592,0.7,159134,0.8,317734,0.8,423525,2.25 119,1,2024-09-07 09:58:50:548,1127477,1127477,0,0,528813343328,5543088194,1112664,12300,2513,367,391857,0 119,2,2024-09-07 09:58:51:268,812135,812135,0,0,35168926,0,4309 119,3,2024-09-07 09:58:51:326,1,643,8,0,1358,11712,643,0 120,0,2024-09-07 09:58:51:545,158162,0.6,157934,0.8,317291,0.6,423059,2.25 120,1,2024-09-07 09:58:50:890,1128368,1128368,0,0,529364767914,5549046075,1116379,10844,1145,367,392144,0 120,2,2024-09-07 09:58:50:775,811295,811292,3,0,42153140,0,5363 120,3,2024-09-07 09:58:51:307,1,643,6,0,478,8044,643,0 121,0,2024-09-07 09:58:51:717,165351,1.0,164796,1.0,329806,1.2,439567,2.25 121,1,2024-09-07 09:58:51:658,1127547,1127547,0,0,529474404144,5540533297,1115301,10408,1838,366,391840,0 121,2,2024-09-07 09:58:51:135,813081,813081,0,0,38806295,0,4157 121,3,2024-09-07 09:58:50:729,1,643,1,0,387,8443,643,0 122,0,2024-09-07 09:58:51:764,161926,0.7,157331,0.9,329593,0.8,433532,2.00 122,1,2024-09-07 09:58:50:895,1127019,1127019,0,0,529100723432,5550047127,1111865,12735,2419,365,392130,0 122,2,2024-09-07 09:58:51:323,816387,816312,75,0,42385978,0,5989 122,3,2024-09-07 09:58:50:594,1,643,1,0,512,9978,643,0 123,0,2024-09-07 09:58:50:965,157896,0.8,153708,0.9,322222,0.9,421401,2.25 123,1,2024-09-07 09:58:50:557,1127434,1127434,0,0,528982438342,5561847933,1109472,15089,2873,369,392039,0 123,2,2024-09-07 09:58:51:021,809536,809535,1,0,36101695,0,5215 123,3,2024-09-07 09:58:51:142,1,643,13,0,478,7199,643,0 124,0,2024-09-07 09:58:50:919,161874,0.3,161948,0.5,305189,0.3,420404,1.75 124,1,2024-09-07 09:58:51:022,1131509,1131509,0,0,530354614649,5511204975,1123344,6973,1192,365,392178,0 124,2,2024-09-07 09:58:51:011,814062,814009,53,0,33234652,0,6487 124,3,2024-09-07 09:58:50:761,1,643,1,0,490,6298,643,0 125,0,2024-09-07 09:58:51:428,163255,0.4,163124,0.5,327123,0.3,436054,1.75 125,1,2024-09-07 09:58:50:855,1128393,1128393,0,0,529694883698,5528009435,1119658,7478,1257,382,392045,0 125,2,2024-09-07 09:58:51:135,815819,815819,0,0,33127236,0,4534 125,3,2024-09-07 09:58:51:134,1,643,2,0,709,7340,643,0 126,0,2024-09-07 09:58:51:428,164167,0.4,168898,0.5,322887,0.3,437215,1.75 126,1,2024-09-07 09:58:50:551,1132466,1132466,0,0,530763050476,5493187962,1126494,5515,457,365,391987,0 126,2,2024-09-07 09:58:50:610,818623,818623,0,0,34371871,0,4539 126,3,2024-09-07 09:58:50:907,1,643,36,0,268,6896,643,0 127,0,2024-09-07 09:58:51:605,159037,0.3,159127,0.5,317669,0.3,422253,1.75 127,1,2024-09-07 09:58:50:569,1130352,1130352,0,0,530293731413,5515118194,1119257,9445,1650,364,392187,0 127,2,2024-09-07 09:58:50:637,812899,812895,4,0,32439286,0,5305 127,3,2024-09-07 09:58:51:266,1,643,8,0,968,6193,643,0 128,0,2024-09-07 09:58:51:527,158940,0.3,159082,0.4,317904,0.2,422161,1.50 128,1,2024-09-07 09:58:51:616,1129822,1129822,0,0,530303703677,5514166314,1121074,7783,965,367,392423,0 128,2,2024-09-07 09:58:51:390,814147,814147,0,0,30008024,0,3171 128,3,2024-09-07 09:58:50:766,1,643,15,0,1082,9840,643,0 129,0,2024-09-07 09:58:51:077,163112,0.3,162216,0.5,325285,0.3,432626,1.50 129,1,2024-09-07 09:58:50:567,1126796,1126796,0,0,529396532506,5545926981,1115846,8928,2022,379,391962,0 129,2,2024-09-07 09:58:50:697,814776,814772,4,0,32491037,0,5335 129,3,2024-09-07 09:58:50:716,1,643,8,0,506,8584,643,0 130,0,2024-09-07 09:58:51:730,166265,0.4,166014,0.6,332387,0.4,442263,1.75 130,1,2024-09-07 09:58:50:582,1131683,1131683,0,0,530731299137,5508946125,1126215,5065,403,381,391825,0 130,2,2024-09-07 09:58:51:130,815827,815827,0,0,32826600,0,4067 130,3,2024-09-07 09:58:51:297,1,643,2,0,960,8465,643,0 131,0,2024-09-07 09:58:51:934,160433,0.3,160894,0.5,322721,0.3,428700,1.50 131,1,2024-09-07 09:58:51:827,1130879,1130879,0,0,530421507724,5526351455,1123272,6409,1198,381,391865,0 131,2,2024-09-07 09:58:50:568,815056,815056,0,0,30436688,0,3979 131,3,2024-09-07 09:58:51:698,1,643,8,0,392,8064,643,0 132,0,2024-09-07 09:58:51:417,159542,0.5,160512,0.6,319492,0.4,425319,2.00 132,1,2024-09-07 09:58:50:578,1126160,1126160,0,0,528538521167,5561722325,1110132,13250,2778,381,392532,0 132,2,2024-09-07 09:58:50:698,810964,810947,17,0,39612771,0,6451 132,3,2024-09-07 09:58:51:696,1,643,4,0,1298,11275,643,0 133,0,2024-09-07 09:58:51:548,155946,0.4,159597,0.6,327263,0.4,426212,2.00 133,1,2024-09-07 09:58:50:583,1126070,1126070,0,0,528028747319,5561501362,1111158,12982,1930,383,391914,0 133,2,2024-09-07 09:58:51:088,813230,813180,50,0,40417396,0,6861 133,3,2024-09-07 09:58:51:297,1,643,2,0,528,7701,643,0 134,0,2024-09-07 09:58:50:949,166676,0.5,166756,0.7,333364,0.5,444576,2.00 134,1,2024-09-07 09:58:50:584,1127134,1127134,0,0,528942426030,5549798797,1112045,11915,3174,366,391781,0 134,2,2024-09-07 09:58:51:768,813535,813511,24,0,37498992,0,6207 134,3,2024-09-07 09:58:50:755,1,643,1,0,739,7904,643,0 135,0,2024-09-07 09:58:51:119,156464,0.7,156488,0.8,332210,0.8,428236,2.25 135,1,2024-09-07 09:58:51:586,1127014,1127014,0,0,529475968011,5566247584,1112953,12214,1847,380,391974,0 135,2,2024-09-07 09:58:50:697,817023,817023,0,0,38625804,0,4503 135,3,2024-09-07 09:58:51:002,1,643,13,0,900,6144,643,0 136,0,2024-09-07 09:58:51:621,159088,0.6,159530,0.8,316945,0.6,422903,2.00 136,1,2024-09-07 09:58:51:443,1128256,1128256,0,0,529891549845,5549537818,1116256,10608,1392,381,392135,0 136,2,2024-09-07 09:58:51:140,812556,812541,15,0,37789197,0,6007 136,3,2024-09-07 09:58:51:107,1,643,4,0,637,7354,643,0 137,0,2024-09-07 09:58:50:921,163202,0.6,159161,0.7,311559,0.6,423302,2.00 137,1,2024-09-07 09:58:50:575,1127279,1127279,0,0,529429953019,5550398505,1110176,13687,3416,366,391898,0 137,2,2024-09-07 09:58:51:771,810653,810653,0,0,39121447,0,3185 137,3,2024-09-07 09:58:50:771,1,643,1,0,484,8506,643,0 138,0,2024-09-07 09:58:51:753,163502,0.8,163803,0.9,328192,1.0,436445,2.00 138,1,2024-09-07 09:58:51:687,1127268,1127268,0,0,529515080760,5555935439,1111193,13439,2636,368,391954,0 138,2,2024-09-07 09:58:50:586,812533,812533,0,0,37649400,0,4988 138,3,2024-09-07 09:58:50:609,1,643,1,0,1200,9756,643,0 139,0,2024-09-07 09:58:51:359,161587,0.9,162186,0.9,324025,1.3,432676,2.25 139,1,2024-09-07 09:58:50:582,1123051,1123051,0,0,526718086172,5580969952,1104190,14995,3866,380,392109,0 139,2,2024-09-07 09:58:50:710,811129,811099,30,0,43102702,0,5997 139,3,2024-09-07 09:58:51:673,1,643,9,0,432,7485,643,0 140,0,2024-09-07 09:58:51:591,158680,0.3,157902,0.5,317107,0.2,422480,1.75 140,1,2024-09-07 09:58:51:543,1135077,1135077,0,0,533519681098,5498806100,1129706,4819,552,364,391628,0 140,2,2024-09-07 09:58:50:694,812490,812489,1,0,30487810,0,5036 140,3,2024-09-07 09:58:50:771,1,643,2,0,575,6282,643,0 141,0,2024-09-07 09:58:51:698,157947,0.3,162342,0.5,310395,0.2,419777,1.50 141,1,2024-09-07 09:58:50:860,1131642,1131642,0,0,530873354996,5513441585,1122862,7466,1314,379,391614,0 141,2,2024-09-07 09:58:51:694,813088,813077,11,0,32495576,0,5369 141,3,2024-09-07 09:58:51:053,1,643,0,0,391,7443,643,0 142,0,2024-09-07 09:58:51:309,163571,0.3,162797,0.5,325732,0.2,434954,1.50 142,1,2024-09-07 09:58:50:584,1129740,1129740,0,0,530093838882,5525630514,1122080,7002,658,382,392102,0 142,2,2024-09-07 09:58:51:304,812498,812466,32,0,33193926,0,6028 142,3,2024-09-07 09:58:51:751,1,643,10,0,484,6743,643,0 143,0,2024-09-07 09:58:51:367,164673,0.4,164460,0.5,329996,0.4,438552,1.75 143,1,2024-09-07 09:58:50:556,1132706,1132706,0,0,532251017448,5518974367,1125838,6207,661,367,391900,0 143,2,2024-09-07 09:58:50:777,817370,817370,0,0,33180603,0,3123 143,3,2024-09-07 09:58:51:146,1,643,8,0,462,7528,643,0 144,0,2024-09-07 09:58:51:510,154892,0.6,159407,0.7,324308,0.5,424233,2.00 144,1,2024-09-07 09:58:50:566,1127401,1127401,0,0,527950886654,5537245494,1116551,8854,1996,381,391733,0 144,2,2024-09-07 09:58:51:755,814527,814527,0,0,32108546,0,4443 144,3,2024-09-07 09:58:51:742,1,643,259,0,259,6499,643,0 145,0,2024-09-07 09:58:51:362,153978,0.6,153980,0.8,326924,0.5,420660,2.25 145,1,2024-09-07 09:58:50:552,1125999,1125999,0,0,528522860500,5560434134,1111056,12189,2754,382,391781,0 145,2,2024-09-07 09:58:51:429,810216,810134,82,0,38512465,0,7814 145,3,2024-09-07 09:58:50:905,1,643,3,0,622,8643,643,0 146,0,2024-09-07 09:58:51:592,160579,0.6,159698,0.8,321320,0.6,427237,2.25 146,1,2024-09-07 09:58:51:590,1127316,1127316,0,0,528787407887,5563093042,1108844,13653,4819,367,391770,0 146,2,2024-09-07 09:58:51:699,811020,811014,6,0,37187962,0,5151 146,3,2024-09-07 09:58:51:276,1,643,4,0,1520,10470,643,0 147,0,2024-09-07 09:58:51:752,166671,0.6,166306,0.7,332725,0.6,443669,2.00 147,1,2024-09-07 09:58:51:373,1131601,1131601,0,0,530591631340,5511470858,1122788,7784,1029,367,391791,0 147,2,2024-09-07 09:58:51:011,814415,814415,0,0,32912676,0,3359 147,3,2024-09-07 09:58:50:915,1,643,8,0,1626,9914,643,0 0,0,2024-09-07 09:59:01:740,154886,0.6,154878,0.7,328754,0.6,424951,2.00 0,1,2024-09-07 09:59:00:830,1130242,1130242,0,0,530663150652,5552259256,1121313,7976,953,368,391896,0 0,2,2024-09-07 09:59:01:069,814191,814191,0,0,32527281,0,4480 0,3,2024-09-07 09:59:00:986,1,644,11,0,431,8912,644,0 1,0,2024-09-07 09:59:01:775,165221,0.8,164240,0.8,329676,0.8,440811,2.00 1,1,2024-09-07 09:59:00:673,1128896,1128896,0,0,529667881209,5543431661,1119333,8067,1496,370,391859,0 1,2,2024-09-07 09:59:00:638,815669,815669,0,0,32004173,0,3380 1,3,2024-09-07 09:59:01:312,1,644,4,0,269,7780,644,0 2,0,2024-09-07 09:59:01:578,162669,0.6,162214,0.7,324555,0.6,433209,2.00 2,1,2024-09-07 09:59:00:892,1132298,1132298,0,0,532236067333,5529984900,1126103,5243,952,379,391745,0 2,2,2024-09-07 09:59:01:266,818682,818682,0,0,30558458,0,3594 2,3,2024-09-07 09:59:00:693,1,644,1,0,357,5570,644,0 3,0,2024-09-07 09:59:01:745,158615,0.4,158646,0.6,316855,0.4,422071,2.00 3,1,2024-09-07 09:59:01:703,1131436,1131436,0,0,531333479688,5523619084,1123522,7197,717,379,391716,0 3,2,2024-09-07 09:59:01:153,813532,813509,23,0,31405534,0,5851 3,3,2024-09-07 09:59:01:754,1,644,26,0,484,4877,644,0 4,0,2024-09-07 09:59:01:804,153245,0.4,157497,0.5,321124,0.3,420084,1.75 4,1,2024-09-07 09:59:00:590,1125927,1125927,0,0,528538516740,5592836778,1106700,14690,4537,369,391992,0 4,2,2024-09-07 09:59:01:023,810748,810748,0,0,38816225,0,4534 4,3,2024-09-07 09:59:01:043,1,644,1,0,448,8594,644,0 5,0,2024-09-07 09:59:01:402,163944,0.4,163823,0.5,327924,0.4,436461,1.75 5,1,2024-09-07 09:59:00:759,1127233,1127233,0,0,529040517095,5582837462,1110006,13002,4225,367,392005,0 5,2,2024-09-07 09:59:01:832,811846,811846,0,0,37919669,0,3582 5,3,2024-09-07 09:59:01:735,1,644,7,0,457,8625,644,0 6,0,2024-09-07 09:59:00:916,164096,0.5,163542,0.6,327256,0.4,435891,2.00 6,1,2024-09-07 09:59:00:749,1129746,1129746,0,0,530337540968,5535657170,1118304,9852,1590,379,391702,0 6,2,2024-09-07 09:59:01:122,818415,818397,18,0,36357364,0,5535 6,3,2024-09-07 09:59:01:278,1,644,1,0,710,7595,644,0 7,0,2024-09-07 09:59:01:530,158112,0.5,159222,0.7,316023,0.5,421242,2.00 7,1,2024-09-07 09:59:00:861,1127455,1127455,0,0,529451037220,5572624990,1110010,13310,4135,382,391747,0 7,2,2024-09-07 09:59:00:793,812394,812394,0,0,34970524,0,4791 7,3,2024-09-07 09:59:00:860,1,644,8,0,552,7387,644,0 8,0,2024-09-07 09:59:01:334,158762,0.4,158394,0.5,317285,0.3,422222,1.75 8,1,2024-09-07 09:59:01:022,1126741,1126741,0,0,528909438254,5560089883,1109209,13811,3721,366,392853,0 8,2,2024-09-07 09:59:00:800,808228,808226,2,0,41597746,0,5112 8,3,2024-09-07 09:59:00:585,1,644,8,0,772,9905,644,0 9,0,2024-09-07 09:59:01:142,162146,0.4,157604,0.5,329732,0.3,432784,1.75 9,1,2024-09-07 09:59:00:554,1126580,1126580,0,0,529070484323,5579439647,1108055,14424,4101,369,392001,0 9,2,2024-09-07 09:59:01:102,812729,812728,1,0,39489561,0,5281 9,3,2024-09-07 09:59:01:772,1,644,119,0,1273,10748,644,0 10,0,2024-09-07 09:59:01:602,165434,0.4,164806,0.5,330696,0.3,439939,1.75 10,1,2024-09-07 09:59:00:583,1128496,1128496,0,0,529703733238,5558749573,1111445,13525,3526,381,391981,0 10,2,2024-09-07 09:59:00:765,816665,816665,0,0,42416006,0,4713 10,3,2024-09-07 09:59:00:874,1,644,2,0,669,6943,644,0 11,0,2024-09-07 09:59:01:007,160424,0.4,155774,0.6,325949,0.4,429057,1.75 11,1,2024-09-07 09:59:00:571,1130723,1130723,0,0,530517165147,5562877991,1114377,12038,4308,383,391766,0 11,2,2024-09-07 09:59:01:123,813713,813713,0,0,37159734,0,4698 11,3,2024-09-07 09:59:01:309,1,644,9,0,843,7995,644,0 12,0,2024-09-07 09:59:01:072,160739,0.4,160566,0.5,320788,0.4,426524,1.75 12,1,2024-09-07 09:59:00:949,1130400,1130400,0,0,530115400563,5527070077,1121584,7803,1013,370,391960,0 12,2,2024-09-07 09:59:01:541,811237,811237,0,0,36005346,0,4390 12,3,2024-09-07 09:59:01:063,1,644,4,0,386,8247,644,0 13,0,2024-09-07 09:59:01:347,161124,0.4,161218,0.5,321572,0.3,428461,1.75 13,1,2024-09-07 09:59:01:524,1127725,1127725,0,0,529405217837,5561114262,1116544,8786,2395,382,391803,0 13,2,2024-09-07 09:59:00:593,815571,815571,0,0,32492698,0,3287 13,3,2024-09-07 09:59:01:761,1,644,415,0,522,8588,644,0 14,0,2024-09-07 09:59:00:564,166634,0.4,167725,0.6,332999,0.3,443922,1.75 14,1,2024-09-07 09:59:01:561,1135468,1135468,0,0,532974782159,5512272854,1126896,7441,1131,364,391673,0 14,2,2024-09-07 09:59:00:767,815787,815757,30,0,33654989,0,6104 14,3,2024-09-07 09:59:01:115,1,644,82,0,1168,6873,644,0 15,0,2024-09-07 09:59:01:557,161989,0.4,161099,0.6,322568,0.4,430452,2.00 15,1,2024-09-07 09:59:01:608,1131913,1131913,0,0,531948876487,5537601583,1122472,7524,1917,381,391619,0 15,2,2024-09-07 09:59:01:000,818645,818645,0,0,29978279,0,3622 15,3,2024-09-07 09:59:01:405,1,644,9,0,1126,8319,644,0 16,0,2024-09-07 09:59:00:965,158702,0.6,159367,0.7,318091,0.6,423283,2.00 16,1,2024-09-07 09:59:00:592,1131847,1131847,0,0,530614146834,5542555847,1122329,8071,1447,370,392194,0 16,2,2024-09-07 09:59:01:441,811858,811858,0,0,33010459,0,4719 16,3,2024-09-07 09:59:01:152,1,644,3,0,358,7782,644,0 17,0,2024-09-07 09:59:01:779,163305,0.5,159033,0.7,311887,0.5,423887,2.00 17,1,2024-09-07 09:59:00:574,1129635,1129635,0,0,530110335673,5555987966,1119060,8798,1777,368,392075,0 17,2,2024-09-07 09:59:01:665,816535,816534,1,0,34347533,0,5050 17,3,2024-09-07 09:59:00:580,1,644,10,0,518,9403,644,0 18,0,2024-09-07 09:59:00:952,163166,0.6,164268,0.8,327016,0.6,437303,2.25 18,1,2024-09-07 09:59:01:637,1133925,1133925,0,0,532178379420,5516505270,1126709,5957,1259,367,391725,0 18,2,2024-09-07 09:59:01:756,817171,817171,0,0,31030629,0,3541 18,3,2024-09-07 09:59:00:902,1,644,1,0,1059,5729,644,0 19,0,2024-09-07 09:59:01:543,162353,0.6,162811,0.8,324618,0.7,431201,2.00 19,1,2024-09-07 09:59:00:566,1134098,1134098,0,0,532696637717,5518384049,1125928,7035,1135,365,391777,0 19,2,2024-09-07 09:59:01:756,820966,820966,0,0,29130142,0,3988 19,3,2024-09-07 09:59:01:134,1,644,12,0,524,4932,644,0 20,0,2024-09-07 09:59:01:443,158324,0.5,158150,0.7,316341,0.5,421705,2.00 20,1,2024-09-07 09:59:00:635,1129744,1129744,0,0,530227618509,5554689627,1118632,9570,1542,369,391922,0 20,2,2024-09-07 09:59:00:954,812333,812333,0,0,36357991,0,4321 20,3,2024-09-07 09:59:00:588,1,644,1,0,468,9920,644,0 21,0,2024-09-07 09:59:01:144,157879,0.5,157916,0.6,315770,0.4,418975,2.00 21,1,2024-09-07 09:59:01:543,1127557,1127557,0,0,528906457947,5579739293,1110091,13542,3924,368,392016,0 21,2,2024-09-07 09:59:01:079,807144,806667,477,0,46991679,0,17074 21,3,2024-09-07 09:59:01:405,1,644,11,0,713,8864,644,0 22,0,2024-09-07 09:59:01:720,162939,0.4,163585,0.6,326751,0.4,433947,2.00 22,1,2024-09-07 09:59:01:028,1128236,1128236,0,0,529861047907,5572939518,1110006,14678,3552,381,391822,0 22,2,2024-09-07 09:59:00:771,812942,812916,26,0,35242082,0,6328 22,3,2024-09-07 09:59:01:068,1,644,5,0,228,5446,644,0 23,0,2024-09-07 09:59:01:371,164246,0.5,164099,0.7,328214,0.4,437132,2.00 23,1,2024-09-07 09:59:01:006,1128879,1128879,0,0,530215512289,5581672973,1108759,13410,6710,365,391690,0 23,2,2024-09-07 09:59:01:095,817894,817894,0,0,33344420,0,3773 23,3,2024-09-07 09:59:01:754,1,644,8,0,855,9352,644,0 24,0,2024-09-07 09:59:00:909,160757,0.4,159868,0.5,321480,0.4,426477,1.75 24,1,2024-09-07 09:59:00:588,1128832,1128832,0,0,529180195438,5538255424,1118246,8809,1777,367,392269,0 24,2,2024-09-07 09:59:01:077,813282,813279,3,0,40036262,0,6294 24,3,2024-09-07 09:59:01:686,1,644,102,0,468,8427,644,0 25,0,2024-09-07 09:59:01:348,163685,0.4,159388,0.6,313230,0.4,426333,1.75 25,1,2024-09-07 09:59:00:563,1126983,1126983,0,0,528342554942,5580014987,1107430,15647,3906,369,391928,0 25,2,2024-09-07 09:59:01:607,810744,810744,0,0,40614101,0,3978 25,3,2024-09-07 09:59:01:010,1,644,8,0,532,7093,644,0 26,0,2024-09-07 09:59:01:728,160388,0.4,156708,0.6,328951,0.4,429000,1.75 26,1,2024-09-07 09:59:01:541,1130576,1130576,0,0,531326236490,5562886180,1115162,12514,2900,380,391758,0 26,2,2024-09-07 09:59:00:871,813657,813657,0,0,43286893,0,4689 26,3,2024-09-07 09:59:01:712,1,644,130,0,796,7891,644,0 27,0,2024-09-07 09:59:01:732,166500,0.4,167306,0.6,332566,0.4,443432,2.25 27,1,2024-09-07 09:59:01:678,1132095,1132095,0,0,531352875714,5534370168,1121480,8998,1617,381,391626,0 27,2,2024-09-07 09:59:00:890,812450,812385,65,0,37855043,0,5699 27,3,2024-09-07 09:59:01:026,1,644,12,0,564,5402,644,0 28,0,2024-09-07 09:59:01:404,161443,0.4,161668,0.6,323523,0.3,430777,1.75 28,1,2024-09-07 09:59:00:813,1132796,1132796,0,0,532038383902,5543187820,1123605,7290,1901,382,391904,0 28,2,2024-09-07 09:59:01:766,816493,816493,0,0,32863610,0,2915 28,3,2024-09-07 09:59:01:789,1,644,17,0,502,6514,644,0 29,0,2024-09-07 09:59:01:367,163628,0.4,159378,0.6,312581,0.3,426114,1.75 29,1,2024-09-07 09:59:01:563,1135456,1135456,0,0,532734326007,5507590191,1128871,5806,779,367,391809,0 29,2,2024-09-07 09:59:00:861,812721,812721,0,0,31571082,0,4986 29,3,2024-09-07 09:59:00:963,1,644,5,0,459,6733,644,0 30,0,2024-09-07 09:59:01:457,158521,0.5,154389,0.7,323452,0.4,423033,2.00 30,1,2024-09-07 09:59:00:572,1133808,1133808,0,0,532929417745,5528852390,1125550,7287,971,380,391672,0 30,2,2024-09-07 09:59:01:278,815150,815150,0,0,30462387,0,4192 30,3,2024-09-07 09:59:00:581,1,644,2,0,519,6217,644,0 31,0,2024-09-07 09:59:01:762,165174,0.4,165995,0.6,330973,0.4,441378,1.75 31,1,2024-09-07 09:59:00:563,1138331,1138331,0,0,533357866555,5476012116,1131417,5798,1116,356,391712,0 31,2,2024-09-07 09:59:01:278,814541,814541,0,0,34656375,0,4470 31,3,2024-09-07 09:59:01:715,1,644,8,0,239,5598,644,0 32,0,2024-09-07 09:59:01:425,162842,0.3,163865,0.5,326095,0.3,434665,1.75 32,1,2024-09-07 09:59:00:813,1134012,1134012,0,0,531447754345,5519976266,1127601,5691,720,381,391646,0 32,2,2024-09-07 09:59:00:961,820084,820084,0,0,29902737,0,3922 32,3,2024-09-07 09:59:01:015,1,644,33,0,304,5228,644,0 33,0,2024-09-07 09:59:01:496,159314,0.3,158911,0.4,317877,0.2,423049,1.50 33,1,2024-09-07 09:59:00:579,1134842,1134842,0,0,532611021502,5513383818,1126202,7366,1274,368,391730,0 33,2,2024-09-07 09:59:00:765,813372,813337,35,0,33060792,0,7012 33,3,2024-09-07 09:59:00:899,1,644,1,0,329,5621,644,0 34,0,2024-09-07 09:59:00:942,158149,0.3,162546,0.5,310849,0.2,419948,1.75 34,1,2024-09-07 09:59:01:045,1136833,1136833,0,0,534363069796,5495085640,1133619,3102,112,367,391637,0 34,2,2024-09-07 09:59:00:766,814746,814746,0,0,31410374,0,4562 34,3,2024-09-07 09:59:01:698,1,644,1,0,541,5630,644,0 35,0,2024-09-07 09:59:00:861,163151,0.3,163856,0.5,328569,0.2,437238,1.75 35,1,2024-09-07 09:59:01:071,1132897,1132897,0,0,531912854912,5517168869,1124588,6786,1523,382,391769,0 35,2,2024-09-07 09:59:01:587,815303,815303,0,0,35412785,0,4055 35,3,2024-09-07 09:59:00:914,1,644,8,0,466,5966,644,0 36,0,2024-09-07 09:59:01:518,164430,0.5,164661,0.6,328666,0.4,437408,2.00 36,1,2024-09-07 09:59:00:582,1131623,1131623,0,0,530857115125,5540694967,1117685,11692,2246,366,391759,0 36,2,2024-09-07 09:59:01:755,818569,818569,0,0,36771576,0,3875 36,3,2024-09-07 09:59:00:871,1,644,0,0,556,8571,644,0 37,0,2024-09-07 09:59:01:397,158015,0.5,158099,0.7,316430,0.5,421944,2.25 37,1,2024-09-07 09:59:00:571,1131033,1131026,0,7,530942019795,5543338160,1117627,10477,2922,365,391770,0 37,2,2024-09-07 09:59:01:148,810742,810727,15,0,36004109,0,5815 37,3,2024-09-07 09:59:01:771,1,644,1,0,888,8759,644,0 38,0,2024-09-07 09:59:01:443,157541,0.4,152713,0.6,319393,0.4,417985,2.00 38,1,2024-09-07 09:59:01:607,1130791,1130791,0,0,530847323919,5550605924,1114472,13024,3295,368,391821,0 38,2,2024-09-07 09:59:00:760,812840,812793,47,0,36371697,0,6710 38,3,2024-09-07 09:59:00:999,1,644,1,0,689,7506,644,0 39,0,2024-09-07 09:59:01:764,165680,0.5,161913,0.7,315992,0.5,431583,2.00 39,1,2024-09-07 09:59:00:716,1130311,1130311,0,0,530549741350,5560475293,1111975,14148,4188,365,391865,0 39,2,2024-09-07 09:59:01:418,814176,814176,0,0,34846968,0,3391 39,3,2024-09-07 09:59:00:715,1,644,7,0,525,7248,644,0 40,0,2024-09-07 09:59:01:491,163939,0.9,164715,1.0,328728,1.0,438754,2.75 40,1,2024-09-07 09:59:00:576,1130607,1130607,0,0,529480761757,5547796310,1113457,13873,3277,366,391668,0 40,2,2024-09-07 09:59:01:312,814012,814005,7,0,40965750,0,5347 40,3,2024-09-07 09:59:01:142,1,644,4,0,1028,9234,644,0 41,0,2024-09-07 09:59:01:023,159788,1.2,163517,1.1,311948,1.7,423644,3.00 41,1,2024-09-07 09:59:00:780,1130006,1130006,0,0,530984733961,5551671546,1115716,12089,2201,369,391878,0 41,2,2024-09-07 09:59:00:780,811149,811148,1,0,39479536,0,5408 41,3,2024-09-07 09:59:01:681,1,644,21,0,366,6870,644,0 42,0,2024-09-07 09:59:01:476,158631,0.9,158231,1.0,316731,1.0,420565,2.50 42,1,2024-09-07 09:59:01:444,1127827,1127827,0,0,528755748841,5553456942,1110669,14202,2956,380,391675,0 42,2,2024-09-07 09:59:01:137,810447,810446,1,0,40472238,0,5513 42,3,2024-09-07 09:59:01:011,1,644,1,0,892,6218,644,0 43,0,2024-09-07 09:59:00:925,158597,0.8,154394,0.9,323528,0.8,423404,2.25 43,1,2024-09-07 09:59:00:579,1130944,1130944,0,0,530646238560,5544923354,1115192,13006,2746,366,391696,0 43,2,2024-09-07 09:59:01:739,813977,813977,0,0,37719356,0,4723 43,3,2024-09-07 09:59:01:749,1,644,7,0,571,9013,644,0 44,0,2024-09-07 09:59:00:862,166835,0.4,167074,0.6,333398,0.4,444918,1.75 44,1,2024-09-07 09:59:00:565,1134301,1134301,0,0,531596966904,5493190429,1124468,7792,2041,356,391809,0 44,2,2024-09-07 09:59:01:275,813963,813963,0,0,30522188,0,4344 44,3,2024-09-07 09:59:01:099,1,644,1,0,1097,7798,644,0 45,0,2024-09-07 09:59:01:777,160012,0.5,156428,0.7,328435,0.4,430427,2.00 45,1,2024-09-07 09:59:01:026,1133207,1133207,0,0,532645465113,5531269412,1124882,7421,904,382,391917,0 45,2,2024-09-07 09:59:01:275,817953,817953,0,0,30734452,0,3596 45,3,2024-09-07 09:59:00:941,1,644,24,0,531,6053,644,0 46,0,2024-09-07 09:59:00:957,157947,0.5,157470,0.7,315890,0.5,419986,2.00 46,1,2024-09-07 09:59:00:576,1135572,1135572,0,0,532723904392,5505445283,1127831,6752,989,366,391709,0 46,2,2024-09-07 09:59:00:593,814154,814154,0,0,31247039,0,4443 46,3,2024-09-07 09:59:01:132,1,644,1,0,908,7396,644,0 47,0,2024-09-07 09:59:01:102,158895,0.4,158603,0.6,318635,0.3,422172,1.75 47,1,2024-09-07 09:59:00:573,1136487,1136487,0,0,532791248345,5502338225,1129977,5568,942,364,391641,0 47,2,2024-09-07 09:59:00:909,816935,816935,0,0,30990247,0,4477 47,3,2024-09-07 09:59:01:122,1,644,9,0,600,6903,644,0 48,0,2024-09-07 09:59:01:504,165036,0.3,165412,0.4,329914,0.2,439035,1.50 48,1,2024-09-07 09:59:01:022,1133360,1133360,0,0,531910545034,5520586223,1127062,5718,580,381,391710,0 48,2,2024-09-07 09:59:00:699,815820,815820,0,0,28996263,0,3524 48,3,2024-09-07 09:59:00:755,1,644,0,0,339,5567,644,0 49,0,2024-09-07 09:59:01:717,167714,0.3,164208,0.5,319535,0.3,435689,1.75 49,1,2024-09-07 09:59:01:025,1132878,1132878,0,0,531122838999,5521756034,1125394,5788,1696,382,391809,0 49,2,2024-09-07 09:59:01:801,819286,819286,0,0,31155235,0,4426 49,3,2024-09-07 09:59:01:418,1,644,1,0,992,7524,644,0 50,0,2024-09-07 09:59:01:509,158961,0.3,157496,0.5,317170,0.2,421658,1.75 50,1,2024-09-07 09:59:01:011,1135686,1135686,0,0,533626012238,5513276873,1128857,6158,671,368,391691,0 50,2,2024-09-07 09:59:01:069,811713,811713,0,0,28674031,0,4490 50,3,2024-09-07 09:59:01:290,1,644,21,0,617,6333,644,0 51,0,2024-09-07 09:59:01:686,162028,0.3,158552,0.5,308721,0.2,420816,1.75 51,1,2024-09-07 09:59:01:680,1136159,1136159,0,0,535000537144,5512906226,1130336,4742,1081,365,391706,0 51,2,2024-09-07 09:59:01:318,813830,813830,0,0,28494930,0,3337 51,3,2024-09-07 09:59:01:033,1,644,7,0,678,4604,644,0 52,0,2024-09-07 09:59:01:424,163656,0.5,163513,0.6,326855,0.4,435036,2.00 52,1,2024-09-07 09:59:00:579,1131020,1131020,0,0,530768385667,5550647297,1115729,12955,2336,368,391805,0 52,2,2024-09-07 09:59:01:755,811359,811321,38,0,39073579,0,6742 52,3,2024-09-07 09:59:00:686,1,644,2,0,1782,7402,644,0 53,0,2024-09-07 09:59:01:741,164085,0.6,159196,0.8,333142,0.7,436171,2.25 53,1,2024-09-07 09:59:00:784,1128910,1128910,0,0,530593287526,5563589305,1110295,14209,4406,367,391968,0 53,2,2024-09-07 09:59:01:308,818473,818472,1,0,34539359,0,5455 53,3,2024-09-07 09:59:00:698,1,644,3,0,308,5793,644,0 54,0,2024-09-07 09:59:01:613,157978,0.6,158266,0.7,315409,0.5,420892,2.25 54,1,2024-09-07 09:59:00:580,1132498,1132498,0,0,530955414053,5518931951,1121215,9611,1672,366,391810,0 54,2,2024-09-07 09:59:00:879,814053,814021,32,0,38602576,0,6397 54,3,2024-09-07 09:59:00:764,1,644,1,0,676,8156,644,0 55,0,2024-09-07 09:59:01:763,153743,0.6,158616,0.8,321634,0.5,418907,2.50 55,1,2024-09-07 09:59:00:764,1132666,1132666,0,0,531129538982,5522819091,1120988,10210,1468,365,391731,0 55,2,2024-09-07 09:59:00:729,811775,811719,56,0,35658985,0,7239 55,3,2024-09-07 09:59:00:675,1,644,7,0,304,5886,644,0 56,0,2024-09-07 09:59:01:552,164103,1.2,154610,1.1,318679,1.5,427017,2.75 56,1,2024-09-07 09:59:00:572,1125954,1125954,0,0,528591603195,5587184437,1107566,14673,3715,381,391867,0 56,2,2024-09-07 09:59:01:316,812691,812569,122,0,38284995,0,7432 56,3,2024-09-07 09:59:01:066,1,644,1,0,705,7769,644,0 57,0,2024-09-07 09:59:00:952,164756,1.5,164510,1.2,329191,2.0,439643,3.00 57,1,2024-09-07 09:59:00:997,1128422,1128422,0,0,529095195900,5553059954,1113043,12690,2689,366,392032,0 57,2,2024-09-07 09:59:01:321,814637,814637,0,0,40566381,0,4804 57,3,2024-09-07 09:59:01:739,1,644,1,0,455,7191,644,0 58,0,2024-09-07 09:59:00:553,158981,0.9,154394,1.0,323147,1.2,423126,2.75 58,1,2024-09-07 09:59:00:579,1129647,1129644,0,3,530567439073,5562776177,1112141,13190,4313,367,391726,3 58,2,2024-09-07 09:59:01:071,816481,816481,0,0,37390411,0,3483 58,3,2024-09-07 09:59:01:068,1,644,8,0,1043,7044,644,0 59,0,2024-09-07 09:59:01:745,157767,0.9,157112,1.0,314171,0.9,417101,3.00 59,1,2024-09-07 09:59:00:815,1129284,1129284,0,0,530494201360,5574614950,1110381,15069,3834,369,391653,0 59,2,2024-09-07 09:59:00:583,812554,812554,0,0,35453901,0,3727 59,3,2024-09-07 09:59:01:743,1,644,8,0,1015,7735,644,0 60,0,2024-09-07 09:59:01:721,159410,0.5,159388,0.7,319127,0.5,425565,1.75 60,1,2024-09-07 09:59:00:796,1133540,1133540,0,0,532627961877,5536408755,1124767,7608,1165,370,392031,0 60,2,2024-09-07 09:59:01:154,814680,814680,0,0,33469043,0,3811 60,3,2024-09-07 09:59:01:258,1,644,1,0,409,7159,644,0 61,0,2024-09-07 09:59:01:503,165321,0.5,165914,0.7,330207,0.5,440730,2.00 61,1,2024-09-07 09:59:00:784,1130780,1130780,0,0,530534574278,5551412456,1119197,9892,1691,382,392127,0 61,2,2024-09-07 09:59:01:134,815492,815425,67,0,33943533,0,6411 61,3,2024-09-07 09:59:01:690,1,644,0,0,607,8029,644,0 62,0,2024-09-07 09:59:01:728,163276,0.6,167495,0.7,319788,0.6,434430,2.00 62,1,2024-09-07 09:59:01:111,1137689,1137683,0,6,533928320855,5506004284,1131709,5538,436,365,391975,6 62,2,2024-09-07 09:59:01:649,815974,815973,1,0,34005467,0,5555 62,3,2024-09-07 09:59:01:143,1,644,0,0,482,5049,644,0 63,0,2024-09-07 09:59:01:452,159270,0.4,158992,0.6,318593,0.3,423388,1.75 63,1,2024-09-07 09:59:00:813,1134081,1134075,0,6,531626066952,5518539684,1126992,6226,857,381,391800,6 63,2,2024-09-07 09:59:00:763,812669,812669,0,0,32249935,0,4369 63,3,2024-09-07 09:59:01:733,1,644,18,0,667,6465,644,0 64,0,2024-09-07 09:59:01:515,157202,0.5,157167,0.6,313947,0.4,418455,2.00 64,1,2024-09-07 09:59:00:773,1132335,1132335,0,0,532519997162,5541533722,1122915,7440,1980,370,391794,0 64,2,2024-09-07 09:59:01:153,817498,817479,19,0,31227909,0,6121 64,3,2024-09-07 09:59:01:148,1,644,6,0,651,7146,644,0 65,0,2024-09-07 09:59:01:714,162808,0.6,163101,0.7,325831,0.5,434885,2.00 65,1,2024-09-07 09:59:00:860,1130873,1130873,0,0,529984119758,5536168447,1123157,6838,878,381,391901,0 65,2,2024-09-07 09:59:01:711,814198,814198,0,0,37748888,0,3367 65,3,2024-09-07 09:59:01:683,1,644,7,0,782,7835,644,0 66,0,2024-09-07 09:59:01:767,163630,0.5,163181,0.7,326482,0.5,434154,2.00 66,1,2024-09-07 09:59:01:296,1133036,1133036,0,0,531702924560,5529456751,1126224,6068,744,380,391743,0 66,2,2024-09-07 09:59:01:132,820931,820928,3,0,33340782,0,5455 66,3,2024-09-07 09:59:01:078,1,644,1,0,291,5573,644,0 67,0,2024-09-07 09:59:01:412,158712,0.5,158232,0.7,317410,0.5,422495,2.00 67,1,2024-09-07 09:59:00:780,1133428,1133427,0,1,532155314508,5536601587,1125891,6591,945,380,391787,1 67,2,2024-09-07 09:59:00:583,816345,816330,15,0,33001662,0,6205 67,3,2024-09-07 09:59:01:754,1,644,24,0,595,6510,644,0 68,0,2024-09-07 09:59:00:572,158432,0.6,158113,0.7,315119,0.5,421128,2.00 68,1,2024-09-07 09:59:00:579,1128561,1128561,0,0,529264753100,5564485825,1113476,11304,3781,381,391953,0 68,2,2024-09-07 09:59:01:045,809428,809328,100,0,41551011,0,8578 68,3,2024-09-07 09:59:00:729,1,644,1,0,417,7992,644,0 69,0,2024-09-07 09:59:01:763,161434,0.7,162226,0.8,323469,0.6,430211,2.25 69,1,2024-09-07 09:59:01:041,1127791,1127791,0,0,528936388137,5571106850,1113438,11376,2977,383,391994,0 69,2,2024-09-07 09:59:01:739,811244,811215,29,0,45143978,0,6912 69,3,2024-09-07 09:59:00:771,1,644,66,0,698,8922,644,0 70,0,2024-09-07 09:59:01:541,163679,0.8,164338,0.9,329760,0.7,437062,2.50 70,1,2024-09-07 09:59:00:813,1134044,1134044,0,0,532513323380,5523331323,1125770,7459,815,366,391725,0 70,2,2024-09-07 09:59:01:336,816758,816758,0,0,36847344,0,4323 70,3,2024-09-07 09:59:00:749,1,644,0,0,854,6923,644,0 71,0,2024-09-07 09:59:01:383,159559,0.9,159108,1.0,319522,1.1,425929,2.50 71,1,2024-09-07 09:59:01:596,1132040,1132040,0,0,531867206148,5548529964,1118399,12015,1626,367,391738,0 71,2,2024-09-07 09:59:01:069,813954,813954,0,0,36533319,0,4352 71,3,2024-09-07 09:59:01:754,1,644,8,0,644,7000,644,0 72,0,2024-09-07 09:59:01:021,164709,0.5,160863,0.7,314164,0.5,427664,2.00 72,1,2024-09-07 09:59:01:075,1129819,1129819,0,0,530266358704,5559907574,1113712,13421,2686,369,391819,0 72,2,2024-09-07 09:59:01:763,810921,810921,0,0,38615988,0,3983 72,3,2024-09-07 09:59:01:765,1,644,4,0,564,9193,644,0 73,0,2024-09-07 09:59:01:109,156038,0.4,160066,0.6,327188,0.4,425985,2.00 73,1,2024-09-07 09:59:00:765,1131933,1131933,0,0,531560719632,5522539640,1123477,7473,983,367,391858,0 73,2,2024-09-07 09:59:01:739,813223,813222,1,0,40514437,0,5027 73,3,2024-09-07 09:59:00:976,1,644,7,0,1091,8787,644,0 74,0,2024-09-07 09:59:01:324,167734,0.5,171841,0.7,327669,0.4,445278,2.00 74,1,2024-09-07 09:59:00:636,1130745,1130745,0,0,530876852560,5539625086,1118650,9958,2137,381,391762,0 74,2,2024-09-07 09:59:01:008,813688,813688,0,0,35353548,0,4253 74,3,2024-09-07 09:59:01:444,1,644,1,0,522,7588,644,0 75,0,2024-09-07 09:59:01:767,162078,0.5,161154,0.7,323402,0.5,431810,2.25 75,1,2024-09-07 09:59:01:593,1131682,1131682,0,0,531927113972,5541994072,1122310,8440,932,380,391739,0 75,2,2024-09-07 09:59:01:350,815903,815903,0,0,42326840,0,4766 75,3,2024-09-07 09:59:01:068,1,644,8,0,918,8762,644,0 76,0,2024-09-07 09:59:00:707,158335,0.6,157683,0.7,315352,0.5,421690,2.25 76,1,2024-09-07 09:59:00:843,1131417,1131417,0,0,530876444280,5532978449,1123907,6513,997,382,391790,0 76,2,2024-09-07 09:59:01:060,814832,814829,3,0,34269959,0,5265 76,3,2024-09-07 09:59:01:149,1,644,2,0,227,5850,644,0 77,0,2024-09-07 09:59:01:691,158032,0.5,158402,0.7,317021,0.5,421451,2.00 77,1,2024-09-07 09:59:00:835,1131673,1131673,0,0,531666777581,5545490270,1122968,7696,1009,381,391869,0 77,2,2024-09-07 09:59:01:291,813040,813040,0,0,33626154,0,3890 77,3,2024-09-07 09:59:01:107,1,644,1,0,401,7234,644,0 78,0,2024-09-07 09:59:01:727,165431,0.4,164699,0.6,330450,0.4,437486,2.00 78,1,2024-09-07 09:59:00:609,1131178,1131178,0,0,529887962720,5527468452,1117180,10853,3145,367,391670,0 78,2,2024-09-07 09:59:01:406,816126,816113,13,0,31560017,0,8313 78,3,2024-09-07 09:59:01:133,1,644,29,0,311,5318,644,0 79,0,2024-09-07 09:59:01:348,157539,0.4,161319,0.6,330725,0.3,430206,2.00 79,1,2024-09-07 09:59:00:576,1135913,1135913,0,0,532715977644,5512104625,1127605,6881,1427,367,391682,0 79,2,2024-09-07 09:59:01:069,819009,819009,0,0,30514998,0,4195 79,3,2024-09-07 09:59:00:748,1,644,8,0,418,7340,644,0 80,0,2024-09-07 09:59:01:083,158433,0.5,162737,0.7,310887,0.5,420644,2.00 80,1,2024-09-07 09:59:01:632,1131824,1131824,0,0,531192975511,5527268549,1124546,6821,457,368,392269,0 80,2,2024-09-07 09:59:01:094,815179,815179,0,0,30803341,0,4433 80,3,2024-09-07 09:59:00:575,1,644,2,0,681,7771,644,0 81,0,2024-09-07 09:59:01:539,157737,0.5,161410,0.7,308286,0.5,417907,2.00 81,1,2024-09-07 09:59:01:649,1130920,1130920,0,0,530300078600,5540110595,1121923,8029,968,382,391885,0 81,2,2024-09-07 09:59:01:124,813148,813085,63,0,34173755,0,5932 81,3,2024-09-07 09:59:01:120,1,644,2,0,719,7166,644,0 82,0,2024-09-07 09:59:01:535,163051,0.4,163211,0.6,326951,0.4,434112,2.00 82,1,2024-09-07 09:59:00:583,1132814,1132810,0,4,531788035577,5525596265,1127040,4944,826,381,391768,4 82,2,2024-09-07 09:59:01:691,816961,816961,0,0,29189884,0,4484 82,3,2024-09-07 09:59:01:756,1,644,3,0,363,5882,644,0 83,0,2024-09-07 09:59:01:524,164665,0.6,164525,0.7,328680,0.6,436509,2.00 83,1,2024-09-07 09:59:00:557,1131796,1131796,0,0,530738096194,5528949791,1124137,7014,645,382,391709,0 83,2,2024-09-07 09:59:00:765,818646,818621,25,0,31345994,0,5612 83,3,2024-09-07 09:59:00:756,1,644,17,0,1260,7429,644,0 84,0,2024-09-07 09:59:01:778,158376,0.7,158424,0.9,316693,0.7,422631,2.25 84,1,2024-09-07 09:59:01:044,1129737,1129737,0,0,530471945151,5547321988,1116848,11006,1883,367,391967,0 84,2,2024-09-07 09:59:00:572,812435,812025,410,0,45070134,0,17037 84,3,2024-09-07 09:59:01:143,1,644,1,0,908,8639,644,0 85,0,2024-09-07 09:59:01:024,153153,0.6,153091,0.8,324992,0.6,419389,2.25 85,1,2024-09-07 09:59:00:561,1126791,1126791,0,0,529588299911,5585685027,1110243,13745,2803,381,392092,0 85,2,2024-09-07 09:59:00:872,812085,812085,0,0,38521319,0,4255 85,3,2024-09-07 09:59:00:685,1,644,1,0,789,7193,644,0 86,0,2024-09-07 09:59:00:909,160668,0.7,165171,0.8,316029,0.7,427640,2.25 86,1,2024-09-07 09:59:00:825,1129560,1129560,0,0,530217545465,5558798904,1114795,11969,2796,366,392169,0 86,2,2024-09-07 09:59:00:856,813205,813204,1,0,41392586,0,5004 86,3,2024-09-07 09:59:00:585,1,644,3,0,308,8807,644,0 87,0,2024-09-07 09:59:01:312,166829,0.8,165819,0.8,332098,1.0,443470,2.25 87,1,2024-09-07 09:59:00:554,1129012,1129012,0,0,530421052505,5552834992,1115327,11882,1803,366,392076,0 87,2,2024-09-07 09:59:01:072,814591,814585,6,0,38000791,0,6323 87,3,2024-09-07 09:59:01:803,1,644,8,0,473,8953,644,0 88,0,2024-09-07 09:59:01:501,161327,0.4,161858,0.6,323411,0.4,430620,1.75 88,1,2024-09-07 09:59:00:588,1128261,1128261,0,0,528947146355,5544206016,1112072,13067,3122,365,392084,0 88,2,2024-09-07 09:59:00:695,815581,815581,0,0,39992812,0,4465 88,3,2024-09-07 09:59:01:269,1,644,1,0,1080,10221,644,0 89,0,2024-09-07 09:59:01:829,163560,0.4,158647,0.6,313008,0.4,425665,1.75 89,1,2024-09-07 09:59:00:570,1127333,1127333,0,0,530055529976,5573158387,1113563,11595,2175,382,391866,0 89,2,2024-09-07 09:59:01:133,812245,812245,0,0,37760561,0,3173 89,3,2024-09-07 09:59:01:800,1,644,76,0,468,10477,644,0 90,0,2024-09-07 09:59:01:617,154668,0.5,158825,0.6,324126,0.4,423446,2.00 90,1,2024-09-07 09:59:00:589,1129694,1129694,0,0,529996624251,5551081812,1118611,10050,1033,380,391825,0 90,2,2024-09-07 09:59:01:417,810017,810012,5,0,41282872,0,6370 90,3,2024-09-07 09:59:00:960,1,644,136,0,364,8408,644,0 91,0,2024-09-07 09:59:00:932,165769,0.4,160853,0.6,336632,0.4,441467,1.75 91,1,2024-09-07 09:59:00:555,1126461,1126461,0,0,529054948427,5578774622,1108874,13629,3958,381,392047,0 91,2,2024-09-07 09:59:01:336,814547,814547,0,0,36704404,0,4713 91,3,2024-09-07 09:59:00:598,1,644,8,0,231,6051,644,0 92,0,2024-09-07 09:59:01:471,164329,0.4,168029,0.6,320359,0.4,434701,1.75 92,1,2024-09-07 09:59:00:580,1131000,1131000,0,0,531509517376,5548100385,1122506,7205,1289,381,392136,0 92,2,2024-09-07 09:59:01:356,817983,817983,0,0,32541237,0,3906 92,3,2024-09-07 09:59:01:023,1,644,13,0,167,5417,644,0 93,0,2024-09-07 09:59:00:967,159748,0.4,163692,0.6,312627,0.3,423347,1.75 93,1,2024-09-07 09:59:00:814,1131857,1131857,0,0,530687366768,5538753527,1119262,10609,1986,365,392048,0 93,2,2024-09-07 09:59:00:937,812438,812438,0,0,37933038,0,4913 93,3,2024-09-07 09:59:01:406,1,644,505,0,505,7208,644,0 94,0,2024-09-07 09:59:01:601,157231,0.4,158418,0.5,316633,0.3,420781,1.75 94,1,2024-09-07 09:59:00:613,1132260,1132260,0,0,531143763931,5535579630,1125088,6734,438,381,391850,0 94,2,2024-09-07 09:59:00:771,813825,813797,28,0,32662210,0,6179 94,3,2024-09-07 09:59:01:688,1,644,42,0,576,7867,644,0 95,0,2024-09-07 09:59:01:361,163954,0.3,163908,0.5,327997,0.3,436914,1.75 95,1,2024-09-07 09:59:00:857,1132657,1132657,0,0,532208885455,5528774978,1123809,8086,762,365,391852,0 95,2,2024-09-07 09:59:01:028,813947,813947,0,0,32686286,0,3308 95,3,2024-09-07 09:59:01:716,1,644,8,0,718,9890,644,0 96,0,2024-09-07 09:59:01:117,164106,0.3,164345,0.5,329079,0.3,436827,1.75 96,1,2024-09-07 09:59:01:622,1130865,1130865,0,0,530873161403,5534901329,1123125,6455,1285,384,391964,0 96,2,2024-09-07 09:59:01:282,819763,819763,0,0,33312887,0,4180 96,3,2024-09-07 09:59:01:148,1,644,2,0,411,7146,644,0 97,0,2024-09-07 09:59:01:320,158731,0.3,158746,0.5,318081,0.3,422309,1.50 97,1,2024-09-07 09:59:00:770,1133160,1133160,0,0,531800273026,5515105581,1126173,5943,1044,367,392140,0 97,2,2024-09-07 09:59:00:609,814629,814629,0,0,32656127,0,4046 97,3,2024-09-07 09:59:00:571,1,644,4,0,242,7203,644,0 98,0,2024-09-07 09:59:01:693,158017,0.3,157952,0.4,317358,0.2,421419,1.50 98,1,2024-09-07 09:59:00:572,1132318,1132318,0,0,531535218141,5533598902,1125761,5717,840,381,391997,0 98,2,2024-09-07 09:59:00:773,813009,813009,0,0,31418676,0,4336 98,3,2024-09-07 09:59:00:702,1,644,1,0,840,9319,644,0 99,0,2024-09-07 09:59:01:468,162098,0.3,162969,0.5,324619,0.3,432999,1.75 99,1,2024-09-07 09:59:01:730,1133125,1133125,0,0,531065760243,5524077615,1126299,5859,967,380,392069,0 99,2,2024-09-07 09:59:01:425,814071,814071,0,0,39880838,0,4276 99,3,2024-09-07 09:59:00:580,1,644,8,0,1124,8103,644,0 100,0,2024-09-07 09:59:01:482,165185,0.8,165645,0.9,330994,0.9,441537,2.50 100,1,2024-09-07 09:59:00:553,1125679,1125679,0,0,529122696733,5592862781,1108315,13869,3495,378,391989,0 100,2,2024-09-07 09:59:01:824,812622,812233,389,0,42694297,0,16909 100,3,2024-09-07 09:59:01:732,1,644,3,0,627,10442,644,0 101,0,2024-09-07 09:59:01:719,164089,1.0,160104,1.0,313347,1.0,427709,2.25 101,1,2024-09-07 09:59:00:572,1128329,1128329,0,0,529694244354,5564739822,1113298,12351,2680,368,391847,0 101,2,2024-09-07 09:59:01:781,810110,810110,0,0,42496715,0,4871 101,3,2024-09-07 09:59:00:949,1,644,1,0,1250,9480,644,0 102,0,2024-09-07 09:59:00:952,155217,0.6,159909,0.8,324836,0.6,424876,2.25 102,1,2024-09-07 09:59:01:148,1127929,1127929,0,0,530105944907,5566073521,1113157,12563,2209,369,391984,0 102,2,2024-09-07 09:59:01:740,813127,813073,54,0,36303534,0,6768 102,3,2024-09-07 09:59:01:614,1,644,67,0,466,7242,644,0 103,0,2024-09-07 09:59:01:590,165484,0.5,165443,0.7,311715,0.5,429329,2.00 103,1,2024-09-07 09:59:01:626,1127049,1127049,0,0,528641455666,5580085572,1109477,13958,3614,381,392077,0 103,2,2024-09-07 09:59:00:582,811677,811677,0,0,39492614,0,3766 103,3,2024-09-07 09:59:00:758,1,644,13,0,916,7467,644,0 104,0,2024-09-07 09:59:01:022,165407,0.7,165873,0.9,330344,0.7,442339,2.25 104,1,2024-09-07 09:59:01:606,1129291,1129291,0,0,529398631952,5564240476,1112073,13973,3245,365,392168,0 104,2,2024-09-07 09:59:01:665,812326,812326,0,0,39049207,0,4161 104,3,2024-09-07 09:59:01:417,1,644,0,0,1245,11034,644,0 105,0,2024-09-07 09:59:01:047,160024,0.9,155991,1.0,326969,1.0,429643,2.50 105,1,2024-09-07 09:59:00:556,1131096,1131096,0,0,531525733273,5565341125,1117201,11939,1956,364,392009,0 105,2,2024-09-07 09:59:01:339,815750,815750,0,0,39679657,0,4360 105,3,2024-09-07 09:59:01:312,1,644,101,0,573,9723,644,0 106,0,2024-09-07 09:59:00:968,153326,0.9,157122,1.0,321842,1.1,420021,2.50 106,1,2024-09-07 09:59:01:750,1129239,1129239,0,0,529530275015,5554826162,1113864,13442,1933,368,391914,0 106,2,2024-09-07 09:59:00:758,811638,811638,0,0,36606581,0,3331 106,3,2024-09-07 09:59:00:682,1,644,1,0,1224,8665,644,0 107,0,2024-09-07 09:59:01:106,158111,0.9,158197,0.9,316593,1.0,422053,2.25 107,1,2024-09-07 09:59:00:585,1127228,1127228,0,0,528633650797,5585067479,1109156,15894,2178,381,392234,0 107,2,2024-09-07 09:59:01:297,809598,809597,1,0,40354550,0,5024 107,3,2024-09-07 09:59:01:759,1,644,9,0,733,9610,644,0 108,0,2024-09-07 09:59:01:763,164373,0.4,165090,0.6,329047,0.4,438932,1.75 108,1,2024-09-07 09:59:01:299,1130767,1130767,0,0,530761668935,5533452007,1121699,8068,1000,367,391894,0 108,2,2024-09-07 09:59:01:756,814860,814860,0,0,35550194,0,4432 108,3,2024-09-07 09:59:01:330,1,644,26,0,749,11106,644,0 109,0,2024-09-07 09:59:01:746,164021,0.4,162607,0.6,325980,0.3,434869,1.75 109,1,2024-09-07 09:59:00:584,1128471,1128471,0,0,529895299581,5557669215,1118298,8673,1500,382,392132,0 109,2,2024-09-07 09:59:00:928,816354,816354,0,0,35592430,0,3617 109,3,2024-09-07 09:59:01:140,1,644,1,0,630,7533,644,0 110,0,2024-09-07 09:59:01:748,158233,0.4,153919,0.6,322253,0.3,422467,1.75 110,1,2024-09-07 09:59:01:642,1132888,1132888,0,0,531943376592,5519725743,1124492,6441,1955,368,392045,0 110,2,2024-09-07 09:59:01:321,813534,813534,0,0,33162929,0,4067 110,3,2024-09-07 09:59:00:690,1,644,237,0,722,8705,644,0 111,0,2024-09-07 09:59:01:438,158542,0.4,157728,0.5,315152,0.3,420385,1.75 111,1,2024-09-07 09:59:01:000,1134149,1134149,0,0,532662549911,5524054338,1128063,5703,383,380,391690,0 111,2,2024-09-07 09:59:01:121,813316,813316,0,0,33688001,0,4823 111,3,2024-09-07 09:59:00:918,1,644,234,0,379,7180,644,0 112,0,2024-09-07 09:59:00:917,163759,0.3,163325,0.4,327441,0.2,435317,1.50 112,1,2024-09-07 09:59:00:824,1133197,1133197,0,0,531653573709,5517978358,1125877,6095,1225,380,391624,0 112,2,2024-09-07 09:59:01:133,814916,814915,1,0,32236775,0,5036 112,3,2024-09-07 09:59:00:592,1,644,1,0,282,6048,644,0 113,0,2024-09-07 09:59:00:869,164722,0.3,164715,0.5,329906,0.2,438771,1.75 113,1,2024-09-07 09:59:01:686,1135761,1135761,0,0,533758012490,5503940391,1129486,5327,948,365,391664,0 113,2,2024-09-07 09:59:01:313,820664,820664,0,0,29981182,0,3813 113,3,2024-09-07 09:59:00:684,1,644,1,0,510,6698,644,0 114,0,2024-09-07 09:59:00:891,159915,0.3,160922,0.5,321030,0.2,428003,1.75 114,1,2024-09-07 09:59:00:716,1133189,1133189,0,0,531394195821,5514813996,1125060,6381,1748,381,391565,0 114,2,2024-09-07 09:59:00:888,816219,816218,1,0,31005426,0,5069 114,3,2024-09-07 09:59:01:277,1,644,0,0,415,5382,644,0 115,0,2024-09-07 09:59:00:564,160016,0.3,160526,0.4,319764,0.2,425598,1.50 115,1,2024-09-07 09:59:00:574,1133470,1133470,0,0,532028788081,5522899612,1125071,6880,1519,382,391757,0 115,2,2024-09-07 09:59:01:124,815177,815177,0,0,31279313,0,4382 115,3,2024-09-07 09:59:01:005,1,644,9,0,167,3934,644,0 116,0,2024-09-07 09:59:01:698,160453,0.7,160356,0.9,321649,0.7,429881,2.00 116,1,2024-09-07 09:59:00:815,1126700,1126700,0,0,529469244676,5577869495,1113281,10213,3206,380,392089,0 116,2,2024-09-07 09:59:01:751,813857,813857,0,0,39119405,0,4475 116,3,2024-09-07 09:59:00:918,1,644,1,0,448,8145,644,0 117,0,2024-09-07 09:59:00:972,166876,0.7,166379,0.8,333036,0.7,444943,2.00 117,1,2024-09-07 09:59:01:592,1129127,1129127,0,0,529888536771,5546259459,1116891,10655,1581,369,392429,0 117,2,2024-09-07 09:59:01:122,817008,817008,0,0,35492924,0,4303 117,3,2024-09-07 09:59:01:063,1,644,1,0,490,8051,644,0 118,0,2024-09-07 09:59:01:765,156530,0.5,160703,0.7,328014,0.5,429107,2.00 118,1,2024-09-07 09:59:00:585,1128215,1128215,0,0,529078969775,5559072116,1111967,12597,3651,366,392054,0 118,2,2024-09-07 09:59:01:586,815082,815082,0,0,38090855,0,2842 118,3,2024-09-07 09:59:01:771,1,644,5,0,343,7837,644,0 119,0,2024-09-07 09:59:01:348,158821,0.7,159322,0.8,318153,0.8,424119,2.25 119,1,2024-09-07 09:59:00:557,1129174,1129174,0,0,529873328532,5553895299,1114361,12300,2513,367,391857,0 119,2,2024-09-07 09:59:01:261,813688,813688,0,0,35228426,0,4309 119,3,2024-09-07 09:59:01:327,1,644,11,0,1358,11723,644,0 120,0,2024-09-07 09:59:01:579,158657,0.6,158401,0.8,318220,0.7,424386,2.25 120,1,2024-09-07 09:59:00:879,1130077,1130077,0,0,530354658022,5559289360,1118088,10844,1145,367,392144,0 120,2,2024-09-07 09:59:00:771,812529,812526,3,0,42246223,0,5363 120,3,2024-09-07 09:59:01:322,1,644,1,0,478,8045,644,0 121,0,2024-09-07 09:59:01:738,165641,1.0,165077,1.0,330346,1.2,440279,2.25 121,1,2024-09-07 09:59:01:670,1129246,1129246,0,0,530281094321,5549045608,1117000,10408,1838,366,391840,0 121,2,2024-09-07 09:59:01:131,814227,814227,0,0,38895722,0,4157 121,3,2024-09-07 09:59:00:733,1,644,4,0,387,8447,644,0 122,0,2024-09-07 09:59:01:761,162231,0.7,157687,0.9,330272,0.8,434515,2.00 122,1,2024-09-07 09:59:00:860,1128756,1128756,0,0,529933138077,5559068149,1113565,12772,2419,365,392130,0 122,2,2024-09-07 09:59:01:327,817647,817572,75,0,42458796,0,5989 122,3,2024-09-07 09:59:00:593,1,644,71,0,512,10049,644,0 123,0,2024-09-07 09:59:00:989,158074,0.8,153879,0.9,322578,0.9,421875,2.25 123,1,2024-09-07 09:59:00:557,1129185,1129185,0,0,529760261332,5570233267,1111214,15098,2873,369,392039,0 123,2,2024-09-07 09:59:01:026,810836,810835,1,0,36202633,0,5215 123,3,2024-09-07 09:59:01:133,1,644,8,0,478,7207,644,0 124,0,2024-09-07 09:59:00:965,162206,0.3,162295,0.5,305822,0.3,421343,1.75 124,1,2024-09-07 09:59:01:033,1133211,1133211,0,0,531210986175,5520037868,1125046,6973,1192,365,392178,0 124,2,2024-09-07 09:59:01:010,815355,815302,53,0,33324832,0,6487 124,3,2024-09-07 09:59:00:768,1,644,59,0,490,6357,644,0 125,0,2024-09-07 09:59:01:481,163694,0.4,163573,0.5,328035,0.3,437281,1.75 125,1,2024-09-07 09:59:00:868,1130277,1130277,0,0,530355460347,5535916158,1121327,7693,1257,382,392045,0 125,2,2024-09-07 09:59:01:120,817104,817104,0,0,33252544,0,4534 125,3,2024-09-07 09:59:01:142,1,644,1,0,709,7341,644,0 126,0,2024-09-07 09:59:01:415,164304,0.4,169027,0.5,323121,0.3,437541,1.75 126,1,2024-09-07 09:59:00:569,1134179,1134179,0,0,531999467355,5506191967,1128204,5518,457,365,391987,0 126,2,2024-09-07 09:59:00:610,819823,819823,0,0,34445644,0,4539 126,3,2024-09-07 09:59:00:907,1,644,2,0,268,6898,644,0 127,0,2024-09-07 09:59:01:594,159156,0.3,159257,0.5,317905,0.3,422605,1.75 127,1,2024-09-07 09:59:00:572,1131667,1131667,0,0,531021559376,5523214525,1120465,9548,1654,364,392187,0 127,2,2024-09-07 09:59:00:672,813832,813828,4,0,32485426,0,5305 127,3,2024-09-07 09:59:01:267,1,644,7,0,968,6200,644,0 128,0,2024-09-07 09:59:01:530,158942,0.3,159089,0.4,317912,0.2,422161,1.50 128,1,2024-09-07 09:59:01:605,1131613,1131613,0,0,531122216560,5522549218,1122865,7783,965,367,392423,0 128,2,2024-09-07 09:59:01:398,815464,815464,0,0,30046601,0,3171 128,3,2024-09-07 09:59:00:766,1,644,10,0,1082,9850,644,0 129,0,2024-09-07 09:59:00:999,163443,0.3,162575,0.5,326000,0.3,433507,1.50 129,1,2024-09-07 09:59:00:573,1128594,1128594,0,0,530259560087,5554955379,1117644,8928,2022,379,391962,0 129,2,2024-09-07 09:59:00:704,816140,816136,4,0,32809370,0,5335 129,3,2024-09-07 09:59:00:688,1,644,2,0,506,8586,644,0 130,0,2024-09-07 09:59:01:724,166362,0.4,166111,0.6,332580,0.4,442501,1.75 130,1,2024-09-07 09:59:00:583,1133485,1133485,0,0,531466422444,5516582411,1128016,5066,403,381,391825,0 130,2,2024-09-07 09:59:01:124,817243,817243,0,0,32905262,0,4067 130,3,2024-09-07 09:59:01:300,1,644,1,0,960,8466,644,0 131,0,2024-09-07 09:59:01:978,160661,0.3,161100,0.5,323169,0.3,429280,1.50 131,1,2024-09-07 09:59:01:825,1132585,1132585,0,0,531255642272,5535371033,1124920,6466,1199,381,391865,0 131,2,2024-09-07 09:59:00:571,815715,815715,0,0,30449928,0,3979 131,3,2024-09-07 09:59:01:693,1,644,8,0,392,8072,644,0 132,0,2024-09-07 09:59:01:459,159881,0.5,160883,0.6,320177,0.4,426162,2.00 132,1,2024-09-07 09:59:00:581,1127954,1127954,0,0,529327763831,5569831579,1111926,13250,2778,381,392532,0 132,2,2024-09-07 09:59:00:701,812491,812474,17,0,39665060,0,6451 132,3,2024-09-07 09:59:01:689,1,644,1,0,1298,11276,644,0 133,0,2024-09-07 09:59:01:527,156198,0.4,159886,0.6,327823,0.4,426730,2.00 133,1,2024-09-07 09:59:00:583,1127887,1127887,0,0,528784648103,5569353987,1112975,12982,1930,383,391914,0 133,2,2024-09-07 09:59:01:092,814822,814772,50,0,40461606,0,6861 133,3,2024-09-07 09:59:01:297,1,644,1,0,528,7702,644,0 134,0,2024-09-07 09:59:00:974,167199,0.5,167267,0.7,334382,0.5,445921,2.25 134,1,2024-09-07 09:59:00:585,1128796,1128796,0,0,529801640916,5558570885,1113705,11917,3174,366,391781,0 134,2,2024-09-07 09:59:01:761,814615,814591,24,0,37527348,0,6207 134,3,2024-09-07 09:59:00:750,1,644,63,0,739,7967,644,0 135,0,2024-09-07 09:59:01:150,156800,0.7,156779,0.8,332893,0.8,428997,2.25 135,1,2024-09-07 09:59:01:585,1128755,1128755,0,0,530139389064,5573138485,1114693,12215,1847,380,391974,0 135,2,2024-09-07 09:59:00:687,818095,818095,0,0,38674520,0,4503 135,3,2024-09-07 09:59:01:011,1,644,8,0,900,6152,644,0 136,0,2024-09-07 09:59:01:637,159234,0.6,159690,0.8,317266,0.6,423325,2.00 136,1,2024-09-07 09:59:01:446,1129993,1129993,0,0,530839856178,5559414551,1117993,10608,1392,381,392135,0 136,2,2024-09-07 09:59:01:144,814038,814023,15,0,37909673,0,6007 136,3,2024-09-07 09:59:01:124,1,644,14,0,637,7368,644,0 137,0,2024-09-07 09:59:00:986,163482,0.6,159384,0.7,312031,0.6,423949,2.00 137,1,2024-09-07 09:59:00:606,1129154,1129154,0,0,530442018617,5560825929,1112051,13687,3416,366,391898,0 137,2,2024-09-07 09:59:01:705,811906,811906,0,0,39193150,0,3185 137,3,2024-09-07 09:59:00:793,1,644,0,0,484,8506,644,0 138,0,2024-09-07 09:59:01:741,163908,0.8,164209,0.9,328970,1.0,437593,2.00 138,1,2024-09-07 09:59:01:689,1128992,1128992,0,0,530208562462,5563493814,1112888,13468,2636,368,391954,0 138,2,2024-09-07 09:59:00:595,813563,813563,0,0,37726333,0,4988 138,3,2024-09-07 09:59:00:609,1,644,2,0,1200,9758,644,0 139,0,2024-09-07 09:59:01:369,161759,0.9,162377,0.9,324368,1.3,433160,2.25 139,1,2024-09-07 09:59:00:572,1124776,1124776,0,0,527359441549,5587809916,1105914,14996,3866,380,392109,0 139,2,2024-09-07 09:59:00:699,812514,812484,30,0,43180396,0,5997 139,3,2024-09-07 09:59:01:667,1,644,10,0,432,7495,644,0 140,0,2024-09-07 09:59:01:588,158789,0.3,157985,0.5,317288,0.2,422746,1.75 140,1,2024-09-07 09:59:01:536,1136874,1136874,0,0,534163918950,5505760190,1131503,4819,552,364,391628,0 140,2,2024-09-07 09:59:00:697,813625,813624,1,0,30609491,0,5036 140,3,2024-09-07 09:59:00:779,1,644,0,0,575,6282,644,0 141,0,2024-09-07 09:59:01:709,158087,0.3,162500,0.5,310634,0.2,420140,1.50 141,1,2024-09-07 09:59:00:860,1133361,1133361,0,0,531592911714,5522119483,1124340,7706,1315,379,391614,0 141,2,2024-09-07 09:59:01:686,814275,814264,11,0,32596064,0,5369 141,3,2024-09-07 09:59:01:043,1,644,0,0,391,7443,644,0 142,0,2024-09-07 09:59:01:315,164070,0.3,163277,0.5,326740,0.2,436239,1.50 142,1,2024-09-07 09:59:00:597,1131447,1131447,0,0,530804448698,5533047151,1123787,7002,658,382,392102,0 142,2,2024-09-07 09:59:01:299,814025,813993,32,0,33348686,0,6028 142,3,2024-09-07 09:59:01:746,1,644,2,0,484,6745,644,0 143,0,2024-09-07 09:59:01:373,164796,0.4,164587,0.5,330189,0.4,438843,1.75 143,1,2024-09-07 09:59:00:555,1134453,1134453,0,0,532903331020,5525869308,1127585,6207,661,367,391900,0 143,2,2024-09-07 09:59:00:780,818801,818801,0,0,33255212,0,3123 143,3,2024-09-07 09:59:01:149,1,644,12,0,462,7540,644,0 144,0,2024-09-07 09:59:01:492,154962,0.6,159502,0.7,324526,0.5,424483,2.00 144,1,2024-09-07 09:59:00:566,1129046,1129046,0,0,528740292767,5545736387,1118164,8886,1996,381,391733,0 144,2,2024-09-07 09:59:01:760,815235,815235,0,0,32128008,0,4443 144,3,2024-09-07 09:59:01:739,1,644,2,0,259,6501,644,0 145,0,2024-09-07 09:59:01:364,154172,0.6,154170,0.8,327351,0.5,421184,2.25 145,1,2024-09-07 09:59:00:556,1127791,1127791,0,0,529573329457,5571193603,1112848,12189,2754,382,391781,0 145,2,2024-09-07 09:59:01:436,811725,811643,82,0,38576827,0,7814 145,3,2024-09-07 09:59:00:899,1,644,1,0,622,8644,644,0 146,0,2024-09-07 09:59:01:609,161020,0.6,160162,0.8,322208,0.6,428448,2.25 146,1,2024-09-07 09:59:01:585,1129051,1129051,0,0,529646475953,5572161432,1110564,13668,4819,367,391770,0 146,2,2024-09-07 09:59:01:699,812492,812486,6,0,37279248,0,5151 146,3,2024-09-07 09:59:01:278,1,644,134,0,1520,10604,644,0 147,0,2024-09-07 09:59:01:695,166792,0.6,166413,0.7,332973,0.6,443950,2.00 147,1,2024-09-07 09:59:01:381,1133372,1133372,0,0,531465964399,5521249734,1124478,7865,1029,367,391791,0 147,2,2024-09-07 09:59:01:011,815532,815532,0,0,32990525,0,3359 147,3,2024-09-07 09:59:00:918,1,644,19,0,1626,9933,644,0 0,0,2024-09-07 09:59:11:740,155279,0.6,155268,0.7,329553,0.6,425932,2.00 0,1,2024-09-07 09:59:10:815,1131985,1131985,0,0,531374742831,5559637370,1123053,7979,953,368,391896,0 0,2,2024-09-07 09:59:11:066,815564,815564,0,0,32586518,0,4480 0,3,2024-09-07 09:59:10:999,1,645,0,0,431,8912,645,0 1,0,2024-09-07 09:59:11:796,165614,0.8,164607,0.8,330404,0.9,442158,2.00 1,1,2024-09-07 09:59:10:588,1130564,1130564,0,0,530689896647,5553973578,1121000,8067,1497,370,391859,0 1,2,2024-09-07 09:59:10:665,816697,816697,0,0,32137468,0,3380 1,3,2024-09-07 09:59:11:338,1,645,6,0,269,7786,645,0 2,0,2024-09-07 09:59:11:612,163037,0.6,162564,0.7,325194,0.6,434083,2.00 2,1,2024-09-07 09:59:10:875,1133858,1133858,0,0,533002943366,5538244835,1127643,5263,952,379,391745,0 2,2,2024-09-07 09:59:11:284,819746,819746,0,0,30649913,0,3594 2,3,2024-09-07 09:59:10:697,1,645,1,0,357,5571,645,0 3,0,2024-09-07 09:59:11:753,158769,0.4,158810,0.6,317167,0.4,422468,2.00 3,1,2024-09-07 09:59:11:623,1133187,1133187,0,0,531969057552,5530370471,1125273,7197,717,379,391716,0 3,2,2024-09-07 09:59:11:141,814921,814898,23,0,31489633,0,5851 3,3,2024-09-07 09:59:11:753,1,645,14,0,484,4891,645,0 4,0,2024-09-07 09:59:11:847,153580,0.4,157832,0.5,321731,0.3,420723,1.75 4,1,2024-09-07 09:59:10:618,1127628,1127628,0,0,529337294301,5601301776,1108401,14690,4537,369,391992,0 4,2,2024-09-07 09:59:11:018,812020,812020,0,0,38972145,0,4534 4,3,2024-09-07 09:59:11:034,1,645,1,0,448,8595,645,0 5,0,2024-09-07 09:59:11:441,164343,0.4,164240,0.5,328767,0.4,437502,1.75 5,1,2024-09-07 09:59:10:763,1129061,1129061,0,0,530017614805,5593159572,1111830,13006,4225,367,392005,0 5,2,2024-09-07 09:59:11:863,812975,812975,0,0,37950599,0,3582 5,3,2024-09-07 09:59:11:734,1,645,1,0,457,8626,645,0 6,0,2024-09-07 09:59:10:920,164237,0.5,163690,0.6,327521,0.4,436338,2.00 6,1,2024-09-07 09:59:10:757,1131481,1131481,0,0,531201330434,5544963548,1120022,9869,1590,379,391702,0 6,2,2024-09-07 09:59:11:118,819684,819666,18,0,36387080,0,5535 6,3,2024-09-07 09:59:11:280,1,645,1,0,710,7596,645,0 7,0,2024-09-07 09:59:11:535,158212,0.5,159323,0.7,316252,0.5,421503,2.00 7,1,2024-09-07 09:59:10:851,1129282,1129282,0,0,530336637900,5582022328,1111785,13362,4135,382,391747,0 7,2,2024-09-07 09:59:10:770,813427,813427,0,0,35009073,0,4791 7,3,2024-09-07 09:59:10:860,1,645,13,0,552,7400,645,0 8,0,2024-09-07 09:59:11:356,158815,0.4,158445,0.5,317366,0.3,422492,1.75 8,1,2024-09-07 09:59:11:018,1128511,1128511,0,0,529775650355,5569068525,1110978,13812,3721,366,392853,0 8,2,2024-09-07 09:59:10:822,809397,809395,2,0,41667470,0,5112 8,3,2024-09-07 09:59:10:617,1,645,61,0,772,9966,645,0 9,0,2024-09-07 09:59:11:108,162542,0.4,157986,0.5,330526,0.3,434087,1.75 9,1,2024-09-07 09:59:10:567,1128221,1128221,0,0,529618506296,5585652621,1109627,14493,4101,369,392001,0 9,2,2024-09-07 09:59:11:094,814135,814134,1,0,39624019,0,5281 9,3,2024-09-07 09:59:11:763,1,645,3,0,1273,10751,645,0 10,0,2024-09-07 09:59:11:607,165565,0.4,164900,0.5,330911,0.3,440232,1.75 10,1,2024-09-07 09:59:10:623,1130272,1130272,0,0,530497253719,5567846900,1113039,13704,3529,381,391981,0 10,2,2024-09-07 09:59:10:765,818105,818105,0,0,42678230,0,4713 10,3,2024-09-07 09:59:10:883,1,645,0,0,669,6943,645,0 11,0,2024-09-07 09:59:11:025,160600,0.4,155935,0.6,326332,0.4,429326,1.75 11,1,2024-09-07 09:59:10:575,1132638,1132638,0,0,531344158690,5571847025,1116252,12078,4308,383,391766,0 11,2,2024-09-07 09:59:11:123,814480,814480,0,0,37259151,0,4698 11,3,2024-09-07 09:59:11:302,1,645,7,0,843,8002,645,0 12,0,2024-09-07 09:59:11:047,161007,0.4,160851,0.5,321333,0.4,427177,1.75 12,1,2024-09-07 09:59:10:947,1132144,1132144,0,0,530984938513,5536737318,1123237,7893,1014,370,391960,0 12,2,2024-09-07 09:59:11:550,812649,812649,0,0,36122291,0,4390 12,3,2024-09-07 09:59:11:067,1,645,2,0,386,8249,645,0 13,0,2024-09-07 09:59:11:345,161430,0.4,161556,0.5,322173,0.3,429457,1.75 13,1,2024-09-07 09:59:11:530,1129503,1129503,0,0,530299944904,5570725634,1118290,8817,2396,382,391803,0 13,2,2024-09-07 09:59:10:620,817128,817128,0,0,32685132,0,3287 13,3,2024-09-07 09:59:11:785,1,645,2,0,522,8590,645,0 14,0,2024-09-07 09:59:10:576,166986,0.4,168055,0.6,334112,0.4,444878,1.75 14,1,2024-09-07 09:59:11:562,1137176,1137176,0,0,533681514123,5519579031,1128604,7441,1131,364,391673,0 14,2,2024-09-07 09:59:10:765,816831,816801,30,0,33713450,0,6104 14,3,2024-09-07 09:59:11:115,1,645,4,0,1168,6877,645,0 15,0,2024-09-07 09:59:11:594,162308,0.4,161425,0.6,323206,0.4,431254,2.00 15,1,2024-09-07 09:59:11:612,1133752,1133752,0,0,532756441340,5547063993,1124154,7605,1993,381,391619,0 15,2,2024-09-07 09:59:11:001,819608,819608,0,0,30142421,0,3622 15,3,2024-09-07 09:59:11:405,1,645,8,0,1126,8327,645,0 16,0,2024-09-07 09:59:11:031,158857,0.6,159542,0.7,318440,0.6,423802,2.00 16,1,2024-09-07 09:59:10:582,1133425,1133425,0,0,531418257164,5552018429,1123656,8306,1463,370,392194,0 16,2,2024-09-07 09:59:11:439,813394,813394,0,0,33093190,0,4719 16,3,2024-09-07 09:59:11:146,1,645,8,0,358,7790,645,0 17,0,2024-09-07 09:59:11:793,163638,0.5,159366,0.7,312476,0.5,424848,2.00 17,1,2024-09-07 09:59:10:668,1131467,1131467,0,0,531015665744,5565352156,1120889,8801,1777,368,392075,0 17,2,2024-09-07 09:59:11:665,817687,817686,1,0,34831086,0,5050 17,3,2024-09-07 09:59:10:581,1,645,26,0,518,9429,645,0 18,0,2024-09-07 09:59:10:957,163514,0.6,164613,0.8,327678,0.6,437972,2.25 18,1,2024-09-07 09:59:11:637,1135759,1135759,0,0,532950791896,5524472975,1128543,5957,1259,367,391725,0 18,2,2024-09-07 09:59:11:755,818194,818194,0,0,31092327,0,3541 18,3,2024-09-07 09:59:10:901,1,645,3,0,1059,5732,645,0 19,0,2024-09-07 09:59:11:554,162600,0.6,163069,0.8,325143,0.7,432047,2.00 19,1,2024-09-07 09:59:10:582,1135659,1135659,0,0,533307240940,5525274206,1127328,7193,1138,365,391777,0 19,2,2024-09-07 09:59:11:758,822288,822288,0,0,29158673,0,3988 19,3,2024-09-07 09:59:11:128,1,645,12,0,524,4944,645,0 20,0,2024-09-07 09:59:11:400,158463,0.5,158286,0.7,316608,0.5,422146,2.00 20,1,2024-09-07 09:59:10:583,1131458,1131458,0,0,531049753639,5563229909,1120343,9572,1543,369,391922,0 20,2,2024-09-07 09:59:10:935,813511,813511,0,0,36410325,0,4321 20,3,2024-09-07 09:59:10:598,1,645,1,0,468,9921,645,0 21,0,2024-09-07 09:59:11:129,158102,0.5,158112,0.6,316140,0.4,419816,2.00 21,1,2024-09-07 09:59:11:541,1129341,1129341,0,0,529875797934,5589875008,1111875,13542,3924,368,392016,0 21,2,2024-09-07 09:59:11:073,808320,807843,477,0,47051598,0,17074 21,3,2024-09-07 09:59:11:404,1,645,1,0,713,8865,645,0 22,0,2024-09-07 09:59:11:727,163393,0.4,164066,0.6,327667,0.4,435111,2.00 22,1,2024-09-07 09:59:11:036,1129847,1129847,0,0,530650886900,5581628573,1111565,14730,3552,381,391822,0 22,2,2024-09-07 09:59:10:768,814360,814334,26,0,35294523,0,6328 22,3,2024-09-07 09:59:11:066,1,645,2,0,228,5448,645,0 23,0,2024-09-07 09:59:11:366,164361,0.5,164205,0.7,328438,0.4,437457,2.00 23,1,2024-09-07 09:59:11:003,1130651,1130651,0,0,531234379250,5592176714,1110531,13410,6710,365,391690,0 23,2,2024-09-07 09:59:11:098,819337,819337,0,0,33455684,0,3773 23,3,2024-09-07 09:59:11:754,1,645,13,0,855,9365,645,0 24,0,2024-09-07 09:59:10:842,160867,0.4,159973,0.5,321675,0.4,426819,1.75 24,1,2024-09-07 09:59:10:595,1130640,1130640,0,0,529854796233,5545277858,1120053,8810,1777,367,392269,0 24,2,2024-09-07 09:59:11:074,814093,814090,3,0,40099950,0,6294 24,3,2024-09-07 09:59:11:693,1,645,2,0,468,8429,645,0 25,0,2024-09-07 09:59:11:454,163812,0.4,159507,0.6,313472,0.4,426385,1.75 25,1,2024-09-07 09:59:10:604,1128673,1128673,0,0,529274563053,5590423200,1108995,15772,3906,369,391928,0 25,2,2024-09-07 09:59:11:606,812060,812060,0,0,40706818,0,3978 25,3,2024-09-07 09:59:11:001,1,645,13,0,532,7106,645,0 26,0,2024-09-07 09:59:11:727,160785,0.4,157051,0.6,329748,0.4,429923,1.75 26,1,2024-09-07 09:59:11:550,1132396,1132396,0,0,532235477828,5572537791,1116979,12517,2900,380,391758,0 26,2,2024-09-07 09:59:10:861,815092,815092,0,0,43381551,0,4689 26,3,2024-09-07 09:59:11:720,1,645,4,0,796,7895,645,0 27,0,2024-09-07 09:59:11:729,166623,0.4,167421,0.6,332779,0.4,443672,2.25 27,1,2024-09-07 09:59:11:677,1133841,1133841,0,0,532155028454,5542750241,1123226,8998,1617,381,391626,0 27,2,2024-09-07 09:59:10:866,813764,813699,65,0,37951831,0,5699 27,3,2024-09-07 09:59:11:014,1,645,13,0,564,5415,645,0 28,0,2024-09-07 09:59:11:387,161706,0.4,161946,0.6,324057,0.3,431348,1.75 28,1,2024-09-07 09:59:10:797,1134502,1134502,0,0,532863091417,5552028489,1125311,7290,1901,382,391904,0 28,2,2024-09-07 09:59:11:775,817278,817278,0,0,32918822,0,2915 28,3,2024-09-07 09:59:11:789,1,645,3,0,502,6517,645,0 29,0,2024-09-07 09:59:11:358,163951,0.4,159640,0.6,313108,0.3,427063,1.75 29,1,2024-09-07 09:59:11:568,1136999,1136999,0,0,533868851126,5521577877,1129901,6079,1019,367,391809,0 29,2,2024-09-07 09:59:10:861,814187,814187,0,0,31672719,0,4986 29,3,2024-09-07 09:59:10:971,1,645,10,0,459,6743,645,0 30,0,2024-09-07 09:59:11:457,158931,0.5,154724,0.7,324236,0.5,424049,2.00 30,1,2024-09-07 09:59:10:573,1135671,1135671,0,0,533817717909,5539308972,1127149,7477,1045,380,391672,0 30,2,2024-09-07 09:59:11:276,816536,816536,0,0,30573028,0,4192 30,3,2024-09-07 09:59:10:621,1,645,67,0,519,6284,645,0 31,0,2024-09-07 09:59:11:777,165565,0.4,166413,0.6,331678,0.4,442456,1.75 31,1,2024-09-07 09:59:10:572,1140093,1140093,0,0,534244880706,5485297478,1133166,5811,1116,356,391712,0 31,2,2024-09-07 09:59:11:282,815616,815616,0,0,34713777,0,4470 31,3,2024-09-07 09:59:11:706,1,645,12,0,239,5610,645,0 32,0,2024-09-07 09:59:11:428,163180,0.3,164202,0.5,326764,0.3,435471,1.75 32,1,2024-09-07 09:59:10:821,1135341,1135341,0,0,532476776191,5531384997,1128742,5839,760,381,391646,0 32,2,2024-09-07 09:59:10:952,821183,821183,0,0,29949934,0,3922 32,3,2024-09-07 09:59:11:027,1,645,25,0,304,5253,645,0 33,0,2024-09-07 09:59:11:492,159486,0.3,159097,0.4,318222,0.2,423455,1.50 33,1,2024-09-07 09:59:10:585,1136561,1136561,0,0,533716577479,5524674162,1127921,7366,1274,368,391730,0 33,2,2024-09-07 09:59:10:778,814581,814546,35,0,33519060,0,7012 33,3,2024-09-07 09:59:10:906,1,645,5,0,329,5626,645,0 34,0,2024-09-07 09:59:10:938,158447,0.3,162859,0.5,311441,0.2,420521,1.75 34,1,2024-09-07 09:59:11:045,1138274,1138274,0,0,535055602929,5502219355,1135058,3103,113,367,391637,0 34,2,2024-09-07 09:59:10:770,816101,816101,0,0,31448468,0,4562 34,3,2024-09-07 09:59:11:694,1,645,7,0,541,5637,645,0 35,0,2024-09-07 09:59:10:867,163565,0.3,164252,0.5,329411,0.2,438253,1.75 35,1,2024-09-07 09:59:11:068,1134651,1134651,0,0,532743527061,5525751930,1126342,6786,1523,382,391769,0 35,2,2024-09-07 09:59:11:584,816533,816533,0,0,35484621,0,4055 35,3,2024-09-07 09:59:10:909,1,645,23,0,466,5989,645,0 36,0,2024-09-07 09:59:11:518,164583,0.5,164832,0.6,329001,0.4,437878,2.00 36,1,2024-09-07 09:59:10:607,1133318,1133318,0,0,531534648072,5547773938,1119380,11692,2246,366,391759,0 36,2,2024-09-07 09:59:11:752,819965,819965,0,0,36801228,0,3875 36,3,2024-09-07 09:59:10:863,1,645,1,0,556,8572,645,0 37,0,2024-09-07 09:59:11:367,158121,0.5,158218,0.7,316651,0.5,422202,2.25 37,1,2024-09-07 09:59:10:574,1132764,1132757,0,7,531713358750,5551594190,1119354,10481,2922,365,391770,0 37,2,2024-09-07 09:59:11:141,811775,811760,15,0,36048121,0,5815 37,3,2024-09-07 09:59:11:783,1,645,1,0,888,8760,645,0 38,0,2024-09-07 09:59:11:474,157606,0.4,152776,0.6,319529,0.4,418310,2.00 38,1,2024-09-07 09:59:11:605,1132321,1132321,0,0,531709336737,5559465746,1116001,13024,3296,368,391821,0 38,2,2024-09-07 09:59:10:765,813933,813886,47,0,36641235,0,6710 38,3,2024-09-07 09:59:11:009,1,645,8,0,689,7514,645,0 39,0,2024-09-07 09:59:11:761,166120,0.5,162365,0.7,316814,0.5,432944,2.00 39,1,2024-09-07 09:59:10:728,1132047,1132047,0,0,531379096450,5569841712,1113558,14301,4188,365,391865,0 39,2,2024-09-07 09:59:11:423,815547,815547,0,0,34957499,0,3391 39,3,2024-09-07 09:59:10:724,1,645,8,0,525,7256,645,0 40,0,2024-09-07 09:59:11:527,164046,0.9,164820,1.0,328925,1.0,439073,2.75 40,1,2024-09-07 09:59:10:584,1132359,1132359,0,0,530247027729,5556485288,1115097,13967,3295,366,391668,0 40,2,2024-09-07 09:59:11:303,815408,815401,7,0,41076319,0,5347 40,3,2024-09-07 09:59:11:144,1,645,49,0,1028,9283,645,0 41,0,2024-09-07 09:59:11:024,159958,1.2,163697,1.1,312270,1.7,423925,3.00 41,1,2024-09-07 09:59:10:847,1131771,1131771,0,0,531755083983,5559798796,1117479,12091,2201,369,391878,0 41,2,2024-09-07 09:59:10:762,811783,811782,1,0,39511743,0,5408 41,3,2024-09-07 09:59:11:693,1,645,1,0,366,6871,645,0 42,0,2024-09-07 09:59:11:474,158870,0.9,158510,1.0,317308,1.0,421124,2.50 42,1,2024-09-07 09:59:11:439,1129578,1129578,0,0,529630103776,5562640467,1112420,14202,2956,380,391675,0 42,2,2024-09-07 09:59:11:133,811944,811943,1,0,40606255,0,5513 42,3,2024-09-07 09:59:11:009,1,645,1,0,892,6219,645,0 43,0,2024-09-07 09:59:10:920,158959,0.8,154714,0.9,324194,0.8,424509,2.25 43,1,2024-09-07 09:59:10:581,1132726,1132726,0,0,531492375455,5553767880,1116974,13006,2746,366,391696,0 43,2,2024-09-07 09:59:11:735,815567,815567,0,0,37834485,0,4723 43,3,2024-09-07 09:59:11:768,1,645,8,0,571,9021,645,0 44,0,2024-09-07 09:59:10:859,167233,0.4,167398,0.6,334209,0.4,445836,2.00 44,1,2024-09-07 09:59:10:584,1135868,1135868,0,0,532504396615,5502663449,1126034,7793,2041,356,391809,0 44,2,2024-09-07 09:59:11:281,815089,815089,0,0,30651093,0,4344 44,3,2024-09-07 09:59:11:094,1,645,13,0,1097,7811,645,0 45,0,2024-09-07 09:59:11:781,160328,0.5,156728,0.7,328996,0.4,431248,2.00 45,1,2024-09-07 09:59:11:008,1134718,1134718,0,0,533315586461,5540345747,1125953,7686,1079,382,391917,0 45,2,2024-09-07 09:59:11:278,818921,818921,0,0,30786893,0,3596 45,3,2024-09-07 09:59:10:934,1,645,1,0,531,6054,645,0 46,0,2024-09-07 09:59:10:959,158131,0.5,157650,0.7,316270,0.5,420621,2.00 46,1,2024-09-07 09:59:10:580,1137320,1137320,0,0,533468613413,5513243410,1129579,6752,989,366,391709,0 46,2,2024-09-07 09:59:10:622,815697,815697,0,0,31340866,0,4443 46,3,2024-09-07 09:59:11:131,1,645,6,0,908,7402,645,0 47,0,2024-09-07 09:59:11:118,159238,0.4,158962,0.6,319321,0.3,423392,1.75 47,1,2024-09-07 09:59:10:568,1138296,1138296,0,0,533629469351,5511805001,1131717,5637,942,364,391641,0 47,2,2024-09-07 09:59:10:911,818157,818157,0,0,31073090,0,4477 47,3,2024-09-07 09:59:11:118,1,645,35,0,600,6938,645,0 48,0,2024-09-07 09:59:11:495,165353,0.3,165783,0.4,330561,0.2,439753,1.50 48,1,2024-09-07 09:59:11:029,1135184,1135184,0,0,533097505213,5532886499,1128884,5720,580,381,391710,0 48,2,2024-09-07 09:59:10:710,816940,816940,0,0,29039866,0,3524 48,3,2024-09-07 09:59:10:756,1,645,1,0,339,5568,645,0 49,0,2024-09-07 09:59:11:714,167959,0.3,164473,0.5,320024,0.3,436585,1.75 49,1,2024-09-07 09:59:11:051,1134611,1134611,0,0,532004945888,5530838021,1127127,5788,1696,382,391809,0 49,2,2024-09-07 09:59:11:804,820655,820655,0,0,31365630,0,4426 49,3,2024-09-07 09:59:11:418,1,645,10,0,992,7534,645,0 50,0,2024-09-07 09:59:11:507,159123,0.3,157617,0.5,317432,0.2,422111,1.75 50,1,2024-09-07 09:59:11:014,1137459,1137459,0,0,534487409050,5522386838,1130630,6158,671,368,391691,0 50,2,2024-09-07 09:59:11:067,812965,812965,0,0,28726595,0,4490 50,3,2024-09-07 09:59:11:292,1,645,0,0,617,6333,645,0 51,0,2024-09-07 09:59:11:705,162264,0.3,158776,0.5,309183,0.2,421692,1.75 51,1,2024-09-07 09:59:11:680,1137373,1137373,0,0,535601007124,5520003024,1131321,4871,1181,365,391706,0 51,2,2024-09-07 09:59:11:315,815042,815042,0,0,28533829,0,3337 51,3,2024-09-07 09:59:11:026,1,645,7,0,678,4611,645,0 52,0,2024-09-07 09:59:11:432,164088,0.5,163997,0.6,327781,0.4,436227,2.00 52,1,2024-09-07 09:59:10:583,1132755,1132755,0,0,531714805810,5560270070,1117464,12955,2336,368,391805,0 52,2,2024-09-07 09:59:11:757,812767,812729,38,0,39304006,0,6742 52,3,2024-09-07 09:59:10:684,1,645,1,0,1782,7403,645,0 53,0,2024-09-07 09:59:11:729,164199,0.6,159323,0.8,333346,0.7,436479,2.25 53,1,2024-09-07 09:59:10:771,1130670,1130670,0,0,531502606989,5573304016,1112034,14229,4407,367,391968,0 53,2,2024-09-07 09:59:11:303,819896,819895,1,0,34610394,0,5455 53,3,2024-09-07 09:59:10:697,1,645,85,0,308,5878,645,0 54,0,2024-09-07 09:59:11:616,158100,0.6,158361,0.7,315624,0.5,421227,2.25 54,1,2024-09-07 09:59:10:585,1134106,1134106,0,0,531836888048,5527929376,1122823,9611,1672,366,391810,0 54,2,2024-09-07 09:59:10:864,814898,814866,32,0,38665508,0,6397 54,3,2024-09-07 09:59:10:762,1,645,13,0,676,8169,645,0 55,0,2024-09-07 09:59:11:758,153843,0.6,158710,0.8,321845,0.5,418907,2.50 55,1,2024-09-07 09:59:10:765,1134469,1134469,0,0,532406918231,5535993069,1122782,10219,1468,365,391731,0 55,2,2024-09-07 09:59:10:736,813151,813095,56,0,35806786,0,7239 55,3,2024-09-07 09:59:10:684,1,645,8,0,304,5894,645,0 56,0,2024-09-07 09:59:11:566,164505,1.2,155033,1.1,319464,1.5,428161,2.75 56,1,2024-09-07 09:59:10:575,1127733,1127733,0,0,529358420552,5595809008,1109220,14798,3715,381,391867,0 56,2,2024-09-07 09:59:11:302,814145,814023,122,0,38354211,0,7432 56,3,2024-09-07 09:59:11:061,1,645,33,0,705,7802,645,0 57,0,2024-09-07 09:59:10:951,164840,1.5,164609,1.2,329391,2.0,439907,3.00 57,1,2024-09-07 09:59:11:003,1130120,1130120,0,0,529880404465,5561136319,1114741,12690,2689,366,392032,0 57,2,2024-09-07 09:59:11:320,815977,815977,0,0,40738425,0,4804 57,3,2024-09-07 09:59:11:737,1,645,0,0,455,7191,645,0 58,0,2024-09-07 09:59:10:555,159232,0.9,154654,1.0,323721,1.2,423745,2.75 58,1,2024-09-07 09:59:10:581,1131321,1131318,0,3,531170507751,5569715420,1113734,13271,4313,367,391726,3 58,2,2024-09-07 09:59:11:077,817201,817201,0,0,37440400,0,3483 58,3,2024-09-07 09:59:11:072,1,645,1,0,1043,7045,645,0 59,0,2024-09-07 09:59:11:739,158039,0.8,157369,1.0,314728,0.9,417991,2.75 59,1,2024-09-07 09:59:10:805,1131006,1131006,0,0,531071322142,5581001475,1112098,15074,3834,369,391653,0 59,2,2024-09-07 09:59:10:607,814135,814135,0,0,35524454,0,3727 59,3,2024-09-07 09:59:11:749,1,645,1,0,1015,7736,645,0 60,0,2024-09-07 09:59:11:714,159768,0.5,159773,0.7,319870,0.5,426374,1.75 60,1,2024-09-07 09:59:10:779,1135255,1135255,0,0,533453544054,5545926535,1126401,7689,1165,370,392031,0 60,2,2024-09-07 09:59:11:140,816025,816025,0,0,33675831,0,3811 60,3,2024-09-07 09:59:11:260,1,645,0,0,409,7159,645,0 61,0,2024-09-07 09:59:11:514,165685,0.5,166258,0.7,330952,0.5,441614,2.00 61,1,2024-09-07 09:59:10:776,1132713,1132713,0,0,531379898623,5560842144,1121017,9993,1703,382,392127,0 61,2,2024-09-07 09:59:11:118,816492,816425,67,0,34057131,0,6411 61,3,2024-09-07 09:59:11:708,1,645,6,0,607,8035,645,0 62,0,2024-09-07 09:59:11:710,163612,0.6,167858,0.7,320482,0.6,435212,2.00 62,1,2024-09-07 09:59:11:117,1139164,1139158,0,6,534702365986,5514603252,1133105,5617,436,365,391975,6 62,2,2024-09-07 09:59:11:675,817073,817072,1,0,34110898,0,5555 62,3,2024-09-07 09:59:11:147,1,645,12,0,482,5061,645,0 63,0,2024-09-07 09:59:11:477,159454,0.4,159171,0.6,318944,0.3,423826,1.75 63,1,2024-09-07 09:59:10:816,1135819,1135813,0,6,532792343846,5530853688,1128718,6238,857,381,391800,6 63,2,2024-09-07 09:59:10:765,814004,814004,0,0,32436716,0,4369 63,3,2024-09-07 09:59:11:732,1,645,8,0,667,6473,645,0 64,0,2024-09-07 09:59:11:548,157519,0.5,157432,0.6,314562,0.4,419162,2.00 64,1,2024-09-07 09:59:10:760,1134035,1134035,0,0,533406084329,5550682693,1124615,7440,1980,370,391794,0 64,2,2024-09-07 09:59:11:139,818867,818848,19,0,31614416,0,6121 64,3,2024-09-07 09:59:11:139,1,645,1,0,651,7147,645,0 65,0,2024-09-07 09:59:11:678,163248,0.6,163506,0.7,326675,0.5,435982,2.00 65,1,2024-09-07 09:59:10:860,1132703,1132703,0,0,530702051105,5544030707,1124962,6863,878,381,391901,0 65,2,2024-09-07 09:59:11:693,815242,815242,0,0,37869267,0,3367 65,3,2024-09-07 09:59:11:687,1,645,1,0,782,7836,645,0 66,0,2024-09-07 09:59:11:803,163784,0.5,163320,0.7,326804,0.5,434620,2.00 66,1,2024-09-07 09:59:11:296,1134476,1134476,0,0,532537045572,5538707129,1127484,6246,746,380,391743,0 66,2,2024-09-07 09:59:11:132,822093,822090,3,0,33812974,0,5455 66,3,2024-09-07 09:59:11:081,1,645,255,0,291,5828,645,0 67,0,2024-09-07 09:59:11:418,158824,0.5,158333,0.7,317616,0.5,422753,2.00 67,1,2024-09-07 09:59:10:774,1135194,1135193,0,1,533016665265,5545532241,1127656,6592,945,380,391787,1 67,2,2024-09-07 09:59:10:590,817436,817421,15,0,33037277,0,6205 67,3,2024-09-07 09:59:11:767,1,645,9,0,595,6519,645,0 68,0,2024-09-07 09:59:10:604,158474,0.6,158160,0.7,315224,0.5,421437,2.00 68,1,2024-09-07 09:59:10:577,1130328,1130328,0,0,529921566634,5571289178,1115243,11304,3781,381,391953,0 68,2,2024-09-07 09:59:11:047,810700,810600,100,0,41592889,0,8578 68,3,2024-09-07 09:59:10:742,1,645,11,0,417,8003,645,0 69,0,2024-09-07 09:59:11:804,161855,0.7,162633,0.8,324347,0.6,431564,2.25 69,1,2024-09-07 09:59:11:030,1129503,1129503,0,0,529633773356,5578718539,1115122,11403,2978,383,391994,0 69,2,2024-09-07 09:59:11:732,812596,812567,29,0,45185976,0,6912 69,3,2024-09-07 09:59:10:763,1,645,33,0,698,8955,645,0 70,0,2024-09-07 09:59:11:540,163782,0.8,164439,0.9,329957,0.7,437363,2.50 70,1,2024-09-07 09:59:10:818,1135833,1135833,0,0,533587176135,5534245140,1127558,7460,815,366,391725,0 70,2,2024-09-07 09:59:11:339,818138,818138,0,0,36957123,0,4323 70,3,2024-09-07 09:59:10:756,1,645,1,0,854,6924,645,0 71,0,2024-09-07 09:59:11:354,159739,0.9,159266,1.0,319871,1.1,426170,2.50 71,1,2024-09-07 09:59:11:601,1133901,1133901,0,0,532609269804,5556165133,1120260,12015,1626,367,391738,0 71,2,2024-09-07 09:59:11:067,814665,814665,0,0,36544886,0,4352 71,3,2024-09-07 09:59:11:750,1,645,12,0,644,7012,645,0 72,0,2024-09-07 09:59:11:037,165032,0.5,161135,0.7,314711,0.5,428250,2.00 72,1,2024-09-07 09:59:11:024,1131567,1131567,0,0,531030354208,5567783259,1115460,13421,2686,369,391819,0 72,2,2024-09-07 09:59:11:755,812456,812456,0,0,38720152,0,3983 72,3,2024-09-07 09:59:11:761,1,645,65,0,564,9258,645,0 73,0,2024-09-07 09:59:11:102,156387,0.4,160377,0.6,327862,0.4,427027,2.00 73,1,2024-09-07 09:59:10:772,1133644,1133644,0,0,532285909404,5530290629,1125181,7480,983,367,391858,0 73,2,2024-09-07 09:59:11:750,814625,814624,1,0,40587650,0,5027 73,3,2024-09-07 09:59:10:971,1,645,14,0,1091,8801,645,0 74,0,2024-09-07 09:59:11:321,168103,0.5,172174,0.7,328731,0.4,446088,2.00 74,1,2024-09-07 09:59:10:635,1132366,1132366,0,0,531709371066,5548410730,1120268,9960,2138,381,391762,0 74,2,2024-09-07 09:59:11:003,814821,814821,0,0,35540376,0,4253 74,3,2024-09-07 09:59:11:442,1,645,1,0,522,7589,645,0 75,0,2024-09-07 09:59:11:777,162340,0.5,161412,0.7,323975,0.5,432566,2.25 75,1,2024-09-07 09:59:11:586,1133505,1133505,0,0,532684985151,5550130367,1124129,8444,932,380,391739,0 75,2,2024-09-07 09:59:11:349,816808,816808,0,0,42375990,0,4766 75,3,2024-09-07 09:59:11:072,1,645,8,0,918,8770,645,0 76,0,2024-09-07 09:59:10:595,158505,0.6,157852,0.7,315685,0.5,422206,2.25 76,1,2024-09-07 09:59:10:817,1133196,1133196,0,0,531782948366,5542830602,1125611,6587,998,382,391790,0 76,2,2024-09-07 09:59:11:064,816467,816464,3,0,34456428,0,5265 76,3,2024-09-07 09:59:11:145,1,645,3,0,227,5853,645,0 77,0,2024-09-07 09:59:11:708,158320,0.5,158716,0.7,317608,0.5,422134,2.00 77,1,2024-09-07 09:59:10:824,1133546,1133546,0,0,532326126884,5552640462,1124817,7720,1009,381,391869,0 77,2,2024-09-07 09:59:11:281,814302,814302,0,0,33716160,0,3890 77,3,2024-09-07 09:59:11:094,1,645,6,0,401,7240,645,0 78,0,2024-09-07 09:59:11:724,165755,0.4,165051,0.6,331108,0.4,438223,2.00 78,1,2024-09-07 09:59:10:619,1132831,1132831,0,0,530696420375,5536335612,1118768,10918,3145,367,391670,0 78,2,2024-09-07 09:59:11:404,817236,817223,13,0,31629907,0,8313 78,3,2024-09-07 09:59:11:133,1,645,1,0,311,5319,645,0 79,0,2024-09-07 09:59:11:418,157794,0.4,161555,0.6,331242,0.3,431120,2.00 79,1,2024-09-07 09:59:10:576,1137025,1137025,0,0,533236711834,5518159839,1128598,6989,1438,367,391682,0 79,2,2024-09-07 09:59:11:073,820307,820307,0,0,30594917,0,4195 79,3,2024-09-07 09:59:10:755,1,645,8,0,418,7348,645,0 80,0,2024-09-07 09:59:11:076,158567,0.5,162857,0.7,311108,0.5,421111,2.00 80,1,2024-09-07 09:59:11:623,1133440,1133440,0,0,532126320009,5536769120,1126162,6821,457,368,392269,0 80,2,2024-09-07 09:59:11:095,816450,816450,0,0,30849016,0,4433 80,3,2024-09-07 09:59:10:580,1,645,8,0,681,7779,645,0 81,0,2024-09-07 09:59:11:551,157977,0.5,161651,0.7,308695,0.5,418824,2.00 81,1,2024-09-07 09:59:11:651,1132593,1132593,0,0,531175545128,5549595342,1123526,8098,969,382,391885,0 81,2,2024-09-07 09:59:11:127,814238,814175,63,0,34267421,0,5932 81,3,2024-09-07 09:59:11:117,1,645,1,0,719,7167,645,0 82,0,2024-09-07 09:59:11:552,163510,0.4,163680,0.6,327872,0.4,435249,2.00 82,1,2024-09-07 09:59:10:607,1134586,1134582,0,4,532795324803,5536046908,1128811,4945,826,381,391768,4 82,2,2024-09-07 09:59:11:694,818377,818377,0,0,29275651,0,4484 82,3,2024-09-07 09:59:11:755,1,645,31,0,363,5913,645,0 83,0,2024-09-07 09:59:11:525,164794,0.6,164645,0.7,328911,0.6,436840,2.00 83,1,2024-09-07 09:59:10:558,1133312,1133312,0,0,531754476298,5539418586,1125653,7014,645,382,391709,0 83,2,2024-09-07 09:59:10:764,819950,819925,25,0,31435567,0,5612 83,3,2024-09-07 09:59:10:752,1,645,14,0,1260,7443,645,0 84,0,2024-09-07 09:59:11:785,158478,0.7,158545,0.9,316936,0.7,422950,2.25 84,1,2024-09-07 09:59:11:041,1131505,1131505,0,0,531297331937,5555870791,1118614,11008,1883,367,391967,0 84,2,2024-09-07 09:59:10:581,813243,812833,410,0,45110685,0,17037 84,3,2024-09-07 09:59:11:144,1,645,3,0,908,8642,645,0 85,0,2024-09-07 09:59:11:010,153262,0.6,153211,0.8,325248,0.6,419427,2.25 85,1,2024-09-07 09:59:10:561,1128481,1128481,0,0,530286905360,5592988291,1111933,13745,2803,381,392092,0 85,2,2024-09-07 09:59:10:880,813597,813597,0,0,38635068,0,4255 85,3,2024-09-07 09:59:10:689,1,645,2,0,789,7195,645,0 86,0,2024-09-07 09:59:10:893,161066,0.7,165546,0.8,316861,0.7,428657,2.25 86,1,2024-09-07 09:59:10:828,1131331,1131331,0,0,531196899501,5568914954,1116566,11969,2796,366,392169,0 86,2,2024-09-07 09:59:10:855,814678,814677,1,0,41453225,0,5004 86,3,2024-09-07 09:59:10:626,1,645,3,0,308,8810,645,0 87,0,2024-09-07 09:59:11:290,166928,0.8,165936,0.8,332342,1.0,443718,2.25 87,1,2024-09-07 09:59:10:559,1130751,1130751,0,0,531153432633,5560627648,1117066,11882,1803,366,392076,0 87,2,2024-09-07 09:59:11:067,815998,815992,6,0,38041752,0,6323 87,3,2024-09-07 09:59:11:801,1,645,8,0,473,8961,645,0 88,0,2024-09-07 09:59:11:476,161616,0.4,162113,0.6,323941,0.4,431208,1.75 88,1,2024-09-07 09:59:10:583,1130094,1130094,0,0,529723864115,5552524611,1113898,13074,3122,365,392084,0 88,2,2024-09-07 09:59:10:690,816361,816361,0,0,40048053,0,4465 88,3,2024-09-07 09:59:11:275,1,645,1,0,1080,10222,645,0 89,0,2024-09-07 09:59:11:796,163838,0.4,158880,0.6,313511,0.4,426515,1.75 89,1,2024-09-07 09:59:10:557,1129080,1129080,0,0,530814981064,5581378868,1115304,11601,2175,382,391866,0 89,2,2024-09-07 09:59:11:143,813627,813627,0,0,37925379,0,3173 89,3,2024-09-07 09:59:11:797,1,645,2,0,468,10479,645,0 90,0,2024-09-07 09:59:11:621,155034,0.5,159195,0.6,324928,0.4,424125,2.00 90,1,2024-09-07 09:59:10:626,1131322,1131322,0,0,530834426184,5559946474,1120210,10079,1033,380,391825,0 90,2,2024-09-07 09:59:11:422,811359,811354,5,0,41412376,0,6370 90,3,2024-09-07 09:59:10:932,1,645,6,0,364,8414,645,0 91,0,2024-09-07 09:59:10:930,166119,0.4,161204,0.6,337327,0.4,442656,1.75 91,1,2024-09-07 09:59:10:557,1128199,1128199,0,0,529813263458,5587150396,1110558,13683,3958,381,392047,0 91,2,2024-09-07 09:59:11:339,815661,815661,0,0,36768941,0,4713 91,3,2024-09-07 09:59:10:618,1,645,9,0,231,6060,645,0 92,0,2024-09-07 09:59:11:446,164648,0.4,168388,0.6,321016,0.4,435497,1.75 92,1,2024-09-07 09:59:10:585,1132677,1132677,0,0,532181230686,5555389791,1124182,7206,1289,381,392136,0 92,2,2024-09-07 09:59:11:350,819074,819074,0,0,32643966,0,3906 92,3,2024-09-07 09:59:11:008,1,645,1,0,167,5418,645,0 93,0,2024-09-07 09:59:10:956,159913,0.4,163872,0.6,312929,0.3,423765,1.75 93,1,2024-09-07 09:59:10:806,1133130,1133130,0,0,531567574447,5549283366,1120204,10855,2071,365,392048,0 93,2,2024-09-07 09:59:10:934,813770,813770,0,0,38114370,0,4913 93,3,2024-09-07 09:59:11:406,1,645,1,0,505,7209,645,0 94,0,2024-09-07 09:59:11:600,157506,0.4,158698,0.5,317243,0.3,421438,1.75 94,1,2024-09-07 09:59:10:574,1133971,1133971,0,0,531940371090,5543967999,1126798,6734,439,381,391850,0 94,2,2024-09-07 09:59:10:762,815118,815090,28,0,32800465,0,6179 94,3,2024-09-07 09:59:11:693,1,645,10,0,576,7877,645,0 95,0,2024-09-07 09:59:11:346,164375,0.3,164317,0.5,328846,0.3,438243,1.75 95,1,2024-09-07 09:59:10:861,1134514,1134514,0,0,533118454378,5538326425,1125665,8087,762,365,391852,0 95,2,2024-09-07 09:59:11:015,815074,815074,0,0,32772466,0,3308 95,3,2024-09-07 09:59:11:712,1,645,8,0,718,9898,645,0 96,0,2024-09-07 09:59:11:041,164241,0.3,164466,0.5,329319,0.3,437260,1.75 96,1,2024-09-07 09:59:11:711,1132694,1132694,0,0,531706735339,5543823490,1124951,6458,1285,384,391964,0 96,2,2024-09-07 09:59:11:268,821064,821064,0,0,33354564,0,4180 96,3,2024-09-07 09:59:11:143,1,645,0,0,411,7146,645,0 97,0,2024-09-07 09:59:11:406,158858,0.3,158859,0.5,318292,0.3,422567,1.50 97,1,2024-09-07 09:59:10:981,1134794,1134794,0,0,532529106119,5522735795,1127804,5946,1044,367,392140,0 97,2,2024-09-07 09:59:10:607,815667,815667,0,0,32842101,0,4046 97,3,2024-09-07 09:59:10:584,1,645,35,0,242,7238,645,0 98,0,2024-09-07 09:59:11:718,158082,0.3,158022,0.4,317490,0.2,421758,1.50 98,1,2024-09-07 09:59:10:576,1134119,1134119,0,0,532576912493,5544430659,1127560,5718,841,381,391997,0 98,2,2024-09-07 09:59:10:768,814227,814227,0,0,31500876,0,4336 98,3,2024-09-07 09:59:10:712,1,645,0,0,840,9319,645,0 99,0,2024-09-07 09:59:11:446,162522,0.3,163386,0.5,325420,0.3,434253,1.75 99,1,2024-09-07 09:59:11:731,1134746,1134746,0,0,531942611119,5533291890,1127910,5869,967,380,392069,0 99,2,2024-09-07 09:59:11:422,815289,815289,0,0,40418480,0,4276 99,3,2024-09-07 09:59:10:582,1,645,9,0,1124,8112,645,0 100,0,2024-09-07 09:59:11:485,165293,0.8,165752,0.9,331229,0.9,441846,2.50 100,1,2024-09-07 09:59:10:549,1127464,1127464,0,0,529924608355,5601291275,1110100,13869,3495,378,391989,0 100,2,2024-09-07 09:59:11:819,814182,813793,389,0,42740009,0,16909 100,3,2024-09-07 09:59:11:737,1,645,1,0,627,10443,645,0 101,0,2024-09-07 09:59:11:700,164269,1.0,160267,1.0,313651,1.0,427993,2.25 101,1,2024-09-07 09:59:10:560,1130061,1130061,0,0,530504342307,5573127997,1115030,12351,2680,368,391847,0 101,2,2024-09-07 09:59:11:758,810843,810843,0,0,42512046,0,4871 101,3,2024-09-07 09:59:10:951,1,645,73,0,1250,9553,645,0 102,0,2024-09-07 09:59:10:948,155482,0.6,160183,0.8,325400,0.6,425457,2.25 102,1,2024-09-07 09:59:11:141,1129737,1129737,0,0,530970660241,5574997455,1114965,12563,2209,369,391984,0 102,2,2024-09-07 09:59:11:738,814561,814507,54,0,36496557,0,6768 102,3,2024-09-07 09:59:11:620,1,645,3,0,466,7245,645,0 103,0,2024-09-07 09:59:11:604,165820,0.5,165806,0.7,312389,0.5,430490,2.00 103,1,2024-09-07 09:59:11:642,1128779,1128779,0,0,529489773405,5588970409,1111206,13958,3615,381,392077,0 103,2,2024-09-07 09:59:10:585,813261,813261,0,0,39561644,0,3766 103,3,2024-09-07 09:59:10:758,1,645,8,0,916,7475,645,0 104,0,2024-09-07 09:59:11:099,165857,0.7,166211,0.9,331525,0.7,443367,2.25 104,1,2024-09-07 09:59:11:614,1131065,1131065,0,0,530117769641,5571896563,1113846,13974,3245,365,392168,0 104,2,2024-09-07 09:59:11:671,813369,813369,0,0,39099494,0,4161 104,3,2024-09-07 09:59:11:422,1,645,2,0,1245,11036,645,0 105,0,2024-09-07 09:59:11:120,160347,0.9,156264,1.0,327588,1.0,430477,2.50 105,1,2024-09-07 09:59:10:556,1132898,1132898,0,0,532377843734,5574331779,1118997,11945,1956,364,392009,0 105,2,2024-09-07 09:59:11:322,816819,816819,0,0,39736799,0,4360 105,3,2024-09-07 09:59:11:327,1,645,35,0,573,9758,645,0 106,0,2024-09-07 09:59:10:940,153510,0.9,157298,1.0,322176,1.1,420612,2.50 106,1,2024-09-07 09:59:11:759,1130901,1130901,0,0,530218054561,5562237352,1115520,13448,1933,368,391914,0 106,2,2024-09-07 09:59:10:766,813093,813093,0,0,36743591,0,3331 106,3,2024-09-07 09:59:10:683,1,645,6,0,1224,8671,645,0 107,0,2024-09-07 09:59:11:103,158446,0.9,158512,0.9,317203,1.0,422959,2.25 107,1,2024-09-07 09:59:10:584,1129061,1129061,0,0,529415311305,5593634490,1110974,15909,2178,381,392234,0 107,2,2024-09-07 09:59:11:296,810860,810859,1,0,40406287,0,5024 107,3,2024-09-07 09:59:11:759,1,645,8,0,733,9618,645,0 108,0,2024-09-07 09:59:11:855,164676,0.4,165436,0.6,329742,0.4,439661,1.75 108,1,2024-09-07 09:59:11:326,1132475,1132475,0,0,531270311222,5539946493,1123216,8256,1003,367,391894,0 108,2,2024-09-07 09:59:11:805,815935,815935,0,0,35634297,0,4432 108,3,2024-09-07 09:59:11:343,1,645,12,0,749,11118,645,0 109,0,2024-09-07 09:59:11:798,164265,0.4,162832,0.6,326479,0.3,435747,1.75 109,1,2024-09-07 09:59:10:591,1130128,1130128,0,0,530585130997,5565426629,1119919,8709,1500,382,392132,0 109,2,2024-09-07 09:59:10:932,817705,817705,0,0,35693301,0,3617 109,3,2024-09-07 09:59:11:153,1,645,4,0,630,7537,645,0 110,0,2024-09-07 09:59:11:763,158365,0.4,154053,0.6,322527,0.3,422941,1.75 110,1,2024-09-07 09:59:11:650,1134631,1134631,0,0,532807319006,5529893346,1125955,6653,2023,368,392045,0 110,2,2024-09-07 09:59:11:303,814701,814701,0,0,33374750,0,4067 110,3,2024-09-07 09:59:10:694,1,645,5,0,722,8710,645,0 111,0,2024-09-07 09:59:11:418,158766,0.4,157966,0.5,315583,0.3,421286,1.75 111,1,2024-09-07 09:59:11:001,1136063,1136063,0,0,533696413038,5535298773,1129959,5721,383,380,391690,0 111,2,2024-09-07 09:59:11:115,814619,814619,0,0,33972659,0,4823 111,3,2024-09-07 09:59:10:914,1,645,8,0,379,7188,645,0 112,0,2024-09-07 09:59:10:961,164278,0.3,163836,0.4,328402,0.2,436475,1.50 112,1,2024-09-07 09:59:10:829,1134801,1134801,0,0,532538161729,5527239285,1127480,6096,1225,380,391624,0 112,2,2024-09-07 09:59:11:133,816169,816168,1,0,32586997,0,5036 112,3,2024-09-07 09:59:10:622,1,645,10,0,282,6058,645,0 113,0,2024-09-07 09:59:10:876,164829,0.3,164830,0.5,330142,0.2,439095,1.50 113,1,2024-09-07 09:59:11:701,1137475,1137475,0,0,534614674712,5513136365,1131136,5391,948,365,391664,0 113,2,2024-09-07 09:59:11:307,822025,822025,0,0,30038042,0,3813 113,3,2024-09-07 09:59:10:688,1,645,2,0,510,6700,645,0 114,0,2024-09-07 09:59:10:872,160016,0.3,161048,0.5,321240,0.2,428340,1.75 114,1,2024-09-07 09:59:10:729,1134936,1134936,0,0,532237679292,5523626278,1126807,6381,1748,381,391565,0 114,2,2024-09-07 09:59:10:883,816962,816961,1,0,31197183,0,5069 114,3,2024-09-07 09:59:11:278,1,645,1,0,415,5383,645,0 115,0,2024-09-07 09:59:10:554,160138,0.3,160633,0.4,319986,0.2,425604,1.50 115,1,2024-09-07 09:59:10:582,1135224,1135224,0,0,532927121106,5532101248,1126824,6881,1519,382,391757,0 115,2,2024-09-07 09:59:11:127,816447,816447,0,0,31321923,0,4382 115,3,2024-09-07 09:59:11:002,1,645,8,0,167,3942,645,0 116,0,2024-09-07 09:59:11:706,160830,0.7,160747,0.9,322468,0.7,430849,2.25 116,1,2024-09-07 09:59:10:818,1128504,1128504,0,0,530326463649,5587073277,1115078,10220,3206,380,392089,0 116,2,2024-09-07 09:59:11:770,815429,815429,0,0,39163375,0,4475 116,3,2024-09-07 09:59:10:931,1,645,0,0,448,8145,645,0 117,0,2024-09-07 09:59:10:969,167000,0.7,166485,0.8,333261,0.7,445185,2.00 117,1,2024-09-07 09:59:11:588,1130722,1130722,0,0,530505499518,5552863811,1118482,10659,1581,369,392429,0 117,2,2024-09-07 09:59:11:118,818299,818299,0,0,35521489,0,4303 117,3,2024-09-07 09:59:11:061,1,645,2,0,490,8053,645,0 118,0,2024-09-07 09:59:11:782,156781,0.5,160966,0.7,328514,0.5,429720,2.00 118,1,2024-09-07 09:59:10:605,1130008,1130008,0,0,529707088521,5565755870,1113759,12598,3651,366,392054,0 118,2,2024-09-07 09:59:11:592,815821,815821,0,0,38147661,0,2842 118,3,2024-09-07 09:59:11:774,1,645,1,0,343,7838,645,0 119,0,2024-09-07 09:59:11:339,159083,0.7,159568,0.8,318658,0.8,425002,2.25 119,1,2024-09-07 09:59:10:556,1130876,1130876,0,0,530927191331,5564765775,1116063,12300,2513,367,391857,0 119,2,2024-09-07 09:59:11:261,815169,815169,0,0,35278046,0,4309 119,3,2024-09-07 09:59:11:328,1,645,11,0,1358,11734,645,0 120,0,2024-09-07 09:59:11:585,159013,0.7,158783,0.8,318997,0.7,425532,2.50 120,1,2024-09-07 09:59:10:866,1131905,1131905,0,0,530937320229,5565652816,1119911,10848,1146,367,392144,0 120,2,2024-09-07 09:59:10:772,813787,813784,3,0,42432078,0,5363 120,3,2024-09-07 09:59:11:294,1,645,140,0,478,8185,645,0 121,0,2024-09-07 09:59:11:686,166014,1.0,165442,1.0,331044,1.2,441537,2.25 121,1,2024-09-07 09:59:11:665,1130973,1130973,0,0,531024499033,5557113701,1118699,10436,1838,366,391840,0 121,2,2024-09-07 09:59:11:129,815388,815388,0,0,38980574,0,4157 121,3,2024-09-07 09:59:10:735,1,645,1,0,387,8448,645,0 122,0,2024-09-07 09:59:11:781,162529,0.7,158040,0.9,330898,0.8,435337,2.00 122,1,2024-09-07 09:59:10:904,1130438,1130438,0,0,530788657553,5567975462,1115247,12772,2419,365,392130,0 122,2,2024-09-07 09:59:11:319,818826,818751,75,0,42597550,0,5989 122,3,2024-09-07 09:59:10:598,1,645,2,0,512,10051,645,0 123,0,2024-09-07 09:59:10:950,158252,0.8,154051,0.9,322887,0.9,422297,2.25 123,1,2024-09-07 09:59:10:572,1130893,1130893,0,0,530564830805,5578544278,1112920,15100,2873,369,392039,0 123,2,2024-09-07 09:59:11:025,812227,812226,1,0,36275302,0,5215 123,3,2024-09-07 09:59:11:131,1,645,19,0,478,7226,645,0 124,0,2024-09-07 09:59:10:942,162518,0.3,162600,0.5,306389,0.3,422044,1.75 124,1,2024-09-07 09:59:11:026,1134798,1134798,0,0,532103158813,5529328707,1126629,6977,1192,365,392178,0 124,2,2024-09-07 09:59:11:010,816628,816575,53,0,33496591,0,6487 124,3,2024-09-07 09:59:10:766,1,645,15,0,490,6372,645,0 125,0,2024-09-07 09:59:11:419,164093,0.4,163972,0.5,328831,0.3,438392,1.75 125,1,2024-09-07 09:59:10:857,1131874,1131874,0,0,531244894102,5545517980,1122898,7719,1257,382,392045,0 125,2,2024-09-07 09:59:11:116,818295,818295,0,0,33328726,0,4534 125,3,2024-09-07 09:59:11:125,1,645,1,0,709,7342,645,0 126,0,2024-09-07 09:59:11:444,164437,0.4,169173,0.5,323397,0.3,438015,1.75 126,1,2024-09-07 09:59:10:572,1135835,1135835,0,0,532482349832,5511762990,1129857,5521,457,365,391987,0 126,2,2024-09-07 09:59:10:629,821105,821105,0,0,34584876,0,4539 126,3,2024-09-07 09:59:10:910,1,645,2,0,268,6900,645,0 127,0,2024-09-07 09:59:11:599,159257,0.3,159377,0.5,318123,0.3,422849,1.75 127,1,2024-09-07 09:59:10:573,1133659,1133659,0,0,531854845265,5533234836,1122157,9814,1688,364,392187,0 127,2,2024-09-07 09:59:10:643,814800,814796,4,0,32595704,0,5305 127,3,2024-09-07 09:59:11:275,1,645,8,0,968,6208,645,0 128,0,2024-09-07 09:59:11:566,158991,0.3,159138,0.4,318026,0.2,422479,1.50 128,1,2024-09-07 09:59:11:605,1133441,1133441,0,0,532081609437,5532384985,1124692,7784,965,367,392423,0 128,2,2024-09-07 09:59:11:382,816843,816843,0,0,30239241,0,3171 128,3,2024-09-07 09:59:10:768,1,645,2,0,1082,9852,645,0 129,0,2024-09-07 09:59:10:999,163893,0.3,163015,0.5,326826,0.3,434734,1.50 129,1,2024-09-07 09:59:10:590,1130284,1130284,0,0,530872302605,5562265618,1119153,9109,2022,379,391962,0 129,2,2024-09-07 09:59:10:699,817433,817429,4,0,33342540,0,5335 129,3,2024-09-07 09:59:10:697,1,645,1,0,506,8587,645,0 130,0,2024-09-07 09:59:11:759,166454,0.4,166223,0.6,332749,0.4,442801,1.75 130,1,2024-09-07 09:59:10:624,1135226,1135226,0,0,532250584587,5524617209,1129757,5066,403,381,391825,0 130,2,2024-09-07 09:59:11:131,818738,818738,0,0,32944356,0,4067 130,3,2024-09-07 09:59:11:295,1,645,1,0,960,8467,645,0 131,0,2024-09-07 09:59:11:951,160820,0.3,161263,0.5,323493,0.3,429545,1.50 131,1,2024-09-07 09:59:11:831,1134324,1134324,0,0,531831730532,5541405194,1126659,6466,1199,381,391865,0 131,2,2024-09-07 09:59:10:573,816418,816418,0,0,30467410,0,3979 131,3,2024-09-07 09:59:11:688,1,645,11,0,392,8083,645,0 132,0,2024-09-07 09:59:11:413,160132,0.5,161148,0.6,320725,0.4,426705,2.00 132,1,2024-09-07 09:59:10:582,1129625,1129625,0,0,530189431336,5578799001,1113597,13250,2778,381,392532,0 132,2,2024-09-07 09:59:10:708,813954,813937,17,0,39714294,0,6451 132,3,2024-09-07 09:59:11:697,1,645,4,0,1298,11280,645,0 133,0,2024-09-07 09:59:11:528,156548,0.4,160226,0.6,328514,0.4,428116,2.00 133,1,2024-09-07 09:59:10:608,1129623,1129623,0,0,529744442257,5579524002,1114706,12987,1930,383,391914,0 133,2,2024-09-07 09:59:11:095,816287,816237,50,0,40500820,0,6861 133,3,2024-09-07 09:59:11:301,1,645,0,0,528,7702,645,0 134,0,2024-09-07 09:59:10:944,167628,0.5,167658,0.7,335145,0.5,446689,2.25 134,1,2024-09-07 09:59:10:596,1130526,1130526,0,0,530726303034,5568026678,1115432,11920,3174,366,391781,0 134,2,2024-09-07 09:59:11:775,815694,815670,24,0,37551968,0,6207 134,3,2024-09-07 09:59:10:763,1,645,21,0,739,7988,645,0 135,0,2024-09-07 09:59:11:151,157102,0.7,157086,0.8,333475,0.8,429852,2.25 135,1,2024-09-07 09:59:11:644,1130452,1130452,0,0,531059453947,5583197139,1116291,12314,1847,380,391974,0 135,2,2024-09-07 09:59:10:706,819116,819116,0,0,38781285,0,4503 135,3,2024-09-07 09:59:11:007,1,645,8,0,900,6160,645,0 136,0,2024-09-07 09:59:11:611,159415,0.6,159894,0.8,317622,0.6,423915,2.00 136,1,2024-09-07 09:59:11:441,1131713,1131713,0,0,531781920715,5569363327,1119713,10608,1392,381,392135,0 136,2,2024-09-07 09:59:11:134,815571,815556,15,0,37979647,0,6007 136,3,2024-09-07 09:59:11:106,1,645,65,0,637,7433,645,0 137,0,2024-09-07 09:59:10:921,163792,0.6,159685,0.7,312636,0.6,424893,2.00 137,1,2024-09-07 09:59:10:624,1130912,1130912,0,0,531427239683,5570956565,1113808,13688,3416,366,391898,0 137,2,2024-09-07 09:59:11:705,813152,813152,0,0,39252312,0,3185 137,3,2024-09-07 09:59:10:769,1,645,4,0,484,8510,645,0 138,0,2024-09-07 09:59:11:745,164234,0.8,164553,0.9,329625,1.0,438308,2.00 138,1,2024-09-07 09:59:11:699,1130816,1130816,0,0,531004907304,5572013748,1114706,13474,2636,368,391954,0 138,2,2024-09-07 09:59:10:599,814713,814713,0,0,37831901,0,4988 138,3,2024-09-07 09:59:10:625,1,645,0,0,1200,9758,645,0 139,0,2024-09-07 09:59:11:383,162005,0.9,162625,0.9,324872,1.2,434021,2.25 139,1,2024-09-07 09:59:10:584,1126482,1126482,0,0,528208250938,5597403142,1107474,15141,3867,380,392109,0 139,2,2024-09-07 09:59:10:695,813885,813855,30,0,43282369,0,5997 139,3,2024-09-07 09:59:11:675,1,645,53,0,432,7548,645,0 140,0,2024-09-07 09:59:11:587,158938,0.3,158115,0.5,317548,0.2,423236,1.75 140,1,2024-09-07 09:59:11:539,1138447,1138447,0,0,534884122192,5514369683,1132798,5069,580,364,391628,0 140,2,2024-09-07 09:59:10:696,814916,814915,1,0,30676375,0,5036 140,3,2024-09-07 09:59:10:774,1,645,15,0,575,6297,645,0 141,0,2024-09-07 09:59:11:702,158314,0.3,162770,0.5,311092,0.2,421039,1.50 141,1,2024-09-07 09:59:10:859,1135039,1135039,0,0,532357586487,5530132434,1126017,7707,1315,379,391614,0 141,2,2024-09-07 09:59:11:697,815381,815370,11,0,32744043,0,5369 141,3,2024-09-07 09:59:11:049,1,645,2,0,391,7445,645,0 142,0,2024-09-07 09:59:11:311,164533,0.3,163688,0.5,327718,0.2,437467,1.50 142,1,2024-09-07 09:59:10:609,1133183,1133183,0,0,531656813990,5542483792,1125445,7080,658,382,392102,0 142,2,2024-09-07 09:59:11:306,815380,815348,32,0,33439541,0,6028 142,3,2024-09-07 09:59:11:748,1,645,1,0,484,6746,645,0 143,0,2024-09-07 09:59:11:373,164898,0.4,164725,0.5,330422,0.4,439156,1.75 143,1,2024-09-07 09:59:10:557,1136122,1136122,0,0,533701242772,5534358431,1129254,6207,661,367,391900,0 143,2,2024-09-07 09:59:10:779,820171,820171,0,0,33439442,0,3123 143,3,2024-09-07 09:59:11:153,1,645,8,0,462,7548,645,0 144,0,2024-09-07 09:59:11:492,155087,0.6,159595,0.7,324716,0.5,424837,2.00 144,1,2024-09-07 09:59:10:570,1130773,1130773,0,0,529441932579,5553358282,1119868,8909,1996,381,391733,0 144,2,2024-09-07 09:59:11:758,816092,816092,0,0,32407745,0,4443 144,3,2024-09-07 09:59:11:742,1,645,1,0,259,6502,645,0 145,0,2024-09-07 09:59:11:365,154294,0.6,154281,0.8,327599,0.5,421215,2.25 145,1,2024-09-07 09:59:10:570,1129467,1129467,0,0,530312628194,5579461082,1114442,12270,2755,382,391781,0 145,2,2024-09-07 09:59:11:433,813095,813013,82,0,38679388,0,7814 145,3,2024-09-07 09:59:10:895,1,645,21,0,622,8665,645,0 146,0,2024-09-07 09:59:11:591,161411,0.6,160599,0.8,322993,0.6,429378,2.25 146,1,2024-09-07 09:59:11:586,1130880,1130880,0,0,530350609745,5579510965,1112393,13668,4819,367,391770,0 146,2,2024-09-07 09:59:11:697,813919,813913,6,0,37390457,0,5151 146,3,2024-09-07 09:59:11:298,1,645,131,0,1520,10735,645,0 147,0,2024-09-07 09:59:11:722,166884,0.6,166521,0.7,333197,0.6,444170,2.00 147,1,2024-09-07 09:59:11:377,1135092,1135092,0,0,532260174646,5530014202,1126095,7967,1030,367,391791,0 147,2,2024-09-07 09:59:11:009,816910,816910,0,0,33184990,0,3359 147,3,2024-09-07 09:59:10:913,1,645,8,0,1626,9941,645,0 0,0,2024-09-07 09:59:21:743,155583,0.6,155557,0.7,330175,0.6,426613,2.00 0,1,2024-09-07 09:59:20:801,1133713,1133713,0,0,532107826384,5567477813,1124780,7980,953,368,391896,0 0,2,2024-09-07 09:59:21:095,817032,817032,0,0,32747472,0,4480 0,3,2024-09-07 09:59:20:974,1,646,19,0,431,8931,646,0 1,0,2024-09-07 09:59:21:817,166122,0.8,165106,0.9,331345,0.9,443639,2.00 1,1,2024-09-07 09:59:20:568,1132418,1132418,0,0,531568391238,5563232782,1122854,8067,1497,370,391859,0 1,2,2024-09-07 09:59:20:649,817782,817782,0,0,32203376,0,3380 1,3,2024-09-07 09:59:21:323,1,646,1,0,269,7787,646,0 2,0,2024-09-07 09:59:21:570,163397,0.6,162930,0.7,325827,0.6,434951,2.00 2,1,2024-09-07 09:59:20:874,1135890,1135890,0,0,533728600734,5547067695,1129439,5499,952,379,391745,0 2,2,2024-09-07 09:59:21:266,820811,820811,0,0,30809379,0,3594 2,3,2024-09-07 09:59:20:692,1,646,1,0,357,5572,646,0 3,0,2024-09-07 09:59:21:751,158907,0.4,158973,0.6,317472,0.4,422875,2.00 3,1,2024-09-07 09:59:21:618,1134702,1134702,0,0,532712976360,5538357319,1126722,7263,717,379,391716,0 3,2,2024-09-07 09:59:21:142,816383,816360,23,0,31567769,0,5851 3,3,2024-09-07 09:59:21:752,1,646,12,0,484,4903,646,0 4,0,2024-09-07 09:59:21:780,153821,0.4,158082,0.5,322272,0.3,421326,1.75 4,1,2024-09-07 09:59:20:593,1129413,1129413,0,0,530245626987,5610923816,1110166,14710,4537,369,391992,0 4,2,2024-09-07 09:59:21:030,813431,813431,0,0,39044636,0,4534 4,3,2024-09-07 09:59:21:031,1,646,1,0,448,8596,646,0 5,0,2024-09-07 09:59:21:399,164747,0.4,164612,0.5,329548,0.4,438517,1.75 5,1,2024-09-07 09:59:20:766,1130854,1130854,0,0,531059417501,5603845498,1113621,13008,4225,367,392005,0 5,2,2024-09-07 09:59:21:837,814076,814076,0,0,38053541,0,3582 5,3,2024-09-07 09:59:21:742,1,646,0,0,457,8626,646,0 6,0,2024-09-07 09:59:20:916,164391,0.5,163851,0.6,327815,0.4,436774,2.00 6,1,2024-09-07 09:59:20:746,1133220,1133220,0,0,532204944484,5555249328,1121761,9869,1590,379,391702,0 6,2,2024-09-07 09:59:21:121,820936,820918,18,0,36419074,0,5535 6,3,2024-09-07 09:59:21:283,1,646,1,0,710,7597,646,0 7,0,2024-09-07 09:59:21:532,158293,0.5,159427,0.7,316447,0.5,421767,2.00 7,1,2024-09-07 09:59:20:853,1130985,1130985,0,0,531255040262,5591678314,1113484,13366,4135,382,391747,0 7,2,2024-09-07 09:59:20:773,814622,814622,0,0,35040775,0,4791 7,3,2024-09-07 09:59:20:855,1,646,8,0,552,7408,646,0 8,0,2024-09-07 09:59:21:344,158911,0.4,158530,0.5,317550,0.3,422804,1.75 8,1,2024-09-07 09:59:21:023,1130207,1130207,0,0,530634735662,5578010948,1112671,13814,3722,366,392853,0 8,2,2024-09-07 09:59:20:795,810583,810581,2,0,41730277,0,5112 8,3,2024-09-07 09:59:20:595,1,646,1,0,772,9967,646,0 9,0,2024-09-07 09:59:21:135,162996,0.4,158441,0.5,331448,0.3,435367,1.75 9,1,2024-09-07 09:59:20:555,1130010,1130010,0,0,530451482146,5594403233,1111416,14493,4101,369,392001,0 9,2,2024-09-07 09:59:21:083,815527,815526,1,0,39718657,0,5281 9,3,2024-09-07 09:59:21:764,1,646,0,0,1273,10751,646,0 10,0,2024-09-07 09:59:21:615,165668,0.4,165009,0.5,331119,0.3,440537,1.75 10,1,2024-09-07 09:59:20:592,1132030,1132030,0,0,531553826643,5578889073,1114797,13704,3529,381,391981,0 10,2,2024-09-07 09:59:20:762,819594,819594,0,0,42773991,0,4713 10,3,2024-09-07 09:59:20:873,1,646,5,0,669,6948,646,0 11,0,2024-09-07 09:59:21:015,160723,0.4,156057,0.6,326597,0.4,429574,1.75 11,1,2024-09-07 09:59:20:570,1134343,1134343,0,0,532007073272,5579116683,1117956,12079,4308,383,391766,0 11,2,2024-09-07 09:59:21:124,815225,815225,0,0,37295174,0,4698 11,3,2024-09-07 09:59:21:337,1,646,7,0,843,8009,646,0 12,0,2024-09-07 09:59:21:019,161260,0.4,161083,0.5,321762,0.4,427697,1.75 12,1,2024-09-07 09:59:20:934,1133747,1133747,0,0,531986475621,5547708011,1124738,7995,1014,370,391960,0 12,2,2024-09-07 09:59:21:550,814091,814091,0,0,36301281,0,4390 12,3,2024-09-07 09:59:21:063,1,646,1,0,386,8250,646,0 13,0,2024-09-07 09:59:21:328,161842,0.4,161954,0.5,323035,0.4,430689,1.75 13,1,2024-09-07 09:59:21:523,1131271,1131271,0,0,531206546870,5580454583,1120054,8821,2396,382,391803,0 13,2,2024-09-07 09:59:20:597,818577,818577,0,0,32826959,0,3287 13,3,2024-09-07 09:59:21:764,1,646,1,0,522,8591,646,0 14,0,2024-09-07 09:59:20:567,167172,0.4,168250,0.6,334435,0.4,445182,1.75 14,1,2024-09-07 09:59:21:562,1139045,1139045,0,0,534425062675,5527768570,1130442,7472,1131,364,391673,0 14,2,2024-09-07 09:59:20:764,818047,818017,30,0,33797950,0,6104 14,3,2024-09-07 09:59:21:122,1,646,17,0,1168,6894,646,0 15,0,2024-09-07 09:59:21:552,162596,0.4,161739,0.6,323821,0.4,432026,2.00 15,1,2024-09-07 09:59:21:613,1135559,1135559,0,0,533434675177,5554513006,1125952,7614,1993,381,391619,0 15,2,2024-09-07 09:59:21:004,820526,820526,0,0,30228207,0,3622 15,3,2024-09-07 09:59:21:411,1,646,8,0,1126,8335,646,0 16,0,2024-09-07 09:59:20:959,159059,0.6,159772,0.7,318797,0.6,424383,2.00 16,1,2024-09-07 09:59:20:575,1135117,1135117,0,0,532220589279,5560740183,1125283,8367,1467,370,392194,0 16,2,2024-09-07 09:59:21:437,814922,814922,0,0,33256701,0,4719 16,3,2024-09-07 09:59:21:142,1,646,1,0,358,7791,646,0 17,0,2024-09-07 09:59:21:764,164050,0.5,159799,0.7,313248,0.5,426226,2.00 17,1,2024-09-07 09:59:20:616,1133155,1133155,0,0,531596430529,5571643284,1122573,8804,1778,368,392075,0 17,2,2024-09-07 09:59:21:671,818981,818980,1,0,34901764,0,5050 17,3,2024-09-07 09:59:20:587,1,646,16,0,518,9445,646,0 18,0,2024-09-07 09:59:20:940,163807,0.6,164924,0.8,328243,0.6,438661,2.25 18,1,2024-09-07 09:59:21:647,1137499,1137499,0,0,533674728364,5531882775,1130282,5958,1259,367,391725,0 18,2,2024-09-07 09:59:21:761,819250,819250,0,0,31234332,0,3541 18,3,2024-09-07 09:59:20:896,1,646,20,0,1059,5752,646,0 19,0,2024-09-07 09:59:21:545,162911,0.6,163390,0.8,325731,0.7,432999,2.00 19,1,2024-09-07 09:59:20:570,1137405,1137405,0,0,533998668894,5533372041,1128932,7321,1152,365,391777,0 19,2,2024-09-07 09:59:21:759,823555,823555,0,0,29252165,0,3988 19,3,2024-09-07 09:59:21:132,1,646,26,0,524,4970,646,0 20,0,2024-09-07 09:59:21:355,158636,0.5,158450,0.7,316914,0.5,422643,2.00 20,1,2024-09-07 09:59:20:575,1133188,1133188,0,0,531772309464,5570756641,1122071,9574,1543,369,391922,0 20,2,2024-09-07 09:59:20:927,814863,814863,0,0,36482327,0,4321 20,3,2024-09-07 09:59:20:598,1,646,11,0,468,9932,646,0 21,0,2024-09-07 09:59:21:175,158384,0.5,158410,0.6,316720,0.4,420773,2.00 21,1,2024-09-07 09:59:21:546,1131151,1131151,0,0,530795463004,5599261889,1113685,13542,3924,368,392016,0 21,2,2024-09-07 09:59:21:068,809592,809115,477,0,47143795,0,17074 21,3,2024-09-07 09:59:21:411,1,646,1,0,713,8866,646,0 22,0,2024-09-07 09:59:21:726,163801,0.4,164531,0.6,328595,0.4,436252,2.00 22,1,2024-09-07 09:59:21:028,1131600,1131600,0,0,531438004086,5589786259,1113317,14731,3552,381,391822,0 22,2,2024-09-07 09:59:20:760,815718,815692,26,0,35359943,0,6328 22,3,2024-09-07 09:59:21:066,1,646,1,0,228,5449,646,0 23,0,2024-09-07 09:59:21:377,164473,0.5,164319,0.7,328652,0.4,437775,2.00 23,1,2024-09-07 09:59:21:004,1132438,1132438,0,0,532194425398,5602084527,1112318,13410,6710,365,391690,0 23,2,2024-09-07 09:59:21:096,820586,820586,0,0,33495307,0,3773 23,3,2024-09-07 09:59:21:754,1,646,9,0,855,9374,646,0 24,0,2024-09-07 09:59:20:844,160971,0.4,160098,0.5,321923,0.4,427145,1.75 24,1,2024-09-07 09:59:20:616,1132350,1132350,0,0,530576819538,5553092286,1121728,8845,1777,367,392269,0 24,2,2024-09-07 09:59:21:081,814981,814978,3,0,40145609,0,6294 24,3,2024-09-07 09:59:21:686,1,646,5,0,468,8434,646,0 25,0,2024-09-07 09:59:21:359,163847,0.4,159547,0.6,313567,0.4,426385,1.75 25,1,2024-09-07 09:59:20:575,1130431,1130431,0,0,530005431303,5598241887,1110751,15774,3906,369,391928,0 25,2,2024-09-07 09:59:21:658,813450,813450,0,0,40796152,0,3978 25,3,2024-09-07 09:59:21:006,1,646,45,0,532,7151,646,0 26,0,2024-09-07 09:59:21:721,161140,0.4,157425,0.6,330487,0.4,430868,1.75 26,1,2024-09-07 09:59:21:547,1134140,1134140,0,0,533049255915,5581056460,1118723,12517,2900,380,391758,0 26,2,2024-09-07 09:59:20:861,816486,816486,0,0,43476995,0,4689 26,3,2024-09-07 09:59:21:712,1,646,12,0,796,7907,646,0 27,0,2024-09-07 09:59:21:740,166724,0.4,167528,0.6,332988,0.4,443917,2.25 27,1,2024-09-07 09:59:21:676,1135490,1135490,0,0,532912272210,5550637001,1124875,8998,1617,381,391626,0 27,2,2024-09-07 09:59:20:871,815138,815073,65,0,38010878,0,5699 27,3,2024-09-07 09:59:21:019,1,646,8,0,564,5423,646,0 28,0,2024-09-07 09:59:21:399,161932,0.4,162205,0.6,324529,0.3,431920,1.75 28,1,2024-09-07 09:59:20:804,1136002,1136002,0,0,533647483269,5560726043,1126763,7338,1901,382,391904,0 28,2,2024-09-07 09:59:21:768,818028,818028,0,0,32963561,0,2915 28,3,2024-09-07 09:59:21:785,1,646,168,0,502,6685,646,0 29,0,2024-09-07 09:59:21:371,164277,0.4,159953,0.6,313705,0.3,427970,1.75 29,1,2024-09-07 09:59:21:563,1138802,1138802,0,0,534853628274,5532430171,1131601,6182,1019,367,391809,0 29,2,2024-09-07 09:59:20:861,815690,815690,0,0,31811911,0,4986 29,3,2024-09-07 09:59:20:976,1,646,1,0,459,6744,646,0 30,0,2024-09-07 09:59:21:471,159221,0.5,155045,0.7,324864,0.5,424731,2.00 30,1,2024-09-07 09:59:20:573,1137290,1137290,0,0,534610965846,5548198830,1128660,7585,1045,380,391672,0 30,2,2024-09-07 09:59:21:284,818157,818157,0,0,30660650,0,4192 30,3,2024-09-07 09:59:20:591,1,646,2,0,519,6286,646,0 31,0,2024-09-07 09:59:21:775,165967,0.4,166848,0.6,332508,0.4,443653,1.75 31,1,2024-09-07 09:59:20:564,1141798,1141798,0,0,535080279065,5494182891,1134840,5842,1116,356,391712,0 31,2,2024-09-07 09:59:21:279,816627,816627,0,0,34786381,0,4470 31,3,2024-09-07 09:59:21:706,1,646,10,0,239,5620,646,0 32,0,2024-09-07 09:59:21:418,163508,0.3,164516,0.5,327417,0.3,436351,1.75 32,1,2024-09-07 09:59:20:811,1137336,1137336,0,0,533442188816,5542218371,1130551,5965,820,381,391646,0 32,2,2024-09-07 09:59:20:935,822281,822281,0,0,29999176,0,3922 32,3,2024-09-07 09:59:21:021,1,646,14,0,304,5267,646,0 33,0,2024-09-07 09:59:21:579,159652,0.3,159259,0.4,318520,0.2,423877,1.50 33,1,2024-09-07 09:59:20:577,1138443,1138443,0,0,534508579053,5533395699,1129765,7403,1275,368,391730,0 33,2,2024-09-07 09:59:20:759,816035,816000,35,0,33596622,0,7012 33,3,2024-09-07 09:59:20:896,1,646,1,0,329,5627,646,0 34,0,2024-09-07 09:59:20:933,158711,0.3,163131,0.5,311929,0.2,421211,1.75 34,1,2024-09-07 09:59:21:044,1140244,1140244,0,0,535774159838,5510758464,1136807,3315,122,367,391637,0 34,2,2024-09-07 09:59:20:770,817444,817444,0,0,31543573,0,4562 34,3,2024-09-07 09:59:21:688,1,646,0,0,541,5637,646,0 35,0,2024-09-07 09:59:20:870,163965,0.3,164629,0.5,330227,0.2,439308,1.75 35,1,2024-09-07 09:59:21:067,1136411,1136411,0,0,533494032910,5533490423,1128102,6786,1523,382,391769,0 35,2,2024-09-07 09:59:21:588,817742,817742,0,0,35603593,0,4055 35,3,2024-09-07 09:59:20:909,1,646,14,0,466,6003,646,0 36,0,2024-09-07 09:59:21:544,164739,0.5,164996,0.6,329324,0.4,438342,2.00 36,1,2024-09-07 09:59:20:595,1135014,1135014,0,0,532350663163,5556098249,1121076,11692,2246,366,391759,0 36,2,2024-09-07 09:59:21:751,821313,821313,0,0,36848285,0,3875 36,3,2024-09-07 09:59:20:867,1,646,1,0,556,8573,646,0 37,0,2024-09-07 09:59:21:377,158206,0.5,158316,0.7,316830,0.5,422442,2.25 37,1,2024-09-07 09:59:20:569,1134501,1134494,0,7,532639571029,5561217373,1121089,10483,2922,365,391770,0 37,2,2024-09-07 09:59:21:142,813033,813018,15,0,36072020,0,5815 37,3,2024-09-07 09:59:21:766,1,646,1,0,888,8761,646,0 38,0,2024-09-07 09:59:21:447,157710,0.4,152882,0.6,319729,0.4,418641,2.00 38,1,2024-09-07 09:59:21:606,1134275,1134275,0,0,532547689170,5568499497,1117855,13124,3296,368,391821,0 38,2,2024-09-07 09:59:20:760,815169,815122,47,0,36681367,0,6710 38,3,2024-09-07 09:59:21:004,1,646,1,0,689,7515,646,0 39,0,2024-09-07 09:59:21:761,166581,0.5,162847,0.7,317750,0.5,434249,2.00 39,1,2024-09-07 09:59:20:720,1133859,1133859,0,0,532328220470,5579510709,1115370,14301,4188,365,391865,0 39,2,2024-09-07 09:59:21:418,816996,816996,0,0,35014915,0,3391 39,3,2024-09-07 09:59:20:722,1,646,9,0,525,7265,646,0 40,0,2024-09-07 09:59:21:517,164167,0.9,164932,1.0,329146,1.0,439368,2.75 40,1,2024-09-07 09:59:20:575,1134073,1134073,0,0,531206197003,5566440253,1116811,13967,3295,366,391668,0 40,2,2024-09-07 09:59:21:331,816898,816891,7,0,41157814,0,5347 40,3,2024-09-07 09:59:21:145,1,646,1,0,1028,9284,646,0 41,0,2024-09-07 09:59:21:032,160080,1.2,163803,1.1,312502,1.7,424176,3.00 41,1,2024-09-07 09:59:20:779,1133121,1133121,0,0,532463414647,5567649826,1118725,12176,2220,369,391878,0 41,2,2024-09-07 09:59:20:758,812482,812481,1,0,39542186,0,5408 41,3,2024-09-07 09:59:21:676,1,646,11,0,366,6882,646,0 42,0,2024-09-07 09:59:21:535,159099,0.9,158718,1.0,317740,1.0,421625,2.50 42,1,2024-09-07 09:59:21:451,1131318,1131318,0,0,530437098518,5570998973,1114159,14203,2956,380,391675,0 42,2,2024-09-07 09:59:21:137,813337,813336,1,0,40729413,0,5513 42,3,2024-09-07 09:59:21:011,1,646,0,0,892,6219,646,0 43,0,2024-09-07 09:59:20:916,159349,0.8,155083,0.9,325043,0.8,425763,2.25 43,1,2024-09-07 09:59:20:588,1134278,1134278,0,0,532137836451,5560432908,1118526,13006,2746,366,391696,0 43,2,2024-09-07 09:59:21:741,817064,817064,0,0,37928007,0,4723 43,3,2024-09-07 09:59:21:753,1,646,15,0,571,9036,646,0 44,0,2024-09-07 09:59:20:862,167471,0.4,167600,0.6,334600,0.4,446121,2.00 44,1,2024-09-07 09:59:20:570,1137715,1137715,0,0,533376066990,5512699776,1127680,7964,2071,356,391809,0 44,2,2024-09-07 09:59:21:267,816396,816396,0,0,30743813,0,4344 44,3,2024-09-07 09:59:21:094,1,646,2,0,1097,7813,646,0 45,0,2024-09-07 09:59:21:757,160644,0.5,157035,0.7,329583,0.4,431995,2.00 45,1,2024-09-07 09:59:21:010,1136596,1136596,0,0,534127427765,5550152453,1127629,7748,1219,382,391917,0 45,2,2024-09-07 09:59:21:273,819831,819831,0,0,30856749,0,3596 45,3,2024-09-07 09:59:20:933,1,646,3,0,531,6057,646,0 46,0,2024-09-07 09:59:20:976,158368,0.5,157860,0.7,316668,0.5,421163,2.00 46,1,2024-09-07 09:59:20:575,1138994,1138994,0,0,534209310500,5521971065,1131060,6885,1049,366,391709,0 46,2,2024-09-07 09:59:20:594,817182,817182,0,0,31541179,0,4443 46,3,2024-09-07 09:59:21:131,1,646,7,0,908,7409,646,0 47,0,2024-09-07 09:59:21:133,159633,0.4,159349,0.6,320120,0.4,424454,2.00 47,1,2024-09-07 09:59:20:569,1140053,1140053,0,0,534554649117,5521577750,1133469,5642,942,364,391641,0 47,2,2024-09-07 09:59:20:908,819477,819477,0,0,31170719,0,4477 47,3,2024-09-07 09:59:21:121,1,646,15,0,600,6953,646,0 48,0,2024-09-07 09:59:21:491,165644,0.3,166092,0.4,331173,0.2,440396,1.50 48,1,2024-09-07 09:59:21:023,1137024,1137024,0,0,533698779441,5539282901,1130724,5720,580,381,391710,0 48,2,2024-09-07 09:59:20:702,818178,818178,0,0,29135214,0,3524 48,3,2024-09-07 09:59:20:755,1,646,13,0,339,5581,646,0 49,0,2024-09-07 09:59:21:709,168236,0.3,164816,0.5,320652,0.3,437395,1.75 49,1,2024-09-07 09:59:21:020,1136366,1136366,0,0,532879699374,5540085289,1128870,5800,1696,382,391809,0 49,2,2024-09-07 09:59:21:801,821948,821948,0,0,31401787,0,4426 49,3,2024-09-07 09:59:21:416,1,646,1,0,992,7535,646,0 50,0,2024-09-07 09:59:21:507,159296,0.3,157801,0.5,317770,0.2,422500,1.75 50,1,2024-09-07 09:59:21:012,1139127,1139127,0,0,535454523763,5532480332,1132298,6158,671,368,391691,0 50,2,2024-09-07 09:59:21:067,814247,814247,0,0,28841199,0,4490 50,3,2024-09-07 09:59:21:343,1,646,12,0,617,6345,646,0 51,0,2024-09-07 09:59:21:684,162586,0.3,159084,0.5,309741,0.2,422581,1.75 51,1,2024-09-07 09:59:21:681,1139521,1139521,0,0,536454303066,5530270204,1133138,5045,1338,365,391706,0 51,2,2024-09-07 09:59:21:318,816279,816279,0,0,28620934,0,3337 51,3,2024-09-07 09:59:21:028,1,646,9,0,678,4620,646,0 52,0,2024-09-07 09:59:21:413,164521,0.5,164399,0.6,328697,0.4,437346,2.00 52,1,2024-09-07 09:59:20:575,1134482,1134482,0,0,532408824515,5567390882,1119191,12955,2336,368,391805,0 52,2,2024-09-07 09:59:21:760,814144,814106,38,0,39352157,0,6742 52,3,2024-09-07 09:59:20:675,1,646,1,0,1782,7404,646,0 53,0,2024-09-07 09:59:21:736,164325,0.6,159436,0.8,333584,0.7,436786,2.25 53,1,2024-09-07 09:59:20:783,1132406,1132406,0,0,532419527334,5582648345,1113770,14229,4407,367,391968,0 53,2,2024-09-07 09:59:21:337,821277,821276,1,0,34650440,0,5455 53,3,2024-09-07 09:59:20:699,1,646,1,0,308,5879,646,0 54,0,2024-09-07 09:59:21:615,158227,0.6,158473,0.7,315856,0.5,421574,2.25 54,1,2024-09-07 09:59:20:590,1135994,1135994,0,0,532955068175,5539550982,1124705,9617,1672,366,391810,0 54,2,2024-09-07 09:59:20:865,815911,815879,32,0,38685247,0,6397 54,3,2024-09-07 09:59:20:763,1,646,0,0,676,8169,646,0 55,0,2024-09-07 09:59:21:766,153875,0.6,158751,0.8,321926,0.5,418907,2.50 55,1,2024-09-07 09:59:20:764,1136268,1136268,0,0,533212758184,5544206467,1124581,10219,1468,365,391731,0 55,2,2024-09-07 09:59:20:730,814589,814533,56,0,35875011,0,7239 55,3,2024-09-07 09:59:20:677,1,646,8,0,304,5902,646,0 56,0,2024-09-07 09:59:21:566,164907,1.2,155368,1.1,320188,1.5,429136,2.75 56,1,2024-09-07 09:59:20:649,1129419,1129419,0,0,530122550697,5603877113,1110905,14799,3715,381,391867,0 56,2,2024-09-07 09:59:21:332,815581,815459,122,0,38417320,0,7432 56,3,2024-09-07 09:59:21:065,1,646,199,0,705,8001,646,0 57,0,2024-09-07 09:59:20:933,164925,1.4,164707,1.2,329589,2.0,440152,3.00 57,1,2024-09-07 09:59:20:992,1131866,1131866,0,0,530774381811,5570534138,1116485,12692,2689,366,392032,0 57,2,2024-09-07 09:59:21:318,817343,817343,0,0,40823082,0,4804 57,3,2024-09-07 09:59:21:742,1,646,6,0,455,7197,646,0 58,0,2024-09-07 09:59:20:566,159497,0.9,154871,1.0,324209,1.2,424341,2.50 58,1,2024-09-07 09:59:20:577,1133053,1133050,0,3,532049909976,5579011827,1115454,13283,4313,367,391726,3 58,2,2024-09-07 09:59:21:071,817918,817918,0,0,37476002,0,3483 58,3,2024-09-07 09:59:21:069,1,646,0,0,1043,7045,646,0 59,0,2024-09-07 09:59:21:761,158346,0.8,157681,1.0,315347,0.9,418880,2.75 59,1,2024-09-07 09:59:20:809,1132739,1132739,0,0,531832168608,5588865217,1113831,15074,3834,369,391653,0 59,2,2024-09-07 09:59:20:583,815557,815557,0,0,35594838,0,3727 59,3,2024-09-07 09:59:21:743,1,646,11,0,1015,7747,646,0 60,0,2024-09-07 09:59:21:714,160052,0.5,160086,0.7,320489,0.5,427074,1.75 60,1,2024-09-07 09:59:20:773,1137056,1137056,0,0,534245107510,5554300990,1128199,7692,1165,370,392031,0 60,2,2024-09-07 09:59:21:140,817604,817604,0,0,33774640,0,3811 60,3,2024-09-07 09:59:21:263,1,646,2,0,409,7161,646,0 61,0,2024-09-07 09:59:21:559,166109,0.6,166745,0.7,331869,0.5,443127,2.00 61,1,2024-09-07 09:59:20:783,1134328,1134328,0,0,532383332539,5571559865,1122614,10011,1703,382,392127,0 61,2,2024-09-07 09:59:21:127,817569,817502,67,0,34173359,0,6411 61,3,2024-09-07 09:59:21:687,1,646,4,0,607,8039,646,0 62,0,2024-09-07 09:59:21:706,163905,0.6,168215,0.7,321116,0.6,436148,2.00 62,1,2024-09-07 09:59:21:207,1141014,1141008,0,6,535640171169,5525804141,1134631,5908,469,365,391975,6 62,2,2024-09-07 09:59:21:647,818181,818180,1,0,34280092,0,5555 62,3,2024-09-07 09:59:21:143,1,646,1,0,482,5062,646,0 63,0,2024-09-07 09:59:21:493,159603,0.4,159322,0.6,319285,0.3,424220,1.75 63,1,2024-09-07 09:59:20:807,1137403,1137397,0,6,533596256083,5539602579,1130297,6243,857,381,391800,6 63,2,2024-09-07 09:59:20:765,815404,815404,0,0,32628174,0,4369 63,3,2024-09-07 09:59:21:732,1,646,8,0,667,6481,646,0 64,0,2024-09-07 09:59:21:541,157766,0.5,157662,0.6,315045,0.4,419775,2.00 64,1,2024-09-07 09:59:20:750,1135799,1135799,0,0,534107008478,5558292856,1126367,7452,1980,370,391794,0 64,2,2024-09-07 09:59:21:157,820050,820031,19,0,31715731,0,6121 64,3,2024-09-07 09:59:21:145,1,646,4,0,651,7151,646,0 65,0,2024-09-07 09:59:21:687,163637,0.6,163901,0.7,327434,0.5,436995,2.00 65,1,2024-09-07 09:59:20:859,1134423,1134423,0,0,531682401686,5554220097,1126682,6863,878,381,391901,0 65,2,2024-09-07 09:59:21:695,816269,816269,0,0,37984162,0,3367 65,3,2024-09-07 09:59:21:685,1,646,10,0,782,7846,646,0 66,0,2024-09-07 09:59:21:765,163958,0.5,163471,0.7,327141,0.5,435092,2.00 66,1,2024-09-07 09:59:21:339,1136466,1136466,0,0,533398551933,5548945218,1129222,6373,871,380,391743,0 66,2,2024-09-07 09:59:21:133,823162,823159,3,0,33898972,0,5455 66,3,2024-09-07 09:59:21:087,1,646,2,0,291,5830,646,0 67,0,2024-09-07 09:59:21:411,158923,0.5,158449,0.7,317786,0.5,423005,2.00 67,1,2024-09-07 09:59:20:774,1136938,1136937,0,1,533621447545,5552418873,1129349,6643,945,380,391787,1 67,2,2024-09-07 09:59:20:589,818607,818592,15,0,33127754,0,6205 67,3,2024-09-07 09:59:21:760,1,646,11,0,595,6530,646,0 68,0,2024-09-07 09:59:20:645,158569,0.6,158271,0.7,315383,0.5,421750,2.00 68,1,2024-09-07 09:59:20:575,1132055,1132055,0,0,530550695862,5578325490,1116926,11347,3782,381,391953,0 68,2,2024-09-07 09:59:21:052,811837,811737,100,0,41670320,0,8578 68,3,2024-09-07 09:59:20:732,1,646,266,0,417,8269,646,0 69,0,2024-09-07 09:59:21:722,162358,0.7,163148,0.8,325308,0.6,432944,2.25 69,1,2024-09-07 09:59:21:022,1131281,1131281,0,0,530440665278,5587120111,1116895,11408,2978,383,391994,0 69,2,2024-09-07 09:59:21:732,814180,814151,29,0,45274678,0,6912 69,3,2024-09-07 09:59:20:764,1,646,1,0,698,8956,646,0 70,0,2024-09-07 09:59:21:530,163886,0.8,164546,0.9,330150,0.7,437649,2.50 70,1,2024-09-07 09:59:20:836,1137637,1137637,0,0,534367586968,5542225667,1129362,7460,815,366,391725,0 70,2,2024-09-07 09:59:21:331,819539,819539,0,0,36995215,0,4323 70,3,2024-09-07 09:59:20:745,1,646,2,0,854,6926,646,0 71,0,2024-09-07 09:59:21:370,159847,0.9,159406,1.0,320117,1.1,426417,2.50 71,1,2024-09-07 09:59:21:600,1135678,1135678,0,0,533235805195,5562588733,1122037,12015,1626,367,391738,0 71,2,2024-09-07 09:59:21:067,815366,815366,0,0,36557236,0,4352 71,3,2024-09-07 09:59:21:750,1,646,8,0,644,7020,646,0 72,0,2024-09-07 09:59:21:051,165253,0.5,161356,0.7,315147,0.5,428790,2.00 72,1,2024-09-07 09:59:21:025,1133412,1133412,0,0,531812899327,5575913734,1117305,13421,2686,369,391819,0 72,2,2024-09-07 09:59:21:761,813980,813980,0,0,38828940,0,3983 72,3,2024-09-07 09:59:21:768,1,646,1,0,564,9259,646,0 73,0,2024-09-07 09:59:21:104,156793,0.4,160786,0.6,328658,0.4,428300,2.00 73,1,2024-09-07 09:59:20:775,1135404,1135404,0,0,533120856525,5539143944,1126941,7480,983,367,391858,0 73,2,2024-09-07 09:59:21:743,816170,816169,1,0,40661078,0,5027 73,3,2024-09-07 09:59:20:973,1,646,1,0,1091,8802,646,0 74,0,2024-09-07 09:59:21:335,168317,0.5,172364,0.7,329034,0.4,446413,2.00 74,1,2024-09-07 09:59:20:642,1134139,1134139,0,0,532524867184,5556936981,1122041,9960,2138,381,391762,0 74,2,2024-09-07 09:59:21:005,816099,816099,0,0,35622070,0,4253 74,3,2024-09-07 09:59:21:448,1,646,0,0,522,7589,646,0 75,0,2024-09-07 09:59:21:770,162654,0.5,161677,0.7,324542,0.5,433359,2.25 75,1,2024-09-07 09:59:21:587,1135137,1135137,0,0,533401630487,5557552685,1125760,8445,932,380,391739,0 75,2,2024-09-07 09:59:21:355,817786,817786,0,0,42413727,0,4766 75,3,2024-09-07 09:59:21:067,1,646,11,0,918,8781,646,0 76,0,2024-09-07 09:59:20:605,158715,0.6,158039,0.7,316090,0.5,422790,2.25 76,1,2024-09-07 09:59:20:807,1135061,1135061,0,0,532822276193,5554117992,1127414,6649,998,382,391790,0 76,2,2024-09-07 09:59:21:061,817949,817946,3,0,34630847,0,5265 76,3,2024-09-07 09:59:21:143,1,646,35,0,227,5888,646,0 77,0,2024-09-07 09:59:21:697,158714,0.5,159108,0.7,318363,0.5,423281,2.00 77,1,2024-09-07 09:59:20:826,1135028,1135028,0,0,533055962565,5560634795,1126283,7734,1011,381,391869,0 77,2,2024-09-07 09:59:21:284,815650,815650,0,0,33786606,0,3890 77,3,2024-09-07 09:59:21:115,1,646,1,0,401,7241,646,0 78,0,2024-09-07 09:59:21:716,166046,0.4,165358,0.6,331712,0.4,438934,2.00 78,1,2024-09-07 09:59:20:673,1134610,1134610,0,0,531620320045,5546064009,1120542,10923,3145,367,391670,0 78,2,2024-09-07 09:59:21:411,818348,818335,13,0,31739798,0,8313 78,3,2024-09-07 09:59:21:137,1,646,2,0,311,5321,646,0 79,0,2024-09-07 09:59:21:353,158102,0.4,161873,0.6,331847,0.3,432002,2.00 79,1,2024-09-07 09:59:20:574,1139075,1139075,0,0,534103188795,5531078717,1129886,7417,1772,367,391682,0 79,2,2024-09-07 09:59:21:073,821595,821595,0,0,30752703,0,4195 79,3,2024-09-07 09:59:20:748,1,646,9,0,418,7357,646,0 80,0,2024-09-07 09:59:21:130,158740,0.5,163029,0.7,311424,0.5,421581,2.00 80,1,2024-09-07 09:59:21:633,1135300,1135300,0,0,533135944538,5547667843,1128008,6835,457,368,392269,0 80,2,2024-09-07 09:59:21:100,817765,817765,0,0,31025556,0,4433 80,3,2024-09-07 09:59:20:585,1,646,5,0,681,7784,646,0 81,0,2024-09-07 09:59:21:581,158290,0.5,161978,0.7,309243,0.5,419716,2.00 81,1,2024-09-07 09:59:21:663,1134320,1134320,0,0,531864813537,5556725182,1125253,8098,969,382,391885,0 81,2,2024-09-07 09:59:21:126,815593,815530,63,0,34392896,0,5932 81,3,2024-09-07 09:59:21:130,1,646,1,0,719,7168,646,0 82,0,2024-09-07 09:59:21:535,163967,0.4,164129,0.6,328764,0.4,436387,2.00 82,1,2024-09-07 09:59:20:594,1136291,1136287,0,4,533724477345,5546037639,1130494,4967,826,381,391768,4 82,2,2024-09-07 09:59:21:691,819763,819763,0,0,29344920,0,4484 82,3,2024-09-07 09:59:21:754,1,646,1,0,363,5914,646,0 83,0,2024-09-07 09:59:21:521,164907,0.6,164760,0.7,329159,0.6,437167,2.00 83,1,2024-09-07 09:59:20:554,1135056,1135056,0,0,532423550266,5546862689,1127360,7050,646,382,391709,0 83,2,2024-09-07 09:59:20:764,821083,821058,25,0,31515827,0,5612 83,3,2024-09-07 09:59:20:750,1,646,12,0,1260,7455,646,0 84,0,2024-09-07 09:59:21:779,158585,0.7,158675,0.9,317165,0.7,423288,2.25 84,1,2024-09-07 09:59:21:042,1133286,1133286,0,0,532033900506,5563653459,1120395,11008,1883,367,391967,0 84,2,2024-09-07 09:59:20:570,814217,813807,410,0,45179305,0,17037 84,3,2024-09-07 09:59:21:141,1,646,1,0,908,8643,646,0 85,0,2024-09-07 09:59:21:077,153313,0.6,153249,0.8,325347,0.6,419427,2.25 85,1,2024-09-07 09:59:20:561,1130282,1130282,0,0,531155666962,5602124285,1113730,13749,2803,381,392092,0 85,2,2024-09-07 09:59:20:867,814990,814990,0,0,38711833,0,4255 85,3,2024-09-07 09:59:20:689,1,646,1,0,789,7196,646,0 86,0,2024-09-07 09:59:20:987,161405,0.7,165926,0.8,317545,0.7,429578,2.25 86,1,2024-09-07 09:59:20:824,1132871,1132871,0,0,531962422827,5576844021,1118104,11971,2796,366,392169,0 86,2,2024-09-07 09:59:20:896,816194,816193,1,0,41568944,0,5004 86,3,2024-09-07 09:59:20:593,1,646,3,0,308,8813,646,0 87,0,2024-09-07 09:59:21:294,167027,0.8,166032,0.8,332538,1.0,443964,2.25 87,1,2024-09-07 09:59:20:570,1132518,1132518,0,0,532210314709,5571491055,1118832,11883,1803,366,392076,0 87,2,2024-09-07 09:59:21:067,817403,817397,6,0,38085640,0,6323 87,3,2024-09-07 09:59:21:800,1,646,16,0,473,8977,646,0 88,0,2024-09-07 09:59:21:464,161848,0.4,162343,0.6,324439,0.4,431773,1.75 88,1,2024-09-07 09:59:20:571,1131847,1131847,0,0,530622246763,5561970465,1115651,13074,3122,365,392084,0 88,2,2024-09-07 09:59:20:692,817157,817157,0,0,40093047,0,4465 88,3,2024-09-07 09:59:21:267,1,646,1,0,1080,10223,646,0 89,0,2024-09-07 09:59:21:784,164119,0.4,159214,0.6,314137,0.4,427352,1.75 89,1,2024-09-07 09:59:20:558,1130823,1130823,0,0,531736686756,5591083435,1117047,11601,2175,382,391866,0 89,2,2024-09-07 09:59:21:183,815222,815222,0,0,38030580,0,3173 89,3,2024-09-07 09:59:21:791,1,646,3,0,468,10482,646,0 90,0,2024-09-07 09:59:21:639,155327,0.5,159512,0.6,325565,0.4,424798,2.00 90,1,2024-09-07 09:59:20:592,1133070,1133070,0,0,531714078164,5569120374,1121958,10079,1033,380,391825,0 90,2,2024-09-07 09:59:21:415,812892,812887,5,0,41476877,0,6370 90,3,2024-09-07 09:59:20:931,1,646,18,0,364,8432,646,0 91,0,2024-09-07 09:59:20:964,166592,0.4,161645,0.6,338231,0.4,444073,1.75 91,1,2024-09-07 09:59:20:560,1129849,1129849,0,0,530577295159,5595229891,1112205,13686,3958,381,392047,0 91,2,2024-09-07 09:59:21:331,816729,816729,0,0,36827011,0,4713 91,3,2024-09-07 09:59:20:656,1,646,10,0,231,6070,646,0 92,0,2024-09-07 09:59:21:448,164985,0.4,168751,0.6,321641,0.4,436370,1.75 92,1,2024-09-07 09:59:20:594,1134444,1134444,0,0,532744619209,5561588514,1125948,7207,1289,381,392136,0 92,2,2024-09-07 09:59:21:360,820023,820023,0,0,32759091,0,3906 92,3,2024-09-07 09:59:21:027,1,646,3,0,167,5421,646,0 93,0,2024-09-07 09:59:20:961,160101,0.4,164035,0.6,313236,0.3,424188,1.75 93,1,2024-09-07 09:59:20:810,1134837,1134837,0,0,532301238469,5560208886,1121491,10976,2370,365,392048,0 93,2,2024-09-07 09:59:20:933,815133,815133,0,0,38244912,0,4913 93,3,2024-09-07 09:59:21:413,1,646,1,0,505,7210,646,0 94,0,2024-09-07 09:59:21:609,157749,0.4,158935,0.5,317788,0.3,422056,1.75 94,1,2024-09-07 09:59:20:574,1135640,1135640,0,0,532889852537,5554568684,1128360,6840,440,381,391850,0 94,2,2024-09-07 09:59:20:762,816346,816318,28,0,32879727,0,6179 94,3,2024-09-07 09:59:21:689,1,646,27,0,576,7904,646,0 95,0,2024-09-07 09:59:21:384,164759,0.3,164712,0.5,329626,0.3,439186,1.75 95,1,2024-09-07 09:59:20:868,1136264,1136264,0,0,534042440188,5547868801,1127415,8087,762,365,391852,0 95,2,2024-09-07 09:59:21:023,816237,816237,0,0,32836585,0,3308 95,3,2024-09-07 09:59:21:710,1,646,9,0,718,9907,646,0 96,0,2024-09-07 09:59:21:100,164411,0.3,164630,0.5,329658,0.3,437733,1.75 96,1,2024-09-07 09:59:21:585,1134522,1134522,0,0,532705165976,5554275814,1126776,6461,1285,384,391964,0 96,2,2024-09-07 09:59:21:272,822469,822469,0,0,33487931,0,4180 96,3,2024-09-07 09:59:21:141,1,646,1,0,411,7147,646,0 97,0,2024-09-07 09:59:21:393,158967,0.3,158978,0.5,318498,0.3,422815,1.50 97,1,2024-09-07 09:59:20:771,1136552,1136552,0,0,533440717649,5532234052,1129560,5948,1044,367,392140,0 97,2,2024-09-07 09:59:20:616,816899,816899,0,0,32921069,0,4046 97,3,2024-09-07 09:59:20:570,1,646,73,0,242,7311,646,0 98,0,2024-09-07 09:59:21:693,158188,0.3,158099,0.4,317713,0.2,422084,1.50 98,1,2024-09-07 09:59:21:050,1135971,1135971,0,0,533503204255,5554110701,1129412,5718,841,381,391997,0 98,2,2024-09-07 09:59:20:806,815387,815387,0,0,31715010,0,4336 98,3,2024-09-07 09:59:20:705,1,646,1,0,840,9320,646,0 99,0,2024-09-07 09:59:21:462,162982,0.3,163869,0.5,326348,0.3,435631,1.75 99,1,2024-09-07 09:59:21:729,1136503,1136503,0,0,532720767881,5541610078,1129630,5905,968,380,392069,0 99,2,2024-09-07 09:59:21:417,816717,816717,0,0,40613485,0,4276 99,3,2024-09-07 09:59:20:583,1,646,14,0,1124,8126,646,0 100,0,2024-09-07 09:59:21:491,165394,0.8,165848,0.9,331436,0.9,442142,2.50 100,1,2024-09-07 09:59:20:563,1129263,1129263,0,0,530728088053,5609815612,1111899,13869,3495,378,391989,0 100,2,2024-09-07 09:59:21:817,815604,815215,389,0,42820119,0,16909 100,3,2024-09-07 09:59:21:732,1,646,12,0,627,10455,646,0 101,0,2024-09-07 09:59:21:713,164402,1.0,160406,1.0,313875,1.0,428258,2.25 101,1,2024-09-07 09:59:20:557,1131801,1131801,0,0,531236715884,5580751604,1116770,12351,2680,368,391847,0 101,2,2024-09-07 09:59:21:767,811582,811582,0,0,42575827,0,4871 101,3,2024-09-07 09:59:20:953,1,646,2,0,1250,9555,646,0 102,0,2024-09-07 09:59:20:976,155688,0.6,160413,0.8,325827,0.6,425934,2.25 102,1,2024-09-07 09:59:21:147,1131498,1131498,0,0,531527287404,5580808263,1116726,12563,2209,369,391984,0 102,2,2024-09-07 09:59:21:740,815967,815913,54,0,36528728,0,6768 102,3,2024-09-07 09:59:21:633,1,646,216,0,466,7461,646,0 103,0,2024-09-07 09:59:21:595,166208,0.5,166233,0.7,313172,0.5,431575,2.00 103,1,2024-09-07 09:59:21:699,1130575,1130575,0,0,530449365922,5599055016,1112993,13966,3616,381,392077,0 103,2,2024-09-07 09:59:20:595,814760,814760,0,0,39611434,0,3766 103,3,2024-09-07 09:59:20:764,1,646,20,0,916,7495,646,0 104,0,2024-09-07 09:59:21:032,166077,0.7,166381,0.9,331888,0.7,443677,2.25 104,1,2024-09-07 09:59:21:602,1132847,1132847,0,0,531100792495,5582009566,1115627,13975,3245,365,392168,0 104,2,2024-09-07 09:59:21:666,814708,814708,0,0,39160889,0,4161 104,3,2024-09-07 09:59:21:417,1,646,2,0,1245,11038,646,0 105,0,2024-09-07 09:59:21:042,160641,0.9,156564,1.0,328172,1.0,431239,2.50 105,1,2024-09-07 09:59:20:560,1134781,1134781,0,0,533246621646,5583369973,1120880,11945,1956,364,392009,0 105,2,2024-09-07 09:59:21:326,817753,817753,0,0,39796320,0,4360 105,3,2024-09-07 09:59:21:327,1,646,1,0,573,9759,646,0 106,0,2024-09-07 09:59:20:953,153707,0.9,157506,1.0,322614,1.1,421192,2.50 106,1,2024-09-07 09:59:21:762,1132618,1132618,0,0,531225898003,5574022832,1116912,13680,2026,368,391914,0 106,2,2024-09-07 09:59:20:756,814529,814529,0,0,36863800,0,3331 106,3,2024-09-07 09:59:20:696,1,646,2,0,1224,8673,646,0 107,0,2024-09-07 09:59:21:116,158836,0.9,158929,0.9,317995,1.1,424492,2.25 107,1,2024-09-07 09:59:20:585,1130768,1130768,0,0,530102536461,5600933283,1112680,15909,2179,381,392234,0 107,2,2024-09-07 09:59:21:338,812231,812230,1,0,40467312,0,5024 107,3,2024-09-07 09:59:21:756,1,646,9,0,733,9627,646,0 108,0,2024-09-07 09:59:21:771,164961,0.4,165706,0.6,330346,0.4,440426,1.75 108,1,2024-09-07 09:59:21:339,1134139,1134139,0,0,532141920340,5549451761,1124821,8315,1003,367,391894,0 108,2,2024-09-07 09:59:21:761,817012,817012,0,0,35705585,0,4432 108,3,2024-09-07 09:59:21:341,1,646,13,0,749,11131,646,0 109,0,2024-09-07 09:59:21:786,164570,0.4,163144,0.6,327170,0.3,436682,1.75 109,1,2024-09-07 09:59:20:613,1131878,1131878,0,0,531713429063,5577592195,1121597,8781,1500,382,392132,0 109,2,2024-09-07 09:59:20:921,818951,818951,0,0,35840886,0,3617 109,3,2024-09-07 09:59:21:140,1,646,46,0,630,7583,646,0 110,0,2024-09-07 09:59:21:750,158526,0.4,154209,0.6,322871,0.3,423437,1.75 110,1,2024-09-07 09:59:21:647,1136398,1136398,0,0,533559243521,5537948229,1127720,6654,2024,368,392045,0 110,2,2024-09-07 09:59:21:342,815987,815987,0,0,33478007,0,4067 110,3,2024-09-07 09:59:20:697,1,646,6,0,722,8716,646,0 111,0,2024-09-07 09:59:21:416,159049,0.4,158241,0.5,316234,0.3,422245,1.75 111,1,2024-09-07 09:59:21:003,1137839,1137839,0,0,534636622203,5545392228,1131684,5772,383,380,391690,0 111,2,2024-09-07 09:59:21:115,815778,815778,0,0,34086573,0,4823 111,3,2024-09-07 09:59:20:912,1,646,57,0,379,7245,646,0 112,0,2024-09-07 09:59:20:923,164742,0.3,164274,0.4,329288,0.2,437580,1.50 112,1,2024-09-07 09:59:20:824,1136660,1136660,0,0,533326565001,5535819163,1129297,6138,1225,380,391624,0 112,2,2024-09-07 09:59:21:133,817511,817510,1,0,32639755,0,5036 112,3,2024-09-07 09:59:20:604,1,646,1,0,282,6059,646,0 113,0,2024-09-07 09:59:20:863,164952,0.3,164961,0.5,330389,0.2,439435,1.50 113,1,2024-09-07 09:59:21:701,1138979,1138979,0,0,535212244517,5520154907,1132509,5522,948,365,391664,0 113,2,2024-09-07 09:59:21:324,823390,823390,0,0,30201251,0,3813 113,3,2024-09-07 09:59:20:689,1,646,1,0,510,6701,646,0 114,0,2024-09-07 09:59:20:878,160145,0.3,161166,0.5,321492,0.2,428681,1.75 114,1,2024-09-07 09:59:20:722,1136647,1136647,0,0,533092711153,5532586051,1128516,6383,1748,381,391565,0 114,2,2024-09-07 09:59:20:875,817927,817926,1,0,31213062,0,5069 114,3,2024-09-07 09:59:21:280,1,646,1,0,415,5384,646,0 115,0,2024-09-07 09:59:20:562,160185,0.3,160675,0.4,320067,0.2,425604,1.50 115,1,2024-09-07 09:59:20:572,1136679,1136679,0,0,533784214738,5540825330,1128279,6881,1519,382,391757,0 115,2,2024-09-07 09:59:21:124,817870,817870,0,0,31405617,0,4382 115,3,2024-09-07 09:59:21:004,1,646,8,0,167,3950,646,0 116,0,2024-09-07 09:59:21:711,161225,0.7,161137,0.9,323144,0.7,431779,2.00 116,1,2024-09-07 09:59:20:815,1130288,1130288,0,0,531532467870,5599370313,1116861,10221,3206,380,392089,0 116,2,2024-09-07 09:59:21:761,816872,816872,0,0,39279921,0,4475 116,3,2024-09-07 09:59:20:912,1,646,1,0,448,8146,646,0 117,0,2024-09-07 09:59:21:006,167088,0.7,166587,0.8,333454,0.7,445428,2.00 117,1,2024-09-07 09:59:21:588,1132525,1132525,0,0,531316278682,5561424278,1120284,10660,1581,369,392429,0 117,2,2024-09-07 09:59:21:122,819593,819593,0,0,35601696,0,4303 117,3,2024-09-07 09:59:21:064,1,646,2,0,490,8055,646,0 118,0,2024-09-07 09:59:21:816,157012,0.5,161175,0.7,328974,0.5,430306,2.00 118,1,2024-09-07 09:59:20:592,1131766,1131766,0,0,530769298086,5576617296,1115517,12598,3651,366,392054,0 118,2,2024-09-07 09:59:21:587,816454,816454,0,0,38176929,0,2842 118,3,2024-09-07 09:59:21:779,1,646,1,0,343,7839,646,0 119,0,2024-09-07 09:59:21:339,159376,0.7,159898,0.8,319284,0.8,425898,2.25 119,1,2024-09-07 09:59:20:561,1132628,1132628,0,0,531706144356,5572903996,1117809,12306,2513,367,391857,0 119,2,2024-09-07 09:59:21:266,816541,816541,0,0,35410042,0,4309 119,3,2024-09-07 09:59:21:334,1,646,9,0,1358,11743,646,0 120,0,2024-09-07 09:59:21:589,159316,0.7,159079,0.8,319587,0.7,426170,2.25 120,1,2024-09-07 09:59:20:864,1133552,1133552,0,0,531464646952,5571839431,1121492,10913,1147,367,392144,0 120,2,2024-09-07 09:59:20:833,815195,815192,3,0,42521989,0,5363 120,3,2024-09-07 09:59:21:330,1,646,74,0,478,8259,646,0 121,0,2024-09-07 09:59:21:694,166436,1.0,165959,1.0,331865,1.3,442683,2.25 121,1,2024-09-07 09:59:21:673,1132770,1132770,0,0,531719459947,5564401921,1120496,10436,1838,366,391840,0 121,2,2024-09-07 09:59:21:125,816370,816370,0,0,39026987,0,4157 121,3,2024-09-07 09:59:20:731,1,646,4,0,387,8452,646,0 122,0,2024-09-07 09:59:21:820,162821,0.7,158359,0.9,331546,0.8,436156,2.00 122,1,2024-09-07 09:59:20:861,1132209,1132209,0,0,531405526363,5574501183,1117017,12773,2419,365,392130,0 122,2,2024-09-07 09:59:21:319,819805,819730,75,0,42630834,0,5989 122,3,2024-09-07 09:59:20:613,1,646,5,0,512,10056,646,0 123,0,2024-09-07 09:59:20:967,158388,0.8,154185,0.9,323248,0.9,422721,2.25 123,1,2024-09-07 09:59:20:575,1132686,1132686,0,0,531261490033,5585853687,1114713,15100,2873,369,392039,0 123,2,2024-09-07 09:59:21:023,813601,813600,1,0,36377599,0,5215 123,3,2024-09-07 09:59:21:133,1,646,10,0,478,7236,646,0 124,0,2024-09-07 09:59:20:918,162794,0.3,162883,0.5,306864,0.3,422656,1.75 124,1,2024-09-07 09:59:21:026,1136703,1136703,0,0,532678936402,5535742361,1128481,7030,1192,365,392178,0 124,2,2024-09-07 09:59:21:012,817927,817874,53,0,33590829,0,6487 124,3,2024-09-07 09:59:20:758,1,646,40,0,490,6412,646,0 125,0,2024-09-07 09:59:21:477,164498,0.4,164349,0.5,329604,0.3,439405,1.75 125,1,2024-09-07 09:59:20:857,1133599,1133599,0,0,532091301412,5554721230,1124617,7725,1257,382,392045,0 125,2,2024-09-07 09:59:21:126,819417,819417,0,0,33400204,0,4534 125,3,2024-09-07 09:59:21:126,1,646,14,0,709,7356,646,0 126,0,2024-09-07 09:59:21:432,164608,0.4,169325,0.5,323697,0.3,438474,1.75 126,1,2024-09-07 09:59:20:574,1137423,1137423,0,0,533379037045,5521277067,1131445,5521,457,365,391987,0 126,2,2024-09-07 09:59:20:627,822453,822453,0,0,34694009,0,4539 126,3,2024-09-07 09:59:20:908,1,646,206,0,268,7106,646,0 127,0,2024-09-07 09:59:21:589,159370,0.3,159489,0.5,318344,0.3,423102,1.75 127,1,2024-09-07 09:59:20:570,1135374,1135374,0,0,532747388122,5543235903,1123753,9933,1688,364,392187,0 127,2,2024-09-07 09:59:20:649,815971,815967,4,0,32680129,0,5305 127,3,2024-09-07 09:59:21:275,1,646,7,0,968,6215,646,0 128,0,2024-09-07 09:59:21:545,159098,0.3,159245,0.4,318217,0.2,422810,1.50 128,1,2024-09-07 09:59:21:619,1135220,1135220,0,0,532680054467,5539027883,1126442,7813,965,367,392423,0 128,2,2024-09-07 09:59:21:383,818020,818020,0,0,30276388,0,3171 128,3,2024-09-07 09:59:20:770,1,646,1,0,1082,9853,646,0 129,0,2024-09-07 09:59:20:998,164368,0.3,163496,0.5,327795,0.3,436084,1.50 129,1,2024-09-07 09:59:20:572,1131709,1131709,0,0,531585394021,5569747607,1120569,9118,2022,379,391962,0 129,2,2024-09-07 09:59:20:691,818777,818773,4,0,33387834,0,5335 129,3,2024-09-07 09:59:20:693,1,646,7,0,506,8594,646,0 130,0,2024-09-07 09:59:21:719,166539,0.4,166330,0.6,332951,0.4,443085,1.75 130,1,2024-09-07 09:59:20:596,1137042,1137042,0,0,533053807603,5533126768,1131573,5066,403,381,391825,0 130,2,2024-09-07 09:59:21:128,820063,820063,0,0,33045395,0,4067 130,3,2024-09-07 09:59:21:337,1,646,4,0,960,8471,646,0 131,0,2024-09-07 09:59:21:933,160942,0.3,161376,0.5,323717,0.3,429783,1.50 131,1,2024-09-07 09:59:21:828,1136074,1136074,0,0,532961870691,5552987862,1128408,6467,1199,381,391865,0 131,2,2024-09-07 09:59:20:573,817122,817122,0,0,30574072,0,3979 131,3,2024-09-07 09:59:21:688,1,646,8,0,392,8091,646,0 132,0,2024-09-07 09:59:21:411,160368,0.5,161351,0.6,321115,0.4,427237,2.00 132,1,2024-09-07 09:59:20:595,1131481,1131481,0,0,530914451108,5586634056,1115434,13269,2778,381,392532,0 132,2,2024-09-07 09:59:20:700,815522,815505,17,0,39802624,0,6451 132,3,2024-09-07 09:59:21:688,1,646,3,0,1298,11283,646,0 133,0,2024-09-07 09:59:21:520,156926,0.4,160610,0.6,329355,0.4,429208,2.00 133,1,2024-09-07 09:59:20:584,1131276,1131276,0,0,530646860270,5588949943,1116358,12988,1930,383,391914,0 133,2,2024-09-07 09:59:21:093,817843,817793,50,0,40545046,0,6861 133,3,2024-09-07 09:59:21:337,1,646,1,0,528,7703,646,0 134,0,2024-09-07 09:59:20:979,167832,0.5,167878,0.7,335569,0.5,446976,2.25 134,1,2024-09-07 09:59:20:603,1132287,1132287,0,0,531535634623,5576619695,1117185,11927,3175,366,391781,0 134,2,2024-09-07 09:59:21:760,817012,816988,24,0,37582809,0,6207 134,3,2024-09-07 09:59:20:749,1,646,3,0,739,7991,646,0 135,0,2024-09-07 09:59:21:147,157400,0.7,157360,0.8,334124,0.8,430619,2.25 135,1,2024-09-07 09:59:21:600,1132258,1132258,0,0,531779186887,5590713813,1118094,12316,1848,380,391974,0 135,2,2024-09-07 09:59:20:700,820115,820115,0,0,38805592,0,4503 135,3,2024-09-07 09:59:21:007,1,646,13,0,900,6173,646,0 136,0,2024-09-07 09:59:21:663,159620,0.6,160088,0.8,318004,0.6,424458,2.00 136,1,2024-09-07 09:59:21:453,1133441,1133441,0,0,532560565496,5577853233,1121426,10623,1392,381,392135,0 136,2,2024-09-07 09:59:21:138,817118,817103,15,0,38089430,0,6007 136,3,2024-09-07 09:59:21:125,1,646,5,0,637,7438,646,0 137,0,2024-09-07 09:59:20:919,164214,0.6,160059,0.7,313433,0.6,426110,2.00 137,1,2024-09-07 09:59:20:579,1132711,1132711,0,0,532395987672,5580941957,1115607,13688,3416,366,391898,0 137,2,2024-09-07 09:59:21:715,814549,814549,0,0,39337376,0,3185 137,3,2024-09-07 09:59:20:772,1,646,3,0,484,8513,646,0 138,0,2024-09-07 09:59:21:797,164527,0.8,164868,0.9,330230,1.0,439005,2.00 138,1,2024-09-07 09:59:21:686,1132487,1132487,0,0,532014281132,5582594301,1116371,13479,2637,368,391954,0 138,2,2024-09-07 09:59:20:588,815843,815843,0,0,37872355,0,4988 138,3,2024-09-07 09:59:20:629,1,646,25,0,1200,9783,646,0 139,0,2024-09-07 09:59:21:368,162317,0.9,162958,0.9,325494,1.2,434910,2.25 139,1,2024-09-07 09:59:20:593,1128223,1128223,0,0,529081662125,5606849787,1109209,15147,3867,380,392109,0 139,2,2024-09-07 09:59:20:709,815037,815007,30,0,43348082,0,5997 139,3,2024-09-07 09:59:21:665,1,646,15,0,432,7563,646,0 140,0,2024-09-07 09:59:21:597,159093,0.3,158281,0.5,317854,0.2,423701,1.75 140,1,2024-09-07 09:59:21:536,1140226,1140226,0,0,535665690610,5523374776,1134444,5170,612,364,391628,0 140,2,2024-09-07 09:59:20:693,816144,816143,1,0,30812475,0,5036 140,3,2024-09-07 09:59:20:767,1,646,179,0,575,6476,646,0 141,0,2024-09-07 09:59:21:697,158619,0.3,163099,0.5,311662,0.2,421905,1.50 141,1,2024-09-07 09:59:20:859,1136703,1136703,0,0,533341647928,5540931711,1127592,7795,1316,379,391614,0 141,2,2024-09-07 09:59:21:686,816815,816804,11,0,32802627,0,5369 141,3,2024-09-07 09:59:21:045,1,646,10,0,391,7455,646,0 142,0,2024-09-07 09:59:21:361,164973,0.3,164176,0.5,328629,0.2,438554,1.50 142,1,2024-09-07 09:59:20:598,1134744,1134744,0,0,532464839617,5551013397,1127000,7086,658,382,392102,0 142,2,2024-09-07 09:59:21:338,816641,816609,32,0,33611254,0,6028 142,3,2024-09-07 09:59:21:746,1,646,2,0,484,6748,646,0 143,0,2024-09-07 09:59:21:381,165031,0.4,164871,0.5,330680,0.4,439478,1.75 143,1,2024-09-07 09:59:20:567,1137933,1137933,0,0,534397559184,5541900849,1131065,6207,661,367,391900,0 143,2,2024-09-07 09:59:20:775,821494,821494,0,0,33540412,0,3123 143,3,2024-09-07 09:59:21:153,1,646,11,0,462,7559,646,0 144,0,2024-09-07 09:59:21:491,155186,0.6,159707,0.7,324978,0.5,425155,2.00 144,1,2024-09-07 09:59:20:573,1132226,1132226,0,0,530302007944,5562707876,1121210,9019,1997,381,391733,0 144,2,2024-09-07 09:59:21:765,816973,816973,0,0,32499576,0,4443 144,3,2024-09-07 09:59:21:744,1,646,1,0,259,6503,646,0 145,0,2024-09-07 09:59:21:374,154323,0.6,154322,0.8,327673,0.5,421215,2.25 145,1,2024-09-07 09:59:20:579,1131206,1131206,0,0,531131413528,5587883049,1116180,12271,2755,382,391781,0 145,2,2024-09-07 09:59:21:437,814406,814324,82,0,38759902,0,7814 145,3,2024-09-07 09:59:20:896,1,646,30,0,622,8695,646,0 146,0,2024-09-07 09:59:21:651,161819,0.6,160950,0.8,323727,0.6,430274,2.25 146,1,2024-09-07 09:59:21:590,1132613,1132613,0,0,531137996965,5587698041,1114125,13668,4820,367,391770,0 146,2,2024-09-07 09:59:21:695,815337,815331,6,0,37521264,0,5151 146,3,2024-09-07 09:59:21:283,1,646,1,0,1520,10736,646,0 147,0,2024-09-07 09:59:21:770,166972,0.6,166601,0.7,333396,0.6,444415,2.00 147,1,2024-09-07 09:59:21:381,1136766,1136766,0,0,532921473948,5537603667,1127697,8039,1030,367,391791,0 147,2,2024-09-07 09:59:21:014,818294,818294,0,0,33313528,0,3359 147,3,2024-09-07 09:59:20:914,1,646,33,0,1626,9974,646,0 0,0,2024-09-07 09:59:31:745,155869,0.6,155824,0.7,330741,0.6,427283,2.00 0,1,2024-09-07 09:59:30:807,1135528,1135528,0,0,532942392962,5576306564,1126595,7980,953,368,391896,0 0,2,2024-09-07 09:59:31:069,818583,818583,0,0,32799767,0,4480 0,3,2024-09-07 09:59:30:981,1,647,39,0,431,8970,647,0 1,0,2024-09-07 09:59:31:776,166601,0.8,165634,0.9,332341,0.9,445039,2.00 1,1,2024-09-07 09:59:30:579,1134149,1134149,0,0,532367774450,5571617827,1124583,8069,1497,370,391859,0 1,2,2024-09-07 09:59:30:641,818895,818895,0,0,32256754,0,3380 1,3,2024-09-07 09:59:31:302,1,647,22,0,269,7809,647,0 2,0,2024-09-07 09:59:31:578,163693,0.6,163242,0.7,326432,0.6,435786,2.00 2,1,2024-09-07 09:59:30:865,1137600,1137600,0,0,534589228506,5556181697,1131147,5501,952,379,391745,0 2,2,2024-09-07 09:59:31:265,821780,821780,0,0,30865333,0,3594 2,3,2024-09-07 09:59:30:705,1,647,6,0,357,5578,647,0 3,0,2024-09-07 09:59:31:780,159066,0.4,159134,0.6,317763,0.4,423270,2.00 3,1,2024-09-07 09:59:31:622,1136541,1136541,0,0,533297632138,5544894708,1128501,7323,717,379,391716,0 3,2,2024-09-07 09:59:31:145,817967,817944,23,0,31644968,0,5851 3,3,2024-09-07 09:59:31:764,1,647,11,0,484,4914,647,0 4,0,2024-09-07 09:59:31:799,154043,0.4,158339,0.5,322783,0.3,421954,1.75 4,1,2024-09-07 09:59:30:596,1131116,1131116,0,0,531039549319,5619117095,1111869,14710,4537,369,391992,0 4,2,2024-09-07 09:59:31:017,814729,814729,0,0,39092396,0,4534 4,3,2024-09-07 09:59:31:027,1,647,43,0,448,8639,647,0 5,0,2024-09-07 09:59:31:397,165106,0.4,164994,0.5,330255,0.4,439547,1.75 5,1,2024-09-07 09:59:30:755,1132650,1132650,0,0,531855324657,5612212197,1115417,13008,4225,367,392005,0 5,2,2024-09-07 09:59:31:834,815227,815227,0,0,38205200,0,3582 5,3,2024-09-07 09:59:31:744,1,647,2,0,457,8628,647,0 6,0,2024-09-07 09:59:30:916,164536,0.5,164034,0.6,328125,0.4,437209,2.00 6,1,2024-09-07 09:59:30:746,1135008,1135008,0,0,533121851915,5564604873,1123549,9869,1590,379,391702,0 6,2,2024-09-07 09:59:31:124,822298,822280,18,0,36478762,0,5535 6,3,2024-09-07 09:59:31:277,1,647,0,0,710,7597,647,0 7,0,2024-09-07 09:59:31:530,158404,0.5,159520,0.7,316648,0.5,422009,2.00 7,1,2024-09-07 09:59:30:850,1132729,1132729,0,0,531940394716,5598818573,1115228,13366,4135,382,391747,0 7,2,2024-09-07 09:59:30:769,815901,815901,0,0,35070560,0,4791 7,3,2024-09-07 09:59:30:854,1,647,30,0,552,7438,647,0 8,0,2024-09-07 09:59:31:377,159020,0.4,158649,0.5,317765,0.3,423133,1.75 8,1,2024-09-07 09:59:31:016,1131945,1131945,0,0,531704358038,5589057569,1114409,13814,3722,366,392853,0 8,2,2024-09-07 09:59:30:793,811770,811768,2,0,41777114,0,5112 8,3,2024-09-07 09:59:30:586,1,647,4,0,772,9971,647,0 9,0,2024-09-07 09:59:31:106,163488,0.4,158909,0.5,332446,0.3,436683,1.75 9,1,2024-09-07 09:59:30:567,1131731,1131731,0,0,531456230102,5605021050,1113123,14506,4102,369,392001,0 9,2,2024-09-07 09:59:31:083,816986,816985,1,0,39793645,0,5281 9,3,2024-09-07 09:59:31:767,1,647,4,0,1273,10755,647,0 10,0,2024-09-07 09:59:31:607,165779,0.4,165131,0.5,331368,0.3,440841,1.75 10,1,2024-09-07 09:59:30:590,1133749,1133749,0,0,532279803768,5586753463,1116515,13705,3529,381,391981,0 10,2,2024-09-07 09:59:30:762,820931,820931,0,0,42928097,0,4713 10,3,2024-09-07 09:59:30:871,1,647,2,0,669,6950,647,0 11,0,2024-09-07 09:59:31:007,160819,0.4,156172,0.6,326813,0.4,429825,1.75 11,1,2024-09-07 09:59:30:575,1136148,1136148,0,0,532937252315,5588899526,1119759,12081,4308,383,391766,0 11,2,2024-09-07 09:59:31:127,816126,816126,0,0,37325268,0,4698 11,3,2024-09-07 09:59:31:302,1,647,8,0,843,8017,647,0 12,0,2024-09-07 09:59:30:960,161468,0.4,161275,0.5,322180,0.4,428187,1.75 12,1,2024-09-07 09:59:30:944,1135489,1135489,0,0,532694980026,5555325077,1126463,8012,1014,370,391960,0 12,2,2024-09-07 09:59:31:545,815517,815517,0,0,36594334,0,4390 12,3,2024-09-07 09:59:31:059,1,647,1,0,386,8251,647,0 13,0,2024-09-07 09:59:31:377,162254,0.4,162402,0.6,323879,0.4,431833,1.75 13,1,2024-09-07 09:59:31:532,1132440,1132440,0,0,531978307544,5590954691,1120692,9074,2674,382,391803,0 13,2,2024-09-07 09:59:30:599,819922,819922,0,0,32942971,0,3287 13,3,2024-09-07 09:59:31:764,1,647,0,0,522,8591,647,0 14,0,2024-09-07 09:59:30:571,167289,0.4,168368,0.6,334687,0.4,445479,1.75 14,1,2024-09-07 09:59:31:572,1140741,1140741,0,0,535126225621,5535848783,1131982,7628,1131,364,391673,0 14,2,2024-09-07 09:59:30:763,819451,819421,30,0,33905607,0,6104 14,3,2024-09-07 09:59:31:115,1,647,3,0,1168,6897,647,0 15,0,2024-09-07 09:59:31:565,162896,0.4,162027,0.6,324401,0.4,432788,2.00 15,1,2024-09-07 09:59:31:621,1137230,1137230,0,0,534296016483,5564449267,1127430,7806,1994,381,391619,0 15,2,2024-09-07 09:59:31:001,821318,821318,0,0,30287102,0,3622 15,3,2024-09-07 09:59:31:406,1,647,8,0,1126,8343,647,0 16,0,2024-09-07 09:59:31:000,159249,0.6,159988,0.7,319200,0.6,424961,2.00 16,1,2024-09-07 09:59:30:577,1136814,1136814,0,0,532996349492,5568963155,1126979,8368,1467,370,392194,0 16,2,2024-09-07 09:59:31:444,816412,816412,0,0,33347304,0,4719 16,3,2024-09-07 09:59:31:164,1,647,11,0,358,7802,647,0 17,0,2024-09-07 09:59:31:823,164478,0.6,160260,0.8,314086,0.6,427303,2.00 17,1,2024-09-07 09:59:30:596,1134836,1134836,0,0,532377426151,5579699049,1124254,8804,1778,368,392075,0 17,2,2024-09-07 09:59:31:676,820373,820372,1,0,34968169,0,5050 17,3,2024-09-07 09:59:30:590,1,647,18,0,518,9463,647,0 18,0,2024-09-07 09:59:30:942,164103,0.6,165208,0.8,328801,0.6,439378,2.25 18,1,2024-09-07 09:59:31:644,1139301,1139301,0,0,534442279276,5539891511,1132084,5958,1259,367,391725,0 18,2,2024-09-07 09:59:31:755,820390,820390,0,0,31317606,0,3541 18,3,2024-09-07 09:59:30:895,1,647,1,0,1059,5753,647,0 19,0,2024-09-07 09:59:31:540,163251,0.6,163726,0.8,326357,0.7,433805,2.00 19,1,2024-09-07 09:59:30:571,1139178,1139178,0,0,534773880976,5541324970,1130705,7321,1152,365,391777,0 19,2,2024-09-07 09:59:31:758,824635,824635,0,0,29300750,0,3988 19,3,2024-09-07 09:59:31:129,1,647,15,0,524,4985,647,0 20,0,2024-09-07 09:59:31:386,158826,0.5,158619,0.7,317226,0.5,423151,2.00 20,1,2024-09-07 09:59:30:568,1134933,1134933,0,0,532404305243,5577323926,1123816,9574,1543,369,391922,0 20,2,2024-09-07 09:59:30:932,816313,816313,0,0,36518632,0,4321 20,3,2024-09-07 09:59:30:601,1,647,1,0,468,9933,647,0 21,0,2024-09-07 09:59:31:129,158744,0.5,158724,0.6,317418,0.4,421684,2.00 21,1,2024-09-07 09:59:31:580,1132883,1132883,0,0,531487869844,5606762328,1115416,13543,3924,368,392016,0 21,2,2024-09-07 09:59:31:069,811021,810544,477,0,47243541,0,17074 21,3,2024-09-07 09:59:31:424,1,647,7,0,713,8873,647,0 22,0,2024-09-07 09:59:31:719,164234,0.4,164934,0.6,329451,0.4,437397,2.00 22,1,2024-09-07 09:59:31:022,1133364,1133364,0,0,532301872031,5598684443,1115081,14731,3552,381,391822,0 22,2,2024-09-07 09:59:30:759,816802,816776,26,0,35410044,0,6328 22,3,2024-09-07 09:59:31:068,1,647,0,0,228,5449,647,0 23,0,2024-09-07 09:59:31:372,164596,0.5,164442,0.7,328914,0.4,438092,2.00 23,1,2024-09-07 09:59:31:003,1134288,1134288,0,0,533148263418,5612029808,1114163,13415,6710,365,391690,0 23,2,2024-09-07 09:59:31:094,821929,821929,0,0,33569007,0,3773 23,3,2024-09-07 09:59:31:757,1,647,21,0,855,9395,647,0 24,0,2024-09-07 09:59:30:836,161084,0.4,160230,0.5,322177,0.4,427492,1.75 24,1,2024-09-07 09:59:30:581,1134119,1134119,0,0,531575089747,5563326849,1123494,8847,1778,367,392269,0 24,2,2024-09-07 09:59:31:070,816162,816159,3,0,40218581,0,6294 24,3,2024-09-07 09:59:31:693,1,647,2,0,468,8436,647,0 25,0,2024-09-07 09:59:31:346,163866,0.4,159569,0.6,313601,0.4,426385,1.75 25,1,2024-09-07 09:59:30:565,1132158,1132158,0,0,530682635004,5605346029,1112478,15774,3906,369,391928,0 25,2,2024-09-07 09:59:31:614,814673,814673,0,0,40863359,0,3978 25,3,2024-09-07 09:59:31:002,1,647,2,0,532,7153,647,0 26,0,2024-09-07 09:59:31:739,161519,0.4,157721,0.6,331176,0.4,431787,1.75 26,1,2024-09-07 09:59:31:545,1135881,1135881,0,0,533867816089,5589586947,1120464,12517,2900,380,391758,0 26,2,2024-09-07 09:59:30:861,817786,817786,0,0,43682356,0,4689 26,3,2024-09-07 09:59:31:723,1,647,1,0,796,7908,647,0 27,0,2024-09-07 09:59:31:731,166828,0.4,167628,0.6,333172,0.4,444163,2.25 27,1,2024-09-07 09:59:31:681,1137298,1137298,0,0,533661245356,5559071099,1126615,9066,1617,381,391626,0 27,2,2024-09-07 09:59:30:867,816652,816587,65,0,38077473,0,5699 27,3,2024-09-07 09:59:31:015,1,647,13,0,564,5436,647,0 28,0,2024-09-07 09:59:31:393,162178,0.4,162453,0.6,324979,0.3,432490,1.75 28,1,2024-09-07 09:59:30:798,1137918,1137918,0,0,534416036664,5569144356,1128621,7396,1901,382,391904,0 28,2,2024-09-07 09:59:31:764,818686,818686,0,0,33051224,0,2915 28,3,2024-09-07 09:59:31:778,1,647,2,0,502,6687,647,0 29,0,2024-09-07 09:59:31:359,164600,0.4,160296,0.6,314345,0.3,428845,1.75 29,1,2024-09-07 09:59:31:572,1140537,1140537,0,0,535750571180,5542455400,1133222,6296,1019,367,391809,0 29,2,2024-09-07 09:59:30:861,817202,817202,0,0,31967331,0,4986 29,3,2024-09-07 09:59:30:970,1,647,0,0,459,6744,647,0 30,0,2024-09-07 09:59:31:464,159476,0.5,155303,0.7,325403,0.5,425434,2.00 30,1,2024-09-07 09:59:30:578,1139044,1139044,0,0,535447140906,5557880905,1130171,7789,1084,380,391672,0 30,2,2024-09-07 09:59:31:274,819769,819769,0,0,30836187,0,4192 30,3,2024-09-07 09:59:30:582,1,647,2,0,519,6288,647,0 31,0,2024-09-07 09:59:31:769,166403,0.4,167303,0.6,333162,0.4,444948,2.00 31,1,2024-09-07 09:59:30:582,1143471,1143471,0,0,535950811924,5504510854,1136185,6098,1188,356,391712,0 31,2,2024-09-07 09:59:31:276,817825,817825,0,0,34855253,0,4470 31,3,2024-09-07 09:59:31:709,1,647,1,0,239,5621,647,0 32,0,2024-09-07 09:59:31:435,163860,0.3,164851,0.5,328054,0.3,437176,1.75 32,1,2024-09-07 09:59:30:810,1139154,1139154,0,0,534395838929,5552272900,1132368,5966,820,381,391646,0 32,2,2024-09-07 09:59:30:946,823309,823309,0,0,30036915,0,3922 32,3,2024-09-07 09:59:31:015,1,647,1,0,304,5268,647,0 33,0,2024-09-07 09:59:31:519,159802,0.3,159401,0.4,318830,0.2,424308,1.50 33,1,2024-09-07 09:59:30:575,1140280,1140280,0,0,535363004015,5542246622,1131602,7403,1275,368,391730,0 33,2,2024-09-07 09:59:30:759,817487,817452,35,0,33665031,0,7012 33,3,2024-09-07 09:59:30:900,1,647,1,0,329,5628,647,0 34,0,2024-09-07 09:59:30:932,158993,0.3,163390,0.5,312374,0.2,421824,1.75 34,1,2024-09-07 09:59:31:046,1141982,1141982,0,0,536540137103,5518697946,1138545,3315,122,367,391637,0 34,2,2024-09-07 09:59:30:765,818655,818655,0,0,31610117,0,4562 34,3,2024-09-07 09:59:31:688,1,647,1,0,541,5638,647,0 35,0,2024-09-07 09:59:30:857,164376,0.3,164989,0.5,330979,0.2,440321,1.75 35,1,2024-09-07 09:59:31:071,1138109,1138109,0,0,534424021461,5543853298,1129607,6969,1533,382,391769,0 35,2,2024-09-07 09:59:31:585,819009,819009,0,0,35681872,0,4055 35,3,2024-09-07 09:59:30:907,1,647,8,0,466,6011,647,0 36,0,2024-09-07 09:59:31:518,164904,0.5,165170,0.6,329683,0.4,438823,2.00 36,1,2024-09-07 09:59:30:582,1136741,1136741,0,0,533183592321,5564758082,1122802,11693,2246,366,391759,0 36,2,2024-09-07 09:59:31:758,822640,822640,0,0,36896218,0,3875 36,3,2024-09-07 09:59:30:863,1,647,1,0,556,8574,647,0 37,0,2024-09-07 09:59:31:368,158296,0.5,158411,0.7,317020,0.5,422690,2.25 37,1,2024-09-07 09:59:30:574,1136243,1136236,0,7,533390354041,5568860705,1122831,10483,2922,365,391770,0 37,2,2024-09-07 09:59:31:145,814371,814356,15,0,36117374,0,5815 37,3,2024-09-07 09:59:31:772,1,647,0,0,888,8761,647,0 38,0,2024-09-07 09:59:31:438,157828,0.4,152984,0.6,319960,0.4,418974,2.00 38,1,2024-09-07 09:59:31:606,1135986,1135986,0,0,533560261507,5578759610,1119565,13125,3296,368,391821,0 38,2,2024-09-07 09:59:30:760,816500,816453,47,0,36744175,0,6710 38,3,2024-09-07 09:59:31:009,1,647,1,0,689,7516,647,0 39,0,2024-09-07 09:59:31:770,167119,0.5,163335,0.7,318687,0.5,435625,2.00 39,1,2024-09-07 09:59:30:726,1135692,1135692,0,0,533417853124,5590721427,1117203,14301,4188,365,391865,0 39,2,2024-09-07 09:59:31:427,818527,818527,0,0,35057575,0,3391 39,3,2024-09-07 09:59:30:713,1,647,8,0,525,7273,647,0 40,0,2024-09-07 09:59:31:494,164285,0.9,165038,1.0,329340,1.0,439686,2.75 40,1,2024-09-07 09:59:30:577,1135811,1135811,0,0,531945568647,5574824177,1118435,14081,3295,366,391668,0 40,2,2024-09-07 09:59:31:303,818338,818331,7,0,41238255,0,5347 40,3,2024-09-07 09:59:31:144,1,647,5,0,1028,9289,647,0 41,0,2024-09-07 09:59:31:021,160165,1.2,163913,1.1,312709,1.7,424439,3.00 41,1,2024-09-07 09:59:30:770,1135015,1135015,0,0,533428351843,5578774660,1120373,12340,2302,369,391878,0 41,2,2024-09-07 09:59:30:759,813270,813269,1,0,39609421,0,5408 41,3,2024-09-07 09:59:31:682,1,647,2,0,366,6884,647,0 42,0,2024-09-07 09:59:31:514,159286,0.9,158950,1.0,318160,1.0,422133,2.50 42,1,2024-09-07 09:59:31:448,1133147,1133147,0,0,531466562053,5581648152,1115988,14203,2956,380,391675,0 42,2,2024-09-07 09:59:31:145,814845,814844,1,0,40794763,0,5513 42,3,2024-09-07 09:59:31:009,1,647,2,0,892,6221,647,0 43,0,2024-09-07 09:59:30:914,159752,0.8,155476,0.9,325927,0.8,426918,2.25 43,1,2024-09-07 09:59:30:580,1136134,1136134,0,0,532819055473,5567694582,1120382,13006,2746,366,391696,0 43,2,2024-09-07 09:59:31:737,818401,818401,0,0,38117314,0,4723 43,3,2024-09-07 09:59:31:754,1,647,4,0,571,9040,647,0 44,0,2024-09-07 09:59:30:862,167624,0.4,167758,0.6,334882,0.4,446446,2.00 44,1,2024-09-07 09:59:30:573,1139481,1139481,0,0,534246790328,5521765297,1129442,7968,2071,356,391809,0 44,2,2024-09-07 09:59:31:266,817683,817683,0,0,30818215,0,4344 44,3,2024-09-07 09:59:31:093,1,647,132,0,1097,7945,647,0 45,0,2024-09-07 09:59:31:757,160933,0.5,157322,0.7,330188,0.4,432773,2.00 45,1,2024-09-07 09:59:31:005,1138424,1138424,0,0,534972920818,5559013969,1129457,7748,1219,382,391917,0 45,2,2024-09-07 09:59:31:267,820630,820630,0,0,30896212,0,3596 45,3,2024-09-07 09:59:30:937,1,647,0,0,531,6057,647,0 46,0,2024-09-07 09:59:30:957,158571,0.5,158077,0.7,317112,0.5,421758,2.00 46,1,2024-09-07 09:59:30:579,1140685,1140685,0,0,534912600064,5529353782,1132751,6885,1049,366,391709,0 46,2,2024-09-07 09:59:30:593,818645,818645,0,0,31697303,0,4443 46,3,2024-09-07 09:59:31:144,1,647,1,0,908,7410,647,0 47,0,2024-09-07 09:59:31:102,160101,0.4,159783,0.6,320999,0.4,425892,2.00 47,1,2024-09-07 09:59:30:575,1141692,1141692,0,0,535428775609,5531115138,1135035,5715,942,364,391641,0 47,2,2024-09-07 09:59:30:908,820859,820859,0,0,31488152,0,4477 47,3,2024-09-07 09:59:31:115,1,647,8,0,600,6961,647,0 48,0,2024-09-07 09:59:31:503,165947,0.3,166398,0.4,331729,0.2,441186,1.50 48,1,2024-09-07 09:59:31:022,1138825,1138825,0,0,534333536476,5545879877,1132525,5720,580,381,391710,0 48,2,2024-09-07 09:59:30:705,819274,819274,0,0,29194565,0,3524 48,3,2024-09-07 09:59:30:753,1,647,1,0,339,5582,647,0 49,0,2024-09-07 09:59:31:713,168571,0.3,165192,0.5,321315,0.3,438454,1.75 49,1,2024-09-07 09:59:31:022,1138067,1138067,0,0,533789152084,5549547626,1130566,5805,1696,382,391809,0 49,2,2024-09-07 09:59:31:798,823060,823060,0,0,31442292,0,4426 49,3,2024-09-07 09:59:31:426,1,647,1,0,992,7536,647,0 50,0,2024-09-07 09:59:31:515,159427,0.3,157955,0.5,318104,0.2,423053,1.75 50,1,2024-09-07 09:59:31:011,1140995,1140995,0,0,536495083731,5543580303,1134095,6229,671,368,391691,0 50,2,2024-09-07 09:59:31:068,815704,815704,0,0,28957680,0,4490 50,3,2024-09-07 09:59:31:291,1,647,10,0,617,6355,647,0 51,0,2024-09-07 09:59:31:686,162941,0.3,159422,0.5,310350,0.2,423555,1.75 51,1,2024-09-07 09:59:31:695,1141308,1141308,0,0,537081929787,5536838149,1134924,5046,1338,365,391706,0 51,2,2024-09-07 09:59:31:317,817631,817631,0,0,28681214,0,3337 51,3,2024-09-07 09:59:31:027,1,647,8,0,678,4628,647,0 52,0,2024-09-07 09:59:31:414,164981,0.5,164866,0.6,329589,0.4,438487,2.00 52,1,2024-09-07 09:59:30:577,1136291,1136291,0,0,533462614660,5578328912,1121000,12955,2336,368,391805,0 52,2,2024-09-07 09:59:31:762,815253,815215,38,0,39393562,0,6742 52,3,2024-09-07 09:59:30:674,1,647,22,0,1782,7426,647,0 53,0,2024-09-07 09:59:31:730,164443,0.6,159563,0.8,333803,0.7,437102,2.25 53,1,2024-09-07 09:59:30:777,1134148,1134148,0,0,533274769495,5591392098,1115512,14229,4407,367,391968,0 53,2,2024-09-07 09:59:31:303,822683,822682,1,0,34685008,0,5455 53,3,2024-09-07 09:59:30:705,1,647,18,0,308,5897,647,0 54,0,2024-09-07 09:59:31:614,158359,0.6,158615,0.7,316105,0.5,421893,2.25 54,1,2024-09-07 09:59:30:600,1137599,1137599,0,0,533628409928,5546593976,1126302,9625,1672,366,391810,0 54,2,2024-09-07 09:59:30:872,816949,816917,32,0,38727669,0,6397 54,3,2024-09-07 09:59:30:762,1,647,1,0,676,8170,647,0 55,0,2024-09-07 09:59:31:770,153892,0.6,158765,0.8,321948,0.5,418907,2.50 55,1,2024-09-07 09:59:30:763,1138087,1138087,0,0,534046164706,5552675268,1126400,10219,1468,365,391731,0 55,2,2024-09-07 09:59:30:732,815756,815700,56,0,35891125,0,7239 55,3,2024-09-07 09:59:30:674,1,647,2,0,304,5904,647,0 56,0,2024-09-07 09:59:31:614,165292,1.2,155724,1.1,320918,1.5,430018,2.75 56,1,2024-09-07 09:59:30:570,1130947,1130947,0,0,531070876875,5613979799,1112412,14820,3715,381,391867,0 56,2,2024-09-07 09:59:31:303,816807,816685,122,0,38517347,0,7432 56,3,2024-09-07 09:59:31:061,1,647,1,0,705,8002,647,0 57,0,2024-09-07 09:59:30:944,165012,1.4,164796,1.2,329771,2.0,440378,3.00 57,1,2024-09-07 09:59:30:994,1133586,1133586,0,0,531647474940,5579995593,1118149,12747,2690,366,392032,0 57,2,2024-09-07 09:59:31:317,818859,818859,0,0,40874901,0,4804 57,3,2024-09-07 09:59:31:738,1,647,1,0,455,7198,647,0 58,0,2024-09-07 09:59:30:563,159715,0.9,155084,1.0,324679,1.2,424936,2.50 58,1,2024-09-07 09:59:30:577,1134764,1134761,0,3,533025802457,5589075638,1117165,13283,4313,367,391726,3 58,2,2024-09-07 09:59:31:070,818572,818572,0,0,37517484,0,3483 58,3,2024-09-07 09:59:31:068,1,647,1,0,1043,7046,647,0 59,0,2024-09-07 09:59:31:749,158672,0.8,157996,1.0,315989,0.9,419767,2.75 59,1,2024-09-07 09:59:30:812,1134418,1134418,0,0,532556320652,5596533826,1115499,15085,3834,369,391653,0 59,2,2024-09-07 09:59:30:582,817236,817236,0,0,35680223,0,3727 59,3,2024-09-07 09:59:31:747,1,647,8,0,1015,7755,647,0 60,0,2024-09-07 09:59:31:716,160309,0.5,160350,0.7,321035,0.5,427778,1.75 60,1,2024-09-07 09:59:30:772,1138764,1138764,0,0,535140556030,5564268557,1129771,7828,1165,370,392031,0 60,2,2024-09-07 09:59:31:145,819199,819199,0,0,33894003,0,3811 60,3,2024-09-07 09:59:31:258,1,647,22,0,409,7183,647,0 61,0,2024-09-07 09:59:31:504,166559,0.6,167229,0.7,332810,0.6,444557,2.00 61,1,2024-09-07 09:59:30:779,1136087,1136087,0,0,533078671333,5579198695,1124363,10021,1703,382,392127,0 61,2,2024-09-07 09:59:31:126,818591,818524,67,0,34463003,0,6411 61,3,2024-09-07 09:59:31:693,1,647,1,0,607,8040,647,0 62,0,2024-09-07 09:59:31:706,164232,0.6,168525,0.7,321716,0.6,436997,2.00 62,1,2024-09-07 09:59:31:111,1142796,1142790,0,6,536320217912,5532844273,1136413,5908,469,365,391975,6 62,2,2024-09-07 09:59:31:644,819130,819129,1,0,34487296,0,5555 62,3,2024-09-07 09:59:31:144,1,647,2,0,482,5064,647,0 63,0,2024-09-07 09:59:31:458,159752,0.4,159479,0.6,319608,0.3,424628,1.75 63,1,2024-09-07 09:59:30:816,1139347,1139341,0,6,534457630982,5548876908,1132181,6303,857,381,391800,6 63,2,2024-09-07 09:59:30:761,816960,816960,0,0,32722967,0,4369 63,3,2024-09-07 09:59:31:737,1,647,3,0,667,6484,647,0 64,0,2024-09-07 09:59:31:514,158007,0.5,157900,0.6,315508,0.4,420366,2.00 64,1,2024-09-07 09:59:30:751,1137539,1137539,0,0,534968046715,5567443832,1128106,7453,1980,370,391794,0 64,2,2024-09-07 09:59:31:146,821364,821345,19,0,31778266,0,6121 64,3,2024-09-07 09:59:31:144,1,647,2,0,651,7153,647,0 65,0,2024-09-07 09:59:31:838,164023,0.6,164258,0.7,328230,0.5,437981,2.00 65,1,2024-09-07 09:59:30:867,1136129,1136129,0,0,532683086775,5564873077,1128346,6905,878,381,391901,0 65,2,2024-09-07 09:59:31:703,817394,817394,0,0,38049261,0,3367 65,3,2024-09-07 09:59:31:688,1,647,7,0,782,7853,647,0 66,0,2024-09-07 09:59:31:769,164119,0.5,163649,0.7,327469,0.5,435551,2.00 66,1,2024-09-07 09:59:31:293,1138162,1138162,0,0,534078774348,5556239880,1130918,6373,871,380,391743,0 66,2,2024-09-07 09:59:31:131,824569,824566,3,0,34001946,0,5455 66,3,2024-09-07 09:59:31:079,1,647,2,0,291,5832,647,0 67,0,2024-09-07 09:59:31:412,159019,0.5,158540,0.7,317982,0.5,423258,2.00 67,1,2024-09-07 09:59:30:766,1138744,1138743,0,1,534542175843,5562221249,1131146,6652,945,380,391787,1 67,2,2024-09-07 09:59:30:584,819917,819902,15,0,33190830,0,6205 67,3,2024-09-07 09:59:31:762,1,647,16,0,595,6546,647,0 68,0,2024-09-07 09:59:30:593,158684,0.6,158382,0.7,315617,0.5,422087,2.00 68,1,2024-09-07 09:59:30:576,1133816,1133816,0,0,531318326783,5586249427,1118685,11349,3782,381,391953,0 68,2,2024-09-07 09:59:31:046,813118,813018,100,0,41712633,0,8578 68,3,2024-09-07 09:59:30:731,1,647,1,0,417,8270,647,0 69,0,2024-09-07 09:59:31:745,162885,0.6,163616,0.8,326269,0.6,434275,2.25 69,1,2024-09-07 09:59:31:016,1133039,1133039,0,0,531185051401,5594976990,1118653,11408,2978,383,391994,0 69,2,2024-09-07 09:59:31:737,815720,815691,29,0,45358619,0,6912 69,3,2024-09-07 09:59:30:761,1,647,0,0,698,8956,647,0 70,0,2024-09-07 09:59:31:557,163979,0.8,164647,0.9,330355,0.7,437927,2.50 70,1,2024-09-07 09:59:30:801,1139353,1139353,0,0,535277111451,5551450301,1131078,7460,815,366,391725,0 70,2,2024-09-07 09:59:31:325,820907,820907,0,0,37051451,0,4323 70,3,2024-09-07 09:59:30:751,1,647,0,0,854,6926,647,0 71,0,2024-09-07 09:59:31:391,159945,0.9,159515,1.0,320316,1.1,426668,2.50 71,1,2024-09-07 09:59:31:598,1137490,1137490,0,0,533957429389,5569966254,1123849,12015,1626,367,391738,0 71,2,2024-09-07 09:59:31:068,816169,816169,0,0,36571715,0,4352 71,3,2024-09-07 09:59:31:762,1,647,5,0,644,7025,647,0 72,0,2024-09-07 09:59:31:031,165433,0.5,161605,0.7,315575,0.5,429277,2.00 72,1,2024-09-07 09:59:31:021,1135183,1135183,0,0,532960486177,5587812667,1119074,13423,2686,369,391819,0 72,2,2024-09-07 09:59:31:770,815478,815478,0,0,38971227,0,3983 72,3,2024-09-07 09:59:31:755,1,647,3,0,564,9262,647,0 73,0,2024-09-07 09:59:31:118,157248,0.4,161231,0.6,329518,0.4,429517,2.00 73,1,2024-09-07 09:59:30:778,1137202,1137202,0,0,533853397846,5546839363,1128739,7480,983,367,391858,0 73,2,2024-09-07 09:59:31:744,817664,817663,1,0,40833450,0,5027 73,3,2024-09-07 09:59:30:970,1,647,0,0,1091,8802,647,0 74,0,2024-09-07 09:59:31:322,168456,0.5,172491,0.7,329282,0.4,446706,2.00 74,1,2024-09-07 09:59:30:677,1135913,1135913,0,0,533427720944,5566646773,1123813,9962,2138,381,391762,0 74,2,2024-09-07 09:59:31:017,817490,817490,0,0,35753939,0,4253 74,3,2024-09-07 09:59:31:452,1,647,0,0,522,7589,647,0 75,0,2024-09-07 09:59:31:778,162949,0.5,161949,0.7,325110,0.5,434168,2.25 75,1,2024-09-07 09:59:31:596,1137020,1137020,0,0,534131323456,5565318621,1127641,8447,932,380,391739,0 75,2,2024-09-07 09:59:31:351,818588,818588,0,0,42446755,0,4766 75,3,2024-09-07 09:59:31:070,1,647,194,0,918,8975,647,0 76,0,2024-09-07 09:59:30:579,158971,0.6,158267,0.7,316528,0.5,423366,2.25 76,1,2024-09-07 09:59:30:808,1136574,1136574,0,0,533733354062,5566524090,1128280,6992,1302,382,391790,0 76,2,2024-09-07 09:59:31:061,819392,819389,3,0,34761046,0,5265 76,3,2024-09-07 09:59:31:143,1,647,17,0,227,5905,647,0 77,0,2024-09-07 09:59:31:695,159127,0.5,159526,0.7,319226,0.5,424476,2.00 77,1,2024-09-07 09:59:30:839,1136968,1136968,0,0,534024086021,5572066153,1127937,8005,1026,381,391869,0 77,2,2024-09-07 09:59:31:286,817069,817069,0,0,33896105,0,3890 77,3,2024-09-07 09:59:31:095,1,647,1,0,401,7242,647,0 78,0,2024-09-07 09:59:31:716,166294,0.4,165629,0.6,332274,0.3,439636,2.00 78,1,2024-09-07 09:59:30:610,1136247,1136247,0,0,532443405626,5554726453,1122179,10923,3145,367,391670,0 78,2,2024-09-07 09:59:31:406,819354,819341,13,0,31929526,0,8313 78,3,2024-09-07 09:59:31:144,1,647,1,0,311,5322,647,0 79,0,2024-09-07 09:59:31:349,158437,0.4,162221,0.6,332505,0.3,432991,2.00 79,1,2024-09-07 09:59:30:571,1140729,1140729,0,0,534761663388,5538736292,1131438,7519,1772,367,391682,0 79,2,2024-09-07 09:59:31:069,822719,822719,0,0,30850150,0,4195 79,3,2024-09-07 09:59:30:749,1,647,9,0,418,7366,647,0 80,0,2024-09-07 09:59:31:086,158922,0.5,163213,0.7,311775,0.5,422056,2.00 80,1,2024-09-07 09:59:31:618,1136944,1136944,0,0,533871125358,5555427494,1129652,6835,457,368,392269,0 80,2,2024-09-07 09:59:31:093,819246,819246,0,0,31113663,0,4433 80,3,2024-09-07 09:59:30:576,1,647,3,0,681,7787,647,0 81,0,2024-09-07 09:59:31:546,158639,0.5,162276,0.7,309918,0.5,420612,2.00 81,1,2024-09-07 09:59:31:655,1136133,1136133,0,0,532721890463,5565701055,1127066,8098,969,382,391885,0 81,2,2024-09-07 09:59:31:125,816973,816910,63,0,34449680,0,5932 81,3,2024-09-07 09:59:31:141,1,647,1,0,719,7169,647,0 82,0,2024-09-07 09:59:31:544,164423,0.4,164568,0.6,329611,0.4,437295,2.00 82,1,2024-09-07 09:59:30:582,1137968,1137964,0,4,534322120812,5552696209,1132156,4982,826,381,391768,4 82,2,2024-09-07 09:59:31:694,821024,821024,0,0,29383323,0,4484 82,3,2024-09-07 09:59:31:755,1,647,1,0,363,5915,647,0 83,0,2024-09-07 09:59:31:526,165024,0.6,164901,0.7,329398,0.6,437487,2.00 83,1,2024-09-07 09:59:30:553,1136770,1136770,0,0,533422218667,5560114777,1128449,7326,995,382,391709,0 83,2,2024-09-07 09:59:30:764,822447,822422,25,0,31653425,0,5612 83,3,2024-09-07 09:59:30:752,1,647,16,0,1260,7471,647,0 84,0,2024-09-07 09:59:31:778,158703,0.7,158794,0.9,317400,0.7,423625,2.25 84,1,2024-09-07 09:59:31:043,1135043,1135043,0,0,532807349624,5571710051,1122152,11008,1883,367,391967,0 84,2,2024-09-07 09:59:30:580,815320,814910,410,0,45220531,0,17037 84,3,2024-09-07 09:59:31:142,1,647,2,0,908,8645,647,0 85,0,2024-09-07 09:59:31:051,153332,0.6,153263,0.8,325382,0.6,419427,2.25 85,1,2024-09-07 09:59:30:564,1132031,1132031,0,0,531844781452,5609303268,1115478,13750,2803,381,392092,0 85,2,2024-09-07 09:59:30:865,816154,816154,0,0,38753384,0,4255 85,3,2024-09-07 09:59:30:693,1,647,2,0,789,7198,647,0 86,0,2024-09-07 09:59:30:923,161742,0.7,166291,0.8,318261,0.7,430483,2.25 86,1,2024-09-07 09:59:30:833,1134729,1134729,0,0,532709446392,5584895962,1119943,11990,2796,366,392169,0 86,2,2024-09-07 09:59:30:861,817649,817648,1,0,41636385,0,5004 86,3,2024-09-07 09:59:30:589,1,647,0,0,308,8813,647,0 87,0,2024-09-07 09:59:31:336,167120,0.8,166110,0.8,332723,0.9,444232,2.25 87,1,2024-09-07 09:59:30:561,1134306,1134306,0,0,533016562588,5579877183,1120620,11883,1803,366,392076,0 87,2,2024-09-07 09:59:31:070,818849,818843,6,0,38147113,0,6323 87,3,2024-09-07 09:59:31:797,1,647,14,0,473,8991,647,0 88,0,2024-09-07 09:59:31:497,162059,0.4,162594,0.6,324906,0.4,432379,1.75 88,1,2024-09-07 09:59:30:571,1133604,1133604,0,0,531261445754,5568963441,1117363,13119,3122,365,392084,0 88,2,2024-09-07 09:59:30:691,817881,817881,0,0,40123879,0,4465 88,3,2024-09-07 09:59:31:268,1,647,17,0,1080,10240,647,0 89,0,2024-09-07 09:59:31:778,164472,0.4,159529,0.6,314800,0.4,428269,1.75 89,1,2024-09-07 09:59:30:576,1132623,1132623,0,0,532566009790,5599774838,1118847,11601,2175,382,391866,0 89,2,2024-09-07 09:59:31:131,816599,816599,0,0,38229005,0,3173 89,3,2024-09-07 09:59:31:791,1,647,1,0,468,10483,647,0 90,0,2024-09-07 09:59:31:666,155561,0.5,159776,0.6,326129,0.4,425477,2.00 90,1,2024-09-07 09:59:30:617,1134690,1134690,0,0,532444154073,5577418524,1123442,10199,1049,380,391825,0 90,2,2024-09-07 09:59:31:421,814344,814339,5,0,41556328,0,6370 90,3,2024-09-07 09:59:30:932,1,647,1,0,364,8433,647,0 91,0,2024-09-07 09:59:31:043,167073,0.5,162148,0.6,339288,0.4,445679,1.75 91,1,2024-09-07 09:59:30:566,1131727,1131727,0,0,531314008879,5602925426,1114079,13689,3959,381,392047,0 91,2,2024-09-07 09:59:31:360,817796,817796,0,0,36946029,0,4713 91,3,2024-09-07 09:59:30:602,1,647,7,0,231,6077,647,0 92,0,2024-09-07 09:59:31:554,165272,0.5,169043,0.6,322228,0.4,437224,1.75 92,1,2024-09-07 09:59:30:579,1136235,1136235,0,0,533682213373,5571996628,1127607,7339,1289,381,392136,0 92,2,2024-09-07 09:59:31:353,820917,820917,0,0,32813263,0,3906 92,3,2024-09-07 09:59:31:009,1,647,1,0,167,5422,647,0 93,0,2024-09-07 09:59:30:976,160258,0.4,164194,0.6,313545,0.3,424598,1.75 93,1,2024-09-07 09:59:30:816,1136742,1136742,0,0,532978625351,5568211201,1123186,11127,2429,365,392048,0 93,2,2024-09-07 09:59:30:931,816724,816724,0,0,38362746,0,4913 93,3,2024-09-07 09:59:31:418,1,647,13,0,505,7223,647,0 94,0,2024-09-07 09:59:31:606,158031,0.4,159173,0.5,318283,0.3,422665,1.75 94,1,2024-09-07 09:59:30:660,1137405,1137405,0,0,533631141596,5562584677,1130122,6843,440,381,391850,0 94,2,2024-09-07 09:59:30:761,817757,817729,28,0,32992856,0,6179 94,3,2024-09-07 09:59:31:697,1,647,74,0,576,7978,647,0 95,0,2024-09-07 09:59:31:344,165138,0.3,165062,0.5,330375,0.3,440293,1.75 95,1,2024-09-07 09:59:30:854,1138001,1138001,0,0,534766711850,5555487827,1129151,8088,762,365,391852,0 95,2,2024-09-07 09:59:31:018,817121,817121,0,0,33119816,0,3308 95,3,2024-09-07 09:59:31:710,1,647,10,0,718,9917,647,0 96,0,2024-09-07 09:59:31:026,164554,0.3,164820,0.5,329961,0.3,438169,1.75 96,1,2024-09-07 09:59:31:586,1136268,1136268,0,0,533411756759,5561666277,1128521,6461,1286,384,391964,0 96,2,2024-09-07 09:59:31:268,823838,823838,0,0,33544817,0,4180 96,3,2024-09-07 09:59:31:143,1,647,1,0,411,7148,647,0 97,0,2024-09-07 09:59:31:341,159070,0.3,159073,0.5,318661,0.3,423060,1.50 97,1,2024-09-07 09:59:30:763,1138325,1138325,0,0,534380921366,5541948086,1131332,5949,1044,367,392140,0 97,2,2024-09-07 09:59:30:614,818173,818173,0,0,33001953,0,4046 97,3,2024-09-07 09:59:30:572,1,647,2,0,242,7313,647,0 98,0,2024-09-07 09:59:31:702,158303,0.3,158204,0.4,317934,0.2,422414,1.50 98,1,2024-09-07 09:59:30:582,1137686,1137686,0,0,534454523637,5564179511,1131122,5723,841,381,391997,0 98,2,2024-09-07 09:59:30:769,816493,816493,0,0,31777825,0,4336 98,3,2024-09-07 09:59:30:732,1,647,13,0,840,9333,647,0 99,0,2024-09-07 09:59:31:471,163463,0.3,164351,0.5,327331,0.3,436992,1.75 99,1,2024-09-07 09:59:31:736,1138244,1138244,0,0,533635907769,5551029023,1131371,5905,968,380,392069,0 99,2,2024-09-07 09:59:31:429,818164,818164,0,0,40748254,0,4276 99,3,2024-09-07 09:59:30:581,1,647,18,0,1124,8144,647,0 100,0,2024-09-07 09:59:31:520,165495,0.8,165970,0.9,331619,0.9,442439,2.50 100,1,2024-09-07 09:59:30:565,1131075,1131075,0,0,531422766263,5617034220,1113709,13871,3495,378,391989,0 100,2,2024-09-07 09:59:31:832,816955,816566,389,0,42858368,0,16909 100,3,2024-09-07 09:59:31:749,1,647,1,0,627,10456,647,0 101,0,2024-09-07 09:59:31:765,164508,1.0,160527,1.0,314103,1.0,428514,2.25 101,1,2024-09-07 09:59:30:561,1133605,1133605,0,0,532144847581,5590078621,1118574,12351,2680,368,391847,0 101,2,2024-09-07 09:59:31:770,812421,812421,0,0,42594569,0,4871 101,3,2024-09-07 09:59:30:942,1,647,6,0,1250,9561,647,0 102,0,2024-09-07 09:59:30:963,155877,0.6,160618,0.8,326264,0.6,426439,2.25 102,1,2024-09-07 09:59:31:143,1133214,1133214,0,0,532411992537,5589946719,1118442,12563,2209,369,391984,0 102,2,2024-09-07 09:59:31:737,817405,817351,54,0,36569337,0,6768 102,3,2024-09-07 09:59:31:613,1,647,4,0,466,7465,647,0 103,0,2024-09-07 09:59:31:637,166670,0.5,166677,0.7,313990,0.5,432858,2.00 103,1,2024-09-07 09:59:31:633,1132353,1132353,0,0,531369037439,5608664485,1114766,13971,3616,381,392077,0 103,2,2024-09-07 09:59:30:584,816314,816314,0,0,39653232,0,3766 103,3,2024-09-07 09:59:30:756,1,647,8,0,916,7503,647,0 104,0,2024-09-07 09:59:31:018,166223,0.7,166537,0.9,332147,0.7,443941,2.25 104,1,2024-09-07 09:59:31:607,1134636,1134636,0,0,532058527952,5592944827,1117246,14145,3245,365,392168,0 104,2,2024-09-07 09:59:31:675,815930,815930,0,0,39242647,0,4161 104,3,2024-09-07 09:59:31:426,1,647,1,0,1245,11039,647,0 105,0,2024-09-07 09:59:31:058,160923,0.9,156870,1.0,328764,1.0,432014,2.50 105,1,2024-09-07 09:59:30:562,1136345,1136345,0,0,534052211793,5592293931,1122351,12038,1956,364,392009,0 105,2,2024-09-07 09:59:31:323,818542,818542,0,0,39841917,0,4360 105,3,2024-09-07 09:59:31:310,1,647,1,0,573,9760,647,0 106,0,2024-09-07 09:59:30:974,153905,0.9,157722,1.0,322999,1.1,421762,2.50 106,1,2024-09-07 09:59:31:761,1134294,1134294,0,0,532008937272,5582588971,1118515,13753,2026,368,391914,0 106,2,2024-09-07 09:59:30:776,816021,816021,0,0,36933782,0,3331 106,3,2024-09-07 09:59:30:707,1,647,315,0,1224,8988,647,0 107,0,2024-09-07 09:59:31:099,159275,0.9,159395,0.9,318757,1.1,425666,2.25 107,1,2024-09-07 09:59:30:602,1132469,1132469,0,0,530810769857,5608677440,1114348,15941,2180,381,392234,0 107,2,2024-09-07 09:59:31:292,813639,813638,1,0,40544039,0,5024 107,3,2024-09-07 09:59:31:758,1,647,13,0,733,9640,647,0 108,0,2024-09-07 09:59:31:826,165222,0.4,165999,0.6,330941,0.4,441110,1.75 108,1,2024-09-07 09:59:31:301,1135881,1135881,0,0,532920562690,5558816851,1126332,8472,1077,367,391894,0 108,2,2024-09-07 09:59:31:765,818162,818162,0,0,35952170,0,4432 108,3,2024-09-07 09:59:31:334,1,647,6,0,749,11137,647,0 109,0,2024-09-07 09:59:31:794,164898,0.4,163459,0.6,327825,0.3,437575,1.75 109,1,2024-09-07 09:59:30:590,1133619,1133619,0,0,532607102257,5587433793,1123239,8880,1500,382,392132,0 109,2,2024-09-07 09:59:30:921,820033,820033,0,0,35946580,0,3617 109,3,2024-09-07 09:59:31:141,1,647,0,0,630,7583,647,0 110,0,2024-09-07 09:59:31:755,158708,0.4,154386,0.6,323251,0.3,423909,1.75 110,1,2024-09-07 09:59:31:650,1138204,1138204,0,0,534441549377,5547223241,1129522,6658,2024,368,392045,0 110,2,2024-09-07 09:59:31:304,817341,817341,0,0,33583695,0,4067 110,3,2024-09-07 09:59:30:691,1,647,10,0,722,8726,647,0 111,0,2024-09-07 09:59:31:414,159412,0.4,158577,0.5,316894,0.3,423164,1.75 111,1,2024-09-07 09:59:31:001,1139588,1139588,0,0,535626720443,5555738703,1133433,5772,383,380,391690,0 111,2,2024-09-07 09:59:31:115,817113,817113,0,0,34202153,0,4823 111,3,2024-09-07 09:59:30:913,1,647,8,0,379,7253,647,0 112,0,2024-09-07 09:59:30:918,165196,0.3,164740,0.4,330171,0.2,438784,1.50 112,1,2024-09-07 09:59:30:826,1138422,1138422,0,0,534084106120,5543657279,1131059,6138,1225,380,391624,0 112,2,2024-09-07 09:59:31:148,818768,818767,1,0,32695671,0,5036 112,3,2024-09-07 09:59:30:593,1,647,0,0,282,6059,647,0 113,0,2024-09-07 09:59:30:868,165063,0.3,165080,0.5,330629,0.2,439742,1.50 113,1,2024-09-07 09:59:31:694,1140969,1140969,0,0,536259125301,5531270192,1134422,5599,948,365,391664,0 113,2,2024-09-07 09:59:31:304,824604,824604,0,0,30253571,0,3813 113,3,2024-09-07 09:59:30:684,1,647,15,0,510,6716,647,0 114,0,2024-09-07 09:59:30:872,160259,0.3,161279,0.5,321751,0.2,429018,1.75 114,1,2024-09-07 09:59:30:727,1138387,1138387,0,0,533907673185,5541306510,1130217,6421,1749,381,391565,0 114,2,2024-09-07 09:59:30:873,818952,818951,1,0,31245147,0,5069 114,3,2024-09-07 09:59:31:284,1,647,0,0,415,5384,647,0 115,0,2024-09-07 09:59:30:568,160200,0.3,160692,0.4,320091,0.2,425604,1.50 115,1,2024-09-07 09:59:30:572,1138551,1138551,0,0,534678804819,5550817731,1130019,7010,1522,382,391757,0 115,2,2024-09-07 09:59:31:125,819013,819013,0,0,31473647,0,4382 115,3,2024-09-07 09:59:31:002,1,647,7,0,167,3957,647,0 116,0,2024-09-07 09:59:31:752,161570,0.7,161469,0.9,323874,0.7,432720,2.00 116,1,2024-09-07 09:59:30:812,1132010,1132010,0,0,532121481141,5605530958,1118583,10221,3206,380,392089,0 116,2,2024-09-07 09:59:31:765,818356,818356,0,0,39328819,0,4475 116,3,2024-09-07 09:59:30:915,1,647,1,0,448,8147,647,0 117,0,2024-09-07 09:59:30:973,167174,0.7,166681,0.8,333630,0.7,445655,2.00 117,1,2024-09-07 09:59:31:606,1134267,1134267,0,0,531961022476,5568097001,1122024,10662,1581,369,392429,0 117,2,2024-09-07 09:59:31:130,821075,821075,0,0,35654047,0,4303 117,3,2024-09-07 09:59:31:063,1,647,9,0,490,8064,647,0 118,0,2024-09-07 09:59:31:778,157216,0.5,161408,0.7,329439,0.5,430893,2.00 118,1,2024-09-07 09:59:30:599,1133522,1133522,0,0,531611732629,5585220221,1117273,12598,3651,366,392054,0 118,2,2024-09-07 09:59:31:592,817126,817126,0,0,38192574,0,2842 118,3,2024-09-07 09:59:31:773,1,647,11,0,343,7850,647,0 119,0,2024-09-07 09:59:31:441,159722,0.7,160212,0.8,319932,0.8,426817,2.25 119,1,2024-09-07 09:59:30:578,1134435,1134435,0,0,532803628235,5584267979,1119613,12309,2513,367,391857,0 119,2,2024-09-07 09:59:31:263,818037,818037,0,0,35449832,0,4309 119,3,2024-09-07 09:59:31:325,1,647,1,0,1358,11744,647,0 120,0,2024-09-07 09:59:31:544,159601,0.7,159371,0.8,320160,0.7,426853,2.25 120,1,2024-09-07 09:59:30:858,1135191,1135191,0,0,532265882185,5580282918,1123130,10914,1147,367,392144,0 120,2,2024-09-07 09:59:30:770,816665,816662,3,0,42697853,0,5363 120,3,2024-09-07 09:59:31:292,1,647,52,0,478,8311,647,0 121,0,2024-09-07 09:59:31:700,166874,1.1,166402,1.0,332381,1.3,444053,2.25 121,1,2024-09-07 09:59:31:667,1134516,1134516,0,0,532624248920,5574347133,1122206,10472,1838,366,391840,0 121,2,2024-09-07 09:59:31:125,817456,817456,0,0,39101547,0,4157 121,3,2024-09-07 09:59:30:731,1,647,1,0,387,8453,647,0 122,0,2024-09-07 09:59:31:775,163160,0.7,158699,0.9,332212,0.8,437004,2.00 122,1,2024-09-07 09:59:30:859,1133949,1133949,0,0,532308163925,5583863567,1118755,12775,2419,365,392130,0 122,2,2024-09-07 09:59:31:322,820878,820803,75,0,42717346,0,5989 122,3,2024-09-07 09:59:30:594,1,647,12,0,512,10068,647,0 123,0,2024-09-07 09:59:30:953,158545,0.8,154361,0.9,323551,0.9,423103,2.25 123,1,2024-09-07 09:59:30:578,1134427,1134427,0,0,532149972506,5595066235,1116453,15101,2873,369,392039,0 123,2,2024-09-07 09:59:31:022,815155,815154,1,0,36481660,0,5215 123,3,2024-09-07 09:59:31:132,1,647,9,0,478,7245,647,0 124,0,2024-09-07 09:59:30:931,163049,0.3,163113,0.5,307332,0.3,423291,1.75 124,1,2024-09-07 09:59:31:024,1138407,1138407,0,0,533456222937,5544006412,1130170,7045,1192,365,392178,0 124,2,2024-09-07 09:59:31:010,819051,818998,53,0,33721422,0,6487 124,3,2024-09-07 09:59:30:757,1,647,65,0,490,6477,647,0 125,0,2024-09-07 09:59:31:437,164876,0.4,164707,0.5,330338,0.3,440423,1.75 125,1,2024-09-07 09:59:30:855,1135361,1135361,0,0,532837618055,5562898909,1126334,7770,1257,382,392045,0 125,2,2024-09-07 09:59:31:116,820530,820530,0,0,33532112,0,4534 125,3,2024-09-07 09:59:31:126,1,647,90,0,709,7446,647,0 126,0,2024-09-07 09:59:31:459,164769,0.4,169520,0.5,324003,0.3,438924,1.75 126,1,2024-09-07 09:59:30:563,1139133,1139133,0,0,534179938343,5531934625,1132641,5819,673,365,391987,0 126,2,2024-09-07 09:59:30:624,823611,823611,0,0,34780581,0,4539 126,3,2024-09-07 09:59:30:918,1,647,31,0,268,7137,647,0 127,0,2024-09-07 09:59:31:598,159483,0.3,159586,0.5,318518,0.3,423358,1.75 127,1,2024-09-07 09:59:30:577,1137019,1137019,0,0,533474290172,5551232095,1125312,10019,1688,364,392187,0 127,2,2024-09-07 09:59:30:636,817255,817251,4,0,32770271,0,5305 127,3,2024-09-07 09:59:31:268,1,647,8,0,968,6223,647,0 128,0,2024-09-07 09:59:31:531,159215,0.3,159350,0.4,318463,0.2,423157,1.50 128,1,2024-09-07 09:59:31:612,1136981,1136981,0,0,533477632889,5547380586,1128199,7816,966,367,392423,0 128,2,2024-09-07 09:59:31:383,819227,819227,0,0,30340661,0,3171 128,3,2024-09-07 09:59:30:774,1,647,1,0,1082,9854,647,0 129,0,2024-09-07 09:59:30:997,164848,0.3,163975,0.5,328761,0.3,437420,1.50 129,1,2024-09-07 09:59:30:573,1133644,1133644,0,0,532553067056,5581438877,1122103,9517,2024,379,391962,0 129,2,2024-09-07 09:59:30:704,820171,820167,4,0,33463717,0,5335 129,3,2024-09-07 09:59:30:687,1,647,6,0,506,8600,647,0 130,0,2024-09-07 09:59:31:731,166656,0.4,166437,0.6,333171,0.4,443362,1.75 130,1,2024-09-07 09:59:30:585,1138819,1138819,0,0,533943498415,5542297096,1133350,5066,403,381,391825,0 130,2,2024-09-07 09:59:31:139,821454,821454,0,0,33140333,0,4067 130,3,2024-09-07 09:59:31:298,1,647,1,0,960,8472,647,0 131,0,2024-09-07 09:59:31:939,161060,0.3,161493,0.5,323932,0.3,430038,1.50 131,1,2024-09-07 09:59:31:824,1137745,1137745,0,0,533747765247,5561463051,1130038,6508,1199,381,391865,0 131,2,2024-09-07 09:59:30:579,817967,817967,0,0,30625726,0,3979 131,3,2024-09-07 09:59:31:688,1,647,12,0,392,8103,647,0 132,0,2024-09-07 09:59:31:436,160598,0.5,161600,0.6,321530,0.4,427756,2.00 132,1,2024-09-07 09:59:30:581,1133233,1133233,0,0,531911512207,5596846242,1117185,13270,2778,381,392532,0 132,2,2024-09-07 09:59:30:705,816886,816869,17,0,39849945,0,6451 132,3,2024-09-07 09:59:31:688,1,647,1,0,1298,11284,647,0 133,0,2024-09-07 09:59:31:537,157354,0.4,161030,0.6,330240,0.4,430412,2.00 133,1,2024-09-07 09:59:30:596,1133038,1133038,0,0,531485296744,5597602865,1118116,12992,1930,383,391914,0 133,2,2024-09-07 09:59:31:095,819440,819390,50,0,40627310,0,6861 133,3,2024-09-07 09:59:31:303,1,647,3,0,528,7706,647,0 134,0,2024-09-07 09:59:30:956,167986,0.5,168009,0.7,335877,0.5,447277,2.25 134,1,2024-09-07 09:59:30:584,1134085,1134085,0,0,532347323569,5584967082,1118982,11928,3175,366,391781,0 134,2,2024-09-07 09:59:31:833,818389,818365,24,0,37622231,0,6207 134,3,2024-09-07 09:59:30:753,1,647,10,0,739,8001,647,0 135,0,2024-09-07 09:59:31:119,157699,0.7,157648,0.8,334746,0.8,431406,2.25 135,1,2024-09-07 09:59:31:585,1134042,1134042,0,0,532625312873,5599501229,1119877,12317,1848,380,391974,0 135,2,2024-09-07 09:59:30:694,820911,820911,0,0,38817890,0,4503 135,3,2024-09-07 09:59:31:003,1,647,15,0,900,6188,647,0 136,0,2024-09-07 09:59:31:636,159830,0.6,160273,0.8,318407,0.6,425002,2.00 136,1,2024-09-07 09:59:31:454,1135207,1135207,0,0,533392075228,5586616996,1123192,10623,1392,381,392135,0 136,2,2024-09-07 09:59:31:140,818766,818751,15,0,38215897,0,6007 136,3,2024-09-07 09:59:31:115,1,647,70,0,637,7508,647,0 137,0,2024-09-07 09:59:30:926,164609,0.6,160468,0.7,314236,0.6,427034,2.00 137,1,2024-09-07 09:59:30:581,1134441,1134441,0,0,533134839019,5588622827,1117337,13688,3416,366,391898,0 137,2,2024-09-07 09:59:31:708,815964,815964,0,0,39442398,0,3185 137,3,2024-09-07 09:59:30:774,1,647,1,0,484,8514,647,0 138,0,2024-09-07 09:59:31:750,164788,0.8,165178,0.9,330820,1.0,439695,2.00 138,1,2024-09-07 09:59:31:684,1134085,1134085,0,0,532927161946,5592128720,1117967,13481,2637,368,391954,0 138,2,2024-09-07 09:59:30:590,816969,816969,0,0,37948342,0,4988 138,3,2024-09-07 09:59:30:624,1,647,1,0,1200,9784,647,0 139,0,2024-09-07 09:59:31:364,162633,0.9,163301,0.9,326165,1.2,435708,2.25 139,1,2024-09-07 09:59:30:579,1129976,1129976,0,0,530039381197,5616813969,1110962,15147,3867,380,392109,0 139,2,2024-09-07 09:59:30:696,816250,816220,30,0,43421551,0,5997 139,3,2024-09-07 09:59:31:680,1,647,16,0,432,7579,647,0 140,0,2024-09-07 09:59:31:629,159283,0.3,158468,0.5,318196,0.2,424208,1.75 140,1,2024-09-07 09:59:31:536,1141680,1141680,0,0,536567989688,5533363354,1135807,5258,615,364,391628,0 140,2,2024-09-07 09:59:30:688,817433,817432,1,0,30918205,0,5036 140,3,2024-09-07 09:59:30:774,1,647,0,0,575,6476,647,0 141,0,2024-09-07 09:59:31:703,158953,0.3,163433,0.5,312332,0.2,422853,1.50 141,1,2024-09-07 09:59:30:859,1138528,1138528,0,0,534267089260,5550910616,1129322,7890,1316,379,391614,0 141,2,2024-09-07 09:59:31:686,818150,818139,11,0,32915038,0,5369 141,3,2024-09-07 09:59:31:045,1,647,10,0,391,7465,647,0 142,0,2024-09-07 09:59:31:313,165413,0.3,164566,0.5,329530,0.2,439780,1.50 142,1,2024-09-07 09:59:30:613,1136670,1136670,0,0,533051526087,5557627292,1128888,7124,658,382,392102,0 142,2,2024-09-07 09:59:31:304,817743,817711,32,0,33758847,0,6028 142,3,2024-09-07 09:59:31:745,1,647,0,0,484,6748,647,0 143,0,2024-09-07 09:59:31:375,165143,0.4,164983,0.5,330925,0.4,439811,1.75 143,1,2024-09-07 09:59:30:571,1139591,1139591,0,0,535267935145,5550930752,1132723,6207,661,367,391900,0 143,2,2024-09-07 09:59:30:769,822853,822853,0,0,33593247,0,3123 143,3,2024-09-07 09:59:31:144,1,647,1,0,462,7560,647,0 144,0,2024-09-07 09:59:31:503,155337,0.6,159836,0.7,325233,0.5,425501,2.00 144,1,2024-09-07 09:59:30:581,1134073,1134073,0,0,531085770529,5571618374,1122927,9127,2019,381,391733,0 144,2,2024-09-07 09:59:31:763,818058,818058,0,0,32549809,0,4443 144,3,2024-09-07 09:59:31:751,1,647,36,0,259,6539,647,0 145,0,2024-09-07 09:59:31:360,154333,0.6,154334,0.8,327713,0.5,421215,2.25 145,1,2024-09-07 09:59:30:554,1132949,1132949,0,0,532066288089,5597585995,1117920,12274,2755,382,391781,0 145,2,2024-09-07 09:59:31:429,815621,815539,82,0,38787411,0,7814 145,3,2024-09-07 09:59:30:895,1,647,2,0,622,8697,647,0 146,0,2024-09-07 09:59:31:645,162178,0.6,161276,0.8,324432,0.6,431185,2.25 146,1,2024-09-07 09:59:31:588,1134329,1134329,0,0,531855674247,5595629378,1115776,13733,4820,367,391770,0 146,2,2024-09-07 09:59:31:698,816791,816785,6,0,37574637,0,5151 146,3,2024-09-07 09:59:31:274,1,647,1,0,1520,10737,647,0 147,0,2024-09-07 09:59:31:695,167060,0.6,166691,0.7,333581,0.6,444676,2.00 147,1,2024-09-07 09:59:31:373,1138468,1138468,0,0,533720385777,5545988698,1129394,8041,1033,367,391791,0 147,2,2024-09-07 09:59:31:010,819682,819682,0,0,33508906,0,3359 147,3,2024-09-07 09:59:30:915,1,647,26,0,1626,10000,647,0 0,0,2024-09-07 09:59:41:721,156117,0.6,156076,0.7,331291,0.6,427995,2.00 0,1,2024-09-07 09:59:40:803,1137271,1137271,0,0,533698325949,5584179311,1128338,7980,953,368,391896,0 0,2,2024-09-07 09:59:41:071,820128,820128,0,0,32839092,0,4480 0,3,2024-09-07 09:59:40:975,1,648,1,0,431,8971,648,0 1,0,2024-09-07 09:59:41:784,167021,0.8,166141,0.9,333227,1.0,446140,2.00 1,1,2024-09-07 09:59:40:558,1135880,1135880,0,0,533200699122,5581158010,1126132,8247,1501,370,391859,0 1,2,2024-09-07 09:59:40:653,820136,820136,0,0,32304238,0,3380 1,3,2024-09-07 09:59:41:301,1,648,26,0,269,7835,648,0 2,0,2024-09-07 09:59:41:593,164003,0.6,163553,0.7,327111,0.6,436639,2.00 2,1,2024-09-07 09:59:40:861,1139188,1139188,0,0,535433790231,5564987327,1132733,5503,952,379,391745,0 2,2,2024-09-07 09:59:41:265,822654,822654,0,0,30902568,0,3594 2,3,2024-09-07 09:59:40:690,1,648,1,0,357,5579,648,0 3,0,2024-09-07 09:59:41:744,159228,0.4,159295,0.6,318082,0.4,423675,2.00 3,1,2024-09-07 09:59:41:622,1138396,1138396,0,0,534201831642,5554526320,1130332,7347,717,379,391716,0 3,2,2024-09-07 09:59:41:142,819494,819471,23,0,31686551,0,5851 3,3,2024-09-07 09:59:41:754,1,648,8,0,484,4922,648,0 4,0,2024-09-07 09:59:41:793,154267,0.4,158567,0.5,323246,0.3,422588,1.75 4,1,2024-09-07 09:59:40:599,1132900,1132900,0,0,531995657210,5628837321,1113653,14710,4537,369,391992,0 4,2,2024-09-07 09:59:41:018,816077,816077,0,0,39110745,0,4534 4,3,2024-09-07 09:59:41:027,1,648,1,0,448,8640,648,0 5,0,2024-09-07 09:59:41:397,165502,0.4,165378,0.5,331014,0.3,440575,1.75 5,1,2024-09-07 09:59:40:777,1134358,1134358,0,0,532701119678,5620909346,1117122,13011,4225,367,392005,0 5,2,2024-09-07 09:59:41:837,816467,816467,0,0,38219889,0,3582 5,3,2024-09-07 09:59:41:736,1,648,1,0,457,8629,648,0 6,0,2024-09-07 09:59:40:943,164694,0.5,164206,0.6,328473,0.4,437648,2.00 6,1,2024-09-07 09:59:40:747,1136800,1136800,0,0,533736785678,5570904420,1125341,9869,1590,379,391702,0 6,2,2024-09-07 09:59:41:124,823632,823614,18,0,36490296,0,5535 6,3,2024-09-07 09:59:41:274,1,648,1,0,710,7598,648,0 7,0,2024-09-07 09:59:41:558,158507,0.5,159610,0.7,316825,0.5,422253,2.00 7,1,2024-09-07 09:59:40:854,1134436,1134436,0,0,532651183312,5606088822,1116935,13366,4135,382,391747,0 7,2,2024-09-07 09:59:40:770,817203,817203,0,0,35114801,0,4791 7,3,2024-09-07 09:59:40:851,1,648,12,0,552,7450,648,0 8,0,2024-09-07 09:59:41:328,159130,0.4,158759,0.5,317997,0.3,423472,1.75 8,1,2024-09-07 09:59:41:016,1133673,1133673,0,0,532447397777,5597123402,1116120,13831,3722,366,392853,0 8,2,2024-09-07 09:59:40:798,813015,813013,2,0,41839309,0,5112 8,3,2024-09-07 09:59:40:589,1,648,1,0,772,9972,648,0 9,0,2024-09-07 09:59:41:174,163989,0.4,159377,0.5,333504,0.3,438044,1.75 9,1,2024-09-07 09:59:40:555,1133316,1133316,0,0,532012076316,5610975546,1114707,14507,4102,369,392001,0 9,2,2024-09-07 09:59:41:083,818354,818353,1,0,39879645,0,5281 9,3,2024-09-07 09:59:41:756,1,648,0,0,1273,10755,648,0 10,0,2024-09-07 09:59:41:609,165891,0.4,165232,0.5,331597,0.3,441144,1.75 10,1,2024-09-07 09:59:40:583,1135517,1135517,0,0,533049770903,5594806520,1118283,13705,3529,381,391981,0 10,2,2024-09-07 09:59:40:762,822171,822171,0,0,42990552,0,4713 10,3,2024-09-07 09:59:40:871,1,648,1,0,669,6951,648,0 11,0,2024-09-07 09:59:41:008,160914,0.4,156249,0.6,327011,0.4,430077,1.75 11,1,2024-09-07 09:59:40:574,1137718,1137718,0,0,533760737515,5597442496,1121329,12081,4308,383,391766,0 11,2,2024-09-07 09:59:41:123,817070,817070,0,0,37362697,0,4698 11,3,2024-09-07 09:59:41:299,1,648,65,0,843,8082,648,0 12,0,2024-09-07 09:59:40:986,161675,0.4,161479,0.5,322571,0.4,428729,1.75 12,1,2024-09-07 09:59:40:945,1137169,1137169,0,0,533678987058,5565676268,1128139,8016,1014,370,391960,0 12,2,2024-09-07 09:59:41:540,816890,816890,0,0,36661155,0,4390 12,3,2024-09-07 09:59:41:062,1,648,1,0,386,8252,648,0 13,0,2024-09-07 09:59:41:340,162630,0.4,162809,0.6,324714,0.4,433006,1.75 13,1,2024-09-07 09:59:41:524,1134451,1134451,0,0,532819158724,5601110863,1122483,9144,2824,382,391803,0 13,2,2024-09-07 09:59:40:603,821438,821438,0,0,33146381,0,3287 13,3,2024-09-07 09:59:41:761,1,648,52,0,522,8643,648,0 14,0,2024-09-07 09:59:40:569,167414,0.4,168498,0.6,334924,0.4,445747,1.75 14,1,2024-09-07 09:59:41:563,1142485,1142485,0,0,535855329326,5543456930,1133726,7628,1131,364,391673,0 14,2,2024-09-07 09:59:40:764,820780,820750,30,0,34061507,0,6104 14,3,2024-09-07 09:59:41:120,1,648,1,0,1168,6898,648,0 15,0,2024-09-07 09:59:41:555,163163,0.4,162310,0.6,324986,0.4,433590,2.00 15,1,2024-09-07 09:59:41:614,1139008,1139008,0,0,535144009448,5573838417,1129068,7933,2007,381,391619,0 15,2,2024-09-07 09:59:41:002,822044,822044,0,0,30305081,0,3622 15,3,2024-09-07 09:59:41:411,1,648,3,0,1126,8346,648,0 16,0,2024-09-07 09:59:40:977,159480,0.6,160216,0.7,319644,0.6,425543,2.00 16,1,2024-09-07 09:59:40:565,1138608,1138608,0,0,533840841588,5577975738,1128768,8373,1467,370,392194,0 16,2,2024-09-07 09:59:41:472,817907,817907,0,0,33385163,0,4719 16,3,2024-09-07 09:59:41:158,1,648,4,0,358,7806,648,0 17,0,2024-09-07 09:59:41:776,164998,0.6,160699,0.8,314970,0.6,428772,2.00 17,1,2024-09-07 09:59:40:568,1136709,1136709,0,0,533526945083,5591670211,1126127,8804,1778,368,392075,0 17,2,2024-09-07 09:59:41:682,821752,821751,1,0,35015017,0,5050 17,3,2024-09-07 09:59:40:581,1,648,0,0,518,9463,648,0 18,0,2024-09-07 09:59:40:952,164378,0.6,165493,0.8,329362,0.6,440092,2.25 18,1,2024-09-07 09:59:41:638,1140984,1140984,0,0,535087681250,5546512282,1133767,5958,1259,367,391725,0 18,2,2024-09-07 09:59:41:756,821514,821514,0,0,31359286,0,3541 18,3,2024-09-07 09:59:40:899,1,648,2,0,1059,5755,648,0 19,0,2024-09-07 09:59:41:546,163568,0.6,164076,0.8,327010,0.7,434842,2.00 19,1,2024-09-07 09:59:40:566,1140900,1140900,0,0,535582094260,5549718346,1132427,7321,1152,365,391777,0 19,2,2024-09-07 09:59:41:752,825710,825710,0,0,29364652,0,3988 19,3,2024-09-07 09:59:41:139,1,648,7,0,524,4992,648,0 20,0,2024-09-07 09:59:41:386,159019,0.5,158814,0.7,317561,0.5,423641,2.00 20,1,2024-09-07 09:59:41:110,1136652,1136652,0,0,533298881470,5586519861,1125532,9577,1543,369,391922,0 20,2,2024-09-07 09:59:40:945,817762,817762,0,0,36534493,0,4321 20,3,2024-09-07 09:59:40:604,1,648,9,0,468,9942,648,0 21,0,2024-09-07 09:59:41:144,159077,0.5,159042,0.6,318078,0.4,422622,1.75 21,1,2024-09-07 09:59:41:540,1134708,1134708,0,0,532231966529,5614395350,1117241,13543,3924,368,392016,0 21,2,2024-09-07 09:59:41:080,812369,811892,477,0,47258570,0,17074 21,3,2024-09-07 09:59:41:410,1,648,1,0,713,8874,648,0 22,0,2024-09-07 09:59:41:722,164675,0.4,165359,0.6,330291,0.4,438554,2.00 22,1,2024-09-07 09:59:41:023,1135104,1135104,0,0,532920241006,5605338971,1116805,14747,3552,381,391822,0 22,2,2024-09-07 09:59:40:764,817959,817933,26,0,35421050,0,6328 22,3,2024-09-07 09:59:41:079,1,648,5,0,228,5454,648,0 23,0,2024-09-07 09:59:41:373,164722,0.5,164577,0.6,329148,0.4,438458,2.00 23,1,2024-09-07 09:59:41:003,1136066,1136066,0,0,533960686673,5620324353,1115941,13415,6710,365,391690,0 23,2,2024-09-07 09:59:41:092,823302,823302,0,0,33584081,0,3773 23,3,2024-09-07 09:59:41:756,1,648,2,0,855,9397,648,0 24,0,2024-09-07 09:59:40:875,161195,0.4,160349,0.5,322419,0.4,427816,1.75 24,1,2024-09-07 09:59:40:598,1135934,1135934,0,0,532518631970,5572982232,1125308,8848,1778,367,392269,0 24,2,2024-09-07 09:59:41:080,817401,817398,3,0,40299861,0,6294 24,3,2024-09-07 09:59:41:691,1,648,1,0,468,8437,648,0 25,0,2024-09-07 09:59:41:357,163874,0.4,159575,0.6,313608,0.4,426385,1.75 25,1,2024-09-07 09:59:40:564,1133986,1133986,0,0,531472677560,5613674355,1114306,15774,3906,369,391928,0 25,2,2024-09-07 09:59:41:607,816012,816012,0,0,40928859,0,3978 25,3,2024-09-07 09:59:41:000,1,648,13,0,532,7166,648,0 26,0,2024-09-07 09:59:41:738,161860,0.4,158072,0.6,331882,0.4,432809,1.75 26,1,2024-09-07 09:59:41:542,1137686,1137686,0,0,534426682230,5595595808,1122268,12517,2901,380,391758,0 26,2,2024-09-07 09:59:40:879,819275,819275,0,0,43731688,0,4689 26,3,2024-09-07 09:59:41:712,1,648,3,0,796,7911,648,0 27,0,2024-09-07 09:59:41:725,166918,0.4,167709,0.6,333349,0.4,444392,2.25 27,1,2024-09-07 09:59:41:888,1139000,1139000,0,0,534641357321,5569139934,1128315,9068,1617,381,391626,0 27,2,2024-09-07 09:59:40:868,818087,818022,65,0,38142093,0,5699 27,3,2024-09-07 09:59:41:015,1,648,1,0,564,5437,648,0 28,0,2024-09-07 09:59:41:419,162407,0.4,162660,0.6,325406,0.3,433087,1.75 28,1,2024-09-07 09:59:40:801,1139766,1139766,0,0,535419055677,5579516613,1130469,7396,1901,382,391904,0 28,2,2024-09-07 09:59:41:764,819435,819435,0,0,33114991,0,2915 28,3,2024-09-07 09:59:41:777,1,648,2,0,502,6689,648,0 29,0,2024-09-07 09:59:41:393,164948,0.4,160616,0.6,315012,0.3,429778,1.75 29,1,2024-09-07 09:59:41:561,1142336,1142336,0,0,536746072155,5552729413,1135021,6296,1019,367,391809,0 29,2,2024-09-07 09:59:40:861,818596,818596,0,0,32046653,0,4986 29,3,2024-09-07 09:59:40:967,1,648,12,0,459,6756,648,0 30,0,2024-09-07 09:59:41:466,159738,0.5,155534,0.7,325941,0.5,426123,2.00 30,1,2024-09-07 09:59:40:573,1140774,1140774,0,0,536405791254,5568328632,1131799,7890,1085,380,391672,0 30,2,2024-09-07 09:59:41:274,821211,821211,0,0,30927166,0,4192 30,3,2024-09-07 09:59:40:587,1,648,5,0,519,6293,648,0 31,0,2024-09-07 09:59:41:758,166991,0.5,167766,0.6,334493,0.4,446871,2.00 31,1,2024-09-07 09:59:40:564,1145219,1145219,0,0,536631969670,5511684630,1137933,6098,1188,356,391712,0 31,2,2024-09-07 09:59:41:275,819043,819043,0,0,34961322,0,4470 31,3,2024-09-07 09:59:41:708,1,648,8,0,239,5629,648,0 32,0,2024-09-07 09:59:41:442,164146,0.3,165162,0.5,328707,0.3,437978,1.75 32,1,2024-09-07 09:59:40:808,1140851,1140851,0,0,535222129429,5560986247,1134047,5984,820,381,391646,0 32,2,2024-09-07 09:59:40:935,824215,824215,0,0,30067554,0,3922 32,3,2024-09-07 09:59:41:015,1,648,1,0,304,5269,648,0 33,0,2024-09-07 09:59:41:503,159960,0.3,159546,0.4,319167,0.2,424706,1.50 33,1,2024-09-07 09:59:40:594,1141988,1141988,0,0,536168457432,5550521509,1133310,7403,1275,368,391730,0 33,2,2024-09-07 09:59:40:764,818963,818928,35,0,33741981,0,7012 33,3,2024-09-07 09:59:40:895,1,648,1,0,329,5629,648,0 34,0,2024-09-07 09:59:40:930,159230,0.3,163659,0.5,312863,0.2,422478,1.75 34,1,2024-09-07 09:59:41:052,1143752,1143752,0,0,537235900534,5525870410,1140314,3316,122,367,391637,0 34,2,2024-09-07 09:59:40:770,819944,819944,0,0,31685016,0,4562 34,3,2024-09-07 09:59:41:688,1,648,1,0,541,5639,648,0 35,0,2024-09-07 09:59:40:868,164811,0.3,165394,0.5,331787,0.2,441382,1.75 35,1,2024-09-07 09:59:41:066,1139934,1139934,0,0,535196539056,5551835384,1131431,6970,1533,382,391769,0 35,2,2024-09-07 09:59:41:583,820094,820094,0,0,35751765,0,4055 35,3,2024-09-07 09:59:40:912,1,648,8,0,466,6019,648,0 36,0,2024-09-07 09:59:41:514,165081,0.5,165347,0.6,330025,0.4,439320,2.00 36,1,2024-09-07 09:59:40:595,1138479,1138479,0,0,533771887583,5570774954,1124540,11693,2246,366,391759,0 36,2,2024-09-07 09:59:41:758,823942,823942,0,0,36916707,0,3875 36,3,2024-09-07 09:59:40:863,1,648,2,0,556,8576,648,0 37,0,2024-09-07 09:59:41:378,158389,0.5,158507,0.7,317209,0.5,422932,2.25 37,1,2024-09-07 09:59:40:587,1138026,1138019,0,7,534222042318,5577564050,1124605,10492,2922,365,391770,0 37,2,2024-09-07 09:59:41:142,815642,815627,15,0,36128965,0,5815 37,3,2024-09-07 09:59:41:768,1,648,1,0,888,8762,648,0 38,0,2024-09-07 09:59:41:445,157953,0.4,153104,0.6,320202,0.3,419311,2.00 38,1,2024-09-07 09:59:41:606,1137757,1137757,0,0,534317456285,5586466372,1121336,13125,3296,368,391821,0 38,2,2024-09-07 09:59:40:765,817795,817748,47,0,36756668,0,6710 38,3,2024-09-07 09:59:41:002,1,648,1,0,689,7517,648,0 39,0,2024-09-07 09:59:41:765,167645,0.5,163845,0.7,319651,0.5,436923,2.00 39,1,2024-09-07 09:59:40:716,1137490,1137490,0,0,534098238394,5597926623,1118986,14316,4188,365,391865,0 39,2,2024-09-07 09:59:41:429,819850,819850,0,0,35077726,0,3391 39,3,2024-09-07 09:59:40:713,1,648,1,0,525,7274,648,0 40,0,2024-09-07 09:59:41:512,164386,0.9,165150,1.0,329558,1.0,439978,2.75 40,1,2024-09-07 09:59:40:578,1137500,1137500,0,0,532683126679,5582523095,1120123,14082,3295,366,391668,0 40,2,2024-09-07 09:59:41:302,819656,819649,7,0,41314713,0,5347 40,3,2024-09-07 09:59:41:142,1,648,1,0,1028,9290,648,0 41,0,2024-09-07 09:59:41:028,160260,1.2,164002,1.1,312899,1.7,424709,3.00 41,1,2024-09-07 09:59:40:770,1136854,1136854,0,0,534272683416,5587833867,1122188,12364,2302,369,391878,0 41,2,2024-09-07 09:59:40:763,814303,814302,1,0,39672100,0,5408 41,3,2024-09-07 09:59:41:687,1,648,3,0,366,6887,648,0 42,0,2024-09-07 09:59:41:479,159486,0.9,159144,1.0,318541,1.0,422617,2.50 42,1,2024-09-07 09:59:41:439,1134890,1134890,0,0,532350554901,5590721908,1117731,14203,2956,380,391675,0 42,2,2024-09-07 09:59:41:133,816124,816123,1,0,40870897,0,5513 42,3,2024-09-07 09:59:41:009,1,648,2,0,892,6223,648,0 43,0,2024-09-07 09:59:40:927,160132,0.8,155904,0.9,326828,0.8,428062,2.25 43,1,2024-09-07 09:59:40:577,1137837,1137837,0,0,533544596330,5575252463,1122085,13006,2746,366,391696,0 43,2,2024-09-07 09:59:41:736,820000,820000,0,0,38192554,0,4723 43,3,2024-09-07 09:59:41:749,1,648,1,0,571,9041,648,0 44,0,2024-09-07 09:59:40:862,167745,0.4,167903,0.6,335104,0.4,446723,2.00 44,1,2024-09-07 09:59:40:564,1141225,1141225,0,0,535111016522,5530998277,1131157,7997,2071,356,391809,0 44,2,2024-09-07 09:59:41:268,819077,819077,0,0,30878307,0,4344 44,3,2024-09-07 09:59:41:095,1,648,1,0,1097,7946,648,0 45,0,2024-09-07 09:59:41:765,161235,0.5,157594,0.7,330756,0.4,433580,2.00 45,1,2024-09-07 09:59:41:005,1140200,1140200,0,0,535668604970,5566221056,1131233,7748,1219,382,391917,0 45,2,2024-09-07 09:59:41:271,821350,821350,0,0,30956372,0,3596 45,3,2024-09-07 09:59:40:940,1,648,0,0,531,6057,648,0 46,0,2024-09-07 09:59:40:960,158799,0.5,158278,0.7,317551,0.5,422332,2.00 46,1,2024-09-07 09:59:40:592,1142437,1142437,0,0,535878707713,5539339846,1134501,6887,1049,366,391709,0 46,2,2024-09-07 09:59:40:599,820142,820142,0,0,31903698,0,4443 46,3,2024-09-07 09:59:41:130,1,648,15,0,908,7425,648,0 47,0,2024-09-07 09:59:41:104,160572,0.4,160257,0.6,321928,0.4,427174,2.00 47,1,2024-09-07 09:59:40:567,1143470,1143470,0,0,536299360047,5540773204,1136746,5782,942,364,391641,0 47,2,2024-09-07 09:59:40:914,822351,822351,0,0,31553716,0,4477 47,3,2024-09-07 09:59:41:119,1,648,8,0,600,6969,648,0 48,0,2024-09-07 09:59:41:488,166194,0.3,166653,0.4,332276,0.2,441941,1.50 48,1,2024-09-07 09:59:41:030,1140599,1140599,0,0,535205523318,5554928598,1134299,5720,580,381,391710,0 48,2,2024-09-07 09:59:40:700,820342,820342,0,0,29224127,0,3524 48,3,2024-09-07 09:59:40:758,1,648,2,0,339,5584,648,0 49,0,2024-09-07 09:59:41:713,168948,0.3,165546,0.5,321968,0.3,439375,1.75 49,1,2024-09-07 09:59:41:021,1139861,1139861,0,0,534751860687,5559448917,1132359,5806,1696,382,391809,0 49,2,2024-09-07 09:59:41:802,824165,824165,0,0,31511550,0,4426 49,3,2024-09-07 09:59:41:428,1,648,2,0,992,7538,648,0 50,0,2024-09-07 09:59:41:508,159591,0.3,158123,0.5,318473,0.2,423523,1.75 50,1,2024-09-07 09:59:41:010,1142802,1142802,0,0,537451200638,5553333707,1135902,6229,671,368,391691,0 50,2,2024-09-07 09:59:41:079,817191,817191,0,0,29012405,0,4490 50,3,2024-09-07 09:59:41:292,1,648,0,0,617,6355,648,0 51,0,2024-09-07 09:59:41:685,163242,0.3,159726,0.5,310993,0.2,424435,1.75 51,1,2024-09-07 09:59:41:683,1142995,1142995,0,0,537911075050,5545356232,1136611,5046,1338,365,391706,0 51,2,2024-09-07 09:59:41:317,818938,818938,0,0,28725586,0,3337 51,3,2024-09-07 09:59:41:033,1,648,5,0,678,4633,648,0 52,0,2024-09-07 09:59:41:428,165415,0.5,165312,0.6,330487,0.4,439636,2.00 52,1,2024-09-07 09:59:40:586,1137979,1137979,0,0,534258809228,5586721318,1122680,12963,2336,368,391805,0 52,2,2024-09-07 09:59:41:760,816257,816219,38,0,39401615,0,6742 52,3,2024-09-07 09:59:40:686,1,648,1,0,1782,7427,648,0 53,0,2024-09-07 09:59:41:781,164551,0.6,159678,0.8,334049,0.7,437434,2.25 53,1,2024-09-07 09:59:40:771,1135995,1135995,0,0,534083027633,5599638754,1117359,14229,4407,367,391968,0 53,2,2024-09-07 09:59:41:298,824072,824071,1,0,34697610,0,5455 53,3,2024-09-07 09:59:40:705,1,648,1,0,308,5898,648,0 54,0,2024-09-07 09:59:41:615,158487,0.6,158741,0.7,316378,0.5,422217,2.25 54,1,2024-09-07 09:59:40:583,1139425,1139425,0,0,534630761358,5556862290,1128116,9637,1672,366,391810,0 54,2,2024-09-07 09:59:40:865,818176,818144,32,0,38737804,0,6397 54,3,2024-09-07 09:59:40:767,1,648,1,0,676,8171,648,0 55,0,2024-09-07 09:59:41:759,153901,0.6,158771,0.8,321955,0.5,418954,2.50 55,1,2024-09-07 09:59:40:768,1139876,1139876,0,0,534890341770,5561235789,1128189,10219,1468,365,391731,0 55,2,2024-09-07 09:59:40:728,816963,816907,56,0,35940544,0,7239 55,3,2024-09-07 09:59:40:680,1,648,1,0,304,5905,648,0 56,0,2024-09-07 09:59:41:566,165646,1.2,156061,1.1,321613,1.5,430947,2.75 56,1,2024-09-07 09:59:40:576,1132824,1132824,0,0,531803033564,5622709150,1114049,15024,3751,381,391867,0 56,2,2024-09-07 09:59:41:303,818528,818406,122,0,38691320,0,7432 56,3,2024-09-07 09:59:41:062,1,648,1,0,705,8003,648,0 57,0,2024-09-07 09:59:40:983,165098,1.4,164884,1.2,329943,2.0,440636,3.00 57,1,2024-09-07 09:59:40:994,1135398,1135398,0,0,532613016033,5589958313,1119961,12747,2690,366,392032,0 57,2,2024-09-07 09:59:41:316,820402,820402,0,0,40952076,0,4804 57,3,2024-09-07 09:59:41:738,1,648,1,0,455,7199,648,0 58,0,2024-09-07 09:59:40:558,159906,0.9,155290,1.0,325151,1.2,425514,2.50 58,1,2024-09-07 09:59:40:575,1136506,1136503,0,3,533776345400,5596857956,1118907,13283,4313,367,391726,3 58,2,2024-09-07 09:59:41:073,819255,819255,0,0,37547072,0,3483 58,3,2024-09-07 09:59:41:081,1,648,1,0,1043,7047,648,0 59,0,2024-09-07 09:59:41:742,159000,0.8,158341,1.0,316644,0.9,420639,2.75 59,1,2024-09-07 09:59:40:804,1136250,1136250,0,0,533459131229,5606014488,1117309,15106,3835,369,391653,0 59,2,2024-09-07 09:59:40:588,818681,818681,0,0,35760228,0,3727 59,3,2024-09-07 09:59:41:737,1,648,8,0,1015,7763,648,0 60,0,2024-09-07 09:59:41:720,160598,0.5,160628,0.7,321512,0.5,428452,1.75 60,1,2024-09-07 09:59:40:783,1140527,1140527,0,0,535889833093,5572555543,1131495,7865,1167,370,392031,0 60,2,2024-09-07 09:59:41:141,820745,820745,0,0,33965535,0,3811 60,3,2024-09-07 09:59:41:259,1,648,30,0,409,7213,648,0 61,0,2024-09-07 09:59:41:499,167029,0.6,167744,0.8,333887,0.6,446391,2.00 61,1,2024-09-07 09:59:40:787,1137834,1137834,0,0,534017573139,5588935036,1126110,10021,1703,382,392127,0 61,2,2024-09-07 09:59:41:136,819891,819824,67,0,34616236,0,6411 61,3,2024-09-07 09:59:41:692,1,648,14,0,607,8054,648,0 62,0,2024-09-07 09:59:41:707,164578,0.6,168846,0.7,322335,0.6,437874,2.00 62,1,2024-09-07 09:59:41:112,1144586,1144580,0,6,537297934926,5542836282,1138203,5908,469,365,391975,6 62,2,2024-09-07 09:59:41:647,820042,820041,1,0,34533776,0,5555 62,3,2024-09-07 09:59:41:143,1,648,11,0,482,5075,648,0 63,0,2024-09-07 09:59:41:476,159905,0.4,159623,0.6,319922,0.3,425033,1.75 63,1,2024-09-07 09:59:40:812,1141124,1141118,0,6,535277582299,5557446668,1133958,6303,857,381,391800,6 63,2,2024-09-07 09:59:40:768,818456,818456,0,0,32799513,0,4369 63,3,2024-09-07 09:59:41:732,1,648,1,0,667,6485,648,0 64,0,2024-09-07 09:59:41:517,158225,0.5,158185,0.6,316023,0.4,420953,2.00 64,1,2024-09-07 09:59:40:755,1139262,1139262,0,0,536023226653,5578256501,1129829,7453,1980,370,391794,0 64,2,2024-09-07 09:59:41:142,822642,822623,19,0,31858934,0,6121 64,3,2024-09-07 09:59:41:140,1,648,1,0,651,7154,648,0 65,0,2024-09-07 09:59:41:725,164417,0.5,164639,0.7,329038,0.5,438981,2.00 65,1,2024-09-07 09:59:40:866,1138010,1138010,0,0,533741026610,5575876238,1130227,6905,878,381,391901,0 65,2,2024-09-07 09:59:41:703,818543,818543,0,0,38104624,0,3367 65,3,2024-09-07 09:59:41:692,1,648,12,0,782,7865,648,0 66,0,2024-09-07 09:59:41:763,164307,0.5,163812,0.7,327832,0.5,436056,2.00 66,1,2024-09-07 09:59:41:293,1139872,1139872,0,0,534700048863,5562974442,1132622,6379,871,380,391743,0 66,2,2024-09-07 09:59:41:130,825795,825792,3,0,34124432,0,5455 66,3,2024-09-07 09:59:41:080,1,648,7,0,291,5839,648,0 67,0,2024-09-07 09:59:41:415,159129,0.5,158641,0.7,318174,0.5,423525,2.00 67,1,2024-09-07 09:59:40:770,1140475,1140474,0,1,535059248428,5567666252,1132877,6652,945,380,391787,1 67,2,2024-09-07 09:59:40:591,821296,821281,15,0,33248392,0,6205 67,3,2024-09-07 09:59:41:750,1,648,6,0,595,6552,648,0 68,0,2024-09-07 09:59:40:563,158813,0.6,158503,0.7,315861,0.5,422412,2.00 68,1,2024-09-07 09:59:40:598,1135595,1135595,0,0,532266129108,5595911591,1120463,11350,3782,381,391953,0 68,2,2024-09-07 09:59:41:057,814418,814318,100,0,41727591,0,8578 68,3,2024-09-07 09:59:40:739,1,648,1,0,417,8271,648,0 69,0,2024-09-07 09:59:41:744,163398,0.6,164126,0.8,327269,0.6,435672,2.25 69,1,2024-09-07 09:59:41:015,1134782,1134782,0,0,532157413157,5605180624,1120395,11409,2978,383,391994,0 69,2,2024-09-07 09:59:41:737,817062,817033,29,0,45401323,0,6912 69,3,2024-09-07 09:59:40:767,1,648,1,0,698,8957,648,0 70,0,2024-09-07 09:59:41:536,164097,0.8,164760,0.9,330570,0.7,438243,2.50 70,1,2024-09-07 09:59:40:806,1141091,1141091,0,0,536008341115,5558950505,1132816,7460,815,366,391725,0 70,2,2024-09-07 09:59:41:324,822283,822283,0,0,37069214,0,4323 70,3,2024-09-07 09:59:40:748,1,648,1,0,854,6927,648,0 71,0,2024-09-07 09:59:41:389,160038,0.9,159616,1.0,320528,1.1,426917,2.50 71,1,2024-09-07 09:59:41:596,1139244,1139244,0,0,534844693846,5578940954,1125603,12015,1626,367,391738,0 71,2,2024-09-07 09:59:41:079,817181,817181,0,0,36607563,0,4352 71,3,2024-09-07 09:59:41:750,1,648,0,0,644,7025,648,0 72,0,2024-09-07 09:59:41:040,165653,0.5,161810,0.7,316013,0.5,429820,2.00 72,1,2024-09-07 09:59:41:026,1136900,1136900,0,0,533560209156,5594044100,1120790,13424,2686,369,391819,0 72,2,2024-09-07 09:59:41:762,816867,816867,0,0,39034438,0,3983 72,3,2024-09-07 09:59:41:755,1,648,4,0,564,9266,648,0 73,0,2024-09-07 09:59:41:110,157657,0.4,161637,0.6,330366,0.4,430692,2.00 73,1,2024-09-07 09:59:40:770,1138911,1138911,0,0,534977857620,5558307914,1130447,7481,983,367,391858,0 73,2,2024-09-07 09:59:41:748,819058,819057,1,0,40925399,0,5027 73,3,2024-09-07 09:59:40:975,1,648,17,0,1091,8819,648,0 74,0,2024-09-07 09:59:41:332,168582,0.5,172595,0.7,329525,0.4,447004,2.00 74,1,2024-09-07 09:59:40:640,1137650,1137650,0,0,534273158992,5575576719,1125546,9965,2139,381,391762,0 74,2,2024-09-07 09:59:41:002,818878,818878,0,0,35848453,0,4253 74,3,2024-09-07 09:59:41:453,1,648,1,0,522,7590,648,0 75,0,2024-09-07 09:59:41:774,163264,0.5,162244,0.7,325664,0.5,434946,2.25 75,1,2024-09-07 09:59:41:584,1138788,1138788,0,0,534884543722,5573097032,1129409,8447,932,380,391739,0 75,2,2024-09-07 09:59:41:352,819245,819245,0,0,42478928,0,4766 75,3,2024-09-07 09:59:41:071,1,648,8,0,918,8983,648,0 76,0,2024-09-07 09:59:40:615,159178,0.6,158509,0.7,316980,0.5,423970,2.25 76,1,2024-09-07 09:59:40:805,1138362,1138362,0,0,534481344432,5574326832,1130067,6993,1302,382,391790,0 76,2,2024-09-07 09:59:41:062,820917,820914,3,0,34834248,0,5265 76,3,2024-09-07 09:59:41:149,1,648,2,0,227,5907,648,0 77,0,2024-09-07 09:59:41:712,159599,0.5,159996,0.7,320150,0.5,425889,2.00 77,1,2024-09-07 09:59:40:825,1138733,1138733,0,0,534801325090,5580281407,1129702,8005,1026,381,391869,0 77,2,2024-09-07 09:59:41:292,818508,818508,0,0,33955262,0,3890 77,3,2024-09-07 09:59:41:100,1,648,8,0,401,7250,648,0 78,0,2024-09-07 09:59:41:718,166550,0.4,165890,0.6,332818,0.3,440275,2.00 78,1,2024-09-07 09:59:40:623,1138079,1138079,0,0,533357104937,5564790487,1123922,11012,3145,367,391670,0 78,2,2024-09-07 09:59:41:408,820444,820431,13,0,32004991,0,8313 78,3,2024-09-07 09:59:41:132,1,648,90,0,311,5412,648,0 79,0,2024-09-07 09:59:41:346,158777,0.4,162534,0.6,333137,0.3,433848,2.00 79,1,2024-09-07 09:59:40:573,1142398,1142398,0,0,535424963965,5545890111,1133089,7537,1772,367,391682,0 79,2,2024-09-07 09:59:41:079,823758,823758,0,0,30900879,0,4195 79,3,2024-09-07 09:59:40:754,1,648,3,0,418,7369,648,0 80,0,2024-09-07 09:59:41:152,159106,0.5,163410,0.7,312091,0.5,422529,2.00 80,1,2024-09-07 09:59:41:623,1138636,1138636,0,0,534701474801,5564230494,1131344,6835,457,368,392269,0 80,2,2024-09-07 09:59:41:105,820710,820710,0,0,31148453,0,4433 80,3,2024-09-07 09:59:40:576,1,648,37,0,681,7824,648,0 81,0,2024-09-07 09:59:41:572,158971,0.5,162644,0.7,310580,0.5,421550,2.00 81,1,2024-09-07 09:59:41:650,1137846,1137846,0,0,533487344574,5573775490,1128779,8098,969,382,391885,0 81,2,2024-09-07 09:59:41:127,818280,818217,63,0,34487105,0,5932 81,3,2024-09-07 09:59:41:126,1,648,2,0,719,7171,648,0 82,0,2024-09-07 09:59:41:540,164849,0.5,165027,0.7,330510,0.4,438772,2.00 82,1,2024-09-07 09:59:40:598,1139704,1139700,0,4,535019927558,5559920895,1133892,4982,826,381,391768,4 82,2,2024-09-07 09:59:41:696,822029,822029,0,0,29426582,0,4484 82,3,2024-09-07 09:59:41:756,1,648,1,0,363,5916,648,0 83,0,2024-09-07 09:59:41:522,165137,0.6,165039,0.7,329638,0.6,437799,2.00 83,1,2024-09-07 09:59:40:555,1138547,1138547,0,0,534134968701,5567490056,1130225,7327,995,382,391709,0 83,2,2024-09-07 09:59:40:771,823757,823732,25,0,31719881,0,5612 83,3,2024-09-07 09:59:40:753,1,648,0,0,1260,7471,648,0 84,0,2024-09-07 09:59:41:808,158802,0.7,158916,0.9,317648,0.7,423975,2.25 84,1,2024-09-07 09:59:41:038,1136805,1136805,0,0,533507578781,5578880814,1123910,11011,1884,367,391967,0 84,2,2024-09-07 09:59:40:578,816471,816061,410,0,45232882,0,17037 84,3,2024-09-07 09:59:41:145,1,648,6,0,908,8651,648,0 85,0,2024-09-07 09:59:41:046,153334,0.6,153265,0.8,325395,0.6,419427,2.25 85,1,2024-09-07 09:59:40:564,1133807,1133807,0,0,532711322302,5618159535,1117252,13752,2803,381,392092,0 85,2,2024-09-07 09:59:40:865,817447,817447,0,0,38853990,0,4255 85,3,2024-09-07 09:59:40:685,1,648,1,0,789,7199,648,0 86,0,2024-09-07 09:59:40:880,162087,0.7,166659,0.8,318923,0.7,431401,2.25 86,1,2024-09-07 09:59:40:824,1136589,1136589,0,0,533530420846,5593302958,1121803,11990,2796,366,392169,0 86,2,2024-09-07 09:59:40:854,819137,819136,1,0,41659161,0,5004 86,3,2024-09-07 09:59:40:588,1,648,1,0,308,8814,648,0 87,0,2024-09-07 09:59:41:316,167219,0.8,166205,0.8,332877,0.9,444476,2.25 87,1,2024-09-07 09:59:40:553,1136059,1136059,0,0,533949802462,5589347168,1122373,11883,1803,366,392076,0 87,2,2024-09-07 09:59:41:071,820336,820330,6,0,38168152,0,6323 87,3,2024-09-07 09:59:41:799,1,648,0,0,473,8991,648,0 88,0,2024-09-07 09:59:41:604,162283,0.4,162798,0.6,325352,0.4,432941,1.75 88,1,2024-09-07 09:59:40:569,1135408,1135408,0,0,532103053078,5577617671,1119166,13120,3122,365,392084,0 88,2,2024-09-07 09:59:40:687,818655,818655,0,0,40147059,0,4465 88,3,2024-09-07 09:59:41:274,1,648,9,0,1080,10249,648,0 89,0,2024-09-07 09:59:42:030,164821,0.4,159847,0.6,315449,0.4,429194,1.75 89,1,2024-09-07 09:59:40:553,1134401,1134401,0,0,533282797693,5607175029,1120624,11602,2175,382,391866,0 89,2,2024-09-07 09:59:41:131,818040,818040,0,0,38305158,0,3173 89,3,2024-09-07 09:59:41:798,1,648,24,0,468,10507,648,0 90,0,2024-09-07 09:59:41:638,155820,0.5,160030,0.6,326676,0.4,426184,2.00 90,1,2024-09-07 09:59:40:683,1136415,1136415,0,0,533115056325,5584549561,1125166,10200,1049,380,391825,0 90,2,2024-09-07 09:59:41:411,815796,815791,5,0,41626270,0,6370 90,3,2024-09-07 09:59:40:940,1,648,2,0,364,8435,648,0 91,0,2024-09-07 09:59:40:969,167665,0.5,162634,0.6,340372,0.4,447182,1.75 91,1,2024-09-07 09:59:40:556,1133395,1133395,0,0,532261707272,5612713196,1115746,13690,3959,381,392047,0 91,2,2024-09-07 09:59:41:332,818995,818995,0,0,37015425,0,4713 91,3,2024-09-07 09:59:40:604,1,648,47,0,231,6124,648,0 92,0,2024-09-07 09:59:41:519,165600,0.5,169356,0.6,322858,0.4,438037,1.75 92,1,2024-09-07 09:59:40:594,1137950,1137950,0,0,534460878174,5580532538,1129245,7416,1289,381,392136,0 92,2,2024-09-07 09:59:41:386,821841,821841,0,0,32867598,0,3906 92,3,2024-09-07 09:59:41:011,1,648,9,0,167,5431,648,0 93,0,2024-09-07 09:59:40:976,160421,0.4,164341,0.6,313850,0.3,424999,1.75 93,1,2024-09-07 09:59:40:805,1138441,1138441,0,0,533657055934,5575727636,1124818,11193,2430,365,392048,0 93,2,2024-09-07 09:59:40:931,818176,818176,0,0,38429318,0,4913 93,3,2024-09-07 09:59:41:411,1,648,1,0,505,7224,648,0 94,0,2024-09-07 09:59:41:636,158274,0.4,159438,0.5,318777,0.3,423313,1.75 94,1,2024-09-07 09:59:40:563,1139117,1139117,0,0,534410001941,5570784721,1131833,6844,440,381,391850,0 94,2,2024-09-07 09:59:40:764,819071,819043,28,0,33036454,0,6179 94,3,2024-09-07 09:59:41:687,1,648,7,0,576,7985,648,0 95,0,2024-09-07 09:59:41:394,165525,0.3,165414,0.5,331128,0.3,441315,1.75 95,1,2024-09-07 09:59:40:864,1139732,1139732,0,0,535504937252,5563218204,1130882,8088,762,365,391852,0 95,2,2024-09-07 09:59:41:015,818308,818308,0,0,33200576,0,3308 95,3,2024-09-07 09:59:41:720,1,648,6,0,718,9923,648,0 96,0,2024-09-07 09:59:41:046,164742,0.3,164991,0.5,330266,0.3,438649,1.75 96,1,2024-09-07 09:59:41:592,1138022,1138022,0,0,534279094788,5570746398,1130273,6463,1286,384,391964,0 96,2,2024-09-07 09:59:41:268,825073,825073,0,0,33575072,0,4180 96,3,2024-09-07 09:59:41:142,1,648,1,0,411,7149,648,0 97,0,2024-09-07 09:59:41:331,159158,0.3,159167,0.5,318835,0.3,423308,1.50 97,1,2024-09-07 09:59:40:767,1139997,1139997,0,0,535316176016,5551591469,1133002,5951,1044,367,392140,0 97,2,2024-09-07 09:59:40:607,819535,819535,0,0,33052852,0,4046 97,3,2024-09-07 09:59:40:592,1,648,1,0,242,7314,648,0 98,0,2024-09-07 09:59:41:722,158385,0.3,158315,0.4,318173,0.2,422757,1.50 98,1,2024-09-07 09:59:40:572,1139469,1139469,0,0,535204058267,5572057022,1132904,5724,841,381,391997,0 98,2,2024-09-07 09:59:40:772,817766,817766,0,0,31844424,0,4336 98,3,2024-09-07 09:59:40:698,1,648,4,0,840,9337,648,0 99,0,2024-09-07 09:59:41:477,163958,0.3,164851,0.5,328321,0.3,438318,1.75 99,1,2024-09-07 09:59:41:723,1140103,1140103,0,0,534608435541,5560995876,1133229,5906,968,380,392069,0 99,2,2024-09-07 09:59:41:429,819569,819569,0,0,40845248,0,4276 99,3,2024-09-07 09:59:40:594,1,648,12,0,1124,8156,648,0 100,0,2024-09-07 09:59:41:463,165597,0.8,166075,0.9,331850,0.9,442741,2.50 100,1,2024-09-07 09:59:40:550,1132886,1132886,0,0,532145378596,5624540335,1115518,13873,3495,378,391989,0 100,2,2024-09-07 09:59:41:818,818221,817832,389,0,42875602,0,16909 100,3,2024-09-07 09:59:41:739,1,648,3,0,627,10459,648,0 101,0,2024-09-07 09:59:41:868,164609,1.0,160636,1.0,314297,1.0,428754,2.25 101,1,2024-09-07 09:59:40:553,1135420,1135420,0,0,533036651319,5599165054,1120389,12351,2680,368,391847,0 101,2,2024-09-07 09:59:41:759,813338,813338,0,0,42603609,0,4871 101,3,2024-09-07 09:59:40:942,1,648,3,0,1250,9564,648,0 102,0,2024-09-07 09:59:40:963,156067,0.6,160834,0.8,326677,0.6,426954,2.25 102,1,2024-09-07 09:59:41:142,1134909,1134909,0,0,533197673672,5598559103,1120033,12667,2209,369,391984,0 102,2,2024-09-07 09:59:41:737,819000,818946,54,0,36594755,0,6768 102,3,2024-09-07 09:59:41:615,1,648,3,0,466,7468,648,0 103,0,2024-09-07 09:59:41:609,167133,0.5,167129,0.7,314871,0.5,434027,2.00 103,1,2024-09-07 09:59:41:628,1134165,1134165,0,0,532467713105,5619827467,1116578,13971,3616,381,392077,0 103,2,2024-09-07 09:59:40:584,817955,817955,0,0,39673029,0,3766 103,3,2024-09-07 09:59:40:756,1,648,0,0,916,7503,648,0 104,0,2024-09-07 09:59:41:026,166313,0.7,166652,0.9,332401,0.7,444243,2.25 104,1,2024-09-07 09:59:41:616,1136391,1136391,0,0,532777374618,5600446321,1119000,14146,3245,365,392168,0 104,2,2024-09-07 09:59:41:732,817439,817439,0,0,39348655,0,4161 104,3,2024-09-07 09:59:41:461,1,648,6,0,1245,11045,648,0 105,0,2024-09-07 09:59:41:047,161211,0.9,157167,1.0,329325,1.0,432818,2.50 105,1,2024-09-07 09:59:40:554,1138326,1138326,0,0,534841228337,5600740302,1124323,12047,1956,364,392009,0 105,2,2024-09-07 09:59:41:323,819277,819277,0,0,39883110,0,4360 105,3,2024-09-07 09:59:41:313,1,648,28,0,573,9788,648,0 106,0,2024-09-07 09:59:40:942,154124,0.9,157952,1.0,323460,1.0,422362,2.50 106,1,2024-09-07 09:59:41:753,1136014,1136014,0,0,532765762804,5590399080,1120235,13753,2026,368,391914,0 106,2,2024-09-07 09:59:40:765,817464,817464,0,0,37007009,0,3331 106,3,2024-09-07 09:59:40:681,1,648,2,0,1224,8990,648,0 107,0,2024-09-07 09:59:41:118,159705,1.0,159837,1.0,319611,1.2,427023,2.25 107,1,2024-09-07 09:59:40:607,1134179,1134179,0,0,531412114911,5615098127,1116057,15942,2180,381,392234,0 107,2,2024-09-07 09:59:41:291,815097,815096,1,0,40623996,0,5024 107,3,2024-09-07 09:59:41:761,1,648,8,0,733,9648,648,0 108,0,2024-09-07 09:59:41:777,165497,0.4,166269,0.6,331491,0.4,441827,1.75 108,1,2024-09-07 09:59:41:296,1137615,1137615,0,0,533813530608,5568060255,1128066,8472,1077,367,391894,0 108,2,2024-09-07 09:59:41:760,819198,819198,0,0,36028206,0,4432 108,3,2024-09-07 09:59:41:339,1,648,39,0,749,11176,648,0 109,0,2024-09-07 09:59:41:748,165231,0.4,163774,0.6,328519,0.3,438577,1.75 109,1,2024-09-07 09:59:40:607,1135488,1135488,0,0,533365834216,5595861673,1125080,8908,1500,382,392132,0 109,2,2024-09-07 09:59:40:942,821097,821097,0,0,36042098,0,3617 109,3,2024-09-07 09:59:41:139,1,648,1,0,630,7584,648,0 110,0,2024-09-07 09:59:41:762,158889,0.4,154565,0.6,323620,0.3,424383,1.75 110,1,2024-09-07 09:59:41:645,1139937,1139937,0,0,535185133966,5554886566,1131255,6658,2024,368,392045,0 110,2,2024-09-07 09:59:41:303,818752,818752,0,0,33805914,0,4067 110,3,2024-09-07 09:59:40:698,1,648,7,0,722,8733,648,0 111,0,2024-09-07 09:59:41:418,159745,0.4,158934,0.5,317560,0.3,424112,1.75 111,1,2024-09-07 09:59:41:002,1141421,1141421,0,0,536405605877,5563919733,1135266,5772,383,380,391690,0 111,2,2024-09-07 09:59:41:119,818340,818340,0,0,34284388,0,4823 111,3,2024-09-07 09:59:40:917,1,648,6,0,379,7259,648,0 112,0,2024-09-07 09:59:40:908,165698,0.3,165194,0.4,331014,0.2,439964,1.50 112,1,2024-09-07 09:59:40:824,1140147,1140147,0,0,535064458029,5553650933,1132784,6138,1225,380,391624,0 112,2,2024-09-07 09:59:41:133,819766,819765,1,0,32718935,0,5036 112,3,2024-09-07 09:59:40:595,1,648,194,0,282,6253,648,0 113,0,2024-09-07 09:59:40:892,165176,0.3,165209,0.5,330884,0.2,440067,1.50 113,1,2024-09-07 09:59:41:688,1142714,1142714,0,0,537264995677,5541465909,1136167,5599,948,365,391664,0 113,2,2024-09-07 09:59:41:302,825888,825888,0,0,30298132,0,3813 113,3,2024-09-07 09:59:40:684,1,648,11,0,510,6727,648,0 114,0,2024-09-07 09:59:40:898,160389,0.3,161399,0.5,321988,0.2,429366,1.75 114,1,2024-09-07 09:59:40:716,1140149,1140149,0,0,534750484366,5550223388,1131979,6421,1749,381,391565,0 114,2,2024-09-07 09:59:40:873,820111,820110,1,0,31411475,0,5069 114,3,2024-09-07 09:59:41:279,1,648,1,0,415,5385,648,0 115,0,2024-09-07 09:59:40:555,160205,0.3,160698,0.4,320103,0.2,425604,1.50 115,1,2024-09-07 09:59:40:585,1140330,1140330,0,0,535566359152,5559963958,1131797,7011,1522,382,391757,0 115,2,2024-09-07 09:59:41:125,820246,820246,0,0,31507636,0,4382 115,3,2024-09-07 09:59:41:002,1,648,2,0,167,3959,648,0 116,0,2024-09-07 09:59:41:797,161944,0.7,161809,0.9,324547,0.7,433655,2.00 116,1,2024-09-07 09:59:40:802,1133724,1133724,0,0,532923627264,5613732048,1120297,10221,3206,380,392089,0 116,2,2024-09-07 09:59:41:765,819775,819775,0,0,39344062,0,4475 116,3,2024-09-07 09:59:40:912,1,648,0,0,448,8147,648,0 117,0,2024-09-07 09:59:40:963,167274,0.7,166789,0.8,333825,0.7,445893,2.00 117,1,2024-09-07 09:59:41:596,1136074,1136074,0,0,532741727392,5576272933,1123826,10667,1581,369,392429,0 117,2,2024-09-07 09:59:41:119,822473,822473,0,0,35670174,0,4303 117,3,2024-09-07 09:59:41:061,1,648,3,0,490,8067,648,0 118,0,2024-09-07 09:59:41:786,157436,0.5,161641,0.7,329915,0.5,431483,2.00 118,1,2024-09-07 09:59:40:585,1135265,1135265,0,0,532455648286,5593801514,1119015,12599,3651,366,392054,0 118,2,2024-09-07 09:59:41:585,817869,817869,0,0,38202166,0,2842 118,3,2024-09-07 09:59:41:769,1,648,2,0,343,7852,648,0 119,0,2024-09-07 09:59:41:338,160036,0.7,160522,0.8,320587,0.8,427704,2.25 119,1,2024-09-07 09:59:40:548,1136254,1136254,0,0,533779946143,5594354669,1121431,12309,2514,367,391857,0 119,2,2024-09-07 09:59:41:265,819475,819475,0,0,35468207,0,4309 119,3,2024-09-07 09:59:41:325,1,648,7,0,1358,11751,648,0 120,0,2024-09-07 09:59:41:717,159865,0.7,159632,0.8,320684,0.7,427547,2.25 120,1,2024-09-07 09:59:40:864,1136922,1136922,0,0,533218624439,5591088835,1124628,11138,1156,367,392144,0 120,2,2024-09-07 09:59:40:773,818137,818134,3,0,42791983,0,5363 120,3,2024-09-07 09:59:41:291,1,648,9,0,478,8320,648,0 121,0,2024-09-07 09:59:41:924,167302,1.1,166867,1.0,333502,1.4,445436,2.25 121,1,2024-09-07 09:59:41:684,1136364,1136364,0,0,533535375473,5583657661,1124052,10473,1839,366,391840,0 121,2,2024-09-07 09:59:41:125,818765,818765,0,0,39249321,0,4157 121,3,2024-09-07 09:59:40:735,1,648,1,0,387,8454,648,0 122,0,2024-09-07 09:59:41:787,163487,0.7,159016,0.9,332872,0.8,437872,2.00 122,1,2024-09-07 09:59:40:864,1135693,1135693,0,0,533039599203,5591469433,1120499,12775,2419,365,392130,0 122,2,2024-09-07 09:59:41:319,821827,821752,75,0,42768484,0,5989 122,3,2024-09-07 09:59:40:598,1,648,6,0,512,10074,648,0 123,0,2024-09-07 09:59:40:969,158710,0.8,154506,0.9,323843,0.9,423512,2.25 123,1,2024-09-07 09:59:40:572,1136290,1136290,0,0,533098357745,5605146943,1118311,15106,2873,369,392039,0 123,2,2024-09-07 09:59:41:023,816613,816612,1,0,36567449,0,5215 123,3,2024-09-07 09:59:41:136,1,648,8,0,478,7253,648,0 124,0,2024-09-07 09:59:40:970,163287,0.3,163332,0.5,307843,0.3,423909,1.75 124,1,2024-09-07 09:59:41:038,1140008,1140008,0,0,534189882972,5551630119,1131770,7046,1192,365,392178,0 124,2,2024-09-07 09:59:41:011,820515,820462,53,0,33814027,0,6487 124,3,2024-09-07 09:59:40:769,1,648,3,0,490,6480,648,0 125,0,2024-09-07 09:59:41:459,165262,0.4,165133,0.5,331099,0.3,441465,1.75 125,1,2024-09-07 09:59:40:879,1137044,1137044,0,0,533680853614,5572650031,1127757,7989,1298,382,392045,0 125,2,2024-09-07 09:59:41:119,821616,821616,0,0,33591169,0,4534 125,3,2024-09-07 09:59:41:149,1,648,1,0,709,7447,648,0 126,0,2024-09-07 09:59:41:436,164947,0.4,169674,0.5,324345,0.3,439373,1.75 126,1,2024-09-07 09:59:40:555,1140968,1140968,0,0,535001081824,5540565663,1134476,5819,673,365,391987,0 126,2,2024-09-07 09:59:40:623,824961,824961,0,0,34873890,0,4539 126,3,2024-09-07 09:59:40:917,1,648,7,0,268,7144,648,0 127,0,2024-09-07 09:59:41:611,159577,0.3,159690,0.5,318698,0.3,423607,1.75 127,1,2024-09-07 09:59:40:597,1138741,1138741,0,0,534227410137,5559382521,1126993,10059,1689,364,392187,0 127,2,2024-09-07 09:59:40:649,818625,818621,4,0,32844253,0,5305 127,3,2024-09-07 09:59:41:272,1,648,88,0,968,6311,648,0 128,0,2024-09-07 09:59:41:626,159326,0.3,159468,0.4,318714,0.2,423481,1.50 128,1,2024-09-07 09:59:41:607,1138688,1138688,0,0,534075511514,5553623301,1129905,7817,966,367,392423,0 128,2,2024-09-07 09:59:41:395,820589,820589,0,0,30383801,0,3171 128,3,2024-09-07 09:59:40:770,1,648,4,0,1082,9858,648,0 129,0,2024-09-07 09:59:40:998,165311,0.3,164503,0.5,329766,0.3,438752,1.50 129,1,2024-09-07 09:59:40:595,1135451,1135451,0,0,533410622724,5590368546,1123909,9518,2024,379,391962,0 129,2,2024-09-07 09:59:40:686,821484,821480,4,0,33572732,0,5335 129,3,2024-09-07 09:59:40:688,1,648,4,0,506,8604,648,0 130,0,2024-09-07 09:59:41:752,166756,0.4,166544,0.6,333428,0.4,443654,1.75 130,1,2024-09-07 09:59:40:595,1140548,1140548,0,0,534726160519,5550561593,1135077,5066,405,381,391825,0 130,2,2024-09-07 09:59:41:124,822802,822802,0,0,33185045,0,4067 130,3,2024-09-07 09:59:41:291,1,648,1,0,960,8473,648,0 131,0,2024-09-07 09:59:41:975,161162,0.3,161594,0.5,324125,0.3,430298,1.50 131,1,2024-09-07 09:59:41:827,1139315,1139315,0,0,534638867327,5570595475,1131608,6508,1199,381,391865,0 131,2,2024-09-07 09:59:40:567,818886,818886,0,0,30687664,0,3979 131,3,2024-09-07 09:59:41:691,1,648,2,0,392,8105,648,0 132,0,2024-09-07 09:59:41:430,160811,0.5,161795,0.6,321928,0.4,428275,2.00 132,1,2024-09-07 09:59:40:577,1135009,1135009,0,0,532707749610,5605022811,1118958,13273,2778,381,392532,0 132,2,2024-09-07 09:59:40:719,818326,818309,17,0,39868115,0,6451 132,3,2024-09-07 09:59:41:688,1,648,111,0,1298,11395,648,0 133,0,2024-09-07 09:59:41:548,157753,0.4,161425,0.6,331120,0.4,431628,2.00 133,1,2024-09-07 09:59:40:598,1134813,1134813,0,0,532429437280,5607230736,1119891,12992,1930,383,391914,0 133,2,2024-09-07 09:59:41:091,820958,820908,50,0,40643732,0,6861 133,3,2024-09-07 09:59:41:297,1,648,3,0,528,7709,648,0 134,0,2024-09-07 09:59:40:979,168106,0.5,168148,0.7,336124,0.5,447567,2.25 134,1,2024-09-07 09:59:40:609,1135878,1135878,0,0,533006532000,5591694845,1120775,11928,3175,366,391781,0 134,2,2024-09-07 09:59:41:758,819755,819731,24,0,37636048,0,6207 134,3,2024-09-07 09:59:40:753,1,648,44,0,739,8045,648,0 135,0,2024-09-07 09:59:41:096,157988,0.7,157963,0.8,335325,0.8,432173,2.25 135,1,2024-09-07 09:59:41:585,1135844,1135844,0,0,533356755188,5606982704,1121679,12317,1848,380,391974,0 135,2,2024-09-07 09:59:40:695,821685,821685,0,0,38836959,0,4503 135,3,2024-09-07 09:59:41:002,1,648,2,0,900,6190,648,0 136,0,2024-09-07 09:59:41:624,160063,0.6,160475,0.8,318815,0.6,425655,2.00 136,1,2024-09-07 09:59:41:453,1136992,1136992,0,0,534174738426,5595360710,1124859,10740,1393,381,392135,0 136,2,2024-09-07 09:59:41:135,820264,820249,15,0,38282058,0,6007 136,3,2024-09-07 09:59:41:108,1,648,1,0,637,7509,648,0 137,0,2024-09-07 09:59:41:014,165007,0.6,160924,0.7,315050,0.6,428243,2.00 137,1,2024-09-07 09:59:40:578,1136280,1136280,0,0,533935754308,5597011936,1119176,13688,3416,366,391898,0 137,2,2024-09-07 09:59:41:706,817464,817464,0,0,39546683,0,3185 137,3,2024-09-07 09:59:40:769,1,648,2,0,484,8516,648,0 138,0,2024-09-07 09:59:41:755,165026,0.8,165439,0.9,331340,1.0,440346,2.00 138,1,2024-09-07 09:59:41:691,1135857,1135857,0,0,533758557507,5601311810,1119663,13557,2637,368,391954,0 138,2,2024-09-07 09:59:40:594,818149,818149,0,0,38010973,0,4988 138,3,2024-09-07 09:59:40:652,1,648,5,0,1200,9789,648,0 139,0,2024-09-07 09:59:41:360,163006,0.9,163652,0.9,326852,1.3,436786,2.25 139,1,2024-09-07 09:59:40:588,1131692,1131692,0,0,530873947584,5625539118,1112674,15150,3868,380,392109,0 139,2,2024-09-07 09:59:40:704,817251,817221,30,0,43485264,0,5997 139,3,2024-09-07 09:59:41:662,1,648,3,0,432,7582,648,0 140,0,2024-09-07 09:59:41:634,159467,0.3,158682,0.5,318575,0.2,424677,1.75 140,1,2024-09-07 09:59:41:554,1143670,1143670,0,0,537247971158,5541515815,1137588,5346,736,364,391628,0 140,2,2024-09-07 09:59:40:687,818848,818847,1,0,31002389,0,5036 140,3,2024-09-07 09:59:40:767,1,648,86,0,575,6562,648,0 141,0,2024-09-07 09:59:41:703,159325,0.3,163793,0.5,312983,0.2,423702,1.50 141,1,2024-09-07 09:59:40:861,1140304,1140304,0,0,535030995025,5559063671,1131085,7903,1316,379,391614,0 141,2,2024-09-07 09:59:41:688,819393,819382,11,0,33081436,0,5369 141,3,2024-09-07 09:59:41:055,1,648,1,0,391,7466,648,0 142,0,2024-09-07 09:59:41:304,165827,0.3,165013,0.5,330459,0.2,440908,1.50 142,1,2024-09-07 09:59:40:613,1138414,1138414,0,0,534070917498,5568474832,1130614,7142,658,382,392102,0 142,2,2024-09-07 09:59:41:299,818741,818709,32,0,33805990,0,6028 142,3,2024-09-07 09:59:41:748,1,648,1,0,484,6749,648,0 143,0,2024-09-07 09:59:41:382,165255,0.4,165087,0.5,331149,0.4,440104,1.75 143,1,2024-09-07 09:59:40:563,1141350,1141350,0,0,536093723774,5560274338,1134363,6324,663,367,391900,0 143,2,2024-09-07 09:59:40:770,824251,824251,0,0,33656675,0,3123 143,3,2024-09-07 09:59:41:140,1,648,4,0,462,7564,648,0 144,0,2024-09-07 09:59:41:491,155471,0.6,159952,0.7,325488,0.5,425831,2.00 144,1,2024-09-07 09:59:40:566,1135854,1135854,0,0,531976798178,5580906854,1124705,9130,2019,381,391733,0 144,2,2024-09-07 09:59:41:756,819364,819364,0,0,32586957,0,4443 144,3,2024-09-07 09:59:41:741,1,648,1,0,259,6540,648,0 145,0,2024-09-07 09:59:41:384,154338,0.6,154339,0.8,327720,0.5,421215,2.25 145,1,2024-09-07 09:59:40:552,1134669,1134669,0,0,532781924664,5604971211,1119639,12275,2755,382,391781,0 145,2,2024-09-07 09:59:41:432,816898,816816,82,0,38805960,0,7814 145,3,2024-09-07 09:59:40:895,1,648,2,0,622,8699,648,0 146,0,2024-09-07 09:59:41:612,162520,0.6,161620,0.8,325147,0.6,432116,2.25 146,1,2024-09-07 09:59:41:592,1136086,1136086,0,0,532799208462,5605577214,1117531,13735,4820,367,391770,0 146,2,2024-09-07 09:59:41:699,818195,818189,6,0,37653065,0,5151 146,3,2024-09-07 09:59:41:274,1,648,0,0,1520,10737,648,0 147,0,2024-09-07 09:59:41:751,167153,0.6,166785,0.7,333772,0.6,444931,2.00 147,1,2024-09-07 09:59:41:372,1140194,1140194,0,0,534683295559,5555959265,1131117,8044,1033,367,391791,0 147,2,2024-09-07 09:59:41:011,821138,821138,0,0,33624618,0,3359 147,3,2024-09-07 09:59:40:926,1,648,4,0,1626,10004,648,0 0,0,2024-09-07 09:59:51:727,156457,0.6,156377,0.7,331958,0.6,429028,2.00 0,1,2024-09-07 09:59:50:810,1139009,1139009,0,0,534563743507,5593316807,1130075,7981,953,368,391896,0 0,2,2024-09-07 09:59:51:068,821624,821624,0,0,32911141,0,4480 0,3,2024-09-07 09:59:50:974,1,649,7,0,431,8978,649,0 1,0,2024-09-07 09:59:51:753,167418,0.8,166495,0.9,334151,1.0,447117,2.00 1,1,2024-09-07 09:59:50:558,1137659,1137659,0,0,533926114498,5588700491,1127910,8248,1501,370,391859,0 1,2,2024-09-07 09:59:50:639,821437,821437,0,0,32365219,0,3380 1,3,2024-09-07 09:59:51:314,1,649,1,0,269,7836,649,0 2,0,2024-09-07 09:59:51:571,164308,0.6,163840,0.7,327677,0.6,437412,2.00 2,1,2024-09-07 09:59:50:858,1141105,1141105,0,0,536157474610,5572629485,1134650,5503,952,379,391745,0 2,2,2024-09-07 09:59:51:265,823473,823473,0,0,30932615,0,3594 2,3,2024-09-07 09:59:50:691,1,649,0,0,357,5579,649,0 3,0,2024-09-07 09:59:51:752,159416,0.4,159482,0.6,318457,0.4,424262,2.00 3,1,2024-09-07 09:59:51:618,1140099,1140099,0,0,534893131983,5561933897,1132034,7347,718,379,391716,0 3,2,2024-09-07 09:59:51:153,820988,820965,23,0,31760776,0,5851 3,3,2024-09-07 09:59:51:752,1,649,22,0,484,4944,649,0 4,0,2024-09-07 09:59:51:769,154591,0.4,158881,0.5,323939,0.3,423795,1.75 4,1,2024-09-07 09:59:50:612,1134633,1134633,0,0,532826192060,5637375025,1115384,14712,4537,369,391992,0 4,2,2024-09-07 09:59:51:018,817574,817574,0,0,39150720,0,4534 4,3,2024-09-07 09:59:51:027,1,649,4,0,448,8644,649,0 5,0,2024-09-07 09:59:51:414,165833,0.4,165707,0.5,331736,0.3,441305,1.75 5,1,2024-09-07 09:59:50:759,1136105,1136105,0,0,533409547971,5628188711,1118869,13011,4225,367,392005,0 5,2,2024-09-07 09:59:51:836,817620,817620,0,0,38259919,0,3582 5,3,2024-09-07 09:59:51:738,1,649,5,0,457,8634,649,0 6,0,2024-09-07 09:59:50:922,164930,0.5,164444,0.6,328930,0.4,438590,2.00 6,1,2024-09-07 09:59:50:746,1138556,1138556,0,0,534610616650,5579842402,1127097,9869,1590,379,391702,0 6,2,2024-09-07 09:59:51:135,824718,824700,18,0,36513390,0,5535 6,3,2024-09-07 09:59:51:279,1,649,4,0,710,7602,649,0 7,0,2024-09-07 09:59:51:531,158647,0.5,159758,0.7,317096,0.5,422721,2.00 7,1,2024-09-07 09:59:50:861,1136269,1136269,0,0,533531494474,5615084591,1118768,13366,4135,382,391747,0 7,2,2024-09-07 09:59:50:776,818670,818670,0,0,35146746,0,4791 7,3,2024-09-07 09:59:50:860,1,649,19,0,552,7469,649,0 8,0,2024-09-07 09:59:51:334,159314,0.4,158947,0.5,318351,0.3,424273,1.75 8,1,2024-09-07 09:59:51:034,1135349,1135349,0,0,533315339280,5606028038,1117794,13833,3722,366,392853,0 8,2,2024-09-07 09:59:50:876,814345,814343,2,0,41886562,0,5112 8,3,2024-09-07 09:59:50:594,1,649,0,0,772,9972,649,0 9,0,2024-09-07 09:59:51:112,164477,0.4,159849,0.5,334462,0.3,439263,1.75 9,1,2024-09-07 09:59:50:550,1135185,1135185,0,0,533168360108,5623304094,1116486,14595,4104,369,392001,0 9,2,2024-09-07 09:59:51:091,819484,819483,1,0,39921941,0,5281 9,3,2024-09-07 09:59:51:756,1,649,7,0,1273,10762,649,0 10,0,2024-09-07 09:59:51:598,166002,0.4,165360,0.5,331805,0.3,441463,1.75 10,1,2024-09-07 09:59:50:589,1137298,1137298,0,0,533896547016,5603522318,1120063,13706,3529,381,391981,0 10,2,2024-09-07 09:59:50:771,823488,823488,0,0,43030137,0,4713 10,3,2024-09-07 09:59:50:871,1,649,96,0,669,7047,649,0 11,0,2024-09-07 09:59:51:013,161014,0.4,156349,0.6,327246,0.4,430393,1.75 11,1,2024-09-07 09:59:50:576,1139574,1139574,0,0,534598686241,5606245458,1123185,12081,4308,383,391766,0 11,2,2024-09-07 09:59:51:123,818129,818129,0,0,37405126,0,4698 11,3,2024-09-07 09:59:51:299,1,649,25,0,843,8107,649,0 12,0,2024-09-07 09:59:50:947,161810,0.4,161603,0.5,322803,0.4,428839,1.75 12,1,2024-09-07 09:59:50:934,1138895,1138895,0,0,534573534272,5575863900,1129634,8239,1022,370,391960,0 12,2,2024-09-07 09:59:51:541,818162,818162,0,0,36745544,0,4390 12,3,2024-09-07 09:59:51:060,1,649,0,0,386,8252,649,0 13,0,2024-09-07 09:59:51:338,163014,0.4,163190,0.6,325525,0.4,433978,1.75 13,1,2024-09-07 09:59:51:524,1136082,1136082,0,0,533807099009,5612296075,1123923,9326,2833,382,391803,0 13,2,2024-09-07 09:59:50:595,822910,822910,0,0,33209608,0,3287 13,3,2024-09-07 09:59:51:762,1,649,28,0,522,8671,649,0 14,0,2024-09-07 09:59:50:571,167531,0.4,168582,0.6,335141,0.4,446005,1.75 14,1,2024-09-07 09:59:51:563,1144240,1144240,0,0,536747726216,5552939852,1135447,7662,1131,364,391673,0 14,2,2024-09-07 09:59:50:774,822114,822084,30,0,34147656,0,6104 14,3,2024-09-07 09:59:51:115,1,649,16,0,1168,6914,649,0 15,0,2024-09-07 09:59:51:555,163430,0.4,162564,0.6,325459,0.4,434159,2.00 15,1,2024-09-07 09:59:51:609,1140795,1140795,0,0,535998743786,5582644462,1130855,7933,2007,381,391619,0 15,2,2024-09-07 09:59:51:009,822659,822659,0,0,30331015,0,3622 15,3,2024-09-07 09:59:51:405,1,649,1,0,1126,8347,649,0 16,0,2024-09-07 09:59:50:934,159708,0.6,160474,0.7,320135,0.6,426303,2.00 16,1,2024-09-07 09:59:50:574,1140349,1140349,0,0,534540162274,5585240393,1130508,8374,1467,370,392194,0 16,2,2024-09-07 09:59:51:436,819416,819416,0,0,33476635,0,4719 16,3,2024-09-07 09:59:51:141,1,649,1,0,358,7807,649,0 17,0,2024-09-07 09:59:51:759,165377,0.6,161120,0.8,315764,0.6,429565,2.00 17,1,2024-09-07 09:59:50:599,1138450,1138450,0,0,534327190289,5600183041,1127864,8807,1779,368,392075,0 17,2,2024-09-07 09:59:51:669,823308,823307,1,0,35104063,0,5050 17,3,2024-09-07 09:59:50:579,1,649,1,0,518,9464,649,0 18,0,2024-09-07 09:59:50:942,164757,0.6,165899,0.8,330096,0.6,440995,2.25 18,1,2024-09-07 09:59:51:649,1142706,1142706,0,0,535801747693,5554070653,1135489,5958,1259,367,391725,0 18,2,2024-09-07 09:59:51:754,822680,822680,0,0,31400792,0,3541 18,3,2024-09-07 09:59:50:903,1,649,3,0,1059,5758,649,0 19,0,2024-09-07 09:59:51:547,163921,0.6,164401,0.8,327706,0.7,435590,2.00 19,1,2024-09-07 09:59:50:572,1142538,1142538,0,0,536283471463,5557017668,1134065,7321,1152,365,391777,0 19,2,2024-09-07 09:59:51:752,826780,826780,0,0,29401285,0,3988 19,3,2024-09-07 09:59:51:138,1,649,5,0,524,4997,649,0 20,0,2024-09-07 09:59:51:368,159178,0.5,158972,0.7,317922,0.5,424076,2.00 20,1,2024-09-07 09:59:50:577,1138371,1138371,0,0,534214632704,5595919356,1127250,9578,1543,369,391922,0 20,2,2024-09-07 09:59:50:955,819405,819405,0,0,36562609,0,4321 20,3,2024-09-07 09:59:50:589,1,649,29,0,468,9971,649,0 21,0,2024-09-07 09:59:51:184,159394,0.5,159349,0.6,318669,0.4,423253,1.75 21,1,2024-09-07 09:59:51:542,1136426,1136426,0,0,533250291389,5624779298,1118958,13544,3924,368,392016,0 21,2,2024-09-07 09:59:51:072,813686,813209,477,0,47283408,0,17074 21,3,2024-09-07 09:59:51:425,1,649,17,0,713,8891,649,0 22,0,2024-09-07 09:59:51:717,165066,0.4,165750,0.6,331095,0.4,439548,2.00 22,1,2024-09-07 09:59:51:023,1136884,1136884,0,0,533599268752,5612346853,1118585,14747,3552,381,391822,0 22,2,2024-09-07 09:59:50:765,819104,819078,26,0,35438398,0,6328 22,3,2024-09-07 09:59:51:068,1,649,1,0,228,5455,649,0 23,0,2024-09-07 09:59:51:368,164852,0.5,164717,0.6,329436,0.4,438930,2.00 23,1,2024-09-07 09:59:51:006,1137927,1137927,0,0,534999360644,5630859209,1117802,13415,6710,365,391690,0 23,2,2024-09-07 09:59:51:102,824671,824671,0,0,33619991,0,3773 23,3,2024-09-07 09:59:51:754,1,649,2,0,855,9399,649,0 24,0,2024-09-07 09:59:50:846,161320,0.4,160444,0.5,322654,0.4,428084,1.75 24,1,2024-09-07 09:59:50:600,1137678,1137678,0,0,533353115575,5581530160,1127050,8850,1778,367,392269,0 24,2,2024-09-07 09:59:51:083,818681,818678,3,0,40335279,0,6294 24,3,2024-09-07 09:59:51:686,1,649,13,0,468,8450,649,0 25,0,2024-09-07 09:59:51:388,163923,0.4,159619,0.6,313709,0.4,426696,1.75 25,1,2024-09-07 09:59:50:566,1135754,1135754,0,0,532467589961,5623851779,1116074,15774,3906,369,391928,0 25,2,2024-09-07 09:59:51:616,817211,817211,0,0,40969325,0,3978 25,3,2024-09-07 09:59:51:008,1,649,3,0,532,7169,649,0 26,0,2024-09-07 09:59:51:727,162294,0.4,158482,0.6,332786,0.4,434160,1.75 26,1,2024-09-07 09:59:51:540,1139433,1139433,0,0,535241425952,5604103816,1124014,12518,2901,380,391758,0 26,2,2024-09-07 09:59:50:861,820767,820767,0,0,43786523,0,4689 26,3,2024-09-07 09:59:51:719,1,649,12,0,796,7923,649,0 27,0,2024-09-07 09:59:51:734,167016,0.4,167797,0.6,333537,0.4,444667,2.25 27,1,2024-09-07 09:59:51:680,1140750,1140750,0,0,535604271906,5579025347,1130065,9068,1617,381,391626,0 27,2,2024-09-07 09:59:50:868,819532,819467,65,0,38196493,0,5699 27,3,2024-09-07 09:59:51:015,1,649,1,0,564,5438,649,0 28,0,2024-09-07 09:59:51:401,162576,0.4,162821,0.6,325735,0.3,433339,1.75 28,1,2024-09-07 09:59:50:814,1141537,1141537,0,0,536250601466,5588167610,1132240,7396,1901,382,391904,0 28,2,2024-09-07 09:59:51:764,820270,820270,0,0,33169145,0,2915 28,3,2024-09-07 09:59:51:777,1,649,1,0,502,6690,649,0 29,0,2024-09-07 09:59:51:359,165234,0.4,160902,0.6,315537,0.3,430299,1.75 29,1,2024-09-07 09:59:51:562,1143950,1143950,0,0,537376760340,5559297540,1136635,6296,1019,367,391809,0 29,2,2024-09-07 09:59:50:860,819935,819935,0,0,32093895,0,4986 29,3,2024-09-07 09:59:50:963,1,649,1,0,459,6757,649,0 30,0,2024-09-07 09:59:51:455,160116,0.5,155869,0.7,326652,0.5,427292,2.00 30,1,2024-09-07 09:59:50:573,1142607,1142607,0,0,537259705867,5577041654,1133632,7890,1085,380,391672,0 30,2,2024-09-07 09:59:51:280,822784,822784,0,0,31040323,0,4192 30,3,2024-09-07 09:59:50:588,1,649,1,0,519,6294,649,0 31,0,2024-09-07 09:59:51:757,167344,0.5,168105,0.7,335116,0.4,447275,2.00 31,1,2024-09-07 09:59:50:564,1146936,1146936,0,0,537662535303,5522249120,1139650,6098,1188,356,391712,0 31,2,2024-09-07 09:59:51:278,820460,820460,0,0,35004024,0,4470 31,3,2024-09-07 09:59:51:706,1,649,8,0,239,5637,649,0 32,0,2024-09-07 09:59:51:421,164435,0.3,165463,0.5,329325,0.3,438679,1.75 32,1,2024-09-07 09:59:50:820,1142485,1142485,0,0,535869342315,5567765619,1135681,5984,820,381,391646,0 32,2,2024-09-07 09:59:50:934,824996,824996,0,0,30108733,0,3922 32,3,2024-09-07 09:59:51:016,1,649,0,0,304,5269,649,0 33,0,2024-09-07 09:59:51:495,160147,0.3,159753,0.4,319536,0.2,425302,1.50 33,1,2024-09-07 09:59:50:589,1143744,1143744,0,0,537070813642,5559750209,1135065,7404,1275,368,391730,0 33,2,2024-09-07 09:59:50:764,820437,820402,35,0,33818062,0,7012 33,3,2024-09-07 09:59:50:895,1,649,1,0,329,5630,649,0 34,0,2024-09-07 09:59:50:944,159574,0.3,163982,0.5,313573,0.2,423534,1.75 34,1,2024-09-07 09:59:51:045,1145559,1145559,0,0,538128200573,5535008742,1142121,3316,122,367,391637,0 34,2,2024-09-07 09:59:50:769,821335,821335,0,0,31788373,0,4562 34,3,2024-09-07 09:59:51:695,1,649,1,0,541,5640,649,0 35,0,2024-09-07 09:59:50:875,165148,0.3,165732,0.5,332469,0.2,442100,1.75 35,1,2024-09-07 09:59:51:072,1141659,1141659,0,0,536054559434,5560614104,1133156,6970,1533,382,391769,0 35,2,2024-09-07 09:59:51:583,821166,821166,0,0,35827752,0,4055 35,3,2024-09-07 09:59:50:907,1,649,8,0,466,6027,649,0 36,0,2024-09-07 09:59:51:520,165328,0.5,165597,0.6,330520,0.4,440226,2.00 36,1,2024-09-07 09:59:50:606,1140105,1140105,0,0,534621274732,5579375712,1126166,11693,2246,366,391759,0 36,2,2024-09-07 09:59:51:751,825052,825052,0,0,36938697,0,3875 36,3,2024-09-07 09:59:50:863,1,649,1,0,556,8577,649,0 37,0,2024-09-07 09:59:51:384,158525,0.5,158615,0.7,317469,0.5,423412,2.25 37,1,2024-09-07 09:59:50:578,1139783,1139776,0,7,534968580466,5585193806,1126362,10492,2922,365,391770,0 37,2,2024-09-07 09:59:51:143,817133,817118,15,0,36152363,0,5815 37,3,2024-09-07 09:59:51:767,1,649,94,0,888,8856,649,0 38,0,2024-09-07 09:59:51:448,158174,0.4,153332,0.6,320718,0.3,420240,2.00 38,1,2024-09-07 09:59:51:605,1139452,1139452,0,0,535143560230,5594859052,1123031,13125,3296,368,391821,0 38,2,2024-09-07 09:59:50:760,819179,819132,47,0,36790528,0,6710 38,3,2024-09-07 09:59:51:006,1,649,0,0,689,7517,649,0 39,0,2024-09-07 09:59:51:758,168152,0.5,164305,0.7,320599,0.5,438071,2.00 39,1,2024-09-07 09:59:50:730,1139310,1139310,0,0,534718591007,5604259406,1120806,14316,4188,365,391865,0 39,2,2024-09-07 09:59:51:416,821020,821020,0,0,35102888,0,3391 39,3,2024-09-07 09:59:50:723,1,649,1,0,525,7275,649,0 40,0,2024-09-07 09:59:51:488,164507,0.9,165255,1.0,329795,1.0,440313,2.75 40,1,2024-09-07 09:59:50:578,1139336,1139336,0,0,533667535959,5592662594,1121959,14082,3295,366,391668,0 40,2,2024-09-07 09:59:51:322,821030,821023,7,0,41361150,0,5347 40,3,2024-09-07 09:59:51:142,1,649,1,0,1028,9291,649,0 41,0,2024-09-07 09:59:51:022,160396,1.2,164127,1.1,313093,1.7,425027,3.00 41,1,2024-09-07 09:59:50:774,1138622,1138622,0,0,535099518500,5596336256,1123956,12364,2302,369,391878,0 41,2,2024-09-07 09:59:50:759,815490,815489,1,0,39709218,0,5408 41,3,2024-09-07 09:59:51:679,1,649,6,0,366,6893,649,0 42,0,2024-09-07 09:59:51:480,159589,0.9,159242,1.0,318761,1.0,422619,2.50 42,1,2024-09-07 09:59:51:442,1136680,1136680,0,0,533178203092,5599201151,1119521,14203,2956,380,391675,0 42,2,2024-09-07 09:59:51:135,817388,817387,1,0,40917175,0,5513 42,3,2024-09-07 09:59:51:013,1,649,6,0,892,6229,649,0 43,0,2024-09-07 09:59:50:918,160523,0.8,156270,0.9,327594,0.8,429007,2.25 43,1,2024-09-07 09:59:50:578,1139541,1139541,0,0,534375850514,5583813702,1123789,13006,2746,366,391696,0 43,2,2024-09-07 09:59:51:737,821460,821460,0,0,38233286,0,4723 43,3,2024-09-07 09:59:51:749,1,649,8,0,571,9049,649,0 44,0,2024-09-07 09:59:50:921,167856,0.4,168019,0.6,335315,0.4,446953,2.00 44,1,2024-09-07 09:59:50:728,1142942,1142942,0,0,536068593778,5541289925,1132825,8046,2071,356,391809,0 44,2,2024-09-07 09:59:51:270,820618,820618,0,0,30941933,0,4344 44,3,2024-09-07 09:59:51:098,1,649,56,0,1097,8002,649,0 45,0,2024-09-07 09:59:51:757,161471,0.5,157846,0.7,331297,0.4,434184,2.00 45,1,2024-09-07 09:59:51:006,1141969,1141969,0,0,536404589284,5573852455,1133002,7748,1219,382,391917,0 45,2,2024-09-07 09:59:51:268,822090,822090,0,0,30974096,0,3596 45,3,2024-09-07 09:59:50:941,1,649,0,0,531,6057,649,0 46,0,2024-09-07 09:59:50:958,159036,0.5,158529,0.7,318060,0.5,423210,2.00 46,1,2024-09-07 09:59:50:576,1144184,1144184,0,0,536774744669,5548862795,1136236,6899,1049,366,391709,0 46,2,2024-09-07 09:59:50:594,821472,821472,0,0,31993257,0,4443 46,3,2024-09-07 09:59:51:135,1,649,53,0,908,7478,649,0 47,0,2024-09-07 09:59:51:106,160973,0.4,160638,0.6,322718,0.4,427866,2.00 47,1,2024-09-07 09:59:50:576,1145252,1145252,0,0,537039148769,5548424965,1138527,5783,942,364,391641,0 47,2,2024-09-07 09:59:50:908,823734,823734,0,0,31658218,0,4477 47,3,2024-09-07 09:59:51:115,1,649,9,0,600,6978,649,0 48,0,2024-09-07 09:59:51:491,166569,0.3,167081,0.4,333063,0.2,443463,1.50 48,1,2024-09-07 09:59:51:022,1142354,1142354,0,0,536074418002,5563877171,1136054,5720,580,381,391710,0 48,2,2024-09-07 09:59:50:701,821416,821416,0,0,29304531,0,3524 48,3,2024-09-07 09:59:50:758,1,649,1,0,339,5585,649,0 49,0,2024-09-07 09:59:51:714,169264,0.3,165867,0.5,322633,0.3,440193,1.75 49,1,2024-09-07 09:59:51:021,1141684,1141684,0,0,535461112029,5566768297,1134182,5806,1696,382,391809,0 49,2,2024-09-07 09:59:51:798,825273,825273,0,0,31552651,0,4426 49,3,2024-09-07 09:59:51:416,1,649,2,0,992,7540,649,0 50,0,2024-09-07 09:59:51:510,159736,0.3,158282,0.5,318815,0.2,423916,1.75 50,1,2024-09-07 09:59:51:015,1144516,1144516,0,0,538223801977,5561419098,1137613,6232,671,368,391691,0 50,2,2024-09-07 09:59:51:076,818649,818649,0,0,29078016,0,4490 50,3,2024-09-07 09:59:51:291,1,649,193,0,617,6548,649,0 51,0,2024-09-07 09:59:51:693,163547,0.3,160032,0.5,311558,0.2,425065,1.75 51,1,2024-09-07 09:59:51:684,1144706,1144706,0,0,538691353113,5553499704,1138317,5051,1338,365,391706,0 51,2,2024-09-07 09:59:51:316,820385,820385,0,0,28778853,0,3337 51,3,2024-09-07 09:59:51:029,1,649,1,0,678,4634,649,0 52,0,2024-09-07 09:59:51:413,165844,0.5,165756,0.6,331345,0.4,440671,2.00 52,1,2024-09-07 09:59:50:578,1139781,1139781,0,0,535097491816,5595240658,1124482,12963,2336,368,391805,0 52,2,2024-09-07 09:59:51:759,817281,817243,38,0,39417055,0,6742 52,3,2024-09-07 09:59:50:680,1,649,1,0,1782,7428,649,0 53,0,2024-09-07 09:59:51:771,164715,0.6,159811,0.8,334338,0.7,437905,2.25 53,1,2024-09-07 09:59:50:773,1137817,1137817,0,0,534849994284,5607478638,1119181,14229,4407,367,391968,0 53,2,2024-09-07 09:59:51:298,825295,825294,1,0,34721805,0,5455 53,3,2024-09-07 09:59:50:696,1,649,8,0,308,5906,649,0 54,0,2024-09-07 09:59:51:619,158589,0.6,158868,0.7,316623,0.5,422476,2.25 54,1,2024-09-07 09:59:50:582,1141258,1141258,0,0,535275177061,5563432628,1129948,9638,1672,366,391810,0 54,2,2024-09-07 09:59:50:866,819479,819447,32,0,38759541,0,6397 54,3,2024-09-07 09:59:50:763,1,649,1,0,676,8172,649,0 55,0,2024-09-07 09:59:51:758,153972,0.6,158823,0.8,322109,0.5,419293,2.50 55,1,2024-09-07 09:59:50:769,1141704,1141704,0,0,535730428826,5569779410,1130017,10219,1468,365,391731,0 55,2,2024-09-07 09:59:50:730,818258,818202,56,0,35968486,0,7239 55,3,2024-09-07 09:59:50:674,1,649,8,0,304,5913,649,0 56,0,2024-09-07 09:59:51:566,166051,1.2,156485,1.1,322455,1.5,432107,2.75 56,1,2024-09-07 09:59:50:576,1134554,1134554,0,0,532618033271,5631182780,1115779,15024,3751,381,391867,0 56,2,2024-09-07 09:59:51:315,820051,819929,122,0,38743631,0,7432 56,3,2024-09-07 09:59:51:063,1,649,11,0,705,8014,649,0 57,0,2024-09-07 09:59:50:954,165197,1.4,164991,1.2,330115,2.0,440922,3.00 57,1,2024-09-07 09:59:51:001,1137078,1137078,0,0,533423225789,5598375235,1121641,12747,2690,366,392032,0 57,2,2024-09-07 09:59:51:319,821751,821751,0,0,41001082,0,4804 57,3,2024-09-07 09:59:51:739,1,649,4,0,455,7203,649,0 58,0,2024-09-07 09:59:50:563,160063,0.9,155458,1.0,325531,1.2,425806,2.50 58,1,2024-09-07 09:59:50:576,1138232,1138229,0,3,534420673961,5603527839,1120633,13283,4313,367,391726,3 58,2,2024-09-07 09:59:51:078,820078,820078,0,0,37563326,0,3483 58,3,2024-09-07 09:59:51:068,1,649,1,0,1043,7048,649,0 59,0,2024-09-07 09:59:51:751,159290,0.8,158636,1.0,317199,0.9,421179,2.75 59,1,2024-09-07 09:59:50:808,1137989,1137989,0,0,534186410508,5613594276,1119048,15106,3835,369,391653,0 59,2,2024-09-07 09:59:50:590,819965,819965,0,0,35798878,0,3727 59,3,2024-09-07 09:59:51:737,1,649,31,0,1015,7794,649,0 60,0,2024-09-07 09:59:51:734,160945,0.5,160971,0.7,322186,0.5,429552,1.75 60,1,2024-09-07 09:59:50:783,1142280,1142280,0,0,536673356773,5581025358,1133230,7883,1167,370,392031,0 60,2,2024-09-07 09:59:51:160,822257,822257,0,0,34181374,0,3811 60,3,2024-09-07 09:59:51:263,1,649,2,0,409,7215,649,0 61,0,2024-09-07 09:59:51:516,167454,0.6,168122,0.8,334686,0.6,446974,2.00 61,1,2024-09-07 09:59:50:798,1139530,1139530,0,0,534524629671,5594269544,1127805,10022,1703,382,392127,0 61,2,2024-09-07 09:59:51:121,821210,821143,67,0,34667395,0,6411 61,3,2024-09-07 09:59:51:687,1,649,1,0,607,8055,649,0 62,0,2024-09-07 09:59:51:715,164878,0.6,169144,0.7,322929,0.6,438626,2.00 62,1,2024-09-07 09:59:51:111,1146313,1146307,0,6,538109933848,5551188031,1139930,5908,469,365,391975,6 62,2,2024-09-07 09:59:51:645,820731,820730,1,0,34589799,0,5555 62,3,2024-09-07 09:59:51:142,1,649,1,0,482,5076,649,0 63,0,2024-09-07 09:59:51:463,160084,0.4,159799,0.6,320283,0.3,425606,1.75 63,1,2024-09-07 09:59:50:826,1142794,1142788,0,6,536025575890,5565362051,1135614,6317,857,381,391800,6 63,2,2024-09-07 09:59:50:762,820045,820045,0,0,32907975,0,4369 63,3,2024-09-07 09:59:51:736,1,649,8,0,667,6493,649,0 64,0,2024-09-07 09:59:51:516,158573,0.5,158515,0.6,316671,0.4,421978,2.00 64,1,2024-09-07 09:59:50:759,1141199,1141199,0,0,536836460851,5586876999,1131766,7453,1980,370,391794,0 64,2,2024-09-07 09:59:51:153,823969,823950,19,0,31913265,0,6121 64,3,2024-09-07 09:59:51:165,1,649,2,0,651,7156,649,0 65,0,2024-09-07 09:59:51:699,164767,0.5,165010,0.7,329672,0.5,439705,2.00 65,1,2024-09-07 09:59:50:859,1139658,1139658,0,0,534347979966,5582252588,1131875,6905,878,381,391901,0 65,2,2024-09-07 09:59:51:708,819621,819621,0,0,38185042,0,3367 65,3,2024-09-07 09:59:51:686,1,649,1,0,782,7866,649,0 66,0,2024-09-07 09:59:51:762,164584,0.5,164070,0.7,328385,0.5,436973,2.00 66,1,2024-09-07 09:59:51:293,1141622,1141622,0,0,535571544112,5572025793,1134372,6379,871,380,391743,0 66,2,2024-09-07 09:59:51:132,826975,826972,3,0,34169164,0,5455 66,3,2024-09-07 09:59:51:080,1,649,1,0,291,5840,649,0 67,0,2024-09-07 09:59:51:418,159246,0.5,158767,0.7,318453,0.5,423995,2.00 67,1,2024-09-07 09:59:50:769,1142288,1142287,0,1,535748926850,5574983628,1134690,6652,945,380,391787,1 67,2,2024-09-07 09:59:50:583,822617,822602,15,0,33354980,0,6205 67,3,2024-09-07 09:59:51:750,1,649,8,0,595,6560,649,0 68,0,2024-09-07 09:59:50:665,159015,0.6,158694,0.7,316272,0.5,423291,2.00 68,1,2024-09-07 09:59:50:584,1137441,1137441,0,0,533239403053,5605888592,1122309,11350,3782,381,391953,0 68,2,2024-09-07 09:59:51:044,815738,815638,100,0,41759822,0,8578 68,3,2024-09-07 09:59:50:732,1,649,80,0,417,8351,649,0 69,0,2024-09-07 09:59:51:756,163915,0.6,164567,0.8,328205,0.6,436825,2.25 69,1,2024-09-07 09:59:51:023,1136444,1136444,0,0,532973769417,5613588236,1122057,11409,2978,383,391994,0 69,2,2024-09-07 09:59:51:738,818262,818233,29,0,45421035,0,6912 69,3,2024-09-07 09:59:50:768,1,649,143,0,698,9100,649,0 70,0,2024-09-07 09:59:51:531,164213,0.8,164875,0.9,330847,0.7,438562,2.50 70,1,2024-09-07 09:59:50:830,1142846,1142846,0,0,536987942754,5568887118,1134571,7460,815,366,391725,0 70,2,2024-09-07 09:59:51:327,823633,823633,0,0,37101329,0,4323 70,3,2024-09-07 09:59:50:758,1,649,1,0,854,6928,649,0 71,0,2024-09-07 09:59:51:365,160155,0.9,159721,1.0,320765,1.1,427245,2.50 71,1,2024-09-07 09:59:51:607,1140980,1140980,0,0,535667733071,5587356572,1127339,12015,1626,367,391738,0 71,2,2024-09-07 09:59:51:073,818219,818219,0,0,36633050,0,4352 71,3,2024-09-07 09:59:51:753,1,649,10,0,644,7035,649,0 72,0,2024-09-07 09:59:51:039,165793,0.5,161943,0.7,316230,0.5,429848,2.00 72,1,2024-09-07 09:59:51:030,1138615,1138615,0,0,534131424709,5600240739,1122486,13443,2686,369,391819,0 72,2,2024-09-07 09:59:51:763,818027,818027,0,0,39072008,0,3983 72,3,2024-09-07 09:59:51:754,1,649,1,0,564,9267,649,0 73,0,2024-09-07 09:59:51:114,158063,0.4,162047,0.6,331161,0.4,431629,2.00 73,1,2024-09-07 09:59:50:778,1140678,1140678,0,0,535827860799,5567003049,1132214,7481,983,367,391858,0 73,2,2024-09-07 09:59:51:748,820528,820527,1,0,40954947,0,5027 73,3,2024-09-07 09:59:50:981,1,649,9,0,1091,8828,649,0 74,0,2024-09-07 09:59:51:330,168696,0.5,172690,0.7,329727,0.4,447236,2.00 74,1,2024-09-07 09:59:50:644,1139427,1139427,0,0,535101262203,5584027457,1127322,9965,2140,381,391762,0 74,2,2024-09-07 09:59:51:004,820306,820306,0,0,35913156,0,4253 74,3,2024-09-07 09:59:51:442,1,649,1,0,522,7591,649,0 75,0,2024-09-07 09:59:51:764,163516,0.5,162497,0.7,326186,0.5,435515,2.25 75,1,2024-09-07 09:59:51:593,1140597,1140597,0,0,535851086192,5582961496,1131218,8447,932,380,391739,0 75,2,2024-09-07 09:59:51:349,819936,819936,0,0,42501667,0,4766 75,3,2024-09-07 09:59:51:068,1,649,10,0,918,8993,649,0 76,0,2024-09-07 09:59:50:588,159436,0.5,158762,0.7,317492,0.5,424851,2.25 76,1,2024-09-07 09:59:50:812,1140217,1140217,0,0,535386355206,5583659944,1131922,6993,1302,382,391790,0 76,2,2024-09-07 09:59:51:063,822327,822324,3,0,35129552,0,5265 76,3,2024-09-07 09:59:51:147,1,649,1,0,227,5908,649,0 77,0,2024-09-07 09:59:51:693,159948,0.6,160366,0.7,320998,0.5,426848,2.00 77,1,2024-09-07 09:59:50:826,1140491,1140491,0,0,535573830491,5588406100,1131460,8005,1026,381,391869,0 77,2,2024-09-07 09:59:51:283,820049,820049,0,0,34060122,0,3890 77,3,2024-09-07 09:59:51:103,1,649,7,0,401,7257,649,0 78,0,2024-09-07 09:59:51:721,166963,0.4,166296,0.6,333673,0.4,441531,2.00 78,1,2024-09-07 09:59:50:619,1139784,1139784,0,0,534198553223,5573960028,1125562,11077,3145,367,391670,0 78,2,2024-09-07 09:59:51:404,821585,821572,13,0,32046519,0,8313 78,3,2024-09-07 09:59:51:138,1,649,12,0,311,5424,649,0 79,0,2024-09-07 09:59:51:349,159068,0.4,162908,0.6,333801,0.3,434736,2.00 79,1,2024-09-07 09:59:50:689,1144189,1144189,0,0,536495321694,5557008148,1134880,7537,1772,367,391682,0 79,2,2024-09-07 09:59:51:077,824742,824742,0,0,30941253,0,4195 79,3,2024-09-07 09:59:50:755,1,649,16,0,418,7385,649,0 80,0,2024-09-07 09:59:51:101,159269,0.5,163570,0.7,312390,0.5,422914,2.00 80,1,2024-09-07 09:59:51:625,1140432,1140432,0,0,535717231506,5574744479,1133140,6835,457,368,392269,0 80,2,2024-09-07 09:59:51:099,822334,822334,0,0,31227439,0,4433 80,3,2024-09-07 09:59:50:582,1,649,1,0,681,7825,649,0 81,0,2024-09-07 09:59:51:567,159245,0.5,162960,0.7,311146,0.5,422183,2.00 81,1,2024-09-07 09:59:51:657,1139534,1139534,0,0,534062317061,5580496677,1130329,8235,970,382,391885,0 81,2,2024-09-07 09:59:51:128,819532,819469,63,0,34578162,0,5932 81,3,2024-09-07 09:59:51:118,1,649,4,0,719,7175,649,0 82,0,2024-09-07 09:59:51:551,165292,0.5,165424,0.7,331341,0.4,439857,2.00 82,1,2024-09-07 09:59:50:590,1141456,1141452,0,4,535928363025,5569319901,1135644,4982,826,381,391768,4 82,2,2024-09-07 09:59:51:690,823219,823219,0,0,29462999,0,4484 82,3,2024-09-07 09:59:51:752,1,649,1,0,363,5917,649,0 83,0,2024-09-07 09:59:51:524,165281,0.6,165173,0.7,329918,0.6,438257,2.00 83,1,2024-09-07 09:59:50:567,1140205,1140205,0,0,534982730409,5576312160,1131883,7327,995,382,391709,0 83,2,2024-09-07 09:59:50:763,825001,824976,25,0,31776979,0,5612 83,3,2024-09-07 09:59:50:758,1,649,55,0,1260,7526,649,0 84,0,2024-09-07 09:59:51:770,158927,0.7,159033,0.9,317856,0.7,424236,2.25 84,1,2024-09-07 09:59:51:041,1138473,1138473,0,0,534317400764,5587176536,1125578,11011,1884,367,391967,0 84,2,2024-09-07 09:59:50:573,817634,817224,410,0,45261936,0,17037 84,3,2024-09-07 09:59:51:147,1,649,2,0,908,8653,649,0 85,0,2024-09-07 09:59:51:002,153383,0.6,153311,0.8,325511,0.6,419715,2.25 85,1,2024-09-07 09:59:50:576,1135574,1135574,0,0,533731900561,5628590545,1119019,13752,2803,381,392092,0 85,2,2024-09-07 09:59:50:865,818717,818717,0,0,38877144,0,4255 85,3,2024-09-07 09:59:50:687,1,649,1,0,789,7200,649,0 86,0,2024-09-07 09:59:50:889,162521,0.7,167065,0.8,319690,0.7,432684,2.25 86,1,2024-09-07 09:59:50:826,1138321,1138321,0,0,534320206141,5601367469,1123534,11991,2796,366,392169,0 86,2,2024-09-07 09:59:50:862,820606,820605,1,0,41701154,0,5004 86,3,2024-09-07 09:59:50:601,1,649,1,0,308,8815,649,0 87,0,2024-09-07 09:59:51:303,167309,0.8,166314,0.8,333060,0.9,444771,2.25 87,1,2024-09-07 09:59:50:556,1137881,1137881,0,0,534802678086,5598071349,1124194,11884,1803,366,392076,0 87,2,2024-09-07 09:59:51:101,821682,821676,6,0,38193442,0,6323 87,3,2024-09-07 09:59:51:801,1,649,13,0,473,9004,649,0 88,0,2024-09-07 09:59:51:452,162445,0.4,162968,0.6,325685,0.4,433203,1.75 88,1,2024-09-07 09:59:50:573,1137112,1137112,0,0,532940764159,5586400491,1120866,13124,3122,365,392084,0 88,2,2024-09-07 09:59:50:688,819440,819440,0,0,40173370,0,4465 88,3,2024-09-07 09:59:51:269,1,649,2,0,1080,10251,649,0 89,0,2024-09-07 09:59:51:777,165120,0.4,160112,0.6,315970,0.4,429726,1.75 89,1,2024-09-07 09:59:50:550,1136125,1136125,0,0,534160694488,5616286873,1122348,11602,2175,382,391866,0 89,2,2024-09-07 09:59:51:136,819454,819454,0,0,38360710,0,3173 89,3,2024-09-07 09:59:51:798,1,649,4,0,468,10511,649,0 90,0,2024-09-07 09:59:51:628,156134,0.5,160351,0.6,327343,0.4,427323,2.00 90,1,2024-09-07 09:59:50:596,1138164,1138164,0,0,533793789543,5591545313,1126915,10200,1049,380,391825,0 90,2,2024-09-07 09:59:51:407,817179,817174,5,0,41709040,0,6370 90,3,2024-09-07 09:59:50:941,1,649,8,0,364,8443,649,0 91,0,2024-09-07 09:59:50:961,168062,0.5,163059,0.6,341191,0.5,447788,1.75 91,1,2024-09-07 09:59:50:558,1135194,1135194,0,0,532930187673,5619772614,1117545,13690,3959,381,392047,0 91,2,2024-09-07 09:59:51:333,820219,820219,0,0,37054940,0,4713 91,3,2024-09-07 09:59:50:602,1,649,22,0,231,6146,649,0 92,0,2024-09-07 09:59:51:454,165910,0.5,169662,0.6,323489,0.4,438841,1.75 92,1,2024-09-07 09:59:50:589,1139619,1139619,0,0,535358353769,5590872247,1130679,7635,1305,381,392136,0 92,2,2024-09-07 09:59:51:355,822553,822553,0,0,32895276,0,3906 92,3,2024-09-07 09:59:51:014,1,649,2,0,167,5433,649,0 93,0,2024-09-07 09:59:50:978,160577,0.4,164520,0.6,314216,0.3,425558,1.75 93,1,2024-09-07 09:59:50:830,1140201,1140201,0,0,534518299492,5585042774,1126557,11213,2431,365,392048,0 93,2,2024-09-07 09:59:50:952,819649,819649,0,0,38495596,0,4913 93,3,2024-09-07 09:59:51:415,1,649,43,0,505,7267,649,0 94,0,2024-09-07 09:59:51:619,158641,0.4,159751,0.5,319509,0.3,424444,1.75 94,1,2024-09-07 09:59:50:567,1140851,1140851,0,0,535043132789,5577630208,1133567,6844,440,381,391850,0 94,2,2024-09-07 09:59:50:769,820539,820511,28,0,33137069,0,6179 94,3,2024-09-07 09:59:51:693,1,649,11,0,576,7996,649,0 95,0,2024-09-07 09:59:51:357,165857,0.3,165729,0.5,331801,0.3,442056,1.75 95,1,2024-09-07 09:59:50:875,1141436,1141436,0,0,536292020723,5571882605,1132522,8152,762,365,391852,0 95,2,2024-09-07 09:59:51:021,819494,819494,0,0,33275189,0,3308 95,3,2024-09-07 09:59:51:708,1,649,8,0,718,9931,649,0 96,0,2024-09-07 09:59:51:026,164994,0.3,165227,0.5,330789,0.3,439520,1.75 96,1,2024-09-07 09:59:51:591,1139703,1139703,0,0,535162134338,5580007421,1131950,6465,1288,384,391964,0 96,2,2024-09-07 09:59:51:271,826182,826182,0,0,33652827,0,4180 96,3,2024-09-07 09:59:51:140,1,649,5,0,411,7154,649,0 97,0,2024-09-07 09:59:51:317,159308,0.3,159289,0.5,319076,0.3,423779,1.50 97,1,2024-09-07 09:59:50:763,1141845,1141845,0,0,536179728918,5560648609,1134850,5951,1044,367,392140,0 97,2,2024-09-07 09:59:50:608,820808,820808,0,0,33094595,0,4046 97,3,2024-09-07 09:59:50:575,1,649,1,0,242,7315,649,0 98,0,2024-09-07 09:59:51:815,158602,0.3,158546,0.4,318584,0.2,423669,1.50 98,1,2024-09-07 09:59:50:587,1141155,1141155,0,0,535883133116,5579102098,1134589,5725,841,381,391997,0 98,2,2024-09-07 09:59:50:772,819091,819091,0,0,31894143,0,4336 98,3,2024-09-07 09:59:50:701,1,649,2,0,840,9339,649,0 99,0,2024-09-07 09:59:51:450,164416,0.3,165337,0.5,329248,0.3,439459,1.75 99,1,2024-09-07 09:59:51:730,1141798,1141798,0,0,535428562736,5569731769,1134914,5916,968,380,392069,0 99,2,2024-09-07 09:59:51:417,820675,820675,0,0,40890634,0,4276 99,3,2024-09-07 09:59:50:591,1,649,12,0,1124,8168,649,0 100,0,2024-09-07 09:59:51:569,165718,0.8,166188,0.9,332075,0.9,443077,2.50 100,1,2024-09-07 09:59:50:550,1134607,1134607,0,0,532855014913,5631915494,1117238,13874,3495,378,391989,0 100,2,2024-09-07 09:59:51:816,819564,819175,389,0,42919228,0,16909 100,3,2024-09-07 09:59:51:741,1,649,1,0,627,10460,649,0 101,0,2024-09-07 09:59:51:711,164730,1.0,160754,1.0,314518,1.0,429089,2.25 101,1,2024-09-07 09:59:50:564,1137113,1137113,0,0,533903380023,5608067124,1122080,12353,2680,368,391847,0 101,2,2024-09-07 09:59:51:756,814434,814434,0,0,42620726,0,4871 101,3,2024-09-07 09:59:50:941,1,649,5,0,1250,9569,649,0 102,0,2024-09-07 09:59:51:015,156200,0.6,160930,0.8,326919,0.6,427014,2.25 102,1,2024-09-07 09:59:51:144,1136662,1136662,0,0,534156348028,5608303310,1121785,12668,2209,369,391984,0 102,2,2024-09-07 09:59:51:743,820126,820072,54,0,36615286,0,6768 102,3,2024-09-07 09:59:51:617,1,649,10,0,466,7478,649,0 103,0,2024-09-07 09:59:51:593,167552,0.5,167579,0.7,315655,0.5,434985,2.00 103,1,2024-09-07 09:59:51:634,1135887,1135887,0,0,533389162871,5629269879,1118299,13972,3616,381,392077,0 103,2,2024-09-07 09:59:50:595,819353,819353,0,0,39696847,0,3766 103,3,2024-09-07 09:59:50:773,1,649,47,0,916,7550,649,0 104,0,2024-09-07 09:59:51:011,166417,0.7,166755,0.9,332615,0.7,444482,2.25 104,1,2024-09-07 09:59:51:623,1138142,1138142,0,0,533555179133,5608456152,1120751,14146,3245,365,392168,0 104,2,2024-09-07 09:59:51:666,818954,818954,0,0,39401078,0,4161 104,3,2024-09-07 09:59:51:418,1,649,1,0,1245,11046,649,0 105,0,2024-09-07 09:59:51:032,161470,0.9,157437,1.0,329863,1.0,433453,2.50 105,1,2024-09-07 09:59:50:555,1139997,1139997,0,0,535575209613,5608411619,1125994,12047,1956,364,392009,0 105,2,2024-09-07 09:59:51:329,819954,819954,0,0,39902711,0,4360 105,3,2024-09-07 09:59:51:305,1,649,1,0,573,9789,649,0 106,0,2024-09-07 09:59:50:948,154395,0.9,158203,1.0,323977,1.0,423231,2.50 106,1,2024-09-07 09:59:51:751,1137786,1137786,0,0,533847116637,5601408041,1122006,13754,2026,368,391914,0 106,2,2024-09-07 09:59:50:774,818924,818924,0,0,37080333,0,3331 106,3,2024-09-07 09:59:50:683,1,649,5,0,1224,8995,649,0 107,0,2024-09-07 09:59:51:183,160084,1.0,160233,1.0,320419,1.2,427820,2.25 107,1,2024-09-07 09:59:50:590,1135971,1135971,0,0,532110033302,5622538169,1117847,15942,2182,381,392234,0 107,2,2024-09-07 09:59:51:303,816629,816628,1,0,40677498,0,5024 107,3,2024-09-07 09:59:51:759,1,649,4,0,733,9652,649,0 108,0,2024-09-07 09:59:51:793,165846,0.4,166670,0.6,332263,0.4,443162,1.75 108,1,2024-09-07 09:59:51:293,1139420,1139420,0,0,534916180319,5579615271,1129870,8473,1077,367,391894,0 108,2,2024-09-07 09:59:51:755,820258,820258,0,0,36072055,0,4432 108,3,2024-09-07 09:59:51:348,1,649,4,0,749,11180,649,0 109,0,2024-09-07 09:59:51:836,165542,0.4,164112,0.6,329198,0.3,439385,1.75 109,1,2024-09-07 09:59:50:590,1137257,1137257,0,0,534287856609,5605486750,1126848,8909,1500,382,392132,0 109,2,2024-09-07 09:59:50:921,822212,822212,0,0,36082255,0,3617 109,3,2024-09-07 09:59:51:145,1,649,4,0,630,7588,649,0 110,0,2024-09-07 09:59:51:772,159051,0.4,154727,0.6,323971,0.3,424810,1.75 110,1,2024-09-07 09:59:51:656,1141587,1141587,0,0,536068171760,5563982502,1132905,6658,2024,368,392045,0 110,2,2024-09-07 09:59:51:320,820366,820366,0,0,33928951,0,4067 110,3,2024-09-07 09:59:50:694,1,649,1,0,722,8734,649,0 111,0,2024-09-07 09:59:51:422,160039,0.4,159213,0.5,318128,0.3,424748,1.75 111,1,2024-09-07 09:59:51:016,1143193,1143193,0,0,537507123939,5575458943,1137038,5772,383,380,391690,0 111,2,2024-09-07 09:59:51:124,819717,819717,0,0,34422152,0,4823 111,3,2024-09-07 09:59:50:913,1,649,1,0,379,7260,649,0 112,0,2024-09-07 09:59:50:909,166100,0.3,165606,0.4,331852,0.2,441025,1.50 112,1,2024-09-07 09:59:50:836,1141944,1141944,0,0,535768314434,5560966679,1134581,6138,1225,380,391624,0 112,2,2024-09-07 09:59:51:135,820879,820878,1,0,32765880,0,5036 112,3,2024-09-07 09:59:50:592,1,649,2,0,282,6255,649,0 113,0,2024-09-07 09:59:50:869,165298,0.3,165341,0.5,331208,0.2,440488,1.50 113,1,2024-09-07 09:59:51:686,1144525,1144525,0,0,538285793835,5551955952,1137977,5600,948,365,391664,0 113,2,2024-09-07 09:59:51:305,827138,827138,0,0,30358161,0,3813 113,3,2024-09-07 09:59:50:684,1,649,1,0,510,6728,649,0 114,0,2024-09-07 09:59:50:885,160501,0.3,161508,0.5,322217,0.2,429623,1.75 114,1,2024-09-07 09:59:50:722,1141864,1141864,0,0,535524614596,5558202054,1133694,6421,1749,381,391565,0 114,2,2024-09-07 09:59:50:875,821425,821424,1,0,31518955,0,5069 114,3,2024-09-07 09:59:51:278,1,649,1,0,415,5386,649,0 115,0,2024-09-07 09:59:50:554,160261,0.3,160759,0.4,320217,0.2,425916,1.50 115,1,2024-09-07 09:59:50:571,1142021,1142021,0,0,536201968719,5566622282,1133488,7011,1522,382,391757,0 115,2,2024-09-07 09:59:51:128,821428,821428,0,0,31582368,0,4382 115,3,2024-09-07 09:59:51:002,1,649,8,0,167,3967,649,0 116,0,2024-09-07 09:59:51:705,162378,0.7,162218,0.9,325316,0.7,434935,2.00 116,1,2024-09-07 09:59:50:807,1135524,1135524,0,0,533755178981,5622324258,1122096,10221,3207,380,392089,0 116,2,2024-09-07 09:59:51:752,821190,821190,0,0,39380570,0,4475 116,3,2024-09-07 09:59:50:913,1,649,1,0,448,8148,649,0 117,0,2024-09-07 09:59:50:957,167371,0.7,166892,0.8,334007,0.7,446168,2.00 117,1,2024-09-07 09:59:51:578,1137863,1137863,0,0,533601094632,5585075686,1125615,10667,1581,369,392429,0 117,2,2024-09-07 09:59:51:119,824045,824045,0,0,35712528,0,4303 117,3,2024-09-07 09:59:51:060,1,649,1,0,490,8068,649,0 118,0,2024-09-07 09:59:51:814,157605,0.5,161821,0.7,330259,0.5,431760,2.00 118,1,2024-09-07 09:59:50:592,1137035,1137035,0,0,533192239795,5601379776,1120782,12602,3651,366,392054,0 118,2,2024-09-07 09:59:51:592,818680,818680,0,0,38214795,0,2842 118,3,2024-09-07 09:59:51:766,1,649,3,0,343,7855,649,0 119,0,2024-09-07 09:59:51:345,160339,0.7,160813,0.8,321087,0.8,428234,2.25 119,1,2024-09-07 09:59:50:550,1138002,1138002,0,0,534586041135,5602583712,1123178,12309,2515,367,391857,0 119,2,2024-09-07 09:59:51:262,820902,820902,0,0,35488496,0,4309 119,3,2024-09-07 09:59:51:324,1,649,9,0,1358,11760,649,0 120,0,2024-09-07 09:59:51:558,160200,0.7,159972,0.8,321384,0.7,428654,2.25 120,1,2024-09-07 09:59:50:867,1138654,1138654,0,0,533992732366,5599192311,1126360,11138,1156,367,392144,0 120,2,2024-09-07 09:59:50:772,819645,819642,3,0,42844715,0,5363 120,3,2024-09-07 09:59:51:290,1,649,7,0,478,8327,649,0 121,0,2024-09-07 09:59:51:706,167730,1.1,167218,1.0,334457,1.5,446268,2.25 121,1,2024-09-07 09:59:51:657,1138079,1138079,0,0,534289881381,5591527090,1125762,10477,1840,366,391840,0 121,2,2024-09-07 09:59:51:128,820218,820218,0,0,39293946,0,4157 121,3,2024-09-07 09:59:50:735,1,649,1,0,387,8455,649,0 122,0,2024-09-07 09:59:51:759,163792,0.7,159319,0.9,333513,0.8,438697,2.00 122,1,2024-09-07 09:59:50:860,1137487,1137487,0,0,533699202948,5598367112,1122293,12775,2419,365,392130,0 122,2,2024-09-07 09:59:51:328,822673,822598,75,0,42792127,0,5989 122,3,2024-09-07 09:59:50:596,1,649,2,0,512,10076,649,0 123,0,2024-09-07 09:59:50:948,158871,0.8,154661,0.9,324205,0.9,424091,2.25 123,1,2024-09-07 09:59:50:572,1138056,1138056,0,0,533779398654,5612313820,1120077,15106,2873,369,392039,0 123,2,2024-09-07 09:59:51:018,818181,818180,1,0,36619350,0,5215 123,3,2024-09-07 09:59:51:131,1,649,2,0,478,7255,649,0 124,0,2024-09-07 09:59:50:942,163660,0.3,163683,0.5,308470,0.3,425090,1.75 124,1,2024-09-07 09:59:51:025,1141993,1141993,0,0,534972577184,5559929365,1133730,7071,1192,365,392178,0 124,2,2024-09-07 09:59:51:014,821963,821910,53,0,33883304,0,6487 124,3,2024-09-07 09:59:50:770,1,649,1,0,490,6481,649,0 125,0,2024-09-07 09:59:51:547,165599,0.4,165485,0.5,331768,0.3,442224,1.75 125,1,2024-09-07 09:59:50:865,1138856,1138856,0,0,534696226418,5583171886,1129569,7989,1298,382,392045,0 125,2,2024-09-07 09:59:51:125,822702,822702,0,0,33630382,0,4534 125,3,2024-09-07 09:59:51:127,1,649,18,0,709,7465,649,0 126,0,2024-09-07 09:59:51:421,165192,0.4,169915,0.5,324812,0.3,440280,1.75 126,1,2024-09-07 09:59:50:558,1142764,1142764,0,0,536026748728,5551405197,1136263,5828,673,365,391987,0 126,2,2024-09-07 09:59:50:618,826087,826087,0,0,34937590,0,4539 126,3,2024-09-07 09:59:50:909,1,649,219,0,268,7363,649,0 127,0,2024-09-07 09:59:51:596,159715,0.3,159825,0.5,318956,0.3,424080,1.75 127,1,2024-09-07 09:59:50:576,1140494,1140494,0,0,534981249144,5567237771,1128746,10059,1689,364,392187,0 127,2,2024-09-07 09:59:50:637,819995,819991,4,0,32915628,0,5305 127,3,2024-09-07 09:59:51:267,1,649,8,0,968,6319,649,0 128,0,2024-09-07 09:59:51:560,159570,0.3,159659,0.4,319197,0.2,424402,1.50 128,1,2024-09-07 09:59:51:632,1140359,1140359,0,0,534849785039,5561716917,1131575,7818,966,367,392423,0 128,2,2024-09-07 09:59:51:394,821933,821933,0,0,30470646,0,3171 128,3,2024-09-07 09:59:50:785,1,649,2,0,1082,9860,649,0 129,0,2024-09-07 09:59:51:041,165773,0.3,164944,0.5,330803,0.3,440020,1.50 129,1,2024-09-07 09:59:50:576,1137248,1137248,0,0,534174751610,5598335781,1125705,9519,2024,379,391962,0 129,2,2024-09-07 09:59:50:690,822685,822681,4,0,33612748,0,5335 129,3,2024-09-07 09:59:50:723,1,649,4,0,506,8608,649,0 130,0,2024-09-07 09:59:51:716,166880,0.4,166657,0.6,333642,0.4,443960,1.75 130,1,2024-09-07 09:59:50:592,1142274,1142274,0,0,535475337643,5558771446,1136761,5108,405,381,391825,0 130,2,2024-09-07 09:59:51:128,824098,824098,0,0,33290006,0,4067 130,3,2024-09-07 09:59:51:294,1,649,28,0,960,8501,649,0 131,0,2024-09-07 09:59:51:924,161274,0.3,161714,0.5,324342,0.3,430632,1.50 131,1,2024-09-07 09:59:51:821,1141295,1141295,0,0,535635854652,5581244929,1133546,6550,1199,381,391865,0 131,2,2024-09-07 09:59:50:573,819918,819918,0,0,30737403,0,3979 131,3,2024-09-07 09:59:51:689,1,649,10,0,392,8115,649,0 132,0,2024-09-07 09:59:51:445,160917,0.5,161921,0.6,322148,0.4,428282,2.00 132,1,2024-09-07 09:59:50:589,1136785,1136785,0,0,533608029706,5614322580,1120732,13275,2778,381,392532,0 132,2,2024-09-07 09:59:50:699,819611,819594,17,0,39891498,0,6451 132,3,2024-09-07 09:59:51:702,1,649,0,0,1298,11395,649,0 133,0,2024-09-07 09:59:51:530,158129,0.4,161806,0.6,331968,0.4,432540,2.00 133,1,2024-09-07 09:59:50:589,1136551,1136551,0,0,533215160964,5615274398,1121629,12992,1930,383,391914,0 133,2,2024-09-07 09:59:51:092,822371,822321,50,0,40662062,0,6861 133,3,2024-09-07 09:59:51:314,1,649,1,0,528,7710,649,0 134,0,2024-09-07 09:59:50:949,168222,0.5,168236,0.7,336324,0.5,447842,2.25 134,1,2024-09-07 09:59:50:587,1137680,1137680,0,0,533869130368,5600485129,1122577,11928,3175,366,391781,0 134,2,2024-09-07 09:59:51:757,821279,821255,24,0,37657825,0,6207 134,3,2024-09-07 09:59:50:758,1,649,66,0,739,8111,649,0 135,0,2024-09-07 09:59:51:122,158248,0.7,158207,0.8,335871,0.8,432749,2.25 135,1,2024-09-07 09:59:51:605,1137607,1137607,0,0,534189877325,5615522515,1123440,12318,1849,380,391974,0 135,2,2024-09-07 09:59:50:687,822331,822331,0,0,38846709,0,4503 135,3,2024-09-07 09:59:51:004,1,649,7,0,900,6197,649,0 136,0,2024-09-07 09:59:51:640,160304,0.6,160764,0.8,319386,0.6,426531,2.00 136,1,2024-09-07 09:59:51:444,1138754,1138754,0,0,534714999831,5601058270,1126619,10742,1393,381,392135,0 136,2,2024-09-07 09:59:51:136,821771,821756,15,0,38317321,0,6007 136,3,2024-09-07 09:59:51:113,1,649,15,0,637,7524,649,0 137,0,2024-09-07 09:59:50:943,165483,0.6,161280,0.7,315845,0.7,429454,2.00 137,1,2024-09-07 09:59:50:615,1138027,1138027,0,0,534600087862,5603868492,1120923,13688,3416,366,391898,0 137,2,2024-09-07 09:59:51:705,818984,818984,0,0,39619391,0,3185 137,3,2024-09-07 09:59:50:773,1,649,19,0,484,8535,649,0 138,0,2024-09-07 09:59:51:747,165379,0.8,165784,0.9,332089,1.0,441388,2.00 138,1,2024-09-07 09:59:51:689,1137599,1137599,0,0,534440306186,5608348939,1121405,13557,2637,368,391954,0 138,2,2024-09-07 09:59:50:589,819289,819289,0,0,38038653,0,4988 138,3,2024-09-07 09:59:50:615,1,649,6,0,1200,9795,649,0 139,0,2024-09-07 09:59:51:364,163333,0.9,163979,0.9,327528,1.3,437674,2.25 139,1,2024-09-07 09:59:50:575,1133424,1133424,0,0,531614817273,5633187342,1114404,15152,3868,380,392109,0 139,2,2024-09-07 09:59:50:694,818228,818198,30,0,43516921,0,5997 139,3,2024-09-07 09:59:51:668,1,649,1,0,432,7583,649,0 140,0,2024-09-07 09:59:51:586,159637,0.3,158839,0.5,318927,0.2,425119,1.75 140,1,2024-09-07 09:59:51:535,1145469,1145469,0,0,537955676263,5549163991,1139385,5348,736,364,391628,0 140,2,2024-09-07 09:59:50:687,820386,820385,1,0,31062383,0,5036 140,3,2024-09-07 09:59:50:772,1,649,1,0,575,6563,649,0 141,0,2024-09-07 09:59:51:711,159589,0.3,164067,0.5,313549,0.2,424332,1.50 141,1,2024-09-07 09:59:50:859,1142040,1142040,0,0,535943188110,5568429774,1132821,7903,1316,379,391614,0 141,2,2024-09-07 09:59:51:687,820685,820674,11,0,33182974,0,5369 141,3,2024-09-07 09:59:51:045,1,649,1,0,391,7467,649,0 142,0,2024-09-07 09:59:51:326,166238,0.3,165423,0.5,331218,0.2,441864,1.50 142,1,2024-09-07 09:59:50:589,1140137,1140137,0,0,534850719238,5576666575,1132335,7144,658,382,392102,0 142,2,2024-09-07 09:59:51:305,819874,819842,32,0,33860658,0,6028 142,3,2024-09-07 09:59:51:750,1,649,1,0,484,6750,649,0 143,0,2024-09-07 09:59:51:379,165395,0.4,165248,0.5,331459,0.4,440574,1.75 143,1,2024-09-07 09:59:50:572,1143031,1143031,0,0,536844187259,5567975244,1136044,6324,663,367,391900,0 143,2,2024-09-07 09:59:50:773,825551,825551,0,0,33732743,0,3123 143,3,2024-09-07 09:59:51:142,1,649,26,0,462,7590,649,0 144,0,2024-09-07 09:59:51:504,155573,0.6,160066,0.7,325727,0.5,426063,2.00 144,1,2024-09-07 09:59:50:576,1137546,1137546,0,0,532735576588,5588915095,1126396,9131,2019,381,391733,0 144,2,2024-09-07 09:59:51:755,820640,820640,0,0,32643015,0,4443 144,3,2024-09-07 09:59:51:739,1,649,0,0,259,6540,649,0 145,0,2024-09-07 09:59:51:357,154393,0.6,154383,0.8,327813,0.5,421515,2.25 145,1,2024-09-07 09:59:50:560,1136408,1136408,0,0,533904878508,5616390785,1121377,12276,2755,382,391781,0 145,2,2024-09-07 09:59:51:437,818085,818003,82,0,38831400,0,7814 145,3,2024-09-07 09:59:50:895,1,649,2,0,622,8701,649,0 146,0,2024-09-07 09:59:51:628,162942,0.6,161997,0.8,325949,0.6,433423,2.25 146,1,2024-09-07 09:59:51:592,1137876,1137876,0,0,533619718736,5614079478,1119321,13735,4820,367,391770,0 146,2,2024-09-07 09:59:51:703,819687,819681,6,0,37733717,0,5151 146,3,2024-09-07 09:59:51:278,1,649,3,0,1520,10740,649,0 147,0,2024-09-07 09:59:51:723,167262,0.6,166889,0.7,333959,0.6,445219,2.00 147,1,2024-09-07 09:59:51:373,1141890,1141890,0,0,535509969133,5564512729,1132811,8046,1033,367,391791,0 147,2,2024-09-07 09:59:51:023,822494,822494,0,0,33842418,0,3359 147,3,2024-09-07 09:59:50:913,1,649,3,0,1626,10007,649,0 0,0,2024-09-07 10:00:01:702,156829,0.6,156739,0.7,332738,0.6,430140,2.00 0,1,2024-09-07 10:00:00:807,1140799,1140799,0,0,535364360666,5601853970,1131848,7998,953,368,391896,0 0,2,2024-09-07 10:00:01:066,823023,823023,0,0,33009010,0,4480 0,3,2024-09-07 10:00:00:975,1,650,0,0,431,8978,650,0 1,0,2024-09-07 10:00:01:754,167649,0.8,166692,0.9,334557,1.0,447436,2.00 1,1,2024-09-07 10:00:00:572,1139297,1139297,0,0,534767984760,5597365094,1129548,8248,1501,370,391859,0 1,2,2024-09-07 10:00:00:643,822935,822935,0,0,32413717,0,3380 1,3,2024-09-07 10:00:01:305,1,650,1,0,269,7837,650,0 2,0,2024-09-07 10:00:01:565,164597,0.6,164148,0.7,328248,0.6,438197,2.00 2,1,2024-09-07 10:00:00:865,1142700,1142700,0,0,537073869164,5582532978,1136205,5541,954,379,391805,0 2,2,2024-09-07 10:00:01:266,824194,824194,0,0,30947412,0,3594 2,3,2024-09-07 10:00:00:693,1,650,6,0,357,5585,650,0 3,0,2024-09-07 10:00:01:743,159620,0.4,159705,0.6,318894,0.4,424839,2.00 3,1,2024-09-07 10:00:01:617,1141819,1141819,0,0,535664328899,5570067607,1133754,7347,718,379,391716,0 3,2,2024-09-07 10:00:01:144,822455,822432,23,0,31876957,0,5851 3,3,2024-09-07 10:00:01:752,1,650,12,0,484,4956,650,0 4,0,2024-09-07 10:00:01:807,154990,0.4,159271,0.5,324783,0.3,425034,1.75 4,1,2024-09-07 10:00:00:611,1136401,1136401,0,0,533622407188,5645722548,1117149,14715,4537,369,391992,0 4,2,2024-09-07 10:00:01:023,819034,819034,0,0,39214015,0,4534 4,3,2024-09-07 10:00:01:034,1,650,2,0,448,8646,650,0 5,0,2024-09-07 10:00:01:401,166132,0.4,166016,0.5,332293,0.3,442033,1.75 5,1,2024-09-07 10:00:00:782,1137826,1137826,0,0,534312841236,5637581362,1120590,13011,4225,367,392005,0 5,2,2024-09-07 10:00:01:829,818587,818587,0,0,38290394,0,3582 5,3,2024-09-07 10:00:01:742,1,650,5,0,457,8639,650,0 6,0,2024-09-07 10:00:00:923,165238,0.5,164774,0.6,329548,0.4,439488,2.00 6,1,2024-09-07 10:00:00:749,1140411,1140411,0,0,535677041275,5590829456,1128952,9869,1590,379,391702,0 6,2,2024-09-07 10:00:01:116,825752,825734,18,0,36555137,0,5535 6,3,2024-09-07 10:00:01:279,1,650,1,0,710,7603,650,0 7,0,2024-09-07 10:00:01:542,158793,0.5,159933,0.7,317441,0.5,423200,2.00 7,1,2024-09-07 10:00:00:851,1137867,1137867,0,0,534225217909,5622476757,1120366,13366,4135,382,391747,0 7,2,2024-09-07 10:00:00:769,820129,820129,0,0,35179483,0,4791 7,3,2024-09-07 10:00:00:854,1,650,8,0,552,7477,650,0 8,0,2024-09-07 10:00:01:356,159596,0.4,159214,0.5,318911,0.3,425211,1.75 8,1,2024-09-07 10:00:01:016,1137174,1137174,0,0,534000015318,5613092869,1119619,13833,3722,366,392853,0 8,2,2024-09-07 10:00:00:823,815637,815635,2,0,41990801,0,5112 8,3,2024-09-07 10:00:00:586,1,650,5,0,772,9977,650,0 9,0,2024-09-07 10:00:01:139,164978,0.4,160277,0.5,335407,0.3,440426,1.75 9,1,2024-09-07 10:00:00:560,1136926,1136926,0,0,533931436040,5631112617,1118226,14595,4105,369,392001,0 9,2,2024-09-07 10:00:01:086,820571,820570,1,0,39949910,0,5281 9,3,2024-09-07 10:00:01:753,1,650,5,0,1273,10767,650,0 10,0,2024-09-07 10:00:01:606,166118,0.4,165493,0.5,332036,0.3,441765,1.75 10,1,2024-09-07 10:00:00:586,1139070,1139070,0,0,534625507267,5610997941,1121835,13706,3529,381,391981,0 10,2,2024-09-07 10:00:00:762,824889,824889,0,0,43056472,0,4713 10,3,2024-09-07 10:00:00:874,1,650,2,0,669,7049,650,0 11,0,2024-09-07 10:00:01:006,161130,0.4,156467,0.6,327470,0.4,430710,1.75 11,1,2024-09-07 10:00:00:571,1141248,1141248,0,0,535604514214,5616496034,1124859,12081,4308,383,391766,0 11,2,2024-09-07 10:00:01:127,819308,819308,0,0,37435087,0,4698 11,3,2024-09-07 10:00:01:332,1,650,16,0,843,8123,650,0 12,0,2024-09-07 10:00:00:994,161858,0.4,161652,0.5,322906,0.4,428839,1.75 12,1,2024-09-07 10:00:00:955,1140636,1140636,0,0,535415429465,5584655540,1131375,8239,1022,370,391960,0 12,2,2024-09-07 10:00:01:549,819330,819330,0,0,36795022,0,4390 12,3,2024-09-07 10:00:01:062,1,650,5,0,386,8257,650,0 13,0,2024-09-07 10:00:01:369,163390,0.4,163567,0.6,326284,0.4,434874,1.75 13,1,2024-09-07 10:00:01:527,1137897,1137897,0,0,534795380894,5623037689,1125697,9367,2833,382,391803,0 13,2,2024-09-07 10:00:00:600,824401,824401,0,0,33337838,0,3287 13,3,2024-09-07 10:00:01:763,1,650,7,0,522,8678,650,0 14,0,2024-09-07 10:00:00:580,167633,0.4,168684,0.6,335319,0.4,446227,1.75 14,1,2024-09-07 10:00:01:563,1146089,1146089,0,0,537718200175,5562912919,1137296,7662,1131,364,391673,0 14,2,2024-09-07 10:00:00:763,823554,823524,30,0,34233923,0,6104 14,3,2024-09-07 10:00:01:115,1,650,9,0,1168,6923,650,0 15,0,2024-09-07 10:00:01:556,163656,0.4,162800,0.6,325931,0.4,434745,2.00 15,1,2024-09-07 10:00:01:608,1142555,1142555,0,0,536876014196,5591785341,1132614,7934,2007,381,391619,0 15,2,2024-09-07 10:00:00:998,823381,823381,0,0,30353582,0,3622 15,3,2024-09-07 10:00:01:409,1,650,12,0,1126,8359,650,0 16,0,2024-09-07 10:00:00:937,160027,0.6,160780,0.7,320771,0.6,427259,2.00 16,1,2024-09-07 10:00:00:572,1142158,1142158,0,0,535395079372,5594181129,1132317,8374,1467,370,392194,0 16,2,2024-09-07 10:00:01:436,820878,820878,0,0,33559629,0,4719 16,3,2024-09-07 10:00:01:149,1,650,84,0,358,7891,650,0 17,0,2024-09-07 10:00:01:791,165652,0.6,161451,0.8,316391,0.6,430266,2.00 17,1,2024-09-07 10:00:00:597,1140344,1140344,0,0,535368495547,5610936280,1129758,8807,1779,368,392075,0 17,2,2024-09-07 10:00:01:666,824768,824767,1,0,35195498,0,5050 17,3,2024-09-07 10:00:00:573,1,650,1,0,518,9465,650,0 18,0,2024-09-07 10:00:00:947,165172,0.6,166385,0.8,331021,0.6,442760,2.25 18,1,2024-09-07 10:00:01:640,1144484,1144484,0,0,536646888570,5563047792,1137265,5960,1259,367,391725,0 18,2,2024-09-07 10:00:01:754,823945,823945,0,0,31491489,0,3541 18,3,2024-09-07 10:00:00:898,1,650,0,0,1059,5758,650,0 19,0,2024-09-07 10:00:01:546,164275,0.6,164728,0.8,328386,0.7,436571,2.00 19,1,2024-09-07 10:00:00:566,1144295,1144295,0,0,537090315311,5565534529,1135817,7326,1152,365,391777,0 19,2,2024-09-07 10:00:01:754,827713,827713,0,0,29458627,0,3988 19,3,2024-09-07 10:00:01:130,1,650,4,0,524,5001,650,0 20,0,2024-09-07 10:00:01:410,159312,0.5,159138,0.7,318270,0.5,424467,2.00 20,1,2024-09-07 10:00:00:567,1140232,1140232,0,0,535067096582,5604711707,1129111,9578,1543,369,391922,0 20,2,2024-09-07 10:00:00:927,820960,820960,0,0,36630087,0,4321 20,3,2024-09-07 10:00:00:593,1,650,1,0,468,9972,650,0 21,0,2024-09-07 10:00:01:155,159658,0.5,159610,0.6,319181,0.4,423893,1.75 21,1,2024-09-07 10:00:01:539,1138156,1138156,0,0,534025567233,5632915019,1120687,13545,3924,368,392016,0 21,2,2024-09-07 10:00:01:068,814988,814511,477,0,47331966,0,17074 21,3,2024-09-07 10:00:01:416,1,650,11,0,713,8902,650,0 22,0,2024-09-07 10:00:01:721,165461,0.4,166135,0.6,331850,0.4,440561,2.00 22,1,2024-09-07 10:00:01:023,1138631,1138631,0,0,534452457689,5621281028,1120332,14747,3552,381,391822,0 22,2,2024-09-07 10:00:00:761,820290,820264,26,0,35484741,0,6328 22,3,2024-09-07 10:00:01:067,1,650,2,0,228,5457,650,0 23,0,2024-09-07 10:00:01:367,165023,0.5,164874,0.6,329739,0.4,439394,2.00 23,1,2024-09-07 10:00:01:003,1139680,1139680,0,0,535871373431,5639829463,1119555,13415,6710,365,391690,0 23,2,2024-09-07 10:00:01:092,825958,825958,0,0,33671526,0,3773 23,3,2024-09-07 10:00:01:754,1,650,13,0,855,9412,650,0 24,0,2024-09-07 10:00:00:852,161411,0.4,160556,0.5,322857,0.4,428326,1.75 24,1,2024-09-07 10:00:00:585,1139412,1139412,0,0,534209743392,5590317371,1128780,8854,1778,367,392269,0 24,2,2024-09-07 10:00:01:076,819999,819996,3,0,40372471,0,6294 24,3,2024-09-07 10:00:01:687,1,650,5,0,468,8455,650,0 25,0,2024-09-07 10:00:01:340,164025,0.4,159716,0.6,313888,0.4,427058,1.75 25,1,2024-09-07 10:00:00:560,1137552,1137552,0,0,533205231402,5631436526,1117872,15774,3906,369,391928,0 25,2,2024-09-07 10:00:01:608,818581,818581,0,0,41021610,0,3978 25,3,2024-09-07 10:00:01:000,1,650,11,0,532,7180,650,0 26,0,2024-09-07 10:00:01:720,162764,0.4,158968,0.6,333727,0.4,435510,1.75 26,1,2024-09-07 10:00:01:541,1141117,1141117,0,0,535956871614,5611439911,1125698,12518,2901,380,391758,0 26,2,2024-09-07 10:00:00:861,822097,822097,0,0,43823793,0,4689 26,3,2024-09-07 10:00:01:712,1,650,1,0,796,7924,650,0 27,0,2024-09-07 10:00:01:724,167116,0.4,167906,0.6,333744,0.4,444951,2.25 27,1,2024-09-07 10:00:01:677,1142531,1142531,0,0,536299878113,5586210487,1131846,9068,1617,381,391626,0 27,2,2024-09-07 10:00:00:870,820874,820809,65,0,38267866,0,5699 27,3,2024-09-07 10:00:01:015,1,650,24,0,564,5462,650,0 28,0,2024-09-07 10:00:01:407,162705,0.4,162943,0.6,325981,0.3,433584,1.75 28,1,2024-09-07 10:00:00:798,1143228,1143228,0,0,537031047376,5596358032,1133931,7396,1901,382,391904,0 28,2,2024-09-07 10:00:01:764,821196,821196,0,0,33255471,0,2915 28,3,2024-09-07 10:00:01:776,1,650,2,0,502,6692,650,0 29,0,2024-09-07 10:00:01:379,165423,0.4,161115,0.6,315951,0.3,430812,1.75 29,1,2024-09-07 10:00:01:561,1145863,1145863,0,0,538273295802,5568693753,1138542,6302,1019,367,391809,0 29,2,2024-09-07 10:00:00:860,821199,821199,0,0,32213739,0,4986 29,3,2024-09-07 10:00:00:969,1,650,10,0,459,6767,650,0 30,0,2024-09-07 10:00:01:462,160488,0.5,156254,0.7,327484,0.5,428437,2.00 30,1,2024-09-07 10:00:00:572,1144381,1144381,0,0,538158924198,5586390531,1135404,7891,1086,380,391672,0 30,2,2024-09-07 10:00:01:290,824194,824194,0,0,31162647,0,4192 30,3,2024-09-07 10:00:00:585,1,650,1,0,519,6295,650,0 31,0,2024-09-07 10:00:01:757,167519,0.5,168289,0.7,335460,0.4,447580,2.00 31,1,2024-09-07 10:00:00:568,1148775,1148775,0,0,538704601748,5533139874,1141483,6104,1188,356,391712,0 31,2,2024-09-07 10:00:01:280,821847,821847,0,0,35146238,0,4470 31,3,2024-09-07 10:00:01:707,1,650,5,0,239,5642,650,0 32,0,2024-09-07 10:00:01:420,164689,0.3,165765,0.5,329884,0.3,439471,1.75 32,1,2024-09-07 10:00:00:807,1144324,1144324,0,0,536880819385,5578280421,1137520,5984,820,381,391646,0 32,2,2024-09-07 10:00:00:934,825693,825693,0,0,30143434,0,3922 32,3,2024-09-07 10:00:01:015,1,650,1,0,304,5270,650,0 33,0,2024-09-07 10:00:01:491,160340,0.3,159929,0.4,319960,0.2,425887,1.50 33,1,2024-09-07 10:00:00:575,1145536,1145536,0,0,537950389941,5568850446,1136857,7404,1275,368,391730,0 33,2,2024-09-07 10:00:00:759,821916,821881,35,0,33880752,0,7012 33,3,2024-09-07 10:00:00:898,1,650,266,0,329,5896,650,0 34,0,2024-09-07 10:00:00:929,159940,0.3,164406,0.5,314416,0.2,424934,1.75 34,1,2024-09-07 10:00:01:047,1147408,1147408,0,0,538852581789,5542473627,1143970,3316,122,367,391637,0 34,2,2024-09-07 10:00:00:766,822672,822672,0,0,31844213,0,4562 34,3,2024-09-07 10:00:01:687,1,650,1,0,541,5641,650,0 35,0,2024-09-07 10:00:00:859,165434,0.3,166021,0.5,333081,0.2,442850,1.75 35,1,2024-09-07 10:00:01:066,1143450,1143450,0,0,536915978616,5569479182,1134947,6970,1533,382,391769,0 35,2,2024-09-07 10:00:01:586,822224,822224,0,0,35980503,0,4055 35,3,2024-09-07 10:00:00:910,1,650,8,0,466,6035,650,0 36,0,2024-09-07 10:00:01:514,165680,0.5,165909,0.6,331223,0.4,441183,2.00 36,1,2024-09-07 10:00:00:583,1141850,1141850,0,0,535477059971,5588225840,1127911,11693,2246,366,391759,0 36,2,2024-09-07 10:00:01:751,826186,826186,0,0,36967576,0,3875 36,3,2024-09-07 10:00:00:866,1,650,1,0,556,8578,650,0 37,0,2024-09-07 10:00:01:403,158702,0.5,158773,0.7,317792,0.5,423890,2.25 37,1,2024-09-07 10:00:00:568,1141578,1141571,0,7,535804127246,5593814075,1128157,10492,2922,365,391770,0 37,2,2024-09-07 10:00:01:141,818639,818624,15,0,36225362,0,5815 37,3,2024-09-07 10:00:01:773,1,650,1,0,888,8857,650,0 38,0,2024-09-07 10:00:01:442,158501,0.4,153632,0.6,321358,0.3,421162,2.00 38,1,2024-09-07 10:00:01:605,1141343,1141343,0,0,535995661662,5603685420,1124921,13125,3297,368,391821,0 38,2,2024-09-07 10:00:00:761,820530,820483,47,0,36844925,0,6710 38,3,2024-09-07 10:00:00:997,1,650,1,0,689,7518,650,0 39,0,2024-09-07 10:00:01:759,168616,0.5,164766,0.7,321459,0.5,439228,2.00 39,1,2024-09-07 10:00:00:720,1141128,1141128,0,0,535848663442,5615749822,1122624,14316,4188,365,391865,0 39,2,2024-09-07 10:00:01:416,822028,822028,0,0,35203202,0,3391 39,3,2024-09-07 10:00:00:713,1,650,8,0,525,7283,650,0 40,0,2024-09-07 10:00:01:487,164637,0.9,165376,1.0,330018,1.0,440639,2.75 40,1,2024-09-07 10:00:00:581,1141132,1141132,0,0,534484962994,5601012998,1123755,14082,3295,366,391668,0 40,2,2024-09-07 10:00:01:304,822317,822310,7,0,41384879,0,5347 40,3,2024-09-07 10:00:01:142,1,650,2,0,1028,9293,650,0 41,0,2024-09-07 10:00:01:026,160508,1.2,164253,1.1,313295,1.7,425379,3.00 41,1,2024-09-07 10:00:00:768,1140420,1140420,0,0,535767067560,5603262342,1125754,12364,2302,369,391878,0 41,2,2024-09-07 10:00:00:759,816735,816734,1,0,39765832,0,5408 41,3,2024-09-07 10:00:01:676,1,650,1,0,366,6894,650,0 42,0,2024-09-07 10:00:01:474,159618,0.9,159281,1.0,318832,1.0,422619,2.50 42,1,2024-09-07 10:00:01:439,1138470,1138470,0,0,533975872615,5607564844,1121311,14203,2956,380,391675,0 42,2,2024-09-07 10:00:01:135,818516,818515,1,0,40951066,0,5513 42,3,2024-09-07 10:00:01:009,1,650,4,0,892,6233,650,0 43,0,2024-09-07 10:00:00:931,160872,0.8,156638,0.9,328321,0.8,429913,2.25 43,1,2024-09-07 10:00:00:575,1141237,1141237,0,0,535431090475,5594548611,1125485,13006,2746,366,391696,0 43,2,2024-09-07 10:00:01:736,822930,822930,0,0,38277675,0,4723 43,3,2024-09-07 10:00:01:749,1,650,9,0,571,9058,650,0 44,0,2024-09-07 10:00:00:856,167960,0.4,168122,0.6,335503,0.4,447192,2.00 44,1,2024-09-07 10:00:00:569,1144608,1144608,0,0,536740697704,5548393340,1134489,8048,2071,356,391809,0 44,2,2024-09-07 10:00:01:268,822040,822040,0,0,31016584,0,4344 44,3,2024-09-07 10:00:01:093,1,650,2,0,1097,8004,650,0 45,0,2024-09-07 10:00:01:777,161729,0.5,158066,0.7,331785,0.4,434768,2.00 45,1,2024-09-07 10:00:01:005,1143736,1143736,0,0,537334034821,5583768723,1134755,7762,1219,382,391917,0 45,2,2024-09-07 10:00:01:268,822775,822775,0,0,30994774,0,3596 45,3,2024-09-07 10:00:00:936,1,650,3,0,531,6060,650,0 46,0,2024-09-07 10:00:00:951,159351,0.5,158846,0.7,318688,0.5,424114,2.00 46,1,2024-09-07 10:00:00:574,1145886,1145886,0,0,537837607371,5559715954,1137938,6899,1049,366,391709,0 46,2,2024-09-07 10:00:00:594,822894,822894,0,0,32074253,0,4443 46,3,2024-09-07 10:00:01:135,1,650,0,0,908,7478,650,0 47,0,2024-09-07 10:00:01:106,161273,0.4,160919,0.6,323338,0.4,428568,2.00 47,1,2024-09-07 10:00:00:566,1146940,1146940,0,0,537795647715,5557107587,1140030,5942,968,364,391641,0 47,2,2024-09-07 10:00:00:910,825317,825317,0,0,31803422,0,4477 47,3,2024-09-07 10:00:01:115,1,650,11,0,600,6989,650,0 48,0,2024-09-07 10:00:01:488,167108,0.3,167623,0.4,334018,0.2,444868,1.50 48,1,2024-09-07 10:00:01:022,1144159,1144159,0,0,537105892273,5574719926,1137848,5731,580,381,391710,0 48,2,2024-09-07 10:00:00:712,822640,822640,0,0,29391420,0,3524 48,3,2024-09-07 10:00:00:754,1,650,1,0,339,5586,650,0 49,0,2024-09-07 10:00:01:747,169559,0.3,166143,0.5,323296,0.3,441008,1.75 49,1,2024-09-07 10:00:01:021,1143426,1143426,0,0,536401569101,5576563510,1135924,5806,1696,382,391809,0 49,2,2024-09-07 10:00:01:801,826222,826222,0,0,31608189,0,4426 49,3,2024-09-07 10:00:01:416,1,650,12,0,992,7552,650,0 50,0,2024-09-07 10:00:01:505,159871,0.3,158436,0.5,319136,0.2,424310,1.75 50,1,2024-09-07 10:00:01:010,1146330,1146330,0,0,539203904859,5571465990,1139427,6232,671,368,391691,0 50,2,2024-09-07 10:00:01:067,820135,820135,0,0,29163637,0,4490 50,3,2024-09-07 10:00:01:303,1,650,6,0,617,6554,650,0 51,0,2024-09-07 10:00:01:684,163782,0.3,160263,0.5,312059,0.2,425684,1.75 51,1,2024-09-07 10:00:01:680,1146398,1146398,0,0,539559353001,5562462644,1140009,5051,1338,365,391706,0 51,2,2024-09-07 10:00:01:319,821632,821632,0,0,28818732,0,3337 51,3,2024-09-07 10:00:01:029,1,650,1,0,678,4635,650,0 52,0,2024-09-07 10:00:01:437,166225,0.5,166144,0.6,332131,0.4,441692,2.00 52,1,2024-09-07 10:00:00:575,1141498,1141498,0,0,536029504641,5605475223,1126046,13115,2337,368,391805,0 52,2,2024-09-07 10:00:01:765,818515,818477,38,0,39468420,0,6742 52,3,2024-09-07 10:00:00:677,1,650,235,0,1782,7663,650,0 53,0,2024-09-07 10:00:01:737,164880,0.6,159967,0.8,334669,0.7,438372,2.25 53,1,2024-09-07 10:00:00:772,1139519,1139519,0,0,535767950355,5616862894,1120882,14230,4407,367,391968,0 53,2,2024-09-07 10:00:01:336,826454,826453,1,0,34753259,0,5455 53,3,2024-09-07 10:00:00:697,1,650,1,0,308,5907,650,0 54,0,2024-09-07 10:00:01:612,158695,0.6,158969,0.7,316802,0.5,422720,2.25 54,1,2024-09-07 10:00:00:583,1142952,1142952,0,0,536061815205,5571498337,1131642,9638,1672,366,391810,0 54,2,2024-09-07 10:00:00:865,820702,820670,32,0,38970958,0,6397 54,3,2024-09-07 10:00:00:763,1,650,13,0,676,8185,650,0 55,0,2024-09-07 10:00:01:758,154074,0.6,158944,0.8,322291,0.5,419612,2.50 55,1,2024-09-07 10:00:00:764,1143454,1143454,0,0,536571627504,5578329012,1131767,10219,1468,365,391731,0 55,2,2024-09-07 10:00:00:735,819522,819466,56,0,36018656,0,7239 55,3,2024-09-07 10:00:00:675,1,650,12,0,304,5925,650,0 56,0,2024-09-07 10:00:01:584,166588,1.2,156970,1.1,323374,1.5,433526,2.75 56,1,2024-09-07 10:00:00:573,1136339,1136339,0,0,533572500469,5640952777,1117564,15024,3751,381,391867,0 56,2,2024-09-07 10:00:01:318,821584,821462,122,0,38795014,0,7432 56,3,2024-09-07 10:00:01:058,1,650,1,0,705,8015,650,0 57,0,2024-09-07 10:00:00:945,165302,1.4,165109,1.2,330327,2.0,441196,3.00 57,1,2024-09-07 10:00:00:986,1138905,1138905,0,0,534364941134,5608249224,1123467,12748,2690,366,392032,0 57,2,2024-09-07 10:00:01:337,823081,823081,0,0,41034790,0,4804 57,3,2024-09-07 10:00:01:741,1,650,4,0,455,7207,650,0 58,0,2024-09-07 10:00:00:556,160184,0.9,155585,1.0,325774,1.2,426061,2.50 58,1,2024-09-07 10:00:00:580,1140058,1140055,0,3,535496426689,5614617470,1122459,13283,4313,367,391726,3 58,2,2024-09-07 10:00:01:086,821029,821029,0,0,37581502,0,3483 58,3,2024-09-07 10:00:01:085,1,650,4,0,1043,7052,650,0 59,0,2024-09-07 10:00:01:743,159514,0.8,158850,1.0,317641,0.9,421679,2.75 59,1,2024-09-07 10:00:00:803,1139690,1139690,0,0,535233511991,5624291320,1120748,15107,3835,369,391653,0 59,2,2024-09-07 10:00:00:583,821222,821222,0,0,35863307,0,3727 59,3,2024-09-07 10:00:01:737,1,650,8,0,1015,7802,650,0 60,0,2024-09-07 10:00:01:708,161305,0.5,161358,0.7,322960,0.5,430745,1.75 60,1,2024-09-07 10:00:00:774,1144081,1144081,0,0,537416014181,5589156488,1135007,7907,1167,370,392031,0 60,2,2024-09-07 10:00:01:144,823574,823574,0,0,34276603,0,3811 60,3,2024-09-07 10:00:01:258,1,650,10,0,409,7225,650,0 61,0,2024-09-07 10:00:01:503,167678,0.6,168323,0.8,335115,0.6,447282,2.00 61,1,2024-09-07 10:00:00:770,1141271,1141271,0,0,535388830854,5603249756,1129546,10022,1703,382,392127,0 61,2,2024-09-07 10:00:01:120,822687,822620,67,0,34773235,0,6411 61,3,2024-09-07 10:00:01:687,1,650,161,0,607,8216,650,0 62,0,2024-09-07 10:00:01:707,165185,0.6,169460,0.7,323526,0.6,439465,2.00 62,1,2024-09-07 10:00:01:112,1148105,1148099,0,6,538895712757,5559350745,1141722,5908,469,365,391975,6 62,2,2024-09-07 10:00:01:655,821454,821453,1,0,34630936,0,5555 62,3,2024-09-07 10:00:01:143,1,650,1,0,482,5077,650,0 63,0,2024-09-07 10:00:01:460,160294,0.4,160011,0.6,320714,0.3,426210,1.75 63,1,2024-09-07 10:00:00:804,1144593,1144587,0,6,536699323710,5572494015,1137412,6318,857,381,391800,6 63,2,2024-09-07 10:00:00:763,821473,821473,0,0,33114334,0,4369 63,3,2024-09-07 10:00:01:737,1,650,18,0,667,6511,650,0 64,0,2024-09-07 10:00:01:555,158989,0.5,158911,0.6,317542,0.4,423282,2.00 64,1,2024-09-07 10:00:00:750,1142924,1142924,0,0,537674752224,5595525974,1133491,7453,1980,370,391794,0 64,2,2024-09-07 10:00:01:143,825485,825466,19,0,32037837,0,6121 64,3,2024-09-07 10:00:01:140,1,650,1,0,651,7157,650,0 65,0,2024-09-07 10:00:01:671,165048,0.5,165318,0.7,330231,0.5,440413,2.00 65,1,2024-09-07 10:00:00:870,1141390,1141390,0,0,535317740067,5592509759,1133602,6910,878,381,391901,0 65,2,2024-09-07 10:00:01:693,820637,820637,0,0,38256201,0,3367 65,3,2024-09-07 10:00:01:685,1,650,1,0,782,7867,650,0 66,0,2024-09-07 10:00:01:781,164912,0.5,164378,0.7,329020,0.5,437849,2.00 66,1,2024-09-07 10:00:01:318,1143242,1143242,0,0,536667151058,5583488885,1135992,6379,871,380,391743,0 66,2,2024-09-07 10:00:01:132,828018,828015,3,0,34222975,0,5455 66,3,2024-09-07 10:00:01:084,1,650,26,0,291,5866,650,0 67,0,2024-09-07 10:00:01:431,159398,0.5,158939,0.7,318773,0.5,424461,2.00 67,1,2024-09-07 10:00:00:765,1143936,1143935,0,1,536524479716,5583087401,1136338,6652,945,380,391787,1 67,2,2024-09-07 10:00:00:591,824185,824170,15,0,33424634,0,6205 67,3,2024-09-07 10:00:01:750,1,650,33,0,595,6593,650,0 68,0,2024-09-07 10:00:00:559,159304,0.6,158989,0.7,316886,0.5,424218,2.00 68,1,2024-09-07 10:00:00:573,1139264,1139264,0,0,534050911243,5614312266,1124132,11350,3782,381,391953,0 68,2,2024-09-07 10:00:01:047,817057,816957,100,0,41800384,0,8578 68,3,2024-09-07 10:00:00:729,1,650,1,0,417,8352,650,0 69,0,2024-09-07 10:00:01:733,164364,0.6,165032,0.8,329112,0.6,437920,2.25 69,1,2024-09-07 10:00:01:016,1138152,1138152,0,0,533835362525,5622540244,1123765,11409,2978,383,391994,0 69,2,2024-09-07 10:00:01:741,819261,819232,29,0,45455726,0,6912 69,3,2024-09-07 10:00:00:760,1,650,7,0,698,9107,650,0 70,0,2024-09-07 10:00:01:538,164328,0.8,165020,0.9,331101,0.7,438852,2.50 70,1,2024-09-07 10:00:00:805,1144630,1144630,0,0,537815672875,5577384216,1136355,7460,815,366,391725,0 70,2,2024-09-07 10:00:01:325,824999,824999,0,0,37163124,0,4323 70,3,2024-09-07 10:00:00:746,1,650,1,0,854,6929,650,0 71,0,2024-09-07 10:00:01:356,160281,0.9,159827,1.0,321014,1.1,427600,2.50 71,1,2024-09-07 10:00:01:597,1142863,1142863,0,0,536376764854,5594745917,1129222,12015,1626,367,391738,0 71,2,2024-09-07 10:00:01:067,819475,819475,0,0,36711642,0,4352 71,3,2024-09-07 10:00:01:757,1,650,7,0,644,7042,650,0 72,0,2024-09-07 10:00:01:031,165834,0.5,161995,0.7,316324,0.5,429848,2.00 72,1,2024-09-07 10:00:01:030,1140357,1140357,0,0,534981413601,5608939373,1124228,13443,2686,369,391819,0 72,2,2024-09-07 10:00:01:756,819233,819233,0,0,39101099,0,3983 72,3,2024-09-07 10:00:01:755,1,650,1,0,564,9268,650,0 73,0,2024-09-07 10:00:01:142,158442,0.4,162408,0.6,331917,0.4,432562,2.00 73,1,2024-09-07 10:00:00:767,1142462,1142462,0,0,536715999070,5576120162,1133998,7481,983,367,391858,0 73,2,2024-09-07 10:00:01:742,821917,821916,1,0,41004970,0,5027 73,3,2024-09-07 10:00:00:974,1,650,1,0,1091,8829,650,0 74,0,2024-09-07 10:00:01:346,168792,0.5,172770,0.7,329924,0.4,447461,2.00 74,1,2024-09-07 10:00:00:636,1141169,1141169,0,0,535926967732,5592471946,1129064,9965,2140,381,391762,0 74,2,2024-09-07 10:00:01:002,821657,821657,0,0,35976647,0,4253 74,3,2024-09-07 10:00:01:449,1,650,1,0,522,7592,650,0 75,0,2024-09-07 10:00:01:793,163724,0.5,162739,0.7,326687,0.5,436085,2.25 75,1,2024-09-07 10:00:01:588,1142440,1142440,0,0,536897458822,5593628730,1133061,8447,932,380,391739,0 75,2,2024-09-07 10:00:01:350,820628,820628,0,0,42517629,0,4766 75,3,2024-09-07 10:00:01:074,1,650,14,0,918,9007,650,0 76,0,2024-09-07 10:00:00:663,159756,0.5,159080,0.7,318044,0.5,425716,2.25 76,1,2024-09-07 10:00:00:807,1141941,1141941,0,0,536080218470,5591306490,1133602,7037,1302,382,391790,0 76,2,2024-09-07 10:00:01:060,823879,823876,3,0,35177808,0,5265 76,3,2024-09-07 10:00:01:142,1,650,1,0,227,5909,650,0 77,0,2024-09-07 10:00:01:691,160243,0.6,160662,0.7,321640,0.5,427532,2.00 77,1,2024-09-07 10:00:00:834,1142232,1142232,0,0,536131530786,5594693625,1133188,8018,1026,381,391869,0 77,2,2024-09-07 10:00:01:280,821532,821532,0,0,34140597,0,3890 77,3,2024-09-07 10:00:01:102,1,650,9,0,401,7266,650,0 78,0,2024-09-07 10:00:01:728,167433,0.4,166764,0.6,334620,0.4,442740,2.00 78,1,2024-09-07 10:00:00:610,1141541,1141541,0,0,535112340090,5583406585,1127319,11077,3145,367,391670,0 78,2,2024-09-07 10:00:01:415,822796,822783,13,0,32103364,0,8313 78,3,2024-09-07 10:00:01:135,1,650,1,0,311,5425,650,0 79,0,2024-09-07 10:00:01:349,159372,0.4,163223,0.6,334466,0.3,435574,2.00 79,1,2024-09-07 10:00:00:570,1145918,1145918,0,0,537278231751,5565130651,1136609,7537,1772,367,391682,0 79,2,2024-09-07 10:00:01:086,825687,825687,0,0,31001660,0,4195 79,3,2024-09-07 10:00:00:750,1,650,11,0,418,7396,650,0 80,0,2024-09-07 10:00:01:146,159430,0.5,163715,0.7,312730,0.5,423321,2.00 80,1,2024-09-07 10:00:01:633,1142266,1142266,0,0,536586694808,5583942427,1134972,6837,457,368,392269,0 80,2,2024-09-07 10:00:01:092,823757,823757,0,0,31301460,0,4433 80,3,2024-09-07 10:00:00:575,1,650,9,0,681,7834,650,0 81,0,2024-09-07 10:00:01:546,159489,0.5,163201,0.7,311624,0.5,422802,2.00 81,1,2024-09-07 10:00:01:653,1141228,1141228,0,0,534901894743,5589438721,1132017,8241,970,382,391885,0 81,2,2024-09-07 10:00:01:126,820702,820639,63,0,34663646,0,5932 81,3,2024-09-07 10:00:01:122,1,650,1,0,719,7176,650,0 82,0,2024-09-07 10:00:01:560,165682,0.5,165765,0.7,332157,0.4,440866,2.00 82,1,2024-09-07 10:00:00:583,1142976,1142972,0,4,536777145214,5578419615,1137158,4988,826,381,391768,4 82,2,2024-09-07 10:00:01:691,824341,824341,0,0,29501201,0,4484 82,3,2024-09-07 10:00:01:752,1,650,70,0,363,5987,650,0 83,0,2024-09-07 10:00:01:555,165457,0.6,165319,0.7,330243,0.6,438709,2.00 83,1,2024-09-07 10:00:00:551,1142047,1142047,0,0,535847465185,5585468246,1133724,7328,995,382,391709,0 83,2,2024-09-07 10:00:00:765,826260,826235,25,0,31820975,0,5612 83,3,2024-09-07 10:00:00:749,1,650,25,0,1260,7551,650,0 84,0,2024-09-07 10:00:01:785,159051,0.7,159124,0.9,318035,0.7,424464,2.25 84,1,2024-09-07 10:00:01:047,1140224,1140224,0,0,535001516840,5594873705,1127217,11123,1884,367,391967,0 84,2,2024-09-07 10:00:00:581,819089,818679,410,0,45310027,0,17037 84,3,2024-09-07 10:00:01:142,1,650,1,0,908,8654,650,0 85,0,2024-09-07 10:00:01:062,153454,0.6,153398,0.8,325721,0.6,420034,2.25 85,1,2024-09-07 10:00:00:563,1137376,1137376,0,0,534605646481,5637619794,1120821,13752,2803,381,392092,0 85,2,2024-09-07 10:00:00:865,819949,819949,0,0,38922383,0,4255 85,3,2024-09-07 10:00:00:688,1,650,69,0,789,7269,650,0 86,0,2024-09-07 10:00:00:898,162982,0.7,167556,0.8,320611,0.7,433957,2.25 86,1,2024-09-07 10:00:00:827,1140071,1140071,0,0,535070205989,5609139608,1125283,11992,2796,366,392169,0 86,2,2024-09-07 10:00:00:853,821866,821865,1,0,41733632,0,5004 86,3,2024-09-07 10:00:00:586,1,650,4,0,308,8819,650,0 87,0,2024-09-07 10:00:01:445,167425,0.8,166424,0.8,333239,0.9,445060,2.25 87,1,2024-09-07 10:00:00:550,1139614,1139614,0,0,535711941006,5607413680,1125927,11884,1803,366,392076,0 87,2,2024-09-07 10:00:01:067,822965,822959,6,0,38237914,0,6323 87,3,2024-09-07 10:00:01:793,1,650,15,0,473,9019,650,0 88,0,2024-09-07 10:00:01:442,162566,0.4,163086,0.6,325948,0.4,433441,1.75 88,1,2024-09-07 10:00:00:600,1138828,1138828,0,0,533738616898,5594573896,1122582,13124,3122,365,392084,0 88,2,2024-09-07 10:00:00:694,820301,820301,0,0,40194793,0,4465 88,3,2024-09-07 10:00:01:267,1,650,1,0,1080,10252,650,0 89,0,2024-09-07 10:00:01:848,165325,0.4,160351,0.6,316428,0.4,430219,1.75 89,1,2024-09-07 10:00:00:578,1137844,1137844,0,0,535042358833,5625328575,1124066,11603,2175,382,391866,0 89,2,2024-09-07 10:00:01:133,820821,820821,0,0,38388266,0,3173 89,3,2024-09-07 10:00:01:797,1,650,4,0,468,10515,650,0 90,0,2024-09-07 10:00:01:622,156545,0.4,160747,0.6,328168,0.4,428496,2.00 90,1,2024-09-07 10:00:00:594,1139866,1139866,0,0,534590069341,5599698137,1128617,10200,1049,380,391825,0 90,2,2024-09-07 10:00:01:435,818670,818665,5,0,41736034,0,6370 90,3,2024-09-07 10:00:00:930,1,650,10,0,364,8453,650,0 91,0,2024-09-07 10:00:00:967,168287,0.5,163269,0.6,341623,0.5,448090,1.75 91,1,2024-09-07 10:00:00:566,1136963,1136963,0,0,533735611957,5628029007,1119314,13690,3959,381,392047,0 91,2,2024-09-07 10:00:01:336,821581,821581,0,0,37108470,0,4713 91,3,2024-09-07 10:00:00:599,1,650,16,0,231,6162,650,0 92,0,2024-09-07 10:00:01:462,166203,0.4,169961,0.6,324091,0.4,439599,1.75 92,1,2024-09-07 10:00:00:589,1141332,1141332,0,0,536274022901,5600309451,1132392,7635,1305,381,392136,0 92,2,2024-09-07 10:00:01:361,823237,823237,0,0,32920710,0,3906 92,3,2024-09-07 10:00:01:038,1,650,1,0,167,5434,650,0 93,0,2024-09-07 10:00:01:012,160790,0.4,164741,0.6,314584,0.3,426132,1.75 93,1,2024-09-07 10:00:00:805,1141896,1141896,0,0,535302185140,5593064809,1128252,11213,2431,365,392048,0 93,2,2024-09-07 10:00:00:931,821223,821223,0,0,38632632,0,4913 93,3,2024-09-07 10:00:01:411,1,650,6,0,505,7273,650,0 94,0,2024-09-07 10:00:01:675,159072,0.4,160199,0.5,320368,0.3,425734,1.75 94,1,2024-09-07 10:00:00:585,1142593,1142593,0,0,535779099154,5585310976,1135307,6846,440,381,391850,0 94,2,2024-09-07 10:00:00:764,822070,822042,28,0,33280504,0,6179 94,3,2024-09-07 10:00:01:717,1,650,43,0,576,8039,650,0 95,0,2024-09-07 10:00:01:388,166126,0.3,166010,0.5,332406,0.3,442764,1.75 95,1,2024-09-07 10:00:00:854,1143171,1143171,0,0,537135596798,5580985572,1134228,8181,762,365,391852,0 95,2,2024-09-07 10:00:01:016,820586,820586,0,0,33316828,0,3308 95,3,2024-09-07 10:00:01:709,1,650,8,0,718,9939,650,0 96,0,2024-09-07 10:00:01:114,165327,0.3,165527,0.5,331367,0.3,440444,1.75 96,1,2024-09-07 10:00:01:586,1141445,1141445,0,0,535877940626,5587545472,1133691,6465,1289,384,391964,0 96,2,2024-09-07 10:00:01:268,827226,827226,0,0,33738668,0,4180 96,3,2024-09-07 10:00:01:142,1,650,8,0,411,7162,650,0 97,0,2024-09-07 10:00:01:323,159468,0.3,159453,0.5,319400,0.3,424257,1.50 97,1,2024-09-07 10:00:00:796,1143552,1143552,0,0,536933081102,5568550920,1136554,5954,1044,367,392140,0 97,2,2024-09-07 10:00:00:625,822160,822160,0,0,33135261,0,4046 97,3,2024-09-07 10:00:00:579,1,650,5,0,242,7320,650,0 98,0,2024-09-07 10:00:01:699,158886,0.3,158845,0.4,319148,0.2,424563,1.50 98,1,2024-09-07 10:00:00:574,1142902,1142902,0,0,536458294915,5585111462,1136335,5725,842,381,391997,0 98,2,2024-09-07 10:00:00:769,820394,820394,0,0,31954411,0,4336 98,3,2024-09-07 10:00:00:699,1,650,7,0,840,9346,650,0 99,0,2024-09-07 10:00:01:455,164858,0.3,165790,0.5,330141,0.3,440607,1.75 99,1,2024-09-07 10:00:01:726,1143567,1143567,0,0,536414175947,5579915727,1136682,5917,968,380,392069,0 99,2,2024-09-07 10:00:01:418,821730,821730,0,0,40940909,0,4276 99,3,2024-09-07 10:00:00:586,1,650,4,0,1124,8172,650,0 100,0,2024-09-07 10:00:01:528,165839,0.8,166306,0.9,332318,0.9,443407,2.50 100,1,2024-09-07 10:00:00:549,1136332,1136332,0,0,533546895606,5639067263,1118962,13875,3495,378,391989,0 100,2,2024-09-07 10:00:01:831,820875,820486,389,0,42984714,0,16909 100,3,2024-09-07 10:00:01:752,1,650,20,0,627,10480,650,0 101,0,2024-09-07 10:00:01:702,164844,1.0,160889,1.0,314779,1.0,429438,2.25 101,1,2024-09-07 10:00:00:552,1138818,1138818,0,0,534492653816,5614195435,1123784,12354,2680,368,391847,0 101,2,2024-09-07 10:00:01:760,815693,815693,0,0,42647650,0,4871 101,3,2024-09-07 10:00:00:942,1,650,85,0,1250,9654,650,0 102,0,2024-09-07 10:00:00:952,156256,0.6,160970,0.8,327002,0.6,427014,2.25 102,1,2024-09-07 10:00:01:151,1138415,1138415,0,0,534898873006,5615962449,1123538,12668,2209,369,391984,0 102,2,2024-09-07 10:00:01:738,821325,821271,54,0,36646331,0,6768 102,3,2024-09-07 10:00:01:617,1,650,16,0,466,7494,650,0 103,0,2024-09-07 10:00:01:593,167940,0.5,167927,0.7,316406,0.5,435899,2.00 103,1,2024-09-07 10:00:01:631,1137627,1137627,0,0,534248114947,5638083871,1120037,13974,3616,381,392077,0 103,2,2024-09-07 10:00:00:583,820838,820838,0,0,39742238,0,3766 103,3,2024-09-07 10:00:00:755,1,650,9,0,916,7559,650,0 104,0,2024-09-07 10:00:01:015,166528,0.7,166855,0.9,332812,0.7,444705,2.25 104,1,2024-09-07 10:00:01:606,1139943,1139943,0,0,534259524483,5615793535,1122550,14148,3245,365,392168,0 104,2,2024-09-07 10:00:01:684,820396,820396,0,0,39429463,0,4161 104,3,2024-09-07 10:00:01:416,1,650,1,0,1245,11047,650,0 105,0,2024-09-07 10:00:01:101,161707,0.9,157649,1.0,330368,0.9,434082,2.50 105,1,2024-09-07 10:00:00:567,1141812,1141812,0,0,536515260674,5618003767,1127809,12047,1956,364,392009,0 105,2,2024-09-07 10:00:01:336,820695,820695,0,0,39920343,0,4360 105,3,2024-09-07 10:00:01:336,1,650,5,0,573,9794,650,0 106,0,2024-09-07 10:00:00:939,154707,0.9,158506,1.0,324585,1.0,424103,2.50 106,1,2024-09-07 10:00:01:751,1139573,1139573,0,0,534844489221,5611714937,1123793,13754,2026,368,391914,0 106,2,2024-09-07 10:00:00:756,820396,820396,0,0,37125421,0,3331 106,3,2024-09-07 10:00:00:681,1,650,8,0,1224,9003,650,0 107,0,2024-09-07 10:00:01:152,160366,1.0,160546,1.0,321043,1.2,428484,2.25 107,1,2024-09-07 10:00:00:586,1137717,1137717,0,0,533002230702,5631659472,1119593,15942,2182,381,392234,0 107,2,2024-09-07 10:00:01:313,818199,818198,1,0,40727169,0,5024 107,3,2024-09-07 10:00:01:758,1,650,7,0,733,9659,650,0 108,0,2024-09-07 10:00:01:803,166352,0.4,167166,0.6,333260,0.4,444567,1.75 108,1,2024-09-07 10:00:01:312,1141199,1141199,0,0,535794696813,5588664227,1131649,8473,1077,367,391894,0 108,2,2024-09-07 10:00:01:758,821464,821464,0,0,36162941,0,4432 108,3,2024-09-07 10:00:01:334,1,650,767,0,767,11947,650,0 109,0,2024-09-07 10:00:01:772,165852,0.4,164415,0.6,329848,0.3,440220,1.75 109,1,2024-09-07 10:00:00:586,1139068,1139068,0,0,535065893782,5613611564,1128659,8909,1500,382,392132,0 109,2,2024-09-07 10:00:00:925,823180,823180,0,0,36140021,0,3617 109,3,2024-09-07 10:00:01:146,1,650,1,0,630,7589,650,0 110,0,2024-09-07 10:00:01:749,159211,0.4,154877,0.6,324270,0.3,425211,1.75 110,1,2024-09-07 10:00:01:653,1143418,1143418,0,0,536772086582,5571349535,1134735,6659,2024,368,392045,0 110,2,2024-09-07 10:00:01:305,821880,821880,0,0,34056493,0,4067 110,3,2024-09-07 10:00:00:693,1,650,3,0,722,8737,650,0 111,0,2024-09-07 10:00:01:422,160273,0.4,159476,0.5,318634,0.3,425350,1.75 111,1,2024-09-07 10:00:01:001,1144946,1144946,0,0,538358627851,5584207195,1138791,5772,383,380,391690,0 111,2,2024-09-07 10:00:01:126,821072,821072,0,0,34500480,0,4823 111,3,2024-09-07 10:00:00:912,1,650,8,0,379,7268,650,0 112,0,2024-09-07 10:00:00:918,166516,0.3,165983,0.4,332619,0.2,442054,1.50 112,1,2024-09-07 10:00:00:836,1143581,1143581,0,0,536571064595,5569775212,1136137,6219,1225,380,391624,0 112,2,2024-09-07 10:00:01:138,822008,822007,1,0,32840399,0,5036 112,3,2024-09-07 10:00:00:592,1,650,1,0,282,6256,650,0 113,0,2024-09-07 10:00:00:927,165441,0.3,165508,0.5,331534,0.2,440933,1.50 113,1,2024-09-07 10:00:01:701,1146315,1146315,0,0,539151383300,5560810883,1139767,5600,948,365,391664,0 113,2,2024-09-07 10:00:01:306,828254,828254,0,0,30461293,0,3813 113,3,2024-09-07 10:00:00:693,1,650,2,0,510,6730,650,0 114,0,2024-09-07 10:00:00:875,160575,0.3,161608,0.5,322408,0.2,429869,1.75 114,1,2024-09-07 10:00:00:982,1143599,1143599,0,0,536440327343,5567728878,1135427,6423,1749,381,391565,0 114,2,2024-09-07 10:00:00:875,822737,822736,1,0,31595893,0,5069 114,3,2024-09-07 10:00:01:279,1,650,1,0,415,5387,650,0 115,0,2024-09-07 10:00:00:556,160365,0.3,160855,0.4,320453,0.2,426251,1.50 115,1,2024-09-07 10:00:00:573,1143819,1143819,0,0,536816322231,5573082572,1135286,7011,1522,382,391757,0 115,2,2024-09-07 10:00:01:125,822673,822673,0,0,31638337,0,4382 115,3,2024-09-07 10:00:01:002,1,650,8,0,167,3975,650,0 116,0,2024-09-07 10:00:01:770,162860,0.7,162680,0.9,326267,0.7,436308,2.00 116,1,2024-09-07 10:00:00:807,1137169,1137169,0,0,534561507871,5631306778,1123664,10298,3207,380,392089,0 116,2,2024-09-07 10:00:01:751,822530,822530,0,0,39510098,0,4475 116,3,2024-09-07 10:00:00:911,1,650,17,0,448,8165,650,0 117,0,2024-09-07 10:00:01:007,167480,0.7,166986,0.8,334221,0.7,446446,2.00 117,1,2024-09-07 10:00:01:607,1139557,1139557,0,0,534445778372,5594099982,1127281,10695,1581,369,392429,0 117,2,2024-09-07 10:00:01:120,825325,825325,0,0,35776832,0,4303 117,3,2024-09-07 10:00:01:067,1,650,1,0,490,8069,650,0 118,0,2024-09-07 10:00:01:793,157726,0.5,161948,0.7,330526,0.5,432008,2.00 118,1,2024-09-07 10:00:00:584,1138726,1138726,0,0,533957783613,5609233230,1122473,12602,3651,366,392054,0 118,2,2024-09-07 10:00:01:592,819681,819681,0,0,38243971,0,2842 118,3,2024-09-07 10:00:01:765,1,650,108,0,343,7963,650,0 119,0,2024-09-07 10:00:01:371,160559,0.7,161047,0.8,321517,0.8,428742,2.25 119,1,2024-09-07 10:00:00:548,1139758,1139758,0,0,535462718864,5611638188,1124934,12309,2515,367,391857,0 119,2,2024-09-07 10:00:01:282,822295,822295,0,0,35533975,0,4309 119,3,2024-09-07 10:00:01:332,1,650,11,0,1358,11771,650,0 120,0,2024-09-07 10:00:01:678,160613,0.7,160377,0.8,322178,0.7,429810,2.25 120,1,2024-09-07 10:00:00:909,1140325,1140325,0,0,534722484966,5606707880,1128031,11138,1156,367,392144,0 120,2,2024-09-07 10:00:00:790,821036,821033,3,0,42875381,0,5363 120,3,2024-09-07 10:00:01:326,1,650,9,0,478,8336,650,0 121,0,2024-09-07 10:00:01:695,167972,1.2,167413,1.0,334810,1.5,446560,2.25 121,1,2024-09-07 10:00:01:655,1139793,1139793,0,0,534959815687,5598541496,1127476,10477,1840,366,391840,0 121,2,2024-09-07 10:00:01:126,821592,821592,0,0,39326102,0,4157 121,3,2024-09-07 10:00:00:728,1,650,2,0,387,8457,650,0 122,0,2024-09-07 10:00:01:784,164074,0.7,159612,0.9,334121,0.8,439477,2.00 122,1,2024-09-07 10:00:00:863,1139082,1139082,0,0,534562534273,5607251732,1123888,12775,2419,365,392130,0 122,2,2024-09-07 10:00:01:322,823316,823241,75,0,42815883,0,5989 122,3,2024-09-07 10:00:00:594,1,650,1,0,512,10077,650,0 123,0,2024-09-07 10:00:00:977,159064,0.8,154846,0.9,324613,0.9,424670,2.25 123,1,2024-09-07 10:00:00:568,1139740,1139740,0,0,534812251844,5622853702,1121760,15107,2873,369,392039,0 123,2,2024-09-07 10:00:01:022,819636,819635,1,0,36649014,0,5215 123,3,2024-09-07 10:00:01:132,1,650,1,0,478,7256,650,0 124,0,2024-09-07 10:00:00:967,164096,0.3,164127,0.5,309289,0.3,426354,1.75 124,1,2024-09-07 10:00:01:022,1143603,1143603,0,0,535720620137,5567688349,1135338,7072,1193,365,392178,0 124,2,2024-09-07 10:00:01:012,823397,823344,53,0,33934923,0,6487 124,3,2024-09-07 10:00:00:760,1,650,2,0,490,6483,650,0 125,0,2024-09-07 10:00:01:442,165913,0.4,165758,0.5,332361,0.3,442914,1.75 125,1,2024-09-07 10:00:00:905,1140584,1140584,0,0,535560399702,5592178282,1131297,7989,1298,382,392045,0 125,2,2024-09-07 10:00:01:119,823857,823857,0,0,33724527,0,4534 125,3,2024-09-07 10:00:01:126,1,650,1,0,709,7466,650,0 126,0,2024-09-07 10:00:01:469,165504,0.4,170260,0.5,325414,0.3,441221,1.75 126,1,2024-09-07 10:00:00:551,1144476,1144476,0,0,536940948136,5561319929,1137966,5837,673,365,391987,0 126,2,2024-09-07 10:00:00:617,827163,827163,0,0,35016624,0,4539 126,3,2024-09-07 10:00:00:911,1,650,1,0,268,7364,650,0 127,0,2024-09-07 10:00:01:628,159869,0.3,159985,0.5,319256,0.3,424549,1.75 127,1,2024-09-07 10:00:00:569,1142203,1142203,0,0,535604172147,5573760612,1130455,10059,1689,364,392187,0 127,2,2024-09-07 10:00:00:637,821465,821461,4,0,33020509,0,5305 127,3,2024-09-07 10:00:01:273,1,650,8,0,968,6327,650,0 128,0,2024-09-07 10:00:01:521,159870,0.3,159952,0.4,319794,0.2,425293,1.50 128,1,2024-09-07 10:00:01:608,1142059,1142059,0,0,535815825536,5571745285,1133275,7818,966,367,392423,0 128,2,2024-09-07 10:00:01:385,823269,823269,0,0,30556206,0,3171 128,3,2024-09-07 10:00:00:767,1,650,74,0,1082,9934,650,0 129,0,2024-09-07 10:00:01:046,166225,0.3,165400,0.5,331739,0.3,441195,1.50 129,1,2024-09-07 10:00:00:573,1139001,1139001,0,0,535066903148,5608389847,1127304,9654,2043,379,391962,0 129,2,2024-09-07 10:00:00:700,823781,823777,4,0,33651717,0,5335 129,3,2024-09-07 10:00:00:689,1,650,21,0,506,8629,650,0 130,0,2024-09-07 10:00:01:722,166984,0.4,166774,0.6,333880,0.4,444276,1.75 130,1,2024-09-07 10:00:00:597,1143922,1143922,0,0,536261920315,5567071049,1138409,5108,405,381,391825,0 130,2,2024-09-07 10:00:01:126,825406,825406,0,0,33418179,0,4067 130,3,2024-09-07 10:00:01:291,1,650,8,0,960,8509,650,0 131,0,2024-09-07 10:00:01:930,161387,0.3,161822,0.5,324594,0.3,430949,1.50 131,1,2024-09-07 10:00:01:822,1142999,1142999,0,0,536470511897,5590140013,1135247,6552,1200,381,391865,0 131,2,2024-09-07 10:00:00:568,821093,821093,0,0,30825003,0,3979 131,3,2024-09-07 10:00:01:687,1,650,23,0,392,8138,650,0 132,0,2024-09-07 10:00:01:412,160961,0.5,161958,0.6,322227,0.4,428282,2.00 132,1,2024-09-07 10:00:00:576,1138527,1138527,0,0,534329182175,5621784742,1122474,13275,2778,381,392532,0 132,2,2024-09-07 10:00:00:704,820846,820829,17,0,39919112,0,6451 132,3,2024-09-07 10:00:01:694,1,650,1,0,1298,11396,650,0 133,0,2024-09-07 10:00:01:539,158457,0.4,162193,0.6,332717,0.4,433466,2.00 133,1,2024-09-07 10:00:00:591,1138143,1138143,0,0,534363558847,5626991499,1123220,12993,1930,383,391914,0 133,2,2024-09-07 10:00:01:086,823833,823783,50,0,40955682,0,6861 133,3,2024-09-07 10:00:01:298,1,650,4,0,528,7714,650,0 134,0,2024-09-07 10:00:00:993,168315,0.5,168345,0.7,336513,0.5,448086,2.25 134,1,2024-09-07 10:00:00:584,1139441,1139441,0,0,534805324036,5610132190,1124338,11928,3175,366,391781,0 134,2,2024-09-07 10:00:01:760,822772,822748,24,0,37708238,0,6207 134,3,2024-09-07 10:00:00:749,1,650,4,0,739,8115,650,0 135,0,2024-09-07 10:00:01:194,158455,0.7,158460,0.8,336367,0.8,433332,2.25 135,1,2024-09-07 10:00:01:588,1139445,1139445,0,0,535193601474,5625853948,1125278,12318,1849,380,391974,0 135,2,2024-09-07 10:00:00:701,822989,822989,0,0,38869090,0,4503 135,3,2024-09-07 10:00:01:011,1,650,13,0,900,6210,650,0 136,0,2024-09-07 10:00:01:658,160608,0.6,161075,0.8,319944,0.6,427433,2.00 136,1,2024-09-07 10:00:01:447,1140466,1140466,0,0,535426012573,5608448929,1128327,10744,1395,381,392135,0 136,2,2024-09-07 10:00:01:133,823228,823213,15,0,38352373,0,6007 136,3,2024-09-07 10:00:01:108,1,650,3,0,637,7527,650,0 137,0,2024-09-07 10:00:00:930,165774,0.6,161594,0.7,316473,0.7,430155,2.00 137,1,2024-09-07 10:00:00:589,1139824,1139824,0,0,535506649546,5613185044,1122719,13689,3416,366,391898,0 137,2,2024-09-07 10:00:01:705,820569,820569,0,0,39653206,0,3185 137,3,2024-09-07 10:00:00:773,1,650,41,0,484,8576,650,0 138,0,2024-09-07 10:00:01:802,165878,0.9,166253,0.9,333049,1.1,443196,2.00 138,1,2024-09-07 10:00:01:685,1139355,1139355,0,0,535175660246,5615929274,1123161,13557,2637,368,391954,0 138,2,2024-09-07 10:00:00:585,820446,820446,0,0,38065293,0,4988 138,3,2024-09-07 10:00:00:610,1,650,7,0,1200,9802,650,0 139,0,2024-09-07 10:00:01:377,163664,0.9,164302,0.9,328140,1.3,438583,2.25 139,1,2024-09-07 10:00:00:574,1135225,1135225,0,0,532370300741,5641080874,1116202,15155,3868,380,392109,0 139,2,2024-09-07 10:00:00:705,819190,819160,30,0,43545947,0,5997 139,3,2024-09-07 10:00:01:662,1,650,8,0,432,7591,650,0 140,0,2024-09-07 10:00:01:593,159803,0.3,159010,0.5,319230,0.2,425520,1.75 140,1,2024-09-07 10:00:01:539,1147220,1147220,0,0,538526807325,5555328950,1141131,5353,736,364,391628,0 140,2,2024-09-07 10:00:00:689,821741,821740,1,0,31173019,0,5036 140,3,2024-09-07 10:00:00:766,1,650,18,0,575,6581,650,0 141,0,2024-09-07 10:00:01:697,159844,0.3,164325,0.5,314084,0.2,424970,1.50 141,1,2024-09-07 10:00:00:867,1143813,1143813,0,0,536778574076,5576989767,1134593,7904,1316,379,391614,0 141,2,2024-09-07 10:00:01:687,822025,822014,11,0,33352259,0,5369 141,3,2024-09-07 10:00:01:045,1,650,6,0,391,7473,650,0 142,0,2024-09-07 10:00:01:319,166627,0.3,165819,0.5,332040,0.2,442943,1.50 142,1,2024-09-07 10:00:00:585,1141806,1141806,0,0,535863871275,5587146592,1133997,7151,658,382,392102,0 142,2,2024-09-07 10:00:01:313,821019,820987,32,0,33939409,0,6028 142,3,2024-09-07 10:00:01:752,1,650,23,0,484,6773,650,0 143,0,2024-09-07 10:00:01:383,165563,0.4,165405,0.5,331774,0.4,441029,1.75 143,1,2024-09-07 10:00:00:572,1144772,1144772,0,0,537924573398,5579226068,1137783,6326,663,367,391900,0 143,2,2024-09-07 10:00:00:769,826861,826861,0,0,33802670,0,3123 143,3,2024-09-07 10:00:01:143,1,650,10,0,462,7600,650,0 144,0,2024-09-07 10:00:01:501,155675,0.6,160165,0.7,325952,0.5,426311,2.00 144,1,2024-09-07 10:00:00:566,1139103,1139103,0,0,533691301393,5599234040,1127913,9171,2019,381,391733,0 144,2,2024-09-07 10:00:01:755,821927,821927,0,0,32732671,0,4443 144,3,2024-09-07 10:00:01:739,1,650,1,0,259,6541,650,0 145,0,2024-09-07 10:00:01:408,154490,0.6,154499,0.8,327990,0.5,421838,2.25 145,1,2024-09-07 10:00:00:552,1138085,1138085,0,0,534856556172,5626186772,1123053,12277,2755,382,391781,0 145,2,2024-09-07 10:00:01:446,819373,819291,82,0,38920031,0,7814 145,3,2024-09-07 10:00:00:904,1,650,2,0,622,8703,650,0 146,0,2024-09-07 10:00:01:599,163447,0.6,162460,0.8,326916,0.6,434757,2.25 146,1,2024-09-07 10:00:01:587,1139661,1139661,0,0,534352367248,5621568016,1121106,13735,4820,367,391770,0 146,2,2024-09-07 10:00:01:697,821030,821024,6,0,37766030,0,5151 146,3,2024-09-07 10:00:01:291,1,650,44,0,1520,10784,650,0 147,0,2024-09-07 10:00:01:699,167371,0.6,166993,0.7,334174,0.6,445512,2.00 147,1,2024-09-07 10:00:01:432,1143658,1143658,0,0,536539721061,5575289077,1134574,8051,1033,367,391791,0 147,2,2024-09-07 10:00:01:022,823752,823752,0,0,33928531,0,3359 147,3,2024-09-07 10:00:00:916,1,650,8,0,1626,10015,650,0 0,0,2024-09-07 10:00:11:716,157229,0.6,157189,0.7,333628,0.6,431144,2.00 0,1,2024-09-07 10:00:10:801,1142445,1142445,0,0,536294719910,5611805892,1133490,8002,953,368,391896,0 0,2,2024-09-07 10:00:11:072,824516,824516,0,0,33080985,0,4480 0,3,2024-09-07 10:00:10:974,1,651,18,0,431,8996,651,0 1,0,2024-09-07 10:00:11:745,167785,0.9,166833,0.9,334828,1.0,447725,2.00 1,1,2024-09-07 10:00:10:559,1141203,1141203,0,0,535755969759,5607702452,1131454,8248,1501,370,391859,0 1,2,2024-09-07 10:00:10:638,824397,824397,0,0,32524723,0,3380 1,3,2024-09-07 10:00:11:312,1,651,3,0,269,7840,651,0 2,0,2024-09-07 10:00:11:611,164883,0.6,164452,0.7,328778,0.6,438948,2.00 2,1,2024-09-07 10:00:10:862,1144495,1144495,0,0,537794021406,5590258069,1137993,5548,954,379,391805,0 2,2,2024-09-07 10:00:11:266,824832,824832,0,0,31021703,0,3594 2,3,2024-09-07 10:00:10:690,1,651,3,0,357,5588,651,0 3,0,2024-09-07 10:00:11:768,159827,0.4,159916,0.6,319297,0.4,425395,2.00 3,1,2024-09-07 10:00:11:618,1143583,1143583,0,0,536389430500,5577710028,1135514,7351,718,379,391716,0 3,2,2024-09-07 10:00:11:142,823845,823822,23,0,32068015,0,5851 3,3,2024-09-07 10:00:11:759,1,651,8,0,484,4964,651,0 4,0,2024-09-07 10:00:11:780,155448,0.4,159708,0.5,325674,0.3,426288,1.75 4,1,2024-09-07 10:00:10:595,1138231,1138231,0,0,534291353890,5652700168,1118978,14716,4537,369,391992,0 4,2,2024-09-07 10:00:11:017,820526,820526,0,0,39318162,0,4534 4,3,2024-09-07 10:00:11:027,1,651,26,0,448,8672,651,0 5,0,2024-09-07 10:00:11:406,166408,0.4,166274,0.5,332855,0.3,442757,1.75 5,1,2024-09-07 10:00:10:853,1139609,1139609,0,0,535075958179,5645618841,1122372,13012,4225,367,392005,0 5,2,2024-09-07 10:00:11:829,819728,819728,0,0,38331879,0,3582 5,3,2024-09-07 10:00:11:732,1,651,3,0,457,8642,651,0 6,0,2024-09-07 10:00:10:932,165551,0.5,165087,0.6,330208,0.4,440406,2.00 6,1,2024-09-07 10:00:10:746,1142119,1142119,0,0,536540013716,5599769974,1130660,9869,1590,379,391702,0 6,2,2024-09-07 10:00:11:117,826710,826692,18,0,36609915,0,5535 6,3,2024-09-07 10:00:11:274,1,651,1,0,710,7604,651,0 7,0,2024-09-07 10:00:11:547,158972,0.5,160111,0.7,317779,0.5,423702,2.00 7,1,2024-09-07 10:00:11:078,1139737,1139737,0,0,534916938067,5629847577,1122236,13366,4135,382,391747,0 7,2,2024-09-07 10:00:10:769,821598,821598,0,0,35290255,0,4791 7,3,2024-09-07 10:00:10:859,1,651,12,0,552,7489,651,0 8,0,2024-09-07 10:00:11:348,159929,0.4,159555,0.5,319587,0.3,426121,1.75 8,1,2024-09-07 10:00:11:017,1138948,1138948,0,0,534926959927,5622629773,1121392,13834,3722,366,392853,0 8,2,2024-09-07 10:00:10:794,816849,816847,2,0,42067291,0,5112 8,3,2024-09-07 10:00:10:585,1,651,1,0,772,9978,651,0 9,0,2024-09-07 10:00:11:143,165398,0.4,160710,0.5,336289,0.3,441576,1.75 9,1,2024-09-07 10:00:10:560,1138708,1138708,0,0,534816805983,5640303780,1120008,14595,4105,369,392001,0 9,2,2024-09-07 10:00:11:114,821696,821695,1,0,39993470,0,5281 9,3,2024-09-07 10:00:11:759,1,651,0,0,1273,10767,651,0 10,0,2024-09-07 10:00:11:609,166249,0.4,165621,0.5,332275,0.3,442086,1.75 10,1,2024-09-07 10:00:10:583,1140825,1140825,0,0,535645458479,5621532522,1123589,13707,3529,381,391981,0 10,2,2024-09-07 10:00:10:761,826155,826155,0,0,43209106,0,4713 10,3,2024-09-07 10:00:10:871,1,651,84,0,669,7133,651,0 11,0,2024-09-07 10:00:11:027,161257,0.4,156584,0.6,327737,0.4,431046,1.75 11,1,2024-09-07 10:00:10:571,1143153,1143153,0,0,536544665008,5626631206,1126712,12133,4308,383,391766,0 11,2,2024-09-07 10:00:11:130,820593,820593,0,0,37479138,0,4698 11,3,2024-09-07 10:00:11:299,1,651,8,0,843,8131,651,0 12,0,2024-09-07 10:00:10:954,161875,0.4,161673,0.5,322936,0.4,428839,1.75 12,1,2024-09-07 10:00:10:941,1142441,1142441,0,0,536218486756,5593047291,1133180,8239,1022,370,391960,0 12,2,2024-09-07 10:00:11:548,820443,820443,0,0,37079564,0,4390 12,3,2024-09-07 10:00:11:074,1,651,2,0,386,8259,651,0 13,0,2024-09-07 10:00:11:350,163731,0.4,163934,0.6,326996,0.4,435795,1.75 13,1,2024-09-07 10:00:11:535,1139507,1139507,0,0,535367638136,5629313527,1127305,9369,2833,382,391803,0 13,2,2024-09-07 10:00:10:604,825819,825819,0,0,33497081,0,3287 13,3,2024-09-07 10:00:11:775,1,651,73,0,522,8751,651,0 14,0,2024-09-07 10:00:10:562,167728,0.4,168773,0.6,335461,0.4,446482,1.75 14,1,2024-09-07 10:00:11:569,1147797,1147797,0,0,538352421053,5569555303,1139003,7662,1132,364,391673,0 14,2,2024-09-07 10:00:10:764,824858,824828,30,0,34306170,0,6104 14,3,2024-09-07 10:00:11:116,1,651,6,0,1168,6929,651,0 15,0,2024-09-07 10:00:11:565,163890,0.4,163028,0.6,326359,0.4,435316,2.00 15,1,2024-09-07 10:00:11:615,1144188,1144188,0,0,537744220065,5601241461,1134237,7944,2007,381,391619,0 15,2,2024-09-07 10:00:11:009,824179,824179,0,0,30418416,0,3622 15,3,2024-09-07 10:00:11:408,1,651,7,0,1126,8366,651,0 16,0,2024-09-07 10:00:10:945,160354,0.6,161118,0.7,321357,0.6,427823,2.00 16,1,2024-09-07 10:00:10:567,1143836,1143836,0,0,536196495299,5602671112,1133994,8374,1468,370,392194,0 16,2,2024-09-07 10:00:11:436,822282,822282,0,0,34032375,0,4719 16,3,2024-09-07 10:00:11:144,1,651,1,0,358,7892,651,0 17,0,2024-09-07 10:00:11:767,165933,0.6,161739,0.8,316946,0.6,430720,2.00 17,1,2024-09-07 10:00:10:576,1142046,1142046,0,0,536141224499,5619290332,1131435,8831,1780,368,392075,0 17,2,2024-09-07 10:00:11:667,826247,826246,1,0,35248372,0,5050 17,3,2024-09-07 10:00:10:576,1,651,2,0,518,9467,651,0 18,0,2024-09-07 10:00:10:943,165610,0.6,166861,0.8,331823,0.6,443405,2.25 18,1,2024-09-07 10:00:11:640,1146289,1146289,0,0,537531044428,5572370240,1139047,5983,1259,367,391725,0 18,2,2024-09-07 10:00:11:759,825228,825228,0,0,31590205,0,3541 18,3,2024-09-07 10:00:10:897,1,651,1,0,1059,5759,651,0 19,0,2024-09-07 10:00:11:555,164599,0.6,165021,0.8,329002,0.7,437094,2.00 19,1,2024-09-07 10:00:10:566,1145937,1145937,0,0,537843013353,5573333773,1137459,7326,1152,365,391777,0 19,2,2024-09-07 10:00:11:759,828523,828523,0,0,29562006,0,3988 19,3,2024-09-07 10:00:11:131,1,651,8,0,524,5009,651,0 20,0,2024-09-07 10:00:11:438,159474,0.5,159300,0.7,318573,0.5,424876,2.00 20,1,2024-09-07 10:00:10:567,1141940,1141940,0,0,535953434682,5613928150,1130818,9578,1544,369,391922,0 20,2,2024-09-07 10:00:10:941,822510,822510,0,0,36728196,0,4321 20,3,2024-09-07 10:00:10:589,1,651,1,0,468,9973,651,0 21,0,2024-09-07 10:00:11:135,159895,0.5,159862,0.6,319642,0.4,424516,1.75 21,1,2024-09-07 10:00:11:552,1139916,1139916,0,0,534665445494,5639573568,1122446,13546,3924,368,392016,0 21,2,2024-09-07 10:00:11:067,816433,815956,477,0,47432528,0,17074 21,3,2024-09-07 10:00:11:404,1,651,1,0,713,8903,651,0 22,0,2024-09-07 10:00:11:725,165863,0.4,166513,0.6,332605,0.4,441569,2.00 22,1,2024-09-07 10:00:11:028,1140314,1140314,0,0,535339696284,5630552939,1122010,14752,3552,381,391822,0 22,2,2024-09-07 10:00:10:760,821382,821356,26,0,35539690,0,6328 22,3,2024-09-07 10:00:11:072,1,651,1,0,228,5458,651,0 23,0,2024-09-07 10:00:11:379,165205,0.5,165011,0.6,330093,0.4,439866,2.00 23,1,2024-09-07 10:00:11:003,1141457,1141457,0,0,536906634385,5650406384,1121332,13415,6710,365,391690,0 23,2,2024-09-07 10:00:11:092,827196,827196,0,0,33769925,0,3773 23,3,2024-09-07 10:00:11:757,1,651,12,0,855,9424,651,0 24,0,2024-09-07 10:00:10:881,161526,0.4,160655,0.5,323053,0.4,428593,1.75 24,1,2024-09-07 10:00:10:584,1141244,1141244,0,0,535083411080,5599310674,1130610,8856,1778,367,392269,0 24,2,2024-09-07 10:00:11:086,821320,821317,3,0,40419996,0,6294 24,3,2024-09-07 10:00:11:687,1,651,0,0,468,8455,651,0 25,0,2024-09-07 10:00:11:353,164151,0.4,159833,0.6,314094,0.4,427402,1.75 25,1,2024-09-07 10:00:10:559,1139316,1139316,0,0,534200333420,5641753877,1119636,15774,3906,369,391928,0 25,2,2024-09-07 10:00:11:629,819992,819992,0,0,41070152,0,3978 25,3,2024-09-07 10:00:11:002,1,651,1,0,532,7181,651,0 26,0,2024-09-07 10:00:11:721,163202,0.4,159432,0.6,334710,0.4,436854,1.75 26,1,2024-09-07 10:00:11:547,1142847,1142847,0,0,536677843376,5618900780,1127428,12518,2901,380,391758,0 26,2,2024-09-07 10:00:10:861,823201,823201,0,0,43856610,0,4689 26,3,2024-09-07 10:00:11:714,1,651,1,0,796,7925,651,0 27,0,2024-09-07 10:00:11:724,167220,0.4,168010,0.6,333942,0.4,445231,2.25 27,1,2024-09-07 10:00:11:675,1144341,1144341,0,0,537136293956,5594778502,1133656,9068,1617,381,391626,0 27,2,2024-09-07 10:00:10:867,822212,822147,65,0,38319721,0,5699 27,3,2024-09-07 10:00:11:017,1,651,18,0,564,5480,651,0 28,0,2024-09-07 10:00:11:388,162807,0.4,163046,0.6,326170,0.3,433851,1.75 28,1,2024-09-07 10:00:10:806,1144913,1144913,0,0,537794408782,5604908905,1135572,7440,1901,382,391904,0 28,2,2024-09-07 10:00:11:764,822257,822257,0,0,33371030,0,2915 28,3,2024-09-07 10:00:11:776,1,651,84,0,502,6776,651,0 29,0,2024-09-07 10:00:11:357,165627,0.4,161316,0.6,316316,0.3,431323,1.75 29,1,2024-09-07 10:00:11:566,1147528,1147528,0,0,539124980407,5577542971,1140206,6303,1019,367,391809,0 29,2,2024-09-07 10:00:10:860,822542,822542,0,0,32307393,0,4986 29,3,2024-09-07 10:00:10:963,1,651,76,0,459,6843,651,0 30,0,2024-09-07 10:00:11:455,160929,0.5,156620,0.7,328353,0.5,429609,2.00 30,1,2024-09-07 10:00:10:581,1146070,1146070,0,0,539146170906,5596569371,1137093,7891,1086,380,391672,0 30,2,2024-09-07 10:00:11:274,825536,825536,0,0,31353745,0,4192 30,3,2024-09-07 10:00:10:581,1,651,1,0,519,6296,651,0 31,0,2024-09-07 10:00:11:769,167657,0.5,168414,0.7,335768,0.4,447865,2.00 31,1,2024-09-07 10:00:10:571,1150587,1150587,0,0,539585299532,5542059993,1143295,6104,1188,356,391712,0 31,2,2024-09-07 10:00:11:276,823414,823414,0,0,35266461,0,4470 31,3,2024-09-07 10:00:11:713,1,651,8,0,239,5650,651,0 32,0,2024-09-07 10:00:11:424,164965,0.3,166035,0.5,330435,0.3,440226,1.75 32,1,2024-09-07 10:00:10:804,1146051,1146051,0,0,537673925378,5586994968,1139159,6072,820,381,391646,0 32,2,2024-09-07 10:00:10:936,826402,826402,0,0,30263734,0,3922 32,3,2024-09-07 10:00:11:016,1,651,0,0,304,5270,651,0 33,0,2024-09-07 10:00:11:535,160552,0.3,160159,0.4,320399,0.2,426487,1.50 33,1,2024-09-07 10:00:10:577,1147294,1147294,0,0,538688951707,5576610659,1138615,7404,1275,368,391730,0 33,2,2024-09-07 10:00:10:758,823286,823251,35,0,34013585,0,7012 33,3,2024-09-07 10:00:10:899,1,651,1,0,329,5897,651,0 34,0,2024-09-07 10:00:10:933,160385,0.3,164838,0.5,315300,0.2,426135,1.75 34,1,2024-09-07 10:00:11:044,1149117,1149117,0,0,539443925262,5548687966,1145679,3316,122,367,391637,0 34,2,2024-09-07 10:00:10:766,824284,824284,0,0,31914915,0,4562 34,3,2024-09-07 10:00:11:688,1,651,1,0,541,5642,651,0 35,0,2024-09-07 10:00:10:867,165747,0.3,166303,0.5,333597,0.2,443589,1.75 35,1,2024-09-07 10:00:11:067,1145163,1145163,0,0,537823738713,5578853041,1136659,6970,1534,382,391769,0 35,2,2024-09-07 10:00:11:582,823241,823241,0,0,36061114,0,4055 35,3,2024-09-07 10:00:10:910,1,651,9,0,466,6044,651,0 36,0,2024-09-07 10:00:11:517,165988,0.5,166231,0.6,331895,0.4,442106,2.00 36,1,2024-09-07 10:00:10:583,1143581,1143581,0,0,536291801014,5596556915,1129642,11693,2246,366,391759,0 36,2,2024-09-07 10:00:11:750,827207,827207,0,0,37022534,0,3875 36,3,2024-09-07 10:00:10:866,1,651,23,0,556,8601,651,0 37,0,2024-09-07 10:00:11:371,158879,0.5,158940,0.7,318136,0.5,424352,2.25 37,1,2024-09-07 10:00:10:569,1143338,1143331,0,7,536561690806,5602066449,1129894,10515,2922,365,391770,0 37,2,2024-09-07 10:00:11:146,820209,820194,15,0,36292190,0,5815 37,3,2024-09-07 10:00:11:767,1,651,2,0,888,8859,651,0 38,0,2024-09-07 10:00:11:440,158819,0.4,153946,0.6,321972,0.3,422095,2.00 38,1,2024-09-07 10:00:11:612,1143035,1143035,0,0,536900562972,5613040987,1126613,13125,3297,368,391821,0 38,2,2024-09-07 10:00:10:762,821857,821810,47,0,36929200,0,6710 38,3,2024-09-07 10:00:10:997,1,651,5,0,689,7523,651,0 39,0,2024-09-07 10:00:11:768,169098,0.5,165207,0.7,322325,0.5,440378,2.00 39,1,2024-09-07 10:00:10:730,1142918,1142918,0,0,536661027108,5624197816,1124414,14316,4188,365,391865,0 39,2,2024-09-07 10:00:11:429,823063,823063,0,0,35290541,0,3391 39,3,2024-09-07 10:00:10:713,1,651,11,0,525,7294,651,0 40,0,2024-09-07 10:00:11:500,164758,0.9,165516,1.0,330267,1.0,440972,2.75 40,1,2024-09-07 10:00:10:699,1142902,1142902,0,0,535324539451,5609585598,1125524,14083,3295,366,391668,0 40,2,2024-09-07 10:00:11:310,823548,823541,7,0,41477426,0,5347 40,3,2024-09-07 10:00:11:150,1,651,8,0,1028,9301,651,0 41,0,2024-09-07 10:00:11:023,160649,1.2,164395,1.1,313580,1.7,425691,3.00 41,1,2024-09-07 10:00:10:768,1142200,1142200,0,0,536560548126,5611497549,1127534,12364,2302,369,391878,0 41,2,2024-09-07 10:00:10:761,817985,817984,1,0,39819610,0,5408 41,3,2024-09-07 10:00:11:678,1,651,10,0,366,6904,651,0 42,0,2024-09-07 10:00:11:476,159628,0.9,159296,1.0,318850,1.0,422619,2.50 42,1,2024-09-07 10:00:11:439,1140306,1140306,0,0,534555591775,5613551679,1123146,14204,2956,380,391675,0 42,2,2024-09-07 10:00:11:135,819757,819756,1,0,41039625,0,5513 42,3,2024-09-07 10:00:11:009,1,651,2,0,892,6235,651,0 43,0,2024-09-07 10:00:10:931,161198,0.8,156966,0.9,329020,0.8,430825,2.25 43,1,2024-09-07 10:00:10:589,1142983,1142983,0,0,536295944711,5603577274,1127231,13006,2746,366,391696,0 43,2,2024-09-07 10:00:11:735,824406,824406,0,0,38316162,0,4723 43,3,2024-09-07 10:00:11:749,1,651,8,0,571,9066,651,0 44,0,2024-09-07 10:00:10:859,168045,0.4,168230,0.6,335692,0.4,447440,2.00 44,1,2024-09-07 10:00:10:564,1146413,1146413,0,0,537538054166,5556822461,1136277,8065,2071,356,391809,0 44,2,2024-09-07 10:00:11:275,823316,823316,0,0,31094774,0,4344 44,3,2024-09-07 10:00:11:097,1,651,16,0,1097,8020,651,0 45,0,2024-09-07 10:00:11:766,161981,0.5,158280,0.7,332225,0.4,435332,2.00 45,1,2024-09-07 10:00:11:039,1145288,1145288,0,0,537989657924,5590690924,1136307,7762,1219,382,391917,0 45,2,2024-09-07 10:00:11:268,823607,823607,0,0,31051326,0,3596 45,3,2024-09-07 10:00:10:935,1,651,1,0,531,6061,651,0 46,0,2024-09-07 10:00:10:950,159653,0.5,159156,0.7,319394,0.5,424973,2.00 46,1,2024-09-07 10:00:10:576,1147700,1147700,0,0,538659671732,5568153732,1139752,6899,1049,366,391709,0 46,2,2024-09-07 10:00:10:595,824320,824320,0,0,32146558,0,4443 46,3,2024-09-07 10:00:11:134,1,651,1,0,908,7479,651,0 47,0,2024-09-07 10:00:11:105,161545,0.4,161163,0.6,323900,0.4,429242,2.00 47,1,2024-09-07 10:00:10:568,1148706,1148706,0,0,538793216857,5567305111,1141796,5942,968,364,391641,0 47,2,2024-09-07 10:00:10:920,826815,826815,0,0,31955025,0,4477 47,3,2024-09-07 10:00:11:115,1,651,0,0,600,6989,651,0 48,0,2024-09-07 10:00:11:488,167586,0.3,168152,0.4,335070,0.2,445963,1.50 48,1,2024-09-07 10:00:11:024,1145856,1145856,0,0,538092470863,5585381849,1139495,5781,580,381,391710,0 48,2,2024-09-07 10:00:10:711,823794,823794,0,0,29601424,0,3524 48,3,2024-09-07 10:00:10:761,1,651,24,0,339,5610,651,0 49,0,2024-09-07 10:00:11:711,169887,0.3,166428,0.5,323923,0.3,441865,1.75 49,1,2024-09-07 10:00:11:027,1145202,1145202,0,0,537139713444,5584639155,1137659,5847,1696,382,391809,0 49,2,2024-09-07 10:00:11:801,827005,827005,0,0,31633603,0,4426 49,3,2024-09-07 10:00:11:416,1,651,2,0,992,7554,651,0 50,0,2024-09-07 10:00:11:505,160019,0.3,158577,0.5,319434,0.2,424726,1.75 50,1,2024-09-07 10:00:11:010,1148160,1148160,0,0,539910019409,5578829428,1141257,6232,671,368,391691,0 50,2,2024-09-07 10:00:11:067,821491,821491,0,0,29250602,0,4490 50,3,2024-09-07 10:00:11:292,1,651,3,0,617,6557,651,0 51,0,2024-09-07 10:00:11:684,164057,0.3,160509,0.5,312579,0.2,426299,1.75 51,1,2024-09-07 10:00:11:679,1148192,1148192,0,0,540371740285,5570890922,1141803,5051,1338,365,391706,0 51,2,2024-09-07 10:00:11:315,822983,822983,0,0,28964003,0,3337 51,3,2024-09-07 10:00:11:027,1,651,12,0,678,4647,651,0 52,0,2024-09-07 10:00:11:412,166591,0.5,166569,0.6,332915,0.4,442720,2.00 52,1,2024-09-07 10:00:10:576,1143265,1143265,0,0,536898824595,5614460079,1127813,13115,2337,368,391805,0 52,2,2024-09-07 10:00:11:760,819541,819503,38,0,39530901,0,6742 52,3,2024-09-07 10:00:10:675,1,651,74,0,1782,7737,651,0 53,0,2024-09-07 10:00:11:729,165053,0.6,160133,0.8,335039,0.7,438848,2.25 53,1,2024-09-07 10:00:10:784,1141288,1141288,0,0,536608463093,5625489101,1122651,14230,4407,367,391968,0 53,2,2024-09-07 10:00:11:298,827520,827519,1,0,34784748,0,5455 53,3,2024-09-07 10:00:10:698,1,651,7,0,308,5914,651,0 54,0,2024-09-07 10:00:11:614,158809,0.6,159073,0.7,316995,0.5,422977,2.25 54,1,2024-09-07 10:00:10:581,1144808,1144808,0,0,536923819318,5580391225,1133498,9638,1672,366,391810,0 54,2,2024-09-07 10:00:10:867,822112,822080,32,0,39028813,0,6397 54,3,2024-09-07 10:00:10:763,1,651,3,0,676,8188,651,0 55,0,2024-09-07 10:00:11:767,154165,0.6,159069,0.8,322524,0.5,419913,2.50 55,1,2024-09-07 10:00:10:765,1145174,1145174,0,0,537481493046,5587739927,1133486,10220,1468,365,391731,0 55,2,2024-09-07 10:00:10:729,820790,820734,56,0,36117945,0,7239 55,3,2024-09-07 10:00:10:674,1,651,8,0,304,5933,651,0 56,0,2024-09-07 10:00:11:586,167061,1.1,157465,1.1,324354,1.5,434762,2.75 56,1,2024-09-07 10:00:10:572,1138006,1138006,0,0,534344040229,5649087964,1119230,15025,3751,381,391867,0 56,2,2024-09-07 10:00:11:303,822739,822617,122,0,38857438,0,7432 56,3,2024-09-07 10:00:11:064,1,651,1,0,705,8016,651,0 57,0,2024-09-07 10:00:10:969,165399,1.4,165217,1.2,330554,2.0,441492,3.00 57,1,2024-09-07 10:00:10:996,1140701,1140701,0,0,535134221370,5616151199,1125262,12749,2690,366,392032,0 57,2,2024-09-07 10:00:11:332,824320,824320,0,0,41125537,0,4804 57,3,2024-09-07 10:00:11:743,1,651,1,0,455,7208,651,0 58,0,2024-09-07 10:00:10:562,160296,0.9,155698,1.0,325982,1.2,426304,2.50 58,1,2024-09-07 10:00:10:577,1141658,1141655,0,3,536323645057,5623127562,1124059,13283,4313,367,391726,3 58,2,2024-09-07 10:00:11:083,822115,822115,0,0,37606677,0,3483 58,3,2024-09-07 10:00:11:087,1,651,5,0,1043,7057,651,0 59,0,2024-09-07 10:00:11:741,159712,0.8,159050,1.0,318057,0.9,422229,2.75 59,1,2024-09-07 10:00:10:808,1141604,1141604,0,0,535953950273,5631780755,1122662,15107,3835,369,391653,0 59,2,2024-09-07 10:00:10:599,822473,822473,0,0,35936710,0,3727 59,3,2024-09-07 10:00:11:739,1,651,4,0,1015,7806,651,0 60,0,2024-09-07 10:00:11:805,161733,0.5,161793,0.7,323819,0.5,431896,1.75 60,1,2024-09-07 10:00:10:785,1145678,1145678,0,0,538154749504,5599049595,1136086,8235,1357,370,392031,0 60,2,2024-09-07 10:00:11:144,824972,824972,0,0,34447601,0,3811 60,3,2024-09-07 10:00:11:257,1,651,6,0,409,7231,651,0 61,0,2024-09-07 10:00:11:512,167816,0.6,168463,0.8,335390,0.6,447580,2.00 61,1,2024-09-07 10:00:10:785,1142843,1142843,0,0,535928483493,5609214107,1131111,10029,1703,382,392127,0 61,2,2024-09-07 10:00:11:117,824158,824091,67,0,34927552,0,6411 61,3,2024-09-07 10:00:11:694,1,651,4,0,607,8220,651,0 62,0,2024-09-07 10:00:11:709,165466,0.6,169782,0.7,324130,0.6,440239,2.00 62,1,2024-09-07 10:00:11:113,1149828,1149822,0,6,539603016374,5566704470,1143445,5908,469,365,391975,6 62,2,2024-09-07 10:00:11:646,822188,822187,1,0,34674038,0,5555 62,3,2024-09-07 10:00:11:145,1,651,199,0,482,5276,651,0 63,0,2024-09-07 10:00:11:472,160528,0.4,160234,0.6,321176,0.3,426775,1.75 63,1,2024-09-07 10:00:10:805,1146064,1146058,0,6,537604185944,5582000163,1138865,6336,857,381,391800,6 63,2,2024-09-07 10:00:10:768,822735,822735,0,0,33311326,0,4369 63,3,2024-09-07 10:00:11:732,1,651,8,0,667,6519,651,0 64,0,2024-09-07 10:00:11:566,159452,0.5,159354,0.6,318461,0.4,424477,2.00 64,1,2024-09-07 10:00:10:750,1144698,1144698,0,0,538492172984,5603918797,1135265,7453,1980,370,391794,0 64,2,2024-09-07 10:00:11:144,826950,826931,19,0,32159806,0,6121 64,3,2024-09-07 10:00:11:144,1,651,1,0,651,7158,651,0 65,0,2024-09-07 10:00:11:690,165316,0.5,165600,0.7,330774,0.5,441104,2.00 65,1,2024-09-07 10:00:10:877,1143127,1143127,0,0,536140633724,5601886258,1135241,7008,878,381,391901,0 65,2,2024-09-07 10:00:11:698,821808,821808,0,0,38343978,0,3367 65,3,2024-09-07 10:00:11:683,1,651,4,0,782,7871,651,0 66,0,2024-09-07 10:00:11:767,165247,0.5,164721,0.7,329685,0.5,438821,2.00 66,1,2024-09-07 10:00:11:293,1145069,1145069,0,0,537392888014,5591427790,1137817,6381,871,380,391743,0 66,2,2024-09-07 10:00:11:132,829115,829112,3,0,34275719,0,5455 66,3,2024-09-07 10:00:11:089,1,651,2,0,291,5868,651,0 67,0,2024-09-07 10:00:11:414,159563,0.5,159084,0.7,319114,0.5,424923,2.00 67,1,2024-09-07 10:00:10:766,1145678,1145677,0,1,537218433949,5590481678,1138080,6652,945,380,391787,1 67,2,2024-09-07 10:00:10:582,825565,825550,15,0,33556205,0,6205 67,3,2024-09-07 10:00:11:750,1,651,11,0,595,6604,651,0 68,0,2024-09-07 10:00:10:563,159603,0.6,159332,0.7,317543,0.5,425118,2.00 68,1,2024-09-07 10:00:10:570,1140809,1140809,0,0,534831926858,5622905678,1125603,11424,3782,381,391953,0 68,2,2024-09-07 10:00:11:067,818304,818204,100,0,41977804,0,8578 68,3,2024-09-07 10:00:10:728,1,651,100,0,417,8452,651,0 69,0,2024-09-07 10:00:11:755,164808,0.6,165462,0.8,329976,0.6,439000,2.25 69,1,2024-09-07 10:00:11:019,1139919,1139919,0,0,534687415846,5631563356,1125531,11410,2978,383,391994,0 69,2,2024-09-07 10:00:11:736,820223,820194,29,0,45497817,0,6912 69,3,2024-09-07 10:00:10:771,1,651,8,0,698,9115,651,0 70,0,2024-09-07 10:00:11:532,164459,0.8,165134,0.9,331343,0.7,439200,2.50 70,1,2024-09-07 10:00:10:803,1146432,1146432,0,0,538886137701,5588342057,1138156,7460,816,366,391725,0 70,2,2024-09-07 10:00:11:325,826335,826335,0,0,37231260,0,4323 70,3,2024-09-07 10:00:10:757,1,651,7,0,854,6936,651,0 71,0,2024-09-07 10:00:11:359,160410,0.9,159948,1.0,321258,1.1,427937,2.50 71,1,2024-09-07 10:00:11:599,1144636,1144636,0,0,537245093227,5603654988,1130993,12017,1626,367,391738,0 71,2,2024-09-07 10:00:11:066,820880,820880,0,0,36816979,0,4352 71,3,2024-09-07 10:00:11:750,1,651,22,0,644,7064,651,0 72,0,2024-09-07 10:00:11:030,165843,0.5,162007,0.7,316352,0.5,429848,2.00 72,1,2024-09-07 10:00:11:029,1142135,1142135,0,0,535978390702,5619303065,1126004,13444,2687,369,391819,0 72,2,2024-09-07 10:00:11:761,820330,820330,0,0,39137473,0,3983 72,3,2024-09-07 10:00:11:760,1,651,2,0,564,9270,651,0 73,0,2024-09-07 10:00:11:099,158806,0.4,162776,0.6,332620,0.4,433495,2.00 73,1,2024-09-07 10:00:10:767,1144269,1144269,0,0,537502711332,5584253826,1135805,7481,983,367,391858,0 73,2,2024-09-07 10:00:11:744,823457,823456,1,0,41052829,0,5027 73,3,2024-09-07 10:00:10:970,1,651,2,0,1091,8831,651,0 74,0,2024-09-07 10:00:11:322,168888,0.5,172891,0.7,330095,0.4,447701,2.00 74,1,2024-09-07 10:00:10:635,1142934,1142934,0,0,536805360403,5601449486,1130829,9965,2140,381,391762,0 74,2,2024-09-07 10:00:11:002,823077,823077,0,0,36019057,0,4253 74,3,2024-09-07 10:00:11:446,1,651,1,0,522,7593,651,0 75,0,2024-09-07 10:00:11:764,163962,0.5,162986,0.7,327096,0.5,436674,2.25 75,1,2024-09-07 10:00:11:585,1144174,1144174,0,0,537766596822,5602526151,1134795,8447,932,380,391739,0 75,2,2024-09-07 10:00:11:350,821453,821453,0,0,42535217,0,4766 75,3,2024-09-07 10:00:11:079,1,651,18,0,918,9025,651,0 76,0,2024-09-07 10:00:10:587,160054,0.5,159417,0.7,318664,0.5,426627,2.25 76,1,2024-09-07 10:00:10:814,1143597,1143597,0,0,536746135239,5598221473,1135258,7037,1302,382,391790,0 76,2,2024-09-07 10:00:11:075,825293,825290,3,0,35315356,0,5265 76,3,2024-09-07 10:00:11:145,1,651,7,0,227,5916,651,0 77,0,2024-09-07 10:00:11:705,160516,0.6,160929,0.7,322155,0.5,428256,2.00 77,1,2024-09-07 10:00:10:825,1143890,1143890,0,0,536989069534,5603742525,1134846,8018,1026,381,391869,0 77,2,2024-09-07 10:00:11:287,822971,822971,0,0,34235507,0,3890 77,3,2024-09-07 10:00:11:098,1,651,54,0,401,7320,651,0 78,0,2024-09-07 10:00:11:720,167848,0.4,167247,0.6,335483,0.4,444146,2.00 78,1,2024-09-07 10:00:10:610,1143214,1143214,0,0,535871448407,5591873139,1128892,11176,3146,367,391670,0 78,2,2024-09-07 10:00:11:405,824088,824075,13,0,32163382,0,8313 78,3,2024-09-07 10:00:11:134,1,651,48,0,311,5473,651,0 79,0,2024-09-07 10:00:11:346,159677,0.4,163528,0.6,335116,0.3,436446,2.00 79,1,2024-09-07 10:00:10:577,1147555,1147555,0,0,538360995510,5576485054,1138244,7539,1772,367,391682,0 79,2,2024-09-07 10:00:11:068,826501,826501,0,0,31055927,0,4195 79,3,2024-09-07 10:00:10:749,1,651,9,0,418,7405,651,0 80,0,2024-09-07 10:00:11:077,159591,0.5,163873,0.7,313035,0.5,423717,2.00 80,1,2024-09-07 10:00:11:625,1143926,1143926,0,0,537361212343,5592247472,1136628,6839,459,368,392269,0 80,2,2024-09-07 10:00:11:092,825173,825173,0,0,31797330,0,4433 80,3,2024-09-07 10:00:10:576,1,651,1,0,681,7835,651,0 81,0,2024-09-07 10:00:11:631,159740,0.5,163433,0.7,312055,0.5,423232,2.00 81,1,2024-09-07 10:00:11:675,1142984,1142984,0,0,535764555943,5598459790,1133773,8241,970,382,391885,0 81,2,2024-09-07 10:00:11:127,822106,822043,63,0,34740439,0,5932 81,3,2024-09-07 10:00:11:128,1,651,5,0,719,7181,651,0 82,0,2024-09-07 10:00:11:534,166074,0.5,166124,0.7,332897,0.4,441405,2.00 82,1,2024-09-07 10:00:10:583,1144746,1144742,0,4,537634057065,5589710458,1138424,5276,1042,381,391768,4 82,2,2024-09-07 10:00:11:691,825404,825404,0,0,29539254,0,4484 82,3,2024-09-07 10:00:11:759,1,651,1,0,363,5988,651,0 83,0,2024-09-07 10:00:11:531,165610,0.6,165515,0.7,330577,0.6,439126,2.00 83,1,2024-09-07 10:00:10:554,1143759,1143759,0,0,536658569062,5594363301,1135382,7382,995,382,391709,0 83,2,2024-09-07 10:00:10:764,827387,827362,25,0,31946329,0,5612 83,3,2024-09-07 10:00:10:749,1,651,200,0,1260,7751,651,0 84,0,2024-09-07 10:00:11:772,159140,0.7,159210,0.9,318252,0.7,424700,2.25 84,1,2024-09-07 10:00:11:039,1142024,1142024,0,0,535940352196,5604822551,1129013,11127,1884,367,391967,0 84,2,2024-09-07 10:00:10:571,820395,819985,410,0,45338010,0,17037 84,3,2024-09-07 10:00:11:141,1,651,1,0,908,8655,651,0 85,0,2024-09-07 10:00:11:041,153554,0.6,153500,0.8,325945,0.6,420356,2.25 85,1,2024-09-07 10:00:10:566,1139045,1139045,0,0,535317185920,5645191189,1122489,13753,2803,381,392092,0 85,2,2024-09-07 10:00:10:866,821287,821287,0,0,38981273,0,4255 85,3,2024-09-07 10:00:10:698,1,651,2,0,789,7271,651,0 86,0,2024-09-07 10:00:10:932,163450,0.7,168056,0.8,321544,0.7,435307,2.25 86,1,2024-09-07 10:00:10:827,1141767,1141767,0,0,535883725198,5617677585,1126978,11993,2796,366,392169,0 86,2,2024-09-07 10:00:10:861,822965,822964,1,0,41776918,0,5004 86,3,2024-09-07 10:00:10:589,1,651,37,0,308,8856,651,0 87,0,2024-09-07 10:00:11:296,167536,0.8,166549,0.8,333469,0.9,445355,2.25 87,1,2024-09-07 10:00:10:553,1141398,1141398,0,0,536676386216,5617380545,1127710,11885,1803,366,392076,0 87,2,2024-09-07 10:00:11:069,824282,824276,6,0,38291672,0,6323 87,3,2024-09-07 10:00:11:805,1,651,20,0,473,9039,651,0 88,0,2024-09-07 10:00:11:450,162682,0.4,163194,0.6,326172,0.4,433694,1.75 88,1,2024-09-07 10:00:10:576,1140624,1140624,0,0,534778758151,5605359161,1124377,13125,3122,365,392084,0 88,2,2024-09-07 10:00:10:688,821433,821433,0,0,40235017,0,4465 88,3,2024-09-07 10:00:11:267,1,651,2,0,1080,10254,651,0 89,0,2024-09-07 10:00:11:773,165521,0.4,160558,0.6,316806,0.4,430741,1.75 89,1,2024-09-07 10:00:10:556,1139574,1139574,0,0,535745700790,5632747046,1125796,11603,2175,382,391866,0 89,2,2024-09-07 10:00:11:132,822067,822067,0,0,38441485,0,3173 89,3,2024-09-07 10:00:11:791,1,651,6,0,468,10521,651,0 90,0,2024-09-07 10:00:11:647,156940,0.4,161140,0.6,329034,0.4,429667,2.00 90,1,2024-09-07 10:00:10:601,1141556,1141556,0,0,535232224166,5606424243,1130307,10200,1049,380,391825,0 90,2,2024-09-07 10:00:11:406,820192,820187,5,0,41768651,0,6370 90,3,2024-09-07 10:00:10:937,1,651,3,0,364,8456,651,0 91,0,2024-09-07 10:00:10:945,168427,0.5,163393,0.6,341934,0.5,448401,1.75 91,1,2024-09-07 10:00:10:559,1138725,1138725,0,0,534790202534,5638904616,1121076,13690,3959,381,392047,0 91,2,2024-09-07 10:00:11:370,823065,823065,0,0,37155750,0,4713 91,3,2024-09-07 10:00:10:604,1,651,20,0,231,6182,651,0 92,0,2024-09-07 10:00:11:513,166515,0.4,170237,0.6,324673,0.4,440373,1.75 92,1,2024-09-07 10:00:10:580,1143043,1143043,0,0,537394400369,5612039055,1134103,7635,1305,381,392136,0 92,2,2024-09-07 10:00:11:354,823903,823903,0,0,32963422,0,3906 92,3,2024-09-07 10:00:11:043,1,651,2,0,167,5436,651,0 93,0,2024-09-07 10:00:10:967,161019,0.4,164944,0.6,314981,0.3,426699,1.75 93,1,2024-09-07 10:00:10:816,1143607,1143607,0,0,536186733631,5602582755,1129908,11268,2431,365,392048,0 93,2,2024-09-07 10:00:10:935,822509,822509,0,0,38705247,0,4913 93,3,2024-09-07 10:00:11:429,1,651,4,0,505,7277,651,0 94,0,2024-09-07 10:00:11:616,159529,0.4,160646,0.5,321328,0.3,426969,1.75 94,1,2024-09-07 10:00:10:569,1144269,1144269,0,0,536426394059,5592156401,1136981,6848,440,381,391850,0 94,2,2024-09-07 10:00:10:761,823558,823530,28,0,33348047,0,6179 94,3,2024-09-07 10:00:11:687,1,651,11,0,576,8050,651,0 95,0,2024-09-07 10:00:11:378,166426,0.3,166291,0.5,332953,0.3,443461,1.75 95,1,2024-09-07 10:00:10:861,1144956,1144956,0,0,537813590549,5588276993,1136002,8192,762,365,391852,0 95,2,2024-09-07 10:00:11:017,821656,821656,0,0,33409936,0,3308 95,3,2024-09-07 10:00:11:758,1,651,8,0,718,9947,651,0 96,0,2024-09-07 10:00:11:038,165649,0.3,165852,0.5,332035,0.3,441346,1.75 96,1,2024-09-07 10:00:11:592,1143155,1143155,0,0,536839021292,5597598331,1135401,6465,1289,384,391964,0 96,2,2024-09-07 10:00:11:269,828188,828188,0,0,33806712,0,4180 96,3,2024-09-07 10:00:11:145,1,651,2,0,411,7164,651,0 97,0,2024-09-07 10:00:11:349,159632,0.3,159605,0.5,319732,0.3,424724,1.50 97,1,2024-09-07 10:00:10:891,1144694,1144694,0,0,537788573153,5577572561,1137623,6012,1059,367,392140,0 97,2,2024-09-07 10:00:10:606,823492,823492,0,0,33555695,0,4600 97,3,2024-09-07 10:00:10:569,1,651,433,0,433,7753,651,0 98,0,2024-09-07 10:00:11:718,159202,0.3,159182,0.4,319792,0.2,425459,1.50 98,1,2024-09-07 10:00:10:577,1144610,1144610,0,0,537238255553,5593805056,1137936,5832,842,381,391997,0 98,2,2024-09-07 10:00:10:771,821601,821601,0,0,32025724,0,4336 98,3,2024-09-07 10:00:10:700,1,651,12,0,840,9358,651,0 99,0,2024-09-07 10:00:11:474,165279,0.3,166223,0.5,331035,0.3,441748,1.75 99,1,2024-09-07 10:00:11:723,1145421,1145421,0,0,537630103824,5592552178,1138532,5921,968,380,392069,0 99,2,2024-09-07 10:00:11:417,822747,822747,0,0,41271217,0,4276 99,3,2024-09-07 10:00:10:581,1,651,13,0,1124,8185,651,0 100,0,2024-09-07 10:00:11:494,165958,0.8,166412,0.9,332537,0.9,443707,2.50 100,1,2024-09-07 10:00:10:549,1138028,1138028,0,0,534471606803,5648637820,1120657,13876,3495,378,391989,0 100,2,2024-09-07 10:00:11:817,822180,821791,389,0,43024022,0,16909 100,3,2024-09-07 10:00:11:731,1,651,12,0,627,10492,651,0 101,0,2024-09-07 10:00:11:783,164997,1.0,161025,1.0,315002,0.9,429761,2.25 101,1,2024-09-07 10:00:10:554,1140602,1140602,0,0,535277577990,5622339289,1125566,12356,2680,368,391847,0 101,2,2024-09-07 10:00:11:765,817004,817004,0,0,42711413,0,4871 101,3,2024-09-07 10:00:10:943,1,651,24,0,1250,9678,651,0 102,0,2024-09-07 10:00:10:950,156271,0.6,160983,0.8,327034,0.6,427014,2.25 102,1,2024-09-07 10:00:11:147,1140311,1140311,0,0,535702317919,5624402046,1125433,12669,2209,369,391984,0 102,2,2024-09-07 10:00:11:738,822592,822538,54,0,36735356,0,6768 102,3,2024-09-07 10:00:11:619,1,651,2,0,466,7496,651,0 103,0,2024-09-07 10:00:11:609,168278,0.5,168308,0.7,317098,0.5,436816,2.00 103,1,2024-09-07 10:00:11:753,1139262,1139262,0,0,535059616802,5646479093,1121671,13975,3616,381,392077,0 103,2,2024-09-07 10:00:10:582,822327,822327,0,0,39869312,0,3766 103,3,2024-09-07 10:00:10:756,1,651,10,0,916,7569,651,0 104,0,2024-09-07 10:00:11:085,166626,0.7,166930,0.9,332998,0.7,444948,2.25 104,1,2024-09-07 10:00:11:611,1141750,1141750,0,0,535292581036,5626381630,1124355,14150,3245,365,392168,0 104,2,2024-09-07 10:00:11:668,821679,821679,0,0,39461489,0,4161 104,3,2024-09-07 10:00:11:432,1,651,1,0,1245,11048,651,0 105,0,2024-09-07 10:00:11:056,161950,0.9,157874,1.0,330827,0.9,434650,2.50 105,1,2024-09-07 10:00:10:555,1143469,1143469,0,0,537164137018,5624858520,1129466,12047,1956,364,392009,0 105,2,2024-09-07 10:00:11:328,821544,821544,0,0,39939488,0,4360 105,3,2024-09-07 10:00:11:320,1,651,21,0,573,9815,651,0 106,0,2024-09-07 10:00:10:963,155046,0.9,158826,1.0,325243,1.0,424970,2.50 106,1,2024-09-07 10:00:11:773,1141296,1141296,0,0,535513590369,5618835496,1125512,13757,2027,368,391914,0 106,2,2024-09-07 10:00:10:769,821843,821843,0,0,37173015,0,3331 106,3,2024-09-07 10:00:10:679,1,651,1,0,1224,9004,651,0 107,0,2024-09-07 10:00:11:321,160652,1.0,160820,1.0,321579,1.2,429221,2.25 107,1,2024-09-07 10:00:10:600,1139507,1139507,0,0,533784201078,5639813500,1121383,15942,2182,381,392234,0 107,2,2024-09-07 10:00:11:291,819610,819609,1,0,40780676,0,5024 107,3,2024-09-07 10:00:11:760,1,651,12,0,733,9671,651,0 108,0,2024-09-07 10:00:11:767,166892,0.4,167678,0.6,334298,0.4,446165,1.75 108,1,2024-09-07 10:00:11:299,1142869,1142869,0,0,536724093325,5599095574,1133136,8651,1082,367,391894,0 108,2,2024-09-07 10:00:11:761,822890,822890,0,0,36302501,0,4432 108,3,2024-09-07 10:00:11:333,1,651,107,0,767,12054,651,0 109,0,2024-09-07 10:00:11:766,166166,0.4,164770,0.6,330470,0.3,441104,1.75 109,1,2024-09-07 10:00:10:673,1140783,1140783,0,0,535767412310,5621067850,1130374,8909,1500,382,392132,0 109,2,2024-09-07 10:00:10:941,823933,823933,0,0,36178864,0,3617 109,3,2024-09-07 10:00:11:147,1,651,1,0,630,7590,651,0 110,0,2024-09-07 10:00:11:759,159376,0.4,155032,0.6,324572,0.3,425636,1.75 110,1,2024-09-07 10:00:11:649,1145211,1145211,0,0,537615194461,5580234220,1136526,6661,2024,368,392045,0 110,2,2024-09-07 10:00:11:304,823237,823237,0,0,34462768,0,4067 110,3,2024-09-07 10:00:10:690,1,651,2,0,722,8739,651,0 111,0,2024-09-07 10:00:11:412,160525,0.4,159732,0.5,319126,0.3,425980,1.75 111,1,2024-09-07 10:00:11:002,1146537,1146537,0,0,539099230932,5592445803,1140330,5824,383,380,391690,0 111,2,2024-09-07 10:00:11:115,822588,822588,0,0,34619533,0,4823 111,3,2024-09-07 10:00:10:920,1,651,8,0,379,7276,651,0 112,0,2024-09-07 10:00:10:933,166908,0.3,166398,0.4,333436,0.2,443096,1.50 112,1,2024-09-07 10:00:10:824,1145340,1145340,0,0,537212645629,5576494874,1137896,6219,1225,380,391624,0 112,2,2024-09-07 10:00:11:135,823173,823172,1,0,32889386,0,5036 112,3,2024-09-07 10:00:10:592,1,651,22,0,282,6278,651,0 113,0,2024-09-07 10:00:10:897,165596,0.3,165656,0.5,331881,0.2,441378,1.50 113,1,2024-09-07 10:00:11:685,1147949,1147949,0,0,540121615164,5571130891,1141336,5665,948,365,391664,0 113,2,2024-09-07 10:00:11:302,829525,829525,0,0,30599474,0,3813 113,3,2024-09-07 10:00:10:690,1,651,28,0,510,6758,651,0 114,0,2024-09-07 10:00:10:874,160672,0.3,161709,0.5,322635,0.2,430116,1.75 114,1,2024-09-07 10:00:10:731,1145259,1145259,0,0,537384982266,5577689482,1137059,6451,1749,381,391565,0 114,2,2024-09-07 10:00:10:876,824051,824050,1,0,31728283,0,5069 114,3,2024-09-07 10:00:11:278,1,651,9,0,415,5396,651,0 115,0,2024-09-07 10:00:10:555,160471,0.3,160963,0.4,320697,0.2,426565,1.50 115,1,2024-09-07 10:00:10:575,1145452,1145452,0,0,537462598377,5581175805,1136593,7325,1534,382,391757,0 115,2,2024-09-07 10:00:11:130,823917,823917,0,0,32002811,0,4382 115,3,2024-09-07 10:00:11:002,1,651,39,0,167,4014,651,0 116,0,2024-09-07 10:00:11:761,163326,0.7,163159,0.9,327208,0.7,437663,2.00 116,1,2024-09-07 10:00:10:806,1138946,1138946,0,0,535628644224,5642525944,1125424,10315,3207,380,392089,0 116,2,2024-09-07 10:00:11:754,823642,823642,0,0,39595546,0,4475 116,3,2024-09-07 10:00:10:915,1,651,2,0,448,8167,651,0 117,0,2024-09-07 10:00:10:964,167583,0.7,167110,0.8,334440,0.7,446728,2.00 117,1,2024-09-07 10:00:11:578,1141343,1141343,0,0,535311721058,5603063409,1129063,10699,1581,369,392429,0 117,2,2024-09-07 10:00:11:117,826742,826742,0,0,35835929,0,4303 117,3,2024-09-07 10:00:11:069,1,651,0,0,490,8069,651,0 118,0,2024-09-07 10:00:11:771,157820,0.5,162050,0.7,330729,0.5,432265,2.00 118,1,2024-09-07 10:00:10:601,1140380,1140380,0,0,534806026528,5617985187,1124126,12603,3651,366,392054,0 118,2,2024-09-07 10:00:11:594,820666,820666,0,0,38292187,0,2842 118,3,2024-09-07 10:00:11:765,1,651,1,0,343,7964,651,0 119,0,2024-09-07 10:00:11:353,160766,0.7,161265,0.8,321916,0.8,429260,2.25 119,1,2024-09-07 10:00:10:566,1141412,1141412,0,0,536323612451,5620796477,1126548,12349,2515,367,391857,0 119,2,2024-09-07 10:00:11:276,823486,823486,0,0,35628348,0,4309 119,3,2024-09-07 10:00:11:342,1,651,8,0,1358,11779,651,0 120,0,2024-09-07 10:00:11:580,161045,0.7,160789,0.8,323012,0.7,431051,2.25 120,1,2024-09-07 10:00:10:870,1142099,1142099,0,0,535433334082,5614258853,1129805,11138,1156,367,392144,0 120,2,2024-09-07 10:00:10:779,822439,822436,3,0,43043893,0,5363 120,3,2024-09-07 10:00:11:290,1,651,2,0,478,8338,651,0 121,0,2024-09-07 10:00:11:689,168095,1.2,167551,1.0,335104,1.5,446851,2.25 121,1,2024-09-07 10:00:11:658,1141619,1141619,0,0,535834821895,5607521400,1129301,10477,1841,366,391840,0 121,2,2024-09-07 10:00:11:126,823072,823072,0,0,39370154,0,4157 121,3,2024-09-07 10:00:10:731,1,651,0,0,387,8457,651,0 122,0,2024-09-07 10:00:11:779,164390,0.7,159874,0.9,334714,0.8,440231,2.00 122,1,2024-09-07 10:00:10:860,1141025,1141025,0,0,535646894922,5618381782,1125830,12776,2419,365,392130,0 122,2,2024-09-07 10:00:11:331,824022,823947,75,0,42833435,0,5989 122,3,2024-09-07 10:00:10:610,1,651,1,0,512,10078,651,0 123,0,2024-09-07 10:00:11:018,159262,0.8,155049,0.9,325067,0.9,425289,2.25 123,1,2024-09-07 10:00:10:574,1141553,1141553,0,0,535724040438,5632243865,1123573,15107,2873,369,392039,0 123,2,2024-09-07 10:00:11:040,821198,821197,1,0,36738046,0,5215 123,3,2024-09-07 10:00:11:136,1,651,7,0,478,7263,651,0 124,0,2024-09-07 10:00:10:931,164532,0.3,164585,0.5,310088,0.3,427253,1.75 124,1,2024-09-07 10:00:11:035,1145268,1145268,0,0,536408498505,5575231405,1136991,7084,1193,365,392178,0 124,2,2024-09-07 10:00:11:017,824880,824827,53,0,34092321,0,6487 124,3,2024-09-07 10:00:10:765,1,651,8,0,490,6491,651,0 125,0,2024-09-07 10:00:11:421,166229,0.4,166042,0.5,332875,0.3,443625,1.75 125,1,2024-09-07 10:00:10:858,1142465,1142465,0,0,536679882459,5603938620,1133167,8000,1298,382,392045,0 125,2,2024-09-07 10:00:11:116,824887,824887,0,0,33803964,0,4534 125,3,2024-09-07 10:00:11:126,1,651,1,0,709,7467,651,0 126,0,2024-09-07 10:00:11:476,165835,0.4,170568,0.5,326075,0.3,442144,1.75 126,1,2024-09-07 10:00:10:552,1146159,1146159,0,0,537825565406,5570775556,1139634,5852,673,365,391987,0 126,2,2024-09-07 10:00:10:615,828267,828267,0,0,35143091,0,4539 126,3,2024-09-07 10:00:10:910,1,651,2,0,268,7366,651,0 127,0,2024-09-07 10:00:11:593,160023,0.3,160158,0.5,319601,0.3,425014,1.75 127,1,2024-09-07 10:00:10:570,1143901,1143901,0,0,536524759699,5583263136,1132153,10059,1689,364,392187,0 127,2,2024-09-07 10:00:10:636,822881,822877,4,0,33169590,0,5305 127,3,2024-09-07 10:00:11:269,1,651,18,0,968,6345,651,0 128,0,2024-09-07 10:00:11:518,160220,0.3,160253,0.4,320472,0.2,426206,1.50 128,1,2024-09-07 10:00:11:608,1143842,1143842,0,0,536807533257,5582042851,1135055,7821,966,367,392423,0 128,2,2024-09-07 10:00:11:383,824574,824574,0,0,30618680,0,3171 128,3,2024-09-07 10:00:10:768,1,651,1,0,1082,9935,651,0 129,0,2024-09-07 10:00:10:995,166626,0.3,165848,0.5,332715,0.3,442334,1.50 129,1,2024-09-07 10:00:10:567,1140670,1140670,0,0,535747198818,5616432748,1128809,9817,2044,379,391962,0 129,2,2024-09-07 10:00:10:686,824918,824914,4,0,33719461,0,5335 129,3,2024-09-07 10:00:10:688,1,651,40,0,506,8669,651,0 130,0,2024-09-07 10:00:11:724,167086,0.4,166888,0.6,334116,0.4,444606,1.75 130,1,2024-09-07 10:00:10:584,1145634,1145634,0,0,537209034749,5576816934,1140118,5110,406,381,391825,0 130,2,2024-09-07 10:00:11:160,826544,826544,0,0,33929363,0,4067 130,3,2024-09-07 10:00:11:314,1,651,11,0,960,8520,651,0 131,0,2024-09-07 10:00:11:924,161517,0.3,161957,0.5,324860,0.3,431293,1.50 131,1,2024-09-07 10:00:11:821,1144700,1144700,0,0,537001775155,5595762093,1136947,6553,1200,381,391865,0 131,2,2024-09-07 10:00:10:566,822363,822363,0,0,30868189,0,3979 131,3,2024-09-07 10:00:11:688,1,651,13,0,392,8151,651,0 132,0,2024-09-07 10:00:11:413,160977,0.5,161974,0.6,322258,0.4,428282,2.00 132,1,2024-09-07 10:00:10:577,1140287,1140287,0,0,535092270930,5629808067,1124234,13275,2778,381,392532,0 132,2,2024-09-07 10:00:10:700,821991,821974,17,0,39969376,0,6451 132,3,2024-09-07 10:00:11:688,1,651,1,0,1298,11397,651,0 133,0,2024-09-07 10:00:11:514,158799,0.4,162547,0.6,333454,0.4,434382,2.00 133,1,2024-09-07 10:00:10:583,1140029,1140029,0,0,535042787110,5634385536,1125062,13037,1930,383,391914,0 133,2,2024-09-07 10:00:11:088,825196,825146,50,0,41063135,0,6861 133,3,2024-09-07 10:00:11:298,1,651,7,0,528,7721,651,0 134,0,2024-09-07 10:00:10:970,168398,0.5,168425,0.7,336699,0.5,448326,2.25 134,1,2024-09-07 10:00:10:586,1141180,1141180,0,0,535574398158,5618149212,1126077,11928,3175,366,391781,0 134,2,2024-09-07 10:00:11:757,824081,824057,24,0,37753106,0,6207 134,3,2024-09-07 10:00:10:749,1,651,1,0,739,8116,651,0 135,0,2024-09-07 10:00:11:181,158654,0.7,158678,0.8,336831,0.8,433925,2.25 135,1,2024-09-07 10:00:11:586,1141159,1141159,0,0,536110785203,5635477853,1126991,12319,1849,380,391974,0 135,2,2024-09-07 10:00:10:698,823844,823844,0,0,38915515,0,4503 135,3,2024-09-07 10:00:11:023,1,651,8,0,900,6218,651,0 136,0,2024-09-07 10:00:11:619,160941,0.6,161391,0.8,320621,0.6,428256,2.00 136,1,2024-09-07 10:00:11:452,1142291,1142291,0,0,536288930726,5617500713,1130151,10745,1395,381,392135,0 136,2,2024-09-07 10:00:11:133,824623,824608,15,0,38431933,0,6007 136,3,2024-09-07 10:00:11:112,1,651,0,0,637,7527,651,0 137,0,2024-09-07 10:00:10:938,166048,0.6,161859,0.8,317027,0.7,430801,2.00 137,1,2024-09-07 10:00:10:577,1141396,1141396,0,0,536281055641,5621174260,1124288,13692,3416,366,391898,0 137,2,2024-09-07 10:00:11:712,822063,822063,0,0,39704939,0,3185 137,3,2024-09-07 10:00:10:782,1,651,5,0,484,8581,651,0 138,0,2024-09-07 10:00:11:742,166302,0.9,166750,0.9,333927,1.1,444203,2.25 138,1,2024-09-07 10:00:11:734,1141186,1141186,0,0,536200801339,5626949551,1124958,13591,2637,368,391954,0 138,2,2024-09-07 10:00:10:585,821853,821853,0,0,38139155,0,4988 138,3,2024-09-07 10:00:10:610,1,651,1,0,1200,9803,651,0 139,0,2024-09-07 10:00:11:372,163940,0.9,164587,0.9,328776,1.3,439342,2.25 139,1,2024-09-07 10:00:10:572,1137062,1137062,0,0,533120948185,5649053873,1118036,15158,3868,380,392109,0 139,2,2024-09-07 10:00:10:692,819929,819899,30,0,43558321,0,5997 139,3,2024-09-07 10:00:11:667,1,651,30,0,432,7621,651,0 140,0,2024-09-07 10:00:11:590,159943,0.3,159165,0.5,319520,0.2,425922,1.75 140,1,2024-09-07 10:00:11:547,1148952,1148952,0,0,539211554329,5562459749,1142863,5353,736,364,391628,0 140,2,2024-09-07 10:00:10:686,823398,823397,1,0,31265293,0,5036 140,3,2024-09-07 10:00:10:766,1,651,5,0,575,6586,651,0 141,0,2024-09-07 10:00:11:713,160072,0.3,164561,0.5,314547,0.2,425590,1.50 141,1,2024-09-07 10:00:10:860,1145519,1145519,0,0,537825401077,5588983137,1136081,8072,1366,379,391614,0 141,2,2024-09-07 10:00:11:695,823410,823399,11,0,33496288,0,5369 141,3,2024-09-07 10:00:11:043,1,651,9,0,391,7482,651,0 142,0,2024-09-07 10:00:11:320,166978,0.3,166193,0.5,332788,0.3,443973,1.50 142,1,2024-09-07 10:00:10:595,1143589,1143589,0,0,536479498530,5593840618,1135774,7157,658,382,392102,0 142,2,2024-09-07 10:00:11:300,822219,822187,32,0,33993317,0,6028 142,3,2024-09-07 10:00:11:750,1,651,3,0,484,6776,651,0 143,0,2024-09-07 10:00:11:375,165714,0.4,165594,0.5,332159,0.4,441497,1.75 143,1,2024-09-07 10:00:10:558,1146542,1146542,0,0,538678990777,5587016282,1139553,6326,663,367,391900,0 143,2,2024-09-07 10:00:10:768,827998,827998,0,0,33899059,0,3123 143,3,2024-09-07 10:00:11:144,1,651,8,0,462,7608,651,0 144,0,2024-09-07 10:00:11:516,155772,0.6,160255,0.7,326168,0.5,426552,2.00 144,1,2024-09-07 10:00:10:565,1140946,1140946,0,0,534435218197,5607149659,1129756,9171,2019,381,391733,0 144,2,2024-09-07 10:00:11:761,823258,823258,0,0,32991694,0,4443 144,3,2024-09-07 10:00:11:741,1,651,95,0,259,6636,651,0 145,0,2024-09-07 10:00:11:421,154593,0.6,154613,0.8,328213,0.5,422159,2.25 145,1,2024-09-07 10:00:10:554,1139809,1139809,0,0,535508455344,5633182939,1124777,12277,2755,382,391781,0 145,2,2024-09-07 10:00:11:433,820712,820630,82,0,38967420,0,7814 145,3,2024-09-07 10:00:10:902,1,651,7,0,622,8710,651,0 146,0,2024-09-07 10:00:11:607,163972,0.6,162940,0.8,327923,0.6,436083,2.25 146,1,2024-09-07 10:00:11:585,1141412,1141412,0,0,535401051370,5632363309,1122857,13735,4820,367,391770,0 146,2,2024-09-07 10:00:11:699,822098,822092,6,0,37819118,0,5151 146,3,2024-09-07 10:00:11:274,1,651,1,0,1520,10785,651,0 147,0,2024-09-07 10:00:11:743,167490,0.6,167122,0.7,334381,0.6,445802,2.00 147,1,2024-09-07 10:00:11:376,1145350,1145350,0,0,537222302384,5582402673,1136265,8052,1033,367,391791,0 147,2,2024-09-07 10:00:11:009,825049,825049,0,0,34016155,0,3359 147,3,2024-09-07 10:00:10:920,1,651,9,0,1626,10024,651,0 0,0,2024-09-07 10:00:21:718,157652,0.6,157576,0.7,334471,0.6,432189,2.00 0,1,2024-09-07 10:00:20:804,1144177,1144177,0,0,537080315070,5620886965,1135068,8156,953,368,391896,0 0,2,2024-09-07 10:00:21:066,825954,825954,0,0,33187245,0,4480 0,3,2024-09-07 10:00:20:982,1,652,1,0,431,8997,652,0 1,0,2024-09-07 10:00:21:752,167920,0.9,166943,0.9,335087,1.0,448020,2.00 1,1,2024-09-07 10:00:20:559,1142799,1142799,0,0,536731742228,5618755881,1132841,8457,1501,370,391859,0 1,2,2024-09-07 10:00:20:639,825718,825718,0,0,32634642,0,3380 1,3,2024-09-07 10:00:21:304,1,652,1,0,269,7841,652,0 2,0,2024-09-07 10:00:21:586,165198,0.6,164753,0.7,329393,0.6,439718,2.00 2,1,2024-09-07 10:00:20:859,1146105,1146105,0,0,538546824465,5598978751,1139493,5654,958,379,391805,0 2,2,2024-09-07 10:00:21:266,825529,825529,0,0,31054333,0,3594 2,3,2024-09-07 10:00:20:694,1,652,10,0,357,5598,652,0 3,0,2024-09-07 10:00:21:747,160054,0.4,160118,0.6,319737,0.4,425945,2.00 3,1,2024-09-07 10:00:21:619,1145124,1145124,0,0,537271063932,5586821178,1137055,7351,718,379,391716,0 3,2,2024-09-07 10:00:21:141,825177,825154,23,0,32279522,0,5851 3,3,2024-09-07 10:00:21:754,1,652,8,0,484,4972,652,0 4,0,2024-09-07 10:00:21:764,155890,0.4,160157,0.5,326573,0.3,427513,1.75 4,1,2024-09-07 10:00:20:596,1139985,1139985,0,0,535071572622,5660975841,1120732,14716,4537,369,391992,0 4,2,2024-09-07 10:00:21:018,822050,822050,0,0,39391848,0,4534 4,3,2024-09-07 10:00:21:033,1,652,5,0,448,8677,652,0 5,0,2024-09-07 10:00:21:373,166707,0.4,166528,0.5,333367,0.3,443471,1.75 5,1,2024-09-07 10:00:20:755,1141351,1141351,0,0,536047920068,5655908761,1124112,13014,4225,367,392005,0 5,2,2024-09-07 10:00:21:831,820980,820980,0,0,38388835,0,3582 5,3,2024-09-07 10:00:21:742,1,652,0,0,457,8642,652,0 6,0,2024-09-07 10:00:20:917,165877,0.5,165440,0.6,330917,0.4,441352,2.00 6,1,2024-09-07 10:00:20:748,1143982,1143982,0,0,537372610051,5608390439,1132523,9869,1590,379,391702,0 6,2,2024-09-07 10:00:21:116,827679,827661,18,0,36642664,0,5535 6,3,2024-09-07 10:00:21:281,1,652,10,0,710,7614,652,0 7,0,2024-09-07 10:00:21:533,159158,0.5,160297,0.7,318123,0.5,424195,2.00 7,1,2024-09-07 10:00:20:852,1141548,1141548,0,0,535912137048,5640233410,1124034,13379,4135,382,391747,0 7,2,2024-09-07 10:00:20:770,823197,823197,0,0,35347966,0,4791 7,3,2024-09-07 10:00:20:851,1,652,8,0,552,7497,652,0 8,0,2024-09-07 10:00:21:336,160290,0.4,159902,0.5,320299,0.3,427040,1.75 8,1,2024-09-07 10:00:21:018,1140693,1140693,0,0,535864123207,5632230942,1123137,13834,3722,366,392853,0 8,2,2024-09-07 10:00:20:792,818161,818159,2,0,42104821,0,5112 8,3,2024-09-07 10:00:20:590,1,652,2,0,772,9980,652,0 9,0,2024-09-07 10:00:21:106,165835,0.4,161120,0.5,337160,0.3,442784,1.75 9,1,2024-09-07 10:00:20:556,1140464,1140464,0,0,535608035781,5648510099,1121761,14597,4106,369,392001,0 9,2,2024-09-07 10:00:21:083,822923,822922,1,0,40043066,0,5281 9,3,2024-09-07 10:00:21:752,1,652,1,0,1273,10768,652,0 10,0,2024-09-07 10:00:21:612,166370,0.4,165736,0.5,332526,0.3,442407,1.75 10,1,2024-09-07 10:00:20:586,1142628,1142628,0,0,536475780916,5630041698,1125392,13707,3529,381,391981,0 10,2,2024-09-07 10:00:20:763,827450,827450,0,0,43282219,0,4713 10,3,2024-09-07 10:00:20:871,1,652,5,0,669,7138,652,0 11,0,2024-09-07 10:00:21:019,161407,0.4,156701,0.6,327988,0.4,431354,1.75 11,1,2024-09-07 10:00:20:572,1144815,1144815,0,0,537131491407,5632710144,1128374,12133,4308,383,391766,0 11,2,2024-09-07 10:00:21:123,821964,821964,0,0,37520520,0,4698 11,3,2024-09-07 10:00:21:298,1,652,9,0,843,8140,652,0 12,0,2024-09-07 10:00:20:973,161885,0.4,161676,0.5,322953,0.4,428839,1.75 12,1,2024-09-07 10:00:20:936,1144082,1144082,0,0,536802595509,5599661717,1134811,8248,1023,370,391960,0 12,2,2024-09-07 10:00:21:541,821748,821748,0,0,37144901,0,4390 12,3,2024-09-07 10:00:21:059,1,652,1,0,386,8260,652,0 13,0,2024-09-07 10:00:21:325,164101,0.4,164289,0.6,327693,0.4,436720,1.75 13,1,2024-09-07 10:00:21:535,1141361,1141361,0,0,536279569781,5639387798,1129122,9406,2833,382,391803,0 13,2,2024-09-07 10:00:20:594,827086,827086,0,0,33577701,0,3287 13,3,2024-09-07 10:00:21:762,1,652,1,0,522,8752,652,0 14,0,2024-09-07 10:00:20:596,167826,0.4,168855,0.6,335653,0.4,446708,1.75 14,1,2024-09-07 10:00:21:567,1149390,1149390,0,0,539100680508,5577895703,1140457,7800,1133,364,391673,0 14,2,2024-09-07 10:00:20:765,826145,826115,30,0,34440877,0,6104 14,3,2024-09-07 10:00:21:115,1,652,1,0,1168,6930,652,0 15,0,2024-09-07 10:00:21:563,164113,0.4,163262,0.6,326806,0.4,435901,1.75 15,1,2024-09-07 10:00:21:610,1145961,1145961,0,0,538572364548,5610190636,1135984,7970,2007,381,391619,0 15,2,2024-09-07 10:00:20:998,825125,825125,0,0,30467847,0,3622 15,3,2024-09-07 10:00:21:405,1,652,11,0,1126,8377,652,0 16,0,2024-09-07 10:00:20:947,160707,0.6,161482,0.8,321973,0.6,428977,2.00 16,1,2024-09-07 10:00:20:573,1145422,1145422,0,0,537231571889,5613561014,1135579,8375,1468,370,392194,0 16,2,2024-09-07 10:00:21:434,823605,823605,0,0,34103891,0,4719 16,3,2024-09-07 10:00:21:149,1,652,1,0,358,7893,652,0 17,0,2024-09-07 10:00:21:762,166235,0.6,162013,0.8,317474,0.6,431631,2.00 17,1,2024-09-07 10:00:20:572,1143747,1143747,0,0,536856687046,5627274839,1133045,8922,1780,368,392075,0 17,2,2024-09-07 10:00:21:664,827651,827650,1,0,35336126,0,5050 17,3,2024-09-07 10:00:20:578,1,652,1,0,518,9468,652,0 18,0,2024-09-07 10:00:20:942,165958,0.6,167271,0.8,332184,0.6,444381,2.25 18,1,2024-09-07 10:00:21:641,1148001,1148001,0,0,538336975624,5581343486,1140688,6054,1259,367,391725,0 18,2,2024-09-07 10:00:21:755,826751,826751,0,0,31732569,0,3541 18,3,2024-09-07 10:00:20:897,1,652,0,0,1059,5759,652,0 19,0,2024-09-07 10:00:21:541,164921,0.6,165361,0.8,329617,0.7,438145,2.00 19,1,2024-09-07 10:00:20:574,1147326,1147326,0,0,538669612794,5581943224,1138810,7364,1152,365,391777,0 19,2,2024-09-07 10:00:21:752,829187,829187,0,0,29646814,0,3988 19,3,2024-09-07 10:00:21:129,1,652,12,0,524,5021,652,0 20,0,2024-09-07 10:00:21:395,159626,0.5,159462,0.7,318894,0.5,425275,2.00 20,1,2024-09-07 10:00:20:580,1143650,1143650,0,0,536709001154,5621816909,1132527,9579,1544,369,391922,0 20,2,2024-09-07 10:00:20:937,823907,823907,0,0,36798564,0,4321 20,3,2024-09-07 10:00:20:590,1,652,51,0,468,10024,652,0 21,0,2024-09-07 10:00:21:132,160132,0.5,160098,0.6,320108,0.4,425112,1.75 21,1,2024-09-07 10:00:21:539,1141739,1141739,0,0,535420173167,5647560355,1124268,13547,3924,368,392016,0 21,2,2024-09-07 10:00:21:069,817879,817402,477,0,47582629,0,17074 21,3,2024-09-07 10:00:21:404,1,652,11,0,713,8914,652,0 22,0,2024-09-07 10:00:21:724,166281,0.4,166886,0.6,333391,0.4,442613,2.00 22,1,2024-09-07 10:00:21:026,1142081,1142081,0,0,535872960154,5636176503,1123777,14752,3552,381,391822,0 22,2,2024-09-07 10:00:20:765,822545,822519,26,0,35612657,0,6328 22,3,2024-09-07 10:00:21:066,1,652,1,0,228,5459,652,0 23,0,2024-09-07 10:00:21:390,165395,0.5,165181,0.6,330430,0.4,440327,2.00 23,1,2024-09-07 10:00:21:014,1143315,1143315,0,0,537983744456,5661594858,1123183,13422,6710,365,391690,0 23,2,2024-09-07 10:00:21:091,828301,828301,0,0,33806205,0,3773 23,3,2024-09-07 10:00:21:754,1,652,12,0,855,9436,652,0 24,0,2024-09-07 10:00:20:851,161621,0.4,160747,0.5,323232,0.4,428851,1.75 24,1,2024-09-07 10:00:20:581,1142977,1142977,0,0,535798039394,5606764312,1132341,8858,1778,367,392269,0 24,2,2024-09-07 10:00:21:073,822810,822807,3,0,40467231,0,6294 24,3,2024-09-07 10:00:21:686,1,652,1,0,468,8456,652,0 25,0,2024-09-07 10:00:21:365,164286,0.4,159957,0.6,314342,0.4,427719,1.75 25,1,2024-09-07 10:00:20:571,1141147,1141147,0,0,535203731827,5652142189,1121467,15774,3906,369,391928,0 25,2,2024-09-07 10:00:21:604,821314,821314,0,0,41210667,0,3978 25,3,2024-09-07 10:00:21:005,1,652,0,0,532,7181,652,0 26,0,2024-09-07 10:00:21:727,163685,0.4,159893,0.6,335695,0.3,438144,1.75 26,1,2024-09-07 10:00:21:541,1144669,1144669,0,0,537311435304,5625790449,1129250,12518,2901,380,391758,0 26,2,2024-09-07 10:00:20:860,824191,824191,0,0,43891201,0,4689 26,3,2024-09-07 10:00:21:712,1,652,2,0,796,7927,652,0 27,0,2024-09-07 10:00:21:729,167324,0.4,168132,0.6,334159,0.4,445540,2.25 27,1,2024-09-07 10:00:21:676,1146077,1146077,0,0,537964484288,5603279506,1135392,9068,1617,381,391626,0 27,2,2024-09-07 10:00:20:867,823501,823436,65,0,38377000,0,5699 27,3,2024-09-07 10:00:21:016,1,652,18,0,564,5498,652,0 28,0,2024-09-07 10:00:21:392,162916,0.4,163151,0.6,326335,0.3,434118,1.75 28,1,2024-09-07 10:00:20:799,1146434,1146434,0,0,538691609169,5615056525,1136930,7590,1914,382,391904,0 28,2,2024-09-07 10:00:21:764,823400,823400,0,0,33528611,0,2915 28,3,2024-09-07 10:00:21:776,1,652,1,0,502,6777,652,0 29,0,2024-09-07 10:00:21:356,165828,0.4,161536,0.6,316712,0.3,431825,1.75 29,1,2024-09-07 10:00:21:573,1149261,1149261,0,0,539799917532,5584835641,1141935,6307,1019,367,391809,0 29,2,2024-09-07 10:00:20:861,823786,823786,0,0,32402947,0,4986 29,3,2024-09-07 10:00:20:965,1,652,9,0,459,6852,652,0 30,0,2024-09-07 10:00:21:457,161383,0.5,157092,0.7,329192,0.5,430748,2.00 30,1,2024-09-07 10:00:20:572,1147837,1147837,0,0,539993584872,5605657552,1138837,7914,1086,380,391672,0 30,2,2024-09-07 10:00:21:274,826979,826979,0,0,31415372,0,4192 30,3,2024-09-07 10:00:20:581,1,652,23,0,519,6319,652,0 31,0,2024-09-07 10:00:21:758,167775,0.5,168513,0.7,336000,0.4,448147,2.00 31,1,2024-09-07 10:00:20:563,1152298,1152298,0,0,540362379437,5550120007,1145006,6104,1188,356,391712,0 31,2,2024-09-07 10:00:21:274,824823,824823,0,0,35364851,0,4470 31,3,2024-09-07 10:00:21:706,1,652,8,0,239,5658,652,0 32,0,2024-09-07 10:00:21:429,165251,0.3,166316,0.5,331027,0.3,441008,1.75 32,1,2024-09-07 10:00:20:809,1147836,1147836,0,0,538428177054,5594960544,1140944,6072,820,381,391646,0 32,2,2024-09-07 10:00:20:934,827117,827117,0,0,30352577,0,3922 32,3,2024-09-07 10:00:21:017,1,652,0,0,304,5270,652,0 33,0,2024-09-07 10:00:21:494,160764,0.3,160369,0.4,320800,0.2,427096,1.50 33,1,2024-09-07 10:00:20:688,1149086,1149086,0,0,539495535257,5585227775,1140404,7407,1275,368,391730,0 33,2,2024-09-07 10:00:20:759,824681,824646,35,0,34146685,0,7012 33,3,2024-09-07 10:00:20:897,1,652,6,0,329,5903,652,0 34,0,2024-09-07 10:00:20:931,160841,0.3,165271,0.5,316196,0.2,427101,1.75 34,1,2024-09-07 10:00:21:045,1150810,1150810,0,0,540131174915,5555999630,1147366,3322,122,367,391637,0 34,2,2024-09-07 10:00:20:766,825797,825797,0,0,31994242,0,4562 34,3,2024-09-07 10:00:21:688,1,652,1,0,541,5643,652,0 35,0,2024-09-07 10:00:20:874,166021,0.3,166565,0.5,334126,0.2,444291,1.75 35,1,2024-09-07 10:00:21:072,1146722,1146722,0,0,538476469829,5586131748,1138178,7010,1534,382,391769,0 35,2,2024-09-07 10:00:21:585,824537,824537,0,0,36205852,0,4055 35,3,2024-09-07 10:00:20:906,1,652,251,0,466,6295,652,0 36,0,2024-09-07 10:00:21:524,166331,0.5,166574,0.6,332608,0.4,442988,2.00 36,1,2024-09-07 10:00:20:587,1145306,1145306,0,0,536849077790,5602500285,1131367,11693,2246,366,391759,0 36,2,2024-09-07 10:00:21:750,828075,828075,0,0,37045112,0,3875 36,3,2024-09-07 10:00:20:863,1,652,1,0,556,8602,652,0 37,0,2024-09-07 10:00:21:367,159060,0.5,159113,0.7,318482,0.5,424817,2.25 37,1,2024-09-07 10:00:20:570,1145127,1145120,0,7,537313243458,5609873120,1131683,10515,2922,365,391770,0 37,2,2024-09-07 10:00:21:142,821820,821805,15,0,36343038,0,5815 37,3,2024-09-07 10:00:21:767,1,652,1,0,888,8860,652,0 38,0,2024-09-07 10:00:21:448,159185,0.4,154285,0.6,322674,0.3,423004,2.00 38,1,2024-09-07 10:00:21:605,1144782,1144782,0,0,537778485526,5622083509,1128360,13125,3297,368,391821,0 38,2,2024-09-07 10:00:20:759,823283,823236,47,0,36978347,0,6710 38,3,2024-09-07 10:00:20:996,1,652,5,0,689,7528,652,0 39,0,2024-09-07 10:00:21:760,169484,0.5,165682,0.7,323154,0.5,441516,2.00 39,1,2024-09-07 10:00:20:725,1144729,1144729,0,0,537245696024,5630258544,1126225,14316,4188,365,391865,0 39,2,2024-09-07 10:00:21:417,824278,824278,0,0,35326841,0,3391 39,3,2024-09-07 10:00:20:713,1,652,9,0,525,7303,652,0 40,0,2024-09-07 10:00:21:521,164867,0.9,165630,1.0,330516,1.0,441286,2.75 40,1,2024-09-07 10:00:20:579,1144716,1144716,0,0,536137617828,5617942269,1127334,14087,3295,366,391668,0 40,2,2024-09-07 10:00:21:304,824805,824798,7,0,41530531,0,5347 40,3,2024-09-07 10:00:21:149,1,652,3,0,1028,9304,652,0 41,0,2024-09-07 10:00:21:065,160763,1.2,164532,1.1,313819,1.7,426008,3.00 41,1,2024-09-07 10:00:20:769,1143959,1143959,0,0,537463300639,5620811228,1129293,12364,2302,369,391878,0 41,2,2024-09-07 10:00:20:763,819241,819240,1,0,39879895,0,5408 41,3,2024-09-07 10:00:21:676,1,652,42,0,366,6946,652,0 42,0,2024-09-07 10:00:21:473,159634,0.9,159300,1.0,318863,1.0,422635,2.50 42,1,2024-09-07 10:00:21:439,1142014,1142014,0,0,535361000670,5621941801,1124854,14204,2956,380,391675,0 42,2,2024-09-07 10:00:21:132,821049,821048,1,0,41077740,0,5513 42,3,2024-09-07 10:00:21:010,1,652,3,0,892,6238,652,0 43,0,2024-09-07 10:00:20:914,161527,0.8,157308,0.9,329697,0.8,431717,2.25 43,1,2024-09-07 10:00:20:581,1144719,1144719,0,0,537337243190,5614613423,1128926,13047,2746,366,391696,0 43,2,2024-09-07 10:00:21:739,825705,825705,0,0,38350701,0,4723 43,3,2024-09-07 10:00:21:759,1,652,8,0,571,9074,652,0 44,0,2024-09-07 10:00:20:874,168135,0.4,168324,0.6,335890,0.4,447693,2.00 44,1,2024-09-07 10:00:20:571,1148084,1148084,0,0,538233283953,5564556835,1137906,8107,2071,356,391809,0 44,2,2024-09-07 10:00:21:273,824660,824660,0,0,31163085,0,4344 44,3,2024-09-07 10:00:21:093,1,652,59,0,1097,8079,652,0 45,0,2024-09-07 10:00:21:773,162196,0.5,158472,0.7,332684,0.4,435935,2.00 45,1,2024-09-07 10:00:21:006,1147091,1147091,0,0,538651864109,5598070651,1138052,7820,1219,382,391917,0 45,2,2024-09-07 10:00:21:272,824498,824498,0,0,31194963,0,3596 45,3,2024-09-07 10:00:20:936,1,652,1,0,531,6062,652,0 46,0,2024-09-07 10:00:20:953,159987,0.5,159482,0.7,320009,0.5,425823,2.00 46,1,2024-09-07 10:00:20:574,1149389,1149389,0,0,539538394234,5577232046,1141441,6899,1049,366,391709,0 46,2,2024-09-07 10:00:20:593,825603,825603,0,0,32319458,0,4443 46,3,2024-09-07 10:00:21:130,1,652,40,0,908,7519,652,0 47,0,2024-09-07 10:00:21:115,161789,0.4,161430,0.6,324411,0.4,429904,2.00 47,1,2024-09-07 10:00:20:572,1150183,1150183,0,0,539623910930,5575850499,1143273,5942,968,364,391641,0 47,2,2024-09-07 10:00:20:907,828287,828287,0,0,32074881,0,4477 47,3,2024-09-07 10:00:21:116,1,652,8,0,600,6997,652,0 48,0,2024-09-07 10:00:21:487,168059,0.3,168646,0.4,335978,0.2,447486,1.50 48,1,2024-09-07 10:00:21:026,1147176,1147176,0,0,538917002712,5594737742,1140647,5935,594,381,391710,0 48,2,2024-09-07 10:00:20:703,825291,825291,0,0,29759341,0,3524 48,3,2024-09-07 10:00:20:754,1,652,26,0,339,5636,652,0 49,0,2024-09-07 10:00:21:774,170230,0.3,166739,0.5,324556,0.3,442629,1.75 49,1,2024-09-07 10:00:21:022,1146831,1146831,0,0,537900977211,5592934669,1139285,5850,1696,382,391809,0 49,2,2024-09-07 10:00:21:881,827687,827687,0,0,31684642,0,4426 49,3,2024-09-07 10:00:21:420,1,652,170,0,992,7724,652,0 50,0,2024-09-07 10:00:21:504,160174,0.3,158743,0.5,319735,0.2,425078,1.75 50,1,2024-09-07 10:00:21:011,1149791,1149791,0,0,540765946839,5588252826,1142816,6304,671,368,391691,0 50,2,2024-09-07 10:00:21:075,823089,823089,0,0,29396009,0,4490 50,3,2024-09-07 10:00:21:291,1,652,1,0,617,6558,652,0 51,0,2024-09-07 10:00:21:688,164287,0.3,160736,0.5,313062,0.2,426862,1.75 51,1,2024-09-07 10:00:21:682,1149998,1149998,0,0,541246173614,5579970013,1143608,5052,1338,365,391706,0 51,2,2024-09-07 10:00:21:316,824412,824412,0,0,29096025,0,3337 51,3,2024-09-07 10:00:21:029,1,652,10,0,678,4657,652,0 52,0,2024-09-07 10:00:21:427,166958,0.5,167006,0.6,333647,0.4,443739,2.00 52,1,2024-09-07 10:00:20:578,1144992,1144992,0,0,537698835287,5623467838,1129427,13212,2353,368,391805,0 52,2,2024-09-07 10:00:21:756,820703,820665,38,0,39566620,0,6742 52,3,2024-09-07 10:00:20:677,1,652,0,0,1782,7737,652,0 53,0,2024-09-07 10:00:21:737,165222,0.6,160300,0.8,335418,0.7,439301,2.25 53,1,2024-09-07 10:00:20:776,1143053,1143053,0,0,537400767740,5633716017,1124416,14230,4407,367,391968,0 53,2,2024-09-07 10:00:21:298,828550,828549,1,0,34830290,0,5455 53,3,2024-09-07 10:00:20:705,1,652,1,0,308,5915,652,0 54,0,2024-09-07 10:00:21:613,158893,0.6,159152,0.7,317173,0.5,423220,2.25 54,1,2024-09-07 10:00:20:580,1146494,1146494,0,0,537623857486,5587606770,1135184,9638,1672,366,391810,0 54,2,2024-09-07 10:00:20:865,823601,823569,32,0,39090165,0,6397 54,3,2024-09-07 10:00:20:763,1,652,9,0,676,8197,652,0 55,0,2024-09-07 10:00:21:759,154297,0.6,159175,0.8,322782,0.5,420233,2.50 55,1,2024-09-07 10:00:20:764,1147050,1147050,0,0,538371169621,5596892654,1135362,10220,1468,365,391731,0 55,2,2024-09-07 10:00:20:728,822117,822061,56,0,36180166,0,7239 55,3,2024-09-07 10:00:20:676,1,652,12,0,304,5945,652,0 56,0,2024-09-07 10:00:21:550,167537,1.1,157959,1.1,325362,1.5,436239,2.75 56,1,2024-09-07 10:00:20:571,1139780,1139780,0,0,535266410006,5658970996,1120997,15032,3751,381,391867,0 56,2,2024-09-07 10:00:21:304,823879,823757,122,0,38890578,0,7432 56,3,2024-09-07 10:00:21:059,1,652,2,0,705,8018,652,0 57,0,2024-09-07 10:00:20:934,165518,1.4,165339,1.2,330790,2.0,441787,3.00 57,1,2024-09-07 10:00:20:986,1142492,1142492,0,0,536054689280,5625549845,1127052,12750,2690,366,392032,0 57,2,2024-09-07 10:00:21:318,825622,825622,0,0,41159492,0,4804 57,3,2024-09-07 10:00:21:738,1,652,2,0,455,7210,652,0 58,0,2024-09-07 10:00:20:557,160392,0.9,155802,1.0,326193,1.1,426556,2.50 58,1,2024-09-07 10:00:20:581,1143610,1143607,0,3,537287119093,5633367047,1126005,13289,4313,367,391726,3 58,2,2024-09-07 10:00:21:072,823262,823262,0,0,37725255,0,3483 58,3,2024-09-07 10:00:21:075,1,652,1,0,1043,7058,652,0 59,0,2024-09-07 10:00:21:738,159931,0.8,159245,1.0,318445,0.9,422713,2.75 59,1,2024-09-07 10:00:20:807,1143363,1143363,0,0,536630375688,5638793696,1124421,15107,3835,369,391653,0 59,2,2024-09-07 10:00:20:588,823734,823734,0,0,35969087,0,3727 59,3,2024-09-07 10:00:21:741,1,652,1,0,1015,7807,652,0 60,0,2024-09-07 10:00:21:713,162224,0.5,162213,0.7,324713,0.5,433034,1.75 60,1,2024-09-07 10:00:20:779,1147345,1147345,0,0,539120652225,5609169621,1137751,8237,1357,370,392031,0 60,2,2024-09-07 10:00:21:140,826366,826366,0,0,34514086,0,3811 60,3,2024-09-07 10:00:21:262,1,652,70,0,409,7301,652,0 61,0,2024-09-07 10:00:21:550,167941,0.6,168597,0.8,335588,0.6,447860,2.00 61,1,2024-09-07 10:00:20:772,1144735,1144735,0,0,536822974357,5619233452,1132906,10124,1705,382,392127,0 61,2,2024-09-07 10:00:21:116,825525,825458,67,0,35089303,0,6411 61,3,2024-09-07 10:00:21:687,1,652,2,0,607,8222,652,0 62,0,2024-09-07 10:00:21:718,165783,0.6,170091,0.7,324699,0.6,440906,2.00 62,1,2024-09-07 10:00:21:111,1151468,1151462,0,6,540267803480,5573717667,1145085,5908,469,365,391975,6 62,2,2024-09-07 10:00:21:643,822857,822856,1,0,34747175,0,5555 62,3,2024-09-07 10:00:21:142,1,652,0,0,482,5276,652,0 63,0,2024-09-07 10:00:21:454,160753,0.4,160465,0.6,321632,0.3,427356,1.75 63,1,2024-09-07 10:00:20:805,1148023,1148017,0,6,538643891601,5593432135,1140719,6441,857,381,391800,6 63,2,2024-09-07 10:00:20:763,824320,824320,0,0,33407142,0,4369 63,3,2024-09-07 10:00:21:731,1,652,12,0,667,6531,652,0 64,0,2024-09-07 10:00:21:508,159896,0.5,159814,0.6,319383,0.4,425693,2.00 64,1,2024-09-07 10:00:20:752,1146380,1146380,0,0,539506478812,5614780597,1136921,7479,1980,370,391794,0 64,2,2024-09-07 10:00:21:140,828380,828361,19,0,32528202,0,6121 64,3,2024-09-07 10:00:21:140,1,652,4,0,651,7162,652,0 65,0,2024-09-07 10:00:21:677,165582,0.5,165859,0.7,331313,0.5,441801,2.00 65,1,2024-09-07 10:00:20:859,1144728,1144728,0,0,536943030933,5610227580,1136842,7008,878,381,391901,0 65,2,2024-09-07 10:00:21:694,823044,823044,0,0,38520193,0,3367 65,3,2024-09-07 10:00:21:690,1,652,5,0,782,7876,652,0 66,0,2024-09-07 10:00:21:764,165585,0.5,165025,0.7,330343,0.5,439735,2.00 66,1,2024-09-07 10:00:21:293,1146828,1146828,0,0,538277981679,5600674674,1139575,6382,871,380,391743,0 66,2,2024-09-07 10:00:21:135,829982,829979,3,0,34334342,0,5455 66,3,2024-09-07 10:00:21:081,1,652,1,0,291,5869,652,0 67,0,2024-09-07 10:00:21:416,159724,0.5,159278,0.7,319464,0.5,425407,2.00 67,1,2024-09-07 10:00:20:773,1147268,1147267,0,1,537987962306,5599117903,1139631,6691,945,380,391787,1 67,2,2024-09-07 10:00:20:585,826919,826904,15,0,33776114,0,6205 67,3,2024-09-07 10:00:21:750,1,652,7,0,595,6611,652,0 68,0,2024-09-07 10:00:20:572,159932,0.6,159659,0.7,318241,0.5,426068,2.00 68,1,2024-09-07 10:00:20:573,1142627,1142627,0,0,535741805023,5632504376,1127420,11425,3782,381,391953,0 68,2,2024-09-07 10:00:21:045,819679,819579,100,0,42044218,0,8578 68,3,2024-09-07 10:00:20:728,1,652,351,0,417,8803,652,0 69,0,2024-09-07 10:00:21:739,165246,0.6,165900,0.8,330801,0.6,440272,2.25 69,1,2024-09-07 10:00:21:019,1141729,1141729,0,0,535540263717,5640478717,1127341,11410,2978,383,391994,0 69,2,2024-09-07 10:00:21:735,821470,821441,29,0,45542115,0,6912 69,3,2024-09-07 10:00:20:773,1,652,1,0,698,9116,652,0 70,0,2024-09-07 10:00:21:530,164560,0.8,165251,0.9,331573,0.7,439535,2.50 70,1,2024-09-07 10:00:20:802,1148194,1148194,0,0,539820205242,5597898316,1139918,7460,816,366,391725,0 70,2,2024-09-07 10:00:21:325,827503,827503,0,0,37258245,0,4323 70,3,2024-09-07 10:00:20:749,1,652,1,0,854,6937,652,0 71,0,2024-09-07 10:00:21:357,160540,0.9,160071,1.0,321480,1.1,428246,2.50 71,1,2024-09-07 10:00:21:602,1146439,1146439,0,0,538142615811,5613143562,1132783,12030,1626,367,391738,0 71,2,2024-09-07 10:00:21:066,822128,822128,0,0,36858105,0,4352 71,3,2024-09-07 10:00:21:750,1,652,8,0,644,7072,652,0 72,0,2024-09-07 10:00:21:036,165845,0.5,162012,0.7,316361,0.5,429848,2.00 72,1,2024-09-07 10:00:21:027,1143940,1143940,0,0,536809006050,5627850710,1127809,13444,2687,369,391819,0 72,2,2024-09-07 10:00:21:755,821716,821716,0,0,39174719,0,3983 72,3,2024-09-07 10:00:21:754,1,652,1,0,564,9271,652,0 73,0,2024-09-07 10:00:21:113,159138,0.4,163113,0.6,333386,0.4,434423,2.00 73,1,2024-09-07 10:00:20:778,1146002,1146002,0,0,538352659431,5593040329,1137538,7481,983,367,391858,0 73,2,2024-09-07 10:00:21:739,824824,824823,1,0,41087084,0,5027 73,3,2024-09-07 10:00:20:979,1,652,1,0,1091,8832,652,0 74,0,2024-09-07 10:00:21:320,168978,0.5,172982,0.7,330281,0.4,447955,2.00 74,1,2024-09-07 10:00:20:644,1144656,1144656,0,0,537602606788,5609598150,1132551,9965,2140,381,391762,0 74,2,2024-09-07 10:00:21:002,824369,824369,0,0,36058313,0,4253 74,3,2024-09-07 10:00:21:441,1,652,0,0,522,7593,652,0 75,0,2024-09-07 10:00:21:766,164188,0.5,163187,0.7,327536,0.5,437227,2.25 75,1,2024-09-07 10:00:21:586,1145958,1145958,0,0,538385653586,5608968752,1136579,8447,932,380,391739,0 75,2,2024-09-07 10:00:21:349,822460,822460,0,0,42588934,0,4766 75,3,2024-09-07 10:00:21:067,1,652,2,0,918,9027,652,0 76,0,2024-09-07 10:00:20:580,160385,0.5,159752,0.7,319316,0.5,427462,2.25 76,1,2024-09-07 10:00:20:832,1145387,1145387,0,0,537447175987,5605757281,1137046,7038,1303,382,391790,0 76,2,2024-09-07 10:00:21:062,826694,826691,3,0,35384625,0,5265 76,3,2024-09-07 10:00:21:148,1,652,3,0,227,5919,652,0 77,0,2024-09-07 10:00:21:700,160795,0.6,161186,0.7,322675,0.5,428941,2.00 77,1,2024-09-07 10:00:20:845,1145709,1145709,0,0,537864335004,5613333488,1136638,8045,1026,381,391869,0 77,2,2024-09-07 10:00:21:288,824408,824408,0,0,34378014,0,3890 77,3,2024-09-07 10:00:21:094,1,652,12,0,401,7332,652,0 78,0,2024-09-07 10:00:21:719,168321,0.4,167743,0.6,336532,0.4,445561,2.00 78,1,2024-09-07 10:00:20:617,1144824,1144824,0,0,536670567139,5601211504,1130275,11394,3155,367,391670,0 78,2,2024-09-07 10:00:21:405,825461,825448,13,0,32342518,0,8313 78,3,2024-09-07 10:00:21:133,1,652,1,0,311,5474,652,0 79,0,2024-09-07 10:00:21:344,159969,0.4,163872,0.6,335753,0.3,437261,2.00 79,1,2024-09-07 10:00:20:578,1149212,1149212,0,0,539022357323,5583675232,1139871,7569,1772,367,391682,0 79,2,2024-09-07 10:00:21:068,827242,827242,0,0,31139419,0,4195 79,3,2024-09-07 10:00:20:748,1,652,10,0,418,7415,652,0 80,0,2024-09-07 10:00:21:120,159770,0.5,164046,0.7,313348,0.5,424175,2.00 80,1,2024-09-07 10:00:21:624,1145690,1145690,0,0,538067989558,5600101491,1138366,6864,460,368,392269,0 80,2,2024-09-07 10:00:21:106,826609,826609,0,0,31874156,0,4433 80,3,2024-09-07 10:00:20:582,1,652,5,0,681,7840,652,0 81,0,2024-09-07 10:00:21:531,159980,0.5,163674,0.7,312489,0.5,424028,2.00 81,1,2024-09-07 10:00:21:650,1144728,1144728,0,0,536478394820,5605970111,1135516,8242,970,382,391885,0 81,2,2024-09-07 10:00:21:128,823484,823421,63,0,34843806,0,5932 81,3,2024-09-07 10:00:21:129,1,652,7,0,719,7188,652,0 82,0,2024-09-07 10:00:21:530,166447,0.5,166509,0.7,333640,0.4,442874,2.00 82,1,2024-09-07 10:00:20:591,1146535,1146531,0,4,538407743971,5597777062,1140212,5277,1042,381,391768,4 82,2,2024-09-07 10:00:21:693,826451,826451,0,0,29591396,0,4484 82,3,2024-09-07 10:00:21:752,1,652,4,0,363,5992,652,0 83,0,2024-09-07 10:00:21:530,165755,0.6,165669,0.7,330906,0.6,439607,2.00 83,1,2024-09-07 10:00:20:550,1145508,1145508,0,0,537455067092,5602778922,1137129,7384,995,382,391709,0 83,2,2024-09-07 10:00:20:763,828460,828435,25,0,32025114,0,5612 83,3,2024-09-07 10:00:20:752,1,652,3,0,1260,7754,652,0 84,0,2024-09-07 10:00:21:762,159227,0.7,159304,0.9,318443,0.7,424937,2.25 84,1,2024-09-07 10:00:21:039,1143771,1143771,0,0,536608158309,5611757578,1130759,11128,1884,367,391967,0 84,2,2024-09-07 10:00:20:579,821851,821441,410,0,45375533,0,17037 84,3,2024-09-07 10:00:21:170,1,652,20,0,908,8675,652,0 85,0,2024-09-07 10:00:21:003,153653,0.6,153627,0.8,326195,0.6,420688,2.25 85,1,2024-09-07 10:00:20:567,1140662,1140662,0,0,536067418825,5653160336,1124101,13758,2803,381,392092,0 85,2,2024-09-07 10:00:20:872,822687,822687,0,0,39084969,0,4255 85,3,2024-09-07 10:00:20:686,1,652,1,0,789,7272,652,0 86,0,2024-09-07 10:00:20:923,163887,0.7,168560,0.8,322566,0.7,436639,2.25 86,1,2024-09-07 10:00:20:824,1143528,1143528,0,0,536667939128,5626041323,1128720,12012,2796,366,392169,0 86,2,2024-09-07 10:00:20:858,824003,824002,1,0,41811329,0,5004 86,3,2024-09-07 10:00:20:590,1,652,8,0,308,8864,652,0 87,0,2024-09-07 10:00:21:314,167647,0.8,166643,0.8,333674,0.9,445657,2.25 87,1,2024-09-07 10:00:20:553,1143160,1143160,0,0,537343719625,5624394546,1129471,11886,1803,366,392076,0 87,2,2024-09-07 10:00:21:067,825566,825560,6,0,38354678,0,6323 87,3,2024-09-07 10:00:21:794,1,652,14,0,473,9053,652,0 88,0,2024-09-07 10:00:21:438,162794,0.4,163289,0.6,326370,0.4,433955,1.75 88,1,2024-09-07 10:00:20:572,1142331,1142331,0,0,535672979414,5614443606,1126084,13125,3122,365,392084,0 88,2,2024-09-07 10:00:20:723,822613,822613,0,0,40273515,0,4465 88,3,2024-09-07 10:00:21:274,1,652,73,0,1080,10327,652,0 89,0,2024-09-07 10:00:21:765,165744,0.4,160780,0.6,317194,0.4,431260,1.75 89,1,2024-09-07 10:00:20:551,1141368,1141368,0,0,536575572187,5641323598,1127590,11603,2175,382,391866,0 89,2,2024-09-07 10:00:21:316,823346,823346,0,0,38505851,0,3173 89,3,2024-09-07 10:00:21:792,1,652,2,0,468,10523,652,0 90,0,2024-09-07 10:00:21:629,157319,0.4,161576,0.6,329882,0.4,430800,2.00 90,1,2024-09-07 10:00:20:602,1143354,1143354,0,0,536028504702,5614619638,1132105,10200,1049,380,391825,0 90,2,2024-09-07 10:00:21:451,821653,821648,5,0,41812582,0,6370 90,3,2024-09-07 10:00:20:938,1,652,1,0,364,8457,652,0 91,0,2024-09-07 10:00:20:957,168568,0.5,163499,0.6,342153,0.5,448705,1.75 91,1,2024-09-07 10:00:20:556,1140386,1140386,0,0,535816391824,5649513730,1122736,13691,3959,381,392047,0 91,2,2024-09-07 10:00:21:356,824584,824584,0,0,37218065,0,4713 91,3,2024-09-07 10:00:20:601,1,652,15,0,231,6197,652,0 92,0,2024-09-07 10:00:21:481,166805,0.5,170534,0.6,325305,0.4,441141,1.75 92,1,2024-09-07 10:00:20:580,1144852,1144852,0,0,538046837407,5619121911,1135910,7637,1305,381,392136,0 92,2,2024-09-07 10:00:21:353,824598,824598,0,0,33016406,0,3906 92,3,2024-09-07 10:00:21:009,1,652,3,0,167,5439,652,0 93,0,2024-09-07 10:00:20:967,161236,0.4,165173,0.6,315402,0.3,427264,1.75 93,1,2024-09-07 10:00:20:806,1145297,1145297,0,0,536989072804,5611344946,1131562,11304,2431,365,392048,0 93,2,2024-09-07 10:00:20:939,824020,824020,0,0,38918511,0,4913 93,3,2024-09-07 10:00:21:409,1,652,77,0,505,7354,652,0 94,0,2024-09-07 10:00:21:606,159931,0.4,161045,0.5,322179,0.3,427980,1.75 94,1,2024-09-07 10:00:20:574,1145907,1145907,0,0,537192409724,5600355174,1138613,6854,440,381,391850,0 94,2,2024-09-07 10:00:20:763,825071,825043,28,0,33456699,0,6179 94,3,2024-09-07 10:00:21:696,1,652,5,0,576,8055,652,0 95,0,2024-09-07 10:00:21:356,166722,0.3,166582,0.5,333485,0.3,444085,1.75 95,1,2024-09-07 10:00:20:851,1146670,1146670,0,0,538450337229,5595363505,1137689,8219,762,365,391852,0 95,2,2024-09-07 10:00:21:017,822852,822852,0,0,33485383,0,3308 95,3,2024-09-07 10:00:21:714,1,652,11,0,718,9958,652,0 96,0,2024-09-07 10:00:21:031,166010,0.3,166177,0.5,332705,0.3,442270,1.75 96,1,2024-09-07 10:00:21:585,1144960,1144960,0,0,537775229001,5608668584,1136959,6710,1291,384,391964,0 96,2,2024-09-07 10:00:21:276,829087,829087,0,0,33853049,0,4180 96,3,2024-09-07 10:00:21:148,1,652,67,0,411,7231,652,0 97,0,2024-09-07 10:00:21:317,159803,0.3,159760,0.5,320059,0.3,425167,1.50 97,1,2024-09-07 10:00:20:764,1146870,1146870,0,0,538632069307,5588328235,1139364,6272,1234,367,392140,0 97,2,2024-09-07 10:00:20:608,825023,825023,0,0,33770259,0,4600 97,3,2024-09-07 10:00:20:569,1,652,10,0,433,7763,652,0 98,0,2024-09-07 10:00:21:860,159550,0.3,159536,0.4,320437,0.2,426404,1.50 98,1,2024-09-07 10:00:20:579,1145928,1145928,0,0,538084297764,5603170703,1139157,5928,843,381,391997,0 98,2,2024-09-07 10:00:20:772,822968,822968,0,0,32137502,0,4336 98,3,2024-09-07 10:00:20:702,1,652,3,0,840,9361,652,0 99,0,2024-09-07 10:00:21:585,165705,0.3,166627,0.5,331880,0.3,442808,1.75 99,1,2024-09-07 10:00:21:739,1146979,1146979,0,0,538402478582,5601097387,1139990,6019,970,380,392069,0 99,2,2024-09-07 10:00:21:432,823823,823823,0,0,41364539,0,4276 99,3,2024-09-07 10:00:20:580,1,652,25,0,1124,8210,652,0 100,0,2024-09-07 10:00:21:470,166082,0.8,166547,0.9,332753,0.9,444029,2.50 100,1,2024-09-07 10:00:20:553,1139903,1139903,0,0,535238837915,5656798000,1122529,13879,3495,378,391989,0 100,2,2024-09-07 10:00:21:834,823382,822993,389,0,43062928,0,16909 100,3,2024-09-07 10:00:21:734,1,652,9,0,627,10501,652,0 101,0,2024-09-07 10:00:21:742,165140,1.0,161162,1.0,315268,0.9,430095,2.25 101,1,2024-09-07 10:00:20:551,1142371,1142371,0,0,536382282760,5633655143,1127335,12356,2680,368,391847,0 101,2,2024-09-07 10:00:21:773,818296,818296,0,0,42740098,0,4871 101,3,2024-09-07 10:00:20:948,1,652,1,0,1250,9679,652,0 102,0,2024-09-07 10:00:20:941,156277,0.6,160988,0.8,327044,0.6,427014,2.25 102,1,2024-09-07 10:00:21:244,1142086,1142086,0,0,536608844482,5633742780,1127207,12669,2210,369,391984,0 102,2,2024-09-07 10:00:21:774,823953,823899,54,0,36799001,0,6768 102,3,2024-09-07 10:00:21:612,1,652,0,0,466,7496,652,0 103,0,2024-09-07 10:00:21:591,168617,0.5,168661,0.7,317775,0.5,437735,2.00 103,1,2024-09-07 10:00:21:637,1141117,1141117,0,0,536098037787,5657434959,1123484,14017,3616,381,392077,0 103,2,2024-09-07 10:00:20:582,823648,823648,0,0,39962218,0,3766 103,3,2024-09-07 10:00:20:756,1,652,8,0,916,7577,652,0 104,0,2024-09-07 10:00:21:032,166714,0.7,167024,0.9,333165,0.7,445181,2.25 104,1,2024-09-07 10:00:21:603,1143503,1143503,0,0,535946417132,5633117263,1126108,14150,3245,365,392168,0 104,2,2024-09-07 10:00:21:667,822982,822982,0,0,39488220,0,4161 104,3,2024-09-07 10:00:21:416,1,652,1,0,1245,11049,652,0 105,0,2024-09-07 10:00:21:026,162179,0.9,158111,1.0,331285,0.9,435231,2.50 105,1,2024-09-07 10:00:20:554,1145260,1145260,0,0,537665171078,5630213788,1131257,12047,1956,364,392009,0 105,2,2024-09-07 10:00:21:322,822550,822550,0,0,39966478,0,4360 105,3,2024-09-07 10:00:21:308,1,652,4,0,573,9819,652,0 106,0,2024-09-07 10:00:20:938,155372,0.9,159183,1.0,325983,1.0,425860,2.50 106,1,2024-09-07 10:00:21:750,1143095,1143095,0,0,536232011719,5626253389,1127311,13757,2027,368,391914,0 106,2,2024-09-07 10:00:20:756,823295,823295,0,0,37205539,0,3331 106,3,2024-09-07 10:00:20:678,1,652,0,0,1224,9004,652,0 107,0,2024-09-07 10:00:21:116,160939,1.0,161093,1.0,322101,1.2,429873,2.25 107,1,2024-09-07 10:00:20:609,1141239,1141239,0,0,534512754340,5647695051,1123102,15955,2182,381,392234,0 107,2,2024-09-07 10:00:21:293,821152,821151,1,0,40843055,0,5024 107,3,2024-09-07 10:00:21:759,1,652,9,0,733,9680,652,0 108,0,2024-09-07 10:00:21:793,167349,0.4,168188,0.6,335262,0.4,447511,1.75 108,1,2024-09-07 10:00:21:299,1144455,1144455,0,0,537441232555,5606778961,1134722,8651,1082,367,391894,0 108,2,2024-09-07 10:00:21:762,824321,824321,0,0,36408745,0,4432 108,3,2024-09-07 10:00:21:334,1,652,5,0,767,12059,652,0 109,0,2024-09-07 10:00:21:753,166492,0.4,165081,0.6,331089,0.3,441919,1.75 109,1,2024-09-07 10:00:20:590,1142522,1142522,0,0,536592362364,5630066019,1132099,8923,1500,382,392132,0 109,2,2024-09-07 10:00:20:934,824646,824646,0,0,36218003,0,3617 109,3,2024-09-07 10:00:21:141,1,652,64,0,630,7654,652,0 110,0,2024-09-07 10:00:21:745,159537,0.4,155189,0.6,324879,0.3,426067,1.75 110,1,2024-09-07 10:00:21:643,1146991,1146991,0,0,538449447525,5589004947,1138306,6661,2024,368,392045,0 110,2,2024-09-07 10:00:21:304,824716,824716,0,0,34621722,0,4067 110,3,2024-09-07 10:00:20:694,1,652,1,0,722,8740,652,0 111,0,2024-09-07 10:00:21:426,160764,0.4,159979,0.5,319599,0.3,426598,1.75 111,1,2024-09-07 10:00:21:004,1148315,1148315,0,0,539882308108,5600799642,1142103,5829,383,380,391690,0 111,2,2024-09-07 10:00:21:116,824013,824013,0,0,34735653,0,4823 111,3,2024-09-07 10:00:20:914,1,652,8,0,379,7284,652,0 112,0,2024-09-07 10:00:20:955,167275,0.3,166768,0.4,334198,0.2,444102,1.50 112,1,2024-09-07 10:00:20:839,1146940,1146940,0,0,537966072255,5584549485,1139480,6235,1225,380,391624,0 112,2,2024-09-07 10:00:21:287,824180,824179,1,0,32973393,0,5036 112,3,2024-09-07 10:00:20:601,1,652,119,0,282,6397,652,0 113,0,2024-09-07 10:00:20:867,165763,0.3,165823,0.5,332188,0.2,441837,1.50 113,1,2024-09-07 10:00:21:686,1149911,1149911,0,0,541044924687,5581027060,1143228,5733,950,365,391664,0 113,2,2024-09-07 10:00:21:305,830543,830543,0,0,30670415,0,3813 113,3,2024-09-07 10:00:20:691,1,652,19,0,510,6777,652,0 114,0,2024-09-07 10:00:20:872,160771,0.3,161781,0.5,322835,0.2,430347,1.75 114,1,2024-09-07 10:00:20:754,1147006,1147006,0,0,538332767665,5587564030,1138806,6451,1749,381,391565,0 114,2,2024-09-07 10:00:20:872,825477,825476,1,0,31797284,0,5069 114,3,2024-09-07 10:00:21:280,1,652,13,0,415,5409,652,0 115,0,2024-09-07 10:00:20:561,160590,0.3,161083,0.4,320931,0.2,426867,1.50 115,1,2024-09-07 10:00:20:571,1147098,1147098,0,0,538400405873,5590798872,1138239,7325,1534,382,391757,0 115,2,2024-09-07 10:00:21:128,825154,825154,0,0,32056776,0,4382 115,3,2024-09-07 10:00:21:002,1,652,8,0,167,4022,652,0 116,0,2024-09-07 10:00:21:697,163815,0.7,163651,0.8,328195,0.7,438962,2.00 116,1,2024-09-07 10:00:20:805,1140758,1140758,0,0,536573625492,5652343788,1127236,10315,3207,380,392089,0 116,2,2024-09-07 10:00:21:750,824692,824692,0,0,39639441,0,4475 116,3,2024-09-07 10:00:20:919,1,652,250,0,448,8417,652,0 117,0,2024-09-07 10:00:20:962,167665,0.7,167208,0.8,334668,0.7,447026,2.00 117,1,2024-09-07 10:00:21:583,1143096,1143096,0,0,536287758639,5613209974,1130816,10699,1581,369,392429,0 117,2,2024-09-07 10:00:21:118,827967,827967,0,0,35876316,0,4303 117,3,2024-09-07 10:00:21:059,1,652,1,0,490,8070,652,0 118,0,2024-09-07 10:00:21:809,157918,0.5,162154,0.7,330925,0.5,432507,2.00 118,1,2024-09-07 10:00:20:587,1141746,1141746,0,0,535601736027,5626413445,1125491,12604,3651,366,392054,0 118,2,2024-09-07 10:00:21:632,821950,821950,0,0,38335962,0,2842 118,3,2024-09-07 10:00:21:835,1,652,5,0,343,7969,652,0 119,0,2024-09-07 10:00:21:347,160965,0.7,161425,0.8,322297,0.8,429769,2.25 119,1,2024-09-07 10:00:20:551,1143138,1143138,0,0,537099789520,5628923837,1128274,12349,2515,367,391857,0 119,2,2024-09-07 10:00:21:282,824640,824640,0,0,35654086,0,4309 119,3,2024-09-07 10:00:21:333,1,652,39,0,1358,11818,652,0 120,0,2024-09-07 10:00:21:570,161428,0.7,161222,0.8,323950,0.7,432189,2.25 120,1,2024-09-07 10:00:20:874,1143877,1143877,0,0,536111071296,5621269412,1131583,11138,1156,367,392144,0 120,2,2024-09-07 10:00:20:771,823897,823894,3,0,43095525,0,5363 120,3,2024-09-07 10:00:21:292,1,652,3,0,478,8341,652,0 121,0,2024-09-07 10:00:21:686,168207,1.2,167662,1.0,335335,1.5,447130,2.25 121,1,2024-09-07 10:00:21:661,1143404,1143404,0,0,536777673831,5617325855,1131085,10478,1841,366,391840,0 121,2,2024-09-07 10:00:21:134,824433,824433,0,0,39409347,0,4157 121,3,2024-09-07 10:00:20:727,1,652,14,0,387,8471,652,0 122,0,2024-09-07 10:00:21:776,164690,0.7,160172,0.9,335325,0.8,440998,2.00 122,1,2024-09-07 10:00:20:867,1142753,1142753,0,0,536644359283,5628544047,1127558,12776,2419,365,392130,0 122,2,2024-09-07 10:00:21:321,824737,824662,75,0,42860980,0,5989 122,3,2024-09-07 10:00:20:594,1,652,2,0,512,10080,652,0 123,0,2024-09-07 10:00:21:020,159490,0.8,155263,0.9,325538,0.9,425874,2.25 123,1,2024-09-07 10:00:20:565,1143325,1143325,0,0,536556823000,5640815449,1125345,15107,2873,369,392039,0 123,2,2024-09-07 10:00:21:021,822652,822651,1,0,36782871,0,5215 123,3,2024-09-07 10:00:21:133,1,652,12,0,478,7275,652,0 124,0,2024-09-07 10:00:20:941,165029,0.3,165056,0.5,311039,0.3,428826,1.75 124,1,2024-09-07 10:00:21:027,1147030,1147030,0,0,537071034916,5582459814,1138750,7087,1193,365,392178,0 124,2,2024-09-07 10:00:21:010,826389,826336,53,0,34246384,0,6487 124,3,2024-09-07 10:00:20:760,1,652,1,0,490,6492,652,0 125,0,2024-09-07 10:00:21:421,166530,0.4,166319,0.5,333465,0.3,444351,1.75 125,1,2024-09-07 10:00:20:865,1144312,1144312,0,0,537647694424,5614122165,1135011,8003,1298,382,392045,0 125,2,2024-09-07 10:00:21:116,826103,826103,0,0,33868398,0,4534 125,3,2024-09-07 10:00:21:133,1,652,1,0,709,7468,652,0 126,0,2024-09-07 10:00:21:443,166195,0.4,170960,0.5,326775,0.3,443077,1.75 126,1,2024-09-07 10:00:20:553,1147859,1147859,0,0,538703423502,5580438625,1141262,5924,673,365,391987,0 126,2,2024-09-07 10:00:20:610,829267,829267,0,0,35218549,0,4539 126,3,2024-09-07 10:00:20:908,1,652,1,0,268,7367,652,0 127,0,2024-09-07 10:00:21:669,160186,0.3,160342,0.5,319962,0.3,425533,1.75 127,1,2024-09-07 10:00:20:575,1145569,1145569,0,0,537356331563,5592327165,1133763,10117,1689,364,392187,0 127,2,2024-09-07 10:00:20:642,824457,824453,4,0,33277200,0,5305 127,3,2024-09-07 10:00:21:272,1,652,8,0,968,6353,652,0 128,0,2024-09-07 10:00:21:530,160581,0.3,160582,0.4,321175,0.2,427117,1.50 128,1,2024-09-07 10:00:21:606,1145519,1145519,0,0,537790485356,5592604818,1136686,7866,967,367,392423,0 128,2,2024-09-07 10:00:21:383,825726,825726,0,0,30800856,0,3171 128,3,2024-09-07 10:00:20:769,1,652,6,0,1082,9941,652,0 129,0,2024-09-07 10:00:20:998,167042,0.3,166255,0.5,333591,0.3,443506,1.50 129,1,2024-09-07 10:00:20:568,1142312,1142312,0,0,536681040558,5626396459,1130443,9825,2044,379,391962,0 129,2,2024-09-07 10:00:20:687,826077,826073,4,0,33828921,0,5335 129,3,2024-09-07 10:00:20:695,1,652,226,0,506,8895,652,0 130,0,2024-09-07 10:00:21:742,167224,0.4,167008,0.6,334329,0.4,444930,1.75 130,1,2024-09-07 10:00:20:591,1147361,1147361,0,0,538049344327,5585574696,1141845,5110,406,381,391825,0 130,2,2024-09-07 10:00:21:135,827723,827723,0,0,33994525,0,4067 130,3,2024-09-07 10:00:21:291,1,652,28,0,960,8548,652,0 131,0,2024-09-07 10:00:21:990,161643,0.3,162106,0.5,325129,0.3,431630,1.50 131,1,2024-09-07 10:00:21:831,1146415,1146415,0,0,537761440094,5603672497,1138661,6554,1200,381,391865,0 131,2,2024-09-07 10:00:20:571,823696,823696,0,0,30969087,0,3979 131,3,2024-09-07 10:00:21:701,1,652,23,0,392,8174,652,0 132,0,2024-09-07 10:00:21:416,160981,0.5,161975,0.6,322265,0.4,428282,2.00 132,1,2024-09-07 10:00:20:579,1141990,1141990,0,0,535768392426,5636768286,1125936,13276,2778,381,392532,0 132,2,2024-09-07 10:00:20:708,823318,823301,17,0,40033723,0,6451 132,3,2024-09-07 10:00:21:703,1,652,2,0,1298,11399,652,0 133,0,2024-09-07 10:00:21:516,159163,0.4,162920,0.6,334171,0.4,435200,2.00 133,1,2024-09-07 10:00:20:589,1141862,1141862,0,0,536091032738,5645371470,1126893,13039,1930,383,391914,0 133,2,2024-09-07 10:00:21:087,826581,826531,50,0,41106341,0,6861 133,3,2024-09-07 10:00:21:297,1,652,3,0,528,7724,652,0 134,0,2024-09-07 10:00:21:016,168477,0.5,168502,0.7,336888,0.5,448579,2.25 134,1,2024-09-07 10:00:20:587,1142928,1142928,0,0,536244048453,5625120126,1127825,11928,3175,366,391781,0 134,2,2024-09-07 10:00:21:755,825387,825363,24,0,37899843,0,6207 134,3,2024-09-07 10:00:20:749,1,652,14,0,739,8130,652,0 135,0,2024-09-07 10:00:21:124,158847,0.7,158910,0.8,337309,0.8,434505,2.25 135,1,2024-09-07 10:00:21:603,1143049,1143049,0,0,536919488110,5643954724,1128880,12320,1849,380,391974,0 135,2,2024-09-07 10:00:20:687,824756,824756,0,0,38974289,0,4503 135,3,2024-09-07 10:00:21:004,1,652,8,0,900,6226,652,0 136,0,2024-09-07 10:00:21:621,161263,0.6,161717,0.8,321245,0.6,429166,2.00 136,1,2024-09-07 10:00:21:441,1144050,1144050,0,0,537065763126,5625604493,1131908,10747,1395,381,392135,0 136,2,2024-09-07 10:00:21:136,825938,825923,15,0,38532118,0,6007 136,3,2024-09-07 10:00:21:107,1,652,2,0,637,7529,652,0 137,0,2024-09-07 10:00:20:993,166317,0.6,162128,0.8,317536,0.7,431508,2.00 137,1,2024-09-07 10:00:20:581,1143238,1143238,0,0,537091297444,5631143509,1125810,13922,3506,366,391898,0 137,2,2024-09-07 10:00:21:725,823490,823490,0,0,39748557,0,3185 137,3,2024-09-07 10:00:20:773,1,652,2,0,484,8583,652,0 138,0,2024-09-07 10:00:21:805,166729,0.9,167161,0.9,334704,1.2,445877,2.25 138,1,2024-09-07 10:00:21:723,1142888,1142888,0,0,537172356435,5636990681,1126660,13591,2637,368,391954,0 138,2,2024-09-07 10:00:20:589,823133,823133,0,0,38228453,0,4988 138,3,2024-09-07 10:00:20:610,1,652,1,0,1200,9804,652,0 139,0,2024-09-07 10:00:21:359,164221,0.9,164901,0.9,329398,1.3,440226,2.25 139,1,2024-09-07 10:00:20:573,1138832,1138832,0,0,533817893428,5656358536,1119804,15160,3868,380,392109,0 139,2,2024-09-07 10:00:20:695,820682,820652,30,0,43575588,0,5997 139,3,2024-09-07 10:00:21:663,1,652,10,0,432,7631,652,0 140,0,2024-09-07 10:00:21:587,160113,0.3,159330,0.5,319794,0.2,426311,1.75 140,1,2024-09-07 10:00:21:536,1150667,1150667,0,0,539901752502,5569728044,1144578,5353,736,364,391628,0 140,2,2024-09-07 10:00:20:686,824872,824871,1,0,31367129,0,5036 140,3,2024-09-07 10:00:20:769,1,652,51,0,575,6637,652,0 141,0,2024-09-07 10:00:21:700,160313,0.3,164803,0.5,314971,0.2,426200,1.50 141,1,2024-09-07 10:00:20:859,1147162,1147162,0,0,538519879080,5596525338,1137704,8092,1366,379,391614,0 141,2,2024-09-07 10:00:21:686,824883,824872,11,0,33605286,0,5369 141,3,2024-09-07 10:00:21:044,1,652,6,0,391,7488,652,0 142,0,2024-09-07 10:00:21:310,167365,0.3,166574,0.5,333584,0.3,444970,1.50 142,1,2024-09-07 10:00:20:592,1145401,1145401,0,0,537196536014,5601556339,1137585,7158,658,382,392102,0 142,2,2024-09-07 10:00:21:299,823220,823188,32,0,34082470,0,6028 142,3,2024-09-07 10:00:21:789,1,652,35,0,484,6811,652,0 143,0,2024-09-07 10:00:21:371,165882,0.4,165785,0.5,332488,0.4,441927,1.75 143,1,2024-09-07 10:00:20:557,1148353,1148353,0,0,539492317468,5595535904,1141364,6326,663,367,391900,0 143,2,2024-09-07 10:00:20:768,829108,829108,0,0,33967666,0,3123 143,3,2024-09-07 10:00:21:140,1,652,12,0,462,7620,652,0 144,0,2024-09-07 10:00:21:544,155858,0.6,160355,0.7,326370,0.5,426826,2.00 144,1,2024-09-07 10:00:20:570,1142586,1142586,0,0,535623553642,5619627920,1131393,9174,2019,381,391733,0 144,2,2024-09-07 10:00:21:755,824773,824773,0,0,33117408,0,4443 144,3,2024-09-07 10:00:21:739,1,652,13,0,259,6649,652,0 145,0,2024-09-07 10:00:21:362,154699,0.5,154710,0.8,328459,0.5,422476,2.25 145,1,2024-09-07 10:00:20:551,1141517,1141517,0,0,536402315770,5642563463,1126485,12277,2755,382,391781,0 145,2,2024-09-07 10:00:21:430,821988,821906,82,0,39006360,0,7814 145,3,2024-09-07 10:00:20:897,1,652,1,0,622,8711,652,0 146,0,2024-09-07 10:00:21:597,164465,0.6,163428,0.8,328916,0.6,437366,2.25 146,1,2024-09-07 10:00:21:585,1143210,1143210,0,0,536289112844,5641444343,1124655,13735,4820,367,391770,0 146,2,2024-09-07 10:00:21:703,823145,823139,6,0,37846836,0,5151 146,3,2024-09-07 10:00:21:274,1,652,1,0,1520,10786,652,0 147,0,2024-09-07 10:00:21:713,167595,0.6,167238,0.7,334605,0.6,446092,2.00 147,1,2024-09-07 10:00:21:389,1147053,1147053,0,0,538163629138,5592730364,1137838,8176,1039,367,391791,0 147,2,2024-09-07 10:00:21:009,826276,826276,0,0,34119592,0,3359 147,3,2024-09-07 10:00:20:914,1,652,8,0,1626,10032,652,0 0,0,2024-09-07 10:00:31:710,158066,0.6,157965,0.7,335328,0.6,433318,2.00 0,1,2024-09-07 10:00:30:803,1145938,1145938,0,0,537852529396,5629032377,1136827,8157,954,368,391896,0 0,2,2024-09-07 10:00:31:066,827495,827495,0,0,33318485,0,4480 0,3,2024-09-07 10:00:30:974,1,653,1,0,431,8998,653,0 1,0,2024-09-07 10:00:31:746,168021,0.9,167051,0.9,335299,1.0,448252,2.00 1,1,2024-09-07 10:00:30:568,1144017,1144017,0,0,537578590439,5629657377,1133536,8850,1631,370,391859,0 1,2,2024-09-07 10:00:30:845,827179,827179,0,0,32790193,0,3380 1,3,2024-09-07 10:00:31:314,1,653,1,0,269,7842,653,0 2,0,2024-09-07 10:00:31:567,165455,0.6,165012,0.7,329902,0.6,440280,2.00 2,1,2024-09-07 10:00:30:859,1147952,1147952,0,0,539452536598,5608851973,1141303,5691,958,379,391805,0 2,2,2024-09-07 10:00:31:265,826374,826374,0,0,31087507,0,3594 2,3,2024-09-07 10:00:30:691,1,653,1,0,357,5599,653,0 3,0,2024-09-07 10:00:31:768,160319,0.4,160382,0.6,320292,0.4,426804,2.00 3,1,2024-09-07 10:00:31:619,1146951,1146951,0,0,538261098782,5597844146,1138814,7419,718,379,391716,0 3,2,2024-09-07 10:00:31:142,826674,826651,23,0,32424689,0,5851 3,3,2024-09-07 10:00:31:752,1,653,8,0,484,4980,653,0 4,0,2024-09-07 10:00:31:772,156256,0.4,160510,0.5,327364,0.3,428224,1.75 4,1,2024-09-07 10:00:30:596,1141683,1141683,0,0,535854774907,5669147648,1122428,14718,4537,369,391992,0 4,2,2024-09-07 10:00:31:018,823525,823525,0,0,39464701,0,4534 4,3,2024-09-07 10:00:31:038,1,653,5,0,448,8682,653,0 5,0,2024-09-07 10:00:31:412,167007,0.4,166856,0.5,333928,0.3,444239,1.75 5,1,2024-09-07 10:00:30:755,1143059,1143059,0,0,536888000209,5664881942,1125820,13014,4225,367,392005,0 5,2,2024-09-07 10:00:31:829,822295,822295,0,0,38470824,0,3582 5,3,2024-09-07 10:00:31:732,1,653,4,0,457,8646,653,0 6,0,2024-09-07 10:00:30:932,166196,0.5,165751,0.6,331612,0.4,441950,2.00 6,1,2024-09-07 10:00:30:746,1145753,1145753,0,0,538222046400,5617244336,1134294,9869,1590,379,391702,0 6,2,2024-09-07 10:00:31:122,828461,828443,18,0,36692684,0,5535 6,3,2024-09-07 10:00:31:274,1,653,9,0,710,7623,653,0 7,0,2024-09-07 10:00:31:530,159320,0.5,160472,0.7,318435,0.5,424597,2.00 7,1,2024-09-07 10:00:30:850,1143308,1143308,0,0,536651271959,5647861028,1125794,13379,4135,382,391747,0 7,2,2024-09-07 10:00:30:773,824623,824623,0,0,35392267,0,4791 7,3,2024-09-07 10:00:30:851,1,653,9,0,552,7506,653,0 8,0,2024-09-07 10:00:31:338,160616,0.4,160191,0.5,320905,0.3,427736,1.75 8,1,2024-09-07 10:00:31:016,1142405,1142405,0,0,536692462403,5640827635,1124849,13834,3722,366,392853,0 8,2,2024-09-07 10:00:30:790,819525,819523,2,0,42151180,0,5112 8,3,2024-09-07 10:00:30:587,1,653,3,0,772,9983,653,0 9,0,2024-09-07 10:00:31:104,166266,0.4,161553,0.5,337989,0.3,443845,1.75 9,1,2024-09-07 10:00:30:551,1142153,1142153,0,0,536313006269,5655817535,1123450,14597,4106,369,392001,0 9,2,2024-09-07 10:00:31:084,823968,823967,1,0,40085769,0,5281 9,3,2024-09-07 10:00:31:752,1,653,17,0,1273,10785,653,0 10,0,2024-09-07 10:00:31:602,166525,0.4,165867,0.5,332798,0.3,442881,1.75 10,1,2024-09-07 10:00:30:583,1144422,1144422,0,0,537295170043,5638411567,1127186,13707,3529,381,391981,0 10,2,2024-09-07 10:00:30:762,828626,828626,0,0,43328437,0,4713 10,3,2024-09-07 10:00:30:874,1,653,4,0,669,7142,653,0 11,0,2024-09-07 10:00:31:015,161504,0.4,156794,0.6,328196,0.4,431590,1.75 11,1,2024-09-07 10:00:30:572,1146642,1146642,0,0,538138097472,5643097796,1130201,12133,4308,383,391766,0 11,2,2024-09-07 10:00:31:123,823441,823441,0,0,37572057,0,4698 11,3,2024-09-07 10:00:31:298,1,653,9,0,843,8149,653,0 12,0,2024-09-07 10:00:30:959,161936,0.4,161717,0.5,323050,0.4,429150,1.75 12,1,2024-09-07 10:00:30:936,1145766,1145766,0,0,537881743871,5611531378,1136414,8329,1023,370,391960,0 12,2,2024-09-07 10:00:31:541,822893,822893,0,0,37309211,0,4390 12,3,2024-09-07 10:00:31:059,1,653,3,0,386,8263,653,0 13,0,2024-09-07 10:00:31:402,164525,0.4,164679,0.6,328540,0.4,438059,1.75 13,1,2024-09-07 10:00:31:533,1142982,1142982,0,0,537076464198,5648893494,1130531,9617,2834,382,391803,0 13,2,2024-09-07 10:00:30:596,828223,828223,0,0,33648273,0,3287 13,3,2024-09-07 10:00:31:762,1,653,1,0,522,8753,653,0 14,0,2024-09-07 10:00:30:562,167923,0.4,168963,0.6,335844,0.4,447000,1.75 14,1,2024-09-07 10:00:31:561,1151204,1151204,0,0,540077401929,5588488051,1142205,7866,1133,364,391673,0 14,2,2024-09-07 10:00:30:763,827461,827431,30,0,34604030,0,6104 14,3,2024-09-07 10:00:31:119,1,653,5,0,1168,6935,653,0 15,0,2024-09-07 10:00:31:551,164274,0.4,163445,0.6,327120,0.4,436167,1.75 15,1,2024-09-07 10:00:31:620,1147623,1147623,0,0,539496748903,5620155303,1137604,8012,2007,381,391619,0 15,2,2024-09-07 10:00:30:998,826208,826208,0,0,30570474,0,3622 15,3,2024-09-07 10:00:31:405,1,653,8,0,1126,8385,653,0 16,0,2024-09-07 10:00:30:946,160999,0.6,161776,0.8,322566,0.6,429593,2.00 16,1,2024-09-07 10:00:30:563,1147370,1147370,0,0,538271865681,5625606785,1137228,8612,1530,370,392194,0 16,2,2024-09-07 10:00:31:434,824866,824866,0,0,34173622,0,4719 16,3,2024-09-07 10:00:31:142,1,653,11,0,358,7904,653,0 17,0,2024-09-07 10:00:31:861,166586,0.6,162341,0.8,318100,0.6,432563,2.00 17,1,2024-09-07 10:00:30:568,1145483,1145483,0,0,537767935958,5637346263,1134715,8988,1780,368,392075,0 17,2,2024-09-07 10:00:31:670,829145,829144,1,0,35436763,0,5050 17,3,2024-09-07 10:00:30:583,1,653,14,0,518,9482,653,0 18,0,2024-09-07 10:00:30:941,166345,0.7,167553,0.8,333415,0.7,445341,2.25 18,1,2024-09-07 10:00:31:641,1149750,1149750,0,0,539134453099,5590319735,1142316,6170,1264,367,391725,0 18,2,2024-09-07 10:00:31:755,828226,828226,0,0,31858853,0,3541 18,3,2024-09-07 10:00:30:896,1,653,12,0,1059,5771,653,0 19,0,2024-09-07 10:00:31:542,165226,0.6,165666,0.8,330248,0.7,438835,2.00 19,1,2024-09-07 10:00:30:570,1149352,1149352,0,0,539483145959,5591243963,1140637,7555,1160,365,391777,0 19,2,2024-09-07 10:00:31:752,829830,829830,0,0,29691415,0,3988 19,3,2024-09-07 10:00:31:131,1,653,8,0,524,5029,653,0 20,0,2024-09-07 10:00:31:352,159786,0.5,159637,0.7,319235,0.5,425790,2.00 20,1,2024-09-07 10:00:30:567,1145215,1145215,0,0,537380201278,5629539587,1133944,9726,1545,369,391922,0 20,2,2024-09-07 10:00:30:935,825449,825449,0,0,36883103,0,4321 20,3,2024-09-07 10:00:30:589,1,653,112,0,468,10136,653,0 21,0,2024-09-07 10:00:31:171,160442,0.5,160415,0.6,320725,0.4,426237,2.00 21,1,2024-09-07 10:00:31:537,1143275,1143275,0,0,536052561726,5654566466,1125788,13561,3926,368,392016,0 21,2,2024-09-07 10:00:31:098,819354,818877,477,0,47686952,0,17074 21,3,2024-09-07 10:00:31:406,1,653,6,0,713,8920,653,0 22,0,2024-09-07 10:00:31:720,166593,0.4,167231,0.6,334062,0.4,443329,2.00 22,1,2024-09-07 10:00:31:029,1143821,1143821,0,0,536820027052,5645932085,1125516,14753,3552,381,391822,0 22,2,2024-09-07 10:00:30:759,823621,823595,26,0,35764782,0,6328 22,3,2024-09-07 10:00:31:067,1,653,0,0,228,5459,653,0 23,0,2024-09-07 10:00:31:368,165634,0.5,165441,0.6,330934,0.4,441284,2.00 23,1,2024-09-07 10:00:31:002,1145001,1145001,0,0,538946471835,5671544919,1124867,13424,6710,365,391690,0 23,2,2024-09-07 10:00:31:092,829393,829393,0,0,33859079,0,3773 23,3,2024-09-07 10:00:31:765,1,653,8,0,855,9444,653,0 24,0,2024-09-07 10:00:30:827,161747,0.4,160875,0.5,323481,0.4,429306,1.75 24,1,2024-09-07 10:00:30:582,1144714,1144714,0,0,536554534300,5614654257,1134078,8858,1778,367,392269,0 24,2,2024-09-07 10:00:31:069,824418,824415,3,0,40508880,0,6294 24,3,2024-09-07 10:00:31:686,1,653,0,0,468,8456,653,0 25,0,2024-09-07 10:00:31:341,164526,0.4,160158,0.6,314743,0.4,428575,1.75 25,1,2024-09-07 10:00:30:557,1142902,1142902,0,0,536129143680,5662374103,1123144,15850,3908,369,391928,0 25,2,2024-09-07 10:00:31:631,822581,822581,0,0,41281405,0,3978 25,3,2024-09-07 10:00:31:000,1,653,1,0,532,7182,653,0 26,0,2024-09-07 10:00:31:722,164172,0.4,160355,0.6,336658,0.3,439299,1.75 26,1,2024-09-07 10:00:31:541,1146361,1146361,0,0,538095984643,5634328466,1130879,12581,2901,380,391758,0 26,2,2024-09-07 10:00:30:861,825358,825358,0,0,43916719,0,4689 26,3,2024-09-07 10:00:31:712,1,653,1,0,796,7928,653,0 27,0,2024-09-07 10:00:31:728,167449,0.4,168238,0.6,334382,0.4,445853,2.25 27,1,2024-09-07 10:00:31:677,1147838,1147838,0,0,538723816190,5611337140,1137153,9068,1617,381,391626,0 27,2,2024-09-07 10:00:30:868,824819,824754,65,0,38463286,0,5699 27,3,2024-09-07 10:00:31:015,1,653,8,0,564,5506,653,0 28,0,2024-09-07 10:00:31:395,163036,0.4,163260,0.6,326548,0.3,434451,1.75 28,1,2024-09-07 10:00:30:802,1148316,1148316,0,0,539407287398,5623256341,1138658,7740,1918,382,391904,0 28,2,2024-09-07 10:00:31:767,824676,824676,0,0,33755318,0,2915 28,3,2024-09-07 10:00:31:789,1,653,2,0,502,6779,653,0 29,0,2024-09-07 10:00:31:360,165934,0.4,161654,0.6,316908,0.3,431832,1.75 29,1,2024-09-07 10:00:31:580,1151087,1151087,0,0,540721433354,5594797867,1143737,6331,1019,367,391809,0 29,2,2024-09-07 10:00:30:887,824917,824917,0,0,32523365,0,4986 29,3,2024-09-07 10:00:30:972,1,653,6,0,459,6858,653,0 30,0,2024-09-07 10:00:31:456,161772,0.5,157532,0.7,329968,0.5,431712,2.00 30,1,2024-09-07 10:00:30:573,1149583,1149583,0,0,540743226116,5613598100,1140583,7914,1086,380,391672,0 30,2,2024-09-07 10:00:31:273,828338,828338,0,0,31492530,0,4192 30,3,2024-09-07 10:00:30:582,1,653,8,0,519,6327,653,0 31,0,2024-09-07 10:00:31:761,167886,0.5,168607,0.7,336223,0.4,448384,2.00 31,1,2024-09-07 10:00:30:564,1154132,1154132,0,0,541204624182,5559020072,1146833,6111,1188,356,391712,0 31,2,2024-09-07 10:00:31:276,826108,826108,0,0,35465885,0,4470 31,3,2024-09-07 10:00:31:707,1,653,8,0,239,5666,653,0 32,0,2024-09-07 10:00:31:420,165499,0.3,166599,0.5,331559,0.3,441551,1.75 32,1,2024-09-07 10:00:30:819,1149503,1149503,0,0,539353049189,5604834549,1142598,6085,820,381,391646,0 32,2,2024-09-07 10:00:30:935,827809,827809,0,0,30486659,0,3922 32,3,2024-09-07 10:00:31:015,1,653,294,0,304,5564,653,0 33,0,2024-09-07 10:00:31:500,161004,0.3,160635,0.4,321299,0.2,427960,1.50 33,1,2024-09-07 10:00:30:575,1150843,1150843,0,0,540377740512,5595643063,1141900,7598,1345,368,391730,0 33,2,2024-09-07 10:00:30:759,826072,826037,35,0,34287421,0,7012 33,3,2024-09-07 10:00:30:895,1,653,1,0,329,5904,653,0 34,0,2024-09-07 10:00:30:930,161230,0.3,165650,0.5,316933,0.2,428088,1.75 34,1,2024-09-07 10:00:31:044,1152689,1152689,0,0,541022464899,5565684328,1149212,3355,122,367,391637,0 34,2,2024-09-07 10:00:30:766,827248,827248,0,0,32128536,0,4562 34,3,2024-09-07 10:00:31:691,1,653,2,0,541,5645,653,0 35,0,2024-09-07 10:00:30:856,166398,0.3,166963,0.5,334909,0.2,445649,1.75 35,1,2024-09-07 10:00:31:067,1148519,1148519,0,0,539265980911,5594962778,1139896,7089,1534,382,391769,0 35,2,2024-09-07 10:00:31:585,825867,825867,0,0,36356062,0,4055 35,3,2024-09-07 10:00:30:916,1,653,8,0,466,6303,653,0 36,0,2024-09-07 10:00:31:515,166666,0.5,166900,0.6,333263,0.4,443810,2.00 36,1,2024-09-07 10:00:30:583,1147013,1147013,0,0,538110696776,5615511985,1133071,11696,2246,366,391759,0 36,2,2024-09-07 10:00:31:751,828829,828829,0,0,37066070,0,3875 36,3,2024-09-07 10:00:30:863,1,653,1,0,556,8603,653,0 37,0,2024-09-07 10:00:31:390,159226,0.5,159288,0.7,318811,0.5,425240,2.25 37,1,2024-09-07 10:00:30:568,1146915,1146908,0,7,538540571117,5622432902,1133471,10515,2922,365,391770,0 37,2,2024-09-07 10:00:31:141,823228,823213,15,0,36383494,0,5815 37,3,2024-09-07 10:00:31:769,1,653,1,0,888,8861,653,0 38,0,2024-09-07 10:00:31:453,159483,0.4,154561,0.6,323217,0.3,423632,2.00 38,1,2024-09-07 10:00:31:611,1146471,1146471,0,0,538644193069,5631094067,1130046,13128,3297,368,391821,0 38,2,2024-09-07 10:00:30:761,824731,824684,47,0,37085534,0,6710 38,3,2024-09-07 10:00:30:997,1,653,1,0,689,7529,653,0 39,0,2024-09-07 10:00:31:774,169890,0.5,166101,0.7,323998,0.5,442434,2.00 39,1,2024-09-07 10:00:30:766,1146489,1146489,0,0,538093553116,5639324437,1127949,14352,4188,365,391865,0 39,2,2024-09-07 10:00:31:423,825298,825298,0,0,35474133,0,3391 39,3,2024-09-07 10:00:30:713,1,653,8,0,525,7311,653,0 40,0,2024-09-07 10:00:31:488,164995,0.9,165764,1.0,330806,1.0,441758,2.75 40,1,2024-09-07 10:00:30:575,1146461,1146461,0,0,536846229438,5625525474,1129054,14112,3295,366,391668,0 40,2,2024-09-07 10:00:31:302,825909,825902,7,0,41579347,0,5347 40,3,2024-09-07 10:00:31:142,1,653,1,0,1028,9305,653,0 41,0,2024-09-07 10:00:31:021,160859,1.2,164660,1.1,314047,1.7,426263,3.00 41,1,2024-09-07 10:00:30:769,1145720,1145720,0,0,538331832719,5629788705,1131054,12364,2302,369,391878,0 41,2,2024-09-07 10:00:30:761,820552,820551,1,0,39921121,0,5408 41,3,2024-09-07 10:00:31:675,1,653,57,0,366,7003,653,0 42,0,2024-09-07 10:00:31:479,159704,0.9,159358,1.0,318975,1.0,422958,2.50 42,1,2024-09-07 10:00:31:438,1143747,1143747,0,0,536117581292,5629824800,1126587,14204,2956,380,391675,0 42,2,2024-09-07 10:00:31:133,822343,822342,1,0,41153595,0,5513 42,3,2024-09-07 10:00:31:008,1,653,2,0,892,6240,653,0 43,0,2024-09-07 10:00:30:935,161937,0.8,157711,0.9,330578,0.8,433103,2.25 43,1,2024-09-07 10:00:30:576,1146480,1146480,0,0,538521768112,5626696985,1130687,13047,2746,366,391696,0 43,2,2024-09-07 10:00:31:738,826841,826841,0,0,38386577,0,4723 43,3,2024-09-07 10:00:31:749,1,653,8,0,571,9082,653,0 44,0,2024-09-07 10:00:30:860,168224,0.4,168419,0.6,336081,0.4,447997,2.00 44,1,2024-09-07 10:00:30:563,1149824,1149824,0,0,539163983358,5574867874,1139483,8268,2073,356,391809,0 44,2,2024-09-07 10:00:31:267,825844,825844,0,0,31369384,0,4344 44,3,2024-09-07 10:00:31:103,1,653,2,0,1097,8081,653,0 45,0,2024-09-07 10:00:31:756,162368,0.5,158640,0.7,332989,0.4,436184,2.00 45,1,2024-09-07 10:00:31:005,1148825,1148825,0,0,539462348393,5607070955,1139731,7875,1219,382,391917,0 45,2,2024-09-07 10:00:31:267,825521,825521,0,0,31330333,0,3596 45,3,2024-09-07 10:00:30:933,1,653,1,0,531,6063,653,0 46,0,2024-09-07 10:00:30:952,160271,0.5,159749,0.7,320603,0.5,426361,2.00 46,1,2024-09-07 10:00:30:575,1151102,1151102,0,0,540369977214,5586746603,1143026,7027,1049,366,391709,0 46,2,2024-09-07 10:00:30:604,826777,826777,0,0,32424010,0,4443 46,3,2024-09-07 10:00:31:131,1,653,5,0,908,7524,653,0 47,0,2024-09-07 10:00:31:106,162117,0.4,161777,0.6,325104,0.4,431091,2.00 47,1,2024-09-07 10:00:30:568,1152035,1152035,0,0,540642081663,5587081947,1144949,6093,993,364,391641,0 47,2,2024-09-07 10:00:30:914,829684,829684,0,0,32407117,0,4477 47,3,2024-09-07 10:00:31:121,1,653,12,0,600,7009,653,0 48,0,2024-09-07 10:00:31:488,168453,0.3,168990,0.4,336820,0.2,448280,1.50 48,1,2024-09-07 10:00:31:021,1149293,1149293,0,0,539875876375,5606752631,1142404,6077,812,381,391710,0 48,2,2024-09-07 10:00:30:701,826704,826704,0,0,29859088,0,3524 48,3,2024-09-07 10:00:30:754,1,653,1,0,339,5637,653,0 49,0,2024-09-07 10:00:31:717,170582,0.3,167045,0.5,325079,0.3,443518,1.75 49,1,2024-09-07 10:00:31:020,1148676,1148676,0,0,538706745807,5601446115,1141130,5850,1696,382,391809,0 49,2,2024-09-07 10:00:31:799,828389,828389,0,0,31710081,0,4426 49,3,2024-09-07 10:00:31:416,1,653,0,0,992,7724,653,0 50,0,2024-09-07 10:00:31:507,160380,0.3,158925,0.5,320085,0.2,425708,1.75 50,1,2024-09-07 10:00:31:011,1151612,1151612,0,0,541319181383,5594221956,1144637,6304,671,368,391691,0 50,2,2024-09-07 10:00:31:067,824624,824624,0,0,29524575,0,4490 50,3,2024-09-07 10:00:31:291,1,653,1,0,617,6559,653,0 51,0,2024-09-07 10:00:31:719,164625,0.3,161086,0.5,313743,0.2,428132,1.75 51,1,2024-09-07 10:00:31:699,1151555,1151555,0,0,542193491651,5590706641,1144961,5253,1341,365,391706,0 51,2,2024-09-07 10:00:31:316,825935,825935,0,0,29180766,0,3337 51,3,2024-09-07 10:00:31:027,1,653,7,0,678,4664,653,0 52,0,2024-09-07 10:00:31:413,167313,0.5,167350,0.6,334339,0.4,444462,2.00 52,1,2024-09-07 10:00:30:581,1146731,1146731,0,0,538473237817,5631599143,1131166,13212,2353,368,391805,0 52,2,2024-09-07 10:00:31:755,821783,821745,38,0,39638250,0,6742 52,3,2024-09-07 10:00:30:686,1,653,1,0,1782,7738,653,0 53,0,2024-09-07 10:00:31:747,165473,0.6,160541,0.8,335910,0.7,440229,2.25 53,1,2024-09-07 10:00:30:774,1144774,1144773,0,0,538259764269,5642883914,1126119,14248,4407,367,391968,0 53,2,2024-09-07 10:00:31:297,829571,829570,1,0,34935199,0,5455 53,3,2024-09-07 10:00:30:702,1,653,77,0,308,5992,653,0 54,0,2024-09-07 10:00:31:654,159018,0.6,159285,0.7,317424,0.5,423696,2.25 54,1,2024-09-07 10:00:30:583,1148196,1148196,0,0,538668777070,5598366099,1136886,9638,1672,366,391810,0 54,2,2024-09-07 10:00:30:865,825103,825071,32,0,39173552,0,6397 54,3,2024-09-07 10:00:30:763,1,653,1,0,676,8198,653,0 55,0,2024-09-07 10:00:31:760,154525,0.6,159405,0.8,323248,0.5,421228,2.50 55,1,2024-09-07 10:00:30:764,1148803,1148803,0,0,539185489235,5605296843,1137115,10220,1468,365,391731,0 55,2,2024-09-07 10:00:30:729,823592,823536,56,0,36214332,0,7239 55,3,2024-09-07 10:00:30:677,1,653,7,0,304,5952,653,0 56,0,2024-09-07 10:00:31:577,168020,1.1,158436,1.1,326332,1.5,437392,2.75 56,1,2024-09-07 10:00:30:571,1141665,1141665,0,0,536393066110,5670519489,1122880,15034,3751,381,391867,0 56,2,2024-09-07 10:00:31:303,824867,824745,122,0,39026221,0,7432 56,3,2024-09-07 10:00:31:059,1,653,1,0,705,8019,653,0 57,0,2024-09-07 10:00:30:937,165628,1.4,165446,1.2,331038,2.0,442095,3.00 57,1,2024-09-07 10:00:30:986,1144220,1144220,0,0,536832803426,5633558813,1128780,12750,2690,366,392032,0 57,2,2024-09-07 10:00:31:316,826884,826884,0,0,41297507,0,4804 57,3,2024-09-07 10:00:31:740,1,653,4,0,455,7214,653,0 58,0,2024-09-07 10:00:30:572,160502,0.9,155916,1.0,326431,1.1,426880,2.50 58,1,2024-09-07 10:00:30:575,1145358,1145355,0,3,538187853488,5642606571,1127752,13290,4313,367,391726,3 58,2,2024-09-07 10:00:31:072,824579,824579,0,0,37752659,0,3483 58,3,2024-09-07 10:00:31:068,1,653,1,0,1043,7059,653,0 59,0,2024-09-07 10:00:31:739,160025,0.8,159342,1.0,318645,0.9,422713,2.75 59,1,2024-09-07 10:00:30:809,1145110,1145110,0,0,537420706260,5647132644,1126161,15114,3835,369,391653,0 59,2,2024-09-07 10:00:30:584,824952,824952,0,0,36003350,0,3727 59,3,2024-09-07 10:00:31:737,1,653,8,0,1015,7815,653,0 60,0,2024-09-07 10:00:31:703,162637,0.5,162570,0.7,325497,0.5,434057,2.00 60,1,2024-09-07 10:00:30:798,1148981,1148981,0,0,539773792144,5616617121,1139353,8271,1357,370,392031,0 60,2,2024-09-07 10:00:31:141,827796,827796,0,0,34647085,0,3811 60,3,2024-09-07 10:00:31:258,1,653,13,0,409,7314,653,0 61,0,2024-09-07 10:00:31:523,168040,0.6,168715,0.8,335788,0.6,448107,2.00 61,1,2024-09-07 10:00:30:777,1146169,1146169,0,0,537484737445,5626988645,1134173,10278,1718,382,392127,0 61,2,2024-09-07 10:00:31:120,826710,826643,67,0,35257295,0,6411 61,3,2024-09-07 10:00:31:691,1,653,1,0,607,8223,653,0 62,0,2024-09-07 10:00:31:718,166022,0.6,170367,0.7,325206,0.6,441628,2.00 62,1,2024-09-07 10:00:31:112,1153358,1153352,0,6,541306370787,5584532808,1146975,5908,469,365,391975,6 62,2,2024-09-07 10:00:31:646,823665,823664,1,0,34892762,0,5555 62,3,2024-09-07 10:00:31:143,1,653,1,0,482,5277,653,0 63,0,2024-09-07 10:00:31:457,161031,0.4,160726,0.6,322137,0.3,428274,1.75 63,1,2024-09-07 10:00:30:804,1149611,1149605,0,6,539387138775,5602421104,1142027,6650,928,381,391800,6 63,2,2024-09-07 10:00:30:761,825634,825634,0,0,33577055,0,4369 63,3,2024-09-07 10:00:31:732,1,653,9,0,667,6540,653,0 64,0,2024-09-07 10:00:31:513,160271,0.5,160162,0.6,320188,0.4,426500,2.00 64,1,2024-09-07 10:00:30:785,1148150,1148150,0,0,540329059519,5624102093,1138551,7619,1980,370,391794,0 64,2,2024-09-07 10:00:31:156,829723,829704,19,0,32865543,0,6121 64,3,2024-09-07 10:00:31:140,1,653,1,0,651,7163,653,0 65,0,2024-09-07 10:00:31:690,165916,0.6,166209,0.7,331949,0.5,442664,2.00 65,1,2024-09-07 10:00:30:864,1146542,1146542,0,0,537636326897,5617784658,1138644,7020,878,381,391901,0 65,2,2024-09-07 10:00:31:693,824361,824361,0,0,38619063,0,3367 65,3,2024-09-07 10:00:31:683,1,653,13,0,782,7889,653,0 66,0,2024-09-07 10:00:31:774,165941,0.5,165316,0.7,331002,0.5,440452,2.00 66,1,2024-09-07 10:00:31:293,1148606,1148606,0,0,539246558552,5610850932,1141352,6383,871,380,391743,0 66,2,2024-09-07 10:00:31:132,830782,830779,3,0,34384871,0,5455 66,3,2024-09-07 10:00:31:079,1,653,3,0,291,5872,653,0 67,0,2024-09-07 10:00:31:414,159897,0.5,159457,0.7,319814,0.5,425796,2.00 67,1,2024-09-07 10:00:30:766,1148993,1148992,0,1,538627118226,5606742037,1141219,6801,972,380,391787,1 67,2,2024-09-07 10:00:30:583,828361,828346,15,0,33913600,0,6205 67,3,2024-09-07 10:00:31:750,1,653,400,0,595,7011,653,0 68,0,2024-09-07 10:00:30:593,160230,0.6,159946,0.7,318837,0.5,426706,2.00 68,1,2024-09-07 10:00:30:571,1144437,1144437,0,0,536644852157,5641978780,1129230,11425,3782,381,391953,0 68,2,2024-09-07 10:00:31:051,820797,820697,100,0,42249856,0,8578 68,3,2024-09-07 10:00:30:728,1,653,3,0,417,8806,653,0 69,0,2024-09-07 10:00:31:725,165671,0.6,166335,0.8,331660,0.6,441343,2.25 69,1,2024-09-07 10:00:31:016,1143454,1143454,0,0,536288161689,5648573159,1129061,11415,2978,383,391994,0 69,2,2024-09-07 10:00:31:738,822570,822541,29,0,45594528,0,6912 69,3,2024-09-07 10:00:30:800,1,653,0,0,698,9116,653,0 70,0,2024-09-07 10:00:31:533,164706,0.8,165375,0.9,331844,0.7,439984,2.50 70,1,2024-09-07 10:00:30:924,1149989,1149989,0,0,540722857379,5607586729,1141668,7505,816,366,391725,0 70,2,2024-09-07 10:00:31:326,828606,828606,0,0,37390158,0,4323 70,3,2024-09-07 10:00:30:745,1,653,479,0,854,7416,653,0 71,0,2024-09-07 10:00:31:356,160633,0.9,160190,1.0,321667,1.1,428498,2.50 71,1,2024-09-07 10:00:31:605,1148196,1148196,0,0,539037780223,5622889353,1134500,12070,1626,367,391738,0 71,2,2024-09-07 10:00:31:067,823490,823490,0,0,37064430,0,4352 71,3,2024-09-07 10:00:31:751,1,653,8,0,644,7080,653,0 72,0,2024-09-07 10:00:31:026,165901,0.5,162062,0.7,316448,0.5,430173,2.00 72,1,2024-09-07 10:00:31:028,1145719,1145719,0,0,537594344942,5635885723,1129588,13444,2687,369,391819,0 72,2,2024-09-07 10:00:31:755,823027,823027,0,0,39210943,0,3983 72,3,2024-09-07 10:00:31:754,1,653,5,0,564,9276,653,0 73,0,2024-09-07 10:00:31:098,159532,0.4,163544,0.6,334252,0.4,435716,2.00 73,1,2024-09-07 10:00:30:767,1147766,1147766,0,0,539099223466,5600857529,1139302,7481,983,367,391858,0 73,2,2024-09-07 10:00:31:741,825946,825945,1,0,41108974,0,5027 73,3,2024-09-07 10:00:30:971,1,653,33,0,1091,8865,653,0 74,0,2024-09-07 10:00:31:324,169076,0.5,173079,0.7,330470,0.4,448246,2.00 74,1,2024-09-07 10:00:30:634,1146420,1146420,0,0,538118741595,5614974986,1134315,9965,2140,381,391762,0 74,2,2024-09-07 10:00:31:002,825729,825729,0,0,36120189,0,4253 74,3,2024-09-07 10:00:31:443,1,653,1,0,522,7594,653,0 75,0,2024-09-07 10:00:31:767,164363,0.5,163349,0.7,327845,0.5,437491,2.25 75,1,2024-09-07 10:00:31:585,1147782,1147782,0,0,539234767453,5617660271,1138403,8447,932,380,391739,0 75,2,2024-09-07 10:00:31:349,823525,823525,0,0,42614954,0,4766 75,3,2024-09-07 10:00:31:067,1,653,1,0,918,9028,653,0 76,0,2024-09-07 10:00:30:708,160646,0.5,160054,0.7,319864,0.5,428050,2.25 76,1,2024-09-07 10:00:30:832,1147173,1147173,0,0,538393187831,5615793144,1138830,7040,1303,382,391790,0 76,2,2024-09-07 10:00:31:070,827933,827930,3,0,35489215,0,5265 76,3,2024-09-07 10:00:31:144,1,653,8,0,227,5927,653,0 77,0,2024-09-07 10:00:31:691,161136,0.6,161519,0.7,323337,0.5,429897,2.00 77,1,2024-09-07 10:00:30:827,1147261,1147261,0,0,538559307332,5620851089,1138182,8053,1026,381,391869,0 77,2,2024-09-07 10:00:31:281,825805,825805,0,0,34563768,0,3890 77,3,2024-09-07 10:00:31:098,1,653,56,0,401,7388,653,0 78,0,2024-09-07 10:00:31:717,168732,0.4,168042,0.6,337320,0.4,446361,2.00 78,1,2024-09-07 10:00:30:613,1146501,1146501,0,0,537634129054,5612604186,1131678,11587,3236,367,391670,0 78,2,2024-09-07 10:00:31:406,826862,826849,13,0,32482034,0,8313 78,3,2024-09-07 10:00:31:132,1,653,17,0,311,5491,653,0 79,0,2024-09-07 10:00:31:345,160261,0.4,164201,0.6,336349,0.3,438047,2.00 79,1,2024-09-07 10:00:30:571,1150832,1150832,0,0,539919484281,5593778375,1141322,7737,1773,367,391682,0 79,2,2024-09-07 10:00:31:068,827965,827965,0,0,31239288,0,4195 79,3,2024-09-07 10:00:30:750,1,653,12,0,418,7427,653,0 80,0,2024-09-07 10:00:31:086,159936,0.5,164224,0.7,313702,0.5,424728,2.00 80,1,2024-09-07 10:00:31:633,1147381,1147381,0,0,538958617959,5609566217,1140054,6867,460,368,392269,0 80,2,2024-09-07 10:00:31:091,828166,828166,0,0,32003685,0,4433 80,3,2024-09-07 10:00:30:575,1,653,9,0,681,7849,653,0 81,0,2024-09-07 10:00:31:568,160317,0.5,163994,0.7,313137,0.5,425120,2.00 81,1,2024-09-07 10:00:31:661,1146477,1146477,0,0,537547518802,5617145840,1137259,8248,970,382,391885,0 81,2,2024-09-07 10:00:31:133,824969,824906,63,0,34969955,0,5932 81,3,2024-09-07 10:00:31:128,1,653,1,0,719,7189,653,0 82,0,2024-09-07 10:00:31:530,166777,0.5,166848,0.7,334304,0.4,443600,2.00 82,1,2024-09-07 10:00:30:583,1148276,1148272,0,4,539302461493,5607512229,1141873,5357,1042,381,391768,4 82,2,2024-09-07 10:00:31:696,827510,827510,0,0,29639025,0,4484 82,3,2024-09-07 10:00:31:752,1,653,1,0,363,5993,653,0 83,0,2024-09-07 10:00:31:526,166013,0.6,165916,0.7,331412,0.6,440482,2.00 83,1,2024-09-07 10:00:30:551,1147151,1147151,0,0,538288288020,5611942314,1138708,7448,995,382,391709,0 83,2,2024-09-07 10:00:30:764,829479,829454,25,0,32109581,0,5612 83,3,2024-09-07 10:00:30:749,1,653,10,0,1260,7764,653,0 84,0,2024-09-07 10:00:31:779,159373,0.7,159438,0.9,318701,0.7,425403,2.25 84,1,2024-09-07 10:00:31:045,1145509,1145509,0,0,537376941463,5619689998,1132496,11129,1884,367,391967,0 84,2,2024-09-07 10:00:30:571,823079,822669,410,0,45458839,0,17037 84,3,2024-09-07 10:00:31:143,1,653,366,0,908,9041,653,0 85,0,2024-09-07 10:00:31:009,153855,0.6,153842,0.8,326591,0.6,421470,2.25 85,1,2024-09-07 10:00:30:559,1142543,1142543,0,0,537109431240,5664161496,1125922,13818,2803,381,392092,0 85,2,2024-09-07 10:00:30:865,824017,824017,0,0,39145332,0,4255 85,3,2024-09-07 10:00:30:690,1,653,78,0,789,7350,653,0 86,0,2024-09-07 10:00:30:880,164389,0.7,169042,0.8,323431,0.7,437727,2.25 86,1,2024-09-07 10:00:30:828,1145258,1145258,0,0,537448138880,5634179157,1130450,12012,2796,366,392169,0 86,2,2024-09-07 10:00:30:861,825082,825081,1,0,41893242,0,5004 86,3,2024-09-07 10:00:30:589,1,653,1,0,308,8865,653,0 87,0,2024-09-07 10:00:31:285,167763,0.8,166756,0.8,333906,0.9,445969,2.25 87,1,2024-09-07 10:00:30:561,1144702,1144702,0,0,538165331484,5633239701,1130968,11931,1803,366,392076,0 87,2,2024-09-07 10:00:31:068,826836,826830,6,0,38467913,0,6323 87,3,2024-09-07 10:00:31:795,1,653,8,0,473,9061,653,0 88,0,2024-09-07 10:00:31:438,162908,0.4,163381,0.6,326593,0.4,434287,1.75 88,1,2024-09-07 10:00:30:569,1144080,1144080,0,0,536505325874,5622908161,1127833,13125,3122,365,392084,0 88,2,2024-09-07 10:00:30:691,823860,823860,0,0,40318453,0,4465 88,3,2024-09-07 10:00:31:269,1,653,0,0,1080,10327,653,0 89,0,2024-09-07 10:00:31:771,165859,0.4,160902,0.6,317405,0.4,431319,1.75 89,1,2024-09-07 10:00:30:556,1143120,1143120,0,0,537451506305,5650386948,1129342,11603,2175,382,391866,0 89,2,2024-09-07 10:00:31:132,824627,824627,0,0,38561879,0,3173 89,3,2024-09-07 10:00:31:791,1,653,6,0,468,10529,653,0 90,0,2024-09-07 10:00:31:634,157749,0.4,161983,0.6,330686,0.4,431763,2.00 90,1,2024-09-07 10:00:30:596,1145084,1145084,0,0,536722986361,5621915784,1133831,10202,1051,380,391825,0 90,2,2024-09-07 10:00:31:410,823150,823145,5,0,41872273,0,6370 90,3,2024-09-07 10:00:30:933,1,653,1,0,364,8458,653,0 91,0,2024-09-07 10:00:30:926,168695,0.5,163607,0.6,342365,0.5,448939,1.75 91,1,2024-09-07 10:00:30:556,1142166,1142166,0,0,536781845977,5659765366,1124493,13713,3960,381,392047,0 91,2,2024-09-07 10:00:31:331,825930,825930,0,0,37261370,0,4713 91,3,2024-09-07 10:00:30:598,1,653,13,0,231,6210,653,0 92,0,2024-09-07 10:00:31:474,167069,0.5,170787,0.6,325808,0.4,441770,1.75 92,1,2024-09-07 10:00:30:583,1146535,1146535,0,0,538653997844,5626114089,1137510,7718,1307,381,392136,0 92,2,2024-09-07 10:00:31:351,825404,825404,0,0,33068457,0,3906 92,3,2024-09-07 10:00:31:008,1,653,8,0,167,5447,653,0 93,0,2024-09-07 10:00:30:958,161489,0.4,165416,0.6,315913,0.3,428118,1.75 93,1,2024-09-07 10:00:30:859,1146963,1146963,0,0,537862259015,5620869297,1133165,11367,2431,365,392048,0 93,2,2024-09-07 10:00:30:932,825449,825449,0,0,39031418,0,4913 93,3,2024-09-07 10:00:31:408,1,653,3,0,505,7357,653,0 94,0,2024-09-07 10:00:31:672,160286,0.4,161425,0.5,322989,0.3,428990,1.75 94,1,2024-09-07 10:00:30:564,1147621,1147621,0,0,538103581679,5610974746,1140109,7022,490,381,391850,0 94,2,2024-09-07 10:00:30:761,826328,826300,28,0,33712039,0,6179 94,3,2024-09-07 10:00:31:695,1,653,14,0,576,8069,653,0 95,0,2024-09-07 10:00:31:345,167092,0.4,166955,0.5,334221,0.3,445315,1.75 95,1,2024-09-07 10:00:30:856,1148500,1148500,0,0,539313326543,5604254484,1139519,8219,762,365,391852,0 95,2,2024-09-07 10:00:31:028,824078,824078,0,0,33581156,0,3308 95,3,2024-09-07 10:00:31:712,1,653,18,0,718,9976,653,0 96,0,2024-09-07 10:00:31:075,166331,0.3,166510,0.5,333377,0.3,443117,1.75 96,1,2024-09-07 10:00:32:403,1146750,1146750,0,0,538510022531,5616884943,1138654,6805,1291,384,391964,0 96,2,2024-09-07 10:00:31:268,829918,829918,0,0,33898443,0,4180 96,3,2024-09-07 10:00:31:140,1,653,5,0,411,7236,653,0 97,0,2024-09-07 10:00:31:318,159994,0.3,159927,0.5,320429,0.3,425639,1.50 97,1,2024-09-07 10:00:30:762,1148643,1148643,0,0,539366905925,5595969160,1141137,6272,1234,367,392140,0 97,2,2024-09-07 10:00:30:607,826480,826480,0,0,33873753,0,4600 97,3,2024-09-07 10:00:30:568,1,653,88,0,433,7851,653,0 98,0,2024-09-07 10:00:31:716,159848,0.3,159838,0.4,321009,0.2,427010,1.50 98,1,2024-09-07 10:00:30:570,1147805,1147805,0,0,538924650097,5613725787,1140638,6272,895,381,391997,0 98,2,2024-09-07 10:00:30:780,824482,824482,0,0,32228960,0,4336 98,3,2024-09-07 10:00:30:703,1,653,0,0,840,9361,653,0 99,0,2024-09-07 10:00:31:450,166129,0.3,167034,0.5,332705,0.3,443967,1.75 99,1,2024-09-07 10:00:31:734,1148729,1148729,0,0,539231022723,5610218900,1141666,6087,976,380,392069,0 99,2,2024-09-07 10:00:31:416,824788,824788,0,0,41512882,0,4276 99,3,2024-09-07 10:00:30:582,1,653,9,0,1124,8219,653,0 100,0,2024-09-07 10:00:31:469,166218,0.8,166687,0.9,333068,0.9,444502,2.50 100,1,2024-09-07 10:00:30:548,1141624,1141624,0,0,536164497222,5666352935,1124247,13881,3496,378,391989,0 100,2,2024-09-07 10:00:31:830,824505,824116,389,0,43116880,0,16909 100,3,2024-09-07 10:00:31:731,1,653,2,0,627,10503,653,0 101,0,2024-09-07 10:00:31:699,165265,1.0,161270,1.0,315481,0.9,430346,2.25 101,1,2024-09-07 10:00:31:191,1143753,1143753,0,0,537148627190,5642215888,1128606,12459,2688,368,391847,0 101,2,2024-09-07 10:00:31:762,819638,819638,0,0,42815074,0,4871 101,3,2024-09-07 10:00:30:961,1,653,1,0,1250,9680,653,0 102,0,2024-09-07 10:00:30:942,156322,0.6,161037,0.8,327159,0.6,427287,2.00 102,1,2024-09-07 10:00:31:148,1143936,1143936,0,0,537546657032,5643397400,1129054,12671,2211,369,391984,0 102,2,2024-09-07 10:00:31:742,825339,825285,54,0,36871822,0,6768 102,3,2024-09-07 10:00:31:622,1,653,3,0,466,7499,653,0 103,0,2024-09-07 10:00:31:611,169026,0.5,169086,0.7,318575,0.5,438653,2.00 103,1,2024-09-07 10:00:31:635,1142886,1142886,0,0,536796651319,5664979821,1125248,14021,3617,381,392077,0 103,2,2024-09-07 10:00:30:590,824820,824820,0,0,40001178,0,3766 103,3,2024-09-07 10:00:30:755,1,653,50,0,916,7627,653,0 104,0,2024-09-07 10:00:31:003,166806,0.7,167118,0.9,333353,0.7,445473,2.25 104,1,2024-09-07 10:00:31:600,1145229,1145229,0,0,536759799798,5641588570,1127834,14150,3245,365,392168,0 104,2,2024-09-07 10:00:31:666,824270,824270,0,0,39556408,0,4161 104,3,2024-09-07 10:00:31:428,1,653,7,0,1245,11056,653,0 105,0,2024-09-07 10:00:31:030,162348,0.9,158260,1.0,331677,0.9,435532,2.50 105,1,2024-09-07 10:00:30:563,1147062,1147062,0,0,538398781021,5637844814,1133059,12047,1956,364,392009,0 105,2,2024-09-07 10:00:31:322,823589,823589,0,0,39993513,0,4360 105,3,2024-09-07 10:00:31:310,1,653,7,0,573,9826,653,0 106,0,2024-09-07 10:00:30:943,155639,0.9,159452,1.0,326547,1.0,426393,2.50 106,1,2024-09-07 10:00:31:754,1144823,1144823,0,0,536881289439,5633124508,1129039,13757,2027,368,391914,0 106,2,2024-09-07 10:00:30:764,824557,824557,0,0,37325017,0,3331 106,3,2024-09-07 10:00:30:691,1,653,13,0,1224,9017,653,0 107,0,2024-09-07 10:00:31:127,161304,1.0,161411,1.0,322783,1.2,431013,2.25 107,1,2024-09-07 10:00:30:588,1142960,1142960,0,0,535398418665,5657091830,1124806,15971,2183,381,392234,0 107,2,2024-09-07 10:00:31:291,822617,822616,1,0,40891435,0,5024 107,3,2024-09-07 10:00:31:765,1,653,14,0,733,9694,653,0 108,0,2024-09-07 10:00:31:795,167728,0.4,168567,0.6,336055,0.4,448112,1.75 108,1,2024-09-07 10:00:31:293,1146196,1146196,0,0,538435158261,5617582982,1136438,8675,1083,367,391894,0 108,2,2024-09-07 10:00:31:755,825752,825752,0,0,36634155,0,4432 108,3,2024-09-07 10:00:31:330,1,653,166,0,767,12225,653,0 109,0,2024-09-07 10:00:31:776,166784,0.4,165377,0.6,331712,0.3,442674,1.75 109,1,2024-09-07 10:00:30:583,1144236,1144236,0,0,537384363142,5638581904,1133812,8924,1500,382,392132,0 109,2,2024-09-07 10:00:30:934,825280,825280,0,0,36298761,0,3617 109,3,2024-09-07 10:00:31:139,1,653,14,0,630,7668,653,0 110,0,2024-09-07 10:00:31:783,159716,0.4,155338,0.6,325230,0.3,426634,1.75 110,1,2024-09-07 10:00:31:646,1148773,1148773,0,0,539335894836,5598582797,1140068,6681,2024,368,392045,0 110,2,2024-09-07 10:00:31:303,826176,826176,0,0,34901119,0,4067 110,3,2024-09-07 10:00:30:691,1,653,1,0,722,8741,653,0 111,0,2024-09-07 10:00:31:491,161087,0.4,160343,0.5,320289,0.3,427805,1.75 111,1,2024-09-07 10:00:31:000,1149858,1149858,0,0,540734073101,5610606748,1143463,6010,385,380,391690,0 111,2,2024-09-07 10:00:31:123,825583,825583,0,0,34855500,0,4823 111,3,2024-09-07 10:00:30:913,1,653,8,0,379,7292,653,0 112,0,2024-09-07 10:00:30:908,167646,0.3,167088,0.4,334916,0.2,444803,1.50 112,1,2024-09-07 10:00:30:827,1148759,1148759,0,0,538815047950,5593869815,1141292,6242,1225,380,391624,0 112,2,2024-09-07 10:00:31:138,825250,825249,1,0,33058060,0,5036 112,3,2024-09-07 10:00:30:599,1,653,2,0,282,6399,653,0 113,0,2024-09-07 10:00:30:876,166014,0.3,166087,0.5,332672,0.2,442614,1.50 113,1,2024-09-07 10:00:31:685,1151604,1151604,0,0,541742189806,5588800682,1144826,5828,950,365,391664,0 113,2,2024-09-07 10:00:31:302,831555,831555,0,0,30769470,0,3813 113,3,2024-09-07 10:00:30:684,1,653,0,0,510,6777,653,0 114,0,2024-09-07 10:00:30:876,160916,0.3,161925,0.5,323104,0.2,430816,1.75 114,1,2024-09-07 10:00:30:721,1148667,1148667,0,0,538958543578,5594267183,1140462,6456,1749,381,391565,0 114,2,2024-09-07 10:00:30:875,827003,827002,1,0,31876400,0,5069 114,3,2024-09-07 10:00:31:279,1,653,0,0,415,5409,653,0 115,0,2024-09-07 10:00:30:560,160829,0.3,161295,0.4,321371,0.2,427649,1.50 115,1,2024-09-07 10:00:30:689,1148850,1148850,0,0,539357580551,5600842591,1139976,7340,1534,382,391757,0 115,2,2024-09-07 10:00:31:125,826501,826501,0,0,32134277,0,4382 115,3,2024-09-07 10:00:31:004,1,653,173,0,173,4195,653,0 116,0,2024-09-07 10:00:31:707,164281,0.7,164120,0.8,329139,0.7,440005,2.00 116,1,2024-09-07 10:00:30:816,1142505,1142505,0,0,537472148562,5661790152,1128981,10317,3207,380,392089,0 116,2,2024-09-07 10:00:31:751,825809,825809,0,0,39666722,0,4475 116,3,2024-09-07 10:00:30:914,1,653,1,0,448,8418,653,0 117,0,2024-09-07 10:00:30:965,167784,0.7,167327,0.8,334876,0.7,447334,2.00 117,1,2024-09-07 10:00:31:639,1144819,1144819,0,0,537200028874,5622691137,1132539,10699,1581,369,392429,0 117,2,2024-09-07 10:00:31:124,829328,829328,0,0,35955805,0,4303 117,3,2024-09-07 10:00:31:069,1,653,4,0,490,8074,653,0 118,0,2024-09-07 10:00:31:782,158010,0.5,162279,0.7,331158,0.5,432814,2.00 118,1,2024-09-07 10:00:30:593,1143561,1143561,0,0,536502881655,5637948816,1126779,12963,3819,366,392054,0 118,2,2024-09-07 10:00:31:602,823229,823229,0,0,38438557,0,2842 118,3,2024-09-07 10:00:31:773,1,653,1,0,343,7970,653,0 119,0,2024-09-07 10:00:31:331,161074,0.7,161553,0.8,322523,0.8,429799,2.25 119,1,2024-09-07 10:00:30:554,1144860,1144860,0,0,537934066881,5638028493,1129976,12369,2515,367,391857,0 119,2,2024-09-07 10:00:31:260,825775,825775,0,0,35715471,0,4309 119,3,2024-09-07 10:00:31:325,1,653,8,0,1358,11826,653,0 120,0,2024-09-07 10:00:31:556,161836,0.7,161574,0.8,324753,0.7,433149,2.25 120,1,2024-09-07 10:00:30:868,1145629,1145629,0,0,536854781889,5629161935,1133333,11140,1156,367,392144,0 120,2,2024-09-07 10:00:30:783,825414,825411,3,0,43158709,0,5363 120,3,2024-09-07 10:00:31:324,1,653,9,0,478,8350,653,0 121,0,2024-09-07 10:00:31:686,168313,1.2,167762,1.0,335512,1.5,447369,2.25 121,1,2024-09-07 10:00:31:661,1145244,1145244,0,0,537748218061,5627248587,1132923,10479,1842,366,391840,0 121,2,2024-09-07 10:00:31:125,825917,825917,0,0,39466411,0,4157 121,3,2024-09-07 10:00:30:749,1,653,1,0,387,8472,653,0 122,0,2024-09-07 10:00:31:766,164959,0.7,160439,0.9,335855,0.8,441573,2.00 122,1,2024-09-07 10:00:30:862,1144469,1144469,0,0,537364601525,5636022152,1129272,12778,2419,365,392130,0 122,2,2024-09-07 10:00:31:322,825575,825500,75,0,42905540,0,5989 122,3,2024-09-07 10:00:30:597,1,653,1,0,512,10081,653,0 123,0,2024-09-07 10:00:30:976,159708,0.8,155543,0.9,326050,0.9,426742,2.25 123,1,2024-09-07 10:00:30:558,1145100,1145100,0,0,537499147664,5650767103,1127095,15132,2873,369,392039,0 123,2,2024-09-07 10:00:31:020,824035,824034,1,0,36883992,0,5215 123,3,2024-09-07 10:00:31:132,1,653,59,0,478,7334,653,0 124,0,2024-09-07 10:00:30:957,165432,0.3,165455,0.5,311847,0.3,429596,1.75 124,1,2024-09-07 10:00:31:022,1148633,1148633,0,0,538028457739,5592567158,1140309,7131,1193,365,392178,0 124,2,2024-09-07 10:00:31:009,827989,827936,53,0,34293850,0,6487 124,3,2024-09-07 10:00:30:765,1,653,32,0,490,6524,653,0 125,0,2024-09-07 10:00:31:431,166923,0.4,166671,0.5,334249,0.3,445630,1.75 125,1,2024-09-07 10:00:30:870,1145923,1145923,0,0,538447286829,5624634296,1136106,8342,1475,382,392045,0 125,2,2024-09-07 10:00:31:122,827439,827439,0,0,34209995,0,4534 125,3,2024-09-07 10:00:31:130,1,653,0,0,709,7468,653,0 126,0,2024-09-07 10:00:31:425,166526,0.4,171296,0.5,327432,0.3,443902,1.75 126,1,2024-09-07 10:00:30:552,1149370,1149370,0,0,539542727848,5589386760,1142760,5937,673,365,391987,0 126,2,2024-09-07 10:00:30:610,830104,830104,0,0,35251562,0,4539 126,3,2024-09-07 10:00:30:913,1,653,28,0,268,7395,653,0 127,0,2024-09-07 10:00:31:671,160350,0.3,160500,0.5,320314,0.3,425931,1.75 127,1,2024-09-07 10:00:30:569,1147273,1147273,0,0,538266363136,5602652067,1135276,10306,1691,364,392187,0 127,2,2024-09-07 10:00:30:641,826001,825997,4,0,33357217,0,5305 127,3,2024-09-07 10:00:31:267,1,653,8,0,968,6361,653,0 128,0,2024-09-07 10:00:31:593,160873,0.3,160889,0.4,321785,0.2,427771,1.50 128,1,2024-09-07 10:00:31:607,1147160,1147160,0,0,538614706150,5601127536,1138327,7866,967,367,392423,0 128,2,2024-09-07 10:00:31:405,827193,827193,0,0,31020467,0,3171 128,3,2024-09-07 10:00:30:778,1,653,13,0,1082,9954,653,0 129,0,2024-09-07 10:00:30:992,167458,0.3,166699,0.5,334418,0.3,444550,1.50 129,1,2024-09-07 10:00:30:576,1144149,1144149,0,0,537588730692,5636090408,1132263,9842,2044,379,391962,0 129,2,2024-09-07 10:00:30:686,827230,827226,4,0,33928289,0,5335 129,3,2024-09-07 10:00:30:691,1,653,20,0,506,8915,653,0 130,0,2024-09-07 10:00:31:749,167362,0.4,167136,0.6,334620,0.4,445380,1.75 130,1,2024-09-07 10:00:30:584,1149137,1149137,0,0,539045777072,5595865258,1143621,5110,406,381,391825,0 130,2,2024-09-07 10:00:31:126,828881,828881,0,0,34084385,0,4067 130,3,2024-09-07 10:00:31:291,1,653,0,0,960,8548,653,0 131,0,2024-09-07 10:00:31:939,161757,0.3,162233,0.5,325339,0.3,431897,1.50 131,1,2024-09-07 10:00:31:819,1148125,1148125,0,0,538618143097,5612730001,1140370,6555,1200,381,391865,0 131,2,2024-09-07 10:00:30:567,825096,825096,0,0,31041721,0,3979 131,3,2024-09-07 10:00:31:692,1,653,142,0,392,8316,653,0 132,0,2024-09-07 10:00:31:494,161039,0.5,162058,0.6,322367,0.4,428606,2.00 132,1,2024-09-07 10:00:30:576,1143676,1143676,0,0,536751603419,5646987824,1127622,13276,2778,381,392532,0 132,2,2024-09-07 10:00:30:702,824590,824573,17,0,40070499,0,6451 132,3,2024-09-07 10:00:31:693,1,653,3,0,1298,11402,653,0 133,0,2024-09-07 10:00:31:532,159551,0.4,163347,0.6,335054,0.4,436469,2.00 133,1,2024-09-07 10:00:30:647,1143604,1143604,0,0,536925240092,5654127413,1128634,13039,1931,383,391914,0 133,2,2024-09-07 10:00:31:089,827702,827652,50,0,41143363,0,6861 133,3,2024-09-07 10:00:31:298,1,653,1,0,528,7725,653,0 134,0,2024-09-07 10:00:30:939,168597,0.5,168590,0.7,337080,0.5,448871,2.25 134,1,2024-09-07 10:00:30:584,1144579,1144579,0,0,536928039588,5632412053,1129475,11928,3176,366,391781,0 134,2,2024-09-07 10:00:31:762,826736,826712,24,0,37928710,0,6207 134,3,2024-09-07 10:00:30:750,1,653,1,0,739,8131,653,0 135,0,2024-09-07 10:00:31:117,159018,0.7,159056,0.8,337688,0.8,434791,2.25 135,1,2024-09-07 10:00:31:589,1144839,1144839,0,0,537529699051,5650525406,1130670,12320,1849,380,391974,0 135,2,2024-09-07 10:00:30:697,825713,825713,0,0,39005963,0,4503 135,3,2024-09-07 10:00:31:004,1,653,8,0,900,6234,653,0 136,0,2024-09-07 10:00:31:637,161515,0.6,162018,0.8,321787,0.6,429699,2.00 136,1,2024-09-07 10:00:31:443,1145789,1145789,0,0,537920027980,5634423870,1133646,10748,1395,381,392135,0 136,2,2024-09-07 10:00:31:132,827226,827211,15,0,38583894,0,6007 136,3,2024-09-07 10:00:31:106,1,653,1,0,637,7530,653,0 137,0,2024-09-07 10:00:30:922,166669,0.6,162434,0.8,318200,0.7,432583,2.00 137,1,2024-09-07 10:00:30:576,1144964,1144964,0,0,538091769358,5641351884,1127535,13923,3506,366,391898,0 137,2,2024-09-07 10:00:31:705,824893,824893,0,0,39792417,0,3185 137,3,2024-09-07 10:00:30:774,1,653,208,0,484,8791,653,0 138,0,2024-09-07 10:00:31:758,167151,1.0,167486,0.9,335672,1.2,446517,2.25 138,1,2024-09-07 10:00:31:697,1144704,1144704,0,0,538082177945,5646346276,1128474,13593,2637,368,391954,0 138,2,2024-09-07 10:00:30:587,824496,824496,0,0,38319847,0,4988 138,3,2024-09-07 10:00:30:613,1,653,1,0,1200,9805,653,0 139,0,2024-09-07 10:00:31:417,164530,0.9,165172,0.9,329993,1.3,441033,2.25 139,1,2024-09-07 10:00:31:408,1140632,1140632,0,0,534894369414,5667722298,1121573,15191,3868,380,392109,0 139,2,2024-09-07 10:00:30:694,821375,821345,30,0,43596957,0,5997 139,3,2024-09-07 10:00:31:665,1,653,38,0,432,7669,653,0 140,0,2024-09-07 10:00:31:627,160272,0.3,159530,0.5,320190,0.2,426865,1.75 140,1,2024-09-07 10:00:31:538,1152433,1152433,0,0,540955549698,5580970510,1146284,5412,737,364,391628,0 140,2,2024-09-07 10:00:30:692,826204,826203,1,0,31475021,0,5036 140,3,2024-09-07 10:00:30:767,1,653,1,0,575,6638,653,0 141,0,2024-09-07 10:00:31:723,160687,0.3,165183,0.5,315668,0.2,427232,1.75 141,1,2024-09-07 10:00:30:864,1148910,1148910,0,0,539423760187,5607082282,1139195,8331,1384,379,391614,0 141,2,2024-09-07 10:00:31:686,826390,826379,11,0,33859118,0,5369 141,3,2024-09-07 10:00:31:043,1,653,4,0,391,7492,653,0 142,0,2024-09-07 10:00:31:317,167698,0.3,166850,0.5,334262,0.3,445666,1.50 142,1,2024-09-07 10:00:30:585,1147110,1147110,0,0,538306401046,5613133356,1139294,7158,658,382,392102,0 142,2,2024-09-07 10:00:31:299,824300,824268,32,0,34207801,0,6028 142,3,2024-09-07 10:00:31:746,1,653,1,0,484,6812,653,0 143,0,2024-09-07 10:00:31:411,166128,0.4,166013,0.5,332982,0.4,442675,1.75 143,1,2024-09-07 10:00:30:556,1150087,1150087,0,0,540323128210,5604465177,1143088,6336,663,367,391900,0 143,2,2024-09-07 10:00:30:775,830126,830126,0,0,34051724,0,3123 143,3,2024-09-07 10:00:31:140,1,653,8,0,462,7628,653,0 144,0,2024-09-07 10:00:31:577,155976,0.6,160471,0.7,326643,0.5,427264,2.00 144,1,2024-09-07 10:00:30:564,1144397,1144397,0,0,536464314089,5628889292,1133112,9266,2019,381,391733,0 144,2,2024-09-07 10:00:31:760,826193,826193,0,0,33296105,0,4443 144,3,2024-09-07 10:00:31:741,1,653,1,0,259,6650,653,0 145,0,2024-09-07 10:00:31:364,154881,0.5,154912,0.8,328933,0.5,423323,2.25 145,1,2024-09-07 10:00:30:554,1143162,1143162,0,0,537320679542,5652631004,1128045,12362,2755,382,391781,0 145,2,2024-09-07 10:00:31:429,823214,823132,82,0,39094854,0,7814 145,3,2024-09-07 10:00:30:896,1,653,23,0,622,8734,653,0 146,0,2024-09-07 10:00:31:720,164927,0.6,163929,0.8,329859,0.6,438522,2.00 146,1,2024-09-07 10:00:31:592,1145055,1145055,0,0,537369865067,5652483649,1126498,13737,4820,367,391770,0 146,2,2024-09-07 10:00:31:705,824281,824275,6,0,37887284,0,5151 146,3,2024-09-07 10:00:31:274,1,653,2,0,1520,10788,653,0 147,0,2024-09-07 10:00:31:754,167728,0.6,167362,0.7,334824,0.6,446411,2.00 147,1,2024-09-07 10:00:31:374,1148729,1148729,0,0,538969979474,5601138163,1139514,8176,1039,367,391791,0 147,2,2024-09-07 10:00:31:008,827585,827585,0,0,34243344,0,3359 147,3,2024-09-07 10:00:30:913,1,653,8,0,1626,10040,653,0 0,0,2024-09-07 10:00:41:727,158409,0.6,158290,0.7,336038,0.6,433966,2.00 0,1,2024-09-07 10:00:40:804,1147645,1147645,0,0,538766654609,5639720815,1138335,8356,954,368,391896,0 0,2,2024-09-07 10:00:41:065,828744,828744,0,0,33411838,0,4480 0,3,2024-09-07 10:00:40:975,1,654,7,0,431,9005,654,0 1,0,2024-09-07 10:00:41:749,168116,0.9,167148,0.9,335474,1.0,448532,2.00 1,1,2024-09-07 10:00:40:560,1145825,1145825,0,0,538200142142,5639124247,1134790,9137,1898,370,391859,0 1,2,2024-09-07 10:00:40:642,828410,828410,0,0,32856416,0,3380 1,3,2024-09-07 10:00:41:306,1,654,13,0,269,7855,654,0 2,0,2024-09-07 10:00:41:597,165682,0.6,165241,0.7,330385,0.6,440864,2.00 2,1,2024-09-07 10:00:40:859,1149701,1149701,0,0,540271940254,5617468170,1143052,5691,958,379,391805,0 2,2,2024-09-07 10:00:41:266,827393,827393,0,0,31153459,0,3594 2,3,2024-09-07 10:00:40:693,1,654,1,0,357,5600,654,0 3,0,2024-09-07 10:00:41:742,160627,0.4,160689,0.6,320880,0.4,427395,2.00 3,1,2024-09-07 10:00:41:618,1148757,1148757,0,0,539176543862,5607634733,1140620,7419,718,379,391716,0 3,2,2024-09-07 10:00:41:143,827966,827943,23,0,32504272,0,5851 3,3,2024-09-07 10:00:41:757,1,654,8,0,484,4988,654,0 4,0,2024-09-07 10:00:41:772,156542,0.4,160811,0.5,327992,0.3,428928,1.75 4,1,2024-09-07 10:00:40:615,1143384,1143384,0,0,536719419857,5678582152,1124066,14781,4537,369,391992,0 4,2,2024-09-07 10:00:41:019,825027,825027,0,0,39537059,0,4534 4,3,2024-09-07 10:00:41:030,1,654,11,0,448,8693,654,0 5,0,2024-09-07 10:00:41:371,167388,0.4,167246,0.6,334726,0.4,445851,1.75 5,1,2024-09-07 10:00:40:763,1144830,1144830,0,0,537593807552,5672344221,1127590,13015,4225,367,392005,0 5,2,2024-09-07 10:00:41:832,823707,823707,0,0,38558753,0,3582 5,3,2024-09-07 10:00:41:733,1,654,2,0,457,8648,654,0 6,0,2024-09-07 10:00:40:917,166531,0.5,166048,0.6,332255,0.4,442938,2.00 6,1,2024-09-07 10:00:40:748,1147382,1147382,0,0,539090447219,5626310854,1135921,9871,1590,379,391702,0 6,2,2024-09-07 10:00:41:117,829153,829135,18,0,36740602,0,5535 6,3,2024-09-07 10:00:41:275,1,654,0,0,710,7623,654,0 7,0,2024-09-07 10:00:41:531,159479,0.5,160630,0.7,318741,0.5,424998,2.00 7,1,2024-09-07 10:00:40:852,1144962,1144962,0,0,537673446857,5658514909,1127441,13386,4135,382,391747,0 7,2,2024-09-07 10:00:40:779,826238,826238,0,0,35544668,0,4791 7,3,2024-09-07 10:00:40:856,1,654,8,0,552,7514,654,0 8,0,2024-09-07 10:00:41:331,160883,0.4,160451,0.5,321403,0.3,428337,1.75 8,1,2024-09-07 10:00:41:022,1144206,1144206,0,0,537754443903,5651727677,1126649,13835,3722,366,392853,0 8,2,2024-09-07 10:00:40:800,821021,821019,2,0,42289431,0,5112 8,3,2024-09-07 10:00:40:623,1,654,1,0,772,9984,654,0 9,0,2024-09-07 10:00:41:128,166658,0.4,161896,0.5,338813,0.3,444788,1.75 9,1,2024-09-07 10:00:40:550,1144037,1144037,0,0,537289421849,5665825191,1125333,14598,4106,369,392001,0 9,2,2024-09-07 10:00:41:084,825034,825033,1,0,40160540,0,5281 9,3,2024-09-07 10:00:41:764,1,654,85,0,1273,10870,654,0 10,0,2024-09-07 10:00:41:610,166675,0.4,166035,0.5,333113,0.3,443256,1.75 10,1,2024-09-07 10:00:40:623,1146260,1146260,0,0,538347796543,5649447609,1129023,13708,3529,381,391981,0 10,2,2024-09-07 10:00:40:766,829618,829618,0,0,43366057,0,4713 10,3,2024-09-07 10:00:40:878,1,654,1,0,669,7143,654,0 11,0,2024-09-07 10:00:41:028,161606,0.4,156886,0.6,328436,0.4,431828,1.75 11,1,2024-09-07 10:00:40:626,1148385,1148385,0,0,538848426250,5650598714,1131943,12134,4308,383,391766,0 11,2,2024-09-07 10:00:41:124,824836,824836,0,0,37669164,0,4698 11,3,2024-09-07 10:00:41:305,1,654,12,0,843,8161,654,0 12,0,2024-09-07 10:00:40:939,162043,0.4,161805,0.5,323235,0.4,429491,1.75 12,1,2024-09-07 10:00:40:938,1147526,1147526,0,0,538612402267,5619179349,1138173,8330,1023,370,391960,0 12,2,2024-09-07 10:00:41:540,824294,824294,0,0,37494228,0,4390 12,3,2024-09-07 10:00:41:064,1,654,5,0,386,8268,654,0 13,0,2024-09-07 10:00:41:332,164976,0.4,165148,0.6,329500,0.4,439359,1.75 13,1,2024-09-07 10:00:41:532,1144759,1144759,0,0,538169266382,5660335553,1132308,9617,2834,382,391803,0 13,2,2024-09-07 10:00:40:615,829300,829300,0,0,33742528,0,3287 13,3,2024-09-07 10:00:41:763,1,654,1,0,522,8754,654,0 14,0,2024-09-07 10:00:40:559,168038,0.4,169072,0.6,336061,0.4,447286,1.75 14,1,2024-09-07 10:00:41:560,1153010,1153010,0,0,540961702103,5597716587,1144009,7868,1133,364,391673,0 14,2,2024-09-07 10:00:40:766,828799,828769,30,0,34691246,0,6104 14,3,2024-09-07 10:00:41:115,1,654,1,0,1168,6936,654,0 15,0,2024-09-07 10:00:41:558,164383,0.4,163577,0.6,327351,0.4,436409,1.75 15,1,2024-09-07 10:00:41:612,1149350,1149350,0,0,540294167042,5629016971,1139246,8096,2008,381,391619,0 15,2,2024-09-07 10:00:40:998,827435,827435,0,0,30687778,0,3622 15,3,2024-09-07 10:00:41:411,1,654,9,0,1126,8394,654,0 16,0,2024-09-07 10:00:40:935,161230,0.6,162002,0.8,323010,0.6,430144,2.00 16,1,2024-09-07 10:00:40:627,1149061,1149061,0,0,539034402417,5633943645,1138891,8640,1530,370,392194,0 16,2,2024-09-07 10:00:41:434,826160,826160,0,0,34241891,0,4719 16,3,2024-09-07 10:00:41:153,1,654,1,0,358,7905,654,0 17,0,2024-09-07 10:00:41:781,166989,0.6,162729,0.8,318879,0.6,433788,2.00 17,1,2024-09-07 10:00:40:648,1147201,1147201,0,0,538316856363,5643832733,1136337,9083,1781,368,392075,0 17,2,2024-09-07 10:00:41:665,830541,830540,1,0,35603964,0,5050 17,3,2024-09-07 10:00:40:626,1,654,1,0,518,9483,654,0 18,0,2024-09-07 10:00:40:944,166588,0.7,167719,0.8,333708,0.7,445655,2.25 18,1,2024-09-07 10:00:41:638,1151563,1151563,0,0,539923563371,5598724919,1144107,6192,1264,367,391725,0 18,2,2024-09-07 10:00:41:754,829648,829648,0,0,32046424,0,3541 18,3,2024-09-07 10:00:40:902,1,654,1,0,1059,5772,654,0 19,0,2024-09-07 10:00:41:546,165483,0.6,165938,0.8,330802,0.7,439688,2.00 19,1,2024-09-07 10:00:40:629,1151017,1151017,0,0,540381665847,5600767184,1142301,7556,1160,365,391777,0 19,2,2024-09-07 10:00:41:751,830511,830511,0,0,29721004,0,3988 19,3,2024-09-07 10:00:41:129,1,654,18,0,524,5047,654,0 20,0,2024-09-07 10:00:41:369,159990,0.5,159875,0.7,319650,0.5,426356,2.00 20,1,2024-09-07 10:00:40:849,1146963,1146963,0,0,538290570941,5640303074,1135415,9964,1584,369,391922,0 20,2,2024-09-07 10:00:40:928,826893,826893,0,0,36957533,0,4321 20,3,2024-09-07 10:00:40:622,1,654,236,0,468,10372,654,0 21,0,2024-09-07 10:00:41:165,160831,0.5,160826,0.6,321578,0.4,427399,2.00 21,1,2024-09-07 10:00:41:536,1145100,1145100,0,0,536882047282,5663741229,1127541,13633,3926,368,392016,0 21,2,2024-09-07 10:00:41:089,820768,820291,477,0,47831926,0,17074 21,3,2024-09-07 10:00:41:404,1,654,0,0,713,8920,654,0 22,0,2024-09-07 10:00:41:716,166910,0.4,167516,0.6,334685,0.4,444040,2.00 22,1,2024-09-07 10:00:41:027,1145499,1145499,0,0,537725957829,5656800219,1126868,15041,3590,381,391822,0 22,2,2024-09-07 10:00:40:761,824876,824850,26,0,35834343,0,6328 22,3,2024-09-07 10:00:41:070,1,654,0,0,228,5459,654,0 23,0,2024-09-07 10:00:41:370,165947,0.5,165760,0.6,331579,0.4,442174,2.00 23,1,2024-09-07 10:00:41:009,1146884,1146884,0,0,539933388269,5683173823,1126459,13695,6730,365,391690,0 23,2,2024-09-07 10:00:41:093,830268,830268,0,0,33934139,0,3773 23,3,2024-09-07 10:00:41:754,1,654,8,0,855,9452,654,0 24,0,2024-09-07 10:00:40:921,161911,0.4,161013,0.5,323807,0.4,429775,1.75 24,1,2024-09-07 10:00:40:637,1146275,1146275,0,0,537529255624,5624837300,1135636,8861,1778,367,392269,0 24,2,2024-09-07 10:00:41:075,825948,825945,3,0,40598559,0,6294 24,3,2024-09-07 10:00:41:686,1,654,0,0,468,8456,654,0 25,0,2024-09-07 10:00:41:395,164840,0.4,160451,0.6,315344,0.4,429503,1.75 25,1,2024-09-07 10:00:40:639,1144648,1144648,0,0,537063412438,5672316249,1124890,15850,3908,369,391928,0 25,2,2024-09-07 10:00:41:608,823795,823795,0,0,41376000,0,3978 25,3,2024-09-07 10:00:41:000,1,654,1,0,532,7183,654,0 26,0,2024-09-07 10:00:41:746,164612,0.4,160803,0.6,337594,0.3,440438,1.75 26,1,2024-09-07 10:00:41:541,1148119,1148119,0,0,538966994205,5643289198,1132637,12581,2901,380,391758,0 26,2,2024-09-07 10:00:40:864,826508,826508,0,0,43949291,0,4689 26,3,2024-09-07 10:00:41:717,1,654,1,0,796,7929,654,0 27,0,2024-09-07 10:00:41:726,167571,0.4,168335,0.6,334588,0.4,446182,2.25 27,1,2024-09-07 10:00:41:675,1149588,1149588,0,0,539567336808,5620038118,1138903,9068,1617,381,391626,0 27,2,2024-09-07 10:00:40:904,826015,825950,65,0,38489728,0,5699 27,3,2024-09-07 10:00:41:015,1,654,8,0,564,5514,654,0 28,0,2024-09-07 10:00:41:392,163175,0.4,163398,0.6,326779,0.3,434773,1.75 28,1,2024-09-07 10:00:40:815,1150115,1150115,0,0,540307193888,5632893183,1140441,7756,1918,382,391904,0 28,2,2024-09-07 10:00:41:764,825975,825975,0,0,33894055,0,2915 28,3,2024-09-07 10:00:41:780,1,654,3,0,502,6782,654,0 29,0,2024-09-07 10:00:41:354,165973,0.4,161689,0.6,316986,0.3,431832,1.75 29,1,2024-09-07 10:00:41:561,1152907,1152907,0,0,541425979189,5602166433,1145557,6331,1019,367,391809,0 29,2,2024-09-07 10:00:40:864,826348,826348,0,0,32630284,0,4986 29,3,2024-09-07 10:00:40:963,1,654,30,0,459,6888,654,0 30,0,2024-09-07 10:00:41:461,162161,0.5,157890,0.7,330735,0.5,432619,2.00 30,1,2024-09-07 10:00:40:626,1151337,1151337,0,0,541564959096,5622424136,1142299,7952,1086,380,391672,0 30,2,2024-09-07 10:00:41:274,829654,829654,0,0,31565597,0,4192 30,3,2024-09-07 10:00:40:623,1,654,1,0,519,6328,654,0 31,0,2024-09-07 10:00:41:762,167977,0.5,168701,0.7,336384,0.4,448632,2.00 31,1,2024-09-07 10:00:40:627,1155809,1155809,0,0,541989029931,5567072405,1148509,6111,1189,356,391712,0 31,2,2024-09-07 10:00:41:276,827449,827449,0,0,35528964,0,4470 31,3,2024-09-07 10:00:41:713,1,654,8,0,239,5674,654,0 32,0,2024-09-07 10:00:41:422,165731,0.3,166853,0.5,332062,0.3,442168,1.75 32,1,2024-09-07 10:00:40:811,1151231,1151231,0,0,540219306713,5614179576,1144287,6124,820,381,391646,0 32,2,2024-09-07 10:00:40:935,828744,828744,0,0,30549222,0,3922 32,3,2024-09-07 10:00:41:014,1,654,3,0,304,5567,654,0 33,0,2024-09-07 10:00:41:502,161329,0.3,160956,0.4,321940,0.2,428878,1.50 33,1,2024-09-07 10:00:40:624,1152602,1152602,0,0,541113882712,5603973097,1143559,7698,1345,368,391730,0 33,2,2024-09-07 10:00:40:760,827483,827448,35,0,34381263,0,7012 33,3,2024-09-07 10:00:40:895,1,654,77,0,329,5981,654,0 34,0,2024-09-07 10:00:40:929,161516,0.3,165946,0.5,317495,0.2,428767,1.75 34,1,2024-09-07 10:00:41:046,1154414,1154414,0,0,542170310321,5577391957,1150936,3356,122,367,391637,0 34,2,2024-09-07 10:00:40:766,828699,828699,0,0,32232406,0,4562 34,3,2024-09-07 10:00:41:690,1,654,5,0,541,5650,654,0 35,0,2024-09-07 10:00:40:861,166863,0.3,167431,0.5,335814,0.2,447129,1.75 35,1,2024-09-07 10:00:41:071,1150136,1150136,0,0,539917022125,5602212213,1141472,7130,1534,382,391769,0 35,2,2024-09-07 10:00:41:585,827324,827324,0,0,36688894,0,4055 35,3,2024-09-07 10:00:40:909,1,654,7,0,466,6310,654,0 36,0,2024-09-07 10:00:41:519,166985,0.5,167239,0.6,333886,0.4,444746,2.00 36,1,2024-09-07 10:00:40:625,1148704,1148704,0,0,539003646215,5624693057,1134762,11696,2246,366,391759,0 36,2,2024-09-07 10:00:41:753,829443,829443,0,0,37093271,0,3875 36,3,2024-09-07 10:00:40:872,1,654,4,0,556,8607,654,0 37,0,2024-09-07 10:00:41:372,159393,0.5,159462,0.7,319113,0.5,425668,2.25 37,1,2024-09-07 10:00:40:628,1148634,1148627,0,7,539340872661,5630931667,1135189,10516,2922,365,391770,0 37,2,2024-09-07 10:00:41:142,824790,824775,15,0,36487462,0,5815 37,3,2024-09-07 10:00:41:766,1,654,1,0,888,8862,654,0 38,0,2024-09-07 10:00:41:463,159734,0.4,154814,0.6,323764,0.3,424237,2.00 38,1,2024-09-07 10:00:41:609,1148290,1148290,0,0,539576928525,5640813363,1131865,13128,3297,368,391821,0 38,2,2024-09-07 10:00:40:767,826227,826180,47,0,37146416,0,6710 38,3,2024-09-07 10:00:40:997,1,654,79,0,689,7608,654,0 39,0,2024-09-07 10:00:41:768,170302,0.5,166475,0.7,324792,0.5,443538,2.00 39,1,2024-09-07 10:00:40:724,1148201,1148201,0,0,538826890644,5647061283,1129650,14363,4188,365,391865,0 39,2,2024-09-07 10:00:41:421,826330,826330,0,0,35560337,0,3391 39,3,2024-09-07 10:00:40:712,1,654,8,0,525,7319,654,0 40,0,2024-09-07 10:00:41:487,165159,0.9,165915,1.0,331131,1.0,442203,2.75 40,1,2024-09-07 10:00:40:624,1148230,1148230,0,0,537742058896,5634659561,1130823,14112,3295,366,391668,0 40,2,2024-09-07 10:00:41:305,827078,827071,7,0,41673912,0,5347 40,3,2024-09-07 10:00:41:143,1,654,1,0,1028,9306,654,0 41,0,2024-09-07 10:00:41:020,160956,1.2,164753,1.1,314234,1.7,426511,3.00 41,1,2024-09-07 10:00:40:776,1147494,1147494,0,0,539150965553,5638318236,1132828,12364,2302,369,391878,0 41,2,2024-09-07 10:00:40:760,822043,822042,1,0,40010928,0,5408 41,3,2024-09-07 10:00:41:675,1,654,10,0,366,7013,654,0 42,0,2024-09-07 10:00:41:474,159797,0.9,159447,1.0,319181,1.0,423281,2.50 42,1,2024-09-07 10:00:41:444,1145518,1145518,0,0,537272425981,5642004971,1128317,14245,2956,380,391675,0 42,2,2024-09-07 10:00:41:133,823640,823639,1,0,41266046,0,5513 42,3,2024-09-07 10:00:41:013,1,654,8,0,892,6248,654,0 43,0,2024-09-07 10:00:40:948,162442,0.8,158136,0.9,331511,0.8,434400,2.25 43,1,2024-09-07 10:00:40:624,1148151,1148151,0,0,539433165312,5635935456,1132358,13047,2746,366,391696,0 43,2,2024-09-07 10:00:41:740,827926,827926,0,0,38406418,0,4723 43,3,2024-09-07 10:00:41:748,1,654,8,0,571,9090,654,0 44,0,2024-09-07 10:00:40:861,168333,0.4,168537,0.6,336298,0.4,448270,2.00 44,1,2024-09-07 10:00:40:629,1151632,1151632,0,0,540015542101,5583801573,1141289,8270,2073,356,391809,0 44,2,2024-09-07 10:00:41:279,827169,827169,0,0,31443445,0,4344 44,3,2024-09-07 10:00:41:095,1,654,29,0,1097,8110,654,0 45,0,2024-09-07 10:00:41:756,162482,0.5,158748,0.7,333246,0.4,436432,2.00 45,1,2024-09-07 10:00:41:005,1150533,1150533,0,0,540261744347,5615552269,1141418,7895,1220,382,391917,0 45,2,2024-09-07 10:00:41:268,826706,826706,0,0,31394728,0,3596 45,3,2024-09-07 10:00:40:935,1,654,1,0,531,6064,654,0 46,0,2024-09-07 10:00:40:953,160475,0.5,159981,0.7,321038,0.5,426859,2.00 46,1,2024-09-07 10:00:40:624,1152842,1152842,0,0,541331378213,5596713477,1144766,7027,1049,366,391709,0 46,2,2024-09-07 10:00:40:614,828089,828089,0,0,32553628,0,4443 46,3,2024-09-07 10:00:41:131,1,654,1,0,908,7525,654,0 47,0,2024-09-07 10:00:41:104,162493,0.4,162166,0.6,325905,0.4,432146,2.00 47,1,2024-09-07 10:00:40:626,1153862,1153862,0,0,541433790229,5596250713,1146547,6302,1013,364,391641,0 47,2,2024-09-07 10:00:40:909,830944,830944,0,0,32554982,0,4477 47,3,2024-09-07 10:00:41:115,1,654,11,0,600,7020,654,0 48,0,2024-09-07 10:00:41:503,168659,0.3,169189,0.4,337176,0.2,448591,1.50 48,1,2024-09-07 10:00:41:022,1151020,1151020,0,0,540682686256,5615472545,1144119,6088,813,381,391710,0 48,2,2024-09-07 10:00:40:699,827972,827972,0,0,30292155,0,3619 48,3,2024-09-07 10:00:40:759,1,654,0,0,339,5637,654,0 49,0,2024-09-07 10:00:41:714,170867,0.3,167340,0.5,325689,0.3,444313,1.75 49,1,2024-09-07 10:00:41:021,1150283,1150283,0,0,539617958382,5611326845,1142678,5909,1696,382,391809,0 49,2,2024-09-07 10:00:41:798,829076,829076,0,0,31757958,0,4426 49,3,2024-09-07 10:00:41:415,1,654,1,0,992,7725,654,0 50,0,2024-09-07 10:00:41:518,160585,0.3,159126,0.5,320486,0.2,426277,1.75 50,1,2024-09-07 10:00:41:014,1153300,1153300,0,0,542236544442,5603820176,1146324,6305,671,368,391691,0 50,2,2024-09-07 10:00:41:068,825995,825995,0,0,29616520,0,4490 50,3,2024-09-07 10:00:41:297,1,654,49,0,617,6608,654,0 51,0,2024-09-07 10:00:41:701,165054,0.3,161535,0.5,314586,0.2,429387,1.75 51,1,2024-09-07 10:00:41:686,1153435,1153435,0,0,543079582409,5600052064,1146841,5253,1341,365,391706,0 51,2,2024-09-07 10:00:41:319,827470,827470,0,0,29296741,0,3337 51,3,2024-09-07 10:00:41:028,1,654,7,0,678,4671,654,0 52,0,2024-09-07 10:00:41:461,167603,0.5,167643,0.6,334942,0.4,445041,2.00 52,1,2024-09-07 10:00:40:632,1148602,1148602,0,0,539426728279,5641381408,1133037,13212,2353,368,391805,0 52,2,2024-09-07 10:00:41:755,823046,823008,38,0,39711590,0,6742 52,3,2024-09-07 10:00:40:674,1,654,2,0,1782,7740,654,0 53,0,2024-09-07 10:00:41:733,165783,0.6,160843,0.8,336547,0.7,441010,2.25 53,1,2024-09-07 10:00:40:774,1146546,1146546,0,0,539290265056,5653520468,1127891,14248,4407,367,391968,0 53,2,2024-09-07 10:00:41:300,830545,830544,1,0,34982937,0,5455 53,3,2024-09-07 10:00:40:698,1,654,25,0,308,6017,654,0 54,0,2024-09-07 10:00:41:616,159158,0.6,159447,0.7,317747,0.5,424155,2.25 54,1,2024-09-07 10:00:40:623,1149953,1149953,0,0,539628939866,5608235555,1138643,9638,1672,366,391810,0 54,2,2024-09-07 10:00:40:866,826584,826552,32,0,39261951,0,6397 54,3,2024-09-07 10:00:40:764,1,654,67,0,676,8265,654,0 55,0,2024-09-07 10:00:41:768,154835,0.6,159682,0.8,323857,0.5,422050,2.50 55,1,2024-09-07 10:00:40:764,1150526,1150526,0,0,539933146885,5613214208,1138838,10220,1468,365,391731,0 55,2,2024-09-07 10:00:40:728,824807,824751,56,0,36290294,0,7239 55,3,2024-09-07 10:00:40:674,1,654,8,0,304,5960,654,0 56,0,2024-09-07 10:00:41:560,168514,1.1,158867,1.1,327213,1.5,438581,2.75 56,1,2024-09-07 10:00:40:626,1143330,1143330,0,0,537211244740,5678900506,1124545,15034,3751,381,391867,0 56,2,2024-09-07 10:00:41:307,825959,825837,122,0,39065790,0,7432 56,3,2024-09-07 10:00:41:069,1,654,2,0,705,8021,654,0 57,0,2024-09-07 10:00:40:946,165739,1.4,165569,1.2,331290,2.0,442407,3.00 57,1,2024-09-07 10:00:40:989,1145933,1145933,0,0,537518160600,5640923839,1130474,12769,2690,366,392032,0 57,2,2024-09-07 10:00:41:322,828095,828095,0,0,41333928,0,4804 57,3,2024-09-07 10:00:41:739,1,654,11,0,455,7225,654,0 58,0,2024-09-07 10:00:40:553,160621,0.9,156025,1.0,326678,1.1,427201,2.50 58,1,2024-09-07 10:00:40:628,1147045,1147042,0,3,539271149840,5653623133,1129439,13290,4313,367,391726,3 58,2,2024-09-07 10:00:41:082,826079,826079,0,0,37880050,0,3483 58,3,2024-09-07 10:00:41:072,1,654,10,0,1043,7069,654,0 59,0,2024-09-07 10:00:41:743,160067,0.8,159381,1.0,318720,0.9,422713,2.75 59,1,2024-09-07 10:00:40:807,1146892,1146892,0,0,538189269744,5655024233,1127943,15114,3835,369,391653,0 59,2,2024-09-07 10:00:40:624,826201,826201,0,0,36029682,0,3727 59,3,2024-09-07 10:00:41:744,1,654,8,0,1015,7823,654,0 60,0,2024-09-07 10:00:41:714,163010,0.5,162938,0.7,326255,0.5,434919,2.00 60,1,2024-09-07 10:00:40:792,1150804,1150804,0,0,540512248362,5624520761,1141155,8292,1357,370,392031,0 60,2,2024-09-07 10:00:41:150,829078,829078,0,0,34723491,0,3811 60,3,2024-09-07 10:00:41:258,1,654,58,0,409,7372,654,0 61,0,2024-09-07 10:00:41:514,168148,0.6,168805,0.8,335990,0.6,448335,2.00 61,1,2024-09-07 10:00:40:792,1148038,1148038,0,0,538386559321,5637222711,1135894,10368,1776,382,392127,0 61,2,2024-09-07 10:00:41:118,828115,828048,67,0,35540430,0,6411 61,3,2024-09-07 10:00:41:687,1,654,2,0,607,8225,654,0 62,0,2024-09-07 10:00:41:728,166241,0.6,170622,0.7,325668,0.6,442184,2.00 62,1,2024-09-07 10:00:41:116,1154948,1154942,0,6,541923153671,5591236284,1148563,5910,469,365,391975,6 62,2,2024-09-07 10:00:41:648,824553,824552,1,0,34941797,0,5555 62,3,2024-09-07 10:00:41:150,1,654,1,0,482,5278,654,0 63,0,2024-09-07 10:00:41:467,161336,0.4,161030,0.6,322781,0.3,429174,1.75 63,1,2024-09-07 10:00:40:810,1151370,1151364,0,6,540228701330,5611284784,1143786,6650,928,381,391800,6 63,2,2024-09-07 10:00:40:765,826912,826912,0,0,33643243,0,4369 63,3,2024-09-07 10:00:41:733,1,654,12,0,667,6552,654,0 64,0,2024-09-07 10:00:41:509,160571,0.5,160432,0.6,320760,0.4,427255,2.00 64,1,2024-09-07 10:00:40:750,1149810,1149810,0,0,541083384953,5633497887,1139826,7958,2026,370,391794,0 64,2,2024-09-07 10:00:41:187,831080,831061,19,0,32940874,0,6121 64,3,2024-09-07 10:00:41:142,1,654,10,0,651,7173,654,0 65,0,2024-09-07 10:00:41:713,166260,0.6,166624,0.7,332405,0.6,443628,2.00 65,1,2024-09-07 10:00:40:861,1148203,1148203,0,0,538275805214,5624957167,1140274,7050,879,381,391901,0 65,2,2024-09-07 10:00:41:701,825625,825625,0,0,38750184,0,3367 65,3,2024-09-07 10:00:41:683,1,654,22,0,782,7911,654,0 66,0,2024-09-07 10:00:41:762,166280,0.5,165658,0.7,331639,0.5,441345,2.00 66,1,2024-09-07 10:00:41:293,1150210,1150210,0,0,540012530321,5619343980,1142897,6442,871,380,391743,0 66,2,2024-09-07 10:00:41:132,831455,831452,3,0,34452682,0,5455 66,3,2024-09-07 10:00:41:082,1,654,23,0,291,5895,654,0 67,0,2024-09-07 10:00:41:412,160037,0.5,159621,0.7,320129,0.5,426197,2.00 67,1,2024-09-07 10:00:40:768,1150623,1150622,0,1,539561953064,5617020652,1142772,6878,972,380,391787,1 67,2,2024-09-07 10:00:40:625,829873,829858,15,0,34155105,0,6205 67,3,2024-09-07 10:00:41:752,1,654,12,0,595,7023,654,0 68,0,2024-09-07 10:00:40:584,160481,0.6,160218,0.7,319342,0.5,427321,2.00 68,1,2024-09-07 10:00:40:627,1146277,1146277,0,0,537550881071,5651472876,1131070,11425,3782,381,391953,0 68,2,2024-09-07 10:00:41:045,822510,822410,100,0,42424348,0,8578 68,3,2024-09-07 10:00:40:728,1,654,1,0,417,8807,654,0 69,0,2024-09-07 10:00:41:748,166064,0.6,166731,0.8,332502,0.6,442413,2.25 69,1,2024-09-07 10:00:41:030,1145210,1145210,0,0,537125162460,5657740858,1130748,11484,2978,383,391994,0 69,2,2024-09-07 10:00:41:744,823665,823636,29,0,45675463,0,6912 69,3,2024-09-07 10:00:40:768,1,654,10,0,698,9126,654,0 70,0,2024-09-07 10:00:41:533,164873,0.8,165532,0.9,332163,0.7,440427,2.50 70,1,2024-09-07 10:00:40:803,1151698,1151698,0,0,541536595654,5616232521,1143371,7511,816,366,391725,0 70,2,2024-09-07 10:00:41:325,829713,829713,0,0,37435642,0,4323 70,3,2024-09-07 10:00:40:748,1,654,0,0,854,7416,654,0 71,0,2024-09-07 10:00:41:362,160731,0.9,160279,1.0,321890,1.1,428738,2.50 71,1,2024-09-07 10:00:41:596,1149866,1149866,0,0,539708502892,5629842826,1136170,12070,1626,367,391738,0 71,2,2024-09-07 10:00:41:072,825002,825002,0,0,37205846,0,4352 71,3,2024-09-07 10:00:41:749,1,654,8,0,644,7088,654,0 72,0,2024-09-07 10:00:41:045,166014,0.5,162149,0.7,316656,0.5,430503,2.00 72,1,2024-09-07 10:00:41:027,1147543,1147543,0,0,538245141736,5642681967,1131412,13444,2687,369,391819,0 72,2,2024-09-07 10:00:41:760,824304,824304,0,0,39245293,0,3983 72,3,2024-09-07 10:00:41:767,1,654,11,0,564,9287,654,0 73,0,2024-09-07 10:00:41:102,159980,0.4,164018,0.6,335206,0.4,437073,2.00 73,1,2024-09-07 10:00:40:781,1149376,1149376,0,0,539934177838,5609462137,1140912,7481,983,367,391858,0 73,2,2024-09-07 10:00:41:739,827091,827090,1,0,41140841,0,5027 73,3,2024-09-07 10:00:40:971,1,654,0,0,1091,8865,654,0 74,0,2024-09-07 10:00:41:333,169189,0.5,173197,0.7,330663,0.4,448548,2.00 74,1,2024-09-07 10:00:40:636,1148124,1148124,0,0,538865135281,5622653892,1136018,9966,2140,381,391762,0 74,2,2024-09-07 10:00:41:001,827094,827094,0,0,36175425,0,4253 74,3,2024-09-07 10:00:41:443,1,654,6,0,522,7600,654,0 75,0,2024-09-07 10:00:41:826,164473,0.5,163466,0.7,328101,0.5,437739,2.25 75,1,2024-09-07 10:00:41:610,1149525,1149525,0,0,539892705888,5624497147,1140146,8447,932,380,391739,0 75,2,2024-09-07 10:00:41:357,824699,824699,0,0,42689181,0,4766 75,3,2024-09-07 10:00:41:070,1,654,14,0,918,9042,654,0 76,0,2024-09-07 10:00:40:705,160893,0.5,160290,0.7,320333,0.5,428559,2.25 76,1,2024-09-07 10:00:40:809,1148915,1148915,0,0,539045491637,5623373849,1140516,7096,1303,382,391790,0 76,2,2024-09-07 10:00:41:065,829112,829109,3,0,35581445,0,5265 76,3,2024-09-07 10:00:41:143,1,654,6,0,227,5933,654,0 77,0,2024-09-07 10:00:41:725,161544,0.6,161926,0.7,324174,0.5,430982,2.00 77,1,2024-09-07 10:00:40:826,1149145,1149145,0,0,539237538568,5629247335,1139756,8335,1054,381,391869,0 77,2,2024-09-07 10:00:41:293,827244,827244,0,0,34658335,0,3890 77,3,2024-09-07 10:00:41:095,1,654,12,0,401,7400,654,0 78,0,2024-09-07 10:00:41:718,168923,0.4,168234,0.6,337690,0.4,446662,2.00 78,1,2024-09-07 10:00:40:614,1148274,1148274,0,0,538765393898,5624806927,1133401,11637,3236,367,391670,0 78,2,2024-09-07 10:00:41:412,828288,828275,13,0,32558287,0,8313 78,3,2024-09-07 10:00:41:136,1,654,2,0,311,5493,654,0 79,0,2024-09-07 10:00:41:346,160530,0.4,164501,0.6,336966,0.3,438824,2.00 79,1,2024-09-07 10:00:40:626,1152655,1152655,0,0,540842365443,5603466280,1143145,7737,1773,367,391682,0 79,2,2024-09-07 10:00:41:069,828661,828661,0,0,31304868,0,4195 79,3,2024-09-07 10:00:40:749,1,654,8,0,418,7435,654,0 80,0,2024-09-07 10:00:41:156,160149,0.5,164449,0.7,314134,0.5,425301,2.00 80,1,2024-09-07 10:00:41:619,1149089,1149089,0,0,539901097795,5619560202,1141762,6867,460,368,392269,0 80,2,2024-09-07 10:00:41:098,829654,829654,0,0,32075973,0,4433 80,3,2024-09-07 10:00:40:623,1,654,2,0,681,7851,654,0 81,0,2024-09-07 10:00:41:540,160764,0.5,164396,0.7,313947,0.5,426305,2.00 81,1,2024-09-07 10:00:41:649,1148153,1148153,0,0,538315876464,5625232737,1138935,8248,970,382,391885,0 81,2,2024-09-07 10:00:41:125,826573,826510,63,0,35137658,0,5932 81,3,2024-09-07 10:00:41:120,1,654,4,0,719,7193,654,0 82,0,2024-09-07 10:00:41:534,167079,0.5,167136,0.7,334873,0.4,444347,2.00 82,1,2024-09-07 10:00:40:624,1150091,1150087,0,4,540090958002,5615960591,1143673,5372,1042,381,391768,4 82,2,2024-09-07 10:00:41:698,828724,828724,0,0,29712800,0,4484 82,3,2024-09-07 10:00:41:757,1,654,1,0,363,5994,654,0 83,0,2024-09-07 10:00:41:521,166342,0.6,166217,0.7,332035,0.6,441419,2.25 83,1,2024-09-07 10:00:40:551,1148965,1148965,0,0,539178190858,5621496464,1140500,7470,995,382,391709,0 83,2,2024-09-07 10:00:40:765,830487,830462,25,0,32149944,0,5612 83,3,2024-09-07 10:00:40:749,1,654,8,0,1260,7772,654,0 84,0,2024-09-07 10:00:41:846,159546,0.7,159593,0.9,319026,0.7,425896,2.25 84,1,2024-09-07 10:00:41:043,1147219,1147219,0,0,538076750584,5627224224,1134201,11134,1884,367,391967,0 84,2,2024-09-07 10:00:40:649,824755,824345,410,0,45861504,0,17037 84,3,2024-09-07 10:00:41:151,1,654,10,0,908,9051,654,0 85,0,2024-09-07 10:00:41:007,154120,0.6,154118,0.8,327187,0.6,422346,2.25 85,1,2024-09-07 10:00:40:563,1144168,1144168,0,0,537846021121,5672007013,1127537,13828,2803,381,392092,0 85,2,2024-09-07 10:00:40:867,825298,825298,0,0,39215195,0,4255 85,3,2024-09-07 10:00:40:698,1,654,7,0,789,7357,654,0 86,0,2024-09-07 10:00:40:908,164822,0.7,169532,0.8,324302,0.7,438782,2.25 86,1,2024-09-07 10:00:40:830,1146812,1146812,0,0,538195301920,5643001642,1131731,12272,2809,366,392169,0 86,2,2024-09-07 10:00:40:882,826185,826184,1,0,41932877,0,5004 86,3,2024-09-07 10:00:40:616,1,654,23,0,308,8888,654,0 87,0,2024-09-07 10:00:41:336,167872,0.8,166863,0.8,334126,0.9,446280,2.25 87,1,2024-09-07 10:00:40:551,1146694,1146694,0,0,539188425418,5644102594,1132920,11971,1803,366,392076,0 87,2,2024-09-07 10:00:41:074,828132,828126,6,0,38579992,0,6323 87,3,2024-09-07 10:00:41:798,1,654,10,0,473,9071,654,0 88,0,2024-09-07 10:00:41:437,163004,0.4,163494,0.6,326838,0.4,434638,1.75 88,1,2024-09-07 10:00:40:641,1145809,1145809,0,0,537465697991,5632742619,1129560,13127,3122,365,392084,0 88,2,2024-09-07 10:00:40:700,825238,825238,0,0,40419410,0,4465 88,3,2024-09-07 10:00:41:267,1,654,19,0,1080,10346,654,0 89,0,2024-09-07 10:00:41:870,165908,0.4,160946,0.6,317486,0.4,431319,1.75 89,1,2024-09-07 10:00:40:552,1144879,1144879,0,0,538267861670,5658856744,1131101,11603,2175,382,391866,0 89,2,2024-09-07 10:00:41:131,825860,825860,0,0,38601727,0,3173 89,3,2024-09-07 10:00:41:791,1,654,2,0,468,10531,654,0 90,0,2024-09-07 10:00:41:623,158086,0.4,162359,0.6,331396,0.4,432679,2.00 90,1,2024-09-07 10:00:40:621,1146855,1146855,0,0,537802796382,5633017300,1135602,10202,1051,380,391825,0 90,2,2024-09-07 10:00:41:412,824545,824540,5,0,41906697,0,6370 90,3,2024-09-07 10:00:40:935,1,654,17,0,364,8475,654,0 91,0,2024-09-07 10:00:40:956,168790,0.5,163698,0.6,342559,0.5,449172,1.75 91,1,2024-09-07 10:00:40:556,1143885,1143885,0,0,537635642873,5668583538,1126211,13713,3961,381,392047,0 91,2,2024-09-07 10:00:41:334,827157,827157,0,0,37365047,0,4713 91,3,2024-09-07 10:00:40:614,1,654,8,0,231,6218,654,0 92,0,2024-09-07 10:00:41:500,167281,0.5,171035,0.6,326272,0.4,442342,1.75 92,1,2024-09-07 10:00:40:624,1148215,1148215,0,0,539291580636,5633081342,1139184,7724,1307,381,392136,0 92,2,2024-09-07 10:00:41:350,826331,826331,0,0,33130491,0,3906 92,3,2024-09-07 10:00:41:012,1,654,12,0,167,5459,654,0 93,0,2024-09-07 10:00:40:952,161818,0.4,165742,0.6,316503,0.3,428994,1.75 93,1,2024-09-07 10:00:40:811,1148526,1148526,0,0,538660635738,5629373780,1134710,11385,2431,365,392048,0 93,2,2024-09-07 10:00:40:932,826778,826778,0,0,39130115,0,4913 93,3,2024-09-07 10:00:41:417,1,654,10,0,505,7367,654,0 94,0,2024-09-07 10:00:41:600,160597,0.4,161730,0.5,323626,0.3,429640,1.75 94,1,2024-09-07 10:00:40:631,1149417,1149417,0,0,539046854106,5620763171,1141905,7022,490,381,391850,0 94,2,2024-09-07 10:00:40:767,827739,827711,28,0,33883190,0,6179 94,3,2024-09-07 10:00:41:688,1,654,6,0,576,8075,654,0 95,0,2024-09-07 10:00:41:360,167542,0.4,167434,0.5,335155,0.3,446608,1.75 95,1,2024-09-07 10:00:40:871,1150222,1150222,0,0,540048044065,5612018219,1141241,8219,762,365,391852,0 95,2,2024-09-07 10:00:41:029,825498,825498,0,0,33707258,0,3308 95,3,2024-09-07 10:00:41:714,1,654,12,0,718,9988,654,0 96,0,2024-09-07 10:00:41:023,166663,0.3,166846,0.5,334031,0.3,443918,1.75 96,1,2024-09-07 10:00:41:582,1148275,1148275,0,0,539265210077,5624971601,1140159,6825,1291,384,391964,0 96,2,2024-09-07 10:00:41:268,830623,830623,0,0,33937490,0,4180 96,3,2024-09-07 10:00:41:143,1,654,1,0,411,7237,654,0 97,0,2024-09-07 10:00:41:317,160159,0.3,160063,0.5,320735,0.3,426045,1.50 97,1,2024-09-07 10:00:40:766,1150209,1150209,0,0,540025820239,5603231638,1142687,6288,1234,367,392140,0 97,2,2024-09-07 10:00:40:618,827915,827915,0,0,34022450,0,4600 97,3,2024-09-07 10:00:40:628,1,654,4,0,433,7855,654,0 98,0,2024-09-07 10:00:41:700,160082,0.3,160082,0.4,321555,0.2,427620,1.50 98,1,2024-09-07 10:00:40:638,1149548,1149548,0,0,539747290759,5623187287,1142201,6450,897,381,391997,0 98,2,2024-09-07 10:00:40:778,825989,825989,0,0,32314960,0,4336 98,3,2024-09-07 10:00:40:699,1,654,1,0,840,9362,654,0 99,0,2024-09-07 10:00:41:463,166553,0.3,167395,0.5,333505,0.3,444981,1.75 99,1,2024-09-07 10:00:41:743,1150420,1150420,0,0,539898336155,5617677753,1143343,6100,977,380,392069,0 99,2,2024-09-07 10:00:41:421,825968,825968,0,0,41610789,0,4276 99,3,2024-09-07 10:00:40:625,1,654,15,0,1124,8234,654,0 100,0,2024-09-07 10:00:41:464,166361,0.8,166869,0.9,333390,0.9,444937,2.50 100,1,2024-09-07 10:00:40:551,1143376,1143376,0,0,536799719296,5673148185,1125999,13881,3496,378,391989,0 100,2,2024-09-07 10:00:41:826,825643,825254,389,0,43249289,0,16909 100,3,2024-09-07 10:00:41:741,1,654,6,0,627,10509,654,0 101,0,2024-09-07 10:00:41:762,165370,1.0,161372,1.0,315680,0.9,430615,2.25 101,1,2024-09-07 10:00:40:550,1145687,1145687,0,0,537896103039,5651708112,1130195,12624,2868,368,391847,0 101,2,2024-09-07 10:00:41:881,821088,821088,0,0,42911859,0,4871 101,3,2024-09-07 10:00:40:950,1,654,1,0,1250,9681,654,0 102,0,2024-09-07 10:00:40:990,156408,0.6,161118,0.8,327339,0.6,427608,2.00 102,1,2024-09-07 10:00:41:145,1145698,1145698,0,0,538355722911,5652245181,1130756,12728,2214,369,391984,0 102,2,2024-09-07 10:00:41:748,826614,826560,54,0,36988051,0,6768 102,3,2024-09-07 10:00:41:618,1,654,1,0,466,7500,654,0 103,0,2024-09-07 10:00:41:586,169552,0.5,169588,0.7,319525,0.5,440117,2.00 103,1,2024-09-07 10:00:41:626,1144542,1144542,0,0,537685191298,5674582154,1126839,14086,3617,381,392077,0 103,2,2024-09-07 10:00:40:630,825849,825849,0,0,40110243,0,3766 103,3,2024-09-07 10:00:40:764,1,654,9,0,916,7636,654,0 104,0,2024-09-07 10:00:41:005,166906,0.7,167223,0.9,333591,0.7,445771,2.25 104,1,2024-09-07 10:00:41:599,1147046,1147046,0,0,537695584357,5651197779,1129651,14150,3245,365,392168,0 104,2,2024-09-07 10:00:41:665,825563,825563,0,0,39600757,0,4161 104,3,2024-09-07 10:00:41:421,1,654,5,0,1245,11061,654,0 105,0,2024-09-07 10:00:41:028,162476,0.9,158373,1.0,331939,0.9,435791,2.50 105,1,2024-09-07 10:00:40:558,1148803,1148803,0,0,539259829761,5646718097,1134798,12049,1956,364,392009,0 105,2,2024-09-07 10:00:41:322,824808,824808,0,0,40049198,0,4360 105,3,2024-09-07 10:00:41:307,1,654,1,0,573,9827,654,0 106,0,2024-09-07 10:00:40:970,155844,0.9,159689,1.0,326966,1.0,426895,2.50 106,1,2024-09-07 10:00:41:750,1146551,1146551,0,0,537649469618,5641096560,1130766,13758,2027,368,391914,0 106,2,2024-09-07 10:00:40:777,825772,825772,0,0,37367696,0,3331 106,3,2024-09-07 10:00:40:694,1,654,8,0,1224,9025,654,0 107,0,2024-09-07 10:00:41:129,161697,1.0,161805,1.0,323601,1.2,432184,2.25 107,1,2024-09-07 10:00:40:625,1144573,1144573,0,0,536173678508,5665258690,1126419,15971,2183,381,392234,0 107,2,2024-09-07 10:00:41:298,824063,824062,1,0,40964562,0,5024 107,3,2024-09-07 10:00:41:757,1,654,8,0,733,9702,654,0 108,0,2024-09-07 10:00:41:796,167943,0.4,168755,0.6,336464,0.4,448420,1.75 108,1,2024-09-07 10:00:41:366,1147867,1147867,0,0,539257318202,5626104806,1138109,8675,1083,367,391894,0 108,2,2024-09-07 10:00:41:766,827165,827165,0,0,36778531,0,4432 108,3,2024-09-07 10:00:41:330,1,654,7,0,767,12232,654,0 109,0,2024-09-07 10:00:41:752,167101,0.4,165667,0.6,332326,0.3,443475,1.75 109,1,2024-09-07 10:00:40:630,1146011,1146011,0,0,538338057514,5648796473,1135577,8934,1500,382,392132,0 109,2,2024-09-07 10:00:40:925,825958,825958,0,0,36363501,0,3617 109,3,2024-09-07 10:00:41:144,1,654,15,0,630,7683,654,0 110,0,2024-09-07 10:00:41:761,159923,0.4,155515,0.6,325674,0.3,427242,1.75 110,1,2024-09-07 10:00:41:643,1150416,1150416,0,0,539970383093,5605287826,1141711,6681,2024,368,392045,0 110,2,2024-09-07 10:00:41:308,827671,827671,0,0,35011978,0,4067 110,3,2024-09-07 10:00:40:693,1,654,1,0,722,8742,654,0 111,0,2024-09-07 10:00:41:451,161542,0.4,160757,0.5,321141,0.3,429126,1.75 111,1,2024-09-07 10:00:41:000,1151572,1151572,0,0,541413480799,5618189673,1145142,6042,388,380,391690,0 111,2,2024-09-07 10:00:41:121,826890,826890,0,0,35005577,0,4823 111,3,2024-09-07 10:00:40:917,1,654,7,0,379,7299,654,0 112,0,2024-09-07 10:00:41:154,167934,0.3,167408,0.4,335481,0.2,445579,1.50 112,1,2024-09-07 10:00:40:828,1150478,1150478,0,0,539725153152,5603376572,1143007,6246,1225,380,391624,0 112,2,2024-09-07 10:00:41:133,826406,826405,1,0,33161475,0,5036 112,3,2024-09-07 10:00:40:614,1,654,2,0,282,6401,654,0 113,0,2024-09-07 10:00:40:866,166317,0.3,166422,0.5,333326,0.2,443603,1.75 113,1,2024-09-07 10:00:41:685,1153178,1153178,0,0,542447826341,5596404080,1146379,5849,950,365,391664,0 113,2,2024-09-07 10:00:41:307,832400,832400,0,0,30819817,0,3813 113,3,2024-09-07 10:00:40:684,1,654,1,0,510,6778,654,0 114,0,2024-09-07 10:00:40:872,161074,0.3,162107,0.5,323429,0.2,431313,1.75 114,1,2024-09-07 10:00:40:715,1150355,1150355,0,0,539943430090,5605413878,1141914,6673,1768,381,391565,0 114,2,2024-09-07 10:00:40:873,828275,828274,1,0,32360163,0,5069 114,3,2024-09-07 10:00:41:287,1,654,19,0,415,5428,654,0 115,0,2024-09-07 10:00:40:555,161115,0.3,161583,0.4,321978,0.2,428641,1.50 115,1,2024-09-07 10:00:40:629,1150668,1150668,0,0,540431450017,5612010961,1141794,7340,1534,382,391757,0 115,2,2024-09-07 10:00:41:125,827768,827768,0,0,32249105,0,4382 115,3,2024-09-07 10:00:41:002,1,654,8,0,173,4203,654,0 116,0,2024-09-07 10:00:41:707,164693,0.7,164548,0.8,330025,0.7,441261,2.00 116,1,2024-09-07 10:00:40:803,1144281,1144281,0,0,538265997818,5670211846,1130757,10317,3207,380,392089,0 116,2,2024-09-07 10:00:41:773,827087,827087,0,0,39814907,0,4475 116,3,2024-09-07 10:00:40:913,1,654,2,0,448,8420,654,0 117,0,2024-09-07 10:00:40:966,167933,0.7,167438,0.8,335122,0.7,447656,2.00 117,1,2024-09-07 10:00:41:587,1146675,1146675,0,0,538396685978,5634992837,1134395,10699,1581,369,392429,0 117,2,2024-09-07 10:00:41:119,830565,830565,0,0,36116517,0,4303 117,3,2024-09-07 10:00:41:068,1,654,1,0,490,8075,654,0 118,0,2024-09-07 10:00:41:769,158123,0.5,162430,0.7,331419,0.5,433157,2.00 118,1,2024-09-07 10:00:40:626,1145547,1145547,0,0,537261909637,5646157949,1128724,13004,3819,366,392054,0 118,2,2024-09-07 10:00:41:587,824552,824552,0,0,38498180,0,2842 118,3,2024-09-07 10:00:41:764,1,654,1,0,343,7971,654,0 119,0,2024-09-07 10:00:41:330,161121,0.7,161604,0.8,322614,0.8,429799,2.25 119,1,2024-09-07 10:00:40:572,1146577,1146577,0,0,538648729623,5645960187,1131684,12378,2515,367,391857,0 119,2,2024-09-07 10:00:41:261,827065,827065,0,0,35807399,0,4309 119,3,2024-09-07 10:00:41:325,1,654,8,0,1358,11834,654,0 120,0,2024-09-07 10:00:41:611,162210,0.7,161945,0.8,325494,0.7,433936,2.25 120,1,2024-09-07 10:00:40:859,1147385,1147385,0,0,537745519181,5638489409,1135085,11143,1157,367,392144,0 120,2,2024-09-07 10:00:40:783,826922,826919,3,0,43204067,0,5363 120,3,2024-09-07 10:00:41:291,1,654,20,0,478,8370,654,0 121,0,2024-09-07 10:00:41:690,168409,1.2,167872,1.0,335718,1.5,447591,2.25 121,1,2024-09-07 10:00:41:673,1147000,1147000,0,0,538592528693,5635930821,1134679,10479,1842,366,391840,0 121,2,2024-09-07 10:00:41:125,827279,827279,0,0,39519099,0,4157 121,3,2024-09-07 10:00:40:745,1,654,0,0,387,8472,654,0 122,0,2024-09-07 10:00:41:801,165195,0.7,160659,0.9,336329,0.8,442129,2.00 122,1,2024-09-07 10:00:40:859,1146336,1146336,0,0,538273594980,5645877563,1131079,12838,2419,365,392130,0 122,2,2024-09-07 10:00:41:319,826640,826565,75,0,42936390,0,5989 122,3,2024-09-07 10:00:40:614,1,654,10,0,512,10091,654,0 123,0,2024-09-07 10:00:40:947,159991,0.8,155835,0.9,326642,0.9,427550,2.25 123,1,2024-09-07 10:00:40:559,1146964,1146964,0,0,538593507656,5661972583,1128959,15132,2873,369,392039,0 123,2,2024-09-07 10:00:41:018,825446,825445,1,0,36945764,0,5215 123,3,2024-09-07 10:00:41:132,1,654,12,0,478,7346,654,0 124,0,2024-09-07 10:00:40:937,165720,0.3,165725,0.5,312445,0.3,430325,1.75 124,1,2024-09-07 10:00:41:022,1150451,1150451,0,0,538935818840,5602302509,1142098,7160,1193,365,392178,0 124,2,2024-09-07 10:00:41:012,829359,829306,53,0,34372240,0,6487 124,3,2024-09-07 10:00:40:763,1,654,5,0,490,6529,654,0 125,0,2024-09-07 10:00:41:425,167401,0.4,167178,0.5,335159,0.3,446955,1.75 125,1,2024-09-07 10:00:40:905,1147731,1147731,0,0,539248759187,5633311504,1137894,8362,1475,382,392045,0 125,2,2024-09-07 10:00:41:116,828814,828814,0,0,34290936,0,4534 125,3,2024-09-07 10:00:41:127,1,654,1,0,709,7469,654,0 126,0,2024-09-07 10:00:41:449,166884,0.4,171613,0.5,328075,0.3,444762,1.75 126,1,2024-09-07 10:00:40:554,1151272,1151272,0,0,540274459035,5597774680,1144467,6126,679,365,391987,0 126,2,2024-09-07 10:00:40:615,830841,830841,0,0,35315170,0,4539 126,3,2024-09-07 10:00:40:908,1,654,110,0,268,7505,654,0 127,0,2024-09-07 10:00:41:689,160486,0.3,160657,0.5,320627,0.3,426332,1.75 127,1,2024-09-07 10:00:40:627,1148991,1148991,0,0,539188008434,5612420257,1136991,10309,1691,364,392187,0 127,2,2024-09-07 10:00:40:642,827520,827516,4,0,33472261,0,5305 127,3,2024-09-07 10:00:41:273,1,654,25,0,968,6386,654,0 128,0,2024-09-07 10:00:41:549,161138,0.3,161113,0.4,322267,0.2,428404,1.50 128,1,2024-09-07 10:00:41:610,1149021,1149021,0,0,539749529001,5613058198,1140185,7869,967,367,392423,0 128,2,2024-09-07 10:00:41:390,828633,828633,0,0,31117719,0,3171 128,3,2024-09-07 10:00:40:784,1,654,2,0,1082,9956,654,0 129,0,2024-09-07 10:00:41:029,167843,0.3,167093,0.5,335223,0.3,445596,1.50 129,1,2024-09-07 10:00:40:629,1145438,1145438,0,0,538238074340,5644218003,1133276,10050,2112,379,391962,0 129,2,2024-09-07 10:00:40:699,828358,828354,4,0,33976353,0,5335 129,3,2024-09-07 10:00:40:697,1,654,18,0,506,8933,654,0 130,0,2024-09-07 10:00:41:771,167522,0.4,167308,0.6,334952,0.4,445829,1.75 130,1,2024-09-07 10:00:40:635,1150870,1150870,0,0,539981561746,5605734042,1145354,5110,406,381,391825,0 130,2,2024-09-07 10:00:41:124,829891,829891,0,0,34142689,0,4067 130,3,2024-09-07 10:00:41:290,1,654,1,0,960,8549,654,0 131,0,2024-09-07 10:00:41:971,161861,0.3,162334,0.5,325539,0.3,432149,1.50 131,1,2024-09-07 10:00:41:821,1149838,1149838,0,0,539451948808,5621944019,1142048,6589,1201,381,391865,0 131,2,2024-09-07 10:00:40:631,826497,826497,0,0,31237869,0,3979 131,3,2024-09-07 10:00:41:691,1,654,9,0,392,8325,654,0 132,0,2024-09-07 10:00:41:445,161125,0.5,162154,0.6,322554,0.4,428938,2.00 132,1,2024-09-07 10:00:40:624,1145461,1145461,0,0,537472104055,5654689480,1129406,13277,2778,381,392532,0 132,2,2024-09-07 10:00:40:698,825892,825875,17,0,40152740,0,6451 132,3,2024-09-07 10:00:41:688,1,654,1,0,1298,11403,654,0 133,0,2024-09-07 10:00:41:515,160051,0.4,163819,0.6,336000,0.4,437823,2.00 133,1,2024-09-07 10:00:40:639,1145287,1145287,0,0,537892066987,5664264691,1130317,13039,1931,383,391914,0 133,2,2024-09-07 10:00:41:099,828854,828804,50,0,41209887,0,6861 133,3,2024-09-07 10:00:41:297,1,654,1,0,528,7726,654,0 134,0,2024-09-07 10:00:40:942,168702,0.5,168711,0.7,337289,0.5,449166,2.25 134,1,2024-09-07 10:00:40:634,1146309,1146309,0,0,537804785757,5641612825,1131205,11928,3176,366,391781,0 134,2,2024-09-07 10:00:41:757,828029,828005,24,0,38069776,0,6207 134,3,2024-09-07 10:00:40:749,1,654,13,0,739,8144,654,0 135,0,2024-09-07 10:00:41:101,159163,0.7,159163,0.8,337955,0.8,435047,2.25 135,1,2024-09-07 10:00:41:715,1146462,1146462,0,0,538441483043,5660687946,1132187,12426,1849,380,392038,0 135,2,2024-09-07 10:00:40:688,826919,826919,0,0,39055836,0,4503 135,3,2024-09-07 10:00:41:002,1,654,12,0,900,6246,654,0 136,0,2024-09-07 10:00:41:617,161713,0.6,162242,0.8,322231,0.6,430226,2.00 136,1,2024-09-07 10:00:41:444,1147631,1147631,0,0,538776999252,5643468600,1135484,10752,1395,381,392135,0 136,2,2024-09-07 10:00:41:140,828420,828405,15,0,38645814,0,6007 136,3,2024-09-07 10:00:41:105,1,654,0,0,637,7530,654,0 137,0,2024-09-07 10:00:40:943,167083,0.6,162838,0.8,318973,0.7,433780,2.00 137,1,2024-09-07 10:00:40:634,1146722,1146722,0,0,538927950744,5649904039,1129293,13923,3506,366,391898,0 137,2,2024-09-07 10:00:41:704,826410,826410,0,0,39841762,0,3185 137,3,2024-09-07 10:00:40:778,1,654,12,0,484,8803,654,0 138,0,2024-09-07 10:00:41:833,167318,1.0,167654,0.9,336006,1.2,446803,2.25 138,1,2024-09-07 10:00:41:692,1146528,1146528,0,0,538917472027,5655243376,1130295,13595,2638,368,391954,0 138,2,2024-09-07 10:00:40:623,825974,825974,0,0,38403616,0,4988 138,3,2024-09-07 10:00:40:617,1,654,1,0,1200,9806,654,0 139,0,2024-09-07 10:00:41:369,164831,0.9,165449,0.9,330598,1.3,441745,2.25 139,1,2024-09-07 10:00:40:630,1142329,1142329,0,0,535763305448,5676847466,1123249,15212,3868,380,392109,0 139,2,2024-09-07 10:00:40:702,822044,822014,30,0,43609122,0,5997 139,3,2024-09-07 10:00:41:662,1,654,9,0,432,7678,654,0 140,0,2024-09-07 10:00:41:598,160441,0.3,159743,0.5,320552,0.2,427463,1.75 140,1,2024-09-07 10:00:41:593,1154108,1154108,0,0,541764124230,5589612041,1147948,5423,737,364,391628,0 140,2,2024-09-07 10:00:40:699,827708,827707,1,0,31829348,0,5036 140,3,2024-09-07 10:00:40:774,1,654,2,0,575,6640,654,0 141,0,2024-09-07 10:00:41:697,161087,0.3,165626,0.5,316527,0.2,428597,1.75 141,1,2024-09-07 10:00:40:859,1150667,1150667,0,0,540390559359,5617128369,1140951,8332,1384,379,391614,0 141,2,2024-09-07 10:00:41:686,827938,827927,11,0,34000635,0,5369 141,3,2024-09-07 10:00:41:044,1,654,30,0,391,7522,654,0 142,0,2024-09-07 10:00:41:317,167963,0.3,167139,0.5,334841,0.3,446391,1.50 142,1,2024-09-07 10:00:40:653,1148607,1148607,0,0,539030750964,5621275630,1140709,7240,658,382,392102,0 142,2,2024-09-07 10:00:41:300,825444,825412,32,0,34316719,0,6028 142,3,2024-09-07 10:00:41:749,1,654,2,0,484,6814,654,0 143,0,2024-09-07 10:00:41:373,166436,0.4,166303,0.5,333610,0.4,443691,1.75 143,1,2024-09-07 10:00:40:557,1151929,1151929,0,0,541063377893,5612264246,1144928,6338,663,367,391900,0 143,2,2024-09-07 10:00:40:793,831095,831095,0,0,34130238,0,3123 143,3,2024-09-07 10:00:41:142,1,654,12,0,462,7640,654,0 144,0,2024-09-07 10:00:41:533,156108,0.6,160627,0.7,326997,0.5,427730,2.00 144,1,2024-09-07 10:00:40:628,1145936,1145936,0,0,537333641076,5638472324,1134614,9303,2019,381,391733,0 144,2,2024-09-07 10:00:41:762,827573,827573,0,0,33420185,0,4443 144,3,2024-09-07 10:00:41:747,1,654,306,0,306,6956,654,0 145,0,2024-09-07 10:00:41:361,155204,0.5,155164,0.8,329537,0.5,424279,2.25 145,1,2024-09-07 10:00:40:553,1144932,1144932,0,0,538163937328,5661657418,1129803,12374,2755,382,391781,0 145,2,2024-09-07 10:00:41:436,824521,824439,82,0,39263165,0,7814 145,3,2024-09-07 10:00:40:900,1,654,1,0,622,8735,654,0 146,0,2024-09-07 10:00:41:611,165335,0.6,164375,0.8,330714,0.6,439649,2.25 146,1,2024-09-07 10:00:41:610,1146821,1146821,0,0,538089928369,5659910614,1128263,13738,4820,367,391770,0 146,2,2024-09-07 10:00:41:693,825383,825377,6,0,37915184,0,5151 146,3,2024-09-07 10:00:41:276,1,654,1,0,1520,10789,654,0 147,0,2024-09-07 10:00:41:701,167842,0.6,167487,0.7,335047,0.6,446733,2.00 147,1,2024-09-07 10:00:41:376,1150518,1150518,0,0,539633687274,5608054231,1141303,8176,1039,367,391791,0 147,2,2024-09-07 10:00:41:009,828746,828746,0,0,34302026,0,3359 147,3,2024-09-07 10:00:40:918,1,654,12,0,1626,10052,654,0 0,0,2024-09-07 10:00:51:767,158765,0.6,158641,0.7,336779,0.6,435226,2.00 0,1,2024-09-07 10:00:50:822,1149424,1149424,0,0,539487058675,5647365491,1140113,8357,954,368,391896,0 0,2,2024-09-07 10:00:51:077,829938,829938,0,0,33543724,0,4480 0,3,2024-09-07 10:00:50:990,1,655,20,0,431,9025,655,0 1,0,2024-09-07 10:00:51:792,168209,0.9,167250,0.9,335668,1.0,448801,2.00 1,1,2024-09-07 10:00:50:561,1147629,1147629,0,0,539060846715,5649008814,1136469,9196,1964,370,391859,0 1,2,2024-09-07 10:00:50:651,829712,829712,0,0,32953315,0,3380 1,3,2024-09-07 10:00:51:326,1,655,1,0,269,7856,655,0 2,0,2024-09-07 10:00:51:576,165906,0.6,165482,0.7,330795,0.6,441462,2.00 2,1,2024-09-07 10:00:50:859,1151457,1151457,0,0,541181297438,5627160956,1144801,5698,958,379,391805,0 2,2,2024-09-07 10:00:51:534,828440,828440,0,0,31244693,0,3594 2,3,2024-09-07 10:00:50:705,1,655,1,0,357,5601,655,0 3,0,2024-09-07 10:00:51:764,160982,0.4,161020,0.6,321574,0.4,428597,2.00 3,1,2024-09-07 10:00:51:620,1150520,1150520,0,0,539942012741,5615619836,1142383,7419,718,379,391716,0 3,2,2024-09-07 10:00:51:166,829280,829257,23,0,32625197,0,5851 3,3,2024-09-07 10:00:51:768,1,655,13,0,484,5001,655,0 4,0,2024-09-07 10:00:51:794,156806,0.4,161074,0.5,328537,0.3,429589,1.75 4,1,2024-09-07 10:00:50:623,1145062,1145062,0,0,537506651825,5687432601,1125658,14867,4537,369,391992,0 4,2,2024-09-07 10:00:51:019,826518,826518,0,0,39738891,0,4534 4,3,2024-09-07 10:00:51:031,1,655,2,0,448,8695,655,0 5,0,2024-09-07 10:00:51:397,167863,0.4,167710,0.6,335554,0.4,447201,1.75 5,1,2024-09-07 10:00:50:770,1146567,1146567,0,0,538358721928,5681258767,1129137,13196,4234,367,392005,0 5,2,2024-09-07 10:00:51:843,825062,825062,0,0,38726771,0,3582 5,3,2024-09-07 10:00:51:734,1,655,12,0,457,8660,655,0 6,0,2024-09-07 10:00:50:917,166880,0.5,166389,0.6,332869,0.4,443831,2.00 6,1,2024-09-07 10:00:50:974,1149244,1149244,0,0,539907362677,5635121300,1137744,9910,1590,379,391702,0 6,2,2024-09-07 10:00:51:124,829896,829878,18,0,36779413,0,5535 6,3,2024-09-07 10:00:51:512,1,655,194,0,710,7817,655,0 7,0,2024-09-07 10:00:51:563,159637,0.5,160794,0.7,319043,0.5,425414,2.00 7,1,2024-09-07 10:00:50:850,1146784,1146784,0,0,538573413037,5668334532,1129230,13419,4135,382,391747,0 7,2,2024-09-07 10:00:50:781,827747,827747,0,0,35660557,0,4791 7,3,2024-09-07 10:00:50:860,1,655,24,0,552,7538,655,0 8,0,2024-09-07 10:00:51:343,161163,0.4,160684,0.5,321888,0.3,428972,1.75 8,1,2024-09-07 10:00:51:022,1145979,1145979,0,0,538483189930,5659424840,1128422,13835,3722,366,392853,0 8,2,2024-09-07 10:00:50:793,822470,822468,2,0,42333728,0,5112 8,3,2024-09-07 10:00:50:585,1,655,2,0,772,9986,655,0 9,0,2024-09-07 10:00:51:134,167048,0.4,162270,0.5,339576,0.3,445823,1.75 9,1,2024-09-07 10:00:50:578,1145733,1145733,0,0,537872887211,5672001528,1127029,14598,4106,369,392001,0 9,2,2024-09-07 10:00:51:083,826121,826120,1,0,40192364,0,5281 9,3,2024-09-07 10:00:51:757,1,655,5,0,1273,10875,655,0 10,0,2024-09-07 10:00:51:629,166835,0.4,166193,0.5,333472,0.3,443767,1.75 10,1,2024-09-07 10:00:50:583,1147960,1147960,0,0,539098865883,5657295227,1130723,13708,3529,381,391981,0 10,2,2024-09-07 10:00:50:762,830619,830619,0,0,43397269,0,4713 10,3,2024-09-07 10:00:50:880,1,655,1,0,669,7144,655,0 11,0,2024-09-07 10:00:51:006,161693,0.4,156996,0.6,328611,0.4,432092,1.75 11,1,2024-09-07 10:00:50:583,1150071,1150071,0,0,539548300716,5657817154,1133629,12134,4308,383,391766,0 11,2,2024-09-07 10:00:51:123,826377,826377,0,0,37716985,0,4698 11,3,2024-09-07 10:00:51:327,1,655,8,0,843,8169,655,0 12,0,2024-09-07 10:00:50:946,162148,0.4,161928,0.5,323481,0.4,429806,1.75 12,1,2024-09-07 10:00:50:945,1149043,1149043,0,0,539202440654,5625507138,1139680,8340,1023,370,391960,0 12,2,2024-09-07 10:00:51:543,825497,825497,0,0,37571382,0,4390 12,3,2024-09-07 10:00:51:073,1,655,16,0,386,8284,655,0 13,0,2024-09-07 10:00:51:361,165473,0.4,165644,0.6,330419,0.4,440708,1.75 13,1,2024-09-07 10:00:51:526,1146535,1146535,0,0,539026034780,5669635182,1134073,9628,2834,382,391803,0 13,2,2024-09-07 10:00:50:627,830328,830328,0,0,33901570,0,3287 13,3,2024-09-07 10:00:51:762,1,655,68,0,522,8822,655,0 14,0,2024-09-07 10:00:50:611,168151,0.4,169167,0.6,336281,0.4,447573,1.75 14,1,2024-09-07 10:00:51:566,1154653,1154653,0,0,541911084361,5607776984,1145644,7876,1133,364,391673,0 14,2,2024-09-07 10:00:50:777,830083,830053,30,0,34977676,0,6104 14,3,2024-09-07 10:00:51:121,1,655,1,0,1168,6937,655,0 15,0,2024-09-07 10:00:51:569,164476,0.4,163688,0.6,327570,0.4,436669,1.75 15,1,2024-09-07 10:00:51:613,1151148,1151148,0,0,541066205736,5637458032,1141003,8136,2009,381,391619,0 15,2,2024-09-07 10:00:50:998,828747,828747,0,0,30846607,0,3622 15,3,2024-09-07 10:00:51:417,1,655,7,0,1126,8401,655,0 16,0,2024-09-07 10:00:50:947,161432,0.6,162211,0.8,323392,0.6,430654,2.00 16,1,2024-09-07 10:00:50:563,1150694,1150694,0,0,539760188467,5641939589,1140500,8664,1530,370,392194,0 16,2,2024-09-07 10:00:51:454,827347,827347,0,0,34313691,0,4719 16,3,2024-09-07 10:00:51:141,1,655,10,0,358,7915,655,0 17,0,2024-09-07 10:00:51:793,167413,0.6,163108,0.8,319667,0.6,434940,2.00 17,1,2024-09-07 10:00:50:590,1148891,1148891,0,0,539275775823,5654269279,1137998,9112,1781,368,392075,0 17,2,2024-09-07 10:00:51:673,831921,831920,1,0,35743196,0,5050 17,3,2024-09-07 10:00:50:583,1,655,6,0,518,9489,655,0 18,0,2024-09-07 10:00:50:960,166722,0.7,167851,0.8,333942,0.7,445979,2.25 18,1,2024-09-07 10:00:51:647,1153281,1153281,0,0,540834266190,5608904974,1145737,6279,1265,367,391725,0 18,2,2024-09-07 10:00:51:762,830960,830960,0,0,32113501,0,3541 18,3,2024-09-07 10:00:50:895,1,655,3,0,1059,5775,655,0 19,0,2024-09-07 10:00:51:568,165803,0.6,166218,0.8,331378,0.7,440469,2.00 19,1,2024-09-07 10:00:50:571,1152761,1152761,0,0,541273772419,5610486480,1143975,7626,1160,365,391777,0 19,2,2024-09-07 10:00:51:757,831358,831358,0,0,29780699,0,3988 19,3,2024-09-07 10:00:51:130,1,655,9,0,524,5056,655,0 20,0,2024-09-07 10:00:51:378,160179,0.5,160093,0.7,320071,0.5,426918,2.00 20,1,2024-09-07 10:00:50:571,1148741,1148741,0,0,539189829512,5650429897,1137026,10114,1601,369,391922,0 20,2,2024-09-07 10:00:50:939,828303,828303,0,0,37036906,0,4321 20,3,2024-09-07 10:00:50:602,1,655,16,0,468,10388,655,0 21,0,2024-09-07 10:00:51:179,161283,0.5,161277,0.6,322523,0.4,428428,2.00 21,1,2024-09-07 10:00:51:546,1146737,1146737,0,0,537734626520,5673488105,1128979,13816,3942,368,392016,0 21,2,2024-09-07 10:00:51:070,822191,821714,477,0,47967556,0,17074 21,3,2024-09-07 10:00:51:417,1,655,1,0,713,8921,655,0 22,0,2024-09-07 10:00:51:727,167188,0.4,167816,0.6,335249,0.4,444740,2.00 22,1,2024-09-07 10:00:51:033,1147226,1147226,0,0,538535592780,5665429986,1128591,15045,3590,381,391822,0 22,2,2024-09-07 10:00:50:759,826217,826191,26,0,35932734,0,6328 22,3,2024-09-07 10:00:51:067,1,655,0,0,228,5459,655,0 23,0,2024-09-07 10:00:51:396,166285,0.5,166078,0.7,332248,0.4,443089,2.00 23,1,2024-09-07 10:00:51:016,1148712,1148712,0,0,540815896508,5692452397,1128287,13695,6730,365,391690,0 23,2,2024-09-07 10:00:51:094,831101,831101,0,0,33981280,0,3773 23,3,2024-09-07 10:00:51:754,1,655,8,0,855,9460,655,0 24,0,2024-09-07 10:00:50:830,162086,0.4,161200,0.5,324130,0.4,430260,1.75 24,1,2024-09-07 10:00:50:591,1148115,1148115,0,0,538522627091,5635559921,1137439,8898,1778,367,392269,0 24,2,2024-09-07 10:00:51:083,827301,827298,3,0,40657415,0,6294 24,3,2024-09-07 10:00:51:696,1,655,11,0,468,8467,655,0 25,0,2024-09-07 10:00:51:528,165162,0.4,160795,0.6,316002,0.4,430422,1.75 25,1,2024-09-07 10:00:50:641,1146417,1146417,0,0,537696279901,5679092761,1126658,15851,3908,369,391928,0 25,2,2024-09-07 10:00:51:612,825323,825323,0,0,41470493,0,3978 25,3,2024-09-07 10:00:51:002,1,655,30,0,532,7213,655,0 26,0,2024-09-07 10:00:51:724,165027,0.4,161197,0.6,338508,0.3,441615,1.75 26,1,2024-09-07 10:00:51:547,1149918,1149918,0,0,539810677741,5651967518,1134436,12581,2901,380,391758,0 26,2,2024-09-07 10:00:50:868,827671,827671,0,0,43986265,0,4689 26,3,2024-09-07 10:00:51:717,1,655,159,0,796,8088,655,0 27,0,2024-09-07 10:00:51:737,167683,0.4,168453,0.6,334846,0.4,446506,2.25 27,1,2024-09-07 10:00:51:683,1151323,1151323,0,0,540434402370,5629044987,1140638,9068,1617,381,391626,0 27,2,2024-09-07 10:00:50:882,827205,827140,65,0,38543442,0,5699 27,3,2024-09-07 10:00:51:021,1,655,12,0,564,5526,655,0 28,0,2024-09-07 10:00:51:422,163287,0.4,163524,0.6,327038,0.3,435089,1.75 28,1,2024-09-07 10:00:50:803,1151889,1151889,0,0,541206112027,5642225766,1142215,7756,1918,382,391904,0 28,2,2024-09-07 10:00:51:766,827204,827204,0,0,34148091,0,2915 28,3,2024-09-07 10:00:51:785,1,655,1,0,502,6783,655,0 29,0,2024-09-07 10:00:51:371,165988,0.4,161708,0.6,317010,0.3,431832,1.75 29,1,2024-09-07 10:00:51:565,1154538,1154538,0,0,542087635564,5609637382,1147083,6435,1020,367,391809,0 29,2,2024-09-07 10:00:50:868,827565,827565,0,0,32878063,0,4986 29,3,2024-09-07 10:00:50:963,1,655,1,0,459,6889,655,0 30,0,2024-09-07 10:00:51:489,162506,0.5,158265,0.7,331479,0.5,433564,2.00 30,1,2024-09-07 10:00:50:574,1153112,1153112,0,0,542602278064,5633114703,1144074,7952,1086,380,391672,0 30,2,2024-09-07 10:00:51:276,830908,830908,0,0,31622241,0,4192 30,3,2024-09-07 10:00:50:590,1,655,2,0,519,6330,655,0 31,0,2024-09-07 10:00:51:776,168073,0.5,168799,0.7,336583,0.4,448876,2.00 31,1,2024-09-07 10:00:50:570,1157611,1157611,0,0,542681776579,5574198996,1150311,6111,1189,356,391712,0 31,2,2024-09-07 10:00:51:279,828701,828701,0,0,35695863,0,4470 31,3,2024-09-07 10:00:51:712,1,655,8,0,239,5682,655,0 32,0,2024-09-07 10:00:51:422,165952,0.3,167058,0.5,332552,0.3,442776,1.75 32,1,2024-09-07 10:00:50:808,1152578,1152578,0,0,541183420721,5625377384,1145380,6312,886,381,391646,0 32,2,2024-09-07 10:00:50:939,829785,829785,0,0,30638133,0,3922 32,3,2024-09-07 10:00:51:021,1,655,1,0,304,5568,655,0 33,0,2024-09-07 10:00:51:538,161679,0.3,161272,0.4,322591,0.2,429796,1.50 33,1,2024-09-07 10:00:50:575,1154146,1154146,0,0,541950006654,5612684024,1145103,7698,1345,368,391730,0 33,2,2024-09-07 10:00:50:768,828792,828757,35,0,34483867,0,7012 33,3,2024-09-07 10:00:50:901,1,655,55,0,329,6036,655,0 34,0,2024-09-07 10:00:50:937,161780,0.3,166224,0.5,318007,0.2,429461,1.75 34,1,2024-09-07 10:00:51:048,1156128,1156128,0,0,543085812821,5587187010,1152636,3370,122,367,391637,0 34,2,2024-09-07 10:00:50:788,830016,830016,0,0,32334394,0,4562 34,3,2024-09-07 10:00:51:696,1,655,0,0,541,5650,655,0 35,0,2024-09-07 10:00:50:869,167278,0.3,167901,0.5,336723,0.2,448296,1.75 35,1,2024-09-07 10:00:51:071,1152111,1152111,0,0,540883443094,5612969034,1143310,7250,1551,382,391769,0 35,2,2024-09-07 10:00:51:596,828657,828657,0,0,36879639,0,4055 35,3,2024-09-07 10:00:50:909,1,655,7,0,466,6317,655,0 36,0,2024-09-07 10:00:51:537,167287,0.5,167571,0.6,334566,0.4,445623,2.00 36,1,2024-09-07 10:00:50:591,1150506,1150506,0,0,539867334039,5634154587,1136500,11760,2246,366,391759,0 36,2,2024-09-07 10:00:51:766,830145,830145,0,0,37121537,0,3875 36,3,2024-09-07 10:00:50:868,1,655,25,0,556,8632,655,0 37,0,2024-09-07 10:00:51:409,159583,0.5,159620,0.7,319408,0.5,426082,2.25 37,1,2024-09-07 10:00:50:592,1150382,1150375,0,7,539958837561,5637692800,1136934,10519,2922,365,391770,0 37,2,2024-09-07 10:00:51:154,826264,826249,15,0,36621417,0,5815 37,3,2024-09-07 10:00:51:766,1,655,3,0,888,8865,655,0 38,0,2024-09-07 10:00:51:463,159987,0.4,155047,0.6,324261,0.3,424901,2.00 38,1,2024-09-07 10:00:51:612,1150008,1150008,0,0,540516609474,5650557434,1133583,13128,3297,368,391821,0 38,2,2024-09-07 10:00:50:791,827690,827643,47,0,37340729,0,6710 38,3,2024-09-07 10:00:50:999,1,655,0,0,689,7608,655,0 39,0,2024-09-07 10:00:51:762,170731,0.5,166848,0.7,325577,0.5,444630,2.00 39,1,2024-09-07 10:00:50:716,1149874,1149874,0,0,539663969122,5656188534,1131283,14403,4188,365,391865,0 39,2,2024-09-07 10:00:51:418,827358,827358,0,0,35652614,0,3391 39,3,2024-09-07 10:00:50:713,1,655,11,0,525,7330,655,0 40,0,2024-09-07 10:00:51:522,165311,0.9,166077,1.0,331484,1.0,442643,2.75 40,1,2024-09-07 10:00:50:593,1149948,1149948,0,0,538676853697,5644257771,1132540,14113,3295,366,391668,0 40,2,2024-09-07 10:00:51:307,828115,828108,7,0,41703618,0,5347 40,3,2024-09-07 10:00:51:160,1,655,1,0,1028,9307,655,0 41,0,2024-09-07 10:00:51:026,161045,1.2,164849,1.1,314419,1.7,426745,3.00 41,1,2024-09-07 10:00:50:795,1149187,1149187,0,0,539982468629,5646865848,1134520,12365,2302,369,391878,0 41,2,2024-09-07 10:00:50:759,823689,823688,1,0,40103716,0,5408 41,3,2024-09-07 10:00:51:682,1,655,0,0,366,7013,655,0 42,0,2024-09-07 10:00:51:480,159912,0.9,159560,1.0,319406,1.0,423624,2.50 42,1,2024-09-07 10:00:51:443,1147210,1147210,0,0,538048268126,5650072848,1130009,14245,2956,380,391675,0 42,2,2024-09-07 10:00:51:180,824848,824847,1,0,41343245,0,5513 42,3,2024-09-07 10:00:51:011,1,655,1,0,892,6249,655,0 43,0,2024-09-07 10:00:50:916,162951,0.8,158612,0.9,332462,0.8,435783,2.25 43,1,2024-09-07 10:00:50:591,1149963,1149963,0,0,540369192187,5645584720,1134170,13047,2746,366,391696,0 43,2,2024-09-07 10:00:51:744,829113,829113,0,0,38447783,0,4723 43,3,2024-09-07 10:00:51:752,1,655,12,0,571,9102,655,0 44,0,2024-09-07 10:00:50:868,168429,0.4,168652,0.6,336506,0.4,448574,2.00 44,1,2024-09-07 10:00:50:563,1153340,1153340,0,0,540998718676,5593937134,1142997,8270,2073,356,391809,0 44,2,2024-09-07 10:00:51:513,828497,828497,0,0,31649238,0,4344 44,3,2024-09-07 10:00:51:093,1,655,1,0,1097,8111,655,0 45,0,2024-09-07 10:00:51:769,162593,0.5,158839,0.7,333456,0.4,436687,2.00 45,1,2024-09-07 10:00:51:012,1152292,1152292,0,0,541115303274,5624555534,1143167,7905,1220,382,391917,0 45,2,2024-09-07 10:00:51:269,827911,827911,0,0,31456187,0,3596 45,3,2024-09-07 10:00:50:939,1,655,86,0,531,6150,655,0 46,0,2024-09-07 10:00:50:951,160680,0.5,160179,0.7,321452,0.5,427392,2.00 46,1,2024-09-07 10:00:50:591,1154582,1154582,0,0,542156536349,5605187672,1146506,7027,1049,366,391709,0 46,2,2024-09-07 10:00:50:595,829194,829194,0,0,32977828,0,4443 46,3,2024-09-07 10:00:51:163,1,655,1,0,908,7526,655,0 47,0,2024-09-07 10:00:51:109,162917,0.4,162584,0.6,326701,0.4,433389,2.00 47,1,2024-09-07 10:00:50:583,1155574,1155574,0,0,542205109616,5604277001,1148259,6302,1013,364,391641,0 47,2,2024-09-07 10:00:50:908,832316,832316,0,0,33117535,0,4477 47,3,2024-09-07 10:00:51:120,1,655,8,0,600,7028,655,0 48,0,2024-09-07 10:00:51:496,168795,0.3,169311,0.4,337460,0.2,448874,1.50 48,1,2024-09-07 10:00:51:023,1152816,1152816,0,0,541474439523,5623957495,1145915,6088,813,381,391710,0 48,2,2024-09-07 10:00:50:702,829415,829415,0,0,30410718,0,3619 48,3,2024-09-07 10:00:50:754,1,655,205,0,339,5842,655,0 49,0,2024-09-07 10:00:51:719,171181,0.3,167642,0.5,326268,0.3,445066,1.75 49,1,2024-09-07 10:00:51:021,1152100,1152100,0,0,540419012430,5620183722,1144448,5956,1696,382,391809,0 49,2,2024-09-07 10:00:51:797,829875,829875,0,0,31818726,0,4426 49,3,2024-09-07 10:00:51:420,1,655,1,0,992,7726,655,0 50,0,2024-09-07 10:00:51:526,160782,0.3,159333,0.5,320942,0.2,426865,1.75 50,1,2024-09-07 10:00:51:015,1154829,1154829,0,0,542944757368,5611320934,1147853,6305,671,368,391691,0 50,2,2024-09-07 10:00:51:072,827416,827416,0,0,29696228,0,4490 50,3,2024-09-07 10:00:51:295,1,655,0,0,617,6608,655,0 51,0,2024-09-07 10:00:51:688,165533,0.3,162011,0.5,315439,0.2,430663,1.75 51,1,2024-09-07 10:00:51:697,1155181,1155181,0,0,543959399398,5609517015,1148549,5291,1341,365,391706,0 51,2,2024-09-07 10:00:51:327,828945,828945,0,0,29385702,0,3337 51,3,2024-09-07 10:00:51:032,1,655,13,0,678,4684,655,0 52,0,2024-09-07 10:00:51:419,167876,0.5,167899,0.6,335491,0.4,445916,2.00 52,1,2024-09-07 10:00:50:575,1150271,1150271,0,0,540332825700,5651587164,1134560,13327,2384,368,391805,0 52,2,2024-09-07 10:00:51:767,824359,824321,38,0,39782174,0,6742 52,3,2024-09-07 10:00:50:676,1,655,0,0,1782,7740,655,0 53,0,2024-09-07 10:00:51:755,166104,0.6,161198,0.8,337222,0.7,442016,2.25 53,1,2024-09-07 10:00:50:792,1148361,1148361,0,0,540256896685,5663563307,1129704,14250,4407,367,391968,0 53,2,2024-09-07 10:00:51:304,831354,831353,1,0,35024056,0,5455 53,3,2024-09-07 10:00:50:699,1,655,0,0,308,6017,655,0 54,0,2024-09-07 10:00:51:633,159310,0.6,159619,0.7,318104,0.5,424646,2.25 54,1,2024-09-07 10:00:50:583,1151638,1151638,0,0,540481002659,5617171131,1140328,9638,1672,366,391810,0 54,2,2024-09-07 10:00:50:867,828083,828051,32,0,39548215,0,6397 54,3,2024-09-07 10:00:50:769,1,655,40,0,676,8305,655,0 55,0,2024-09-07 10:00:51:780,155178,0.6,160028,0.8,324514,0.5,423042,2.50 55,1,2024-09-07 10:00:50:769,1152176,1152176,0,0,540780083486,5622276880,1140456,10252,1468,365,391731,0 55,2,2024-09-07 10:00:50:731,826349,826293,56,0,36470474,0,7239 55,3,2024-09-07 10:00:50:679,1,655,11,0,304,5971,655,0 56,0,2024-09-07 10:00:51:641,168982,1.1,159274,1.1,328059,1.5,439783,2.75 56,1,2024-09-07 10:00:50:589,1145054,1145054,0,0,537984491056,5687098947,1126269,15034,3751,381,391867,0 56,2,2024-09-07 10:00:51:330,827030,826908,122,0,39185809,0,7432 56,3,2024-09-07 10:00:51:064,1,655,1,0,705,8022,655,0 57,0,2024-09-07 10:00:50:967,165870,1.4,165697,1.2,331540,2.0,442731,3.00 57,1,2024-09-07 10:00:50:992,1147687,1147687,0,0,538595121342,5651938714,1132228,12769,2690,366,392032,0 57,2,2024-09-07 10:00:51:327,829158,829158,0,0,41414558,0,4804 57,3,2024-09-07 10:00:51:748,1,655,1,0,455,7226,655,0 58,0,2024-09-07 10:00:50:566,160747,0.9,156143,1.0,326937,1.1,427556,2.50 58,1,2024-09-07 10:00:50:584,1148873,1148870,0,3,540197690265,5663605278,1131208,13349,4313,367,391726,3 58,2,2024-09-07 10:00:51:091,827463,827463,0,0,37927681,0,3483 58,3,2024-09-07 10:00:51:068,1,655,166,0,1043,7235,655,0 59,0,2024-09-07 10:00:51:738,160078,0.8,159392,1.0,318744,0.9,422713,2.75 59,1,2024-09-07 10:00:50:815,1148629,1148629,0,0,538912757048,5662429852,1129680,15114,3835,369,391653,0 59,2,2024-09-07 10:00:50:591,827575,827575,0,0,36073468,0,3727 59,3,2024-09-07 10:00:51:743,1,655,8,0,1015,7831,655,0 60,0,2024-09-07 10:00:51:743,163364,0.5,163292,0.7,327019,0.5,435846,2.00 60,1,2024-09-07 10:00:50:782,1152502,1152502,0,0,541389540944,5633905439,1142813,8332,1357,370,392031,0 60,2,2024-09-07 10:00:51:166,830210,830210,0,0,34792355,0,3811 60,3,2024-09-07 10:00:51:280,1,655,1,0,409,7373,655,0 61,0,2024-09-07 10:00:51:509,168241,0.6,168889,0.8,336173,0.6,448570,2.00 61,1,2024-09-07 10:00:50:784,1149720,1149720,0,0,539225595005,5646828658,1137489,10455,1776,382,392127,0 61,2,2024-09-07 10:00:51:124,829384,829317,67,0,35691832,0,6411 61,3,2024-09-07 10:00:51:710,1,655,8,0,607,8233,655,0 62,0,2024-09-07 10:00:51:728,166495,0.6,170831,0.7,326096,0.6,442787,2.00 62,1,2024-09-07 10:00:51:114,1156730,1156724,0,6,542624392412,5598947965,1150286,5969,469,365,391975,6 62,2,2024-09-07 10:00:51:647,825680,825679,1,0,35015146,0,5555 62,3,2024-09-07 10:00:51:153,1,655,0,0,482,5278,655,0 63,0,2024-09-07 10:00:51:457,161631,0.4,161325,0.6,323447,0.3,430025,1.75 63,1,2024-09-07 10:00:50:804,1153166,1153160,0,6,540990451855,5619296832,1145582,6650,928,381,391800,6 63,2,2024-09-07 10:00:50:770,828198,828198,0,0,34118163,0,4369 63,3,2024-09-07 10:00:51:742,1,655,12,0,667,6564,655,0 64,0,2024-09-07 10:00:51:522,160845,0.5,160696,0.7,321306,0.4,427958,2.00 64,1,2024-09-07 10:00:50:754,1151510,1151510,0,0,541828445962,5641316375,1141526,7958,2026,370,391794,0 64,2,2024-09-07 10:00:51:165,832379,832360,19,0,33085393,0,6121 64,3,2024-09-07 10:00:51:139,1,655,2,0,651,7175,655,0 65,0,2024-09-07 10:00:51:678,166648,0.6,167027,0.7,333561,0.6,445032,2.00 65,1,2024-09-07 10:00:50:863,1149900,1149900,0,0,539236140430,5635118050,1141968,7053,879,381,391901,0 65,2,2024-09-07 10:00:51:697,827087,827087,0,0,38905729,0,3367 65,3,2024-09-07 10:00:51:697,1,655,1,0,782,7912,655,0 66,0,2024-09-07 10:00:51:767,166603,0.5,165988,0.7,332221,0.5,442254,2.00 66,1,2024-09-07 10:00:51:540,1152037,1152037,0,0,540882026782,5628685242,1144696,6470,871,380,391743,0 66,2,2024-09-07 10:00:51:132,832054,832051,3,0,34511687,0,5455 66,3,2024-09-07 10:00:51:079,1,655,1,0,291,5896,655,0 67,0,2024-09-07 10:00:51:419,160192,0.5,159782,0.7,320459,0.5,426591,2.00 67,1,2024-09-07 10:00:50:770,1152236,1152235,0,1,540369274803,5627708550,1143956,7140,1139,380,391787,1 67,2,2024-09-07 10:00:50:583,831324,831309,15,0,34268566,0,6205 67,3,2024-09-07 10:00:51:757,1,655,17,0,595,7040,655,0 68,0,2024-09-07 10:00:50:559,160737,0.6,160477,0.7,319806,0.5,427957,2.00 68,1,2024-09-07 10:00:50:573,1147914,1147914,0,0,538513430478,5661582341,1132707,11425,3782,381,391953,0 68,2,2024-09-07 10:00:51:047,823984,823884,100,0,42501168,0,8578 68,3,2024-09-07 10:00:50:728,1,655,1,0,417,8808,655,0 69,0,2024-09-07 10:00:51:731,166449,0.6,167091,0.8,333229,0.6,443506,2.25 69,1,2024-09-07 10:00:51:023,1146969,1146969,0,0,537984834463,5666888590,1132493,11498,2978,383,391994,0 69,2,2024-09-07 10:00:51:741,824796,824767,29,0,45763303,0,6912 69,3,2024-09-07 10:00:50:769,1,655,254,0,698,9380,655,0 70,0,2024-09-07 10:00:51:538,165042,0.8,165692,0.9,332506,0.7,440893,2.50 70,1,2024-09-07 10:00:50:809,1153471,1153471,0,0,542340776438,5624804573,1145144,7511,816,366,391725,0 70,2,2024-09-07 10:00:51:369,830618,830618,0,0,37522860,0,4323 70,3,2024-09-07 10:00:50:753,1,655,10,0,854,7426,655,0 71,0,2024-09-07 10:00:51:364,160822,0.9,160370,1.0,322085,1.1,428981,2.50 71,1,2024-09-07 10:00:51:602,1151621,1151621,0,0,540516482599,5638407730,1137913,12082,1626,367,391738,0 71,2,2024-09-07 10:00:51:070,826615,826615,0,0,37287989,0,4352 71,3,2024-09-07 10:00:51:757,1,655,48,0,644,7136,655,0 72,0,2024-09-07 10:00:51:030,166137,0.5,162262,0.7,316867,0.5,430847,2.00 72,1,2024-09-07 10:00:51:020,1149244,1149244,0,0,539055818369,5651002553,1133111,13446,2687,369,391819,0 72,2,2024-09-07 10:00:51:758,825589,825589,0,0,39283850,0,3983 72,3,2024-09-07 10:00:51:774,1,655,22,0,564,9309,655,0 73,0,2024-09-07 10:00:51:109,160456,0.4,164518,0.6,336189,0.4,438418,2.00 73,1,2024-09-07 10:00:50:782,1151243,1151243,0,0,540717053801,5617647132,1142773,7487,983,367,391858,0 73,2,2024-09-07 10:00:51:749,828218,828217,1,0,41186844,0,5027 73,3,2024-09-07 10:00:50:969,1,655,145,0,1091,9010,655,0 74,0,2024-09-07 10:00:51:345,169313,0.5,173303,0.7,330896,0.4,448844,2.00 74,1,2024-09-07 10:00:50:644,1149898,1149898,0,0,539649346742,5630793817,1137791,9967,2140,381,391762,0 74,2,2024-09-07 10:00:51:002,828498,828498,0,0,36280296,0,4253 74,3,2024-09-07 10:00:51:446,1,655,0,0,522,7600,655,0 75,0,2024-09-07 10:00:51:767,164572,0.5,163569,0.7,328312,0.5,438017,2.25 75,1,2024-09-07 10:00:51:596,1151348,1151348,0,0,540394774279,5629785975,1141968,8448,932,380,391739,0 75,2,2024-09-07 10:00:51:361,825875,825875,0,0,42740675,0,4766 75,3,2024-09-07 10:00:51:067,1,655,12,0,918,9054,655,0 76,0,2024-09-07 10:00:50:614,161093,0.5,160480,0.7,320709,0.5,429077,2.25 76,1,2024-09-07 10:00:50:808,1150631,1150631,0,0,539945621589,5632981141,1142211,7117,1303,382,391790,0 76,2,2024-09-07 10:00:51:065,830303,830300,3,0,35632715,0,5265 76,3,2024-09-07 10:00:51:162,1,655,1,0,227,5934,655,0 77,0,2024-09-07 10:00:51:768,161973,0.6,162364,0.7,325043,0.5,432223,2.00 77,1,2024-09-07 10:00:50:831,1150867,1150867,0,0,540335552798,5640530101,1141476,8337,1054,381,391869,0 77,2,2024-09-07 10:00:51:290,828708,828708,0,0,34768070,0,3890 77,3,2024-09-07 10:00:51:112,1,655,244,0,401,7644,655,0 78,0,2024-09-07 10:00:51:723,169062,0.4,168368,0.6,337970,0.4,446959,2.00 78,1,2024-09-07 10:00:50:616,1149825,1149825,0,0,539450698154,5632350300,1134941,11648,3236,367,391670,0 78,2,2024-09-07 10:00:51:413,829596,829583,13,0,32664480,0,8313 78,3,2024-09-07 10:00:51:163,1,655,14,0,311,5507,655,0 79,0,2024-09-07 10:00:51:357,160839,0.4,164786,0.6,337584,0.3,439625,2.00 79,1,2024-09-07 10:00:50:583,1154424,1154424,0,0,541626941323,5612664621,1144640,7985,1799,367,391682,0 79,2,2024-09-07 10:00:51:068,829411,829411,0,0,31371267,0,4195 79,3,2024-09-07 10:00:50:759,1,655,13,0,418,7448,655,0 80,0,2024-09-07 10:00:51:081,160332,0.5,164678,0.7,314554,0.5,425865,2.00 80,1,2024-09-07 10:00:51:635,1150731,1150731,0,0,540698321789,5627962293,1143403,6868,460,368,392269,0 80,2,2024-09-07 10:00:51:094,831078,831078,0,0,32221913,0,4433 80,3,2024-09-07 10:00:50:591,1,655,3,0,681,7854,655,0 81,0,2024-09-07 10:00:51:565,161202,0.5,164816,0.7,314731,0.5,427434,2.00 81,1,2024-09-07 10:00:51:663,1149962,1149962,0,0,539292339244,5635937272,1140705,8287,970,382,391885,0 81,2,2024-09-07 10:00:51:124,828009,827946,63,0,35510739,0,5932 81,3,2024-09-07 10:00:51:123,1,655,3,0,719,7196,655,0 82,0,2024-09-07 10:00:51:531,167359,0.5,167393,0.7,335403,0.4,445054,2.00 82,1,2024-09-07 10:00:50:583,1151824,1151820,0,4,540877867665,5624288214,1145405,5373,1042,381,391768,4 82,2,2024-09-07 10:00:51:698,830050,830050,0,0,29783527,0,4484 82,3,2024-09-07 10:00:51:754,1,655,0,0,363,5994,655,0 83,0,2024-09-07 10:00:51:524,166672,0.6,166557,0.7,332733,0.6,442215,2.25 83,1,2024-09-07 10:00:50:563,1150639,1150639,0,0,539985772446,5630510173,1142105,7539,995,382,391709,0 83,2,2024-09-07 10:00:50:771,831220,831195,25,0,32213931,0,5612 83,3,2024-09-07 10:00:50:790,1,655,9,0,1260,7781,655,0 84,0,2024-09-07 10:00:51:775,159705,0.7,159754,0.9,319393,0.7,426364,2.25 84,1,2024-09-07 10:00:51:079,1148960,1148960,0,0,538986672483,5636719368,1135942,11134,1884,367,391967,0 84,2,2024-09-07 10:00:50:583,826274,825864,410,0,46001309,0,17037 84,3,2024-09-07 10:00:51:154,1,655,22,0,908,9073,655,0 85,0,2024-09-07 10:00:51:007,154421,0.6,154422,0.8,327879,0.6,423278,2.25 85,1,2024-09-07 10:00:50:598,1146051,1146051,0,0,538581409801,5680106722,1129400,13848,2803,381,392092,0 85,2,2024-09-07 10:00:50:875,826738,826738,0,0,39300391,0,4255 85,3,2024-09-07 10:00:50:699,1,655,1,0,789,7358,655,0 86,0,2024-09-07 10:00:50:879,165232,0.7,169981,0.8,325190,0.7,440125,2.25 86,1,2024-09-07 10:00:50:833,1148605,1148605,0,0,539051289886,5652099196,1133522,12274,2809,366,392169,0 86,2,2024-09-07 10:00:50:860,827319,827318,1,0,42035025,0,5004 86,3,2024-09-07 10:00:50:590,1,655,1,0,308,8889,655,0 87,0,2024-09-07 10:00:51:300,168008,0.8,166984,0.8,334365,0.9,446621,2.25 87,1,2024-09-07 10:00:50:563,1148434,1148434,0,0,540063437302,5654014623,1134505,12122,1807,366,392076,0 87,2,2024-09-07 10:00:51:067,829097,829091,6,0,38677899,0,6323 87,3,2024-09-07 10:00:51:794,1,655,8,0,473,9079,655,0 88,0,2024-09-07 10:00:51:437,163124,0.4,163617,0.6,327074,0.4,434987,1.75 88,1,2024-09-07 10:00:50:583,1147606,1147606,0,0,538276082237,5641231585,1131357,13127,3122,365,392084,0 88,2,2024-09-07 10:00:50:690,826627,826627,0,0,40471115,0,4465 88,3,2024-09-07 10:00:51:267,1,655,0,0,1080,10346,655,0 89,0,2024-09-07 10:00:51:802,165924,0.4,160965,0.6,317514,0.4,431319,1.75 89,1,2024-09-07 10:00:50:560,1146611,1146611,0,0,538949103693,5665934033,1132833,11603,2175,382,391866,0 89,2,2024-09-07 10:00:51:132,827124,827124,0,0,38655696,0,3173 89,3,2024-09-07 10:00:51:797,1,655,5,0,468,10536,655,0 90,0,2024-09-07 10:00:51:622,158413,0.4,162682,0.6,332104,0.4,433606,2.00 90,1,2024-09-07 10:00:50:591,1148566,1148566,0,0,538623932150,5641444297,1137313,10202,1051,380,391825,0 90,2,2024-09-07 10:00:51:422,825676,825671,5,0,41958299,0,6370 90,3,2024-09-07 10:00:50:929,1,655,224,0,364,8699,655,0 91,0,2024-09-07 10:00:50:924,168877,0.5,163806,0.6,342746,0.5,449407,1.75 91,1,2024-09-07 10:00:50:563,1145731,1145731,0,0,538651831590,5679027993,1128057,13713,3961,381,392047,0 91,2,2024-09-07 10:00:51:340,828470,828470,0,0,37427646,0,4713 91,3,2024-09-07 10:00:50:604,1,655,9,0,231,6227,655,0 92,0,2024-09-07 10:00:51:486,167503,0.5,171285,0.6,326732,0.4,442972,1.75 92,1,2024-09-07 10:00:50:591,1150009,1150009,0,0,539991722029,5640505804,1140978,7724,1307,381,392136,0 92,2,2024-09-07 10:00:51:368,827352,827352,0,0,33203912,0,3906 92,3,2024-09-07 10:00:51:011,1,655,1,0,167,5460,655,0 93,0,2024-09-07 10:00:50:970,162141,0.4,166056,0.6,317137,0.3,429937,1.75 93,1,2024-09-07 10:00:50:836,1150276,1150276,0,0,539516369163,5638540323,1136454,11391,2431,365,392048,0 93,2,2024-09-07 10:00:50:942,828093,828093,0,0,39222153,0,4913 93,3,2024-09-07 10:00:51:420,1,655,6,0,505,7373,655,0 94,0,2024-09-07 10:00:51:626,160854,0.4,162019,0.5,324203,0.3,430359,1.75 94,1,2024-09-07 10:00:50:564,1151145,1151145,0,0,540065444015,5631536865,1143616,7039,490,381,391850,0 94,2,2024-09-07 10:00:50:770,829209,829181,28,0,33979607,0,6179 94,3,2024-09-07 10:00:51:697,1,655,142,0,576,8217,655,0 95,0,2024-09-07 10:00:51:353,167998,0.4,167942,0.5,336089,0.3,448246,1.75 95,1,2024-09-07 10:00:50:857,1151993,1151993,0,0,540806055792,5619803978,1143011,8220,762,365,391852,0 95,2,2024-09-07 10:00:51:025,826965,826965,0,0,33790453,0,3308 95,3,2024-09-07 10:00:51:718,1,655,8,0,718,9996,655,0 96,0,2024-09-07 10:00:51:030,166969,0.3,167154,0.5,334665,0.3,444807,1.75 96,1,2024-09-07 10:00:51:594,1150128,1150128,0,0,539994437341,5633021757,1142011,6826,1291,384,391964,0 96,2,2024-09-07 10:00:51:276,831326,831326,0,0,33980677,0,4180 96,3,2024-09-07 10:00:51:153,1,655,0,0,411,7237,655,0 97,0,2024-09-07 10:00:51:334,160307,0.3,160215,0.5,321057,0.3,426439,1.50 97,1,2024-09-07 10:00:50:784,1151887,1151887,0,0,540878832609,5612629414,1144307,6346,1234,367,392140,0 97,2,2024-09-07 10:00:50:608,829284,829284,0,0,34284764,0,4600 97,3,2024-09-07 10:00:50:590,1,655,3,0,433,7858,655,0 98,0,2024-09-07 10:00:51:738,160317,0.3,160312,0.4,322076,0.2,428238,1.50 98,1,2024-09-07 10:00:50:575,1151304,1151304,0,0,540641664413,5632724585,1143954,6453,897,381,391997,0 98,2,2024-09-07 10:00:50:791,827579,827579,0,0,32465181,0,4336 98,3,2024-09-07 10:00:50:699,1,655,1,0,840,9363,655,0 99,0,2024-09-07 10:00:51:448,166957,0.3,167826,0.5,334275,0.3,445979,1.75 99,1,2024-09-07 10:00:51:734,1152387,1152387,0,0,540608417279,5625456259,1145256,6154,977,380,392069,0 99,2,2024-09-07 10:00:51:418,826969,826969,0,0,41740648,0,4276 99,3,2024-09-07 10:00:50:590,1,655,8,0,1124,8242,655,0 100,0,2024-09-07 10:00:51:469,166507,0.8,167037,0.9,333724,0.9,445374,2.50 100,1,2024-09-07 10:00:50:563,1145080,1145080,0,0,537623440073,5681939428,1127699,13885,3496,378,391989,0 100,2,2024-09-07 10:00:51:851,826664,826275,389,0,43353726,0,16909 100,3,2024-09-07 10:00:51:734,1,655,1,0,627,10510,655,0 101,0,2024-09-07 10:00:51:726,165458,1.0,161475,1.0,315851,0.9,430864,2.25 101,1,2024-09-07 10:00:50:564,1147469,1147469,0,0,538926497016,5662980081,1131919,12682,2868,368,391847,0 101,2,2024-09-07 10:00:51:762,822691,822691,0,0,43078861,0,4871 101,3,2024-09-07 10:00:50:950,1,655,1,0,1250,9682,655,0 102,0,2024-09-07 10:00:50:949,156519,0.6,161228,0.8,327569,0.6,427941,2.00 102,1,2024-09-07 10:00:51:154,1147442,1147442,0,0,539070713259,5659738865,1132500,12728,2214,369,391984,0 102,2,2024-09-07 10:00:51:740,827893,827839,54,0,37047180,0,6768 102,3,2024-09-07 10:00:51:625,1,655,1,0,466,7501,655,0 103,0,2024-09-07 10:00:51:600,170035,0.6,170086,0.7,320486,0.6,441438,2.00 103,1,2024-09-07 10:00:51:631,1146192,1146192,0,0,538490502849,5683655035,1128417,14157,3618,381,392077,0 103,2,2024-09-07 10:00:50:590,826873,826873,0,0,40173279,0,3766 103,3,2024-09-07 10:00:50:771,1,655,12,0,916,7648,655,0 104,0,2024-09-07 10:00:51:016,167023,0.7,167348,0.9,333808,0.7,446066,2.25 104,1,2024-09-07 10:00:51:610,1148783,1148783,0,0,538474904738,5659242765,1131388,14150,3245,365,392168,0 104,2,2024-09-07 10:00:51:675,826813,826813,0,0,39643104,0,4161 104,3,2024-09-07 10:00:51:417,1,655,3,0,1245,11064,655,0 105,0,2024-09-07 10:00:51:044,162567,0.9,158467,1.0,332163,0.9,436049,2.50 105,1,2024-09-07 10:00:50:561,1150702,1150702,0,0,540069327288,5655348303,1136688,12058,1956,364,392009,0 105,2,2024-09-07 10:00:51:327,826090,826090,0,0,40081373,0,4360 105,3,2024-09-07 10:00:51:333,1,655,39,0,573,9866,655,0 106,0,2024-09-07 10:00:50:933,156029,0.9,159878,0.9,327384,1.0,427421,2.50 106,1,2024-09-07 10:00:51:760,1148420,1148420,0,0,538650267009,5651357454,1132635,13758,2027,368,391914,0 106,2,2024-09-07 10:00:50:768,826990,826990,0,0,37398245,0,3331 106,3,2024-09-07 10:00:50:677,1,655,1,0,1224,9026,655,0 107,0,2024-09-07 10:00:51:111,162165,1.0,162223,1.0,324442,1.2,433352,2.25 107,1,2024-09-07 10:00:50:590,1146412,1146412,0,0,537113504351,5675168400,1128257,15972,2183,381,392234,0 107,2,2024-09-07 10:00:51:578,825472,825471,1,0,41036294,0,5024 107,3,2024-09-07 10:00:51:768,1,655,13,0,733,9715,655,0 108,0,2024-09-07 10:00:51:828,168102,0.4,168934,0.6,336751,0.4,448729,1.75 108,1,2024-09-07 10:00:51:298,1149673,1149673,0,0,540070938091,5634799233,1139907,8682,1084,367,391894,0 108,2,2024-09-07 10:00:51:795,828596,828596,0,0,36910815,0,4432 108,3,2024-09-07 10:00:51:385,1,655,9,0,767,12241,655,0 109,0,2024-09-07 10:00:51:904,167395,0.4,165970,0.6,332918,0.3,444257,1.75 109,1,2024-09-07 10:00:50:583,1147655,1147655,0,0,539204903191,5657851877,1137221,8934,1500,382,392132,0 109,2,2024-09-07 10:00:50:944,826902,826902,0,0,36426106,0,3617 109,3,2024-09-07 10:00:51:158,1,655,1,0,630,7684,655,0 110,0,2024-09-07 10:00:51:751,160131,0.4,155711,0.6,326097,0.3,427818,1.75 110,1,2024-09-07 10:00:51:643,1152178,1152178,0,0,540953173453,5615801120,1143433,6721,2024,368,392045,0 110,2,2024-09-07 10:00:51:378,829105,829105,0,0,35249874,0,4067 110,3,2024-09-07 10:00:50:706,1,655,2,0,722,8744,655,0 111,0,2024-09-07 10:00:51:419,162037,0.4,161219,0.5,322031,0.3,430374,1.75 111,1,2024-09-07 10:00:51:000,1153329,1153329,0,0,542384559837,5628740950,1146857,6084,388,380,391690,0 111,2,2024-09-07 10:00:51:121,828460,828460,0,0,35179459,0,4823 111,3,2024-09-07 10:00:50:920,1,655,8,0,379,7307,655,0 112,0,2024-09-07 10:00:50:939,168207,0.3,167665,0.4,336046,0.2,446263,1.50 112,1,2024-09-07 10:00:50:834,1152256,1152256,0,0,540695933841,5613501455,1144785,6246,1225,380,391624,0 112,2,2024-09-07 10:00:51:154,827775,827774,1,0,33297572,0,5036 112,3,2024-09-07 10:00:50:593,1,655,1,0,282,6402,655,0 113,0,2024-09-07 10:00:50:873,166642,0.3,166752,0.5,333956,0.2,444559,1.75 113,1,2024-09-07 10:00:51:701,1155152,1155152,0,0,543365388790,5606476125,1148339,5863,950,365,391664,0 113,2,2024-09-07 10:00:51:380,833233,833233,0,0,30886631,0,3813 113,3,2024-09-07 10:00:50:688,1,655,25,0,510,6803,655,0 114,0,2024-09-07 10:00:50:872,161258,0.3,162280,0.5,323747,0.2,431785,1.75 114,1,2024-09-07 10:00:50:734,1152040,1152040,0,0,540796645483,5614535859,1143595,6677,1768,381,391565,0 114,2,2024-09-07 10:00:50:880,829761,829760,1,0,32500651,0,5069 114,3,2024-09-07 10:00:51:294,1,655,50,0,415,5478,655,0 115,0,2024-09-07 10:00:50:558,161458,0.3,161903,0.4,322619,0.2,429571,1.50 115,1,2024-09-07 10:00:50:591,1152023,1152023,0,0,541067305878,5619146630,1143093,7396,1534,382,391757,0 115,2,2024-09-07 10:00:51:125,829243,829243,0,0,32364965,0,4382 115,3,2024-09-07 10:00:51:002,1,655,8,0,173,4211,655,0 116,0,2024-09-07 10:00:51:708,165141,0.7,165027,0.8,330909,0.7,442382,2.00 116,1,2024-09-07 10:00:50:811,1145988,1145988,0,0,538882478674,5676848060,1132464,10317,3207,380,392089,0 116,2,2024-09-07 10:00:51:754,828167,828167,0,0,39865642,0,4475 116,3,2024-09-07 10:00:50:920,1,655,132,0,448,8552,655,0 117,0,2024-09-07 10:00:50:953,168034,0.7,167577,0.8,335369,0.7,447974,2.00 117,1,2024-09-07 10:00:51:597,1148405,1148405,0,0,539216790727,5643893815,1136082,10742,1581,369,392429,0 117,2,2024-09-07 10:00:51:145,831695,831695,0,0,36193306,0,4303 117,3,2024-09-07 10:00:51:066,1,655,1,0,490,8076,655,0 118,0,2024-09-07 10:00:51:789,158213,0.5,162550,0.7,331663,0.5,433476,2.00 118,1,2024-09-07 10:00:50:588,1147255,1147255,0,0,537970309204,5653771154,1130430,13006,3819,366,392054,0 118,2,2024-09-07 10:00:51:600,825934,825934,0,0,38686837,0,2842 118,3,2024-09-07 10:00:51:767,1,655,1,0,343,7972,655,0 119,0,2024-09-07 10:00:51:373,161135,0.7,161613,0.8,322634,0.8,429799,2.25 119,1,2024-09-07 10:00:50:564,1148444,1148444,0,0,539409011950,5654120695,1133551,12378,2515,367,391857,0 119,2,2024-09-07 10:00:51:273,828430,828430,0,0,35871612,0,4309 119,3,2024-09-07 10:00:51:365,1,655,10,0,1358,11844,655,0 120,0,2024-09-07 10:00:51:544,162562,0.7,162305,0.8,326187,0.7,434948,2.25 120,1,2024-09-07 10:00:50:861,1149160,1149160,0,0,538976456796,5651050547,1136860,11143,1157,367,392144,0 120,2,2024-09-07 10:00:50:773,828134,828131,3,0,43239559,0,5363 120,3,2024-09-07 10:00:51:295,1,655,52,0,478,8422,655,0 121,0,2024-09-07 10:00:51:692,168493,1.2,167954,1.0,335932,1.5,447837,2.25 121,1,2024-09-07 10:00:51:658,1148650,1148650,0,0,539508774018,5645326048,1136329,10479,1842,366,391840,0 121,2,2024-09-07 10:00:51:133,828521,828521,0,0,39573030,0,4157 121,3,2024-09-07 10:00:50:728,1,655,10,0,387,8482,655,0 122,0,2024-09-07 10:00:51:800,165410,0.7,160890,0.8,336784,0.8,442749,2.00 122,1,2024-09-07 10:00:50:863,1148117,1148117,0,0,539260221465,5656091772,1132860,12838,2419,365,392130,0 122,2,2024-09-07 10:00:51:333,827737,827662,75,0,42967261,0,5989 122,3,2024-09-07 10:00:50:594,1,655,3,0,512,10094,655,0 123,0,2024-09-07 10:00:50:960,160293,0.8,156167,0.9,327295,0.9,428445,2.25 123,1,2024-09-07 10:00:50:561,1148734,1148734,0,0,539552849443,5671979768,1130729,15132,2873,369,392039,0 123,2,2024-09-07 10:00:51:025,826758,826757,1,0,37040874,0,5215 123,3,2024-09-07 10:00:51:132,1,655,12,0,478,7358,655,0 124,0,2024-09-07 10:00:50:920,166019,0.3,166043,0.5,312973,0.3,430847,1.75 124,1,2024-09-07 10:00:51:024,1152112,1152112,0,0,539836085927,5612862177,1143438,7443,1231,365,392178,0 124,2,2024-09-07 10:00:51:011,830692,830639,53,0,34510500,0,6487 124,3,2024-09-07 10:00:50:783,1,655,4,0,490,6533,655,0 125,0,2024-09-07 10:00:51:423,167847,0.4,167689,0.5,336096,0.3,448288,1.75 125,1,2024-09-07 10:00:50:856,1149426,1149426,0,0,539997789998,5642377156,1139296,8621,1509,382,392045,0 125,2,2024-09-07 10:00:51:120,830232,830232,0,0,34406480,0,4534 125,3,2024-09-07 10:00:51:132,1,655,1,0,709,7470,655,0 126,0,2024-09-07 10:00:51:480,167173,0.4,171907,0.5,328680,0.3,445286,1.75 126,1,2024-09-07 10:00:50:554,1152972,1152972,0,0,541033967443,5605852101,1146167,6126,679,365,391987,0 126,2,2024-09-07 10:00:50:610,831501,831501,0,0,35343427,0,4539 126,3,2024-09-07 10:00:50:907,1,655,14,0,268,7519,655,0 127,0,2024-09-07 10:00:51:629,160643,0.3,160826,0.5,320965,0.3,426668,1.75 127,1,2024-09-07 10:00:50:584,1150790,1150790,0,0,540011940668,5621444636,1138739,10360,1691,364,392187,0 127,2,2024-09-07 10:00:50:642,828951,828947,4,0,33551092,0,5305 127,3,2024-09-07 10:00:51:276,1,655,10,0,968,6396,655,0 128,0,2024-09-07 10:00:51:527,161388,0.3,161369,0.4,322762,0.2,429011,1.50 128,1,2024-09-07 10:00:51:615,1150677,1150677,0,0,540448438693,5620435748,1141841,7869,967,367,392423,0 128,2,2024-09-07 10:00:51:396,830144,830144,0,0,31398704,0,3171 128,3,2024-09-07 10:00:50:779,1,655,3,0,1082,9959,655,0 129,0,2024-09-07 10:00:51:010,168216,0.3,167451,0.5,335989,0.3,446600,1.50 129,1,2024-09-07 10:00:50:591,1147312,1147312,0,0,539273114766,5657534159,1134713,10272,2327,379,391962,0 129,2,2024-09-07 10:00:50:685,829415,829411,4,0,34088651,0,5335 129,3,2024-09-07 10:00:50:705,1,655,340,0,506,9273,655,0 130,0,2024-09-07 10:00:51:748,167682,0.4,167482,0.6,335286,0.4,446300,1.75 130,1,2024-09-07 10:00:50:585,1152520,1152520,0,0,540693552598,5613751039,1146913,5201,406,381,391825,0 130,2,2024-09-07 10:00:51:135,830948,830948,0,0,34223828,0,4067 130,3,2024-09-07 10:00:51:298,1,655,6,0,960,8555,655,0 131,0,2024-09-07 10:00:51:949,161979,0.3,162420,0.5,325729,0.3,432389,1.50 131,1,2024-09-07 10:00:51:823,1151377,1151377,0,0,540104852053,5629590135,1143418,6757,1202,381,391865,0 131,2,2024-09-07 10:00:50:574,827932,827932,0,0,31344804,0,3979 131,3,2024-09-07 10:00:51:776,1,655,26,0,392,8351,655,0 132,0,2024-09-07 10:00:51:441,161247,0.5,162265,0.6,322792,0.4,429264,2.00 132,1,2024-09-07 10:00:50:591,1147158,1147158,0,0,538510582527,5665811055,1131069,13311,2778,381,392532,0 132,2,2024-09-07 10:00:50:702,827170,827153,17,0,40304807,0,6451 132,3,2024-09-07 10:00:51:697,1,655,76,0,1298,11479,655,0 133,0,2024-09-07 10:00:51:520,160547,0.4,164327,0.6,336957,0.4,439211,2.00 133,1,2024-09-07 10:00:50:588,1146960,1146960,0,0,538754952079,5673631183,1131965,13064,1931,383,391914,0 133,2,2024-09-07 10:00:51:094,829902,829852,50,0,41270869,0,6861 133,3,2024-09-07 10:00:51:302,1,655,2,0,528,7728,655,0 134,0,2024-09-07 10:00:50:966,168809,0.5,168812,0.7,337525,0.5,449447,2.25 134,1,2024-09-07 10:00:50:583,1147969,1147969,0,0,538670114034,5650841234,1132864,11929,3176,366,391781,0 134,2,2024-09-07 10:00:51:760,829331,829307,24,0,38103079,0,6207 134,3,2024-09-07 10:00:50:759,1,655,1,0,739,8145,655,0 135,0,2024-09-07 10:00:51:118,159259,0.7,159256,0.8,338181,0.8,435295,2.25 135,1,2024-09-07 10:00:51:587,1148278,1148278,0,0,539301765131,5669750300,1134003,12426,1849,380,392038,0 135,2,2024-09-07 10:00:50:692,828168,828168,0,0,39118750,0,4503 135,3,2024-09-07 10:00:51:013,1,655,18,0,900,6264,655,0 136,0,2024-09-07 10:00:51:633,161910,0.6,162433,0.8,322652,0.6,430726,2.00 136,1,2024-09-07 10:00:51:450,1149391,1149391,0,0,539562938253,5651546508,1137244,10752,1395,381,392135,0 136,2,2024-09-07 10:00:51:140,829621,829606,15,0,38677983,0,6007 136,3,2024-09-07 10:00:51:121,1,655,92,0,637,7622,655,0 137,0,2024-09-07 10:00:50:961,167494,0.6,163258,0.8,319782,0.7,434935,2.00 137,1,2024-09-07 10:00:50:575,1148608,1148608,0,0,539652645928,5657427263,1131179,13923,3506,366,391898,0 137,2,2024-09-07 10:00:51:733,827860,827860,0,0,39902311,0,3185 137,3,2024-09-07 10:00:50:779,1,655,112,0,484,8915,655,0 138,0,2024-09-07 10:00:51:746,167446,1.0,167795,0.9,336258,1.2,447093,2.25 138,1,2024-09-07 10:00:51:693,1148334,1148334,0,0,539659683294,5662968534,1132101,13595,2638,368,391954,0 138,2,2024-09-07 10:00:50:591,827280,827280,0,0,38466968,0,4988 138,3,2024-09-07 10:00:50:609,1,655,1,0,1200,9807,655,0 139,0,2024-09-07 10:00:51:377,165130,0.9,165734,0.9,331195,1.3,442515,2.25 139,1,2024-09-07 10:00:50:592,1144042,1144042,0,0,536688778624,5686654552,1124962,15212,3868,380,392109,0 139,2,2024-09-07 10:00:50:707,822849,822819,30,0,43634688,0,5997 139,3,2024-09-07 10:00:51:673,1,655,23,0,432,7701,655,0 140,0,2024-09-07 10:00:51:598,160648,0.3,159944,0.5,321007,0.2,428020,1.75 140,1,2024-09-07 10:00:51:556,1155881,1155881,0,0,542687345308,5599117023,1149721,5423,737,364,391628,0 140,2,2024-09-07 10:00:50:687,829108,829107,1,0,31916219,0,5036 140,3,2024-09-07 10:00:50:769,1,655,5,0,575,6645,655,0 141,0,2024-09-07 10:00:51:713,161534,0.3,166105,0.5,317421,0.3,429708,1.75 141,1,2024-09-07 10:00:50:864,1152392,1152392,0,0,541059244009,5625069368,1142434,8572,1386,379,391614,0 141,2,2024-09-07 10:00:51:698,829311,829300,11,0,34252322,0,5369 141,3,2024-09-07 10:00:51:043,1,655,4,0,391,7526,655,0 142,0,2024-09-07 10:00:51:311,168243,0.3,167386,0.5,335410,0.3,447088,1.50 142,1,2024-09-07 10:00:50:591,1150578,1150578,0,0,539844294311,5630500895,1142584,7325,669,382,392102,0 142,2,2024-09-07 10:00:51:300,826824,826792,32,0,34434786,0,6028 142,3,2024-09-07 10:00:51:746,1,655,1,0,484,6815,655,0 143,0,2024-09-07 10:00:51:375,166765,0.4,166625,0.5,334295,0.4,444575,1.75 143,1,2024-09-07 10:00:50:566,1153491,1153491,0,0,541676380018,5621097315,1145894,6697,900,367,391900,0 143,2,2024-09-07 10:00:50:783,831930,831930,0,0,34169094,0,3123 143,3,2024-09-07 10:00:51:141,1,655,20,0,462,7660,655,0 144,0,2024-09-07 10:00:51:493,156262,0.6,160809,0.7,327322,0.5,428230,2.00 144,1,2024-09-07 10:00:50:574,1147564,1147564,0,0,538014498577,5646006144,1136195,9350,2019,381,391733,0 144,2,2024-09-07 10:00:51:763,829079,829079,0,0,33697134,0,4443 144,3,2024-09-07 10:00:51:742,1,655,44,0,306,7000,655,0 145,0,2024-09-07 10:00:51:407,155530,0.5,155503,0.8,330146,0.5,425180,2.25 145,1,2024-09-07 10:00:50:563,1146603,1146603,0,0,538822749065,5668767202,1131470,12378,2755,382,391781,0 145,2,2024-09-07 10:00:51:434,825942,825860,82,0,39416042,0,7814 145,3,2024-09-07 10:00:50:913,1,655,3,0,622,8738,655,0 146,0,2024-09-07 10:00:51:600,165755,0.6,164779,0.8,331571,0.6,440823,2.25 146,1,2024-09-07 10:00:51:596,1148614,1148614,0,0,538922086998,5668619039,1130056,13738,4820,367,391770,0 146,2,2024-09-07 10:00:51:707,826507,826501,6,0,37976726,0,5151 146,3,2024-09-07 10:00:51:283,1,655,0,0,1520,10789,655,0 147,0,2024-09-07 10:00:51:728,167954,0.6,167612,0.7,335285,0.6,447053,2.00 147,1,2024-09-07 10:00:51:383,1152261,1152261,0,0,540382326821,5615976324,1143043,8179,1039,367,391791,0 147,2,2024-09-07 10:00:51:010,829889,829889,0,0,34404015,0,3359 147,3,2024-09-07 10:00:50:920,1,655,8,0,1626,10060,655,0 0,0,2024-09-07 10:01:01:752,159083,0.6,159013,0.7,337468,0.6,435969,2.00 0,1,2024-09-07 10:01:00:815,1151014,1151014,0,0,540171629871,5655802127,1141468,8586,960,368,391896,0 0,2,2024-09-07 10:01:01:066,830985,830985,0,0,33626611,0,4480 0,3,2024-09-07 10:01:00:990,1,656,3,0,431,9028,656,0 1,0,2024-09-07 10:01:01:798,168316,0.8,167347,0.9,335817,1.0,449037,2.00 1,1,2024-09-07 10:01:00:573,1149383,1149383,0,0,540031356125,5659345760,1138206,9213,1964,370,391859,0 1,2,2024-09-07 10:01:00:639,830997,830997,0,0,33056997,0,3380 1,3,2024-09-07 10:01:01:301,1,656,1,0,269,7857,656,0 2,0,2024-09-07 10:01:01:565,166109,0.6,165690,0.7,331230,0.6,442015,2.00 2,1,2024-09-07 10:01:00:862,1152994,1152994,0,0,542264467997,5638584274,1146326,5709,959,379,391805,0 2,2,2024-09-07 10:01:01:265,829648,829648,0,0,31301953,0,3594 2,3,2024-09-07 10:01:00:693,1,656,23,0,357,5624,656,0 3,0,2024-09-07 10:01:01:753,161273,0.4,161286,0.6,322250,0.4,429397,2.00 3,1,2024-09-07 10:01:01:631,1152269,1152269,0,0,540742950323,5624707294,1144077,7474,718,379,391716,0 3,2,2024-09-07 10:01:01:143,830460,830437,23,0,32708128,0,5851 3,3,2024-09-07 10:01:01:752,1,656,8,0,484,5009,656,0 4,0,2024-09-07 10:01:01:799,157077,0.4,161370,0.5,329095,0.3,430279,1.75 4,1,2024-09-07 10:01:00:601,1146809,1146809,0,0,538171212377,5694733536,1127402,14870,4537,369,391992,0 4,2,2024-09-07 10:01:01:049,828008,828008,0,0,39791717,0,4534 4,3,2024-09-07 10:01:01:033,1,656,1,0,448,8696,656,0 5,0,2024-09-07 10:01:01:464,168292,0.4,168155,0.6,336673,0.4,448661,1.75 5,1,2024-09-07 10:01:00:765,1148335,1148335,0,0,539254971211,5690841094,1130905,13196,4234,367,392005,0 5,2,2024-09-07 10:01:01:846,826491,826491,0,0,38857037,0,3582 5,3,2024-09-07 10:01:01:736,1,656,2,0,457,8662,656,0 6,0,2024-09-07 10:01:00:920,167199,0.5,166704,0.6,333494,0.4,444663,2.00 6,1,2024-09-07 10:01:00:748,1150776,1150776,0,0,540684439467,5643433313,1139231,9955,1590,379,391702,0 6,2,2024-09-07 10:01:01:118,830560,830542,18,0,36822329,0,5535 6,3,2024-09-07 10:01:01:276,1,656,1,0,710,7818,656,0 7,0,2024-09-07 10:01:01:533,159807,0.5,160980,0.7,319359,0.5,425824,2.00 7,1,2024-09-07 10:01:00:854,1148547,1148547,0,0,539385548590,5676911472,1130984,13428,4135,382,391747,0 7,2,2024-09-07 10:01:00:769,829146,829146,0,0,35723598,0,4791 7,3,2024-09-07 10:01:00:859,1,656,25,0,552,7563,656,0 8,0,2024-09-07 10:01:01:347,161404,0.4,160910,0.5,322382,0.3,429616,1.75 8,1,2024-09-07 10:01:01:026,1147687,1147687,0,0,539233031357,5667554116,1130085,13879,3723,366,392853,0 8,2,2024-09-07 10:01:00:790,823958,823956,2,0,42720285,0,5112 8,3,2024-09-07 10:01:00:601,1,656,8,0,772,9994,656,0 9,0,2024-09-07 10:01:01:168,167429,0.4,162653,0.5,340359,0.3,446878,1.75 9,1,2024-09-07 10:01:00:566,1147530,1147530,0,0,538778537685,5681508966,1128823,14601,4106,369,392001,0 9,2,2024-09-07 10:01:01:082,827245,827244,1,0,40542896,0,5281 9,3,2024-09-07 10:01:01:753,1,656,1,0,1273,10876,656,0 10,0,2024-09-07 10:01:01:638,167008,0.4,166359,0.5,333804,0.3,444257,1.75 10,1,2024-09-07 10:01:00:589,1149707,1149707,0,0,539797644837,5664491146,1132470,13708,3529,381,391981,0 10,2,2024-09-07 10:01:00:764,831505,831505,0,0,43611337,0,4713 10,3,2024-09-07 10:01:00:871,1,656,2,0,669,7146,656,0 11,0,2024-09-07 10:01:01:009,161793,0.4,157087,0.6,328801,0.4,432335,1.75 11,1,2024-09-07 10:01:00:576,1151833,1151833,0,0,540294694587,5666215656,1135304,12221,4308,383,391766,0 11,2,2024-09-07 10:01:01:123,827896,827896,0,0,37743568,0,4698 11,3,2024-09-07 10:01:01:302,1,656,8,0,843,8177,656,0 12,0,2024-09-07 10:01:00:957,162263,0.4,162055,0.5,323716,0.4,430136,1.75 12,1,2024-09-07 10:01:00:955,1151015,1151015,0,0,539895621948,5633566951,1141513,8477,1025,370,391960,0 12,2,2024-09-07 10:01:01:551,826940,826940,0,0,37669120,0,4390 12,3,2024-09-07 10:01:01:062,1,656,6,0,386,8290,656,0 13,0,2024-09-07 10:01:01:359,165981,0.4,166172,0.6,331388,0.4,442052,1.75 13,1,2024-09-07 10:01:01:552,1148374,1148374,0,0,539829183420,5678172208,1135911,9629,2834,382,391803,0 13,2,2024-09-07 10:01:00:598,831472,831472,0,0,33985776,0,3287 13,3,2024-09-07 10:01:01:797,1,656,0,0,522,8822,656,0 14,0,2024-09-07 10:01:00:581,168251,0.4,169277,0.6,336508,0.4,447859,1.75 14,1,2024-09-07 10:01:01:573,1156340,1156340,0,0,542872103844,5617728897,1147331,7876,1133,364,391673,0 14,2,2024-09-07 10:01:00:766,831334,831304,30,0,35055795,0,6104 14,3,2024-09-07 10:01:01:119,1,656,1,0,1168,6938,656,0 15,0,2024-09-07 10:01:01:557,164575,0.4,163776,0.6,327776,0.3,436928,1.75 15,1,2024-09-07 10:01:01:634,1152997,1152997,0,0,541736540421,5644595439,1142851,8137,2009,381,391619,0 15,2,2024-09-07 10:01:01:001,830087,830087,0,0,30909309,0,3622 15,3,2024-09-07 10:01:01:407,1,656,8,0,1126,8409,656,0 16,0,2024-09-07 10:01:00:940,161643,0.6,162413,0.8,323801,0.6,431143,2.00 16,1,2024-09-07 10:01:00:600,1152372,1152372,0,0,540520919101,5650390797,1142141,8701,1530,370,392194,0 16,2,2024-09-07 10:01:01:438,828624,828624,0,0,34429104,0,4719 16,3,2024-09-07 10:01:01:161,1,656,1,0,358,7916,656,0 17,0,2024-09-07 10:01:01:850,167828,0.6,163527,0.8,320480,0.7,435907,2.00 17,1,2024-09-07 10:01:00:579,1150595,1150595,0,0,540106162676,5663607993,1139609,9192,1794,368,392075,0 17,2,2024-09-07 10:01:01:690,833237,833236,1,0,35882145,0,5050 17,3,2024-09-07 10:01:00:584,1,656,11,0,518,9500,656,0 18,0,2024-09-07 10:01:00:943,166832,0.7,167950,0.8,334179,0.7,446247,2.25 18,1,2024-09-07 10:01:01:639,1155111,1155111,0,0,541719083221,5618485101,1147515,6331,1265,367,391725,0 18,2,2024-09-07 10:01:01:754,832241,832241,0,0,32187116,0,3541 18,3,2024-09-07 10:01:00:901,1,656,181,0,1059,5956,656,0 19,0,2024-09-07 10:01:01:543,166093,0.6,166499,0.8,331933,0.7,441251,2.00 19,1,2024-09-07 10:01:00:578,1154523,1154523,0,0,541953480258,5618264335,1145690,7673,1160,365,391777,0 19,2,2024-09-07 10:01:01:752,832362,832362,0,0,29827938,0,3988 19,3,2024-09-07 10:01:01:131,1,656,8,0,524,5064,656,0 20,0,2024-09-07 10:01:01:393,160388,0.5,160309,0.7,320481,0.5,427507,2.00 20,1,2024-09-07 10:01:00:598,1150435,1150435,0,0,540020080744,5659210791,1138720,10114,1601,369,391922,0 20,2,2024-09-07 10:01:00:935,829684,829684,0,0,37275049,0,4321 20,3,2024-09-07 10:01:00:597,1,656,6,0,468,10394,656,0 21,0,2024-09-07 10:01:01:173,161755,0.5,161745,0.6,323407,0.4,429732,2.00 21,1,2024-09-07 10:01:01:570,1148670,1148670,0,0,538407019428,5681359352,1130781,13932,3957,368,392016,0 21,2,2024-09-07 10:01:01:086,823492,823015,477,0,48115751,0,17074 21,3,2024-09-07 10:01:01:405,1,656,2,0,713,8923,656,0 22,0,2024-09-07 10:01:01:733,167455,0.4,168068,0.6,335824,0.4,445471,2.00 22,1,2024-09-07 10:01:01:023,1148935,1148935,0,0,539330538245,5673768918,1130300,15045,3590,381,391822,0 22,2,2024-09-07 10:01:00:760,827666,827640,26,0,36014585,0,6328 22,3,2024-09-07 10:01:01:081,1,656,2,0,228,5461,656,0 23,0,2024-09-07 10:01:01:380,166648,0.5,166434,0.7,332868,0.4,444000,2.00 23,1,2024-09-07 10:01:01:006,1150483,1150483,0,0,541299147865,5697638333,1130051,13702,6730,365,391690,0 23,2,2024-09-07 10:01:01:108,831761,831761,0,0,34012370,0,3773 23,3,2024-09-07 10:01:01:754,1,656,9,0,855,9469,656,0 24,0,2024-09-07 10:01:00:908,162259,0.4,161373,0.5,324503,0.4,430739,1.75 24,1,2024-09-07 10:01:00:613,1149911,1149911,0,0,539422929985,5644889381,1139234,8899,1778,367,392269,0 24,2,2024-09-07 10:01:01:069,828848,828845,3,0,40709876,0,6294 24,3,2024-09-07 10:01:01:695,1,656,1,0,468,8468,656,0 25,0,2024-09-07 10:01:01:398,165509,0.4,161119,0.6,316670,0.4,431317,1.75 25,1,2024-09-07 10:01:00:574,1148096,1148096,0,0,538501712236,5687857698,1128287,15900,3909,369,391928,0 25,2,2024-09-07 10:01:01:641,826681,826681,0,0,41780978,0,3978 25,3,2024-09-07 10:01:01:009,1,656,9,0,532,7222,656,0 26,0,2024-09-07 10:01:02:205,165468,0.4,161631,0.6,339419,0.3,442766,1.75 26,1,2024-09-07 10:01:01:544,1151637,1151637,0,0,540645404801,5660550143,1136155,12581,2901,380,391758,0 26,2,2024-09-07 10:01:00:872,828749,828749,0,0,44020615,0,4689 26,3,2024-09-07 10:01:01:714,1,656,2,0,796,8090,656,0 27,0,2024-09-07 10:01:01:726,167784,0.4,168569,0.6,335078,0.4,446827,2.25 27,1,2024-09-07 10:01:01:677,1152983,1152983,0,0,541261674693,5637591676,1142298,9068,1617,381,391626,0 27,2,2024-09-07 10:01:00:875,828251,828186,65,0,38579923,0,5699 27,3,2024-09-07 10:01:01:015,1,656,8,0,564,5534,656,0 28,0,2024-09-07 10:01:01:397,163414,0.4,163647,0.6,327301,0.3,435418,1.75 28,1,2024-09-07 10:01:00:797,1153679,1153679,0,0,541929910353,5649870506,1144004,7757,1918,382,391904,0 28,2,2024-09-07 10:01:01:773,828645,828645,0,0,34239103,0,2915 28,3,2024-09-07 10:01:01:776,1,656,185,0,502,6968,656,0 29,0,2024-09-07 10:01:01:360,165992,0.4,161715,0.6,317024,0.3,431840,1.75 29,1,2024-09-07 10:01:01:561,1156296,1156296,0,0,542926546195,5618311777,1148841,6435,1020,367,391809,0 29,2,2024-09-07 10:01:00:872,828865,828865,0,0,32994780,0,4986 29,3,2024-09-07 10:01:00:974,1,656,6,0,459,6895,656,0 30,0,2024-09-07 10:01:01:463,162886,0.5,158603,0.7,332195,0.5,434439,2.00 30,1,2024-09-07 10:01:00:581,1154855,1154855,0,0,543577921263,5643141688,1145817,7952,1086,380,391672,0 30,2,2024-09-07 10:01:01:279,831940,831940,0,0,31666875,0,4192 30,3,2024-09-07 10:01:00:590,1,656,3,0,519,6333,656,0 31,0,2024-09-07 10:01:01:760,168176,0.5,168879,0.7,336761,0.4,449101,2.00 31,1,2024-09-07 10:01:00:571,1159388,1159388,0,0,543463875498,5582263850,1152088,6111,1189,356,391712,0 31,2,2024-09-07 10:01:01:278,830001,830001,0,0,35794804,0,4470 31,3,2024-09-07 10:01:01:716,1,656,8,0,239,5690,656,0 32,0,2024-09-07 10:01:01:433,166185,0.3,167305,0.5,332997,0.2,443351,1.75 32,1,2024-09-07 10:01:00:808,1154588,1154588,0,0,542051884975,5635260666,1147254,6375,959,381,391646,0 32,2,2024-09-07 10:01:00:956,831077,831077,0,0,30740463,0,3922 32,3,2024-09-07 10:01:01:016,1,656,0,0,304,5568,656,0 33,0,2024-09-07 10:01:01:506,162012,0.3,161596,0.4,323270,0.2,430678,1.50 33,1,2024-09-07 10:01:00:594,1156039,1156039,0,0,542916643605,5623653319,1146817,7871,1351,368,391730,0 33,2,2024-09-07 10:01:00:759,830044,830009,35,0,34558091,0,7012 33,3,2024-09-07 10:01:00:897,1,656,6,0,329,6042,656,0 34,0,2024-09-07 10:01:00:932,162068,0.3,166490,0.5,318552,0.2,430126,1.75 34,1,2024-09-07 10:01:01:044,1157853,1157853,0,0,543901975708,5597154571,1154035,3610,208,367,391637,0 34,2,2024-09-07 10:01:00:767,831466,831466,0,0,32482561,0,4562 34,3,2024-09-07 10:01:01:693,1,656,0,0,541,5650,656,0 35,0,2024-09-07 10:01:00:899,167722,0.3,168395,0.5,337656,0.2,449837,1.75 35,1,2024-09-07 10:01:01:066,1153914,1153914,0,0,541802136524,5622556418,1145113,7250,1551,382,391769,0 35,2,2024-09-07 10:01:01:606,830099,830099,0,0,37077199,0,4055 35,3,2024-09-07 10:01:00:913,1,656,17,0,466,6334,656,0 36,0,2024-09-07 10:01:01:538,167597,0.5,167866,0.6,335206,0.4,446456,2.00 36,1,2024-09-07 10:01:00:586,1152339,1152339,0,0,540588529511,5641698338,1138333,11760,2246,366,391759,0 36,2,2024-09-07 10:01:01:751,830840,830840,0,0,37145512,0,3875 36,3,2024-09-07 10:01:00:867,1,656,23,0,556,8655,656,0 37,0,2024-09-07 10:01:01:416,159733,0.5,159779,0.7,319698,0.5,426488,2.25 37,1,2024-09-07 10:01:00:574,1152084,1152077,0,7,540646230243,5644924944,1138636,10519,2922,365,391770,0 37,2,2024-09-07 10:01:01:157,827670,827655,15,0,36706683,0,5815 37,3,2024-09-07 10:01:01:771,1,656,1,0,888,8866,656,0 38,0,2024-09-07 10:01:01:462,160246,0.4,155268,0.6,324749,0.3,425542,2.00 38,1,2024-09-07 10:01:01:632,1151698,1151698,0,0,541428646431,5660008524,1135273,13128,3297,368,391821,0 38,2,2024-09-07 10:01:00:765,829205,829158,47,0,37460448,0,6710 38,3,2024-09-07 10:01:00:998,1,656,54,0,689,7662,656,0 39,0,2024-09-07 10:01:01:761,171130,0.5,167275,0.7,326363,0.5,445666,2.00 39,1,2024-09-07 10:01:00:716,1151699,1151699,0,0,540319268345,5663544693,1133005,14506,4188,365,391865,0 39,2,2024-09-07 10:01:01:417,828538,828538,0,0,35715314,0,3391 39,3,2024-09-07 10:01:00:713,1,656,8,0,525,7338,656,0 40,0,2024-09-07 10:01:01:501,165463,0.9,166253,1.0,331866,1.0,443100,2.75 40,1,2024-09-07 10:01:00:591,1151683,1151683,0,0,539477181921,5652522655,1134275,14113,3295,366,391668,0 40,2,2024-09-07 10:01:01:307,828987,828980,7,0,41735050,0,5347 40,3,2024-09-07 10:01:01:142,1,656,48,0,1028,9355,656,0 41,0,2024-09-07 10:01:01:022,161131,1.2,164952,1.1,314588,1.7,426984,3.00 41,1,2024-09-07 10:01:00:768,1150945,1150945,0,0,540656657256,5653878238,1136278,12365,2302,369,391878,0 41,2,2024-09-07 10:01:00:758,825241,825240,1,0,40147168,0,5408 41,3,2024-09-07 10:01:01:676,1,656,0,0,366,7013,656,0 42,0,2024-09-07 10:01:01:482,160017,0.9,159687,1.0,319672,1.0,423977,2.50 42,1,2024-09-07 10:01:01:447,1149020,1149020,0,0,538827546117,5658179342,1131817,14247,2956,380,391675,0 42,2,2024-09-07 10:01:01:135,826118,826117,1,0,41688349,0,5513 42,3,2024-09-07 10:01:01:013,1,656,545,0,892,6794,656,0 43,0,2024-09-07 10:01:00:930,163435,0.7,159092,0.9,333447,0.8,437065,2.25 43,1,2024-09-07 10:01:00:580,1151732,1151732,0,0,541058593354,5652663772,1135939,13047,2746,366,391696,0 43,2,2024-09-07 10:01:01:736,830379,830379,0,0,38483913,0,4723 43,3,2024-09-07 10:01:01:749,1,656,2,0,571,9104,656,0 44,0,2024-09-07 10:01:00:858,168541,0.4,168776,0.6,336725,0.4,448872,2.00 44,1,2024-09-07 10:01:00:570,1154994,1154994,0,0,541730665345,5601652164,1144649,8272,2073,356,391809,0 44,2,2024-09-07 10:01:01:272,829669,829669,0,0,31709682,0,4344 44,3,2024-09-07 10:01:01:108,1,656,172,0,1097,8283,656,0 45,0,2024-09-07 10:01:01:757,162699,0.5,158926,0.7,333638,0.4,436956,2.00 45,1,2024-09-07 10:01:01:006,1153983,1153983,0,0,541728538824,5631073868,1144858,7905,1220,382,391917,0 45,2,2024-09-07 10:01:01:268,829296,829296,0,0,31573855,0,3596 45,3,2024-09-07 10:01:00:938,1,656,1,0,531,6151,656,0 46,0,2024-09-07 10:01:00:963,160886,0.5,160397,0.7,321872,0.5,427911,2.00 46,1,2024-09-07 10:01:00:578,1156377,1156377,0,0,542947468336,5613468059,1148301,7027,1049,366,391709,0 46,2,2024-09-07 10:01:00:602,830432,830432,0,0,33095247,0,4443 46,3,2024-09-07 10:01:01:134,1,656,4,0,908,7530,656,0 47,0,2024-09-07 10:01:01:114,163330,0.4,163016,0.6,327630,0.4,434541,2.00 47,1,2024-09-07 10:01:00:568,1157312,1157312,0,0,543041388762,5613203731,1149966,6333,1013,364,391641,0 47,2,2024-09-07 10:01:00:914,833616,833616,0,0,33165484,0,4477 47,3,2024-09-07 10:01:01:118,1,656,12,0,600,7040,656,0 48,0,2024-09-07 10:01:01:498,168897,0.3,169416,0.4,337670,0.2,449168,1.50 48,1,2024-09-07 10:01:01:022,1154527,1154527,0,0,542332689579,5633438770,1147512,6202,813,381,391710,0 48,2,2024-09-07 10:01:00:698,830666,830666,0,0,30482614,0,3619 48,3,2024-09-07 10:01:00:756,1,656,8,0,339,5850,656,0 49,0,2024-09-07 10:01:01:720,171493,0.3,167945,0.5,326831,0.3,445849,1.75 49,1,2024-09-07 10:01:01:021,1153824,1153824,0,0,541296532138,5629884497,1146101,6027,1696,382,391809,0 49,2,2024-09-07 10:01:01:800,830839,830839,0,0,31913641,0,4426 49,3,2024-09-07 10:01:01:417,1,656,120,0,992,7846,656,0 50,0,2024-09-07 10:01:01:506,160999,0.3,159546,0.5,321367,0.2,427432,1.75 50,1,2024-09-07 10:01:01:014,1156751,1156751,0,0,543621783022,5620277379,1149359,6661,731,368,391691,0 50,2,2024-09-07 10:01:01:066,828681,828681,0,0,29781311,0,4490 50,3,2024-09-07 10:01:01:291,1,656,8,0,617,6616,656,0 51,0,2024-09-07 10:01:01:699,165962,0.3,162504,0.5,316352,0.2,431899,1.75 51,1,2024-09-07 10:01:01:680,1156882,1156882,0,0,544547088933,5615664852,1150250,5291,1341,365,391706,0 51,2,2024-09-07 10:01:01:321,830304,830304,0,0,29551259,0,3337 51,3,2024-09-07 10:01:01:028,1,656,8,0,678,4692,656,0 52,0,2024-09-07 10:01:01:422,168133,0.5,168167,0.6,335997,0.4,446668,2.00 52,1,2024-09-07 10:01:00:578,1152025,1152025,0,0,541037765512,5659031212,1136309,13332,2384,368,391805,0 52,2,2024-09-07 10:01:01:755,825422,825384,38,0,39974610,0,6742 52,3,2024-09-07 10:01:00:674,1,656,2,0,1782,7742,656,0 53,0,2024-09-07 10:01:01:739,166426,0.6,161542,0.8,337935,0.7,442909,2.25 53,1,2024-09-07 10:01:00:770,1150088,1150088,0,0,540986704036,5671206804,1131431,14250,4407,367,391968,0 53,2,2024-09-07 10:01:01:298,832023,832022,1,0,35101970,0,5455 53,3,2024-09-07 10:01:00:697,1,656,1,0,308,6018,656,0 54,0,2024-09-07 10:01:01:635,159501,0.6,159805,0.7,318470,0.5,425115,2.25 54,1,2024-09-07 10:01:00:585,1153320,1153320,0,0,541269452353,5625436682,1142010,9638,1672,366,391810,0 54,2,2024-09-07 10:01:00:872,829487,829455,32,0,39696351,0,6397 54,3,2024-09-07 10:01:00:765,1,656,1,0,676,8306,656,0 55,0,2024-09-07 10:01:01:761,155513,0.6,160362,0.8,325194,0.5,423941,2.50 55,1,2024-09-07 10:01:00:766,1153905,1153905,0,0,541540475831,5631252296,1141927,10492,1486,365,391731,0 55,2,2024-09-07 10:01:00:733,827780,827724,56,0,36533726,0,7239 55,3,2024-09-07 10:01:00:674,1,656,14,0,304,5985,656,0 56,0,2024-09-07 10:01:01:624,169458,1.1,159702,1.1,328946,1.4,440960,2.75 56,1,2024-09-07 10:01:00:604,1146841,1146841,0,0,538752413677,5695100513,1128056,15034,3751,381,391867,0 56,2,2024-09-07 10:01:01:302,828155,828033,122,0,39282211,0,7432 56,3,2024-09-07 10:01:01:063,1,656,1,0,705,8023,656,0 57,0,2024-09-07 10:01:00:965,165999,1.4,165822,1.2,331758,2.0,443069,3.00 57,1,2024-09-07 10:01:01:000,1149489,1149489,0,0,539138076428,5657574084,1134029,12770,2690,366,392032,0 57,2,2024-09-07 10:01:01:325,830272,830272,0,0,41570751,0,4804 57,3,2024-09-07 10:01:01:739,1,656,0,0,455,7226,656,0 58,0,2024-09-07 10:01:00:571,160899,0.9,156294,1.0,327183,1.1,427879,2.50 58,1,2024-09-07 10:01:00:596,1150592,1150589,0,3,541083779413,5672850267,1132922,13354,4313,367,391726,3 58,2,2024-09-07 10:01:01:071,828815,828815,0,0,37983411,0,3483 58,3,2024-09-07 10:01:01:085,1,656,1,0,1043,7236,656,0 59,0,2024-09-07 10:01:01:741,160083,0.8,159403,1.0,318764,0.9,422726,2.75 59,1,2024-09-07 10:01:00:804,1150364,1150364,0,0,539608975765,5669523602,1131415,15114,3835,369,391653,0 59,2,2024-09-07 10:01:00:586,828881,828881,0,0,36156311,0,3727 59,3,2024-09-07 10:01:01:737,1,656,12,0,1015,7843,656,0 60,0,2024-09-07 10:01:01:717,163710,0.5,163655,0.7,327677,0.5,436794,2.00 60,1,2024-09-07 10:01:00:771,1154143,1154143,0,0,542155749680,5643300352,1144104,8643,1396,370,392031,0 60,2,2024-09-07 10:01:01:142,831281,831281,0,0,34845732,0,3811 60,3,2024-09-07 10:01:01:257,1,656,1,0,409,7374,656,0 61,0,2024-09-07 10:01:01:569,168319,0.6,168973,0.8,336354,0.6,448824,2.00 61,1,2024-09-07 10:01:00:785,1151583,1151583,0,0,540118220883,5656245032,1139346,10461,1776,382,392127,0 61,2,2024-09-07 10:01:01:124,830735,830668,67,0,35761635,0,6411 61,3,2024-09-07 10:01:01:690,1,656,1,0,607,8234,656,0 62,0,2024-09-07 10:01:01:827,166747,0.6,171046,0.7,326511,0.6,443361,2.00 62,1,2024-09-07 10:01:01:122,1158490,1158484,0,6,543332230135,5606766887,1151957,6053,474,365,391975,6 62,2,2024-09-07 10:01:01:678,826871,826870,1,0,35091075,0,5555 62,3,2024-09-07 10:01:01:156,1,656,1,0,482,5279,656,0 63,0,2024-09-07 10:01:01:455,161967,0.4,161644,0.6,324089,0.3,430893,1.75 63,1,2024-09-07 10:01:00:807,1154908,1154902,0,6,541767808891,5627348643,1147324,6650,928,381,391800,6 63,2,2024-09-07 10:01:00:771,829256,829256,0,0,34200308,0,4369 63,3,2024-09-07 10:01:01:736,1,656,554,0,667,7118,656,0 64,0,2024-09-07 10:01:01:528,161103,0.5,160951,0.7,321852,0.4,428673,2.00 64,1,2024-09-07 10:01:00:763,1153174,1153174,0,0,542461279360,5648280319,1143149,7999,2026,370,391794,0 64,2,2024-09-07 10:01:01:142,833864,833845,19,0,33208098,0,6121 64,3,2024-09-07 10:01:01:142,1,656,0,0,651,7175,656,0 65,0,2024-09-07 10:01:01:715,166995,0.6,167413,0.7,333902,0.6,445954,2.00 65,1,2024-09-07 10:01:00:861,1151595,1151595,0,0,540047445298,5643809860,1143653,7063,879,381,391901,0 65,2,2024-09-07 10:01:01:694,828410,828410,0,0,39043126,0,3367 65,3,2024-09-07 10:01:01:697,1,656,5,0,782,7917,656,0 66,0,2024-09-07 10:01:01:773,166908,0.5,166293,0.7,332850,0.5,443037,2.00 66,1,2024-09-07 10:01:01:293,1153656,1153656,0,0,541799908299,5638410872,1146315,6470,871,380,391743,0 66,2,2024-09-07 10:01:01:134,832829,832826,3,0,34590885,0,5455 66,3,2024-09-07 10:01:01:079,1,656,1,0,291,5897,656,0 67,0,2024-09-07 10:01:01:415,160344,0.5,159956,0.7,320788,0.5,426986,2.00 67,1,2024-09-07 10:01:00:766,1153925,1153924,0,1,540984178240,5634623464,1145590,7195,1139,380,391787,1 67,2,2024-09-07 10:01:00:588,832865,832850,15,0,34429441,0,6205 67,3,2024-09-07 10:01:01:750,1,656,17,0,595,7057,656,0 68,0,2024-09-07 10:01:00:582,160970,0.6,160715,0.7,320321,0.5,428578,2.00 68,1,2024-09-07 10:01:00:589,1149545,1149545,0,0,539309600214,5670063343,1134337,11426,3782,381,391953,0 68,2,2024-09-07 10:01:01:045,825268,825168,100,0,42692417,0,8578 68,3,2024-09-07 10:01:00:730,1,656,1,0,417,8809,656,0 69,0,2024-09-07 10:01:01:798,166848,0.6,167471,0.8,334006,0.6,444408,2.25 69,1,2024-09-07 10:01:01:020,1148743,1148743,0,0,539000034177,5677469854,1134267,11498,2978,383,391994,0 69,2,2024-09-07 10:01:01:741,825915,825886,29,0,45835304,0,6912 69,3,2024-09-07 10:01:00:767,1,656,127,0,698,9507,656,0 70,0,2024-09-07 10:01:01:533,165217,0.8,165900,0.9,332833,0.7,441277,2.50 70,1,2024-09-07 10:01:00:815,1155008,1155008,0,0,543089421510,5632675699,1146681,7511,816,366,391725,0 70,2,2024-09-07 10:01:01:331,831534,831534,0,0,37587718,0,4323 70,3,2024-09-07 10:01:00:747,1,656,3,0,854,7429,656,0 71,0,2024-09-07 10:01:01:355,160921,0.9,160470,1.0,322254,1.1,429219,2.50 71,1,2024-09-07 10:01:01:604,1153349,1153349,0,0,541389234523,5647791424,1139581,12142,1626,367,391738,0 71,2,2024-09-07 10:01:01:066,828119,828119,0,0,37367344,0,4352 71,3,2024-09-07 10:01:01:750,1,656,55,0,644,7191,656,0 72,0,2024-09-07 10:01:01:053,166281,0.5,162379,0.7,317102,0.5,431179,2.00 72,1,2024-09-07 10:01:01:022,1151057,1151057,0,0,539767229811,5658445991,1134923,13446,2688,369,391819,0 72,2,2024-09-07 10:01:01:762,826893,826893,0,0,39353965,0,3983 72,3,2024-09-07 10:01:01:761,1,656,13,0,564,9322,656,0 73,0,2024-09-07 10:01:01:118,160954,0.4,164994,0.6,337159,0.4,439766,2.00 73,1,2024-09-07 10:01:00:769,1152576,1152576,0,0,541576629940,5626705052,1144050,7542,984,367,391858,0 73,2,2024-09-07 10:01:01:744,829343,829342,1,0,41239285,0,5027 73,3,2024-09-07 10:01:00:979,1,656,0,0,1091,9010,656,0 74,0,2024-09-07 10:01:01:321,169419,0.5,173421,0.7,331102,0.4,449153,2.00 74,1,2024-09-07 10:01:00:640,1151612,1151612,0,0,540335606917,5637954150,1139505,9967,2140,381,391762,0 74,2,2024-09-07 10:01:01:004,829867,829867,0,0,36338115,0,4253 74,3,2024-09-07 10:01:01:450,1,656,0,0,522,7600,656,0 75,0,2024-09-07 10:01:01:768,164666,0.5,163665,0.7,328518,0.5,438280,2.25 75,1,2024-09-07 10:01:01:585,1153108,1153108,0,0,541419801017,5640307268,1143727,8449,932,380,391739,0 75,2,2024-09-07 10:01:01:351,827191,827191,0,0,42782972,0,4766 75,3,2024-09-07 10:01:01:085,1,656,8,0,918,9062,656,0 76,0,2024-09-07 10:01:00:609,161279,0.5,160663,0.7,321123,0.5,429584,2.25 76,1,2024-09-07 10:01:00:828,1152416,1152416,0,0,540831691950,5642322954,1143996,7117,1303,382,391790,0 76,2,2024-09-07 10:01:01:075,831603,831600,3,0,35709687,0,5265 76,3,2024-09-07 10:01:01:142,1,656,12,0,227,5946,656,0 77,0,2024-09-07 10:01:01:706,162405,0.6,162780,0.7,325948,0.6,433519,2.00 77,1,2024-09-07 10:01:00:842,1152545,1152545,0,0,540908833276,5646779144,1143137,8354,1054,381,391869,0 77,2,2024-09-07 10:01:01:284,829947,829947,0,0,34825990,0,3890 77,3,2024-09-07 10:01:01:108,1,656,8,0,401,7652,656,0 78,0,2024-09-07 10:01:01:717,169186,0.4,168481,0.6,338203,0.4,447240,2.00 78,1,2024-09-07 10:01:00:634,1151672,1151672,0,0,540305061612,5641453690,1136765,11671,3236,367,391670,0 78,2,2024-09-07 10:01:01:408,830865,830852,13,0,32722437,0,8313 78,3,2024-09-07 10:01:01:140,1,656,1,0,311,5508,656,0 79,0,2024-09-07 10:01:01:356,161130,0.4,165058,0.6,338156,0.3,440423,2.00 79,1,2024-09-07 10:01:00:595,1156077,1156077,0,0,542274349294,5619400229,1146293,7985,1799,367,391682,0 79,2,2024-09-07 10:01:01:076,830381,830381,0,0,31455668,0,4195 79,3,2024-09-07 10:01:00:754,1,656,8,0,418,7456,656,0 80,0,2024-09-07 10:01:01:089,160541,0.5,164898,0.7,314978,0.5,426455,2.00 80,1,2024-09-07 10:01:01:632,1152512,1152512,0,0,541464305759,5636388655,1145168,6884,460,368,392269,0 80,2,2024-09-07 10:01:01:102,832506,832506,0,0,32361806,0,4433 80,3,2024-09-07 10:01:00:579,1,656,1,0,681,7855,656,0 81,0,2024-09-07 10:01:01:549,161633,0.6,165268,0.7,315526,0.5,428368,2.00 81,1,2024-09-07 10:01:01:658,1151692,1151692,0,0,540082624356,5644318481,1142435,8287,970,382,391885,0 81,2,2024-09-07 10:01:01:138,829378,829315,63,0,35594153,0,5932 81,3,2024-09-07 10:01:01:125,1,656,1,0,719,7197,656,0 82,0,2024-09-07 10:01:01:537,167601,0.5,167662,0.7,335939,0.4,445786,2.00 82,1,2024-09-07 10:01:00:583,1153587,1153583,0,4,541698379716,5633019645,1147167,5374,1042,381,391768,4 82,2,2024-09-07 10:01:01:705,831482,831482,0,0,29929202,0,4484 82,3,2024-09-07 10:01:01:752,1,656,1,0,363,5995,656,0 83,0,2024-09-07 10:01:01:533,167018,0.6,166899,0.7,333401,0.6,443210,2.25 83,1,2024-09-07 10:01:00:553,1152358,1152358,0,0,540889594116,5639843185,1143824,7539,995,382,391709,0 83,2,2024-09-07 10:01:00:766,831982,831957,25,0,32263356,0,5612 83,3,2024-09-07 10:01:00:756,1,656,8,0,1260,7789,656,0 84,0,2024-09-07 10:01:01:830,159864,0.7,159910,0.9,319762,0.7,426839,2.25 84,1,2024-09-07 10:01:01:043,1150768,1150768,0,0,539892728837,5646170711,1137749,11135,1884,367,391967,0 84,2,2024-09-07 10:01:00:572,827721,827311,410,0,46118683,0,17037 84,3,2024-09-07 10:01:01:145,1,656,11,0,908,9084,656,0 85,0,2024-09-07 10:01:01:038,154718,0.6,154749,0.8,328543,0.6,424224,2.25 85,1,2024-09-07 10:01:00:588,1147861,1147861,0,0,539576613827,5690825638,1131173,13885,2803,381,392092,0 85,2,2024-09-07 10:01:00:872,827970,827970,0,0,39397624,0,4255 85,3,2024-09-07 10:01:00:685,1,656,5,0,789,7363,656,0 86,0,2024-09-07 10:01:00:902,165691,0.7,170432,0.8,326066,0.7,441329,2.25 86,1,2024-09-07 10:01:00:827,1150296,1150296,0,0,540036457853,5662758402,1135151,12336,2809,366,392169,0 86,2,2024-09-07 10:01:00:863,828376,828375,1,0,42184173,0,5004 86,3,2024-09-07 10:01:00:598,1,656,2,0,308,8891,656,0 87,0,2024-09-07 10:01:01:293,168145,0.8,167082,0.8,334588,0.9,446940,2.25 87,1,2024-09-07 10:01:00:554,1150189,1150189,0,0,540982036999,5663683141,1136253,12129,1807,366,392076,0 87,2,2024-09-07 10:01:01:070,830085,830079,6,0,38838693,0,6323 87,3,2024-09-07 10:01:01:799,1,656,16,0,473,9095,656,0 88,0,2024-09-07 10:01:01:446,163238,0.4,163747,0.6,327304,0.4,435316,1.75 88,1,2024-09-07 10:01:00:571,1149259,1149259,0,0,538997670380,5648777055,1133010,13127,3122,365,392084,0 88,2,2024-09-07 10:01:00:709,828100,828100,0,0,40514886,0,4465 88,3,2024-09-07 10:01:01:269,1,656,2,0,1080,10348,656,0 89,0,2024-09-07 10:01:01:780,165926,0.4,160969,0.6,317528,0.4,431319,1.75 89,1,2024-09-07 10:01:00:557,1148163,1148163,0,0,539878915696,5675856969,1134307,11680,2176,382,391866,0 89,2,2024-09-07 10:01:01:136,828405,828405,0,0,38746042,0,3173 89,3,2024-09-07 10:01:01:794,1,656,19,0,468,10555,656,0 90,0,2024-09-07 10:01:01:645,158766,0.4,163037,0.6,332791,0.4,434505,2.00 90,1,2024-09-07 10:01:00:600,1150251,1150251,0,0,539240758877,5647818054,1138998,10202,1051,380,391825,0 90,2,2024-09-07 10:01:01:412,826687,826682,5,0,41987401,0,6370 90,3,2024-09-07 10:01:00:934,1,656,1,0,364,8700,656,0 91,0,2024-09-07 10:01:00:966,168969,0.5,163899,0.6,342939,0.5,449649,1.75 91,1,2024-09-07 10:01:00:557,1147433,1147433,0,0,539440256097,5687170068,1129757,13715,3961,381,392047,0 91,2,2024-09-07 10:01:01:333,829759,829759,0,0,37481221,0,4713 91,3,2024-09-07 10:01:00:598,1,656,8,0,231,6235,656,0 92,0,2024-09-07 10:01:01:443,167716,0.5,171519,0.6,327222,0.4,443525,1.75 92,1,2024-09-07 10:01:00:580,1151653,1151653,0,0,540837830870,5649789704,1142533,7813,1307,381,392136,0 92,2,2024-09-07 10:01:01:350,828557,828557,0,0,33295055,0,3906 92,3,2024-09-07 10:01:01:048,1,656,1,0,167,5461,656,0 93,0,2024-09-07 10:01:00:957,162420,0.4,166392,0.6,317720,0.3,430473,1.75 93,1,2024-09-07 10:01:00:826,1152082,1152082,0,0,540409019287,5648328355,1138193,11457,2432,365,392048,0 93,2,2024-09-07 10:01:00:942,829346,829346,0,0,39282900,0,4913 93,3,2024-09-07 10:01:01:413,1,656,5,0,505,7378,656,0 94,0,2024-09-07 10:01:01:614,161119,0.4,162274,0.5,324724,0.3,430968,1.75 94,1,2024-09-07 10:01:00:568,1152883,1152883,0,0,541099878830,5642738115,1145319,7074,490,381,391850,0 94,2,2024-09-07 10:01:00:769,830726,830698,28,0,34079262,0,6179 94,3,2024-09-07 10:01:01:701,1,656,0,0,576,8217,656,0 95,0,2024-09-07 10:01:01:346,168281,0.4,168345,0.5,336524,0.3,448811,1.75 95,1,2024-09-07 10:01:00:854,1153801,1153801,0,0,541665866275,5628899762,1144818,8220,763,365,391852,0 95,2,2024-09-07 10:01:01:017,828387,828387,0,0,33872548,0,3308 95,3,2024-09-07 10:01:01:722,1,656,10,0,718,10006,656,0 96,0,2024-09-07 10:01:01:048,167278,0.3,167449,0.5,335267,0.3,445653,1.75 96,1,2024-09-07 10:01:01:643,1151847,1151847,0,0,540860399822,5642721260,1143578,6978,1291,384,391964,0 96,2,2024-09-07 10:01:01:267,832016,832016,0,0,34031968,0,4180 96,3,2024-09-07 10:01:01:157,1,656,5,0,411,7242,656,0 97,0,2024-09-07 10:01:01:326,160469,0.3,160345,0.5,321364,0.3,426826,1.50 97,1,2024-09-07 10:01:00:767,1153700,1153700,0,0,541765829520,5622482361,1146017,6446,1237,367,392140,0 97,2,2024-09-07 10:01:00:622,830706,830706,0,0,34360807,0,4600 97,3,2024-09-07 10:01:00:574,1,656,37,0,433,7895,656,0 98,0,2024-09-07 10:01:01:724,160558,0.3,160550,0.4,322559,0.2,428813,1.50 98,1,2024-09-07 10:01:00:590,1153079,1153079,0,0,541479638280,5641891959,1145662,6520,897,381,391997,0 98,2,2024-09-07 10:01:00:770,829129,829129,0,0,32659781,0,4336 98,3,2024-09-07 10:01:00:722,1,656,1,0,840,9364,656,0 99,0,2024-09-07 10:01:01:496,167333,0.3,168187,0.5,335067,0.3,446916,1.75 99,1,2024-09-07 10:01:01:725,1153955,1153955,0,0,541360748773,5634817828,1146496,6367,1092,380,392069,0 99,2,2024-09-07 10:01:01:429,828168,828168,0,0,41885688,0,4276 99,3,2024-09-07 10:01:00:585,1,656,10,0,1124,8252,656,0 100,0,2024-09-07 10:01:01:462,166686,0.8,167195,0.9,334057,0.8,445812,2.50 100,1,2024-09-07 10:01:00:553,1146916,1146916,0,0,538637611059,5692564174,1129527,13893,3496,378,391989,0 100,2,2024-09-07 10:01:01:817,827604,827215,389,0,43435978,0,16909 100,3,2024-09-07 10:01:01:737,1,656,2,0,627,10512,656,0 101,0,2024-09-07 10:01:01:739,165548,1.0,161578,1.0,316024,0.9,431106,2.25 101,1,2024-09-07 10:01:00:558,1149315,1149315,0,0,539855406445,5672668212,1133759,12688,2868,368,391847,0 101,2,2024-09-07 10:01:01:764,824087,824087,0,0,43217676,0,4871 101,3,2024-09-07 10:01:00:968,1,656,2,0,1250,9684,656,0 102,0,2024-09-07 10:01:00:969,156646,0.6,161347,0.7,327826,0.6,428269,2.00 102,1,2024-09-07 10:01:01:142,1149153,1149153,0,0,539956676346,5669028748,1134211,12728,2214,369,391984,0 102,2,2024-09-07 10:01:01:748,829239,829185,54,0,37130790,0,6768 102,3,2024-09-07 10:01:01:614,1,656,3,0,466,7504,656,0 103,0,2024-09-07 10:01:01:626,170581,0.6,170605,0.7,321446,0.6,442774,2.00 103,1,2024-09-07 10:01:01:634,1147799,1147799,0,0,539141740829,5691035471,1129972,14209,3618,381,392077,0 103,2,2024-09-07 10:01:00:582,827860,827860,0,0,40276722,0,3766 103,3,2024-09-07 10:01:00:756,1,656,8,0,916,7656,656,0 104,0,2024-09-07 10:01:01:036,167129,0.7,167476,0.9,334013,0.7,446362,2.25 104,1,2024-09-07 10:01:01:614,1150463,1150463,0,0,539428958195,5669081484,1133068,14150,3245,365,392168,0 104,2,2024-09-07 10:01:01:676,828065,828065,0,0,39722459,0,4161 104,3,2024-09-07 10:01:01:416,1,656,43,0,1245,11107,656,0 105,0,2024-09-07 10:01:01:061,162668,0.9,158568,1.0,332378,0.9,436294,2.50 105,1,2024-09-07 10:01:00:557,1152387,1152387,0,0,540936339240,5664332522,1138372,12059,1956,364,392009,0 105,2,2024-09-07 10:01:01:321,827333,827333,0,0,40423490,0,4360 105,3,2024-09-07 10:01:01:317,1,656,12,0,573,9878,656,0 106,0,2024-09-07 10:01:00:949,156236,0.9,160085,0.9,327790,1.0,427931,2.50 106,1,2024-09-07 10:01:01:750,1150127,1150127,0,0,539474410603,5659814212,1134342,13758,2027,368,391914,0 106,2,2024-09-07 10:01:00:769,828299,828299,0,0,37428038,0,3331 106,3,2024-09-07 10:01:00:684,1,656,4,0,1224,9030,656,0 107,0,2024-09-07 10:01:01:116,162600,1.0,162689,1.0,325280,1.2,434510,2.25 107,1,2024-09-07 10:01:00:595,1148071,1148071,0,0,537981818498,5684150894,1129915,15972,2184,381,392234,0 107,2,2024-09-07 10:01:01:292,826833,826832,1,0,41099271,0,5024 107,3,2024-09-07 10:01:01:762,1,656,12,0,733,9727,656,0 108,0,2024-09-07 10:01:01:905,168231,0.4,169056,0.6,337007,0.4,449036,1.75 108,1,2024-09-07 10:01:01:293,1151444,1151444,0,0,540981616647,5644544224,1141666,8694,1084,367,391894,0 108,2,2024-09-07 10:01:02:018,829902,829902,0,0,37008615,0,4432 108,3,2024-09-07 10:01:01:347,1,656,10,0,767,12251,656,0 109,0,2024-09-07 10:01:01:776,167682,0.4,166230,0.6,333496,0.3,445048,1.75 109,1,2024-09-07 10:01:00:587,1149478,1149478,0,0,539911391654,5665584501,1139037,8941,1500,382,392132,0 109,2,2024-09-07 10:01:00:925,827954,827954,0,0,36484798,0,3617 109,3,2024-09-07 10:01:01:142,1,656,5,0,630,7689,656,0 110,0,2024-09-07 10:01:01:832,160338,0.4,155926,0.6,326537,0.3,428381,1.75 110,1,2024-09-07 10:01:01:657,1153909,1153909,0,0,541680536232,5623376191,1145161,6723,2025,368,392045,0 110,2,2024-09-07 10:01:01:309,830484,830484,0,0,35366091,0,4067 110,3,2024-09-07 10:01:00:704,1,656,14,0,722,8758,656,0 111,0,2024-09-07 10:01:01:417,162483,0.4,161660,0.5,322954,0.3,431605,1.75 111,1,2024-09-07 10:01:01:006,1155129,1155129,0,0,543002063572,5635489168,1148649,6092,388,380,391690,0 111,2,2024-09-07 10:01:01:119,829875,829875,0,0,35243962,0,4823 111,3,2024-09-07 10:01:00:914,1,656,8,0,379,7315,656,0 112,0,2024-09-07 10:01:00:909,168495,0.3,167928,0.4,336585,0.2,446979,1.50 112,1,2024-09-07 10:01:00:850,1154106,1154106,0,0,541778816693,5624879263,1146635,6246,1225,380,391624,0 112,2,2024-09-07 10:01:01:141,829227,829226,1,0,33370183,0,5036 112,3,2024-09-07 10:01:00:593,1,656,154,0,282,6556,656,0 113,0,2024-09-07 10:01:00:892,167016,0.3,167071,0.5,334657,0.2,445553,1.75 113,1,2024-09-07 10:01:01:691,1156958,1156958,0,0,544190839745,5615347002,1150136,5872,950,365,391664,0 113,2,2024-09-07 10:01:01:303,833923,833923,0,0,30924316,0,3813 113,3,2024-09-07 10:01:00:684,1,656,29,0,510,6832,656,0 114,0,2024-09-07 10:01:00:883,161459,0.3,162472,0.5,324104,0.2,432270,1.75 114,1,2024-09-07 10:01:00:716,1153756,1153756,0,0,541626526175,5623273572,1145310,6678,1768,381,391565,0 114,2,2024-09-07 10:01:00:881,831208,831207,1,0,32677604,0,5069 114,3,2024-09-07 10:01:01:279,1,656,5,0,415,5483,656,0 115,0,2024-09-07 10:01:00:559,161786,0.3,162252,0.4,323294,0.2,430462,1.50 115,1,2024-09-07 10:01:00:575,1153904,1153904,0,0,542068089139,5631719601,1144475,7639,1790,382,391757,0 115,2,2024-09-07 10:01:01:125,830674,830674,0,0,32509420,0,4382 115,3,2024-09-07 10:01:01:007,1,656,8,0,173,4219,656,0 116,0,2024-09-07 10:01:01:759,165581,0.7,165452,0.8,331833,0.7,443606,2.00 116,1,2024-09-07 10:01:00:808,1147672,1147672,0,0,539693156415,5685654956,1134116,10349,3207,380,392089,0 116,2,2024-09-07 10:01:01:763,829252,829252,0,0,39949869,0,4475 116,3,2024-09-07 10:01:00:923,1,656,3,0,448,8555,656,0 117,0,2024-09-07 10:01:00:966,168161,0.7,167712,0.8,335629,0.7,448293,2.00 117,1,2024-09-07 10:01:01:620,1150099,1150099,0,0,539872286626,5650761490,1137776,10742,1581,369,392429,0 117,2,2024-09-07 10:01:01:119,832703,832703,0,0,36248141,0,4303 117,3,2024-09-07 10:01:01:060,1,656,1,0,490,8077,656,0 118,0,2024-09-07 10:01:01:781,158322,0.5,162681,0.7,331906,0.5,433806,2.00 118,1,2024-09-07 10:01:00:588,1149003,1149003,0,0,538637597741,5660786285,1132178,13006,3819,366,392054,0 118,2,2024-09-07 10:01:01:598,827133,827133,0,0,38783599,0,2842 118,3,2024-09-07 10:01:01:776,1,656,8,0,343,7980,656,0 119,0,2024-09-07 10:01:01:411,161139,0.7,161619,0.8,322649,0.8,429799,2.25 119,1,2024-09-07 10:01:00:561,1150301,1150301,0,0,540247425923,5662953930,1135407,12379,2515,367,391857,0 119,2,2024-09-07 10:01:01:261,829622,829622,0,0,35934713,0,4309 119,3,2024-09-07 10:01:01:344,1,656,5,0,1358,11849,656,0 120,0,2024-09-07 10:01:01:566,162898,0.7,162673,0.8,326909,0.7,435906,2.25 120,1,2024-09-07 10:01:00:894,1150861,1150861,0,0,539742063794,5659429194,1138479,11225,1157,367,392144,0 120,2,2024-09-07 10:01:00:775,829141,829138,3,0,43459275,0,5363 120,3,2024-09-07 10:01:01:297,1,656,18,0,478,8440,656,0 121,0,2024-09-07 10:01:01:712,168573,1.2,168039,1.0,336108,1.5,448078,2.25 121,1,2024-09-07 10:01:01:670,1150557,1150557,0,0,540491803537,5655505288,1138236,10479,1842,366,391840,0 121,2,2024-09-07 10:01:01:127,829988,829988,0,0,39661693,0,4157 121,3,2024-09-07 10:01:00:732,1,656,1,0,387,8483,656,0 122,0,2024-09-07 10:01:01:835,165603,0.7,161121,0.8,337205,0.8,443334,2.00 122,1,2024-09-07 10:01:00:865,1149945,1149945,0,0,540224803913,5665962159,1134688,12838,2419,365,392130,0 122,2,2024-09-07 10:01:01:338,828934,828859,75,0,42991842,0,5989 122,3,2024-09-07 10:01:00:594,1,656,5,0,512,10099,656,0 123,0,2024-09-07 10:01:00:968,160611,0.8,156478,0.9,327966,0.9,429344,2.25 123,1,2024-09-07 10:01:00:576,1150294,1150294,0,0,540141579041,5678686466,1132167,15254,2873,369,392039,0 123,2,2024-09-07 10:01:01:019,827981,827980,1,0,37087260,0,5215 123,3,2024-09-07 10:01:01:132,1,656,9,0,478,7367,656,0 124,0,2024-09-07 10:01:00:944,166283,0.3,166342,0.5,313481,0.3,431708,1.75 124,1,2024-09-07 10:01:01:022,1153711,1153711,0,0,540517817571,5620325130,1144997,7482,1232,365,392178,0 124,2,2024-09-07 10:01:01:024,832107,832054,53,0,34673940,0,6487 124,3,2024-09-07 10:01:00:757,1,656,15,0,490,6548,656,0 125,0,2024-09-07 10:01:01:451,168225,0.4,168181,0.6,336822,0.3,449375,1.75 125,1,2024-09-07 10:01:00:880,1151202,1151202,0,0,540847668678,5652067762,1140934,8758,1510,382,392045,0 125,2,2024-09-07 10:01:01:124,831659,831659,0,0,34481616,0,4534 125,3,2024-09-07 10:01:01:130,1,656,2,0,709,7472,656,0 126,0,2024-09-07 10:01:01:426,167522,0.4,172253,0.5,329287,0.3,446424,1.75 126,1,2024-09-07 10:01:00:577,1154739,1154739,0,0,541820468753,5614178690,1147933,6127,679,365,391987,0 126,2,2024-09-07 10:01:00:614,832243,832243,0,0,35460488,0,4539 126,3,2024-09-07 10:01:00:917,1,656,19,0,268,7538,656,0 127,0,2024-09-07 10:01:01:594,160785,0.3,161003,0.5,321291,0.3,427142,1.75 127,1,2024-09-07 10:01:00:590,1152549,1152549,0,0,540800033897,5629637929,1140498,10360,1691,364,392187,0 127,2,2024-09-07 10:01:00:639,830359,830355,4,0,33620035,0,5305 127,3,2024-09-07 10:01:01:267,1,656,10,0,968,6406,656,0 128,0,2024-09-07 10:01:01:556,161625,0.3,161596,0.4,323213,0.2,429617,1.50 128,1,2024-09-07 10:01:01:620,1152362,1152362,0,0,541134211592,5627852673,1143515,7879,968,367,392423,0 128,2,2024-09-07 10:01:01:386,831601,831601,0,0,31566546,0,3171 128,3,2024-09-07 10:01:00:772,1,656,0,0,1082,9959,656,0 129,0,2024-09-07 10:01:01:030,168555,0.3,167806,0.5,336737,0.3,447653,1.50 129,1,2024-09-07 10:01:00:580,1149167,1149167,0,0,540401976841,5669366871,1136556,10284,2327,379,391962,0 129,2,2024-09-07 10:01:00:686,830627,830623,4,0,34204107,0,5335 129,3,2024-09-07 10:01:00:693,1,656,2,0,506,9275,656,0 130,0,2024-09-07 10:01:01:723,167872,0.4,167660,0.6,335636,0.4,446758,1.75 130,1,2024-09-07 10:01:00:615,1154333,1154333,0,0,541440603955,5621683676,1148726,5201,406,381,391825,0 130,2,2024-09-07 10:01:01:124,831846,831846,0,0,34318898,0,4067 130,3,2024-09-07 10:01:01:293,1,656,2,0,960,8557,656,0 131,0,2024-09-07 10:01:01:948,162061,0.3,162527,0.5,325936,0.3,432632,1.50 131,1,2024-09-07 10:01:01:830,1153276,1153276,0,0,540843781584,5637633242,1145273,6801,1202,381,391865,0 131,2,2024-09-07 10:01:00:584,829459,829459,0,0,31449687,0,3979 131,3,2024-09-07 10:01:01:702,1,656,7,0,392,8358,656,0 132,0,2024-09-07 10:01:01:436,161385,0.5,162395,0.6,323026,0.4,429600,2.00 132,1,2024-09-07 10:01:00:581,1148738,1148738,0,0,539214246313,5673466027,1132621,13339,2778,381,392532,0 132,2,2024-09-07 10:01:00:698,828514,828497,17,0,40378509,0,6451 132,3,2024-09-07 10:01:01:692,1,656,0,0,1298,11479,656,0 133,0,2024-09-07 10:01:01:582,161010,0.4,164849,0.6,338038,0.4,440590,2.00 133,1,2024-09-07 10:01:00:599,1148863,1148863,0,0,539482669200,5681920554,1133722,13210,1931,383,391914,0 133,2,2024-09-07 10:01:01:086,830977,830927,50,0,41415626,0,6861 133,3,2024-09-07 10:01:01:303,1,656,0,0,528,7728,656,0 134,0,2024-09-07 10:01:00:998,168921,0.5,168948,0.7,337747,0.5,449762,2.25 134,1,2024-09-07 10:01:00:592,1149878,1149878,0,0,539483523536,5659469720,1134767,11935,3176,366,391781,0 134,2,2024-09-07 10:01:01:762,830619,830595,24,0,38170187,0,6207 134,3,2024-09-07 10:01:00:756,1,656,2,0,739,8147,656,0 135,0,2024-09-07 10:01:01:117,159357,0.7,159356,0.8,338384,0.8,435541,2.25 135,1,2024-09-07 10:01:01:589,1150020,1150020,0,0,540091806096,5678010288,1135745,12426,1849,380,392038,0 135,2,2024-09-07 10:01:00:700,829522,829522,0,0,39235196,0,4503 135,3,2024-09-07 10:01:01:005,1,656,2,0,900,6266,656,0 136,0,2024-09-07 10:01:01:647,162118,0.6,162635,0.8,322973,0.6,431224,2.00 136,1,2024-09-07 10:01:01:441,1151146,1151146,0,0,540251368333,5658644260,1138999,10752,1395,381,392135,0 136,2,2024-09-07 10:01:01:133,830873,830858,15,0,38712550,0,6007 136,3,2024-09-07 10:01:01:106,1,656,35,0,637,7657,656,0 137,0,2024-09-07 10:01:00:973,167933,0.6,163687,0.8,320671,0.7,436101,2.00 137,1,2024-09-07 10:01:00:589,1149954,1149954,0,0,540377658921,5664955982,1132508,13940,3506,366,391898,0 137,2,2024-09-07 10:01:01:722,829198,829198,0,0,39948726,0,3185 137,3,2024-09-07 10:01:00:772,1,656,1,0,484,8916,656,0 138,0,2024-09-07 10:01:01:750,167567,1.0,167922,0.9,336472,1.2,447384,2.25 138,1,2024-09-07 10:01:01:693,1150099,1150099,0,0,540338407267,5669945760,1133866,13595,2638,368,391954,0 138,2,2024-09-07 10:01:00:590,828587,828587,0,0,38539039,0,4988 138,3,2024-09-07 10:01:00:622,1,656,143,0,1200,9950,656,0 139,0,2024-09-07 10:01:01:360,165433,0.9,166038,0.9,331767,1.3,443286,2.25 139,1,2024-09-07 10:01:00:587,1145639,1145639,0,0,537413851085,5695130457,1126329,15424,3886,380,392109,0 139,2,2024-09-07 10:01:00:698,823874,823844,30,0,43671649,0,5997 139,3,2024-09-07 10:01:01:667,1,656,7,0,432,7708,656,0 140,0,2024-09-07 10:01:01:593,160860,0.3,160131,0.5,321433,0.2,428582,1.75 140,1,2024-09-07 10:01:01:537,1157629,1157629,0,0,543504212347,5607634582,1151469,5423,737,364,391628,0 140,2,2024-09-07 10:01:00:687,830425,830424,1,0,32008822,0,5036 140,3,2024-09-07 10:01:00:767,1,656,0,0,575,6645,656,0 141,0,2024-09-07 10:01:01:701,162008,0.3,166557,0.5,318358,0.3,431173,1.75 141,1,2024-09-07 10:01:00:860,1154138,1154138,0,0,541722998497,5631990263,1144180,8572,1386,379,391614,0 141,2,2024-09-07 10:01:01:702,830733,830722,11,0,34306695,0,5369 141,3,2024-09-07 10:01:01:048,1,656,16,0,391,7542,656,0 142,0,2024-09-07 10:01:01:313,168512,0.3,167665,0.5,335958,0.3,447823,1.50 142,1,2024-09-07 10:01:00:591,1152388,1152388,0,0,540719434028,5639735878,1144378,7341,669,382,392102,0 142,2,2024-09-07 10:01:01:303,828239,828207,32,0,34517257,0,6028 142,3,2024-09-07 10:01:01:748,1,656,14,0,484,6829,656,0 143,0,2024-09-07 10:01:01:379,167134,0.4,166947,0.5,334990,0.4,445466,1.75 143,1,2024-09-07 10:01:00:556,1155103,1155103,0,0,542622565039,5631023707,1147496,6705,902,367,391900,0 143,2,2024-09-07 10:01:00:771,832580,832580,0,0,34186435,0,3123 143,3,2024-09-07 10:01:01:141,1,656,4,0,462,7664,656,0 144,0,2024-09-07 10:01:01:509,156463,0.6,160979,0.7,327632,0.5,428609,2.00 144,1,2024-09-07 10:01:00:571,1149453,1149453,0,0,538629713825,5653645765,1137859,9553,2041,381,391733,0 144,2,2024-09-07 10:01:01:762,830504,830504,0,0,33935955,0,4443 144,3,2024-09-07 10:01:01:740,1,656,1,0,306,7001,656,0 145,0,2024-09-07 10:01:01:359,155874,0.5,155851,0.8,330816,0.5,425938,2.25 145,1,2024-09-07 10:01:00:563,1148409,1148409,0,0,539644653481,5677554403,1133254,12400,2755,382,391781,0 145,2,2024-09-07 10:01:01:428,827424,827342,82,0,39515274,0,7814 145,3,2024-09-07 10:01:00:907,1,656,8,0,622,8746,656,0 146,0,2024-09-07 10:01:01:639,166202,0.6,165202,0.8,332414,0.6,441705,2.25 146,1,2024-09-07 10:01:01:603,1150405,1150405,0,0,539951050210,5679087822,1131847,13738,4820,367,391770,0 146,2,2024-09-07 10:01:01:722,827638,827632,6,0,38017908,0,5151 146,3,2024-09-07 10:01:01:284,1,656,4,0,1520,10793,656,0 147,0,2024-09-07 10:01:01:701,168075,0.6,167725,0.7,335553,0.6,447340,2.00 147,1,2024-09-07 10:01:01:382,1154007,1154007,0,0,541095183868,5623498797,1144785,8182,1040,367,391791,0 147,2,2024-09-07 10:01:01:014,830885,830885,0,0,34441484,0,3359 147,3,2024-09-07 10:01:00:914,1,656,12,0,1626,10072,656,0 0,0,2024-09-07 10:01:11:710,159456,0.6,159389,0.7,338197,0.6,437121,2.00 0,1,2024-09-07 10:01:10:823,1152673,1152673,0,0,540952542692,5664269597,1143101,8612,960,368,391896,0 0,2,2024-09-07 10:01:11:080,832124,832124,0,0,33677373,0,4480 0,3,2024-09-07 10:01:10:987,1,657,1,0,431,9029,657,0 1,0,2024-09-07 10:01:11:812,168425,0.8,167440,0.9,336030,1.0,449326,2.00 1,1,2024-09-07 10:01:10:563,1151184,1151184,0,0,540562303671,5665316673,1140007,9213,1964,370,391859,0 1,2,2024-09-07 10:01:10:648,832299,832299,0,0,33212405,0,3380 1,3,2024-09-07 10:01:11:309,1,657,35,0,269,7892,657,0 2,0,2024-09-07 10:01:11:566,166258,0.6,165859,0.7,331558,0.6,442252,2.00 2,1,2024-09-07 10:01:10:870,1155065,1155065,0,0,543031307917,5647091997,1148297,5809,959,379,391805,0 2,2,2024-09-07 10:01:11:268,830880,830880,0,0,31408846,0,3594 2,3,2024-09-07 10:01:10:697,1,657,1,0,357,5625,657,0 3,0,2024-09-07 10:01:11:766,161562,0.4,161565,0.6,322790,0.4,429974,2.00 3,1,2024-09-07 10:01:11:633,1153808,1153808,0,0,541327200926,5631453736,1145503,7587,718,379,391716,0 3,2,2024-09-07 10:01:11:152,831669,831646,23,0,32799995,0,5851 3,3,2024-09-07 10:01:11:759,1,657,13,0,484,5022,657,0 4,0,2024-09-07 10:01:11:882,157385,0.4,161729,0.5,329740,0.3,431386,1.75 4,1,2024-09-07 10:01:10:599,1148436,1148436,0,0,539019754866,5704365813,1128864,14999,4573,369,391992,0 4,2,2024-09-07 10:01:11:036,829368,829368,0,0,40186998,0,4534 4,3,2024-09-07 10:01:11:051,1,657,4,0,448,8700,657,0 5,0,2024-09-07 10:01:11:463,168724,0.4,168555,0.6,337490,0.4,449626,1.75 5,1,2024-09-07 10:01:10:759,1149951,1149951,0,0,539927744604,5698187583,1132512,13205,4234,367,392005,0 5,2,2024-09-07 10:01:11:861,827793,827793,0,0,38971389,0,3582 5,3,2024-09-07 10:01:11:753,1,657,7,0,457,8669,657,0 6,0,2024-09-07 10:01:10:933,167502,0.5,166987,0.6,334101,0.4,445465,2.00 6,1,2024-09-07 10:01:10:751,1152655,1152655,0,0,541626328433,5653481678,1141054,10011,1590,379,391702,0 6,2,2024-09-07 10:01:11:121,831390,831372,18,0,36856117,0,5535 6,3,2024-09-07 10:01:11:283,1,657,4,0,710,7822,657,0 7,0,2024-09-07 10:01:11:539,160000,0.5,161126,0.7,319765,0.5,426421,2.00 7,1,2024-09-07 10:01:10:855,1150321,1150321,0,0,540095488803,5684405387,1132758,13428,4135,382,391747,0 7,2,2024-09-07 10:01:10:775,830701,830701,0,0,35798860,0,4791 7,3,2024-09-07 10:01:10:874,1,657,18,0,552,7581,657,0 8,0,2024-09-07 10:01:11:402,161720,0.4,161255,0.5,323046,0.3,430751,1.75 8,1,2024-09-07 10:01:11:030,1149464,1149464,0,0,540015573200,5675694215,1131862,13879,3723,366,392853,0 8,2,2024-09-07 10:01:10:805,825435,825433,2,0,42763570,0,5112 8,3,2024-09-07 10:01:10:616,1,657,1,0,772,9995,657,0 9,0,2024-09-07 10:01:11:235,167768,0.4,162987,0.5,341087,0.3,447635,1.75 9,1,2024-09-07 10:01:10:561,1149231,1149231,0,0,539681289180,5690897129,1130523,14602,4106,369,392001,0 9,2,2024-09-07 10:01:11:097,828557,828556,1,0,40631635,0,5281 9,3,2024-09-07 10:01:11:781,1,657,10,0,1273,10886,657,0 10,0,2024-09-07 10:01:11:634,167254,0.4,166633,0.5,334324,0.3,445076,1.75 10,1,2024-09-07 10:01:10:588,1151534,1151534,0,0,540411205173,5671021261,1134295,13710,3529,381,391981,0 10,2,2024-09-07 10:01:10:770,832231,832231,0,0,43669511,0,4713 10,3,2024-09-07 10:01:10:871,1,657,1,0,669,7147,657,0 11,0,2024-09-07 10:01:11:018,161922,0.4,157217,0.6,329074,0.4,432853,1.75 11,1,2024-09-07 10:01:10:575,1153613,1153613,0,0,541195563971,5675576261,1137084,12221,4308,383,391766,0 11,2,2024-09-07 10:01:11:134,829411,829411,0,0,37828863,0,4698 11,3,2024-09-07 10:01:11:300,1,657,21,0,843,8198,657,0 12,0,2024-09-07 10:01:10:981,162448,0.4,162275,0.5,324118,0.4,430965,1.75 12,1,2024-09-07 10:01:10:970,1152774,1152774,0,0,540836143783,5643488835,1143265,8484,1025,370,391960,0 12,2,2024-09-07 10:01:11:544,828429,828429,0,0,37738524,0,4390 12,3,2024-09-07 10:01:11:070,1,657,1,0,386,8291,657,0 13,0,2024-09-07 10:01:11:370,166456,0.4,166676,0.6,332372,0.4,443234,1.75 13,1,2024-09-07 10:01:11:535,1150136,1150136,0,0,540546720054,5686175365,1137653,9649,2834,382,391803,0 13,2,2024-09-07 10:01:10:609,832579,832579,0,0,34079482,0,3287 13,3,2024-09-07 10:01:11:845,1,657,0,0,522,8822,657,0 14,0,2024-09-07 10:01:10:568,168349,0.4,169384,0.6,336743,0.4,448197,1.75 14,1,2024-09-07 10:01:11:563,1158128,1158128,0,0,543895871002,5628458824,1149101,7894,1133,364,391673,0 14,2,2024-09-07 10:01:10:768,832512,832482,30,0,35096313,0,6104 14,3,2024-09-07 10:01:11:115,1,657,1,0,1168,6939,657,0 15,0,2024-09-07 10:01:11:557,164675,0.4,163879,0.6,328000,0.3,437268,1.75 15,1,2024-09-07 10:01:11:620,1154761,1154761,0,0,542664872220,5654178770,1144614,8138,2009,381,391619,0 15,2,2024-09-07 10:01:11:006,831466,831466,0,0,30970027,0,3622 15,3,2024-09-07 10:01:11:406,1,657,8,0,1126,8417,657,0 16,0,2024-09-07 10:01:10:945,161784,0.6,162553,0.8,324066,0.6,431231,2.00 16,1,2024-09-07 10:01:10:565,1154146,1154146,0,0,541222263598,5659079853,1143649,8955,1542,370,392194,0 16,2,2024-09-07 10:01:11:449,829967,829967,0,0,34580355,0,4719 16,3,2024-09-07 10:01:11:147,1,657,12,0,358,7928,657,0 17,0,2024-09-07 10:01:11:779,168271,0.7,163974,0.8,321322,0.7,437144,2.00 17,1,2024-09-07 10:01:10:584,1152369,1152369,0,0,540843366698,5671377072,1141383,9192,1794,368,392075,0 17,2,2024-09-07 10:01:11:670,834332,834331,1,0,36004831,0,5050 17,3,2024-09-07 10:01:10:575,1,657,8,0,518,9508,657,0 18,0,2024-09-07 10:01:10:964,166929,0.7,168054,0.8,334393,0.7,446499,2.25 18,1,2024-09-07 10:01:11:640,1156874,1156874,0,0,542467160812,5626399155,1149277,6332,1265,367,391725,0 18,2,2024-09-07 10:01:11:756,833572,833572,0,0,32254711,0,3541 18,3,2024-09-07 10:01:10:926,1,657,1,0,1059,5957,657,0 19,0,2024-09-07 10:01:11:540,166333,0.6,166727,0.8,332439,0.7,441907,2.00 19,1,2024-09-07 10:01:10:574,1156270,1156270,0,0,542969488020,5628677488,1147437,7673,1160,365,391777,0 19,2,2024-09-07 10:01:11:758,833428,833428,0,0,29976560,0,3988 19,3,2024-09-07 10:01:11:129,1,657,8,0,524,5072,657,0 20,0,2024-09-07 10:01:11:364,160636,0.5,160561,0.7,321002,0.5,428307,2.00 20,1,2024-09-07 10:01:10:575,1152243,1152243,0,0,540777350421,5667235377,1140528,10114,1601,369,391922,0 20,2,2024-09-07 10:01:10:946,830917,830917,0,0,37326574,0,4321 20,3,2024-09-07 10:01:10:621,1,657,12,0,468,10406,657,0 21,0,2024-09-07 10:01:11:166,162154,0.5,162149,0.6,324201,0.4,430757,2.00 21,1,2024-09-07 10:01:11:546,1150345,1150345,0,0,539333756724,5691196901,1132442,13945,3958,368,392016,0 21,2,2024-09-07 10:01:11:093,825101,824624,477,0,48473254,0,17074 21,3,2024-09-07 10:01:11:414,1,657,10,0,713,8933,657,0 22,0,2024-09-07 10:01:11:722,167833,0.4,168484,0.6,336590,0.4,446752,2.00 22,1,2024-09-07 10:01:11:034,1150678,1150678,0,0,540121570131,5682412439,1132031,15057,3590,381,391822,0 22,2,2024-09-07 10:01:10:774,829145,829119,26,0,36137936,0,6328 22,3,2024-09-07 10:01:11:078,1,657,3,0,228,5464,657,0 23,0,2024-09-07 10:01:11:370,166977,0.5,166785,0.7,333525,0.4,444833,2.00 23,1,2024-09-07 10:01:11:008,1152120,1152120,0,0,541941395823,5704667930,1131673,13717,6730,365,391690,0 23,2,2024-09-07 10:01:11:099,832442,832442,0,0,34044406,0,3773 23,3,2024-09-07 10:01:11:753,1,657,0,0,855,9469,657,0 24,0,2024-09-07 10:01:10:862,162453,0.4,161546,0.5,324851,0.4,431167,1.75 24,1,2024-09-07 10:01:10:607,1151634,1151634,0,0,540546116758,5656633533,1140957,8899,1778,367,392269,0 24,2,2024-09-07 10:01:11:084,830315,830312,3,0,40799064,0,6294 24,3,2024-09-07 10:01:11:686,1,657,12,0,468,8480,657,0 25,0,2024-09-07 10:01:11:348,165840,0.4,161409,0.6,317319,0.4,431926,1.75 25,1,2024-09-07 10:01:10:585,1149908,1149908,0,0,539336527269,5696657994,1130098,15901,3909,369,391928,0 25,2,2024-09-07 10:01:11:649,828318,828318,0,0,41861006,0,3978 25,3,2024-09-07 10:01:11:004,1,657,0,0,532,7222,657,0 26,0,2024-09-07 10:01:11:728,165842,0.4,162000,0.6,340207,0.3,443761,1.75 26,1,2024-09-07 10:01:11:542,1153347,1153347,0,0,541413559003,5668651268,1137865,12581,2901,380,391758,0 26,2,2024-09-07 10:01:10:876,829844,829844,0,0,44052394,0,4689 26,3,2024-09-07 10:01:11:718,1,657,10,0,796,8100,657,0 27,0,2024-09-07 10:01:11:736,167939,0.4,168717,0.6,335380,0.4,447303,2.25 27,1,2024-09-07 10:01:11:678,1154735,1154735,0,0,542000030568,5645558400,1144013,9105,1617,381,391626,0 27,2,2024-09-07 10:01:10:875,829320,829255,65,0,38635568,0,5699 27,3,2024-09-07 10:01:11:015,1,657,17,0,564,5551,657,0 28,0,2024-09-07 10:01:11:390,163526,0.4,163750,0.6,327523,0.3,435668,1.75 28,1,2024-09-07 10:01:10:813,1155512,1155512,0,0,542919102354,5660116555,1145836,7758,1918,382,391904,0 28,2,2024-09-07 10:01:11:773,830245,830245,0,0,34304722,0,2915 28,3,2024-09-07 10:01:11:793,1,657,1,0,502,6969,657,0 29,0,2024-09-07 10:01:11:365,166050,0.4,161766,0.6,317130,0.3,432175,1.75 29,1,2024-09-07 10:01:11:561,1158099,1158099,0,0,543785321413,5627075029,1150644,6435,1020,367,391809,0 29,2,2024-09-07 10:01:10:868,830212,830212,0,0,33035634,0,4986 29,3,2024-09-07 10:01:10:970,1,657,1,0,459,6896,657,0 30,0,2024-09-07 10:01:11:468,163300,0.5,158979,0.7,333076,0.5,435818,2.00 30,1,2024-09-07 10:01:10:574,1156646,1156646,0,0,544299791453,5650651310,1147607,7953,1086,380,391672,0 30,2,2024-09-07 10:01:11:283,833077,833077,0,0,31716850,0,4192 30,3,2024-09-07 10:01:10:591,1,657,0,0,519,6333,657,0 31,0,2024-09-07 10:01:11:761,168280,0.5,168958,0.7,336934,0.4,449398,2.00 31,1,2024-09-07 10:01:10:564,1161188,1161188,0,0,544175074191,5589524758,1153888,6111,1189,356,391712,0 31,2,2024-09-07 10:01:11:281,831328,831328,0,0,35869934,0,4470 31,3,2024-09-07 10:01:11:706,1,657,8,0,239,5698,657,0 32,0,2024-09-07 10:01:11:421,166352,0.3,167463,0.5,333349,0.2,443618,1.75 32,1,2024-09-07 10:01:10:805,1156394,1156394,0,0,543082767518,5645958002,1149060,6375,959,381,391646,0 32,2,2024-09-07 10:01:10:937,832405,832405,0,0,30863685,0,3922 32,3,2024-09-07 10:01:11:024,1,657,1,0,304,5569,657,0 33,0,2024-09-07 10:01:11:519,162272,0.3,161862,0.4,323817,0.2,431216,1.50 33,1,2024-09-07 10:01:10:589,1157812,1157812,0,0,543642993875,5631441205,1148585,7876,1351,368,391730,0 33,2,2024-09-07 10:01:10:766,831157,831122,35,0,34631376,0,7012 33,3,2024-09-07 10:01:10:897,1,657,0,0,329,6042,657,0 34,0,2024-09-07 10:01:10:935,162425,0.3,166835,0.5,319215,0.2,431269,1.75 34,1,2024-09-07 10:01:11:049,1159622,1159622,0,0,544918240018,5607761918,1155804,3610,208,367,391637,0 34,2,2024-09-07 10:01:10:770,832850,832850,0,0,32627416,0,4562 34,3,2024-09-07 10:01:11:690,1,657,18,0,541,5668,657,0 35,0,2024-09-07 10:01:10:857,168180,0.3,168724,0.5,338466,0.3,450564,1.75 35,1,2024-09-07 10:01:11:071,1155540,1155540,0,0,542525042354,5630230010,1146734,7254,1552,382,391769,0 35,2,2024-09-07 10:01:11:591,831426,831426,0,0,37192578,0,4055 35,3,2024-09-07 10:01:10:908,1,657,41,0,466,6375,657,0 36,0,2024-09-07 10:01:11:539,167872,0.5,168161,0.6,335820,0.4,447181,2.00 36,1,2024-09-07 10:01:10:593,1154135,1154135,0,0,541543284274,5651507762,1140129,11760,2246,366,391759,0 36,2,2024-09-07 10:01:11:754,831680,831680,0,0,37205861,0,3875 36,3,2024-09-07 10:01:10:871,1,657,1,0,556,8656,657,0 37,0,2024-09-07 10:01:11:377,159926,0.5,159963,0.7,320066,0.5,427050,2.25 37,1,2024-09-07 10:01:10:573,1153748,1153741,0,7,541416646528,5653200504,1140295,10524,2922,365,391770,0 37,2,2024-09-07 10:01:11:158,829109,829094,15,0,36796020,0,5815 37,3,2024-09-07 10:01:11:772,1,657,0,0,888,8866,657,0 38,0,2024-09-07 10:01:11:444,160579,0.4,155606,0.6,325454,0.3,426746,2.00 38,1,2024-09-07 10:01:11:611,1153477,1153477,0,0,542462522164,5670770747,1137052,13128,3297,368,391821,0 38,2,2024-09-07 10:01:10:767,830715,830668,47,0,37553773,0,6710 38,3,2024-09-07 10:01:11:005,1,657,1,0,689,7663,657,0 39,0,2024-09-07 10:01:11:776,171463,0.5,167623,0.7,327005,0.5,446370,2.00 39,1,2024-09-07 10:01:10:725,1153340,1153340,0,0,541128097357,5672934359,1134421,14724,4195,365,391865,0 39,2,2024-09-07 10:01:11:417,830043,830043,0,0,35802835,0,3391 39,3,2024-09-07 10:01:10:724,1,657,9,0,525,7347,657,0 40,0,2024-09-07 10:01:11:521,165697,0.9,166490,1.0,332377,1.0,444014,2.75 40,1,2024-09-07 10:01:10:593,1153451,1153451,0,0,540390888983,5661860633,1136043,14113,3295,366,391668,0 40,2,2024-09-07 10:01:11:309,829715,829708,7,0,41804071,0,5347 40,3,2024-09-07 10:01:11:144,1,657,1,0,1028,9356,657,0 41,0,2024-09-07 10:01:11:026,161273,1.2,165081,1.1,314846,1.7,427481,3.00 41,1,2024-09-07 10:01:10:777,1152662,1152662,0,0,541601218242,5663573593,1137995,12365,2302,369,391878,0 41,2,2024-09-07 10:01:10:763,826721,826720,1,0,40321497,0,5408 41,3,2024-09-07 10:01:11:679,1,657,0,0,366,7013,657,0 42,0,2024-09-07 10:01:11:505,160239,0.9,159904,1.0,320113,1.0,424858,2.50 42,1,2024-09-07 10:01:11:447,1150779,1150779,0,0,539815334090,5668287133,1133575,14248,2956,380,391675,0 42,2,2024-09-07 10:01:11:139,827514,827513,1,0,41814216,0,5513 42,3,2024-09-07 10:01:11:016,1,657,0,0,892,6794,657,0 43,0,2024-09-07 10:01:10:919,163907,0.7,159593,0.9,334396,0.8,438222,2.25 43,1,2024-09-07 10:01:10:591,1153516,1153516,0,0,541931161027,5661865465,1137715,13055,2746,366,391696,0 43,2,2024-09-07 10:01:11:752,831520,831520,0,0,38518512,0,4723 43,3,2024-09-07 10:01:11:749,1,657,1,0,571,9105,657,0 44,0,2024-09-07 10:01:10:870,168657,0.4,168882,0.6,336943,0.4,449201,2.00 44,1,2024-09-07 10:01:10:586,1156736,1156736,0,0,542490319624,5609774611,1146352,8311,2073,356,391809,0 44,2,2024-09-07 10:01:11:269,830816,830816,0,0,31758287,0,4344 44,3,2024-09-07 10:01:11:101,1,657,3,0,1097,8286,657,0 45,0,2024-09-07 10:01:11:754,162812,0.5,159037,0.7,333886,0.4,437283,2.00 45,1,2024-09-07 10:01:11:012,1155735,1155735,0,0,542307252117,5637362041,1146606,7909,1220,382,391917,0 45,2,2024-09-07 10:01:11:268,830633,830633,0,0,31658932,0,3596 45,3,2024-09-07 10:01:10:952,1,657,6,0,531,6157,657,0 46,0,2024-09-07 10:01:10:982,160986,0.5,160513,0.7,322093,0.5,427912,2.00 46,1,2024-09-07 10:01:10:593,1158229,1158229,0,0,544053903674,5625079503,1150134,7046,1049,366,391709,0 46,2,2024-09-07 10:01:10:598,831658,831658,0,0,33140869,0,4443 46,3,2024-09-07 10:01:11:138,1,657,1,0,908,7531,657,0 47,0,2024-09-07 10:01:11:115,163735,0.4,163446,0.6,328441,0.4,435489,2.00 47,1,2024-09-07 10:01:10:567,1159071,1159071,0,0,543823873272,5621237672,1151725,6333,1013,364,391641,0 47,2,2024-09-07 10:01:10:931,834832,834832,0,0,33207918,0,4477 47,3,2024-09-07 10:01:11:125,1,657,23,0,600,7063,657,0 48,0,2024-09-07 10:01:11:489,168993,0.3,169504,0.4,337875,0.2,449406,1.50 48,1,2024-09-07 10:01:11:024,1156195,1156195,0,0,543221148926,5644873365,1148690,6493,1012,381,391710,0 48,2,2024-09-07 10:01:10:714,831963,831963,0,0,30616518,0,3619 48,3,2024-09-07 10:01:10:758,1,657,3,0,339,5853,657,0 49,0,2024-09-07 10:01:11:712,171751,0.3,168214,0.5,327334,0.3,446441,1.75 49,1,2024-09-07 10:01:11:025,1155510,1155510,0,0,542296837218,5640279860,1147786,6028,1696,382,391809,0 49,2,2024-09-07 10:01:11:802,831907,831907,0,0,31985413,0,4426 49,3,2024-09-07 10:01:11:423,1,657,1,0,992,7847,657,0 50,0,2024-09-07 10:01:11:506,161258,0.3,159848,0.5,321902,0.2,428291,1.75 50,1,2024-09-07 10:01:11:017,1158521,1158521,0,0,544455648282,5629018158,1151127,6663,731,368,391691,0 50,2,2024-09-07 10:01:11:066,829951,829951,0,0,29842181,0,4490 50,3,2024-09-07 10:01:11:293,1,657,1,0,617,6617,657,0 51,0,2024-09-07 10:01:11:685,166371,0.3,162874,0.5,317069,0.2,432629,1.75 51,1,2024-09-07 10:01:11:683,1158691,1158691,0,0,545376392702,5624516667,1152058,5292,1341,365,391706,0 51,2,2024-09-07 10:01:11:317,831733,831733,0,0,29607472,0,3337 51,3,2024-09-07 10:01:11:045,1,657,9,0,678,4701,657,0 52,0,2024-09-07 10:01:11:422,168489,0.5,168553,0.6,336684,0.4,447602,2.00 52,1,2024-09-07 10:01:10:575,1153848,1153848,0,0,541538819883,5664317240,1138132,13332,2384,368,391805,0 52,2,2024-09-07 10:01:11:766,827012,826974,38,0,40462823,0,6742 52,3,2024-09-07 10:01:10:677,1,657,7,0,1782,7749,657,0 53,0,2024-09-07 10:01:11:737,166710,0.6,161868,0.8,338596,0.7,443744,2.25 53,1,2024-09-07 10:01:10:771,1151766,1151766,0,0,541899042841,5681291523,1132955,14403,4408,367,391968,0 53,2,2024-09-07 10:01:11:309,832693,832692,1,0,35131228,0,5455 53,3,2024-09-07 10:01:10:724,1,657,0,0,308,6018,657,0 54,0,2024-09-07 10:01:11:618,159663,0.6,159988,0.7,318753,0.5,425520,2.25 54,1,2024-09-07 10:01:10:587,1155034,1155034,0,0,542123008479,5634469621,1143724,9638,1672,366,391810,0 54,2,2024-09-07 10:01:10:872,830903,830871,32,0,39920178,0,6397 54,3,2024-09-07 10:01:10:767,1,657,2,0,676,8308,657,0 55,0,2024-09-07 10:01:11:761,155750,0.6,160665,0.8,325790,0.5,424575,2.50 55,1,2024-09-07 10:01:10:769,1155679,1155679,0,0,542276717351,5639024917,1143697,10496,1486,365,391731,0 55,2,2024-09-07 10:01:10:736,829192,829136,56,0,36673609,0,7239 55,3,2024-09-07 10:01:10:677,1,657,79,0,304,6064,657,0 56,0,2024-09-07 10:01:11:554,169879,1.1,160112,1.1,329761,1.4,441828,2.75 56,1,2024-09-07 10:01:10:579,1148647,1148647,0,0,539601489376,5703944470,1129862,15034,3751,381,391867,0 56,2,2024-09-07 10:01:11:310,829232,829110,122,0,39308964,0,7432 56,3,2024-09-07 10:01:11:064,1,657,2,0,705,8025,657,0 57,0,2024-09-07 10:01:11:005,166126,1.4,165968,1.2,332045,2.0,443511,3.00 57,1,2024-09-07 10:01:11:340,1151215,1151215,0,0,539909004557,5665735678,1135748,12777,2690,366,392032,0 57,2,2024-09-07 10:01:11:326,831198,831198,0,0,41602307,0,4804 57,3,2024-09-07 10:01:11:754,1,657,8,0,455,7234,657,0 58,0,2024-09-07 10:01:10:574,161009,0.9,156404,1.0,327403,1.1,428121,2.50 58,1,2024-09-07 10:01:10:576,1152348,1152345,0,3,541811758557,5680566415,1134672,13360,4313,367,391726,3 58,2,2024-09-07 10:01:11:074,830338,830338,0,0,38085356,0,3483 58,3,2024-09-07 10:01:11:078,1,657,2,0,1043,7238,657,0 59,0,2024-09-07 10:01:11:739,160156,0.8,159465,1.0,318911,0.9,423038,2.75 59,1,2024-09-07 10:01:10:806,1152177,1152177,0,0,540449653229,5678173524,1133228,15114,3835,369,391653,0 59,2,2024-09-07 10:01:10:591,830112,830112,0,0,36251720,0,3727 59,3,2024-09-07 10:01:11:744,1,657,8,0,1015,7851,657,0 60,0,2024-09-07 10:01:11:783,164130,0.5,164068,0.7,328481,0.5,438139,2.00 60,1,2024-09-07 10:01:10:779,1155832,1155832,0,0,543036788829,5652723818,1145776,8660,1396,370,392031,0 60,2,2024-09-07 10:01:11:147,832389,832389,0,0,34917012,0,3811 60,3,2024-09-07 10:01:11:258,1,657,47,0,409,7421,657,0 61,0,2024-09-07 10:01:11:571,168420,0.6,169087,0.8,336550,0.6,449107,2.00 61,1,2024-09-07 10:01:10:778,1153426,1153426,0,0,541316770846,5668512162,1141189,10461,1776,382,392127,0 61,2,2024-09-07 10:01:11:142,832012,831945,67,0,35820924,0,6411 61,3,2024-09-07 10:01:11:693,1,657,2,0,607,8236,657,0 62,0,2024-09-07 10:01:11:713,166917,0.6,171222,0.7,326836,0.6,443635,2.00 62,1,2024-09-07 10:01:11:116,1160395,1160389,0,6,544324651704,5617013405,1153861,6054,474,365,391975,6 62,2,2024-09-07 10:01:11:649,828142,828141,1,0,35168705,0,5555 62,3,2024-09-07 10:01:11:143,1,657,1,0,482,5280,657,0 63,0,2024-09-07 10:01:11:463,162244,0.4,161894,0.6,324647,0.3,431401,1.75 63,1,2024-09-07 10:01:10:804,1156685,1156679,0,6,542803552958,5637976213,1149101,6650,928,381,391800,6 63,2,2024-09-07 10:01:10:767,830461,830461,0,0,34280103,0,4369 63,3,2024-09-07 10:01:11:734,1,657,8,0,667,7126,657,0 64,0,2024-09-07 10:01:11:591,161401,0.5,161302,0.7,322425,0.4,429717,2.00 64,1,2024-09-07 10:01:10:761,1154767,1154767,0,0,543346061885,5657839052,1144702,8039,2026,370,391794,0 64,2,2024-09-07 10:01:11:160,835395,835376,19,0,33345507,0,6121 64,3,2024-09-07 10:01:11:146,1,657,29,0,651,7204,657,0 65,0,2024-09-07 10:01:11:680,167312,0.6,167698,0.7,334768,0.6,446903,2.00 65,1,2024-09-07 10:01:10:890,1153421,1153421,0,0,540888191933,5653338490,1145339,7185,897,381,391901,0 65,2,2024-09-07 10:01:11:712,829807,829807,0,0,39220224,0,3367 65,3,2024-09-07 10:01:11:685,1,657,0,0,782,7917,657,0 66,0,2024-09-07 10:01:11:773,167237,0.5,166576,0.7,333465,0.5,443839,2.00 66,1,2024-09-07 10:01:11:293,1155568,1155568,0,0,542729254758,5648376348,1148227,6470,871,380,391743,0 66,2,2024-09-07 10:01:11:137,833668,833665,3,0,34628184,0,5455 66,3,2024-09-07 10:01:11:091,1,657,1,0,291,5898,657,0 67,0,2024-09-07 10:01:11:424,160533,0.5,160133,0.7,321119,0.5,427548,2.00 67,1,2024-09-07 10:01:10:769,1155595,1155594,0,1,541733256479,5642964930,1147213,7241,1140,380,391787,1 67,2,2024-09-07 10:01:10:588,834254,834239,15,0,34637175,0,6205 67,3,2024-09-07 10:01:11:754,1,657,31,0,595,7088,657,0 68,0,2024-09-07 10:01:10:578,161294,0.6,161015,0.7,321007,0.5,429757,2.00 68,1,2024-09-07 10:01:10:577,1151250,1151250,0,0,540013520016,5679824674,1135478,11727,4045,381,391953,0 68,2,2024-09-07 10:01:11:045,826653,826553,100,0,42886752,0,8578 68,3,2024-09-07 10:01:10:732,1,657,1,0,417,8810,657,0 69,0,2024-09-07 10:01:11:764,167201,0.6,167793,0.8,334665,0.6,445243,2.25 69,1,2024-09-07 10:01:11:027,1150430,1150430,0,0,539814789298,5686185590,1135926,11526,2978,383,391994,0 69,2,2024-09-07 10:01:11:757,827347,827318,29,0,45934234,0,6912 69,3,2024-09-07 10:01:10:762,1,657,0,0,698,9507,657,0 70,0,2024-09-07 10:01:11:543,165469,0.8,166160,0.9,333342,0.7,442219,2.50 70,1,2024-09-07 10:01:10:807,1156872,1156872,0,0,543977366437,5643313677,1148263,7773,836,366,391725,0 70,2,2024-09-07 10:01:11:332,832312,832312,0,0,37646118,0,4323 70,3,2024-09-07 10:01:10:751,1,657,2,0,854,7431,657,0 71,0,2024-09-07 10:01:11:355,161025,0.9,160589,1.0,322537,1.0,429697,2.50 71,1,2024-09-07 10:01:11:607,1154956,1154956,0,0,541983620315,5654214035,1141169,12161,1626,367,391738,0 71,2,2024-09-07 10:01:11:071,829552,829552,0,0,37428732,0,4352 71,3,2024-09-07 10:01:11:754,1,657,7,0,644,7198,657,0 72,0,2024-09-07 10:01:11:109,166490,0.5,162579,0.7,317524,0.5,432015,2.00 72,1,2024-09-07 10:01:11:029,1152809,1152809,0,0,540683511704,5667989279,1136672,13449,2688,369,391819,0 72,2,2024-09-07 10:01:11:777,828281,828281,0,0,39469737,0,3983 72,3,2024-09-07 10:01:11:769,1,657,2,0,564,9324,657,0 73,0,2024-09-07 10:01:11:123,161422,0.4,165446,0.6,338153,0.4,440918,2.00 73,1,2024-09-07 10:01:10:772,1154633,1154633,0,0,542175397818,5634244713,1145837,7809,987,367,391858,0 73,2,2024-09-07 10:01:11:764,830476,830475,1,0,41268919,0,5027 73,3,2024-09-07 10:01:10:974,1,657,2,0,1091,9012,657,0 74,0,2024-09-07 10:01:11:335,169548,0.5,173536,0.7,331334,0.4,449482,2.00 74,1,2024-09-07 10:01:10:646,1153393,1153393,0,0,541038828731,5645260661,1141286,9967,2140,381,391762,0 74,2,2024-09-07 10:01:11:012,830974,830974,0,0,36373140,0,4253 74,3,2024-09-07 10:01:11:447,1,657,1,0,522,7601,657,0 75,0,2024-09-07 10:01:11:772,164763,0.5,163774,0.7,328767,0.5,438614,2.25 75,1,2024-09-07 10:01:11:594,1154973,1154973,0,0,542372009905,5650151408,1145592,8449,932,380,391739,0 75,2,2024-09-07 10:01:11:351,828572,828572,0,0,42931066,0,4766 75,3,2024-09-07 10:01:11:071,1,657,12,0,918,9074,657,0 76,0,2024-09-07 10:01:10:600,161414,0.5,160795,0.7,321355,0.5,429633,2.25 76,1,2024-09-07 10:01:10:806,1154209,1154209,0,0,541815784097,5652517065,1145787,7118,1304,382,391790,0 76,2,2024-09-07 10:01:11:068,832869,832866,3,0,35778809,0,5265 76,3,2024-09-07 10:01:11:153,1,657,15,0,227,5961,657,0 77,0,2024-09-07 10:01:11:784,162801,0.6,163167,0.7,326742,0.5,434512,2.00 77,1,2024-09-07 10:01:10:843,1154333,1154333,0,0,541616515951,5654236097,1144925,8354,1054,381,391869,0 77,2,2024-09-07 10:01:11:286,831112,831112,0,0,34877287,0,3890 77,3,2024-09-07 10:01:11:099,1,657,11,0,401,7663,657,0 78,0,2024-09-07 10:01:11:718,169283,0.4,168582,0.6,338400,0.4,447474,2.00 78,1,2024-09-07 10:01:10:610,1153505,1153505,0,0,541204724060,5650776166,1138595,11674,3236,367,391670,0 78,2,2024-09-07 10:01:11:411,832052,832039,13,0,32778136,0,8313 78,3,2024-09-07 10:01:11:138,1,657,1,0,311,5509,657,0 79,0,2024-09-07 10:01:11:349,161370,0.4,165325,0.6,338697,0.3,441009,2.00 79,1,2024-09-07 10:01:10:582,1157759,1157759,0,0,543065180847,5627554143,1147974,7985,1800,367,391682,0 79,2,2024-09-07 10:01:11:073,831483,831483,0,0,31496518,0,4195 79,3,2024-09-07 10:01:10:753,1,657,2,0,418,7458,657,0 80,0,2024-09-07 10:01:11:085,160824,0.5,165166,0.7,315492,0.5,427324,2.00 80,1,2024-09-07 10:01:11:642,1154068,1154068,0,0,542329807637,5646687886,1146404,7116,548,368,392269,0 80,2,2024-09-07 10:01:11:099,833677,833677,0,0,32432397,0,4433 80,3,2024-09-07 10:01:10:593,1,657,28,0,681,7883,657,0 81,0,2024-09-07 10:01:11:559,162032,0.6,165657,0.7,316331,0.6,429522,2.00 81,1,2024-09-07 10:01:11:658,1153344,1153344,0,0,540707502247,5651317943,1144049,8325,970,382,391885,0 81,2,2024-09-07 10:01:11:191,830707,830644,63,0,35708922,0,5932 81,3,2024-09-07 10:01:11:128,1,657,4,0,719,7201,657,0 82,0,2024-09-07 10:01:11:551,168015,0.5,168042,0.7,336701,0.4,446970,2.00 82,1,2024-09-07 10:01:10:587,1155353,1155349,0,4,542654742058,5643256692,1148894,5413,1042,381,391768,4 82,2,2024-09-07 10:01:11:694,832941,832941,0,0,30024453,0,4484 82,3,2024-09-07 10:01:11:755,1,657,1,0,363,5996,657,0 83,0,2024-09-07 10:01:11:543,167345,0.6,167202,0.7,334118,0.6,444071,2.25 83,1,2024-09-07 10:01:10:556,1154028,1154028,0,0,541750883323,5648880799,1145494,7539,995,382,391709,0 83,2,2024-09-07 10:01:10:768,832644,832619,25,0,32330514,0,5612 83,3,2024-09-07 10:01:10:750,1,657,8,0,1260,7797,657,0 84,0,2024-09-07 10:01:11:788,160028,0.7,160065,0.9,320100,0.7,427273,2.25 84,1,2024-09-07 10:01:11:046,1152555,1152555,0,0,540800041741,5655617629,1139535,11135,1885,367,391967,0 84,2,2024-09-07 10:01:10:591,829224,828814,410,0,46181989,0,17037 84,3,2024-09-07 10:01:11:153,1,657,1,0,908,9085,657,0 85,0,2024-09-07 10:01:11:036,155020,0.6,155040,0.8,329142,0.5,424865,2.25 85,1,2024-09-07 10:01:10:563,1149732,1149732,0,0,540405289177,5699494100,1133043,13885,2804,381,392092,0 85,2,2024-09-07 10:01:10:879,829587,829587,0,0,39771568,0,4255 85,3,2024-09-07 10:01:10:701,1,657,16,0,789,7379,657,0 86,0,2024-09-07 10:01:10:961,166117,0.7,170846,0.8,326893,0.7,442242,2.25 86,1,2024-09-07 10:01:10:832,1151537,1151537,0,0,540893533241,5672787556,1136101,12592,2844,366,392169,0 86,2,2024-09-07 10:01:10:886,829366,829365,1,0,42251850,0,5004 86,3,2024-09-07 10:01:10:601,1,657,9,0,308,8900,657,0 87,0,2024-09-07 10:01:11:362,168293,0.8,167217,0.8,334889,0.9,447402,2.25 87,1,2024-09-07 10:01:10:572,1151991,1151991,0,0,541720567267,5671365618,1138054,12130,1807,366,392076,0 87,2,2024-09-07 10:01:11:078,831063,831057,6,0,39298241,0,6323 87,3,2024-09-07 10:01:11:818,1,657,7,0,473,9102,657,0 88,0,2024-09-07 10:01:11:494,163342,0.4,163859,0.6,327523,0.4,435557,1.75 88,1,2024-09-07 10:01:10:601,1151033,1151033,0,0,539773404509,5656911678,1134784,13127,3122,365,392084,0 88,2,2024-09-07 10:01:10:702,829676,829676,0,0,40572380,0,4465 88,3,2024-09-07 10:01:11:274,1,657,1,0,1080,10349,657,0 89,0,2024-09-07 10:01:11:789,165979,0.4,161031,0.6,317623,0.4,431600,1.75 89,1,2024-09-07 10:01:10:575,1149981,1149981,0,0,540791657146,5685959243,1135983,11809,2189,382,391866,0 89,2,2024-09-07 10:01:11:140,829692,829692,0,0,38811749,0,3173 89,3,2024-09-07 10:01:11:793,1,657,11,0,468,10566,657,0 90,0,2024-09-07 10:01:11:668,159161,0.4,163402,0.6,333584,0.4,435756,2.00 90,1,2024-09-07 10:01:10:593,1151974,1151974,0,0,539858019777,5654449466,1140720,10202,1052,380,391825,0 90,2,2024-09-07 10:01:11:417,827853,827848,5,0,42038287,0,6370 90,3,2024-09-07 10:01:10:935,1,657,1,0,364,8701,657,0 91,0,2024-09-07 10:01:10:956,169057,0.5,163998,0.6,343141,0.5,449952,1.75 91,1,2024-09-07 10:01:10:557,1149232,1149232,0,0,540358739850,5696741598,1131555,13716,3961,381,392047,0 91,2,2024-09-07 10:01:11:363,831068,831068,0,0,37534207,0,4713 91,3,2024-09-07 10:01:10:611,1,657,10,0,231,6245,657,0 92,0,2024-09-07 10:01:11:473,167900,0.5,171693,0.6,327563,0.4,443844,1.75 92,1,2024-09-07 10:01:10:593,1153427,1153427,0,0,541478155729,5656508428,1144307,7813,1307,381,392136,0 92,2,2024-09-07 10:01:11:358,829837,829837,0,0,33352101,0,3906 92,3,2024-09-07 10:01:11:016,1,657,5,0,167,5466,657,0 93,0,2024-09-07 10:01:10:987,162716,0.4,166693,0.6,318300,0.3,431351,1.75 93,1,2024-09-07 10:01:10:814,1153882,1153882,0,0,541380204562,5658540070,1139982,11468,2432,365,392048,0 93,2,2024-09-07 10:01:10:939,830547,830547,0,0,39330417,0,4913 93,3,2024-09-07 10:01:11:420,1,657,18,0,505,7396,657,0 94,0,2024-09-07 10:01:11:748,161460,0.4,162625,0.6,325375,0.3,432166,1.75 94,1,2024-09-07 10:01:10:572,1154563,1154563,0,0,542048907508,5653089979,1146882,7191,490,381,391850,0 94,2,2024-09-07 10:01:10:779,832127,832099,28,0,34130534,0,6179 94,3,2024-09-07 10:01:11:693,1,657,5,0,576,8222,657,0 95,0,2024-09-07 10:01:11:457,168572,0.4,168628,0.6,337064,0.3,449830,1.75 95,1,2024-09-07 10:01:10:855,1155516,1155516,0,0,542496948802,5637493496,1146533,8220,763,365,391852,0 95,2,2024-09-07 10:01:11:096,829852,829852,0,0,33970014,0,3308 95,3,2024-09-07 10:01:11:709,1,657,16,0,718,10022,657,0 96,0,2024-09-07 10:01:11:301,167592,0.3,167745,0.5,335828,0.3,446444,1.75 96,1,2024-09-07 10:01:11:605,1153450,1153450,0,0,541676331181,5651869615,1145096,7062,1292,384,391964,0 96,2,2024-09-07 10:01:11:274,832797,832797,0,0,34117512,0,4180 96,3,2024-09-07 10:01:11:161,1,657,40,0,411,7282,657,0 97,0,2024-09-07 10:01:11:348,160660,0.3,160527,0.5,321727,0.3,427421,1.50 97,1,2024-09-07 10:01:10:777,1155472,1155472,0,0,542678385931,5632122909,1147788,6447,1237,367,392140,0 97,2,2024-09-07 10:01:10:608,832235,832235,0,0,34607936,0,4600 97,3,2024-09-07 10:01:10:581,1,657,19,0,433,7914,657,0 98,0,2024-09-07 10:01:11:932,160927,0.3,160900,0.4,323250,0.2,430059,1.50 98,1,2024-09-07 10:01:10:619,1154822,1154822,0,0,542104578193,5648579972,1147403,6522,897,381,391997,0 98,2,2024-09-07 10:01:10:800,830633,830633,0,0,32742003,0,4336 98,3,2024-09-07 10:01:10:731,1,657,2,0,840,9366,657,0 99,0,2024-09-07 10:01:11:489,167680,0.3,168521,0.5,335766,0.3,447715,1.75 99,1,2024-09-07 10:01:11:883,1155715,1155715,0,0,542220367394,5644324413,1148212,6411,1092,380,392069,0 99,2,2024-09-07 10:01:11:442,829528,829528,0,0,42001985,0,4276 99,3,2024-09-07 10:01:10:593,1,657,22,0,1124,8274,657,0 100,0,2024-09-07 10:01:11:515,166915,0.8,167432,0.9,334548,0.8,446671,2.50 100,1,2024-09-07 10:01:10:589,1148708,1148708,0,0,539508219792,5701719575,1131315,13896,3497,378,391989,0 100,2,2024-09-07 10:01:11:829,828454,828065,389,0,43487742,0,16909 100,3,2024-09-07 10:01:11:738,1,657,1,0,627,10513,657,0 101,0,2024-09-07 10:01:11:733,165693,1.0,161698,1.0,316288,0.9,431580,2.25 101,1,2024-09-07 10:01:10:556,1151103,1151103,0,0,540556056953,5680434900,1135522,12713,2868,368,391847,0 101,2,2024-09-07 10:01:11:757,825564,825564,0,0,43280403,0,4871 101,3,2024-09-07 10:01:10:954,1,657,29,0,1250,9713,657,0 102,0,2024-09-07 10:01:11:177,156856,0.6,161563,0.7,328267,0.6,429063,2.00 102,1,2024-09-07 10:01:11:645,1150891,1150891,0,0,541251844688,5682802503,1135872,12805,2214,369,391984,0 102,2,2024-09-07 10:01:11:780,830654,830600,54,0,37199298,0,6768 102,3,2024-09-07 10:01:11:638,1,657,6,0,466,7510,657,0 103,0,2024-09-07 10:01:11:703,171093,0.6,171069,0.7,322348,0.6,443962,2.00 103,1,2024-09-07 10:01:11:663,1149813,1149813,0,0,540041584468,5701890676,1131649,14541,3623,381,392077,0 103,2,2024-09-07 10:01:10:609,829106,829106,0,0,40603585,0,3766 103,3,2024-09-07 10:01:10:759,1,657,21,0,916,7677,657,0 104,0,2024-09-07 10:01:11:021,167236,0.7,167595,0.9,334254,0.7,446684,2.25 104,1,2024-09-07 10:01:11:621,1152099,1152099,0,0,540267811101,5678013106,1134689,14165,3245,365,392168,0 104,2,2024-09-07 10:01:11:673,829251,829251,0,0,39793544,0,4161 104,3,2024-09-07 10:01:11:418,1,657,7,0,1245,11114,657,0 105,0,2024-09-07 10:01:11:042,162770,0.9,158666,1.0,332571,0.9,436631,2.50 105,1,2024-09-07 10:01:10:561,1154149,1154149,0,0,541802304196,5673259605,1140134,12059,1956,364,392009,0 105,2,2024-09-07 10:01:11:323,828631,828631,0,0,40465336,0,4360 105,3,2024-09-07 10:01:11:309,1,657,2,0,573,9880,657,0 106,0,2024-09-07 10:01:10:992,156360,0.9,160206,0.9,328019,1.0,427976,2.50 106,1,2024-09-07 10:01:11:756,1151815,1151815,0,0,540407829813,5669445293,1136030,13758,2027,368,391914,0 106,2,2024-09-07 10:01:10:763,829803,829803,0,0,37501199,0,3331 106,3,2024-09-07 10:01:10:698,1,657,0,0,1224,9030,657,0 107,0,2024-09-07 10:01:11:131,163002,1.0,163056,1.0,326088,1.2,435422,2.25 107,1,2024-09-07 10:01:10:599,1149824,1149824,0,0,538714343968,5691949256,1131667,15973,2184,381,392234,0 107,2,2024-09-07 10:01:11:309,827952,827951,1,0,41164085,0,5024 107,3,2024-09-07 10:01:11:832,1,657,52,0,733,9779,657,0 108,0,2024-09-07 10:01:11:820,168333,0.4,169161,0.6,337226,0.4,449261,1.75 108,1,2024-09-07 10:01:11:300,1153278,1153278,0,0,541730761697,5652287103,1143500,8694,1084,367,391894,0 108,2,2024-09-07 10:01:11:800,831246,831246,0,0,37062075,0,4432 108,3,2024-09-07 10:01:11:338,1,657,0,0,767,12251,657,0 109,0,2024-09-07 10:01:11:788,167954,0.4,166499,0.6,334002,0.3,445628,1.75 109,1,2024-09-07 10:01:10:614,1151295,1151295,0,0,540732609561,5674089254,1140852,8943,1500,382,392132,0 109,2,2024-09-07 10:01:10:940,829108,829108,0,0,36549491,0,3617 109,3,2024-09-07 10:01:11:185,1,657,0,0,630,7689,657,0 110,0,2024-09-07 10:01:11:781,160578,0.4,156154,0.6,327083,0.3,429263,1.75 110,1,2024-09-07 10:01:11:644,1155639,1155639,0,0,542503966892,5631836640,1146891,6723,2025,368,392045,0 110,2,2024-09-07 10:01:11:311,831733,831733,0,0,35475410,0,4067 110,3,2024-09-07 10:01:10:696,1,657,1,0,722,8759,657,0 111,0,2024-09-07 10:01:11:413,162850,0.4,162017,0.5,323718,0.3,432289,1.75 111,1,2024-09-07 10:01:11:007,1156933,1156933,0,0,543653138494,5642378549,1150452,6093,388,380,391690,0 111,2,2024-09-07 10:01:11:121,831253,831253,0,0,35300568,0,4823 111,3,2024-09-07 10:01:10:918,1,657,8,0,379,7323,657,0 112,0,2024-09-07 10:01:10:912,168895,0.3,168336,0.4,337351,0.2,448442,1.50 112,1,2024-09-07 10:01:10:824,1155884,1155884,0,0,542601807947,5633398359,1148412,6247,1225,380,391624,0 112,2,2024-09-07 10:01:11:139,830691,830690,1,0,33548279,0,5036 112,3,2024-09-07 10:01:10:602,1,657,0,0,282,6556,657,0 113,0,2024-09-07 10:01:10:889,167348,0.3,167452,0.5,335378,0.2,446414,1.75 113,1,2024-09-07 10:01:11:689,1158679,1158679,0,0,544852504211,5622222045,1151857,5872,950,365,391664,0 113,2,2024-09-07 10:01:11:305,834586,834586,0,0,30942955,0,3813 113,3,2024-09-07 10:01:10:699,1,657,3,0,510,6835,657,0 114,0,2024-09-07 10:01:10:876,161638,0.3,162653,0.5,324457,0.2,432709,1.75 114,1,2024-09-07 10:01:10:725,1155516,1155516,0,0,542467390217,5632136964,1147069,6679,1768,381,391565,0 114,2,2024-09-07 10:01:10:885,832711,832710,1,0,32911726,0,5069 114,3,2024-09-07 10:01:11:281,1,657,2,0,415,5485,657,0 115,0,2024-09-07 10:01:10:560,162067,0.3,162561,0.4,323857,0.2,431104,1.50 115,1,2024-09-07 10:01:10:579,1155644,1155644,0,0,542914883587,5640665235,1146215,7639,1790,382,391757,0 115,2,2024-09-07 10:01:11:127,832204,832204,0,0,32674359,0,4382 115,3,2024-09-07 10:01:11:005,1,657,0,0,173,4219,657,0 116,0,2024-09-07 10:01:11:743,165977,0.7,165867,0.8,332693,0.7,444628,2.00 116,1,2024-09-07 10:01:10:813,1149441,1149441,0,0,540457354575,5693585113,1135885,10349,3207,380,392089,0 116,2,2024-09-07 10:01:11:754,830277,830277,0,0,40045217,0,4475 116,3,2024-09-07 10:01:10:925,1,657,4,0,448,8559,657,0 117,0,2024-09-07 10:01:10:978,168301,0.7,167835,0.8,335918,0.7,448724,2.00 117,1,2024-09-07 10:01:11:582,1151712,1151712,0,0,540602672871,5659852345,1139034,11059,1619,369,392429,0 117,2,2024-09-07 10:01:11:121,833646,833646,0,0,36535435,0,4303 117,3,2024-09-07 10:01:11:064,1,657,5,0,490,8082,657,0 118,0,2024-09-07 10:01:11:839,158453,0.5,162807,0.7,332118,0.5,434047,2.00 118,1,2024-09-07 10:01:10:644,1150719,1150719,0,0,539678995919,5671957948,1133870,13030,3819,366,392054,0 118,2,2024-09-07 10:01:11:609,828813,828813,0,0,39186051,0,3161 118,3,2024-09-07 10:01:11:776,1,657,17,0,343,7997,657,0 119,0,2024-09-07 10:01:11:603,161187,0.7,161668,0.8,322756,0.8,430126,2.25 119,1,2024-09-07 10:01:11:412,1151965,1151965,0,0,541043995578,5673021449,1136626,12690,2649,367,391857,0 119,2,2024-09-07 10:01:11:291,830887,830887,0,0,36040241,0,4309 119,3,2024-09-07 10:01:11:345,1,657,10,0,1358,11859,657,0 120,0,2024-09-07 10:01:11:598,163320,0.7,163060,0.8,327692,0.7,437097,2.25 120,1,2024-09-07 10:01:10:876,1152653,1152653,0,0,540534026131,5667724395,1140271,11225,1157,367,392144,0 120,2,2024-09-07 10:01:10:800,830185,830182,3,0,43543990,0,5363 120,3,2024-09-07 10:01:11:313,1,657,17,0,478,8457,657,0 121,0,2024-09-07 10:01:11:741,168674,1.2,168148,1.0,336319,1.5,448376,2.25 121,1,2024-09-07 10:01:11:670,1152265,1152265,0,0,541354699378,5664392766,1139943,10479,1843,366,391840,0 121,2,2024-09-07 10:01:11:132,831317,831317,0,0,39706056,0,4157 121,3,2024-09-07 10:01:10:739,1,657,11,0,387,8494,657,0 122,0,2024-09-07 10:01:11:778,165784,0.7,161266,0.8,337535,0.8,443604,2.00 122,1,2024-09-07 10:01:11:054,1151692,1151692,0,0,540935003458,5673290001,1136435,12838,2419,365,392130,0 122,2,2024-09-07 10:01:11:333,830196,830121,75,0,43106348,0,5989 122,3,2024-09-07 10:01:10:602,1,657,1,0,512,10100,657,0 123,0,2024-09-07 10:01:10:962,160875,0.8,156733,0.9,328515,0.9,429887,2.25 123,1,2024-09-07 10:01:10:564,1152272,1152272,0,0,540979459365,5687866618,1134031,15352,2889,369,392039,0 123,2,2024-09-07 10:01:11:037,829215,829214,1,0,37151199,0,5215 123,3,2024-09-07 10:01:11:133,1,657,8,0,478,7375,657,0 124,0,2024-09-07 10:01:11:008,166622,0.3,166690,0.5,314151,0.3,432846,1.75 124,1,2024-09-07 10:01:11:032,1155499,1155499,0,0,541122506473,5627071151,1146717,7550,1232,365,392178,0 124,2,2024-09-07 10:01:11:018,833617,833564,53,0,34754018,0,6487 124,3,2024-09-07 10:01:10:762,1,657,32,0,490,6580,657,0 125,0,2024-09-07 10:01:11:435,168649,0.4,168553,0.6,337759,0.4,450293,1.75 125,1,2024-09-07 10:01:10:937,1153026,1153026,0,0,541667432321,5660595484,1142757,8759,1510,382,392045,0 125,2,2024-09-07 10:01:11:203,833006,833006,0,0,34522070,0,4534 125,3,2024-09-07 10:01:11:150,1,657,1,0,709,7473,657,0 126,0,2024-09-07 10:01:11:483,167840,0.4,172589,0.5,329875,0.3,447220,1.75 126,1,2024-09-07 10:01:10:564,1156470,1156470,0,0,542581982660,5621933375,1149664,6127,679,365,391987,0 126,2,2024-09-07 10:01:10:627,833037,833037,0,0,35498262,0,4539 126,3,2024-09-07 10:01:10:919,1,657,8,0,268,7546,657,0 127,0,2024-09-07 10:01:11:595,160972,0.3,161191,0.5,321689,0.3,427731,1.75 127,1,2024-09-07 10:01:10:575,1154326,1154326,0,0,541604289883,5637863856,1142273,10362,1691,364,392187,0 127,2,2024-09-07 10:01:10:647,831845,831841,4,0,33706540,0,5305 127,3,2024-09-07 10:01:11:269,1,657,33,0,968,6439,657,0 128,0,2024-09-07 10:01:11:538,161951,0.3,161908,0.4,323886,0.2,430758,1.50 128,1,2024-09-07 10:01:11:610,1154085,1154085,0,0,541979899035,5636640256,1145238,7879,968,367,392423,0 128,2,2024-09-07 10:01:11:389,833001,833001,0,0,31701480,0,3171 128,3,2024-09-07 10:01:10:779,1,657,14,0,1082,9973,657,0 129,0,2024-09-07 10:01:11:008,168912,0.3,168176,0.5,337407,0.3,448400,1.50 129,1,2024-09-07 10:01:10:623,1150958,1150958,0,0,541403666676,5679917887,1138345,10286,2327,379,391962,0 129,2,2024-09-07 10:01:10:717,832062,832058,4,0,34292092,0,5335 129,3,2024-09-07 10:01:10:696,1,657,2,0,506,9277,657,0 130,0,2024-09-07 10:01:11:715,168112,0.4,167886,0.6,336137,0.4,447664,1.75 130,1,2024-09-07 10:01:10:588,1156049,1156049,0,0,542434858401,5632386297,1150419,5224,406,381,391825,0 130,2,2024-09-07 10:01:11:136,832588,832588,0,0,34358836,0,4067 130,3,2024-09-07 10:01:11:299,1,657,36,0,960,8593,657,0 131,0,2024-09-07 10:01:11:946,162169,0.3,162659,0.5,326232,0.3,433126,1.50 131,1,2024-09-07 10:01:11:828,1154978,1154978,0,0,541586215542,5645543140,1146975,6801,1202,381,391865,0 131,2,2024-09-07 10:01:10:574,830955,830955,0,0,31539732,0,3979 131,3,2024-09-07 10:01:11:703,1,657,7,0,392,8365,657,0 132,0,2024-09-07 10:01:11:413,161595,0.5,162619,0.6,323440,0.4,430539,2.00 132,1,2024-09-07 10:01:10:595,1150623,1150623,0,0,540003482597,5682435554,1134345,13498,2780,381,392532,0 132,2,2024-09-07 10:01:10:725,829938,829921,17,0,40470155,0,6451 132,3,2024-09-07 10:01:11:689,1,657,0,0,1298,11479,657,0 133,0,2024-09-07 10:01:11:542,161512,0.4,165295,0.6,339019,0.4,441699,2.00 133,1,2024-09-07 10:01:10:647,1150588,1150588,0,0,540151271571,5688909659,1135447,13210,1931,383,391914,0 133,2,2024-09-07 10:01:11:095,831953,831903,50,0,41674961,0,6861 133,3,2024-09-07 10:01:11:300,1,657,0,0,528,7728,657,0 134,0,2024-09-07 10:01:11:013,169041,0.5,169072,0.7,337999,0.5,450077,2.25 134,1,2024-09-07 10:01:10:595,1151515,1151515,0,0,540137999428,5667274170,1136140,12185,3190,366,391781,0 134,2,2024-09-07 10:01:11:756,831750,831726,24,0,38216769,0,6207 134,3,2024-09-07 10:01:10:749,1,657,1,0,739,8148,657,0 135,0,2024-09-07 10:01:11:102,159466,0.7,159464,0.8,338605,0.8,435867,2.25 135,1,2024-09-07 10:01:11:591,1151770,1151770,0,0,540777458738,5685134149,1137494,12426,1850,380,392038,0 135,2,2024-09-07 10:01:10:699,830881,830881,0,0,39293491,0,4503 135,3,2024-09-07 10:01:11:011,1,657,5,0,900,6271,657,0 136,0,2024-09-07 10:01:11:726,162211,0.6,162721,0.8,323218,0.6,431226,2.00 136,1,2024-09-07 10:01:11:474,1152893,1152893,0,0,541019587272,5666697518,1140746,10752,1395,381,392135,0 136,2,2024-09-07 10:01:11:144,832189,832174,15,0,38792135,0,6007 136,3,2024-09-07 10:01:11:116,1,657,4,0,637,7661,657,0 137,0,2024-09-07 10:01:10:953,168346,0.6,164108,0.8,321432,0.7,437096,2.00 137,1,2024-09-07 10:01:10:591,1151942,1151942,0,0,541336242433,5676478138,1134100,14236,3606,366,391898,0 137,2,2024-09-07 10:01:11:705,830329,830329,0,0,40002351,0,3185 137,3,2024-09-07 10:01:10:775,1,657,1,0,484,8917,657,0 138,0,2024-09-07 10:01:11:756,167673,1.0,168028,0.9,336675,1.2,447609,2.25 138,1,2024-09-07 10:01:11:685,1151801,1151801,0,0,540869833368,5675765357,1135567,13596,2638,368,391954,0 138,2,2024-09-07 10:01:10:600,829938,829938,0,0,38604122,0,4988 138,3,2024-09-07 10:01:10:617,1,657,0,0,1200,9950,657,0 139,0,2024-09-07 10:01:11:421,165695,0.9,166281,0.9,332307,1.2,443933,2.25 139,1,2024-09-07 10:01:10:580,1147407,1147407,0,0,538427554769,5706034102,1128032,15489,3886,380,392109,0 139,2,2024-09-07 10:01:10:702,824947,824917,30,0,43710726,0,5997 139,3,2024-09-07 10:01:11:685,1,657,8,0,432,7716,657,0 140,0,2024-09-07 10:01:11:604,161129,0.3,160399,0.5,322020,0.2,429552,1.75 140,1,2024-09-07 10:01:11:538,1159351,1159351,0,0,544161091735,5614405496,1153191,5423,737,364,391628,0 140,2,2024-09-07 10:01:10:709,831776,831775,1,0,32062679,0,5036 140,3,2024-09-07 10:01:10:771,1,657,10,0,575,6655,657,0 141,0,2024-09-07 10:01:11:701,162366,0.3,166958,0.5,319068,0.3,431886,1.75 141,1,2024-09-07 10:01:10:875,1155931,1155931,0,0,542828236435,5643490350,1145973,8572,1386,379,391614,0 141,2,2024-09-07 10:01:11:686,832138,832127,11,0,34364051,0,5369 141,3,2024-09-07 10:01:11:051,1,657,27,0,391,7569,657,0 142,0,2024-09-07 10:01:11:342,168875,0.3,168037,0.5,336665,0.3,449239,1.50 142,1,2024-09-07 10:01:10:594,1154176,1154176,0,0,541348858850,5646378138,1146166,7341,669,382,392102,0 142,2,2024-09-07 10:01:11:312,829745,829713,32,0,34579916,0,6028 142,3,2024-09-07 10:01:11:747,1,657,13,0,484,6842,657,0 143,0,2024-09-07 10:01:11:431,167523,0.4,167271,0.5,335614,0.4,446371,1.75 143,1,2024-09-07 10:01:10:567,1157037,1157037,0,0,543523630149,5640527643,1149430,6705,902,367,391900,0 143,2,2024-09-07 10:01:10:782,833309,833309,0,0,34222658,0,3123 143,3,2024-09-07 10:01:11:147,1,657,4,0,462,7668,657,0 144,0,2024-09-07 10:01:11:529,156608,0.6,161157,0.7,327993,0.5,429082,2.00 144,1,2024-09-07 10:01:10:573,1151148,1151148,0,0,539480861281,5662468730,1139552,9555,2041,381,391733,0 144,2,2024-09-07 10:01:11:762,831910,831910,0,0,34070831,0,4443 144,3,2024-09-07 10:01:11:744,1,657,1,0,306,7002,657,0 145,0,2024-09-07 10:01:11:374,156146,0.5,156143,0.8,331381,0.5,426737,2.25 145,1,2024-09-07 10:01:10:557,1150171,1150171,0,0,540384425950,5685749058,1134995,12421,2755,382,391781,0 145,2,2024-09-07 10:01:11:434,829022,828940,82,0,39613060,0,7814 145,3,2024-09-07 10:01:10:900,1,657,1,0,622,8747,657,0 146,0,2024-09-07 10:01:11:608,166616,0.6,165648,0.8,333200,0.6,442908,2.25 146,1,2024-09-07 10:01:11:589,1152130,1152130,0,0,540892428972,5689088311,1133562,13748,4820,367,391770,0 146,2,2024-09-07 10:01:11:703,828833,828827,6,0,38058283,0,5151 146,3,2024-09-07 10:01:11:273,1,657,1,0,1520,10794,657,0 147,0,2024-09-07 10:01:11:722,168198,0.6,167868,0.7,335818,0.6,447809,2.00 147,1,2024-09-07 10:01:11:377,1155700,1155700,0,0,542276533703,5635626707,1146477,8183,1040,367,391791,0 147,2,2024-09-07 10:01:11:017,831924,831924,0,0,34472267,0,3359 147,3,2024-09-07 10:01:10:918,1,657,0,0,1626,10072,657,0 0,0,2024-09-07 10:01:21:776,159925,0.6,159820,0.8,339209,0.7,438678,2.00 0,1,2024-09-07 10:01:20:808,1154456,1154456,0,0,541753833710,5672616169,1144884,8612,960,368,391896,0 0,2,2024-09-07 10:01:21:083,833275,833275,0,0,33742685,0,4480 0,3,2024-09-07 10:01:20:979,1,658,1,0,431,9030,658,0 1,0,2024-09-07 10:01:21:762,168555,0.8,167569,0.9,336226,1.0,449630,2.00 1,1,2024-09-07 10:01:20:560,1152928,1152928,0,0,541334174752,5673330012,1141750,9214,1964,370,391859,0 1,2,2024-09-07 10:01:20:650,833635,833635,0,0,33275029,0,3380 1,3,2024-09-07 10:01:21:307,1,658,1,0,269,7893,658,0 2,0,2024-09-07 10:01:21:571,166361,0.6,165987,0.7,331793,0.6,442499,2.00 2,1,2024-09-07 10:01:20:871,1156911,1156911,0,0,543975747186,5656827517,1150142,5810,959,379,391805,0 2,2,2024-09-07 10:01:21:269,832188,832188,0,0,31463990,0,3594 2,3,2024-09-07 10:01:20:690,1,658,1,0,357,5626,658,0 3,0,2024-09-07 10:01:21:746,161803,0.4,161765,0.6,323193,0.4,430494,2.00 3,1,2024-09-07 10:01:21:622,1155589,1155589,0,0,542068545221,5639145979,1147284,7587,718,379,391716,0 3,2,2024-09-07 10:01:21:142,832995,832972,23,0,32898612,0,5851 3,3,2024-09-07 10:01:21:755,1,658,14,0,484,5036,658,0 4,0,2024-09-07 10:01:21:763,157755,0.4,162117,0.5,330511,0.3,432506,1.75 4,1,2024-09-07 10:01:20:603,1150193,1150193,0,0,539918012127,5713637351,1130620,14999,4574,369,391992,0 4,2,2024-09-07 10:01:21:025,830695,830695,0,0,40223114,0,4534 4,3,2024-09-07 10:01:21:028,1,658,1,0,448,8701,658,0 5,0,2024-09-07 10:01:21:425,168941,0.4,168757,0.6,337907,0.4,449919,1.75 5,1,2024-09-07 10:01:20:755,1151833,1151833,0,0,540690345656,5706249117,1134391,13208,4234,367,392005,0 5,2,2024-09-07 10:01:21:843,828602,828602,0,0,39257017,0,3582 5,3,2024-09-07 10:01:21:736,1,658,36,0,457,8705,658,0 6,0,2024-09-07 10:01:20:919,167804,0.5,167305,0.6,334723,0.4,446208,2.00 6,1,2024-09-07 10:01:20:751,1154472,1154472,0,0,542557363514,5663256117,1142871,10011,1590,379,391702,0 6,2,2024-09-07 10:01:21:116,832353,832335,18,0,36876439,0,5535 6,3,2024-09-07 10:01:21:278,1,658,1,0,710,7823,658,0 7,0,2024-09-07 10:01:21:532,160186,0.5,161311,0.7,320198,0.5,426996,2.00 7,1,2024-09-07 10:01:20:850,1151985,1151985,0,0,540870415808,5692558975,1134422,13428,4135,382,391747,0 7,2,2024-09-07 10:01:20:785,832009,832009,0,0,35955533,0,4791 7,3,2024-09-07 10:01:20:851,1,658,1,0,552,7582,658,0 8,0,2024-09-07 10:01:21:341,162086,0.4,161682,0.5,323853,0.3,431965,1.75 8,1,2024-09-07 10:01:21:016,1151228,1151228,0,0,541000652962,5685977162,1133625,13880,3723,366,392853,0 8,2,2024-09-07 10:01:20:842,826938,826936,2,0,42825733,0,5112 8,3,2024-09-07 10:01:20:588,1,658,7,0,772,10002,658,0 9,0,2024-09-07 10:01:21:108,168041,0.4,163258,0.5,341701,0.3,448329,1.75 9,1,2024-09-07 10:01:20:550,1151003,1151003,0,0,540677118494,5701124116,1132295,14602,4106,369,392001,0 9,2,2024-09-07 10:01:21:090,829932,829931,1,0,40739424,0,5281 9,3,2024-09-07 10:01:21:760,1,658,1,0,1273,10887,658,0 10,0,2024-09-07 10:01:21:606,167573,0.4,166980,0.5,334957,0.3,446102,1.75 10,1,2024-09-07 10:01:20:583,1153181,1153181,0,0,541016262294,5677486332,1135939,13713,3529,381,391981,0 10,2,2024-09-07 10:01:20:762,832946,832946,0,0,43794992,0,4713 10,3,2024-09-07 10:01:20:871,1,658,25,0,669,7172,658,0 11,0,2024-09-07 10:01:21:006,162087,0.4,157388,0.6,329383,0.4,433351,1.75 11,1,2024-09-07 10:01:20:571,1155414,1155414,0,0,541779082890,5681700682,1138885,12221,4308,383,391766,0 11,2,2024-09-07 10:01:21:125,830899,830899,0,0,37870706,0,4698 11,3,2024-09-07 10:01:21:303,1,658,7,0,843,8205,658,0 12,0,2024-09-07 10:01:21:004,162726,0.4,162573,0.5,324693,0.4,431921,1.75 12,1,2024-09-07 10:01:20:940,1154449,1154449,0,0,541619853113,5651558515,1144940,8484,1025,370,391960,0 12,2,2024-09-07 10:01:21:549,830006,830006,0,0,37777542,0,4390 12,3,2024-09-07 10:01:21:060,1,658,12,0,386,8303,658,0 13,0,2024-09-07 10:01:21:614,166894,0.4,167156,0.6,333295,0.4,444405,1.75 13,1,2024-09-07 10:01:21:535,1151789,1151789,0,0,541258390938,5693544867,1139306,9649,2834,382,391803,0 13,2,2024-09-07 10:01:20:595,833650,833650,0,0,34113215,0,3287 13,3,2024-09-07 10:01:21:768,1,658,39,0,522,8861,658,0 14,0,2024-09-07 10:01:20:568,168458,0.4,169506,0.6,336992,0.4,448516,1.75 14,1,2024-09-07 10:01:21:566,1159919,1159919,0,0,544913906105,5638760121,1150892,7894,1133,364,391673,0 14,2,2024-09-07 10:01:20:768,833600,833570,30,0,35121910,0,6104 14,3,2024-09-07 10:01:21:116,1,658,1,0,1168,6940,658,0 15,0,2024-09-07 10:01:21:564,164799,0.4,163983,0.6,328240,0.3,437596,1.75 15,1,2024-09-07 10:01:21:612,1156530,1156530,0,0,543498577469,5662750294,1146383,8138,2009,381,391619,0 15,2,2024-09-07 10:01:21:005,833014,833014,0,0,31021115,0,3622 15,3,2024-09-07 10:01:21:409,1,658,0,0,1126,8417,658,0 16,0,2024-09-07 10:01:20:938,161827,0.6,162610,0.8,324168,0.6,431231,2.00 16,1,2024-09-07 10:01:20:563,1155860,1155860,0,0,541945909324,5666606743,1145362,8956,1542,370,392194,0 16,2,2024-09-07 10:01:21:442,831302,831302,0,0,34634752,0,4719 16,3,2024-09-07 10:01:21:142,1,658,0,0,358,7928,658,0 17,0,2024-09-07 10:01:21:771,168612,0.7,164340,0.8,322039,0.7,438107,2.00 17,1,2024-09-07 10:01:20:577,1154114,1154114,0,0,541644557960,5679872366,1143115,9205,1794,368,392075,0 17,2,2024-09-07 10:01:21:665,835346,835345,1,0,36054571,0,5050 17,3,2024-09-07 10:01:20:574,1,658,3,0,518,9511,658,0 18,0,2024-09-07 10:01:20:942,167021,0.7,168142,0.8,334599,0.7,446736,2.25 18,1,2024-09-07 10:01:21:652,1158654,1158654,0,0,543260628683,5634539495,1151055,6334,1265,367,391725,0 18,2,2024-09-07 10:01:21:756,834829,834829,0,0,32308809,0,3541 18,3,2024-09-07 10:01:20:896,1,658,1,0,1059,5958,658,0 19,0,2024-09-07 10:01:21:539,166550,0.6,166980,0.8,332905,0.7,442534,2.00 19,1,2024-09-07 10:01:20:570,1157992,1157992,0,0,543923992076,5638389592,1149159,7673,1160,365,391777,0 19,2,2024-09-07 10:01:21:750,834560,834560,0,0,30015617,0,3988 19,3,2024-09-07 10:01:21:129,1,658,183,0,524,5255,658,0 20,0,2024-09-07 10:01:21:388,160928,0.5,160842,0.7,321609,0.5,429191,2.00 20,1,2024-09-07 10:01:20:578,1153975,1153975,0,0,541519282848,5674876426,1142260,10114,1601,369,391922,0 20,2,2024-09-07 10:01:20:929,832128,832128,0,0,37389646,0,4321 20,3,2024-09-07 10:01:20:589,1,658,245,0,468,10651,658,0 21,0,2024-09-07 10:01:21:149,162462,0.5,162473,0.6,324888,0.4,431454,2.00 21,1,2024-09-07 10:01:21:550,1152058,1152058,0,0,540131353326,5700163658,1133987,14108,3963,368,392016,0 21,2,2024-09-07 10:01:21:073,826586,826109,477,0,48513446,0,17074 21,3,2024-09-07 10:01:21:405,1,658,1,0,713,8934,658,0 22,0,2024-09-07 10:01:21:721,168320,0.5,168935,0.6,337606,0.4,448386,2.00 22,1,2024-09-07 10:01:21:026,1152455,1152455,0,0,541512370719,5696824942,1133804,15061,3590,381,391822,0 22,2,2024-09-07 10:01:20:763,830628,830602,26,0,36189336,0,6328 22,3,2024-09-07 10:01:21:071,1,658,3,0,228,5467,658,0 23,0,2024-09-07 10:01:21:374,167298,0.5,167102,0.7,334148,0.4,445662,2.00 23,1,2024-09-07 10:01:21:006,1153963,1153963,0,0,542804196934,5713756481,1133509,13724,6730,365,391690,0 23,2,2024-09-07 10:01:21:095,833116,833116,0,0,34119425,0,3773 23,3,2024-09-07 10:01:21:755,1,658,16,0,855,9485,658,0 24,0,2024-09-07 10:01:20:817,162602,0.4,161676,0.5,325141,0.3,431569,1.75 24,1,2024-09-07 10:01:20:583,1153321,1153321,0,0,541277631705,5664252595,1142643,8900,1778,367,392269,0 24,2,2024-09-07 10:01:21:074,831826,831823,3,0,40888721,0,6294 24,3,2024-09-07 10:01:21:687,1,658,1,0,468,8481,658,0 25,0,2024-09-07 10:01:21:366,166092,0.4,161628,0.6,317835,0.4,432563,1.75 25,1,2024-09-07 10:01:20:564,1151635,1151635,0,0,540092277512,5704518973,1131820,15904,3911,369,391928,0 25,2,2024-09-07 10:01:21:612,829894,829894,0,0,41903493,0,3978 25,3,2024-09-07 10:01:21:000,1,658,1,0,532,7223,658,0 26,0,2024-09-07 10:01:21:728,166231,0.4,162415,0.6,341014,0.3,444804,1.75 26,1,2024-09-07 10:01:21:547,1155085,1155085,0,0,542237615480,5677181654,1139602,12582,2901,380,391758,0 26,2,2024-09-07 10:01:20:861,831013,831013,0,0,44078528,0,4689 26,3,2024-09-07 10:01:21:716,1,658,93,0,796,8193,658,0 27,0,2024-09-07 10:01:21:726,168106,0.4,168887,0.6,335732,0.4,447765,2.25 27,1,2024-09-07 10:01:21:680,1156380,1156380,0,0,542936712644,5655606411,1145587,9176,1617,381,391626,0 27,2,2024-09-07 10:01:20:869,830256,830191,65,0,38661570,0,5699 27,3,2024-09-07 10:01:21:015,1,658,2,0,564,5553,658,0 28,0,2024-09-07 10:01:21:394,163614,0.4,163850,0.6,327723,0.3,435909,1.75 28,1,2024-09-07 10:01:20:799,1157303,1157303,0,0,543698440914,5668103256,1147627,7758,1918,382,391904,0 28,2,2024-09-07 10:01:21:768,831766,831766,0,0,34348479,0,2915 28,3,2024-09-07 10:01:21:776,1,658,1,0,502,6970,658,0 29,0,2024-09-07 10:01:21:361,166151,0.4,161856,0.6,317295,0.3,432517,1.75 29,1,2024-09-07 10:01:21:574,1159849,1159849,0,0,544604069839,5635394756,1152394,6435,1020,367,391809,0 29,2,2024-09-07 10:01:20:861,831524,831524,0,0,33065749,0,4986 29,3,2024-09-07 10:01:20:964,1,658,1,0,459,6897,658,0 30,0,2024-09-07 10:01:21:466,163760,0.5,159418,0.7,334046,0.5,437140,2.00 30,1,2024-09-07 10:01:20:571,1158567,1158567,0,0,545234929530,5660167253,1149528,7953,1086,380,391672,0 30,2,2024-09-07 10:01:21:279,834204,834204,0,0,31738669,0,4192 30,3,2024-09-07 10:01:20:583,1,658,2,0,519,6335,658,0 31,0,2024-09-07 10:01:21:766,168376,0.5,169051,0.7,337127,0.4,449692,2.00 31,1,2024-09-07 10:01:20:564,1162977,1162977,0,0,545101997134,5598882773,1155677,6111,1189,356,391712,0 31,2,2024-09-07 10:01:21:274,832571,832571,0,0,35938604,0,4470 31,3,2024-09-07 10:01:21:708,1,658,0,0,239,5698,658,0 32,0,2024-09-07 10:01:21:423,166486,0.3,167601,0.5,333578,0.2,443898,1.75 32,1,2024-09-07 10:01:20:804,1158138,1158138,0,0,544066285636,5656102934,1150803,6376,959,381,391646,0 32,2,2024-09-07 10:01:20:934,833772,833772,0,0,30907808,0,3922 32,3,2024-09-07 10:01:21:014,1,658,1,0,304,5570,658,0 33,0,2024-09-07 10:01:21:500,162477,0.3,162107,0.4,324279,0.2,431705,1.50 33,1,2024-09-07 10:01:20:575,1159585,1159585,0,0,544581830298,5641081566,1150358,7876,1351,368,391730,0 33,2,2024-09-07 10:01:20:760,832648,832613,35,0,34710404,0,7012 33,3,2024-09-07 10:01:20:895,1,658,1,0,329,6043,658,0 34,0,2024-09-07 10:01:20:934,162812,0.3,167280,0.5,320002,0.2,432508,1.75 34,1,2024-09-07 10:01:21:057,1161390,1161390,0,0,545827126784,5617097102,1157572,3610,208,367,391637,0 34,2,2024-09-07 10:01:20:766,834190,834190,0,0,32728782,0,4562 34,3,2024-09-07 10:01:21:689,1,658,12,0,541,5680,658,0 35,0,2024-09-07 10:01:20:856,168378,0.3,168902,0.5,338884,0.3,450879,1.75 35,1,2024-09-07 10:01:21:073,1157472,1157472,0,0,543392788515,5639413793,1148658,7262,1552,382,391769,0 35,2,2024-09-07 10:01:21:584,832805,832805,0,0,37266670,0,4055 35,3,2024-09-07 10:01:20:907,1,658,5,0,466,6380,658,0 36,0,2024-09-07 10:01:21:518,168224,0.5,168458,0.6,336412,0.4,448016,2.00 36,1,2024-09-07 10:01:20:583,1155825,1155825,0,0,542324655532,5659889867,1141799,11780,2246,366,391759,0 36,2,2024-09-07 10:01:21:756,832615,832615,0,0,37297967,0,3875 36,3,2024-09-07 10:01:20:863,1,658,31,0,556,8687,658,0 37,0,2024-09-07 10:01:21:386,160139,0.5,160174,0.7,320453,0.5,427626,2.25 37,1,2024-09-07 10:01:20:569,1155384,1155377,0,7,542220841322,5661783418,1141897,10558,2922,365,391770,0 37,2,2024-09-07 10:01:21:150,830448,830433,15,0,37075842,0,5815 37,3,2024-09-07 10:01:21:768,1,658,2,0,888,8868,658,0 38,0,2024-09-07 10:01:21:438,160987,0.4,156030,0.6,326281,0.4,428102,2.00 38,1,2024-09-07 10:01:21:612,1155203,1155203,0,0,543049148466,5676828733,1138778,13128,3297,368,391821,0 38,2,2024-09-07 10:01:20:761,832157,832110,47,0,37630598,0,6710 38,3,2024-09-07 10:01:21:001,1,658,6,0,689,7669,658,0 39,0,2024-09-07 10:01:21:764,171768,0.5,167890,0.7,327575,0.5,447113,2.00 39,1,2024-09-07 10:01:20:716,1155111,1155111,0,0,541936437529,5681212421,1136192,14724,4195,365,391865,0 39,2,2024-09-07 10:01:21:421,831401,831401,0,0,36053545,0,3391 39,3,2024-09-07 10:01:20:713,1,658,42,0,525,7389,658,0 40,0,2024-09-07 10:01:21:500,166039,0.9,166783,1.0,332935,1.0,444943,2.75 40,1,2024-09-07 10:01:20:576,1155120,1155120,0,0,541076666673,5668924062,1137712,14113,3295,366,391668,0 40,2,2024-09-07 10:01:21:312,830382,830375,7,0,41821470,0,5347 40,3,2024-09-07 10:01:21:144,1,658,2,0,1028,9358,658,0 41,0,2024-09-07 10:01:21:022,161445,1.2,165274,1.1,315131,1.7,427969,3.00 41,1,2024-09-07 10:01:20:776,1154403,1154403,0,0,542509586454,5672961051,1139736,12365,2302,369,391878,0 41,2,2024-09-07 10:01:20:761,828282,828281,1,0,40400040,0,5408 41,3,2024-09-07 10:01:21:686,1,658,6,0,366,7019,658,0 42,0,2024-09-07 10:01:21:486,160535,0.9,160208,1.0,320726,1.0,425775,2.50 42,1,2024-09-07 10:01:21:451,1152418,1152418,0,0,540677797633,5677254513,1135213,14249,2956,380,391675,0 42,2,2024-09-07 10:01:21:134,828990,828989,1,0,41856710,0,5513 42,3,2024-09-07 10:01:21:008,1,658,1,0,892,6795,658,0 43,0,2024-09-07 10:01:20:915,164343,0.7,160058,0.9,335299,0.8,439396,2.25 43,1,2024-09-07 10:01:20:576,1155302,1155302,0,0,542783285213,5670582326,1139501,13055,2746,366,391696,0 43,2,2024-09-07 10:01:21:739,832613,832613,0,0,38583983,0,4723 43,3,2024-09-07 10:01:21:753,1,658,19,0,571,9124,658,0 44,0,2024-09-07 10:01:20:871,168774,0.4,169004,0.6,337171,0.4,449510,2.00 44,1,2024-09-07 10:01:20:563,1158455,1158455,0,0,543558071126,5620553784,1148071,8311,2073,356,391809,0 44,2,2024-09-07 10:01:21:266,831850,831850,0,0,31773595,0,4344 44,3,2024-09-07 10:01:21:094,1,658,10,0,1097,8296,658,0 45,0,2024-09-07 10:01:21:761,162962,0.5,159172,0.7,334139,0.4,437636,2.00 45,1,2024-09-07 10:01:21:005,1157425,1157425,0,0,543031580690,5644790168,1148296,7909,1220,382,391917,0 45,2,2024-09-07 10:01:21:268,832202,832202,0,0,31686357,0,3596 45,3,2024-09-07 10:01:20:934,1,658,4,0,531,6161,658,0 46,0,2024-09-07 10:01:20:962,161027,0.5,160559,0.7,322166,0.5,427912,2.00 46,1,2024-09-07 10:01:20:575,1160072,1160072,0,0,544898907539,5633714772,1151977,7046,1049,366,391709,0 46,2,2024-09-07 10:01:20:593,833020,833020,0,0,33171521,0,4443 46,3,2024-09-07 10:01:21:135,1,658,5,0,908,7536,658,0 47,0,2024-09-07 10:01:21:110,164117,0.4,163820,0.6,329166,0.4,436423,2.00 47,1,2024-09-07 10:01:20:567,1160874,1160874,0,0,544627125926,5629454146,1153528,6333,1013,364,391641,0 47,2,2024-09-07 10:01:20:908,835900,835900,0,0,33223925,0,4477 47,3,2024-09-07 10:01:21:128,1,658,8,0,600,7071,658,0 48,0,2024-09-07 10:01:21:519,169086,0.3,169592,0.4,338039,0.2,449642,1.50 48,1,2024-09-07 10:01:21:110,1157950,1157950,0,0,543966044005,5653071586,1150378,6560,1012,381,391710,0 48,2,2024-09-07 10:01:20:699,833362,833362,0,0,30671396,0,3619 48,3,2024-09-07 10:01:20:753,1,658,6,0,339,5859,658,0 49,0,2024-09-07 10:01:21:715,171991,0.3,168439,0.5,327775,0.3,447037,1.75 49,1,2024-09-07 10:01:21:026,1157165,1157165,0,0,543092703519,5648668864,1149434,6035,1696,382,391809,0 49,2,2024-09-07 10:01:21:797,833079,833079,0,0,32097314,0,4426 49,3,2024-09-07 10:01:21:424,1,658,33,0,992,7880,658,0 50,0,2024-09-07 10:01:21:523,161545,0.3,160175,0.5,322495,0.2,429152,1.75 50,1,2024-09-07 10:01:21:009,1160268,1160268,0,0,545370170389,5638745816,1152829,6708,731,368,391691,0 50,2,2024-09-07 10:01:21:073,831135,831135,0,0,29880465,0,4490 50,3,2024-09-07 10:01:21:297,1,658,37,0,617,6654,658,0 51,0,2024-09-07 10:01:21:689,166683,0.3,163176,0.5,317712,0.2,433308,1.75 51,1,2024-09-07 10:01:21:685,1160292,1160292,0,0,545996039858,5630982379,1153658,5293,1341,365,391706,0 51,2,2024-09-07 10:01:21:331,833176,833176,0,0,29681002,0,3337 51,3,2024-09-07 10:01:21:036,1,658,7,0,678,4708,658,0 52,0,2024-09-07 10:01:21:416,168858,0.5,168933,0.6,337402,0.4,448488,2.00 52,1,2024-09-07 10:01:20:575,1155527,1155527,0,0,542335735942,5673556018,1139530,13609,2388,368,391805,0 52,2,2024-09-07 10:01:21:760,828363,828325,38,0,40899355,0,6742 52,3,2024-09-07 10:01:20:677,1,658,0,0,1782,7749,658,0 53,0,2024-09-07 10:01:21:753,167025,0.6,162140,0.8,339233,0.7,444113,2.25 53,1,2024-09-07 10:01:20:776,1153561,1153561,0,0,542659389825,5689264742,1134750,14403,4408,367,391968,0 53,2,2024-09-07 10:01:21:306,833522,833521,1,0,35204973,0,5455 53,3,2024-09-07 10:01:20:697,1,658,1,0,308,6019,658,0 54,0,2024-09-07 10:01:21:622,159796,0.6,160158,0.7,319069,0.5,425734,2.25 54,1,2024-09-07 10:01:20:580,1156743,1156743,0,0,543044247368,5644196156,1145418,9653,1672,366,391810,0 54,2,2024-09-07 10:01:20:871,832332,832300,32,0,40060163,0,6397 54,3,2024-09-07 10:01:20:763,1,658,1,0,676,8309,658,0 55,0,2024-09-07 10:01:21:770,156005,0.6,160914,0.8,326326,0.5,424890,2.50 55,1,2024-09-07 10:01:20:765,1157450,1157450,0,0,543026826423,5646827311,1145468,10496,1486,365,391731,0 55,2,2024-09-07 10:01:20:728,830624,830568,56,0,36919614,0,7239 55,3,2024-09-07 10:01:20:674,1,658,1,0,304,6065,658,0 56,0,2024-09-07 10:01:21:565,170268,1.1,160488,1.1,330633,1.4,442988,2.75 56,1,2024-09-07 10:01:20:593,1150329,1150329,0,0,540337079916,5711526034,1131543,15035,3751,381,391867,0 56,2,2024-09-07 10:01:21:303,830424,830302,122,0,39352221,0,7432 56,3,2024-09-07 10:01:21:079,1,658,13,0,705,8038,658,0 57,0,2024-09-07 10:01:20:948,166288,1.4,166133,1.2,332358,2.0,443992,3.00 57,1,2024-09-07 10:01:21:012,1153078,1153078,0,0,540779269290,5674785937,1137593,12795,2690,366,392032,0 57,2,2024-09-07 10:01:21:336,832171,832171,0,0,41632362,0,4804 57,3,2024-09-07 10:01:21:751,1,658,8,0,455,7242,658,0 58,0,2024-09-07 10:01:20:625,161102,0.9,156506,1.0,327610,1.1,428369,2.50 58,1,2024-09-07 10:01:20:577,1154117,1154114,0,3,542435126878,5687035342,1136440,13361,4313,367,391726,3 58,2,2024-09-07 10:01:21:077,831797,831797,0,0,38129577,0,3483 58,3,2024-09-07 10:01:21:079,1,658,1,0,1043,7239,658,0 59,0,2024-09-07 10:01:21:777,160248,0.8,159573,1.0,319119,0.9,423371,2.75 59,1,2024-09-07 10:01:20:804,1153929,1153929,0,0,541752882588,5691606569,1134980,15114,3835,369,391653,0 59,2,2024-09-07 10:01:20:583,831437,831437,0,0,36295564,0,3727 59,3,2024-09-07 10:01:21:737,1,658,8,0,1015,7859,658,0 60,0,2024-09-07 10:01:21:713,164606,0.5,164528,0.7,329408,0.5,439429,2.00 60,1,2024-09-07 10:01:20:788,1157656,1157656,0,0,543754614276,5660216392,1147600,8660,1396,370,392031,0 60,2,2024-09-07 10:01:21:143,833571,833571,0,0,34943811,0,3811 60,3,2024-09-07 10:01:21:278,1,658,1,0,409,7422,658,0 61,0,2024-09-07 10:01:21:499,168525,0.6,169195,0.8,336762,0.6,449392,2.00 61,1,2024-09-07 10:01:20:773,1155196,1155196,0,0,542322552470,5678807543,1142957,10463,1776,382,392127,0 61,2,2024-09-07 10:01:21:123,833274,833207,67,0,35847880,0,6411 61,3,2024-09-07 10:01:21:689,1,658,1,0,607,8237,658,0 62,0,2024-09-07 10:01:21:712,167049,0.6,171342,0.7,327029,0.6,443876,2.00 62,1,2024-09-07 10:01:21:117,1162141,1162135,0,6,545325747248,5627155522,1155606,6055,474,365,391975,6 62,2,2024-09-07 10:01:21:651,829495,829494,1,0,35197740,0,5555 62,3,2024-09-07 10:01:21:157,1,658,1,0,482,5281,658,0 63,0,2024-09-07 10:01:21:459,162470,0.4,162097,0.6,325104,0.3,431917,1.75 63,1,2024-09-07 10:01:20:804,1158540,1158534,0,6,543646787356,5646670992,1150954,6652,928,381,391800,6 63,2,2024-09-07 10:01:20:766,831692,831692,0,0,34309472,0,4369 63,3,2024-09-07 10:01:21:737,1,658,2,0,667,7128,658,0 64,0,2024-09-07 10:01:21:565,161798,0.5,161716,0.7,323207,0.4,430900,2.00 64,1,2024-09-07 10:01:20:760,1156630,1156630,0,0,544116586828,5666255014,1146480,8124,2026,370,391794,0 64,2,2024-09-07 10:01:21:143,836659,836640,19,0,33410829,0,6121 64,3,2024-09-07 10:01:21:140,1,658,2,0,651,7206,658,0 65,0,2024-09-07 10:01:21:734,167574,0.6,167895,0.7,335366,0.6,447200,2.00 65,1,2024-09-07 10:01:20:869,1155203,1155203,0,0,541917060275,5663928209,1147121,7185,897,381,391901,0 65,2,2024-09-07 10:01:21:693,830966,830966,0,0,39385014,0,3367 65,3,2024-09-07 10:01:21:690,1,658,14,0,782,7931,658,0 66,0,2024-09-07 10:01:21:797,167541,0.5,166876,0.7,334043,0.5,444623,2.00 66,1,2024-09-07 10:01:21:303,1157333,1157333,0,0,543551078594,5656831143,1149992,6470,871,380,391743,0 66,2,2024-09-07 10:01:21:132,834705,834702,3,0,34672669,0,5455 66,3,2024-09-07 10:01:21:097,1,658,9,0,291,5907,658,0 67,0,2024-09-07 10:01:21:421,160729,0.5,160345,0.7,321576,0.5,428137,2.00 67,1,2024-09-07 10:01:20:766,1157389,1157388,0,1,542501711209,5651122181,1148969,7279,1140,380,391787,1 67,2,2024-09-07 10:01:20:584,835633,835618,15,0,34680256,0,6205 67,3,2024-09-07 10:01:21:758,1,658,1,0,595,7089,658,0 68,0,2024-09-07 10:01:20:580,161685,0.6,161428,0.7,321843,0.5,430990,2.00 68,1,2024-09-07 10:01:20:585,1153019,1153019,0,0,540861558852,5688568073,1137246,11728,4045,381,391953,0 68,2,2024-09-07 10:01:21:059,828014,827914,100,0,43013558,0,8578 68,3,2024-09-07 10:01:20:728,1,658,2,0,417,8812,658,0 69,0,2024-09-07 10:01:21:780,167505,0.6,168095,0.8,335237,0.6,445994,2.25 69,1,2024-09-07 10:01:21:032,1152220,1152220,0,0,540509288972,5693399311,1137715,11526,2979,383,391994,0 69,2,2024-09-07 10:01:21:770,828869,828840,29,0,45996962,0,6912 69,3,2024-09-07 10:01:20:767,1,658,3,0,698,9510,658,0 70,0,2024-09-07 10:01:21:557,165787,0.8,166471,0.9,333972,0.7,443134,2.50 70,1,2024-09-07 10:01:20:803,1158626,1158626,0,0,544881749320,5652560907,1150016,7773,837,366,391725,0 70,2,2024-09-07 10:01:21:336,832935,832935,0,0,37681336,0,4323 70,3,2024-09-07 10:01:20:750,1,658,1,0,854,7432,658,0 71,0,2024-09-07 10:01:21:365,161200,0.9,160766,1.0,322893,1.0,430175,2.50 71,1,2024-09-07 10:01:21:600,1156520,1156520,0,0,542948232167,5664299197,1142708,12186,1626,367,391738,0 71,2,2024-09-07 10:01:21:073,831032,831032,0,0,37473813,0,4352 71,3,2024-09-07 10:01:21:753,1,658,62,0,644,7260,658,0 72,0,2024-09-07 10:01:21:044,166778,0.5,162876,0.7,318137,0.5,432903,2.00 72,1,2024-09-07 10:01:21:035,1154532,1154532,0,0,541525917464,5677040003,1138338,13506,2688,369,391819,0 72,2,2024-09-07 10:01:21:760,829886,829886,0,0,39534802,0,3983 72,3,2024-09-07 10:01:21:759,1,658,19,0,564,9343,658,0 73,0,2024-09-07 10:01:21:139,161849,0.4,165869,0.6,339030,0.4,442060,2.00 73,1,2024-09-07 10:01:20:794,1156427,1156427,0,0,543009483872,5643432642,1147565,7875,987,367,391858,0 73,2,2024-09-07 10:01:21:752,831537,831536,1,0,41295985,0,5027 73,3,2024-09-07 10:01:21:000,1,658,12,0,1091,9024,658,0 74,0,2024-09-07 10:01:21:343,169641,0.5,173652,0.7,331535,0.4,449807,2.00 74,1,2024-09-07 10:01:20:635,1155053,1155053,0,0,541892914579,5654021362,1142946,9967,2140,381,391762,0 74,2,2024-09-07 10:01:21:002,832014,832014,0,0,36398564,0,4253 74,3,2024-09-07 10:01:21:442,1,658,2,0,522,7603,658,0 75,0,2024-09-07 10:01:21:773,164889,0.5,163903,0.7,329020,0.5,438934,2.25 75,1,2024-09-07 10:01:21:599,1156719,1156719,0,0,543084218801,5658151467,1147258,8529,932,380,391739,0 75,2,2024-09-07 10:01:21:352,830063,830063,0,0,43003676,0,4766 75,3,2024-09-07 10:01:21:076,1,658,8,0,918,9082,658,0 76,0,2024-09-07 10:01:20:599,161459,0.5,160828,0.7,321446,0.5,429633,2.25 76,1,2024-09-07 10:01:20:808,1155988,1155988,0,0,542637641809,5660954898,1147566,7118,1304,382,391790,0 76,2,2024-09-07 10:01:21:070,834251,834248,3,0,35804504,0,5265 76,3,2024-09-07 10:01:21:142,1,658,2,0,227,5963,658,0 77,0,2024-09-07 10:01:21:724,163178,0.6,163574,0.7,327441,0.5,435455,2.00 77,1,2024-09-07 10:01:20:824,1156062,1156062,0,0,542326191944,5661548937,1146654,8354,1054,381,391869,0 77,2,2024-09-07 10:01:21:294,832195,832195,0,0,34906334,0,3890 77,3,2024-09-07 10:01:21:094,1,658,0,0,401,7663,658,0 78,0,2024-09-07 10:01:21:739,169373,0.4,168685,0.6,338580,0.4,447702,2.00 78,1,2024-09-07 10:01:20:617,1155295,1155295,0,0,542008909621,5659053738,1140385,11674,3236,367,391670,0 78,2,2024-09-07 10:01:21:412,833358,833345,13,0,32815212,0,8313 78,3,2024-09-07 10:01:21:136,1,658,15,0,311,5524,658,0 79,0,2024-09-07 10:01:21:349,161608,0.4,165583,0.6,339165,0.3,441609,2.00 79,1,2024-09-07 10:01:20:571,1159460,1159460,0,0,543866797172,5635758862,1149675,7985,1800,367,391682,0 79,2,2024-09-07 10:01:21:067,832695,832695,0,0,31534137,0,4195 79,3,2024-09-07 10:01:20:750,1,658,8,0,418,7466,658,0 80,0,2024-09-07 10:01:21:120,161107,0.5,165506,0.7,316111,0.5,428197,2.00 80,1,2024-09-07 10:01:21:625,1155958,1155958,0,0,543175078641,5655666094,1148292,7118,548,368,392269,0 80,2,2024-09-07 10:01:21:105,834979,834979,0,0,32494580,0,4433 80,3,2024-09-07 10:01:20:574,1,658,76,0,681,7959,658,0 81,0,2024-09-07 10:01:21:579,162321,0.6,165973,0.7,316907,0.6,430175,2.00 81,1,2024-09-07 10:01:21:652,1155139,1155139,0,0,541546755761,5659965729,1145844,8325,970,382,391885,0 81,2,2024-09-07 10:01:21:127,832221,832158,63,0,35773432,0,5932 81,3,2024-09-07 10:01:21:123,1,658,12,0,719,7213,658,0 82,0,2024-09-07 10:01:21:553,168445,0.5,168566,0.7,337666,0.4,448117,2.00 82,1,2024-09-07 10:01:20:589,1157037,1157033,0,4,543310897358,5650123323,1150578,5413,1042,381,391768,4 82,2,2024-09-07 10:01:21:691,834481,834481,0,0,30132800,0,4484 82,3,2024-09-07 10:01:21:755,1,658,34,0,363,6030,658,0 83,0,2024-09-07 10:01:21:529,167672,0.6,167551,0.7,334773,0.5,444930,2.25 83,1,2024-09-07 10:01:20:551,1155859,1155859,0,0,542534991370,5657050003,1147325,7539,995,382,391709,0 83,2,2024-09-07 10:01:20:764,833387,833362,25,0,32350654,0,5612 83,3,2024-09-07 10:01:20:761,1,658,2,0,1260,7799,658,0 84,0,2024-09-07 10:01:21:866,160198,0.7,160204,0.9,320458,0.7,427685,2.25 84,1,2024-09-07 10:01:21:091,1154359,1154359,0,0,541820568835,5666125656,1141339,11135,1885,367,391967,0 84,2,2024-09-07 10:01:20:584,830737,830327,410,0,46290860,0,17037 84,3,2024-09-07 10:01:21:143,1,658,4,0,908,9089,658,0 85,0,2024-09-07 10:01:21:057,155297,0.6,155280,0.8,329653,0.5,425516,2.25 85,1,2024-09-07 10:01:20:572,1151204,1151204,0,0,541184715385,5707697806,1134496,13904,2804,381,392092,0 85,2,2024-09-07 10:01:20:873,831094,831094,0,0,39808822,0,4255 85,3,2024-09-07 10:01:20:717,1,658,121,0,789,7500,658,0 86,0,2024-09-07 10:01:20:959,166518,0.7,171266,0.8,327660,0.7,443365,2.25 86,1,2024-09-07 10:01:20:845,1153554,1153554,0,0,541720973415,5683322043,1137703,12807,3044,366,392169,0 86,2,2024-09-07 10:01:20:862,830660,830659,1,0,42319022,0,5004 86,3,2024-09-07 10:01:20:593,1,658,1,0,308,8901,658,0 87,0,2024-09-07 10:01:21:306,168464,0.8,167366,0.8,335213,0.9,447855,2.25 87,1,2024-09-07 10:01:20:553,1153592,1153592,0,0,542257644978,5677216220,1139644,12140,1808,366,392076,0 87,2,2024-09-07 10:01:21:078,831986,831980,6,0,39333275,0,6323 87,3,2024-09-07 10:01:21:794,1,658,6,0,473,9108,658,0 88,0,2024-09-07 10:01:21:511,163447,0.4,163958,0.6,327695,0.4,435807,1.75 88,1,2024-09-07 10:01:20:574,1152637,1152637,0,0,540582353279,5665209275,1136388,13127,3122,365,392084,0 88,2,2024-09-07 10:01:20:779,831173,831173,0,0,40646997,0,4465 88,3,2024-09-07 10:01:21:268,1,658,2,0,1080,10351,658,0 89,0,2024-09-07 10:01:21:784,166075,0.4,161130,0.6,317807,0.4,431924,1.75 89,1,2024-09-07 10:01:20:561,1151848,1151848,0,0,541583484801,5694426978,1137844,11815,2189,382,391866,0 89,2,2024-09-07 10:01:21:152,831025,831025,0,0,38859072,0,3173 89,3,2024-09-07 10:01:21:799,1,658,4,0,468,10570,658,0 90,0,2024-09-07 10:01:21:782,159595,0.4,163879,0.6,334554,0.4,437118,2.00 90,1,2024-09-07 10:01:20:593,1153703,1153703,0,0,540609337735,5662241324,1142448,10203,1052,380,391825,0 90,2,2024-09-07 10:01:21:416,828918,828913,5,0,42082247,0,6370 90,3,2024-09-07 10:01:20:941,1,658,77,0,364,8778,658,0 91,0,2024-09-07 10:01:21:047,169172,0.5,164102,0.6,343327,0.5,450262,1.75 91,1,2024-09-07 10:01:20:562,1151001,1151001,0,0,541142511849,5704986721,1133324,13716,3961,381,392047,0 91,2,2024-09-07 10:01:21:366,832254,832254,0,0,37567465,0,4713 91,3,2024-09-07 10:01:20:606,1,658,2,0,231,6247,658,0 92,0,2024-09-07 10:01:21:729,168016,0.5,171817,0.6,327815,0.4,444111,1.75 92,1,2024-09-07 10:01:20:580,1155238,1155238,0,0,542312572699,5665145832,1146117,7814,1307,381,392136,0 92,2,2024-09-07 10:01:21:373,831223,831223,0,0,33386865,0,3906 92,3,2024-09-07 10:01:21:012,1,658,7,0,167,5473,658,0 93,0,2024-09-07 10:01:21:003,162954,0.4,166895,0.6,318721,0.3,431880,1.75 93,1,2024-09-07 10:01:20:814,1155651,1155651,0,0,542391906974,5668902435,1141751,11468,2432,365,392048,0 93,2,2024-09-07 10:01:20:936,831785,831785,0,0,39389028,0,4913 93,3,2024-09-07 10:01:21:414,1,658,8,0,505,7404,658,0 94,0,2024-09-07 10:01:21:728,161872,0.4,163051,0.6,326156,0.3,433398,1.75 94,1,2024-09-07 10:01:20:586,1156328,1156328,0,0,542836321833,5661247802,1148647,7191,490,381,391850,0 94,2,2024-09-07 10:01:20:777,833457,833429,28,0,34161863,0,6179 94,3,2024-09-07 10:01:21:706,1,658,8,0,576,8230,658,0 95,0,2024-09-07 10:01:21:398,168903,0.4,168805,0.6,337954,0.3,450150,1.75 95,1,2024-09-07 10:01:21:067,1157288,1157288,0,0,543374087954,5646604260,1148301,8224,763,365,391852,0 95,2,2024-09-07 10:01:21:046,831126,831126,0,0,33995455,0,3308 95,3,2024-09-07 10:01:21:717,1,658,12,0,718,10034,658,0 96,0,2024-09-07 10:01:21:152,167907,0.3,168050,0.5,336426,0.3,447277,1.75 96,1,2024-09-07 10:01:21:603,1155354,1155354,0,0,542653049761,5662410522,1146928,7134,1292,384,391964,0 96,2,2024-09-07 10:01:21:278,833721,833721,0,0,34160475,0,4180 96,3,2024-09-07 10:01:21:154,1,658,58,0,411,7340,658,0 97,0,2024-09-07 10:01:21:407,160882,0.3,160738,0.5,322125,0.3,428040,1.50 97,1,2024-09-07 10:01:20:781,1157247,1157247,0,0,543573445713,5641363720,1149562,6448,1237,367,392140,0 97,2,2024-09-07 10:01:20:610,833554,833554,0,0,34756037,0,4600 97,3,2024-09-07 10:01:20:570,1,658,3,0,433,7917,658,0 98,0,2024-09-07 10:01:21:876,161347,0.3,161333,0.5,324108,0.2,431416,1.50 98,1,2024-09-07 10:01:20:580,1156536,1156536,0,0,542778482221,5655665633,1149116,6523,897,381,391997,0 98,2,2024-09-07 10:01:20:776,832019,832019,0,0,32783154,0,4336 98,3,2024-09-07 10:01:20:723,1,658,24,0,840,9390,658,0 99,0,2024-09-07 10:01:21:503,167974,0.3,168837,0.5,336314,0.3,448448,1.75 99,1,2024-09-07 10:01:21:735,1157538,1157538,0,0,543161803399,5654063312,1150034,6411,1093,380,392069,0 99,2,2024-09-07 10:01:21:442,831031,831031,0,0,42095970,0,4276 99,3,2024-09-07 10:01:20:582,1,658,23,0,1124,8297,658,0 100,0,2024-09-07 10:01:21:472,167195,0.8,167760,0.9,335194,0.8,447574,2.50 100,1,2024-09-07 10:01:20:570,1150494,1150494,0,0,540443974593,5711463843,1133100,13896,3498,378,391989,0 100,2,2024-09-07 10:01:21:852,829142,828753,389,0,43532115,0,16909 100,3,2024-09-07 10:01:21:736,1,658,1,0,627,10514,658,0 101,0,2024-09-07 10:01:21:745,165876,1.0,161855,1.0,316573,0.9,432067,2.25 101,1,2024-09-07 10:01:20:552,1152905,1152905,0,0,541427334683,5689456340,1137323,12714,2868,368,391847,0 101,2,2024-09-07 10:01:21:760,826526,826526,0,0,43412292,0,4871 101,3,2024-09-07 10:01:20:945,1,658,72,0,1250,9785,658,0 102,0,2024-09-07 10:01:20:965,157141,0.6,161866,0.7,328838,0.6,429992,2.00 102,1,2024-09-07 10:01:21:143,1152652,1152652,0,0,541912255152,5689779701,1137632,12806,2214,369,391984,0 102,2,2024-09-07 10:01:21:738,832228,832174,54,0,37239237,0,6768 102,3,2024-09-07 10:01:21:619,1,658,9,0,466,7519,658,0 103,0,2024-09-07 10:01:21:629,171537,0.6,171560,0.7,323221,0.6,445273,2.00 103,1,2024-09-07 10:01:21:629,1151550,1151550,0,0,540764351191,5709419764,1133385,14542,3623,381,392077,0 103,2,2024-09-07 10:01:20:582,830127,830127,0,0,40636437,0,3766 103,3,2024-09-07 10:01:20:755,1,658,2,0,916,7679,658,0 104,0,2024-09-07 10:01:21:652,167363,0.7,167697,0.9,334473,0.7,446984,2.25 104,1,2024-09-07 10:01:21:599,1153877,1153877,0,0,541277233954,5688976474,1136402,14230,3245,365,392168,0 104,2,2024-09-07 10:01:21:677,830269,830269,0,0,39850462,0,4161 104,3,2024-09-07 10:01:21:428,1,658,4,0,1245,11118,658,0 105,0,2024-09-07 10:01:21:073,162905,0.9,158774,1.0,332810,0.9,436970,2.50 105,1,2024-09-07 10:01:20:560,1156018,1156018,0,0,542520533975,5680843496,1142003,12059,1956,364,392009,0 105,2,2024-09-07 10:01:21:334,830105,830105,0,0,40496451,0,4360 105,3,2024-09-07 10:01:21:314,1,658,11,0,573,9891,658,0 106,0,2024-09-07 10:01:20:972,156403,0.9,160250,0.9,328104,1.0,427976,2.50 106,1,2024-09-07 10:01:21:750,1153557,1153557,0,0,541346104838,5679561166,1137727,13802,2028,368,391914,0 106,2,2024-09-07 10:01:20:755,831098,831098,0,0,37541738,0,3331 106,3,2024-09-07 10:01:20:679,1,658,2,0,1224,9032,658,0 107,0,2024-09-07 10:01:21:122,163319,1.0,163432,1.0,326822,1.2,436373,2.25 107,1,2024-09-07 10:01:20:585,1151584,1151584,0,0,539588801946,5701038592,1133426,15973,2185,381,392234,0 107,2,2024-09-07 10:01:21:297,829108,829107,1,0,41202504,0,5024 107,3,2024-09-07 10:01:21:759,1,658,14,0,733,9793,658,0 108,0,2024-09-07 10:01:21:788,168429,0.4,169268,0.6,337452,0.4,449512,1.75 108,1,2024-09-07 10:01:21:302,1155062,1155062,0,0,542451473511,5659682850,1145283,8694,1085,367,391894,0 108,2,2024-09-07 10:01:21:760,832619,832619,0,0,37104608,0,4432 108,3,2024-09-07 10:01:21:335,1,658,8,0,767,12259,658,0 109,0,2024-09-07 10:01:21:761,168169,0.4,166751,0.6,334466,0.3,446223,1.75 109,1,2024-09-07 10:01:20:613,1153024,1153024,0,0,541792117413,5684946752,1142581,8943,1500,382,392132,0 109,2,2024-09-07 10:01:20:920,830284,830284,0,0,36577517,0,3617 109,3,2024-09-07 10:01:21:144,1,658,4,0,630,7693,658,0 110,0,2024-09-07 10:01:21:781,160865,0.4,156449,0.6,327754,0.3,430133,1.75 110,1,2024-09-07 10:01:21:652,1157451,1157451,0,0,543420967084,5641193413,1148702,6723,2026,368,392045,0 110,2,2024-09-07 10:01:21:304,832977,832977,0,0,35536111,0,4067 110,3,2024-09-07 10:01:20:695,1,658,1,0,722,8760,658,0 111,0,2024-09-07 10:01:21:414,163145,0.4,162325,0.5,324300,0.3,433001,1.75 111,1,2024-09-07 10:01:21:005,1158702,1158702,0,0,544445822381,5650502427,1152220,6094,388,380,391690,0 111,2,2024-09-07 10:01:21:116,832756,832756,0,0,35378870,0,4823 111,3,2024-09-07 10:01:20:916,1,658,3,0,379,7326,658,0 112,0,2024-09-07 10:01:20:919,169334,0.3,168784,0.4,338188,0.2,449189,1.50 112,1,2024-09-07 10:01:20:824,1157606,1157606,0,0,543370003465,5641296420,1150134,6247,1225,380,391624,0 112,2,2024-09-07 10:01:21:134,832136,832135,1,0,33624128,0,5036 112,3,2024-09-07 10:01:20:593,1,658,1,0,282,6557,658,0 113,0,2024-09-07 10:01:20:901,167660,0.3,167787,0.5,336072,0.2,447090,1.75 113,1,2024-09-07 10:01:21:689,1160523,1160523,0,0,545576237195,5629701353,1153701,5872,950,365,391664,0 113,2,2024-09-07 10:01:21:303,835313,835313,0,0,31005825,0,3813 113,3,2024-09-07 10:01:20:685,1,658,3,0,510,6838,658,0 114,0,2024-09-07 10:01:20:910,161796,0.3,162810,0.5,324775,0.2,433107,1.75 114,1,2024-09-07 10:01:20:716,1157041,1157041,0,0,543210657947,5639937631,1148593,6680,1768,381,391565,0 114,2,2024-09-07 10:01:20:875,834158,834157,1,0,32959072,0,5069 114,3,2024-09-07 10:01:21:290,1,658,0,0,415,5485,658,0 115,0,2024-09-07 10:01:20:561,162338,0.3,162835,0.4,324340,0.2,431693,1.50 115,1,2024-09-07 10:01:20:571,1157390,1157390,0,0,543845454706,5650218334,1147961,7639,1790,382,391757,0 115,2,2024-09-07 10:01:21:126,833817,833817,0,0,32730666,0,4382 115,3,2024-09-07 10:01:21:004,1,658,4,0,173,4223,658,0 116,0,2024-09-07 10:01:21:737,166340,0.7,166304,0.8,333482,0.7,445643,2.00 116,1,2024-09-07 10:01:20:809,1151130,1151130,0,0,541363723107,5703002389,1137572,10350,3208,380,392089,0 116,2,2024-09-07 10:01:21:772,831478,831478,0,0,40144153,0,4475 116,3,2024-09-07 10:01:20:918,1,658,7,0,448,8566,658,0 117,0,2024-09-07 10:01:20:967,168452,0.7,168010,0.8,336254,0.7,449167,2.00 117,1,2024-09-07 10:01:21:584,1153396,1153396,0,0,541264041737,5666911146,1140717,11060,1619,369,392429,0 117,2,2024-09-07 10:01:21:158,834665,834665,0,0,36594655,0,4303 117,3,2024-09-07 10:01:21:077,1,658,11,0,490,8093,658,0 118,0,2024-09-07 10:01:21:826,158554,0.5,162895,0.7,332292,0.5,434280,2.00 118,1,2024-09-07 10:01:20:586,1152394,1152394,0,0,540771004810,5683368961,1135545,13030,3819,366,392054,0 118,2,2024-09-07 10:01:21:607,830470,830470,0,0,39255636,0,3161 118,3,2024-09-07 10:01:21:779,1,658,27,0,343,8024,658,0 119,0,2024-09-07 10:01:21:368,161293,0.7,161773,0.8,322987,0.8,430454,2.25 119,1,2024-09-07 10:01:20:552,1153574,1153574,0,0,541863528860,5682130161,1138159,12754,2661,367,391857,0 119,2,2024-09-07 10:01:21:267,832151,832151,0,0,36137335,0,4309 119,3,2024-09-07 10:01:21:328,1,658,7,0,1358,11866,658,0 120,0,2024-09-07 10:01:21:704,163787,0.7,163517,0.8,328660,0.7,438577,2.25 120,1,2024-09-07 10:01:20:933,1154434,1154434,0,0,541226531998,5674904077,1142050,11227,1157,367,392144,0 120,2,2024-09-07 10:01:20:973,831348,831345,3,0,43601825,0,5363 120,3,2024-09-07 10:01:21:299,1,658,5,0,478,8462,658,0 121,0,2024-09-07 10:01:21:770,168782,1.2,168258,1.0,336559,1.5,448672,2.25 121,1,2024-09-07 10:01:21:663,1153982,1153982,0,0,542138564681,5672638915,1141659,10480,1843,366,391840,0 121,2,2024-09-07 10:01:21:125,832542,832542,0,0,39745466,0,4157 121,3,2024-09-07 10:01:20:729,1,658,8,0,387,8502,658,0 122,0,2024-09-07 10:01:21:779,165915,0.7,161381,0.8,337803,0.8,443859,2.00 122,1,2024-09-07 10:01:20:869,1153504,1153504,0,0,541769072526,5681852564,1138247,12838,2419,365,392130,0 122,2,2024-09-07 10:01:21:344,831517,831442,75,0,43208494,0,5989 122,3,2024-09-07 10:01:20:595,1,658,9,0,512,10109,658,0 123,0,2024-09-07 10:01:20:957,161083,0.8,156954,0.9,328991,0.9,430391,2.25 123,1,2024-09-07 10:01:20:570,1154115,1154115,0,0,541914503574,5697509159,1135874,15352,2889,369,392039,0 123,2,2024-09-07 10:01:21:021,830555,830554,1,0,37201411,0,5215 123,3,2024-09-07 10:01:21:132,1,658,9,0,478,7384,658,0 124,0,2024-09-07 10:01:21:045,167016,0.3,167123,0.5,314914,0.3,433999,1.75 124,1,2024-09-07 10:01:21:045,1157224,1157224,0,0,542149135147,5637581634,1148442,7550,1232,365,392178,0 124,2,2024-09-07 10:01:21:050,834919,834866,53,0,34781177,0,6487 124,3,2024-09-07 10:01:20:764,1,658,1,0,490,6581,658,0 125,0,2024-09-07 10:01:21:435,168847,0.4,168735,0.6,338104,0.4,450595,1.75 125,1,2024-09-07 10:01:20:874,1154801,1154801,0,0,542533961426,5669449513,1144532,8759,1510,382,392045,0 125,2,2024-09-07 10:01:21:122,834382,834382,0,0,34607343,0,4534 125,3,2024-09-07 10:01:21:126,1,658,37,0,709,7510,658,0 126,0,2024-09-07 10:01:21:436,168133,0.4,172938,0.5,330470,0.3,447998,1.75 126,1,2024-09-07 10:01:20:672,1158203,1158203,0,0,543413526791,5630434856,1151396,6128,679,365,391987,0 126,2,2024-09-07 10:01:20:611,833863,833863,0,0,35542563,0,4539 126,3,2024-09-07 10:01:20:919,1,658,1,0,268,7547,658,0 127,0,2024-09-07 10:01:21:593,161176,0.3,161389,0.5,322094,0.3,428315,1.75 127,1,2024-09-07 10:01:20:575,1156144,1156144,0,0,542627314373,5648333517,1144090,10363,1691,364,392187,0 127,2,2024-09-07 10:01:20:637,833268,833264,4,0,33736366,0,5305 127,3,2024-09-07 10:01:21:271,1,658,6,0,968,6445,658,0 128,0,2024-09-07 10:01:21:544,162402,0.3,162312,0.4,324708,0.2,432080,1.50 128,1,2024-09-07 10:01:21:618,1155823,1155823,0,0,542784954283,5645106911,1146972,7882,969,367,392423,0 128,2,2024-09-07 10:01:21:391,834410,834410,0,0,31800871,0,3171 128,3,2024-09-07 10:01:20:781,1,658,19,0,1082,9992,658,0 129,0,2024-09-07 10:01:21:037,169195,0.3,168453,0.5,338004,0.3,449112,1.50 129,1,2024-09-07 10:01:20:571,1152643,1152643,0,0,542003387231,5686419120,1140023,10293,2327,379,391962,0 129,2,2024-09-07 10:01:20:686,833485,833481,4,0,34336257,0,5335 129,3,2024-09-07 10:01:20:696,1,658,2,0,506,9279,658,0 130,0,2024-09-07 10:01:21:739,168412,0.4,168215,0.6,336709,0.4,448620,1.75 130,1,2024-09-07 10:01:20:585,1157759,1157759,0,0,543293573863,5641316095,1152127,5226,406,381,391825,0 130,2,2024-09-07 10:01:21:124,833332,833332,0,0,34389645,0,4067 130,3,2024-09-07 10:01:21:291,1,658,1,0,960,8594,658,0 131,0,2024-09-07 10:01:21:956,162313,0.3,162827,0.5,326575,0.3,433593,1.50 131,1,2024-09-07 10:01:21:829,1156728,1156728,0,0,542452548432,5654416289,1148725,6801,1202,381,391865,0 131,2,2024-09-07 10:01:20:577,832506,832506,0,0,31637202,0,3979 131,3,2024-09-07 10:01:21:689,1,658,10,0,392,8375,658,0 132,0,2024-09-07 10:01:21:414,161895,0.5,162913,0.6,324022,0.4,431435,2.00 132,1,2024-09-07 10:01:20:579,1152398,1152398,0,0,540678988961,5689488940,1136118,13500,2780,381,392532,0 132,2,2024-09-07 10:01:20:699,831416,831399,17,0,40577095,0,6451 132,3,2024-09-07 10:01:21:695,1,658,12,0,1298,11491,658,0 133,0,2024-09-07 10:01:21:540,161913,0.4,165735,0.6,339941,0.4,442632,2.00 133,1,2024-09-07 10:01:20:589,1152339,1152339,0,0,541127121668,5698954449,1137197,13211,1931,383,391914,0 133,2,2024-09-07 10:01:21:094,833036,832986,50,0,41721661,0,6861 133,3,2024-09-07 10:01:21:302,1,658,2,0,528,7730,658,0 134,0,2024-09-07 10:01:20:963,169147,0.5,169191,0.7,338223,0.5,450383,2.25 134,1,2024-09-07 10:01:20:585,1153209,1153209,0,0,540926498691,5675446684,1137834,12185,3190,366,391781,0 134,2,2024-09-07 10:01:21:756,832778,832754,24,0,38248376,0,6207 134,3,2024-09-07 10:01:20:750,1,658,0,0,739,8148,658,0 135,0,2024-09-07 10:01:21:108,159569,0.7,159573,0.8,338860,0.8,436197,2.25 135,1,2024-09-07 10:01:21:605,1153572,1153572,0,0,541895960578,5696687087,1139296,12426,1850,380,392038,0 135,2,2024-09-07 10:01:20:686,832356,832356,0,0,39338316,0,4503 135,3,2024-09-07 10:01:21:002,1,658,8,0,900,6279,658,0 136,0,2024-09-07 10:01:21:623,162253,0.6,162765,0.8,323308,0.6,431226,2.00 136,1,2024-09-07 10:01:21:455,1154615,1154615,0,0,541892985521,5675874988,1142468,10752,1395,381,392135,0 136,2,2024-09-07 10:01:21:134,833541,833526,15,0,38840984,0,6007 136,3,2024-09-07 10:01:21:117,1,658,7,0,637,7668,658,0 137,0,2024-09-07 10:01:20:951,168720,0.6,164482,0.8,322210,0.7,438016,2.00 137,1,2024-09-07 10:01:20:597,1153611,1153611,0,0,542126057066,5684976854,1135716,14289,3606,366,391898,0 137,2,2024-09-07 10:01:21:714,831421,831421,0,0,40034063,0,3185 137,3,2024-09-07 10:01:20:783,1,658,1,0,484,8918,658,0 138,0,2024-09-07 10:01:21:775,167777,1.0,168116,0.9,336877,1.2,447855,2.25 138,1,2024-09-07 10:01:21:690,1153552,1153552,0,0,541570481864,5683060652,1137317,13597,2638,368,391954,0 138,2,2024-09-07 10:01:20:586,831281,831281,0,0,38661787,0,4988 138,3,2024-09-07 10:01:20:613,1,658,1,0,1200,9951,658,0 139,0,2024-09-07 10:01:21:374,165940,0.9,166512,0.9,332802,1.2,444531,2.25 139,1,2024-09-07 10:01:20:585,1149154,1149154,0,0,539016335413,5712206346,1129779,15489,3886,380,392109,0 139,2,2024-09-07 10:01:20:713,826109,826079,30,0,43776695,0,5997 139,3,2024-09-07 10:01:21:668,1,658,7,0,432,7723,658,0 140,0,2024-09-07 10:01:21:604,161446,0.3,160710,0.5,322677,0.2,430427,1.75 140,1,2024-09-07 10:01:21:540,1161144,1161144,0,0,545003383124,5622984555,1154984,5423,737,364,391628,0 140,2,2024-09-07 10:01:20:696,832990,832989,1,0,32087586,0,5036 140,3,2024-09-07 10:01:20:775,1,658,37,0,575,6692,658,0 141,0,2024-09-07 10:01:21:705,162710,0.3,167245,0.5,319643,0.3,432600,1.75 141,1,2024-09-07 10:01:20:858,1157700,1157700,0,0,543841634978,5653789674,1147742,8572,1386,379,391614,0 141,2,2024-09-07 10:01:21:690,833671,833660,11,0,34396096,0,5369 141,3,2024-09-07 10:01:21:045,1,658,0,0,391,7569,658,0 142,0,2024-09-07 10:01:21:329,169344,0.3,168506,0.5,337627,0.3,450785,1.50 142,1,2024-09-07 10:01:20:597,1155987,1155987,0,0,542215123501,5655328888,1147977,7341,669,382,392102,0 142,2,2024-09-07 10:01:21:308,831262,831230,32,0,34643136,0,6028 142,3,2024-09-07 10:01:21:769,1,658,28,0,484,6870,658,0 143,0,2024-09-07 10:01:21:403,167851,0.4,167611,0.5,336220,0.4,447254,1.75 143,1,2024-09-07 10:01:20:590,1158797,1158797,0,0,544317043223,5648686467,1151190,6705,902,367,391900,0 143,2,2024-09-07 10:01:20:783,834013,834013,0,0,34236319,0,3123 143,3,2024-09-07 10:01:21:145,1,658,10,0,462,7678,658,0 144,0,2024-09-07 10:01:21:524,156776,0.6,161305,0.7,328322,0.5,429509,2.00 144,1,2024-09-07 10:01:20:566,1152851,1152851,0,0,540326230360,5671189192,1141255,9555,2041,381,391733,0 144,2,2024-09-07 10:01:21:762,833542,833542,0,0,34171397,0,4443 144,3,2024-09-07 10:01:21:740,1,658,1,0,306,7003,658,0 145,0,2024-09-07 10:01:21:388,156393,0.5,156436,0.8,331912,0.5,427373,2.25 145,1,2024-09-07 10:01:20:552,1151915,1151915,0,0,541265422495,5694931060,1136739,12421,2755,382,391781,0 145,2,2024-09-07 10:01:21:434,830481,830399,82,0,39654299,0,7814 145,3,2024-09-07 10:01:20:898,1,658,1,0,622,8748,658,0 146,0,2024-09-07 10:01:21:622,167012,0.6,166035,0.8,334014,0.6,443963,2.25 146,1,2024-09-07 10:01:21:611,1153876,1153876,0,0,542063367453,5701051089,1135306,13750,4820,367,391829,0 146,2,2024-09-07 10:01:21:709,830038,830032,6,0,38135799,0,5151 146,3,2024-09-07 10:01:21:279,1,658,1,0,1520,10795,658,0 147,0,2024-09-07 10:01:21:697,168357,0.6,168013,0.7,336117,0.6,448260,2.00 147,1,2024-09-07 10:01:21:372,1157480,1157480,0,0,542982191926,5642855863,1148257,8183,1040,367,391791,0 147,2,2024-09-07 10:01:21:025,832842,832842,0,0,34490516,0,3359 147,3,2024-09-07 10:01:20:919,1,658,1,0,1626,10073,658,0 0,0,2024-09-07 10:01:31:809,160407,0.6,160269,0.8,340232,0.7,439884,2.00 0,1,2024-09-07 10:01:30:836,1156203,1156203,0,0,542510022279,5680445308,1146630,8612,961,368,391896,0 0,2,2024-09-07 10:01:31:073,834486,834486,0,0,33816319,0,4480 0,3,2024-09-07 10:01:30:982,1,659,18,0,431,9048,659,0 1,0,2024-09-07 10:01:31:780,168664,0.8,167679,0.9,336423,1.0,449932,2.00 1,1,2024-09-07 10:01:30:575,1154758,1154758,0,0,542023409969,5680580628,1143580,9214,1964,370,391859,0 1,2,2024-09-07 10:01:30:646,834819,834819,0,0,33314582,0,3380 1,3,2024-09-07 10:01:31:308,1,659,3,0,269,7896,659,0 2,0,2024-09-07 10:01:31:578,166455,0.6,166110,0.7,332001,0.6,442746,2.00 2,1,2024-09-07 10:01:30:865,1158736,1158736,0,0,544941573017,5666674380,1151967,5810,959,379,391805,0 2,2,2024-09-07 10:01:31:268,833662,833662,0,0,31574400,0,3594 2,3,2024-09-07 10:01:30:690,1,659,1,0,357,5627,659,0 3,0,2024-09-07 10:01:31:750,162012,0.4,161957,0.6,323619,0.4,431017,2.00 3,1,2024-09-07 10:01:31:624,1157278,1157278,0,0,542916497762,5647857653,1148972,7588,718,379,391716,0 3,2,2024-09-07 10:01:31:149,834427,834404,23,0,32945795,0,5851 3,3,2024-09-07 10:01:31:754,1,659,13,0,484,5049,659,0 4,0,2024-09-07 10:01:32:022,158135,0.4,162551,0.5,331404,0.3,433641,1.75 4,1,2024-09-07 10:01:30:599,1151910,1151910,0,0,540810163347,5723236865,1132299,15037,4574,369,391992,0 4,2,2024-09-07 10:01:31:044,831852,831852,0,0,40301916,0,4534 4,3,2024-09-07 10:01:31:041,1,659,14,0,448,8715,659,0 5,0,2024-09-07 10:01:31:416,169069,0.4,168903,0.6,338199,0.4,450228,1.75 5,1,2024-09-07 10:01:30:766,1153586,1153586,0,0,541446573092,5714158174,1136144,13208,4234,367,392005,0 5,2,2024-09-07 10:01:31:844,829995,829962,33,0,40222365,0,7631 5,3,2024-09-07 10:01:31:736,1,659,1,0,457,8706,659,0 6,0,2024-09-07 10:01:30:923,168089,0.5,167631,0.6,335282,0.4,446975,2.00 6,1,2024-09-07 10:01:30:745,1156230,1156230,0,0,543209093731,5670202627,1144624,10016,1590,379,391702,0 6,2,2024-09-07 10:01:31:115,833462,833444,18,0,36939709,0,5535 6,3,2024-09-07 10:01:31:279,1,659,8,0,710,7831,659,0 7,0,2024-09-07 10:01:31:545,160391,0.5,161513,0.7,320605,0.5,427583,2.00 7,1,2024-09-07 10:01:30:850,1153818,1153818,0,0,541659414188,5700983479,1136244,13439,4135,382,391747,0 7,2,2024-09-07 10:01:30:769,833285,833285,0,0,36035878,0,4791 7,3,2024-09-07 10:01:30:853,1,659,2,0,552,7584,659,0 8,0,2024-09-07 10:01:31:388,162532,0.4,162145,0.5,324774,0.3,433223,1.75 8,1,2024-09-07 10:01:31:031,1152998,1152998,0,0,542004935951,5696215375,1135395,13880,3723,366,392853,0 8,2,2024-09-07 10:01:30:841,828364,828362,2,0,42864636,0,5112 8,3,2024-09-07 10:01:30:597,1,659,131,0,772,10133,659,0 9,0,2024-09-07 10:01:31:155,168342,0.4,163555,0.5,342246,0.3,449062,1.75 9,1,2024-09-07 10:01:30:570,1152743,1152743,0,0,541644491315,5711010064,1134035,14602,4106,369,392001,0 9,2,2024-09-07 10:01:31:088,831390,831389,1,0,40786815,0,5281 9,3,2024-09-07 10:01:31:768,1,659,10,0,1273,10897,659,0 10,0,2024-09-07 10:01:31:610,167913,0.4,167321,0.5,335672,0.3,447051,1.75 10,1,2024-09-07 10:01:30:589,1154985,1154985,0,0,541595769656,5683494585,1137743,13713,3529,381,391981,0 10,2,2024-09-07 10:01:30:761,833690,833690,0,0,43811476,0,4713 10,3,2024-09-07 10:01:30:883,1,659,1,0,669,7173,659,0 11,0,2024-09-07 10:01:31:008,162263,0.4,157537,0.6,329691,0.4,433830,1.75 11,1,2024-09-07 10:01:30:575,1157216,1157216,0,0,542739893187,5691617460,1140687,12221,4308,383,391766,0 11,2,2024-09-07 10:01:31:128,832462,832462,0,0,37903761,0,4698 11,3,2024-09-07 10:01:31:298,1,659,15,0,843,8220,659,0 12,0,2024-09-07 10:01:30:946,163085,0.4,162895,0.5,325343,0.4,432860,1.75 12,1,2024-09-07 10:01:30:942,1156250,1156250,0,0,542345348720,5658964396,1146741,8484,1025,370,391960,0 12,2,2024-09-07 10:01:31:550,831623,831623,0,0,37820547,0,4390 12,3,2024-09-07 10:01:31:072,1,659,0,0,386,8303,659,0 13,0,2024-09-07 10:01:31:368,167313,0.4,167569,0.6,334172,0.4,445526,1.75 13,1,2024-09-07 10:01:31:524,1153648,1153648,0,0,542255099009,5703941949,1141164,9650,2834,382,391803,0 13,2,2024-09-07 10:01:30:640,834743,834743,0,0,34141618,0,3287 13,3,2024-09-07 10:01:31:772,1,659,9,0,522,8870,659,0 14,0,2024-09-07 10:01:30:588,168581,0.4,169641,0.6,337246,0.4,448835,1.75 14,1,2024-09-07 10:01:31:564,1161752,1161752,0,0,545788779323,5647666633,1152724,7894,1134,364,391673,0 14,2,2024-09-07 10:01:30:765,834630,834600,30,0,35146245,0,6104 14,3,2024-09-07 10:01:31:118,1,659,5,0,1168,6945,659,0 15,0,2024-09-07 10:01:31:565,164913,0.4,164105,0.6,328483,0.3,437932,1.75 15,1,2024-09-07 10:01:31:618,1158315,1158315,0,0,544214140035,5670081923,1148168,8138,2009,381,391619,0 15,2,2024-09-07 10:01:31:011,834552,834552,0,0,31065417,0,3622 15,3,2024-09-07 10:01:31:405,1,659,1,0,1126,8418,659,0 16,0,2024-09-07 10:01:30:969,161842,0.6,162629,0.8,324201,0.6,431231,2.00 16,1,2024-09-07 10:01:30:578,1157599,1157599,0,0,542654155953,5673891333,1147101,8956,1542,370,392194,0 16,2,2024-09-07 10:01:31:442,832542,832542,0,0,34717444,0,4719 16,3,2024-09-07 10:01:31:150,1,659,59,0,358,7987,659,0 17,0,2024-09-07 10:01:31:794,168999,0.7,164690,0.8,322718,0.7,438998,2.00 17,1,2024-09-07 10:01:30:568,1155905,1155905,0,0,542355888278,5687288079,1144906,9205,1794,368,392075,0 17,2,2024-09-07 10:01:31:675,836479,836478,1,0,36094168,0,5050 17,3,2024-09-07 10:01:30:574,1,659,2,0,518,9513,659,0 18,0,2024-09-07 10:01:30:946,167108,0.7,168221,0.8,334789,0.7,446984,2.25 18,1,2024-09-07 10:01:31:639,1160392,1160392,0,0,544024727131,5642765038,1152785,6342,1265,367,391725,0 18,2,2024-09-07 10:01:31:761,836189,836189,0,0,32414400,0,3541 18,3,2024-09-07 10:01:30:897,1,659,3,0,1059,5961,659,0 19,0,2024-09-07 10:01:31:545,166761,0.6,167266,0.8,333313,0.7,443150,2.00 19,1,2024-09-07 10:01:30:569,1159732,1159732,0,0,544704797632,5646452226,1150899,7673,1160,365,391777,0 19,2,2024-09-07 10:01:31:758,835888,835888,0,0,30046892,0,3988 19,3,2024-09-07 10:01:31:129,1,659,2,0,524,5257,659,0 20,0,2024-09-07 10:01:31:466,161253,0.5,161163,0.7,322240,0.5,430072,2.00 20,1,2024-09-07 10:01:30:569,1155562,1155562,0,0,542214122476,5682106812,1143847,10114,1601,369,391922,0 20,2,2024-09-07 10:01:30:935,833304,833304,0,0,37747444,0,4321 20,3,2024-09-07 10:01:30:596,1,659,250,0,468,10901,659,0 21,0,2024-09-07 10:01:31:134,162719,0.5,162732,0.6,325466,0.4,432163,2.00 21,1,2024-09-07 10:01:31:536,1153595,1153595,0,0,540993721055,5709034745,1135524,14108,3963,368,392016,0 21,2,2024-09-07 10:01:31:101,828012,827535,477,0,48663481,0,17074 21,3,2024-09-07 10:01:31:404,1,659,3,0,713,8937,659,0 22,0,2024-09-07 10:01:31:721,168830,0.5,169467,0.7,338625,0.4,449497,2.00 22,1,2024-09-07 10:01:31:028,1154131,1154131,0,0,542157559994,5704062849,1135437,15104,3590,381,391822,0 22,2,2024-09-07 10:01:30:760,831988,831962,26,0,36252114,0,6328 22,3,2024-09-07 10:01:31:067,1,659,1,0,228,5468,659,0 23,0,2024-09-07 10:01:31:381,167602,0.5,167425,0.7,334761,0.4,446498,2.00 23,1,2024-09-07 10:01:31:026,1155446,1155446,0,0,543531608533,5721449570,1134987,13729,6730,365,391690,0 23,2,2024-09-07 10:01:31:104,834019,834019,0,0,34179301,0,3773 23,3,2024-09-07 10:01:31:753,1,659,13,0,855,9498,659,0 24,0,2024-09-07 10:01:30:831,162766,0.4,161829,0.5,325404,0.3,431989,1.75 24,1,2024-09-07 10:01:30:601,1155074,1155074,0,0,542079519792,5672459464,1144395,8901,1778,367,392269,0 24,2,2024-09-07 10:01:31:069,833266,833263,3,0,40910900,0,6294 24,3,2024-09-07 10:01:31:692,1,659,1,0,468,8482,659,0 25,0,2024-09-07 10:01:31:400,166337,0.4,161882,0.6,318263,0.4,433236,1.75 25,1,2024-09-07 10:01:30:564,1153419,1153419,0,0,541170268038,5715482599,1133604,15904,3911,369,391928,0 25,2,2024-09-07 10:01:31:624,831395,831395,0,0,41978872,0,3978 25,3,2024-09-07 10:01:31:038,1,659,14,0,532,7237,659,0 26,0,2024-09-07 10:01:31:740,166629,0.4,162821,0.6,341744,0.3,445844,1.75 26,1,2024-09-07 10:01:31:561,1156820,1156820,0,0,543235144902,5687369673,1141337,12582,2901,380,391758,0 26,2,2024-09-07 10:01:30:867,832466,832466,0,0,44110333,0,4689 26,3,2024-09-07 10:01:31:720,1,659,0,0,796,8193,659,0 27,0,2024-09-07 10:01:31:733,168273,0.4,169051,0.6,336050,0.4,448214,2.25 27,1,2024-09-07 10:01:31:693,1158244,1158244,0,0,543954232491,5666041358,1147450,9177,1617,381,391626,0 27,2,2024-09-07 10:01:30:870,831056,830991,65,0,38676199,0,5699 27,3,2024-09-07 10:01:31:017,1,659,34,0,564,5587,659,0 28,0,2024-09-07 10:01:31:398,163718,0.4,163939,0.6,327926,0.3,436162,1.75 28,1,2024-09-07 10:01:30:809,1159101,1159101,0,0,544866167138,5679969037,1149425,7758,1918,382,391904,0 28,2,2024-09-07 10:01:31:771,833348,833348,0,0,34408242,0,2915 28,3,2024-09-07 10:01:31:777,1,659,1,0,502,6971,659,0 29,0,2024-09-07 10:01:31:355,166279,0.4,161953,0.6,317525,0.3,432839,1.75 29,1,2024-09-07 10:01:31:564,1161551,1161551,0,0,545322315730,5642713761,1154095,6436,1020,367,391809,0 29,2,2024-09-07 10:01:30:867,832888,832888,0,0,33100782,0,4986 29,3,2024-09-07 10:01:30:969,1,659,0,0,459,6897,659,0 30,0,2024-09-07 10:01:31:463,164250,0.5,159862,0.7,335056,0.5,438483,2.00 30,1,2024-09-07 10:01:30:574,1160352,1160352,0,0,545934639663,5667379731,1151313,7953,1086,380,391672,0 30,2,2024-09-07 10:01:31:274,835272,835272,0,0,31761394,0,4192 30,3,2024-09-07 10:01:30:585,1,659,1,0,519,6336,659,0 31,0,2024-09-07 10:01:31:764,168473,0.5,169171,0.7,337357,0.4,449995,2.00 31,1,2024-09-07 10:01:30:568,1164741,1164741,0,0,545818689861,5606191708,1157441,6111,1189,356,391712,0 31,2,2024-09-07 10:01:31:274,833739,833739,0,0,35960122,0,4470 31,3,2024-09-07 10:01:31:709,1,659,4,0,239,5702,659,0 32,0,2024-09-07 10:01:31:417,166578,0.3,167714,0.5,333801,0.2,444148,1.75 32,1,2024-09-07 10:01:30:807,1159870,1159870,0,0,544759464327,5663248615,1152534,6377,959,381,391646,0 32,2,2024-09-07 10:01:30:936,835185,835185,0,0,30950748,0,3922 32,3,2024-09-07 10:01:31:019,1,659,0,0,304,5570,659,0 33,0,2024-09-07 10:01:31:491,162697,0.3,162297,0.4,324666,0.2,432235,1.50 33,1,2024-09-07 10:01:30:575,1161345,1161345,0,0,545547797436,5650897135,1152118,7876,1351,368,391730,0 33,2,2024-09-07 10:01:30:764,833987,833952,35,0,34749609,0,7012 33,3,2024-09-07 10:01:30:895,1,659,65,0,329,6108,659,0 34,0,2024-09-07 10:01:30:936,163223,0.3,167771,0.5,320873,0.2,433550,1.75 34,1,2024-09-07 10:01:31:127,1162975,1162975,0,0,546608950124,5625244953,1159156,3611,208,367,391637,0 34,2,2024-09-07 10:01:30:776,835399,835399,0,0,32767608,0,4562 34,3,2024-09-07 10:01:31:690,1,659,3,0,541,5683,659,0 35,0,2024-09-07 10:01:30:860,168535,0.3,169044,0.5,339160,0.3,451199,1.75 35,1,2024-09-07 10:01:31:242,1159046,1159046,0,0,544303532531,5649078770,1150201,7293,1552,382,391769,0 35,2,2024-09-07 10:01:31:583,834096,834096,0,0,37360657,0,4055 35,3,2024-09-07 10:01:30:907,1,659,8,0,466,6388,659,0 36,0,2024-09-07 10:01:31:521,168479,0.5,168746,0.6,337014,0.4,448695,2.00 36,1,2024-09-07 10:01:30:585,1157642,1157642,0,0,543137242846,5668479718,1143616,11780,2246,366,391759,0 36,2,2024-09-07 10:01:31:760,833554,833554,0,0,37386787,0,3875 36,3,2024-09-07 10:01:30:872,1,659,5,0,556,8692,659,0 37,0,2024-09-07 10:01:31:371,160369,0.5,160398,0.7,320874,0.5,428175,2.25 37,1,2024-09-07 10:01:30:571,1157293,1157286,0,7,543126150153,5671592789,1143714,10650,2922,365,391770,0 37,2,2024-09-07 10:01:31:150,831597,831582,15,0,37185763,0,5815 37,3,2024-09-07 10:01:31:772,1,659,1,0,888,8869,659,0 38,0,2024-09-07 10:01:31:443,161445,0.4,156463,0.6,327211,0.4,429306,2.00 38,1,2024-09-07 10:01:31:619,1156952,1156952,0,0,543825257977,5685008898,1140527,13128,3297,368,391821,0 38,2,2024-09-07 10:01:30:763,833668,833621,47,0,37712497,0,6710 38,3,2024-09-07 10:01:31:009,1,659,2,0,689,7671,659,0 39,0,2024-09-07 10:01:31:767,172088,0.5,168134,0.7,328153,0.5,447770,2.00 39,1,2024-09-07 10:01:30:716,1156844,1156844,0,0,542797368233,5690629027,1137885,14764,4195,365,391865,0 39,2,2024-09-07 10:01:31:421,832835,832835,0,0,36095022,0,3391 39,3,2024-09-07 10:01:30:713,1,659,1,0,525,7390,659,0 40,0,2024-09-07 10:01:31:497,166417,0.8,167117,1.0,333600,1.0,445846,2.75 40,1,2024-09-07 10:01:30:585,1156879,1156879,0,0,542020340183,5678593923,1139470,14114,3295,366,391668,0 40,2,2024-09-07 10:01:31:309,831080,831073,7,0,41834307,0,5347 40,3,2024-09-07 10:01:31:153,1,659,29,0,1028,9387,659,0 41,0,2024-09-07 10:01:31:038,161626,1.2,165446,1.1,315497,1.6,428442,3.00 41,1,2024-09-07 10:01:30:767,1156183,1156183,0,0,543333098768,5681379360,1141516,12365,2302,369,391878,0 41,2,2024-09-07 10:01:30:767,829677,829676,1,0,40433894,0,5408 41,3,2024-09-07 10:01:31:694,1,659,3,0,366,7022,659,0 42,0,2024-09-07 10:01:31:473,160897,0.9,160527,1.0,321338,1.0,426697,2.50 42,1,2024-09-07 10:01:31:441,1154402,1154402,0,0,541777889725,5688564952,1137197,14249,2956,380,391675,0 42,2,2024-09-07 10:01:31:133,830459,830458,1,0,41918614,0,5513 42,3,2024-09-07 10:01:31:028,1,659,1,0,892,6796,659,0 43,0,2024-09-07 10:01:30:929,164821,0.7,160474,0.9,336196,0.8,440563,2.25 43,1,2024-09-07 10:01:30:597,1157101,1157101,0,0,543698218852,5680007818,1141300,13055,2746,366,391696,0 43,2,2024-09-07 10:01:31:737,833711,833711,0,0,38624286,0,4723 43,3,2024-09-07 10:01:31:749,1,659,14,0,571,9138,659,0 44,0,2024-09-07 10:01:30:865,168894,0.4,169128,0.6,337399,0.4,449824,2.00 44,1,2024-09-07 10:01:30:568,1160261,1160261,0,0,544483909727,5630021560,1149876,8312,2073,356,391809,0 44,2,2024-09-07 10:01:31:298,832888,832888,0,0,31796347,0,4344 44,3,2024-09-07 10:01:31:100,1,659,30,0,1097,8326,659,0 45,0,2024-09-07 10:01:31:761,163087,0.5,159282,0.7,334389,0.4,437984,2.00 45,1,2024-09-07 10:01:31:007,1159189,1159189,0,0,544027574481,5654940852,1150060,7909,1220,382,391917,0 45,2,2024-09-07 10:01:31:269,833654,833654,0,0,31725978,0,3596 45,3,2024-09-07 10:01:30:946,1,659,1,0,531,6162,659,0 46,0,2024-09-07 10:01:30:961,161045,0.5,160571,0.7,322192,0.5,427912,2.00 46,1,2024-09-07 10:01:30:585,1161805,1161805,0,0,545594065585,5641033222,1153703,7053,1049,366,391709,0 46,2,2024-09-07 10:01:30:595,834315,834315,0,0,33210298,0,4443 46,3,2024-09-07 10:01:31:140,1,659,1,0,908,7537,659,0 47,0,2024-09-07 10:01:31:108,164491,0.4,164193,0.6,329866,0.4,437362,2.00 47,1,2024-09-07 10:01:30:568,1162686,1162686,0,0,545454805031,5637864472,1155340,6333,1013,364,391641,0 47,2,2024-09-07 10:01:30:908,837031,837031,0,0,33252112,0,4477 47,3,2024-09-07 10:01:31:118,1,659,14,0,600,7085,659,0 48,0,2024-09-07 10:01:31:499,169192,0.3,169692,0.4,338206,0.2,449889,1.50 48,1,2024-09-07 10:01:31:034,1159797,1159797,0,0,544749737287,5661189827,1152225,6560,1012,381,391710,0 48,2,2024-09-07 10:01:30:699,834592,834592,0,0,30738204,0,3619 48,3,2024-09-07 10:01:30:754,1,659,5,0,339,5864,659,0 49,0,2024-09-07 10:01:31:730,172236,0.3,168667,0.5,328219,0.3,447636,1.75 49,1,2024-09-07 10:01:31:021,1158962,1158962,0,0,543971841159,5658179042,1151184,6082,1696,382,391809,0 49,2,2024-09-07 10:01:31:801,834367,834367,0,0,32157530,0,4426 49,3,2024-09-07 10:01:31:434,1,659,6,0,992,7886,659,0 50,0,2024-09-07 10:01:31:511,161877,0.3,160515,0.5,323206,0.2,430070,1.75 50,1,2024-09-07 10:01:31:034,1162085,1162085,0,0,545944268829,5644777857,1154646,6708,731,368,391691,0 50,2,2024-09-07 10:01:31:072,832348,832348,0,0,29914541,0,4490 50,3,2024-09-07 10:01:31:296,1,659,6,0,617,6660,659,0 51,0,2024-09-07 10:01:31:700,166985,0.3,163452,0.5,318252,0.2,434025,1.75 51,1,2024-09-07 10:01:31:694,1162134,1162134,0,0,546800918431,5639351290,1155499,5294,1341,365,391706,0 51,2,2024-09-07 10:01:31:323,834655,834655,0,0,29711869,0,3337 51,3,2024-09-07 10:01:31:027,1,659,1,0,678,4709,659,0 52,0,2024-09-07 10:01:31:434,169047,0.5,169198,0.6,337660,0.4,449322,2.00 52,1,2024-09-07 10:01:30:580,1157226,1157226,0,0,543428706801,5684769057,1141229,13609,2388,368,391805,0 52,2,2024-09-07 10:01:31:774,829703,829665,38,0,40995390,0,6742 52,3,2024-09-07 10:01:30:678,1,659,1,0,1782,7750,659,0 53,0,2024-09-07 10:01:31:733,167320,0.6,162425,0.8,339873,0.7,445016,2.25 53,1,2024-09-07 10:01:30:784,1155347,1155347,0,0,543382610939,5696942067,1136535,14404,4408,367,391968,0 53,2,2024-09-07 10:01:31:301,834226,834225,1,0,35230748,0,5455 53,3,2024-09-07 10:01:30:698,1,659,1,0,308,6020,659,0 54,0,2024-09-07 10:01:31:617,159948,0.6,160339,0.7,319379,0.5,426182,2.25 54,1,2024-09-07 10:01:30:585,1158442,1158442,0,0,544018684472,5654394633,1147107,9663,1672,366,391810,0 54,2,2024-09-07 10:01:30:871,833810,833778,32,0,40127640,0,6397 54,3,2024-09-07 10:01:30:764,1,659,1,0,676,8310,659,0 55,0,2024-09-07 10:01:31:773,156216,0.6,161140,0.8,326816,0.5,425645,2.50 55,1,2024-09-07 10:01:30:764,1159227,1159227,0,0,543625945027,5653373657,1147241,10500,1486,365,391731,0 55,2,2024-09-07 10:01:30:728,832166,832110,56,0,37002329,0,7239 55,3,2024-09-07 10:01:30:681,1,659,11,0,304,6076,659,0 56,0,2024-09-07 10:01:31:618,170668,1.1,160818,1.1,331450,1.4,443997,2.75 56,1,2024-09-07 10:01:30:570,1152116,1152116,0,0,541125113084,5719699088,1133330,15035,3751,381,391867,0 56,2,2024-09-07 10:01:31:313,831810,831688,122,0,39401085,0,7432 56,3,2024-09-07 10:01:31:062,1,659,0,0,705,8038,659,0 57,0,2024-09-07 10:01:30:937,166451,1.4,166295,1.2,332709,2.0,444433,3.00 57,1,2024-09-07 10:01:31:040,1154866,1154866,0,0,541725126483,5684446924,1139381,12795,2690,366,392032,0 57,2,2024-09-07 10:01:31:330,832930,832930,0,0,41652345,0,4804 57,3,2024-09-07 10:01:31:749,1,659,2,0,455,7244,659,0 58,0,2024-09-07 10:01:30:560,161211,0.9,156601,1.0,327813,1.1,428608,2.50 58,1,2024-09-07 10:01:30:574,1155931,1155928,0,3,543263587241,5695483535,1138254,13361,4313,367,391726,3 58,2,2024-09-07 10:01:31:073,833244,833244,0,0,38162711,0,3483 58,3,2024-09-07 10:01:31:069,1,659,0,0,1043,7239,659,0 59,0,2024-09-07 10:01:31:779,160362,0.8,159705,1.0,319374,0.9,423689,2.75 59,1,2024-09-07 10:01:30:807,1155713,1155713,0,0,542602329974,5700312081,1136764,15114,3835,369,391653,0 59,2,2024-09-07 10:01:30:597,832756,832756,0,0,36323548,0,3727 59,3,2024-09-07 10:01:31:747,1,659,9,0,1015,7868,659,0 60,0,2024-09-07 10:01:31:714,165069,0.5,165032,0.7,330374,0.5,440806,2.00 60,1,2024-09-07 10:01:30:787,1159416,1159416,0,0,544543907266,5668322577,1149359,8661,1396,370,392031,0 60,2,2024-09-07 10:01:31:148,834607,834607,0,0,34976172,0,3811 60,3,2024-09-07 10:01:31:265,1,659,88,0,409,7510,659,0 61,0,2024-09-07 10:01:31:545,168638,0.6,169319,0.8,337002,0.6,449666,2.00 61,1,2024-09-07 10:01:30:773,1156971,1156971,0,0,542911672746,5684995290,1144732,10463,1776,382,392127,0 61,2,2024-09-07 10:01:31:129,834517,834450,67,0,35877455,0,6411 61,3,2024-09-07 10:01:31:697,1,659,0,0,607,8237,659,0 62,0,2024-09-07 10:01:31:709,167148,0.6,171447,0.7,327240,0.6,444123,2.00 62,1,2024-09-07 10:01:31:114,1163801,1163795,0,6,545998108923,5634007628,1157265,6056,474,365,391975,6 62,2,2024-09-07 10:01:31:657,830833,830832,1,0,35219407,0,5555 62,3,2024-09-07 10:01:31:143,1,659,1,0,482,5282,659,0 63,0,2024-09-07 10:01:31:451,162656,0.4,162303,0.6,325522,0.3,432418,1.75 63,1,2024-09-07 10:01:30:813,1160350,1160344,0,6,544347503431,5653874457,1152764,6652,928,381,391800,6 63,2,2024-09-07 10:01:30:762,832997,832997,0,0,34349027,0,4369 63,3,2024-09-07 10:01:31:739,1,659,3,0,667,7131,659,0 64,0,2024-09-07 10:01:31:535,162249,0.5,162158,0.7,324049,0.4,432117,2.00 64,1,2024-09-07 10:01:30:757,1158479,1158479,0,0,544950808479,5674961265,1148329,8124,2026,370,391794,0 64,2,2024-09-07 10:01:31:150,837813,837794,19,0,33459071,0,6121 64,3,2024-09-07 10:01:31:147,1,659,4,0,651,7210,659,0 65,0,2024-09-07 10:01:31:715,167703,0.6,168022,0.7,335570,0.6,447498,2.00 65,1,2024-09-07 10:01:30:869,1157029,1157029,0,0,542537088642,5670449247,1148946,7186,897,381,391901,0 65,2,2024-09-07 10:01:31:701,832272,832272,0,0,39529805,0,3367 65,3,2024-09-07 10:01:31:690,1,659,1,0,782,7932,659,0 66,0,2024-09-07 10:01:31:770,167812,0.5,167160,0.7,334619,0.5,445422,2.00 66,1,2024-09-07 10:01:31:298,1159028,1159028,0,0,544295615860,5664720057,1151685,6471,872,380,391743,0 66,2,2024-09-07 10:01:31:132,835875,835872,3,0,34705800,0,5455 66,3,2024-09-07 10:01:31:080,1,659,77,0,291,5984,659,0 67,0,2024-09-07 10:01:31:423,160963,0.5,160566,0.7,322031,0.5,428724,2.00 67,1,2024-09-07 10:01:30:766,1159117,1159116,0,1,543346181109,5659979283,1150697,7279,1140,380,391787,1 67,2,2024-09-07 10:01:30:588,836765,836750,15,0,34801124,0,6205 67,3,2024-09-07 10:01:31:754,1,659,7,0,595,7096,659,0 68,0,2024-09-07 10:01:30:562,162169,0.6,161884,0.7,322726,0.6,432292,2.25 68,1,2024-09-07 10:01:30:570,1154711,1154711,0,0,541686582188,5697295345,1138935,11731,4045,381,391953,0 68,2,2024-09-07 10:01:31:047,829411,829311,100,0,43084693,0,8578 68,3,2024-09-07 10:01:30:727,1,659,5,0,417,8817,659,0 69,0,2024-09-07 10:01:31:741,167807,0.6,168345,0.8,335801,0.6,446671,2.25 69,1,2024-09-07 10:01:31:623,1153968,1153968,0,0,541420020454,5702864499,1139462,11527,2979,383,391994,0 69,2,2024-09-07 10:01:31:738,830236,830207,29,0,46097347,0,6912 69,3,2024-09-07 10:01:30:760,1,659,2,0,698,9512,659,0 70,0,2024-09-07 10:01:31:532,166125,0.8,166833,0.9,334601,0.7,443930,2.50 70,1,2024-09-07 10:01:30:808,1160439,1160439,0,0,545697470651,5661038525,1151829,7773,837,366,391725,0 70,2,2024-09-07 10:01:31:328,833682,833682,0,0,37753744,0,4323 70,3,2024-09-07 10:01:30:745,1,659,1,0,854,7433,659,0 71,0,2024-09-07 10:01:31:366,161372,0.9,160958,1.0,323256,1.0,430656,2.50 71,1,2024-09-07 10:01:31:611,1158436,1158436,0,0,543810297324,5673475356,1144589,12221,1626,367,391738,0 71,2,2024-09-07 10:01:31:067,832566,832566,0,0,37536662,0,4352 71,3,2024-09-07 10:01:31:753,1,659,2,0,644,7262,659,0 72,0,2024-09-07 10:01:31:041,167126,0.5,163173,0.7,318751,0.5,433780,2.00 72,1,2024-09-07 10:01:31:031,1156367,1156367,0,0,542428053555,5686288744,1140172,13507,2688,369,391819,0 72,2,2024-09-07 10:01:31:762,831425,831425,0,0,39568032,0,3983 72,3,2024-09-07 10:01:31:772,1,659,7,0,564,9350,659,0 73,0,2024-09-07 10:01:31:107,162274,0.4,166323,0.6,339964,0.4,443207,2.00 73,1,2024-09-07 10:01:30:766,1158219,1158219,0,0,543649844223,5650044870,1149357,7875,987,367,391858,0 73,2,2024-09-07 10:01:31:759,832641,832640,1,0,41318289,0,5027 73,3,2024-09-07 10:01:30:982,1,659,11,0,1091,9035,659,0 74,0,2024-09-07 10:01:31:329,169782,0.5,173785,0.7,331764,0.4,450117,2.00 74,1,2024-09-07 10:01:30:636,1156765,1156765,0,0,542637994799,5661689992,1144658,9967,2140,381,391762,0 74,2,2024-09-07 10:01:31:011,832949,832949,0,0,36437951,0,4253 74,3,2024-09-07 10:01:31:449,1,659,80,0,522,7683,659,0 75,0,2024-09-07 10:01:31:767,164994,0.5,164036,0.7,329280,0.5,439270,2.25 75,1,2024-09-07 10:01:31:591,1158485,1158485,0,0,543846927828,5666105919,1149024,8529,932,380,391739,0 75,2,2024-09-07 10:01:31:354,831726,831726,0,0,43052226,0,4766 75,3,2024-09-07 10:01:31:067,1,659,8,0,918,9090,659,0 76,0,2024-09-07 10:01:30:579,161480,0.5,160845,0.7,321482,0.5,429633,2.25 76,1,2024-09-07 10:01:30:819,1157804,1157804,0,0,543250882332,5667315559,1149380,7120,1304,382,391790,0 76,2,2024-09-07 10:01:31:067,835446,835443,3,0,35852064,0,5265 76,3,2024-09-07 10:01:31:148,1,659,249,0,249,6212,659,0 77,0,2024-09-07 10:01:31:728,163504,0.6,163925,0.7,328154,0.5,436364,2.00 77,1,2024-09-07 10:01:30:826,1157840,1157840,0,0,543011305796,5668690293,1148431,8354,1055,381,391869,0 77,2,2024-09-07 10:01:31:287,833356,833356,0,0,34940685,0,3890 77,3,2024-09-07 10:01:31:100,1,659,1,0,401,7664,659,0 78,0,2024-09-07 10:01:31:721,169455,0.4,168764,0.6,338764,0.4,447929,2.00 78,1,2024-09-07 10:01:30:610,1157128,1157128,0,0,543297222012,5672137272,1142218,11674,3236,367,391670,0 78,2,2024-09-07 10:01:31:405,834700,834687,13,0,32871811,0,8313 78,3,2024-09-07 10:01:31:135,1,659,0,0,311,5524,659,0 79,0,2024-09-07 10:01:31:346,161823,0.4,165799,0.6,339605,0.3,442168,2.00 79,1,2024-09-07 10:01:30:571,1161240,1161240,0,0,544613718739,5643518971,1151455,7985,1800,367,391682,0 79,2,2024-09-07 10:01:31:066,833959,833959,0,0,31574206,0,4195 79,3,2024-09-07 10:01:30:749,1,659,9,0,418,7475,659,0 80,0,2024-09-07 10:01:31:073,161433,0.5,165793,0.7,316713,0.5,429086,2.00 80,1,2024-09-07 10:01:31:623,1157671,1157671,0,0,543834687787,5662724145,1150003,7120,548,368,392269,0 80,2,2024-09-07 10:01:31:094,836168,836168,0,0,32545226,0,4433 80,3,2024-09-07 10:01:30:599,1,659,1,0,681,7960,659,0 81,0,2024-09-07 10:01:31:555,162597,0.6,166258,0.7,317409,0.6,430951,2.00 81,1,2024-09-07 10:01:31:658,1156764,1156764,0,0,542292139637,5667650836,1147467,8326,971,382,391885,0 81,2,2024-09-07 10:01:31:131,833569,833506,63,0,35914296,0,5932 81,3,2024-09-07 10:01:31:117,1,659,20,0,719,7233,659,0 82,0,2024-09-07 10:01:31:620,168978,0.5,169097,0.7,338777,0.5,450040,2.00 82,1,2024-09-07 10:01:30:588,1158630,1158626,0,4,544051595104,5657995311,1152171,5413,1042,381,391768,4 82,2,2024-09-07 10:01:31:695,835810,835810,0,0,30176736,0,4484 82,3,2024-09-07 10:01:31:760,1,659,1,0,363,6031,659,0 83,0,2024-09-07 10:01:31:566,167997,0.6,167888,0.7,335402,0.5,445784,2.25 83,1,2024-09-07 10:01:30:555,1157566,1157566,0,0,543317199607,5665182214,1149032,7539,995,382,391709,0 83,2,2024-09-07 10:01:30:767,834166,834141,25,0,32366864,0,5612 83,3,2024-09-07 10:01:30:748,1,659,3,0,1260,7802,659,0 84,0,2024-09-07 10:01:31:778,160333,0.7,160358,0.9,320765,0.7,428107,2.25 84,1,2024-09-07 10:01:31:053,1156089,1156089,0,0,542620001996,5674544335,1143069,11135,1885,367,391967,0 84,2,2024-09-07 10:01:30:579,832143,831733,410,0,46454536,0,17037 84,3,2024-09-07 10:01:31:147,1,659,7,0,908,9096,659,0 85,0,2024-09-07 10:01:31:042,155554,0.6,155517,0.8,330153,0.5,426111,2.25 85,1,2024-09-07 10:01:30:559,1152904,1152904,0,0,542175674419,5719032472,1135965,14129,2810,381,392092,0 85,2,2024-09-07 10:01:30:874,832515,832515,0,0,39928044,0,4255 85,3,2024-09-07 10:01:30:698,1,659,7,0,789,7507,659,0 86,0,2024-09-07 10:01:30:879,166898,0.7,171653,0.8,328424,0.7,444195,2.25 86,1,2024-09-07 10:01:31:051,1155398,1155398,0,0,542663868335,5693506466,1139512,12842,3044,366,392169,0 86,2,2024-09-07 10:01:30:856,831998,831997,1,0,42355381,0,5004 86,3,2024-09-07 10:01:30:593,1,659,1,0,308,8902,659,0 87,0,2024-09-07 10:01:31:298,168643,0.8,167535,0.8,335570,0.9,448293,2.25 87,1,2024-09-07 10:01:30:556,1155500,1155500,0,0,543160039648,5686743074,1141534,12158,1808,366,392076,0 87,2,2024-09-07 10:01:31:073,832756,832750,6,0,39392440,0,6323 87,3,2024-09-07 10:01:31:799,1,659,14,0,473,9122,659,0 88,0,2024-09-07 10:01:31:442,163552,0.4,164062,0.6,327849,0.4,436034,1.75 88,1,2024-09-07 10:01:30:569,1154506,1154506,0,0,541407589951,5673802687,1138254,13130,3122,365,392084,0 88,2,2024-09-07 10:01:30:688,832771,832771,0,0,40723304,0,4465 88,3,2024-09-07 10:01:31:267,1,659,0,0,1080,10351,659,0 89,0,2024-09-07 10:01:31:774,166195,0.4,161252,0.6,318049,0.4,432243,1.75 89,1,2024-09-07 10:01:30:556,1153616,1153616,0,0,542372981587,5702515666,1139612,11815,2189,382,391866,0 89,2,2024-09-07 10:01:31:150,832511,832511,0,0,38901041,0,3173 89,3,2024-09-07 10:01:31:801,1,659,3,0,468,10573,659,0 90,0,2024-09-07 10:01:31:615,160028,0.4,164320,0.6,335532,0.4,438448,2.00 90,1,2024-09-07 10:01:30:598,1155469,1155469,0,0,541671587070,5673112448,1144213,10204,1052,380,391825,0 90,2,2024-09-07 10:01:31:414,830066,830061,5,0,42104565,0,6370 90,3,2024-09-07 10:01:30:930,1,659,4,0,364,8782,659,0 91,0,2024-09-07 10:01:30:939,169268,0.5,164193,0.6,343557,0.5,450552,1.75 91,1,2024-09-07 10:01:30:559,1152685,1152685,0,0,541921647255,5713068726,1135008,13716,3961,381,392047,0 91,2,2024-09-07 10:01:31:337,833338,833338,0,0,37587419,0,4713 91,3,2024-09-07 10:01:30:602,1,659,8,0,231,6255,659,0 92,0,2024-09-07 10:01:31:462,168127,0.5,171939,0.6,328025,0.4,444366,1.75 92,1,2024-09-07 10:01:30:585,1156965,1156965,0,0,543142376999,5673723581,1147844,7814,1307,381,392136,0 92,2,2024-09-07 10:01:31:386,832644,832644,0,0,33422010,0,3906 92,3,2024-09-07 10:01:31:012,1,659,1,0,167,5474,659,0 93,0,2024-09-07 10:01:30:965,163143,0.4,167103,0.6,319154,0.4,432372,1.75 93,1,2024-09-07 10:01:30:838,1157524,1157524,0,0,543273441407,5677913853,1143624,11468,2432,365,392048,0 93,2,2024-09-07 10:01:30:931,833067,833067,0,0,39426678,0,4913 93,3,2024-09-07 10:01:31:417,1,659,1,0,505,7405,659,0 94,0,2024-09-07 10:01:31:636,162277,0.4,163493,0.6,326983,0.3,434519,1.75 94,1,2024-09-07 10:01:30:574,1158066,1158066,0,0,543716966141,5670291352,1150385,7191,490,381,391850,0 94,2,2024-09-07 10:01:30:760,834724,834696,28,0,34193554,0,6179 94,3,2024-09-07 10:01:31:696,1,659,1,0,576,8231,659,0 95,0,2024-09-07 10:01:31:343,169007,0.4,168931,0.6,338185,0.3,450439,1.75 95,1,2024-09-07 10:01:30:855,1159062,1159062,0,0,544124405829,5654304551,1150074,8225,763,365,391852,0 95,2,2024-09-07 10:01:31:019,832479,832479,0,0,34024915,0,3308 95,3,2024-09-07 10:01:31:713,1,659,23,0,718,10057,659,0 96,0,2024-09-07 10:01:31:031,168179,0.3,168365,0.5,336977,0.3,447954,1.75 96,1,2024-09-07 10:01:31:607,1157099,1157099,0,0,543513718566,5671308330,1148670,7136,1293,384,391964,0 96,2,2024-09-07 10:01:31:272,834789,834789,0,0,34196206,0,4180 96,3,2024-09-07 10:01:31:147,1,659,262,0,411,7602,659,0 97,0,2024-09-07 10:01:31:333,161091,0.3,160932,0.5,322525,0.3,428604,1.50 97,1,2024-09-07 10:01:30:764,1159000,1159000,0,0,544228462215,5648495795,1151287,6476,1237,367,392140,0 97,2,2024-09-07 10:01:30:621,834845,834845,0,0,34797353,0,4600 97,3,2024-09-07 10:01:30:569,1,659,0,0,433,7917,659,0 98,0,2024-09-07 10:01:31:701,161831,0.3,161806,0.5,325024,0.2,432488,1.50 98,1,2024-09-07 10:01:30:571,1158296,1158296,0,0,543389436475,5662184885,1150873,6526,897,381,391997,0 98,2,2024-09-07 10:01:30:769,833228,833228,0,0,32862225,0,4336 98,3,2024-09-07 10:01:30:706,1,659,1,0,840,9391,659,0 99,0,2024-09-07 10:01:31:447,168222,0.3,169110,0.5,336875,0.3,449111,1.75 99,1,2024-09-07 10:01:31:728,1159319,1159319,0,0,544054374783,5663281453,1151815,6411,1093,380,392069,0 99,2,2024-09-07 10:01:31:418,832478,832478,0,0,42147699,0,4276 99,3,2024-09-07 10:01:30:584,1,659,1,0,1124,8298,659,0 100,0,2024-09-07 10:01:31:482,167500,0.8,168076,0.9,335868,0.8,448405,2.50 100,1,2024-09-07 10:01:30:550,1152253,1152253,0,0,541193986625,5719806065,1134802,13953,3498,378,391989,0 100,2,2024-09-07 10:01:31:827,829714,829325,389,0,43606022,0,16909 100,3,2024-09-07 10:01:31:731,1,659,6,0,627,10520,659,0 101,0,2024-09-07 10:01:31:756,166062,1.0,162049,1.0,316908,0.9,432493,2.25 101,1,2024-09-07 10:01:30:552,1154343,1154343,0,0,542423651961,5700220479,1138710,12765,2868,368,391847,0 101,2,2024-09-07 10:01:31:771,828104,828065,39,0,44547658,0,5913 101,3,2024-09-07 10:01:30:959,1,659,0,0,1250,9785,659,0 102,0,2024-09-07 10:01:30:953,157455,0.6,162206,0.7,329476,0.6,430817,2.00 102,1,2024-09-07 10:01:31:150,1154370,1154370,0,0,542423389364,5695296342,1139345,12811,2214,369,391984,0 102,2,2024-09-07 10:01:31:742,833755,833701,54,0,37349898,0,6768 102,3,2024-09-07 10:01:31:623,1,659,5,0,466,7524,659,0 103,0,2024-09-07 10:01:31:591,172001,0.6,172041,0.7,324043,0.6,446243,2.00 103,1,2024-09-07 10:01:31:630,1153338,1153338,0,0,541600165619,5718278362,1135173,14542,3623,381,392077,0 103,2,2024-09-07 10:01:30:597,831163,831163,0,0,40737894,0,3766 103,3,2024-09-07 10:01:30:760,1,659,13,0,916,7692,659,0 104,0,2024-09-07 10:01:31:022,167489,0.7,167811,0.9,334746,0.7,447296,2.25 104,1,2024-09-07 10:01:31:601,1155769,1155769,0,0,542142084897,5697926901,1138291,14233,3245,365,392168,0 104,2,2024-09-07 10:01:31:690,831321,831321,0,0,39892914,0,4161 104,3,2024-09-07 10:01:31:426,1,659,1,0,1245,11119,659,0 105,0,2024-09-07 10:01:31:049,163007,0.9,158890,1.0,333088,0.9,437299,2.50 105,1,2024-09-07 10:01:30:560,1157750,1157750,0,0,543222170080,5688144320,1143733,12060,1957,364,392009,0 105,2,2024-09-07 10:01:31:326,831640,831640,0,0,40578860,0,4360 105,3,2024-09-07 10:01:31:306,1,659,1,0,573,9892,659,0 106,0,2024-09-07 10:01:30:936,156419,0.9,160266,0.9,328140,1.0,427976,2.50 106,1,2024-09-07 10:01:31:757,1155424,1155424,0,0,541800041779,5684501273,1139590,13806,2028,368,391914,0 106,2,2024-09-07 10:01:30:761,832445,832445,0,0,37566528,0,3331 106,3,2024-09-07 10:01:30:688,1,659,1,0,1224,9033,659,0 107,0,2024-09-07 10:01:31:108,163633,1.0,163794,1.0,327548,1.2,437324,2.25 107,1,2024-09-07 10:01:30:597,1153300,1153300,0,0,540416762322,5709603955,1135142,15973,2185,381,392234,0 107,2,2024-09-07 10:01:31:295,830243,830242,1,0,41223247,0,5024 107,3,2024-09-07 10:01:31:760,1,659,0,0,733,9793,659,0 108,0,2024-09-07 10:01:31:776,168514,0.4,169364,0.6,337634,0.4,449746,1.75 108,1,2024-09-07 10:01:31:305,1156874,1156874,0,0,543508131602,5670437604,1147094,8695,1085,367,391894,0 108,2,2024-09-07 10:01:31:768,834076,834076,0,0,37129748,0,4432 108,3,2024-09-07 10:01:31:338,1,659,29,0,767,12288,659,0 109,0,2024-09-07 10:01:31:790,168384,0.4,166975,0.6,334931,0.3,446800,1.75 109,1,2024-09-07 10:01:30:601,1154817,1154817,0,0,542506358955,5692432467,1144373,8944,1500,382,392132,0 109,2,2024-09-07 10:01:30:926,831512,831512,0,0,36621453,0,3617 109,3,2024-09-07 10:01:31:144,1,659,8,0,630,7701,659,0 110,0,2024-09-07 10:01:31:749,161212,0.4,156770,0.6,328376,0.3,431020,1.75 110,1,2024-09-07 10:01:31:652,1159251,1159251,0,0,544254597887,5649747202,1150501,6724,2026,368,392045,0 110,2,2024-09-07 10:01:31:306,834250,834250,0,0,35582232,0,4067 110,3,2024-09-07 10:01:30:705,1,659,8,0,722,8768,659,0 111,0,2024-09-07 10:01:31:422,163421,0.4,162597,0.5,324838,0.3,433679,1.75 111,1,2024-09-07 10:01:31:012,1160499,1160499,0,0,545333547481,5659557135,1154016,6095,388,380,391690,0 111,2,2024-09-07 10:01:31:115,834143,834143,0,0,35408648,0,4823 111,3,2024-09-07 10:01:30:921,1,659,8,0,379,7334,659,0 112,0,2024-09-07 10:01:30:914,169790,0.3,169277,0.4,339319,0.2,451330,1.50 112,1,2024-09-07 10:01:31:056,1159338,1159338,0,0,543992602682,5647826012,1151865,6248,1225,380,391624,0 112,2,2024-09-07 10:01:31:133,833592,833591,1,0,33691893,0,5036 112,3,2024-09-07 10:01:30:597,1,659,1,0,282,6558,659,0 113,0,2024-09-07 10:01:30:881,167979,0.3,168106,0.5,336678,0.2,448132,1.75 113,1,2024-09-07 10:01:31:695,1162314,1162314,0,0,546562332711,5639809018,1155492,5872,950,365,391664,0 113,2,2024-09-07 10:01:31:307,836030,836030,0,0,31059487,0,3813 113,3,2024-09-07 10:01:30:687,1,659,0,0,510,6838,659,0 114,0,2024-09-07 10:01:30:882,161949,0.3,162968,0.5,325094,0.2,433526,1.75 114,1,2024-09-07 10:01:30:716,1158799,1158799,0,0,544354283422,5651879772,1150314,6717,1768,381,391565,0 114,2,2024-09-07 10:01:30:877,835530,835529,1,0,32990889,0,5069 114,3,2024-09-07 10:01:31:279,1,659,2,0,415,5487,659,0 115,0,2024-09-07 10:01:30:553,162571,0.3,163082,0.4,324819,0.2,432342,1.50 115,1,2024-09-07 10:01:30:571,1159156,1159156,0,0,544382779840,5655952159,1149727,7639,1790,382,391757,0 115,2,2024-09-07 10:01:31:131,835256,835256,0,0,32798530,0,4382 115,3,2024-09-07 10:01:31:009,1,659,9,0,173,4232,659,0 116,0,2024-09-07 10:01:31:707,166724,0.7,166679,0.8,334229,0.7,446650,2.00 116,1,2024-09-07 10:01:30:820,1152973,1152973,0,0,542057673010,5710446404,1139414,10351,3208,380,392089,0 116,2,2024-09-07 10:01:31:782,832764,832764,0,0,40241383,0,4475 116,3,2024-09-07 10:01:30:916,1,659,1,0,448,8567,659,0 117,0,2024-09-07 10:01:30:978,168617,0.7,168173,0.8,336581,0.7,449628,2.00 117,1,2024-09-07 10:01:31:630,1155180,1155180,0,0,542160086530,5676329252,1142501,11060,1619,369,392429,0 117,2,2024-09-07 10:01:31:132,835360,835360,0,0,36637234,0,4303 117,3,2024-09-07 10:01:31:061,1,659,1,0,490,8094,659,0 118,0,2024-09-07 10:01:31:769,158638,0.5,162992,0.7,332513,0.5,434526,2.00 118,1,2024-09-07 10:01:30:588,1154197,1154197,0,0,541645537757,5692456873,1137348,13030,3819,366,392054,0 118,2,2024-09-07 10:01:31:591,832049,832049,0,0,39391117,0,3161 118,3,2024-09-07 10:01:31:770,1,659,3,0,343,8027,659,0 119,0,2024-09-07 10:01:31:331,161388,0.7,161893,0.8,323223,0.8,430771,2.25 119,1,2024-09-07 10:01:30:592,1155359,1155359,0,0,542749395700,5691409758,1139943,12755,2661,367,391857,0 119,2,2024-09-07 10:01:31:260,833598,833598,0,0,36220010,0,4309 119,3,2024-09-07 10:01:31:326,1,659,11,0,1358,11877,659,0 120,0,2024-09-07 10:01:31:578,164307,0.7,163977,0.8,329640,0.7,439890,2.25 120,1,2024-09-07 10:01:30:870,1156146,1156146,0,0,541911056153,5682041468,1143762,11227,1157,367,392144,0 120,2,2024-09-07 10:01:30:771,832426,832423,3,0,43630562,0,5363 120,3,2024-09-07 10:01:31:297,1,659,1,0,478,8463,659,0 121,0,2024-09-07 10:01:31:738,168895,1.2,168356,1.0,336795,1.5,448970,2.25 121,1,2024-09-07 10:01:31:722,1155768,1155768,0,0,542853230000,5679984926,1143444,10480,1844,366,391840,0 121,2,2024-09-07 10:01:31:126,833685,833685,0,0,39773408,0,4157 121,3,2024-09-07 10:01:30:731,1,659,1,0,387,8503,659,0 122,0,2024-09-07 10:01:31:787,166026,0.7,161461,0.8,338032,0.8,444118,2.00 122,1,2024-09-07 10:01:30:862,1155271,1155271,0,0,542464501590,5688994947,1140014,12838,2419,365,392130,0 122,2,2024-09-07 10:01:31:322,832851,832776,75,0,43289828,0,5989 122,3,2024-09-07 10:01:30:600,1,659,1,0,512,10110,659,0 123,0,2024-09-07 10:01:30:955,161307,0.8,157156,0.9,329407,0.9,430923,2.25 123,1,2024-09-07 10:01:30:559,1155858,1155858,0,0,542591260085,5704616059,1137615,15354,2889,369,392039,0 123,2,2024-09-07 10:01:31:036,831976,831975,1,0,37240060,0,5215 123,3,2024-09-07 10:01:31:133,1,659,14,0,478,7398,659,0 124,0,2024-09-07 10:01:30:919,167448,0.3,167568,0.5,315750,0.3,435143,1.75 124,1,2024-09-07 10:01:31:031,1158962,1158962,0,0,543153796831,5647881788,1150178,7551,1233,365,392178,0 124,2,2024-09-07 10:01:31:010,836018,835965,53,0,34806244,0,6487 124,3,2024-09-07 10:01:30:758,1,659,1,0,490,6582,659,0 125,0,2024-09-07 10:01:31:464,168989,0.4,168872,0.6,338363,0.4,450873,1.75 125,1,2024-09-07 10:01:30:857,1156629,1156629,0,0,543418514532,5678595432,1146360,8759,1510,382,392045,0 125,2,2024-09-07 10:01:31:124,835808,835808,0,0,34638588,0,4534 125,3,2024-09-07 10:01:31:132,1,659,2,0,709,7512,659,0 126,0,2024-09-07 10:01:31:422,168417,0.4,173224,0.5,331069,0.3,448798,1.75 126,1,2024-09-07 10:01:30:553,1159967,1159967,0,0,544139189616,5637853838,1153160,6128,679,365,391987,0 126,2,2024-09-07 10:01:30:610,834952,834952,0,0,35572713,0,4539 126,3,2024-09-07 10:01:30:908,1,659,44,0,268,7591,659,0 127,0,2024-09-07 10:01:31:590,161395,0.3,161612,0.5,322537,0.3,428887,1.75 127,1,2024-09-07 10:01:30:571,1157908,1157908,0,0,543421404829,5656436975,1145854,10363,1691,364,392187,0 127,2,2024-09-07 10:01:30:641,834577,834573,4,0,33770579,0,5305 127,3,2024-09-07 10:01:31:274,1,659,68,0,968,6513,659,0 128,0,2024-09-07 10:01:31:533,162882,0.3,162789,0.4,325594,0.2,433352,1.50 128,1,2024-09-07 10:01:31:609,1157574,1157574,0,0,543674076841,5654235917,1148723,7882,969,367,392423,0 128,2,2024-09-07 10:01:31:444,835901,835901,0,0,31891847,0,3171 128,3,2024-09-07 10:01:30:777,1,659,1,0,1082,9993,659,0 129,0,2024-09-07 10:01:31:011,169457,0.3,168734,0.5,338523,0.3,449816,1.50 129,1,2024-09-07 10:01:30:587,1154214,1154214,0,0,542851235141,5695243629,1141592,10294,2328,379,391962,0 129,2,2024-09-07 10:01:30:688,835004,835000,4,0,34521562,0,5335 129,3,2024-09-07 10:01:30:688,1,659,4,0,506,9283,659,0 130,0,2024-09-07 10:01:31:723,168764,0.4,168548,0.6,337433,0.4,449530,1.75 130,1,2024-09-07 10:01:30:589,1159481,1159481,0,0,544153597410,5650586364,1153843,5232,406,381,391825,0 130,2,2024-09-07 10:01:31:131,834031,834031,0,0,34405349,0,4067 130,3,2024-09-07 10:01:31:293,1,659,5,0,960,8599,659,0 131,0,2024-09-07 10:01:31:971,162485,0.3,163004,0.5,326915,0.3,434071,1.50 131,1,2024-09-07 10:01:31:820,1158473,1158473,0,0,543317051224,5663305099,1150469,6802,1202,381,391865,0 131,2,2024-09-07 10:01:30:569,833997,833997,0,0,31802814,0,3979 131,3,2024-09-07 10:01:31:692,1,659,2,0,392,8377,659,0 132,0,2024-09-07 10:01:31:434,162237,0.5,163222,0.6,324704,0.4,432379,2.00 132,1,2024-09-07 10:01:30:589,1154084,1154084,0,0,541478312734,5697912033,1137804,13500,2780,381,392532,0 132,2,2024-09-07 10:01:30:698,832915,832898,17,0,40765098,0,6451 132,3,2024-09-07 10:01:31:701,1,659,20,0,1298,11511,659,0 133,0,2024-09-07 10:01:31:566,162383,0.4,166175,0.6,340903,0.4,443952,2.00 133,1,2024-09-07 10:01:30:599,1154078,1154078,0,0,541956778774,5707809528,1138932,13215,1931,383,391914,0 133,2,2024-09-07 10:01:31:095,834128,834078,50,0,41773974,0,6861 133,3,2024-09-07 10:01:31:298,1,659,1,0,528,7731,659,0 134,0,2024-09-07 10:01:30:961,169265,0.5,169307,0.7,338439,0.5,450690,2.25 134,1,2024-09-07 10:01:30:589,1154863,1154863,0,0,541693218521,5683703361,1139467,12205,3191,366,391781,0 134,2,2024-09-07 10:01:31:762,833661,833637,24,0,38334165,0,6207 134,3,2024-09-07 10:01:30:760,1,659,2,0,739,8150,659,0 135,0,2024-09-07 10:01:31:101,159694,0.7,159708,0.8,339103,0.8,436525,2.25 135,1,2024-09-07 10:01:31:591,1155292,1155292,0,0,542829792986,5706376365,1141014,12428,1850,380,392038,0 135,2,2024-09-07 10:01:30:696,833902,833902,0,0,39430601,0,4503 135,3,2024-09-07 10:01:31:015,1,659,7,0,900,6286,659,0 136,0,2024-09-07 10:01:31:673,162265,0.6,162781,0.8,323333,0.6,431226,2.00 136,1,2024-09-07 10:01:31:450,1156468,1156468,0,0,542736649961,5684573243,1144321,10752,1395,381,392135,0 136,2,2024-09-07 10:01:31:136,834891,834876,15,0,38871566,0,6007 136,3,2024-09-07 10:01:31:106,1,659,1,0,637,7669,659,0 137,0,2024-09-07 10:01:30:923,169089,0.6,164808,0.8,322919,0.7,438943,2.00 137,1,2024-09-07 10:01:30:574,1155420,1155420,0,0,542776353289,5691609567,1137525,14289,3606,366,391898,0 137,2,2024-09-07 10:01:31:721,832505,832505,0,0,40058019,0,3185 137,3,2024-09-07 10:01:30:771,1,659,9,0,484,8927,659,0 138,0,2024-09-07 10:01:31:750,167864,1.0,168206,0.9,337050,1.2,448095,2.25 138,1,2024-09-07 10:01:31:697,1155324,1155324,0,0,542755660602,5695083842,1139089,13597,2638,368,391954,0 138,2,2024-09-07 10:01:30:595,832633,832633,0,0,38729484,0,4988 138,3,2024-09-07 10:01:30:624,1,659,1,0,1200,9952,659,0 139,0,2024-09-07 10:01:31:369,166180,0.9,166730,0.9,333274,1.2,445113,2.25 139,1,2024-09-07 10:01:30:571,1150948,1150948,0,0,539693675461,5719236443,1131571,15490,3887,380,392109,0 139,2,2024-09-07 10:01:30:703,827417,827387,30,0,43804937,0,5997 139,3,2024-09-07 10:01:31:666,1,659,8,0,432,7731,659,0 140,0,2024-09-07 10:01:31:603,161767,0.3,161040,0.5,323307,0.2,431297,1.75 140,1,2024-09-07 10:01:31:554,1162895,1162895,0,0,545644627014,5629573923,1156735,5423,737,364,391628,0 140,2,2024-09-07 10:01:30:696,834225,834224,1,0,32115747,0,5036 140,3,2024-09-07 10:01:30:776,1,659,53,0,575,6745,659,0 141,0,2024-09-07 10:01:31:699,162988,0.3,167541,0.5,320205,0.3,433302,1.75 141,1,2024-09-07 10:01:30:858,1159482,1159482,0,0,544949582488,5665061912,1149524,8572,1386,379,391614,0 141,2,2024-09-07 10:01:31:696,835168,835157,11,0,34455087,0,5369 141,3,2024-09-07 10:01:31:043,1,659,16,0,391,7585,659,0 142,0,2024-09-07 10:01:31:314,169846,0.3,169040,0.5,338770,0.3,452250,1.75 142,1,2024-09-07 10:01:30:585,1157692,1157692,0,0,543300601387,5666473930,1149682,7341,669,382,392102,0 142,2,2024-09-07 10:01:31:302,832751,832719,32,0,34677068,0,6028 142,3,2024-09-07 10:01:31:749,1,659,1,0,484,6871,659,0 143,0,2024-09-07 10:01:31:383,168165,0.4,167909,0.5,336860,0.4,448116,1.75 143,1,2024-09-07 10:01:30:557,1160567,1160567,0,0,545035969748,5656074337,1152960,6705,902,367,391900,0 143,2,2024-09-07 10:01:30:792,834805,834805,0,0,34249891,0,3123 143,3,2024-09-07 10:01:31:149,1,659,10,0,462,7688,659,0 144,0,2024-09-07 10:01:31:507,156908,0.6,161454,0.7,328609,0.5,429902,2.00 144,1,2024-09-07 10:01:30:578,1154439,1154439,0,0,541421965070,5682602541,1142834,9564,2041,381,391733,0 144,2,2024-09-07 10:01:31:761,834966,834966,0,0,34282436,0,4443 144,3,2024-09-07 10:01:31:749,1,659,15,0,306,7018,659,0 145,0,2024-09-07 10:01:31:371,156631,0.5,156672,0.8,332399,0.5,427971,2.25 145,1,2024-09-07 10:01:30:552,1153464,1153464,0,0,542090878807,5703448276,1138288,12421,2755,382,391781,0 145,2,2024-09-07 10:01:31:437,832020,831938,82,0,39802919,0,7814 145,3,2024-09-07 10:01:30:896,1,659,1,0,622,8749,659,0 146,0,2024-09-07 10:01:31:625,167374,0.6,166408,0.8,334725,0.6,444726,2.25 146,1,2024-09-07 10:01:31:591,1155747,1155747,0,0,542770373292,5708345073,1137177,13750,4820,367,391829,0 146,2,2024-09-07 10:01:31:707,831374,831368,6,0,38176404,0,5151 146,3,2024-09-07 10:01:31:281,1,659,5,0,1520,10800,659,0 147,0,2024-09-07 10:01:31:711,168534,0.6,168181,0.7,336452,0.6,448676,2.00 147,1,2024-09-07 10:01:31:373,1159289,1159289,0,0,544014327705,5653381779,1150066,8183,1040,367,391791,0 147,2,2024-09-07 10:01:31:023,833641,833641,0,0,34503425,0,3359 147,3,2024-09-07 10:01:30:925,1,659,28,0,1626,10101,659,0 0,0,2024-09-07 10:01:41:738,160883,0.6,160803,0.8,341235,0.7,441404,2.00 0,1,2024-09-07 10:01:40:801,1158013,1158013,0,0,543607873723,5691934454,1148440,8612,961,368,391896,0 0,2,2024-09-07 10:01:41:066,835546,835546,0,0,33880701,0,4480 0,3,2024-09-07 10:01:40:977,1,660,9,0,431,9057,660,0 1,0,2024-09-07 10:01:41:763,168762,0.8,167794,0.9,336667,1.0,450230,2.00 1,1,2024-09-07 10:01:40:586,1156538,1156538,0,0,542923468721,5689893043,1145359,9215,1964,370,391859,0 1,2,2024-09-07 10:01:40:647,835891,835891,0,0,33360477,0,3380 1,3,2024-09-07 10:01:41:334,1,660,16,0,269,7912,660,0 2,0,2024-09-07 10:01:41:580,166563,0.6,166203,0.7,332210,0.6,442994,2.00 2,1,2024-09-07 10:01:40:859,1160463,1160463,0,0,545728243071,5674934512,1153694,5810,959,379,391805,0 2,2,2024-09-07 10:01:41:268,835098,835098,0,0,31673765,0,3594 2,3,2024-09-07 10:01:40:689,1,660,1,0,357,5628,660,0 3,0,2024-09-07 10:01:41:746,162195,0.4,162148,0.6,324010,0.4,431502,2.00 3,1,2024-09-07 10:01:41:623,1159033,1159033,0,0,543737793894,5656500360,1150727,7588,718,379,391716,0 3,2,2024-09-07 10:01:41:143,835765,835742,23,0,32998943,0,5851 3,3,2024-09-07 10:01:41:752,1,660,13,0,484,5062,660,0 4,0,2024-09-07 10:01:41:837,158583,0.4,162984,0.5,332307,0.3,434831,1.75 4,1,2024-09-07 10:01:40:591,1153599,1153599,0,0,541732447177,5732686093,1133988,15037,4574,369,391992,0 4,2,2024-09-07 10:01:41:023,832874,832874,0,0,40362708,0,4534 4,3,2024-09-07 10:01:41:028,1,660,1,0,448,8716,660,0 5,0,2024-09-07 10:01:41:380,169194,0.4,169002,0.6,338394,0.4,450514,1.75 5,1,2024-09-07 10:01:40:756,1155274,1155274,0,0,542109124369,5721123902,1137832,13208,4234,367,392005,0 5,2,2024-09-07 10:01:41:831,831342,831309,33,0,40323716,0,7631 5,3,2024-09-07 10:01:41:732,1,660,5,0,457,8711,660,0 6,0,2024-09-07 10:01:40:919,168385,0.5,167894,0.6,335874,0.4,447790,2.00 6,1,2024-09-07 10:01:40:747,1157917,1157917,0,0,543998300319,5678539626,1146305,10022,1590,379,391702,0 6,2,2024-09-07 10:01:41:121,834502,834484,18,0,37014341,0,5535 6,3,2024-09-07 10:01:41:274,1,660,48,0,710,7879,660,0 7,0,2024-09-07 10:01:41:538,160606,0.5,161721,0.7,321042,0.5,428162,2.00 7,1,2024-09-07 10:01:40:855,1155604,1155604,0,0,542497926795,5709896317,1138024,13445,4135,382,391747,0 7,2,2024-09-07 10:01:40:772,834144,833975,169,0,37689979,0,7706 7,3,2024-09-07 10:01:40:854,1,660,14,0,552,7598,660,0 8,0,2024-09-07 10:01:41:403,163002,0.4,162627,0.5,325668,0.3,434449,1.75 8,1,2024-09-07 10:01:41:020,1154798,1154798,0,0,542881762326,5705189756,1137194,13880,3724,366,392853,0 8,2,2024-09-07 10:01:40:790,829783,829781,2,0,42896553,0,5112 8,3,2024-09-07 10:01:40:587,1,660,7,0,772,10140,660,0 9,0,2024-09-07 10:01:41:121,168616,0.4,163815,0.5,342765,0.3,449780,1.75 9,1,2024-09-07 10:01:40:561,1154470,1154470,0,0,542707822630,5721892438,1135761,14603,4106,369,392001,0 9,2,2024-09-07 10:01:41:116,832883,832882,1,0,40868632,0,5281 9,3,2024-09-07 10:01:41:758,1,660,8,0,1273,10905,660,0 10,0,2024-09-07 10:01:41:598,168298,0.4,167667,0.5,336348,0.3,447937,1.75 10,1,2024-09-07 10:01:40:583,1156713,1156713,0,0,542492587782,5692691649,1139471,13713,3529,381,391981,0 10,2,2024-09-07 10:01:40:763,834432,834432,0,0,43828771,0,4713 10,3,2024-09-07 10:01:40:895,1,660,1,0,669,7174,660,0 11,0,2024-09-07 10:01:41:015,162435,0.4,157717,0.6,330063,0.4,434312,1.75 11,1,2024-09-07 10:01:40:570,1159057,1159057,0,0,543686267704,5701340691,1142527,12222,4308,383,391766,0 11,2,2024-09-07 10:01:41:123,833860,833860,0,0,37927875,0,4698 11,3,2024-09-07 10:01:41:302,1,660,8,0,843,8228,660,0 12,0,2024-09-07 10:01:40:940,163456,0.4,163255,0.5,326039,0.3,433785,1.75 12,1,2024-09-07 10:01:40:935,1157992,1157992,0,0,543165741959,5667399750,1148483,8484,1025,370,391960,0 12,2,2024-09-07 10:01:41:541,833138,833138,0,0,37967348,0,4390 12,3,2024-09-07 10:01:41:070,1,660,2,0,386,8305,660,0 13,0,2024-09-07 10:01:41:372,167738,0.4,168020,0.6,335127,0.4,446617,1.75 13,1,2024-09-07 10:01:41:538,1155409,1155409,0,0,543024925376,5711914923,1142924,9651,2834,382,391803,0 13,2,2024-09-07 10:01:40:595,836073,836073,0,0,34181388,0,3287 13,3,2024-09-07 10:01:41:762,1,660,1,0,522,8871,660,0 14,0,2024-09-07 10:01:40:559,168716,0.4,169753,0.6,337526,0.4,449143,1.75 14,1,2024-09-07 10:01:41:561,1163567,1163567,0,0,546672697473,5656650463,1154539,7894,1134,364,391673,0 14,2,2024-09-07 10:01:40:767,835598,835568,30,0,35185944,0,6104 14,3,2024-09-07 10:01:41:121,1,660,8,0,1168,6953,660,0 15,0,2024-09-07 10:01:41:551,165060,0.4,164229,0.6,328717,0.3,438275,1.75 15,1,2024-09-07 10:01:41:614,1160037,1160037,0,0,544914457849,5677325021,1149890,8138,2009,381,391619,0 15,2,2024-09-07 10:01:41:004,835999,835999,0,0,31273613,0,3622 15,3,2024-09-07 10:01:41:405,1,660,8,0,1126,8426,660,0 16,0,2024-09-07 10:01:40:931,161844,0.6,162636,0.8,324207,0.6,431231,2.00 16,1,2024-09-07 10:01:40:570,1159283,1159283,0,0,543456426598,5682205399,1148784,8957,1542,370,392194,0 16,2,2024-09-07 10:01:41:460,833831,833831,0,0,34804830,0,4719 16,3,2024-09-07 10:01:41:145,1,660,20,0,358,8007,660,0 17,0,2024-09-07 10:01:41:772,169357,0.7,165035,0.8,323401,0.7,439875,2.00 17,1,2024-09-07 10:01:40:586,1157643,1157643,0,0,543155045043,5695627855,1146644,9205,1794,368,392075,0 17,2,2024-09-07 10:01:41:670,837649,837648,1,0,36136268,0,5050 17,3,2024-09-07 10:01:40:587,1,660,1,0,518,9514,660,0 18,0,2024-09-07 10:01:40:942,167200,0.7,168311,0.8,334956,0.7,447219,2.25 18,1,2024-09-07 10:01:41:647,1162134,1162134,0,0,544913319429,5651938763,1154527,6342,1265,367,391725,0 18,2,2024-09-07 10:01:41:759,837456,837456,0,0,32470153,0,3541 18,3,2024-09-07 10:01:40:899,1,660,1,0,1059,5962,660,0 19,0,2024-09-07 10:01:41:570,166993,0.6,167486,0.8,333770,0.7,443703,2.00 19,1,2024-09-07 10:01:40:570,1161470,1161470,0,0,545504936662,5654978020,1152631,7679,1160,365,391777,0 19,2,2024-09-07 10:01:41:752,837146,837146,0,0,30142899,0,3988 19,3,2024-09-07 10:01:41:128,1,660,6,0,524,5263,660,0 20,0,2024-09-07 10:01:41:379,161580,0.5,161486,0.7,322898,0.5,430932,2.00 20,1,2024-09-07 10:01:40:569,1157403,1157403,0,0,543315520449,5693850806,1145672,10130,1601,369,391922,0 20,2,2024-09-07 10:01:40:928,834409,834409,0,0,37875136,0,4321 20,3,2024-09-07 10:01:40:588,1,660,446,0,468,11347,660,0 21,0,2024-09-07 10:01:41:168,163010,0.5,163015,0.6,326018,0.4,432796,2.00 21,1,2024-09-07 10:01:41:536,1155512,1155512,0,0,541631025319,5716519936,1137347,14202,3963,368,392016,0 21,2,2024-09-07 10:01:41:073,829433,828956,477,0,48868167,0,17074 21,3,2024-09-07 10:01:41:409,1,660,2,0,713,8939,660,0 22,0,2024-09-07 10:01:41:719,169301,0.5,169995,0.7,339576,0.4,450715,2.00 22,1,2024-09-07 10:01:41:023,1155888,1155888,0,0,542950600965,5712713641,1137158,15140,3590,381,391822,0 22,2,2024-09-07 10:01:40:770,833178,833152,26,0,36338196,0,6328 22,3,2024-09-07 10:01:41:073,1,660,2,0,228,5470,660,0 23,0,2024-09-07 10:01:41:371,167941,0.5,167740,0.7,335397,0.4,447298,2.00 23,1,2024-09-07 10:01:41:004,1157425,1157425,0,0,544574579881,5733188740,1136778,13865,6782,365,391690,0 23,2,2024-09-07 10:01:41:095,835001,835001,0,0,34234243,0,3773 23,3,2024-09-07 10:01:41:758,1,660,8,0,855,9506,660,0 24,0,2024-09-07 10:01:40:812,162935,0.4,161957,0.5,325724,0.3,432406,1.75 24,1,2024-09-07 10:01:40:582,1156819,1156819,0,0,542903921524,5680945629,1146140,8901,1778,367,392269,0 24,2,2024-09-07 10:01:41:069,834655,834652,3,0,40957264,0,6294 24,3,2024-09-07 10:01:41:694,1,660,1,0,468,8483,660,0 25,0,2024-09-07 10:01:41:370,166574,0.4,162116,0.6,318707,0.4,433844,1.75 25,1,2024-09-07 10:01:40:559,1155202,1155202,0,0,541978862855,5723908071,1135386,15904,3912,369,391928,0 25,2,2024-09-07 10:01:41:612,832865,832865,0,0,42105042,0,3978 25,3,2024-09-07 10:01:41:006,1,660,1,0,532,7238,660,0 26,0,2024-09-07 10:01:41:721,166977,0.4,163178,0.6,342507,0.3,446823,1.75 26,1,2024-09-07 10:01:41:546,1158532,1158532,0,0,544046951231,5695675285,1143048,12583,2901,380,391758,0 26,2,2024-09-07 10:01:40:861,833858,833858,0,0,44168839,0,4689 26,3,2024-09-07 10:01:41:726,1,660,1,0,796,8194,660,0 27,0,2024-09-07 10:01:41:734,168430,0.4,169227,0.6,336377,0.4,448652,2.25 27,1,2024-09-07 10:01:41:683,1159988,1159988,0,0,544997066278,5676848701,1149194,9177,1617,381,391626,0 27,2,2024-09-07 10:01:40:895,831782,831717,65,0,38690907,0,5699 27,3,2024-09-07 10:01:41:019,1,660,8,0,564,5595,660,0 28,0,2024-09-07 10:01:41:391,163818,0.4,164047,0.6,328097,0.3,436416,1.75 28,1,2024-09-07 10:01:40:797,1160912,1160912,0,0,545586888781,5687436497,1151236,7758,1918,382,391904,0 28,2,2024-09-07 10:01:41:764,834844,834844,0,0,34449622,0,2915 28,3,2024-09-07 10:01:41:781,1,660,4,0,502,6975,660,0 29,0,2024-09-07 10:01:41:367,166391,0.4,162068,0.6,317765,0.3,433170,1.75 29,1,2024-09-07 10:01:41:561,1163285,1163285,0,0,546345383978,5653121904,1155829,6436,1020,367,391809,0 29,2,2024-09-07 10:01:40:862,834458,834458,0,0,33146418,0,4986 29,3,2024-09-07 10:01:40:963,1,660,1,0,459,6898,660,0 30,0,2024-09-07 10:01:41:482,164714,0.5,160314,0.7,336002,0.5,439799,2.00 30,1,2024-09-07 10:01:40:570,1162142,1162142,0,0,546699578443,5675258147,1153103,7953,1086,380,391672,0 30,2,2024-09-07 10:01:41:274,836306,836306,0,0,31796585,0,4192 30,3,2024-09-07 10:01:40:581,1,660,458,0,519,6794,660,0 31,0,2024-09-07 10:01:41:756,168572,0.5,169278,0.7,337580,0.4,450308,2.00 31,1,2024-09-07 10:01:40:567,1166473,1166473,0,0,546787459259,5615996254,1159173,6111,1189,356,391712,0 31,2,2024-09-07 10:01:41:274,834812,834812,0,0,36000575,0,4470 31,3,2024-09-07 10:01:41:706,1,660,15,0,239,5717,660,0 32,0,2024-09-07 10:01:41:416,166681,0.3,167805,0.5,334003,0.2,444383,1.75 32,1,2024-09-07 10:01:40:804,1161535,1161535,0,0,545541221581,5671405728,1154199,6377,959,381,391646,0 32,2,2024-09-07 10:01:40:934,836615,836615,0,0,31100068,0,3922 32,3,2024-09-07 10:01:41:015,1,660,45,0,304,5615,660,0 33,0,2024-09-07 10:01:41:494,162896,0.3,162485,0.4,325029,0.2,432739,1.50 33,1,2024-09-07 10:01:40:579,1162997,1162997,0,0,546327465327,5658824410,1153770,7876,1351,368,391730,0 33,2,2024-09-07 10:01:40:763,835279,835244,35,0,34836596,0,7012 33,3,2024-09-07 10:01:40:899,1,660,20,0,329,6128,660,0 34,0,2024-09-07 10:01:40:929,163664,0.3,168229,0.5,321753,0.2,434680,1.75 34,1,2024-09-07 10:01:41:047,1164909,1164909,0,0,547380672041,5633980140,1160951,3750,208,367,391637,0 34,2,2024-09-07 10:01:40:766,836427,836427,0,0,32827363,0,4562 34,3,2024-09-07 10:01:41:688,1,660,172,0,541,5855,660,0 35,0,2024-09-07 10:01:40:876,168650,0.3,169165,0.5,339398,0.3,451497,1.75 35,1,2024-09-07 10:01:41:067,1160963,1160963,0,0,545150102999,5657965082,1152116,7295,1552,382,391769,0 35,2,2024-09-07 10:01:41:589,835420,835420,0,0,37468852,0,4055 35,3,2024-09-07 10:01:40:907,1,660,8,0,466,6396,660,0 36,0,2024-09-07 10:01:41:536,168790,0.5,169050,0.6,337552,0.4,449488,2.00 36,1,2024-09-07 10:01:40:583,1159210,1159210,0,0,543950511967,5676986161,1145183,11781,2246,366,391759,0 36,2,2024-09-07 10:01:41:750,834918,834918,0,0,37519769,0,3875 36,3,2024-09-07 10:01:40:895,1,660,1,0,556,8693,660,0 37,0,2024-09-07 10:01:41:372,160605,0.5,160612,0.7,321342,0.5,428797,2.25 37,1,2024-09-07 10:01:40:569,1159056,1159049,0,7,543815995477,5678844980,1145477,10650,2922,365,391770,0 37,2,2024-09-07 10:01:41:155,832840,832825,15,0,37263681,0,5815 37,3,2024-09-07 10:01:41:782,1,660,1,0,888,8870,660,0 38,0,2024-09-07 10:01:41:438,161874,0.4,156865,0.6,327991,0.4,430162,2.00 38,1,2024-09-07 10:01:41:613,1158641,1158641,0,0,544714338419,5694134382,1142216,13128,3297,368,391821,0 38,2,2024-09-07 10:01:40:762,835133,835086,47,0,37859466,0,6710 38,3,2024-09-07 10:01:40:996,1,660,4,0,689,7675,660,0 39,0,2024-09-07 10:01:41:761,172354,0.5,168372,0.7,328692,0.5,448462,2.00 39,1,2024-09-07 10:01:40:716,1158621,1158621,0,0,543438802217,5697619859,1139658,14768,4195,365,391865,0 39,2,2024-09-07 10:01:41:417,834327,834327,0,0,36170144,0,3391 39,3,2024-09-07 10:01:40:715,1,660,13,0,525,7403,660,0 40,0,2024-09-07 10:01:41:489,166802,0.8,167438,1.0,334291,1.0,446593,2.75 40,1,2024-09-07 10:01:40:580,1158563,1158563,0,0,542828036686,5686800365,1141154,14114,3295,366,391668,0 40,2,2024-09-07 10:01:41:303,831878,831871,7,0,41890219,0,5347 40,3,2024-09-07 10:01:41:144,1,660,3,0,1028,9390,660,0 41,0,2024-09-07 10:01:41:022,161784,1.2,165630,1.1,315852,1.6,428932,3.00 41,1,2024-09-07 10:01:40:770,1157929,1157929,0,0,544051641741,5688770811,1143262,12365,2302,369,391878,0 41,2,2024-09-07 10:01:40:771,831163,831162,1,0,40524069,0,5408 41,3,2024-09-07 10:01:41:676,1,660,1,0,366,7023,660,0 42,0,2024-09-07 10:01:41:478,161207,0.9,160854,1.0,321974,1.0,427612,2.50 42,1,2024-09-07 10:01:41:482,1156159,1156159,0,0,542639575830,5697378514,1138954,14249,2956,380,391675,0 42,2,2024-09-07 10:01:41:134,831997,831996,1,0,41995948,0,5513 42,3,2024-09-07 10:01:41:009,1,660,1,0,892,6797,660,0 43,0,2024-09-07 10:01:40:919,165272,0.7,160941,0.9,337115,0.8,441648,2.25 43,1,2024-09-07 10:01:40:615,1158813,1158813,0,0,544551672120,5688690829,1143012,13055,2746,366,391696,0 43,2,2024-09-07 10:01:41:736,834910,834910,0,0,38648287,0,4723 43,3,2024-09-07 10:01:41:749,1,660,8,0,571,9146,660,0 44,0,2024-09-07 10:01:40:861,169019,0.4,169251,0.6,337668,0.4,450138,2.00 44,1,2024-09-07 10:01:40:562,1162063,1162063,0,0,545165846189,5636975361,1151678,8312,2073,356,391809,0 44,2,2024-09-07 10:01:41:267,833960,833960,0,0,31825141,0,4344 44,3,2024-09-07 10:01:41:093,1,660,29,0,1097,8355,660,0 45,0,2024-09-07 10:01:41:755,163217,0.5,159371,0.7,334623,0.4,438302,2.00 45,1,2024-09-07 10:01:41:005,1160951,1160951,0,0,544543223285,5660548210,1151817,7913,1221,382,391917,0 45,2,2024-09-07 10:01:41:271,835146,835146,0,0,31786777,0,3596 45,3,2024-09-07 10:01:40:934,1,660,1,0,531,6163,660,0 46,0,2024-09-07 10:01:40:951,161051,0.5,160576,0.7,322198,0.5,427913,2.00 46,1,2024-09-07 10:01:40:587,1163400,1163400,0,0,546194689119,5647339251,1155297,7053,1050,366,391709,0 46,2,2024-09-07 10:01:40:594,835583,835583,0,0,33273906,0,4443 46,3,2024-09-07 10:01:41:132,1,660,1,0,908,7538,660,0 47,0,2024-09-07 10:01:41:101,164842,0.4,164519,0.6,330507,0.4,438207,2.00 47,1,2024-09-07 10:01:40:567,1164454,1164454,0,0,546264615747,5646088884,1157108,6333,1013,364,391641,0 47,2,2024-09-07 10:01:40:916,838186,838186,0,0,33288348,0,4477 47,3,2024-09-07 10:01:41:117,1,660,39,0,600,7124,660,0 48,0,2024-09-07 10:01:41:488,169281,0.3,169779,0.4,338412,0.2,450144,1.50 48,1,2024-09-07 10:01:41:022,1161564,1161564,0,0,545334592564,5667272130,1153990,6562,1012,381,391710,0 48,2,2024-09-07 10:01:40:698,835968,835968,0,0,30781297,0,3619 48,3,2024-09-07 10:01:40:754,1,660,19,0,339,5883,660,0 49,0,2024-09-07 10:01:41:719,172454,0.3,168899,0.5,328690,0.3,448198,1.75 49,1,2024-09-07 10:01:41:022,1160761,1160761,0,0,544795212831,5666683131,1152983,6082,1696,382,391809,0 49,2,2024-09-07 10:01:41:797,835743,835743,0,0,32200026,0,4426 49,3,2024-09-07 10:01:41:417,1,660,1,0,992,7887,660,0 50,0,2024-09-07 10:01:41:508,162202,0.3,160837,0.5,323907,0.2,430959,1.75 50,1,2024-09-07 10:01:41:010,1163867,1163867,0,0,546715707009,5652839391,1156428,6708,731,368,391691,0 50,2,2024-09-07 10:01:41:071,833611,833611,0,0,29975232,0,4490 50,3,2024-09-07 10:01:41:291,1,660,3,0,617,6663,660,0 51,0,2024-09-07 10:01:41:683,167257,0.3,163719,0.5,318765,0.2,434729,1.75 51,1,2024-09-07 10:01:41:690,1163856,1163856,0,0,547535589829,5647037230,1157221,5294,1341,365,391706,0 51,2,2024-09-07 10:01:41:319,835905,835905,0,0,29763353,0,3337 51,3,2024-09-07 10:01:41:028,1,660,7,0,678,4716,660,0 52,0,2024-09-07 10:01:41:422,169502,0.5,169619,0.7,338422,0.4,450536,2.00 52,1,2024-09-07 10:01:40:581,1159040,1159040,0,0,544395577109,5695239620,1143014,13638,2388,368,391805,0 52,2,2024-09-07 10:01:41:761,830918,830880,38,0,41053061,0,6742 52,3,2024-09-07 10:01:40:674,1,660,1,0,1782,7751,660,0 53,0,2024-09-07 10:01:41:739,167647,0.6,162730,0.8,340494,0.7,445854,2.25 53,1,2024-09-07 10:01:40:771,1157089,1157089,0,0,544258957208,5705977013,1138277,14404,4408,367,391968,0 53,2,2024-09-07 10:01:41:303,834959,834821,138,0,36517803,0,7690 53,3,2024-09-07 10:01:40:698,1,660,8,0,308,6028,660,0 54,0,2024-09-07 10:01:41:623,160089,0.6,160476,0.7,319712,0.5,426568,2.25 54,1,2024-09-07 10:01:40:580,1160095,1160095,0,0,544980621308,5664283324,1148760,9663,1672,366,391810,0 54,2,2024-09-07 10:01:40:900,835161,835129,32,0,40179337,0,6397 54,3,2024-09-07 10:01:40:765,1,660,0,0,676,8310,660,0 55,0,2024-09-07 10:01:41:762,156454,0.6,161383,0.8,327300,0.5,426219,2.50 55,1,2024-09-07 10:01:40:764,1161010,1161010,0,0,544536649704,5662880537,1149024,10500,1486,365,391731,0 55,2,2024-09-07 10:01:40:729,833515,833459,56,0,37071266,0,7239 55,3,2024-09-07 10:01:40:674,1,660,10,0,304,6086,660,0 56,0,2024-09-07 10:01:41:579,171019,1.1,161199,1.1,332208,1.4,445052,2.75 56,1,2024-09-07 10:01:40:569,1153843,1153843,0,0,541961849912,5728461147,1135056,15036,3751,381,391867,0 56,2,2024-09-07 10:01:41:305,833207,833085,122,0,39472522,0,7432 56,3,2024-09-07 10:01:41:063,1,660,3,0,705,8041,660,0 57,0,2024-09-07 10:01:40:980,166614,1.4,166469,1.2,333047,2.0,444887,3.00 57,1,2024-09-07 10:01:41:004,1156545,1156545,0,0,542621713684,5693770827,1141060,12795,2690,366,392032,0 57,2,2024-09-07 10:01:41:319,833580,833580,0,0,41663853,0,4804 57,3,2024-09-07 10:01:41:747,1,660,3,0,455,7247,660,0 58,0,2024-09-07 10:01:40:557,161310,0.9,156687,1.0,328015,1.1,428850,2.50 58,1,2024-09-07 10:01:40:581,1157624,1157621,0,3,543897000529,5702011667,1139947,13361,4313,367,391726,3 58,2,2024-09-07 10:01:41:078,834806,834806,0,0,38201363,0,3483 58,3,2024-09-07 10:01:41:074,1,660,4,0,1043,7243,660,0 59,0,2024-09-07 10:01:41:743,160484,0.8,159828,1.0,319610,0.9,424026,2.75 59,1,2024-09-07 10:01:40:804,1157436,1157436,0,0,543297275413,5707424262,1138487,15114,3835,369,391653,0 59,2,2024-09-07 10:01:40:583,834314,834314,0,0,36357841,0,3727 59,3,2024-09-07 10:01:41:746,1,660,9,0,1015,7877,660,0 60,0,2024-09-07 10:01:41:745,165569,0.5,165533,0.7,331372,0.5,442130,2.00 60,1,2024-09-07 10:01:40:792,1161204,1161204,0,0,545598715778,5679096046,1151147,8661,1396,370,392031,0 60,2,2024-09-07 10:01:41:147,835589,835589,0,0,35035187,0,3811 60,3,2024-09-07 10:01:41:258,1,660,23,0,409,7533,660,0 61,0,2024-09-07 10:01:41:525,168744,0.6,169432,0.8,337258,0.6,449957,2.00 61,1,2024-09-07 10:01:40:777,1158713,1158713,0,0,543877528424,5694923711,1146473,10464,1776,382,392127,0 61,2,2024-09-07 10:01:41:119,835603,835536,67,0,35916761,0,6411 61,3,2024-09-07 10:01:41:695,1,660,97,0,607,8334,660,0 62,0,2024-09-07 10:01:41:712,167223,0.6,171534,0.7,327413,0.6,444376,2.00 62,1,2024-09-07 10:01:41:111,1165556,1165550,0,6,546696376231,5641148466,1159019,6057,474,365,391975,6 62,2,2024-09-07 10:01:41:647,832324,832323,1,0,35251839,0,5555 62,3,2024-09-07 10:01:41:143,1,660,1,0,482,5283,660,0 63,0,2024-09-07 10:01:41:454,162860,0.4,162509,0.6,325905,0.3,432947,1.75 63,1,2024-09-07 10:01:40:807,1162114,1162108,0,6,545085845240,5661503974,1154528,6652,928,381,391800,6 63,2,2024-09-07 10:01:40:767,834306,834306,0,0,34383417,0,4369 63,3,2024-09-07 10:01:41:736,1,660,9,0,667,7140,660,0 64,0,2024-09-07 10:01:41:536,162706,0.5,162575,0.7,324913,0.5,433305,2.00 64,1,2024-09-07 10:01:40:768,1160191,1160191,0,0,545641793835,5682273240,1150041,8124,2026,370,391794,0 64,2,2024-09-07 10:01:41:143,838882,838863,19,0,33530464,0,6121 64,3,2024-09-07 10:01:41:148,1,660,1,0,651,7211,660,0 65,0,2024-09-07 10:01:41:703,167813,0.6,168138,0.7,335773,0.6,447788,2.00 65,1,2024-09-07 10:01:40:877,1158771,1158771,0,0,543316476831,5678619700,1150688,7186,897,381,391901,0 65,2,2024-09-07 10:01:41:702,833582,833582,0,0,39638295,0,3367 65,3,2024-09-07 10:01:41:693,1,660,1,0,782,7933,660,0 66,0,2024-09-07 10:01:41:793,168105,0.5,167447,0.7,335158,0.5,446213,2.00 66,1,2024-09-07 10:01:41:314,1160804,1160804,0,0,545108575217,5673437116,1153460,6472,872,380,391743,0 66,2,2024-09-07 10:01:41:134,837031,837028,3,0,34766516,0,5455 66,3,2024-09-07 10:01:41:083,1,660,19,0,291,6003,660,0 67,0,2024-09-07 10:01:41:412,161198,0.5,160796,0.7,322502,0.5,429326,2.00 67,1,2024-09-07 10:01:40:765,1160855,1160854,0,1,544186778034,5668631166,1152434,7280,1140,380,391787,1 67,2,2024-09-07 10:01:40:587,837965,837950,15,0,34865515,0,6205 67,3,2024-09-07 10:01:41:758,1,660,11,0,595,7107,660,0 68,0,2024-09-07 10:01:40:581,162620,0.6,162363,0.8,323587,0.6,433385,2.25 68,1,2024-09-07 10:01:40:570,1156382,1156382,0,0,542640137089,5709968090,1140042,12076,4264,381,391953,0 68,2,2024-09-07 10:01:41:052,830831,830731,100,0,43284912,0,8578 68,3,2024-09-07 10:01:40:744,1,660,0,0,417,8817,660,0 69,0,2024-09-07 10:01:41:732,168068,0.6,168626,0.8,336349,0.6,447429,2.25 69,1,2024-09-07 10:01:41:037,1155661,1155661,0,0,542291741799,5712135369,1141148,11533,2980,383,391994,0 69,2,2024-09-07 10:01:41:750,831656,831627,29,0,46277492,0,6912 69,3,2024-09-07 10:01:40:770,1,660,12,0,698,9524,660,0 70,0,2024-09-07 10:01:41:533,166462,0.8,167208,0.9,335292,0.7,444937,2.50 70,1,2024-09-07 10:01:40:802,1161954,1161954,0,0,546531166257,5671728138,1152873,8052,1029,366,391725,0 70,2,2024-09-07 10:01:41:329,834417,834417,0,0,37777800,0,4323 70,3,2024-09-07 10:01:40:746,1,660,0,0,854,7433,660,0 71,0,2024-09-07 10:01:41:365,161563,0.9,161132,1.0,323618,1.0,431141,2.50 71,1,2024-09-07 10:01:41:603,1160174,1160174,0,0,544844493846,5684387119,1146291,12257,1626,367,391738,0 71,2,2024-09-07 10:01:41:080,834002,834002,0,0,37622632,0,4352 71,3,2024-09-07 10:01:41:749,1,660,79,0,644,7341,660,0 72,0,2024-09-07 10:01:41:142,167482,0.5,163500,0.7,319420,0.5,434721,2.00 72,1,2024-09-07 10:01:41:032,1158189,1158189,0,0,543448153473,5696748420,1141994,13507,2688,369,391819,0 72,2,2024-09-07 10:01:41:766,832890,832890,0,0,39622208,0,3983 72,3,2024-09-07 10:01:41:767,1,660,10,0,564,9360,660,0 73,0,2024-09-07 10:01:41:119,162681,0.4,166807,0.6,340863,0.4,444354,2.00 73,1,2024-09-07 10:01:40:782,1159934,1159934,0,0,544528504929,5659024154,1151071,7876,987,367,391858,0 73,2,2024-09-07 10:01:41:748,833961,833960,1,0,41359276,0,5027 73,3,2024-09-07 10:01:40:981,1,660,8,0,1091,9043,660,0 74,0,2024-09-07 10:01:41:327,169906,0.5,173902,0.7,331988,0.4,450420,2.00 74,1,2024-09-07 10:01:40:635,1158560,1158560,0,0,543406179787,5669563572,1146453,9967,2140,381,391762,0 74,2,2024-09-07 10:01:41:003,833829,833829,0,0,36450767,0,4253 74,3,2024-09-07 10:01:41:457,1,660,3,0,522,7686,660,0 75,0,2024-09-07 10:01:41:791,165119,0.5,164178,0.7,329531,0.5,439593,2.25 75,1,2024-09-07 10:01:41:589,1160176,1160176,0,0,544550671429,5673306467,1150715,8529,932,380,391739,0 75,2,2024-09-07 10:01:41:355,833234,833234,0,0,43109178,0,4766 75,3,2024-09-07 10:01:41:073,1,660,12,0,918,9102,660,0 76,0,2024-09-07 10:01:40:591,161485,0.5,160848,0.7,321495,0.5,429633,2.25 76,1,2024-09-07 10:01:40:818,1159500,1159500,0,0,543882315795,5674012507,1151076,7120,1304,382,391790,0 76,2,2024-09-07 10:01:41:066,836856,836853,3,0,35891337,0,5265 76,3,2024-09-07 10:01:41:148,1,660,21,0,249,6233,660,0 77,0,2024-09-07 10:01:41:759,163869,0.6,164278,0.7,328850,0.5,437283,2.00 77,1,2024-09-07 10:01:40:835,1159287,1159287,0,0,543785310691,5676800132,1149861,8371,1055,381,391869,0 77,2,2024-09-07 10:01:41:303,834610,834610,0,0,34992810,0,3890 77,3,2024-09-07 10:01:41:095,1,660,32,0,401,7696,660,0 78,0,2024-09-07 10:01:41:746,169544,0.4,168861,0.6,338948,0.4,448166,2.00 78,1,2024-09-07 10:01:40:615,1158923,1158923,0,0,544365894339,5683029150,1144013,11674,3236,367,391670,0 78,2,2024-09-07 10:01:41:406,836020,836007,13,0,32912010,0,8313 78,3,2024-09-07 10:01:41:135,1,660,0,0,311,5524,660,0 79,0,2024-09-07 10:01:41:351,162023,0.4,166008,0.6,340056,0.3,442773,2.00 79,1,2024-09-07 10:01:40:571,1163004,1163004,0,0,545422744913,5651784657,1153218,7986,1800,367,391682,0 79,2,2024-09-07 10:01:41:074,835313,835313,0,0,31627045,0,4195 79,3,2024-09-07 10:01:40:752,1,660,8,0,418,7483,660,0 80,0,2024-09-07 10:01:41:119,161782,0.5,166131,0.7,317355,0.5,429970,2.00 80,1,2024-09-07 10:01:41:637,1159303,1159303,0,0,544634573470,5671181699,1151627,7128,548,368,392269,0 80,2,2024-09-07 10:01:41:109,837496,837496,0,0,32614763,0,4433 80,3,2024-09-07 10:01:40:582,1,660,8,0,681,7968,660,0 81,0,2024-09-07 10:01:41:552,162893,0.6,166528,0.7,317924,0.6,431595,2.00 81,1,2024-09-07 10:01:41:651,1158544,1158544,0,0,543137798495,5676521769,1149245,8328,971,382,392001,0 81,2,2024-09-07 10:01:41:129,834974,834911,63,0,35999502,0,5932 81,3,2024-09-07 10:01:41:119,1,660,29,0,719,7262,660,0 82,0,2024-09-07 10:01:41:534,169498,0.5,169627,0.7,339703,0.5,451080,2.00 82,1,2024-09-07 10:01:40:583,1160579,1160575,0,4,545130942023,5669434154,1154090,5443,1042,381,391768,4 82,2,2024-09-07 10:01:41:696,837125,837125,0,0,30216010,0,4484 82,3,2024-09-07 10:01:41:752,1,660,10,0,363,6041,660,0 83,0,2024-09-07 10:01:41:537,168339,0.6,168197,0.7,336017,0.5,446586,2.25 83,1,2024-09-07 10:01:40:551,1159378,1159378,0,0,543963535881,5671961347,1150844,7539,995,382,391709,0 83,2,2024-09-07 10:01:40:766,835086,835061,25,0,32424887,0,5612 83,3,2024-09-07 10:01:40:749,1,660,22,0,1260,7824,660,0 84,0,2024-09-07 10:01:41:840,160483,0.7,160506,0.9,321109,0.7,428499,2.25 84,1,2024-09-07 10:01:41:060,1157658,1157658,0,0,543271424093,5681448863,1144637,11136,1885,367,391967,0 84,2,2024-09-07 10:01:40:571,833540,833130,410,0,46560696,0,17037 84,3,2024-09-07 10:01:41:141,1,660,1,0,908,9097,660,0 85,0,2024-09-07 10:01:41:012,155786,0.6,155758,0.8,330654,0.5,426716,2.25 85,1,2024-09-07 10:01:40:560,1154857,1154857,0,0,542758080546,5726202642,1137754,14293,2810,381,392092,0 85,2,2024-09-07 10:01:40:874,834073,834073,0,0,40022111,0,4255 85,3,2024-09-07 10:01:40:687,1,660,1,0,789,7508,660,0 86,0,2024-09-07 10:01:40:917,167275,0.7,172075,0.8,329128,0.7,445193,2.25 86,1,2024-09-07 10:01:40:828,1156956,1156956,0,0,543417954396,5702692931,1140770,13059,3127,366,392169,0 86,2,2024-09-07 10:01:40:854,833487,833486,1,0,42404919,0,5004 86,3,2024-09-07 10:01:40:592,1,660,1,0,308,8903,660,0 87,0,2024-09-07 10:01:41:295,168819,0.8,167700,0.8,335890,0.9,448728,2.25 87,1,2024-09-07 10:01:40:557,1157384,1157384,0,0,544045302056,5696193108,1143418,12158,1808,366,392076,0 87,2,2024-09-07 10:01:41:067,833388,833382,6,0,39615688,0,6323 87,3,2024-09-07 10:01:41:794,1,660,8,0,473,9130,660,0 88,0,2024-09-07 10:01:41:491,163645,0.4,164165,0.6,328038,0.4,436286,1.75 88,1,2024-09-07 10:01:40:570,1156274,1156274,0,0,542424494612,5684167020,1140021,13131,3122,365,392084,0 88,2,2024-09-07 10:01:40:692,834267,834267,0,0,40781447,0,4465 88,3,2024-09-07 10:01:41:271,1,660,2,0,1080,10353,660,0 89,0,2024-09-07 10:01:41:773,166312,0.4,161376,0.6,318303,0.4,432575,1.75 89,1,2024-09-07 10:01:40:550,1155483,1155483,0,0,543310435792,5712152603,1141478,11816,2189,382,391866,0 89,2,2024-09-07 10:01:41:135,834061,834061,0,0,38987378,0,3173 89,3,2024-09-07 10:01:41:797,1,660,0,0,468,10573,660,0 90,0,2024-09-07 10:01:41:631,160518,0.4,164812,0.6,336562,0.4,439790,2.00 90,1,2024-09-07 10:01:40:601,1157109,1157109,0,0,542424229088,5680820070,1145853,10204,1052,380,391825,0 90,2,2024-09-07 10:01:41:407,831148,831143,5,0,42136444,0,6370 90,3,2024-09-07 10:01:40:933,1,660,2,0,364,8784,660,0 91,0,2024-09-07 10:01:41:030,169379,0.5,164307,0.6,343787,0.5,450836,1.75 91,1,2024-09-07 10:01:40:560,1154477,1154477,0,0,542777115302,5722103661,1136800,13716,3961,381,392047,0 91,2,2024-09-07 10:01:41:340,834359,834359,0,0,37630788,0,4713 91,3,2024-09-07 10:01:40:603,1,660,10,0,231,6265,660,0 92,0,2024-09-07 10:01:41:529,168222,0.5,172037,0.6,328208,0.4,444617,1.75 92,1,2024-09-07 10:01:40:582,1158738,1158738,0,0,544016704584,5682675376,1149616,7815,1307,381,392136,0 92,2,2024-09-07 10:01:41:371,834056,834056,0,0,33604000,0,3906 92,3,2024-09-07 10:01:41:013,1,660,1,0,167,5475,660,0 93,0,2024-09-07 10:01:40:972,163345,0.4,167295,0.6,319565,0.3,432874,1.75 93,1,2024-09-07 10:01:40:805,1159285,1159285,0,0,544267429234,5688236497,1145384,11469,2432,365,392048,0 93,2,2024-09-07 10:01:40:937,834344,834344,0,0,39490201,0,4913 93,3,2024-09-07 10:01:41:410,1,660,13,0,505,7418,660,0 94,0,2024-09-07 10:01:41:620,162713,0.4,163900,0.6,327815,0.3,435660,1.75 94,1,2024-09-07 10:01:40:576,1159838,1159838,0,0,544691662428,5680265986,1152157,7191,490,381,391850,0 94,2,2024-09-07 10:01:40:762,835691,835663,28,0,34211932,0,6179 94,3,2024-09-07 10:01:41:690,1,660,1,0,576,8232,660,0 95,0,2024-09-07 10:01:41:370,169117,0.4,169049,0.6,338408,0.3,450735,1.75 95,1,2024-09-07 10:01:40:911,1160603,1160603,0,0,544911427208,5662440057,1151604,8235,764,365,391852,0 95,2,2024-09-07 10:01:41:016,833896,833896,0,0,34072846,0,3308 95,3,2024-09-07 10:01:41:718,1,660,9,0,718,10066,660,0 96,0,2024-09-07 10:01:41:030,168487,0.3,168675,0.5,337557,0.3,448813,1.75 96,1,2024-09-07 10:01:41:601,1158796,1158796,0,0,544143701537,5677883595,1150365,7138,1293,384,391964,0 96,2,2024-09-07 10:01:41:273,835987,835987,0,0,34246940,0,4180 96,3,2024-09-07 10:01:41:142,1,660,1,0,411,7603,660,0 97,0,2024-09-07 10:01:41:400,161307,0.3,161173,0.5,322917,0.3,429206,1.50 97,1,2024-09-07 10:01:40:767,1160593,1160593,0,0,545028071899,5657231628,1152767,6589,1237,367,392140,0 97,2,2024-09-07 10:01:40:616,836072,836072,0,0,34844656,0,4600 97,3,2024-09-07 10:01:40:568,1,660,1,0,433,7918,660,0 98,0,2024-09-07 10:01:41:731,162288,0.3,162286,0.5,325953,0.3,433878,1.50 98,1,2024-09-07 10:01:40:573,1160084,1160084,0,0,544098451884,5669605811,1152659,6527,898,381,391997,0 98,2,2024-09-07 10:01:40:770,834829,834829,0,0,33085955,0,4336 98,3,2024-09-07 10:01:40:706,1,660,6,0,840,9397,660,0 99,0,2024-09-07 10:01:41:457,168510,0.3,169386,0.5,337473,0.3,449848,1.75 99,1,2024-09-07 10:01:41:738,1161025,1161025,0,0,544707008828,5670161974,1153519,6413,1093,380,392069,0 99,2,2024-09-07 10:01:41:450,833907,833907,0,0,42270096,0,4276 99,3,2024-09-07 10:01:40:604,1,660,16,0,1124,8314,660,0 100,0,2024-09-07 10:01:41:463,167782,0.8,168356,0.9,336416,0.8,448584,2.50 100,1,2024-09-07 10:01:40:550,1153940,1153940,0,0,542228627964,5731549724,1136290,14126,3524,378,391989,0 100,2,2024-09-07 10:01:41:828,830492,830103,389,0,43778681,0,16909 100,3,2024-09-07 10:01:41:738,1,660,10,0,627,10530,660,0 101,0,2024-09-07 10:01:41:759,166245,1.0,162222,1.0,317267,0.9,432796,2.25 101,1,2024-09-07 10:01:40:552,1156177,1156177,0,0,543004335784,5707518134,1140299,12946,2932,368,391847,0 101,2,2024-09-07 10:01:41:758,829507,829468,39,0,44911650,0,5913 101,3,2024-09-07 10:01:40:942,1,660,4,0,1250,9789,660,0 102,0,2024-09-07 10:01:40:986,157731,0.6,162487,0.8,329939,0.6,430968,2.00 102,1,2024-09-07 10:01:41:143,1156088,1156088,0,0,543423192897,5706142476,1141012,12862,2214,369,391984,0 102,2,2024-09-07 10:01:41:737,835171,835117,54,0,37591154,0,6768 102,3,2024-09-07 10:01:41:614,1,660,7,0,466,7531,660,0 103,0,2024-09-07 10:01:41:599,172331,0.6,172370,0.7,324573,0.6,446447,2.00 103,1,2024-09-07 10:01:41:631,1155122,1155122,0,0,542310152687,5725774167,1136954,14545,3623,381,392077,0 103,2,2024-09-07 10:01:40:588,832462,832462,0,0,40844562,0,3766 103,3,2024-09-07 10:01:40:770,1,660,8,0,916,7700,660,0 104,0,2024-09-07 10:01:41:005,167584,0.7,167932,0.9,334975,0.7,447609,2.25 104,1,2024-09-07 10:01:41:601,1157508,1157508,0,0,542964146273,5706358133,1140030,14233,3245,365,392168,0 104,2,2024-09-07 10:01:41:671,832255,832255,0,0,39918544,0,4161 104,3,2024-09-07 10:01:41:423,1,660,1,0,1245,11120,660,0 105,0,2024-09-07 10:01:41:030,163123,0.9,159022,1.0,333381,0.9,437641,2.50 105,1,2024-09-07 10:01:40:560,1159494,1159494,0,0,543942245329,5695532480,1145477,12060,1957,364,392009,0 105,2,2024-09-07 10:01:41:325,833039,833039,0,0,40623369,0,4360 105,3,2024-09-07 10:01:41:310,1,660,0,0,573,9892,660,0 106,0,2024-09-07 10:01:40:941,156423,0.9,160271,0.9,328152,1.0,427976,2.50 106,1,2024-09-07 10:01:41:750,1157077,1157077,0,0,542437297459,5691157018,1141242,13806,2029,368,391914,0 106,2,2024-09-07 10:01:40:774,833791,833791,0,0,37594641,0,3331 106,3,2024-09-07 10:01:40:677,1,660,2,0,1224,9035,660,0 107,0,2024-09-07 10:01:41:105,163953,1.0,164151,1.0,328260,1.2,438225,2.25 107,1,2024-09-07 10:01:40:590,1155065,1155065,0,0,541200001769,5717810869,1136906,15974,2185,381,392234,0 107,2,2024-09-07 10:01:41:304,831371,831370,1,0,41277864,0,5024 107,3,2024-09-07 10:01:41:762,1,660,13,0,733,9806,660,0 108,0,2024-09-07 10:01:41:857,168593,0.4,169441,0.6,337821,0.4,449968,1.75 108,1,2024-09-07 10:01:41:313,1158653,1158653,0,0,544569341463,5681256750,1148872,8695,1086,367,391894,0 108,2,2024-09-07 10:01:41:782,835348,835348,0,0,37227378,0,4432 108,3,2024-09-07 10:01:41:332,1,660,11,0,767,12299,660,0 109,0,2024-09-07 10:01:41:753,168588,0.4,167203,0.6,335373,0.3,447396,1.75 109,1,2024-09-07 10:01:40:588,1156358,1156358,0,0,543333859479,5700966740,1145911,8947,1500,382,392132,0 109,2,2024-09-07 10:01:40:933,832945,832945,0,0,36682042,0,3617 109,3,2024-09-07 10:01:41:145,1,660,3,0,630,7704,660,0 110,0,2024-09-07 10:01:41:756,161529,0.4,157076,0.6,329046,0.3,431903,1.75 110,1,2024-09-07 10:01:41:647,1160999,1160999,0,0,544876594301,5656255903,1152249,6724,2026,368,392045,0 110,2,2024-09-07 10:01:41:303,835524,835524,0,0,35791001,0,4067 110,3,2024-09-07 10:01:40:691,1,660,2,0,722,8770,660,0 111,0,2024-09-07 10:01:41:419,163698,0.4,162869,0.5,325372,0.3,434359,1.75 111,1,2024-09-07 10:01:40:999,1162269,1162269,0,0,545938305074,5665846734,1155786,6095,388,380,391690,0 111,2,2024-09-07 10:01:41:120,835463,835463,0,0,35447496,0,4823 111,3,2024-09-07 10:01:40:913,1,660,15,0,379,7349,660,0 112,0,2024-09-07 10:01:40:912,170349,0.3,169747,0.4,340330,0.2,452771,1.50 112,1,2024-09-07 10:01:40:832,1160970,1160970,0,0,544914662662,5657316596,1153497,6248,1225,380,391624,0 112,2,2024-09-07 10:01:41:136,834930,834929,1,0,33745233,0,5036 112,3,2024-09-07 10:01:40:592,1,660,2,0,282,6560,660,0 113,0,2024-09-07 10:01:40:868,168300,0.3,168439,0.5,337278,0.2,448902,1.75 113,1,2024-09-07 10:01:41:691,1164083,1164083,0,0,547269554368,5647232915,1157261,5872,950,365,391664,0 113,2,2024-09-07 10:01:41:302,836899,836899,0,0,31108474,0,3813 113,3,2024-09-07 10:01:40:683,1,660,18,0,510,6856,660,0 114,0,2024-09-07 10:01:40:902,162112,0.3,163146,0.5,325420,0.2,433908,1.75 114,1,2024-09-07 10:01:40:716,1160710,1160710,0,0,544991196833,5659020749,1152180,6762,1768,381,391565,0 114,2,2024-09-07 10:01:40:896,836864,836863,1,0,33070882,0,5069 114,3,2024-09-07 10:01:41:283,1,660,1,0,415,5488,660,0 115,0,2024-09-07 10:01:40:553,162801,0.3,163318,0.4,325313,0.2,432948,1.50 115,1,2024-09-07 10:01:40:571,1160828,1160828,0,0,545181109620,5664192839,1151399,7639,1790,382,391757,0 115,2,2024-09-07 10:01:41:125,836721,836721,0,0,32888933,0,4382 115,3,2024-09-07 10:01:41:003,1,660,12,0,173,4244,660,0 116,0,2024-09-07 10:01:41:774,167117,0.7,167072,0.8,334967,0.7,447655,2.00 116,1,2024-09-07 10:01:40:816,1154529,1154529,0,0,542804142337,5718210289,1140969,10352,3208,380,392089,0 116,2,2024-09-07 10:01:41:795,834108,834108,0,0,40413730,0,4475 116,3,2024-09-07 10:01:40:918,1,660,1,0,448,8568,660,0 117,0,2024-09-07 10:01:40:977,168768,0.7,168360,0.8,336975,0.7,450081,2.00 117,1,2024-09-07 10:01:41:578,1156990,1156990,0,0,543047230674,5685670453,1144311,11060,1619,369,392429,0 117,2,2024-09-07 10:01:41:120,836009,836009,0,0,36676443,0,4303 117,3,2024-09-07 10:01:41:073,1,660,14,0,490,8108,660,0 118,0,2024-09-07 10:01:41:765,158728,0.5,163100,0.7,332705,0.5,434783,2.00 118,1,2024-09-07 10:01:40:590,1155996,1155996,0,0,542466483672,5701153596,1139137,13040,3819,366,392054,0 118,2,2024-09-07 10:01:41:600,833441,833441,0,0,39482636,0,3161 118,3,2024-09-07 10:01:41:769,1,660,1,0,343,8028,660,0 119,0,2024-09-07 10:01:41:337,161509,0.7,162034,0.8,323476,0.8,431082,2.25 119,1,2024-09-07 10:01:40:556,1157076,1157076,0,0,543521867455,5699343907,1141658,12756,2662,367,391857,0 119,2,2024-09-07 10:01:41:268,835064,835064,0,0,36310883,0,4309 119,3,2024-09-07 10:01:41:329,1,660,12,0,1358,11889,660,0 120,0,2024-09-07 10:01:41:544,164771,0.7,164450,0.8,330633,0.7,441244,2.25 120,1,2024-09-07 10:01:40:860,1157921,1157921,0,0,542596196218,5689134915,1145537,11227,1157,367,392144,0 120,2,2024-09-07 10:01:40:774,833498,833495,3,0,43654268,0,5363 120,3,2024-09-07 10:01:41:291,1,660,1,0,478,8464,660,0 121,0,2024-09-07 10:01:41:688,168991,1.2,168460,1.0,337008,1.5,449265,2.25 121,1,2024-09-07 10:01:41:663,1157505,1157505,0,0,543635186579,5688007368,1145181,10480,1844,366,391840,0 121,2,2024-09-07 10:01:41:129,834706,834706,0,0,39821759,0,4157 121,3,2024-09-07 10:01:40:728,1,660,2,0,387,8505,660,0 122,0,2024-09-07 10:01:41:761,166124,0.7,161565,0.8,338242,0.8,444363,2.00 122,1,2024-09-07 10:01:40:863,1156967,1156967,0,0,543184664595,5696609082,1141707,12841,2419,365,392130,0 122,2,2024-09-07 10:01:41:340,834328,834253,75,0,43330888,0,5989 122,3,2024-09-07 10:01:40:595,1,660,157,0,512,10267,660,0 123,0,2024-09-07 10:01:40:968,161510,0.8,157339,0.9,329810,0.9,431433,2.25 123,1,2024-09-07 10:01:40:571,1157549,1157549,0,0,543437380083,5713562785,1139292,15368,2889,369,392039,0 123,2,2024-09-07 10:01:41:019,833319,833318,1,0,37326610,0,5215 123,3,2024-09-07 10:01:41:133,1,660,7,0,478,7405,660,0 124,0,2024-09-07 10:01:40:934,167892,0.3,168010,0.5,316597,0.3,436161,1.75 124,1,2024-09-07 10:01:41:022,1160695,1160695,0,0,544034505521,5657020044,1151911,7551,1233,365,392178,0 124,2,2024-09-07 10:01:41:013,837055,837002,53,0,34824969,0,6487 124,3,2024-09-07 10:01:40:762,1,660,0,0,490,6582,660,0 125,0,2024-09-07 10:01:41:425,169108,0.4,168976,0.6,338628,0.4,451149,1.75 125,1,2024-09-07 10:01:40:857,1158267,1158267,0,0,544149363161,5686278840,1147998,8759,1510,382,392045,0 125,2,2024-09-07 10:01:41:125,837198,837198,0,0,34682950,0,4534 125,3,2024-09-07 10:01:41:135,1,660,1,0,709,7513,660,0 126,0,2024-09-07 10:01:41:435,168695,0.4,173499,0.5,331607,0.3,449581,1.75 126,1,2024-09-07 10:01:40:559,1161666,1161666,0,0,545180266519,5648602247,1154858,6129,679,365,391987,0 126,2,2024-09-07 10:01:40:620,836219,836219,0,0,35636990,0,4539 126,3,2024-09-07 10:01:40:912,1,660,8,0,268,7599,660,0 127,0,2024-09-07 10:01:41:606,161634,0.3,161820,0.5,322959,0.3,429448,1.75 127,1,2024-09-07 10:01:40:572,1159662,1159662,0,0,544268252251,5665075949,1147608,10363,1691,364,392187,0 127,2,2024-09-07 10:01:40:639,835908,835904,4,0,33813920,0,5305 127,3,2024-09-07 10:01:41:271,1,660,16,0,968,6529,660,0 128,0,2024-09-07 10:01:41:526,163354,0.3,163276,0.4,326498,0.2,434610,1.50 128,1,2024-09-07 10:01:41:627,1159350,1159350,0,0,544266512598,5660513603,1150499,7882,969,367,392423,0 128,2,2024-09-07 10:01:41:420,837431,837431,0,0,31979709,0,3171 128,3,2024-09-07 10:01:40:769,1,660,6,0,1082,9999,660,0 129,0,2024-09-07 10:01:40:990,169748,0.3,168992,0.5,339079,0.3,450514,1.50 129,1,2024-09-07 10:01:40:573,1156092,1156092,0,0,543492517988,5702485947,1143377,10387,2328,379,391962,0 129,2,2024-09-07 10:01:40:686,836435,836431,4,0,34625989,0,5335 129,3,2024-09-07 10:01:40:688,1,660,16,0,506,9299,660,0 130,0,2024-09-07 10:01:41:717,169105,0.4,168891,0.6,338095,0.4,450419,1.75 130,1,2024-09-07 10:01:40:601,1161234,1161234,0,0,544953532260,5658835327,1155596,5232,406,381,391825,0 130,2,2024-09-07 10:01:41:125,834804,834804,0,0,34430839,0,4067 130,3,2024-09-07 10:01:41:302,1,660,4,0,960,8603,660,0 131,0,2024-09-07 10:01:41:933,162666,0.3,163202,0.5,327279,0.3,434525,1.50 131,1,2024-09-07 10:01:41:820,1160215,1160215,0,0,544025693833,5670628962,1152211,6802,1202,381,391865,0 131,2,2024-09-07 10:01:40:569,835379,835379,0,0,31900034,0,3979 131,3,2024-09-07 10:01:41:692,1,660,2,0,392,8379,660,0 132,0,2024-09-07 10:01:41:425,162576,0.5,163566,0.6,325422,0.4,433249,2.00 132,1,2024-09-07 10:01:40:590,1155881,1155881,0,0,542486975919,5708324837,1139601,13500,2780,381,392532,0 132,2,2024-09-07 10:01:40:697,834332,834315,17,0,40887233,0,6451 132,3,2024-09-07 10:01:41:692,1,660,87,0,1298,11598,660,0 133,0,2024-09-07 10:01:41:581,162792,0.4,166636,0.6,341796,0.4,444913,2.00 133,1,2024-09-07 10:01:40:583,1155685,1155685,0,0,542848943077,5717026432,1140539,13215,1931,383,391914,0 133,2,2024-09-07 10:01:41:096,835183,835133,50,0,41906867,0,6861 133,3,2024-09-07 10:01:41:302,1,660,10,0,528,7741,660,0 134,0,2024-09-07 10:01:40:954,169373,0.5,169410,0.7,338678,0.5,451001,2.25 134,1,2024-09-07 10:01:40:608,1156685,1156685,0,0,542634900616,5693652358,1141275,12219,3191,366,391781,0 134,2,2024-09-07 10:01:41:777,834327,834188,139,0,39499807,0,7591 134,3,2024-09-07 10:01:40:749,1,660,2,0,739,8152,660,0 135,0,2024-09-07 10:01:41:105,159819,0.7,159836,0.8,339357,0.8,436853,2.25 135,1,2024-09-07 10:01:41:585,1156945,1156945,0,0,543614716872,5714693569,1142665,12430,1850,380,392038,0 135,2,2024-09-07 10:01:40:688,835367,835367,0,0,39515183,0,4503 135,3,2024-09-07 10:01:41:013,1,660,10,0,900,6296,660,0 136,0,2024-09-07 10:01:41:721,162271,0.6,162788,0.8,323352,0.6,431226,2.00 136,1,2024-09-07 10:01:41:444,1158191,1158191,0,0,543407147951,5691571085,1146043,10753,1395,381,392135,0 136,2,2024-09-07 10:01:41:135,836215,836200,15,0,38900638,0,6007 136,3,2024-09-07 10:01:41:106,1,660,8,0,637,7677,660,0 137,0,2024-09-07 10:01:40:920,169415,0.6,165183,0.8,323594,0.7,439869,2.00 137,1,2024-09-07 10:01:40:585,1157226,1157226,0,0,543470934342,5698709795,1139331,14289,3606,366,391898,0 137,2,2024-09-07 10:01:41:730,833635,833635,0,0,40086287,0,3185 137,3,2024-09-07 10:01:40:773,1,660,2,0,484,8929,660,0 138,0,2024-09-07 10:01:41:740,167963,1.0,168296,0.9,337232,1.2,448326,2.25 138,1,2024-09-07 10:01:41:685,1157044,1157044,0,0,543532166644,5703041742,1140809,13597,2638,368,391954,0 138,2,2024-09-07 10:01:40:587,833839,833839,0,0,38760274,0,4988 138,3,2024-09-07 10:01:40:630,1,660,5,0,1200,9957,660,0 139,0,2024-09-07 10:01:41:394,166414,0.9,166946,0.9,333745,1.2,445667,2.25 139,1,2024-09-07 10:01:40:574,1152653,1152653,0,0,540206848933,5724618048,1133276,15490,3887,380,392109,0 139,2,2024-09-07 10:01:40:698,828720,828690,30,0,43853998,0,5997 139,3,2024-09-07 10:01:41:672,1,660,11,0,432,7742,660,0 140,0,2024-09-07 10:01:41:589,162073,0.3,161381,0.5,323965,0.2,432168,1.75 140,1,2024-09-07 10:01:41:537,1164605,1164605,0,0,546251505082,5635783181,1158445,5423,737,364,391628,0 140,2,2024-09-07 10:01:40:685,835399,835398,1,0,32149736,0,5036 140,3,2024-09-07 10:01:40:772,1,660,8,0,575,6753,660,0 141,0,2024-09-07 10:01:41:703,163265,0.3,167816,0.5,320737,0.3,434024,1.75 141,1,2024-09-07 10:01:40:859,1161301,1161301,0,0,545860725224,5674479898,1151343,8572,1386,379,391614,0 141,2,2024-09-07 10:01:41:696,836417,836406,11,0,34490093,0,5369 141,3,2024-09-07 10:01:41:043,1,660,1,0,391,7586,660,0 142,0,2024-09-07 10:01:41:308,170371,0.3,169580,0.5,339895,0.3,453771,1.75 142,1,2024-09-07 10:01:40:615,1159478,1159478,0,0,544215298603,5675874550,1151468,7341,669,382,392102,0 142,2,2024-09-07 10:01:41:302,834074,834042,32,0,34787505,0,6028 142,3,2024-09-07 10:01:41:761,1,660,1,0,484,6872,660,0 143,0,2024-09-07 10:01:41:435,168471,0.4,168189,0.5,337493,0.4,448950,1.75 143,1,2024-09-07 10:01:40:559,1162278,1162278,0,0,545587783856,5661835148,1154670,6706,902,367,391900,0 143,2,2024-09-07 10:01:40:782,835745,835745,0,0,34273695,0,3123 143,3,2024-09-07 10:01:41:156,1,660,7,0,462,7695,660,0 144,0,2024-09-07 10:01:41:536,157054,0.6,161605,0.7,328891,0.5,430321,2.00 144,1,2024-09-07 10:01:40:587,1156300,1156300,0,0,542231877163,5691224703,1144691,9568,2041,381,391733,0 144,2,2024-09-07 10:01:41:754,836283,836283,0,0,34337984,0,4443 144,3,2024-09-07 10:01:41:741,1,660,0,0,306,7018,660,0 145,0,2024-09-07 10:01:41:365,156853,0.5,156909,0.8,332933,0.5,428586,2.25 145,1,2024-09-07 10:01:40:553,1155305,1155305,0,0,542793471663,5712632822,1139689,12704,2912,382,391781,0 145,2,2024-09-07 10:01:41:440,833552,833470,82,0,39907750,0,7814 145,3,2024-09-07 10:01:40:900,1,660,2,0,622,8751,660,0 146,0,2024-09-07 10:01:41:622,167729,0.6,166810,0.8,335517,0.6,446001,2.25 146,1,2024-09-07 10:01:41:614,1157591,1157591,0,0,543676325672,5717724022,1139021,13750,4820,367,391829,0 146,2,2024-09-07 10:01:41:706,832634,832628,6,0,38209118,0,5151 146,3,2024-09-07 10:01:41:274,1,660,1,0,1520,10801,660,0 147,0,2024-09-07 10:01:41:711,168684,0.6,168345,0.7,336793,0.6,449131,2.00 147,1,2024-09-07 10:01:41:438,1160704,1160704,0,0,544947854442,5662975234,1151467,8197,1040,367,391791,0 147,2,2024-09-07 10:01:41:009,834366,834366,0,0,34522284,0,3359 147,3,2024-09-07 10:01:40:913,1,660,14,0,1626,10115,660,0 0,0,2024-09-07 10:01:51:852,161362,0.7,161292,0.8,342168,0.7,442636,2.00 0,1,2024-09-07 10:01:50:806,1159717,1159717,0,0,544326718427,5699679256,1150141,8615,961,368,391896,0 0,2,2024-09-07 10:01:51:070,836649,836649,0,0,33920625,0,4480 0,3,2024-09-07 10:01:50:973,1,661,24,0,431,9081,661,0 1,0,2024-09-07 10:01:51:778,168869,0.8,167903,0.9,336890,1.0,450564,2.00 1,1,2024-09-07 10:01:50:569,1158169,1158169,0,0,543745994616,5699209974,1146817,9388,1964,370,391859,0 1,2,2024-09-07 10:01:50:639,836968,836968,0,0,33404970,0,3380 1,3,2024-09-07 10:01:51:311,1,661,7,0,269,7919,661,0 2,0,2024-09-07 10:01:51:566,166679,0.6,166348,0.7,332448,0.6,443324,2.00 2,1,2024-09-07 10:01:50:875,1162293,1162293,0,0,546395355819,5681901438,1155523,5810,960,379,391805,0 2,2,2024-09-07 10:01:51:265,836664,836664,0,0,31792014,0,3594 2,3,2024-09-07 10:01:50:692,1,661,1,0,357,5629,661,0 3,0,2024-09-07 10:01:51:752,162289,0.4,162254,0.6,324216,0.4,431506,2.00 3,1,2024-09-07 10:01:51:620,1160754,1160754,0,0,544509349495,5664903312,1152383,7653,718,379,391716,0 3,2,2024-09-07 10:01:51:145,837009,836986,23,0,33224824,0,5851 3,3,2024-09-07 10:01:51:765,1,661,7,0,484,5069,661,0 4,0,2024-09-07 10:01:51:788,158969,0.4,163388,0.5,333091,0.3,435807,1.75 4,1,2024-09-07 10:01:50:597,1155338,1155338,0,0,542545513897,5742215018,1135527,15210,4601,369,391992,0 4,2,2024-09-07 10:01:51:028,833994,833994,0,0,40457389,0,4534 4,3,2024-09-07 10:01:51:028,1,661,21,0,448,8737,661,0 5,0,2024-09-07 10:01:51:400,169301,0.4,169111,0.6,338613,0.4,450751,1.75 5,1,2024-09-07 10:01:50:765,1156889,1156889,0,0,542588376446,5726524724,1139445,13209,4235,367,392005,0 5,2,2024-09-07 10:01:51:872,832682,832649,33,0,40500242,0,7631 5,3,2024-09-07 10:01:51:746,1,661,2,0,457,8713,661,0 6,0,2024-09-07 10:01:50:939,168635,0.5,168162,0.6,336395,0.4,448389,2.00 6,1,2024-09-07 10:01:50:752,1159677,1159677,0,0,544697358115,5686265724,1148003,10084,1590,379,391702,0 6,2,2024-09-07 10:01:51:118,835864,835846,18,0,37266356,0,5535 6,3,2024-09-07 10:01:51:286,1,661,1,0,710,7880,661,0 7,0,2024-09-07 10:01:51:530,160873,0.5,161989,0.7,321585,0.5,429048,2.00 7,1,2024-09-07 10:01:50:852,1157241,1157241,0,0,543514069402,5720524109,1139661,13445,4135,382,391747,0 7,2,2024-09-07 10:01:50:773,835206,835037,169,0,37796502,0,7706 7,3,2024-09-07 10:01:50:872,1,661,23,0,552,7621,661,0 8,0,2024-09-07 10:01:51:495,163382,0.4,163028,0.5,326486,0.3,435256,1.75 8,1,2024-09-07 10:01:51:020,1156476,1156476,0,0,543650590551,5713296281,1138869,13883,3724,366,392853,0 8,2,2024-09-07 10:01:50:805,831167,831165,2,0,43121586,0,5112 8,3,2024-09-07 10:01:50:628,1,661,5,0,772,10145,661,0 9,0,2024-09-07 10:01:51:148,168928,0.4,164180,0.5,343507,0.3,450917,1.75 9,1,2024-09-07 10:01:50:580,1156182,1156182,0,0,543668336912,5731873178,1137469,14607,4106,369,392001,0 9,2,2024-09-07 10:01:51:082,834236,834235,1,0,40947535,0,5281 9,3,2024-09-07 10:01:51:784,1,661,7,0,1273,10912,661,0 10,0,2024-09-07 10:01:51:599,168609,0.4,168022,0.5,337013,0.3,448798,1.75 10,1,2024-09-07 10:01:50:601,1158387,1158387,0,0,543187831273,5700094710,1141124,13734,3529,381,391981,0 10,2,2024-09-07 10:01:50:765,835294,835294,0,0,43920932,0,4713 10,3,2024-09-07 10:01:50:878,1,661,47,0,669,7221,661,0 11,0,2024-09-07 10:01:51:008,162609,0.4,157882,0.6,330408,0.4,434714,1.75 11,1,2024-09-07 10:01:50:571,1160783,1160783,0,0,544466096149,5709360791,1144253,12222,4308,383,391766,0 11,2,2024-09-07 10:01:51:138,835360,835360,0,0,37965602,0,4698 11,3,2024-09-07 10:01:51:301,1,661,11,0,843,8239,661,0 12,0,2024-09-07 10:01:50:977,163786,0.4,163564,0.5,326609,0.3,434459,1.75 12,1,2024-09-07 10:01:50:947,1159762,1159762,0,0,544076808768,5676775121,1150250,8487,1025,370,391960,0 12,2,2024-09-07 10:01:51:545,834602,834602,0,0,38028296,0,4390 12,3,2024-09-07 10:01:51:070,1,661,6,0,386,8311,661,0 13,0,2024-09-07 10:01:51:394,168173,0.4,168413,0.6,335987,0.4,447735,1.75 13,1,2024-09-07 10:01:51:528,1157179,1157179,0,0,543829010329,5720419877,1144691,9654,2834,382,391803,0 13,2,2024-09-07 10:01:50:597,837350,837350,0,0,34223679,0,3287 13,3,2024-09-07 10:01:51:768,1,661,4,0,522,8875,661,0 14,0,2024-09-07 10:01:50:575,168852,0.4,169896,0.6,337811,0.4,449598,1.75 14,1,2024-09-07 10:01:51:560,1165372,1165372,0,0,547442545169,5664524911,1156344,7894,1134,364,391673,0 14,2,2024-09-07 10:01:50:765,836397,836367,30,0,35201054,0,6104 14,3,2024-09-07 10:01:51:118,1,661,36,0,1168,6989,661,0 15,0,2024-09-07 10:01:51:553,165163,0.4,164343,0.6,328943,0.3,438525,1.75 15,1,2024-09-07 10:01:51:608,1161748,1161748,0,0,545801399770,5686376537,1151601,8138,2009,381,391619,0 15,2,2024-09-07 10:01:50:998,837479,837479,0,0,31322949,0,3622 15,3,2024-09-07 10:01:51:405,1,661,18,0,1126,8444,661,0 16,0,2024-09-07 10:01:50:960,161886,0.6,162680,0.8,324290,0.6,431536,2.00 16,1,2024-09-07 10:01:50:583,1161038,1161038,0,0,544304369037,5691833407,1150422,9074,1542,370,392194,0 16,2,2024-09-07 10:01:51:444,835219,835219,0,0,34848478,0,4719 16,3,2024-09-07 10:01:51:149,1,661,362,0,362,8369,661,0 17,0,2024-09-07 10:01:51:878,169814,0.7,165492,0.8,324213,0.7,441182,2.00 17,1,2024-09-07 10:01:50:570,1159387,1159387,0,0,543895517545,5703317242,1148387,9206,1794,368,392075,0 17,2,2024-09-07 10:01:51:680,838770,838769,1,0,36181272,0,5050 17,3,2024-09-07 10:01:50:598,1,661,5,0,518,9519,661,0 18,0,2024-09-07 10:01:50:959,167293,0.7,168399,0.8,335152,0.7,447504,2.25 18,1,2024-09-07 10:01:51:653,1163812,1163812,0,0,545625109539,5661338965,1155742,6643,1427,367,391725,0 18,2,2024-09-07 10:01:51:755,838519,838519,0,0,32528445,0,3541 18,3,2024-09-07 10:01:50:898,1,661,10,0,1059,5972,661,0 19,0,2024-09-07 10:01:51:539,167160,0.6,167649,0.8,334101,0.7,443964,2.00 19,1,2024-09-07 10:01:50:566,1163204,1163204,0,0,546313429161,5663399266,1154365,7679,1160,365,391777,0 19,2,2024-09-07 10:01:51:765,838380,838380,0,0,30180619,0,3988 19,3,2024-09-07 10:01:51:129,1,661,19,0,524,5282,661,0 20,0,2024-09-07 10:01:51:606,161887,0.5,161770,0.7,323443,0.5,431606,2.00 20,1,2024-09-07 10:01:50:581,1159065,1159065,0,0,544055991892,5701990299,1147296,10168,1601,369,391922,0 20,2,2024-09-07 10:01:50:928,835819,835819,0,0,38103853,0,4321 20,3,2024-09-07 10:01:50:610,1,661,16,0,468,11363,661,0 21,0,2024-09-07 10:01:51:152,163308,0.5,163332,0.6,326615,0.5,433614,2.00 21,1,2024-09-07 10:01:51:569,1157165,1157165,0,0,542260233813,5724096851,1138820,14381,3964,368,392016,0 21,2,2024-09-07 10:01:51:070,830481,830004,477,0,48951882,0,17074 21,3,2024-09-07 10:01:51:424,1,661,2,0,713,8941,661,0 22,0,2024-09-07 10:01:51:733,169705,0.5,170334,0.7,340341,0.4,451733,2.25 22,1,2024-09-07 10:01:51:027,1157670,1157670,0,0,543843226353,5722730680,1138774,15293,3603,381,391822,0 22,2,2024-09-07 10:01:50:770,834540,834514,26,0,36544849,0,6328 22,3,2024-09-07 10:01:51:066,1,661,13,0,228,5483,661,0 23,0,2024-09-07 10:01:51:370,168205,0.5,168035,0.7,336024,0.4,448113,2.00 23,1,2024-09-07 10:01:51:005,1159244,1159244,0,0,545172714137,5740332263,1138537,13924,6783,365,391690,0 23,2,2024-09-07 10:01:51:093,836082,836082,0,0,34360827,0,3773 23,3,2024-09-07 10:01:51:760,1,661,8,0,855,9514,661,0 24,0,2024-09-07 10:01:50:813,163115,0.4,162145,0.5,326070,0.3,432953,1.75 24,1,2024-09-07 10:01:50:597,1158517,1158517,0,0,543779124008,5689986573,1147836,8903,1778,367,392269,0 24,2,2024-09-07 10:01:51:074,835907,835904,3,0,41053538,0,6294 24,3,2024-09-07 10:01:51:687,1,661,1,0,468,8484,661,0 25,0,2024-09-07 10:01:51:361,166916,0.4,162405,0.6,319341,0.4,435032,1.75 25,1,2024-09-07 10:01:50:573,1156977,1156977,0,0,543015034269,5734585844,1137159,15906,3912,369,391928,0 25,2,2024-09-07 10:01:51:634,834283,834283,0,0,42171692,0,3978 25,3,2024-09-07 10:01:51:002,1,661,1,0,532,7239,661,0 26,0,2024-09-07 10:01:51:729,167307,0.4,163535,0.6,343174,0.3,447527,1.75 26,1,2024-09-07 10:01:51:546,1160269,1160269,0,0,544817984477,5703967668,1144775,12593,2901,380,391758,0 26,2,2024-09-07 10:01:50:872,835276,835276,0,0,44223501,0,4689 26,3,2024-09-07 10:01:51:726,1,661,1,0,796,8195,661,0 27,0,2024-09-07 10:01:51:723,168685,0.4,169502,0.6,336891,0.4,449543,2.25 27,1,2024-09-07 10:01:51:678,1161712,1161712,0,0,545871204586,5686394831,1150860,9235,1617,381,391626,0 27,2,2024-09-07 10:01:50:872,832390,832325,65,0,38706757,0,5699 27,3,2024-09-07 10:01:51:021,1,661,12,0,564,5607,661,0 28,0,2024-09-07 10:01:51:390,163938,0.4,164188,0.6,328349,0.3,436877,1.75 28,1,2024-09-07 10:01:50:797,1162734,1162734,0,0,546606875942,5697918562,1153058,7758,1918,382,391904,0 28,2,2024-09-07 10:01:51:766,836352,836352,0,0,34488064,0,2915 28,3,2024-09-07 10:01:51:777,1,661,2,0,502,6977,661,0 29,0,2024-09-07 10:01:51:356,166612,0.4,162281,0.6,318193,0.3,434081,1.75 29,1,2024-09-07 10:01:51:560,1165044,1165044,0,0,547124983474,5661084354,1157588,6436,1020,367,391809,0 29,2,2024-09-07 10:01:50:872,835934,835934,0,0,33178946,0,4986 29,3,2024-09-07 10:01:50:963,1,661,40,0,459,6938,661,0 30,0,2024-09-07 10:01:51:461,165170,0.5,160754,0.7,336956,0.5,440946,2.00 30,1,2024-09-07 10:01:50:579,1163909,1163909,0,0,547447656870,5682937414,1154870,7953,1086,380,391672,0 30,2,2024-09-07 10:01:51:278,837450,837450,0,0,31839943,0,4192 30,3,2024-09-07 10:01:50:592,1,661,51,0,519,6845,661,0 31,0,2024-09-07 10:01:51:771,168681,0.5,169397,0.7,337825,0.4,450619,2.00 31,1,2024-09-07 10:01:50:563,1168229,1168229,0,0,547619343660,5624417622,1160928,6112,1189,356,391712,0 31,2,2024-09-07 10:01:51:275,835858,835858,0,0,36029062,0,4470 31,3,2024-09-07 10:01:51:714,1,661,8,0,239,5725,661,0 32,0,2024-09-07 10:01:51:424,166804,0.3,167897,0.5,334248,0.2,444721,1.75 32,1,2024-09-07 10:01:50:813,1163446,1163446,0,0,546436557151,5680900023,1156102,6385,959,381,391646,0 32,2,2024-09-07 10:01:50:934,838134,838134,0,0,31182610,0,3922 32,3,2024-09-07 10:01:51:015,1,661,0,0,304,5615,661,0 33,0,2024-09-07 10:01:51:498,163008,0.3,162587,0.4,325240,0.2,432749,1.50 33,1,2024-09-07 10:01:50:581,1164815,1164815,0,0,546919384741,5664982160,1155588,7876,1351,368,391730,0 33,2,2024-09-07 10:01:50:763,836446,836411,35,0,34888466,0,7012 33,3,2024-09-07 10:01:50:905,1,661,0,0,329,6128,661,0 34,0,2024-09-07 10:01:50:930,164081,0.3,168619,0.5,322518,0.2,435712,1.75 34,1,2024-09-07 10:01:51:056,1166756,1166756,0,0,548296325600,5643415266,1162798,3750,208,367,391637,0 34,2,2024-09-07 10:01:50:769,837401,837401,0,0,32922076,0,4562 34,3,2024-09-07 10:01:51:687,1,661,1,0,541,5856,661,0 35,0,2024-09-07 10:01:50:882,168757,0.3,169276,0.5,339594,0.3,451733,1.75 35,1,2024-09-07 10:01:51:067,1162740,1162740,0,0,545893941810,5665963698,1153868,7320,1552,382,391769,0 35,2,2024-09-07 10:01:51:583,836665,836665,0,0,37762617,0,4055 35,3,2024-09-07 10:01:50:907,1,661,10,0,466,6406,661,0 36,0,2024-09-07 10:01:51:517,169071,0.5,169288,0.6,338057,0.4,450128,2.00 36,1,2024-09-07 10:01:50:602,1160943,1160943,0,0,544975378287,5688172439,1146886,11811,2246,366,391759,0 36,2,2024-09-07 10:01:51:751,836113,836113,0,0,37733093,0,3875 36,3,2024-09-07 10:01:50:871,1,661,1,0,556,8694,661,0 37,0,2024-09-07 10:01:51:369,160866,0.5,160904,0.7,321888,0.5,429521,2.25 37,1,2024-09-07 10:01:50:569,1160753,1160746,0,7,544671986493,5688437133,1147119,10705,2922,365,391770,0 37,2,2024-09-07 10:01:51:145,834080,834065,15,0,37369706,0,5815 37,3,2024-09-07 10:01:51:768,1,661,246,0,888,9116,661,0 38,0,2024-09-07 10:01:51:442,162251,0.4,157253,0.7,328782,0.4,431206,2.00 38,1,2024-09-07 10:01:51:606,1160418,1160418,0,0,545631120707,5704547666,1143876,13240,3302,368,391821,0 38,2,2024-09-07 10:01:50:770,836575,836528,47,0,38109967,0,6710 38,3,2024-09-07 10:01:50:997,1,661,103,0,689,7778,661,0 39,0,2024-09-07 10:01:51:768,172676,0.5,168712,0.7,329238,0.5,449210,2.00 39,1,2024-09-07 10:01:50:722,1160183,1160183,0,0,544141250647,5705141762,1141208,14780,4195,365,391865,0 39,2,2024-09-07 10:01:51:416,835690,835690,0,0,36263018,0,3391 39,3,2024-09-07 10:01:50:714,1,661,9,0,525,7412,661,0 40,0,2024-09-07 10:01:51:489,167124,0.8,167770,1.0,334957,0.9,447590,2.75 40,1,2024-09-07 10:01:50:616,1160266,1160266,0,0,543559654203,5694422509,1142856,14115,3295,366,391668,0 40,2,2024-09-07 10:01:51:306,832672,832665,7,0,41953367,0,5347 40,3,2024-09-07 10:01:51:147,1,661,1,0,1028,9391,661,0 41,0,2024-09-07 10:01:51:022,161954,1.2,165811,1.1,316180,1.6,429346,3.00 41,1,2024-09-07 10:01:50:774,1159663,1159663,0,0,544952220649,5698043439,1144996,12365,2302,369,391878,0 41,2,2024-09-07 10:01:50:764,832517,832516,1,0,40658435,0,5408 41,3,2024-09-07 10:01:51:675,1,661,1,0,366,7024,661,0 42,0,2024-09-07 10:01:51:479,161512,0.9,161149,1.0,322561,1.0,428256,2.50 42,1,2024-09-07 10:01:51:443,1157895,1157895,0,0,543433731499,5705648323,1140690,14249,2956,380,391675,0 42,2,2024-09-07 10:01:51:139,833398,833397,1,0,42045697,0,5513 42,3,2024-09-07 10:01:51:011,1,661,4,0,892,6801,661,0 43,0,2024-09-07 10:01:50:959,165706,0.7,161332,0.9,337983,0.8,442757,2.25 43,1,2024-09-07 10:01:50:600,1160440,1160440,0,0,545430133188,5697807909,1144638,13055,2747,366,391696,0 43,2,2024-09-07 10:01:51:743,836405,836405,0,0,38732718,0,4723 43,3,2024-09-07 10:01:51:763,1,661,8,0,571,9154,661,0 44,0,2024-09-07 10:01:50:867,169142,0.4,169410,0.6,337918,0.4,450585,2.00 44,1,2024-09-07 10:01:50:564,1163818,1163818,0,0,545897614102,5644406072,1153433,8312,2073,356,391809,0 44,2,2024-09-07 10:01:51:268,834728,834728,0,0,31846839,0,4344 44,3,2024-09-07 10:01:51:093,1,661,1,0,1097,8356,661,0 45,0,2024-09-07 10:01:51:769,163316,0.5,159487,0.7,334849,0.4,438553,2.00 45,1,2024-09-07 10:01:51:005,1162743,1162743,0,0,545211446273,5667479416,1153609,7913,1221,382,391917,0 45,2,2024-09-07 10:01:51:267,836563,836563,0,0,31825382,0,3596 45,3,2024-09-07 10:01:50:936,1,661,31,0,531,6194,661,0 46,0,2024-09-07 10:01:50:953,161115,0.5,160632,0.7,322305,0.5,428233,2.00 46,1,2024-09-07 10:01:50:596,1165236,1165236,0,0,546826128107,5653992864,1157118,7068,1050,366,391709,0 46,2,2024-09-07 10:01:50:596,837077,837077,0,0,33311711,0,4443 46,3,2024-09-07 10:01:51:148,1,661,9,0,908,7547,661,0 47,0,2024-09-07 10:01:51:106,165279,0.4,164918,0.6,331353,0.4,439572,2.00 47,1,2024-09-07 10:01:50:569,1166226,1166226,0,0,547178603704,5655390612,1158880,6333,1013,364,391641,0 47,2,2024-09-07 10:01:50:908,839290,839290,0,0,33347408,0,4477 47,3,2024-09-07 10:01:51:115,1,661,24,0,600,7148,661,0 48,0,2024-09-07 10:01:51:492,169372,0.3,169901,0.4,338600,0.2,450422,1.50 48,1,2024-09-07 10:01:51:027,1163401,1163401,0,0,546134046651,5675522024,1155827,6562,1012,381,391710,0 48,2,2024-09-07 10:01:50:708,837120,837120,0,0,30901083,0,3619 48,3,2024-09-07 10:01:50:764,1,661,1,0,339,5884,661,0 49,0,2024-09-07 10:01:51:723,172620,0.3,169077,0.5,329014,0.3,448448,1.75 49,1,2024-09-07 10:01:51:023,1162534,1162534,0,0,545724139664,5676535785,1154715,6122,1697,382,391809,0 49,2,2024-09-07 10:01:51:801,837109,837109,0,0,32258065,0,4426 49,3,2024-09-07 10:01:51:416,1,661,1,0,992,7888,661,0 50,0,2024-09-07 10:01:51:513,162471,0.3,161116,0.5,324456,0.2,431399,1.75 50,1,2024-09-07 10:01:51:012,1165465,1165465,0,0,547540234323,5661286841,1158026,6708,731,368,391691,0 50,2,2024-09-07 10:01:51:065,834983,834983,0,0,30070198,0,4490 50,3,2024-09-07 10:01:51:295,1,661,1,0,617,6664,661,0 51,0,2024-09-07 10:01:51:684,167650,0.3,164055,0.5,319439,0.2,435907,1.75 51,1,2024-09-07 10:01:51:693,1165597,1165597,0,0,548627155110,5658227981,1158960,5295,1342,365,391706,0 51,2,2024-09-07 10:01:51:318,837134,837134,0,0,29845237,0,3337 51,3,2024-09-07 10:01:51:039,1,661,7,0,678,4723,661,0 52,0,2024-09-07 10:01:51:417,169862,0.5,169907,0.7,339477,0.4,451506,2.00 52,1,2024-09-07 10:01:50:579,1160700,1160700,0,0,545070889346,5703198328,1144469,13837,2394,368,391805,0 52,2,2024-09-07 10:01:51:755,832142,832104,38,0,41130838,0,6742 52,3,2024-09-07 10:01:50:683,1,661,1,0,1782,7752,661,0 53,0,2024-09-07 10:01:51:738,167934,0.6,163035,0.8,341110,0.7,446697,2.25 53,1,2024-09-07 10:01:50:771,1158857,1158857,0,0,544836557920,5712477464,1140019,14430,4408,367,391968,0 53,2,2024-09-07 10:01:51:306,835986,835848,138,0,36664795,0,7690 53,3,2024-09-07 10:01:50:698,1,661,1,0,308,6029,661,0 54,0,2024-09-07 10:01:51:614,160282,0.6,160679,0.7,320081,0.5,427183,2.25 54,1,2024-09-07 10:01:50:640,1161928,1161928,0,0,545799688046,5673238432,1150561,9695,1672,366,391810,0 54,2,2024-09-07 10:01:50:872,836378,836346,32,0,40251561,0,6397 54,3,2024-09-07 10:01:50:765,1,661,1,0,676,8311,661,0 55,0,2024-09-07 10:01:51:769,156754,0.6,161691,0.8,327938,0.5,427451,2.50 55,1,2024-09-07 10:01:50:779,1162753,1162753,0,0,545426615706,5672392236,1150766,10501,1486,365,391731,0 55,2,2024-09-07 10:01:50:734,834942,834886,56,0,37237055,0,7239 55,3,2024-09-07 10:01:50:675,1,661,9,0,304,6095,661,0 56,0,2024-09-07 10:01:51:558,171371,1.1,161523,1.1,332957,1.4,445735,2.75 56,1,2024-09-07 10:01:50:573,1155595,1155595,0,0,542707756563,5736634486,1136761,15083,3751,381,391867,0 56,2,2024-09-07 10:01:51:303,834720,834598,122,0,39514852,0,7432 56,3,2024-09-07 10:01:51:063,1,661,7,0,705,8048,661,0 57,0,2024-09-07 10:01:50:956,166856,1.4,166715,1.2,333529,1.9,445735,3.00 57,1,2024-09-07 10:01:50:988,1158317,1158317,0,0,543516618011,5703052863,1142832,12795,2690,366,392032,0 57,2,2024-09-07 10:01:51:323,834378,834378,0,0,41700431,0,4804 57,3,2024-09-07 10:01:51:738,1,661,4,0,455,7251,661,0 58,0,2024-09-07 10:01:50:555,161453,0.9,156814,1.0,328252,1.1,429295,2.50 58,1,2024-09-07 10:01:50:582,1159376,1159373,0,3,544663162341,5709963329,1141699,13361,4313,367,391726,3 58,2,2024-09-07 10:01:51:071,836267,836267,0,0,38265398,0,3483 58,3,2024-09-07 10:01:51:070,1,661,12,0,1043,7255,661,0 59,0,2024-09-07 10:01:51:751,160693,0.8,160034,1.0,320079,0.9,424944,2.75 59,1,2024-09-07 10:01:50:813,1159193,1159193,0,0,544037804037,5715092822,1140244,15114,3835,369,391653,0 59,2,2024-09-07 10:01:50:585,835825,835825,0,0,36460783,0,3727 59,3,2024-09-07 10:01:51:743,1,661,1,0,1015,7878,661,0 60,0,2024-09-07 10:01:51:716,166007,0.5,166009,0.7,332342,0.5,443307,1.75 60,1,2024-09-07 10:01:50:774,1163010,1163010,0,0,546350863569,5686804961,1152952,8662,1396,370,392031,0 60,2,2024-09-07 10:01:51:147,836741,836741,0,0,35101802,0,3811 60,3,2024-09-07 10:01:51:259,1,661,53,0,409,7586,661,0 61,0,2024-09-07 10:01:51:490,168852,0.6,169538,0.8,337485,0.6,450282,2.00 61,1,2024-09-07 10:01:50:845,1160369,1160369,0,0,544684454114,5703242868,1148129,10464,1776,382,392127,0 61,2,2024-09-07 10:01:51:115,836630,836563,67,0,35959645,0,6411 61,3,2024-09-07 10:01:51:691,1,661,53,0,607,8387,661,0 62,0,2024-09-07 10:01:51:712,167337,0.6,171642,0.7,327643,0.5,444698,2.00 62,1,2024-09-07 10:01:51:116,1167359,1167353,0,6,547671342459,5651194577,1160822,6057,474,365,391975,6 62,2,2024-09-07 10:01:51:653,833859,833858,1,0,35315371,0,5555 62,3,2024-09-07 10:01:51:146,1,661,1,0,482,5284,661,0 63,0,2024-09-07 10:01:51:454,162967,0.4,162615,0.6,326106,0.3,432947,1.75 63,1,2024-09-07 10:01:50:806,1163839,1163833,0,6,546341250153,5674290433,1156253,6652,928,381,391800,6 63,2,2024-09-07 10:01:50:770,835622,835622,0,0,34429024,0,4369 63,3,2024-09-07 10:01:51:744,1,661,8,0,667,7148,661,0 64,0,2024-09-07 10:01:51:521,163117,0.5,162950,0.7,325716,0.5,434186,2.00 64,1,2024-09-07 10:01:50:754,1162014,1162014,0,0,546326049628,5689506051,1151863,8125,2026,370,391794,0 64,2,2024-09-07 10:01:51:147,840012,839993,19,0,33629635,0,6121 64,3,2024-09-07 10:01:51:146,1,661,360,0,651,7571,661,0 65,0,2024-09-07 10:01:51:704,167924,0.6,168248,0.7,335992,0.6,448031,2.00 65,1,2024-09-07 10:01:50:873,1160395,1160395,0,0,544139395814,5687237927,1152312,7186,897,381,391901,0 65,2,2024-09-07 10:01:51:697,834811,834811,0,0,39699705,0,3367 65,3,2024-09-07 10:01:51:687,1,661,26,0,782,7959,661,0 66,0,2024-09-07 10:01:51:782,168345,0.5,167701,0.7,335689,0.5,446797,2.00 66,1,2024-09-07 10:01:51:293,1162564,1162564,0,0,545750920518,5680189272,1155220,6472,872,380,391743,0 66,2,2024-09-07 10:01:51:150,838352,838349,3,0,34844115,0,5455 66,3,2024-09-07 10:01:51:079,1,661,2,0,291,6005,661,0 67,0,2024-09-07 10:01:51:412,161479,0.5,161065,0.7,323045,0.5,430200,2.00 67,1,2024-09-07 10:01:50:774,1162577,1162576,0,1,544896964870,5676022651,1154156,7280,1140,380,391787,1 67,2,2024-09-07 10:01:50:596,839142,839127,15,0,35219577,0,6205 67,3,2024-09-07 10:01:51:755,1,661,10,0,595,7117,661,0 68,0,2024-09-07 10:01:50:576,163035,0.6,162772,0.8,324381,0.6,434255,2.25 68,1,2024-09-07 10:01:50:571,1158117,1158117,0,0,543589626621,5720079963,1141776,12077,4264,381,391953,0 68,2,2024-09-07 10:01:51:049,832319,832219,100,0,43421057,0,8578 68,3,2024-09-07 10:01:50:732,1,661,3,0,417,8820,661,0 69,0,2024-09-07 10:01:51:769,168410,0.6,168990,0.8,337053,0.6,448502,2.25 69,1,2024-09-07 10:01:51:031,1157371,1157371,0,0,543062890029,5720491130,1142847,11544,2980,383,391994,0 69,2,2024-09-07 10:01:51:752,833071,833042,29,0,46427198,0,6912 69,3,2024-09-07 10:01:50:767,1,661,10,0,698,9534,661,0 70,0,2024-09-07 10:01:51:535,166779,0.8,167544,0.9,335960,0.7,445716,2.50 70,1,2024-09-07 10:01:50:800,1163660,1163660,0,0,547206286423,5679549100,1154418,8213,1029,366,391725,0 70,2,2024-09-07 10:01:51:331,835263,835263,0,0,37825773,0,4323 70,3,2024-09-07 10:01:50:751,1,661,25,0,854,7458,661,0 71,0,2024-09-07 10:01:51:356,161753,0.9,161279,1.0,323956,1.0,431540,2.50 71,1,2024-09-07 10:01:51:596,1161814,1161814,0,0,545418262982,5690989299,1147882,12306,1626,367,391738,0 71,2,2024-09-07 10:01:51:067,835378,835378,0,0,37744602,0,4352 71,3,2024-09-07 10:01:51:760,1,661,7,0,644,7348,661,0 72,0,2024-09-07 10:01:51:150,167780,0.5,163813,0.7,320041,0.5,435330,2.00 72,1,2024-09-07 10:01:51:046,1159898,1159898,0,0,544193389172,5704881984,1143688,13522,2688,369,391819,0 72,2,2024-09-07 10:01:51:760,834344,834344,0,0,39685030,0,3983 72,3,2024-09-07 10:01:51:764,1,661,17,0,564,9377,661,0 73,0,2024-09-07 10:01:51:110,163087,0.4,167247,0.6,341764,0.4,445389,2.00 73,1,2024-09-07 10:01:50:768,1161645,1161645,0,0,545387445292,5668093284,1152772,7886,987,367,391858,0 73,2,2024-09-07 10:01:51:744,835345,835344,1,0,41435238,0,5027 73,3,2024-09-07 10:01:50:969,1,661,0,0,1091,9043,661,0 74,0,2024-09-07 10:01:51:324,170028,0.5,174058,0.7,332270,0.4,450894,2.00 74,1,2024-09-07 10:01:50:645,1160219,1160219,0,0,544045664676,5676524108,1148105,9974,2140,381,391762,0 74,2,2024-09-07 10:01:51:001,834634,834634,0,0,36474326,0,4253 74,3,2024-09-07 10:01:51:444,1,661,0,0,522,7686,661,0 75,0,2024-09-07 10:01:51:769,165227,0.5,164290,0.7,329740,0.5,439857,2.25 75,1,2024-09-07 10:01:51:585,1161924,1161924,0,0,545343753959,5682112773,1152409,8583,932,380,391739,0 75,2,2024-09-07 10:01:51:351,834766,834766,0,0,43149792,0,4766 75,3,2024-09-07 10:01:51:072,1,661,21,0,918,9123,661,0 76,0,2024-09-07 10:01:50:627,161534,0.5,160911,0.7,321578,0.5,429942,2.25 76,1,2024-09-07 10:01:50:805,1161319,1161319,0,0,544478287176,5680408460,1152894,7121,1304,382,391790,0 76,2,2024-09-07 10:01:51:065,838219,838216,3,0,35961103,0,5265 76,3,2024-09-07 10:01:51:148,1,661,1,0,249,6234,661,0 77,0,2024-09-07 10:01:51:707,164265,0.6,164698,0.7,329674,0.5,438621,2.00 77,1,2024-09-07 10:01:50:827,1161206,1161206,0,0,544572802795,5685391108,1151717,8434,1055,381,391869,0 77,2,2024-09-07 10:01:51:280,835676,835676,0,0,35036444,0,3890 77,3,2024-09-07 10:01:51:094,1,661,1,0,401,7697,661,0 78,0,2024-09-07 10:01:51:718,169653,0.4,168963,0.6,339125,0.4,448453,2.00 78,1,2024-09-07 10:01:50:631,1160684,1160684,0,0,545070733056,5690282517,1145774,11674,3236,367,391670,0 78,2,2024-09-07 10:01:51:404,837186,837173,13,0,32946644,0,8313 78,3,2024-09-07 10:01:51:138,1,661,5,0,311,5529,661,0 79,0,2024-09-07 10:01:51:354,162190,0.4,166177,0.6,340402,0.3,443030,2.00 79,1,2024-09-07 10:01:50:586,1164763,1164763,0,0,546337514310,5661121958,1154977,7986,1800,367,391682,0 79,2,2024-09-07 10:01:51:071,836715,836715,0,0,31665318,0,4195 79,3,2024-09-07 10:01:50:751,1,661,8,0,418,7491,661,0 80,0,2024-09-07 10:01:51:095,162075,0.5,166445,0.7,317894,0.5,430556,2.00 80,1,2024-09-07 10:01:51:619,1161140,1161140,0,0,545356332211,5678996033,1153438,7154,548,368,392269,0 80,2,2024-09-07 10:01:51:093,838803,838803,0,0,32693389,0,4433 80,3,2024-09-07 10:01:50:578,1,661,1,0,681,7969,661,0 81,0,2024-09-07 10:01:51:538,163198,0.6,166928,0.7,318587,0.6,432680,2.00 81,1,2024-09-07 10:01:51:654,1160300,1160300,0,0,543791341042,5683319686,1151000,8329,971,382,392001,0 81,2,2024-09-07 10:01:51:144,836150,836087,63,0,36120066,0,5932 81,3,2024-09-07 10:01:51:118,1,661,28,0,719,7290,661,0 82,0,2024-09-07 10:01:51:587,169875,0.5,169946,0.7,340447,0.5,451993,2.00 82,1,2024-09-07 10:01:50:599,1162051,1162047,0,4,546012276763,5679246643,1155432,5554,1061,381,391768,4 82,2,2024-09-07 10:01:51:697,838351,838351,0,0,30326424,0,4484 82,3,2024-09-07 10:01:51:762,1,661,22,0,363,6063,661,0 83,0,2024-09-07 10:01:51:523,168651,0.6,168524,0.7,336628,0.5,447407,2.25 83,1,2024-09-07 10:01:50:551,1161085,1161085,0,0,544572469265,5679388478,1152291,7769,1025,382,391709,0 83,2,2024-09-07 10:01:50:765,836084,836059,25,0,32663514,0,5612 83,3,2024-09-07 10:01:50:751,1,661,8,0,1260,7832,661,0 84,0,2024-09-07 10:01:51:815,160652,0.7,160693,0.9,321489,0.7,429103,2.25 84,1,2024-09-07 10:01:51:040,1159520,1159520,0,0,544219187500,5691925013,1146424,11211,1885,367,391967,0 84,2,2024-09-07 10:01:50:598,834693,834283,410,0,46718156,0,17037 84,3,2024-09-07 10:01:51:146,1,661,1,0,908,9098,661,0 85,0,2024-09-07 10:01:51:003,156064,0.6,156057,0.8,331266,0.6,427366,2.25 85,1,2024-09-07 10:01:50:563,1156220,1156220,0,0,543468893631,5734143629,1139075,14335,2810,381,392092,0 85,2,2024-09-07 10:01:50:867,835467,835467,0,0,40147261,0,4255 85,3,2024-09-07 10:01:50:685,1,661,142,0,789,7650,661,0 86,0,2024-09-07 10:01:50:904,167569,0.7,172458,0.8,329791,0.7,446056,2.25 86,1,2024-09-07 10:01:50:837,1158571,1158571,0,0,544184820198,5712585719,1141940,13354,3277,366,392169,0 86,2,2024-09-07 10:01:50:860,834925,834924,1,0,42613991,0,5004 86,3,2024-09-07 10:01:50:586,1,661,4,0,308,8907,661,0 87,0,2024-09-07 10:01:51:288,169103,0.8,167927,0.8,336398,0.9,449429,2.25 87,1,2024-09-07 10:01:50:554,1159143,1159143,0,0,544863659395,5705071000,1145175,12160,1808,366,392076,0 87,2,2024-09-07 10:01:51:074,834101,834095,6,0,39667013,0,6323 87,3,2024-09-07 10:01:51:805,1,661,25,0,473,9155,661,0 88,0,2024-09-07 10:01:51:557,163779,0.4,164289,0.6,328321,0.4,436766,1.75 88,1,2024-09-07 10:01:50:582,1157982,1157982,0,0,543341992708,5693763796,1141727,13133,3122,365,392084,0 88,2,2024-09-07 10:01:50:698,835718,835718,0,0,40909729,0,4465 88,3,2024-09-07 10:01:51:267,1,661,97,0,1080,10450,661,0 89,0,2024-09-07 10:01:51:789,166526,0.4,161593,0.6,318718,0.4,433435,1.75 89,1,2024-09-07 10:01:50:557,1157263,1157263,0,0,544094662848,5720359670,1143256,11818,2189,382,391866,0 89,2,2024-09-07 10:01:51:141,835567,835567,0,0,39042676,0,3173 89,3,2024-09-07 10:01:51:809,1,661,52,0,468,10625,661,0 90,0,2024-09-07 10:01:51:614,161003,0.4,165278,0.6,337542,0.4,440946,2.00 90,1,2024-09-07 10:01:50:599,1158895,1158895,0,0,543284030496,5689708534,1147639,10204,1052,380,391825,0 90,2,2024-09-07 10:01:51:406,832244,832239,5,0,42184711,0,6370 90,3,2024-09-07 10:01:50:930,1,661,1,0,364,8785,661,0 91,0,2024-09-07 10:01:50:944,169483,0.5,164392,0.6,344038,0.5,451160,1.75 91,1,2024-09-07 10:01:50:564,1156235,1156235,0,0,543517528292,5729868632,1138558,13716,3961,381,392047,0 91,2,2024-09-07 10:01:51:331,835390,835390,0,0,37654081,0,4713 91,3,2024-09-07 10:01:50:602,1,661,9,0,231,6274,661,0 92,0,2024-09-07 10:01:51:479,168326,0.5,172142,0.6,328414,0.4,444950,1.75 92,1,2024-09-07 10:01:50:596,1160450,1160450,0,0,545008551786,5693106073,1151324,7819,1307,381,392136,0 92,2,2024-09-07 10:01:51:356,835597,835597,0,0,33671863,0,3906 92,3,2024-09-07 10:01:51:008,1,661,1,0,167,5476,661,0 93,0,2024-09-07 10:01:50:964,163477,0.4,167431,0.6,319829,0.3,432950,1.75 93,1,2024-09-07 10:01:50:805,1161036,1161036,0,0,544947904899,5695334578,1147135,11469,2432,365,392048,0 93,2,2024-09-07 10:01:50:927,835671,835671,0,0,39547859,0,4913 93,3,2024-09-07 10:01:51:409,1,661,1,0,505,7419,661,0 94,0,2024-09-07 10:01:51:604,163123,0.4,164281,0.6,328625,0.3,436523,1.75 94,1,2024-09-07 10:01:50:579,1161615,1161615,0,0,545542489862,5689189290,1153934,7191,490,381,391850,0 94,2,2024-09-07 10:01:50:768,836813,836785,28,0,34265849,0,6179 94,3,2024-09-07 10:01:51:688,1,661,1,0,576,8233,661,0 95,0,2024-09-07 10:01:51:373,169229,0.4,169153,0.6,338631,0.3,450974,1.75 95,1,2024-09-07 10:01:50:876,1162578,1162578,0,0,545860340726,5672428361,1153563,8250,765,365,391852,0 95,2,2024-09-07 10:01:51:020,835239,835239,0,0,34110482,0,3308 95,3,2024-09-07 10:01:51:710,1,661,7,0,718,10073,661,0 96,0,2024-09-07 10:01:51:022,168754,0.3,168942,0.5,338067,0.3,449404,1.75 96,1,2024-09-07 10:01:51:603,1160502,1160502,0,0,545008175267,5686850158,1152071,7138,1293,384,391964,0 96,2,2024-09-07 10:01:51:274,837146,837146,0,0,34309275,0,4180 96,3,2024-09-07 10:01:51:146,1,661,2,0,411,7605,661,0 97,0,2024-09-07 10:01:51:321,161551,0.3,161393,0.5,323446,0.3,430099,1.50 97,1,2024-09-07 10:01:50:767,1162495,1162495,0,0,545797851845,5665284076,1154669,6589,1237,367,392140,0 97,2,2024-09-07 10:01:50:618,837238,837238,0,0,34911758,0,4600 97,3,2024-09-07 10:01:50:570,1,661,41,0,433,7959,661,0 98,0,2024-09-07 10:01:51:698,162671,0.3,162671,0.5,326712,0.3,434600,1.50 98,1,2024-09-07 10:01:50:585,1161821,1161821,0,0,545005131195,5678948232,1154396,6527,898,381,391997,0 98,2,2024-09-07 10:01:50:769,836247,836247,0,0,33159365,0,4336 98,3,2024-09-07 10:01:50:710,1,661,2,0,840,9399,661,0 99,0,2024-09-07 10:01:51:451,168906,0.3,169797,0.5,338242,0.3,451311,1.75 99,1,2024-09-07 10:01:51:724,1162816,1162816,0,0,545556664087,5679389819,1155288,6435,1093,380,392069,0 99,2,2024-09-07 10:01:51:432,835223,835223,0,0,42365394,0,4276 99,3,2024-09-07 10:01:50:597,1,661,8,0,1124,8322,661,0 100,0,2024-09-07 10:01:51:502,168131,0.8,168652,0.9,337147,0.9,449784,2.50 100,1,2024-09-07 10:01:50:552,1155669,1155669,0,0,542938051567,5739403149,1137988,14157,3524,378,391989,0 100,2,2024-09-07 10:01:51:823,831291,830902,389,0,43890495,0,16909 100,3,2024-09-07 10:01:51:769,1,661,3,0,627,10533,661,0 101,0,2024-09-07 10:01:51:714,166410,1.0,162426,1.0,317619,0.9,433256,2.25 101,1,2024-09-07 10:01:50:557,1157927,1157927,0,0,543704356545,5715004472,1142049,12946,2932,368,391847,0 101,2,2024-09-07 10:01:51:761,830860,830821,39,0,45094823,0,5913 101,3,2024-09-07 10:01:50:941,1,661,3,0,1250,9792,661,0 102,0,2024-09-07 10:01:50:957,158036,0.6,162763,0.8,330676,0.6,431983,2.25 102,1,2024-09-07 10:01:51:150,1157708,1157708,0,0,544109273391,5714490531,1142395,13095,2218,369,391984,0 102,2,2024-09-07 10:01:51:738,836711,836657,54,0,37708313,0,6768 102,3,2024-09-07 10:01:51:615,1,661,2,0,466,7533,661,0 103,0,2024-09-07 10:01:51:617,172708,0.6,172680,0.7,325533,0.6,447476,2.00 103,1,2024-09-07 10:01:51:630,1156646,1156646,0,0,543099372115,5734629060,1138389,14634,3623,381,392077,0 103,2,2024-09-07 10:01:50:588,833693,833693,0,0,40991585,0,3766 103,3,2024-09-07 10:01:50:763,1,661,9,0,916,7709,661,0 104,0,2024-09-07 10:01:51:011,167734,0.7,168070,0.9,335266,0.7,448059,2.25 104,1,2024-09-07 10:01:51:602,1159304,1159304,0,0,543746827487,5714731314,1141821,14238,3245,365,392168,0 104,2,2024-09-07 10:01:51:721,833148,833148,0,0,39968743,0,4161 104,3,2024-09-07 10:01:51:418,1,661,3,0,1245,11123,661,0 105,0,2024-09-07 10:01:51:027,163237,0.9,159128,1.0,333595,0.9,437895,2.50 105,1,2024-09-07 10:01:50:555,1161315,1161315,0,0,544639148404,5702779319,1147298,12060,1957,364,392009,0 105,2,2024-09-07 10:01:51:350,834567,834567,0,0,40695329,0,4360 105,3,2024-09-07 10:01:51:306,1,661,2,0,573,9894,661,0 106,0,2024-09-07 10:01:51:086,156483,0.9,160326,0.9,328256,1.0,428271,2.50 106,1,2024-09-07 10:01:51:761,1158781,1158781,0,0,543338919027,5700557903,1142942,13810,2029,368,391914,0 106,2,2024-09-07 10:01:50:764,835152,835152,0,0,37658296,0,3331 106,3,2024-09-07 10:01:50:683,1,661,9,0,1224,9044,661,0 107,0,2024-09-07 10:01:51:136,164371,1.0,164551,1.0,329121,1.2,439465,2.25 107,1,2024-09-07 10:01:50:607,1156792,1156792,0,0,542127414411,5727426436,1138632,15975,2185,381,392234,0 107,2,2024-09-07 10:01:51:293,832507,832506,1,0,41320741,0,5024 107,3,2024-09-07 10:01:51:772,1,661,8,0,733,9814,661,0 108,0,2024-09-07 10:01:51:800,168700,0.4,169544,0.6,338019,0.4,450246,1.75 108,1,2024-09-07 10:01:51:447,1160279,1160279,0,0,545170715394,5687470632,1150498,8695,1086,367,391894,0 108,2,2024-09-07 10:01:51:772,836517,836517,0,0,37255691,0,4432 108,3,2024-09-07 10:01:51:333,1,661,19,0,767,12318,661,0 109,0,2024-09-07 10:01:51:752,168761,0.4,167376,0.6,335740,0.3,447643,1.75 109,1,2024-09-07 10:01:50:622,1158369,1158369,0,0,544252586529,5710881998,1147882,8985,1502,382,392132,0 109,2,2024-09-07 10:01:50:921,834382,834382,0,0,36714094,0,3617 109,3,2024-09-07 10:01:51:147,1,661,2,0,630,7706,661,0 110,0,2024-09-07 10:01:51:755,161815,0.4,157308,0.6,329599,0.3,432403,1.75 110,1,2024-09-07 10:01:51:654,1162799,1162799,0,0,545925160662,5667079625,1154047,6725,2027,368,392045,0 110,2,2024-09-07 10:01:51:302,836842,836842,0,0,35856911,0,4067 110,3,2024-09-07 10:01:50:693,1,661,1,0,722,8771,661,0 111,0,2024-09-07 10:01:51:420,164042,0.4,163212,0.5,326036,0.3,435494,1.75 111,1,2024-09-07 10:01:51:000,1164073,1164073,0,0,546769998047,5674460383,1157590,6095,388,380,391690,0 111,2,2024-09-07 10:01:51:123,836580,836580,0,0,35499673,0,4823 111,3,2024-09-07 10:01:50:916,1,661,12,0,379,7361,661,0 112,0,2024-09-07 10:01:50:922,170729,0.3,170144,0.4,341151,0.2,453222,1.50 112,1,2024-09-07 10:01:50:839,1162841,1162841,0,0,545694329934,5665414410,1155368,6248,1225,380,391624,0 112,2,2024-09-07 10:01:51:151,836323,836322,1,0,33816753,0,5036 112,3,2024-09-07 10:01:50:595,1,661,3,0,282,6563,661,0 113,0,2024-09-07 10:01:50:883,168599,0.3,168731,0.5,337891,0.2,449656,1.75 113,1,2024-09-07 10:01:51:690,1165810,1165810,0,0,548001406758,5655178707,1158957,5903,950,365,391664,0 113,2,2024-09-07 10:01:51:310,838045,838045,0,0,31147686,0,3813 113,3,2024-09-07 10:01:50:685,1,661,47,0,510,6903,661,0 114,0,2024-09-07 10:01:50:877,162283,0.3,163353,0.5,325792,0.2,434451,1.75 114,1,2024-09-07 10:01:50:730,1162427,1162427,0,0,545786963138,5667300085,1153897,6762,1768,381,391565,0 114,2,2024-09-07 10:01:50:873,838088,838087,1,0,33171567,0,5069 114,3,2024-09-07 10:01:51:278,1,661,0,0,415,5488,661,0 115,0,2024-09-07 10:01:50:559,163120,0.3,163671,0.4,325994,0.2,434085,1.50 115,1,2024-09-07 10:01:50:571,1162605,1162605,0,0,546075156821,5673477450,1153175,7640,1790,382,391757,0 115,2,2024-09-07 10:01:51:127,838169,838169,0,0,33030796,0,4382 115,3,2024-09-07 10:01:51:002,1,661,8,0,173,4252,661,0 116,0,2024-09-07 10:01:51:769,167446,0.7,167428,0.8,335602,0.7,448363,2.00 116,1,2024-09-07 10:01:50:808,1156290,1156290,0,0,543569245454,5726970593,1142596,10486,3208,380,392089,0 116,2,2024-09-07 10:01:51:763,835518,835518,0,0,40528269,0,4475 116,3,2024-09-07 10:01:50:915,1,661,2,0,448,8570,661,0 117,0,2024-09-07 10:01:50:956,168979,0.7,168591,0.8,337430,0.7,450786,2.00 117,1,2024-09-07 10:01:51:637,1158537,1158537,0,0,543832408883,5695446960,1145565,11292,1680,369,392429,0 117,2,2024-09-07 10:01:51:120,836673,836673,0,0,36763391,0,4303 117,3,2024-09-07 10:01:51:062,1,661,11,0,490,8119,661,0 118,0,2024-09-07 10:01:51:778,158878,0.5,163212,0.7,333004,0.5,435240,2.00 118,1,2024-09-07 10:01:50:597,1157704,1157704,0,0,543172779311,5709115567,1140756,13129,3819,366,392054,0 118,2,2024-09-07 10:01:51:587,834924,834924,0,0,39668575,0,3161 118,3,2024-09-07 10:01:51:765,1,661,1,0,343,8029,661,0 119,0,2024-09-07 10:01:51:335,161716,0.7,162252,0.8,323925,0.8,431878,2.25 119,1,2024-09-07 10:01:50:557,1158635,1158635,0,0,544509335277,5710115654,1143159,12814,2662,367,391857,0 119,2,2024-09-07 10:01:51:264,836536,836536,0,0,36419131,0,4309 119,3,2024-09-07 10:01:51:325,1,661,8,0,1358,11897,661,0 120,0,2024-09-07 10:01:51:577,165228,0.7,164924,0.8,331619,0.7,442398,2.25 120,1,2024-09-07 10:01:50:867,1159658,1159658,0,0,543488269515,5698293554,1147273,11228,1157,367,392144,0 120,2,2024-09-07 10:01:50:774,834620,834617,3,0,43692506,0,5363 120,3,2024-09-07 10:01:51:292,1,661,1,0,478,8465,661,0 121,0,2024-09-07 10:01:51:734,169104,1.2,168572,1.0,337251,1.5,449599,2.25 121,1,2024-09-07 10:01:51:675,1159291,1159291,0,0,544579470974,5697782489,1146966,10481,1844,366,391840,0 121,2,2024-09-07 10:01:51:127,835723,835723,0,0,39886447,0,4157 121,3,2024-09-07 10:01:50:732,1,661,1,0,387,8506,661,0 122,0,2024-09-07 10:01:51:798,166237,0.7,161669,0.8,338452,0.8,444687,2.00 122,1,2024-09-07 10:01:50:867,1158716,1158716,0,0,544090135068,5706141235,1143453,12844,2419,365,392130,0 122,2,2024-09-07 10:01:51:319,835753,835678,75,0,43374344,0,5989 122,3,2024-09-07 10:01:50:596,1,661,3,0,512,10270,661,0 123,0,2024-09-07 10:01:50:970,161627,0.8,157453,0.9,330063,0.9,431475,2.25 123,1,2024-09-07 10:01:50:567,1159327,1159327,0,0,544395329731,5723370125,1141070,15368,2889,369,392039,0 123,2,2024-09-07 10:01:51:019,834462,834461,1,0,37399224,0,5215 123,3,2024-09-07 10:01:51:132,1,661,1,0,478,7406,661,0 124,0,2024-09-07 10:01:50:935,168360,0.4,168448,0.5,317395,0.3,437279,1.75 124,1,2024-09-07 10:01:51:030,1162419,1162419,0,0,545187928784,5668849897,1153635,7551,1233,365,392178,0 124,2,2024-09-07 10:01:51:011,838118,838065,53,0,34870499,0,6487 124,3,2024-09-07 10:01:50:765,1,661,2,0,490,6584,661,0 125,0,2024-09-07 10:01:51:436,169216,0.4,169070,0.6,338842,0.4,451393,1.75 125,1,2024-09-07 10:01:50:870,1160161,1160161,0,0,544986747749,5695172093,1149887,8764,1510,382,392045,0 125,2,2024-09-07 10:01:51:115,838522,838522,0,0,34722357,0,4534 125,3,2024-09-07 10:01:51:137,1,661,1,0,709,7514,661,0 126,0,2024-09-07 10:01:51:460,169004,0.4,173740,0.5,332185,0.3,450190,1.75 126,1,2024-09-07 10:01:50:551,1163437,1163437,0,0,545926397218,5656518488,1156628,6130,679,365,391987,0 126,2,2024-09-07 10:01:50:631,837409,837409,0,0,35695744,0,4539 126,3,2024-09-07 10:01:50:908,1,661,3,0,268,7602,661,0 127,0,2024-09-07 10:01:51:589,161893,0.3,162089,0.5,323465,0.3,430364,1.75 127,1,2024-09-07 10:01:50:571,1161432,1161432,0,0,545131561783,5673984377,1149378,10363,1691,364,392187,0 127,2,2024-09-07 10:01:50:640,837203,837199,4,0,33889861,0,5305 127,3,2024-09-07 10:01:51:266,1,661,7,0,968,6536,661,0 128,0,2024-09-07 10:01:51:597,163738,0.3,163636,0.4,327234,0.2,435332,1.50 128,1,2024-09-07 10:01:51:611,1161073,1161073,0,0,545236455859,5670445203,1152222,7882,969,367,392423,0 128,2,2024-09-07 10:01:51:391,838779,838779,0,0,32234662,0,3171 128,3,2024-09-07 10:01:50:773,1,661,45,0,1082,10044,661,0 129,0,2024-09-07 10:01:51:005,170141,0.3,169370,0.5,339780,0.3,451796,1.50 129,1,2024-09-07 10:01:50:567,1158003,1158003,0,0,544474258707,5712702566,1145287,10388,2328,379,391962,0 129,2,2024-09-07 10:01:50:686,837868,837864,4,0,34683719,0,5335 129,3,2024-09-07 10:01:50:697,1,661,209,0,506,9508,661,0 130,0,2024-09-07 10:01:51:735,169412,0.4,169217,0.6,338766,0.4,451246,1.75 130,1,2024-09-07 10:01:50:593,1162930,1162930,0,0,545895732842,5668626782,1157291,5233,406,381,391825,0 130,2,2024-09-07 10:01:51:128,835653,835653,0,0,34456078,0,4067 130,3,2024-09-07 10:01:51:290,1,661,0,0,960,8603,661,0 131,0,2024-09-07 10:01:52:002,162812,0.3,163359,0.5,327626,0.3,434946,1.50 131,1,2024-09-07 10:01:51:826,1161935,1161935,0,0,545086827089,5681562935,1153929,6804,1202,381,391865,0 131,2,2024-09-07 10:01:50:567,836736,836736,0,0,32215952,0,3979 131,3,2024-09-07 10:01:51:690,1,661,14,0,392,8393,661,0 132,0,2024-09-07 10:01:51:414,162874,0.5,163875,0.6,326002,0.4,433957,2.00 132,1,2024-09-07 10:01:50:596,1157579,1157579,0,0,543173955569,5715692385,1141299,13500,2780,381,392532,0 132,2,2024-09-07 10:01:50:709,835752,835735,17,0,41204580,0,6451 132,3,2024-09-07 10:01:51:688,1,661,2,0,1298,11600,661,0 133,0,2024-09-07 10:01:51:549,163213,0.4,167030,0.6,342620,0.4,446110,2.00 133,1,2024-09-07 10:01:50:585,1157502,1157502,0,0,543693027204,5726502786,1142247,13323,1932,383,391914,0 133,2,2024-09-07 10:01:51:097,836794,836744,50,0,42213887,0,6861 133,3,2024-09-07 10:01:51:308,1,661,0,0,528,7741,661,0 134,0,2024-09-07 10:01:50:951,169540,0.5,169538,0.7,338944,0.5,451431,2.25 134,1,2024-09-07 10:01:50:587,1158124,1158124,0,0,543495203844,5702675350,1142702,12231,3191,366,391781,0 134,2,2024-09-07 10:01:51:769,835053,834914,139,0,39588735,0,7591 134,3,2024-09-07 10:01:50:750,1,661,207,0,739,8359,661,0 135,0,2024-09-07 10:01:51:112,159929,0.7,159945,0.8,339613,0.8,437104,2.25 135,1,2024-09-07 10:01:51:593,1158761,1158761,0,0,544252119090,5721707881,1144475,12435,1851,380,392038,0 135,2,2024-09-07 10:01:50:688,836842,836842,0,0,39714557,0,4503 135,3,2024-09-07 10:01:51:002,1,661,8,0,900,6304,661,0 136,0,2024-09-07 10:01:51:618,162334,0.6,162834,0.8,323459,0.6,431545,2.00 136,1,2024-09-07 10:01:51:455,1159926,1159926,0,0,544258513755,5700765508,1147773,10758,1395,381,392135,0 136,2,2024-09-07 10:01:51:139,837667,837652,15,0,38953100,0,6007 136,3,2024-09-07 10:01:51:115,1,661,8,0,637,7685,661,0 137,0,2024-09-07 10:01:50:935,169829,0.6,165630,0.7,324372,0.7,441116,2.00 137,1,2024-09-07 10:01:50:581,1158866,1158866,0,0,544270819233,5707128243,1140971,14289,3606,366,391898,0 137,2,2024-09-07 10:01:51:716,834812,834812,0,0,40182382,0,3185 137,3,2024-09-07 10:01:50:771,1,661,0,0,484,8929,661,0 138,0,2024-09-07 10:01:51:807,168069,1.0,168395,0.9,337438,1.2,448626,2.25 138,1,2024-09-07 10:01:51:736,1158737,1158737,0,0,544253936510,5710455855,1142502,13597,2638,368,391954,0 138,2,2024-09-07 10:01:50:596,834991,834991,0,0,38813096,0,4988 138,3,2024-09-07 10:01:50:610,1,661,1,0,1200,9958,661,0 139,0,2024-09-07 10:01:51:364,166576,0.9,167120,0.9,334107,1.2,445979,2.25 139,1,2024-09-07 10:01:50:572,1154473,1154473,0,0,541212294838,5735420642,1135052,15534,3887,380,392109,0 139,2,2024-09-07 10:01:50:693,830012,829982,30,0,43903467,0,5997 139,3,2024-09-07 10:01:51:664,1,661,72,0,432,7814,661,0 140,0,2024-09-07 10:01:51:591,162342,0.3,161648,0.5,324498,0.2,432689,1.75 140,1,2024-09-07 10:01:51:538,1166377,1166377,0,0,546954322180,5642993000,1160217,5423,737,364,391628,0 140,2,2024-09-07 10:01:50:687,836797,836796,1,0,32211537,0,5036 140,3,2024-09-07 10:01:50:770,1,661,26,0,575,6779,661,0 141,0,2024-09-07 10:01:51:703,163614,0.3,168138,0.5,321399,0.3,435226,1.75 141,1,2024-09-07 10:01:50:867,1163035,1163035,0,0,546656883124,5682753244,1153077,8572,1386,379,391614,0 141,2,2024-09-07 10:01:51:692,837644,837633,11,0,34547217,0,5369 141,3,2024-09-07 10:01:51:049,1,661,0,0,391,7586,661,0 142,0,2024-09-07 10:01:51:314,170770,0.3,169954,0.5,340613,0.3,454121,1.75 142,1,2024-09-07 10:01:50:585,1161177,1161177,0,0,545002873714,5683945481,1153166,7342,669,382,392102,0 142,2,2024-09-07 10:01:51:307,835331,835299,32,0,34833697,0,6028 142,3,2024-09-07 10:01:51:760,1,661,1,0,484,6873,661,0 143,0,2024-09-07 10:01:51:382,168787,0.4,168490,0.5,338090,0.4,449718,1.75 143,1,2024-09-07 10:01:50:556,1164048,1164048,0,0,546456060602,5670740187,1156440,6706,902,367,391900,0 143,2,2024-09-07 10:01:50:784,836876,836876,0,0,34324130,0,3123 143,3,2024-09-07 10:01:51:144,1,661,9,0,462,7704,661,0 144,0,2024-09-07 10:01:51:504,157223,0.6,161807,0.7,329276,0.5,430860,2.00 144,1,2024-09-07 10:01:50:564,1157953,1157953,0,0,543159060633,5700898008,1146339,9573,2041,381,391733,0 144,2,2024-09-07 10:01:51:763,837566,837566,0,0,34396817,0,4443 144,3,2024-09-07 10:01:51:744,1,661,169,0,306,7187,661,0 145,0,2024-09-07 10:01:51:361,157189,0.5,157225,0.8,333593,0.5,429653,2.25 145,1,2024-09-07 10:01:50:554,1157031,1157031,0,0,543878174493,5724180025,1141372,12747,2912,382,391781,0 145,2,2024-09-07 10:01:51:428,835072,834990,82,0,40103757,0,7814 145,3,2024-09-07 10:01:50:901,1,661,1,0,622,8752,661,0 146,0,2024-09-07 10:01:51:604,168064,0.6,167120,0.8,336145,0.6,446686,2.25 146,1,2024-09-07 10:01:51:592,1159329,1159329,0,0,544403890876,5725411684,1140759,13750,4820,367,391829,0 146,2,2024-09-07 10:01:51:699,834116,834110,6,0,38244342,0,5151 146,3,2024-09-07 10:01:51:276,1,661,0,0,1520,10801,661,0 147,0,2024-09-07 10:01:51:711,168916,0.6,168617,0.7,337294,0.6,449828,2.00 147,1,2024-09-07 10:01:51:377,1162540,1162540,0,0,545817411562,5672917794,1153082,8384,1074,367,391791,0 147,2,2024-09-07 10:01:51:012,834976,834976,0,0,34536397,0,3359 147,3,2024-09-07 10:01:50:917,1,661,8,0,1626,10123,661,0 0,0,2024-09-07 10:02:01:711,161781,0.7,161713,0.8,343036,0.7,443573,2.00 0,1,2024-09-07 10:02:00:807,1161494,1161494,0,0,545244714200,5709269748,1151914,8619,961,368,391896,0 0,2,2024-09-07 10:02:01:071,837795,837795,0,0,34021184,0,4480 0,3,2024-09-07 10:02:00:987,1,662,6,0,431,9087,662,0 1,0,2024-09-07 10:02:01:790,169001,0.8,168016,0.9,337142,1.0,450891,2.00 1,1,2024-09-07 10:02:00:558,1160009,1160009,0,0,544741939386,5709504373,1148656,9389,1964,370,391859,0 1,2,2024-09-07 10:02:00:639,837885,837885,0,0,33491015,0,3380 1,3,2024-09-07 10:02:01:302,1,662,1,0,269,7920,662,0 2,0,2024-09-07 10:02:01:566,166793,0.6,166486,0.7,332698,0.6,443649,2.00 2,1,2024-09-07 10:02:00:864,1164074,1164074,0,0,547244080818,5690857290,1157303,5811,960,379,391805,0 2,2,2024-09-07 10:02:01:266,838156,838156,0,0,31871726,0,3594 2,3,2024-09-07 10:02:00:690,1,662,1,0,357,5630,662,0 3,0,2024-09-07 10:02:01:758,162321,0.4,162286,0.6,324292,0.4,431506,2.00 3,1,2024-09-07 10:02:01:622,1162599,1162599,0,0,545464673917,5675253559,1154144,7737,718,379,391716,0 3,2,2024-09-07 10:02:01:146,838391,838368,23,0,33286828,0,5851 3,3,2024-09-07 10:02:01:752,1,662,8,0,484,5077,662,0 4,0,2024-09-07 10:02:01:759,159314,0.4,163734,0.5,333815,0.3,436731,1.75 4,1,2024-09-07 10:02:00:591,1157058,1157058,0,0,543387114398,5751300011,1137239,15218,4601,369,391992,0 4,2,2024-09-07 10:02:01:023,835085,835085,0,0,40518181,0,4534 4,3,2024-09-07 10:02:01:027,1,662,55,0,448,8792,662,0 5,0,2024-09-07 10:02:01:382,169393,0.4,169203,0.6,338807,0.4,450999,1.75 5,1,2024-09-07 10:02:00:761,1158761,1158761,0,0,543379701921,5735060238,1141302,13224,4235,367,392005,0 5,2,2024-09-07 10:02:01:829,833897,833864,33,0,40636726,0,7631 5,3,2024-09-07 10:02:01:732,1,662,6,0,457,8719,662,0 6,0,2024-09-07 10:02:00:933,168857,0.5,168400,0.6,336878,0.4,448971,2.00 6,1,2024-09-07 10:02:00:751,1161339,1161339,0,0,545601985252,5695860730,1149664,10085,1590,379,391702,0 6,2,2024-09-07 10:02:01:116,837189,837171,18,0,37430848,0,5535 6,3,2024-09-07 10:02:01:274,1,662,1,0,710,7881,662,0 7,0,2024-09-07 10:02:01:539,161233,0.5,162277,0.7,322177,0.5,429946,2.00 7,1,2024-09-07 10:02:00:862,1159153,1159153,0,0,544248514106,5728649756,1141509,13509,4135,382,391747,0 7,2,2024-09-07 10:02:00:772,836472,836303,169,0,37912884,0,7706 7,3,2024-09-07 10:02:00:853,1,662,22,0,552,7643,662,0 8,0,2024-09-07 10:02:01:356,163687,0.4,163319,0.5,327094,0.3,435919,1.75 8,1,2024-09-07 10:02:01:023,1158298,1158298,0,0,544548952716,5722725129,1140675,13897,3726,366,392853,0 8,2,2024-09-07 10:02:00:796,832496,832494,2,0,43247976,0,5112 8,3,2024-09-07 10:02:00:590,1,662,0,0,772,10145,662,0 9,0,2024-09-07 10:02:01:116,169391,0.4,164630,0.5,344490,0.3,452546,1.75 9,1,2024-09-07 10:02:00:552,1157948,1157948,0,0,544602175017,5741597207,1139233,14609,4106,369,392001,0 9,2,2024-09-07 10:02:01:090,835576,835575,1,0,41020534,0,5281 9,3,2024-09-07 10:02:01:752,1,662,2,0,1273,10914,662,0 10,0,2024-09-07 10:02:01:599,168929,0.4,168343,0.5,337619,0.3,449588,1.75 10,1,2024-09-07 10:02:00:583,1160097,1160097,0,0,544108255805,5709502777,1142833,13735,3529,381,391981,0 10,2,2024-09-07 10:02:00:764,836237,836237,0,0,43962838,0,4713 10,3,2024-09-07 10:02:00:873,1,662,2,0,669,7223,662,0 11,0,2024-09-07 10:02:01:009,162759,0.4,158037,0.6,330760,0.4,435113,1.75 11,1,2024-09-07 10:02:00:570,1162568,1162568,0,0,545202522622,5717132977,1146038,12222,4308,383,391766,0 11,2,2024-09-07 10:02:01:128,836686,836686,0,0,38015757,0,4698 11,3,2024-09-07 10:02:01:298,1,662,11,0,843,8250,662,0 12,0,2024-09-07 10:02:01:015,164033,0.4,163809,0.5,327088,0.3,435079,1.75 12,1,2024-09-07 10:02:00:972,1161411,1161411,0,0,545237861776,5688676443,1151899,8487,1025,370,391960,0 12,2,2024-09-07 10:02:01:553,836059,836059,0,0,38115076,0,4390 12,3,2024-09-07 10:02:01:079,1,662,20,0,386,8331,662,0 13,0,2024-09-07 10:02:01:369,168556,0.4,168820,0.6,336792,0.4,448743,1.75 13,1,2024-09-07 10:02:01:534,1158891,1158891,0,0,544477196112,5727139857,1146403,9654,2834,382,391803,0 13,2,2024-09-07 10:02:00:594,838810,838810,0,0,34272002,0,3287 13,3,2024-09-07 10:02:01:763,1,662,0,0,522,8875,662,0 14,0,2024-09-07 10:02:00:569,169020,0.4,170055,0.6,338139,0.4,450043,1.75 14,1,2024-09-07 10:02:01:561,1167094,1167094,0,0,547953044044,5669774177,1158066,7894,1134,364,391673,0 14,2,2024-09-07 10:02:00:765,837118,837088,30,0,35215379,0,6104 14,3,2024-09-07 10:02:01:116,1,662,1,0,1168,6990,662,0 15,0,2024-09-07 10:02:01:554,165255,0.4,164443,0.6,329143,0.3,438770,1.75 15,1,2024-09-07 10:02:01:608,1163452,1163452,0,0,546496851926,5693895341,1153304,8139,2009,381,391619,0 15,2,2024-09-07 10:02:01:001,838976,838976,0,0,31411693,0,3622 15,3,2024-09-07 10:02:01:407,1,662,7,0,1126,8451,662,0 16,0,2024-09-07 10:02:00:982,161976,0.6,162755,0.8,324479,0.6,431855,2.00 16,1,2024-09-07 10:02:00:571,1162785,1162785,0,0,545311450555,5702299418,1152169,9074,1542,370,392194,0 16,2,2024-09-07 10:02:01:437,836643,836643,0,0,34983579,0,4719 16,3,2024-09-07 10:02:01:153,1,662,1,0,362,8370,662,0 17,0,2024-09-07 10:02:01:780,170327,0.7,165989,0.8,325107,0.7,442428,2.00 17,1,2024-09-07 10:02:00:567,1161181,1161181,0,0,544700887278,5711666797,1150178,9209,1794,368,392075,0 17,2,2024-09-07 10:02:01:666,839845,839844,1,0,36208385,0,5050 17,3,2024-09-07 10:02:00:574,1,662,17,0,518,9536,662,0 18,0,2024-09-07 10:02:00:949,167408,0.7,168518,0.8,335363,0.7,447802,2.25 18,1,2024-09-07 10:02:01:665,1165602,1165602,0,0,546175553487,5667206486,1157506,6667,1429,367,391725,0 18,2,2024-09-07 10:02:01:755,839553,839553,0,0,32587222,0,3541 18,3,2024-09-07 10:02:00:901,1,662,2,0,1059,5974,662,0 19,0,2024-09-07 10:02:01:541,167276,0.6,167770,0.8,334355,0.7,444219,2.00 19,1,2024-09-07 10:02:00:567,1164935,1164935,0,0,547137050389,5671848786,1156096,7679,1160,365,391777,0 19,2,2024-09-07 10:02:01:752,839976,839976,0,0,30272112,0,3988 19,3,2024-09-07 10:02:01:130,1,662,12,0,524,5294,662,0 20,0,2024-09-07 10:02:01:365,162091,0.5,161996,0.7,323866,0.5,432136,2.00 20,1,2024-09-07 10:02:00:566,1160739,1160739,0,0,544824815032,5710232704,1148970,10168,1601,369,391922,0 20,2,2024-09-07 10:02:00:929,837038,837038,0,0,38237402,0,4321 20,3,2024-09-07 10:02:00:589,1,662,0,0,468,11363,662,0 21,0,2024-09-07 10:02:01:155,163681,0.5,163719,0.6,327389,0.5,434888,2.00 21,1,2024-09-07 10:02:01:547,1158882,1158882,0,0,543193433528,5734079411,1140524,14394,3964,368,392016,0 21,2,2024-09-07 10:02:01:080,831678,831201,477,0,49038237,0,17074 21,3,2024-09-07 10:02:01:411,1,662,2,0,713,8943,662,0 22,0,2024-09-07 10:02:01:716,169915,0.5,170529,0.7,340732,0.4,452013,2.25 22,1,2024-09-07 10:02:01:026,1159420,1159420,0,0,544540098581,5730099011,1140524,15293,3603,381,391822,0 22,2,2024-09-07 10:02:00:763,835905,835879,26,0,36643352,0,6328 22,3,2024-09-07 10:02:01:070,1,662,1,0,228,5484,662,0 23,0,2024-09-07 10:02:01:367,168528,0.5,168351,0.7,336590,0.4,448869,2.00 23,1,2024-09-07 10:02:01:003,1160915,1160915,0,0,545908770263,5748693336,1140127,14004,6784,365,391690,0 23,2,2024-09-07 10:02:01:093,837269,837269,0,0,34425528,0,3773 23,3,2024-09-07 10:02:01:754,1,662,18,0,855,9532,662,0 24,0,2024-09-07 10:02:00:813,163339,0.4,162350,0.5,326445,0.3,433575,1.75 24,1,2024-09-07 10:02:00:581,1160353,1160353,0,0,544486209348,5697601666,1149670,8905,1778,367,392269,0 24,2,2024-09-07 10:02:01:297,837228,837225,3,0,41126775,0,6294 24,3,2024-09-07 10:02:01:695,1,662,11,0,468,8495,662,0 25,0,2024-09-07 10:02:01:390,167372,0.4,162751,0.6,320094,0.4,435927,1.75 25,1,2024-09-07 10:02:00:557,1158576,1158576,0,0,543742618366,5742085239,1138758,15906,3912,369,391928,0 25,2,2024-09-07 10:02:01:610,835671,835671,0,0,42234640,0,3978 25,3,2024-09-07 10:02:01:000,1,662,129,0,532,7368,662,0 26,0,2024-09-07 10:02:01:719,167600,0.4,163855,0.6,343818,0.3,448291,1.75 26,1,2024-09-07 10:02:01:545,1162002,1162002,0,0,545868324324,5714733253,1146508,12593,2901,380,391758,0 26,2,2024-09-07 10:02:00:862,836776,836776,0,0,44273749,0,4689 26,3,2024-09-07 10:02:01:712,1,662,1,0,796,8196,662,0 27,0,2024-09-07 10:02:01:723,169015,0.4,169810,0.6,337496,0.4,450501,2.25 27,1,2024-09-07 10:02:01:680,1163397,1163397,0,0,546747380661,5696188048,1152429,9350,1618,381,391626,0 27,2,2024-09-07 10:02:00:873,833056,832991,65,0,38741684,0,5699 27,3,2024-09-07 10:02:01:015,1,662,13,0,564,5620,662,0 28,0,2024-09-07 10:02:01:389,164088,0.4,164344,0.6,328682,0.3,437370,1.75 28,1,2024-09-07 10:02:00:798,1164296,1164296,0,0,547341717131,5705824572,1154601,7777,1918,382,391904,0 28,2,2024-09-07 10:02:01:773,837791,837791,0,0,34541666,0,2915 28,3,2024-09-07 10:02:01:778,1,662,1,0,502,6978,662,0 29,0,2024-09-07 10:02:01:372,166940,0.4,162567,0.6,318813,0.3,434992,1.75 29,1,2024-09-07 10:02:01:561,1166790,1166790,0,0,548081841605,5670819826,1159332,6438,1020,367,391809,0 29,2,2024-09-07 10:02:00:861,837487,837487,0,0,33286859,0,4986 29,3,2024-09-07 10:02:00:963,1,662,1,0,459,6939,662,0 30,0,2024-09-07 10:02:01:457,165601,0.5,161187,0.7,337876,0.5,442072,2.00 30,1,2024-09-07 10:02:00:570,1165641,1165641,0,0,548087235924,5689679068,1156602,7953,1086,380,391672,0 30,2,2024-09-07 10:02:01:274,838593,838593,0,0,31960188,0,4192 30,3,2024-09-07 10:02:00:581,1,662,1,0,519,6846,662,0 31,0,2024-09-07 10:02:01:760,168796,0.5,169522,0.7,338071,0.4,450958,2.00 31,1,2024-09-07 10:02:00:568,1169970,1169970,0,0,548498538042,5633776485,1162662,6119,1189,356,391712,0 31,2,2024-09-07 10:02:01:275,836728,836728,0,0,36082352,0,4470 31,3,2024-09-07 10:02:01:706,1,662,8,0,239,5733,662,0 32,0,2024-09-07 10:02:01:416,166910,0.3,168008,0.5,334502,0.2,445070,1.75 32,1,2024-09-07 10:02:00:804,1165099,1165099,0,0,547251729086,5690056376,1157676,6460,963,381,391646,0 32,2,2024-09-07 10:02:00:941,839581,839581,0,0,31282056,0,3922 32,3,2024-09-07 10:02:01:015,1,662,9,0,304,5624,662,0 33,0,2024-09-07 10:02:01:492,163053,0.3,162617,0.4,325315,0.2,432749,1.50 33,1,2024-09-07 10:02:00:574,1166599,1166599,0,0,547863677466,5674812923,1157372,7876,1351,368,391730,0 33,2,2024-09-07 10:02:00:760,837785,837750,35,0,34955832,0,7012 33,3,2024-09-07 10:02:00:897,1,662,2,0,329,6130,662,0 34,0,2024-09-07 10:02:00:938,164452,0.3,168989,0.5,323254,0.2,436574,1.75 34,1,2024-09-07 10:02:01:046,1168529,1168529,0,0,548954092058,5650243890,1164570,3750,209,367,391637,0 34,2,2024-09-07 10:02:00:772,838654,838654,0,0,33006330,0,4562 34,3,2024-09-07 10:02:01:700,1,662,0,0,541,5856,662,0 35,0,2024-09-07 10:02:00:859,168845,0.3,169374,0.5,339784,0.3,451966,1.75 35,1,2024-09-07 10:02:01:071,1164469,1164469,0,0,546609383780,5673440003,1155597,7320,1552,382,391769,0 35,2,2024-09-07 10:02:01:583,837930,837930,0,0,37850428,0,4055 35,3,2024-09-07 10:02:00:909,1,662,12,0,466,6418,662,0 36,0,2024-09-07 10:02:01:520,169322,0.5,169506,0.6,338554,0.4,450718,2.00 36,1,2024-09-07 10:02:00:583,1162752,1162752,0,0,545945756366,5698462936,1148691,11815,2246,366,391759,0 36,2,2024-09-07 10:02:01:750,837360,837360,0,0,37793951,0,3875 36,3,2024-09-07 10:02:00:868,1,662,0,0,556,8694,662,0 37,0,2024-09-07 10:02:01:384,161191,0.5,161255,0.7,322535,0.5,430520,2.25 37,1,2024-09-07 10:02:00:569,1162402,1162395,0,7,545489987178,5699072008,1148322,10961,3112,365,391770,0 37,2,2024-09-07 10:02:01:142,835421,835406,15,0,37578141,0,5815 37,3,2024-09-07 10:02:01:772,1,662,1,0,888,9117,662,0 38,0,2024-09-07 10:02:01:436,162524,0.5,157536,0.7,329400,0.4,431925,2.00 38,1,2024-09-07 10:02:01:608,1162005,1162005,0,0,546395871917,5713096170,1145376,13326,3303,368,391821,0 38,2,2024-09-07 10:02:00:761,837861,837814,47,0,38253469,0,6710 38,3,2024-09-07 10:02:01:001,1,662,1,0,689,7779,662,0 39,0,2024-09-07 10:02:01:760,173022,0.5,169090,0.7,329805,0.5,450344,2.00 39,1,2024-09-07 10:02:00:717,1161934,1161934,0,0,544969993696,5714526917,1142844,14885,4205,365,391865,0 39,2,2024-09-07 10:02:01:420,837003,837003,0,0,36341396,0,3391 39,3,2024-09-07 10:02:00:714,1,662,9,0,525,7421,662,0 40,0,2024-09-07 10:02:01:490,167438,0.8,168096,0.9,335553,0.9,448408,2.75 40,1,2024-09-07 10:02:00:576,1162021,1162021,0,0,544473061741,5703924000,1144611,14115,3295,366,391668,0 40,2,2024-09-07 10:02:01:308,833618,833611,7,0,42017179,0,5347 40,3,2024-09-07 10:02:01:148,1,662,0,0,1028,9391,662,0 41,0,2024-09-07 10:02:01:020,162140,1.2,165984,1.1,316514,1.6,429746,3.00 41,1,2024-09-07 10:02:00:774,1161349,1161349,0,0,545798070247,5706816376,1146682,12365,2302,369,391878,0 41,2,2024-09-07 10:02:00:762,833870,833869,1,0,40731185,0,5408 41,3,2024-09-07 10:02:01:678,1,662,0,0,366,7024,662,0 42,0,2024-09-07 10:02:01:476,161728,0.9,161434,1.0,323107,1.0,428864,2.50 42,1,2024-09-07 10:02:01:439,1159657,1159657,0,0,544179003682,5713327529,1142452,14249,2956,380,391675,0 42,2,2024-09-07 10:02:01:140,834820,834819,1,0,42136002,0,5513 42,3,2024-09-07 10:02:01:009,1,662,1,0,892,6802,662,0 43,0,2024-09-07 10:02:00:916,166117,0.7,161717,0.9,338798,0.8,443781,2.25 43,1,2024-09-07 10:02:00:577,1162223,1162223,0,0,546118551603,5705122325,1146419,13057,2747,366,391696,0 43,2,2024-09-07 10:02:01:741,837850,837850,0,0,38781850,0,4723 43,3,2024-09-07 10:02:01:749,1,662,8,0,571,9162,662,0 44,0,2024-09-07 10:02:00:867,169294,0.4,169573,0.6,338230,0.4,451039,2.00 44,1,2024-09-07 10:02:00:564,1165556,1165556,0,0,546780701206,5653374674,1155169,8314,2073,356,391809,0 44,2,2024-09-07 10:02:01:267,835382,835382,0,0,31861772,0,4344 44,3,2024-09-07 10:02:01:095,1,662,1,0,1097,8357,662,0 45,0,2024-09-07 10:02:01:755,163416,0.5,159605,0.7,335040,0.4,438780,2.00 45,1,2024-09-07 10:02:01:007,1164471,1164471,0,0,546125057673,5676803810,1155337,7913,1221,382,391917,0 45,2,2024-09-07 10:02:01:267,838116,838116,0,0,31913663,0,3596 45,3,2024-09-07 10:02:00:945,1,662,1,0,531,6195,662,0 46,0,2024-09-07 10:02:00:954,161202,0.5,160719,0.7,322473,0.5,428565,2.00 46,1,2024-09-07 10:02:00:575,1166868,1166868,0,0,547326640321,5659222666,1158750,7068,1050,366,391709,0 46,2,2024-09-07 10:02:00:592,838534,838534,0,0,33399895,0,4443 46,3,2024-09-07 10:02:01:131,1,662,3,0,908,7550,662,0 47,0,2024-09-07 10:02:01:111,165795,0.4,165427,0.6,332241,0.4,440890,2.00 47,1,2024-09-07 10:02:00:568,1167928,1167928,0,0,547820545832,5662059132,1160582,6333,1013,364,391641,0 47,2,2024-09-07 10:02:00:911,840458,840458,0,0,33381617,0,4477 47,3,2024-09-07 10:02:01:115,1,662,8,0,600,7156,662,0 48,0,2024-09-07 10:02:01:489,169472,0.3,170002,0.4,338849,0.2,450725,1.50 48,1,2024-09-07 10:02:01:021,1165166,1165166,0,0,546895457345,5683670386,1157590,6564,1012,381,391710,0 48,2,2024-09-07 10:02:00:698,838141,838141,0,0,30931267,0,3619 48,3,2024-09-07 10:02:00:759,1,662,0,0,339,5884,662,0 49,0,2024-09-07 10:02:01:711,172767,0.3,169190,0.5,329252,0.3,448716,1.75 49,1,2024-09-07 10:02:01:021,1164345,1164345,0,0,546847370211,5688583601,1156493,6155,1697,382,391809,0 49,2,2024-09-07 10:02:01:797,838538,838538,0,0,32316536,0,4426 49,3,2024-09-07 10:02:01:426,1,662,9,0,992,7897,662,0 50,0,2024-09-07 10:02:01:512,162676,0.3,161321,0.5,324911,0.2,431909,1.75 50,1,2024-09-07 10:02:01:012,1167344,1167344,0,0,548282228366,5669109896,1159894,6719,731,368,391691,0 50,2,2024-09-07 10:02:01:075,836326,836326,0,0,30103946,0,4490 50,3,2024-09-07 10:02:01:292,1,662,1,0,617,6665,662,0 51,0,2024-09-07 10:02:01:695,168071,0.3,164459,0.5,320255,0.2,437129,1.75 51,1,2024-09-07 10:02:01:681,1167370,1167370,0,0,549387814637,5666131143,1160731,5297,1342,365,391706,0 51,2,2024-09-07 10:02:01:316,838241,838241,0,0,29887453,0,3337 51,3,2024-09-07 10:02:01:026,1,662,582,0,678,5305,662,0 52,0,2024-09-07 10:02:01:414,170028,0.5,170086,0.7,339785,0.4,451816,2.00 52,1,2024-09-07 10:02:00:574,1162273,1162273,0,0,545994518450,5713278604,1146008,13871,2394,368,391805,0 52,2,2024-09-07 10:02:01:754,833387,833349,38,0,41231342,0,6742 52,3,2024-09-07 10:02:00:674,1,662,1,0,1782,7753,662,0 53,0,2024-09-07 10:02:01:747,168223,0.6,163316,0.8,341734,0.7,447488,2.25 53,1,2024-09-07 10:02:00:773,1160594,1160594,0,0,545514072410,5719845583,1141755,14431,4408,367,391968,0 53,2,2024-09-07 10:02:01:299,837106,836968,138,0,36728985,0,7690 53,3,2024-09-07 10:02:00:697,1,662,1,0,308,6030,662,0 54,0,2024-09-07 10:02:01:614,160474,0.6,160890,0.7,320483,0.5,427751,2.25 54,1,2024-09-07 10:02:00:590,1163660,1163660,0,0,546689290087,5682461006,1152292,9695,1673,366,391810,0 54,2,2024-09-07 10:02:00:868,837540,837508,32,0,40332269,0,6397 54,3,2024-09-07 10:02:00:763,1,662,1,0,676,8312,662,0 55,0,2024-09-07 10:02:01:760,157185,0.6,162091,0.8,328830,0.6,428694,2.50 55,1,2024-09-07 10:02:00:782,1164388,1164388,0,0,545979823897,5678429313,1152400,10502,1486,365,391731,0 55,2,2024-09-07 10:02:00:733,836259,836203,56,0,37363574,0,7239 55,3,2024-09-07 10:02:00:674,1,662,8,0,304,6103,662,0 56,0,2024-09-07 10:02:01:569,171680,1.1,161831,1.1,333535,1.4,446387,2.75 56,1,2024-09-07 10:02:00:569,1157369,1157369,0,0,543448124662,5744424584,1138535,15083,3751,381,391867,0 56,2,2024-09-07 10:02:01:303,836077,835955,122,0,39569230,0,7432 56,3,2024-09-07 10:02:01:070,1,662,29,0,705,8077,662,0 57,0,2024-09-07 10:02:00:934,167137,1.4,167020,1.2,334123,1.9,446570,3.00 57,1,2024-09-07 10:02:01:006,1160162,1160162,0,0,544452325698,5712822115,1144677,12795,2690,366,392032,0 57,2,2024-09-07 10:02:01:329,835109,835109,0,0,41736489,0,4804 57,3,2024-09-07 10:02:01:741,1,662,1,0,455,7252,662,0 58,0,2024-09-07 10:02:00:565,161640,0.9,156999,1.0,328578,1.1,429771,2.50 58,1,2024-09-07 10:02:00:577,1161221,1161218,0,3,545645478692,5720103978,1143543,13362,4313,367,391726,3 58,2,2024-09-07 10:02:01:071,837740,837740,0,0,38344118,0,3483 58,3,2024-09-07 10:02:01:070,1,662,40,0,1043,7295,662,0 59,0,2024-09-07 10:02:01:775,160966,0.8,160314,1.0,320675,0.9,425810,2.75 59,1,2024-09-07 10:02:00:840,1160902,1160902,0,0,544690367302,5721836856,1141953,15114,3835,369,391653,0 59,2,2024-09-07 10:02:00:582,837219,837219,0,0,36556394,0,3727 59,3,2024-09-07 10:02:01:745,1,662,9,0,1015,7887,662,0 60,0,2024-09-07 10:02:01:709,166479,0.5,166450,0.7,333198,0.5,444484,1.75 60,1,2024-09-07 10:02:00:773,1164698,1164698,0,0,547266991151,5696409445,1154637,8665,1396,370,392031,0 60,2,2024-09-07 10:02:01:149,837964,837964,0,0,35166676,0,3811 60,3,2024-09-07 10:02:01:258,1,662,1,0,409,7587,662,0 61,0,2024-09-07 10:02:01:588,168954,0.6,169656,0.8,337707,0.6,450594,2.00 61,1,2024-09-07 10:02:00:776,1162099,1162099,0,0,545469684103,5711281974,1149859,10464,1776,382,392127,0 61,2,2024-09-07 10:02:01:116,837527,837460,67,0,35993463,0,6411 61,3,2024-09-07 10:02:01:692,1,662,1,0,607,8388,662,0 62,0,2024-09-07 10:02:01:711,167464,0.6,171766,0.7,327891,0.5,445013,2.00 62,1,2024-09-07 10:02:01:111,1169007,1169001,0,6,548390749297,5658649702,1162470,6057,474,365,391975,6 62,2,2024-09-07 10:02:01:648,835334,835333,1,0,35368396,0,5555 62,3,2024-09-07 10:02:01:156,1,662,16,0,482,5300,662,0 63,0,2024-09-07 10:02:01:464,163005,0.4,162663,0.6,326172,0.3,432947,1.75 63,1,2024-09-07 10:02:00:814,1165648,1165642,0,6,547320474152,5684452103,1158062,6652,928,381,391800,6 63,2,2024-09-07 10:02:00:762,836968,836968,0,0,34467674,0,4369 63,3,2024-09-07 10:02:01:738,1,662,8,0,667,7156,662,0 64,0,2024-09-07 10:02:01:515,163488,0.5,163294,0.7,326437,0.5,435227,2.00 64,1,2024-09-07 10:02:00:757,1163696,1163696,0,0,547186555108,5698639208,1153510,8160,2026,370,391794,0 64,2,2024-09-07 10:02:01:154,841100,841081,19,0,33667219,0,6121 64,3,2024-09-07 10:02:01:153,1,662,7,0,651,7578,662,0 65,0,2024-09-07 10:02:01:675,168006,0.6,168354,0.7,336186,0.6,448285,2.00 65,1,2024-09-07 10:02:00:862,1162298,1162298,0,0,545045081755,5697078774,1154144,7257,897,381,391901,0 65,2,2024-09-07 10:02:01:692,836172,836172,0,0,39855568,0,3367 65,3,2024-09-07 10:02:01:683,1,662,0,0,782,7959,662,0 66,0,2024-09-07 10:02:01:765,168594,0.5,167940,0.7,336152,0.5,447377,2.00 66,1,2024-09-07 10:02:01:300,1164231,1164231,0,0,546604575163,5688968859,1156886,6473,872,380,391743,0 66,2,2024-09-07 10:02:01:132,839683,839680,3,0,34933657,0,5455 66,3,2024-09-07 10:02:01:089,1,662,8,0,291,6013,662,0 67,0,2024-09-07 10:02:01:413,161780,0.5,161392,0.7,323662,0.5,431087,2.00 67,1,2024-09-07 10:02:00:773,1164275,1164274,0,1,545698143863,5684234107,1155854,7280,1140,380,391787,1 67,2,2024-09-07 10:02:00:591,840313,840298,15,0,35269623,0,6205 67,3,2024-09-07 10:02:01:757,1,662,17,0,595,7134,662,0 68,0,2024-09-07 10:02:00:580,163348,0.6,163088,0.8,324979,0.6,434980,2.25 68,1,2024-09-07 10:02:00:572,1159883,1159883,0,0,544340575607,5728395088,1143525,12094,4264,381,391953,0 68,2,2024-09-07 10:02:01:058,833618,833518,100,0,43510249,0,8578 68,3,2024-09-07 10:02:00:733,1,662,18,0,417,8838,662,0 69,0,2024-09-07 10:02:01:722,168803,0.7,169440,0.8,337790,0.7,449661,2.25 69,1,2024-09-07 10:02:01:018,1159050,1159050,0,0,543740507089,5728540376,1144412,11658,2980,383,391994,0 69,2,2024-09-07 10:02:01:737,834300,834271,29,0,46552272,0,6912 69,3,2024-09-07 10:02:00:760,1,662,5,0,698,9539,662,0 70,0,2024-09-07 10:02:01:555,167089,0.8,167873,0.9,336584,0.7,446591,2.50 70,1,2024-09-07 10:02:00:801,1165402,1165402,0,0,547981305292,5687778270,1156160,8213,1029,366,391725,0 70,2,2024-09-07 10:02:01:325,836183,836183,0,0,37927849,0,4323 70,3,2024-09-07 10:02:00:745,1,662,3,0,854,7461,662,0 71,0,2024-09-07 10:02:01:373,161915,0.9,161468,1.0,324281,1.0,431950,2.50 71,1,2024-09-07 10:02:01:597,1163552,1163552,0,0,546114210997,5698392280,1149620,12306,1626,367,391738,0 71,2,2024-09-07 10:02:01:072,836748,836748,0,0,37888446,0,4352 71,3,2024-09-07 10:02:01:754,1,662,7,0,644,7355,662,0 72,0,2024-09-07 10:02:01:033,168034,0.5,164076,0.7,320555,0.5,436029,2.00 72,1,2024-09-07 10:02:01:024,1161740,1161740,0,0,544912168835,5712301955,1145528,13524,2688,369,391819,0 72,2,2024-09-07 10:02:01:755,835860,835860,0,0,39734764,0,3983 72,3,2024-09-07 10:02:01:754,1,662,9,0,564,9386,662,0 73,0,2024-09-07 10:02:01:132,163488,0.4,167679,0.6,342554,0.4,446425,2.00 73,1,2024-09-07 10:02:00:773,1163400,1163400,0,0,546146569921,5675987549,1154527,7886,987,367,391858,0 73,2,2024-09-07 10:02:01:742,836830,836829,1,0,41530958,0,5027 73,3,2024-09-07 10:02:00:970,1,662,1,0,1091,9044,662,0 74,0,2024-09-07 10:02:01:322,170184,0.5,174230,0.7,332586,0.4,451350,2.00 74,1,2024-09-07 10:02:00:640,1162080,1162080,0,0,545230168228,5688767977,1149964,9976,2140,381,391762,0 74,2,2024-09-07 10:02:01:005,835349,835349,0,0,36502053,0,4253 74,3,2024-09-07 10:02:01:456,1,662,2,0,522,7688,662,0 75,0,2024-09-07 10:02:01:772,165347,0.5,164375,0.7,329923,0.5,440131,2.25 75,1,2024-09-07 10:02:01:585,1163661,1163661,0,0,546046545304,5689451144,1154146,8583,932,380,391739,0 75,2,2024-09-07 10:02:01:351,836102,836102,0,0,43211285,0,4766 75,3,2024-09-07 10:02:01:079,1,662,0,0,918,9123,662,0 76,0,2024-09-07 10:02:00:593,161627,0.5,161007,0.7,321769,0.5,430269,2.25 76,1,2024-09-07 10:02:00:821,1163039,1163039,0,0,545471832540,5690625450,1154613,7122,1304,382,391790,0 76,2,2024-09-07 10:02:01:061,839777,839774,3,0,36010331,0,5265 76,3,2024-09-07 10:02:01:146,1,662,7,0,249,6241,662,0 77,0,2024-09-07 10:02:01:736,164721,0.6,165172,0.7,330606,0.5,439959,2.00 77,1,2024-09-07 10:02:00:833,1163047,1163047,0,0,545540173578,5695341713,1153556,8436,1055,381,391869,0 77,2,2024-09-07 10:02:01:284,836769,836769,0,0,35104656,0,3890 77,3,2024-09-07 10:02:01:098,1,662,0,0,401,7697,662,0 78,0,2024-09-07 10:02:01:718,169767,0.4,169079,0.6,339344,0.4,448732,2.00 78,1,2024-09-07 10:02:00:610,1162389,1162389,0,0,545634087892,5696052001,1147479,11674,3236,367,391670,0 78,2,2024-09-07 10:02:01:411,838208,838195,13,0,32962883,0,8313 78,3,2024-09-07 10:02:01:137,1,662,108,0,311,5637,662,0 79,0,2024-09-07 10:02:01:357,162295,0.4,166294,0.6,340655,0.3,443279,2.00 79,1,2024-09-07 10:02:00:571,1166494,1166494,0,0,547165053586,5669546931,1156708,7986,1800,367,391682,0 79,2,2024-09-07 10:02:01:077,838192,838192,0,0,31751104,0,4195 79,3,2024-09-07 10:02:00:749,1,662,9,0,418,7500,662,0 80,0,2024-09-07 10:02:01:082,162302,0.5,166666,0.7,318354,0.5,431102,2.00 80,1,2024-09-07 10:02:01:629,1162881,1162881,0,0,546284914178,5688774309,1155170,7163,548,368,392269,0 80,2,2024-09-07 10:02:01:095,840080,840080,0,0,32750128,0,4433 80,3,2024-09-07 10:02:00:578,1,662,1,0,681,7970,662,0 81,0,2024-09-07 10:02:01:541,163580,0.6,167361,0.7,319393,0.6,433698,2.00 81,1,2024-09-07 10:02:01:650,1162036,1162036,0,0,544721997060,5692849168,1152736,8329,971,382,392001,0 81,2,2024-09-07 10:02:01:137,837176,837113,63,0,36154698,0,5932 81,3,2024-09-07 10:02:01:136,1,662,17,0,719,7307,662,0 82,0,2024-09-07 10:02:01:541,170067,0.5,170162,0.7,340836,0.5,452279,2.00 82,1,2024-09-07 10:02:00:590,1163966,1163962,0,4,546857337241,5689088882,1157171,5668,1123,381,391768,4 82,2,2024-09-07 10:02:01:691,839667,839667,0,0,30464819,0,4484 82,3,2024-09-07 10:02:01:757,1,662,0,0,363,6063,662,0 83,0,2024-09-07 10:02:01:526,168915,0.6,168806,0.7,337249,0.5,448093,2.25 83,1,2024-09-07 10:02:00:555,1162880,1162880,0,0,545376481927,5687859394,1154083,7772,1025,382,391709,0 83,2,2024-09-07 10:02:00:775,837263,837238,25,0,32727691,0,5612 83,3,2024-09-07 10:02:00:759,1,662,3,0,1260,7835,662,0 84,0,2024-09-07 10:02:01:800,160837,0.7,160899,0.9,321905,0.7,429682,2.25 84,1,2024-09-07 10:02:01:056,1161345,1161345,0,0,545037856313,5700699450,1148249,11211,1885,367,391967,0 84,2,2024-09-07 10:02:00:576,835787,835377,410,0,46903675,0,17037 84,3,2024-09-07 10:02:01:154,1,662,2,0,908,9100,662,0 85,0,2024-09-07 10:02:01:032,156406,0.6,156450,0.8,332023,0.6,428449,2.25 85,1,2024-09-07 10:02:00:558,1158138,1158138,0,0,544185000728,5743030793,1140687,14523,2928,381,392092,0 85,2,2024-09-07 10:02:00:870,836930,836930,0,0,40361559,0,4255 85,3,2024-09-07 10:02:00:711,1,662,1,0,789,7651,662,0 86,0,2024-09-07 10:02:00:907,167870,0.7,172745,0.8,330358,0.7,446738,2.25 86,1,2024-09-07 10:02:00:823,1160336,1160336,0,0,544952457538,5720924727,1143676,13383,3277,366,392169,0 86,2,2024-09-07 10:02:00:854,836243,836242,1,0,42724606,0,5004 86,3,2024-09-07 10:02:00:606,1,662,54,0,308,8961,662,0 87,0,2024-09-07 10:02:01:292,169419,0.8,168255,0.8,337043,0.9,450341,2.25 87,1,2024-09-07 10:02:00:553,1160920,1160920,0,0,545287853260,5709712157,1146951,12161,1808,366,392076,0 87,2,2024-09-07 10:02:01:072,834812,834806,6,0,39704432,0,6323 87,3,2024-09-07 10:02:01:800,1,662,8,0,473,9163,662,0 88,0,2024-09-07 10:02:01:461,163925,0.4,164450,0.6,328646,0.4,437265,1.75 88,1,2024-09-07 10:02:00:571,1159651,1159651,0,0,544148977078,5702065579,1143396,13133,3122,365,392084,0 88,2,2024-09-07 10:02:00:688,837076,837076,0,0,41021435,0,4465 88,3,2024-09-07 10:02:01:274,1,662,11,0,1080,10461,662,0 89,0,2024-09-07 10:02:01:770,166845,0.4,161869,0.6,319253,0.4,434335,1.75 89,1,2024-09-07 10:02:00:577,1159009,1159009,0,0,544907658558,5728849133,1145002,11818,2189,382,391866,0 89,2,2024-09-07 10:02:01:132,836919,836919,0,0,39082566,0,3173 89,3,2024-09-07 10:02:01:793,1,662,5,0,468,10630,662,0 90,0,2024-09-07 10:02:01:616,161404,0.4,165713,0.6,338476,0.4,442074,2.00 90,1,2024-09-07 10:02:00:593,1160586,1160586,0,0,544085263401,5698141167,1149330,10204,1052,380,391825,0 90,2,2024-09-07 10:02:01:406,833367,833362,5,0,42229810,0,6370 90,3,2024-09-07 10:02:00:956,1,662,15,0,364,8800,662,0 91,0,2024-09-07 10:02:00:934,169585,0.5,164495,0.6,344276,0.5,451460,1.75 91,1,2024-09-07 10:02:00:570,1157986,1157986,0,0,544388335646,5738840838,1140309,13716,3961,381,392047,0 91,2,2024-09-07 10:02:01:362,836310,836310,0,0,37689023,0,4713 91,3,2024-09-07 10:02:00:602,1,662,8,0,231,6282,662,0 92,0,2024-09-07 10:02:01:451,168440,0.5,172263,0.6,328619,0.4,445283,1.75 92,1,2024-09-07 10:02:00:589,1162184,1162184,0,0,545926343910,5702636241,1153055,7822,1307,381,392136,0 92,2,2024-09-07 10:02:01:368,837084,837084,0,0,33783105,0,3906 92,3,2024-09-07 10:02:01:009,1,662,27,0,167,5503,662,0 93,0,2024-09-07 10:02:00:960,163524,0.4,167471,0.6,319919,0.3,432950,1.75 93,1,2024-09-07 10:02:00:818,1162818,1162818,0,0,545636721773,5702471134,1148914,11472,2432,365,392048,0 93,2,2024-09-07 10:02:00:928,836918,836918,0,0,39662865,0,4913 93,3,2024-09-07 10:02:01:411,1,662,10,0,505,7429,662,0 94,0,2024-09-07 10:02:01:637,163484,0.4,164623,0.6,329373,0.3,437507,1.75 94,1,2024-09-07 10:02:00:570,1163323,1163323,0,0,546233486855,5696321081,1155642,7191,490,381,391850,0 94,2,2024-09-07 10:02:00:761,837888,837860,28,0,34306272,0,6179 94,3,2024-09-07 10:02:01:705,1,662,4,0,576,8237,662,0 95,0,2024-09-07 10:02:01:342,169332,0.4,169249,0.6,338808,0.3,451209,1.75 95,1,2024-09-07 10:02:00:858,1164302,1164302,0,0,546587911586,5680287918,1155261,8276,765,365,391852,0 95,2,2024-09-07 10:02:01:020,836501,836501,0,0,34161603,0,3308 95,3,2024-09-07 10:02:01:708,1,662,12,0,718,10085,662,0 96,0,2024-09-07 10:02:01:064,168973,0.3,169164,0.5,338530,0.3,450000,1.75 96,1,2024-09-07 10:02:01:594,1162299,1162299,0,0,545847647720,5695617361,1153867,7139,1293,384,391964,0 96,2,2024-09-07 10:02:01:268,838377,838377,0,0,34402594,0,4180 96,3,2024-09-07 10:02:01:153,1,662,4,0,411,7609,662,0 97,0,2024-09-07 10:02:01:317,161835,0.3,161686,0.5,324032,0.3,430962,1.50 97,1,2024-09-07 10:02:00:773,1164274,1164274,0,0,546550285338,5673247570,1156447,6590,1237,367,392140,0 97,2,2024-09-07 10:02:00:609,838539,838539,0,0,35018200,0,4600 97,3,2024-09-07 10:02:00:569,1,662,2,0,433,7961,662,0 98,0,2024-09-07 10:02:01:698,162964,0.3,162988,0.5,327311,0.3,435280,1.50 98,1,2024-09-07 10:02:00:602,1163567,1163567,0,0,545903606015,5688272124,1156142,6527,898,381,391997,0 98,2,2024-09-07 10:02:00:774,837635,837635,0,0,33350517,0,4336 98,3,2024-09-07 10:02:00:698,1,662,6,0,840,9405,662,0 99,0,2024-09-07 10:02:01:447,169384,0.3,170313,0.5,339255,0.3,452803,1.75 99,1,2024-09-07 10:02:01:738,1164592,1164592,0,0,546274539028,5686831629,1157064,6435,1093,380,392069,0 99,2,2024-09-07 10:02:01:432,836644,836644,0,0,42519799,0,4276 99,3,2024-09-07 10:02:00:581,1,662,8,0,1124,8330,662,0 100,0,2024-09-07 10:02:01:514,168457,0.8,168981,0.9,337777,0.9,450493,2.50 100,1,2024-09-07 10:02:00:556,1157053,1157053,0,0,543493585708,5746999818,1139026,14377,3650,378,391989,0 100,2,2024-09-07 10:02:01:831,832162,831773,389,0,44019104,0,16909 100,3,2024-09-07 10:02:01:744,1,662,1,0,627,10534,662,0 101,0,2024-09-07 10:02:01:697,166594,1.0,162594,1.0,317942,0.9,433718,2.25 101,1,2024-09-07 10:02:00:562,1159307,1159307,0,0,544603209772,5724625206,1143411,12963,2933,368,391847,0 101,2,2024-09-07 10:02:01:756,832256,832217,39,0,45195521,0,5913 101,3,2024-09-07 10:02:00:945,1,662,35,0,1250,9827,662,0 102,0,2024-09-07 10:02:00:980,158301,0.6,163018,0.8,331195,0.6,432595,2.25 102,1,2024-09-07 10:02:01:148,1159556,1159556,0,0,545175060208,5725607017,1144240,13098,2218,369,391984,0 102,2,2024-09-07 10:02:01:751,838130,838076,54,0,37839070,0,6768 102,3,2024-09-07 10:02:01:613,1,662,101,0,466,7634,662,0 103,0,2024-09-07 10:02:01:588,173047,0.6,173072,0.7,326169,0.6,448367,2.00 103,1,2024-09-07 10:02:01:629,1158491,1158491,0,0,544148771624,5745915946,1140167,14701,3623,381,392077,0 103,2,2024-09-07 10:02:00:590,835262,835262,0,0,41175563,0,3766 103,3,2024-09-07 10:02:00:762,1,662,8,0,916,7717,662,0 104,0,2024-09-07 10:02:01:032,167891,0.7,168246,0.9,335558,0.7,448506,2.25 104,1,2024-09-07 10:02:01:598,1161048,1161048,0,0,544688660045,5724496294,1143565,14238,3245,365,392168,0 104,2,2024-09-07 10:02:01:666,833835,833835,0,0,40004682,0,4161 104,3,2024-09-07 10:02:01:438,1,662,168,0,1245,11291,662,0 105,0,2024-09-07 10:02:01:052,163328,0.9,159237,1.0,333801,0.9,438122,2.50 105,1,2024-09-07 10:02:00:568,1163048,1163048,0,0,545442151949,5711275871,1149029,12061,1958,364,392009,0 105,2,2024-09-07 10:02:01:323,836064,836064,0,0,40764444,0,4360 105,3,2024-09-07 10:02:01:304,1,662,13,0,573,9907,662,0 106,0,2024-09-07 10:02:00:973,156568,0.9,160427,0.9,328440,1.0,428612,2.50 106,1,2024-09-07 10:02:01:752,1160530,1160530,0,0,544270574192,5710189781,1144691,13810,2029,368,391914,0 106,2,2024-09-07 10:02:00:772,836745,836745,0,0,37742082,0,3331 106,3,2024-09-07 10:02:00:690,1,662,1,0,1224,9045,662,0 107,0,2024-09-07 10:02:01:117,164854,1.0,165029,1.0,330094,1.2,440873,2.25 107,1,2024-09-07 10:02:00:640,1158535,1158535,0,0,542858656477,5735271146,1140359,15990,2186,381,392234,0 107,2,2024-09-07 10:02:01:293,833567,833566,1,0,41377221,0,5024 107,3,2024-09-07 10:02:01:762,1,662,8,0,733,9822,662,0 108,0,2024-09-07 10:02:01:773,168776,0.4,169653,0.6,338215,0.4,450550,1.75 108,1,2024-09-07 10:02:01:294,1162139,1162139,0,0,546119342477,5697478581,1152357,8696,1086,367,391894,0 108,2,2024-09-07 10:02:01:755,837544,837544,0,0,37295451,0,4432 108,3,2024-09-07 10:02:01:333,1,662,1,0,767,12319,662,0 109,0,2024-09-07 10:02:01:755,168880,0.4,167474,0.6,335993,0.3,447903,1.75 109,1,2024-09-07 10:02:00:590,1160151,1160151,0,0,545244648104,5721223868,1149663,8986,1502,382,392132,0 109,2,2024-09-07 10:02:00:923,835938,835938,0,0,36756754,0,3617 109,3,2024-09-07 10:02:01:182,1,662,1,0,630,7707,662,0 110,0,2024-09-07 10:02:01:746,162027,0.4,157530,0.6,330019,0.3,432909,1.75 110,1,2024-09-07 10:02:01:649,1164477,1164477,0,0,546609373998,5674194171,1155725,6725,2027,368,392045,0 110,2,2024-09-07 10:02:01:303,838059,838059,0,0,35961148,0,4067 110,3,2024-09-07 10:02:00:697,1,662,2,0,722,8773,662,0 111,0,2024-09-07 10:02:01:423,164429,0.4,163612,0.5,326874,0.3,436633,1.75 111,1,2024-09-07 10:02:01:013,1165779,1165779,0,0,547566403813,5682728100,1159296,6095,388,380,391690,0 111,2,2024-09-07 10:02:01:120,837659,837659,0,0,35566843,0,4823 111,3,2024-09-07 10:02:00:918,1,662,12,0,379,7373,662,0 112,0,2024-09-07 10:02:00:931,170915,0.3,170352,0.4,341532,0.2,453530,1.50 112,1,2024-09-07 10:02:00:837,1164488,1164488,0,0,546467752692,5673709682,1156992,6271,1225,380,391624,0 112,2,2024-09-07 10:02:01:140,837567,837566,1,0,33961781,0,5036 112,3,2024-09-07 10:02:00:593,1,662,1,0,282,6564,662,0 113,0,2024-09-07 10:02:00:875,168907,0.3,169013,0.5,338536,0.2,450500,1.75 113,1,2024-09-07 10:02:01:685,1167605,1167605,0,0,548863652282,5664089988,1160752,5903,950,365,391664,0 113,2,2024-09-07 10:02:01:303,839230,839230,0,0,31192702,0,3813 113,3,2024-09-07 10:02:00:694,1,662,1,0,510,6904,662,0 114,0,2024-09-07 10:02:00:890,162508,0.3,163562,0.5,326191,0.2,435081,1.75 114,1,2024-09-07 10:02:00:716,1164222,1164222,0,0,546570661198,5675744751,1155674,6780,1768,381,391565,0 114,2,2024-09-07 10:02:00:873,839390,839389,1,0,33323758,0,5069 114,3,2024-09-07 10:02:01:282,1,662,1,0,415,5489,662,0 115,0,2024-09-07 10:02:00:556,163510,0.3,164101,0.4,326837,0.2,435414,1.50 115,1,2024-09-07 10:02:00:575,1164318,1164318,0,0,546735235917,5680357730,1154888,7640,1790,382,391757,0 115,2,2024-09-07 10:02:01:130,839523,839523,0,0,33128878,0,4382 115,3,2024-09-07 10:02:01:003,1,662,16,0,173,4268,662,0 116,0,2024-09-07 10:02:01:766,167708,0.7,167696,0.8,336175,0.7,449056,2.00 116,1,2024-09-07 10:02:00:807,1158190,1158190,0,0,544263128254,5734588486,1144493,10488,3209,380,392089,0 116,2,2024-09-07 10:02:01:761,836899,836899,0,0,40729249,0,4475 116,3,2024-09-07 10:02:00:915,1,662,105,0,448,8675,662,0 117,0,2024-09-07 10:02:00:958,169294,0.7,168876,0.8,338063,0.7,451816,2.00 117,1,2024-09-07 10:02:01:579,1160337,1160337,0,0,544492398378,5702752420,1147317,11340,1680,369,392429,0 117,2,2024-09-07 10:02:01:131,837394,837394,0,0,36832933,0,4303 117,3,2024-09-07 10:02:01:071,1,662,105,0,490,8224,662,0 118,0,2024-09-07 10:02:01:783,159022,0.5,163376,0.7,333320,0.5,435704,2.00 118,1,2024-09-07 10:02:00:588,1159355,1159355,0,0,544014841185,5718688809,1142253,13283,3819,366,392054,0 118,2,2024-09-07 10:02:01:586,836344,836344,0,0,39740536,0,3161 118,3,2024-09-07 10:02:01:766,1,662,15,0,343,8044,662,0 119,0,2024-09-07 10:02:01:357,162032,0.7,162573,0.8,324545,0.8,432870,2.25 119,1,2024-09-07 10:02:00:548,1160478,1160478,0,0,545211584105,5717794669,1144972,12844,2662,367,391857,0 119,2,2024-09-07 10:02:01:281,838075,838075,0,0,36617332,0,4309 119,3,2024-09-07 10:02:01:341,1,662,7,0,1358,11904,662,0 120,0,2024-09-07 10:02:01:584,165653,0.7,165367,0.8,332525,0.7,443595,2.25 120,1,2024-09-07 10:02:00:864,1161409,1161409,0,0,544169398833,5705469575,1149022,11230,1157,367,392144,0 120,2,2024-09-07 10:02:00:780,835949,835946,3,0,43732651,0,5363 120,3,2024-09-07 10:02:01:299,1,662,1,0,478,8466,662,0 121,0,2024-09-07 10:02:01:693,169215,1.2,168681,1.0,337485,1.5,449920,2.25 121,1,2024-09-07 10:02:01:656,1161064,1161064,0,0,545186667310,5704145846,1148739,10481,1844,366,391840,0 121,2,2024-09-07 10:02:01:126,836660,836660,0,0,39918952,0,4157 121,3,2024-09-07 10:02:00:742,1,662,20,0,387,8526,662,0 122,0,2024-09-07 10:02:01:764,166354,0.7,161789,0.8,338686,0.8,445018,2.00 122,1,2024-09-07 10:02:00:902,1160562,1160562,0,0,544755219242,5713094214,1145299,12844,2419,365,392130,0 122,2,2024-09-07 10:02:01:323,837193,837118,75,0,43428831,0,5989 122,3,2024-09-07 10:02:00:595,1,662,16,0,512,10286,662,0 123,0,2024-09-07 10:02:00:964,161669,0.8,157499,0.9,330157,0.9,431475,2.25 123,1,2024-09-07 10:02:00:560,1160968,1160968,0,0,545272263598,5732386229,1142709,15370,2889,369,392039,0 123,2,2024-09-07 10:02:01:018,835965,835964,1,0,37495032,0,5215 123,3,2024-09-07 10:02:01:132,1,662,8,0,478,7414,662,0 124,0,2024-09-07 10:02:00:935,168750,0.4,168816,0.5,318107,0.3,438186,1.75 124,1,2024-09-07 10:02:01:024,1164245,1164245,0,0,546065215737,5677892761,1155461,7551,1233,365,392178,0 124,2,2024-09-07 10:02:01:018,839358,839305,53,0,34908423,0,6487 124,3,2024-09-07 10:02:00:761,1,662,3,0,490,6587,662,0 125,0,2024-09-07 10:02:01:429,169318,0.4,169152,0.6,339028,0.4,451629,1.75 125,1,2024-09-07 10:02:00:874,1161897,1161897,0,0,545610514231,5701817757,1151623,8764,1510,382,392045,0 125,2,2024-09-07 10:02:01:116,839776,839776,0,0,34781193,0,4534 125,3,2024-09-07 10:02:01:129,1,662,1,0,709,7515,662,0 126,0,2024-09-07 10:02:01:424,169227,0.4,173963,0.5,332636,0.3,450758,1.75 126,1,2024-09-07 10:02:00:557,1165209,1165209,0,0,546855669927,5666032098,1158400,6130,679,365,391987,0 126,2,2024-09-07 10:02:00:610,838726,838726,0,0,35734183,0,4539 126,3,2024-09-07 10:02:00:925,1,662,0,0,268,7602,662,0 127,0,2024-09-07 10:02:01:590,162191,0.3,162401,0.5,324098,0.3,431220,1.75 127,1,2024-09-07 10:02:00:578,1163264,1163264,0,0,546321976438,5686213177,1151209,10364,1691,364,392187,0 127,2,2024-09-07 10:02:00:672,838437,838433,4,0,33941400,0,5305 127,3,2024-09-07 10:02:01:275,1,662,7,0,968,6543,662,0 128,0,2024-09-07 10:02:01:538,164030,0.3,163959,0.4,327853,0.2,435976,1.50 128,1,2024-09-07 10:02:01:607,1162789,1162789,0,0,546160976374,5680010566,1153934,7886,969,367,392423,0 128,2,2024-09-07 10:02:01:413,840052,840052,0,0,32275197,0,3171 128,3,2024-09-07 10:02:00:775,1,662,5,0,1082,10049,662,0 129,0,2024-09-07 10:02:01:003,170651,0.3,169908,0.5,340834,0.3,453332,1.50 129,1,2024-09-07 10:02:00:567,1159767,1159767,0,0,545420649554,5722481544,1147050,10388,2329,379,391962,0 129,2,2024-09-07 10:02:00:732,839128,839124,4,0,34747608,0,5335 129,3,2024-09-07 10:02:00:690,1,662,236,0,506,9744,662,0 130,0,2024-09-07 10:02:01:740,169755,0.4,169540,0.6,339372,0.4,452043,1.75 130,1,2024-09-07 10:02:00:593,1164612,1164612,0,0,546602924234,5676445002,1158929,5277,406,381,391825,0 130,2,2024-09-07 10:02:01:130,836618,836618,0,0,34478218,0,4067 130,3,2024-09-07 10:02:01:292,1,662,12,0,960,8615,662,0 131,0,2024-09-07 10:02:01:927,162961,0.3,163502,0.5,327961,0.3,435324,1.50 131,1,2024-09-07 10:02:01:831,1163696,1163696,0,0,545739827839,5688350091,1155690,6804,1202,381,391865,0 131,2,2024-09-07 10:02:00:568,838180,838180,0,0,32337625,0,3979 131,3,2024-09-07 10:02:01:689,1,662,10,0,392,8403,662,0 132,0,2024-09-07 10:02:01:417,163147,0.5,164103,0.6,326512,0.4,434577,2.00 132,1,2024-09-07 10:02:00:576,1159141,1159141,0,0,543682591586,5721430703,1142860,13501,2780,381,392532,0 132,2,2024-09-07 10:02:00:704,837158,837141,17,0,41311320,0,6451 132,3,2024-09-07 10:02:01:688,1,662,4,0,1298,11604,662,0 133,0,2024-09-07 10:02:01:537,163592,0.4,167422,0.6,343457,0.4,447139,2.00 133,1,2024-09-07 10:02:00:617,1159176,1159176,0,0,544668074509,5736906038,1143921,13323,1932,383,391914,0 133,2,2024-09-07 10:02:01:094,838116,838066,50,0,42363680,0,6861 133,3,2024-09-07 10:02:01:299,1,662,2,0,528,7743,662,0 134,0,2024-09-07 10:02:00:957,169717,0.5,169695,0.7,339250,0.5,451884,2.25 134,1,2024-09-07 10:02:00:633,1160054,1160054,0,0,544275617779,5711966543,1144373,12443,3238,366,391781,0 134,2,2024-09-07 10:02:01:761,835721,835582,139,0,39630600,0,7591 134,3,2024-09-07 10:02:00:761,1,662,1,0,739,8360,662,0 135,0,2024-09-07 10:02:01:098,160017,0.7,160041,0.8,339818,0.8,437354,2.25 135,1,2024-09-07 10:02:01:588,1160504,1160504,0,0,545155374978,5731235271,1146217,12436,1851,380,392038,0 135,2,2024-09-07 10:02:00:692,838314,838314,0,0,39981756,0,4503 135,3,2024-09-07 10:02:01:002,1,662,7,0,900,6311,662,0 136,0,2024-09-07 10:02:01:629,162445,0.6,162947,0.8,323671,0.6,431874,2.00 136,1,2024-09-07 10:02:01:444,1161696,1161696,0,0,545186531631,5710427979,1149543,10758,1395,381,392135,0 136,2,2024-09-07 10:02:01:138,839161,839146,15,0,39023086,0,6007 136,3,2024-09-07 10:02:01:106,1,662,5,0,637,7690,662,0 137,0,2024-09-07 10:02:00:930,170303,0.6,166088,0.7,325287,0.7,442480,2.00 137,1,2024-09-07 10:02:00:584,1160618,1160618,0,0,545228206277,5717730174,1142589,14393,3636,366,391898,0 137,2,2024-09-07 10:02:01:728,835881,835881,0,0,40223312,0,3185 137,3,2024-09-07 10:02:00:772,1,662,37,0,484,8966,662,0 138,0,2024-09-07 10:02:01:749,168175,1.0,168494,0.9,337648,1.2,448922,2.25 138,1,2024-09-07 10:02:01:687,1160545,1160545,0,0,545309542597,5721346646,1144306,13601,2638,368,391954,0 138,2,2024-09-07 10:02:00:591,836022,836022,0,0,38849269,0,4988 138,3,2024-09-07 10:02:00:616,1,662,9,0,1200,9967,662,0 139,0,2024-09-07 10:02:01:380,166700,0.9,167252,0.9,334357,1.2,446226,2.25 139,1,2024-09-07 10:02:00:663,1156230,1156230,0,0,541973884610,5743679033,1136806,15537,3887,380,392109,0 139,2,2024-09-07 10:02:00:714,831532,831502,30,0,43962149,0,5997 139,3,2024-09-07 10:02:01:665,1,662,14,0,432,7828,662,0 140,0,2024-09-07 10:02:01:599,162604,0.3,161880,0.5,324923,0.2,433188,1.75 140,1,2024-09-07 10:02:01:536,1168104,1168104,0,0,547558715547,5649432070,1161944,5423,737,364,391628,0 140,2,2024-09-07 10:02:00:687,838161,838160,1,0,32245643,0,5036 140,3,2024-09-07 10:02:00:773,1,662,41,0,575,6820,662,0 141,0,2024-09-07 10:02:01:697,164027,0.3,168568,0.5,322212,0.3,436387,1.75 141,1,2024-09-07 10:02:00:863,1164797,1164797,0,0,547556669931,5692025670,1154839,8572,1386,379,391614,0 141,2,2024-09-07 10:02:01:685,838702,838691,11,0,34580355,0,5369 141,3,2024-09-07 10:02:01:046,1,662,1,0,391,7587,662,0 142,0,2024-09-07 10:02:01:311,171002,0.3,170171,0.5,341013,0.3,454422,1.75 142,1,2024-09-07 10:02:00:609,1162838,1162838,0,0,545929819631,5693587667,1154826,7343,669,382,392102,0 142,2,2024-09-07 10:02:01:300,836724,836692,32,0,34888059,0,6028 142,3,2024-09-07 10:02:01:748,1,662,17,0,484,6890,662,0 143,0,2024-09-07 10:02:01:374,169039,0.4,168773,0.5,338701,0.4,450502,1.75 143,1,2024-09-07 10:02:00:560,1165835,1165835,0,0,547307519668,5679545514,1158227,6706,902,367,391900,0 143,2,2024-09-07 10:02:00:773,838150,838150,0,0,34407935,0,3123 143,3,2024-09-07 10:02:01:140,1,662,8,0,462,7712,662,0 144,0,2024-09-07 10:02:01:495,157414,0.6,162037,0.7,329666,0.5,431486,2.00 144,1,2024-09-07 10:02:00:594,1159808,1159808,0,0,544021113222,5710123057,1148173,9594,2041,381,391733,0 144,2,2024-09-07 10:02:01:755,838767,838767,0,0,34505432,0,4443 144,3,2024-09-07 10:02:01:742,1,662,1,0,306,7188,662,0 145,0,2024-09-07 10:02:01:369,157588,0.6,157590,0.8,334486,0.5,430922,2.25 145,1,2024-09-07 10:02:00:584,1158788,1158788,0,0,544390023190,5730268930,1143069,12807,2912,382,391781,0 145,2,2024-09-07 10:02:01:429,836302,836220,82,0,40217750,0,7814 145,3,2024-09-07 10:02:00:898,1,662,0,0,622,8752,662,0 146,0,2024-09-07 10:02:01:597,168360,0.6,167438,0.8,336687,0.6,447447,2.25 146,1,2024-09-07 10:02:01:585,1161107,1161107,0,0,545127247614,5733003466,1142536,13751,4820,367,391829,0 146,2,2024-09-07 10:02:01:695,835599,835593,6,0,38304966,0,5151 146,3,2024-09-07 10:02:01:277,1,662,1,0,1520,10802,662,0 147,0,2024-09-07 10:02:01:725,169231,0.6,168933,0.7,337890,0.6,451011,2.00 147,1,2024-09-07 10:02:01:374,1164324,1164324,0,0,546483325077,5680560397,1154720,8525,1079,367,391791,0 147,2,2024-09-07 10:02:01:014,835679,835679,0,0,34611999,0,3359 147,3,2024-09-07 10:02:00:924,1,662,14,0,1626,10137,662,0 0,0,2024-09-07 10:02:11:724,162221,0.7,162123,0.8,343919,0.7,444895,2.00 0,1,2024-09-07 10:02:10:832,1163271,1163271,0,0,546010889156,5717964711,1153625,8685,961,368,391896,0 0,2,2024-09-07 10:02:11:095,839025,839025,0,0,34069636,0,4480 0,3,2024-09-07 10:02:10:974,1,663,38,0,431,9125,663,0 1,0,2024-09-07 10:02:11:776,169115,0.8,168125,0.9,337404,1.0,451223,2.00 1,1,2024-09-07 10:02:10:560,1161798,1161798,0,0,545617422863,5718701001,1150445,9389,1964,370,391859,0 1,2,2024-09-07 10:02:10:640,838706,838706,0,0,33529768,0,3380 1,3,2024-09-07 10:02:11:301,1,663,1,0,269,7921,663,0 2,0,2024-09-07 10:02:11:572,166923,0.6,166611,0.7,332951,0.6,443981,2.00 2,1,2024-09-07 10:02:10:865,1165842,1165842,0,0,548110582802,5699725326,1159071,5811,960,379,391805,0 2,2,2024-09-07 10:02:11:268,839673,839673,0,0,31920320,0,3594 2,3,2024-09-07 10:02:10:695,1,663,0,0,357,5630,663,0 3,0,2024-09-07 10:02:11:743,162337,0.4,162304,0.6,324316,0.4,431506,2.00 3,1,2024-09-07 10:02:11:619,1164305,1164305,0,0,546145594629,5682513135,1155844,7743,718,379,391716,0 3,2,2024-09-07 10:02:11:142,839868,839845,23,0,33418600,0,5851 3,3,2024-09-07 10:02:11:752,1,663,8,0,484,5085,663,0 4,0,2024-09-07 10:02:11:771,159657,0.4,164083,0.5,334562,0.3,437654,1.75 4,1,2024-09-07 10:02:10:598,1158753,1158753,0,0,544273007346,5760529746,1138934,15218,4601,369,391992,0 4,2,2024-09-07 10:02:11:023,836284,836284,0,0,40600873,0,4534 4,3,2024-09-07 10:02:11:027,1,663,23,0,448,8815,663,0 5,0,2024-09-07 10:02:11:446,169492,0.4,169302,0.6,338969,0.4,451241,1.75 5,1,2024-09-07 10:02:10:771,1160409,1160409,0,0,544200105475,5744099265,1142857,13317,4235,367,392005,0 5,2,2024-09-07 10:02:11:831,835066,835033,33,0,40759045,0,7631 5,3,2024-09-07 10:02:11:732,1,663,8,0,457,8727,663,0 6,0,2024-09-07 10:02:10:918,169082,0.5,168628,0.6,337358,0.4,449535,2.00 6,1,2024-09-07 10:02:10:748,1163105,1163105,0,0,546470015368,5704914283,1151430,10085,1590,379,391702,0 6,2,2024-09-07 10:02:11:123,838457,838439,18,0,37569377,0,5535 6,3,2024-09-07 10:02:11:278,1,663,1,0,710,7882,663,0 7,0,2024-09-07 10:02:11:541,161546,0.5,162608,0.7,322821,0.5,430800,2.00 7,1,2024-09-07 10:02:10:862,1160970,1160970,0,0,545359825194,5740081738,1143326,13509,4135,382,391747,0 7,2,2024-09-07 10:02:10:783,837793,837624,169,0,38033622,0,7706 7,3,2024-09-07 10:02:10:851,1,663,48,0,552,7691,663,0 8,0,2024-09-07 10:02:11:335,163973,0.4,163582,0.5,327639,0.3,436614,1.75 8,1,2024-09-07 10:02:11:043,1159901,1159901,0,0,545368121266,5731741894,1142217,13957,3727,366,392853,0 8,2,2024-09-07 10:02:10:803,833641,833639,2,0,43324532,0,5112 8,3,2024-09-07 10:02:10:596,1,663,23,0,772,10168,663,0 9,0,2024-09-07 10:02:11:116,169966,0.4,165117,0.5,345587,0.3,454041,1.75 9,1,2024-09-07 10:02:10:556,1159756,1159756,0,0,545663336384,5752649346,1141035,14615,4106,369,392001,0 9,2,2024-09-07 10:02:11:084,836826,836825,1,0,41104586,0,5281 9,3,2024-09-07 10:02:11:768,1,663,1,0,1273,10915,663,0 10,0,2024-09-07 10:02:11:631,169224,0.4,168674,0.5,338256,0.3,450439,1.75 10,1,2024-09-07 10:02:10:591,1161900,1161900,0,0,545070472056,5719538798,1144636,13735,3529,381,391981,0 10,2,2024-09-07 10:02:10:769,837288,837288,0,0,44015787,0,4713 10,3,2024-09-07 10:02:10:877,1,663,5,0,669,7228,663,0 11,0,2024-09-07 10:02:11:013,162913,0.4,158181,0.6,331037,0.4,435526,1.75 11,1,2024-09-07 10:02:10:581,1164285,1164285,0,0,545837773994,5723980249,1147750,12227,4308,383,391766,0 11,2,2024-09-07 10:02:11:129,837792,837792,0,0,38075011,0,4698 11,3,2024-09-07 10:02:11:297,1,663,10,0,843,8260,663,0 12,0,2024-09-07 10:02:10:968,164264,0.4,164052,0.5,327604,0.3,435701,1.75 12,1,2024-09-07 10:02:10:949,1163167,1163167,0,0,545970389627,5696357642,1153655,8487,1025,370,391960,0 12,2,2024-09-07 10:02:11:553,837476,837476,0,0,38181622,0,4390 12,3,2024-09-07 10:02:11:076,1,663,19,0,386,8350,663,0 13,0,2024-09-07 10:02:11:344,168968,0.4,169219,0.6,337603,0.4,449769,1.75 13,1,2024-09-07 10:02:11:531,1160649,1160649,0,0,545572769346,5738698798,1148157,9657,2835,382,391803,0 13,2,2024-09-07 10:02:10:599,840257,840257,0,0,34346929,0,3287 13,3,2024-09-07 10:02:11:764,1,663,1,0,522,8876,663,0 14,0,2024-09-07 10:02:10:563,169192,0.4,170242,0.6,338489,0.4,450509,1.75 14,1,2024-09-07 10:02:11:563,1168850,1168850,0,0,548853529983,5678925427,1159822,7894,1134,364,391673,0 14,2,2024-09-07 10:02:10:769,837801,837771,30,0,35253053,0,6104 14,3,2024-09-07 10:02:11:122,1,663,6,0,1168,6996,663,0 15,0,2024-09-07 10:02:11:563,165327,0.4,164527,0.6,329325,0.3,439013,1.75 15,1,2024-09-07 10:02:11:611,1165264,1165264,0,0,547177278212,5700948524,1155116,8139,2009,381,391619,0 15,2,2024-09-07 10:02:10:998,840496,840496,0,0,31478889,0,3622 15,3,2024-09-07 10:02:11:405,1,663,12,0,1126,8463,663,0 16,0,2024-09-07 10:02:10:940,162088,0.6,162854,0.8,324710,0.6,432170,2.00 16,1,2024-09-07 10:02:10:582,1164573,1164573,0,0,546153504231,5711187679,1153957,9074,1542,370,392194,0 16,2,2024-09-07 10:02:11:437,838260,838260,0,0,35069973,0,4719 16,3,2024-09-07 10:02:11:142,1,663,19,0,362,8389,663,0 17,0,2024-09-07 10:02:11:761,170787,0.7,166506,0.8,326045,0.7,443891,2.00 17,1,2024-09-07 10:02:10:574,1162934,1162934,0,0,545645146059,5721431805,1151930,9210,1794,368,392075,0 17,2,2024-09-07 10:02:11:667,840915,840914,1,0,36259057,0,5050 17,3,2024-09-07 10:02:10:575,1,663,1,0,518,9537,663,0 18,0,2024-09-07 10:02:10:942,167499,0.7,168632,0.8,335591,0.7,448075,2.25 18,1,2024-09-07 10:02:11:638,1167386,1167386,0,0,547186058813,5677809495,1159283,6673,1430,367,391725,0 18,2,2024-09-07 10:02:11:762,840677,840677,0,0,32655145,0,3541 18,3,2024-09-07 10:02:10:900,1,663,2,0,1059,5976,663,0 19,0,2024-09-07 10:02:11:538,167360,0.6,167889,0.8,334579,0.7,444476,2.00 19,1,2024-09-07 10:02:10:570,1166659,1166659,0,0,548057758518,5681249219,1157818,7681,1160,365,391777,0 19,2,2024-09-07 10:02:11:751,841494,841494,0,0,30314006,0,3988 19,3,2024-09-07 10:02:11:138,1,663,2,0,524,5296,663,0 20,0,2024-09-07 10:02:11:352,162302,0.5,162229,0.7,324295,0.5,432663,2.00 20,1,2024-09-07 10:02:10:599,1162485,1162485,0,0,545720541411,5721187147,1150304,10477,1704,369,391922,0 20,2,2024-09-07 10:02:10:939,838285,838285,0,0,38327571,0,4321 20,3,2024-09-07 10:02:10:596,1,663,22,0,468,11385,663,0 21,0,2024-09-07 10:02:11:188,164054,0.5,164122,0.7,328145,0.5,435958,2.00 21,1,2024-09-07 10:02:11:550,1160672,1160672,0,0,544333002163,5746690988,1142143,14554,3975,368,392016,0 21,2,2024-09-07 10:02:11:082,832758,832281,477,0,49158056,0,17074 21,3,2024-09-07 10:02:11:405,1,663,0,0,713,8943,663,0 22,0,2024-09-07 10:02:11:717,170049,0.5,170662,0.7,340991,0.4,452315,2.25 22,1,2024-09-07 10:02:11:087,1161160,1161160,0,0,545410748026,5739297363,1142261,15296,3603,381,391822,0 22,2,2024-09-07 10:02:10:759,837162,837136,26,0,36745939,0,6328 22,3,2024-09-07 10:02:11:067,1,663,1,0,228,5485,663,0 23,0,2024-09-07 10:02:11:374,168805,0.5,168666,0.7,337153,0.4,449661,2.00 23,1,2024-09-07 10:02:11:013,1162722,1162722,0,0,546643550712,5756618244,1141914,14024,6784,365,391690,0 23,2,2024-09-07 10:02:11:094,838575,838575,0,0,34514861,0,3773 23,3,2024-09-07 10:02:11:755,1,663,8,0,855,9540,663,0 24,0,2024-09-07 10:02:10:835,163555,0.4,162578,0.5,326863,0.3,434164,1.75 24,1,2024-09-07 10:02:10:608,1162113,1162113,0,0,545352195996,5706831503,1151425,8910,1778,367,392269,0 24,2,2024-09-07 10:02:11:077,838474,838471,3,0,41172038,0,6294 24,3,2024-09-07 10:02:11:689,1,663,1,0,468,8496,663,0 25,0,2024-09-07 10:02:11:345,167817,0.4,163163,0.6,320998,0.4,437499,2.00 25,1,2024-09-07 10:02:10:561,1160289,1160289,0,0,544532833190,5750808675,1140446,15931,3912,369,391928,0 25,2,2024-09-07 10:02:11:608,837150,837150,0,0,42309695,0,3978 25,3,2024-09-07 10:02:11:008,1,663,14,0,532,7382,663,0 26,0,2024-09-07 10:02:11:737,167864,0.4,164117,0.6,344348,0.3,449009,1.75 26,1,2024-09-07 10:02:11:595,1163701,1163701,0,0,546475538316,5721019075,1148207,12593,2901,380,391758,0 26,2,2024-09-07 10:02:10:861,838134,838134,0,0,44320687,0,4689 26,3,2024-09-07 10:02:11:712,1,663,6,0,796,8202,663,0 27,0,2024-09-07 10:02:11:734,169370,0.4,170151,0.6,338200,0.4,451443,2.25 27,1,2024-09-07 10:02:11:677,1164941,1164941,0,0,547755802903,5706756032,1153970,9353,1618,381,391626,0 27,2,2024-09-07 10:02:10:869,833864,833799,65,0,38768063,0,5699 27,3,2024-09-07 10:02:11:015,1,663,13,0,564,5633,663,0 28,0,2024-09-07 10:02:11:387,164272,0.4,164525,0.6,329051,0.3,437853,1.75 28,1,2024-09-07 10:02:10:798,1166115,1166115,0,0,548075658656,5713679232,1156420,7777,1918,382,391904,0 28,2,2024-09-07 10:02:11:772,839193,839193,0,0,34631675,0,2915 28,3,2024-09-07 10:02:11:791,1,663,0,0,502,6978,663,0 29,0,2024-09-07 10:02:11:353,167253,0.4,162881,0.6,319444,0.3,435904,1.75 29,1,2024-09-07 10:02:11:567,1168638,1168638,0,0,548894136311,5679203940,1161180,6438,1020,367,391809,0 29,2,2024-09-07 10:02:10:865,838935,838935,0,0,33436820,0,4986 29,3,2024-09-07 10:02:10:969,1,663,1,0,459,6940,663,0 30,0,2024-09-07 10:02:11:482,166070,0.5,161615,0.7,338767,0.5,443196,2.00 30,1,2024-09-07 10:02:10:584,1167427,1167427,0,0,548991269203,5698906484,1158388,7953,1086,380,391672,0 30,2,2024-09-07 10:02:11:278,839988,839988,0,0,32013145,0,4192 30,3,2024-09-07 10:02:10:585,1,663,1,0,519,6847,663,0 31,0,2024-09-07 10:02:11:759,168905,0.5,169635,0.7,338323,0.4,451267,2.00 31,1,2024-09-07 10:02:10:565,1171642,1171642,0,0,549161287332,5640572116,1164334,6119,1189,356,391712,0 31,2,2024-09-07 10:02:11:277,837510,837510,0,0,36100020,0,4470 31,3,2024-09-07 10:02:11:708,1,663,8,0,239,5741,663,0 32,0,2024-09-07 10:02:11:422,167051,0.3,168132,0.5,334727,0.2,445401,1.75 32,1,2024-09-07 10:02:10:804,1166850,1166850,0,0,548053501159,5698382390,1159427,6460,963,381,391646,0 32,2,2024-09-07 10:02:10:941,841174,841174,0,0,31431912,0,3922 32,3,2024-09-07 10:02:11:015,1,663,1,0,304,5625,663,0 33,0,2024-09-07 10:02:11:495,163071,0.3,162631,0.4,325341,0.2,432749,1.50 33,1,2024-09-07 10:02:10:586,1168394,1168394,0,0,548660298161,5682990005,1159167,7876,1351,368,391730,0 33,2,2024-09-07 10:02:10:765,839322,839287,35,0,35014620,0,7012 33,3,2024-09-07 10:02:10:897,1,663,1,0,329,6131,663,0 34,0,2024-09-07 10:02:10:932,164825,0.3,169350,0.5,323923,0.2,437630,1.75 34,1,2024-09-07 10:02:11:044,1170268,1170268,0,0,549987513219,5660836341,1166308,3751,209,367,391637,0 34,2,2024-09-07 10:02:10:778,839795,839795,0,0,33039988,0,4562 34,3,2024-09-07 10:02:11:689,1,663,1,0,541,5857,663,0 35,0,2024-09-07 10:02:10:874,168933,0.3,169459,0.5,339949,0.3,452206,1.75 35,1,2024-09-07 10:02:11:069,1166276,1166276,0,0,547435923358,5682113977,1157394,7330,1552,382,391769,0 35,2,2024-09-07 10:02:11:585,839075,839075,0,0,37917918,0,4055 35,3,2024-09-07 10:02:10:911,1,663,8,0,466,6426,663,0 36,0,2024-09-07 10:02:11:521,169579,0.5,169706,0.6,339031,0.4,451281,2.00 36,1,2024-09-07 10:02:10:587,1164452,1164452,0,0,546657994477,5707624475,1150086,12026,2340,366,391759,0 36,2,2024-09-07 10:02:11:756,838792,838792,0,0,37941210,0,3875 36,3,2024-09-07 10:02:10:869,1,663,1,0,556,8695,663,0 37,0,2024-09-07 10:02:11:416,161508,0.5,161560,0.7,323211,0.5,431409,2.25 37,1,2024-09-07 10:02:10:580,1164145,1164138,0,7,546170535082,5706354542,1150059,10966,3113,365,391770,0 37,2,2024-09-07 10:02:11:141,836727,836712,15,0,37718365,0,5815 37,3,2024-09-07 10:02:11:776,1,663,1,0,888,9118,663,0 38,0,2024-09-07 10:02:11:435,162803,0.5,157776,0.7,329967,0.4,432615,2.00 38,1,2024-09-07 10:02:11:610,1163883,1163883,0,0,547265433816,5722395508,1147196,13383,3304,368,391821,0 38,2,2024-09-07 10:02:10:764,839069,839022,47,0,38336905,0,6710 38,3,2024-09-07 10:02:11:001,1,663,46,0,689,7825,663,0 39,0,2024-09-07 10:02:11:797,173358,0.5,169497,0.7,330444,0.5,451309,2.00 39,1,2024-09-07 10:02:10:725,1163391,1163391,0,0,545715949285,5722289154,1144295,14891,4205,365,391865,0 39,2,2024-09-07 10:02:11:417,838273,838273,0,0,36406135,0,3391 39,3,2024-09-07 10:02:10:719,1,663,8,0,525,7429,663,0 40,0,2024-09-07 10:02:11:491,167733,0.8,168436,0.9,336179,0.9,449152,2.75 40,1,2024-09-07 10:02:10:576,1163723,1163723,0,0,545324234536,5713285211,1146253,14175,3295,366,391668,0 40,2,2024-09-07 10:02:11:304,834690,834683,7,0,42184167,0,5347 40,3,2024-09-07 10:02:11:150,1,663,2,0,1028,9393,663,0 41,0,2024-09-07 10:02:11:022,162270,1.2,166144,1.1,316791,1.6,430133,3.00 41,1,2024-09-07 10:02:10:773,1163119,1163119,0,0,546498376242,5714037041,1148452,12365,2302,369,391878,0 41,2,2024-09-07 10:02:10:759,835163,835162,1,0,40841134,0,5408 41,3,2024-09-07 10:02:11:676,1,663,0,0,366,7024,663,0 42,0,2024-09-07 10:02:11:476,161941,0.9,161663,1.0,323577,1.0,429472,2.50 42,1,2024-09-07 10:02:11:448,1161405,1161405,0,0,544987185212,5721707816,1144200,14249,2956,380,391675,0 42,2,2024-09-07 10:02:11:135,836285,836284,1,0,42259608,0,5513 42,3,2024-09-07 10:02:11:009,1,663,1,0,892,6803,663,0 43,0,2024-09-07 10:02:10:919,166512,0.7,162079,0.9,339585,0.8,444621,2.25 43,1,2024-09-07 10:02:10:588,1164047,1164047,0,0,547107619817,5715385086,1148243,13057,2747,366,391696,0 43,2,2024-09-07 10:02:11:736,839383,839383,0,0,38834847,0,4723 43,3,2024-09-07 10:02:11:752,1,663,8,0,571,9170,663,0 44,0,2024-09-07 10:02:10:867,169472,0.4,169746,0.6,338592,0.4,451465,2.00 44,1,2024-09-07 10:02:10:565,1167377,1167377,0,0,547823834443,5664175204,1156990,8314,2073,356,391809,0 44,2,2024-09-07 10:02:11:268,836061,836061,0,0,31882544,0,4344 44,3,2024-09-07 10:02:11:093,1,663,1,0,1097,8358,663,0 45,0,2024-09-07 10:02:11:757,163512,0.5,159693,0.7,335241,0.4,439042,2.00 45,1,2024-09-07 10:02:11:010,1166234,1166234,0,0,546875785155,5684495693,1157099,7914,1221,382,391917,0 45,2,2024-09-07 10:02:11:267,839511,839511,0,0,31956976,0,3596 45,3,2024-09-07 10:02:10:936,1,663,3,0,531,6198,663,0 46,0,2024-09-07 10:02:10:959,161317,0.5,160828,0.7,322687,0.5,428906,2.00 46,1,2024-09-07 10:02:10:578,1168685,1168685,0,0,548131632233,5667825554,1160548,7086,1051,366,391709,0 46,2,2024-09-07 10:02:10:598,840097,840097,0,0,33486956,0,4443 46,3,2024-09-07 10:02:11:132,1,663,1,0,908,7551,663,0 47,0,2024-09-07 10:02:11:106,166315,0.4,165927,0.6,333241,0.4,442291,2.00 47,1,2024-09-07 10:02:10:567,1169809,1169809,0,0,548620185852,5670223435,1162463,6333,1013,364,391641,0 47,2,2024-09-07 10:02:10:912,841518,841518,0,0,33412143,0,4477 47,3,2024-09-07 10:02:11:126,1,663,22,0,600,7178,663,0 48,0,2024-09-07 10:02:11:488,169591,0.3,170100,0.4,339050,0.2,451023,1.50 48,1,2024-09-07 10:02:11:022,1166927,1166927,0,0,547593238856,5690948460,1159351,6564,1012,381,391710,0 48,2,2024-09-07 10:02:10:705,839176,839176,0,0,30971228,0,3619 48,3,2024-09-07 10:02:10:765,1,663,1,0,339,5885,663,0 49,0,2024-09-07 10:02:11:710,172870,0.3,169287,0.5,329420,0.3,448976,1.75 49,1,2024-09-07 10:02:11:024,1166109,1166109,0,0,547582674362,5696243757,1158256,6156,1697,382,391809,0 49,2,2024-09-07 10:02:11:801,840014,840014,0,0,32403000,0,4426 49,3,2024-09-07 10:02:11:417,1,663,1,0,992,7898,663,0 50,0,2024-09-07 10:02:11:510,162858,0.3,161526,0.5,325310,0.2,432434,1.75 50,1,2024-09-07 10:02:11:010,1169081,1169081,0,0,549065246149,5677263462,1161630,6720,731,368,391691,0 50,2,2024-09-07 10:02:11:067,837625,837625,0,0,30214699,0,4490 50,3,2024-09-07 10:02:11:294,1,663,2,0,617,6667,663,0 51,0,2024-09-07 10:02:11:686,168521,0.3,164886,0.5,321050,0.2,438277,1.75 51,1,2024-09-07 10:02:11:681,1169089,1169089,0,0,550365973147,5676217755,1162450,5297,1342,365,391706,0 51,2,2024-09-07 10:02:11:314,839327,839327,0,0,29916529,0,3337 51,3,2024-09-07 10:02:11:027,1,663,8,0,678,5313,663,0 52,0,2024-09-07 10:02:11:411,170156,0.5,170209,0.7,340027,0.4,452103,2.00 52,1,2024-09-07 10:02:10:581,1164079,1164079,0,0,546788261386,5722037071,1147748,13937,2394,368,391805,0 52,2,2024-09-07 10:02:11:761,834750,834712,38,0,41350870,0,6742 52,3,2024-09-07 10:02:10:675,1,663,36,0,1782,7789,663,0 53,0,2024-09-07 10:02:11:735,168521,0.6,163626,0.8,342251,0.7,448278,2.25 53,1,2024-09-07 10:02:10:774,1162314,1162314,0,0,546324434562,5728551134,1143473,14433,4408,367,391968,0 53,2,2024-09-07 10:02:11:303,838364,838226,138,0,36824834,0,7690 53,3,2024-09-07 10:02:10:701,1,663,0,0,308,6030,663,0 54,0,2024-09-07 10:02:11:619,160671,0.6,161090,0.7,320893,0.5,428328,2.25 54,1,2024-09-07 10:02:10:581,1165451,1165451,0,0,547728122075,5693810600,1153967,9811,1673,366,391810,0 54,2,2024-09-07 10:02:10:871,838814,838782,32,0,40412667,0,6397 54,3,2024-09-07 10:02:10:778,1,663,1,0,676,8313,663,0 55,0,2024-09-07 10:02:11:764,157605,0.7,162500,0.8,329720,0.6,429876,2.50 55,1,2024-09-07 10:02:10:764,1166217,1166217,0,0,546707143572,5686329401,1154227,10504,1486,365,391731,0 55,2,2024-09-07 10:02:10:735,837708,837652,56,0,37489527,0,7239 55,3,2024-09-07 10:02:10:674,1,663,8,0,304,6111,663,0 56,0,2024-09-07 10:02:11:560,171976,1.1,162113,1.1,334066,1.4,447221,2.75 56,1,2024-09-07 10:02:10:574,1159072,1159072,0,0,544227109648,5753274655,1140085,15235,3752,381,391867,0 56,2,2024-09-07 10:02:11:303,837241,837119,122,0,39637662,0,7432 56,3,2024-09-07 10:02:11:076,1,663,1,0,705,8078,663,0 57,0,2024-09-07 10:02:10:943,167450,1.4,167400,1.2,334775,1.9,447570,3.00 57,1,2024-09-07 10:02:10:986,1161937,1161937,0,0,545125976041,5719910767,1146452,12795,2690,366,392032,0 57,2,2024-09-07 10:02:11:325,836010,836010,0,0,41771172,0,4804 57,3,2024-09-07 10:02:11:740,1,663,0,0,455,7252,663,0 58,0,2024-09-07 10:02:10:562,161810,0.9,157157,1.0,328924,1.1,430255,2.50 58,1,2024-09-07 10:02:10:589,1162700,1162697,0,3,546489412248,5729369507,1144859,13521,4317,367,391726,3 58,2,2024-09-07 10:02:11:084,838974,838974,0,0,38507107,0,3483 58,3,2024-09-07 10:02:11:068,1,663,9,0,1043,7304,663,0 59,0,2024-09-07 10:02:11:744,161301,0.8,160660,1.0,321318,0.9,426807,2.75 59,1,2024-09-07 10:02:10:811,1162684,1162684,0,0,545457937818,5730050715,1143703,15146,3835,369,391653,0 59,2,2024-09-07 10:02:10:593,838688,838688,0,0,36624717,0,3727 59,3,2024-09-07 10:02:11:737,1,663,8,0,1015,7895,663,0 60,0,2024-09-07 10:02:11:709,166922,0.5,166928,0.7,334084,0.5,445626,1.75 60,1,2024-09-07 10:02:10:784,1166442,1166442,0,0,548047565130,5705254709,1156257,8780,1405,370,392031,0 60,2,2024-09-07 10:02:11:145,839342,839342,0,0,35208251,0,3811 60,3,2024-09-07 10:02:11:258,1,663,20,0,409,7607,663,0 61,0,2024-09-07 10:02:11:507,169072,0.6,169785,0.8,337959,0.6,450902,2.00 61,1,2024-09-07 10:02:10:780,1163876,1163876,0,0,546359597213,5720552309,1151635,10465,1776,382,392127,0 61,2,2024-09-07 10:02:11:125,838395,838328,67,0,36013100,0,6411 61,3,2024-09-07 10:02:11:699,1,663,2,0,607,8390,663,0 62,0,2024-09-07 10:02:11:708,167584,0.6,171886,0.7,328124,0.5,445322,2.00 62,1,2024-09-07 10:02:11:114,1170884,1170878,0,6,549237765934,5667590035,1164347,6057,474,365,391975,6 62,2,2024-09-07 10:02:11:646,836822,836821,1,0,35473172,0,5555 62,3,2024-09-07 10:02:11:151,1,663,20,0,482,5320,663,0 63,0,2024-09-07 10:02:11:451,163016,0.4,162687,0.6,326198,0.3,432947,1.75 63,1,2024-09-07 10:02:10:811,1167347,1167341,0,6,548293267924,5694766051,1159753,6660,928,381,391800,6 63,2,2024-09-07 10:02:10:783,838351,838351,0,0,34509816,0,4369 63,3,2024-09-07 10:02:11:737,1,663,8,0,667,7164,663,0 64,0,2024-09-07 10:02:11:542,163859,0.5,163687,0.7,327083,0.5,436121,2.00 64,1,2024-09-07 10:02:10:755,1165502,1165502,0,0,547925250566,5706245752,1155316,8160,2026,370,391794,0 64,2,2024-09-07 10:02:11:150,842175,842156,19,0,33770665,0,6121 64,3,2024-09-07 10:02:11:150,1,663,9,0,651,7587,663,0 65,0,2024-09-07 10:02:11:692,168111,0.6,168434,0.7,336367,0.6,448523,2.00 65,1,2024-09-07 10:02:10:875,1164039,1164039,0,0,545789683858,5705005156,1155883,7259,897,381,391901,0 65,2,2024-09-07 10:02:11:694,837293,837293,0,0,39921175,0,3367 65,3,2024-09-07 10:02:11:683,1,663,1,0,782,7960,663,0 66,0,2024-09-07 10:02:11:787,168817,0.5,168154,0.7,336591,0.5,447965,2.00 66,1,2024-09-07 10:02:11:299,1165928,1165928,0,0,547387616408,5697073493,1158583,6473,872,380,391743,0 66,2,2024-09-07 10:02:11:132,841060,841057,3,0,35082603,0,5455 66,3,2024-09-07 10:02:11:088,1,663,1,0,291,6014,663,0 67,0,2024-09-07 10:02:11:417,162152,0.5,161724,0.7,324280,0.5,432002,2.00 67,1,2024-09-07 10:02:10:774,1165998,1165997,0,1,546587489754,5693401947,1157577,7280,1140,380,391787,1 67,2,2024-09-07 10:02:10:585,841605,841590,15,0,35327529,0,6205 67,3,2024-09-07 10:02:11:750,1,663,7,0,595,7141,663,0 68,0,2024-09-07 10:02:10:578,163633,0.6,163377,0.8,325539,0.6,435691,2.25 68,1,2024-09-07 10:02:10:578,1161555,1161555,0,0,545000873605,5735574611,1145195,12096,4264,381,391953,0 68,2,2024-09-07 10:02:11:049,834736,834636,100,0,43593666,0,8578 68,3,2024-09-07 10:02:10:735,1,663,3,0,417,8841,663,0 69,0,2024-09-07 10:02:11:735,169204,0.7,169850,0.8,338521,0.7,451169,2.25 69,1,2024-09-07 10:02:11:020,1160826,1160826,0,0,544612003500,5738017970,1146136,11709,2981,383,391994,0 69,2,2024-09-07 10:02:11:734,835548,835519,29,0,46691400,0,6912 69,3,2024-09-07 10:02:10:765,1,663,1,0,698,9540,663,0 70,0,2024-09-07 10:02:11:538,167416,0.8,168184,0.9,337251,0.7,447455,2.50 70,1,2024-09-07 10:02:10:815,1167058,1167058,0,0,548546938937,5694453254,1157686,8327,1045,366,391725,0 70,2,2024-09-07 10:02:11:324,837420,837420,0,0,38040349,0,4323 70,3,2024-09-07 10:02:10:749,1,663,21,0,854,7482,663,0 71,0,2024-09-07 10:02:11:358,162049,0.9,161648,1.0,324611,1.0,432332,2.50 71,1,2024-09-07 10:02:11:596,1165245,1165245,0,0,546848203022,5706181329,1151312,12307,1626,367,391738,0 71,2,2024-09-07 10:02:11:067,838009,838009,0,0,38119141,0,4352 71,3,2024-09-07 10:02:11:752,1,663,12,0,644,7367,663,0 72,0,2024-09-07 10:02:11:047,168289,0.5,164358,0.7,321061,0.5,436620,2.00 72,1,2024-09-07 10:02:11:021,1163523,1163523,0,0,546050733795,5724087656,1147310,13525,2688,369,391819,0 72,2,2024-09-07 10:02:11:756,837254,837254,0,0,39918439,0,3983 72,3,2024-09-07 10:02:11:765,1,663,7,0,564,9393,663,0 73,0,2024-09-07 10:02:11:103,163868,0.4,168065,0.6,343307,0.4,447459,2.00 73,1,2024-09-07 10:02:10:771,1165189,1165189,0,0,546958114591,5684781158,1156283,7919,987,367,391858,0 73,2,2024-09-07 10:02:11:739,838022,838021,1,0,41609234,0,5027 73,3,2024-09-07 10:02:10:973,1,663,1,0,1091,9045,663,0 74,0,2024-09-07 10:02:11:337,170332,0.5,174382,0.7,332918,0.4,451787,2.00 74,1,2024-09-07 10:02:10:642,1163844,1163844,0,0,546129081193,5698110271,1151727,9977,2140,381,391762,0 74,2,2024-09-07 10:02:11:002,836037,836037,0,0,36525760,0,4253 74,3,2024-09-07 10:02:11:447,1,663,0,0,522,7688,663,0 75,0,2024-09-07 10:02:11:768,165449,0.5,164450,0.7,330103,0.5,440377,2.25 75,1,2024-09-07 10:02:11:597,1165435,1165435,0,0,546874104345,5698153033,1155920,8583,932,380,391739,0 75,2,2024-09-07 10:02:11:352,837582,837582,0,0,43465725,0,4766 75,3,2024-09-07 10:02:11:072,1,663,8,0,918,9131,663,0 76,0,2024-09-07 10:02:10:596,161767,0.5,161109,0.7,322002,0.5,430606,2.25 76,1,2024-09-07 10:02:10:812,1164831,1164831,0,0,546365604019,5699817026,1156404,7123,1304,382,391790,0 76,2,2024-09-07 10:02:11:077,841267,841264,3,0,36226432,0,5265 76,3,2024-09-07 10:02:11:144,1,663,1,0,249,6242,663,0 77,0,2024-09-07 10:02:11:733,165207,0.6,165694,0.7,331576,0.5,441278,2.00 77,1,2024-09-07 10:02:10:835,1164793,1164793,0,0,546326912414,5703765010,1155301,8437,1055,381,391869,0 77,2,2024-09-07 10:02:11:281,837925,837925,0,0,35157346,0,3890 77,3,2024-09-07 10:02:11:103,1,663,1,0,401,7698,663,0 78,0,2024-09-07 10:02:11:717,169867,0.4,169185,0.6,339572,0.4,449029,2.00 78,1,2024-09-07 10:02:10:610,1164161,1164161,0,0,546241330703,5702284570,1149251,11674,3236,367,391670,0 78,2,2024-09-07 10:02:11:406,839253,839240,13,0,32995972,0,8313 78,3,2024-09-07 10:02:11:135,1,663,2,0,311,5639,663,0 79,0,2024-09-07 10:02:11:353,162391,0.4,166388,0.6,340856,0.3,443543,2.00 79,1,2024-09-07 10:02:10:571,1168240,1168240,0,0,548346063657,5681808884,1158443,7997,1800,367,391682,0 79,2,2024-09-07 10:02:11:079,839666,839666,0,0,31883896,0,4195 79,3,2024-09-07 10:02:10:749,1,663,13,0,418,7513,663,0 80,0,2024-09-07 10:02:11:088,162517,0.5,166877,0.7,318762,0.5,431629,2.00 80,1,2024-09-07 10:02:11:623,1164636,1164636,0,0,547168247903,5698025269,1156923,7165,548,368,392269,0 80,2,2024-09-07 10:02:11:094,841341,841341,0,0,32806119,0,4433 80,3,2024-09-07 10:02:10:575,1,663,3,0,681,7973,663,0 81,0,2024-09-07 10:02:11:585,163992,0.6,167787,0.7,320204,0.6,435145,2.00 81,1,2024-09-07 10:02:11:663,1163613,1163613,0,0,545497431663,5701177951,1154306,8336,971,382,392001,0 81,2,2024-09-07 10:02:11:136,838215,838152,63,0,36221628,0,5932 81,3,2024-09-07 10:02:11:122,1,663,1,0,719,7308,663,0 82,0,2024-09-07 10:02:11:533,170214,0.5,170309,0.7,341112,0.5,452592,2.00 82,1,2024-09-07 10:02:10:593,1165736,1165732,0,4,547544739361,5696674385,1158893,5716,1123,381,391768,4 82,2,2024-09-07 10:02:11:693,841007,841007,0,0,30539953,0,4484 82,3,2024-09-07 10:02:11:752,1,663,1,0,363,6064,663,0 83,0,2024-09-07 10:02:11:528,169213,0.6,169124,0.7,337815,0.5,448887,2.25 83,1,2024-09-07 10:02:10:556,1164574,1164574,0,0,546272663649,5697616942,1155707,7842,1025,382,391709,0 83,2,2024-09-07 10:02:10:770,838495,838470,25,0,32960581,0,5612 83,3,2024-09-07 10:02:10:758,1,663,9,0,1260,7844,663,0 84,0,2024-09-07 10:02:11:763,161065,0.7,161123,0.9,322361,0.7,430290,2.25 84,1,2024-09-07 10:02:11:039,1162836,1162836,0,0,545979942508,5711208629,1149563,11385,1888,367,391967,0 84,2,2024-09-07 10:02:10:571,836952,836542,410,0,47010235,0,17037 84,3,2024-09-07 10:02:11:143,1,663,142,0,908,9242,663,0 85,0,2024-09-07 10:02:11:014,156811,0.6,156839,0.8,332933,0.6,429698,2.25 85,1,2024-09-07 10:02:10:561,1159829,1159829,0,0,544813628485,5750268577,1142331,14569,2929,381,392092,0 85,2,2024-09-07 10:02:10:869,838346,838346,0,0,40467934,0,4255 85,3,2024-09-07 10:02:10:693,1,663,4,0,789,7655,663,0 86,0,2024-09-07 10:02:10:877,168157,0.7,173038,0.8,330900,0.7,447483,2.25 86,1,2024-09-07 10:02:10:826,1161935,1161935,0,0,545608248991,5728626590,1145075,13578,3282,366,392169,0 86,2,2024-09-07 10:02:10:869,837632,837631,1,0,42849020,0,5004 86,3,2024-09-07 10:02:10:593,1,663,5,0,308,8966,663,0 87,0,2024-09-07 10:02:11:283,169768,0.8,168609,0.8,337758,0.9,451479,2.25 87,1,2024-09-07 10:02:10:556,1162073,1162073,0,0,545985271014,5717834691,1147946,12290,1837,366,392076,0 87,2,2024-09-07 10:02:11:069,835657,835651,6,0,39739016,0,6323 87,3,2024-09-07 10:02:11:803,1,663,115,0,473,9278,663,0 88,0,2024-09-07 10:02:11:440,164088,0.4,164603,0.6,328980,0.4,437734,1.75 88,1,2024-09-07 10:02:10:575,1161253,1161253,0,0,545316743102,5714097800,1144997,13134,3122,365,392084,0 88,2,2024-09-07 10:02:10:692,838421,838421,0,0,41098843,0,4465 88,3,2024-09-07 10:02:11:267,1,663,19,0,1080,10480,663,0 89,0,2024-09-07 10:02:11:859,167187,0.4,162200,0.6,319897,0.4,435228,1.75 89,1,2024-09-07 10:02:10:558,1160666,1160666,0,0,545865965541,5738695396,1146658,11819,2189,382,391866,0 89,2,2024-09-07 10:02:11:132,838410,838410,0,0,39239390,0,3173 89,3,2024-09-07 10:02:11:819,1,663,94,0,468,10724,663,0 90,0,2024-09-07 10:02:11:622,161879,0.4,166150,0.6,339426,0.4,443256,2.00 90,1,2024-09-07 10:02:10:603,1162434,1162434,0,0,545040777021,5707993365,1151177,10205,1052,380,391825,0 90,2,2024-09-07 10:02:11:405,834809,834804,5,0,42290041,0,6370 90,3,2024-09-07 10:02:10:941,1,663,0,0,364,8800,663,0 91,0,2024-09-07 10:02:10:956,169707,0.5,164625,0.6,344516,0.5,451764,1.75 91,1,2024-09-07 10:02:10:572,1159696,1159696,0,0,545164123281,5746897603,1142019,13716,3961,381,392047,0 91,2,2024-09-07 10:02:11:341,837072,837072,0,0,37730719,0,4713 91,3,2024-09-07 10:02:10:616,1,663,11,0,231,6293,663,0 92,0,2024-09-07 10:02:11:469,168560,0.5,172389,0.6,328852,0.4,445613,1.75 92,1,2024-09-07 10:02:10:583,1163934,1163934,0,0,546705508302,5711072534,1154755,7872,1307,381,392136,0 92,2,2024-09-07 10:02:11:353,838542,838542,0,0,33955807,0,3906 92,3,2024-09-07 10:02:11:013,1,663,2,0,167,5505,663,0 93,0,2024-09-07 10:02:10:989,163542,0.4,167494,0.6,319948,0.3,432950,1.75 93,1,2024-09-07 10:02:10:805,1164523,1164523,0,0,546380046353,5710079348,1150619,11472,2432,365,392048,0 93,2,2024-09-07 10:02:10:947,838350,838350,0,0,39719931,0,4913 93,3,2024-09-07 10:02:11:406,1,663,1,0,505,7430,663,0 94,0,2024-09-07 10:02:11:603,163851,0.4,164946,0.6,330057,0.3,438426,1.75 94,1,2024-09-07 10:02:10:571,1165087,1165087,0,0,547254697093,5707185882,1157363,7234,490,381,391850,0 94,2,2024-09-07 10:02:10:766,839139,839111,28,0,34412620,0,6179 94,3,2024-09-07 10:02:11:693,1,663,2,0,576,8239,663,0 95,0,2024-09-07 10:02:11:343,169438,0.4,169335,0.6,338974,0.3,451449,1.75 95,1,2024-09-07 10:02:10:858,1166071,1166071,0,0,547508237352,5689748726,1157030,8276,765,365,391852,0 95,2,2024-09-07 10:02:11:019,837629,837629,0,0,34187559,0,3308 95,3,2024-09-07 10:02:11:722,1,663,13,0,718,10098,663,0 96,0,2024-09-07 10:02:11:064,169217,0.3,169392,0.5,338957,0.3,450566,1.75 96,1,2024-09-07 10:02:11:587,1164095,1164095,0,0,546800994927,5705512873,1155663,7139,1293,384,391964,0 96,2,2024-09-07 10:02:11:267,839747,839747,0,0,34617796,0,4180 96,3,2024-09-07 10:02:11:151,1,663,3,0,411,7612,663,0 97,0,2024-09-07 10:02:11:308,162191,0.3,162013,0.5,324652,0.3,431838,1.50 97,1,2024-09-07 10:02:10:776,1165964,1165964,0,0,547440108216,5682436062,1158137,6590,1237,367,392140,0 97,2,2024-09-07 10:02:10:607,839981,839981,0,0,35108932,0,4600 97,3,2024-09-07 10:02:10:573,1,663,14,0,433,7975,663,0 98,0,2024-09-07 10:02:11:694,163215,0.3,163273,0.5,327845,0.3,435990,1.50 98,1,2024-09-07 10:02:10:574,1165448,1165448,0,0,546760774713,5697130756,1158023,6527,898,381,391997,0 98,2,2024-09-07 10:02:10:780,838829,838829,0,0,33424988,0,4336 98,3,2024-09-07 10:02:10:704,1,663,9,0,840,9414,663,0 99,0,2024-09-07 10:02:11:464,169929,0.3,170831,0.5,340371,0.3,454241,1.75 99,1,2024-09-07 10:02:11:734,1166225,1166225,0,0,547103091579,5696291905,1158502,6617,1106,380,392069,0 99,2,2024-09-07 10:02:11:416,837947,837947,0,0,42583092,0,4276 99,3,2024-09-07 10:02:10:586,1,663,12,0,1124,8342,663,0 100,0,2024-09-07 10:02:11:464,168770,0.8,169302,0.9,338390,0.9,451462,2.50 100,1,2024-09-07 10:02:10:558,1158943,1158943,0,0,544508540518,5758601578,1140785,14421,3737,378,391989,0 100,2,2024-09-07 10:02:11:824,833324,832935,389,0,44068313,0,16909 100,3,2024-09-07 10:02:11:737,1,663,1,0,627,10535,663,0 101,0,2024-09-07 10:02:11:708,166742,1.0,162738,1.0,318266,0.9,434161,2.25 101,1,2024-09-07 10:02:10:556,1161308,1161308,0,0,545469616341,5734608351,1145224,13151,2933,368,391847,0 101,2,2024-09-07 10:02:11:756,833450,833411,39,0,45283781,0,5913 101,3,2024-09-07 10:02:10:950,1,663,10,0,1250,9837,663,0 102,0,2024-09-07 10:02:10:960,158527,0.6,163266,0.8,331679,0.6,433259,2.25 102,1,2024-09-07 10:02:11:150,1161278,1161278,0,0,545930284884,5733446555,1145962,13098,2218,369,391984,0 102,2,2024-09-07 10:02:11:748,839430,839376,54,0,37945344,0,6768 102,3,2024-09-07 10:02:11:620,1,663,2,0,466,7636,663,0 103,0,2024-09-07 10:02:11:592,173447,0.6,173457,0.7,326935,0.6,449648,2.00 103,1,2024-09-07 10:02:11:626,1159984,1159984,0,0,544981173692,5755123194,1141550,14810,3624,381,392077,0 103,2,2024-09-07 10:02:10:604,836724,836724,0,0,41299540,0,3766 103,3,2024-09-07 10:02:10:759,1,663,8,0,916,7725,663,0 104,0,2024-09-07 10:02:11:017,168050,0.7,168397,0.9,335905,0.7,448923,2.25 104,1,2024-09-07 10:02:11:613,1162845,1162845,0,0,545557735478,5733559773,1145362,14238,3245,365,392168,0 104,2,2024-09-07 10:02:11:666,834499,834499,0,0,40039342,0,4161 104,3,2024-09-07 10:02:11:429,1,663,251,0,1245,11542,663,0 105,0,2024-09-07 10:02:11:047,163430,0.9,159332,1.0,333997,0.9,438350,2.50 105,1,2024-09-07 10:02:10:559,1164811,1164811,0,0,546137194377,5718441835,1150792,12061,1958,364,392009,0 105,2,2024-09-07 10:02:11:324,837362,837362,0,0,40830710,0,4360 105,3,2024-09-07 10:02:11:306,1,663,1,0,573,9908,663,0 106,0,2024-09-07 10:02:10:949,156665,0.9,160541,0.9,328638,1.0,428936,2.50 106,1,2024-09-07 10:02:11:750,1162344,1162344,0,0,545386578769,5721623721,1146504,13811,2029,368,391914,0 106,2,2024-09-07 10:02:10:779,838127,838127,0,0,37880148,0,3331 106,3,2024-09-07 10:02:10:677,1,663,4,0,1224,9049,663,0 107,0,2024-09-07 10:02:11:117,165360,1.0,165506,1.0,331120,1.2,442174,2.25 107,1,2024-09-07 10:02:10:593,1160277,1160277,0,0,543671371788,5744114608,1142046,16044,2187,381,392234,0 107,2,2024-09-07 10:02:11:294,834640,834639,1,0,41431370,0,5024 107,3,2024-09-07 10:02:11:757,1,663,8,0,733,9830,663,0 108,0,2024-09-07 10:02:11:817,168901,0.4,169756,0.6,338457,0.4,450836,1.75 108,1,2024-09-07 10:02:11:295,1163984,1163984,0,0,547062894569,5707303248,1154202,8696,1086,367,391894,0 108,2,2024-09-07 10:02:11:761,838556,838556,0,0,37336702,0,4432 108,3,2024-09-07 10:02:11:330,1,663,1,0,767,12320,663,0 109,0,2024-09-07 10:02:11:813,168972,0.4,167600,0.6,336215,0.3,448151,1.75 109,1,2024-09-07 10:02:10:594,1161811,1161811,0,0,546002122622,5729088361,1151322,8986,1503,382,392132,0 109,2,2024-09-07 10:02:10:926,837457,837457,0,0,36814655,0,3617 109,3,2024-09-07 10:02:11:153,1,663,6,0,630,7713,663,0 110,0,2024-09-07 10:02:11:750,162238,0.4,157752,0.6,330452,0.3,433427,1.75 110,1,2024-09-07 10:02:11:652,1166301,1166301,0,0,547434743267,5682884158,1157545,6729,2027,368,392045,0 110,2,2024-09-07 10:02:11:303,839230,839230,0,0,36043409,0,4067 110,3,2024-09-07 10:02:10:701,1,663,3,0,722,8776,663,0 111,0,2024-09-07 10:02:11:412,164859,0.4,163997,0.5,327735,0.3,437819,1.75 111,1,2024-09-07 10:02:11:014,1167529,1167529,0,0,548286326452,5690204593,1161046,6095,388,380,391690,0 111,2,2024-09-07 10:02:11:116,838807,838807,0,0,35630867,0,4823 111,3,2024-09-07 10:02:10:922,1,663,12,0,379,7385,663,0 112,0,2024-09-07 10:02:10:919,171057,0.3,170501,0.4,341835,0.2,453836,1.50 112,1,2024-09-07 10:02:10:838,1166209,1166209,0,0,547159828931,5681302061,1158679,6305,1225,380,391624,0 112,2,2024-09-07 10:02:11:135,839037,839036,1,0,34200594,0,5036 112,3,2024-09-07 10:02:10:610,1,663,18,0,282,6582,663,0 113,0,2024-09-07 10:02:10:907,169182,0.3,169308,0.5,339119,0.2,451245,1.75 113,1,2024-09-07 10:02:11:685,1169398,1169398,0,0,549752861437,5673149547,1162545,5903,950,365,391664,0 113,2,2024-09-07 10:02:11:303,840467,840467,0,0,31252741,0,3813 113,3,2024-09-07 10:02:10:685,1,663,2,0,510,6906,663,0 114,0,2024-09-07 10:02:10:881,162707,0.3,163752,0.5,326636,0.2,435653,1.75 114,1,2024-09-07 10:02:10:716,1165929,1165929,0,0,547258924771,5683033196,1157375,6786,1768,381,391565,0 114,2,2024-09-07 10:02:10:877,840556,840555,1,0,33391009,0,5069 114,3,2024-09-07 10:02:11:278,1,663,7,0,415,5496,663,0 115,0,2024-09-07 10:02:10:558,163985,0.3,164569,0.4,327748,0.2,436644,1.50 115,1,2024-09-07 10:02:10:573,1165978,1165978,0,0,547625681369,5689973813,1156507,7681,1790,382,391757,0 115,2,2024-09-07 10:02:11:132,840947,840947,0,0,33289170,0,4382 115,3,2024-09-07 10:02:11:007,1,663,12,0,173,4280,663,0 116,0,2024-09-07 10:02:11:708,168029,0.7,168004,0.8,336718,0.7,449813,2.00 116,1,2024-09-07 10:02:10:811,1159953,1159953,0,0,545210074673,5744621700,1146254,10490,3209,380,392089,0 116,2,2024-09-07 10:02:11:751,838307,838307,0,0,40855589,0,4475 116,3,2024-09-07 10:02:10:923,1,663,39,0,448,8714,663,0 117,0,2024-09-07 10:02:11:031,169607,0.7,169224,0.8,338719,0.7,452681,2.00 117,1,2024-09-07 10:02:11:597,1162106,1162106,0,0,545281259729,5711431910,1149016,11410,1680,369,392429,0 117,2,2024-09-07 10:02:11:124,838294,838294,0,0,36892661,0,4303 117,3,2024-09-07 10:02:11:066,1,663,128,0,490,8352,663,0 118,0,2024-09-07 10:02:11:782,159184,0.5,163524,0.7,333703,0.5,436177,2.00 118,1,2024-09-07 10:02:10:611,1161127,1161127,0,0,545240711054,5731307492,1144025,13283,3819,366,392054,0 118,2,2024-09-07 10:02:11:586,837786,837786,0,0,39880929,0,3161 118,3,2024-09-07 10:02:11:764,1,663,1,0,343,8045,663,0 119,0,2024-09-07 10:02:11:332,162354,0.7,162894,0.8,325127,0.8,433824,2.25 119,1,2024-09-07 10:02:10:548,1162053,1162053,0,0,545999917751,5726764513,1146406,12985,2662,367,391857,0 119,2,2024-09-07 10:02:11:267,839472,839472,0,0,36735798,0,4309 119,3,2024-09-07 10:02:11:331,1,663,8,0,1358,11912,663,0 120,0,2024-09-07 10:02:11:571,166097,0.6,165792,0.8,333389,0.7,444720,2.25 120,1,2024-09-07 10:02:10:871,1162984,1162984,0,0,544950624542,5714281801,1150494,11333,1157,367,392144,0 120,2,2024-09-07 10:02:10:790,837098,837095,3,0,44025625,0,5363 120,3,2024-09-07 10:02:11:295,1,663,5,0,478,8471,663,0 121,0,2024-09-07 10:02:11:705,169340,1.1,168809,1.0,337749,1.5,450252,2.25 121,1,2024-09-07 10:02:11:663,1162782,1162782,0,0,546304057499,5716007199,1150382,10556,1844,366,391840,0 121,2,2024-09-07 10:02:11:125,837506,837506,0,0,39963028,0,4157 121,3,2024-09-07 10:02:10:727,1,663,4,0,387,8530,663,0 122,0,2024-09-07 10:02:11:763,166487,0.7,161921,0.8,338939,0.8,445331,2.00 122,1,2024-09-07 10:02:10:864,1162031,1162031,0,0,545648889724,5723070626,1146657,12955,2419,365,392130,0 122,2,2024-09-07 10:02:11:328,838667,838592,75,0,43578963,0,5989 122,3,2024-09-07 10:02:10:610,1,663,2,0,512,10288,663,0 123,0,2024-09-07 10:02:10:969,161684,0.8,157513,0.9,330186,0.9,431475,2.25 123,1,2024-09-07 10:02:10:565,1162842,1162842,0,0,546295739433,5743080587,1144583,15370,2889,369,392039,0 123,2,2024-09-07 10:02:11:020,837412,837411,1,0,37555275,0,5215 123,3,2024-09-07 10:02:11:136,1,663,8,0,478,7422,663,0 124,0,2024-09-07 10:02:10:922,169099,0.4,169139,0.5,318796,0.3,439083,1.75 124,1,2024-09-07 10:02:11:022,1165919,1165919,0,0,546875357145,5686154398,1157134,7551,1234,365,392178,0 124,2,2024-09-07 10:02:11:013,840453,840400,53,0,34951726,0,6487 124,3,2024-09-07 10:02:10:769,1,663,2,0,490,6589,663,0 125,0,2024-09-07 10:02:11:419,169407,0.4,169234,0.6,339209,0.4,451862,1.75 125,1,2024-09-07 10:02:10:871,1163688,1163688,0,0,546357674382,5709500368,1153414,8764,1510,382,392045,0 125,2,2024-09-07 10:02:11:132,840846,840846,0,0,34817033,0,4534 125,3,2024-09-07 10:02:11:132,1,663,11,0,709,7526,663,0 126,0,2024-09-07 10:02:11:414,169438,0.4,174192,0.5,333073,0.3,451360,1.75 126,1,2024-09-07 10:02:10:559,1166968,1166968,0,0,547622278437,5674003331,1160158,6131,679,365,391987,0 126,2,2024-09-07 10:02:10:610,840081,840081,0,0,35788981,0,4539 126,3,2024-09-07 10:02:10:911,1,663,2,0,268,7604,663,0 127,0,2024-09-07 10:02:11:601,162481,0.3,162717,0.5,324750,0.3,432123,1.75 127,1,2024-09-07 10:02:10:575,1165062,1165062,0,0,547209860832,5695382795,1153007,10364,1691,364,392187,0 127,2,2024-09-07 10:02:10:641,839786,839782,4,0,33978885,0,5305 127,3,2024-09-07 10:02:11:279,1,663,8,0,968,6551,663,0 128,0,2024-09-07 10:02:11:521,164308,0.3,164214,0.4,328381,0.2,436729,1.50 128,1,2024-09-07 10:02:11:615,1164592,1164592,0,0,546841345418,5687077466,1155737,7886,969,367,392423,0 128,2,2024-09-07 10:02:11:392,841314,841314,0,0,32327373,0,3171 128,3,2024-09-07 10:02:10:783,1,663,1,0,1082,10050,663,0 129,0,2024-09-07 10:02:11:016,171188,0.3,170468,0.5,341931,0.3,454905,1.50 129,1,2024-09-07 10:02:10:567,1161500,1161500,0,0,546184719777,5730834901,1148781,10390,2329,379,391962,0 129,2,2024-09-07 10:02:10:696,840404,840400,4,0,34825694,0,5335 129,3,2024-09-07 10:02:10:694,1,663,14,0,506,9758,663,0 130,0,2024-09-07 10:02:11:717,170060,0.4,169858,0.6,340012,0.4,452906,1.75 130,1,2024-09-07 10:02:10:631,1166386,1166386,0,0,547462765129,5685295171,1160702,5278,406,381,391825,0 130,2,2024-09-07 10:02:11:131,837700,837700,0,0,34563818,0,4067 130,3,2024-09-07 10:02:11:298,1,663,12,0,960,8627,663,0 131,0,2024-09-07 10:02:11:925,163106,0.3,163650,0.5,328277,0.3,435748,1.50 131,1,2024-09-07 10:02:11:824,1165519,1165519,0,0,546545901154,5696684555,1157512,6805,1202,381,391865,0 131,2,2024-09-07 10:02:10:570,839441,839441,0,0,32399402,0,3979 131,3,2024-09-07 10:02:11:692,1,663,8,0,392,8411,663,0 132,0,2024-09-07 10:02:11:434,163365,0.5,164350,0.6,326992,0.4,435199,2.00 132,1,2024-09-07 10:02:10:576,1160946,1160946,0,0,544305018331,5728532676,1144608,13558,2780,381,392532,0 132,2,2024-09-07 10:02:10:696,838619,838602,17,0,41402752,0,6451 132,3,2024-09-07 10:02:11:690,1,663,0,0,1298,11604,663,0 133,0,2024-09-07 10:02:11:531,163932,0.4,167822,0.6,344268,0.4,448163,2.00 133,1,2024-09-07 10:02:10:587,1160984,1160984,0,0,545639403358,5747344304,1145711,13341,1932,383,391914,0 133,2,2024-09-07 10:02:11:098,839511,839461,50,0,42465792,0,6861 133,3,2024-09-07 10:02:11:300,1,663,1,0,528,7744,663,0 134,0,2024-09-07 10:02:10:944,169893,0.5,169847,0.7,339606,0.5,452349,2.25 134,1,2024-09-07 10:02:10:593,1161723,1161723,0,0,544947842317,5719620062,1145999,12486,3238,366,391781,0 134,2,2024-09-07 10:02:11:766,836381,836242,139,0,39659442,0,7591 134,3,2024-09-07 10:02:10:755,1,663,12,0,739,8372,663,0 135,0,2024-09-07 10:02:11:103,160126,0.7,160134,0.8,340025,0.8,437603,2.25 135,1,2024-09-07 10:02:11:595,1162127,1162127,0,0,545951535322,5739554181,1147839,12436,1852,380,392038,0 135,2,2024-09-07 10:02:10:692,839841,839841,0,0,40072880,0,4503 135,3,2024-09-07 10:02:11:007,1,663,8,0,900,6319,663,0 136,0,2024-09-07 10:02:11:621,162557,0.6,163077,0.8,323908,0.6,432184,2.00 136,1,2024-09-07 10:02:11:446,1163460,1163460,0,0,546146595933,5720580838,1151301,10764,1395,381,392135,0 136,2,2024-09-07 10:02:11:143,840706,840691,15,0,39101988,0,6007 136,3,2024-09-07 10:02:11:116,1,663,1,0,637,7691,663,0 137,0,2024-09-07 10:02:10:918,170821,0.6,166605,0.7,326250,0.7,443772,2.00 137,1,2024-09-07 10:02:10:580,1162289,1162289,0,0,546022697479,5726702123,1144081,14571,3637,366,391898,0 137,2,2024-09-07 10:02:11:706,837062,837062,0,0,40288209,0,3185 137,3,2024-09-07 10:02:10:782,1,663,334,0,484,9300,663,0 138,0,2024-09-07 10:02:11:779,168295,1.0,168604,0.9,337864,1.2,449203,2.25 138,1,2024-09-07 10:02:11:708,1162186,1162186,0,0,546103460852,5730171364,1145813,13733,2640,368,391954,0 138,2,2024-09-07 10:02:10:590,836992,836992,0,0,38955279,0,4988 138,3,2024-09-07 10:02:10:620,1,663,1,0,1200,9968,663,0 139,0,2024-09-07 10:02:11:360,166792,0.9,167358,0.9,334588,1.2,446475,2.25 139,1,2024-09-07 10:02:10:572,1157963,1157963,0,0,542799618303,5752236210,1138538,15538,3887,380,392109,0 139,2,2024-09-07 10:02:10:696,832951,832921,30,0,44024904,0,5997 139,3,2024-09-07 10:02:11:662,1,663,15,0,432,7843,663,0 140,0,2024-09-07 10:02:11:606,162809,0.3,162056,0.5,325356,0.2,433724,1.75 140,1,2024-09-07 10:02:11:536,1169929,1169929,0,0,548305525004,5657121855,1163769,5423,737,364,391628,0 140,2,2024-09-07 10:02:10:693,839386,839385,1,0,32329245,0,5036 140,3,2024-09-07 10:02:10:773,1,663,7,0,575,6827,663,0 141,0,2024-09-07 10:02:11:706,164454,0.3,168984,0.5,323043,0.3,437547,1.75 141,1,2024-09-07 10:02:10:862,1166565,1166565,0,0,548316776920,5700069188,1156595,8584,1386,379,391614,0 141,2,2024-09-07 10:02:11:699,839804,839793,11,0,34651423,0,5369 141,3,2024-09-07 10:02:11:044,1,663,7,0,391,7594,663,0 142,0,2024-09-07 10:02:11:306,171153,0.3,170337,0.5,341281,0.3,454708,1.75 142,1,2024-09-07 10:02:10:593,1164598,1164598,0,0,546791168682,5702707511,1156586,7343,669,382,392102,0 142,2,2024-09-07 10:02:11:299,837954,837922,32,0,34921770,0,6028 142,3,2024-09-07 10:02:11:746,1,663,3,0,484,6893,663,0 143,0,2024-09-07 10:02:11:378,169320,0.4,169066,0.5,339321,0.4,451267,1.75 143,1,2024-09-07 10:02:10:562,1167513,1167513,0,0,547961417901,5686281754,1159905,6706,902,367,391900,0 143,2,2024-09-07 10:02:10:800,839496,839496,0,0,34494569,0,3123 143,3,2024-09-07 10:02:11:146,1,663,9,0,462,7721,663,0 144,0,2024-09-07 10:02:11:490,157622,0.6,162246,0.7,330135,0.5,432065,2.00 144,1,2024-09-07 10:02:10:565,1161501,1161501,0,0,544601109072,5716750639,1149781,9679,2041,381,391733,0 144,2,2024-09-07 10:02:11:759,839930,839930,0,0,34561285,0,4443 144,3,2024-09-07 10:02:11:739,1,663,1,0,306,7189,663,0 145,0,2024-09-07 10:02:11:365,158013,0.6,158020,0.8,335442,0.5,432130,2.25 145,1,2024-09-07 10:02:10:557,1160353,1160353,0,0,545278887002,5740586519,1144404,13021,2928,382,391781,0 145,2,2024-09-07 10:02:11:432,837851,837769,82,0,40375329,0,7814 145,3,2024-09-07 10:02:10:900,1,663,3,0,622,8755,663,0 146,0,2024-09-07 10:02:11:602,168627,0.6,167717,0.8,337273,0.6,448157,2.25 146,1,2024-09-07 10:02:11:595,1162797,1162797,0,0,545881429268,5740894025,1144224,13753,4820,367,391829,0 146,2,2024-09-07 10:02:11:694,836974,836968,6,0,38490931,0,5151 146,3,2024-09-07 10:02:11:277,1,663,1,0,1520,10803,663,0 147,0,2024-09-07 10:02:11:702,169587,0.6,169297,0.7,338586,0.6,451953,2.25 147,1,2024-09-07 10:02:11:382,1166102,1166102,0,0,547378180704,5690114460,1156473,8550,1079,367,391791,0 147,2,2024-09-07 10:02:11:010,836597,836597,0,0,34648856,0,3359 147,3,2024-09-07 10:02:10:922,1,663,8,0,1626,10145,663,0 0,0,2024-09-07 10:02:21:753,162628,0.7,162513,0.8,344839,0.7,446040,2.00 0,1,2024-09-07 10:02:20:816,1165046,1165046,0,0,546773828506,5725933446,1155397,8688,961,368,391896,0 0,2,2024-09-07 10:02:21:067,840355,840355,0,0,34188373,0,4480 0,3,2024-09-07 10:02:20:987,1,664,118,0,431,9243,664,0 1,0,2024-09-07 10:02:21:761,169237,0.8,168245,0.9,337661,1.0,451536,2.00 1,1,2024-09-07 10:02:20:562,1163503,1163503,0,0,546346621894,5726560950,1152147,9392,1964,370,391859,0 1,2,2024-09-07 10:02:20:638,839438,839438,0,0,33560679,0,3380 1,3,2024-09-07 10:02:21:311,1,664,17,0,269,7938,664,0 2,0,2024-09-07 10:02:21:574,167052,0.6,166743,0.7,333223,0.6,444320,2.00 2,1,2024-09-07 10:02:20:866,1167618,1167618,0,0,549190688883,5711393246,1160778,5879,961,379,391805,0 2,2,2024-09-07 10:02:21:272,841134,841134,0,0,31965980,0,3594 2,3,2024-09-07 10:02:20:701,1,664,17,0,357,5647,664,0 3,0,2024-09-07 10:02:21:746,162342,0.4,162311,0.6,324327,0.4,431516,2.00 3,1,2024-09-07 10:02:21:622,1166073,1166073,0,0,546872776980,5690080660,1157612,7743,718,379,391716,0 3,2,2024-09-07 10:02:21:145,841454,841431,23,0,33491340,0,5851 3,3,2024-09-07 10:02:21:753,1,664,7,0,484,5092,664,0 4,0,2024-09-07 10:02:21:767,159959,0.4,164421,0.5,335220,0.3,438543,1.75 4,1,2024-09-07 10:02:20:594,1160535,1160535,0,0,545361616855,5772146621,1140676,15258,4601,369,391992,0 4,2,2024-09-07 10:02:21:019,837331,837331,0,0,40700306,0,4534 4,3,2024-09-07 10:02:21:028,1,664,86,0,448,8901,664,0 5,0,2024-09-07 10:02:21:377,169587,0.4,169400,0.6,339168,0.4,451496,1.75 5,1,2024-09-07 10:02:20:765,1162274,1162274,0,0,545179939811,5754439649,1144715,13324,4235,367,392005,0 5,2,2024-09-07 10:02:21:829,836063,836030,33,0,40813706,0,7631 5,3,2024-09-07 10:02:21:746,1,664,1,0,457,8728,664,0 6,0,2024-09-07 10:02:20:922,169278,0.5,168858,0.6,337819,0.4,450105,2.00 6,1,2024-09-07 10:02:20:754,1164833,1164833,0,0,547128411715,5712091306,1153151,10092,1590,379,391702,0 6,2,2024-09-07 10:02:21:129,839933,839915,18,0,37744029,0,5535 6,3,2024-09-07 10:02:21:284,1,664,19,0,710,7901,664,0 7,0,2024-09-07 10:02:21:531,161837,0.5,162909,0.7,323488,0.5,431688,2.00 7,1,2024-09-07 10:02:20:853,1162741,1162741,0,0,546283198516,5749926104,1145066,13540,4135,382,391747,0 7,2,2024-09-07 10:02:20:769,839088,838919,169,0,38144557,0,7706 7,3,2024-09-07 10:02:20:855,1,664,41,0,552,7732,664,0 8,0,2024-09-07 10:02:21:331,164238,0.4,163848,0.5,328163,0.3,437289,1.75 8,1,2024-09-07 10:02:21:016,1161813,1161813,0,0,546047103762,5739032786,1144127,13959,3727,366,392853,0 8,2,2024-09-07 10:02:20:806,834723,834721,2,0,43396222,0,5112 8,3,2024-09-07 10:02:20:585,1,664,1,0,772,10169,664,0 9,0,2024-09-07 10:02:21:117,170509,0.4,165620,0.5,346687,0.3,455387,1.75 9,1,2024-09-07 10:02:20:552,1161428,1161428,0,0,546255342290,5759379567,1142619,14703,4106,369,392001,0 9,2,2024-09-07 10:02:21:083,838268,838267,1,0,41311370,0,5281 9,3,2024-09-07 10:02:21:771,1,664,7,0,1273,10922,664,0 10,0,2024-09-07 10:02:21:607,169522,0.4,168993,0.5,338878,0.3,451244,1.75 10,1,2024-09-07 10:02:20:583,1163611,1163611,0,0,545845089877,5727669869,1146347,13735,3529,381,391981,0 10,2,2024-09-07 10:02:20:775,838479,838479,0,0,44097536,0,4713 10,3,2024-09-07 10:02:20:882,1,664,51,0,669,7279,664,0 11,0,2024-09-07 10:02:21:012,163063,0.4,158309,0.6,331381,0.4,435948,1.75 11,1,2024-09-07 10:02:20:571,1165877,1165877,0,0,546530161594,5731556000,1149289,12280,4308,383,391766,0 11,2,2024-09-07 10:02:21:129,839104,839104,0,0,38243101,0,4698 11,3,2024-09-07 10:02:21:303,1,664,8,0,843,8268,664,0 12,0,2024-09-07 10:02:20:956,164499,0.4,164279,0.5,328048,0.3,436338,1.75 12,1,2024-09-07 10:02:20:950,1164958,1164958,0,0,546849753138,5705743301,1155417,8516,1025,370,391960,0 12,2,2024-09-07 10:02:21:545,839020,839020,0,0,38264133,0,4390 12,3,2024-09-07 10:02:21:066,1,664,1,0,386,8351,664,0 13,0,2024-09-07 10:02:21:374,169348,0.4,169601,0.6,338415,0.4,450796,1.75 13,1,2024-09-07 10:02:21:549,1162378,1162378,0,0,546383205472,5747910140,1149727,9816,2835,382,391803,0 13,2,2024-09-07 10:02:20:594,841750,841750,0,0,34407963,0,3287 13,3,2024-09-07 10:02:21:766,1,664,7,0,522,8883,664,0 14,0,2024-09-07 10:02:20:565,169352,0.4,170406,0.6,338809,0.4,450934,1.75 14,1,2024-09-07 10:02:21:560,1170612,1170612,0,0,549625722665,5687084044,1161565,7913,1134,364,391673,0 14,2,2024-09-07 10:02:20:780,838508,838478,30,0,35297706,0,6104 14,3,2024-09-07 10:02:21:123,1,664,5,0,1168,7001,664,0 15,0,2024-09-07 10:02:21:555,165419,0.4,164635,0.6,329498,0.3,439257,1.75 15,1,2024-09-07 10:02:21:611,1166955,1166955,0,0,547945185435,5708919848,1156807,8139,2009,381,391619,0 15,2,2024-09-07 10:02:21:007,841926,841926,0,0,31560043,0,3622 15,3,2024-09-07 10:02:21:411,1,664,15,0,1126,8478,664,0 16,0,2024-09-07 10:02:20:942,162196,0.6,162969,0.8,324933,0.6,432515,2.00 16,1,2024-09-07 10:02:20:563,1166260,1166260,0,0,547093646545,5720930420,1155644,9074,1542,370,392194,0 16,2,2024-09-07 10:02:21:438,839773,839773,0,0,35163585,0,4719 16,3,2024-09-07 10:02:21:150,1,664,1,0,362,8390,664,0 17,0,2024-09-07 10:02:21:818,171237,0.7,167041,0.8,327109,0.7,445140,2.00 17,1,2024-09-07 10:02:20:572,1164651,1164651,0,0,546468954077,5729976640,1153646,9211,1794,368,392075,0 17,2,2024-09-07 10:02:21:671,842153,842152,1,0,36328652,0,5050 17,3,2024-09-07 10:02:20:580,1,664,91,0,518,9628,664,0 18,0,2024-09-07 10:02:20:942,167591,0.7,168745,0.8,335794,0.7,448372,2.25 18,1,2024-09-07 10:02:21:640,1169027,1169027,0,0,548109223704,5687366994,1160924,6673,1430,367,391725,0 18,2,2024-09-07 10:02:21:758,841623,841623,0,0,32793501,0,3541 18,3,2024-09-07 10:02:20:895,1,664,2,0,1059,5978,664,0 19,0,2024-09-07 10:02:21:548,167463,0.6,167984,0.8,334776,0.7,444744,2.00 19,1,2024-09-07 10:02:20:566,1168424,1168424,0,0,548941541040,5690377749,1159582,7682,1160,365,391777,0 19,2,2024-09-07 10:02:21:753,842953,842953,0,0,30384675,0,3988 19,3,2024-09-07 10:02:21:131,1,664,43,0,524,5339,664,0 20,0,2024-09-07 10:02:21:358,162512,0.5,162397,0.7,324680,0.5,433137,2.00 20,1,2024-09-07 10:02:20:597,1164118,1164118,0,0,546550792736,5730274589,1151899,10515,1704,369,391922,0 20,2,2024-09-07 10:02:20:939,839588,839588,0,0,38400073,0,4321 20,3,2024-09-07 10:02:20:598,1,664,22,0,468,11407,664,0 21,0,2024-09-07 10:02:21:130,164408,0.5,164558,0.7,328882,0.5,436959,2.00 21,1,2024-09-07 10:02:21:540,1162368,1162368,0,0,544901367300,5752680049,1143838,14555,3975,368,392016,0 21,2,2024-09-07 10:02:21:067,833980,833503,477,0,49245591,0,17074 21,3,2024-09-07 10:02:21:413,1,664,9,0,713,8952,664,0 22,0,2024-09-07 10:02:21:726,170176,0.5,170808,0.7,341259,0.4,452577,2.25 22,1,2024-09-07 10:02:21:028,1162861,1162861,0,0,546139779407,5747612470,1143861,15397,3603,381,391822,0 22,2,2024-09-07 10:02:20:766,838443,838417,26,0,36827026,0,6328 22,3,2024-09-07 10:02:21:069,1,664,34,0,228,5519,664,0 23,0,2024-09-07 10:02:21:378,169112,0.5,168955,0.7,337731,0.4,450324,2.00 23,1,2024-09-07 10:02:21:007,1164463,1164463,0,0,547212728055,5762581519,1143655,14024,6784,365,391690,0 23,2,2024-09-07 10:02:21:091,839880,839880,0,0,34660541,0,3773 23,3,2024-09-07 10:02:21:765,1,664,21,0,855,9561,664,0 24,0,2024-09-07 10:02:20:825,163781,0.4,162791,0.5,327293,0.3,434776,1.75 24,1,2024-09-07 10:02:20:594,1163847,1163847,0,0,546374337172,5717438155,1153156,8913,1778,367,392269,0 24,2,2024-09-07 10:02:21:071,839768,839765,3,0,41217184,0,6294 24,3,2024-09-07 10:02:21:698,1,664,309,0,468,8805,664,0 25,0,2024-09-07 10:02:21:347,168266,0.4,163590,0.6,321907,0.4,438747,2.00 25,1,2024-09-07 10:02:20:578,1162062,1162062,0,0,545399367012,5761037125,1141975,16174,3913,369,391928,0 25,2,2024-09-07 10:02:21:606,838411,838411,0,0,42390395,0,3978 25,3,2024-09-07 10:02:21:006,1,664,1,0,532,7383,664,0 26,0,2024-09-07 10:02:21:726,168140,0.4,164353,0.6,344864,0.3,449745,1.75 26,1,2024-09-07 10:02:21:555,1165394,1165394,0,0,547303978584,5729771317,1149899,12593,2902,380,391758,0 26,2,2024-09-07 10:02:20:865,839378,839378,0,0,44395613,0,4689 26,3,2024-09-07 10:02:21:723,1,664,1,0,796,8203,664,0 27,0,2024-09-07 10:02:21:737,169726,0.4,170490,0.6,338895,0.4,452349,2.25 27,1,2024-09-07 10:02:21:683,1166882,1166882,0,0,548489828534,5715150873,1155790,9471,1621,381,391626,0 27,2,2024-09-07 10:02:20:875,834758,834693,65,0,38812660,0,5699 27,3,2024-09-07 10:02:21:018,1,664,8,0,564,5641,664,0 28,0,2024-09-07 10:02:21:389,164455,0.4,164731,0.6,329405,0.3,438364,1.75 28,1,2024-09-07 10:02:20:808,1167799,1167799,0,0,548909170840,5723306396,1157848,8028,1923,382,391904,0 28,2,2024-09-07 10:02:21:765,840571,840571,0,0,34772822,0,2915 28,3,2024-09-07 10:02:21:776,1,664,0,0,502,6978,664,0 29,0,2024-09-07 10:02:21:360,167581,0.4,163211,0.6,320105,0.3,436812,1.75 29,1,2024-09-07 10:02:21:560,1170396,1170396,0,0,549762576368,5688081466,1162938,6438,1020,367,391809,0 29,2,2024-09-07 10:02:20:875,840349,840349,0,0,33513398,0,4986 29,3,2024-09-07 10:02:20:973,1,664,1,0,459,6941,664,0 30,0,2024-09-07 10:02:21:462,166473,0.5,162072,0.7,339686,0.5,444377,2.00 30,1,2024-09-07 10:02:20:573,1168982,1168982,0,0,549630321989,5706675240,1159670,8185,1127,380,391672,0 30,2,2024-09-07 10:02:21:284,841402,841402,0,0,32051696,0,4192 30,3,2024-09-07 10:02:20:591,1,664,8,0,519,6855,664,0 31,0,2024-09-07 10:02:21:761,169021,0.5,169752,0.7,338564,0.4,451602,2.00 31,1,2024-09-07 10:02:20:564,1173366,1173366,0,0,550038521295,5649829266,1166038,6139,1189,356,391712,0 31,2,2024-09-07 10:02:21:277,838170,838170,0,0,36119784,0,4470 31,3,2024-09-07 10:02:21:706,1,664,8,0,239,5749,664,0 32,0,2024-09-07 10:02:21:426,167171,0.3,168280,0.5,334992,0.2,445713,1.75 32,1,2024-09-07 10:02:20:808,1168605,1168605,0,0,548846423664,5706625341,1161182,6460,963,381,391646,0 32,2,2024-09-07 10:02:20:960,842520,842520,0,0,31555806,0,3922 32,3,2024-09-07 10:02:21:017,1,664,0,0,304,5625,664,0 33,0,2024-09-07 10:02:21:495,163080,0.3,162638,0.4,325355,0.2,432757,1.50 33,1,2024-09-07 10:02:20:584,1170143,1170143,0,0,549337722261,5690521368,1160803,7989,1351,368,391730,0 33,2,2024-09-07 10:02:20:775,840836,840801,35,0,35071550,0,7012 33,3,2024-09-07 10:02:20:903,1,664,1,0,329,6132,664,0 34,0,2024-09-07 10:02:20:934,165184,0.3,169721,0.5,324648,0.2,438589,1.75 34,1,2024-09-07 10:02:21:049,1172109,1172109,0,0,550629175151,5667438382,1168149,3751,209,367,391637,0 34,2,2024-09-07 10:02:20:772,840900,840900,0,0,33088231,0,4562 34,3,2024-09-07 10:02:21:690,1,664,1,0,541,5858,664,0 35,0,2024-09-07 10:02:20:884,169011,0.3,169542,0.5,340137,0.3,452446,1.75 35,1,2024-09-07 10:02:21:070,1168014,1168014,0,0,548117298497,5689179172,1159132,7330,1552,382,391769,0 35,2,2024-09-07 10:02:21:581,840089,840089,0,0,38040478,0,4055 35,3,2024-09-07 10:02:20:907,1,664,7,0,466,6433,664,0 36,0,2024-09-07 10:02:21:525,169799,0.5,169915,0.6,339515,0.4,451855,2.00 36,1,2024-09-07 10:02:20:583,1166167,1166167,0,0,547363860127,5715950018,1151600,12223,2344,366,391759,0 36,2,2024-09-07 10:02:21:751,840284,840284,0,0,38036902,0,3875 36,3,2024-09-07 10:02:20:916,1,664,2,0,556,8697,664,0 37,0,2024-09-07 10:02:21:388,161816,0.5,161876,0.7,323881,0.5,432297,2.25 37,1,2024-09-07 10:02:20:569,1165779,1165772,0,7,546802092061,5712941560,1151693,10966,3113,365,391770,0 37,2,2024-09-07 10:02:21:142,838011,837996,15,0,37802111,0,5815 37,3,2024-09-07 10:02:21:770,1,664,0,0,888,9118,664,0 38,0,2024-09-07 10:02:21:443,163074,0.5,158018,0.7,330495,0.4,433311,2.00 38,1,2024-09-07 10:02:21:611,1165691,1165691,0,0,548096816242,5731375052,1148967,13420,3304,368,391821,0 38,2,2024-09-07 10:02:20:765,840146,840099,47,0,38382214,0,6710 38,3,2024-09-07 10:02:21:001,1,664,2,0,689,7827,664,0 39,0,2024-09-07 10:02:21:770,173778,0.6,169893,0.7,331796,0.5,452653,2.00 39,1,2024-09-07 10:02:20:738,1164962,1164962,0,0,546258937462,5728985855,1145679,15077,4206,365,391865,0 39,2,2024-09-07 10:02:21:425,839562,839562,0,0,36514237,0,3391 39,3,2024-09-07 10:02:20:728,1,664,14,0,525,7443,664,0 40,0,2024-09-07 10:02:21:490,168052,0.8,168773,0.9,336777,0.9,450042,2.75 40,1,2024-09-07 10:02:20:581,1165420,1165420,0,0,546050723148,5721056774,1147943,14182,3295,366,391668,0 40,2,2024-09-07 10:02:21:306,835819,835812,7,0,42270316,0,5347 40,3,2024-09-07 10:02:21:149,1,664,6,0,1028,9399,664,0 41,0,2024-09-07 10:02:21:025,162408,1.2,166277,1.1,317090,1.6,430543,3.00 41,1,2024-09-07 10:02:20:767,1164878,1164878,0,0,547404563765,5723575902,1150200,12376,2302,369,391878,0 41,2,2024-09-07 10:02:20:759,836401,836400,1,0,40876062,0,5408 41,3,2024-09-07 10:02:21:682,1,664,15,0,366,7039,664,0 42,0,2024-09-07 10:02:21:475,162157,0.9,161935,1.0,324044,0.9,430166,2.50 42,1,2024-09-07 10:02:21:444,1163108,1163108,0,0,545967528255,5732248843,1145821,14330,2957,380,391675,0 42,2,2024-09-07 10:02:21:142,837712,837711,1,0,42307200,0,5513 42,3,2024-09-07 10:02:21:012,1,664,2,0,892,6805,664,0 43,0,2024-09-07 10:02:20:927,166892,0.7,162455,0.9,340379,0.8,445774,2.25 43,1,2024-09-07 10:02:20:581,1165795,1165795,0,0,548002190543,5724761220,1149990,13058,2747,366,391696,0 43,2,2024-09-07 10:02:21:739,840842,840842,0,0,38917580,0,4723 43,3,2024-09-07 10:02:21:749,1,664,16,0,571,9186,664,0 44,0,2024-09-07 10:02:20:883,169626,0.4,169906,0.6,338932,0.4,451939,1.75 44,1,2024-09-07 10:02:20:565,1169106,1169106,0,0,548603511652,5672251145,1158719,8314,2073,356,391809,0 44,2,2024-09-07 10:02:21:266,836839,836839,0,0,31934138,0,4344 44,3,2024-09-07 10:02:21:092,1,664,1,0,1097,8359,664,0 45,0,2024-09-07 10:02:21:776,163611,0.5,159781,0.7,335443,0.4,439298,2.00 45,1,2024-09-07 10:02:21:013,1167956,1167956,0,0,548134479700,5697989352,1158705,8030,1221,382,391917,0 45,2,2024-09-07 10:02:21:503,841096,841096,0,0,32036403,0,3596 45,3,2024-09-07 10:02:20:940,1,664,84,0,531,6282,664,0 46,0,2024-09-07 10:02:20:953,161458,0.5,160955,0.7,322938,0.5,429230,2.00 46,1,2024-09-07 10:02:20:581,1170350,1170350,0,0,549063951310,5677674160,1162209,7090,1051,366,391709,0 46,2,2024-09-07 10:02:20:593,841669,841669,0,0,33567735,0,4443 46,3,2024-09-07 10:02:21:141,1,664,1,0,908,7552,664,0 47,0,2024-09-07 10:02:21:118,166840,0.4,166430,0.6,334249,0.4,443620,2.00 47,1,2024-09-07 10:02:20:568,1171551,1171551,0,0,549365429137,5678120817,1164193,6345,1013,364,391641,0 47,2,2024-09-07 10:02:20:908,842661,842661,0,0,33447199,0,4477 47,3,2024-09-07 10:02:21:114,1,664,8,0,600,7186,664,0 48,0,2024-09-07 10:02:21:508,169699,0.3,170216,0.4,339271,0.2,451327,1.50 48,1,2024-09-07 10:02:21:030,1168723,1168723,0,0,548519034950,5700607861,1161147,6564,1012,381,391710,0 48,2,2024-09-07 10:02:20:722,840082,840082,0,0,31074475,0,3619 48,3,2024-09-07 10:02:20:753,1,664,1,0,339,5886,664,0 49,0,2024-09-07 10:02:21:736,172962,0.3,169386,0.5,329624,0.3,449221,1.75 49,1,2024-09-07 10:02:21:027,1167829,1167829,0,0,548507348761,5705742594,1159976,6156,1697,382,391809,0 49,2,2024-09-07 10:02:21:797,841450,841450,0,0,32442823,0,4426 49,3,2024-09-07 10:02:21:418,1,664,0,0,992,7898,664,0 50,0,2024-09-07 10:02:21:516,163071,0.3,161733,0.5,325655,0.2,432933,1.75 50,1,2024-09-07 10:02:21:038,1170769,1170769,0,0,549835960053,5685482094,1163308,6730,731,368,391691,0 50,2,2024-09-07 10:02:21:072,838789,838789,0,0,30282887,0,4490 50,3,2024-09-07 10:02:21:302,1,664,1,0,617,6668,664,0 51,0,2024-09-07 10:02:21:691,168965,0.3,165347,0.5,321917,0.2,439391,1.75 51,1,2024-09-07 10:02:21:683,1170978,1170978,0,0,551037455737,5683271192,1164339,5297,1342,365,391706,0 51,2,2024-09-07 10:02:21:332,840496,840496,0,0,29942449,0,3337 51,3,2024-09-07 10:02:21:028,1,664,7,0,678,5320,664,0 52,0,2024-09-07 10:02:21:428,170254,0.5,170334,0.7,340294,0.4,452391,2.00 52,1,2024-09-07 10:02:20:579,1165782,1165782,0,0,547686113436,5731681748,1149426,13962,2394,368,391805,0 52,2,2024-09-07 10:02:21:756,836040,836002,38,0,41432840,0,6742 52,3,2024-09-07 10:02:20:677,1,664,49,0,1782,7838,664,0 53,0,2024-09-07 10:02:21:745,168848,0.6,163911,0.8,342877,0.7,449039,2.25 53,1,2024-09-07 10:02:20:771,1164044,1164044,0,0,547234649006,5738184627,1145201,14435,4408,367,391968,0 53,2,2024-09-07 10:02:21:301,839618,839480,138,0,36989360,0,7690 53,3,2024-09-07 10:02:20:714,1,664,1,0,308,6031,664,0 54,0,2024-09-07 10:02:21:622,160895,0.6,161282,0.7,321286,0.5,428969,2.25 54,1,2024-09-07 10:02:20:581,1166996,1166996,0,0,548376038316,5701018170,1155483,9840,1673,366,391810,0 54,2,2024-09-07 10:02:20:867,840063,840031,32,0,40467840,0,6397 54,3,2024-09-07 10:02:20:778,1,664,3,0,676,8316,664,0 55,0,2024-09-07 10:02:21:763,158012,0.7,162937,0.8,330675,0.6,431137,2.50 55,1,2024-09-07 10:02:20:772,1167928,1167928,0,0,547537833997,5695106430,1155938,10504,1486,365,391731,0 55,2,2024-09-07 10:02:20:736,839014,838958,56,0,37562048,0,7239 55,3,2024-09-07 10:02:20:673,1,664,12,0,304,6123,664,0 56,0,2024-09-07 10:02:21:555,172264,1.1,162408,1.1,334572,1.4,447951,2.75 56,1,2024-09-07 10:02:20:576,1160763,1160763,0,0,545083289591,5762488839,1141760,15251,3752,381,391867,0 56,2,2024-09-07 10:02:21:308,838558,838436,122,0,39820699,0,7432 56,3,2024-09-07 10:02:21:066,1,664,1,0,705,8079,664,0 57,0,2024-09-07 10:02:20:944,167802,1.4,167754,1.2,335476,1.9,448509,3.00 57,1,2024-09-07 10:02:21:008,1163679,1163679,0,0,545850973810,5727732959,1148193,12796,2690,366,392032,0 57,2,2024-09-07 10:02:21:324,837023,837023,0,0,41858346,0,4804 57,3,2024-09-07 10:02:21:747,1,664,2,0,455,7254,664,0 58,0,2024-09-07 10:02:20:564,161989,0.9,157350,1.0,329297,1.1,430730,2.50 58,1,2024-09-07 10:02:20:582,1164641,1164638,0,3,547346052915,5739029057,1146655,13610,4373,367,391726,3 58,2,2024-09-07 10:02:21:072,840428,840428,0,0,38706967,0,3483 58,3,2024-09-07 10:02:21:069,1,664,5,0,1043,7309,664,0 59,0,2024-09-07 10:02:21:752,161647,0.8,160993,1.0,321956,0.9,427693,2.75 59,1,2024-09-07 10:02:20:811,1164396,1164396,0,0,546237100497,5738229579,1145404,15157,3835,369,391653,0 59,2,2024-09-07 10:02:20:582,840063,840063,0,0,36775113,0,3727 59,3,2024-09-07 10:02:21:746,1,664,8,0,1015,7903,664,0 60,0,2024-09-07 10:02:21:708,167324,0.5,167380,0.7,334920,0.5,446791,1.75 60,1,2024-09-07 10:02:20:776,1168101,1168101,0,0,548916367206,5714399834,1157914,8781,1406,370,392031,0 60,2,2024-09-07 10:02:21:153,840720,840720,0,0,35259996,0,3811 60,3,2024-09-07 10:02:21:258,1,664,3,0,409,7610,664,0 61,0,2024-09-07 10:02:21:499,169171,0.6,169900,0.8,338218,0.6,451207,2.00 61,1,2024-09-07 10:02:20:789,1165527,1165527,0,0,547281265428,5730304981,1153271,10480,1776,382,392127,0 61,2,2024-09-07 10:02:21:122,839067,839000,67,0,36036614,0,6411 61,3,2024-09-07 10:02:21:688,1,664,6,0,607,8396,664,0 62,0,2024-09-07 10:02:21:718,167700,0.6,172023,0.7,328361,0.5,445648,2.00 62,1,2024-09-07 10:02:21:111,1172642,1172636,0,6,549838171944,5673782265,1166105,6057,474,365,391975,6 62,2,2024-09-07 10:02:21:645,838320,838319,1,0,35521293,0,5555 62,3,2024-09-07 10:02:21:149,1,664,0,0,482,5320,664,0 63,0,2024-09-07 10:02:21:456,163022,0.4,162694,0.6,326217,0.3,432950,1.75 63,1,2024-09-07 10:02:20:809,1169106,1169100,0,6,549385415035,5705859161,1161511,6661,928,381,391800,6 63,2,2024-09-07 10:02:20:766,839776,839776,0,0,34793928,0,4369 63,3,2024-09-07 10:02:21:746,1,664,16,0,667,7180,664,0 64,0,2024-09-07 10:02:21:522,164214,0.5,164041,0.7,327799,0.5,437032,2.00 64,1,2024-09-07 10:02:20:775,1167257,1167257,0,0,548753703121,5714780571,1157071,8160,2026,370,391794,0 64,2,2024-09-07 10:02:21:152,843180,843161,19,0,33816194,0,6121 64,3,2024-09-07 10:02:21:143,1,664,4,0,651,7591,664,0 65,0,2024-09-07 10:02:21:670,168191,0.6,168515,0.7,336524,0.6,448748,2.00 65,1,2024-09-07 10:02:20:870,1165845,1165845,0,0,546605011428,5713565896,1157688,7260,897,381,391901,0 65,2,2024-09-07 10:02:21:699,838308,838308,0,0,39975016,0,3367 65,3,2024-09-07 10:02:21:698,1,664,4,0,782,7964,664,0 66,0,2024-09-07 10:02:21:762,169038,0.5,168396,0.7,337051,0.5,448530,2.00 66,1,2024-09-07 10:02:21:306,1167755,1167755,0,0,548178457242,5705497036,1160399,6484,872,380,391743,0 66,2,2024-09-07 10:02:21:138,842528,842525,3,0,35221182,0,5455 66,3,2024-09-07 10:02:21:088,1,664,1,0,291,6015,664,0 67,0,2024-09-07 10:02:21:419,162538,0.5,162078,0.7,324906,0.5,432864,2.00 67,1,2024-09-07 10:02:20:775,1167644,1167643,0,1,547325882150,5701039316,1159223,7280,1140,380,391787,1 67,2,2024-09-07 10:02:20:591,842739,842724,15,0,35433636,0,6205 67,3,2024-09-07 10:02:21:749,1,664,12,0,595,7153,664,0 68,0,2024-09-07 10:02:20:641,163891,0.6,163643,0.8,326070,0.6,436349,2.25 68,1,2024-09-07 10:02:20:583,1163268,1163268,0,0,545538638824,5741389793,1146906,12098,4264,381,391953,0 68,2,2024-09-07 10:02:21:068,835843,835743,100,0,43638332,0,8578 68,3,2024-09-07 10:02:20:742,1,664,1,0,417,8842,664,0 69,0,2024-09-07 10:02:21:737,169693,0.7,170316,0.8,339359,0.7,452569,2.25 69,1,2024-09-07 10:02:21:031,1162616,1162616,0,0,545480324869,5747546671,1147888,11747,2981,383,391994,0 69,2,2024-09-07 10:02:21:738,836858,836829,29,0,46805445,0,6912 69,3,2024-09-07 10:02:20:775,1,664,499,0,698,10039,664,0 70,0,2024-09-07 10:02:21:541,167728,0.8,168498,0.9,337835,0.7,448343,2.50 70,1,2024-09-07 10:02:20:802,1168716,1168716,0,0,549330680597,5702820162,1159335,8336,1045,366,391725,0 70,2,2024-09-07 10:02:21:343,838573,838573,0,0,38200273,0,4323 70,3,2024-09-07 10:02:20:752,1,664,1,0,854,7483,664,0 71,0,2024-09-07 10:02:21:357,162197,0.9,161816,1.0,324913,1.0,432734,2.50 71,1,2024-09-07 10:02:21:595,1166627,1166627,0,0,547567547147,5713936818,1152665,12336,1626,367,391738,0 71,2,2024-09-07 10:02:21:065,839152,839152,0,0,38170423,0,4352 71,3,2024-09-07 10:02:21:750,1,664,35,0,644,7402,664,0 72,0,2024-09-07 10:02:21:084,168527,0.5,164605,0.7,321514,0.5,437188,2.00 72,1,2024-09-07 10:02:21:037,1165223,1165223,0,0,546949561776,5733501809,1149010,13525,2688,369,391819,0 72,2,2024-09-07 10:02:21:756,838775,838775,0,0,40021602,0,3983 72,3,2024-09-07 10:02:21:754,1,664,1,0,564,9394,664,0 73,0,2024-09-07 10:02:21:152,164246,0.4,168444,0.6,344116,0.4,448465,2.00 73,1,2024-09-07 10:02:20:767,1166894,1166894,0,0,547836373637,5694145285,1157962,7945,987,367,391858,0 73,2,2024-09-07 10:02:21:745,839541,839540,1,0,41961771,0,5027 73,3,2024-09-07 10:02:20:983,1,664,3,0,1091,9048,664,0 74,0,2024-09-07 10:02:21:338,170504,0.5,174556,0.7,333281,0.4,452221,2.00 74,1,2024-09-07 10:02:20:635,1165578,1165578,0,0,546929596340,5706578396,1153461,9977,2140,381,391762,0 74,2,2024-09-07 10:02:21:003,836744,836744,0,0,36550585,0,4253 74,3,2024-09-07 10:02:21:452,1,664,19,0,522,7707,664,0 75,0,2024-09-07 10:02:21:772,165537,0.5,164544,0.7,330273,0.5,440624,2.25 75,1,2024-09-07 10:02:21:590,1167110,1167110,0,0,547500311399,5705279834,1157532,8646,932,380,391739,0 75,2,2024-09-07 10:02:21:360,839087,839087,0,0,43579503,0,4766 75,3,2024-09-07 10:02:21:069,1,664,19,0,918,9150,664,0 76,0,2024-09-07 10:02:20:578,161882,0.5,161220,0.7,322228,0.5,430938,2.25 76,1,2024-09-07 10:02:20:816,1166554,1166554,0,0,547219958461,5708638465,1158126,7124,1304,382,391790,0 76,2,2024-09-07 10:02:21:070,842894,842891,3,0,36304420,0,5265 76,3,2024-09-07 10:02:21:150,1,664,8,0,249,6250,664,0 77,0,2024-09-07 10:02:21:717,165724,0.6,166180,0.7,332582,0.5,442658,2.00 77,1,2024-09-07 10:02:20:832,1166308,1166308,0,0,547272433770,5713579698,1156816,8437,1055,381,391869,0 77,2,2024-09-07 10:02:21:295,839165,839165,0,0,35198063,0,3890 77,3,2024-09-07 10:02:21:099,1,664,6,0,401,7704,664,0 78,0,2024-09-07 10:02:21:719,169980,0.4,169300,0.6,339776,0.4,449335,2.00 78,1,2024-09-07 10:02:20:611,1165796,1165796,0,0,547438128223,5714535856,1150885,11675,3236,367,391670,0 78,2,2024-09-07 10:02:21:412,840246,840233,13,0,33030882,0,8313 78,3,2024-09-07 10:02:21:141,1,664,1,0,311,5640,664,0 79,0,2024-09-07 10:02:21:350,162496,0.4,166516,0.6,341054,0.3,443799,2.00 79,1,2024-09-07 10:02:20:571,1169948,1169948,0,0,549189166911,5690596306,1160148,8000,1800,367,391682,0 79,2,2024-09-07 10:02:21:071,841133,841133,0,0,31948067,0,4195 79,3,2024-09-07 10:02:20:749,1,664,14,0,418,7527,664,0 80,0,2024-09-07 10:02:21:111,162729,0.5,167082,0.7,319148,0.5,432126,2.00 80,1,2024-09-07 10:02:21:622,1166336,1166336,0,0,548125843112,5708032602,1158623,7165,548,368,392269,0 80,2,2024-09-07 10:02:21:104,842668,842668,0,0,32913089,0,4433 80,3,2024-09-07 10:02:20:586,1,664,10,0,681,7983,664,0 81,0,2024-09-07 10:02:21:697,164406,0.6,168206,0.7,321077,0.6,436184,2.00 81,1,2024-09-07 10:02:21:650,1165165,1165165,0,0,546486861561,5711705322,1155785,8407,973,382,392001,0 81,2,2024-09-07 10:02:21:137,839500,839437,63,0,36285146,0,5932 81,3,2024-09-07 10:02:21:129,1,664,1,0,719,7309,664,0 82,0,2024-09-07 10:02:21:541,170334,0.5,170437,0.7,341358,0.5,452891,2.00 82,1,2024-09-07 10:02:20:583,1167483,1167479,0,4,548650910118,5708227360,1160631,5725,1123,381,391768,4 82,2,2024-09-07 10:02:21:701,842314,842314,0,0,30596234,0,4484 82,3,2024-09-07 10:02:21:755,1,664,4,0,363,6068,664,0 83,0,2024-09-07 10:02:21:525,169513,0.6,169396,0.7,338399,0.5,449684,2.25 83,1,2024-09-07 10:02:20:551,1166301,1166301,0,0,547149693564,5706708093,1157434,7842,1025,382,391709,0 83,2,2024-09-07 10:02:20:766,839847,839822,25,0,33029619,0,5612 83,3,2024-09-07 10:02:20:761,1,664,2,0,1260,7846,664,0 84,0,2024-09-07 10:02:21:761,161272,0.7,161323,0.9,322783,0.7,430858,2.25 84,1,2024-09-07 10:02:21:045,1164733,1164733,0,0,546919050602,5722847598,1151074,11576,2083,367,391967,0 84,2,2024-09-07 10:02:20:584,838220,837810,410,0,47176776,0,17037 84,3,2024-09-07 10:02:21:149,1,664,0,0,908,9242,664,0 85,0,2024-09-07 10:02:21:009,157280,0.7,157237,0.8,333894,0.6,431081,2.25 85,1,2024-09-07 10:02:20:563,1161508,1161508,0,0,545666900726,5759203555,1144010,14569,2929,381,392092,0 85,2,2024-09-07 10:02:20:872,839669,839669,0,0,40613416,0,4255 85,3,2024-09-07 10:02:20:696,1,664,3,0,789,7658,664,0 86,0,2024-09-07 10:02:20:915,168412,0.7,173345,0.8,331430,0.7,448182,2.25 86,1,2024-09-07 10:02:20:863,1163732,1163732,0,0,546577191321,5739227770,1146812,13638,3282,366,392169,0 86,2,2024-09-07 10:02:20:872,838977,838976,1,0,42949774,0,5004 86,3,2024-09-07 10:02:20:587,1,664,4,0,308,8970,664,0 87,0,2024-09-07 10:02:21:313,170134,0.8,168983,0.8,338427,0.9,452366,2.25 87,1,2024-09-07 10:02:20:550,1163814,1163814,0,0,546818801106,5729039558,1149158,12531,2125,366,392076,0 87,2,2024-09-07 10:02:21:067,836639,836633,6,0,39797187,0,6323 87,3,2024-09-07 10:02:21:796,1,664,11,0,473,9289,664,0 88,0,2024-09-07 10:02:21:443,164283,0.4,164774,0.6,329349,0.4,438218,1.75 88,1,2024-09-07 10:02:20:570,1163047,1163047,0,0,546091821280,5723046526,1146635,13283,3129,365,392084,0 88,2,2024-09-07 10:02:20:696,839787,839787,0,0,41309256,0,4465 88,3,2024-09-07 10:02:21:271,1,664,1,0,1080,10481,664,0 89,0,2024-09-07 10:02:21:797,167536,0.4,162537,0.6,320605,0.4,436135,1.75 89,1,2024-09-07 10:02:20:561,1162496,1162496,0,0,546847807196,5749263939,1148435,11872,2189,382,391866,0 89,2,2024-09-07 10:02:21:142,839678,839678,0,0,39300018,0,3173 89,3,2024-09-07 10:02:21:798,1,664,3,0,468,10727,664,0 90,0,2024-09-07 10:02:21:621,162273,0.4,166609,0.6,340291,0.4,444392,2.00 90,1,2024-09-07 10:02:20:606,1164094,1164094,0,0,545914992573,5717122035,1152834,10207,1053,380,391825,0 90,2,2024-09-07 10:02:21:412,836205,836200,5,0,42430775,0,6370 90,3,2024-09-07 10:02:20:951,1,664,31,0,364,8831,664,0 91,0,2024-09-07 10:02:21:089,169818,0.5,164742,0.6,344779,0.5,452082,1.75 91,1,2024-09-07 10:02:20:573,1161362,1161362,0,0,545889566830,5754868067,1143667,13734,3961,381,392047,0 91,2,2024-09-07 10:02:21:331,837716,837716,0,0,37760442,0,4713 91,3,2024-09-07 10:02:20:598,1,664,8,0,231,6301,664,0 92,0,2024-09-07 10:02:21:448,168671,0.5,172512,0.6,329102,0.4,445953,1.75 92,1,2024-09-07 10:02:20:581,1165714,1165714,0,0,547529592279,5719809790,1156514,7892,1308,381,392136,0 92,2,2024-09-07 10:02:21:350,840129,840129,0,0,34040384,0,3906 92,3,2024-09-07 10:02:21:012,1,664,281,0,281,5786,664,0 93,0,2024-09-07 10:02:20:949,163550,0.4,167500,0.6,319956,0.3,432950,1.75 93,1,2024-09-07 10:02:20:805,1166201,1166201,0,0,547075486224,5717940837,1152161,11608,2432,365,392048,0 93,2,2024-09-07 10:02:20:937,839969,839969,0,0,39808173,0,4913 93,3,2024-09-07 10:02:21:412,1,664,1,0,505,7431,664,0 94,0,2024-09-07 10:02:21:616,164231,0.4,165274,0.6,330770,0.3,439349,1.75 94,1,2024-09-07 10:02:20:567,1166840,1166840,0,0,548132389630,5716357763,1159113,7237,490,381,391850,0 94,2,2024-09-07 10:02:20:775,840154,840126,28,0,34476614,0,6179 94,3,2024-09-07 10:02:21:688,1,664,1,0,576,8240,664,0 95,0,2024-09-07 10:02:21:406,169526,0.4,169418,0.6,339164,0.3,451675,1.75 95,1,2024-09-07 10:02:20:903,1167803,1167803,0,0,548222065900,5697077080,1158762,8276,765,365,391852,0 95,2,2024-09-07 10:02:21:017,838729,838729,0,0,34291937,0,3308 95,3,2024-09-07 10:02:21:723,1,664,9,0,718,10107,664,0 96,0,2024-09-07 10:02:21:026,169444,0.3,169618,0.5,339356,0.3,451186,1.75 96,1,2024-09-07 10:02:21:582,1165832,1165832,0,0,547540945478,5713495324,1157372,7167,1293,384,391964,0 96,2,2024-09-07 10:02:21:272,841251,841251,0,0,34680071,0,4180 96,3,2024-09-07 10:02:21:140,1,664,1,0,411,7613,664,0 97,0,2024-09-07 10:02:21:375,162504,0.3,162323,0.5,325353,0.3,432733,1.50 97,1,2024-09-07 10:02:20:772,1167689,1167689,0,0,548167542878,5689969751,1159862,6590,1237,367,392140,0 97,2,2024-09-07 10:02:20:607,841272,841272,0,0,35215571,0,4600 97,3,2024-09-07 10:02:20:570,1,664,208,0,433,8183,664,0 98,0,2024-09-07 10:02:21:702,163473,0.3,163546,0.5,328336,0.3,436714,1.50 98,1,2024-09-07 10:02:20:581,1167246,1167246,0,0,547641676429,5706299225,1159821,6527,898,381,391997,0 98,2,2024-09-07 10:02:20:791,839826,839826,0,0,33507952,0,4336 98,3,2024-09-07 10:02:20:718,1,664,10,0,840,9424,664,0 99,0,2024-09-07 10:02:21:486,170506,0.3,171392,0.5,341464,0.3,455737,1.75 99,1,2024-09-07 10:02:21:732,1167855,1167855,0,0,547794436863,5703554239,1160132,6617,1106,380,392069,0 99,2,2024-09-07 10:02:21:438,839340,839340,0,0,42649305,0,4276 99,3,2024-09-07 10:02:20:584,1,664,18,0,1124,8360,664,0 100,0,2024-09-07 10:02:21:461,169116,0.8,169611,0.9,339049,0.9,452292,2.50 100,1,2024-09-07 10:02:20:569,1160741,1160741,0,0,545359608739,5768241360,1142480,14522,3739,378,391989,0 100,2,2024-09-07 10:02:21:823,834555,834166,389,0,44184883,0,16909 100,3,2024-09-07 10:02:21:742,1,664,5,0,627,10540,664,0 101,0,2024-09-07 10:02:21:705,166901,1.0,162894,1.0,318616,0.9,434537,2.25 101,1,2024-09-07 10:02:20:553,1163030,1163030,0,0,546325343514,5744189666,1146833,13264,2933,368,391847,0 101,2,2024-09-07 10:02:21:756,834658,834619,39,0,45389194,0,5913 101,3,2024-09-07 10:02:20:949,1,664,1,0,1250,9838,664,0 102,0,2024-09-07 10:02:21:058,158768,0.6,163488,0.8,332205,0.6,433887,2.25 102,1,2024-09-07 10:02:21:159,1162951,1162951,0,0,546627185296,5740775863,1147633,13100,2218,369,391984,0 102,2,2024-09-07 10:02:21:752,840812,840758,54,0,38035742,0,6768 102,3,2024-09-07 10:02:21:615,1,664,93,0,466,7729,664,0 103,0,2024-09-07 10:02:21:630,173837,0.6,173838,0.7,327727,0.7,450725,2.00 103,1,2024-09-07 10:02:21:638,1161908,1161908,0,0,545826516149,5764544975,1143373,14911,3624,381,392077,0 103,2,2024-09-07 10:02:20:591,838255,838255,0,0,41419783,0,3766 103,3,2024-09-07 10:02:20:757,1,664,14,0,916,7739,664,0 104,0,2024-09-07 10:02:21:026,168214,0.7,168560,0.9,336284,0.7,449382,2.25 104,1,2024-09-07 10:02:21:602,1164534,1164534,0,0,546283676167,5741263887,1147051,14238,3245,365,392168,0 104,2,2024-09-07 10:02:21:670,835252,835252,0,0,40091737,0,4161 104,3,2024-09-07 10:02:21:422,1,664,2,0,1245,11544,664,0 105,0,2024-09-07 10:02:21:027,163527,0.8,159425,1.0,334209,0.9,438595,2.50 105,1,2024-09-07 10:02:20:660,1166609,1166609,0,0,546932110236,5726741595,1152590,12061,1958,364,392009,0 105,2,2024-09-07 10:02:21:324,839025,839025,0,0,41045404,0,4360 105,3,2024-09-07 10:02:21:319,1,664,181,0,573,10089,664,0 106,0,2024-09-07 10:02:20:961,156784,0.9,160676,0.9,328868,1.0,429291,2.50 106,1,2024-09-07 10:02:21:751,1163832,1163832,0,0,546080208264,5728818093,1147990,13813,2029,368,391914,0 106,2,2024-09-07 10:02:20:771,839623,839623,0,0,38135462,0,3331 106,3,2024-09-07 10:02:20:683,1,664,30,0,1224,9079,664,0 107,0,2024-09-07 10:02:21:123,165865,1.0,165988,1.0,332070,1.2,443348,2.25 107,1,2024-09-07 10:02:20:625,1162036,1162036,0,0,544709483703,5755778770,1143635,16214,2187,381,392234,0 107,2,2024-09-07 10:02:21:303,835824,835823,1,0,41563567,0,5024 107,3,2024-09-07 10:02:21:755,1,664,12,0,733,9842,664,0 108,0,2024-09-07 10:02:21:865,169022,0.4,169868,0.6,338686,0.4,451131,1.75 108,1,2024-09-07 10:02:21:303,1165710,1165710,0,0,547900362422,5715917228,1155927,8697,1086,367,391894,0 108,2,2024-09-07 10:02:21:776,839517,839517,0,0,37372664,0,4432 108,3,2024-09-07 10:02:21:333,1,664,2,0,767,12322,664,0 109,0,2024-09-07 10:02:21:808,169070,0.4,167696,0.6,336409,0.3,448404,1.75 109,1,2024-09-07 10:02:20:583,1163553,1163553,0,0,546975148007,5739135120,1153064,8986,1503,382,392132,0 109,2,2024-09-07 10:02:20:925,838977,838977,0,0,37073566,0,3617 109,3,2024-09-07 10:02:21:139,1,664,2,0,630,7715,664,0 110,0,2024-09-07 10:02:21:750,162430,0.4,157952,0.6,330835,0.3,433940,1.75 110,1,2024-09-07 10:02:21:647,1167994,1167994,0,0,548201587712,5690802382,1159238,6729,2027,368,392045,0 110,2,2024-09-07 10:02:21:315,840543,840543,0,0,36129202,0,4067 110,3,2024-09-07 10:02:20:692,1,664,1,0,722,8777,664,0 111,0,2024-09-07 10:02:21:423,165259,0.4,164447,0.5,328604,0.3,439013,1.75 111,1,2024-09-07 10:02:21:007,1169228,1169228,0,0,549238012143,5700266193,1162726,6113,389,380,391690,0 111,2,2024-09-07 10:02:21:117,839999,839999,0,0,35719289,0,4823 111,3,2024-09-07 10:02:20:922,1,664,12,0,379,7397,664,0 112,0,2024-09-07 10:02:20:928,171164,0.3,170622,0.4,342103,0.2,454143,1.50 112,1,2024-09-07 10:02:20:831,1167917,1167917,0,0,547935913786,5689299862,1160387,6305,1225,380,391624,0 112,2,2024-09-07 10:02:21:142,840251,840250,1,0,34247138,0,5036 112,3,2024-09-07 10:02:20:595,1,664,0,0,282,6582,664,0 113,0,2024-09-07 10:02:20:912,169464,0.3,169610,0.5,339724,0.2,452036,1.75 113,1,2024-09-07 10:02:21:693,1171255,1171255,0,0,550870669773,5684589068,1164400,5905,950,365,391664,0 113,2,2024-09-07 10:02:21:311,841817,841817,0,0,31317320,0,3813 113,3,2024-09-07 10:02:20:695,1,664,2,0,510,6908,664,0 114,0,2024-09-07 10:02:20:873,162937,0.3,163968,0.5,327064,0.2,436256,1.75 114,1,2024-09-07 10:02:20:720,1167492,1167492,0,0,548002394250,5690799571,1158938,6786,1768,381,391565,0 114,2,2024-09-07 10:02:20:883,841825,841824,1,0,33464688,0,5069 114,3,2024-09-07 10:02:21:288,1,664,2,0,415,5498,664,0 115,0,2024-09-07 10:02:20:553,164472,0.3,165060,0.4,328685,0.2,437902,1.50 115,1,2024-09-07 10:02:20:571,1167811,1167811,0,0,548506304011,5699295625,1158338,7683,1790,382,391757,0 115,2,2024-09-07 10:02:21:129,842265,842265,0,0,33381254,0,4382 115,3,2024-09-07 10:02:21:006,1,664,12,0,173,4292,664,0 116,0,2024-09-07 10:02:21:699,168285,0.7,168297,0.8,337224,0.7,450466,2.00 116,1,2024-09-07 10:02:20:809,1161620,1161620,0,0,546275274129,5755652374,1147919,10492,3209,380,392089,0 116,2,2024-09-07 10:02:21:756,839570,839570,0,0,40954607,0,4475 116,3,2024-09-07 10:02:20:924,1,664,1,0,448,8715,664,0 117,0,2024-09-07 10:02:20:957,169946,0.7,169590,0.8,339396,0.7,453556,2.00 117,1,2024-09-07 10:02:21:588,1163700,1163700,0,0,546054698754,5720088586,1150542,11478,1680,369,392429,0 117,2,2024-09-07 10:02:21:117,839197,839197,0,0,36961004,0,4303 117,3,2024-09-07 10:02:21:070,1,664,6,0,490,8358,664,0 118,0,2024-09-07 10:02:21:766,159369,0.5,163705,0.7,334064,0.5,436652,2.00 118,1,2024-09-07 10:02:20:595,1162804,1162804,0,0,546077068363,5740415983,1145693,13292,3819,366,392054,0 118,2,2024-09-07 10:02:21:589,839139,839139,0,0,39965871,0,3161 118,3,2024-09-07 10:02:21:764,1,664,93,0,343,8138,664,0 119,0,2024-09-07 10:02:21:361,162714,0.7,163221,0.8,325831,0.8,434707,2.25 119,1,2024-09-07 10:02:20:547,1163764,1163764,0,0,546752555613,5734826170,1148116,12986,2662,367,391857,0 119,2,2024-09-07 10:02:21:275,840914,840914,0,0,36848618,0,4309 119,3,2024-09-07 10:02:21:333,1,664,8,0,1358,11920,664,0 120,0,2024-09-07 10:02:21:551,166541,0.6,166207,0.8,334225,0.7,445793,2.25 120,1,2024-09-07 10:02:20:858,1164610,1164610,0,0,545864946071,5724046395,1152116,11337,1157,367,392144,0 120,2,2024-09-07 10:02:20:780,838533,838530,3,0,44333235,0,5363 120,3,2024-09-07 10:02:21:311,1,664,3,0,478,8474,664,0 121,0,2024-09-07 10:02:21:734,169471,1.1,168935,1.0,337975,1.5,450586,2.25 121,1,2024-09-07 10:02:21:665,1164470,1164470,0,0,547016138015,5723662030,1152050,10576,1844,366,391840,0 121,2,2024-09-07 10:02:21:136,838199,838199,0,0,40008976,0,4157 121,3,2024-09-07 10:02:20:735,1,664,5,0,387,8535,664,0 122,0,2024-09-07 10:02:21:819,166603,0.7,162046,0.8,339201,0.8,445663,2.00 122,1,2024-09-07 10:02:20:872,1163888,1163888,0,0,546429314765,5732457357,1148222,13177,2489,365,392130,0 122,2,2024-09-07 10:02:21:324,840272,840197,75,0,43687271,0,5989 122,3,2024-09-07 10:02:20:598,1,664,10,0,512,10298,664,0 123,0,2024-09-07 10:02:20:962,161689,0.8,157518,0.9,330197,0.9,431475,2.25 123,1,2024-09-07 10:02:20:571,1164617,1164617,0,0,547154577083,5752363736,1146339,15389,2889,369,392039,0 123,2,2024-09-07 10:02:21:019,838973,838972,1,0,37617812,0,5215 123,3,2024-09-07 10:02:21:138,1,664,14,0,478,7436,664,0 124,0,2024-09-07 10:02:20:928,169416,0.4,169503,0.5,319468,0.3,440045,1.75 124,1,2024-09-07 10:02:21:028,1167645,1167645,0,0,547815714241,5696254014,1158811,7600,1234,365,392178,0 124,2,2024-09-07 10:02:21:013,841596,841543,53,0,34988828,0,6487 124,3,2024-09-07 10:02:20:770,1,664,2,0,490,6591,664,0 125,0,2024-09-07 10:02:21:451,169505,0.4,169325,0.6,339396,0.4,452109,1.75 125,1,2024-09-07 10:02:20:875,1165424,1165424,0,0,547157847841,5717763533,1155150,8764,1510,382,392045,0 125,2,2024-09-07 10:02:21:114,841915,841915,0,0,34863720,0,4534 125,3,2024-09-07 10:02:21:136,1,664,5,0,709,7531,664,0 126,0,2024-09-07 10:02:21:501,169682,0.4,174408,0.5,333508,0.3,451942,1.75 126,1,2024-09-07 10:02:20:563,1168652,1168652,0,0,548446838435,5682999866,1161770,6203,679,365,391987,0 126,2,2024-09-07 10:02:20:610,841509,841509,0,0,35870605,0,4539 126,3,2024-09-07 10:02:20:911,1,664,1,0,268,7605,664,0 127,0,2024-09-07 10:02:21:708,162773,0.3,163048,0.5,325439,0.3,433002,1.75 127,1,2024-09-07 10:02:20:576,1166804,1166804,0,0,548034184598,5703892710,1154749,10364,1691,364,392187,0 127,2,2024-09-07 10:02:20:648,841122,841118,4,0,34117061,0,5305 127,3,2024-09-07 10:02:21:271,1,664,11,0,968,6562,664,0 128,0,2024-09-07 10:02:21:546,164586,0.3,164474,0.4,328938,0.2,437405,1.50 128,1,2024-09-07 10:02:21:611,1166309,1166309,0,0,547742068486,5696468121,1157453,7886,970,367,392423,0 128,2,2024-09-07 10:02:21:385,842302,842302,0,0,32366790,0,3171 128,3,2024-09-07 10:02:20:779,1,664,2,0,1082,10052,664,0 129,0,2024-09-07 10:02:21:005,171732,0.3,171006,0.5,343061,0.3,456242,1.75 129,1,2024-09-07 10:02:20:574,1163346,1163346,0,0,547033996103,5739635905,1150626,10391,2329,379,391962,0 129,2,2024-09-07 10:02:20:694,841712,841708,4,0,34891652,0,5335 129,3,2024-09-07 10:02:20:692,1,664,9,0,506,9767,664,0 130,0,2024-09-07 10:02:21:773,170369,0.4,170163,0.6,340615,0.4,453748,1.75 130,1,2024-09-07 10:02:20:596,1168071,1168071,0,0,548190453663,5692938431,1162387,5278,406,381,391825,0 130,2,2024-09-07 10:02:21:137,838830,838830,0,0,34625765,0,4067 130,3,2024-09-07 10:02:21:292,1,664,4,0,960,8631,664,0 131,0,2024-09-07 10:02:21:937,163267,0.3,163822,0.5,328571,0.3,436153,1.50 131,1,2024-09-07 10:02:21:825,1167257,1167257,0,0,547130969861,5702955891,1159249,6806,1202,381,391865,0 131,2,2024-09-07 10:02:20:567,840698,840698,0,0,32446467,0,3979 131,3,2024-09-07 10:02:21:698,1,664,8,0,392,8419,664,0 132,0,2024-09-07 10:02:21:446,163615,0.5,164589,0.6,327499,0.4,435806,2.00 132,1,2024-09-07 10:02:20:583,1162746,1162746,0,0,545161192234,5737992262,1146375,13591,2780,381,392532,0 132,2,2024-09-07 10:02:20:715,840048,840031,17,0,41558242,0,6451 132,3,2024-09-07 10:02:21:693,1,664,0,0,1298,11604,664,0 133,0,2024-09-07 10:02:21:566,164335,0.4,168213,0.6,345073,0.4,449094,2.00 133,1,2024-09-07 10:02:20:585,1162684,1162684,0,0,546496748797,5756354262,1147409,13343,1932,383,391914,0 133,2,2024-09-07 10:02:21:086,840972,840922,50,0,42539265,0,6861 133,3,2024-09-07 10:02:21:302,1,664,26,0,528,7770,664,0 134,0,2024-09-07 10:02:20:976,170059,0.5,169990,0.7,339951,0.5,452793,2.25 134,1,2024-09-07 10:02:20:585,1163544,1163544,0,0,546114795247,5732687267,1147583,12671,3290,366,391781,0 134,2,2024-09-07 10:02:21:757,837131,836992,139,0,39714823,0,7591 134,3,2024-09-07 10:02:20:758,1,664,1,0,739,8373,664,0 135,0,2024-09-07 10:02:21:121,160224,0.7,160241,0.8,340225,0.8,437837,2.25 135,1,2024-09-07 10:02:21:594,1163969,1163969,0,0,546699296132,5747703458,1149681,12436,1852,380,392038,0 135,2,2024-09-07 10:02:20:695,841268,841268,0,0,40225497,0,4503 135,3,2024-09-07 10:02:21:007,1,664,8,0,900,6327,664,0 136,0,2024-09-07 10:02:21:623,162671,0.6,163196,0.8,324158,0.6,432513,2.00 136,1,2024-09-07 10:02:21:448,1165155,1165155,0,0,546990342518,5729304644,1152996,10764,1395,381,392135,0 136,2,2024-09-07 10:02:21:142,842289,842274,15,0,39290298,0,6007 136,3,2024-09-07 10:02:21:114,1,664,1,0,637,7692,664,0 137,0,2024-09-07 10:02:20:931,171305,0.6,167098,0.7,327197,0.7,445021,2.00 137,1,2024-09-07 10:02:20:585,1163995,1163995,0,0,546940616495,5736217836,1145787,14571,3637,366,391898,0 137,2,2024-09-07 10:02:21:719,838317,838317,0,0,40361269,0,3185 137,3,2024-09-07 10:02:20:769,1,664,274,0,484,9574,664,0 138,0,2024-09-07 10:02:21:761,168416,1.0,168699,0.9,338085,1.2,449489,2.25 138,1,2024-09-07 10:02:21:707,1163981,1163981,0,0,546858023678,5738058738,1147608,13733,2640,368,391954,0 138,2,2024-09-07 10:02:20:586,837873,837873,0,0,39012167,0,4988 138,3,2024-09-07 10:02:20:614,1,664,1,0,1200,9969,664,0 139,0,2024-09-07 10:02:21:366,166879,0.9,167452,0.9,334803,1.2,446738,2.25 139,1,2024-09-07 10:02:20:573,1159688,1159688,0,0,543598753043,5761406134,1140098,15681,3909,380,392109,0 139,2,2024-09-07 10:02:20:702,834536,834506,30,0,44115431,0,5997 139,3,2024-09-07 10:02:21:683,1,664,24,0,432,7867,664,0 140,0,2024-09-07 10:02:21:589,163013,0.3,162258,0.5,325759,0.2,434187,1.75 140,1,2024-09-07 10:02:21:540,1171669,1171669,0,0,549116346157,5665414260,1165509,5423,737,364,391628,0 140,2,2024-09-07 10:02:20:692,840715,840714,1,0,32417296,0,5036 140,3,2024-09-07 10:02:20:767,1,664,3,0,575,6830,664,0 141,0,2024-09-07 10:02:21:705,164888,0.3,169461,0.5,323947,0.3,438704,1.75 141,1,2024-09-07 10:02:20:861,1168398,1168398,0,0,549454549325,5711646741,1158428,8584,1386,379,391614,0 141,2,2024-09-07 10:02:21:689,840997,840986,11,0,34734914,0,5369 141,3,2024-09-07 10:02:21:048,1,664,14,0,391,7608,664,0 142,0,2024-09-07 10:02:21:309,171275,0.3,170486,0.5,341535,0.3,455009,1.75 142,1,2024-09-07 10:02:20:584,1166380,1166380,0,0,547740301290,5712775716,1158347,7363,670,382,392102,0 142,2,2024-09-07 10:02:21:311,839145,839113,32,0,35054837,0,6028 142,3,2024-09-07 10:02:21:755,1,664,4,0,484,6897,664,0 143,0,2024-09-07 10:02:21:442,169606,0.4,169344,0.5,339857,0.4,452056,1.75 143,1,2024-09-07 10:02:20:560,1169285,1169285,0,0,548933762095,5696196105,1161677,6706,902,367,391900,0 143,2,2024-09-07 10:02:20:770,840828,840828,0,0,34538245,0,3123 143,3,2024-09-07 10:02:21:152,1,664,9,0,462,7730,664,0 144,0,2024-09-07 10:02:21:494,157843,0.6,162447,0.7,330578,0.5,432650,2.00 144,1,2024-09-07 10:02:20:568,1163200,1163200,0,0,545479195870,5726183448,1151473,9686,2041,381,391733,0 144,2,2024-09-07 10:02:21:936,841187,841187,0,0,34614675,0,4443 144,3,2024-09-07 10:02:21:747,1,664,1,0,306,7190,664,0 145,0,2024-09-07 10:02:21:365,158403,0.6,158436,0.8,336293,0.5,432940,2.25 145,1,2024-09-07 10:02:20:560,1162138,1162138,0,0,546028667224,5749088450,1146094,13079,2965,382,391781,0 145,2,2024-09-07 10:02:21:438,839208,839126,82,0,40471266,0,7814 145,3,2024-09-07 10:02:20:907,1,664,2,0,622,8757,664,0 146,0,2024-09-07 10:02:21:595,168899,0.6,167990,0.8,337820,0.6,448858,2.25 146,1,2024-09-07 10:02:21:599,1164567,1164567,0,0,546530077885,5747761723,1145994,13753,4820,367,391829,0 146,2,2024-09-07 10:02:21:699,838227,838221,6,0,38620377,0,5151 146,3,2024-09-07 10:02:21:289,1,664,10,0,1520,10813,664,0 147,0,2024-09-07 10:02:21:708,169910,0.6,169629,0.7,339222,0.6,452851,2.25 147,1,2024-09-07 10:02:21:374,1167871,1167871,0,0,548392409217,5700453857,1158242,8550,1079,367,391791,0 147,2,2024-09-07 10:02:21:013,837503,837503,0,0,34822174,0,3359 147,3,2024-09-07 10:02:20:922,1,664,8,0,1626,10153,664,0 0,0,2024-09-07 10:02:31:732,163029,0.7,162948,0.8,345720,0.7,447096,2.00 0,1,2024-09-07 10:02:30:804,1166783,1166783,0,0,547716080027,5735671580,1157134,8688,961,368,391896,0 0,2,2024-09-07 10:02:31:071,841842,841842,0,0,34280295,0,4480 0,3,2024-09-07 10:02:30:984,1,665,1,0,431,9244,665,0 1,0,2024-09-07 10:02:31:773,169375,0.8,168358,0.9,337976,1.0,451990,2.00 1,1,2024-09-07 10:02:30:559,1165300,1165300,0,0,547132911274,5734863225,1153944,9392,1964,370,391859,0 1,2,2024-09-07 10:02:30:638,840186,840186,0,0,33598753,0,3380 1,3,2024-09-07 10:02:31:311,1,665,3,0,269,7941,665,0 2,0,2024-09-07 10:02:31:576,167163,0.6,166847,0.7,333443,0.6,444559,2.00 2,1,2024-09-07 10:02:30:860,1169417,1169417,0,0,549865276866,5718490125,1162577,5879,961,379,391805,0 2,2,2024-09-07 10:02:31:269,842565,842565,0,0,32064452,0,3594 2,3,2024-09-07 10:02:30:695,1,665,11,0,357,5658,665,0 3,0,2024-09-07 10:02:31:744,162398,0.4,162352,0.6,324432,0.4,431850,2.00 3,1,2024-09-07 10:02:31:637,1167867,1167867,0,0,547861409540,5700232755,1159406,7743,718,379,391716,0 3,2,2024-09-07 10:02:31:152,843014,842991,23,0,33536111,0,5851 3,3,2024-09-07 10:02:31:754,1,665,8,0,484,5100,665,0 4,0,2024-09-07 10:02:31:789,160388,0.4,164826,0.5,336078,0.4,439805,1.75 4,1,2024-09-07 10:02:30:593,1162331,1162331,0,0,546345357074,5782475876,1142470,15260,4601,369,391992,0 4,2,2024-09-07 10:02:31:020,838399,838399,0,0,40786292,0,4534 4,3,2024-09-07 10:02:31:032,1,665,13,0,448,8914,665,0 5,0,2024-09-07 10:02:31:395,169701,0.4,169489,0.6,339403,0.4,451779,1.75 5,1,2024-09-07 10:02:30:814,1164009,1164009,0,0,545961450594,5762723282,1146450,13324,4235,367,392005,0 5,2,2024-09-07 10:02:31:840,837026,836993,33,0,41083845,0,7631 5,3,2024-09-07 10:02:31:732,1,665,5,0,457,8733,665,0 6,0,2024-09-07 10:02:30:914,169464,0.5,169024,0.6,338144,0.4,450355,2.00 6,1,2024-09-07 10:02:30:750,1166553,1166553,0,0,547800874056,5719408770,1154863,10100,1590,379,391702,0 6,2,2024-09-07 10:02:31:116,841418,841400,18,0,37857454,0,5535 6,3,2024-09-07 10:02:31:282,1,665,1,0,710,7902,665,0 7,0,2024-09-07 10:02:31:536,162096,0.5,163163,0.7,323996,0.5,432193,2.00 7,1,2024-09-07 10:02:30:851,1164526,1164526,0,0,547323955557,5760775733,1146850,13541,4135,382,391747,0 7,2,2024-09-07 10:02:30:773,840360,840191,169,0,38289623,0,7706 7,3,2024-09-07 10:02:30:859,1,665,16,0,552,7748,665,0 8,0,2024-09-07 10:02:31:369,164564,0.4,164168,0.5,328769,0.3,438260,1.75 8,1,2024-09-07 10:02:31:027,1163560,1163560,0,0,546881539122,5747970252,1145872,13961,3727,366,392853,0 8,2,2024-09-07 10:02:30:806,835744,835742,2,0,43505708,0,5112 8,3,2024-09-07 10:02:30:595,1,665,0,0,772,10169,665,0 9,0,2024-09-07 10:02:31:115,170945,0.4,166003,0.5,347506,0.4,456127,1.75 9,1,2024-09-07 10:02:30:553,1163182,1163182,0,0,546920556173,5766606532,1144362,14714,4106,369,392001,0 9,2,2024-09-07 10:02:31:084,839577,839576,1,0,41405652,0,5281 9,3,2024-09-07 10:02:31:754,1,665,1,0,1273,10923,665,0 10,0,2024-09-07 10:02:31:608,169792,0.4,169298,0.5,339534,0.3,451904,1.75 10,1,2024-09-07 10:02:30:586,1165207,1165207,0,0,546447324211,5734170503,1147941,13737,3529,381,391981,0 10,2,2024-09-07 10:02:30:813,839823,839823,0,0,44200387,0,4713 10,3,2024-09-07 10:02:30:874,1,665,1,0,669,7280,665,0 11,0,2024-09-07 10:02:31:006,163231,0.4,158489,0.6,331783,0.4,436479,1.75 11,1,2024-09-07 10:02:30:577,1167605,1167605,0,0,547179092409,5739062718,1150915,12380,4310,383,391766,0 11,2,2024-09-07 10:02:31:127,840268,840268,0,0,38382386,0,4698 11,3,2024-09-07 10:02:31:298,1,665,12,0,843,8280,665,0 12,0,2024-09-07 10:02:30:947,164829,0.4,164582,0.5,328696,0.3,437416,1.75 12,1,2024-09-07 10:02:30:944,1166543,1166543,0,0,547818009286,5716137322,1156944,8574,1025,370,391960,0 12,2,2024-09-07 10:02:31:548,840449,840449,0,0,38327462,0,4390 12,3,2024-09-07 10:02:31:060,1,665,0,0,386,8351,665,0 13,0,2024-09-07 10:02:31:326,169687,0.4,169947,0.6,339118,0.4,451576,1.75 13,1,2024-09-07 10:02:31:529,1164036,1164036,0,0,547068312708,5756255209,1151070,10103,2863,382,391803,0 13,2,2024-09-07 10:02:30:595,843192,843192,0,0,34524733,0,3287 13,3,2024-09-07 10:02:31:768,1,665,11,0,522,8894,665,0 14,0,2024-09-07 10:02:30:566,169606,0.4,170633,0.6,339359,0.4,451809,1.75 14,1,2024-09-07 10:02:31:569,1172400,1172400,0,0,550366996968,5694668690,1163353,7913,1134,364,391673,0 14,2,2024-09-07 10:02:30:824,839350,839320,30,0,35337710,0,6104 14,3,2024-09-07 10:02:31:115,1,665,9,0,1168,7010,665,0 15,0,2024-09-07 10:02:31:553,165571,0.4,164776,0.6,329781,0.3,439764,1.75 15,1,2024-09-07 10:02:31:608,1168661,1168661,0,0,548716992654,5717232215,1158488,8164,2009,381,391619,0 15,2,2024-09-07 10:02:31:005,843327,843327,0,0,31804156,0,3622 15,3,2024-09-07 10:02:31:406,1,665,8,0,1126,8486,665,0 16,0,2024-09-07 10:02:30:979,162394,0.6,163180,0.8,325325,0.6,433406,2.00 16,1,2024-09-07 10:02:30:603,1168038,1168038,0,0,547949926719,5729718634,1157421,9075,1542,370,392194,0 16,2,2024-09-07 10:02:31:444,841232,841232,0,0,35221979,0,4719 16,3,2024-09-07 10:02:31:145,1,665,3,0,362,8393,665,0 17,0,2024-09-07 10:02:31:804,171704,0.7,167500,0.8,327993,0.7,446331,2.00 17,1,2024-09-07 10:02:30:610,1166377,1166377,0,0,547298795226,5738661468,1155368,9215,1794,368,392075,0 17,2,2024-09-07 10:02:31:671,843502,843501,1,0,36363755,0,5050 17,3,2024-09-07 10:02:30:578,1,665,36,0,518,9664,665,0 18,0,2024-09-07 10:02:30:942,167702,0.7,168867,0.8,336033,0.7,448704,2.25 18,1,2024-09-07 10:02:31:638,1170863,1170863,0,0,549013417706,5696651911,1162759,6674,1430,367,391725,0 18,2,2024-09-07 10:02:31:755,842347,842347,0,0,32815325,0,3541 18,3,2024-09-07 10:02:30:897,1,665,1,0,1059,5979,665,0 19,0,2024-09-07 10:02:31:547,167559,0.6,168088,0.8,335022,0.7,445081,2.00 19,1,2024-09-07 10:02:30:568,1170155,1170155,0,0,549799813836,5699185372,1161313,7682,1160,365,391777,0 19,2,2024-09-07 10:02:31:754,844549,844549,0,0,30450279,0,3988 19,3,2024-09-07 10:02:31:130,1,665,8,0,524,5347,665,0 20,0,2024-09-07 10:02:31:399,162631,0.5,162519,0.7,324928,0.5,433250,2.00 20,1,2024-09-07 10:02:30:567,1165931,1165931,0,0,547626732911,5741714351,1153707,10520,1704,369,391922,0 20,2,2024-09-07 10:02:30:928,841034,841034,0,0,38514777,0,4321 20,3,2024-09-07 10:02:30:593,1,665,2,0,468,11409,665,0 21,0,2024-09-07 10:02:31:156,164803,0.5,164964,0.7,329757,0.5,438293,2.00 21,1,2024-09-07 10:02:31:556,1164058,1164058,0,0,545755262215,5762021834,1145499,14581,3978,368,392016,0 21,2,2024-09-07 10:02:31:071,835063,834586,477,0,49420141,0,17074 21,3,2024-09-07 10:02:31:423,1,665,4,0,713,8956,665,0 22,0,2024-09-07 10:02:31:719,170277,0.5,170925,0.7,341460,0.4,452832,2.25 22,1,2024-09-07 10:02:31:032,1164554,1164554,0,0,546966398709,5756225227,1145554,15397,3603,381,391822,0 22,2,2024-09-07 10:02:30:759,839540,839514,26,0,37191151,0,6328 22,3,2024-09-07 10:02:31:071,1,665,35,0,228,5554,665,0 23,0,2024-09-07 10:02:31:381,169378,0.5,169207,0.7,338278,0.4,451063,2.00 23,1,2024-09-07 10:02:31:012,1166265,1166265,0,0,547887860762,5770864105,1145187,14255,6823,365,391690,0 23,2,2024-09-07 10:02:31:095,841277,841277,0,0,34855135,0,3773 23,3,2024-09-07 10:02:31:753,1,665,8,0,855,9569,665,0 24,0,2024-09-07 10:02:30:842,164064,0.4,163045,0.5,327816,0.3,435594,1.75 24,1,2024-09-07 10:02:30:610,1165387,1165387,0,0,547082936292,5726268982,1154363,9159,1865,367,392269,0 24,2,2024-09-07 10:02:31:083,841058,841055,3,0,41344270,0,6294 24,3,2024-09-07 10:02:31:693,1,665,14,0,468,8819,665,0 25,0,2024-09-07 10:02:31:351,168694,0.4,163954,0.6,322669,0.4,439497,2.00 25,1,2024-09-07 10:02:30:785,1163787,1163787,0,0,546309928620,5770578092,1143700,16174,3913,369,391928,0 25,2,2024-09-07 10:02:31:608,839585,839585,0,0,42474559,0,3978 25,3,2024-09-07 10:02:31:004,1,665,5,0,532,7388,665,0 26,0,2024-09-07 10:02:31:719,168546,0.4,164751,0.6,345737,0.4,451119,1.75 26,1,2024-09-07 10:02:31:545,1167202,1167202,0,0,548048312060,5738049356,1151682,12618,2902,380,391758,0 26,2,2024-09-07 10:02:30:860,840629,840629,0,0,44502558,0,4689 26,3,2024-09-07 10:02:31:712,1,665,1,0,796,8204,665,0 27,0,2024-09-07 10:02:31:732,170050,0.4,170815,0.6,339537,0.4,453224,2.25 27,1,2024-09-07 10:02:31:676,1168530,1168530,0,0,549178439505,5722877903,1157356,9553,1621,381,391626,0 27,2,2024-09-07 10:02:30:867,835880,835815,65,0,38897591,0,5699 27,3,2024-09-07 10:02:31:021,1,665,9,0,564,5650,665,0 28,0,2024-09-07 10:02:31:386,164610,0.4,164903,0.6,329775,0.3,438783,1.75 28,1,2024-09-07 10:02:30:798,1169440,1169440,0,0,549705732530,5734349353,1158851,8336,2253,382,391904,0 28,2,2024-09-07 10:02:31:768,841831,841831,0,0,35111589,0,3674 28,3,2024-09-07 10:02:31:780,1,665,0,0,502,6978,665,0 29,0,2024-09-07 10:02:31:358,167895,0.4,163516,0.6,320666,0.3,437456,1.75 29,1,2024-09-07 10:02:31:570,1172124,1172124,0,0,550553208040,5696541192,1164627,6477,1020,367,391809,0 29,2,2024-09-07 10:02:30:860,841772,841772,0,0,33637284,0,4986 29,3,2024-09-07 10:02:30:969,1,665,13,0,459,6954,665,0 30,0,2024-09-07 10:02:31:455,166852,0.5,162485,0.7,340494,0.5,445389,2.00 30,1,2024-09-07 10:02:30:578,1170811,1170811,0,0,550325997500,5714235280,1161475,8209,1127,380,391672,0 30,2,2024-09-07 10:02:31:282,842685,842685,0,0,32482071,0,4192 30,3,2024-09-07 10:02:30:585,1,665,2,0,519,6857,665,0 31,0,2024-09-07 10:02:31:760,169184,0.5,169892,0.7,338832,0.4,452061,2.00 31,1,2024-09-07 10:02:30:564,1175211,1175211,0,0,550778615221,5657422028,1167883,6139,1189,356,391712,0 31,2,2024-09-07 10:02:31:286,838846,838846,0,0,36144580,0,4470 31,3,2024-09-07 10:02:31:706,1,665,1,0,239,5750,665,0 32,0,2024-09-07 10:02:31:415,167274,0.3,168396,0.5,335223,0.2,445966,1.75 32,1,2024-09-07 10:02:30:807,1170417,1170417,0,0,549591384943,5714275836,1162994,6460,963,381,391646,0 32,2,2024-09-07 10:02:30:936,844168,844168,0,0,31695184,0,3922 32,3,2024-09-07 10:02:31:021,1,665,11,0,304,5636,665,0 33,0,2024-09-07 10:02:31:497,163146,0.3,162689,0.4,325486,0.2,433081,1.50 33,1,2024-09-07 10:02:30:577,1171896,1171896,0,0,550352199853,5700863623,1162556,7989,1351,368,391730,0 33,2,2024-09-07 10:02:30:774,842305,842270,35,0,35114700,0,7012 33,3,2024-09-07 10:02:30:901,1,665,0,0,329,6132,665,0 34,0,2024-09-07 10:02:30:929,165613,0.3,170149,0.5,325439,0.2,439883,1.75 34,1,2024-09-07 10:02:31:050,1173876,1173876,0,0,551451287455,5675806221,1169916,3751,209,367,391637,0 34,2,2024-09-07 10:02:30:813,841967,841967,0,0,33128809,0,4562 34,3,2024-09-07 10:02:31:691,1,665,0,0,541,5858,665,0 35,0,2024-09-07 10:02:30:857,169098,0.3,169639,0.5,340357,0.3,452747,1.75 35,1,2024-09-07 10:02:31:073,1169761,1169761,0,0,548966204720,5697868648,1160879,7330,1552,382,391769,0 35,2,2024-09-07 10:02:31:585,841043,841043,0,0,38063450,0,4055 35,3,2024-09-07 10:02:30:907,1,665,8,0,466,6441,665,0 36,0,2024-09-07 10:02:31:524,169966,0.5,170074,0.6,339816,0.4,452108,2.00 36,1,2024-09-07 10:02:30:584,1167796,1167796,0,0,548156883393,5725131107,1153061,12381,2354,366,391759,0 36,2,2024-09-07 10:02:31:753,841702,841702,0,0,38218856,0,3875 36,3,2024-09-07 10:02:30:863,1,665,1,0,556,8698,665,0 37,0,2024-09-07 10:02:31:380,162072,0.5,162126,0.7,324429,0.5,432822,2.25 37,1,2024-09-07 10:02:30:579,1167645,1167638,0,7,547805199739,5723796767,1153463,11062,3113,365,391770,0 37,2,2024-09-07 10:02:31:149,839329,839314,15,0,37962044,0,5815 37,3,2024-09-07 10:02:31:766,1,665,4,0,888,9122,665,0 38,0,2024-09-07 10:02:31:443,163413,0.5,158363,0.7,331150,0.4,434481,2.00 38,1,2024-09-07 10:02:31:605,1167464,1167464,0,0,548838953172,5739232555,1150740,13420,3304,368,391821,0 38,2,2024-09-07 10:02:30:769,841126,841079,47,0,38427457,0,6710 38,3,2024-09-07 10:02:30:999,1,665,4,0,689,7831,665,0 39,0,2024-09-07 10:02:31:773,174163,0.6,170192,0.7,332403,0.5,453414,2.00 39,1,2024-09-07 10:02:30:759,1167038,1167038,0,0,547115593821,5738795135,1147543,15262,4233,365,391865,0 39,2,2024-09-07 10:02:31:422,840854,840854,0,0,36564457,0,3391 39,3,2024-09-07 10:02:30:713,1,665,9,0,525,7452,665,0 40,0,2024-09-07 10:02:31:497,168347,0.8,169071,0.9,337379,0.9,450723,2.75 40,1,2024-09-07 10:02:30:576,1167087,1167087,0,0,547009480218,5733478194,1149092,14468,3527,366,391668,0 40,2,2024-09-07 10:02:31:308,837175,837168,7,0,42356326,0,5347 40,3,2024-09-07 10:02:31:151,1,665,2,0,1028,9401,665,0 41,0,2024-09-07 10:02:31:028,162595,1.2,166463,1.1,317463,1.6,431118,3.00 41,1,2024-09-07 10:02:30:776,1166217,1166217,0,0,548295604886,5733015154,1151483,12427,2307,369,391878,0 41,2,2024-09-07 10:02:30:777,837613,837612,1,0,40944221,0,5408 41,3,2024-09-07 10:02:31:676,1,665,176,0,366,7215,665,0 42,0,2024-09-07 10:02:31:472,162481,0.9,162301,1.0,324745,0.9,431064,2.50 42,1,2024-09-07 10:02:31:440,1164866,1164866,0,0,546783045701,5740845806,1147578,14331,2957,380,391675,0 42,2,2024-09-07 10:02:31:134,839202,839201,1,0,42419658,0,5513 42,3,2024-09-07 10:02:31:009,1,665,6,0,892,6811,665,0 43,0,2024-09-07 10:02:30:918,167234,0.7,162780,0.9,341092,0.8,446503,2.25 43,1,2024-09-07 10:02:30:576,1167482,1167482,0,0,548964464670,5735264939,1151644,13091,2747,366,391696,0 43,2,2024-09-07 10:02:31:736,842208,842208,0,0,39036122,0,4723 43,3,2024-09-07 10:02:31:753,1,665,8,0,571,9194,665,0 44,0,2024-09-07 10:02:30:858,169871,0.4,170138,0.6,339437,0.4,452823,1.75 44,1,2024-09-07 10:02:30:566,1170833,1170833,0,0,549448222946,5681489271,1160357,8402,2074,356,391809,0 44,2,2024-09-07 10:02:31:268,837665,837665,0,0,31992978,0,4344 44,3,2024-09-07 10:02:31:095,1,665,1,0,1097,8360,665,0 45,0,2024-09-07 10:02:31:758,163735,0.5,159921,0.7,335717,0.4,439753,2.00 45,1,2024-09-07 10:02:31:005,1169838,1169838,0,0,549273315975,5710107653,1160524,8093,1221,382,391917,0 45,2,2024-09-07 10:02:31:268,842449,842449,0,0,32337921,0,3596 45,3,2024-09-07 10:02:30:935,1,665,3,0,531,6285,665,0 46,0,2024-09-07 10:02:30:951,161663,0.5,161170,0.7,323382,0.5,430133,2.00 46,1,2024-09-07 10:02:30:580,1172023,1172023,0,0,549945090412,5687676380,1163666,7286,1071,366,391709,0 46,2,2024-09-07 10:02:30:596,843121,843121,0,0,33619754,0,4443 46,3,2024-09-07 10:02:31:133,1,665,2,0,908,7554,665,0 47,0,2024-09-07 10:02:31:103,167312,0.4,166910,0.6,335175,0.4,444790,2.00 47,1,2024-09-07 10:02:30:567,1173296,1173296,0,0,550351301691,5688592619,1165937,6346,1013,364,391666,0 47,2,2024-09-07 10:02:30:908,844086,844086,0,0,33614873,0,4477 47,3,2024-09-07 10:02:31:115,1,665,8,0,600,7194,665,0 48,0,2024-09-07 10:02:31:504,169816,0.3,170316,0.4,339487,0.2,451648,1.50 48,1,2024-09-07 10:02:31:028,1170485,1170485,0,0,549239189217,5708190520,1162909,6564,1012,381,391710,0 48,2,2024-09-07 10:02:30:706,840838,840838,0,0,31099121,0,3619 48,3,2024-09-07 10:02:30:776,1,665,1,0,339,5887,665,0 49,0,2024-09-07 10:02:31:711,173084,0.3,169514,0.5,329859,0.3,449552,1.75 49,1,2024-09-07 10:02:31:022,1169607,1169607,0,0,549266411004,5713662924,1161753,6157,1697,382,391809,0 49,2,2024-09-07 10:02:31:799,843040,843040,0,0,32503282,0,4426 49,3,2024-09-07 10:02:31:421,1,665,26,0,992,7924,665,0 50,0,2024-09-07 10:02:31:509,163172,0.3,161837,0.5,325860,0.2,432935,1.75 50,1,2024-09-07 10:02:31:010,1172568,1172568,0,0,550561938236,5692950895,1165107,6730,731,368,391691,0 50,2,2024-09-07 10:02:31:071,840363,840363,0,0,30424120,0,4490 50,3,2024-09-07 10:02:31:300,1,665,3,0,617,6671,665,0 51,0,2024-09-07 10:02:31:683,169394,0.3,165735,0.5,322655,0.2,440363,1.75 51,1,2024-09-07 10:02:31:681,1172802,1172802,0,0,551993510314,5692992140,1166163,5297,1342,365,391706,0 51,2,2024-09-07 10:02:31:319,841634,841634,0,0,29969362,0,3337 51,3,2024-09-07 10:02:31:027,1,665,8,0,678,5328,665,0 52,0,2024-09-07 10:02:31:418,170363,0.5,170441,0.7,340489,0.4,452634,2.00 52,1,2024-09-07 10:02:30:585,1167467,1167467,0,0,548470005993,5740174364,1151106,13967,2394,368,391805,0 52,2,2024-09-07 10:02:31:753,837224,837186,38,0,41511094,0,6742 52,3,2024-09-07 10:02:30:686,1,665,3,0,1782,7841,665,0 53,0,2024-09-07 10:02:31:731,169121,0.6,164177,0.8,343380,0.7,449628,2.25 53,1,2024-09-07 10:02:30:773,1165775,1165775,0,0,548194939264,5748906408,1146747,14616,4412,367,391968,0 53,2,2024-09-07 10:02:31:298,840955,840817,138,0,37385700,0,7690 53,3,2024-09-07 10:02:30:697,1,665,83,0,308,6114,665,0 54,0,2024-09-07 10:02:31:626,161173,0.6,161573,0.7,321876,0.5,429867,2.25 54,1,2024-09-07 10:02:30:584,1168785,1168785,0,0,549254228746,5710959743,1157182,9930,1673,366,391810,0 54,2,2024-09-07 10:02:30:866,841426,841394,32,0,40590105,0,6397 54,3,2024-09-07 10:02:30:773,1,665,43,0,676,8359,665,0 55,0,2024-09-07 10:02:31:759,158385,0.7,163306,0.8,331390,0.7,431849,2.50 55,1,2024-09-07 10:02:30:777,1169515,1169515,0,0,548446446353,5704789095,1157504,10525,1486,365,391731,0 55,2,2024-09-07 10:02:30:730,840164,840108,56,0,37652249,0,7239 55,3,2024-09-07 10:02:30:674,1,665,8,0,304,6131,665,0 56,0,2024-09-07 10:02:31:575,172607,1.1,162762,1.1,335244,1.4,448898,2.75 56,1,2024-09-07 10:02:30:577,1162528,1162528,0,0,546008364206,5772390394,1143497,15279,3752,381,391867,0 56,2,2024-09-07 10:02:31:302,839778,839656,122,0,39935641,0,7432 56,3,2024-09-07 10:02:31:064,1,665,2,0,705,8081,665,0 57,0,2024-09-07 10:02:30:937,168133,1.4,168067,1.2,336133,1.9,449335,3.00 57,1,2024-09-07 10:02:30:995,1165361,1165361,0,0,546510604352,5734668242,1149875,12796,2690,366,392032,0 57,2,2024-09-07 10:02:31:333,838107,838107,0,0,41931549,0,4804 57,3,2024-09-07 10:02:31:746,1,665,1,0,455,7255,665,0 58,0,2024-09-07 10:02:30:558,162171,0.9,157526,1.0,329625,1.1,431135,2.50 58,1,2024-09-07 10:02:30:575,1166299,1166296,0,3,548147968433,5748081784,1148233,13690,4373,367,391726,3 58,2,2024-09-07 10:02:31:071,841765,841765,0,0,38768024,0,3483 58,3,2024-09-07 10:02:31:071,1,665,1,0,1043,7310,665,0 59,0,2024-09-07 10:02:31:739,161907,0.8,161276,1.0,322543,0.9,428288,2.75 59,1,2024-09-07 10:02:30:825,1165928,1165928,0,0,547086756702,5747271734,1146930,15162,3836,369,391653,0 59,2,2024-09-07 10:02:30:599,841439,841439,0,0,36917058,0,3727 59,3,2024-09-07 10:02:31:737,1,665,13,0,1015,7916,665,0 60,0,2024-09-07 10:02:31:748,167723,0.5,167751,0.7,335680,0.5,447824,1.75 60,1,2024-09-07 10:02:30:777,1169912,1169912,0,0,549605052986,5721870210,1159678,8828,1406,370,392031,0 60,2,2024-09-07 10:02:31:156,842110,842110,0,0,35423515,0,3811 60,3,2024-09-07 10:02:31:259,1,665,14,0,409,7624,665,0 61,0,2024-09-07 10:02:31:506,169303,0.6,170052,0.8,338506,0.6,451662,2.00 61,1,2024-09-07 10:02:30:775,1167231,1167231,0,0,548054417891,5738409659,1154958,10497,1776,382,392127,0 61,2,2024-09-07 10:02:31:122,839771,839704,67,0,36057743,0,6411 61,3,2024-09-07 10:02:31:687,1,665,1,0,607,8397,665,0 62,0,2024-09-07 10:02:31:726,167793,0.6,172131,0.7,328571,0.5,445881,2.00 62,1,2024-09-07 10:02:31:113,1174369,1174363,0,6,550698678384,5682864102,1167823,6066,474,365,391975,6 62,2,2024-09-07 10:02:31:644,839877,839876,1,0,35583638,0,5555 62,3,2024-09-07 10:02:31:144,1,665,2,0,482,5322,665,0 63,0,2024-09-07 10:02:31:450,163083,0.4,162757,0.6,326294,0.3,433271,1.75 63,1,2024-09-07 10:02:30:816,1170886,1170880,0,6,550150377037,5713926747,1163289,6663,928,381,391800,6 63,2,2024-09-07 10:02:30:762,841273,841273,0,0,34863604,0,4369 63,3,2024-09-07 10:02:31:731,1,665,9,0,667,7189,665,0 64,0,2024-09-07 10:02:31:536,164639,0.5,164462,0.7,328645,0.5,438274,2.00 64,1,2024-09-07 10:02:30:762,1169116,1169116,0,0,549622751736,5723873409,1158929,8161,2026,370,391794,0 64,2,2024-09-07 10:02:31:157,844233,844214,19,0,33858657,0,6121 64,3,2024-09-07 10:02:31:160,1,665,2,0,651,7593,665,0 65,0,2024-09-07 10:02:31:733,168294,0.6,168598,0.7,336712,0.6,449043,2.00 65,1,2024-09-07 10:02:30:861,1167555,1167555,0,0,547432719802,5722080326,1159398,7260,897,381,391901,0 65,2,2024-09-07 10:02:31:696,839232,839232,0,0,40009962,0,3367 65,3,2024-09-07 10:02:31:695,1,665,1,0,782,7965,665,0 66,0,2024-09-07 10:02:31:762,169188,0.5,168551,0.7,337368,0.5,448784,2.00 66,1,2024-09-07 10:02:31:293,1169585,1169585,0,0,549022445606,5714286170,1162225,6488,872,380,391743,0 66,2,2024-09-07 10:02:31:145,844046,844043,3,0,35291276,0,5455 66,3,2024-09-07 10:02:31:085,1,665,2,0,291,6017,665,0 67,0,2024-09-07 10:02:31:420,162803,0.5,162371,0.7,325426,0.5,433387,2.00 67,1,2024-09-07 10:02:30:774,1169520,1169519,0,1,548314465413,5711243070,1161092,7287,1140,380,391787,1 67,2,2024-09-07 10:02:30:584,844124,844109,15,0,35578120,0,6205 67,3,2024-09-07 10:02:31:754,1,665,7,0,595,7160,665,0 68,0,2024-09-07 10:02:30:580,164203,0.6,163971,0.8,326740,0.6,437462,2.25 68,1,2024-09-07 10:02:30:583,1165055,1165055,0,0,546274061055,5749562839,1148640,12151,4264,381,391953,0 68,2,2024-09-07 10:02:31:046,836873,836773,100,0,43752850,0,8578 68,3,2024-09-07 10:02:30:729,1,665,243,0,417,9085,665,0 69,0,2024-09-07 10:02:31:729,170069,0.7,170633,0.8,340529,0.8,453424,2.25 69,1,2024-09-07 10:02:31:016,1164250,1164250,0,0,546211635122,5755635475,1149486,11783,2981,383,391994,0 69,2,2024-09-07 10:02:31:737,838167,838138,29,0,46897454,0,6912 69,3,2024-09-07 10:02:30:773,1,665,2,0,698,10041,665,0 70,0,2024-09-07 10:02:31:545,168009,0.8,168817,0.9,338400,0.7,449163,2.50 70,1,2024-09-07 10:02:30:804,1170368,1170368,0,0,550241827035,5712225759,1160987,8336,1045,366,391725,0 70,2,2024-09-07 10:02:31:345,839889,839889,0,0,38292271,0,4323 70,3,2024-09-07 10:02:30:750,1,665,1,0,854,7484,665,0 71,0,2024-09-07 10:02:31:360,162397,0.9,161993,1.0,325269,1.0,433316,2.50 71,1,2024-09-07 10:02:31:610,1168544,1168544,0,0,548528068196,5725482523,1154202,12558,1784,367,391738,0 71,2,2024-09-07 10:02:31:072,840388,840388,0,0,38274253,0,4352 71,3,2024-09-07 10:02:31:753,1,665,7,0,644,7409,665,0 72,0,2024-09-07 10:02:31:074,168839,0.5,164916,0.7,322107,0.5,438124,2.00 72,1,2024-09-07 10:02:31:033,1167022,1167022,0,0,547801851045,5742395729,1150808,13526,2688,369,391819,0 72,2,2024-09-07 10:02:31:755,840098,840098,0,0,40171652,0,3983 72,3,2024-09-07 10:02:31:754,1,665,0,0,564,9394,665,0 73,0,2024-09-07 10:02:31:149,164574,0.4,168803,0.6,344792,0.4,449212,2.00 73,1,2024-09-07 10:02:30:814,1168658,1168658,0,0,548684790913,5703133247,1159706,7965,987,367,391858,0 73,2,2024-09-07 10:02:31:745,840761,840760,1,0,42232128,0,5027 73,3,2024-09-07 10:02:30:975,1,665,41,0,1091,9089,665,0 74,0,2024-09-07 10:02:31:338,170736,0.5,174808,0.7,333729,0.4,453123,2.00 74,1,2024-09-07 10:02:30:644,1167267,1167267,0,0,547691736711,5714995769,1155107,10020,2140,381,391762,0 74,2,2024-09-07 10:02:31:010,837578,837578,0,0,36608910,0,4253 74,3,2024-09-07 10:02:31:446,1,665,1,0,522,7708,665,0 75,0,2024-09-07 10:02:31:769,165666,0.5,164700,0.7,330555,0.5,441088,2.25 75,1,2024-09-07 10:02:31:593,1168789,1168789,0,0,548029564945,5710867421,1159211,8646,932,380,391739,0 75,2,2024-09-07 10:02:31:350,840409,840409,0,0,43729654,0,4766 75,3,2024-09-07 10:02:31:071,1,665,43,0,918,9193,665,0 76,0,2024-09-07 10:02:30:585,162078,0.5,161435,0.7,322620,0.5,431776,2.25 76,1,2024-09-07 10:02:30:808,1168336,1168336,0,0,548063475751,5717832511,1159861,7171,1304,382,391790,0 76,2,2024-09-07 10:02:31:062,844327,844324,3,0,36476999,0,5265 76,3,2024-09-07 10:02:31:146,1,665,0,0,249,6250,665,0 77,0,2024-09-07 10:02:31:738,166179,0.6,166689,0.7,333527,0.5,443783,2.00 77,1,2024-09-07 10:02:30:839,1168112,1168112,0,0,548005945927,5722611905,1158292,8738,1082,381,391869,0 77,2,2024-09-07 10:02:31:293,840421,840421,0,0,35303102,0,3890 77,3,2024-09-07 10:02:31:095,1,665,0,0,401,7704,665,0 78,0,2024-09-07 10:02:31:725,170104,0.4,169416,0.6,339984,0.4,449686,2.00 78,1,2024-09-07 10:02:30:609,1167601,1167601,0,0,548335393948,5724138530,1152658,11707,3236,367,391670,0 78,2,2024-09-07 10:02:31:429,841009,840996,13,0,33124639,0,8313 78,3,2024-09-07 10:02:31:141,1,665,1,0,311,5641,665,0 79,0,2024-09-07 10:02:31:350,162597,0.4,166629,0.6,341255,0.3,444129,2.00 79,1,2024-09-07 10:02:30:574,1171755,1171755,0,0,549986819085,5699044093,1161953,8002,1800,367,391682,0 79,2,2024-09-07 10:02:31:070,842614,842614,0,0,31991938,0,4195 79,3,2024-09-07 10:02:30:763,1,665,13,0,418,7540,665,0 80,0,2024-09-07 10:02:31:112,162846,0.5,167220,0.7,319376,0.5,432198,2.00 80,1,2024-09-07 10:02:31:639,1168179,1168179,0,0,548956172668,5716592562,1160466,7165,548,368,392269,0 80,2,2024-09-07 10:02:31:094,844097,844097,0,0,32958162,0,4433 80,3,2024-09-07 10:02:30:576,1,665,1,0,681,7984,665,0 81,0,2024-09-07 10:02:31:549,164774,0.6,168631,0.7,321893,0.6,437228,2.00 81,1,2024-09-07 10:02:31:650,1167126,1167126,0,0,547346907889,5721195012,1157686,8467,973,382,392001,0 81,2,2024-09-07 10:02:31:127,840692,840629,63,0,36321093,0,5932 81,3,2024-09-07 10:02:31:123,1,665,1,0,719,7310,665,0 82,0,2024-09-07 10:02:31:553,170433,0.5,170547,0.7,341597,0.5,453131,2.00 82,1,2024-09-07 10:02:30:584,1169214,1169210,0,4,549550762570,5717572171,1162362,5725,1123,381,391768,4 82,2,2024-09-07 10:02:31:690,843534,843534,0,0,30631970,0,4484 82,3,2024-09-07 10:02:31:753,1,665,4,0,363,6072,665,0 83,0,2024-09-07 10:02:31:528,169754,0.6,169621,0.7,338957,0.5,450290,2.25 83,1,2024-09-07 10:02:30:552,1168074,1168074,0,0,548044567709,5715885533,1159207,7842,1025,382,391709,0 83,2,2024-09-07 10:02:30:764,841367,841342,25,0,33068660,0,5612 83,3,2024-09-07 10:02:30:749,1,665,3,0,1260,7849,665,0 84,0,2024-09-07 10:02:31:834,161520,0.7,161604,0.9,323317,0.7,431568,2.25 84,1,2024-09-07 10:02:31:048,1166450,1166450,0,0,547890714198,5733031036,1152787,11580,2083,367,391967,0 84,2,2024-09-07 10:02:30:582,839437,839027,410,0,47500270,0,17037 84,3,2024-09-07 10:02:31:161,1,665,39,0,908,9281,665,0 85,0,2024-09-07 10:02:31:044,157655,0.7,157641,0.8,334685,0.7,432135,2.25 85,1,2024-09-07 10:02:30:565,1163262,1163262,0,0,546471059349,5768390644,1145642,14691,2929,381,392092,0 85,2,2024-09-07 10:02:30:876,840805,840805,0,0,40777981,0,4255 85,3,2024-09-07 10:02:30:694,1,665,6,0,789,7664,665,0 86,0,2024-09-07 10:02:31:097,168697,0.7,173687,0.8,332007,0.7,448839,2.25 86,1,2024-09-07 10:02:30:839,1165415,1165415,0,0,547498384570,5750147045,1148219,13894,3302,366,392169,0 86,2,2024-09-07 10:02:30:868,840265,840264,1,0,43049831,0,5004 86,3,2024-09-07 10:02:30:615,1,665,26,0,308,8996,665,0 87,0,2024-09-07 10:02:31:298,170467,0.8,169325,0.8,339089,0.9,453131,2.25 87,1,2024-09-07 10:02:30:562,1165709,1165709,0,0,547616040730,5738380719,1150841,12706,2162,366,392076,0 87,2,2024-09-07 10:02:31:112,837612,837606,6,0,39931036,0,6323 87,3,2024-09-07 10:02:31:804,1,665,8,0,473,9297,665,0 88,0,2024-09-07 10:02:31:474,164456,0.4,164947,0.6,329695,0.4,438632,1.75 88,1,2024-09-07 10:02:30:579,1164836,1164836,0,0,546769696751,5730502484,1148351,13356,3129,365,392084,0 88,2,2024-09-07 10:02:30:688,841047,841047,0,0,41411764,0,4465 88,3,2024-09-07 10:02:31:284,1,665,41,0,1080,10522,665,0 89,0,2024-09-07 10:02:31:788,167830,0.4,162866,0.6,321236,0.4,436827,1.75 89,1,2024-09-07 10:02:30:552,1164307,1164307,0,0,547719611592,5758426519,1150244,11874,2189,382,391866,0 89,2,2024-09-07 10:02:31:149,841108,841108,0,0,39457853,0,3173 89,3,2024-09-07 10:02:31:802,1,665,26,0,468,10753,665,0 90,0,2024-09-07 10:02:31:621,162685,0.4,167009,0.6,341155,0.4,445421,2.00 90,1,2024-09-07 10:02:30:609,1165843,1165843,0,0,546870900191,5727436717,1154534,10256,1053,380,391825,0 90,2,2024-09-07 10:02:31:413,837653,837648,5,0,42592960,0,6370 90,3,2024-09-07 10:02:30:944,1,665,9,0,364,8840,665,0 91,0,2024-09-07 10:02:31:267,169955,0.5,164880,0.6,345049,0.5,452533,1.75 91,1,2024-09-07 10:02:30:584,1162834,1162834,0,0,546676877490,5763664555,1145050,13822,3962,381,392047,0 91,2,2024-09-07 10:02:31:342,838361,838361,0,0,37809520,0,4713 91,3,2024-09-07 10:02:30:610,1,665,12,0,231,6313,665,0 92,0,2024-09-07 10:02:31:490,168774,0.5,172622,0.6,329300,0.4,446193,1.75 92,1,2024-09-07 10:02:30:586,1167291,1167291,0,0,548161699516,5726941758,1158046,7936,1309,381,392136,0 92,2,2024-09-07 10:02:31:353,841553,841553,0,0,34117161,0,3906 92,3,2024-09-07 10:02:31:009,1,665,27,0,281,5813,665,0 93,0,2024-09-07 10:02:31:015,163605,0.4,167542,0.6,320050,0.3,433225,1.75 93,1,2024-09-07 10:02:30:863,1167937,1167937,0,0,547893896331,5726641466,1153891,11614,2432,365,392048,0 93,2,2024-09-07 10:02:30:951,841365,841365,0,0,39863300,0,4913 93,3,2024-09-07 10:02:31:426,1,665,63,0,505,7494,665,0 94,0,2024-09-07 10:02:31:613,164664,0.4,165686,0.6,331576,0.3,440629,1.75 94,1,2024-09-07 10:02:30:569,1168491,1168491,0,0,549194353608,5727263852,1160759,7242,490,381,391850,0 94,2,2024-09-07 10:02:30:813,841184,841156,28,0,34511542,0,6179 94,3,2024-09-07 10:02:31:691,1,665,2,0,576,8242,665,0 95,0,2024-09-07 10:02:31:366,169630,0.4,169516,0.6,339367,0.3,451965,1.75 95,1,2024-09-07 10:02:30:860,1169541,1169541,0,0,549165503601,5706858348,1160500,8276,765,365,391852,0 95,2,2024-09-07 10:02:31:028,839731,839731,0,0,34411468,0,3308 95,3,2024-09-07 10:02:31:711,1,665,2,0,718,10109,665,0 96,0,2024-09-07 10:02:31:053,169630,0.3,169775,0.5,339697,0.3,451471,1.75 96,1,2024-09-07 10:02:31:691,1167525,1167525,0,0,548261025337,5721081243,1159064,7168,1293,384,391964,0 96,2,2024-09-07 10:02:31:274,842845,842845,0,0,34744714,0,4180 96,3,2024-09-07 10:02:31:146,1,665,3,0,411,7616,665,0 97,0,2024-09-07 10:02:31:561,162799,0.3,162585,0.5,325895,0.3,433269,1.50 97,1,2024-09-07 10:02:31:959,1169544,1169544,0,0,549300953824,5701504207,1161712,6594,1238,367,392140,0 97,2,2024-09-07 10:02:30:618,842537,842537,0,0,35274175,0,4600 97,3,2024-09-07 10:02:30:569,1,665,19,0,433,8202,665,0 98,0,2024-09-07 10:02:31:716,163814,0.3,163898,0.5,329036,0.3,437859,1.50 98,1,2024-09-07 10:02:30:577,1169000,1169000,0,0,548633026982,5716536256,1161575,6527,898,381,391997,0 98,2,2024-09-07 10:02:30:813,840925,840925,0,0,33554367,0,4336 98,3,2024-09-07 10:02:30:752,1,665,65,0,840,9489,665,0 99,0,2024-09-07 10:02:31:512,170871,0.3,171773,0.5,342205,0.3,456138,1.75 99,1,2024-09-07 10:02:31:729,1169786,1169786,0,0,548706249897,5713149090,1162060,6620,1106,380,392069,0 99,2,2024-09-07 10:02:31:440,840660,840660,0,0,42734010,0,4276 99,3,2024-09-07 10:02:30:584,1,665,8,0,1124,8368,665,0 100,0,2024-09-07 10:02:31:566,169438,0.8,169942,0.9,339691,0.9,453086,2.50 100,1,2024-09-07 10:02:30:574,1162387,1162387,0,0,546136255879,5777142879,1143972,14663,3752,378,391989,0 100,2,2024-09-07 10:02:31:832,835880,835491,389,0,44303901,0,16909 100,3,2024-09-07 10:02:31:745,1,665,3,0,627,10543,665,0 101,0,2024-09-07 10:02:31:712,167083,0.9,163083,1.0,318960,0.9,435104,2.25 101,1,2024-09-07 10:02:30:562,1164790,1164790,0,0,547359897399,5755335823,1148547,13310,2933,368,391847,0 101,2,2024-09-07 10:02:31:758,835774,835735,39,0,45473417,0,5913 101,3,2024-09-07 10:02:30:945,1,665,86,0,1250,9924,665,0 102,0,2024-09-07 10:02:31:043,159077,0.6,163819,0.8,332819,0.6,435016,2.25 102,1,2024-09-07 10:02:31:161,1164826,1164826,0,0,547292646862,5748488048,1149444,13164,2218,369,391984,0 102,2,2024-09-07 10:02:31:736,842244,842190,54,0,38190975,0,6768 102,3,2024-09-07 10:02:31:622,1,665,55,0,466,7784,665,0 103,0,2024-09-07 10:02:31:609,174199,0.6,174241,0.8,328364,0.7,451493,2.00 103,1,2024-09-07 10:02:31:641,1163535,1163535,0,0,546519974686,5771944947,1145000,14911,3624,381,392077,0 103,2,2024-09-07 10:02:30:598,839577,839577,0,0,41565581,0,3766 103,3,2024-09-07 10:02:30:768,1,665,12,0,916,7751,665,0 104,0,2024-09-07 10:02:31:010,168443,0.7,168780,0.9,336751,0.7,450191,2.25 104,1,2024-09-07 10:02:31:609,1166197,1166197,0,0,547203543042,5751471245,1148601,14351,3245,365,392168,0 104,2,2024-09-07 10:02:31:667,836157,836157,0,0,40173467,0,4161 104,3,2024-09-07 10:02:31:420,1,665,0,0,1245,11544,665,0 105,0,2024-09-07 10:02:31:080,163656,0.8,159568,1.0,334464,0.9,439040,2.50 105,1,2024-09-07 10:02:30:570,1168343,1168343,0,0,547811478047,5736641465,1154176,12189,1978,364,392009,0 105,2,2024-09-07 10:02:31:332,840369,840369,0,0,41186336,0,4360 105,3,2024-09-07 10:02:31:315,1,665,38,0,573,10127,665,0 106,0,2024-09-07 10:02:30:974,156980,0.9,160878,0.9,329283,1.0,430163,2.50 106,1,2024-09-07 10:02:31:753,1165705,1165705,0,0,546812782001,5738390165,1149438,14119,2148,368,391914,0 106,2,2024-09-07 10:02:30:779,841080,841080,0,0,38295655,0,3331 106,3,2024-09-07 10:02:30:691,1,665,3,0,1224,9082,665,0 107,0,2024-09-07 10:02:31:271,166312,1.0,166398,1.0,332980,1.3,444463,2.25 107,1,2024-09-07 10:02:30:799,1163557,1163557,0,0,545546814803,5765196707,1145083,16287,2187,381,392234,0 107,2,2024-09-07 10:02:31:321,837143,837142,1,0,41631287,0,5024 107,3,2024-09-07 10:02:31:755,1,665,626,0,733,10468,665,0 108,0,2024-09-07 10:02:31:891,169144,0.4,169991,0.6,338923,0.4,451432,1.75 108,1,2024-09-07 10:02:31:321,1167304,1167304,0,0,548649497663,5724029358,1157503,8713,1088,367,391894,0 108,2,2024-09-07 10:02:31:755,840317,840317,0,0,37427214,0,4432 108,3,2024-09-07 10:02:31:342,1,665,8,0,767,12330,665,0 109,0,2024-09-07 10:02:31:755,169173,0.4,167809,0.6,336632,0.3,448716,1.75 109,1,2024-09-07 10:02:30:590,1165270,1165270,0,0,547709284896,5746887328,1154781,8986,1503,382,392132,0 109,2,2024-09-07 10:02:30:925,840430,840430,0,0,37139632,0,3617 109,3,2024-09-07 10:02:31:146,1,665,1,0,630,7716,665,0 110,0,2024-09-07 10:02:31:791,162541,0.4,158054,0.6,331055,0.3,433949,1.75 110,1,2024-09-07 10:02:31:649,1169297,1169297,0,0,548691086249,5696086489,1160505,6765,2027,368,392045,0 110,2,2024-09-07 10:02:31:341,841932,841932,0,0,36388073,0,4067 110,3,2024-09-07 10:02:30:707,1,665,1,0,722,8778,665,0 111,0,2024-09-07 10:02:31:425,165638,0.4,164876,0.5,329342,0.3,439948,1.75 111,1,2024-09-07 10:02:31:000,1170814,1170814,0,0,550122318566,5709851880,1164288,6137,389,380,391690,0 111,2,2024-09-07 10:02:31:115,841080,841080,0,0,35754016,0,4823 111,3,2024-09-07 10:02:30:913,1,665,22,0,379,7419,665,0 112,0,2024-09-07 10:02:30:909,171268,0.3,170722,0.4,342306,0.2,454395,1.50 112,1,2024-09-07 10:02:30:834,1169570,1169570,0,0,548719951208,5697473756,1162040,6305,1225,380,391624,0 112,2,2024-09-07 10:02:31:147,841469,841468,1,0,34290666,0,5036 112,3,2024-09-07 10:02:30:592,1,665,1,0,282,6583,665,0 113,0,2024-09-07 10:02:30:864,169727,0.3,169896,0.5,340244,0.2,452628,1.75 113,1,2024-09-07 10:02:31:685,1173047,1173047,0,0,551608579546,5692192371,1166192,5905,950,365,391664,0 113,2,2024-09-07 10:02:31:303,843204,843204,0,0,31355814,0,3813 113,3,2024-09-07 10:02:30:684,1,665,1,0,510,6909,665,0 114,0,2024-09-07 10:02:30:872,163209,0.3,164231,0.5,327628,0.2,437180,1.75 114,1,2024-09-07 10:02:30:716,1169377,1169377,0,0,548696063560,5698224907,1160809,6800,1768,381,391565,0 114,2,2024-09-07 10:02:30:875,843127,843126,1,0,33533827,0,5069 114,3,2024-09-07 10:02:31:284,1,665,8,0,415,5506,665,0 115,0,2024-09-07 10:02:30:557,164859,0.3,165466,0.4,329460,0.2,438650,1.50 115,1,2024-09-07 10:02:30:577,1169502,1169502,0,0,549353940143,5708060753,1160029,7683,1790,382,391757,0 115,2,2024-09-07 10:02:31:127,843417,843417,0,0,33413074,0,4382 115,3,2024-09-07 10:02:31:002,1,665,11,0,173,4303,665,0 116,0,2024-09-07 10:02:31:863,168658,0.7,168661,0.8,337999,0.7,451751,2.00 116,1,2024-09-07 10:02:30:956,1163309,1163309,0,0,547326414520,5767101590,1149522,10578,3209,380,392089,0 116,2,2024-09-07 10:02:31:806,840852,840852,0,0,41039771,0,4475 116,3,2024-09-07 10:02:30:924,1,665,6,0,448,8721,665,0 117,0,2024-09-07 10:02:31:040,170266,0.7,169942,0.8,340027,0.7,454562,2.00 117,1,2024-09-07 10:02:31:599,1164978,1164978,0,0,546494871471,5726400859,1151422,11840,1716,369,392429,0 117,2,2024-09-07 10:02:31:121,840180,840180,0,0,37278813,0,4303 117,3,2024-09-07 10:02:31:059,1,665,5,0,490,8363,665,0 118,0,2024-09-07 10:02:31:806,159552,0.5,163867,0.7,334402,0.5,437106,2.00 118,1,2024-09-07 10:02:30:597,1164465,1164465,0,0,546834116480,5748681131,1147304,13340,3821,366,392054,0 118,2,2024-09-07 10:02:31:588,840391,840391,0,0,40103615,0,3161 118,3,2024-09-07 10:02:31:778,1,665,17,0,343,8155,665,0 119,0,2024-09-07 10:02:31:340,163048,0.7,163514,0.8,326370,0.8,435411,2.25 119,1,2024-09-07 10:02:30:554,1165568,1165568,0,0,547580620379,5744318184,1149737,13167,2664,367,391857,0 119,2,2024-09-07 10:02:31:266,842369,842369,0,0,37030987,0,4309 119,3,2024-09-07 10:02:31:355,1,665,9,0,1358,11929,665,0 120,0,2024-09-07 10:02:31:769,166961,0.6,166637,0.8,335097,0.7,446950,2.25 120,1,2024-09-07 10:02:30:865,1166387,1166387,0,0,546633436753,5732343842,1153891,11339,1157,367,392144,0 120,2,2024-09-07 10:02:30:785,839787,839784,3,0,44468420,0,5363 120,3,2024-09-07 10:02:31:290,1,665,1,0,478,8475,665,0 121,0,2024-09-07 10:02:31:746,169597,1.1,169080,1.0,338282,1.5,451027,2.25 121,1,2024-09-07 10:02:31:664,1166276,1166276,0,0,547929008951,5733365782,1153845,10587,1844,366,391840,0 121,2,2024-09-07 10:02:31:128,838945,838945,0,0,40044222,0,4157 121,3,2024-09-07 10:02:30:730,1,665,71,0,387,8606,665,0 122,0,2024-09-07 10:02:31:821,166698,0.7,162151,0.8,339429,0.8,445921,2.00 122,1,2024-09-07 10:02:30:868,1165264,1165264,0,0,547036083095,5740392761,1149183,13504,2577,365,392130,0 122,2,2024-09-07 10:02:31:327,841396,841321,75,0,43802293,0,5989 122,3,2024-09-07 10:02:30:703,1,665,2,0,512,10300,665,0 123,0,2024-09-07 10:02:30:955,161739,0.8,157567,0.9,330298,0.9,431777,2.25 123,1,2024-09-07 10:02:30:559,1166231,1166231,0,0,548029231706,5763158947,1147566,15676,2989,369,392039,0 123,2,2024-09-07 10:02:31:022,840560,840559,1,0,37732117,0,5215 123,3,2024-09-07 10:02:31:131,1,665,13,0,478,7449,665,0 124,0,2024-09-07 10:02:30:945,169850,0.4,169948,0.5,320224,0.3,441345,1.75 124,1,2024-09-07 10:02:31:028,1169442,1169442,0,0,548691962832,5705267147,1160608,7600,1234,365,392178,0 124,2,2024-09-07 10:02:31:017,842685,842632,53,0,35023751,0,6487 124,3,2024-09-07 10:02:30:796,1,665,4,0,490,6595,665,0 125,0,2024-09-07 10:02:31:426,169615,0.4,169420,0.6,339578,0.4,452404,1.75 125,1,2024-09-07 10:02:30:883,1167072,1167072,0,0,547944498679,5726666255,1156622,8940,1510,382,392045,0 125,2,2024-09-07 10:02:31:125,842896,842896,0,0,34936965,0,4534 125,3,2024-09-07 10:02:31:126,1,665,7,0,709,7538,665,0 126,0,2024-09-07 10:02:31:447,169855,0.4,174570,0.5,333841,0.3,452197,1.75 126,1,2024-09-07 10:02:30:552,1170321,1170321,0,0,549174771567,5691036254,1163356,6285,680,365,391987,0 126,2,2024-09-07 10:02:30:609,843026,843026,0,0,36060305,0,4539 126,3,2024-09-07 10:02:30:918,1,665,2,0,268,7607,665,0 127,0,2024-09-07 10:02:31:607,163039,0.3,163313,0.5,325989,0.3,433500,1.75 127,1,2024-09-07 10:02:30:588,1168582,1168582,0,0,549002321908,5714065612,1156520,10370,1692,364,392187,0 127,2,2024-09-07 10:02:30:638,842319,842315,4,0,34293968,0,5305 127,3,2024-09-07 10:02:31:296,1,665,25,0,968,6587,665,0 128,0,2024-09-07 10:02:31:563,164903,0.3,164839,0.4,329627,0.2,438532,1.50 128,1,2024-09-07 10:02:31:607,1168010,1168010,0,0,548585393044,5705236430,1159154,7886,970,367,392423,0 128,2,2024-09-07 10:02:31:385,843436,843436,0,0,32401824,0,3171 128,3,2024-09-07 10:02:30:815,1,665,10,0,1082,10062,665,0 129,0,2024-09-07 10:02:31:040,172122,0.3,171403,0.5,343863,0.3,456870,1.75 129,1,2024-09-07 10:02:30:576,1165104,1165104,0,0,547783866368,5747425941,1152384,10391,2329,379,391962,0 129,2,2024-09-07 10:02:30:686,843045,843041,4,0,34923277,0,5335 129,3,2024-09-07 10:02:30:693,1,665,34,0,506,9801,665,0 130,0,2024-09-07 10:02:31:783,170662,0.4,170450,0.6,341206,0.4,454536,1.75 130,1,2024-09-07 10:02:30:607,1169760,1169760,0,0,548772018180,5698978165,1164076,5278,406,381,391825,0 130,2,2024-09-07 10:02:31:142,840189,840189,0,0,34682783,0,4067 130,3,2024-09-07 10:02:31:300,1,665,11,0,960,8642,665,0 131,0,2024-09-07 10:02:31:931,163462,0.3,164003,0.5,328930,0.3,436710,1.50 131,1,2024-09-07 10:02:31:828,1169018,1169018,0,0,548109094937,5713119686,1161010,6806,1202,381,391865,0 131,2,2024-09-07 10:02:30:578,841992,841992,0,0,32486950,0,3979 131,3,2024-09-07 10:02:31:692,1,665,8,0,392,8427,665,0 132,0,2024-09-07 10:02:31:415,163955,0.5,164930,0.6,328185,0.4,436988,2.00 132,1,2024-09-07 10:02:30:600,1164381,1164381,0,0,546068319827,5747444014,1148007,13594,2780,381,392532,0 132,2,2024-09-07 10:02:30:698,841535,841518,17,0,41645646,0,6451 132,3,2024-09-07 10:02:31:691,1,665,0,0,1298,11604,665,0 133,0,2024-09-07 10:02:31:540,164658,0.4,168545,0.6,345757,0.4,449934,2.00 133,1,2024-09-07 10:02:30:588,1164405,1164405,0,0,547313107344,5765619763,1149055,13417,1933,383,391914,0 133,2,2024-09-07 10:02:31:092,842281,842231,50,0,42681365,0,6861 133,3,2024-09-07 10:02:31:300,1,665,1,0,528,7771,665,0 134,0,2024-09-07 10:02:30:952,170283,0.5,170208,0.7,340414,0.5,453568,2.25 134,1,2024-09-07 10:02:30:596,1165350,1165350,0,0,547099920822,5742951746,1149389,12671,3290,366,391781,0 134,2,2024-09-07 10:02:31:756,837886,837747,139,0,39833918,0,7591 134,3,2024-09-07 10:02:30:753,1,665,9,0,739,8382,665,0 135,0,2024-09-07 10:02:31:100,160350,0.7,160370,0.8,340504,0.8,438290,2.25 135,1,2024-09-07 10:02:31:608,1165788,1165788,0,0,547265600208,5753820375,1151500,12436,1852,380,392038,0 135,2,2024-09-07 10:02:30:689,842704,842704,0,0,40314346,0,4503 135,3,2024-09-07 10:02:31:002,1,665,1,0,900,6328,665,0 136,0,2024-09-07 10:02:31:615,162901,0.6,163423,0.8,324610,0.6,433345,2.00 136,1,2024-09-07 10:02:31:447,1166897,1166897,0,0,547725365592,5737974969,1154501,10998,1398,381,392135,0 136,2,2024-09-07 10:02:31:154,843723,843708,15,0,39382437,0,6007 136,3,2024-09-07 10:02:31:113,1,665,30,0,637,7722,665,0 137,0,2024-09-07 10:02:31:208,171769,0.6,167544,0.7,328108,0.7,446188,2.00 137,1,2024-09-07 10:02:30:598,1165683,1165683,0,0,547714423363,5744624342,1147451,14595,3637,366,391898,0 137,2,2024-09-07 10:02:31:719,839620,839620,0,0,40471587,0,3185 137,3,2024-09-07 10:02:30:776,1,665,21,0,484,9595,665,0 138,0,2024-09-07 10:02:31:773,168513,1.0,168823,0.9,338295,1.2,449821,2.25 138,1,2024-09-07 10:02:31:685,1165693,1165693,0,0,547653157461,5746698761,1149293,13760,2640,368,391954,0 138,2,2024-09-07 10:02:30:585,838601,838601,0,0,39057782,0,4988 138,3,2024-09-07 10:02:30:610,1,665,10,0,1200,9979,665,0 139,0,2024-09-07 10:02:31:422,166983,0.9,167577,0.9,335001,1.2,447063,2.25 139,1,2024-09-07 10:02:30:628,1161299,1161299,0,0,544448394023,5770462911,1141707,15682,3910,380,392109,0 139,2,2024-09-07 10:02:30:751,836136,836106,30,0,44216485,0,5997 139,3,2024-09-07 10:02:31:669,1,665,11,0,432,7878,665,0 140,0,2024-09-07 10:02:31:591,163114,0.3,162352,0.5,325922,0.2,434192,1.75 140,1,2024-09-07 10:02:31:540,1173343,1173343,0,0,549734273202,5672077970,1167178,5428,737,364,391628,0 140,2,2024-09-07 10:02:30:687,842141,842140,1,0,32468966,0,5036 140,3,2024-09-07 10:02:30:772,1,665,9,0,575,6839,665,0 141,0,2024-09-07 10:02:31:696,165277,0.3,169854,0.5,324713,0.3,439592,1.75 141,1,2024-09-07 10:02:30:860,1170085,1170085,0,0,550226304519,5719695117,1160115,8584,1386,379,391614,0 141,2,2024-09-07 10:02:31:692,841996,841985,11,0,35006234,0,5369 141,3,2024-09-07 10:02:31:043,1,665,2,0,391,7610,665,0 142,0,2024-09-07 10:02:31:329,171384,0.3,170587,0.5,341738,0.3,455243,1.75 142,1,2024-09-07 10:02:30:604,1168006,1168006,0,0,548596299307,5723749596,1159528,7616,862,382,392102,0 142,2,2024-09-07 10:02:31:305,840284,840252,32,0,35102879,0,6028 142,3,2024-09-07 10:02:31:752,1,665,0,0,484,6897,665,0 143,0,2024-09-07 10:02:31:389,169883,0.4,169592,0.5,340381,0.4,452645,1.75 143,1,2024-09-07 10:02:30:567,1170935,1170935,0,0,549771488486,5705531772,1163157,6873,905,367,391900,0 143,2,2024-09-07 10:02:30:774,842156,842156,0,0,34732589,0,3123 143,3,2024-09-07 10:02:31:149,1,665,11,0,462,7741,665,0 144,0,2024-09-07 10:02:31:501,158101,0.6,162705,0.7,331094,0.5,433435,2.00 144,1,2024-09-07 10:02:30:566,1164984,1164984,0,0,546327536704,5734965190,1153257,9686,2041,381,391733,0 144,2,2024-09-07 10:02:31:754,842483,842483,0,0,34658731,0,4443 144,3,2024-09-07 10:02:31:743,1,665,5,0,306,7195,665,0 145,0,2024-09-07 10:02:31:400,158821,0.6,158797,0.8,337136,0.5,434151,2.25 145,1,2024-09-07 10:02:30:558,1163901,1163901,0,0,546826374773,5757732474,1147854,13082,2965,382,391781,0 145,2,2024-09-07 10:02:31:576,840346,840264,82,0,40562046,0,7814 145,3,2024-09-07 10:02:30:903,1,665,24,0,622,8781,665,0 146,0,2024-09-07 10:02:31:616,169292,0.6,168362,0.8,338521,0.6,449880,2.25 146,1,2024-09-07 10:02:31:593,1166292,1166292,0,0,547286329404,5756045732,1147684,13788,4820,367,391829,0 146,2,2024-09-07 10:02:31:694,839525,839519,6,0,38753689,0,5151 146,3,2024-09-07 10:02:31:297,1,665,12,0,1520,10825,665,0 147,0,2024-09-07 10:02:31:706,170252,0.6,169952,0.7,339905,0.6,453703,2.25 147,1,2024-09-07 10:02:31:377,1169668,1169668,0,0,549050650832,5707391649,1160038,8551,1079,367,391791,0 147,2,2024-09-07 10:02:31:010,838554,838554,0,0,34855807,0,3359 147,3,2024-09-07 10:02:30:914,1,665,10,0,1626,10163,665,0 0,0,2024-09-07 10:02:41:735,163385,0.7,163320,0.8,346479,0.7,448083,2.00 0,1,2024-09-07 10:02:40:812,1168532,1168532,0,0,548648977612,5745247673,1158882,8689,961,368,391896,0 0,2,2024-09-07 10:02:41:070,843325,843325,0,0,34355507,0,4480 0,3,2024-09-07 10:02:40:980,1,666,5,0,431,9249,666,0 1,0,2024-09-07 10:02:41:834,169551,0.8,168527,0.9,338305,1.0,452433,2.00 1,1,2024-09-07 10:02:40:578,1167177,1167177,0,0,547892676835,5742760069,1155820,9393,1964,370,391859,0 1,2,2024-09-07 10:02:40:676,840905,840905,0,0,33629401,0,3380 1,3,2024-09-07 10:02:41:305,1,666,14,0,269,7955,666,0 2,0,2024-09-07 10:02:41:572,167268,0.6,166948,0.7,333648,0.6,444795,2.00 2,1,2024-09-07 10:02:40:864,1171280,1171280,0,0,550874655364,5728809954,1164440,5879,961,379,391805,0 2,2,2024-09-07 10:02:41:265,844017,844017,0,0,32190882,0,3594 2,3,2024-09-07 10:02:40:690,1,666,0,0,357,5658,666,0 3,0,2024-09-07 10:02:41:821,162487,0.4,162454,0.6,324641,0.4,432188,2.00 3,1,2024-09-07 10:02:41:631,1169614,1169614,0,0,548482912462,5706671829,1161151,7745,718,379,391716,0 3,2,2024-09-07 10:02:41:161,844473,844450,23,0,33588153,0,5851 3,3,2024-09-07 10:02:41:816,1,666,8,0,484,5108,666,0 4,0,2024-09-07 10:02:41:861,160884,0.4,165294,0.5,337046,0.4,441143,1.75 4,1,2024-09-07 10:02:40:594,1163980,1163980,0,0,546987977332,5789305949,1144119,15260,4601,369,391992,0 4,2,2024-09-07 10:02:41:036,839621,839621,0,0,40873067,0,4534 4,3,2024-09-07 10:02:41:027,1,666,54,0,448,8968,666,0 5,0,2024-09-07 10:02:41:404,169808,0.4,169604,0.6,339637,0.4,452078,1.75 5,1,2024-09-07 10:02:40:757,1165660,1165660,0,0,546693723874,5770559339,1148101,13324,4235,367,392005,0 5,2,2024-09-07 10:02:41:828,837909,837876,33,0,41149013,0,7631 5,3,2024-09-07 10:02:41:732,1,666,35,0,457,8768,666,0 6,0,2024-09-07 10:02:40:920,169580,0.5,169162,0.6,338380,0.4,450614,2.00 6,1,2024-09-07 10:02:40:757,1168344,1168344,0,0,548881430825,5730608722,1156648,10106,1590,379,391702,0 6,2,2024-09-07 10:02:41:118,842891,842873,18,0,37992576,0,5535 6,3,2024-09-07 10:02:41:274,1,666,5,0,710,7907,666,0 7,0,2024-09-07 10:02:41:534,162292,0.5,163409,0.7,324484,0.5,432709,2.00 7,1,2024-09-07 10:02:40:852,1166328,1166328,0,0,548200561075,5769964457,1148652,13541,4135,382,391747,0 7,2,2024-09-07 10:02:40:773,841688,841519,169,0,38373016,0,7706 7,3,2024-09-07 10:02:40:858,1,666,30,0,552,7778,666,0 8,0,2024-09-07 10:02:41:366,164952,0.4,164535,0.5,329515,0.3,439421,1.75 8,1,2024-09-07 10:02:41:098,1165079,1165079,0,0,547860495501,5759260257,1147123,14180,3776,366,392853,0 8,2,2024-09-07 10:02:40:803,836976,836974,2,0,43627717,0,5112 8,3,2024-09-07 10:02:40:611,1,666,3,0,772,10172,666,0 9,0,2024-09-07 10:02:41:131,171162,0.4,166202,0.5,347927,0.4,456444,1.75 9,1,2024-09-07 10:02:40:556,1164783,1164783,0,0,547726815018,5776294167,1145619,14997,4167,369,392001,0 9,2,2024-09-07 10:02:41:083,840693,840692,1,0,41757815,0,5281 9,3,2024-09-07 10:02:41:816,1,666,0,0,1273,10923,666,0 10,0,2024-09-07 10:02:41:665,170134,0.4,169582,0.5,340107,0.3,452865,1.75 10,1,2024-09-07 10:02:40:591,1166565,1166565,0,0,547234244958,5743328333,1149080,13947,3538,381,391981,0 10,2,2024-09-07 10:02:40:771,840858,840858,0,0,44720952,0,4713 10,3,2024-09-07 10:02:40:874,1,666,4,0,669,7284,666,0 11,0,2024-09-07 10:02:41:010,163417,0.4,158683,0.6,332185,0.4,437074,1.75 11,1,2024-09-07 10:02:40:571,1169189,1169189,0,0,547770166227,5745547755,1152497,12382,4310,383,391766,0 11,2,2024-09-07 10:02:41:126,841631,841631,0,0,38555861,0,4698 11,3,2024-09-07 10:02:41:298,1,666,1,0,843,8281,666,0 12,0,2024-09-07 10:02:41:040,165248,0.4,164997,0.5,329494,0.3,438710,1.75 12,1,2024-09-07 10:02:40:936,1168355,1168355,0,0,548702995483,5725846850,1158627,8703,1025,370,391960,0 12,2,2024-09-07 10:02:41:570,841757,841757,0,0,38394306,0,4390 12,3,2024-09-07 10:02:41:065,1,666,5,0,386,8356,666,0 13,0,2024-09-07 10:02:41:364,169984,0.4,170263,0.6,339695,0.4,452315,1.75 13,1,2024-09-07 10:02:41:553,1165795,1165795,0,0,547796867738,5763977554,1152826,10105,2864,382,391803,0 13,2,2024-09-07 10:02:40:595,844512,844512,0,0,34580104,0,3287 13,3,2024-09-07 10:02:41:790,1,666,1,0,522,8895,666,0 14,0,2024-09-07 10:02:40:563,169918,0.4,170928,0.6,340015,0.4,452702,1.75 14,1,2024-09-07 10:02:41:562,1174148,1174148,0,0,551292504497,5704116719,1165101,7913,1134,364,391673,0 14,2,2024-09-07 10:02:40:771,840312,840282,30,0,35357600,0,6104 14,3,2024-09-07 10:02:41:118,1,666,5,0,1168,7015,666,0 15,0,2024-09-07 10:02:41:561,165724,0.4,164927,0.6,330085,0.3,440225,1.75 15,1,2024-09-07 10:02:41:619,1170383,1170383,0,0,549677557041,5727532577,1160186,8188,2009,381,391619,0 15,2,2024-09-07 10:02:40:998,844741,844741,0,0,31841566,0,3622 15,3,2024-09-07 10:02:41:406,1,666,8,0,1126,8494,666,0 16,0,2024-09-07 10:02:40:968,162662,0.6,163487,0.8,325938,0.6,434358,2.00 16,1,2024-09-07 10:02:40:637,1169700,1169700,0,0,548787652743,5738284353,1159082,9076,1542,370,392194,0 16,2,2024-09-07 10:02:41:440,842756,842756,0,0,35260665,0,4719 16,3,2024-09-07 10:02:41:146,1,666,0,0,362,8393,666,0 17,0,2024-09-07 10:02:41:821,172171,0.7,167959,0.8,328918,0.7,447537,2.00 17,1,2024-09-07 10:02:40:569,1168227,1168227,0,0,548390258653,5749787789,1157216,9217,1794,368,392075,0 17,2,2024-09-07 10:02:41:674,844926,844925,1,0,36405892,0,5050 17,3,2024-09-07 10:02:40:601,1,666,1,0,518,9665,666,0 18,0,2024-09-07 10:02:40:970,167814,0.7,168991,0.8,336253,0.7,449023,2.25 18,1,2024-09-07 10:02:41:639,1172670,1172670,0,0,549969451593,5706536532,1164566,6674,1430,367,391725,0 18,2,2024-09-07 10:02:41:796,843064,843064,0,0,32839993,0,3541 18,3,2024-09-07 10:02:40:912,1,666,1,0,1059,5980,666,0 19,0,2024-09-07 10:02:41:551,167679,0.6,168213,0.8,335247,0.7,445417,2.00 19,1,2024-09-07 10:02:40:566,1171897,1171897,0,0,550587857904,5707216958,1163055,7682,1160,365,391777,0 19,2,2024-09-07 10:02:41:817,846017,846017,0,0,30475866,0,3988 19,3,2024-09-07 10:02:41:130,1,666,13,0,524,5360,666,0 20,0,2024-09-07 10:02:41:400,162676,0.5,162561,0.7,325003,0.5,433250,2.00 20,1,2024-09-07 10:02:40:570,1167686,1167686,0,0,548449644948,5750455055,1155445,10537,1704,369,391922,0 20,2,2024-09-07 10:02:40:935,842537,842537,0,0,38603544,0,4321 20,3,2024-09-07 10:02:40:589,1,666,14,0,468,11423,666,0 21,0,2024-09-07 10:02:41:133,165208,0.5,165334,0.7,330495,0.5,439242,2.00 21,1,2024-09-07 10:02:41:576,1165784,1165784,0,0,546500286208,5770392092,1147131,14665,3988,368,392016,0 21,2,2024-09-07 10:02:41:076,836081,835604,477,0,49493756,0,17074 21,3,2024-09-07 10:02:41:419,1,666,1,0,713,8957,666,0 22,0,2024-09-07 10:02:41:726,170374,0.5,171020,0.7,341630,0.4,453081,2.25 22,1,2024-09-07 10:02:41:029,1166285,1166285,0,0,547731753492,5764485164,1147282,15400,3603,381,391822,0 22,2,2024-09-07 10:02:40:768,840569,840543,26,0,37248269,0,6328 22,3,2024-09-07 10:02:41:072,1,666,0,0,228,5554,666,0 23,0,2024-09-07 10:02:41:378,169617,0.5,169448,0.7,338726,0.4,451671,2.00 23,1,2024-09-07 10:02:41:002,1168067,1168067,0,0,548504496979,5777317059,1146989,14255,6823,365,391690,0 23,2,2024-09-07 10:02:41:096,842793,842793,0,0,34980665,0,3773 23,3,2024-09-07 10:02:41:797,1,666,1,0,855,9570,666,0 24,0,2024-09-07 10:02:40:900,164360,0.4,163346,0.5,328414,0.3,436497,1.75 24,1,2024-09-07 10:02:40:599,1167167,1167167,0,0,547837496850,5734137332,1156142,9160,1865,367,392269,0 24,2,2024-09-07 10:02:41:070,842349,842346,3,0,41409408,0,6294 24,3,2024-09-07 10:02:41:694,1,666,11,0,468,8830,666,0 25,0,2024-09-07 10:02:41:355,168998,0.4,164276,0.6,323268,0.4,440173,2.00 25,1,2024-09-07 10:02:40:786,1165486,1165486,0,0,547361164940,5781858026,1145379,16194,3913,369,391928,0 25,2,2024-09-07 10:02:41:619,840531,840531,0,0,42749120,0,3978 25,3,2024-09-07 10:02:41:014,1,666,1,0,532,7389,666,0 26,0,2024-09-07 10:02:41:727,169021,0.4,165238,0.6,346775,0.4,452668,1.75 26,1,2024-09-07 10:02:41:540,1169012,1169012,0,0,548759885762,5745576576,1153489,12620,2903,380,391758,0 26,2,2024-09-07 10:02:40:866,842025,842025,0,0,44564863,0,4689 26,3,2024-09-07 10:02:41:712,1,666,3,0,796,8207,666,0 27,0,2024-09-07 10:02:41:725,170367,0.4,171135,0.6,340133,0.4,454039,2.25 27,1,2024-09-07 10:02:41:680,1170306,1170306,0,0,549941144938,5731140768,1159125,9560,1621,381,391626,0 27,2,2024-09-07 10:02:40:872,836953,836888,65,0,39071059,0,5699 27,3,2024-09-07 10:02:41:016,1,666,8,0,564,5658,666,0 28,0,2024-09-07 10:02:41:410,164795,0.4,165052,0.6,330077,0.3,439190,1.75 28,1,2024-09-07 10:02:40:802,1171108,1171108,0,0,550388135528,5741425322,1160509,8345,2254,382,391904,0 28,2,2024-09-07 10:02:41:790,842951,842951,0,0,35165907,0,3674 28,3,2024-09-07 10:02:41:781,1,666,1,0,502,6979,666,0 29,0,2024-09-07 10:02:41:371,168166,0.4,163761,0.6,321161,0.3,438057,1.75 29,1,2024-09-07 10:02:41:564,1173788,1173788,0,0,551464026734,5705953516,1166291,6477,1020,367,391809,0 29,2,2024-09-07 10:02:40:866,843314,843314,0,0,33712624,0,4986 29,3,2024-09-07 10:02:40:968,1,666,8,0,459,6962,666,0 30,0,2024-09-07 10:02:41:465,167266,0.5,162874,0.7,341298,0.5,446420,2.00 30,1,2024-09-07 10:02:40:572,1172540,1172540,0,0,550971595864,5720870363,1163204,8209,1127,380,391672,0 30,2,2024-09-07 10:02:41:274,844063,844063,0,0,32524359,0,4192 30,3,2024-09-07 10:02:40:592,1,666,3,0,519,6860,666,0 31,0,2024-09-07 10:02:41:823,169327,0.5,170061,0.7,339178,0.4,452484,2.00 31,1,2024-09-07 10:02:40:569,1176935,1176935,0,0,551718492070,5667067648,1169607,6139,1189,356,391712,0 31,2,2024-09-07 10:02:41:275,839550,839550,0,0,36182184,0,4470 31,3,2024-09-07 10:02:41:717,1,666,9,0,239,5759,666,0 32,0,2024-09-07 10:02:41:438,167372,0.3,168495,0.5,335445,0.2,446209,1.75 32,1,2024-09-07 10:02:40:806,1172217,1172217,0,0,550376980348,5722468043,1164794,6460,963,381,391646,0 32,2,2024-09-07 10:02:40:939,845596,845596,0,0,31766199,0,3922 32,3,2024-09-07 10:02:41:016,1,666,1,0,304,5637,666,0 33,0,2024-09-07 10:02:41:498,163227,0.3,162780,0.4,325672,0.2,433404,1.50 33,1,2024-09-07 10:02:40:579,1173713,1173713,0,0,551106732378,5708765775,1164372,7990,1351,368,391730,0 33,2,2024-09-07 10:02:40:769,843782,843747,35,0,35192945,0,7012 33,3,2024-09-07 10:02:40:914,1,666,1,0,329,6133,666,0 34,0,2024-09-07 10:02:40:930,166111,0.3,170626,0.5,326333,0.2,441209,1.75 34,1,2024-09-07 10:02:41:044,1175658,1175658,0,0,552556268647,5687001922,1171698,3751,209,367,391637,0 34,2,2024-09-07 10:02:40:768,843184,843184,0,0,33159962,0,4562 34,3,2024-09-07 10:02:41:691,1,666,2,0,541,5860,666,0 35,0,2024-09-07 10:02:40:868,169217,0.3,169739,0.5,340579,0.3,453042,1.75 35,1,2024-09-07 10:02:41:068,1171532,1171532,0,0,549982917155,5708302688,1162649,7331,1552,382,391769,0 35,2,2024-09-07 10:02:41:586,841974,841974,0,0,38085096,0,4055 35,3,2024-09-07 10:02:40:913,1,666,1,0,466,6442,666,0 36,0,2024-09-07 10:02:41:551,170068,0.5,170199,0.6,340045,0.4,452379,2.00 36,1,2024-09-07 10:02:40:589,1169691,1169691,0,0,548840852261,5732660612,1154945,12392,2354,366,391759,0 36,2,2024-09-07 10:02:41:817,843296,843296,0,0,38310555,0,3875 36,3,2024-09-07 10:02:40:865,1,666,2,0,556,8700,666,0 37,0,2024-09-07 10:02:41:370,162267,0.5,162357,0.7,324857,0.5,433319,2.25 37,1,2024-09-07 10:02:40:569,1169336,1169329,0,7,548511861364,5731027628,1155154,11062,3113,365,391770,0 37,2,2024-09-07 10:02:41:165,840669,840654,15,0,38045126,0,5815 37,3,2024-09-07 10:02:41:796,1,666,26,0,888,9148,666,0 38,0,2024-09-07 10:02:41:449,163818,0.5,158790,0.7,331995,0.4,435663,2.00 38,1,2024-09-07 10:02:41:606,1169254,1169254,0,0,549745891241,5748768707,1152519,13431,3304,368,391821,0 38,2,2024-09-07 10:02:40:764,842278,842231,47,0,38477977,0,6710 38,3,2024-09-07 10:02:41:003,1,666,1,0,689,7832,666,0 39,0,2024-09-07 10:02:41:807,174383,0.6,170383,0.7,332725,0.5,453715,2.00 39,1,2024-09-07 10:02:40:724,1168731,1168731,0,0,547893651978,5746931466,1149236,15262,4233,365,391865,0 39,2,2024-09-07 10:02:41:416,842046,842046,0,0,36737248,0,3391 39,3,2024-09-07 10:02:40:735,1,666,8,0,525,7460,666,0 40,0,2024-09-07 10:02:41:489,168660,0.8,169364,0.9,337971,0.9,451386,2.75 40,1,2024-09-07 10:02:40:583,1168599,1168599,0,0,547860156142,5742529904,1150583,14489,3527,366,391668,0 40,2,2024-09-07 10:02:41:305,838419,838412,7,0,42412629,0,5347 40,3,2024-09-07 10:02:41:146,1,666,10,0,1028,9411,666,0 41,0,2024-09-07 10:02:41:022,162796,1.2,166669,1.1,317856,1.6,431658,3.00 41,1,2024-09-07 10:02:40:775,1168162,1168162,0,0,548857029324,5740434542,1153161,12620,2381,369,391878,0 41,2,2024-09-07 10:02:40:758,838962,838961,1,0,40996481,0,5408 41,3,2024-09-07 10:02:41:680,1,666,3,0,366,7218,666,0 42,0,2024-09-07 10:02:41:486,162894,0.9,162712,1.0,325541,1.0,432133,2.50 42,1,2024-09-07 10:02:41:440,1166555,1166555,0,0,547413238802,5747627439,1149266,14332,2957,380,391675,0 42,2,2024-09-07 10:02:41:134,840416,840415,1,0,42566076,0,5513 42,3,2024-09-07 10:02:41:014,1,666,59,0,892,6870,666,0 43,0,2024-09-07 10:02:40:920,167526,0.7,163044,0.9,341688,0.8,447098,2.25 43,1,2024-09-07 10:02:40:583,1169268,1169268,0,0,549812044986,5744556453,1153397,13124,2747,366,391696,0 43,2,2024-09-07 10:02:41:737,843602,843602,0,0,39125240,0,4723 43,3,2024-09-07 10:02:41:816,1,666,1,0,571,9195,666,0 44,0,2024-09-07 10:02:40:860,170211,0.4,170456,0.6,340085,0.4,453710,1.75 44,1,2024-09-07 10:02:40:565,1172575,1172575,0,0,550187694374,5689112516,1162098,8403,2074,356,391809,0 44,2,2024-09-07 10:02:41:267,838566,838566,0,0,32019518,0,4344 44,3,2024-09-07 10:02:41:096,1,666,1,0,1097,8361,666,0 45,0,2024-09-07 10:02:41:820,163891,0.5,160100,0.7,336053,0.4,440233,2.00 45,1,2024-09-07 10:02:41:008,1171576,1171576,0,0,550231984444,5720019120,1162262,8093,1221,382,391917,0 45,2,2024-09-07 10:02:41:267,843791,843791,0,0,32378173,0,3596 45,3,2024-09-07 10:02:40:941,1,666,2,0,531,6287,666,0 46,0,2024-09-07 10:02:40:950,161954,0.5,161462,0.7,323985,0.5,430916,2.00 46,1,2024-09-07 10:02:40:588,1173865,1173865,0,0,550514069545,5693587047,1165508,7286,1071,366,391709,0 46,2,2024-09-07 10:02:40:594,844497,844497,0,0,33688176,0,4443 46,3,2024-09-07 10:02:41:133,1,666,2,0,908,7556,666,0 47,0,2024-09-07 10:02:41:103,167768,0.4,167353,0.6,336053,0.4,445914,2.00 47,1,2024-09-07 10:02:40:568,1175053,1175053,0,0,551010523195,5695489494,1167694,6346,1013,364,391666,0 47,2,2024-09-07 10:02:40:914,845552,845552,0,0,33667249,0,4477 47,3,2024-09-07 10:02:41:117,1,666,13,0,600,7207,666,0 48,0,2024-09-07 10:02:41:488,169912,0.3,170447,0.4,339704,0.2,451958,1.50 48,1,2024-09-07 10:02:41:025,1172271,1172271,0,0,550175292148,5717732169,1164695,6564,1012,381,391710,0 48,2,2024-09-07 10:02:40:736,841515,841515,0,0,31111891,0,3619 48,3,2024-09-07 10:02:40:758,1,666,1,0,339,5888,666,0 49,0,2024-09-07 10:02:41:715,173199,0.3,169627,0.5,330068,0.3,449888,1.75 49,1,2024-09-07 10:02:41:026,1171332,1171332,0,0,550193044871,5723132166,1163478,6157,1697,382,391809,0 49,2,2024-09-07 10:02:41:798,844610,844610,0,0,32535875,0,4426 49,3,2024-09-07 10:02:41:420,1,666,0,0,992,7924,666,0 50,0,2024-09-07 10:02:41:512,163201,0.3,161871,0.5,325936,0.2,432935,1.75 50,1,2024-09-07 10:02:41:015,1174343,1174343,0,0,551306705217,5700584600,1166881,6731,731,368,391691,0 50,2,2024-09-07 10:02:41:080,841902,841902,0,0,30463380,0,4490 50,3,2024-09-07 10:02:41:293,1,666,2,0,617,6673,666,0 51,0,2024-09-07 10:02:41:687,169737,0.3,166110,0.5,323364,0.2,441279,1.75 51,1,2024-09-07 10:02:41:690,1174560,1174560,0,0,553110371194,5704297790,1167921,5297,1342,365,391706,0 51,2,2024-09-07 10:02:41:315,842710,842710,0,0,30004601,0,3337 51,3,2024-09-07 10:02:41:027,1,666,21,0,678,5349,666,0 52,0,2024-09-07 10:02:41:427,170472,0.5,170523,0.7,340696,0.4,452874,2.00 52,1,2024-09-07 10:02:40:602,1169331,1169331,0,0,549187541333,5747957658,1152961,13976,2394,368,391805,0 52,2,2024-09-07 10:02:41:808,838359,838321,38,0,41563508,0,6742 52,3,2024-09-07 10:02:40:674,1,666,15,0,1782,7856,666,0 53,0,2024-09-07 10:02:41:737,169341,0.6,164396,0.8,343791,0.7,450194,2.25 53,1,2024-09-07 10:02:40:777,1167466,1167466,0,0,548919538616,5756421675,1148438,14616,4412,367,391968,0 53,2,2024-09-07 10:02:41:297,842443,842305,138,0,37542432,0,7690 53,3,2024-09-07 10:02:40:735,1,666,1,0,308,6115,666,0 54,0,2024-09-07 10:02:41:625,161478,0.6,161868,0.7,322554,0.5,430734,2.25 54,1,2024-09-07 10:02:40:583,1170509,1170509,0,0,550150556224,5720748226,1158821,10015,1673,366,391810,0 54,2,2024-09-07 10:02:40:866,842710,842678,32,0,40683616,0,6397 54,3,2024-09-07 10:02:40:773,1,666,25,0,676,8384,666,0 55,0,2024-09-07 10:02:41:803,158674,0.7,163590,0.8,332021,0.7,432543,2.50 55,1,2024-09-07 10:02:40:771,1171418,1171418,0,0,549320343469,5714186721,1159401,10531,1486,365,391731,0 55,2,2024-09-07 10:02:40:740,841270,841214,56,0,37711476,0,7239 55,3,2024-09-07 10:02:40:673,1,666,41,0,304,6172,666,0 56,0,2024-09-07 10:02:41:612,172974,1.1,163176,1.1,335947,1.4,450019,2.75 56,1,2024-09-07 10:02:40:583,1164248,1164248,0,0,547091743590,5784698875,1145018,15478,3752,381,391867,0 56,2,2024-09-07 10:02:41:304,841055,840933,122,0,39991898,0,7432 56,3,2024-09-07 10:02:41:069,1,666,3,0,705,8084,666,0 57,0,2024-09-07 10:02:40:966,168444,1.4,168378,1.2,336746,1.9,450023,3.00 57,1,2024-09-07 10:02:40:986,1167091,1167091,0,0,547524962508,5745475124,1151574,12827,2690,366,392032,0 57,2,2024-09-07 10:02:41:316,839254,839254,0,0,42313107,0,4804 57,3,2024-09-07 10:02:41:814,1,666,1,0,455,7256,666,0 58,0,2024-09-07 10:02:40:594,162331,0.9,157663,1.0,329960,1.1,431515,2.50 58,1,2024-09-07 10:02:40:591,1167975,1167972,0,3,549283423794,5760279019,1149882,13717,4373,367,391726,3 58,2,2024-09-07 10:02:41:072,842955,842955,0,0,38824692,0,3483 58,3,2024-09-07 10:02:41:076,1,666,1,0,1043,7311,666,0 59,0,2024-09-07 10:02:41:774,162161,0.8,161498,1.0,323033,0.9,428716,2.75 59,1,2024-09-07 10:02:40:804,1167757,1167757,0,0,547969580747,5756828764,1148721,15200,3836,369,391653,0 59,2,2024-09-07 10:02:40:589,842803,842803,0,0,37015951,0,3727 59,3,2024-09-07 10:02:41:813,1,666,8,0,1015,7924,666,0 60,0,2024-09-07 10:02:41:740,168138,0.5,168128,0.7,336475,0.5,448852,1.75 60,1,2024-09-07 10:02:40:774,1171670,1171670,0,0,550368931087,5729989962,1161405,8859,1406,370,392031,0 60,2,2024-09-07 10:02:41:147,843592,843592,0,0,35462385,0,3811 60,3,2024-09-07 10:02:41:260,1,666,5,0,409,7629,666,0 61,0,2024-09-07 10:02:41:560,169449,0.6,170205,0.8,338802,0.6,452111,2.00 61,1,2024-09-07 10:02:40:771,1169031,1169031,0,0,548812552604,5746265993,1156758,10497,1776,382,392127,0 61,2,2024-09-07 10:02:41:118,840514,840447,67,0,36074774,0,6411 61,3,2024-09-07 10:02:41:705,1,666,1,0,607,8398,666,0 62,0,2024-09-07 10:02:41:709,167908,0.6,172238,0.7,328745,0.5,446124,2.00 62,1,2024-09-07 10:02:41:111,1176094,1176088,0,6,551440666252,5690568032,1169548,6066,474,365,391975,6 62,2,2024-09-07 10:02:41:657,841302,841301,1,0,35611702,0,5555 62,3,2024-09-07 10:02:41:156,1,666,0,0,482,5322,666,0 63,0,2024-09-07 10:02:41:453,163188,0.4,162850,0.6,326471,0.3,433623,1.75 63,1,2024-09-07 10:02:40:804,1172653,1172647,0,6,551125650718,5724054102,1165056,6663,928,381,391800,6 63,2,2024-09-07 10:02:40:762,842765,842765,0,0,34997325,0,4369 63,3,2024-09-07 10:02:41:732,1,666,14,0,667,7203,666,0 64,0,2024-09-07 10:02:41:562,165128,0.5,164934,0.7,329601,0.5,439695,2.00 64,1,2024-09-07 10:02:40:757,1170929,1170929,0,0,550267451044,5730556631,1160742,8161,2026,370,391794,0 64,2,2024-09-07 10:02:41:164,845511,845492,19,0,33993090,0,6121 64,3,2024-09-07 10:02:41:168,1,666,1,0,651,7594,666,0 65,0,2024-09-07 10:02:41:723,168390,0.6,168711,0.7,336930,0.6,449342,2.00 65,1,2024-09-07 10:02:40:869,1169382,1169382,0,0,548405759038,5732099372,1161225,7260,897,381,391901,0 65,2,2024-09-07 10:02:41:695,840276,840276,0,0,40048908,0,3367 65,3,2024-09-07 10:02:41:693,1,666,1,0,782,7966,666,0 66,0,2024-09-07 10:02:41:799,169286,0.5,168655,0.7,337594,0.5,449036,2.00 66,1,2024-09-07 10:02:41:297,1171336,1171336,0,0,549723290816,5721471420,1163975,6489,872,380,391743,0 66,2,2024-09-07 10:02:41:134,845555,845552,3,0,35337721,0,5455 66,3,2024-09-07 10:02:41:081,1,666,1,0,291,6018,666,0 67,0,2024-09-07 10:02:41:423,163016,0.5,162607,0.7,325881,0.5,433901,2.00 67,1,2024-09-07 10:02:40:822,1171279,1171278,0,1,549240100752,5720673564,1162851,7287,1140,380,391787,1 67,2,2024-09-07 10:02:40:592,845514,845499,15,0,35629415,0,6205 67,3,2024-09-07 10:02:41:816,1,666,1,0,595,7161,666,0 68,0,2024-09-07 10:02:40:565,164573,0.6,164388,0.8,327503,0.6,438665,2.25 68,1,2024-09-07 10:02:40:579,1166758,1166758,0,0,547109346293,5758742793,1150271,12223,4264,381,391953,0 68,2,2024-09-07 10:02:41:043,838012,837912,100,0,43840275,0,8578 68,3,2024-09-07 10:02:40:739,1,666,1,0,417,9086,666,0 69,0,2024-09-07 10:02:41:739,170311,0.7,170798,0.8,340845,0.8,453724,2.25 69,1,2024-09-07 10:02:41:027,1166022,1166022,0,0,546960077759,5763445550,1151257,11784,2981,383,391994,0 69,2,2024-09-07 10:02:41:736,839377,839348,29,0,46958206,0,6912 69,3,2024-09-07 10:02:40:769,1,666,25,0,698,10066,666,0 70,0,2024-09-07 10:02:41:534,168311,0.7,169107,0.9,339026,0.7,449854,2.50 70,1,2024-09-07 10:02:40:803,1172263,1172263,0,0,550976974688,5720211796,1162835,8383,1045,366,391725,0 70,2,2024-09-07 10:02:41:326,841113,841113,0,0,38436873,0,4323 70,3,2024-09-07 10:02:40:749,1,666,1,0,854,7485,666,0 71,0,2024-09-07 10:02:41:360,162615,0.9,162202,1.0,325662,1.0,433907,2.50 71,1,2024-09-07 10:02:41:606,1170292,1170292,0,0,549411031428,5734746367,1155944,12563,1785,367,391738,0 71,2,2024-09-07 10:02:41:073,841681,841681,0,0,38409422,0,4352 71,3,2024-09-07 10:02:41:810,1,666,9,0,644,7418,666,0 72,0,2024-09-07 10:02:41:038,169228,0.5,165307,0.7,322908,0.5,438859,2.00 72,1,2024-09-07 10:02:41:024,1168644,1168644,0,0,548521072742,5750664820,1152277,13668,2699,369,391819,0 72,2,2024-09-07 10:02:41:796,841245,841245,0,0,40556495,0,3983 72,3,2024-09-07 10:02:41:810,1,666,25,0,564,9419,666,0 73,0,2024-09-07 10:02:41:122,164870,0.4,169096,0.6,345460,0.4,449940,2.00 73,1,2024-09-07 10:02:40:837,1170448,1170448,0,0,549649397412,5713190623,1161484,7977,987,367,391858,0 73,2,2024-09-07 10:02:41:815,842050,842049,1,0,42623674,0,5027 73,3,2024-09-07 10:02:40:981,1,666,11,0,1091,9100,666,0 74,0,2024-09-07 10:02:41:334,171012,0.5,175114,0.7,334330,0.4,453956,2.00 74,1,2024-09-07 10:02:40:658,1169049,1169049,0,0,548560889120,5724232477,1156879,10030,2140,381,391762,0 74,2,2024-09-07 10:02:41:004,838503,838503,0,0,36653053,0,4253 74,3,2024-09-07 10:02:41:442,1,666,1,0,522,7709,666,0 75,0,2024-09-07 10:02:41:833,165829,0.5,164892,0.7,330887,0.5,441591,2.25 75,1,2024-09-07 10:02:41:595,1170488,1170488,0,0,549191157241,5722825000,1160910,8646,932,380,391739,0 75,2,2024-09-07 10:02:41:351,841784,841784,0,0,43810323,0,4766 75,3,2024-09-07 10:02:41:069,1,666,50,0,918,9243,666,0 76,0,2024-09-07 10:02:40:603,162379,0.5,161718,0.7,323202,0.5,432699,2.25 76,1,2024-09-07 10:02:40:812,1170149,1170149,0,0,549139930689,5728855547,1161671,7174,1304,382,391790,0 76,2,2024-09-07 10:02:41:070,845733,845730,3,0,36584500,0,5265 76,3,2024-09-07 10:02:41:146,1,666,1,0,249,6251,666,0 77,0,2024-09-07 10:02:41:698,166651,0.6,167164,0.7,334403,0.5,445014,2.00 77,1,2024-09-07 10:02:40:823,1169834,1169834,0,0,548683205981,5729599549,1160014,8738,1082,381,391869,0 77,2,2024-09-07 10:02:41:290,841898,841898,0,0,35350916,0,3890 77,3,2024-09-07 10:02:41:098,1,666,11,0,401,7715,666,0 78,0,2024-09-07 10:02:41:729,170233,0.4,169535,0.6,340213,0.4,449995,2.00 78,1,2024-09-07 10:02:40:611,1169349,1169349,0,0,549099167142,5732002145,1154406,11707,3236,367,391670,0 78,2,2024-09-07 10:02:41:411,841743,841730,13,0,33146470,0,8313 78,3,2024-09-07 10:02:41:137,1,666,0,0,311,5641,666,0 79,0,2024-09-07 10:02:41:352,162705,0.4,166745,0.6,341465,0.3,444457,2.00 79,1,2024-09-07 10:02:40:589,1173575,1173575,0,0,550683677446,5706226945,1163773,8002,1800,367,391682,0 79,2,2024-09-07 10:02:41:070,844045,844045,0,0,32037890,0,4195 79,3,2024-09-07 10:02:40:757,1,666,0,0,418,7540,666,0 80,0,2024-09-07 10:02:41:080,162886,0.5,167268,0.7,319471,0.5,432198,2.00 80,1,2024-09-07 10:02:41:625,1169904,1169904,0,0,549828680611,5725556311,1162191,7165,548,368,392269,0 80,2,2024-09-07 10:02:41:099,845588,845588,0,0,32982849,0,4433 80,3,2024-09-07 10:02:40:596,1,666,113,0,681,8097,666,0 81,0,2024-09-07 10:02:41:576,165163,0.6,169025,0.7,322611,0.6,438136,2.00 81,1,2024-09-07 10:02:41:657,1168933,1168933,0,0,548289967857,5730881518,1159493,8467,973,382,392001,0 81,2,2024-09-07 10:02:41:125,841751,841688,63,0,36343307,0,5932 81,3,2024-09-07 10:02:41:118,1,666,4,0,719,7314,666,0 82,0,2024-09-07 10:02:41:538,170520,0.5,170652,0.7,341782,0.5,453376,2.00 82,1,2024-09-07 10:02:40:591,1171017,1171013,0,4,550385580473,5726253531,1164165,5725,1123,381,391768,4 82,2,2024-09-07 10:02:41:700,844661,844661,0,0,30654314,0,4484 82,3,2024-09-07 10:02:41:809,1,666,3,0,363,6075,666,0 83,0,2024-09-07 10:02:41:526,169963,0.6,169865,0.7,339454,0.5,450876,2.25 83,1,2024-09-07 10:02:40:554,1169792,1169792,0,0,548896903592,5724633864,1160925,7842,1025,382,391709,0 83,2,2024-09-07 10:02:40:765,842814,842789,25,0,33103733,0,5612 83,3,2024-09-07 10:02:40:751,1,666,10,0,1260,7859,666,0 84,0,2024-09-07 10:02:41:812,161830,0.7,161915,0.9,323942,0.7,432566,2.25 84,1,2024-09-07 10:02:41:041,1168309,1168309,0,0,548679934788,5741519106,1154645,11581,2083,367,391967,0 84,2,2024-09-07 10:02:40:615,840645,840235,410,0,47577432,0,17037 84,3,2024-09-07 10:02:41:146,1,666,1,0,908,9282,666,0 85,0,2024-09-07 10:02:41:051,157931,0.7,157938,0.8,335356,0.7,432864,2.25 85,1,2024-09-07 10:02:40:569,1164961,1164961,0,0,547294645955,5777016742,1147341,14691,2929,381,392092,0 85,2,2024-09-07 10:02:40:864,841837,841837,0,0,40891717,0,4255 85,3,2024-09-07 10:02:40:689,1,666,1,0,789,7665,666,0 86,0,2024-09-07 10:02:41:035,169078,0.7,174104,0.8,332896,0.7,450118,2.25 86,1,2024-09-07 10:02:40:857,1167020,1167020,0,0,548360579949,5759356887,1149779,13939,3302,366,392169,0 86,2,2024-09-07 10:02:40:858,841531,841530,1,0,43120738,0,5004 86,3,2024-09-07 10:02:40:849,1,666,441,0,441,9437,666,0 87,0,2024-09-07 10:02:41:367,170793,0.8,169635,0.8,339718,0.9,454095,2.25 87,1,2024-09-07 10:02:40:559,1167393,1167393,0,0,548316970062,5745766930,1152524,12707,2162,366,392076,0 87,2,2024-09-07 10:02:41:068,838818,838812,6,0,40029050,0,6323 87,3,2024-09-07 10:02:41:804,1,666,8,0,473,9305,666,0 88,0,2024-09-07 10:02:41:439,164632,0.4,165093,0.6,330020,0.4,439042,1.75 88,1,2024-09-07 10:02:40:569,1166532,1166532,0,0,547394295086,5737022738,1150046,13357,3129,365,392084,0 88,2,2024-09-07 10:02:40:691,842162,842162,0,0,41658119,0,4465 88,3,2024-09-07 10:02:41:267,1,666,2,0,1080,10524,666,0 89,0,2024-09-07 10:02:41:828,168065,0.4,163122,0.6,321738,0.4,437443,1.75 89,1,2024-09-07 10:02:40:559,1166130,1166130,0,0,548582704880,5767622655,1152065,11876,2189,382,391866,0 89,2,2024-09-07 10:02:41:132,842636,842636,0,0,39545579,0,3173 89,3,2024-09-07 10:02:41:800,1,666,3,0,468,10756,666,0 90,0,2024-09-07 10:02:41:633,163079,0.4,167383,0.6,341965,0.4,446418,2.00 90,1,2024-09-07 10:02:40:607,1167453,1167453,0,0,547606848512,5736926939,1155709,10589,1155,380,391825,0 90,2,2024-09-07 10:02:41:413,838952,838947,5,0,42640404,0,6370 90,3,2024-09-07 10:02:40:946,1,666,24,0,364,8864,666,0 91,0,2024-09-07 10:02:41:048,170114,0.5,165051,0.6,345354,0.5,452979,1.75 91,1,2024-09-07 10:02:40:581,1164672,1164672,0,0,547731458502,5775327828,1146745,13942,3985,381,392047,0 91,2,2024-09-07 10:02:41:336,839022,839022,0,0,37859626,0,4713 91,3,2024-09-07 10:02:40:623,1,666,9,0,231,6322,666,0 92,0,2024-09-07 10:02:41:456,168879,0.5,172730,0.6,329483,0.4,446457,1.75 92,1,2024-09-07 10:02:40:583,1169113,1169113,0,0,548988906918,5735513420,1159868,7936,1309,381,392136,0 92,2,2024-09-07 10:02:41:351,843037,843037,0,0,34172466,0,3906 92,3,2024-09-07 10:02:41:014,1,666,2,0,281,5815,666,0 93,0,2024-09-07 10:02:40:966,163693,0.4,167640,0.6,320238,0.3,433539,1.75 93,1,2024-09-07 10:02:40:811,1169730,1169730,0,0,548671896276,5734647319,1155684,11614,2432,365,392048,0 93,2,2024-09-07 10:02:40:928,842880,842880,0,0,39975409,0,4913 93,3,2024-09-07 10:02:41:410,1,666,6,0,505,7500,666,0 94,0,2024-09-07 10:02:41:720,165135,0.4,166173,0.6,332527,0.3,441981,1.75 94,1,2024-09-07 10:02:40:577,1170434,1170434,0,0,549955825432,5735604775,1162687,7257,490,381,391850,0 94,2,2024-09-07 10:02:40:772,842439,842411,28,0,34554435,0,6179 94,3,2024-09-07 10:02:41:704,1,666,7,0,576,8249,666,0 95,0,2024-09-07 10:02:41:347,169741,0.4,169626,0.6,339580,0.3,452271,1.75 95,1,2024-09-07 10:02:40:866,1171311,1171311,0,0,550185275861,5717265365,1162270,8276,765,365,391852,0 95,2,2024-09-07 10:02:41:027,840667,840667,0,0,34442244,0,3308 95,3,2024-09-07 10:02:41:710,1,666,47,0,718,10156,666,0 96,0,2024-09-07 10:02:41:031,169754,0.3,169879,0.5,339953,0.3,451709,1.75 96,1,2024-09-07 10:02:41:594,1169372,1169372,0,0,549276824695,5731470159,1160909,7169,1294,384,391964,0 96,2,2024-09-07 10:02:41:269,844353,844353,0,0,34938031,0,4180 96,3,2024-09-07 10:02:41:146,1,666,6,0,411,7622,666,0 97,0,2024-09-07 10:02:41:317,162997,0.3,162799,0.5,326329,0.3,433786,1.50 97,1,2024-09-07 10:02:40:770,1171251,1171251,0,0,549975997103,5708613255,1163405,6608,1238,367,392140,0 97,2,2024-09-07 10:02:40:611,843857,843857,0,0,35316899,0,4600 97,3,2024-09-07 10:02:40:582,1,666,0,0,433,8202,666,0 98,0,2024-09-07 10:02:41:728,164242,0.3,164282,0.5,329864,0.3,439022,1.50 98,1,2024-09-07 10:02:40:599,1170825,1170825,0,0,549396212514,5724398309,1163399,6528,898,381,391997,0 98,2,2024-09-07 10:02:40:789,842102,842102,0,0,33584670,0,4336 98,3,2024-09-07 10:02:40:703,1,666,6,0,840,9495,666,0 99,0,2024-09-07 10:02:41:454,171105,0.3,171975,0.5,342637,0.3,456433,1.75 99,1,2024-09-07 10:02:41:727,1171616,1171616,0,0,549618236673,5722504380,1163888,6622,1106,380,392069,0 99,2,2024-09-07 10:02:41:427,841945,841945,0,0,42791056,0,4276 99,3,2024-09-07 10:02:40:588,1,666,20,0,1124,8388,666,0 100,0,2024-09-07 10:02:41:494,169728,0.8,170229,0.9,340303,0.9,453862,2.50 100,1,2024-09-07 10:02:40:554,1164206,1164206,0,0,546923055316,5786157273,1145675,14779,3752,378,391989,0 100,2,2024-09-07 10:02:41:817,837139,836750,389,0,44367722,0,16909 100,3,2024-09-07 10:02:41:819,1,666,1,0,627,10544,666,0 101,0,2024-09-07 10:02:41:713,167285,0.9,163282,1.0,319365,0.9,435746,2.25 101,1,2024-09-07 10:02:40:550,1166600,1166600,0,0,548332335828,5765505654,1150355,13312,2933,368,391847,0 101,2,2024-09-07 10:02:41:800,837197,837158,39,0,45570114,0,5913 101,3,2024-09-07 10:02:40:949,1,666,6,0,1250,9930,666,0 102,0,2024-09-07 10:02:40:980,159455,0.6,164249,0.8,333644,0.6,436275,2.25 102,1,2024-09-07 10:02:41:163,1166559,1166559,0,0,548204782537,5758374547,1151143,13198,2218,369,391984,0 102,2,2024-09-07 10:02:41:781,843440,843386,54,0,38311316,0,6768 102,3,2024-09-07 10:02:41:621,1,666,2,0,466,7786,666,0 103,0,2024-09-07 10:02:41:618,174517,0.6,174546,0.8,328931,0.7,452219,2.00 103,1,2024-09-07 10:02:41:627,1165360,1165360,0,0,547398512220,5781716933,1146693,15043,3624,381,392077,0 103,2,2024-09-07 10:02:40:599,840763,840763,0,0,41683358,0,3766 103,3,2024-09-07 10:02:40:766,1,666,8,0,916,7759,666,0 104,0,2024-09-07 10:02:41:015,168741,0.7,169102,0.9,337345,0.7,451092,2.25 104,1,2024-09-07 10:02:41:598,1167819,1167819,0,0,548080938507,5762871994,1149727,14650,3442,365,392168,0 104,2,2024-09-07 10:02:41:674,837054,837054,0,0,40280078,0,4161 104,3,2024-09-07 10:02:41:427,1,666,0,0,1245,11544,666,0 105,0,2024-09-07 10:02:41:049,163806,0.8,159713,1.0,334788,0.9,439494,2.50 105,1,2024-09-07 10:02:40:562,1169992,1169992,0,0,548474037861,5745247093,1155398,12482,2112,364,392009,0 105,2,2024-09-07 10:02:41:323,841767,841767,0,0,41391739,0,4360 105,3,2024-09-07 10:02:41:304,1,666,0,0,573,10127,666,0 106,0,2024-09-07 10:02:40:998,157244,0.9,161199,0.9,329885,1.0,431082,2.50 106,1,2024-09-07 10:02:41:810,1167420,1167420,0,0,547816508629,5748935755,1151148,14124,2148,368,391914,0 106,2,2024-09-07 10:02:40:762,842498,842498,0,0,38435249,0,3331 106,3,2024-09-07 10:02:40:730,1,666,3,0,1224,9085,666,0 107,0,2024-09-07 10:02:41:134,166772,1.1,166830,1.0,333817,1.3,445839,2.25 107,1,2024-09-07 10:02:40:605,1165412,1165412,0,0,546604474184,5777540368,1146670,16502,2240,381,392234,0 107,2,2024-09-07 10:02:41:300,838687,838686,1,0,41739804,0,5024 107,3,2024-09-07 10:02:41:811,1,666,12,0,733,10480,666,0 108,0,2024-09-07 10:02:41:810,169260,0.4,170122,0.6,339173,0.4,451775,1.75 108,1,2024-09-07 10:02:41:304,1169301,1169301,0,0,549457501832,5732987980,1159373,8818,1110,367,391894,0 108,2,2024-09-07 10:02:41:797,841007,841007,0,0,37450852,0,4432 108,3,2024-09-07 10:02:41:333,1,666,2,0,767,12332,666,0 109,0,2024-09-07 10:02:41:834,169313,0.4,167940,0.6,336875,0.3,449075,1.75 109,1,2024-09-07 10:02:40:591,1167047,1167047,0,0,548547280860,5755799719,1156541,9003,1503,382,392132,0 109,2,2024-09-07 10:02:40:921,841888,841888,0,0,37205202,0,3617 109,3,2024-09-07 10:02:41:164,1,666,5,0,630,7721,666,0 110,0,2024-09-07 10:02:41:836,162587,0.4,158093,0.6,331141,0.3,433949,1.75 110,1,2024-09-07 10:02:41:652,1171345,1171345,0,0,549880967706,5709407819,1162323,6970,2052,368,392045,0 110,2,2024-09-07 10:02:41:304,843336,843336,0,0,36466621,0,4067 110,3,2024-09-07 10:02:40:698,1,666,9,0,722,8787,666,0 111,0,2024-09-07 10:02:41:422,166003,0.4,165268,0.5,330065,0.3,440881,1.75 111,1,2024-09-07 10:02:41:005,1172660,1172660,0,0,551002229223,5719153751,1166125,6146,389,380,391690,0 111,2,2024-09-07 10:02:41:118,842102,842102,0,0,35786823,0,4823 111,3,2024-09-07 10:02:40:917,1,666,12,0,379,7431,666,0 112,0,2024-09-07 10:02:40:929,171369,0.3,170818,0.4,342487,0.2,454631,1.50 112,1,2024-09-07 10:02:40:829,1171356,1171356,0,0,549495317895,5705885679,1163773,6358,1225,380,391624,0 112,2,2024-09-07 10:02:41:154,842578,842577,1,0,34312548,0,5036 112,3,2024-09-07 10:02:40:595,1,666,1,0,282,6584,666,0 113,0,2024-09-07 10:02:40:870,169956,0.3,170132,0.5,340722,0.2,453220,1.75 113,1,2024-09-07 10:02:41:704,1174757,1174757,0,0,552207693012,5698386983,1167902,5905,950,365,391664,0 113,2,2024-09-07 10:02:41:304,844717,844717,0,0,31388330,0,3813 113,3,2024-09-07 10:02:40:690,1,666,1,0,510,6910,666,0 114,0,2024-09-07 10:02:40:873,163500,0.3,164516,0.5,328248,0.2,438061,1.75 114,1,2024-09-07 10:02:40:729,1171067,1171067,0,0,549600812719,5707447840,1162499,6800,1768,381,391565,0 114,2,2024-09-07 10:02:40:879,844479,844478,1,0,33560869,0,5069 114,3,2024-09-07 10:02:41:280,1,666,0,0,415,5506,666,0 115,0,2024-09-07 10:02:40:556,165163,0.3,165759,0.4,330038,0.2,439327,1.50 115,1,2024-09-07 10:02:40:589,1171316,1171316,0,0,550232227451,5717089388,1161843,7683,1790,382,391757,0 115,2,2024-09-07 10:02:41:126,844448,844448,0,0,33435232,0,4382 115,3,2024-09-07 10:02:41:004,1,666,3,0,173,4306,666,0 116,0,2024-09-07 10:02:41:720,169072,0.7,169114,0.9,338792,0.7,452908,2.25 116,1,2024-09-07 10:02:40:877,1164956,1164956,0,0,548070387735,5775033387,1151151,10596,3209,380,392089,0 116,2,2024-09-07 10:02:41:819,842208,842208,0,0,41166584,0,4475 116,3,2024-09-07 10:02:40:952,1,666,53,0,448,8774,666,0 117,0,2024-09-07 10:02:40:969,170570,0.7,170233,0.8,340676,0.7,455305,2.00 117,1,2024-09-07 10:02:41:578,1167130,1167130,0,0,547286144424,5735783141,1153335,12033,1762,369,392429,0 117,2,2024-09-07 10:02:41:118,841361,841361,0,0,37407629,0,4303 117,3,2024-09-07 10:02:41:063,1,666,3,0,490,8366,666,0 118,0,2024-09-07 10:02:41:820,159682,0.5,164018,0.7,334718,0.5,437501,2.00 118,1,2024-09-07 10:02:40:598,1166304,1166304,0,0,547559400019,5756716034,1149106,13377,3821,366,392054,0 118,2,2024-09-07 10:02:41:606,841632,841632,0,0,40181848,0,3161 118,3,2024-09-07 10:02:41:792,1,666,1,0,343,8156,666,0 119,0,2024-09-07 10:02:41:359,163298,0.7,163770,0.8,326887,0.8,436003,2.25 119,1,2024-09-07 10:02:40:549,1167360,1167360,0,0,548366926158,5752644508,1151529,13167,2664,367,391857,0 119,2,2024-09-07 10:02:41:261,843761,843761,0,0,37104835,0,4309 119,3,2024-09-07 10:02:41:332,1,666,3,0,1358,11932,666,0 120,0,2024-09-07 10:02:41:577,167349,0.6,167065,0.8,335875,0.7,448003,2.25 120,1,2024-09-07 10:02:40:857,1168162,1168162,0,0,547640238003,5742851060,1155665,11340,1157,367,392144,0 120,2,2024-09-07 10:02:40:772,841236,841233,3,0,44568466,0,5363 120,3,2024-09-07 10:02:41:303,1,666,12,0,478,8487,666,0 121,0,2024-09-07 10:02:41:738,169752,1.1,169241,1.0,338608,1.5,451489,2.25 121,1,2024-09-07 10:02:41:680,1167755,1167755,0,0,548830381184,5743576890,1155108,10768,1879,366,391840,0 121,2,2024-09-07 10:02:41:130,839686,839686,0,0,40086755,0,4157 121,3,2024-09-07 10:02:40:743,1,666,338,0,387,8944,666,0 122,0,2024-09-07 10:02:41:835,166794,0.7,162240,0.8,339606,0.8,446160,2.00 122,1,2024-09-07 10:02:40:859,1167225,1167225,0,0,547834644257,5749300735,1151033,13615,2577,365,392130,0 122,2,2024-09-07 10:02:41:323,843070,842995,75,0,44426437,0,5989 122,3,2024-09-07 10:02:40:599,1,666,34,0,512,10334,666,0 123,0,2024-09-07 10:02:40:958,161846,0.8,157661,0.9,330522,0.9,432125,2.25 123,1,2024-09-07 10:02:40:578,1168028,1168028,0,0,548775475784,5771214445,1149362,15677,2989,369,392039,0 123,2,2024-09-07 10:02:41:024,842043,842042,1,0,37900629,0,5215 123,3,2024-09-07 10:02:41:133,1,666,10,0,478,7459,666,0 124,0,2024-09-07 10:02:40:929,170332,0.4,170440,0.5,321119,0.3,442748,1.75 124,1,2024-09-07 10:02:41:026,1171236,1171236,0,0,549594769891,5714636476,1162401,7601,1234,365,392178,0 124,2,2024-09-07 10:02:41:015,844025,843972,53,0,35056146,0,6487 124,3,2024-09-07 10:02:40:770,1,666,6,0,490,6601,666,0 125,0,2024-09-07 10:02:41:430,169727,0.4,169526,0.6,339785,0.4,452712,1.75 125,1,2024-09-07 10:02:40:864,1168785,1168785,0,0,548650314846,5733981379,1158335,8940,1510,382,392045,0 125,2,2024-09-07 10:02:41:118,843836,843836,0,0,34959296,0,4534 125,3,2024-09-07 10:02:41:127,1,666,4,0,709,7542,666,0 126,0,2024-09-07 10:02:41:426,169967,0.4,174696,0.5,334060,0.3,452453,1.75 126,1,2024-09-07 10:02:40:569,1172015,1172015,0,0,550093717848,5700641255,1165042,6293,680,365,391987,0 126,2,2024-09-07 10:02:40:609,844612,844612,0,0,36115551,0,4539 126,3,2024-09-07 10:02:40:917,1,666,6,0,268,7613,666,0 127,0,2024-09-07 10:02:41:618,163243,0.3,163537,0.5,326452,0.3,434009,1.75 127,1,2024-09-07 10:02:40:569,1170314,1170314,0,0,549811943169,5722348319,1158252,10370,1692,364,392187,0 127,2,2024-09-07 10:02:40:646,843717,843713,4,0,34343174,0,5305 127,3,2024-09-07 10:02:41:267,1,666,16,0,968,6603,666,0 128,0,2024-09-07 10:02:41:530,165298,0.3,165248,0.4,330407,0.2,439745,1.50 128,1,2024-09-07 10:02:41:618,1169728,1169728,0,0,549507514081,5714628340,1160871,7887,970,367,392423,0 128,2,2024-09-07 10:02:41:399,844612,844612,0,0,32443632,0,3171 128,3,2024-09-07 10:02:40:788,1,666,0,0,1082,10062,666,0 129,0,2024-09-07 10:02:40:994,172342,0.3,171608,0.5,344274,0.3,457181,1.75 129,1,2024-09-07 10:02:40:569,1166813,1166813,0,0,548428245967,5754596487,1154069,10415,2329,379,391962,0 129,2,2024-09-07 10:02:40:704,844319,844315,4,0,34950438,0,5335 129,3,2024-09-07 10:02:40:689,1,666,3,0,506,9804,666,0 130,0,2024-09-07 10:02:41:766,170979,0.4,170737,0.6,341771,0.4,455338,1.75 130,1,2024-09-07 10:02:40:593,1171540,1171540,0,0,549600033895,5707706243,1165856,5278,406,381,391825,0 130,2,2024-09-07 10:02:41:143,841504,841504,0,0,34727708,0,4067 130,3,2024-09-07 10:02:41:293,1,666,1,0,960,8643,666,0 131,0,2024-09-07 10:02:42:055,163643,0.3,164216,0.5,329338,0.3,437328,1.50 131,1,2024-09-07 10:02:41:820,1170741,1170741,0,0,548773011380,5719967270,1162733,6806,1202,381,391865,0 131,2,2024-09-07 10:02:40:571,843236,843236,0,0,32526031,0,3979 131,3,2024-09-07 10:02:41:694,1,666,11,0,392,8438,666,0 132,0,2024-09-07 10:02:41:452,164369,0.5,165340,0.6,329042,0.4,438298,2.00 132,1,2024-09-07 10:02:40:594,1166141,1166141,0,0,547014870786,5757504952,1149766,13595,2780,381,392532,0 132,2,2024-09-07 10:02:40:697,842920,842903,17,0,41724811,0,6451 132,3,2024-09-07 10:02:41:690,1,666,5,0,1298,11609,666,0 133,0,2024-09-07 10:02:41:522,164933,0.4,168845,0.6,346356,0.4,450634,2.00 133,1,2024-09-07 10:02:40:592,1166125,1166125,0,0,548052429484,5773371946,1150775,13417,1933,383,391914,0 133,2,2024-09-07 10:02:41:089,843550,843500,50,0,42753162,0,6861 133,3,2024-09-07 10:02:41:309,1,666,138,0,528,7909,666,0 134,0,2024-09-07 10:02:40:960,170594,0.5,170514,0.7,341053,0.5,454538,2.25 134,1,2024-09-07 10:02:40:617,1166947,1166947,0,0,547827483744,5750935350,1150947,12710,3290,366,391781,0 134,2,2024-09-07 10:02:41:818,838889,838750,139,0,39878095,0,7591 134,3,2024-09-07 10:02:40:763,1,666,36,0,739,8418,666,0 135,0,2024-09-07 10:02:41:107,160506,0.7,160526,0.8,340835,0.8,438806,2.25 135,1,2024-09-07 10:02:41:598,1167447,1167447,0,0,547900818167,5760848937,1153142,12453,1852,380,392038,0 135,2,2024-09-07 10:02:40:704,843989,843989,0,0,40394807,0,4503 135,3,2024-09-07 10:02:41:012,1,666,171,0,900,6499,666,0 136,0,2024-09-07 10:02:41:630,163208,0.6,163741,0.8,325185,0.6,434310,2.00 136,1,2024-09-07 10:02:41:445,1168652,1168652,0,0,548696790500,5748270228,1156256,10998,1398,381,392135,0 136,2,2024-09-07 10:02:41:140,845018,845003,15,0,39769154,0,6007 136,3,2024-09-07 10:02:41:106,1,666,1,0,637,7723,666,0 137,0,2024-09-07 10:02:40:934,172234,0.6,167958,0.7,329015,0.7,447476,2.00 137,1,2024-09-07 10:02:40:603,1167531,1167531,0,0,548465688882,5752839599,1149249,14645,3637,366,391898,0 137,2,2024-09-07 10:02:41:705,841023,841023,0,0,40595115,0,3185 137,3,2024-09-07 10:02:40:771,1,666,2,0,484,9597,666,0 138,0,2024-09-07 10:02:41:742,168642,1.0,168954,0.9,338542,1.2,450164,2.25 138,1,2024-09-07 10:02:41:699,1167343,1167343,0,0,548576637443,5756500687,1150922,13781,2640,368,391954,0 138,2,2024-09-07 10:02:40:814,839386,839386,0,0,39097557,0,4988 138,3,2024-09-07 10:02:40:624,1,666,1,0,1200,9980,666,0 139,0,2024-09-07 10:02:41:373,167115,0.9,167680,0.9,335242,1.2,447419,2.25 139,1,2024-09-07 10:02:40:606,1163177,1163177,0,0,545342875152,5779981244,1143553,15714,3910,380,392109,0 139,2,2024-09-07 10:02:40:692,837674,837644,30,0,44403146,0,5997 139,3,2024-09-07 10:02:41:672,1,666,9,0,432,7887,666,0 140,0,2024-09-07 10:02:41:601,163166,0.3,162390,0.5,326017,0.2,434192,1.75 140,1,2024-09-07 10:02:41:570,1175058,1175058,0,0,550604686366,5681027448,1168893,5428,737,364,391628,0 140,2,2024-09-07 10:02:40:703,843761,843760,1,0,32498648,0,5036 140,3,2024-09-07 10:02:40:768,1,666,1,0,575,6840,666,0 141,0,2024-09-07 10:02:41:703,165656,0.3,170240,0.5,325447,0.3,440520,1.75 141,1,2024-09-07 10:02:40:859,1171945,1171945,0,0,550994997611,5728180922,1161921,8638,1386,379,391614,0 141,2,2024-09-07 10:02:41:701,843060,843049,11,0,35036996,0,5369 141,3,2024-09-07 10:02:41:050,1,666,285,0,391,7895,666,0 142,0,2024-09-07 10:02:41:340,171490,0.3,170695,0.5,341925,0.3,455515,1.75 142,1,2024-09-07 10:02:40:590,1169793,1169793,0,0,549252917362,5730567963,1161315,7616,862,382,392102,0 142,2,2024-09-07 10:02:41:311,841325,841293,32,0,35128903,0,6028 142,3,2024-09-07 10:02:41:813,1,666,3,0,484,6900,666,0 143,0,2024-09-07 10:02:41:437,170159,0.4,169826,0.5,340853,0.4,453262,1.75 143,1,2024-09-07 10:02:40:572,1172752,1172752,0,0,550620511010,5714327182,1164974,6873,905,367,391900,0 143,2,2024-09-07 10:02:40:769,843544,843544,0,0,34783428,0,3123 143,3,2024-09-07 10:02:41:163,1,666,4,0,462,7745,666,0 144,0,2024-09-07 10:02:41:521,158402,0.6,162979,0.7,331690,0.5,434270,2.00 144,1,2024-09-07 10:02:40:568,1166675,1166675,0,0,547202492871,5744124223,1154947,9687,2041,381,391733,0 144,2,2024-09-07 10:02:41:799,843812,843812,0,0,34692953,0,4443 144,3,2024-09-07 10:02:41:813,1,666,0,0,306,7195,666,0 145,0,2024-09-07 10:02:41:375,159102,0.6,159088,0.8,337759,0.5,434832,2.25 145,1,2024-09-07 10:02:40:556,1165563,1165563,0,0,547678334312,5766567173,1149514,13084,2965,382,391781,0 145,2,2024-09-07 10:02:41:436,841436,841354,82,0,40606998,0,7814 145,3,2024-09-07 10:02:40:913,1,666,2,0,622,8783,666,0 146,0,2024-09-07 10:02:41:622,169639,0.6,168785,0.8,339253,0.6,451005,2.25 146,1,2024-09-07 10:02:41:601,1168027,1168027,0,0,548288203170,5767049980,1149311,13896,4820,367,391829,0 146,2,2024-09-07 10:02:41:699,840929,840923,6,0,38805531,0,5151 146,3,2024-09-07 10:02:41:279,1,666,18,0,1520,10843,666,0 147,0,2024-09-07 10:02:41:708,170593,0.6,170311,0.7,340550,0.6,454477,2.25 147,1,2024-09-07 10:02:41:376,1171397,1171397,0,0,549590466589,5713096249,1161766,8552,1079,367,391791,0 147,2,2024-09-07 10:02:41:023,839733,839733,0,0,34978933,0,3359 147,3,2024-09-07 10:02:40:920,1,666,8,0,1626,10171,666,0 0,0,2024-09-07 10:02:51:729,163745,0.7,163676,0.8,347276,0.7,449104,2.00 0,1,2024-09-07 10:02:50:805,1170329,1170329,0,0,549583888632,5754893516,1160678,8690,961,368,391896,0 0,2,2024-09-07 10:02:51:068,844656,844656,0,0,34384143,0,4480 0,3,2024-09-07 10:02:50:978,1,667,1,0,431,9250,667,0 1,0,2024-09-07 10:02:51:747,169715,0.8,168700,0.9,338679,1.0,452891,2.00 1,1,2024-09-07 10:02:50:556,1169008,1169008,0,0,548940016809,5753549768,1157649,9394,1965,370,391859,0 1,2,2024-09-07 10:02:50:639,841677,841677,0,0,33652619,0,3380 1,3,2024-09-07 10:02:51:302,1,667,6,0,269,7961,667,0 2,0,2024-09-07 10:02:51:566,167357,0.6,167060,0.7,333833,0.6,445049,2.00 2,1,2024-09-07 10:02:50:864,1173083,1173083,0,0,551677194294,5737114960,1166243,5879,961,379,391805,0 2,2,2024-09-07 10:02:51:283,845514,845514,0,0,32232546,0,3594 2,3,2024-09-07 10:02:50:694,1,667,1,0,357,5659,667,0 3,0,2024-09-07 10:02:51:742,162592,0.4,162564,0.6,324831,0.4,432522,2.00 3,1,2024-09-07 10:02:51:623,1171341,1171341,0,0,549405401990,5716075590,1162878,7745,718,379,391716,0 3,2,2024-09-07 10:02:51:142,845971,845948,23,0,33648408,0,5851 3,3,2024-09-07 10:02:51:754,1,667,1,0,484,5109,667,0 4,0,2024-09-07 10:02:51:761,161355,0.4,165762,0.5,338037,0.4,442512,1.75 4,1,2024-09-07 10:02:50:596,1165764,1165764,0,0,547852242004,5798285335,1145903,15260,4601,369,391992,0 4,2,2024-09-07 10:02:51:018,840928,840928,0,0,40966511,0,4534 4,3,2024-09-07 10:02:51:027,1,667,3,0,448,8971,667,0 5,0,2024-09-07 10:02:51:390,169925,0.4,169726,0.6,339861,0.4,452398,1.75 5,1,2024-09-07 10:02:50:759,1167427,1167427,0,0,547896681352,5782904583,1149865,13327,4235,367,392005,0 5,2,2024-09-07 10:02:51:829,838664,838631,33,0,41172572,0,7631 5,3,2024-09-07 10:02:51:732,1,667,167,0,457,8935,667,0 6,0,2024-09-07 10:02:50:917,169663,0.5,169272,0.6,338610,0.4,450873,2.00 6,1,2024-09-07 10:02:50:746,1169598,1169598,0,0,549739767375,5739839819,1157798,10208,1592,379,391702,0 6,2,2024-09-07 10:02:51:116,844500,844482,18,0,38086168,0,5535 6,3,2024-09-07 10:02:51:283,1,667,1,0,710,7908,667,0 7,0,2024-09-07 10:02:51:546,162507,0.5,163606,0.7,324891,0.5,433233,2.00 7,1,2024-09-07 10:02:50:855,1168055,1168055,0,0,549205636689,5780476174,1150379,13541,4135,382,391747,0 7,2,2024-09-07 10:02:50:774,842972,842803,169,0,38760957,0,7706 7,3,2024-09-07 10:02:50:851,1,667,70,0,552,7848,667,0 8,0,2024-09-07 10:02:51:341,165399,0.4,164974,0.5,330359,0.3,440630,1.75 8,1,2024-09-07 10:02:51:016,1166582,1166582,0,0,548716381862,5768677074,1148508,14256,3818,366,392853,0 8,2,2024-09-07 10:02:50:794,838148,838146,2,0,43742836,0,5112 8,3,2024-09-07 10:02:50:585,1,667,2,0,772,10174,667,0 9,0,2024-09-07 10:02:51:151,171311,0.4,166341,0.5,348223,0.4,456730,1.75 9,1,2024-09-07 10:02:50:568,1166694,1166694,0,0,548411238677,5783797145,1147528,14999,4167,369,392001,0 9,2,2024-09-07 10:02:51:096,841851,841850,1,0,41938962,0,5281 9,3,2024-09-07 10:02:51:754,1,667,9,0,1273,10932,667,0 10,0,2024-09-07 10:02:51:598,170436,0.4,169873,0.5,340727,0.3,453498,1.75 10,1,2024-09-07 10:02:50:583,1168515,1168515,0,0,547915567809,5752992702,1150547,14234,3734,381,391981,0 10,2,2024-09-07 10:02:50:762,842216,842216,0,0,44820061,0,4713 10,3,2024-09-07 10:02:50:882,1,667,3,0,669,7287,667,0 11,0,2024-09-07 10:02:51:008,163624,0.4,158869,0.6,332621,0.4,437643,1.75 11,1,2024-09-07 10:02:50:576,1170894,1170894,0,0,548759185850,5756112257,1154151,12433,4310,383,391766,0 11,2,2024-09-07 10:02:51:126,842914,842914,0,0,38635187,0,4698 11,3,2024-09-07 10:02:51:305,1,667,1,0,843,8282,667,0 12,0,2024-09-07 10:02:50:952,165691,0.4,165440,0.5,330421,0.4,440024,1.75 12,1,2024-09-07 10:02:51:105,1170143,1170143,0,0,549625715462,5735387686,1160411,8706,1026,370,391960,0 12,2,2024-09-07 10:02:51:571,842883,842883,0,0,38416909,0,4390 12,3,2024-09-07 10:02:51:077,1,667,13,0,386,8369,667,0 13,0,2024-09-07 10:02:51:361,170280,0.4,170539,0.6,340219,0.4,453013,1.75 13,1,2024-09-07 10:02:51:752,1167590,1167590,0,0,548873643973,5775024334,1154619,10107,2864,382,391803,0 13,2,2024-09-07 10:02:50:619,845802,845802,0,0,34618168,0,3287 13,3,2024-09-07 10:02:51:765,1,667,1,0,522,8896,667,0 14,0,2024-09-07 10:02:50:580,170281,0.4,171254,0.6,340682,0.4,453635,1.75 14,1,2024-09-07 10:02:51:562,1175858,1175858,0,0,551841181594,5709759121,1166811,7913,1134,364,391673,0 14,2,2024-09-07 10:02:50:764,841387,841357,30,0,35392613,0,6104 14,3,2024-09-07 10:02:51:115,1,667,1,0,1168,7016,667,0 15,0,2024-09-07 10:02:51:562,165908,0.4,165109,0.6,330428,0.3,440704,1.75 15,1,2024-09-07 10:02:51:612,1172100,1172100,0,0,550467959168,5735725584,1161903,8188,2009,381,391619,0 15,2,2024-09-07 10:02:51:006,845967,845967,0,0,31884353,0,3622 15,3,2024-09-07 10:02:51:412,1,667,1,0,1126,8495,667,0 16,0,2024-09-07 10:02:50:966,162997,0.6,163798,0.8,326572,0.6,435274,2.00 16,1,2024-09-07 10:02:50:582,1171559,1171559,0,0,549647684737,5747202303,1160938,9079,1542,370,392194,0 16,2,2024-09-07 10:02:51:442,844221,844221,0,0,35315966,0,4719 16,3,2024-09-07 10:02:51:143,1,667,1,0,362,8394,667,0 17,0,2024-09-07 10:02:51:780,172618,0.7,168393,0.8,329740,0.7,448716,2.00 17,1,2024-09-07 10:02:50:589,1170019,1170019,0,0,549364811385,5759791684,1159008,9217,1794,368,392075,0 17,2,2024-09-07 10:02:51:691,846424,846423,1,0,36464748,0,5050 17,3,2024-09-07 10:02:50:581,1,667,20,0,518,9685,667,0 18,0,2024-09-07 10:02:50:951,167927,0.7,169110,0.8,336541,0.7,449347,2.25 18,1,2024-09-07 10:02:51:648,1174427,1174427,0,0,550701161940,5714059072,1166323,6674,1430,367,391725,0 18,2,2024-09-07 10:02:51:758,843651,843651,0,0,32859360,0,3541 18,3,2024-09-07 10:02:50:911,1,667,3,0,1059,5983,667,0 19,0,2024-09-07 10:02:51:541,167778,0.6,168337,0.8,335497,0.7,445735,2.00 19,1,2024-09-07 10:02:50:566,1173594,1173594,0,0,551353617669,5715182126,1164752,7682,1160,365,391777,0 19,2,2024-09-07 10:02:51:755,847553,847553,0,0,30521625,0,3988 19,3,2024-09-07 10:02:51:129,1,667,12,0,524,5372,667,0 20,0,2024-09-07 10:02:51:355,162696,0.5,162576,0.7,325030,0.5,433250,2.00 20,1,2024-09-07 10:02:50:571,1169471,1169471,0,0,549255591232,5758838566,1157230,10537,1704,369,391922,0 20,2,2024-09-07 10:02:50:934,844087,844087,0,0,38671712,0,4321 20,3,2024-09-07 10:02:50:591,1,667,7,0,468,11430,667,0 21,0,2024-09-07 10:02:51:139,165544,0.5,165679,0.7,331244,0.5,440176,2.00 21,1,2024-09-07 10:02:51:604,1167487,1167487,0,0,547110853224,5776947087,1148834,14665,3988,368,392016,0 21,2,2024-09-07 10:02:51:072,837087,836610,477,0,49744979,0,17074 21,3,2024-09-07 10:02:51:404,1,667,1,0,713,8958,667,0 22,0,2024-09-07 10:02:51:717,170466,0.5,171110,0.7,341826,0.4,453318,2.25 22,1,2024-09-07 10:02:51:030,1168014,1168014,0,0,548396610321,5771444498,1149008,15403,3603,381,391822,0 22,2,2024-09-07 10:02:50:760,841589,841563,26,0,37275503,0,6328 22,3,2024-09-07 10:02:51:071,1,667,6,0,228,5560,667,0 23,0,2024-09-07 10:02:51:371,169830,0.5,169676,0.7,339182,0.4,452249,2.00 23,1,2024-09-07 10:02:51:009,1169783,1169783,0,0,549313516252,5785659669,1148704,14256,6823,365,391690,0 23,2,2024-09-07 10:02:51:107,844202,844202,0,0,35109829,0,3773 23,3,2024-09-07 10:02:51:753,1,667,2,0,855,9572,667,0 24,0,2024-09-07 10:02:50:836,164688,0.4,163672,0.5,329047,0.3,437374,1.75 24,1,2024-09-07 10:02:50:585,1168835,1168835,0,0,548589597192,5742495669,1157771,9199,1865,367,392269,0 24,2,2024-09-07 10:02:51:073,843663,843660,3,0,41515307,0,6294 24,3,2024-09-07 10:02:51:690,1,667,3,0,468,8833,667,0 25,0,2024-09-07 10:02:51:369,169268,0.4,164537,0.6,323797,0.4,440858,2.00 25,1,2024-09-07 10:02:50:565,1167201,1167201,0,0,548076673932,5789526105,1147093,16195,3913,369,391928,0 25,2,2024-09-07 10:02:51:607,841524,841524,0,0,42882221,0,3978 25,3,2024-09-07 10:02:51:003,1,667,4,0,532,7393,667,0 26,0,2024-09-07 10:02:51:721,169557,0.4,165761,0.6,347745,0.4,454149,2.00 26,1,2024-09-07 10:02:51:541,1170692,1170692,0,0,549568510182,5754669297,1155036,12753,2903,380,391758,0 26,2,2024-09-07 10:02:50:867,843317,843317,0,0,44629714,0,4689 26,3,2024-09-07 10:02:51:720,1,667,0,0,796,8207,667,0 27,0,2024-09-07 10:02:51:727,170672,0.4,171475,0.6,340773,0.4,454881,2.25 27,1,2024-09-07 10:02:51:680,1171960,1171960,0,0,550688721417,5739599887,1160701,9638,1621,381,391626,0 27,2,2024-09-07 10:02:50:867,838157,838092,65,0,39162343,0,5699 27,3,2024-09-07 10:02:51:022,1,667,154,0,564,5812,667,0 28,0,2024-09-07 10:02:51:389,164941,0.4,165218,0.6,330396,0.3,439614,1.75 28,1,2024-09-07 10:02:50:796,1172829,1172829,0,0,551147718418,5749670745,1162191,8384,2254,382,391904,0 28,2,2024-09-07 10:02:51:766,844238,844238,0,0,35210571,0,3674 28,3,2024-09-07 10:02:51:803,1,667,9,0,502,6988,667,0 29,0,2024-09-07 10:02:51:362,168399,0.4,163987,0.6,321624,0.3,438674,1.75 29,1,2024-09-07 10:02:51:561,1175625,1175625,0,0,552144620920,5712986906,1168128,6477,1020,367,391809,0 29,2,2024-09-07 10:02:50:868,844775,844775,0,0,33790988,0,4986 29,3,2024-09-07 10:02:50:963,1,667,9,0,459,6971,667,0 30,0,2024-09-07 10:02:51:464,167633,0.5,163259,0.7,342073,0.5,447460,2.00 30,1,2024-09-07 10:02:50:571,1174348,1174348,0,0,551704989841,5728372284,1165012,8209,1127,380,391672,0 30,2,2024-09-07 10:02:51:274,845297,845297,0,0,32766433,0,4192 30,3,2024-09-07 10:02:50:580,1,667,1,0,519,6861,667,0 31,0,2024-09-07 10:02:51:760,169478,0.5,170231,0.7,339494,0.4,452946,2.00 31,1,2024-09-07 10:02:50:579,1178671,1178671,0,0,552627429381,5676258124,1171342,6140,1189,356,391712,0 31,2,2024-09-07 10:02:51:288,840378,840378,0,0,36202803,0,4470 31,3,2024-09-07 10:02:51:706,1,667,0,0,239,5759,667,0 32,0,2024-09-07 10:02:51:421,167470,0.3,168586,0.5,335635,0.2,446472,1.75 32,1,2024-09-07 10:02:50:804,1173936,1173936,0,0,551136323013,5730254848,1166513,6460,963,381,391646,0 32,2,2024-09-07 10:02:50:936,846956,846956,0,0,31798060,0,3922 32,3,2024-09-07 10:02:51:015,1,667,2,0,304,5639,667,0 33,0,2024-09-07 10:02:51:512,163333,0.3,162905,0.4,325879,0.2,433737,1.50 33,1,2024-09-07 10:02:50:578,1175478,1175478,0,0,551719851748,5715029421,1166137,7990,1351,368,391730,0 33,2,2024-09-07 10:02:50:764,845341,845306,35,0,35231886,0,7012 33,3,2024-09-07 10:02:50:900,1,667,3,0,329,6136,667,0 34,0,2024-09-07 10:02:50:930,166592,0.3,171115,0.5,327321,0.2,442558,1.75 34,1,2024-09-07 10:02:51:052,1177451,1177451,0,0,553254213870,5694107079,1173491,3751,209,367,391637,0 34,2,2024-09-07 10:02:50:765,844444,844444,0,0,33198273,0,4562 34,3,2024-09-07 10:02:51:690,1,667,4,0,541,5864,667,0 35,0,2024-09-07 10:02:50:858,169310,0.3,169853,0.5,340819,0.3,453341,1.75 35,1,2024-09-07 10:02:51:069,1173270,1173270,0,0,550830225430,5716977964,1164387,7331,1552,382,391769,0 35,2,2024-09-07 10:02:51:584,842729,842729,0,0,38105833,0,4055 35,3,2024-09-07 10:02:50:907,1,667,1,0,466,6443,667,0 36,0,2024-09-07 10:02:51:515,170173,0.5,170307,0.6,340258,0.4,452641,2.00 36,1,2024-09-07 10:02:50:600,1171452,1171452,0,0,549842888485,5743026247,1156703,12395,2354,366,391759,0 36,2,2024-09-07 10:02:51:750,844789,844789,0,0,38357483,0,3875 36,3,2024-09-07 10:02:50:867,1,667,2,0,556,8702,667,0 37,0,2024-09-07 10:02:51:372,162511,0.5,162558,0.7,325272,0.5,433844,2.25 37,1,2024-09-07 10:02:50:569,1171098,1171091,0,7,549386807567,5739991790,1156916,11062,3113,365,391770,0 37,2,2024-09-07 10:02:51:147,842089,842074,15,0,38091469,0,5815 37,3,2024-09-07 10:02:51:777,1,667,1,0,888,9149,667,0 38,0,2024-09-07 10:02:51:444,164263,0.5,159196,0.7,332811,0.4,436858,2.00 38,1,2024-09-07 10:02:51:607,1170905,1170905,0,0,550665851128,5758899537,1154090,13511,3304,368,391821,0 38,2,2024-09-07 10:02:50:759,843530,843483,47,0,38519948,0,6710 38,3,2024-09-07 10:02:51:003,1,667,1,0,689,7833,667,0 39,0,2024-09-07 10:02:51:773,174524,0.6,170508,0.7,332954,0.5,454017,2.00 39,1,2024-09-07 10:02:50:723,1170450,1170450,0,0,548572260623,5753933646,1150955,15262,4233,365,391865,0 39,2,2024-09-07 10:02:51:416,843230,843230,0,0,36780145,0,3391 39,3,2024-09-07 10:02:50:722,1,667,8,0,525,7468,667,0 40,0,2024-09-07 10:02:51:494,168951,0.8,169650,0.9,338581,0.9,452370,2.75 40,1,2024-09-07 10:02:50:579,1170501,1170501,0,0,548840426164,5753100444,1152456,14518,3527,366,391668,0 40,2,2024-09-07 10:02:51:303,839744,839737,7,0,42546998,0,5347 40,3,2024-09-07 10:02:51:142,1,667,66,0,1028,9477,667,0 41,0,2024-09-07 10:02:51:048,163036,1.2,166886,1.1,318295,1.6,432282,3.00 41,1,2024-09-07 10:02:50:768,1169483,1169483,0,0,549722325198,5749445112,1154459,12643,2381,369,391878,0 41,2,2024-09-07 10:02:50:773,840430,840429,1,0,41134851,0,5408 41,3,2024-09-07 10:02:51:679,1,667,153,0,366,7371,667,0 42,0,2024-09-07 10:02:51:483,163287,0.9,163122,1.0,326424,1.0,433408,2.75 42,1,2024-09-07 10:02:51:443,1168016,1168016,0,0,548182907988,5756232413,1150654,14392,2970,380,391675,0 42,2,2024-09-07 10:02:51:139,841436,841435,1,0,42709544,0,5513 42,3,2024-09-07 10:02:51:009,1,667,3,0,892,6873,667,0 43,0,2024-09-07 10:02:50:916,167782,0.7,163325,0.9,342277,0.8,447933,2.25 43,1,2024-09-07 10:02:50:584,1170944,1170944,0,0,550860922662,5755645048,1155012,13185,2747,366,391696,0 43,2,2024-09-07 10:02:51:740,844768,844768,0,0,39270973,0,4723 43,3,2024-09-07 10:02:51:754,1,667,8,0,571,9203,667,0 44,0,2024-09-07 10:02:50:857,170542,0.4,170755,0.6,340745,0.4,454761,1.75 44,1,2024-09-07 10:02:50:571,1174353,1174353,0,0,551026242332,5697663788,1163876,8403,2074,356,391809,0 44,2,2024-09-07 10:02:51:267,839760,839760,0,0,32054470,0,4344 44,3,2024-09-07 10:02:51:097,1,667,13,0,1097,8374,667,0 45,0,2024-09-07 10:02:51:767,164069,0.5,160284,0.7,336409,0.4,440719,2.00 45,1,2024-09-07 10:02:51:007,1173353,1173353,0,0,551049296588,5728362030,1164039,8093,1221,382,391917,0 45,2,2024-09-07 10:02:51:267,844999,844999,0,0,32398021,0,3596 45,3,2024-09-07 10:02:50:939,1,667,1,0,531,6288,667,0 46,0,2024-09-07 10:02:50:956,162274,0.5,161831,0.7,324639,0.5,431982,2.00 46,1,2024-09-07 10:02:50:584,1175628,1175628,0,0,551448382040,5703100235,1167271,7286,1071,366,391709,0 46,2,2024-09-07 10:02:50:599,845945,845945,0,0,33716729,0,4443 46,3,2024-09-07 10:02:51:139,1,667,0,0,908,7556,667,0 47,0,2024-09-07 10:02:51:107,168176,0.4,167834,0.6,336890,0.4,447146,2.00 47,1,2024-09-07 10:02:50:567,1176790,1176790,0,0,551619432643,5701755211,1169431,6346,1013,364,391666,0 47,2,2024-09-07 10:02:50:908,847015,847015,0,0,33711450,0,4477 47,3,2024-09-07 10:02:51:115,1,667,35,0,600,7242,667,0 48,0,2024-09-07 10:02:51:493,170043,0.3,170588,0.4,339945,0.2,452274,1.50 48,1,2024-09-07 10:02:51:024,1174000,1174000,0,0,551032218570,5726481520,1166424,6564,1012,381,391710,0 48,2,2024-09-07 10:02:50:702,842244,842244,0,0,31120349,0,3619 48,3,2024-09-07 10:02:50:753,1,667,1,0,339,5889,667,0 49,0,2024-09-07 10:02:51:717,173322,0.3,169780,0.5,330301,0.3,450233,1.75 49,1,2024-09-07 10:02:51:021,1173094,1173094,0,0,551087942653,5732431807,1165240,6157,1697,382,391809,0 49,2,2024-09-07 10:02:51:806,846086,846086,0,0,32565190,0,4426 49,3,2024-09-07 10:02:51:426,1,667,0,0,992,7924,667,0 50,0,2024-09-07 10:02:51:514,163212,0.3,161884,0.5,325968,0.2,432935,1.75 50,1,2024-09-07 10:02:51:014,1176065,1176065,0,0,551853970511,5706233427,1168603,6731,731,368,391691,0 50,2,2024-09-07 10:02:51:068,843434,843434,0,0,30504065,0,4490 50,3,2024-09-07 10:02:51:291,1,667,9,0,617,6682,667,0 51,0,2024-09-07 10:02:51:686,170088,0.3,166436,0.5,324109,0.2,442210,1.75 51,1,2024-09-07 10:02:51:680,1176331,1176331,0,0,553910412728,5712470938,1169692,5297,1342,365,391706,0 51,2,2024-09-07 10:02:51:325,843869,843869,0,0,30041183,0,3337 51,3,2024-09-07 10:02:51:027,1,667,7,0,678,5356,667,0 52,0,2024-09-07 10:02:51:411,170562,0.5,170627,0.7,340863,0.4,453120,2.00 52,1,2024-09-07 10:02:50:596,1170551,1170551,0,0,549728293951,5753676389,1154123,14030,2398,368,391805,0 52,2,2024-09-07 10:02:51:757,839352,839314,38,0,41589421,0,6742 52,3,2024-09-07 10:02:50:687,1,667,4,0,1782,7860,667,0 53,0,2024-09-07 10:02:51:729,169555,0.6,164608,0.8,344257,0.7,450793,2.25 53,1,2024-09-07 10:02:50:773,1169258,1169258,0,0,549828104885,5765781331,1150230,14616,4412,367,391968,0 53,2,2024-09-07 10:02:51:297,843884,843746,138,0,37680833,0,7690 53,3,2024-09-07 10:02:50:708,1,667,0,0,308,6115,667,0 54,0,2024-09-07 10:02:51:623,161842,0.6,162180,0.7,323164,0.5,431598,2.25 54,1,2024-09-07 10:02:50:584,1172255,1172255,0,0,551215588030,5731715574,1160567,10015,1673,366,391810,0 54,2,2024-09-07 10:02:50:868,843978,843946,32,0,40737036,0,6397 54,3,2024-09-07 10:02:50:763,1,667,59,0,676,8443,667,0 55,0,2024-09-07 10:02:51:758,158906,0.7,163856,0.8,332524,0.7,433216,2.50 55,1,2024-09-07 10:02:50:769,1173147,1173147,0,0,550149425525,5722711385,1161130,10531,1486,365,391731,0 55,2,2024-09-07 10:02:50:738,842456,842400,56,0,37763682,0,7239 55,3,2024-09-07 10:02:50:677,1,667,14,0,304,6186,667,0 56,0,2024-09-07 10:02:51:561,173240,1.1,163508,1.1,336222,1.5,451085,2.75 56,1,2024-09-07 10:02:50:575,1165926,1165926,0,0,547855801991,5793069038,1146633,15541,3752,381,391867,0 56,2,2024-09-07 10:02:51:302,842369,842247,122,0,40095130,0,7432 56,3,2024-09-07 10:02:51:066,1,667,1,0,705,8085,667,0 57,0,2024-09-07 10:02:50:950,168735,1.4,168710,1.2,337347,1.9,450924,3.00 57,1,2024-09-07 10:02:50:990,1168896,1168896,0,0,548315915248,5754069396,1153353,12853,2690,366,392032,0 57,2,2024-09-07 10:02:51:315,840556,840556,0,0,42412176,0,4804 57,3,2024-09-07 10:02:51:739,1,667,71,0,455,7327,667,0 58,0,2024-09-07 10:02:50:554,162477,0.9,157806,1.0,330248,1.1,431935,2.50 58,1,2024-09-07 10:02:50:577,1169830,1169827,0,3,550100793851,5769300269,1151694,13760,4373,367,391726,3 58,2,2024-09-07 10:02:51:072,844278,844278,0,0,38900690,0,3483 58,3,2024-09-07 10:02:51:072,1,667,9,0,1043,7320,667,0 59,0,2024-09-07 10:02:51:741,162422,0.8,161767,1.0,323562,0.9,429482,2.75 59,1,2024-09-07 10:02:50:804,1169465,1169465,0,0,548912803140,5767155772,1150357,15272,3836,369,391653,0 59,2,2024-09-07 10:02:50:591,844363,844363,0,0,37149617,0,3727 59,3,2024-09-07 10:02:51:737,1,667,8,0,1015,7932,667,0 60,0,2024-09-07 10:02:51:703,168556,0.5,168525,0.7,337250,0.5,449880,1.75 60,1,2024-09-07 10:02:50:874,1173364,1173364,0,0,551116104678,5737978993,1163081,8877,1406,370,392031,0 60,2,2024-09-07 10:02:51:172,845084,845084,0,0,35487739,0,3811 60,3,2024-09-07 10:02:51:261,1,667,5,0,409,7634,667,0 61,0,2024-09-07 10:02:51:515,169606,0.6,170388,0.8,339163,0.6,452585,2.00 61,1,2024-09-07 10:02:50:770,1170839,1170839,0,0,549649249911,5754874836,1158566,10497,1776,382,392127,0 61,2,2024-09-07 10:02:51:121,841334,841267,67,0,36092848,0,6411 61,3,2024-09-07 10:02:51:691,1,667,0,0,607,8398,667,0 62,0,2024-09-07 10:02:51:708,168023,0.6,172342,0.7,328946,0.5,446376,2.00 62,1,2024-09-07 10:02:51:117,1177856,1177850,0,6,552132143218,5697635429,1171309,6067,474,365,391975,6 62,2,2024-09-07 10:02:51:644,842689,842688,1,0,35820240,0,5555 62,3,2024-09-07 10:02:51:143,1,667,8,0,482,5330,667,0 63,0,2024-09-07 10:02:51:461,163298,0.4,162965,0.6,326703,0.3,433949,1.75 63,1,2024-09-07 10:02:50:805,1174494,1174488,0,6,551943176595,5732416951,1166896,6664,928,381,391800,6 63,2,2024-09-07 10:02:50:764,844235,844235,0,0,35026344,0,4369 63,3,2024-09-07 10:02:51:737,1,667,35,0,667,7238,667,0 64,0,2024-09-07 10:02:51:562,165629,0.5,165438,0.7,330550,0.5,441012,2.00 64,1,2024-09-07 10:02:50:755,1172620,1172620,0,0,551090698951,5738958312,1162432,8162,2026,370,391794,0 64,2,2024-09-07 10:02:51:150,846824,846805,19,0,34027357,0,6121 64,3,2024-09-07 10:02:51:141,1,667,0,0,651,7594,667,0 65,0,2024-09-07 10:02:51:677,168506,0.6,168833,0.7,337142,0.6,449618,2.00 65,1,2024-09-07 10:02:50:871,1171151,1171151,0,0,549322634699,5741533786,1162994,7260,897,381,391901,0 65,2,2024-09-07 10:02:51:696,841009,841009,0,0,40062831,0,3367 65,3,2024-09-07 10:02:51:684,1,667,2,0,782,7968,667,0 66,0,2024-09-07 10:02:51:766,169384,0.5,168750,0.7,337791,0.5,449279,2.00 66,1,2024-09-07 10:02:51:293,1173081,1173081,0,0,550412579090,5728607172,1165720,6489,872,380,391743,0 66,2,2024-09-07 10:02:51:138,847095,847092,3,0,35368309,0,5455 66,3,2024-09-07 10:02:51:092,1,667,4,0,291,6022,667,0 67,0,2024-09-07 10:02:51:412,163211,0.5,162826,0.7,326319,0.5,434412,2.00 67,1,2024-09-07 10:02:50:772,1173074,1173073,0,1,550036292815,5728873907,1164646,7287,1140,380,391787,1 67,2,2024-09-07 10:02:50:583,846992,846977,15,0,35671363,0,6205 67,3,2024-09-07 10:02:51:754,1,667,12,0,595,7173,667,0 68,0,2024-09-07 10:02:50:564,165028,0.6,164819,0.8,328354,0.6,439760,2.25 68,1,2024-09-07 10:02:50:572,1168465,1168465,0,0,547930042084,5768109613,1151762,12432,4271,381,391953,0 68,2,2024-09-07 10:02:51:056,839125,839025,100,0,43887004,0,8578 68,3,2024-09-07 10:02:50:728,1,667,1,0,417,9087,667,0 69,0,2024-09-07 10:02:51:726,170431,0.7,170944,0.8,341098,0.8,454029,2.25 69,1,2024-09-07 10:02:51:018,1167835,1167835,0,0,548079345410,5774924989,1153070,11784,2981,383,391994,0 69,2,2024-09-07 10:02:51:736,840540,840511,29,0,47078648,0,6912 69,3,2024-09-07 10:02:50:767,1,667,215,0,698,10281,667,0 70,0,2024-09-07 10:02:51:534,168616,0.7,169375,0.9,339572,0.7,450706,2.50 70,1,2024-09-07 10:02:50:804,1173926,1173926,0,0,552138404392,5732250654,1164498,8383,1045,366,391725,0 70,2,2024-09-07 10:02:51:325,842482,842482,0,0,38545819,0,4323 70,3,2024-09-07 10:02:50:754,1,667,2,0,854,7487,667,0 71,0,2024-09-07 10:02:51:400,162834,0.9,162448,1.0,326113,1.0,434484,2.50 71,1,2024-09-07 10:02:51:606,1172155,1172155,0,0,550231822291,5743231431,1157807,12563,1785,367,391738,0 71,2,2024-09-07 10:02:51:072,842914,842914,0,0,38871092,0,4352 71,3,2024-09-07 10:02:51:751,1,667,48,0,644,7466,667,0 72,0,2024-09-07 10:02:51:033,169681,0.6,165718,0.7,323844,0.5,440447,2.00 72,1,2024-09-07 10:02:51:328,1170074,1170074,0,0,549338746651,5759319055,1153669,13706,2699,369,391819,0 72,2,2024-09-07 10:02:51:754,842479,842479,0,0,40637412,0,3983 72,3,2024-09-07 10:02:51:759,1,667,1,0,564,9420,667,0 73,0,2024-09-07 10:02:51:115,165128,0.4,169365,0.6,346036,0.4,450682,2.00 73,1,2024-09-07 10:02:50:766,1172195,1172195,0,0,550439775597,5723088052,1162894,8241,1060,367,391858,0 73,2,2024-09-07 10:02:51:740,843455,843454,1,0,42794464,0,5027 73,3,2024-09-07 10:02:50:978,1,667,1,0,1091,9101,667,0 74,0,2024-09-07 10:02:51:323,171368,0.5,175460,0.7,334973,0.4,455024,2.00 74,1,2024-09-07 10:02:50:811,1170784,1170784,0,0,549311762770,5732089719,1158614,10030,2140,381,391762,0 74,2,2024-09-07 10:02:51:008,839644,839644,0,0,36739728,0,4253 74,3,2024-09-07 10:02:51:443,1,667,37,0,522,7746,667,0 75,0,2024-09-07 10:02:51:764,166003,0.5,165070,0.7,331227,0.5,442087,2.25 75,1,2024-09-07 10:02:51:584,1172342,1172342,0,0,550052648997,5732316375,1162680,8722,940,380,391739,0 75,2,2024-09-07 10:02:51:352,842941,842941,0,0,43908459,0,4766 75,3,2024-09-07 10:02:51:067,1,667,11,0,918,9254,667,0 76,0,2024-09-07 10:02:50:638,162722,0.5,162066,0.7,323880,0.5,433613,2.25 76,1,2024-09-07 10:02:50:816,1171926,1171926,0,0,550323885876,5740926391,1163448,7174,1304,382,391790,0 76,2,2024-09-07 10:02:51:069,847233,847230,3,0,36619271,0,5265 76,3,2024-09-07 10:02:51:142,1,667,2,0,249,6253,667,0 77,0,2024-09-07 10:02:51:708,167086,0.6,167624,0.7,335278,0.5,446192,2.00 77,1,2024-09-07 10:02:50:857,1171565,1171565,0,0,549389618915,5736943042,1161744,8738,1083,381,391869,0 77,2,2024-09-07 10:02:51:290,843293,843293,0,0,35592603,0,3890 77,3,2024-09-07 10:02:51:106,1,667,10,0,401,7725,667,0 78,0,2024-09-07 10:02:51:723,170358,0.4,169641,0.6,340462,0.4,450305,2.00 78,1,2024-09-07 10:02:50:621,1171157,1171157,0,0,549899346922,5740180568,1156213,11708,3236,367,391670,0 78,2,2024-09-07 10:02:51:405,842432,842419,13,0,33158671,0,8313 78,3,2024-09-07 10:02:51:140,1,667,2,0,311,5643,667,0 79,0,2024-09-07 10:02:51:353,162814,0.4,166876,0.6,341727,0.3,444803,2.00 79,1,2024-09-07 10:02:50:580,1175347,1175347,0,0,551609091539,5715664485,1165545,8002,1800,367,391682,0 79,2,2024-09-07 10:02:51:068,845572,845572,0,0,32109050,0,4195 79,3,2024-09-07 10:02:50:756,1,667,8,0,418,7548,667,0 80,0,2024-09-07 10:02:51:087,162899,0.5,167283,0.7,319500,0.5,432198,2.00 80,1,2024-09-07 10:02:51:623,1171678,1171678,0,0,550550827846,5733027425,1163965,7165,548,368,392269,0 80,2,2024-09-07 10:02:51:091,847053,847053,0,0,33019960,0,4433 80,3,2024-09-07 10:02:50:585,1,667,12,0,681,8109,667,0 81,0,2024-09-07 10:02:51:538,165528,0.6,169405,0.7,323298,0.6,439069,2.00 81,1,2024-09-07 10:02:51:650,1170748,1170748,0,0,549039834013,5738611155,1161308,8467,973,382,392001,0 81,2,2024-09-07 10:02:51:129,842819,842756,63,0,36366529,0,5932 81,3,2024-09-07 10:02:51:118,1,667,1,0,719,7315,667,0 82,0,2024-09-07 10:02:51:536,170609,0.5,170751,0.7,341967,0.5,453610,2.00 82,1,2024-09-07 10:02:50:592,1172839,1172835,0,4,551236296307,5734971249,1165987,5725,1123,381,391768,4 82,2,2024-09-07 10:02:51:690,845637,845637,0,0,30674476,0,4484 82,3,2024-09-07 10:02:51:752,1,667,9,0,363,6084,667,0 83,0,2024-09-07 10:02:51:539,170175,0.6,170095,0.7,339940,0.5,451471,2.25 83,1,2024-09-07 10:02:50:556,1171552,1171552,0,0,549825721438,5734118141,1162685,7842,1025,382,391709,0 83,2,2024-09-07 10:02:50:771,844390,844365,25,0,33140479,0,5612 83,3,2024-09-07 10:02:50:754,1,667,8,0,1260,7867,667,0 84,0,2024-09-07 10:02:51:778,162156,0.7,162220,0.9,324630,0.7,433480,2.50 84,1,2024-09-07 10:02:51:052,1170044,1170044,0,0,549411048476,5749082485,1156380,11581,2083,367,391967,0 84,2,2024-09-07 10:02:50:588,841846,841436,410,0,47963704,0,17037 84,3,2024-09-07 10:02:51:147,1,667,7,0,908,9289,667,0 85,0,2024-09-07 10:02:51:093,158221,0.7,158206,0.8,335897,0.7,433562,2.25 85,1,2024-09-07 10:02:50:564,1166589,1166589,0,0,548171609741,5786217660,1148968,14692,2929,381,392092,0 85,2,2024-09-07 10:02:50:864,842841,842841,0,0,40939961,0,4255 85,3,2024-09-07 10:02:50:703,1,667,46,0,789,7711,667,0 86,0,2024-09-07 10:02:50:911,169556,0.7,174500,0.8,333714,0.8,451602,2.25 86,1,2024-09-07 10:02:50:833,1168672,1168672,0,0,549083183407,5767215003,1151376,13994,3302,366,392169,0 86,2,2024-09-07 10:02:50:856,842865,842864,1,0,43176094,0,5004 86,3,2024-09-07 10:02:50:599,1,667,1,0,441,9438,667,0 87,0,2024-09-07 10:02:51:313,171119,0.8,169935,0.8,340372,0.9,454951,2.25 87,1,2024-09-07 10:02:50:636,1169216,1169216,0,0,549042367074,5753391879,1154345,12709,2162,366,392076,0 87,2,2024-09-07 10:02:51:072,840097,840091,6,0,40066505,0,6323 87,3,2024-09-07 10:02:51:805,1,667,1,0,473,9306,667,0 88,0,2024-09-07 10:02:51:448,164781,0.4,165254,0.6,330339,0.4,439461,1.75 88,1,2024-09-07 10:02:50:577,1168321,1168321,0,0,548107393184,5744722783,1151808,13384,3129,365,392084,0 88,2,2024-09-07 10:02:50:694,843195,843195,0,0,41887599,0,4465 88,3,2024-09-07 10:02:51:267,1,667,2,0,1080,10526,667,0 89,0,2024-09-07 10:02:51:767,168308,0.4,163400,0.6,322244,0.4,438047,1.75 89,1,2024-09-07 10:02:50:556,1167876,1167876,0,0,549252629137,5775003423,1153806,11881,2189,382,391866,0 89,2,2024-09-07 10:02:51:140,843972,843972,0,0,39627456,0,3173 89,3,2024-09-07 10:02:51:793,1,667,2,0,468,10758,667,0 90,0,2024-09-07 10:02:51:632,163439,0.4,167767,0.6,342730,0.4,447398,2.00 90,1,2024-09-07 10:02:50:597,1169244,1169244,0,0,548407428298,5745435067,1157499,10590,1155,380,391825,0 90,2,2024-09-07 10:02:51:408,840191,840186,5,0,42695281,0,6370 90,3,2024-09-07 10:02:50:938,1,667,2,0,364,8866,667,0 91,0,2024-09-07 10:02:51:000,170288,0.5,165225,0.6,345672,0.5,453421,1.75 91,1,2024-09-07 10:02:50:559,1166180,1166180,0,0,548616795859,5786439766,1147848,14199,4133,381,392047,0 91,2,2024-09-07 10:02:51:340,839853,839853,0,0,37907775,0,4713 91,3,2024-09-07 10:02:50:608,1,667,11,0,231,6333,667,0 92,0,2024-09-07 10:02:51:446,168951,0.5,172837,0.6,329686,0.4,446701,1.75 92,1,2024-09-07 10:02:50:585,1170873,1170873,0,0,549759086542,5743414421,1161628,7936,1309,381,392136,0 92,2,2024-09-07 10:02:51:361,844455,844455,0,0,34354839,0,3906 92,3,2024-09-07 10:02:51:015,1,667,0,0,281,5815,667,0 93,0,2024-09-07 10:02:50:976,163801,0.4,167760,0.6,320436,0.3,433882,1.75 93,1,2024-09-07 10:02:50:805,1171576,1171576,0,0,549479694042,5742956240,1157529,11615,2432,365,392048,0 93,2,2024-09-07 10:02:50:929,844302,844302,0,0,40220712,0,4913 93,3,2024-09-07 10:02:51:406,1,667,1,0,505,7501,667,0 94,0,2024-09-07 10:02:51:616,165619,0.4,166668,0.6,333481,0.3,443374,1.75 94,1,2024-09-07 10:02:50:596,1172283,1172283,0,0,550768153274,5743954810,1164535,7258,490,381,391850,0 94,2,2024-09-07 10:02:50:762,843834,843806,28,0,34596108,0,6179 94,3,2024-09-07 10:02:51:690,1,667,1,0,576,8250,667,0 95,0,2024-09-07 10:02:51:348,169855,0.4,169761,0.6,339818,0.3,452575,1.75 95,1,2024-09-07 10:02:50:853,1173085,1173085,0,0,551001387135,5725735956,1164044,8276,765,365,391852,0 95,2,2024-09-07 10:02:51:016,841497,841497,0,0,34537152,0,3308 95,3,2024-09-07 10:02:51:713,1,667,0,0,718,10156,667,0 96,0,2024-09-07 10:02:51:042,169854,0.3,169989,0.5,340149,0.3,451965,1.75 96,1,2024-09-07 10:02:51:584,1171190,1171190,0,0,550108129154,5740131828,1162724,7172,1294,384,391964,0 96,2,2024-09-07 10:02:51:268,845819,845819,0,0,34980265,0,4180 96,3,2024-09-07 10:02:51:149,1,667,3,0,411,7625,667,0 97,0,2024-09-07 10:02:51:417,163202,0.3,162998,0.5,326738,0.3,434317,1.50 97,1,2024-09-07 10:02:50:851,1172970,1172970,0,0,550633245228,5715423179,1165123,6608,1239,367,392140,0 97,2,2024-09-07 10:02:50:614,845280,845280,0,0,35358903,0,4600 97,3,2024-09-07 10:02:50:578,1,667,7,0,433,8209,667,0 98,0,2024-09-07 10:02:51:721,164668,0.3,164702,0.5,330717,0.3,440164,1.50 98,1,2024-09-07 10:02:50:604,1172628,1172628,0,0,550148317064,5732123683,1165202,6528,898,381,391997,0 98,2,2024-09-07 10:02:50:781,843201,843201,0,0,33601685,0,4336 98,3,2024-09-07 10:02:50:702,1,667,2,0,840,9497,667,0 99,0,2024-09-07 10:02:51:469,171250,0.3,172103,0.5,342943,0.3,456732,1.75 99,1,2024-09-07 10:02:51:728,1173438,1173438,0,0,550403441639,5730566831,1165710,6622,1106,380,392069,0 99,2,2024-09-07 10:02:51:416,843000,843000,0,0,42826020,0,4276 99,3,2024-09-07 10:02:50:583,1,667,8,0,1124,8396,667,0 100,0,2024-09-07 10:02:51:518,170026,0.8,170518,0.9,340917,0.9,454592,2.50 100,1,2024-09-07 10:02:50:559,1165602,1165602,0,0,547745981423,5794745782,1147057,14791,3754,378,391989,0 100,2,2024-09-07 10:02:51:845,838509,838120,389,0,44445691,0,16909 100,3,2024-09-07 10:02:51:735,1,667,15,0,627,10559,667,0 101,0,2024-09-07 10:02:51:702,167505,0.9,163505,1.0,319784,0.9,436216,2.25 101,1,2024-09-07 10:02:50:550,1168269,1168269,0,0,549109546193,5773509136,1152021,13315,2933,368,392018,0 101,2,2024-09-07 10:02:51:757,838372,838333,39,0,45803424,0,5913 101,3,2024-09-07 10:02:50:944,1,667,6,0,1250,9936,667,0 102,0,2024-09-07 10:02:50:956,159857,0.6,164671,0.8,334574,0.6,437548,2.25 102,1,2024-09-07 10:02:51:142,1168301,1168301,0,0,549086868988,5767440459,1152884,13199,2218,369,391984,0 102,2,2024-09-07 10:02:51:737,844645,844591,54,0,38346728,0,6768 102,3,2024-09-07 10:02:51:613,1,667,9,0,466,7795,667,0 103,0,2024-09-07 10:02:51:594,174810,0.6,174848,0.8,329455,0.7,452954,2.00 103,1,2024-09-07 10:02:51:633,1167008,1167008,0,0,548216797686,5791155361,1148111,15272,3625,381,392077,0 103,2,2024-09-07 10:02:50:585,842088,842088,0,0,41784840,0,3766 103,3,2024-09-07 10:02:50:761,1,667,86,0,916,7845,667,0 104,0,2024-09-07 10:02:51:036,169080,0.7,169435,0.9,337986,0.7,451951,2.25 104,1,2024-09-07 10:02:51:602,1169470,1169470,0,0,548876747145,5771459695,1151371,14657,3442,365,392168,0 104,2,2024-09-07 10:02:51:670,838172,838172,0,0,40363056,0,4161 104,3,2024-09-07 10:02:51:416,1,667,3,0,1245,11547,667,0 105,0,2024-09-07 10:02:51:031,163985,0.8,159880,1.0,335140,0.9,439997,2.50 105,1,2024-09-07 10:02:50:562,1171622,1171622,0,0,549216080435,5753126721,1157026,12484,2112,364,392009,0 105,2,2024-09-07 10:02:51:321,842956,842956,0,0,41613755,0,4360 105,3,2024-09-07 10:02:51:305,1,667,7,0,573,10134,667,0 106,0,2024-09-07 10:02:50:960,157545,0.9,161546,0.9,330519,1.0,431916,2.50 106,1,2024-09-07 10:02:51:752,1169100,1169100,0,0,548794567602,5759143734,1152828,14124,2148,368,391914,0 106,2,2024-09-07 10:02:50:759,843915,843915,0,0,38550850,0,3331 106,3,2024-09-07 10:02:50:676,1,667,22,0,1224,9107,667,0 107,0,2024-09-07 10:02:51:129,167162,1.1,167259,1.0,334650,1.4,446723,2.25 107,1,2024-09-07 10:02:50:586,1167074,1167074,0,0,547326344219,5785671293,1148260,16574,2240,381,392234,0 107,2,2024-09-07 10:02:51:291,840207,840206,1,0,41871457,0,5024 107,3,2024-09-07 10:02:51:780,1,667,23,0,733,10503,667,0 108,0,2024-09-07 10:02:51:793,169385,0.4,170231,0.6,339411,0.4,452109,1.75 108,1,2024-09-07 10:02:51:300,1171110,1171110,0,0,550225391539,5740864966,1161182,8818,1110,367,391894,0 108,2,2024-09-07 10:02:51:757,841698,841698,0,0,37471280,0,4432 108,3,2024-09-07 10:02:51:333,1,667,0,0,767,12332,667,0 109,0,2024-09-07 10:02:51:776,169429,0.4,168067,0.6,337140,0.3,449414,1.75 109,1,2024-09-07 10:02:50:583,1168802,1168802,0,0,549489695720,5765603122,1158295,9004,1503,382,392132,0 109,2,2024-09-07 10:02:50:939,843345,843345,0,0,37256610,0,3617 109,3,2024-09-07 10:02:51:140,1,667,1,0,630,7722,667,0 110,0,2024-09-07 10:02:51:752,162602,0.4,158109,0.6,331168,0.3,433949,1.75 110,1,2024-09-07 10:02:51:643,1173092,1173092,0,0,550938695157,5720457306,1164053,6987,2052,368,392045,0 110,2,2024-09-07 10:02:51:303,844901,844901,0,0,36523592,0,4067 110,3,2024-09-07 10:02:50:693,1,667,31,0,722,8818,667,0 111,0,2024-09-07 10:02:51:430,166349,0.4,165625,0.5,330762,0.3,441819,1.75 111,1,2024-09-07 10:02:51:000,1174454,1174454,0,0,551855417110,5727947797,1167919,6146,389,380,391690,0 111,2,2024-09-07 10:02:51:116,843166,843166,0,0,35925045,0,4823 111,3,2024-09-07 10:02:50:913,1,667,12,0,379,7443,667,0 112,0,2024-09-07 10:02:50:916,171457,0.3,170921,0.4,342692,0.2,454872,1.50 112,1,2024-09-07 10:02:50:839,1173107,1173107,0,0,550532571245,5716419589,1165524,6358,1225,380,391624,0 112,2,2024-09-07 10:02:51:139,843652,843651,1,0,34331937,0,5036 112,3,2024-09-07 10:02:50:596,1,667,1,0,282,6585,667,0 113,0,2024-09-07 10:02:50:868,170191,0.3,170343,0.5,341172,0.2,453806,1.75 113,1,2024-09-07 10:02:51:685,1176521,1176521,0,0,552928750736,5705731288,1169666,5905,950,365,391664,0 113,2,2024-09-07 10:02:51:303,846353,846353,0,0,31416202,0,3813 113,3,2024-09-07 10:02:50:694,1,667,3,0,510,6913,667,0 114,0,2024-09-07 10:02:50:876,163805,0.3,164826,0.5,328895,0.2,438960,1.75 114,1,2024-09-07 10:02:50:719,1172748,1172748,0,0,550639060171,5718043550,1164180,6800,1768,381,391565,0 114,2,2024-09-07 10:02:50:883,845796,845795,1,0,33588660,0,5069 114,3,2024-09-07 10:02:51:284,1,667,1,0,415,5507,667,0 115,0,2024-09-07 10:02:50:556,165437,0.3,166009,0.4,330587,0.2,440016,1.50 115,1,2024-09-07 10:02:50:580,1173047,1173047,0,0,551169701593,5726672239,1163572,7685,1790,382,391757,0 115,2,2024-09-07 10:02:51:126,845570,845570,0,0,33461757,0,4382 115,3,2024-09-07 10:02:51:002,1,667,10,0,173,4316,667,0 116,0,2024-09-07 10:02:51:740,169479,0.7,169544,0.9,339498,0.7,454782,2.25 116,1,2024-09-07 10:02:50:811,1166884,1166884,0,0,548687095313,5781823619,1153066,10609,3209,380,392089,0 116,2,2024-09-07 10:02:51:751,843540,843540,0,0,41244720,0,4475 116,3,2024-09-07 10:02:50:912,1,667,1,0,448,8775,667,0 117,0,2024-09-07 10:02:50:976,170882,0.7,170549,0.8,341301,0.7,456210,2.00 117,1,2024-09-07 10:02:51:584,1168899,1168899,0,0,548135586471,5744643905,1155099,12038,1762,369,392429,0 117,2,2024-09-07 10:02:51:118,842649,842649,0,0,37513738,0,4303 117,3,2024-09-07 10:02:51:068,1,667,4,0,490,8370,667,0 118,0,2024-09-07 10:02:51:766,159827,0.5,164179,0.7,335062,0.5,437924,2.00 118,1,2024-09-07 10:02:50:613,1167806,1167806,0,0,548141095141,5763483939,1150423,13554,3829,366,392054,0 118,2,2024-09-07 10:02:51:592,842823,842823,0,0,40215951,0,3161 118,3,2024-09-07 10:02:51:764,1,667,1,0,343,8157,667,0 119,0,2024-09-07 10:02:51:341,163518,0.7,164051,0.8,327361,0.8,436621,2.25 119,1,2024-09-07 10:02:50:583,1169067,1169067,0,0,549248206983,5762321971,1153118,13285,2664,367,391857,0 119,2,2024-09-07 10:02:51:261,845099,845099,0,0,37293949,0,4309 119,3,2024-09-07 10:02:51:330,1,667,91,0,1358,12023,667,0 120,0,2024-09-07 10:02:51:573,167738,0.6,167484,0.8,336681,0.7,448984,2.25 120,1,2024-09-07 10:02:50:869,1169917,1169917,0,0,548486596529,5752008262,1157416,11344,1157,367,392144,0 120,2,2024-09-07 10:02:50:769,842489,842486,3,0,44676791,0,5363 120,3,2024-09-07 10:02:51:293,1,667,174,0,478,8661,667,0 121,0,2024-09-07 10:02:51:776,169920,1.1,169398,1.0,338935,1.5,451903,2.25 121,1,2024-09-07 10:02:51:666,1169201,1169201,0,0,549490540725,5751912897,1156248,10994,1959,366,391840,0 121,2,2024-09-07 10:02:51:126,840498,840498,0,0,40171055,0,4157 121,3,2024-09-07 10:02:50:729,1,667,2,0,387,8946,667,0 122,0,2024-09-07 10:02:51:806,166902,0.7,162338,0.8,339797,0.8,446399,2.00 122,1,2024-09-07 10:02:50:876,1168933,1168933,0,0,548788423805,5759358366,1152705,13651,2577,365,392130,0 122,2,2024-09-07 10:02:51:327,844433,844358,75,0,44680987,0,5989 122,3,2024-09-07 10:02:50:600,1,667,2,0,512,10336,667,0 123,0,2024-09-07 10:02:50:972,161965,0.8,157772,0.9,330751,0.9,432449,2.25 123,1,2024-09-07 10:02:50:565,1169725,1169725,0,0,549510652740,5779595379,1150942,15794,2989,369,392039,0 123,2,2024-09-07 10:02:51:026,843473,843472,1,0,38187112,0,5215 123,3,2024-09-07 10:02:51:138,1,667,7,0,478,7466,667,0 124,0,2024-09-07 10:02:50:928,170861,0.4,170930,0.5,322091,0.3,444086,1.75 124,1,2024-09-07 10:02:51:026,1173054,1173054,0,0,550350784462,5722422617,1164219,7601,1234,365,392178,0 124,2,2024-09-07 10:02:51:010,845379,845326,53,0,35090712,0,6487 124,3,2024-09-07 10:02:50:763,1,667,30,0,490,6631,667,0 125,0,2024-09-07 10:02:51:497,169821,0.4,169628,0.6,340012,0.4,452989,1.75 125,1,2024-09-07 10:02:50:873,1170569,1170569,0,0,549434019199,5742264337,1160118,8941,1510,382,392045,0 125,2,2024-09-07 10:02:51:122,844600,844600,0,0,34986795,0,4534 125,3,2024-09-07 10:02:51:139,1,667,3,0,709,7545,667,0 126,0,2024-09-07 10:02:51:493,170074,0.4,174811,0.5,334263,0.3,452707,1.75 126,1,2024-09-07 10:02:50:551,1173822,1173822,0,0,551077968399,5710648963,1166848,6294,680,365,391987,0 126,2,2024-09-07 10:02:50:619,846232,846232,0,0,36143169,0,4539 126,3,2024-09-07 10:02:50:913,1,667,6,0,268,7619,667,0 127,0,2024-09-07 10:02:51:627,163445,0.3,163767,0.5,326864,0.3,434535,1.75 127,1,2024-09-07 10:02:50:578,1172162,1172162,0,0,550601207202,5730399921,1160100,10370,1692,364,392187,0 127,2,2024-09-07 10:02:50:649,845042,845038,4,0,34370868,0,5305 127,3,2024-09-07 10:02:51:267,1,667,7,0,968,6610,667,0 128,0,2024-09-07 10:02:51:546,165722,0.3,165690,0.4,331285,0.2,440887,1.50 128,1,2024-09-07 10:02:51:624,1171504,1171504,0,0,550400244291,5723758620,1162645,7889,970,367,392423,0 128,2,2024-09-07 10:02:51:383,845704,845704,0,0,32461352,0,3171 128,3,2024-09-07 10:02:50:776,1,667,2,0,1082,10064,667,0 129,0,2024-09-07 10:02:51:018,172478,0.3,171753,0.5,344565,0.3,457470,1.75 129,1,2024-09-07 10:02:50:610,1168662,1168662,0,0,549349557288,5764113250,1155918,10415,2329,379,391962,0 129,2,2024-09-07 10:02:50:688,845614,845610,4,0,34977197,0,5335 129,3,2024-09-07 10:02:50:702,1,667,5,0,506,9809,667,0 130,0,2024-09-07 10:02:51:772,171270,0.4,171055,0.6,342369,0.4,456097,1.75 130,1,2024-09-07 10:02:50:582,1173297,1173297,0,0,550615046147,5718047985,1167613,5278,406,381,391825,0 130,2,2024-09-07 10:02:51:142,842903,842903,0,0,34775779,0,4067 130,3,2024-09-07 10:02:51:295,1,667,13,0,960,8656,667,0 131,0,2024-09-07 10:02:51:942,163867,0.3,164435,0.5,329740,0.3,437916,1.50 131,1,2024-09-07 10:02:51:837,1172495,1172495,0,0,549479476236,5727222200,1164487,6806,1202,381,391865,0 131,2,2024-09-07 10:02:50:567,844581,844581,0,0,32560642,0,3979 131,3,2024-09-07 10:02:51:694,1,667,7,0,392,8445,667,0 132,0,2024-09-07 10:02:51:420,164831,0.5,165753,0.6,329923,0.4,439469,2.00 132,1,2024-09-07 10:02:50:589,1167938,1167938,0,0,547821175442,5766390765,1151542,13616,2780,381,392532,0 132,2,2024-09-07 10:02:50:707,844191,844174,17,0,41903143,0,6451 132,3,2024-09-07 10:02:51:690,1,667,19,0,1298,11628,667,0 133,0,2024-09-07 10:02:51:554,165243,0.4,169136,0.6,346914,0.4,451340,2.00 133,1,2024-09-07 10:02:50:591,1167911,1167911,0,0,548822517910,5781443926,1152559,13419,1933,383,391914,0 133,2,2024-09-07 10:02:51:086,844811,844761,50,0,42796246,0,6861 133,3,2024-09-07 10:02:51:299,1,667,9,0,528,7918,667,0 134,0,2024-09-07 10:02:50:949,170963,0.5,170860,0.7,341726,0.5,455411,2.25 134,1,2024-09-07 10:02:50:584,1168874,1168874,0,0,548832007760,5761482848,1152870,12712,3292,366,391781,0 134,2,2024-09-07 10:02:51:763,839853,839714,139,0,39968614,0,7591 134,3,2024-09-07 10:02:50:758,1,667,1,0,739,8419,667,0 135,0,2024-09-07 10:02:51:135,160695,0.7,160686,0.8,341200,0.8,439262,2.25 135,1,2024-09-07 10:02:51:596,1169125,1169125,0,0,548443463083,5766496261,1154820,12453,1852,380,392038,0 135,2,2024-09-07 10:02:50:692,845260,845260,0,0,40431971,0,4503 135,3,2024-09-07 10:02:51:006,1,667,10,0,900,6509,667,0 136,0,2024-09-07 10:02:51:714,163554,0.6,164079,0.8,325827,0.6,435223,2.00 136,1,2024-09-07 10:02:51:465,1170250,1170250,0,0,549614456248,5758676018,1157662,11165,1423,381,392135,0 136,2,2024-09-07 10:02:51:142,846437,846422,15,0,39865649,0,6007 136,3,2024-09-07 10:02:51:107,1,667,1,0,637,7724,667,0 137,0,2024-09-07 10:02:51:001,172694,0.6,168378,0.7,329933,0.7,448592,2.00 137,1,2024-09-07 10:02:50:577,1169152,1169152,0,0,549125770639,5760000149,1150819,14696,3637,366,391898,0 137,2,2024-09-07 10:02:51:715,842497,842497,0,0,40726760,0,3185 137,3,2024-09-07 10:02:50:773,1,667,4,0,484,9601,667,0 138,0,2024-09-07 10:02:51:944,168762,0.9,169081,0.9,338777,1.2,450473,2.25 138,1,2024-09-07 10:02:51:718,1169049,1169049,0,0,549545813366,5767570237,1152444,13953,2652,368,391954,0 138,2,2024-09-07 10:02:50:586,840031,840031,0,0,39130523,0,4988 138,3,2024-09-07 10:02:50:636,1,667,1,0,1200,9981,667,0 139,0,2024-09-07 10:02:51:435,167236,0.9,167791,0.9,335508,1.2,447743,2.25 139,1,2024-09-07 10:02:50:580,1164945,1164945,0,0,545990436192,5786955178,1145314,15720,3911,380,392109,0 139,2,2024-09-07 10:02:50:701,839165,839135,30,0,44493897,0,5997 139,3,2024-09-07 10:02:51:672,1,667,14,0,432,7901,667,0 140,0,2024-09-07 10:02:51:608,163182,0.3,162415,0.5,326045,0.2,434192,1.75 140,1,2024-09-07 10:02:51:536,1176785,1176785,0,0,551202469302,5687418733,1170615,5433,737,364,391628,0 140,2,2024-09-07 10:02:50:687,845376,845375,1,0,32540444,0,5036 140,3,2024-09-07 10:02:50:771,1,667,11,0,575,6851,667,0 141,0,2024-09-07 10:02:51:708,166006,0.3,170617,0.5,326161,0.3,441439,1.75 141,1,2024-09-07 10:02:50:876,1173740,1173740,0,0,551619219959,5734638448,1163716,8638,1386,379,391614,0 141,2,2024-09-07 10:02:51:686,844141,844130,11,0,35056615,0,5369 141,3,2024-09-07 10:02:51:054,1,667,1,0,391,7896,667,0 142,0,2024-09-07 10:02:51:328,171578,0.3,170780,0.5,342101,0.3,455757,1.75 142,1,2024-09-07 10:02:50:592,1171562,1171562,0,0,550296315059,5741234349,1163082,7618,862,382,392102,0 142,2,2024-09-07 10:02:51:304,842386,842354,32,0,35148943,0,6028 142,3,2024-09-07 10:02:51:747,1,667,6,0,484,6906,667,0 143,0,2024-09-07 10:02:51:392,170372,0.4,170049,0.5,341306,0.4,453846,1.75 143,1,2024-09-07 10:02:50:565,1174637,1174637,0,0,551553522783,5723842156,1166859,6873,905,367,391900,0 143,2,2024-09-07 10:02:50:776,845113,845113,0,0,34818157,0,3123 143,3,2024-09-07 10:02:51:140,1,667,14,0,462,7759,667,0 144,0,2024-09-07 10:02:51:523,158744,0.6,163287,0.7,332355,0.5,435273,2.00 144,1,2024-09-07 10:02:50:577,1168446,1168446,0,0,548018410824,5752560922,1156717,9688,2041,381,391733,0 144,2,2024-09-07 10:02:51:766,845155,845155,0,0,34724588,0,4443 144,3,2024-09-07 10:02:51:739,1,667,35,0,306,7230,667,0 145,0,2024-09-07 10:02:51:426,159392,0.6,159369,0.8,338311,0.5,435542,2.25 145,1,2024-09-07 10:02:50:556,1167490,1167490,0,0,548531926718,5775545692,1151440,13085,2965,382,391781,0 145,2,2024-09-07 10:02:51:441,842414,842332,82,0,40766393,0,7814 145,3,2024-09-07 10:02:50:899,1,667,22,0,622,8805,667,0 146,0,2024-09-07 10:02:51:686,170007,0.6,169216,0.8,339919,0.6,452474,2.25 146,1,2024-09-07 10:02:51:631,1169703,1169703,0,0,549236888744,5778428798,1150693,14087,4923,367,391829,0 146,2,2024-09-07 10:02:51:701,842233,842227,6,0,38896807,0,5151 146,3,2024-09-07 10:02:51:275,1,667,0,0,1520,10843,667,0 147,0,2024-09-07 10:02:51:747,170911,0.6,170607,0.7,341179,0.6,455387,2.25 147,1,2024-09-07 10:02:51:413,1173193,1173193,0,0,550663646186,5724015076,1163561,8553,1079,367,391791,0 147,2,2024-09-07 10:02:51:010,841069,841069,0,0,35020791,0,3359 147,3,2024-09-07 10:02:50:913,1,667,3,0,1626,10174,667,0 0,0,2024-09-07 10:03:01:764,164141,0.6,164048,0.8,348082,0.7,450130,2.00 0,1,2024-09-07 10:03:00:801,1172093,1172093,0,0,550338002498,5762626135,1162440,8691,962,368,391896,0 0,2,2024-09-07 10:03:01:068,845927,845927,0,0,34410683,0,4480 0,3,2024-09-07 10:03:00:975,1,668,3,0,431,9253,668,0 1,0,2024-09-07 10:03:01:807,169890,0.8,168873,0.9,338977,1.0,453354,2.00 1,1,2024-09-07 10:03:00:580,1170759,1170759,0,0,549702843385,5761411844,1159399,9395,1965,370,391859,0 1,2,2024-09-07 10:03:00:656,842672,842672,0,0,33701719,0,3380 1,3,2024-09-07 10:03:01:303,1,668,4,0,269,7965,668,0 2,0,2024-09-07 10:03:01:567,167447,0.6,167143,0.7,334013,0.6,445295,2.00 2,1,2024-09-07 10:03:00:867,1174782,1174782,0,0,552355376401,5744069650,1167942,5879,961,379,391805,0 2,2,2024-09-07 10:03:01:269,846951,846951,0,0,32278210,0,3594 2,3,2024-09-07 10:03:00:696,1,668,140,0,357,5799,668,0 3,0,2024-09-07 10:03:01:748,162709,0.4,162688,0.6,325106,0.4,432870,2.00 3,1,2024-09-07 10:03:01:634,1173109,1173109,0,0,550452781550,5726773338,1164646,7745,718,379,391716,0 3,2,2024-09-07 10:03:01:147,847472,847449,23,0,33688869,0,5851 3,3,2024-09-07 10:03:01:752,1,668,12,0,484,5121,668,0 4,0,2024-09-07 10:03:01:787,161859,0.4,166300,0.5,339071,0.4,443797,1.75 4,1,2024-09-07 10:03:00:596,1167588,1167588,0,0,548766564814,5807636278,1147727,15260,4601,369,391992,0 4,2,2024-09-07 10:03:01:019,842356,842356,0,0,41068280,0,4534 4,3,2024-09-07 10:03:01:035,1,668,1,0,448,8972,668,0 5,0,2024-09-07 10:03:01:387,170035,0.4,169849,0.6,340076,0.4,452706,1.75 5,1,2024-09-07 10:03:00:758,1169233,1169233,0,0,548706004447,5791205663,1151671,13327,4235,367,392005,0 5,2,2024-09-07 10:03:01:849,839342,839309,33,0,41201492,0,7631 5,3,2024-09-07 10:03:01:734,1,668,0,0,457,8935,668,0 6,0,2024-09-07 10:03:00:926,169758,0.5,169365,0.6,338819,0.4,451112,2.00 6,1,2024-09-07 10:03:00:746,1171751,1171751,0,0,550527144628,5749417983,1159615,10451,1685,379,391702,0 6,2,2024-09-07 10:03:01:124,845949,845931,18,0,38162682,0,5535 6,3,2024-09-07 10:03:01:281,1,668,8,0,710,7916,668,0 7,0,2024-09-07 10:03:01:532,162703,0.5,163800,0.7,325291,0.5,433741,2.00 7,1,2024-09-07 10:03:00:870,1169872,1169872,0,0,549953298820,5788254829,1152195,13541,4136,382,391747,0 7,2,2024-09-07 10:03:00:774,844450,844281,169,0,38852527,0,7706 7,3,2024-09-07 10:03:00:869,1,668,13,0,552,7861,668,0 8,0,2024-09-07 10:03:01:341,165852,0.4,165417,0.5,331249,0.3,441898,1.75 8,1,2024-09-07 10:03:01:017,1168501,1168501,0,0,549668868768,5781017728,1149924,14533,4044,366,392853,0 8,2,2024-09-07 10:03:00:798,839214,839212,2,0,43829992,0,5112 8,3,2024-09-07 10:03:00:601,1,668,1,0,772,10175,668,0 9,0,2024-09-07 10:03:01:114,171432,0.4,166449,0.5,348462,0.4,457027,1.75 9,1,2024-09-07 10:03:00:580,1168119,1168119,0,0,549158174897,5792684529,1148704,15193,4222,369,392001,0 9,2,2024-09-07 10:03:01:082,842851,842850,1,0,42068009,0,5281 9,3,2024-09-07 10:03:01:756,1,668,31,0,1273,10963,668,0 10,0,2024-09-07 10:03:01:599,170743,0.4,170169,0.5,341302,0.3,454389,1.75 10,1,2024-09-07 10:03:00:583,1170220,1170220,0,0,548571265108,5759894162,1152252,14234,3734,381,391981,0 10,2,2024-09-07 10:03:00:762,843682,843682,0,0,44962037,0,4713 10,3,2024-09-07 10:03:00:875,1,668,0,0,669,7287,668,0 11,0,2024-09-07 10:03:01:031,163850,0.4,159086,0.6,333077,0.4,438283,1.75 11,1,2024-09-07 10:03:00:598,1172704,1172704,0,0,549710363531,5766621148,1155832,12562,4310,383,391766,0 11,2,2024-09-07 10:03:01:124,844179,844179,0,0,38739844,0,4698 11,3,2024-09-07 10:03:01:304,1,668,9,0,843,8291,668,0 12,0,2024-09-07 10:03:00:940,166174,0.4,165933,0.5,331323,0.4,441207,1.75 12,1,2024-09-07 10:03:00:935,1171920,1171920,0,0,550402076551,5743332504,1162188,8706,1026,370,391960,0 12,2,2024-09-07 10:03:01:542,843868,843868,0,0,38445216,0,4390 12,3,2024-09-07 10:03:01:058,1,668,1,0,386,8370,668,0 13,0,2024-09-07 10:03:01:345,170562,0.4,170845,0.6,340770,0.4,453703,1.75 13,1,2024-09-07 10:03:01:527,1169330,1169330,0,0,549607381412,5782574606,1156358,10108,2864,382,391803,0 13,2,2024-09-07 10:03:00:600,847040,847040,0,0,34656705,0,3287 13,3,2024-09-07 10:03:01:766,1,668,17,0,522,8913,668,0 14,0,2024-09-07 10:03:00:572,170610,0.4,171596,0.6,341366,0.4,454578,1.75 14,1,2024-09-07 10:03:01:565,1177582,1177582,0,0,552380462249,5715287780,1168535,7913,1134,364,391673,0 14,2,2024-09-07 10:03:00:764,842466,842436,30,0,35426690,0,6104 14,3,2024-09-07 10:03:01:124,1,668,1,0,1168,7017,668,0 15,0,2024-09-07 10:03:01:553,166066,0.4,165288,0.6,330761,0.3,441173,1.75 15,1,2024-09-07 10:03:01:608,1173840,1173840,0,0,551304205365,5744292413,1163643,8188,2009,381,391619,0 15,2,2024-09-07 10:03:01:003,847100,847100,0,0,31910366,0,3622 15,3,2024-09-07 10:03:01:415,1,668,3,0,1126,8498,668,0 16,0,2024-09-07 10:03:01:004,163319,0.6,164132,0.8,327285,0.6,436154,2.00 16,1,2024-09-07 10:03:00:565,1173390,1173390,0,0,550404076621,5754996091,1162768,9080,1542,370,392194,0 16,2,2024-09-07 10:03:01:434,845562,845562,0,0,35339797,0,4719 16,3,2024-09-07 10:03:01:147,1,668,1,0,362,8395,668,0 17,0,2024-09-07 10:03:01:775,173060,0.7,168816,0.8,330611,0.7,449905,2.00 17,1,2024-09-07 10:03:00:597,1171740,1171740,0,0,550136129946,5767708627,1160729,9217,1794,368,392075,0 17,2,2024-09-07 10:03:01:666,847898,847897,1,0,36521081,0,5050 17,3,2024-09-07 10:03:00:601,1,668,17,0,518,9702,668,0 18,0,2024-09-07 10:03:00:946,168044,0.7,169229,0.8,336746,0.7,449694,2.25 18,1,2024-09-07 10:03:01:638,1176178,1176178,0,0,551734935400,5724537733,1168072,6676,1430,367,391725,0 18,2,2024-09-07 10:03:01:763,844331,844331,0,0,32877571,0,3541 18,3,2024-09-07 10:03:00:897,1,668,1,0,1059,5984,668,0 19,0,2024-09-07 10:03:01:547,167909,0.6,168476,0.8,335757,0.7,446053,2.00 19,1,2024-09-07 10:03:00:575,1175342,1175342,0,0,551981181990,5721625145,1166500,7682,1160,365,391777,0 19,2,2024-09-07 10:03:01:752,849083,849083,0,0,30568404,0,3988 19,3,2024-09-07 10:03:01:137,1,668,12,0,524,5384,668,0 20,0,2024-09-07 10:03:01:359,162702,0.5,162585,0.7,325051,0.5,433250,2.00 20,1,2024-09-07 10:03:00:578,1171227,1171227,0,0,550025781508,5766872060,1158985,10538,1704,369,391922,0 20,2,2024-09-07 10:03:00:933,845611,845611,0,0,38747227,0,4321 20,3,2024-09-07 10:03:00:601,1,668,8,0,468,11438,668,0 21,0,2024-09-07 10:03:01:147,165910,0.5,166011,0.7,331944,0.5,441096,2.00 21,1,2024-09-07 10:03:01:545,1169095,1169095,0,0,547937360392,5785496538,1150441,14666,3988,368,392016,0 21,2,2024-09-07 10:03:01:068,838427,837950,477,0,49826902,0,17074 21,3,2024-09-07 10:03:01:413,1,668,4,0,713,8962,668,0 22,0,2024-09-07 10:03:01:723,170565,0.5,171209,0.7,342008,0.4,453566,2.25 22,1,2024-09-07 10:03:01:031,1169841,1169841,0,0,549517886456,5782893263,1150835,15403,3603,381,391822,0 22,2,2024-09-07 10:03:00:767,842579,842553,26,0,37314704,0,6328 22,3,2024-09-07 10:03:01:066,1,668,30,0,228,5590,668,0 23,0,2024-09-07 10:03:01:367,170061,0.5,169891,0.7,339656,0.4,452833,2.00 23,1,2024-09-07 10:03:01:007,1171559,1171559,0,0,550295430732,5795709236,1150480,14256,6823,365,391690,0 23,2,2024-09-07 10:03:01:096,845828,845828,0,0,35263769,0,3773 23,3,2024-09-07 10:03:01:754,1,668,8,0,855,9580,668,0 24,0,2024-09-07 10:03:00:812,164996,0.4,164028,0.5,329739,0.3,438187,1.75 24,1,2024-09-07 10:03:00:591,1170631,1170631,0,0,549486584134,5751769909,1159565,9201,1865,367,392269,0 24,2,2024-09-07 10:03:01:068,844988,844985,3,0,41573152,0,6294 24,3,2024-09-07 10:03:01:686,1,668,5,0,468,8838,668,0 25,0,2024-09-07 10:03:01:416,169555,0.4,164773,0.6,324341,0.4,441562,2.00 25,1,2024-09-07 10:03:00:590,1168928,1168928,0,0,548756061791,5797910247,1148515,16432,3981,369,391928,0 25,2,2024-09-07 10:03:01:620,842758,842758,0,0,42978863,0,3978 25,3,2024-09-07 10:03:01:008,1,668,1,0,532,7394,668,0 26,0,2024-09-07 10:03:01:734,170034,0.4,166239,0.6,348711,0.4,455369,2.00 26,1,2024-09-07 10:03:01:541,1172340,1172340,0,0,550216853902,5761841291,1156640,12797,2903,380,391758,0 26,2,2024-09-07 10:03:00:863,844464,844464,0,0,44763731,0,4689 26,3,2024-09-07 10:03:01:740,1,668,0,0,796,8207,668,0 27,0,2024-09-07 10:03:01:756,171003,0.4,171776,0.6,341401,0.4,455723,2.25 27,1,2024-09-07 10:03:01:686,1173665,1173665,0,0,551677485652,5750000875,1162389,9655,1621,381,391626,0 27,2,2024-09-07 10:03:00:867,839362,839297,65,0,39403206,0,5699 27,3,2024-09-07 10:03:01:018,1,668,5,0,564,5817,668,0 28,0,2024-09-07 10:03:01:396,165090,0.4,165368,0.6,330705,0.3,440032,1.75 28,1,2024-09-07 10:03:00:802,1174646,1174646,0,0,551819942121,5756571475,1164008,8384,2254,382,391904,0 28,2,2024-09-07 10:03:01:767,845488,845488,0,0,35241414,0,3674 28,3,2024-09-07 10:03:01:776,1,668,2,0,502,6990,668,0 29,0,2024-09-07 10:03:01:372,168639,0.4,164205,0.6,322096,0.3,439301,1.75 29,1,2024-09-07 10:03:01:566,1177398,1177398,0,0,553002213548,5721738134,1169901,6477,1020,367,391809,0 29,2,2024-09-07 10:03:00:867,846132,846132,0,0,33832770,0,4986 29,3,2024-09-07 10:03:00:968,1,668,1,0,459,6972,668,0 30,0,2024-09-07 10:03:01:473,168030,0.5,163653,0.7,342886,0.5,448486,2.00 30,1,2024-09-07 10:03:00:572,1176138,1176138,0,0,552536079408,5736887077,1166802,8209,1127,380,391672,0 30,2,2024-09-07 10:03:01:281,846532,846532,0,0,32795916,0,4192 30,3,2024-09-07 10:03:00:584,1,668,0,0,519,6861,668,0 31,0,2024-09-07 10:03:01:781,169651,0.5,170384,0.7,339823,0.4,453395,2.00 31,1,2024-09-07 10:03:00:574,1180355,1180355,0,0,553411023581,5684196026,1173025,6141,1189,356,391712,0 31,2,2024-09-07 10:03:01:290,841325,841325,0,0,36215843,0,4470 31,3,2024-09-07 10:03:01:710,1,668,5,0,239,5764,668,0 32,0,2024-09-07 10:03:01:426,167569,0.3,168683,0.5,335818,0.2,446713,1.75 32,1,2024-09-07 10:03:00:804,1175736,1175736,0,0,552020469445,5739419768,1168312,6461,963,381,391646,0 32,2,2024-09-07 10:03:00:936,848332,848332,0,0,31827585,0,3922 32,3,2024-09-07 10:03:01:018,1,668,91,0,304,5730,668,0 33,0,2024-09-07 10:03:01:491,163440,0.3,163022,0.4,326120,0.2,434096,1.50 33,1,2024-09-07 10:03:00:575,1177172,1177172,0,0,552439885654,5722363576,1167831,7990,1351,368,391730,0 33,2,2024-09-07 10:03:00:761,846859,846824,35,0,35250121,0,7012 33,3,2024-09-07 10:03:00:901,1,668,0,0,329,6136,668,0 34,0,2024-09-07 10:03:00:933,167096,0.3,171640,0.5,328309,0.2,443902,1.75 34,1,2024-09-07 10:03:01:048,1179211,1179211,0,0,554096843387,5702687267,1175251,3751,209,367,391637,0 34,2,2024-09-07 10:03:00:771,845944,845944,0,0,33224030,0,4562 34,3,2024-09-07 10:03:01:690,1,668,1,0,541,5865,668,0 35,0,2024-09-07 10:03:00:859,169402,0.3,169968,0.5,341069,0.3,453661,1.75 35,1,2024-09-07 10:03:01:067,1175055,1175055,0,0,551665387537,5725475814,1166172,7331,1552,382,391769,0 35,2,2024-09-07 10:03:01:582,843394,843394,0,0,38115035,0,4055 35,3,2024-09-07 10:03:00:909,1,668,13,0,466,6456,668,0 36,0,2024-09-07 10:03:01:525,170272,0.5,170412,0.6,340476,0.4,452902,2.00 36,1,2024-09-07 10:03:00:600,1173353,1173353,0,0,550787787398,5752681474,1158604,12395,2354,366,391759,0 36,2,2024-09-07 10:03:01:750,846213,846213,0,0,38415430,0,3875 36,3,2024-09-07 10:03:00:871,1,668,23,0,556,8725,668,0 37,0,2024-09-07 10:03:01:367,162659,0.5,162726,0.7,325654,0.5,434332,2.25 37,1,2024-09-07 10:03:00:575,1172858,1172851,0,7,550335739374,5749834882,1158676,11062,3113,365,391770,0 37,2,2024-09-07 10:03:01:146,843633,843618,15,0,38149170,0,5815 37,3,2024-09-07 10:03:01:766,1,668,1,0,888,9150,668,0 38,0,2024-09-07 10:03:01:466,164721,0.5,159620,0.7,333704,0.4,438048,2.00 38,1,2024-09-07 10:03:01:606,1172736,1172736,0,0,551408709566,5766585738,1155921,13511,3304,368,391821,0 38,2,2024-09-07 10:03:00:760,844545,844498,47,0,38559753,0,6710 38,3,2024-09-07 10:03:01:001,1,668,5,0,689,7838,668,0 39,0,2024-09-07 10:03:01:760,174652,0.6,170618,0.7,333167,0.5,454297,2.00 39,1,2024-09-07 10:03:00:716,1172180,1172180,0,0,549370183054,5762180528,1152685,15262,4233,365,391865,0 39,2,2024-09-07 10:03:01:416,844242,844242,0,0,36817479,0,3391 39,3,2024-09-07 10:03:00:713,1,668,63,0,525,7531,668,0 40,0,2024-09-07 10:03:01:491,169214,0.8,169937,0.9,339169,0.9,453057,2.75 40,1,2024-09-07 10:03:00:582,1172209,1172209,0,0,549651132845,5762183250,1154054,14619,3536,366,391668,0 40,2,2024-09-07 10:03:01:311,841266,841259,7,0,42635010,0,5347 40,3,2024-09-07 10:03:01:142,1,668,237,0,1028,9714,668,0 41,0,2024-09-07 10:03:01:073,163251,1.2,167142,1.1,318689,1.6,432875,3.00 41,1,2024-09-07 10:03:00:778,1171594,1171594,0,0,550698154256,5762021117,1155958,13113,2523,369,391878,0 41,2,2024-09-07 10:03:00:769,841731,841730,1,0,41234878,0,5408 41,3,2024-09-07 10:03:01:676,1,668,5,0,366,7376,668,0 42,0,2024-09-07 10:03:01:491,163662,0.9,163543,1.0,327240,1.1,434366,2.75 42,1,2024-09-07 10:03:01:440,1169876,1169876,0,0,549144384987,5767407034,1152334,14458,3084,380,391675,0 42,2,2024-09-07 10:03:01:133,842521,842520,1,0,42832768,0,5513 42,3,2024-09-07 10:03:01:013,1,668,9,0,892,6882,668,0 43,0,2024-09-07 10:03:00:926,168066,0.7,163563,0.9,342836,0.8,448571,2.25 43,1,2024-09-07 10:03:00:597,1172751,1172751,0,0,551579912008,5763226811,1156819,13185,2747,366,391696,0 43,2,2024-09-07 10:03:01:738,846083,846083,0,0,39347030,0,4723 43,3,2024-09-07 10:03:01:752,1,668,8,0,571,9211,668,0 44,0,2024-09-07 10:03:00:861,170854,0.4,171156,0.6,341423,0.4,455662,1.75 44,1,2024-09-07 10:03:00:591,1176074,1176074,0,0,551650829625,5704009321,1165597,8403,2074,356,391809,0 44,2,2024-09-07 10:03:01:270,840925,840925,0,0,32079527,0,4344 44,3,2024-09-07 10:03:01:096,1,668,2,0,1097,8376,668,0 45,0,2024-09-07 10:03:01:757,164228,0.5,160451,0.7,336778,0.4,441190,2.00 45,1,2024-09-07 10:03:01:014,1175159,1175159,0,0,551821285424,5736352180,1165845,8093,1221,382,391917,0 45,2,2024-09-07 10:03:01:270,846251,846251,0,0,32434367,0,3596 45,3,2024-09-07 10:03:00:936,1,668,0,0,531,6288,668,0 46,0,2024-09-07 10:03:00:954,162587,0.5,162151,0.7,325296,0.5,432894,2.00 46,1,2024-09-07 10:03:00:614,1177348,1177348,0,0,552442232392,5713275788,1168991,7286,1071,366,391709,0 46,2,2024-09-07 10:03:00:595,847461,847461,0,0,33752247,0,4443 46,3,2024-09-07 10:03:01:131,1,668,1,0,908,7557,668,0 47,0,2024-09-07 10:03:01:106,168645,0.4,168261,0.6,337752,0.4,448302,2.00 47,1,2024-09-07 10:03:00:574,1178507,1178507,0,0,552490239872,5710655817,1171148,6346,1013,364,391666,0 47,2,2024-09-07 10:03:00:913,848453,848453,0,0,33735953,0,4477 47,3,2024-09-07 10:03:01:126,1,668,8,0,600,7250,668,0 48,0,2024-09-07 10:03:01:492,170153,0.3,170707,0.4,340157,0.2,452625,1.50 48,1,2024-09-07 10:03:01:025,1175841,1175841,0,0,551879384420,5735143597,1168265,6564,1012,381,391710,0 48,2,2024-09-07 10:03:00:698,842941,842941,0,0,31132392,0,3619 48,3,2024-09-07 10:03:00:753,1,668,1,0,339,5890,668,0 49,0,2024-09-07 10:03:01:718,173456,0.3,169904,0.5,330539,0.3,450597,1.75 49,1,2024-09-07 10:03:01:027,1174822,1174822,0,0,551915180523,5740875146,1166968,6157,1697,382,391809,0 49,2,2024-09-07 10:03:01:796,847548,847548,0,0,32610488,0,4426 49,3,2024-09-07 10:03:01:416,1,668,1,0,992,7925,668,0 50,0,2024-09-07 10:03:01:515,163222,0.3,161890,0.5,325976,0.2,432970,1.75 50,1,2024-09-07 10:03:01:014,1177821,1177821,0,0,552710424390,5714960354,1170359,6731,731,368,391691,0 50,2,2024-09-07 10:03:01:070,845043,845043,0,0,30529962,0,4490 50,3,2024-09-07 10:03:01:296,1,668,1,0,617,6683,668,0 51,0,2024-09-07 10:03:01:688,170473,0.3,166795,0.5,324847,0.2,443196,1.75 51,1,2024-09-07 10:03:01:680,1178105,1178105,0,0,554822728694,5721745215,1171466,5297,1342,365,391706,0 51,2,2024-09-07 10:03:01:322,845106,845106,0,0,30065814,0,3337 51,3,2024-09-07 10:03:01:035,1,668,1,0,678,5357,668,0 52,0,2024-09-07 10:03:01:421,170658,0.5,170703,0.7,341017,0.4,453363,2.00 52,1,2024-09-07 10:03:00:580,1172746,1172746,0,0,550549646481,5763443871,1156027,14292,2427,368,391805,0 52,2,2024-09-07 10:03:01:764,840387,840349,38,0,41613987,0,6742 52,3,2024-09-07 10:03:00:674,1,668,0,0,1782,7860,668,0 53,0,2024-09-07 10:03:01:730,169785,0.6,164834,0.8,344685,0.7,451369,2.25 53,1,2024-09-07 10:03:00:770,1171043,1171043,0,0,550712228690,5774929220,1152014,14617,4412,367,391968,0 53,2,2024-09-07 10:03:01:299,845384,845246,138,0,37765316,0,7690 53,3,2024-09-07 10:03:00:696,1,668,1,0,308,6116,668,0 54,0,2024-09-07 10:03:01:614,162153,0.6,162529,0.7,323806,0.5,432476,2.25 54,1,2024-09-07 10:03:00:597,1173843,1173843,0,0,552172462306,5741790904,1162128,10041,1674,366,391810,0 54,2,2024-09-07 10:03:00:870,845237,845205,32,0,40812005,0,6397 54,3,2024-09-07 10:03:00:763,1,668,1,0,676,8444,668,0 55,0,2024-09-07 10:03:01:777,159166,0.7,164140,0.8,333034,0.7,433917,2.50 55,1,2024-09-07 10:03:00:764,1174924,1174924,0,0,550843913018,5729866037,1162907,10531,1486,365,391731,0 55,2,2024-09-07 10:03:00:731,843565,843509,56,0,37799916,0,7239 55,3,2024-09-07 10:03:00:674,1,668,7,0,304,6193,668,0 56,0,2024-09-07 10:03:01:561,173696,1.2,163831,1.1,337588,1.6,452255,2.75 56,1,2024-09-07 10:03:00:573,1167636,1167636,0,0,548802704601,5803323110,1148298,15586,3752,381,391867,0 56,2,2024-09-07 10:03:01:306,843603,843481,122,0,40189949,0,7432 56,3,2024-09-07 10:03:01:068,1,668,1,0,705,8086,668,0 57,0,2024-09-07 10:03:00:984,169081,1.4,169013,1.2,337928,1.9,451835,3.00 57,1,2024-09-07 10:03:00:994,1170645,1170645,0,0,549045243119,5761607821,1155102,12853,2690,366,392032,0 57,2,2024-09-07 10:03:01:321,841876,841876,0,0,42511553,0,4804 57,3,2024-09-07 10:03:01:738,1,668,1,0,455,7328,668,0 58,0,2024-09-07 10:03:00:572,162592,0.9,157950,1.0,330587,1.1,432336,2.50 58,1,2024-09-07 10:03:00:575,1171526,1171523,0,3,550815309173,5777451848,1153250,13872,4401,367,391726,3 58,2,2024-09-07 10:03:01:074,845575,845575,0,0,38950741,0,3483 58,3,2024-09-07 10:03:01:068,1,668,1,0,1043,7321,668,0 59,0,2024-09-07 10:03:01:743,162681,0.8,161981,1.0,324050,0.9,430163,2.75 59,1,2024-09-07 10:03:00:804,1171200,1171200,0,0,549770401168,5776834899,1151983,15380,3837,369,391653,0 59,2,2024-09-07 10:03:00:589,845675,845675,0,0,37228536,0,3727 59,3,2024-09-07 10:03:01:738,1,668,8,0,1015,7940,668,0 60,0,2024-09-07 10:03:01:701,168929,0.5,168955,0.7,338009,0.5,450881,1.75 60,1,2024-09-07 10:03:00:774,1175166,1175166,0,0,552021060660,5747201723,1164882,8878,1406,370,392031,0 60,2,2024-09-07 10:03:01:144,846363,846363,0,0,35516963,0,3811 60,3,2024-09-07 10:03:01:269,1,668,9,0,409,7643,668,0 61,0,2024-09-07 10:03:01:489,169771,0.6,170529,0.8,339494,0.6,453036,2.00 61,1,2024-09-07 10:03:00:771,1172546,1172546,0,0,550205840330,5760681959,1160273,10497,1776,382,392127,0 61,2,2024-09-07 10:03:01:123,842313,842246,67,0,36116122,0,6411 61,3,2024-09-07 10:03:01:687,1,668,4,0,607,8402,668,0 62,0,2024-09-07 10:03:01:707,168122,0.6,172466,0.7,329135,0.5,446647,2.00 62,1,2024-09-07 10:03:01:120,1179645,1179639,0,6,553055366106,5707016758,1173098,6067,474,365,391975,6 62,2,2024-09-07 10:03:01:643,844096,844095,1,0,35848483,0,5555 62,3,2024-09-07 10:03:01:143,1,668,5,0,482,5335,668,0 63,0,2024-09-07 10:03:01:456,163414,0.4,163087,0.6,326951,0.3,434282,1.75 63,1,2024-09-07 10:03:00:804,1176261,1176255,0,6,552671190969,5739918106,1168663,6664,928,381,391800,6 63,2,2024-09-07 10:03:00:767,845760,845760,0,0,35053184,0,4369 63,3,2024-09-07 10:03:01:733,1,668,1,0,667,7239,668,0 64,0,2024-09-07 10:03:01:517,166094,0.5,165934,0.7,331514,0.5,442391,2.00 64,1,2024-09-07 10:03:00:752,1174419,1174419,0,0,552010029390,5748321585,1164231,8162,2026,370,391794,0 64,2,2024-09-07 10:03:01:143,848249,848230,19,0,34062351,0,6121 64,3,2024-09-07 10:03:01:143,1,668,0,0,651,7594,668,0 65,0,2024-09-07 10:03:01:673,168632,0.6,168963,0.7,337370,0.6,449907,2.00 65,1,2024-09-07 10:03:00:862,1172871,1172871,0,0,550253818897,5751060935,1164714,7260,897,381,391901,0 65,2,2024-09-07 10:03:01:695,841757,841757,0,0,40079131,0,3367 65,3,2024-09-07 10:03:01:685,1,668,1,0,782,7969,668,0 66,0,2024-09-07 10:03:01:769,169493,0.5,168863,0.7,337984,0.5,449529,2.00 66,1,2024-09-07 10:03:01:300,1174891,1174891,0,0,551347164591,5738118545,1167530,6489,872,380,391743,0 66,2,2024-09-07 10:03:01:131,848707,848704,3,0,35395499,0,5455 66,3,2024-09-07 10:03:01:079,1,668,8,0,291,6030,668,0 67,0,2024-09-07 10:03:01:420,163398,0.5,163001,0.7,326704,0.5,434908,2.00 67,1,2024-09-07 10:03:00:774,1174840,1174839,0,1,550805762076,5736798670,1166412,7287,1140,380,391787,1 67,2,2024-09-07 10:03:00:597,848521,848506,15,0,35707930,0,6205 67,3,2024-09-07 10:03:01:752,1,668,8,0,595,7181,668,0 68,0,2024-09-07 10:03:00:571,165510,0.6,165261,0.8,329265,0.6,440930,2.25 68,1,2024-09-07 10:03:00:574,1170132,1170132,0,0,548775068006,5776983377,1153427,12434,4271,381,391953,0 68,2,2024-09-07 10:03:01:043,840169,840069,100,0,43929809,0,8578 68,3,2024-09-07 10:03:00:729,1,668,241,0,417,9328,668,0 69,0,2024-09-07 10:03:01:724,170558,0.7,171073,0.8,341348,0.8,454285,2.25 69,1,2024-09-07 10:03:01:024,1169529,1169529,0,0,548973247763,5784504118,1154763,11785,2981,383,391994,0 69,2,2024-09-07 10:03:01:743,841552,841523,29,0,47126818,0,6912 69,3,2024-09-07 10:03:00:761,1,668,1,0,698,10282,668,0 70,0,2024-09-07 10:03:01:543,168903,0.7,169686,0.9,340188,0.7,451380,2.50 70,1,2024-09-07 10:03:00:801,1175801,1175801,0,0,552807861112,5739484311,1166361,8395,1045,366,391725,0 70,2,2024-09-07 10:03:01:332,844060,844060,0,0,38625615,0,4323 70,3,2024-09-07 10:03:00:745,1,668,1,0,854,7488,668,0 71,0,2024-09-07 10:03:01:365,163075,0.9,162645,1.0,326517,1.0,435046,2.50 71,1,2024-09-07 10:03:01:616,1173906,1173906,0,0,550885110047,5750063039,1159558,12563,1785,367,391738,0 71,2,2024-09-07 10:03:01:067,844225,844225,0,0,38949775,0,4352 71,3,2024-09-07 10:03:01:759,1,668,20,0,644,7486,668,0 72,0,2024-09-07 10:03:01:032,170201,0.6,166202,0.7,324773,0.5,441918,2.00 72,1,2024-09-07 10:03:01:023,1172030,1172030,0,0,550069100450,5768457911,1155293,14006,2731,369,391819,0 72,2,2024-09-07 10:03:01:763,843530,843530,0,0,40706603,0,3983 72,3,2024-09-07 10:03:01:754,1,668,1,0,564,9421,668,0 73,0,2024-09-07 10:03:01:123,165408,0.4,169606,0.6,346548,0.4,451379,2.00 73,1,2024-09-07 10:03:00:770,1173927,1173927,0,0,551388758400,5732926579,1164626,8241,1060,367,391858,0 73,2,2024-09-07 10:03:01:738,844639,844638,1,0,42961078,0,5027 73,3,2024-09-07 10:03:00:974,1,668,0,0,1091,9101,668,0 74,0,2024-09-07 10:03:01:328,171745,0.5,175767,0.7,335618,0.4,455930,2.00 74,1,2024-09-07 10:03:00:642,1172497,1172497,0,0,550076972239,5740131996,1160327,10030,2140,381,391762,0 74,2,2024-09-07 10:03:01:001,840855,840855,0,0,36837795,0,4253 74,3,2024-09-07 10:03:01:447,1,668,103,0,522,7849,668,0 75,0,2024-09-07 10:03:01:769,166178,0.5,165243,0.7,331581,0.5,442555,2.25 75,1,2024-09-07 10:03:01:589,1173855,1173855,0,0,550675483371,5739551620,1164073,8813,969,380,391739,0 75,2,2024-09-07 10:03:01:357,844169,844169,0,0,43960749,0,4766 75,3,2024-09-07 10:03:01:067,1,668,8,0,918,9262,668,0 76,0,2024-09-07 10:03:00:601,163038,0.5,162388,0.7,324525,0.5,434538,2.25 76,1,2024-09-07 10:03:00:813,1173775,1173775,0,0,551108688089,5749007825,1165297,7174,1304,382,391790,0 76,2,2024-09-07 10:03:01:069,848741,848738,3,0,36650013,0,5265 76,3,2024-09-07 10:03:01:145,1,668,1,0,249,6254,668,0 77,0,2024-09-07 10:03:01:704,167505,0.6,168057,0.7,336182,0.5,447334,2.00 77,1,2024-09-07 10:03:00:823,1173292,1173292,0,0,550218827807,5745448667,1163470,8739,1083,381,391869,0 77,2,2024-09-07 10:03:01:280,844803,844803,0,0,35620469,0,3890 77,3,2024-09-07 10:03:01:104,1,668,2,0,401,7727,668,0 78,0,2024-09-07 10:03:01:725,170499,0.4,169764,0.6,340731,0.4,450641,2.00 78,1,2024-09-07 10:03:00:612,1172892,1172892,0,0,550583601664,5747183574,1157948,11708,3236,367,391670,0 78,2,2024-09-07 10:03:01:412,843208,843195,13,0,33174830,0,8313 78,3,2024-09-07 10:03:01:138,1,668,1,0,311,5644,668,0 79,0,2024-09-07 10:03:01:361,162941,0.4,166993,0.6,341968,0.3,445124,2.00 79,1,2024-09-07 10:03:00:574,1177086,1177086,0,0,552404271914,5723782141,1167284,8002,1800,367,391682,0 79,2,2024-09-07 10:03:01:074,847064,847064,0,0,32138667,0,4195 79,3,2024-09-07 10:03:00:753,1,668,8,0,418,7556,668,0 80,0,2024-09-07 10:03:01:080,162900,0.5,167286,0.7,319511,0.5,432198,2.00 80,1,2024-09-07 10:03:01:628,1173422,1173422,0,0,551202817239,5739724111,1165708,7166,548,368,392269,0 80,2,2024-09-07 10:03:01:101,848601,848601,0,0,33064466,0,4433 80,3,2024-09-07 10:03:00:577,1,668,7,0,681,8116,668,0 81,0,2024-09-07 10:03:01:536,165873,0.6,169786,0.7,323994,0.6,440007,2.00 81,1,2024-09-07 10:03:01:649,1172544,1172544,0,0,549915057853,5747633515,1163104,8467,973,382,392001,0 81,2,2024-09-07 10:03:01:136,844134,844071,63,0,36389962,0,5932 81,3,2024-09-07 10:03:01:123,1,668,1,0,719,7316,668,0 82,0,2024-09-07 10:03:01:544,170699,0.5,170843,0.7,342156,0.5,453855,2.00 82,1,2024-09-07 10:03:00:600,1174600,1174596,0,4,552023998190,5743052815,1167748,5725,1123,381,391768,4 82,2,2024-09-07 10:03:01:698,846498,846498,0,0,30687258,0,4484 82,3,2024-09-07 10:03:01:752,1,668,1,0,363,6085,668,0 83,0,2024-09-07 10:03:01:564,170403,0.6,170315,0.7,340376,0.5,452064,2.25 83,1,2024-09-07 10:03:00:590,1173382,1173382,0,0,550732261046,5743452426,1164514,7843,1025,382,391709,0 83,2,2024-09-07 10:03:00:767,845929,845904,25,0,33185894,0,5612 83,3,2024-09-07 10:03:00:752,1,668,3,0,1260,7870,668,0 84,0,2024-09-07 10:03:01:767,162491,0.7,162529,0.9,325230,0.7,434379,2.50 84,1,2024-09-07 10:03:01:044,1171875,1171875,0,0,550297761099,5758273769,1158209,11583,2083,367,391967,0 84,2,2024-09-07 10:03:00:591,843126,842716,410,0,48027904,0,17037 84,3,2024-09-07 10:03:01:146,1,668,218,0,908,9507,668,0 85,0,2024-09-07 10:03:01:043,158473,0.7,158469,0.8,336448,0.7,434216,2.25 85,1,2024-09-07 10:03:00:566,1168399,1168399,0,0,548873575052,5793725563,1150776,14694,2929,381,392092,0 85,2,2024-09-07 10:03:00:876,844063,844063,0,0,40987294,0,4255 85,3,2024-09-07 10:03:00:695,1,668,3,0,789,7714,668,0 86,0,2024-09-07 10:03:00:920,170014,0.7,174918,0.8,334525,0.8,453295,2.25 86,1,2024-09-07 10:03:00:851,1170451,1170451,0,0,549938246199,5776243675,1153155,13994,3302,366,392169,0 86,2,2024-09-07 10:03:00:866,844122,844121,1,0,43216445,0,5004 86,3,2024-09-07 10:03:00:589,1,668,4,0,441,9442,668,0 87,0,2024-09-07 10:03:01:481,171440,0.8,170232,0.8,340982,0.9,455758,2.25 87,1,2024-09-07 10:03:00:565,1171028,1171028,0,0,550131718455,5764587486,1156155,12711,2162,366,392076,0 87,2,2024-09-07 10:03:01:067,841343,841337,6,0,40114532,0,6323 87,3,2024-09-07 10:03:01:804,1,668,8,0,473,9314,668,0 88,0,2024-09-07 10:03:01:438,164913,0.4,165425,0.6,330663,0.4,439858,1.75 88,1,2024-09-07 10:03:00:583,1169856,1169856,0,0,548858699366,5753419577,1153155,13566,3135,365,392084,0 88,2,2024-09-07 10:03:00:700,844579,844579,0,0,42158247,0,4465 88,3,2024-09-07 10:03:01:269,1,668,0,0,1080,10526,668,0 89,0,2024-09-07 10:03:01:789,168568,0.4,163633,0.6,322730,0.4,438661,1.75 89,1,2024-09-07 10:03:00:558,1169501,1169501,0,0,549837119989,5782738488,1155019,12208,2274,382,391866,0 89,2,2024-09-07 10:03:01:138,845310,845310,0,0,39714806,0,3173 89,3,2024-09-07 10:03:01:796,1,668,729,0,729,11487,668,0 90,0,2024-09-07 10:03:01:634,163807,0.4,168164,0.6,343505,0.4,448515,2.00 90,1,2024-09-07 10:03:00:618,1171050,1171050,0,0,549355152074,5755498283,1159304,10591,1155,380,391825,0 90,2,2024-09-07 10:03:01:409,841609,841604,5,0,42928814,0,6370 90,3,2024-09-07 10:03:00:934,1,668,1,0,364,8867,668,0 91,0,2024-09-07 10:03:00:965,170457,0.5,165366,0.6,346029,0.5,453866,1.75 91,1,2024-09-07 10:03:00:591,1168063,1168063,0,0,549454691691,5795721803,1149659,14271,4133,381,392047,0 91,2,2024-09-07 10:03:01:334,840791,840791,0,0,38013181,0,4713 91,3,2024-09-07 10:03:00:598,1,668,9,0,231,6342,668,0 92,0,2024-09-07 10:03:01:452,169047,0.5,172947,0.6,329843,0.4,446970,1.75 92,1,2024-09-07 10:03:00:598,1172622,1172622,0,0,550640765334,5752487209,1163376,7937,1309,381,392136,0 92,2,2024-09-07 10:03:01:355,845797,845797,0,0,34393240,0,3906 92,3,2024-09-07 10:03:01:013,1,668,2,0,281,5817,668,0 93,0,2024-09-07 10:03:00:961,163925,0.4,167875,0.6,320687,0.3,434199,1.75 93,1,2024-09-07 10:03:00:809,1173324,1173324,0,0,550402545243,5752371128,1159277,11615,2432,365,392048,0 93,2,2024-09-07 10:03:00:929,845762,845762,0,0,40254767,0,4913 93,3,2024-09-07 10:03:01:419,1,668,34,0,505,7535,668,0 94,0,2024-09-07 10:03:01:657,166095,0.4,167206,0.6,334496,0.3,444697,1.75 94,1,2024-09-07 10:03:00:579,1174067,1174067,0,0,551792248567,5754412063,1166318,7259,490,381,391850,0 94,2,2024-09-07 10:03:00:771,845207,845179,28,0,34621262,0,6179 94,3,2024-09-07 10:03:01:695,1,668,2,0,576,8252,668,0 95,0,2024-09-07 10:03:01:429,169964,0.4,169875,0.6,340019,0.3,452878,1.75 95,1,2024-09-07 10:03:00:855,1174893,1174893,0,0,551802163363,5733991050,1165851,8277,765,365,391852,0 95,2,2024-09-07 10:03:01:015,842190,842190,0,0,34551512,0,3308 95,3,2024-09-07 10:03:01:740,1,668,15,0,718,10171,668,0 96,0,2024-09-07 10:03:01:052,169942,0.3,170089,0.5,340359,0.3,452213,1.75 96,1,2024-09-07 10:03:01:590,1172884,1172884,0,0,550934812412,5748638304,1164418,7172,1294,384,391964,0 96,2,2024-09-07 10:03:01:270,847291,847291,0,0,35011085,0,4180 96,3,2024-09-07 10:03:01:147,1,668,1,0,411,7626,668,0 97,0,2024-09-07 10:03:01:326,163404,0.3,163206,0.5,327137,0.3,434822,1.50 97,1,2024-09-07 10:03:00:770,1174759,1174759,0,0,551833480283,5727601112,1166912,6608,1239,367,392140,0 97,2,2024-09-07 10:03:00:620,846684,846684,0,0,35392405,0,4600 97,3,2024-09-07 10:03:00:571,1,668,2,0,433,8211,668,0 98,0,2024-09-07 10:03:01:719,165096,0.3,165134,0.5,331619,0.3,441294,1.50 98,1,2024-09-07 10:03:00:573,1174340,1174340,0,0,550887178369,5739715649,1166914,6528,898,381,391997,0 98,2,2024-09-07 10:03:00:771,844207,844207,0,0,33623100,0,4336 98,3,2024-09-07 10:03:00:702,1,668,0,0,840,9497,668,0 99,0,2024-09-07 10:03:01:471,171379,0.3,172237,0.5,343183,0.3,457016,1.75 99,1,2024-09-07 10:03:01:744,1175236,1175236,0,0,551111129137,5737884561,1167508,6622,1106,380,392069,0 99,2,2024-09-07 10:03:01:417,844024,844024,0,0,42849028,0,4276 99,3,2024-09-07 10:03:00:601,1,668,11,0,1124,8407,668,0 100,0,2024-09-07 10:03:01:463,170286,0.8,170824,0.9,341483,0.9,455384,2.50 100,1,2024-09-07 10:03:00:586,1167674,1167674,0,0,548435452929,5803350355,1148810,15069,3795,378,391989,0 100,2,2024-09-07 10:03:01:820,840014,839625,389,0,44526739,0,16909 100,3,2024-09-07 10:03:01:742,1,668,1,0,627,10560,668,0 101,0,2024-09-07 10:03:01:710,167728,0.9,163697,1.0,320196,0.9,436941,2.25 101,1,2024-09-07 10:03:00:569,1170025,1170025,0,0,549807070698,5781056365,1153758,13334,2933,368,392018,0 101,2,2024-09-07 10:03:01:756,839602,839563,39,0,45858366,0,5913 101,3,2024-09-07 10:03:00:957,1,668,1,0,1250,9937,668,0 102,0,2024-09-07 10:03:00:961,160320,0.7,165151,0.8,335537,0.7,438795,2.25 102,1,2024-09-07 10:03:01:147,1170036,1170036,0,0,549871609804,5775662476,1154617,13201,2218,369,391984,0 102,2,2024-09-07 10:03:01:737,845709,845655,54,0,38392288,0,6768 102,3,2024-09-07 10:03:01:633,1,668,34,0,466,7829,668,0 103,0,2024-09-07 10:03:01:632,175082,0.6,175128,0.8,329985,0.7,453687,2.00 103,1,2024-09-07 10:03:01:628,1168783,1168783,0,0,549077107250,5800032357,1149886,15272,3625,381,392077,0 103,2,2024-09-07 10:03:00:590,843304,843304,0,0,41845439,0,3766 103,3,2024-09-07 10:03:00:760,1,668,136,0,916,7981,668,0 104,0,2024-09-07 10:03:01:023,169414,0.7,169766,0.9,338660,0.7,452798,2.25 104,1,2024-09-07 10:03:01:600,1171168,1171168,0,0,549535410140,5779154753,1152922,14793,3453,365,392168,0 104,2,2024-09-07 10:03:01:665,839413,839413,0,0,40452385,0,4161 104,3,2024-09-07 10:03:01:420,1,668,17,0,1245,11564,668,0 105,0,2024-09-07 10:03:01:087,164142,0.8,160052,1.0,335473,0.9,440453,2.50 105,1,2024-09-07 10:03:00:561,1173253,1173253,0,0,549963214893,5761092256,1158657,12484,2112,364,392009,0 105,2,2024-09-07 10:03:01:321,844063,844063,0,0,41838273,0,4360 105,3,2024-09-07 10:03:01:311,1,668,5,0,573,10139,668,0 106,0,2024-09-07 10:03:00:973,157826,0.9,161883,0.9,331201,1.0,432897,2.50 106,1,2024-09-07 10:03:01:757,1170897,1170897,0,0,549755608011,5769846174,1154498,14250,2149,368,391914,0 106,2,2024-09-07 10:03:00:841,845467,845467,0,0,38747818,0,3331 106,3,2024-09-07 10:03:00:676,1,668,2,0,1224,9109,668,0 107,0,2024-09-07 10:03:01:119,167570,1.1,167629,1.0,335485,1.5,447891,2.25 107,1,2024-09-07 10:03:00:590,1168819,1168819,0,0,548177471419,5795207494,1149859,16706,2254,381,392234,0 107,2,2024-09-07 10:03:01:306,841514,841513,1,0,42006905,0,5024 107,3,2024-09-07 10:03:01:761,1,668,5,0,733,10508,668,0 108,0,2024-09-07 10:03:01:815,169518,0.4,170352,0.6,339637,0.4,452424,1.75 108,1,2024-09-07 10:03:01:301,1172816,1172816,0,0,551039769118,5749238402,1162887,8819,1110,367,391894,0 108,2,2024-09-07 10:03:01:763,842371,842371,0,0,37500868,0,4432 108,3,2024-09-07 10:03:01:338,1,668,1,0,767,12333,668,0 109,0,2024-09-07 10:03:01:809,169558,0.4,168177,0.6,337365,0.3,449751,1.75 109,1,2024-09-07 10:03:00:612,1170618,1170618,0,0,550322509316,5774160771,1160110,9005,1503,382,392132,0 109,2,2024-09-07 10:03:00:921,844814,844814,0,0,37298803,0,3617 109,3,2024-09-07 10:03:01:147,1,668,11,0,630,7733,668,0 110,0,2024-09-07 10:03:01:763,162614,0.4,158116,0.6,331180,0.3,433949,1.75 110,1,2024-09-07 10:03:01:643,1174679,1174679,0,0,551845884157,5729669631,1165640,6987,2052,368,392045,0 110,2,2024-09-07 10:03:01:306,846335,846335,0,0,36558549,0,4067 110,3,2024-09-07 10:03:00:706,1,668,13,0,722,8831,668,0 111,0,2024-09-07 10:03:01:420,166698,0.4,165971,0.5,331475,0.3,442763,1.75 111,1,2024-09-07 10:03:01:008,1176214,1176214,0,0,552547278306,5735043801,1169679,6146,389,380,391690,0 111,2,2024-09-07 10:03:01:122,844479,844479,0,0,35965351,0,4823 111,3,2024-09-07 10:03:00:913,1,668,8,0,379,7451,668,0 112,0,2024-09-07 10:03:00:933,171540,0.3,171011,0.4,342871,0.2,455133,1.50 112,1,2024-09-07 10:03:00:824,1174844,1174844,0,0,551468006273,5725933479,1167261,6358,1225,380,391624,0 112,2,2024-09-07 10:03:01:132,844536,844535,1,0,34343084,0,5036 112,3,2024-09-07 10:03:00:596,1,668,1,0,282,6586,668,0 113,0,2024-09-07 10:03:00:880,170409,0.3,170615,0.5,341626,0.2,454388,1.75 113,1,2024-09-07 10:03:01:687,1178345,1178345,0,0,553904310209,5715648325,1171490,5905,950,365,391664,0 113,2,2024-09-07 10:03:01:312,847887,847887,0,0,31448741,0,3813 113,3,2024-09-07 10:03:00:690,1,668,1,0,510,6914,668,0 114,0,2024-09-07 10:03:00:878,164133,0.3,165143,0.5,329557,0.2,439856,1.75 114,1,2024-09-07 10:03:00:716,1174517,1174517,0,0,551418147978,5725977797,1165949,6800,1768,381,391565,0 114,2,2024-09-07 10:03:00:872,847144,847143,1,0,33622559,0,5069 114,3,2024-09-07 10:03:01:282,1,668,1,0,415,5508,668,0 115,0,2024-09-07 10:03:00:565,165708,0.3,166307,0.4,331131,0.2,440697,1.50 115,1,2024-09-07 10:03:00:574,1174876,1174876,0,0,552190296533,5737047355,1165401,7685,1790,382,391757,0 115,2,2024-09-07 10:03:01:129,846737,846737,0,0,33486870,0,4382 115,3,2024-09-07 10:03:01:008,1,668,8,0,173,4324,668,0 116,0,2024-09-07 10:03:01:726,170002,0.7,170060,0.9,340751,0.8,456086,2.25 116,1,2024-09-07 10:03:00:806,1168687,1168687,0,0,549453504911,5789912344,1154869,10609,3209,380,392089,0 116,2,2024-09-07 10:03:01:764,844777,844777,0,0,41310809,0,4475 116,3,2024-09-07 10:03:00:917,1,668,7,0,448,8782,668,0 117,0,2024-09-07 10:03:00:980,171177,0.7,170853,0.8,341934,0.7,457048,2.00 117,1,2024-09-07 10:03:01:583,1170640,1170640,0,0,548900782136,5752591232,1156840,12038,1762,369,392429,0 117,2,2024-09-07 10:03:01:126,843971,843971,0,0,37604048,0,4303 117,3,2024-09-07 10:03:01:062,1,668,3,0,490,8373,668,0 118,0,2024-09-07 10:03:01:793,159976,0.5,164352,0.7,335357,0.5,438332,2.00 118,1,2024-09-07 10:03:00:597,1169673,1169673,0,0,548980895871,5772510487,1152204,13625,3844,366,392054,0 118,2,2024-09-07 10:03:01:596,844142,844142,0,0,40283634,0,3161 118,3,2024-09-07 10:03:01:767,1,668,1,0,343,8158,668,0 119,0,2024-09-07 10:03:01:374,163783,0.7,164278,0.8,327869,0.8,437260,2.25 119,1,2024-09-07 10:03:00:558,1170829,1170829,0,0,549879269604,5768926833,1154866,13299,2664,367,391857,0 119,2,2024-09-07 10:03:01:278,846375,846375,0,0,37388753,0,4309 119,3,2024-09-07 10:03:01:340,1,668,9,0,1358,12032,668,0 120,0,2024-09-07 10:03:01:570,168153,0.6,167895,0.8,337448,0.7,450038,2.25 120,1,2024-09-07 10:03:00:865,1171695,1171695,0,0,549711659338,5764597870,1159194,11344,1157,367,392144,0 120,2,2024-09-07 10:03:00:773,843840,843837,3,0,44843574,0,5363 120,3,2024-09-07 10:03:01:296,1,668,0,0,478,8661,668,0 121,0,2024-09-07 10:03:01:689,170091,1.1,169542,1.0,339251,1.5,452395,2.25 121,1,2024-09-07 10:03:01:654,1171134,1171134,0,0,550483047810,5763347754,1157954,11189,1991,366,391840,0 121,2,2024-09-07 10:03:01:133,841482,841482,0,0,40362479,0,4157 121,3,2024-09-07 10:03:00:732,1,668,149,0,387,9095,668,0 122,0,2024-09-07 10:03:01:769,167002,0.7,162449,0.8,339978,0.8,446644,2.00 122,1,2024-09-07 10:03:00:868,1170715,1170715,0,0,549543561588,5767268420,1154485,13653,2577,365,392130,0 122,2,2024-09-07 10:03:01:327,845806,845731,75,0,44778470,0,5989 122,3,2024-09-07 10:03:00:594,1,668,82,0,512,10418,668,0 123,0,2024-09-07 10:03:00:968,162076,0.8,157877,0.9,331005,0.9,432779,2.25 123,1,2024-09-07 10:03:00:564,1171416,1171416,0,0,550189721165,5787365412,1152531,15895,2990,369,392039,0 123,2,2024-09-07 10:03:01:023,844911,844910,1,0,38362791,0,5215 123,3,2024-09-07 10:03:01:133,1,668,12,0,478,7478,668,0 124,0,2024-09-07 10:03:00:951,171395,0.4,171458,0.5,323029,0.3,445422,1.75 124,1,2024-09-07 10:03:01:031,1174755,1174755,0,0,551132869024,5730426181,1165920,7601,1234,365,392178,0 124,2,2024-09-07 10:03:01:014,846854,846801,53,0,35117754,0,6487 124,3,2024-09-07 10:03:00:762,1,668,29,0,490,6660,668,0 125,0,2024-09-07 10:03:01:446,169931,0.4,169724,0.6,340233,0.4,453280,1.75 125,1,2024-09-07 10:03:00:860,1172315,1172315,0,0,550311529688,5751256325,1161863,8942,1510,382,392045,0 125,2,2024-09-07 10:03:01:120,845388,845388,0,0,35006092,0,4534 125,3,2024-09-07 10:03:01:126,1,668,1,0,709,7546,668,0 126,0,2024-09-07 10:03:01:442,170187,0.4,174917,0.5,334449,0.3,452970,1.75 126,1,2024-09-07 10:03:00:557,1175580,1175580,0,0,551872619325,5718783713,1168606,6294,680,365,391987,0 126,2,2024-09-07 10:03:00:610,847695,847695,0,0,36178930,0,4539 126,3,2024-09-07 10:03:00:909,1,668,1,0,268,7620,668,0 127,0,2024-09-07 10:03:01:643,163636,0.3,163964,0.5,327230,0.3,435028,1.75 127,1,2024-09-07 10:03:00:575,1173882,1173882,0,0,551462122928,5739180011,1161820,10370,1692,364,392187,0 127,2,2024-09-07 10:03:00:638,846578,846574,4,0,34408398,0,5305 127,3,2024-09-07 10:03:01:278,1,668,8,0,968,6618,668,0 128,0,2024-09-07 10:03:01:535,166172,0.3,166143,0.4,332150,0.2,442025,1.50 128,1,2024-09-07 10:03:01:609,1173308,1173308,0,0,551249237730,5732463494,1164449,7889,970,367,392423,0 128,2,2024-09-07 10:03:01:403,846746,846746,0,0,32481656,0,3171 128,3,2024-09-07 10:03:00:780,1,668,2,0,1082,10066,668,0 129,0,2024-09-07 10:03:01:001,172599,0.3,171865,0.5,344786,0.3,457747,1.75 129,1,2024-09-07 10:03:00:608,1170460,1170460,0,0,550065136658,5771459157,1157716,10415,2329,379,391962,0 129,2,2024-09-07 10:03:00:689,846684,846680,4,0,34997625,0,5335 129,3,2024-09-07 10:03:00:689,1,668,1,0,506,9810,668,0 130,0,2024-09-07 10:03:01:736,171590,0.4,171348,0.6,342947,0.4,456904,1.75 130,1,2024-09-07 10:03:00:595,1175058,1175058,0,0,551421866840,5726328232,1169373,5279,406,381,391825,0 130,2,2024-09-07 10:03:01:134,844415,844415,0,0,34809003,0,4067 130,3,2024-09-07 10:03:01:299,1,668,0,0,960,8656,668,0 131,0,2024-09-07 10:03:01:952,164105,0.3,164664,0.5,330148,0.3,438529,1.50 131,1,2024-09-07 10:03:01:822,1174199,1174199,0,0,550283606927,5735461787,1166191,6806,1202,381,391865,0 131,2,2024-09-07 10:03:00:572,845939,845939,0,0,32588021,0,3979 131,3,2024-09-07 10:03:01:688,1,668,14,0,392,8459,668,0 132,0,2024-09-07 10:03:01:425,165283,0.5,166259,0.6,330803,0.4,440575,2.00 132,1,2024-09-07 10:03:00:597,1169732,1169732,0,0,548550354030,5774017722,1153335,13617,2780,381,392532,0 132,2,2024-09-07 10:03:00:704,845189,845172,17,0,41938919,0,6451 132,3,2024-09-07 10:03:01:698,1,668,69,0,1298,11697,668,0 133,0,2024-09-07 10:03:01:558,165517,0.4,169406,0.6,347446,0.4,452103,2.00 133,1,2024-09-07 10:03:00:591,1169670,1169670,0,0,549684129597,5790391278,1154318,13419,1933,383,391914,0 133,2,2024-09-07 10:03:01:096,846061,846011,50,0,42861214,0,6861 133,3,2024-09-07 10:03:01:299,1,668,1,0,528,7919,668,0 134,0,2024-09-07 10:03:00:936,171308,0.5,171194,0.7,342434,0.5,456354,2.25 134,1,2024-09-07 10:03:00:583,1170693,1170693,0,0,549470793995,5768227348,1154688,12713,3292,366,391781,0 134,2,2024-09-07 10:03:01:757,841003,840864,139,0,40039506,0,7591 134,3,2024-09-07 10:03:00:759,1,668,1,0,739,8420,668,0 135,0,2024-09-07 10:03:01:157,160887,0.7,160854,0.8,341553,0.8,439777,2.25 135,1,2024-09-07 10:03:01:640,1170942,1170942,0,0,549341868029,5775843885,1156636,12454,1852,380,392038,0 135,2,2024-09-07 10:03:00:690,846523,846523,0,0,40518260,0,4503 135,3,2024-09-07 10:03:01:015,1,668,8,0,900,6517,668,0 136,0,2024-09-07 10:03:01:637,163884,0.6,164432,0.8,326521,0.6,436120,2.00 136,1,2024-09-07 10:03:01:442,1172064,1172064,0,0,550359839401,5767236377,1159420,11221,1423,381,392135,0 136,2,2024-09-07 10:03:01:134,847952,847937,15,0,39977183,0,6007 136,3,2024-09-07 10:03:01:116,1,668,9,0,637,7733,668,0 137,0,2024-09-07 10:03:00:928,173156,0.6,168820,0.8,330727,0.7,449763,2.00 137,1,2024-09-07 10:03:00:582,1170948,1170948,0,0,549969200958,5769177778,1152597,14714,3637,366,391898,0 137,2,2024-09-07 10:03:01:720,843823,843823,0,0,40840256,0,3185 137,3,2024-09-07 10:03:00:789,1,668,8,0,484,9609,668,0 138,0,2024-09-07 10:03:01:751,168879,0.9,169199,0.9,339008,1.2,450790,2.25 138,1,2024-09-07 10:03:01:687,1170844,1170844,0,0,550466826058,5778451700,1153892,14263,2689,368,391954,0 138,2,2024-09-07 10:03:00:604,840694,840694,0,0,39240458,0,4988 138,3,2024-09-07 10:03:00:612,1,668,9,0,1200,9990,668,0 139,0,2024-09-07 10:03:01:383,167358,0.9,167909,0.9,335785,1.2,448078,2.25 139,1,2024-09-07 10:03:00:574,1166658,1166658,0,0,546974083672,5797256051,1147024,15723,3911,380,392109,0 139,2,2024-09-07 10:03:00:696,840602,840572,30,0,44612843,0,5997 139,3,2024-09-07 10:03:01:670,1,668,73,0,432,7974,668,0 140,0,2024-09-07 10:03:01:587,163191,0.3,162424,0.5,326062,0.2,434220,1.75 140,1,2024-09-07 10:03:01:545,1178528,1178528,0,0,552005084986,5695642665,1172358,5433,737,364,391628,0 140,2,2024-09-07 10:03:00:690,846856,846855,1,0,32605420,0,5036 140,3,2024-09-07 10:03:00:785,1,668,13,0,575,6864,668,0 141,0,2024-09-07 10:03:01:715,166353,0.3,170975,0.5,326895,0.3,442363,1.75 141,1,2024-09-07 10:03:00:867,1175577,1175577,0,0,552474505753,5743382100,1165553,8638,1386,379,391614,0 141,2,2024-09-07 10:03:01:686,845436,845425,11,0,35097302,0,5369 141,3,2024-09-07 10:03:01:043,1,668,1,0,391,7897,668,0 142,0,2024-09-07 10:03:01:312,171670,0.3,170877,0.5,342267,0.3,455979,1.75 142,1,2024-09-07 10:03:00:676,1173322,1173322,0,0,551152786453,5750073673,1164840,7620,862,382,392102,0 142,2,2024-09-07 10:03:01:303,843363,843331,32,0,35175355,0,6028 142,3,2024-09-07 10:03:01:747,1,668,6,0,484,6912,668,0 143,0,2024-09-07 10:03:01:447,170561,0.4,170266,0.5,341790,0.4,454397,1.75 143,1,2024-09-07 10:03:00:566,1176446,1176446,0,0,552104626481,5729509020,1168668,6873,905,367,391900,0 143,2,2024-09-07 10:03:00:780,846565,846565,0,0,34845574,0,3123 143,3,2024-09-07 10:03:01:141,1,668,50,0,462,7809,668,0 144,0,2024-09-07 10:03:01:514,159053,0.6,163640,0.7,333009,0.5,436189,2.00 144,1,2024-09-07 10:03:00:574,1170191,1170191,0,0,548872650209,5761327158,1158462,9688,2041,381,391733,0 144,2,2024-09-07 10:03:01:756,846453,846453,0,0,34745096,0,4443 144,3,2024-09-07 10:03:01:742,1,668,1,0,306,7231,668,0 145,0,2024-09-07 10:03:01:430,159630,0.6,159617,0.8,338817,0.6,436218,2.25 145,1,2024-09-07 10:03:00:595,1169225,1169225,0,0,549478193547,5785301334,1153175,13085,2965,382,391781,0 145,2,2024-09-07 10:03:01:433,843594,843512,82,0,40824077,0,7814 145,3,2024-09-07 10:03:00:897,1,668,233,0,622,9038,668,0 146,0,2024-09-07 10:03:01:608,170601,0.7,169710,0.8,341197,0.7,453976,2.25 146,1,2024-09-07 10:03:01:611,1171518,1171518,0,0,549935842266,5785798365,1152508,14087,4923,367,391829,0 146,2,2024-09-07 10:03:01:696,843391,843385,6,0,38983409,0,5151 146,3,2024-09-07 10:03:01:296,1,668,6,0,1520,10849,668,0 147,0,2024-09-07 10:03:01:703,171232,0.6,170946,0.7,341770,0.6,456220,2.25 147,1,2024-09-07 10:03:01:383,1174832,1174832,0,0,551606343647,5733605480,1165200,8553,1079,367,391791,0 147,2,2024-09-07 10:03:01:014,842409,842409,0,0,35048462,0,3359 147,3,2024-09-07 10:03:00:913,1,668,11,0,1626,10185,668,0 0,0,2024-09-07 10:03:11:749,164467,0.6,164370,0.8,348774,0.7,450846,2.00 0,1,2024-09-07 10:03:10:801,1173798,1173798,0,0,550985133025,5769285020,1164145,8691,962,368,391896,0 0,2,2024-09-07 10:03:11:067,847267,847267,0,0,34450171,0,4480 0,3,2024-09-07 10:03:10:974,1,669,1,0,431,9254,669,0 1,0,2024-09-07 10:03:11:760,170098,0.8,169096,0.9,339430,1.0,454220,2.00 1,1,2024-09-07 10:03:10:560,1172539,1172539,0,0,550418857694,5768749945,1161179,9395,1965,370,391859,0 1,2,2024-09-07 10:03:10:638,843782,843782,0,0,33719043,0,3380 1,3,2024-09-07 10:03:11:304,1,669,11,0,269,7976,669,0 2,0,2024-09-07 10:03:11:575,167576,0.6,167292,0.7,334277,0.6,445789,2.00 2,1,2024-09-07 10:03:10:859,1176631,1176631,0,0,553156202750,5752278521,1169790,5880,961,379,391805,0 2,2,2024-09-07 10:03:11:268,848173,848173,0,0,32320733,0,3594 2,3,2024-09-07 10:03:10:695,1,669,1,0,357,5800,669,0 3,0,2024-09-07 10:03:11:754,162927,0.4,162919,0.6,325520,0.4,433763,2.00 3,1,2024-09-07 10:03:11:618,1174891,1174891,0,0,551502122568,5737552911,1166427,7746,718,379,391716,0 3,2,2024-09-07 10:03:11:142,848913,848890,23,0,33717980,0,5851 3,3,2024-09-07 10:03:11:762,1,669,8,0,484,5129,669,0 4,0,2024-09-07 10:03:11:796,162317,0.4,166755,0.5,340036,0.4,445073,1.75 4,1,2024-09-07 10:03:10:597,1169385,1169385,0,0,549586749723,5816248972,1149524,15260,4601,369,391992,0 4,2,2024-09-07 10:03:11:018,843827,843827,0,0,41115759,0,4534 4,3,2024-09-07 10:03:11:029,1,669,5,0,448,8977,669,0 5,0,2024-09-07 10:03:11:398,170144,0.4,169964,0.6,340283,0.4,453033,1.75 5,1,2024-09-07 10:03:10:755,1171033,1171033,0,0,549447157127,5798872236,1153471,13327,4235,367,392005,0 5,2,2024-09-07 10:03:11:831,840072,840039,33,0,41228561,0,7631 5,3,2024-09-07 10:03:11:732,1,669,1,0,457,8936,669,0 6,0,2024-09-07 10:03:10:921,169863,0.5,169471,0.6,339054,0.4,451437,2.00 6,1,2024-09-07 10:03:10:745,1173463,1173463,0,0,551226511958,5756933758,1161326,10452,1685,379,391702,0 6,2,2024-09-07 10:03:11:120,847310,847292,18,0,38304352,0,5535 6,3,2024-09-07 10:03:11:277,1,669,1,0,710,7917,669,0 7,0,2024-09-07 10:03:11:630,162814,0.5,163902,0.7,325503,0.5,433743,2.00 7,1,2024-09-07 10:03:10:853,1171350,1171350,0,0,550603878115,5794948626,1153671,13542,4137,382,391747,0 7,2,2024-09-07 10:03:10:770,845834,845665,169,0,38997211,0,7706 7,3,2024-09-07 10:03:10:855,1,669,8,0,552,7869,669,0 8,0,2024-09-07 10:03:11:323,166281,0.4,165808,0.5,331998,0.3,442886,1.75 8,1,2024-09-07 10:03:11:018,1170249,1170249,0,0,550467967221,5789651169,1151663,14542,4044,366,392853,0 8,2,2024-09-07 10:03:10:796,840248,840246,2,0,43928885,0,5112 8,3,2024-09-07 10:03:10:589,1,669,2,0,772,10177,669,0 9,0,2024-09-07 10:03:11:399,171532,0.4,166558,0.5,348689,0.4,457280,1.75 9,1,2024-09-07 10:03:10:568,1169991,1169991,0,0,550108017709,5803870426,1150346,15297,4348,369,392001,0 9,2,2024-09-07 10:03:11:118,843884,843883,1,0,42125842,0,5281 9,3,2024-09-07 10:03:11:759,1,669,16,0,1273,10979,669,0 10,0,2024-09-07 10:03:11:603,170995,0.4,170434,0.5,341837,0.3,455016,1.75 10,1,2024-09-07 10:03:10:583,1171930,1171930,0,0,549404789328,5768635536,1153962,14234,3734,381,391981,0 10,2,2024-09-07 10:03:10:760,845206,845206,0,0,45088137,0,4713 10,3,2024-09-07 10:03:10:872,1,669,3,0,669,7290,669,0 11,0,2024-09-07 10:03:11:015,164134,0.4,159365,0.6,333592,0.4,439164,1.75 11,1,2024-09-07 10:03:10:578,1174459,1174459,0,0,550493876287,5774786165,1157586,12563,4310,383,391766,0 11,2,2024-09-07 10:03:11:123,845591,845591,0,0,38830474,0,4698 11,3,2024-09-07 10:03:11:298,1,669,9,0,843,8300,669,0 12,0,2024-09-07 10:03:10:952,166578,0.4,166354,0.5,332142,0.4,442042,1.75 12,1,2024-09-07 10:03:10:934,1173620,1173620,0,0,551238222712,5752754480,1163814,8780,1026,370,391960,0 12,2,2024-09-07 10:03:11:549,844956,844956,0,0,38534509,0,4390 12,3,2024-09-07 10:03:11:059,1,669,1,0,386,8371,669,0 13,0,2024-09-07 10:03:11:344,170965,0.4,171211,0.6,341544,0.4,455114,1.75 13,1,2024-09-07 10:03:11:532,1171019,1171019,0,0,550198340229,5788928108,1158047,10108,2864,382,391803,0 13,2,2024-09-07 10:03:10:598,848312,848312,0,0,34827323,0,3287 13,3,2024-09-07 10:03:11:775,1,669,1,0,522,8914,669,0 14,0,2024-09-07 10:03:10:567,170941,0.4,171930,0.6,342057,0.4,455430,1.75 14,1,2024-09-07 10:03:11:577,1179341,1179341,0,0,553240819108,5724282371,1170294,7913,1134,364,391673,0 14,2,2024-09-07 10:03:10:768,843706,843676,30,0,35496571,0,6104 14,3,2024-09-07 10:03:11:120,1,669,1,0,1168,7018,669,0 15,0,2024-09-07 10:03:11:558,166220,0.4,165451,0.6,331065,0.3,441613,1.75 15,1,2024-09-07 10:03:11:613,1175518,1175518,0,0,552270315188,5755744639,1164964,8461,2093,381,391619,0 15,2,2024-09-07 10:03:10:998,848357,848357,0,0,32082605,0,3622 15,3,2024-09-07 10:03:11:416,1,669,7,0,1126,8505,669,0 16,0,2024-09-07 10:03:10:954,163624,0.6,164443,0.8,327914,0.6,436801,2.00 16,1,2024-09-07 10:03:10:569,1175206,1175206,0,0,551292166963,5764123770,1164584,9080,1542,370,392194,0 16,2,2024-09-07 10:03:11:451,846961,846961,0,0,35363542,0,4719 16,3,2024-09-07 10:03:11:142,1,669,1,0,362,8396,669,0 17,0,2024-09-07 10:03:11:772,173493,0.7,169264,0.8,331487,0.7,450934,2.00 17,1,2024-09-07 10:03:10:581,1173569,1173569,0,0,551114597822,5777832824,1162557,9218,1794,368,392075,0 17,2,2024-09-07 10:03:11:666,849256,849255,1,0,36543353,0,5050 17,3,2024-09-07 10:03:10:587,1,669,10,0,518,9712,669,0 18,0,2024-09-07 10:03:10:955,168183,0.7,169359,0.8,337048,0.7,450147,2.25 18,1,2024-09-07 10:03:11:638,1177902,1177902,0,0,552479270916,5732202736,1169796,6676,1430,367,391725,0 18,2,2024-09-07 10:03:11:759,845149,845149,0,0,32891038,0,3541 18,3,2024-09-07 10:03:10:896,1,669,2,0,1059,5986,669,0 19,0,2024-09-07 10:03:11:551,168029,0.6,168599,0.8,335988,0.7,446310,2.00 19,1,2024-09-07 10:03:10:568,1176978,1176978,0,0,552820169993,5730183254,1168136,7682,1160,365,391777,0 19,2,2024-09-07 10:03:11:757,850475,850475,0,0,30594968,0,3988 19,3,2024-09-07 10:03:11:129,1,669,3,0,524,5387,669,0 20,0,2024-09-07 10:03:11:355,162746,0.5,162629,0.7,325175,0.5,433561,2.00 20,1,2024-09-07 10:03:10:589,1172838,1172838,0,0,550785280433,5774776077,1160596,10538,1704,369,391922,0 20,2,2024-09-07 10:03:10:931,846865,846865,0,0,38848701,0,4321 20,3,2024-09-07 10:03:10:605,1,669,16,0,468,11454,669,0 21,0,2024-09-07 10:03:11:140,166308,0.5,166425,0.7,332728,0.5,442284,2.00 21,1,2024-09-07 10:03:11:548,1170972,1170972,0,0,548664055172,5793242124,1152316,14668,3988,368,392016,0 21,2,2024-09-07 10:03:11:067,839651,839174,477,0,50006603,0,17074 21,3,2024-09-07 10:03:11:416,1,669,9,0,713,8971,669,0 22,0,2024-09-07 10:03:11:716,170657,0.5,171323,0.7,342198,0.4,453850,2.25 22,1,2024-09-07 10:03:11:023,1171630,1171630,0,0,550223431025,5790147896,1152624,15403,3603,381,391822,0 22,2,2024-09-07 10:03:10:759,843449,843423,26,0,37385861,0,6328 22,3,2024-09-07 10:03:11:066,1,669,4,0,228,5594,669,0 23,0,2024-09-07 10:03:11:392,170203,0.5,170054,0.7,339973,0.4,453091,2.00 23,1,2024-09-07 10:03:11:003,1173229,1173229,0,0,551018429763,5803260347,1152150,14256,6823,365,391690,0 23,2,2024-09-07 10:03:11:097,847385,847385,0,0,35325898,0,3773 23,3,2024-09-07 10:03:11:753,1,669,8,0,855,9588,669,0 24,0,2024-09-07 10:03:10:866,165292,0.4,164298,0.6,330289,0.3,438810,1.75 24,1,2024-09-07 10:03:10:582,1172260,1172260,0,0,550127537264,5758863531,1161163,9232,1865,367,392269,0 24,2,2024-09-07 10:03:11:069,846353,846350,3,0,41674057,0,6294 24,3,2024-09-07 10:03:11:709,1,669,4,0,468,8842,669,0 25,0,2024-09-07 10:03:11:343,169877,0.4,165084,0.6,324959,0.4,442658,2.00 25,1,2024-09-07 10:03:10:558,1170630,1170630,0,0,549481026515,5806126150,1150135,16510,3985,369,391928,0 25,2,2024-09-07 10:03:11:608,843780,843780,0,0,43032391,0,3978 25,3,2024-09-07 10:03:11:010,1,669,0,0,532,7394,669,0 26,0,2024-09-07 10:03:11:731,170392,0.5,166600,0.6,349480,0.4,456076,2.00 26,1,2024-09-07 10:03:11:546,1174053,1174053,0,0,550910402465,5769029257,1158353,12797,2903,380,391758,0 26,2,2024-09-07 10:03:10:860,845598,845598,0,0,44890339,0,4689 26,3,2024-09-07 10:03:11:712,1,669,65,0,796,8272,669,0 27,0,2024-09-07 10:03:11:730,171292,0.4,172078,0.6,341974,0.4,456508,2.25 27,1,2024-09-07 10:03:11:677,1175484,1175484,0,0,552619502636,5759695054,1164208,9655,1621,381,391626,0 27,2,2024-09-07 10:03:10:869,840747,840682,65,0,39476578,0,5699 27,3,2024-09-07 10:03:11:015,1,669,1,0,564,5818,669,0 28,0,2024-09-07 10:03:11:390,165245,0.4,165546,0.6,331066,0.3,440603,1.75 28,1,2024-09-07 10:03:10:800,1176395,1176395,0,0,552632820604,5765421447,1165712,8429,2254,382,391904,0 28,2,2024-09-07 10:03:11:764,846772,846772,0,0,35344144,0,3674 28,3,2024-09-07 10:03:11:776,1,669,1,0,502,6991,669,0 29,0,2024-09-07 10:03:11:356,168977,0.4,164551,0.6,322745,0.3,440390,1.75 29,1,2024-09-07 10:03:11:570,1178967,1178967,0,0,553718762443,5729715460,1171387,6560,1020,367,391809,0 29,2,2024-09-07 10:03:10:860,847244,847244,0,0,33936629,0,4986 29,3,2024-09-07 10:03:10:963,1,669,2,0,459,6974,669,0 30,0,2024-09-07 10:03:11:484,168382,0.5,163996,0.7,343580,0.5,449236,2.00 30,1,2024-09-07 10:03:10:577,1177859,1177859,0,0,553235300401,5744261420,1168523,8209,1127,380,391672,0 30,2,2024-09-07 10:03:11:272,847807,847807,0,0,32860700,0,4192 30,3,2024-09-07 10:03:10:581,1,669,271,0,519,7132,669,0 31,0,2024-09-07 10:03:11:764,169914,0.5,170628,0.7,340327,0.4,454345,2.00 31,1,2024-09-07 10:03:10:567,1182087,1182087,0,0,554035821205,5690684113,1174757,6141,1189,356,391712,0 31,2,2024-09-07 10:03:11:275,842409,842409,0,0,36263896,0,4470 31,3,2024-09-07 10:03:11:706,1,669,9,0,239,5773,669,0 32,0,2024-09-07 10:03:11:431,167715,0.3,168840,0.5,336069,0.2,447198,1.75 32,1,2024-09-07 10:03:10:809,1177500,1177500,0,0,552764012429,5747139507,1170076,6461,963,381,391646,0 32,2,2024-09-07 10:03:10:935,849623,849623,0,0,31846136,0,3922 32,3,2024-09-07 10:03:11:015,1,669,1,0,304,5731,669,0 33,0,2024-09-07 10:03:11:494,163670,0.3,163250,0.4,326558,0.2,435037,1.50 33,1,2024-09-07 10:03:10:576,1179010,1179010,0,0,553344190852,5731589736,1169669,7990,1351,368,391730,0 33,2,2024-09-07 10:03:10:757,848379,848344,35,0,35291238,0,7012 33,3,2024-09-07 10:03:10:895,1,669,1,0,329,6137,669,0 34,0,2024-09-07 10:03:10:929,167565,0.3,172141,0.5,329255,0.2,445051,1.75 34,1,2024-09-07 10:03:11:048,1180985,1180985,0,0,554817172334,5710001387,1177025,3751,209,367,391637,0 34,2,2024-09-07 10:03:10:767,847376,847376,0,0,33242947,0,4562 34,3,2024-09-07 10:03:11:700,1,669,1,0,541,5866,669,0 35,0,2024-09-07 10:03:10:857,169515,0.3,170074,0.5,341295,0.3,453976,1.75 35,1,2024-09-07 10:03:11:067,1176809,1176809,0,0,552491275637,5733889424,1167926,7331,1552,382,391769,0 35,2,2024-09-07 10:03:11:596,844089,844089,0,0,38129531,0,4055 35,3,2024-09-07 10:03:10:913,1,669,8,0,466,6464,669,0 36,0,2024-09-07 10:03:11:514,170392,0.5,170530,0.6,340708,0.4,453254,2.00 36,1,2024-09-07 10:03:10:587,1174997,1174997,0,0,551621307825,5761294620,1160247,12396,2354,366,391759,0 36,2,2024-09-07 10:03:11:750,847671,847671,0,0,38558663,0,3875 36,3,2024-09-07 10:03:10:864,1,669,0,0,556,8725,669,0 37,0,2024-09-07 10:03:11:373,162764,0.5,162829,0.7,325874,0.5,434336,2.25 37,1,2024-09-07 10:03:10:584,1174598,1174591,0,7,551033858801,5757054503,1160416,11062,3113,365,391770,0 37,2,2024-09-07 10:03:11:143,845175,845160,15,0,38268490,0,5815 37,3,2024-09-07 10:03:11:770,1,669,1,0,888,9151,669,0 38,0,2024-09-07 10:03:11:438,165104,0.5,160021,0.7,334526,0.4,438944,2.00 38,1,2024-09-07 10:03:11:611,1174558,1174558,0,0,552264466310,5775417914,1157743,13511,3304,368,391821,0 38,2,2024-09-07 10:03:10:766,845627,845580,47,0,38606793,0,6710 38,3,2024-09-07 10:03:10:997,1,669,1,0,689,7839,669,0 39,0,2024-09-07 10:03:11:758,174760,0.6,170719,0.7,333381,0.5,454545,2.00 39,1,2024-09-07 10:03:10:733,1173892,1173892,0,0,549985563472,5768587812,1154397,15262,4233,365,391865,0 39,2,2024-09-07 10:03:11:419,845118,845118,0,0,36920552,0,3391 39,3,2024-09-07 10:03:10:725,1,669,7,0,525,7538,669,0 40,0,2024-09-07 10:03:11:518,169449,0.8,170192,0.9,339705,0.9,453622,2.75 40,1,2024-09-07 10:03:10:587,1173984,1173984,0,0,550708984261,5773026810,1155829,14619,3536,366,391668,0 40,2,2024-09-07 10:03:11:305,842806,842799,7,0,42716044,0,5347 40,3,2024-09-07 10:03:11:141,1,669,1,0,1028,9715,669,0 41,0,2024-09-07 10:03:11:021,163530,1.2,167413,1.1,319188,1.6,433709,3.00 41,1,2024-09-07 10:03:10:768,1173364,1173364,0,0,551394187891,5769530610,1157722,13117,2525,369,391878,0 41,2,2024-09-07 10:03:10:759,843005,843004,1,0,41278822,0,5408 41,3,2024-09-07 10:03:11:688,1,669,1,0,366,7377,669,0 42,0,2024-09-07 10:03:11:477,164091,1.0,163910,1.0,328078,1.1,435414,2.75 42,1,2024-09-07 10:03:11:452,1171565,1171565,0,0,550066680831,5777236917,1153988,14493,3084,380,391675,0 42,2,2024-09-07 10:03:11:132,843497,843496,1,0,42883846,0,5513 42,3,2024-09-07 10:03:11:009,1,669,1,0,892,6883,669,0 43,0,2024-09-07 10:03:10:927,168417,0.8,163978,0.9,343526,0.8,449445,2.25 43,1,2024-09-07 10:03:10:580,1174392,1174392,0,0,552546322966,5773311287,1158460,13185,2747,366,391696,0 43,2,2024-09-07 10:03:11:743,847326,847326,0,0,39443002,0,4723 43,3,2024-09-07 10:03:11:752,1,669,8,0,571,9219,669,0 44,0,2024-09-07 10:03:10:868,171197,0.4,171476,0.6,342106,0.4,456516,1.75 44,1,2024-09-07 10:03:10:568,1177703,1177703,0,0,552663490425,5714510944,1167224,8405,2074,356,391809,0 44,2,2024-09-07 10:03:11:267,842077,842077,0,0,32149302,0,4344 44,3,2024-09-07 10:03:11:108,1,669,4,0,1097,8380,669,0 45,0,2024-09-07 10:03:11:767,164410,0.5,160620,0.7,337116,0.4,441586,2.00 45,1,2024-09-07 10:03:11:010,1176874,1176874,0,0,552823454737,5746709207,1167556,8097,1221,382,391917,0 45,2,2024-09-07 10:03:11:268,847365,847365,0,0,32572217,0,3596 45,3,2024-09-07 10:03:10:947,1,669,4,0,531,6292,669,0 46,0,2024-09-07 10:03:10:951,162912,0.5,162416,0.7,325862,0.5,433540,2.00 46,1,2024-09-07 10:03:10:578,1179052,1179052,0,0,553193865512,5721131359,1170692,7289,1071,366,391709,0 46,2,2024-09-07 10:03:10:593,848922,848922,0,0,33812913,0,4443 46,3,2024-09-07 10:03:11:131,1,669,1,0,908,7558,669,0 47,0,2024-09-07 10:03:11:104,169054,0.4,168685,0.6,338570,0.4,449283,2.00 47,1,2024-09-07 10:03:10:570,1180241,1180241,0,0,553113498258,5717385198,1172876,6352,1013,364,391666,0 47,2,2024-09-07 10:03:10:914,849806,849806,0,0,33892768,0,4477 47,3,2024-09-07 10:03:11:119,1,669,0,0,600,7250,669,0 48,0,2024-09-07 10:03:11:495,170308,0.3,170874,0.4,340445,0.2,453096,1.50 48,1,2024-09-07 10:03:11:023,1177584,1177584,0,0,552674170586,5743318854,1170008,6564,1012,381,391710,0 48,2,2024-09-07 10:03:10:698,843777,843777,0,0,31146548,0,3619 48,3,2024-09-07 10:03:10:755,1,669,5,0,339,5895,669,0 49,0,2024-09-07 10:03:11:729,173588,0.3,169997,0.5,330766,0.3,450854,1.75 49,1,2024-09-07 10:03:11:022,1176550,1176550,0,0,552657074505,5748469691,1168696,6157,1697,382,391809,0 49,2,2024-09-07 10:03:11:797,849138,849138,0,0,32638988,0,4426 49,3,2024-09-07 10:03:11:416,1,669,1,0,992,7926,669,0 50,0,2024-09-07 10:03:11:517,163271,0.3,161938,0.5,326101,0.2,433307,1.75 50,1,2024-09-07 10:03:11:019,1179532,1179532,0,0,553467789588,5722659916,1172070,6731,731,368,391691,0 50,2,2024-09-07 10:03:11:074,846355,846355,0,0,30557929,0,4490 50,3,2024-09-07 10:03:11:294,1,669,28,0,617,6711,669,0 51,0,2024-09-07 10:03:11:698,170922,0.3,167211,0.5,325697,0.2,444544,1.75 51,1,2024-09-07 10:03:11:687,1179840,1179840,0,0,555503396807,5729031866,1173169,5329,1342,365,391706,0 51,2,2024-09-07 10:03:11:316,846519,846519,0,0,30086977,0,3337 51,3,2024-09-07 10:03:11:029,1,669,7,0,678,5364,669,0 52,0,2024-09-07 10:03:11:423,170766,0.5,170801,0.7,341207,0.4,453656,2.00 52,1,2024-09-07 10:03:10:575,1174505,1174505,0,0,551318317591,5771457165,1157786,14292,2427,368,391805,0 52,2,2024-09-07 10:03:11:754,841147,841109,38,0,41654848,0,6742 52,3,2024-09-07 10:03:10:674,1,669,5,0,1782,7865,669,0 53,0,2024-09-07 10:03:11:729,169941,0.6,164997,0.8,345076,0.7,451643,2.25 53,1,2024-09-07 10:03:10:771,1172825,1172825,0,0,551624648070,5784464968,1153796,14617,4412,367,391968,0 53,2,2024-09-07 10:03:11:298,846724,846586,138,0,37850419,0,7690 53,3,2024-09-07 10:03:10:697,1,669,30,0,308,6146,669,0 54,0,2024-09-07 10:03:11:617,162429,0.6,162796,0.7,324348,0.5,432976,2.25 54,1,2024-09-07 10:03:10:580,1175804,1175804,0,0,553170771837,5752574832,1164032,10098,1674,366,391810,0 54,2,2024-09-07 10:03:10:865,846499,846467,32,0,40899304,0,6397 54,3,2024-09-07 10:03:10:767,1,669,1,0,676,8445,669,0 55,0,2024-09-07 10:03:11:758,159497,0.7,164470,0.8,333706,0.7,435052,2.50 55,1,2024-09-07 10:03:10:765,1176675,1176675,0,0,551745131292,5739160766,1164657,10532,1486,365,391731,0 55,2,2024-09-07 10:03:10:737,844770,844714,56,0,37852747,0,7239 55,3,2024-09-07 10:03:10:674,1,669,8,0,304,6201,669,0 56,0,2024-09-07 10:03:11:558,174154,1.2,164144,1.2,338161,1.6,453216,2.75 56,1,2024-09-07 10:03:10:569,1169409,1169409,0,0,549525245473,5811179081,1150062,15595,3752,381,391867,0 56,2,2024-09-07 10:03:11:304,844786,844664,122,0,40233072,0,7432 56,3,2024-09-07 10:03:11:063,1,669,9,0,705,8095,669,0 57,0,2024-09-07 10:03:10:955,169392,1.4,169339,1.2,338530,1.9,452670,3.00 57,1,2024-09-07 10:03:10:990,1172415,1172415,0,0,549789360416,5769385563,1156871,12854,2690,366,392032,0 57,2,2024-09-07 10:03:11:316,843345,843345,0,0,42617736,0,4804 57,3,2024-09-07 10:03:11:738,1,669,1,0,455,7329,669,0 58,0,2024-09-07 10:03:10:564,162809,0.9,158135,1.0,330962,1.1,432920,2.50 58,1,2024-09-07 10:03:10:574,1173320,1173317,0,3,551547596058,5785315262,1155015,13901,4401,367,391726,3 58,2,2024-09-07 10:03:11:071,846900,846900,0,0,39027393,0,3483 58,3,2024-09-07 10:03:11:069,1,669,0,0,1043,7321,669,0 59,0,2024-09-07 10:03:11:743,162973,0.8,162347,1.0,324689,0.9,431171,2.75 59,1,2024-09-07 10:03:10:810,1172953,1172953,0,0,550546477694,5784947205,1153731,15385,3837,369,391653,0 59,2,2024-09-07 10:03:10:589,846890,846890,0,0,37377822,0,3727 59,3,2024-09-07 10:03:11:746,1,669,12,0,1015,7952,669,0 60,0,2024-09-07 10:03:11:711,169233,0.5,169295,0.7,338680,0.5,451591,1.75 60,1,2024-09-07 10:03:10:774,1176828,1176828,0,0,552944311179,5756659334,1166543,8878,1407,370,392031,0 60,2,2024-09-07 10:03:11:142,847771,847771,0,0,35595901,0,3811 60,3,2024-09-07 10:03:11:257,1,669,0,0,409,7643,669,0 61,0,2024-09-07 10:03:11:499,169997,0.6,170775,0.8,340006,0.6,453912,2.00 61,1,2024-09-07 10:03:10:771,1174210,1174210,0,0,550958771135,5768654464,1161935,10498,1777,382,392127,0 61,2,2024-09-07 10:03:11:121,843373,843306,67,0,36164973,0,6411 61,3,2024-09-07 10:03:11:695,1,669,3,0,607,8405,669,0 62,0,2024-09-07 10:03:11:718,168248,0.6,172614,0.7,329422,0.5,447160,2.00 62,1,2024-09-07 10:03:11:111,1181392,1181386,0,6,553621191125,5712851678,1174845,6067,474,365,391975,6 62,2,2024-09-07 10:03:11:645,845335,845334,1,0,35901852,0,5555 62,3,2024-09-07 10:03:11:143,1,669,0,0,482,5335,669,0 63,0,2024-09-07 10:03:11:455,163649,0.4,163315,0.6,327436,0.3,435187,1.75 63,1,2024-09-07 10:03:10:808,1178082,1178076,0,6,553506476009,5748562759,1170483,6665,928,381,391800,6 63,2,2024-09-07 10:03:10:761,847114,847114,0,0,35156839,0,4369 63,3,2024-09-07 10:03:11:733,1,669,29,0,667,7268,669,0 64,0,2024-09-07 10:03:11:514,166550,0.5,166407,0.7,332460,0.5,443557,2.00 64,1,2024-09-07 10:03:10:754,1176233,1176233,0,0,552967374239,5758096062,1166045,8162,2026,370,391794,0 64,2,2024-09-07 10:03:11:155,849719,849700,19,0,34097830,0,6121 64,3,2024-09-07 10:03:11:154,1,669,22,0,651,7616,669,0 65,0,2024-09-07 10:03:11:692,168739,0.6,169075,0.7,337603,0.6,450216,2.00 65,1,2024-09-07 10:03:10:880,1174523,1174523,0,0,550981560074,5758573757,1166364,7261,898,381,391901,0 65,2,2024-09-07 10:03:11:696,842411,842411,0,0,40095891,0,3367 65,3,2024-09-07 10:03:11:683,1,669,3,0,782,7972,669,0 66,0,2024-09-07 10:03:11:769,169597,0.5,168977,0.7,338229,0.5,449863,2.00 66,1,2024-09-07 10:03:11:296,1176645,1176645,0,0,552204495368,5746901007,1169284,6489,872,380,391743,0 66,2,2024-09-07 10:03:11:134,850223,850220,3,0,35422551,0,5455 66,3,2024-09-07 10:03:11:079,1,669,1,0,291,6031,669,0 67,0,2024-09-07 10:03:11:421,163502,0.5,163116,0.7,326901,0.5,434911,2.00 67,1,2024-09-07 10:03:10:768,1176675,1176674,0,1,551657348056,5745506770,1168247,7287,1140,380,391787,1 67,2,2024-09-07 10:03:10:583,850058,850043,15,0,35734270,0,6205 67,3,2024-09-07 10:03:11:751,1,669,8,0,595,7189,669,0 68,0,2024-09-07 10:03:10:571,165881,0.6,165644,0.8,330091,0.6,441911,2.25 68,1,2024-09-07 10:03:10:570,1171890,1171890,0,0,549367547673,5783299929,1155185,12434,4271,381,391953,0 68,2,2024-09-07 10:03:11:046,841213,841113,100,0,43957169,0,8578 68,3,2024-09-07 10:03:10:729,1,669,53,0,417,9381,669,0 69,0,2024-09-07 10:03:11:735,170659,0.7,171168,0.8,341562,0.8,454553,2.25 69,1,2024-09-07 10:03:11:027,1171335,1171335,0,0,549825838768,5793463767,1156569,11785,2981,383,391994,0 69,2,2024-09-07 10:03:11:733,842569,842540,29,0,47242080,0,6912 69,3,2024-09-07 10:03:10:761,1,669,2,0,698,10284,669,0 70,0,2024-09-07 10:03:11:544,169148,0.7,169960,0.9,340702,0.7,452051,2.50 70,1,2024-09-07 10:03:10:801,1177552,1177552,0,0,553513429965,5746904028,1168111,8396,1045,366,391725,0 70,2,2024-09-07 10:03:11:341,845657,845657,0,0,38732891,0,4323 70,3,2024-09-07 10:03:10:744,1,669,1,0,854,7489,669,0 71,0,2024-09-07 10:03:11:355,163340,0.9,162906,1.0,327051,1.0,435973,2.50 71,1,2024-09-07 10:03:11:596,1175630,1175630,0,0,551678790582,5758232398,1161282,12563,1785,367,391738,0 71,2,2024-09-07 10:03:11:066,845355,845355,0,0,39109204,0,4352 71,3,2024-09-07 10:03:11:753,1,669,11,0,644,7497,669,0 72,0,2024-09-07 10:03:11:034,170588,0.6,166584,0.7,325526,0.5,442675,2.00 72,1,2024-09-07 10:03:11:030,1173917,1173917,0,0,550982950153,5778029078,1157180,14006,2731,369,391819,0 72,2,2024-09-07 10:03:11:760,844639,844639,0,0,40800671,0,3983 72,3,2024-09-07 10:03:11:762,1,669,11,0,564,9432,669,0 73,0,2024-09-07 10:03:11:132,165766,0.4,169969,0.6,347311,0.4,452616,2.00 73,1,2024-09-07 10:03:10:785,1175674,1175674,0,0,552370816547,5742975633,1166372,8242,1060,367,391858,0 73,2,2024-09-07 10:03:11:742,845948,845947,1,0,43088222,0,5027 73,3,2024-09-07 10:03:10:969,1,669,1,0,1091,9102,669,0 74,0,2024-09-07 10:03:11:322,172045,0.5,176104,0.7,336258,0.4,456774,2.00 74,1,2024-09-07 10:03:10:637,1174285,1174285,0,0,550668386278,5746340847,1162115,10030,2140,381,391762,0 74,2,2024-09-07 10:03:11:002,842196,842196,0,0,36917909,0,4253 74,3,2024-09-07 10:03:11:442,1,669,11,0,522,7860,669,0 75,0,2024-09-07 10:03:11:780,166342,0.5,165393,0.7,331925,0.5,442965,2.25 75,1,2024-09-07 10:03:11:596,1175712,1175712,0,0,551586204218,5749227209,1165848,8872,992,380,391739,0 75,2,2024-09-07 10:03:11:349,845350,845350,0,0,44030154,0,4766 75,3,2024-09-07 10:03:11:067,1,669,118,0,918,9380,669,0 76,0,2024-09-07 10:03:10:595,163327,0.5,162689,0.7,325156,0.5,435170,2.25 76,1,2024-09-07 10:03:10:804,1175451,1175451,0,0,552001443015,5758552741,1166934,7212,1305,382,391790,0 76,2,2024-09-07 10:03:11:061,850203,850200,3,0,36737865,0,5265 76,3,2024-09-07 10:03:11:143,1,669,1,0,249,6255,669,0 77,0,2024-09-07 10:03:11:695,167892,0.6,168461,0.7,337033,0.5,448295,2.00 77,1,2024-09-07 10:03:10:827,1174856,1174856,0,0,550984408268,5753698342,1165034,8739,1083,381,391869,0 77,2,2024-09-07 10:03:11:281,846124,846124,0,0,35722560,0,3890 77,3,2024-09-07 10:03:11:101,1,669,8,0,401,7735,669,0 78,0,2024-09-07 10:03:11:724,170639,0.4,169914,0.6,341033,0.4,451076,2.00 78,1,2024-09-07 10:03:10:619,1174638,1174638,0,0,551400356922,5755622665,1159694,11708,3236,367,391670,0 78,2,2024-09-07 10:03:11:406,843982,843969,13,0,33198312,0,8313 78,3,2024-09-07 10:03:11:135,1,669,14,0,311,5658,669,0 79,0,2024-09-07 10:03:11:349,163052,0.4,167095,0.6,342220,0.3,445365,2.00 79,1,2024-09-07 10:03:10:576,1178833,1178833,0,0,553217890715,5732412477,1169029,8004,1800,367,391682,0 79,2,2024-09-07 10:03:11:068,848407,848407,0,0,32176676,0,4195 79,3,2024-09-07 10:03:10:753,1,669,8,0,418,7564,669,0 80,0,2024-09-07 10:03:11:129,162949,0.5,167343,0.7,319596,0.5,432472,2.00 80,1,2024-09-07 10:03:11:637,1175104,1175104,0,0,551896308263,5747201503,1167366,7190,548,368,392269,0 80,2,2024-09-07 10:03:11:101,850032,850032,0,0,33089721,0,4433 80,3,2024-09-07 10:03:10:582,1,669,5,0,681,8121,669,0 81,0,2024-09-07 10:03:11:604,166293,0.6,170230,0.7,324810,0.6,441251,2.00 81,1,2024-09-07 10:03:11:678,1174334,1174334,0,0,550712442991,5755812147,1164894,8467,973,382,392001,0 81,2,2024-09-07 10:03:11:133,845516,845453,63,0,36473090,0,5932 81,3,2024-09-07 10:03:11:121,1,669,4,0,719,7320,669,0 82,0,2024-09-07 10:03:11:533,170808,0.5,170958,0.7,342354,0.5,454145,2.00 82,1,2024-09-07 10:03:10:602,1176318,1176314,0,4,552846520968,5751455888,1169466,5725,1123,381,391768,4 82,2,2024-09-07 10:03:11:696,847244,847244,0,0,30700147,0,4484 82,3,2024-09-07 10:03:11:752,1,669,1,0,363,6086,669,0 83,0,2024-09-07 10:03:11:535,170567,0.6,170487,0.7,340720,0.5,452330,2.25 83,1,2024-09-07 10:03:10:553,1175138,1175138,0,0,551630924759,5752640717,1166270,7843,1025,382,391709,0 83,2,2024-09-07 10:03:10:765,847456,847431,25,0,33208536,0,5612 83,3,2024-09-07 10:03:10:753,1,669,6,0,1260,7876,669,0 84,0,2024-09-07 10:03:11:896,162757,0.7,162792,0.9,325783,0.7,434909,2.50 84,1,2024-09-07 10:03:11:041,1173596,1173596,0,0,551065560202,5766346321,1159930,11583,2083,367,391967,0 84,2,2024-09-07 10:03:10:578,844523,844113,410,0,48116273,0,17037 84,3,2024-09-07 10:03:11:144,1,669,1,0,908,9508,669,0 85,0,2024-09-07 10:03:11:709,158801,0.7,158812,0.8,337139,0.7,435369,2.25 85,1,2024-09-07 10:03:10:560,1169896,1169896,0,0,549650159226,5801842399,1152273,14694,2929,381,392092,0 85,2,2024-09-07 10:03:11:094,845087,845087,0,0,41022433,0,4255 85,3,2024-09-07 10:03:10:688,1,669,1,0,789,7715,669,0 86,0,2024-09-07 10:03:10:879,170401,0.7,175275,0.8,335611,0.8,454058,2.25 86,1,2024-09-07 10:03:10:826,1172241,1172241,0,0,551036519786,5787800223,1154909,14030,3302,366,392169,0 86,2,2024-09-07 10:03:10:858,845231,845230,1,0,43248908,0,5004 86,3,2024-09-07 10:03:10:593,1,669,2,0,441,9444,669,0 87,0,2024-09-07 10:03:11:295,171770,0.8,170527,0.8,341574,0.9,456547,2.25 87,1,2024-09-07 10:03:10:560,1172803,1172803,0,0,550814379458,5771872039,1157924,12717,2162,366,392076,0 87,2,2024-09-07 10:03:11:067,842696,842690,6,0,40164883,0,6323 87,3,2024-09-07 10:03:11:796,1,669,8,0,473,9322,669,0 88,0,2024-09-07 10:03:11:483,165082,0.4,165614,0.6,331040,0.4,440444,1.75 88,1,2024-09-07 10:03:10:569,1171652,1171652,0,0,549891007218,5764402886,1154914,13603,3135,365,392084,0 88,2,2024-09-07 10:03:10:688,845958,845958,0,0,42196472,0,4465 88,3,2024-09-07 10:03:11:267,1,669,1,0,1080,10527,669,0 89,0,2024-09-07 10:03:11:863,168897,0.4,163983,0.6,323357,0.4,439758,1.75 89,1,2024-09-07 10:03:10:564,1171278,1171278,0,0,550860626784,5793298508,1156796,12208,2274,382,391866,0 89,2,2024-09-07 10:03:11:132,846436,846436,0,0,39779996,0,3173 89,3,2024-09-07 10:03:11:793,1,669,60,0,729,11547,669,0 90,0,2024-09-07 10:03:11:647,164152,0.5,168503,0.6,344170,0.4,449244,2.00 90,1,2024-09-07 10:03:10:590,1172746,1172746,0,0,550142840076,5763780705,1160999,10592,1155,380,391825,0 90,2,2024-09-07 10:03:11:416,842867,842862,5,0,43014043,0,6370 90,3,2024-09-07 10:03:10:935,1,669,3,0,364,8870,669,0 91,0,2024-09-07 10:03:10:932,170691,0.5,165598,0.6,346529,0.5,454706,1.75 91,1,2024-09-07 10:03:10:558,1169777,1169777,0,0,550182240817,5804073075,1151199,14432,4146,381,392047,0 91,2,2024-09-07 10:03:11:331,841915,841915,0,0,38142684,0,4713 91,3,2024-09-07 10:03:10:599,1,669,1,0,231,6343,669,0 92,0,2024-09-07 10:03:11:542,169183,0.5,173087,0.6,330067,0.4,447409,1.75 92,1,2024-09-07 10:03:10:583,1174322,1174322,0,0,551606485054,5762865226,1165028,7985,1309,381,392136,0 92,2,2024-09-07 10:03:11:428,847040,847040,0,0,34466507,0,3906 92,3,2024-09-07 10:03:11:094,1,669,2,0,281,5819,669,0 93,0,2024-09-07 10:03:10:958,164101,0.4,168088,0.6,321081,0.3,435001,1.75 93,1,2024-09-07 10:03:10:809,1175122,1175122,0,0,551233039766,5761350821,1161063,11627,2432,365,392048,0 93,2,2024-09-07 10:03:10:931,847230,847230,0,0,40387715,0,4913 93,3,2024-09-07 10:03:11:418,1,669,11,0,505,7546,669,0 94,0,2024-09-07 10:03:11:653,166531,0.4,167708,0.6,335510,0.3,445830,1.75 94,1,2024-09-07 10:03:10:567,1175834,1175834,0,0,552599380080,5762809749,1168085,7259,490,381,391850,0 94,2,2024-09-07 10:03:10:761,846506,846478,28,0,34806522,0,6179 94,3,2024-09-07 10:03:11:703,1,669,5,0,576,8257,669,0 95,0,2024-09-07 10:03:11:352,170076,0.4,169987,0.6,340252,0.3,453206,1.75 95,1,2024-09-07 10:03:10:851,1176695,1176695,0,0,552544753212,5741635817,1167653,8277,765,365,391852,0 95,2,2024-09-07 10:03:11:018,842921,842921,0,0,34644987,0,3308 95,3,2024-09-07 10:03:11:711,1,669,12,0,718,10183,669,0 96,0,2024-09-07 10:03:11:099,170056,0.3,170205,0.5,340589,0.3,452556,1.75 96,1,2024-09-07 10:03:11:599,1174658,1174658,0,0,551664001280,5756151975,1166192,7172,1294,384,391964,0 96,2,2024-09-07 10:03:11:272,848829,848829,0,0,35038558,0,4180 96,3,2024-09-07 10:03:11:140,1,669,1,0,411,7627,669,0 97,0,2024-09-07 10:03:11:329,163518,0.3,163316,0.5,327380,0.3,434858,1.50 97,1,2024-09-07 10:03:10:766,1176525,1176525,0,0,552625412290,5735653511,1168677,6609,1239,367,392140,0 97,2,2024-09-07 10:03:10:613,848254,848254,0,0,35426987,0,4600 97,3,2024-09-07 10:03:10:575,1,669,1,0,433,8212,669,0 98,0,2024-09-07 10:03:11:699,165466,0.3,165527,0.5,332361,0.3,442221,1.50 98,1,2024-09-07 10:03:10:575,1176154,1176154,0,0,551859664914,5749653118,1168728,6528,898,381,391997,0 98,2,2024-09-07 10:03:10:769,845364,845364,0,0,33641654,0,4336 98,3,2024-09-07 10:03:10:700,1,669,1,0,840,9498,669,0 99,0,2024-09-07 10:03:11:462,171500,0.3,172330,0.5,343385,0.3,457247,1.75 99,1,2024-09-07 10:03:11:728,1177040,1177040,0,0,551905327294,5746041734,1169311,6622,1107,380,392069,0 99,2,2024-09-07 10:03:11:417,845078,845078,0,0,42868529,0,4276 99,3,2024-09-07 10:03:10:581,1,669,8,0,1124,8415,669,0 100,0,2024-09-07 10:03:11:492,170560,0.8,171075,0.9,342050,0.9,455993,2.50 100,1,2024-09-07 10:03:10:566,1169456,1169456,0,0,549248400164,5811734273,1150590,15071,3795,378,391989,0 100,2,2024-09-07 10:03:11:998,841496,841107,389,0,44619283,0,16909 100,3,2024-09-07 10:03:11:734,1,669,4,0,627,10564,669,0 101,0,2024-09-07 10:03:11:731,167971,0.9,163999,1.0,320723,0.9,437735,2.25 101,1,2024-09-07 10:03:10:584,1171816,1171816,0,0,550674157877,5790063452,1155548,13335,2933,368,392018,0 101,2,2024-09-07 10:03:11:773,840653,840614,39,0,46008775,0,5913 101,3,2024-09-07 10:03:10:947,1,669,463,0,1250,10400,669,0 102,0,2024-09-07 10:03:10:943,160691,0.7,165534,0.8,336333,0.7,439540,2.25 102,1,2024-09-07 10:03:11:160,1171759,1171759,0,0,550755786318,5784775930,1156339,13202,2218,369,391984,0 102,2,2024-09-07 10:03:11:739,846816,846762,54,0,38443019,0,6768 102,3,2024-09-07 10:03:11:615,1,669,28,0,466,7857,669,0 103,0,2024-09-07 10:03:11:630,175496,0.6,175529,0.8,330689,0.7,455085,2.00 103,1,2024-09-07 10:03:11:778,1170515,1170515,0,0,549784067022,5807520338,1151617,15273,3625,381,392077,0 103,2,2024-09-07 10:03:10:582,844769,844769,0,0,41920375,0,3766 103,3,2024-09-07 10:03:10:755,1,669,8,0,916,7989,669,0 104,0,2024-09-07 10:03:11:093,169740,0.7,170081,0.9,339311,0.7,453902,2.25 104,1,2024-09-07 10:03:11:607,1172870,1172870,0,0,550274827406,5787395830,1154524,14892,3454,365,392168,0 104,2,2024-09-07 10:03:11:723,840730,840730,0,0,40512647,0,4161 104,3,2024-09-07 10:03:11:455,1,669,38,0,1245,11602,669,0 105,0,2024-09-07 10:03:11:049,164332,0.8,160212,1.0,335813,0.9,440879,2.50 105,1,2024-09-07 10:03:10:559,1175010,1175010,0,0,550736375730,5769750786,1160333,12564,2113,364,392009,0 105,2,2024-09-07 10:03:11:351,845306,845306,0,0,41932013,0,4360 105,3,2024-09-07 10:03:11:306,1,669,12,0,573,10151,669,0 106,0,2024-09-07 10:03:10:936,158134,0.9,162173,0.9,331771,1.0,433569,2.50 106,1,2024-09-07 10:03:11:758,1172625,1172625,0,0,550637076665,5779110436,1156223,14253,2149,368,391914,0 106,2,2024-09-07 10:03:10:756,847053,847053,0,0,38853114,0,3331 106,3,2024-09-07 10:03:10:684,1,669,13,0,1224,9122,669,0 107,0,2024-09-07 10:03:11:145,167934,1.2,168056,1.0,336298,1.5,448920,2.25 107,1,2024-09-07 10:03:10:591,1170570,1170570,0,0,549045244800,5804332520,1151609,16707,2254,381,392234,0 107,2,2024-09-07 10:03:11:320,842872,842871,1,0,42135579,0,5024 107,3,2024-09-07 10:03:11:782,1,669,3,0,733,10511,669,0 108,0,2024-09-07 10:03:11:774,169653,0.4,170496,0.6,339923,0.4,452860,1.75 108,1,2024-09-07 10:03:11:298,1174439,1174439,0,0,551982610886,5758994146,1164509,8820,1110,367,391894,0 108,2,2024-09-07 10:03:11:761,843153,843153,0,0,37533367,0,4432 108,3,2024-09-07 10:03:11:330,1,669,1,0,767,12334,669,0 109,0,2024-09-07 10:03:11:805,169696,0.4,168290,0.6,337575,0.3,450011,1.75 109,1,2024-09-07 10:03:10:597,1172426,1172426,0,0,551154356285,5782742943,1161917,9006,1503,382,392132,0 109,2,2024-09-07 10:03:10:923,846256,846256,0,0,37372094,0,3617 109,3,2024-09-07 10:03:11:140,1,669,1,0,630,7734,669,0 110,0,2024-09-07 10:03:11:758,162668,0.4,158167,0.6,331288,0.3,434260,1.75 110,1,2024-09-07 10:03:11:654,1176478,1176478,0,0,552610996240,5738053924,1167419,7007,2052,368,392045,0 110,2,2024-09-07 10:03:11:307,847832,847832,0,0,36633600,0,4067 110,3,2024-09-07 10:03:10:689,1,669,3,0,722,8834,669,0 111,0,2024-09-07 10:03:11:433,167102,0.4,166371,0.5,332277,0.3,444057,1.75 111,1,2024-09-07 10:03:11:000,1177807,1177807,0,0,553261378620,5742593466,1171272,6146,389,380,391690,0 111,2,2024-09-07 10:03:11:120,845807,845807,0,0,36138314,0,4823 111,3,2024-09-07 10:03:10:914,1,669,8,0,379,7459,669,0 112,0,2024-09-07 10:03:10:908,171626,0.3,171105,0.4,343080,0.2,455415,1.50 112,1,2024-09-07 10:03:10:825,1176615,1176615,0,0,552236491467,5733803227,1169032,6358,1225,380,391624,0 112,2,2024-09-07 10:03:11:135,845334,845333,1,0,34376192,0,5036 112,3,2024-09-07 10:03:10:595,1,669,1,0,282,6587,669,0 113,0,2024-09-07 10:03:10:884,170558,0.3,170796,0.5,341973,0.2,454632,1.75 113,1,2024-09-07 10:03:11:696,1180122,1180122,0,0,554543787767,5722234870,1173267,5905,950,365,391664,0 113,2,2024-09-07 10:03:11:306,849358,849358,0,0,31473522,0,3813 113,3,2024-09-07 10:03:10:686,1,669,1,0,510,6915,669,0 114,0,2024-09-07 10:03:10:873,164390,0.3,165407,0.5,330081,0.2,440378,1.75 114,1,2024-09-07 10:03:10:744,1176326,1176326,0,0,552217543911,5734126116,1167757,6801,1768,381,391565,0 114,2,2024-09-07 10:03:10:873,848562,848561,1,0,33646590,0,5069 114,3,2024-09-07 10:03:11:278,1,669,2,0,415,5510,669,0 115,0,2024-09-07 10:03:10:552,166029,0.3,166648,0.4,331766,0.2,441831,1.50 115,1,2024-09-07 10:03:10:573,1176738,1176738,0,0,552980305552,5745186133,1167263,7685,1790,382,391757,0 115,2,2024-09-07 10:03:11:123,847885,847885,0,0,33505476,0,4382 115,3,2024-09-07 10:03:11:002,1,669,12,0,173,4336,669,0 116,0,2024-09-07 10:03:11:792,170422,0.8,170415,0.9,341563,0.8,456696,2.25 116,1,2024-09-07 10:03:10:875,1170467,1170467,0,0,550167808993,5797551778,1156647,10611,3209,380,392089,0 116,2,2024-09-07 10:03:11:752,845929,845929,0,0,41355070,0,4475 116,3,2024-09-07 10:03:10:914,1,669,0,0,448,8782,669,0 117,0,2024-09-07 10:03:11:031,171456,0.7,171184,0.8,342551,0.7,457857,2.00 117,1,2024-09-07 10:03:11:580,1172353,1172353,0,0,549795979250,5761862421,1158552,12039,1762,369,392429,0 117,2,2024-09-07 10:03:11:123,845329,845329,0,0,37674047,0,4303 117,3,2024-09-07 10:03:11:080,1,669,5,0,490,8378,669,0 118,0,2024-09-07 10:03:11:796,160125,0.5,164539,0.7,335712,0.5,438894,2.00 118,1,2024-09-07 10:03:10:586,1171538,1171538,0,0,549988151827,5783053399,1154069,13625,3844,366,392054,0 118,2,2024-09-07 10:03:11:609,845529,845529,0,0,40354844,0,3161 118,3,2024-09-07 10:03:11:778,1,669,2,0,343,8160,669,0 119,0,2024-09-07 10:03:11:332,164121,0.7,164607,0.8,328535,0.8,438473,2.25 119,1,2024-09-07 10:03:10:555,1172606,1172606,0,0,550819557698,5778956958,1156620,13322,2664,367,391857,0 119,2,2024-09-07 10:03:11:266,847649,847649,0,0,37452546,0,4309 119,3,2024-09-07 10:03:11:325,1,669,9,0,1358,12041,669,0 120,0,2024-09-07 10:03:11:602,168510,0.6,168257,0.8,338104,0.7,450772,2.25 120,1,2024-09-07 10:03:10:870,1173409,1173409,0,0,550703231103,5774984227,1160904,11348,1157,367,392144,0 120,2,2024-09-07 10:03:10:772,845118,845115,3,0,44903978,0,5363 120,3,2024-09-07 10:03:11:298,1,669,0,0,478,8661,669,0 121,0,2024-09-07 10:03:11:849,170365,1.1,169762,1.0,339735,1.5,453318,2.25 121,1,2024-09-07 10:03:11:664,1172948,1172948,0,0,551371886177,5772631007,1159767,11189,1992,366,391840,0 121,2,2024-09-07 10:03:11:124,842529,842529,0,0,40419323,0,4157 121,3,2024-09-07 10:03:10:739,1,669,7,0,387,9102,669,0 122,0,2024-09-07 10:03:11:845,167133,0.7,162604,0.8,340222,0.8,447117,2.00 122,1,2024-09-07 10:03:10:888,1172417,1172417,0,0,550262587701,5774750851,1156187,13653,2577,365,392130,0 122,2,2024-09-07 10:03:11:348,847137,847062,75,0,44863173,0,5989 122,3,2024-09-07 10:03:10:599,1,669,10,0,512,10428,669,0 123,0,2024-09-07 10:03:10:960,162285,0.8,158064,0.9,331437,0.9,433620,2.25 123,1,2024-09-07 10:03:10:560,1173142,1173142,0,0,550841742979,5794373149,1154254,15898,2990,369,392039,0 123,2,2024-09-07 10:03:11:019,846465,846464,1,0,38501510,0,5215 123,3,2024-09-07 10:03:11:132,1,669,9,0,478,7487,669,0 124,0,2024-09-07 10:03:10:927,171921,0.4,171967,0.5,323944,0.3,446599,1.75 124,1,2024-09-07 10:03:11:025,1176351,1176351,0,0,552254864079,5741951380,1167515,7602,1234,365,392178,0 124,2,2024-09-07 10:03:11:016,848269,848216,53,0,35356344,0,6487 124,3,2024-09-07 10:03:10:767,1,669,10,0,490,6670,669,0 125,0,2024-09-07 10:03:11:445,170023,0.4,169839,0.6,340458,0.4,453605,1.75 125,1,2024-09-07 10:03:10:870,1173990,1173990,0,0,551017207760,5758743518,1163520,8959,1511,382,392045,0 125,2,2024-09-07 10:03:11:120,846058,846058,0,0,35026658,0,4534 125,3,2024-09-07 10:03:11:131,1,669,3,0,709,7549,669,0 126,0,2024-09-07 10:03:11:568,170298,0.4,175040,0.5,334652,0.3,453300,1.75 126,1,2024-09-07 10:03:10:563,1177245,1177245,0,0,552758472539,5727943549,1170271,6294,680,365,391987,0 126,2,2024-09-07 10:03:10:620,849149,849149,0,0,36353022,0,4539 126,3,2024-09-07 10:03:10:916,1,669,21,0,268,7641,669,0 127,0,2024-09-07 10:03:11:639,163738,0.3,164081,0.5,327455,0.3,435029,1.75 127,1,2024-09-07 10:03:10:575,1175659,1175659,0,0,552041704234,5745514547,1163572,10394,1693,364,392187,0 127,2,2024-09-07 10:03:10:683,848046,848042,4,0,34510401,0,5305 127,3,2024-09-07 10:03:11:271,1,669,14,0,968,6632,669,0 128,0,2024-09-07 10:03:11:531,166569,0.3,166533,0.4,333007,0.2,442963,1.50 128,1,2024-09-07 10:03:11:611,1175109,1175109,0,0,552071288710,5740873111,1166250,7889,970,367,392423,0 128,2,2024-09-07 10:03:11:385,847865,847865,0,0,32510262,0,3171 128,3,2024-09-07 10:03:10:767,1,669,2,0,1082,10068,669,0 129,0,2024-09-07 10:03:10:999,172717,0.3,171967,0.5,344991,0.3,457987,1.75 129,1,2024-09-07 10:03:10:580,1172168,1172168,0,0,550882107214,5779815274,1159424,10415,2329,379,391962,0 129,2,2024-09-07 10:03:10:685,847681,847677,4,0,35015661,0,5335 129,3,2024-09-07 10:03:10:688,1,669,1,0,506,9811,669,0 130,0,2024-09-07 10:03:11:843,171837,0.4,171599,0.6,343440,0.4,457493,1.75 130,1,2024-09-07 10:03:10:601,1176812,1176812,0,0,552406223808,5736379163,1171127,5279,406,381,391825,0 130,2,2024-09-07 10:03:11:140,845975,845975,0,0,34872771,0,4067 130,3,2024-09-07 10:03:11:294,1,669,6,0,960,8662,669,0 131,0,2024-09-07 10:03:11:968,164396,0.3,164934,0.5,330705,0.3,439414,1.50 131,1,2024-09-07 10:03:11:833,1175946,1175946,0,0,550964541953,5742474018,1167938,6806,1202,381,391865,0 131,2,2024-09-07 10:03:10:568,847193,847193,0,0,32611341,0,3979 131,3,2024-09-07 10:03:11:692,1,669,44,0,392,8503,669,0 132,0,2024-09-07 10:03:11:434,165660,0.5,166620,0.6,331530,0.5,441515,2.00 132,1,2024-09-07 10:03:10:587,1171419,1171419,0,0,549498989543,5783725538,1155022,13617,2780,381,392532,0 132,2,2024-09-07 10:03:10:698,846345,846328,17,0,42000794,0,6451 132,3,2024-09-07 10:03:11:699,1,669,15,0,1298,11712,669,0 133,0,2024-09-07 10:03:11:521,165892,0.4,169816,0.6,348227,0.4,453515,2.00 133,1,2024-09-07 10:03:10:600,1171402,1171402,0,0,550638766740,5800357390,1156049,13420,1933,383,391914,0 133,2,2024-09-07 10:03:11:086,847367,847317,50,0,42961884,0,6861 133,3,2024-09-07 10:03:11:317,1,669,12,0,528,7931,669,0 134,0,2024-09-07 10:03:10:951,171654,0.5,171509,0.7,343090,0.5,457247,2.25 134,1,2024-09-07 10:03:10:587,1172412,1172412,0,0,550181383430,5775633671,1156407,12713,3292,366,391781,0 134,2,2024-09-07 10:03:11:775,842284,842145,139,0,40085238,0,7591 134,3,2024-09-07 10:03:10:754,1,669,3,0,739,8423,669,0 135,0,2024-09-07 10:03:11:105,161063,0.7,161022,0.8,341876,0.8,440195,2.25 135,1,2024-09-07 10:03:11:588,1172649,1172649,0,0,550093939733,5783637648,1158343,12454,1852,380,392038,0 135,2,2024-09-07 10:03:10:687,847442,847442,0,0,40577800,0,4503 135,3,2024-09-07 10:03:11:003,1,669,16,0,900,6533,669,0 136,0,2024-09-07 10:03:11:621,164175,0.6,164722,0.8,327108,0.6,436785,2.00 136,1,2024-09-07 10:03:11:449,1173805,1173805,0,0,551168461960,5775775026,1161161,11221,1423,381,392135,0 136,2,2024-09-07 10:03:11:136,849341,849326,15,0,40084707,0,6007 136,3,2024-09-07 10:03:11:107,1,669,7,0,637,7740,669,0 137,0,2024-09-07 10:03:10:952,173622,0.6,169272,0.8,331515,0.7,450847,2.00 137,1,2024-09-07 10:03:10:582,1172615,1172615,0,0,550619805496,5776344323,1154206,14772,3637,366,391898,0 137,2,2024-09-07 10:03:11:706,845242,845242,0,0,40949088,0,3185 137,3,2024-09-07 10:03:10:770,1,669,0,0,484,9609,669,0 138,0,2024-09-07 10:03:11:867,169038,0.9,169391,0.9,339283,1.2,451259,2.25 138,1,2024-09-07 10:03:11:689,1172493,1172493,0,0,551429826069,5788807973,1155478,14326,2689,368,391954,0 138,2,2024-09-07 10:03:10:587,841491,841491,0,0,39279506,0,4988 138,3,2024-09-07 10:03:10:610,1,669,183,0,1200,10173,669,0 139,0,2024-09-07 10:03:11:463,167496,0.9,167998,0.9,336010,1.2,448348,2.25 139,1,2024-09-07 10:03:10:580,1168339,1168339,0,0,547967747134,5808076075,1148592,15836,3911,380,392109,0 139,2,2024-09-07 10:03:10:702,842002,841972,30,0,44708283,0,5997 139,3,2024-09-07 10:03:11:675,1,669,22,0,432,7996,669,0 140,0,2024-09-07 10:03:11:603,163263,0.3,162487,0.5,326202,0.2,434557,1.75 140,1,2024-09-07 10:03:11:555,1180211,1180211,0,0,552745044180,5703535526,1174015,5459,737,364,391628,0 140,2,2024-09-07 10:03:10:688,848277,848276,1,0,32812200,0,5036 140,3,2024-09-07 10:03:10:768,1,669,0,0,575,6864,669,0 141,0,2024-09-07 10:03:11:707,166793,0.3,171408,0.5,327710,0.3,443523,1.75 141,1,2024-09-07 10:03:10:860,1177325,1177325,0,0,553294436809,5751889372,1167301,8638,1386,379,391614,0 141,2,2024-09-07 10:03:11:687,846776,846765,11,0,35152675,0,5369 141,3,2024-09-07 10:03:11:043,1,669,4,0,391,7901,669,0 142,0,2024-09-07 10:03:11:321,171772,0.3,170974,0.5,342453,0.3,456279,1.75 142,1,2024-09-07 10:03:10:599,1175137,1175137,0,0,551967887334,5758725853,1166654,7621,862,382,392102,0 142,2,2024-09-07 10:03:11:299,844164,844132,32,0,35224748,0,6028 142,3,2024-09-07 10:03:11:748,1,669,0,0,484,6912,669,0 143,0,2024-09-07 10:03:11:379,170715,0.4,170443,0.5,342122,0.4,454652,1.75 143,1,2024-09-07 10:03:10:577,1178144,1178144,0,0,552761914881,5736307052,1170365,6874,905,367,391900,0 143,2,2024-09-07 10:03:10:772,848073,848073,0,0,34912410,0,3123 143,3,2024-09-07 10:03:11:150,1,669,23,0,462,7832,669,0 144,0,2024-09-07 10:03:11:675,159327,0.6,163928,0.7,333575,0.5,436735,2.00 144,1,2024-09-07 10:03:10:576,1171947,1171947,0,0,549993704434,5772745233,1160218,9688,2041,381,391733,0 144,2,2024-09-07 10:03:11:773,848054,848054,0,0,34778263,0,4443 144,3,2024-09-07 10:03:11:741,1,669,1,0,306,7232,669,0 145,0,2024-09-07 10:03:11:515,159994,0.6,159942,0.8,339501,0.5,437409,2.25 145,1,2024-09-07 10:03:10:559,1170815,1170815,0,0,550471944750,5795455844,1154765,13085,2965,382,391781,0 145,2,2024-09-07 10:03:11:429,844662,844580,82,0,40877970,0,7814 145,3,2024-09-07 10:03:10:895,1,669,9,0,622,9047,669,0 146,0,2024-09-07 10:03:11:604,170991,0.7,170113,0.8,341990,0.7,454726,2.25 146,1,2024-09-07 10:03:11:605,1173250,1173250,0,0,550519912888,5792140618,1154234,14093,4923,367,391829,0 146,2,2024-09-07 10:03:11:705,844566,844560,6,0,39079307,0,5151 146,3,2024-09-07 10:03:11:274,1,669,3,0,1520,10852,669,0 147,0,2024-09-07 10:03:11:816,171546,0.6,171232,0.7,342365,0.6,456999,2.25 147,1,2024-09-07 10:03:11:382,1176379,1176379,0,0,552589672884,5744372325,1166709,8590,1080,367,391791,0 147,2,2024-09-07 10:03:11:010,843729,843729,0,0,35265973,0,3359 147,3,2024-09-07 10:03:10:914,1,669,16,0,1626,10201,669,0 0,0,2024-09-07 10:03:21:777,164728,0.6,164650,0.8,349390,0.7,451585,2.00 0,1,2024-09-07 10:03:20:801,1175690,1175690,0,0,551866844743,5778398786,1166037,8691,962,368,391896,0 0,2,2024-09-07 10:03:21:067,848641,848641,0,0,34486181,0,4480 0,3,2024-09-07 10:03:20:973,1,670,0,0,431,9254,670,0 1,0,2024-09-07 10:03:21:778,170435,0.8,169391,0.9,340065,1.0,455152,2.00 1,1,2024-09-07 10:03:20:567,1174378,1174378,0,0,551327612799,5778105894,1163017,9396,1965,370,391859,0 1,2,2024-09-07 10:03:20:657,844907,844907,0,0,33782463,0,3380 1,3,2024-09-07 10:03:21:316,1,670,9,0,269,7985,670,0 2,0,2024-09-07 10:03:21:566,167750,0.6,167447,0.7,334584,0.6,446251,2.00 2,1,2024-09-07 10:03:20:870,1178359,1178359,0,0,553827588904,5759279276,1171518,5880,961,379,391805,0 2,2,2024-09-07 10:03:21:267,849469,849469,0,0,32365186,0,3594 2,3,2024-09-07 10:03:20:702,1,670,0,0,357,5800,670,0 3,0,2024-09-07 10:03:21:748,163243,0.4,163235,0.6,326099,0.4,434688,2.00 3,1,2024-09-07 10:03:21:626,1176623,1176623,0,0,552344509138,5746186126,1168159,7746,718,379,391716,0 3,2,2024-09-07 10:03:21:145,850384,850361,23,0,33766292,0,5851 3,3,2024-09-07 10:03:21:752,1,670,7,0,484,5136,670,0 4,0,2024-09-07 10:03:21:834,162771,0.4,167188,0.5,340919,0.4,446219,1.75 4,1,2024-09-07 10:03:20:590,1171122,1171122,0,0,550329925288,5824365743,1151254,15267,4601,369,391992,0 4,2,2024-09-07 10:03:21:018,845176,845176,0,0,41204179,0,4534 4,3,2024-09-07 10:03:21:031,1,670,1,0,448,8978,670,0 5,0,2024-09-07 10:03:21:416,170255,0.4,170072,0.6,340508,0.4,453337,1.75 5,1,2024-09-07 10:03:20:755,1172680,1172680,0,0,550067619438,5805844452,1155052,13393,4235,367,392005,0 5,2,2024-09-07 10:03:21:841,840790,840757,33,0,41376013,0,7631 5,3,2024-09-07 10:03:21:744,1,670,1,0,457,8937,670,0 6,0,2024-09-07 10:03:20:915,169970,0.5,169595,0.6,339267,0.4,451784,2.00 6,1,2024-09-07 10:03:20:746,1175268,1175268,0,0,552002058042,5765011825,1163131,10452,1685,379,391702,0 6,2,2024-09-07 10:03:21:118,848787,848769,18,0,38547592,0,5535 6,3,2024-09-07 10:03:21:290,1,670,1,0,710,7918,670,0 7,0,2024-09-07 10:03:21:530,162856,0.5,163938,0.7,325563,0.5,433743,2.00 7,1,2024-09-07 10:03:20:850,1173344,1173344,0,0,551536741051,5805530241,1155517,13690,4137,382,391747,0 7,2,2024-09-07 10:03:20:774,847477,847308,169,0,39285748,0,7706 7,3,2024-09-07 10:03:20:851,1,670,38,0,552,7907,670,0 8,0,2024-09-07 10:03:21:335,166623,0.4,166182,0.5,332760,0.3,443799,1.75 8,1,2024-09-07 10:03:21:021,1172001,1172001,0,0,551296382370,5798280277,1153413,14544,4044,366,392853,0 8,2,2024-09-07 10:03:20:792,841474,841472,2,0,44004420,0,5112 8,3,2024-09-07 10:03:20:590,1,670,8,0,772,10185,670,0 9,0,2024-09-07 10:03:21:123,171630,0.4,166630,0.5,348890,0.4,457522,1.75 9,1,2024-09-07 10:03:20:557,1171725,1171725,0,0,550864464920,5812040758,1152077,15300,4348,369,392001,0 9,2,2024-09-07 10:03:21:102,844841,844840,1,0,42206612,0,5281 9,3,2024-09-07 10:03:21:758,1,670,1,0,1273,10980,670,0 10,0,2024-09-07 10:03:21:604,171222,0.4,170666,0.5,342275,0.3,455618,1.75 10,1,2024-09-07 10:03:20:585,1173603,1173603,0,0,550623274906,5781140441,1155635,14234,3734,381,391981,0 10,2,2024-09-07 10:03:20:772,846624,846624,0,0,45167751,0,4713 10,3,2024-09-07 10:03:20:875,1,670,4,0,669,7294,670,0 11,0,2024-09-07 10:03:21:010,164426,0.4,159663,0.6,334188,0.4,440048,1.75 11,1,2024-09-07 10:03:20:571,1176205,1176205,0,0,551341207738,5783517112,1159332,12563,4310,383,391766,0 11,2,2024-09-07 10:03:21:123,846793,846793,0,0,38902511,0,4698 11,3,2024-09-07 10:03:21:301,1,670,9,0,843,8309,670,0 12,0,2024-09-07 10:03:20:970,166886,0.4,166673,0.5,332782,0.4,442639,1.75 12,1,2024-09-07 10:03:20:933,1175377,1175377,0,0,551975204470,5760437192,1165570,8781,1026,370,391960,0 12,2,2024-09-07 10:03:21:541,846084,846084,0,0,38721856,0,4390 12,3,2024-09-07 10:03:21:059,1,670,23,0,386,8394,670,0 13,0,2024-09-07 10:03:21:382,171479,0.4,171687,0.6,342525,0.4,456538,1.75 13,1,2024-09-07 10:03:21:535,1172689,1172689,0,0,550789163164,5795947115,1159515,10308,2866,382,391803,0 13,2,2024-09-07 10:03:20:601,849520,849520,0,0,35104616,0,3287 13,3,2024-09-07 10:03:21:780,1,670,10,0,522,8924,670,0 14,0,2024-09-07 10:03:20:561,171279,0.4,172277,0.6,342720,0.4,456274,1.75 14,1,2024-09-07 10:03:21:561,1181094,1181094,0,0,554290266195,5735045057,1172047,7913,1134,364,391673,0 14,2,2024-09-07 10:03:20:763,844987,844957,30,0,35640992,0,6104 14,3,2024-09-07 10:03:21:121,1,670,9,0,1168,7027,670,0 15,0,2024-09-07 10:03:21:554,166369,0.4,165617,0.6,331368,0.3,442034,1.75 15,1,2024-09-07 10:03:21:610,1177234,1177234,0,0,553127086759,5764951613,1166641,8500,2093,381,391619,0 15,2,2024-09-07 10:03:20:998,849710,849710,0,0,32159310,0,3622 15,3,2024-09-07 10:03:21:414,1,670,10,0,1126,8515,670,0 16,0,2024-09-07 10:03:20:963,163872,0.6,164654,0.8,328461,0.6,437416,2.00 16,1,2024-09-07 10:03:20:567,1176918,1176918,0,0,552253326485,5774055521,1166292,9083,1543,370,392194,0 16,2,2024-09-07 10:03:21:441,848433,848433,0,0,35397649,0,4719 16,3,2024-09-07 10:03:21:146,1,670,19,0,362,8415,670,0 17,0,2024-09-07 10:03:21:822,173906,0.7,169661,0.8,332202,0.7,451989,2.00 17,1,2024-09-07 10:03:20:571,1175379,1175379,0,0,551915773716,5786245219,1164367,9218,1794,368,392075,0 17,2,2024-09-07 10:03:21:679,850614,850613,1,0,36627292,0,5050 17,3,2024-09-07 10:03:20:588,1,670,7,0,518,9719,670,0 18,0,2024-09-07 10:03:20:943,168361,0.7,169527,0.8,337369,0.7,450609,2.25 18,1,2024-09-07 10:03:21:642,1179700,1179700,0,0,553326206948,5741035808,1171594,6676,1430,367,391725,0 18,2,2024-09-07 10:03:21:755,846160,846160,0,0,32905338,0,3541 18,3,2024-09-07 10:03:20:898,1,670,1,0,1059,5987,670,0 19,0,2024-09-07 10:03:21:542,168149,0.6,168698,0.8,336210,0.7,446550,2.00 19,1,2024-09-07 10:03:20:568,1178839,1178839,0,0,553697000895,5739252283,1169996,7683,1160,365,391777,0 19,2,2024-09-07 10:03:21:752,851818,851818,0,0,30635344,0,3988 19,3,2024-09-07 10:03:21:131,1,670,1,0,524,5388,670,0 20,0,2024-09-07 10:03:21:374,162842,0.5,162725,0.7,325341,0.5,433885,2.00 20,1,2024-09-07 10:03:20:568,1174581,1174581,0,0,551427341094,5781529342,1162339,10538,1704,369,391922,0 20,2,2024-09-07 10:03:20:942,848424,848424,0,0,39166075,0,4321 20,3,2024-09-07 10:03:20:589,1,670,22,0,468,11476,670,0 21,0,2024-09-07 10:03:21:174,166783,0.5,166891,0.7,333687,0.5,443598,2.00 21,1,2024-09-07 10:03:21:569,1172681,1172681,0,0,549431262893,5801459614,1154014,14679,3988,368,392016,0 21,2,2024-09-07 10:03:21:068,841206,840729,477,0,50153697,0,17074 21,3,2024-09-07 10:03:21:415,1,670,10,0,713,8981,670,0 22,0,2024-09-07 10:03:21:732,170750,0.5,171420,0.7,342425,0.4,454151,2.25 22,1,2024-09-07 10:03:21:027,1173373,1173373,0,0,550866727039,5796989101,1154367,15403,3603,381,391822,0 22,2,2024-09-07 10:03:20:766,844125,844099,26,0,37405754,0,6328 22,3,2024-09-07 10:03:21:068,1,670,1,0,228,5595,670,0 23,0,2024-09-07 10:03:21:381,170321,0.5,170179,0.7,340222,0.4,453352,2.00 23,1,2024-09-07 10:03:21:009,1175122,1175122,0,0,551801583265,5812599359,1153879,14420,6823,365,391690,0 23,2,2024-09-07 10:03:21:096,848817,848817,0,0,35416689,0,3773 23,3,2024-09-07 10:03:21:760,1,670,8,0,855,9596,670,0 24,0,2024-09-07 10:03:20:821,165520,0.4,164545,0.6,330733,0.3,439338,1.75 24,1,2024-09-07 10:03:20:581,1174005,1174005,0,0,550874485289,5766596315,1162907,9232,1866,367,392269,0 24,2,2024-09-07 10:03:21:069,847773,847770,3,0,41761024,0,6294 24,3,2024-09-07 10:03:21:692,1,670,13,0,468,8855,670,0 25,0,2024-09-07 10:03:21:452,170290,0.4,165457,0.6,325750,0.4,443845,2.00 25,1,2024-09-07 10:03:20:569,1172404,1172404,0,0,550413652467,5815836538,1151907,16512,3985,369,391928,0 25,2,2024-09-07 10:03:21:606,844813,844813,0,0,43086309,0,3978 25,3,2024-09-07 10:03:21:000,1,670,7,0,532,7401,670,0 26,0,2024-09-07 10:03:21:725,170604,0.5,166778,0.6,349875,0.4,456367,2.00 26,1,2024-09-07 10:03:21:540,1175807,1175807,0,0,551636127319,5776633937,1160107,12797,2903,380,391758,0 26,2,2024-09-07 10:03:20:869,846760,846760,0,0,44930430,0,4689 26,3,2024-09-07 10:03:21:716,1,670,2,0,796,8274,670,0 27,0,2024-09-07 10:03:21:730,171582,0.4,172390,0.6,342552,0.4,457278,2.25 27,1,2024-09-07 10:03:21:679,1177285,1177285,0,0,553585148156,5769623580,1166009,9655,1621,381,391626,0 27,2,2024-09-07 10:03:20:869,842132,842067,65,0,39558538,0,5699 27,3,2024-09-07 10:03:21:016,1,670,11,0,564,5829,670,0 28,0,2024-09-07 10:03:21:408,165449,0.4,165756,0.6,331492,0.3,441176,1.75 28,1,2024-09-07 10:03:20:801,1178068,1178068,0,0,553244279201,5771835779,1167385,8429,2254,382,391904,0 28,2,2024-09-07 10:03:21:776,848025,848025,0,0,35559712,0,3674 28,3,2024-09-07 10:03:21:779,1,670,0,0,502,6991,670,0 29,0,2024-09-07 10:03:21:366,169377,0.4,164985,0.6,323609,0.3,441788,1.75 29,1,2024-09-07 10:03:21:561,1180697,1180697,0,0,554596782199,5739700248,1172992,6685,1020,367,391809,0 29,2,2024-09-07 10:03:20:860,848272,848272,0,0,34001527,0,4986 29,3,2024-09-07 10:03:20:963,1,670,1,0,459,6975,670,0 30,0,2024-09-07 10:03:21:459,168657,0.5,164262,0.7,344184,0.5,449936,2.00 30,1,2024-09-07 10:03:20:571,1179614,1179614,0,0,554131120826,5753838899,1170243,8243,1128,380,391672,0 30,2,2024-09-07 10:03:21:275,848993,848993,0,0,33135288,0,4192 30,3,2024-09-07 10:03:20:581,1,670,3,0,519,7135,670,0 31,0,2024-09-07 10:03:21:762,170231,0.5,170926,0.7,340990,0.4,455271,2.00 31,1,2024-09-07 10:03:20:569,1183678,1183678,0,0,554727328969,5697867661,1176348,6141,1189,356,391712,0 31,2,2024-09-07 10:03:21:275,843674,843674,0,0,36318413,0,4470 31,3,2024-09-07 10:03:21:715,1,670,8,0,239,5781,670,0 32,0,2024-09-07 10:03:21:436,167891,0.3,168976,0.5,336436,0.2,447670,1.75 32,1,2024-09-07 10:03:20:805,1179263,1179263,0,0,553602335127,5755689309,1171838,6461,964,381,391646,0 32,2,2024-09-07 10:03:20:935,850875,850875,0,0,31916941,0,3922 32,3,2024-09-07 10:03:21:015,1,670,1,0,304,5732,670,0 33,0,2024-09-07 10:03:21:501,164003,0.3,163571,0.4,327144,0.2,435934,1.50 33,1,2024-09-07 10:03:20:577,1180761,1180761,0,0,554286070002,5741175947,1171420,7990,1351,368,391730,0 33,2,2024-09-07 10:03:20:766,849831,849796,35,0,35325784,0,7012 33,3,2024-09-07 10:03:20:895,1,670,1,0,329,6138,670,0 34,0,2024-09-07 10:03:20:938,168011,0.3,172599,0.5,330081,0.2,446120,1.75 34,1,2024-09-07 10:03:21:044,1182765,1182765,0,0,555583915426,5717822054,1178805,3751,209,367,391637,0 34,2,2024-09-07 10:03:20:765,848762,848762,0,0,33278929,0,4562 34,3,2024-09-07 10:03:21:692,1,670,1,0,541,5867,670,0 35,0,2024-09-07 10:03:20:860,169640,0.3,170197,0.5,341545,0.3,454281,1.75 35,1,2024-09-07 10:03:21:069,1178563,1178563,0,0,553241575926,5741551457,1169679,7332,1552,382,391769,0 35,2,2024-09-07 10:03:21:593,844789,844789,0,0,38141506,0,4055 35,3,2024-09-07 10:03:20:909,1,670,7,0,466,6471,670,0 36,0,2024-09-07 10:03:21:538,170509,0.5,170662,0.6,340924,0.4,453587,2.00 36,1,2024-09-07 10:03:20:615,1176821,1176821,0,0,552253169474,5767965760,1162071,12396,2354,366,391759,0 36,2,2024-09-07 10:03:21:757,849055,849055,0,0,38634285,0,3875 36,3,2024-09-07 10:03:20:863,1,670,1,0,556,8726,670,0 37,0,2024-09-07 10:03:21:376,162803,0.5,162866,0.7,325954,0.5,434336,2.25 37,1,2024-09-07 10:03:20:573,1176303,1176296,0,7,551802294865,5764905475,1162121,11062,3113,365,391770,0 37,2,2024-09-07 10:03:21:146,846588,846573,15,0,38406755,0,5815 37,3,2024-09-07 10:03:21:777,1,670,1,0,888,9152,670,0 38,0,2024-09-07 10:03:21:437,165450,0.5,160387,0.7,335327,0.4,439876,2.00 38,1,2024-09-07 10:03:21:610,1176346,1176346,0,0,553160659337,5784931230,1159520,13522,3304,368,391821,0 38,2,2024-09-07 10:03:20:759,846743,846696,47,0,38674981,0,6710 38,3,2024-09-07 10:03:21:006,1,670,0,0,689,7839,670,0 39,0,2024-09-07 10:03:21:759,174854,0.6,170810,0.7,333560,0.5,454780,2.00 39,1,2024-09-07 10:03:20:720,1175618,1175618,0,0,550785286056,5776856110,1156123,15262,4233,365,391865,0 39,2,2024-09-07 10:03:21:417,846220,846220,0,0,37084020,0,3478 39,3,2024-09-07 10:03:20:714,1,670,8,0,525,7546,670,0 40,0,2024-09-07 10:03:21:494,169632,0.8,170367,1.0,340128,0.9,453748,2.75 40,1,2024-09-07 10:03:20:576,1175780,1175780,0,0,551546310056,5781557729,1157625,14619,3536,366,391668,0 40,2,2024-09-07 10:03:21:303,844280,844273,7,0,42785324,0,5347 40,3,2024-09-07 10:03:21:145,1,670,0,0,1028,9715,670,0 41,0,2024-09-07 10:03:21:075,163759,1.2,167638,1.1,319637,1.6,433762,3.00 41,1,2024-09-07 10:03:20:771,1175093,1175093,0,0,552125686226,5777058973,1159451,13117,2525,369,391878,0 41,2,2024-09-07 10:03:20:766,844337,844336,1,0,41363583,0,5408 41,3,2024-09-07 10:03:21:675,1,670,1,0,366,7378,670,0 42,0,2024-09-07 10:03:21:494,164327,1.0,164150,1.0,328534,1.2,435461,2.75 42,1,2024-09-07 10:03:21:439,1173342,1173342,0,0,550743532269,5784548966,1155759,14499,3084,380,391675,0 42,2,2024-09-07 10:03:21:132,844648,844647,1,0,42933047,0,5513 42,3,2024-09-07 10:03:21:009,1,670,3,0,892,6886,670,0 43,0,2024-09-07 10:03:20:932,168603,0.8,164191,0.9,343836,0.8,449690,2.25 43,1,2024-09-07 10:03:20:576,1176130,1176130,0,0,553353297121,5781657646,1160198,13185,2747,366,391696,0 43,2,2024-09-07 10:03:21:741,848601,848601,0,0,39512841,0,4723 43,3,2024-09-07 10:03:21:750,1,670,8,0,571,9227,670,0 44,0,2024-09-07 10:03:20:860,171507,0.4,171796,0.6,342745,0.4,457292,1.75 44,1,2024-09-07 10:03:20:568,1179460,1179460,0,0,553476939045,5722865464,1168981,8405,2074,356,391809,0 44,2,2024-09-07 10:03:21:266,843497,843497,0,0,32262675,0,4344 44,3,2024-09-07 10:03:21:101,1,670,1,0,1097,8381,670,0 45,0,2024-09-07 10:03:21:759,164570,0.5,160782,0.7,337438,0.4,441990,2.00 45,1,2024-09-07 10:03:21:007,1178709,1178709,0,0,553630459252,5755031966,1169391,8097,1221,382,391917,0 45,2,2024-09-07 10:03:21:268,848674,848674,0,0,32858788,0,3596 45,3,2024-09-07 10:03:20:941,1,670,48,0,531,6340,670,0 46,0,2024-09-07 10:03:20:950,163168,0.5,162701,0.7,326396,0.5,434125,2.00 46,1,2024-09-07 10:03:20:577,1180819,1180819,0,0,554120632702,5731010101,1172447,7301,1071,366,391709,0 46,2,2024-09-07 10:03:20:606,850148,850148,0,0,33895019,0,4443 46,3,2024-09-07 10:03:21:134,1,670,1,0,908,7559,670,0 47,0,2024-09-07 10:03:21:102,169448,0.4,169056,0.6,339394,0.4,450279,2.00 47,1,2024-09-07 10:03:20:570,1182067,1182067,0,0,554191817764,5728752257,1174664,6390,1013,364,391666,0 47,2,2024-09-07 10:03:20:910,851034,851034,0,0,34051598,0,4477 47,3,2024-09-07 10:03:21:115,1,670,1,0,600,7251,670,0 48,0,2024-09-07 10:03:21:495,170451,0.3,171040,0.4,340770,0.2,453566,1.50 48,1,2024-09-07 10:03:21:022,1179286,1179286,0,0,553588242055,5752643458,1171710,6564,1012,381,391710,0 48,2,2024-09-07 10:03:20:699,844705,844705,0,0,31158380,0,3619 48,3,2024-09-07 10:03:20:753,1,670,1,0,339,5896,670,0 49,0,2024-09-07 10:03:21:713,173705,0.3,170076,0.5,330950,0.3,451110,1.75 49,1,2024-09-07 10:03:21:021,1178316,1178316,0,0,553555182691,5757697148,1170462,6157,1697,382,391809,0 49,2,2024-09-07 10:03:21:806,850467,850467,0,0,32671778,0,4426 49,3,2024-09-07 10:03:21:430,1,670,1,0,992,7927,670,0 50,0,2024-09-07 10:03:21:517,163369,0.3,162034,0.5,326310,0.2,433655,1.75 50,1,2024-09-07 10:03:21:010,1181294,1181294,0,0,554491607194,5733135884,1173832,6731,731,368,391691,0 50,2,2024-09-07 10:03:21:067,847902,847902,0,0,30629559,0,4490 50,3,2024-09-07 10:03:21:290,1,670,1,0,617,6712,670,0 51,0,2024-09-07 10:03:21:683,171414,0.3,167670,0.5,326629,0.2,445921,1.75 51,1,2024-09-07 10:03:21:683,1181541,1181541,0,0,556460510087,5738780545,1174870,5329,1342,365,391706,0 51,2,2024-09-07 10:03:21:318,847962,847962,0,0,30138443,0,3337 51,3,2024-09-07 10:03:21:027,1,670,12,0,678,5376,670,0 52,0,2024-09-07 10:03:21:448,170862,0.5,170907,0.7,341429,0.4,453940,2.00 52,1,2024-09-07 10:03:20:576,1176292,1176292,0,0,552036396944,5779010764,1159571,14294,2427,368,391805,0 52,2,2024-09-07 10:03:21:755,841840,841802,38,0,41676715,0,6742 52,3,2024-09-07 10:03:20:677,1,670,7,0,1782,7872,670,0 53,0,2024-09-07 10:03:21:736,170054,0.6,165117,0.8,345321,0.7,451888,2.25 53,1,2024-09-07 10:03:20:785,1174585,1174585,0,0,552285531758,5791238304,1155556,14617,4412,367,391968,0 53,2,2024-09-07 10:03:21:305,848304,848166,138,0,38060405,0,7690 53,3,2024-09-07 10:03:20:699,1,670,2,0,308,6148,670,0 54,0,2024-09-07 10:03:21:625,162651,0.6,163029,0.7,324772,0.5,433477,2.25 54,1,2024-09-07 10:03:20:580,1177571,1177571,0,0,553991703985,5761056911,1165798,10099,1674,366,391810,0 54,2,2024-09-07 10:03:20:865,848153,848121,32,0,41230931,0,6397 54,3,2024-09-07 10:03:20:762,1,670,1,0,676,8446,670,0 55,0,2024-09-07 10:03:21:764,159908,0.7,164918,0.8,334481,0.7,436161,2.50 55,1,2024-09-07 10:03:20:764,1178402,1178402,0,0,552940784843,5751318774,1166384,10532,1486,365,391731,0 55,2,2024-09-07 10:03:20:730,845852,845796,56,0,37906907,0,7239 55,3,2024-09-07 10:03:20:675,1,670,8,0,304,6209,670,0 56,0,2024-09-07 10:03:21:597,174396,1.3,164369,1.2,338757,1.7,453515,2.75 56,1,2024-09-07 10:03:20:581,1171151,1171151,0,0,550411704342,5820586957,1151770,15629,3752,381,391867,0 56,2,2024-09-07 10:03:21:319,845784,845662,122,0,40263960,0,7432 56,3,2024-09-07 10:03:21:061,1,670,1,0,705,8096,670,0 57,0,2024-09-07 10:03:20:944,169696,1.4,169637,1.2,339116,1.9,453438,3.00 57,1,2024-09-07 10:03:20:995,1174143,1174143,0,0,550884979164,5780575783,1158599,12854,2690,366,392032,0 57,2,2024-09-07 10:03:21:333,844757,844757,0,0,42704457,0,4804 57,3,2024-09-07 10:03:21:754,1,670,0,0,455,7329,670,0 58,0,2024-09-07 10:03:20:554,163040,0.9,158333,1.0,331368,1.1,433513,2.50 58,1,2024-09-07 10:03:20:575,1175085,1175082,0,3,552409273217,5794168739,1156780,13901,4401,367,391726,3 58,2,2024-09-07 10:03:21:071,848248,848248,0,0,39122537,0,3483 58,3,2024-09-07 10:03:21:068,1,670,1,0,1043,7322,670,0 59,0,2024-09-07 10:03:21:765,163414,0.8,162787,1.0,325589,0.9,432655,2.75 59,1,2024-09-07 10:03:20:804,1174710,1174710,0,0,551223381389,5791914202,1155488,15385,3837,369,391653,0 59,2,2024-09-07 10:03:20:584,847984,847984,0,0,37425014,0,3727 59,3,2024-09-07 10:03:21:750,1,670,3,0,1015,7955,670,0 60,0,2024-09-07 10:03:21:736,169511,0.5,169593,0.7,339273,0.5,452261,1.75 60,1,2024-09-07 10:03:20:782,1178582,1178582,0,0,553585906100,5763593098,1168297,8878,1407,370,392031,0 60,2,2024-09-07 10:03:21:164,849070,849070,0,0,35664369,0,3811 60,3,2024-09-07 10:03:21:269,1,670,17,0,409,7660,670,0 61,0,2024-09-07 10:03:21:509,170293,0.6,171076,0.8,340579,0.6,454723,2.00 61,1,2024-09-07 10:03:20:775,1175955,1175955,0,0,551939584648,5778886151,1163677,10501,1777,382,392127,0 61,2,2024-09-07 10:03:21:118,844630,844563,67,0,36233741,0,6411 61,3,2024-09-07 10:03:21:694,1,670,2,0,607,8407,670,0 62,0,2024-09-07 10:03:21:715,168449,0.5,172750,0.7,329744,0.5,447638,2.00 62,1,2024-09-07 10:03:21:114,1183235,1183229,0,6,554676615063,5723805221,1176688,6067,474,365,391975,6 62,2,2024-09-07 10:03:21:648,846540,846539,1,0,35945123,0,5555 62,3,2024-09-07 10:03:21:148,1,670,0,0,482,5335,670,0 63,0,2024-09-07 10:03:21:453,163958,0.4,163600,0.6,328028,0.3,436124,1.75 63,1,2024-09-07 10:03:20:804,1179769,1179763,0,6,554382946488,5758003911,1172141,6694,928,381,391800,6 63,2,2024-09-07 10:03:20:766,848651,848651,0,0,35211359,0,4369 63,3,2024-09-07 10:03:21:735,1,670,481,0,667,7749,670,0 64,0,2024-09-07 10:03:21:557,167024,0.5,166853,0.7,333314,0.5,444613,2.00 64,1,2024-09-07 10:03:20:753,1177984,1177984,0,0,553659332333,5765184677,1167795,8163,2026,370,391794,0 64,2,2024-09-07 10:03:21:151,851149,851130,19,0,34134130,0,6121 64,3,2024-09-07 10:03:21:150,1,670,5,0,651,7621,670,0 65,0,2024-09-07 10:03:21:690,168886,0.6,169198,0.7,337827,0.6,450555,2.00 65,1,2024-09-07 10:03:20:862,1176379,1176379,0,0,552057905255,5769605920,1168220,7261,898,381,391901,0 65,2,2024-09-07 10:03:21:700,843100,843100,0,0,40128712,0,3367 65,3,2024-09-07 10:03:21:682,1,670,2,0,782,7974,670,0 66,0,2024-09-07 10:03:21:772,169720,0.5,169100,0.7,338460,0.5,450187,2.00 66,1,2024-09-07 10:03:21:299,1178454,1178454,0,0,552938426058,5754520130,1171093,6489,872,380,391743,0 66,2,2024-09-07 10:03:21:135,851684,851681,3,0,35454676,0,5455 66,3,2024-09-07 10:03:21:083,1,670,1,0,291,6032,670,0 67,0,2024-09-07 10:03:21:441,163547,0.5,163160,0.7,326980,0.5,434911,2.00 67,1,2024-09-07 10:03:20:765,1178337,1178336,0,1,552458330171,5753685330,1169908,7288,1140,380,391787,1 67,2,2024-09-07 10:03:20:584,851575,851560,15,0,35774539,0,6205 67,3,2024-09-07 10:03:21:760,1,670,8,0,595,7197,670,0 68,0,2024-09-07 10:03:20:571,166247,0.6,166053,0.8,330874,0.6,442811,2.25 68,1,2024-09-07 10:03:20:579,1173653,1173653,0,0,550272620962,5792813512,1156939,12443,4271,381,391953,0 68,2,2024-09-07 10:03:21:050,842540,842440,100,0,44046179,0,8578 68,3,2024-09-07 10:03:20:736,1,670,33,0,417,9414,670,0 69,0,2024-09-07 10:03:21:747,170745,0.7,171258,0.8,341761,0.8,454800,2.25 69,1,2024-09-07 10:03:21:019,1173182,1173182,0,0,550705233927,5802634521,1158416,11785,2981,383,391994,0 69,2,2024-09-07 10:03:21:735,843517,843488,29,0,47291750,0,6912 69,3,2024-09-07 10:03:20:771,1,670,1,0,698,10285,670,0 70,0,2024-09-07 10:03:21:554,169372,0.7,170185,0.9,341179,0.7,452658,2.50 70,1,2024-09-07 10:03:20:801,1179298,1179298,0,0,554414422418,5756270077,1169857,8396,1045,366,391725,0 70,2,2024-09-07 10:03:21:332,847100,847100,0,0,38818436,0,4323 70,3,2024-09-07 10:03:20:745,1,670,4,0,854,7493,670,0 71,0,2024-09-07 10:03:21:371,163669,0.8,163267,1.0,327648,1.0,436861,2.50 71,1,2024-09-07 10:03:21:601,1177370,1177370,0,0,552396071402,5766367935,1162943,12642,1785,367,391738,0 71,2,2024-09-07 10:03:21:072,846851,846851,0,0,39260593,0,4352 71,3,2024-09-07 10:03:21:761,1,670,13,0,644,7510,670,0 72,0,2024-09-07 10:03:21:021,170896,0.6,166857,0.7,326118,0.5,443362,2.00 72,1,2024-09-07 10:03:21:025,1175638,1175638,0,0,551682337140,5785474309,1158896,14010,2732,369,391819,0 72,2,2024-09-07 10:03:21:754,845831,845831,0,0,40855006,0,3983 72,3,2024-09-07 10:03:21:757,1,670,0,0,564,9432,670,0 73,0,2024-09-07 10:03:21:149,166286,0.5,170453,0.6,348338,0.4,454056,2.00 73,1,2024-09-07 10:03:20:792,1177459,1177459,0,0,553064909055,5750085846,1168157,8242,1060,367,391858,0 73,2,2024-09-07 10:03:21:744,847186,847185,1,0,43147045,0,5027 73,3,2024-09-07 10:03:20:968,1,670,16,0,1091,9118,670,0 74,0,2024-09-07 10:03:21:348,172364,0.5,176434,0.7,336889,0.4,457624,2.00 74,1,2024-09-07 10:03:20:637,1176025,1176025,0,0,551326250212,5753431357,1163841,10044,2140,381,391762,0 74,2,2024-09-07 10:03:21:007,843481,843481,0,0,36965842,0,4253 74,3,2024-09-07 10:03:21:442,1,670,7,0,522,7867,670,0 75,0,2024-09-07 10:03:21:775,166495,0.5,165538,0.7,332242,0.5,443369,2.25 75,1,2024-09-07 10:03:21:588,1177469,1177469,0,0,552604225268,5759650911,1167605,8872,992,380,391739,0 75,2,2024-09-07 10:03:21:359,846686,846686,0,0,44101281,0,4766 75,3,2024-09-07 10:03:21:068,1,670,8,0,918,9388,670,0 76,0,2024-09-07 10:03:20:639,163590,0.5,162948,0.7,325689,0.5,435789,2.25 76,1,2024-09-07 10:03:20:813,1177263,1177263,0,0,552641764206,5765643693,1168702,7256,1305,382,391790,0 76,2,2024-09-07 10:03:21:061,851425,851422,3,0,36949204,0,5265 76,3,2024-09-07 10:03:21:157,1,670,4,0,249,6259,670,0 77,0,2024-09-07 10:03:21:713,168285,0.6,168829,0.7,337823,0.5,449318,2.00 77,1,2024-09-07 10:03:20:828,1176742,1176742,0,0,552194472999,5767045165,1166818,8838,1086,381,391869,0 77,2,2024-09-07 10:03:21:291,847401,847401,0,0,35781281,0,3890 77,3,2024-09-07 10:03:21:098,1,670,2,0,401,7737,670,0 78,0,2024-09-07 10:03:21:742,170791,0.4,170063,0.6,341336,0.4,451529,2.00 78,1,2024-09-07 10:03:20:611,1176450,1176450,0,0,552313820068,5765044737,1161506,11708,3236,367,391670,0 78,2,2024-09-07 10:03:21:405,844989,844976,13,0,33233397,0,8313 78,3,2024-09-07 10:03:21:134,1,670,1,0,311,5659,670,0 79,0,2024-09-07 10:03:21:360,163149,0.4,167190,0.6,342399,0.3,445618,2.00 79,1,2024-09-07 10:03:20:571,1180560,1180560,0,0,553980779296,5740343031,1170756,8004,1800,367,391682,0 79,2,2024-09-07 10:03:21:069,849768,849768,0,0,32404885,0,4195 79,3,2024-09-07 10:03:20:752,1,670,17,0,418,7581,670,0 80,0,2024-09-07 10:03:21:124,163042,0.5,167419,0.7,319782,0.5,432812,2.00 80,1,2024-09-07 10:03:21:631,1176918,1176918,0,0,552598429639,5754462154,1169180,7190,548,368,392269,0 80,2,2024-09-07 10:03:21:097,851495,851495,0,0,33123603,0,4433 80,3,2024-09-07 10:03:20:601,1,670,4,0,681,8125,670,0 81,0,2024-09-07 10:03:21:647,166743,0.6,170715,0.7,325752,0.6,442640,2.00 81,1,2024-09-07 10:03:21:655,1176011,1176011,0,0,551673524932,5765878338,1166571,8467,973,382,392001,0 81,2,2024-09-07 10:03:21:151,846963,846900,63,0,36510583,0,5932 81,3,2024-09-07 10:03:21:143,1,670,17,0,719,7337,670,0 82,0,2024-09-07 10:03:21:533,170905,0.5,171067,0.7,342551,0.5,454450,2.00 82,1,2024-09-07 10:03:20:583,1178090,1178086,0,4,553625496780,5759461891,1171238,5725,1123,381,391768,4 82,2,2024-09-07 10:03:21:691,847896,847896,0,0,30710983,0,4484 82,3,2024-09-07 10:03:21:753,1,670,1,0,363,6087,670,0 83,0,2024-09-07 10:03:21:539,170692,0.6,170616,0.7,340949,0.5,452582,2.25 83,1,2024-09-07 10:03:20:551,1176912,1176912,0,0,552427819355,5760843100,1168044,7843,1025,382,391709,0 83,2,2024-09-07 10:03:20:766,848971,848946,25,0,33248325,0,5612 83,3,2024-09-07 10:03:20:749,1,670,10,0,1260,7886,670,0 84,0,2024-09-07 10:03:22:311,162975,0.7,163022,0.9,326250,0.7,435425,2.25 84,1,2024-09-07 10:03:21:042,1175279,1175279,0,0,551953323964,5775580761,1161613,11583,2083,367,391967,0 84,2,2024-09-07 10:03:20:571,846136,845726,410,0,48359763,0,17037 84,3,2024-09-07 10:03:21:145,1,670,11,0,908,9519,670,0 85,0,2024-09-07 10:03:21:006,159166,0.7,159172,0.8,337961,0.7,436465,2.25 85,1,2024-09-07 10:03:20:563,1171809,1171809,0,0,550560979254,5812068137,1154034,14845,2930,381,392092,0 85,2,2024-09-07 10:03:20:866,846159,846159,0,0,41105936,0,4255 85,3,2024-09-07 10:03:20:684,1,670,1,0,789,7716,670,0 86,0,2024-09-07 10:03:20:921,170590,0.7,175463,0.8,335968,0.8,454328,2.25 86,1,2024-09-07 10:03:20:835,1174058,1174058,0,0,551982754699,5797926012,1156705,14050,3303,366,392169,0 86,2,2024-09-07 10:03:20:868,846355,846354,1,0,43309046,0,5004 86,3,2024-09-07 10:03:20:586,1,670,1,0,441,9445,670,0 87,0,2024-09-07 10:03:21:477,172066,0.8,170818,0.8,342218,0.9,457383,2.25 87,1,2024-09-07 10:03:20:551,1174562,1174562,0,0,551582829494,5779966292,1159683,12717,2162,366,392076,0 87,2,2024-09-07 10:03:21:073,844176,844170,6,0,40251332,0,6323 87,3,2024-09-07 10:03:21:805,1,670,11,0,473,9333,670,0 88,0,2024-09-07 10:03:21:439,165314,0.4,165814,0.6,331450,0.4,441036,1.75 88,1,2024-09-07 10:03:20:569,1173407,1173407,0,0,550501496158,5770901530,1156667,13605,3135,365,392084,0 88,2,2024-09-07 10:03:20:688,847262,847262,0,0,42285583,0,4465 88,3,2024-09-07 10:03:21:267,1,670,25,0,1080,10552,670,0 89,0,2024-09-07 10:03:21:781,169346,0.4,164410,0.6,324157,0.4,440997,1.75 89,1,2024-09-07 10:03:20:572,1173038,1173038,0,0,551597602483,5800967889,1158554,12210,2274,382,391866,0 89,2,2024-09-07 10:03:21:132,847549,847549,0,0,39919750,0,3173 89,3,2024-09-07 10:03:21:794,1,670,1,0,729,11548,670,0 90,0,2024-09-07 10:03:21:649,164466,0.5,168782,0.6,344713,0.4,449957,2.00 90,1,2024-09-07 10:03:20:590,1174419,1174419,0,0,550947005007,5772131882,1162672,10592,1155,380,391825,0 90,2,2024-09-07 10:03:21:462,844238,844233,5,0,43074411,0,6370 90,3,2024-09-07 10:03:20:932,1,670,20,0,364,8890,670,0 91,0,2024-09-07 10:03:20:936,170995,0.5,165892,0.6,347159,0.5,455645,1.75 91,1,2024-09-07 10:03:20:558,1171483,1171483,0,0,551238789682,5815108025,1152896,14441,4146,381,392047,0 91,2,2024-09-07 10:03:21:343,843124,843124,0,0,38232381,0,4713 91,3,2024-09-07 10:03:20:598,1,670,1,0,231,6344,670,0 92,0,2024-09-07 10:03:21:447,169328,0.5,173256,0.6,330372,0.4,447927,1.75 92,1,2024-09-07 10:03:20:581,1176143,1176143,0,0,552632582199,5773811305,1166839,7995,1309,381,392136,0 92,2,2024-09-07 10:03:21:359,848185,848185,0,0,34688787,0,3906 92,3,2024-09-07 10:03:21:008,1,670,1,0,281,5820,670,0 93,0,2024-09-07 10:03:20:955,164414,0.4,168395,0.6,321656,0.3,435913,1.75 93,1,2024-09-07 10:03:20:805,1176740,1176740,0,0,552002433592,5769958762,1162546,11761,2433,365,392048,0 93,2,2024-09-07 10:03:20:935,848737,848737,0,0,40433960,0,4913 93,3,2024-09-07 10:03:21:413,1,670,41,0,505,7587,670,0 94,0,2024-09-07 10:03:21:630,166972,0.4,168136,0.6,336406,0.3,446943,1.75 94,1,2024-09-07 10:03:20:568,1177591,1177591,0,0,553715784983,5774424254,1169839,7262,490,381,391850,0 94,2,2024-09-07 10:03:20:766,847960,847932,28,0,35045035,0,6179 94,3,2024-09-07 10:03:21:693,1,670,1,0,576,8258,670,0 95,0,2024-09-07 10:03:21:480,170206,0.4,170111,0.6,340494,0.3,453529,1.75 95,1,2024-09-07 10:03:20:860,1178402,1178402,0,0,553398774931,5750713947,1169359,8278,765,365,391852,0 95,2,2024-09-07 10:03:21:019,843570,843570,0,0,34717104,0,3308 95,3,2024-09-07 10:03:21:709,1,670,13,0,718,10196,670,0 96,0,2024-09-07 10:03:21:040,170158,0.3,170330,0.5,340847,0.3,452872,1.75 96,1,2024-09-07 10:03:21:596,1176360,1176360,0,0,552257719580,5762604513,1167883,7183,1294,384,391964,0 96,2,2024-09-07 10:03:21:268,850338,850338,0,0,35070670,0,4180 96,3,2024-09-07 10:03:21:145,1,670,1,0,411,7628,670,0 97,0,2024-09-07 10:03:21:341,163571,0.3,163356,0.5,327464,0.3,434858,1.50 97,1,2024-09-07 10:03:20:766,1178269,1178269,0,0,553405562209,5743658433,1170420,6610,1239,367,392140,0 97,2,2024-09-07 10:03:20:611,849753,849753,0,0,35471913,0,4600 97,3,2024-09-07 10:03:20:569,1,670,1,0,433,8213,670,0 98,0,2024-09-07 10:03:21:726,165835,0.3,165907,0.5,333089,0.3,443147,1.50 98,1,2024-09-07 10:03:20:571,1177908,1177908,0,0,552637705889,5757716212,1170482,6528,898,381,391997,0 98,2,2024-09-07 10:03:20:773,846553,846553,0,0,33674361,0,4336 98,3,2024-09-07 10:03:20:702,1,670,0,0,840,9498,670,0 99,0,2024-09-07 10:03:21:514,171603,0.3,172407,0.5,343584,0.3,457470,1.75 99,1,2024-09-07 10:03:21:740,1178803,1178803,0,0,552789767065,5755203269,1171073,6623,1107,380,392069,0 99,2,2024-09-07 10:03:21:480,846073,846073,0,0,42890492,0,4276 99,3,2024-09-07 10:03:20:581,1,670,8,0,1124,8423,670,0 100,0,2024-09-07 10:03:21:460,170776,0.8,171306,0.9,342514,0.9,456603,2.50 100,1,2024-09-07 10:03:20:567,1171187,1171187,0,0,550032640453,5820386773,1152259,15133,3795,378,391989,0 100,2,2024-09-07 10:03:21:827,843049,842660,389,0,44707930,0,16909 100,3,2024-09-07 10:03:21:735,1,670,1,0,627,10565,670,0 101,0,2024-09-07 10:03:21:724,168260,0.9,164284,1.0,321321,0.9,438536,2.25 101,1,2024-09-07 10:03:20:551,1173536,1173536,0,0,551328194729,5797492739,1157182,13419,2935,368,392018,0 101,2,2024-09-07 10:03:21:760,842004,841965,39,0,46312734,0,5913 101,3,2024-09-07 10:03:20:943,1,670,1,0,1250,10401,670,0 102,0,2024-09-07 10:03:20:957,160972,0.7,165855,0.8,336933,0.7,440202,2.25 102,1,2024-09-07 10:03:21:147,1173620,1173620,0,0,551557522017,5793267520,1158196,13206,2218,369,391984,0 102,2,2024-09-07 10:03:21:741,848088,848034,54,0,38592361,0,6768 102,3,2024-09-07 10:03:21:620,1,670,1,0,466,7858,670,0 103,0,2024-09-07 10:03:21:600,176007,0.6,176041,0.8,331651,0.7,456419,2.00 103,1,2024-09-07 10:03:21:629,1172230,1172230,0,0,550593035100,5816079712,1153332,15273,3625,381,392077,0 103,2,2024-09-07 10:03:20:582,846023,846023,0,0,41991695,0,3766 103,3,2024-09-07 10:03:20:755,1,670,10,0,916,7999,670,0 104,0,2024-09-07 10:03:21:003,170054,0.7,170407,0.9,339953,0.7,454730,2.25 104,1,2024-09-07 10:03:21:603,1174652,1174652,0,0,551115401258,5796104106,1156306,14892,3454,365,392168,0 104,2,2024-09-07 10:03:21:670,842066,842066,0,0,40576038,0,4161 104,3,2024-09-07 10:03:21:424,1,670,6,0,1245,11608,670,0 105,0,2024-09-07 10:03:21:034,164474,0.8,160384,1.0,336170,0.9,441266,2.50 105,1,2024-09-07 10:03:20:579,1176679,1176679,0,0,551405871649,5776780542,1162002,12564,2113,364,392009,0 105,2,2024-09-07 10:03:21:322,846657,846657,0,0,42006340,0,4360 105,3,2024-09-07 10:03:21:308,1,670,5,0,573,10156,670,0 106,0,2024-09-07 10:03:21:017,158419,0.9,162433,0.9,332301,1.0,434194,2.50 106,1,2024-09-07 10:03:21:761,1174357,1174357,0,0,551510755369,5788302926,1157955,14253,2149,368,391914,0 106,2,2024-09-07 10:03:20:762,848362,848362,0,0,38913975,0,3331 106,3,2024-09-07 10:03:20:676,1,670,1,0,1224,9123,670,0 107,0,2024-09-07 10:03:21:119,168346,1.2,168464,1.0,337148,1.6,450137,2.25 107,1,2024-09-07 10:03:20:587,1172246,1172246,0,0,549890046710,5813384293,1153278,16713,2255,381,392234,0 107,2,2024-09-07 10:03:21:302,844157,844156,1,0,42336529,0,5024 107,3,2024-09-07 10:03:21:767,1,670,10,0,733,10521,670,0 108,0,2024-09-07 10:03:21:806,169821,0.4,170654,0.6,340260,0.4,453315,1.75 108,1,2024-09-07 10:03:21:297,1176213,1176213,0,0,552650780737,5767277704,1166024,9063,1126,367,391894,0 108,2,2024-09-07 10:03:21:758,844202,844202,0,0,37581833,0,4432 108,3,2024-09-07 10:03:21:330,1,670,3,0,767,12337,670,0 109,0,2024-09-07 10:03:21:760,169788,0.4,168379,0.6,337772,0.3,450264,1.75 109,1,2024-09-07 10:03:20:583,1174085,1174085,0,0,551958102609,5791301357,1163574,9008,1503,382,392132,0 109,2,2024-09-07 10:03:20:928,847703,847703,0,0,37463173,0,3617 109,3,2024-09-07 10:03:21:167,1,670,9,0,630,7743,670,0 110,0,2024-09-07 10:03:21:755,162761,0.4,158250,0.6,331491,0.3,434579,1.75 110,1,2024-09-07 10:03:21:658,1178237,1178237,0,0,553486842067,5747314045,1169175,7010,2052,368,392045,0 110,2,2024-09-07 10:03:21:315,849284,849284,0,0,36736277,0,4067 110,3,2024-09-07 10:03:20:690,1,670,52,0,722,8886,670,0 111,0,2024-09-07 10:03:21:417,167607,0.4,166854,0.5,333174,0.3,445400,1.75 111,1,2024-09-07 10:03:21:000,1179573,1179573,0,0,553879028524,5749228545,1173035,6148,390,380,391690,0 111,2,2024-09-07 10:03:21:116,847202,847202,0,0,36227129,0,4823 111,3,2024-09-07 10:03:20:913,1,670,8,0,379,7467,670,0 112,0,2024-09-07 10:03:20:975,171726,0.3,171222,0.4,343296,0.2,455713,1.50 112,1,2024-09-07 10:03:20:835,1178399,1178399,0,0,553119894148,5742845765,1170816,6358,1225,380,391624,0 112,2,2024-09-07 10:03:21:135,846029,846028,1,0,34400569,0,5036 112,3,2024-09-07 10:03:20:597,1,670,2,0,282,6589,670,0 113,0,2024-09-07 10:03:20:906,170659,0.3,170921,0.5,342230,0.2,454883,1.75 113,1,2024-09-07 10:03:21:689,1181853,1181853,0,0,555170594738,5728614899,1174998,5905,950,365,391664,0 113,2,2024-09-07 10:03:21:311,850858,850858,0,0,31522637,0,3813 113,3,2024-09-07 10:03:20:684,1,670,4,0,510,6919,670,0 114,0,2024-09-07 10:03:20:876,164595,0.3,165623,0.5,330510,0.2,440874,1.75 114,1,2024-09-07 10:03:20:716,1178122,1178122,0,0,553044012048,5742643399,1169553,6801,1768,381,391565,0 114,2,2024-09-07 10:03:20:872,850029,850028,1,0,33675858,0,5069 114,3,2024-09-07 10:03:21:291,1,670,99,0,415,5609,670,0 115,0,2024-09-07 10:03:20:573,166455,0.3,167067,0.4,332589,0.2,442838,1.50 115,1,2024-09-07 10:03:20:571,1178354,1178354,0,0,554115754013,5756785416,1168878,7686,1790,382,391757,0 115,2,2024-09-07 10:03:21:125,848884,848884,0,0,33540049,0,4382 115,3,2024-09-07 10:03:21:002,1,670,9,0,173,4345,670,0 116,0,2024-09-07 10:03:21:716,170620,0.8,170631,0.9,341967,0.8,456988,2.25 116,1,2024-09-07 10:03:20:805,1172243,1172243,0,0,551109514986,5807624624,1158412,10622,3209,380,392089,0 116,2,2024-09-07 10:03:21:753,846944,846944,0,0,41397732,0,4475 116,3,2024-09-07 10:03:20:922,1,670,1,0,448,8783,670,0 117,0,2024-09-07 10:03:20:965,171741,0.7,171466,0.8,343177,0.7,458588,2.00 117,1,2024-09-07 10:03:21:579,1174138,1174138,0,0,550735069533,5771739628,1160336,12040,1762,369,392429,0 117,2,2024-09-07 10:03:21:120,846790,846790,0,0,37773019,0,4303 117,3,2024-09-07 10:03:21:066,1,670,1,0,490,8379,670,0 118,0,2024-09-07 10:03:21:824,160331,0.5,164722,0.7,336083,0.5,439491,2.00 118,1,2024-09-07 10:03:20:585,1173283,1173283,0,0,550705605684,5790537617,1155814,13625,3844,366,392054,0 118,2,2024-09-07 10:03:21:588,846914,846914,0,0,40438049,0,3161 118,3,2024-09-07 10:03:21:796,1,670,0,0,343,8160,670,0 119,0,2024-09-07 10:03:21:530,164531,0.7,165016,0.8,329343,0.8,439633,2.25 119,1,2024-09-07 10:03:20:551,1174411,1174411,0,0,551589484364,5786993845,1158424,13323,2664,367,391857,0 119,2,2024-09-07 10:03:21:518,848740,848740,0,0,37500633,0,4309 119,3,2024-09-07 10:03:21:411,1,670,11,0,1358,12052,670,0 120,0,2024-09-07 10:03:21:554,168790,0.6,168545,0.8,338680,0.6,451207,2.25 120,1,2024-09-07 10:03:20:859,1174994,1174994,0,0,551532366361,5783598448,1162489,11348,1157,367,392144,0 120,2,2024-09-07 10:03:20:772,846418,846415,3,0,44973439,0,5363 120,3,2024-09-07 10:03:21:291,1,670,4,0,478,8665,670,0 121,0,2024-09-07 10:03:21:692,170675,1.1,170074,1.0,340333,1.5,453850,2.25 121,1,2024-09-07 10:03:21:655,1174692,1174692,0,0,552405958511,5783251569,1161510,11190,1992,366,391840,0 121,2,2024-09-07 10:03:21:125,843712,843712,0,0,40496005,0,4157 121,3,2024-09-07 10:03:20:730,1,670,9,0,387,9111,670,0 122,0,2024-09-07 10:03:21:769,167300,0.7,162786,0.8,340533,0.8,447431,2.00 122,1,2024-09-07 10:03:20:861,1174232,1174232,0,0,551218722128,5785220547,1157972,13683,2577,365,392130,0 122,2,2024-09-07 10:03:21:324,848370,848295,75,0,44911741,0,5989 122,3,2024-09-07 10:03:20:603,1,670,15,0,512,10443,670,0 123,0,2024-09-07 10:03:20:975,162586,0.8,158345,0.8,332024,0.9,434310,2.25 123,1,2024-09-07 10:03:20:558,1174805,1174805,0,0,551673943135,5803081006,1155917,15898,2990,369,392039,0 123,2,2024-09-07 10:03:21:023,847902,847901,1,0,38567768,0,5215 123,3,2024-09-07 10:03:21:132,1,670,128,0,478,7615,670,0 124,0,2024-09-07 10:03:20:938,172398,0.4,172447,0.5,324817,0.3,447768,1.75 124,1,2024-09-07 10:03:21:022,1178222,1178222,0,0,553040626244,5751121612,1169234,7754,1234,365,392178,0 124,2,2024-09-07 10:03:21:010,849698,849645,53,0,35571953,0,6487 124,3,2024-09-07 10:03:20:758,1,670,20,0,490,6690,670,0 125,0,2024-09-07 10:03:21:451,170125,0.4,169944,0.6,340704,0.4,453930,1.75 125,1,2024-09-07 10:03:20:855,1175757,1175757,0,0,551644696098,5766414486,1165074,9151,1532,382,392045,0 125,2,2024-09-07 10:03:21:120,846781,846781,0,0,35054012,0,4534 125,3,2024-09-07 10:03:21:136,1,670,0,0,709,7549,670,0 126,0,2024-09-07 10:03:21:429,170425,0.4,175170,0.5,334867,0.3,453623,1.75 126,1,2024-09-07 10:03:20:551,1179094,1179094,0,0,553692090135,5737748883,1172119,6295,680,365,391987,0 126,2,2024-09-07 10:03:20:610,850545,850545,0,0,36624557,0,4539 126,3,2024-09-07 10:03:20:909,1,670,24,0,268,7665,670,0 127,0,2024-09-07 10:03:21:601,163788,0.3,164112,0.5,327535,0.3,435029,1.75 127,1,2024-09-07 10:03:20:570,1177447,1177447,0,0,552759899816,5753106285,1165358,10396,1693,364,392187,0 127,2,2024-09-07 10:03:20:646,849587,849583,4,0,34711592,0,5305 127,3,2024-09-07 10:03:21:268,1,670,7,0,968,6639,670,0 128,0,2024-09-07 10:03:21:577,166951,0.3,166896,0.4,333778,0.2,443911,1.50 128,1,2024-09-07 10:03:21:616,1176875,1176875,0,0,552847631282,5748847141,1168015,7890,970,367,392423,0 128,2,2024-09-07 10:03:21:394,849023,849023,0,0,32560719,0,3171 128,3,2024-09-07 10:03:20:770,1,670,5,0,1082,10073,670,0 129,0,2024-09-07 10:03:20:990,172803,0.3,172061,0.5,345182,0.3,458223,1.75 129,1,2024-09-07 10:03:20:568,1173926,1173926,0,0,551707305697,5788388594,1161182,10415,2329,379,391962,0 129,2,2024-09-07 10:03:20:687,848585,848581,4,0,35064522,0,5335 129,3,2024-09-07 10:03:20:687,1,670,2,0,506,9813,670,0 130,0,2024-09-07 10:03:21:722,172049,0.4,171823,0.6,343947,0.4,458095,1.75 130,1,2024-09-07 10:03:20:590,1178537,1178537,0,0,553502029119,5747555455,1172851,5280,406,381,391825,0 130,2,2024-09-07 10:03:21:133,847501,847501,0,0,34909449,0,4067 130,3,2024-09-07 10:03:21:290,1,670,2,0,960,8664,670,0 131,0,2024-09-07 10:03:21:939,164708,0.3,165232,0.5,331371,0.3,440304,1.50 131,1,2024-09-07 10:03:21:826,1177748,1177748,0,0,551771307509,5750722595,1169740,6806,1202,381,391865,0 131,2,2024-09-07 10:03:20:571,848489,848489,0,0,32661629,0,3979 131,3,2024-09-07 10:03:21:691,1,670,3,0,392,8506,670,0 132,0,2024-09-07 10:03:21:438,165960,0.5,166939,0.6,332128,0.5,442205,2.00 132,1,2024-09-07 10:03:20:576,1173200,1173200,0,0,550334014279,5792729778,1156793,13627,2780,381,392532,0 132,2,2024-09-07 10:03:20:700,847438,847421,17,0,42048861,0,6451 132,3,2024-09-07 10:03:21:691,1,670,10,0,1298,11722,670,0 133,0,2024-09-07 10:03:21:528,166387,0.5,170301,0.6,349161,0.4,454641,2.00 133,1,2024-09-07 10:03:20:583,1173228,1173228,0,0,551394833430,5808673295,1157824,13471,1933,383,391914,0 133,2,2024-09-07 10:03:21:090,848565,848515,50,0,43033633,0,6861 133,3,2024-09-07 10:03:21:304,1,670,6,0,528,7937,670,0 134,0,2024-09-07 10:03:20:955,171977,0.5,171846,0.7,343754,0.5,458048,2.25 134,1,2024-09-07 10:03:20:594,1174189,1174189,0,0,551188215753,5786527032,1158113,12784,3292,366,391781,0 134,2,2024-09-07 10:03:21:768,843657,843518,139,0,40195250,0,7591 134,3,2024-09-07 10:03:20:757,1,670,20,0,739,8443,670,0 135,0,2024-09-07 10:03:21:125,161197,0.7,161181,0.8,342179,0.8,440603,2.25 135,1,2024-09-07 10:03:21:594,1174398,1174398,0,0,550752832795,5790962621,1160061,12485,1852,380,392038,0 135,2,2024-09-07 10:03:20:688,848774,848774,0,0,40908576,0,4503 135,3,2024-09-07 10:03:21:010,1,670,8,0,900,6541,670,0 136,0,2024-09-07 10:03:21:621,164430,0.6,164964,0.8,327666,0.6,437434,2.00 136,1,2024-09-07 10:03:21:440,1175559,1175559,0,0,552070595271,5785004229,1162914,11221,1424,381,392135,0 136,2,2024-09-07 10:03:21:135,850595,850580,15,0,40167098,0,6007 136,3,2024-09-07 10:03:21:106,1,670,8,0,637,7748,670,0 137,0,2024-09-07 10:03:20:945,174032,0.6,169665,0.8,332309,0.7,451862,2.00 137,1,2024-09-07 10:03:20:576,1174309,1174309,0,0,551274333501,5783057337,1155900,14772,3637,366,391898,0 137,2,2024-09-07 10:03:21:706,846531,846531,0,0,41018752,0,3185 137,3,2024-09-07 10:03:20:773,1,670,48,0,484,9657,670,0 138,0,2024-09-07 10:03:21:742,169200,0.9,169583,0.9,339612,1.2,451708,2.25 138,1,2024-09-07 10:03:21:690,1174304,1174304,0,0,552396414768,5799065101,1157271,14344,2689,368,391954,0 138,2,2024-09-07 10:03:20:689,842450,842450,0,0,39318927,0,4988 138,3,2024-09-07 10:03:20:619,1,670,6,0,1200,10179,670,0 139,0,2024-09-07 10:03:21:394,167586,0.9,168104,0.9,336227,1.2,448591,2.25 139,1,2024-09-07 10:03:20:571,1170097,1170097,0,0,548651867115,5815322523,1150344,15841,3912,380,392109,0 139,2,2024-09-07 10:03:20:696,843433,843403,30,0,44853251,0,5997 139,3,2024-09-07 10:03:21:662,1,670,10,0,432,8006,670,0 140,0,2024-09-07 10:03:21:587,163369,0.3,162604,0.5,326382,0.2,434889,1.75 140,1,2024-09-07 10:03:21:540,1181992,1181992,0,0,553540203104,5711703006,1175796,5459,737,364,391628,0 140,2,2024-09-07 10:03:20:687,849687,849686,1,0,32900437,0,5036 140,3,2024-09-07 10:03:20:766,1,670,1,0,575,6865,670,0 141,0,2024-09-07 10:03:21:697,167271,0.3,171903,0.5,328666,0.3,445014,1.75 141,1,2024-09-07 10:03:20:860,1178980,1178980,0,0,554013743662,5760211391,1168800,8789,1391,379,391614,0 141,2,2024-09-07 10:03:21:691,848128,848117,11,0,35375280,0,5369 141,3,2024-09-07 10:03:21:046,1,670,47,0,391,7948,670,0 142,0,2024-09-07 10:03:21:339,171899,0.3,171089,0.5,342664,0.3,456553,1.75 142,1,2024-09-07 10:03:20:585,1176837,1176837,0,0,552814241668,5767612836,1168354,7621,862,382,392102,0 142,2,2024-09-07 10:03:21:302,844827,844795,32,0,35277145,0,6028 142,3,2024-09-07 10:03:21:755,1,670,2,0,484,6914,670,0 143,0,2024-09-07 10:03:21:517,170830,0.4,170563,0.5,342355,0.4,454899,1.75 143,1,2024-09-07 10:03:20:556,1179947,1179947,0,0,553711728898,5746385186,1172160,6882,905,367,391900,0 143,2,2024-09-07 10:03:20:773,849642,849642,0,0,34970688,0,3123 143,3,2024-09-07 10:03:21:145,1,670,30,0,462,7862,670,0 144,0,2024-09-07 10:03:21:504,159544,0.6,164163,0.7,334033,0.5,437230,2.00 144,1,2024-09-07 10:03:20:573,1173641,1173641,0,0,550923085719,5782384193,1161911,9689,2041,381,391733,0 144,2,2024-09-07 10:03:21:757,849573,849573,0,0,34839221,0,4443 144,3,2024-09-07 10:03:21:744,1,670,1,0,306,7233,670,0 145,0,2024-09-07 10:03:21:459,160387,0.6,160371,0.8,340319,0.6,438538,2.25 145,1,2024-09-07 10:03:20:552,1172754,1172754,0,0,551182544945,5803831904,1156574,13215,2965,382,391781,0 145,2,2024-09-07 10:03:21:450,845772,845690,82,0,40944969,0,7814 145,3,2024-09-07 10:03:20:898,1,670,12,0,622,9059,670,0 146,0,2024-09-07 10:03:21:627,171228,0.7,170329,0.8,342399,0.7,455048,2.25 146,1,2024-09-07 10:03:21:603,1174984,1174984,0,0,551262979949,5799972351,1155966,14095,4923,367,391829,0 146,2,2024-09-07 10:03:21:698,845646,845640,6,0,39135912,0,5151 146,3,2024-09-07 10:03:21:286,1,670,1,0,1520,10853,670,0 147,0,2024-09-07 10:03:21:693,171818,0.6,171535,0.7,342924,0.6,457760,2.25 147,1,2024-09-07 10:03:21:388,1178324,1178324,0,0,553592394420,5755297731,1168551,8676,1097,367,391791,0 147,2,2024-09-07 10:03:21:011,845088,845088,0,0,35575454,0,3359 147,3,2024-09-07 10:03:20:931,1,670,13,0,1626,10214,670,0 0,0,2024-09-07 10:03:31:926,165012,0.6,164921,0.8,349991,0.7,452292,2.00 0,1,2024-09-07 10:03:30:814,1177418,1177418,0,0,552495640147,5785022878,1167763,8693,962,368,391896,0 0,2,2024-09-07 10:03:31:077,849949,849949,0,0,34530129,0,4480 0,3,2024-09-07 10:03:30:975,1,671,1,0,431,9255,671,0 1,0,2024-09-07 10:03:31:767,170734,0.8,169745,0.9,340770,1.0,456080,2.00 1,1,2024-09-07 10:03:30:597,1176069,1176069,0,0,552020057694,5785343217,1164708,9396,1965,370,391859,0 1,2,2024-09-07 10:03:30:662,846221,846221,0,0,33877608,0,3380 1,3,2024-09-07 10:03:31:350,1,671,6,0,269,7991,671,0 2,0,2024-09-07 10:03:31:573,167908,0.6,167647,0.7,334966,0.6,446730,2.00 2,1,2024-09-07 10:03:30:872,1180216,1180216,0,0,554518275386,5766422102,1173375,5880,961,379,391805,0 2,2,2024-09-07 10:03:31:274,850728,850728,0,0,32388393,0,3594 2,3,2024-09-07 10:03:30:734,1,671,229,0,357,6029,671,0 3,0,2024-09-07 10:03:31:747,163582,0.4,163543,0.6,326729,0.4,435564,2.00 3,1,2024-09-07 10:03:31:619,1178360,1178360,0,0,553224128704,5755267661,1169895,7747,718,379,391716,0 3,2,2024-09-07 10:03:31:144,851862,851839,23,0,33813483,0,5851 3,3,2024-09-07 10:03:31:761,1,671,8,0,484,5144,671,0 4,0,2024-09-07 10:03:31:909,163215,0.4,167649,0.5,341854,0.4,447424,1.75 4,1,2024-09-07 10:03:30:612,1172842,1172842,0,0,550877985530,5830183921,1152974,15267,4601,369,391992,0 4,2,2024-09-07 10:03:31:049,846612,846612,0,0,41291193,0,4534 4,3,2024-09-07 10:03:31:036,1,671,11,0,448,8989,671,0 5,0,2024-09-07 10:03:31:477,170385,0.4,170190,0.6,340733,0.4,453672,1.75 5,1,2024-09-07 10:03:30:812,1174390,1174390,0,0,551008843143,5815729937,1156761,13394,4235,367,392005,0 5,2,2024-09-07 10:03:31:830,841534,841501,33,0,41405316,0,7631 5,3,2024-09-07 10:03:31:741,1,671,1,0,457,8938,671,0 6,0,2024-09-07 10:03:30:931,170106,0.5,169695,0.6,339532,0.4,452120,2.00 6,1,2024-09-07 10:03:30:811,1177112,1177112,0,0,552876866233,5774022950,1164975,10452,1685,379,391702,0 6,2,2024-09-07 10:03:31:117,850198,850180,18,0,38617355,0,5535 6,3,2024-09-07 10:03:31:274,1,671,1,0,710,7919,671,0 7,0,2024-09-07 10:03:31:538,162867,0.5,163954,0.7,325598,0.5,433743,2.00 7,1,2024-09-07 10:03:30:921,1175122,1175122,0,0,552055499101,5811101840,1157295,13690,4137,382,391747,0 7,2,2024-09-07 10:03:30:828,848933,848764,169,0,39422958,0,7706 7,3,2024-09-07 10:03:30:878,1,671,1,0,552,7908,671,0 8,0,2024-09-07 10:03:31:716,166962,0.4,166552,0.5,333523,0.3,444736,1.75 8,1,2024-09-07 10:03:31:108,1173646,1173646,0,0,552052719441,5806393112,1155036,14566,4044,366,392853,0 8,2,2024-09-07 10:03:30:813,842780,842778,2,0,44098939,0,5112 8,3,2024-09-07 10:03:30:601,1,671,3,0,772,10188,671,0 9,0,2024-09-07 10:03:31:197,171739,0.4,166729,0.5,349029,0.4,457756,1.75 9,1,2024-09-07 10:03:30:559,1173448,1173448,0,0,551730734573,5821109433,1153800,15300,4348,369,392001,0 9,2,2024-09-07 10:03:31:103,845601,845600,1,0,42239788,0,5281 9,3,2024-09-07 10:03:31:792,1,671,2,0,1273,10982,671,0 10,0,2024-09-07 10:03:31:603,171435,0.4,170901,0.5,342749,0.3,456202,1.75 10,1,2024-09-07 10:03:30:598,1175336,1175336,0,0,551431352150,5789713712,1157368,14234,3734,381,391981,0 10,2,2024-09-07 10:03:30:807,848010,848010,0,0,45247043,0,4713 10,3,2024-09-07 10:03:30:919,1,671,1,0,669,7295,671,0 11,0,2024-09-07 10:03:31:014,164781,0.4,159980,0.6,334870,0.4,440953,1.75 11,1,2024-09-07 10:03:30:596,1177838,1177838,0,0,551915561831,5789598258,1160964,12564,4310,383,391766,0 11,2,2024-09-07 10:03:31:131,848137,848137,0,0,38953546,0,4698 11,3,2024-09-07 10:03:31:303,1,671,12,0,843,8321,671,0 12,0,2024-09-07 10:03:31:229,167157,0.4,166928,0.5,333375,0.4,443387,1.75 12,1,2024-09-07 10:03:30:941,1177085,1177085,0,0,552761488440,5768652752,1167278,8781,1026,370,391960,0 12,2,2024-09-07 10:03:31:544,847115,847115,0,0,38778239,0,4390 12,3,2024-09-07 10:03:31:069,1,671,12,0,386,8406,671,0 13,0,2024-09-07 10:03:31:343,171968,0.4,172163,0.6,343558,0.4,458058,1.75 13,1,2024-09-07 10:03:31:538,1174375,1174375,0,0,551635816302,5804918269,1161167,10342,2866,382,391803,0 13,2,2024-09-07 10:03:30:640,850618,850618,0,0,35149342,0,3287 13,3,2024-09-07 10:03:31:802,1,671,137,0,522,9061,671,0 14,0,2024-09-07 10:03:30:563,171601,0.4,172601,0.6,343359,0.4,457115,1.75 14,1,2024-09-07 10:03:31:573,1182864,1182864,0,0,554990690259,5742438761,1173817,7913,1134,364,391673,0 14,2,2024-09-07 10:03:30:813,846393,846363,30,0,35712328,0,6104 14,3,2024-09-07 10:03:31:120,1,671,1,0,1168,7028,671,0 15,0,2024-09-07 10:03:31:556,166541,0.4,165775,0.6,331676,0.3,442439,1.75 15,1,2024-09-07 10:03:31:608,1179072,1179072,0,0,553978652130,5773869583,1168478,8501,2093,381,391619,0 15,2,2024-09-07 10:03:31:005,851133,851133,0,0,32202841,0,3622 15,3,2024-09-07 10:03:31:409,1,671,8,0,1126,8523,671,0 16,0,2024-09-07 10:03:31:076,164129,0.6,164897,0.8,328934,0.6,438031,2.00 16,1,2024-09-07 10:03:30:564,1178665,1178665,0,0,552986484403,5781630486,1168039,9083,1543,370,392194,0 16,2,2024-09-07 10:03:31:447,849614,849614,0,0,35437816,0,4719 16,3,2024-09-07 10:03:31:215,1,671,47,0,362,8462,671,0 17,0,2024-09-07 10:03:31:872,174310,0.7,170060,0.8,332972,0.7,453021,2.00 17,1,2024-09-07 10:03:30:615,1177191,1177191,0,0,552599976384,5793451045,1166179,9218,1794,368,392075,0 17,2,2024-09-07 10:03:31:682,851908,851907,1,0,36670295,0,5050 17,3,2024-09-07 10:03:30:604,1,671,6,0,518,9725,671,0 18,0,2024-09-07 10:03:30:962,168531,0.7,169707,0.8,337678,0.7,451073,2.25 18,1,2024-09-07 10:03:31:657,1181469,1181469,0,0,554224992116,5750288759,1173363,6676,1430,367,391725,0 18,2,2024-09-07 10:03:31:764,847222,847222,0,0,33008831,0,3541 18,3,2024-09-07 10:03:30:901,1,671,0,0,1059,5987,671,0 19,0,2024-09-07 10:03:31:572,168253,0.6,168782,0.8,336407,0.7,446796,2.00 19,1,2024-09-07 10:03:30:611,1180598,1180598,0,0,554480262282,5747236888,1171755,7683,1160,365,391777,0 19,2,2024-09-07 10:03:31:783,853077,853077,0,0,30661587,0,3988 19,3,2024-09-07 10:03:31:132,1,671,1,0,524,5389,671,0 20,0,2024-09-07 10:03:31:407,162946,0.5,162848,0.7,325543,0.5,434220,2.00 20,1,2024-09-07 10:03:30:578,1176295,1176295,0,0,552070727939,5788293154,1164053,10538,1704,369,391922,0 20,2,2024-09-07 10:03:30:947,850001,850001,0,0,39251339,0,4321 20,3,2024-09-07 10:03:30:596,1,671,8,0,468,11484,671,0 21,0,2024-09-07 10:03:31:160,167306,0.5,167373,0.7,334700,0.5,444923,2.00 21,1,2024-09-07 10:03:31:563,1174323,1174323,0,0,550213436090,5809643559,1155656,14679,3988,368,392016,0 21,2,2024-09-07 10:03:31:076,842773,842296,477,0,50294207,0,17074 21,3,2024-09-07 10:03:31:422,1,671,2,0,713,8983,671,0 22,0,2024-09-07 10:03:31:719,170850,0.5,171536,0.7,342621,0.4,454430,2.25 22,1,2024-09-07 10:03:31:027,1175015,1175015,0,0,551768966018,5806592504,1155986,15426,3603,381,391822,0 22,2,2024-09-07 10:03:30:766,844867,844841,26,0,37426319,0,6328 22,3,2024-09-07 10:03:31:076,1,671,1,0,228,5596,671,0 23,0,2024-09-07 10:03:31:387,170416,0.5,170278,0.7,340446,0.4,453614,2.00 23,1,2024-09-07 10:03:31:004,1176918,1176918,0,0,552609061203,5820950775,1155675,14420,6823,365,391690,0 23,2,2024-09-07 10:03:31:094,850315,850315,0,0,35485173,0,3773 23,3,2024-09-07 10:03:31:766,1,671,8,0,855,9604,671,0 24,0,2024-09-07 10:03:30:859,165707,0.4,164725,0.6,331115,0.3,439845,1.75 24,1,2024-09-07 10:03:30:609,1175671,1175671,0,0,551814306997,5776804716,1164491,9314,1866,367,392269,0 24,2,2024-09-07 10:03:31:081,849327,849324,3,0,41829674,0,6294 24,3,2024-09-07 10:03:31:689,1,671,16,0,468,8871,671,0 25,0,2024-09-07 10:03:31:465,170695,0.4,165862,0.6,326575,0.4,445008,2.00 25,1,2024-09-07 10:03:30:634,1174030,1174030,0,0,551240715479,5824588766,1153513,16532,3985,369,391928,0 25,2,2024-09-07 10:03:31:606,845903,845903,0,0,43225284,0,3978 25,3,2024-09-07 10:03:31:020,1,671,27,0,532,7428,671,0 26,0,2024-09-07 10:03:31:731,170749,0.5,166912,0.6,350184,0.4,456664,2.00 26,1,2024-09-07 10:03:31:543,1177552,1177552,0,0,552487397175,5785513469,1161852,12797,2903,380,391758,0 26,2,2024-09-07 10:03:30:913,847807,847807,0,0,44979041,0,4689 26,3,2024-09-07 10:03:31:713,1,671,51,0,796,8325,671,0 27,0,2024-09-07 10:03:31:733,171878,0.4,172696,0.6,343104,0.4,458019,2.25 27,1,2024-09-07 10:03:31:691,1178901,1178901,0,0,554370883995,5777780683,1167624,9656,1621,381,391626,0 27,2,2024-09-07 10:03:30:877,843643,843578,65,0,39630464,0,5699 27,3,2024-09-07 10:03:31:028,1,671,10,0,564,5839,671,0 28,0,2024-09-07 10:03:31:393,165658,0.4,165963,0.6,331907,0.3,441748,1.75 28,1,2024-09-07 10:03:30:821,1179875,1179875,0,0,554014519991,5780252842,1169141,8480,2254,382,391904,0 28,2,2024-09-07 10:03:31:770,849346,849346,0,0,35622062,0,3674 28,3,2024-09-07 10:03:31:793,1,671,2,0,502,6993,671,0 29,0,2024-09-07 10:03:31:363,169893,0.4,165400,0.6,324536,0.3,443054,1.75 29,1,2024-09-07 10:03:31:572,1182428,1182428,0,0,555421251369,5748550697,1174685,6723,1020,367,391809,0 29,2,2024-09-07 10:03:30:955,849400,849400,0,0,34053710,0,4986 29,3,2024-09-07 10:03:30:965,1,671,2,0,459,6977,671,0 30,0,2024-09-07 10:03:31:478,168935,0.5,164496,0.7,344734,0.5,450642,2.00 30,1,2024-09-07 10:03:30:590,1181317,1181317,0,0,555025034232,5763057303,1171946,8243,1128,380,391672,0 30,2,2024-09-07 10:03:31:280,850285,850285,0,0,33206225,0,4192 30,3,2024-09-07 10:03:30:596,1,671,1,0,519,7136,671,0 31,0,2024-09-07 10:03:31:777,170546,0.5,171254,0.7,341698,0.4,456172,2.00 31,1,2024-09-07 10:03:30:594,1185572,1185572,0,0,555701015349,5707916331,1178235,6148,1189,356,391712,0 31,2,2024-09-07 10:03:31:289,844944,844944,0,0,36396027,0,4470 31,3,2024-09-07 10:03:31:714,1,671,8,0,239,5789,671,0 32,0,2024-09-07 10:03:31:416,168083,0.3,169151,0.5,336803,0.2,448173,1.75 32,1,2024-09-07 10:03:30:810,1180983,1180983,0,0,554493728026,5764853097,1173557,6461,965,381,391646,0 32,2,2024-09-07 10:03:30:943,852087,852087,0,0,31966909,0,3922 32,3,2024-09-07 10:03:31:023,1,671,65,0,304,5797,671,0 33,0,2024-09-07 10:03:31:498,164314,0.3,163914,0.4,327802,0.2,436899,1.50 33,1,2024-09-07 10:03:30:596,1182456,1182456,0,0,555088065143,5749406283,1173115,7990,1351,368,391730,0 33,2,2024-09-07 10:03:30:827,851399,851364,35,0,35386801,0,7012 33,3,2024-09-07 10:03:30:915,1,671,1,0,329,6139,671,0 34,0,2024-09-07 10:03:30:933,168425,0.3,173042,0.5,330996,0.2,447277,1.75 34,1,2024-09-07 10:03:31:046,1184505,1184505,0,0,556355373094,5725707674,1180545,3751,209,367,391637,0 34,2,2024-09-07 10:03:30:770,850096,850096,0,0,33313547,0,4562 34,3,2024-09-07 10:03:31:688,1,671,2,0,541,5869,671,0 35,0,2024-09-07 10:03:30:955,169753,0.3,170321,0.5,341774,0.3,454605,1.75 35,1,2024-09-07 10:03:31:076,1180379,1180379,0,0,554229973385,5751749981,1171494,7333,1552,382,391769,0 35,2,2024-09-07 10:03:31:583,845647,845647,0,0,38175557,0,4055 35,3,2024-09-07 10:03:30:933,1,671,8,0,466,6479,671,0 36,0,2024-09-07 10:03:31:519,170626,0.5,170779,0.6,341195,0.4,453929,2.00 36,1,2024-09-07 10:03:30:587,1178474,1178474,0,0,553143748552,5777558375,1163702,12418,2354,366,391759,0 36,2,2024-09-07 10:03:31:752,850459,850459,0,0,38711626,0,3875 36,3,2024-09-07 10:03:30:955,1,671,5,0,556,8731,671,0 37,0,2024-09-07 10:03:31:387,162819,0.5,162883,0.7,325990,0.5,434336,2.25 37,1,2024-09-07 10:03:30:600,1178083,1178076,0,7,552572735902,5772845000,1163901,11062,3113,365,391770,0 37,2,2024-09-07 10:03:31:151,848044,848029,15,0,38491381,0,5815 37,3,2024-09-07 10:03:31:788,1,671,0,0,888,9152,671,0 38,0,2024-09-07 10:03:31:439,165782,0.5,160699,0.7,336061,0.4,440767,2.00 38,1,2024-09-07 10:03:31:618,1178159,1178159,0,0,553925294394,5792917293,1161333,13522,3304,368,391821,0 38,2,2024-09-07 10:03:30:769,848217,848170,47,0,38755557,0,6710 38,3,2024-09-07 10:03:31:004,1,671,14,0,689,7853,671,0 39,0,2024-09-07 10:03:31:769,174949,0.6,170911,0.7,333737,0.5,455032,2.00 39,1,2024-09-07 10:03:30:770,1177378,1177378,0,0,551699031793,5786197564,1157882,15263,4233,365,391865,0 39,2,2024-09-07 10:03:31:416,846981,846981,0,0,37110532,0,3478 39,3,2024-09-07 10:03:30:769,1,671,18,0,525,7564,671,0 40,0,2024-09-07 10:03:31:502,169877,0.8,170583,1.0,340569,0.9,454625,2.75 40,1,2024-09-07 10:03:30:596,1177496,1177496,0,0,552295022628,5789358617,1159341,14619,3536,366,391668,0 40,2,2024-09-07 10:03:31:309,845712,845705,7,0,42842333,0,5347 40,3,2024-09-07 10:03:31:149,1,671,1,0,1028,9716,671,0 41,0,2024-09-07 10:03:31:032,164075,1.2,167902,1.1,320142,1.6,435084,3.00 41,1,2024-09-07 10:03:30:791,1176838,1176838,0,0,552828083404,5784262585,1161196,13117,2525,369,391878,0 41,2,2024-09-07 10:03:30:827,845788,845787,1,0,41435426,0,5408 41,3,2024-09-07 10:03:31:686,1,671,8,0,366,7386,671,0 42,0,2024-09-07 10:03:31:484,164625,1.0,164415,1.1,329158,1.2,436487,2.75 42,1,2024-09-07 10:03:31:445,1175138,1175138,0,0,551672299541,5794162002,1157555,14499,3084,380,391675,0 42,2,2024-09-07 10:03:31:132,845704,845703,1,0,43048786,0,5513 42,3,2024-09-07 10:03:31:018,1,671,4,0,892,6890,671,0 43,0,2024-09-07 10:03:30:918,168756,0.8,164448,1.0,344045,0.8,450823,2.25 43,1,2024-09-07 10:03:30:596,1177897,1177897,0,0,554087718937,5789882762,1161867,13283,2747,366,391696,0 43,2,2024-09-07 10:03:31:790,849718,849718,0,0,39538803,0,4723 43,3,2024-09-07 10:03:31:758,1,671,8,0,571,9235,671,0 44,0,2024-09-07 10:03:30:860,171823,0.4,172086,0.6,343391,0.4,458175,1.75 44,1,2024-09-07 10:03:30:595,1181129,1181129,0,0,554556425153,5734539847,1170552,8502,2075,356,391809,0 44,2,2024-09-07 10:03:31:271,844875,844875,0,0,32342030,0,4344 44,3,2024-09-07 10:03:31:112,1,671,1,0,1097,8382,671,0 45,0,2024-09-07 10:03:31:795,164734,0.5,160935,0.7,337772,0.4,442422,2.00 45,1,2024-09-07 10:03:31:026,1180436,1180436,0,0,554417025767,5763190997,1171118,8097,1221,382,391917,0 45,2,2024-09-07 10:03:31:276,850057,850057,0,0,32956589,0,3596 45,3,2024-09-07 10:03:30:940,1,671,1,0,531,6341,671,0 46,0,2024-09-07 10:03:30:952,163418,0.5,162943,0.7,326915,0.5,434845,2.00 46,1,2024-09-07 10:03:30:596,1182705,1182705,0,0,554981161669,5739900537,1174333,7301,1071,366,391709,0 46,2,2024-09-07 10:03:30:596,851289,851289,0,0,33950806,0,4443 46,3,2024-09-07 10:03:31:133,1,671,6,0,908,7565,671,0 47,0,2024-09-07 10:03:31:122,169874,0.4,169450,0.6,340178,0.4,451335,2.00 47,1,2024-09-07 10:03:30:595,1183822,1183822,0,0,554848483325,5735644584,1176419,6390,1013,364,391666,0 47,2,2024-09-07 10:03:30:913,852394,852394,0,0,34094770,0,4477 47,3,2024-09-07 10:03:31:125,1,671,97,0,600,7348,671,0 48,0,2024-09-07 10:03:31:490,170613,0.3,171200,0.4,341089,0.2,454020,1.50 48,1,2024-09-07 10:03:31:036,1181027,1181027,0,0,554290922404,5760087220,1173450,6565,1012,381,391710,0 48,2,2024-09-07 10:03:30:708,845804,845804,0,0,31199898,0,3619 48,3,2024-09-07 10:03:30:806,1,671,3,0,339,5899,671,0 49,0,2024-09-07 10:03:31:727,173809,0.3,170171,0.5,331124,0.3,451365,1.75 49,1,2024-09-07 10:03:31:024,1179993,1179993,0,0,554239938518,5764738374,1172139,6157,1697,382,391809,0 49,2,2024-09-07 10:03:31:803,851754,851754,0,0,32788112,0,4426 49,3,2024-09-07 10:03:31:415,1,671,9,0,992,7936,671,0 50,0,2024-09-07 10:03:31:507,163488,0.3,162153,0.5,326541,0.2,433982,1.75 50,1,2024-09-07 10:03:31:010,1183096,1183096,0,0,555355642822,5741921467,1175634,6731,731,368,391691,0 50,2,2024-09-07 10:03:31:075,849431,849431,0,0,30665464,0,4490 50,3,2024-09-07 10:03:31:323,1,671,1,0,617,6713,671,0 51,0,2024-09-07 10:03:31:693,171921,0.3,168189,0.5,327577,0.2,447241,1.75 51,1,2024-09-07 10:03:31:680,1183349,1183349,0,0,557365212388,5747979353,1176678,5329,1342,365,391706,0 51,2,2024-09-07 10:03:31:325,849469,849469,0,0,30174737,0,3337 51,3,2024-09-07 10:03:31:028,1,671,7,0,678,5383,671,0 52,0,2024-09-07 10:03:31:428,170976,0.5,171041,0.7,341658,0.4,454236,2.00 52,1,2024-09-07 10:03:30:583,1178061,1178061,0,0,552856630877,5787426773,1161340,14294,2427,368,391805,0 52,2,2024-09-07 10:03:31:768,842513,842475,38,0,41709759,0,6742 52,3,2024-09-07 10:03:30:678,1,671,5,0,1782,7877,671,0 53,0,2024-09-07 10:03:31:760,170170,0.6,165205,0.8,345536,0.7,452142,2.25 53,1,2024-09-07 10:03:30:777,1176315,1176315,0,0,553069905937,5799425246,1157286,14617,4412,367,391968,0 53,2,2024-09-07 10:03:31:327,849778,849640,138,0,38221637,0,7690 53,3,2024-09-07 10:03:30:700,1,671,231,0,308,6379,671,0 54,0,2024-09-07 10:03:31:640,162852,0.6,163250,0.7,325190,0.5,433993,2.25 54,1,2024-09-07 10:03:30:596,1179482,1179482,0,0,554867956870,5770142239,1167709,10099,1674,366,391810,0 54,2,2024-09-07 10:03:30:955,849812,849780,32,0,41402136,0,6397 54,3,2024-09-07 10:03:30:810,1,671,1,0,676,8447,671,0 55,0,2024-09-07 10:03:31:775,160326,0.7,165345,0.8,335346,0.7,437324,2.50 55,1,2024-09-07 10:03:30:799,1180193,1180193,0,0,553837015198,5760517938,1168175,10532,1486,365,391731,0 55,2,2024-09-07 10:03:30:730,846876,846820,56,0,37932636,0,7239 55,3,2024-09-07 10:03:30:692,1,671,12,0,304,6221,671,0 56,0,2024-09-07 10:03:31:637,174530,1.3,164501,1.2,339035,1.7,453799,2.75 56,1,2024-09-07 10:03:30:595,1172914,1172914,0,0,551358543532,5830349282,1153533,15629,3752,381,391867,0 56,2,2024-09-07 10:03:31:331,846845,846723,122,0,40355713,0,7432 56,3,2024-09-07 10:03:31:060,1,671,17,0,705,8113,671,0 57,0,2024-09-07 10:03:30:967,169986,1.4,169943,1.2,339715,1.9,454155,3.00 57,1,2024-09-07 10:03:31:003,1175815,1175815,0,0,551483840035,5786895272,1160271,12854,2690,366,392032,0 57,2,2024-09-07 10:03:31:327,846257,846257,0,0,42873393,0,4804 57,3,2024-09-07 10:03:31:744,1,671,7,0,455,7336,671,0 58,0,2024-09-07 10:03:30:560,163247,0.9,158542,1.0,331797,1.1,434078,2.50 58,1,2024-09-07 10:03:30:583,1176830,1176827,0,3,553222471469,5802866208,1158520,13906,4401,367,391726,3 58,2,2024-09-07 10:03:31:074,849556,849556,0,0,39185817,0,3483 58,3,2024-09-07 10:03:31:075,1,671,92,0,1043,7414,671,0 59,0,2024-09-07 10:03:31:751,163864,0.9,163230,1.0,326520,0.9,433554,2.75 59,1,2024-09-07 10:03:30:813,1176520,1176520,0,0,552086444676,5801321001,1157221,15462,3837,369,391653,0 59,2,2024-09-07 10:03:30:590,849119,849119,0,0,37482328,0,3727 59,3,2024-09-07 10:03:31:737,1,671,3,0,1015,7958,671,0 60,0,2024-09-07 10:03:31:707,169785,0.5,169876,0.7,339844,0.5,452994,1.75 60,1,2024-09-07 10:03:30:818,1180411,1180411,0,0,554460435605,5773190884,1170088,8916,1407,370,392031,0 60,2,2024-09-07 10:03:31:144,850419,850419,0,0,35770370,0,3811 60,3,2024-09-07 10:03:31:265,1,671,0,0,409,7660,671,0 61,0,2024-09-07 10:03:31:492,170619,0.6,171391,0.8,341258,0.6,455706,2.00 61,1,2024-09-07 10:03:30:779,1177689,1177689,0,0,552741608887,5787287998,1165410,10502,1777,382,392127,0 61,2,2024-09-07 10:03:31:138,846006,845939,67,0,36315128,0,6411 61,3,2024-09-07 10:03:31:691,1,671,27,0,607,8434,671,0 62,0,2024-09-07 10:03:31:713,168616,0.5,172917,0.7,330102,0.5,448107,2.00 62,1,2024-09-07 10:03:31:117,1185014,1185008,0,6,555666615041,5734225397,1178442,6092,474,365,391975,6 62,2,2024-09-07 10:03:31:645,847870,847869,1,0,36054730,0,5555 62,3,2024-09-07 10:03:31:143,1,671,4,0,482,5339,671,0 63,0,2024-09-07 10:03:31:452,164286,0.4,163922,0.6,328664,0.3,437030,1.75 63,1,2024-09-07 10:03:30:810,1181559,1181553,0,6,555060524795,5765411878,1173922,6703,928,381,391800,6 63,2,2024-09-07 10:03:30:765,850048,850048,0,0,35283154,0,4369 63,3,2024-09-07 10:03:31:738,1,671,8,0,667,7757,671,0 64,0,2024-09-07 10:03:31:526,167436,0.5,167292,0.7,334175,0.5,445808,2.00 64,1,2024-09-07 10:03:30:755,1179844,1179844,0,0,554401492071,5772912256,1169655,8163,2026,370,391794,0 64,2,2024-09-07 10:03:31:149,852533,852514,19,0,34186521,0,6121 64,3,2024-09-07 10:03:31:144,1,671,1,0,651,7622,671,0 65,0,2024-09-07 10:03:31:679,169012,0.6,169320,0.7,338049,0.6,450869,2.00 65,1,2024-09-07 10:03:30:933,1178179,1178179,0,0,552937546047,5778673372,1170020,7261,898,381,391901,0 65,2,2024-09-07 10:03:31:703,843959,843959,0,0,40150777,0,3367 65,3,2024-09-07 10:03:31:687,1,671,1,0,782,7975,671,0 66,0,2024-09-07 10:03:31:794,169841,0.5,169207,0.7,338710,0.5,450515,2.00 66,1,2024-09-07 10:03:31:293,1180245,1180245,0,0,553675323612,5762155755,1172884,6489,872,380,391743,0 66,2,2024-09-07 10:03:31:136,853042,853039,3,0,35545345,0,5455 66,3,2024-09-07 10:03:31:084,1,671,1,0,291,6033,671,0 67,0,2024-09-07 10:03:31:415,163560,0.5,163173,0.7,327004,0.5,434911,2.00 67,1,2024-09-07 10:03:30:808,1180069,1180068,0,1,553335922336,5762725626,1171639,7289,1140,380,391787,1 67,2,2024-09-07 10:03:30:587,852963,852948,15,0,35812580,0,6205 67,3,2024-09-07 10:03:31:788,1,671,7,0,595,7204,671,0 68,0,2024-09-07 10:03:30:595,166613,0.6,166400,0.8,331550,0.6,443739,2.25 68,1,2024-09-07 10:03:30:597,1175391,1175391,0,0,551557099381,5805909693,1158677,12443,4271,381,391953,0 68,2,2024-09-07 10:03:31:046,843997,843897,100,0,44133598,0,8578 68,3,2024-09-07 10:03:30:728,1,671,9,0,417,9423,671,0 69,0,2024-09-07 10:03:31:765,170830,0.7,171351,0.8,341927,0.8,455033,2.25 69,1,2024-09-07 10:03:31:028,1174905,1174905,0,0,551588887800,5811821948,1160139,11785,2981,383,391994,0 69,2,2024-09-07 10:03:31:739,844220,844191,29,0,47318619,0,6912 69,3,2024-09-07 10:03:30:834,1,671,6,0,698,10291,671,0 70,0,2024-09-07 10:03:31:542,169602,0.7,170388,0.9,341657,0.6,453194,2.50 70,1,2024-09-07 10:03:30:807,1181074,1181074,0,0,555100952365,5763423486,1171633,8396,1045,366,391725,0 70,2,2024-09-07 10:03:31:338,848534,848534,0,0,38928398,0,4323 70,3,2024-09-07 10:03:30:745,1,671,77,0,854,7570,671,0 71,0,2024-09-07 10:03:31:371,163991,0.8,163612,1.0,328273,1.0,437697,2.50 71,1,2024-09-07 10:03:31:600,1179215,1179215,0,0,553264880060,5775261820,1164788,12642,1785,367,391738,0 71,2,2024-09-07 10:03:31:080,848212,848212,0,0,39321324,0,4352 71,3,2024-09-07 10:03:31:759,1,671,8,0,644,7518,671,0 72,0,2024-09-07 10:03:31:059,171190,0.6,167127,0.7,326669,0.5,444042,2.00 72,1,2024-09-07 10:03:31:053,1177523,1177523,0,0,552480218752,5793907667,1160780,14011,2732,369,391819,0 72,2,2024-09-07 10:03:31:767,846819,846819,0,0,40965323,0,3983 72,3,2024-09-07 10:03:31:781,1,671,5,0,564,9437,671,0 73,0,2024-09-07 10:03:31:111,166879,0.5,171022,0.6,349412,0.4,455705,2.00 73,1,2024-09-07 10:03:30:766,1179213,1179213,0,0,554148940886,5761226469,1169911,8242,1060,367,391858,0 73,2,2024-09-07 10:03:31:742,848290,848289,1,0,43223453,0,5027 73,3,2024-09-07 10:03:30:979,1,671,0,0,1091,9118,671,0 74,0,2024-09-07 10:03:31:324,172639,0.5,176796,0.7,337498,0.4,458496,2.00 74,1,2024-09-07 10:03:30:635,1177643,1177643,0,0,552219191924,5762922259,1165450,10053,2140,381,391762,0 74,2,2024-09-07 10:03:31:006,844948,844948,0,0,37019063,0,4253 74,3,2024-09-07 10:03:31:442,1,671,1,0,522,7868,671,0 75,0,2024-09-07 10:03:31:793,166635,0.5,165682,0.7,332527,0.5,443764,2.25 75,1,2024-09-07 10:03:31:588,1179207,1179207,0,0,553467277629,5768826837,1169336,8879,992,380,391739,0 75,2,2024-09-07 10:03:31:365,847958,847958,0,0,44224263,0,4766 75,3,2024-09-07 10:03:31:075,1,671,7,0,918,9395,671,0 76,0,2024-09-07 10:03:30:638,163831,0.5,163177,0.7,326171,0.5,436404,2.25 76,1,2024-09-07 10:03:30:810,1178975,1178975,0,0,553293398326,5772491473,1170413,7257,1305,382,391790,0 76,2,2024-09-07 10:03:31:060,852627,852624,3,0,37038463,0,5265 76,3,2024-09-07 10:03:31:153,1,671,0,0,249,6259,671,0 77,0,2024-09-07 10:03:31:748,168672,0.6,169192,0.7,338609,0.5,450363,2.00 77,1,2024-09-07 10:03:30:849,1178482,1178482,0,0,553078900692,5776330237,1168557,8839,1086,381,391869,0 77,2,2024-09-07 10:03:31:289,848714,848714,0,0,35859516,0,3890 77,3,2024-09-07 10:03:31:122,1,671,0,0,401,7737,671,0 78,0,2024-09-07 10:03:31:720,170942,0.4,170232,0.6,341654,0.4,451981,2.00 78,1,2024-09-07 10:03:30:609,1178249,1178249,0,0,553008886743,5772325878,1163304,11709,3236,367,391670,0 78,2,2024-09-07 10:03:31:406,846058,846045,13,0,33309788,0,8313 78,3,2024-09-07 10:03:31:133,1,671,113,0,311,5772,671,0 79,0,2024-09-07 10:03:31:350,163231,0.4,167299,0.6,342584,0.3,445881,2.00 79,1,2024-09-07 10:03:30:577,1182377,1182377,0,0,554825809307,5749144842,1172570,8007,1800,367,391682,0 79,2,2024-09-07 10:03:31:075,851055,851055,0,0,32445989,0,4195 79,3,2024-09-07 10:03:30:758,1,671,9,0,418,7590,671,0 80,0,2024-09-07 10:03:31:088,163155,0.5,167540,0.7,319999,0.5,433140,2.00 80,1,2024-09-07 10:03:31:624,1178689,1178689,0,0,553668552902,5765352928,1170950,7191,548,368,392269,0 80,2,2024-09-07 10:03:31:104,852959,852959,0,0,33152178,0,4433 80,3,2024-09-07 10:03:30:587,1,671,3,0,681,8128,671,0 81,0,2024-09-07 10:03:31:538,167226,0.6,171231,0.7,326682,0.6,443998,2.00 81,1,2024-09-07 10:03:31:652,1177841,1177841,0,0,552503199954,5774917899,1168378,8490,973,382,392001,0 81,2,2024-09-07 10:03:31:139,848455,848392,63,0,36587176,0,5932 81,3,2024-09-07 10:03:31:136,1,671,1,0,719,7338,671,0 82,0,2024-09-07 10:03:31:544,171029,0.5,171181,0.7,342759,0.5,454739,2.00 82,1,2024-09-07 10:03:30:587,1179947,1179943,0,4,554531235808,5768834186,1173095,5725,1123,381,391768,4 82,2,2024-09-07 10:03:31:702,848618,848618,0,0,30725246,0,4484 82,3,2024-09-07 10:03:31:766,1,671,1,0,363,6088,671,0 83,0,2024-09-07 10:03:31:547,170804,0.6,170698,0.7,341157,0.5,452841,2.25 83,1,2024-09-07 10:03:30:554,1178681,1178681,0,0,553401000130,5770761625,1169813,7843,1025,382,391709,0 83,2,2024-09-07 10:03:30:765,850416,850391,25,0,33388614,0,5612 83,3,2024-09-07 10:03:30:757,1,671,8,0,1260,7894,671,0 84,0,2024-09-07 10:03:31:821,163174,0.7,163218,0.9,326600,0.7,435908,2.25 84,1,2024-09-07 10:03:31:039,1177117,1177117,0,0,552992824603,5786409334,1163446,11588,2083,367,391967,0 84,2,2024-09-07 10:03:30:590,847760,847350,410,0,48466520,0,17037 84,3,2024-09-07 10:03:31:164,1,671,1,0,908,9520,671,0 85,0,2024-09-07 10:03:31:022,159598,0.7,159595,0.8,338859,0.7,437665,2.25 85,1,2024-09-07 10:03:30:571,1173634,1173634,0,0,551201402649,5818842386,1155858,14846,2930,381,392092,0 85,2,2024-09-07 10:03:30:921,847270,847270,0,0,41199309,0,4255 85,3,2024-09-07 10:03:30:694,1,671,2,0,789,7718,671,0 86,0,2024-09-07 10:03:30:931,170717,0.8,175616,0.8,336241,0.8,454603,2.25 86,1,2024-09-07 10:03:30:853,1175638,1175638,0,0,552896278288,5808205955,1158102,14224,3312,366,392169,0 86,2,2024-09-07 10:03:30:869,847342,847341,1,0,43357197,0,5004 86,3,2024-09-07 10:03:30:596,1,671,0,0,441,9445,671,0 87,0,2024-09-07 10:03:31:475,172358,0.8,171096,0.8,342785,0.9,458161,2.25 87,1,2024-09-07 10:03:30:555,1176201,1176201,0,0,552247253827,5786922259,1161321,12718,2162,366,392076,0 87,2,2024-09-07 10:03:31:071,845731,845725,6,0,40329424,0,6323 87,3,2024-09-07 10:03:31:794,1,671,11,0,473,9344,671,0 88,0,2024-09-07 10:03:31:514,165553,0.4,166021,0.6,331885,0.4,441636,1.75 88,1,2024-09-07 10:03:30:569,1175184,1175184,0,0,551266792086,5778932549,1158443,13606,3135,365,392084,0 88,2,2024-09-07 10:03:30:708,848483,848483,0,0,42328247,0,4465 88,3,2024-09-07 10:03:31:275,1,671,422,0,1080,10974,671,0 89,0,2024-09-07 10:03:31:790,169798,0.4,164843,0.6,325067,0.4,442212,1.75 89,1,2024-09-07 10:03:30:550,1174831,1174831,0,0,552538833311,5810915925,1160344,12213,2274,382,391866,0 89,2,2024-09-07 10:03:31:134,848673,848673,0,0,40025113,0,3173 89,3,2024-09-07 10:03:31:793,1,671,52,0,729,11600,671,0 90,0,2024-09-07 10:03:31:682,164744,0.5,169044,0.6,345273,0.4,450670,2.00 90,1,2024-09-07 10:03:30:597,1176219,1176219,0,0,551929621156,5782674963,1164459,10605,1155,380,391825,0 90,2,2024-09-07 10:03:31:413,845538,845533,5,0,43139811,0,6370 90,3,2024-09-07 10:03:30:938,1,671,17,0,364,8907,671,0 91,0,2024-09-07 10:03:30:959,171331,0.5,166257,0.6,347848,0.5,456516,1.75 91,1,2024-09-07 10:03:30:623,1173261,1173261,0,0,552115783963,5824621079,1154660,14455,4146,381,392047,0 91,2,2024-09-07 10:03:31:335,844295,844295,0,0,38295113,0,4713 91,3,2024-09-07 10:03:30:603,1,671,9,0,231,6353,671,0 92,0,2024-09-07 10:03:31:678,169537,0.4,173432,0.6,330743,0.4,448413,1.75 92,1,2024-09-07 10:03:30:596,1177852,1177852,0,0,553208908054,5780001459,1168548,7995,1309,381,392136,0 92,2,2024-09-07 10:03:31:379,849379,849379,0,0,34747347,0,3906 92,3,2024-09-07 10:03:31:025,1,671,0,0,281,5820,671,0 93,0,2024-09-07 10:03:30:987,164749,0.4,168760,0.6,322312,0.3,436722,1.75 93,1,2024-09-07 10:03:30:815,1178335,1178335,0,0,552757157501,5777969013,1164131,11771,2433,365,392048,0 93,2,2024-09-07 10:03:30:946,850222,850222,0,0,40503374,0,4913 93,3,2024-09-07 10:03:31:413,1,671,29,0,505,7616,671,0 94,0,2024-09-07 10:03:31:618,167428,0.4,168558,0.6,337265,0.3,448009,1.75 94,1,2024-09-07 10:03:30:594,1179303,1179303,0,0,554451660882,5782068823,1171551,7262,490,381,391850,0 94,2,2024-09-07 10:03:30:808,849335,849307,28,0,35101434,0,6179 94,3,2024-09-07 10:03:31:691,1,671,1,0,576,8259,671,0 95,0,2024-09-07 10:03:31:369,170336,0.4,170232,0.6,340731,0.3,453818,1.75 95,1,2024-09-07 10:03:30:896,1180185,1180185,0,0,553940972039,5756515638,1171140,8280,765,365,391852,0 95,2,2024-09-07 10:03:31:026,844337,844337,0,0,34748443,0,3308 95,3,2024-09-07 10:03:31:715,1,671,7,0,718,10203,671,0 96,0,2024-09-07 10:03:31:033,170283,0.3,170464,0.5,341085,0.3,453218,1.75 96,1,2024-09-07 10:03:31:587,1178134,1178134,0,0,553300317165,5773281487,1169656,7184,1294,384,391964,0 96,2,2024-09-07 10:03:31:290,851801,851801,0,0,35157234,0,4180 96,3,2024-09-07 10:03:31:180,1,671,7,0,411,7635,671,0 97,0,2024-09-07 10:03:31:369,163590,0.3,163366,0.5,327497,0.3,434858,1.50 97,1,2024-09-07 10:03:30:768,1180104,1180104,0,0,554108976531,5750934769,1172254,6611,1239,367,392140,0 97,2,2024-09-07 10:03:30:613,851222,851222,0,0,35525697,0,4600 97,3,2024-09-07 10:03:30:595,1,671,1,0,433,8214,671,0 98,0,2024-09-07 10:03:31:723,166188,0.3,166229,0.5,333766,0.3,444081,1.50 98,1,2024-09-07 10:03:30:581,1179672,1179672,0,0,553622548263,5767988413,1172246,6528,898,381,391997,0 98,2,2024-09-07 10:03:30:807,847979,847979,0,0,33792500,0,4336 98,3,2024-09-07 10:03:30:701,1,671,1,0,840,9499,671,0 99,0,2024-09-07 10:03:31:455,171695,0.3,172509,0.5,343773,0.3,457721,1.75 99,1,2024-09-07 10:03:31:775,1180625,1180625,0,0,553631508092,5763870228,1172895,6623,1107,380,392069,0 99,2,2024-09-07 10:03:31:434,846798,846798,0,0,42916662,0,4276 99,3,2024-09-07 10:03:30:594,1,671,14,0,1124,8437,671,0 100,0,2024-09-07 10:03:31:491,171031,0.8,171520,0.9,342979,0.9,457185,2.50 100,1,2024-09-07 10:03:30:561,1172969,1172969,0,0,550879438228,5829803635,1153940,15234,3795,378,391989,0 100,2,2024-09-07 10:03:31:819,844583,844194,389,0,44766247,0,16909 100,3,2024-09-07 10:03:31:740,1,671,3,0,627,10568,671,0 101,0,2024-09-07 10:03:31:709,168589,0.9,164597,1.0,321978,0.9,439400,2.25 101,1,2024-09-07 10:03:30:554,1175305,1175305,0,0,552027429156,5804728557,1158951,13419,2935,368,392018,0 101,2,2024-09-07 10:03:31:782,843261,843222,39,0,46437380,0,5913 101,3,2024-09-07 10:03:30:944,1,671,84,0,1250,10485,671,0 102,0,2024-09-07 10:03:30:959,161225,0.7,166130,0.8,337460,0.7,440884,2.25 102,1,2024-09-07 10:03:31:148,1175190,1175190,0,0,552434544048,5802488157,1159764,13207,2219,369,391984,0 102,2,2024-09-07 10:03:31:744,849123,849069,54,0,38642198,0,6768 102,3,2024-09-07 10:03:31:619,1,671,2,0,466,7860,671,0 103,0,2024-09-07 10:03:31:605,176482,0.7,176521,0.8,332595,0.7,457788,2.00 103,1,2024-09-07 10:03:31:642,1174006,1174006,0,0,551545332314,5826047401,1155107,15273,3626,381,392077,0 103,2,2024-09-07 10:03:30:590,847197,847197,0,0,42036696,0,3766 103,3,2024-09-07 10:03:30:758,1,671,4,0,916,8003,671,0 104,0,2024-09-07 10:03:31:014,170362,0.7,170750,0.9,340606,0.7,455476,2.25 104,1,2024-09-07 10:03:31:603,1176385,1176385,0,0,551830463747,5803535998,1158039,14892,3454,365,392168,0 104,2,2024-09-07 10:03:31:701,843427,843427,0,0,40661015,0,4161 104,3,2024-09-07 10:03:31:423,1,671,18,0,1245,11626,671,0 105,0,2024-09-07 10:03:31:042,164619,0.8,160522,1.0,336489,0.9,441668,2.50 105,1,2024-09-07 10:03:30:559,1178367,1178367,0,0,552090052111,5783898188,1163689,12565,2113,364,392009,0 105,2,2024-09-07 10:03:31:334,848054,848054,0,0,42057210,0,4360 105,3,2024-09-07 10:03:31:321,1,671,3,0,573,10159,671,0 106,0,2024-09-07 10:03:30:976,158665,0.9,162688,0.9,332825,1.0,434777,2.50 106,1,2024-09-07 10:03:31:768,1176012,1176012,0,0,552159134925,5795200663,1159610,14253,2149,368,391914,0 106,2,2024-09-07 10:03:30:758,849545,849545,0,0,39002081,0,3331 106,3,2024-09-07 10:03:30:679,1,671,0,0,1224,9123,671,0 107,0,2024-09-07 10:03:31:122,168736,1.2,168832,1.0,337869,1.7,451058,2.25 107,1,2024-09-07 10:03:30:596,1174081,1174081,0,0,550558280693,5820625327,1155113,16713,2255,381,392234,0 107,2,2024-09-07 10:03:31:295,845465,845464,1,0,42512674,0,5024 107,3,2024-09-07 10:03:31:786,1,671,18,0,733,10539,671,0 108,0,2024-09-07 10:03:31:882,170003,0.4,170818,0.6,340591,0.4,453779,1.75 108,1,2024-09-07 10:03:31:358,1177974,1177974,0,0,553316931697,5774510011,1167757,9091,1126,367,391894,0 108,2,2024-09-07 10:03:31:774,845260,845260,0,0,37621905,0,4432 108,3,2024-09-07 10:03:31:343,1,671,20,0,767,12357,671,0 109,0,2024-09-07 10:03:31:849,169886,0.4,168473,0.6,337932,0.3,450519,1.75 109,1,2024-09-07 10:03:30:613,1175730,1175730,0,0,552504148739,5797687313,1165185,9042,1503,382,392132,0 109,2,2024-09-07 10:03:30:952,848863,848863,0,0,37611132,0,3617 109,3,2024-09-07 10:03:31:149,1,671,21,0,630,7764,671,0 110,0,2024-09-07 10:03:31:906,162905,0.4,158367,0.6,331733,0.3,434901,1.75 110,1,2024-09-07 10:03:31:643,1179759,1179759,0,0,554220481693,5755093164,1170685,7022,2052,368,392045,0 110,2,2024-09-07 10:03:31:327,850772,850772,0,0,36824062,0,4067 110,3,2024-09-07 10:03:30:702,1,671,2,0,722,8888,671,0 111,0,2024-09-07 10:03:31:417,168119,0.4,167353,0.5,334159,0.3,446714,1.75 111,1,2024-09-07 10:03:31:019,1181385,1181385,0,0,554695229032,5758117275,1174817,6178,390,380,391690,0 111,2,2024-09-07 10:03:31:117,848664,848664,0,0,36319988,0,4823 111,3,2024-09-07 10:03:30:913,1,671,8,0,379,7475,671,0 112,0,2024-09-07 10:03:30:925,171827,0.3,171334,0.4,343515,0.2,456017,1.50 112,1,2024-09-07 10:03:30:844,1180071,1180071,0,0,553913563013,5750937947,1172487,6359,1225,380,391624,0 112,2,2024-09-07 10:03:31:136,846633,846632,1,0,34422347,0,5036 112,3,2024-09-07 10:03:30:595,1,671,0,0,282,6589,671,0 113,0,2024-09-07 10:03:30:968,170762,0.3,171035,0.5,342429,0.2,455158,1.75 113,1,2024-09-07 10:03:31:706,1183592,1183592,0,0,555939556159,5736879161,1176685,5957,950,365,391664,0 113,2,2024-09-07 10:03:31:302,852365,852365,0,0,31566987,0,3813 113,3,2024-09-07 10:03:30:691,1,671,1,0,510,6920,671,0 114,0,2024-09-07 10:03:30:887,164783,0.3,165849,0.5,330889,0.2,441398,1.75 114,1,2024-09-07 10:03:30:770,1179883,1179883,0,0,553683013217,5749317836,1171314,6801,1768,381,391565,0 114,2,2024-09-07 10:03:30:879,851679,851678,1,0,33770522,0,5069 114,3,2024-09-07 10:03:31:281,1,671,1,0,415,5610,671,0 115,0,2024-09-07 10:03:30:554,166924,0.3,167507,0.4,333437,0.2,444198,1.50 115,1,2024-09-07 10:03:30:576,1180289,1180289,0,0,555206534446,5768044420,1170811,7688,1790,382,391757,0 115,2,2024-09-07 10:03:31:131,849988,849988,0,0,33606950,0,4382 115,3,2024-09-07 10:03:31:002,1,671,8,0,173,4353,671,0 116,0,2024-09-07 10:03:31:697,170777,0.8,170767,0.9,342228,0.8,457279,2.25 116,1,2024-09-07 10:03:30:811,1173843,1173843,0,0,551845474554,5815505801,1159990,10644,3209,380,392089,0 116,2,2024-09-07 10:03:31:781,847978,847978,0,0,41461879,0,4475 116,3,2024-09-07 10:03:30:915,1,671,4,0,448,8787,671,0 117,0,2024-09-07 10:03:30:966,172040,0.7,171767,0.8,343744,0.7,459415,2.00 117,1,2024-09-07 10:03:31:600,1175910,1175910,0,0,551628304246,5781087270,1162107,12041,1762,369,392429,0 117,2,2024-09-07 10:03:31:119,848302,848302,0,0,37843516,0,4303 117,3,2024-09-07 10:03:31:072,1,671,1,0,490,8380,671,0 118,0,2024-09-07 10:03:31:778,160548,0.5,164922,0.7,336502,0.5,440069,2.00 118,1,2024-09-07 10:03:30:634,1175003,1175003,0,0,551585221433,5799673367,1157532,13626,3845,366,392054,0 118,2,2024-09-07 10:03:31:588,848159,848159,0,0,40504611,0,3161 118,3,2024-09-07 10:03:31:799,1,671,1,0,343,8161,671,0 119,0,2024-09-07 10:03:31:359,164998,0.7,165456,0.8,330242,0.8,440907,2.25 119,1,2024-09-07 10:03:30:560,1176167,1176167,0,0,552303590522,5794595476,1160179,13324,2664,367,391857,0 119,2,2024-09-07 10:03:31:275,849766,849766,0,0,37541493,0,4309 119,3,2024-09-07 10:03:31:336,1,671,8,0,1358,12060,671,0 120,0,2024-09-07 10:03:31:626,169025,0.6,168836,0.8,339198,0.7,451622,2.25 120,1,2024-09-07 10:03:30:878,1176843,1176843,0,0,552267599690,5791844406,1164294,11392,1157,367,392144,0 120,2,2024-09-07 10:03:30:813,847759,847756,3,0,45072807,0,5363 120,3,2024-09-07 10:03:31:325,1,671,8,0,478,8673,671,0 121,0,2024-09-07 10:03:31:701,170889,1.1,170341,1.0,340666,1.5,454293,2.25 121,1,2024-09-07 10:03:31:762,1176367,1176367,0,0,553454248200,5794177552,1163185,11190,1992,366,391840,0 121,2,2024-09-07 10:03:31:136,845014,845014,0,0,40608741,0,4157 121,3,2024-09-07 10:03:30:739,1,671,1,0,387,9112,671,0 122,0,2024-09-07 10:03:31:785,167449,0.7,162931,0.8,340834,0.8,447733,2.00 122,1,2024-09-07 10:03:30:878,1175937,1175937,0,0,551975626925,5793216918,1159675,13685,2577,365,392130,0 122,2,2024-09-07 10:03:31:333,849488,849413,75,0,44968473,0,5989 122,3,2024-09-07 10:03:30:603,1,671,1,0,512,10444,671,0 123,0,2024-09-07 10:03:30:957,162759,0.8,158583,0.9,332382,0.9,434526,2.25 123,1,2024-09-07 10:03:30:568,1176625,1176625,0,0,552578172611,5812441658,1157737,15898,2990,369,392039,0 123,2,2024-09-07 10:03:31:024,849400,849399,1,0,38628192,0,5215 123,3,2024-09-07 10:03:31:132,1,671,13,0,478,7628,671,0 124,0,2024-09-07 10:03:30:995,172869,0.4,172884,0.5,325644,0.3,448901,1.75 124,1,2024-09-07 10:03:31:027,1179918,1179918,0,0,554038239523,5761727292,1170901,7783,1234,365,392178,0 124,2,2024-09-07 10:03:31:019,851012,850959,53,0,35640376,0,6487 124,3,2024-09-07 10:03:30:771,1,671,1,0,490,6691,671,0 125,0,2024-09-07 10:03:31:435,170250,0.4,170067,0.6,340920,0.3,454249,1.75 125,1,2024-09-07 10:03:30:957,1177561,1177561,0,0,552542629900,5775720397,1166878,9151,1532,382,392045,0 125,2,2024-09-07 10:03:31:117,847590,847590,0,0,35096940,0,4534 125,3,2024-09-07 10:03:31:133,1,671,1,0,709,7550,671,0 126,0,2024-09-07 10:03:31:581,170563,0.4,175301,0.5,335098,0.3,453947,1.75 126,1,2024-09-07 10:03:30:588,1180799,1180799,0,0,554476003884,5745909103,1173823,6296,680,365,391987,0 126,2,2024-09-07 10:03:30:614,852057,852057,0,0,36746674,0,4539 126,3,2024-09-07 10:03:30:913,1,671,10,0,268,7675,671,0 127,0,2024-09-07 10:03:31:599,163797,0.3,164127,0.5,327565,0.3,435029,1.75 127,1,2024-09-07 10:03:30:595,1179238,1179238,0,0,553800280034,5763874436,1167149,10396,1693,364,392187,0 127,2,2024-09-07 10:03:30:642,851008,851004,4,0,34772698,0,5305 127,3,2024-09-07 10:03:31:271,1,671,13,0,968,6652,671,0 128,0,2024-09-07 10:03:31:554,167311,0.3,167248,0.4,334488,0.2,444812,1.50 128,1,2024-09-07 10:03:31:606,1178628,1178628,0,0,553586786456,5756421758,1169768,7890,970,367,392423,0 128,2,2024-09-07 10:03:31:387,850369,850369,0,0,32657720,0,3171 128,3,2024-09-07 10:03:30:769,1,671,1,0,1082,10074,671,0 129,0,2024-09-07 10:03:31:009,172886,0.3,172166,0.5,345383,0.3,458476,1.75 129,1,2024-09-07 10:03:30:595,1175743,1175743,0,0,552665671844,5798377646,1162999,10415,2329,379,391962,0 129,2,2024-09-07 10:03:30:730,849368,849364,4,0,35100637,0,5335 129,3,2024-09-07 10:03:30:715,1,671,1,0,506,9814,671,0 130,0,2024-09-07 10:03:31:728,172264,0.4,172030,0.6,344407,0.4,458693,1.75 130,1,2024-09-07 10:03:30:602,1180221,1180221,0,0,554333911163,5756135706,1174534,5281,406,381,391825,0 130,2,2024-09-07 10:03:31:130,849007,849007,0,0,34951198,0,4067 130,3,2024-09-07 10:03:31:324,1,671,48,0,960,8712,671,0 131,0,2024-09-07 10:03:31:980,165046,0.3,165557,0.5,332046,0.3,441190,1.50 131,1,2024-09-07 10:03:31:824,1179450,1179450,0,0,552480109659,5758084737,1171442,6806,1202,381,391865,0 131,2,2024-09-07 10:03:30:567,850016,850016,0,0,32725082,0,3979 131,3,2024-09-07 10:03:31:704,1,671,11,0,392,8517,671,0 132,0,2024-09-07 10:03:31:476,166249,0.5,167216,0.6,332637,0.5,442902,2.00 132,1,2024-09-07 10:03:30:587,1174957,1174957,0,0,551081998224,5801120711,1158464,13711,2782,381,392532,0 132,2,2024-09-07 10:03:30:701,848491,848474,17,0,42096871,0,6451 132,3,2024-09-07 10:03:31:688,1,671,2,0,1298,11724,671,0 133,0,2024-09-07 10:03:31:531,166941,0.5,170842,0.6,350286,0.4,456361,2.00 133,1,2024-09-07 10:03:30:615,1174866,1174866,0,0,552001534537,5815074630,1159462,13471,1933,383,391914,0 133,2,2024-09-07 10:03:31:113,849770,849720,50,0,43072489,0,6861 133,3,2024-09-07 10:03:31:333,1,671,20,0,528,7957,671,0 134,0,2024-09-07 10:03:30:946,172282,0.5,172157,0.7,344399,0.5,458877,2.25 134,1,2024-09-07 10:03:30:610,1176000,1176000,0,0,551925488937,5794241820,1159923,12785,3292,366,391781,0 134,2,2024-09-07 10:03:31:798,844957,844818,139,0,40303706,0,7591 134,3,2024-09-07 10:03:30:752,1,671,0,0,739,8443,671,0 135,0,2024-09-07 10:03:31:110,161339,0.7,161316,0.8,342517,0.8,440997,2.25 135,1,2024-09-07 10:03:31:621,1176145,1176145,0,0,551559566184,5799633124,1161806,12487,1852,380,392038,0 135,2,2024-09-07 10:03:30:694,850114,850114,0,0,41008775,0,4503 135,3,2024-09-07 10:03:31:004,1,671,8,0,900,6549,671,0 136,0,2024-09-07 10:03:31:619,164673,0.6,165213,0.8,328141,0.6,438075,2.00 136,1,2024-09-07 10:03:31:455,1177297,1177297,0,0,552766363622,5792307221,1164652,11221,1424,381,392135,0 136,2,2024-09-07 10:03:31:134,851882,851867,15,0,40249774,0,6007 136,3,2024-09-07 10:03:31:112,1,671,231,0,637,7979,671,0 137,0,2024-09-07 10:03:30:929,174440,0.6,170053,0.8,333023,0.7,452778,2.00 137,1,2024-09-07 10:03:30:604,1176027,1176027,0,0,552083024810,5791413945,1157618,14772,3637,366,391898,0 137,2,2024-09-07 10:03:31:708,847864,847864,0,0,41083553,0,3185 137,3,2024-09-07 10:03:30:816,1,671,3,0,484,9660,671,0 138,0,2024-09-07 10:03:31:950,169349,0.9,169780,0.9,339941,1.2,452140,2.25 138,1,2024-09-07 10:03:31:687,1175978,1175978,0,0,553126102498,5806735379,1158944,14345,2689,368,391954,0 138,2,2024-09-07 10:03:30:607,843615,843615,0,0,39413550,0,4988 138,3,2024-09-07 10:03:30:613,1,671,8,0,1200,10187,671,0 139,0,2024-09-07 10:03:31:393,167674,0.9,168207,0.9,336433,1.2,448842,2.25 139,1,2024-09-07 10:03:30:583,1171807,1171807,0,0,549373183294,5822895391,1152054,15841,3912,380,392109,0 139,2,2024-09-07 10:03:30:701,844631,844601,30,0,45014964,0,5997 139,3,2024-09-07 10:03:31:677,1,671,19,0,432,8025,671,0 140,0,2024-09-07 10:03:31:659,163485,0.3,162723,0.5,326639,0.2,435194,1.75 140,1,2024-09-07 10:03:31:540,1183561,1183561,0,0,554468832794,5721381650,1177365,5459,737,364,391628,0 140,2,2024-09-07 10:03:30:691,851020,851019,1,0,33089952,0,5036 140,3,2024-09-07 10:03:30:770,1,671,14,0,575,6879,671,0 141,0,2024-09-07 10:03:31:703,167762,0.3,172404,0.5,329635,0.3,446349,1.75 141,1,2024-09-07 10:03:30:921,1180754,1180754,0,0,554881951470,5769165839,1170574,8789,1391,379,391614,0 141,2,2024-09-07 10:03:31:686,849557,849546,11,0,35425292,0,5369 141,3,2024-09-07 10:03:31:048,1,671,1,0,391,7949,671,0 142,0,2024-09-07 10:03:31:313,171997,0.3,171188,0.5,342889,0.3,456840,1.75 142,1,2024-09-07 10:03:30:598,1178578,1178578,0,0,553761327720,5778178271,1169972,7744,862,382,392102,0 142,2,2024-09-07 10:03:31:303,845577,845545,32,0,35304444,0,6028 142,3,2024-09-07 10:03:31:768,1,671,0,0,484,6914,671,0 143,0,2024-09-07 10:03:31:385,170935,0.4,170674,0.5,342591,0.4,455154,1.75 143,1,2024-09-07 10:03:30:557,1181646,1181646,0,0,554563780865,5755445854,1173856,6885,905,367,391900,0 143,2,2024-09-07 10:03:30:878,851212,851212,0,0,35051429,0,3123 143,3,2024-09-07 10:03:31:147,1,671,24,0,462,7886,671,0 144,0,2024-09-07 10:03:31:526,159734,0.6,164389,0.7,334453,0.5,437752,2.00 144,1,2024-09-07 10:03:30:597,1175420,1175420,0,0,551659657662,5790046736,1163689,9690,2041,381,391733,0 144,2,2024-09-07 10:03:31:794,851220,851220,0,0,34948726,0,4443 144,3,2024-09-07 10:03:31:762,1,671,9,0,306,7242,671,0 145,0,2024-09-07 10:03:31:377,160784,0.6,160744,0.8,341247,0.6,439781,2.25 145,1,2024-09-07 10:03:30:567,1174393,1174393,0,0,551969075738,5811992244,1158212,13216,2965,382,391781,0 145,2,2024-09-07 10:03:31:664,846924,846842,82,0,41003586,0,7814 145,3,2024-09-07 10:03:30:902,1,671,0,0,622,9059,671,0 146,0,2024-09-07 10:03:31:613,171380,0.7,170465,0.8,342673,0.7,455339,2.25 146,1,2024-09-07 10:03:31:586,1176760,1176760,0,0,552016403703,5808007592,1157742,14095,4923,367,391829,0 146,2,2024-09-07 10:03:31:710,846612,846606,6,0,39214401,0,5151 146,3,2024-09-07 10:03:31:295,1,671,5,0,1520,10858,671,0 147,0,2024-09-07 10:03:31:703,172127,0.6,171856,0.7,343509,0.6,458522,2.25 147,1,2024-09-07 10:03:31:381,1180011,1180011,0,0,554385011169,5763851569,1170193,8720,1098,367,391791,0 147,2,2024-09-07 10:03:31:026,846574,846574,0,0,35651707,0,3359 147,3,2024-09-07 10:03:30:920,1,671,13,0,1626,10227,671,0 0,0,2024-09-07 10:03:41:752,165276,0.6,165168,0.8,350603,0.7,453005,2.00 0,1,2024-09-07 10:03:40:815,1179162,1179162,0,0,553392398435,5794254384,1169507,8693,962,368,391896,0 0,2,2024-09-07 10:03:41:067,851227,851227,0,0,34557143,0,4480 0,3,2024-09-07 10:03:40:973,1,672,1,0,431,9256,672,0 1,0,2024-09-07 10:03:41:798,171087,0.8,170109,0.9,341439,1.0,457018,2.00 1,1,2024-09-07 10:03:40:591,1177787,1177787,0,0,552910326559,5794554936,1166425,9397,1965,370,391859,0 1,2,2024-09-07 10:03:40:657,847482,847482,0,0,33926533,0,3380 1,3,2024-09-07 10:03:41:326,1,672,4,0,269,7995,672,0 2,0,2024-09-07 10:03:41:565,168097,0.6,167840,0.7,335352,0.6,447205,2.00 2,1,2024-09-07 10:03:40:868,1181869,1181869,0,0,555338014515,5775005542,1175019,5889,961,379,391805,0 2,2,2024-09-07 10:03:41:269,851945,851945,0,0,32508211,0,3594 2,3,2024-09-07 10:03:40:697,1,672,1,0,357,6030,672,0 3,0,2024-09-07 10:03:41:773,163915,0.4,163869,0.6,327390,0.4,436512,2.00 3,1,2024-09-07 10:03:41:641,1180069,1180069,0,0,553937038596,5762639076,1171603,7748,718,379,391716,0 3,2,2024-09-07 10:03:41:143,853231,853208,23,0,33856424,0,5851 3,3,2024-09-07 10:03:41:769,1,672,8,0,484,5152,672,0 4,0,2024-09-07 10:03:41:795,163622,0.4,168111,0.5,342769,0.4,448572,1.75 4,1,2024-09-07 10:03:40:624,1174591,1174591,0,0,551556529168,5837405154,1154722,15268,4601,369,391992,0 4,2,2024-09-07 10:03:41:020,847891,847891,0,0,41347801,0,4534 4,3,2024-09-07 10:03:41:027,1,672,4,0,448,8993,672,0 5,0,2024-09-07 10:03:41:404,170508,0.4,170292,0.6,340962,0.4,453973,1.75 5,1,2024-09-07 10:03:40:801,1176297,1176297,0,0,551755163499,5823807858,1158663,13399,4235,367,392005,0 5,2,2024-09-07 10:03:41:842,842618,842585,33,0,41464482,0,7631 5,3,2024-09-07 10:03:41:732,1,672,20,0,457,8958,672,0 6,0,2024-09-07 10:03:40:920,170223,0.5,169809,0.6,339798,0.4,452440,2.00 6,1,2024-09-07 10:03:40:754,1178820,1178820,0,0,553550036770,5781286711,1166682,10453,1685,379,391702,0 6,2,2024-09-07 10:03:41:126,851591,851573,18,0,38670221,0,5535 6,3,2024-09-07 10:03:41:275,1,672,2,0,710,7921,672,0 7,0,2024-09-07 10:03:41:534,162876,0.5,163961,0.7,325618,0.5,433749,2.00 7,1,2024-09-07 10:03:40:851,1176881,1176881,0,0,552840035569,5819395348,1159054,13690,4137,382,391747,0 7,2,2024-09-07 10:03:40:790,850318,850149,169,0,39455233,0,7706 7,3,2024-09-07 10:03:40:862,1,672,11,0,552,7919,672,0 8,0,2024-09-07 10:03:41:389,167304,0.4,166889,0.5,334181,0.3,445660,1.75 8,1,2024-09-07 10:03:41:024,1175555,1175555,0,0,552797807769,5814618840,1156919,14592,4044,366,392853,0 8,2,2024-09-07 10:03:40:797,844169,844167,2,0,44201553,0,5112 8,3,2024-09-07 10:03:40:612,1,672,0,0,772,10188,672,0 9,0,2024-09-07 10:03:41:157,171820,0.4,166811,0.5,349200,0.4,458010,1.75 9,1,2024-09-07 10:03:40:567,1175158,1175158,0,0,552292901972,5827075732,1155509,15300,4349,369,392001,0 9,2,2024-09-07 10:03:41:110,846304,846303,1,0,42367887,0,5281 9,3,2024-09-07 10:03:41:758,1,672,4,0,1273,10986,672,0 10,0,2024-09-07 10:03:41:600,171638,0.4,171130,0.5,343167,0.3,456744,1.75 10,1,2024-09-07 10:03:40:596,1177143,1177143,0,0,552133903275,5797126674,1159173,14236,3734,381,391981,0 10,2,2024-09-07 10:03:40:779,849526,849526,0,0,45351679,0,4713 10,3,2024-09-07 10:03:40:871,1,672,1,0,669,7296,672,0 11,0,2024-09-07 10:03:41:020,165116,0.4,160312,0.6,335498,0.4,441864,1.75 11,1,2024-09-07 10:03:40:581,1179570,1179570,0,0,552681193418,5797650129,1162696,12564,4310,383,391766,0 11,2,2024-09-07 10:03:41:127,849584,849584,0,0,39086205,0,4698 11,3,2024-09-07 10:03:41:305,1,672,8,0,843,8329,672,0 12,0,2024-09-07 10:03:40:973,167418,0.4,167149,0.5,333943,0.4,444070,1.75 12,1,2024-09-07 10:03:40:933,1178894,1178894,0,0,553563361675,5777180280,1169086,8782,1026,370,391960,0 12,2,2024-09-07 10:03:41:542,848130,848130,0,0,38832084,0,4390 12,3,2024-09-07 10:03:41:066,1,672,7,0,386,8413,672,0 13,0,2024-09-07 10:03:41:458,172463,0.4,172679,0.6,344654,0.4,459493,1.75 13,1,2024-09-07 10:03:41:610,1176124,1176124,0,0,552409794472,5813788232,1162787,10469,2868,382,391803,0 13,2,2024-09-07 10:03:40:599,851654,851654,0,0,35227263,0,3287 13,3,2024-09-07 10:03:41:774,1,672,1,0,522,9062,672,0 14,0,2024-09-07 10:03:40:574,171935,0.4,172920,0.6,344008,0.4,457958,1.75 14,1,2024-09-07 10:03:41:561,1184564,1184564,0,0,556007650058,5753637095,1175361,8053,1150,364,391673,0 14,2,2024-09-07 10:03:40:779,847831,847801,30,0,35945242,0,6104 14,3,2024-09-07 10:03:41:127,1,672,0,0,1168,7028,672,0 15,0,2024-09-07 10:03:41:563,166687,0.4,165914,0.6,331970,0.3,442840,1.75 15,1,2024-09-07 10:03:41:616,1180724,1180724,0,0,554825875751,5783478679,1169998,8632,2094,381,391619,0 15,2,2024-09-07 10:03:41:003,852438,852438,0,0,32259803,0,3622 15,3,2024-09-07 10:03:41:409,1,672,8,0,1126,8531,672,0 16,0,2024-09-07 10:03:40:979,164369,0.6,165155,0.8,329408,0.6,438633,2.00 16,1,2024-09-07 10:03:40:569,1180406,1180406,0,0,553940596672,5791530393,1169778,9084,1544,370,392194,0 16,2,2024-09-07 10:03:41:442,850659,850659,0,0,35465736,0,4719 16,3,2024-09-07 10:03:41:144,1,672,0,0,362,8462,672,0 17,0,2024-09-07 10:03:41:794,174727,0.7,170472,0.8,333738,0.7,454039,2.00 17,1,2024-09-07 10:03:40:616,1178909,1178909,0,0,553269033816,5800618541,1167893,9222,1794,368,392075,0 17,2,2024-09-07 10:03:41:666,853250,853249,1,0,36709514,0,5050 17,3,2024-09-07 10:03:40:579,1,672,16,0,518,9741,672,0 18,0,2024-09-07 10:03:40:952,168713,0.7,169895,0.8,338018,0.7,451524,2.25 18,1,2024-09-07 10:03:41:640,1183329,1183329,0,0,554954565203,5757868879,1175223,6676,1430,367,391725,0 18,2,2024-09-07 10:03:41:759,848422,848422,0,0,33037165,0,3541 18,3,2024-09-07 10:03:40:904,1,672,25,0,1059,6012,672,0 19,0,2024-09-07 10:03:41:540,168360,0.6,168882,0.8,336610,0.7,447076,2.00 19,1,2024-09-07 10:03:40:571,1182289,1182289,0,0,555103368684,5753695352,1173446,7683,1160,365,391777,0 19,2,2024-09-07 10:03:41:753,854263,854263,0,0,30688304,0,3988 19,3,2024-09-07 10:03:41:128,1,672,8,0,524,5397,672,0 20,0,2024-09-07 10:03:41:354,163091,0.5,162982,0.7,325785,0.5,434563,2.00 20,1,2024-09-07 10:03:40:611,1177977,1177977,0,0,552852231545,5796506002,1165735,10538,1704,369,391922,0 20,2,2024-09-07 10:03:40:932,851493,851493,0,0,39305843,0,4321 20,3,2024-09-07 10:03:40:593,1,672,1,0,468,11485,672,0 21,0,2024-09-07 10:03:41:162,167771,0.5,167861,0.7,335674,0.5,446245,2.00 21,1,2024-09-07 10:03:41:543,1176112,1176112,0,0,550965050021,5817579620,1157445,14679,3988,368,392016,0 21,2,2024-09-07 10:03:41:077,844310,843833,477,0,50390655,0,17074 21,3,2024-09-07 10:03:41:414,1,672,4,0,713,8987,672,0 22,0,2024-09-07 10:03:41:741,170965,0.5,171651,0.7,342842,0.4,454733,2.25 22,1,2024-09-07 10:03:41:023,1176803,1176803,0,0,552512053466,5814330398,1157772,15428,3603,381,391822,0 22,2,2024-09-07 10:03:40:771,845623,845597,26,0,37448573,0,6328 22,3,2024-09-07 10:03:41:078,1,672,2,0,228,5598,672,0 23,0,2024-09-07 10:03:41:382,170512,0.5,170375,0.7,340675,0.4,453869,2.00 23,1,2024-09-07 10:03:41:009,1178627,1178627,0,0,553357276780,5828723076,1157384,14420,6823,365,391690,0 23,2,2024-09-07 10:03:41:103,851832,851832,0,0,35550148,0,3773 23,3,2024-09-07 10:03:41:755,1,672,8,0,855,9612,672,0 24,0,2024-09-07 10:03:40:868,165926,0.4,164897,0.6,331504,0.3,440356,1.75 24,1,2024-09-07 10:03:40:603,1177372,1177372,0,0,552840629374,5788384080,1165927,9574,1871,367,392269,0 24,2,2024-09-07 10:03:41:083,850656,850653,3,0,41918715,0,6294 24,3,2024-09-07 10:03:41:696,1,672,6,0,468,8877,672,0 25,0,2024-09-07 10:03:41:355,171130,0.4,166309,0.6,327437,0.4,446189,2.00 25,1,2024-09-07 10:03:40:573,1175875,1175875,0,0,552161049071,5834652470,1155320,16570,3985,369,391928,0 25,2,2024-09-07 10:03:41:606,847118,847118,0,0,43311538,0,3978 25,3,2024-09-07 10:03:40:999,1,672,11,0,532,7439,672,0 26,0,2024-09-07 10:03:41:728,170865,0.5,167029,0.6,350449,0.4,456957,2.00 26,1,2024-09-07 10:03:41:542,1179237,1179237,0,0,553250014594,5793453664,1163537,12797,2903,380,391758,0 26,2,2024-09-07 10:03:40:861,848725,848725,0,0,45025097,0,4689 26,3,2024-09-07 10:03:41:711,1,672,1,0,796,8326,672,0 27,0,2024-09-07 10:03:41:733,172168,0.4,172967,0.6,343679,0.4,458837,2.25 27,1,2024-09-07 10:03:41:690,1180725,1180725,0,0,555261435076,5787287196,1169447,9657,1621,381,391626,0 27,2,2024-09-07 10:03:40:872,845111,845046,65,0,39758206,0,5699 27,3,2024-09-07 10:03:41:015,1,672,8,0,564,5847,672,0 28,0,2024-09-07 10:03:41:406,165902,0.4,166191,0.6,332302,0.3,442343,1.75 28,1,2024-09-07 10:03:40:801,1181510,1181510,0,0,554722946740,5787693600,1170776,8480,2254,382,391904,0 28,2,2024-09-07 10:03:41:771,850710,850710,0,0,35688514,0,3674 28,3,2024-09-07 10:03:41:777,1,672,1,0,502,6994,672,0 29,0,2024-09-07 10:03:41:365,170353,0.4,165822,0.6,325466,0.4,444306,1.75 29,1,2024-09-07 10:03:41:561,1184185,1184185,0,0,556383945006,5758433176,1176441,6724,1020,367,391809,0 29,2,2024-09-07 10:03:40:868,850476,850476,0,0,34106499,0,4986 29,3,2024-09-07 10:03:40:963,1,672,32,0,459,7009,672,0 30,0,2024-09-07 10:03:41:456,169234,0.5,164759,0.7,345285,0.5,451365,2.00 30,1,2024-09-07 10:03:40:581,1182972,1182972,0,0,555806973305,5772112260,1173476,8368,1128,380,391672,0 30,2,2024-09-07 10:03:41:274,851457,851457,0,0,33440692,0,4192 30,3,2024-09-07 10:03:40:595,1,672,1,0,519,7137,672,0 31,0,2024-09-07 10:03:41:761,170887,0.5,171615,0.7,342370,0.4,457071,2.00 31,1,2024-09-07 10:03:40:571,1187325,1187325,0,0,556527915394,5716488351,1179982,6154,1189,356,391712,0 31,2,2024-09-07 10:03:41:291,846346,846346,0,0,36472687,0,4470 31,3,2024-09-07 10:03:41:711,1,672,12,0,239,5801,672,0 32,0,2024-09-07 10:03:41:421,168254,0.3,169329,0.5,337120,0.2,448628,1.75 32,1,2024-09-07 10:03:40:811,1182675,1182675,0,0,555461144685,5774821589,1175248,6462,965,381,391646,0 32,2,2024-09-07 10:03:40:937,853479,853479,0,0,32001513,0,3922 32,3,2024-09-07 10:03:41:014,1,672,1,0,304,5798,672,0 33,0,2024-09-07 10:03:41:500,164670,0.3,164294,0.4,328480,0.2,437811,1.50 33,1,2024-09-07 10:03:40:596,1184262,1184262,0,0,555854716184,5757279483,1174920,7991,1351,368,391730,0 33,2,2024-09-07 10:03:40:779,852735,852700,35,0,35416824,0,7012 33,3,2024-09-07 10:03:40:895,1,672,1,0,329,6140,672,0 34,0,2024-09-07 10:03:40:929,168840,0.3,173451,0.5,331841,0.2,448281,1.75 34,1,2024-09-07 10:03:41:045,1186244,1186244,0,0,557049990237,5732814462,1182284,3751,209,367,391637,0 34,2,2024-09-07 10:03:40:783,851403,851403,0,0,33339923,0,4562 34,3,2024-09-07 10:03:41:694,1,672,1,0,541,5870,672,0 35,0,2024-09-07 10:03:40:856,169876,0.3,170466,0.5,342027,0.3,454929,1.75 35,1,2024-09-07 10:03:41:067,1182126,1182126,0,0,555013003423,5759841892,1173241,7333,1552,382,391769,0 35,2,2024-09-07 10:03:41:588,846606,846606,0,0,38219356,0,4055 35,3,2024-09-07 10:03:40:912,1,672,7,0,466,6486,672,0 36,0,2024-09-07 10:03:41:526,170754,0.5,170898,0.6,341447,0.4,454258,2.00 36,1,2024-09-07 10:03:40:590,1180387,1180387,0,0,554141196335,5787826977,1165615,12418,2354,366,391759,0 36,2,2024-09-07 10:03:41:751,851750,851750,0,0,38792809,0,3875 36,3,2024-09-07 10:03:40:871,1,672,3,0,556,8734,672,0 37,0,2024-09-07 10:03:41:366,162826,0.5,162891,0.7,326005,0.5,434340,2.25 37,1,2024-09-07 10:03:40:580,1179837,1179830,0,7,553429668111,5781676880,1165655,11062,3113,365,391770,0 37,2,2024-09-07 10:03:41:150,849524,849509,15,0,38586632,0,5815 37,3,2024-09-07 10:03:41:777,1,672,3,0,888,9155,672,0 38,0,2024-09-07 10:03:41:444,166130,0.5,161051,0.7,336759,0.4,441678,2.00 38,1,2024-09-07 10:03:41:609,1179996,1179996,0,0,554703902464,5801117840,1163170,13522,3304,368,391821,0 38,2,2024-09-07 10:03:40:806,849649,849602,47,0,38828619,0,6710 38,3,2024-09-07 10:03:41:002,1,672,4,0,689,7857,672,0 39,0,2024-09-07 10:03:41:765,175040,0.6,171005,0.7,333936,0.5,455289,2.00 39,1,2024-09-07 10:03:40:720,1179110,1179110,0,0,552578870552,5795387071,1159614,15263,4233,365,391865,0 39,2,2024-09-07 10:03:41:423,847713,847713,0,0,37147274,0,3478 39,3,2024-09-07 10:03:40:726,1,672,8,0,525,7572,672,0 40,0,2024-09-07 10:03:41:507,170116,0.8,170802,1.0,341002,0.9,455206,2.75 40,1,2024-09-07 10:03:40:596,1179194,1179194,0,0,553029358077,5797130083,1161039,14619,3536,366,391668,0 40,2,2024-09-07 10:03:41:317,847256,847249,7,0,42976367,0,5347 40,3,2024-09-07 10:03:41:149,1,672,2,0,1028,9718,672,0 41,0,2024-09-07 10:03:41:046,164455,1.2,168244,1.1,320895,1.6,435997,3.00 41,1,2024-09-07 10:03:40:781,1178456,1178456,0,0,553736601726,5793956653,1162779,13152,2525,369,391878,0 41,2,2024-09-07 10:03:40:779,847144,847143,1,0,41724247,0,5408 41,3,2024-09-07 10:03:41:676,1,672,2,0,366,7388,672,0 42,0,2024-09-07 10:03:41:493,164897,1.0,164722,1.1,329673,1.2,437181,2.75 42,1,2024-09-07 10:03:41:445,1176935,1176935,0,0,552596241034,5803663008,1159351,14500,3084,380,391675,0 42,2,2024-09-07 10:03:41:139,846628,846627,1,0,43121834,0,5513 42,3,2024-09-07 10:03:41:011,1,672,20,0,892,6910,672,0 43,0,2024-09-07 10:03:40:923,169258,0.8,164857,1.0,345077,0.9,451821,2.25 43,1,2024-09-07 10:03:40:597,1179728,1179728,0,0,554991960153,5799255237,1163698,13283,2747,366,391696,0 43,2,2024-09-07 10:03:41:743,850769,850769,0,0,39619419,0,4723 43,3,2024-09-07 10:03:41:751,1,672,8,0,571,9243,672,0 44,0,2024-09-07 10:03:40:868,172134,0.4,172419,0.6,344030,0.4,458981,1.75 44,1,2024-09-07 10:03:40:573,1183047,1183047,0,0,555373485919,5743209913,1172463,8509,2075,356,391809,0 44,2,2024-09-07 10:03:41:269,846309,846309,0,0,32456017,0,4344 44,3,2024-09-07 10:03:41:114,1,672,0,0,1097,8382,672,0 45,0,2024-09-07 10:03:41:760,164862,0.5,161097,0.7,338070,0.4,442836,2.00 45,1,2024-09-07 10:03:41:005,1182166,1182166,0,0,555281065457,5772310866,1172848,8097,1221,382,391917,0 45,2,2024-09-07 10:03:41:273,851381,851381,0,0,33019566,0,3596 45,3,2024-09-07 10:03:40:939,1,672,8,0,531,6349,672,0 46,0,2024-09-07 10:03:40:956,163647,0.5,163188,0.7,327394,0.5,435461,2.00 46,1,2024-09-07 10:03:40:610,1184455,1184455,0,0,555915018730,5749441918,1176083,7301,1071,366,391709,0 46,2,2024-09-07 10:03:40:625,852389,852389,0,0,34036498,0,4443 46,3,2024-09-07 10:03:41:141,1,672,5,0,908,7570,672,0 47,0,2024-09-07 10:03:41:124,170259,0.4,169837,0.6,340981,0.4,452385,2.00 47,1,2024-09-07 10:03:40:571,1185615,1185615,0,0,555832275909,5745814005,1178212,6390,1013,364,391666,0 47,2,2024-09-07 10:03:40:910,853631,853631,0,0,34365475,0,4477 47,3,2024-09-07 10:03:41:122,1,672,8,0,600,7356,672,0 48,0,2024-09-07 10:03:41:487,170763,0.3,171362,0.4,341453,0.2,454501,1.50 48,1,2024-09-07 10:03:41:025,1182772,1182772,0,0,555182550110,5769306862,1175195,6565,1012,381,391710,0 48,2,2024-09-07 10:03:40:701,846940,846940,0,0,31231136,0,3619 48,3,2024-09-07 10:03:40:778,1,672,1,0,339,5900,672,0 49,0,2024-09-07 10:03:41:726,173891,0.3,170261,0.5,331301,0.3,451621,1.75 49,1,2024-09-07 10:03:41:024,1181829,1181829,0,0,554853826776,5771131740,1173969,6162,1698,382,391809,0 49,2,2024-09-07 10:03:41:803,853028,853028,0,0,32817377,0,4426 49,3,2024-09-07 10:03:41:421,1,672,7,0,992,7943,672,0 50,0,2024-09-07 10:03:41:506,163622,0.3,162252,0.5,326790,0.2,434351,1.75 50,1,2024-09-07 10:03:41:010,1184847,1184847,0,0,556054273176,5749067620,1177385,6731,731,368,391691,0 50,2,2024-09-07 10:03:41:067,850818,850818,0,0,30766293,0,4490 50,3,2024-09-07 10:03:41:294,1,672,1,0,617,6714,672,0 51,0,2024-09-07 10:03:41:684,172424,0.3,168674,0.5,328540,0.2,448611,1.75 51,1,2024-09-07 10:03:41:688,1185130,1185130,0,0,558045936046,5755082652,1178459,5329,1342,365,391706,0 51,2,2024-09-07 10:03:41:320,850784,850784,0,0,30213442,0,3337 51,3,2024-09-07 10:03:41:027,1,672,18,0,678,5401,672,0 52,0,2024-09-07 10:03:41:417,171118,0.5,171162,0.7,341898,0.4,454549,2.00 52,1,2024-09-07 10:03:40:580,1179788,1179788,0,0,553645574762,5795671856,1163067,14294,2427,368,391805,0 52,2,2024-09-07 10:03:41:759,843240,843202,38,0,41748884,0,6742 52,3,2024-09-07 10:03:40:678,1,672,11,0,1782,7888,672,0 53,0,2024-09-07 10:03:41:730,170271,0.6,165289,0.8,345736,0.7,452406,2.25 53,1,2024-09-07 10:03:40:779,1178105,1178105,0,0,553783120626,5807024860,1159076,14617,4412,367,391968,0 53,2,2024-09-07 10:03:41:316,851332,851194,138,0,38301141,0,7690 53,3,2024-09-07 10:03:40:698,1,672,24,0,308,6403,672,0 54,0,2024-09-07 10:03:41:614,163037,0.6,163439,0.7,325573,0.5,434515,2.25 54,1,2024-09-07 10:03:40:580,1181222,1181222,0,0,555700854117,5778759455,1169449,10099,1674,366,391810,0 54,2,2024-09-07 10:03:40:879,851246,851214,32,0,41548105,0,6397 54,3,2024-09-07 10:03:40:778,1,672,96,0,676,8543,672,0 55,0,2024-09-07 10:03:41:769,160764,0.7,165791,0.8,336279,0.7,438480,2.50 55,1,2024-09-07 10:03:40:779,1181992,1181992,0,0,554543247819,5767951021,1169974,10532,1486,365,391731,0 55,2,2024-09-07 10:03:40:733,848210,848154,56,0,37995758,0,7239 55,3,2024-09-07 10:03:40:702,1,672,12,0,304,6233,672,0 56,0,2024-09-07 10:03:41:572,174649,1.3,164605,1.2,339261,1.7,454103,2.75 56,1,2024-09-07 10:03:40:596,1174660,1174660,0,0,552181555499,5839141944,1155275,15632,3753,381,391867,0 56,2,2024-09-07 10:03:41:316,847720,847598,122,0,40429956,0,7432 56,3,2024-09-07 10:03:41:067,1,672,1,0,705,8114,672,0 57,0,2024-09-07 10:03:40:955,170285,1.4,170257,1.2,340261,1.9,454956,3.00 57,1,2024-09-07 10:03:41:008,1177562,1177562,0,0,552221221865,5794672699,1162017,12855,2690,366,392032,0 57,2,2024-09-07 10:03:41:327,847719,847719,0,0,43175682,0,4804 57,3,2024-09-07 10:03:41:744,1,672,9,0,455,7345,672,0 58,0,2024-09-07 10:03:40:571,163446,0.9,158724,1.0,332253,1.1,434651,2.50 58,1,2024-09-07 10:03:40:583,1178646,1178643,0,3,553921311452,5810354342,1160336,13906,4401,367,391726,3 58,2,2024-09-07 10:03:41:070,850868,850868,0,0,39372943,0,3483 58,3,2024-09-07 10:03:41:074,1,672,8,0,1043,7422,672,0 59,0,2024-09-07 10:03:41:743,164335,0.9,163689,1.0,327386,1.0,434692,3.00 59,1,2024-09-07 10:03:40:821,1178235,1178235,0,0,552868347030,5810064296,1158797,15601,3837,369,391653,0 59,2,2024-09-07 10:03:40:617,850218,850218,0,0,37558270,0,3727 59,3,2024-09-07 10:03:41:737,1,672,8,0,1015,7966,672,0 60,0,2024-09-07 10:03:41:750,170069,0.5,170162,0.7,340383,0.5,453743,1.75 60,1,2024-09-07 10:03:40:782,1182159,1182159,0,0,555367239015,5783173917,1171799,8952,1408,370,392031,0 60,2,2024-09-07 10:03:41:146,851544,851544,0,0,35856549,0,3811 60,3,2024-09-07 10:03:41:274,1,672,98,0,409,7758,672,0 61,0,2024-09-07 10:03:41:583,170984,0.6,171722,0.8,341908,0.6,456594,2.00 61,1,2024-09-07 10:03:40:791,1179445,1179445,0,0,553708116356,5797256453,1167166,10502,1777,382,392127,0 61,2,2024-09-07 10:03:41:139,847312,847245,67,0,36361438,0,6411 61,3,2024-09-07 10:03:41:697,1,672,1,0,607,8435,672,0 62,0,2024-09-07 10:03:41:708,168800,0.5,173091,0.7,330454,0.5,448537,2.00 62,1,2024-09-07 10:03:41:114,1186788,1186782,0,6,556410046619,5742083154,1180216,6092,474,365,391975,6 62,2,2024-09-07 10:03:41:654,849066,849065,1,0,36149777,0,5555 62,3,2024-09-07 10:03:41:144,1,672,5,0,482,5344,672,0 63,0,2024-09-07 10:03:41:451,164622,0.4,164289,0.6,329397,0.3,437916,1.75 63,1,2024-09-07 10:03:40:811,1183307,1183301,0,6,555706102708,5772355207,1175656,6717,928,381,391800,6 63,2,2024-09-07 10:03:40:771,851385,851385,0,0,35341982,0,4369 63,3,2024-09-07 10:03:41:733,1,672,14,0,667,7771,672,0 64,0,2024-09-07 10:03:41:528,167868,0.5,167758,0.7,335021,0.5,446972,2.00 64,1,2024-09-07 10:03:40:762,1181555,1181555,0,0,555354984774,5782914498,1171357,8172,2026,370,391794,0 64,2,2024-09-07 10:03:41:141,853824,853805,19,0,34230104,0,6121 64,3,2024-09-07 10:03:41:143,1,672,1,0,651,7623,672,0 65,0,2024-09-07 10:03:41:692,169130,0.6,169451,0.7,338290,0.6,451195,2.00 65,1,2024-09-07 10:03:40:866,1179938,1179938,0,0,553839593560,5788393993,1171766,7274,898,381,391901,0 65,2,2024-09-07 10:03:41:702,844919,844919,0,0,40286163,0,3367 65,3,2024-09-07 10:03:41:686,1,672,0,0,782,7975,672,0 66,0,2024-09-07 10:03:41:817,169972,0.5,169327,0.7,338972,0.5,450853,2.00 66,1,2024-09-07 10:03:41:308,1181970,1181970,0,0,554263967183,5768771316,1174558,6540,872,380,391743,0 66,2,2024-09-07 10:03:41:149,854522,854519,3,0,35585610,0,5455 66,3,2024-09-07 10:03:41:104,1,672,12,0,291,6045,672,0 67,0,2024-09-07 10:03:41:418,163573,0.5,163180,0.7,327019,0.5,434920,2.00 67,1,2024-09-07 10:03:40:779,1181814,1181813,0,1,554127340880,5770938077,1173384,7289,1140,380,391787,1 67,2,2024-09-07 10:03:40:596,854489,854474,15,0,35842904,0,6205 67,3,2024-09-07 10:03:41:754,1,672,7,0,595,7211,672,0 68,0,2024-09-07 10:03:40:591,166967,0.6,166737,0.8,332245,0.6,444646,2.25 68,1,2024-09-07 10:03:40:589,1177227,1177227,0,0,552467501165,5815499235,1160510,12446,4271,381,391953,0 68,2,2024-09-07 10:03:41:056,845304,845204,100,0,44198535,0,8578 68,3,2024-09-07 10:03:40:729,1,672,168,0,417,9591,672,0 69,0,2024-09-07 10:03:41:759,170935,0.7,171442,0.8,342101,0.8,455292,2.25 69,1,2024-09-07 10:03:41:034,1176664,1176664,0,0,552338145359,5819799631,1161898,11785,2981,383,391994,0 69,2,2024-09-07 10:03:41:742,844923,844894,29,0,47356583,0,6912 69,3,2024-09-07 10:03:40:781,1,672,9,0,698,10300,672,0 70,0,2024-09-07 10:03:41:538,169813,0.7,170592,0.9,342105,0.6,453737,2.50 70,1,2024-09-07 10:03:40:803,1182816,1182816,0,0,555886096302,5771647813,1173371,8400,1045,366,391725,0 70,2,2024-09-07 10:03:41:325,850047,850047,0,0,38995050,0,4323 70,3,2024-09-07 10:03:40:751,1,672,24,0,854,7594,672,0 71,0,2024-09-07 10:03:41:358,164341,0.8,163930,1.0,328898,1.0,438591,2.50 71,1,2024-09-07 10:03:41:598,1180899,1180899,0,0,554042705946,5783246967,1166472,12642,1785,367,391738,0 71,2,2024-09-07 10:03:41:067,849688,849688,0,0,39387707,0,4352 71,3,2024-09-07 10:03:41:751,1,672,8,0,644,7526,672,0 72,0,2024-09-07 10:03:41:040,171489,0.6,167377,0.7,327154,0.5,444732,2.00 72,1,2024-09-07 10:03:41:025,1179183,1179183,0,0,553379203016,5803510396,1162423,14028,2732,369,391819,0 72,2,2024-09-07 10:03:41:763,847779,847779,0,0,41019460,0,3983 72,3,2024-09-07 10:03:41:759,1,672,1,0,564,9438,672,0 73,0,2024-09-07 10:03:41:122,167380,0.5,171584,0.6,350418,0.4,456993,2.00 73,1,2024-09-07 10:03:40:773,1180913,1180913,0,0,554764087925,5768439209,1171507,8346,1060,367,391858,0 73,2,2024-09-07 10:03:41:741,849215,849214,1,0,43271090,0,5027 73,3,2024-09-07 10:03:40:970,1,672,5,0,1091,9123,672,0 74,0,2024-09-07 10:03:41:326,172958,0.5,177134,0.7,338112,0.4,459314,2.00 74,1,2024-09-07 10:03:40:699,1179357,1179357,0,0,553060502818,5772240294,1167048,10162,2147,381,391762,0 74,2,2024-09-07 10:03:41:002,846436,846436,0,0,37264647,0,4253 74,3,2024-09-07 10:03:41:449,1,672,1,0,522,7869,672,0 75,0,2024-09-07 10:03:41:766,166783,0.5,165825,0.7,332813,0.5,444136,2.25 75,1,2024-09-07 10:03:41:591,1180956,1180956,0,0,554026522104,5775052276,1171085,8879,992,380,391739,0 75,2,2024-09-07 10:03:41:353,849315,849315,0,0,44289629,0,4766 75,3,2024-09-07 10:03:41:067,1,672,5,0,918,9400,672,0 76,0,2024-09-07 10:03:40:599,164053,0.5,163423,0.7,326644,0.5,437012,2.25 76,1,2024-09-07 10:03:40:829,1180733,1180733,0,0,554111562840,5781114210,1172170,7257,1306,382,391790,0 76,2,2024-09-07 10:03:41:067,853703,853700,3,0,37106738,0,5265 76,3,2024-09-07 10:03:41:155,1,672,1,0,249,6260,672,0 77,0,2024-09-07 10:03:41:702,169049,0.6,169595,0.7,339407,0.5,451367,2.00 77,1,2024-09-07 10:03:40:857,1180156,1180156,0,0,553820074891,5784513834,1170192,8878,1086,381,391869,0 77,2,2024-09-07 10:03:41:336,850141,850141,0,0,35967164,0,3890 77,3,2024-09-07 10:03:41:113,1,672,9,0,401,7746,672,0 78,0,2024-09-07 10:03:41:714,171100,0.4,170398,0.6,341997,0.4,452495,2.00 78,1,2024-09-07 10:03:40:625,1179908,1179908,0,0,553738282036,5780420167,1164905,11766,3237,367,391670,0 78,2,2024-09-07 10:03:41:405,847281,847268,13,0,33387164,0,8313 78,3,2024-09-07 10:03:41:138,1,672,1,0,311,5773,672,0 79,0,2024-09-07 10:03:41:348,163322,0.4,167389,0.6,342790,0.3,446118,2.00 79,1,2024-09-07 10:03:40:613,1184142,1184142,0,0,555587041178,5757065181,1174334,8007,1801,367,391682,0 79,2,2024-09-07 10:03:41:076,852364,852364,0,0,32519245,0,4195 79,3,2024-09-07 10:03:40:753,1,672,15,0,418,7605,672,0 80,0,2024-09-07 10:03:41:079,163279,0.5,167648,0.7,320216,0.5,433458,2.00 80,1,2024-09-07 10:03:41:629,1180336,1180336,0,0,554417380694,5773223768,1172597,7191,548,368,392269,0 80,2,2024-09-07 10:03:41:092,854490,854490,0,0,33227556,0,4433 80,3,2024-09-07 10:03:40:593,1,672,119,0,681,8247,672,0 81,0,2024-09-07 10:03:41:593,167731,0.6,171762,0.7,327656,0.6,445368,2.00 81,1,2024-09-07 10:03:41:656,1179575,1179575,0,0,553560239856,5786515341,1170018,8584,973,382,392001,0 81,2,2024-09-07 10:03:41:141,849887,849824,63,0,36759496,0,5932 81,3,2024-09-07 10:03:41:123,1,672,26,0,719,7364,672,0 82,0,2024-09-07 10:03:41:538,171146,0.5,171306,0.7,342989,0.5,455046,2.00 82,1,2024-09-07 10:03:40:585,1181630,1181626,0,4,555372176009,5778001880,1174736,5767,1123,381,391768,4 82,2,2024-09-07 10:03:41:702,849305,849305,0,0,30743593,0,4484 82,3,2024-09-07 10:03:41:759,1,672,0,0,363,6088,672,0 83,0,2024-09-07 10:03:41:538,170887,0.6,170797,0.7,341353,0.5,453083,2.25 83,1,2024-09-07 10:03:40:556,1180463,1180463,0,0,554260233751,5779554275,1171595,7843,1025,382,391709,0 83,2,2024-09-07 10:03:40:772,851840,851815,25,0,33436574,0,5612 83,3,2024-09-07 10:03:40:761,1,672,9,0,1260,7903,672,0 84,0,2024-09-07 10:03:41:767,163360,0.7,163409,0.9,326998,0.7,436429,2.25 84,1,2024-09-07 10:03:41:038,1178911,1178911,0,0,553839264626,5795187605,1165239,11589,2083,367,391967,0 84,2,2024-09-07 10:03:40:582,849230,848820,410,0,48538443,0,17037 84,3,2024-09-07 10:03:41:142,1,672,20,0,908,9540,672,0 85,0,2024-09-07 10:03:41:015,160025,0.7,160021,0.8,339787,0.7,438866,2.25 85,1,2024-09-07 10:03:40:569,1175328,1175328,0,0,551966131618,5826906733,1157551,14847,2930,381,392092,0 85,2,2024-09-07 10:03:40:872,848498,848498,0,0,41271629,0,4255 85,3,2024-09-07 10:03:40:701,1,672,2,0,789,7720,672,0 86,0,2024-09-07 10:03:40:888,170833,0.8,175718,0.8,336469,0.8,454897,2.25 86,1,2024-09-07 10:03:40:825,1177501,1177501,0,0,553781127546,5817490552,1159965,14224,3312,366,392169,0 86,2,2024-09-07 10:03:40:859,848331,848330,1,0,43423183,0,5004 86,3,2024-09-07 10:03:40:590,1,672,1,0,441,9446,672,0 87,0,2024-09-07 10:03:41:312,172647,0.8,171388,0.8,343354,0.9,458925,2.25 87,1,2024-09-07 10:03:40:564,1178006,1178006,0,0,553261510686,5797596593,1163107,12737,2162,366,392076,0 87,2,2024-09-07 10:03:41:071,847285,847279,6,0,40417560,0,6323 87,3,2024-09-07 10:03:41:795,1,672,8,0,473,9352,672,0 88,0,2024-09-07 10:03:41:446,165750,0.4,166232,0.6,332342,0.4,442218,1.75 88,1,2024-09-07 10:03:40:575,1176905,1176905,0,0,552294648070,5789544486,1160163,13607,3135,365,392084,0 88,2,2024-09-07 10:03:40:698,849817,849817,0,0,42466384,0,4465 88,3,2024-09-07 10:03:41:279,1,672,9,0,1080,10983,672,0 89,0,2024-09-07 10:03:41:765,170303,0.4,165340,0.6,325942,0.4,443497,1.75 89,1,2024-09-07 10:03:40:556,1176570,1176570,0,0,553317182024,5819882770,1161935,12360,2275,382,391866,0 89,2,2024-09-07 10:03:41:140,849811,849811,0,0,40101428,0,3173 89,3,2024-09-07 10:03:41:793,1,672,1,0,729,11601,672,0 90,0,2024-09-07 10:03:41:620,164987,0.5,169340,0.6,345818,0.4,451330,2.00 90,1,2024-09-07 10:03:40:590,1177931,1177931,0,0,552897811073,5794233983,1165783,10913,1235,380,391825,0 90,2,2024-09-07 10:03:41:406,846791,846786,5,0,43270126,0,6370 90,3,2024-09-07 10:03:40:931,1,672,8,0,364,8915,672,0 91,0,2024-09-07 10:03:40:926,171695,0.5,166558,0.6,348540,0.5,457488,1.75 91,1,2024-09-07 10:03:40:571,1175019,1175019,0,0,552720486279,5831542690,1156392,14481,4146,381,392047,0 91,2,2024-09-07 10:03:41:333,845673,845673,0,0,38425830,0,4713 91,3,2024-09-07 10:03:40:610,1,672,13,0,231,6366,672,0 92,0,2024-09-07 10:03:41:440,169710,0.4,173609,0.6,331077,0.4,448880,1.75 92,1,2024-09-07 10:03:40:611,1179690,1179690,0,0,554051714541,5788812423,1170386,7995,1309,381,392136,0 92,2,2024-09-07 10:03:41:358,850615,850615,0,0,34847148,0,3906 92,3,2024-09-07 10:03:41:024,1,672,322,0,322,6142,672,0 93,0,2024-09-07 10:03:40:954,165087,0.4,169120,0.6,322974,0.3,437769,1.75 93,1,2024-09-07 10:03:40:811,1180212,1180212,0,0,553669249191,5787929877,1165955,11824,2433,365,392048,0 93,2,2024-09-07 10:03:40:936,851543,851543,0,0,40578760,0,4913 93,3,2024-09-07 10:03:41:418,1,672,1,0,505,7617,672,0 94,0,2024-09-07 10:03:41:599,167875,0.4,168995,0.6,338175,0.4,449273,1.75 94,1,2024-09-07 10:03:40:571,1181138,1181138,0,0,555068707283,5788728029,1173385,7263,490,381,391850,0 94,2,2024-09-07 10:03:40:780,850594,850566,28,0,35166572,0,6179 94,3,2024-09-07 10:03:41:689,1,672,1,0,576,8260,672,0 95,0,2024-09-07 10:03:41:341,170458,0.4,170347,0.6,340975,0.3,454140,1.75 95,1,2024-09-07 10:03:40:860,1181737,1181737,0,0,554700181816,5764659902,1172690,8282,765,365,391852,0 95,2,2024-09-07 10:03:41:021,845234,845234,0,0,34834421,0,3308 95,3,2024-09-07 10:03:41:709,1,672,8,0,718,10211,672,0 96,0,2024-09-07 10:03:41:027,170418,0.3,170583,0.5,341329,0.3,453559,1.75 96,1,2024-09-07 10:03:41:592,1179945,1179945,0,0,554102007896,5781514543,1171466,7185,1294,384,391964,0 96,2,2024-09-07 10:03:41:274,853164,853164,0,0,35206123,0,4180 96,3,2024-09-07 10:03:41:141,1,672,0,0,411,7635,672,0 97,0,2024-09-07 10:03:41:322,163593,0.3,163373,0.5,327507,0.3,434858,1.50 97,1,2024-09-07 10:03:40:772,1181885,1181885,0,0,555207785705,5762251504,1174035,6611,1239,367,392140,0 97,2,2024-09-07 10:03:40:626,852741,852741,0,0,35574348,0,4600 97,3,2024-09-07 10:03:40:600,1,672,1,0,433,8215,672,0 98,0,2024-09-07 10:03:41:707,166509,0.3,166554,0.5,334479,0.3,444956,1.50 98,1,2024-09-07 10:03:40:580,1181434,1181434,0,0,554442273563,5776443234,1174008,6528,898,381,391997,0 98,2,2024-09-07 10:03:40:779,849355,849355,0,0,33837262,0,4336 98,3,2024-09-07 10:03:40:707,1,672,29,0,840,9528,672,0 99,0,2024-09-07 10:03:41:454,171790,0.3,172603,0.5,343951,0.3,457976,1.75 99,1,2024-09-07 10:03:41:724,1182392,1182392,0,0,554611581021,5773958558,1174662,6623,1107,380,392069,0 99,2,2024-09-07 10:03:41:423,847492,847492,0,0,42936264,0,4276 99,3,2024-09-07 10:03:40:618,1,672,19,0,1124,8456,672,0 100,0,2024-09-07 10:03:41:464,171276,0.8,171741,0.9,343402,0.9,457780,2.50 100,1,2024-09-07 10:03:40:633,1174792,1174792,0,0,551768521320,5839327332,1155759,15238,3795,378,391989,0 100,2,2024-09-07 10:03:41:817,846061,845672,389,0,44844106,0,16909 100,3,2024-09-07 10:03:41:739,1,672,1,0,627,10569,672,0 101,0,2024-09-07 10:03:41:702,168919,0.9,164925,1.0,322605,0.9,440236,2.25 101,1,2024-09-07 10:03:40:570,1177116,1177116,0,0,552822975834,5812935150,1160761,13420,2935,368,392018,0 101,2,2024-09-07 10:03:41:761,844887,844848,39,0,46598623,0,5913 101,3,2024-09-07 10:03:40:944,1,672,4,0,1250,10489,672,0 102,0,2024-09-07 10:03:40:952,161468,0.7,166382,0.8,337995,0.7,441585,2.25 102,1,2024-09-07 10:03:41:141,1177057,1177057,0,0,553024918116,5809865369,1161341,13461,2255,369,391984,0 102,2,2024-09-07 10:03:41:740,850354,850300,54,0,38720717,0,6768 102,3,2024-09-07 10:03:41:631,1,672,1,0,466,7861,672,0 103,0,2024-09-07 10:03:41:597,176951,0.7,177036,0.8,333509,0.7,459147,2.25 103,1,2024-09-07 10:03:41:628,1175748,1175748,0,0,552231084616,5833345055,1156847,15275,3626,381,392077,0 103,2,2024-09-07 10:03:40:615,848191,848191,0,0,42087551,0,3766 103,3,2024-09-07 10:03:40:768,1,672,14,0,916,8017,672,0 104,0,2024-09-07 10:03:41:037,170689,0.7,171078,0.9,341248,0.7,456412,2.25 104,1,2024-09-07 10:03:41:601,1178128,1178128,0,0,552385034783,5809505668,1159780,14894,3454,365,392168,0 104,2,2024-09-07 10:03:41:677,844883,844883,0,0,40995230,0,4161 104,3,2024-09-07 10:03:41:420,1,672,3,0,1245,11629,672,0 105,0,2024-09-07 10:03:41:025,164763,0.8,160689,1.0,336802,0.9,442112,2.50 105,1,2024-09-07 10:03:40:560,1180038,1180038,0,0,552919562154,5792946462,1165317,12608,2113,364,392009,0 105,2,2024-09-07 10:03:41:325,849401,849401,0,0,42165611,0,4360 105,3,2024-09-07 10:03:41:310,1,672,1,0,573,10160,672,0 106,0,2024-09-07 10:03:40:967,158898,0.9,162902,0.9,333318,1.0,435456,2.50 106,1,2024-09-07 10:03:41:751,1177841,1177841,0,0,553054417592,5804795853,1161427,14264,2150,368,391914,0 106,2,2024-09-07 10:03:40:777,850646,850646,0,0,39131074,0,3331 106,3,2024-09-07 10:03:40:678,1,672,0,0,1224,9123,672,0 107,0,2024-09-07 10:03:41:102,169128,1.2,169206,1.0,338633,1.7,452161,2.25 107,1,2024-09-07 10:03:40:615,1175825,1175825,0,0,551259507711,5828361903,1156855,16715,2255,381,392234,0 107,2,2024-09-07 10:03:41:293,846661,846660,1,0,42620599,0,5024 107,3,2024-09-07 10:03:41:755,1,672,19,0,733,10558,672,0 108,0,2024-09-07 10:03:41:806,170177,0.4,170979,0.6,340923,0.4,454227,1.75 108,1,2024-09-07 10:03:41:320,1179281,1179281,0,0,554033635577,5782226746,1169035,9119,1127,367,391894,0 108,2,2024-09-07 10:03:41:773,846486,846486,0,0,37699329,0,4432 108,3,2024-09-07 10:03:41:330,1,672,4,0,767,12361,672,0 109,0,2024-09-07 10:03:41:758,169980,0.4,168554,0.6,338142,0.3,450753,1.75 109,1,2024-09-07 10:03:40:615,1177571,1177571,0,0,553124856725,5804460444,1167015,9053,1503,382,392132,0 109,2,2024-09-07 10:03:40:926,849995,849995,0,0,37820128,0,3617 109,3,2024-09-07 10:03:41:151,1,672,10,0,630,7774,672,0 110,0,2024-09-07 10:03:41:754,163006,0.4,158471,0.6,331987,0.3,435234,1.75 110,1,2024-09-07 10:03:41:654,1181598,1181598,0,0,555131302014,5765188214,1172453,7093,2052,368,392045,0 110,2,2024-09-07 10:03:41:323,852241,852241,0,0,36977088,0,4067 110,3,2024-09-07 10:03:40:712,1,672,1,0,722,8889,672,0 111,0,2024-09-07 10:03:41:419,168601,0.4,167823,0.5,335192,0.3,448040,1.75 111,1,2024-09-07 10:03:41:003,1183052,1183052,0,0,555546668034,5766998113,1176482,6180,390,380,391690,0 111,2,2024-09-07 10:03:41:116,850041,850041,0,0,36376023,0,4823 111,3,2024-09-07 10:03:40:914,1,672,21,0,379,7496,672,0 112,0,2024-09-07 10:03:40:913,171935,0.3,171455,0.4,343721,0.2,456294,1.50 112,1,2024-09-07 10:03:40:826,1181814,1181814,0,0,554964488543,5761637367,1174230,6359,1225,380,391624,0 112,2,2024-09-07 10:03:41:147,847399,847398,1,0,34438518,0,5036 112,3,2024-09-07 10:03:40:598,1,672,1,0,282,6590,672,0 113,0,2024-09-07 10:03:40:866,170849,0.3,171161,0.5,342647,0.2,455407,1.75 113,1,2024-09-07 10:03:41:700,1185420,1185420,0,0,556790746666,5745591529,1178513,5957,950,365,391664,0 113,2,2024-09-07 10:03:41:305,853890,853890,0,0,31699594,0,3813 113,3,2024-09-07 10:03:40:690,1,672,23,0,510,6943,672,0 114,0,2024-09-07 10:03:40:885,164963,0.3,166045,0.5,331283,0.2,441935,1.75 114,1,2024-09-07 10:03:40:727,1181675,1181675,0,0,554571266602,5758485924,1173105,6802,1768,381,391565,0 114,2,2024-09-07 10:03:40:877,853159,853158,1,0,33821571,0,5069 114,3,2024-09-07 10:03:41:284,1,672,0,0,415,5610,672,0 115,0,2024-09-07 10:03:40:554,167338,0.3,167941,0.4,334339,0.2,445360,1.50 115,1,2024-09-07 10:03:40:588,1182124,1182124,0,0,556032658641,5776541043,1172646,7688,1790,382,391757,0 115,2,2024-09-07 10:03:41:129,851191,851191,0,0,33635767,0,4382 115,3,2024-09-07 10:03:41:013,1,672,12,0,173,4365,672,0 116,0,2024-09-07 10:03:41:742,170890,0.8,170892,0.9,342477,0.8,457558,2.25 116,1,2024-09-07 10:03:40:809,1175740,1175740,0,0,552746721854,5825720309,1161694,10836,3210,380,392089,0 116,2,2024-09-07 10:03:41:755,848895,848895,0,0,41497760,0,4475 116,3,2024-09-07 10:03:40:913,1,672,1,0,448,8788,672,0 117,0,2024-09-07 10:03:40:953,172388,0.6,172082,0.8,344345,0.7,460204,2.00 117,1,2024-09-07 10:03:41:577,1177686,1177686,0,0,552404976809,5789269261,1163883,12041,1762,369,392429,0 117,2,2024-09-07 10:03:41:123,849804,849804,0,0,37966205,0,4303 117,3,2024-09-07 10:03:41:078,1,672,1,0,490,8381,672,0 118,0,2024-09-07 10:03:41:785,160731,0.5,165152,0.7,336938,0.5,440626,2.00 118,1,2024-09-07 10:03:40:592,1176741,1176741,0,0,552307332263,5807385957,1159270,13626,3845,366,392054,0 118,2,2024-09-07 10:03:41:625,849451,849451,0,0,40610041,0,3161 118,3,2024-09-07 10:03:41:763,1,672,0,0,343,8161,672,0 119,0,2024-09-07 10:03:41:351,165463,0.7,165923,0.8,331192,0.8,442146,2.25 119,1,2024-09-07 10:03:40:552,1177845,1177845,0,0,553127493995,5803303412,1161843,13338,2664,367,391857,0 119,2,2024-09-07 10:03:41:276,850867,850867,0,0,37618275,0,4309 119,3,2024-09-07 10:03:41:338,1,672,7,0,1358,12067,672,0 120,0,2024-09-07 10:03:41:564,169324,0.7,169134,0.8,339756,0.7,452479,2.25 120,1,2024-09-07 10:03:40:859,1178507,1178507,0,0,553052590586,5800663027,1165892,11458,1157,367,392144,0 120,2,2024-09-07 10:03:40:779,848809,848806,3,0,45250530,0,5363 120,3,2024-09-07 10:03:41:290,1,672,11,0,478,8684,672,0 121,0,2024-09-07 10:03:41:709,171214,1.2,170642,1.0,341304,1.5,455432,2.25 121,1,2024-09-07 10:03:41:663,1178220,1178220,0,0,554211883529,5802817654,1164966,11260,1994,366,391840,0 121,2,2024-09-07 10:03:41:127,846282,846282,0,0,40753412,0,4157 121,3,2024-09-07 10:03:40:731,1,672,9,0,387,9121,672,0 122,0,2024-09-07 10:03:41:760,167645,0.7,163087,0.8,341174,0.8,448334,2.00 122,1,2024-09-07 10:03:40:868,1177636,1177636,0,0,552872682828,5802515726,1161374,13685,2577,365,392130,0 122,2,2024-09-07 10:03:41:325,850791,850716,75,0,45090599,0,5989 122,3,2024-09-07 10:03:40:617,1,672,1,0,512,10445,672,0 123,0,2024-09-07 10:03:40:974,163042,0.8,158860,0.9,333017,0.9,435831,2.25 123,1,2024-09-07 10:03:40:567,1178333,1178333,0,0,553365865020,5820657359,1159445,15898,2990,369,392039,0 123,2,2024-09-07 10:03:41:019,850697,850696,1,0,38755407,0,5215 123,3,2024-09-07 10:03:41:143,1,672,16,0,478,7644,672,0 124,0,2024-09-07 10:03:40:934,173312,0.4,173332,0.5,326509,0.3,450066,1.75 124,1,2024-09-07 10:03:41:022,1181677,1181677,0,0,554727277525,5768982391,1172659,7784,1234,365,392178,0 124,2,2024-09-07 10:03:41:010,852375,852322,53,0,35716991,0,6487 124,3,2024-09-07 10:03:40:762,1,672,54,0,490,6745,672,0 125,0,2024-09-07 10:03:41:425,170360,0.4,170191,0.6,341183,0.3,454554,1.75 125,1,2024-09-07 10:03:40:860,1179261,1179261,0,0,553338599173,5783959298,1168578,9151,1532,382,392045,0 125,2,2024-09-07 10:03:41:126,848482,848482,0,0,35149696,0,4534 125,3,2024-09-07 10:03:41:126,1,672,0,0,709,7550,672,0 126,0,2024-09-07 10:03:41:442,170701,0.4,175451,0.5,335329,0.3,454278,1.75 126,1,2024-09-07 10:03:40:560,1182623,1182623,0,0,555554894420,5757150199,1175645,6298,680,365,391987,0 126,2,2024-09-07 10:03:40:625,853399,853399,0,0,36819086,0,4539 126,3,2024-09-07 10:03:40:921,1,672,9,0,268,7684,672,0 127,0,2024-09-07 10:03:41:601,163804,0.3,164137,0.5,327579,0.3,435029,1.75 127,1,2024-09-07 10:03:40:571,1181026,1181026,0,0,554677930848,5773254315,1168897,10436,1693,364,392187,0 127,2,2024-09-07 10:03:40:668,852461,852457,4,0,34849079,0,5305 127,3,2024-09-07 10:03:41:273,1,672,12,0,968,6664,672,0 128,0,2024-09-07 10:03:41:601,167680,0.3,167606,0.4,335208,0.2,445747,1.50 128,1,2024-09-07 10:03:41:621,1180394,1180394,0,0,554345215459,5764309906,1171534,7890,970,367,392423,0 128,2,2024-09-07 10:03:41:386,851789,851789,0,0,32742839,0,3171 128,3,2024-09-07 10:03:40:774,1,672,0,0,1082,10074,672,0 129,0,2024-09-07 10:03:41:021,172963,0.3,172261,0.5,345554,0.3,458709,1.75 129,1,2024-09-07 10:03:40:571,1177478,1177478,0,0,553371347297,5805704293,1164734,10415,2329,379,391962,0 129,2,2024-09-07 10:03:40:701,850099,850095,4,0,35153944,0,5335 129,3,2024-09-07 10:03:40:690,1,672,6,0,506,9820,672,0 130,0,2024-09-07 10:03:41:727,172461,0.4,172260,0.5,344835,0.4,459281,1.75 130,1,2024-09-07 10:03:40:615,1182090,1182090,0,0,555170389149,5764907522,1176400,5283,407,381,391825,0 130,2,2024-09-07 10:03:41:127,850578,850578,0,0,34996956,0,4067 130,3,2024-09-07 10:03:41:340,1,672,30,0,960,8742,672,0 131,0,2024-09-07 10:03:41:927,165350,0.3,165855,0.5,332674,0.3,442066,1.50 131,1,2024-09-07 10:03:41:830,1181032,1181032,0,0,553290437278,5766525231,1173023,6806,1203,381,391865,0 131,2,2024-09-07 10:03:40:571,851531,851531,0,0,32826270,0,3979 131,3,2024-09-07 10:03:41:688,1,672,13,0,392,8530,672,0 132,0,2024-09-07 10:03:41:446,166501,0.5,167496,0.6,333169,0.5,443601,2.00 132,1,2024-09-07 10:03:40:665,1176723,1176723,0,0,552026490249,5810999657,1160230,13711,2782,381,392532,0 132,2,2024-09-07 10:03:40:697,849575,849558,17,0,42153303,0,6451 132,3,2024-09-07 10:03:41:696,1,672,2,0,1298,11726,672,0 133,0,2024-09-07 10:03:41:602,167440,0.5,171409,0.6,351372,0.4,457748,2.00 133,1,2024-09-07 10:03:40:663,1176744,1176744,0,0,552804359947,5823813168,1161317,13494,1933,383,391914,0 133,2,2024-09-07 10:03:41:113,850799,850749,50,0,43165090,0,6861 133,3,2024-09-07 10:03:41:307,1,672,19,0,528,7976,672,0 134,0,2024-09-07 10:03:40:987,172610,0.5,172452,0.7,345040,0.5,459686,2.25 134,1,2024-09-07 10:03:40:616,1177710,1177710,0,0,552819690231,5803480084,1161633,12785,3292,366,391781,0 134,2,2024-09-07 10:03:41:760,846424,846285,139,0,40390800,0,7591 134,3,2024-09-07 10:03:40:762,1,672,0,0,739,8443,672,0 135,0,2024-09-07 10:03:41:118,161495,0.7,161465,0.8,342833,0.8,441421,2.25 135,1,2024-09-07 10:03:41:586,1177936,1177936,0,0,552359659182,5808354109,1163547,12537,1852,380,392038,0 135,2,2024-09-07 10:03:40:700,851363,851363,0,0,41095901,0,4503 135,3,2024-09-07 10:03:41:002,1,672,8,0,900,6557,672,0 136,0,2024-09-07 10:03:41:625,164898,0.6,165447,0.8,328609,0.5,438672,2.00 136,1,2024-09-07 10:03:41:442,1179027,1179027,0,0,553344279901,5798710770,1166380,11222,1425,381,392135,0 136,2,2024-09-07 10:03:41:142,852968,852953,15,0,40298404,0,6007 136,3,2024-09-07 10:03:41:130,1,672,2,0,637,7981,672,0 137,0,2024-09-07 10:03:40:923,174834,0.6,170460,0.8,333792,0.7,453862,2.00 137,1,2024-09-07 10:03:40:597,1177783,1177783,0,0,553013254325,5801048422,1159372,14774,3637,366,391898,0 137,2,2024-09-07 10:03:41:715,849215,849215,0,0,41223355,0,3185 137,3,2024-09-07 10:03:40:780,1,672,2,0,484,9662,672,0 138,0,2024-09-07 10:03:41:742,169538,0.9,169929,0.9,340294,1.2,452590,2.25 138,1,2024-09-07 10:03:41:695,1177688,1177688,0,0,553814003015,5814069900,1160654,14345,2689,368,391954,0 138,2,2024-09-07 10:03:40:605,844697,844697,0,0,39514901,0,4988 138,3,2024-09-07 10:03:40:617,1,672,1,0,1200,10188,672,0 139,0,2024-09-07 10:03:41:366,167780,0.9,168294,0.9,336608,1.2,449087,2.25 139,1,2024-09-07 10:03:40:582,1173644,1173644,0,0,550062468254,5830182884,1153891,15841,3912,380,392109,0 139,2,2024-09-07 10:03:40:693,845890,845860,30,0,45119627,0,5997 139,3,2024-09-07 10:03:41:663,1,672,8,0,432,8033,672,0 140,0,2024-09-07 10:03:41:587,163612,0.3,162845,0.5,326875,0.2,435567,1.75 140,1,2024-09-07 10:03:41:538,1185389,1185389,0,0,555234909391,5729831899,1179099,5553,737,364,391628,0 140,2,2024-09-07 10:03:40:699,852417,852416,1,0,33387146,0,5036 140,3,2024-09-07 10:03:40:767,1,672,18,0,575,6897,672,0 141,0,2024-09-07 10:03:41:713,168242,0.3,172917,0.5,330599,0.3,447392,1.75 141,1,2024-09-07 10:03:40:858,1182531,1182531,0,0,555780368514,5778472080,1172351,8789,1391,379,391614,0 141,2,2024-09-07 10:03:41:689,850938,850927,11,0,35548749,0,5369 141,3,2024-09-07 10:03:41:043,1,672,1,0,391,7950,672,0 142,0,2024-09-07 10:03:41:323,172104,0.3,171301,0.5,343086,0.3,457121,1.75 142,1,2024-09-07 10:03:40:625,1180293,1180293,0,0,554724074472,5789083736,1171509,7892,892,382,392102,0 142,2,2024-09-07 10:03:41:312,846279,846247,32,0,35339954,0,6028 142,3,2024-09-07 10:03:41:746,1,672,10,0,484,6924,672,0 143,0,2024-09-07 10:03:41:366,171031,0.4,170790,0.5,342781,0.4,455410,1.75 143,1,2024-09-07 10:03:40:568,1182962,1182962,0,0,555030753809,5760506462,1175156,6901,905,367,391900,0 143,2,2024-09-07 10:03:40:806,852595,852595,0,0,35121169,0,3123 143,3,2024-09-07 10:03:41:143,1,672,8,0,462,7894,672,0 144,0,2024-09-07 10:03:41:527,159922,0.6,164560,0.7,334877,0.5,438237,2.00 144,1,2024-09-07 10:03:40:571,1177166,1177166,0,0,552430920299,5798003378,1165435,9690,2041,381,391733,0 144,2,2024-09-07 10:03:41:756,852688,852688,0,0,35006788,0,4443 144,3,2024-09-07 10:03:41:740,1,672,4,0,306,7246,672,0 145,0,2024-09-07 10:03:41:382,161214,0.6,161161,0.8,342153,0.6,440801,2.25 145,1,2024-09-07 10:03:40:567,1176240,1176240,0,0,552848153025,5821349807,1160055,13220,2965,382,391781,0 145,2,2024-09-07 10:03:41:434,848191,848109,82,0,41077012,0,7814 145,3,2024-09-07 10:03:40:904,1,672,4,0,622,9063,672,0 146,0,2024-09-07 10:03:41:640,171498,0.7,170600,0.8,342935,0.7,455625,2.25 146,1,2024-09-07 10:03:41:596,1178433,1178433,0,0,552580685955,5814416578,1159385,14125,4923,367,391829,0 146,2,2024-09-07 10:03:41:696,847459,847453,6,0,39298365,0,5151 146,3,2024-09-07 10:03:41:275,1,672,1,0,1520,10859,672,0 147,0,2024-09-07 10:03:41:702,172416,0.6,172139,0.7,344101,0.6,459296,2.25 147,1,2024-09-07 10:03:41:373,1181672,1181672,0,0,555122950088,5772202835,1171747,8827,1098,367,391791,0 147,2,2024-09-07 10:03:41:019,848028,848028,0,0,35766773,0,3359 147,3,2024-09-07 10:03:40:914,1,672,17,0,1626,10244,672,0 0,0,2024-09-07 10:03:51:787,165615,0.6,165530,0.8,351350,0.7,454069,2.00 0,1,2024-09-07 10:03:50:839,1180906,1180906,0,0,554178006763,5802457348,1171250,8694,962,368,391896,0 0,2,2024-09-07 10:03:51:081,852287,852287,0,0,34782702,0,4480 0,3,2024-09-07 10:03:50:988,1,673,11,0,431,9267,673,0 1,0,2024-09-07 10:03:51:771,171425,0.8,170471,0.9,342063,1.0,457828,2.00 1,1,2024-09-07 10:03:50:637,1179616,1179616,0,0,553660099807,5802540485,1168253,9398,1965,370,391859,0 1,2,2024-09-07 10:03:50:643,848867,848867,0,0,34022496,0,3380 1,3,2024-09-07 10:03:51:304,1,673,19,0,269,8014,673,0 2,0,2024-09-07 10:03:51:576,168260,0.6,167998,0.7,335663,0.6,447623,2.00 2,1,2024-09-07 10:03:50:903,1183666,1183666,0,0,556045420743,5783024326,1176752,5953,961,379,391805,0 2,2,2024-09-07 10:03:51:272,853098,853098,0,0,32672002,0,3594 2,3,2024-09-07 10:03:50:696,1,673,43,0,357,6073,673,0 3,0,2024-09-07 10:03:51:762,164194,0.4,164168,0.6,327937,0.4,437138,2.00 3,1,2024-09-07 10:03:51:620,1181660,1181660,0,0,554628901109,5769971997,1173193,7749,718,379,391716,0 3,2,2024-09-07 10:03:51:154,854420,854397,23,0,33959444,0,5851 3,3,2024-09-07 10:03:51:752,1,673,18,0,484,5170,673,0 4,0,2024-09-07 10:03:51:798,164000,0.4,168513,0.5,343607,0.4,449583,1.75 4,1,2024-09-07 10:03:50:617,1176264,1176264,0,0,552420560790,5846536109,1156392,15271,4601,369,391992,0 4,2,2024-09-07 10:03:51:033,849237,849237,0,0,41430565,0,4534 4,3,2024-09-07 10:03:51:037,1,673,21,0,448,9014,673,0 5,0,2024-09-07 10:03:51:482,170674,0.4,170431,0.6,341270,0.4,454423,1.75 5,1,2024-09-07 10:03:50:790,1178005,1178005,0,0,552627760823,5832922825,1160367,13403,4235,367,392005,0 5,2,2024-09-07 10:03:51:836,843691,843658,33,0,41526295,0,7631 5,3,2024-09-07 10:03:51:739,1,673,3,0,457,8961,673,0 6,0,2024-09-07 10:03:50:986,170337,0.5,169919,0.6,340001,0.4,452691,2.00 6,1,2024-09-07 10:03:50:751,1180527,1180527,0,0,554347970601,5789540166,1168389,10453,1685,379,391702,0 6,2,2024-09-07 10:03:51:129,852904,852886,18,0,38785543,0,5535 6,3,2024-09-07 10:03:51:284,1,673,3,0,710,7924,673,0 7,0,2024-09-07 10:03:51:535,162938,0.5,164015,0.7,325750,0.5,434073,2.00 7,1,2024-09-07 10:03:50:989,1178611,1178611,0,0,553429888212,5825870354,1160781,13693,4137,382,391747,0 7,2,2024-09-07 10:03:50:778,851766,851597,169,0,39516954,0,7706 7,3,2024-09-07 10:03:50:995,1,673,8,0,552,7927,673,0 8,0,2024-09-07 10:03:51:468,167716,0.4,167292,0.5,334982,0.3,446922,1.75 8,1,2024-09-07 10:03:51:036,1177156,1177156,0,0,553571621465,5823403487,1158351,14761,4044,366,392853,0 8,2,2024-09-07 10:03:50:791,845648,845646,2,0,44317203,0,5112 8,3,2024-09-07 10:03:50:601,1,673,16,0,772,10204,673,0 9,0,2024-09-07 10:03:51:142,171938,0.4,166914,0.5,349391,0.4,458280,1.75 9,1,2024-09-07 10:03:50:577,1176996,1176996,0,0,553064949786,5835346162,1157345,15302,4349,369,392001,0 9,2,2024-09-07 10:03:51:087,846938,846937,1,0,42405516,0,5281 9,3,2024-09-07 10:03:51:757,1,673,0,0,1273,10986,673,0 10,0,2024-09-07 10:03:51:629,171812,0.4,171282,0.5,343484,0.3,457013,1.75 10,1,2024-09-07 10:03:50:593,1178896,1178896,0,0,553107619417,5807187592,1160926,14236,3734,381,391981,0 10,2,2024-09-07 10:03:50:781,850924,850924,0,0,45423315,0,4713 10,3,2024-09-07 10:03:50:884,1,673,13,0,669,7309,673,0 11,0,2024-09-07 10:03:51:044,165407,0.4,160575,0.6,336087,0.4,442381,1.75 11,1,2024-09-07 10:03:50:598,1181435,1181435,0,0,553462502512,5806199815,1164556,12569,4310,383,391766,0 11,2,2024-09-07 10:03:51:129,851171,851171,0,0,39211474,0,4698 11,3,2024-09-07 10:03:51:300,1,673,8,0,843,8337,673,0 12,0,2024-09-07 10:03:51:037,167701,0.4,167461,0.5,334574,0.4,444929,1.75 12,1,2024-09-07 10:03:50:976,1180581,1180581,0,0,554219719878,5784283573,1170772,8783,1026,370,391960,0 12,2,2024-09-07 10:03:51:567,849171,849171,0,0,38897484,0,4390 12,3,2024-09-07 10:03:51:059,1,673,35,0,386,8448,673,0 13,0,2024-09-07 10:03:51:429,172883,0.4,173072,0.6,345490,0.4,460082,1.75 13,1,2024-09-07 10:03:51:532,1177914,1177914,0,0,553026406617,5820588124,1164548,10498,2868,382,391803,0 13,2,2024-09-07 10:03:50:666,852674,852674,0,0,35294951,0,3287 13,3,2024-09-07 10:03:51:778,1,673,21,0,522,9083,673,0 14,0,2024-09-07 10:03:50:571,172251,0.4,173256,0.6,344670,0.4,458656,1.75 14,1,2024-09-07 10:03:51:565,1186266,1186266,0,0,556667520151,5760543390,1177063,8053,1150,364,391673,0 14,2,2024-09-07 10:03:50:778,849314,849284,30,0,36074509,0,6104 14,3,2024-09-07 10:03:51:128,1,673,63,0,1168,7091,673,0 15,0,2024-09-07 10:03:51:576,166882,0.4,166087,0.6,332316,0.3,443395,1.75 15,1,2024-09-07 10:03:51:618,1182448,1182448,0,0,555690170246,5792591499,1171722,8632,2094,381,391619,0 15,2,2024-09-07 10:03:51:002,853766,853766,0,0,32336103,0,3622 15,3,2024-09-07 10:03:51:407,1,673,7,0,1126,8538,673,0 16,0,2024-09-07 10:03:50:997,164667,0.6,165505,0.8,330035,0.6,439746,2.00 16,1,2024-09-07 10:03:50:584,1182146,1182146,0,0,554959529895,5802208385,1171518,9084,1544,370,392194,0 16,2,2024-09-07 10:03:51:461,851786,851786,0,0,35506238,0,4719 16,3,2024-09-07 10:03:51:163,1,673,1,0,362,8463,673,0 17,0,2024-09-07 10:03:51:794,175106,0.6,170855,0.8,334398,0.7,454805,2.00 17,1,2024-09-07 10:03:50:612,1180601,1180601,0,0,554027584452,5808598131,1169585,9222,1794,368,392075,0 17,2,2024-09-07 10:03:51:666,854581,854580,1,0,36758195,0,5050 17,3,2024-09-07 10:03:50:603,1,673,16,0,518,9757,673,0 18,0,2024-09-07 10:03:50:955,168946,0.7,170154,0.8,338489,0.7,452425,2.25 18,1,2024-09-07 10:03:51:642,1185047,1185047,0,0,555892584993,5767755586,1176927,6690,1430,367,391725,0 18,2,2024-09-07 10:03:51:757,849773,849773,0,0,33081440,0,3541 18,3,2024-09-07 10:03:50:907,1,673,233,0,1059,6245,673,0 19,0,2024-09-07 10:03:51:544,168492,0.6,169034,0.8,336903,0.7,447548,2.00 19,1,2024-09-07 10:03:50:577,1184026,1184026,0,0,555773075338,5760685553,1175183,7683,1160,365,391777,0 19,2,2024-09-07 10:03:51:757,855505,855505,0,0,30736734,0,3988 19,3,2024-09-07 10:03:51:139,1,673,8,0,524,5405,673,0 20,0,2024-09-07 10:03:51:588,163307,0.5,163204,0.7,326200,0.5,435312,2.00 20,1,2024-09-07 10:03:50:596,1179723,1179723,0,0,554095649015,5809511368,1167477,10542,1704,369,391922,0 20,2,2024-09-07 10:03:50:966,852967,852967,0,0,39384297,0,4321 20,3,2024-09-07 10:03:50:604,1,673,17,0,468,11502,673,0 21,0,2024-09-07 10:03:51:147,168230,0.5,168357,0.7,336633,0.5,447440,2.00 21,1,2024-09-07 10:03:51:684,1177788,1177788,0,0,551928995612,5827633168,1159121,14679,3988,368,392016,0 21,2,2024-09-07 10:03:51:108,845639,845162,477,0,50472258,0,17074 21,3,2024-09-07 10:03:51:416,1,673,9,0,713,8996,673,0 22,0,2024-09-07 10:03:51:717,171066,0.5,171769,0.7,343083,0.4,455052,2.25 22,1,2024-09-07 10:03:51:028,1178532,1178532,0,0,553283372840,5822465924,1159496,15433,3603,381,391822,0 22,2,2024-09-07 10:03:50:764,846414,846388,26,0,37476995,0,6328 22,3,2024-09-07 10:03:51:076,1,673,1,0,228,5599,673,0 23,0,2024-09-07 10:03:51:383,170620,0.5,170470,0.7,340904,0.4,454201,2.00 23,1,2024-09-07 10:03:51:020,1180437,1180437,0,0,554248991815,5838005838,1159194,14420,6823,365,391690,0 23,2,2024-09-07 10:03:51:100,853289,853289,0,0,35591676,0,3773 23,3,2024-09-07 10:03:51:759,1,673,8,0,855,9620,673,0 24,0,2024-09-07 10:03:51:026,166058,0.4,165014,0.6,331766,0.3,440450,1.75 24,1,2024-09-07 10:03:50:619,1179018,1179018,0,0,553889034271,5799835415,1167442,9703,1873,367,392269,0 24,2,2024-09-07 10:03:51:084,852138,852135,3,0,42025533,0,6294 24,3,2024-09-07 10:03:51:689,1,673,4,0,468,8881,673,0 25,0,2024-09-07 10:03:51:384,171538,0.4,166701,0.6,328247,0.4,447130,2.00 25,1,2024-09-07 10:03:50:580,1177711,1177711,0,0,553008726268,5843727937,1157155,16571,3985,369,391928,0 25,2,2024-09-07 10:03:51:620,848464,848464,0,0,43445775,0,3978 25,3,2024-09-07 10:03:51:007,1,673,5,0,532,7444,673,0 26,0,2024-09-07 10:03:51:727,170981,0.5,167122,0.6,350626,0.4,457199,2.00 26,1,2024-09-07 10:03:51:550,1181035,1181035,0,0,554022383668,5801565086,1165333,12799,2903,380,391758,0 26,2,2024-09-07 10:03:50:901,849492,849492,0,0,45088313,0,4689 26,3,2024-09-07 10:03:51:717,1,673,0,0,796,8326,673,0 27,0,2024-09-07 10:03:51:730,172440,0.4,173218,0.6,344179,0.4,459444,2.25 27,1,2024-09-07 10:03:51:682,1182477,1182477,0,0,555877095934,5793834252,1171199,9657,1621,381,391626,0 27,2,2024-09-07 10:03:50:886,846548,846483,65,0,39848348,0,5699 27,3,2024-09-07 10:03:51:016,1,673,8,0,564,5855,673,0 28,0,2024-09-07 10:03:51:394,166186,0.4,166440,0.6,332818,0.3,443076,1.75 28,1,2024-09-07 10:03:50:799,1182980,1182980,0,0,555642903811,5798143934,1172100,8605,2275,382,391904,0 28,2,2024-09-07 10:03:51:764,852121,852121,0,0,35750558,0,3674 28,3,2024-09-07 10:03:51:781,1,673,2,0,502,6996,673,0 29,0,2024-09-07 10:03:51:358,170721,0.4,166206,0.6,326203,0.4,445027,1.75 29,1,2024-09-07 10:03:51:571,1185879,1185879,0,0,557141695168,5766776726,1178100,6759,1020,367,391809,0 29,2,2024-09-07 10:03:50:892,851659,851659,0,0,34220957,0,4986 29,3,2024-09-07 10:03:50:968,1,673,5,0,459,7014,673,0 30,0,2024-09-07 10:03:51:470,169601,0.5,165098,0.7,346072,0.5,452161,2.00 30,1,2024-09-07 10:03:50:580,1184812,1184812,0,0,556592757060,5780451590,1175280,8404,1128,380,391672,0 30,2,2024-09-07 10:03:51:279,852582,852582,0,0,33501201,0,4192 30,3,2024-09-07 10:03:50:592,1,673,32,0,519,7169,673,0 31,0,2024-09-07 10:03:51:766,171157,0.5,171905,0.7,343038,0.4,457810,2.00 31,1,2024-09-07 10:03:50:574,1188895,1188895,0,0,557115900724,5722832875,1181551,6155,1189,356,391712,0 31,2,2024-09-07 10:03:51:274,847737,847737,0,0,36560606,0,4470 31,3,2024-09-07 10:03:51:708,1,673,9,0,239,5810,673,0 32,0,2024-09-07 10:03:51:426,168426,0.3,169494,0.5,337475,0.2,449044,1.75 32,1,2024-09-07 10:03:50:994,1184515,1184515,0,0,556286021824,5783662446,1177039,6511,965,381,391646,0 32,2,2024-09-07 10:03:50:983,854756,854756,0,0,32058631,0,3922 32,3,2024-09-07 10:03:51:021,1,673,1,0,304,5799,673,0 33,0,2024-09-07 10:03:51:509,164985,0.3,164574,0.4,329058,0.2,438455,1.50 33,1,2024-09-07 10:03:50:593,1186015,1186015,0,0,556565040539,5764598646,1176673,7991,1351,368,391730,0 33,2,2024-09-07 10:03:50:759,853802,853767,35,0,35752264,0,7012 33,3,2024-09-07 10:03:50:901,1,673,1,0,329,6141,673,0 34,0,2024-09-07 10:03:50:973,169307,0.3,173869,0.5,332633,0.2,449530,1.75 34,1,2024-09-07 10:03:51:047,1187998,1187998,0,0,558062180875,5743471022,1184001,3788,209,367,391637,0 34,2,2024-09-07 10:03:50:792,852858,852858,0,0,33370245,0,4562 34,3,2024-09-07 10:03:51:689,1,673,64,0,541,5934,673,0 35,0,2024-09-07 10:03:50:901,170021,0.3,170582,0.5,342314,0.3,455400,1.75 35,1,2024-09-07 10:03:51:072,1183686,1183686,0,0,555679295048,5766947639,1174801,7333,1552,382,391769,0 35,2,2024-09-07 10:03:51:606,847590,847590,0,0,38330084,0,4055 35,3,2024-09-07 10:03:50:916,1,673,12,0,466,6498,673,0 36,0,2024-09-07 10:03:51:517,170874,0.5,171014,0.6,341687,0.4,454508,2.00 36,1,2024-09-07 10:03:50:645,1182213,1182213,0,0,554965647882,5796398283,1167441,12418,2354,366,391759,0 36,2,2024-09-07 10:03:51:759,853024,853024,0,0,38863159,0,3875 36,3,2024-09-07 10:03:50:878,1,673,1,0,556,8735,673,0 37,0,2024-09-07 10:03:51:372,162887,0.5,162940,0.7,326126,0.5,434654,2.25 37,1,2024-09-07 10:03:50:582,1181534,1181527,0,7,554299927461,5790595514,1167352,11062,3113,365,391770,0 37,2,2024-09-07 10:03:51:152,850974,850959,15,0,38798404,0,5815 37,3,2024-09-07 10:03:51:767,1,673,1,0,888,9156,673,0 38,0,2024-09-07 10:03:51:451,166514,0.5,161462,0.7,337633,0.4,443016,2.00 38,1,2024-09-07 10:03:51:609,1181851,1181851,0,0,555682928277,5811296004,1165025,13522,3304,368,391821,0 38,2,2024-09-07 10:03:50:791,851124,851077,47,0,38890623,0,6710 38,3,2024-09-07 10:03:51:001,1,673,0,0,689,7857,673,0 39,0,2024-09-07 10:03:51:771,175138,0.6,171118,0.7,334137,0.5,455586,2.00 39,1,2024-09-07 10:03:50:719,1180870,1180870,0,0,553814884840,5807933106,1161374,15263,4233,365,391865,0 39,2,2024-09-07 10:03:51:423,848379,848379,0,0,37167571,0,3478 39,3,2024-09-07 10:03:50:716,1,673,8,0,525,7580,673,0 40,0,2024-09-07 10:03:51:490,170284,0.8,170960,1.0,341348,0.9,455484,2.75 40,1,2024-09-07 10:03:50:594,1180810,1180810,0,0,553716340441,5805159658,1162479,14789,3542,366,391668,0 40,2,2024-09-07 10:03:51:310,848823,848816,7,0,43068892,0,5347 40,3,2024-09-07 10:03:51:153,1,673,9,0,1028,9727,673,0 41,0,2024-09-07 10:03:51:023,164718,1.2,168521,1.1,321412,1.6,436524,3.00 41,1,2024-09-07 10:03:50:782,1180322,1180322,0,0,554716014255,5804163030,1164645,13152,2525,369,391878,0 41,2,2024-09-07 10:03:50:759,848710,848709,1,0,41941466,0,5408 41,3,2024-09-07 10:03:51:676,1,673,225,0,366,7613,673,0 42,0,2024-09-07 10:03:51:480,165257,1.0,165096,1.1,330350,1.2,438341,2.75 42,1,2024-09-07 10:03:51:461,1178613,1178613,0,0,553508873964,5813274416,1161014,14515,3084,380,391675,0 42,2,2024-09-07 10:03:51:145,847692,847691,1,0,43173515,0,5513 42,3,2024-09-07 10:03:51:022,1,673,5,0,892,6915,673,0 43,0,2024-09-07 10:03:50:994,169684,0.8,165135,1.0,346067,0.9,452703,2.25 43,1,2024-09-07 10:03:50:610,1181445,1181445,0,0,555798006827,5808000554,1165352,13346,2747,366,391696,0 43,2,2024-09-07 10:03:51:740,851690,851690,0,0,39745599,0,4723 43,3,2024-09-07 10:03:51:751,1,673,1,0,571,9244,673,0 44,0,2024-09-07 10:03:51:197,172436,0.4,172722,0.6,344678,0.4,459761,1.75 44,1,2024-09-07 10:03:50:565,1184551,1184551,0,0,556239375534,5752465363,1173925,8551,2075,356,391809,0 44,2,2024-09-07 10:03:51:268,847898,847898,0,0,32525279,0,4344 44,3,2024-09-07 10:03:51:103,1,673,0,0,1097,8382,673,0 45,0,2024-09-07 10:03:51:787,165055,0.5,161260,0.7,338426,0.4,443419,2.00 45,1,2024-09-07 10:03:51:007,1183965,1183965,0,0,556137445085,5781826853,1174593,8151,1221,382,391917,0 45,2,2024-09-07 10:03:51:273,852640,852640,0,0,33150594,0,3596 45,3,2024-09-07 10:03:50:962,1,673,32,0,531,6381,673,0 46,0,2024-09-07 10:03:50:980,164013,0.5,163552,0.7,328052,0.5,436506,2.00 46,1,2024-09-07 10:03:50:598,1186186,1186186,0,0,556630279276,5757102704,1177795,7320,1071,366,391709,0 46,2,2024-09-07 10:03:50:595,853436,853436,0,0,34103926,0,4443 46,3,2024-09-07 10:03:51:149,1,673,1,0,908,7571,673,0 47,0,2024-09-07 10:03:51:114,170593,0.4,170191,0.6,341677,0.4,453107,2.00 47,1,2024-09-07 10:03:50:598,1187366,1187366,0,0,556599513241,5753738332,1179963,6390,1013,364,391666,0 47,2,2024-09-07 10:03:50:933,854904,854904,0,0,34424393,0,4477 47,3,2024-09-07 10:03:51:129,1,673,8,0,600,7364,673,0 48,0,2024-09-07 10:03:51:488,171028,0.3,171604,0.4,341957,0.2,455388,1.50 48,1,2024-09-07 10:03:51:028,1184535,1184535,0,0,556012628410,5777842778,1176958,6565,1012,381,391710,0 48,2,2024-09-07 10:03:50:713,848145,848145,0,0,31253250,0,3619 48,3,2024-09-07 10:03:50:757,1,673,13,0,339,5913,673,0 49,0,2024-09-07 10:03:51:713,174027,0.3,170408,0.5,331549,0.3,452105,1.75 49,1,2024-09-07 10:03:51:028,1183549,1183549,0,0,555584179189,5778926520,1175685,6166,1698,382,391809,0 49,2,2024-09-07 10:03:51:806,854233,854233,0,0,32854168,0,4426 49,3,2024-09-07 10:03:51:416,1,673,5,0,992,7948,673,0 50,0,2024-09-07 10:03:51:510,163872,0.3,162478,0.5,327252,0.2,435252,1.75 50,1,2024-09-07 10:03:51:018,1186664,1186664,0,0,557062312400,5759518278,1179191,6742,731,368,391691,0 50,2,2024-09-07 10:03:51:074,852312,852312,0,0,30848812,0,4490 50,3,2024-09-07 10:03:51:294,1,673,2,0,617,6716,673,0 51,0,2024-09-07 10:03:51:686,172904,0.3,169150,0.5,329470,0.2,449717,1.75 51,1,2024-09-07 10:03:51:680,1186866,1186866,0,0,558642194168,5761532484,1180177,5347,1342,365,391706,0 51,2,2024-09-07 10:03:51:328,852123,852123,0,0,30518560,0,3337 51,3,2024-09-07 10:03:51:027,1,673,9,0,678,5410,673,0 52,0,2024-09-07 10:03:51:438,171216,0.5,171267,0.7,342109,0.4,454859,2.00 52,1,2024-09-07 10:03:50:583,1181544,1181544,0,0,554396293033,5803348708,1164823,14294,2427,368,391805,0 52,2,2024-09-07 10:03:51:759,844036,843998,38,0,41773681,0,6742 52,3,2024-09-07 10:03:50:683,1,673,1,0,1782,7889,673,0 53,0,2024-09-07 10:03:51:738,170405,0.6,165401,0.8,345974,0.7,452734,2.25 53,1,2024-09-07 10:03:50:781,1179959,1179959,0,0,554686204247,5816349807,1160930,14617,4412,367,391968,0 53,2,2024-09-07 10:03:51:306,852707,852569,138,0,38368837,0,7690 53,3,2024-09-07 10:03:50:712,1,673,1,0,308,6404,673,0 54,0,2024-09-07 10:03:51:615,163143,0.6,163520,0.7,325783,0.5,434522,2.25 54,1,2024-09-07 10:03:50:609,1183121,1183121,0,0,556582999179,5787791361,1171348,10099,1674,366,391810,0 54,2,2024-09-07 10:03:50:884,852712,852680,32,0,41614898,0,6397 54,3,2024-09-07 10:03:50:776,1,673,1,0,676,8544,673,0 55,0,2024-09-07 10:03:51:771,161172,0.7,166187,0.8,337090,0.7,439445,2.50 55,1,2024-09-07 10:03:50:787,1183716,1183716,0,0,555345059793,5776172343,1171698,10532,1486,365,391731,0 55,2,2024-09-07 10:03:50:733,849493,849437,56,0,38071070,0,7239 55,3,2024-09-07 10:03:50:693,1,673,8,0,304,6241,673,0 56,0,2024-09-07 10:03:51:555,174775,1.3,164709,1.2,339489,1.7,454358,2.75 56,1,2024-09-07 10:03:50:582,1176488,1176488,0,0,553011421054,5848144050,1157084,15650,3754,381,391867,0 56,2,2024-09-07 10:03:51:309,848462,848340,122,0,40461816,0,7432 56,3,2024-09-07 10:03:51:070,1,673,0,0,705,8114,673,0 57,0,2024-09-07 10:03:50:979,170550,1.4,170522,1.2,340789,1.9,455560,3.00 57,1,2024-09-07 10:03:51:004,1179368,1179368,0,0,553430137957,5807077403,1163823,12855,2690,366,392032,0 57,2,2024-09-07 10:03:51:334,849136,849136,0,0,43376877,0,4804 57,3,2024-09-07 10:03:51:739,1,673,1,0,455,7346,673,0 58,0,2024-09-07 10:03:50:570,163707,0.9,158973,1.0,332841,1.1,435521,2.50 58,1,2024-09-07 10:03:50:581,1180321,1180318,0,3,554482984660,5816647153,1161984,13933,4401,367,391726,3 58,2,2024-09-07 10:03:51:070,852288,852288,0,0,39486451,0,3483 58,3,2024-09-07 10:03:51:070,1,673,0,0,1043,7422,673,0 59,0,2024-09-07 10:03:51:748,164750,0.9,164066,1.0,328132,1.0,435842,3.00 59,1,2024-09-07 10:03:51:008,1180033,1180033,0,0,553675267329,5818402210,1160594,15601,3838,369,391653,0 59,2,2024-09-07 10:03:50:587,851490,851490,0,0,37686052,0,3727 59,3,2024-09-07 10:03:51:739,1,673,8,0,1015,7974,673,0 60,0,2024-09-07 10:03:51:727,170451,0.5,170537,0.7,341104,0.5,455063,1.75 60,1,2024-09-07 10:03:50:785,1183753,1183753,0,0,556034662872,5790560317,1173338,9007,1408,370,392031,0 60,2,2024-09-07 10:03:51:153,852713,852713,0,0,35924406,0,3811 60,3,2024-09-07 10:03:51:263,1,673,2,0,409,7760,673,0 61,0,2024-09-07 10:03:51:514,171303,0.6,172055,0.8,342570,0.6,457407,2.00 61,1,2024-09-07 10:03:50:792,1181126,1181126,0,0,554612467635,5807911871,1168604,10676,1846,382,392127,0 61,2,2024-09-07 10:03:51:126,848681,848614,67,0,36578145,0,6411 61,3,2024-09-07 10:03:51:692,1,673,8,0,607,8443,673,0 62,0,2024-09-07 10:03:51:729,168958,0.5,173269,0.7,330780,0.5,448943,2.00 62,1,2024-09-07 10:03:51:132,1188244,1188238,0,6,557373823570,5752230043,1181652,6112,474,365,391975,6 62,2,2024-09-07 10:03:51:659,850345,850344,1,0,36318182,0,5555 62,3,2024-09-07 10:03:51:150,1,673,2,0,482,5346,673,0 63,0,2024-09-07 10:03:51:466,164913,0.4,164563,0.6,329965,0.3,438556,1.75 63,1,2024-09-07 10:03:50:994,1185225,1185219,0,6,556426147658,5780367675,1177552,6739,928,381,391800,6 63,2,2024-09-07 10:03:50:768,852587,852587,0,0,35414995,0,4369 63,3,2024-09-07 10:03:51:741,1,673,8,0,667,7779,673,0 64,0,2024-09-07 10:03:51:513,168272,0.5,168179,0.7,335874,0.5,447995,2.00 64,1,2024-09-07 10:03:50:754,1183439,1183439,0,0,556127756619,5791032595,1173241,8172,2026,370,391794,0 64,2,2024-09-07 10:03:51:154,855138,855119,19,0,34310329,0,6121 64,3,2024-09-07 10:03:51:146,1,673,6,0,651,7629,673,0 65,0,2024-09-07 10:03:51:694,169272,0.6,169588,0.7,338580,0.6,451640,2.00 65,1,2024-09-07 10:03:50:962,1181731,1181731,0,0,554541857019,5795641583,1173557,7276,898,381,391901,0 65,2,2024-09-07 10:03:51:693,845786,845786,0,0,40335736,0,3367 65,3,2024-09-07 10:03:51:692,1,673,36,0,782,8011,673,0 66,0,2024-09-07 10:03:51:773,170077,0.5,169434,0.7,339176,0.5,451090,2.00 66,1,2024-09-07 10:03:51:296,1183478,1183478,0,0,554977106980,5776343415,1176065,6541,872,380,391743,0 66,2,2024-09-07 10:03:51:133,855715,855712,3,0,35809492,0,5455 66,3,2024-09-07 10:03:51:084,1,673,3,0,291,6048,673,0 67,0,2024-09-07 10:03:51:413,163627,0.5,163248,0.7,327121,0.5,435259,2.00 67,1,2024-09-07 10:03:50:777,1183508,1183507,0,1,555045194338,5780475960,1175078,7289,1140,380,391787,1 67,2,2024-09-07 10:03:50:597,855743,855728,15,0,35915778,0,6205 67,3,2024-09-07 10:03:51:758,1,673,8,0,595,7219,673,0 68,0,2024-09-07 10:03:50:617,167375,0.6,167136,0.8,333016,0.6,445949,2.25 68,1,2024-09-07 10:03:50:580,1179017,1179017,0,0,553356577623,5824676740,1162299,12447,4271,381,391953,0 68,2,2024-09-07 10:03:51:051,846723,846623,100,0,44445175,0,8578 68,3,2024-09-07 10:03:50:746,1,673,2,0,417,9593,673,0 69,0,2024-09-07 10:03:51:751,171032,0.7,171557,0.8,342290,0.8,455583,2.25 69,1,2024-09-07 10:03:51:040,1178414,1178414,0,0,553109258651,5828043739,1163632,11801,2981,383,391994,0 69,2,2024-09-07 10:03:51:739,845569,845540,29,0,47375237,0,6912 69,3,2024-09-07 10:03:50:764,1,673,0,0,698,10300,673,0 70,0,2024-09-07 10:03:51:538,169975,0.7,170758,0.9,342401,0.6,454026,2.50 70,1,2024-09-07 10:03:50:993,1184620,1184620,0,0,556712853993,5780136022,1175175,8400,1045,366,391725,0 70,2,2024-09-07 10:03:51:347,851504,851504,0,0,39050011,0,4323 70,3,2024-09-07 10:03:50:749,1,673,2,0,854,7596,673,0 71,0,2024-09-07 10:03:51:389,164640,0.8,164190,1.0,329426,1.0,439117,2.50 71,1,2024-09-07 10:03:51:608,1182740,1182740,0,0,554763473995,5790867091,1168313,12642,1785,367,391738,0 71,2,2024-09-07 10:03:51:071,851221,851221,0,0,39451237,0,4352 71,3,2024-09-07 10:03:51:758,1,673,12,0,644,7538,673,0 72,0,2024-09-07 10:03:51:045,171802,0.6,167695,0.7,327754,0.5,445842,2.00 72,1,2024-09-07 10:03:51:044,1180977,1180977,0,0,554305787558,5813360445,1164206,14039,2732,369,391819,0 72,2,2024-09-07 10:03:51:759,848927,848927,0,0,41080707,0,3983 72,3,2024-09-07 10:03:51:758,1,673,0,0,564,9438,673,0 73,0,2024-09-07 10:03:51:128,167787,0.5,171963,0.6,351258,0.4,457784,2.00 73,1,2024-09-07 10:03:50:785,1182665,1182665,0,0,555516506833,5776235683,1173259,8346,1060,367,391858,0 73,2,2024-09-07 10:03:51:739,850270,850269,1,0,43322268,0,5027 73,3,2024-09-07 10:03:50:974,1,673,24,0,1091,9147,673,0 74,0,2024-09-07 10:03:51:335,173273,0.5,177447,0.7,338690,0.4,460090,2.00 74,1,2024-09-07 10:03:50:644,1181150,1181150,0,0,553903138071,5781400646,1168803,10200,2147,381,391762,0 74,2,2024-09-07 10:03:51:021,847832,847832,0,0,37363621,0,4253 74,3,2024-09-07 10:03:51:458,1,673,0,0,522,7869,673,0 75,0,2024-09-07 10:03:51:780,166980,0.5,166017,0.7,333202,0.5,444761,2.25 75,1,2024-09-07 10:03:51:588,1182648,1182648,0,0,554679079498,5782123422,1172772,8884,992,380,391739,0 75,2,2024-09-07 10:03:51:383,850598,850598,0,0,44393164,0,4766 75,3,2024-09-07 10:03:51:070,1,673,2,0,918,9402,673,0 76,0,2024-09-07 10:03:50:592,164365,0.5,163750,0.7,327209,0.5,437923,2.25 76,1,2024-09-07 10:03:50:874,1182614,1182614,0,0,554758248384,5787984188,1174050,7258,1306,382,391790,0 76,2,2024-09-07 10:03:51:068,854761,854758,3,0,37187080,0,5265 76,3,2024-09-07 10:03:51:157,1,673,13,0,249,6273,673,0 77,0,2024-09-07 10:03:51:696,169399,0.6,169929,0.7,340063,0.5,452114,2.00 77,1,2024-09-07 10:03:51:015,1181841,1181841,0,0,554711334221,5793863906,1171877,8878,1086,381,391869,0 77,2,2024-09-07 10:03:51:286,851331,851331,0,0,36080774,0,3890 77,3,2024-09-07 10:03:51:104,1,673,14,0,401,7760,673,0 78,0,2024-09-07 10:03:51:723,171363,0.4,170653,0.6,342512,0.4,453285,2.00 78,1,2024-09-07 10:03:50:618,1181643,1181643,0,0,554640058309,5790107935,1166611,11795,3237,367,391670,0 78,2,2024-09-07 10:03:51:407,848546,848533,13,0,33552069,0,8313 78,3,2024-09-07 10:03:51:137,1,673,21,0,311,5794,673,0 79,0,2024-09-07 10:03:51:388,163466,0.4,167520,0.6,343042,0.3,446597,2.00 79,1,2024-09-07 10:03:50:571,1185769,1185769,0,0,556207497203,5763645084,1175961,8007,1801,367,391682,0 79,2,2024-09-07 10:03:51:082,853671,853671,0,0,32599579,0,4195 79,3,2024-09-07 10:03:50:750,1,673,8,0,418,7613,673,0 80,0,2024-09-07 10:03:51:106,163527,0.5,167867,0.7,320639,0.5,434317,2.00 80,1,2024-09-07 10:03:51:619,1182044,1182044,0,0,555328674612,5783852722,1174078,7385,581,368,392269,0 80,2,2024-09-07 10:03:51:097,855893,855893,0,0,33399369,0,4433 80,3,2024-09-07 10:03:50:578,1,673,0,0,681,8247,673,0 81,0,2024-09-07 10:03:51:547,168209,0.6,172265,0.7,328554,0.6,446397,2.00 81,1,2024-09-07 10:03:51:655,1181321,1181321,0,0,554335653336,5794881212,1171729,8619,973,382,392001,0 81,2,2024-09-07 10:03:51:130,851201,851138,63,0,36822027,0,5932 81,3,2024-09-07 10:03:51:129,1,673,0,0,719,7364,673,0 82,0,2024-09-07 10:03:51:538,171247,0.5,171413,0.7,343238,0.5,455364,2.00 82,1,2024-09-07 10:03:50:640,1183370,1183366,0,4,555921650812,5784202819,1176466,5777,1123,381,391768,4 82,2,2024-09-07 10:03:51:690,850133,850133,0,0,30767057,0,4484 82,3,2024-09-07 10:03:51:759,1,673,1,0,363,6089,673,0 83,0,2024-09-07 10:03:51:520,170998,0.6,170910,0.7,341563,0.5,453406,2.25 83,1,2024-09-07 10:03:50:570,1182199,1182199,0,0,555084403185,5788445631,1173273,7901,1025,382,391709,0 83,2,2024-09-07 10:03:50:764,853273,853248,25,0,33494818,0,5612 83,3,2024-09-07 10:03:50:749,1,673,13,0,1260,7916,673,0 84,0,2024-09-07 10:03:51:801,163468,0.7,163505,0.9,327220,0.7,436433,2.25 84,1,2024-09-07 10:03:51:046,1180640,1180640,0,0,554616758491,5803220280,1166968,11589,2083,367,391967,0 84,2,2024-09-07 10:03:50:579,850801,850391,410,0,48684006,0,17037 84,3,2024-09-07 10:03:51:141,1,673,1,0,908,9541,673,0 85,0,2024-09-07 10:03:51:021,160395,0.7,160407,0.8,340562,0.7,439837,2.25 85,1,2024-09-07 10:03:50:574,1177052,1177052,0,0,552643268412,5833938178,1159275,14847,2930,381,392092,0 85,2,2024-09-07 10:03:50:879,849890,849890,0,0,41337280,0,4255 85,3,2024-09-07 10:03:50:693,1,673,1,0,789,7721,673,0 86,0,2024-09-07 10:03:50:906,170938,0.8,175824,0.8,336660,0.8,455166,2.25 86,1,2024-09-07 10:03:50:996,1179274,1179274,0,0,554496757340,5825012028,1161734,14228,3312,366,392169,0 86,2,2024-09-07 10:03:50:917,849074,849073,1,0,43450552,0,5004 86,3,2024-09-07 10:03:50:587,1,673,1,0,441,9447,673,0 87,0,2024-09-07 10:03:51:309,172903,0.8,171665,0.8,343895,0.9,459526,2.25 87,1,2024-09-07 10:03:50:578,1179871,1179871,0,0,554268840852,5808147967,1164970,12739,2162,366,392076,0 87,2,2024-09-07 10:03:51:075,848802,848796,6,0,40496282,0,6323 87,3,2024-09-07 10:03:51:799,1,673,17,0,473,9369,673,0 88,0,2024-09-07 10:03:51:443,166032,0.4,166498,0.6,332889,0.4,443090,1.75 88,1,2024-09-07 10:03:50:569,1178703,1178703,0,0,553374375093,5800854456,1161959,13609,3135,365,392084,0 88,2,2024-09-07 10:03:50:699,851239,851239,0,0,42593652,0,4465 88,3,2024-09-07 10:03:51:278,1,673,1,0,1080,10984,673,0 89,0,2024-09-07 10:03:51:846,170738,0.4,165715,0.6,326675,0.4,444379,1.75 89,1,2024-09-07 10:03:50:552,1178300,1178300,0,0,553788912416,5824876348,1163665,12360,2275,382,391866,0 89,2,2024-09-07 10:03:51:140,850982,850982,0,0,40153317,0,3173 89,3,2024-09-07 10:03:51:796,1,673,39,0,729,11640,673,0 90,0,2024-09-07 10:03:51:615,165351,0.5,169729,0.6,346628,0.4,452381,2.00 90,1,2024-09-07 10:03:50:593,1179619,1179619,0,0,553887864427,5805462567,1167261,11091,1267,380,391825,0 90,2,2024-09-07 10:03:51:415,847909,847904,5,0,43332118,0,6370 90,3,2024-09-07 10:03:50:934,1,673,45,0,364,8960,673,0 91,0,2024-09-07 10:03:50:946,172035,0.5,166923,0.6,349215,0.5,458362,1.75 91,1,2024-09-07 10:03:50:575,1176772,1176772,0,0,553607371825,5840863820,1158145,14481,4146,381,392047,0 91,2,2024-09-07 10:03:51:332,847042,847042,0,0,38471783,0,4713 91,3,2024-09-07 10:03:50:613,1,673,8,0,231,6374,673,0 92,0,2024-09-07 10:03:51:456,169869,0.4,173805,0.6,331400,0.4,449266,1.75 92,1,2024-09-07 10:03:50:598,1181279,1181279,0,0,554632696915,5794961607,1171972,7997,1310,381,392136,0 92,2,2024-09-07 10:03:51:383,851893,851893,0,0,35015578,0,3906 92,3,2024-09-07 10:03:51:014,1,673,1,0,322,6143,673,0 93,0,2024-09-07 10:03:51:016,165384,0.4,169427,0.6,323553,0.3,438389,1.75 93,1,2024-09-07 10:03:50:996,1181876,1181876,0,0,554382405999,5795747289,1167568,11875,2433,365,392048,0 93,2,2024-09-07 10:03:50:999,852725,852725,0,0,40659079,0,4913 93,3,2024-09-07 10:03:51:410,1,673,1,0,505,7618,673,0 94,0,2024-09-07 10:03:51:619,168326,0.4,169394,0.6,338989,0.4,450212,1.75 94,1,2024-09-07 10:03:50:573,1182880,1182880,0,0,555694019857,5795790943,1175090,7300,490,381,391850,0 94,2,2024-09-07 10:03:50:778,851967,851939,28,0,35253511,0,6179 94,3,2024-09-07 10:03:51:689,1,673,35,0,576,8295,673,0 95,0,2024-09-07 10:03:51:341,170606,0.4,170473,0.6,341291,0.3,454582,1.75 95,1,2024-09-07 10:03:50:963,1183603,1183603,0,0,555480972594,5773327597,1174473,8365,765,365,391852,0 95,2,2024-09-07 10:03:51:021,846300,846300,0,0,34934816,0,3308 95,3,2024-09-07 10:03:51:710,1,673,7,0,718,10218,673,0 96,0,2024-09-07 10:03:51:038,170510,0.3,170702,0.5,341545,0.3,453814,1.75 96,1,2024-09-07 10:03:51:584,1181466,1181466,0,0,554936881998,5790241447,1172986,7186,1294,384,391964,0 96,2,2024-09-07 10:03:51:273,854458,854458,0,0,35670504,0,4225 96,3,2024-09-07 10:03:51:140,1,673,1,0,411,7636,673,0 97,0,2024-09-07 10:03:51:314,163649,0.3,163425,0.5,327610,0.3,435179,1.50 97,1,2024-09-07 10:03:50:799,1183691,1183691,0,0,556268572602,5773088716,1175840,6612,1239,367,392140,0 97,2,2024-09-07 10:03:50:607,854081,854081,0,0,35609055,0,4600 97,3,2024-09-07 10:03:50:576,1,673,10,0,433,8225,673,0 98,0,2024-09-07 10:03:51:697,166934,0.3,166964,0.5,335301,0.3,446307,1.50 98,1,2024-09-07 10:03:50:572,1183124,1183124,0,0,555102515112,5783509889,1175697,6529,898,381,391997,0 98,2,2024-09-07 10:03:50:777,850731,850731,0,0,34145727,0,4336 98,3,2024-09-07 10:03:50:713,1,673,3,0,840,9531,673,0 99,0,2024-09-07 10:03:51:452,171884,0.3,172696,0.5,344120,0.3,458266,1.75 99,1,2024-09-07 10:03:51:723,1184232,1184232,0,0,555475824987,5782882485,1176501,6624,1107,380,392069,0 99,2,2024-09-07 10:03:51:422,848062,848062,0,0,43006670,0,4276 99,3,2024-09-07 10:03:50:595,1,673,11,0,1124,8467,673,0 100,0,2024-09-07 10:03:51:474,171459,0.8,171906,0.9,343746,0.9,458059,2.50 100,1,2024-09-07 10:03:50:594,1176502,1176502,0,0,552526428307,5847363933,1157466,15241,3795,378,391989,0 100,2,2024-09-07 10:03:51:829,847555,847166,389,0,44919517,0,16909 100,3,2024-09-07 10:03:51:745,1,673,2,0,627,10571,673,0 101,0,2024-09-07 10:03:51:706,169227,0.9,165219,1.0,323094,0.9,440948,2.25 101,1,2024-09-07 10:03:50:563,1178907,1178907,0,0,553698228062,5821970125,1162552,13420,2935,368,392018,0 101,2,2024-09-07 10:03:51:761,846297,846258,39,0,46689728,0,5913 101,3,2024-09-07 10:03:50:965,1,673,18,0,1250,10507,673,0 102,0,2024-09-07 10:03:50:977,161796,0.7,166731,0.8,338621,0.7,442736,2.25 102,1,2024-09-07 10:03:51:153,1178772,1178772,0,0,553773696947,5817679553,1163054,13463,2255,369,391984,0 102,2,2024-09-07 10:03:51:747,851500,851446,54,0,38768728,0,6768 102,3,2024-09-07 10:03:51:617,1,673,10,0,466,7871,673,0 103,0,2024-09-07 10:03:51:586,177401,0.7,177413,0.8,334361,0.8,460014,2.25 103,1,2024-09-07 10:03:51:648,1177533,1177533,0,0,553143277514,5842749665,1158631,15276,3626,381,392077,0 103,2,2024-09-07 10:03:50:585,849196,849196,0,0,42144060,0,3766 103,3,2024-09-07 10:03:50:764,1,673,1,0,916,8018,673,0 104,0,2024-09-07 10:03:51:046,171000,0.7,171410,0.9,341898,0.7,457091,2.25 104,1,2024-09-07 10:03:51:603,1179839,1179839,0,0,553337490545,5819588923,1161487,14898,3454,365,392168,0 104,2,2024-09-07 10:03:51:666,846376,846376,0,0,41073628,0,4161 104,3,2024-09-07 10:03:51:424,1,673,1,0,1245,11630,673,0 105,0,2024-09-07 10:03:51:057,164953,0.8,160829,1.0,337170,0.9,442648,2.50 105,1,2024-09-07 10:03:50:569,1181807,1181807,0,0,553929183858,5803537114,1167074,12620,2113,364,392009,0 105,2,2024-09-07 10:03:51:328,850661,850661,0,0,42289060,0,4360 105,3,2024-09-07 10:03:51:307,1,673,27,0,573,10187,673,0 106,0,2024-09-07 10:03:51:021,159221,0.9,163213,0.9,333940,1.0,436475,2.50 106,1,2024-09-07 10:03:51:751,1179579,1179579,0,0,553896595896,5813708218,1163163,14266,2150,368,391914,0 106,2,2024-09-07 10:03:50:759,851634,851634,0,0,39195109,0,3331 106,3,2024-09-07 10:03:50:684,1,673,13,0,1224,9136,673,0 107,0,2024-09-07 10:03:51:112,169481,1.2,169548,1.0,339318,1.7,452993,2.25 107,1,2024-09-07 10:03:50:598,1177531,1177531,0,0,552096758048,5837266641,1158560,16716,2255,381,392234,0 107,2,2024-09-07 10:03:51:295,848035,848034,1,0,42685770,0,5024 107,3,2024-09-07 10:03:51:761,1,673,14,0,733,10572,673,0 108,0,2024-09-07 10:03:51:901,170405,0.4,171204,0.6,341425,0.4,455086,1.75 108,1,2024-09-07 10:03:51:324,1181159,1181159,0,0,554711628352,5791258235,1170503,9449,1207,367,391894,0 108,2,2024-09-07 10:03:51:773,847757,847757,0,0,37771397,0,4432 108,3,2024-09-07 10:03:51:341,1,673,2,0,767,12363,673,0 109,0,2024-09-07 10:03:51:800,170120,0.4,168679,0.6,338392,0.3,451209,1.75 109,1,2024-09-07 10:03:50:600,1179269,1179269,0,0,554083633764,5814762871,1168686,9080,1503,382,392132,0 109,2,2024-09-07 10:03:50:963,851214,851214,0,0,37935692,0,3617 109,3,2024-09-07 10:03:51:182,1,673,1,0,630,7775,673,0 110,0,2024-09-07 10:03:51:798,163242,0.4,158688,0.6,332399,0.3,436124,1.75 110,1,2024-09-07 10:03:51:739,1183422,1183422,0,0,555986341317,5774593522,1174213,7157,2052,368,392045,0 110,2,2024-09-07 10:03:51:305,853666,853666,0,0,37147851,0,4067 110,3,2024-09-07 10:03:50:695,1,673,69,0,722,8958,673,0 111,0,2024-09-07 10:03:51:420,169068,0.4,168275,0.5,336151,0.3,449236,1.75 111,1,2024-09-07 10:03:51:009,1184548,1184548,0,0,556490183488,5778108905,1177713,6404,431,380,391690,0 111,2,2024-09-07 10:03:51:130,851540,851540,0,0,36485736,0,4823 111,3,2024-09-07 10:03:50:964,1,673,12,0,379,7508,673,0 112,0,2024-09-07 10:03:50:917,172042,0.3,171577,0.4,343970,0.2,456604,1.50 112,1,2024-09-07 10:03:50:996,1183642,1183642,0,0,555670607736,5768961456,1176058,6359,1225,380,391624,0 112,2,2024-09-07 10:03:51:149,848226,848225,1,0,34465713,0,5036 112,3,2024-09-07 10:03:50:613,1,673,116,0,282,6706,673,0 113,0,2024-09-07 10:03:50:916,170958,0.3,171274,0.5,342869,0.2,455749,1.75 113,1,2024-09-07 10:03:51:685,1187212,1187212,0,0,557808633515,5755992644,1180305,5957,950,365,391664,0 113,2,2024-09-07 10:03:51:317,855256,855256,0,0,31732946,0,3813 113,3,2024-09-07 10:03:50:693,1,673,1,0,510,6944,673,0 114,0,2024-09-07 10:03:50:880,165069,0.3,166158,0.5,331508,0.2,441940,1.75 114,1,2024-09-07 10:03:50:724,1183421,1183421,0,0,555310181862,5766235520,1174850,6803,1768,381,391565,0 114,2,2024-09-07 10:03:50:873,854558,854557,1,0,34277908,0,5069 114,3,2024-09-07 10:03:51:278,1,673,9,0,415,5619,673,0 115,0,2024-09-07 10:03:50:569,167734,0.3,168343,0.4,335162,0.2,446288,1.50 115,1,2024-09-07 10:03:50:574,1183873,1183873,0,0,557042967452,5787432716,1174321,7761,1791,382,391757,0 115,2,2024-09-07 10:03:51:129,852273,852273,0,0,33987210,0,4382 115,3,2024-09-07 10:03:51:037,1,673,13,0,173,4378,673,0 116,0,2024-09-07 10:03:51:810,170994,0.8,170995,0.9,342681,0.8,457815,2.25 116,1,2024-09-07 10:03:50:814,1177465,1177465,0,0,553608104048,5834641809,1163419,10836,3210,380,392089,0 116,2,2024-09-07 10:03:51:759,849645,849645,0,0,41532177,0,4475 116,3,2024-09-07 10:03:50:917,1,673,2,0,448,8790,673,0 117,0,2024-09-07 10:03:50:980,172636,0.6,172351,0.8,344915,0.7,460809,2.00 117,1,2024-09-07 10:03:51:581,1179466,1179466,0,0,553436463982,5799968845,1165663,12041,1762,369,392429,0 117,2,2024-09-07 10:03:51:133,851299,851299,0,0,38036748,0,4303 117,3,2024-09-07 10:03:51:059,1,673,1,0,490,8382,673,0 118,0,2024-09-07 10:03:51:776,160979,0.5,165419,0.7,337458,0.5,441492,2.00 118,1,2024-09-07 10:03:50:641,1178375,1178375,0,0,553057708979,5815683809,1160881,13649,3845,366,392054,0 118,2,2024-09-07 10:03:51:586,850952,850952,0,0,40695136,0,3161 118,3,2024-09-07 10:03:51:766,1,673,1,0,343,8162,673,0 119,0,2024-09-07 10:03:51:371,165806,0.7,166319,0.8,331919,0.8,442877,2.25 119,1,2024-09-07 10:03:50:569,1179570,1179570,0,0,553913923524,5811442570,1163567,13338,2665,367,391857,0 119,2,2024-09-07 10:03:51:261,851977,851977,0,0,37683453,0,4309 119,3,2024-09-07 10:03:51:329,1,673,11,0,1358,12078,673,0 120,0,2024-09-07 10:03:51:562,169684,0.7,169534,0.8,340501,0.7,453826,2.25 120,1,2024-09-07 10:03:50:908,1180323,1180323,0,0,553852034837,5809032990,1167708,11458,1157,367,392144,0 120,2,2024-09-07 10:03:50:777,850093,850090,3,0,45348344,0,5363 120,3,2024-09-07 10:03:51:295,1,673,1,0,478,8685,673,0 121,0,2024-09-07 10:03:51:690,171571,1.2,170967,1.0,342135,1.5,456306,2.25 121,1,2024-09-07 10:03:51:656,1179958,1179958,0,0,554896781776,5810684029,1166586,11376,1996,366,391840,0 121,2,2024-09-07 10:03:51:136,847618,847618,0,0,40842167,0,4157 121,3,2024-09-07 10:03:50:732,1,673,1,0,387,9122,673,0 122,0,2024-09-07 10:03:51:775,167814,0.7,163235,0.8,341538,0.8,448806,2.00 122,1,2024-09-07 10:03:50:899,1179391,1179391,0,0,553673722570,5810743312,1163128,13686,2577,365,392130,0 122,2,2024-09-07 10:03:51:326,852155,852080,75,0,45223166,0,5989 122,3,2024-09-07 10:03:50:610,1,673,7,0,512,10452,673,0 123,0,2024-09-07 10:03:51:002,163394,0.8,159155,0.9,333743,0.9,436510,2.25 123,1,2024-09-07 10:03:50:563,1180091,1180091,0,0,554183387384,5829207334,1161203,15898,2990,369,392039,0 123,2,2024-09-07 10:03:51:034,851795,851794,1,0,38825039,0,5215 123,3,2024-09-07 10:03:51:139,1,673,8,0,478,7652,673,0 124,0,2024-09-07 10:03:50:962,173745,0.4,173747,0.5,327271,0.3,451133,1.75 124,1,2024-09-07 10:03:51:025,1183456,1183456,0,0,555665004689,5778937686,1174400,7822,1234,365,392178,0 124,2,2024-09-07 10:03:51:028,853664,853611,53,0,35822842,0,6487 124,3,2024-09-07 10:03:50:788,1,673,3,0,490,6748,673,0 125,0,2024-09-07 10:03:51:437,170498,0.4,170322,0.6,341446,0.3,454997,1.75 125,1,2024-09-07 10:03:50:888,1180997,1180997,0,0,554036885925,5791536439,1170313,9152,1532,382,392045,0 125,2,2024-09-07 10:03:51:130,849549,849549,0,0,35195896,0,4534 125,3,2024-09-07 10:03:51:130,1,673,1,0,709,7551,673,0 126,0,2024-09-07 10:03:51:439,170804,0.4,175573,0.5,335556,0.3,454521,1.75 126,1,2024-09-07 10:03:50:556,1184354,1184354,0,0,556428902468,5766565103,1177326,6348,680,365,391987,0 126,2,2024-09-07 10:03:50:619,854585,854585,0,0,36958724,0,4539 126,3,2024-09-07 10:03:50:910,1,673,2,0,268,7686,673,0 127,0,2024-09-07 10:03:51:591,163855,0.3,164195,0.5,327708,0.3,435364,1.75 127,1,2024-09-07 10:03:50:604,1182784,1182784,0,0,555230167075,5779028381,1170655,10436,1693,364,392187,0 127,2,2024-09-07 10:03:50:645,854027,854023,4,0,34965496,0,5305 127,3,2024-09-07 10:03:51:273,1,673,8,0,968,6672,673,0 128,0,2024-09-07 10:03:51:528,168070,0.3,168014,0.4,336002,0.2,447022,1.50 128,1,2024-09-07 10:03:51:606,1182130,1182130,0,0,555264261840,5773972160,1173270,7890,970,367,392423,0 128,2,2024-09-07 10:03:51:384,853302,853302,0,0,32793613,0,3171 128,3,2024-09-07 10:03:50:781,1,673,2,0,1082,10076,673,0 129,0,2024-09-07 10:03:51:013,173058,0.3,172359,0.5,345758,0.3,459006,1.75 129,1,2024-09-07 10:03:50:581,1179184,1179184,0,0,553953823517,5812121734,1166426,10429,2329,379,391962,0 129,2,2024-09-07 10:03:50:695,850826,850822,4,0,35182562,0,5335 129,3,2024-09-07 10:03:50:698,1,673,1,0,506,9821,673,0 130,0,2024-09-07 10:03:51:746,172621,0.4,172430,0.5,345198,0.4,459547,1.75 130,1,2024-09-07 10:03:50:586,1183842,1183842,0,0,555924939431,5773510319,1178011,5424,407,381,391825,0 130,2,2024-09-07 10:03:51:129,851992,851992,0,0,35027430,0,4067 130,3,2024-09-07 10:03:51:293,1,673,40,0,960,8782,673,0 131,0,2024-09-07 10:03:51:934,165608,0.3,166128,0.5,333226,0.3,442602,1.50 131,1,2024-09-07 10:03:51:851,1182803,1182803,0,0,554184163256,5776360206,1174668,6927,1208,381,391865,0 131,2,2024-09-07 10:03:50:573,852988,852988,0,0,33148188,0,3979 131,3,2024-09-07 10:03:51:691,1,673,7,0,392,8537,673,0 132,0,2024-09-07 10:03:51:429,166823,0.5,167799,0.6,333840,0.5,444773,2.00 132,1,2024-09-07 10:03:50:613,1178460,1178460,0,0,553155087086,5822570404,1161967,13711,2782,381,392532,0 132,2,2024-09-07 10:03:50:704,850805,850788,17,0,42193894,0,6451 132,3,2024-09-07 10:03:51:688,1,673,31,0,1298,11757,673,0 133,0,2024-09-07 10:03:51:530,167797,0.5,171799,0.6,352159,0.4,458473,2.00 133,1,2024-09-07 10:03:50:588,1178422,1178422,0,0,553409915239,5830194726,1162994,13494,1934,383,391914,0 133,2,2024-09-07 10:03:51:103,851863,851813,50,0,43201505,0,6861 133,3,2024-09-07 10:03:51:318,1,673,12,0,528,7988,673,0 134,0,2024-09-07 10:03:51:004,172917,0.5,172763,0.7,345642,0.5,460517,2.25 134,1,2024-09-07 10:03:50:594,1179552,1179552,0,0,553849350063,5814102743,1163475,12785,3292,366,391781,0 134,2,2024-09-07 10:03:51:757,847941,847802,139,0,40455660,0,7591 134,3,2024-09-07 10:03:50:750,1,673,1,0,739,8444,673,0 135,0,2024-09-07 10:03:51:107,161670,0.7,161636,0.8,343207,0.8,441973,2.25 135,1,2024-09-07 10:03:51:584,1179644,1179644,0,0,553072987296,5815790758,1165255,12537,1852,380,392038,0 135,2,2024-09-07 10:03:50:697,852670,852670,0,0,41167808,0,4503 135,3,2024-09-07 10:03:51:012,1,673,7,0,900,6564,673,0 136,0,2024-09-07 10:03:51:624,165213,0.6,165769,0.8,329225,0.5,439452,2.00 136,1,2024-09-07 10:03:51:445,1180820,1180820,0,0,554034384224,5806019180,1168171,11223,1426,381,392135,0 136,2,2024-09-07 10:03:51:149,853937,853922,15,0,40347451,0,6007 136,3,2024-09-07 10:03:51:105,1,673,2,0,637,7983,673,0 137,0,2024-09-07 10:03:50:972,175201,0.6,170767,0.8,334451,0.7,454519,2.00 137,1,2024-09-07 10:03:50:592,1179535,1179535,0,0,553818075046,5809473941,1161124,14774,3637,366,391898,0 137,2,2024-09-07 10:03:51:708,850467,850467,0,0,41273525,0,3185 137,3,2024-09-07 10:03:50:778,1,673,9,0,484,9671,673,0 138,0,2024-09-07 10:03:51:748,169785,0.9,170135,0.9,340773,1.2,453303,2.25 138,1,2024-09-07 10:03:51:687,1179406,1179406,0,0,554518798338,5821992666,1162284,14431,2691,368,391954,0 138,2,2024-09-07 10:03:50:602,845985,845985,0,0,39621724,0,4988 138,3,2024-09-07 10:03:50:623,1,673,3,0,1200,10191,673,0 139,0,2024-09-07 10:03:51:390,167903,0.9,168433,0.9,336856,1.2,449483,2.25 139,1,2024-09-07 10:03:50:591,1175442,1175442,0,0,551255060778,5842966972,1155586,15943,3913,380,392109,0 139,2,2024-09-07 10:03:50:702,847026,846996,30,0,45171734,0,5997 139,3,2024-09-07 10:03:51:664,1,673,14,0,432,8047,673,0 140,0,2024-09-07 10:03:51:590,163851,0.3,163081,0.5,327313,0.2,436418,1.75 140,1,2024-09-07 10:03:51:550,1187035,1187035,0,0,556390376821,5741795581,1180744,5554,737,364,391628,0 140,2,2024-09-07 10:03:50:692,853880,853879,1,0,33502903,0,5036 140,3,2024-09-07 10:03:50:778,1,673,0,0,575,6897,673,0 141,0,2024-09-07 10:03:51:699,168746,0.3,173407,0.5,331462,0.3,448677,1.75 141,1,2024-09-07 10:03:50:886,1184252,1184252,0,0,556744903157,5788584340,1174070,8791,1391,379,391614,0 141,2,2024-09-07 10:03:51:690,852321,852310,11,0,35681098,0,5369 141,3,2024-09-07 10:03:51:044,1,673,106,0,391,8056,673,0 142,0,2024-09-07 10:03:51:325,172212,0.3,171437,0.5,343311,0.3,457434,1.75 142,1,2024-09-07 10:03:50:595,1181885,1181885,0,0,555504617450,5797353611,1173098,7895,892,382,392102,0 142,2,2024-09-07 10:03:51:317,847149,847117,32,0,35414245,0,6028 142,3,2024-09-07 10:03:51:752,1,673,2,0,484,6926,673,0 143,0,2024-09-07 10:03:51:383,171152,0.4,170920,0.5,343022,0.4,455725,1.75 143,1,2024-09-07 10:03:50:577,1184912,1184912,0,0,555912320719,5771076000,1176818,7135,959,367,391900,0 143,2,2024-09-07 10:03:50:797,854029,854029,0,0,35242381,0,3123 143,3,2024-09-07 10:03:51:143,1,673,25,0,462,7919,673,0 144,0,2024-09-07 10:03:51:499,160030,0.6,164679,0.7,335121,0.5,438297,2.00 144,1,2024-09-07 10:03:50:574,1178857,1178857,0,0,553194704389,5806009308,1167126,9690,2041,381,391733,0 144,2,2024-09-07 10:03:51:763,854080,854080,0,0,35129444,0,4443 144,3,2024-09-07 10:03:51:739,1,673,12,0,306,7258,673,0 145,0,2024-09-07 10:03:51:393,161605,0.6,161537,0.8,343001,0.6,441843,2.25 145,1,2024-09-07 10:03:50:574,1178070,1178070,0,0,553523528772,5828413654,1161884,13220,2966,382,391781,0 145,2,2024-09-07 10:03:51:436,849527,849445,82,0,41121231,0,7814 145,3,2024-09-07 10:03:50:912,1,673,5,0,622,9068,673,0 146,0,2024-09-07 10:03:51:600,171603,0.7,170711,0.8,343151,0.7,455882,2.25 146,1,2024-09-07 10:03:51:604,1180116,1180116,0,0,553326092401,5822798019,1160998,14194,4924,367,391829,0 146,2,2024-09-07 10:03:51:696,848250,848244,6,0,39346011,0,5151 146,3,2024-09-07 10:03:51:278,1,673,0,0,1520,10859,673,0 147,0,2024-09-07 10:03:51:696,172679,0.6,172413,0.7,344645,0.6,459911,2.25 147,1,2024-09-07 10:03:51:371,1183363,1183363,0,0,556148230364,5783046614,1173428,8837,1098,367,391791,0 147,2,2024-09-07 10:03:51:014,849407,849407,0,0,35922455,0,3359 147,3,2024-09-07 10:03:50:966,1,673,41,0,1626,10285,673,0 0,0,2024-09-07 10:04:01:850,166004,0.7,165969,0.8,352292,0.7,455835,2.00 0,1,2024-09-07 10:04:00:807,1182699,1182699,0,0,555006193277,5811201186,1173040,8697,962,368,391896,0 0,2,2024-09-07 10:04:01:077,853299,853299,0,0,34838573,0,4480 0,3,2024-09-07 10:04:00:984,1,674,538,0,538,9805,674,0 1,0,2024-09-07 10:04:01:782,171744,0.8,170783,0.9,342693,1.0,458662,2.00 1,1,2024-09-07 10:04:00:581,1181397,1181397,0,0,554471595277,5810916813,1170033,9399,1965,370,391859,0 1,2,2024-09-07 10:04:00:639,850375,850375,0,0,34087117,0,3380 1,3,2024-09-07 10:04:01:302,1,674,57,0,269,8071,674,0 2,0,2024-09-07 10:04:01:565,168428,0.6,168165,0.7,335986,0.6,448025,2.00 2,1,2024-09-07 10:04:00:862,1185479,1185479,0,0,556832430341,5791215019,1178564,5954,961,379,391805,0 2,2,2024-09-07 10:04:01:265,854538,854538,0,0,32952062,0,3594 2,3,2024-09-07 10:04:00:692,1,674,1,0,357,6074,674,0 3,0,2024-09-07 10:04:01:758,164420,0.4,164415,0.6,328449,0.4,437751,2.00 3,1,2024-09-07 10:04:01:624,1183474,1183474,0,0,555357583790,5778000731,1174970,7786,718,379,391716,0 3,2,2024-09-07 10:04:01:147,855445,855422,23,0,34004657,0,5851 3,3,2024-09-07 10:04:01:756,1,674,8,0,484,5178,674,0 4,0,2024-09-07 10:04:01:770,164362,0.4,168907,0.5,344414,0.4,450631,1.75 4,1,2024-09-07 10:04:00:591,1178070,1178070,0,0,553273642949,5855380131,1158198,15271,4601,369,391992,0 4,2,2024-09-07 10:04:01:028,850593,850593,0,0,41493425,0,4534 4,3,2024-09-07 10:04:01:032,1,674,0,0,448,9014,674,0 5,0,2024-09-07 10:04:01:395,170852,0.4,170583,0.6,341619,0.4,454893,1.75 5,1,2024-09-07 10:04:00:768,1179704,1179704,0,0,553482176526,5841764734,1162066,13403,4235,367,392005,0 5,2,2024-09-07 10:04:01:832,844856,844823,33,0,41581971,0,7631 5,3,2024-09-07 10:04:01:743,1,674,22,0,457,8983,674,0 6,0,2024-09-07 10:04:00:918,170424,0.5,170003,0.6,340220,0.4,452925,2.00 6,1,2024-09-07 10:04:00:745,1182428,1182428,0,0,555472685201,5801197913,1170287,10456,1685,379,391702,0 6,2,2024-09-07 10:04:01:118,854091,854073,18,0,38813470,0,5535 6,3,2024-09-07 10:04:01:275,1,674,1,0,710,7925,674,0 7,0,2024-09-07 10:04:01:530,163043,0.5,164111,0.7,325957,0.5,434400,2.00 7,1,2024-09-07 10:04:00:860,1180354,1180354,0,0,554184128222,5833699426,1162523,13694,4137,382,391747,0 7,2,2024-09-07 10:04:00:771,853235,853066,169,0,39609310,0,7706 7,3,2024-09-07 10:04:00:851,1,674,12,0,552,7939,674,0 8,0,2024-09-07 10:04:01:449,168165,0.4,167760,0.5,335925,0.3,448236,1.75 8,1,2024-09-07 10:04:01:024,1178991,1178991,0,0,554677814933,5835348818,1160147,14800,4044,366,392853,0 8,2,2024-09-07 10:04:00:814,847118,847116,2,0,44456159,0,5112 8,3,2024-09-07 10:04:00:592,1,674,0,0,772,10204,674,0 9,0,2024-09-07 10:04:01:127,172028,0.4,167006,0.5,349597,0.4,458569,1.75 9,1,2024-09-07 10:04:00:550,1178640,1178640,0,0,553706636848,5842125779,1158989,15302,4349,369,392001,0 9,2,2024-09-07 10:04:01:090,847714,847713,1,0,42453420,0,5281 9,3,2024-09-07 10:04:01:760,1,674,2,0,1273,10988,674,0 10,0,2024-09-07 10:04:01:598,171934,0.4,171425,0.5,343741,0.3,457260,1.75 10,1,2024-09-07 10:04:00:582,1180641,1180641,0,0,554213915542,5818919784,1162664,14243,3734,381,391981,0 10,2,2024-09-07 10:04:00:761,852461,852461,0,0,45540228,0,4713 10,3,2024-09-07 10:04:00:871,1,674,1,0,669,7310,674,0 11,0,2024-09-07 10:04:01:012,165611,0.4,160751,0.6,336542,0.4,442880,1.75 11,1,2024-09-07 10:04:00:575,1183220,1183220,0,0,554190236435,5813726274,1166341,12569,4310,383,391766,0 11,2,2024-09-07 10:04:01:127,852675,852675,0,0,39285833,0,4698 11,3,2024-09-07 10:04:01:298,1,674,15,0,843,8352,674,0 12,0,2024-09-07 10:04:00:962,168093,0.4,167826,0.6,335361,0.4,446244,1.75 12,1,2024-09-07 10:04:00:950,1182354,1182354,0,0,555068540968,5793450793,1172519,8809,1026,370,391960,0 12,2,2024-09-07 10:04:01:556,850379,850379,0,0,39005218,0,4390 12,3,2024-09-07 10:04:01:065,1,674,2,0,386,8450,674,0 13,0,2024-09-07 10:04:01:352,173088,0.4,173289,0.6,345925,0.4,460408,1.75 13,1,2024-09-07 10:04:01:524,1179477,1179477,0,0,553902945909,5831737546,1165639,10787,3051,382,391803,0 13,2,2024-09-07 10:04:00:595,853510,853510,0,0,35402315,0,3287 13,3,2024-09-07 10:04:01:762,1,674,77,0,522,9160,674,0 14,0,2024-09-07 10:04:00:566,172525,0.4,173593,0.6,345241,0.4,459448,1.75 14,1,2024-09-07 10:04:01:570,1188035,1188035,0,0,557524463390,5769778865,1178798,8087,1150,364,391673,0 14,2,2024-09-07 10:04:00:780,850862,850832,30,0,36166804,0,6104 14,3,2024-09-07 10:04:01:122,1,674,4,0,1168,7095,674,0 15,0,2024-09-07 10:04:01:588,167077,0.4,166287,0.6,332733,0.3,443985,1.75 15,1,2024-09-07 10:04:01:608,1184144,1184144,0,0,556554107352,5802192986,1173358,8692,2094,381,391619,0 15,2,2024-09-07 10:04:01:003,855086,855086,0,0,32493677,0,3622 15,3,2024-09-07 10:04:01:409,1,674,8,0,1126,8546,674,0 16,0,2024-09-07 10:04:00:948,165076,0.6,165922,0.8,330878,0.6,440935,2.00 16,1,2024-09-07 10:04:00:572,1183902,1183902,0,0,555644479609,5809405379,1173273,9085,1544,370,392194,0 16,2,2024-09-07 10:04:01:436,852893,852893,0,0,35619743,0,4719 16,3,2024-09-07 10:04:01:146,1,674,6,0,362,8469,674,0 17,0,2024-09-07 10:04:01:771,175416,0.6,171132,0.8,334979,0.7,455558,2.00 17,1,2024-09-07 10:04:00:578,1182300,1182300,0,0,554752861737,5816280313,1171283,9223,1794,368,392075,0 17,2,2024-09-07 10:04:01:671,855885,855884,1,0,36834929,0,5050 17,3,2024-09-07 10:04:00:576,1,674,1,0,518,9758,674,0 18,0,2024-09-07 10:04:00:940,169307,0.7,170473,0.8,339093,0.7,453336,2.25 18,1,2024-09-07 10:04:01:643,1186629,1186629,0,0,556693370928,5775957005,1178508,6691,1430,367,391725,0 18,2,2024-09-07 10:04:01:756,851005,851005,0,0,33131063,0,3541 18,3,2024-09-07 10:04:00:896,1,674,1,0,1059,6246,674,0 19,0,2024-09-07 10:04:01:538,168657,0.6,169203,0.8,337230,0.7,448006,2.00 19,1,2024-09-07 10:04:00:569,1185726,1185726,0,0,556704332308,5770315161,1176883,7683,1160,365,391777,0 19,2,2024-09-07 10:04:01:759,856808,856808,0,0,30874493,0,3988 19,3,2024-09-07 10:04:01:138,1,674,12,0,524,5417,674,0 20,0,2024-09-07 10:04:01:348,163609,0.5,163519,0.7,326790,0.5,436248,2.00 20,1,2024-09-07 10:04:00:566,1181538,1181538,0,0,554975258384,5818766003,1169287,10547,1704,369,391922,0 20,2,2024-09-07 10:04:00:928,854326,854326,0,0,39472995,0,4321 20,3,2024-09-07 10:04:00:589,1,674,1,0,468,11503,674,0 21,0,2024-09-07 10:04:01:175,168642,0.5,168808,0.7,337511,0.5,448399,2.00 21,1,2024-09-07 10:04:01:553,1179561,1179561,0,0,552512463337,5833800954,1160892,14681,3988,368,392016,0 21,2,2024-09-07 10:04:01:070,846928,846451,477,0,50601720,0,17074 21,3,2024-09-07 10:04:01:404,1,674,8,0,713,9004,674,0 22,0,2024-09-07 10:04:01:732,171199,0.5,171891,0.7,343345,0.4,455382,2.25 22,1,2024-09-07 10:04:01:032,1180289,1180289,0,0,554067189462,5830589411,1161253,15433,3603,381,391822,0 22,2,2024-09-07 10:04:00:761,847412,847386,26,0,37542536,0,6328 22,3,2024-09-07 10:04:01:066,1,674,1,0,228,5600,674,0 23,0,2024-09-07 10:04:01:396,170754,0.5,170573,0.7,341128,0.4,454532,2.00 23,1,2024-09-07 10:04:01:052,1182205,1182205,0,0,555096005244,5846707770,1160962,14420,6823,365,391690,0 23,2,2024-09-07 10:04:01:098,854615,854615,0,0,35703609,0,3773 23,3,2024-09-07 10:04:01:756,1,674,12,0,855,9632,674,0 24,0,2024-09-07 10:04:00:859,166104,0.4,165052,0.6,331857,0.3,440450,1.75 24,1,2024-09-07 10:04:00:611,1180752,1180752,0,0,554469010389,5806056811,1169173,9706,1873,367,392269,0 24,2,2024-09-07 10:04:01:069,853636,853633,3,0,42099782,0,6294 24,3,2024-09-07 10:04:01:692,1,674,1,0,468,8882,674,0 25,0,2024-09-07 10:04:01:338,171900,0.4,167045,0.6,328944,0.4,448057,2.00 25,1,2024-09-07 10:04:00:558,1179443,1179443,0,0,553663383243,5850714687,1158884,16574,3985,369,391928,0 25,2,2024-09-07 10:04:01:606,849835,849835,0,0,43544076,0,3978 25,3,2024-09-07 10:04:01:007,1,674,12,0,532,7456,674,0 26,0,2024-09-07 10:04:01:724,171090,0.5,167202,0.6,350820,0.4,457455,2.00 26,1,2024-09-07 10:04:01:541,1182707,1182707,0,0,554745900446,5809266458,1167004,12800,2903,380,391758,0 26,2,2024-09-07 10:04:00:862,850122,850122,0,0,45141633,0,4689 26,3,2024-09-07 10:04:01:717,1,674,1,0,796,8327,674,0 27,0,2024-09-07 10:04:01:723,172658,0.4,173432,0.6,344662,0.4,460031,2.25 27,1,2024-09-07 10:04:01:676,1184267,1184267,0,0,556652383559,5802097099,1172988,9658,1621,381,391626,0 27,2,2024-09-07 10:04:00:872,848105,848040,65,0,39927286,0,5699 27,3,2024-09-07 10:04:01:025,1,674,9,0,564,5864,674,0 28,0,2024-09-07 10:04:01:389,166475,0.4,166769,0.6,333423,0.3,443904,1.75 28,1,2024-09-07 10:04:00:798,1184892,1184892,0,0,556451880322,5808275343,1173739,8736,2417,382,391904,0 28,2,2024-09-07 10:04:01:776,853665,853665,0,0,35940441,0,3674 28,3,2024-09-07 10:04:01:782,1,674,3,0,502,6999,674,0 29,0,2024-09-07 10:04:01:354,171050,0.4,166509,0.6,326784,0.4,445721,1.75 29,1,2024-09-07 10:04:01:561,1187665,1187665,0,0,557954377905,5775524557,1179868,6777,1020,367,391809,0 29,2,2024-09-07 10:04:00:872,852686,852686,0,0,34268212,0,4986 29,3,2024-09-07 10:04:00:966,1,674,4,0,459,7018,674,0 30,0,2024-09-07 10:04:01:464,169988,0.5,165535,0.7,346647,0.5,453152,2.00 30,1,2024-09-07 10:04:00:573,1186519,1186519,0,0,557344615180,5788617378,1176987,8404,1128,380,391672,0 30,2,2024-09-07 10:04:01:274,853582,853582,0,0,33662540,0,4192 30,3,2024-09-07 10:04:00:581,1,674,6,0,519,7175,674,0 31,0,2024-09-07 10:04:01:768,171499,0.5,172277,0.7,343639,0.4,458729,2.00 31,1,2024-09-07 10:04:00:567,1190406,1190406,0,0,557919052223,5731977673,1182921,6296,1189,356,391712,0 31,2,2024-09-07 10:04:01:278,849305,849305,0,0,36666056,0,4470 31,3,2024-09-07 10:04:01:717,1,674,9,0,239,5819,674,0 32,0,2024-09-07 10:04:01:445,168602,0.3,169646,0.5,337797,0.2,449442,1.75 32,1,2024-09-07 10:04:00:817,1186248,1186248,0,0,557024394821,5791457403,1178772,6511,965,381,391646,0 32,2,2024-09-07 10:04:00:948,856043,856043,0,0,32105121,0,3922 32,3,2024-09-07 10:04:01:023,1,674,1,0,304,5800,674,0 33,0,2024-09-07 10:04:01:491,165251,0.3,164829,0.4,329563,0.2,439072,1.50 33,1,2024-09-07 10:04:00:574,1187720,1187720,0,0,557457222399,5773725723,1178378,7991,1351,368,391730,0 33,2,2024-09-07 10:04:00:760,854837,854802,35,0,35840606,0,7012 33,3,2024-09-07 10:04:00:894,1,674,72,0,329,6213,674,0 34,0,2024-09-07 10:04:00:932,169697,0.3,174285,0.5,333388,0.2,450547,1.75 34,1,2024-09-07 10:04:01:046,1189845,1189845,0,0,558970116777,5752852175,1185848,3788,209,367,391637,0 34,2,2024-09-07 10:04:00:770,854071,854071,0,0,33450564,0,4562 34,3,2024-09-07 10:04:01:692,1,674,3,0,541,5937,674,0 35,0,2024-09-07 10:04:00:862,170195,0.3,170734,0.5,342649,0.3,455847,1.75 35,1,2024-09-07 10:04:01:066,1185615,1185615,0,0,556539644494,5776170121,1176681,7382,1552,382,391769,0 35,2,2024-09-07 10:04:01:582,848931,848931,0,0,38459604,0,4055 35,3,2024-09-07 10:04:00:912,1,674,10,0,466,6508,674,0 36,0,2024-09-07 10:04:01:519,170956,0.5,171107,0.6,341892,0.4,454753,2.00 36,1,2024-09-07 10:04:00:582,1184003,1184003,0,0,556032257293,5807339420,1169230,12419,2354,366,391759,0 36,2,2024-09-07 10:04:01:751,854181,854181,0,0,38897642,0,3875 36,3,2024-09-07 10:04:00:866,1,674,1,0,556,8736,674,0 37,0,2024-09-07 10:04:01:368,162982,0.5,163026,0.7,326338,0.5,434989,2.25 37,1,2024-09-07 10:04:00:582,1183363,1183356,0,7,555219104075,5799986544,1169181,11062,3113,365,391770,0 37,2,2024-09-07 10:04:01:147,852442,852427,15,0,38922685,0,5815 37,3,2024-09-07 10:04:01:775,1,674,1,0,888,9157,674,0 38,0,2024-09-07 10:04:01:455,166985,0.5,161907,0.7,338601,0.4,444362,2.00 38,1,2024-09-07 10:04:01:616,1183644,1183644,0,0,556373047221,5818369346,1166817,13523,3304,368,391821,0 38,2,2024-09-07 10:04:00:760,852514,852467,47,0,39036635,0,6710 38,3,2024-09-07 10:04:00:997,1,674,1,0,689,7858,674,0 39,0,2024-09-07 10:04:01:775,175249,0.6,171227,0.7,334341,0.5,455856,2.00 39,1,2024-09-07 10:04:00:725,1182513,1182513,0,0,554486263711,5815642275,1162910,15370,4233,365,391865,0 39,2,2024-09-07 10:04:01:417,849137,849137,0,0,37182364,0,3478 39,3,2024-09-07 10:04:00:712,1,674,8,0,525,7588,674,0 40,0,2024-09-07 10:04:01:492,170393,0.8,171079,1.0,341579,0.9,455746,2.75 40,1,2024-09-07 10:04:00:575,1182654,1182654,0,0,554631516947,5814978349,1164233,14839,3582,366,391668,0 40,2,2024-09-07 10:04:01:303,850236,850229,7,0,43126783,0,5347 40,3,2024-09-07 10:04:01:146,1,674,2,0,1028,9729,674,0 41,0,2024-09-07 10:04:01:022,164940,1.2,168754,1.1,321859,1.6,437047,3.00 41,1,2024-09-07 10:04:00:768,1182076,1182076,0,0,555542678081,5812887708,1166388,13163,2525,369,391878,0 41,2,2024-09-07 10:04:00:760,850216,850215,1,0,42007208,0,5408 41,3,2024-09-07 10:04:01:676,1,674,8,0,366,7621,674,0 42,0,2024-09-07 10:04:01:475,165655,1.0,165476,1.1,331125,1.2,439532,2.75 42,1,2024-09-07 10:04:01:439,1180440,1180440,0,0,554325343785,5821749366,1162841,14515,3084,380,391675,0 42,2,2024-09-07 10:04:01:134,848911,848910,1,0,43272529,0,5513 42,3,2024-09-07 10:04:01:022,1,674,1,0,892,6916,674,0 43,0,2024-09-07 10:04:00:919,169869,0.8,165299,1.0,346393,0.9,453012,2.25 43,1,2024-09-07 10:04:00:576,1183143,1183143,0,0,556666913355,5817183498,1167040,13356,2747,366,391696,0 43,2,2024-09-07 10:04:01:739,852545,852545,0,0,39776879,0,4723 43,3,2024-09-07 10:04:01:751,1,674,8,0,571,9252,674,0 44,0,2024-09-07 10:04:00:870,172722,0.4,173015,0.6,345260,0.4,460443,1.75 44,1,2024-09-07 10:04:00:562,1186351,1186351,0,0,556874768914,5759678096,1175629,8647,2075,356,391809,0 44,2,2024-09-07 10:04:01:275,849359,849359,0,0,32681677,0,4344 44,3,2024-09-07 10:04:01:095,1,674,0,0,1097,8382,674,0 45,0,2024-09-07 10:04:01:761,165270,0.5,161455,0.7,338827,0.4,443964,2.00 45,1,2024-09-07 10:04:01:005,1185612,1185612,0,0,557106416491,5792183601,1176222,8169,1221,382,391917,0 45,2,2024-09-07 10:04:01:267,854050,854050,0,0,33239716,0,3596 45,3,2024-09-07 10:04:00:934,1,674,1,0,531,6382,674,0 46,0,2024-09-07 10:04:00:949,164425,0.5,163969,0.7,328879,0.5,437729,2.00 46,1,2024-09-07 10:04:00:577,1187966,1187966,0,0,557549040178,5767452049,1179436,7438,1092,366,391709,0 46,2,2024-09-07 10:04:00:593,854532,854532,0,0,34200662,0,4443 46,3,2024-09-07 10:04:01:134,1,674,1,0,908,7572,674,0 47,0,2024-09-07 10:04:01:105,170895,0.4,170476,0.6,342273,0.4,453797,2.00 47,1,2024-09-07 10:04:00:568,1189114,1189114,0,0,557512406553,5763606832,1181699,6402,1013,364,391666,0 47,2,2024-09-07 10:04:00:908,856111,856111,0,0,34539989,0,4477 47,3,2024-09-07 10:04:01:118,1,674,12,0,600,7376,674,0 48,0,2024-09-07 10:04:01:490,171325,0.3,171922,0.4,342562,0.2,456307,1.50 48,1,2024-09-07 10:04:01:024,1186299,1186299,0,0,556588575192,5783951523,1178722,6565,1012,381,391710,0 48,2,2024-09-07 10:04:00:698,849445,849445,0,0,31302357,0,3619 48,3,2024-09-07 10:04:00:760,1,674,0,0,339,5913,674,0 49,0,2024-09-07 10:04:01:722,174195,0.3,170573,0.5,331841,0.3,452594,1.75 49,1,2024-09-07 10:04:01:024,1185264,1185264,0,0,556227786531,5785591885,1177400,6166,1698,382,391809,0 49,2,2024-09-07 10:04:01:802,855476,855476,0,0,32921237,0,4426 49,3,2024-09-07 10:04:01:419,1,674,2,0,992,7950,674,0 50,0,2024-09-07 10:04:01:507,164191,0.3,162778,0.5,327833,0.2,436145,1.75 50,1,2024-09-07 10:04:01:012,1188408,1188408,0,0,557801303950,5767275010,1180934,6742,732,368,391691,0 50,2,2024-09-07 10:04:01:066,853718,853718,0,0,30901654,0,4490 50,3,2024-09-07 10:04:01:291,1,674,63,0,617,6779,674,0 51,0,2024-09-07 10:04:01:772,173316,0.3,169640,0.5,330355,0.2,450899,1.75 51,1,2024-09-07 10:04:01:681,1188611,1188611,0,0,559305815745,5768386205,1181921,5347,1343,365,391706,0 51,2,2024-09-07 10:04:01:316,853480,853480,0,0,30569281,0,3337 51,3,2024-09-07 10:04:01:034,1,674,7,0,678,5417,674,0 52,0,2024-09-07 10:04:01:417,171340,0.5,171392,0.7,342336,0.4,455184,2.00 52,1,2024-09-07 10:04:00:574,1183285,1183285,0,0,554892876022,5808868564,1166542,14316,2427,368,391805,0 52,2,2024-09-07 10:04:01:756,845031,844993,38,0,41796669,0,6742 52,3,2024-09-07 10:04:00:677,1,674,2,0,1782,7891,674,0 53,0,2024-09-07 10:04:01:728,170555,0.6,165503,0.8,346237,0.7,453069,2.25 53,1,2024-09-07 10:04:00:771,1181694,1181694,0,0,555330204947,5823771501,1162556,14725,4413,367,391968,0 53,2,2024-09-07 10:04:01:298,854053,853915,138,0,38445946,0,7690 53,3,2024-09-07 10:04:00:697,1,674,1,0,308,6405,674,0 54,0,2024-09-07 10:04:01:618,163193,0.6,163556,0.7,325850,0.5,434522,2.25 54,1,2024-09-07 10:04:00:580,1184773,1184773,0,0,557250156979,5794644489,1173000,10099,1674,366,391810,0 54,2,2024-09-07 10:04:00:866,854108,854076,32,0,41689874,0,6397 54,3,2024-09-07 10:04:00:769,1,674,240,0,676,8784,674,0 55,0,2024-09-07 10:04:01:767,161505,0.7,166546,0.8,337809,0.7,440364,2.50 55,1,2024-09-07 10:04:00:766,1185466,1185466,0,0,556146625066,5784371204,1173448,10532,1486,365,391731,0 55,2,2024-09-07 10:04:00:737,850971,850915,56,0,38130541,0,7239 55,3,2024-09-07 10:04:00:677,1,674,8,0,304,6249,674,0 56,0,2024-09-07 10:04:01:567,174878,1.3,164807,1.2,339672,1.7,454614,2.75 56,1,2024-09-07 10:04:00:573,1178264,1178264,0,0,553685669121,5855485093,1158857,15653,3754,381,391867,0 56,2,2024-09-07 10:04:01:320,849139,849017,122,0,40498628,0,7432 56,3,2024-09-07 10:04:01:064,1,674,4,0,705,8118,674,0 57,0,2024-09-07 10:04:00:933,170789,1.4,170776,1.2,341240,1.9,456149,3.00 57,1,2024-09-07 10:04:00:987,1181079,1181079,0,0,554121931828,5814420195,1165521,12868,2690,366,392032,0 57,2,2024-09-07 10:04:01:316,850655,850655,0,0,43522277,0,4804 57,3,2024-09-07 10:04:01:744,1,674,4,0,455,7350,674,0 58,0,2024-09-07 10:04:00:555,164000,0.9,159252,1.0,333460,1.1,436411,2.50 58,1,2024-09-07 10:04:00:575,1181927,1181924,0,3,555367556906,5826032375,1163569,13954,4401,367,391726,3 58,2,2024-09-07 10:04:01:072,853611,853611,0,0,39575733,0,3483 58,3,2024-09-07 10:04:01:067,1,674,1,0,1043,7423,674,0 59,0,2024-09-07 10:04:01:759,165058,0.9,164362,1.0,328740,1.0,436528,3.00 59,1,2024-09-07 10:04:00:814,1181660,1181660,0,0,554366219477,5825566984,1162221,15601,3838,369,391653,0 59,2,2024-09-07 10:04:00:583,852495,852495,0,0,37727066,0,3727 59,3,2024-09-07 10:04:01:744,1,674,7,0,1015,7981,674,0 60,0,2024-09-07 10:04:01:712,170897,0.5,171020,0.7,341890,0.5,456164,2.00 60,1,2024-09-07 10:04:00:774,1185537,1185537,0,0,556773874854,5798392861,1175122,9007,1408,370,392031,0 60,2,2024-09-07 10:04:01:146,853698,853698,0,0,36054480,0,3811 60,3,2024-09-07 10:04:01:262,1,674,0,0,409,7760,674,0 61,0,2024-09-07 10:04:01:491,171634,0.6,172371,0.8,343207,0.6,458215,2.00 61,1,2024-09-07 10:04:00:771,1182869,1182869,0,0,555356864216,5816471943,1170271,10752,1846,382,392127,0 61,2,2024-09-07 10:04:01:143,850180,850113,67,0,36646019,0,6411 61,3,2024-09-07 10:04:01:687,1,674,1,0,607,8444,674,0 62,0,2024-09-07 10:04:01:712,169117,0.5,173418,0.7,331124,0.5,449355,2.00 62,1,2024-09-07 10:04:01:110,1190247,1190241,0,6,558296190527,5762749325,1183468,6298,475,365,391975,6 62,2,2024-09-07 10:04:01:643,851805,851804,1,0,36418909,0,5555 62,3,2024-09-07 10:04:01:145,1,674,31,0,482,5377,674,0 63,0,2024-09-07 10:04:01:450,165168,0.4,164817,0.6,330487,0.3,439146,1.75 63,1,2024-09-07 10:04:00:806,1186934,1186928,0,6,557239400011,5789070495,1179261,6739,928,381,391800,6 63,2,2024-09-07 10:04:00:762,853604,853604,0,0,35556570,0,4369 63,3,2024-09-07 10:04:01:750,1,674,8,0,667,7787,674,0 64,0,2024-09-07 10:04:01:516,168659,0.5,168550,0.7,336709,0.5,449016,2.00 64,1,2024-09-07 10:04:00:755,1185198,1185198,0,0,556957302051,5799603753,1174999,8173,2026,370,391794,0 64,2,2024-09-07 10:04:01:150,856420,856401,19,0,34395947,0,6121 64,3,2024-09-07 10:04:01:147,1,674,1,0,651,7630,674,0 65,0,2024-09-07 10:04:01:692,169430,0.6,169743,0.7,338912,0.6,452113,2.00 65,1,2024-09-07 10:04:00:860,1183487,1183487,0,0,555179001435,5802378861,1175313,7276,898,381,391901,0 65,2,2024-09-07 10:04:01:693,847164,847164,0,0,40572556,0,3367 65,3,2024-09-07 10:04:01:690,1,674,7,0,782,8018,674,0 66,0,2024-09-07 10:04:01:769,170173,0.5,169539,0.7,339356,0.5,451347,2.00 66,1,2024-09-07 10:04:01:293,1185379,1185379,0,0,555859960228,5785775842,1177911,6595,873,380,391743,0 66,2,2024-09-07 10:04:01:136,856863,856860,3,0,35871606,0,5455 66,3,2024-09-07 10:04:01:079,1,674,0,0,291,6048,674,0 67,0,2024-09-07 10:04:01:416,163712,0.5,163348,0.7,327319,0.5,435574,2.00 67,1,2024-09-07 10:04:00:770,1185395,1185394,0,1,555889896723,5789360906,1176963,7291,1140,380,391787,1 67,2,2024-09-07 10:04:00:582,857142,857127,15,0,36169276,0,6205 67,3,2024-09-07 10:04:01:753,1,674,8,0,595,7227,674,0 68,0,2024-09-07 10:04:00:604,167843,0.6,167596,0.8,333951,0.6,447290,2.25 68,1,2024-09-07 10:04:00:575,1180801,1180801,0,0,554079003140,5832393093,1164079,12451,4271,381,391953,0 68,2,2024-09-07 10:04:01:051,848146,848046,100,0,44491547,0,8578 68,3,2024-09-07 10:04:00:734,1,674,0,0,417,9593,674,0 69,0,2024-09-07 10:04:01:753,171136,0.7,171654,0.8,342493,0.8,455866,2.25 69,1,2024-09-07 10:04:01:016,1180223,1180223,0,0,553771979424,5834915643,1165441,11801,2981,383,391994,0 69,2,2024-09-07 10:04:01:753,846264,846235,29,0,47411374,0,6912 69,3,2024-09-07 10:04:00:768,1,674,0,0,698,10300,674,0 70,0,2024-09-07 10:04:01:534,170116,0.7,170859,0.9,342636,0.6,454271,2.50 70,1,2024-09-07 10:04:00:817,1186332,1186332,0,0,557512104166,5788465381,1176887,8400,1045,366,391725,0 70,2,2024-09-07 10:04:01:325,853054,853054,0,0,39079581,0,4323 70,3,2024-09-07 10:04:00:744,1,674,1,0,854,7597,674,0 71,0,2024-09-07 10:04:01:359,164883,0.8,164424,1.0,329865,1.0,439631,2.50 71,1,2024-09-07 10:04:01:599,1184430,1184430,0,0,555400949108,5797613820,1170003,12642,1785,367,391738,0 71,2,2024-09-07 10:04:01:072,852679,852679,0,0,39517784,0,4352 71,3,2024-09-07 10:04:01:751,1,674,8,0,644,7546,674,0 72,0,2024-09-07 10:04:01:042,172209,0.6,168119,0.7,328459,0.5,447034,2.00 72,1,2024-09-07 10:04:01:023,1182677,1182677,0,0,555030969336,5821229085,1165885,14060,2732,369,391819,0 72,2,2024-09-07 10:04:01:756,850151,850151,0,0,41137058,0,3983 72,3,2024-09-07 10:04:01:754,1,674,1,0,564,9439,674,0 73,0,2024-09-07 10:04:01:105,167984,0.5,172179,0.6,351693,0.4,458073,2.00 73,1,2024-09-07 10:04:00:770,1184303,1184303,0,0,555992815313,5781389206,1174897,8346,1060,367,391858,0 73,2,2024-09-07 10:04:01:737,851214,851213,1,0,43373835,0,5027 73,3,2024-09-07 10:04:00:973,1,674,88,0,1091,9235,674,0 74,0,2024-09-07 10:04:01:325,173538,0.5,177760,0.7,339255,0.4,460887,2.00 74,1,2024-09-07 10:04:00:636,1182964,1182964,0,0,554685321933,5789679608,1170609,10207,2148,381,391762,0 74,2,2024-09-07 10:04:01:002,849341,849341,0,0,37435007,0,4253 74,3,2024-09-07 10:04:01:442,1,674,1,0,522,7870,674,0 75,0,2024-09-07 10:04:01:769,167181,0.5,166205,0.7,333613,0.5,445324,2.25 75,1,2024-09-07 10:04:01:586,1184429,1184429,0,0,555510731104,5790673413,1174553,8884,992,380,391739,0 75,2,2024-09-07 10:04:01:350,852004,852004,0,0,44573123,0,4766 75,3,2024-09-07 10:04:01:066,1,674,16,0,918,9418,674,0 76,0,2024-09-07 10:04:00:609,164744,0.5,164149,0.7,327984,0.5,439406,2.25 76,1,2024-09-07 10:04:00:806,1184217,1184217,0,0,555764495354,5799547294,1175445,7463,1309,382,391790,0 76,2,2024-09-07 10:04:01:073,855794,855791,3,0,37285492,0,5265 76,3,2024-09-07 10:04:01:145,1,674,1,0,249,6274,674,0 77,0,2024-09-07 10:04:01:707,169705,0.6,170200,0.7,340638,0.5,452885,2.00 77,1,2024-09-07 10:04:00:832,1183530,1183530,0,0,555622677244,5804026263,1173455,8988,1087,381,391869,0 77,2,2024-09-07 10:04:01:283,852538,852538,0,0,36242618,0,3890 77,3,2024-09-07 10:04:01:095,1,674,5,0,401,7765,674,0 78,0,2024-09-07 10:04:01:734,171666,0.4,170950,0.6,343104,0.4,454351,2.00 78,1,2024-09-07 10:04:00:610,1183210,1183210,0,0,555471870156,5798877460,1168178,11795,3237,367,391670,0 78,2,2024-09-07 10:04:01:410,849890,849877,13,0,33658655,0,8313 78,3,2024-09-07 10:04:01:134,1,674,0,0,311,5794,674,0 79,0,2024-09-07 10:04:01:349,163648,0.4,167708,0.6,343399,0.3,447090,2.00 79,1,2024-09-07 10:04:00:572,1187409,1187409,0,0,557134755060,5773382752,1177601,8007,1801,367,391682,0 79,2,2024-09-07 10:04:01:068,855012,855012,0,0,32689427,0,4195 79,3,2024-09-07 10:04:00:760,1,674,12,0,418,7625,674,0 80,0,2024-09-07 10:04:01:113,163812,0.5,168197,0.7,321240,0.5,435215,2.00 80,1,2024-09-07 10:04:01:629,1183864,1183864,0,0,556243931366,5793498357,1175895,7388,581,368,392269,0 80,2,2024-09-07 10:04:01:101,857220,857220,0,0,33457311,0,4433 80,3,2024-09-07 10:04:00:575,1,674,25,0,681,8272,674,0 81,0,2024-09-07 10:04:01:557,168669,0.6,172741,0.7,329396,0.6,447655,2.00 81,1,2024-09-07 10:04:01:662,1183096,1183096,0,0,555279356123,5804648412,1173504,8619,973,382,392001,0 81,2,2024-09-07 10:04:01:127,852592,852529,63,0,37062870,0,5932 81,3,2024-09-07 10:04:01:137,1,674,1,0,719,7365,674,0 82,0,2024-09-07 10:04:01:570,171375,0.5,171531,0.7,343480,0.5,455720,2.00 82,1,2024-09-07 10:04:00:596,1185094,1185090,0,4,556734938767,5792696931,1178190,5777,1123,381,391768,4 82,2,2024-09-07 10:04:01:691,851058,851058,0,0,30801600,0,4484 82,3,2024-09-07 10:04:01:751,1,674,28,0,363,6117,674,0 83,0,2024-09-07 10:04:01:527,171130,0.6,171024,0.7,341795,0.5,453730,2.25 83,1,2024-09-07 10:04:00:551,1183976,1183976,0,0,555903959143,5797005799,1175050,7901,1025,382,391709,0 83,2,2024-09-07 10:04:00:765,854644,854619,25,0,33615599,0,5612 83,3,2024-09-07 10:04:00:762,1,674,8,0,1260,7924,674,0 84,0,2024-09-07 10:04:01:766,163514,0.7,163543,0.9,327301,0.7,436433,2.25 84,1,2024-09-07 10:04:01:045,1182406,1182406,0,0,555653257617,5814070781,1168733,11590,2083,367,391967,0 84,2,2024-09-07 10:04:00:573,852138,851728,410,0,48810886,0,17037 84,3,2024-09-07 10:04:01:150,1,674,4,0,908,9545,674,0 85,0,2024-09-07 10:04:01:018,160764,0.7,160766,0.8,341348,0.7,440780,2.25 85,1,2024-09-07 10:04:00:558,1178752,1178752,0,0,553385151700,5841669773,1160972,14850,2930,381,392092,0 85,2,2024-09-07 10:04:00:873,851284,851284,0,0,41365729,0,4255 85,3,2024-09-07 10:04:00:685,1,674,4,0,789,7725,674,0 86,0,2024-09-07 10:04:00:896,171045,0.8,175926,0.8,336834,0.8,455397,2.25 86,1,2024-09-07 10:04:00:833,1181040,1181040,0,0,555368544248,5834020603,1163498,14229,3313,366,392169,0 86,2,2024-09-07 10:04:00:859,849784,849783,1,0,43481954,0,5004 86,3,2024-09-07 10:04:00:587,1,674,26,0,441,9473,674,0 87,0,2024-09-07 10:04:01:368,173137,0.8,171877,0.8,344371,0.9,460134,2.25 87,1,2024-09-07 10:04:00:558,1181586,1181586,0,0,555001084847,5815714542,1166684,12740,2162,366,392076,0 87,2,2024-09-07 10:04:01:068,850300,850294,6,0,40606619,0,6323 87,3,2024-09-07 10:04:01:801,1,674,13,0,473,9382,674,0 88,0,2024-09-07 10:04:01:447,166320,0.4,166810,0.6,333542,0.4,443978,1.75 88,1,2024-09-07 10:04:00:574,1180511,1180511,0,0,554193484916,5809430556,1163764,13612,3135,365,392084,0 88,2,2024-09-07 10:04:00:687,852718,852718,0,0,42686498,0,4465 88,3,2024-09-07 10:04:01:269,1,674,2,0,1080,10986,674,0 89,0,2024-09-07 10:04:01:765,171048,0.4,166022,0.6,327240,0.4,445092,1.75 89,1,2024-09-07 10:04:00:561,1180095,1180095,0,0,554878436066,5836195907,1165457,12362,2276,382,391866,0 89,2,2024-09-07 10:04:01:140,851987,851987,0,0,40210080,0,3173 89,3,2024-09-07 10:04:01:802,1,674,1,0,729,11641,674,0 90,0,2024-09-07 10:04:01:622,165831,0.5,170214,0.6,347592,0.4,454144,2.00 90,1,2024-09-07 10:04:00:590,1181279,1181279,0,0,554651859548,5813812079,1168903,11109,1267,380,391825,0 90,2,2024-09-07 10:04:01:410,848981,848976,5,0,43462134,0,6370 90,3,2024-09-07 10:04:00:938,1,674,8,0,364,8968,674,0 91,0,2024-09-07 10:04:01:024,172330,0.5,167222,0.6,349836,0.5,459205,1.75 91,1,2024-09-07 10:04:00:571,1178577,1178577,0,0,554400357440,5849450813,1159940,14491,4146,381,392047,0 91,2,2024-09-07 10:04:01:333,848467,848467,0,0,38622220,0,4713 91,3,2024-09-07 10:04:00:603,1,674,9,0,231,6383,674,0 92,0,2024-09-07 10:04:01:489,170008,0.4,173986,0.6,331698,0.4,449682,1.75 92,1,2024-09-07 10:04:00:597,1182987,1182987,0,0,555278778715,5802111895,1173672,8005,1310,381,392136,0 92,2,2024-09-07 10:04:01:366,853259,853259,0,0,35152015,0,3906 92,3,2024-09-07 10:04:01:025,1,674,29,0,322,6172,674,0 93,0,2024-09-07 10:04:00:964,165627,0.4,169717,0.6,324033,0.3,439048,1.75 93,1,2024-09-07 10:04:00:817,1183662,1183662,0,0,555098203906,5803856433,1169282,11947,2433,365,392048,0 93,2,2024-09-07 10:04:00:928,853812,853812,0,0,40739945,0,4913 93,3,2024-09-07 10:04:01:406,1,674,2,0,505,7620,674,0 94,0,2024-09-07 10:04:01:608,168705,0.4,169789,0.6,339796,0.4,451312,1.75 94,1,2024-09-07 10:04:00:593,1184617,1184617,0,0,556427661284,5803604778,1176821,7306,490,381,391850,0 94,2,2024-09-07 10:04:00:766,853194,853166,28,0,35364106,0,6179 94,3,2024-09-07 10:04:01:689,1,674,1,0,576,8296,674,0 95,0,2024-09-07 10:04:01:355,170765,0.4,170621,0.6,341611,0.3,455043,1.75 95,1,2024-09-07 10:04:00:862,1185288,1185288,0,0,556207356211,5781165017,1176151,8372,765,365,391852,0 95,2,2024-09-07 10:04:01:030,847382,847382,0,0,35088724,0,3308 95,3,2024-09-07 10:04:01:716,1,674,14,0,718,10232,674,0 96,0,2024-09-07 10:04:01:047,170610,0.3,170804,0.5,341752,0.3,454055,1.75 96,1,2024-09-07 10:04:01:589,1183080,1183080,0,0,555680313452,5799487442,1174253,7452,1375,384,391964,0 96,2,2024-09-07 10:04:01:291,855761,855761,0,0,35733302,0,4225 96,3,2024-09-07 10:04:01:158,1,674,12,0,411,7648,674,0 97,0,2024-09-07 10:04:01:323,163768,0.3,163520,0.5,327823,0.3,435500,1.50 97,1,2024-09-07 10:04:00:763,1185475,1185475,0,0,557173848942,5782466783,1177624,6612,1239,367,392140,0 97,2,2024-09-07 10:04:00:608,855486,855486,0,0,35907782,0,4600 97,3,2024-09-07 10:04:00:574,1,674,0,0,433,8225,674,0 98,0,2024-09-07 10:04:01:697,167381,0.3,167414,0.5,336241,0.3,447589,1.50 98,1,2024-09-07 10:04:00:587,1184791,1184791,0,0,555750450961,5790273910,1177364,6529,898,381,391997,0 98,2,2024-09-07 10:04:00:785,852113,852113,0,0,34252365,0,4336 98,3,2024-09-07 10:04:00:717,1,674,11,0,840,9542,674,0 99,0,2024-09-07 10:04:01:470,171993,0.3,172805,0.5,344339,0.3,458563,1.75 99,1,2024-09-07 10:04:01:736,1185890,1185890,0,0,556307355064,5791566641,1178159,6624,1107,380,392069,0 99,2,2024-09-07 10:04:01:448,848797,848797,0,0,43137268,0,4276 99,3,2024-09-07 10:04:00:588,1,674,14,0,1124,8481,674,0 100,0,2024-09-07 10:04:01:495,171576,0.8,172045,0.9,343964,0.9,458321,2.50 100,1,2024-09-07 10:04:00:564,1178303,1178303,0,0,553550093091,5857992136,1159267,15241,3795,378,391989,0 100,2,2024-09-07 10:04:01:824,849000,848611,389,0,44984138,0,16909 100,3,2024-09-07 10:04:01:751,1,674,56,0,627,10627,674,0 101,0,2024-09-07 10:04:01:706,169491,0.9,165441,1.0,323525,0.9,441431,2.25 101,1,2024-09-07 10:04:00:551,1180595,1180595,0,0,554332733310,5828513663,1164238,13422,2935,368,392018,0 101,2,2024-09-07 10:04:01:756,847853,847814,39,0,46749906,0,5913 101,3,2024-09-07 10:04:00:960,1,674,20,0,1250,10527,674,0 102,0,2024-09-07 10:04:00:968,162192,0.7,167115,0.8,339448,0.7,443888,2.25 102,1,2024-09-07 10:04:01:146,1180554,1180554,0,0,554873743523,5829087273,1164836,13463,2255,369,391984,0 102,2,2024-09-07 10:04:01:744,852655,852601,54,0,38798863,0,6768 102,3,2024-09-07 10:04:01:623,1,674,1,0,466,7872,674,0 103,0,2024-09-07 10:04:01:594,177636,0.7,177587,0.8,334724,0.8,460324,2.25 103,1,2024-09-07 10:04:01:628,1179306,1179306,0,0,553950616535,5851142700,1160403,15277,3626,381,392077,0 103,2,2024-09-07 10:04:00:583,850167,850167,0,0,42182915,0,3766 103,3,2024-09-07 10:04:00:757,1,674,15,0,916,8033,674,0 104,0,2024-09-07 10:04:01:016,171288,0.7,171684,0.9,342506,0.7,457934,2.50 104,1,2024-09-07 10:04:01:600,1181344,1181344,0,0,554013737486,5826593978,1162990,14899,3455,365,392168,0 104,2,2024-09-07 10:04:01:678,847889,847889,0,0,41161940,0,4161 104,3,2024-09-07 10:04:01:425,1,674,10,0,1245,11640,674,0 105,0,2024-09-07 10:04:01:038,165174,0.8,161026,1.0,337558,0.9,443215,2.50 105,1,2024-09-07 10:04:00:557,1183495,1183495,0,0,554781279617,5812430434,1168762,12620,2113,364,392009,0 105,2,2024-09-07 10:04:01:321,852008,852008,0,0,42375032,0,4360 105,3,2024-09-07 10:04:01:304,1,674,1,0,573,10188,674,0 106,0,2024-09-07 10:04:00:943,159607,0.9,163628,1.0,334736,1.0,437348,2.50 106,1,2024-09-07 10:04:01:752,1181359,1181359,0,0,554521428829,5820498453,1164943,14266,2150,368,391914,0 106,2,2024-09-07 10:04:00:756,852764,852764,0,0,39260263,0,3331 106,3,2024-09-07 10:04:00:684,1,674,7,0,1224,9143,674,0 107,0,2024-09-07 10:04:01:162,169776,1.2,169879,1.0,339888,1.8,453690,2.25 107,1,2024-09-07 10:04:00:595,1179213,1179213,0,0,552827666390,5845448798,1160199,16759,2255,381,392234,0 107,2,2024-09-07 10:04:01:293,849302,849301,1,0,42801977,0,5024 107,3,2024-09-07 10:04:01:762,1,674,223,0,733,10795,674,0 108,0,2024-09-07 10:04:01:778,170715,0.4,171519,0.6,342070,0.4,456025,1.75 108,1,2024-09-07 10:04:01:293,1182923,1182923,0,0,555417994236,5799133447,1172216,9500,1207,367,391894,0 108,2,2024-09-07 10:04:01:784,849048,849048,0,0,37947096,0,4432 108,3,2024-09-07 10:04:01:337,1,674,3,0,767,12366,674,0 109,0,2024-09-07 10:04:01:772,170263,0.4,168827,0.6,338724,0.3,451684,1.75 109,1,2024-09-07 10:04:00:587,1181001,1181001,0,0,554799801109,5822892278,1170377,9121,1503,382,392132,0 109,2,2024-09-07 10:04:00:925,852432,852432,0,0,37998536,0,3617 109,3,2024-09-07 10:04:01:147,1,674,0,0,630,7775,674,0 110,0,2024-09-07 10:04:01:798,163533,0.4,158962,0.6,332978,0.3,437036,1.75 110,1,2024-09-07 10:04:01:643,1184882,1184882,0,0,556717340658,5782731886,1175585,7245,2052,368,392045,0 110,2,2024-09-07 10:04:01:303,854906,854906,0,0,37247470,0,4067 110,3,2024-09-07 10:04:00:692,1,674,182,0,722,9140,674,0 111,0,2024-09-07 10:04:01:412,169501,0.4,168723,0.5,337019,0.3,450234,1.75 111,1,2024-09-07 10:04:01:003,1186428,1186428,0,0,557498946002,5789380356,1179430,6494,504,380,391690,0 111,2,2024-09-07 10:04:01:119,852812,852812,0,0,36567484,0,4823 111,3,2024-09-07 10:04:00:918,1,674,7,0,379,7515,674,0 112,0,2024-09-07 10:04:00:927,172173,0.3,171700,0.4,344225,0.2,456939,1.50 112,1,2024-09-07 10:04:00:823,1185344,1185344,0,0,556601542164,5778617747,1177759,6360,1225,380,391624,0 112,2,2024-09-07 10:04:01:143,849140,849139,1,0,34504740,0,5036 112,3,2024-09-07 10:04:00:592,1,674,53,0,282,6759,674,0 113,0,2024-09-07 10:04:00:876,171072,0.3,171387,0.5,343102,0.2,456082,1.75 113,1,2024-09-07 10:04:01:688,1188927,1188927,0,0,558465632703,5762761487,1182020,5957,950,365,391664,0 113,2,2024-09-07 10:04:01:310,856570,856570,0,0,31808208,0,3813 113,3,2024-09-07 10:04:00:684,1,674,1,0,510,6945,674,0 114,0,2024-09-07 10:04:00:878,165108,0.3,166202,0.5,331583,0.2,441940,1.75 114,1,2024-09-07 10:04:00:717,1185099,1185099,0,0,556131590285,5774824964,1176528,6803,1768,381,391565,0 114,2,2024-09-07 10:04:00:873,856029,856028,1,0,34338591,0,5069 114,3,2024-09-07 10:04:01:278,1,674,3,0,415,5622,674,0 115,0,2024-09-07 10:04:00:555,168110,0.3,168719,0.4,335899,0.2,447200,1.50 115,1,2024-09-07 10:04:00:573,1185618,1185618,0,0,557633719545,5793550305,1176066,7761,1791,382,391757,0 115,2,2024-09-07 10:04:01:127,853756,853756,0,0,34298260,0,4382 115,3,2024-09-07 10:04:01:010,1,674,8,0,173,4386,674,0 116,0,2024-09-07 10:04:01:743,171084,0.8,171098,0.9,342866,0.8,458040,2.25 116,1,2024-09-07 10:04:00:807,1179226,1179226,0,0,554507978445,5844003574,1165180,10836,3210,380,392089,0 116,2,2024-09-07 10:04:01:754,850281,850281,0,0,41551956,0,4475 116,3,2024-09-07 10:04:00:913,1,674,3,0,448,8793,674,0 117,0,2024-09-07 10:04:00:959,172853,0.6,172596,0.8,345393,0.7,461311,2.00 117,1,2024-09-07 10:04:01:581,1181275,1181275,0,0,554446201193,5810421634,1167468,12045,1762,369,392429,0 117,2,2024-09-07 10:04:01:126,852787,852787,0,0,38109827,0,4303 117,3,2024-09-07 10:04:01:061,1,674,7,0,490,8389,674,0 118,0,2024-09-07 10:04:01:850,161301,0.5,165754,0.7,338091,0.5,442368,2.00 118,1,2024-09-07 10:04:00:597,1180105,1180105,0,0,553915267177,5824542367,1162611,13649,3845,366,392054,0 118,2,2024-09-07 10:04:01:587,852331,852331,0,0,40763356,0,3161 118,3,2024-09-07 10:04:01:792,1,674,3,0,343,8165,674,0 119,0,2024-09-07 10:04:01:367,166133,0.7,166619,0.8,332552,0.8,443535,2.25 119,1,2024-09-07 10:04:00:555,1181284,1181284,0,0,554627994296,5818937608,1165278,13341,2665,367,391857,0 119,2,2024-09-07 10:04:01:263,852992,852992,0,0,37747013,0,4309 119,3,2024-09-07 10:04:01:325,1,674,11,0,1358,12089,674,0 120,0,2024-09-07 10:04:01:555,170133,0.7,169999,0.8,341322,0.7,455038,2.25 120,1,2024-09-07 10:04:00:913,1182111,1182111,0,0,554894367249,5820220790,1169488,11466,1157,367,392144,0 120,2,2024-09-07 10:04:00:780,851220,851217,3,0,45433454,0,5363 120,3,2024-09-07 10:04:01:292,1,674,7,0,478,8692,674,0 121,0,2024-09-07 10:04:01:719,171875,1.2,171269,1.0,342751,1.5,457131,2.25 121,1,2024-09-07 10:04:01:668,1181780,1181780,0,0,555510168707,5817820887,1168272,11512,1996,366,391840,0 121,2,2024-09-07 10:04:01:124,849145,849145,0,0,40961724,0,4157 121,3,2024-09-07 10:04:00:726,1,674,1,0,387,9123,674,0 122,0,2024-09-07 10:04:01:783,167982,0.7,163380,0.8,341894,0.8,449228,2.00 122,1,2024-09-07 10:04:00:872,1181167,1181167,0,0,554419135084,5818536485,1164902,13688,2577,365,392130,0 122,2,2024-09-07 10:04:01:323,853418,853343,75,0,45284746,0,5989 122,3,2024-09-07 10:04:00:594,1,674,4,0,512,10456,674,0 123,0,2024-09-07 10:04:00:957,163620,0.8,159411,0.9,334286,0.9,437118,2.25 123,1,2024-09-07 10:04:00:557,1181878,1181878,0,0,555048609263,5838143904,1162990,15898,2990,369,392039,0 123,2,2024-09-07 10:04:01:023,852943,852942,1,0,38890750,0,5215 123,3,2024-09-07 10:04:01:137,1,674,13,0,478,7665,674,0 124,0,2024-09-07 10:04:01:033,174140,0.4,174158,0.5,328017,0.3,452168,1.75 124,1,2024-09-07 10:04:01:046,1185014,1185014,0,0,556353742692,5786649660,1175864,7907,1243,365,392178,0 124,2,2024-09-07 10:04:01:015,854847,854794,53,0,35882480,0,6487 124,3,2024-09-07 10:04:00:763,1,674,38,0,490,6786,674,0 125,0,2024-09-07 10:04:01:444,170656,0.4,170496,0.6,341757,0.3,455435,1.75 125,1,2024-09-07 10:04:00:889,1182700,1182700,0,0,554880247358,5800567336,1171998,9170,1532,382,392045,0 125,2,2024-09-07 10:04:01:166,850707,850707,0,0,35294880,0,4534 125,3,2024-09-07 10:04:01:146,1,674,85,0,709,7636,674,0 126,0,2024-09-07 10:04:01:420,170924,0.4,175688,0.5,335762,0.3,454767,1.75 126,1,2024-09-07 10:04:00:556,1186074,1186074,0,0,557095408655,5773631976,1179046,6348,680,365,391987,0 126,2,2024-09-07 10:04:00:611,855877,855877,0,0,37075071,0,4539 126,3,2024-09-07 10:04:00:906,1,674,7,0,268,7693,674,0 127,0,2024-09-07 10:04:01:597,163953,0.3,164297,0.5,327898,0.3,435712,1.75 127,1,2024-09-07 10:04:00:574,1184509,1184509,0,0,556114552069,5788396470,1172380,10436,1693,364,392187,0 127,2,2024-09-07 10:04:00:636,855439,855435,4,0,35113480,0,5305 127,3,2024-09-07 10:04:01:268,1,674,11,0,968,6683,674,0 128,0,2024-09-07 10:04:01:559,168499,0.3,168484,0.4,336906,0.2,448388,1.50 128,1,2024-09-07 10:04:01:617,1183842,1183842,0,0,556089019269,5782623895,1174982,7890,970,367,392423,0 128,2,2024-09-07 10:04:01:393,854742,854742,0,0,32848094,0,3171 128,3,2024-09-07 10:04:00:774,1,674,99,0,1082,10175,674,0 129,0,2024-09-07 10:04:01:037,173176,0.3,172455,0.5,345974,0.3,459293,1.75 129,1,2024-09-07 10:04:00:573,1180749,1180749,0,0,554768926967,5821259516,1167875,10545,2329,379,391962,0 129,2,2024-09-07 10:04:00:686,851578,851574,4,0,35211332,0,5335 129,3,2024-09-07 10:04:00:690,1,674,1,0,506,9822,674,0 130,0,2024-09-07 10:04:01:810,172748,0.4,172533,0.5,345427,0.4,459808,1.75 130,1,2024-09-07 10:04:00:583,1185631,1185631,0,0,556554903297,5780213761,1179800,5424,407,381,391825,0 130,2,2024-09-07 10:04:01:126,853422,853422,0,0,35109437,0,4067 130,3,2024-09-07 10:04:01:294,1,674,62,0,960,8844,674,0 131,0,2024-09-07 10:04:02:070,165830,0.3,166354,0.5,333656,0.3,443119,1.50 131,1,2024-09-07 10:04:01:861,1184555,1184555,0,0,555277285059,5787791249,1176420,6927,1208,381,391865,0 131,2,2024-09-07 10:04:00:581,854472,854472,0,0,33312696,0,3979 131,3,2024-09-07 10:04:01:689,1,674,18,0,392,8555,674,0 132,0,2024-09-07 10:04:01:506,167246,0.5,168216,0.6,334685,0.5,445914,2.00 132,1,2024-09-07 10:04:00:577,1180184,1180184,0,0,554181656867,5833242776,1163688,13714,2782,381,392532,0 132,2,2024-09-07 10:04:00:698,852005,851988,17,0,42227867,0,6451 132,3,2024-09-07 10:04:01:693,1,674,5,0,1298,11762,674,0 133,0,2024-09-07 10:04:01:519,167993,0.5,172008,0.6,352581,0.4,458758,2.00 133,1,2024-09-07 10:04:00:585,1180282,1180282,0,0,554429316451,5840681603,1164854,13494,1934,383,391914,0 133,2,2024-09-07 10:04:01:086,852799,852749,50,0,43240381,0,6861 133,3,2024-09-07 10:04:01:303,1,674,1,0,528,7989,674,0 134,0,2024-09-07 10:04:00:965,173218,0.5,173043,0.7,346219,0.5,461297,2.00 134,1,2024-09-07 10:04:00:596,1181372,1181372,0,0,554750087256,5823372307,1165295,12785,3292,366,391781,0 134,2,2024-09-07 10:04:01:783,849368,849229,139,0,40542221,0,7591 134,3,2024-09-07 10:04:00:758,1,674,2,0,739,8446,674,0 135,0,2024-09-07 10:04:01:101,161868,0.7,161818,0.8,343626,0.8,442579,2.25 135,1,2024-09-07 10:04:01:586,1181379,1181379,0,0,553915951641,5824478663,1166989,12538,1852,380,392038,0 135,2,2024-09-07 10:04:00:687,853962,853962,0,0,41292545,0,4503 135,3,2024-09-07 10:04:01:005,1,674,6,0,900,6570,674,0 136,0,2024-09-07 10:04:01:629,165619,0.6,166168,0.8,330013,0.6,440420,2.00 136,1,2024-09-07 10:04:01:475,1182567,1182567,0,0,554850419386,5814679624,1169918,11223,1426,381,392135,0 136,2,2024-09-07 10:04:01:142,855142,855127,15,0,40395846,0,6007 136,3,2024-09-07 10:04:01:109,1,674,1,0,637,7984,674,0 137,0,2024-09-07 10:04:00:979,175516,0.6,171083,0.8,335071,0.7,455380,2.00 137,1,2024-09-07 10:04:00:575,1181292,1181292,0,0,554837286142,5820240960,1162874,14781,3637,366,391898,0 137,2,2024-09-07 10:04:01:707,851729,851729,0,0,41418577,0,3185 137,3,2024-09-07 10:04:00:770,1,674,11,0,484,9682,674,0 138,0,2024-09-07 10:04:01:779,170106,0.9,170432,0.9,341378,1.2,454428,2.25 138,1,2024-09-07 10:04:01:714,1181242,1181242,0,0,555355682556,5830778294,1164119,14432,2691,368,391954,0 138,2,2024-09-07 10:04:00:592,847379,847379,0,0,39688515,0,4988 138,3,2024-09-07 10:04:00:611,1,674,1,0,1200,10192,674,0 139,0,2024-09-07 10:04:01:396,168061,0.9,168596,0.9,337210,1.2,450003,2.25 139,1,2024-09-07 10:04:00:587,1177176,1177176,0,0,551991819642,5851018316,1157291,15972,3913,380,392109,0 139,2,2024-09-07 10:04:00:694,848263,848233,30,0,45271515,0,5997 139,3,2024-09-07 10:04:01:676,1,674,9,0,432,8056,674,0 140,0,2024-09-07 10:04:01:589,164153,0.3,163377,0.5,327870,0.2,437265,1.75 140,1,2024-09-07 10:04:01:542,1188850,1188850,0,0,557334320947,5752234453,1182473,5640,737,364,391628,0 140,2,2024-09-07 10:04:00:687,855172,855171,1,0,33608022,0,5036 140,3,2024-09-07 10:04:00:769,1,674,1,0,575,6898,674,0 141,0,2024-09-07 10:04:01:699,169190,0.3,173898,0.5,332337,0.3,449829,1.75 141,1,2024-09-07 10:04:00:862,1186017,1186017,0,0,557476753384,5796713213,1175769,8856,1392,379,391614,0 141,2,2024-09-07 10:04:01:687,853565,853554,11,0,35752412,0,5369 141,3,2024-09-07 10:04:01:043,1,674,7,0,391,8063,674,0 142,0,2024-09-07 10:04:01:318,172327,0.3,171557,0.5,343537,0.3,457758,1.75 142,1,2024-09-07 10:04:00:591,1183606,1183606,0,0,556332880725,5806414252,1174801,7913,892,382,392102,0 142,2,2024-09-07 10:04:01:300,848141,848109,32,0,35466283,0,6028 142,3,2024-09-07 10:04:01:752,1,674,7,0,484,6933,674,0 143,0,2024-09-07 10:04:01:383,171273,0.4,171028,0.5,343267,0.4,456077,1.75 143,1,2024-09-07 10:04:00:556,1186745,1186745,0,0,556905174844,5781794150,1178624,7162,959,367,391900,0 143,2,2024-09-07 10:04:00:776,855400,855400,0,0,35473356,0,3123 143,3,2024-09-07 10:04:01:151,1,674,24,0,462,7943,674,0 144,0,2024-09-07 10:04:01:492,160074,0.6,164715,0.7,335202,0.5,438297,2.00 144,1,2024-09-07 10:04:00:582,1180623,1180623,0,0,554000730634,5814430948,1168891,9691,2041,381,391733,0 144,2,2024-09-07 10:04:01:768,855517,855517,0,0,35258399,0,4443 144,3,2024-09-07 10:04:01:751,1,674,3,0,306,7261,674,0 145,0,2024-09-07 10:04:01:377,161967,0.6,161882,0.8,343753,0.6,442735,2.25 145,1,2024-09-07 10:04:00:558,1179789,1179789,0,0,554507101884,5838518869,1163603,13220,2966,382,391781,0 145,2,2024-09-07 10:04:01:432,850865,850783,82,0,41197485,0,7814 145,3,2024-09-07 10:04:00:895,1,674,1,0,622,9069,674,0 146,0,2024-09-07 10:04:01:645,171686,0.7,170810,0.8,343343,0.7,456119,2.25 146,1,2024-09-07 10:04:01:614,1181751,1181751,0,0,554283977210,5832731483,1162631,14196,4924,367,391829,0 146,2,2024-09-07 10:04:01:699,848917,848911,6,0,39377526,0,5151 146,3,2024-09-07 10:04:01:278,1,674,1,0,1520,10860,674,0 147,0,2024-09-07 10:04:01:721,172908,0.6,172639,0.7,345129,0.6,460502,2.25 147,1,2024-09-07 10:04:01:374,1185093,1185093,0,0,556803262566,5790264533,1175154,8841,1098,367,391791,0 147,2,2024-09-07 10:04:01:013,850856,850856,0,0,36054312,0,3359 147,3,2024-09-07 10:04:00:918,1,674,14,0,1626,10299,674,0 0,0,2024-09-07 10:04:11:733,166522,0.7,166419,0.8,353349,0.8,456887,2.00 0,1,2024-09-07 10:04:10:818,1184305,1184305,0,0,555730427651,5818792203,1174645,8698,962,368,391896,0 0,2,2024-09-07 10:04:11:083,854299,854299,0,0,34887927,0,4480 0,3,2024-09-07 10:04:10:976,1,675,11,0,538,9816,675,0 1,0,2024-09-07 10:04:11:768,172046,0.8,171076,0.9,343340,1.0,459451,2.00 1,1,2024-09-07 10:04:10:557,1183111,1183111,0,0,555244671076,5818968305,1171747,9399,1965,370,391859,0 1,2,2024-09-07 10:04:10:642,851963,851963,0,0,34136342,0,3380 1,3,2024-09-07 10:04:11:309,1,675,14,0,269,8085,675,0 2,0,2024-09-07 10:04:11:570,168590,0.6,168316,0.7,336310,0.6,448428,2.00 2,1,2024-09-07 10:04:10:863,1187195,1187195,0,0,557477677619,5797869047,1180280,5954,961,379,391805,0 2,2,2024-09-07 10:04:11:274,855685,855685,0,0,33123898,0,3594 2,3,2024-09-07 10:04:10:691,1,675,1,0,357,6075,675,0 3,0,2024-09-07 10:04:11:749,164677,0.4,164650,0.6,328902,0.4,438382,2.00 3,1,2024-09-07 10:04:11:626,1185240,1185240,0,0,556102772398,5785756788,1176736,7786,718,379,391716,0 3,2,2024-09-07 10:04:11:142,856654,856631,23,0,34063086,0,5851 3,3,2024-09-07 10:04:11:757,1,675,8,0,484,5186,675,0 4,0,2024-09-07 10:04:11:787,164736,0.4,169282,0.5,345227,0.4,451629,1.75 4,1,2024-09-07 10:04:10:591,1179785,1179785,0,0,554096317970,5863820401,1159913,15271,4601,369,391992,0 4,2,2024-09-07 10:04:11:027,851884,851884,0,0,41514701,0,4534 4,3,2024-09-07 10:04:11:030,1,675,1,0,448,9015,675,0 5,0,2024-09-07 10:04:11:399,171044,0.4,170740,0.6,341957,0.4,455352,1.75 5,1,2024-09-07 10:04:10:764,1181477,1181477,0,0,554291949089,5850127220,1163838,13404,4235,367,392005,0 5,2,2024-09-07 10:04:11:841,846163,846130,33,0,41648020,0,7631 5,3,2024-09-07 10:04:11:741,1,675,2,0,457,8985,675,0 6,0,2024-09-07 10:04:10:927,170519,0.5,170089,0.6,340416,0.4,453166,2.00 6,1,2024-09-07 10:04:10:749,1184150,1184150,0,0,556210806023,5809105724,1171989,10476,1685,379,391702,0 6,2,2024-09-07 10:04:11:140,855322,855304,18,0,38868772,0,5535 6,3,2024-09-07 10:04:11:275,1,675,0,0,710,7925,675,0 7,0,2024-09-07 10:04:11:544,163140,0.5,164229,0.7,326174,0.5,434721,2.00 7,1,2024-09-07 10:04:10:853,1182038,1182038,0,0,555169129032,5843738060,1164207,13694,4137,382,391747,0 7,2,2024-09-07 10:04:10:779,854721,854552,169,0,39695947,0,7706 7,3,2024-09-07 10:04:10:865,1,675,8,0,552,7947,675,0 8,0,2024-09-07 10:04:11:340,168656,0.4,168257,0.5,336884,0.4,449640,1.75 8,1,2024-09-07 10:04:11:018,1180801,1180801,0,0,555622475086,5845305773,1161952,14805,4044,366,392853,0 8,2,2024-09-07 10:04:10:791,848493,848491,2,0,44510448,0,5112 8,3,2024-09-07 10:04:10:587,1,675,15,0,772,10219,675,0 9,0,2024-09-07 10:04:11:125,172141,0.4,167102,0.5,349803,0.4,458864,1.75 9,1,2024-09-07 10:04:10:554,1180375,1180375,0,0,554533105273,5850793822,1160721,15305,4349,369,392001,0 9,2,2024-09-07 10:04:11:090,848503,848502,1,0,42492885,0,5281 9,3,2024-09-07 10:04:11:761,1,675,10,0,1273,10998,675,0 10,0,2024-09-07 10:04:11:607,172036,0.4,171540,0.5,343936,0.3,457521,1.75 10,1,2024-09-07 10:04:10:587,1182343,1182343,0,0,554924430149,5826899878,1164296,14313,3734,381,391981,0 10,2,2024-09-07 10:04:10:764,853904,853904,0,0,45607363,0,4713 10,3,2024-09-07 10:04:10:873,1,675,2,0,669,7312,675,0 11,0,2024-09-07 10:04:11:043,165817,0.4,160956,0.6,336939,0.4,443401,1.75 11,1,2024-09-07 10:04:10:575,1184851,1184851,0,0,555266693134,5824976601,1167967,12574,4310,383,391766,0 11,2,2024-09-07 10:04:11:134,854188,854188,0,0,39407276,0,4698 11,3,2024-09-07 10:04:11:304,1,675,13,0,843,8365,675,0 12,0,2024-09-07 10:04:11:017,168490,0.4,168243,0.6,336182,0.4,447423,1.75 12,1,2024-09-07 10:04:10:952,1184001,1184001,0,0,555727350944,5800663204,1174159,8816,1026,370,391960,0 12,2,2024-09-07 10:04:11:541,851584,851584,0,0,39093126,0,4390 12,3,2024-09-07 10:04:11:073,1,675,4,0,386,8454,675,0 13,0,2024-09-07 10:04:11:365,173244,0.4,173431,0.6,346207,0.4,460712,1.75 13,1,2024-09-07 10:04:11:537,1181186,1181186,0,0,554599741235,5839735163,1167268,10867,3051,382,391803,0 13,2,2024-09-07 10:04:10:602,854227,854227,0,0,35496135,0,3287 13,3,2024-09-07 10:04:11:769,1,675,4,0,522,9164,675,0 14,0,2024-09-07 10:04:10:560,172785,0.4,173883,0.6,345809,0.4,460291,1.75 14,1,2024-09-07 10:04:11:567,1189683,1189683,0,0,558513520299,5780403078,1180432,8101,1150,364,391673,0 14,2,2024-09-07 10:04:10:764,852340,852310,30,0,36564957,0,6104 14,3,2024-09-07 10:04:11:141,1,675,4,0,1168,7099,675,0 15,0,2024-09-07 10:04:11:552,167300,0.4,166511,0.6,333195,0.3,444580,1.75 15,1,2024-09-07 10:04:11:608,1185996,1185996,0,0,557389979996,5811387986,1175187,8715,2094,381,391619,0 15,2,2024-09-07 10:04:11:004,856535,856535,0,0,32581278,0,3622 15,3,2024-09-07 10:04:11:414,1,675,10,0,1126,8556,675,0 16,0,2024-09-07 10:04:10:974,165520,0.6,166373,0.8,331763,0.6,441974,2.00 16,1,2024-09-07 10:04:10:562,1185596,1185596,0,0,556357087960,5816830601,1174966,9086,1544,370,392194,0 16,2,2024-09-07 10:04:11:447,854053,854053,0,0,35661416,0,4719 16,3,2024-09-07 10:04:11:151,1,675,1,0,362,8470,675,0 17,0,2024-09-07 10:04:11:780,175742,0.6,171428,0.8,335528,0.7,456263,2.00 17,1,2024-09-07 10:04:10:575,1183971,1183971,0,0,555645397998,5825880639,1172915,9262,1794,368,392075,0 17,2,2024-09-07 10:04:11:667,857128,857127,1,0,36893333,0,5050 17,3,2024-09-07 10:04:10:579,1,675,1,0,518,9759,675,0 18,0,2024-09-07 10:04:10:944,169681,0.6,170804,0.8,339787,0.7,454232,2.25 18,1,2024-09-07 10:04:11:639,1188629,1188629,0,0,557494427934,5784560154,1180473,6726,1430,367,391725,0 18,2,2024-09-07 10:04:11:757,852423,852423,0,0,33167012,0,3541 18,3,2024-09-07 10:04:10:904,1,675,18,0,1059,6264,675,0 19,0,2024-09-07 10:04:11:542,168809,0.6,169387,0.8,337584,0.7,448465,2.00 19,1,2024-09-07 10:04:10:574,1187436,1187436,0,0,557746739537,5780972864,1178593,7683,1160,365,391777,0 19,2,2024-09-07 10:04:11:752,858051,858051,0,0,30942862,0,3988 19,3,2024-09-07 10:04:11:137,1,675,7,0,524,5424,675,0 20,0,2024-09-07 10:04:11:418,163983,0.5,163875,0.7,327464,0.5,437215,2.00 20,1,2024-09-07 10:04:10:585,1183221,1183221,0,0,555917420028,5828437575,1170970,10547,1704,369,391922,0 20,2,2024-09-07 10:04:10:930,855475,855475,0,0,39490020,0,4321 20,3,2024-09-07 10:04:10:600,1,675,9,0,468,11512,675,0 21,0,2024-09-07 10:04:11:179,169074,0.5,169272,0.7,338358,0.5,449699,2.00 21,1,2024-09-07 10:04:11:537,1181285,1181285,0,0,553291794471,5842256394,1162540,14756,3989,368,392016,0 21,2,2024-09-07 10:04:11:077,848203,847726,477,0,50632155,0,17074 21,3,2024-09-07 10:04:11:408,1,675,2,0,713,9006,675,0 22,0,2024-09-07 10:04:11:716,171302,0.5,171996,0.7,343559,0.4,455689,2.25 22,1,2024-09-07 10:04:11:054,1182102,1182102,0,0,555174833456,5842065180,1163066,15433,3603,381,391822,0 22,2,2024-09-07 10:04:10:768,848465,848439,26,0,37558346,0,6328 22,3,2024-09-07 10:04:11:072,1,675,10,0,228,5610,675,0 23,0,2024-09-07 10:04:11:383,170874,0.5,170703,0.7,341368,0.4,454867,2.00 23,1,2024-09-07 10:04:11:007,1183959,1183959,0,0,555863233634,5854569733,1162716,14420,6823,365,391690,0 23,2,2024-09-07 10:04:11:092,855904,855904,0,0,35734137,0,3773 23,3,2024-09-07 10:04:11:757,1,675,12,0,855,9644,675,0 24,0,2024-09-07 10:04:10:847,166122,0.4,165077,0.6,331898,0.3,440450,1.75 24,1,2024-09-07 10:04:10:588,1182436,1182436,0,0,555328457690,5814923175,1170857,9706,1873,367,392269,0 24,2,2024-09-07 10:04:11:073,855083,855080,3,0,42197565,0,6294 24,3,2024-09-07 10:04:11:701,1,675,51,0,468,8933,675,0 25,0,2024-09-07 10:04:11:380,172264,0.4,167367,0.6,329621,0.4,448958,2.00 25,1,2024-09-07 10:04:10:573,1181159,1181159,0,0,554456007263,5859364044,1160555,16619,3985,369,391928,0 25,2,2024-09-07 10:04:11:606,851280,851280,0,0,43692674,0,3978 25,3,2024-09-07 10:04:11:013,1,675,3,0,532,7459,675,0 26,0,2024-09-07 10:04:11:727,171195,0.5,167283,0.6,350990,0.4,457690,2.00 26,1,2024-09-07 10:04:11:548,1184449,1184449,0,0,555589478301,5818036803,1168746,12800,2903,380,391758,0 26,2,2024-09-07 10:04:10:863,850794,850794,0,0,45173833,0,4689 26,3,2024-09-07 10:04:11:714,1,675,220,0,796,8547,675,0 27,0,2024-09-07 10:04:11:722,172904,0.4,173636,0.6,345127,0.4,460596,2.25 27,1,2024-09-07 10:04:11:677,1185872,1185872,0,0,557293291639,5808947440,1174592,9659,1621,381,391626,0 27,2,2024-09-07 10:04:10:867,849574,849509,65,0,39973165,0,5699 27,3,2024-09-07 10:04:11:031,1,675,2,0,564,5866,675,0 28,0,2024-09-07 10:04:11:387,166806,0.4,167071,0.6,334083,0.3,444853,1.75 28,1,2024-09-07 10:04:10:799,1186564,1186564,0,0,557263286089,5817438673,1175257,8889,2418,382,391904,0 28,2,2024-09-07 10:04:11:764,855189,855189,0,0,36282283,0,3674 28,3,2024-09-07 10:04:11:776,1,675,1,0,502,7000,675,0 29,0,2024-09-07 10:04:11:362,171346,0.4,166789,0.6,327345,0.4,446404,1.75 29,1,2024-09-07 10:04:11:564,1189385,1189385,0,0,558739410026,5783734055,1181588,6777,1020,367,391809,0 29,2,2024-09-07 10:04:10:866,853674,853674,0,0,34319720,0,4986 29,3,2024-09-07 10:04:10:963,1,675,590,0,590,7608,675,0 30,0,2024-09-07 10:04:11:458,170340,0.5,165896,0.7,347341,0.5,454391,2.00 30,1,2024-09-07 10:04:10:575,1188293,1188293,0,0,558257682582,5798150451,1178761,8404,1128,380,391672,0 30,2,2024-09-07 10:04:11:292,854564,854564,0,0,33711176,0,4192 30,3,2024-09-07 10:04:10:588,1,675,5,0,519,7180,675,0 31,0,2024-09-07 10:04:11:757,171805,0.5,172580,0.7,344258,0.4,459606,2.00 31,1,2024-09-07 10:04:10:563,1192360,1192360,0,0,558806204310,5741745796,1184746,6373,1241,356,391712,0 31,2,2024-09-07 10:04:11:292,850844,850844,0,0,36784638,0,4470 31,3,2024-09-07 10:04:11:707,1,675,12,0,239,5831,675,0 32,0,2024-09-07 10:04:11:423,168742,0.3,169820,0.5,338113,0.2,449850,1.75 32,1,2024-09-07 10:04:10:809,1187920,1187920,0,0,557871851508,5800420819,1180434,6521,965,381,391646,0 32,2,2024-09-07 10:04:10:937,857296,857296,0,0,32159903,0,3922 32,3,2024-09-07 10:04:11:016,1,675,1,0,304,5801,675,0 33,0,2024-09-07 10:04:11:498,165519,0.3,165066,0.4,330024,0.2,439716,1.50 33,1,2024-09-07 10:04:10:575,1189502,1189502,0,0,558189224563,5781191733,1180160,7991,1351,368,391730,0 33,2,2024-09-07 10:04:10:766,855856,855821,35,0,35911644,0,7012 33,3,2024-09-07 10:04:10:904,1,675,157,0,329,6370,675,0 34,0,2024-09-07 10:04:10:934,170086,0.3,174693,0.5,334154,0.2,451640,1.75 34,1,2024-09-07 10:04:11:053,1191641,1191641,0,0,559726914124,5760694601,1187643,3789,209,367,391637,0 34,2,2024-09-07 10:04:11:006,855331,855331,0,0,33528401,0,4562 34,3,2024-09-07 10:04:11:695,1,675,30,0,541,5967,675,0 35,0,2024-09-07 10:04:10:876,170383,0.3,170908,0.5,342969,0.3,456306,1.75 35,1,2024-09-07 10:04:11:067,1187320,1187320,0,0,557340911114,5784509685,1178385,7383,1552,382,391769,0 35,2,2024-09-07 10:04:11:587,850222,850222,0,0,38532981,0,4055 35,3,2024-09-07 10:04:10:907,1,675,13,0,466,6521,675,0 36,0,2024-09-07 10:04:11:534,171058,0.5,171196,0.6,342080,0.4,455001,2.00 36,1,2024-09-07 10:04:10:588,1185854,1185854,0,0,556807965096,5815411459,1171081,12419,2354,366,391759,0 36,2,2024-09-07 10:04:11:764,855295,855295,0,0,38915766,0,3875 36,3,2024-09-07 10:04:10:863,1,675,1,0,556,8737,675,0 37,0,2024-09-07 10:04:11:372,163105,0.5,163147,0.7,326584,0.5,435329,2.25 37,1,2024-09-07 10:04:10:575,1185144,1185137,0,7,556182924724,5809764309,1170962,11062,3113,365,391770,0 37,2,2024-09-07 10:04:11:151,853891,853876,15,0,39059590,0,5815 37,3,2024-09-07 10:04:11:766,1,675,1,0,888,9158,675,0 38,0,2024-09-07 10:04:11:438,167501,0.5,162370,0.7,339621,0.4,445738,2.00 38,1,2024-09-07 10:04:11:605,1185441,1185441,0,0,557101526766,5826039233,1168613,13524,3304,368,391821,0 38,2,2024-09-07 10:04:10:782,853961,853914,47,0,39093894,0,6710 38,3,2024-09-07 10:04:10:997,1,675,2,0,689,7860,675,0 39,0,2024-09-07 10:04:11:765,175350,0.6,171330,0.7,334561,0.5,456160,2.00 39,1,2024-09-07 10:04:10:717,1184280,1184280,0,0,555398006093,5825118146,1164674,15373,4233,365,391865,0 39,2,2024-09-07 10:04:11:421,850012,850012,0,0,37195417,0,3478 39,3,2024-09-07 10:04:10:716,1,675,8,0,525,7596,675,0 40,0,2024-09-07 10:04:11:491,170501,0.8,171202,1.0,341813,0.9,455989,2.75 40,1,2024-09-07 10:04:10:577,1184318,1184318,0,0,555223815623,5821425325,1165884,14852,3582,366,391668,0 40,2,2024-09-07 10:04:11:304,851580,851573,7,0,43198539,0,5347 40,3,2024-09-07 10:04:11:143,1,675,1,0,1028,9730,675,0 41,0,2024-09-07 10:04:11:039,165137,1.2,168989,1.1,322276,1.6,437554,3.00 41,1,2024-09-07 10:04:10:777,1183645,1183645,0,0,556284357185,5820673853,1167944,13176,2525,369,391878,0 41,2,2024-09-07 10:04:10:763,851707,851706,1,0,42083240,0,5408 41,3,2024-09-07 10:04:11:676,1,675,749,0,749,8370,675,0 42,0,2024-09-07 10:04:11:481,166039,1.0,165875,1.1,331979,1.2,440705,2.75 42,1,2024-09-07 10:04:11:446,1182021,1182021,0,0,555124169114,5830135278,1164422,14515,3084,380,391675,0 42,2,2024-09-07 10:04:11:144,850299,850298,1,0,43354832,0,5513 42,3,2024-09-07 10:04:11:015,1,675,1,0,892,6917,675,0 43,0,2024-09-07 10:04:10:933,169998,0.8,165420,1.0,346675,0.9,453291,2.25 43,1,2024-09-07 10:04:10:576,1184900,1184900,0,0,557448642785,5825322489,1168797,13356,2747,366,391696,0 43,2,2024-09-07 10:04:11:736,853353,853353,0,0,39817807,0,4723 43,3,2024-09-07 10:04:11:756,1,675,2,0,571,9254,675,0 44,0,2024-09-07 10:04:11:087,173010,0.4,173310,0.6,345868,0.4,461289,1.75 44,1,2024-09-07 10:04:10:563,1187843,1187843,0,0,557679480316,5769532311,1176720,9023,2100,356,391809,0 44,2,2024-09-07 10:04:11:267,850752,850752,0,0,33030831,0,4344 44,3,2024-09-07 10:04:11:093,1,675,1,0,1097,8383,675,0 45,0,2024-09-07 10:04:11:770,165472,0.5,161669,0.7,339275,0.4,444601,2.00 45,1,2024-09-07 10:04:11:019,1187467,1187467,0,0,557993631886,5801950570,1178002,8244,1221,382,391917,0 45,2,2024-09-07 10:04:11:292,855514,855514,0,0,33321931,0,3596 45,3,2024-09-07 10:04:10:948,1,675,8,0,531,6390,675,0 46,0,2024-09-07 10:04:10:982,164867,0.5,164422,0.7,329718,0.5,439039,2.00 46,1,2024-09-07 10:04:10:576,1188864,1188864,0,0,558462679723,5777040450,1180256,7500,1108,366,391709,0 46,2,2024-09-07 10:04:10:592,855626,855626,0,0,34257291,0,4443 46,3,2024-09-07 10:04:11:142,1,675,2,0,908,7574,675,0 47,0,2024-09-07 10:04:11:108,171177,0.4,170749,0.6,342878,0.4,454528,2.00 47,1,2024-09-07 10:04:10:566,1190902,1190902,0,0,558432356675,5773395738,1183466,6423,1013,364,391666,0 47,2,2024-09-07 10:04:10:908,857134,857134,0,0,34726167,0,4477 47,3,2024-09-07 10:04:11:123,1,675,11,0,600,7387,675,0 48,0,2024-09-07 10:04:11:497,171670,0.3,172233,0.4,343225,0.2,457187,1.50 48,1,2024-09-07 10:04:11:022,1188033,1188033,0,0,557233459424,5790785136,1180443,6578,1012,381,391710,0 48,2,2024-09-07 10:04:10:706,850894,850894,0,0,31360960,0,3619 48,3,2024-09-07 10:04:10:779,1,675,6,0,339,5919,675,0 49,0,2024-09-07 10:04:11:710,174396,0.3,170759,0.5,332172,0.3,453079,1.75 49,1,2024-09-07 10:04:11:020,1186950,1186950,0,0,557054443050,5794091068,1179086,6166,1698,382,391809,0 49,2,2024-09-07 10:04:11:796,856824,856824,0,0,33052579,0,4426 49,3,2024-09-07 10:04:11:417,1,675,0,0,992,7950,675,0 50,0,2024-09-07 10:04:11:522,164522,0.3,163118,0.5,328421,0.2,437045,1.75 50,1,2024-09-07 10:04:11:010,1190164,1190164,0,0,558590267042,5775446794,1182690,6742,732,368,391691,0 50,2,2024-09-07 10:04:11:076,854930,854930,0,0,30942840,0,4490 50,3,2024-09-07 10:04:11:297,1,675,317,0,617,7096,675,0 51,0,2024-09-07 10:04:11:684,173767,0.3,170051,0.5,331183,0.2,452065,1.75 51,1,2024-09-07 10:04:11:683,1190432,1190432,0,0,560058272537,5776152120,1183741,5347,1344,365,391706,0 51,2,2024-09-07 10:04:11:318,854767,854767,0,0,30609645,0,3337 51,3,2024-09-07 10:04:11:029,1,675,8,0,678,5425,675,0 52,0,2024-09-07 10:04:11:422,171461,0.5,171500,0.7,342552,0.4,455483,2.00 52,1,2024-09-07 10:04:10:574,1185054,1185054,0,0,556057145158,5820894042,1168311,14316,2427,368,391805,0 52,2,2024-09-07 10:04:11:757,846065,846027,38,0,41915005,0,6742 52,3,2024-09-07 10:04:10:677,1,675,0,0,1782,7891,675,0 53,0,2024-09-07 10:04:11:733,170672,0.6,165619,0.8,346476,0.7,453406,2.25 53,1,2024-09-07 10:04:10:773,1183440,1183440,0,0,556276979027,5833746457,1164291,14736,4413,367,391968,0 53,2,2024-09-07 10:04:11:297,855360,855222,138,0,38480660,0,7690 53,3,2024-09-07 10:04:10:699,1,675,10,0,308,6415,675,0 54,0,2024-09-07 10:04:11:614,163208,0.6,163569,0.7,325886,0.5,434522,2.25 54,1,2024-09-07 10:04:10:580,1186552,1186552,0,0,558076297373,5803212567,1174779,10099,1674,366,391810,0 54,2,2024-09-07 10:04:10:865,855657,855625,32,0,41737689,0,6397 54,3,2024-09-07 10:04:10:763,1,675,2,0,676,8786,675,0 55,0,2024-09-07 10:04:11:763,161870,0.7,166875,0.8,338510,0.7,441278,2.50 55,1,2024-09-07 10:04:10:778,1187233,1187233,0,0,557035160564,5793610535,1175215,10532,1486,365,391731,0 55,2,2024-09-07 10:04:10:729,852475,852419,56,0,38215328,0,7239 55,3,2024-09-07 10:04:10:676,1,675,0,0,304,6249,675,0 56,0,2024-09-07 10:04:11:593,174981,1.3,164887,1.2,339865,1.7,454833,2.75 56,1,2024-09-07 10:04:10:576,1179957,1179957,0,0,554590638622,5865041790,1160549,15654,3754,381,391867,0 56,2,2024-09-07 10:04:11:310,849876,849754,122,0,40521196,0,7432 56,3,2024-09-07 10:04:11:059,1,675,11,0,705,8129,675,0 57,0,2024-09-07 10:04:10:946,171025,1.4,171011,1.2,341686,1.9,456716,3.00 57,1,2024-09-07 10:04:10:997,1182841,1182841,0,0,554969364037,5823308514,1167282,12868,2691,366,392032,0 57,2,2024-09-07 10:04:11:316,852181,852181,0,0,43590802,0,4804 57,3,2024-09-07 10:04:11:748,1,675,2,0,455,7352,675,0 58,0,2024-09-07 10:04:10:560,164317,0.9,159554,1.0,334060,1.1,437283,2.50 58,1,2024-09-07 10:04:10:577,1183753,1183750,0,3,556156238779,5834291382,1165395,13954,4401,367,391726,3 58,2,2024-09-07 10:04:11:072,855265,855265,0,0,39693393,0,3483 58,3,2024-09-07 10:04:11:075,1,675,1,0,1043,7424,675,0 59,0,2024-09-07 10:04:11:747,165326,0.9,164666,1.0,329300,1.0,437210,3.00 59,1,2024-09-07 10:04:10:807,1183459,1183459,0,0,555488522904,5837578170,1164002,15619,3838,369,391653,0 59,2,2024-09-07 10:04:10:585,853614,853614,0,0,37784858,0,3727 59,3,2024-09-07 10:04:11:741,1,675,11,0,1015,7992,675,0 60,0,2024-09-07 10:04:11:726,171259,0.5,171446,0.7,342522,0.5,457324,2.00 60,1,2024-09-07 10:04:10:790,1186961,1186961,0,0,557515253295,5807535931,1176188,9307,1466,370,392031,0 60,2,2024-09-07 10:04:11:151,854661,854661,0,0,36114004,0,3811 60,3,2024-09-07 10:04:11:267,1,675,1,0,409,7761,675,0 61,0,2024-09-07 10:04:11:504,171948,0.6,172702,0.8,343870,0.6,458998,2.00 61,1,2024-09-07 10:04:10:786,1184527,1184527,0,0,556053451844,5823984235,1171928,10753,1846,382,392127,0 61,2,2024-09-07 10:04:11:132,851611,851544,67,0,36786942,0,6411 61,3,2024-09-07 10:04:11:695,1,675,41,0,607,8485,675,0 62,0,2024-09-07 10:04:11:719,169269,0.5,173582,0.7,331412,0.5,449765,2.00 62,1,2024-09-07 10:04:11:126,1192047,1192041,0,6,559183909887,5771884930,1185266,6300,475,365,391975,6 62,2,2024-09-07 10:04:11:658,852974,852973,1,0,36492988,0,5555 62,3,2024-09-07 10:04:11:151,1,675,2,0,482,5379,675,0 63,0,2024-09-07 10:04:11:455,165412,0.4,165046,0.6,330915,0.3,439767,1.75 63,1,2024-09-07 10:04:10:807,1188608,1188602,0,6,558221541102,5799401484,1180934,6740,928,381,391800,6 63,2,2024-09-07 10:04:10:764,854731,854731,0,0,35633663,0,4369 63,3,2024-09-07 10:04:11:738,1,675,233,0,667,8020,675,0 64,0,2024-09-07 10:04:11:526,169055,0.5,168924,0.7,337478,0.5,449949,2.00 64,1,2024-09-07 10:04:10:765,1186960,1186960,0,0,557616211118,5806578370,1176761,8173,2026,370,391794,0 64,2,2024-09-07 10:04:11:160,857633,857614,19,0,34525362,0,6121 64,3,2024-09-07 10:04:11:142,1,675,54,0,651,7684,675,0 65,0,2024-09-07 10:04:11:708,169597,0.6,169908,0.7,339221,0.6,452531,2.00 65,1,2024-09-07 10:04:10:869,1185060,1185060,0,0,556083606135,5811670569,1176886,7276,898,381,391901,0 65,2,2024-09-07 10:04:11:696,848400,848400,0,0,40682347,0,3367 65,3,2024-09-07 10:04:11:696,1,675,5,0,782,8023,675,0 66,0,2024-09-07 10:04:11:764,170277,0.5,169641,0.7,339549,0.5,451588,2.00 66,1,2024-09-07 10:04:11:305,1186883,1186883,0,0,556715586007,5794676376,1179414,6596,873,380,391743,0 66,2,2024-09-07 10:04:11:143,858062,858059,3,0,35936280,0,5455 66,3,2024-09-07 10:04:11:088,1,675,59,0,291,6107,675,0 67,0,2024-09-07 10:04:11:423,163858,0.5,163472,0.7,327589,0.5,435915,2.00 67,1,2024-09-07 10:04:10:766,1187124,1187123,0,1,556649823387,5797218991,1178692,7291,1140,380,391787,1 67,2,2024-09-07 10:04:10:587,858756,858741,15,0,36250488,0,6205 67,3,2024-09-07 10:04:11:757,1,675,1,0,595,7228,675,0 68,0,2024-09-07 10:04:10:581,168334,0.6,168068,0.8,334892,0.6,448635,2.25 68,1,2024-09-07 10:04:10:580,1182486,1182486,0,0,554935988274,5841162675,1165764,12451,4271,381,391953,0 68,2,2024-09-07 10:04:11:053,849501,849401,100,0,44670148,0,8578 68,3,2024-09-07 10:04:10:778,1,675,1,0,417,9594,675,0 69,0,2024-09-07 10:04:11:725,171263,0.7,171758,0.8,342715,0.8,456168,2.25 69,1,2024-09-07 10:04:11:064,1181872,1181872,0,0,554385848792,5841777540,1167016,11875,2981,383,391994,0 69,2,2024-09-07 10:04:11:733,847093,847064,29,0,47441185,0,6912 69,3,2024-09-07 10:04:10:789,1,675,4,0,698,10304,675,0 70,0,2024-09-07 10:04:11:542,170230,0.7,170970,0.9,342853,0.6,454534,2.50 70,1,2024-09-07 10:04:10:805,1188074,1188074,0,0,558321414168,5796740595,1178629,8400,1045,366,391725,0 70,2,2024-09-07 10:04:11:324,854445,854445,0,0,39111338,0,4323 70,3,2024-09-07 10:04:10:745,1,675,6,0,854,7603,675,0 71,0,2024-09-07 10:04:11:356,165100,0.8,164634,1.0,330268,1.0,440146,2.50 71,1,2024-09-07 10:04:11:598,1186238,1186238,0,0,556207695061,5805911691,1171810,12642,1786,367,391738,0 71,2,2024-09-07 10:04:11:072,854119,854119,0,0,39541506,0,4352 71,3,2024-09-07 10:04:11:750,1,675,8,0,644,7554,675,0 72,0,2024-09-07 10:04:11:058,172659,0.6,168528,0.7,329279,0.5,448079,2.00 72,1,2024-09-07 10:04:11:033,1184316,1184316,0,0,555817187592,5829688268,1167513,14070,2733,369,391819,0 72,2,2024-09-07 10:04:11:758,851379,851379,0,0,41248223,0,3983 72,3,2024-09-07 10:04:11:757,1,675,1,0,564,9440,675,0 73,0,2024-09-07 10:04:11:108,168128,0.5,172322,0.7,352020,0.4,458362,2.00 73,1,2024-09-07 10:04:10:768,1186093,1186093,0,0,556776663589,5790052371,1176577,8455,1061,367,391858,0 73,2,2024-09-07 10:04:11:751,852091,852090,1,0,43421892,0,5027 73,3,2024-09-07 10:04:10:974,1,675,5,0,1091,9240,675,0 74,0,2024-09-07 10:04:11:351,173840,0.5,178055,0.7,339826,0.4,461616,2.00 74,1,2024-09-07 10:04:10:635,1184605,1184605,0,0,555749424246,5800775314,1172248,10209,2148,381,391762,0 74,2,2024-09-07 10:04:11:021,850816,850816,0,0,37519012,0,4253 74,3,2024-09-07 10:04:11:448,1,675,2,0,522,7872,675,0 75,0,2024-09-07 10:04:11:766,167401,0.5,166416,0.7,334041,0.5,445886,2.25 75,1,2024-09-07 10:04:11:587,1186196,1186196,0,0,556395754701,5799754034,1176320,8884,992,380,391739,0 75,2,2024-09-07 10:04:11:350,853393,853393,0,0,44654021,0,4766 75,3,2024-09-07 10:04:11:067,1,675,8,0,918,9426,675,0 76,0,2024-09-07 10:04:10:594,165165,0.6,164566,0.8,328815,0.5,440433,2.25 76,1,2024-09-07 10:04:10:806,1186086,1186086,0,0,556616959355,5808987681,1177251,7526,1309,382,391790,0 76,2,2024-09-07 10:04:11:076,856899,856896,3,0,37410726,0,5265 76,3,2024-09-07 10:04:11:144,1,675,0,0,249,6274,675,0 77,0,2024-09-07 10:04:11:717,169994,0.6,170456,0.7,341181,0.5,453631,2.00 77,1,2024-09-07 10:04:10:886,1185141,1185141,0,0,556262365993,5811459445,1174915,9139,1087,381,391869,0 77,2,2024-09-07 10:04:11:290,853646,853646,0,0,36332023,0,3890 77,3,2024-09-07 10:04:11:102,1,675,12,0,401,7777,675,0 78,0,2024-09-07 10:04:11:720,172002,0.4,171303,0.6,343797,0.4,455277,2.00 78,1,2024-09-07 10:04:10:610,1184885,1184885,0,0,556189170172,5806876243,1169810,11838,3237,367,391670,0 78,2,2024-09-07 10:04:11:415,851347,851334,13,0,33759485,0,8313 78,3,2024-09-07 10:04:11:142,1,675,1,0,311,5795,675,0 79,0,2024-09-07 10:04:11:344,163837,0.4,167895,0.6,343796,0.3,447567,2.00 79,1,2024-09-07 10:04:10:572,1189203,1189203,0,0,557908640198,5781519633,1179394,8008,1801,367,391682,0 79,2,2024-09-07 10:04:11:069,856259,856259,0,0,32812148,0,4195 79,3,2024-09-07 10:04:10:778,1,675,5,0,418,7630,675,0 80,0,2024-09-07 10:04:11:097,164135,0.5,168539,0.7,321901,0.5,436109,2.00 80,1,2024-09-07 10:04:11:628,1185621,1185621,0,0,556947929515,5801004726,1177647,7393,581,368,392269,0 80,2,2024-09-07 10:04:11:094,858329,858329,0,0,33486815,0,4433 80,3,2024-09-07 10:04:10:581,1,675,6,0,681,8278,675,0 81,0,2024-09-07 10:04:11:545,169085,0.6,173215,0.7,330329,0.6,448776,2.00 81,1,2024-09-07 10:04:11:660,1184973,1184973,0,0,556165813668,5813871364,1175381,8619,973,382,392001,0 81,2,2024-09-07 10:04:11:129,853847,853784,63,0,37150749,0,5932 81,3,2024-09-07 10:04:11:120,1,675,28,0,719,7393,675,0 82,0,2024-09-07 10:04:11:539,171511,0.5,171638,0.7,343729,0.5,456062,2.00 82,1,2024-09-07 10:04:10:603,1186873,1186869,0,4,557475184961,5800635109,1179952,5794,1123,381,391768,4 82,2,2024-09-07 10:04:11:693,852223,852223,0,0,30841352,0,4484 82,3,2024-09-07 10:04:11:757,1,675,0,0,363,6117,675,0 83,0,2024-09-07 10:04:11:522,171262,0.6,171140,0.7,342038,0.5,454053,2.25 83,1,2024-09-07 10:04:10:557,1185837,1185837,0,0,556891251027,5807109836,1176911,7901,1025,382,391709,0 83,2,2024-09-07 10:04:10:770,855876,855851,25,0,33699178,0,5612 83,3,2024-09-07 10:04:10:786,1,675,8,0,1260,7932,675,0 84,0,2024-09-07 10:04:11:776,163523,0.7,163558,0.9,327335,0.7,436433,2.25 84,1,2024-09-07 10:04:11:049,1184109,1184109,0,0,556416722525,5821890864,1170436,11590,2083,367,391967,0 84,2,2024-09-07 10:04:10:625,853471,853061,410,0,48995068,0,17037 84,3,2024-09-07 10:04:11:149,1,675,1,0,908,9546,675,0 85,0,2024-09-07 10:04:11:029,161127,0.7,161097,0.8,342043,0.7,441679,2.25 85,1,2024-09-07 10:04:10:559,1180457,1180457,0,0,554170531057,5849949908,1162677,14850,2930,381,392092,0 85,2,2024-09-07 10:04:10:881,852755,852755,0,0,41408849,0,4255 85,3,2024-09-07 10:04:10:688,1,675,1,0,789,7726,675,0 86,0,2024-09-07 10:04:10:925,171143,0.7,176029,0.8,337034,0.8,455638,2.25 86,1,2024-09-07 10:04:10:835,1182755,1182755,0,0,556185712806,5842792764,1165179,14263,3313,366,392169,0 86,2,2024-09-07 10:04:10:857,850447,850446,1,0,43494834,0,5004 86,3,2024-09-07 10:04:10:586,1,675,15,0,441,9488,675,0 87,0,2024-09-07 10:04:11:313,173376,0.8,172102,0.8,344791,0.9,460705,2.25 87,1,2024-09-07 10:04:10:555,1183403,1183403,0,0,555673278106,5822592154,1168501,12740,2162,366,392076,0 87,2,2024-09-07 10:04:11:083,851745,851739,6,0,40698332,0,6323 87,3,2024-09-07 10:04:11:796,1,675,8,0,473,9390,675,0 88,0,2024-09-07 10:04:11:469,166647,0.4,167106,0.6,334147,0.4,444871,1.75 88,1,2024-09-07 10:04:10:617,1182209,1182209,0,0,554981572629,5817591244,1165462,13612,3135,365,392084,0 88,2,2024-09-07 10:04:10:700,854239,854239,0,0,42890388,0,4465 88,3,2024-09-07 10:04:11:280,1,675,2,0,1080,10988,675,0 89,0,2024-09-07 10:04:11:802,171319,0.4,166307,0.6,327756,0.4,445769,1.75 89,1,2024-09-07 10:04:10:555,1181916,1181916,0,0,555755716186,5845566262,1167259,12381,2276,382,391866,0 89,2,2024-09-07 10:04:11:143,853055,853055,0,0,40294634,0,3173 89,3,2024-09-07 10:04:11:795,1,675,565,0,729,12206,675,0 90,0,2024-09-07 10:04:11:660,166305,0.5,170676,0.6,348621,0.4,455164,2.00 90,1,2024-09-07 10:04:10:590,1183086,1183086,0,0,555518981085,5822847566,1170708,11111,1267,380,391825,0 90,2,2024-09-07 10:04:11:415,850041,850036,5,0,43539497,0,6370 90,3,2024-09-07 10:04:10:931,1,675,20,0,364,8988,675,0 91,0,2024-09-07 10:04:11:028,172663,0.5,167498,0.6,350489,0.5,460034,1.75 91,1,2024-09-07 10:04:10:556,1180335,1180335,0,0,555261032374,5858481360,1161698,14491,4146,381,392047,0 91,2,2024-09-07 10:04:11:337,849959,849959,0,0,38714270,0,4713 91,3,2024-09-07 10:04:10:603,1,675,11,0,231,6394,675,0 92,0,2024-09-07 10:04:11:446,170163,0.4,174131,0.6,332002,0.4,450073,1.75 92,1,2024-09-07 10:04:10:595,1184594,1184594,0,0,555966521529,5810100752,1175180,8104,1310,381,392136,0 92,2,2024-09-07 10:04:11:356,854478,854478,0,0,35209760,0,3906 92,3,2024-09-07 10:04:11:022,1,675,1,0,322,6173,675,0 93,0,2024-09-07 10:04:11:030,165902,0.4,169963,0.6,324504,0.3,439689,1.75 93,1,2024-09-07 10:04:10:812,1185369,1185369,0,0,555944111727,5812651196,1170988,11948,2433,365,392048,0 93,2,2024-09-07 10:04:10:946,854944,854944,0,0,40788491,0,4913 93,3,2024-09-07 10:04:11:411,1,675,7,0,505,7627,675,0 94,0,2024-09-07 10:04:11:665,169083,0.4,170191,0.6,340605,0.4,452313,1.75 94,1,2024-09-07 10:04:10:598,1185959,1185959,0,0,557291320476,5813551729,1177894,7492,573,381,391850,0 94,2,2024-09-07 10:04:10:787,854506,854478,28,0,35485284,0,6179 94,3,2024-09-07 10:04:11:693,1,675,3,0,576,8299,675,0 95,0,2024-09-07 10:04:11:362,170932,0.4,170783,0.6,341931,0.3,455487,1.75 95,1,2024-09-07 10:04:10:892,1186818,1186818,0,0,557133781086,5791734858,1177488,8520,810,365,391852,0 95,2,2024-09-07 10:04:11:018,848718,848718,0,0,35156892,0,3308 95,3,2024-09-07 10:04:11:713,1,675,216,0,718,10448,675,0 96,0,2024-09-07 10:04:11:038,170710,0.3,170906,0.5,341946,0.3,454299,1.75 96,1,2024-09-07 10:04:11:606,1184912,1184912,0,0,556375518808,5807268968,1176010,7525,1377,384,391964,0 96,2,2024-09-07 10:04:11:290,856855,856855,0,0,35780410,0,4225 96,3,2024-09-07 10:04:11:146,1,675,5,0,411,7653,675,0 97,0,2024-09-07 10:04:11:346,163889,0.3,163629,0.5,328045,0.3,435816,1.50 97,1,2024-09-07 10:04:10:780,1187302,1187302,0,0,558242219120,5793797549,1179450,6613,1239,367,392140,0 97,2,2024-09-07 10:04:10:634,856844,856844,0,0,35967436,0,4600 97,3,2024-09-07 10:04:10:573,1,675,0,0,433,8225,675,0 98,0,2024-09-07 10:04:11:703,167885,0.3,167939,0.5,337236,0.3,449016,1.50 98,1,2024-09-07 10:04:10:576,1186603,1186603,0,0,556656533889,5799758018,1179174,6531,898,381,391997,0 98,2,2024-09-07 10:04:10:800,853408,853408,0,0,34421534,0,4336 98,3,2024-09-07 10:04:10:708,1,675,18,0,840,9560,675,0 99,0,2024-09-07 10:04:11:498,172096,0.3,172908,0.5,344550,0.3,458857,1.75 99,1,2024-09-07 10:04:11:726,1187789,1187789,0,0,557322256052,5802516632,1180014,6668,1107,380,392069,0 99,2,2024-09-07 10:04:11:421,849641,849641,0,0,43167346,0,4276 99,3,2024-09-07 10:04:10:587,1,675,9,0,1124,8490,675,0 100,0,2024-09-07 10:04:11:492,171671,0.8,172161,0.9,344158,0.9,458590,2.50 100,1,2024-09-07 10:04:10:554,1180071,1180071,0,0,554280158247,5865738414,1161035,15241,3795,378,391989,0 100,2,2024-09-07 10:04:11:833,850454,850065,389,0,45013607,0,16909 100,3,2024-09-07 10:04:11:761,1,675,69,0,627,10696,675,0 101,0,2024-09-07 10:04:11:714,169681,0.9,165663,1.0,323905,0.9,441992,2.25 101,1,2024-09-07 10:04:10:556,1182326,1182326,0,0,555061768832,5836070478,1165969,13422,2935,368,392018,0 101,2,2024-09-07 10:04:11:758,849250,849211,39,0,46968789,0,5913 101,3,2024-09-07 10:04:10:948,1,675,1,0,1250,10528,675,0 102,0,2024-09-07 10:04:11:014,162635,0.6,167520,0.8,340295,0.7,445106,2.25 102,1,2024-09-07 10:04:11:148,1182430,1182430,0,0,555808750700,5838815168,1166710,13464,2256,369,391984,0 102,2,2024-09-07 10:04:11:743,854006,853952,54,0,38832868,0,6768 102,3,2024-09-07 10:04:11:623,1,675,3,0,466,7875,675,0 103,0,2024-09-07 10:04:11:598,177772,0.7,177750,0.8,334999,0.8,460613,2.25 103,1,2024-09-07 10:04:11:630,1181006,1181006,0,0,554668622589,5858572291,1162103,15277,3626,381,392077,0 103,2,2024-09-07 10:04:10:588,850929,850929,0,0,42201784,0,3766 103,3,2024-09-07 10:04:10:788,1,675,21,0,916,8054,675,0 104,0,2024-09-07 10:04:11:011,171557,0.7,171975,0.9,343087,0.7,458758,2.50 104,1,2024-09-07 10:04:11:725,1183337,1183337,0,0,554904457775,5836355341,1164919,14962,3456,365,392168,0 104,2,2024-09-07 10:04:11:667,849276,849276,0,0,41250898,0,4161 104,3,2024-09-07 10:04:11:428,1,675,20,0,1245,11660,675,0 105,0,2024-09-07 10:04:11:063,165435,0.8,161199,1.0,337965,0.9,443807,2.50 105,1,2024-09-07 10:04:10:562,1185295,1185295,0,0,555617969563,5821239893,1170561,12621,2113,364,392009,0 105,2,2024-09-07 10:04:11:327,853352,853352,0,0,42515925,0,4360 105,3,2024-09-07 10:04:11:309,1,675,0,0,573,10188,675,0 106,0,2024-09-07 10:04:11:034,160026,0.9,164065,1.0,335569,1.1,438690,2.50 106,1,2024-09-07 10:04:11:757,1183057,1183057,0,0,555212426275,5827689350,1166641,14266,2150,368,391914,0 106,2,2024-09-07 10:04:10:772,853876,853876,0,0,39326596,0,3331 106,3,2024-09-07 10:04:10:681,1,675,5,0,1224,9148,675,0 107,0,2024-09-07 10:04:11:141,170054,1.2,170165,1.0,340396,1.8,454427,2.25 107,1,2024-09-07 10:04:10:585,1180922,1180922,0,0,553517870873,5852878191,1161883,16784,2255,381,392234,0 107,2,2024-09-07 10:04:11:300,850448,850447,1,0,42864148,0,5024 107,3,2024-09-07 10:04:11:761,1,675,8,0,733,10803,675,0 108,0,2024-09-07 10:04:11:772,171056,0.4,171845,0.6,342752,0.4,456941,1.75 108,1,2024-09-07 10:04:11:300,1184534,1184534,0,0,556441813987,5810411654,1173691,9636,1207,367,391894,0 108,2,2024-09-07 10:04:11:760,850373,850373,0,0,38078917,0,4432 108,3,2024-09-07 10:04:11:335,1,675,1,0,767,12367,675,0 109,0,2024-09-07 10:04:11:777,170413,0.4,169004,0.6,339069,0.3,452170,1.75 109,1,2024-09-07 10:04:10:589,1182686,1182686,0,0,555658071558,5831931850,1172062,9121,1503,382,392132,0 109,2,2024-09-07 10:04:10:930,853775,853775,0,0,38178008,0,3617 109,3,2024-09-07 10:04:11:142,1,675,0,0,630,7775,675,0 110,0,2024-09-07 10:04:11:784,163854,0.4,159290,0.6,333610,0.3,437958,1.75 110,1,2024-09-07 10:04:11:652,1186774,1186774,0,0,557536186807,5791852303,1177344,7346,2084,368,392045,0 110,2,2024-09-07 10:04:11:310,856004,856004,0,0,37316153,0,4067 110,3,2024-09-07 10:04:10:696,1,675,2,0,722,9142,675,0 111,0,2024-09-07 10:04:11:419,169922,0.4,169159,0.6,337838,0.3,451546,1.75 111,1,2024-09-07 10:04:11:011,1188082,1188082,0,0,558218769094,5797993852,1180846,6731,505,380,391690,0 111,2,2024-09-07 10:04:11:126,854017,854017,0,0,36771181,0,4823 111,3,2024-09-07 10:04:10:926,1,675,8,0,379,7523,675,0 112,0,2024-09-07 10:04:10:923,172295,0.3,171846,0.4,344451,0.2,457255,1.50 112,1,2024-09-07 10:04:10:825,1187134,1187134,0,0,557399971896,5786886762,1179549,6360,1225,380,391624,0 112,2,2024-09-07 10:04:11:143,850334,850333,1,0,34566595,0,5036 112,3,2024-09-07 10:04:10:602,1,675,4,0,282,6763,675,0 113,0,2024-09-07 10:04:10:883,171222,0.3,171511,0.5,343373,0.2,456432,1.75 113,1,2024-09-07 10:04:11:692,1190713,1190713,0,0,559133725449,5769783268,1183806,5957,950,365,391664,0 113,2,2024-09-07 10:04:11:326,857826,857826,0,0,31842125,0,3813 113,3,2024-09-07 10:04:10:684,1,675,1,0,510,6946,675,0 114,0,2024-09-07 10:04:10:880,165122,0.3,166215,0.5,331607,0.2,441940,1.75 114,1,2024-09-07 10:04:10:719,1186581,1186581,0,0,556946674211,5783405772,1178008,6805,1768,381,391565,0 114,2,2024-09-07 10:04:10:877,857452,857451,1,0,34465838,0,5069 114,3,2024-09-07 10:04:11:287,1,675,3,0,415,5625,675,0 115,0,2024-09-07 10:04:10:559,168460,0.3,169069,0.4,336592,0.2,448140,1.50 115,1,2024-09-07 10:04:10:572,1187419,1187419,0,0,558540489618,5802945038,1177867,7761,1791,382,391757,0 115,2,2024-09-07 10:04:11:125,855192,855192,0,0,34369085,0,4382 115,3,2024-09-07 10:04:11:010,1,675,1,0,173,4387,675,0 116,0,2024-09-07 10:04:11:707,171164,0.8,171198,0.9,343054,0.8,458295,2.25 116,1,2024-09-07 10:04:10:827,1180986,1180986,0,0,555453293817,5853775676,1166939,10837,3210,380,392089,0 116,2,2024-09-07 10:04:11:754,850957,850957,0,0,41575589,0,4475 116,3,2024-09-07 10:04:10:922,1,675,2,0,448,8795,675,0 117,0,2024-09-07 10:04:10:992,173086,0.6,172827,0.8,345853,0.7,461982,2.00 117,1,2024-09-07 10:04:11:582,1183217,1183217,0,0,555389303010,5820094406,1169409,12046,1762,369,392429,0 117,2,2024-09-07 10:04:11:143,854273,854273,0,0,38224496,0,4303 117,3,2024-09-07 10:04:11:070,1,675,1,0,490,8390,675,0 118,0,2024-09-07 10:04:11:795,161627,0.5,166051,0.7,338740,0.5,443264,2.00 118,1,2024-09-07 10:04:10:588,1181918,1181918,0,0,554608795063,5831791204,1164424,13649,3845,366,392054,0 118,2,2024-09-07 10:04:11:611,853908,853908,0,0,40791158,0,3161 118,3,2024-09-07 10:04:11:770,1,675,2,0,343,8167,675,0 119,0,2024-09-07 10:04:11:374,166404,0.7,166861,0.8,333102,0.8,444235,2.25 119,1,2024-09-07 10:04:10:551,1183025,1183025,0,0,555631150808,5829159237,1167019,13341,2665,367,391857,0 119,2,2024-09-07 10:04:11:278,854087,854087,0,0,37777641,0,4309 119,3,2024-09-07 10:04:11:327,1,675,22,0,1358,12111,675,0 120,0,2024-09-07 10:04:11:572,170547,0.7,170502,0.9,342245,0.7,456554,2.50 120,1,2024-09-07 10:04:10:944,1183902,1183902,0,0,555597970914,5827625379,1171279,11466,1157,367,392144,0 120,2,2024-09-07 10:04:10:779,852166,852163,3,0,45472913,0,5363 120,3,2024-09-07 10:04:11:306,1,675,90,0,478,8782,675,0 121,0,2024-09-07 10:04:11:739,172169,1.2,171609,1.0,343376,1.5,457949,2.25 121,1,2024-09-07 10:04:11:659,1183564,1183564,0,0,556238079342,5825726661,1170055,11513,1996,366,391840,0 121,2,2024-09-07 10:04:11:142,850708,850708,0,0,41044675,0,4157 121,3,2024-09-07 10:04:10:749,1,675,2,0,387,9125,675,0 122,0,2024-09-07 10:04:11:941,168135,0.7,163527,0.8,342218,0.8,449621,2.00 122,1,2024-09-07 10:04:10:869,1182792,1182792,0,0,555197170410,5826642040,1166526,13689,2577,365,392130,0 122,2,2024-09-07 10:04:11:328,854650,854575,75,0,45358362,0,5989 122,3,2024-09-07 10:04:10:601,1,675,1,0,512,10457,675,0 123,0,2024-09-07 10:04:10:992,163879,0.8,159650,0.9,334777,0.9,437724,2.25 123,1,2024-09-07 10:04:10:558,1183624,1183624,0,0,555789526024,5846855427,1164545,16054,3025,369,392039,0 123,2,2024-09-07 10:04:11:132,854040,854039,1,0,38942254,0,5215 123,3,2024-09-07 10:04:11:143,1,675,19,0,478,7684,675,0 124,0,2024-09-07 10:04:10:950,174518,0.4,174539,0.5,328797,0.3,453167,1.75 124,1,2024-09-07 10:04:11:030,1186870,1186870,0,0,557170022833,5796350114,1177420,8191,1259,365,392178,0 124,2,2024-09-07 10:04:11:012,856124,856071,53,0,36119719,0,6487 124,3,2024-09-07 10:04:10:780,1,675,34,0,490,6820,675,0 125,0,2024-09-07 10:04:11:450,170825,0.4,170691,0.6,342110,0.3,455896,1.75 125,1,2024-09-07 10:04:10:858,1184074,1184074,0,0,555774271690,5810720539,1173189,9345,1540,382,392045,0 125,2,2024-09-07 10:04:11:147,852009,852009,0,0,35352297,0,4534 125,3,2024-09-07 10:04:11:137,1,675,3,0,709,7639,675,0 126,0,2024-09-07 10:04:11:620,171009,0.4,175780,0.5,335933,0.3,455026,1.75 126,1,2024-09-07 10:04:10:551,1187729,1187729,0,0,557897171686,5783141105,1180462,6566,701,365,391987,0 126,2,2024-09-07 10:04:10:612,857130,857130,0,0,37257081,0,4539 126,3,2024-09-07 10:04:10:933,1,675,74,0,268,7767,675,0 127,0,2024-09-07 10:04:11:688,164069,0.3,164431,0.5,328141,0.3,436060,1.75 127,1,2024-09-07 10:04:10:576,1186270,1186270,0,0,556769975868,5795233413,1174141,10436,1693,364,392187,0 127,2,2024-09-07 10:04:10:636,856810,856806,4,0,35236092,0,5305 127,3,2024-09-07 10:04:11:277,1,675,35,0,968,6718,675,0 128,0,2024-09-07 10:04:11:531,168972,0.3,168975,0.4,337864,0.2,449734,1.50 128,1,2024-09-07 10:04:11:624,1185606,1185606,0,0,557149546418,5793559484,1176746,7890,970,367,392423,0 128,2,2024-09-07 10:04:11:395,856090,856090,0,0,32885679,0,3171 128,3,2024-09-07 10:04:10:773,1,675,0,0,1082,10175,675,0 129,0,2024-09-07 10:04:11:007,173284,0.3,172579,0.5,346182,0.3,459593,1.75 129,1,2024-09-07 10:04:10:566,1182687,1182687,0,0,555721995618,5831502249,1169756,10602,2329,379,391962,0 129,2,2024-09-07 10:04:10:686,852412,852408,4,0,35228681,0,5335 129,3,2024-09-07 10:04:10:693,1,675,1,0,506,9823,675,0 130,0,2024-09-07 10:04:11:749,172864,0.4,172636,0.5,345641,0.4,460067,1.75 130,1,2024-09-07 10:04:10:587,1187389,1187389,0,0,557401655451,5789165302,1181554,5428,407,381,391825,0 130,2,2024-09-07 10:04:11:127,854840,854840,0,0,35176979,0,4067 130,3,2024-09-07 10:04:11:310,1,675,5,0,960,8849,675,0 131,0,2024-09-07 10:04:11:976,166032,0.3,166542,0.5,334063,0.3,443660,1.50 131,1,2024-09-07 10:04:11:845,1186278,1186278,0,0,556031834601,5795759473,1178142,6928,1208,381,391865,0 131,2,2024-09-07 10:04:10:571,855982,855982,0,0,33391777,0,3979 131,3,2024-09-07 10:04:11:698,1,675,7,0,392,8562,675,0 132,0,2024-09-07 10:04:11:414,167654,0.5,168649,0.6,335530,0.5,447053,2.00 132,1,2024-09-07 10:04:10:581,1182015,1182015,0,0,554885992188,5840823020,1165519,13714,2782,381,392532,0 132,2,2024-09-07 10:04:10:704,853378,853361,17,0,42263151,0,6451 132,3,2024-09-07 10:04:11:693,1,675,1,0,1298,11763,675,0 133,0,2024-09-07 10:04:11:544,168150,0.5,172174,0.6,352870,0.4,459040,2.00 133,1,2024-09-07 10:04:10:586,1182111,1182111,0,0,555299450240,5849696948,1166683,13494,1934,383,391914,0 133,2,2024-09-07 10:04:11:087,853538,853488,50,0,43256228,0,6861 133,3,2024-09-07 10:04:11:301,1,675,1,0,528,7990,675,0 134,0,2024-09-07 10:04:10:969,173526,0.5,173344,0.7,346773,0.5,462087,2.00 134,1,2024-09-07 10:04:10:595,1183096,1183096,0,0,555435436612,5830460001,1167019,12785,3292,366,391781,0 134,2,2024-09-07 10:04:11:759,850927,850788,139,0,40590596,0,7591 134,3,2024-09-07 10:04:10:781,1,675,1,0,739,8447,675,0 135,0,2024-09-07 10:04:11:119,162058,0.7,162056,0.8,344039,0.8,443160,2.25 135,1,2024-09-07 10:04:11:595,1183099,1183099,0,0,554712075712,5832810060,1168708,12539,1852,380,392038,0 135,2,2024-09-07 10:04:10:686,855392,855392,0,0,41363784,0,4503 135,3,2024-09-07 10:04:11:031,1,675,4,0,900,6574,675,0 136,0,2024-09-07 10:04:11:625,166011,0.6,166586,0.8,330945,0.6,441843,2.00 136,1,2024-09-07 10:04:11:441,1184236,1184236,0,0,555594640283,5822405484,1171587,11223,1426,381,392135,0 136,2,2024-09-07 10:04:11:154,856260,856245,15,0,40476073,0,6007 136,3,2024-09-07 10:04:11:106,1,675,9,0,637,7993,675,0 137,0,2024-09-07 10:04:11:009,175809,0.6,171392,0.8,335630,0.7,456141,2.00 137,1,2024-09-07 10:04:10:581,1183067,1183067,0,0,555617861031,5828296011,1164649,14781,3637,366,391898,0 137,2,2024-09-07 10:04:11:705,852978,852978,0,0,41503553,0,3185 137,3,2024-09-07 10:04:10:781,1,675,2,0,484,9684,675,0 138,0,2024-09-07 10:04:11:866,170445,0.9,170792,0.9,342059,1.2,455344,2.25 138,1,2024-09-07 10:04:11:828,1182900,1182900,0,0,555973188713,5837344660,1165775,14434,2691,368,391954,0 138,2,2024-09-07 10:04:10:599,848791,848791,0,0,39835959,0,4988 138,3,2024-09-07 10:04:10:616,1,675,20,0,1200,10212,675,0 139,0,2024-09-07 10:04:11:374,168229,0.9,168769,0.9,337589,1.2,450470,2.25 139,1,2024-09-07 10:04:10:573,1178965,1178965,0,0,553090901469,5862359979,1159080,15972,3913,380,392109,0 139,2,2024-09-07 10:04:10:693,849609,849579,30,0,45453458,0,5997 139,3,2024-09-07 10:04:11:663,1,675,18,0,432,8074,675,0 140,0,2024-09-07 10:04:11:592,164452,0.3,163757,0.5,328521,0.2,438119,1.75 140,1,2024-09-07 10:04:11:545,1190585,1190585,0,0,558395093006,5763336044,1184205,5643,737,364,391628,0 140,2,2024-09-07 10:04:10:688,856366,856365,1,0,33719179,0,5036 140,3,2024-09-07 10:04:10:767,1,675,1,0,575,6899,675,0 141,0,2024-09-07 10:04:11:708,169642,0.3,174367,0.5,333192,0.3,450976,1.75 141,1,2024-09-07 10:04:10:864,1187751,1187751,0,0,558267320640,5805169219,1177500,8858,1393,379,391614,0 141,2,2024-09-07 10:04:11:694,854717,854706,11,0,35986105,0,5369 141,3,2024-09-07 10:04:11:053,1,675,0,0,391,8063,675,0 142,0,2024-09-07 10:04:11:374,172461,0.3,171681,0.5,343779,0.3,458080,1.75 142,1,2024-09-07 10:04:10:586,1185340,1185340,0,0,557173648105,5815448214,1176528,7920,892,382,392102,0 142,2,2024-09-07 10:04:11:335,849250,849218,32,0,35519144,0,6028 142,3,2024-09-07 10:04:11:751,1,675,3,0,484,6936,675,0 143,0,2024-09-07 10:04:11:456,171391,0.4,171157,0.5,343480,0.4,456393,1.75 143,1,2024-09-07 10:04:10:556,1188614,1188614,0,0,557869067465,5791712330,1180493,7162,959,367,391900,0 143,2,2024-09-07 10:04:11:008,856628,856628,0,0,35533152,0,3123 143,3,2024-09-07 10:04:11:154,1,675,29,0,462,7972,675,0 144,0,2024-09-07 10:04:11:579,160088,0.6,164734,0.7,335231,0.5,438297,2.00 144,1,2024-09-07 10:04:10:565,1182301,1182301,0,0,554894498063,5823650475,1170569,9691,2041,381,391733,0 144,2,2024-09-07 10:04:11:777,856889,856889,0,0,35374785,0,4443 144,3,2024-09-07 10:04:11:746,1,675,22,0,306,7283,675,0 145,0,2024-09-07 10:04:11:406,162306,0.6,162277,0.8,344441,0.6,443649,2.25 145,1,2024-09-07 10:04:10:556,1181625,1181625,0,0,555508900027,5848792840,1165439,13220,2966,382,391781,0 145,2,2024-09-07 10:04:11:438,852335,852253,82,0,41367717,0,7814 145,3,2024-09-07 10:04:10:906,1,675,2,0,622,9071,675,0 146,0,2024-09-07 10:04:11:620,171769,0.7,170903,0.8,343524,0.7,456346,2.25 146,1,2024-09-07 10:04:11:585,1183520,1183520,0,0,555134221608,5841760838,1164399,14197,4924,367,391829,0 146,2,2024-09-07 10:04:11:695,849599,849593,6,0,39422068,0,5151 146,3,2024-09-07 10:04:11:273,1,675,1,0,1520,10861,675,0 147,0,2024-09-07 10:04:11:725,173133,0.6,172874,0.7,345600,0.6,461093,2.25 147,1,2024-09-07 10:04:11:386,1186982,1186982,0,0,557623308383,5798840108,1177043,8841,1098,367,391791,0 147,2,2024-09-07 10:04:11:087,852342,852342,0,0,36145521,0,3359 147,3,2024-09-07 10:04:10:926,1,675,19,0,1626,10318,675,0 0,0,2024-09-07 10:04:21:713,166950,0.7,166940,0.8,354351,0.8,458312,2.00 0,1,2024-09-07 10:04:20:809,1186070,1186070,0,0,556499945946,5826852716,1176407,8701,962,368,391896,0 0,2,2024-09-07 10:04:21:087,855321,855321,0,0,34927114,0,4480 0,3,2024-09-07 10:04:20:976,1,676,20,0,538,9836,676,0 1,0,2024-09-07 10:04:21:751,172332,0.8,171382,0.9,343962,1.0,460283,2.00 1,1,2024-09-07 10:04:20:557,1184923,1184923,0,0,556273664340,5829612181,1173558,9400,1965,370,391859,0 1,2,2024-09-07 10:04:20:641,853514,853514,0,0,34205866,0,3380 1,3,2024-09-07 10:04:21:314,1,676,7,0,269,8092,676,0 2,0,2024-09-07 10:04:21:565,168750,0.6,168462,0.7,336632,0.6,448835,2.00 2,1,2024-09-07 10:04:20:871,1188915,1188915,0,0,558265273501,5806293752,1181997,5957,961,379,391805,0 2,2,2024-09-07 10:04:21:271,856992,856992,0,0,33194632,0,3594 2,3,2024-09-07 10:04:20:690,1,676,2,0,357,6077,676,0 3,0,2024-09-07 10:04:21:753,164963,0.4,164896,0.6,329429,0.4,439019,2.00 3,1,2024-09-07 10:04:21:620,1186865,1186865,0,0,556888690479,5793833410,1178361,7786,718,379,391716,0 3,2,2024-09-07 10:04:21:151,857908,857885,23,0,34126443,0,5851 3,3,2024-09-07 10:04:21:756,1,676,1,0,484,5187,676,0 4,0,2024-09-07 10:04:21:803,165108,0.4,169708,0.5,346058,0.4,452660,1.75 4,1,2024-09-07 10:04:20:607,1181496,1181496,0,0,554807428253,5871154101,1161623,15272,4601,369,391992,0 4,2,2024-09-07 10:04:21:024,853181,853181,0,0,41550598,0,4534 4,3,2024-09-07 10:04:21:037,1,676,14,0,448,9029,676,0 5,0,2024-09-07 10:04:21:432,171231,0.4,170945,0.6,342275,0.4,455800,1.75 5,1,2024-09-07 10:04:20:756,1183206,1183206,0,0,555087372435,5858407907,1165565,13406,4235,367,392005,0 5,2,2024-09-07 10:04:21:829,847478,847445,33,0,41681749,0,7631 5,3,2024-09-07 10:04:21:738,1,676,2,0,457,8987,676,0 6,0,2024-09-07 10:04:20:925,170605,0.5,170165,0.6,340607,0.4,453409,2.00 6,1,2024-09-07 10:04:20:748,1185913,1185913,0,0,557114440986,5818487105,1173750,10478,1685,379,391702,0 6,2,2024-09-07 10:04:21:116,856632,856614,18,0,38887504,0,5535 6,3,2024-09-07 10:04:21:279,1,676,1,0,710,7926,676,0 7,0,2024-09-07 10:04:21:562,163258,0.5,164358,0.7,326425,0.5,435043,2.00 7,1,2024-09-07 10:04:20:852,1183756,1183756,0,0,556010633192,5852342743,1165924,13695,4137,382,391747,0 7,2,2024-09-07 10:04:20:771,855962,855793,169,0,39715240,0,7706 7,3,2024-09-07 10:04:20:853,1,676,9,0,552,7956,676,0 8,0,2024-09-07 10:04:21:379,169137,0.4,168726,0.5,337788,0.4,450998,1.75 8,1,2024-09-07 10:04:21:024,1182427,1182427,0,0,556203944901,5851482272,1163577,14806,4044,366,392853,0 8,2,2024-09-07 10:04:20:790,849816,849814,2,0,44607919,0,5112 8,3,2024-09-07 10:04:20:587,1,676,10,0,772,10229,676,0 9,0,2024-09-07 10:04:21:181,172249,0.4,167204,0.5,350022,0.4,459147,1.75 9,1,2024-09-07 10:04:20:567,1182155,1182155,0,0,555223473175,5858029878,1162500,15305,4350,369,392001,0 9,2,2024-09-07 10:04:21:093,849442,849441,1,0,42553028,0,5281 9,3,2024-09-07 10:04:21:752,1,676,7,0,1273,11005,676,0 10,0,2024-09-07 10:04:21:598,172145,0.4,171633,0.5,344124,0.3,457771,1.75 10,1,2024-09-07 10:04:20:588,1183850,1183850,0,0,555670350527,5834676790,1165803,14313,3734,381,391981,0 10,2,2024-09-07 10:04:20:764,855208,855208,0,0,45715793,0,4713 10,3,2024-09-07 10:04:20:871,1,676,0,0,669,7312,676,0 11,0,2024-09-07 10:04:21:007,166011,0.4,161171,0.6,337306,0.4,443920,1.75 11,1,2024-09-07 10:04:20:609,1186673,1186673,0,0,555968885401,5832354441,1169788,12575,4310,383,391766,0 11,2,2024-09-07 10:04:21:126,855586,855586,0,0,39496788,0,4698 11,3,2024-09-07 10:04:21:300,1,676,0,0,843,8365,676,0 12,0,2024-09-07 10:04:20:990,168952,0.4,168682,0.6,337009,0.4,448604,1.75 12,1,2024-09-07 10:04:20:937,1185759,1185759,0,0,556552090312,5809517644,1175917,8816,1026,370,391960,0 12,2,2024-09-07 10:04:21:548,853069,853069,0,0,39255871,0,4390 12,3,2024-09-07 10:04:21:067,1,676,11,0,386,8465,676,0 13,0,2024-09-07 10:04:21:325,173369,0.4,173533,0.6,346448,0.4,461017,1.75 13,1,2024-09-07 10:04:21:524,1182985,1182985,0,0,555555456823,5849831325,1169063,10870,3052,382,391803,0 13,2,2024-09-07 10:04:20:644,854923,854923,0,0,35552416,0,3287 13,3,2024-09-07 10:04:21:773,1,676,5,0,522,9169,676,0 14,0,2024-09-07 10:04:20:572,173091,0.4,174155,0.6,346412,0.4,461085,1.75 14,1,2024-09-07 10:04:21:561,1191442,1191442,0,0,559179259594,5787496676,1182190,8102,1150,364,391673,0 14,2,2024-09-07 10:04:20:763,853747,853717,30,0,36640248,0,6104 14,3,2024-09-07 10:04:21:114,1,676,5,0,1168,7104,676,0 15,0,2024-09-07 10:04:21:560,167545,0.4,166727,0.6,333652,0.3,445153,1.75 15,1,2024-09-07 10:04:21:608,1187697,1187697,0,0,558237826144,5820361550,1176888,8715,2094,381,391619,0 15,2,2024-09-07 10:04:20:999,858035,858035,0,0,32743511,0,3622 15,3,2024-09-07 10:04:21:410,1,676,3,0,1126,8559,676,0 16,0,2024-09-07 10:04:20:942,165939,0.6,166843,0.8,332596,0.6,443100,2.00 16,1,2024-09-07 10:04:20:567,1187513,1187513,0,0,557335804341,5827377606,1176822,9147,1544,370,392194,0 16,2,2024-09-07 10:04:21:441,855258,855258,0,0,35693554,0,4719 16,3,2024-09-07 10:04:21:156,1,676,7,0,362,8477,676,0 17,0,2024-09-07 10:04:21:803,176034,0.6,171717,0.8,336083,0.7,456994,2.00 17,1,2024-09-07 10:04:20:585,1185743,1185743,0,0,556388788802,5833747286,1174686,9263,1794,368,392075,0 17,2,2024-09-07 10:04:21:666,858155,858154,1,0,36925568,0,5050 17,3,2024-09-07 10:04:20:583,1,676,19,0,518,9778,676,0 18,0,2024-09-07 10:04:20:941,170006,0.6,171149,0.8,340446,0.6,455156,2.25 18,1,2024-09-07 10:04:21:639,1190397,1190397,0,0,558332676207,5793307100,1182241,6726,1430,367,391725,0 18,2,2024-09-07 10:04:21:762,853921,853921,0,0,33240189,0,3541 18,3,2024-09-07 10:04:20:896,1,676,4,0,1059,6268,676,0 19,0,2024-09-07 10:04:21:538,168991,0.6,169562,0.8,337947,0.6,448930,2.00 19,1,2024-09-07 10:04:20:566,1189205,1189205,0,0,558757418627,5791270883,1180362,7683,1160,365,391777,0 19,2,2024-09-07 10:04:21:751,859408,859408,0,0,31013451,0,3988 19,3,2024-09-07 10:04:21:131,1,676,19,0,524,5443,676,0 20,0,2024-09-07 10:04:21:420,164319,0.5,164207,0.7,328125,0.5,438144,2.00 20,1,2024-09-07 10:04:20:571,1185015,1185015,0,0,556733939564,5836881708,1172764,10547,1704,369,391922,0 20,2,2024-09-07 10:04:20:932,856560,856560,0,0,39506624,0,4321 20,3,2024-09-07 10:04:20:594,1,676,0,0,468,11512,676,0 21,0,2024-09-07 10:04:21:135,169507,0.5,169703,0.7,339251,0.5,450861,2.00 21,1,2024-09-07 10:04:21:549,1183024,1183024,0,0,554203464716,5851651189,1164278,14757,3989,368,392016,0 21,2,2024-09-07 10:04:21:068,849507,849030,477,0,50678895,0,17074 21,3,2024-09-07 10:04:21:409,1,676,8,0,713,9014,676,0 22,0,2024-09-07 10:04:21:733,171438,0.5,172118,0.7,343793,0.4,456054,2.25 22,1,2024-09-07 10:04:21:027,1183887,1183887,0,0,555701242588,5847476864,1164851,15433,3603,381,391822,0 22,2,2024-09-07 10:04:20:760,849690,849664,26,0,37571071,0,6328 22,3,2024-09-07 10:04:21:067,1,676,1,0,228,5611,676,0 23,0,2024-09-07 10:04:21:366,171004,0.5,170837,0.7,341594,0.4,455195,2.00 23,1,2024-09-07 10:04:21:003,1185784,1185784,0,0,556648480533,5862570356,1164541,14420,6823,365,391690,0 23,2,2024-09-07 10:04:21:092,857062,857062,0,0,35767815,0,3773 23,3,2024-09-07 10:04:21:756,1,676,47,0,855,9691,676,0 24,0,2024-09-07 10:04:20:826,166130,0.4,165083,0.6,331909,0.3,440450,1.75 24,1,2024-09-07 10:04:20:582,1184171,1184171,0,0,556112415210,5823148817,1172590,9708,1873,367,392269,0 24,2,2024-09-07 10:04:21:070,856533,856530,3,0,42289738,0,6294 24,3,2024-09-07 10:04:21:686,1,676,8,0,468,8941,676,0 25,0,2024-09-07 10:04:21:400,172637,0.4,167732,0.6,330299,0.4,449887,2.00 25,1,2024-09-07 10:04:20:569,1182734,1182734,0,0,555457297293,5869979168,1162111,16638,3985,369,391928,0 25,2,2024-09-07 10:04:21:641,852557,852557,0,0,43845625,0,3978 25,3,2024-09-07 10:04:21:001,1,676,1,0,532,7460,676,0 26,0,2024-09-07 10:04:21:724,171284,0.5,167375,0.6,351198,0.4,457941,2.00 26,1,2024-09-07 10:04:21:545,1186258,1186258,0,0,556458174683,5826975147,1170555,12800,2903,380,391758,0 26,2,2024-09-07 10:04:20:860,851530,851530,0,0,45206125,0,4689 26,3,2024-09-07 10:04:21:712,1,676,1,0,796,8548,676,0 27,0,2024-09-07 10:04:21:723,173103,0.4,173843,0.6,345573,0.4,461174,2.25 27,1,2024-09-07 10:04:21:676,1187656,1187656,0,0,558083540846,5817206441,1176376,9659,1621,381,391626,0 27,2,2024-09-07 10:04:20:867,851024,850959,65,0,40041926,0,5699 27,3,2024-09-07 10:04:21:025,1,676,8,0,564,5874,676,0 28,0,2024-09-07 10:04:21:391,167133,0.4,167410,0.6,334698,0.3,445770,1.75 28,1,2024-09-07 10:04:20:797,1188225,1188225,0,0,558118594348,5827118314,1176767,9031,2427,382,391904,0 28,2,2024-09-07 10:04:21:768,856668,856668,0,0,36654727,0,3674 28,3,2024-09-07 10:04:21:779,1,676,105,0,502,7105,676,0 29,0,2024-09-07 10:04:21:357,171612,0.4,167077,0.6,327917,0.4,447153,1.75 29,1,2024-09-07 10:04:21:561,1191148,1191148,0,0,559446868452,5791610316,1183317,6811,1020,367,391809,0 29,2,2024-09-07 10:04:20:860,854770,854770,0,0,34651101,0,4986 29,3,2024-09-07 10:04:20:968,1,676,0,0,590,7608,676,0 30,0,2024-09-07 10:04:21:458,170842,0.6,166338,0.7,348323,0.5,455565,2.00 30,1,2024-09-07 10:04:20:579,1190054,1190054,0,0,559250750177,5809560663,1180259,8627,1168,380,391672,0 30,2,2024-09-07 10:04:21:288,855484,855484,0,0,33757774,0,4192 30,3,2024-09-07 10:04:20:587,1,676,0,0,519,7180,676,0 31,0,2024-09-07 10:04:21:783,172119,0.5,172923,0.7,344903,0.4,460492,2.00 31,1,2024-09-07 10:04:20:569,1194122,1194122,0,0,559788540926,5751996415,1186508,6373,1241,356,391712,0 31,2,2024-09-07 10:04:21:278,852388,852388,0,0,36872056,0,4470 31,3,2024-09-07 10:04:21:712,1,676,248,0,248,6079,676,0 32,0,2024-09-07 10:04:21:427,168877,0.3,169947,0.5,338427,0.2,450255,1.75 32,1,2024-09-07 10:04:20:803,1189792,1189792,0,0,558626334452,5808376192,1182306,6521,965,381,391646,0 32,2,2024-09-07 10:04:20:936,858655,858655,0,0,32211459,0,3922 32,3,2024-09-07 10:04:21:024,1,676,4,0,304,5805,676,0 33,0,2024-09-07 10:04:21:499,165755,0.3,165318,0.4,330513,0.2,440316,1.50 33,1,2024-09-07 10:04:20:575,1191202,1191202,0,0,559048654100,5789968707,1181860,7991,1351,368,391730,0 33,2,2024-09-07 10:04:20:758,857070,857035,35,0,35946972,0,7012 33,3,2024-09-07 10:04:20:896,1,676,0,0,329,6370,676,0 34,0,2024-09-07 10:04:20:933,170456,0.3,175123,0.5,334883,0.2,452632,1.75 34,1,2024-09-07 10:04:21:044,1193381,1193381,0,0,560547815242,5769200585,1189383,3789,209,367,391637,0 34,2,2024-09-07 10:04:20:767,856554,856554,0,0,33576233,0,4562 34,3,2024-09-07 10:04:21:688,1,676,37,0,541,6004,676,0 35,0,2024-09-07 10:04:20:880,170530,0.3,171083,0.5,343350,0.3,456747,1.75 35,1,2024-09-07 10:04:21:074,1189150,1189150,0,0,558418455450,5795572781,1180214,7384,1552,382,391769,0 35,2,2024-09-07 10:04:21:584,851625,851625,0,0,38601647,0,4055 35,3,2024-09-07 10:04:20:907,1,676,14,0,466,6535,676,0 36,0,2024-09-07 10:04:21:518,171175,0.5,171302,0.6,342286,0.4,455282,2.00 36,1,2024-09-07 10:04:20:583,1187626,1187626,0,0,557657677493,5824106722,1172853,12419,2354,366,391759,0 36,2,2024-09-07 10:04:21:751,856523,856523,0,0,38949281,0,3875 36,3,2024-09-07 10:04:20:863,1,676,1,0,556,8738,676,0 37,0,2024-09-07 10:04:21:380,163229,0.5,163251,0.7,326839,0.5,435682,2.25 37,1,2024-09-07 10:04:20:579,1186883,1186876,0,7,557028929989,5818390992,1172701,11062,3113,365,391770,0 37,2,2024-09-07 10:04:21:142,855240,855225,15,0,39093782,0,5815 37,3,2024-09-07 10:04:21:771,1,676,0,0,888,9158,676,0 38,0,2024-09-07 10:04:21:441,167999,0.5,162876,0.7,340590,0.4,447054,2.00 38,1,2024-09-07 10:04:21:604,1187194,1187194,0,0,557995125957,5835120681,1170366,13524,3304,368,391821,0 38,2,2024-09-07 10:04:20:759,855246,855199,47,0,39109657,0,6710 38,3,2024-09-07 10:04:21:001,1,676,1,0,689,7861,676,0 39,0,2024-09-07 10:04:21:770,175458,0.6,171450,0.7,334756,0.5,456455,2.00 39,1,2024-09-07 10:04:20:719,1186071,1186071,0,0,556353767023,5834817198,1166463,15375,4233,365,391865,0 39,2,2024-09-07 10:04:21:418,850955,850955,0,0,37206983,0,3478 39,3,2024-09-07 10:04:20:715,1,676,10,0,525,7606,676,0 40,0,2024-09-07 10:04:21:489,170609,0.8,171283,1.0,342000,0.9,456240,2.75 40,1,2024-09-07 10:04:20:579,1185882,1185882,0,0,556071731597,5830850258,1167357,14943,3582,366,391668,0 40,2,2024-09-07 10:04:21:307,852979,852972,7,0,43247702,0,5347 40,3,2024-09-07 10:04:21:147,1,676,3,0,1028,9733,676,0 41,0,2024-09-07 10:04:21:031,165337,1.2,169186,1.1,322683,1.6,438076,3.00 41,1,2024-09-07 10:04:20:770,1185463,1185463,0,0,557113282181,5829263791,1169759,13179,2525,369,391878,0 41,2,2024-09-07 10:04:20:758,853109,853108,1,0,42176539,0,5408 41,3,2024-09-07 10:04:21:679,1,676,1,0,749,8371,676,0 42,0,2024-09-07 10:04:21:474,166493,1.0,166285,1.1,332854,1.2,441884,2.75 42,1,2024-09-07 10:04:21:443,1183823,1183823,0,0,555895237338,5838248904,1166223,14516,3084,380,391675,0 42,2,2024-09-07 10:04:21:135,851665,851664,1,0,43457964,0,5513 42,3,2024-09-07 10:04:21:014,1,676,32,0,892,6949,676,0 43,0,2024-09-07 10:04:20:917,170120,0.8,165535,1.0,346904,0.9,453578,2.25 43,1,2024-09-07 10:04:20:579,1186681,1186681,0,0,558431329397,5835610665,1170577,13357,2747,366,391696,0 43,2,2024-09-07 10:04:21:740,854041,854041,0,0,39875194,0,4723 43,3,2024-09-07 10:04:21:749,1,676,16,0,571,9270,676,0 44,0,2024-09-07 10:04:20:884,173290,0.4,173567,0.6,346390,0.4,462034,1.75 44,1,2024-09-07 10:04:20:564,1189861,1189861,0,0,558522623106,5778712260,1178605,9105,2151,356,391809,0 44,2,2024-09-07 10:04:21:279,852170,852170,0,0,33148222,0,4344 44,3,2024-09-07 10:04:21:100,1,676,10,0,1097,8393,676,0 45,0,2024-09-07 10:04:21:758,165695,0.5,161879,0.7,339706,0.4,445163,2.00 45,1,2024-09-07 10:04:21:010,1189242,1189242,0,0,558869341962,5811744515,1179699,8322,1221,382,391917,0 45,2,2024-09-07 10:04:21:269,857071,857071,0,0,33445399,0,3596 45,3,2024-09-07 10:04:20:935,1,676,41,0,531,6431,676,0 46,0,2024-09-07 10:04:20:956,165375,0.5,164916,0.7,330674,0.5,440327,2.00 46,1,2024-09-07 10:04:20:579,1191245,1191245,0,0,559250403102,5788456726,1181999,7765,1481,366,391709,0 46,2,2024-09-07 10:04:20:596,856666,856666,0,0,34335432,0,4443 46,3,2024-09-07 10:04:21:131,1,676,8,0,908,7582,676,0 47,0,2024-09-07 10:04:21:100,171462,0.4,171044,0.6,343437,0.4,455247,2.00 47,1,2024-09-07 10:04:20:570,1192648,1192648,0,0,559154167516,5781367339,1185153,6482,1013,364,391666,0 47,2,2024-09-07 10:04:20:909,858114,858114,0,0,34773917,0,4477 47,3,2024-09-07 10:04:21:118,1,676,11,0,600,7398,676,0 48,0,2024-09-07 10:04:21:491,172022,0.3,172615,0.4,343890,0.2,458114,1.50 48,1,2024-09-07 10:04:21:024,1189788,1189788,0,0,557917155501,5797853005,1182198,6578,1012,381,391710,0 48,2,2024-09-07 10:04:20:701,852441,852441,0,0,31409220,0,3619 48,3,2024-09-07 10:04:20:753,1,676,1,0,339,5920,676,0 49,0,2024-09-07 10:04:21:720,174601,0.3,170935,0.5,332534,0.3,453566,1.75 49,1,2024-09-07 10:04:21:024,1188601,1188601,0,0,557983728015,5803682319,1180737,6166,1698,382,391809,0 49,2,2024-09-07 10:04:21:803,858164,858164,0,0,33107215,0,4426 49,3,2024-09-07 10:04:21:417,1,676,2,0,992,7952,676,0 50,0,2024-09-07 10:04:21:507,164863,0.3,163442,0.5,329049,0.2,438012,1.75 50,1,2024-09-07 10:04:21:014,1191928,1191928,0,0,559438007674,5784076365,1184454,6742,732,368,391691,0 50,2,2024-09-07 10:04:21:068,856040,856040,0,0,30991610,0,4490 50,3,2024-09-07 10:04:21:300,1,676,1,0,617,7097,676,0 51,0,2024-09-07 10:04:21:686,174230,0.3,170501,0.5,332027,0.2,453269,1.75 51,1,2024-09-07 10:04:21:681,1192193,1192193,0,0,560953664980,5785384846,1185502,5347,1344,365,391706,0 51,2,2024-09-07 10:04:21:322,856047,856047,0,0,30722101,0,3337 51,3,2024-09-07 10:04:21:028,1,676,15,0,678,5440,676,0 52,0,2024-09-07 10:04:21:461,171585,0.5,171635,0.7,342799,0.4,455810,2.00 52,1,2024-09-07 10:04:20:575,1186773,1186773,0,0,556857457947,5829108749,1170030,14316,2427,368,391805,0 52,2,2024-09-07 10:04:21:758,847266,847228,38,0,41930091,0,6742 52,3,2024-09-07 10:04:20:678,1,676,0,0,1782,7891,676,0 53,0,2024-09-07 10:04:21:728,170789,0.6,165723,0.8,346714,0.7,453733,2.25 53,1,2024-09-07 10:04:20:771,1185247,1185247,0,0,557196381605,5843114309,1166098,14736,4413,367,391968,0 53,2,2024-09-07 10:04:21:307,856600,856462,138,0,38495210,0,7690 53,3,2024-09-07 10:04:20:700,1,676,8,0,308,6423,676,0 54,0,2024-09-07 10:04:21:623,163217,0.6,163575,0.7,325902,0.5,434525,2.25 54,1,2024-09-07 10:04:20:581,1188335,1188335,0,0,558889177779,5811495209,1176562,10099,1674,366,391810,0 54,2,2024-09-07 10:04:20:866,857191,857159,32,0,41762019,0,6397 54,3,2024-09-07 10:04:20:764,1,676,1,0,676,8787,676,0 55,0,2024-09-07 10:04:21:759,162166,0.7,167222,0.8,339249,0.6,442237,2.50 55,1,2024-09-07 10:04:20:764,1189020,1189020,0,0,557902529412,5802458489,1177002,10532,1486,365,391731,0 55,2,2024-09-07 10:04:20:729,853903,853847,56,0,38231317,0,7239 55,3,2024-09-07 10:04:20:674,1,676,1,0,304,6250,676,0 56,0,2024-09-07 10:04:21:664,175068,1.3,164976,1.2,340018,1.7,455081,2.75 56,1,2024-09-07 10:04:20:571,1181477,1181477,0,0,555451085923,5873965014,1162069,15654,3754,381,391867,0 56,2,2024-09-07 10:04:21:306,850563,850441,122,0,40571242,0,7432 56,3,2024-09-07 10:04:21:078,1,676,18,0,705,8147,676,0 57,0,2024-09-07 10:04:20:944,171261,1.4,171228,1.2,342097,1.9,457300,3.00 57,1,2024-09-07 10:04:20:994,1184588,1184588,0,0,555780034563,5831800189,1169029,12868,2691,366,392032,0 57,2,2024-09-07 10:04:21:317,853658,853658,0,0,43682409,0,4804 57,3,2024-09-07 10:04:21:739,1,676,5,0,455,7357,676,0 58,0,2024-09-07 10:04:20:554,164682,0.9,159867,1.0,334711,1.1,438173,2.50 58,1,2024-09-07 10:04:20:575,1185418,1185415,0,3,556800664536,5840980647,1167060,13954,4401,367,391726,3 58,2,2024-09-07 10:04:21:070,856813,856813,0,0,39779405,0,3483 58,3,2024-09-07 10:04:21:069,1,676,1,0,1043,7425,676,0 59,0,2024-09-07 10:04:21:742,165611,0.9,164940,1.0,329844,1.0,437902,3.00 59,1,2024-09-07 10:04:20:804,1185057,1185057,0,0,556409175329,5847116585,1165599,15620,3838,369,391653,0 59,2,2024-09-07 10:04:20:583,854843,854843,0,0,37839782,0,3727 59,3,2024-09-07 10:04:21:739,1,676,8,0,1015,8000,676,0 60,0,2024-09-07 10:04:21:709,171727,0.5,171933,0.7,343607,0.5,459154,2.00 60,1,2024-09-07 10:04:20:772,1188810,1188810,0,0,558270304666,5816366820,1177887,9422,1501,370,392031,0 60,2,2024-09-07 10:04:21:141,855643,855643,0,0,36176730,0,3811 60,3,2024-09-07 10:04:21:260,1,676,0,0,409,7761,676,0 61,0,2024-09-07 10:04:21:508,172259,0.6,173032,0.8,344556,0.6,459846,2.00 61,1,2024-09-07 10:04:20:770,1186075,1186075,0,0,556661213228,5830677308,1173434,10795,1846,382,392127,0 61,2,2024-09-07 10:04:21:138,853177,853110,67,0,36890948,0,6411 61,3,2024-09-07 10:04:21:690,1,676,0,0,607,8485,676,0 62,0,2024-09-07 10:04:21:705,169426,0.5,173740,0.7,331735,0.5,450187,2.00 62,1,2024-09-07 10:04:21:111,1193574,1193568,0,6,560179380797,5784045055,1186363,6588,617,365,391975,6 62,2,2024-09-07 10:04:21:643,854327,854326,1,0,36602743,0,5555 62,3,2024-09-07 10:04:21:146,1,676,0,0,482,5379,676,0 63,0,2024-09-07 10:04:21:476,165653,0.4,165276,0.6,331413,0.3,440421,1.75 63,1,2024-09-07 10:04:20:806,1190451,1190445,0,6,559077205633,5809226522,1182551,6944,950,381,391800,6 63,2,2024-09-07 10:04:20:764,855795,855795,0,0,35820834,0,4369 63,3,2024-09-07 10:04:21:733,1,676,12,0,667,8032,676,0 64,0,2024-09-07 10:04:21:563,169439,0.5,169306,0.7,338228,0.5,451054,2.00 64,1,2024-09-07 10:04:20:758,1188638,1188638,0,0,558324898566,5813908359,1178439,8173,2026,370,391794,0 64,2,2024-09-07 10:04:21:185,858875,858856,19,0,34567300,0,6121 64,3,2024-09-07 10:04:21:140,1,676,14,0,651,7698,676,0 65,0,2024-09-07 10:04:21:676,169772,0.6,170062,0.7,339532,0.6,453010,2.00 65,1,2024-09-07 10:04:20:877,1186940,1186940,0,0,556979739168,5821392527,1178701,7341,898,381,391901,0 65,2,2024-09-07 10:04:21:697,849720,849720,0,0,40771587,0,3367 65,3,2024-09-07 10:04:21:684,1,676,0,0,782,8023,676,0 66,0,2024-09-07 10:04:21:764,170390,0.5,169743,0.7,339725,0.5,451867,2.00 66,1,2024-09-07 10:04:21:298,1188773,1188773,0,0,557702056764,5805021151,1181301,6599,873,380,391743,0 66,2,2024-09-07 10:04:21:134,859335,859332,3,0,36030488,0,5455 66,3,2024-09-07 10:04:21:079,1,676,1,0,291,6108,676,0 67,0,2024-09-07 10:04:21:416,163991,0.5,163591,0.7,327864,0.5,436271,2.00 67,1,2024-09-07 10:04:20:765,1188906,1188905,0,1,557476792351,5805784813,1180473,7292,1140,380,391787,1 67,2,2024-09-07 10:04:20:582,860031,860016,15,0,36344792,0,6205 67,3,2024-09-07 10:04:21:757,1,676,19,0,595,7247,676,0 68,0,2024-09-07 10:04:20:560,168846,0.6,168576,0.8,335923,0.6,449999,2.25 68,1,2024-09-07 10:04:20:581,1184344,1184344,0,0,556144272749,5853462887,1167622,12451,4271,381,391953,0 68,2,2024-09-07 10:04:21:058,850779,850679,100,0,44694671,0,8578 68,3,2024-09-07 10:04:20:729,1,676,2,0,417,9596,676,0 69,0,2024-09-07 10:04:21:722,171378,0.7,171875,0.8,342917,0.8,456467,2.25 69,1,2024-09-07 10:04:21:027,1183579,1183579,0,0,555367372879,5852106099,1168695,11903,2981,383,391994,0 69,2,2024-09-07 10:04:21:735,848154,848125,29,0,47457419,0,6912 69,3,2024-09-07 10:04:20:760,1,676,1,0,698,10305,676,0 70,0,2024-09-07 10:04:21:550,170325,0.7,171060,0.9,343063,0.6,454795,2.50 70,1,2024-09-07 10:04:20:813,1189892,1189892,0,0,559238608275,5806044020,1180446,8401,1045,366,391725,0 70,2,2024-09-07 10:04:21:324,855815,855815,0,0,39131411,0,4323 70,3,2024-09-07 10:04:20:746,1,676,40,0,854,7643,676,0 71,0,2024-09-07 10:04:21:361,165295,0.8,164832,1.0,330645,1.0,440660,2.50 71,1,2024-09-07 10:04:21:595,1188012,1188012,0,0,557001624847,5813973487,1173584,12642,1786,367,391738,0 71,2,2024-09-07 10:04:21:068,855664,855664,0,0,39563071,0,4352 71,3,2024-09-07 10:04:21:750,1,676,8,0,644,7562,676,0 72,0,2024-09-07 10:04:21:079,173082,0.6,168963,0.7,330169,0.6,449343,2.00 72,1,2024-09-07 10:04:21:025,1186078,1186078,0,0,556563872845,5837950279,1169230,14115,2733,369,391819,0 72,2,2024-09-07 10:04:21:758,852820,852820,0,0,41331616,0,3983 72,3,2024-09-07 10:04:21:758,1,676,11,0,564,9451,676,0 73,0,2024-09-07 10:04:21:204,168250,0.5,172445,0.7,352268,0.4,458690,2.00 73,1,2024-09-07 10:04:20:767,1187794,1187794,0,0,557669402490,5799431611,1178274,8458,1062,367,391858,0 73,2,2024-09-07 10:04:21:743,852682,852681,1,0,43446302,0,5027 73,3,2024-09-07 10:04:20:984,1,676,2,0,1091,9242,676,0 74,0,2024-09-07 10:04:21:322,174114,0.5,178380,0.7,340375,0.4,462423,2.00 74,1,2024-09-07 10:04:20:636,1186385,1186385,0,0,556693798302,5810787102,1174028,10209,2148,381,391762,0 74,2,2024-09-07 10:04:21:008,852300,852300,0,0,37610301,0,4253 74,3,2024-09-07 10:04:21:442,1,676,2,0,522,7874,676,0 75,0,2024-09-07 10:04:21:765,167657,0.5,166627,0.7,334466,0.5,446525,2.00 75,1,2024-09-07 10:04:21:583,1187977,1187977,0,0,557145392566,5807675352,1178099,8886,992,380,391739,0 75,2,2024-09-07 10:04:21:348,854892,854892,0,0,44839870,0,4766 75,3,2024-09-07 10:04:21:076,1,676,8,0,918,9434,676,0 76,0,2024-09-07 10:04:20:594,165638,0.6,165048,0.8,329761,0.6,441761,2.25 76,1,2024-09-07 10:04:20:808,1187861,1187861,0,0,557308235917,5816637374,1179010,7542,1309,382,391790,0 76,2,2024-09-07 10:04:21:077,857958,857955,3,0,37485991,0,5265 76,3,2024-09-07 10:04:21:149,1,676,3,0,249,6277,676,0 77,0,2024-09-07 10:04:21:702,170267,0.6,170734,0.7,341708,0.5,454299,2.00 77,1,2024-09-07 10:04:20:840,1187004,1187004,0,0,557231713472,5822040968,1176737,9180,1087,381,391869,0 77,2,2024-09-07 10:04:21:302,854739,854739,0,0,36402189,0,3890 77,3,2024-09-07 10:04:21:109,1,676,1,0,401,7778,676,0 78,0,2024-09-07 10:04:21:718,172358,0.4,171637,0.6,344531,0.4,456035,2.00 78,1,2024-09-07 10:04:20:624,1186647,1186647,0,0,557036959099,5817494934,1171119,12197,3331,367,391670,0 78,2,2024-09-07 10:04:21:411,852891,852878,13,0,33856060,0,8313 78,3,2024-09-07 10:04:21:133,1,676,3,0,311,5798,676,0 79,0,2024-09-07 10:04:21:344,164021,0.4,168070,0.6,344143,0.3,448036,2.00 79,1,2024-09-07 10:04:20:576,1190952,1190952,0,0,558804753603,5790732331,1181143,8008,1801,367,391682,0 79,2,2024-09-07 10:04:21:069,857462,857462,0,0,32944099,0,4195 79,3,2024-09-07 10:04:20:749,1,676,29,0,418,7659,676,0 80,0,2024-09-07 10:04:21:101,164477,0.5,168888,0.7,322547,0.5,437042,2.00 80,1,2024-09-07 10:04:21:632,1187378,1187378,0,0,557830046292,5810094189,1179404,7393,581,368,392269,0 80,2,2024-09-07 10:04:21:097,859324,859324,0,0,33515081,0,4433 80,3,2024-09-07 10:04:20:587,1,676,2,0,681,8280,676,0 81,0,2024-09-07 10:04:21:547,169563,0.6,173635,0.7,331254,0.6,449956,2.00 81,1,2024-09-07 10:04:21:664,1186754,1186754,0,0,557229258342,5824794384,1177162,8619,973,382,392001,0 81,2,2024-09-07 10:04:21:175,855236,855173,63,0,37222967,0,5932 81,3,2024-09-07 10:04:21:118,1,676,7,0,719,7400,676,0 82,0,2024-09-07 10:04:21:530,171619,0.5,171750,0.7,343973,0.5,456392,2.00 82,1,2024-09-07 10:04:20:583,1188669,1188665,0,4,558364463252,5810009184,1181748,5794,1123,381,391768,4 82,2,2024-09-07 10:04:21:698,853349,853349,0,0,30869039,0,4484 82,3,2024-09-07 10:04:21:751,1,676,0,0,363,6117,676,0 83,0,2024-09-07 10:04:21:540,171362,0.6,171258,0.7,342277,0.5,454378,2.25 83,1,2024-09-07 10:04:20:571,1187654,1187654,0,0,557562822737,5814265206,1178728,7901,1025,382,391709,0 83,2,2024-09-07 10:04:20:764,857046,857021,25,0,33728172,0,5612 83,3,2024-09-07 10:04:20:751,1,676,9,0,1260,7941,676,0 84,0,2024-09-07 10:04:21:781,163527,0.7,163567,0.9,327348,0.7,436433,2.25 84,1,2024-09-07 10:04:21:043,1185832,1185832,0,0,557184357531,5829789985,1172159,11590,2083,367,391967,0 84,2,2024-09-07 10:04:20:587,854904,854494,410,0,49024141,0,17037 84,3,2024-09-07 10:04:21:149,1,676,1,0,908,9547,676,0 85,0,2024-09-07 10:04:21:055,161472,0.7,161420,0.8,342785,0.7,442617,2.25 85,1,2024-09-07 10:04:20:560,1182173,1182173,0,0,554949473976,5857951102,1164393,14850,2930,381,392092,0 85,2,2024-09-07 10:04:20:867,854224,854224,0,0,41451341,0,4255 85,3,2024-09-07 10:04:20:685,1,676,0,0,789,7726,676,0 86,0,2024-09-07 10:04:20:890,171233,0.7,176125,0.8,337202,0.8,455871,2.25 86,1,2024-09-07 10:04:20:831,1184539,1184539,0,0,557134384231,5852470077,1166963,14263,3313,366,392169,0 86,2,2024-09-07 10:04:20:873,851173,851172,1,0,43507066,0,5004 86,3,2024-09-07 10:04:20:587,1,676,22,0,441,9510,676,0 87,0,2024-09-07 10:04:21:303,173593,0.8,172332,0.8,345213,0.9,461312,2.25 87,1,2024-09-07 10:04:20:555,1185146,1185146,0,0,556331055941,5829310336,1170244,12740,2162,366,392076,0 87,2,2024-09-07 10:04:21:067,853182,853176,6,0,40753399,0,6323 87,3,2024-09-07 10:04:21:821,1,676,14,0,473,9404,676,0 88,0,2024-09-07 10:04:21:460,166964,0.4,167415,0.6,334825,0.4,445731,1.75 88,1,2024-09-07 10:04:20:575,1183888,1183888,0,0,555755694779,5825686182,1167140,13613,3135,365,392084,0 88,2,2024-09-07 10:04:20:688,855759,855759,0,0,42954977,0,4465 88,3,2024-09-07 10:04:21:281,1,676,3,0,1080,10991,676,0 89,0,2024-09-07 10:04:21:778,171593,0.4,166572,0.6,328297,0.4,446455,1.75 89,1,2024-09-07 10:04:20:570,1183738,1183738,0,0,556503236679,5853479265,1169081,12381,2276,382,391866,0 89,2,2024-09-07 10:04:21:134,854190,854190,0,0,40382099,0,3173 89,3,2024-09-07 10:04:21:791,1,676,6,0,729,12212,676,0 90,0,2024-09-07 10:04:21:624,166801,0.5,171206,0.7,349661,0.5,456636,2.00 90,1,2024-09-07 10:04:20:598,1184691,1184691,0,0,556379572459,5832157614,1172261,11163,1267,380,391825,0 90,2,2024-09-07 10:04:21:415,850912,850907,5,0,43645099,0,6370 90,3,2024-09-07 10:04:20:937,1,676,1,0,364,8989,676,0 91,0,2024-09-07 10:04:20:949,172982,0.5,167777,0.6,351153,0.5,460877,1.75 91,1,2024-09-07 10:04:20:556,1182094,1182094,0,0,555984594947,5866518827,1163415,14533,4146,381,392047,0 91,2,2024-09-07 10:04:21:338,851551,851551,0,0,38815970,0,4713 91,3,2024-09-07 10:04:20:625,1,676,16,0,231,6410,676,0 92,0,2024-09-07 10:04:21:451,170335,0.4,174272,0.6,332292,0.4,450495,1.75 92,1,2024-09-07 10:04:20:579,1186445,1186445,0,0,556950384719,5820718505,1176971,8163,1311,381,392136,0 92,2,2024-09-07 10:04:21:351,855781,855781,0,0,35420136,0,3906 92,3,2024-09-07 10:04:21:012,1,676,2,0,322,6175,676,0 93,0,2024-09-07 10:04:20:965,166119,0.4,170234,0.6,324967,0.3,440298,1.75 93,1,2024-09-07 10:04:20:820,1187203,1187203,0,0,556768165127,5821206650,1172822,11948,2433,365,392048,0 93,2,2024-09-07 10:04:20:941,856111,856111,0,0,40885091,0,4913 93,3,2024-09-07 10:04:21:408,1,676,27,0,505,7654,676,0 94,0,2024-09-07 10:04:21:616,169430,0.4,170575,0.6,341333,0.4,453303,1.75 94,1,2024-09-07 10:04:20:563,1187960,1187960,0,0,558055565258,5822550194,1179693,7617,650,381,391850,0 94,2,2024-09-07 10:04:20:761,855803,855775,28,0,35608298,0,6179 94,3,2024-09-07 10:04:21:688,1,676,1,0,576,8300,676,0 95,0,2024-09-07 10:04:21:487,171092,0.4,170948,0.6,342298,0.3,455951,1.75 95,1,2024-09-07 10:04:20:894,1188675,1188675,0,0,558165152710,5802505024,1179343,8522,810,365,391852,0 95,2,2024-09-07 10:04:21:024,850066,850066,0,0,35257113,0,3308 95,3,2024-09-07 10:04:21:720,1,676,9,0,718,10457,676,0 96,0,2024-09-07 10:04:21:032,170809,0.3,170999,0.5,342132,0.3,454537,1.75 96,1,2024-09-07 10:04:21:585,1186736,1186736,0,0,557278355572,5816637683,1177833,7526,1377,384,391964,0 96,2,2024-09-07 10:04:21:279,858145,858145,0,0,35847039,0,4225 96,3,2024-09-07 10:04:21:149,1,676,13,0,411,7666,676,0 97,0,2024-09-07 10:04:21:316,164004,0.3,163771,0.5,328284,0.3,436146,1.50 97,1,2024-09-07 10:04:20:763,1189108,1189108,0,0,559013363229,5801714437,1181256,6613,1239,367,392140,0 97,2,2024-09-07 10:04:20:622,858395,858395,0,0,36106370,0,4600 97,3,2024-09-07 10:04:20:574,1,676,11,0,433,8236,676,0 98,0,2024-09-07 10:04:21:742,168413,0.3,168412,0.5,338218,0.3,450185,1.50 98,1,2024-09-07 10:04:20:575,1188333,1188333,0,0,557597992418,5809628469,1180898,6536,899,381,391997,0 98,2,2024-09-07 10:04:20:770,854714,854714,0,0,34477344,0,4336 98,3,2024-09-07 10:04:20:706,1,676,35,0,840,9595,676,0 99,0,2024-09-07 10:04:21:517,172186,0.3,173014,0.5,344784,0.3,459161,1.75 99,1,2024-09-07 10:04:21:727,1189537,1189537,0,0,557989506183,5809483991,1181762,6668,1107,380,392069,0 99,2,2024-09-07 10:04:21:419,850588,850588,0,0,43199948,0,4276 99,3,2024-09-07 10:04:20:589,1,676,9,0,1124,8499,676,0 100,0,2024-09-07 10:04:21:473,171759,0.8,172259,0.9,344360,0.9,458841,2.50 100,1,2024-09-07 10:04:20:565,1181870,1181870,0,0,555094739711,5874095451,1162834,15241,3795,378,391989,0 100,2,2024-09-07 10:04:21:819,851782,851393,389,0,45038818,0,16909 100,3,2024-09-07 10:04:21:734,1,676,7,0,627,10703,676,0 101,0,2024-09-07 10:04:21:704,169888,0.9,165871,1.0,324285,0.9,442506,2.25 101,1,2024-09-07 10:04:20:568,1184140,1184140,0,0,555858914949,5844209607,1167781,13423,2936,368,392018,0 101,2,2024-09-07 10:04:21:759,850669,850630,39,0,46994310,0,5913 101,3,2024-09-07 10:04:20:942,1,676,0,0,1250,10528,676,0 102,0,2024-09-07 10:04:20:991,163024,0.6,167960,0.8,341183,0.7,446236,2.25 102,1,2024-09-07 10:04:21:142,1184265,1184265,0,0,556507153650,5846007320,1168545,13464,2256,369,391984,0 102,2,2024-09-07 10:04:21:740,855451,855397,54,0,38864671,0,6768 102,3,2024-09-07 10:04:21:614,1,676,26,0,466,7901,676,0 103,0,2024-09-07 10:04:21:600,177899,0.7,177854,0.8,335232,0.8,460895,2.25 103,1,2024-09-07 10:04:21:711,1182701,1182701,0,0,555232541945,5864424084,1163798,15277,3626,381,392077,0 103,2,2024-09-07 10:04:20:584,851625,851625,0,0,42219557,0,3766 103,3,2024-09-07 10:04:20:758,1,676,4,0,916,8058,676,0 104,0,2024-09-07 10:04:21:013,171857,0.7,172256,0.9,343628,0.7,459545,2.50 104,1,2024-09-07 10:04:21:609,1185050,1185050,0,0,555522399509,5842750230,1166632,14962,3456,365,392168,0 104,2,2024-09-07 10:04:21:675,850867,850867,0,0,41354505,0,4161 104,3,2024-09-07 10:04:21:424,1,676,1,0,1245,11661,676,0 105,0,2024-09-07 10:04:21:046,165636,0.8,161403,1.0,338425,0.9,444397,2.50 105,1,2024-09-07 10:04:20:562,1187043,1187043,0,0,556411566936,5829613243,1172308,12622,2113,364,392009,0 105,2,2024-09-07 10:04:21:343,854875,854875,0,0,42577131,0,4360 105,3,2024-09-07 10:04:21:305,1,676,25,0,573,10213,676,0 106,0,2024-09-07 10:04:21:039,160424,0.9,164491,1.0,336471,1.1,439915,2.50 106,1,2024-09-07 10:04:21:750,1184884,1184884,0,0,556096357990,5836991801,1168466,14268,2150,368,391914,0 106,2,2024-09-07 10:04:20:760,854931,854931,0,0,39380344,0,3331 106,3,2024-09-07 10:04:20:677,1,676,274,0,1224,9422,676,0 107,0,2024-09-07 10:04:21:167,170356,1.2,170426,1.0,340936,1.7,455158,2.25 107,1,2024-09-07 10:04:20:636,1182501,1182501,0,0,554217148729,5860448129,1163448,16798,2255,381,392234,0 107,2,2024-09-07 10:04:21:307,851547,851546,1,0,42930314,0,5024 107,3,2024-09-07 10:04:21:758,1,676,11,0,733,10814,676,0 108,0,2024-09-07 10:04:21:834,171389,0.4,172209,0.6,343398,0.4,457874,1.75 108,1,2024-09-07 10:04:21:304,1186294,1186294,0,0,557237475493,5819275634,1175390,9696,1208,367,391894,0 108,2,2024-09-07 10:04:21:759,851915,851915,0,0,38172715,0,4432 108,3,2024-09-07 10:04:21:331,1,676,1,0,767,12368,676,0 109,0,2024-09-07 10:04:21:761,170605,0.4,169185,0.6,339402,0.3,452643,1.75 109,1,2024-09-07 10:04:20:585,1184484,1184484,0,0,556369521952,5839703223,1173853,9127,1504,382,392132,0 109,2,2024-09-07 10:04:20:926,854996,854996,0,0,38283876,0,3617 109,3,2024-09-07 10:04:21:147,1,676,2,0,630,7777,676,0 110,0,2024-09-07 10:04:21:759,164207,0.4,159596,0.6,334311,0.3,438889,1.75 110,1,2024-09-07 10:04:21:651,1188514,1188514,0,0,558210392898,5799193501,1179064,7366,2084,368,392045,0 110,2,2024-09-07 10:04:21:303,856984,856984,0,0,37402851,0,4067 110,3,2024-09-07 10:04:20:689,1,676,132,0,722,9274,676,0 111,0,2024-09-07 10:04:21:419,170358,0.4,169579,0.6,338722,0.4,452645,1.75 111,1,2024-09-07 10:04:21:003,1189905,1189905,0,0,559060604755,5806849403,1182667,6733,505,380,391690,0 111,2,2024-09-07 10:04:21:115,855236,855236,0,0,36861587,0,4823 111,3,2024-09-07 10:04:20:914,1,676,22,0,379,7545,676,0 112,0,2024-09-07 10:04:20:913,172416,0.3,171973,0.4,344692,0.2,457596,1.50 112,1,2024-09-07 10:04:20:824,1188837,1188837,0,0,558071769597,5793835841,1181252,6360,1225,380,391624,0 112,2,2024-09-07 10:04:21:134,851571,851570,1,0,34595121,0,5036 112,3,2024-09-07 10:04:20:600,1,676,1,0,282,6764,676,0 113,0,2024-09-07 10:04:20:867,171341,0.3,171642,0.5,343589,0.2,456792,1.75 113,1,2024-09-07 10:04:21:686,1192458,1192458,0,0,559903764499,5777683948,1185550,5958,950,365,391664,0 113,2,2024-09-07 10:04:21:303,859156,859156,0,0,31873451,0,3813 113,3,2024-09-07 10:04:20:684,1,676,1,0,510,6947,676,0 114,0,2024-09-07 10:04:20:892,165128,0.3,166218,0.5,331616,0.2,441943,1.75 114,1,2024-09-07 10:04:20:716,1188500,1188500,0,0,557750619822,5792745687,1179676,7020,1804,381,391565,0 114,2,2024-09-07 10:04:20:873,858921,858920,1,0,34538205,0,5069 114,3,2024-09-07 10:04:21:287,1,676,2,0,415,5627,676,0 115,0,2024-09-07 10:04:20:556,168810,0.3,169431,0.4,337273,0.2,449093,1.50 115,1,2024-09-07 10:04:20:575,1189253,1189253,0,0,559507049540,5812855693,1179701,7761,1791,382,391757,0 115,2,2024-09-07 10:04:21:126,856687,856687,0,0,34436362,0,4382 115,3,2024-09-07 10:04:21:002,1,676,2,0,173,4389,676,0 116,0,2024-09-07 10:04:21:733,171263,0.8,171291,0.9,343255,0.8,458533,2.25 116,1,2024-09-07 10:04:20:809,1182749,1182749,0,0,556090275443,5860374541,1168702,10837,3210,380,392089,0 116,2,2024-09-07 10:04:21:755,851761,851761,0,0,41585522,0,4475 116,3,2024-09-07 10:04:20:913,1,676,1,0,448,8796,676,0 117,0,2024-09-07 10:04:20:948,173329,0.6,173043,0.8,346312,0.7,462586,2.00 117,1,2024-09-07 10:04:21:581,1185047,1185047,0,0,556454647186,5830917990,1171238,12046,1763,369,392429,0 117,2,2024-09-07 10:04:21:121,855711,855711,0,0,38252850,0,4303 117,3,2024-09-07 10:04:21:059,1,676,3,0,490,8393,676,0 118,0,2024-09-07 10:04:21:794,161939,0.5,166379,0.7,339471,0.5,444141,2.00 118,1,2024-09-07 10:04:20:585,1183727,1183727,0,0,555516328293,5841012323,1166233,13649,3845,366,392054,0 118,2,2024-09-07 10:04:21:611,855482,855482,0,0,40812436,0,3161 118,3,2024-09-07 10:04:21:776,1,676,6,0,343,8173,676,0 119,0,2024-09-07 10:04:21:351,166677,0.7,167091,0.8,333607,0.8,444933,2.25 119,1,2024-09-07 10:04:20:549,1184768,1184768,0,0,556581814432,5838819866,1168761,13342,2665,367,391857,0 119,2,2024-09-07 10:04:21:278,855399,855399,0,0,37800511,0,4309 119,3,2024-09-07 10:04:21:329,1,676,1,0,1358,12112,676,0 120,0,2024-09-07 10:04:21:551,170962,0.7,170971,0.9,343069,0.7,457900,2.50 120,1,2024-09-07 10:04:20:867,1185606,1185606,0,0,556216801145,5834294250,1172980,11469,1157,367,392144,0 120,2,2024-09-07 10:04:20:773,853223,853220,3,0,45532412,0,5363 120,3,2024-09-07 10:04:21:299,1,676,1,0,478,8783,676,0 121,0,2024-09-07 10:04:21:688,172441,1.2,171911,1.0,344005,1.5,458767,2.25 121,1,2024-09-07 10:04:21:663,1185364,1185364,0,0,557012593501,5833761872,1171853,11515,1996,366,391840,0 121,2,2024-09-07 10:04:21:134,852243,852243,0,0,41163944,0,4157 121,3,2024-09-07 10:04:20:727,1,676,6,0,387,9131,676,0 122,0,2024-09-07 10:04:21:767,168304,0.7,163685,0.8,342504,0.8,450045,2.00 122,1,2024-09-07 10:04:20:867,1184725,1184725,0,0,556028270878,5835343131,1168459,13689,2577,365,392130,0 122,2,2024-09-07 10:04:21:326,855948,855873,75,0,45456565,0,5989 122,3,2024-09-07 10:04:20:594,1,676,1,0,512,10458,676,0 123,0,2024-09-07 10:04:20:979,164116,0.8,159879,0.9,335245,0.9,438328,2.25 123,1,2024-09-07 10:04:20:558,1185342,1185342,0,0,556773842191,5857230203,1166263,16054,3025,369,392039,0 123,2,2024-09-07 10:04:21:024,855235,855234,1,0,39037709,0,5215 123,3,2024-09-07 10:04:21:133,1,676,8,0,478,7692,676,0 124,0,2024-09-07 10:04:20:948,174901,0.4,174932,0.5,329578,0.3,454212,1.75 124,1,2024-09-07 10:04:21:022,1188525,1188525,0,0,557809287963,5803482074,1179003,8263,1259,365,392178,0 124,2,2024-09-07 10:04:21:012,857378,857325,53,0,36198944,0,6487 124,3,2024-09-07 10:04:20:768,1,676,1,0,490,6821,676,0 125,0,2024-09-07 10:04:21:447,170992,0.4,170862,0.6,342431,0.3,456349,1.75 125,1,2024-09-07 10:04:20:856,1185969,1185969,0,0,556474838735,5818677273,1174935,9452,1582,382,392045,0 125,2,2024-09-07 10:04:21:158,853262,853262,0,0,35472866,0,4534 125,3,2024-09-07 10:04:21:161,1,676,1,0,709,7640,676,0 126,0,2024-09-07 10:04:21:483,171122,0.4,175861,0.5,336127,0.3,455298,1.75 126,1,2024-09-07 10:04:20:697,1189394,1189394,0,0,558570744663,5790316147,1182126,6567,701,365,391987,0 126,2,2024-09-07 10:04:20:622,858316,858316,0,0,37328960,0,4539 126,3,2024-09-07 10:04:20:912,1,676,36,0,268,7803,676,0 127,0,2024-09-07 10:04:21:597,164182,0.3,164568,0.5,328353,0.3,436374,1.75 127,1,2024-09-07 10:04:20:580,1187932,1187932,0,0,557713700657,5806496422,1175485,10671,1776,364,392187,0 127,2,2024-09-07 10:04:20:641,858167,858163,4,0,35311976,0,5305 127,3,2024-09-07 10:04:21:269,1,676,30,0,968,6748,676,0 128,0,2024-09-07 10:04:21:573,169452,0.3,169481,0.4,338857,0.2,451088,1.50 128,1,2024-09-07 10:04:21:609,1187397,1187397,0,0,557821953067,5800578738,1178537,7890,970,367,392423,0 128,2,2024-09-07 10:04:21:383,857383,857383,0,0,32934132,0,3171 128,3,2024-09-07 10:04:20:772,1,676,5,0,1082,10180,676,0 129,0,2024-09-07 10:04:21:027,173396,0.3,172697,0.5,346408,0.3,459897,1.75 129,1,2024-09-07 10:04:20:575,1184466,1184466,0,0,556488949360,5839537249,1171534,10603,2329,379,391962,0 129,2,2024-09-07 10:04:20:685,853410,853406,4,0,35280474,0,5335 129,3,2024-09-07 10:04:20:688,1,676,8,0,506,9831,676,0 130,0,2024-09-07 10:04:21:738,172963,0.4,172754,0.5,345820,0.4,460316,1.75 130,1,2024-09-07 10:04:20:608,1189128,1189128,0,0,558038507262,5795883713,1183290,5431,407,381,391825,0 130,2,2024-09-07 10:04:21:134,856217,856217,0,0,35233898,0,4067 130,3,2024-09-07 10:04:21:299,1,676,1,0,960,8850,676,0 131,0,2024-09-07 10:04:21:947,166252,0.3,166744,0.5,334447,0.3,444168,1.50 131,1,2024-09-07 10:04:21:843,1188054,1188054,0,0,556951034107,5805198526,1179917,6929,1208,381,391865,0 131,2,2024-09-07 10:04:20:567,857275,857275,0,0,33476317,0,3979 131,3,2024-09-07 10:04:21:703,1,676,11,0,392,8573,676,0 132,0,2024-09-07 10:04:21:447,168090,0.5,169070,0.6,336344,0.4,448213,2.00 132,1,2024-09-07 10:04:20:583,1183831,1183831,0,0,555625020041,5848412495,1167335,13714,2782,381,392532,0 132,2,2024-09-07 10:04:20:701,854850,854833,17,0,42293296,0,6451 132,3,2024-09-07 10:04:21:694,1,676,5,0,1298,11768,676,0 133,0,2024-09-07 10:04:21:528,168250,0.5,172277,0.6,353120,0.4,459336,2.00 133,1,2024-09-07 10:04:20:584,1183878,1183878,0,0,556180878655,5858856189,1168450,13494,1934,383,391914,0 133,2,2024-09-07 10:04:21:090,854258,854208,50,0,43268597,0,6861 133,3,2024-09-07 10:04:21:297,1,676,8,0,528,7998,676,0 134,0,2024-09-07 10:04:20:968,173820,0.5,173681,0.7,347381,0.5,462893,2.00 134,1,2024-09-07 10:04:20:598,1184899,1184899,0,0,556342766259,5839713313,1168822,12785,3292,366,391781,0 134,2,2024-09-07 10:04:21:766,852450,852311,139,0,40795085,0,7591 134,3,2024-09-07 10:04:20:749,1,676,1,0,739,8448,676,0 135,0,2024-09-07 10:04:21:102,162251,0.7,162268,0.8,344489,0.8,443761,2.25 135,1,2024-09-07 10:04:21:604,1184888,1184888,0,0,555834777214,5844249583,1170497,12539,1852,380,392038,0 135,2,2024-09-07 10:04:20:692,856967,856967,0,0,41397983,0,4503 135,3,2024-09-07 10:04:21:001,1,676,1,0,900,6575,676,0 136,0,2024-09-07 10:04:21:669,166490,0.6,167028,0.8,331876,0.6,443162,2.25 136,1,2024-09-07 10:04:21:442,1186037,1186037,0,0,556305763142,5829939188,1173388,11223,1426,381,392135,0 136,2,2024-09-07 10:04:21:147,857364,857349,15,0,40548815,0,6007 136,3,2024-09-07 10:04:21:109,1,676,16,0,637,8009,676,0 137,0,2024-09-07 10:04:21:009,176062,0.6,171648,0.8,336158,0.7,456835,2.00 137,1,2024-09-07 10:04:20:585,1184836,1184836,0,0,556376965144,5836286157,1166417,14782,3637,366,391898,0 137,2,2024-09-07 10:04:21:713,854118,854118,0,0,41590309,0,3185 137,3,2024-09-07 10:04:20:769,1,676,62,0,484,9746,676,0 138,0,2024-09-07 10:04:21:752,170795,0.9,171131,0.9,342749,1.2,456242,2.25 138,1,2024-09-07 10:04:21:685,1184636,1184636,0,0,556807109797,5845962752,1167511,14434,2691,368,391954,0 138,2,2024-09-07 10:04:20:595,850159,850159,0,0,39901503,0,4988 138,3,2024-09-07 10:04:20:614,1,676,4,0,1200,10216,676,0 139,0,2024-09-07 10:04:21:479,168429,0.9,168944,0.9,337940,1.2,450956,2.25 139,1,2024-09-07 10:04:20:576,1180683,1180683,0,0,553737968669,5869297289,1160797,15973,3913,380,392109,0 139,2,2024-09-07 10:04:20:694,850872,850842,30,0,45553215,0,5997 139,3,2024-09-07 10:04:21:670,1,676,9,0,432,8083,676,0 140,0,2024-09-07 10:04:21:587,164797,0.3,164092,0.5,329204,0.2,439208,1.75 140,1,2024-09-07 10:04:21:538,1192363,1192363,0,0,559233888082,5772314195,1185966,5660,737,364,391628,0 140,2,2024-09-07 10:04:20:687,857425,857424,1,0,33841490,0,5036 140,3,2024-09-07 10:04:20:767,1,676,3,0,575,6902,676,0 141,0,2024-09-07 10:04:21:701,170121,0.3,174821,0.5,334061,0.3,452317,1.75 141,1,2024-09-07 10:04:20:864,1189460,1189460,0,0,558899628959,5812059848,1179186,8881,1393,379,391614,0 141,2,2024-09-07 10:04:21:685,856113,856102,11,0,36151469,0,5369 141,3,2024-09-07 10:04:21:042,1,676,5,0,391,8068,676,0 142,0,2024-09-07 10:04:21:325,172567,0.3,171828,0.5,344006,0.3,458404,1.75 142,1,2024-09-07 10:04:20:584,1187165,1187165,0,0,558273131435,5827119807,1178336,7937,892,382,392102,0 142,2,2024-09-07 10:04:21:315,850490,850458,32,0,35630938,0,6028 142,3,2024-09-07 10:04:21:754,1,676,6,0,484,6942,676,0 143,0,2024-09-07 10:04:21:393,171495,0.4,171272,0.5,343736,0.4,456756,1.75 143,1,2024-09-07 10:04:20:563,1190332,1190332,0,0,558592455476,5799353180,1182210,7163,959,367,391900,0 143,2,2024-09-07 10:04:20:788,857830,857830,0,0,35711738,0,3123 143,3,2024-09-07 10:04:21:140,1,676,24,0,462,7996,676,0 144,0,2024-09-07 10:04:21:500,160089,0.6,164737,0.7,335244,0.5,438297,2.00 144,1,2024-09-07 10:04:20:580,1184167,1184167,0,0,555822021406,5833352931,1172433,9692,2042,381,391733,0 144,2,2024-09-07 10:04:21:759,858446,858446,0,0,35420048,0,4443 144,3,2024-09-07 10:04:21:740,1,676,35,0,306,7318,676,0 145,0,2024-09-07 10:04:21:378,162634,0.6,162628,0.8,345146,0.6,444594,2.25 145,1,2024-09-07 10:04:20:564,1183399,1183399,0,0,556289396750,5856818411,1167212,13221,2966,382,391781,0 145,2,2024-09-07 10:04:21:452,853818,853736,82,0,41396857,0,7814 145,3,2024-09-07 10:04:20:896,1,676,21,0,622,9092,676,0 146,0,2024-09-07 10:04:21:666,171842,0.7,170998,0.8,343718,0.7,456580,2.25 146,1,2024-09-07 10:04:21:603,1185161,1185161,0,0,555881230867,5849775123,1166032,14205,4924,367,391829,0 146,2,2024-09-07 10:04:21:697,850337,850331,6,0,39457511,0,5151 146,3,2024-09-07 10:04:21:287,1,676,0,0,1520,10861,676,0 147,0,2024-09-07 10:04:21:721,173355,0.6,173118,0.7,346040,0.6,461699,2.25 147,1,2024-09-07 10:04:21:377,1188632,1188632,0,0,558456033180,5807583398,1178692,8842,1098,367,391791,0 147,2,2024-09-07 10:04:21:016,853801,853801,0,0,36486320,0,3359 147,3,2024-09-07 10:04:20:914,1,676,5,0,1626,10323,676,0 0,0,2024-09-07 10:04:31:861,167347,0.7,167315,0.8,355171,0.8,459319,2.00 0,1,2024-09-07 10:04:30:824,1187881,1187881,0,0,557411896289,5836392529,1178217,8702,962,368,391896,0 0,2,2024-09-07 10:04:31:066,856142,856142,0,0,34944860,0,4480 0,3,2024-09-07 10:04:30:974,1,677,2,0,538,9838,677,0 1,0,2024-09-07 10:04:31:808,172640,0.8,171711,0.9,344571,1.0,461151,2.00 1,1,2024-09-07 10:04:30:560,1186639,1186639,0,0,557140621201,5838759793,1175272,9401,1966,370,391859,0 1,2,2024-09-07 10:04:30:669,854972,854972,0,0,34260831,0,3380 1,3,2024-09-07 10:04:31:326,1,677,5,0,269,8097,677,0 2,0,2024-09-07 10:04:31:571,168939,0.6,168646,0.7,337011,0.6,449412,2.00 2,1,2024-09-07 10:04:30:862,1190696,1190696,0,0,559227513613,5816330131,1183768,5967,961,379,391805,0 2,2,2024-09-07 10:04:31:279,858431,858431,0,0,33299593,0,3594 2,3,2024-09-07 10:04:30:700,1,677,0,0,357,6077,677,0 3,0,2024-09-07 10:04:31:756,165306,0.4,165222,0.6,330118,0.4,440329,2.00 3,1,2024-09-07 10:04:31:618,1188652,1188652,0,0,557690782893,5802237618,1180148,7786,718,379,391716,0 3,2,2024-09-07 10:04:31:143,859092,859069,23,0,34170364,0,5851 3,3,2024-09-07 10:04:31:753,1,677,1,0,484,5188,677,0 4,0,2024-09-07 10:04:31:808,165470,0.4,170040,0.5,346739,0.4,453425,1.75 4,1,2024-09-07 10:04:30:603,1183274,1183274,0,0,555421788439,5877501604,1163397,15276,4601,369,391992,0 4,2,2024-09-07 10:04:31:022,854331,854331,0,0,41564826,0,4534 4,3,2024-09-07 10:04:31:031,1,677,0,0,448,9029,677,0 5,0,2024-09-07 10:04:31:411,171429,0.4,171179,0.6,342710,0.4,456685,1.75 5,1,2024-09-07 10:04:30:767,1185041,1185041,0,0,555942805323,5867200349,1167398,13408,4235,367,392005,0 5,2,2024-09-07 10:04:31:842,848861,848828,33,0,41699690,0,7631 5,3,2024-09-07 10:04:31:757,1,677,9,0,457,8996,677,0 6,0,2024-09-07 10:04:30:919,170741,0.5,170294,0.6,340865,0.4,453877,2.00 6,1,2024-09-07 10:04:30:748,1187712,1187712,0,0,557872482838,5826242672,1175549,10478,1685,379,391702,0 6,2,2024-09-07 10:04:31:116,857899,857881,18,0,38905348,0,5535 6,3,2024-09-07 10:04:31:274,1,677,1,0,710,7927,677,0 7,0,2024-09-07 10:04:31:533,163477,0.5,164582,0.7,326874,0.5,435947,2.00 7,1,2024-09-07 10:04:30:854,1185580,1185580,0,0,556885096775,5861265653,1167747,13696,4137,382,391747,0 7,2,2024-09-07 10:04:30:779,857117,856948,169,0,39726933,0,7706 7,3,2024-09-07 10:04:30:857,1,677,8,0,552,7964,677,0 8,0,2024-09-07 10:04:31:485,169625,0.4,169190,0.5,338750,0.4,452192,1.75 8,1,2024-09-07 10:04:31:022,1184357,1184357,0,0,557355550903,5863305843,1165506,14807,4044,366,392853,0 8,2,2024-09-07 10:04:30:809,851144,851142,2,0,44683510,0,5112 8,3,2024-09-07 10:04:30:594,1,677,30,0,772,10259,677,0 9,0,2024-09-07 10:04:31:169,172346,0.4,167310,0.5,350265,0.4,459452,1.75 9,1,2024-09-07 10:04:30:575,1183921,1183921,0,0,556103746977,5867296441,1164259,15312,4350,369,392001,0 9,2,2024-09-07 10:04:31:083,850523,850522,1,0,42599226,0,5281 9,3,2024-09-07 10:04:31:753,1,677,6,0,1273,11011,677,0 10,0,2024-09-07 10:04:31:603,172278,0.4,171743,0.5,344320,0.3,458102,1.75 10,1,2024-09-07 10:04:30:590,1185828,1185828,0,0,556614855583,5844690432,1167769,14325,3734,381,391981,0 10,2,2024-09-07 10:04:30:765,856484,856484,0,0,45791397,0,4713 10,3,2024-09-07 10:04:30:882,1,677,13,0,669,7325,677,0 11,0,2024-09-07 10:04:31:011,166131,0.4,161282,0.6,337516,0.4,443926,1.75 11,1,2024-09-07 10:04:30:571,1188414,1188414,0,0,556752762416,5840531813,1171529,12575,4310,383,391766,0 11,2,2024-09-07 10:04:31:122,857082,857082,0,0,39586557,0,4698 11,3,2024-09-07 10:04:31:298,1,677,1,0,843,8366,677,0 12,0,2024-09-07 10:04:30:941,169375,0.4,169071,0.6,337777,0.4,449618,1.75 12,1,2024-09-07 10:04:30:939,1187492,1187492,0,0,557272771433,5817181773,1177650,8816,1026,370,391960,0 12,2,2024-09-07 10:04:31:545,854491,854491,0,0,39360841,0,4390 12,3,2024-09-07 10:04:31:061,1,677,0,0,386,8465,677,0 13,0,2024-09-07 10:04:31:465,173477,0.4,173633,0.6,346675,0.4,461269,1.75 13,1,2024-09-07 10:04:31:532,1184787,1184787,0,0,556284452833,5857576936,1170864,10871,3052,382,391803,0 13,2,2024-09-07 10:04:30:629,855538,855538,0,0,35598736,0,3287 13,3,2024-09-07 10:04:31:792,1,677,23,0,522,9192,677,0 14,0,2024-09-07 10:04:30:576,173372,0.4,174421,0.6,346933,0.4,461674,1.75 14,1,2024-09-07 10:04:31:565,1193293,1193293,0,0,560057261806,5796506965,1184041,8102,1150,364,391673,0 14,2,2024-09-07 10:04:30:769,855205,855175,30,0,36738978,0,6104 14,3,2024-09-07 10:04:31:115,1,677,3,0,1168,7107,677,0 15,0,2024-09-07 10:04:31:559,167803,0.4,166990,0.6,334204,0.3,446046,1.75 15,1,2024-09-07 10:04:31:613,1189340,1189340,0,0,559063203970,5828917276,1178531,8715,2094,381,391619,0 15,2,2024-09-07 10:04:30:998,859599,859599,0,0,32842809,0,3622 15,3,2024-09-07 10:04:31:409,1,677,14,0,1126,8573,677,0 16,0,2024-09-07 10:04:31:063,166369,0.6,167222,0.8,333399,0.7,444342,2.00 16,1,2024-09-07 10:04:30:566,1189286,1189286,0,0,558211833477,5836391426,1178595,9147,1544,370,392194,0 16,2,2024-09-07 10:04:31:443,856289,856289,0,0,35756879,0,4719 16,3,2024-09-07 10:04:31:148,1,677,4,0,362,8481,677,0 17,0,2024-09-07 10:04:31:844,176434,0.7,172096,0.8,336846,0.7,458360,2.00 17,1,2024-09-07 10:04:30:575,1187456,1187456,0,0,557545881694,5845693765,1176393,9266,1797,368,392075,0 17,2,2024-09-07 10:04:31:676,859225,859224,1,0,36977702,0,5050 17,3,2024-09-07 10:04:30:587,1,677,1,0,518,9779,677,0 18,0,2024-09-07 10:04:30:940,170318,0.6,171477,0.8,341099,0.6,456108,2.25 18,1,2024-09-07 10:04:31:638,1192155,1192155,0,0,559007528564,5800302209,1183999,6726,1430,367,391725,0 18,2,2024-09-07 10:04:31:762,855501,855501,0,0,33304022,0,3541 18,3,2024-09-07 10:04:30:910,1,677,0,0,1059,6268,677,0 19,0,2024-09-07 10:04:31:543,169161,0.6,169741,0.8,338331,0.6,449375,2.00 19,1,2024-09-07 10:04:30:569,1190984,1190984,0,0,559781079667,5801774388,1182141,7683,1160,365,391777,0 19,2,2024-09-07 10:04:31:758,860647,860647,0,0,31049693,0,3988 19,3,2024-09-07 10:04:31:140,1,677,12,0,524,5455,677,0 20,0,2024-09-07 10:04:31:368,164612,0.5,164478,0.7,328725,0.5,438869,2.00 20,1,2024-09-07 10:04:30:578,1186747,1186747,0,0,557479338696,5844531905,1174494,10549,1704,369,391922,0 20,2,2024-09-07 10:04:30:933,857652,857652,0,0,39525740,0,4321 20,3,2024-09-07 10:04:30:646,1,677,10,0,468,11522,677,0 21,0,2024-09-07 10:04:31:144,169924,0.5,170108,0.7,340089,0.5,451979,2.00 21,1,2024-09-07 10:04:31:552,1184828,1184828,0,0,555332416334,5863200209,1166081,14758,3989,368,392016,0 21,2,2024-09-07 10:04:31:072,850811,850334,477,0,50704529,0,17074 21,3,2024-09-07 10:04:31:418,1,677,11,0,713,9025,677,0 22,0,2024-09-07 10:04:31:744,171562,0.5,172276,0.7,344109,0.4,456508,2.25 22,1,2024-09-07 10:04:31:022,1185699,1185699,0,0,556780467517,5858434496,1166662,15434,3603,381,391822,0 22,2,2024-09-07 10:04:30:761,851064,851038,26,0,37586085,0,6328 22,3,2024-09-07 10:04:31:068,1,677,1,0,228,5612,677,0 23,0,2024-09-07 10:04:31:374,171114,0.5,170930,0.7,341853,0.4,455453,2.00 23,1,2024-09-07 10:04:31:015,1187574,1187574,0,0,557501739695,5871231170,1166331,14420,6823,365,391690,0 23,2,2024-09-07 10:04:31:096,858342,858342,0,0,35781899,0,3773 23,3,2024-09-07 10:04:31:761,1,677,1,0,855,9692,677,0 24,0,2024-09-07 10:04:30:845,166179,0.4,165141,0.6,331997,0.3,440714,1.75 24,1,2024-09-07 10:04:30:594,1185855,1185855,0,0,556912358719,5831399551,1174274,9708,1873,367,392269,0 24,2,2024-09-07 10:04:31:073,858026,858023,3,0,42350431,0,6294 24,3,2024-09-07 10:04:31:698,1,677,1,0,468,8942,677,0 25,0,2024-09-07 10:04:31:369,173044,0.4,168112,0.6,331047,0.4,451190,2.00 25,1,2024-09-07 10:04:30:566,1184676,1184676,0,0,556283575250,5879041127,1163949,16734,3993,369,391928,0 25,2,2024-09-07 10:04:31:614,853823,853823,0,0,43915798,0,3978 25,3,2024-09-07 10:04:31:024,1,677,32,0,532,7492,677,0 26,0,2024-09-07 10:04:31:723,171391,0.5,167476,0.6,351398,0.4,458221,2.00 26,1,2024-09-07 10:04:31:545,1187922,1187922,0,0,557464562828,5837229161,1172218,12801,2903,380,391758,0 26,2,2024-09-07 10:04:30:862,852349,852349,0,0,45231471,0,4689 26,3,2024-09-07 10:04:31:715,1,677,0,0,796,8548,677,0 27,0,2024-09-07 10:04:31:731,173289,0.4,173983,0.6,345902,0.4,461436,2.25 27,1,2024-09-07 10:04:31:676,1189405,1189405,0,0,558992249717,5826562607,1178125,9659,1621,381,391626,0 27,2,2024-09-07 10:04:30:873,852424,852359,65,0,40077438,0,5699 27,3,2024-09-07 10:04:31:015,1,677,9,0,564,5883,677,0 28,0,2024-09-07 10:04:31:392,167407,0.4,167668,0.6,335241,0.3,446309,1.75 28,1,2024-09-07 10:04:30:813,1189861,1189861,0,0,558887046757,5835209900,1178396,9038,2427,382,391904,0 28,2,2024-09-07 10:04:31:765,857994,857994,0,0,36795028,0,3674 28,3,2024-09-07 10:04:31:791,1,677,1,0,502,7106,677,0 29,0,2024-09-07 10:04:31:355,171943,0.4,167423,0.6,328548,0.4,448337,1.75 29,1,2024-09-07 10:04:31:568,1192937,1192937,0,0,560194086967,5799901299,1185021,6896,1020,367,391809,0 29,2,2024-09-07 10:04:30:861,856180,856180,0,0,34750420,0,4986 29,3,2024-09-07 10:04:30:964,1,677,1,0,590,7609,677,0 30,0,2024-09-07 10:04:31:475,171157,0.6,166621,0.7,349093,0.5,456243,2.00 30,1,2024-09-07 10:04:30:570,1191698,1191698,0,0,560277320079,5820287946,1181893,8637,1168,380,391672,0 30,2,2024-09-07 10:04:31:277,856263,856263,0,0,33787192,0,4192 30,3,2024-09-07 10:04:30:581,1,677,1,0,519,7181,677,0 31,0,2024-09-07 10:04:31:765,172441,0.5,173243,0.7,345512,0.4,461249,2.00 31,1,2024-09-07 10:04:30:564,1195900,1195900,0,0,560646501028,5760705053,1188286,6373,1241,356,391712,0 31,2,2024-09-07 10:04:31:281,853960,853960,0,0,37029749,0,4470 31,3,2024-09-07 10:04:31:711,1,677,1,0,248,6080,677,0 32,0,2024-09-07 10:04:31:420,169061,0.3,170164,0.5,338810,0.2,450817,1.75 32,1,2024-09-07 10:04:30:808,1191598,1191598,0,0,559428830086,5816632412,1184112,6521,965,381,391646,0 32,2,2024-09-07 10:04:30:949,860096,860096,0,0,32264170,0,3922 32,3,2024-09-07 10:04:31:021,1,677,1,0,304,5806,677,0 33,0,2024-09-07 10:04:31:508,166083,0.3,165665,0.4,331280,0.2,441554,1.50 33,1,2024-09-07 10:04:30:579,1192960,1192960,0,0,559825024107,5798058077,1183618,7991,1351,368,391730,0 33,2,2024-09-07 10:04:30:761,858152,858117,35,0,35977699,0,7012 33,3,2024-09-07 10:04:30:906,1,677,0,0,329,6370,677,0 34,0,2024-09-07 10:04:30:941,170786,0.3,175479,0.5,335573,0.2,453350,1.75 34,1,2024-09-07 10:04:31:058,1195183,1195183,0,0,561257577117,5776612639,1191185,3789,209,367,391637,0 34,2,2024-09-07 10:04:30:766,857680,857680,0,0,33596872,0,4562 34,3,2024-09-07 10:04:31:698,1,677,1,0,541,6005,677,0 35,0,2024-09-07 10:04:30:860,170723,0.3,171343,0.5,343837,0.3,457674,1.75 35,1,2024-09-07 10:04:31:067,1190885,1190885,0,0,559334654118,5804899555,1181948,7385,1552,382,391769,0 35,2,2024-09-07 10:04:31:582,852941,852941,0,0,38665133,0,4055 35,3,2024-09-07 10:04:30:912,1,677,1,0,466,6536,677,0 36,0,2024-09-07 10:04:31:519,171320,0.5,171442,0.6,342572,0.4,455777,2.00 36,1,2024-09-07 10:04:30:653,1189471,1189471,0,0,558846436613,5836116485,1174698,12419,2354,366,391759,0 36,2,2024-09-07 10:04:31:753,857938,857938,0,0,38965814,0,3875 36,3,2024-09-07 10:04:30:874,1,677,1,0,556,8739,677,0 37,0,2024-09-07 10:04:31:381,163467,0.5,163471,0.7,327274,0.5,436606,2.25 37,1,2024-09-07 10:04:30:574,1188694,1188687,0,7,557672308272,5824936198,1174512,11062,3113,365,391770,0 37,2,2024-09-07 10:04:31:144,856393,856378,15,0,39108587,0,5815 37,3,2024-09-07 10:04:31:768,1,677,1,0,888,9159,677,0 38,0,2024-09-07 10:04:31:449,168462,0.5,163306,0.7,341520,0.4,448236,2.00 38,1,2024-09-07 10:04:31:613,1188969,1188969,0,0,558724901714,5842570394,1172141,13524,3304,368,391821,0 38,2,2024-09-07 10:04:30:759,856549,856502,47,0,39124914,0,6710 38,3,2024-09-07 10:04:30:997,1,677,2,0,689,7863,677,0 39,0,2024-09-07 10:04:31:760,175579,0.6,171568,0.7,334983,0.5,456792,2.00 39,1,2024-09-07 10:04:30:718,1187831,1187831,0,0,557069385011,5842108651,1168223,15375,4233,365,391865,0 39,2,2024-09-07 10:04:31:427,852100,852100,0,0,37220536,0,3478 39,3,2024-09-07 10:04:30:725,1,677,2,0,525,7608,677,0 40,0,2024-09-07 10:04:31:493,170737,0.8,171389,1.0,342209,0.9,456566,2.75 40,1,2024-09-07 10:04:30:582,1187678,1187678,0,0,556822933458,5838847239,1169125,14970,3583,366,391668,0 40,2,2024-09-07 10:04:31:304,854193,854186,7,0,43342335,0,5347 40,3,2024-09-07 10:04:31:147,1,677,1,0,1028,9734,677,0 41,0,2024-09-07 10:04:31:024,165463,1.2,169313,1.1,322880,1.6,438081,3.00 41,1,2024-09-07 10:04:30:801,1187124,1187124,0,0,557887490182,5837262389,1171419,13180,2525,369,391878,0 41,2,2024-09-07 10:04:30:761,854558,854557,1,0,42255985,0,5408 41,3,2024-09-07 10:04:31:692,1,677,12,0,749,8383,677,0 42,0,2024-09-07 10:04:31:485,166863,1.0,166696,1.1,333682,1.2,442828,2.75 42,1,2024-09-07 10:04:31:449,1185601,1185601,0,0,556524689831,5844950274,1168001,14516,3084,380,391675,0 42,2,2024-09-07 10:04:31:141,853162,853161,1,0,43523092,0,5513 42,3,2024-09-07 10:04:31:014,1,677,5,0,892,6954,677,0 43,0,2024-09-07 10:04:30:923,170236,0.8,165634,1.0,347128,0.9,453806,2.25 43,1,2024-09-07 10:04:30:585,1188444,1188444,0,0,559025960762,5841894014,1172340,13357,2747,366,391696,0 43,2,2024-09-07 10:04:31:749,854709,854709,0,0,39896267,0,4723 43,3,2024-09-07 10:04:31:756,1,677,1,0,571,9271,677,0 44,0,2024-09-07 10:04:30:864,173546,0.4,173832,0.6,346920,0.4,462647,1.75 44,1,2024-09-07 10:04:30:563,1191646,1191646,0,0,559363080199,5787505348,1180389,9106,2151,356,391809,0 44,2,2024-09-07 10:04:31:277,853694,853694,0,0,33207305,0,4344 44,3,2024-09-07 10:04:31:095,1,677,1,0,1097,8394,677,0 45,0,2024-09-07 10:04:31:762,165973,0.5,162156,0.7,340292,0.4,446063,2.00 45,1,2024-09-07 10:04:31:015,1191045,1191045,0,0,559763971117,5821193026,1181499,8325,1221,382,391917,0 45,2,2024-09-07 10:04:31:269,858649,858649,0,0,33500469,0,3596 45,3,2024-09-07 10:04:30:934,1,677,1,0,531,6432,677,0 46,0,2024-09-07 10:04:30:950,165746,0.5,165278,0.7,331456,0.5,441058,2.00 46,1,2024-09-07 10:04:30:575,1193027,1193027,0,0,560092088829,5797243583,1183781,7765,1481,366,391709,0 46,2,2024-09-07 10:04:30:593,857672,857672,0,0,34372457,0,4443 46,3,2024-09-07 10:04:31:140,1,677,3,0,908,7585,677,0 47,0,2024-09-07 10:04:31:108,171831,0.4,171396,0.6,344190,0.4,456638,2.00 47,1,2024-09-07 10:04:30:569,1194403,1194403,0,0,559975159184,5790081375,1186899,6491,1013,364,391666,0 47,2,2024-09-07 10:04:30:913,859183,859183,0,0,34840406,0,4477 47,3,2024-09-07 10:04:31:128,1,677,9,0,600,7407,677,0 48,0,2024-09-07 10:04:31:489,172345,0.3,172913,0.4,344550,0.2,458961,1.50 48,1,2024-09-07 10:04:31:022,1191506,1191506,0,0,559008674102,5808974297,1183916,6578,1012,381,391710,0 48,2,2024-09-07 10:04:30:702,853943,853943,0,0,31471363,0,3619 48,3,2024-09-07 10:04:30:753,1,677,2,0,339,5922,677,0 49,0,2024-09-07 10:04:31:716,174781,0.3,171109,0.5,332878,0.3,453982,1.75 49,1,2024-09-07 10:04:31:027,1190431,1190431,0,0,558783919772,5811915094,1182567,6166,1698,382,391809,0 49,2,2024-09-07 10:04:31:817,859502,859502,0,0,33132930,0,4426 49,3,2024-09-07 10:04:31:422,1,677,1,0,992,7953,677,0 50,0,2024-09-07 10:04:31:516,165178,0.3,163722,0.5,329610,0.2,438652,1.75 50,1,2024-09-07 10:04:31:029,1193691,1193691,0,0,560492530586,5795001336,1186217,6742,732,368,391691,0 50,2,2024-09-07 10:04:31:067,857132,857132,0,0,31053604,0,4490 50,3,2024-09-07 10:04:31:291,1,677,1,0,617,7098,677,0 51,0,2024-09-07 10:04:31:699,174656,0.3,170885,0.5,332876,0.2,454299,1.75 51,1,2024-09-07 10:04:31:685,1193937,1193937,0,0,561709131603,5793100528,1187243,5350,1344,365,391706,0 51,2,2024-09-07 10:04:31:326,857375,857375,0,0,30765091,0,3337 51,3,2024-09-07 10:04:31:033,1,677,6,0,678,5446,677,0 52,0,2024-09-07 10:04:31:420,171728,0.5,171787,0.7,343102,0.4,456274,2.00 52,1,2024-09-07 10:04:30:586,1188518,1188518,0,0,557761499558,5838284256,1171774,14317,2427,368,391805,0 52,2,2024-09-07 10:04:31:765,848637,848599,38,0,41948842,0,6742 52,3,2024-09-07 10:04:30:678,1,677,1,0,1782,7892,677,0 53,0,2024-09-07 10:04:31:745,170886,0.6,165847,0.8,346943,0.7,453972,2.25 53,1,2024-09-07 10:04:30:779,1187041,1187041,0,0,557988461799,5851177930,1167892,14736,4413,367,391968,0 53,2,2024-09-07 10:04:31:298,857743,857605,138,0,38508508,0,7690 53,3,2024-09-07 10:04:30:699,1,677,0,0,308,6423,677,0 54,0,2024-09-07 10:04:31:625,163278,0.6,163639,0.7,326015,0.5,434861,2.25 54,1,2024-09-07 10:04:30:586,1190187,1190187,0,0,559739644613,5820140921,1178414,10099,1674,366,391810,0 54,2,2024-09-07 10:04:30:873,858703,858671,32,0,41783543,0,6397 54,3,2024-09-07 10:04:30:768,1,677,1,0,676,8788,677,0 55,0,2024-09-07 10:04:31:760,162543,0.7,167637,0.8,340082,0.6,443573,2.50 55,1,2024-09-07 10:04:30:769,1190797,1190797,0,0,558723486402,5810789649,1178779,10532,1486,365,391731,0 55,2,2024-09-07 10:04:30:731,855256,855200,56,0,38245335,0,7239 55,3,2024-09-07 10:04:30:676,1,677,1,0,304,6251,677,0 56,0,2024-09-07 10:04:31:584,175180,1.3,165086,1.2,340230,1.7,455372,2.75 56,1,2024-09-07 10:04:30:576,1183432,1183432,0,0,556248334328,5882805506,1163941,15737,3754,381,391867,0 56,2,2024-09-07 10:04:31:305,851322,851200,122,0,40625276,0,7432 56,3,2024-09-07 10:04:31:066,1,677,12,0,705,8159,677,0 57,0,2024-09-07 10:04:30:945,171456,1.4,171406,1.2,342437,1.9,457610,3.00 57,1,2024-09-07 10:04:30:993,1186333,1186333,0,0,556686594303,5841211567,1170774,12868,2691,366,392032,0 57,2,2024-09-07 10:04:31:330,855098,855098,0,0,43742190,0,4804 57,3,2024-09-07 10:04:31:746,1,677,0,0,455,7357,677,0 58,0,2024-09-07 10:04:30:560,164965,0.9,160141,1.0,335264,1.1,438699,2.50 58,1,2024-09-07 10:04:30:575,1187210,1187207,0,3,557858134136,5851789201,1168851,13955,4401,367,391726,3 58,2,2024-09-07 10:04:31:077,858305,858305,0,0,39830962,0,3483 58,3,2024-09-07 10:04:31:071,1,677,7,0,1043,7432,677,0 59,0,2024-09-07 10:04:31:746,166014,0.9,165254,1.0,330544,1.0,439076,3.00 59,1,2024-09-07 10:04:30:808,1186939,1186939,0,0,557482725865,5858572570,1167456,15645,3838,369,391653,0 59,2,2024-09-07 10:04:30:583,856172,856172,0,0,37930306,0,3727 59,3,2024-09-07 10:04:31:744,1,677,8,0,1015,8008,677,0 60,0,2024-09-07 10:04:31:705,172099,0.5,172274,0.7,344587,0.5,459779,2.00 60,1,2024-09-07 10:04:30:797,1190684,1190684,0,0,559436181923,5828433788,1179760,9423,1501,370,392031,0 60,2,2024-09-07 10:04:31:144,856474,856474,0,0,36198665,0,3811 60,3,2024-09-07 10:04:31:276,1,677,105,0,409,7866,677,0 61,0,2024-09-07 10:04:31:540,172582,0.6,173345,0.8,345107,0.6,460712,2.00 61,1,2024-09-07 10:04:30:778,1187885,1187885,0,0,557589091182,5840715515,1175227,10812,1846,382,392127,0 61,2,2024-09-07 10:04:31:135,854658,854591,67,0,37024039,0,6411 61,3,2024-09-07 10:04:31:704,1,677,1,0,607,8486,677,0 62,0,2024-09-07 10:04:31:725,169603,0.5,173930,0.7,332110,0.5,450799,2.00 62,1,2024-09-07 10:04:31:111,1195293,1195287,0,6,561055839949,5793303820,1188079,6591,617,365,391975,6 62,2,2024-09-07 10:04:31:648,855798,855797,1,0,36689275,0,5555 62,3,2024-09-07 10:04:31:148,1,677,1,0,482,5380,677,0 63,0,2024-09-07 10:04:31:453,165995,0.4,165628,0.6,332058,0.3,441692,1.75 63,1,2024-09-07 10:04:30:809,1192052,1192046,0,6,560095456632,5820032523,1184139,6957,950,381,391800,6 63,2,2024-09-07 10:04:30:762,856968,856968,0,0,35869052,0,4369 63,3,2024-09-07 10:04:31:739,1,677,12,0,667,8044,677,0 64,0,2024-09-07 10:04:31:529,169773,0.5,169625,0.7,338878,0.5,451809,2.00 64,1,2024-09-07 10:04:30:753,1190497,1190497,0,0,559134285842,5822437990,1180298,8173,2026,370,391794,0 64,2,2024-09-07 10:04:31:144,859967,859948,19,0,34606624,0,6121 64,3,2024-09-07 10:04:31:147,1,677,0,0,651,7698,677,0 65,0,2024-09-07 10:04:31:699,170028,0.6,170319,0.7,340042,0.6,453919,2.00 65,1,2024-09-07 10:04:30:865,1188742,1188742,0,0,557843525526,5830383349,1180503,7341,898,381,391901,0 65,2,2024-09-07 10:04:31:712,851218,851218,0,0,40825263,0,3367 65,3,2024-09-07 10:04:31:693,1,677,7,0,782,8030,677,0 66,0,2024-09-07 10:04:31:772,170547,0.5,169878,0.7,340006,0.5,452349,2.00 66,1,2024-09-07 10:04:31:304,1190500,1190500,0,0,558421031954,5812532212,1183028,6599,873,380,391743,0 66,2,2024-09-07 10:04:31:143,860590,860587,3,0,36079653,0,5455 66,3,2024-09-07 10:04:31:080,1,677,1,0,291,6109,677,0 67,0,2024-09-07 10:04:31:416,164187,0.5,163810,0.7,328335,0.5,437152,2.00 67,1,2024-09-07 10:04:30:766,1190588,1190587,0,1,558145176587,5812755202,1182155,7292,1140,380,391787,1 67,2,2024-09-07 10:04:30:586,861171,861156,15,0,36382679,0,6205 67,3,2024-09-07 10:04:31:753,1,677,6,0,595,7253,677,0 68,0,2024-09-07 10:04:30:626,169361,0.6,169084,0.8,336825,0.6,451178,2.25 68,1,2024-09-07 10:04:30:592,1186129,1186129,0,0,557086930463,5863107629,1169407,12451,4271,381,391953,0 68,2,2024-09-07 10:04:31:051,852141,852041,100,0,44715582,0,8578 68,3,2024-09-07 10:04:30:737,1,677,2,0,417,9598,677,0 69,0,2024-09-07 10:04:31:737,171503,0.7,171999,0.8,343155,0.8,456794,2.25 69,1,2024-09-07 10:04:31:016,1185433,1185433,0,0,556293754416,5861567424,1170549,11903,2981,383,391994,0 69,2,2024-09-07 10:04:31:734,849223,849194,29,0,47474153,0,6912 69,3,2024-09-07 10:04:30:760,1,677,1,0,698,10306,677,0 70,0,2024-09-07 10:04:31:537,170443,0.7,171164,0.9,343283,0.6,455129,2.50 70,1,2024-09-07 10:04:30:811,1191685,1191685,0,0,560138352554,5815174793,1182239,8401,1045,366,391725,0 70,2,2024-09-07 10:04:31:331,857026,857026,0,0,39146484,0,4323 70,3,2024-09-07 10:04:30:750,1,677,3,0,854,7646,677,0 71,0,2024-09-07 10:04:31:364,165402,0.8,164932,1.0,330834,1.0,440663,2.50 71,1,2024-09-07 10:04:31:602,1189792,1189792,0,0,557850422000,5822579442,1175364,12642,1786,367,391738,0 71,2,2024-09-07 10:04:31:067,857195,857195,0,0,39582881,0,4352 71,3,2024-09-07 10:04:31:756,1,677,0,0,644,7562,677,0 72,0,2024-09-07 10:04:31:050,173504,0.6,169375,0.7,330972,0.6,450268,2.00 72,1,2024-09-07 10:04:31:022,1187916,1187916,0,0,557472072164,5847398306,1171068,14115,2733,369,391819,0 72,2,2024-09-07 10:04:31:765,854325,854325,0,0,41393266,0,3983 72,3,2024-09-07 10:04:31:761,1,677,7,0,564,9458,677,0 73,0,2024-09-07 10:04:31:110,168355,0.5,172539,0.7,352492,0.4,458932,2.00 73,1,2024-09-07 10:04:30:776,1189604,1189604,0,0,558557694437,5808561902,1180084,8458,1062,367,391858,0 73,2,2024-09-07 10:04:31:738,853435,853434,1,0,43468871,0,5027 73,3,2024-09-07 10:04:30:971,1,677,10,0,1091,9252,677,0 74,0,2024-09-07 10:04:31:330,174380,0.5,178673,0.7,340884,0.4,463029,2.00 74,1,2024-09-07 10:04:30:666,1188174,1188174,0,0,557552174319,5819617786,1175817,10209,2148,381,391762,0 74,2,2024-09-07 10:04:31:017,853894,853894,0,0,37708396,0,4253 74,3,2024-09-07 10:04:31:442,1,677,1,0,522,7875,677,0 75,0,2024-09-07 10:04:31:771,167943,0.5,166926,0.7,335037,0.4,447439,2.00 75,1,2024-09-07 10:04:31:590,1189716,1189716,0,0,557739080483,5813927935,1179838,8886,992,380,391739,0 75,2,2024-09-07 10:04:31:362,856484,856484,0,0,44887143,0,4766 75,3,2024-09-07 10:04:31:066,1,677,8,0,918,9442,677,0 76,0,2024-09-07 10:04:30:584,166053,0.6,165437,0.8,330585,0.6,442720,2.25 76,1,2024-09-07 10:04:30:809,1189555,1189555,0,0,558064902984,5824547212,1180704,7542,1309,382,391790,0 76,2,2024-09-07 10:04:31:061,858917,858914,3,0,37622610,0,5265 76,3,2024-09-07 10:04:31:147,1,677,1,0,249,6278,677,0 77,0,2024-09-07 10:04:31:713,170650,0.6,171085,0.7,342452,0.5,455455,2.00 77,1,2024-09-07 10:04:30:855,1188761,1188761,0,0,558019221727,5830266467,1178494,9180,1087,381,391869,0 77,2,2024-09-07 10:04:31:291,855802,855802,0,0,36470634,0,3890 77,3,2024-09-07 10:04:31:095,1,677,1,0,401,7779,677,0 78,0,2024-09-07 10:04:31:764,172678,0.4,171968,0.6,345180,0.4,457033,2.00 78,1,2024-09-07 10:04:30:624,1188407,1188407,0,0,557818773492,5825527521,1172879,12197,3331,367,391670,0 78,2,2024-09-07 10:04:31:409,854253,854240,13,0,34026044,0,8313 78,3,2024-09-07 10:04:31:140,1,677,1,0,311,5799,677,0 79,0,2024-09-07 10:04:31:355,164176,0.4,168237,0.6,344483,0.3,448452,2.00 79,1,2024-09-07 10:04:30:608,1192840,1192840,0,0,559643240291,5799493076,1183031,8008,1801,367,391682,0 79,2,2024-09-07 10:04:31:072,858743,858743,0,0,32983501,0,4195 79,3,2024-09-07 10:04:30:750,1,677,1,0,418,7660,677,0 80,0,2024-09-07 10:04:31:077,164807,0.5,169197,0.7,323150,0.5,437704,2.00 80,1,2024-09-07 10:04:31:637,1189244,1189244,0,0,558691721312,5819096511,1181270,7393,581,368,392269,0 80,2,2024-09-07 10:04:31:090,860461,860461,0,0,33573454,0,4433 80,3,2024-09-07 10:04:30:583,1,677,2,0,681,8282,677,0 81,0,2024-09-07 10:04:31:550,169992,0.6,174059,0.7,332047,0.6,451011,2.00 81,1,2024-09-07 10:04:31:658,1188581,1188581,0,0,557906449560,5831956783,1178988,8620,973,382,392001,0 81,2,2024-09-07 10:04:31:133,856501,856438,63,0,37276844,0,5932 81,3,2024-09-07 10:04:31:123,1,677,2,0,719,7402,677,0 82,0,2024-09-07 10:04:31:537,171769,0.5,171885,0.7,344233,0.5,456850,2.00 82,1,2024-09-07 10:04:30:586,1190410,1190406,0,4,559215270739,5818774325,1183489,5794,1123,381,391768,4 82,2,2024-09-07 10:04:31:696,854713,854713,0,0,30902383,0,4484 82,3,2024-09-07 10:04:31:761,1,677,1,0,363,6118,677,0 83,0,2024-09-07 10:04:31:554,171463,0.6,171367,0.7,342503,0.5,454633,2.25 83,1,2024-09-07 10:04:30:557,1189324,1189324,0,0,558287333109,5821754438,1180398,7901,1025,382,391709,0 83,2,2024-09-07 10:04:30:769,858228,858203,25,0,33792027,0,5612 83,3,2024-09-07 10:04:30:750,1,677,16,0,1260,7957,677,0 84,0,2024-09-07 10:04:31:793,163575,0.7,163625,0.9,327472,0.7,436781,2.25 84,1,2024-09-07 10:04:31:040,1187654,1187654,0,0,557953550164,5837619483,1173981,11590,2083,367,391967,0 84,2,2024-09-07 10:04:30:582,856398,855988,410,0,49048311,0,17037 84,3,2024-09-07 10:04:31:143,1,677,1,0,908,9548,677,0 85,0,2024-09-07 10:04:31:003,161865,0.7,161827,0.8,343590,0.7,443920,2.25 85,1,2024-09-07 10:04:30:566,1183904,1183904,0,0,555719324156,5865834926,1166124,14850,2930,381,392092,0 85,2,2024-09-07 10:04:30:873,855606,855606,0,0,41467719,0,4255 85,3,2024-09-07 10:04:30:686,1,677,2,0,789,7728,677,0 86,0,2024-09-07 10:04:30:886,171335,0.7,176246,0.8,337389,0.8,456166,2.25 86,1,2024-09-07 10:04:30:825,1186226,1186226,0,0,557701474503,5858324161,1168650,14263,3313,366,392169,0 86,2,2024-09-07 10:04:30:866,852022,852021,1,0,43517448,0,5004 86,3,2024-09-07 10:04:30:598,1,677,4,0,441,9514,677,0 87,0,2024-09-07 10:04:31:451,173752,0.8,172512,0.8,345579,0.9,461571,2.25 87,1,2024-09-07 10:04:30:561,1186932,1186932,0,0,557133536710,5837482582,1172030,12740,2162,366,392076,0 87,2,2024-09-07 10:04:31:066,854562,854556,6,0,40770487,0,6323 87,3,2024-09-07 10:04:31:811,1,677,5,0,473,9409,677,0 88,0,2024-09-07 10:04:31:485,167242,0.4,167684,0.6,335396,0.4,446311,1.75 88,1,2024-09-07 10:04:30:587,1185740,1185740,0,0,556492511686,5833794971,1168946,13659,3135,365,392084,0 88,2,2024-09-07 10:04:30:696,857432,857432,0,0,43017292,0,4465 88,3,2024-09-07 10:04:31:283,1,677,27,0,1080,11018,677,0 89,0,2024-09-07 10:04:31:786,171923,0.4,166887,0.6,328963,0.4,447500,1.75 89,1,2024-09-07 10:04:30:585,1185467,1185467,0,0,556995216632,5858783311,1170810,12381,2276,382,391866,0 89,2,2024-09-07 10:04:31:148,855621,855621,0,0,40450567,0,3173 89,3,2024-09-07 10:04:31:794,1,677,3,0,729,12215,677,0 90,0,2024-09-07 10:04:31:627,167182,0.5,171569,0.7,350529,0.5,457630,2.00 90,1,2024-09-07 10:04:30:600,1186521,1186521,0,0,557248893966,5841400400,1174086,11168,1267,380,391825,0 90,2,2024-09-07 10:04:31:411,851759,851754,5,0,43687623,0,6370 90,3,2024-09-07 10:04:30:930,1,677,1,0,364,8990,677,0 91,0,2024-09-07 10:04:30:989,173276,0.5,168077,0.6,351762,0.5,461682,2.00 91,1,2024-09-07 10:04:30:588,1183752,1183752,0,0,556739546999,5874686568,1165050,14556,4146,381,392047,0 91,2,2024-09-07 10:04:31:331,853013,853013,0,0,38874690,0,4713 91,3,2024-09-07 10:04:30:602,1,677,10,0,231,6420,677,0 92,0,2024-09-07 10:04:31:598,170545,0.4,174475,0.6,332651,0.4,451065,1.75 92,1,2024-09-07 10:04:30:624,1188213,1188213,0,0,557684191532,5828508353,1178738,8164,1311,381,392136,0 92,2,2024-09-07 10:04:31:361,857224,857224,0,0,35470936,0,3906 92,3,2024-09-07 10:04:31:026,1,677,2,0,322,6177,677,0 93,0,2024-09-07 10:04:30:995,166437,0.4,170531,0.6,325567,0.3,441305,1.75 93,1,2024-09-07 10:04:30:825,1188975,1188975,0,0,557762513904,5831490621,1174594,11948,2433,365,392048,0 93,2,2024-09-07 10:04:30:933,857201,857201,0,0,40970077,0,4913 93,3,2024-09-07 10:04:31:410,1,677,788,0,788,8442,677,0 94,0,2024-09-07 10:04:31:630,169753,0.4,170916,0.6,341990,0.4,454083,1.75 94,1,2024-09-07 10:04:30:575,1189759,1189759,0,0,558863984748,5830876819,1181491,7618,650,381,391850,0 94,2,2024-09-07 10:04:30:761,856933,856905,28,0,35683411,0,6179 94,3,2024-09-07 10:04:31:699,1,677,1,0,576,8301,677,0 95,0,2024-09-07 10:04:31:360,171331,0.4,171173,0.6,342789,0.3,456817,1.75 95,1,2024-09-07 10:04:30:856,1190407,1190407,0,0,558932102582,5810432875,1181075,8522,810,365,391852,0 95,2,2024-09-07 10:04:31:021,851364,851364,0,0,35372126,0,3308 95,3,2024-09-07 10:04:31:716,1,677,0,0,718,10457,677,0 96,0,2024-09-07 10:04:31:055,170932,0.3,171103,0.5,342393,0.3,454989,1.75 96,1,2024-09-07 10:04:31:598,1188440,1188440,0,0,557862174293,5822737618,1179537,7526,1377,384,391964,0 96,2,2024-09-07 10:04:31:287,859466,859466,0,0,35885666,0,4225 96,3,2024-09-07 10:04:31:148,1,677,1,0,411,7667,677,0 97,0,2024-09-07 10:04:31:353,164224,0.3,163979,0.5,328701,0.3,437035,1.50 97,1,2024-09-07 10:04:30:766,1190881,1190881,0,0,559727499598,5809106766,1183029,6613,1239,367,392140,0 97,2,2024-09-07 10:04:30:639,859557,859557,0,0,36151517,0,4600 97,3,2024-09-07 10:04:30:578,1,677,1,0,433,8237,677,0 98,0,2024-09-07 10:04:31:697,168911,0.3,168843,0.5,339204,0.3,451434,1.50 98,1,2024-09-07 10:04:30:581,1190089,1190089,0,0,558431571521,5818194908,1182653,6537,899,381,391997,0 98,2,2024-09-07 10:04:30:777,856063,856063,0,0,34514029,0,4336 98,3,2024-09-07 10:04:30:699,1,677,2,0,840,9597,677,0 99,0,2024-09-07 10:04:31:457,172299,0.3,173131,0.5,345011,0.3,459463,1.75 99,1,2024-09-07 10:04:31:724,1191320,1191320,0,0,558717673467,5817014187,1183545,6668,1107,380,392069,0 99,2,2024-09-07 10:04:31:434,851703,851703,0,0,43246390,0,4276 99,3,2024-09-07 10:04:30:585,1,677,0,0,1124,8499,677,0 100,0,2024-09-07 10:04:31:495,171876,0.8,172377,0.9,344591,0.9,459166,2.50 100,1,2024-09-07 10:04:30:580,1183617,1183617,0,0,555914289445,5882462170,1164580,15242,3795,378,391989,0 100,2,2024-09-07 10:04:31:818,853044,852655,389,0,45056625,0,16909 100,3,2024-09-07 10:04:31:731,1,677,25,0,627,10728,677,0 101,0,2024-09-07 10:04:31:735,170007,0.9,165981,1.0,324522,0.9,442553,2.25 101,1,2024-09-07 10:04:30:570,1185965,1185965,0,0,556691713078,5852702527,1169606,13423,2936,368,392018,0 101,2,2024-09-07 10:04:31:763,852289,852250,39,0,47015799,0,5913 101,3,2024-09-07 10:04:30:943,1,677,6,0,1250,10534,677,0 102,0,2024-09-07 10:04:30:940,163435,0.6,168354,0.8,342020,0.7,447184,2.25 102,1,2024-09-07 10:04:31:145,1186072,1186072,0,0,557171456648,5852843498,1170351,13465,2256,369,391984,0 102,2,2024-09-07 10:04:31:738,856997,856943,54,0,38885719,0,6768 102,3,2024-09-07 10:04:31:618,1,677,0,0,466,7901,677,0 103,0,2024-09-07 10:04:31:592,178007,0.7,177960,0.8,335434,0.8,461150,2.25 103,1,2024-09-07 10:04:31:632,1184485,1184485,0,0,556031643019,5872617315,1165582,15277,3626,381,392077,0 103,2,2024-09-07 10:04:30:584,852308,852308,0,0,42226966,0,3766 103,3,2024-09-07 10:04:30:760,1,677,1,0,916,8059,677,0 104,0,2024-09-07 10:04:31:025,172130,0.7,172517,0.9,344192,0.7,460176,2.25 104,1,2024-09-07 10:04:31:618,1186785,1186785,0,0,556266444372,5850618191,1168366,14963,3456,365,392168,0 104,2,2024-09-07 10:04:31:667,852338,852338,0,0,41400059,0,4161 104,3,2024-09-07 10:04:31:424,1,677,2,0,1245,11663,677,0 105,0,2024-09-07 10:04:31:079,165908,0.8,161687,1.0,338926,0.9,445195,2.50 105,1,2024-09-07 10:04:30:557,1188703,1188703,0,0,557321378619,5838982610,1173968,12622,2113,364,392009,0 105,2,2024-09-07 10:04:31:324,856397,856397,0,0,42692977,0,4360 105,3,2024-09-07 10:04:31:327,1,677,3,0,573,10216,677,0 106,0,2024-09-07 10:04:30:938,160810,1.0,164894,1.0,337283,1.2,441017,2.50 106,1,2024-09-07 10:04:31:764,1186689,1186689,0,0,556955831771,5845852473,1170271,14268,2150,368,391914,0 106,2,2024-09-07 10:04:30:765,856120,856120,0,0,39417381,0,3331 106,3,2024-09-07 10:04:30:679,1,677,2,0,1224,9424,677,0 107,0,2024-09-07 10:04:31:109,170682,1.2,170770,1.0,341675,1.8,456414,2.25 107,1,2024-09-07 10:04:30:590,1184333,1184333,0,0,554915485739,5868074537,1165250,16828,2255,381,392234,0 107,2,2024-09-07 10:04:31:298,852576,852575,1,0,42981882,0,5024 107,3,2024-09-07 10:04:31:771,1,677,8,0,733,10822,677,0 108,0,2024-09-07 10:04:31:824,171721,0.4,172519,0.6,344141,0.4,458766,1.75 108,1,2024-09-07 10:04:31:299,1187886,1187886,0,0,557965191257,5828053276,1176666,9970,1250,367,391894,0 108,2,2024-09-07 10:04:31:802,853373,853373,0,0,38261278,0,4432 108,3,2024-09-07 10:04:31:336,1,677,1,0,767,12369,677,0 109,0,2024-09-07 10:04:31:846,170782,0.4,169340,0.6,339764,0.3,453060,1.75 109,1,2024-09-07 10:04:30:584,1186231,1186231,0,0,557103141632,5847525813,1175590,9137,1504,382,392132,0 109,2,2024-09-07 10:04:30:929,856257,856257,0,0,38342960,0,3617 109,3,2024-09-07 10:04:31:144,1,677,5,0,630,7782,677,0 110,0,2024-09-07 10:04:31:760,164501,0.4,159875,0.6,334905,0.3,439506,1.75 110,1,2024-09-07 10:04:31:650,1190249,1190249,0,0,559144943951,5808757986,1180799,7366,2084,368,392045,0 110,2,2024-09-07 10:04:31:309,858056,858056,0,0,37490104,0,4067 110,3,2024-09-07 10:04:30:691,1,677,15,0,722,9289,677,0 111,0,2024-09-07 10:04:31:439,170810,0.4,169999,0.6,339549,0.4,453750,1.75 111,1,2024-09-07 10:04:31:015,1191702,1191702,0,0,559799561584,5814751701,1184458,6738,506,380,391690,0 111,2,2024-09-07 10:04:31:119,856560,856560,0,0,36977065,0,4823 111,3,2024-09-07 10:04:30:915,1,677,4,0,379,7549,677,0 112,0,2024-09-07 10:04:30:916,172560,0.3,172105,0.4,344955,0.2,458065,1.50 112,1,2024-09-07 10:04:30:824,1190581,1190581,0,0,558771666105,5800999951,1182996,6360,1225,380,391624,0 112,2,2024-09-07 10:04:31:132,852837,852836,1,0,34636423,0,5036 112,3,2024-09-07 10:04:30:599,1,677,8,0,282,6772,677,0 113,0,2024-09-07 10:04:30:872,171441,0.3,171761,0.5,343840,0.2,457034,1.75 113,1,2024-09-07 10:04:31:696,1194280,1194280,0,0,560724801076,5786074463,1187372,5958,950,365,391664,0 113,2,2024-09-07 10:04:31:311,860402,860402,0,0,31914214,0,3813 113,3,2024-09-07 10:04:30:684,1,677,2,0,510,6949,677,0 114,0,2024-09-07 10:04:30:875,165173,0.3,166283,0.5,331744,0.2,442277,1.75 114,1,2024-09-07 10:04:30:727,1190281,1190281,0,0,558568127309,5801108020,1181455,7022,1804,381,391565,0 114,2,2024-09-07 10:04:30:883,860347,860346,1,0,34583364,0,5069 114,3,2024-09-07 10:04:31:286,1,677,1,0,415,5628,677,0 115,0,2024-09-07 10:04:30:557,169229,0.3,169840,0.4,338036,0.2,450403,1.50 115,1,2024-09-07 10:04:30:579,1190971,1190971,0,0,560311256959,5821271549,1181418,7762,1791,382,391757,0 115,2,2024-09-07 10:04:31:135,858090,858090,0,0,34491686,0,4382 115,3,2024-09-07 10:04:31:003,1,677,0,0,173,4389,677,0 116,0,2024-09-07 10:04:31:705,171365,0.8,171389,0.9,343426,0.8,458815,2.25 116,1,2024-09-07 10:04:30:812,1184521,1184521,0,0,557161759611,5871328263,1170473,10837,3211,380,392089,0 116,2,2024-09-07 10:04:31:780,852732,852732,0,0,41599319,0,4475 116,3,2024-09-07 10:04:30:928,1,677,3,0,448,8799,677,0 117,0,2024-09-07 10:04:30:963,173507,0.6,173205,0.8,346655,0.7,462863,2.00 117,1,2024-09-07 10:04:31:598,1186854,1186854,0,0,557222149009,5838741020,1173045,12046,1763,369,392429,0 117,2,2024-09-07 10:04:31:121,857134,857134,0,0,38280103,0,4303 117,3,2024-09-07 10:04:31:060,1,677,1,0,490,8394,677,0 118,0,2024-09-07 10:04:31:771,162195,0.5,166646,0.7,340015,0.5,444667,2.00 118,1,2024-09-07 10:04:30:594,1185459,1185459,0,0,556164454022,5847701271,1167965,13649,3845,366,392054,0 118,2,2024-09-07 10:04:31:592,857072,857072,0,0,40837460,0,3161 118,3,2024-09-07 10:04:31:787,1,677,1,0,343,8174,677,0 119,0,2024-09-07 10:04:31:377,167010,0.7,167415,0.8,334237,0.8,446045,2.25 119,1,2024-09-07 10:04:30:555,1186554,1186554,0,0,557365291815,5846822682,1170547,13342,2665,367,391857,0 119,2,2024-09-07 10:04:31:278,856735,856735,0,0,37818778,0,4309 119,3,2024-09-07 10:04:31:327,1,677,4,0,1358,12116,677,0 120,0,2024-09-07 10:04:31:625,171410,0.7,171321,0.9,343997,0.8,458891,2.50 120,1,2024-09-07 10:04:30:862,1187478,1187478,0,0,557350135816,5845966232,1174852,11469,1157,367,392144,0 120,2,2024-09-07 10:04:30:778,854011,854008,3,0,45563820,0,5363 120,3,2024-09-07 10:04:31:298,1,677,20,0,478,8803,677,0 121,0,2024-09-07 10:04:31:698,172690,1.2,172235,1.0,344605,1.5,459555,2.25 121,1,2024-09-07 10:04:31:656,1187176,1187176,0,0,557803676196,5841941801,1173663,11517,1996,366,391840,0 121,2,2024-09-07 10:04:31:125,853816,853816,0,0,41259838,0,4157 121,3,2024-09-07 10:04:30:742,1,677,6,0,387,9137,677,0 122,0,2024-09-07 10:04:31:777,168477,0.7,163871,0.8,342882,0.8,450613,2.00 122,1,2024-09-07 10:04:30:859,1186469,1186469,0,0,556927122506,5844716861,1170202,13690,2577,365,392130,0 122,2,2024-09-07 10:04:31:325,857422,857347,75,0,45519077,0,5989 122,3,2024-09-07 10:04:30:598,1,677,2,0,512,10460,677,0 123,0,2024-09-07 10:04:30:967,164424,0.8,160194,0.9,335905,0.9,439488,2.25 123,1,2024-09-07 10:04:30:567,1187179,1187179,0,0,557534893886,5865192411,1168098,16056,3025,369,392039,0 123,2,2024-09-07 10:04:31:028,856288,856287,1,0,39087419,0,5215 123,3,2024-09-07 10:04:31:148,1,677,10,0,478,7702,677,0 124,0,2024-09-07 10:04:30:978,175268,0.4,175259,0.5,330229,0.3,454958,1.75 124,1,2024-09-07 10:04:31:026,1190151,1190151,0,0,558816240234,5813811958,1180629,8263,1259,365,392178,0 124,2,2024-09-07 10:04:31:018,858472,858419,53,0,36286676,0,6487 124,3,2024-09-07 10:04:30:777,1,677,27,0,490,6848,677,0 125,0,2024-09-07 10:04:31:423,171243,0.4,171112,0.6,342927,0.3,457312,1.75 125,1,2024-09-07 10:04:30:858,1187690,1187690,0,0,557072749054,5824972260,1176655,9453,1582,382,392045,0 125,2,2024-09-07 10:04:31:117,854799,854799,0,0,35561523,0,4534 125,3,2024-09-07 10:04:31:136,1,677,3,0,709,7643,677,0 126,0,2024-09-07 10:04:31:481,171255,0.4,176009,0.5,336386,0.3,455764,1.75 126,1,2024-09-07 10:04:30:555,1191216,1191216,0,0,559797869885,5802967598,1183946,6569,701,365,391987,0 126,2,2024-09-07 10:04:30:628,859625,859625,0,0,37405730,0,4539 126,3,2024-09-07 10:04:30:909,1,677,1,0,268,7804,677,0 127,0,2024-09-07 10:04:31:598,164384,0.3,164805,0.5,328773,0.3,437307,1.75 127,1,2024-09-07 10:04:30:586,1189744,1189744,0,0,558541453994,5815181401,1177294,10674,1776,364,392187,0 127,2,2024-09-07 10:04:30:639,859282,859278,4,0,35378076,0,5305 127,3,2024-09-07 10:04:31:279,1,677,15,0,968,6763,677,0 128,0,2024-09-07 10:04:31:562,169894,0.3,169958,0.4,339785,0.2,452287,1.50 128,1,2024-09-07 10:04:31:607,1189098,1189098,0,0,558868926585,5811449213,1180236,7892,970,367,392423,0 128,2,2024-09-07 10:04:31:393,858625,858625,0,0,32973457,0,3171 128,3,2024-09-07 10:04:30:775,1,677,2,0,1082,10182,677,0 129,0,2024-09-07 10:04:30:989,173505,0.3,172810,0.5,346628,0.3,460215,1.75 129,1,2024-09-07 10:04:30:586,1186248,1186248,0,0,557199328489,5846939338,1173316,10603,2329,379,391962,0 129,2,2024-09-07 10:04:30:686,854505,854501,4,0,35341260,0,5335 129,3,2024-09-07 10:04:30:688,1,677,2,0,506,9833,677,0 130,0,2024-09-07 10:04:31:753,173061,0.4,172858,0.5,346029,0.4,460643,1.75 130,1,2024-09-07 10:04:30:589,1190972,1190972,0,0,558875289671,5804550027,1185133,5432,407,381,391825,0 130,2,2024-09-07 10:04:31:152,857453,857453,0,0,35299240,0,4067 130,3,2024-09-07 10:04:31:296,1,677,7,0,960,8857,677,0 131,0,2024-09-07 10:04:31:945,166355,0.3,166852,0.5,334652,0.3,444170,1.50 131,1,2024-09-07 10:04:31:824,1189815,1189815,0,0,557907817487,5815098875,1181678,6929,1208,381,391865,0 131,2,2024-09-07 10:04:30:570,858895,858895,0,0,33637044,0,3979 131,3,2024-09-07 10:04:31:696,1,677,8,0,392,8581,677,0 132,0,2024-09-07 10:04:31:436,168499,0.5,169421,0.6,337186,0.4,449153,2.00 132,1,2024-09-07 10:04:30:579,1185575,1185575,0,0,556431514368,5856647605,1169079,13714,2782,381,392532,0 132,2,2024-09-07 10:04:30:717,856399,856382,17,0,42316073,0,6451 132,3,2024-09-07 10:04:31:688,1,677,7,0,1298,11775,677,0 133,0,2024-09-07 10:04:31:519,168349,0.5,172366,0.6,353326,0.4,459562,2.00 133,1,2024-09-07 10:04:30:621,1185619,1185619,0,0,556925955506,5866523110,1170191,13494,1934,383,391914,0 133,2,2024-09-07 10:04:31:087,854904,854854,50,0,43275995,0,6861 133,3,2024-09-07 10:04:31:309,1,677,1,0,528,7999,677,0 134,0,2024-09-07 10:04:30:940,174105,0.5,173942,0.7,347884,0.5,463510,2.00 134,1,2024-09-07 10:04:30:584,1186688,1186688,0,0,557138567636,5847815421,1170611,12785,3292,366,391781,0 134,2,2024-09-07 10:04:31:758,853883,853744,139,0,40814274,0,7591 134,3,2024-09-07 10:04:30:750,1,677,1,0,739,8449,677,0 135,0,2024-09-07 10:04:31:108,162529,0.7,162540,0.8,345028,0.8,444625,2.25 135,1,2024-09-07 10:04:31:590,1186608,1186608,0,0,556654880141,5852626678,1172217,12539,1852,380,392038,0 135,2,2024-09-07 10:04:30:699,858517,858517,0,0,41472366,0,4503 135,3,2024-09-07 10:04:31:004,1,677,1,0,900,6576,677,0 136,0,2024-09-07 10:04:31:627,166856,0.6,167366,0.8,332630,0.6,444092,2.25 136,1,2024-09-07 10:04:31:445,1187834,1187834,0,0,557339811315,5840501598,1175185,11223,1426,381,392135,0 136,2,2024-09-07 10:04:31:143,858452,858437,15,0,40607345,0,6007 136,3,2024-09-07 10:04:31:106,1,677,9,0,637,8018,677,0 137,0,2024-09-07 10:04:30:969,176469,0.6,172020,0.8,336899,0.7,458161,2.00 137,1,2024-09-07 10:04:30:644,1186612,1186612,0,0,557072710893,5843512359,1168193,14782,3637,366,391898,0 137,2,2024-09-07 10:04:31:712,855117,855117,0,0,41622711,0,3185 137,3,2024-09-07 10:04:30:798,1,677,1,0,484,9747,677,0 138,0,2024-09-07 10:04:31:751,171142,0.9,171448,0.9,343398,1.2,457075,2.25 138,1,2024-09-07 10:04:31:692,1186437,1186437,0,0,557658321541,5854741139,1169311,14435,2691,368,391954,0 138,2,2024-09-07 10:04:30:597,851756,851756,0,0,39997975,0,4988 138,3,2024-09-07 10:04:30:620,1,677,1,0,1200,10217,677,0 139,0,2024-09-07 10:04:31:369,168620,0.9,169093,0.9,338331,1.2,451403,2.25 139,1,2024-09-07 10:04:30:580,1182446,1182446,0,0,554485094564,5877168396,1162558,15975,3913,380,392109,0 139,2,2024-09-07 10:04:30:692,852187,852157,30,0,45614114,0,5997 139,3,2024-09-07 10:04:31:664,1,677,4,0,432,8087,677,0 140,0,2024-09-07 10:04:31:587,165098,0.3,164387,0.5,329800,0.2,439782,1.75 140,1,2024-09-07 10:04:31:539,1193888,1193888,0,0,560049728788,5780953887,1187486,5665,737,364,391628,0 140,2,2024-09-07 10:04:30:686,858431,858430,1,0,33894409,0,5036 140,3,2024-09-07 10:04:30:773,1,677,51,0,575,6953,677,0 141,0,2024-09-07 10:04:31:706,170520,0.3,175272,0.5,334870,0.3,453322,1.75 141,1,2024-09-07 10:04:30:861,1191125,1191125,0,0,559857220277,5822316650,1180783,8949,1393,379,391614,0 141,2,2024-09-07 10:04:31:694,857262,857251,11,0,36190011,0,5369 141,3,2024-09-07 10:04:31:044,1,677,1,0,391,8069,677,0 142,0,2024-09-07 10:04:31:317,172709,0.3,171961,0.5,344303,0.3,458818,1.75 142,1,2024-09-07 10:04:30:587,1188976,1188976,0,0,559088517825,5835928407,1180103,7981,892,382,392102,0 142,2,2024-09-07 10:04:31:309,851624,851592,32,0,35736728,0,6028 142,3,2024-09-07 10:04:31:748,1,677,1,0,484,6943,677,0 143,0,2024-09-07 10:04:31:444,171607,0.4,171405,0.5,343947,0.4,457000,1.75 143,1,2024-09-07 10:04:30:558,1192011,1192011,0,0,559395751473,5807933961,1183857,7195,959,367,391900,0 143,2,2024-09-07 10:04:30:780,859021,859021,0,0,35818922,0,3123 143,3,2024-09-07 10:04:31:213,1,677,25,0,462,8021,677,0 144,0,2024-09-07 10:04:31:523,160135,0.6,164787,0.7,335348,0.5,438606,2.00 144,1,2024-09-07 10:04:30:567,1185890,1185890,0,0,556839013739,5843750103,1174154,9694,2042,381,391733,0 144,2,2024-09-07 10:04:31:759,859814,859814,0,0,35463284,0,4443 144,3,2024-09-07 10:04:31:756,1,677,27,0,306,7345,677,0 145,0,2024-09-07 10:04:31:395,163044,0.6,163017,0.8,346021,0.6,445926,2.25 145,1,2024-09-07 10:04:30:579,1185148,1185148,0,0,556962670717,5863777174,1168959,13223,2966,382,391781,0 145,2,2024-09-07 10:04:31:437,855182,855100,82,0,41412269,0,7814 145,3,2024-09-07 10:04:30:906,1,677,61,0,622,9153,677,0 146,0,2024-09-07 10:04:31:612,171953,0.7,171120,0.8,343939,0.7,456878,2.25 146,1,2024-09-07 10:04:31:603,1186922,1186922,0,0,556562948448,5856954967,1167793,14205,4924,367,391829,0 146,2,2024-09-07 10:04:31:707,851218,851212,6,0,39521660,0,5151 146,3,2024-09-07 10:04:31:286,1,677,2,0,1520,10863,677,0 147,0,2024-09-07 10:04:31:796,173515,0.6,173284,0.7,346382,0.5,461936,2.25 147,1,2024-09-07 10:04:31:373,1190537,1190537,0,0,559392988578,5817478071,1180588,8851,1098,367,391791,0 147,2,2024-09-07 10:04:31:016,855108,855108,0,0,36552613,0,3359 147,3,2024-09-07 10:04:30:915,1,677,5,0,1626,10328,677,0 0,0,2024-09-07 10:04:41:823,167553,0.7,167493,0.8,355605,0.8,459608,2.00 0,1,2024-09-07 10:04:40:803,1189609,1189609,0,0,558223375443,5844874102,1179945,8702,962,368,391896,0 0,2,2024-09-07 10:04:41:093,856781,856781,0,0,34982470,0,4480 0,3,2024-09-07 10:04:41:008,1,678,1,0,538,9839,678,0 1,0,2024-09-07 10:04:41:775,172964,0.8,171997,0.9,345156,1.0,461920,2.00 1,1,2024-09-07 10:04:40:570,1188356,1188356,0,0,557949421701,5847339187,1176977,9413,1966,370,391859,0 1,2,2024-09-07 10:04:40:639,856470,856470,0,0,34325716,0,3380 1,3,2024-09-07 10:04:41:317,1,678,27,0,269,8124,678,0 2,0,2024-09-07 10:04:41:586,169145,0.6,168844,0.7,337415,0.6,449988,2.00 2,1,2024-09-07 10:04:40:872,1192452,1192452,0,0,560028967849,5824755376,1185524,5967,961,379,391805,0 2,2,2024-09-07 10:04:41:280,859911,859911,0,0,33389973,0,3594 2,3,2024-09-07 10:04:40:703,1,678,1,0,357,6078,678,0 3,0,2024-09-07 10:04:41:762,165758,0.4,165640,0.6,330969,0.4,441563,2.00 3,1,2024-09-07 10:04:41:626,1190492,1190492,0,0,558498747660,5810811319,1181979,7795,718,379,391716,0 3,2,2024-09-07 10:04:41:167,860116,860093,23,0,34198724,0,5851 3,3,2024-09-07 10:04:41:775,1,678,2,0,484,5190,678,0 4,0,2024-09-07 10:04:41:827,165774,0.4,170316,0.5,347356,0.4,454141,1.75 4,1,2024-09-07 10:04:40:592,1185071,1185071,0,0,556516183109,5888678600,1165192,15278,4601,369,391992,0 4,2,2024-09-07 10:04:41:108,855402,855402,0,0,41578141,0,4534 4,3,2024-09-07 10:04:41:037,1,678,2,0,448,9031,678,0 5,0,2024-09-07 10:04:41:507,171719,0.4,171510,0.6,343325,0.4,457626,1.75 5,1,2024-09-07 10:04:40:786,1186820,1186820,0,0,556816159469,5876140497,1169175,13410,4235,367,392005,0 5,2,2024-09-07 10:04:41:844,850351,850318,33,0,41721037,0,7631 5,3,2024-09-07 10:04:41:735,1,678,10,0,457,9006,678,0 6,0,2024-09-07 10:04:40:927,170903,0.5,170442,0.6,341167,0.4,454337,2.00 6,1,2024-09-07 10:04:40:756,1189492,1189492,0,0,558624643354,5833900257,1177329,10478,1685,379,391702,0 6,2,2024-09-07 10:04:41:132,859210,859192,18,0,38917752,0,5535 6,3,2024-09-07 10:04:41:278,1,678,1,0,710,7928,678,0 7,0,2024-09-07 10:04:41:537,163773,0.5,164910,0.7,327512,0.5,436877,2.00 7,1,2024-09-07 10:04:41:117,1187386,1187386,0,0,557880792510,5871407958,1169553,13696,4137,382,391747,0 7,2,2024-09-07 10:04:40:789,858176,858007,169,0,39735708,0,7706 7,3,2024-09-07 10:04:40:862,1,678,11,0,552,7975,678,0 8,0,2024-09-07 10:04:41:349,170017,0.4,169637,0.5,339632,0.4,453368,1.75 8,1,2024-09-07 10:04:41:037,1186178,1186178,0,0,558033774153,5870364777,1167327,14807,4044,366,392853,0 8,2,2024-09-07 10:04:40:832,852446,852444,2,0,44734536,0,5112 8,3,2024-09-07 10:04:40:590,1,678,9,0,772,10268,678,0 9,0,2024-09-07 10:04:41:145,172482,0.4,167432,0.5,350512,0.4,459768,1.75 9,1,2024-09-07 10:04:40:550,1185615,1185615,0,0,556791360567,5874443324,1165953,15312,4350,369,392001,0 9,2,2024-09-07 10:04:41:116,851762,851761,1,0,42629367,0,5281 9,3,2024-09-07 10:04:41:782,1,678,2,0,1273,11013,678,0 10,0,2024-09-07 10:04:41:599,172385,0.4,171894,0.5,344568,0.3,458458,1.75 10,1,2024-09-07 10:04:40:604,1187567,1187567,0,0,557231461936,5851026687,1169508,14325,3734,381,391981,0 10,2,2024-09-07 10:04:40:767,857795,857795,0,0,45820256,0,4713 10,3,2024-09-07 10:04:40:879,1,678,0,0,669,7325,678,0 11,0,2024-09-07 10:04:41:026,166179,0.4,161317,0.6,337584,0.4,443926,1.75 11,1,2024-09-07 10:04:40:580,1190166,1190166,0,0,557720835079,5850402081,1173281,12575,4310,383,391766,0 11,2,2024-09-07 10:04:41:128,858568,858568,0,0,39612334,0,4698 11,3,2024-09-07 10:04:41:302,1,678,0,0,843,8366,678,0 12,0,2024-09-07 10:04:41:067,169757,0.4,169433,0.6,338504,0.4,450482,1.75 12,1,2024-09-07 10:04:40:951,1189223,1189223,0,0,558290040090,5827712940,1179380,8817,1026,368,391960,0 12,2,2024-09-07 10:04:41:548,855920,855920,0,0,39398600,0,4390 12,3,2024-09-07 10:04:41:065,1,678,20,0,386,8485,678,0 13,0,2024-09-07 10:04:41:330,173565,0.4,173732,0.6,346859,0.4,461495,1.75 13,1,2024-09-07 10:04:41:539,1186384,1186384,0,0,557076956381,5866777502,1172240,11066,3078,382,391803,0 13,2,2024-09-07 10:04:40:617,856330,856330,0,0,35644982,0,3287 13,3,2024-09-07 10:04:41:770,1,678,6,0,522,9198,678,0 14,0,2024-09-07 10:04:40:577,173602,0.4,174658,0.6,347352,0.4,462224,1.75 14,1,2024-09-07 10:04:41:570,1195063,1195063,0,0,560941529643,5805558477,1185811,8102,1150,364,391673,0 14,2,2024-09-07 10:04:40:767,856729,856699,30,0,36780425,0,6104 14,3,2024-09-07 10:04:41:115,1,678,0,0,1168,7107,678,0 15,0,2024-09-07 10:04:41:557,168131,0.4,167275,0.6,334841,0.3,446905,1.75 15,1,2024-09-07 10:04:41:607,1191178,1191178,0,0,559855312451,5837762592,1180317,8766,2095,381,391619,0 15,2,2024-09-07 10:04:41:003,861154,861154,0,0,32919879,0,3622 15,3,2024-09-07 10:04:41:407,1,678,1,0,1126,8574,678,0 16,0,2024-09-07 10:04:41:004,166669,0.6,167528,0.8,334035,0.7,445053,2.00 16,1,2024-09-07 10:04:40:575,1190995,1190995,0,0,559188474319,5846623810,1180298,9153,1544,370,392194,0 16,2,2024-09-07 10:04:41:458,857525,857525,0,0,35779670,0,4719 16,3,2024-09-07 10:04:41:185,1,678,1,0,362,8482,678,0 17,0,2024-09-07 10:04:41:789,176923,0.7,172573,0.8,337855,0.7,459931,2.00 17,1,2024-09-07 10:04:40:576,1189410,1189410,0,0,558362096514,5854229103,1178343,9270,1797,368,392075,0 17,2,2024-09-07 10:04:41:672,860074,860073,1,0,36995795,0,5050 17,3,2024-09-07 10:04:40:579,1,678,1,0,518,9780,678,0 18,0,2024-09-07 10:04:40:943,170602,0.6,171810,0.8,341758,0.6,456933,2.25 18,1,2024-09-07 10:04:41:638,1193894,1193894,0,0,559819157647,5808918430,1185729,6735,1430,367,391725,0 18,2,2024-09-07 10:04:41:776,856980,856980,0,0,33429195,0,3541 18,3,2024-09-07 10:04:40:896,1,678,1,0,1059,6269,678,0 19,0,2024-09-07 10:04:41:554,169325,0.6,169895,0.8,338650,0.6,449794,2.00 19,1,2024-09-07 10:04:40:570,1192783,1192783,0,0,560512693359,5809260886,1183940,7683,1160,365,391777,0 19,2,2024-09-07 10:04:41:759,861974,861974,0,0,31079397,0,3988 19,3,2024-09-07 10:04:41:129,1,678,9,0,524,5464,678,0 20,0,2024-09-07 10:04:41:368,164884,0.5,164733,0.7,329265,0.5,439458,2.00 20,1,2024-09-07 10:04:40:568,1188595,1188595,0,0,558375323586,5853690990,1176342,10549,1704,369,391922,0 20,2,2024-09-07 10:04:40:935,858851,858851,0,0,39538552,0,4321 20,3,2024-09-07 10:04:40:593,1,678,0,0,468,11522,678,0 21,0,2024-09-07 10:04:41:247,170349,0.5,170492,0.7,340922,0.5,453027,2.00 21,1,2024-09-07 10:04:41:602,1186576,1186576,0,0,556200812348,5872072462,1167828,14759,3989,368,392016,0 21,2,2024-09-07 10:04:41:067,852048,851571,477,0,50719535,0,17074 21,3,2024-09-07 10:04:41:415,1,678,5,0,713,9030,678,0 22,0,2024-09-07 10:04:41:717,171715,0.5,172421,0.7,344431,0.4,456955,2.00 22,1,2024-09-07 10:04:41:027,1187417,1187417,0,0,557788345241,5868691984,1168380,15434,3603,381,391822,0 22,2,2024-09-07 10:04:40:765,852397,852371,26,0,37600373,0,6328 22,3,2024-09-07 10:04:41:066,1,678,0,0,228,5612,678,0 23,0,2024-09-07 10:04:41:369,171217,0.5,171026,0.7,342038,0.4,455709,2.00 23,1,2024-09-07 10:04:41:027,1189346,1189346,0,0,558409765627,5880456144,1168102,14421,6823,365,391690,0 23,2,2024-09-07 10:04:41:108,859644,859644,0,0,35800644,0,3773 23,3,2024-09-07 10:04:41:775,1,678,1,0,855,9693,678,0 24,0,2024-09-07 10:04:40:887,166280,0.4,165235,0.6,332183,0.3,441026,1.75 24,1,2024-09-07 10:04:40:589,1187589,1187589,0,0,557543990237,5837960661,1176008,9708,1873,367,392269,0 24,2,2024-09-07 10:04:41:082,859395,859392,3,0,42390800,0,6294 24,3,2024-09-07 10:04:41:694,1,678,1,0,468,8943,678,0 25,0,2024-09-07 10:04:41:397,173532,0.5,168577,0.6,331984,0.4,452532,2.00 25,1,2024-09-07 10:04:40:557,1186452,1186452,0,0,557068684665,5887098094,1165724,16735,3993,369,391928,0 25,2,2024-09-07 10:04:41:606,855203,855203,0,0,43974476,0,3978 25,3,2024-09-07 10:04:41:003,1,678,0,0,532,7492,678,0 26,0,2024-09-07 10:04:41:723,171512,0.5,167592,0.6,351591,0.4,458530,2.00 26,1,2024-09-07 10:04:41:546,1189690,1189690,0,0,558301395099,5845808659,1173986,12801,2903,380,391758,0 26,2,2024-09-07 10:04:40:872,853293,853293,0,0,45258757,0,4689 26,3,2024-09-07 10:04:41:720,1,678,3,0,796,8551,678,0 27,0,2024-09-07 10:04:41:730,173406,0.4,174119,0.6,346168,0.4,461693,2.25 27,1,2024-09-07 10:04:41:683,1191216,1191216,0,0,560144177408,5838306236,1179936,9659,1621,381,391626,0 27,2,2024-09-07 10:04:40:883,853749,853684,65,0,40123017,0,5699 27,3,2024-09-07 10:04:41:028,1,678,0,0,564,5883,678,0 28,0,2024-09-07 10:04:41:413,167635,0.4,167896,0.6,335639,0.3,446823,1.75 28,1,2024-09-07 10:04:40:804,1191637,1191637,0,0,559861588429,5845311645,1180172,9038,2427,382,391904,0 28,2,2024-09-07 10:04:41:776,859331,859331,0,0,37403054,0,4060 28,3,2024-09-07 10:04:41:778,1,678,47,0,502,7153,678,0 29,0,2024-09-07 10:04:41:373,172363,0.4,167812,0.6,329314,0.4,449481,1.75 29,1,2024-09-07 10:04:41:563,1194659,1194659,0,0,561024031998,5808408674,1186743,6896,1020,367,391809,0 29,2,2024-09-07 10:04:40:872,857459,857459,0,0,34837580,0,4986 29,3,2024-09-07 10:04:41:017,1,678,1,0,590,7610,678,0 30,0,2024-09-07 10:04:41:462,171434,0.6,166787,0.7,349713,0.5,456536,2.00 30,1,2024-09-07 10:04:40:571,1193341,1193341,0,0,561001667060,5827881495,1183535,8638,1168,380,391672,0 30,2,2024-09-07 10:04:41:280,857008,857008,0,0,33806648,0,4192 30,3,2024-09-07 10:04:40:603,1,678,1,0,519,7182,678,0 31,0,2024-09-07 10:04:41:765,172706,0.5,173566,0.7,346102,0.4,462008,2.00 31,1,2024-09-07 10:04:40:570,1197445,1197445,0,0,561374913885,5769343936,1189519,6658,1268,356,391712,0 31,2,2024-09-07 10:04:41:286,855427,855427,0,0,37121667,0,4470 31,3,2024-09-07 10:04:41:705,1,678,1,0,248,6081,678,0 32,0,2024-09-07 10:04:41:453,169247,0.3,170381,0.5,339237,0.2,451411,1.75 32,1,2024-09-07 10:04:40:805,1193378,1193378,0,0,560339129465,5826030860,1185892,6521,965,381,391646,0 32,2,2024-09-07 10:04:40:955,861588,861588,0,0,32299180,0,3922 32,3,2024-09-07 10:04:41:028,1,678,0,0,304,5806,678,0 33,0,2024-09-07 10:04:41:492,166520,0.3,166061,0.4,332106,0.2,442696,1.50 33,1,2024-09-07 10:04:40:579,1194742,1194742,0,0,560515848246,5805173858,1185400,7991,1351,368,391730,0 33,2,2024-09-07 10:04:40:766,859276,859241,35,0,36013453,0,7012 33,3,2024-09-07 10:04:40:895,1,678,0,0,329,6370,678,0 34,0,2024-09-07 10:04:40:957,171067,0.3,175769,0.5,336194,0.2,454095,1.75 34,1,2024-09-07 10:04:41:095,1197021,1197021,0,0,562101339793,5785172431,1193023,3789,209,367,391637,0 34,2,2024-09-07 10:04:40:791,858789,858789,0,0,33638920,0,4562 34,3,2024-09-07 10:04:41:695,1,678,0,0,541,6005,678,0 35,0,2024-09-07 10:04:40:862,171026,0.3,171670,0.5,344433,0.3,458572,1.75 35,1,2024-09-07 10:04:41:095,1192699,1192699,0,0,560174791261,5813499780,1183762,7385,1552,382,391769,0 35,2,2024-09-07 10:04:41:582,854405,854405,0,0,38709137,0,4055 35,3,2024-09-07 10:04:40:916,1,678,8,0,466,6544,678,0 36,0,2024-09-07 10:04:41:523,171474,0.5,171621,0.6,342898,0.4,456251,2.00 36,1,2024-09-07 10:04:40:588,1191349,1191349,0,0,559663393697,5844419420,1176575,12420,2354,366,391759,0 36,2,2024-09-07 10:04:41:759,859264,859264,0,0,38977816,0,3875 36,3,2024-09-07 10:04:40:872,1,678,3,0,556,8742,678,0 37,0,2024-09-07 10:04:41:372,163763,0.5,163744,0.7,327854,0.5,437521,2.25 37,1,2024-09-07 10:04:40:576,1190516,1190509,0,7,558580480863,5834235199,1176333,11063,3113,365,391770,0 37,2,2024-09-07 10:04:41:146,857560,857545,15,0,39118155,0,5815 37,3,2024-09-07 10:04:41:775,1,678,0,0,888,9159,678,0 38,0,2024-09-07 10:04:41:445,168885,0.5,163727,0.7,342418,0.4,449373,2.00 38,1,2024-09-07 10:04:41:615,1190784,1190784,0,0,559737488978,5852807964,1173956,13524,3304,368,391821,0 38,2,2024-09-07 10:04:40:759,857915,857868,47,0,39149823,0,6710 38,3,2024-09-07 10:04:41:026,1,678,1,0,689,7864,678,0 39,0,2024-09-07 10:04:41:784,175693,0.6,171685,0.7,335188,0.5,457121,2.00 39,1,2024-09-07 10:04:40:729,1189606,1189606,0,0,557980241801,5851345067,1169995,15378,4233,365,391865,0 39,2,2024-09-07 10:04:41:422,853258,853258,0,0,37229950,0,3478 39,3,2024-09-07 10:04:40:724,1,678,1,0,525,7609,678,0 40,0,2024-09-07 10:04:41:496,170862,0.8,171512,1.0,342450,0.9,456921,2.75 40,1,2024-09-07 10:04:40:584,1189424,1189424,0,0,557380366779,5845616868,1170623,15218,3583,366,391668,0 40,2,2024-09-07 10:04:41:303,855467,855460,7,0,43391925,0,5347 40,3,2024-09-07 10:04:41:185,1,678,1,0,1028,9735,678,0 41,0,2024-09-07 10:04:41:025,165506,1.2,169348,1.1,322963,1.6,438081,3.00 41,1,2024-09-07 10:04:40:768,1188917,1188917,0,0,558679906048,5845478362,1173212,13180,2525,369,391878,0 41,2,2024-09-07 10:04:40:766,856019,856018,1,0,42298457,0,5408 41,3,2024-09-07 10:04:41:682,1,678,2,0,749,8385,678,0 42,0,2024-09-07 10:04:41:475,167232,1.0,167073,1.0,334408,1.2,443770,2.75 42,1,2024-09-07 10:04:41:443,1187363,1187363,0,0,557370042509,5853627318,1169763,14516,3084,380,391675,0 42,2,2024-09-07 10:04:41:159,854563,854562,1,0,43577438,0,5513 42,3,2024-09-07 10:04:41:023,1,678,1,0,892,6955,678,0 43,0,2024-09-07 10:04:40:927,170330,0.8,165752,1.0,347324,0.9,454038,2.25 43,1,2024-09-07 10:04:40:594,1190291,1190291,0,0,559991981530,5851705783,1174186,13358,2747,366,391696,0 43,2,2024-09-07 10:04:41:763,855428,855428,0,0,39909753,0,4723 43,3,2024-09-07 10:04:41:762,1,678,2,0,571,9273,678,0 44,0,2024-09-07 10:04:40:861,173773,0.4,174057,0.6,347369,0.4,463247,1.75 44,1,2024-09-07 10:04:40:570,1193401,1193401,0,0,560099803262,5795056552,1182144,9106,2151,356,391809,0 44,2,2024-09-07 10:04:41:281,855135,855135,0,0,33357223,0,4344 44,3,2024-09-07 10:04:41:116,1,678,82,0,1097,8476,678,0 45,0,2024-09-07 10:04:41:783,166269,0.5,162462,0.7,340923,0.4,446930,2.00 45,1,2024-09-07 10:04:41:020,1192820,1192820,0,0,560597465498,5829808627,1183274,8325,1221,382,391917,0 45,2,2024-09-07 10:04:41:273,860095,860095,0,0,33605162,0,3596 45,3,2024-09-07 10:04:40:934,1,678,9,0,531,6441,678,0 46,0,2024-09-07 10:04:41:013,166045,0.5,165555,0.7,332062,0.5,441754,2.00 46,1,2024-09-07 10:04:40:581,1194790,1194790,0,0,560799013831,5804863428,1185518,7791,1481,366,391709,0 46,2,2024-09-07 10:04:40:607,859011,859011,0,0,34410796,0,4443 46,3,2024-09-07 10:04:41:134,1,678,1,0,908,7586,678,0 47,0,2024-09-07 10:04:41:105,172310,0.5,171867,0.6,345190,0.4,458078,2.00 47,1,2024-09-07 10:04:40:570,1196161,1196161,0,0,560567422679,5796212608,1188657,6491,1013,364,391666,0 47,2,2024-09-07 10:04:40:916,860139,860139,0,0,34899559,0,4477 47,3,2024-09-07 10:04:41:116,1,678,12,0,600,7419,678,0 48,0,2024-09-07 10:04:41:492,172646,0.3,173243,0.4,345188,0.2,459799,1.50 48,1,2024-09-07 10:04:41:033,1193145,1193145,0,0,559756612430,5816943832,1185541,6592,1012,381,391710,0 48,2,2024-09-07 10:04:40:704,855465,855465,0,0,31512733,0,3619 48,3,2024-09-07 10:04:40:766,1,678,20,0,339,5942,678,0 49,0,2024-09-07 10:04:41:713,174936,0.3,171256,0.5,333175,0.3,454379,1.75 49,1,2024-09-07 10:04:41:021,1192173,1192173,0,0,559820924644,5822471622,1184309,6166,1698,382,391809,0 49,2,2024-09-07 10:04:41:803,860817,860817,0,0,33168605,0,4426 49,3,2024-09-07 10:04:41:418,1,678,1,0,992,7954,678,0 50,0,2024-09-07 10:04:41:515,165415,0.3,163986,0.5,330095,0.2,439275,1.75 50,1,2024-09-07 10:04:41:012,1195462,1195462,0,0,561350461281,5804241539,1187922,6808,732,368,391691,0 50,2,2024-09-07 10:04:41:081,858323,858323,0,0,31145238,0,4490 50,3,2024-09-07 10:04:41:292,1,678,1,0,617,7099,678,0 51,0,2024-09-07 10:04:41:690,175053,0.3,171261,0.5,333613,0.2,455314,1.75 51,1,2024-09-07 10:04:41:688,1195778,1195778,0,0,562563663736,5802035961,1189080,5354,1344,365,391706,0 51,2,2024-09-07 10:04:41:317,858468,858468,0,0,30918361,0,3337 51,3,2024-09-07 10:04:41:029,1,678,1,0,678,5447,678,0 52,0,2024-09-07 10:04:41:418,171886,0.5,171935,0.7,343437,0.4,456727,2.00 52,1,2024-09-07 10:04:40:575,1190308,1190308,0,0,558635464853,5847196278,1173564,14317,2427,368,391805,0 52,2,2024-09-07 10:04:41:776,849980,849942,38,0,41962810,0,6742 52,3,2024-09-07 10:04:40:683,1,678,0,0,1782,7892,678,0 53,0,2024-09-07 10:04:41:733,170984,0.6,165942,0.8,347129,0.7,454215,2.25 53,1,2024-09-07 10:04:40:782,1188810,1188810,0,0,558852092242,5859936635,1169661,14736,4413,367,391968,0 53,2,2024-09-07 10:04:41:298,859061,858923,138,0,38521188,0,7690 53,3,2024-09-07 10:04:40:708,1,678,9,0,308,6432,678,0 54,0,2024-09-07 10:04:41:631,163371,0.6,163718,0.7,326202,0.5,435169,2.25 54,1,2024-09-07 10:04:40:580,1191856,1191856,0,0,560402936997,5826874172,1180082,10100,1674,366,391810,0 54,2,2024-09-07 10:04:40:872,860012,859980,32,0,41794363,0,6397 54,3,2024-09-07 10:04:40:766,1,678,1,0,676,8789,678,0 55,0,2024-09-07 10:04:41:787,162997,0.7,168122,0.8,341065,0.6,444912,2.50 55,1,2024-09-07 10:04:40:788,1192584,1192584,0,0,559618651165,5819858689,1180566,10532,1486,365,391731,0 55,2,2024-09-07 10:04:40:744,856591,856535,56,0,38258914,0,7239 55,3,2024-09-07 10:04:40:677,1,678,0,0,304,6251,678,0 56,0,2024-09-07 10:04:41:587,175308,1.3,165189,1.2,340441,1.6,455694,2.75 56,1,2024-09-07 10:04:40:580,1185158,1185158,0,0,556985377695,5890461894,1165667,15737,3754,381,391867,0 56,2,2024-09-07 10:04:41:309,852212,852090,122,0,40872175,0,7432 56,3,2024-09-07 10:04:41:094,1,678,11,0,705,8170,678,0 57,0,2024-09-07 10:04:40:978,171571,1.4,171521,1.2,342677,1.9,457869,3.00 57,1,2024-09-07 10:04:41:017,1188018,1188018,0,0,557418924333,5849532731,1172316,13000,2702,366,392032,0 57,2,2024-09-07 10:04:41:323,856484,856484,0,0,43771369,0,4804 57,3,2024-09-07 10:04:41:744,1,678,0,0,455,7357,678,0 58,0,2024-09-07 10:04:40:567,165173,0.9,160362,1.0,335688,1.1,439198,2.50 58,1,2024-09-07 10:04:40:581,1188932,1188929,0,3,558807364753,5861498625,1170572,13956,4401,367,391726,3 58,2,2024-09-07 10:04:41:082,859685,859685,0,0,39862216,0,3483 58,3,2024-09-07 10:04:41:074,1,678,1,0,1043,7433,678,0 59,0,2024-09-07 10:04:41:754,166430,0.9,165665,1.0,331376,1.0,440212,3.00 59,1,2024-09-07 10:04:41:071,1188783,1188783,0,0,558396406917,5867893692,1169300,15645,3838,369,391653,0 59,2,2024-09-07 10:04:40:592,857564,857564,0,0,37976265,0,3727 59,3,2024-09-07 10:04:41:737,1,678,13,0,1015,8021,678,0 60,0,2024-09-07 10:04:41:727,172338,0.6,172461,0.7,344954,0.5,460092,2.00 60,1,2024-09-07 10:04:40:776,1192405,1192405,0,0,560133897824,5835698330,1181480,9424,1501,370,392031,0 60,2,2024-09-07 10:04:41:154,857177,857177,0,0,36211514,0,3811 60,3,2024-09-07 10:04:41:267,1,678,10,0,409,7876,678,0 61,0,2024-09-07 10:04:41:547,172855,0.6,173647,0.8,345695,0.6,461506,2.00 61,1,2024-09-07 10:04:40:823,1189638,1189638,0,0,558313834628,5848468458,1176978,10813,1847,382,392127,0 61,2,2024-09-07 10:04:41:128,856151,856084,67,0,37068415,0,6411 61,3,2024-09-07 10:04:41:691,1,678,1,0,607,8487,678,0 62,0,2024-09-07 10:04:41:717,169833,0.5,174157,0.7,332519,0.5,451364,2.00 62,1,2024-09-07 10:04:41:116,1197045,1197039,0,6,562126010659,5804864183,1189789,6633,617,365,391975,6 62,2,2024-09-07 10:04:41:657,857260,857259,1,0,36858261,0,5555 62,3,2024-09-07 10:04:41:152,1,678,1,0,482,5381,678,0 63,0,2024-09-07 10:04:41:453,166404,0.4,166096,0.6,332927,0.3,442948,1.75 63,1,2024-09-07 10:04:40:861,1194064,1194058,0,6,560880846599,5828333996,1186147,6961,950,381,391800,6 63,2,2024-09-07 10:04:40:764,857952,857952,0,0,35910320,0,4369 63,3,2024-09-07 10:04:41:738,1,678,0,0,667,8044,678,0 64,0,2024-09-07 10:04:41:514,170080,0.5,169907,0.7,339473,0.5,452561,2.00 64,1,2024-09-07 10:04:40:752,1192224,1192224,0,0,560037596495,5831690118,1182025,8173,2026,370,391794,0 64,2,2024-09-07 10:04:41:159,861013,860994,19,0,34627919,0,6121 64,3,2024-09-07 10:04:41:153,1,678,5,0,651,7703,678,0 65,0,2024-09-07 10:04:41:681,170363,0.6,170618,0.7,340629,0.6,454845,2.00 65,1,2024-09-07 10:04:40:877,1190468,1190468,0,0,558604537258,5838360329,1182228,7342,898,381,391901,0 65,2,2024-09-07 10:04:41:698,852669,852669,0,0,40937333,0,3367 65,3,2024-09-07 10:04:41:684,1,678,1,0,782,8031,678,0 66,0,2024-09-07 10:04:41:792,170692,0.5,170061,0.7,340312,0.5,452826,2.00 66,1,2024-09-07 10:04:41:293,1192261,1192261,0,0,559498785222,5823596167,1184788,6600,873,380,391743,0 66,2,2024-09-07 10:04:41:194,861938,861935,3,0,36121822,0,5455 66,3,2024-09-07 10:04:41:127,1,678,3,0,291,6112,678,0 67,0,2024-09-07 10:04:41:441,164471,0.5,164106,0.7,328907,0.5,438075,2.00 67,1,2024-09-07 10:04:40:774,1192398,1192397,0,1,558990459417,5821423961,1183965,7292,1140,380,391787,1 67,2,2024-09-07 10:04:40:595,862196,862181,15,0,36406564,0,6205 67,3,2024-09-07 10:04:41:776,1,678,6,0,595,7259,678,0 68,0,2024-09-07 10:04:40:575,169792,0.6,169548,0.8,337699,0.6,452298,2.25 68,1,2024-09-07 10:04:40:588,1187928,1187928,0,0,557923443217,5871623354,1171206,12451,4271,381,391953,0 68,2,2024-09-07 10:04:41:045,853547,853447,100,0,44729221,0,8578 68,3,2024-09-07 10:04:40:743,1,678,0,0,417,9598,678,0 69,0,2024-09-07 10:04:41:743,171622,0.7,172105,0.8,343392,0.8,457119,2.25 69,1,2024-09-07 10:04:41:022,1187138,1187138,0,0,557002366228,5868865501,1172254,11903,2981,383,391994,0 69,2,2024-09-07 10:04:41:739,850467,850438,29,0,47494156,0,6912 69,3,2024-09-07 10:04:40:766,1,678,1,0,698,10307,678,0 70,0,2024-09-07 10:04:41:539,170573,0.7,171288,0.9,343501,0.6,455463,2.50 70,1,2024-09-07 10:04:40:803,1193503,1193503,0,0,561102954216,5824954596,1184057,8401,1045,366,391725,0 70,2,2024-09-07 10:04:41:327,858239,858239,0,0,39158347,0,4323 70,3,2024-09-07 10:04:40:755,1,678,10,0,854,7656,678,0 71,0,2024-09-07 10:04:41:363,165445,0.8,164969,1.0,330920,1.0,440663,2.50 71,1,2024-09-07 10:04:41:598,1191620,1191620,0,0,558873957258,5832995641,1177192,12642,1786,367,391738,0 71,2,2024-09-07 10:04:41:077,858705,858705,0,0,39595785,0,4352 71,3,2024-09-07 10:04:41:762,1,678,1,0,644,7563,678,0 72,0,2024-09-07 10:04:41:034,173832,0.6,169734,0.7,331686,0.6,451205,2.00 72,1,2024-09-07 10:04:41:036,1189629,1189629,0,0,558304333078,5856041551,1172780,14116,2733,369,391819,0 72,2,2024-09-07 10:04:41:782,855760,855760,0,0,41494167,0,3983 72,3,2024-09-07 10:04:41:781,1,678,22,0,564,9480,678,0 73,0,2024-09-07 10:04:41:128,168446,0.5,172642,0.7,352692,0.4,459162,2.00 73,1,2024-09-07 10:04:40:766,1191415,1191415,0,0,559248458619,5815641849,1181894,8459,1062,367,391858,0 73,2,2024-09-07 10:04:41:739,854238,854237,1,0,43482311,0,5027 73,3,2024-09-07 10:04:40:977,1,678,0,0,1091,9252,678,0 74,0,2024-09-07 10:04:41:325,174627,0.5,178924,0.7,341320,0.4,463622,2.00 74,1,2024-09-07 10:04:40:635,1189890,1189890,0,0,558640709954,5830693794,1177533,10209,2148,381,391762,0 74,2,2024-09-07 10:04:41:022,855439,855439,0,0,37741674,0,4253 74,3,2024-09-07 10:04:41:448,1,678,1,0,522,7876,678,0 75,0,2024-09-07 10:04:41:792,168193,0.5,167201,0.7,335649,0.4,448347,2.00 75,1,2024-09-07 10:04:41:593,1191461,1191461,0,0,558551050570,5822202731,1181583,8886,992,380,391739,0 75,2,2024-09-07 10:04:41:350,858042,858042,0,0,44922169,0,4766 75,3,2024-09-07 10:04:41:067,1,678,8,0,918,9450,678,0 76,0,2024-09-07 10:04:40:580,166355,0.6,165725,0.8,331178,0.6,443402,2.25 76,1,2024-09-07 10:04:40:805,1191274,1191274,0,0,558755200825,5832076418,1182385,7580,1309,382,391790,0 76,2,2024-09-07 10:04:41:094,860116,860113,3,0,37705702,0,5265 76,3,2024-09-07 10:04:41:195,1,678,1,0,249,6279,678,0 77,0,2024-09-07 10:04:41:709,171191,0.6,171559,0.7,343436,0.6,457036,2.00 77,1,2024-09-07 10:04:40:831,1190477,1190477,0,0,558562197414,5835882867,1180208,9181,1088,381,391869,0 77,2,2024-09-07 10:04:41:295,856781,856781,0,0,36519146,0,3890 77,3,2024-09-07 10:04:41:094,1,678,1,0,401,7780,678,0 78,0,2024-09-07 10:04:41:729,172984,0.4,172280,0.6,345844,0.4,457761,2.00 78,1,2024-09-07 10:04:40:617,1190144,1190144,0,0,558357024344,5831125964,1174616,12197,3331,367,391670,0 78,2,2024-09-07 10:04:41:408,855744,855731,13,0,34082781,0,8313 78,3,2024-09-07 10:04:41:158,1,678,131,0,311,5930,678,0 79,0,2024-09-07 10:04:41:370,164320,0.4,168380,0.6,344843,0.3,448860,2.00 79,1,2024-09-07 10:04:40:584,1194456,1194456,0,0,560633689150,5809730997,1184646,8009,1801,367,391682,0 79,2,2024-09-07 10:04:41:073,860097,860097,0,0,33054154,0,4195 79,3,2024-09-07 10:04:40:751,1,678,5,0,418,7665,678,0 80,0,2024-09-07 10:04:41:111,165086,0.5,169473,0.7,323623,0.5,438305,2.00 80,1,2024-09-07 10:04:41:640,1191005,1191005,0,0,559366817964,5826070375,1183031,7393,581,368,392269,0 80,2,2024-09-07 10:04:41:132,861754,861754,0,0,33602467,0,4433 80,3,2024-09-07 10:04:40:579,1,678,0,0,681,8282,678,0 81,0,2024-09-07 10:04:41:561,170382,0.6,174456,0.7,332820,0.6,452043,2.00 81,1,2024-09-07 10:04:41:651,1190423,1190423,0,0,558797624365,5841141816,1180829,8621,973,382,392001,0 81,2,2024-09-07 10:04:41:128,857680,857617,63,0,37385956,0,5932 81,3,2024-09-07 10:04:41:128,1,678,1,0,719,7403,678,0 82,0,2024-09-07 10:04:41:541,171946,0.5,172034,0.7,344546,0.5,457306,2.00 82,1,2024-09-07 10:04:40:601,1192206,1192202,0,4,560105386239,5828309562,1185272,5806,1124,381,391768,4 82,2,2024-09-07 10:04:41:694,856091,856091,0,0,30935511,0,4484 82,3,2024-09-07 10:04:41:775,1,678,1,0,363,6119,678,0 83,0,2024-09-07 10:04:41:579,171568,0.6,171461,0.7,342694,0.5,454885,2.25 83,1,2024-09-07 10:04:40:555,1191144,1191144,0,0,559325523936,5832315481,1182218,7901,1025,382,391709,0 83,2,2024-09-07 10:04:40:792,859412,859387,25,0,33825172,0,5612 83,3,2024-09-07 10:04:40:752,1,678,4,0,1260,7961,678,0 84,0,2024-09-07 10:04:41:795,163674,0.7,163728,0.9,327650,0.7,437092,2.25 84,1,2024-09-07 10:04:41:047,1189444,1189444,0,0,558688117061,5845186681,1175770,11591,2083,367,391967,0 84,2,2024-09-07 10:04:40:570,857731,857321,410,0,49066949,0,17037 84,3,2024-09-07 10:04:41:148,1,678,2,0,908,9550,678,0 85,0,2024-09-07 10:04:41:023,162313,0.7,162258,0.8,344546,0.7,445238,2.25 85,1,2024-09-07 10:04:40:568,1185580,1185580,0,0,556528891954,5874215598,1167800,14850,2930,381,392092,0 85,2,2024-09-07 10:04:40:868,857005,857005,0,0,41484238,0,4255 85,3,2024-09-07 10:04:40:697,1,678,2,0,789,7730,678,0 86,0,2024-09-07 10:04:40:926,171434,0.7,176350,0.8,337595,0.8,456463,2.25 86,1,2024-09-07 10:04:40:837,1188082,1188082,0,0,558638908724,5867875959,1170505,14264,3313,366,392169,0 86,2,2024-09-07 10:04:40:862,852981,852980,1,0,43528286,0,5004 86,3,2024-09-07 10:04:40:606,1,678,1,0,441,9515,678,0 87,0,2024-09-07 10:04:41:317,173862,0.8,172639,0.8,345812,0.9,461819,2.25 87,1,2024-09-07 10:04:40:552,1188672,1188672,0,0,557804577333,5844343574,1173768,12742,2162,366,392076,0 87,2,2024-09-07 10:04:41:082,855961,855955,6,0,40786541,0,6323 87,3,2024-09-07 10:04:41:895,1,678,31,0,473,9440,678,0 88,0,2024-09-07 10:04:41:456,167439,0.4,167902,0.6,335827,0.4,446810,1.75 88,1,2024-09-07 10:04:40:589,1187505,1187505,0,0,557227479416,5841378595,1170710,13659,3136,365,392084,0 88,2,2024-09-07 10:04:40:697,858887,858887,0,0,43055900,0,4465 88,3,2024-09-07 10:04:41:273,1,678,1,0,1080,11019,678,0 89,0,2024-09-07 10:04:41:887,172377,0.4,167309,0.6,329791,0.4,448684,1.75 89,1,2024-09-07 10:04:40:551,1187299,1187299,0,0,557625629748,5865383964,1172640,12382,2277,382,391866,0 89,2,2024-09-07 10:04:41:152,857033,857033,0,0,40490774,0,3173 89,3,2024-09-07 10:04:41:801,1,678,12,0,729,12227,678,0 90,0,2024-09-07 10:04:41:626,167394,0.5,171763,0.7,350921,0.5,457917,2.00 90,1,2024-09-07 10:04:40:610,1188326,1188326,0,0,558020010633,5849317942,1175889,11169,1268,380,391825,0 90,2,2024-09-07 10:04:41:418,852419,852414,5,0,43701610,0,6370 90,3,2024-09-07 10:04:40:940,1,678,12,0,364,9002,678,0 91,0,2024-09-07 10:04:40:944,173590,0.5,168407,0.6,352338,0.5,462433,1.75 91,1,2024-09-07 10:04:40:556,1185482,1185482,0,0,557399192422,5881594906,1166780,14556,4146,381,392047,0 91,2,2024-09-07 10:04:41:334,854492,854492,0,0,38923838,0,4713 91,3,2024-09-07 10:04:40:607,1,678,7,0,231,6427,678,0 92,0,2024-09-07 10:04:41:441,170773,0.4,174665,0.6,333010,0.4,451673,1.75 92,1,2024-09-07 10:04:40:600,1190017,1190017,0,0,558409801764,5836053301,1180541,8165,1311,381,392136,0 92,2,2024-09-07 10:04:41:350,858870,858870,0,0,35517963,0,3906 92,3,2024-09-07 10:04:41:023,1,678,1,0,322,6178,678,0 93,0,2024-09-07 10:04:40:976,166842,0.4,170985,0.6,326354,0.4,442743,1.75 93,1,2024-09-07 10:04:40:823,1190697,1190697,0,0,558550061785,5839686183,1176316,11948,2433,365,392048,0 93,2,2024-09-07 10:04:40:938,858325,858325,0,0,41020214,0,4913 93,3,2024-09-07 10:04:41:409,1,678,1,0,788,8443,678,0 94,0,2024-09-07 10:04:41:631,170053,0.4,171222,0.6,342618,0.4,454760,1.75 94,1,2024-09-07 10:04:40:566,1191515,1191515,0,0,559780677995,5840313165,1183245,7620,650,381,391850,0 94,2,2024-09-07 10:04:40:763,857915,857887,28,0,35727050,0,6179 94,3,2024-09-07 10:04:41:695,1,678,6,0,576,8307,678,0 95,0,2024-09-07 10:04:41:438,171624,0.4,171479,0.6,343403,0.3,457751,1.75 95,1,2024-09-07 10:04:40:851,1192158,1192158,0,0,559948123902,5821207333,1182801,8547,810,365,391852,0 95,2,2024-09-07 10:04:41:066,852756,852756,0,0,35725704,0,3308 95,3,2024-09-07 10:04:41:725,1,678,5,0,718,10462,678,0 96,0,2024-09-07 10:04:41:025,171083,0.3,171276,0.5,342743,0.3,455452,1.75 96,1,2024-09-07 10:04:41:587,1190184,1190184,0,0,558783565475,5832314352,1181279,7528,1377,384,391964,0 96,2,2024-09-07 10:04:41:271,860769,860769,0,0,35950859,0,4225 96,3,2024-09-07 10:04:41:149,1,678,8,0,411,7675,678,0 97,0,2024-09-07 10:04:41:371,164516,0.3,164271,0.5,329304,0.3,437976,1.50 97,1,2024-09-07 10:04:40:764,1192651,1192651,0,0,560319958041,5815591037,1184774,6638,1239,367,392140,0 97,2,2024-09-07 10:04:40:622,860661,860661,0,0,36174001,0,4600 97,3,2024-09-07 10:04:40:594,1,678,2,0,433,8239,678,0 98,0,2024-09-07 10:04:41:816,169389,0.3,169312,0.5,340070,0.3,452677,1.50 98,1,2024-09-07 10:04:40:581,1191837,1191837,0,0,559091958644,5825034365,1184401,6537,899,381,391997,0 98,2,2024-09-07 10:04:40:804,857467,857467,0,0,34558959,0,4336 98,3,2024-09-07 10:04:40:750,1,678,1,0,840,9598,678,0 99,0,2024-09-07 10:04:41:511,172398,0.3,173263,0.5,345231,0.3,459787,1.75 99,1,2024-09-07 10:04:41:741,1193069,1193069,0,0,559578671148,5825841593,1185294,6668,1107,380,392069,0 99,2,2024-09-07 10:04:41:424,852889,852889,0,0,43313511,0,4276 99,3,2024-09-07 10:04:40:598,1,678,11,0,1124,8510,678,0 100,0,2024-09-07 10:04:41:487,171983,0.8,172499,0.9,344818,0.9,459499,2.50 100,1,2024-09-07 10:04:40:597,1185446,1185446,0,0,556657086858,5890109917,1166407,15244,3795,378,391989,0 100,2,2024-09-07 10:04:41:831,854178,853789,389,0,45068856,0,16909 100,3,2024-09-07 10:04:41:744,1,678,1,0,627,10729,678,0 101,0,2024-09-07 10:04:41:705,170049,0.9,166013,1.0,324608,0.9,442553,2.25 101,1,2024-09-07 10:04:40:598,1187726,1187726,0,0,557546586335,5861529957,1171363,13426,2937,368,392018,0 101,2,2024-09-07 10:04:41:764,853862,853823,39,0,47033366,0,5913 101,3,2024-09-07 10:04:40:989,1,678,20,0,1250,10554,678,0 102,0,2024-09-07 10:04:40:951,163797,0.6,168736,0.8,342704,0.6,448115,2.25 102,1,2024-09-07 10:04:41:146,1187835,1187835,0,0,557950126163,5860785208,1172114,13465,2256,369,391984,0 102,2,2024-09-07 10:04:41:762,858361,858307,54,0,38898333,0,6768 102,3,2024-09-07 10:04:41:624,1,678,3,0,466,7904,678,0 103,0,2024-09-07 10:04:41:620,178112,0.7,178061,0.8,335630,0.8,461397,2.25 103,1,2024-09-07 10:04:41:628,1186200,1186200,0,0,556914532166,5881670433,1167297,15277,3626,381,392077,0 103,2,2024-09-07 10:04:40:591,853022,853022,0,0,42235357,0,3766 103,3,2024-09-07 10:04:40:756,1,678,1,0,916,8060,678,0 104,0,2024-09-07 10:04:41:035,172353,0.7,172770,0.9,344680,0.7,460754,2.25 104,1,2024-09-07 10:04:41:601,1188497,1188497,0,0,557001374261,5858181518,1170076,14964,3457,365,392168,0 104,2,2024-09-07 10:04:41:676,853866,853866,0,0,41454618,0,4161 104,3,2024-09-07 10:04:41:437,1,678,1,0,1245,11664,678,0 105,0,2024-09-07 10:04:41:034,166203,0.8,161975,1.0,339533,0.9,446059,2.50 105,1,2024-09-07 10:04:40:559,1190531,1190531,0,0,558085786257,5847359040,1175771,12646,2114,364,392009,0 105,2,2024-09-07 10:04:41:322,857836,857836,0,0,42734149,0,4360 105,3,2024-09-07 10:04:41:320,1,678,0,0,573,10216,678,0 106,0,2024-09-07 10:04:40:964,161101,1.0,165177,1.0,337887,1.2,441685,2.50 106,1,2024-09-07 10:04:41:776,1188497,1188497,0,0,557804943367,5854606373,1172074,14273,2150,368,391914,0 106,2,2024-09-07 10:04:40:756,857347,857347,0,0,39443420,0,3331 106,3,2024-09-07 10:04:40:728,1,678,1,0,1224,9425,678,0 107,0,2024-09-07 10:04:41:116,171203,1.3,171258,1.0,342635,1.8,457981,2.25 107,1,2024-09-07 10:04:40:593,1186116,1186116,0,0,555704473638,5876247313,1167029,16831,2256,381,392234,0 107,2,2024-09-07 10:04:41:300,853474,853473,1,0,43000764,0,5024 107,3,2024-09-07 10:04:41:775,1,678,7,0,733,10829,678,0 108,0,2024-09-07 10:04:41:961,172045,0.4,172855,0.6,344776,0.4,459594,1.75 108,1,2024-09-07 10:04:41:302,1189423,1189423,0,0,558779014540,5837198947,1178020,10143,1260,367,391894,0 108,2,2024-09-07 10:04:41:793,854881,854881,0,0,38309134,0,4432 108,3,2024-09-07 10:04:41:332,1,678,14,0,767,12383,678,0 109,0,2024-09-07 10:04:41:846,170940,0.4,169516,0.6,340114,0.3,453459,1.75 109,1,2024-09-07 10:04:40:610,1187957,1187957,0,0,557821138872,5855118969,1177316,9137,1504,382,392132,0 109,2,2024-09-07 10:04:40:921,857666,857666,0,0,38400548,0,3617 109,3,2024-09-07 10:04:41:149,1,678,46,0,630,7828,678,0 110,0,2024-09-07 10:04:41:756,164764,0.4,160167,0.6,335424,0.3,440118,1.75 110,1,2024-09-07 10:04:41:646,1192027,1192027,0,0,560065124402,5818349094,1182567,7376,2084,368,392045,0 110,2,2024-09-07 10:04:41:304,859216,859216,0,0,37551416,0,4067 110,3,2024-09-07 10:04:40:693,1,678,2,0,722,9291,678,0 111,0,2024-09-07 10:04:41:422,171224,0.4,170412,0.6,340326,0.4,454787,1.75 111,1,2024-09-07 10:04:41:005,1193495,1193495,0,0,560673596887,5823915928,1186250,6739,506,380,391690,0 111,2,2024-09-07 10:04:41:116,857830,857830,0,0,37025405,0,4823 111,3,2024-09-07 10:04:40:917,1,678,9,0,379,7558,678,0 112,0,2024-09-07 10:04:40:917,172717,0.3,172275,0.4,345265,0.2,458517,1.50 112,1,2024-09-07 10:04:40:824,1192270,1192270,0,0,559530733265,5808907635,1184684,6361,1225,380,391624,0 112,2,2024-09-07 10:04:41:189,854183,854182,1,0,34664818,0,5036 112,3,2024-09-07 10:04:40:602,1,678,7,0,282,6779,678,0 113,0,2024-09-07 10:04:40:890,171543,0.3,171862,0.5,344040,0.2,457265,1.75 113,1,2024-09-07 10:04:41:689,1196030,1196030,0,0,561562396080,5794611256,1189122,5958,950,365,391664,0 113,2,2024-09-07 10:04:41:303,861688,861688,0,0,31950373,0,3813 113,3,2024-09-07 10:04:40:695,1,678,8,0,510,6957,678,0 114,0,2024-09-07 10:04:40:879,165263,0.3,166379,0.5,331956,0.2,442589,1.75 114,1,2024-09-07 10:04:40:717,1192000,1192000,0,0,559609282668,5811686708,1183174,7022,1804,381,391565,0 114,2,2024-09-07 10:04:41:127,861722,861721,1,0,34632688,0,5069 114,3,2024-09-07 10:04:41:280,1,678,10,0,415,5638,678,0 115,0,2024-09-07 10:04:40:554,169681,0.3,170320,0.4,338993,0.2,451676,1.50 115,1,2024-09-07 10:04:40:576,1192872,1192872,0,0,561252247026,5830979991,1183319,7762,1791,382,391757,0 115,2,2024-09-07 10:04:41:130,859293,859293,0,0,34544537,0,4382 115,3,2024-09-07 10:04:41:030,1,678,4,0,173,4393,678,0 116,0,2024-09-07 10:04:41:699,171465,0.8,171502,0.9,343654,0.8,459094,2.25 116,1,2024-09-07 10:04:40:816,1186279,1186279,0,0,557895821233,5878900669,1172228,10838,3213,380,392089,0 116,2,2024-09-07 10:04:41:776,853770,853770,0,0,41609041,0,4475 116,3,2024-09-07 10:04:40:930,1,678,13,0,448,8812,678,0 117,0,2024-09-07 10:04:40:969,173641,0.6,173333,0.8,346893,0.7,463118,2.00 117,1,2024-09-07 10:04:41:603,1188669,1188669,0,0,558056400173,5847304154,1174860,12046,1763,369,392429,0 117,2,2024-09-07 10:04:41:130,858518,858518,0,0,38318678,0,4303 117,3,2024-09-07 10:04:41:095,1,678,9,0,490,8403,678,0 118,0,2024-09-07 10:04:41:842,162437,0.5,166885,0.7,340454,0.5,445197,2.00 118,1,2024-09-07 10:04:40:593,1187227,1187227,0,0,557238483288,5858653701,1169733,13649,3845,366,392054,0 118,2,2024-09-07 10:04:41:599,858604,858604,0,0,40852379,0,3161 118,3,2024-09-07 10:04:41:779,1,678,3,0,343,8177,678,0 119,0,2024-09-07 10:04:41:405,167420,0.7,167821,0.8,335034,0.8,447246,2.25 119,1,2024-09-07 10:04:40:562,1188332,1188332,0,0,558227839259,5855600599,1172323,13343,2666,367,391857,0 119,2,2024-09-07 10:04:41:279,858113,858113,0,0,37840505,0,4309 119,3,2024-09-07 10:04:41:334,1,678,2,0,1358,12118,678,0 120,0,2024-09-07 10:04:41:570,171600,0.7,171519,0.9,344357,0.8,459179,2.50 120,1,2024-09-07 10:04:41:116,1189282,1189282,0,0,558243481300,5855167645,1176656,11469,1157,367,392144,0 120,2,2024-09-07 10:04:40:806,854804,854801,3,0,45582031,0,5363 120,3,2024-09-07 10:04:41:290,1,678,3,0,478,8806,678,0 121,0,2024-09-07 10:04:41:716,172996,1.2,172523,1.0,345212,1.5,460315,2.25 121,1,2024-09-07 10:04:41:655,1188903,1188903,0,0,558508499858,5849216653,1175389,11518,1996,366,391840,0 121,2,2024-09-07 10:04:41:201,855381,855381,0,0,41342759,0,4157 121,3,2024-09-07 10:04:40:745,1,678,1,0,387,9138,678,0 122,0,2024-09-07 10:04:41:767,168689,0.7,164064,0.8,343290,0.8,451233,2.00 122,1,2024-09-07 10:04:41:123,1188257,1188257,0,0,557791155271,5853594252,1171990,13690,2577,365,392130,0 122,2,2024-09-07 10:04:41:320,858894,858819,75,0,45587536,0,5989 122,3,2024-09-07 10:04:40:611,1,678,1,0,512,10461,678,0 123,0,2024-09-07 10:04:40:962,164860,0.8,160595,0.9,336797,0.9,440744,2.25 123,1,2024-09-07 10:04:40:565,1188964,1188964,0,0,558326574068,5873317979,1169882,16057,3025,369,392039,0 123,2,2024-09-07 10:04:41:029,857394,857393,1,0,39111094,0,5215 123,3,2024-09-07 10:04:41:135,1,678,9,0,478,7711,678,0 124,0,2024-09-07 10:04:40:922,175547,0.4,175564,0.5,330811,0.3,455655,1.75 124,1,2024-09-07 10:04:41:028,1192164,1192164,0,0,559649984793,5822610300,1182637,8268,1259,365,392178,0 124,2,2024-09-07 10:04:41:017,859534,859481,53,0,36331954,0,6487 124,3,2024-09-07 10:04:40:791,1,678,145,0,490,6993,678,0 125,0,2024-09-07 10:04:41:451,171578,0.4,171424,0.6,343576,0.3,458258,1.75 125,1,2024-09-07 10:04:40:873,1189435,1189435,0,0,557856841711,5833036570,1178400,9453,1582,382,392045,0 125,2,2024-09-07 10:04:41:156,856267,856267,0,0,35670273,0,4534 125,3,2024-09-07 10:04:41:130,1,678,5,0,709,7648,678,0 126,0,2024-09-07 10:04:41:428,171413,0.4,176179,0.5,336718,0.3,456236,1.75 126,1,2024-09-07 10:04:40:554,1192878,1192878,0,0,560442928658,5809607104,1185608,6569,701,365,391987,0 126,2,2024-09-07 10:04:40:617,860887,860887,0,0,37479983,0,4539 126,3,2024-09-07 10:04:40:916,1,678,1,0,268,7805,678,0 127,0,2024-09-07 10:04:41:596,164673,0.3,165105,0.5,329399,0.3,438218,1.75 127,1,2024-09-07 10:04:40:580,1191570,1191570,0,0,559264476968,5822648401,1179119,10675,1776,364,392187,0 127,2,2024-09-07 10:04:40:645,860325,860321,4,0,35433137,0,5305 127,3,2024-09-07 10:04:41:273,1,678,0,0,968,6763,678,0 128,0,2024-09-07 10:04:41:573,170355,0.3,170414,0.4,340732,0.2,453469,1.50 128,1,2024-09-07 10:04:41:605,1190800,1190800,0,0,559552025019,5818740979,1181937,7893,970,367,392423,0 128,2,2024-09-07 10:04:41:388,859993,859993,0,0,33005564,0,3171 128,3,2024-09-07 10:04:40:767,1,678,0,0,1082,10182,678,0 129,0,2024-09-07 10:04:41:001,173618,0.3,172931,0.5,346853,0.3,460532,1.75 129,1,2024-09-07 10:04:40:580,1187989,1187989,0,0,557993091064,5855236243,1175057,10603,2329,379,391962,0 129,2,2024-09-07 10:04:40:704,855727,855723,4,0,35444075,0,5335 129,3,2024-09-07 10:04:40:697,1,678,1,0,506,9834,678,0 130,0,2024-09-07 10:04:41:721,173190,0.4,172967,0.5,346262,0.4,460987,1.75 130,1,2024-09-07 10:04:40:606,1192744,1192744,0,0,559725561974,5813301640,1186905,5432,407,381,391825,0 130,2,2024-09-07 10:04:41:130,858641,858641,0,0,35326067,0,4067 130,3,2024-09-07 10:04:41:292,1,678,3,0,960,8860,678,0 131,0,2024-09-07 10:04:41:928,166397,0.3,166895,0.5,334729,0.3,444170,1.50 131,1,2024-09-07 10:04:41:828,1191592,1191592,0,0,559064376688,5827096139,1183455,6929,1208,381,391865,0 131,2,2024-09-07 10:04:40:571,860430,860430,0,0,33697402,0,3979 131,3,2024-09-07 10:04:41:689,1,678,17,0,392,8598,678,0 132,0,2024-09-07 10:04:41:423,168866,0.5,169805,0.6,337919,0.4,450077,2.00 132,1,2024-09-07 10:04:40:589,1187350,1187350,0,0,557194918391,5864494704,1170854,13714,2782,381,392532,0 132,2,2024-09-07 10:04:40:702,857866,857849,17,0,42336489,0,6451 132,3,2024-09-07 10:04:41:691,1,678,4,0,1298,11779,678,0 133,0,2024-09-07 10:04:41:521,168420,0.5,172449,0.6,353559,0.4,459794,2.00 133,1,2024-09-07 10:04:40:606,1187414,1187414,0,0,557799384630,5875436649,1171986,13494,1934,383,391914,0 133,2,2024-09-07 10:04:41:116,855649,855599,50,0,43282975,0,6861 133,3,2024-09-07 10:04:41:299,1,678,1,0,528,8000,678,0 134,0,2024-09-07 10:04:40:942,174356,0.5,174190,0.7,348351,0.5,464112,2.00 134,1,2024-09-07 10:04:40:594,1188466,1188466,0,0,557876213823,5855358648,1172389,12785,3292,366,391781,0 134,2,2024-09-07 10:04:41:758,855328,855189,139,0,40829671,0,7591 134,3,2024-09-07 10:04:40:754,1,678,0,0,739,8449,678,0 135,0,2024-09-07 10:04:41:177,162814,0.7,162845,0.8,345646,0.8,445508,2.25 135,1,2024-09-07 10:04:41:589,1188384,1188384,0,0,557762496879,5863924904,1173993,12539,1852,380,392038,0 135,2,2024-09-07 10:04:40:709,859977,859977,0,0,41487525,0,4503 135,3,2024-09-07 10:04:41:025,1,678,2,0,900,6578,678,0 136,0,2024-09-07 10:04:41:644,167139,0.6,167666,0.8,333302,0.6,444757,2.25 136,1,2024-09-07 10:04:41:468,1189522,1189522,0,0,558120559390,5849249361,1176696,11400,1426,381,392135,0 136,2,2024-09-07 10:04:41:159,859709,859694,15,0,40629288,0,6007 136,3,2024-09-07 10:04:41:129,1,678,1,0,637,8019,678,0 137,0,2024-09-07 10:04:40:931,176997,0.6,172538,0.8,337874,0.7,459739,2.00 137,1,2024-09-07 10:04:40:609,1188368,1188368,0,0,557915610910,5852104820,1169949,14782,3637,366,391898,0 137,2,2024-09-07 10:04:41:706,856135,856135,0,0,41650415,0,3185 137,3,2024-09-07 10:04:40:804,1,678,1,0,484,9748,678,0 138,0,2024-09-07 10:04:41:739,171429,0.9,171757,0.9,344040,1.2,457887,2.25 138,1,2024-09-07 10:04:41:686,1188203,1188203,0,0,558302697390,5861374222,1171076,14436,2691,368,391954,0 138,2,2024-09-07 10:04:40:597,853179,853179,0,0,40047564,0,4988 138,3,2024-09-07 10:04:40:618,1,678,109,0,1200,10326,678,0 139,0,2024-09-07 10:04:41:439,168767,0.9,169265,0.9,338645,1.2,451793,2.25 139,1,2024-09-07 10:04:40:583,1184206,1184206,0,0,555192139340,5884521862,1164316,15977,3913,380,392109,0 139,2,2024-09-07 10:04:40:734,853678,853648,30,0,45680377,0,5997 139,3,2024-09-07 10:04:41:675,1,678,1,0,432,8088,678,0 140,0,2024-09-07 10:04:41:601,165356,0.3,164637,0.5,330349,0.2,440472,1.75 140,1,2024-09-07 10:04:41:538,1195703,1195703,0,0,561037810349,5792599560,1188902,5998,803,364,391628,0 140,2,2024-09-07 10:04:40:696,859474,859473,1,0,34198536,0,5036 140,3,2024-09-07 10:04:40:769,1,678,0,0,575,6953,678,0 141,0,2024-09-07 10:04:41:702,170978,0.3,175670,0.5,335708,0.3,454381,1.75 141,1,2024-09-07 10:04:41:116,1192912,1192912,0,0,560603312714,5829949473,1182570,8949,1393,379,391614,0 141,2,2024-09-07 10:04:41:686,858477,858466,11,0,36821532,0,5369 141,3,2024-09-07 10:04:41:066,1,678,19,0,391,8088,678,0 142,0,2024-09-07 10:04:41:310,172858,0.3,172095,0.5,344608,0.3,459292,1.75 142,1,2024-09-07 10:04:40:592,1190667,1190667,0,0,559744368413,5843640091,1181622,8151,894,382,392102,0 142,2,2024-09-07 10:04:41:306,852860,852828,32,0,36158054,0,6028 142,3,2024-09-07 10:04:41:775,1,678,1,0,484,6944,678,0 143,0,2024-09-07 10:04:41:406,171722,0.4,171514,0.5,344135,0.4,457257,1.75 143,1,2024-09-07 10:04:40:556,1193785,1193785,0,0,560314836271,5818460098,1185399,7417,969,367,391900,0 143,2,2024-09-07 10:04:40:804,860371,860371,0,0,35885860,0,3123 143,3,2024-09-07 10:04:41:148,1,678,14,0,462,8035,678,0 144,0,2024-09-07 10:04:41:538,160231,0.6,164889,0.7,335515,0.5,438955,2.00 144,1,2024-09-07 10:04:40:575,1187666,1187666,0,0,557731038549,5852972763,1175930,9694,2042,381,391733,0 144,2,2024-09-07 10:04:41:759,861257,861257,0,0,35534512,0,4443 144,3,2024-09-07 10:04:41:744,1,678,0,0,306,7345,678,0 145,0,2024-09-07 10:04:41:370,163494,0.6,163486,0.8,347029,0.6,447278,2.25 145,1,2024-09-07 10:04:40:552,1186911,1186911,0,0,557735521862,5871786640,1170718,13227,2966,382,391781,0 145,2,2024-09-07 10:04:41:434,856514,856432,82,0,41428064,0,7814 145,3,2024-09-07 10:04:40:900,1,678,3,0,622,9156,678,0 146,0,2024-09-07 10:04:41:609,172048,0.7,171221,0.8,344160,0.7,457169,2.25 146,1,2024-09-07 10:04:41:598,1188659,1188659,0,0,557416246076,5865671348,1169530,14205,4924,367,391829,0 146,2,2024-09-07 10:04:41:694,852210,852204,6,0,39546129,0,5151 146,3,2024-09-07 10:04:41:283,1,678,0,0,1520,10863,678,0 147,0,2024-09-07 10:04:41:725,173643,0.6,173400,0.7,346627,0.5,462179,2.25 147,1,2024-09-07 10:04:41:377,1192277,1192277,0,0,560040598040,5824216813,1182328,8851,1098,367,391791,0 147,2,2024-09-07 10:04:41:022,856385,856385,0,0,37288871,0,4531 147,3,2024-09-07 10:04:40:917,1,678,3,0,1626,10331,678,0 0,0,2024-09-07 10:04:51:734,167687,0.7,167629,0.8,355940,0.8,459918,2.00 0,1,2024-09-07 10:04:50:809,1191392,1191392,0,0,559090630211,5853776181,1181726,8704,962,368,391896,0 0,2,2024-09-07 10:04:51:066,857496,857496,0,0,35011402,0,4480 0,3,2024-09-07 10:04:50:973,1,679,3,0,538,9842,679,0 1,0,2024-09-07 10:04:51:775,173244,0.8,172291,0.9,345739,1.0,462724,2.00 1,1,2024-09-07 10:04:50:584,1190183,1190183,0,0,558752569452,5855710315,1178804,9413,1966,370,391859,0 1,2,2024-09-07 10:04:50:640,858042,858042,0,0,34376517,0,3380 1,3,2024-09-07 10:04:51:328,1,679,19,0,269,8143,679,0 2,0,2024-09-07 10:04:51:572,169328,0.6,169071,0.7,337862,0.6,450555,2.00 2,1,2024-09-07 10:04:50:863,1194376,1194376,0,0,560761679952,5832505534,1187447,5968,961,379,391805,0 2,2,2024-09-07 10:04:51:269,861407,861407,0,0,33432297,0,3594 2,3,2024-09-07 10:04:50:689,1,679,1,0,357,6079,679,0 3,0,2024-09-07 10:04:51:747,166252,0.4,166075,0.6,331868,0.4,442838,2.00 3,1,2024-09-07 10:04:51:623,1192171,1192171,0,0,559079389636,5816840717,1183658,7795,718,379,391716,0 3,2,2024-09-07 10:04:51:141,861276,861253,23,0,34215661,0,5851 3,3,2024-09-07 10:04:51:752,1,679,1,0,484,5191,679,0 4,0,2024-09-07 10:04:51:785,166045,0.4,170578,0.5,347920,0.4,454842,1.75 4,1,2024-09-07 10:04:50:596,1186834,1186834,0,0,557300001439,5896711469,1166955,15278,4601,369,391992,0 4,2,2024-09-07 10:04:51:038,856437,856437,0,0,41588936,0,4534 4,3,2024-09-07 10:04:51:033,1,679,5,0,448,9036,679,0 5,0,2024-09-07 10:04:51:373,172065,0.4,171830,0.6,343958,0.4,458580,1.75 5,1,2024-09-07 10:04:50:763,1188571,1188571,0,0,557704911517,5885263742,1170923,13412,4236,367,392005,0 5,2,2024-09-07 10:04:51:834,851957,851924,33,0,41747033,0,7631 5,3,2024-09-07 10:04:51:732,1,679,7,0,457,9013,679,0 6,0,2024-09-07 10:04:50:920,171064,0.5,170611,0.6,341524,0.4,454827,2.00 6,1,2024-09-07 10:04:50:746,1191290,1191290,0,0,559533989939,5843159799,1179127,10478,1685,379,391702,0 6,2,2024-09-07 10:04:51:115,860471,860453,18,0,38927938,0,5535 6,3,2024-09-07 10:04:51:274,1,679,1,0,710,7929,679,0 7,0,2024-09-07 10:04:51:532,164134,0.5,165238,0.7,328149,0.5,437797,2.00 7,1,2024-09-07 10:04:50:852,1189142,1189142,0,0,558638012777,5879156449,1171309,13696,4137,382,391747,0 7,2,2024-09-07 10:04:50:770,859202,859033,169,0,39749599,0,7706 7,3,2024-09-07 10:04:50:851,1,679,8,0,552,7983,679,0 8,0,2024-09-07 10:04:51:330,170480,0.4,170070,0.5,340515,0.4,454557,1.75 8,1,2024-09-07 10:04:51:022,1187928,1187928,0,0,558818212762,5878388327,1169076,14807,4045,366,392853,0 8,2,2024-09-07 10:04:50:801,853703,853701,2,0,44783267,0,5112 8,3,2024-09-07 10:04:50:586,1,679,8,0,772,10276,679,0 9,0,2024-09-07 10:04:51:104,172600,0.4,167550,0.5,350758,0.4,460082,1.75 9,1,2024-09-07 10:04:50:556,1187419,1187419,0,0,557822366875,5885091450,1167757,15312,4350,369,392001,0 9,2,2024-09-07 10:04:51:100,853061,853060,1,0,42666082,0,5281 9,3,2024-09-07 10:04:51:758,1,679,2,0,1273,11015,679,0 10,0,2024-09-07 10:04:51:613,172525,0.4,172012,0.5,344811,0.3,458791,1.75 10,1,2024-09-07 10:04:50:585,1189333,1189333,0,0,558122683962,5860205947,1171274,14325,3734,381,391981,0 10,2,2024-09-07 10:04:50:762,859085,859085,0,0,45851466,0,4713 10,3,2024-09-07 10:04:50:875,1,679,8,0,669,7333,679,0 11,0,2024-09-07 10:04:51:015,166197,0.4,161332,0.6,337614,0.4,443926,1.75 11,1,2024-09-07 10:04:50:570,1191881,1191881,0,0,558458223731,5858032501,1174996,12575,4310,383,391766,0 11,2,2024-09-07 10:04:51:124,860044,860044,0,0,39640450,0,4698 11,3,2024-09-07 10:04:51:301,1,679,0,0,843,8366,679,0 12,0,2024-09-07 10:04:50:952,170106,0.4,169754,0.6,339224,0.4,451410,1.75 12,1,2024-09-07 10:04:50:941,1191045,1191045,0,0,559180996763,5836925936,1181201,8818,1026,368,391960,0 12,2,2024-09-07 10:04:51:556,857309,857309,0,0,39499670,0,4390 12,3,2024-09-07 10:04:51:063,1,679,1,0,386,8486,679,0 13,0,2024-09-07 10:04:51:360,173668,0.4,173841,0.6,347042,0.4,461749,1.75 13,1,2024-09-07 10:04:51:526,1188225,1188225,0,0,557768635961,5874162542,1174080,11067,3078,382,391803,0 13,2,2024-09-07 10:04:50:598,857133,857133,0,0,35665698,0,3287 13,3,2024-09-07 10:04:51:770,1,679,16,0,522,9214,679,0 14,0,2024-09-07 10:04:50:560,173818,0.4,174899,0.6,347822,0.4,462839,1.75 14,1,2024-09-07 10:04:51:562,1196820,1196820,0,0,561713414378,5813448391,1187568,8102,1150,364,391673,0 14,2,2024-09-07 10:04:50:765,858143,858113,30,0,36820534,0,6104 14,3,2024-09-07 10:04:51:125,1,679,11,0,1168,7118,679,0 15,0,2024-09-07 10:04:51:567,168456,0.4,167574,0.6,335462,0.3,447819,1.75 15,1,2024-09-07 10:04:51:609,1192924,1192924,0,0,560618924771,5845708213,1182062,8767,2095,381,391619,0 15,2,2024-09-07 10:04:50:998,862626,862626,0,0,32957393,0,3622 15,3,2024-09-07 10:04:51:405,1,679,0,0,1126,8574,679,0 16,0,2024-09-07 10:04:50:930,166942,0.6,167790,0.8,334574,0.7,445736,2.00 16,1,2024-09-07 10:04:50:563,1192832,1192832,0,0,560068941312,5856089729,1182101,9187,1544,370,392194,0 16,2,2024-09-07 10:04:51:441,858900,858900,0,0,35835902,0,4719 16,3,2024-09-07 10:04:51:142,1,679,1,0,362,8483,679,0 17,0,2024-09-07 10:04:51:849,177501,0.7,173089,0.8,338898,0.7,461513,2.00 17,1,2024-09-07 10:04:50:572,1191156,1191156,0,0,558997756043,5860872049,1180084,9275,1797,368,392075,0 17,2,2024-09-07 10:04:51:666,860846,860845,1,0,37010251,0,5050 17,3,2024-09-07 10:04:50:574,1,679,1,0,518,9781,679,0 18,0,2024-09-07 10:04:50:940,170932,0.6,172093,0.8,342405,0.6,457748,2.25 18,1,2024-09-07 10:04:51:642,1195634,1195634,0,0,560599623546,5816860797,1187468,6736,1430,367,391725,0 18,2,2024-09-07 10:04:51:758,858519,858519,0,0,33461782,0,3541 18,3,2024-09-07 10:04:50:896,1,679,1,0,1059,6270,679,0 19,0,2024-09-07 10:04:51:543,169486,0.6,170036,0.8,338955,0.6,450203,2.00 19,1,2024-09-07 10:04:50:570,1194573,1194573,0,0,561561252515,5819912458,1185730,7683,1160,365,391777,0 19,2,2024-09-07 10:04:51:759,863531,863531,0,0,31118005,0,3988 19,3,2024-09-07 10:04:51:129,1,679,8,0,524,5472,679,0 20,0,2024-09-07 10:04:51:421,165127,0.5,164977,0.7,329766,0.5,440100,2.00 20,1,2024-09-07 10:04:50:572,1190347,1190347,0,0,559240547151,5862525438,1178092,10551,1704,369,391922,0 20,2,2024-09-07 10:04:50:933,859946,859946,0,0,39553537,0,4321 20,3,2024-09-07 10:04:50:601,1,679,0,0,468,11522,679,0 21,0,2024-09-07 10:04:51:171,170751,0.5,170895,0.7,341714,0.5,453997,2.00 21,1,2024-09-07 10:04:51:547,1188316,1188316,0,0,557231521024,5882565565,1169567,14760,3989,368,392016,0 21,2,2024-09-07 10:04:51:080,853199,852722,477,0,50739859,0,17074 21,3,2024-09-07 10:04:51:430,1,679,5,0,713,9035,679,0 22,0,2024-09-07 10:04:51:729,171892,0.5,172592,0.7,344778,0.4,457416,2.00 22,1,2024-09-07 10:04:51:029,1189249,1189249,0,0,558613983000,5877128077,1170212,15434,3603,381,391822,0 22,2,2024-09-07 10:04:50:760,853776,853750,26,0,37612282,0,6328 22,3,2024-09-07 10:04:51:066,1,679,0,0,228,5612,679,0 23,0,2024-09-07 10:04:51:372,171317,0.5,171119,0.7,342232,0.4,455957,2.00 23,1,2024-09-07 10:04:51:003,1191084,1191084,0,0,559300042251,5889477297,1169840,14421,6823,365,391690,0 23,2,2024-09-07 10:04:51:091,861014,861014,0,0,35814290,0,3773 23,3,2024-09-07 10:04:51:759,1,679,0,0,855,9693,679,0 24,0,2024-09-07 10:04:50:879,166376,0.4,165339,0.6,332412,0.3,441342,1.75 24,1,2024-09-07 10:04:50:586,1189323,1189323,0,0,558371730119,5846456346,1177740,9709,1874,367,392269,0 24,2,2024-09-07 10:04:51:074,860560,860557,3,0,42425002,0,6294 24,3,2024-09-07 10:04:51:696,1,679,8,0,468,8951,679,0 25,0,2024-09-07 10:04:51:413,174037,0.5,169088,0.6,332938,0.4,453871,2.00 25,1,2024-09-07 10:04:50:557,1188237,1188237,0,0,558028001964,5896933932,1167508,16735,3994,369,391928,0 25,2,2024-09-07 10:04:51:615,856607,856607,0,0,44015785,0,3978 25,3,2024-09-07 10:04:51:002,1,679,15,0,532,7507,679,0 26,0,2024-09-07 10:04:51:731,171615,0.5,167672,0.6,351829,0.4,458805,2.00 26,1,2024-09-07 10:04:51:541,1191418,1191418,0,0,558936018727,5852335636,1175714,12801,2903,380,391758,0 26,2,2024-09-07 10:04:50:863,854342,854342,0,0,45294774,0,4689 26,3,2024-09-07 10:04:51:718,1,679,1,0,796,8552,679,0 27,0,2024-09-07 10:04:51:730,173521,0.4,174224,0.6,346385,0.4,461951,2.25 27,1,2024-09-07 10:04:51:676,1193047,1193047,0,0,561126855527,5848338850,1181767,9659,1621,381,391626,0 27,2,2024-09-07 10:04:50:868,854999,854934,65,0,40150370,0,5699 27,3,2024-09-07 10:04:51:026,1,679,2,0,564,5885,679,0 28,0,2024-09-07 10:04:51:387,167839,0.4,168072,0.6,336080,0.3,447343,1.75 28,1,2024-09-07 10:04:50:797,1193455,1193455,0,0,560634944100,5853423997,1181990,9038,2427,382,391904,0 28,2,2024-09-07 10:04:51:764,860736,860736,0,0,37508725,0,4060 28,3,2024-09-07 10:04:51:776,1,679,1,0,502,7154,679,0 29,0,2024-09-07 10:04:51:376,172778,0.4,168215,0.6,330182,0.4,450691,1.75 29,1,2024-09-07 10:04:51:562,1196361,1196361,0,0,561757558683,5815948064,1188444,6897,1020,367,391809,0 29,2,2024-09-07 10:04:50:863,858943,858943,0,0,34876200,0,4986 29,3,2024-09-07 10:04:50:968,1,679,0,0,590,7610,679,0 30,0,2024-09-07 10:04:51:456,171569,0.6,166945,0.7,349963,0.5,456837,2.00 30,1,2024-09-07 10:04:50:571,1195257,1195257,0,0,561614340561,5834378949,1185451,8638,1168,380,391672,0 30,2,2024-09-07 10:04:51:273,857703,857703,0,0,33821842,0,4192 30,3,2024-09-07 10:04:50:583,1,679,1,0,519,7183,679,0 31,0,2024-09-07 10:04:51:780,173011,0.5,173854,0.7,346686,0.4,462792,2.00 31,1,2024-09-07 10:04:50:564,1199307,1199307,0,0,562242239755,5778393340,1191380,6659,1268,356,391712,0 31,2,2024-09-07 10:04:51:276,856857,856857,0,0,37199458,0,4470 31,3,2024-09-07 10:04:51:719,1,679,15,0,248,6096,679,0 32,0,2024-09-07 10:04:51:460,169451,0.3,170599,0.5,339659,0.2,451971,1.75 32,1,2024-09-07 10:04:50:813,1195171,1195171,0,0,561254501737,5835422270,1187685,6521,965,381,391646,0 32,2,2024-09-07 10:04:50:934,863147,863147,0,0,32331900,0,3922 32,3,2024-09-07 10:04:51:028,1,679,0,0,304,5806,679,0 33,0,2024-09-07 10:04:51:506,166957,0.3,166488,0.4,333057,0.2,444005,1.50 33,1,2024-09-07 10:04:50:577,1196554,1196554,0,0,561135094049,5811647755,1187212,7991,1351,368,391730,0 33,2,2024-09-07 10:04:50:758,860342,860307,35,0,36034035,0,7012 33,3,2024-09-07 10:04:50:895,1,679,0,0,329,6370,679,0 34,0,2024-09-07 10:04:50:930,171366,0.3,176070,0.5,336727,0.2,454830,1.75 34,1,2024-09-07 10:04:51:046,1198784,1198784,0,0,562884777057,5793177880,1194786,3789,209,367,391637,0 34,2,2024-09-07 10:04:50:766,859809,859809,0,0,33654019,0,4562 34,3,2024-09-07 10:04:51:688,1,679,1,0,541,6006,679,0 35,0,2024-09-07 10:04:50:859,171375,0.3,172000,0.5,345066,0.3,459511,1.75 35,1,2024-09-07 10:04:51:067,1194417,1194417,0,0,560906220801,5821214504,1185480,7385,1552,382,391769,0 35,2,2024-09-07 10:04:51:587,855888,855888,0,0,38783723,0,4055 35,3,2024-09-07 10:04:50:907,1,679,8,0,466,6552,679,0 36,0,2024-09-07 10:04:51:521,171643,0.5,171761,0.6,343230,0.4,456722,2.00 36,1,2024-09-07 10:04:50:599,1193143,1193143,0,0,560480498051,5852716497,1178369,12420,2354,366,391759,0 36,2,2024-09-07 10:04:51:753,860474,860474,0,0,38987212,0,3875 36,3,2024-09-07 10:04:50:868,1,679,4,0,556,8746,679,0 37,0,2024-09-07 10:04:51:368,164094,0.5,164065,0.7,328517,0.5,438417,2.25 37,1,2024-09-07 10:04:50:571,1192293,1192286,0,7,559541703893,5843952031,1178110,11063,3113,365,391770,0 37,2,2024-09-07 10:04:51:143,858740,858725,15,0,39127508,0,5815 37,3,2024-09-07 10:04:51:768,1,679,1,0,888,9160,679,0 38,0,2024-09-07 10:04:51:446,169348,0.5,164181,0.7,343340,0.4,450541,2.00 38,1,2024-09-07 10:04:51:608,1192584,1192584,0,0,560787296982,5863434074,1175756,13524,3304,368,391821,0 38,2,2024-09-07 10:04:50:759,859277,859230,47,0,39161509,0,6710 38,3,2024-09-07 10:04:50:998,1,679,17,0,689,7881,679,0 39,0,2024-09-07 10:04:51:773,175816,0.6,171817,0.7,335428,0.5,457448,2.00 39,1,2024-09-07 10:04:50:720,1191349,1191349,0,0,558998005873,5861648371,1171738,15378,4233,365,391865,0 39,2,2024-09-07 10:04:51:422,854524,854524,0,0,37243280,0,3478 39,3,2024-09-07 10:04:50:726,1,679,0,0,525,7609,679,0 40,0,2024-09-07 10:04:51:488,170992,0.8,171645,1.0,342694,0.9,457253,2.75 40,1,2024-09-07 10:04:50:596,1191215,1191215,0,0,558049361751,5852485497,1172414,15218,3583,366,391668,0 40,2,2024-09-07 10:04:51:304,856743,856736,7,0,43423615,0,5347 40,3,2024-09-07 10:04:51:142,1,679,1,0,1028,9736,679,0 41,0,2024-09-07 10:04:51:026,165520,1.2,169362,1.1,322995,1.6,438081,3.00 41,1,2024-09-07 10:04:50:768,1190722,1190722,0,0,559565602531,5854510986,1175016,13181,2525,369,391878,0 41,2,2024-09-07 10:04:50:759,857479,857478,1,0,42334074,0,5408 41,3,2024-09-07 10:04:51:680,1,679,5,0,749,8390,679,0 42,0,2024-09-07 10:04:51:477,167604,1.0,167437,1.0,335155,1.2,444707,2.75 42,1,2024-09-07 10:04:51:440,1189168,1189168,0,0,558403261844,5864116249,1171567,14517,3084,380,391675,0 42,2,2024-09-07 10:04:51:133,855921,855920,1,0,43605572,0,5513 42,3,2024-09-07 10:04:51:009,1,679,1,0,892,6956,679,0 43,0,2024-09-07 10:04:50:917,170421,0.8,165830,1.0,347517,0.9,454277,2.25 43,1,2024-09-07 10:04:50:576,1192053,1192053,0,0,560692565831,5858859108,1175948,13358,2747,366,391696,0 43,2,2024-09-07 10:04:51:739,856267,856267,0,0,39927208,0,4723 43,3,2024-09-07 10:04:51:749,1,679,1,0,571,9274,679,0 44,0,2024-09-07 10:04:50:862,174004,0.4,174266,0.6,347822,0.4,463817,1.75 44,1,2024-09-07 10:04:50:563,1195196,1195196,0,0,560775778448,5802183160,1183923,9122,2151,356,391809,0 44,2,2024-09-07 10:04:51:272,856587,856587,0,0,33408918,0,4344 44,3,2024-09-07 10:04:51:094,1,679,233,0,1097,8709,679,0 45,0,2024-09-07 10:04:51:757,166593,0.5,162781,0.7,341579,0.4,447845,2.00 45,1,2024-09-07 10:04:51:009,1194614,1194614,0,0,561466273325,5838803778,1185068,8325,1221,382,391917,0 45,2,2024-09-07 10:04:51:269,861565,861565,0,0,33670877,0,3596 45,3,2024-09-07 10:04:50:934,1,679,1,0,531,6442,679,0 46,0,2024-09-07 10:04:50:955,166320,0.5,165854,0.7,332604,0.5,442422,2.00 46,1,2024-09-07 10:04:50:575,1196548,1196548,0,0,561726613047,5814355457,1187276,7791,1481,366,391709,0 46,2,2024-09-07 10:04:50:601,860419,860419,0,0,34466270,0,4443 46,3,2024-09-07 10:04:51:131,1,679,1,0,908,7587,679,0 47,0,2024-09-07 10:04:51:174,172883,0.5,172399,0.6,346317,0.4,459706,2.00 47,1,2024-09-07 10:04:50:570,1197967,1197967,0,0,561358896729,5804294062,1190462,6492,1013,364,391666,0 47,2,2024-09-07 10:04:50:908,860963,860963,0,0,34918295,0,4477 47,3,2024-09-07 10:04:51:117,1,679,19,0,600,7438,679,0 48,0,2024-09-07 10:04:51:489,172976,0.3,173534,0.4,345795,0.2,460627,1.50 48,1,2024-09-07 10:04:51:022,1194872,1194872,0,0,560398992038,5823753080,1187265,6595,1012,381,391710,0 48,2,2024-09-07 10:04:50:704,856964,856964,0,0,31537726,0,3619 48,3,2024-09-07 10:04:50:752,1,679,1,0,339,5943,679,0 49,0,2024-09-07 10:04:51:745,175082,0.3,171405,0.5,333476,0.3,454787,1.75 49,1,2024-09-07 10:04:51:028,1193908,1193908,0,0,560689167257,5831320849,1186044,6166,1698,382,391809,0 49,2,2024-09-07 10:04:51:797,862313,862313,0,0,33212574,0,4426 49,3,2024-09-07 10:04:51:421,1,679,26,0,992,7980,679,0 50,0,2024-09-07 10:04:51:508,165654,0.3,164242,0.5,330603,0.2,439908,1.75 50,1,2024-09-07 10:04:51:010,1197182,1197182,0,0,562238896133,5813557816,1189631,6819,732,368,391691,0 50,2,2024-09-07 10:04:51:067,859497,859497,0,0,31162688,0,4490 50,3,2024-09-07 10:04:51:293,1,679,2,0,617,7101,679,0 51,0,2024-09-07 10:04:51:686,175450,0.3,171628,0.5,334365,0.2,456334,1.75 51,1,2024-09-07 10:04:51:680,1197560,1197560,0,0,563372938990,5810483136,1190862,5354,1344,365,391706,0 51,2,2024-09-07 10:04:51:317,859597,859597,0,0,30947353,0,3337 51,3,2024-09-07 10:04:51:027,1,679,1,0,678,5448,679,0 52,0,2024-09-07 10:04:51:457,172093,0.5,172101,0.7,343790,0.4,457181,2.00 52,1,2024-09-07 10:04:50:578,1192027,1192027,0,0,559536639400,5856316937,1175283,14317,2427,368,391805,0 52,2,2024-09-07 10:04:51:759,851435,851397,38,0,41975608,0,6742 52,3,2024-09-07 10:04:50:677,1,679,2,0,1782,7894,679,0 53,0,2024-09-07 10:04:51:751,171095,0.6,166024,0.8,347327,0.7,454463,2.25 53,1,2024-09-07 10:04:50:771,1190658,1190658,0,0,559785135418,5869380315,1171509,14736,4413,367,391968,0 53,2,2024-09-07 10:04:51:299,860442,860304,138,0,38535449,0,7690 53,3,2024-09-07 10:04:50:701,1,679,1,0,308,6433,679,0 54,0,2024-09-07 10:04:51:615,163493,0.6,163836,0.7,326421,0.5,435522,2.25 54,1,2024-09-07 10:04:50:586,1193660,1193660,0,0,561225718395,5835203329,1181886,10100,1674,366,391810,0 54,2,2024-09-07 10:04:50:865,861206,861174,32,0,41807230,0,6397 54,3,2024-09-07 10:04:50:764,1,679,1,0,676,8790,679,0 55,0,2024-09-07 10:04:51:759,163494,0.7,168583,0.8,342054,0.6,446260,2.50 55,1,2024-09-07 10:04:50:765,1194314,1194314,0,0,560283757941,5826614590,1182296,10532,1486,365,391731,0 55,2,2024-09-07 10:04:50:731,857859,857803,56,0,38271104,0,7239 55,3,2024-09-07 10:04:50:674,1,679,1,0,304,6252,679,0 56,0,2024-09-07 10:04:51:576,175428,1.2,165273,1.2,340653,1.6,455976,2.75 56,1,2024-09-07 10:04:50:570,1186921,1186921,0,0,557788909645,5898787382,1167430,15737,3754,381,391867,0 56,2,2024-09-07 10:04:51:325,853379,853257,122,0,40903339,0,7432 56,3,2024-09-07 10:04:51:061,1,679,1,0,705,8171,679,0 57,0,2024-09-07 10:04:50:945,171673,1.4,171635,1.2,342897,1.9,458121,3.00 57,1,2024-09-07 10:04:50:995,1189820,1189820,0,0,558169455534,5857225290,1174118,13000,2702,366,392032,0 57,2,2024-09-07 10:04:51:338,857756,857756,0,0,43811072,0,4804 57,3,2024-09-07 10:04:51:738,1,679,3,0,455,7360,679,0 58,0,2024-09-07 10:04:50:557,165392,0.9,160575,1.0,336118,1.1,439708,2.50 58,1,2024-09-07 10:04:50:575,1190792,1190789,0,3,559693833149,5870556786,1172432,13956,4401,367,391726,3 58,2,2024-09-07 10:04:51:070,861054,861054,0,0,39894091,0,3483 58,3,2024-09-07 10:04:51:068,1,679,0,0,1043,7433,679,0 59,0,2024-09-07 10:04:51:772,166860,0.9,166099,1.0,332208,1.0,441368,3.00 59,1,2024-09-07 10:04:50:812,1190498,1190498,0,0,559100410873,5875094631,1171015,15645,3838,369,391653,0 59,2,2024-09-07 10:04:50:583,859003,859003,0,0,38007387,0,3727 59,3,2024-09-07 10:04:51:744,1,679,18,0,1015,8039,679,0 60,0,2024-09-07 10:04:51:775,172459,0.6,172617,0.7,345190,0.5,460392,2.00 60,1,2024-09-07 10:04:50:772,1194236,1194236,0,0,561013848947,5844738898,1183311,9424,1501,370,392031,0 60,2,2024-09-07 10:04:51:141,857896,857896,0,0,36227965,0,3811 60,3,2024-09-07 10:04:51:259,1,679,3,0,409,7879,679,0 61,0,2024-09-07 10:04:51:496,173136,0.6,173939,0.8,346294,0.6,462243,2.00 61,1,2024-09-07 10:04:50:770,1191474,1191474,0,0,559270259646,5858430163,1178809,10818,1847,382,392127,0 61,2,2024-09-07 10:04:51:116,857609,857542,67,0,37101603,0,6411 61,3,2024-09-07 10:04:51:689,1,679,1,0,607,8488,679,0 62,0,2024-09-07 10:04:51:730,170064,0.5,174373,0.7,332899,0.5,451941,2.00 62,1,2024-09-07 10:04:51:116,1198770,1198764,0,6,562967318996,5813583471,1191514,6633,617,365,391975,6 62,2,2024-09-07 10:04:51:650,858822,858821,1,0,36910357,0,5555 62,3,2024-09-07 10:04:51:143,1,679,1,0,482,5382,679,0 63,0,2024-09-07 10:04:51:466,166858,0.4,166577,0.6,333821,0.3,444193,1.75 63,1,2024-09-07 10:04:50:808,1195857,1195851,0,6,561636880884,5836153254,1187940,6961,950,381,391800,6 63,2,2024-09-07 10:04:50:762,859165,859165,0,0,35982809,0,4369 63,3,2024-09-07 10:04:51:732,1,679,4,0,667,8048,679,0 64,0,2024-09-07 10:04:51:562,170389,0.5,170196,0.7,340033,0.5,453283,2.00 64,1,2024-09-07 10:04:50:752,1194042,1194042,0,0,561005788744,5841567988,1183843,8173,2026,370,391794,0 64,2,2024-09-07 10:04:51:144,862034,862015,19,0,34641848,0,6121 64,3,2024-09-07 10:04:51:140,1,679,1,0,651,7704,679,0 65,0,2024-09-07 10:04:51:688,170697,0.6,170934,0.7,341294,0.6,455749,2.00 65,1,2024-09-07 10:04:50:862,1192270,1192270,0,0,559374862380,5846294897,1184029,7343,898,381,391901,0 65,2,2024-09-07 10:04:51:692,854208,854208,0,0,41073758,0,3367 65,3,2024-09-07 10:04:51:683,1,679,1,0,782,8032,679,0 66,0,2024-09-07 10:04:51:772,170873,0.5,170238,0.7,340642,0.5,453301,2.00 66,1,2024-09-07 10:04:51:303,1194004,1194004,0,0,560479415214,5833921860,1186510,6621,873,380,391743,0 66,2,2024-09-07 10:04:51:132,863128,863125,3,0,36165783,0,5455 66,3,2024-09-07 10:04:51:081,1,679,1,0,291,6113,679,0 67,0,2024-09-07 10:04:51:426,164776,0.5,164401,0.7,329510,0.5,438987,2.00 67,1,2024-09-07 10:04:50:767,1194182,1194181,0,1,559710003639,5828856444,1185749,7292,1140,380,391787,1 67,2,2024-09-07 10:04:50:586,863283,863268,15,0,36437978,0,6205 67,3,2024-09-07 10:04:51:750,1,679,0,0,595,7259,679,0 68,0,2024-09-07 10:04:50:572,170206,0.6,169974,0.8,338567,0.6,453400,2.25 68,1,2024-09-07 10:04:50:573,1189706,1189706,0,0,558654853986,5879140571,1172984,12451,4271,381,391953,0 68,2,2024-09-07 10:04:51:043,854871,854771,100,0,44742699,0,8578 68,3,2024-09-07 10:04:50:730,1,679,13,0,417,9611,679,0 69,0,2024-09-07 10:04:51:734,171753,0.7,172188,0.8,343626,0.8,457445,2.25 69,1,2024-09-07 10:04:51:029,1188899,1188899,0,0,557765891199,5876676038,1174015,11903,2981,383,391994,0 69,2,2024-09-07 10:04:51:734,851757,851728,29,0,47509564,0,6912 69,3,2024-09-07 10:04:50:760,1,679,1,0,698,10308,679,0 70,0,2024-09-07 10:04:51:537,170699,0.7,171397,0.9,343743,0.6,455798,2.50 70,1,2024-09-07 10:04:50:806,1195319,1195319,0,0,561961753869,5833686767,1185873,8401,1045,366,391725,0 70,2,2024-09-07 10:04:51:325,859407,859407,0,0,39172063,0,4323 70,3,2024-09-07 10:04:50:750,1,679,6,0,854,7662,679,0 71,0,2024-09-07 10:04:51:356,165460,0.8,164983,1.0,330964,1.0,440663,2.50 71,1,2024-09-07 10:04:51:596,1193371,1193371,0,0,559811593662,5842474510,1178942,12643,1786,367,391738,0 71,2,2024-09-07 10:04:51:067,860087,860087,0,0,39611641,0,4352 71,3,2024-09-07 10:04:51:751,1,679,0,0,644,7563,679,0 72,0,2024-09-07 10:04:51:026,174224,0.6,170073,0.7,332402,0.6,452134,2.00 72,1,2024-09-07 10:04:51:023,1191408,1191408,0,0,559275885983,5865922671,1174559,14116,2733,369,391819,0 72,2,2024-09-07 10:04:51:763,857131,857131,0,0,41537866,0,3983 72,3,2024-09-07 10:04:51:754,1,679,1,0,564,9481,679,0 73,0,2024-09-07 10:04:51:105,168525,0.5,172751,0.7,352901,0.4,459400,2.00 73,1,2024-09-07 10:04:50:766,1193146,1193146,0,0,559992417088,5823219484,1183625,8459,1062,367,391858,0 73,2,2024-09-07 10:04:51:738,855114,855113,1,0,43503028,0,5027 73,3,2024-09-07 10:04:50:971,1,679,1,0,1091,9253,679,0 74,0,2024-09-07 10:04:51:361,174881,0.5,179158,0.7,341744,0.4,464212,2.00 74,1,2024-09-07 10:04:50:642,1191608,1191608,0,0,559510799062,5839592580,1179250,10210,2148,381,391762,0 74,2,2024-09-07 10:04:51:002,856854,856854,0,0,37773942,0,4253 74,3,2024-09-07 10:04:51:447,1,679,2,0,522,7878,679,0 75,0,2024-09-07 10:04:51:797,168533,0.5,167517,0.7,336319,0.4,449279,2.00 75,1,2024-09-07 10:04:51:585,1193255,1193255,0,0,559379041511,5830674722,1183376,8886,993,380,391739,0 75,2,2024-09-07 10:04:51:351,859543,859543,0,0,44950380,0,4766 75,3,2024-09-07 10:04:51:067,1,679,8,0,918,9458,679,0 76,0,2024-09-07 10:04:50:577,166629,0.6,165992,0.8,331748,0.6,444090,2.25 76,1,2024-09-07 10:04:50:808,1193007,1193007,0,0,559484582880,5839617255,1184118,7580,1309,382,391790,0 76,2,2024-09-07 10:04:51:063,861475,861472,3,0,37740957,0,5265 76,3,2024-09-07 10:04:51:142,1,679,1,0,249,6280,679,0 77,0,2024-09-07 10:04:51:708,171718,0.6,172068,0.7,344536,0.6,458581,2.00 77,1,2024-09-07 10:04:50:825,1192227,1192227,0,0,559329000528,5843812411,1181957,9182,1088,381,391869,0 77,2,2024-09-07 10:04:51:285,857561,857561,0,0,36539164,0,3890 77,3,2024-09-07 10:04:51:097,1,679,3,0,401,7783,679,0 78,0,2024-09-07 10:04:51:736,173318,0.4,172576,0.6,346468,0.4,458712,2.00 78,1,2024-09-07 10:04:50:611,1191930,1191930,0,0,559163741438,5839491627,1176402,12197,3331,367,391670,0 78,2,2024-09-07 10:04:51:408,857260,857247,13,0,34153043,0,8313 78,3,2024-09-07 10:04:51:133,1,679,1,0,311,5931,679,0 79,0,2024-09-07 10:04:51:349,164488,0.4,168534,0.6,345165,0.3,449277,2.00 79,1,2024-09-07 10:04:50:571,1196302,1196302,0,0,561464613498,5818302345,1186492,8009,1801,367,391682,0 79,2,2024-09-07 10:04:51:068,861546,861546,0,0,33156505,0,4195 79,3,2024-09-07 10:04:50:750,1,679,2,0,418,7667,679,0 80,0,2024-09-07 10:04:51:098,165312,0.5,169715,0.7,324093,0.5,438924,2.00 80,1,2024-09-07 10:04:51:632,1192749,1192749,0,0,559961863590,5832203706,1184775,7393,581,368,392269,0 80,2,2024-09-07 10:04:51:095,862937,862937,0,0,33654207,0,4433 80,3,2024-09-07 10:04:50:574,1,679,3,0,681,8285,679,0 81,0,2024-09-07 10:04:51:540,170775,0.6,174852,0.7,333574,0.6,453121,2.00 81,1,2024-09-07 10:04:51:660,1192201,1192201,0,0,559730404616,5850665263,1182607,8621,973,382,392001,0 81,2,2024-09-07 10:04:51:125,858890,858827,63,0,37412530,0,5932 81,3,2024-09-07 10:04:51:123,1,679,12,0,719,7415,679,0 82,0,2024-09-07 10:04:51:550,172129,0.5,172226,0.7,344870,0.5,457753,2.00 82,1,2024-09-07 10:04:50:586,1194047,1194043,0,4,560750196595,5835115985,1187112,5807,1124,381,391768,4 82,2,2024-09-07 10:04:51:719,857507,857507,0,0,30989715,0,4484 82,3,2024-09-07 10:04:51:752,1,679,1,0,363,6120,679,0 83,0,2024-09-07 10:04:51:537,171673,0.5,171559,0.7,342878,0.5,455124,2.25 83,1,2024-09-07 10:04:50:551,1192912,1192912,0,0,559978078910,5839413236,1183938,7949,1025,382,391709,0 83,2,2024-09-07 10:04:50:772,860817,860792,25,0,33850204,0,5612 83,3,2024-09-07 10:04:50:754,1,679,1,0,1260,7962,679,0 84,0,2024-09-07 10:04:51:783,163792,0.7,163844,0.9,327885,0.7,437414,2.25 84,1,2024-09-07 10:04:51:039,1191233,1191233,0,0,559714239860,5855592864,1177559,11591,2083,367,391967,0 84,2,2024-09-07 10:04:50:592,858953,858543,410,0,49080842,0,17037 84,3,2024-09-07 10:04:51:141,1,679,2,0,908,9552,679,0 85,0,2024-09-07 10:04:51:015,162747,0.7,162786,0.8,345479,0.7,446550,2.25 85,1,2024-09-07 10:04:50:559,1187360,1187360,0,0,557434120954,5883459159,1169580,14850,2930,381,392092,0 85,2,2024-09-07 10:04:50:868,858290,858290,0,0,41499411,0,4255 85,3,2024-09-07 10:04:50:686,1,679,1,0,789,7731,679,0 86,0,2024-09-07 10:04:50:890,171537,0.7,176471,0.8,337799,0.8,456780,2.25 86,1,2024-09-07 10:04:50:846,1189912,1189912,0,0,559470795024,5876329641,1172334,14265,3313,366,392169,0 86,2,2024-09-07 10:04:50:855,854164,854163,1,0,43537391,0,5004 86,3,2024-09-07 10:04:50:586,1,679,2,0,441,9517,679,0 87,0,2024-09-07 10:04:51:308,173974,0.8,172741,0.8,346039,0.9,462061,2.25 87,1,2024-09-07 10:04:50:558,1190448,1190448,0,0,558605599943,5852511911,1175543,12743,2162,366,392076,0 87,2,2024-09-07 10:04:51:068,857272,857266,6,0,40799345,0,6323 87,3,2024-09-07 10:04:51:803,1,679,1,0,473,9441,679,0 88,0,2024-09-07 10:04:51:451,167641,0.4,168121,0.6,336252,0.4,447373,1.75 88,1,2024-09-07 10:04:50:571,1189191,1189191,0,0,558230715494,5851626729,1172396,13659,3136,365,392084,0 88,2,2024-09-07 10:04:50:688,860303,860303,0,0,43094852,0,4465 88,3,2024-09-07 10:04:51:269,1,679,2,0,1080,11021,679,0 89,0,2024-09-07 10:04:51:777,172841,0.4,167731,0.6,330639,0.4,449866,1.75 89,1,2024-09-07 10:04:50:555,1188973,1188973,0,0,558327832063,5872783620,1174310,12386,2277,382,391866,0 89,2,2024-09-07 10:04:51:138,858508,858508,0,0,40532342,0,3173 89,3,2024-09-07 10:04:51:791,1,679,3,0,729,12230,679,0 90,0,2024-09-07 10:04:51:618,167535,0.5,171917,0.7,351221,0.5,458217,2.00 90,1,2024-09-07 10:04:50:592,1190027,1190027,0,0,558835182843,5857687532,1177587,11172,1268,380,391825,0 90,2,2024-09-07 10:04:51:424,853082,853077,5,0,43715859,0,6370 90,3,2024-09-07 10:04:50:929,1,679,9,0,364,9011,679,0 91,0,2024-09-07 10:04:50:930,173885,0.5,168722,0.6,352939,0.5,463235,1.75 91,1,2024-09-07 10:04:50:559,1187242,1187242,0,0,558364036752,5891465938,1168540,14556,4146,381,392047,0 91,2,2024-09-07 10:04:51:332,855878,855878,0,0,38976484,0,4713 91,3,2024-09-07 10:04:50:598,1,679,8,0,231,6435,679,0 92,0,2024-09-07 10:04:51:489,170970,0.4,174894,0.6,333408,0.4,452256,1.75 92,1,2024-09-07 10:04:50:696,1191763,1191763,0,0,559340021810,5845714314,1182284,8168,1311,381,392136,0 92,2,2024-09-07 10:04:51:367,860510,860510,0,0,35594120,0,3906 92,3,2024-09-07 10:04:51:011,1,679,3,0,322,6181,679,0 93,0,2024-09-07 10:04:51:008,167254,0.4,171464,0.6,327186,0.4,443907,1.75 93,1,2024-09-07 10:04:50:820,1192435,1192435,0,0,559259586365,5847119337,1178053,11949,2433,365,392048,0 93,2,2024-09-07 10:04:50:929,859404,859404,0,0,41056261,0,4913 93,3,2024-09-07 10:04:51:408,1,679,2,0,788,8445,679,0 94,0,2024-09-07 10:04:51:612,170311,0.4,171480,0.6,343203,0.4,455535,1.75 94,1,2024-09-07 10:04:50:565,1193234,1193234,0,0,560473955487,5847454353,1184964,7620,650,381,391850,0 94,2,2024-09-07 10:04:50:782,858892,858864,28,0,35760059,0,6179 94,3,2024-09-07 10:04:51:718,1,679,1,0,576,8308,679,0 95,0,2024-09-07 10:04:51:376,171953,0.4,171807,0.6,344055,0.3,458649,1.75 95,1,2024-09-07 10:04:50:852,1193890,1193890,0,0,560735045142,5829462315,1184533,8547,810,365,391852,0 95,2,2024-09-07 10:04:51:023,854242,854242,0,0,35781777,0,3308 95,3,2024-09-07 10:04:51:724,1,679,1,0,718,10463,679,0 96,0,2024-09-07 10:04:51:035,171259,0.3,171465,0.5,343095,0.3,455947,1.75 96,1,2024-09-07 10:04:51:584,1191950,1191950,0,0,559601876112,5840791433,1183040,7532,1378,384,391964,0 96,2,2024-09-07 10:04:51:270,862049,862049,0,0,35993630,0,4225 96,3,2024-09-07 10:04:51:140,1,679,2,0,411,7677,679,0 97,0,2024-09-07 10:04:51:324,164860,0.3,164608,0.5,329953,0.3,438900,1.50 97,1,2024-09-07 10:04:50:764,1194380,1194380,0,0,561143240497,5823969371,1186502,6639,1239,367,392140,0 97,2,2024-09-07 10:04:50:619,861809,861809,0,0,36197501,0,4600 97,3,2024-09-07 10:04:50:572,1,679,2,0,433,8241,679,0 98,0,2024-09-07 10:04:51:706,169818,0.3,169741,0.5,340955,0.3,453789,1.50 98,1,2024-09-07 10:04:50:572,1193565,1193565,0,0,559782568600,5832156287,1186128,6538,899,381,391997,0 98,2,2024-09-07 10:04:50:772,858762,858762,0,0,34587629,0,4336 98,3,2024-09-07 10:04:50:703,1,679,1,0,840,9599,679,0 99,0,2024-09-07 10:04:51:455,172515,0.3,173389,0.5,345432,0.3,460091,1.75 99,1,2024-09-07 10:04:51:730,1194837,1194837,0,0,560310413235,5833369126,1187062,6668,1107,380,392069,0 99,2,2024-09-07 10:04:51:431,854213,854213,0,0,43347962,0,4276 99,3,2024-09-07 10:04:50:586,1,679,2,0,1124,8512,679,0 100,0,2024-09-07 10:04:51:463,172123,0.8,172625,0.9,345030,0.9,459822,2.50 100,1,2024-09-07 10:04:50:551,1187269,1187269,0,0,557422376052,5897983492,1168229,15245,3795,378,391989,0 100,2,2024-09-07 10:04:51:819,855501,855112,389,0,45088516,0,16909 100,3,2024-09-07 10:04:51:731,1,679,1,0,627,10730,679,0 101,0,2024-09-07 10:04:51:717,170061,0.9,166023,1.0,324637,0.9,442553,2.25 101,1,2024-09-07 10:04:50:557,1189409,1189409,0,0,558293724714,5869162839,1173045,13427,2937,368,392018,0 101,2,2024-09-07 10:04:51:759,855389,855350,39,0,47049561,0,5913 101,3,2024-09-07 10:04:50:952,1,679,2,0,1250,10556,679,0 102,0,2024-09-07 10:04:50:958,164164,0.6,169094,0.8,343410,0.6,449048,2.25 102,1,2024-09-07 10:04:51:142,1189614,1189614,0,0,558822899791,5869669268,1173893,13465,2256,369,391984,0 102,2,2024-09-07 10:04:51:738,859779,859725,54,0,38911650,0,6768 102,3,2024-09-07 10:04:51:615,1,679,0,0,466,7904,679,0 103,0,2024-09-07 10:04:51:619,178212,0.7,178147,0.8,335800,0.8,461633,2.25 103,1,2024-09-07 10:04:51:626,1187994,1187994,0,0,557657029883,5889278956,1169089,15279,3626,381,392077,0 103,2,2024-09-07 10:04:50:584,853843,853843,0,0,42245964,0,3766 103,3,2024-09-07 10:04:50:804,1,679,3,0,916,8063,679,0 104,0,2024-09-07 10:04:51:056,172600,0.7,173011,0.9,345117,0.7,461347,2.25 104,1,2024-09-07 10:04:51:611,1190222,1190222,0,0,557874457600,5867130529,1171801,14964,3457,365,392168,0 104,2,2024-09-07 10:04:51:665,855257,855257,0,0,41480961,0,4161 104,3,2024-09-07 10:04:51:431,1,679,20,0,1245,11684,679,0 105,0,2024-09-07 10:04:51:043,166545,0.8,162311,1.0,340168,0.9,446994,2.50 105,1,2024-09-07 10:04:50:574,1192312,1192312,0,0,558878928857,5855550343,1177551,12647,2114,364,392009,0 105,2,2024-09-07 10:04:51:321,859299,859299,0,0,42785581,0,4360 105,3,2024-09-07 10:04:51:303,1,679,1,0,573,10217,679,0 106,0,2024-09-07 10:04:50:958,161393,1.0,165461,1.0,338435,1.2,442383,2.50 106,1,2024-09-07 10:04:51:750,1190283,1190283,0,0,558691354582,5863626780,1173860,14273,2150,368,391914,0 106,2,2024-09-07 10:04:50:760,858685,858685,0,0,39475918,0,3331 106,3,2024-09-07 10:04:50:709,1,679,14,0,1224,9439,679,0 107,0,2024-09-07 10:04:51:125,171762,1.3,171778,1.1,343744,1.9,459660,2.25 107,1,2024-09-07 10:04:50:605,1187919,1187919,0,0,556812848230,5887545120,1168832,16831,2256,381,392234,0 107,2,2024-09-07 10:04:51:317,854233,854232,1,0,43013594,0,5024 107,3,2024-09-07 10:04:51:758,1,679,1,0,733,10830,679,0 108,0,2024-09-07 10:04:51:898,172371,0.4,173189,0.6,345404,0.4,460449,1.75 108,1,2024-09-07 10:04:51:301,1191322,1191322,0,0,559628352032,5846397996,1179865,10197,1260,367,391894,0 108,2,2024-09-07 10:04:51:762,856323,856323,0,0,38392851,0,4432 108,3,2024-09-07 10:04:51:330,1,679,6,0,767,12389,679,0 109,0,2024-09-07 10:04:51:759,171084,0.4,169668,0.6,340407,0.3,453872,1.75 109,1,2024-09-07 10:04:50:584,1189764,1189764,0,0,558790489760,5865141359,1179122,9138,1504,382,392132,0 109,2,2024-09-07 10:04:50:923,859059,859059,0,0,38458645,0,3617 109,3,2024-09-07 10:04:51:140,1,679,0,0,630,7828,679,0 110,0,2024-09-07 10:04:51:746,165009,0.4,160412,0.6,335916,0.3,440741,1.75 110,1,2024-09-07 10:04:51:643,1193812,1193812,0,0,560811924055,5826003472,1184352,7376,2084,368,392045,0 110,2,2024-09-07 10:04:51:303,860297,860297,0,0,37582279,0,4067 110,3,2024-09-07 10:04:50:690,1,679,7,0,722,9298,679,0 111,0,2024-09-07 10:04:51:443,171613,0.4,170812,0.6,341148,0.4,455794,1.75 111,1,2024-09-07 10:04:51:000,1195238,1195238,0,0,561382571957,5831191270,1187993,6739,506,380,391690,0 111,2,2024-09-07 10:04:51:124,858940,858940,0,0,37067122,0,4823 111,3,2024-09-07 10:04:50:913,1,679,1,0,379,7559,679,0 112,0,2024-09-07 10:04:50:915,172888,0.3,172448,0.4,345619,0.2,458981,1.50 112,1,2024-09-07 10:04:50:826,1194026,1194026,0,0,560475695822,5818565516,1186440,6361,1225,380,391624,0 112,2,2024-09-07 10:04:51:133,855509,855508,1,0,34692381,0,5036 112,3,2024-09-07 10:04:50:592,1,679,27,0,282,6806,679,0 113,0,2024-09-07 10:04:50:875,171636,0.3,171959,0.5,344240,0.2,457545,1.75 113,1,2024-09-07 10:04:51:685,1197821,1197821,0,0,562395281475,5803051840,1190913,5958,950,365,391664,0 113,2,2024-09-07 10:04:51:304,863060,863060,0,0,31976733,0,3813 113,3,2024-09-07 10:04:50:690,1,679,2,0,510,6959,679,0 114,0,2024-09-07 10:04:50:873,165374,0.3,166483,0.5,332158,0.2,442973,1.75 114,1,2024-09-07 10:04:50:720,1193766,1193766,0,0,560712955470,5822989555,1184939,7023,1804,381,391565,0 114,2,2024-09-07 10:04:50:879,862805,862804,1,0,34670625,0,5069 114,3,2024-09-07 10:04:51:282,1,679,7,0,415,5645,679,0 115,0,2024-09-07 10:04:50:557,170195,0.3,170793,0.4,339946,0.2,453115,1.50 115,1,2024-09-07 10:04:50:571,1194667,1194667,0,0,562125085897,5839911896,1185114,7762,1791,382,391757,0 115,2,2024-09-07 10:04:51:128,860522,860522,0,0,34569258,0,4382 115,3,2024-09-07 10:04:51:004,1,679,5,0,173,4398,679,0 116,0,2024-09-07 10:04:51:750,171576,0.8,171599,0.9,343840,0.8,459402,2.25 116,1,2024-09-07 10:04:50:811,1188069,1188069,0,0,558781600920,5888002485,1174016,10840,3213,380,392089,0 116,2,2024-09-07 10:04:51:752,854887,854887,0,0,41621570,0,4475 116,3,2024-09-07 10:04:50:921,1,679,10,0,448,8822,679,0 117,0,2024-09-07 10:04:50:961,173745,0.6,173439,0.8,347106,0.7,463374,2.00 117,1,2024-09-07 10:04:51:602,1190576,1190576,0,0,558796506989,5854926019,1176767,12046,1763,369,392429,0 117,2,2024-09-07 10:04:51:130,859736,859736,0,0,38338128,0,4303 117,3,2024-09-07 10:04:51:059,1,679,3,0,490,8406,679,0 118,0,2024-09-07 10:04:51:780,162644,0.5,167088,0.7,340867,0.5,445707,2.00 118,1,2024-09-07 10:04:50:592,1188986,1188986,0,0,558204344195,5868460063,1171492,13649,3845,366,392054,0 118,2,2024-09-07 10:04:51:586,860121,860121,0,0,40871383,0,3161 118,3,2024-09-07 10:04:51:781,1,679,2,0,343,8179,679,0 119,0,2024-09-07 10:04:51:359,167844,0.7,168236,0.8,335857,0.8,448405,2.25 119,1,2024-09-07 10:04:50:577,1190076,1190076,0,0,558976146860,5863236053,1174066,13343,2667,367,391857,0 119,2,2024-09-07 10:04:51:277,859627,859627,0,0,37855716,0,4309 119,3,2024-09-07 10:04:51:326,1,679,14,0,1358,12132,679,0 120,0,2024-09-07 10:04:51:543,171755,0.7,171652,0.9,344607,0.8,459472,2.50 120,1,2024-09-07 10:04:50:864,1191040,1191040,0,0,558823742501,5861239152,1178413,11470,1157,367,392144,0 120,2,2024-09-07 10:04:50:770,855482,855479,3,0,45597985,0,5363 120,3,2024-09-07 10:04:51:291,1,679,8,0,478,8814,679,0 121,0,2024-09-07 10:04:51:710,173288,1.2,172805,1.0,345838,1.5,461116,2.25 121,1,2024-09-07 10:04:51:659,1190577,1190577,0,0,559256974783,5856961186,1177062,11519,1996,366,391840,0 121,2,2024-09-07 10:04:51:124,856795,856795,0,0,41390476,0,4157 121,3,2024-09-07 10:04:50:732,1,679,4,0,387,9142,679,0 122,0,2024-09-07 10:04:51:781,168900,0.7,164271,0.8,343719,0.8,451800,2.00 122,1,2024-09-07 10:04:50:864,1189972,1189972,0,0,558712515014,5863040394,1173705,13690,2577,365,392130,0 122,2,2024-09-07 10:04:51:333,860474,860399,75,0,45625688,0,5989 122,3,2024-09-07 10:04:50:596,1,679,2,0,512,10463,679,0 123,0,2024-09-07 10:04:51:011,165299,0.8,161028,0.9,337742,0.9,442009,2.25 123,1,2024-09-07 10:04:50:573,1190780,1190780,0,0,559088239899,5881159692,1171698,16057,3025,369,392039,0 123,2,2024-09-07 10:04:51:026,858461,858460,1,0,39131596,0,5215 123,3,2024-09-07 10:04:51:152,1,679,25,0,478,7736,679,0 124,0,2024-09-07 10:04:50:929,175855,0.4,175840,0.5,331332,0.3,456351,1.75 124,1,2024-09-07 10:04:51:023,1193906,1193906,0,0,560360640848,5829909973,1184378,8269,1259,365,392178,0 124,2,2024-09-07 10:04:51:009,860519,860466,53,0,36357724,0,6487 124,3,2024-09-07 10:04:50:760,1,679,148,0,490,7141,679,0 125,0,2024-09-07 10:04:51:420,171901,0.4,171760,0.6,344230,0.3,459181,1.75 125,1,2024-09-07 10:04:50:905,1191162,1191162,0,0,558745732536,5842197828,1180127,9453,1582,382,392045,0 125,2,2024-09-07 10:04:51:124,857829,857829,0,0,35715179,0,4534 125,3,2024-09-07 10:04:51:134,1,679,8,0,709,7656,679,0 126,0,2024-09-07 10:04:51:448,171600,0.4,176361,0.5,337062,0.3,456711,1.75 126,1,2024-09-07 10:04:50:564,1194695,1194695,0,0,561158647692,5817029416,1187423,6570,702,365,391987,0 126,2,2024-09-07 10:04:50:616,862293,862293,0,0,37547678,0,4539 126,3,2024-09-07 10:04:50:908,1,679,1,0,268,7806,679,0 127,0,2024-09-07 10:04:51:621,165011,0.3,165406,0.5,330010,0.3,439142,1.75 127,1,2024-09-07 10:04:50:571,1193406,1193406,0,0,560139711222,5831649025,1180954,10676,1776,364,392187,0 127,2,2024-09-07 10:04:50:660,861545,861541,4,0,35476310,0,5305 127,3,2024-09-07 10:04:51:270,1,679,2,0,968,6765,679,0 128,0,2024-09-07 10:04:51:526,170799,0.3,170847,0.4,341614,0.2,454599,1.50 128,1,2024-09-07 10:04:51:607,1192501,1192501,0,0,560315955434,5826546830,1183638,7893,970,367,392423,0 128,2,2024-09-07 10:04:51:388,861274,861274,0,0,33033707,0,3171 128,3,2024-09-07 10:04:50:767,1,679,1,0,1082,10183,679,0 129,0,2024-09-07 10:04:51:009,173740,0.3,173074,0.5,347089,0.3,460854,1.75 129,1,2024-09-07 10:04:50:570,1189802,1189802,0,0,558810108177,5863606991,1176869,10604,2329,379,391962,0 129,2,2024-09-07 10:04:50:688,857002,856998,4,0,35535224,0,5335 129,3,2024-09-07 10:04:50:689,1,679,1,0,506,9835,679,0 130,0,2024-09-07 10:04:51:730,173320,0.4,173088,0.5,346525,0.4,461306,1.75 130,1,2024-09-07 10:04:50:606,1194539,1194539,0,0,560650104670,5822797095,1188699,5433,407,381,391825,0 130,2,2024-09-07 10:04:51:128,859870,859870,0,0,35379265,0,4067 130,3,2024-09-07 10:04:51:297,1,679,12,0,960,8872,679,0 131,0,2024-09-07 10:04:51:933,166420,0.3,166906,0.5,334758,0.3,444170,1.50 131,1,2024-09-07 10:04:51:822,1193447,1193447,0,0,559902369652,5835675681,1185310,6929,1208,381,391865,0 131,2,2024-09-07 10:04:50:571,861956,861956,0,0,33729290,0,3979 131,3,2024-09-07 10:04:51:689,1,679,1,0,392,8599,679,0 132,0,2024-09-07 10:04:51:418,169242,0.5,170168,0.6,338600,0.4,450974,2.00 132,1,2024-09-07 10:04:50:580,1189146,1189146,0,0,558173183392,5874449025,1172650,13714,2782,381,392532,0 132,2,2024-09-07 10:04:50:704,859263,859246,17,0,42353255,0,6451 132,3,2024-09-07 10:04:51:689,1,679,3,0,1298,11782,679,0 133,0,2024-09-07 10:04:51:517,168519,0.5,172536,0.6,353730,0.4,460032,2.00 133,1,2024-09-07 10:04:50:583,1189205,1189205,0,0,558683758289,5884449561,1173775,13496,1934,383,391914,0 133,2,2024-09-07 10:04:51:089,856420,856370,50,0,43290112,0,6861 133,3,2024-09-07 10:04:51:309,1,679,0,0,528,8000,679,0 134,0,2024-09-07 10:04:50:988,174586,0.5,174433,0.7,348790,0.5,464698,2.00 134,1,2024-09-07 10:04:50:584,1190268,1190268,0,0,558580269357,5862540366,1174191,12785,3292,366,391781,0 134,2,2024-09-07 10:04:51:760,856835,856696,139,0,40845417,0,7591 134,3,2024-09-07 10:04:50:761,1,679,0,0,739,8449,679,0 135,0,2024-09-07 10:04:51:104,163118,0.7,163142,0.8,346288,0.8,446376,2.25 135,1,2024-09-07 10:04:51:588,1190171,1190171,0,0,558686607772,5873343512,1175780,12539,1852,380,392038,0 135,2,2024-09-07 10:04:50:687,861518,861518,0,0,41503697,0,4503 135,3,2024-09-07 10:04:51:011,1,679,0,0,900,6578,679,0 136,0,2024-09-07 10:04:51:625,167390,0.6,167923,0.8,333878,0.6,445430,2.25 136,1,2024-09-07 10:04:51:457,1191243,1191243,0,0,559201768084,5860285259,1178417,11400,1426,381,392135,0 136,2,2024-09-07 10:04:51:139,861047,861032,15,0,40679467,0,6007 136,3,2024-09-07 10:04:51:106,1,679,1,0,637,8020,679,0 137,0,2024-09-07 10:04:50:931,177555,0.6,173047,0.8,338928,0.7,461218,2.00 137,1,2024-09-07 10:04:50:575,1190039,1190039,0,0,558632211970,5859456585,1171620,14782,3637,366,391898,0 137,2,2024-09-07 10:04:51:720,856981,856981,0,0,41682733,0,3185 137,3,2024-09-07 10:04:50:769,1,679,1,0,484,9749,679,0 138,0,2024-09-07 10:04:51:756,171739,0.9,172075,0.9,344659,1.2,458694,2.25 138,1,2024-09-07 10:04:51:685,1189927,1189927,0,0,558970078011,5868264558,1172800,14436,2691,368,391954,0 138,2,2024-09-07 10:04:50:593,854662,854662,0,0,40105085,0,4988 138,3,2024-09-07 10:04:50:636,1,679,2,0,1200,10328,679,0 139,0,2024-09-07 10:04:51:440,168936,0.9,169443,0.9,338936,1.2,452206,2.25 139,1,2024-09-07 10:04:50:575,1186009,1186009,0,0,556042941355,5893342954,1166115,15981,3913,380,392109,0 139,2,2024-09-07 10:04:50:695,855122,855092,30,0,45723773,0,5997 139,3,2024-09-07 10:04:51:662,1,679,21,0,432,8109,679,0 140,0,2024-09-07 10:04:51:594,165581,0.3,164863,0.5,330804,0.2,441073,1.75 140,1,2024-09-07 10:04:51:549,1197467,1197467,0,0,561843881128,5800880144,1190666,5998,803,364,391628,0 140,2,2024-09-07 10:04:50:687,860594,860593,1,0,34238952,0,5036 140,3,2024-09-07 10:04:50:767,1,679,1,0,575,6954,679,0 141,0,2024-09-07 10:04:51:716,171379,0.3,176081,0.5,336487,0.3,455407,1.75 141,1,2024-09-07 10:04:50:865,1194713,1194713,0,0,561382192123,5837995243,1184371,8949,1393,379,391614,0 141,2,2024-09-07 10:04:51:704,859552,859541,11,0,36888374,0,5369 141,3,2024-09-07 10:04:51:043,1,679,1,0,391,8089,679,0 142,0,2024-09-07 10:04:51:307,173011,0.3,172259,0.5,344934,0.3,459741,1.75 142,1,2024-09-07 10:04:50:584,1192441,1192441,0,0,560537323214,5851808196,1183396,8151,894,382,392102,0 142,2,2024-09-07 10:04:51:303,854283,854251,32,0,36214054,0,6028 142,3,2024-09-07 10:04:51:749,1,679,0,0,484,6944,679,0 143,0,2024-09-07 10:04:51:386,171821,0.4,171624,0.5,344332,0.4,457493,1.75 143,1,2024-09-07 10:04:50:565,1195488,1195488,0,0,561067757136,5826287226,1187100,7419,969,367,391900,0 143,2,2024-09-07 10:04:50:769,861796,861796,0,0,35941995,0,3123 143,3,2024-09-07 10:04:51:140,1,679,1,0,462,8036,679,0 144,0,2024-09-07 10:04:51:496,160345,0.6,164998,0.7,335737,0.5,439285,2.00 144,1,2024-09-07 10:04:50:565,1189408,1189408,0,0,558510937564,5860994009,1177672,9694,2042,381,391733,0 144,2,2024-09-07 10:04:51:759,862452,862452,0,0,35563962,0,4443 144,3,2024-09-07 10:04:51:739,1,679,2,0,306,7347,679,0 145,0,2024-09-07 10:04:51:375,163920,0.6,164001,0.8,348069,0.5,448607,2.25 145,1,2024-09-07 10:04:50:571,1188658,1188658,0,0,558599236230,5880624043,1172465,13227,2966,382,391781,0 145,2,2024-09-07 10:04:51:432,857783,857701,82,0,41445731,0,7814 145,3,2024-09-07 10:04:50:895,1,679,4,0,622,9160,679,0 146,0,2024-09-07 10:04:51:601,172158,0.7,171323,0.8,344382,0.7,457459,2.25 146,1,2024-09-07 10:04:51:591,1190420,1190420,0,0,558314414946,5874808504,1171291,14205,4924,367,391829,0 146,2,2024-09-07 10:04:51:719,853236,853230,6,0,39579895,0,5151 146,3,2024-09-07 10:04:51:286,1,679,4,0,1520,10867,679,0 147,0,2024-09-07 10:04:51:717,173748,0.6,173505,0.7,346848,0.5,462441,2.00 147,1,2024-09-07 10:04:51:373,1194028,1194028,0,0,560642673925,5830618928,1184079,8851,1098,367,391791,0 147,2,2024-09-07 10:04:51:010,857655,857655,0,0,37340301,0,4531 147,3,2024-09-07 10:04:50:913,1,679,18,0,1626,10349,679,0 0,0,2024-09-07 10:05:01:714,167820,0.7,167750,0.8,356184,0.8,460208,2.00 0,1,2024-09-07 10:05:00:805,1193258,1193258,0,0,559898825889,5862175175,1183592,8704,962,368,391896,0 0,2,2024-09-07 10:05:01:071,858183,858183,0,0,35020988,0,4480 0,3,2024-09-07 10:05:00:974,1,680,1,0,538,9843,680,0 1,0,2024-09-07 10:05:01:805,173540,0.8,172588,0.9,346289,1.0,463466,2.00 1,1,2024-09-07 10:05:00:569,1192002,1192002,0,0,559674954359,5865233195,1180617,9416,1969,370,391859,0 1,2,2024-09-07 10:05:00:649,859505,859505,0,0,34418943,0,3380 1,3,2024-09-07 10:05:01:311,1,680,0,0,269,8143,680,0 2,0,2024-09-07 10:05:01:565,169558,0.6,169305,0.7,338320,0.6,451140,2.00 2,1,2024-09-07 10:05:00:858,1196170,1196170,0,0,561605761967,5841207693,1189241,5968,961,379,391805,0 2,2,2024-09-07 10:05:01:266,862867,862867,0,0,33470718,0,3594 2,3,2024-09-07 10:05:00:691,1,680,3,0,357,6082,680,0 3,0,2024-09-07 10:05:01:742,166727,0.4,166542,0.6,332797,0.4,444005,2.00 3,1,2024-09-07 10:05:01:622,1193941,1193941,0,0,559916421133,5825389646,1185428,7795,718,379,391716,0 3,2,2024-09-07 10:05:01:142,862543,862520,23,0,34237211,0,5851 3,3,2024-09-07 10:05:01:753,1,680,2,0,484,5193,680,0 4,0,2024-09-07 10:05:01:867,166250,0.4,170865,0.5,348428,0.4,455535,1.75 4,1,2024-09-07 10:05:00:592,1188571,1188571,0,0,558237719659,5906259619,1168691,15279,4601,369,391992,0 4,2,2024-09-07 10:05:01:074,857383,857383,0,0,41601161,0,4534 4,3,2024-09-07 10:05:01:043,1,680,49,0,448,9085,680,0 5,0,2024-09-07 10:05:01:377,172395,0.4,172151,0.6,344641,0.4,459496,1.75 5,1,2024-09-07 10:05:00:777,1190342,1190342,0,0,558372155622,5892140775,1172692,13414,4236,367,392005,0 5,2,2024-09-07 10:05:01:839,853440,853407,33,0,41768828,0,7631 5,3,2024-09-07 10:05:01:733,1,680,1,0,457,9014,680,0 6,0,2024-09-07 10:05:00:917,171236,0.5,170803,0.6,341856,0.4,455296,2.00 6,1,2024-09-07 10:05:00:747,1193041,1193041,0,0,560238998576,5850351083,1180878,10478,1685,379,391702,0 6,2,2024-09-07 10:05:01:115,861915,861897,18,0,38941516,0,5535 6,3,2024-09-07 10:05:01:277,1,680,0,0,710,7929,680,0 7,0,2024-09-07 10:05:01:532,164486,0.5,165579,0.7,328794,0.5,438717,2.00 7,1,2024-09-07 10:05:00:853,1190907,1190907,0,0,559341917748,5886351025,1173074,13696,4137,382,391747,0 7,2,2024-09-07 10:05:00:771,860431,860262,169,0,39760470,0,7706 7,3,2024-09-07 10:05:00:853,1,680,1,0,552,7984,680,0 8,0,2024-09-07 10:05:01:360,170905,0.4,170510,0.5,341412,0.4,455700,1.75 8,1,2024-09-07 10:05:01:025,1189676,1189676,0,0,559793134966,5888332152,1170823,14808,4045,366,392853,0 8,2,2024-09-07 10:05:00:792,854993,854991,2,0,44807590,0,5112 8,3,2024-09-07 10:05:00:587,1,680,1,0,772,10277,680,0 9,0,2024-09-07 10:05:01:153,172695,0.4,167653,0.5,351008,0.4,460413,1.75 9,1,2024-09-07 10:05:00:549,1189141,1189141,0,0,558531371041,5892345494,1169478,15313,4350,369,392001,0 9,2,2024-09-07 10:05:01:083,854407,854406,1,0,42705810,0,5281 9,3,2024-09-07 10:05:01:753,1,680,1,0,1273,11016,680,0 10,0,2024-09-07 10:05:01:599,172647,0.4,172155,0.5,345061,0.3,459106,1.75 10,1,2024-09-07 10:05:00:585,1191059,1191059,0,0,559108009725,5870249523,1173000,14325,3734,381,391981,0 10,2,2024-09-07 10:05:00:765,860359,860359,0,0,45893381,0,4713 10,3,2024-09-07 10:05:00:871,1,680,2,0,669,7335,680,0 11,0,2024-09-07 10:05:01:005,166202,0.4,161337,0.6,337627,0.4,443934,1.75 11,1,2024-09-07 10:05:00:572,1193699,1193699,0,0,559350642931,5867127850,1176814,12575,4310,383,391766,0 11,2,2024-09-07 10:05:01:131,861418,861418,0,0,39657794,0,4698 11,3,2024-09-07 10:05:01:298,1,680,1,0,843,8367,680,0 12,0,2024-09-07 10:05:01:093,170492,0.4,170125,0.6,339955,0.4,452355,1.75 12,1,2024-09-07 10:05:00:960,1192804,1192804,0,0,559976229066,5845110804,1182960,8818,1026,368,391960,0 12,2,2024-09-07 10:05:01:541,858615,858615,0,0,39528268,0,4390 12,3,2024-09-07 10:05:01:070,1,680,23,0,386,8509,680,0 13,0,2024-09-07 10:05:01:354,173769,0.4,173934,0.6,347239,0.4,462005,1.75 13,1,2024-09-07 10:05:01:527,1190049,1190049,0,0,558775339850,5884543799,1175904,11067,3078,382,391803,0 13,2,2024-09-07 10:05:00:610,858063,858063,0,0,35685870,0,3287 13,3,2024-09-07 10:05:01:763,1,680,2,0,522,9216,680,0 14,0,2024-09-07 10:05:00:566,174068,0.4,175132,0.6,348288,0.4,463445,1.75 14,1,2024-09-07 10:05:01:561,1198653,1198653,0,0,562495377145,5821403100,1189401,8102,1150,364,391673,0 14,2,2024-09-07 10:05:00:765,859453,859423,30,0,36921666,0,6104 14,3,2024-09-07 10:05:01:116,1,680,1,0,1168,7119,680,0 15,0,2024-09-07 10:05:01:559,168748,0.4,167911,0.6,336129,0.3,448693,1.75 15,1,2024-09-07 10:05:01:608,1194660,1194660,0,0,561368863605,5853456744,1183798,8767,2095,380,391619,0 15,2,2024-09-07 10:05:01:002,864123,864123,0,0,32993761,0,3622 15,3,2024-09-07 10:05:01:405,1,680,48,0,1126,8622,680,0 16,0,2024-09-07 10:05:00:979,167195,0.6,168021,0.8,335137,0.7,446433,2.00 16,1,2024-09-07 10:05:00:563,1194460,1194460,0,0,560752426794,5863117168,1183729,9187,1544,370,392194,0 16,2,2024-09-07 10:05:01:441,860281,860281,0,0,35865775,0,4719 16,3,2024-09-07 10:05:01:162,1,680,1,0,362,8484,680,0 17,0,2024-09-07 10:05:01:794,178092,0.7,173622,0.8,340034,0.7,463010,2.00 17,1,2024-09-07 10:05:00:573,1192854,1192854,0,0,559812530518,5869275768,1181780,9277,1797,368,392075,0 17,2,2024-09-07 10:05:01:666,861551,861550,1,0,37024364,0,5050 17,3,2024-09-07 10:05:00:575,1,680,7,0,518,9788,680,0 18,0,2024-09-07 10:05:00:944,171241,0.6,172401,0.8,343022,0.6,458565,2.25 18,1,2024-09-07 10:05:01:645,1197464,1197464,0,0,561713530139,5828176568,1189298,6736,1430,367,391725,0 18,2,2024-09-07 10:05:01:758,860018,860018,0,0,33535252,0,3541 18,3,2024-09-07 10:05:00:896,1,680,1,0,1059,6271,680,0 19,0,2024-09-07 10:05:01:545,169635,0.6,170197,0.8,339277,0.6,450620,2.00 19,1,2024-09-07 10:05:00:572,1196449,1196449,0,0,562484196395,5829286789,1187606,7683,1160,365,391777,0 19,2,2024-09-07 10:05:01:753,865002,865002,0,0,31179253,0,3988 19,3,2024-09-07 10:05:01:131,1,680,1,0,524,5473,680,0 20,0,2024-09-07 10:05:01:352,165340,0.5,165228,0.7,330209,0.5,440721,2.00 20,1,2024-09-07 10:05:00:574,1192114,1192114,0,0,559805740418,5868394280,1179858,10552,1704,369,391922,0 20,2,2024-09-07 10:05:00:947,861029,861029,0,0,39568872,0,4321 20,3,2024-09-07 10:05:00:591,1,680,6,0,468,11528,680,0 21,0,2024-09-07 10:05:01:148,171127,0.5,171283,0.7,342462,0.5,454942,2.00 21,1,2024-09-07 10:05:01:537,1190046,1190046,0,0,558141517983,5891846098,1171297,14760,3989,368,392016,0 21,2,2024-09-07 10:05:01:069,854181,853704,477,0,50751214,0,17074 21,3,2024-09-07 10:05:01:404,1,680,17,0,713,9052,680,0 22,0,2024-09-07 10:05:01:718,172051,0.5,172742,0.7,345125,0.4,457984,2.00 22,1,2024-09-07 10:05:01:025,1191034,1191034,0,0,559393526261,5885086374,1171997,15434,3603,381,391822,0 22,2,2024-09-07 10:05:00:760,855319,855293,26,0,37627154,0,6328 22,3,2024-09-07 10:05:01:069,1,680,0,0,228,5612,680,0 23,0,2024-09-07 10:05:01:368,171412,0.5,171234,0.7,342431,0.4,456211,2.00 23,1,2024-09-07 10:05:01:003,1192818,1192818,0,0,560157109444,5898337100,1171574,14421,6823,365,391690,0 23,2,2024-09-07 10:05:01:101,862285,862285,0,0,35826448,0,3773 23,3,2024-09-07 10:05:01:757,1,680,1,0,855,9694,680,0 24,0,2024-09-07 10:05:00:827,166484,0.4,165444,0.5,332636,0.3,441665,1.75 24,1,2024-09-07 10:05:00:592,1191089,1191089,0,0,559078110480,5853672188,1179504,9711,1874,367,392269,0 24,2,2024-09-07 10:05:01:072,861657,861654,3,0,42455029,0,6294 24,3,2024-09-07 10:05:01:696,1,680,14,0,468,8965,680,0 25,0,2024-09-07 10:05:01:361,174515,0.4,169551,0.6,333865,0.4,455190,2.00 25,1,2024-09-07 10:05:00:558,1189996,1189996,0,0,558800400008,5904916789,1169264,16738,3994,369,391928,0 25,2,2024-09-07 10:05:01:606,857970,857970,0,0,44066705,0,3978 25,3,2024-09-07 10:05:01:001,1,680,1,0,532,7508,680,0 26,0,2024-09-07 10:05:01:720,171726,0.5,167783,0.6,352060,0.4,459108,2.00 26,1,2024-09-07 10:05:01:544,1193137,1193137,0,0,559770394834,5860824290,1177433,12801,2903,380,391758,0 26,2,2024-09-07 10:05:00:865,855711,855711,0,0,45311722,0,4689 26,3,2024-09-07 10:05:01:711,1,680,1,0,796,8553,680,0 27,0,2024-09-07 10:05:01:735,173620,0.4,174319,0.6,346581,0.4,462209,2.25 27,1,2024-09-07 10:05:01:676,1194863,1194863,0,0,561834363652,5855567612,1183583,9659,1621,381,391626,0 27,2,2024-09-07 10:05:00:867,856181,856116,65,0,40174252,0,5699 27,3,2024-09-07 10:05:01:015,1,680,1,0,564,5886,680,0 28,0,2024-09-07 10:05:01:406,168021,0.4,168276,0.6,336485,0.3,447846,1.75 28,1,2024-09-07 10:05:00:801,1195181,1195181,0,0,561518711256,5862475153,1183716,9038,2427,382,391904,0 28,2,2024-09-07 10:05:01:768,862170,862170,0,0,37545766,0,4060 28,3,2024-09-07 10:05:01:782,1,680,9,0,502,7163,680,0 29,0,2024-09-07 10:05:01:382,173250,0.4,168618,0.6,330980,0.4,451851,1.75 29,1,2024-09-07 10:05:01:561,1198192,1198192,0,0,562541166472,5824135173,1190275,6897,1020,367,391809,0 29,2,2024-09-07 10:05:00:865,860259,860259,0,0,34913188,0,4986 29,3,2024-09-07 10:05:00:963,1,680,1,0,590,7611,680,0 30,0,2024-09-07 10:05:01:471,171678,0.6,167066,0.7,350197,0.5,457115,2.00 30,1,2024-09-07 10:05:00:573,1197075,1197075,0,0,562407601117,5842500211,1187268,8639,1168,380,391672,0 30,2,2024-09-07 10:05:01:280,858394,858394,0,0,33835960,0,4192 30,3,2024-09-07 10:05:00:584,1,680,1,0,519,7184,680,0 31,0,2024-09-07 10:05:01:761,173268,0.5,174140,0.7,347265,0.4,463574,2.00 31,1,2024-09-07 10:05:00:564,1201101,1201101,0,0,563271194159,5788816558,1193174,6659,1268,356,391712,0 31,2,2024-09-07 10:05:01:281,858252,858252,0,0,37249176,0,4470 31,3,2024-09-07 10:05:01:709,1,680,1,0,248,6097,680,0 32,0,2024-09-07 10:05:01:427,169688,0.3,170786,0.5,340111,0.2,452565,1.75 32,1,2024-09-07 10:05:00:810,1196843,1196843,0,0,561927373205,5842403668,1189357,6521,965,381,391646,0 32,2,2024-09-07 10:05:00:937,864676,864676,0,0,32355214,0,3922 32,3,2024-09-07 10:05:01:016,1,680,2,0,304,5808,680,0 33,0,2024-09-07 10:05:01:540,167458,0.3,166977,0.4,333965,0.2,445302,1.50 33,1,2024-09-07 10:05:00:575,1198287,1198287,0,0,562147977972,5821898713,1188945,7991,1351,368,391730,0 33,2,2024-09-07 10:05:00:759,861587,861552,35,0,36050910,0,7012 33,3,2024-09-07 10:05:00:895,1,680,0,0,329,6370,680,0 34,0,2024-09-07 10:05:00:962,171638,0.3,176362,0.5,337285,0.2,455483,1.75 34,1,2024-09-07 10:05:01:044,1200559,1200559,0,0,563939400130,5803855797,1196561,3789,209,367,391637,0 34,2,2024-09-07 10:05:00:777,860826,860826,0,0,33667485,0,4562 34,3,2024-09-07 10:05:01:693,1,680,0,0,541,6006,680,0 35,0,2024-09-07 10:05:00:872,171708,0.3,172322,0.5,345713,0.3,460449,1.75 35,1,2024-09-07 10:05:01:069,1196214,1196214,0,0,561742189284,5829777692,1187276,7386,1552,382,391769,0 35,2,2024-09-07 10:05:01:582,857475,857475,0,0,38854109,0,4055 35,3,2024-09-07 10:05:00:909,1,680,1,0,466,6553,680,0 36,0,2024-09-07 10:05:01:520,171815,0.5,171944,0.6,343561,0.4,457199,2.00 36,1,2024-09-07 10:05:00:597,1195008,1195008,0,0,561639238280,5864447550,1180234,12420,2354,366,391759,0 36,2,2024-09-07 10:05:01:751,861853,861853,0,0,39005085,0,3875 36,3,2024-09-07 10:05:00:866,1,680,2,0,556,8748,680,0 37,0,2024-09-07 10:05:01:428,164411,0.5,164427,0.7,329234,0.5,439302,2.25 37,1,2024-09-07 10:05:00:572,1194120,1194113,0,7,560603061084,5854736886,1179935,11065,3113,365,391770,0 37,2,2024-09-07 10:05:01:148,859891,859876,15,0,39151224,0,5815 37,3,2024-09-07 10:05:01:767,1,680,0,0,888,9160,680,0 38,0,2024-09-07 10:05:01:456,169796,0.5,164602,0.7,344224,0.4,451682,2.00 38,1,2024-09-07 10:05:01:608,1194438,1194438,0,0,561718224926,5872869406,1177610,13524,3304,368,391821,0 38,2,2024-09-07 10:05:00:775,860571,860524,47,0,39176695,0,6710 38,3,2024-09-07 10:05:00:998,1,680,1,0,689,7882,680,0 39,0,2024-09-07 10:05:01:761,175944,0.6,171943,0.7,335647,0.5,457795,2.00 39,1,2024-09-07 10:05:00:724,1193083,1193083,0,0,559686193276,5868648295,1173471,15379,4233,365,391865,0 39,2,2024-09-07 10:05:01:422,855896,855896,0,0,37254595,0,3478 39,3,2024-09-07 10:05:00:714,1,680,1,0,525,7610,680,0 40,0,2024-09-07 10:05:01:491,171118,0.8,171765,1.0,342937,0.9,457594,2.75 40,1,2024-09-07 10:05:00:580,1193020,1193020,0,0,559190359372,5864061004,1174219,15218,3583,366,391668,0 40,2,2024-09-07 10:05:01:309,857991,857984,7,0,43447149,0,5347 40,3,2024-09-07 10:05:01:147,1,680,8,0,1028,9744,680,0 41,0,2024-09-07 10:05:01:034,165524,1.2,169365,1.1,323008,1.6,438081,3.00 41,1,2024-09-07 10:05:00:767,1192534,1192534,0,0,560631800139,5865343703,1176828,13181,2525,369,391878,0 41,2,2024-09-07 10:05:00:765,858798,858797,1,0,42377387,0,5408 41,3,2024-09-07 10:05:01:677,1,680,29,0,749,8419,680,0 42,0,2024-09-07 10:05:01:481,167982,1.0,167770,1.0,335895,1.2,445666,2.75 42,1,2024-09-07 10:05:01:440,1190980,1190980,0,0,559251864429,5872805600,1173379,14517,3084,380,391675,0 42,2,2024-09-07 10:05:01:133,857213,857212,1,0,43622454,0,5513 42,3,2024-09-07 10:05:01:009,1,680,1,0,892,6957,680,0 43,0,2024-09-07 10:05:00:923,170531,0.8,165919,1.0,347709,0.9,454515,2.25 43,1,2024-09-07 10:05:00:577,1193834,1193834,0,0,561676916256,5868825486,1177728,13358,2748,366,391696,0 43,2,2024-09-07 10:05:01:738,857216,857216,0,0,39936809,0,4723 43,3,2024-09-07 10:05:01:752,1,680,1,0,571,9275,680,0 44,0,2024-09-07 10:05:00:865,174236,0.4,174500,0.6,348281,0.4,464434,1.75 44,1,2024-09-07 10:05:00:563,1196918,1196918,0,0,561649016292,5811402058,1185594,9173,2151,356,391809,0 44,2,2024-09-07 10:05:01:267,857932,857932,0,0,33509375,0,4344 44,3,2024-09-07 10:05:01:094,1,680,27,0,1097,8736,680,0 45,0,2024-09-07 10:05:01:781,166922,0.5,163123,0.7,342232,0.4,448714,2.00 45,1,2024-09-07 10:05:01:005,1196406,1196406,0,0,562410410584,5848479119,1186860,8325,1221,382,391917,0 45,2,2024-09-07 10:05:01:273,863025,863025,0,0,33731877,0,3596 45,3,2024-09-07 10:05:00:937,1,680,0,0,531,6442,680,0 46,0,2024-09-07 10:05:00:954,166588,0.5,166085,0.7,333181,0.5,443157,2.00 46,1,2024-09-07 10:05:00:576,1198339,1198339,0,0,562551998284,5822796041,1189067,7791,1481,366,391709,0 46,2,2024-09-07 10:05:00:611,862024,862024,0,0,34529805,0,4443 46,3,2024-09-07 10:05:01:131,1,680,1,0,908,7588,680,0 47,0,2024-09-07 10:05:01:106,173434,0.5,172925,0.6,347483,0.4,461343,2.00 47,1,2024-09-07 10:05:00:573,1199758,1199758,0,0,562309145634,5813978913,1192253,6492,1013,364,391666,0 47,2,2024-09-07 10:05:00:909,861568,861568,0,0,34932079,0,4477 47,3,2024-09-07 10:05:01:115,1,680,1,0,600,7439,680,0 48,0,2024-09-07 10:05:01:493,173277,0.3,173860,0.4,346416,0.2,461474,1.50 48,1,2024-09-07 10:05:01:024,1196640,1196640,0,0,561179337454,5831997327,1189027,6601,1012,381,391710,0 48,2,2024-09-07 10:05:00:705,858447,858447,0,0,31624794,0,3619 48,3,2024-09-07 10:05:00:757,1,680,1,0,339,5944,680,0 49,0,2024-09-07 10:05:01:729,175215,0.3,171583,0.5,333803,0.3,455213,1.75 49,1,2024-09-07 10:05:01:021,1195704,1195704,0,0,561646327090,5841115742,1187840,6166,1698,382,391809,0 49,2,2024-09-07 10:05:01:798,863832,863832,0,0,33239132,0,4426 49,3,2024-09-07 10:05:01:420,1,680,1,0,992,7981,680,0 50,0,2024-09-07 10:05:01:508,165897,0.3,164479,0.5,331127,0.2,440548,1.75 50,1,2024-09-07 10:05:01:010,1198968,1198968,0,0,562950383746,5820841773,1191417,6819,732,368,391691,0 50,2,2024-09-07 10:05:01:072,860574,860574,0,0,31180219,0,4490 50,3,2024-09-07 10:05:01:291,1,680,25,0,617,7126,680,0 51,0,2024-09-07 10:05:01:684,175805,0.3,172023,0.5,335098,0.2,457326,1.75 51,1,2024-09-07 10:05:01:681,1199371,1199371,0,0,563950024610,5816376003,1192673,5354,1344,365,391706,0 51,2,2024-09-07 10:05:01:319,860750,860750,0,0,30966659,0,3337 51,3,2024-09-07 10:05:01:027,1,680,1,0,678,5449,680,0 52,0,2024-09-07 10:05:01:412,172281,0.5,172261,0.7,344123,0.4,457616,2.00 52,1,2024-09-07 10:05:00:575,1193801,1193801,0,0,560500041598,5866092844,1177057,14317,2427,368,391805,0 52,2,2024-09-07 10:05:01:758,852850,852812,38,0,41989325,0,6742 52,3,2024-09-07 10:05:00:677,1,680,1,0,1782,7895,680,0 53,0,2024-09-07 10:05:01:734,171183,0.6,166107,0.8,347520,0.7,454709,2.25 53,1,2024-09-07 10:05:00:774,1192459,1192459,0,0,560667603276,5878325621,1173310,14736,4413,367,391968,0 53,2,2024-09-07 10:05:01:297,861760,861622,138,0,38548931,0,7690 53,3,2024-09-07 10:05:00:702,1,680,11,0,308,6444,680,0 54,0,2024-09-07 10:05:01:616,163613,0.6,163964,0.7,326690,0.5,435888,2.25 54,1,2024-09-07 10:05:00:579,1195441,1195441,0,0,562223432243,5845298881,1183665,10102,1674,366,391810,0 54,2,2024-09-07 10:05:00:865,862323,862291,32,0,41816206,0,6397 54,3,2024-09-07 10:05:00:775,1,680,1,0,676,8791,680,0 55,0,2024-09-07 10:05:01:783,164022,0.7,169060,0.8,343096,0.6,447583,2.50 55,1,2024-09-07 10:05:00:765,1196076,1196076,0,0,561069312246,5834601474,1184054,10535,1487,365,391731,0 55,2,2024-09-07 10:05:00:729,859157,859101,56,0,38285163,0,7239 55,3,2024-09-07 10:05:00:678,1,680,0,0,304,6252,680,0 56,0,2024-09-07 10:05:01:567,175544,1.2,165383,1.2,340858,1.6,456270,2.75 56,1,2024-09-07 10:05:00:572,1188741,1188741,0,0,558893669467,5910056070,1169250,15737,3754,381,391867,0 56,2,2024-09-07 10:05:01:305,854527,854405,122,0,40919356,0,7432 56,3,2024-09-07 10:05:01:069,1,680,4,0,705,8175,680,0 57,0,2024-09-07 10:05:00:959,171780,1.4,171733,1.2,343078,1.9,458365,3.00 57,1,2024-09-07 10:05:00:989,1191632,1191632,0,0,558890340908,5864593583,1175930,13000,2702,366,392032,0 57,2,2024-09-07 10:05:01:327,858946,858946,0,0,43881759,0,4804 57,3,2024-09-07 10:05:01:751,1,680,1,0,455,7361,680,0 58,0,2024-09-07 10:05:00:563,165579,0.9,160768,1.0,336502,1.1,440224,2.50 58,1,2024-09-07 10:05:00:574,1192569,1192566,0,3,560458473176,5878351537,1174207,13958,4401,367,391726,3 58,2,2024-09-07 10:05:01:072,862544,862544,0,0,39926418,0,3483 58,3,2024-09-07 10:05:01:068,1,680,1,0,1043,7434,680,0 59,0,2024-09-07 10:05:01:754,167280,0.9,166491,1.0,333057,1.0,442546,2.75 59,1,2024-09-07 10:05:00:804,1192242,1192242,0,0,559902671441,5883288806,1172758,15646,3838,369,391653,0 59,2,2024-09-07 10:05:00:587,860482,860482,0,0,38032983,0,3727 59,3,2024-09-07 10:05:01:744,1,680,1,0,1015,8040,680,0 60,0,2024-09-07 10:05:01:881,172572,0.6,172734,0.7,345421,0.5,460692,2.00 60,1,2024-09-07 10:05:00:771,1195979,1195979,0,0,562022745332,5855225030,1185053,9425,1501,370,392031,0 60,2,2024-09-07 10:05:01:150,858575,858575,0,0,36241259,0,3811 60,3,2024-09-07 10:05:01:263,1,680,1,0,409,7880,680,0 61,0,2024-09-07 10:05:01:557,173435,0.6,174229,0.8,346911,0.6,463025,2.00 61,1,2024-09-07 10:05:00:783,1193202,1193202,0,0,560041760250,5866467771,1180532,10820,1850,382,392127,0 61,2,2024-09-07 10:05:01:117,859180,859113,67,0,37186241,0,6411 61,3,2024-09-07 10:05:01:694,1,680,1,0,607,8489,680,0 62,0,2024-09-07 10:05:01:709,170296,0.5,174589,0.7,333344,0.5,452593,2.00 62,1,2024-09-07 10:05:01:111,1200508,1200502,0,6,563798871095,5822043362,1193251,6634,617,365,391975,6 62,2,2024-09-07 10:05:01:654,860351,860350,1,0,36966028,0,5555 62,3,2024-09-07 10:05:01:144,1,680,1,0,482,5383,680,0 63,0,2024-09-07 10:05:01:457,167295,0.4,167031,0.6,334686,0.3,445432,1.75 63,1,2024-09-07 10:05:00:804,1197643,1197637,0,6,562562622442,5845596067,1189726,6961,950,381,391800,6 63,2,2024-09-07 10:05:00:761,860390,860390,0,0,36090321,0,4369 63,3,2024-09-07 10:05:01:733,1,680,1,0,667,8049,680,0 64,0,2024-09-07 10:05:01:525,170641,0.5,170464,0.7,340583,0.5,453968,2.00 64,1,2024-09-07 10:05:00:754,1195836,1195836,0,0,561795142552,5849616823,1185636,8174,2026,370,391794,0 64,2,2024-09-07 10:05:01:141,863032,863013,19,0,34656440,0,6121 64,3,2024-09-07 10:05:01:140,1,680,1,0,651,7705,680,0 65,0,2024-09-07 10:05:01:718,171022,0.6,171254,0.7,341954,0.6,456691,2.00 65,1,2024-09-07 10:05:00:869,1194061,1194061,0,0,560343623493,5856201056,1185819,7344,898,381,391901,0 65,2,2024-09-07 10:05:01:715,855746,855746,0,0,41131805,0,3367 65,3,2024-09-07 10:05:01:693,1,680,5,0,782,8037,680,0 66,0,2024-09-07 10:05:01:773,171057,0.5,170415,0.7,341010,0.5,453765,2.00 66,1,2024-09-07 10:05:01:294,1195813,1195813,0,0,561328727744,5842590882,1188319,6621,873,380,391743,0 66,2,2024-09-07 10:05:01:135,864480,864477,3,0,36208189,0,5455 66,3,2024-09-07 10:05:01:079,1,680,16,0,291,6129,680,0 67,0,2024-09-07 10:05:01:414,165113,0.5,164746,0.7,330174,0.5,439856,2.00 67,1,2024-09-07 10:05:00:776,1195952,1195951,0,1,560682357925,5838860795,1187519,7292,1140,380,391787,1 67,2,2024-09-07 10:05:00:588,864431,864416,15,0,36474048,0,6205 67,3,2024-09-07 10:05:01:753,1,680,8,0,595,7267,680,0 68,0,2024-09-07 10:05:00:577,170624,0.6,170389,0.8,339440,0.6,454573,2.25 68,1,2024-09-07 10:05:00:572,1191517,1191517,0,0,559446932740,5887268133,1174795,12451,4271,381,391953,0 68,2,2024-09-07 10:05:01:044,856132,856032,100,0,44755925,0,8578 68,3,2024-09-07 10:05:00:745,1,680,1,0,417,9612,680,0 69,0,2024-09-07 10:05:01:724,171858,0.7,172323,0.8,343884,0.8,457766,2.25 69,1,2024-09-07 10:05:01:018,1190682,1190682,0,0,558852617597,5887758943,1175796,11904,2982,383,391994,0 69,2,2024-09-07 10:05:01:733,853128,853099,29,0,47533838,0,6912 69,3,2024-09-07 10:05:00:785,1,680,12,0,698,10320,680,0 70,0,2024-09-07 10:05:01:536,170838,0.7,171526,0.9,343987,0.6,456106,2.50 70,1,2024-09-07 10:05:00:800,1197154,1197154,0,0,562925156909,5843442670,1187708,8401,1045,366,391725,0 70,2,2024-09-07 10:05:01:330,860642,860642,0,0,39185118,0,4323 70,3,2024-09-07 10:05:00:746,1,680,0,0,854,7662,680,0 71,0,2024-09-07 10:05:01:358,165465,0.8,164987,1.0,330976,1.0,440668,2.50 71,1,2024-09-07 10:05:01:607,1195175,1195175,0,0,560704510705,5851537962,1180744,12645,1786,367,391738,0 71,2,2024-09-07 10:05:01:068,861407,861407,0,0,39637685,0,4352 71,3,2024-09-07 10:05:01:751,1,680,2,0,644,7565,680,0 72,0,2024-09-07 10:05:01:035,174574,0.6,170429,0.7,333078,0.6,453100,2.00 72,1,2024-09-07 10:05:01:026,1193217,1193217,0,0,560240766117,5875828938,1176366,14118,2733,369,391819,0 72,2,2024-09-07 10:05:01:759,858436,858436,0,0,41567618,0,3983 72,3,2024-09-07 10:05:01:758,1,680,1,0,564,9482,680,0 73,0,2024-09-07 10:05:01:106,168629,0.5,172829,0.6,353092,0.4,459656,2.00 73,1,2024-09-07 10:05:00:774,1194879,1194879,0,0,560944844912,5832931969,1185357,8460,1062,367,391858,0 73,2,2024-09-07 10:05:01:748,856106,856105,1,0,43520910,0,5027 73,3,2024-09-07 10:05:00:973,1,680,8,0,1091,9261,680,0 74,0,2024-09-07 10:05:01:326,175103,0.5,179386,0.7,342206,0.4,464804,2.00 74,1,2024-09-07 10:05:00:640,1193544,1193544,0,0,560549548148,5850304394,1181186,10210,2148,381,391762,0 74,2,2024-09-07 10:05:01:002,858205,858205,0,0,37810696,0,4253 74,3,2024-09-07 10:05:01:454,1,680,1,0,522,7879,680,0 75,0,2024-09-07 10:05:01:776,168852,0.5,167851,0.7,336988,0.4,450160,2.00 75,1,2024-09-07 10:05:01:587,1195020,1195020,0,0,560407285800,5841120962,1185141,8886,993,380,391739,0 75,2,2024-09-07 10:05:01:350,861010,861010,0,0,45003250,0,4766 75,3,2024-09-07 10:05:01:067,1,680,8,0,918,9466,680,0 76,0,2024-09-07 10:05:00:587,166874,0.6,166243,0.8,332278,0.6,444775,2.25 76,1,2024-09-07 10:05:00:809,1194717,1194717,0,0,560271084392,5847756754,1185827,7581,1309,382,391790,0 76,2,2024-09-07 10:05:01:063,862831,862828,3,0,37858818,0,5265 76,3,2024-09-07 10:05:01:153,1,680,1,0,249,6281,680,0 77,0,2024-09-07 10:05:01:729,172261,0.6,172645,0.7,345585,0.6,460118,2.00 77,1,2024-09-07 10:05:00:833,1193930,1193930,0,0,560030804169,5851160836,1183660,9182,1088,381,391869,0 77,2,2024-09-07 10:05:01:284,858229,858229,0,0,36568455,0,3890 77,3,2024-09-07 10:05:01:097,1,680,1,0,401,7784,680,0 78,0,2024-09-07 10:05:01:721,173646,0.4,172880,0.6,347080,0.4,459539,2.00 78,1,2024-09-07 10:05:00:611,1193704,1193704,0,0,559898396285,5847073593,1178175,12197,3332,367,391670,0 78,2,2024-09-07 10:05:01:405,858773,858760,13,0,34214406,0,8313 78,3,2024-09-07 10:05:01:133,1,680,1,0,311,5932,680,0 79,0,2024-09-07 10:05:01:347,164642,0.4,168699,0.6,345492,0.3,449660,2.00 79,1,2024-09-07 10:05:00:576,1198041,1198041,0,0,562268115539,5826510709,1188230,8010,1801,367,391682,0 79,2,2024-09-07 10:05:01:069,863049,863049,0,0,33269219,0,4195 79,3,2024-09-07 10:05:00:749,1,680,1,0,418,7668,680,0 80,0,2024-09-07 10:05:01:097,165553,0.5,169970,0.7,324557,0.5,439525,2.00 80,1,2024-09-07 10:05:01:620,1194491,1194491,0,0,560748391163,5840305316,1186516,7394,581,368,392269,0 80,2,2024-09-07 10:05:01:091,863949,863949,0,0,33676208,0,4433 80,3,2024-09-07 10:05:00:583,1,680,4,0,681,8289,680,0 81,0,2024-09-07 10:05:01:567,171179,0.6,175254,0.7,334321,0.6,454105,2.00 81,1,2024-09-07 10:05:01:659,1193986,1193986,0,0,560342207627,5857053449,1184389,8624,973,382,392001,0 81,2,2024-09-07 10:05:01:127,859960,859897,63,0,37432064,0,5932 81,3,2024-09-07 10:05:01:119,1,680,84,0,719,7499,680,0 82,0,2024-09-07 10:05:01:557,172276,0.5,172400,0.7,345284,0.5,458188,2.00 82,1,2024-09-07 10:05:00:584,1195796,1195792,0,4,561597215747,5843783473,1188861,5807,1124,381,391768,4 82,2,2024-09-07 10:05:01:691,859110,859110,0,0,31021222,0,4484 82,3,2024-09-07 10:05:01:752,1,680,6,0,363,6126,680,0 83,0,2024-09-07 10:05:01:541,171785,0.5,171663,0.7,343064,0.5,455388,2.25 83,1,2024-09-07 10:05:00:552,1194626,1194626,0,0,560620092539,5846054027,1185649,7951,1026,382,391709,0 83,2,2024-09-07 10:05:00:765,862102,862077,25,0,33879625,0,5612 83,3,2024-09-07 10:05:00:757,1,680,1,0,1260,7963,680,0 84,0,2024-09-07 10:05:01:774,163918,0.7,163975,0.9,328144,0.7,437770,2.25 84,1,2024-09-07 10:05:01:041,1193002,1193002,0,0,560469957796,5863307446,1179325,11594,2083,367,391967,0 84,2,2024-09-07 10:05:00:572,859949,859539,410,0,49096326,0,17037 84,3,2024-09-07 10:05:01:145,1,680,1,0,908,9553,680,0 85,0,2024-09-07 10:05:01:007,163224,0.7,163249,0.8,346500,0.7,447873,2.25 85,1,2024-09-07 10:05:00:562,1189058,1189058,0,0,558094889928,5890321269,1171277,14851,2930,381,392092,0 85,2,2024-09-07 10:05:00:865,859599,859599,0,0,41533915,0,4255 85,3,2024-09-07 10:05:00:694,1,680,1,0,789,7732,680,0 86,0,2024-09-07 10:05:00:902,171657,0.7,176589,0.8,338043,0.8,457067,2.25 86,1,2024-09-07 10:05:00:830,1191743,1191743,0,0,560226151615,5884193675,1174164,14265,3314,366,392169,0 86,2,2024-09-07 10:05:00:855,855374,855373,1,0,43555534,0,5004 86,3,2024-09-07 10:05:00:586,1,680,3,0,441,9520,680,0 87,0,2024-09-07 10:05:01:291,174085,0.8,172831,0.8,346253,0.9,462319,2.25 87,1,2024-09-07 10:05:00:556,1192178,1192178,0,0,559382849913,5860416698,1177273,12743,2162,366,392076,0 87,2,2024-09-07 10:05:01:072,858495,858489,6,0,40811291,0,6323 87,3,2024-09-07 10:05:01:794,1,680,3,0,473,9444,680,0 88,0,2024-09-07 10:05:01:502,167855,0.4,168316,0.6,336636,0.4,447917,1.75 88,1,2024-09-07 10:05:00:570,1190982,1190982,0,0,558915589115,5858721250,1174186,13660,3136,365,392084,0 88,2,2024-09-07 10:05:00:692,861798,861798,0,0,43130125,0,4465 88,3,2024-09-07 10:05:01:305,1,680,10,0,1080,11031,680,0 89,0,2024-09-07 10:05:01:791,173278,0.4,168169,0.6,331503,0.4,451050,1.75 89,1,2024-09-07 10:05:00:549,1190749,1190749,0,0,559292315553,5882707177,1176085,12387,2277,382,391866,0 89,2,2024-09-07 10:05:01:132,860078,860078,0,0,40577476,0,3173 89,3,2024-09-07 10:05:01:792,1,680,25,0,729,12255,680,0 90,0,2024-09-07 10:05:01:657,167642,0.5,172049,0.7,351455,0.5,458518,2.00 90,1,2024-09-07 10:05:00:591,1191758,1191758,0,0,559609535874,5865621105,1179318,11172,1268,380,391825,0 90,2,2024-09-07 10:05:01:409,853817,853812,5,0,43729740,0,6370 90,3,2024-09-07 10:05:00:943,1,680,0,0,364,9011,680,0 91,0,2024-09-07 10:05:00:930,174196,0.5,169024,0.6,353580,0.5,464019,1.75 91,1,2024-09-07 10:05:00:557,1188990,1188990,0,0,559001493027,5898049648,1170287,14557,4146,381,392047,0 91,2,2024-09-07 10:05:01:333,857405,857405,0,0,39017404,0,4713 91,3,2024-09-07 10:05:00:599,1,680,3,0,231,6438,680,0 92,0,2024-09-07 10:05:01:467,171181,0.4,175114,0.6,333813,0.4,452881,1.75 92,1,2024-09-07 10:05:00:579,1193510,1193510,0,0,560142421629,5853949256,1184031,8168,1311,381,392136,0 92,2,2024-09-07 10:05:01:355,862033,862033,0,0,35631586,0,3906 92,3,2024-09-07 10:05:01:011,1,680,9,0,322,6190,680,0 93,0,2024-09-07 10:05:00:980,167756,0.4,171951,0.6,328134,0.4,445282,1.75 93,1,2024-09-07 10:05:00:805,1194197,1194197,0,0,560042847238,5855209103,1179811,11953,2433,365,392048,0 93,2,2024-09-07 10:05:00:931,860632,860632,0,0,41088308,0,4913 93,3,2024-09-07 10:05:01:412,1,680,15,0,788,8460,680,0 94,0,2024-09-07 10:05:01:619,170591,0.4,171751,0.6,343782,0.4,456259,1.75 94,1,2024-09-07 10:05:00:571,1195011,1195011,0,0,561496320996,5858107634,1186740,7621,650,381,391850,0 94,2,2024-09-07 10:05:00:765,859900,859872,28,0,35787242,0,6179 94,3,2024-09-07 10:05:01:693,1,680,1,0,576,8309,680,0 95,0,2024-09-07 10:05:01:407,172280,0.4,172172,0.6,344731,0.3,459585,1.75 95,1,2024-09-07 10:05:00:877,1195760,1195760,0,0,561643249942,5838749767,1186402,8548,810,365,391852,0 95,2,2024-09-07 10:05:01:018,855788,855788,0,0,35816798,0,3308 95,3,2024-09-07 10:05:01:711,1,680,1,0,718,10464,680,0 96,0,2024-09-07 10:05:01:027,171413,0.3,171634,0.5,343460,0.3,456437,1.75 96,1,2024-09-07 10:05:01:604,1193649,1193649,0,0,560364701226,5848620457,1184737,7534,1378,384,391964,0 96,2,2024-09-07 10:05:01:269,863444,863444,0,0,36022595,0,4225 96,3,2024-09-07 10:05:01:140,1,680,1,0,411,7678,680,0 97,0,2024-09-07 10:05:01:361,165205,0.3,164949,0.5,330573,0.3,439847,1.50 97,1,2024-09-07 10:05:00:778,1196224,1196224,0,0,562097075295,5833862036,1188343,6642,1239,367,392140,0 97,2,2024-09-07 10:05:00:608,862951,862951,0,0,36215235,0,4600 97,3,2024-09-07 10:05:00:569,1,680,0,0,433,8241,680,0 98,0,2024-09-07 10:05:01:765,170247,0.3,170187,0.5,341858,0.3,454963,1.50 98,1,2024-09-07 10:05:00:576,1195364,1195364,0,0,560480444645,5839300934,1187927,6538,899,381,391997,0 98,2,2024-09-07 10:05:00:780,860029,860029,0,0,34616522,0,4336 98,3,2024-09-07 10:05:00:716,1,680,12,0,840,9611,680,0 99,0,2024-09-07 10:05:01:449,172646,0.3,173517,0.5,345653,0.3,460434,1.75 99,1,2024-09-07 10:05:01:721,1196554,1196554,0,0,561193717924,5842407001,1188779,6668,1107,380,392069,0 99,2,2024-09-07 10:05:01:420,855550,855550,0,0,43397487,0,4276 99,3,2024-09-07 10:05:00:597,1,680,4,0,1124,8516,680,0 100,0,2024-09-07 10:05:01:469,172242,0.8,172743,0.9,345266,0.9,460154,2.50 100,1,2024-09-07 10:05:00:548,1189089,1189089,0,0,558091463549,5904869136,1170049,15245,3795,378,391989,0 100,2,2024-09-07 10:05:01:822,856800,856411,389,0,45104822,0,16909 100,3,2024-09-07 10:05:01:734,1,680,1,0,627,10731,680,0 101,0,2024-09-07 10:05:01:750,170067,0.9,166028,1.0,324647,0.9,442553,2.25 101,1,2024-09-07 10:05:00:551,1191176,1191176,0,0,559000843237,5876380647,1174810,13428,2938,368,392018,0 101,2,2024-09-07 10:05:01:767,856776,856737,39,0,47070508,0,5913 101,3,2024-09-07 10:05:00:942,1,680,0,0,1250,10556,680,0 102,0,2024-09-07 10:05:00:969,164493,0.6,169433,0.8,344122,0.6,449965,2.25 102,1,2024-09-07 10:05:01:155,1191369,1191369,0,0,559683942243,5878431684,1175647,13466,2256,369,391984,0 102,2,2024-09-07 10:05:01:744,861113,861059,54,0,38927835,0,6768 102,3,2024-09-07 10:05:01:614,1,680,0,0,466,7904,680,0 103,0,2024-09-07 10:05:01:617,178298,0.7,178246,0.8,335952,0.8,461886,2.25 103,1,2024-09-07 10:05:01:632,1189783,1189783,0,0,558523417272,5898139614,1170877,15280,3626,381,392077,0 103,2,2024-09-07 10:05:00:587,854922,854922,0,0,42255662,0,3766 103,3,2024-09-07 10:05:00:755,1,680,1,0,916,8064,680,0 104,0,2024-09-07 10:05:01:024,172824,0.7,173221,0.9,345567,0.7,461958,2.25 104,1,2024-09-07 10:05:01:610,1191977,1191977,0,0,558784189893,5876395333,1173555,14965,3457,365,392168,0 104,2,2024-09-07 10:05:01:668,856586,856586,0,0,41503217,0,4161 104,3,2024-09-07 10:05:01:416,1,680,6,0,1245,11690,680,0 105,0,2024-09-07 10:05:01:037,166860,0.8,162612,1.0,340850,0.9,447857,2.50 105,1,2024-09-07 10:05:00:556,1194074,1194074,0,0,559674590163,5863692120,1179313,12647,2114,364,392009,0 105,2,2024-09-07 10:05:01:324,860783,860783,0,0,42826643,0,4360 105,3,2024-09-07 10:05:01:305,1,680,0,0,573,10217,680,0 106,0,2024-09-07 10:05:00:956,161632,1.0,165719,1.0,338999,1.2,443060,2.50 106,1,2024-09-07 10:05:01:753,1192068,1192068,0,0,559497984259,5871857031,1175645,14273,2150,368,391914,0 106,2,2024-09-07 10:05:00:756,860136,860136,0,0,39507602,0,3331 106,3,2024-09-07 10:05:00:679,1,680,118,0,1224,9557,680,0 107,0,2024-09-07 10:05:01:199,172356,1.3,172330,1.1,344858,1.9,461128,2.25 107,1,2024-09-07 10:05:00:592,1189635,1189635,0,0,557646973620,5896095497,1170548,16831,2256,381,392234,0 107,2,2024-09-07 10:05:01:295,854873,854872,1,0,43039495,0,5024 107,3,2024-09-07 10:05:01:758,1,680,3,0,733,10833,680,0 108,0,2024-09-07 10:05:01:776,172667,0.4,173507,0.6,346023,0.4,461289,1.75 108,1,2024-09-07 10:05:01:334,1193091,1193091,0,0,560382352551,5854175987,1181634,10197,1260,367,391894,0 108,2,2024-09-07 10:05:01:758,857833,857833,0,0,38493881,0,4432 108,3,2024-09-07 10:05:01:338,1,680,16,0,767,12405,680,0 109,0,2024-09-07 10:05:01:855,171228,0.4,169803,0.6,340715,0.3,454273,1.75 109,1,2024-09-07 10:05:00:592,1191538,1191538,0,0,559471901929,5872208872,1180895,9139,1504,382,392132,0 109,2,2024-09-07 10:05:00:935,860515,860515,0,0,38506612,0,3617 109,3,2024-09-07 10:05:01:147,1,680,12,0,630,7840,680,0 110,0,2024-09-07 10:05:01:845,165240,0.4,160661,0.6,336408,0.3,441369,1.75 110,1,2024-09-07 10:05:01:647,1195585,1195585,0,0,561615135314,5834308893,1186125,7376,2084,368,392045,0 110,2,2024-09-07 10:05:01:307,861328,861328,0,0,37633979,0,4067 110,3,2024-09-07 10:05:00:691,1,680,10,0,722,9308,680,0 111,0,2024-09-07 10:05:01:414,171995,0.4,171208,0.6,341925,0.4,456856,1.75 111,1,2024-09-07 10:05:01:001,1197048,1197048,0,0,562118385270,5838922061,1189802,6740,506,380,391690,0 111,2,2024-09-07 10:05:01:116,860056,860056,0,0,37108332,0,4823 111,3,2024-09-07 10:05:00:915,1,680,3,0,379,7562,680,0 112,0,2024-09-07 10:05:00:908,173054,0.3,172598,0.4,345979,0.2,459455,1.50 112,1,2024-09-07 10:05:00:833,1195792,1195792,0,0,561268825864,5826677660,1188206,6361,1225,380,391624,0 112,2,2024-09-07 10:05:01:133,857001,857000,1,0,34723921,0,5036 112,3,2024-09-07 10:05:00:591,1,680,0,0,282,6806,680,0 113,0,2024-09-07 10:05:00:870,171739,0.3,172047,0.5,344441,0.2,457784,1.75 113,1,2024-09-07 10:05:01:685,1199590,1199590,0,0,563282192168,5812033410,1192682,5958,950,365,391664,0 113,2,2024-09-07 10:05:01:310,864290,864290,0,0,32001718,0,3813 113,3,2024-09-07 10:05:00:692,1,680,1,0,510,6960,680,0 114,0,2024-09-07 10:05:00:887,165536,0.3,166611,0.5,332400,0.2,443294,1.75 114,1,2024-09-07 10:05:00:720,1195553,1195553,0,0,561515929860,5831198321,1186726,7023,1804,381,391565,0 114,2,2024-09-07 10:05:00:881,863916,863915,1,0,34701250,0,5069 114,3,2024-09-07 10:05:01:279,1,680,1,0,415,5646,680,0 115,0,2024-09-07 10:05:00:554,170698,0.3,171290,0.4,340947,0.2,454416,1.50 115,1,2024-09-07 10:05:00:571,1196462,1196462,0,0,562987672574,5848719603,1186909,7762,1791,382,391757,0 115,2,2024-09-07 10:05:01:127,861851,861851,0,0,34588172,0,4382 115,3,2024-09-07 10:05:01:002,1,680,1,0,173,4399,680,0 116,0,2024-09-07 10:05:01:701,171671,0.8,171704,0.9,344072,0.8,459691,2.25 116,1,2024-09-07 10:05:00:803,1189824,1189824,0,0,559527753486,5895636792,1175771,10840,3213,380,392089,0 116,2,2024-09-07 10:05:01:753,856153,856153,0,0,41684781,0,4475 116,3,2024-09-07 10:05:00:920,1,680,4,0,448,8826,680,0 117,0,2024-09-07 10:05:00:954,173841,0.6,173539,0.8,347336,0.7,463629,2.00 117,1,2024-09-07 10:05:01:578,1192392,1192392,0,0,559839361522,5865507712,1178583,12046,1763,369,392429,0 117,2,2024-09-07 10:05:01:116,861034,861034,0,0,38355715,0,4303 117,3,2024-09-07 10:05:01:061,1,680,1,0,490,8407,680,0 118,0,2024-09-07 10:05:01:770,162844,0.5,167281,0.7,341268,0.5,446235,2.00 118,1,2024-09-07 10:05:00:588,1190784,1190784,0,0,558882686148,5875414517,1173289,13650,3845,366,392054,0 118,2,2024-09-07 10:05:01:586,861677,861677,0,0,40890276,0,3161 118,3,2024-09-07 10:05:01:765,1,680,1,0,343,8180,680,0 119,0,2024-09-07 10:05:01:363,168251,0.7,168640,0.8,336753,0.8,449568,2.25 119,1,2024-09-07 10:05:00:554,1191812,1191812,0,0,559701552744,5870631331,1175800,13345,2667,367,391857,0 119,2,2024-09-07 10:05:01:261,861062,861062,0,0,37873854,0,4309 119,3,2024-09-07 10:05:01:338,1,680,7,0,1358,12139,680,0 120,0,2024-09-07 10:05:01:698,171867,0.7,171778,0.9,344840,0.8,459757,2.50 120,1,2024-09-07 10:05:00:858,1192809,1192809,0,0,559450727832,5868043304,1180149,11503,1157,367,392144,0 120,2,2024-09-07 10:05:00:783,856175,856172,3,0,45625118,0,5363 120,3,2024-09-07 10:05:01:291,1,680,2,0,478,8816,680,0 121,0,2024-09-07 10:05:01:711,173575,1.2,173114,1.0,346427,1.5,461901,2.25 121,1,2024-09-07 10:05:01:658,1192342,1192342,0,0,560002671812,5864595278,1178827,11519,1996,366,391840,0 121,2,2024-09-07 10:05:01:125,858233,858233,0,0,41415138,0,4157 121,3,2024-09-07 10:05:00:732,1,680,49,0,387,9191,680,0 122,0,2024-09-07 10:05:01:774,169098,0.7,164500,0.8,344147,0.8,452378,2.00 122,1,2024-09-07 10:05:00:862,1191734,1191734,0,0,559762736944,5873703888,1175467,13690,2577,365,392130,0 122,2,2024-09-07 10:05:01:319,861951,861876,75,0,45653237,0,5989 122,3,2024-09-07 10:05:00:608,1,680,5,0,512,10468,680,0 123,0,2024-09-07 10:05:00:950,165740,0.8,161527,0.9,338697,0.9,443279,2.25 123,1,2024-09-07 10:05:00:558,1192547,1192547,0,0,559788077567,5888322787,1173465,16057,3025,369,392039,0 123,2,2024-09-07 10:05:01:019,859596,859595,1,0,39149138,0,5215 123,3,2024-09-07 10:05:01:132,1,680,7,0,478,7743,680,0 124,0,2024-09-07 10:05:00:927,176126,0.4,176112,0.5,331859,0.3,457071,1.75 124,1,2024-09-07 10:05:01:025,1195687,1195687,0,0,561311125560,5839625722,1186159,8269,1259,365,392178,0 124,2,2024-09-07 10:05:01:010,861461,861408,53,0,36386827,0,6487 124,3,2024-09-07 10:05:00:772,1,680,15,0,490,7156,680,0 125,0,2024-09-07 10:05:01:463,172227,0.4,172092,0.6,344920,0.3,460116,1.75 125,1,2024-09-07 10:05:00:872,1192896,1192896,0,0,559756404933,5852539739,1181860,9454,1582,382,392045,0 125,2,2024-09-07 10:05:01:115,859328,859328,0,0,35863887,0,4534 125,3,2024-09-07 10:05:01:125,1,680,1,0,709,7657,680,0 126,0,2024-09-07 10:05:01:423,171761,0.4,176556,0.5,337382,0.3,457179,1.75 126,1,2024-09-07 10:05:00:553,1196429,1196429,0,0,561786580359,5823612101,1189156,6571,702,365,391987,0 126,2,2024-09-07 10:05:00:613,863575,863575,0,0,37602172,0,4539 126,3,2024-09-07 10:05:00:907,1,680,1,0,268,7807,680,0 127,0,2024-09-07 10:05:01:593,165339,0.3,165783,0.5,330640,0.3,440039,1.75 127,1,2024-09-07 10:05:00:590,1195184,1195184,0,0,560853287238,5838980861,1182732,10676,1776,364,392187,0 127,2,2024-09-07 10:05:00:644,862685,862681,4,0,35548124,0,5305 127,3,2024-09-07 10:05:01:279,1,680,1,0,968,6766,680,0 128,0,2024-09-07 10:05:01:526,171218,0.3,171267,0.4,342520,0.2,455739,1.50 128,1,2024-09-07 10:05:01:606,1194237,1194237,0,0,561214603607,5835684336,1185373,7894,970,367,392423,0 128,2,2024-09-07 10:05:01:383,862572,862572,0,0,33051978,0,3171 128,3,2024-09-07 10:05:00:768,1,680,0,0,1082,10183,680,0 129,0,2024-09-07 10:05:01:013,173859,0.3,173208,0.5,347341,0.3,461176,1.75 129,1,2024-09-07 10:05:00:572,1191522,1191522,0,0,559586258539,5871576777,1178589,10604,2329,379,391962,0 129,2,2024-09-07 10:05:00:690,858382,858378,4,0,35556913,0,5335 129,3,2024-09-07 10:05:00:702,1,680,1,0,506,9836,680,0 130,0,2024-09-07 10:05:01:726,173453,0.4,173226,0.5,346789,0.4,461642,1.75 130,1,2024-09-07 10:05:00:585,1196368,1196368,0,0,561664057419,5833152733,1190528,5433,407,381,391825,0 130,2,2024-09-07 10:05:01:126,861161,861161,0,0,35411207,0,4067 130,3,2024-09-07 10:05:01:291,1,680,1,0,960,8873,680,0 131,0,2024-09-07 10:05:01:997,166425,0.3,166916,0.5,334770,0.3,444187,1.50 131,1,2024-09-07 10:05:01:821,1195185,1195185,0,0,560563284227,5842470410,1187048,6929,1208,381,391865,0 131,2,2024-09-07 10:05:00:574,863260,863260,0,0,33792329,0,3979 131,3,2024-09-07 10:05:01:693,1,680,2,0,392,8601,680,0 132,0,2024-09-07 10:05:01:414,169584,0.5,170526,0.6,339290,0.4,451889,2.00 132,1,2024-09-07 10:05:00:580,1190918,1190918,0,0,559098831324,5883893267,1174422,13714,2782,381,392532,0 132,2,2024-09-07 10:05:00:707,860533,860516,17,0,42366590,0,6451 132,3,2024-09-07 10:05:01:694,1,680,1,0,1298,11783,680,0 133,0,2024-09-07 10:05:01:544,168603,0.5,172634,0.6,353904,0.4,460276,2.00 133,1,2024-09-07 10:05:00:619,1190904,1190904,0,0,559241719159,5890211395,1175474,13496,1934,383,391914,0 133,2,2024-09-07 10:05:01:087,857376,857326,50,0,43301114,0,6861 133,3,2024-09-07 10:05:01:296,1,680,1,0,528,8001,680,0 134,0,2024-09-07 10:05:00:970,174812,0.5,174643,0.7,349243,0.5,465278,2.00 134,1,2024-09-07 10:05:00:593,1192027,1192027,0,0,559483221763,5871760109,1175950,12785,3292,366,391781,0 134,2,2024-09-07 10:05:01:760,858150,858011,139,0,40863100,0,7591 134,3,2024-09-07 10:05:00:749,1,680,0,0,739,8449,680,0 135,0,2024-09-07 10:05:01:103,163410,0.7,163450,0.8,346986,0.8,447255,2.25 135,1,2024-09-07 10:05:01:678,1191867,1191867,0,0,559534734734,5882070342,1177472,12543,1852,380,392038,0 135,2,2024-09-07 10:05:00:692,863058,863058,0,0,41525076,0,4503 135,3,2024-09-07 10:05:01:003,1,680,4,0,900,6582,680,0 136,0,2024-09-07 10:05:01:616,167642,0.6,168180,0.8,334364,0.6,446136,2.25 136,1,2024-09-07 10:05:01:442,1193047,1193047,0,0,560029203320,5868780260,1180221,11400,1426,381,392135,0 136,2,2024-09-07 10:05:01:133,862476,862461,15,0,40710386,0,6007 136,3,2024-09-07 10:05:01:110,1,680,1,0,637,8021,680,0 137,0,2024-09-07 10:05:00:922,178100,0.7,173596,0.8,339990,0.7,462710,2.00 137,1,2024-09-07 10:05:00:575,1191890,1191890,0,0,559758632026,5870890192,1173468,14785,3637,366,391898,0 137,2,2024-09-07 10:05:01:704,857660,857660,0,0,41693353,0,3185 137,3,2024-09-07 10:05:00:775,1,680,2,0,484,9751,680,0 138,0,2024-09-07 10:05:01:752,172061,0.9,172393,0.9,345281,1.2,459563,2.25 138,1,2024-09-07 10:05:01:686,1191617,1191617,0,0,559732564584,5876071470,1174490,14436,2691,368,391954,0 138,2,2024-09-07 10:05:00:587,856181,856181,0,0,40148436,0,4988 138,3,2024-09-07 10:05:00:625,1,680,7,0,1200,10335,680,0 139,0,2024-09-07 10:05:01:380,169117,0.9,169596,0.9,339257,1.2,452628,2.25 139,1,2024-09-07 10:05:00:573,1187776,1187776,0,0,556919642565,5902327309,1167882,15981,3913,380,392109,0 139,2,2024-09-07 10:05:00:692,856610,856580,30,0,45752801,0,5997 139,3,2024-09-07 10:05:01:664,1,680,7,0,432,8116,680,0 140,0,2024-09-07 10:05:01:592,165820,0.3,165110,0.5,331280,0.2,441729,1.75 140,1,2024-09-07 10:05:01:537,1199199,1199199,0,0,562414347209,5806776046,1192398,5998,803,364,391628,0 140,2,2024-09-07 10:05:00:691,861586,861585,1,0,34377991,0,5036 140,3,2024-09-07 10:05:00:767,1,680,1,0,575,6955,680,0 141,0,2024-09-07 10:05:01:700,171785,0.3,176487,0.5,337245,0.3,456441,1.75 141,1,2024-09-07 10:05:00:859,1196479,1196479,0,0,562051621608,5844964759,1186137,8949,1393,379,391614,0 141,2,2024-09-07 10:05:01:688,860654,860643,11,0,36923772,0,5369 141,3,2024-09-07 10:05:01:043,1,680,11,0,391,8100,680,0 142,0,2024-09-07 10:05:01:333,173180,0.3,172417,0.5,345279,0.3,460230,1.75 142,1,2024-09-07 10:05:00:590,1194235,1194235,0,0,561143881428,5858087375,1185189,8152,894,382,392102,0 142,2,2024-09-07 10:05:01:299,855699,855667,32,0,36428477,0,6028 142,3,2024-09-07 10:05:01:746,1,680,80,0,484,7024,680,0 143,0,2024-09-07 10:05:01:405,171918,0.4,171707,0.5,344504,0.4,457749,1.75 143,1,2024-09-07 10:05:00:556,1197293,1197293,0,0,561890740311,5834727973,1188904,7420,969,367,391900,0 143,2,2024-09-07 10:05:00:772,863072,863072,0,0,36060156,0,3123 143,3,2024-09-07 10:05:01:140,1,680,16,0,462,8052,680,0 144,0,2024-09-07 10:05:01:507,160476,0.6,165104,0.7,335992,0.5,439620,2.00 144,1,2024-09-07 10:05:00:567,1191223,1191223,0,0,559385259074,5870132870,1179486,9695,2042,381,391733,0 144,2,2024-09-07 10:05:01:755,863516,863516,0,0,35583255,0,4443 144,3,2024-09-07 10:05:01:743,1,680,7,0,306,7354,680,0 145,0,2024-09-07 10:05:01:358,164448,0.6,164456,0.8,349057,0.5,449951,2.25 145,1,2024-09-07 10:05:00:567,1190453,1190453,0,0,559334345693,5888177372,1174260,13227,2966,382,391781,0 145,2,2024-09-07 10:05:01:429,859147,859065,82,0,41463434,0,7814 145,3,2024-09-07 10:05:00:896,1,680,0,0,622,9160,680,0 146,0,2024-09-07 10:05:01:590,172255,0.7,171418,0.8,344604,0.7,457746,2.25 146,1,2024-09-07 10:05:01:586,1192209,1192209,0,0,559127925587,5883188593,1173079,14206,4924,367,391829,0 146,2,2024-09-07 10:05:01:697,854493,854487,6,0,39605443,0,5151 146,3,2024-09-07 10:05:01:282,1,680,1,0,1520,10868,680,0 147,0,2024-09-07 10:05:01:758,173837,0.6,173615,0.7,347067,0.5,462695,2.00 147,1,2024-09-07 10:05:01:372,1195813,1195813,0,0,561544732990,5840055072,1185862,8853,1098,367,391791,0 147,2,2024-09-07 10:05:01:010,858829,858829,0,0,37466468,0,4531 147,3,2024-09-07 10:05:00:913,1,680,1,0,1626,10350,680,0 0,0,2024-09-07 10:05:11:752,167912,0.7,167865,0.8,356386,0.8,460445,2.00 0,1,2024-09-07 10:05:10:801,1194968,1194968,0,0,560782542710,5871302009,1185302,8704,962,368,391896,0 0,2,2024-09-07 10:05:11:072,859023,859023,0,0,35057204,0,4480 0,3,2024-09-07 10:05:10:997,1,681,4,0,538,9847,681,0 1,0,2024-09-07 10:05:11:784,173817,0.8,172834,0.9,346803,1.0,464105,2.00 1,1,2024-09-07 10:05:10:582,1193779,1193779,0,0,560506700842,5874033257,1182393,9417,1969,370,391859,0 1,2,2024-09-07 10:05:10:649,861008,861008,0,0,34456333,0,3380 1,3,2024-09-07 10:05:11:325,1,681,13,0,269,8156,681,0 2,0,2024-09-07 10:05:11:571,169826,0.6,169573,0.7,338913,0.6,452029,2.00 2,1,2024-09-07 10:05:10:859,1197927,1197927,0,0,562345020684,5848826451,1190998,5968,961,379,391805,0 2,2,2024-09-07 10:05:11:273,864310,864310,0,0,33559687,0,3594 2,3,2024-09-07 10:05:10:690,1,681,1,0,357,6083,681,0 3,0,2024-09-07 10:05:11:752,167094,0.4,166895,0.6,333550,0.4,444696,2.00 3,1,2024-09-07 10:05:11:631,1195668,1195668,0,0,560860196715,5834994898,1187155,7795,718,379,391716,0 3,2,2024-09-07 10:05:11:144,863961,863938,23,0,34264885,0,5851 3,3,2024-09-07 10:05:11:751,1,681,1,0,484,5194,681,0 4,0,2024-09-07 10:05:11:771,166632,0.4,171236,0.5,349220,0.4,456951,1.75 4,1,2024-09-07 10:05:10:596,1190342,1190342,0,0,559065541713,5914754444,1170462,15279,4601,369,391992,0 4,2,2024-09-07 10:05:11:018,858097,858097,0,0,41623182,0,4534 4,3,2024-09-07 10:05:11:032,1,681,1,0,448,9086,681,0 5,0,2024-09-07 10:05:11:421,172754,0.4,172499,0.6,345336,0.4,460336,1.75 5,1,2024-09-07 10:05:10:754,1192019,1192019,0,0,559255855521,5901146724,1174369,13414,4236,367,392005,0 5,2,2024-09-07 10:05:11:854,854973,854940,33,0,41794472,0,7631 5,3,2024-09-07 10:05:11:739,1,681,17,0,457,9031,681,0 6,0,2024-09-07 10:05:10:923,171410,0.5,170979,0.6,342162,0.4,455715,2.00 6,1,2024-09-07 10:05:10:746,1194761,1194761,0,0,560921011992,5857351364,1182598,10478,1685,379,391702,0 6,2,2024-09-07 10:05:11:117,863418,863400,18,0,38963611,0,5535 6,3,2024-09-07 10:05:11:273,1,681,1,0,710,7930,681,0 7,0,2024-09-07 10:05:11:539,164751,0.5,165852,0.7,329380,0.5,439317,2.00 7,1,2024-09-07 10:05:10:850,1192660,1192660,0,0,559962674072,5892777413,1174827,13696,4137,382,391747,0 7,2,2024-09-07 10:05:10:769,861612,861443,169,0,39775051,0,7706 7,3,2024-09-07 10:05:10:851,1,681,1,0,552,7985,681,0 8,0,2024-09-07 10:05:11:329,171307,0.4,170939,0.5,342275,0.4,456726,1.75 8,1,2024-09-07 10:05:11:032,1191474,1191474,0,0,560602385358,5896593512,1172621,14808,4045,366,392853,0 8,2,2024-09-07 10:05:10:789,856290,856288,2,0,44824807,0,5112 8,3,2024-09-07 10:05:10:596,1,681,1,0,772,10278,681,0 9,0,2024-09-07 10:05:11:103,172814,0.4,167792,0.5,351269,0.4,460842,1.75 9,1,2024-09-07 10:05:10:557,1190903,1190903,0,0,559457112083,5901823717,1171238,15315,4350,369,392001,0 9,2,2024-09-07 10:05:11:083,855740,855739,1,0,42719566,0,5281 9,3,2024-09-07 10:05:11:754,1,681,1,0,1273,11017,681,0 10,0,2024-09-07 10:05:11:604,172757,0.4,172267,0.5,345279,0.3,459345,1.75 10,1,2024-09-07 10:05:10:584,1192836,1192836,0,0,560107204889,5880376586,1174777,14325,3734,381,391981,0 10,2,2024-09-07 10:05:10:774,861782,861782,0,0,45907544,0,4713 10,3,2024-09-07 10:05:10:870,1,681,1,0,669,7336,681,0 11,0,2024-09-07 10:05:11:016,166256,0.4,161405,0.6,337715,0.4,444255,1.75 11,1,2024-09-07 10:05:10:572,1195505,1195505,0,0,560244207354,5876210700,1178620,12575,4310,383,391766,0 11,2,2024-09-07 10:05:11:123,862582,862582,0,0,39668816,0,4698 11,3,2024-09-07 10:05:11:298,1,681,4,0,843,8371,681,0 12,0,2024-09-07 10:05:11:028,170903,0.4,170529,0.6,340779,0.4,453674,1.75 12,1,2024-09-07 10:05:10:946,1194483,1194483,0,0,560895400768,5854498679,1184636,8820,1027,368,391960,0 12,2,2024-09-07 10:05:11:568,859897,859897,0,0,39574919,0,4390 12,3,2024-09-07 10:05:11:063,1,681,3,0,386,8512,681,0 13,0,2024-09-07 10:05:11:370,173850,0.4,174037,0.6,347464,0.4,462299,1.75 13,1,2024-09-07 10:05:11:537,1191867,1191867,0,0,559580634993,5892806375,1177722,11067,3078,382,391803,0 13,2,2024-09-07 10:05:10:622,859199,859199,0,0,35708854,0,3287 13,3,2024-09-07 10:05:11:762,1,681,1,0,522,9217,681,0 14,0,2024-09-07 10:05:10:559,174214,0.4,175285,0.6,348630,0.4,463723,1.75 14,1,2024-09-07 10:05:11:565,1200445,1200445,0,0,563113653597,5827771343,1191192,8103,1150,364,391673,0 14,2,2024-09-07 10:05:10:776,860762,860732,30,0,36949371,0,6104 14,3,2024-09-07 10:05:11:115,1,681,2,0,1168,7121,681,0 15,0,2024-09-07 10:05:11:569,169023,0.4,168148,0.6,336692,0.3,449190,1.75 15,1,2024-09-07 10:05:11:616,1196445,1196445,0,0,562213548656,5862095299,1185583,8767,2095,380,391619,0 15,2,2024-09-07 10:05:10:998,865531,865531,0,0,33029395,0,3622 15,3,2024-09-07 10:05:11:406,1,681,0,0,1126,8622,681,0 16,0,2024-09-07 10:05:11:066,167507,0.6,168349,0.8,335768,0.7,447451,2.00 16,1,2024-09-07 10:05:10:572,1196307,1196307,0,0,561547741093,5871396095,1185576,9187,1544,370,392194,0 16,2,2024-09-07 10:05:11:445,861747,861747,0,0,35916698,0,4719 16,3,2024-09-07 10:05:11:143,1,681,19,0,362,8503,681,0 17,0,2024-09-07 10:05:11:800,178493,0.7,174004,0.8,340734,0.7,463491,2.00 17,1,2024-09-07 10:05:10:572,1194662,1194662,0,0,560581571258,5877215583,1183586,9278,1798,368,392075,0 17,2,2024-09-07 10:05:11:674,862165,862164,1,0,37066065,0,5050 17,3,2024-09-07 10:05:10:580,1,681,14,0,518,9802,681,0 18,0,2024-09-07 10:05:10:960,171564,0.6,172691,0.8,343634,0.6,459343,2.25 18,1,2024-09-07 10:05:11:641,1199242,1199242,0,0,562720678529,5838562836,1191076,6736,1430,367,391725,0 18,2,2024-09-07 10:05:11:755,861459,861459,0,0,33575631,0,3541 18,3,2024-09-07 10:05:10:896,1,681,1,0,1059,6272,681,0 19,0,2024-09-07 10:05:11:541,169805,0.6,170375,0.8,339626,0.6,451181,2.00 19,1,2024-09-07 10:05:10:607,1198173,1198173,0,0,563271620289,5837303339,1189330,7683,1160,365,391777,0 19,2,2024-09-07 10:05:11:752,866502,866502,0,0,31254011,0,3988 19,3,2024-09-07 10:05:11:132,1,681,2,0,524,5475,681,0 20,0,2024-09-07 10:05:11:447,165636,0.5,165529,0.7,330886,0.5,441853,2.00 20,1,2024-09-07 10:05:10:585,1193832,1193832,0,0,560577389943,5876298777,1181575,10553,1704,369,391922,0 20,2,2024-09-07 10:05:10:949,862146,862146,0,0,39586356,0,4321 20,3,2024-09-07 10:05:10:599,1,681,5,0,468,11533,681,0 21,0,2024-09-07 10:05:11:145,171474,0.5,171629,0.7,343131,0.5,455718,2.00 21,1,2024-09-07 10:05:11:546,1191724,1191724,0,0,558870210513,5899302707,1172975,14760,3989,368,392016,0 21,2,2024-09-07 10:05:11:066,855270,854793,477,0,50769686,0,17074 21,3,2024-09-07 10:05:11:404,1,681,1,0,713,9053,681,0 22,0,2024-09-07 10:05:11:717,172339,0.5,173009,0.7,345639,0.4,458906,2.00 22,1,2024-09-07 10:05:11:023,1192770,1192770,0,0,560106074108,5892401706,1173732,15435,3603,381,391822,0 22,2,2024-09-07 10:05:10:761,856821,856795,26,0,37646250,0,6328 22,3,2024-09-07 10:05:11:066,1,681,1,0,228,5613,681,0 23,0,2024-09-07 10:05:11:367,171551,0.5,171393,0.7,342755,0.4,456675,2.00 23,1,2024-09-07 10:05:11:003,1194589,1194589,0,0,560891023865,5905786469,1173345,14421,6823,365,391690,0 23,2,2024-09-07 10:05:11:094,863612,863612,0,0,35861261,0,3773 23,3,2024-09-07 10:05:11:753,1,681,2,0,855,9696,681,0 24,0,2024-09-07 10:05:10:813,166678,0.4,165644,0.5,333025,0.3,442474,1.75 24,1,2024-09-07 10:05:10:596,1192890,1192890,0,0,560043315295,5863505656,1181305,9711,1874,367,392269,0 24,2,2024-09-07 10:05:11:069,862784,862781,3,0,42466548,0,6294 24,3,2024-09-07 10:05:11:685,1,681,1,0,468,8966,681,0 25,0,2024-09-07 10:05:11:362,175027,0.4,170044,0.6,334770,0.4,456370,2.00 25,1,2024-09-07 10:05:10:575,1191763,1191763,0,0,559617342856,5913252070,1171031,16738,3994,369,391928,0 25,2,2024-09-07 10:05:11:616,859202,859202,0,0,44082877,0,3978 25,3,2024-09-07 10:05:11:000,1,681,2,0,532,7510,681,0 26,0,2024-09-07 10:05:11:720,171843,0.5,167897,0.6,352299,0.4,459440,2.00 26,1,2024-09-07 10:05:11:540,1194860,1194860,0,0,560368783857,5866948611,1179156,12801,2903,380,391758,0 26,2,2024-09-07 10:05:10:861,857022,857022,0,0,45322506,0,4689 26,3,2024-09-07 10:05:11:714,1,681,1,0,796,8554,681,0 27,0,2024-09-07 10:05:11:724,173736,0.4,174423,0.6,346812,0.4,462521,2.25 27,1,2024-09-07 10:05:11:677,1196643,1196643,0,0,562453501365,5861898290,1185363,9659,1621,381,391626,0 27,2,2024-09-07 10:05:10:867,857324,857259,65,0,40187976,0,5699 27,3,2024-09-07 10:05:11:022,1,681,7,0,564,5893,681,0 28,0,2024-09-07 10:05:11:422,168142,0.4,168390,0.6,336684,0.3,447848,1.75 28,1,2024-09-07 10:05:10:797,1196988,1196988,0,0,562247895657,5869997887,1185523,9038,2427,382,391904,0 28,2,2024-09-07 10:05:11:764,863661,863661,0,0,37585331,0,4060 28,3,2024-09-07 10:05:11:776,1,681,4,0,502,7167,681,0 29,0,2024-09-07 10:05:11:380,173665,0.4,169047,0.6,331777,0.4,452813,1.75 29,1,2024-09-07 10:05:11:562,1199959,1199959,0,0,563361615883,5832478920,1192042,6897,1020,367,391809,0 29,2,2024-09-07 10:05:10:861,861633,861633,0,0,34962778,0,4986 29,3,2024-09-07 10:05:10:965,1,681,145,0,590,7756,681,0 30,0,2024-09-07 10:05:11:487,171771,0.6,167171,0.7,350396,0.5,457354,2.00 30,1,2024-09-07 10:05:10:579,1198879,1198879,0,0,563186807147,5850562079,1189070,8641,1168,380,391672,0 30,2,2024-09-07 10:05:11:275,859156,859156,0,0,33846251,0,4192 30,3,2024-09-07 10:05:10:595,1,681,3,0,519,7187,681,0 31,0,2024-09-07 10:05:11:759,173556,0.5,174364,0.7,347790,0.4,464186,2.00 31,1,2024-09-07 10:05:10:571,1202890,1202890,0,0,564237527566,5798584834,1194962,6660,1268,356,391712,0 31,2,2024-09-07 10:05:11:275,859750,859750,0,0,37272676,0,4470 31,3,2024-09-07 10:05:11:708,1,681,4,0,248,6101,681,0 32,0,2024-09-07 10:05:11:422,169949,0.3,171024,0.5,340664,0.2,453444,1.75 32,1,2024-09-07 10:05:10:804,1198494,1198494,0,0,562662729386,5849962951,1191008,6521,965,381,391646,0 32,2,2024-09-07 10:05:10:939,866200,866200,0,0,32491668,0,3922 32,3,2024-09-07 10:05:11:018,1,681,6,0,304,5814,681,0 33,0,2024-09-07 10:05:11:502,167860,0.3,167329,0.4,334738,0.2,446044,1.50 33,1,2024-09-07 10:05:10:579,1200014,1200014,0,0,562921061072,5829857966,1190672,7991,1351,368,391730,0 33,2,2024-09-07 10:05:10:774,862993,862958,35,0,36087471,0,7012 33,3,2024-09-07 10:05:10:895,1,681,1,0,329,6371,681,0 34,0,2024-09-07 10:05:10:940,171994,0.3,176746,0.5,338103,0.2,456886,1.75 34,1,2024-09-07 10:05:11:044,1202355,1202355,0,0,564591812415,5810709912,1198356,3790,209,367,391637,0 34,2,2024-09-07 10:05:10:765,861598,861598,0,0,33682841,0,4562 34,3,2024-09-07 10:05:11:696,1,681,1,0,541,6007,681,0 35,0,2024-09-07 10:05:10:857,172055,0.3,172679,0.5,346368,0.2,461291,1.75 35,1,2024-09-07 10:05:11:066,1198021,1198021,0,0,562524758746,5837817842,1189083,7386,1552,382,391769,0 35,2,2024-09-07 10:05:11:583,858925,858925,0,0,38911840,0,4055 35,3,2024-09-07 10:05:10:907,1,681,0,0,466,6553,681,0 36,0,2024-09-07 10:05:11:514,172010,0.5,172114,0.6,343882,0.4,457635,2.00 36,1,2024-09-07 10:05:10:584,1196722,1196722,0,0,562334152472,5871530852,1181948,12420,2354,366,391759,0 36,2,2024-09-07 10:05:11:750,863267,863267,0,0,39025729,0,3875 36,3,2024-09-07 10:05:10:863,1,681,1,0,556,8749,681,0 37,0,2024-09-07 10:05:11:380,164697,0.5,164716,0.7,329872,0.5,439946,2.25 37,1,2024-09-07 10:05:10:572,1195872,1195865,0,7,561365284143,5862487843,1181687,11065,3113,365,391770,0 37,2,2024-09-07 10:05:11:144,860990,860975,15,0,39165666,0,5815 37,3,2024-09-07 10:05:11:766,1,681,1,0,888,9161,681,0 38,0,2024-09-07 10:05:11:470,170203,0.5,164975,0.7,345079,0.4,452712,2.00 38,1,2024-09-07 10:05:11:616,1196260,1196260,0,0,562449739958,5880326031,1179432,13524,3304,368,391821,0 38,2,2024-09-07 10:05:10:774,861718,861671,47,0,39192274,0,6710 38,3,2024-09-07 10:05:11:005,1,681,12,0,689,7894,681,0 39,0,2024-09-07 10:05:11:760,176096,0.6,172092,0.7,335930,0.5,458268,2.00 39,1,2024-09-07 10:05:10:716,1194858,1194858,0,0,560325599485,5875155373,1175246,15379,4233,365,391865,0 39,2,2024-09-07 10:05:11:437,857331,857331,0,0,37273954,0,3478 39,3,2024-09-07 10:05:10:720,1,681,11,0,525,7621,681,0 40,0,2024-09-07 10:05:11:498,171221,0.8,171854,1.0,343172,0.9,457839,2.75 40,1,2024-09-07 10:05:10:580,1194846,1194846,0,0,560321062187,5875479008,1176045,15218,3583,366,391668,0 40,2,2024-09-07 10:05:11:314,859403,859396,7,0,43461332,0,5347 40,3,2024-09-07 10:05:11:143,1,681,2,0,1028,9746,681,0 41,0,2024-09-07 10:05:11:030,165569,1.2,169416,1.1,323114,1.6,438392,3.00 41,1,2024-09-07 10:05:10:769,1194320,1194320,0,0,561524900909,5874390526,1178613,13182,2525,369,391878,0 41,2,2024-09-07 10:05:10:774,859954,859953,1,0,42388953,0,5408 41,3,2024-09-07 10:05:11:676,1,681,1,0,749,8420,681,0 42,0,2024-09-07 10:05:11:492,168356,1.0,168163,1.0,336756,1.1,446971,2.75 42,1,2024-09-07 10:05:11:442,1192725,1192725,0,0,559844090968,5878877025,1175124,14517,3084,380,391675,0 42,2,2024-09-07 10:05:11:144,858537,858536,1,0,43636956,0,5513 42,3,2024-09-07 10:05:11:009,1,681,1,0,892,6958,681,0 43,0,2024-09-07 10:05:10:917,170620,0.8,166016,1.0,347896,0.9,454796,2.25 43,1,2024-09-07 10:05:10:579,1195618,1195618,0,0,562482259479,5876974503,1179512,13358,2748,365,391696,0 43,2,2024-09-07 10:05:11:738,858307,858307,0,0,39946254,0,4723 43,3,2024-09-07 10:05:11:751,1,681,2,0,571,9277,681,0 44,0,2024-09-07 10:05:10:864,174395,0.4,174667,0.6,348624,0.4,464715,1.75 44,1,2024-09-07 10:05:10:573,1198776,1198776,0,0,562388984165,5818910590,1187452,9173,2151,356,391809,0 44,2,2024-09-07 10:05:11:271,859138,859138,0,0,33536129,0,4344 44,3,2024-09-07 10:05:11:095,1,681,2,0,1097,8738,681,0 45,0,2024-09-07 10:05:11:759,167219,0.5,163376,0.7,342741,0.4,449247,2.00 45,1,2024-09-07 10:05:11:009,1198170,1198170,0,0,563073328756,5855276769,1188624,8325,1221,382,391917,0 45,2,2024-09-07 10:05:11:276,864574,864574,0,0,33758217,0,3596 45,3,2024-09-07 10:05:10:940,1,681,2,0,531,6444,681,0 46,0,2024-09-07 10:05:10:969,166929,0.5,166417,0.7,333830,0.5,444366,2.00 46,1,2024-09-07 10:05:10:575,1200184,1200184,0,0,563546895311,5832872511,1190912,7791,1481,366,391709,0 46,2,2024-09-07 10:05:10:595,863470,863470,0,0,34560893,0,4443 46,3,2024-09-07 10:05:11:145,1,681,9,0,908,7597,681,0 47,0,2024-09-07 10:05:11:118,173798,0.5,173310,0.6,348188,0.4,461659,2.00 47,1,2024-09-07 10:05:10:572,1201531,1201531,0,0,563107104772,5822114043,1194026,6492,1013,364,391666,0 47,2,2024-09-07 10:05:10:912,862253,862253,0,0,34943310,0,4477 47,3,2024-09-07 10:05:11:115,1,681,1,0,600,7440,681,0 48,0,2024-09-07 10:05:11:493,173605,0.3,174165,0.4,347027,0.2,462225,1.50 48,1,2024-09-07 10:05:11:027,1198436,1198436,0,0,562188865763,5842272361,1190823,6601,1012,381,391710,0 48,2,2024-09-07 10:05:10:707,859923,859923,0,0,31667581,0,3619 48,3,2024-09-07 10:05:10:753,1,681,1,0,339,5945,681,0 49,0,2024-09-07 10:05:11:724,175418,0.3,171764,0.5,334156,0.3,455820,1.75 49,1,2024-09-07 10:05:11:031,1197459,1197459,0,0,562447549662,5849351677,1189595,6166,1698,382,391809,0 49,2,2024-09-07 10:05:11:797,865343,865343,0,0,33275919,0,4426 49,3,2024-09-07 10:05:11:443,1,681,12,0,992,7993,681,0 50,0,2024-09-07 10:05:11:515,166228,0.3,164825,0.5,331843,0.2,441796,1.75 50,1,2024-09-07 10:05:11:010,1200679,1200679,0,0,563720216640,5828811219,1193128,6819,732,368,391691,0 50,2,2024-09-07 10:05:11:067,861685,861685,0,0,31216315,0,4490 50,3,2024-09-07 10:05:11:293,1,681,2,0,617,7128,681,0 51,0,2024-09-07 10:05:11:686,176135,0.3,172359,0.5,335751,0.2,458052,1.75 51,1,2024-09-07 10:05:11:692,1201168,1201168,0,0,564839369483,5825395259,1194470,5354,1344,365,391706,0 51,2,2024-09-07 10:05:11:335,861716,861716,0,0,30996234,0,3337 51,3,2024-09-07 10:05:11:027,1,681,1,0,678,5450,681,0 52,0,2024-09-07 10:05:11:414,172531,0.5,172542,0.7,344632,0.4,458547,2.00 52,1,2024-09-07 10:05:10:575,1195563,1195563,0,0,561149770668,5872748741,1178819,14317,2427,368,391805,0 52,2,2024-09-07 10:05:11:754,854437,854399,38,0,42024519,0,6742 52,3,2024-09-07 10:05:10:678,1,681,0,0,1782,7895,681,0 53,0,2024-09-07 10:05:11:751,171309,0.6,166233,0.8,347782,0.7,455178,2.25 53,1,2024-09-07 10:05:10:771,1194268,1194268,0,0,561589592931,5887691521,1175117,14738,4413,367,391968,0 53,2,2024-09-07 10:05:11:298,863063,862925,138,0,38598039,0,7690 53,3,2024-09-07 10:05:10:697,1,681,0,0,308,6444,681,0 54,0,2024-09-07 10:05:11:622,163845,0.6,164197,0.7,327127,0.5,436813,2.25 54,1,2024-09-07 10:05:10:584,1197297,1197297,0,0,563249504727,5855712635,1185521,10102,1674,366,391810,0 54,2,2024-09-07 10:05:10:865,863503,863471,32,0,41833698,0,6397 54,3,2024-09-07 10:05:10:774,1,681,0,0,676,8791,681,0 55,0,2024-09-07 10:05:11:763,164450,0.7,169503,0.8,344038,0.6,448745,2.50 55,1,2024-09-07 10:05:10:766,1197847,1197847,0,0,561867876520,5842733598,1185824,10536,1487,365,391731,0 55,2,2024-09-07 10:05:10:735,860399,860343,56,0,38307351,0,7239 55,3,2024-09-07 10:05:10:678,1,681,2,0,304,6254,681,0 56,0,2024-09-07 10:05:11:632,175665,1.2,165492,1.2,341129,1.6,456590,2.75 56,1,2024-09-07 10:05:10:572,1190537,1190537,0,0,559619014457,5917521460,1171046,15737,3754,381,391867,0 56,2,2024-09-07 10:05:11:304,855847,855725,122,0,40937371,0,7432 56,3,2024-09-07 10:05:11:074,1,681,1,0,705,8176,681,0 57,0,2024-09-07 10:05:10:971,171887,1.4,171855,1.2,343275,1.9,458698,3.00 57,1,2024-09-07 10:05:10:997,1193431,1193431,0,0,559619352524,5872039432,1177728,13001,2702,366,392032,0 57,2,2024-09-07 10:05:11:327,860123,860123,0,0,43895929,0,4804 57,3,2024-09-07 10:05:11:756,1,681,20,0,455,7381,681,0 58,0,2024-09-07 10:05:10:557,165702,0.9,160883,1.0,336730,1.1,440242,2.50 58,1,2024-09-07 10:05:10:578,1194343,1194340,0,3,561187449665,5885767184,1175981,13958,4401,367,391726,3 58,2,2024-09-07 10:05:11:070,864015,864015,0,0,39939298,0,3483 58,3,2024-09-07 10:05:11:067,1,681,2,0,1043,7436,681,0 59,0,2024-09-07 10:05:11:743,167705,0.9,166887,1.0,333808,1.0,443470,2.75 59,1,2024-09-07 10:05:10:804,1194051,1194051,0,0,560514247397,5889506647,1174566,15647,3838,369,391653,0 59,2,2024-09-07 10:05:10:598,861864,861864,0,0,38046877,0,3727 59,3,2024-09-07 10:05:11:737,1,681,2,0,1015,8042,681,0 60,0,2024-09-07 10:05:11:763,172660,0.6,172850,0.7,345636,0.5,460921,2.00 60,1,2024-09-07 10:05:10:783,1197765,1197765,0,0,562924594663,5864456218,1186838,9425,1502,370,392031,0 60,2,2024-09-07 10:05:11:144,859333,859333,0,0,36254214,0,3811 60,3,2024-09-07 10:05:11:259,1,681,1,0,409,7881,681,0 61,0,2024-09-07 10:05:11:505,173693,0.6,174469,0.8,347437,0.6,463629,2.00 61,1,2024-09-07 10:05:10:774,1194937,1194937,0,0,560922279556,5875518965,1182267,10820,1850,382,392127,0 61,2,2024-09-07 10:05:11:116,860547,860480,67,0,37223703,0,6411 61,3,2024-09-07 10:05:11:689,1,681,1,0,607,8490,681,0 62,0,2024-09-07 10:05:11:707,170586,0.5,174878,0.7,333872,0.5,453449,2.00 62,1,2024-09-07 10:05:11:111,1202278,1202272,0,6,564600883036,5830189563,1195021,6634,617,365,391975,6 62,2,2024-09-07 10:05:11:643,861863,861862,1,0,36995337,0,5555 62,3,2024-09-07 10:05:11:144,1,681,2,0,482,5385,681,0 63,0,2024-09-07 10:05:11:456,167662,0.4,167402,0.6,335402,0.3,446113,1.75 63,1,2024-09-07 10:05:10:813,1199418,1199412,0,6,563463042207,5854745760,1191501,6961,950,381,391800,6 63,2,2024-09-07 10:05:10:762,861710,861710,0,0,36112500,0,4369 63,3,2024-09-07 10:05:11:741,1,681,1,0,667,8050,681,0 64,0,2024-09-07 10:05:11:539,171036,0.5,170866,0.7,341435,0.5,455310,2.00 64,1,2024-09-07 10:05:10:758,1197596,1197596,0,0,562672102927,5858666113,1187394,8176,2026,370,391794,0 64,2,2024-09-07 10:05:11:146,863785,863766,19,0,34680854,0,6121 64,3,2024-09-07 10:05:11:148,1,681,47,0,651,7752,681,0 65,0,2024-09-07 10:05:11:790,171353,0.6,171553,0.7,342592,0.6,457511,2.00 65,1,2024-09-07 10:05:10:868,1195806,1195806,0,0,561166055630,5864720730,1187564,7344,898,381,391901,0 65,2,2024-09-07 10:05:11:720,857253,857253,0,0,41252282,0,3367 65,3,2024-09-07 10:05:11:683,1,681,10,0,782,8047,681,0 66,0,2024-09-07 10:05:11:765,171231,0.5,170596,0.7,341345,0.5,454174,2.00 66,1,2024-09-07 10:05:11:293,1197602,1197602,0,0,562057115353,5850131329,1190108,6621,873,380,391743,0 66,2,2024-09-07 10:05:11:132,865924,865921,3,0,36238740,0,5455 66,3,2024-09-07 10:05:11:084,1,681,1,0,291,6130,681,0 67,0,2024-09-07 10:05:11:428,165411,0.5,165047,0.7,330758,0.5,440478,2.00 67,1,2024-09-07 10:05:10:788,1197679,1197678,0,1,561379853681,5846157694,1189246,7292,1140,380,391787,1 67,2,2024-09-07 10:05:10:592,865566,865551,15,0,36528073,0,6205 67,3,2024-09-07 10:05:11:750,1,681,10,0,595,7277,681,0 68,0,2024-09-07 10:05:10:605,171045,0.6,170793,0.8,340227,0.6,455587,2.25 68,1,2024-09-07 10:05:10:585,1193357,1193357,0,0,560240331235,5895441558,1176633,12453,4271,381,391953,0 68,2,2024-09-07 10:05:11:047,857301,857201,100,0,44771732,0,8578 68,3,2024-09-07 10:05:10:734,1,681,7,0,417,9619,681,0 69,0,2024-09-07 10:05:11:773,171992,0.7,172470,0.8,344148,0.8,458213,2.25 69,1,2024-09-07 10:05:11:020,1192414,1192414,0,0,559648650580,5895926591,1177527,11905,2982,383,391994,0 69,2,2024-09-07 10:05:11:740,854605,854576,29,0,47562607,0,6912 69,3,2024-09-07 10:05:10:778,1,681,14,0,698,10334,681,0 70,0,2024-09-07 10:05:11:531,170939,0.7,171629,0.9,344207,0.6,456373,2.50 70,1,2024-09-07 10:05:10:803,1198949,1198949,0,0,563765122013,5851956589,1189503,8401,1045,366,391725,0 70,2,2024-09-07 10:05:11:327,862071,862071,0,0,39208826,0,4323 70,3,2024-09-07 10:05:10:745,1,681,3,0,854,7665,681,0 71,0,2024-09-07 10:05:11:363,165516,0.8,165034,1.0,331094,1.0,440988,2.50 71,1,2024-09-07 10:05:11:596,1196901,1196901,0,0,561282677341,5857467092,1182470,12645,1786,367,391738,0 71,2,2024-09-07 10:05:11:067,862597,862597,0,0,39655071,0,4352 71,3,2024-09-07 10:05:11:750,1,681,3,0,644,7568,681,0 72,0,2024-09-07 10:05:11:047,174991,0.6,170871,0.7,333880,0.5,454431,2.00 72,1,2024-09-07 10:05:11:031,1195060,1195060,0,0,560987156325,5883457219,1178209,14118,2733,369,391819,0 72,2,2024-09-07 10:05:11:760,859739,859739,0,0,41580250,0,3983 72,3,2024-09-07 10:05:11:759,1,681,4,0,564,9486,681,0 73,0,2024-09-07 10:05:11:126,168730,0.5,172923,0.6,353308,0.4,459935,2.00 73,1,2024-09-07 10:05:10:777,1196759,1196759,0,0,561975801381,5843384763,1187237,8460,1062,367,391858,0 73,2,2024-09-07 10:05:11:759,857194,857193,1,0,43530865,0,5027 73,3,2024-09-07 10:05:10:977,1,681,4,0,1091,9265,681,0 74,0,2024-09-07 10:05:11:322,175261,0.5,179557,0.7,342547,0.4,465076,2.00 74,1,2024-09-07 10:05:10:641,1195285,1195285,0,0,561314589505,5858105366,1182927,10210,2148,381,391762,0 74,2,2024-09-07 10:05:11:006,859555,859555,0,0,37825057,0,4253 74,3,2024-09-07 10:05:11:443,1,681,1,0,522,7880,681,0 75,0,2024-09-07 10:05:11:788,169102,0.5,168133,0.7,337513,0.4,450656,2.00 75,1,2024-09-07 10:05:11:585,1196769,1196769,0,0,561288117120,5850061086,1186890,8886,993,380,391739,0 75,2,2024-09-07 10:05:11:350,862498,862498,0,0,45021586,0,4766 75,3,2024-09-07 10:05:11:067,1,681,12,0,918,9478,681,0 76,0,2024-09-07 10:05:10:617,167177,0.6,166566,0.8,332965,0.6,445913,2.25 76,1,2024-09-07 10:05:10:805,1196491,1196491,0,0,561084704085,5856141494,1187601,7581,1309,382,391790,0 76,2,2024-09-07 10:05:11:064,864277,864274,3,0,37901638,0,5265 76,3,2024-09-07 10:05:11:144,1,681,2,0,249,6283,681,0 77,0,2024-09-07 10:05:11:768,172636,0.6,172997,0.7,346334,0.6,460637,2.00 77,1,2024-09-07 10:05:10:837,1195651,1195651,0,0,560906690837,5860156298,1185380,9183,1088,381,391869,0 77,2,2024-09-07 10:05:11:302,858891,858891,0,0,36585489,0,3890 77,3,2024-09-07 10:05:11:094,1,681,5,0,401,7789,681,0 78,0,2024-09-07 10:05:11:718,173916,0.4,173189,0.6,347694,0.4,460334,2.00 78,1,2024-09-07 10:05:10:610,1195497,1195497,0,0,560620743745,5854422889,1179968,12197,3332,367,391670,0 78,2,2024-09-07 10:05:11:419,860310,860297,13,0,34242096,0,8313 78,3,2024-09-07 10:05:11:132,1,681,9,0,311,5941,681,0 79,0,2024-09-07 10:05:11:350,164804,0.4,168865,0.6,345865,0.3,450209,2.00 79,1,2024-09-07 10:05:10:577,1199815,1199815,0,0,563018744576,5834153188,1190003,8011,1801,367,391682,0 79,2,2024-09-07 10:05:11:067,864605,864605,0,0,33296117,0,4195 79,3,2024-09-07 10:05:10:749,1,681,1,0,418,7669,681,0 80,0,2024-09-07 10:05:11:087,165869,0.5,170265,0.7,325201,0.5,440703,2.00 80,1,2024-09-07 10:05:11:633,1196273,1196273,0,0,561698860556,5850147358,1188296,7396,581,368,392269,0 80,2,2024-09-07 10:05:11:091,865051,865051,0,0,33704336,0,4433 80,3,2024-09-07 10:05:10:598,1,681,26,0,681,8315,681,0 81,0,2024-09-07 10:05:11:611,171555,0.6,175618,0.7,334950,0.6,454846,2.00 81,1,2024-09-07 10:05:11:661,1195849,1195849,0,0,561094573854,5864981694,1186251,8625,973,382,392001,0 81,2,2024-09-07 10:05:11:137,860929,860866,63,0,37464103,0,5932 81,3,2024-09-07 10:05:11:127,1,681,69,0,719,7568,681,0 82,0,2024-09-07 10:05:11:531,172550,0.5,172650,0.7,345770,0.5,459068,2.00 82,1,2024-09-07 10:05:10:590,1197556,1197552,0,4,562380413925,5851881721,1190620,5808,1124,381,391768,4 82,2,2024-09-07 10:05:11:700,860615,860615,0,0,31061209,0,4484 82,3,2024-09-07 10:05:11:751,1,681,2,0,363,6128,681,0 83,0,2024-09-07 10:05:11:544,171919,0.5,171784,0.7,343336,0.5,455855,2.25 83,1,2024-09-07 10:05:10:557,1196324,1196324,0,0,561504413598,5855132173,1187347,7951,1026,382,391709,0 83,2,2024-09-07 10:05:10:766,863403,863378,25,0,33910194,0,5612 83,3,2024-09-07 10:05:10:752,1,681,1,0,1260,7964,681,0 84,0,2024-09-07 10:05:11:772,164122,0.7,164223,0.9,328572,0.7,438683,2.25 84,1,2024-09-07 10:05:11:053,1194774,1194774,0,0,561135942097,5870289201,1181093,11598,2083,367,391967,0 84,2,2024-09-07 10:05:10:574,861059,860649,410,0,49112200,0,17037 84,3,2024-09-07 10:05:11:143,1,681,1,0,908,9554,681,0 85,0,2024-09-07 10:05:11:017,163680,0.7,163709,0.8,347448,0.7,449034,2.25 85,1,2024-09-07 10:05:10:600,1190868,1190868,0,0,558817883705,5897802859,1173087,14851,2930,381,392092,0 85,2,2024-09-07 10:05:10:865,860915,860915,0,0,41552616,0,4255 85,3,2024-09-07 10:05:10:696,1,681,1,0,789,7733,681,0 86,0,2024-09-07 10:05:10:886,171762,0.7,176704,0.8,338275,0.8,457400,2.25 86,1,2024-09-07 10:05:10:826,1193496,1193496,0,0,560956516398,5891662220,1175916,14265,3315,366,392169,0 86,2,2024-09-07 10:05:10:858,856670,856669,1,0,43584593,0,5004 86,3,2024-09-07 10:05:10:595,1,681,2,0,441,9522,681,0 87,0,2024-09-07 10:05:11:320,174191,0.8,172941,0.8,346475,0.9,462645,2.25 87,1,2024-09-07 10:05:10:557,1194013,1194013,0,0,560221490166,5868977556,1179108,12743,2162,366,392076,0 87,2,2024-09-07 10:05:11:070,859673,859667,6,0,40825857,0,6323 87,3,2024-09-07 10:05:11:794,1,681,3,0,473,9447,681,0 88,0,2024-09-07 10:05:11:450,167984,0.4,168442,0.6,336849,0.4,447949,1.75 88,1,2024-09-07 10:05:10:578,1192730,1192730,0,0,559725999800,5866955137,1175934,13660,3136,365,392084,0 88,2,2024-09-07 10:05:10:691,863372,863372,0,0,43151104,0,4465 88,3,2024-09-07 10:05:11:291,1,681,5,0,1080,11036,681,0 89,0,2024-09-07 10:05:11:770,173663,0.4,168612,0.6,332280,0.4,452027,1.75 89,1,2024-09-07 10:05:10:557,1192512,1192512,0,0,560133027382,5891299700,1177848,12387,2277,382,391866,0 89,2,2024-09-07 10:05:11:132,861493,861493,0,0,40594279,0,3173 89,3,2024-09-07 10:05:11:791,1,681,2,0,729,12257,681,0 90,0,2024-09-07 10:05:11:621,167730,0.5,172157,0.7,351685,0.5,458749,2.00 90,1,2024-09-07 10:05:10:593,1193610,1193610,0,0,560832474533,5878075573,1181169,11173,1268,380,391825,0 90,2,2024-09-07 10:05:11:419,854606,854601,5,0,43740097,0,6370 90,3,2024-09-07 10:05:10:968,1,681,4,0,364,9015,681,0 91,0,2024-09-07 10:05:10:959,174474,0.5,169286,0.6,354113,0.5,464634,1.75 91,1,2024-09-07 10:05:10:572,1190831,1190831,0,0,559875298588,5906983323,1172127,14558,4146,381,392047,0 91,2,2024-09-07 10:05:11:332,858867,858867,0,0,39045227,0,4713 91,3,2024-09-07 10:05:10:605,1,681,1,0,231,6439,681,0 92,0,2024-09-07 10:05:11:515,171436,0.4,175403,0.6,334331,0.4,453756,1.75 92,1,2024-09-07 10:05:10:584,1195234,1195234,0,0,560887054901,5861581979,1185755,8168,1311,381,392136,0 92,2,2024-09-07 10:05:11:354,863518,863518,0,0,35667099,0,3906 92,3,2024-09-07 10:05:11:014,1,681,2,0,322,6192,681,0 93,0,2024-09-07 10:05:10:995,168174,0.4,172398,0.6,328916,0.4,446048,1.75 93,1,2024-09-07 10:05:10:806,1195964,1195964,0,0,560703947955,5861983303,1181576,11955,2433,365,392048,0 93,2,2024-09-07 10:05:10:968,862018,862018,0,0,41119910,0,4913 93,3,2024-09-07 10:05:11:436,1,681,1,0,788,8461,681,0 94,0,2024-09-07 10:05:11:627,170987,0.4,172139,0.6,344555,0.4,457687,1.75 94,1,2024-09-07 10:05:10:563,1196749,1196749,0,0,562361946749,5866954753,1188478,7621,650,381,391850,0 94,2,2024-09-07 10:05:10:776,860673,860645,28,0,35800044,0,6179 94,3,2024-09-07 10:05:11:688,1,681,1,0,576,8310,681,0 95,0,2024-09-07 10:05:11:356,172605,0.4,172479,0.6,345415,0.3,460430,1.75 95,1,2024-09-07 10:05:10:852,1197542,1197542,0,0,562345013423,5845904942,1188183,8549,810,365,391852,0 95,2,2024-09-07 10:05:11:019,857232,857232,0,0,35840192,0,3308 95,3,2024-09-07 10:05:11:709,1,681,1,0,718,10465,681,0 96,0,2024-09-07 10:05:11:028,171570,0.3,171809,0.5,343788,0.3,456846,1.75 96,1,2024-09-07 10:05:11:583,1195361,1195361,0,0,561130133873,5856524538,1186449,7534,1378,384,391964,0 96,2,2024-09-07 10:05:11:267,864755,864755,0,0,36060094,0,4225 96,3,2024-09-07 10:05:11:143,1,681,1,0,411,7679,681,0 97,0,2024-09-07 10:05:11:319,165513,0.3,165273,0.5,331159,0.3,440495,1.50 97,1,2024-09-07 10:05:10:762,1198074,1198074,0,0,562950476718,5842631828,1190191,6644,1239,367,392140,0 97,2,2024-09-07 10:05:10:606,864062,864062,0,0,36250520,0,4600 97,3,2024-09-07 10:05:10:572,1,681,1,0,433,8242,681,0 98,0,2024-09-07 10:05:11:744,170682,0.3,170588,0.5,342697,0.3,455967,1.50 98,1,2024-09-07 10:05:10:577,1197110,1197110,0,0,561451251595,5849257195,1189673,6538,899,381,391997,0 98,2,2024-09-07 10:05:10:777,861210,861210,0,0,34673616,0,4336 98,3,2024-09-07 10:05:10:710,1,681,6,0,840,9617,681,0 99,0,2024-09-07 10:05:11:475,172790,0.3,173665,0.5,345926,0.3,460886,1.75 99,1,2024-09-07 10:05:11:733,1198313,1198313,0,0,562044524899,5851180223,1190538,6668,1107,380,392069,0 99,2,2024-09-07 10:05:11:417,856944,856944,0,0,43444674,0,4276 99,3,2024-09-07 10:05:10:592,1,681,3,0,1124,8519,681,0 100,0,2024-09-07 10:05:11:482,172348,0.8,172863,0.9,345498,0.9,460417,2.50 100,1,2024-09-07 10:05:10:572,1190886,1190886,0,0,559105508540,5915249682,1171846,15245,3795,378,391989,0 100,2,2024-09-07 10:05:11:818,858208,857819,389,0,45130553,0,16909 100,3,2024-09-07 10:05:11:737,1,681,1,0,627,10732,681,0 101,0,2024-09-07 10:05:11:736,170109,0.9,166083,1.0,324763,0.9,442858,2.25 101,1,2024-09-07 10:05:10:550,1192908,1192908,0,0,559733260982,5883864312,1176542,13428,2938,368,392018,0 101,2,2024-09-07 10:05:11:756,858054,858015,39,0,47092863,0,5913 101,3,2024-09-07 10:05:10:969,1,681,1,0,1250,10557,681,0 102,0,2024-09-07 10:05:10:981,164830,0.6,169852,0.8,344946,0.6,451272,2.25 102,1,2024-09-07 10:05:11:175,1193157,1193157,0,0,560383889476,5885649118,1177435,13466,2256,369,391984,0 102,2,2024-09-07 10:05:11:750,862480,862426,54,0,38946537,0,6768 102,3,2024-09-07 10:05:11:640,1,681,56,0,466,7960,681,0 103,0,2024-09-07 10:05:11:602,178412,0.7,178349,0.8,336145,0.8,462179,2.25 103,1,2024-09-07 10:05:11:661,1191572,1191572,0,0,559568858757,5908852540,1172664,15282,3626,381,392077,0 103,2,2024-09-07 10:05:10:598,856012,856012,0,0,42271983,0,3766 103,3,2024-09-07 10:05:10:761,1,681,0,0,916,8064,681,0 104,0,2024-09-07 10:05:11:011,172999,0.7,173384,0.9,345910,0.7,462242,2.25 104,1,2024-09-07 10:05:11:613,1193703,1193703,0,0,559498702162,5883717702,1175280,14966,3457,365,392168,0 104,2,2024-09-07 10:05:11:669,857907,857907,0,0,41519828,0,4161 104,3,2024-09-07 10:05:11:416,1,681,7,0,1245,11697,681,0 105,0,2024-09-07 10:05:11:067,167128,0.8,162888,1.0,341424,0.9,448459,2.50 105,1,2024-09-07 10:05:10:575,1195876,1195876,0,0,560567194524,5872823126,1181113,12649,2114,364,392009,0 105,2,2024-09-07 10:05:11:326,862268,862268,0,0,42848453,0,4360 105,3,2024-09-07 10:05:11:312,1,681,5,0,573,10222,681,0 106,0,2024-09-07 10:05:10:953,161952,1.0,166062,1.0,339657,1.2,444160,2.50 106,1,2024-09-07 10:05:11:761,1193885,1193885,0,0,560378406984,5880825120,1177461,14274,2150,368,391914,0 106,2,2024-09-07 10:05:10:757,861553,861553,0,0,39523228,0,3331 106,3,2024-09-07 10:05:10:678,1,681,1,0,1224,9558,681,0 107,0,2024-09-07 10:05:11:133,172743,1.3,172744,1.1,345657,1.9,461607,2.25 107,1,2024-09-07 10:05:10:602,1191405,1191405,0,0,558246403920,5902268877,1172316,16833,2256,381,392234,0 107,2,2024-09-07 10:05:11:297,855585,855584,1,0,43046271,0,5024 107,3,2024-09-07 10:05:11:755,1,681,2,0,733,10835,681,0 108,0,2024-09-07 10:05:11:794,172971,0.4,173842,0.6,346597,0.4,462078,1.75 108,1,2024-09-07 10:05:11:339,1194910,1194910,0,0,561188259819,5862425717,1183450,10200,1260,367,391894,0 108,2,2024-09-07 10:05:11:778,859420,859420,0,0,38527860,0,4432 108,3,2024-09-07 10:05:11:332,1,681,15,0,767,12420,681,0 109,0,2024-09-07 10:05:11:777,171386,0.4,169983,0.6,341056,0.3,454833,1.75 109,1,2024-09-07 10:05:10:613,1193305,1193305,0,0,560204755457,5879808445,1182662,9139,1504,382,392132,0 109,2,2024-09-07 10:05:10:926,862142,862142,0,0,38540417,0,3617 109,3,2024-09-07 10:05:11:145,1,681,38,0,630,7878,681,0 110,0,2024-09-07 10:05:11:771,165576,0.4,160986,0.6,337105,0.3,442587,1.75 110,1,2024-09-07 10:05:11:645,1197378,1197378,0,0,562286921934,5841173184,1187918,7376,2084,368,392045,0 110,2,2024-09-07 10:05:11:304,862461,862461,0,0,37667380,0,4067 110,3,2024-09-07 10:05:10:703,1,681,8,0,722,9316,681,0 111,0,2024-09-07 10:05:11:425,172315,0.4,171535,0.6,342580,0.4,457556,1.75 111,1,2024-09-07 10:05:11:002,1198834,1198834,0,0,562746644842,5845387424,1191588,6740,506,380,391690,0 111,2,2024-09-07 10:05:11:116,861150,861150,0,0,37127716,0,4823 111,3,2024-09-07 10:05:10:913,1,681,28,0,379,7590,681,0 112,0,2024-09-07 10:05:10:914,173283,0.3,172855,0.4,346469,0.2,460376,1.50 112,1,2024-09-07 10:05:10:824,1197548,1197548,0,0,561996568605,5834216870,1189962,6361,1225,380,391624,0 112,2,2024-09-07 10:05:11:133,858542,858541,1,0,34768158,0,5036 112,3,2024-09-07 10:05:10:594,1,681,0,0,282,6806,681,0 113,0,2024-09-07 10:05:10:867,171854,0.3,172178,0.5,344706,0.2,458248,1.75 113,1,2024-09-07 10:05:11:688,1201323,1201323,0,0,564020987786,5819674989,1194415,5958,950,365,391664,0 113,2,2024-09-07 10:05:11:303,865598,865598,0,0,32046528,0,3813 113,3,2024-09-07 10:05:10:688,1,681,12,0,510,6972,681,0 114,0,2024-09-07 10:05:10:873,165760,0.3,166845,0.5,332790,0.2,444196,1.75 114,1,2024-09-07 10:05:10:722,1197303,1197303,0,0,562302546936,5839322429,1188476,7023,1804,381,391565,0 114,2,2024-09-07 10:05:10:872,865103,865102,1,0,34749943,0,5069 114,3,2024-09-07 10:05:11:278,1,681,3,0,415,5649,681,0 115,0,2024-09-07 10:05:10:573,171151,0.3,171772,0.4,341920,0.2,455530,1.50 115,1,2024-09-07 10:05:10:572,1198249,1198249,0,0,563685012862,5855936383,1188696,7762,1791,382,391757,0 115,2,2024-09-07 10:05:11:125,863212,863212,0,0,34631451,0,4382 115,3,2024-09-07 10:05:11:002,1,681,1,0,173,4400,681,0 116,0,2024-09-07 10:05:11:712,171775,0.8,171833,0.9,344329,0.8,460024,2.25 116,1,2024-09-07 10:05:10:803,1191646,1191646,0,0,560294161607,5903525850,1177592,10840,3214,380,392089,0 116,2,2024-09-07 10:05:11:753,857355,857355,0,0,41701568,0,4475 116,3,2024-09-07 10:05:10:930,1,681,1,0,448,8827,681,0 117,0,2024-09-07 10:05:11:028,173953,0.6,173653,0.8,347558,0.7,463964,2.00 117,1,2024-09-07 10:05:11:590,1194157,1194157,0,0,560598716817,5873345035,1180348,12046,1763,369,392429,0 117,2,2024-09-07 10:05:11:118,862205,862205,0,0,38379841,0,4303 117,3,2024-09-07 10:05:11:062,1,681,7,0,490,8414,681,0 118,0,2024-09-07 10:05:11:772,162964,0.5,167396,0.7,341486,0.5,446247,2.00 118,1,2024-09-07 10:05:10:592,1192555,1192555,0,0,559724127415,5884054741,1175060,13650,3845,366,392054,0 118,2,2024-09-07 10:05:11:586,863108,863108,0,0,40913825,0,3161 118,3,2024-09-07 10:05:11:767,1,681,2,0,343,8182,681,0 119,0,2024-09-07 10:05:11:359,168679,0.7,168992,0.8,337544,0.8,450498,2.25 119,1,2024-09-07 10:05:10:552,1193679,1193679,0,0,560653362916,5880325058,1177667,13345,2667,367,391857,0 119,2,2024-09-07 10:05:11:276,862418,862418,0,0,37891817,0,4309 119,3,2024-09-07 10:05:11:333,1,681,12,0,1358,12151,681,0 120,0,2024-09-07 10:05:11:546,171976,0.7,171888,0.9,345051,0.8,459995,2.50 120,1,2024-09-07 10:05:10:858,1194539,1194539,0,0,560407378320,5877811063,1181877,11505,1157,367,392144,0 120,2,2024-09-07 10:05:10:774,857013,857010,3,0,45634983,0,5363 120,3,2024-09-07 10:05:11:293,1,681,15,0,478,8831,681,0 121,0,2024-09-07 10:05:11:706,173844,1.1,173358,1.0,346942,1.5,462500,2.25 121,1,2024-09-07 10:05:11:661,1194095,1194095,0,0,560795607693,5872699214,1180580,11519,1996,366,391840,0 121,2,2024-09-07 10:05:11:126,859638,859638,0,0,41433834,0,4157 121,3,2024-09-07 10:05:10:734,1,681,3,0,387,9194,681,0 122,0,2024-09-07 10:05:11:761,169367,0.7,164752,0.8,344685,0.8,453263,2.00 122,1,2024-09-07 10:05:10:865,1193478,1193478,0,0,560427346157,5880516357,1177211,13690,2577,365,392130,0 122,2,2024-09-07 10:05:11:327,863502,863427,75,0,45672973,0,5989 122,3,2024-09-07 10:05:10:594,1,681,6,0,512,10474,681,0 123,0,2024-09-07 10:05:10:952,166114,0.8,161917,0.9,339477,0.9,444007,2.25 123,1,2024-09-07 10:05:10:567,1194413,1194413,0,0,560483385699,5895481340,1175330,16058,3025,369,392039,0 123,2,2024-09-07 10:05:11:023,860963,860962,1,0,39168438,0,5215 123,3,2024-09-07 10:05:11:144,1,681,2,0,478,7745,681,0 124,0,2024-09-07 10:05:10:927,176508,0.4,176473,0.5,332592,0.3,458499,1.75 124,1,2024-09-07 10:05:11:026,1197484,1197484,0,0,561918285694,5845847162,1187955,8270,1259,365,392178,0 124,2,2024-09-07 10:05:11:010,862340,862287,53,0,36406512,0,6487 124,3,2024-09-07 10:05:10:765,1,681,1,0,490,7157,681,0 125,0,2024-09-07 10:05:11:461,172570,0.4,172455,0.6,345592,0.3,460957,1.75 125,1,2024-09-07 10:05:10:862,1194656,1194656,0,0,560771312619,5862893467,1183620,9454,1582,382,392045,0 125,2,2024-09-07 10:05:11:122,860808,860808,0,0,35898989,0,4534 125,3,2024-09-07 10:05:11:137,1,681,10,0,709,7667,681,0 126,0,2024-09-07 10:05:11:428,171940,0.4,176720,0.5,337719,0.3,457597,1.75 126,1,2024-09-07 10:05:10:558,1198176,1198176,0,0,562500139602,5830929072,1190903,6571,702,365,391987,0 126,2,2024-09-07 10:05:10:613,864937,864937,0,0,37637124,0,4539 126,3,2024-09-07 10:05:10:909,1,681,3,0,268,7810,681,0 127,0,2024-09-07 10:05:11:626,165650,0.3,166070,0.5,331221,0.3,440704,1.75 127,1,2024-09-07 10:05:10:577,1196952,1196952,0,0,561875301686,5849348902,1184500,10676,1776,364,392187,0 127,2,2024-09-07 10:05:10:645,863821,863817,4,0,35585739,0,5305 127,3,2024-09-07 10:05:11:268,1,681,1,0,968,6767,681,0 128,0,2024-09-07 10:05:11:643,171622,0.3,171675,0.4,343326,0.2,456763,1.50 128,1,2024-09-07 10:05:11:612,1195914,1195914,0,0,562002601991,5843925331,1187049,7895,970,367,392423,0 128,2,2024-09-07 10:05:11:391,863634,863634,0,0,33077694,0,3171 128,3,2024-09-07 10:05:10:769,1,681,24,0,1082,10207,681,0 129,0,2024-09-07 10:05:11:004,173999,0.3,173368,0.5,347600,0.3,461633,1.75 129,1,2024-09-07 10:05:10:572,1193232,1193232,0,0,560486112937,5881060558,1180299,10604,2329,379,391962,0 129,2,2024-09-07 10:05:10:698,859722,859718,4,0,35630993,0,5335 129,3,2024-09-07 10:05:10:690,1,681,12,0,506,9848,681,0 130,0,2024-09-07 10:05:11:732,173553,0.4,173328,0.5,347039,0.4,461881,1.75 130,1,2024-09-07 10:05:10:584,1198143,1198143,0,0,562462594575,5841397622,1192303,5433,407,381,391825,0 130,2,2024-09-07 10:05:11:128,862511,862511,0,0,35466386,0,4067 130,3,2024-09-07 10:05:11:296,1,681,3,0,960,8876,681,0 131,0,2024-09-07 10:05:11:938,166476,0.3,166992,0.5,334884,0.3,444503,1.50 131,1,2024-09-07 10:05:11:825,1196826,1196826,0,0,561442168507,5851498112,1188689,6929,1208,381,391865,0 131,2,2024-09-07 10:05:10:579,864454,864454,0,0,33825340,0,3979 131,3,2024-09-07 10:05:11:688,1,681,9,0,392,8610,681,0 132,0,2024-09-07 10:05:11:442,170022,0.5,170943,0.6,340128,0.4,453253,2.00 132,1,2024-09-07 10:05:10:580,1192737,1192737,0,0,559943537098,5892607993,1176241,13714,2782,381,392532,0 132,2,2024-09-07 10:05:10:707,861818,861801,17,0,42383592,0,6451 132,3,2024-09-07 10:05:11:688,1,681,2,0,1298,11785,681,0 133,0,2024-09-07 10:05:11:524,168701,0.5,172730,0.6,354097,0.4,460560,2.00 133,1,2024-09-07 10:05:10:598,1192675,1192675,0,0,560070628944,5898702526,1177245,13496,1934,383,391914,0 133,2,2024-09-07 10:05:11:092,858516,858466,50,0,43315027,0,6861 133,3,2024-09-07 10:05:11:299,1,681,1,0,528,8002,681,0 134,0,2024-09-07 10:05:10:962,174978,0.5,174804,0.7,349576,0.5,465578,2.00 134,1,2024-09-07 10:05:10:595,1193808,1193808,0,0,560406741119,5881264144,1177728,12788,3292,366,391781,0 134,2,2024-09-07 10:05:11:755,859399,859260,139,0,40889654,0,7591 134,3,2024-09-07 10:05:10:750,1,681,1,0,739,8450,681,0 135,0,2024-09-07 10:05:11:100,163676,0.7,163709,0.8,347530,0.8,447814,2.25 135,1,2024-09-07 10:05:11:586,1193642,1193642,0,0,560366966880,5890643770,1179245,12545,1852,380,392038,0 135,2,2024-09-07 10:05:10:689,864548,864548,0,0,41545788,0,4503 135,3,2024-09-07 10:05:11:010,1,681,8,0,900,6590,681,0 136,0,2024-09-07 10:05:11:640,167954,0.6,168538,0.8,335020,0.6,447318,2.25 136,1,2024-09-07 10:05:11:444,1194823,1194823,0,0,560879262379,5877442784,1181997,11400,1426,381,392135,0 136,2,2024-09-07 10:05:11:145,863890,863875,15,0,40723427,0,6007 136,3,2024-09-07 10:05:11:106,1,681,1,0,637,8022,681,0 137,0,2024-09-07 10:05:10:954,178482,0.7,174008,0.8,340718,0.7,463228,2.00 137,1,2024-09-07 10:05:10:575,1193712,1193712,0,0,560641222698,5879852563,1175290,14785,3637,366,391898,0 137,2,2024-09-07 10:05:11:708,858274,858274,0,0,41699714,0,3185 137,3,2024-09-07 10:05:10:768,1,681,1,0,484,9752,681,0 138,0,2024-09-07 10:05:11:752,172373,0.9,172698,0.9,345871,1.2,460360,2.25 138,1,2024-09-07 10:05:11:688,1193329,1193329,0,0,560456885473,5883522110,1176198,14440,2691,368,391954,0 138,2,2024-09-07 10:05:10:595,857650,857650,0,0,40167707,0,4988 138,3,2024-09-07 10:05:10:612,1,681,1,0,1200,10336,681,0 139,0,2024-09-07 10:05:11:380,169306,0.9,169774,0.9,339625,1.2,453199,2.25 139,1,2024-09-07 10:05:10:577,1189600,1189600,0,0,557683249505,5910168124,1169706,15981,3913,380,392109,0 139,2,2024-09-07 10:05:10:697,858102,858072,30,0,45772111,0,5997 139,3,2024-09-07 10:05:11:668,1,681,7,0,432,8123,681,0 140,0,2024-09-07 10:05:11:590,166183,0.3,165503,0.5,331974,0.2,442776,1.75 140,1,2024-09-07 10:05:11:538,1200993,1200993,0,0,563319314806,5815989005,1194192,5998,803,364,391628,0 140,2,2024-09-07 10:05:10:696,862745,862744,1,0,34399826,0,5036 140,3,2024-09-07 10:05:10:770,1,681,1,0,575,6956,681,0 141,0,2024-09-07 10:05:11:721,172127,0.3,176781,0.5,337886,0.3,457115,1.75 141,1,2024-09-07 10:05:10:859,1198257,1198257,0,0,563048534555,5855091373,1187915,8949,1393,379,391614,0 141,2,2024-09-07 10:05:11:685,861630,861619,11,0,36940443,0,5369 141,3,2024-09-07 10:05:11:044,1,681,0,0,391,8100,681,0 142,0,2024-09-07 10:05:11:308,173440,0.3,172660,0.5,345779,0.3,461096,1.75 142,1,2024-09-07 10:05:10:584,1196092,1196092,0,0,562056106348,5867428608,1187046,8152,894,382,392102,0 142,2,2024-09-07 10:05:11:302,857231,857199,32,0,36461969,0,6028 142,3,2024-09-07 10:05:11:749,1,681,1,0,484,7025,681,0 143,0,2024-09-07 10:05:11:462,172010,0.4,171835,0.5,344769,0.4,458196,1.75 143,1,2024-09-07 10:05:10:563,1199048,1199048,0,0,562541135406,5841373262,1190659,7420,969,367,391900,0 143,2,2024-09-07 10:05:10:770,864409,864409,0,0,36103831,0,3123 143,3,2024-09-07 10:05:11:144,1,681,1,0,462,8053,681,0 144,0,2024-09-07 10:05:11:521,160675,0.6,165315,0.7,336412,0.5,440496,2.00 144,1,2024-09-07 10:05:10:578,1193008,1193008,0,0,560315228467,5879856621,1181269,9696,2043,381,391733,0 144,2,2024-09-07 10:05:11:757,864622,864622,0,0,35612422,0,4443 144,3,2024-09-07 10:05:11:750,1,681,3,0,306,7357,681,0 145,0,2024-09-07 10:05:11:357,164918,0.6,164918,0.8,350047,0.5,451051,2.25 145,1,2024-09-07 10:05:10:559,1192209,1192209,0,0,560109516883,5896199210,1176013,13229,2967,382,391781,0 145,2,2024-09-07 10:05:11:434,860426,860344,82,0,41488266,0,7814 145,3,2024-09-07 10:05:10:896,1,681,0,0,622,9160,681,0 146,0,2024-09-07 10:05:11:594,172359,0.7,171523,0.8,344837,0.7,458065,2.25 146,1,2024-09-07 10:05:11:586,1193909,1193909,0,0,559751412092,5889566488,1174777,14208,4924,367,391829,0 146,2,2024-09-07 10:05:11:697,855739,855733,6,0,39618133,0,5151 146,3,2024-09-07 10:05:11:274,1,681,0,0,1520,10868,681,0 147,0,2024-09-07 10:05:11:695,173943,0.6,173734,0.7,347282,0.5,463021,2.00 147,1,2024-09-07 10:05:11:382,1197653,1197653,0,0,562323071668,5848096877,1187700,8855,1098,367,391791,0 147,2,2024-09-07 10:05:11:012,860113,860113,0,0,37488788,0,4531 147,3,2024-09-07 10:05:10:936,1,681,0,0,1626,10350,681,0 0,0,2024-09-07 10:05:21:706,168019,0.7,167970,0.8,356586,0.8,460689,2.00 0,1,2024-09-07 10:05:20:807,1196749,1196749,0,0,561672378895,5880458819,1187082,8705,962,368,391896,0 0,2,2024-09-07 10:05:21:067,859943,859943,0,0,35083917,0,4480 0,3,2024-09-07 10:05:20:978,1,682,7,0,538,9854,682,0 1,0,2024-09-07 10:05:21:751,174055,0.8,173055,0.9,347276,1.0,464715,2.00 1,1,2024-09-07 10:05:20:562,1195630,1195630,0,0,561221860467,5881518117,1184243,9418,1969,370,391859,0 1,2,2024-09-07 10:05:20:639,862387,862387,0,0,34490985,0,3380 1,3,2024-09-07 10:05:21:302,1,682,1,0,269,8157,682,0 2,0,2024-09-07 10:05:21:569,170113,0.6,169893,0.7,339553,0.6,452926,2.00 2,1,2024-09-07 10:05:20:862,1199764,1199764,0,0,563139375111,5856985452,1192834,5969,961,379,391805,0 2,2,2024-09-07 10:05:21:272,865726,865726,0,0,33610239,0,3594 2,3,2024-09-07 10:05:20:691,1,682,0,0,357,6083,682,0 3,0,2024-09-07 10:05:21:744,167378,0.4,167196,0.6,334172,0.4,445373,2.00 3,1,2024-09-07 10:05:21:623,1197415,1197415,0,0,561799018973,5844640302,1188902,7795,718,379,391716,0 3,2,2024-09-07 10:05:21:142,865299,865276,23,0,34328133,0,5851 3,3,2024-09-07 10:05:21:752,1,682,1,0,484,5195,682,0 4,0,2024-09-07 10:05:21:765,167113,0.4,171690,0.5,350226,0.4,458480,1.75 4,1,2024-09-07 10:05:20:605,1192174,1192174,0,0,559799998093,5922323825,1172294,15279,4601,369,391992,0 4,2,2024-09-07 10:05:21:025,858754,858754,0,0,41637833,0,4534 4,3,2024-09-07 10:05:21:031,1,682,1,0,448,9087,682,0 5,0,2024-09-07 10:05:21:377,173076,0.4,172827,0.6,346001,0.4,461194,1.75 5,1,2024-09-07 10:05:20:759,1193757,1193757,0,0,560013418801,5908943289,1176107,13414,4236,367,392005,0 5,2,2024-09-07 10:05:21:829,856497,856464,33,0,41827287,0,7631 5,3,2024-09-07 10:05:21:735,1,682,1,0,457,9032,682,0 6,0,2024-09-07 10:05:20:918,171582,0.5,171125,0.6,342496,0.4,456107,2.00 6,1,2024-09-07 10:05:20:751,1196558,1196558,0,0,561886111466,5867162318,1184395,10478,1685,379,391702,0 6,2,2024-09-07 10:05:21:116,864967,864949,18,0,38987851,0,5535 6,3,2024-09-07 10:05:21:274,1,682,1,0,710,7931,682,0 7,0,2024-09-07 10:05:21:534,165005,0.5,166141,0.7,329893,0.5,439960,2.00 7,1,2024-09-07 10:05:20:854,1194440,1194440,0,0,560748204151,5900968371,1176607,13696,4137,382,391747,0 7,2,2024-09-07 10:05:20:774,862686,862517,169,0,39789596,0,7706 7,3,2024-09-07 10:05:20:851,1,682,1,0,552,7986,682,0 8,0,2024-09-07 10:05:21:352,171722,0.4,171337,0.5,343094,0.4,457810,1.75 8,1,2024-09-07 10:05:21:045,1193248,1193248,0,0,561323258839,5903954158,1174395,14808,4045,366,392853,0 8,2,2024-09-07 10:05:20:793,857306,857304,2,0,44834205,0,5112 8,3,2024-09-07 10:05:20:594,1,682,17,0,772,10295,682,0 9,0,2024-09-07 10:05:21:114,172954,0.4,167961,0.5,351591,0.4,461293,1.75 9,1,2024-09-07 10:05:20:550,1192723,1192723,0,0,560311372883,5910586134,1173058,15315,4350,369,392001,0 9,2,2024-09-07 10:05:21:083,857212,857211,1,0,42738664,0,5281 9,3,2024-09-07 10:05:21:752,1,682,2,0,1273,11019,682,0 10,0,2024-09-07 10:05:21:601,172850,0.4,172366,0.5,345488,0.3,459597,1.75 10,1,2024-09-07 10:05:20:588,1194580,1194580,0,0,560847569251,5887973539,1176521,14325,3734,381,391981,0 10,2,2024-09-07 10:05:20:766,863077,863077,0,0,45924597,0,4713 10,3,2024-09-07 10:05:20:871,1,682,1,0,669,7337,682,0 11,0,2024-09-07 10:05:21:008,166344,0.4,161493,0.6,337912,0.4,444592,1.75 11,1,2024-09-07 10:05:20:582,1197223,1197223,0,0,561297743876,5886916430,1180338,12575,4310,383,391766,0 11,2,2024-09-07 10:05:21:124,863633,863633,0,0,39678239,0,4698 11,3,2024-09-07 10:05:21:300,1,682,0,0,843,8371,682,0 12,0,2024-09-07 10:05:20:974,171333,0.4,170999,0.6,341687,0.4,455001,1.75 12,1,2024-09-07 10:05:20:953,1196237,1196237,0,0,561594668933,5861697078,1186390,8820,1027,368,391960,0 12,2,2024-09-07 10:05:21:553,861186,861186,0,0,39604780,0,4390 12,3,2024-09-07 10:05:21:059,1,682,2,0,386,8514,682,0 13,0,2024-09-07 10:05:21:335,173943,0.4,174147,0.6,347663,0.4,462592,1.75 13,1,2024-09-07 10:05:21:524,1193638,1193638,0,0,560122772199,5898547556,1179492,11067,3079,382,391803,0 13,2,2024-09-07 10:05:20:596,860453,860453,0,0,35734974,0,3287 13,3,2024-09-07 10:05:21:761,1,682,7,0,522,9224,682,0 14,0,2024-09-07 10:05:20:574,174335,0.4,175409,0.6,348871,0.4,463981,1.75 14,1,2024-09-07 10:05:21:561,1202216,1202216,0,0,563914191725,5835898274,1192963,8103,1150,364,391673,0 14,2,2024-09-07 10:05:20:774,861964,861934,30,0,36973740,0,6104 14,3,2024-09-07 10:05:21:115,1,682,1,0,1168,7122,682,0 15,0,2024-09-07 10:05:21:556,169253,0.4,168341,0.6,337108,0.3,449713,1.75 15,1,2024-09-07 10:05:21:611,1198153,1198153,0,0,562799343941,5868103434,1187291,8767,2095,380,391619,0 15,2,2024-09-07 10:05:21:001,866952,866952,0,0,33063694,0,3622 15,3,2024-09-07 10:05:21:412,1,682,1,0,1126,8623,682,0 16,0,2024-09-07 10:05:20:958,167880,0.6,168707,0.8,336574,0.7,448592,2.00 16,1,2024-09-07 10:05:20:584,1198067,1198067,0,0,562329139825,5879482162,1187335,9188,1544,370,392194,0 16,2,2024-09-07 10:05:21:440,863236,863236,0,0,35983001,0,4719 16,3,2024-09-07 10:05:21:150,1,682,5,0,362,8508,682,0 17,0,2024-09-07 10:05:21:785,178728,0.7,174215,0.8,341158,0.7,463791,2.00 17,1,2024-09-07 10:05:20:582,1196433,1196433,0,0,561299354833,5884660893,1185357,9278,1798,368,392075,0 17,2,2024-09-07 10:05:21:670,862910,862909,1,0,37092226,0,5050 17,3,2024-09-07 10:05:20:588,1,682,1,0,518,9803,682,0 18,0,2024-09-07 10:05:20:939,171861,0.6,173016,0.8,344246,0.6,460126,2.25 18,1,2024-09-07 10:05:21:638,1201037,1201037,0,0,563329266972,5844875039,1192871,6736,1430,367,391725,0 18,2,2024-09-07 10:05:21:755,862918,862918,0,0,33608582,0,3541 18,3,2024-09-07 10:05:20:899,1,682,1,0,1059,6273,682,0 19,0,2024-09-07 10:05:21:538,170035,0.6,170563,0.8,340066,0.6,451787,2.00 19,1,2024-09-07 10:05:20:581,1199986,1199986,0,0,564150326538,5846274917,1191143,7683,1160,365,391777,0 19,2,2024-09-07 10:05:21:755,868019,868019,0,0,31308514,0,3988 19,3,2024-09-07 10:05:21:130,1,682,1,0,524,5476,682,0 20,0,2024-09-07 10:05:21:354,166046,0.5,165952,0.7,331705,0.5,443144,2.00 20,1,2024-09-07 10:05:20:594,1195636,1195636,0,0,561377701157,5884561777,1183379,10553,1704,369,391922,0 20,2,2024-09-07 10:05:20:936,863378,863378,0,0,39616843,0,4321 20,3,2024-09-07 10:05:20:594,1,682,1,0,468,11534,682,0 21,0,2024-09-07 10:05:21:149,171812,0.5,171917,0.7,343719,0.5,456438,2.00 21,1,2024-09-07 10:05:21:544,1193506,1193506,0,0,559736241513,5908151945,1174757,14760,3989,368,392016,0 21,2,2024-09-07 10:05:21:068,856290,855813,477,0,50794836,0,17074 21,3,2024-09-07 10:05:21:404,1,682,1,0,713,9054,682,0 22,0,2024-09-07 10:05:21:722,172646,0.5,173320,0.7,346283,0.4,459828,2.00 22,1,2024-09-07 10:05:21:023,1194606,1194606,0,0,561006100591,5901623762,1175568,15435,3603,381,391822,0 22,2,2024-09-07 10:05:20:759,858367,858341,26,0,37668622,0,6328 22,3,2024-09-07 10:05:21:066,1,682,5,0,228,5618,682,0 23,0,2024-09-07 10:05:21:371,171734,0.5,171568,0.7,343111,0.4,457189,2.00 23,1,2024-09-07 10:05:21:005,1196303,1196303,0,0,561701228211,5914009606,1175059,14421,6823,365,391690,0 23,2,2024-09-07 10:05:21:106,864896,864896,0,0,35878564,0,3773 23,3,2024-09-07 10:05:21:756,1,682,1,0,855,9697,682,0 24,0,2024-09-07 10:05:20:887,166961,0.4,165925,0.5,333611,0.3,443377,1.75 24,1,2024-09-07 10:05:20:582,1194687,1194687,0,0,560837080768,5871625389,1183101,9712,1874,367,392269,0 24,2,2024-09-07 10:05:21:069,863944,863941,3,0,42477880,0,6294 24,3,2024-09-07 10:05:21:688,1,682,1,0,468,8967,682,0 25,0,2024-09-07 10:05:21:342,175526,0.4,170496,0.6,335686,0.4,457527,2.00 25,1,2024-09-07 10:05:20:558,1193589,1193589,0,0,560693415150,5924200708,1172857,16738,3994,369,391928,0 25,2,2024-09-07 10:05:21:607,860395,860395,0,0,44097487,0,3978 25,3,2024-09-07 10:05:21:002,1,682,1,0,532,7511,682,0 26,0,2024-09-07 10:05:21:724,171948,0.5,168021,0.6,352553,0.4,459740,2.00 26,1,2024-09-07 10:05:21:541,1196632,1196632,0,0,561147362169,5874869004,1180928,12801,2903,380,391758,0 26,2,2024-09-07 10:05:20:861,858357,858357,0,0,45333564,0,4689 26,3,2024-09-07 10:05:21:713,1,682,1,0,796,8555,682,0 27,0,2024-09-07 10:05:21:724,173847,0.4,174538,0.6,347043,0.4,462864,2.25 27,1,2024-09-07 10:05:21:676,1198422,1198422,0,0,563428879656,5871784610,1187141,9660,1621,381,391626,0 27,2,2024-09-07 10:05:20:869,858712,858647,65,0,40202698,0,5699 27,3,2024-09-07 10:05:21:025,1,682,3,0,564,5896,682,0 28,0,2024-09-07 10:05:21:395,168182,0.4,168435,0.6,336761,0.3,447848,1.75 28,1,2024-09-07 10:05:20:801,1198742,1198742,0,0,563011860206,5877823534,1187277,9038,2427,382,391904,0 28,2,2024-09-07 10:05:21:768,864988,864988,0,0,37612587,0,4060 28,3,2024-09-07 10:05:21:779,1,682,34,0,502,7201,682,0 29,0,2024-09-07 10:05:21:369,174036,0.4,169389,0.6,332493,0.4,453751,1.75 29,1,2024-09-07 10:05:21:562,1201727,1201727,0,0,564181062779,5840844868,1193809,6898,1020,367,391809,0 29,2,2024-09-07 10:05:20:861,862878,862878,0,0,34988828,0,4986 29,3,2024-09-07 10:05:20:967,1,682,52,0,590,7808,682,0 30,0,2024-09-07 10:05:21:455,171873,0.6,167259,0.7,350569,0.5,457583,2.00 30,1,2024-09-07 10:05:20:584,1200675,1200675,0,0,564072961156,5859604878,1190866,8641,1168,380,391672,0 30,2,2024-09-07 10:05:21:274,860147,860147,0,0,33862637,0,4192 30,3,2024-09-07 10:05:20:587,1,682,1,0,519,7188,682,0 31,0,2024-09-07 10:05:21:769,173811,0.5,174613,0.7,348298,0.4,464767,2.00 31,1,2024-09-07 10:05:20:578,1204628,1204628,0,0,564976151217,5806050234,1196700,6660,1268,356,391712,0 31,2,2024-09-07 10:05:21:278,861127,861127,0,0,37294861,0,4470 31,3,2024-09-07 10:05:21:706,1,682,1,0,248,6102,682,0 32,0,2024-09-07 10:05:21:421,170251,0.3,171300,0.5,341259,0.2,454319,1.75 32,1,2024-09-07 10:05:20:805,1200332,1200332,0,0,563675026966,5860354406,1192846,6521,965,381,391646,0 32,2,2024-09-07 10:05:20:936,867645,867645,0,0,32535801,0,3922 32,3,2024-09-07 10:05:21:017,1,682,4,0,304,5818,682,0 33,0,2024-09-07 10:05:21:494,168138,0.3,167640,0.4,335346,0.2,446740,1.50 33,1,2024-09-07 10:05:20:579,1201693,1201693,0,0,563757428477,5838547585,1192347,7994,1352,368,391730,0 33,2,2024-09-07 10:05:20:766,864321,864286,35,0,36115943,0,7012 33,3,2024-09-07 10:05:20:898,1,682,2,0,329,6373,682,0 34,0,2024-09-07 10:05:20:929,172497,0.3,177257,0.5,339060,0.2,458274,1.75 34,1,2024-09-07 10:05:21:045,1204145,1204145,0,0,565572027810,5820677131,1200146,3790,209,367,391637,0 34,2,2024-09-07 10:05:20:774,862273,862273,0,0,33697631,0,4562 34,3,2024-09-07 10:05:21:688,1,682,12,0,541,6019,682,0 35,0,2024-09-07 10:05:20:860,172391,0.3,172983,0.5,346993,0.2,462151,1.75 35,1,2024-09-07 10:05:21:068,1199781,1199781,0,0,563246014769,5845356352,1190843,7386,1552,382,391769,0 35,2,2024-09-07 10:05:21:583,860355,860355,0,0,38962162,0,4055 35,3,2024-09-07 10:05:20:911,1,682,1,0,466,6554,682,0 36,0,2024-09-07 10:05:21:536,172178,0.5,172273,0.6,344207,0.4,458040,2.00 36,1,2024-09-07 10:05:20:583,1198481,1198481,0,0,562960983156,5877917341,1183707,12420,2354,366,391759,0 36,2,2024-09-07 10:05:21:754,864860,864860,0,0,39048666,0,3875 36,3,2024-09-07 10:05:20:863,1,682,1,0,556,8750,682,0 37,0,2024-09-07 10:05:21:375,164964,0.5,164967,0.7,330403,0.5,440570,2.25 37,1,2024-09-07 10:05:20:581,1197705,1197698,0,7,562281350218,5871799917,1183518,11066,3114,365,391770,0 37,2,2024-09-07 10:05:21:142,862108,862093,15,0,39185727,0,5815 37,3,2024-09-07 10:05:21:771,1,682,1,0,888,9162,682,0 38,0,2024-09-07 10:05:21:446,170644,0.5,165352,0.7,345914,0.4,453735,2.00 38,1,2024-09-07 10:05:21:607,1198024,1198024,0,0,563141423508,5887401041,1181196,13524,3304,368,391821,0 38,2,2024-09-07 10:05:20:759,862829,862782,47,0,39205323,0,6710 38,3,2024-09-07 10:05:21:000,1,682,2,0,689,7896,682,0 39,0,2024-09-07 10:05:21:765,176244,0.6,172250,0.7,336202,0.5,458724,2.00 39,1,2024-09-07 10:05:20:716,1196562,1196562,0,0,561014101383,5882173509,1176950,15379,4233,365,391865,0 39,2,2024-09-07 10:05:21:417,858786,858786,0,0,37295267,0,3478 39,3,2024-09-07 10:05:20:713,1,682,1,0,525,7622,682,0 40,0,2024-09-07 10:05:21:506,171324,0.8,171946,1.0,343381,0.9,458090,2.75 40,1,2024-09-07 10:05:20:578,1196657,1196657,0,0,561285157051,5885220282,1177856,15218,3583,366,391668,0 40,2,2024-09-07 10:05:21:302,860619,860612,7,0,43470978,0,5347 40,3,2024-09-07 10:05:21:143,1,682,1,0,1028,9747,682,0 41,0,2024-09-07 10:05:21:027,165657,1.2,169529,1.1,323325,1.6,438719,3.00 41,1,2024-09-07 10:05:20:768,1196136,1196136,0,0,562418491922,5883458819,1180428,13183,2525,369,391878,0 41,2,2024-09-07 10:05:20:769,861130,861129,1,0,42397622,0,5408 41,3,2024-09-07 10:05:21:679,1,682,0,0,749,8420,682,0 42,0,2024-09-07 10:05:21:474,168828,1.0,168633,1.0,337788,1.1,448312,2.75 42,1,2024-09-07 10:05:21:441,1194481,1194481,0,0,560484738623,5885428722,1176880,14517,3084,380,391675,0 42,2,2024-09-07 10:05:21:133,859863,859862,1,0,43647532,0,5513 42,3,2024-09-07 10:05:21:008,1,682,1,0,892,6959,682,0 43,0,2024-09-07 10:05:20:918,170736,0.8,166121,1.0,348093,0.9,455099,2.25 43,1,2024-09-07 10:05:20:581,1197379,1197379,0,0,563302389075,5885283255,1181273,13358,2748,365,391696,0 43,2,2024-09-07 10:05:21:736,859497,859497,0,0,39957463,0,4723 43,3,2024-09-07 10:05:21:749,1,682,2,0,571,9279,682,0 44,0,2024-09-07 10:05:20:878,174520,0.4,174795,0.6,348863,0.4,464973,1.75 44,1,2024-09-07 10:05:20:571,1200578,1200578,0,0,563062153184,5825744677,1189254,9173,2151,356,391809,0 44,2,2024-09-07 10:05:21:267,860412,860412,0,0,33567738,0,4344 44,3,2024-09-07 10:05:21:096,1,682,0,0,1097,8738,682,0 45,0,2024-09-07 10:05:21:763,167445,0.4,163607,0.7,343185,0.4,449779,2.00 45,1,2024-09-07 10:05:21:005,1199995,1199995,0,0,563817094310,5862869581,1190449,8325,1221,382,391917,0 45,2,2024-09-07 10:05:21:267,866084,866084,0,0,33783922,0,3596 45,3,2024-09-07 10:05:20:934,1,682,9,0,531,6453,682,0 46,0,2024-09-07 10:05:20:949,167356,0.5,166782,0.7,334641,0.5,445554,2.00 46,1,2024-09-07 10:05:20:581,1201996,1201996,0,0,564417670991,5841732510,1192724,7791,1481,366,391709,0 46,2,2024-09-07 10:05:20:595,864858,864858,0,0,34600214,0,4443 46,3,2024-09-07 10:05:21:131,1,682,2,0,908,7599,682,0 47,0,2024-09-07 10:05:21:112,173993,0.5,173516,0.6,348580,0.5,461955,2.00 47,1,2024-09-07 10:05:20:581,1203263,1203263,0,0,563900846873,5830207011,1195757,6493,1013,364,391666,0 47,2,2024-09-07 10:05:20:912,862997,862997,0,0,34961993,0,4477 47,3,2024-09-07 10:05:21:122,1,682,1,0,600,7441,682,0 48,0,2024-09-07 10:05:21:494,173922,0.3,174482,0.4,347642,0.2,462990,1.50 48,1,2024-09-07 10:05:21:023,1200141,1200141,0,0,562782774231,5848441707,1192528,6601,1012,381,391710,0 48,2,2024-09-07 10:05:20:699,861425,861425,0,0,31720490,0,3619 48,3,2024-09-07 10:05:20:754,1,682,1,0,339,5946,682,0 49,0,2024-09-07 10:05:21:721,175651,0.3,171959,0.5,334542,0.3,456384,1.75 49,1,2024-09-07 10:05:21:021,1199230,1199230,0,0,563356403256,5858658693,1191366,6166,1698,382,391809,0 49,2,2024-09-07 10:05:21:797,866891,866891,0,0,33335484,0,4426 49,3,2024-09-07 10:05:21:415,1,682,22,0,992,8015,682,0 50,0,2024-09-07 10:05:21:507,166633,0.3,165239,0.5,332733,0.2,443008,1.75 50,1,2024-09-07 10:05:21:009,1202348,1202348,0,0,564560995449,5837445935,1194797,6819,732,368,391691,0 50,2,2024-09-07 10:05:21:073,862841,862841,0,0,31246518,0,4490 50,3,2024-09-07 10:05:21:292,1,682,0,0,617,7128,682,0 51,0,2024-09-07 10:05:21:683,176453,0.3,172634,0.5,336301,0.2,458765,1.75 51,1,2024-09-07 10:05:21:681,1202972,1202972,0,0,565599361316,5833151668,1196273,5355,1344,365,391706,0 51,2,2024-09-07 10:05:21:320,862738,862738,0,0,31017595,0,3337 51,3,2024-09-07 10:05:21:029,1,682,0,0,678,5450,682,0 52,0,2024-09-07 10:05:21:418,172851,0.5,172832,0.7,345240,0.4,459465,2.00 52,1,2024-09-07 10:05:20:578,1197400,1197400,0,0,561960257502,5881071655,1180655,14318,2427,368,391805,0 52,2,2024-09-07 10:05:21:755,856004,855966,38,0,42070014,0,6742 52,3,2024-09-07 10:05:20:679,1,682,1,0,1782,7896,682,0 53,0,2024-09-07 10:05:21:728,171464,0.6,166392,0.8,348112,0.7,455649,2.25 53,1,2024-09-07 10:05:20:775,1196060,1196060,0,0,562446026187,5896477624,1176909,14738,4413,367,391968,0 53,2,2024-09-07 10:05:21:300,864450,864312,138,0,38622751,0,7690 53,3,2024-09-07 10:05:20:697,1,682,1,0,308,6445,682,0 54,0,2024-09-07 10:05:21:615,164144,0.6,164530,0.7,327717,0.5,437728,2.25 54,1,2024-09-07 10:05:20:585,1199208,1199208,0,0,563996032167,5863348067,1187432,10102,1674,366,391810,0 54,2,2024-09-07 10:05:20:865,864707,864675,32,0,41864603,0,6397 54,3,2024-09-07 10:05:20:765,1,682,1,0,676,8792,682,0 55,0,2024-09-07 10:05:21:764,164917,0.7,169933,0.8,344964,0.6,449913,2.50 55,1,2024-09-07 10:05:20:766,1199653,1199653,0,0,562810927241,5852443955,1187630,10536,1487,365,391731,0 55,2,2024-09-07 10:05:20:729,861735,861679,56,0,38331031,0,7239 55,3,2024-09-07 10:05:20:679,1,682,1,0,304,6255,682,0 56,0,2024-09-07 10:05:21:567,175756,1.2,165611,1.2,341368,1.6,456922,2.75 56,1,2024-09-07 10:05:20:591,1192262,1192262,0,0,560434911650,5925895164,1172771,15737,3754,381,391867,0 56,2,2024-09-07 10:05:21:303,857228,857106,122,0,40950087,0,7432 56,3,2024-09-07 10:05:21:072,1,682,22,0,705,8198,682,0 57,0,2024-09-07 10:05:21:017,172008,1.4,171981,1.2,343515,1.8,459034,3.00 57,1,2024-09-07 10:05:21:014,1195313,1195313,0,0,560814032763,5884138268,1179609,13002,2702,366,392032,0 57,2,2024-09-07 10:05:21:320,861388,861388,0,0,43907693,0,4804 57,3,2024-09-07 10:05:21:738,1,682,1,0,455,7382,682,0 58,0,2024-09-07 10:05:20:560,165743,0.9,160922,1.0,336803,1.1,440242,2.50 58,1,2024-09-07 10:05:20:582,1196161,1196158,0,3,561841863933,5892439774,1177799,13958,4401,367,391726,3 58,2,2024-09-07 10:05:21:081,865282,865282,0,0,39947808,0,3483 58,3,2024-09-07 10:05:21:069,1,682,0,0,1043,7436,682,0 59,0,2024-09-07 10:05:21:739,168068,0.9,167253,1.0,334522,1.0,444362,2.75 59,1,2024-09-07 10:05:20:806,1195843,1195843,0,0,561425148399,5898716878,1176358,15647,3838,369,391653,0 59,2,2024-09-07 10:05:20:587,863197,863197,0,0,38058426,0,3727 59,3,2024-09-07 10:05:21:737,1,682,2,0,1015,8044,682,0 60,0,2024-09-07 10:05:21:714,172748,0.6,172960,0.7,345819,0.5,461170,2.00 60,1,2024-09-07 10:05:20:798,1199512,1199512,0,0,563658904700,5872002620,1188585,9425,1502,370,392031,0 60,2,2024-09-07 10:05:21:145,860337,860337,0,0,36270187,0,3811 60,3,2024-09-07 10:05:21:258,1,682,1,0,409,7882,682,0 61,0,2024-09-07 10:05:21:523,173934,0.6,174684,0.8,347946,0.6,464218,2.00 61,1,2024-09-07 10:05:20:782,1196741,1196741,0,0,561772410185,5884219617,1184070,10820,1851,382,392127,0 61,2,2024-09-07 10:05:21:124,861996,861929,67,0,37258858,0,6411 61,3,2024-09-07 10:05:21:694,1,682,6,0,607,8496,682,0 62,0,2024-09-07 10:05:21:708,170876,0.5,175226,0.7,334531,0.5,454346,2.00 62,1,2024-09-07 10:05:21:117,1204035,1204029,0,6,565305344848,5837361931,1196777,6635,617,365,391975,6 62,2,2024-09-07 10:05:21:644,863237,863236,1,0,37024276,0,5555 62,3,2024-09-07 10:05:21:148,1,682,13,0,482,5398,682,0 63,0,2024-09-07 10:05:21:458,167950,0.4,167682,0.6,335987,0.3,446791,1.75 63,1,2024-09-07 10:05:20:808,1201218,1201212,0,6,564166820275,5861940747,1193301,6961,950,381,391800,6 63,2,2024-09-07 10:05:20:765,863125,863125,0,0,36139975,0,4369 63,3,2024-09-07 10:05:21:737,1,682,5,0,667,8055,682,0 64,0,2024-09-07 10:05:21:520,171535,0.5,171373,0.7,342445,0.5,456760,2.00 64,1,2024-09-07 10:05:20:751,1199393,1199393,0,0,563639159565,5868585065,1189191,8176,2026,370,391794,0 64,2,2024-09-07 10:05:21:146,864541,864522,19,0,34700520,0,6121 64,3,2024-09-07 10:05:21:145,1,682,81,0,651,7833,682,0 65,0,2024-09-07 10:05:21:718,171664,0.6,171844,0.7,343285,0.6,458324,2.00 65,1,2024-09-07 10:05:20:860,1197633,1197633,0,0,561893125922,5872262216,1189391,7344,898,381,391901,0 65,2,2024-09-07 10:05:21:700,858813,858813,0,0,41302413,0,3367 65,3,2024-09-07 10:05:21:684,1,682,9,0,782,8056,682,0 66,0,2024-09-07 10:05:21:777,171402,0.5,170770,0.7,341669,0.5,454569,2.00 66,1,2024-09-07 10:05:21:294,1199399,1199399,0,0,562985134695,5859614280,1191905,6621,873,380,391743,0 66,2,2024-09-07 10:05:21:130,867406,867403,3,0,36269373,0,5455 66,3,2024-09-07 10:05:21:087,1,682,1,0,291,6131,682,0 67,0,2024-09-07 10:05:21:425,165675,0.5,165301,0.7,331224,0.5,441077,2.00 67,1,2024-09-07 10:05:20:774,1199425,1199424,0,1,562245687148,5855067568,1190990,7294,1140,380,391787,1 67,2,2024-09-07 10:05:20:583,866633,866618,15,0,36561551,0,6205 67,3,2024-09-07 10:05:21:749,1,682,4,0,595,7281,682,0 68,0,2024-09-07 10:05:20:573,171453,0.6,171195,0.8,341031,0.6,456556,2.25 68,1,2024-09-07 10:05:20:752,1195099,1195099,0,0,560866879590,5901889803,1178375,12453,4271,381,391953,0 68,2,2024-09-07 10:05:21:050,858359,858259,100,0,44788910,0,8578 68,3,2024-09-07 10:05:20:728,1,682,8,0,417,9627,682,0 69,0,2024-09-07 10:05:21:741,172154,0.7,172594,0.8,344479,0.8,458649,2.25 69,1,2024-09-07 10:05:21:029,1194153,1194153,0,0,560463889962,5904377439,1179266,11905,2982,383,391994,0 69,2,2024-09-07 10:05:21:745,856059,856030,29,0,47598048,0,6912 69,3,2024-09-07 10:05:20:767,1,682,2,0,698,10336,682,0 70,0,2024-09-07 10:05:21:530,171037,0.7,171735,0.9,344383,0.6,456621,2.50 70,1,2024-09-07 10:05:20:812,1200755,1200755,0,0,564363556310,5858064317,1191308,8402,1045,366,391725,0 70,2,2024-09-07 10:05:21:326,863454,863454,0,0,39229065,0,4323 70,3,2024-09-07 10:05:20:749,1,682,1,0,854,7666,682,0 71,0,2024-09-07 10:05:21:376,165616,0.8,165138,1.0,331273,1.0,441329,2.50 71,1,2024-09-07 10:05:21:599,1198644,1198644,0,0,562022125288,5865002112,1184213,12645,1786,367,391738,0 71,2,2024-09-07 10:05:21:066,863599,863599,0,0,39666632,0,4352 71,3,2024-09-07 10:05:21:750,1,682,1,0,644,7569,682,0 72,0,2024-09-07 10:05:21:110,175454,0.6,171360,0.7,334786,0.5,455750,2.00 72,1,2024-09-07 10:05:21:049,1196805,1196805,0,0,561685071053,5890563420,1179954,14118,2733,369,391819,0 72,2,2024-09-07 10:05:21:755,861047,861047,0,0,41596919,0,3983 72,3,2024-09-07 10:05:21:756,1,682,5,0,564,9491,682,0 73,0,2024-09-07 10:05:21:189,168825,0.5,173026,0.6,353508,0.4,460216,2.00 73,1,2024-09-07 10:05:20:794,1198533,1198533,0,0,562819803739,5851969102,1189011,8460,1062,367,391858,0 73,2,2024-09-07 10:05:21:744,858392,858391,1,0,43541329,0,5027 73,3,2024-09-07 10:05:20:971,1,682,2,0,1091,9267,682,0 74,0,2024-09-07 10:05:21:332,175378,0.5,179671,0.7,342774,0.4,465329,2.00 74,1,2024-09-07 10:05:20:638,1197082,1197082,0,0,562219342302,5867289477,1184724,10210,2148,381,391762,0 74,2,2024-09-07 10:05:21:009,860838,860838,0,0,37835075,0,4253 74,3,2024-09-07 10:05:21:446,1,682,1,0,522,7881,682,0 75,0,2024-09-07 10:05:21:764,169339,0.5,168371,0.7,337919,0.4,451175,2.00 75,1,2024-09-07 10:05:21:585,1198583,1198583,0,0,562167946942,5859001075,1188704,8886,993,380,391739,0 75,2,2024-09-07 10:05:21:358,863941,863941,0,0,45033898,0,4766 75,3,2024-09-07 10:05:21:067,1,682,2,0,918,9480,682,0 76,0,2024-09-07 10:05:20:594,167568,0.6,166986,0.8,333773,0.6,447051,2.25 76,1,2024-09-07 10:05:20:828,1198175,1198175,0,0,561747611663,5862953254,1189285,7581,1309,382,391790,0 76,2,2024-09-07 10:05:21:068,865785,865782,3,0,37937765,0,5265 76,3,2024-09-07 10:05:21:141,1,682,0,0,249,6283,682,0 77,0,2024-09-07 10:05:21:718,172864,0.6,173199,0.7,346753,0.6,460922,2.00 77,1,2024-09-07 10:05:20:858,1197471,1197471,0,0,561961579892,5870934818,1187200,9183,1088,381,391869,0 77,2,2024-09-07 10:05:21:295,859588,859588,0,0,36595966,0,3890 77,3,2024-09-07 10:05:21:111,1,682,11,0,401,7800,682,0 78,0,2024-09-07 10:05:21:717,174205,0.4,173459,0.6,348301,0.4,461097,2.00 78,1,2024-09-07 10:05:20:613,1197331,1197331,0,0,561645156023,5864812677,1181802,12197,3332,367,391670,0 78,2,2024-09-07 10:05:21:418,861808,861795,13,0,34269707,0,8313 78,3,2024-09-07 10:05:21:136,1,682,1,0,311,5942,682,0 79,0,2024-09-07 10:05:21:349,164989,0.4,169057,0.6,346319,0.3,450811,2.00 79,1,2024-09-07 10:05:20:576,1201625,1201625,0,0,563901311882,5843137157,1191813,8011,1801,367,391682,0 79,2,2024-09-07 10:05:21:068,866181,866181,0,0,33329577,0,4195 79,3,2024-09-07 10:05:20:750,1,682,1,0,418,7670,682,0 80,0,2024-09-07 10:05:21:161,166283,0.5,170724,0.7,325998,0.5,441942,2.00 80,1,2024-09-07 10:05:21:624,1198015,1198015,0,0,562476437386,5858272339,1190038,7396,581,368,392269,0 80,2,2024-09-07 10:05:21:124,866298,866298,0,0,33729859,0,4433 80,3,2024-09-07 10:05:20:580,1,682,1,0,681,8316,682,0 81,0,2024-09-07 10:05:21:568,171867,0.6,175904,0.7,335514,0.6,455534,2.00 81,1,2024-09-07 10:05:21:652,1197563,1197563,0,0,561746927487,5871783139,1187963,8627,973,382,392001,0 81,2,2024-09-07 10:05:21:128,861887,861824,63,0,37496779,0,5932 81,3,2024-09-07 10:05:21:136,1,682,20,0,719,7588,682,0 82,0,2024-09-07 10:05:21:539,172894,0.5,172982,0.7,346356,0.5,459991,2.00 82,1,2024-09-07 10:05:20:583,1199315,1199311,0,4,563052799297,5858858576,1192379,5808,1124,381,391768,4 82,2,2024-09-07 10:05:21:691,862181,862181,0,0,31107149,0,4484 82,3,2024-09-07 10:05:21:752,1,682,1,0,363,6129,682,0 83,0,2024-09-07 10:05:21:521,172082,0.5,171959,0.7,343684,0.5,456334,2.25 83,1,2024-09-07 10:05:20:556,1198116,1198116,0,0,562389393590,5864263573,1189138,7952,1026,382,391709,0 83,2,2024-09-07 10:05:20:765,864763,864738,25,0,33951663,0,5612 83,3,2024-09-07 10:05:20:750,1,682,25,0,1260,7989,682,0 84,0,2024-09-07 10:05:21:767,164441,0.7,164542,0.9,329155,0.7,439617,2.25 84,1,2024-09-07 10:05:21:039,1196500,1196500,0,0,561803471133,5877106173,1182818,11599,2083,367,391967,0 84,2,2024-09-07 10:05:20:588,862197,861787,410,0,49135078,0,17037 84,3,2024-09-07 10:05:21:141,1,682,9,0,908,9563,682,0 85,0,2024-09-07 10:05:21:015,164095,0.7,164129,0.8,348410,0.6,450197,2.25 85,1,2024-09-07 10:05:20:562,1192638,1192638,0,0,559696639507,5906784216,1174856,14852,2930,381,392092,0 85,2,2024-09-07 10:05:20:866,862147,862147,0,0,41572084,0,4255 85,3,2024-09-07 10:05:20:688,1,682,1,0,789,7734,682,0 86,0,2024-09-07 10:05:20:878,171884,0.7,176817,0.8,338510,0.8,457742,2.25 86,1,2024-09-07 10:05:20:837,1195276,1195276,0,0,561803648185,5900362458,1177696,14265,3315,366,392169,0 86,2,2024-09-07 10:05:20:854,857967,857966,1,0,43613130,0,5004 86,3,2024-09-07 10:05:20:592,1,682,0,0,441,9522,682,0 87,0,2024-09-07 10:05:21:284,174312,0.8,173056,0.8,346694,0.9,462975,2.25 87,1,2024-09-07 10:05:20:552,1195740,1195740,0,0,560838843348,5875426502,1180835,12743,2162,366,392076,0 87,2,2024-09-07 10:05:21:069,860937,860931,6,0,40841982,0,6323 87,3,2024-09-07 10:05:21:794,1,682,3,0,473,9450,682,0 88,0,2024-09-07 10:05:21:440,168024,0.4,168481,0.6,336944,0.4,447949,1.75 88,1,2024-09-07 10:05:20:582,1194420,1194420,0,0,560672825507,5876531776,1177624,13660,3136,365,392084,0 88,2,2024-09-07 10:05:20:689,864673,864673,0,0,43163943,0,4465 88,3,2024-09-07 10:05:21:272,1,682,6,0,1080,11042,682,0 89,0,2024-09-07 10:05:21:779,174014,0.4,168996,0.6,333005,0.4,452966,1.75 89,1,2024-09-07 10:05:20:562,1194221,1194221,0,0,560895244437,5899096476,1179557,12387,2277,382,391866,0 89,2,2024-09-07 10:05:21:135,862890,862890,0,0,40606311,0,3173 89,3,2024-09-07 10:05:21:791,1,682,1,0,729,12258,682,0 90,0,2024-09-07 10:05:21:612,167801,0.5,172250,0.7,351862,0.5,458988,2.00 90,1,2024-09-07 10:05:20:595,1195467,1195467,0,0,561920305059,5889133528,1183025,11174,1268,380,391825,0 90,2,2024-09-07 10:05:21:406,855534,855529,5,0,43748871,0,6370 90,3,2024-09-07 10:05:20:935,1,682,1,0,364,9016,682,0 91,0,2024-09-07 10:05:20:945,174708,0.5,169519,0.6,354574,0.4,465218,1.75 91,1,2024-09-07 10:05:20:582,1192613,1192613,0,0,560748161323,5915881399,1173909,14558,4146,381,392047,0 91,2,2024-09-07 10:05:21:331,860287,860287,0,0,39064434,0,4713 91,3,2024-09-07 10:05:20:611,1,682,1,0,231,6440,682,0 92,0,2024-09-07 10:05:21:460,171759,0.4,175725,0.6,335002,0.4,454638,1.75 92,1,2024-09-07 10:05:20:590,1197041,1197041,0,0,561880759255,5871717356,1187562,8168,1311,381,392136,0 92,2,2024-09-07 10:05:21:358,864999,864999,0,0,35702605,0,3906 92,3,2024-09-07 10:05:21:009,1,682,2,0,322,6194,682,0 93,0,2024-09-07 10:05:20:950,168496,0.4,172688,0.6,329517,0.4,446723,1.75 93,1,2024-09-07 10:05:20:805,1197679,1197679,0,0,561558783818,5870673705,1183291,11955,2433,365,392048,0 93,2,2024-09-07 10:05:20:937,863415,863415,0,0,41150343,0,4913 93,3,2024-09-07 10:05:21:406,1,682,0,0,788,8461,682,0 94,0,2024-09-07 10:05:21:644,171448,0.4,172625,0.6,345494,0.4,459189,1.75 94,1,2024-09-07 10:05:20:562,1198621,1198621,0,0,563172502030,5875281250,1190349,7622,650,381,391850,0 94,2,2024-09-07 10:05:20:773,861419,861391,28,0,35811810,0,6179 94,3,2024-09-07 10:05:21:688,1,682,1,0,576,8311,682,0 95,0,2024-09-07 10:05:21:344,172926,0.4,172811,0.6,346028,0.3,461270,1.75 95,1,2024-09-07 10:05:20:854,1199271,1199271,0,0,563007095446,5852707557,1189911,8549,811,365,391852,0 95,2,2024-09-07 10:05:21:016,858720,858720,0,0,35869582,0,3308 95,3,2024-09-07 10:05:21:718,1,682,1,0,718,10466,682,0 96,0,2024-09-07 10:05:21:030,171728,0.3,171988,0.5,344116,0.3,457240,1.75 96,1,2024-09-07 10:05:21:586,1197082,1197082,0,0,561825024620,5863684354,1188170,7534,1378,384,391964,0 96,2,2024-09-07 10:05:21:281,866452,866452,0,0,36153334,0,4225 96,3,2024-09-07 10:05:21:140,1,682,2,0,411,7681,682,0 97,0,2024-09-07 10:05:21:339,165759,0.3,165534,0.5,331691,0.3,441122,1.50 97,1,2024-09-07 10:05:20:775,1199912,1199912,0,0,564147434173,5854763119,1192029,6644,1239,367,392140,0 97,2,2024-09-07 10:05:20:606,865147,865147,0,0,36276660,0,4600 97,3,2024-09-07 10:05:20:570,1,682,1,0,433,8243,682,0 98,0,2024-09-07 10:05:21:706,171074,0.3,170945,0.5,343530,0.3,457064,1.50 98,1,2024-09-07 10:05:20:586,1198944,1198944,0,0,562423696936,5859283213,1191507,6538,899,381,391997,0 98,2,2024-09-07 10:05:20:769,862259,862259,0,0,34726428,0,4336 98,3,2024-09-07 10:05:20:698,1,682,1,0,840,9618,682,0 99,0,2024-09-07 10:05:21:456,172934,0.3,173819,0.5,346244,0.3,461337,1.75 99,1,2024-09-07 10:05:21:723,1200050,1200050,0,0,562674539522,5857705198,1192275,6668,1107,380,392069,0 99,2,2024-09-07 10:05:21:417,858427,858427,0,0,43513901,0,4276 99,3,2024-09-07 10:05:20:596,1,682,1,0,1124,8520,682,0 100,0,2024-09-07 10:05:21:456,172449,0.8,172960,0.9,345697,0.9,460653,2.50 100,1,2024-09-07 10:05:20:562,1192645,1192645,0,0,559970706652,5924082256,1173605,15245,3795,378,391989,0 100,2,2024-09-07 10:05:21:816,859460,859071,389,0,45162669,0,16909 100,3,2024-09-07 10:05:21:734,1,682,3,0,627,10735,682,0 101,0,2024-09-07 10:05:21:744,170218,0.9,166153,1.0,324954,0.9,443196,2.25 101,1,2024-09-07 10:05:20:556,1194707,1194707,0,0,560710539385,5893780751,1178341,13428,2938,368,392018,0 101,2,2024-09-07 10:05:21:757,859147,859108,39,0,47117558,0,5913 101,3,2024-09-07 10:05:20:951,1,682,1,0,1250,10558,682,0 102,0,2024-09-07 10:05:20:955,165239,0.6,170303,0.8,345920,0.6,452595,2.25 102,1,2024-09-07 10:05:21:143,1194940,1194940,0,0,561133209436,5893306448,1179218,13466,2256,369,391984,0 102,2,2024-09-07 10:05:21:737,863831,863777,54,0,38981823,0,6768 102,3,2024-09-07 10:05:21:614,1,682,1,0,466,7961,682,0 103,0,2024-09-07 10:05:21:595,178514,0.7,178472,0.8,336343,0.8,462480,2.25 103,1,2024-09-07 10:05:21:631,1193319,1193319,0,0,560426900817,5917633507,1174411,15282,3626,381,392077,0 103,2,2024-09-07 10:05:20:582,857116,857116,0,0,42286289,0,3766 103,3,2024-09-07 10:05:20:757,1,682,12,0,916,8076,682,0 104,0,2024-09-07 10:05:21:024,173124,0.7,173504,0.9,346180,0.7,462492,2.25 104,1,2024-09-07 10:05:21:599,1195437,1195437,0,0,560304290478,5891909125,1177014,14966,3457,365,392168,0 104,2,2024-09-07 10:05:21:668,859132,859132,0,0,41530684,0,4161 104,3,2024-09-07 10:05:21:417,1,682,1,0,1245,11698,682,0 105,0,2024-09-07 10:05:21:029,167352,0.8,163104,1.0,341853,0.9,448973,2.50 105,1,2024-09-07 10:05:20:558,1197662,1197662,0,0,561369259996,5880956880,1182899,12649,2114,364,392009,0 105,2,2024-09-07 10:05:21:323,863846,863846,0,0,42862887,0,4360 105,3,2024-09-07 10:05:21:309,1,682,1,0,573,10223,682,0 106,0,2024-09-07 10:05:20:947,162338,1.0,166461,1.0,340461,1.2,445350,2.50 106,1,2024-09-07 10:05:21:749,1195638,1195638,0,0,561160239980,5888814263,1179214,14274,2150,368,391914,0 106,2,2024-09-07 10:05:20:758,863066,863066,0,0,39537798,0,3331 106,3,2024-09-07 10:05:20:680,1,682,1,0,1224,9559,682,0 107,0,2024-09-07 10:05:21:103,172933,1.3,172946,1.1,346069,1.9,461898,2.25 107,1,2024-09-07 10:05:20:595,1193126,1193126,0,0,559016529728,5910149797,1174037,16833,2256,381,392234,0 107,2,2024-09-07 10:05:21:291,856257,856256,1,0,43052175,0,5024 107,3,2024-09-07 10:05:21:755,1,682,2,0,733,10837,682,0 108,0,2024-09-07 10:05:21:778,173264,0.4,174131,0.6,347156,0.4,462858,1.75 108,1,2024-09-07 10:05:21:303,1196625,1196625,0,0,562008334192,5870806728,1185165,10200,1260,367,391894,0 108,2,2024-09-07 10:05:21:755,860913,860913,0,0,38560621,0,4432 108,3,2024-09-07 10:05:21:330,1,682,1,0,767,12421,682,0 109,0,2024-09-07 10:05:21:791,171576,0.4,170177,0.6,341467,0.3,455424,1.75 109,1,2024-09-07 10:05:20:602,1195091,1195091,0,0,561103817126,5889033389,1184447,9140,1504,382,392132,0 109,2,2024-09-07 10:05:20:922,863693,863693,0,0,38570578,0,3617 109,3,2024-09-07 10:05:21:140,1,682,3,0,630,7881,682,0 110,0,2024-09-07 10:05:21:785,165998,0.4,161380,0.6,337952,0.3,443864,1.75 110,1,2024-09-07 10:05:21:649,1199194,1199194,0,0,563524777279,5853743832,1189734,7376,2084,368,392045,0 110,2,2024-09-07 10:05:21:307,863724,863724,0,0,37698844,0,4067 110,3,2024-09-07 10:05:20:692,1,682,1,0,722,9317,682,0 111,0,2024-09-07 10:05:21:422,172586,0.4,171828,0.6,343161,0.4,458274,1.75 111,1,2024-09-07 10:05:21:000,1200543,1200543,0,0,563569785422,5853779524,1193297,6740,506,380,391690,0 111,2,2024-09-07 10:05:21:121,862046,862046,0,0,37147451,0,4823 111,3,2024-09-07 10:05:20:915,1,682,2,0,379,7592,682,0 112,0,2024-09-07 10:05:20:951,173600,0.3,173186,0.4,347091,0.2,461280,1.50 112,1,2024-09-07 10:05:20:854,1199261,1199261,0,0,562960896348,5844071784,1191674,6361,1226,380,391624,0 112,2,2024-09-07 10:05:21:132,860152,860151,1,0,34816324,0,5036 112,3,2024-09-07 10:05:20:594,1,682,0,0,282,6806,682,0 113,0,2024-09-07 10:05:20:867,172041,0.3,172338,0.5,345065,0.2,458766,1.75 113,1,2024-09-07 10:05:21:685,1203115,1203115,0,0,564905488784,5828666682,1196205,5959,951,365,391664,0 113,2,2024-09-07 10:05:21:305,866969,866969,0,0,32106169,0,3813 113,3,2024-09-07 10:05:20:689,1,682,1,0,510,6973,682,0 114,0,2024-09-07 10:05:20:879,166068,0.3,167156,0.5,333387,0.2,445143,1.75 114,1,2024-09-07 10:05:20:719,1199102,1199102,0,0,563150744466,5848104092,1190275,7023,1804,381,391565,0 114,2,2024-09-07 10:05:20:873,866304,866303,1,0,34788329,0,5069 114,3,2024-09-07 10:05:21:277,1,682,1,0,415,5650,682,0 115,0,2024-09-07 10:05:20:553,171597,0.3,172210,0.4,342860,0.2,456725,1.50 115,1,2024-09-07 10:05:20:571,1199958,1199958,0,0,564369379025,5863081652,1190405,7762,1791,382,391757,0 115,2,2024-09-07 10:05:21:127,864461,864461,0,0,34667565,0,4382 115,3,2024-09-07 10:05:21:003,1,682,0,0,173,4400,682,0 116,0,2024-09-07 10:05:21:706,171885,0.8,171933,0.9,344572,0.8,460343,2.25 116,1,2024-09-07 10:05:20:818,1193452,1193452,0,0,561260340464,5913402625,1179395,10843,3214,380,392089,0 116,2,2024-09-07 10:05:21:757,858724,858724,0,0,41725163,0,4475 116,3,2024-09-07 10:05:20:913,1,682,1,0,448,8828,682,0 117,0,2024-09-07 10:05:20:981,174062,0.6,173749,0.8,347809,0.7,464294,2.00 117,1,2024-09-07 10:05:21:605,1195965,1195965,0,0,561222101670,5879774986,1182155,12047,1763,369,392429,0 117,2,2024-09-07 10:05:21:123,863523,863523,0,0,38401597,0,4303 117,3,2024-09-07 10:05:21:071,1,682,1,0,490,8415,682,0 118,0,2024-09-07 10:05:21:765,163005,0.5,167432,0.7,341554,0.5,446247,2.00 118,1,2024-09-07 10:05:20:602,1194369,1194369,0,0,560501742809,5892004256,1176873,13651,3845,366,392054,0 118,2,2024-09-07 10:05:21:586,864491,864491,0,0,40932980,0,3161 118,3,2024-09-07 10:05:21:768,1,682,1,0,343,8183,682,0 119,0,2024-09-07 10:05:21:331,169043,0.7,169355,0.8,338271,0.7,451407,2.25 119,1,2024-09-07 10:05:20:558,1195380,1195380,0,0,561355807963,5887537106,1179367,13346,2667,367,391857,0 119,2,2024-09-07 10:05:21:262,863766,863766,0,0,37920307,0,4309 119,3,2024-09-07 10:05:21:336,1,682,1,0,1358,12152,682,0 120,0,2024-09-07 10:05:21:559,172068,0.7,171972,0.9,345237,0.8,460244,2.50 120,1,2024-09-07 10:05:20:863,1196279,1196279,0,0,560941297378,5883276215,1183617,11505,1157,367,392144,0 120,2,2024-09-07 10:05:20:769,857839,857836,3,0,45641731,0,5363 120,3,2024-09-07 10:05:21:293,1,682,1,0,478,8832,682,0 121,0,2024-09-07 10:05:21:698,174116,1.1,173618,1.0,347426,1.5,463093,2.25 121,1,2024-09-07 10:05:21:658,1195798,1195798,0,0,561451193609,5879369302,1182282,11520,1996,366,391840,0 121,2,2024-09-07 10:05:21:124,860969,860969,0,0,41448270,0,4157 121,3,2024-09-07 10:05:20:740,1,682,10,0,387,9204,682,0 122,0,2024-09-07 10:05:21:762,169654,0.7,165054,0.8,345272,0.8,454132,2.00 122,1,2024-09-07 10:05:20:861,1195268,1195268,0,0,561451712683,5890902732,1179000,13691,2577,365,392130,0 122,2,2024-09-07 10:05:21:319,865018,864943,75,0,45690673,0,5989 122,3,2024-09-07 10:05:20:594,1,682,4,0,512,10478,682,0 123,0,2024-09-07 10:05:20:978,166411,0.8,162227,0.9,340099,0.9,444680,2.25 123,1,2024-09-07 10:05:20:557,1196202,1196202,0,0,561225405060,5903050218,1177118,16059,3025,369,392039,0 123,2,2024-09-07 10:05:21:025,862388,862387,1,0,39179987,0,5215 123,3,2024-09-07 10:05:21:132,1,682,2,0,478,7747,682,0 124,0,2024-09-07 10:05:20:941,177027,0.4,177014,0.5,333521,0.3,460044,1.75 124,1,2024-09-07 10:05:21:023,1199256,1199256,0,0,562715888756,5853965688,1189726,8270,1260,365,392178,0 124,2,2024-09-07 10:05:21:008,863029,862976,53,0,36416938,0,6487 124,3,2024-09-07 10:05:20:759,1,682,3,0,490,7160,682,0 125,0,2024-09-07 10:05:21:434,172900,0.4,172780,0.6,346249,0.3,461819,1.75 125,1,2024-09-07 10:05:20:855,1196424,1196424,0,0,561608067752,5871473366,1185388,9454,1582,382,392045,0 125,2,2024-09-07 10:05:21:116,862325,862325,0,0,35928966,0,4534 125,3,2024-09-07 10:05:21:126,1,682,1,0,709,7668,682,0 126,0,2024-09-07 10:05:21:419,172106,0.4,176892,0.5,338010,0.3,458014,1.75 126,1,2024-09-07 10:05:20:556,1199961,1199961,0,0,563436968247,5840487686,1192687,6572,702,365,391987,0 126,2,2024-09-07 10:05:20:610,866386,866386,0,0,37675613,0,4539 126,3,2024-09-07 10:05:20:911,1,682,8,0,268,7818,682,0 127,0,2024-09-07 10:05:21:596,165976,0.3,166341,0.5,331750,0.3,441332,1.75 127,1,2024-09-07 10:05:20:583,1198766,1198766,0,0,562592190595,5856655031,1186314,10676,1776,364,392187,0 127,2,2024-09-07 10:05:20:637,864820,864816,4,0,35608243,0,5305 127,3,2024-09-07 10:05:21:283,1,682,2,0,968,6769,682,0 128,0,2024-09-07 10:05:21:554,172059,0.3,172083,0.4,344152,0.2,457807,1.50 128,1,2024-09-07 10:05:21:607,1197760,1197760,0,0,562733647632,5851501440,1188894,7896,970,367,392423,0 128,2,2024-09-07 10:05:21:387,864720,864720,0,0,33105688,0,3171 128,3,2024-09-07 10:05:20:768,1,682,122,0,1082,10329,682,0 129,0,2024-09-07 10:05:20:995,174157,0.3,173519,0.5,347890,0.3,462070,1.75 129,1,2024-09-07 10:05:20:581,1194972,1194972,0,0,561103083035,5887539129,1182039,10604,2329,379,391962,0 129,2,2024-09-07 10:05:20:688,861148,861144,4,0,35678837,0,5335 129,3,2024-09-07 10:05:20:694,1,682,10,0,506,9858,682,0 130,0,2024-09-07 10:05:21:713,173629,0.4,173444,0.5,347255,0.4,462125,1.75 130,1,2024-09-07 10:05:20:588,1199900,1199900,0,0,563199234359,5849001370,1194060,5433,407,381,391825,0 130,2,2024-09-07 10:05:21:124,863759,863759,0,0,35529468,0,4067 130,3,2024-09-07 10:05:21:291,1,682,2,0,960,8878,682,0 131,0,2024-09-07 10:05:21:926,166589,0.3,167102,0.5,335051,0.3,444829,1.50 131,1,2024-09-07 10:05:21:820,1198731,1198731,0,0,562495711394,5862387888,1190592,6931,1208,381,391865,0 131,2,2024-09-07 10:05:20:568,865521,865521,0,0,33864078,0,3979 131,3,2024-09-07 10:05:21:688,1,682,39,0,392,8649,682,0 132,0,2024-09-07 10:05:21:415,170486,0.5,171397,0.6,341122,0.4,454598,2.00 132,1,2024-09-07 10:05:20:581,1194515,1194515,0,0,560974813166,5903090173,1178019,13714,2782,381,392532,0 132,2,2024-09-07 10:05:20:698,863133,863116,17,0,42401016,0,6451 132,3,2024-09-07 10:05:21:688,1,682,2,0,1298,11787,682,0 133,0,2024-09-07 10:05:21:553,168795,0.5,172834,0.6,354314,0.4,460847,2.00 133,1,2024-09-07 10:05:20:583,1194395,1194395,0,0,561050045866,5908663718,1178963,13498,1934,383,391914,0 133,2,2024-09-07 10:05:21:089,859702,859652,50,0,43329389,0,6861 133,3,2024-09-07 10:05:21:305,1,682,1,0,528,8003,682,0 134,0,2024-09-07 10:05:21:045,175104,0.5,174932,0.7,349838,0.5,465827,2.00 134,1,2024-09-07 10:05:20:584,1195627,1195627,0,0,560969763529,5887057071,1179547,12788,3292,366,391781,0 134,2,2024-09-07 10:05:21:756,860647,860508,139,0,40909778,0,7591 134,3,2024-09-07 10:05:20:758,1,682,2,0,739,8452,682,0 135,0,2024-09-07 10:05:21:095,163916,0.7,163923,0.8,348010,0.8,448340,2.25 135,1,2024-09-07 10:05:21:585,1195471,1195471,0,0,561370503308,5900899200,1181074,12545,1852,380,392038,0 135,2,2024-09-07 10:05:20:694,866018,866018,0,0,41575468,0,4503 135,3,2024-09-07 10:05:21:004,1,682,16,0,900,6606,682,0 136,0,2024-09-07 10:05:21:620,168340,0.6,168945,0.8,335824,0.6,448489,2.25 136,1,2024-09-07 10:05:21:458,1196537,1196537,0,0,561564061646,5884443004,1183711,11400,1426,381,392135,0 136,2,2024-09-07 10:05:21:138,865396,865381,15,0,40735119,0,6007 136,3,2024-09-07 10:05:21:119,1,682,1,0,637,8023,682,0 137,0,2024-09-07 10:05:20:932,178693,0.7,174223,0.8,341161,0.7,463513,2.00 137,1,2024-09-07 10:05:20:579,1195449,1195449,0,0,561484126497,5888419413,1177024,14787,3638,366,391898,0 137,2,2024-09-07 10:05:21:711,859048,859048,0,0,41705863,0,3185 137,3,2024-09-07 10:05:20:768,1,682,2,0,484,9754,682,0 138,0,2024-09-07 10:05:21:739,172640,0.9,172953,0.9,346467,1.2,460927,2.25 138,1,2024-09-07 10:05:21:685,1195114,1195114,0,0,561347396102,5892550887,1177982,14441,2691,368,391954,0 138,2,2024-09-07 10:05:20:596,859074,859074,0,0,40181295,0,4988 138,3,2024-09-07 10:05:20:609,1,682,1,0,1200,10337,682,0 139,0,2024-09-07 10:05:21:360,169504,0.9,169978,0.9,340042,1.2,453747,2.25 139,1,2024-09-07 10:05:20:579,1191405,1191405,0,0,558256047053,5916075624,1171511,15981,3913,380,392109,0 139,2,2024-09-07 10:05:20:693,859547,859517,30,0,45786949,0,5997 139,3,2024-09-07 10:05:21:668,1,682,0,0,432,8123,682,0 140,0,2024-09-07 10:05:21:598,166605,0.3,165951,0.5,332823,0.2,444096,1.75 140,1,2024-09-07 10:05:21:539,1202725,1202725,0,0,564183568943,5824751484,1195924,5998,803,364,391628,0 140,2,2024-09-07 10:05:20:688,864034,864033,1,0,34422702,0,5036 140,3,2024-09-07 10:05:20:767,1,682,1,0,575,6957,682,0 141,0,2024-09-07 10:05:21:701,172421,0.3,177097,0.5,338410,0.3,457825,1.75 141,1,2024-09-07 10:05:20:862,1200016,1200016,0,0,563733162560,5862127406,1189674,8949,1393,379,391614,0 141,2,2024-09-07 10:05:21:689,862629,862618,11,0,36971679,0,5369 141,3,2024-09-07 10:05:21:043,1,682,1,0,391,8101,682,0 142,0,2024-09-07 10:05:21:313,173772,0.3,172957,0.5,346396,0.3,462027,1.75 142,1,2024-09-07 10:05:20:584,1197756,1197756,0,0,562745453217,5874488673,1188709,8153,894,382,392102,0 142,2,2024-09-07 10:05:21:302,858710,858678,32,0,36503626,0,6028 142,3,2024-09-07 10:05:21:769,1,682,0,0,484,7025,682,0 143,0,2024-09-07 10:05:21:369,172162,0.4,171990,0.5,345091,0.4,458716,1.75 143,1,2024-09-07 10:05:20:556,1200802,1200802,0,0,563448840267,5850606541,1192413,7420,969,367,391900,0 143,2,2024-09-07 10:05:20:768,865856,865856,0,0,36128851,0,3123 143,3,2024-09-07 10:05:21:140,1,682,2,0,462,8055,682,0 144,0,2024-09-07 10:05:21:528,160954,0.6,165618,0.7,337007,0.5,441427,2.00 144,1,2024-09-07 10:05:20:567,1194808,1194808,0,0,561110796879,5888179771,1183068,9697,2043,381,391733,0 144,2,2024-09-07 10:05:21:755,865842,865842,0,0,35646769,0,4443 144,3,2024-09-07 10:05:21:745,1,682,2,0,306,7359,682,0 145,0,2024-09-07 10:05:21:355,165363,0.6,165365,0.8,350998,0.5,452145,2.25 145,1,2024-09-07 10:05:20:563,1193917,1193917,0,0,561010376923,5905433285,1177721,13229,2967,382,391781,0 145,2,2024-09-07 10:05:21:437,861756,861674,82,0,41505445,0,7814 145,3,2024-09-07 10:05:20:899,1,682,4,0,622,9164,682,0 146,0,2024-09-07 10:05:21:593,172482,0.7,171634,0.8,345072,0.7,458378,2.25 146,1,2024-09-07 10:05:21:588,1195615,1195615,0,0,560454271687,5896696393,1176483,14208,4924,367,391829,0 146,2,2024-09-07 10:05:21:695,857028,857022,6,0,39630304,0,5151 146,3,2024-09-07 10:05:21:278,1,682,0,0,1520,10868,682,0 147,0,2024-09-07 10:05:21:696,174060,0.6,173859,0.7,347553,0.5,463344,2.00 147,1,2024-09-07 10:05:21:372,1199414,1199414,0,0,563230865689,5857314285,1189461,8855,1098,367,391791,0 147,2,2024-09-07 10:05:21:010,861399,861399,0,0,37507011,0,4531 147,3,2024-09-07 10:05:20:918,1,682,10,0,1626,10360,682,0 0,0,2024-09-07 10:05:31:725,168111,0.7,168079,0.8,356787,0.8,460930,2.00 0,1,2024-09-07 10:05:30:803,1198491,1198491,0,0,562543982846,5889427555,1188824,8705,962,368,391896,0 0,2,2024-09-07 10:05:31:070,861080,861080,0,0,35122127,0,4480 0,3,2024-09-07 10:05:30:975,1,683,12,0,538,9866,683,0 1,0,2024-09-07 10:05:31:838,174274,0.8,173276,0.9,347743,1.0,465318,2.00 1,1,2024-09-07 10:05:30:586,1197378,1197378,0,0,562003934782,5889694492,1185990,9418,1970,370,391859,0 1,2,2024-09-07 10:05:30:651,863628,863628,0,0,34523250,0,3380 1,3,2024-09-07 10:05:31:317,1,683,15,0,269,8172,683,0 2,0,2024-09-07 10:05:31:566,170448,0.6,170185,0.7,340173,0.6,453818,2.00 2,1,2024-09-07 10:05:30:860,1201478,1201478,0,0,563716457437,5863101851,1194548,5969,961,379,391805,0 2,2,2024-09-07 10:05:31:266,867174,867174,0,0,33649750,0,3594 2,3,2024-09-07 10:05:30:700,1,683,25,0,357,6108,683,0 3,0,2024-09-07 10:05:31:744,167637,0.4,167458,0.6,334728,0.4,446053,2.00 3,1,2024-09-07 10:05:31:619,1199166,1199166,0,0,562718810595,5854017825,1190653,7795,718,379,391716,0 3,2,2024-09-07 10:05:31:159,866692,866669,23,0,34368650,0,5851 3,3,2024-09-07 10:05:31:753,1,683,4,0,484,5199,683,0 4,0,2024-09-07 10:05:31:798,167613,0.4,172183,0.5,351337,0.4,459964,1.75 4,1,2024-09-07 10:05:30:595,1193914,1193914,0,0,560542662086,5929976328,1174033,15280,4601,369,391992,0 4,2,2024-09-07 10:05:31:017,859442,859442,0,0,41646579,0,4534 4,3,2024-09-07 10:05:31:026,1,683,1,0,448,9088,683,0 5,0,2024-09-07 10:05:31:418,173394,0.4,173140,0.6,346644,0.4,461998,1.75 5,1,2024-09-07 10:05:30:767,1195446,1195446,0,0,560850162321,5917469152,1177796,13414,4236,367,392005,0 5,2,2024-09-07 10:05:31:849,857961,857928,33,0,41847166,0,7631 5,3,2024-09-07 10:05:31:740,1,683,0,0,457,9032,683,0 6,0,2024-09-07 10:05:30:918,171753,0.5,171290,0.6,342807,0.4,456518,2.00 6,1,2024-09-07 10:05:30:746,1198339,1198339,0,0,562622828227,5874669226,1186175,10479,1685,379,391702,0 6,2,2024-09-07 10:05:31:117,866469,866451,18,0,39015131,0,5535 6,3,2024-09-07 10:05:31:274,1,683,0,0,710,7931,683,0 7,0,2024-09-07 10:05:31:536,165255,0.5,166372,0.7,330366,0.5,440579,2.00 7,1,2024-09-07 10:05:30:851,1196175,1196175,0,0,561367237725,5907354867,1178342,13696,4137,382,391747,0 7,2,2024-09-07 10:05:30:771,863818,863649,169,0,39806652,0,7706 7,3,2024-09-07 10:05:30:851,1,683,6,0,552,7992,683,0 8,0,2024-09-07 10:05:31:353,172072,0.4,171712,0.5,343896,0.4,458830,1.75 8,1,2024-09-07 10:05:31:016,1195065,1195065,0,0,562292306680,5913834858,1176210,14810,4045,366,392853,0 8,2,2024-09-07 10:05:30:820,858371,858369,2,0,44844526,0,5112 8,3,2024-09-07 10:05:30:590,1,683,24,0,772,10319,683,0 9,0,2024-09-07 10:05:31:120,173110,0.4,168117,0.5,351909,0.4,461745,1.75 9,1,2024-09-07 10:05:30:568,1194475,1194475,0,0,561181339845,5919415022,1174810,15315,4350,369,392001,0 9,2,2024-09-07 10:05:31:086,858638,858637,1,0,42753175,0,5281 9,3,2024-09-07 10:05:31:753,1,683,1,0,1273,11020,683,0 10,0,2024-09-07 10:05:31:604,172942,0.4,172469,0.5,345692,0.3,459843,1.75 10,1,2024-09-07 10:05:30:590,1196454,1196454,0,0,561703129538,5896680056,1178395,14325,3734,381,391981,0 10,2,2024-09-07 10:05:30:762,864458,864458,0,0,45933970,0,4713 10,3,2024-09-07 10:05:30:872,1,683,0,0,669,7337,683,0 11,0,2024-09-07 10:05:31:011,166447,0.4,161593,0.6,338148,0.4,444914,1.75 11,1,2024-09-07 10:05:30:575,1198978,1198978,0,0,562162977033,5895708056,1182093,12575,4310,383,391766,0 11,2,2024-09-07 10:05:31:127,864784,864784,0,0,39688398,0,4698 11,3,2024-09-07 10:05:31:302,1,683,0,0,843,8371,683,0 12,0,2024-09-07 10:05:31:058,171856,0.4,171464,0.6,342644,0.4,456329,1.75 12,1,2024-09-07 10:05:30:953,1198016,1198016,0,0,562286689552,5868811509,1188169,8820,1027,368,391960,0 12,2,2024-09-07 10:05:31:544,862478,862478,0,0,39642237,0,4390 12,3,2024-09-07 10:05:31:070,1,683,1,0,386,8515,683,0 13,0,2024-09-07 10:05:31:349,174054,0.4,174250,0.6,347869,0.4,462873,1.75 13,1,2024-09-07 10:05:31:568,1195359,1195359,0,0,560775899870,5905305375,1181212,11068,3079,382,391803,0 13,2,2024-09-07 10:05:30:599,861750,861750,0,0,35765490,0,3287 13,3,2024-09-07 10:05:31:770,1,683,5,0,522,9229,683,0 14,0,2024-09-07 10:05:30:583,174429,0.4,175502,0.6,349090,0.4,464242,1.75 14,1,2024-09-07 10:05:31:588,1203981,1203981,0,0,564750490323,5844385456,1194728,8103,1150,364,391673,0 14,2,2024-09-07 10:05:30:765,863186,863156,30,0,36996797,0,6104 14,3,2024-09-07 10:05:31:117,1,683,1,0,1168,7123,683,0 15,0,2024-09-07 10:05:31:559,169442,0.4,168545,0.6,337494,0.3,450225,1.75 15,1,2024-09-07 10:05:31:612,1199974,1199974,0,0,563726912368,5877549122,1189112,8767,2095,380,391619,0 15,2,2024-09-07 10:05:30:999,868459,868459,0,0,33087363,0,3622 15,3,2024-09-07 10:05:31:405,1,683,2,0,1126,8625,683,0 16,0,2024-09-07 10:05:30:968,168279,0.6,169152,0.8,337437,0.7,449737,2.00 16,1,2024-09-07 10:05:30:596,1199794,1199794,0,0,563304707647,5889614581,1189061,9189,1544,370,392194,0 16,2,2024-09-07 10:05:31:437,864630,864630,0,0,36019946,0,4719 16,3,2024-09-07 10:05:31:142,1,683,4,0,362,8512,683,0 17,0,2024-09-07 10:05:31:893,178904,0.7,174375,0.8,341442,0.7,464088,2.00 17,1,2024-09-07 10:05:30:575,1198190,1198190,0,0,562179180725,5893933565,1187113,9279,1798,368,392075,0 17,2,2024-09-07 10:05:31:677,863783,863782,1,0,37112672,0,5050 17,3,2024-09-07 10:05:30:587,1,683,14,0,518,9817,683,0 18,0,2024-09-07 10:05:30:945,172163,0.6,173305,0.8,344844,0.6,460926,2.25 18,1,2024-09-07 10:05:31:651,1202769,1202769,0,0,564086927957,5852632093,1194603,6736,1430,367,391725,0 18,2,2024-09-07 10:05:31:758,864329,864329,0,0,33697114,0,3541 18,3,2024-09-07 10:05:30:898,1,683,1,0,1059,6274,683,0 19,0,2024-09-07 10:05:31:550,170268,0.6,170792,0.8,340476,0.6,452374,2.00 19,1,2024-09-07 10:05:30:581,1201725,1201725,0,0,565155139883,5856587555,1192882,7683,1160,365,391777,0 19,2,2024-09-07 10:05:31:753,869529,869529,0,0,31367339,0,3988 19,3,2024-09-07 10:05:31:129,1,683,1,0,524,5477,683,0 20,0,2024-09-07 10:05:31:361,166506,0.5,166420,0.7,332600,0.5,444396,2.00 20,1,2024-09-07 10:05:30:600,1197376,1197376,0,0,562073725426,5891789311,1185118,10554,1704,369,391922,0 20,2,2024-09-07 10:05:30:968,864692,864692,0,0,39638534,0,4321 20,3,2024-09-07 10:05:30:609,1,683,5,0,468,11539,683,0 21,0,2024-09-07 10:05:31:173,172098,0.5,172216,0.7,344275,0.5,457152,2.00 21,1,2024-09-07 10:05:31:557,1195197,1195197,0,0,560625720423,5917247154,1176445,14763,3989,368,392016,0 21,2,2024-09-07 10:05:31:070,857081,856604,477,0,50807591,0,17074 21,3,2024-09-07 10:05:31:425,1,683,3,0,713,9057,683,0 22,0,2024-09-07 10:05:31:725,172982,0.5,173661,0.7,346932,0.4,460746,2.00 22,1,2024-09-07 10:05:31:022,1196388,1196388,0,0,561863817265,5910418555,1177350,15435,3603,381,391822,0 22,2,2024-09-07 10:05:30:760,859833,859807,26,0,37684955,0,6328 22,3,2024-09-07 10:05:31:066,1,683,2,0,228,5620,683,0 23,0,2024-09-07 10:05:31:370,171922,0.5,171731,0.7,343455,0.4,457674,2.00 23,1,2024-09-07 10:05:31:004,1198076,1198076,0,0,562422294448,5921373372,1176832,14421,6823,365,391690,0 23,2,2024-09-07 10:05:31:095,866353,866353,0,0,35898051,0,3773 23,3,2024-09-07 10:05:31:754,1,683,1,0,855,9698,683,0 24,0,2024-09-07 10:05:30:852,167318,0.4,166232,0.5,334258,0.3,444293,1.75 24,1,2024-09-07 10:05:30:588,1196434,1196434,0,0,561709759516,5880528330,1184846,9714,1874,367,392269,0 24,2,2024-09-07 10:05:31:083,865019,865016,3,0,42490368,0,6294 24,3,2024-09-07 10:05:31:690,1,683,4,0,468,8971,683,0 25,0,2024-09-07 10:05:31:350,175995,0.4,170935,0.6,336561,0.4,458673,2.00 25,1,2024-09-07 10:05:30:576,1195372,1195372,0,0,561512218347,5932522369,1174639,16739,3994,369,391928,0 25,2,2024-09-07 10:05:31:645,861540,861540,0,0,44108687,0,3978 25,3,2024-09-07 10:05:31:010,1,683,9,0,532,7520,683,0 26,0,2024-09-07 10:05:31:752,172066,0.5,168135,0.6,352803,0.4,460063,2.00 26,1,2024-09-07 10:05:31:544,1198388,1198388,0,0,562032209279,5883842925,1182684,12801,2903,380,391758,0 26,2,2024-09-07 10:05:30:861,859653,859653,0,0,45342346,0,4689 26,3,2024-09-07 10:05:31:719,1,683,0,0,796,8555,683,0 27,0,2024-09-07 10:05:31:724,173978,0.4,174660,0.6,347299,0.4,463202,2.25 27,1,2024-09-07 10:05:31:682,1200237,1200237,0,0,564271705454,5880345278,1188956,9660,1621,381,391626,0 27,2,2024-09-07 10:05:30:867,860174,860109,65,0,40213919,0,5699 27,3,2024-09-07 10:05:31:015,1,683,1,0,564,5897,683,0 28,0,2024-09-07 10:05:31:419,168199,0.4,168451,0.6,336784,0.3,447848,1.75 28,1,2024-09-07 10:05:30:802,1200595,1200595,0,0,563770598332,5885607465,1189130,9038,2427,382,391904,0 28,2,2024-09-07 10:05:31:765,866065,866065,0,0,37629982,0,4060 28,3,2024-09-07 10:05:31:777,1,683,1,0,502,7202,683,0 29,0,2024-09-07 10:05:31:390,174402,0.4,169751,0.6,333211,0.4,454719,1.75 29,1,2024-09-07 10:05:31:564,1203490,1203490,0,0,565024737220,5849408708,1195571,6898,1021,367,391809,0 29,2,2024-09-07 10:05:30:865,864262,864262,0,0,35007677,0,4986 29,3,2024-09-07 10:05:30:969,1,683,2,0,590,7810,683,0 30,0,2024-09-07 10:05:31:455,171967,0.6,167347,0.7,350746,0.5,457830,2.00 30,1,2024-09-07 10:05:30:576,1202504,1202504,0,0,564842744440,5867520208,1192695,8641,1168,380,391672,0 30,2,2024-09-07 10:05:31:286,861273,861273,0,0,33878504,0,4192 30,3,2024-09-07 10:05:30:590,1,683,0,0,519,7188,683,0 31,0,2024-09-07 10:05:31:758,174030,0.5,174849,0.7,348757,0.4,465340,2.00 31,1,2024-09-07 10:05:30:574,1206425,1206425,0,0,565842000076,5814771246,1198495,6662,1268,356,391712,0 31,2,2024-09-07 10:05:31:276,862392,862392,0,0,37339391,0,4470 31,3,2024-09-07 10:05:31:711,1,683,1,0,248,6103,683,0 32,0,2024-09-07 10:05:31:421,170582,0.3,171631,0.5,341913,0.2,455217,1.75 32,1,2024-09-07 10:05:30:803,1202044,1202044,0,0,564440278302,5868260814,1194558,6521,965,381,391646,0 32,2,2024-09-07 10:05:30:946,869111,869111,0,0,32589791,0,3922 32,3,2024-09-07 10:05:31:021,1,683,11,0,304,5829,683,0 33,0,2024-09-07 10:05:31:491,168397,0.3,167921,0.4,335930,0.2,447416,1.50 33,1,2024-09-07 10:05:30:583,1203408,1203408,0,0,564768066846,5848800889,1194061,7995,1352,368,391730,0 33,2,2024-09-07 10:05:30:759,865765,865730,35,0,36143794,0,7012 33,3,2024-09-07 10:05:30:897,1,683,1,0,329,6374,683,0 34,0,2024-09-07 10:05:30:933,173004,0.3,177855,0.5,340099,0.2,459652,1.75 34,1,2024-09-07 10:05:31:049,1205978,1205978,0,0,566298826064,5828095119,1201979,3790,209,367,391637,0 34,2,2024-09-07 10:05:30:766,863008,863008,0,0,33727180,0,4562 34,3,2024-09-07 10:05:31:688,1,683,1,0,541,6020,683,0 35,0,2024-09-07 10:05:30:864,172724,0.3,173311,0.5,347662,0.2,462966,1.75 35,1,2024-09-07 10:05:31:069,1201579,1201579,0,0,564041577474,5853483591,1192641,7386,1552,382,391769,0 35,2,2024-09-07 10:05:31:585,861804,861804,0,0,39011645,0,4055 35,3,2024-09-07 10:05:30:910,1,683,2,0,466,6556,683,0 36,0,2024-09-07 10:05:31:549,172346,0.4,172435,0.6,344547,0.4,458472,2.00 36,1,2024-09-07 10:05:30:588,1200265,1200265,0,0,563781904254,5886289188,1185491,12420,2354,366,391759,0 36,2,2024-09-07 10:05:31:751,866360,866360,0,0,39079416,0,3875 36,3,2024-09-07 10:05:30:863,1,683,1,0,556,8751,683,0 37,0,2024-09-07 10:05:31:396,165199,0.5,165218,0.7,330922,0.5,441201,2.25 37,1,2024-09-07 10:05:30:590,1199464,1199457,0,7,562987558791,5878989455,1185277,11066,3114,365,391770,0 37,2,2024-09-07 10:05:31:142,863270,863255,15,0,39211193,0,5815 37,3,2024-09-07 10:05:31:765,1,683,1,0,888,9163,683,0 38,0,2024-09-07 10:05:31:442,171016,0.4,165793,0.7,346643,0.4,454744,2.00 38,1,2024-09-07 10:05:31:608,1199863,1199863,0,0,563956369953,5895675458,1183035,13524,3304,368,391821,0 38,2,2024-09-07 10:05:30:760,863929,863882,47,0,39228086,0,6710 38,3,2024-09-07 10:05:30:999,1,683,1,0,689,7897,683,0 39,0,2024-09-07 10:05:31:760,176410,0.6,172402,0.7,336525,0.5,459188,2.00 39,1,2024-09-07 10:05:30:715,1198344,1198344,0,0,561921197632,5891427756,1178732,15379,4233,365,391865,0 39,2,2024-09-07 10:05:31:418,860301,860301,0,0,37339730,0,3478 39,3,2024-09-07 10:05:30:712,1,683,1,0,525,7623,683,0 40,0,2024-09-07 10:05:31:488,171418,0.8,172063,0.9,343552,0.9,458346,2.75 40,1,2024-09-07 10:05:30:581,1198476,1198476,0,0,562041228392,5892890287,1179673,15220,3583,366,391668,0 40,2,2024-09-07 10:05:31:303,861977,861970,7,0,43479439,0,5347 40,3,2024-09-07 10:05:31:142,1,683,66,0,1028,9813,683,0 41,0,2024-09-07 10:05:31:021,165781,1.2,169641,1.1,323528,1.6,439064,3.00 41,1,2024-09-07 10:05:30:772,1197899,1197899,0,0,562955158157,5888934581,1182191,13183,2525,369,391878,0 41,2,2024-09-07 10:05:30:762,862252,862251,1,0,42405307,0,5408 41,3,2024-09-07 10:05:31:685,1,683,1,0,749,8421,683,0 42,0,2024-09-07 10:05:31:475,169315,1.0,169136,1.0,338761,1.1,449625,2.75 42,1,2024-09-07 10:05:31:441,1196223,1196223,0,0,561232688366,5893041853,1178622,14517,3084,380,391675,0 42,2,2024-09-07 10:05:31:138,861220,861219,1,0,43663809,0,5513 42,3,2024-09-07 10:05:31:009,1,683,1,0,892,6960,683,0 43,0,2024-09-07 10:05:30:921,170858,0.8,166221,1.0,348311,0.9,455379,2.25 43,1,2024-09-07 10:05:30:576,1199177,1199177,0,0,564442346586,5896784060,1183071,13358,2748,365,391696,0 43,2,2024-09-07 10:05:31:736,860826,860826,0,0,39965949,0,4723 43,3,2024-09-07 10:05:31:750,1,683,3,0,571,9282,683,0 44,0,2024-09-07 10:05:30:868,174634,0.4,174883,0.6,349103,0.4,465221,1.75 44,1,2024-09-07 10:05:30:582,1202403,1202403,0,0,563881437177,5834053910,1191079,9173,2151,356,391809,0 44,2,2024-09-07 10:05:31:269,861645,861645,0,0,33604483,0,4344 44,3,2024-09-07 10:05:31:096,1,683,144,0,1097,8882,683,0 45,0,2024-09-07 10:05:31:756,167651,0.4,163808,0.7,343567,0.4,450317,2.00 45,1,2024-09-07 10:05:31:005,1201800,1201800,0,0,564576111566,5870632367,1192254,8325,1221,382,391917,0 45,2,2024-09-07 10:05:31:269,867658,867658,0,0,33814516,0,3596 45,3,2024-09-07 10:05:30:942,1,683,1,0,531,6454,683,0 46,0,2024-09-07 10:05:30:957,167767,0.5,167200,0.7,335442,0.5,446603,2.00 46,1,2024-09-07 10:05:30:582,1203799,1203799,0,0,565272625451,5850441885,1194527,7791,1481,366,391709,0 46,2,2024-09-07 10:05:30:599,866249,866249,0,0,34629882,0,4443 46,3,2024-09-07 10:05:31:138,1,683,1,0,908,7600,683,0 47,0,2024-09-07 10:05:31:102,174129,0.5,173659,0.6,348871,0.5,462262,2.00 47,1,2024-09-07 10:05:30:571,1205040,1205040,0,0,564768290905,5838982330,1197534,6493,1013,364,391666,0 47,2,2024-09-07 10:05:30:908,863818,863818,0,0,34973391,0,4477 47,3,2024-09-07 10:05:31:116,1,683,21,0,600,7462,683,0 48,0,2024-09-07 10:05:31:491,174212,0.3,174760,0.4,348190,0.2,463728,1.50 48,1,2024-09-07 10:05:31:022,1201929,1201929,0,0,563841520552,5859224705,1194316,6601,1012,381,391710,0 48,2,2024-09-07 10:05:30:703,862874,862874,0,0,31763836,0,3619 48,3,2024-09-07 10:05:30:753,1,683,0,0,339,5946,683,0 49,0,2024-09-07 10:05:31:738,175888,0.3,172186,0.5,334929,0.3,456963,1.75 49,1,2024-09-07 10:05:31:024,1201063,1201063,0,0,564309664808,5868447775,1193199,6166,1698,382,391809,0 49,2,2024-09-07 10:05:31:828,868351,868351,0,0,33380179,0,4426 49,3,2024-09-07 10:05:31:416,1,683,2,0,992,8017,683,0 50,0,2024-09-07 10:05:31:518,167075,0.3,165717,0.5,333675,0.2,444239,1.75 50,1,2024-09-07 10:05:31:010,1204102,1204102,0,0,565405046486,5846028300,1196551,6819,732,368,391691,0 50,2,2024-09-07 10:05:31:067,864186,864186,0,0,31280016,0,4490 50,3,2024-09-07 10:05:31:291,1,683,1,0,617,7129,683,0 51,0,2024-09-07 10:05:31:690,176754,0.3,172927,0.5,336865,0.2,459478,1.75 51,1,2024-09-07 10:05:31:682,1204822,1204822,0,0,566627090561,5843666148,1198123,5355,1344,365,391706,0 51,2,2024-09-07 10:05:31:323,863550,863550,0,0,31028548,0,3337 51,3,2024-09-07 10:05:31:034,1,683,1,0,678,5451,683,0 52,0,2024-09-07 10:05:31:421,173190,0.5,173173,0.7,345901,0.4,460384,2.00 52,1,2024-09-07 10:05:30:581,1199174,1199174,0,0,562837918949,5890002973,1182429,14318,2427,368,391805,0 52,2,2024-09-07 10:05:31:754,857521,857483,38,0,42090619,0,6742 52,3,2024-09-07 10:05:30:703,1,683,1,0,1782,7897,683,0 53,0,2024-09-07 10:05:31:728,171633,0.6,166570,0.8,348506,0.7,456148,2.25 53,1,2024-09-07 10:05:30:771,1197945,1197945,0,0,563351486694,5905674507,1178793,14739,4413,367,391968,0 53,2,2024-09-07 10:05:31:302,866001,865863,138,0,38649074,0,7690 53,3,2024-09-07 10:05:30:700,1,683,1,0,308,6446,683,0 54,0,2024-09-07 10:05:31:621,164439,0.6,164872,0.7,328351,0.5,438674,2.25 54,1,2024-09-07 10:05:30:594,1201039,1201039,0,0,564610462353,5869644508,1189263,10102,1674,366,391810,0 54,2,2024-09-07 10:05:30:865,865821,865789,32,0,41877244,0,6397 54,3,2024-09-07 10:05:30:764,1,683,26,0,676,8818,683,0 55,0,2024-09-07 10:05:31:760,165387,0.7,170394,0.8,345833,0.6,451035,2.50 55,1,2024-09-07 10:05:30:765,1201453,1201453,0,0,563487078732,5859334513,1189430,10536,1487,365,391731,0 55,2,2024-09-07 10:05:30:728,862884,862828,56,0,38354689,0,7239 55,3,2024-09-07 10:05:30:690,1,683,0,0,304,6255,683,0 56,0,2024-09-07 10:05:31:579,175890,1.2,165740,1.2,341581,1.6,457230,2.75 56,1,2024-09-07 10:05:30:595,1193992,1193992,0,0,561262739818,5934386992,1174501,15737,3754,381,391867,0 56,2,2024-09-07 10:05:31:309,858705,858583,122,0,40969267,0,7432 56,3,2024-09-07 10:05:31:064,1,683,0,0,705,8198,683,0 57,0,2024-09-07 10:05:30:970,172116,1.4,172108,1.2,343758,1.8,459369,3.00 57,1,2024-09-07 10:05:31:005,1197092,1197092,0,0,561945439394,5895642734,1181388,13002,2702,366,392032,0 57,2,2024-09-07 10:05:31:319,862817,862817,0,0,43923461,0,4804 57,3,2024-09-07 10:05:31:739,1,683,1,0,455,7383,683,0 58,0,2024-09-07 10:05:30:608,165757,0.9,160940,1.0,336836,1.1,440242,2.50 58,1,2024-09-07 10:05:30:595,1197902,1197899,0,3,562895927663,5903094909,1179539,13959,4401,367,391726,3 58,2,2024-09-07 10:05:31:083,866452,866452,0,0,39959628,0,3483 58,3,2024-09-07 10:05:31:070,1,683,3,0,1043,7439,683,0 59,0,2024-09-07 10:05:31:768,168413,0.9,167649,1.0,335179,1.0,445273,2.75 59,1,2024-09-07 10:05:30:813,1197604,1197604,0,0,562092739251,5905511569,1178117,15649,3838,369,391653,0 59,2,2024-09-07 10:05:30:592,864492,864492,0,0,38067068,0,3727 59,3,2024-09-07 10:05:31:739,1,683,1,0,1015,8045,683,0 60,0,2024-09-07 10:05:31:717,172843,0.6,173047,0.7,346007,0.5,461411,2.00 60,1,2024-09-07 10:05:30:777,1201254,1201254,0,0,564369492342,5879312022,1190326,9426,1502,370,392031,0 60,2,2024-09-07 10:05:31:142,861377,861377,0,0,36294878,0,3811 60,3,2024-09-07 10:05:31:260,1,683,12,0,409,7894,683,0 61,0,2024-09-07 10:05:31:509,174160,0.6,174915,0.8,348405,0.6,464794,2.00 61,1,2024-09-07 10:05:30:770,1198473,1198473,0,0,562733373060,5894067693,1185802,10820,1851,382,392127,0 61,2,2024-09-07 10:05:31:125,863257,863190,67,0,37282498,0,6411 61,3,2024-09-07 10:05:31:687,1,683,5,0,607,8501,683,0 62,0,2024-09-07 10:05:31:708,171193,0.5,175556,0.7,335159,0.5,455231,2.00 62,1,2024-09-07 10:05:31:111,1205775,1205769,0,6,566034281946,5844793926,1198517,6635,617,365,391975,6 62,2,2024-09-07 10:05:31:664,864733,864732,1,0,37054584,0,5555 62,3,2024-09-07 10:05:31:149,1,683,1,0,482,5399,683,0 63,0,2024-09-07 10:05:31:452,168222,0.4,167940,0.6,336524,0.3,447484,1.75 63,1,2024-09-07 10:05:30:810,1202952,1202946,0,6,564865447567,5869085693,1195035,6961,950,381,391800,6 63,2,2024-09-07 10:05:30:762,864611,864611,0,0,36169631,0,4369 63,3,2024-09-07 10:05:31:732,1,683,8,0,667,8063,683,0 64,0,2024-09-07 10:05:31:566,172065,0.5,171964,0.7,343507,0.5,458349,2.00 64,1,2024-09-07 10:05:30:752,1201200,1201200,0,0,564414750151,5876553202,1190996,8177,2027,370,391794,0 64,2,2024-09-07 10:05:31:160,865267,865248,19,0,34719153,0,6121 64,3,2024-09-07 10:05:31:151,1,683,2,0,651,7835,683,0 65,0,2024-09-07 10:05:31:686,171988,0.6,172159,0.7,343919,0.6,459190,2.00 65,1,2024-09-07 10:05:30:864,1199394,1199394,0,0,562884509211,5882438330,1191152,7344,898,381,391901,0 65,2,2024-09-07 10:05:31:697,860360,860360,0,0,41386604,0,3367 65,3,2024-09-07 10:05:31:692,1,683,11,0,782,8067,683,0 66,0,2024-09-07 10:05:31:763,171576,0.5,170920,0.7,341990,0.5,454989,2.00 66,1,2024-09-07 10:05:31:301,1201140,1201140,0,0,564038026510,5870345952,1193646,6621,873,380,391743,0 66,2,2024-09-07 10:05:31:137,868920,868917,3,0,36373031,0,5455 66,3,2024-09-07 10:05:31:083,1,683,9,0,291,6140,683,0 67,0,2024-09-07 10:05:31:417,165930,0.5,165547,0.7,331725,0.5,441724,2.00 67,1,2024-09-07 10:05:30:766,1201160,1201159,0,1,563154979170,5864389565,1192725,7294,1140,380,391787,1 67,2,2024-09-07 10:05:30:591,867699,867684,15,0,36640775,0,6205 67,3,2024-09-07 10:05:31:752,1,683,4,0,595,7285,683,0 68,0,2024-09-07 10:05:30:582,171828,0.6,171587,0.8,341840,0.6,457654,2.25 68,1,2024-09-07 10:05:30:758,1196833,1196833,0,0,561663251951,5910098241,1180108,12453,4272,381,391953,0 68,2,2024-09-07 10:05:31:054,859372,859272,100,0,44800343,0,8578 68,3,2024-09-07 10:05:30:736,1,683,8,0,417,9635,683,0 69,0,2024-09-07 10:05:31:737,172293,0.7,172758,0.8,344802,0.8,459078,2.25 69,1,2024-09-07 10:05:31:017,1195983,1195983,0,0,561249306450,5912452720,1181096,11905,2982,383,391994,0 69,2,2024-09-07 10:05:31:737,857619,857590,29,0,47638804,0,6912 69,3,2024-09-07 10:05:30:760,1,683,3,0,698,10339,683,0 70,0,2024-09-07 10:05:31:535,171134,0.7,171850,0.9,344593,0.6,456858,2.50 70,1,2024-09-07 10:05:30:803,1202509,1202509,0,0,565146797322,5866021998,1193062,8402,1045,366,391725,0 70,2,2024-09-07 10:05:31:326,864784,864784,0,0,39241557,0,4323 70,3,2024-09-07 10:05:30:745,1,683,0,0,854,7666,683,0 71,0,2024-09-07 10:05:31:370,165721,0.8,165271,1.0,331494,1.0,441629,2.50 71,1,2024-09-07 10:05:31:597,1200351,1200351,0,0,562634561126,5871262824,1185920,12645,1786,367,391738,0 71,2,2024-09-07 10:05:31:067,864640,864640,0,0,39676792,0,4352 71,3,2024-09-07 10:05:31:752,1,683,4,0,644,7573,683,0 72,0,2024-09-07 10:05:31:170,175939,0.6,171861,0.7,335785,0.5,457079,2.00 72,1,2024-09-07 10:05:31:022,1198566,1198566,0,0,562406176095,5897926827,1181714,14119,2733,369,391819,0 72,2,2024-09-07 10:05:31:758,862382,862382,0,0,41611042,0,3983 72,3,2024-09-07 10:05:31:757,1,683,1,0,564,9492,683,0 73,0,2024-09-07 10:05:31:134,168917,0.5,173119,0.6,353731,0.4,460489,2.00 73,1,2024-09-07 10:05:30:780,1200349,1200349,0,0,563772910212,5861729327,1190823,8464,1062,367,391858,0 73,2,2024-09-07 10:05:31:738,859696,859695,1,0,43553262,0,5027 73,3,2024-09-07 10:05:30:976,1,683,2,0,1091,9269,683,0 74,0,2024-09-07 10:05:31:321,175481,0.5,179779,0.7,342978,0.4,465585,2.00 74,1,2024-09-07 10:05:30:637,1198942,1198942,0,0,563032493082,5875612278,1186584,10210,2148,381,391762,0 74,2,2024-09-07 10:05:31:002,862110,862110,0,0,37843658,0,4253 74,3,2024-09-07 10:05:31:442,1,683,1,0,522,7882,683,0 75,0,2024-09-07 10:05:31:764,169541,0.5,168587,0.7,338355,0.4,451703,2.00 75,1,2024-09-07 10:05:31:587,1200294,1200294,0,0,562860262245,5866052544,1190415,8886,993,380,391739,0 75,2,2024-09-07 10:05:31:352,865484,865484,0,0,45047133,0,4766 75,3,2024-09-07 10:05:31:066,1,683,1,0,918,9481,683,0 76,0,2024-09-07 10:05:30:616,168016,0.6,167422,0.8,334648,0.6,448201,2.25 76,1,2024-09-07 10:05:30:806,1199975,1199975,0,0,562581677126,5871534911,1191083,7583,1309,382,391790,0 76,2,2024-09-07 10:05:31:064,867229,867226,3,0,37971554,0,5265 76,3,2024-09-07 10:05:31:144,1,683,0,0,249,6283,683,0 77,0,2024-09-07 10:05:31:766,173032,0.6,173368,0.7,347039,0.6,461198,2.00 77,1,2024-09-07 10:05:30:845,1199200,1199200,0,0,562840538908,5879942300,1188929,9183,1088,381,391869,0 77,2,2024-09-07 10:05:31:280,860403,860403,0,0,36608758,0,3890 77,3,2024-09-07 10:05:31:096,1,683,1,0,401,7801,683,0 78,0,2024-09-07 10:05:31:718,174503,0.4,173753,0.6,348889,0.4,461876,2.00 78,1,2024-09-07 10:05:30:610,1199085,1199085,0,0,562404458568,5872594867,1183556,12197,3332,367,391670,0 78,2,2024-09-07 10:05:31:408,863166,863153,13,0,34300384,0,8313 78,3,2024-09-07 10:05:31:144,1,683,12,0,311,5954,683,0 79,0,2024-09-07 10:05:31:446,165215,0.4,169258,0.6,346745,0.3,451411,2.00 79,1,2024-09-07 10:05:30:574,1203376,1203376,0,0,564626026243,5850527652,1193564,8011,1801,367,391682,0 79,2,2024-09-07 10:05:31:087,867685,867685,0,0,33367055,0,4195 79,3,2024-09-07 10:05:30:750,1,683,13,0,418,7683,683,0 80,0,2024-09-07 10:05:31:077,166732,0.5,171205,0.7,326938,0.5,443208,2.00 80,1,2024-09-07 10:05:31:619,1199811,1199811,0,0,563381240760,5867603056,1191832,7398,581,368,392269,0 80,2,2024-09-07 10:05:31:094,867715,867715,0,0,33775420,0,4433 80,3,2024-09-07 10:05:30:575,1,683,1,0,681,8317,683,0 81,0,2024-09-07 10:05:31:535,172158,0.6,176230,0.7,335976,0.6,456252,2.00 81,1,2024-09-07 10:05:31:663,1199350,1199350,0,0,562481281366,5879407145,1189748,8629,973,382,392001,0 81,2,2024-09-07 10:05:31:153,862721,862658,63,0,37512851,0,5932 81,3,2024-09-07 10:05:31:124,1,683,8,0,719,7596,683,0 82,0,2024-09-07 10:05:31:537,173219,0.5,173313,0.7,347057,0.5,460925,2.00 82,1,2024-09-07 10:05:30:588,1201108,1201104,0,4,564042746736,5868992335,1194172,5808,1124,381,391768,4 82,2,2024-09-07 10:05:31:691,863775,863775,0,0,31172206,0,4484 82,3,2024-09-07 10:05:31:752,1,683,84,0,363,6213,683,0 83,0,2024-09-07 10:05:31:536,172248,0.5,172142,0.7,344022,0.5,456823,2.25 83,1,2024-09-07 10:05:30:561,1199949,1199949,0,0,562973427373,5870308846,1190971,7952,1026,382,391709,0 83,2,2024-09-07 10:05:30:767,866164,866139,25,0,34033611,0,5612 83,3,2024-09-07 10:05:30:749,1,683,39,0,1260,8028,683,0 84,0,2024-09-07 10:05:31:764,164801,0.7,164861,0.9,329794,0.7,440543,2.25 84,1,2024-09-07 10:05:31:048,1198297,1198297,0,0,562520973992,5884476724,1184614,11600,2083,367,391967,0 84,2,2024-09-07 10:05:30:581,863355,862945,410,0,49153065,0,17037 84,3,2024-09-07 10:05:31:147,1,683,0,0,908,9563,683,0 85,0,2024-09-07 10:05:31:074,164492,0.7,164517,0.8,349305,0.6,451374,2.25 85,1,2024-09-07 10:05:30:591,1194395,1194395,0,0,560437366387,5914466048,1176613,14852,2930,381,392092,0 85,2,2024-09-07 10:05:30:930,863334,863334,0,0,41593366,0,4255 85,3,2024-09-07 10:05:30:742,1,683,0,0,789,7734,683,0 86,0,2024-09-07 10:05:30:906,171995,0.7,176942,0.8,338762,0.8,458059,2.25 86,1,2024-09-07 10:05:30:827,1196933,1196933,0,0,562506903384,5907556388,1179353,14265,3315,366,392169,0 86,2,2024-09-07 10:05:30:862,859258,859257,1,0,43638214,0,5004 86,3,2024-09-07 10:05:30:591,1,683,2,0,441,9524,683,0 87,0,2024-09-07 10:05:31:287,174435,0.8,173185,0.8,346941,0.9,463293,2.25 87,1,2024-09-07 10:05:30:581,1197462,1197462,0,0,561667997622,5883859712,1182557,12743,2162,366,392076,0 87,2,2024-09-07 10:05:31:086,862329,862323,6,0,40868005,0,6323 87,3,2024-09-07 10:05:31:847,1,683,5,0,473,9455,683,0 88,0,2024-09-07 10:05:31:441,168030,0.4,168499,0.6,336978,0.4,447949,1.75 88,1,2024-09-07 10:05:30:582,1196120,1196120,0,0,561521965586,5885219313,1179320,13662,3138,365,392084,0 88,2,2024-09-07 10:05:30:701,865846,865846,0,0,43174949,0,4465 88,3,2024-09-07 10:05:31:267,1,683,1,0,1080,11043,683,0 89,0,2024-09-07 10:05:31:765,174381,0.4,169333,0.6,333696,0.4,453911,1.75 89,1,2024-09-07 10:05:30:551,1196011,1196011,0,0,561814945057,5908470947,1181345,12389,2277,382,391866,0 89,2,2024-09-07 10:05:31:141,864197,864197,0,0,40617232,0,3173 89,3,2024-09-07 10:05:31:791,1,683,1,0,729,12259,683,0 90,0,2024-09-07 10:05:31:631,167887,0.5,172343,0.7,352042,0.5,459218,2.00 90,1,2024-09-07 10:05:30:603,1197246,1197246,0,0,562779961149,5897897024,1184804,11174,1268,380,391825,0 90,2,2024-09-07 10:05:31:409,856659,856654,5,0,43757189,0,6370 90,3,2024-09-07 10:05:30:938,1,683,1,0,364,9017,683,0 91,0,2024-09-07 10:05:30:935,174934,0.5,169731,0.6,355000,0.4,465809,1.75 91,1,2024-09-07 10:05:30:568,1194387,1194387,0,0,561758139417,5926162683,1175682,14559,4146,381,392047,0 91,2,2024-09-07 10:05:31:332,861599,861599,0,0,39075937,0,4713 91,3,2024-09-07 10:05:30:600,1,683,1,0,231,6441,683,0 92,0,2024-09-07 10:05:31:512,172082,0.4,176057,0.6,335610,0.4,455535,1.75 92,1,2024-09-07 10:05:30:596,1198882,1198882,0,0,563007665040,5883212259,1189403,8168,1311,381,392136,0 92,2,2024-09-07 10:05:31:374,866451,866451,0,0,35764627,0,3906 92,3,2024-09-07 10:05:31:013,1,683,3,0,322,6197,683,0 93,0,2024-09-07 10:05:30:969,168789,0.4,172955,0.6,330089,0.4,447421,1.75 93,1,2024-09-07 10:05:30:822,1199424,1199424,0,0,562479582651,5880037534,1185036,11955,2433,365,392048,0 93,2,2024-09-07 10:05:30:944,864844,864844,0,0,41178141,0,4913 93,3,2024-09-07 10:05:31:416,1,683,2,0,788,8463,683,0 94,0,2024-09-07 10:05:31:727,172001,0.4,173136,0.6,346613,0.4,460690,1.75 94,1,2024-09-07 10:05:30:577,1200391,1200391,0,0,563921331820,5882982268,1192118,7623,650,381,391850,0 94,2,2024-09-07 10:05:30:777,862085,862057,28,0,35820427,0,6179 94,3,2024-09-07 10:05:31:690,1,683,7,0,576,8318,683,0 95,0,2024-09-07 10:05:31:383,173237,0.4,173110,0.6,346665,0.3,462118,1.75 95,1,2024-09-07 10:05:30:852,1201014,1201014,0,0,563695389036,5859760906,1191652,8551,811,365,391852,0 95,2,2024-09-07 10:05:31:017,860140,860140,0,0,35901688,0,3308 95,3,2024-09-07 10:05:31:713,1,683,4,0,718,10470,683,0 96,0,2024-09-07 10:05:31:027,171880,0.3,172163,0.5,344442,0.3,457619,1.75 96,1,2024-09-07 10:05:31:588,1198893,1198893,0,0,562492155007,5870705794,1189981,7534,1378,384,391964,0 96,2,2024-09-07 10:05:31:270,867969,867969,0,0,36196138,0,4225 96,3,2024-09-07 10:05:31:145,1,683,2,0,411,7683,683,0 97,0,2024-09-07 10:05:31:335,165989,0.3,165786,0.5,332215,0.3,441740,1.50 97,1,2024-09-07 10:05:30:777,1201623,1201623,0,0,564821391508,5861781389,1193739,6645,1239,367,392140,0 97,2,2024-09-07 10:05:30:610,866362,866362,0,0,36306482,0,4600 97,3,2024-09-07 10:05:30:588,1,683,12,0,433,8255,683,0 98,0,2024-09-07 10:05:31:729,171450,0.3,171324,0.5,344300,0.3,458056,1.50 98,1,2024-09-07 10:05:30:581,1200666,1200666,0,0,563216485042,5867426231,1193228,6539,899,381,391997,0 98,2,2024-09-07 10:05:30:770,863277,863277,0,0,34746937,0,4336 98,3,2024-09-07 10:05:30:701,1,683,7,0,840,9625,683,0 99,0,2024-09-07 10:05:31:451,173102,0.3,173983,0.5,346604,0.3,461784,1.75 99,1,2024-09-07 10:05:31:724,1201793,1201793,0,0,563504115896,5866237721,1194018,6668,1107,380,392069,0 99,2,2024-09-07 10:05:31:418,859911,859911,0,0,43580943,0,4276 99,3,2024-09-07 10:05:30:581,1,683,11,0,1124,8531,683,0 100,0,2024-09-07 10:05:31:461,172527,0.8,173073,0.9,345893,0.9,460897,2.50 100,1,2024-09-07 10:05:30:725,1194383,1194383,0,0,560767302512,5932251155,1175343,15245,3795,378,391989,0 100,2,2024-09-07 10:05:31:853,860674,860285,389,0,45197408,0,16909 100,3,2024-09-07 10:05:31:731,1,683,9,0,627,10744,683,0 101,0,2024-09-07 10:05:31:705,170327,0.9,166264,1.0,325187,0.9,443534,2.25 101,1,2024-09-07 10:05:30:582,1196502,1196502,0,0,561558308894,5902418594,1180136,13428,2938,368,392018,0 101,2,2024-09-07 10:05:31:757,860253,860214,39,0,47135606,0,5913 101,3,2024-09-07 10:05:30:946,1,683,1,0,1250,10559,683,0 102,0,2024-09-07 10:05:30:949,165779,0.6,170759,0.8,346920,0.6,453940,2.25 102,1,2024-09-07 10:05:31:142,1196653,1196653,0,0,562019730129,5902354301,1180931,13466,2256,369,391984,0 102,2,2024-09-07 10:05:31:738,865107,865053,54,0,39004859,0,6768 102,3,2024-09-07 10:05:31:615,1,683,7,0,466,7968,683,0 103,0,2024-09-07 10:05:31:684,178621,0.7,178593,0.8,336545,0.8,462778,2.25 103,1,2024-09-07 10:05:31:635,1195074,1195074,0,0,561242514436,5926051236,1176166,15282,3626,381,392077,0 103,2,2024-09-07 10:05:30:581,858468,858468,0,0,42312093,0,3766 103,3,2024-09-07 10:05:30:762,1,683,1,0,916,8077,683,0 104,0,2024-09-07 10:05:31:205,173215,0.7,173616,0.9,346419,0.7,462757,2.25 104,1,2024-09-07 10:05:31:621,1197215,1197215,0,0,561145808484,5900531001,1178789,14969,3457,365,392168,0 104,2,2024-09-07 10:05:31:672,860336,860336,0,0,41543964,0,4161 104,3,2024-09-07 10:05:31:418,1,683,5,0,1245,11703,683,0 105,0,2024-09-07 10:05:31:150,167576,0.8,163296,1.0,342292,0.9,449482,2.50 105,1,2024-09-07 10:05:30:584,1199443,1199443,0,0,562165308437,5889115606,1184679,12650,2114,364,392009,0 105,2,2024-09-07 10:05:31:340,865303,865303,0,0,42882587,0,4360 105,3,2024-09-07 10:05:31:304,1,683,1,0,573,10224,683,0 106,0,2024-09-07 10:05:30:951,162751,1.0,166846,1.0,341299,1.2,446521,2.50 106,1,2024-09-07 10:05:31:750,1197419,1197419,0,0,562126858629,5898612542,1180995,14274,2150,368,391914,0 106,2,2024-09-07 10:05:30:795,864463,864463,0,0,39555697,0,3331 106,3,2024-09-07 10:05:30:692,1,683,1,0,1224,9560,683,0 107,0,2024-09-07 10:05:31:101,173094,1.3,173090,1.1,346356,1.9,462169,2.25 107,1,2024-09-07 10:05:30:586,1194922,1194922,0,0,559692043551,5917083397,1175832,16834,2256,381,392234,0 107,2,2024-09-07 10:05:31:292,857132,857131,1,0,43059243,0,5024 107,3,2024-09-07 10:05:31:754,1,683,23,0,733,10860,683,0 108,0,2024-09-07 10:05:31:930,173583,0.4,174413,0.6,347767,0.4,463615,1.75 108,1,2024-09-07 10:05:31:344,1198426,1198426,0,0,562855364413,5879469291,1186966,10200,1260,367,391894,0 108,2,2024-09-07 10:05:31:799,862390,862390,0,0,38598838,0,4432 108,3,2024-09-07 10:05:31:348,1,683,6,0,767,12427,683,0 109,0,2024-09-07 10:05:31:868,171780,0.4,170390,0.6,341897,0.3,455980,1.75 109,1,2024-09-07 10:05:30:623,1196818,1196818,0,0,562107369198,5899298960,1186174,9140,1504,382,392132,0 109,2,2024-09-07 10:05:30:935,865232,865232,0,0,38598019,0,3617 109,3,2024-09-07 10:05:31:183,1,683,29,0,630,7910,683,0 110,0,2024-09-07 10:05:31:761,166433,0.4,161806,0.6,338858,0.3,445142,1.75 110,1,2024-09-07 10:05:31:663,1201004,1201004,0,0,564325736391,5861943101,1191543,7377,2084,368,392045,0 110,2,2024-09-07 10:05:31:303,865240,865240,0,0,37730188,0,4067 110,3,2024-09-07 10:05:30:704,1,683,1,0,722,9318,683,0 111,0,2024-09-07 10:05:31:417,172893,0.4,172098,0.6,343702,0.4,458995,1.75 111,1,2024-09-07 10:05:31:000,1202309,1202309,0,0,564260747041,5860858631,1195061,6742,506,380,391690,0 111,2,2024-09-07 10:05:31:117,862847,862847,0,0,37158793,0,4823 111,3,2024-09-07 10:05:30:924,1,683,1,0,379,7593,683,0 112,0,2024-09-07 10:05:30:928,173894,0.3,173498,0.4,347742,0.2,462199,1.50 112,1,2024-09-07 10:05:30:824,1201140,1201140,0,0,563677029614,5851471145,1193553,6361,1226,380,391624,0 112,2,2024-09-07 10:05:31:137,861605,861604,1,0,34851881,0,5036 112,3,2024-09-07 10:05:30:596,1,683,0,0,282,6806,683,0 113,0,2024-09-07 10:05:30:868,172216,0.3,172505,0.5,345409,0.2,459218,1.75 113,1,2024-09-07 10:05:31:689,1204944,1204944,0,0,565715966073,5836901388,1198034,5959,951,365,391664,0 113,2,2024-09-07 10:05:31:302,868511,868511,0,0,32148890,0,3813 113,3,2024-09-07 10:05:30:693,1,683,1,0,510,6974,683,0 114,0,2024-09-07 10:05:30:875,166377,0.3,167504,0.5,333994,0.2,446049,1.75 114,1,2024-09-07 10:05:30:728,1200845,1200845,0,0,563919012286,5856065093,1192018,7023,1804,381,391565,0 114,2,2024-09-07 10:05:30:874,867520,867519,1,0,34833550,0,5069 114,3,2024-09-07 10:05:31:286,1,683,1,0,415,5651,683,0 115,0,2024-09-07 10:05:30:554,172025,0.3,172660,0.4,343792,0.2,457894,1.50 115,1,2024-09-07 10:05:30:581,1201766,1201766,0,0,565201674582,5871880249,1192212,7763,1791,382,391757,0 115,2,2024-09-07 10:05:31:127,865652,865652,0,0,34722918,0,4382 115,3,2024-09-07 10:05:31:002,1,683,2,0,173,4402,683,0 116,0,2024-09-07 10:05:31:717,172006,0.8,172082,0.9,344800,0.8,460667,2.25 116,1,2024-09-07 10:05:30:853,1195226,1195226,0,0,561921069902,5920276369,1181166,10846,3214,380,392089,0 116,2,2024-09-07 10:05:31:758,860136,860136,0,0,41751064,0,4475 116,3,2024-09-07 10:05:30:929,1,683,4,0,448,8832,683,0 117,0,2024-09-07 10:05:31:009,174169,0.6,173874,0.8,348037,0.7,464634,2.00 117,1,2024-09-07 10:05:31:586,1197786,1197786,0,0,562052981212,5888383531,1183975,12048,1763,369,392429,0 117,2,2024-09-07 10:05:31:124,864888,864888,0,0,38420428,0,4303 117,3,2024-09-07 10:05:31:067,1,683,3,0,490,8418,683,0 118,0,2024-09-07 10:05:31:772,163022,0.5,167456,0.7,341587,0.5,446247,2.00 118,1,2024-09-07 10:05:30:589,1196191,1196191,0,0,561317719559,5900338652,1178695,13651,3845,366,392054,0 118,2,2024-09-07 10:05:31:587,865661,865661,0,0,40959173,0,3161 118,3,2024-09-07 10:05:31:772,1,683,1,0,343,8184,683,0 119,0,2024-09-07 10:05:31:336,169416,0.7,169739,0.8,339011,0.7,452354,2.25 119,1,2024-09-07 10:05:30:556,1197151,1197151,0,0,562203588926,5896316067,1181136,13348,2667,367,391857,0 119,2,2024-09-07 10:05:31:260,865154,865154,0,0,37946073,0,4309 119,3,2024-09-07 10:05:31:340,1,683,7,0,1358,12159,683,0 120,0,2024-09-07 10:05:31:579,172161,0.7,172068,0.9,345402,0.8,460437,2.50 120,1,2024-09-07 10:05:30:860,1198005,1198005,0,0,561594831572,5889984755,1185343,11505,1157,367,392144,0 120,2,2024-09-07 10:05:30:770,858940,858937,3,0,45650743,0,5363 120,3,2024-09-07 10:05:31:289,1,683,1,0,478,8833,683,0 121,0,2024-09-07 10:05:31:710,174365,1.1,173845,1.0,347852,1.5,463664,2.25 121,1,2024-09-07 10:05:31:667,1197639,1197639,0,0,562309346852,5888100455,1184122,11521,1996,366,391840,0 121,2,2024-09-07 10:05:31:142,862227,862227,0,0,41461219,0,4157 121,3,2024-09-07 10:05:30:728,1,683,2,0,387,9206,683,0 122,0,2024-09-07 10:05:31:760,169974,0.7,165372,0.8,345937,0.8,454996,2.00 122,1,2024-09-07 10:05:30:868,1196959,1196959,0,0,562117607558,5897694959,1180691,13691,2577,365,392130,0 122,2,2024-09-07 10:05:31:334,866525,866450,75,0,45704902,0,5989 122,3,2024-09-07 10:05:30:602,1,683,1,0,512,10479,683,0 123,0,2024-09-07 10:05:30:951,166674,0.8,162505,0.9,340677,0.9,445362,2.25 123,1,2024-09-07 10:05:30:577,1197942,1197942,0,0,561844363749,5909377602,1178858,16059,3025,369,392039,0 123,2,2024-09-07 10:05:31:019,863767,863766,1,0,39191774,0,5215 123,3,2024-09-07 10:05:31:134,1,683,2,0,478,7749,683,0 124,0,2024-09-07 10:05:30:929,177578,0.4,177555,0.5,334551,0.3,461487,1.75 124,1,2024-09-07 10:05:31:022,1200993,1200993,0,0,563604186408,5863037073,1191460,8272,1261,365,392178,0 124,2,2024-09-07 10:05:31:016,863735,863682,53,0,36427331,0,6487 124,3,2024-09-07 10:05:30:758,1,683,4,0,490,7164,683,0 125,0,2024-09-07 10:05:31:428,173224,0.4,173084,0.6,346902,0.3,462640,1.75 125,1,2024-09-07 10:05:30:868,1198166,1198166,0,0,562265706310,5878216479,1187130,9454,1582,382,392045,0 125,2,2024-09-07 10:05:31:130,863814,863814,0,0,35951218,0,4534 125,3,2024-09-07 10:05:31:133,1,683,2,0,709,7670,683,0 126,0,2024-09-07 10:05:31:417,172257,0.4,177051,0.5,338340,0.3,458425,1.75 126,1,2024-09-07 10:05:30:552,1201782,1201782,0,0,564242922172,5848699710,1194508,6572,702,365,391987,0 126,2,2024-09-07 10:05:30:609,867902,867902,0,0,37716273,0,4539 126,3,2024-09-07 10:05:30:916,1,683,2,0,268,7820,683,0 127,0,2024-09-07 10:05:31:598,166205,0.3,166588,0.5,332241,0.3,441964,1.75 127,1,2024-09-07 10:05:30:575,1200531,1200531,0,0,563479719116,5865835397,1188079,10676,1776,364,392187,0 127,2,2024-09-07 10:05:30:639,865998,865994,4,0,35645080,0,5305 127,3,2024-09-07 10:05:31:270,1,683,21,0,968,6790,683,0 128,0,2024-09-07 10:05:31:545,172453,0.3,172491,0.4,344877,0.2,458894,1.50 128,1,2024-09-07 10:05:31:612,1199548,1199548,0,0,563496819007,5859347901,1190682,7896,970,367,392423,0 128,2,2024-09-07 10:05:31:386,865795,865795,0,0,33147974,0,3171 128,3,2024-09-07 10:05:30:773,1,683,1,0,1082,10330,683,0 129,0,2024-09-07 10:05:31:005,174311,0.3,173679,0.5,348208,0.3,462526,1.75 129,1,2024-09-07 10:05:30:581,1196809,1196809,0,0,561997515759,5896808621,1183876,10604,2329,379,391962,0 129,2,2024-09-07 10:05:30:692,862732,862728,4,0,35745369,0,5335 129,3,2024-09-07 10:05:30:700,1,683,21,0,506,9879,683,0 130,0,2024-09-07 10:05:31:727,173741,0.4,173533,0.5,347438,0.4,462383,1.75 130,1,2024-09-07 10:05:30:591,1201700,1201700,0,0,563825766106,5855506340,1195859,5434,407,381,391825,0 130,2,2024-09-07 10:05:31:133,865183,865183,0,0,35558428,0,4067 130,3,2024-09-07 10:05:31:291,1,683,1,0,960,8879,683,0 131,0,2024-09-07 10:05:32:009,166699,0.3,167211,0.5,335258,0.3,445149,1.50 131,1,2024-09-07 10:05:31:836,1200504,1200504,0,0,563172111496,5869560707,1192365,6931,1208,381,391865,0 131,2,2024-09-07 10:05:30:583,866579,866579,0,0,33884902,0,3979 131,3,2024-09-07 10:05:31:689,1,683,1,0,392,8650,683,0 132,0,2024-09-07 10:05:31:416,170976,0.5,171906,0.6,342164,0.4,455941,2.00 132,1,2024-09-07 10:05:30:582,1196251,1196251,0,0,561675300869,5910358388,1179754,13715,2782,381,392532,0 132,2,2024-09-07 10:05:30:706,864449,864432,17,0,42419653,0,6451 132,3,2024-09-07 10:05:31:706,1,683,3,0,1298,11790,683,0 133,0,2024-09-07 10:05:31:540,168888,0.5,172933,0.6,354549,0.4,461141,2.00 133,1,2024-09-07 10:05:30:608,1196133,1196133,0,0,561833451282,5916669810,1180701,13498,1934,383,391914,0 133,2,2024-09-07 10:05:31:088,860962,860912,50,0,43364503,0,6861 133,3,2024-09-07 10:05:31:307,1,683,4,0,528,8007,683,0 134,0,2024-09-07 10:05:30:961,175209,0.5,175056,0.7,350053,0.5,466087,2.00 134,1,2024-09-07 10:05:30:590,1197439,1197439,0,0,561651232780,5894026194,1181359,12788,3292,366,391781,0 134,2,2024-09-07 10:05:31:756,861921,861782,139,0,40935465,0,7591 134,3,2024-09-07 10:05:30:751,1,683,0,0,739,8452,683,0 135,0,2024-09-07 10:05:31:095,164113,0.7,164106,0.8,348392,0.8,448866,2.25 135,1,2024-09-07 10:05:31:594,1197208,1197208,0,0,561888956856,5906453414,1182810,12546,1852,380,392038,0 135,2,2024-09-07 10:05:30:694,867509,867509,0,0,41600652,0,4503 135,3,2024-09-07 10:05:31:001,1,683,33,0,900,6639,683,0 136,0,2024-09-07 10:05:31:619,168752,0.6,169373,0.8,336568,0.6,449668,2.25 136,1,2024-09-07 10:05:31:447,1198425,1198425,0,0,562282200272,5891786818,1185599,11400,1426,381,392135,0 136,2,2024-09-07 10:05:31:143,866817,866802,15,0,40746677,0,6007 136,3,2024-09-07 10:05:31:107,1,683,1,0,637,8024,683,0 137,0,2024-09-07 10:05:30:973,178825,0.7,174369,0.8,341451,0.7,463809,2.00 137,1,2024-09-07 10:05:30:574,1197205,1197205,0,0,562254095062,5896243246,1178779,14787,3639,366,391898,0 137,2,2024-09-07 10:05:31:708,859927,859927,0,0,41712516,0,3185 137,3,2024-09-07 10:05:30:770,1,683,1,0,484,9755,683,0 138,0,2024-09-07 10:05:31:759,172935,0.9,173254,0.9,347087,1.2,461900,2.25 138,1,2024-09-07 10:05:31:689,1196921,1196921,0,0,562255689143,5901765888,1179789,14441,2691,368,391954,0 138,2,2024-09-07 10:05:30:587,860497,860497,0,0,40195225,0,4988 138,3,2024-09-07 10:05:30:610,1,683,1,0,1200,10338,683,0 139,0,2024-09-07 10:05:31:360,169714,0.9,170204,0.9,340486,1.2,454348,2.25 139,1,2024-09-07 10:05:30:583,1193179,1193179,0,0,558959990801,5923318497,1173285,15981,3913,380,392109,0 139,2,2024-09-07 10:05:30:694,861080,861050,30,0,45802251,0,5997 139,3,2024-09-07 10:05:31:662,1,683,1,0,432,8124,683,0 140,0,2024-09-07 10:05:31:598,167073,0.3,166388,0.5,333679,0.2,445459,1.75 140,1,2024-09-07 10:05:31:542,1204519,1204519,0,0,565016431121,5833219041,1197718,5998,803,364,391628,0 140,2,2024-09-07 10:05:30:693,865428,865427,1,0,34446992,0,5036 140,3,2024-09-07 10:05:30:771,1,683,0,0,575,6957,683,0 141,0,2024-09-07 10:05:31:697,172681,0.3,177392,0.5,338953,0.3,458563,1.75 141,1,2024-09-07 10:05:30:860,1201784,1201784,0,0,564521442750,5870186169,1191441,8950,1393,379,391614,0 141,2,2024-09-07 10:05:31:686,863388,863377,11,0,36986791,0,5369 141,3,2024-09-07 10:05:31:049,1,683,4,0,391,8105,683,0 142,0,2024-09-07 10:05:31:314,174133,0.3,173272,0.5,347023,0.3,463002,1.75 142,1,2024-09-07 10:05:30:589,1199573,1199573,0,0,563665367123,5883870059,1190525,8154,894,382,392102,0 142,2,2024-09-07 10:05:31:300,860169,860137,32,0,36530530,0,6028 142,3,2024-09-07 10:05:31:746,1,683,1,0,484,7026,683,0 143,0,2024-09-07 10:05:31:373,172314,0.4,172167,0.5,345442,0.4,459175,1.75 143,1,2024-09-07 10:05:30:580,1202599,1202599,0,0,564223618384,5858499286,1194207,7422,970,367,391900,0 143,2,2024-09-07 10:05:30:770,867324,867324,0,0,36158707,0,3123 143,3,2024-09-07 10:05:31:140,1,683,35,0,462,8090,683,0 144,0,2024-09-07 10:05:31:515,161286,0.6,165940,0.7,337676,0.5,442335,2.00 144,1,2024-09-07 10:05:30:569,1196588,1196588,0,0,561733115964,5894637627,1184848,9697,2043,381,391733,0 144,2,2024-09-07 10:05:31:763,866977,866977,0,0,35682850,0,4443 144,3,2024-09-07 10:05:31:739,1,683,6,0,306,7365,683,0 145,0,2024-09-07 10:05:31:361,165786,0.6,165799,0.8,351908,0.5,453316,2.25 145,1,2024-09-07 10:05:30:568,1195630,1195630,0,0,561828213173,5913805181,1179433,13230,2967,382,391781,0 145,2,2024-09-07 10:05:31:429,862903,862821,82,0,41522803,0,7814 145,3,2024-09-07 10:05:30:898,1,683,1,0,622,9165,683,0 146,0,2024-09-07 10:05:31:592,172593,0.7,171769,0.8,345293,0.7,458704,2.25 146,1,2024-09-07 10:05:31:587,1197421,1197421,0,0,561315025726,5905424565,1178289,14208,4924,367,391829,0 146,2,2024-09-07 10:05:31:697,858471,858465,6,0,39644572,0,5151 146,3,2024-09-07 10:05:31:286,1,683,5,0,1520,10873,683,0 147,0,2024-09-07 10:05:31:698,174180,0.6,173965,0.7,347793,0.5,463688,2.00 147,1,2024-09-07 10:05:31:374,1201154,1201154,0,0,564126792168,5866472564,1191200,8856,1098,367,391791,0 147,2,2024-09-07 10:05:31:010,862882,862882,0,0,37537621,0,4531 147,3,2024-09-07 10:05:30:927,1,683,0,0,1626,10360,683,0 0,0,2024-09-07 10:05:41:702,168198,0.7,168182,0.8,356978,0.8,461180,2.00 0,1,2024-09-07 10:05:40:801,1200237,1200237,0,0,563154042971,5895858562,1190569,8706,962,368,391896,0 0,2,2024-09-07 10:05:41:067,862375,862375,0,0,35172741,0,4480 0,3,2024-09-07 10:05:40:973,1,684,0,0,538,9866,684,0 1,0,2024-09-07 10:05:41:823,174505,0.8,173487,0.9,348173,1.0,465955,2.00 1,1,2024-09-07 10:05:40:588,1199147,1199147,0,0,562924086444,5899251147,1187757,9420,1970,370,391859,0 1,2,2024-09-07 10:05:40:639,864851,864851,0,0,34568764,0,3380 1,3,2024-09-07 10:05:41:310,1,684,17,0,269,8189,684,0 2,0,2024-09-07 10:05:41:580,170762,0.6,170492,0.7,340814,0.6,454735,2.00 2,1,2024-09-07 10:05:40:859,1203295,1203295,0,0,564547203875,5871691830,1196365,5969,961,379,391805,0 2,2,2024-09-07 10:05:41:269,868710,868710,0,0,33686407,0,3594 2,3,2024-09-07 10:05:40:692,1,684,1,0,357,6109,684,0 3,0,2024-09-07 10:05:41:746,167879,0.4,167753,0.6,335254,0.4,446744,2.00 3,1,2024-09-07 10:05:41:618,1200864,1200864,0,0,563575098839,5863191155,1192337,7809,718,379,391716,0 3,2,2024-09-07 10:05:41:145,868122,868099,23,0,34411488,0,5851 3,3,2024-09-07 10:05:41:752,1,684,0,0,484,5199,684,0 4,0,2024-09-07 10:05:41:767,168157,0.4,172746,0.5,352463,0.4,461458,1.75 4,1,2024-09-07 10:05:40:591,1195626,1195626,0,0,561236684241,5937172310,1175743,15282,4601,369,391992,0 4,2,2024-09-07 10:05:41:018,860174,860174,0,0,41657943,0,4534 4,3,2024-09-07 10:05:41:028,1,684,227,0,448,9315,684,0 5,0,2024-09-07 10:05:41:372,173691,0.4,173440,0.6,347286,0.4,462877,1.75 5,1,2024-09-07 10:05:40:755,1197243,1197243,0,0,561656478571,5925786228,1179591,13416,4236,367,392005,0 5,2,2024-09-07 10:05:41:827,859468,859435,33,0,41881830,0,7631 5,3,2024-09-07 10:05:41:732,1,684,3,0,457,9035,684,0 6,0,2024-09-07 10:05:40:915,171910,0.5,171451,0.6,343133,0.4,456943,2.00 6,1,2024-09-07 10:05:40:746,1200110,1200110,0,0,563542336503,5884049445,1187946,10479,1685,379,391702,0 6,2,2024-09-07 10:05:41:119,868089,868071,18,0,39041866,0,5535 6,3,2024-09-07 10:05:41:274,1,684,1,0,710,7932,684,0 7,0,2024-09-07 10:05:41:532,165469,0.5,166619,0.7,330822,0.5,441231,2.00 7,1,2024-09-07 10:05:40:851,1197908,1197908,0,0,562192234983,5915804718,1180075,13696,4137,382,391747,0 7,2,2024-09-07 10:05:40:769,865089,864920,169,0,39824139,0,7706 7,3,2024-09-07 10:05:40:851,1,684,2,0,552,7994,684,0 8,0,2024-09-07 10:05:41:342,172420,0.4,172096,0.5,344690,0.4,459866,1.75 8,1,2024-09-07 10:05:41:016,1196830,1196830,0,0,563001511143,5921133889,1177975,14810,4045,366,392853,0 8,2,2024-09-07 10:05:40:803,859346,859344,2,0,44854550,0,5112 8,3,2024-09-07 10:05:40:589,1,684,1,0,772,10320,684,0 9,0,2024-09-07 10:05:41:164,173256,0.4,168271,0.5,352283,0.4,462230,1.75 9,1,2024-09-07 10:05:40:571,1196265,1196265,0,0,562170771096,5929484495,1176600,15315,4350,369,392001,0 9,2,2024-09-07 10:05:41:100,860265,860264,1,0,42772311,0,5281 9,3,2024-09-07 10:05:41:765,1,684,5,0,1273,11025,684,0 10,0,2024-09-07 10:05:41:609,173044,0.4,172571,0.5,345902,0.3,460136,1.75 10,1,2024-09-07 10:05:40:588,1198257,1198257,0,0,562534274469,5905136366,1180198,14325,3734,381,391981,0 10,2,2024-09-07 10:05:40:761,865757,865757,0,0,45944724,0,4713 10,3,2024-09-07 10:05:40:871,1,684,1,0,669,7338,684,0 11,0,2024-09-07 10:05:41:025,166559,0.4,161709,0.6,338379,0.4,445253,1.75 11,1,2024-09-07 10:05:40:571,1200740,1200740,0,0,562998622757,5904220493,1183855,12575,4310,383,391766,0 11,2,2024-09-07 10:05:41:123,865997,865997,0,0,39696924,0,4698 11,3,2024-09-07 10:05:41:298,1,684,1,0,843,8372,684,0 12,0,2024-09-07 10:05:41:029,172383,0.4,171979,0.6,343609,0.4,457682,1.75 12,1,2024-09-07 10:05:40:941,1199743,1199743,0,0,562893873280,5875216362,1189894,8822,1027,368,391960,0 12,2,2024-09-07 10:05:41:543,863703,863703,0,0,39671107,0,4390 12,3,2024-09-07 10:05:41:059,1,684,0,0,386,8515,684,0 13,0,2024-09-07 10:05:41:351,174164,0.4,174361,0.6,348112,0.4,463167,1.75 13,1,2024-09-07 10:05:41:528,1197158,1197158,0,0,561506448695,5912882542,1183008,11071,3079,382,391803,0 13,2,2024-09-07 10:05:40:595,863145,863145,0,0,35793739,0,3287 13,3,2024-09-07 10:05:41:768,1,684,3,0,522,9232,684,0 14,0,2024-09-07 10:05:40:563,174521,0.4,175614,0.6,349290,0.4,464499,1.75 14,1,2024-09-07 10:05:41:561,1205742,1205742,0,0,565620879059,5853236168,1196488,8104,1150,364,391673,0 14,2,2024-09-07 10:05:40:764,864453,864423,30,0,37023901,0,6104 14,3,2024-09-07 10:05:41:116,1,684,1,0,1168,7124,684,0 15,0,2024-09-07 10:05:41:555,169631,0.4,168725,0.6,337884,0.3,450712,1.75 15,1,2024-09-07 10:05:41:614,1201802,1201802,0,0,564515624399,5885627127,1190939,8767,2096,380,391619,0 15,2,2024-09-07 10:05:40:996,869789,869789,0,0,33114433,0,3622 15,3,2024-09-07 10:05:41:405,1,684,1,0,1126,8626,684,0 16,0,2024-09-07 10:05:40:985,168750,0.6,169586,0.8,338284,0.7,450963,2.00 16,1,2024-09-07 10:05:40:576,1201570,1201570,0,0,564165967779,5898570397,1190836,9190,1544,370,392194,0 16,2,2024-09-07 10:05:41:434,865954,865954,0,0,36057416,0,4719 16,3,2024-09-07 10:05:41:144,1,684,1,0,362,8513,684,0 17,0,2024-09-07 10:05:41:768,179022,0.7,174502,0.8,341700,0.7,464373,2.00 17,1,2024-09-07 10:05:40:591,1199928,1199928,0,0,562946591448,5902292894,1188809,9318,1801,368,392075,0 17,2,2024-09-07 10:05:41:666,864781,864780,1,0,37143972,0,5050 17,3,2024-09-07 10:05:40:573,1,684,7,0,518,9824,684,0 18,0,2024-09-07 10:05:40:938,172463,0.6,173575,0.8,345406,0.6,461725,2.25 18,1,2024-09-07 10:05:41:638,1204597,1204597,0,0,565018320209,5862178382,1196431,6736,1430,367,391725,0 18,2,2024-09-07 10:05:41:755,865609,865609,0,0,33741237,0,3541 18,3,2024-09-07 10:05:40:896,1,684,0,0,1059,6274,684,0 19,0,2024-09-07 10:05:41:550,170487,0.6,170983,0.8,340935,0.6,452996,2.00 19,1,2024-09-07 10:05:40:566,1203441,1203441,0,0,565986223481,5865314376,1194593,7688,1160,365,391777,0 19,2,2024-09-07 10:05:41:752,870929,870929,0,0,31434397,0,3988 19,3,2024-09-07 10:05:41:131,1,684,2,0,524,5479,684,0 20,0,2024-09-07 10:05:41:373,166959,0.5,166916,0.7,333483,0.5,445644,2.00 20,1,2024-09-07 10:05:40:567,1199147,1199147,0,0,563017682976,5901529363,1186887,10556,1704,369,391922,0 20,2,2024-09-07 10:05:40:959,866089,866089,0,0,39678482,0,4321 20,3,2024-09-07 10:05:40:595,1,684,1,0,468,11540,684,0 21,0,2024-09-07 10:05:41:155,172380,0.5,172476,0.7,344834,0.5,457832,2.00 21,1,2024-09-07 10:05:41:536,1196944,1196944,0,0,561578456934,5927012213,1178192,14763,3989,368,392016,0 21,2,2024-09-07 10:05:41:069,857740,857263,477,0,50826541,0,17074 21,3,2024-09-07 10:05:41:403,1,684,1,0,713,9058,684,0 22,0,2024-09-07 10:05:41:717,173353,0.5,173979,0.7,347616,0.4,461656,2.00 22,1,2024-09-07 10:05:41:025,1198213,1198213,0,0,562869424630,5920668757,1179175,15435,3603,381,391822,0 22,2,2024-09-07 10:05:40:760,861371,861345,26,0,37704944,0,6328 22,3,2024-09-07 10:05:41:068,1,684,0,0,228,5620,684,0 23,0,2024-09-07 10:05:41:367,172104,0.5,171917,0.7,343818,0.4,458147,2.00 23,1,2024-09-07 10:05:41:008,1199800,1199800,0,0,562972696734,5926986578,1178556,14421,6823,365,391690,0 23,2,2024-09-07 10:05:41:093,867846,867846,0,0,35922529,0,3773 23,3,2024-09-07 10:05:41:754,1,684,0,0,855,9698,684,0 24,0,2024-09-07 10:05:40:835,167654,0.4,166573,0.5,334922,0.3,445196,1.75 24,1,2024-09-07 10:05:40:581,1198203,1198203,0,0,562494227231,5888599262,1186612,9717,1874,367,392269,0 24,2,2024-09-07 10:05:41:068,866076,866073,3,0,42504490,0,6294 24,3,2024-09-07 10:05:41:687,1,684,1,0,468,8972,684,0 25,0,2024-09-07 10:05:41:386,176396,0.4,171355,0.6,337425,0.4,459864,2.00 25,1,2024-09-07 10:05:40:557,1197114,1197114,0,0,562155673205,5939198126,1176374,16745,3995,369,391928,0 25,2,2024-09-07 10:05:41:611,862648,862648,0,0,44122211,0,3978 25,3,2024-09-07 10:05:41:002,1,684,1,0,532,7521,684,0 26,0,2024-09-07 10:05:41:719,172190,0.5,168267,0.6,353043,0.4,460372,2.00 26,1,2024-09-07 10:05:41:541,1200176,1200176,0,0,562979459833,5893451625,1184472,12801,2903,380,391758,0 26,2,2024-09-07 10:05:40:860,861068,861068,0,0,45354280,0,4689 26,3,2024-09-07 10:05:41:712,1,684,3,0,796,8558,684,0 27,0,2024-09-07 10:05:41:722,174119,0.4,174777,0.6,347529,0.4,463554,2.25 27,1,2024-09-07 10:05:41:676,1202035,1202035,0,0,564963357361,5887395634,1190754,9660,1621,381,391626,0 27,2,2024-09-07 10:05:40:867,861477,861412,65,0,40235134,0,5699 27,3,2024-09-07 10:05:41:015,1,684,4,0,564,5901,684,0 28,0,2024-09-07 10:05:41:394,168202,0.4,168456,0.6,336799,0.3,447850,1.75 28,1,2024-09-07 10:05:40:799,1202378,1202378,0,0,564799296365,5896054457,1190913,9038,2427,382,391904,0 28,2,2024-09-07 10:05:41:771,867159,867159,0,0,37653536,0,4060 28,3,2024-09-07 10:05:41:791,1,684,4,0,502,7206,684,0 29,0,2024-09-07 10:05:41:359,174738,0.4,170114,0.6,333943,0.4,455592,1.75 29,1,2024-09-07 10:05:41:561,1205210,1205210,0,0,565880888492,5858074818,1197291,6898,1021,367,391809,0 29,2,2024-09-07 10:05:40:861,865566,865566,0,0,35039948,0,4986 29,3,2024-09-07 10:05:40:963,1,684,1,0,590,7811,684,0 30,0,2024-09-07 10:05:41:456,172070,0.6,167450,0.7,350939,0.5,458087,2.00 30,1,2024-09-07 10:05:40:571,1204188,1204188,0,0,565791010259,5877153623,1194378,8642,1168,380,391672,0 30,2,2024-09-07 10:05:41:274,862560,862560,0,0,33903788,0,4192 30,3,2024-09-07 10:05:40:581,1,684,1,0,519,7189,684,0 31,0,2024-09-07 10:05:41:762,174243,0.5,175077,0.7,349207,0.4,465918,2.00 31,1,2024-09-07 10:05:40:566,1208180,1208180,0,0,566547683097,5821931090,1200250,6662,1268,356,391712,0 31,2,2024-09-07 10:05:41:275,863510,863510,0,0,37368993,0,4470 31,3,2024-09-07 10:05:41:706,1,684,1,0,248,6104,684,0 32,0,2024-09-07 10:05:41:421,170886,0.3,171930,0.5,342543,0.2,456093,1.75 32,1,2024-09-07 10:05:40:804,1203781,1203781,0,0,565256836859,5876669881,1196295,6521,965,381,391646,0 32,2,2024-09-07 10:05:40:940,870622,870622,0,0,32640509,0,3922 32,3,2024-09-07 10:05:41:016,1,684,1,0,304,5830,684,0 33,0,2024-09-07 10:05:41:540,168669,0.3,168183,0.4,336428,0.2,448104,1.50 33,1,2024-09-07 10:05:40:587,1205225,1205225,0,0,565687631931,5858224588,1195878,7995,1352,368,391730,0 33,2,2024-09-07 10:05:40:759,867144,867109,35,0,36184989,0,7012 33,3,2024-09-07 10:05:40:901,1,684,0,0,329,6374,684,0 34,0,2024-09-07 10:05:40:936,173541,0.3,178413,0.5,341208,0.2,461345,1.75 34,1,2024-09-07 10:05:41:052,1207796,1207796,0,0,567182548279,5837151050,1203797,3790,209,367,391637,0 34,2,2024-09-07 10:05:40:766,863684,863684,0,0,33750213,0,4562 34,3,2024-09-07 10:05:41:688,1,684,1,0,541,6021,684,0 35,0,2024-09-07 10:05:40:858,173050,0.3,173648,0.5,348305,0.2,463799,1.75 35,1,2024-09-07 10:05:41:067,1203443,1203443,0,0,564898042370,5862495776,1194505,7386,1552,382,391769,0 35,2,2024-09-07 10:05:41:583,863254,863254,0,0,39079585,0,4055 35,3,2024-09-07 10:05:40:909,1,684,1,0,466,6557,684,0 36,0,2024-09-07 10:05:41:528,172492,0.4,172585,0.6,344867,0.4,458885,2.00 36,1,2024-09-07 10:05:40:583,1202034,1202034,0,0,564583423221,5894459680,1187260,12420,2354,366,391759,0 36,2,2024-09-07 10:05:41:770,867941,867941,0,0,39101701,0,3875 36,3,2024-09-07 10:05:40:867,1,684,12,0,556,8763,684,0 37,0,2024-09-07 10:05:41:371,165422,0.5,165454,0.7,331406,0.5,441822,2.25 37,1,2024-09-07 10:05:40:571,1201261,1201254,0,7,563811638604,5887403094,1187074,11066,3114,365,391770,0 37,2,2024-09-07 10:05:41:144,864555,864540,15,0,39230664,0,5815 37,3,2024-09-07 10:05:41:773,1,684,5,0,888,9168,684,0 38,0,2024-09-07 10:05:41:439,171402,0.4,166182,0.7,347465,0.4,455762,2.00 38,1,2024-09-07 10:05:41:605,1201620,1201620,0,0,564795999099,5904181944,1184792,13524,3304,368,391821,0 38,2,2024-09-07 10:05:40:761,864908,864861,47,0,39240338,0,6710 38,3,2024-09-07 10:05:41:000,1,684,1,0,689,7898,684,0 39,0,2024-09-07 10:05:41:762,176593,0.6,172589,0.7,336833,0.5,459629,2.00 39,1,2024-09-07 10:05:40:723,1200066,1200066,0,0,562673334441,5899087868,1180454,15379,4233,365,391865,0 39,2,2024-09-07 10:05:41:417,861892,861892,0,0,37381989,0,3478 39,3,2024-09-07 10:05:40:713,1,684,1,0,525,7624,684,0 40,0,2024-09-07 10:05:41:491,171495,0.8,172173,0.9,343724,0.9,458596,2.75 40,1,2024-09-07 10:05:40:576,1200249,1200249,0,0,562727900351,5899878294,1181446,15220,3583,366,391668,0 40,2,2024-09-07 10:05:41:305,863342,863335,7,0,43490582,0,5347 40,3,2024-09-07 10:05:41:144,1,684,0,0,1028,9813,684,0 41,0,2024-09-07 10:05:41:035,165884,1.2,169751,1.1,323766,1.6,439392,3.00 41,1,2024-09-07 10:05:40:769,1199718,1199718,0,0,563710446898,5896607859,1184010,13183,2525,369,391878,0 41,2,2024-09-07 10:05:40:758,863418,863417,1,0,42413433,0,5408 41,3,2024-09-07 10:05:41:676,1,684,1,0,749,8422,684,0 42,0,2024-09-07 10:05:41:477,169871,1.0,169643,1.0,339802,1.1,451006,2.75 42,1,2024-09-07 10:05:41:439,1197968,1197968,0,0,561963498927,5900481805,1180367,14517,3084,380,391675,0 42,2,2024-09-07 10:05:41:133,862507,862506,1,0,43673311,0,5513 42,3,2024-09-07 10:05:41:008,1,684,1,0,892,6961,684,0 43,0,2024-09-07 10:05:40:917,170967,0.8,166339,1.0,348521,0.9,455673,2.25 43,1,2024-09-07 10:05:40:617,1200938,1200938,0,0,565418009397,5906629069,1184832,13358,2748,365,391696,0 43,2,2024-09-07 10:05:41:736,862219,862219,0,0,39977557,0,4723 43,3,2024-09-07 10:05:41:754,1,684,0,0,571,9282,684,0 44,0,2024-09-07 10:05:40:862,174742,0.4,174973,0.6,349296,0.4,465490,1.75 44,1,2024-09-07 10:05:40:562,1204100,1204100,0,0,564810286593,5843446647,1192775,9174,2151,356,391809,0 44,2,2024-09-07 10:05:41:268,862989,862989,0,0,33638621,0,4344 44,3,2024-09-07 10:05:41:093,1,684,1,0,1097,8883,684,0 45,0,2024-09-07 10:05:41:758,167840,0.4,163995,0.7,343981,0.4,450816,2.00 45,1,2024-09-07 10:05:41:010,1203668,1203668,0,0,565511685788,5880172321,1194122,8325,1221,382,391917,0 45,2,2024-09-07 10:05:41:270,869006,869006,0,0,33841938,0,3596 45,3,2024-09-07 10:05:40:933,1,684,1,0,531,6455,684,0 46,0,2024-09-07 10:05:40:950,168207,0.5,167657,0.7,336314,0.5,447832,2.00 46,1,2024-09-07 10:05:40:583,1205608,1205608,0,0,566077722056,5858701301,1196336,7791,1481,366,391709,0 46,2,2024-09-07 10:05:40:593,867657,867657,0,0,34655058,0,4443 46,3,2024-09-07 10:05:41:141,1,684,1,0,908,7601,684,0 47,0,2024-09-07 10:05:41:105,174268,0.5,173795,0.6,349087,0.5,462550,2.00 47,1,2024-09-07 10:05:40:567,1206783,1206783,0,0,565610603853,5847542729,1199277,6493,1013,364,391666,0 47,2,2024-09-07 10:05:40:911,864773,864773,0,0,34987705,0,4477 47,3,2024-09-07 10:05:41:119,1,684,1,0,600,7463,684,0 48,0,2024-09-07 10:05:41:494,174513,0.3,175030,0.4,348752,0.2,464468,1.50 48,1,2024-09-07 10:05:41:023,1203651,1203651,0,0,564486277082,5865930841,1196038,6601,1012,381,391710,0 48,2,2024-09-07 10:05:40:704,864263,864263,0,0,31801108,0,3619 48,3,2024-09-07 10:05:40:753,1,684,1,0,339,5947,684,0 49,0,2024-09-07 10:05:41:721,176098,0.3,172406,0.5,335401,0.3,457554,1.75 49,1,2024-09-07 10:05:41:024,1202863,1202863,0,0,565135192275,5876979265,1194999,6166,1698,382,391809,0 49,2,2024-09-07 10:05:41:803,869803,869803,0,0,33410987,0,4426 49,3,2024-09-07 10:05:41:415,1,684,64,0,992,8081,684,0 50,0,2024-09-07 10:05:41:506,167491,0.3,166192,0.5,334542,0.2,445446,1.75 50,1,2024-09-07 10:05:41:010,1205866,1205866,0,0,566157685197,5853889070,1198312,6822,732,368,391691,0 50,2,2024-09-07 10:05:41:065,865659,865659,0,0,31394352,0,4490 50,3,2024-09-07 10:05:41:291,1,684,74,0,617,7203,684,0 51,0,2024-09-07 10:05:41:683,177033,0.3,173190,0.5,337404,0.2,460224,1.75 51,1,2024-09-07 10:05:41:684,1206656,1206656,0,0,567293593857,5850575540,1199957,5355,1344,365,391706,0 51,2,2024-09-07 10:05:41:322,864255,864255,0,0,31047341,0,3337 51,3,2024-09-07 10:05:41:030,1,684,1,0,678,5452,684,0 52,0,2024-09-07 10:05:41:415,173536,0.5,173499,0.7,346582,0.4,461293,2.00 52,1,2024-09-07 10:05:40:577,1200874,1200874,0,0,563744475873,5899192356,1184129,14318,2427,368,391805,0 52,2,2024-09-07 10:05:41:756,858968,858930,38,0,42116942,0,6742 52,3,2024-09-07 10:05:40:675,1,684,1,0,1782,7898,684,0 53,0,2024-09-07 10:05:41:734,171800,0.6,166719,0.8,348831,0.7,456634,2.25 53,1,2024-09-07 10:05:40:772,1199754,1199754,0,0,564091091172,5913225734,1180601,14740,4413,367,391968,0 53,2,2024-09-07 10:05:41:297,867549,867411,138,0,38686218,0,7690 53,3,2024-09-07 10:05:40:697,1,684,1,0,308,6447,684,0 54,0,2024-09-07 10:05:41:619,164782,0.6,165205,0.7,329033,0.5,439601,2.25 54,1,2024-09-07 10:05:40:585,1202845,1202845,0,0,565368810274,5877388976,1191069,10102,1674,366,391810,0 54,2,2024-09-07 10:05:40:864,866896,866864,32,0,41889306,0,6397 54,3,2024-09-07 10:05:40:763,1,684,2,0,676,8820,684,0 55,0,2024-09-07 10:05:41:762,165797,0.7,170849,0.8,346725,0.6,452179,2.50 55,1,2024-09-07 10:05:40:765,1203295,1203295,0,0,564328343178,5868106371,1191271,10537,1487,365,391731,0 55,2,2024-09-07 10:05:40:733,863994,863938,56,0,38370457,0,7239 55,3,2024-09-07 10:05:40:677,1,684,3,0,304,6258,684,0 56,0,2024-09-07 10:05:41:562,176010,1.2,165849,1.2,341809,1.6,457584,2.75 56,1,2024-09-07 10:05:40:570,1195831,1195831,0,0,562561388534,5947551423,1176340,15737,3754,381,391867,0 56,2,2024-09-07 10:05:41:303,860132,860010,122,0,40982254,0,7432 56,3,2024-09-07 10:05:41:065,1,684,1,0,705,8199,684,0 57,0,2024-09-07 10:05:41:005,172237,1.4,172240,1.2,344003,1.8,459683,3.00 57,1,2024-09-07 10:05:40:995,1198904,1198904,0,0,562721208599,5903599911,1183194,13006,2704,366,392097,0 57,2,2024-09-07 10:05:41:330,864163,864163,0,0,43935050,0,4804 57,3,2024-09-07 10:05:41:746,1,684,7,0,455,7390,684,0 58,0,2024-09-07 10:05:40:579,165759,0.9,160948,1.0,336854,1.1,440242,2.50 58,1,2024-09-07 10:05:40:575,1199735,1199732,0,3,563716111611,5911428914,1181371,13960,4401,367,391726,3 58,2,2024-09-07 10:05:41:070,867558,867558,0,0,39966190,0,3483 58,3,2024-09-07 10:05:41:067,1,684,3,0,1043,7442,684,0 59,0,2024-09-07 10:05:41:744,168790,0.9,167976,1.0,335832,1.0,446196,2.75 59,1,2024-09-07 10:05:40:804,1199378,1199378,0,0,562995597378,5914652684,1179891,15649,3838,369,391653,0 59,2,2024-09-07 10:05:40:589,865768,865768,0,0,38076195,0,3727 59,3,2024-09-07 10:05:41:737,1,684,63,0,1015,8108,684,0 60,0,2024-09-07 10:05:41:726,172934,0.6,173137,0.7,346177,0.5,461644,2.00 60,1,2024-09-07 10:05:40:796,1203110,1203110,0,0,565351277354,5889310663,1192182,9426,1502,370,392031,0 60,2,2024-09-07 10:05:41:147,862686,862686,0,0,36314461,0,3811 60,3,2024-09-07 10:05:41:284,1,684,11,0,409,7905,684,0 61,0,2024-09-07 10:05:41:526,174398,0.6,175169,0.8,348827,0.6,465404,2.00 61,1,2024-09-07 10:05:40:770,1200197,1200197,0,0,563378076719,5900679704,1187526,10820,1851,382,392127,0 61,2,2024-09-07 10:05:41:120,864541,864474,67,0,37307372,0,6411 61,3,2024-09-07 10:05:41:689,1,684,21,0,607,8522,684,0 62,0,2024-09-07 10:05:41:705,171501,0.5,175876,0.7,335775,0.5,456084,2.00 62,1,2024-09-07 10:05:41:111,1207597,1207591,0,6,567094005562,5855520171,1200339,6635,617,365,391975,6 62,2,2024-09-07 10:05:41:644,866133,866132,1,0,37090180,0,5555 62,3,2024-09-07 10:05:41:144,1,684,8,0,482,5407,684,0 63,0,2024-09-07 10:05:41:482,168487,0.4,168185,0.6,337088,0.3,448188,1.75 63,1,2024-09-07 10:05:40:805,1204731,1204725,0,6,565634023347,5876958910,1196814,6961,950,381,391800,6 63,2,2024-09-07 10:05:40:761,866173,866173,0,0,36210154,0,4369 63,3,2024-09-07 10:05:41:732,1,684,1,0,667,8064,684,0 64,0,2024-09-07 10:05:41:518,172581,0.5,172500,0.7,344519,0.5,459682,2.00 64,1,2024-09-07 10:05:40:750,1202883,1202883,0,0,565119854870,5883817165,1192679,8177,2027,370,391794,0 64,2,2024-09-07 10:05:41:146,866016,865997,19,0,34736039,0,6121 64,3,2024-09-07 10:05:41:145,1,684,0,0,651,7835,684,0 65,0,2024-09-07 10:05:41:680,172316,0.6,172495,0.7,344549,0.6,460030,2.00 65,1,2024-09-07 10:05:40:867,1201202,1201202,0,0,563673587690,5890595673,1192960,7344,898,381,391901,0 65,2,2024-09-07 10:05:41:693,861833,861833,0,0,41454406,0,3367 65,3,2024-09-07 10:05:41:693,1,684,43,0,782,8110,684,0 66,0,2024-09-07 10:05:41:763,171725,0.5,171073,0.7,342288,0.5,455416,2.00 66,1,2024-09-07 10:05:41:293,1202921,1202921,0,0,564888479554,5879151324,1195426,6622,873,380,391743,0 66,2,2024-09-07 10:05:41:132,870465,870462,3,0,36433430,0,5455 66,3,2024-09-07 10:05:41:079,1,684,2,0,291,6142,684,0 67,0,2024-09-07 10:05:41:428,166175,0.5,165792,0.7,332198,0.5,442354,2.00 67,1,2024-09-07 10:05:40:774,1202884,1202883,0,1,564060133324,5873697952,1194449,7294,1140,380,391787,1 67,2,2024-09-07 10:05:40:582,869072,869057,15,0,36700106,0,6205 67,3,2024-09-07 10:05:41:755,1,684,24,0,595,7309,684,0 68,0,2024-09-07 10:05:40:598,172246,0.6,171969,0.7,342604,0.6,458675,2.25 68,1,2024-09-07 10:05:40:577,1198640,1198640,0,0,562386996232,5917555352,1181915,12453,4272,381,391953,0 68,2,2024-09-07 10:05:41:060,860359,860259,100,0,44815901,0,8578 68,3,2024-09-07 10:05:40:734,1,684,1,0,417,9636,684,0 69,0,2024-09-07 10:05:41:858,172460,0.7,172938,0.8,345158,0.8,459546,2.25 69,1,2024-09-07 10:05:41:016,1197718,1197718,0,0,561941217603,5919559724,1182830,11906,2982,383,391994,0 69,2,2024-09-07 10:05:41:739,859094,859065,29,0,47697459,0,6912 69,3,2024-09-07 10:05:40:774,1,684,3,0,698,10342,684,0 70,0,2024-09-07 10:05:41:532,171225,0.7,171938,0.9,344799,0.6,457148,2.50 70,1,2024-09-07 10:05:40:801,1204279,1204279,0,0,566086236482,5875547032,1194831,8403,1045,366,391725,0 70,2,2024-09-07 10:05:41:326,866094,866094,0,0,39261269,0,4323 70,3,2024-09-07 10:05:40:746,1,684,2,0,854,7668,684,0 71,0,2024-09-07 10:05:41:367,165830,0.8,165388,1.0,331744,1.0,441974,2.50 71,1,2024-09-07 10:05:41:596,1202152,1202152,0,0,563686288902,5881945066,1187721,12645,1786,367,391738,0 71,2,2024-09-07 10:05:41:074,865735,865735,0,0,39693506,0,4352 71,3,2024-09-07 10:05:41:755,1,684,2,0,644,7575,684,0 72,0,2024-09-07 10:05:41:047,176512,0.6,172359,0.7,336737,0.5,458453,2.00 72,1,2024-09-07 10:05:41:025,1200415,1200415,0,0,563271307844,5906704489,1183563,14119,2733,369,391819,0 72,2,2024-09-07 10:05:41:776,863605,863605,0,0,41625482,0,3983 72,3,2024-09-07 10:05:41:761,1,684,16,0,564,9508,684,0 73,0,2024-09-07 10:05:41:143,169020,0.5,173227,0.6,353963,0.4,460783,2.00 73,1,2024-09-07 10:05:40:775,1202152,1202152,0,0,564513800008,5869261427,1192626,8464,1062,367,391858,0 73,2,2024-09-07 10:05:41:743,860944,860943,1,0,43563098,0,5027 73,3,2024-09-07 10:05:40:983,1,684,1,0,1091,9270,684,0 74,0,2024-09-07 10:05:41:334,175561,0.5,179873,0.7,343168,0.4,465854,2.00 74,1,2024-09-07 10:05:40:639,1200735,1200735,0,0,564193471695,5887358527,1188377,10210,2148,381,391762,0 74,2,2024-09-07 10:05:41:002,863426,863426,0,0,37853850,0,4253 74,3,2024-09-07 10:05:41:441,1,684,1,0,522,7883,684,0 75,0,2024-09-07 10:05:41:771,169744,0.5,168773,0.7,338747,0.4,452108,2.00 75,1,2024-09-07 10:05:41:585,1202116,1202116,0,0,563876304058,5876348580,1192237,8886,993,380,391739,0 75,2,2024-09-07 10:05:41:354,866788,866788,0,0,45054446,0,4766 75,3,2024-09-07 10:05:41:067,1,684,1,0,918,9482,684,0 76,0,2024-09-07 10:05:40:599,168448,0.6,167843,0.8,335547,0.6,449330,2.25 76,1,2024-09-07 10:05:40:815,1201770,1201770,0,0,563323820040,5879162606,1192878,7583,1309,382,391790,0 76,2,2024-09-07 10:05:41:068,868629,868626,3,0,38006184,0,5265 76,3,2024-09-07 10:05:41:146,1,684,11,0,249,6294,684,0 77,0,2024-09-07 10:05:41:721,173155,0.6,173487,0.7,347282,0.6,461491,2.00 77,1,2024-09-07 10:05:40:835,1200930,1200930,0,0,563588992051,5887653206,1190659,9183,1088,381,391869,0 77,2,2024-09-07 10:05:41:283,861421,861421,0,0,36627797,0,3890 77,3,2024-09-07 10:05:41:099,1,684,10,0,401,7811,684,0 78,0,2024-09-07 10:05:41:723,174799,0.4,174048,0.6,349476,0.4,462617,2.00 78,1,2024-09-07 10:05:40:626,1200875,1200875,0,0,563103681135,5879754681,1185344,12199,3332,367,391670,0 78,2,2024-09-07 10:05:41:404,864504,864491,13,0,34324433,0,8313 78,3,2024-09-07 10:05:41:133,1,684,0,0,311,5954,684,0 79,0,2024-09-07 10:05:41:351,165408,0.4,169457,0.6,347177,0.3,451973,2.00 79,1,2024-09-07 10:05:40:582,1205167,1205167,0,0,565543445301,5859849690,1195354,8011,1802,367,391682,0 79,2,2024-09-07 10:05:41:067,869263,869263,0,0,33393636,0,4195 79,3,2024-09-07 10:05:40:750,1,684,7,0,418,7690,684,0 80,0,2024-09-07 10:05:41:089,167190,0.5,171657,0.7,327853,0.5,444439,2.00 80,1,2024-09-07 10:05:41:642,1201548,1201548,0,0,564197766967,5875958148,1193569,7398,581,368,392269,0 80,2,2024-09-07 10:05:41:100,869205,869205,0,0,33832594,0,4433 80,3,2024-09-07 10:05:40:577,1,684,1,0,681,8318,684,0 81,0,2024-09-07 10:05:41:664,172460,0.6,176506,0.7,336544,0.6,456948,2.00 81,1,2024-09-07 10:05:41:658,1201114,1201114,0,0,563355108637,5888410543,1191510,8630,974,382,392001,0 81,2,2024-09-07 10:05:41:137,863387,863324,63,0,37523598,0,5932 81,3,2024-09-07 10:05:41:120,1,684,20,0,719,7616,684,0 82,0,2024-09-07 10:05:41:561,173538,0.5,173661,0.7,347745,0.5,461817,2.00 82,1,2024-09-07 10:05:40:592,1202882,1202878,0,4,564872936824,5877492962,1195946,5808,1124,381,391768,4 82,2,2024-09-07 10:05:41:690,865245,865245,0,0,31253761,0,4484 82,3,2024-09-07 10:05:41:754,1,684,5,0,363,6218,684,0 83,0,2024-09-07 10:05:41:528,172453,0.5,172333,0.7,344375,0.5,457317,2.25 83,1,2024-09-07 10:05:40:551,1201730,1201730,0,0,564108409205,5881866293,1192752,7952,1026,382,391709,0 83,2,2024-09-07 10:05:40:764,867679,867654,25,0,34086478,0,5612 83,3,2024-09-07 10:05:40:749,1,684,1,0,1260,8029,684,0 84,0,2024-09-07 10:05:41:779,165134,0.7,165217,0.9,330427,0.7,441456,2.25 84,1,2024-09-07 10:05:41:048,1200012,1200012,0,0,563256665164,5892012654,1186329,11600,2083,367,391967,0 84,2,2024-09-07 10:05:40:571,864422,864012,410,0,49171367,0,17037 84,3,2024-09-07 10:05:41:154,1,684,7,0,908,9570,684,0 85,0,2024-09-07 10:05:41:131,164945,0.7,164953,0.8,350194,0.6,452524,2.25 85,1,2024-09-07 10:05:40:563,1196083,1196083,0,0,561270781722,5922986907,1178301,14852,2930,381,392092,0 85,2,2024-09-07 10:05:40:871,864388,864388,0,0,41610558,0,4255 85,3,2024-09-07 10:05:40:686,1,684,0,0,789,7734,684,0 86,0,2024-09-07 10:05:40:875,172119,0.7,177066,0.8,339005,0.8,458367,2.25 86,1,2024-09-07 10:05:40:826,1198683,1198683,0,0,563277604520,5915491833,1181103,14265,3315,366,392169,0 86,2,2024-09-07 10:05:40:854,860751,860750,1,0,43660356,0,5004 86,3,2024-09-07 10:05:40:589,1,684,4,0,441,9528,684,0 87,0,2024-09-07 10:05:41:285,174554,0.8,173313,0.8,347209,0.9,463622,2.25 87,1,2024-09-07 10:05:40:550,1199261,1199261,0,0,562499516990,5892371266,1184355,12744,2162,366,392076,0 87,2,2024-09-07 10:05:41:066,863627,863621,6,0,40885904,0,6323 87,3,2024-09-07 10:05:41:797,1,684,5,0,473,9460,684,0 88,0,2024-09-07 10:05:41:468,168036,0.4,168506,0.6,336986,0.4,447949,1.75 88,1,2024-09-07 10:05:40:578,1197925,1197925,0,0,562608646463,5896195589,1181125,13662,3138,365,392084,0 88,2,2024-09-07 10:05:40:688,866846,866846,0,0,43184253,0,4465 88,3,2024-09-07 10:05:41:272,1,684,2,0,1080,11045,684,0 89,0,2024-09-07 10:05:41:839,174777,0.4,169688,0.6,334404,0.4,454822,1.75 89,1,2024-09-07 10:05:40:553,1197734,1197734,0,0,562922533406,5919691570,1183067,12390,2277,382,391866,0 89,2,2024-09-07 10:05:41:135,865582,865582,0,0,40629191,0,3173 89,3,2024-09-07 10:05:41:811,1,684,9,0,729,12268,684,0 90,0,2024-09-07 10:05:41:627,167975,0.5,172431,0.7,352222,0.5,459449,2.00 90,1,2024-09-07 10:05:40:590,1198952,1198952,0,0,563488164399,5905144683,1186510,11174,1268,380,391825,0 90,2,2024-09-07 10:05:41:406,857869,857864,5,0,43767447,0,6370 90,3,2024-09-07 10:05:40:931,1,684,0,0,364,9017,684,0 91,0,2024-09-07 10:05:40:926,175154,0.5,169929,0.6,355453,0.4,466411,1.75 91,1,2024-09-07 10:05:40:556,1196204,1196204,0,0,562649665190,5935247102,1177499,14559,4146,381,392047,0 91,2,2024-09-07 10:05:41:346,862747,862747,0,0,39085618,0,4713 91,3,2024-09-07 10:05:40:599,1,684,1,0,231,6442,684,0 92,0,2024-09-07 10:05:41:455,172411,0.4,176408,0.6,336271,0.4,456421,1.75 92,1,2024-09-07 10:05:40:580,1200595,1200595,0,0,563990004574,5893221034,1191116,8168,1311,381,392136,0 92,2,2024-09-07 10:05:41:359,868028,868028,0,0,35804012,0,3906 92,3,2024-09-07 10:05:41:008,1,684,0,0,322,6197,684,0 93,0,2024-09-07 10:05:40:991,169081,0.4,173227,0.6,330611,0.4,448082,1.75 93,1,2024-09-07 10:05:40:821,1201189,1201189,0,0,563416746903,5889624743,1186800,11956,2433,365,392048,0 93,2,2024-09-07 10:05:40:937,866230,866230,0,0,41201394,0,4913 93,3,2024-09-07 10:05:41:406,1,684,108,0,788,8571,684,0 94,0,2024-09-07 10:05:41:608,172541,0.4,173665,0.6,347745,0.4,462208,1.75 94,1,2024-09-07 10:05:40:563,1202169,1202169,0,0,564661733673,5890596320,1193896,7623,650,381,391850,0 94,2,2024-09-07 10:05:40:761,862791,862763,28,0,35835296,0,6179 94,3,2024-09-07 10:05:41:691,1,684,1,0,576,8319,684,0 95,0,2024-09-07 10:05:41:353,173588,0.4,173422,0.6,347283,0.3,462980,1.75 95,1,2024-09-07 10:05:40:850,1202800,1202800,0,0,564339515399,5866351260,1193438,8551,811,365,391852,0 95,2,2024-09-07 10:05:41:016,861681,861681,0,0,35935943,0,3308 95,3,2024-09-07 10:05:41:710,1,684,1,0,718,10471,684,0 96,0,2024-09-07 10:05:41:046,172010,0.3,172317,0.5,344762,0.3,458027,1.75 96,1,2024-09-07 10:05:41:602,1200581,1200581,0,0,563396535701,5879969474,1191669,7534,1378,384,391964,0 96,2,2024-09-07 10:05:41:269,869422,869422,0,0,36225946,0,4225 96,3,2024-09-07 10:05:41:146,1,684,8,0,411,7691,684,0 97,0,2024-09-07 10:05:41:328,166224,0.3,166009,0.5,332712,0.3,442339,1.50 97,1,2024-09-07 10:05:40:766,1203406,1203406,0,0,565594583743,5869772830,1195522,6645,1239,367,392140,0 97,2,2024-09-07 10:05:40:606,867563,867563,0,0,36368383,0,4600 97,3,2024-09-07 10:05:40:569,1,684,121,0,433,8376,684,0 98,0,2024-09-07 10:05:41:700,171822,0.3,171739,0.5,345027,0.3,459073,1.50 98,1,2024-09-07 10:05:40:570,1202444,1202444,0,0,563839838786,5873873604,1195006,6539,899,381,391997,0 98,2,2024-09-07 10:05:40:787,864291,864291,0,0,34768793,0,4336 98,3,2024-09-07 10:05:40:704,1,684,1,0,840,9626,684,0 99,0,2024-09-07 10:05:41:448,173263,0.3,174159,0.5,346934,0.3,462232,1.75 99,1,2024-09-07 10:05:41:727,1203503,1203503,0,0,564247873412,5874008799,1195728,6668,1107,380,392069,0 99,2,2024-09-07 10:05:41:425,861413,861413,0,0,43642815,0,4276 99,3,2024-09-07 10:05:40:581,1,684,0,0,1124,8531,684,0 100,0,2024-09-07 10:05:41:466,172624,0.8,173161,0.9,346112,0.8,461136,2.50 100,1,2024-09-07 10:05:40:548,1196138,1196138,0,0,561413826164,5938942372,1177098,15245,3795,378,391989,0 100,2,2024-09-07 10:05:41:836,862059,861670,389,0,45216810,0,16909 100,3,2024-09-07 10:05:41:731,1,684,1,0,627,10745,684,0 101,0,2024-09-07 10:05:41:706,170455,0.9,166368,1.0,325423,0.9,443852,2.25 101,1,2024-09-07 10:05:40:553,1198250,1198250,0,0,562276432385,5909771958,1181884,13428,2938,368,392018,0 101,2,2024-09-07 10:05:41:769,861486,861447,39,0,47152633,0,5913 101,3,2024-09-07 10:05:40:942,1,684,5,0,1250,10564,684,0 102,0,2024-09-07 10:05:40:961,166264,0.6,171247,0.8,347971,0.6,455295,2.25 102,1,2024-09-07 10:05:41:145,1198412,1198412,0,0,562884722832,5911186127,1182688,13468,2256,369,391984,0 102,2,2024-09-07 10:05:41:737,866371,866317,54,0,39026884,0,6768 102,3,2024-09-07 10:05:41:616,1,684,1,0,466,7969,684,0 103,0,2024-09-07 10:05:41:614,178739,0.7,178720,0.8,336764,0.8,463064,2.25 103,1,2024-09-07 10:05:41:627,1196853,1196853,0,0,562043631882,5934283345,1177944,15283,3626,381,392077,0 103,2,2024-09-07 10:05:40:587,859837,859837,0,0,42343871,0,3766 103,3,2024-09-07 10:05:40:758,1,684,1,0,916,8078,684,0 104,0,2024-09-07 10:05:41:022,173308,0.7,173727,0.9,346603,0.7,462997,2.25 104,1,2024-09-07 10:05:41:601,1199013,1199013,0,0,562162801157,5910844628,1180587,14969,3457,365,392168,0 104,2,2024-09-07 10:05:41:666,861613,861613,0,0,41555974,0,4161 104,3,2024-09-07 10:05:41:416,1,684,19,0,1245,11722,684,0 105,0,2024-09-07 10:05:41:069,167773,0.8,163467,1.0,342697,0.9,449991,2.50 105,1,2024-09-07 10:05:40:555,1201253,1201253,0,0,562796658205,5895584578,1186489,12650,2114,364,392009,0 105,2,2024-09-07 10:05:41:329,866683,866683,0,0,42895511,0,4360 105,3,2024-09-07 10:05:41:306,1,684,1,0,573,10225,684,0 106,0,2024-09-07 10:05:40:963,163148,1.0,167266,1.0,342158,1.2,447661,2.50 106,1,2024-09-07 10:05:41:784,1199228,1199228,0,0,563025385372,5907723328,1182803,14275,2150,368,391914,0 106,2,2024-09-07 10:05:40:757,865770,865770,0,0,39566129,0,3331 106,3,2024-09-07 10:05:40:677,1,684,1,0,1224,9561,684,0 107,0,2024-09-07 10:05:41:102,173221,1.3,173208,1.1,346593,1.9,462454,2.25 107,1,2024-09-07 10:05:40:590,1196731,1196731,0,0,560971373175,5930040707,1177641,16834,2256,381,392234,0 107,2,2024-09-07 10:05:41:290,858061,858060,1,0,43067164,0,5024 107,3,2024-09-07 10:05:41:755,1,684,1,0,733,10861,684,0 108,0,2024-09-07 10:05:41:774,173890,0.4,174710,0.6,348342,0.4,464421,1.75 108,1,2024-09-07 10:05:41:293,1200252,1200252,0,0,563765849630,5888834257,1188790,10202,1260,367,391894,0 108,2,2024-09-07 10:05:41:763,863816,863816,0,0,38628809,0,4432 108,3,2024-09-07 10:05:41:330,1,684,4,0,767,12431,684,0 109,0,2024-09-07 10:05:41:743,171974,0.4,170607,0.6,342322,0.3,456543,1.75 109,1,2024-09-07 10:05:40:597,1198606,1198606,0,0,562895832896,5907526428,1187958,9143,1505,382,392132,0 109,2,2024-09-07 10:05:40:921,866638,866638,0,0,38644731,0,3617 109,3,2024-09-07 10:05:41:144,1,684,1,0,630,7911,684,0 110,0,2024-09-07 10:05:41:788,166877,0.4,162259,0.6,339818,0.3,446393,1.75 110,1,2024-09-07 10:05:41:646,1202815,1202815,0,0,565065943250,5869500500,1193353,7377,2085,368,392045,0 110,2,2024-09-07 10:05:41:315,866623,866623,0,0,37781586,0,4067 110,3,2024-09-07 10:05:40:699,1,684,9,0,722,9327,684,0 111,0,2024-09-07 10:05:41:413,173148,0.4,172356,0.6,344268,0.4,459709,1.75 111,1,2024-09-07 10:05:41:002,1204136,1204136,0,0,565303636116,5871465582,1196887,6743,506,380,391690,0 111,2,2024-09-07 10:05:41:116,863540,863540,0,0,37174842,0,4823 111,3,2024-09-07 10:05:40:928,1,684,1,0,379,7594,684,0 112,0,2024-09-07 10:05:40:916,174210,0.3,173836,0.4,348420,0.2,463113,1.50 112,1,2024-09-07 10:05:40:824,1202887,1202887,0,0,564424147034,5859265896,1195300,6361,1226,380,391624,0 112,2,2024-09-07 10:05:41:137,863022,863021,1,0,34901699,0,5036 112,3,2024-09-07 10:05:40:593,1,684,16,0,282,6822,684,0 113,0,2024-09-07 10:05:40:874,172379,0.3,172689,0.5,345783,0.2,459694,1.75 113,1,2024-09-07 10:05:41:691,1206710,1206710,0,0,566406905044,5844002446,1199800,5959,951,365,391664,0 113,2,2024-09-07 10:05:41:306,870108,870108,0,0,32197473,0,3813 113,3,2024-09-07 10:05:40:692,1,684,12,0,510,6986,684,0 114,0,2024-09-07 10:05:40:874,166719,0.3,167823,0.5,334697,0.2,446986,1.75 114,1,2024-09-07 10:05:40:720,1202639,1202639,0,0,564758130756,5864652184,1193812,7023,1804,381,391565,0 114,2,2024-09-07 10:05:40:873,868514,868513,1,0,34860429,0,5069 114,3,2024-09-07 10:05:41:286,1,684,23,0,415,5674,684,0 115,0,2024-09-07 10:05:40:564,172480,0.3,173068,0.4,344689,0.2,459042,1.50 115,1,2024-09-07 10:05:40:571,1203636,1203636,0,0,566172522331,5881892385,1194082,7763,1791,382,391757,0 115,2,2024-09-07 10:05:41:131,866715,866715,0,0,34747970,0,4382 115,3,2024-09-07 10:05:41:013,1,684,1,0,173,4403,684,0 116,0,2024-09-07 10:05:41:704,172134,0.8,172200,0.9,345043,0.8,460979,2.25 116,1,2024-09-07 10:05:40:831,1196988,1196988,0,0,562632559550,5927578929,1182928,10846,3214,380,392089,0 116,2,2024-09-07 10:05:41:757,861628,861628,0,0,41771648,0,4475 116,3,2024-09-07 10:05:40:919,1,684,1,0,448,8833,684,0 117,0,2024-09-07 10:05:41:003,174289,0.6,173998,0.8,348288,0.7,464948,2.00 117,1,2024-09-07 10:05:41:583,1199562,1199562,0,0,562896144392,5897006775,1185751,12048,1763,369,392429,0 117,2,2024-09-07 10:05:41:120,866262,866262,0,0,38441613,0,4303 117,3,2024-09-07 10:05:41:059,1,684,1,0,490,8419,684,0 118,0,2024-09-07 10:05:41:783,163028,0.5,167459,0.7,341606,0.5,446247,2.00 118,1,2024-09-07 10:05:40:590,1197931,1197931,0,0,562070133788,5907983196,1180435,13651,3845,366,392054,0 118,2,2024-09-07 10:05:41:592,866814,866814,0,0,40972639,0,3161 118,3,2024-09-07 10:05:41:767,1,684,3,0,343,8187,684,0 119,0,2024-09-07 10:05:41:337,169776,0.7,170066,0.8,339702,0.7,453283,2.25 119,1,2024-09-07 10:05:40:548,1198918,1198918,0,0,562794599725,5902390421,1182903,13348,2667,367,391857,0 119,2,2024-09-07 10:05:41:269,866476,866476,0,0,37970074,0,4309 119,3,2024-09-07 10:05:41:328,1,684,1,0,1358,12160,684,0 120,0,2024-09-07 10:05:41:577,172245,0.7,172170,0.9,345575,0.8,460717,2.50 120,1,2024-09-07 10:05:40:859,1199826,1199826,0,0,562446978977,5898654866,1187162,11507,1157,367,392144,0 120,2,2024-09-07 10:05:40:771,860188,860185,3,0,45661457,0,5363 120,3,2024-09-07 10:05:41:292,1,684,2,0,478,8835,684,0 121,0,2024-09-07 10:05:41:726,174579,1.1,174080,1.0,348289,1.5,464237,2.25 121,1,2024-09-07 10:05:41:655,1199438,1199438,0,0,563160541753,5896748818,1185920,11522,1996,366,391840,0 121,2,2024-09-07 10:05:41:136,863413,863413,0,0,41471978,0,4157 121,3,2024-09-07 10:05:40:742,1,684,1,0,387,9207,684,0 122,0,2024-09-07 10:05:41:790,170283,0.7,165691,0.8,346599,0.8,455871,2.00 122,1,2024-09-07 10:05:40:859,1198675,1198675,0,0,563192584795,5908556925,1182405,13693,2577,365,392130,0 122,2,2024-09-07 10:05:41:331,867996,867921,75,0,45717572,0,5989 122,3,2024-09-07 10:05:40:594,1,684,12,0,512,10491,684,0 123,0,2024-09-07 10:05:40:955,166958,0.8,162758,0.9,341253,0.9,446049,2.25 123,1,2024-09-07 10:05:40:567,1199689,1199689,0,0,562542483128,5916477475,1180605,16059,3025,369,392039,0 123,2,2024-09-07 10:05:41:024,865274,865273,1,0,39204945,0,5215 123,3,2024-09-07 10:05:41:134,1,684,1,0,478,7750,684,0 124,0,2024-09-07 10:05:40:966,178140,0.4,178139,0.5,335595,0.3,463043,1.75 124,1,2024-09-07 10:05:41:026,1202739,1202739,0,0,564348297667,5870616102,1193205,8273,1261,365,392178,0 124,2,2024-09-07 10:05:41:010,864489,864436,53,0,36439104,0,6487 124,3,2024-09-07 10:05:40:758,1,684,16,0,490,7180,684,0 125,0,2024-09-07 10:05:41:447,173533,0.4,173426,0.6,347552,0.3,463478,1.75 125,1,2024-09-07 10:05:40:868,1199941,1199941,0,0,563086008019,5886620325,1188905,9454,1582,382,392045,0 125,2,2024-09-07 10:05:41:117,865338,865338,0,0,35989733,0,4534 125,3,2024-09-07 10:05:41:141,1,684,2,0,709,7672,684,0 126,0,2024-09-07 10:05:41:463,172402,0.4,177206,0.5,338644,0.3,458845,1.75 126,1,2024-09-07 10:05:40:567,1203506,1203506,0,0,565097112303,5857384608,1196232,6572,702,365,391987,0 126,2,2024-09-07 10:05:40:609,869396,869396,0,0,37743649,0,4539 126,3,2024-09-07 10:05:40:910,1,684,12,0,268,7832,684,0 127,0,2024-09-07 10:05:41:595,166465,0.3,166833,0.5,332696,0.3,442610,1.75 127,1,2024-09-07 10:05:40:569,1202329,1202329,0,0,564433257170,5875532429,1189876,10677,1776,364,392187,0 127,2,2024-09-07 10:05:40:637,867161,867157,4,0,35668103,0,5305 127,3,2024-09-07 10:05:41:269,1,684,1,0,968,6791,684,0 128,0,2024-09-07 10:05:41:523,172855,0.3,172875,0.4,345674,0.2,459928,1.50 128,1,2024-09-07 10:05:41:618,1201354,1201354,0,0,564218150405,5866749584,1192488,7896,970,367,392423,0 128,2,2024-09-07 10:05:41:402,866732,866732,0,0,33172677,0,3171 128,3,2024-09-07 10:05:40:771,1,684,2,0,1082,10332,684,0 129,0,2024-09-07 10:05:41:088,174494,0.3,173857,0.5,348563,0.3,462992,1.75 129,1,2024-09-07 10:05:40:574,1198524,1198524,0,0,562568471386,5902723373,1185591,10604,2329,379,391962,0 129,2,2024-09-07 10:05:40:709,864249,864245,4,0,35800055,0,5335 129,3,2024-09-07 10:05:40:704,1,684,9,0,506,9888,684,0 130,0,2024-09-07 10:05:41:728,173830,0.4,173650,0.5,347634,0.4,462628,1.75 130,1,2024-09-07 10:05:40:588,1203464,1203464,0,0,564750712501,5865177814,1197623,5434,407,381,391825,0 130,2,2024-09-07 10:05:41:132,866498,866498,0,0,35594055,0,4067 130,3,2024-09-07 10:05:41:291,1,684,9,0,960,8888,684,0 131,0,2024-09-07 10:05:41:987,166830,0.3,167330,0.5,335508,0.3,445501,1.50 131,1,2024-09-07 10:05:41:821,1202267,1202267,0,0,564194714572,5880056186,1194126,6933,1208,381,391865,0 131,2,2024-09-07 10:05:40:575,867742,867742,0,0,33908084,0,3979 131,3,2024-09-07 10:05:41:690,1,684,0,0,392,8650,684,0 132,0,2024-09-07 10:05:41:428,171474,0.5,172428,0.6,343130,0.4,457289,2.00 132,1,2024-09-07 10:05:40:625,1198065,1198065,0,0,562503124815,5918833327,1181568,13715,2782,381,392532,0 132,2,2024-09-07 10:05:40:753,865674,865657,17,0,42440622,0,6451 132,3,2024-09-07 10:05:41:688,1,684,1,0,1298,11791,684,0 133,0,2024-09-07 10:05:41:539,168976,0.5,173046,0.6,354765,0.4,461416,2.00 133,1,2024-09-07 10:05:40:591,1197939,1197939,0,0,562469818994,5923234442,1182507,13498,1934,383,391914,0 133,2,2024-09-07 10:05:41:089,862325,862275,50,0,43382691,0,6861 133,3,2024-09-07 10:05:41:297,1,684,1,0,528,8008,684,0 134,0,2024-09-07 10:05:40:939,175315,0.5,175172,0.7,350236,0.5,466333,2.00 134,1,2024-09-07 10:05:40:592,1199230,1199230,0,0,562474948095,5902442442,1183150,12788,3292,366,391781,0 134,2,2024-09-07 10:05:41:756,863186,863047,139,0,40953072,0,7591 134,3,2024-09-07 10:05:40:749,1,684,2,0,739,8454,684,0 135,0,2024-09-07 10:05:41:116,164329,0.7,164301,0.8,348756,0.8,449386,2.25 135,1,2024-09-07 10:05:41:585,1199009,1199009,0,0,562658993583,5914347146,1184610,12547,1852,380,392038,0 135,2,2024-09-07 10:05:40:693,868950,868950,0,0,41629182,0,4503 135,3,2024-09-07 10:05:41:009,1,684,1,0,900,6640,684,0 136,0,2024-09-07 10:05:41:622,169181,0.6,169756,0.8,337431,0.6,450813,2.25 136,1,2024-09-07 10:05:41:443,1200209,1200209,0,0,563062964365,5899764613,1187382,11401,1426,381,392135,0 136,2,2024-09-07 10:05:41:134,868184,868169,15,0,40758797,0,6007 136,3,2024-09-07 10:05:41:107,1,684,0,0,637,8024,684,0 137,0,2024-09-07 10:05:40:941,178962,0.7,174493,0.8,341699,0.7,464101,2.00 137,1,2024-09-07 10:05:40:600,1199014,1199014,0,0,563140687985,5905236210,1180587,14788,3639,366,391898,0 137,2,2024-09-07 10:05:41:707,860916,860916,0,0,41719390,0,3185 137,3,2024-09-07 10:05:40:773,1,684,0,0,484,9755,684,0 138,0,2024-09-07 10:05:41:749,173244,0.9,173583,0.9,347683,1.2,462635,2.25 138,1,2024-09-07 10:05:41:692,1198681,1198681,0,0,563061919522,5909939658,1181549,14441,2691,368,391954,0 138,2,2024-09-07 10:05:40:596,861911,861911,0,0,40207588,0,4988 138,3,2024-09-07 10:05:40:622,1,684,0,0,1200,10338,684,0 139,0,2024-09-07 10:05:41:368,169895,0.9,170444,0.9,340898,1.2,454921,2.25 139,1,2024-09-07 10:05:40:582,1195010,1195010,0,0,559705286671,5930949085,1175116,15981,3913,380,392109,0 139,2,2024-09-07 10:05:40:693,862628,862598,30,0,45815326,0,5997 139,3,2024-09-07 10:05:41:663,1,684,2,0,432,8126,684,0 140,0,2024-09-07 10:05:41:602,167492,0.3,166831,0.5,334675,0.2,446691,1.75 140,1,2024-09-07 10:05:41:536,1206326,1206326,0,0,565617817608,5839387348,1199525,5998,803,364,391628,0 140,2,2024-09-07 10:05:40:686,866926,866925,1,0,34474144,0,5036 140,3,2024-09-07 10:05:40:766,1,684,9,0,575,6966,684,0 141,0,2024-09-07 10:05:41:701,173000,0.3,177676,0.5,339496,0.3,459354,1.75 141,1,2024-09-07 10:05:40:863,1203581,1203581,0,0,565482180260,5879989705,1193238,8950,1393,379,391614,0 141,2,2024-09-07 10:05:41:687,864130,864119,11,0,37000257,0,5369 141,3,2024-09-07 10:05:41:048,1,684,0,0,391,8105,684,0 142,0,2024-09-07 10:05:41:309,174473,0.3,173647,0.5,347689,0.3,463976,1.75 142,1,2024-09-07 10:05:40:590,1201354,1201354,0,0,564448804591,5891915093,1192304,8156,894,382,392102,0 142,2,2024-09-07 10:05:41:315,861697,861665,32,0,36562095,0,6028 142,3,2024-09-07 10:05:41:748,1,684,0,0,484,7026,684,0 143,0,2024-09-07 10:05:41:368,172483,0.4,172341,0.5,345796,0.4,459671,1.75 143,1,2024-09-07 10:05:40:563,1204367,1204367,0,0,565226835153,5868712410,1195974,7423,970,367,391900,0 143,2,2024-09-07 10:05:40:776,868807,868807,0,0,36197929,0,3123 143,3,2024-09-07 10:05:41:145,1,684,1,0,462,8091,684,0 144,0,2024-09-07 10:05:41:492,161586,0.6,166289,0.7,338352,0.5,443257,2.00 144,1,2024-09-07 10:05:40:565,1198361,1198361,0,0,562356853203,5901160256,1186621,9697,2043,381,391733,0 144,2,2024-09-07 10:05:41:776,868020,868020,0,0,35703569,0,4443 144,3,2024-09-07 10:05:41:739,1,684,2,0,306,7367,684,0 145,0,2024-09-07 10:05:41:374,166223,0.6,166250,0.8,352795,0.5,454510,2.25 145,1,2024-09-07 10:05:40:553,1197418,1197418,0,0,562811646607,5923869358,1181220,13230,2968,382,391781,0 145,2,2024-09-07 10:05:41:431,864020,863938,82,0,41542091,0,7814 145,3,2024-09-07 10:05:40:900,1,684,3,0,622,9168,684,0 146,0,2024-09-07 10:05:41:652,172701,0.7,171900,0.8,345517,0.7,459007,2.25 146,1,2024-09-07 10:05:41:624,1199206,1199206,0,0,562062310476,5913003352,1180074,14208,4924,367,391829,0 146,2,2024-09-07 10:05:41:695,859941,859935,6,0,39658066,0,5151 146,3,2024-09-07 10:05:41:274,1,684,1,0,1520,10874,684,0 147,0,2024-09-07 10:05:41:726,174331,0.6,174060,0.7,348042,0.5,464027,2.00 147,1,2024-09-07 10:05:41:374,1202964,1202964,0,0,564883638860,5874208483,1193010,8856,1098,367,391791,0 147,2,2024-09-07 10:05:41:010,864282,864282,0,0,37563645,0,4531 147,3,2024-09-07 10:05:40:928,1,684,1,0,1626,10361,684,0 0,0,2024-09-07 10:05:51:742,168289,0.7,168281,0.8,357196,0.8,461456,2.00 0,1,2024-09-07 10:05:51:093,1201944,1201944,0,0,563951404620,5904013099,1192274,8708,962,368,391896,0 0,2,2024-09-07 10:05:51:135,863508,863508,0,0,35295059,0,4480 0,3,2024-09-07 10:05:50:974,1,685,1,0,538,9867,685,0 1,0,2024-09-07 10:05:51:765,174675,0.8,173683,0.9,348489,1.0,466215,2.00 1,1,2024-09-07 10:05:50:558,1200957,1200957,0,0,563766630243,5907926330,1189567,9420,1970,370,391859,0 1,2,2024-09-07 10:05:50:652,866065,866065,0,0,34613508,0,3380 1,3,2024-09-07 10:05:51:309,1,685,7,0,269,8196,685,0 2,0,2024-09-07 10:05:51:581,171015,0.6,170757,0.7,341317,0.6,455234,2.00 2,1,2024-09-07 10:05:50:860,1205067,1205067,0,0,565486197868,5881319254,1198137,5969,961,379,391805,0 2,2,2024-09-07 10:05:51:274,870168,870168,0,0,33727782,0,3594 2,3,2024-09-07 10:05:50:690,1,685,1,0,357,6110,685,0 3,0,2024-09-07 10:05:51:747,168219,0.4,168104,0.6,335911,0.4,447899,2.00 3,1,2024-09-07 10:05:51:618,1202666,1202666,0,0,564344161881,5871093813,1194139,7809,718,379,391716,0 3,2,2024-09-07 10:05:51:157,869527,869504,23,0,34478276,0,5851 3,3,2024-09-07 10:05:51:756,1,685,1,0,484,5200,685,0 4,0,2024-09-07 10:05:51:811,168541,0.4,173113,0.5,353240,0.4,461850,1.75 4,1,2024-09-07 10:05:50:590,1197334,1197334,0,0,562002985633,5945075656,1177450,15283,4601,369,391992,0 4,2,2024-09-07 10:05:51:020,860977,860977,0,0,41677889,0,4534 4,3,2024-09-07 10:05:51:030,1,685,8,0,448,9323,685,0 5,0,2024-09-07 10:05:51:404,173969,0.4,173753,0.6,347881,0.4,463635,1.75 5,1,2024-09-07 10:05:50:755,1199085,1199085,0,0,562584913727,5935228647,1181433,13416,4236,367,392005,0 5,2,2024-09-07 10:05:51:830,860903,860870,33,0,41946716,0,7631 5,3,2024-09-07 10:05:51:732,1,685,3,0,457,9038,685,0 6,0,2024-09-07 10:05:50:918,172089,0.5,171621,0.6,343484,0.4,457527,2.00 6,1,2024-09-07 10:05:50:745,1201933,1201933,0,0,564528590815,5894115757,1189769,10479,1685,379,391702,0 6,2,2024-09-07 10:05:51:119,869495,869477,18,0,39077483,0,5535 6,3,2024-09-07 10:05:51:279,1,685,6,0,710,7938,685,0 7,0,2024-09-07 10:05:51:542,165839,0.5,166970,0.7,331519,0.5,442492,2.00 7,1,2024-09-07 10:05:50:860,1199712,1199712,0,0,563168162353,5925762863,1181878,13697,4137,382,391747,0 7,2,2024-09-07 10:05:50:771,866526,866357,169,0,39853351,0,7706 7,3,2024-09-07 10:05:50:854,1,685,1,0,552,7995,685,0 8,0,2024-09-07 10:05:51:341,172769,0.4,172466,0.5,345346,0.4,460634,1.75 8,1,2024-09-07 10:05:51:050,1198618,1198618,0,0,563640405108,5927670336,1179761,14811,4046,366,392853,0 8,2,2024-09-07 10:05:50:791,860166,860164,2,0,44864363,0,5112 8,3,2024-09-07 10:05:50:588,1,685,1,0,772,10321,685,0 9,0,2024-09-07 10:05:51:140,173495,0.4,168496,0.5,352770,0.4,463069,1.75 9,1,2024-09-07 10:05:50:558,1198086,1198086,0,0,563162068502,5939554058,1178421,15315,4350,369,392001,0 9,2,2024-09-07 10:05:51:134,861870,861869,1,0,42789507,0,5281 9,3,2024-09-07 10:05:51:757,1,685,1,0,1273,11026,685,0 10,0,2024-09-07 10:05:51:602,173169,0.4,172703,0.5,346159,0.3,460595,1.75 10,1,2024-09-07 10:05:50:584,1200016,1200016,0,0,563303650420,5912977584,1181957,14325,3734,381,391981,0 10,2,2024-09-07 10:05:50:765,867194,867194,0,0,45957295,0,4713 10,3,2024-09-07 10:05:50:871,1,685,1,0,669,7339,685,0 11,0,2024-09-07 10:05:51:007,166790,0.4,161908,0.6,338842,0.4,446148,1.75 11,1,2024-09-07 10:05:50:571,1202536,1202536,0,0,563692356710,5911299678,1185651,12575,4310,383,391766,0 11,2,2024-09-07 10:05:51:130,867126,867126,0,0,39707498,0,4698 11,3,2024-09-07 10:05:51:304,1,685,0,0,843,8372,685,0 12,0,2024-09-07 10:05:50:959,172841,0.4,172428,0.6,344546,0.4,458872,1.75 12,1,2024-09-07 10:05:50:950,1201565,1201565,0,0,564017170863,5886585567,1191715,8823,1027,368,391960,0 12,2,2024-09-07 10:05:51:562,864918,864918,0,0,39686626,0,4390 12,3,2024-09-07 10:05:51:060,1,685,1,0,386,8516,685,0 13,0,2024-09-07 10:05:51:352,174264,0.4,174474,0.6,348346,0.4,463479,1.75 13,1,2024-09-07 10:05:51:542,1198933,1198933,0,0,562194979090,5919991536,1184781,11073,3079,382,391803,0 13,2,2024-09-07 10:05:50:598,864533,864533,0,0,35809224,0,3287 13,3,2024-09-07 10:05:51:769,1,685,4,0,522,9236,685,0 14,0,2024-09-07 10:05:50:576,174639,0.4,175729,0.6,349506,0.4,464831,1.75 14,1,2024-09-07 10:05:51:566,1207488,1207488,0,0,566253501213,5859669715,1198234,8104,1150,364,391673,0 14,2,2024-09-07 10:05:50:768,865776,865746,30,0,37039112,0,6104 14,3,2024-09-07 10:05:51:123,1,685,1,0,1168,7125,685,0 15,0,2024-09-07 10:05:51:556,169741,0.4,168811,0.6,338099,0.3,450716,1.75 15,1,2024-09-07 10:05:51:608,1203571,1203571,0,0,565351318856,5894129653,1192707,8768,2096,380,391619,0 15,2,2024-09-07 10:05:51:001,870943,870943,0,0,33126805,0,3622 15,3,2024-09-07 10:05:51:410,1,685,1,0,1126,8627,685,0 16,0,2024-09-07 10:05:50:968,169137,0.6,170023,0.8,339061,0.7,451948,2.00 16,1,2024-09-07 10:05:50:579,1203311,1203311,0,0,565015051980,5907270438,1192577,9190,1544,370,392194,0 16,2,2024-09-07 10:05:51:444,867217,867217,0,0,36120381,0,4719 16,3,2024-09-07 10:05:51:171,1,685,5,0,362,8518,685,0 17,0,2024-09-07 10:05:51:774,179114,0.7,174605,0.8,341952,0.7,464626,2.00 17,1,2024-09-07 10:05:50:568,1201483,1201483,0,0,563504774360,5908087035,1190363,9319,1801,368,392075,0 17,2,2024-09-07 10:05:51:673,865857,865856,1,0,37184405,0,5050 17,3,2024-09-07 10:05:50:577,1,685,11,0,518,9835,685,0 18,0,2024-09-07 10:05:50:948,172732,0.6,173816,0.8,345959,0.6,462295,2.25 18,1,2024-09-07 10:05:51:637,1206391,1206391,0,0,565714663906,5869541023,1198225,6736,1430,367,391725,0 18,2,2024-09-07 10:05:51:758,866863,866863,0,0,33760624,0,3541 18,3,2024-09-07 10:05:50:901,1,685,10,0,1059,6284,685,0 19,0,2024-09-07 10:05:51:541,170769,0.6,171242,0.8,341458,0.6,453880,2.00 19,1,2024-09-07 10:05:50:569,1205222,1205222,0,0,567071663621,5876344986,1196373,7689,1160,365,391777,0 19,2,2024-09-07 10:05:51:758,872343,872343,0,0,31490409,0,3988 19,3,2024-09-07 10:05:51:129,1,685,18,0,524,5497,685,0 20,0,2024-09-07 10:05:51:368,167355,0.5,167289,0.7,334246,0.5,446474,2.00 20,1,2024-09-07 10:05:50:570,1200877,1200877,0,0,563906633797,5910624021,1188617,10556,1704,369,391922,0 20,2,2024-09-07 10:05:50:946,867562,867562,0,0,39710862,0,4321 20,3,2024-09-07 10:05:50:600,1,685,5,0,468,11545,685,0 21,0,2024-09-07 10:05:51:130,172762,0.5,172840,0.7,345559,0.5,459157,2.00 21,1,2024-09-07 10:05:51:569,1198654,1198654,0,0,562244243411,5933848471,1179901,14764,3989,368,392016,0 21,2,2024-09-07 10:05:51:130,858570,858093,477,0,50844460,0,17074 21,3,2024-09-07 10:05:51:412,1,685,2,0,713,9060,685,0 22,0,2024-09-07 10:05:51:717,173723,0.5,174308,0.7,348274,0.4,462482,2.00 22,1,2024-09-07 10:05:51:024,1200009,1200009,0,0,563710196331,5929342046,1180971,15435,3603,381,391822,0 22,2,2024-09-07 10:05:50:764,862864,862838,26,0,37736319,0,6328 22,3,2024-09-07 10:05:51:143,1,685,1,0,228,5621,685,0 23,0,2024-09-07 10:05:51:371,172258,0.5,172101,0.7,344148,0.4,458565,2.00 23,1,2024-09-07 10:05:51:003,1201528,1201528,0,0,563804875701,5935505620,1180284,14421,6823,365,391690,0 23,2,2024-09-07 10:05:51:130,869387,869387,0,0,35975245,0,3773 23,3,2024-09-07 10:05:51:756,1,685,1,0,855,9699,685,0 24,0,2024-09-07 10:05:50:861,167943,0.4,166888,0.5,335523,0.3,445857,1.75 24,1,2024-09-07 10:05:50:581,1199976,1199976,0,0,563308266571,5896888527,1188385,9717,1874,367,392269,0 24,2,2024-09-07 10:05:51:151,867224,867221,3,0,42514717,0,6294 24,3,2024-09-07 10:05:51:686,1,685,1,0,468,8973,685,0 25,0,2024-09-07 10:05:51:388,176849,0.4,171762,0.6,338266,0.4,460906,2.00 25,1,2024-09-07 10:05:50:561,1198892,1198892,0,0,562839511545,5946194337,1178152,16745,3995,369,391928,0 25,2,2024-09-07 10:05:51:609,863696,863696,0,0,44134389,0,3978 25,3,2024-09-07 10:05:51:002,1,685,1,0,532,7522,685,0 26,0,2024-09-07 10:05:51:731,172328,0.5,168416,0.6,353342,0.4,460811,2.00 26,1,2024-09-07 10:05:51:544,1202014,1202014,0,0,563749583208,5901287126,1186310,12801,2903,380,391758,0 26,2,2024-09-07 10:05:50:862,862646,862646,0,0,45369953,0,4689 26,3,2024-09-07 10:05:51:711,1,685,3,0,796,8561,685,0 27,0,2024-09-07 10:05:51:723,174232,0.4,174890,0.6,347762,0.4,463803,2.25 27,1,2024-09-07 10:05:51:676,1203788,1203788,0,0,565810720329,5896001136,1192507,9660,1621,381,391626,0 27,2,2024-09-07 10:05:50:867,862688,862623,65,0,40248655,0,5699 27,3,2024-09-07 10:05:51:032,1,685,0,0,564,5901,685,0 28,0,2024-09-07 10:05:51:394,168251,0.4,168505,0.6,336910,0.3,448161,1.75 28,1,2024-09-07 10:05:50:798,1204093,1204093,0,0,565618471492,5904422985,1192628,9038,2427,382,391904,0 28,2,2024-09-07 10:05:51:763,868227,868227,0,0,37665616,0,4060 28,3,2024-09-07 10:05:51:780,1,685,1,0,502,7207,685,0 29,0,2024-09-07 10:05:51:366,175191,0.4,170480,0.6,334773,0.4,456914,1.75 29,1,2024-09-07 10:05:51:562,1206972,1206972,0,0,566942896817,5868828842,1199051,6900,1021,367,391809,0 29,2,2024-09-07 10:05:50:867,866913,866913,0,0,35054627,0,4986 29,3,2024-09-07 10:05:50:963,1,685,2,0,590,7813,685,0 30,0,2024-09-07 10:05:51:467,172163,0.6,167543,0.7,351152,0.5,458369,2.00 30,1,2024-09-07 10:05:50:571,1205995,1205995,0,0,566564701289,5885060707,1196185,8642,1168,380,391672,0 30,2,2024-09-07 10:05:51:284,863798,863798,0,0,33930979,0,4192 30,3,2024-09-07 10:05:50:582,1,685,1,0,519,7190,685,0 31,0,2024-09-07 10:05:51:768,174388,0.5,175257,0.7,349513,0.4,466201,2.00 31,1,2024-09-07 10:05:50:565,1209936,1209936,0,0,567490634508,5831419509,1202006,6662,1268,356,391712,0 31,2,2024-09-07 10:05:51:283,864709,864709,0,0,37381892,0,4470 31,3,2024-09-07 10:05:51:710,1,685,1,0,248,6105,685,0 32,0,2024-09-07 10:05:51:421,171134,0.3,172209,0.5,343090,0.2,456639,1.75 32,1,2024-09-07 10:05:50:804,1205515,1205515,0,0,566288406448,5887392210,1198027,6523,965,381,391646,0 32,2,2024-09-07 10:05:50:936,872063,872063,0,0,32683376,0,3922 32,3,2024-09-07 10:05:51:031,1,685,0,0,304,5830,685,0 33,0,2024-09-07 10:05:51:503,169008,0.3,168530,0.4,337103,0.2,449214,1.50 33,1,2024-09-07 10:05:50:597,1207012,1207012,0,0,566597297521,5867496479,1197665,7995,1352,368,391730,0 33,2,2024-09-07 10:05:50:766,868490,868455,35,0,36216214,0,7012 33,3,2024-09-07 10:05:50:895,1,685,3,0,329,6377,685,0 34,0,2024-09-07 10:05:50:927,173906,0.3,178763,0.5,341917,0.2,461807,1.75 34,1,2024-09-07 10:05:51:058,1209523,1209523,0,0,567919534123,5844803608,1205524,3790,209,367,391637,0 34,2,2024-09-07 10:05:50:775,864507,864507,0,0,33769727,0,4562 34,3,2024-09-07 10:05:51:693,1,685,0,0,541,6021,685,0 35,0,2024-09-07 10:05:50:866,173356,0.3,173938,0.5,348871,0.2,464555,1.75 35,1,2024-09-07 10:05:51:148,1205263,1205263,0,0,565648394749,5870174007,1196324,7387,1552,382,391769,0 35,2,2024-09-07 10:05:51:583,864686,864686,0,0,39172854,0,4055 35,3,2024-09-07 10:05:50:911,1,685,1,0,466,6558,685,0 36,0,2024-09-07 10:05:51:525,172678,0.4,172791,0.6,345226,0.4,459465,2.00 36,1,2024-09-07 10:05:50:583,1203877,1203877,0,0,565394628166,5902705246,1189103,12420,2354,366,391759,0 36,2,2024-09-07 10:05:51:750,869347,869347,0,0,39137436,0,3875 36,3,2024-09-07 10:05:50:863,1,685,1,0,556,8764,685,0 37,0,2024-09-07 10:05:51:375,165812,0.5,165787,0.7,332070,0.5,443059,2.25 37,1,2024-09-07 10:05:50:570,1203080,1203073,0,7,564746065861,5896912446,1188893,11066,3114,365,391770,0 37,2,2024-09-07 10:05:51:157,865952,865937,15,0,39260534,0,5815 37,3,2024-09-07 10:05:51:772,1,685,2,0,888,9170,685,0 38,0,2024-09-07 10:05:51:444,171704,0.4,166517,0.7,348146,0.4,456479,2.00 38,1,2024-09-07 10:05:51:605,1203422,1203422,0,0,565586846421,5912227241,1186594,13524,3304,368,391821,0 38,2,2024-09-07 10:05:50:760,865700,865653,47,0,39255687,0,6710 38,3,2024-09-07 10:05:50:998,1,685,1,0,689,7899,685,0 39,0,2024-09-07 10:05:51:765,176866,0.6,172836,0.7,337370,0.5,460602,2.00 39,1,2024-09-07 10:05:50:723,1201827,1201827,0,0,563518937957,5907683125,1182215,15379,4233,365,391865,0 39,2,2024-09-07 10:05:51:430,863490,863490,0,0,37423409,0,3478 39,3,2024-09-07 10:05:50:712,1,685,1,0,525,7625,685,0 40,0,2024-09-07 10:05:51:515,171635,0.8,172306,0.9,343984,0.9,459064,2.75 40,1,2024-09-07 10:05:50:578,1202002,1202002,0,0,563596863361,5908685992,1183199,15220,3583,366,391668,0 40,2,2024-09-07 10:05:51:317,864753,864746,7,0,43505576,0,5347 40,3,2024-09-07 10:05:51:157,1,685,2,0,1028,9815,685,0 41,0,2024-09-07 10:05:51:035,166117,1.2,169994,1.1,324167,1.6,440299,3.00 41,1,2024-09-07 10:05:50:770,1201520,1201520,0,0,564637240663,5905998620,1185812,13183,2525,369,391878,0 41,2,2024-09-07 10:05:50:769,864584,864583,1,0,42424286,0,5408 41,3,2024-09-07 10:05:51:677,1,685,0,0,749,8422,685,0 42,0,2024-09-07 10:05:51:493,170362,1.0,170141,1.0,340766,1.1,452152,2.75 42,1,2024-09-07 10:05:51:448,1199782,1199782,0,0,562820657607,5909205235,1182181,14517,3084,380,391675,0 42,2,2024-09-07 10:05:51:132,863707,863706,1,0,43685746,0,5513 42,3,2024-09-07 10:05:51:009,1,685,1,0,892,6962,685,0 43,0,2024-09-07 10:05:50:919,171074,0.8,166455,1.0,348754,0.9,455988,2.25 43,1,2024-09-07 10:05:50:577,1202791,1202791,0,0,566189901972,5914474251,1186685,13358,2748,365,391696,0 43,2,2024-09-07 10:05:51:736,863705,863705,0,0,39989105,0,4723 43,3,2024-09-07 10:05:51:749,1,685,1,0,571,9283,685,0 44,0,2024-09-07 10:05:50:868,174867,0.4,175078,0.6,349490,0.4,465824,1.75 44,1,2024-09-07 10:05:50:583,1205867,1205867,0,0,565593164600,5851335589,1194542,9174,2151,356,391809,0 44,2,2024-09-07 10:05:51:271,864432,864432,0,0,33659711,0,4344 44,3,2024-09-07 10:05:51:121,1,685,1,0,1097,8884,685,0 45,0,2024-09-07 10:05:51:766,167935,0.4,164084,0.7,344190,0.4,450820,2.00 45,1,2024-09-07 10:05:51:004,1205477,1205477,0,0,566278817918,5888021738,1195931,8325,1221,382,391917,0 45,2,2024-09-07 10:05:51:275,870163,870163,0,0,33852586,0,3596 45,3,2024-09-07 10:05:50:944,1,685,0,0,531,6455,685,0 46,0,2024-09-07 10:05:50:949,168625,0.5,168027,0.7,337063,0.5,448752,2.00 46,1,2024-09-07 10:05:50:578,1207387,1207387,0,0,566954436323,5867605565,1198114,7792,1481,366,391709,0 46,2,2024-09-07 10:05:50:593,868981,868981,0,0,34670138,0,4443 46,3,2024-09-07 10:05:51:137,1,685,1,0,908,7602,685,0 47,0,2024-09-07 10:05:51:136,174383,0.5,173915,0.6,349271,0.5,462793,2.00 47,1,2024-09-07 10:05:50:567,1208594,1208594,0,0,566408584786,5855651750,1201088,6493,1013,364,391666,0 47,2,2024-09-07 10:05:50:915,865838,865838,0,0,35000222,0,4477 47,3,2024-09-07 10:05:51:115,1,685,1,0,600,7464,685,0 48,0,2024-09-07 10:05:51:529,174780,0.3,175310,0.4,349247,0.2,465041,1.50 48,1,2024-09-07 10:05:51:025,1205374,1205374,0,0,565242670104,5873661999,1197761,6601,1012,381,391710,0 48,2,2024-09-07 10:05:50:699,865510,865510,0,0,31932183,0,3619 48,3,2024-09-07 10:05:50:757,1,685,1,0,339,5948,685,0 49,0,2024-09-07 10:05:51:718,176380,0.3,172687,0.5,335886,0.3,458416,1.75 49,1,2024-09-07 10:05:51:024,1204658,1204658,0,0,565893640775,5884824084,1196794,6166,1698,382,391809,0 49,2,2024-09-07 10:05:51:797,871283,871283,0,0,33451684,0,4426 49,3,2024-09-07 10:05:51:436,1,685,1,0,992,8082,685,0 50,0,2024-09-07 10:05:51:512,167869,0.3,166528,0.5,335291,0.2,446157,1.75 50,1,2024-09-07 10:05:51:012,1207633,1207633,0,0,566881632936,5861350696,1200079,6822,732,368,391691,0 50,2,2024-09-07 10:05:51:139,867235,867235,0,0,31423254,0,4490 50,3,2024-09-07 10:05:51:301,1,685,0,0,617,7203,685,0 51,0,2024-09-07 10:05:51:705,177456,0.3,173637,0.5,338221,0.2,461723,1.75 51,1,2024-09-07 10:05:51:685,1208515,1208515,0,0,568065767616,5858452769,1201816,5355,1344,365,391706,0 51,2,2024-09-07 10:05:51:319,864967,864967,0,0,31067857,0,3337 51,3,2024-09-07 10:05:51:028,1,685,0,0,678,5452,685,0 52,0,2024-09-07 10:05:51:446,173870,0.5,173818,0.7,347217,0.4,462129,2.00 52,1,2024-09-07 10:05:50:600,1202709,1202709,0,0,564654914087,5908505539,1185964,14318,2427,368,391805,0 52,2,2024-09-07 10:05:51:763,860538,860500,38,0,42159335,0,6742 52,3,2024-09-07 10:05:50:685,1,685,6,0,1782,7904,685,0 53,0,2024-09-07 10:05:51:755,171988,0.6,166885,0.8,349204,0.7,457046,2.25 53,1,2024-09-07 10:05:50:774,1201592,1201592,0,0,565170348983,5924193813,1182439,14740,4413,367,391968,0 53,2,2024-09-07 10:05:51:304,869014,868876,138,0,38728461,0,7690 53,3,2024-09-07 10:05:50:698,1,685,1,0,308,6448,685,0 54,0,2024-09-07 10:05:51:612,165084,0.6,165510,0.7,329593,0.5,440223,2.25 54,1,2024-09-07 10:05:50:579,1204611,1204611,0,0,566211550489,5885933986,1192835,10102,1674,366,391810,0 54,2,2024-09-07 10:05:50:864,868005,867973,32,0,41917697,0,6397 54,3,2024-09-07 10:05:50:765,1,685,1,0,676,8821,685,0 55,0,2024-09-07 10:05:51:787,166174,0.7,171263,0.8,347570,0.6,453196,2.50 55,1,2024-09-07 10:05:50:782,1205109,1205109,0,0,564902586029,5873970397,1193085,10537,1487,365,391731,0 55,2,2024-09-07 10:05:50:731,865094,865038,56,0,38386923,0,7239 55,3,2024-09-07 10:05:50:676,1,685,1,0,304,6259,685,0 56,0,2024-09-07 10:05:51:551,176169,1.2,165984,1.2,342077,1.6,458017,2.75 56,1,2024-09-07 10:05:50:578,1197598,1197598,0,0,563178617753,5953920702,1178107,15737,3754,381,391867,0 56,2,2024-09-07 10:05:51:308,861719,861597,122,0,41000551,0,7432 56,3,2024-09-07 10:05:51:139,1,685,1,0,705,8200,685,0 57,0,2024-09-07 10:05:50:958,172352,1.4,172359,1.2,344224,1.8,459943,3.00 57,1,2024-09-07 10:05:50:990,1200721,1200721,0,0,563668133109,5913229472,1185010,13007,2704,366,392097,0 57,2,2024-09-07 10:05:51:331,865425,865425,0,0,43947400,0,4804 57,3,2024-09-07 10:05:51:742,1,685,0,0,455,7390,685,0 58,0,2024-09-07 10:05:50:564,165827,0.9,161011,1.0,336960,1.1,440550,2.50 58,1,2024-09-07 10:05:50:579,1201552,1201549,0,3,564482277805,5919215220,1183187,13961,4401,367,391726,3 58,2,2024-09-07 10:05:51:130,868760,868760,0,0,39977838,0,3483 58,3,2024-09-07 10:05:51:133,1,685,1,0,1043,7443,685,0 59,0,2024-09-07 10:05:51:744,169219,0.9,168379,1.0,336645,1.0,447516,2.75 59,1,2024-09-07 10:05:50:803,1201170,1201170,0,0,563963955859,5924450265,1181683,15649,3838,369,391653,0 59,2,2024-09-07 10:05:50:587,867095,867095,0,0,38086305,0,3727 59,3,2024-09-07 10:05:51:737,1,685,1,0,1015,8109,685,0 60,0,2024-09-07 10:05:51:722,173021,0.6,173235,0.7,346361,0.5,461940,2.00 60,1,2024-09-07 10:05:50:807,1204927,1204927,0,0,566138534498,5897334312,1193999,9426,1502,370,392031,0 60,2,2024-09-07 10:05:51:155,863947,863947,0,0,36332644,0,3811 60,3,2024-09-07 10:05:51:262,1,685,2,0,409,7907,685,0 61,0,2024-09-07 10:05:51:496,174571,0.6,175343,0.8,349147,0.6,465692,2.00 61,1,2024-09-07 10:05:50:771,1201962,1201962,0,0,564116904606,5908315395,1189291,10820,1851,382,392127,0 61,2,2024-09-07 10:05:51:128,865846,865779,67,0,37326658,0,6411 61,3,2024-09-07 10:05:51:686,1,685,8,0,607,8530,685,0 62,0,2024-09-07 10:05:51:717,171802,0.5,176151,0.7,336273,0.5,456590,2.00 62,1,2024-09-07 10:05:51:126,1209412,1209406,0,6,567854683347,5863256962,1202154,6635,617,365,391975,6 62,2,2024-09-07 10:05:51:648,867592,867591,1,0,37107686,0,5555 62,3,2024-09-07 10:05:51:158,1,685,1,0,482,5408,685,0 63,0,2024-09-07 10:05:51:463,168792,0.4,168514,0.6,337771,0.3,449340,1.75 63,1,2024-09-07 10:05:50:803,1206494,1206488,0,6,566273199981,5883508547,1198577,6961,950,381,391800,6 63,2,2024-09-07 10:05:50:764,867548,867548,0,0,36239645,0,4369 63,3,2024-09-07 10:05:51:736,1,685,1,0,667,8065,685,0 64,0,2024-09-07 10:05:51:549,172945,0.5,172856,0.7,345307,0.5,460253,2.00 64,1,2024-09-07 10:05:50:769,1204728,1204728,0,0,566078239620,5893851710,1194519,8181,2028,370,391794,0 64,2,2024-09-07 10:05:51:158,866748,866729,19,0,34750104,0,6121 64,3,2024-09-07 10:05:51:154,1,685,15,0,651,7850,685,0 65,0,2024-09-07 10:05:51:679,172589,0.6,172817,0.7,345172,0.6,460806,2.00 65,1,2024-09-07 10:05:50:884,1202924,1202924,0,0,564663980380,5900742116,1194682,7344,898,381,391901,0 65,2,2024-09-07 10:05:51:702,863195,863195,0,0,41579387,0,3367 65,3,2024-09-07 10:05:51:687,1,685,1,0,782,8111,685,0 66,0,2024-09-07 10:05:51:766,171914,0.5,171256,0.7,342658,0.5,456012,2.00 66,1,2024-09-07 10:05:51:299,1204708,1204708,0,0,565852226984,5889077730,1197213,6622,873,380,391743,0 66,2,2024-09-07 10:05:51:135,871921,871918,3,0,36559000,0,5455 66,3,2024-09-07 10:05:51:129,1,685,1,0,291,6143,685,0 67,0,2024-09-07 10:05:51:411,166513,0.5,166109,0.7,332891,0.5,443644,2.00 67,1,2024-09-07 10:05:50:775,1204677,1204676,0,1,565047676736,5883885511,1196242,7294,1140,380,391787,1 67,2,2024-09-07 10:05:50:587,870293,870278,15,0,36734829,0,6205 67,3,2024-09-07 10:05:51:758,1,685,1,0,595,7310,685,0 68,0,2024-09-07 10:05:50:559,172579,0.6,172311,0.7,343239,0.6,459404,2.25 68,1,2024-09-07 10:05:50:577,1200371,1200371,0,0,563350831934,5927467021,1183643,12456,4272,381,391953,0 68,2,2024-09-07 10:05:51:132,861168,861068,100,0,44826864,0,8578 68,3,2024-09-07 10:05:50:740,1,685,1,0,417,9637,685,0 69,0,2024-09-07 10:05:51:767,172681,0.7,173182,0.8,345671,0.8,460464,2.25 69,1,2024-09-07 10:05:51:022,1199473,1199473,0,0,562741996610,5927819537,1184585,11906,2982,383,391994,0 69,2,2024-09-07 10:05:51:743,860579,860550,29,0,47728598,0,6912 69,3,2024-09-07 10:05:50:759,1,685,1,0,698,10343,685,0 70,0,2024-09-07 10:05:51:544,171354,0.7,172085,0.9,345069,0.6,457614,2.50 70,1,2024-09-07 10:05:50:802,1206028,1206028,0,0,566795564621,5882809148,1196580,8403,1045,366,391725,0 70,2,2024-09-07 10:05:51:326,867533,867533,0,0,39286964,0,4323 70,3,2024-09-07 10:05:50:763,1,685,1,0,854,7669,685,0 71,0,2024-09-07 10:05:51:364,166076,0.8,165607,1.0,332209,1.0,442897,2.50 71,1,2024-09-07 10:05:51:602,1203850,1203850,0,0,564633349950,5891605903,1189418,12646,1786,367,391738,0 71,2,2024-09-07 10:05:51:140,866897,866897,0,0,39721865,0,4352 71,3,2024-09-07 10:05:51:755,1,685,1,0,644,7576,685,0 72,0,2024-09-07 10:05:51:071,176987,0.6,172844,0.7,337675,0.5,459617,2.00 72,1,2024-09-07 10:05:51:021,1202255,1202255,0,0,564122830054,5915368471,1185402,14120,2733,369,391819,0 72,2,2024-09-07 10:05:51:762,864699,864699,0,0,41638628,0,3983 72,3,2024-09-07 10:05:51:759,1,685,3,0,564,9511,685,0 73,0,2024-09-07 10:05:51:142,169121,0.5,173342,0.6,354194,0.4,461099,2.00 73,1,2024-09-07 10:05:50:868,1203879,1203879,0,0,565361878250,5877877685,1194353,8464,1062,367,391858,0 73,2,2024-09-07 10:05:51:740,862374,862373,1,0,43577370,0,5027 73,3,2024-09-07 10:05:50:971,1,685,0,0,1091,9270,685,0 74,0,2024-09-07 10:05:51:329,175694,0.5,180016,0.7,343387,0.4,466190,2.00 74,1,2024-09-07 10:05:50:636,1202537,1202537,0,0,565063763375,5896221289,1190179,10210,2148,381,391762,0 74,2,2024-09-07 10:05:51:001,864802,864802,0,0,37865059,0,4253 74,3,2024-09-07 10:05:51:447,1,685,0,0,522,7883,685,0 75,0,2024-09-07 10:05:51:765,169844,0.5,168871,0.7,338951,0.4,452111,2.00 75,1,2024-09-07 10:05:51:585,1203887,1203887,0,0,564787839089,5885625272,1194007,8887,993,380,391739,0 75,2,2024-09-07 10:05:51:359,867948,867948,0,0,45063965,0,4766 75,3,2024-09-07 10:05:51:133,1,685,1,0,918,9483,685,0 76,0,2024-09-07 10:05:50:585,168857,0.6,168244,0.8,336343,0.6,450276,2.25 76,1,2024-09-07 10:05:50:807,1203509,1203509,0,0,564281894957,5888989787,1194617,7583,1309,382,391790,0 76,2,2024-09-07 10:05:51:061,870029,870026,3,0,38030181,0,5265 76,3,2024-09-07 10:05:51:157,1,685,1,0,249,6295,685,0 77,0,2024-09-07 10:05:51:734,173248,0.6,173585,0.7,347487,0.6,461731,2.00 77,1,2024-09-07 10:05:50:830,1202709,1202709,0,0,564266822130,5894683887,1192438,9183,1088,381,391869,0 77,2,2024-09-07 10:05:51:287,862565,862565,0,0,36642119,0,3890 77,3,2024-09-07 10:05:51:129,1,685,13,0,401,7824,685,0 78,0,2024-09-07 10:05:51:716,175077,0.4,174275,0.6,349995,0.4,463213,2.00 78,1,2024-09-07 10:05:50:616,1202653,1202653,0,0,564000584311,5888855171,1187121,12200,3332,367,391670,0 78,2,2024-09-07 10:05:51:411,865764,865751,13,0,34341708,0,8313 78,3,2024-09-07 10:05:51:140,1,685,0,0,311,5954,685,0 79,0,2024-09-07 10:05:51:360,165661,0.4,169740,0.6,347695,0.3,452842,2.00 79,1,2024-09-07 10:05:50:572,1206964,1206964,0,0,566569701302,5870269549,1197151,8011,1802,367,391682,0 79,2,2024-09-07 10:05:51:133,870761,870761,0,0,33414431,0,4195 79,3,2024-09-07 10:05:50:749,1,685,283,0,418,7973,685,0 80,0,2024-09-07 10:05:51:154,167578,0.5,172022,0.7,328671,0.5,445200,2.00 80,1,2024-09-07 10:05:51:618,1203393,1203393,0,0,564836192353,5882591336,1195414,7398,581,368,392269,0 80,2,2024-09-07 10:05:51:130,870738,870738,0,0,33865847,0,4433 80,3,2024-09-07 10:05:50:575,1,685,2,0,681,8320,685,0 81,0,2024-09-07 10:05:51:544,172844,0.6,176900,0.7,337338,0.6,458412,2.00 81,1,2024-09-07 10:05:51:663,1202914,1202914,0,0,564170827926,5897053394,1193310,8630,974,382,392001,0 81,2,2024-09-07 10:05:51:134,864136,864073,63,0,37551807,0,5932 81,3,2024-09-07 10:05:51:127,1,685,1,0,719,7617,685,0 82,0,2024-09-07 10:05:51:537,173833,0.5,173970,0.7,348388,0.5,462687,2.00 82,1,2024-09-07 10:05:50:584,1204686,1204682,0,4,565606658005,5885316016,1197749,5809,1124,381,391768,4 82,2,2024-09-07 10:05:51:702,866712,866712,0,0,31290950,0,4484 82,3,2024-09-07 10:05:51:757,1,685,1,0,363,6219,685,0 83,0,2024-09-07 10:05:51:535,172619,0.5,172499,0.7,344729,0.5,457704,2.25 83,1,2024-09-07 10:05:50:551,1203485,1203485,0,0,564864796950,5889944008,1194498,7961,1026,382,391709,0 83,2,2024-09-07 10:05:50:766,869164,869139,25,0,34159324,0,5612 83,3,2024-09-07 10:05:50:757,1,685,2,0,1260,8031,685,0 84,0,2024-09-07 10:05:51:798,165399,0.7,165541,0.9,330987,0.7,442072,2.25 84,1,2024-09-07 10:05:51:045,1201816,1201816,0,0,564038208395,5900074627,1188132,11601,2083,367,391967,0 84,2,2024-09-07 10:05:50:571,865419,865009,410,0,49186677,0,17037 84,3,2024-09-07 10:05:51:157,1,685,28,0,908,9598,685,0 85,0,2024-09-07 10:05:51:002,165323,0.6,165326,0.8,351039,0.6,453487,2.25 85,1,2024-09-07 10:05:50:563,1197858,1197858,0,0,562223249276,5932770680,1180076,14852,2930,381,392092,0 85,2,2024-09-07 10:05:50:864,865416,865416,0,0,41633118,0,4255 85,3,2024-09-07 10:05:50:686,1,685,1,0,789,7735,685,0 86,0,2024-09-07 10:05:50:905,172270,0.7,177205,0.8,339285,0.8,458795,2.25 86,1,2024-09-07 10:05:50:836,1200454,1200454,0,0,563929783241,5922246808,1182872,14267,3315,366,392169,0 86,2,2024-09-07 10:05:50:854,862288,862287,1,0,43697611,0,5004 86,3,2024-09-07 10:05:50:589,1,685,6,0,441,9534,685,0 87,0,2024-09-07 10:05:51:330,174673,0.8,173411,0.8,347422,0.9,463877,2.25 87,1,2024-09-07 10:05:50:554,1200962,1200962,0,0,563409863441,5901655068,1186056,12744,2162,366,392076,0 87,2,2024-09-07 10:05:51:140,864906,864900,6,0,40924209,0,6323 87,3,2024-09-07 10:05:51:795,1,685,11,0,473,9471,685,0 88,0,2024-09-07 10:05:51:471,168104,0.4,168557,0.6,337107,0.4,448263,1.75 88,1,2024-09-07 10:05:50:569,1199663,1199663,0,0,563482566812,5905065191,1182863,13662,3138,365,392084,0 88,2,2024-09-07 10:05:50:688,867887,867887,0,0,43194003,0,4465 88,3,2024-09-07 10:05:51:272,1,685,1,0,1080,11046,685,0 89,0,2024-09-07 10:05:51:783,175164,0.4,170113,0.6,335247,0.4,456077,1.75 89,1,2024-09-07 10:05:50:552,1199462,1199462,0,0,563601094214,5926655750,1184793,12392,2277,382,391866,0 89,2,2024-09-07 10:05:51:139,866978,866978,0,0,40644608,0,3173 89,3,2024-09-07 10:05:51:792,1,685,1,0,729,12269,685,0 90,0,2024-09-07 10:05:51:611,168057,0.5,172526,0.7,352425,0.5,459734,2.00 90,1,2024-09-07 10:05:50:591,1200752,1200752,0,0,564234695094,5912779663,1188309,11175,1268,380,391825,0 90,2,2024-09-07 10:05:51:421,859141,859136,5,0,43779654,0,6370 90,3,2024-09-07 10:05:50:942,1,685,11,0,364,9028,685,0 91,0,2024-09-07 10:05:50:935,175352,0.5,170097,0.6,355774,0.4,466697,1.75 91,1,2024-09-07 10:05:50:560,1197968,1197968,0,0,563394461531,5942896962,1179261,14559,4148,381,392047,0 91,2,2024-09-07 10:05:51:334,863972,863972,0,0,39098529,0,4713 91,3,2024-09-07 10:05:50:598,1,685,3,0,231,6445,685,0 92,0,2024-09-07 10:05:51:461,172705,0.4,176681,0.6,336793,0.4,456973,1.75 92,1,2024-09-07 10:05:50:582,1202383,1202383,0,0,564833726673,5901917351,1192902,8170,1311,381,392136,0 92,2,2024-09-07 10:05:51:358,869388,869388,0,0,35828093,0,3906 92,3,2024-09-07 10:05:51:010,1,685,4,0,322,6201,685,0 93,0,2024-09-07 10:05:50:972,169414,0.4,173552,0.6,331260,0.4,449220,1.75 93,1,2024-09-07 10:05:50:818,1202982,1202982,0,0,564421421229,5899832129,1188593,11956,2433,365,392048,0 93,2,2024-09-07 10:05:50:930,867628,867628,0,0,41222000,0,4913 93,3,2024-09-07 10:05:51:432,1,685,4,0,788,8575,685,0 94,0,2024-09-07 10:05:51:599,172905,0.4,174012,0.6,348518,0.4,462574,1.75 94,1,2024-09-07 10:05:50:567,1203906,1203906,0,0,565413793636,5898293551,1195632,7624,650,381,391850,0 94,2,2024-09-07 10:05:50:769,863625,863597,28,0,35845419,0,6179 94,3,2024-09-07 10:05:51:688,1,685,3,0,576,8322,685,0 95,0,2024-09-07 10:05:51:373,173908,0.4,173726,0.6,347889,0.3,463763,1.75 95,1,2024-09-07 10:05:50:871,1204612,1204612,0,0,565133154614,5874424864,1195249,8552,811,365,391852,0 95,2,2024-09-07 10:05:51:019,863014,863014,0,0,35971186,0,3308 95,3,2024-09-07 10:05:51:716,1,685,0,0,718,10471,685,0 96,0,2024-09-07 10:05:51:041,172194,0.3,172512,0.5,345129,0.3,458607,1.75 96,1,2024-09-07 10:05:51:591,1202348,1202348,0,0,564346430569,5889833312,1193436,7534,1378,384,391964,0 96,2,2024-09-07 10:05:51:333,870919,870919,0,0,36299160,0,4225 96,3,2024-09-07 10:05:51:141,1,685,8,0,411,7699,685,0 97,0,2024-09-07 10:05:51:322,166546,0.3,166319,0.5,333375,0.3,443558,1.50 97,1,2024-09-07 10:05:50:769,1205231,1205231,0,0,566714195797,5881215879,1197347,6645,1239,367,392140,0 97,2,2024-09-07 10:05:50:607,868991,868991,0,0,36398223,0,4600 97,3,2024-09-07 10:05:50:569,1,685,5,0,433,8381,685,0 98,0,2024-09-07 10:05:51:764,172122,0.3,172091,0.5,345742,0.3,459794,1.50 98,1,2024-09-07 10:05:50:572,1204142,1204142,0,0,564530256825,5881226548,1196703,6540,899,381,391997,0 98,2,2024-09-07 10:05:50:768,865071,865071,0,0,34785948,0,4336 98,3,2024-09-07 10:05:50:705,1,685,2,0,840,9628,685,0 99,0,2024-09-07 10:05:51:452,173486,0.3,174405,0.5,347437,0.3,463112,1.75 99,1,2024-09-07 10:05:51:766,1205226,1205226,0,0,564939737450,5881223013,1197450,6669,1107,380,392069,0 99,2,2024-09-07 10:05:51:421,862859,862859,0,0,43792816,0,4276 99,3,2024-09-07 10:05:50:586,1,685,125,0,1124,8656,685,0 100,0,2024-09-07 10:05:51:480,172756,0.8,173264,0.9,346355,0.8,461617,2.50 100,1,2024-09-07 10:05:50:551,1197884,1197884,0,0,562227568899,5947410201,1178844,15245,3795,378,391989,0 100,2,2024-09-07 10:05:51:912,863496,863107,389,0,45248444,0,16909 100,3,2024-09-07 10:05:51:737,1,685,2,0,627,10747,685,0 101,0,2024-09-07 10:05:51:708,170675,0.9,166585,1.0,325862,0.9,444710,2.25 101,1,2024-09-07 10:05:50:558,1200053,1200053,0,0,563149780019,5918692898,1183687,13428,2938,368,392018,0 101,2,2024-09-07 10:05:51:758,862582,862543,39,0,47178569,0,5913 101,3,2024-09-07 10:05:50:950,1,685,1,0,1250,10565,685,0 102,0,2024-09-07 10:05:50:961,166713,0.6,171723,0.8,348933,0.6,456462,2.25 102,1,2024-09-07 10:05:51:157,1200120,1200120,0,0,563443052537,5917041271,1184394,13470,2256,369,391984,0 102,2,2024-09-07 10:05:51:740,867523,867469,54,0,39048660,0,6768 102,3,2024-09-07 10:05:51:613,1,685,5,0,466,7974,685,0 103,0,2024-09-07 10:05:51:589,178872,0.7,178843,0.8,336963,0.8,463401,2.25 103,1,2024-09-07 10:05:51:677,1198644,1198644,0,0,562683060213,5940903000,1179735,15283,3626,381,392077,0 103,2,2024-09-07 10:05:50:582,861130,861130,0,0,42373816,0,3766 103,3,2024-09-07 10:05:50:758,1,685,3,0,916,8081,685,0 104,0,2024-09-07 10:05:51:021,173408,0.7,173841,0.9,346808,0.7,463344,2.25 104,1,2024-09-07 10:05:51:600,1200794,1200794,0,0,563113172352,5920493314,1182368,14969,3457,365,392168,0 104,2,2024-09-07 10:05:51:670,862944,862944,0,0,41576910,0,4161 104,3,2024-09-07 10:05:51:420,1,685,2,0,1245,11724,685,0 105,0,2024-09-07 10:05:51:045,167895,0.8,163578,1.0,342928,0.9,450061,2.50 105,1,2024-09-07 10:05:50:553,1202965,1202965,0,0,563645194170,5904225995,1188199,12652,2114,364,392009,0 105,2,2024-09-07 10:05:51:327,867869,867869,0,0,42908277,0,4360 105,3,2024-09-07 10:05:51:306,1,685,1,0,573,10226,685,0 106,0,2024-09-07 10:05:50:973,163548,1.0,167683,1.0,342931,1.2,448644,2.50 106,1,2024-09-07 10:05:51:755,1200981,1200981,0,0,563744548627,5915079175,1184556,14275,2150,368,391914,0 106,2,2024-09-07 10:05:50:758,867195,867195,0,0,39583965,0,3331 106,3,2024-09-07 10:05:50:686,1,685,1,0,1224,9562,685,0 107,0,2024-09-07 10:05:51:141,173334,1.3,173316,1.1,346813,1.9,462702,2.25 107,1,2024-09-07 10:05:50:599,1198475,1198475,0,0,561959811823,5940106027,1179384,16835,2256,381,392234,0 107,2,2024-09-07 10:05:51:310,859176,859175,1,0,43077079,0,5024 107,3,2024-09-07 10:05:51:756,1,685,7,0,733,10868,685,0 108,0,2024-09-07 10:05:51:839,174153,0.4,174964,0.6,348910,0.4,465016,1.75 108,1,2024-09-07 10:05:51:334,1202026,1202026,0,0,564571831254,5897065450,1190563,10203,1260,367,391894,0 108,2,2024-09-07 10:05:51:770,865088,865088,0,0,38647380,0,4432 108,3,2024-09-07 10:05:51:360,1,685,7,0,767,12438,685,0 109,0,2024-09-07 10:05:51:781,172215,0.4,170855,0.6,342867,0.3,457408,1.75 109,1,2024-09-07 10:05:50:613,1200396,1200396,0,0,563853171802,5917318620,1189746,9145,1505,382,392132,0 109,2,2024-09-07 10:05:50:935,868112,868112,0,0,38669248,0,3617 109,3,2024-09-07 10:05:51:159,1,685,12,0,630,7923,685,0 110,0,2024-09-07 10:05:51:757,167246,0.4,162624,0.6,340620,0.3,447102,1.75 110,1,2024-09-07 10:05:51:649,1204597,1204597,0,0,565936555660,5878359995,1195134,7378,2085,368,392045,0 110,2,2024-09-07 10:05:51:310,868101,868101,0,0,37809433,0,4067 110,3,2024-09-07 10:05:50:689,1,685,4,0,722,9331,685,0 111,0,2024-09-07 10:05:51:427,173552,0.4,172735,0.6,345087,0.4,461192,1.75 111,1,2024-09-07 10:05:51:001,1205945,1205945,0,0,566358491538,5882168617,1198696,6743,506,380,391690,0 111,2,2024-09-07 10:05:51:122,864212,864212,0,0,37185149,0,4823 111,3,2024-09-07 10:05:50:915,1,685,3,0,379,7597,685,0 112,0,2024-09-07 10:05:50:925,174548,0.3,174175,0.4,349079,0.2,463967,1.50 112,1,2024-09-07 10:05:50:827,1204619,1204619,0,0,565382030457,5869086017,1197032,6361,1226,380,391624,0 112,2,2024-09-07 10:05:51:136,864469,864468,1,0,34940380,0,5036 112,3,2024-09-07 10:05:50:598,1,685,1,0,282,6823,685,0 113,0,2024-09-07 10:05:50:871,172545,0.3,172899,0.5,346095,0.2,460093,1.75 113,1,2024-09-07 10:05:51:689,1208459,1208459,0,0,567036912380,5850513123,1201549,5959,951,365,391664,0 113,2,2024-09-07 10:05:51:305,871751,871751,0,0,32370669,0,3813 113,3,2024-09-07 10:05:50:704,1,685,3,0,510,6989,685,0 114,0,2024-09-07 10:05:50:879,166996,0.3,168121,0.5,335321,0.2,447616,1.75 114,1,2024-09-07 10:05:50:721,1204350,1204350,0,0,565652239729,5873885676,1195523,7023,1804,381,391565,0 114,2,2024-09-07 10:05:50:877,869620,869619,1,0,34879354,0,5069 114,3,2024-09-07 10:05:51:289,1,685,4,0,415,5678,685,0 115,0,2024-09-07 10:05:50:555,172850,0.3,173471,0.4,345527,0.2,460012,1.50 115,1,2024-09-07 10:05:50:572,1205435,1205435,0,0,566866540116,5889066662,1195881,7763,1791,382,391757,0 115,2,2024-09-07 10:05:51:130,867726,867726,0,0,34787777,0,4382 115,3,2024-09-07 10:05:51:013,1,685,1,0,173,4404,685,0 116,0,2024-09-07 10:05:51:728,172300,0.8,172351,0.9,345317,0.8,461423,2.25 116,1,2024-09-07 10:05:50:811,1198752,1198752,0,0,563460720458,5936064094,1184692,10846,3214,380,392089,0 116,2,2024-09-07 10:05:51:760,863229,863229,0,0,41810160,0,4475 116,3,2024-09-07 10:05:50:912,1,685,0,0,448,8833,685,0 117,0,2024-09-07 10:05:50:951,174398,0.6,174119,0.8,348537,0.7,465202,2.00 117,1,2024-09-07 10:05:51:587,1201329,1201329,0,0,563728388051,5905522431,1187516,12050,1763,369,392429,0 117,2,2024-09-07 10:05:51:127,867559,867559,0,0,38483529,0,4303 117,3,2024-09-07 10:05:51:060,1,685,1,0,490,8420,685,0 118,0,2024-09-07 10:05:51:774,163084,0.5,167514,0.7,341714,0.5,446576,2.00 118,1,2024-09-07 10:05:50:598,1199586,1199586,0,0,562854266039,5916010446,1182090,13651,3845,366,392054,0 118,2,2024-09-07 10:05:51:586,867885,867885,0,0,41003779,0,3161 118,3,2024-09-07 10:05:51:763,1,685,1,0,343,8188,685,0 119,0,2024-09-07 10:05:51:346,170202,0.7,170481,0.8,340475,0.7,454560,2.25 119,1,2024-09-07 10:05:50:549,1200703,1200703,0,0,563798715273,5912627452,1184688,13348,2667,367,391857,0 119,2,2024-09-07 10:05:51:266,867797,867797,0,0,37994705,0,4309 119,3,2024-09-07 10:05:51:332,1,685,1,0,1358,12161,685,0 120,0,2024-09-07 10:05:51:566,172331,0.7,172284,0.9,345743,0.8,461007,2.50 120,1,2024-09-07 10:05:50:860,1201643,1201643,0,0,563299617593,5907339453,1188978,11508,1157,367,392144,0 120,2,2024-09-07 10:05:50:774,861510,861507,3,0,45673544,0,5363 120,3,2024-09-07 10:05:51:294,1,685,1,0,478,8836,685,0 121,0,2024-09-07 10:05:51:700,174744,1.1,174279,1.0,348615,1.5,464496,2.25 121,1,2024-09-07 10:05:51:662,1201178,1201178,0,0,563684849806,5902119900,1187660,11522,1996,366,391840,0 121,2,2024-09-07 10:05:51:130,864630,864630,0,0,41485518,0,4157 121,3,2024-09-07 10:05:50:743,1,685,1,0,387,9208,685,0 122,0,2024-09-07 10:05:51:766,170530,0.7,165966,0.8,347137,0.8,456414,2.00 122,1,2024-09-07 10:05:50:859,1200523,1200523,0,0,563755956242,5914357109,1184253,13693,2577,365,392130,0 122,2,2024-09-07 10:05:51:326,869382,869307,75,0,45736045,0,5989 122,3,2024-09-07 10:05:50:600,1,685,2,0,512,10493,685,0 123,0,2024-09-07 10:05:50:983,167322,0.8,163097,0.9,341866,0.9,447188,2.25 123,1,2024-09-07 10:05:50:569,1201408,1201408,0,0,563434989829,5925542081,1182324,16059,3025,369,392039,0 123,2,2024-09-07 10:05:51:020,866678,866677,1,0,39227667,0,5215 123,3,2024-09-07 10:05:51:132,1,685,1,0,478,7751,685,0 124,0,2024-09-07 10:05:50:960,178543,0.4,178512,0.5,336331,0.3,463468,1.75 124,1,2024-09-07 10:05:51:039,1204504,1204504,0,0,565006101871,5877341131,1194970,8273,1261,365,392178,0 124,2,2024-09-07 10:05:51:010,865257,865204,53,0,36447652,0,6487 124,3,2024-09-07 10:05:50:766,1,685,15,0,490,7195,685,0 125,0,2024-09-07 10:05:51:445,173857,0.4,173746,0.6,348170,0.3,464263,1.75 125,1,2024-09-07 10:05:50:858,1201663,1201663,0,0,564047239477,5896407752,1190627,9454,1582,382,392045,0 125,2,2024-09-07 10:05:51:120,866819,866819,0,0,36008400,0,4534 125,3,2024-09-07 10:05:51:133,1,685,0,0,709,7672,685,0 126,0,2024-09-07 10:05:51:419,172594,0.4,177398,0.5,339010,0.3,459437,1.75 126,1,2024-09-07 10:05:50:551,1205269,1205269,0,0,565889478051,5865478699,1197995,6572,702,365,391987,0 126,2,2024-09-07 10:05:50:610,870817,870817,0,0,37766150,0,4539 126,3,2024-09-07 10:05:50:911,1,685,1,0,268,7833,685,0 127,0,2024-09-07 10:05:51:603,166788,0.3,167176,0.5,333296,0.3,443835,1.75 127,1,2024-09-07 10:05:50:581,1204082,1204082,0,0,565291878429,5884316108,1191629,10677,1776,364,392187,0 127,2,2024-09-07 10:05:50:641,868566,868562,4,0,35686843,0,5305 127,3,2024-09-07 10:05:51:273,1,685,2,0,968,6793,685,0 128,0,2024-09-07 10:05:51:562,173201,0.3,173208,0.4,346337,0.2,460648,1.50 128,1,2024-09-07 10:05:51:619,1203078,1203078,0,0,565164749781,5876587752,1194208,7899,971,367,392423,0 128,2,2024-09-07 10:05:51:388,867546,867546,0,0,33191910,0,3171 128,3,2024-09-07 10:05:50:779,1,685,1,0,1082,10333,685,0 129,0,2024-09-07 10:05:51:052,174744,0.3,174106,0.5,349038,0.3,463870,1.50 129,1,2024-09-07 10:05:50:568,1200317,1200317,0,0,563438026138,5911712675,1187383,10605,2329,379,391962,0 129,2,2024-09-07 10:05:50:687,865684,865680,4,0,35840911,0,5335 129,3,2024-09-07 10:05:50:688,1,685,4,0,506,9892,685,0 130,0,2024-09-07 10:05:51:719,173964,0.4,173775,0.5,347926,0.4,463107,1.75 130,1,2024-09-07 10:05:50:583,1205155,1205155,0,0,565603373766,5873894726,1199314,5434,407,381,391825,0 130,2,2024-09-07 10:05:51:131,867913,867913,0,0,35624869,0,4067 130,3,2024-09-07 10:05:51:296,1,685,4,0,960,8892,685,0 131,0,2024-09-07 10:05:52:099,167064,0.3,167548,0.5,335956,0.3,446441,1.50 131,1,2024-09-07 10:05:51:827,1204024,1204024,0,0,565149772006,5889836440,1195882,6933,1209,381,391865,0 131,2,2024-09-07 10:05:50:567,868854,868854,0,0,33956856,0,3979 131,3,2024-09-07 10:05:51:688,1,685,0,0,392,8650,685,0 132,0,2024-09-07 10:05:51:430,171946,0.5,172906,0.6,344074,0.4,458463,2.00 132,1,2024-09-07 10:05:50:577,1199857,1199857,0,0,563445785860,5928494745,1183358,13717,2782,381,392532,0 132,2,2024-09-07 10:05:50:699,866776,866759,17,0,42461865,0,6451 132,3,2024-09-07 10:05:51:694,1,685,4,0,1298,11795,685,0 133,0,2024-09-07 10:05:51:522,169073,0.5,173157,0.6,355006,0.4,461721,2.00 133,1,2024-09-07 10:05:50:582,1199659,1199659,0,0,563195330096,5930746911,1184226,13499,1934,383,391914,0 133,2,2024-09-07 10:05:51:143,863696,863646,50,0,43416862,0,6861 133,3,2024-09-07 10:05:51:309,1,685,5,0,528,8013,685,0 134,0,2024-09-07 10:05:50:948,175423,0.5,175307,0.7,350452,0.5,466633,2.00 134,1,2024-09-07 10:05:50:583,1201031,1201031,0,0,563488401808,5912816029,1184950,12789,3292,366,391781,0 134,2,2024-09-07 10:05:51:760,864586,864447,139,0,40995617,0,7591 134,3,2024-09-07 10:05:50:752,1,685,0,0,739,8454,685,0 135,0,2024-09-07 10:05:51:157,164453,0.7,164396,0.8,348997,0.7,449438,2.25 135,1,2024-09-07 10:05:51:588,1200683,1200683,0,0,563573471209,5923785729,1186284,12547,1852,380,392038,0 135,2,2024-09-07 10:05:50:687,870129,870129,0,0,41657308,0,4503 135,3,2024-09-07 10:05:51:002,1,685,1,0,900,6641,685,0 136,0,2024-09-07 10:05:51:612,169587,0.6,170125,0.8,338231,0.6,451754,2.25 136,1,2024-09-07 10:05:51:444,1201906,1201906,0,0,563891887129,5908284942,1189076,11404,1426,381,392135,0 136,2,2024-09-07 10:05:51:140,869525,869510,15,0,40772719,0,6007 136,3,2024-09-07 10:05:51:121,1,685,87,0,637,8111,685,0 137,0,2024-09-07 10:05:50:923,179095,0.7,174608,0.8,341885,0.7,464337,2.00 137,1,2024-09-07 10:05:50:583,1200733,1200733,0,0,564030516313,5914262336,1182306,14788,3639,366,391898,0 137,2,2024-09-07 10:05:51:706,862062,862062,0,0,41731307,0,3185 137,3,2024-09-07 10:05:50:770,1,685,1,0,484,9756,685,0 138,0,2024-09-07 10:05:51:746,173500,0.9,173824,0.9,348195,1.2,463213,2.25 138,1,2024-09-07 10:05:51:689,1200451,1200451,0,0,564026060097,5919729618,1183318,14442,2691,368,391954,0 138,2,2024-09-07 10:05:50:586,863272,863272,0,0,40222999,0,4988 138,3,2024-09-07 10:05:50:610,1,685,1,0,1200,10339,685,0 139,0,2024-09-07 10:05:51:365,170153,0.9,170732,0.9,341430,1.2,455759,2.25 139,1,2024-09-07 10:05:50:580,1196779,1196779,0,0,560440573513,5938492145,1176883,15983,3913,380,392109,0 139,2,2024-09-07 10:05:50:693,864103,864073,30,0,45832370,0,5997 139,3,2024-09-07 10:05:51:662,1,685,0,0,432,8126,685,0 140,0,2024-09-07 10:05:51:589,167859,0.3,167184,0.5,335390,0.2,447395,1.75 140,1,2024-09-07 10:05:51:555,1208146,1208146,0,0,566643162802,5849762642,1201345,5998,803,364,391628,0 140,2,2024-09-07 10:05:50:686,868398,868397,1,0,34491204,0,5036 140,3,2024-09-07 10:05:50:770,1,685,1,0,575,6967,685,0 141,0,2024-09-07 10:05:51:710,173405,0.3,178093,0.5,340296,0.3,460906,1.75 141,1,2024-09-07 10:05:50:859,1205408,1205408,0,0,566064491944,5886017488,1195065,8950,1393,379,391614,0 141,2,2024-09-07 10:05:51:686,864844,864833,11,0,37007059,0,5369 141,3,2024-09-07 10:05:51:056,1,685,1,0,391,8106,685,0 142,0,2024-09-07 10:05:51:326,174823,0.3,173993,0.5,348355,0.3,464764,1.75 142,1,2024-09-07 10:05:50:600,1203068,1203068,0,0,565142296434,5899036980,1194018,8156,894,382,392102,0 142,2,2024-09-07 10:05:51:312,863126,863094,32,0,36585899,0,6028 142,3,2024-09-07 10:05:51:748,1,685,11,0,484,7037,685,0 143,0,2024-09-07 10:05:51:380,172636,0.4,172525,0.5,346095,0.4,460082,1.75 143,1,2024-09-07 10:05:50:557,1206101,1206101,0,0,565820263827,5874786380,1197706,7424,971,367,391900,0 143,2,2024-09-07 10:05:50:768,870398,870398,0,0,36221491,0,3123 143,3,2024-09-07 10:05:51:154,1,685,1,0,462,8092,685,0 144,0,2024-09-07 10:05:51:495,161859,0.6,166574,0.7,338986,0.5,443913,2.00 144,1,2024-09-07 10:05:50:568,1200103,1200103,0,0,563326428836,5911303257,1188358,9701,2044,381,391733,0 144,2,2024-09-07 10:05:51:772,869160,869160,0,0,35733917,0,4443 144,3,2024-09-07 10:05:51:743,1,685,0,0,306,7367,685,0 145,0,2024-09-07 10:05:51:374,166600,0.6,166668,0.8,353675,0.5,455477,2.25 145,1,2024-09-07 10:05:50:556,1199138,1199138,0,0,563561175191,5931595688,1182940,13230,2968,382,391781,0 145,2,2024-09-07 10:05:51:440,865075,864993,82,0,41567128,0,7814 145,3,2024-09-07 10:05:50:895,1,685,3,0,622,9171,685,0 146,0,2024-09-07 10:05:51:594,172834,0.7,172052,0.8,345805,0.7,459463,2.25 146,1,2024-09-07 10:05:51:585,1200978,1200978,0,0,562929706234,5921812779,1181845,14209,4924,367,391829,0 146,2,2024-09-07 10:05:51:701,861572,861566,6,0,39674562,0,5151 146,3,2024-09-07 10:05:51:284,1,685,1,0,1520,10875,685,0 147,0,2024-09-07 10:05:51:694,174446,0.6,174175,0.7,348275,0.5,464267,2.00 147,1,2024-09-07 10:05:51:379,1204695,1204695,0,0,565761531734,5883158227,1194741,8856,1098,367,391791,0 147,2,2024-09-07 10:05:51:011,865525,865525,0,0,37577593,0,4531 147,3,2024-09-07 10:05:50:915,1,685,1,0,1626,10362,685,0 0,0,2024-09-07 10:06:01:721,168375,0.7,168373,0.8,357420,0.8,461746,2.00 0,1,2024-09-07 10:06:00:807,1203680,1203680,0,0,564835018332,5913154271,1194008,8710,962,368,391896,0 0,2,2024-09-07 10:06:01:066,864846,864846,0,0,35359670,0,4480 0,3,2024-09-07 10:06:00:974,1,686,6,0,538,9873,686,0 1,0,2024-09-07 10:06:01:773,174791,0.8,173806,0.9,348762,1.0,466465,2.00 1,1,2024-09-07 10:06:00:571,1202732,1202732,0,0,564525611277,5915751898,1191342,9420,1970,370,391859,0 1,2,2024-09-07 10:06:00:662,867298,867298,0,0,34652880,0,3380 1,3,2024-09-07 10:06:01:314,1,686,1,0,269,8197,686,0 2,0,2024-09-07 10:06:01:571,171261,0.6,170985,0.7,341754,0.6,455743,2.00 2,1,2024-09-07 10:06:00:864,1206875,1206875,0,0,566247820074,5889150995,1199945,5969,961,379,391805,0 2,2,2024-09-07 10:06:01:277,871518,871518,0,0,33777697,0,3594 2,3,2024-09-07 10:06:00:700,1,686,0,0,357,6110,686,0 3,0,2024-09-07 10:06:01:742,168658,0.4,168523,0.6,336744,0.4,449001,2.00 3,1,2024-09-07 10:06:01:621,1204439,1204439,0,0,565306180971,5880926947,1195912,7809,718,379,391716,0 3,2,2024-09-07 10:06:01:143,870828,870805,23,0,34500536,0,5851 3,3,2024-09-07 10:06:01:754,1,686,3,0,484,5203,686,0 4,0,2024-09-07 10:06:01:796,168747,0.4,173313,0.5,353651,0.4,462148,1.75 4,1,2024-09-07 10:06:00:601,1199112,1199112,0,0,563051113105,5955839694,1179228,15283,4601,369,391992,0 4,2,2024-09-07 10:06:01:023,861899,861899,0,0,41696766,0,4534 4,3,2024-09-07 10:06:01:040,1,686,13,0,448,9336,686,0 5,0,2024-09-07 10:06:01:378,174281,0.4,174065,0.6,348468,0.4,464405,1.75 5,1,2024-09-07 10:06:00:755,1200963,1200963,0,0,563377820777,5943394211,1183309,13418,4236,367,392005,0 5,2,2024-09-07 10:06:01:834,862269,862236,33,0,42008533,0,7631 5,3,2024-09-07 10:06:01:732,1,686,13,0,457,9051,686,0 6,0,2024-09-07 10:06:00:921,172295,0.5,171827,0.6,343913,0.4,458115,2.00 6,1,2024-09-07 10:06:00:771,1203708,1203708,0,0,565369616884,5902694190,1191544,10479,1685,379,391702,0 6,2,2024-09-07 10:06:01:116,871038,871020,18,0,39105109,0,5535 6,3,2024-09-07 10:06:01:278,1,686,0,0,710,7938,686,0 7,0,2024-09-07 10:06:01:533,166304,0.5,167378,0.7,332354,0.5,443741,2.00 7,1,2024-09-07 10:06:00:850,1201502,1201502,0,0,564016966338,5934474604,1183668,13697,4137,382,391747,0 7,2,2024-09-07 10:06:00:771,868119,867950,169,0,39887147,0,7706 7,3,2024-09-07 10:06:00:852,1,686,7,0,552,8002,686,0 8,0,2024-09-07 10:06:01:350,173078,0.4,172758,0.5,345906,0.4,461377,1.75 8,1,2024-09-07 10:06:01:030,1200374,1200374,0,0,564414172470,5935583068,1181517,14811,4046,366,392853,0 8,2,2024-09-07 10:06:00:793,860901,860899,2,0,44872296,0,5112 8,3,2024-09-07 10:06:00:599,1,686,3,0,772,10324,686,0 9,0,2024-09-07 10:06:01:129,173782,0.4,168794,0.5,353375,0.4,464000,1.75 9,1,2024-09-07 10:06:00:554,1199924,1199924,0,0,563996430999,5948108899,1180257,15317,4350,369,392001,0 9,2,2024-09-07 10:06:01:088,863401,863400,1,0,42808175,0,5281 9,3,2024-09-07 10:06:01:756,1,686,2,0,1273,11028,686,0 10,0,2024-09-07 10:06:01:608,173344,0.4,172854,0.5,346493,0.3,461078,1.75 10,1,2024-09-07 10:06:00:583,1201761,1201761,0,0,564132450011,5921413132,1183702,14325,3734,381,391981,0 10,2,2024-09-07 10:06:00:762,868749,868749,0,0,45971703,0,4713 10,3,2024-09-07 10:06:00:872,1,686,0,0,669,7339,686,0 11,0,2024-09-07 10:06:01:018,167118,0.4,162188,0.6,339470,0.4,447119,1.75 11,1,2024-09-07 10:06:00:576,1204355,1204355,0,0,564796535400,5922482285,1187470,12575,4310,383,391766,0 11,2,2024-09-07 10:06:01:122,868156,868156,0,0,39715710,0,4698 11,3,2024-09-07 10:06:01:299,1,686,6,0,843,8378,686,0 12,0,2024-09-07 10:06:00:951,173292,0.4,172907,0.6,345433,0.4,460028,1.75 12,1,2024-09-07 10:06:00:949,1203352,1203352,0,0,564920890682,5895793457,1193502,8823,1027,368,391960,0 12,2,2024-09-07 10:06:01:552,865950,865950,0,0,39701323,0,4390 12,3,2024-09-07 10:06:01:059,1,686,1,0,386,8517,686,0 13,0,2024-09-07 10:06:01:401,174379,0.4,174596,0.6,348572,0.4,463821,1.75 13,1,2024-09-07 10:06:01:528,1200669,1200669,0,0,563016924418,5928456938,1186517,11073,3079,382,391803,0 13,2,2024-09-07 10:06:00:610,865928,865928,0,0,35847540,0,3287 13,3,2024-09-07 10:06:01:762,1,686,0,0,522,9236,686,0 14,0,2024-09-07 10:06:00:584,174775,0.4,175842,0.6,349745,0.4,465170,1.75 14,1,2024-09-07 10:06:01:564,1209199,1209199,0,0,566934732230,5866587654,1199945,8104,1150,364,391673,0 14,2,2024-09-07 10:06:00:764,867118,867088,30,0,37054484,0,6104 14,3,2024-09-07 10:06:01:119,1,686,1,0,1168,7126,686,0 15,0,2024-09-07 10:06:01:559,169774,0.4,168856,0.6,338172,0.3,450716,1.75 15,1,2024-09-07 10:06:01:619,1205396,1205396,0,0,566023688471,5901016735,1194532,8768,2096,380,391619,0 15,2,2024-09-07 10:06:01:008,872048,872048,0,0,33151990,0,3622 15,3,2024-09-07 10:06:01:409,1,686,2,0,1126,8629,686,0 16,0,2024-09-07 10:06:01:058,169487,0.6,170375,0.8,339775,0.7,452862,2.00 16,1,2024-09-07 10:06:00:565,1205078,1205078,0,0,565843184498,5916098229,1194341,9193,1544,370,392194,0 16,2,2024-09-07 10:06:01:444,868544,868544,0,0,36162080,0,4719 16,3,2024-09-07 10:06:01:152,1,686,9,0,362,8527,686,0 17,0,2024-09-07 10:06:01:795,179198,0.7,174697,0.8,342144,0.7,464855,2.00 17,1,2024-09-07 10:06:00:582,1203372,1203372,0,0,564253773942,5916016925,1192252,9319,1801,368,392075,0 17,2,2024-09-07 10:06:01:672,867079,867078,1,0,37218032,0,5050 17,3,2024-09-07 10:06:00:576,1,686,3,0,518,9838,686,0 18,0,2024-09-07 10:06:00:939,172985,0.6,174047,0.8,346426,0.6,462879,2.25 18,1,2024-09-07 10:06:01:645,1208114,1208114,0,0,566400681214,5876600553,1199948,6736,1430,367,391725,0 18,2,2024-09-07 10:06:01:754,868060,868060,0,0,33791391,0,3541 18,3,2024-09-07 10:06:00:898,1,686,1,0,1059,6285,686,0 19,0,2024-09-07 10:06:01:547,171096,0.6,171547,0.8,342064,0.6,454771,2.00 19,1,2024-09-07 10:06:00:566,1207056,1207056,0,0,568204192733,5887879716,1198207,7689,1160,365,391777,0 19,2,2024-09-07 10:06:01:757,873869,873869,0,0,31524380,0,3988 19,3,2024-09-07 10:06:01:142,1,686,0,0,524,5497,686,0 20,0,2024-09-07 10:06:01:452,167656,0.5,167590,0.7,334873,0.5,447182,2.00 20,1,2024-09-07 10:06:00:577,1202586,1202586,0,0,564750690084,5919237901,1190326,10556,1704,369,391922,0 20,2,2024-09-07 10:06:00:940,869098,869098,0,0,39768653,0,4321 20,3,2024-09-07 10:06:00:598,1,686,1,0,468,11546,686,0 21,0,2024-09-07 10:06:01:159,173286,0.5,173319,0.7,346561,0.5,460691,2.00 21,1,2024-09-07 10:06:01:539,1200392,1200392,0,0,563091231919,5942726579,1181638,14765,3989,368,392016,0 21,2,2024-09-07 10:06:01:081,859288,858811,477,0,50860878,0,17074 21,3,2024-09-07 10:06:01:415,1,686,1,0,713,9061,686,0 22,0,2024-09-07 10:06:01:721,174026,0.5,174643,0.7,348907,0.4,463360,2.00 22,1,2024-09-07 10:06:01:023,1201837,1201837,0,0,564499439075,5937446305,1182798,15436,3603,381,391822,0 22,2,2024-09-07 10:06:00:760,864317,864291,26,0,37755986,0,6328 22,3,2024-09-07 10:06:01:067,1,686,1,0,228,5622,686,0 23,0,2024-09-07 10:06:01:445,172404,0.5,172248,0.7,344452,0.4,458969,2.00 23,1,2024-09-07 10:06:01:005,1203348,1203348,0,0,564804712225,5945658756,1182104,14421,6823,365,391690,0 23,2,2024-09-07 10:06:01:104,870950,870950,0,0,36016263,0,3773 23,3,2024-09-07 10:06:01:754,1,686,4,0,855,9703,686,0 24,0,2024-09-07 10:06:00:955,168206,0.4,167137,0.5,336027,0.3,446484,1.75 24,1,2024-09-07 10:06:00:580,1201722,1201722,0,0,564199836085,5905969690,1190130,9718,1874,367,392269,0 24,2,2024-09-07 10:06:01:081,868539,868536,3,0,42529463,0,6294 24,3,2024-09-07 10:06:01:707,1,686,2,0,468,8975,686,0 25,0,2024-09-07 10:06:01:464,177291,0.4,172168,0.6,339049,0.4,461917,2.00 25,1,2024-09-07 10:06:00:576,1200625,1200625,0,0,563496886506,5952983264,1179885,16745,3995,369,391928,0 25,2,2024-09-07 10:06:01:606,864610,864610,0,0,44146892,0,3978 25,3,2024-09-07 10:06:01:007,1,686,15,0,532,7537,686,0 26,0,2024-09-07 10:06:01:725,172503,0.5,168561,0.6,353660,0.4,461280,2.00 26,1,2024-09-07 10:06:01:541,1203786,1203786,0,0,564691136558,5910867832,1188082,12801,2903,380,391758,0 26,2,2024-09-07 10:06:00:864,864158,864158,0,0,45383367,0,4689 26,3,2024-09-07 10:06:01:714,1,686,1,0,796,8562,686,0 27,0,2024-09-07 10:06:01:725,174321,0.4,174999,0.6,347961,0.4,464051,2.25 27,1,2024-09-07 10:06:01:688,1205587,1205587,0,0,566805799457,5906107866,1194306,9660,1621,381,391626,0 27,2,2024-09-07 10:06:00:867,864029,863964,65,0,40259723,0,5699 27,3,2024-09-07 10:06:01:015,1,686,1,0,564,5902,686,0 28,0,2024-09-07 10:06:01:407,168355,0.4,168596,0.6,337125,0.3,448484,1.75 28,1,2024-09-07 10:06:00:797,1205856,1205856,0,0,566472017062,5913134265,1194390,9039,2427,382,391904,0 28,2,2024-09-07 10:06:01:769,869455,869455,0,0,37684001,0,4060 28,3,2024-09-07 10:06:01:782,1,686,0,0,502,7207,686,0 29,0,2024-09-07 10:06:01:377,175667,0.4,170941,0.6,335736,0.4,458250,1.75 29,1,2024-09-07 10:06:01:571,1208710,1208710,0,0,567919952416,5878708705,1200789,6900,1021,367,391809,0 29,2,2024-09-07 10:06:00:868,868162,868162,0,0,35070041,0,4986 29,3,2024-09-07 10:06:00:974,1,686,3,0,590,7816,686,0 30,0,2024-09-07 10:06:01:463,172259,0.6,167645,0.7,351356,0.5,458673,2.00 30,1,2024-09-07 10:06:00:578,1207806,1207806,0,0,567384438615,5893419366,1197995,8643,1168,380,391672,0 30,2,2024-09-07 10:06:01:273,865117,865117,0,0,33943706,0,4192 30,3,2024-09-07 10:06:00:581,1,686,1,0,519,7191,686,0 31,0,2024-09-07 10:06:01:767,174513,0.5,175368,0.7,349750,0.4,466444,2.00 31,1,2024-09-07 10:06:00:576,1211761,1211761,0,0,568378013235,5840344109,1203831,6662,1268,356,391712,0 31,2,2024-09-07 10:06:01:277,865891,865891,0,0,37396412,0,4470 31,3,2024-09-07 10:06:01:706,1,686,1,0,248,6106,686,0 32,0,2024-09-07 10:06:01:423,171390,0.3,172453,0.5,343556,0.2,457172,1.75 32,1,2024-09-07 10:06:00:807,1207270,1207270,0,0,567112441605,5895858240,1199782,6523,965,381,391646,0 32,2,2024-09-07 10:06:00:937,873384,873384,0,0,32718158,0,3922 32,3,2024-09-07 10:06:01:022,1,686,0,0,304,5830,686,0 33,0,2024-09-07 10:06:01:506,169423,0.3,168961,0.4,337972,0.2,450481,1.50 33,1,2024-09-07 10:06:00:593,1208738,1208738,0,0,567184914766,5873529032,1199391,7995,1352,368,391730,0 33,2,2024-09-07 10:06:00:765,869824,869789,35,0,36247344,0,7012 33,3,2024-09-07 10:06:00:898,1,686,0,0,329,6377,686,0 34,0,2024-09-07 10:06:00:936,174129,0.3,178976,0.5,342316,0.2,462124,1.75 34,1,2024-09-07 10:06:01:049,1211377,1211377,0,0,568597524523,5851850866,1207378,3790,209,367,391637,0 34,2,2024-09-07 10:06:00:766,865457,865457,0,0,33806877,0,4562 34,3,2024-09-07 10:06:01:702,1,686,5,0,541,6026,686,0 35,0,2024-09-07 10:06:00:859,173656,0.3,174244,0.5,349465,0.2,465335,1.75 35,1,2024-09-07 10:06:01:067,1207055,1207055,0,0,566380736438,5877776063,1198116,7387,1552,382,391769,0 35,2,2024-09-07 10:06:01:590,866071,866071,0,0,39232032,0,4055 35,3,2024-09-07 10:06:00:907,1,686,1,0,466,6559,686,0 36,0,2024-09-07 10:06:01:545,172882,0.4,172994,0.6,345604,0.4,460057,2.00 36,1,2024-09-07 10:06:00:583,1205630,1205630,0,0,566120551368,5910105368,1190856,12420,2354,366,391759,0 36,2,2024-09-07 10:06:01:757,870727,870727,0,0,39164845,0,3875 36,3,2024-09-07 10:06:00:866,1,686,1,0,556,8765,686,0 37,0,2024-09-07 10:06:01:378,166251,0.5,166211,0.7,332957,0.5,444334,2.25 37,1,2024-09-07 10:06:00:593,1204910,1204903,0,7,565596009230,5905674330,1190722,11067,3114,365,391770,0 37,2,2024-09-07 10:06:01:142,867371,867356,15,0,39322738,0,5815 37,3,2024-09-07 10:06:01:768,1,686,3,0,888,9173,686,0 38,0,2024-09-07 10:06:01:443,172004,0.4,166829,0.7,348751,0.4,457194,2.00 38,1,2024-09-07 10:06:01:606,1205301,1205301,0,0,566299404275,5919559807,1188473,13524,3304,368,391821,0 38,2,2024-09-07 10:06:00:759,866458,866411,47,0,39266207,0,6710 38,3,2024-09-07 10:06:01:002,1,686,2,0,689,7901,686,0 39,0,2024-09-07 10:06:01:778,177183,0.6,173157,0.7,338022,0.5,461548,2.00 39,1,2024-09-07 10:06:00:716,1203566,1203566,0,0,564436823716,5917043682,1183954,15379,4233,365,391865,0 39,2,2024-09-07 10:06:01:420,864914,864914,0,0,37445002,0,3478 39,3,2024-09-07 10:06:00:741,1,686,1,0,525,7626,686,0 40,0,2024-09-07 10:06:01:501,171786,0.8,172459,0.9,344322,0.9,459533,2.75 40,1,2024-09-07 10:06:00:580,1203770,1203770,0,0,564244380989,5915280131,1184967,15220,3583,366,391668,0 40,2,2024-09-07 10:06:01:306,866354,866347,7,0,43523580,0,5347 40,3,2024-09-07 10:06:01:142,1,686,0,0,1028,9815,686,0 41,0,2024-09-07 10:06:01:040,166408,1.2,170270,1.1,324764,1.6,441181,3.00 41,1,2024-09-07 10:06:00:774,1203366,1203366,0,0,565334386148,5913098604,1187657,13184,2525,369,391878,0 41,2,2024-09-07 10:06:00:759,865669,865668,1,0,42434415,0,5408 41,3,2024-09-07 10:06:01:683,1,686,1,0,749,8423,686,0 42,0,2024-09-07 10:06:01:485,170813,1.0,170553,1.0,341628,1.1,453327,2.75 42,1,2024-09-07 10:06:01:438,1201545,1201545,0,0,563574864523,5916900523,1183944,14517,3084,380,391675,0 42,2,2024-09-07 10:06:01:138,864748,864747,1,0,43696330,0,5513 42,3,2024-09-07 10:06:01:012,1,686,1,0,892,6963,686,0 43,0,2024-09-07 10:06:00:938,171194,0.8,166572,1.0,349009,0.9,456302,2.25 43,1,2024-09-07 10:06:00:576,1204661,1204661,0,0,566875344851,5921430869,1188555,13358,2748,365,391696,0 43,2,2024-09-07 10:06:01:736,865243,865243,0,0,40003278,0,4723 43,3,2024-09-07 10:06:01:748,1,686,2,0,571,9285,686,0 44,0,2024-09-07 10:06:00:874,174993,0.4,175194,0.6,349731,0.3,466173,1.75 44,1,2024-09-07 10:06:00:687,1207631,1207631,0,0,566377380355,5859252382,1196305,9175,2151,356,391809,0 44,2,2024-09-07 10:06:01:267,865734,865734,0,0,33678261,0,4344 44,3,2024-09-07 10:06:01:094,1,686,0,0,1097,8884,686,0 45,0,2024-09-07 10:06:01:764,167982,0.4,164122,0.7,344269,0.4,450820,2.00 45,1,2024-09-07 10:06:01:013,1207190,1207190,0,0,567082354727,5896202566,1197644,8325,1221,382,391917,0 45,2,2024-09-07 10:06:01:268,871281,871281,0,0,33867069,0,3596 45,3,2024-09-07 10:06:00:936,1,686,1,0,531,6456,686,0 46,0,2024-09-07 10:06:00:959,168979,0.5,168363,0.7,337785,0.5,449641,2.00 46,1,2024-09-07 10:06:00:576,1209168,1209168,0,0,567612790690,5874311160,1199895,7792,1481,366,391709,0 46,2,2024-09-07 10:06:00:595,870412,870412,0,0,34687924,0,4443 46,3,2024-09-07 10:06:01:131,1,686,2,0,908,7604,686,0 47,0,2024-09-07 10:06:01:104,174482,0.5,174023,0.6,349453,0.5,463030,2.00 47,1,2024-09-07 10:06:00:578,1210358,1210358,0,0,567317602917,5864881954,1202852,6493,1013,364,391666,0 47,2,2024-09-07 10:06:00:908,867011,867011,0,0,35013757,0,4477 47,3,2024-09-07 10:06:01:115,1,686,1,0,600,7465,686,0 48,0,2024-09-07 10:06:01:499,175029,0.3,175545,0.4,349759,0.2,465622,1.50 48,1,2024-09-07 10:06:01:024,1207116,1207116,0,0,566057192356,5882321387,1199464,6640,1012,381,391710,0 48,2,2024-09-07 10:06:00:705,866623,866623,0,0,31952245,0,3619 48,3,2024-09-07 10:06:00:753,1,686,19,0,339,5967,686,0 49,0,2024-09-07 10:06:01:723,176663,0.3,173005,0.5,336526,0.3,459317,1.75 49,1,2024-09-07 10:06:01:023,1206485,1206485,0,0,566785007711,5893968250,1198621,6166,1698,382,391809,0 49,2,2024-09-07 10:06:01:797,872681,872681,0,0,33510071,0,4426 49,3,2024-09-07 10:06:01:420,1,686,1,0,992,8083,686,0 50,0,2024-09-07 10:06:01:517,168195,0.3,166821,0.5,335912,0.2,446851,1.75 50,1,2024-09-07 10:06:01:013,1209431,1209431,0,0,567820006131,5871077959,1201877,6822,732,368,391691,0 50,2,2024-09-07 10:06:01:077,868642,868642,0,0,31459988,0,4490 50,3,2024-09-07 10:06:01:296,1,686,1,0,617,7204,686,0 51,0,2024-09-07 10:06:01:688,177994,0.3,174147,0.5,339219,0.2,463240,1.75 51,1,2024-09-07 10:06:01:698,1210328,1210328,0,0,568897523464,5866900873,1203629,5355,1344,365,391706,0 51,2,2024-09-07 10:06:01:315,865664,865664,0,0,31084322,0,3337 51,3,2024-09-07 10:06:01:033,1,686,0,0,678,5452,686,0 52,0,2024-09-07 10:06:01:427,174185,0.5,174146,0.7,347865,0.4,462916,2.00 52,1,2024-09-07 10:06:00:580,1204424,1204424,0,0,565342974325,5915588388,1187679,14318,2427,368,391805,0 52,2,2024-09-07 10:06:01:759,861919,861881,38,0,42189412,0,6742 52,3,2024-09-07 10:06:00:683,1,686,1,0,1782,7905,686,0 53,0,2024-09-07 10:06:01:760,172153,0.6,167037,0.8,349570,0.7,457432,2.25 53,1,2024-09-07 10:06:00:781,1203494,1203494,0,0,565884832605,5931592718,1184340,14741,4413,367,391968,0 53,2,2024-09-07 10:06:01:298,870497,870359,138,0,38780590,0,7690 53,3,2024-09-07 10:06:00:698,1,686,8,0,308,6456,686,0 54,0,2024-09-07 10:06:01:635,165363,0.6,165789,0.7,330102,0.5,440844,2.25 54,1,2024-09-07 10:06:00:580,1206416,1206416,0,0,566812014178,5892080431,1194639,10103,1674,366,391810,0 54,2,2024-09-07 10:06:00:867,869235,869203,32,0,41942239,0,6397 54,3,2024-09-07 10:06:00:763,1,686,0,0,676,8821,686,0 55,0,2024-09-07 10:06:01:766,166559,0.7,171670,0.8,348379,0.6,454216,2.50 55,1,2024-09-07 10:06:00:766,1206835,1206835,0,0,565623959315,5881324095,1194811,10537,1487,365,391731,0 55,2,2024-09-07 10:06:00:740,866167,866111,56,0,38404935,0,7239 55,3,2024-09-07 10:06:00:675,1,686,2,0,304,6261,686,0 56,0,2024-09-07 10:06:01:575,176351,1.2,166136,1.2,342403,1.6,458504,2.75 56,1,2024-09-07 10:06:00:580,1199355,1199355,0,0,563970922700,5962042860,1179864,15737,3754,381,391867,0 56,2,2024-09-07 10:06:01:306,863190,863068,122,0,41018737,0,7432 56,3,2024-09-07 10:06:01:075,1,686,1,0,705,8201,686,0 57,0,2024-09-07 10:06:00:949,172449,1.4,172456,1.2,344426,1.8,460174,3.00 57,1,2024-09-07 10:06:00:996,1202460,1202460,0,0,564406177809,5920752864,1186749,13007,2704,366,392097,0 57,2,2024-09-07 10:06:01:329,866778,866778,0,0,43969607,0,4804 57,3,2024-09-07 10:06:01:739,1,686,11,0,455,7401,686,0 58,0,2024-09-07 10:06:00:590,165906,0.9,161099,1.0,337150,1.1,440858,2.50 58,1,2024-09-07 10:06:00:587,1203348,1203345,0,3,565207880132,5926609150,1184983,13961,4401,367,391726,3 58,2,2024-09-07 10:06:01:070,869921,869921,0,0,39986290,0,3483 58,3,2024-09-07 10:06:01:070,1,686,1,0,1043,7444,686,0 59,0,2024-09-07 10:06:01:750,169676,0.9,168840,1.0,337652,1.0,448852,2.75 59,1,2024-09-07 10:06:00:805,1202951,1202951,0,0,564766950289,5932595042,1183464,15649,3838,369,391653,0 59,2,2024-09-07 10:06:00:583,868344,868344,0,0,38095587,0,3727 59,3,2024-09-07 10:06:01:747,1,686,5,0,1015,8114,686,0 60,0,2024-09-07 10:06:01:730,173116,0.6,173355,0.7,346595,0.5,462218,2.00 60,1,2024-09-07 10:06:00:771,1206774,1206774,0,0,567038012820,5906561119,1195845,9427,1502,370,392031,0 60,2,2024-09-07 10:06:01:146,865314,865314,0,0,36351220,0,3811 60,3,2024-09-07 10:06:01:276,1,686,1,0,409,7908,686,0 61,0,2024-09-07 10:06:01:526,174702,0.6,175473,0.8,349391,0.6,465958,2.00 61,1,2024-09-07 10:06:00:774,1203698,1203698,0,0,564894542264,5916267913,1191027,10820,1851,382,392127,0 61,2,2024-09-07 10:06:01:122,867189,867122,67,0,37345338,0,6411 61,3,2024-09-07 10:06:01:702,1,686,13,0,607,8543,686,0 62,0,2024-09-07 10:06:01:711,172022,0.5,176391,0.7,336692,0.5,457098,2.00 62,1,2024-09-07 10:06:01:111,1211199,1211193,0,6,568947056117,5874290161,1203941,6635,617,365,391975,6 62,2,2024-09-07 10:06:01:644,868919,868918,1,0,37121476,0,5555 62,3,2024-09-07 10:06:01:143,1,686,1,0,482,5409,686,0 63,0,2024-09-07 10:06:01:468,169210,0.4,168924,0.6,338574,0.3,450524,1.75 63,1,2024-09-07 10:06:00:805,1208320,1208314,0,6,567050770218,5891470454,1200403,6961,950,381,391800,6 63,2,2024-09-07 10:06:00:761,868920,868920,0,0,36267007,0,4369 63,3,2024-09-07 10:06:01:732,1,686,1,0,667,8066,686,0 64,0,2024-09-07 10:06:01:551,173153,0.5,173062,0.7,345740,0.5,460530,2.00 64,1,2024-09-07 10:06:00:750,1206434,1206434,0,0,566880429082,5902086093,1196224,8182,2028,370,391794,0 64,2,2024-09-07 10:06:01:164,867741,867722,19,0,34775098,0,6121 64,3,2024-09-07 10:06:01:142,1,686,15,0,651,7865,686,0 65,0,2024-09-07 10:06:01:738,172901,0.6,173160,0.7,345725,0.6,461600,2.00 65,1,2024-09-07 10:06:00:891,1204701,1204701,0,0,565383922303,5908208126,1196459,7344,898,381,391901,0 65,2,2024-09-07 10:06:01:703,864554,864554,0,0,41642984,0,3367 65,3,2024-09-07 10:06:01:683,1,686,4,0,782,8115,686,0 66,0,2024-09-07 10:06:01:766,172144,0.5,171463,0.7,343048,0.5,456593,2.00 66,1,2024-09-07 10:06:01:296,1206545,1206545,0,0,566922155717,5900117284,1199050,6622,873,380,391743,0 66,2,2024-09-07 10:06:01:134,873342,873339,3,0,36630914,0,5455 66,3,2024-09-07 10:06:01:079,1,686,1,0,291,6144,686,0 67,0,2024-09-07 10:06:01:416,166931,0.5,166494,0.7,333737,0.5,444884,2.00 67,1,2024-09-07 10:06:00:766,1206431,1206430,0,1,566130183846,5894936048,1197996,7294,1140,380,391787,1 67,2,2024-09-07 10:06:00:583,871713,871698,15,0,36773439,0,6205 67,3,2024-09-07 10:06:01:756,1,686,1,0,595,7311,686,0 68,0,2024-09-07 10:06:00:646,172899,0.6,172642,0.7,343870,0.6,460116,2.25 68,1,2024-09-07 10:06:00:588,1202055,1202055,0,0,564083140464,5934996434,1185327,12456,4272,381,391953,0 68,2,2024-09-07 10:06:01:055,861814,861714,100,0,44836807,0,8578 68,3,2024-09-07 10:06:00:743,1,686,7,0,417,9644,686,0 69,0,2024-09-07 10:06:01:792,172977,0.7,173506,0.8,346296,0.7,461365,2.25 69,1,2024-09-07 10:06:01:016,1201224,1201224,0,0,563527300828,5935917979,1186336,11906,2982,383,391994,0 69,2,2024-09-07 10:06:01:733,862009,861980,29,0,47815075,0,6912 69,3,2024-09-07 10:06:00:764,1,686,3,0,698,10346,686,0 70,0,2024-09-07 10:06:01:534,171517,0.7,172264,0.9,345393,0.6,458074,2.50 70,1,2024-09-07 10:06:00:808,1207847,1207847,0,0,567698045645,5891983441,1198399,8403,1045,366,391725,0 70,2,2024-09-07 10:06:01:324,868988,868988,0,0,39310420,0,4323 70,3,2024-09-07 10:06:00:758,1,686,1,0,854,7670,686,0 71,0,2024-09-07 10:06:01:357,166388,0.8,165915,1.0,332787,1.0,443805,2.50 71,1,2024-09-07 10:06:01:599,1205593,1205593,0,0,565568509479,5901112897,1191161,12646,1786,367,391738,0 71,2,2024-09-07 10:06:01:072,867896,867896,0,0,39737155,0,4352 71,3,2024-09-07 10:06:01:755,1,686,1,0,644,7577,686,0 72,0,2024-09-07 10:06:01:035,177459,0.6,173296,0.7,338595,0.5,460762,2.00 72,1,2024-09-07 10:06:01:022,1204048,1204048,0,0,564855291707,5922835302,1187195,14120,2733,369,391819,0 72,2,2024-09-07 10:06:01:762,865714,865714,0,0,41647356,0,3983 72,3,2024-09-07 10:06:01:792,1,686,14,0,564,9525,686,0 73,0,2024-09-07 10:06:01:150,169236,0.5,173452,0.6,354469,0.4,461414,2.00 73,1,2024-09-07 10:06:00:767,1205638,1205638,0,0,566173353838,5886129225,1196112,8464,1062,367,391858,0 73,2,2024-09-07 10:06:01:742,863906,863905,1,0,43592525,0,5027 73,3,2024-09-07 10:06:00:982,1,686,4,0,1091,9274,686,0 74,0,2024-09-07 10:06:01:323,175828,0.5,180142,0.7,343627,0.4,466534,2.00 74,1,2024-09-07 10:06:00:635,1204412,1204412,0,0,565886281764,5904612264,1192054,10210,2148,381,391762,0 74,2,2024-09-07 10:06:01:006,866183,866183,0,0,37877670,0,4253 74,3,2024-09-07 10:06:01:450,1,686,5,0,522,7888,686,0 75,0,2024-09-07 10:06:01:765,169875,0.5,168903,0.7,339032,0.4,452111,2.00 75,1,2024-09-07 10:06:01:590,1205663,1205663,0,0,565520893048,5893100697,1195783,8887,993,380,391739,0 75,2,2024-09-07 10:06:01:352,868988,868988,0,0,45073147,0,4766 75,3,2024-09-07 10:06:01:073,1,686,8,0,918,9491,686,0 76,0,2024-09-07 10:06:00:608,169255,0.6,168645,0.8,337104,0.6,451192,2.25 76,1,2024-09-07 10:06:00:806,1205279,1205279,0,0,565075400637,5897146433,1196386,7584,1309,382,391790,0 76,2,2024-09-07 10:06:01:078,871346,871343,3,0,38059932,0,5265 76,3,2024-09-07 10:06:01:146,1,686,1,0,249,6296,686,0 77,0,2024-09-07 10:06:01:714,173340,0.6,173667,0.7,347662,0.6,461965,2.00 77,1,2024-09-07 10:06:00:867,1204459,1204459,0,0,564792067206,5900132550,1194188,9183,1088,381,391869,0 77,2,2024-09-07 10:06:01:289,863804,863804,0,0,36657922,0,3890 77,3,2024-09-07 10:06:01:095,1,686,1,0,401,7825,686,0 78,0,2024-09-07 10:06:01:718,175301,0.4,174511,0.6,350476,0.4,463818,2.00 78,1,2024-09-07 10:06:00:621,1204414,1204414,0,0,564839145180,5897388582,1188881,12201,3332,367,391670,0 78,2,2024-09-07 10:06:01:411,867006,866993,13,0,34356122,0,8313 78,3,2024-09-07 10:06:01:133,1,686,1,0,311,5955,686,0 79,0,2024-09-07 10:06:01:350,165970,0.4,170037,0.6,348308,0.3,453716,2.00 79,1,2024-09-07 10:06:00:577,1208763,1208763,0,0,567580124713,5880540115,1198950,8011,1802,367,391682,0 79,2,2024-09-07 10:06:01:073,872290,872290,0,0,33431071,0,4195 79,3,2024-09-07 10:06:00:752,1,686,0,0,418,7973,686,0 80,0,2024-09-07 10:06:01:115,167883,0.5,172342,0.7,329230,0.5,445863,2.00 80,1,2024-09-07 10:06:01:646,1205130,1205130,0,0,565818694991,5892632640,1197151,7398,581,368,392269,0 80,2,2024-09-07 10:06:01:105,872074,872074,0,0,33894259,0,4433 80,3,2024-09-07 10:06:00:584,1,686,1,0,681,8321,686,0 81,0,2024-09-07 10:06:01:547,173362,0.6,177402,0.7,338318,0.6,459872,2.00 81,1,2024-09-07 10:06:01:664,1204680,1204680,0,0,564940483509,5905004431,1195076,8630,974,382,392001,0 81,2,2024-09-07 10:06:01:135,864855,864792,63,0,37566705,0,5932 81,3,2024-09-07 10:06:01:122,1,686,0,0,719,7617,686,0 82,0,2024-09-07 10:06:01:530,174175,0.5,174269,0.7,349033,0.5,463509,2.00 82,1,2024-09-07 10:06:00:587,1206460,1206456,0,4,566339736694,5892990178,1199523,5809,1124,381,391768,4 82,2,2024-09-07 10:06:01:693,868335,868335,0,0,31353184,0,4484 82,3,2024-09-07 10:06:01:754,1,686,1,0,363,6220,686,0 83,0,2024-09-07 10:06:01:523,172786,0.5,172644,0.7,345064,0.5,458103,2.25 83,1,2024-09-07 10:06:00:551,1205277,1205277,0,0,565790474255,5899419919,1196290,7961,1026,382,391709,0 83,2,2024-09-07 10:06:00:764,870768,870743,25,0,34217365,0,5612 83,3,2024-09-07 10:06:00:750,1,686,116,0,1260,8147,686,0 84,0,2024-09-07 10:06:01:799,165638,0.7,165798,0.9,331485,0.7,442716,2.25 84,1,2024-09-07 10:06:01:039,1203525,1203525,0,0,564812005479,5908000500,1189841,11601,2083,367,391967,0 84,2,2024-09-07 10:06:00:584,866635,866225,410,0,49270045,0,17037 84,3,2024-09-07 10:06:01:146,1,686,11,0,908,9609,686,0 85,0,2024-09-07 10:06:01:012,165726,0.6,165725,0.8,351880,0.6,454534,2.25 85,1,2024-09-07 10:06:00:583,1199603,1199603,0,0,563166233950,5942428099,1181821,14852,2930,381,392092,0 85,2,2024-09-07 10:06:00:872,866405,866405,0,0,41676603,0,4255 85,3,2024-09-07 10:06:00:694,1,686,19,0,789,7754,686,0 86,0,2024-09-07 10:06:00:892,172423,0.7,177358,0.8,339607,0.8,459242,2.25 86,1,2024-09-07 10:06:00:827,1202259,1202259,0,0,564773992399,5931002388,1184677,14267,3315,366,392169,0 86,2,2024-09-07 10:06:00:854,863898,863897,1,0,43730610,0,5004 86,3,2024-09-07 10:06:00:586,1,686,1,0,441,9535,686,0 87,0,2024-09-07 10:06:01:285,174780,0.8,173519,0.8,347619,0.9,464116,2.25 87,1,2024-09-07 10:06:00:571,1202814,1202814,0,0,564232850520,5910046032,1187906,12746,2162,366,392076,0 87,2,2024-09-07 10:06:01:076,866189,866183,6,0,40952939,0,6323 87,3,2024-09-07 10:06:01:796,1,686,6,0,473,9477,686,0 88,0,2024-09-07 10:06:01:445,168210,0.4,168664,0.6,337305,0.4,448591,1.75 88,1,2024-09-07 10:06:00:577,1201423,1201423,0,0,564169142796,5912063029,1184622,13662,3139,365,392084,0 88,2,2024-09-07 10:06:00:698,869103,869103,0,0,43207292,0,4465 88,3,2024-09-07 10:06:01:271,1,686,35,0,1080,11081,686,0 89,0,2024-09-07 10:06:01:767,175693,0.4,170572,0.6,336189,0.4,457455,1.75 89,1,2024-09-07 10:06:00:561,1201319,1201319,0,0,564320226542,5934047453,1186649,12393,2277,382,391866,0 89,2,2024-09-07 10:06:01:132,868314,868314,0,0,40661683,0,3173 89,3,2024-09-07 10:06:01:791,1,686,1,0,729,12270,686,0 90,0,2024-09-07 10:06:01:614,168157,0.5,172625,0.7,352631,0.5,460027,2.00 90,1,2024-09-07 10:06:00:596,1202470,1202470,0,0,565264524667,5923281426,1190025,11175,1270,380,391825,0 90,2,2024-09-07 10:06:01:407,860359,860354,5,0,43797196,0,6370 90,3,2024-09-07 10:06:00:936,1,686,1,0,364,9029,686,0 91,0,2024-09-07 10:06:00:965,175476,0.5,170234,0.6,355994,0.4,466940,1.75 91,1,2024-09-07 10:06:00:556,1199711,1199711,0,0,564225858320,5951382219,1181004,14559,4148,381,392047,0 91,2,2024-09-07 10:06:01:342,865272,865272,0,0,39111230,0,4713 91,3,2024-09-07 10:06:00:598,1,686,1,0,231,6446,686,0 92,0,2024-09-07 10:06:01:469,172929,0.4,176901,0.6,337240,0.4,457478,1.75 92,1,2024-09-07 10:06:00:607,1204122,1204122,0,0,565670266526,5910475123,1194639,8172,1311,381,392136,0 92,2,2024-09-07 10:06:01:353,870747,870747,0,0,35849616,0,3906 92,3,2024-09-07 10:06:01:029,1,686,1,0,322,6202,686,0 93,0,2024-09-07 10:06:01:073,169802,0.4,173956,0.6,332060,0.4,450395,1.75 93,1,2024-09-07 10:06:00:810,1204737,1204737,0,0,565316873507,5908984642,1190348,11956,2433,365,392048,0 93,2,2024-09-07 10:06:00:938,868865,868865,0,0,41245126,0,4913 93,3,2024-09-07 10:06:01:409,1,686,12,0,788,8587,686,0 94,0,2024-09-07 10:06:01:614,173104,0.4,174228,0.6,348921,0.4,462862,1.75 94,1,2024-09-07 10:06:00:577,1205700,1205700,0,0,566304990298,5907400352,1197426,7624,650,381,391850,0 94,2,2024-09-07 10:06:00:765,864507,864479,28,0,35863238,0,6179 94,3,2024-09-07 10:06:01:707,1,686,9,0,576,8331,686,0 95,0,2024-09-07 10:06:01:356,174220,0.4,174016,0.6,348498,0.3,464556,1.75 95,1,2024-09-07 10:06:00:856,1206433,1206433,0,0,565938640285,5882609774,1197069,8553,811,365,391852,0 95,2,2024-09-07 10:06:01:020,864371,864371,0,0,35997646,0,3308 95,3,2024-09-07 10:06:01:709,1,686,1,0,718,10472,686,0 96,0,2024-09-07 10:06:01:037,172384,0.3,172728,0.5,345525,0.3,459188,1.75 96,1,2024-09-07 10:06:01:590,1204035,1204035,0,0,565174390624,5898385408,1195120,7537,1378,384,391964,0 96,2,2024-09-07 10:06:01:288,872346,872346,0,0,36388278,0,4225 96,3,2024-09-07 10:06:01:143,1,686,6,0,411,7705,686,0 97,0,2024-09-07 10:06:01:364,166936,0.3,166706,0.5,334203,0.3,444800,1.50 97,1,2024-09-07 10:06:00:770,1207016,1207016,0,0,567479932531,5889095768,1199131,6646,1239,367,392140,0 97,2,2024-09-07 10:06:00:633,870409,870409,0,0,36443071,0,4600 97,3,2024-09-07 10:06:00:577,1,686,22,0,433,8403,686,0 98,0,2024-09-07 10:06:01:700,172384,0.3,172397,0.5,346334,0.3,460497,1.50 98,1,2024-09-07 10:06:00:576,1205935,1205935,0,0,565341761852,5889584175,1198496,6540,899,381,391997,0 98,2,2024-09-07 10:06:00:769,865903,865903,0,0,34823722,0,4336 98,3,2024-09-07 10:06:00:717,1,686,1,0,840,9629,686,0 99,0,2024-09-07 10:06:01:548,173798,0.3,174711,0.5,348048,0.3,464029,1.75 99,1,2024-09-07 10:06:01:727,1206958,1206958,0,0,565760720527,5889671540,1199177,6674,1107,380,392069,0 99,2,2024-09-07 10:06:01:419,864430,864430,0,0,43857850,0,4276 99,3,2024-09-07 10:06:00:581,1,686,1,0,1124,8657,686,0 100,0,2024-09-07 10:06:01:503,172914,0.8,173426,0.9,346675,0.8,462075,2.50 100,1,2024-09-07 10:06:00:556,1199650,1199650,0,0,563027691470,5955636649,1180609,15246,3795,378,391989,0 100,2,2024-09-07 10:06:01:817,865030,864641,389,0,45281111,0,16909 100,3,2024-09-07 10:06:01:734,1,686,3,0,627,10750,686,0 101,0,2024-09-07 10:06:01:744,170989,0.9,166852,1.0,326396,0.9,445597,2.25 101,1,2024-09-07 10:06:00:567,1201816,1201816,0,0,564135529346,5928802923,1185448,13429,2939,368,392018,0 101,2,2024-09-07 10:06:01:757,863715,863676,39,0,47199858,0,5913 101,3,2024-09-07 10:06:00:955,1,686,33,0,1250,10598,686,0 102,0,2024-09-07 10:06:00:965,167193,0.6,172200,0.8,349804,0.6,457592,2.25 102,1,2024-09-07 10:06:01:143,1201900,1201900,0,0,564165782528,5924469731,1186173,13471,2256,369,391984,0 102,2,2024-09-07 10:06:01:742,868618,868564,54,0,39064469,0,6768 102,3,2024-09-07 10:06:01:620,1,686,1,0,466,7975,686,0 103,0,2024-09-07 10:06:01:594,178990,0.7,178959,0.8,337187,0.8,463735,2.25 103,1,2024-09-07 10:06:01:627,1200441,1200441,0,0,563806800210,5952376963,1181531,15284,3626,381,392077,0 103,2,2024-09-07 10:06:00:585,862576,862576,0,0,42428179,0,3766 103,3,2024-09-07 10:06:00:756,1,686,4,0,916,8085,686,0 104,0,2024-09-07 10:06:01:046,173525,0.7,173958,0.9,347059,0.7,463690,2.25 104,1,2024-09-07 10:06:01:603,1202489,1202489,0,0,564004972326,5929566775,1184061,14970,3458,365,392168,0 104,2,2024-09-07 10:06:01:671,864243,864243,0,0,41593049,0,4161 104,3,2024-09-07 10:06:01:418,1,686,4,0,1245,11728,686,0 105,0,2024-09-07 10:06:01:092,167936,0.8,163630,1.0,343031,0.9,450061,2.50 105,1,2024-09-07 10:06:00:593,1204571,1204571,0,0,564501793798,5912915894,1189804,12653,2114,364,392009,0 105,2,2024-09-07 10:06:01:334,868898,868898,0,0,42922963,0,4360 105,3,2024-09-07 10:06:01:359,1,686,2,0,573,10228,686,0 106,0,2024-09-07 10:06:00:997,163915,1.0,168036,1.0,343657,1.2,449546,2.50 106,1,2024-09-07 10:06:01:755,1202703,1202703,0,0,564348995492,5921254528,1186278,14275,2150,368,391914,0 106,2,2024-09-07 10:06:00:760,868563,868563,0,0,39599408,0,3331 106,3,2024-09-07 10:06:00:683,1,686,0,0,1224,9562,686,0 107,0,2024-09-07 10:06:01:099,173447,1.3,173421,1.1,346993,1.9,462933,2.25 107,1,2024-09-07 10:06:00:585,1200231,1200231,0,0,562584765964,5946527834,1181140,16835,2256,381,392234,0 107,2,2024-09-07 10:06:01:296,860416,860415,1,0,43090081,0,5024 107,3,2024-09-07 10:06:01:756,1,686,1,0,733,10869,686,0 108,0,2024-09-07 10:06:01:808,174377,0.4,175223,0.6,349396,0.4,465590,1.75 108,1,2024-09-07 10:06:01:321,1203838,1203838,0,0,565499473331,5906558584,1192374,10204,1260,367,391894,0 108,2,2024-09-07 10:06:01:757,866426,866426,0,0,38670398,0,4432 108,3,2024-09-07 10:06:01:335,1,686,4,0,767,12442,686,0 109,0,2024-09-07 10:06:01:746,172528,0.4,171157,0.6,343460,0.3,458279,1.75 109,1,2024-09-07 10:06:00:596,1202107,1202107,0,0,564550006082,5924515695,1191457,9145,1505,382,392132,0 109,2,2024-09-07 10:06:00:944,869582,869582,0,0,38697862,0,3617 109,3,2024-09-07 10:06:01:142,1,686,5,0,630,7928,686,0 110,0,2024-09-07 10:06:01:837,167564,0.4,162918,0.6,341213,0.3,447807,1.75 110,1,2024-09-07 10:06:01:667,1206393,1206393,0,0,566829923250,5887449849,1196930,7378,2085,368,392045,0 110,2,2024-09-07 10:06:01:311,869549,869549,0,0,37832852,0,4067 110,3,2024-09-07 10:06:00:745,1,686,1,0,722,9332,686,0 111,0,2024-09-07 10:06:01:416,174056,0.4,173281,0.6,346082,0.4,462698,1.75 111,1,2024-09-07 10:06:01:003,1207699,1207699,0,0,567045137433,5889169190,1200450,6743,506,380,391690,0 111,2,2024-09-07 10:06:01:115,864924,864924,0,0,37194895,0,4823 111,3,2024-09-07 10:06:00:916,1,686,213,0,379,7810,686,0 112,0,2024-09-07 10:06:00:910,174875,0.3,174450,0.4,349732,0.2,464807,1.50 112,1,2024-09-07 10:06:00:827,1206500,1206500,0,0,566129774785,5876756720,1198913,6361,1226,380,391624,0 112,2,2024-09-07 10:06:01:144,866020,866019,1,0,34980187,0,5036 112,3,2024-09-07 10:06:00:598,1,686,1,0,282,6824,686,0 113,0,2024-09-07 10:06:00:896,172692,0.3,173050,0.5,346400,0.2,460499,1.75 113,1,2024-09-07 10:06:01:690,1210266,1210266,0,0,568064528302,5860949214,1203356,5959,951,365,391664,0 113,2,2024-09-07 10:06:01:306,873227,873227,0,0,32429660,0,3813 113,3,2024-09-07 10:06:00:697,1,686,4,0,510,6993,686,0 114,0,2024-09-07 10:06:00:877,167247,0.3,168361,0.5,335854,0.2,448224,1.75 114,1,2024-09-07 10:06:00:729,1206198,1206198,0,0,566720079106,5884862026,1197371,7023,1804,381,391565,0 114,2,2024-09-07 10:06:00:874,870916,870915,1,0,34911652,0,5069 114,3,2024-09-07 10:06:01:285,1,686,1,0,415,5679,686,0 115,0,2024-09-07 10:06:00:557,173249,0.3,173823,0.4,346305,0.2,461073,1.50 115,1,2024-09-07 10:06:00:576,1207257,1207257,0,0,567444722973,5895038962,1197703,7763,1791,382,391757,0 115,2,2024-09-07 10:06:01:125,868692,868692,0,0,34814109,0,4382 115,3,2024-09-07 10:06:01:006,1,686,2,0,173,4406,686,0 116,0,2024-09-07 10:06:01:727,172453,0.8,172506,0.9,345632,0.8,461878,2.25 116,1,2024-09-07 10:06:00:812,1200621,1200621,0,0,564514237070,5946980320,1186559,10847,3215,380,392089,0 116,2,2024-09-07 10:06:01:755,864850,864850,0,0,41839045,0,4475 116,3,2024-09-07 10:06:00:912,1,686,1,0,448,8834,686,0 117,0,2024-09-07 10:06:00:987,174491,0.6,174226,0.8,348735,0.6,465451,2.00 117,1,2024-09-07 10:06:01:591,1203096,1203096,0,0,564669927792,5915148598,1189283,12050,1763,369,392429,0 117,2,2024-09-07 10:06:01:123,868884,868884,0,0,38509542,0,4303 117,3,2024-09-07 10:06:01:066,1,686,6,0,490,8426,686,0 118,0,2024-09-07 10:06:01:770,163182,0.5,167606,0.7,341919,0.5,446887,2.00 118,1,2024-09-07 10:06:00:584,1201402,1201402,0,0,563656222927,5924222356,1183904,13653,3845,366,392054,0 118,2,2024-09-07 10:06:01:590,869060,869060,0,0,41024120,0,3161 118,3,2024-09-07 10:06:01:768,1,686,7,0,343,8195,686,0 119,0,2024-09-07 10:06:01:405,170655,0.7,170924,0.8,341458,0.7,455889,2.25 119,1,2024-09-07 10:06:00:548,1202493,1202493,0,0,564681078340,5921649218,1186478,13348,2667,367,391857,0 119,2,2024-09-07 10:06:01:277,869034,869034,0,0,38041914,0,4309 119,3,2024-09-07 10:06:01:337,1,686,7,0,1358,12168,686,0 120,0,2024-09-07 10:06:01:554,172444,0.7,172394,0.9,345944,0.8,461300,2.50 120,1,2024-09-07 10:06:00:869,1203414,1203414,0,0,564040316775,5914919246,1190749,11508,1157,367,392144,0 120,2,2024-09-07 10:06:00:770,862821,862818,3,0,45687456,0,5363 120,3,2024-09-07 10:06:01:295,1,686,10,0,478,8846,686,0 121,0,2024-09-07 10:06:01:702,174884,1.1,174393,1.0,348846,1.5,464766,2.25 121,1,2024-09-07 10:06:01:657,1202874,1202874,0,0,564584403491,5911302175,1189352,11526,1996,366,391840,0 121,2,2024-09-07 10:06:01:126,865986,865986,0,0,41506396,0,4157 121,3,2024-09-07 10:06:00:740,1,686,2,0,387,9210,686,0 122,0,2024-09-07 10:06:01:817,170749,0.7,166172,0.8,347592,0.8,456930,2.00 122,1,2024-09-07 10:06:00:864,1202289,1202289,0,0,564524111537,5922153851,1186019,13693,2577,365,392130,0 122,2,2024-09-07 10:06:01:337,870729,870654,75,0,45749013,0,5989 122,3,2024-09-07 10:06:00:594,1,686,1,0,512,10494,686,0 123,0,2024-09-07 10:06:00:958,167717,0.8,163501,0.9,342701,0.9,448376,2.25 123,1,2024-09-07 10:06:00:567,1203166,1203166,0,0,564276894471,5934113485,1184081,16060,3025,369,392039,0 123,2,2024-09-07 10:06:01:028,868048,868047,1,0,39245293,0,5215 123,3,2024-09-07 10:06:01:142,1,686,1,0,478,7752,686,0 124,0,2024-09-07 10:06:00:930,178761,0.4,178730,0.5,336753,0.3,463762,1.75 124,1,2024-09-07 10:06:01:032,1206332,1206332,0,0,565929452550,5886721723,1196798,8273,1261,365,392178,0 124,2,2024-09-07 10:06:01:013,866281,866228,53,0,36460559,0,6487 124,3,2024-09-07 10:06:00:759,1,686,2,0,490,7197,686,0 125,0,2024-09-07 10:06:01:427,174146,0.4,174051,0.6,348754,0.3,465043,1.75 125,1,2024-09-07 10:06:00:855,1203389,1203389,0,0,564972716326,5905846119,1192352,9455,1582,382,392045,0 125,2,2024-09-07 10:06:01:121,868224,868224,0,0,36034762,0,4534 125,3,2024-09-07 10:06:01:130,1,686,1,0,709,7673,686,0 126,0,2024-09-07 10:06:01:415,172815,0.4,177626,0.5,339454,0.3,460030,1.75 126,1,2024-09-07 10:06:00:551,1207048,1207048,0,0,566770176399,5874446922,1199774,6572,702,365,391987,0 126,2,2024-09-07 10:06:00:621,872351,872351,0,0,37788366,0,4539 126,3,2024-09-07 10:06:00:907,1,686,2,0,268,7835,686,0 127,0,2024-09-07 10:06:01:616,167187,0.3,167581,0.5,334125,0.3,445106,1.75 127,1,2024-09-07 10:06:00:576,1205848,1205848,0,0,566040813706,5891931680,1193394,10678,1776,364,392187,0 127,2,2024-09-07 10:06:00:645,870001,869997,4,0,35702951,0,5305 127,3,2024-09-07 10:06:01:278,1,686,4,0,968,6797,686,0 128,0,2024-09-07 10:06:01:536,173481,0.3,173483,0.4,346942,0.2,461351,1.50 128,1,2024-09-07 10:06:01:604,1204820,1204820,0,0,566011306141,5885283263,1195949,7900,971,367,392423,0 128,2,2024-09-07 10:06:01:390,868128,868128,0,0,33200294,0,3171 128,3,2024-09-07 10:06:00:767,1,686,1,0,1082,10334,686,0 129,0,2024-09-07 10:06:00:990,175046,0.3,174407,0.5,349643,0.3,464781,1.50 129,1,2024-09-07 10:06:00:584,1202072,1202072,0,0,564113688557,5918734625,1189138,10605,2329,379,391962,0 129,2,2024-09-07 10:06:00:701,867184,867180,4,0,35888693,0,5335 129,3,2024-09-07 10:06:00:697,1,686,1,0,506,9893,686,0 130,0,2024-09-07 10:06:01:717,174135,0.4,173948,0.5,348271,0.4,463590,1.75 130,1,2024-09-07 10:06:00:583,1206970,1206970,0,0,566160966369,5879740376,1201129,5434,407,381,391825,0 130,2,2024-09-07 10:06:01:125,869407,869407,0,0,35691783,0,4067 130,3,2024-09-07 10:06:01:296,1,686,3,0,960,8895,686,0 131,0,2024-09-07 10:06:01:941,167351,0.3,167843,0.5,336561,0.3,447341,1.50 131,1,2024-09-07 10:06:01:826,1205784,1205784,0,0,566115876422,5899744356,1197641,6934,1209,381,391865,0 131,2,2024-09-07 10:06:00:570,869894,869894,0,0,33988110,0,3979 131,3,2024-09-07 10:06:01:703,1,686,1,0,392,8651,686,0 132,0,2024-09-07 10:06:01:441,172377,0.5,173371,0.6,344951,0.4,459629,2.00 132,1,2024-09-07 10:06:00:600,1201569,1201569,0,0,564236985980,5936574909,1185070,13717,2782,381,392532,0 132,2,2024-09-07 10:06:00:719,867857,867840,17,0,42478948,0,6451 132,3,2024-09-07 10:06:01:705,1,686,0,0,1298,11795,686,0 133,0,2024-09-07 10:06:01:525,169210,0.5,173271,0.6,355228,0.4,462066,2.00 133,1,2024-09-07 10:06:00:599,1201502,1201502,0,0,564161828301,5940641139,1186068,13500,1934,383,391914,0 133,2,2024-09-07 10:06:01:087,865162,865112,50,0,43453017,0,6861 133,3,2024-09-07 10:06:01:297,1,686,2,0,528,8015,686,0 134,0,2024-09-07 10:06:00:965,175531,0.5,175444,0.7,350711,0.5,466966,2.00 134,1,2024-09-07 10:06:00:588,1202842,1202842,0,0,564346824602,5921577008,1186760,12790,3292,366,391781,0 134,2,2024-09-07 10:06:01:756,866025,865886,139,0,41022547,0,7591 134,3,2024-09-07 10:06:00:755,1,686,1,0,739,8455,686,0 135,0,2024-09-07 10:06:01:100,164506,0.7,164434,0.8,349078,0.7,449438,2.25 135,1,2024-09-07 10:06:01:590,1202462,1202462,0,0,564186248201,5930125201,1188062,12548,1852,380,392038,0 135,2,2024-09-07 10:06:00:698,871216,871216,0,0,41709105,0,4503 135,3,2024-09-07 10:06:01:002,1,686,0,0,900,6641,686,0 136,0,2024-09-07 10:06:01:631,169931,0.6,170474,0.8,338953,0.6,452652,2.25 136,1,2024-09-07 10:06:01:448,1203648,1203648,0,0,564728702793,5916810665,1190818,11404,1426,381,392135,0 136,2,2024-09-07 10:06:01:135,870942,870927,15,0,40788096,0,6007 136,3,2024-09-07 10:06:01:108,1,686,4,0,637,8115,686,0 137,0,2024-09-07 10:06:00:946,179179,0.7,174708,0.8,342087,0.7,464564,2.00 137,1,2024-09-07 10:06:00:590,1202474,1202474,0,0,564729729810,5921368768,1184045,14790,3639,366,391898,0 137,2,2024-09-07 10:06:01:714,863256,863256,0,0,41743282,0,3185 137,3,2024-09-07 10:06:00:771,1,686,1,0,484,9757,686,0 138,0,2024-09-07 10:06:01:794,173729,0.9,174064,0.9,348667,1.2,463814,2.25 138,1,2024-09-07 10:06:01:686,1202267,1202267,0,0,565153121299,5931154310,1185134,14442,2691,368,391954,0 138,2,2024-09-07 10:06:00:586,864554,864554,0,0,40237422,0,4988 138,3,2024-09-07 10:06:00:610,1,686,2,0,1200,10341,686,0 139,0,2024-09-07 10:06:01:381,170452,0.9,171049,0.9,342035,1.2,456632,2.25 139,1,2024-09-07 10:06:00:586,1198494,1198494,0,0,561401468584,5948283477,1178598,15983,3913,380,392109,0 139,2,2024-09-07 10:06:00:734,865549,865519,30,0,45850511,0,5997 139,3,2024-09-07 10:06:01:669,1,686,1,0,432,8127,686,0 140,0,2024-09-07 10:06:01:592,168153,0.3,167491,0.5,335950,0.2,448075,1.75 140,1,2024-09-07 10:06:01:539,1209826,1209826,0,0,567306598430,5856502263,1203025,5998,803,364,391628,0 140,2,2024-09-07 10:06:00:688,869843,869842,1,0,34511276,0,5036 140,3,2024-09-07 10:06:00:767,1,686,1,0,575,6968,686,0 141,0,2024-09-07 10:06:01:700,173921,0.3,178639,0.5,341269,0.3,462439,1.75 141,1,2024-09-07 10:06:00:869,1207120,1207120,0,0,566745086498,5892963745,1196777,8950,1393,379,391614,0 141,2,2024-09-07 10:06:01:698,865603,865592,11,0,37015021,0,5369 141,3,2024-09-07 10:06:01:049,1,686,1,0,391,8107,686,0 142,0,2024-09-07 10:06:01:320,175150,0.3,174332,0.5,349015,0.3,465684,1.75 142,1,2024-09-07 10:06:00:587,1204827,1204827,0,0,565978163358,5907564259,1195777,8156,894,382,392102,0 142,2,2024-09-07 10:06:01:315,864669,864637,32,0,36618980,0,6028 142,3,2024-09-07 10:06:01:754,1,686,1,0,484,7038,686,0 143,0,2024-09-07 10:06:01:374,172797,0.4,172707,0.5,346453,0.4,460474,1.75 143,1,2024-09-07 10:06:00:619,1207901,1207901,0,0,566726335352,5883988188,1199504,7426,971,367,391900,0 143,2,2024-09-07 10:06:00:770,871900,871900,0,0,36240507,0,3123 143,3,2024-09-07 10:06:01:142,1,686,0,0,462,8092,686,0 144,0,2024-09-07 10:06:01:555,162096,0.5,166816,0.7,339514,0.5,444517,2.00 144,1,2024-09-07 10:06:00:565,1201841,1201841,0,0,564474044790,5923135645,1190096,9701,2044,381,391733,0 144,2,2024-09-07 10:06:01:756,870364,870364,0,0,35756752,0,4443 144,3,2024-09-07 10:06:01:738,1,686,1,0,306,7368,686,0 145,0,2024-09-07 10:06:01:360,167020,0.6,167034,0.8,354551,0.5,456552,2.25 145,1,2024-09-07 10:06:00:554,1200897,1200897,0,0,564275986187,5938941861,1184698,13231,2968,382,391781,0 145,2,2024-09-07 10:06:01:431,866102,866020,82,0,41581051,0,7814 145,3,2024-09-07 10:06:00:898,1,686,1,0,622,9172,686,0 146,0,2024-09-07 10:06:01:590,173008,0.7,172208,0.8,346145,0.7,459920,2.25 146,1,2024-09-07 10:06:01:590,1202670,1202670,0,0,563823689770,5930886652,1183535,14211,4924,367,391829,0 146,2,2024-09-07 10:06:01:703,863152,863146,6,0,39687178,0,5151 146,3,2024-09-07 10:06:01:289,1,686,2,0,1520,10877,686,0 147,0,2024-09-07 10:06:01:715,174551,0.6,174268,0.7,348450,0.5,464519,2.00 147,1,2024-09-07 10:06:01:382,1206479,1206479,0,0,566420454389,5889888195,1196523,8858,1098,367,391791,0 147,2,2024-09-07 10:06:01:022,866922,866922,0,0,37594543,0,4531 147,3,2024-09-07 10:06:00:913,1,686,8,0,1626,10370,686,0 0,0,2024-09-07 10:06:11:713,168474,0.7,168479,0.8,357653,0.8,462040,2.00 0,1,2024-09-07 10:06:10:820,1205415,1205415,0,0,565562463662,5921652597,1195537,8905,973,368,391896,0 0,2,2024-09-07 10:06:11:067,866252,866252,0,0,35412217,0,4480 0,3,2024-09-07 10:06:10:982,1,687,10,0,538,9883,687,0 1,0,2024-09-07 10:06:11:783,174901,0.8,173924,0.9,348961,1.0,466710,2.00 1,1,2024-09-07 10:06:10:579,1204468,1204468,0,0,565415552107,5924885268,1193078,9420,1970,370,391859,0 1,2,2024-09-07 10:06:10:658,868605,868605,0,0,34979904,0,3380 1,3,2024-09-07 10:06:11:323,1,687,23,0,269,8220,687,0 2,0,2024-09-07 10:06:11:584,171461,0.6,171186,0.7,342173,0.6,456247,2.00 2,1,2024-09-07 10:06:10:872,1208693,1208693,0,0,567279059945,5899737125,1201763,5969,961,379,391805,0 2,2,2024-09-07 10:06:11:267,872719,872719,0,0,33810900,0,3594 2,3,2024-09-07 10:06:10:692,1,687,1,0,357,6111,687,0 3,0,2024-09-07 10:06:11:790,169097,0.4,168972,0.6,337558,0.4,450219,2.00 3,1,2024-09-07 10:06:11:622,1206241,1206241,0,0,566479116078,5893315987,1197661,7862,718,379,391716,0 3,2,2024-09-07 10:06:11:151,872186,872163,23,0,34548727,0,5851 3,3,2024-09-07 10:06:11:784,1,687,1,0,484,5204,687,0 4,0,2024-09-07 10:06:11:764,168899,0.4,173471,0.5,353953,0.4,462445,1.75 4,1,2024-09-07 10:06:10:618,1200894,1200894,0,0,563838700867,5963990345,1181008,15285,4601,369,391992,0 4,2,2024-09-07 10:06:11:035,863039,863039,0,0,41818864,0,4534 4,3,2024-09-07 10:06:11:026,1,687,7,0,448,9343,687,0 5,0,2024-09-07 10:06:11:423,174590,0.4,174347,0.6,349092,0.4,465199,1.75 5,1,2024-09-07 10:06:10:776,1202703,1202703,0,0,564195432044,5951753081,1185049,13418,4236,367,392005,0 5,2,2024-09-07 10:06:11:837,863501,863468,33,0,42033019,0,7631 5,3,2024-09-07 10:06:11:750,1,687,6,0,457,9057,687,0 6,0,2024-09-07 10:06:10:929,172515,0.5,172023,0.6,344355,0.4,458706,2.00 6,1,2024-09-07 10:06:10:748,1205537,1205537,0,0,566343876586,5912615306,1193373,10479,1685,379,391702,0 6,2,2024-09-07 10:06:11:121,872442,872424,18,0,39136111,0,5535 6,3,2024-09-07 10:06:11:275,1,687,1,0,710,7939,687,0 7,0,2024-09-07 10:06:11:535,166780,0.5,167853,0.7,333272,0.5,445009,2.00 7,1,2024-09-07 10:06:10:854,1203233,1203233,0,0,564772424833,5942228107,1185399,13697,4137,382,391747,0 7,2,2024-09-07 10:06:10:784,869621,869452,169,0,39908201,0,7706 7,3,2024-09-07 10:06:10:859,1,687,10,0,552,8012,687,0 8,0,2024-09-07 10:06:11:363,173365,0.4,173029,0.5,346451,0.4,462104,1.75 8,1,2024-09-07 10:06:11:020,1202058,1202058,0,0,564995559189,5941552463,1183200,14812,4046,366,392853,0 8,2,2024-09-07 10:06:10:820,861556,861554,2,0,44879600,0,5112 8,3,2024-09-07 10:06:10:593,1,687,3,0,772,10327,687,0 9,0,2024-09-07 10:06:11:120,174127,0.4,169119,0.5,354068,0.4,464924,1.75 9,1,2024-09-07 10:06:10:565,1201668,1201668,0,0,564780024751,5956121655,1182001,15317,4350,369,392001,0 9,2,2024-09-07 10:06:11:094,864967,864966,1,0,42828136,0,5281 9,3,2024-09-07 10:06:11:771,1,687,1,0,1273,11029,687,0 10,0,2024-09-07 10:06:11:628,173520,0.4,173041,0.5,346816,0.3,461554,1.75 10,1,2024-09-07 10:06:10:586,1203546,1203546,0,0,565098096036,5931214010,1185487,14325,3734,381,391981,0 10,2,2024-09-07 10:06:10:770,870303,870303,0,0,45987379,0,4713 10,3,2024-09-07 10:06:10:881,1,687,1,0,669,7340,687,0 11,0,2024-09-07 10:06:11:008,167451,0.4,162523,0.6,340117,0.4,448019,1.75 11,1,2024-09-07 10:06:10:574,1206073,1206073,0,0,565573919179,5930395828,1189188,12575,4310,383,391766,0 11,2,2024-09-07 10:06:11:123,869190,869190,0,0,39725276,0,4698 11,3,2024-09-07 10:06:11:302,1,687,1,0,843,8379,687,0 12,0,2024-09-07 10:06:10:960,173653,0.4,173351,0.6,346316,0.4,461176,1.75 12,1,2024-09-07 10:06:10:937,1205095,1205095,0,0,565509234766,5901866381,1195245,8823,1027,368,391960,0 12,2,2024-09-07 10:06:11:561,866926,866926,0,0,39717371,0,4390 12,3,2024-09-07 10:06:11:075,1,687,1,0,386,8518,687,0 13,0,2024-09-07 10:06:11:507,174502,0.4,174702,0.6,348830,0.4,464151,1.75 13,1,2024-09-07 10:06:11:539,1202455,1202455,0,0,563804863765,5936578673,1188301,11075,3079,382,391803,0 13,2,2024-09-07 10:06:10:607,867501,867501,0,0,35907798,0,3287 13,3,2024-09-07 10:06:11:765,1,687,1,0,522,9237,687,0 14,0,2024-09-07 10:06:10:572,174897,0.4,175982,0.6,350022,0.4,465506,1.75 14,1,2024-09-07 10:06:11:572,1210911,1210911,0,0,567995800185,5877297727,1201657,8104,1150,364,391673,0 14,2,2024-09-07 10:06:10:771,868412,868382,30,0,37069721,0,6104 14,3,2024-09-07 10:06:11:117,1,687,8,0,1168,7134,687,0 15,0,2024-09-07 10:06:11:555,169786,0.4,168871,0.6,338208,0.3,450716,1.75 15,1,2024-09-07 10:06:11:608,1207191,1207191,0,0,567033155677,5911256991,1196327,8768,2096,380,391619,0 15,2,2024-09-07 10:06:11:002,873132,873132,0,0,33164757,0,3622 15,3,2024-09-07 10:06:11:415,1,687,1,0,1126,8630,687,0 16,0,2024-09-07 10:06:10:959,169823,0.6,170748,0.8,340521,0.7,453762,2.00 16,1,2024-09-07 10:06:10:578,1206850,1206850,0,0,566459566241,5922628590,1196113,9193,1544,370,392194,0 16,2,2024-09-07 10:06:11:445,869820,869820,0,0,36192995,0,4719 16,3,2024-09-07 10:06:11:150,1,687,5,0,362,8532,687,0 17,0,2024-09-07 10:06:11:902,179294,0.7,174781,0.8,342350,0.7,465109,2.00 17,1,2024-09-07 10:06:10:599,1205084,1205084,0,0,565126728582,5924942240,1193963,9320,1801,368,392075,0 17,2,2024-09-07 10:06:11:666,868351,868350,1,0,37333263,0,5050 17,3,2024-09-07 10:06:10:595,1,687,14,0,518,9852,687,0 18,0,2024-09-07 10:06:10:957,173193,0.6,174298,0.8,346867,0.6,463463,2.25 18,1,2024-09-07 10:06:11:644,1209854,1209854,0,0,567066487101,5883897486,1201672,6752,1430,367,391725,0 18,2,2024-09-07 10:06:11:761,869219,869219,0,0,33815213,0,3541 18,3,2024-09-07 10:06:10:895,1,687,1,0,1059,6286,687,0 19,0,2024-09-07 10:06:11:545,171394,0.6,171846,0.8,342726,0.6,455526,2.00 19,1,2024-09-07 10:06:10:566,1208866,1208866,0,0,569110491194,5897102246,1200017,7689,1160,365,391777,0 19,2,2024-09-07 10:06:11:751,875352,875352,0,0,31685736,0,3988 19,3,2024-09-07 10:06:11:129,1,687,4,0,524,5501,687,0 20,0,2024-09-07 10:06:11:464,167955,0.5,167900,0.7,335428,0.5,447868,2.00 20,1,2024-09-07 10:06:10:587,1204322,1204322,0,0,565657267650,5928565239,1192060,10557,1705,369,391922,0 20,2,2024-09-07 10:06:10:934,870484,870484,0,0,39863091,0,4321 20,3,2024-09-07 10:06:10:635,1,687,2,0,468,11548,687,0 21,0,2024-09-07 10:06:11:130,173863,0.5,173857,0.7,347592,0.5,462167,2.00 21,1,2024-09-07 10:06:11:543,1202109,1202109,0,0,563978061567,5951837965,1183354,14766,3989,368,392016,0 21,2,2024-09-07 10:06:11:067,860067,859590,477,0,50881047,0,17074 21,3,2024-09-07 10:06:11:413,1,687,11,0,713,9072,687,0 22,0,2024-09-07 10:06:11:729,174342,0.5,174963,0.7,349558,0.4,464196,2.00 22,1,2024-09-07 10:06:11:023,1203577,1203577,0,0,565289034702,5945511237,1184538,15436,3603,381,391822,0 22,2,2024-09-07 10:06:10:766,865762,865736,26,0,37782666,0,6328 22,3,2024-09-07 10:06:11:072,1,687,1,0,228,5623,687,0 23,0,2024-09-07 10:06:11:369,172562,0.5,172416,0.7,344763,0.4,459369,2.00 23,1,2024-09-07 10:06:11:003,1205029,1205029,0,0,565438350912,5952152839,1183785,14421,6823,365,391690,0 23,2,2024-09-07 10:06:11:097,872352,872352,0,0,36035232,0,3773 23,3,2024-09-07 10:06:11:760,1,687,24,0,855,9727,687,0 24,0,2024-09-07 10:06:10:853,168470,0.4,167375,0.5,336500,0.3,447123,1.75 24,1,2024-09-07 10:06:10:609,1203513,1203513,0,0,565043111495,5914607858,1191919,9719,1875,367,392269,0 24,2,2024-09-07 10:06:11:073,869901,869898,3,0,42544741,0,6294 24,3,2024-09-07 10:06:11:687,1,687,39,0,468,9014,687,0 25,0,2024-09-07 10:06:11:443,177669,0.4,172566,0.6,339835,0.4,462942,2.00 25,1,2024-09-07 10:06:10:571,1202413,1202413,0,0,564323372803,5961440712,1181673,16745,3995,369,391928,0 25,2,2024-09-07 10:06:11:636,865427,865427,0,0,44156677,0,3978 25,3,2024-09-07 10:06:11:002,1,687,2,0,532,7539,687,0 26,0,2024-09-07 10:06:11:721,172691,0.5,168719,0.6,354003,0.4,461738,2.00 26,1,2024-09-07 10:06:11:547,1205594,1205594,0,0,565584846338,5919938728,1189890,12801,2903,380,391758,0 26,2,2024-09-07 10:06:10:864,865695,865695,0,0,45396658,0,4689 26,3,2024-09-07 10:06:11:713,1,687,0,0,796,8562,687,0 27,0,2024-09-07 10:06:11:726,174426,0.4,175088,0.6,348149,0.4,464298,2.25 27,1,2024-09-07 10:06:11:683,1207350,1207350,0,0,567682433302,5915029867,1196067,9661,1622,381,391626,0 27,2,2024-09-07 10:06:10:872,865463,865398,65,0,40272050,0,5699 27,3,2024-09-07 10:06:11:021,1,687,1,0,564,5903,687,0 28,0,2024-09-07 10:06:11:398,168473,0.4,168718,0.6,337356,0.3,448808,1.75 28,1,2024-09-07 10:06:10:806,1207612,1207612,0,0,567429271533,5922901337,1196145,9039,2428,382,391904,0 28,2,2024-09-07 10:06:11:763,870493,870493,0,0,37698945,0,4060 28,3,2024-09-07 10:06:11:776,1,687,1,0,502,7208,687,0 29,0,2024-09-07 10:06:11:369,176166,0.4,171437,0.6,336723,0.4,459614,1.75 29,1,2024-09-07 10:06:11:580,1210477,1210477,0,0,568704622327,5886667577,1202556,6900,1021,367,391809,0 29,2,2024-09-07 10:06:10:864,869398,869398,0,0,35087403,0,4986 29,3,2024-09-07 10:06:10:966,1,687,1,0,590,7817,687,0 30,0,2024-09-07 10:06:11:458,172363,0.6,167745,0.7,351589,0.5,458948,2.00 30,1,2024-09-07 10:06:10:573,1209539,1209539,0,0,568016496149,5899875781,1199728,8643,1168,380,391672,0 30,2,2024-09-07 10:06:11:276,866454,866454,0,0,33959462,0,4192 30,3,2024-09-07 10:06:10:585,1,687,1,0,519,7192,687,0 31,0,2024-09-07 10:06:11:759,174604,0.5,175465,0.7,349959,0.4,466683,2.00 31,1,2024-09-07 10:06:10:567,1213518,1213518,0,0,569355362421,5850178340,1205588,6662,1268,356,391712,0 31,2,2024-09-07 10:06:11:288,867315,867315,0,0,37414647,0,4470 31,3,2024-09-07 10:06:11:721,1,687,2,0,248,6108,687,0 32,0,2024-09-07 10:06:11:447,171570,0.3,172642,0.5,343959,0.2,457693,1.75 32,1,2024-09-07 10:06:10:823,1209025,1209025,0,0,567800556401,5902909296,1201537,6523,965,381,391646,0 32,2,2024-09-07 10:06:10:935,874508,874508,0,0,32748244,0,3922 32,3,2024-09-07 10:06:11:022,1,687,5,0,304,5835,687,0 33,0,2024-09-07 10:06:11:514,169882,0.3,169381,0.4,338827,0.2,451656,1.50 33,1,2024-09-07 10:06:10:596,1210415,1210415,0,0,568029954425,5883252088,1200794,8265,1356,368,391730,0 33,2,2024-09-07 10:06:10:760,871120,871085,35,0,36296650,0,7012 33,3,2024-09-07 10:06:10:895,1,687,4,0,329,6381,687,0 34,0,2024-09-07 10:06:10:942,174291,0.3,179130,0.5,342585,0.2,462435,1.75 34,1,2024-09-07 10:06:11:043,1213148,1213148,0,0,569219063259,5858292275,1209147,3792,209,367,391637,0 34,2,2024-09-07 10:06:10:771,866567,866567,0,0,33828269,0,4562 34,3,2024-09-07 10:06:11:688,1,687,9,0,541,6035,687,0 35,0,2024-09-07 10:06:10:859,173957,0.3,174537,0.5,350032,0.2,466117,1.75 35,1,2024-09-07 10:06:11:073,1208792,1208792,0,0,567320675103,5887357486,1199853,7387,1552,382,391769,0 35,2,2024-09-07 10:06:11:583,867291,867291,0,0,39415788,0,4055 35,3,2024-09-07 10:06:10:909,1,687,1,0,466,6560,687,0 36,0,2024-09-07 10:06:11:519,173091,0.4,173205,0.6,345998,0.4,460634,2.00 36,1,2024-09-07 10:06:10:592,1207396,1207396,0,0,566930676703,5918366482,1192621,12421,2354,366,391759,0 36,2,2024-09-07 10:06:11:750,872169,872169,0,0,39191042,0,3875 36,3,2024-09-07 10:06:10:870,1,687,0,0,556,8765,687,0 37,0,2024-09-07 10:06:11:372,166711,0.5,166652,0.7,333858,0.5,445558,2.25 37,1,2024-09-07 10:06:10:585,1206728,1206721,0,7,566280649449,5912676236,1192540,11067,3114,365,391770,0 37,2,2024-09-07 10:06:11:151,868841,868826,15,0,39355995,0,5815 37,3,2024-09-07 10:06:11:768,1,687,2,0,888,9175,687,0 38,0,2024-09-07 10:06:11:464,172283,0.4,167091,0.7,349286,0.4,457905,2.00 38,1,2024-09-07 10:06:11:609,1207090,1207090,0,0,567009328280,5926853293,1190262,13524,3304,368,391821,0 38,2,2024-09-07 10:06:10:775,867129,867082,47,0,39276278,0,6710 38,3,2024-09-07 10:06:10:997,1,687,1,0,689,7902,687,0 39,0,2024-09-07 10:06:11:761,177511,0.6,173502,0.7,338729,0.5,462440,2.00 39,1,2024-09-07 10:06:10:719,1205318,1205318,0,0,565199145250,5924790901,1185706,15379,4233,365,391865,0 39,2,2024-09-07 10:06:11:425,866507,866507,0,0,37483933,0,3478 39,3,2024-09-07 10:06:10:723,1,687,2,0,525,7628,687,0 40,0,2024-09-07 10:06:11:509,171951,0.8,172632,0.9,344696,0.9,459994,2.75 40,1,2024-09-07 10:06:10:593,1205563,1205563,0,0,564998379323,5922942298,1186760,15220,3583,366,391668,0 40,2,2024-09-07 10:06:11:302,867830,867823,7,0,43538163,0,5347 40,3,2024-09-07 10:06:11:150,1,687,5,0,1028,9820,687,0 41,0,2024-09-07 10:06:11:031,166730,1.2,170647,1.1,325414,1.6,442095,3.00 41,1,2024-09-07 10:06:10:774,1205201,1205201,0,0,566331444467,5923190220,1189492,13184,2525,369,391878,0 41,2,2024-09-07 10:06:10:765,866826,866825,1,0,42444235,0,5408 41,3,2024-09-07 10:06:11:682,1,687,8,0,749,8431,687,0 42,0,2024-09-07 10:06:11:496,171283,0.9,170992,1.0,342456,1.1,454503,2.75 42,1,2024-09-07 10:06:11:442,1203267,1203267,0,0,564236439892,5923674516,1185666,14517,3084,380,391675,0 42,2,2024-09-07 10:06:11:132,865883,865882,1,0,43704526,0,5513 42,3,2024-09-07 10:06:11:009,1,687,1,0,892,6964,687,0 43,0,2024-09-07 10:06:10:922,171309,0.8,166684,1.0,349279,0.9,456621,2.25 43,1,2024-09-07 10:06:10:577,1206461,1206461,0,0,567561404282,5928396361,1190355,13358,2748,365,391696,0 43,2,2024-09-07 10:06:11:740,866806,866806,0,0,40014898,0,4723 43,3,2024-09-07 10:06:11:750,1,687,2,0,571,9287,687,0 44,0,2024-09-07 10:06:10:859,175112,0.4,175308,0.6,349977,0.3,466497,1.75 44,1,2024-09-07 10:06:10:564,1209429,1209429,0,0,567476975364,5870335342,1198103,9175,2151,356,391809,0 44,2,2024-09-07 10:06:11:268,866987,866987,0,0,33698465,0,4344 44,3,2024-09-07 10:06:11:106,1,687,1,0,1097,8885,687,0 45,0,2024-09-07 10:06:11:756,168002,0.4,164129,0.7,344302,0.4,450820,2.00 45,1,2024-09-07 10:06:11:012,1208985,1208985,0,0,567845483960,5903988750,1199439,8325,1221,382,391917,0 45,2,2024-09-07 10:06:11:272,872517,872517,0,0,33878860,0,3596 45,3,2024-09-07 10:06:10:933,1,687,1,0,531,6457,687,0 46,0,2024-09-07 10:06:10:957,169342,0.5,168733,0.7,338495,0.5,450574,2.00 46,1,2024-09-07 10:06:10:576,1210910,1210910,0,0,568392278422,5882211702,1201637,7792,1481,366,391709,0 46,2,2024-09-07 10:06:10:627,871702,871702,0,0,34709735,0,4443 46,3,2024-09-07 10:06:11:131,1,687,1,0,908,7605,687,0 47,0,2024-09-07 10:06:11:103,174577,0.5,174110,0.6,349618,0.5,463279,2.00 47,1,2024-09-07 10:06:10:571,1212175,1212175,0,0,568213672577,5873959343,1204669,6493,1013,364,391666,0 47,2,2024-09-07 10:06:10:910,868307,868307,0,0,35039291,0,4477 47,3,2024-09-07 10:06:11:116,1,687,0,0,600,7465,687,0 48,0,2024-09-07 10:06:11:505,175255,0.3,175784,0.4,350244,0.2,466217,1.50 48,1,2024-09-07 10:06:11:024,1208834,1208834,0,0,566865697160,5890927619,1201166,6656,1012,381,391710,0 48,2,2024-09-07 10:06:10:699,867828,867828,0,0,32087179,0,3619 48,3,2024-09-07 10:06:10:777,1,687,4,0,339,5971,687,0 49,0,2024-09-07 10:06:11:731,176987,0.3,173316,0.5,337153,0.3,460222,1.75 49,1,2024-09-07 10:06:11:022,1208215,1208215,0,0,567594589053,5902260950,1200351,6166,1698,382,391809,0 49,2,2024-09-07 10:06:11:797,874202,874202,0,0,33547661,0,4426 49,3,2024-09-07 10:06:11:426,1,687,1,0,992,8084,687,0 50,0,2024-09-07 10:06:11:513,168462,0.3,167091,0.5,336463,0.2,447541,1.75 50,1,2024-09-07 10:06:11:013,1211141,1211141,0,0,568762846514,5880689401,1203586,6823,732,368,391691,0 50,2,2024-09-07 10:06:11:067,870035,870035,0,0,31491559,0,4490 50,3,2024-09-07 10:06:11:294,1,687,1,0,617,7205,687,0 51,0,2024-09-07 10:06:11:690,178545,0.3,174705,0.5,340250,0.2,464711,1.75 51,1,2024-09-07 10:06:11:683,1212128,1212128,0,0,569811643451,5876176969,1205429,5355,1344,365,391706,0 51,2,2024-09-07 10:06:11:315,866409,866409,0,0,31112330,0,3337 51,3,2024-09-07 10:06:11:032,1,687,1,0,678,5453,687,0 52,0,2024-09-07 10:06:11:438,174514,0.5,174477,0.7,348524,0.4,463757,2.00 52,1,2024-09-07 10:06:10:576,1206236,1206236,0,0,566136775919,5923667520,1189491,14318,2427,368,391805,0 52,2,2024-09-07 10:06:11:761,863428,863390,38,0,42229932,0,6742 52,3,2024-09-07 10:06:10:684,1,687,1,0,1782,7906,687,0 53,0,2024-09-07 10:06:11:732,172308,0.6,167196,0.8,349882,0.7,457849,2.25 53,1,2024-09-07 10:06:10:776,1205340,1205340,0,0,566687416602,5939792168,1186186,14741,4413,367,391968,0 53,2,2024-09-07 10:06:11:302,871926,871788,138,0,38829917,0,7690 53,3,2024-09-07 10:06:10:697,1,687,1,0,308,6457,687,0 54,0,2024-09-07 10:06:11:637,165612,0.6,166059,0.7,330616,0.5,441458,2.25 54,1,2024-09-07 10:06:10:586,1208131,1208131,0,0,567540376638,5899487110,1196354,10103,1674,366,391810,0 54,2,2024-09-07 10:06:10:873,870645,870613,32,0,41960554,0,6397 54,3,2024-09-07 10:06:10:769,1,687,44,0,676,8865,687,0 55,0,2024-09-07 10:06:11:768,166931,0.7,172039,0.8,349118,0.6,455253,2.50 55,1,2024-09-07 10:06:10:776,1208630,1208630,0,0,566508612368,5890304619,1196606,10537,1487,365,391731,0 55,2,2024-09-07 10:06:10:739,866944,866888,56,0,38413642,0,7239 55,3,2024-09-07 10:06:10:688,1,687,1,0,304,6262,687,0 56,0,2024-09-07 10:06:11:562,176536,1.2,166307,1.2,342709,1.6,458954,2.75 56,1,2024-09-07 10:06:10:586,1201185,1201185,0,0,564910120954,5971646882,1181694,15737,3754,381,391867,0 56,2,2024-09-07 10:06:11:312,864690,864568,122,0,41033898,0,7432 56,3,2024-09-07 10:06:11:059,1,687,1,0,705,8202,687,0 57,0,2024-09-07 10:06:10:945,172550,1.4,172568,1.2,344588,1.8,460418,3.00 57,1,2024-09-07 10:06:11:007,1204313,1204313,0,0,565252222962,5929345235,1188602,13007,2704,366,392097,0 57,2,2024-09-07 10:06:11:332,868284,868284,0,0,43986537,0,4804 57,3,2024-09-07 10:06:11:742,1,687,0,0,455,7401,687,0 58,0,2024-09-07 10:06:10:570,166014,0.9,161193,1.0,337393,1.1,441209,2.50 58,1,2024-09-07 10:06:10:593,1205072,1205069,0,3,566123012686,5935904829,1186707,13961,4401,367,391726,3 58,2,2024-09-07 10:06:11:071,871088,871088,0,0,39995829,0,3483 58,3,2024-09-07 10:06:11:081,1,687,8,0,1043,7452,687,0 59,0,2024-09-07 10:06:11:741,170170,0.9,169325,1.0,338685,0.9,450191,2.75 59,1,2024-09-07 10:06:10:815,1204767,1204767,0,0,565691781175,5941978161,1185280,15649,3838,369,391653,0 59,2,2024-09-07 10:06:10:587,869445,869445,0,0,38106012,0,3727 59,3,2024-09-07 10:06:11:742,1,687,3,0,1015,8117,687,0 60,0,2024-09-07 10:06:11:717,173219,0.6,173484,0.7,346808,0.5,462502,2.00 60,1,2024-09-07 10:06:10:771,1208497,1208497,0,0,567832781682,5914710968,1197568,9427,1502,370,392031,0 60,2,2024-09-07 10:06:11:144,866826,866826,0,0,36402044,0,3811 60,3,2024-09-07 10:06:11:267,1,687,1,0,409,7909,687,0 61,0,2024-09-07 10:06:11:550,174806,0.6,175553,0.8,349623,0.6,466225,2.00 61,1,2024-09-07 10:06:10:780,1205415,1205415,0,0,565845148137,5925937457,1192744,10820,1851,382,392127,0 61,2,2024-09-07 10:06:11:119,868523,868456,67,0,37364731,0,6411 61,3,2024-09-07 10:06:11:691,1,687,2,0,607,8545,687,0 62,0,2024-09-07 10:06:11:721,172239,0.5,176606,0.7,337095,0.5,457612,2.00 62,1,2024-09-07 10:06:11:111,1212978,1212972,0,6,569627128771,5881224829,1205720,6635,617,365,391975,6 62,2,2024-09-07 10:06:11:657,870060,870059,1,0,37133362,0,5555 62,3,2024-09-07 10:06:11:150,1,687,1,0,482,5410,687,0 63,0,2024-09-07 10:06:11:537,169667,0.4,169348,0.6,339420,0.3,451716,1.75 63,1,2024-09-07 10:06:10:823,1210092,1210086,0,6,567750599093,5898621421,1202175,6961,950,381,391800,6 63,2,2024-09-07 10:06:10:771,870272,870272,0,0,36282768,0,4369 63,3,2024-09-07 10:06:11:732,1,687,1,0,667,8067,687,0 64,0,2024-09-07 10:06:11:541,173298,0.5,173229,0.7,346050,0.5,460842,2.00 64,1,2024-09-07 10:06:10:757,1208162,1208162,0,0,567572225420,5909211593,1197951,8183,2028,370,391794,0 64,2,2024-09-07 10:06:11:143,868977,868958,19,0,34801356,0,6121 64,3,2024-09-07 10:06:11:142,1,687,2,0,651,7867,687,0 65,0,2024-09-07 10:06:11:715,173194,0.6,173465,0.7,346317,0.6,462378,2.00 65,1,2024-09-07 10:06:10:867,1206370,1206370,0,0,566092042817,5915616191,1198128,7344,898,381,391901,0 65,2,2024-09-07 10:06:11:697,865851,865851,0,0,41742873,0,3367 65,3,2024-09-07 10:06:11:688,1,687,23,0,782,8138,687,0 66,0,2024-09-07 10:06:11:765,172377,0.5,171673,0.7,343461,0.5,457171,2.00 66,1,2024-09-07 10:06:11:292,1208265,1208265,0,0,567505522925,5906340277,1200763,6629,873,380,391743,0 66,2,2024-09-07 10:06:11:131,874719,874716,3,0,36669129,0,5455 66,3,2024-09-07 10:06:11:328,1,687,1,0,291,6145,687,0 67,0,2024-09-07 10:06:11:444,167376,0.5,166952,0.7,334684,0.5,446088,2.00 67,1,2024-09-07 10:06:10:771,1208193,1208192,0,1,567041572547,5904241830,1199758,7294,1140,380,391787,1 67,2,2024-09-07 10:06:10:609,873128,873113,15,0,37097705,0,6205 67,3,2024-09-07 10:06:11:749,1,687,7,0,595,7318,687,0 68,0,2024-09-07 10:06:10:572,173200,0.6,172920,0.7,344416,0.6,460846,2.25 68,1,2024-09-07 10:06:10:571,1203838,1203838,0,0,564781410430,5942263828,1187110,12456,4272,381,391953,0 68,2,2024-09-07 10:06:11:048,862508,862408,100,0,44849683,0,8578 68,3,2024-09-07 10:06:10:741,1,687,1,0,417,9645,687,0 69,0,2024-09-07 10:06:11:750,173308,0.7,173837,0.8,346936,0.7,462260,2.25 69,1,2024-09-07 10:06:11:021,1203042,1203042,0,0,564356268388,5944433258,1188154,11906,2982,383,391994,0 69,2,2024-09-07 10:06:11:733,863529,863500,29,0,47855241,0,6912 69,3,2024-09-07 10:06:10:760,1,687,13,0,698,10359,687,0 70,0,2024-09-07 10:06:11:534,171695,0.7,172435,0.9,345749,0.6,458537,2.50 70,1,2024-09-07 10:06:10:820,1209688,1209688,0,0,568703561584,5902205517,1200240,8403,1045,366,391725,0 70,2,2024-09-07 10:06:11:333,870600,870600,0,0,39355408,0,4323 70,3,2024-09-07 10:06:10:757,1,687,1,0,854,7671,687,0 71,0,2024-09-07 10:06:11:374,166755,0.8,166243,1.0,333424,1.0,444717,2.50 71,1,2024-09-07 10:06:11:606,1207382,1207382,0,0,566510367288,5910720279,1192950,12646,1786,367,391738,0 71,2,2024-09-07 10:06:11:067,869024,869024,0,0,39757144,0,4352 71,3,2024-09-07 10:06:11:750,1,687,1,0,644,7578,687,0 72,0,2024-09-07 10:06:11:048,177919,0.6,173725,0.7,339484,0.5,461924,2.00 72,1,2024-09-07 10:06:11:025,1205779,1205779,0,0,565587623753,5930299738,1188924,14122,2733,369,391819,0 72,2,2024-09-07 10:06:11:761,866752,866752,0,0,41656393,0,3983 72,3,2024-09-07 10:06:11:757,1,687,0,0,564,9525,687,0 73,0,2024-09-07 10:06:11:103,169327,0.5,173574,0.6,354716,0.4,461709,2.00 73,1,2024-09-07 10:06:10:788,1207333,1207333,0,0,566760406406,5892109306,1197807,8464,1062,367,391858,0 73,2,2024-09-07 10:06:11:744,865464,865463,1,0,43607903,0,5027 73,3,2024-09-07 10:06:10:983,1,687,8,0,1091,9282,687,0 74,0,2024-09-07 10:06:11:321,175961,0.5,180253,0.7,343869,0.4,466854,2.00 74,1,2024-09-07 10:06:10:642,1206202,1206202,0,0,566769416684,5913597024,1193843,10211,2148,381,391762,0 74,2,2024-09-07 10:06:11:002,867487,867487,0,0,37888649,0,4253 74,3,2024-09-07 10:06:11:450,1,687,8,0,522,7896,687,0 75,0,2024-09-07 10:06:11:773,169889,0.5,168914,0.7,339059,0.4,452111,2.00 75,1,2024-09-07 10:06:11:598,1207425,1207425,0,0,566338372551,5901425032,1197544,8888,993,380,391739,0 75,2,2024-09-07 10:06:11:363,870136,870136,0,0,45081580,0,4766 75,3,2024-09-07 10:06:11:089,1,687,0,0,918,9491,687,0 76,0,2024-09-07 10:06:10:579,169593,0.6,168999,0.8,337799,0.6,452132,2.25 76,1,2024-09-07 10:06:10:809,1207033,1207033,0,0,565765623060,5904247513,1198139,7584,1310,382,391790,0 76,2,2024-09-07 10:06:11:075,872649,872646,3,0,38081691,0,5265 76,3,2024-09-07 10:06:11:160,1,687,59,0,249,6355,687,0 77,0,2024-09-07 10:06:11:717,173435,0.6,173769,0.7,347839,0.6,462201,2.00 77,1,2024-09-07 10:06:10:834,1206180,1206180,0,0,565637456512,5908762493,1195909,9183,1088,381,391869,0 77,2,2024-09-07 10:06:11:288,865145,865145,0,0,36672445,0,3890 77,3,2024-09-07 10:06:11:095,1,687,1,0,401,7826,687,0 78,0,2024-09-07 10:06:11:729,175530,0.4,174722,0.6,350912,0.4,464407,2.00 78,1,2024-09-07 10:06:10:619,1206099,1206099,0,0,565429815098,5903418373,1190566,12201,3332,367,391670,0 78,2,2024-09-07 10:06:11:413,868288,868275,13,0,34389401,0,8313 78,3,2024-09-07 10:06:11:140,1,687,1,0,311,5956,687,0 79,0,2024-09-07 10:06:11:346,166325,0.4,170370,0.6,348929,0.3,454623,2.00 79,1,2024-09-07 10:06:10:586,1210489,1210489,0,0,568292618681,5887776906,1200676,8011,1802,367,391682,0 79,2,2024-09-07 10:06:11:068,873771,873771,0,0,33447477,0,4195 79,3,2024-09-07 10:06:10:749,1,687,1,0,418,7974,687,0 80,0,2024-09-07 10:06:11:074,168194,0.5,172607,0.7,329782,0.5,446559,2.00 80,1,2024-09-07 10:06:11:644,1206918,1206918,0,0,566671599603,5901446454,1198939,7398,581,368,392269,0 80,2,2024-09-07 10:06:11:091,873442,873442,0,0,33947260,0,4433 80,3,2024-09-07 10:06:10:575,1,687,1,0,681,8322,687,0 81,0,2024-09-07 10:06:11:545,173942,0.6,177942,0.7,339406,0.6,461319,2.00 81,1,2024-09-07 10:06:11:687,1206400,1206400,0,0,565971998638,5916844733,1196548,8858,994,382,392001,0 81,2,2024-09-07 10:06:11:130,865680,865617,63,0,37749230,0,5932 81,3,2024-09-07 10:06:11:120,1,687,1,0,719,7618,687,0 82,0,2024-09-07 10:06:11:538,174525,0.5,174549,0.7,349654,0.5,464328,2.00 82,1,2024-09-07 10:06:10:584,1208262,1208258,0,4,567102562998,5900995833,1201323,5811,1124,381,391768,4 82,2,2024-09-07 10:06:11:690,869710,869710,0,0,31385553,0,4484 82,3,2024-09-07 10:06:11:772,1,687,11,0,363,6231,687,0 83,0,2024-09-07 10:06:11:530,172933,0.5,172804,0.7,345363,0.5,458500,2.25 83,1,2024-09-07 10:06:10:563,1207043,1207043,0,0,566497937491,5906803710,1198055,7962,1026,382,391709,0 83,2,2024-09-07 10:06:10:775,872259,872234,25,0,34247934,0,5612 83,3,2024-09-07 10:06:10:766,1,687,0,0,1260,8147,687,0 84,0,2024-09-07 10:06:11:784,165924,0.7,166032,0.9,331934,0.7,443330,2.25 84,1,2024-09-07 10:06:11:045,1205244,1205244,0,0,565700270610,5917282922,1191557,11604,2083,367,391967,0 84,2,2024-09-07 10:06:10:575,867955,867545,410,0,49290093,0,17037 84,3,2024-09-07 10:06:11:150,1,687,1,0,908,9610,687,0 85,0,2024-09-07 10:06:11:015,166110,0.6,166108,0.8,352709,0.6,455581,2.25 85,1,2024-09-07 10:06:10:567,1201363,1201363,0,0,564002501642,5951157935,1183580,14853,2930,381,392092,0 85,2,2024-09-07 10:06:10:881,867161,867161,0,0,41712091,0,4255 85,3,2024-09-07 10:06:10:686,1,687,2,0,789,7756,687,0 86,0,2024-09-07 10:06:10:882,172580,0.7,177514,0.8,339927,0.8,459702,2.25 86,1,2024-09-07 10:06:10:835,1204042,1204042,0,0,565816174582,5941680100,1186460,14267,3315,366,392169,0 86,2,2024-09-07 10:06:10:854,865450,865449,1,0,43773050,0,5004 86,3,2024-09-07 10:06:10:608,1,687,7,0,441,9542,687,0 87,0,2024-09-07 10:06:11:307,174875,0.8,173633,0.8,347805,0.9,464365,2.25 87,1,2024-09-07 10:06:10:554,1204531,1204531,0,0,565094893999,5919078245,1189615,12754,2162,366,392076,0 87,2,2024-09-07 10:06:11:067,867665,867659,6,0,40983875,0,6323 87,3,2024-09-07 10:06:11:802,1,687,36,0,473,9513,687,0 88,0,2024-09-07 10:06:11:448,168319,0.4,168773,0.6,337540,0.4,448910,1.75 88,1,2024-09-07 10:06:10:585,1203151,1203151,0,0,565047344903,5920983767,1186349,13663,3139,365,392084,0 88,2,2024-09-07 10:06:10:709,870291,870291,0,0,43221551,0,4465 88,3,2024-09-07 10:06:11:273,1,687,2,0,1080,11083,687,0 89,0,2024-09-07 10:06:11:782,176213,0.4,171073,0.6,337121,0.4,458793,1.75 89,1,2024-09-07 10:06:10:554,1203077,1203077,0,0,565258687106,5943628859,1188405,12394,2278,382,391866,0 89,2,2024-09-07 10:06:11:138,869484,869484,0,0,40675811,0,3173 89,3,2024-09-07 10:06:11:792,1,687,7,0,729,12277,687,0 90,0,2024-09-07 10:06:11:661,168263,0.5,172734,0.7,352856,0.5,460315,2.00 90,1,2024-09-07 10:06:10:606,1204362,1204362,0,0,566235930041,5933157115,1191914,11178,1270,380,391825,0 90,2,2024-09-07 10:06:11:425,861661,861656,5,0,43810471,0,6370 90,3,2024-09-07 10:06:10:931,1,687,1,0,364,9030,687,0 91,0,2024-09-07 10:06:10:932,175600,0.5,170344,0.6,356201,0.4,467181,1.75 91,1,2024-09-07 10:06:10:573,1201530,1201530,0,0,565048742280,5959809535,1182821,14561,4148,381,392047,0 91,2,2024-09-07 10:06:11:339,866688,866688,0,0,39123437,0,4713 91,3,2024-09-07 10:06:10:607,1,687,2,0,231,6448,687,0 92,0,2024-09-07 10:06:11:475,173123,0.4,177129,0.6,337617,0.4,457988,1.75 92,1,2024-09-07 10:06:10:586,1205888,1205888,0,0,566710397634,5921071523,1196405,8172,1311,381,392136,0 92,2,2024-09-07 10:06:11:376,871979,871979,0,0,35864435,0,3906 92,3,2024-09-07 10:06:11:031,1,687,5,0,322,6207,687,0 93,0,2024-09-07 10:06:11:006,170240,0.4,174421,0.6,332852,0.4,451541,1.75 93,1,2024-09-07 10:06:10:816,1206463,1206463,0,0,566199866976,5918134146,1192073,11956,2434,365,392048,0 93,2,2024-09-07 10:06:10:931,870257,870257,0,0,41264016,0,4913 93,3,2024-09-07 10:06:11:426,1,687,4,0,788,8591,687,0 94,0,2024-09-07 10:06:11:728,173263,0.4,174371,0.6,349225,0.4,463157,1.75 94,1,2024-09-07 10:06:10:573,1207570,1207570,0,0,567161704638,5916170188,1199295,7625,650,381,391850,0 94,2,2024-09-07 10:06:10:780,865651,865623,28,0,35875548,0,6179 94,3,2024-09-07 10:06:11:698,1,687,4,0,576,8335,687,0 95,0,2024-09-07 10:06:11:457,174526,0.4,174317,0.6,349048,0.3,465348,1.75 95,1,2024-09-07 10:06:10:925,1208213,1208213,0,0,566605981015,5889413285,1198849,8553,811,365,391852,0 95,2,2024-09-07 10:06:11:021,865672,865672,0,0,36028399,0,3308 95,3,2024-09-07 10:06:11:714,1,687,2,0,718,10474,687,0 96,0,2024-09-07 10:06:11:030,172603,0.3,172954,0.5,345959,0.3,459772,1.75 96,1,2024-09-07 10:06:11:593,1205824,1205824,0,0,566170800240,5908585984,1196909,7537,1378,384,391964,0 96,2,2024-09-07 10:06:11:268,873847,873847,0,0,36443685,0,4225 96,3,2024-09-07 10:06:11:141,1,687,8,0,411,7713,687,0 97,0,2024-09-07 10:06:11:323,167355,0.3,167199,0.5,335098,0.3,446016,1.50 97,1,2024-09-07 10:06:10:770,1208849,1208849,0,0,568145617255,5896090419,1200963,6647,1239,367,392140,0 97,2,2024-09-07 10:06:10:608,871891,871891,0,0,36502219,0,4600 97,3,2024-09-07 10:06:10:576,1,687,19,0,433,8422,687,0 98,0,2024-09-07 10:06:11:709,172647,0.3,172671,0.5,346894,0.3,461213,1.50 98,1,2024-09-07 10:06:10:575,1207683,1207683,0,0,566419864710,5900547480,1200244,6540,899,381,391997,0 98,2,2024-09-07 10:06:10:772,866553,866553,0,0,34838044,0,4336 98,3,2024-09-07 10:06:10:715,1,687,1,0,840,9630,687,0 99,0,2024-09-07 10:06:11:461,174155,0.3,175066,0.5,348731,0.3,464954,1.75 99,1,2024-09-07 10:06:11:744,1208747,1208747,0,0,566624834403,5898523080,1200966,6674,1107,380,392069,0 99,2,2024-09-07 10:06:11:425,865908,865908,0,0,43915318,0,4276 99,3,2024-09-07 10:06:10:586,1,687,8,0,1124,8665,687,0 100,0,2024-09-07 10:06:11:465,173079,0.8,173594,0.9,347016,0.8,462544,2.50 100,1,2024-09-07 10:06:10:568,1201474,1201474,0,0,563944869537,5965036948,1182432,15247,3795,378,391989,0 100,2,2024-09-07 10:06:11:817,866452,866063,389,0,45330251,0,16909 100,3,2024-09-07 10:06:11:732,1,687,0,0,627,10750,687,0 101,0,2024-09-07 10:06:11:728,171332,0.9,167189,1.0,327032,0.9,446589,2.25 101,1,2024-09-07 10:06:10:554,1203517,1203517,0,0,565013212119,5938656071,1186944,13621,2952,368,392018,0 101,2,2024-09-07 10:06:11:765,864842,864803,39,0,47232755,0,5913 101,3,2024-09-07 10:06:10:959,1,687,14,0,1250,10612,687,0 102,0,2024-09-07 10:06:10:943,167622,0.6,172646,0.8,350745,0.6,458758,2.25 102,1,2024-09-07 10:06:11:151,1203599,1203599,0,0,564831956177,5931829177,1187788,13555,2256,369,391984,0 102,2,2024-09-07 10:06:11:744,869625,869571,54,0,39094337,0,6768 102,3,2024-09-07 10:06:11:617,1,687,6,0,466,7981,687,0 103,0,2024-09-07 10:06:11:607,179111,0.7,179066,0.8,337419,0.8,464062,2.25 103,1,2024-09-07 10:06:11:697,1202189,1202189,0,0,564746992884,5961949546,1183279,15284,3626,381,392077,0 103,2,2024-09-07 10:06:10:585,864144,864144,0,0,42455651,0,3766 103,3,2024-09-07 10:06:10:765,1,687,117,0,916,8202,687,0 104,0,2024-09-07 10:06:11:024,173654,0.7,174076,0.9,347293,0.7,464035,2.25 104,1,2024-09-07 10:06:11:617,1204355,1204355,0,0,564677009162,5936430881,1185926,14971,3458,365,392168,0 104,2,2024-09-07 10:06:11:671,865539,865539,0,0,41605904,0,4161 104,3,2024-09-07 10:06:11:423,1,687,4,0,1245,11732,687,0 105,0,2024-09-07 10:06:11:044,167951,0.8,163638,1.0,343073,0.9,450061,2.50 105,1,2024-09-07 10:06:10:566,1206454,1206454,0,0,565243998173,5920624990,1191684,12655,2115,364,392009,0 105,2,2024-09-07 10:06:11:338,870068,870068,0,0,42936064,0,4360 105,3,2024-09-07 10:06:11:315,1,687,1,0,573,10229,687,0 106,0,2024-09-07 10:06:10:950,164253,1.0,168370,1.0,344405,1.2,450454,2.50 106,1,2024-09-07 10:06:11:752,1204491,1204491,0,0,565044251726,5928336356,1188066,14275,2150,368,391914,0 106,2,2024-09-07 10:06:10:780,869904,869904,0,0,39612042,0,3331 106,3,2024-09-07 10:06:10:677,1,687,16,0,1224,9578,687,0 107,0,2024-09-07 10:06:11:113,173542,1.3,173517,1.1,347167,1.9,463177,2.25 107,1,2024-09-07 10:06:10:594,1202024,1202024,0,0,563516254198,5956074962,1182933,16835,2256,381,392234,0 107,2,2024-09-07 10:06:11:324,861707,861706,1,0,43103227,0,5024 107,3,2024-09-07 10:06:11:771,1,687,1,0,733,10870,687,0 108,0,2024-09-07 10:06:11:792,174621,0.4,175447,0.6,349865,0.4,466166,1.75 108,1,2024-09-07 10:06:11:293,1205621,1205621,0,0,566014159475,5911890698,1194156,10204,1261,367,391894,0 108,2,2024-09-07 10:06:11:761,867575,867575,0,0,38684940,0,4432 108,3,2024-09-07 10:06:11:345,1,687,9,0,767,12451,687,0 109,0,2024-09-07 10:06:11:767,172851,0.4,171458,0.6,344119,0.3,459172,1.75 109,1,2024-09-07 10:06:10:604,1203786,1203786,0,0,565355419485,5932845543,1193136,9145,1505,382,392132,0 109,2,2024-09-07 10:06:10:934,871085,871085,0,0,38743550,0,3617 109,3,2024-09-07 10:06:11:140,1,687,2,0,630,7930,687,0 110,0,2024-09-07 10:06:11:748,167857,0.4,163182,0.6,341743,0.3,448512,1.75 110,1,2024-09-07 10:06:11:658,1208193,1208193,0,0,567896274397,5898243221,1198730,7378,2085,368,392045,0 110,2,2024-09-07 10:06:11:333,870870,870870,0,0,37865155,0,4067 110,3,2024-09-07 10:06:10:696,1,687,1,0,722,9333,687,0 111,0,2024-09-07 10:06:11:442,174587,0.4,173837,0.6,347205,0.4,464222,1.75 111,1,2024-09-07 10:06:11:002,1209418,1209418,0,0,567892720641,5897819181,1202169,6743,506,380,391690,0 111,2,2024-09-07 10:06:11:121,865742,865742,0,0,37218554,0,4823 111,3,2024-09-07 10:06:10:915,1,687,1,0,379,7811,687,0 112,0,2024-09-07 10:06:10:920,175185,0.3,174785,0.4,350383,0.2,465647,1.50 112,1,2024-09-07 10:06:10:842,1208265,1208265,0,0,566917693247,5885233058,1200641,6398,1226,380,391624,0 112,2,2024-09-07 10:06:11:135,867444,867443,1,0,35022052,0,5036 112,3,2024-09-07 10:06:10:606,1,687,1,0,282,6825,687,0 113,0,2024-09-07 10:06:10:873,172864,0.3,173214,0.5,346698,0.2,460919,1.75 113,1,2024-09-07 10:06:11:687,1212013,1212013,0,0,568976056143,5870274930,1205103,5959,951,365,391664,0 113,2,2024-09-07 10:06:11:330,874625,874625,0,0,32476984,0,3813 113,3,2024-09-07 10:06:10:690,1,687,1,0,510,6994,687,0 114,0,2024-09-07 10:06:10:897,167453,0.3,168615,0.5,336324,0.2,448855,1.75 114,1,2024-09-07 10:06:10:720,1207920,1207920,0,0,567580122505,5893687560,1199093,7023,1804,381,391565,0 114,2,2024-09-07 10:06:10:882,872321,872320,1,0,34942684,0,5069 114,3,2024-09-07 10:06:11:285,1,687,4,0,415,5683,687,0 115,0,2024-09-07 10:06:10:564,173649,0.3,174215,0.4,347097,0.2,462118,1.50 115,1,2024-09-07 10:06:10:575,1208999,1208999,0,0,568182275710,5902652236,1199445,7763,1791,382,391757,0 115,2,2024-09-07 10:06:11:131,869443,869443,0,0,34825973,0,4382 115,3,2024-09-07 10:06:11:011,1,687,0,0,173,4406,687,0 116,0,2024-09-07 10:06:11:704,172609,0.8,172698,0.9,345965,0.8,462303,2.25 116,1,2024-09-07 10:06:10:806,1202420,1202420,0,0,565313752024,5955224643,1188357,10848,3215,380,392089,0 116,2,2024-09-07 10:06:11:761,866356,866356,0,0,41904681,0,4475 116,3,2024-09-07 10:06:10:917,1,687,1,0,448,8835,687,0 117,0,2024-09-07 10:06:10:958,174589,0.6,174332,0.8,348963,0.6,465705,2.00 117,1,2024-09-07 10:06:11:602,1204951,1204951,0,0,565589818785,5924575188,1191136,12052,1763,369,392429,0 117,2,2024-09-07 10:06:11:140,870300,870300,0,0,38532714,0,4303 117,3,2024-09-07 10:06:11:074,1,687,4,0,490,8430,687,0 118,0,2024-09-07 10:06:11:869,163317,0.5,167699,0.7,342163,0.5,447244,2.00 118,1,2024-09-07 10:06:10:592,1203217,1203217,0,0,564589645479,5933718968,1185719,13653,3845,366,392054,0 118,2,2024-09-07 10:06:11:605,870216,870216,0,0,41039958,0,3161 118,3,2024-09-07 10:06:11:832,1,687,2,0,343,8197,687,0 119,0,2024-09-07 10:06:11:366,171168,0.7,171394,0.8,342413,0.7,457183,2.25 119,1,2024-09-07 10:06:10:558,1204256,1204256,0,0,565517738403,5930358470,1188241,13348,2667,367,391857,0 119,2,2024-09-07 10:06:11:278,870222,870222,0,0,38060756,0,4309 119,3,2024-09-07 10:06:11:353,1,687,1,0,1358,12169,687,0 120,0,2024-09-07 10:06:11:548,172535,0.7,172500,0.9,346160,0.8,461587,2.50 120,1,2024-09-07 10:06:10:868,1205123,1205123,0,0,564724617342,5921896840,1192457,11508,1158,367,392144,0 120,2,2024-09-07 10:06:10:772,864227,864224,3,0,45702288,0,5363 120,3,2024-09-07 10:06:11:297,1,687,1,0,478,8847,687,0 121,0,2024-09-07 10:06:11:726,174981,1.1,174508,1.0,349071,1.4,465010,2.25 121,1,2024-09-07 10:06:11:696,1204709,1204709,0,0,565436142374,5919991575,1191187,11526,1996,366,391840,0 121,2,2024-09-07 10:06:11:126,867328,867328,0,0,41521959,0,4157 121,3,2024-09-07 10:06:10:743,1,687,9,0,387,9219,687,0 122,0,2024-09-07 10:06:11:811,170958,0.7,166387,0.8,347970,0.8,457430,2.00 122,1,2024-09-07 10:06:10:883,1204028,1204028,0,0,565222097692,5929278618,1187758,13693,2577,365,392130,0 122,2,2024-09-07 10:06:11:345,871847,871772,75,0,45758439,0,5989 122,3,2024-09-07 10:06:10:613,1,687,1,0,512,10495,687,0 123,0,2024-09-07 10:06:11:007,168151,0.8,163907,0.9,343582,0.9,449574,2.25 123,1,2024-09-07 10:06:10:563,1204911,1204911,0,0,565328119170,5944752099,1185825,16060,3026,369,392039,0 123,2,2024-09-07 10:06:11:027,869394,869393,1,0,39258158,0,5215 123,3,2024-09-07 10:06:11:143,1,687,3,0,478,7755,687,0 124,0,2024-09-07 10:06:10:919,178904,0.4,178883,0.5,337061,0.3,464052,1.75 124,1,2024-09-07 10:06:11:022,1208132,1208132,0,0,566683359926,5894404079,1198598,8273,1261,365,392178,0 124,2,2024-09-07 10:06:11:010,867355,867302,53,0,36485492,0,6487 124,3,2024-09-07 10:06:10:767,1,687,3,0,490,7200,687,0 125,0,2024-09-07 10:06:11:448,174462,0.4,174364,0.6,349393,0.3,465852,1.75 125,1,2024-09-07 10:06:10:864,1205112,1205112,0,0,565716918705,5913458256,1194074,9456,1582,382,392045,0 125,2,2024-09-07 10:06:11:127,869544,869544,0,0,36049632,0,4534 125,3,2024-09-07 10:06:11:127,1,687,1,0,709,7674,687,0 126,0,2024-09-07 10:06:11:458,173025,0.4,177862,0.5,339884,0.3,460594,1.75 126,1,2024-09-07 10:06:10:595,1208819,1208819,0,0,567543922566,5882347303,1201545,6572,702,365,391987,0 126,2,2024-09-07 10:06:10:635,873790,873790,0,0,37811446,0,4539 126,3,2024-09-07 10:06:10:909,1,687,2,0,268,7837,687,0 127,0,2024-09-07 10:06:11:617,167662,0.3,168032,0.5,335050,0.3,446350,1.75 127,1,2024-09-07 10:06:10:578,1207628,1207628,0,0,566788801353,5899537749,1195174,10678,1776,364,392187,0 127,2,2024-09-07 10:06:10:667,871467,871463,4,0,35740152,0,5305 127,3,2024-09-07 10:06:11:278,1,687,2,0,968,6799,687,0 128,0,2024-09-07 10:06:11:551,173781,0.3,173772,0.4,347532,0.2,462038,1.50 128,1,2024-09-07 10:06:11:618,1206573,1206573,0,0,567096220397,5896985406,1197586,8015,972,367,392423,0 128,2,2024-09-07 10:06:11:389,868795,868795,0,0,33221558,0,3171 128,3,2024-09-07 10:06:10:774,1,687,0,0,1082,10334,687,0 129,0,2024-09-07 10:06:11:001,175398,0.3,174743,0.5,350287,0.3,465716,1.50 129,1,2024-09-07 10:06:10:578,1203801,1203801,0,0,564853633113,5926560861,1190858,10614,2329,379,391962,0 129,2,2024-09-07 10:06:10:693,868677,868673,4,0,35926640,0,5335 129,3,2024-09-07 10:06:10:692,1,687,8,0,506,9901,687,0 130,0,2024-09-07 10:06:11:727,174298,0.4,174133,0.5,348618,0.4,464053,1.75 130,1,2024-09-07 10:06:10:589,1208703,1208703,0,0,567140717360,5889813972,1202861,5435,407,381,391825,0 130,2,2024-09-07 10:06:11:130,870980,870980,0,0,35726194,0,4067 130,3,2024-09-07 10:06:11:326,1,687,2,0,960,8897,687,0 131,0,2024-09-07 10:06:12:023,167679,0.3,168165,0.5,337206,0.3,448250,1.50 131,1,2024-09-07 10:06:11:839,1207522,1207522,0,0,566990002801,5908852959,1199376,6935,1211,381,391865,0 131,2,2024-09-07 10:06:10:600,870951,870951,0,0,34028108,0,3979 131,3,2024-09-07 10:06:11:702,1,687,7,0,392,8658,687,0 132,0,2024-09-07 10:06:11:427,172856,0.5,173798,0.6,345835,0.4,460805,2.00 132,1,2024-09-07 10:06:10:608,1203377,1203377,0,0,565346333552,5947898686,1186878,13717,2782,381,392532,0 132,2,2024-09-07 10:06:10:697,868810,868793,17,0,42499867,0,6451 132,3,2024-09-07 10:06:11:697,1,687,2,0,1298,11797,687,0 133,0,2024-09-07 10:06:11:547,169322,0.5,173388,0.6,355467,0.4,462380,2.00 133,1,2024-09-07 10:06:10:600,1203240,1203240,0,0,564967956437,5948936438,1187806,13500,1934,383,391914,0 133,2,2024-09-07 10:06:11:093,866778,866728,50,0,43482405,0,6861 133,3,2024-09-07 10:06:11:316,1,687,4,0,528,8019,687,0 134,0,2024-09-07 10:06:10:961,175651,0.5,175572,0.7,350952,0.5,467316,2.00 134,1,2024-09-07 10:06:10:592,1204588,1204588,0,0,564987707202,5928207041,1188506,12790,3292,366,391781,0 134,2,2024-09-07 10:06:11:762,867303,867164,139,0,41072554,0,7591 134,3,2024-09-07 10:06:10:775,1,687,1,0,739,8456,687,0 135,0,2024-09-07 10:06:11:244,164527,0.7,164447,0.8,349120,0.7,449438,2.25 135,1,2024-09-07 10:06:11:607,1204144,1204144,0,0,565039994565,5938854203,1189743,12549,1852,380,392038,0 135,2,2024-09-07 10:06:10:692,872369,872369,0,0,41725652,0,4503 135,3,2024-09-07 10:06:11:011,1,687,12,0,900,6653,687,0 136,0,2024-09-07 10:06:11:617,170283,0.6,170835,0.8,339632,0.6,453547,2.25 136,1,2024-09-07 10:06:11:443,1205449,1205449,0,0,565321741239,5922909233,1192619,11404,1426,381,392135,0 136,2,2024-09-07 10:06:11:199,872185,872170,15,0,40799443,0,6007 136,3,2024-09-07 10:06:11:110,1,687,1,0,637,8116,687,0 137,0,2024-09-07 10:06:10:923,179275,0.7,174799,0.8,342247,0.7,464819,2.00 137,1,2024-09-07 10:06:10:586,1204238,1204238,0,0,565593242986,5930147872,1185809,14790,3639,366,391898,0 137,2,2024-09-07 10:06:11:711,864586,864586,0,0,41755455,0,3185 137,3,2024-09-07 10:06:10:783,1,687,10,0,484,9767,687,0 138,0,2024-09-07 10:06:11:748,173977,0.9,174319,0.9,349115,1.2,464434,2.25 138,1,2024-09-07 10:06:11:691,1204038,1204038,0,0,565910005881,5938893768,1186904,14443,2691,368,391954,0 138,2,2024-09-07 10:06:10:598,865692,865692,0,0,40249676,0,4988 138,3,2024-09-07 10:06:10:617,1,687,3,0,1200,10344,687,0 139,0,2024-09-07 10:06:11:469,170782,0.9,171379,0.9,342711,1.2,457520,2.25 139,1,2024-09-07 10:06:10:579,1200351,1200351,0,0,562311700907,5957613836,1180454,15984,3913,380,392109,0 139,2,2024-09-07 10:06:10:696,867004,866974,30,0,45866664,0,5997 139,3,2024-09-07 10:06:11:671,1,687,54,0,432,8181,687,0 140,0,2024-09-07 10:06:11:598,168416,0.3,167743,0.5,336476,0.2,448759,1.75 140,1,2024-09-07 10:06:11:541,1211627,1211627,0,0,568319231603,5866731712,1204825,5999,803,364,391628,0 140,2,2024-09-07 10:06:10:692,871390,871389,1,0,34526517,0,5036 140,3,2024-09-07 10:06:10:775,1,687,1,0,575,6969,687,0 141,0,2024-09-07 10:06:11:703,174527,0.3,179193,0.5,342353,0.3,463952,1.75 141,1,2024-09-07 10:06:10:865,1208859,1208859,0,0,567746730154,5903111604,1198515,8951,1393,379,391614,0 141,2,2024-09-07 10:06:11:690,866379,866368,11,0,37021752,0,5369 141,3,2024-09-07 10:06:11:045,1,687,0,0,391,8107,687,0 142,0,2024-09-07 10:06:11:347,175441,0.3,174653,0.5,349665,0.3,466536,1.75 142,1,2024-09-07 10:06:10:612,1206610,1206610,0,0,566778533681,5915752221,1197560,8156,894,382,392102,0 142,2,2024-09-07 10:06:11:315,866122,866090,32,0,36640833,0,6028 142,3,2024-09-07 10:06:11:748,1,687,1,0,484,7039,687,0 143,0,2024-09-07 10:06:11:383,172950,0.4,172870,0.5,346766,0.4,460878,1.75 143,1,2024-09-07 10:06:10:558,1209709,1209709,0,0,567693343299,5893817854,1201312,7426,971,367,391900,0 143,2,2024-09-07 10:06:10:772,873363,873363,0,0,36267462,0,3123 143,3,2024-09-07 10:06:11:140,1,687,1,0,462,8093,687,0 144,0,2024-09-07 10:06:11:507,162319,0.5,167067,0.7,340010,0.5,445168,2.00 144,1,2024-09-07 10:06:10:575,1203593,1203593,0,0,565425773028,5932874462,1191848,9701,2044,381,391733,0 144,2,2024-09-07 10:06:11:759,871749,871749,0,0,35809611,0,4443 144,3,2024-09-07 10:06:11:739,1,687,1,0,306,7369,687,0 145,0,2024-09-07 10:06:11:398,167420,0.6,167415,0.8,355352,0.5,457545,2.25 145,1,2024-09-07 10:06:10:608,1202684,1202684,0,0,564989969034,5946259118,1186485,13231,2968,382,391781,0 145,2,2024-09-07 10:06:11:443,866858,866776,82,0,41592527,0,7814 145,3,2024-09-07 10:06:10:903,1,687,0,0,622,9172,687,0 146,0,2024-09-07 10:06:11:606,173192,0.7,172364,0.8,346451,0.7,460385,2.25 146,1,2024-09-07 10:06:11:592,1204486,1204486,0,0,564572085610,5938510372,1185349,14212,4925,367,391829,0 146,2,2024-09-07 10:06:11:695,864607,864601,6,0,39701799,0,5151 146,3,2024-09-07 10:06:11:276,1,687,2,0,1520,10879,687,0 147,0,2024-09-07 10:06:11:713,174650,0.6,174348,0.7,348640,0.5,464763,2.00 147,1,2024-09-07 10:06:11:373,1208245,1208245,0,0,567373994254,5899596273,1198289,8858,1098,367,391791,0 147,2,2024-09-07 10:06:11:010,868388,868388,0,0,37609878,0,4531 147,3,2024-09-07 10:06:10:919,1,687,2,0,1626,10372,687,0 0,0,2024-09-07 10:06:21:756,168582,0.7,168568,0.8,357916,0.8,462347,2.00 0,1,2024-09-07 10:06:20:820,1207168,1207168,0,0,566499471799,5931226981,1197290,8905,973,368,391896,0 0,2,2024-09-07 10:06:21:067,867677,867677,0,0,35444765,0,4480 0,3,2024-09-07 10:06:20:974,1,688,5,0,538,9888,688,0 1,0,2024-09-07 10:06:21:760,174992,0.8,174043,0.9,349155,1.0,466963,2.00 1,1,2024-09-07 10:06:20:569,1206202,1206202,0,0,566303555294,5934123621,1194812,9420,1970,370,391859,0 1,2,2024-09-07 10:06:20:645,869912,869912,0,0,35012434,0,3380 1,3,2024-09-07 10:06:21:317,1,688,9,0,269,8229,688,0 2,0,2024-09-07 10:06:21:574,171656,0.6,171391,0.7,342552,0.6,456782,2.00 2,1,2024-09-07 10:06:20:859,1210454,1210454,0,0,568062816607,5907757606,1203524,5969,961,379,391805,0 2,2,2024-09-07 10:06:21:265,873788,873788,0,0,33879250,0,3594 2,3,2024-09-07 10:06:20:695,1,688,1,0,357,6112,688,0 3,0,2024-09-07 10:06:21:752,169563,0.4,169419,0.6,338399,0.4,451375,2.00 3,1,2024-09-07 10:06:21:622,1207996,1207996,0,0,567304356980,5902062384,1199405,7873,718,379,391716,0 3,2,2024-09-07 10:06:21:142,873603,873580,23,0,34594724,0,5851 3,3,2024-09-07 10:06:21:753,1,688,1,0,484,5205,688,0 4,0,2024-09-07 10:06:21:761,169038,0.4,173606,0.5,354202,0.4,462758,1.75 4,1,2024-09-07 10:06:20:594,1202708,1202708,0,0,564706973026,5972847151,1182822,15285,4601,369,391992,0 4,2,2024-09-07 10:06:21:027,864184,864184,0,0,41843138,0,4534 4,3,2024-09-07 10:06:21:027,1,688,2,0,448,9345,688,0 5,0,2024-09-07 10:06:21:412,174873,0.4,174644,0.6,349685,0.4,465966,1.75 5,1,2024-09-07 10:06:20:761,1204454,1204454,0,0,565010474975,5960192663,1186800,13418,4236,367,392005,0 5,2,2024-09-07 10:06:21:843,864748,864715,33,0,42096348,0,7631 5,3,2024-09-07 10:06:21:732,1,688,6,0,457,9063,688,0 6,0,2024-09-07 10:06:20:913,172738,0.5,172247,0.6,344807,0.4,459283,2.00 6,1,2024-09-07 10:06:20:751,1207326,1207326,0,0,567086045141,5920212365,1195162,10479,1685,379,391702,0 6,2,2024-09-07 10:06:21:114,874000,873982,18,0,39188747,0,5535 6,3,2024-09-07 10:06:21:274,1,688,1,0,710,7940,688,0 7,0,2024-09-07 10:06:21:573,167234,0.5,168332,0.7,334168,0.5,446211,2.00 7,1,2024-09-07 10:06:20:852,1204952,1204952,0,0,565558558103,5950344238,1187118,13697,4137,382,391747,0 7,2,2024-09-07 10:06:20:770,871111,870942,169,0,39934995,0,7706 7,3,2024-09-07 10:06:20:858,1,688,0,0,552,8012,688,0 8,0,2024-09-07 10:06:21:331,173648,0.4,173322,0.5,346974,0.4,462829,1.75 8,1,2024-09-07 10:06:21:033,1203785,1203785,0,0,565776135978,5949511176,1184927,14812,4046,366,392853,0 8,2,2024-09-07 10:06:20:790,862288,862286,2,0,44887696,0,5112 8,3,2024-09-07 10:06:20:590,1,688,6,0,772,10333,688,0 9,0,2024-09-07 10:06:21:134,174484,0.4,169431,0.5,354732,0.4,465829,1.75 9,1,2024-09-07 10:06:20:569,1203448,1203448,0,0,565729131745,5965773288,1183781,15317,4350,369,392001,0 9,2,2024-09-07 10:06:21:089,866504,866503,1,0,42849400,0,5281 9,3,2024-09-07 10:06:21:752,1,688,2,0,1273,11031,688,0 10,0,2024-09-07 10:06:21:613,173694,0.4,173226,0.5,347181,0.3,462047,1.75 10,1,2024-09-07 10:06:20:587,1205296,1205296,0,0,566131176479,5941705247,1187236,14326,3734,381,391981,0 10,2,2024-09-07 10:06:20:762,871924,871924,0,0,46014764,0,4713 10,3,2024-09-07 10:06:20:870,1,688,2,0,669,7342,688,0 11,0,2024-09-07 10:06:21:006,167773,0.4,162841,0.6,340765,0.4,448895,1.75 11,1,2024-09-07 10:06:20:578,1207806,1207806,0,0,566454247541,5939357473,1190921,12575,4310,383,391766,0 11,2,2024-09-07 10:06:21:126,870455,870455,0,0,39737583,0,4698 11,3,2024-09-07 10:06:21:299,1,688,17,0,843,8396,688,0 12,0,2024-09-07 10:06:20:990,174082,0.4,173804,0.6,347224,0.4,462324,1.75 12,1,2024-09-07 10:06:20:946,1206888,1206888,0,0,566198479053,5908943475,1197037,8824,1027,368,391960,0 12,2,2024-09-07 10:06:21:540,867911,867911,0,0,39728464,0,4390 12,3,2024-09-07 10:06:21:059,1,688,1,0,386,8519,688,0 13,0,2024-09-07 10:06:21:376,174628,0.4,174809,0.6,349091,0.4,464486,1.75 13,1,2024-09-07 10:06:21:531,1204183,1204183,0,0,564991096464,5948636694,1190028,11076,3079,382,391803,0 13,2,2024-09-07 10:06:20:600,869100,869100,0,0,35940975,0,3287 13,3,2024-09-07 10:06:21:762,1,688,1,0,522,9238,688,0 14,0,2024-09-07 10:06:20:572,175036,0.4,176112,0.6,350295,0.4,465850,1.75 14,1,2024-09-07 10:06:21:567,1212638,1212638,0,0,569006502049,5887519246,1203383,8105,1150,364,391673,0 14,2,2024-09-07 10:06:20:767,869809,869779,30,0,37082683,0,6104 14,3,2024-09-07 10:06:21:115,1,688,1,0,1168,7135,688,0 15,0,2024-09-07 10:06:21:567,169795,0.4,168880,0.6,338220,0.3,450728,1.75 15,1,2024-09-07 10:06:21:612,1208920,1208920,0,0,567761071841,5918686358,1198056,8768,2096,380,391619,0 15,2,2024-09-07 10:06:20:997,874369,874369,0,0,33178326,0,3622 15,3,2024-09-07 10:06:21:409,1,688,4,0,1126,8634,688,0 16,0,2024-09-07 10:06:20:942,170171,0.6,171092,0.8,341247,0.6,454684,2.00 16,1,2024-09-07 10:06:20:576,1208610,1208610,0,0,567099799719,5929220308,1197872,9194,1544,370,392194,0 16,2,2024-09-07 10:06:21:434,871131,871131,0,0,36244074,0,4719 16,3,2024-09-07 10:06:21:143,1,688,0,0,362,8532,688,0 17,0,2024-09-07 10:06:21:786,179386,0.7,174879,0.8,342534,0.7,465364,2.00 17,1,2024-09-07 10:06:20:568,1206822,1206822,0,0,565807571608,5931971316,1195701,9320,1801,368,392075,0 17,2,2024-09-07 10:06:21:677,869725,869724,1,0,37365704,0,5050 17,3,2024-09-07 10:06:20:586,1,688,7,0,518,9859,688,0 18,0,2024-09-07 10:06:20:940,173410,0.6,174512,0.8,347330,0.6,464049,2.25 18,1,2024-09-07 10:06:21:645,1211676,1211676,0,0,567828161009,5891653318,1203494,6752,1430,367,391725,0 18,2,2024-09-07 10:06:21:756,870611,870611,0,0,33846551,0,3541 18,3,2024-09-07 10:06:20:897,1,688,2,0,1059,6288,688,0 19,0,2024-09-07 10:06:21:550,171724,0.6,172196,0.8,343358,0.6,456488,2.00 19,1,2024-09-07 10:06:20:567,1210665,1210665,0,0,569873002434,5905005057,1201816,7689,1160,365,391777,0 19,2,2024-09-07 10:06:21:753,876651,876651,0,0,31711160,0,3988 19,3,2024-09-07 10:06:21:132,1,688,2,0,524,5503,688,0 20,0,2024-09-07 10:06:21:378,168187,0.5,168165,0.7,335998,0.5,448544,2.00 20,1,2024-09-07 10:06:20:568,1206152,1206152,0,0,566573057504,5938019894,1193888,10559,1705,369,391922,0 20,2,2024-09-07 10:06:20:934,871743,871743,0,0,39907623,0,4321 20,3,2024-09-07 10:06:20:597,1,688,1,0,468,11549,688,0 21,0,2024-09-07 10:06:21:157,174412,0.5,174387,0.7,348686,0.5,463608,2.00 21,1,2024-09-07 10:06:21:535,1203940,1203940,0,0,564848343478,5960863640,1185185,14766,3989,368,392016,0 21,2,2024-09-07 10:06:21:067,861045,860568,477,0,50909561,0,17074 21,3,2024-09-07 10:06:21:418,1,688,5,0,713,9077,688,0 22,0,2024-09-07 10:06:21:724,174663,0.5,175280,0.7,350253,0.4,465010,2.00 22,1,2024-09-07 10:06:21:023,1205247,1205247,0,0,566177606166,5954585409,1186208,15436,3603,381,391822,0 22,2,2024-09-07 10:06:20:759,867143,867117,26,0,37802601,0,6328 22,3,2024-09-07 10:06:21:066,1,688,1,0,228,5624,688,0 23,0,2024-09-07 10:06:21:377,172713,0.5,172572,0.7,345067,0.4,459765,2.00 23,1,2024-09-07 10:06:21:007,1206799,1206799,0,0,566406006774,5962011757,1185555,14421,6823,365,391690,0 23,2,2024-09-07 10:06:21:091,873779,873779,0,0,36096438,0,3773 23,3,2024-09-07 10:06:21:754,1,688,0,0,855,9727,688,0 24,0,2024-09-07 10:06:20:814,168691,0.4,167598,0.5,336967,0.3,447733,1.75 24,1,2024-09-07 10:06:20:590,1205275,1205275,0,0,565809333110,5922456204,1193680,9719,1876,367,392269,0 24,2,2024-09-07 10:06:21:069,871347,871344,3,0,42559540,0,6294 24,3,2024-09-07 10:06:21:686,1,688,0,0,468,9014,688,0 25,0,2024-09-07 10:06:21:379,178101,0.4,172954,0.6,340620,0.4,463957,2.00 25,1,2024-09-07 10:06:20:560,1204173,1204173,0,0,565247889504,5970870143,1183430,16748,3995,369,391928,0 25,2,2024-09-07 10:06:21:617,866130,866130,0,0,44165862,0,3978 25,3,2024-09-07 10:06:21:001,1,688,5,0,532,7544,688,0 26,0,2024-09-07 10:06:21:724,172841,0.5,168885,0.6,354352,0.4,462210,2.00 26,1,2024-09-07 10:06:21:548,1207367,1207367,0,0,566646157756,5930688727,1191663,12801,2903,380,391758,0 26,2,2024-09-07 10:06:20:860,867206,867206,0,0,45414472,0,4689 26,3,2024-09-07 10:06:21:715,1,688,4,0,796,8566,688,0 27,0,2024-09-07 10:06:21:725,174515,0.4,175187,0.6,348342,0.4,464559,2.25 27,1,2024-09-07 10:06:21:676,1209172,1209172,0,0,568428114427,5922631730,1197889,9661,1622,381,391626,0 27,2,2024-09-07 10:06:20:867,866994,866929,65,0,40285503,0,5699 27,3,2024-09-07 10:06:21:015,1,688,3,0,564,5906,688,0 28,0,2024-09-07 10:06:21:387,168589,0.4,168845,0.6,337589,0.3,449158,1.75 28,1,2024-09-07 10:06:20:797,1209445,1209445,0,0,568193516503,5930696731,1197978,9039,2428,382,391904,0 28,2,2024-09-07 10:06:21:764,871567,871567,0,0,37713238,0,4060 28,3,2024-09-07 10:06:21:784,1,688,3,0,502,7211,688,0 29,0,2024-09-07 10:06:21:354,176642,0.4,171951,0.6,337747,0.4,460936,1.75 29,1,2024-09-07 10:06:21:565,1212269,1212269,0,0,569501301699,5894749226,1204348,6900,1021,367,391809,0 29,2,2024-09-07 10:06:20:867,870555,870555,0,0,35101167,0,4986 29,3,2024-09-07 10:06:20:962,1,688,8,0,590,7825,688,0 30,0,2024-09-07 10:06:21:456,172474,0.6,167853,0.7,351809,0.5,459247,2.00 30,1,2024-09-07 10:06:20:578,1211345,1211345,0,0,568782167471,5907689362,1201534,8643,1168,380,391672,0 30,2,2024-09-07 10:06:21:275,867964,867964,0,0,33978741,0,4192 30,3,2024-09-07 10:06:20:589,1,688,3,0,519,7195,688,0 31,0,2024-09-07 10:06:21:758,174710,0.5,175559,0.7,350151,0.4,466934,2.00 31,1,2024-09-07 10:06:20:577,1215293,1215293,0,0,570107978886,5857757483,1207362,6662,1269,356,391712,0 31,2,2024-09-07 10:06:21:275,868716,868716,0,0,37431855,0,4470 31,3,2024-09-07 10:06:21:709,1,688,0,0,248,6108,688,0 32,0,2024-09-07 10:06:21:417,171776,0.3,172836,0.5,344359,0.2,458209,1.75 32,1,2024-09-07 10:06:20:808,1210789,1210789,0,0,568716502111,5912303490,1203301,6523,965,381,391646,0 32,2,2024-09-07 10:06:20:939,875542,875542,0,0,32787332,0,3922 32,3,2024-09-07 10:06:21:021,1,688,0,0,304,5835,688,0 33,0,2024-09-07 10:06:21:538,170331,0.3,169807,0.4,339653,0.2,452837,1.50 33,1,2024-09-07 10:06:20:575,1212180,1212180,0,0,568898114574,5892095015,1202559,8265,1356,368,391730,0 33,2,2024-09-07 10:06:20:780,872406,872371,35,0,36329715,0,7012 33,3,2024-09-07 10:06:20:895,1,688,1,0,329,6382,688,0 34,0,2024-09-07 10:06:20:944,174418,0.3,179249,0.5,342841,0.2,462732,1.75 34,1,2024-09-07 10:06:21:045,1214939,1214939,0,0,569894890334,5865214963,1210938,3792,209,367,391637,0 34,2,2024-09-07 10:06:20:776,867799,867799,0,0,33859425,0,4562 34,3,2024-09-07 10:06:21:698,1,688,1,0,541,6036,688,0 35,0,2024-09-07 10:06:20:869,174262,0.3,174873,0.5,350633,0.2,466917,1.75 35,1,2024-09-07 10:06:21:068,1210723,1210723,0,0,568114226929,5895675533,1201784,7387,1552,382,391769,0 35,2,2024-09-07 10:06:21:582,868457,868457,0,0,39468969,0,4055 35,3,2024-09-07 10:06:20:907,1,688,2,0,466,6562,688,0 36,0,2024-09-07 10:06:21:522,173294,0.4,173426,0.6,346432,0.4,461249,2.00 36,1,2024-09-07 10:06:20:583,1209222,1209222,0,0,567830653542,5927537083,1194447,12421,2354,366,391759,0 36,2,2024-09-07 10:06:21:753,873639,873639,0,0,39238810,0,3875 36,3,2024-09-07 10:06:20:865,1,688,1,0,556,8766,688,0 37,0,2024-09-07 10:06:21:393,167192,0.5,167121,0.7,334809,0.5,446770,2.25 37,1,2024-09-07 10:06:20:569,1208527,1208520,0,7,567197175717,5922108275,1194339,11067,3114,365,391770,0 37,2,2024-09-07 10:06:21:147,870333,870318,15,0,39391048,0,5815 37,3,2024-09-07 10:06:21:775,1,688,10,0,888,9185,688,0 38,0,2024-09-07 10:06:21:440,172546,0.4,167377,0.7,349844,0.4,458625,2.00 38,1,2024-09-07 10:06:21:605,1208934,1208934,0,0,567633026217,5933229858,1192102,13526,3306,368,391821,0 38,2,2024-09-07 10:06:20:760,867850,867803,47,0,39291147,0,6710 38,3,2024-09-07 10:06:20:997,1,688,1,0,689,7903,688,0 39,0,2024-09-07 10:06:21:764,177866,0.6,173845,0.7,339375,0.5,463371,2.00 39,1,2024-09-07 10:06:20:716,1207009,1207009,0,0,565723603985,5930142254,1187397,15379,4233,365,391865,0 39,2,2024-09-07 10:06:21:420,867983,867983,0,0,37531258,0,3478 39,3,2024-09-07 10:06:20:714,1,688,4,0,525,7632,688,0 40,0,2024-09-07 10:06:21:502,172110,0.8,172797,0.9,345032,0.9,460480,2.75 40,1,2024-09-07 10:06:20:576,1207335,1207335,0,0,565795707948,5931037861,1188532,15220,3583,366,391668,0 40,2,2024-09-07 10:06:21:302,869394,869387,7,0,43557875,0,5347 40,3,2024-09-07 10:06:21:142,1,688,1,0,1028,9821,688,0 41,0,2024-09-07 10:06:21:039,167019,1.2,171015,1.1,326089,1.6,442981,3.00 41,1,2024-09-07 10:06:20:767,1207024,1207024,0,0,567243716975,5932440375,1191314,13185,2525,369,391878,0 41,2,2024-09-07 10:06:20:764,868051,868050,1,0,42465135,0,5408 41,3,2024-09-07 10:06:21:684,1,688,0,0,749,8431,688,0 42,0,2024-09-07 10:06:21:489,171748,0.9,171410,1.0,343283,1.1,455684,2.75 42,1,2024-09-07 10:06:21:442,1205111,1205111,0,0,565015532896,5931614077,1187509,14518,3084,380,391675,0 42,2,2024-09-07 10:06:21:133,866849,866848,1,0,43713014,0,5513 42,3,2024-09-07 10:06:21:008,1,688,1,0,892,6965,688,0 43,0,2024-09-07 10:06:20:915,171431,0.8,166817,1.0,349515,0.9,456933,2.25 43,1,2024-09-07 10:06:20:577,1208281,1208281,0,0,568541459846,5938297953,1192175,13358,2748,365,391696,0 43,2,2024-09-07 10:06:21:747,868312,868312,0,0,40034793,0,4723 43,3,2024-09-07 10:06:21:749,1,688,1,0,571,9288,688,0 44,0,2024-09-07 10:06:20:871,175238,0.4,175417,0.6,350231,0.3,466816,1.75 44,1,2024-09-07 10:06:20:585,1211272,1211272,0,0,568504548554,5880696141,1199946,9175,2151,356,391809,0 44,2,2024-09-07 10:06:21:267,868250,868250,0,0,33714334,0,4344 44,3,2024-09-07 10:06:21:093,1,688,16,0,1097,8901,688,0 45,0,2024-09-07 10:06:21:789,168013,0.4,164132,0.7,344317,0.4,450833,2.00 45,1,2024-09-07 10:06:21:007,1210786,1210786,0,0,568689866183,5912627973,1201239,8326,1221,382,391917,0 45,2,2024-09-07 10:06:21:267,873738,873738,0,0,33890438,0,3596 45,3,2024-09-07 10:06:20:940,1,688,4,0,531,6461,688,0 46,0,2024-09-07 10:06:20:952,169651,0.5,169072,0.7,339201,0.5,451500,2.00 46,1,2024-09-07 10:06:20:577,1212735,1212735,0,0,569424683119,5892637853,1203462,7792,1481,366,391709,0 46,2,2024-09-07 10:06:20:599,872949,872949,0,0,34727659,0,4443 46,3,2024-09-07 10:06:21:134,1,688,0,0,908,7605,688,0 47,0,2024-09-07 10:06:21:104,174676,0.5,174211,0.6,349803,0.5,463532,2.00 47,1,2024-09-07 10:06:20:578,1213984,1213984,0,0,568955350517,5881479001,1206478,6493,1013,364,391666,0 47,2,2024-09-07 10:06:20:908,869592,869592,0,0,35050965,0,4477 47,3,2024-09-07 10:06:21:115,1,688,33,0,600,7498,688,0 48,0,2024-09-07 10:06:21:522,175477,0.3,176005,0.4,350674,0.2,466786,1.50 48,1,2024-09-07 10:06:21:022,1210625,1210625,0,0,567514602091,5897611686,1202957,6656,1012,381,391710,0 48,2,2024-09-07 10:06:20:704,869077,869077,0,0,32117634,0,3619 48,3,2024-09-07 10:06:20:753,1,688,1,0,339,5972,688,0 49,0,2024-09-07 10:06:21:734,177338,0.3,173654,0.5,337815,0.3,461104,1.75 49,1,2024-09-07 10:06:21:022,1209960,1209960,0,0,568440566731,5910966823,1202096,6166,1698,382,391809,0 49,2,2024-09-07 10:06:21:801,875505,875505,0,0,33576511,0,4426 49,3,2024-09-07 10:06:21:420,1,688,0,0,992,8084,688,0 50,0,2024-09-07 10:06:21:507,168694,0.3,167382,0.5,337006,0.2,448248,1.75 50,1,2024-09-07 10:06:21:010,1212943,1212943,0,0,569562213340,5888825508,1205388,6823,732,368,391691,0 50,2,2024-09-07 10:06:21:067,871423,871423,0,0,31540392,0,4490 50,3,2024-09-07 10:06:21:291,1,688,1,0,617,7206,688,0 51,0,2024-09-07 10:06:21:687,179123,0.3,175278,0.5,341304,0.2,466194,1.75 51,1,2024-09-07 10:06:21:758,1213897,1213897,0,0,570867391530,5886867094,1207198,5355,1344,365,391706,0 51,2,2024-09-07 10:06:21:316,867397,867397,0,0,31146152,0,3337 51,3,2024-09-07 10:06:21:027,1,688,1,0,678,5454,688,0 52,0,2024-09-07 10:06:21:419,174832,0.5,174798,0.7,349174,0.4,464599,2.00 52,1,2024-09-07 10:06:20:584,1208093,1208093,0,0,566950964337,5932195331,1191348,14318,2427,368,391805,0 52,2,2024-09-07 10:06:21:755,864804,864766,38,0,42293139,0,6742 52,3,2024-09-07 10:06:20:674,1,688,0,0,1782,7906,688,0 53,0,2024-09-07 10:06:21:732,172457,0.6,167336,0.8,350208,0.7,458261,2.25 53,1,2024-09-07 10:06:20:772,1207186,1207186,0,0,567510478167,5948256771,1188032,14741,4413,367,391968,0 53,2,2024-09-07 10:06:21:296,873492,873354,138,0,38866205,0,7690 53,3,2024-09-07 10:06:20:697,1,688,2,0,308,6459,688,0 54,0,2024-09-07 10:06:21:623,165844,0.6,166312,0.7,331100,0.5,442128,2.25 54,1,2024-09-07 10:06:20:583,1209892,1209892,0,0,568144928633,5905692386,1198115,10103,1674,366,391810,0 54,2,2024-09-07 10:06:20:865,872082,872050,32,0,41993428,0,6397 54,3,2024-09-07 10:06:20:766,1,688,12,0,676,8877,688,0 55,0,2024-09-07 10:06:21:763,167293,0.7,172381,0.8,349894,0.6,456226,2.50 55,1,2024-09-07 10:06:20:767,1210339,1210339,0,0,567337747486,5898848550,1198315,10537,1487,365,391731,0 55,2,2024-09-07 10:06:20:735,867612,867556,56,0,38421409,0,7239 55,3,2024-09-07 10:06:20:674,1,688,7,0,304,6269,688,0 56,0,2024-09-07 10:06:21:554,176703,1.2,166510,1.2,343045,1.6,459390,2.75 56,1,2024-09-07 10:06:20:575,1202944,1202944,0,0,565755655804,5980265252,1183453,15737,3754,381,391867,0 56,2,2024-09-07 10:06:21:303,866087,865965,122,0,41049850,0,7432 56,3,2024-09-07 10:06:21:064,1,688,1,0,705,8203,688,0 57,0,2024-09-07 10:06:20:942,172639,1.3,172669,1.2,344771,1.8,460679,3.00 57,1,2024-09-07 10:06:20:988,1206099,1206099,0,0,565948929163,5936432641,1190388,13007,2704,366,392097,0 57,2,2024-09-07 10:06:21:328,869810,869810,0,0,44003319,0,4804 57,3,2024-09-07 10:06:21:740,1,688,1,0,455,7402,688,0 58,0,2024-09-07 10:06:20:563,166123,0.9,161318,1.0,337634,1.1,441545,2.50 58,1,2024-09-07 10:06:20:582,1206874,1206871,0,3,566931375634,5944111321,1188509,13961,4401,367,391726,3 58,2,2024-09-07 10:06:21:073,872213,872213,0,0,40006092,0,3483 58,3,2024-09-07 10:06:21:068,1,688,1,0,1043,7453,688,0 59,0,2024-09-07 10:06:21:760,170711,0.9,169815,1.0,339641,0.9,451569,2.75 59,1,2024-09-07 10:06:20:804,1206620,1206620,0,0,566425219652,5949451546,1187133,15649,3838,369,391653,0 59,2,2024-09-07 10:06:20:595,870508,870508,0,0,38115594,0,3727 59,3,2024-09-07 10:06:21:739,1,688,3,0,1015,8120,688,0 60,0,2024-09-07 10:06:21:715,173340,0.5,173597,0.7,347061,0.5,462812,2.00 60,1,2024-09-07 10:06:20:782,1210278,1210278,0,0,568557411831,5922084442,1199349,9427,1502,370,392031,0 60,2,2024-09-07 10:06:21:141,868296,868296,0,0,36417205,0,3811 60,3,2024-09-07 10:06:21:260,1,688,0,0,409,7909,688,0 61,0,2024-09-07 10:06:21:490,174915,0.6,175663,0.8,349805,0.6,466476,2.00 61,1,2024-09-07 10:06:20:778,1207200,1207200,0,0,566885744313,5936520791,1194529,10820,1851,382,392127,0 61,2,2024-09-07 10:06:21:124,869866,869799,67,0,37382384,0,6411 61,3,2024-09-07 10:06:21:703,1,688,3,0,607,8548,688,0 62,0,2024-09-07 10:06:21:716,172423,0.5,176802,0.7,337470,0.5,458045,2.00 62,1,2024-09-07 10:06:21:111,1214742,1214736,0,6,570391724148,5888976824,1207484,6635,617,365,391975,6 62,2,2024-09-07 10:06:21:646,871144,871143,1,0,37143209,0,5555 62,3,2024-09-07 10:06:21:144,1,688,7,0,482,5417,688,0 63,0,2024-09-07 10:06:21:463,170133,0.4,169787,0.6,340278,0.3,452874,1.75 63,1,2024-09-07 10:06:20:816,1211860,1211854,0,6,568605288593,5907315774,1203942,6962,950,381,391800,6 63,2,2024-09-07 10:06:20:761,871507,871507,0,0,36298113,0,4369 63,3,2024-09-07 10:06:21:732,1,688,1,0,667,8068,688,0 64,0,2024-09-07 10:06:21:508,173425,0.5,173350,0.7,346279,0.5,461143,2.00 64,1,2024-09-07 10:06:20:750,1209884,1209884,0,0,568398945069,5917722092,1199672,8184,2028,370,391794,0 64,2,2024-09-07 10:06:21:144,870207,870188,19,0,34829506,0,6121 64,3,2024-09-07 10:06:21:142,1,688,1,0,651,7868,688,0 65,0,2024-09-07 10:06:21:692,173517,0.6,173766,0.7,346876,0.6,463174,2.00 65,1,2024-09-07 10:06:21:090,1208234,1208234,0,0,567171920730,5926718276,1199992,7344,898,381,391901,0 65,2,2024-09-07 10:06:21:697,867156,867156,0,0,41785888,0,3367 65,3,2024-09-07 10:06:21:683,1,688,5,0,782,8143,688,0 66,0,2024-09-07 10:06:21:763,172597,0.5,171894,0.7,343875,0.5,457784,2.00 66,1,2024-09-07 10:06:21:309,1210062,1210062,0,0,568302624148,5914617298,1202560,6629,873,380,391743,0 66,2,2024-09-07 10:06:21:133,876290,876287,3,0,36706645,0,5455 66,3,2024-09-07 10:06:21:079,1,688,1,0,291,6146,688,0 67,0,2024-09-07 10:06:21:421,167855,0.5,167440,0.7,335638,0.5,447323,2.00 67,1,2024-09-07 10:06:20:767,1209940,1209939,0,1,567895515908,5912969640,1201505,7294,1140,380,391787,1 67,2,2024-09-07 10:06:20:587,874658,874643,15,0,37134147,0,6205 67,3,2024-09-07 10:06:21:754,1,688,1,0,595,7319,688,0 68,0,2024-09-07 10:06:20:605,173462,0.6,173219,0.7,344975,0.6,461572,2.25 68,1,2024-09-07 10:06:20:575,1205565,1205565,0,0,565473275063,5949540298,1188837,12456,4272,381,391953,0 68,2,2024-09-07 10:06:21:043,863280,863180,100,0,44866505,0,8578 68,3,2024-09-07 10:06:20:741,1,688,1,0,417,9646,688,0 69,0,2024-09-07 10:06:21:723,173667,0.7,174180,0.8,347605,0.7,463215,2.25 69,1,2024-09-07 10:06:21:022,1204831,1204831,0,0,565186968722,5952967734,1189943,11906,2982,383,391994,0 69,2,2024-09-07 10:06:21:740,864976,864947,29,0,47883561,0,6912 69,3,2024-09-07 10:06:20:760,1,688,1,0,698,10360,688,0 70,0,2024-09-07 10:06:21:561,171860,0.7,172617,0.9,346115,0.6,459022,2.50 70,1,2024-09-07 10:06:20:801,1211544,1211544,0,0,569344612062,5908766520,1202096,8403,1045,366,391725,0 70,2,2024-09-07 10:06:21:345,872102,872102,0,0,39395964,0,4323 70,3,2024-09-07 10:06:20:752,1,688,1,0,854,7672,688,0 71,0,2024-09-07 10:06:21:362,167058,0.8,166600,1.0,334089,0.9,445615,2.50 71,1,2024-09-07 10:06:21:596,1209081,1209081,0,0,567492885471,5920656754,1194649,12646,1786,367,391738,0 71,2,2024-09-07 10:06:21:067,870207,870207,0,0,39778803,0,4352 71,3,2024-09-07 10:06:21:752,1,688,1,0,644,7579,688,0 72,0,2024-09-07 10:06:21:062,178357,0.6,174169,0.7,340314,0.5,463068,2.00 72,1,2024-09-07 10:06:21:022,1207591,1207591,0,0,566342477646,5938016026,1190735,14123,2733,369,391819,0 72,2,2024-09-07 10:06:21:756,867637,867637,0,0,41674272,0,3983 72,3,2024-09-07 10:06:21:753,1,688,1,0,564,9526,688,0 73,0,2024-09-07 10:06:21:144,169432,0.5,173717,0.6,354986,0.4,462036,2.00 73,1,2024-09-07 10:06:20:767,1209121,1209121,0,0,567705477338,5901689334,1199594,8464,1063,367,391858,0 73,2,2024-09-07 10:06:21:740,866955,866954,1,0,43626849,0,5027 73,3,2024-09-07 10:06:20:970,1,688,19,0,1091,9301,688,0 74,0,2024-09-07 10:06:21:322,176096,0.5,180401,0.7,344110,0.4,467193,2.00 74,1,2024-09-07 10:06:20:635,1208045,1208045,0,0,567520328378,5921249954,1195686,10211,2148,381,391762,0 74,2,2024-09-07 10:06:21:001,868860,868860,0,0,37901226,0,4253 74,3,2024-09-07 10:06:21:442,1,688,1,0,522,7897,688,0 75,0,2024-09-07 10:06:21:772,169898,0.5,168919,0.7,339069,0.4,452196,2.00 75,1,2024-09-07 10:06:21:592,1209116,1209116,0,0,566944843783,5907628277,1199235,8888,993,380,391739,0 75,2,2024-09-07 10:06:21:356,871354,871354,0,0,45092427,0,4766 75,3,2024-09-07 10:06:21:067,1,688,0,0,918,9491,688,0 76,0,2024-09-07 10:06:20:618,169947,0.6,169367,0.8,338483,0.6,453025,2.25 76,1,2024-09-07 10:06:20:812,1208819,1208819,0,0,566431098109,5911103413,1199925,7584,1310,382,391790,0 76,2,2024-09-07 10:06:21:061,873934,873931,3,0,38104195,0,5265 76,3,2024-09-07 10:06:21:144,1,688,1,0,249,6356,688,0 77,0,2024-09-07 10:06:21:713,173518,0.6,173848,0.7,348025,0.6,462442,2.00 77,1,2024-09-07 10:06:20:826,1207877,1207877,0,0,566452042788,5917096014,1197605,9184,1088,381,391869,0 77,2,2024-09-07 10:06:21:280,866466,866466,0,0,36688688,0,3890 77,3,2024-09-07 10:06:21:095,1,688,2,0,401,7828,688,0 78,0,2024-09-07 10:06:21:751,175748,0.4,174957,0.6,351358,0.4,464935,2.00 78,1,2024-09-07 10:06:20:610,1207934,1207934,0,0,566414785889,5913405378,1192401,12201,3332,367,391670,0 78,2,2024-09-07 10:06:21:405,869658,869645,13,0,34402858,0,8313 78,3,2024-09-07 10:06:21:132,1,688,1,0,311,5957,688,0 79,0,2024-09-07 10:06:21:355,166668,0.4,170731,0.6,349558,0.3,455528,2.00 79,1,2024-09-07 10:06:20:575,1212300,1212300,0,0,569029905191,5895314837,1202487,8011,1802,367,391682,0 79,2,2024-09-07 10:06:21:067,875043,875043,0,0,33464697,0,4195 79,3,2024-09-07 10:06:20:752,1,688,4,0,418,7978,688,0 80,0,2024-09-07 10:06:21:084,168438,0.5,172883,0.7,330316,0.5,447239,2.00 80,1,2024-09-07 10:06:21:618,1208643,1208643,0,0,567509348741,5910073787,1200664,7398,581,368,392269,0 80,2,2024-09-07 10:06:21:093,874801,874801,0,0,33973888,0,4433 80,3,2024-09-07 10:06:20:577,1,688,1,0,681,8323,688,0 81,0,2024-09-07 10:06:21:565,174489,0.6,178543,0.7,340484,0.6,462880,2.00 81,1,2024-09-07 10:06:21:650,1208118,1208118,0,0,566845932422,5926052160,1198249,8874,995,382,392001,0 81,2,2024-09-07 10:06:21:125,866689,866626,63,0,37777189,0,5932 81,3,2024-09-07 10:06:21:117,1,688,1,0,719,7619,688,0 82,0,2024-09-07 10:06:21:529,174849,0.5,174860,0.7,350287,0.5,465182,2.00 82,1,2024-09-07 10:06:20:588,1209976,1209972,0,4,567876826464,5909004202,1203037,5811,1124,381,391768,4 82,2,2024-09-07 10:06:21:697,871030,871030,0,0,31426505,0,4484 82,3,2024-09-07 10:06:21:752,1,688,1,0,363,6232,688,0 83,0,2024-09-07 10:06:21:528,173103,0.5,172950,0.7,345673,0.5,458931,2.25 83,1,2024-09-07 10:06:20:551,1208753,1208753,0,0,567299874668,5915146486,1199765,7962,1026,382,391709,0 83,2,2024-09-07 10:06:20:766,873697,873672,25,0,34300731,0,5612 83,3,2024-09-07 10:06:20:750,1,688,1,0,1260,8148,688,0 84,0,2024-09-07 10:06:21:796,166168,0.7,166273,0.9,332480,0.7,443961,2.25 84,1,2024-09-07 10:06:21:038,1207019,1207019,0,0,566493947697,5925430858,1193332,11604,2083,367,391967,0 84,2,2024-09-07 10:06:20:578,869489,869079,410,0,49317561,0,17037 84,3,2024-09-07 10:06:21:158,1,688,7,0,908,9617,688,0 85,0,2024-09-07 10:06:21:020,166502,0.6,166501,0.8,353526,0.6,456624,2.25 85,1,2024-09-07 10:06:20:565,1203177,1203177,0,0,564749027119,5958849724,1185393,14854,2930,381,392092,0 85,2,2024-09-07 10:06:20:865,867789,867789,0,0,41720801,0,4255 85,3,2024-09-07 10:06:20:689,1,688,37,0,789,7793,688,0 86,0,2024-09-07 10:06:20:914,172723,0.7,177708,0.8,340270,0.8,460148,2.25 86,1,2024-09-07 10:06:20:824,1205806,1205806,0,0,566399106211,5947736617,1188222,14269,3315,366,392169,0 86,2,2024-09-07 10:06:20:861,866811,866810,1,0,43793923,0,5004 86,3,2024-09-07 10:06:20:609,1,688,34,0,441,9576,688,0 87,0,2024-09-07 10:06:21:289,174974,0.8,173749,0.8,348008,0.9,464603,2.25 87,1,2024-09-07 10:06:20:555,1206280,1206280,0,0,565949806196,5927829208,1191364,12754,2162,366,392076,0 87,2,2024-09-07 10:06:21:068,869211,869205,6,0,41038102,0,6323 87,3,2024-09-07 10:06:21:798,1,688,2,0,473,9515,688,0 88,0,2024-09-07 10:06:21:452,168444,0.4,168889,0.6,337782,0.4,449245,1.75 88,1,2024-09-07 10:06:20:632,1204945,1204945,0,0,565821491933,5928871823,1188141,13665,3139,365,392084,0 88,2,2024-09-07 10:06:20:688,871387,871387,0,0,43231058,0,4465 88,3,2024-09-07 10:06:21:267,1,688,1,0,1080,11084,688,0 89,0,2024-09-07 10:06:21:848,176748,0.4,171567,0.6,338076,0.4,460113,1.75 89,1,2024-09-07 10:06:20:560,1204933,1204933,0,0,566090627910,5952119927,1190261,12394,2278,382,391866,0 89,2,2024-09-07 10:06:21:138,870552,870552,0,0,40685686,0,3173 89,3,2024-09-07 10:06:21:792,1,688,3,0,729,12280,688,0 90,0,2024-09-07 10:06:21:638,168369,0.5,172846,0.7,353077,0.5,460616,2.00 90,1,2024-09-07 10:06:20:603,1206185,1206185,0,0,567120062202,5942189815,1193737,11178,1270,380,391825,0 90,2,2024-09-07 10:06:21:413,863113,863108,5,0,43825642,0,6370 90,3,2024-09-07 10:06:20:935,1,688,10,0,364,9040,688,0 91,0,2024-09-07 10:06:20:933,175698,0.5,170437,0.6,356404,0.4,467436,1.75 91,1,2024-09-07 10:06:20:566,1203317,1203317,0,0,565950203639,5968977158,1184607,14562,4148,381,392047,0 91,2,2024-09-07 10:06:21:333,867969,867969,0,0,39136015,0,4713 91,3,2024-09-07 10:06:20:598,1,688,1,0,231,6449,688,0 92,0,2024-09-07 10:06:21:474,173323,0.4,177323,0.6,338004,0.4,458490,1.75 92,1,2024-09-07 10:06:20:583,1207717,1207717,0,0,567389508748,5928170906,1198232,8174,1311,381,392136,0 92,2,2024-09-07 10:06:21:356,873024,873024,0,0,35877482,0,3906 92,3,2024-09-07 10:06:21:009,1,688,1,0,322,6208,688,0 93,0,2024-09-07 10:06:20:984,170661,0.4,174843,0.6,333694,0.4,452710,1.75 93,1,2024-09-07 10:06:20:807,1208243,1208243,0,0,567143817788,5927737358,1193852,11957,2434,365,392048,0 93,2,2024-09-07 10:06:20:933,871695,871695,0,0,41279003,0,4913 93,3,2024-09-07 10:06:21:408,1,688,4,0,788,8595,688,0 94,0,2024-09-07 10:06:21:687,173407,0.4,174484,0.6,349452,0.4,463459,1.75 94,1,2024-09-07 10:06:20:567,1209321,1209321,0,0,567929207364,5924050096,1201045,7626,650,381,391850,0 94,2,2024-09-07 10:06:20:761,866924,866896,28,0,35890817,0,6179 94,3,2024-09-07 10:06:21:700,1,688,8,0,576,8343,688,0 95,0,2024-09-07 10:06:21:360,174838,0.4,174581,0.6,349589,0.3,466131,1.75 95,1,2024-09-07 10:06:20:851,1210009,1210009,0,0,567457896385,5898084608,1200645,8553,811,365,391852,0 95,2,2024-09-07 10:06:21:023,866927,866927,0,0,36048272,0,3308 95,3,2024-09-07 10:06:21:722,1,688,1,0,718,10475,688,0 96,0,2024-09-07 10:06:21:058,172801,0.3,173146,0.5,346381,0.3,460356,1.75 96,1,2024-09-07 10:06:21:583,1207552,1207552,0,0,566950875368,5916684311,1198636,7538,1378,384,391964,0 96,2,2024-09-07 10:06:21:267,875361,875361,0,0,36525362,0,4225 96,3,2024-09-07 10:06:21:154,1,688,0,0,411,7713,688,0 97,0,2024-09-07 10:06:21:325,167784,0.3,167670,0.5,335988,0.3,447292,1.50 97,1,2024-09-07 10:06:20:948,1210661,1210661,0,0,568771120429,5902536781,1202774,6648,1239,367,392140,0 97,2,2024-09-07 10:06:20:615,873318,873318,0,0,36550583,0,4600 97,3,2024-09-07 10:06:20:589,1,688,5,0,433,8427,688,0 98,0,2024-09-07 10:06:21:695,172921,0.3,172942,0.5,347492,0.3,461911,1.50 98,1,2024-09-07 10:06:20:569,1209396,1209396,0,0,567496767845,5911629491,1201955,6542,899,381,391997,0 98,2,2024-09-07 10:06:20:769,867262,867262,0,0,34855954,0,4336 98,3,2024-09-07 10:06:20:699,1,688,2,0,840,9632,688,0 99,0,2024-09-07 10:06:21:489,174501,0.3,175393,0.5,349433,0.3,465921,1.75 99,1,2024-09-07 10:06:21:724,1210457,1210457,0,0,567404464541,5906609095,1202672,6676,1109,380,392069,0 99,2,2024-09-07 10:06:21:420,867350,867350,0,0,43963539,0,4276 99,3,2024-09-07 10:06:20:592,1,688,1,0,1124,8666,688,0 100,0,2024-09-07 10:06:21:536,173245,0.8,173777,0.9,347348,0.8,463037,2.50 100,1,2024-09-07 10:06:20:549,1203226,1203226,0,0,564695080481,5972792954,1184184,15247,3795,378,391989,0 100,2,2024-09-07 10:06:21:834,868056,867667,389,0,45369913,0,16909 100,3,2024-09-07 10:06:21:755,1,688,7,0,627,10757,688,0 101,0,2024-09-07 10:06:21:716,171683,0.9,167529,1.0,327709,0.8,447502,2.25 101,1,2024-09-07 10:06:20:573,1205265,1205265,0,0,565961350495,5948355602,1188691,13622,2952,368,392018,0 101,2,2024-09-07 10:06:21:760,866077,866038,39,0,47253107,0,5913 101,3,2024-09-07 10:06:20:944,1,688,14,0,1250,10626,688,0 102,0,2024-09-07 10:06:20:952,168039,0.6,173067,0.8,351611,0.6,459901,2.25 102,1,2024-09-07 10:06:21:166,1205279,1205279,0,0,565699912923,5940764280,1189467,13556,2256,369,391984,0 102,2,2024-09-07 10:06:21:740,870534,870480,54,0,39117881,0,6768 102,3,2024-09-07 10:06:21:613,1,688,5,0,466,7986,688,0 103,0,2024-09-07 10:06:21:655,179230,0.7,179195,0.8,337662,0.8,464400,2.25 103,1,2024-09-07 10:06:21:631,1203980,1203980,0,0,565587820672,5970582076,1185070,15284,3626,381,392077,0 103,2,2024-09-07 10:06:20:614,865567,865567,0,0,42485300,0,3766 103,3,2024-09-07 10:06:20:761,1,688,1,0,916,8203,688,0 104,0,2024-09-07 10:06:21:009,173780,0.7,174180,0.9,347554,0.7,464382,2.25 104,1,2024-09-07 10:06:21:599,1206061,1206061,0,0,565314893737,5942945666,1187630,14972,3459,365,392168,0 104,2,2024-09-07 10:06:21:666,866855,866855,0,0,41622415,0,4161 104,3,2024-09-07 10:06:21:422,1,688,4,0,1245,11736,688,0 105,0,2024-09-07 10:06:21:037,167960,0.8,163644,1.0,343088,0.9,450061,2.50 105,1,2024-09-07 10:06:20:569,1208280,1208280,0,0,566094275786,5929258471,1193510,12655,2115,364,392009,0 105,2,2024-09-07 10:06:21:329,871158,871158,0,0,42947698,0,4360 105,3,2024-09-07 10:06:21:311,1,688,1,0,573,10230,688,0 106,0,2024-09-07 10:06:20:948,164603,1.0,168691,1.0,345130,1.2,451370,2.50 106,1,2024-09-07 10:06:21:752,1206229,1206229,0,0,565882790199,5936853256,1189804,14275,2150,368,391914,0 106,2,2024-09-07 10:06:20:758,871196,871196,0,0,39626918,0,3331 106,3,2024-09-07 10:06:20:677,1,688,1,0,1224,9579,688,0 107,0,2024-09-07 10:06:21:132,173645,1.3,173605,1.1,347326,1.9,463422,2.25 107,1,2024-09-07 10:06:20:588,1203783,1203783,0,0,564500949887,5966107805,1184692,16835,2256,381,392234,0 107,2,2024-09-07 10:06:21:291,863048,863047,1,0,43121528,0,5024 107,3,2024-09-07 10:06:21:756,1,688,3,0,733,10873,688,0 108,0,2024-09-07 10:06:21:765,174846,0.4,175652,0.6,350311,0.4,466733,1.75 108,1,2024-09-07 10:06:21:307,1207424,1207424,0,0,566831268637,5920241287,1195958,10205,1261,367,391894,0 108,2,2024-09-07 10:06:21:755,868849,868849,0,0,38703238,0,4432 108,3,2024-09-07 10:06:21:344,1,688,18,0,767,12469,688,0 109,0,2024-09-07 10:06:21:826,173168,0.4,171815,0.6,344740,0.3,460056,1.75 109,1,2024-09-07 10:06:20:588,1205488,1205488,0,0,566105740693,5940529318,1194838,9145,1505,382,392132,0 109,2,2024-09-07 10:06:20:921,872406,872406,0,0,38761684,0,3617 109,3,2024-09-07 10:06:21:140,1,688,2,0,630,7932,688,0 110,0,2024-09-07 10:06:21:781,168126,0.4,163397,0.6,342276,0.3,449220,1.75 110,1,2024-09-07 10:06:21:656,1209991,1209991,0,0,568606426720,5905520934,1200528,7378,2085,368,392045,0 110,2,2024-09-07 10:06:21:303,872252,872252,0,0,37891818,0,4067 110,3,2024-09-07 10:06:20:699,1,688,1,0,722,9334,688,0 111,0,2024-09-07 10:06:21:413,175182,0.4,174375,0.6,348371,0.4,465699,1.75 111,1,2024-09-07 10:06:21:001,1211264,1211264,0,0,568842651491,5907473854,1204015,6743,506,380,391690,0 111,2,2024-09-07 10:06:21:115,866719,866719,0,0,37229061,0,4823 111,3,2024-09-07 10:06:20:913,1,688,2,0,379,7813,688,0 112,0,2024-09-07 10:06:20:926,175497,0.3,175096,0.4,351044,0.2,466508,1.50 112,1,2024-09-07 10:06:20:825,1210059,1210059,0,0,567720085565,5893451907,1202435,6398,1226,380,391624,0 112,2,2024-09-07 10:06:21:133,868827,868826,1,0,35049493,0,5036 112,3,2024-09-07 10:06:20:597,1,688,0,0,282,6825,688,0 113,0,2024-09-07 10:06:20:879,173027,0.3,173391,0.5,347002,0.2,461317,1.75 113,1,2024-09-07 10:06:21:706,1213766,1213766,0,0,569872348103,5879427519,1206856,5959,951,365,391664,0 113,2,2024-09-07 10:06:21:303,876165,876165,0,0,32511620,0,3813 113,3,2024-09-07 10:06:20:685,1,688,1,0,510,6995,688,0 114,0,2024-09-07 10:06:20:873,167693,0.3,168836,0.5,336795,0.2,449511,1.75 114,1,2024-09-07 10:06:20:715,1209764,1209764,0,0,568593668665,5904111562,1200937,7023,1804,381,391565,0 114,2,2024-09-07 10:06:20:872,873741,873740,1,0,34973442,0,5069 114,3,2024-09-07 10:06:21:279,1,688,1,0,415,5684,688,0 115,0,2024-09-07 10:06:20:555,174009,0.3,174618,0.4,347897,0.2,463149,1.50 115,1,2024-09-07 10:06:20:571,1210784,1210784,0,0,569020744676,5911301875,1201230,7763,1791,382,391757,0 115,2,2024-09-07 10:06:21:126,870172,870172,0,0,34839462,0,4382 115,3,2024-09-07 10:06:21:013,1,688,0,0,173,4406,688,0 116,0,2024-09-07 10:06:21:796,172750,0.8,172860,0.9,346265,0.8,462741,2.25 116,1,2024-09-07 10:06:20:808,1204194,1204194,0,0,566049289129,5962798887,1190131,10848,3215,380,392089,0 116,2,2024-09-07 10:06:21:763,867815,867815,0,0,41945029,0,4475 116,3,2024-09-07 10:06:20:913,1,688,38,0,448,8873,688,0 117,0,2024-09-07 10:06:20:957,174692,0.6,174432,0.8,349136,0.6,465963,2.00 117,1,2024-09-07 10:06:21:582,1206679,1206679,0,0,566452039959,5933464478,1192864,12052,1763,369,392429,0 117,2,2024-09-07 10:06:21:118,871762,871762,0,0,38566859,0,4303 117,3,2024-09-07 10:06:21:063,1,688,5,0,490,8435,688,0 118,0,2024-09-07 10:06:21:766,163427,0.5,167813,0.7,342387,0.5,447575,2.00 118,1,2024-09-07 10:06:20:589,1204993,1204993,0,0,565396518663,5942009465,1187495,13653,3845,366,392054,0 118,2,2024-09-07 10:06:21:593,871272,871272,0,0,41081025,0,3161 118,3,2024-09-07 10:06:21:764,1,688,23,0,343,8220,688,0 119,0,2024-09-07 10:06:21:351,171680,0.7,171923,0.8,343457,0.7,458571,2.25 119,1,2024-09-07 10:06:20:564,1205990,1205990,0,0,566445065705,5939775363,1189975,13348,2667,367,391857,0 119,2,2024-09-07 10:06:21:261,871259,871259,0,0,38096657,0,4309 119,3,2024-09-07 10:06:21:328,1,688,6,0,1358,12175,688,0 120,0,2024-09-07 10:06:21:549,172655,0.7,172623,0.9,346380,0.8,461879,2.50 120,1,2024-09-07 10:06:20:869,1206936,1206936,0,0,565885351291,5933697164,1194270,11508,1158,367,392144,0 120,2,2024-09-07 10:06:20:781,865691,865688,3,0,45722549,0,5363 120,3,2024-09-07 10:06:21:298,1,688,9,0,478,8856,688,0 121,0,2024-09-07 10:06:21:702,175076,1.1,174591,1.0,349296,1.4,465264,2.25 121,1,2024-09-07 10:06:21:663,1206464,1206464,0,0,566299782908,5928764587,1192942,11526,1996,366,391840,0 121,2,2024-09-07 10:06:21:135,868664,868664,0,0,41536326,0,4157 121,3,2024-09-07 10:06:20:727,1,688,9,0,387,9228,688,0 122,0,2024-09-07 10:06:21:798,171144,0.7,166581,0.8,348407,0.8,457942,2.00 122,1,2024-09-07 10:06:20:860,1205764,1205764,0,0,566045100774,5937641340,1189494,13693,2577,365,392130,0 122,2,2024-09-07 10:06:21:329,872911,872836,75,0,45771047,0,5989 122,3,2024-09-07 10:06:20:596,1,688,1,0,512,10496,688,0 123,0,2024-09-07 10:06:20:981,168588,0.8,164333,0.8,344500,0.9,450743,2.25 123,1,2024-09-07 10:06:20:558,1206688,1206688,0,0,566023400200,5951826275,1187602,16060,3026,369,392039,0 123,2,2024-09-07 10:06:21:021,870742,870741,1,0,39270862,0,5215 123,3,2024-09-07 10:06:21:135,1,688,5,0,478,7760,688,0 124,0,2024-09-07 10:06:20:951,179029,0.4,179047,0.5,337300,0.3,464344,1.75 124,1,2024-09-07 10:06:21:022,1210020,1210020,0,0,567547139477,5903187071,1200486,8273,1261,365,392178,0 124,2,2024-09-07 10:06:21:009,868583,868530,53,0,36499371,0,6487 124,3,2024-09-07 10:06:20:761,1,688,1,0,490,7201,688,0 125,0,2024-09-07 10:06:21:418,174775,0.4,174651,0.6,349983,0.3,466643,1.75 125,1,2024-09-07 10:06:20:866,1206888,1206888,0,0,566577267714,5922237620,1195849,9457,1582,382,392045,0 125,2,2024-09-07 10:06:21:117,870752,870752,0,0,36063646,0,4534 125,3,2024-09-07 10:06:21:126,1,688,1,0,709,7675,688,0 126,0,2024-09-07 10:06:21:443,173245,0.4,178100,0.5,340325,0.3,461184,1.75 126,1,2024-09-07 10:06:20:554,1210648,1210648,0,0,568423261676,5891286533,1203373,6573,702,365,391987,0 126,2,2024-09-07 10:06:20:611,875278,875278,0,0,37829638,0,4539 126,3,2024-09-07 10:06:20:907,1,688,1,0,268,7838,688,0 127,0,2024-09-07 10:06:21:604,168111,0.3,168496,0.5,335956,0.3,447613,1.75 127,1,2024-09-07 10:06:20:569,1209392,1209392,0,0,567703066686,5908826366,1196938,10678,1776,364,392187,0 127,2,2024-09-07 10:06:20:644,872999,872995,4,0,35759284,0,5305 127,3,2024-09-07 10:06:21:270,1,688,1,0,968,6800,688,0 128,0,2024-09-07 10:06:21:525,174047,0.3,174008,0.4,348114,0.2,462729,1.50 128,1,2024-09-07 10:06:21:604,1208418,1208418,0,0,567989992067,5906236307,1199431,8015,972,367,392423,0 128,2,2024-09-07 10:06:21:383,869481,869481,0,0,33232002,0,3171 128,3,2024-09-07 10:06:20:769,1,688,2,0,1082,10336,688,0 129,0,2024-09-07 10:06:21:005,175727,0.3,175085,0.5,351013,0.3,466625,1.50 129,1,2024-09-07 10:06:20:612,1205597,1205597,0,0,565508801673,5933434461,1192654,10614,2329,379,391962,0 129,2,2024-09-07 10:06:20:686,870181,870177,4,0,36001338,0,5335 129,3,2024-09-07 10:06:20:688,1,688,1,0,506,9902,688,0 130,0,2024-09-07 10:06:21:800,174462,0.4,174301,0.5,348990,0.4,464558,1.75 130,1,2024-09-07 10:06:20:587,1210473,1210473,0,0,567985938091,5898505171,1204629,5437,407,381,391825,0 130,2,2024-09-07 10:06:21:125,872451,872451,0,0,35769354,0,4067 130,3,2024-09-07 10:06:21:293,1,688,4,0,960,8901,688,0 131,0,2024-09-07 10:06:21:932,167998,0.3,168502,0.5,337868,0.3,449129,1.50 131,1,2024-09-07 10:06:21:829,1209319,1209319,0,0,568035418659,5919613066,1201173,6935,1211,381,391865,0 131,2,2024-09-07 10:06:20:569,872095,872095,0,0,34068998,0,3979 131,3,2024-09-07 10:06:21:688,1,688,2,0,392,8660,688,0 132,0,2024-09-07 10:06:21:412,173304,0.5,174213,0.6,346696,0.4,461995,2.00 132,1,2024-09-07 10:06:20:578,1205181,1205181,0,0,566351009109,5958210487,1188682,13717,2782,381,392532,0 132,2,2024-09-07 10:06:20:698,869772,869755,17,0,42517304,0,6451 132,3,2024-09-07 10:06:21:700,1,688,1,0,1298,11798,688,0 133,0,2024-09-07 10:06:21:545,169444,0.5,173508,0.6,355718,0.4,462711,2.00 133,1,2024-09-07 10:06:20:590,1205011,1205011,0,0,566048258885,5959963127,1189576,13501,1934,383,391914,0 133,2,2024-09-07 10:06:21:091,868373,868323,50,0,43511084,0,6861 133,3,2024-09-07 10:06:21:297,1,688,1,0,528,8020,688,0 134,0,2024-09-07 10:06:21:002,175763,0.5,175704,0.7,351201,0.5,467643,2.00 134,1,2024-09-07 10:06:20:589,1206256,1206256,0,0,565819592067,5936749462,1190172,12792,3292,366,391781,0 134,2,2024-09-07 10:06:21:761,868655,868516,139,0,41102899,0,7591 134,3,2024-09-07 10:06:20:754,1,688,10,0,739,8466,688,0 135,0,2024-09-07 10:06:21:148,164533,0.7,164452,0.8,349138,0.7,449438,2.25 135,1,2024-09-07 10:06:21:586,1205915,1205915,0,0,565947563507,5948135516,1191513,12550,1852,380,392038,0 135,2,2024-09-07 10:06:20:686,873525,873525,0,0,41752765,0,4503 135,3,2024-09-07 10:06:21:007,1,688,2,0,900,6655,688,0 136,0,2024-09-07 10:06:21:644,170620,0.6,171207,0.8,340351,0.6,454495,2.25 136,1,2024-09-07 10:06:21:443,1207255,1207255,0,0,566297009300,5932844747,1194425,11404,1426,381,392135,0 136,2,2024-09-07 10:06:21:133,873427,873412,15,0,40817433,0,6007 136,3,2024-09-07 10:06:21:116,1,688,4,0,637,8120,688,0 137,0,2024-09-07 10:06:20:952,179374,0.6,174875,0.8,342402,0.7,465067,2.00 137,1,2024-09-07 10:06:20:577,1206061,1206061,0,0,566596141457,5940301374,1187631,14791,3639,366,391898,0 137,2,2024-09-07 10:06:21:709,865935,865935,0,0,41769293,0,3185 137,3,2024-09-07 10:06:20:769,1,688,0,0,484,9767,688,0 138,0,2024-09-07 10:06:21:739,174204,0.9,174522,0.9,349562,1.2,465009,2.25 138,1,2024-09-07 10:06:21:690,1205849,1205849,0,0,566651120375,5946437872,1188715,14443,2691,368,391954,0 138,2,2024-09-07 10:06:20:588,866934,866934,0,0,40265777,0,4988 138,3,2024-09-07 10:06:20:611,1,688,0,0,1200,10344,688,0 139,0,2024-09-07 10:06:21:368,171120,0.9,171732,0.9,343377,1.2,458395,2.25 139,1,2024-09-07 10:06:20:579,1202053,1202053,0,0,563107788913,5965745421,1182156,15984,3913,380,392109,0 139,2,2024-09-07 10:06:20:696,868333,868303,30,0,45880317,0,5997 139,3,2024-09-07 10:06:21:679,1,688,2,0,432,8183,688,0 140,0,2024-09-07 10:06:21:596,168676,0.3,167991,0.5,336989,0.2,449347,1.75 140,1,2024-09-07 10:06:21:536,1213312,1213312,0,0,569189586933,5875543934,1206510,5999,803,364,391628,0 140,2,2024-09-07 10:06:20:686,872765,872764,1,0,34539289,0,5036 140,3,2024-09-07 10:06:20:766,1,688,0,0,575,6969,688,0 141,0,2024-09-07 10:06:21:705,175125,0.3,179760,0.5,343461,0.3,465373,1.75 141,1,2024-09-07 10:06:20:860,1210636,1210636,0,0,568621884116,5912010035,1200292,8951,1393,379,391614,0 141,2,2024-09-07 10:06:21:686,867430,867419,11,0,37030744,0,5369 141,3,2024-09-07 10:06:21:044,1,688,1,0,391,8108,688,0 142,0,2024-09-07 10:06:21:316,175811,0.3,174972,0.5,350282,0.3,467388,1.50 142,1,2024-09-07 10:06:20:589,1208392,1208392,0,0,567496708925,5923113048,1199342,8156,894,382,392102,0 142,2,2024-09-07 10:06:21:309,867502,867470,32,0,36657815,0,6028 142,3,2024-09-07 10:06:21:752,1,688,0,0,484,7039,688,0 143,0,2024-09-07 10:06:21:379,173108,0.4,173019,0.5,347045,0.4,461274,1.75 143,1,2024-09-07 10:06:20:576,1211510,1211510,0,0,568495880921,5902031122,1203108,7431,971,367,391900,0 143,2,2024-09-07 10:06:20:777,874817,874817,0,0,36290837,0,3123 143,3,2024-09-07 10:06:21:145,1,688,0,0,462,8093,688,0 144,0,2024-09-07 10:06:21:493,162584,0.5,167279,0.7,340468,0.5,445770,2.00 144,1,2024-09-07 10:06:20:577,1205361,1205361,0,0,566062958640,5939576756,1193616,9701,2044,381,391733,0 144,2,2024-09-07 10:06:21:756,873111,873111,0,0,35851287,0,4443 144,3,2024-09-07 10:06:21:739,1,688,1,0,306,7370,688,0 145,0,2024-09-07 10:06:21:416,167802,0.6,167794,0.8,356182,0.5,458597,2.25 145,1,2024-09-07 10:06:20:565,1204479,1204479,0,0,565904979280,5955626393,1188280,13231,2968,382,391781,0 145,2,2024-09-07 10:06:21:456,867623,867541,82,0,41603535,0,7814 145,3,2024-09-07 10:06:20:898,1,688,1,0,622,9173,688,0 146,0,2024-09-07 10:06:21:590,173368,0.7,172545,0.8,346802,0.7,460862,2.25 146,1,2024-09-07 10:06:21:599,1206217,1206217,0,0,565298093528,5945894181,1187078,14214,4925,367,391829,0 146,2,2024-09-07 10:06:21:699,866120,866114,6,0,39718284,0,5151 146,3,2024-09-07 10:06:21:276,1,688,2,0,1520,10881,688,0 147,0,2024-09-07 10:06:21:700,174737,0.6,174440,0.7,348818,0.5,465007,2.00 147,1,2024-09-07 10:06:21:381,1210006,1210006,0,0,567926264364,5905240496,1200050,8858,1098,367,391791,0 147,2,2024-09-07 10:06:21:008,870002,870002,0,0,37628786,0,4531 147,3,2024-09-07 10:06:20:915,1,688,1,0,1626,10373,688,0 0,0,2024-09-07 10:06:31:709,168679,0.7,168698,0.8,358146,0.8,462661,2.00 0,1,2024-09-07 10:06:30:804,1208977,1208977,0,0,567381637931,5940229083,1199099,8905,973,368,391896,0 0,2,2024-09-07 10:06:31:067,869168,869168,0,0,35465520,0,4480 0,3,2024-09-07 10:06:30:980,1,689,1,0,538,9889,689,0 1,0,2024-09-07 10:06:31:758,175100,0.8,174144,0.9,349372,1.0,467284,2.00 1,1,2024-09-07 10:06:30:559,1207958,1207958,0,0,567018164857,5941696894,1196562,9426,1970,370,391859,0 1,2,2024-09-07 10:06:30:652,871149,871149,0,0,35041388,0,3380 1,3,2024-09-07 10:06:31:307,1,689,2,0,269,8231,689,0 2,0,2024-09-07 10:06:31:576,171762,0.6,171506,0.7,342741,0.6,456788,2.00 2,1,2024-09-07 10:06:30:859,1212275,1212275,0,0,568855832243,5915915165,1205345,5969,961,379,391805,0 2,2,2024-09-07 10:06:31:267,874903,874903,0,0,33896009,0,3594 2,3,2024-09-07 10:06:30:691,1,689,2,0,357,6114,689,0 3,0,2024-09-07 10:06:31:766,169932,0.4,169817,0.6,339191,0.4,452296,2.00 3,1,2024-09-07 10:06:31:630,1209753,1209753,0,0,568155833976,5910789110,1201159,7876,718,379,391716,0 3,2,2024-09-07 10:06:31:141,874940,874917,23,0,34617215,0,5851 3,3,2024-09-07 10:06:31:765,1,689,5,0,484,5210,689,0 4,0,2024-09-07 10:06:31:813,169154,0.4,173717,0.5,354414,0.4,463011,1.75 4,1,2024-09-07 10:06:30:608,1204518,1204518,0,0,565530795419,5981351492,1184630,15287,4601,369,391992,0 4,2,2024-09-07 10:06:31:018,865570,865570,0,0,41882182,0,4534 4,3,2024-09-07 10:06:31:027,1,689,1,0,448,9346,689,0 5,0,2024-09-07 10:06:31:406,175145,0.4,174885,0.6,350210,0.4,466587,1.75 5,1,2024-09-07 10:06:30:757,1206204,1206204,0,0,565859801771,5969057455,1188548,13420,4236,367,392005,0 5,2,2024-09-07 10:06:31:829,866022,865989,33,0,42153334,0,7631 5,3,2024-09-07 10:06:31:732,1,689,1,0,457,9064,689,0 6,0,2024-09-07 10:06:30:926,173049,0.5,172510,0.6,345328,0.4,460143,2.00 6,1,2024-09-07 10:06:30:757,1209017,1209017,0,0,567630054325,5925835985,1196853,10479,1685,379,391702,0 6,2,2024-09-07 10:06:31:116,875371,875353,18,0,39237132,0,5535 6,3,2024-09-07 10:06:31:280,1,689,4,0,710,7944,689,0 7,0,2024-09-07 10:06:31:534,167589,0.5,168735,0.7,334880,0.5,446875,2.00 7,1,2024-09-07 10:06:30:855,1206721,1206721,0,0,566258647306,5957540617,1188887,13697,4137,382,391747,0 7,2,2024-09-07 10:06:30:789,872429,872260,169,0,39996344,0,7706 7,3,2024-09-07 10:06:30:851,1,689,154,0,552,8166,689,0 8,0,2024-09-07 10:06:31:370,174021,0.4,173676,0.5,347723,0.4,464255,1.75 8,1,2024-09-07 10:06:31:016,1205506,1205506,0,0,566476284119,5956688134,1186648,14812,4046,366,392853,0 8,2,2024-09-07 10:06:30:793,863156,863154,2,0,44901333,0,5112 8,3,2024-09-07 10:06:30:586,1,689,1,0,772,10334,689,0 9,0,2024-09-07 10:06:31:098,174836,0.4,169744,0.5,355385,0.3,466688,1.75 9,1,2024-09-07 10:06:30:550,1205174,1205174,0,0,566222848263,5970877224,1185505,15319,4350,369,392001,0 9,2,2024-09-07 10:06:31:083,867963,867962,1,0,42874263,0,5281 9,3,2024-09-07 10:06:31:762,1,689,2,0,1273,11033,689,0 10,0,2024-09-07 10:06:31:604,173867,0.4,173392,0.5,347516,0.3,462454,1.75 10,1,2024-09-07 10:06:30:583,1207101,1207101,0,0,566881966512,5949399820,1189040,14327,3734,381,391981,0 10,2,2024-09-07 10:06:30:764,873464,873464,0,0,46039835,0,4713 10,3,2024-09-07 10:06:30:871,1,689,15,0,669,7357,689,0 11,0,2024-09-07 10:06:31:007,168048,0.4,163122,0.6,341334,0.4,449529,1.75 11,1,2024-09-07 10:06:30:571,1209584,1209584,0,0,567347159598,5948441861,1192699,12575,4310,383,391766,0 11,2,2024-09-07 10:06:31:126,871797,871797,0,0,39761009,0,4698 11,3,2024-09-07 10:06:31:298,1,689,1,0,843,8397,689,0 12,0,2024-09-07 10:06:30:952,174525,0.4,174207,0.6,348044,0.4,463390,1.75 12,1,2024-09-07 10:06:30:945,1208581,1208581,0,0,566975869396,5916869522,1198729,8825,1027,368,391960,0 12,2,2024-09-07 10:06:31:541,868645,868645,0,0,39735251,0,4390 12,3,2024-09-07 10:06:31:059,1,689,6,0,386,8525,689,0 13,0,2024-09-07 10:06:31:392,174769,0.4,174942,0.6,349374,0.4,464926,1.75 13,1,2024-09-07 10:06:31:525,1205939,1205939,0,0,566026488048,5959171609,1191783,11077,3079,382,391803,0 13,2,2024-09-07 10:06:30:610,870637,870637,0,0,35955931,0,3287 13,3,2024-09-07 10:06:31:770,1,689,1,0,522,9239,689,0 14,0,2024-09-07 10:06:30:561,175149,0.4,176222,0.6,350514,0.4,466101,1.75 14,1,2024-09-07 10:06:31:564,1214481,1214481,0,0,570235265971,5899899091,1205226,8105,1150,364,391673,0 14,2,2024-09-07 10:06:30:764,871335,871305,30,0,37095016,0,6104 14,3,2024-09-07 10:06:31:114,1,689,1,0,1168,7136,689,0 15,0,2024-09-07 10:06:31:565,169864,0.4,168933,0.6,338352,0.3,451057,1.75 15,1,2024-09-07 10:06:31:608,1210755,1210755,0,0,568644774273,5927702697,1199891,8768,2096,380,391619,0 15,2,2024-09-07 10:06:30:999,875586,875586,0,0,33187511,0,3622 15,3,2024-09-07 10:06:31:413,1,689,0,0,1126,8634,689,0 16,0,2024-09-07 10:06:30:949,170533,0.6,171458,0.8,342026,0.6,455889,2.00 16,1,2024-09-07 10:06:30:566,1210437,1210437,0,0,567786142222,5936385631,1199699,9194,1544,370,392194,0 16,2,2024-09-07 10:06:31:434,872335,872335,0,0,36262939,0,4719 16,3,2024-09-07 10:06:31:147,1,689,11,0,362,8543,689,0 17,0,2024-09-07 10:06:31:765,179488,0.7,174968,0.8,342749,0.7,465659,2.00 17,1,2024-09-07 10:06:30:570,1208605,1208605,0,0,566653342632,5940617276,1197484,9320,1801,368,392075,0 17,2,2024-09-07 10:06:31:670,871164,871163,1,0,37382743,0,5050 17,3,2024-09-07 10:06:30:574,1,689,15,0,518,9874,689,0 18,0,2024-09-07 10:06:30:950,173568,0.6,174680,0.8,347634,0.6,464305,2.25 18,1,2024-09-07 10:06:31:640,1213394,1213394,0,0,568449474168,5897990284,1205212,6752,1430,367,391725,0 18,2,2024-09-07 10:06:31:761,872055,872055,0,0,33888420,0,3541 18,3,2024-09-07 10:06:30:896,1,689,1,0,1059,6289,689,0 19,0,2024-09-07 10:06:31:541,171978,0.6,172463,0.8,343864,0.6,457012,2.00 19,1,2024-09-07 10:06:30:566,1212531,1212531,0,0,570648215104,5913173550,1203676,7695,1160,365,391777,0 19,2,2024-09-07 10:06:31:752,877689,877689,0,0,31726616,0,3988 19,3,2024-09-07 10:06:31:147,1,689,1,0,524,5504,689,0 20,0,2024-09-07 10:06:31:387,168524,0.5,168491,0.7,336682,0.5,449634,2.00 20,1,2024-09-07 10:06:30:573,1207910,1207910,0,0,567519210384,5947668069,1195645,10559,1706,369,391922,0 20,2,2024-09-07 10:06:30:930,873140,873140,0,0,39968397,0,4321 20,3,2024-09-07 10:06:30:595,1,689,2,0,468,11551,689,0 21,0,2024-09-07 10:06:31:199,174808,0.5,174780,0.7,349476,0.5,464177,2.00 21,1,2024-09-07 10:06:31:539,1205690,1205690,0,0,565709155327,5969728087,1186934,14767,3989,368,392016,0 21,2,2024-09-07 10:06:31:077,862097,861620,477,0,50978897,0,17074 21,3,2024-09-07 10:06:31:417,1,689,13,0,713,9090,689,0 22,0,2024-09-07 10:06:31:722,174965,0.5,175612,0.7,350823,0.4,465650,2.00 22,1,2024-09-07 10:06:31:031,1206951,1206951,0,0,566873116655,5961915945,1187909,15438,3604,381,391822,0 22,2,2024-09-07 10:06:30:778,868445,868419,26,0,37835989,0,6328 22,3,2024-09-07 10:06:31:066,1,689,2,0,228,5626,689,0 23,0,2024-09-07 10:06:31:402,172893,0.5,172738,0.6,345458,0.4,460338,2.00 23,1,2024-09-07 10:06:31:003,1208605,1208605,0,0,567114250018,5969363413,1187360,14422,6823,365,391690,0 23,2,2024-09-07 10:06:31:094,875202,875202,0,0,36131174,0,3773 23,3,2024-09-07 10:06:31:762,1,689,8,0,855,9735,689,0 24,0,2024-09-07 10:06:30:829,169026,0.4,167913,0.5,337597,0.3,448826,1.75 24,1,2024-09-07 10:06:30:588,1207042,1207042,0,0,566542871824,5929991367,1195446,9720,1876,367,392269,0 24,2,2024-09-07 10:06:31:075,872915,872912,3,0,42584277,0,6294 24,3,2024-09-07 10:06:31:689,1,689,1,0,468,9015,689,0 25,0,2024-09-07 10:06:31:388,178418,0.4,173301,0.6,341303,0.4,464701,2.00 25,1,2024-09-07 10:06:30:558,1206010,1206010,0,0,566004155358,5978665720,1185265,16750,3995,369,391928,0 25,2,2024-09-07 10:06:31:610,866822,866822,0,0,44175793,0,3978 25,3,2024-09-07 10:06:31:004,1,689,1,0,532,7545,689,0 26,0,2024-09-07 10:06:31:726,173112,0.5,169127,0.6,354861,0.4,463126,2.00 26,1,2024-09-07 10:06:31:552,1209171,1209171,0,0,567209787769,5936514151,1193466,12802,2903,380,391758,0 26,2,2024-09-07 10:06:30:865,868869,868869,0,0,45447327,0,4689 26,3,2024-09-07 10:06:31:716,1,689,2,0,796,8568,689,0 27,0,2024-09-07 10:06:31:725,174640,0.4,175339,0.6,348646,0.4,465058,2.25 27,1,2024-09-07 10:06:31:678,1210937,1210937,0,0,569238863508,5930928632,1199654,9661,1622,381,391626,0 27,2,2024-09-07 10:06:30:870,868550,868485,65,0,40309121,0,5699 27,3,2024-09-07 10:06:31:015,1,689,1,0,564,5907,689,0 28,0,2024-09-07 10:06:31:389,168809,0.4,169035,0.6,338019,0.3,450060,1.75 28,1,2024-09-07 10:06:30:800,1211248,1211248,0,0,569198778177,5940911239,1199777,9043,2428,382,391904,0 28,2,2024-09-07 10:06:31:773,872660,872660,0,0,37721287,0,4060 28,3,2024-09-07 10:06:31:777,1,689,1,0,502,7212,689,0 29,0,2024-09-07 10:06:31:378,177157,0.4,172439,0.6,338712,0.4,462139,1.75 29,1,2024-09-07 10:06:31:561,1214116,1214116,0,0,570407232684,5903913576,1206195,6900,1021,367,391809,0 29,2,2024-09-07 10:06:30:864,871528,871528,0,0,35108495,0,4986 29,3,2024-09-07 10:06:30:963,1,689,1,0,590,7826,689,0 30,0,2024-09-07 10:06:31:458,172587,0.6,167959,0.7,352067,0.5,459564,2.00 30,1,2024-09-07 10:06:30:571,1213093,1213093,0,0,569643330461,5916436763,1203282,8643,1168,380,391672,0 30,2,2024-09-07 10:06:31:278,869452,869452,0,0,33992108,0,4192 30,3,2024-09-07 10:06:30:582,1,689,0,0,519,7195,689,0 31,0,2024-09-07 10:06:31:757,174834,0.5,175664,0.7,350375,0.4,467269,2.00 31,1,2024-09-07 10:06:30:564,1217033,1217033,0,0,570989168789,5866642937,1209102,6662,1269,356,391712,0 31,2,2024-09-07 10:06:31:292,870037,870037,0,0,37440347,0,4470 31,3,2024-09-07 10:06:31:707,1,689,1,0,248,6109,689,0 32,0,2024-09-07 10:06:31:419,171882,0.3,172938,0.5,344583,0.2,458219,1.75 32,1,2024-09-07 10:06:30:804,1212593,1212593,0,0,569615879786,5921465302,1205102,6526,965,381,391646,0 32,2,2024-09-07 10:06:30:955,876588,876588,0,0,32797225,0,3922 32,3,2024-09-07 10:06:31:015,1,689,1,0,304,5836,689,0 33,0,2024-09-07 10:06:31:526,170754,0.3,170235,0.4,340454,0.2,453771,1.50 33,1,2024-09-07 10:06:30:576,1213941,1213941,0,0,569872383442,5902001715,1204320,8265,1356,368,391730,0 33,2,2024-09-07 10:06:30:758,873823,873788,35,0,36392160,0,7012 33,3,2024-09-07 10:06:30:909,1,689,1,0,329,6383,689,0 34,0,2024-09-07 10:06:30:944,174516,0.3,179366,0.5,343044,0.2,462981,1.75 34,1,2024-09-07 10:06:31:044,1216715,1216715,0,0,570843869235,5874861504,1212714,3792,209,367,391637,0 34,2,2024-09-07 10:06:30:766,869059,869059,0,0,33874236,0,4562 34,3,2024-09-07 10:06:31:688,1,689,1,0,541,6037,689,0 35,0,2024-09-07 10:06:30:860,174541,0.3,175139,0.5,351195,0.2,467498,1.75 35,1,2024-09-07 10:06:31:067,1212462,1212462,0,0,568967481683,5904369121,1203521,7388,1553,382,391769,0 35,2,2024-09-07 10:06:31:592,869645,869645,0,0,39512342,0,4055 35,3,2024-09-07 10:06:30:912,1,689,0,0,466,6562,689,0 36,0,2024-09-07 10:06:31:515,173564,0.4,173711,0.6,346974,0.4,462102,2.00 36,1,2024-09-07 10:06:30:584,1210985,1210985,0,0,568767066528,5937222832,1196210,12421,2354,366,391759,0 36,2,2024-09-07 10:06:31:751,875098,875098,0,0,39295559,0,3875 36,3,2024-09-07 10:06:30:865,1,689,0,0,556,8766,689,0 37,0,2024-09-07 10:06:31:382,167572,0.5,167477,0.7,335535,0.5,447464,2.25 37,1,2024-09-07 10:06:30:572,1210287,1210280,0,7,567972380825,5930156424,1196099,11067,3114,365,391770,0 37,2,2024-09-07 10:06:31:150,871657,871642,15,0,39452116,0,5815 37,3,2024-09-07 10:06:31:765,1,689,0,0,888,9185,689,0 38,0,2024-09-07 10:06:31:441,172913,0.4,167766,0.7,350610,0.4,460113,2.00 38,1,2024-09-07 10:06:31:605,1210656,1210656,0,0,568366425857,5940968181,1193818,13532,3306,368,391821,0 38,2,2024-09-07 10:06:30:764,868703,868656,47,0,39311339,0,6710 38,3,2024-09-07 10:06:30:997,1,689,2,0,689,7905,689,0 39,0,2024-09-07 10:06:31:759,178224,0.6,174194,0.7,340036,0.5,464228,2.00 39,1,2024-09-07 10:06:30:717,1208664,1208664,0,0,566568111372,5938762424,1189052,15379,4233,365,391865,0 39,2,2024-09-07 10:06:31:416,869374,869374,0,0,37582206,0,3478 39,3,2024-09-07 10:06:30:717,1,689,0,0,525,7632,689,0 40,0,2024-09-07 10:06:31:498,172263,0.8,172949,0.9,345373,0.9,460899,2.75 40,1,2024-09-07 10:06:30:575,1209094,1209094,0,0,566727629831,5940512956,1190291,15220,3583,366,391668,0 40,2,2024-09-07 10:06:31:303,870883,870876,7,0,43582947,0,5347 40,3,2024-09-07 10:06:31:146,1,689,0,0,1028,9821,689,0 41,0,2024-09-07 10:06:31:040,167308,1.2,171300,1.1,326696,1.6,443616,3.00 41,1,2024-09-07 10:06:30:769,1208880,1208880,0,0,567993259250,5940110446,1193170,13185,2525,369,391878,0 41,2,2024-09-07 10:06:30:792,869419,869418,1,0,42491254,0,5408 41,3,2024-09-07 10:06:31:676,1,689,1,0,749,8432,689,0 42,0,2024-09-07 10:06:31:474,172149,0.9,171849,1.0,344094,1.1,456693,2.75 42,1,2024-09-07 10:06:31:439,1206915,1206915,0,0,565786187150,5939483853,1189313,14518,3084,380,391675,0 42,2,2024-09-07 10:06:31:133,867626,867625,1,0,43727476,0,5513 42,3,2024-09-07 10:06:31:010,1,689,0,0,892,6965,689,0 43,0,2024-09-07 10:06:30:921,171580,0.8,166953,1.0,349824,0.9,457372,2.25 43,1,2024-09-07 10:06:30:576,1210040,1210040,0,0,569218079875,5945224099,1193934,13358,2748,365,391696,0 43,2,2024-09-07 10:06:31:739,869904,869904,0,0,40069798,0,4723 43,3,2024-09-07 10:06:31:751,1,689,1,0,571,9289,689,0 44,0,2024-09-07 10:06:30:868,175357,0.4,175523,0.6,350455,0.3,467084,1.75 44,1,2024-09-07 10:06:30:574,1213042,1213042,0,0,569298780009,5888706033,1201716,9175,2151,356,391809,0 44,2,2024-09-07 10:06:31:267,869793,869793,0,0,33731884,0,4344 44,3,2024-09-07 10:06:31:093,1,689,7,0,1097,8908,689,0 45,0,2024-09-07 10:06:31:758,168068,0.4,164190,0.7,344430,0.4,451158,2.00 45,1,2024-09-07 10:06:31:005,1212564,1212564,0,0,569528224576,5921170945,1203016,8327,1221,382,391917,0 45,2,2024-09-07 10:06:31:275,874922,874922,0,0,33900868,0,3596 45,3,2024-09-07 10:06:30:936,1,689,1,0,531,6462,689,0 46,0,2024-09-07 10:06:30:951,170086,0.5,169482,0.7,340079,0.5,452832,2.00 46,1,2024-09-07 10:06:30:581,1214441,1214441,0,0,570392027307,5902399146,1205168,7792,1481,366,391709,0 46,2,2024-09-07 10:06:30:593,874153,874153,0,0,34736956,0,4443 46,3,2024-09-07 10:06:31:136,1,689,1,0,908,7606,689,0 47,0,2024-09-07 10:06:31:101,174798,0.5,174311,0.6,350012,0.5,463843,2.00 47,1,2024-09-07 10:06:30:567,1215839,1215839,0,0,569945857793,5891491373,1208333,6493,1013,364,391666,0 47,2,2024-09-07 10:06:30:913,870919,870919,0,0,35060365,0,4477 47,3,2024-09-07 10:06:31:115,1,689,3,0,600,7501,689,0 48,0,2024-09-07 10:06:31:487,175649,0.3,176162,0.4,350998,0.2,467042,1.50 48,1,2024-09-07 10:06:31:025,1212396,1212396,0,0,568405378099,5906756705,1204728,6656,1012,381,391710,0 48,2,2024-09-07 10:06:30:707,870488,870488,0,0,32137492,0,3619 48,3,2024-09-07 10:06:30:756,1,689,6,0,339,5978,689,0 49,0,2024-09-07 10:06:31:717,177622,0.3,173939,0.5,338344,0.3,461604,1.75 49,1,2024-09-07 10:06:31:022,1211758,1211758,0,0,569107534356,5917804263,1203894,6166,1698,382,391809,0 49,2,2024-09-07 10:06:31:797,876702,876702,0,0,33607359,0,4426 49,3,2024-09-07 10:06:31:416,1,689,1,0,992,8085,689,0 50,0,2024-09-07 10:06:31:513,169021,0.3,167714,0.5,337695,0.2,449454,1.75 50,1,2024-09-07 10:06:31:010,1214659,1214659,0,0,570261376528,5895989939,1207104,6823,732,368,391691,0 50,2,2024-09-07 10:06:31:070,872687,872687,0,0,31560042,0,4490 50,3,2024-09-07 10:06:31:297,1,689,0,0,617,7206,689,0 51,0,2024-09-07 10:06:31:685,179464,0.3,175584,0.5,341963,0.3,466532,1.75 51,1,2024-09-07 10:06:31:680,1215790,1215790,0,0,571607863043,5894408056,1209089,5357,1344,365,391706,0 51,2,2024-09-07 10:06:31:315,868693,868693,0,0,31163210,0,3337 51,3,2024-09-07 10:06:31:028,1,689,2,0,678,5456,689,0 52,0,2024-09-07 10:06:31:419,175133,0.5,175090,0.7,349785,0.4,465389,2.00 52,1,2024-09-07 10:06:30:578,1209879,1209879,0,0,567641541394,5939402925,1193134,14318,2427,368,391805,0 52,2,2024-09-07 10:06:31:757,866007,865969,38,0,42338188,0,6742 52,3,2024-09-07 10:06:30:680,1,689,0,0,1782,7906,689,0 53,0,2024-09-07 10:06:31:734,172644,0.6,167518,0.8,350577,0.7,458854,2.25 53,1,2024-09-07 10:06:30:801,1208942,1208942,0,0,568120139170,5954565059,1189787,14742,4413,367,391968,0 53,2,2024-09-07 10:06:31:298,874889,874751,138,0,38896166,0,7690 53,3,2024-09-07 10:06:30:698,1,689,6,0,308,6465,689,0 54,0,2024-09-07 10:06:31:632,166187,0.6,166674,0.7,331782,0.4,443372,2.25 54,1,2024-09-07 10:06:30:590,1211575,1211575,0,0,569044081054,5914850028,1199798,10103,1674,366,391810,0 54,2,2024-09-07 10:06:30:867,873648,873616,32,0,42044941,0,6397 54,3,2024-09-07 10:06:30:793,1,689,1,0,676,8878,689,0 55,0,2024-09-07 10:06:31:785,167612,0.7,172713,0.8,350582,0.6,456958,2.50 55,1,2024-09-07 10:06:30:767,1212056,1212056,0,0,568018372359,5905858167,1200032,10537,1487,365,391731,0 55,2,2024-09-07 10:06:30:731,868271,868215,56,0,38431885,0,7239 55,3,2024-09-07 10:06:30:681,1,689,1,0,304,6270,689,0 56,0,2024-09-07 10:06:31:609,176939,1.2,166742,1.2,343565,1.6,460295,2.75 56,1,2024-09-07 10:06:30:570,1204689,1204689,0,0,566368493323,5986586062,1185198,15737,3754,381,391867,0 56,2,2024-09-07 10:06:31:322,867688,867566,122,0,41073363,0,7432 56,3,2024-09-07 10:06:31:066,1,689,6,0,705,8209,689,0 57,0,2024-09-07 10:06:30:948,172776,1.3,172809,1.2,345011,1.8,461142,3.00 57,1,2024-09-07 10:06:30:987,1207886,1207886,0,0,566955744920,5946672275,1192174,13008,2704,366,392097,0 57,2,2024-09-07 10:06:31:318,871367,871367,0,0,44029471,0,4804 57,3,2024-09-07 10:06:31:747,1,689,1,0,455,7403,689,0 58,0,2024-09-07 10:06:30:564,166337,0.9,161511,1.0,338085,1.1,442432,2.50 58,1,2024-09-07 10:06:30:576,1208704,1208701,0,3,567730863816,5952259063,1190339,13961,4401,367,391726,3 58,2,2024-09-07 10:06:31:077,873387,873387,0,0,40021149,0,3483 58,3,2024-09-07 10:06:31:069,1,689,4,0,1043,7457,689,0 59,0,2024-09-07 10:06:31:745,171191,0.9,170256,1.0,340601,0.9,452734,2.75 59,1,2024-09-07 10:06:30:803,1208404,1208404,0,0,567034394991,5955721287,1188917,15649,3838,369,391653,0 59,2,2024-09-07 10:06:30:583,871570,871570,0,0,38127803,0,3727 59,3,2024-09-07 10:06:31:743,1,689,1,0,1015,8121,689,0 60,0,2024-09-07 10:06:31:706,173452,0.5,173712,0.7,347267,0.5,463119,2.00 60,1,2024-09-07 10:06:30:779,1212122,1212122,0,0,569325586395,5929897140,1201193,9427,1502,370,392031,0 60,2,2024-09-07 10:06:31:147,869889,869889,0,0,36432439,0,3811 60,3,2024-09-07 10:06:31:265,1,689,1,0,409,7910,689,0 61,0,2024-09-07 10:06:31:508,175034,0.6,175781,0.8,350022,0.6,466805,2.00 61,1,2024-09-07 10:06:30:771,1209024,1209024,0,0,567846072865,5946303555,1196353,10820,1851,382,392127,0 61,2,2024-09-07 10:06:31:121,871100,871033,67,0,37392638,0,6411 61,3,2024-09-07 10:06:31:693,1,689,1,0,607,8549,689,0 62,0,2024-09-07 10:06:31:708,172528,0.5,176916,0.7,337674,0.5,458045,2.00 62,1,2024-09-07 10:06:31:111,1216546,1216540,0,6,571023627789,5895396136,1209288,6635,617,365,391975,6 62,2,2024-09-07 10:06:31:648,872288,872287,1,0,37150290,0,5555 62,3,2024-09-07 10:06:31:147,1,689,1,0,482,5418,689,0 63,0,2024-09-07 10:06:31:455,170576,0.4,170184,0.6,341049,0.3,453818,1.75 63,1,2024-09-07 10:06:30:805,1213688,1213682,0,6,569610453367,5917532772,1205768,6963,951,381,391800,6 63,2,2024-09-07 10:06:30:767,872828,872828,0,0,36310998,0,4369 63,3,2024-09-07 10:06:31:732,1,689,1,0,667,8069,689,0 64,0,2024-09-07 10:06:31:558,173530,0.5,173459,0.7,346507,0.5,461390,2.00 64,1,2024-09-07 10:06:30:756,1211725,1211725,0,0,569203738091,5925979422,1201513,8184,2028,370,391794,0 64,2,2024-09-07 10:06:31:151,871568,871549,19,0,34855513,0,6121 64,3,2024-09-07 10:06:31:146,1,689,0,0,651,7868,689,0 65,0,2024-09-07 10:06:31:680,173778,0.6,174035,0.7,347387,0.6,463773,2.00 65,1,2024-09-07 10:06:30:860,1210034,1210034,0,0,568221491903,5937408909,1201792,7344,898,381,391901,0 65,2,2024-09-07 10:06:31:693,868287,868287,0,0,41819791,0,3367 65,3,2024-09-07 10:06:31:685,1,689,1,0,782,8144,689,0 66,0,2024-09-07 10:06:31:778,172863,0.5,172155,0.7,344399,0.5,458680,2.00 66,1,2024-09-07 10:06:31:298,1211783,1211783,0,0,569160529588,5923376597,1204281,6629,873,380,391743,0 66,2,2024-09-07 10:06:31:132,877657,877654,3,0,36729119,0,5455 66,3,2024-09-07 10:06:31:079,1,689,2,0,291,6148,689,0 67,0,2024-09-07 10:06:31:430,168248,0.5,167799,0.7,336316,0.5,448008,2.00 67,1,2024-09-07 10:06:30:766,1211724,1211723,0,1,568605633283,5920220629,1203289,7294,1140,380,391787,1 67,2,2024-09-07 10:06:30:582,876086,876071,15,0,37166735,0,6205 67,3,2024-09-07 10:06:31:751,1,689,1,0,595,7320,689,0 68,0,2024-09-07 10:06:30:566,173836,0.6,173606,0.7,345731,0.6,463029,2.25 68,1,2024-09-07 10:06:30:570,1207352,1207352,0,0,566231552952,5957470675,1190624,12456,4272,381,391953,0 68,2,2024-09-07 10:06:31:061,863986,863886,100,0,44884024,0,8578 68,3,2024-09-07 10:06:30:737,1,689,0,0,417,9646,689,0 69,0,2024-09-07 10:06:31:769,173997,0.7,174499,0.8,348263,0.7,464041,2.25 69,1,2024-09-07 10:06:31:020,1206537,1206537,0,0,566100319875,5962514426,1191646,11909,2982,383,391994,0 69,2,2024-09-07 10:06:31:733,866267,866238,29,0,47945019,0,6912 69,3,2024-09-07 10:06:30:764,1,689,0,0,698,10360,689,0 70,0,2024-09-07 10:06:31:539,172034,0.7,172791,0.9,346461,0.6,459431,2.50 70,1,2024-09-07 10:06:30:829,1213267,1213267,0,0,570020861295,5915755005,1203818,8404,1045,366,391725,0 70,2,2024-09-07 10:06:31:324,873556,873556,0,0,39449613,0,4323 70,3,2024-09-07 10:06:30:747,1,689,1,0,854,7673,689,0 71,0,2024-09-07 10:06:31:360,167331,0.8,166894,0.9,334638,0.9,446232,2.50 71,1,2024-09-07 10:06:31:602,1210817,1210817,0,0,568219281641,5928139249,1196385,12646,1786,367,391738,0 71,2,2024-09-07 10:06:31:070,871696,871696,0,0,39829764,0,4352 71,3,2024-09-07 10:06:31:757,1,689,17,0,644,7596,689,0 72,0,2024-09-07 10:06:31:040,178807,0.6,174577,0.7,341118,0.5,464116,2.00 72,1,2024-09-07 10:06:31:028,1209367,1209367,0,0,566945877692,5944207726,1192510,14124,2733,369,391819,0 72,2,2024-09-07 10:06:31:757,868464,868464,0,0,41686732,0,3983 72,3,2024-09-07 10:06:31:760,1,689,1,0,564,9527,689,0 73,0,2024-09-07 10:06:31:114,169563,0.5,173855,0.6,355281,0.4,462471,2.00 73,1,2024-09-07 10:06:30:766,1210917,1210917,0,0,568671576870,5911500266,1201389,8464,1064,367,391858,0 73,2,2024-09-07 10:06:31:746,868575,868574,1,0,43653236,0,5027 73,3,2024-09-07 10:06:30:975,1,689,2,0,1091,9303,689,0 74,0,2024-09-07 10:06:31:324,176194,0.5,180524,0.7,344325,0.4,467441,2.00 74,1,2024-09-07 10:06:30:635,1209901,1209901,0,0,568325194509,5929471688,1197542,10211,2148,381,391762,0 74,2,2024-09-07 10:06:31:005,870314,870314,0,0,37925224,0,4253 74,3,2024-09-07 10:06:31:453,1,689,0,0,522,7897,689,0 75,0,2024-09-07 10:06:31:770,169949,0.5,168981,0.7,339187,0.4,452529,2.00 75,1,2024-09-07 10:06:31:593,1210880,1210880,0,0,567763488654,5916059222,1200999,8888,993,380,391739,0 75,2,2024-09-07 10:06:31:349,872480,872480,0,0,45109246,0,4766 75,3,2024-09-07 10:06:31:069,1,689,11,0,918,9502,689,0 76,0,2024-09-07 10:06:30:599,170386,0.6,169816,0.8,339275,0.5,454351,2.25 76,1,2024-09-07 10:06:30:805,1210502,1210502,0,0,567298378983,5919941870,1201608,7584,1310,382,391790,0 76,2,2024-09-07 10:06:31:065,875176,875173,3,0,38115767,0,5265 76,3,2024-09-07 10:06:31:147,1,689,0,0,249,6356,689,0 77,0,2024-09-07 10:06:31:696,173619,0.6,173970,0.7,348234,0.6,462753,2.00 77,1,2024-09-07 10:06:30:825,1209656,1209656,0,0,567279854228,5925538229,1199384,9184,1088,381,391869,0 77,2,2024-09-07 10:06:31:285,867918,867918,0,0,36701219,0,3890 77,3,2024-09-07 10:06:31:094,1,689,1,0,401,7829,689,0 78,0,2024-09-07 10:06:31:722,175924,0.4,175125,0.6,351676,0.4,465178,2.00 78,1,2024-09-07 10:06:30:610,1209745,1209745,0,0,567282475636,5922201131,1194210,12203,3332,367,391670,0 78,2,2024-09-07 10:06:31:412,871069,871056,13,0,34413704,0,8313 78,3,2024-09-07 10:06:31:139,1,689,1,0,311,5958,689,0 79,0,2024-09-07 10:06:31:343,166910,0.4,170986,0.6,350093,0.3,456055,2.00 79,1,2024-09-07 10:06:30:571,1214036,1214036,0,0,570044826285,5905563916,1204223,8011,1802,367,391682,0 79,2,2024-09-07 10:06:31:070,876174,876174,0,0,33472139,0,4195 79,3,2024-09-07 10:06:30:757,1,689,1,0,418,7979,689,0 80,0,2024-09-07 10:06:31:102,168794,0.5,173285,0.7,330991,0.5,448367,2.00 80,1,2024-09-07 10:06:31:626,1210402,1210402,0,0,568359715341,5918804791,1202423,7398,581,368,392269,0 80,2,2024-09-07 10:06:31:095,876140,876140,0,0,33999847,0,4433 80,3,2024-09-07 10:06:30:575,1,689,1,0,681,8324,689,0 81,0,2024-09-07 10:06:31:549,174853,0.6,178921,0.7,341227,0.6,463206,2.00 81,1,2024-09-07 10:06:31:654,1209899,1209899,0,0,567464149667,5932448612,1200030,8874,995,382,392001,0 81,2,2024-09-07 10:06:31:161,867838,867775,63,0,37792376,0,5932 81,3,2024-09-07 10:06:31:121,1,689,1,0,719,7620,689,0 82,0,2024-09-07 10:06:31:531,175146,0.5,175178,0.7,350893,0.5,465941,2.00 82,1,2024-09-07 10:06:30:584,1211793,1211789,0,4,568595765556,5916381107,1204854,5811,1124,381,391768,4 82,2,2024-09-07 10:06:31:691,872268,872268,0,0,31441964,0,4484 82,3,2024-09-07 10:06:31:761,1,689,1,0,363,6233,689,0 83,0,2024-09-07 10:06:31:522,173316,0.5,173150,0.7,346040,0.5,459518,2.25 83,1,2024-09-07 10:06:30:551,1210578,1210578,0,0,568170123267,5924021371,1201589,7963,1026,382,391709,0 83,2,2024-09-07 10:06:30:764,875114,875089,25,0,34326865,0,5612 83,3,2024-09-07 10:06:30:756,1,689,1,0,1260,8149,689,0 84,0,2024-09-07 10:06:31:863,166514,0.7,166596,0.9,333158,0.7,445197,2.25 84,1,2024-09-07 10:06:31:039,1208665,1208665,0,0,567250829339,5933321986,1194977,11605,2083,367,391967,0 84,2,2024-09-07 10:06:30:575,870945,870535,410,0,49389464,0,17037 84,3,2024-09-07 10:06:31:149,1,689,1,0,908,9618,689,0 85,0,2024-09-07 10:06:31:021,166855,0.6,166841,0.8,354216,0.6,457301,2.25 85,1,2024-09-07 10:06:30:559,1205028,1205028,0,0,565689186476,5968596202,1187242,14856,2930,381,392092,0 85,2,2024-09-07 10:06:30:869,868518,868518,0,0,41742429,0,4255 85,3,2024-09-07 10:06:30:698,1,689,11,0,789,7804,689,0 86,0,2024-09-07 10:06:30:885,172958,0.7,177940,0.8,340753,0.8,461039,2.25 86,1,2024-09-07 10:06:30:829,1207545,1207545,0,0,567174470233,5955752159,1189956,14273,3316,366,392169,0 86,2,2024-09-07 10:06:30:867,868339,868338,1,0,43822316,0,5004 86,3,2024-09-07 10:06:30:586,1,689,1,0,441,9577,689,0 87,0,2024-09-07 10:06:31:304,175116,0.8,173872,0.8,348279,0.9,465056,2.25 87,1,2024-09-07 10:06:30:572,1208045,1208045,0,0,566725511914,5935742856,1193129,12754,2162,366,392076,0 87,2,2024-09-07 10:06:31:070,870888,870882,6,0,41120977,0,6323 87,3,2024-09-07 10:06:31:794,1,689,16,0,473,9531,689,0 88,0,2024-09-07 10:06:31:462,168652,0.4,169096,0.6,338222,0.4,450144,1.75 88,1,2024-09-07 10:06:30:591,1206679,1206679,0,0,566544522562,5936309658,1189872,13668,3139,365,392084,0 88,2,2024-09-07 10:06:30:699,872436,872436,0,0,43247723,0,4465 88,3,2024-09-07 10:06:31:289,1,689,1,0,1080,11085,689,0 89,0,2024-09-07 10:06:31:812,177209,0.4,172040,0.6,339047,0.4,461302,1.75 89,1,2024-09-07 10:06:30:550,1206697,1206697,0,0,566938601935,5960923766,1192022,12397,2278,382,391866,0 89,2,2024-09-07 10:06:31:144,871547,871547,0,0,40702297,0,3173 89,3,2024-09-07 10:06:31:791,1,689,1,0,729,12281,689,0 90,0,2024-09-07 10:06:31:618,168495,0.5,172963,0.7,353301,0.5,460935,2.00 90,1,2024-09-07 10:06:30:594,1207991,1207991,0,0,567974455735,5950958388,1195542,11179,1270,380,391825,0 90,2,2024-09-07 10:06:31:414,864668,864663,5,0,43856831,0,6370 90,3,2024-09-07 10:06:30:935,1,689,12,0,364,9052,689,0 91,0,2024-09-07 10:06:30:939,175820,0.5,170537,0.6,356646,0.4,467773,1.75 91,1,2024-09-07 10:06:30:571,1205079,1205079,0,0,566768250001,5977343945,1186369,14562,4148,381,392047,0 91,2,2024-09-07 10:06:31:335,869337,869337,0,0,39159852,0,4713 91,3,2024-09-07 10:06:30:606,1,689,5,0,231,6454,689,0 92,0,2024-09-07 10:06:31:505,173441,0.4,177459,0.6,338241,0.4,458522,1.75 92,1,2024-09-07 10:06:30:586,1209501,1209501,0,0,568144246636,5935920018,1200016,8174,1311,381,392136,0 92,2,2024-09-07 10:06:31:363,874124,874124,0,0,35890145,0,3906 92,3,2024-09-07 10:06:31:013,1,689,1,0,322,6209,689,0 93,0,2024-09-07 10:06:30:986,171079,0.4,175242,0.6,334528,0.4,453653,1.75 93,1,2024-09-07 10:06:30:813,1209962,1209962,0,0,567852455291,5935087022,1195564,11962,2436,365,392048,0 93,2,2024-09-07 10:06:30:939,873132,873132,0,0,41295964,0,4913 93,3,2024-09-07 10:06:31:408,1,689,3,0,788,8598,689,0 94,0,2024-09-07 10:06:31:681,173506,0.4,174580,0.6,349655,0.4,463707,1.75 94,1,2024-09-07 10:06:30:577,1211168,1211168,0,0,568859054899,5933521756,1202891,7627,650,381,391850,0 94,2,2024-09-07 10:06:30:764,868250,868222,28,0,35901420,0,6179 94,3,2024-09-07 10:06:31:693,1,689,1,0,576,8344,689,0 95,0,2024-09-07 10:06:31:345,175097,0.4,174821,0.6,350095,0.3,466709,1.75 95,1,2024-09-07 10:06:30:858,1211872,1211872,0,0,568512906611,5908764083,1202508,8553,811,365,391852,0 95,2,2024-09-07 10:06:31:016,868107,868107,0,0,36059620,0,3308 95,3,2024-09-07 10:06:31:736,1,689,2,0,718,10477,689,0 96,0,2024-09-07 10:06:31:125,173082,0.3,173416,0.5,346886,0.3,461220,1.75 96,1,2024-09-07 10:06:31:583,1209279,1209279,0,0,567736014932,5924896145,1200361,7540,1378,384,391964,0 96,2,2024-09-07 10:06:31:268,876852,876852,0,0,36554384,0,4225 96,3,2024-09-07 10:06:31:147,1,689,1,0,411,7714,689,0 97,0,2024-09-07 10:06:31:381,168179,0.3,168061,0.5,336776,0.3,448020,1.50 97,1,2024-09-07 10:06:30:771,1212342,1212342,0,0,569527071975,5910574048,1204414,6685,1243,367,392140,0 97,2,2024-09-07 10:06:30:647,874718,874718,0,0,36579329,0,4600 97,3,2024-09-07 10:06:30:579,1,689,17,0,433,8444,689,0 98,0,2024-09-07 10:06:31:729,173321,0.3,173354,0.5,348340,0.3,463445,1.50 98,1,2024-09-07 10:06:30:571,1211205,1211205,0,0,568264732871,5919507240,1203763,6543,899,381,391997,0 98,2,2024-09-07 10:06:30:781,868049,868049,0,0,34863628,0,4336 98,3,2024-09-07 10:06:30:699,1,689,11,0,840,9643,689,0 99,0,2024-09-07 10:06:31:496,174844,0.3,175677,0.5,350104,0.3,466734,1.75 99,1,2024-09-07 10:06:31:730,1212258,1212258,0,0,568319053855,5915945523,1204472,6677,1109,380,392069,0 99,2,2024-09-07 10:06:31:436,868711,868711,0,0,43999844,0,4276 99,3,2024-09-07 10:06:30:595,1,689,44,0,1124,8710,689,0 100,0,2024-09-07 10:06:31:468,173389,0.8,173982,0.9,347673,0.8,463452,2.50 100,1,2024-09-07 10:06:30:549,1204933,1204933,0,0,565374171163,5979956672,1185891,15247,3795,378,391989,0 100,2,2024-09-07 10:06:31:821,869470,869081,389,0,45439493,0,16909 100,3,2024-09-07 10:06:31:731,1,689,1,0,627,10758,689,0 101,0,2024-09-07 10:06:31:716,171974,0.9,167799,1.0,328300,0.8,448125,2.25 101,1,2024-09-07 10:06:30:551,1207024,1207024,0,0,566900223147,5958008262,1190449,13623,2952,368,392018,0 101,2,2024-09-07 10:06:31:762,867494,867455,39,0,47314273,0,5913 101,3,2024-09-07 10:06:30:948,1,689,1,0,1250,10627,689,0 102,0,2024-09-07 10:06:30:952,168425,0.6,173470,0.8,352432,0.6,460949,2.25 102,1,2024-09-07 10:06:31:148,1207052,1207052,0,0,566817693556,5952218892,1191240,13556,2256,369,391984,0 102,2,2024-09-07 10:06:31:754,871332,871278,54,0,39143615,0,6768 102,3,2024-09-07 10:06:31:628,1,689,70,0,466,8056,689,0 103,0,2024-09-07 10:06:31:610,179392,0.7,179339,0.8,337919,0.8,464841,2.25 103,1,2024-09-07 10:06:31:633,1205774,1205774,0,0,566135948029,5976433410,1186864,15284,3626,381,392077,0 103,2,2024-09-07 10:06:30:582,867108,867108,0,0,42534178,0,3766 103,3,2024-09-07 10:06:30:758,1,689,3,0,916,8206,689,0 104,0,2024-09-07 10:06:31:014,173914,0.7,174289,0.9,347787,0.7,464627,2.25 104,1,2024-09-07 10:06:31:602,1207812,1207812,0,0,566293111553,5952940728,1189381,14972,3459,365,392168,0 104,2,2024-09-07 10:06:31:670,868356,868356,0,0,41655019,0,4161 104,3,2024-09-07 10:06:31:416,1,689,2,0,1245,11738,689,0 105,0,2024-09-07 10:06:31:036,168024,0.8,163686,1.0,343206,0.9,450347,2.50 105,1,2024-09-07 10:06:30:558,1210048,1210048,0,0,566814655751,5936661617,1195277,12656,2115,364,392009,0 105,2,2024-09-07 10:06:31:326,872227,872227,0,0,42974620,0,4360 105,3,2024-09-07 10:06:31:307,1,689,15,0,573,10245,689,0 106,0,2024-09-07 10:06:30:947,165005,0.9,169110,1.0,345912,1.2,452605,2.50 106,1,2024-09-07 10:06:31:751,1207979,1207979,0,0,566784356830,5946022353,1191553,14275,2151,368,391914,0 106,2,2024-09-07 10:06:30:758,872432,872432,0,0,39643565,0,3331 106,3,2024-09-07 10:06:30:683,1,689,1,0,1224,9580,689,0 107,0,2024-09-07 10:06:31:115,173741,1.3,173694,1.1,347557,1.9,463721,2.25 107,1,2024-09-07 10:06:30:585,1205522,1205522,0,0,565256947108,5973913450,1186429,16837,2256,381,392234,0 107,2,2024-09-07 10:06:31:304,864431,864430,1,0,43159959,0,5024 107,3,2024-09-07 10:06:31:760,1,689,1,0,733,10874,689,0 108,0,2024-09-07 10:06:31:773,175010,0.4,175828,0.6,350667,0.4,466995,1.75 108,1,2024-09-07 10:06:31:302,1209142,1209142,0,0,567606351452,5928118519,1197676,10205,1261,367,391894,0 108,2,2024-09-07 10:06:31:762,870143,870143,0,0,38714255,0,4432 108,3,2024-09-07 10:06:31:331,1,689,1,0,767,12470,689,0 109,0,2024-09-07 10:06:31:790,173456,0.4,172083,0.6,345299,0.3,460587,1.75 109,1,2024-09-07 10:06:30:583,1207282,1207282,0,0,567020724189,5949853090,1196632,9145,1505,382,392132,0 109,2,2024-09-07 10:06:30:942,873638,873638,0,0,38772917,0,3617 109,3,2024-09-07 10:06:31:357,1,689,2,0,630,7934,689,0 110,0,2024-09-07 10:06:31:755,168436,0.4,163734,0.6,342944,0.3,450375,1.75 110,1,2024-09-07 10:06:31:654,1211851,1211851,0,0,569505606711,5914670735,1202387,7379,2085,368,392045,0 110,2,2024-09-07 10:06:31:304,873558,873558,0,0,37904435,0,4067 110,3,2024-09-07 10:06:30:691,1,689,1,0,722,9335,689,0 111,0,2024-09-07 10:06:31:413,175535,0.4,174752,0.6,349098,0.4,466001,1.75 111,1,2024-09-07 10:06:31:005,1213083,1213083,0,0,569547035297,5914662042,1205834,6743,506,380,391690,0 111,2,2024-09-07 10:06:31:116,867818,867818,0,0,37239357,0,4823 111,3,2024-09-07 10:06:30:924,1,689,6,0,379,7819,689,0 112,0,2024-09-07 10:06:30:914,175766,0.3,175417,0.4,351627,0.2,467265,1.50 112,1,2024-09-07 10:06:30:827,1211878,1211878,0,0,568591139109,5902335191,1204254,6398,1226,380,391624,0 112,2,2024-09-07 10:06:31:147,870044,870043,1,0,35131678,0,5036 112,3,2024-09-07 10:06:30:592,1,689,1,0,282,6826,689,0 113,0,2024-09-07 10:06:30:867,173199,0.3,173586,0.5,347346,0.2,461874,1.75 113,1,2024-09-07 10:06:31:694,1215593,1215593,0,0,570948391992,5890339914,1208682,5960,951,365,391664,0 113,2,2024-09-07 10:06:31:304,877566,877566,0,0,32547550,0,3813 113,3,2024-09-07 10:06:30:695,1,689,1,0,510,6996,689,0 114,0,2024-09-07 10:06:30:890,167989,0.3,169152,0.5,337460,0.2,450741,1.75 114,1,2024-09-07 10:06:30:721,1211597,1211597,0,0,569466838408,5913034832,1202770,7023,1804,381,391565,0 114,2,2024-09-07 10:06:30:873,875149,875148,1,0,34989819,0,5069 114,3,2024-09-07 10:06:31:289,1,689,0,0,415,5684,689,0 115,0,2024-09-07 10:06:30:556,174387,0.3,174955,0.4,348577,0.2,463864,1.50 115,1,2024-09-07 10:06:30:571,1212611,1212611,0,0,569891120105,5920169003,1203057,7763,1791,382,391757,0 115,2,2024-09-07 10:06:31:126,870816,870816,0,0,34849123,0,4382 115,3,2024-09-07 10:06:31:005,1,689,1,0,173,4407,689,0 116,0,2024-09-07 10:06:31:701,172994,0.8,173096,0.9,346768,0.8,463638,2.25 116,1,2024-09-07 10:06:30:818,1205873,1205873,0,0,566830672419,5971069428,1191809,10849,3215,380,392089,0 116,2,2024-09-07 10:06:31:752,869337,869337,0,0,42022373,0,4475 116,3,2024-09-07 10:06:30:914,1,689,0,0,448,8873,689,0 117,0,2024-09-07 10:06:31:019,174836,0.6,174558,0.8,349402,0.6,466394,2.00 117,1,2024-09-07 10:06:31:588,1208469,1208469,0,0,567266871762,5941940028,1194651,12054,1764,369,392429,0 117,2,2024-09-07 10:06:31:152,873285,873285,0,0,38633454,0,4303 117,3,2024-09-07 10:06:31:069,1,689,22,0,490,8457,689,0 118,0,2024-09-07 10:06:31:927,163634,0.5,168032,0.7,342847,0.5,448451,2.00 118,1,2024-09-07 10:06:30:610,1206755,1206755,0,0,566214728791,5950351139,1189255,13655,3845,366,392054,0 118,2,2024-09-07 10:06:31:586,872384,872384,0,0,41116643,0,3161 118,3,2024-09-07 10:06:31:764,1,689,20,0,343,8240,689,0 119,0,2024-09-07 10:06:31:370,172137,0.7,172398,0.8,344399,0.7,459725,2.25 119,1,2024-09-07 10:06:30:549,1207859,1207859,0,0,567048970401,5946091840,1191844,13348,2667,367,391857,0 119,2,2024-09-07 10:06:31:264,872252,872252,0,0,38140144,0,4309 119,3,2024-09-07 10:06:31:326,1,689,3,0,1358,12178,689,0 120,0,2024-09-07 10:06:31:553,172772,0.7,172744,0.9,346603,0.8,462226,2.50 120,1,2024-09-07 10:06:30:865,1208706,1208706,0,0,566516023104,5940223666,1196040,11508,1158,367,392144,0 120,2,2024-09-07 10:06:30:770,867299,867296,3,0,45758090,0,5363 120,3,2024-09-07 10:06:31:299,1,689,1,0,478,8857,689,0 121,0,2024-09-07 10:06:31:700,175181,1.1,174692,1.0,349491,1.4,465587,2.25 121,1,2024-09-07 10:06:31:655,1208270,1208270,0,0,567089339940,5936911596,1194748,11526,1996,366,391840,0 121,2,2024-09-07 10:06:31:137,869836,869836,0,0,41560774,0,4157 121,3,2024-09-07 10:06:30:730,1,689,2,0,387,9230,689,0 122,0,2024-09-07 10:06:31:784,171255,0.7,166698,0.8,348642,0.8,457952,2.00 122,1,2024-09-07 10:06:30:862,1207575,1207575,0,0,566923579610,5946567590,1191305,13693,2577,365,392130,0 122,2,2024-09-07 10:06:31:320,874087,874012,75,0,45793631,0,5989 122,3,2024-09-07 10:06:30:594,1,689,1,0,512,10497,689,0 123,0,2024-09-07 10:06:30:981,168996,0.8,164727,0.8,345323,0.9,451676,2.25 123,1,2024-09-07 10:06:30:573,1208506,1208506,0,0,567080220488,5962566739,1189420,16060,3026,369,392039,0 123,2,2024-09-07 10:06:31:023,871990,871989,1,0,39302812,0,5215 123,3,2024-09-07 10:06:31:132,1,689,2,0,478,7762,689,0 124,0,2024-09-07 10:06:30:971,179122,0.4,179154,0.5,337518,0.3,464578,1.75 124,1,2024-09-07 10:06:31:054,1211823,1211823,0,0,568342331551,5911291518,1202289,8273,1261,365,392178,0 124,2,2024-09-07 10:06:31:010,869973,869920,53,0,36512484,0,6487 124,3,2024-09-07 10:06:30:771,1,689,8,0,490,7209,689,0 125,0,2024-09-07 10:06:31:473,175036,0.4,174895,0.6,350541,0.3,467234,1.75 125,1,2024-09-07 10:06:30:855,1208733,1208733,0,0,567211643682,5928786701,1197693,9458,1582,382,392045,0 125,2,2024-09-07 10:06:31:114,872079,872079,0,0,36075093,0,4534 125,3,2024-09-07 10:06:31:137,1,689,6,0,709,7681,689,0 126,0,2024-09-07 10:06:31:438,173493,0.4,178364,0.5,340851,0.3,462050,1.75 126,1,2024-09-07 10:06:30:554,1212377,1212377,0,0,569373169755,5900904219,1205102,6573,702,365,391987,0 126,2,2024-09-07 10:06:30:609,876756,876756,0,0,37849165,0,4539 126,3,2024-09-07 10:06:30:911,1,689,1,0,268,7839,689,0 127,0,2024-09-07 10:06:31:641,168461,0.3,168876,0.5,336738,0.3,448312,1.75 127,1,2024-09-07 10:06:30:572,1211172,1211172,0,0,568535933441,5917259793,1198718,10678,1776,364,392187,0 127,2,2024-09-07 10:06:30:636,874386,874382,4,0,35775586,0,5305 127,3,2024-09-07 10:06:31:290,1,689,2,0,968,6802,689,0 128,0,2024-09-07 10:06:31:527,174456,0.3,174403,0.4,348968,0.2,464230,1.50 128,1,2024-09-07 10:06:31:609,1210316,1210316,0,0,568951174735,5916015972,1201329,8015,972,367,392423,0 128,2,2024-09-07 10:06:31:387,870347,870347,0,0,33245368,0,3171 128,3,2024-09-07 10:06:30:769,1,689,1,0,1082,10337,689,0 129,0,2024-09-07 10:06:31:008,176077,0.3,175388,0.5,351686,0.3,467496,1.50 129,1,2024-09-07 10:06:30:570,1207281,1207281,0,0,566615716927,5944791543,1194338,10614,2329,379,391962,0 129,2,2024-09-07 10:06:30:692,871626,871622,4,0,36021070,0,5335 129,3,2024-09-07 10:06:30:691,1,689,1,0,506,9903,689,0 130,0,2024-09-07 10:06:31:713,174622,0.4,174478,0.5,349343,0.4,464965,1.75 130,1,2024-09-07 10:06:30:582,1212226,1212226,0,0,568731142061,5906126603,1206380,5439,407,381,391825,0 130,2,2024-09-07 10:06:31:128,873947,873947,0,0,35812110,0,4067 130,3,2024-09-07 10:06:31:294,1,689,1,0,960,8902,689,0 131,0,2024-09-07 10:06:31:922,168288,0.3,168797,0.5,338404,0.3,449761,1.50 131,1,2024-09-07 10:06:31:819,1211147,1211147,0,0,568979984274,5929338162,1203000,6936,1211,381,391865,0 131,2,2024-09-07 10:06:30:567,873467,873467,0,0,34087302,0,3979 131,3,2024-09-07 10:06:31:689,1,689,3,0,392,8663,689,0 132,0,2024-09-07 10:06:31:416,173717,0.5,174644,0.6,347560,0.4,463012,2.00 132,1,2024-09-07 10:06:30:577,1206986,1206986,0,0,567217292142,5967203229,1190486,13718,2782,381,392532,0 132,2,2024-09-07 10:06:30:699,870522,870505,17,0,42535534,0,6451 132,3,2024-09-07 10:06:31:688,1,689,14,0,1298,11812,689,0 133,0,2024-09-07 10:06:31:546,169575,0.5,173661,0.6,355994,0.4,463132,2.00 133,1,2024-09-07 10:06:30:583,1206749,1206749,0,0,566750668525,5967448561,1191311,13504,1934,383,391914,0 133,2,2024-09-07 10:06:31:094,869861,869811,50,0,43576478,0,6861 133,3,2024-09-07 10:06:31:299,1,689,1,0,528,8021,689,0 134,0,2024-09-07 10:06:30:955,175888,0.5,175814,0.7,351432,0.5,467892,2.00 134,1,2024-09-07 10:06:30:584,1208171,1208171,0,0,566735206989,5946239052,1192087,12792,3292,366,391781,0 134,2,2024-09-07 10:06:31:760,870086,869947,139,0,41200616,0,7591 134,3,2024-09-07 10:06:30:754,1,689,1,0,739,8467,689,0 135,0,2024-09-07 10:06:31:121,164580,0.7,164503,0.8,349230,0.7,449709,2.25 135,1,2024-09-07 10:06:31:605,1207646,1207646,0,0,566893485285,5957800814,1193244,12550,1852,380,392038,0 135,2,2024-09-07 10:06:30:691,874583,874583,0,0,41790953,0,4503 135,3,2024-09-07 10:06:31:012,1,689,0,0,900,6655,689,0 136,0,2024-09-07 10:06:31:659,170984,0.6,171594,0.8,341157,0.6,455790,2.25 136,1,2024-09-07 10:06:31:448,1209021,1209021,0,0,567076819588,5940838680,1196191,11404,1426,381,392135,0 136,2,2024-09-07 10:06:31:148,874572,874557,15,0,40832466,0,6007 136,3,2024-09-07 10:06:31:109,1,689,0,0,637,8120,689,0 137,0,2024-09-07 10:06:30:926,179490,0.6,174979,0.8,342588,0.7,465367,2.00 137,1,2024-09-07 10:06:30:576,1207914,1207914,0,0,567319490552,5947741818,1189484,14791,3639,366,391898,0 137,2,2024-09-07 10:06:31:707,867301,867301,0,0,41788545,0,3185 137,3,2024-09-07 10:06:30:780,1,689,0,0,484,9767,689,0 138,0,2024-09-07 10:06:31:739,174365,0.9,174682,0.9,349889,1.1,465264,2.25 138,1,2024-09-07 10:06:31:690,1207542,1207542,0,0,567240200875,5952493404,1190408,14443,2691,368,391954,0 138,2,2024-09-07 10:06:30:585,868330,868330,0,0,40289331,0,4988 138,3,2024-09-07 10:06:30:610,1,689,1,0,1200,10345,689,0 139,0,2024-09-07 10:06:31:389,171401,0.9,172031,0.9,343930,1.1,458958,2.25 139,1,2024-09-07 10:06:30:576,1203879,1203879,0,0,564079821825,5975684849,1183981,15984,3914,380,392109,0 139,2,2024-09-07 10:06:30:692,869468,869438,30,0,45901970,0,5997 139,3,2024-09-07 10:06:31:665,1,689,4,0,432,8187,689,0 140,0,2024-09-07 10:06:31:592,169030,0.3,168349,0.5,337759,0.2,450624,1.75 140,1,2024-09-07 10:06:31:539,1215026,1215026,0,0,570063714602,5884370818,1208224,5999,803,364,391628,0 140,2,2024-09-07 10:06:30:690,874121,874120,1,0,34549671,0,5036 140,3,2024-09-07 10:06:30:768,1,689,1,0,575,6970,689,0 141,0,2024-09-07 10:06:31:706,175456,0.3,180116,0.5,344135,0.3,465675,1.75 141,1,2024-09-07 10:06:30:864,1212398,1212398,0,0,569262710293,5918567095,1202054,8951,1393,379,391614,0 141,2,2024-09-07 10:06:31:687,868522,868511,11,0,37037781,0,5369 141,3,2024-09-07 10:06:31:053,1,689,3,0,391,8111,689,0 142,0,2024-09-07 10:06:31:305,176113,0.3,175294,0.5,350917,0.3,468181,1.50 142,1,2024-09-07 10:06:30:584,1210200,1210200,0,0,568228274670,5930627813,1201149,8157,894,382,392102,0 142,2,2024-09-07 10:06:31:299,868768,868736,32,0,36669395,0,6028 142,3,2024-09-07 10:06:31:750,1,689,3,0,484,7042,689,0 143,0,2024-09-07 10:06:31:398,173290,0.4,173202,0.5,347474,0.4,461866,1.75 143,1,2024-09-07 10:06:30:556,1213320,1213320,0,0,569278240621,5909989565,1204916,7433,971,367,391900,0 143,2,2024-09-07 10:06:30:770,876192,876192,0,0,36305005,0,3123 143,3,2024-09-07 10:06:31:149,1,689,1,0,462,8094,689,0 144,0,2024-09-07 10:06:31:556,162917,0.5,167611,0.7,341180,0.5,446918,2.00 144,1,2024-09-07 10:06:30:565,1207161,1207161,0,0,566784915314,5947008035,1195416,9701,2044,381,391733,0 144,2,2024-09-07 10:06:31:761,874580,874580,0,0,35881893,0,4443 144,3,2024-09-07 10:06:31:748,1,689,1,0,306,7371,689,0 145,0,2024-09-07 10:06:31:362,168130,0.6,168110,0.8,356893,0.5,459248,2.25 145,1,2024-09-07 10:06:30:553,1206262,1206262,0,0,566584523963,5962727000,1190060,13234,2968,382,391781,0 145,2,2024-09-07 10:06:31:429,868327,868245,82,0,41620813,0,7814 145,3,2024-09-07 10:06:30:936,1,689,17,0,622,9190,689,0 146,0,2024-09-07 10:06:31:607,173608,0.7,172756,0.8,347301,0.7,461760,2.25 146,1,2024-09-07 10:06:31:592,1207984,1207984,0,0,566133302909,5954416192,1188844,14214,4926,367,391829,0 146,2,2024-09-07 10:06:31:724,867613,867607,6,0,39744787,0,5151 146,3,2024-09-07 10:06:31:292,1,689,1,0,1520,10882,689,0 147,0,2024-09-07 10:06:31:702,174862,0.6,174572,0.7,349091,0.5,465481,2.00 147,1,2024-09-07 10:06:31:380,1211787,1211787,0,0,568559212126,5911684808,1201831,8858,1098,367,391791,0 147,2,2024-09-07 10:06:31:011,871550,871550,0,0,37645344,0,4531 147,3,2024-09-07 10:06:30:914,1,689,2,0,1626,10375,689,0 0,0,2024-09-07 10:06:41:730,168779,0.7,168836,0.8,358386,0.8,462993,2.00 0,1,2024-09-07 10:06:40:809,1210731,1210731,0,0,568037821752,5946992372,1200853,8905,973,368,391896,0 0,2,2024-09-07 10:06:41:067,870794,870794,0,0,35490168,0,4480 0,3,2024-09-07 10:06:40:979,1,690,1,0,538,9890,690,0 1,0,2024-09-07 10:06:41:798,175195,0.8,174271,0.9,349604,1.0,467618,2.00 1,1,2024-09-07 10:06:40:578,1209681,1209681,0,0,567733095899,5949057785,1198285,9426,1970,370,391859,0 1,2,2024-09-07 10:06:40:652,872447,872447,0,0,35063453,0,3380 1,3,2024-09-07 10:06:41:330,1,690,10,0,269,8241,690,0 2,0,2024-09-07 10:06:41:582,171799,0.6,171543,0.7,342825,0.6,456788,2.00 2,1,2024-09-07 10:06:40:867,1214062,1214062,0,0,569543987223,5922967326,1207132,5969,961,379,391805,0 2,2,2024-09-07 10:06:41:272,876139,876139,0,0,33909426,0,3594 2,3,2024-09-07 10:06:40:698,1,690,9,0,357,6123,690,0 3,0,2024-09-07 10:06:41:756,170282,0.4,170175,0.6,339882,0.4,453221,2.00 3,1,2024-09-07 10:06:41:625,1211545,1211545,0,0,568790581694,5917287574,1202951,7876,718,379,391716,0 3,2,2024-09-07 10:06:41:142,876247,876224,23,0,34638136,0,5851 3,3,2024-09-07 10:06:41:757,1,690,1,0,484,5211,690,0 4,0,2024-09-07 10:06:41:766,169251,0.4,173804,0.5,354626,0.4,463266,1.75 4,1,2024-09-07 10:06:40:598,1206330,1206330,0,0,566462669882,5990903830,1186441,15288,4601,369,391992,0 4,2,2024-09-07 10:06:41:020,866905,866905,0,0,41920971,0,4534 4,3,2024-09-07 10:06:41:029,1,690,1,0,448,9347,690,0 5,0,2024-09-07 10:06:41:521,175358,0.4,175149,0.6,350673,0.4,467162,1.75 5,1,2024-09-07 10:06:40:782,1207950,1207950,0,0,566658007524,5977303464,1190292,13421,4237,367,392005,0 5,2,2024-09-07 10:06:41:832,867259,867226,33,0,42265820,0,7631 5,3,2024-09-07 10:06:41:742,1,690,6,0,457,9070,690,0 6,0,2024-09-07 10:06:40:925,173359,0.5,172819,0.6,345991,0.4,461004,2.00 6,1,2024-09-07 10:06:40:767,1210917,1210917,0,0,568484033697,5934855660,1198751,10481,1685,379,391702,0 6,2,2024-09-07 10:06:41:137,876735,876717,18,0,39270185,0,5535 6,3,2024-09-07 10:06:41:280,1,690,0,0,710,7944,690,0 7,0,2024-09-07 10:06:41:535,167897,0.5,169063,0.7,335461,0.5,447576,2.00 7,1,2024-09-07 10:06:40:855,1208493,1208493,0,0,566889756180,5964048948,1190659,13697,4137,382,391747,0 7,2,2024-09-07 10:06:40:787,873756,873587,169,0,40058913,0,7706 7,3,2024-09-07 10:06:40:851,1,690,1,0,552,8167,690,0 8,0,2024-09-07 10:06:41:352,174514,0.4,174146,0.5,348706,0.4,465780,1.75 8,1,2024-09-07 10:06:41:018,1207274,1207274,0,0,567478447371,5966923125,1188416,14812,4046,366,392853,0 8,2,2024-09-07 10:06:40:796,864034,864032,2,0,44920098,0,5112 8,3,2024-09-07 10:06:40:592,1,690,2,0,772,10336,690,0 9,0,2024-09-07 10:06:41:178,175164,0.4,170070,0.5,356071,0.3,467503,1.75 9,1,2024-09-07 10:06:40:556,1206978,1206978,0,0,566929416071,5978198375,1187307,15321,4350,369,392001,0 9,2,2024-09-07 10:06:41:088,869327,869326,1,0,42899795,0,5281 9,3,2024-09-07 10:06:41:755,1,690,6,0,1273,11039,690,0 10,0,2024-09-07 10:06:41:616,174019,0.4,173557,0.5,347851,0.3,462850,1.75 10,1,2024-09-07 10:06:40:588,1208920,1208920,0,0,567631963907,5957069330,1190859,14327,3734,381,391981,0 10,2,2024-09-07 10:06:40:777,874830,874830,0,0,46067470,0,4713 10,3,2024-09-07 10:06:40:875,1,690,1,0,669,7358,690,0 11,0,2024-09-07 10:06:41:008,168292,0.4,163336,0.6,341880,0.4,450172,1.75 11,1,2024-09-07 10:06:40:577,1211264,1211264,0,0,567962721091,5954779877,1194379,12575,4310,383,391766,0 11,2,2024-09-07 10:06:41:123,873301,873301,0,0,39788603,0,4698 11,3,2024-09-07 10:06:41:304,1,690,5,0,843,8402,690,0 12,0,2024-09-07 10:06:40:960,174897,0.4,174611,0.6,348890,0.4,464422,1.75 12,1,2024-09-07 10:06:40:938,1210356,1210356,0,0,567844109096,5925690546,1200504,8825,1027,368,391960,0 12,2,2024-09-07 10:06:41:541,869330,869330,0,0,39741668,0,4390 12,3,2024-09-07 10:06:41:062,1,690,1,0,386,8526,690,0 13,0,2024-09-07 10:06:41:411,174942,0.4,175111,0.6,349688,0.4,465372,1.75 13,1,2024-09-07 10:06:41:535,1207745,1207745,0,0,567087916420,5969996038,1193586,11080,3079,382,391803,0 13,2,2024-09-07 10:06:40:597,872235,872235,0,0,35972562,0,3287 13,3,2024-09-07 10:06:41:764,1,690,11,0,522,9250,690,0 14,0,2024-09-07 10:06:40:567,175260,0.4,176316,0.6,350709,0.4,466362,1.75 14,1,2024-09-07 10:06:41:567,1216308,1216308,0,0,571021046760,5907846889,1207053,8105,1150,364,391673,0 14,2,2024-09-07 10:06:40:770,872845,872815,30,0,37104752,0,6104 14,3,2024-09-07 10:06:41:131,1,690,1,0,1168,7137,690,0 15,0,2024-09-07 10:06:41:555,169930,0.4,169014,0.6,338563,0.3,451397,1.75 15,1,2024-09-07 10:06:41:611,1212490,1212490,0,0,569435178215,5935737670,1201626,8768,2096,380,391619,0 15,2,2024-09-07 10:06:41:002,876647,876647,0,0,33195294,0,3622 15,3,2024-09-07 10:06:41:413,1,690,0,0,1126,8634,690,0 16,0,2024-09-07 10:06:40:967,170985,0.6,171945,0.8,342962,0.6,457202,2.00 16,1,2024-09-07 10:06:40:601,1212131,1212131,0,0,568580428715,5944535864,1201391,9196,1544,370,392194,0 16,2,2024-09-07 10:06:41:450,873451,873451,0,0,36277342,0,4719 16,3,2024-09-07 10:06:41:154,1,690,4,0,362,8547,690,0 17,0,2024-09-07 10:06:41:874,179606,0.7,175065,0.8,342953,0.7,465950,2.00 17,1,2024-09-07 10:06:40:592,1210322,1210322,0,0,567420634096,5948459103,1199201,9320,1801,368,392075,0 17,2,2024-09-07 10:06:41:678,872686,872685,1,0,37418170,0,5050 17,3,2024-09-07 10:06:40:593,1,690,19,0,518,9893,690,0 18,0,2024-09-07 10:06:40:952,173699,0.6,174817,0.8,347895,0.6,464557,2.25 18,1,2024-09-07 10:06:41:640,1215215,1215215,0,0,569387252981,5907522893,1207033,6752,1430,367,391725,0 18,2,2024-09-07 10:06:41:755,873470,873470,0,0,33901764,0,3541 18,3,2024-09-07 10:06:40:909,1,690,1,0,1059,6290,690,0 19,0,2024-09-07 10:06:41:544,172210,0.6,172699,0.8,344276,0.6,457537,2.00 19,1,2024-09-07 10:06:40:634,1214277,1214277,0,0,571331802463,5920146511,1205422,7695,1160,365,391777,0 19,2,2024-09-07 10:06:41:752,878831,878831,0,0,31741358,0,3988 19,3,2024-09-07 10:06:41:137,1,690,0,0,524,5504,690,0 20,0,2024-09-07 10:06:41:437,168919,0.5,168913,0.7,337480,0.5,450802,2.00 20,1,2024-09-07 10:06:40:575,1209690,1209690,0,0,568431216569,5957199622,1197415,10566,1709,369,391922,0 20,2,2024-09-07 10:06:40:929,874525,874525,0,0,40029080,0,4321 20,3,2024-09-07 10:06:40:597,1,690,1,0,468,11552,690,0 21,0,2024-09-07 10:06:41:367,175027,0.5,175016,0.7,349911,0.5,464461,2.00 21,1,2024-09-07 10:06:41:537,1207462,1207462,0,0,566625426948,5979229192,1188706,14767,3989,368,392016,0 21,2,2024-09-07 10:06:41:102,863277,862800,477,0,51150070,0,17074 21,3,2024-09-07 10:06:41:415,1,690,2,0,713,9092,690,0 22,0,2024-09-07 10:06:41:718,175255,0.5,175896,0.7,351443,0.4,466615,2.00 22,1,2024-09-07 10:06:41:029,1208798,1208798,0,0,567716602646,5971013599,1189715,15479,3604,381,391822,0 22,2,2024-09-07 10:06:40:765,869668,869642,26,0,37935617,0,6328 22,3,2024-09-07 10:06:41:078,1,690,20,0,228,5646,690,0 23,0,2024-09-07 10:06:41:374,173090,0.5,172944,0.6,345833,0.4,460933,2.00 23,1,2024-09-07 10:06:41:008,1210319,1210319,0,0,567970197641,5978176310,1189074,14422,6823,365,391690,0 23,2,2024-09-07 10:06:41:105,876636,876636,0,0,36200476,0,3773 23,3,2024-09-07 10:06:41:754,1,690,0,0,855,9735,690,0 24,0,2024-09-07 10:06:40:839,169450,0.4,168304,0.5,338451,0.3,450103,1.75 24,1,2024-09-07 10:06:40:588,1208794,1208794,0,0,567396712998,5938793080,1197193,9725,1876,367,392269,0 24,2,2024-09-07 10:06:41:073,874300,874297,3,0,42606755,0,6294 24,3,2024-09-07 10:06:41:697,1,690,1,0,468,9016,690,0 25,0,2024-09-07 10:06:41:450,178730,0.4,173612,0.6,341890,0.4,465444,2.00 25,1,2024-09-07 10:06:40:559,1207738,1207738,0,0,566885481904,5987683173,1186991,16752,3995,369,391928,0 25,2,2024-09-07 10:06:41:611,867547,867547,0,0,44207140,0,3978 25,3,2024-09-07 10:06:41:007,1,690,0,0,532,7545,690,0 26,0,2024-09-07 10:06:41:724,173420,0.5,169428,0.6,355486,0.4,464025,2.00 26,1,2024-09-07 10:06:41:541,1210925,1210925,0,0,567961191746,5944214109,1195220,12802,2903,380,391758,0 26,2,2024-09-07 10:06:40:867,870328,870328,0,0,45478320,0,4689 26,3,2024-09-07 10:06:41:714,1,690,1,0,796,8569,690,0 27,0,2024-09-07 10:06:41:742,174803,0.4,175497,0.6,348970,0.4,465523,2.25 27,1,2024-09-07 10:06:41:684,1212725,1212725,0,0,570191289350,5940597200,1201442,9661,1622,381,391626,0 27,2,2024-09-07 10:06:40:876,870109,870044,65,0,40336570,0,5699 27,3,2024-09-07 10:06:41:015,1,690,2,0,564,5909,690,0 28,0,2024-09-07 10:06:41:400,169118,0.4,169357,0.6,338640,0.3,450994,1.75 28,1,2024-09-07 10:06:40:818,1213005,1213005,0,0,570203336639,5951100799,1201534,9043,2428,382,391904,0 28,2,2024-09-07 10:06:41:764,873968,873968,0,0,37734747,0,4060 28,3,2024-09-07 10:06:41:776,1,690,1,0,502,7213,690,0 29,0,2024-09-07 10:06:41:360,177608,0.4,172868,0.6,339608,0.4,463315,1.75 29,1,2024-09-07 10:06:41:571,1215963,1215963,0,0,571313481420,5913089272,1208041,6901,1021,367,391809,0 29,2,2024-09-07 10:06:40:863,872529,872529,0,0,35114488,0,4986 29,3,2024-09-07 10:06:40:967,1,690,1,0,590,7827,690,0 30,0,2024-09-07 10:06:41:457,172694,0.6,168081,0.7,352302,0.5,459884,2.00 30,1,2024-09-07 10:06:40:571,1214863,1214863,0,0,570360279780,5923749808,1205051,8644,1168,380,391672,0 30,2,2024-09-07 10:06:41:279,871043,871043,0,0,34006680,0,4192 30,3,2024-09-07 10:06:40:598,1,690,2,0,519,7197,690,0 31,0,2024-09-07 10:06:41:777,174948,0.5,175781,0.7,350617,0.4,467598,2.00 31,1,2024-09-07 10:06:40:570,1218797,1218797,0,0,571677065321,5873562131,1210866,6662,1269,356,391712,0 31,2,2024-09-07 10:06:41:279,871367,871367,0,0,37450426,0,4470 31,3,2024-09-07 10:06:41:707,1,690,1,0,248,6110,690,0 32,0,2024-09-07 10:06:41:419,171919,0.3,172980,0.5,344648,0.2,458219,1.75 32,1,2024-09-07 10:06:40:812,1214360,1214360,0,0,570353216960,5929018375,1206869,6526,965,381,391646,0 32,2,2024-09-07 10:06:40:935,877843,877843,0,0,32817086,0,3922 32,3,2024-09-07 10:06:41:021,1,690,3,0,304,5839,690,0 33,0,2024-09-07 10:06:41:494,171097,0.3,170583,0.4,341165,0.2,454688,1.50 33,1,2024-09-07 10:06:40:577,1215674,1215674,0,0,570693055340,5910335219,1206053,8265,1356,368,391730,0 33,2,2024-09-07 10:06:40:774,875031,874996,35,0,36414575,0,7012 33,3,2024-09-07 10:06:40:900,1,690,2,0,329,6385,690,0 34,0,2024-09-07 10:06:40:933,174614,0.3,179467,0.5,343219,0.2,463207,1.75 34,1,2024-09-07 10:06:41:064,1218515,1218515,0,0,571721930538,5883753789,1214514,3792,209,367,391637,0 34,2,2024-09-07 10:06:40:777,870363,870363,0,0,33895513,0,4562 34,3,2024-09-07 10:06:41:690,1,690,6,0,541,6043,690,0 35,0,2024-09-07 10:06:40:866,174780,0.3,175372,0.5,351663,0.2,468093,1.75 35,1,2024-09-07 10:06:41:078,1214248,1214248,0,0,569786986658,5912714844,1205307,7388,1553,382,391769,0 35,2,2024-09-07 10:06:41:590,870949,870949,0,0,39549187,0,4055 35,3,2024-09-07 10:06:40:914,1,690,5,0,466,6567,690,0 36,0,2024-09-07 10:06:41:519,173866,0.4,174014,0.6,347599,0.4,462962,2.00 36,1,2024-09-07 10:06:40:602,1212722,1212722,0,0,569601763491,5945837104,1197943,12425,2354,366,391759,0 36,2,2024-09-07 10:06:41:750,876435,876435,0,0,39376471,0,3875 36,3,2024-09-07 10:06:40:875,1,690,1,0,556,8767,690,0 37,0,2024-09-07 10:06:41:368,167858,0.5,167798,0.7,336159,0.5,448167,2.25 37,1,2024-09-07 10:06:40:570,1212035,1212028,0,7,568888132591,5939713467,1197842,11072,3114,365,391770,0 37,2,2024-09-07 10:06:41:142,873042,873027,15,0,39494772,0,5815 37,3,2024-09-07 10:06:41:766,1,690,6,0,888,9191,690,0 38,0,2024-09-07 10:06:41:439,173428,0.4,168202,0.7,351611,0.4,461606,2.00 38,1,2024-09-07 10:06:41:613,1212435,1212435,0,0,569159656530,5949045008,1195597,13532,3306,368,391821,0 38,2,2024-09-07 10:06:40:769,869651,869604,47,0,39330069,0,6710 38,3,2024-09-07 10:06:40:997,1,690,1,0,689,7906,690,0 39,0,2024-09-07 10:06:41:760,178567,0.6,174551,0.7,340693,0.5,465068,2.00 39,1,2024-09-07 10:06:40:739,1210472,1210472,0,0,567496079136,5948208287,1190860,15379,4233,365,391865,0 39,2,2024-09-07 10:06:41:421,870731,870731,0,0,37610231,0,3478 39,3,2024-09-07 10:06:40:723,1,690,3,0,525,7635,690,0 40,0,2024-09-07 10:06:41:494,172427,0.8,173110,0.9,345673,0.9,461303,2.75 40,1,2024-09-07 10:06:40:598,1210902,1210902,0,0,567575066504,5949139368,1192099,15220,3583,366,391668,0 40,2,2024-09-07 10:06:41:307,872437,872430,7,0,43635840,0,5347 40,3,2024-09-07 10:06:41:142,1,690,1,0,1028,9822,690,0 41,0,2024-09-07 10:06:41:064,167563,1.2,171581,1.1,327211,1.6,444254,3.00 41,1,2024-09-07 10:06:40:777,1210652,1210652,0,0,569010076353,5950416230,1194942,13185,2525,369,391878,0 41,2,2024-09-07 10:06:40:769,870922,870921,1,0,42517996,0,5408 41,3,2024-09-07 10:06:41:678,1,690,7,0,749,8439,690,0 42,0,2024-09-07 10:06:41:473,172580,0.9,172237,1.0,344887,1.1,457735,2.75 42,1,2024-09-07 10:06:41:451,1208673,1208673,0,0,566367070366,5945454131,1191071,14518,3084,380,391675,0 42,2,2024-09-07 10:06:41:138,868233,868232,1,0,43735824,0,5513 42,3,2024-09-07 10:06:41:009,1,690,11,0,892,6976,690,0 43,0,2024-09-07 10:06:40:925,171751,0.8,167122,1.0,350173,0.9,457825,2.25 43,1,2024-09-07 10:06:40:580,1211834,1211834,0,0,570014893943,5953320671,1195724,13362,2748,365,391696,0 43,2,2024-09-07 10:06:41:734,871415,871415,0,0,40092420,0,4723 43,3,2024-09-07 10:06:41:750,1,690,6,0,571,9295,690,0 44,0,2024-09-07 10:06:40:866,175447,0.4,175626,0.6,350659,0.3,467331,1.75 44,1,2024-09-07 10:06:40:568,1214856,1214856,0,0,570347029872,5899278643,1203529,9176,2151,356,391809,0 44,2,2024-09-07 10:06:41:272,871263,871263,0,0,33743366,0,4344 44,3,2024-09-07 10:06:41:100,1,690,1,0,1097,8909,690,0 45,0,2024-09-07 10:06:41:758,168179,0.4,164281,0.7,344645,0.4,451476,2.00 45,1,2024-09-07 10:06:41:010,1214314,1214314,0,0,570244899063,5928486951,1204766,8327,1221,382,391917,0 45,2,2024-09-07 10:06:41:275,876005,876005,0,0,33909935,0,3596 45,3,2024-09-07 10:06:40:940,1,690,4,0,531,6466,690,0 46,0,2024-09-07 10:06:40:964,170557,0.5,169953,0.7,341028,0.5,454144,2.00 46,1,2024-09-07 10:06:40:576,1216273,1216273,0,0,571171399408,5910288547,1206999,7792,1482,366,391709,0 46,2,2024-09-07 10:06:40:607,875320,875320,0,0,34744629,0,4443 46,3,2024-09-07 10:06:41:133,1,690,1,0,908,7607,690,0 47,0,2024-09-07 10:06:41:101,174911,0.5,174424,0.6,350233,0.5,464136,2.00 47,1,2024-09-07 10:06:40:567,1217625,1217625,0,0,570887748666,5901018204,1210118,6494,1013,364,391666,0 47,2,2024-09-07 10:06:40:911,872466,872466,0,0,35079312,0,4477 47,3,2024-09-07 10:06:41:140,1,690,1,0,600,7502,690,0 48,0,2024-09-07 10:06:41:500,175787,0.3,176284,0.4,351230,0.2,467299,1.50 48,1,2024-09-07 10:06:41:021,1214180,1214180,0,0,568981985900,5912714913,1206511,6657,1012,381,391710,0 48,2,2024-09-07 10:06:40:699,871904,871904,0,0,32154630,0,3619 48,3,2024-09-07 10:06:40:756,1,690,1,0,339,5979,690,0 49,0,2024-09-07 10:06:41:716,177828,0.3,174170,0.5,338804,0.3,462111,1.75 49,1,2024-09-07 10:06:41:021,1213488,1213488,0,0,570001728632,5926898900,1205624,6166,1698,382,391809,0 49,2,2024-09-07 10:06:41:798,877789,877789,0,0,33621768,0,4426 49,3,2024-09-07 10:06:41:421,1,690,0,0,992,8085,690,0 50,0,2024-09-07 10:06:41:510,169403,0.3,168122,0.5,338489,0.2,450566,1.75 50,1,2024-09-07 10:06:41:017,1216415,1216415,0,0,571145598066,5905024152,1208860,6823,732,368,391691,0 50,2,2024-09-07 10:06:41:072,874091,874091,0,0,31586064,0,4490 50,3,2024-09-07 10:06:41:316,1,690,1,0,617,7207,690,0 51,0,2024-09-07 10:06:41:698,179688,0.3,175786,0.5,342364,0.3,466843,1.75 51,1,2024-09-07 10:06:41:692,1217576,1217576,0,0,572393226536,5902375779,1210875,5357,1344,365,391706,0 51,2,2024-09-07 10:06:41:374,869836,869836,0,0,31176380,0,3337 51,3,2024-09-07 10:06:41:031,1,690,0,0,678,5456,690,0 52,0,2024-09-07 10:06:41:431,175422,0.5,175389,0.7,350428,0.4,466171,2.00 52,1,2024-09-07 10:06:40:579,1211575,1211575,0,0,568451172510,5947694342,1194830,14318,2427,368,391805,0 52,2,2024-09-07 10:06:41:758,867286,867248,38,0,42388403,0,6742 52,3,2024-09-07 10:06:40:685,1,690,1,0,1782,7907,690,0 53,0,2024-09-07 10:06:41:730,172846,0.6,167697,0.8,350976,0.7,459451,2.25 53,1,2024-09-07 10:06:40:771,1210785,1210785,0,0,568960894290,5963283525,1191630,14742,4413,367,391968,0 53,2,2024-09-07 10:06:41:297,876325,876187,138,0,38936356,0,7690 53,3,2024-09-07 10:06:40:699,1,690,31,0,308,6496,690,0 54,0,2024-09-07 10:06:41:622,166577,0.6,167116,0.7,332647,0.4,444633,2.25 54,1,2024-09-07 10:06:40:600,1213398,1213398,0,0,569785808404,5922750582,1201610,10114,1674,366,391810,0 54,2,2024-09-07 10:06:40:876,875029,874997,32,0,42166823,0,6397 54,3,2024-09-07 10:06:40:768,1,690,0,0,676,8878,690,0 55,0,2024-09-07 10:06:41:761,167929,0.6,173016,0.8,351139,0.6,457691,2.25 55,1,2024-09-07 10:06:40:765,1213811,1213811,0,0,568805185424,5913997132,1201787,10537,1487,365,391731,0 55,2,2024-09-07 10:06:40:735,869004,868948,56,0,38450469,0,7239 55,3,2024-09-07 10:06:40:684,1,690,0,0,304,6270,690,0 56,0,2024-09-07 10:06:41:551,177262,1.2,167040,1.2,344172,1.6,461219,2.75 56,1,2024-09-07 10:06:40:570,1206468,1206468,0,0,566966422043,5992831089,1186977,15737,3754,381,391867,0 56,2,2024-09-07 10:06:41:322,869146,869024,122,0,41099004,0,7432 56,3,2024-09-07 10:06:41:063,1,690,0,0,705,8209,690,0 57,0,2024-09-07 10:06:40:979,172936,1.3,172966,1.2,345342,1.8,461635,3.00 57,1,2024-09-07 10:06:41:009,1209601,1209601,0,0,567805347297,5955308044,1193888,13009,2704,366,392097,0 57,2,2024-09-07 10:06:41:329,872964,872964,0,0,44061346,0,4804 57,3,2024-09-07 10:06:41:743,1,690,1,0,455,7404,690,0 58,0,2024-09-07 10:06:40:555,166607,0.9,161787,1.0,338700,1.1,443340,2.50 58,1,2024-09-07 10:06:40:576,1210490,1210487,0,3,568632294823,5961448448,1192124,13961,4402,367,391726,3 58,2,2024-09-07 10:06:41:071,874649,874649,0,0,40040281,0,3483 58,3,2024-09-07 10:06:41:072,1,690,1,0,1043,7458,690,0 59,0,2024-09-07 10:06:41:742,171684,0.8,170704,1.0,341511,0.9,453859,2.75 59,1,2024-09-07 10:06:40:819,1210175,1210175,0,0,567880154269,5964330065,1190688,15649,3838,369,391653,0 59,2,2024-09-07 10:06:40:589,872543,872543,0,0,38140355,0,3727 59,3,2024-09-07 10:06:41:741,1,690,3,0,1015,8124,690,0 60,0,2024-09-07 10:06:41:703,173570,0.5,173803,0.7,347502,0.5,463440,2.00 60,1,2024-09-07 10:06:40:788,1213862,1213862,0,0,570173832440,5938495076,1202933,9427,1502,370,392031,0 60,2,2024-09-07 10:06:41:142,871477,871477,0,0,36444917,0,3811 60,3,2024-09-07 10:06:41:272,1,690,3,0,409,7913,690,0 61,0,2024-09-07 10:06:41:528,175125,0.6,175895,0.8,350251,0.6,467130,2.00 61,1,2024-09-07 10:06:40:773,1210740,1210740,0,0,568778625146,5955785137,1198069,10820,1851,382,392127,0 61,2,2024-09-07 10:06:41:118,872517,872450,67,0,37403086,0,6411 61,3,2024-09-07 10:06:41:688,1,690,0,0,607,8549,690,0 62,0,2024-09-07 10:06:41:708,172570,0.5,176954,0.7,337748,0.5,458045,2.00 62,1,2024-09-07 10:06:41:122,1218291,1218285,0,6,571935320983,5904611436,1211033,6635,617,365,391975,6 62,2,2024-09-07 10:06:41:657,873482,873481,1,0,37159144,0,5555 62,3,2024-09-07 10:06:41:157,1,690,2,0,482,5420,690,0 63,0,2024-09-07 10:06:41:463,170964,0.4,170553,0.6,341733,0.3,454750,1.75 63,1,2024-09-07 10:06:40:811,1215518,1215512,0,6,570386354561,5925448399,1207598,6963,951,381,391800,6 63,2,2024-09-07 10:06:40:770,874106,874106,0,0,36320577,0,4369 63,3,2024-09-07 10:06:41:738,1,690,0,0,667,8069,690,0 64,0,2024-09-07 10:06:41:535,173629,0.5,173555,0.7,346699,0.5,461634,2.00 64,1,2024-09-07 10:06:40:751,1213497,1213497,0,0,569962206130,5933730238,1203285,8184,2028,370,391794,0 64,2,2024-09-07 10:06:41:142,872865,872846,19,0,34879321,0,6121 64,3,2024-09-07 10:06:41:140,1,690,2,0,651,7870,690,0 65,0,2024-09-07 10:06:41:686,174020,0.6,174280,0.7,347889,0.6,464380,2.00 65,1,2024-09-07 10:06:40:864,1211866,1211866,0,0,569001946517,5945415135,1203623,7345,898,381,391901,0 65,2,2024-09-07 10:06:41:697,869586,869586,0,0,41847661,0,3367 65,3,2024-09-07 10:06:41:687,1,690,4,0,782,8148,690,0 66,0,2024-09-07 10:06:41:766,173203,0.5,172455,0.7,345014,0.5,459569,2.00 66,1,2024-09-07 10:06:41:316,1213575,1213575,0,0,570135218933,5933287904,1206073,6629,873,380,391743,0 66,2,2024-09-07 10:06:41:139,878980,878977,3,0,36747297,0,5455 66,3,2024-09-07 10:06:41:082,1,690,6,0,291,6154,690,0 67,0,2024-09-07 10:06:41:439,168559,0.5,168096,0.7,336884,0.5,448680,2.00 67,1,2024-09-07 10:06:40:777,1213514,1213513,0,1,569611020585,5930429715,1205079,7294,1140,380,391787,1 67,2,2024-09-07 10:06:40:586,877400,877385,15,0,37194504,0,6205 67,3,2024-09-07 10:06:41:750,1,690,1,0,595,7321,690,0 68,0,2024-09-07 10:06:40:574,174308,0.6,174100,0.7,346742,0.6,464496,2.25 68,1,2024-09-07 10:06:40:576,1209148,1209148,0,0,567106301214,5966448192,1192420,12456,4272,381,391953,0 68,2,2024-09-07 10:06:41:063,864944,864844,100,0,44902502,0,8578 68,3,2024-09-07 10:06:40:735,1,690,2,0,417,9648,690,0 69,0,2024-09-07 10:06:41:732,174302,0.7,174841,0.8,348909,0.7,464831,2.25 69,1,2024-09-07 10:06:41:022,1208235,1208235,0,0,566845236271,5970414446,1193340,11913,2982,383,391994,0 69,2,2024-09-07 10:06:41:733,867552,867523,29,0,48042793,0,6912 69,3,2024-09-07 10:06:40:770,1,690,6,0,698,10366,690,0 70,0,2024-09-07 10:06:41:535,172186,0.7,172951,0.9,346779,0.6,459836,2.50 70,1,2024-09-07 10:06:40:806,1214998,1214998,0,0,570831264591,5924086958,1205549,8404,1045,366,391725,0 70,2,2024-09-07 10:06:41:328,875074,875074,0,0,39494795,0,4323 70,3,2024-09-07 10:06:40:755,1,690,0,0,854,7673,690,0 71,0,2024-09-07 10:06:41:356,167550,0.8,167153,0.9,335131,0.9,446851,2.50 71,1,2024-09-07 10:06:41:598,1212574,1212574,0,0,569026024923,5936379144,1198142,12646,1786,367,391738,0 71,2,2024-09-07 10:06:41:072,873160,873160,0,0,39864153,0,4352 71,3,2024-09-07 10:06:41:750,1,690,3,0,644,7599,690,0 72,0,2024-09-07 10:06:41:068,179236,0.6,174949,0.7,341882,0.5,465159,2.00 72,1,2024-09-07 10:06:41:021,1211067,1211067,0,0,567699537067,5951878406,1194210,14124,2733,369,391819,0 72,2,2024-09-07 10:06:41:812,869104,869104,0,0,41695086,0,3983 72,3,2024-09-07 10:06:41:763,1,690,16,0,564,9543,690,0 73,0,2024-09-07 10:06:41:152,169714,0.5,174005,0.6,355620,0.4,462954,2.00 73,1,2024-09-07 10:06:40:768,1212717,1212717,0,0,569588874700,5920826634,1203189,8464,1064,367,391858,0 73,2,2024-09-07 10:06:41:744,870028,870027,1,0,43672925,0,5027 73,3,2024-09-07 10:06:40:972,1,690,4,0,1091,9307,690,0 74,0,2024-09-07 10:06:41:331,176300,0.5,180631,0.7,344506,0.4,467686,2.00 74,1,2024-09-07 10:06:40:636,1211736,1211736,0,0,569150172581,5937953833,1199377,10211,2148,381,391762,0 74,2,2024-09-07 10:06:41:006,871847,871847,0,0,37955500,0,4253 74,3,2024-09-07 10:06:41:451,1,690,2,0,522,7899,690,0 75,0,2024-09-07 10:06:41:780,170045,0.5,169103,0.7,339375,0.4,452864,2.00 75,1,2024-09-07 10:06:41:585,1212720,1212720,0,0,568986222492,5928494541,1202839,8888,993,380,391739,0 75,2,2024-09-07 10:06:41:354,873598,873598,0,0,45123596,0,4766 75,3,2024-09-07 10:06:41:069,1,690,7,0,918,9509,690,0 76,0,2024-09-07 10:06:40:577,170820,0.6,170303,0.8,340171,0.5,455720,2.25 76,1,2024-09-07 10:06:40:823,1212309,1212309,0,0,568056995706,5927688750,1203415,7584,1310,382,391790,0 76,2,2024-09-07 10:06:41:068,876262,876259,3,0,38125207,0,5265 76,3,2024-09-07 10:06:41:157,1,690,1,0,249,6357,690,0 77,0,2024-09-07 10:06:41:731,173740,0.6,174071,0.7,348454,0.6,463048,2.00 77,1,2024-09-07 10:06:40:836,1211380,1211380,0,0,568020871484,5933111676,1201108,9184,1088,381,391869,0 77,2,2024-09-07 10:06:41:283,869427,869427,0,0,36713789,0,3890 77,3,2024-09-07 10:06:41:095,1,690,1,0,401,7830,690,0 78,0,2024-09-07 10:06:41:728,176030,0.4,175265,0.6,351926,0.4,465424,2.00 78,1,2024-09-07 10:06:40:619,1211498,1211498,0,0,568040884664,5929898381,1195962,12204,3332,367,391670,0 78,2,2024-09-07 10:06:41:413,872466,872453,13,0,34424904,0,8313 78,3,2024-09-07 10:06:41:137,1,690,1,0,311,5959,690,0 79,0,2024-09-07 10:06:41:348,167125,0.4,171228,0.6,350546,0.3,456580,2.00 79,1,2024-09-07 10:06:40:571,1215815,1215815,0,0,570980471712,5915033850,1206001,8012,1802,367,391682,0 79,2,2024-09-07 10:06:41:072,877251,877251,0,0,33479029,0,4195 79,3,2024-09-07 10:06:40:755,1,690,1,0,418,7980,690,0 80,0,2024-09-07 10:06:41:106,169213,0.5,173682,0.7,331781,0.5,449521,2.00 80,1,2024-09-07 10:06:41:621,1212221,1212221,0,0,569422434249,5929584610,1204242,7398,581,368,392269,0 80,2,2024-09-07 10:06:41:103,877568,877568,0,0,34022172,0,4433 80,3,2024-09-07 10:06:40:578,1,690,1,0,681,8325,690,0 81,0,2024-09-07 10:06:41:540,175071,0.6,179105,0.7,341620,0.6,463503,2.00 81,1,2024-09-07 10:06:41:658,1211627,1211627,0,0,568333132444,5941339321,1201758,8874,995,382,392001,0 81,2,2024-09-07 10:06:41:145,869008,868945,63,0,37812637,0,5932 81,3,2024-09-07 10:06:41:128,1,690,5,0,719,7625,690,0 82,0,2024-09-07 10:06:41:540,175449,0.5,175452,0.7,351470,0.5,466744,2.00 82,1,2024-09-07 10:06:40:589,1213524,1213520,0,4,569484417126,5925477942,1206584,5812,1124,381,391768,4 82,2,2024-09-07 10:06:41:691,873588,873588,0,0,31461822,0,4484 82,3,2024-09-07 10:06:41:752,1,690,1,0,363,6234,690,0 83,0,2024-09-07 10:06:41:580,173513,0.5,173350,0.7,346461,0.5,460088,2.25 83,1,2024-09-07 10:06:40:555,1212341,1212341,0,0,568973765125,5932254300,1203352,7963,1026,382,391709,0 83,2,2024-09-07 10:06:40:770,876672,876647,25,0,34352596,0,5612 83,3,2024-09-07 10:06:40:749,1,690,1,0,1260,8150,690,0 84,0,2024-09-07 10:06:41:781,166908,0.7,166965,0.9,333990,0.7,446256,2.25 84,1,2024-09-07 10:06:41:039,1210495,1210495,0,0,568188085651,5943023898,1196806,11606,2083,367,391967,0 84,2,2024-09-07 10:06:40:592,872374,871964,410,0,49426892,0,17037 84,3,2024-09-07 10:06:41:149,1,690,11,0,908,9629,690,0 85,0,2024-09-07 10:06:41:007,167175,0.6,167128,0.8,354837,0.6,458019,2.25 85,1,2024-09-07 10:06:40:563,1206820,1206820,0,0,566629148459,5978332862,1189033,14857,2930,381,392092,0 85,2,2024-09-07 10:06:40:867,869243,869243,0,0,41768828,0,4255 85,3,2024-09-07 10:06:40:693,1,690,1,0,789,7805,690,0 86,0,2024-09-07 10:06:40:892,173260,0.7,178231,0.8,341358,0.8,461835,2.25 86,1,2024-09-07 10:06:40:826,1209315,1209315,0,0,567858644360,5962881100,1191726,14273,3316,366,392169,0 86,2,2024-09-07 10:06:40:869,869895,869894,1,0,43856516,0,5004 86,3,2024-09-07 10:06:40:593,1,690,13,0,441,9590,690,0 87,0,2024-09-07 10:06:41:297,175264,0.8,174040,0.8,348612,0.9,465523,2.25 87,1,2024-09-07 10:06:40:550,1209756,1209756,0,0,567536792810,5944180536,1194840,12754,2162,366,392076,0 87,2,2024-09-07 10:06:41:084,872378,872372,6,0,41218425,0,6323 87,3,2024-09-07 10:06:41:803,1,690,14,0,473,9545,690,0 88,0,2024-09-07 10:06:41:456,168953,0.4,169407,0.6,338827,0.4,451113,1.75 88,1,2024-09-07 10:06:40:571,1208443,1208443,0,0,567370548050,5944737434,1191634,13670,3139,365,392084,0 88,2,2024-09-07 10:06:40:695,873696,873696,0,0,43271420,0,4465 88,3,2024-09-07 10:06:41:272,1,690,1,0,1080,11086,690,0 89,0,2024-09-07 10:06:41:768,177650,0.4,172497,0.6,339931,0.4,462480,1.75 89,1,2024-09-07 10:06:40:551,1208450,1208450,0,0,567799986950,5969738212,1193774,12398,2278,382,391866,0 89,2,2024-09-07 10:06:41:134,872448,872448,0,0,40718863,0,3173 89,3,2024-09-07 10:06:41:798,1,690,1,0,729,12282,690,0 90,0,2024-09-07 10:06:41:628,168612,0.5,173072,0.7,353548,0.5,461254,2.00 90,1,2024-09-07 10:06:40:598,1209832,1209832,0,0,568852442432,5959919935,1197383,11179,1270,380,391825,0 90,2,2024-09-07 10:06:41:414,866189,866184,5,0,43889579,0,6370 90,3,2024-09-07 10:06:40:950,1,690,1,0,364,9053,690,0 91,0,2024-09-07 10:06:40:934,175932,0.5,170641,0.6,356894,0.4,468081,1.75 91,1,2024-09-07 10:06:40:562,1206871,1206871,0,0,567544090693,5985333760,1188161,14562,4148,381,392047,0 91,2,2024-09-07 10:06:41:339,870798,870798,0,0,39188605,0,4713 91,3,2024-09-07 10:06:40:608,1,690,1,0,231,6455,690,0 92,0,2024-09-07 10:06:41:444,173488,0.4,177500,0.6,338328,0.4,458522,1.75 92,1,2024-09-07 10:06:40:615,1211291,1211291,0,0,568886503142,5943534189,1201805,8175,1311,381,392136,0 92,2,2024-09-07 10:06:41:363,875313,875313,0,0,35900938,0,3906 92,3,2024-09-07 10:06:41:030,1,690,1,0,322,6210,690,0 93,0,2024-09-07 10:06:40:957,171428,0.4,175623,0.6,335255,0.4,454562,1.75 93,1,2024-09-07 10:06:40:818,1211707,1211707,0,0,568764599907,5944367970,1197307,11964,2436,365,392048,0 93,2,2024-09-07 10:06:40:929,874355,874355,0,0,41307437,0,4913 93,3,2024-09-07 10:06:41:419,1,690,1,0,788,8599,690,0 94,0,2024-09-07 10:06:41:643,173604,0.4,174680,0.6,349859,0.4,463934,1.75 94,1,2024-09-07 10:06:40:572,1213019,1213019,0,0,570024166323,5945332307,1204742,7627,650,381,391850,0 94,2,2024-09-07 10:06:40:777,869597,869569,28,0,35911533,0,6179 94,3,2024-09-07 10:06:41:688,1,690,8,0,576,8352,690,0 95,0,2024-09-07 10:06:41:350,175343,0.4,175068,0.6,350565,0.3,467306,1.75 95,1,2024-09-07 10:06:40:860,1213632,1213632,0,0,569453066006,5918283943,1204268,8553,811,365,391852,0 95,2,2024-09-07 10:06:41:021,869369,869369,0,0,36069408,0,3308 95,3,2024-09-07 10:06:41:711,1,690,1,0,718,10478,690,0 96,0,2024-09-07 10:06:41:042,173396,0.3,173719,0.5,347520,0.3,462151,1.75 96,1,2024-09-07 10:06:41:586,1211058,1211058,0,0,568802266012,5935758713,1202140,7540,1378,384,391964,0 96,2,2024-09-07 10:06:41:268,878189,878189,0,0,36580716,0,4225 96,3,2024-09-07 10:06:41:140,1,690,4,0,411,7718,690,0 97,0,2024-09-07 10:06:41:311,168475,0.3,168369,0.5,337404,0.3,448725,1.50 97,1,2024-09-07 10:06:40:770,1214160,1214160,0,0,570463531392,5920149172,1206232,6685,1243,367,392140,0 97,2,2024-09-07 10:06:40:632,876048,876048,0,0,36601913,0,4600 97,3,2024-09-07 10:06:40:598,1,690,1,0,433,8445,690,0 98,0,2024-09-07 10:06:41:744,173813,0.3,173835,0.5,349363,0.3,464954,1.50 98,1,2024-09-07 10:06:40:571,1212918,1212918,0,0,568848565867,5925525058,1205476,6543,899,381,391997,0 98,2,2024-09-07 10:06:40:770,868946,868946,0,0,34882796,0,4336 98,3,2024-09-07 10:06:40:699,1,690,1,0,840,9644,690,0 99,0,2024-09-07 10:06:41:466,175156,0.3,175998,0.5,350722,0.3,467560,1.75 99,1,2024-09-07 10:06:41:728,1213998,1213998,0,0,569061734114,5923538881,1206212,6677,1109,380,392069,0 99,2,2024-09-07 10:06:41:420,870111,870111,0,0,44032250,0,4276 99,3,2024-09-07 10:06:40:586,1,690,13,0,1124,8723,690,0 100,0,2024-09-07 10:06:41:459,173538,0.8,174142,0.9,348014,0.8,463839,2.50 100,1,2024-09-07 10:06:40:549,1206723,1206723,0,0,566204347397,5988853068,1187629,15299,3795,378,391989,0 100,2,2024-09-07 10:06:41:821,870897,870508,389,0,45596879,0,16909 100,3,2024-09-07 10:06:41:731,1,690,0,0,627,10758,690,0 101,0,2024-09-07 10:06:41:718,172243,0.9,168045,1.0,328798,0.8,448754,2.25 101,1,2024-09-07 10:06:40:549,1208787,1208787,0,0,567675949718,5966149487,1192212,13623,2952,368,392018,0 101,2,2024-09-07 10:06:41:767,868930,868891,39,0,47347791,0,5913 101,3,2024-09-07 10:06:40:949,1,690,1,0,1250,10628,690,0 102,0,2024-09-07 10:06:40:959,168766,0.6,173844,0.8,353271,0.6,461943,2.25 102,1,2024-09-07 10:06:41:142,1208792,1208792,0,0,567515128224,5959654173,1192971,13565,2256,369,391984,0 102,2,2024-09-07 10:06:41:742,871986,871932,54,0,39156695,0,6768 102,3,2024-09-07 10:06:41:618,1,690,6,0,466,8062,690,0 103,0,2024-09-07 10:06:41:633,179537,0.7,179493,0.8,338223,0.8,465306,2.25 103,1,2024-09-07 10:06:41:632,1207604,1207604,0,0,567056435022,5986056122,1188694,15284,3626,381,392077,0 103,2,2024-09-07 10:06:40:588,868699,868699,0,0,42601562,0,3766 103,3,2024-09-07 10:06:40:769,1,690,5,0,916,8211,690,0 104,0,2024-09-07 10:06:41:010,173996,0.7,174386,0.9,348000,0.7,464882,2.25 104,1,2024-09-07 10:06:41:611,1209561,1209561,0,0,567320645706,5963409835,1191129,14972,3460,365,392168,0 104,2,2024-09-07 10:06:41:674,870007,870007,0,0,41680591,0,4161 104,3,2024-09-07 10:06:41:421,1,690,1,0,1245,11739,690,0 105,0,2024-09-07 10:06:41:035,168120,0.8,163782,1.0,343385,0.9,450672,2.50 105,1,2024-09-07 10:06:40:573,1211772,1211772,0,0,567563437626,5944322897,1197000,12657,2115,364,392009,0 105,2,2024-09-07 10:06:41:326,873292,873292,0,0,43007084,0,4360 105,3,2024-09-07 10:06:41:310,1,690,0,0,573,10245,690,0 106,0,2024-09-07 10:06:40:964,165482,0.9,169617,1.0,346826,1.1,453923,2.50 106,1,2024-09-07 10:06:41:763,1209755,1209755,0,0,567637310104,5954694897,1193329,14275,2151,368,391914,0 106,2,2024-09-07 10:06:40:757,873534,873534,0,0,39663324,0,3331 106,3,2024-09-07 10:06:40:696,1,690,1,0,1224,9581,690,0 107,0,2024-09-07 10:06:41:136,173851,1.3,173802,1.1,347774,1.9,464013,2.25 107,1,2024-09-07 10:06:40:596,1207329,1207329,0,0,566051908402,5982064760,1188235,16838,2256,381,392234,0 107,2,2024-09-07 10:06:41:295,865855,865854,1,0,43183475,0,5024 107,3,2024-09-07 10:06:41:762,1,690,2,0,733,10876,690,0 108,0,2024-09-07 10:06:41:804,175124,0.4,175960,0.6,350915,0.4,467228,1.75 108,1,2024-09-07 10:06:41:299,1210947,1210947,0,0,568558462695,5937807986,1199480,10205,1262,367,391894,0 108,2,2024-09-07 10:06:41:773,871380,871380,0,0,38726839,0,4432 108,3,2024-09-07 10:06:41:331,1,690,1,0,767,12471,690,0 109,0,2024-09-07 10:06:41:774,173698,0.4,172313,0.6,345755,0.3,461120,1.75 109,1,2024-09-07 10:06:40:606,1209107,1209107,0,0,567912866194,5958958634,1198457,9145,1505,382,392132,0 109,2,2024-09-07 10:06:40:939,874742,874742,0,0,38783737,0,3617 109,3,2024-09-07 10:06:41:153,1,690,12,0,630,7946,690,0 110,0,2024-09-07 10:06:41:755,168851,0.4,164115,0.6,343772,0.3,451530,1.75 110,1,2024-09-07 10:06:41:658,1213569,1213569,0,0,570229790233,5922017652,1204105,7379,2085,368,392045,0 110,2,2024-09-07 10:06:41:304,875005,875005,0,0,37920483,0,4067 110,3,2024-09-07 10:06:40:698,1,690,1,0,722,9336,690,0 111,0,2024-09-07 10:06:41:444,175753,0.4,174946,0.6,349459,0.4,466301,1.75 111,1,2024-09-07 10:06:41:000,1214837,1214837,0,0,570319693920,5922527524,1207587,6743,507,380,391690,0 111,2,2024-09-07 10:06:41:150,869110,869110,0,0,37249343,0,4823 111,3,2024-09-07 10:06:40:915,1,690,0,0,379,7819,690,0 112,0,2024-09-07 10:06:40:919,176042,0.3,175716,0.4,352231,0.2,468028,1.50 112,1,2024-09-07 10:06:40:829,1213723,1213723,0,0,569564689611,5912249857,1206099,6398,1226,380,391624,0 112,2,2024-09-07 10:06:41:134,871340,871339,1,0,35155799,0,5036 112,3,2024-09-07 10:06:40:595,1,690,0,0,282,6826,690,0 113,0,2024-09-07 10:06:40:879,173407,0.3,173806,0.5,347761,0.2,462461,1.75 113,1,2024-09-07 10:06:41:704,1217319,1217319,0,0,571764229019,5898607584,1210407,5961,951,365,391664,0 113,2,2024-09-07 10:06:41:305,879174,879174,0,0,32572240,0,3813 113,3,2024-09-07 10:06:40:690,1,690,1,0,510,6997,690,0 114,0,2024-09-07 10:06:40:874,168390,0.3,169586,0.5,338270,0.2,452034,1.75 114,1,2024-09-07 10:06:40:724,1213344,1213344,0,0,570426873748,5922929377,1204517,7023,1804,381,391565,0 114,2,2024-09-07 10:06:40:883,876560,876559,1,0,35008125,0,5069 114,3,2024-09-07 10:06:41:284,1,690,1,0,415,5685,690,0 115,0,2024-09-07 10:06:40:556,174685,0.3,175233,0.4,349148,0.2,464634,1.50 115,1,2024-09-07 10:06:40:571,1214385,1214385,0,0,570463785403,5926055209,1204831,7763,1791,382,391757,0 115,2,2024-09-07 10:06:41:136,871545,871545,0,0,34856170,0,4382 115,3,2024-09-07 10:06:41:005,1,690,1,0,173,4408,690,0 116,0,2024-09-07 10:06:41:719,173317,0.8,173398,0.9,347337,0.8,464530,2.25 116,1,2024-09-07 10:06:40:807,1207636,1207636,0,0,567613591523,5979181868,1193570,10851,3215,380,392089,0 116,2,2024-09-07 10:06:41:752,870841,870841,0,0,42177408,0,4475 116,3,2024-09-07 10:06:40:915,1,690,1,0,448,8874,690,0 117,0,2024-09-07 10:06:40:984,175011,0.6,174695,0.8,349707,0.6,466886,2.00 117,1,2024-09-07 10:06:41:591,1210261,1210261,0,0,567999793954,5949901920,1196424,12073,1764,369,392429,0 117,2,2024-09-07 10:06:41:118,874895,874895,0,0,38719633,0,4303 117,3,2024-09-07 10:06:41:067,1,690,1,0,490,8458,690,0 118,0,2024-09-07 10:06:41:775,163923,0.5,168327,0.7,343469,0.5,449361,2.00 118,1,2024-09-07 10:06:40:589,1208559,1208559,0,0,567095940724,5959609481,1191055,13659,3845,366,392054,0 118,2,2024-09-07 10:06:41:588,873630,873630,0,0,41157357,0,3161 118,3,2024-09-07 10:06:41:764,1,690,1,0,343,8241,690,0 119,0,2024-09-07 10:06:41:336,172623,0.7,172866,0.8,345337,0.7,460889,2.25 119,1,2024-09-07 10:06:40:550,1209566,1209566,0,0,567926032770,5955224235,1193551,13348,2667,367,391857,0 119,2,2024-09-07 10:06:41:286,873155,873155,0,0,38159952,0,4309 119,3,2024-09-07 10:06:41:325,1,690,1,0,1358,12179,690,0 120,0,2024-09-07 10:06:41:624,172884,0.7,172871,0.9,346836,0.8,462543,2.50 120,1,2024-09-07 10:06:40:862,1210489,1210489,0,0,567282652984,5948120385,1197821,11510,1158,367,392144,0 120,2,2024-09-07 10:06:40:778,868818,868815,3,0,45784566,0,5363 120,3,2024-09-07 10:06:41:293,1,690,1,0,478,8858,690,0 121,0,2024-09-07 10:06:41:704,175303,1.1,174820,1.0,349724,1.4,465924,2.25 121,1,2024-09-07 10:06:41:660,1210094,1210094,0,0,567881362300,5944989562,1196572,11526,1996,366,391840,0 121,2,2024-09-07 10:06:41:126,871237,871237,0,0,41586767,0,4157 121,3,2024-09-07 10:06:40:734,1,690,1,0,387,9231,690,0 122,0,2024-09-07 10:06:41:773,171297,0.7,166740,0.8,348726,0.8,457952,2.00 122,1,2024-09-07 10:06:40:869,1209351,1209351,0,0,567632741895,5953929901,1193079,13695,2577,365,392130,0 122,2,2024-09-07 10:06:41:323,875186,875111,75,0,45818408,0,5989 122,3,2024-09-07 10:06:40:598,1,690,4,0,512,10501,690,0 123,0,2024-09-07 10:06:40:959,169360,0.8,165088,0.8,346106,0.9,452636,2.25 123,1,2024-09-07 10:06:40:572,1210349,1210349,0,0,567970710196,5971667928,1191262,16061,3026,369,392039,0 123,2,2024-09-07 10:06:41:024,873282,873281,1,0,39320027,0,5215 123,3,2024-09-07 10:06:41:142,1,690,2,0,478,7764,690,0 124,0,2024-09-07 10:06:40:920,179215,0.4,179263,0.5,337693,0.3,464823,1.75 124,1,2024-09-07 10:06:41:028,1213616,1213616,0,0,569109310299,5919089572,1204082,8273,1261,365,392178,0 124,2,2024-09-07 10:06:41:024,871380,871327,53,0,36527049,0,6487 124,3,2024-09-07 10:06:40:776,1,690,1,0,490,7210,690,0 125,0,2024-09-07 10:06:41:442,175268,0.4,175135,0.6,351004,0.3,467826,1.75 125,1,2024-09-07 10:06:40:867,1210522,1210522,0,0,568035282522,5937189749,1199481,9459,1582,382,392045,0 125,2,2024-09-07 10:06:41:128,873400,873400,0,0,36086670,0,4534 125,3,2024-09-07 10:06:41:148,1,690,1,0,709,7682,690,0 126,0,2024-09-07 10:06:41:453,173825,0.4,178693,0.5,341459,0.3,462912,1.75 126,1,2024-09-07 10:06:40:571,1214144,1214144,0,0,570132911566,5908623410,1206869,6573,702,365,391987,0 126,2,2024-09-07 10:06:40:619,878097,878097,0,0,37863812,0,4539 126,3,2024-09-07 10:06:40:912,1,690,1,0,268,7840,690,0 127,0,2024-09-07 10:06:41:599,168727,0.3,169167,0.5,337365,0.3,449003,1.75 127,1,2024-09-07 10:06:40:592,1212946,1212946,0,0,569279612880,5924811896,1200492,10678,1776,364,392187,0 127,2,2024-09-07 10:06:40:644,875765,875761,4,0,35790561,0,5305 127,3,2024-09-07 10:06:41:275,1,690,0,0,968,6802,690,0 128,0,2024-09-07 10:06:41:540,174983,0.3,174935,0.4,349992,0.2,465706,1.50 128,1,2024-09-07 10:06:41:615,1212160,1212160,0,0,569778734305,5924434689,1203173,8015,972,367,392423,0 128,2,2024-09-07 10:06:41:389,871384,871384,0,0,33258313,0,3171 128,3,2024-09-07 10:06:40:769,1,690,0,0,1082,10337,690,0 129,0,2024-09-07 10:06:41:012,176416,0.3,175694,0.5,352372,0.3,468300,1.50 129,1,2024-09-07 10:06:40:568,1209068,1209068,0,0,567520402402,5954058776,1196125,10614,2329,379,391962,0 129,2,2024-09-07 10:06:40:697,872987,872983,4,0,36043233,0,5335 129,3,2024-09-07 10:06:40:698,1,690,2,0,506,9905,690,0 130,0,2024-09-07 10:06:41:741,174775,0.4,174629,0.5,349636,0.4,465372,1.75 130,1,2024-09-07 10:06:40:595,1213985,1213985,0,0,569560894693,5914615863,1208138,5440,407,381,391825,0 130,2,2024-09-07 10:06:41:133,875451,875451,0,0,35838991,0,4067 130,3,2024-09-07 10:06:41:294,1,690,1,0,960,8903,690,0 131,0,2024-09-07 10:06:41:925,168565,0.3,169039,0.5,338936,0.3,450398,1.50 131,1,2024-09-07 10:06:41:820,1212911,1212911,0,0,569782676341,5937618286,1204761,6939,1211,381,391865,0 131,2,2024-09-07 10:06:40:576,874973,874973,0,0,34109659,0,3979 131,3,2024-09-07 10:06:41:699,1,690,2,0,392,8665,690,0 132,0,2024-09-07 10:06:41:439,174114,0.5,175009,0.6,348401,0.4,464033,2.00 132,1,2024-09-07 10:06:40:579,1208860,1208860,0,0,568120497103,5976513783,1192358,13720,2782,381,392532,0 132,2,2024-09-07 10:06:40:700,871238,871221,17,0,42579751,0,6451 132,3,2024-09-07 10:06:41:691,1,690,7,0,1298,11819,690,0 133,0,2024-09-07 10:06:41:520,169727,0.5,173829,0.6,356308,0.4,463605,2.00 133,1,2024-09-07 10:06:40:592,1208442,1208442,0,0,567644165418,5976932033,1192992,13516,1934,383,391914,0 133,2,2024-09-07 10:06:41:092,871258,871208,50,0,43636262,0,6861 133,3,2024-09-07 10:06:41:298,1,690,21,0,528,8042,690,0 134,0,2024-09-07 10:06:40:946,175990,0.5,175917,0.7,351639,0.5,468126,2.00 134,1,2024-09-07 10:06:40:594,1209931,1209931,0,0,567501399239,5954106295,1193845,12794,3292,366,391781,0 134,2,2024-09-07 10:06:41:756,871602,871463,139,0,41283308,0,7591 134,3,2024-09-07 10:06:40:755,1,690,1,0,739,8468,690,0 135,0,2024-09-07 10:06:41:112,164690,0.7,164603,0.8,349461,0.7,450054,2.25 135,1,2024-09-07 10:06:41:591,1209399,1209399,0,0,567843452921,5967597447,1194997,12550,1852,380,392038,0 135,2,2024-09-07 10:06:40:698,875692,875692,0,0,41827998,0,4503 135,3,2024-09-07 10:06:41:007,1,690,2,0,900,6657,690,0 136,0,2024-09-07 10:06:41:637,171451,0.6,172035,0.8,342096,0.6,457144,2.00 136,1,2024-09-07 10:06:41:447,1210836,1210836,0,0,567839379503,5948658796,1198005,11405,1426,381,392135,0 136,2,2024-09-07 10:06:41:141,875713,875698,15,0,40858434,0,6007 136,3,2024-09-07 10:06:41:118,1,690,1,0,637,8121,690,0 137,0,2024-09-07 10:06:40:928,179598,0.6,175095,0.8,342796,0.7,465654,2.00 137,1,2024-09-07 10:06:40:579,1209685,1209685,0,0,568010291571,5954831994,1191255,14791,3639,366,391898,0 137,2,2024-09-07 10:06:41:712,868758,868758,0,0,41811724,0,3185 137,3,2024-09-07 10:06:40:786,1,690,0,0,484,9767,690,0 138,0,2024-09-07 10:06:41:788,174494,0.9,174802,0.9,350117,1.1,465510,2.25 138,1,2024-09-07 10:06:41:729,1209249,1209249,0,0,568018698751,5960468714,1192114,14444,2691,368,391954,0 138,2,2024-09-07 10:06:40:600,869684,869684,0,0,40308918,0,4988 138,3,2024-09-07 10:06:40:614,1,690,1,0,1200,10346,690,0 139,0,2024-09-07 10:06:41:359,171641,0.9,172293,0.9,344374,1.1,459471,2.25 139,1,2024-09-07 10:06:40:571,1205645,1205645,0,0,565060680403,5985695232,1185744,15987,3914,380,392109,0 139,2,2024-09-07 10:06:40:695,870467,870437,30,0,45925653,0,5997 139,3,2024-09-07 10:06:41:662,1,690,2,0,432,8189,690,0 140,0,2024-09-07 10:06:41:607,169438,0.3,168768,0.5,338559,0.2,451783,1.75 140,1,2024-09-07 10:06:41:536,1216764,1216764,0,0,570913049724,5892958273,1209962,5999,803,364,391628,0 140,2,2024-09-07 10:06:40:695,875423,875422,1,0,34559740,0,5036 140,3,2024-09-07 10:06:40:774,1,690,1,0,575,6971,690,0 141,0,2024-09-07 10:06:41:698,175646,0.3,180298,0.5,344497,0.3,465968,1.75 141,1,2024-09-07 10:06:40:865,1214138,1214138,0,0,570137002217,5927463179,1203793,8952,1393,379,391614,0 141,2,2024-09-07 10:06:41:687,869833,869822,11,0,37046276,0,5369 141,3,2024-09-07 10:06:41:061,1,690,0,0,391,8111,690,0 142,0,2024-09-07 10:06:41:310,176423,0.3,175593,0.5,351452,0.3,468962,1.50 142,1,2024-09-07 10:06:40:592,1211959,1211959,0,0,569103732476,5939542138,1202908,8157,894,382,392102,0 142,2,2024-09-07 10:06:41:304,870058,870026,32,0,36679557,0,6028 142,3,2024-09-07 10:06:41:748,1,690,2,0,484,7044,690,0 143,0,2024-09-07 10:06:41:375,173514,0.4,173424,0.5,347925,0.4,462449,1.75 143,1,2024-09-07 10:06:40:557,1215088,1215088,0,0,570147032232,5918808214,1206684,7433,971,367,391900,0 143,2,2024-09-07 10:06:40:771,877676,877676,0,0,36317772,0,3123 143,3,2024-09-07 10:06:41:141,1,690,2,0,462,8096,690,0 144,0,2024-09-07 10:06:41:579,163317,0.5,168057,0.7,342023,0.5,448166,2.00 144,1,2024-09-07 10:06:40:589,1208861,1208861,0,0,567498701786,5954356694,1197114,9703,2044,381,391733,0 144,2,2024-09-07 10:06:41:761,875982,875982,0,0,35911554,0,4443 144,3,2024-09-07 10:06:41:746,1,690,21,0,306,7392,690,0 145,0,2024-09-07 10:06:41:360,168388,0.6,168370,0.8,357474,0.5,459985,2.25 145,1,2024-09-07 10:06:40:559,1207970,1207970,0,0,567437790679,5971553879,1191768,13234,2968,382,391781,0 145,2,2024-09-07 10:06:41:462,869007,868925,82,0,41635587,0,7814 145,3,2024-09-07 10:06:40:909,1,690,2,0,622,9192,690,0 146,0,2024-09-07 10:06:41:601,173911,0.7,173036,0.8,347947,0.7,462712,2.25 146,1,2024-09-07 10:06:41:594,1209722,1209722,0,0,567272101038,5965955814,1190582,14214,4926,367,391829,0 146,2,2024-09-07 10:06:41:698,869082,869076,6,0,39770556,0,5151 146,3,2024-09-07 10:06:41:284,1,690,1,0,1520,10883,690,0 147,0,2024-09-07 10:06:41:714,175036,0.6,174737,0.7,349417,0.5,465970,2.00 147,1,2024-09-07 10:06:41:377,1213596,1213596,0,0,569500358712,5921236587,1203639,8859,1098,367,391791,0 147,2,2024-09-07 10:06:41:017,872995,872995,0,0,37658846,0,4531 147,3,2024-09-07 10:06:40:920,1,690,6,0,1626,10381,690,0 0,0,2024-09-07 10:06:51:776,168903,0.7,168959,0.8,358598,0.8,463306,2.00 0,1,2024-09-07 10:06:50:819,1212440,1212440,0,0,568740383586,5954235932,1202559,8906,975,368,391896,0 0,2,2024-09-07 10:06:51:067,872389,872389,0,0,35521833,0,4480 0,3,2024-09-07 10:06:50:990,1,691,18,0,538,9908,691,0 1,0,2024-09-07 10:06:51:764,175298,0.8,174386,0.9,349846,1.0,467968,2.00 1,1,2024-09-07 10:06:50:557,1211480,1211480,0,0,568523766717,5957204821,1200082,9428,1970,370,391859,0 1,2,2024-09-07 10:06:50:662,873917,873917,0,0,35087816,0,3380 1,3,2024-09-07 10:06:51:306,1,691,1,0,269,8242,691,0 2,0,2024-09-07 10:06:51:572,171812,0.6,171555,0.7,342855,0.6,456788,2.00 2,1,2024-09-07 10:06:50:878,1215787,1215787,0,0,570393198412,5931633223,1208857,5969,961,379,391805,0 2,2,2024-09-07 10:06:51:266,877204,877204,0,0,33924082,0,3594 2,3,2024-09-07 10:06:50:708,1,691,1,0,357,6124,691,0 3,0,2024-09-07 10:06:51:758,170643,0.4,170524,0.6,340594,0.4,454133,2.00 3,1,2024-09-07 10:06:51:618,1213361,1213361,0,0,569532756191,5924873006,1204767,7876,718,379,391716,0 3,2,2024-09-07 10:06:51:145,877453,877430,23,0,34654416,0,5851 3,3,2024-09-07 10:06:51:756,1,691,1,0,484,5212,691,0 4,0,2024-09-07 10:06:51:840,169352,0.4,173884,0.5,354803,0.4,463517,1.75 4,1,2024-09-07 10:06:50:729,1208106,1208106,0,0,567215323919,5998724084,1188216,15289,4601,369,391992,0 4,2,2024-09-07 10:06:51:043,868268,868268,0,0,41956185,0,4534 4,3,2024-09-07 10:06:51:050,1,691,2,0,448,9349,691,0 5,0,2024-09-07 10:06:51:462,175608,0.4,175385,0.6,351167,0.4,467753,1.75 5,1,2024-09-07 10:06:50:792,1209715,1209715,0,0,567760209692,5988757585,1192056,13422,4237,367,392005,0 5,2,2024-09-07 10:06:51:833,868605,868572,33,0,42336327,0,7631 5,3,2024-09-07 10:06:51:738,1,691,2,0,457,9072,691,0 6,0,2024-09-07 10:06:50:936,173705,0.5,173136,0.6,346616,0.4,461915,2.00 6,1,2024-09-07 10:06:50:753,1212687,1212687,0,0,569358838661,5943821590,1200521,10481,1685,379,391702,0 6,2,2024-09-07 10:06:51:123,877874,877856,18,0,39318209,0,5535 6,3,2024-09-07 10:06:51:293,1,691,0,0,710,7944,691,0 7,0,2024-09-07 10:06:51:538,168157,0.5,169328,0.7,335986,0.5,448274,2.00 7,1,2024-09-07 10:06:50:859,1210188,1210188,0,0,567624220525,5971582012,1192354,13697,4137,382,391747,0 7,2,2024-09-07 10:06:50:771,875056,874887,169,0,40093497,0,7706 7,3,2024-09-07 10:06:50:858,1,691,4,0,552,8171,691,0 8,0,2024-09-07 10:06:51:590,175044,0.4,174689,0.5,349844,0.4,467226,1.75 8,1,2024-09-07 10:06:51:028,1209012,1209012,0,0,568152460731,5973854163,1190154,14812,4046,366,392853,0 8,2,2024-09-07 10:06:50:808,865131,865129,2,0,44941090,0,5112 8,3,2024-09-07 10:06:50:753,1,691,19,0,772,10355,691,0 9,0,2024-09-07 10:06:51:177,175462,0.4,170412,0.5,356669,0.3,468337,1.75 9,1,2024-09-07 10:06:50:567,1208779,1208779,0,0,567806604029,5987257127,1189108,15321,4350,369,392001,0 9,2,2024-09-07 10:06:51:110,870638,870637,1,0,42921779,0,5281 9,3,2024-09-07 10:06:51:759,1,691,5,0,1273,11044,691,0 10,0,2024-09-07 10:06:51:601,174158,0.4,173718,0.5,348132,0.3,463235,1.75 10,1,2024-09-07 10:06:50:688,1210740,1210740,0,0,568446315084,5965460269,1192679,14327,3734,381,391981,0 10,2,2024-09-07 10:06:50:790,876258,876258,0,0,46088716,0,4713 10,3,2024-09-07 10:06:50:877,1,691,1,0,669,7359,691,0 11,0,2024-09-07 10:06:51:011,168530,0.4,163584,0.6,342365,0.4,450776,1.75 11,1,2024-09-07 10:06:50:847,1213029,1213029,0,0,568873504417,5964055192,1196144,12575,4310,383,391766,0 11,2,2024-09-07 10:06:51:130,874845,874845,0,0,39822388,0,4698 11,3,2024-09-07 10:06:51:299,1,691,0,0,843,8402,691,0 12,0,2024-09-07 10:06:51:054,175289,0.4,174987,0.6,349635,0.4,465432,1.75 12,1,2024-09-07 10:06:50:964,1212179,1212179,0,0,568855145041,5936009270,1202326,8826,1027,368,391960,0 12,2,2024-09-07 10:06:51:555,870049,870049,0,0,39752713,0,4390 12,3,2024-09-07 10:06:51:297,1,691,10,0,386,8536,691,0 13,0,2024-09-07 10:06:51:618,175081,0.4,175289,0.6,350008,0.4,465829,1.75 13,1,2024-09-07 10:06:51:560,1209589,1209589,0,0,567890654613,5978297938,1195428,11082,3079,382,391803,0 13,2,2024-09-07 10:06:50:675,873763,873763,0,0,35991544,0,3287 13,3,2024-09-07 10:06:51:789,1,691,6,0,522,9256,691,0 14,0,2024-09-07 10:06:50:567,175371,0.4,176419,0.6,350907,0.4,466618,1.75 14,1,2024-09-07 10:06:51:569,1218121,1218121,0,0,571782819664,5915559442,1208866,8105,1150,364,391673,0 14,2,2024-09-07 10:06:50:773,874451,874421,30,0,37115789,0,6104 14,3,2024-09-07 10:06:51:121,1,691,8,0,1168,7145,691,0 15,0,2024-09-07 10:06:51:558,170060,0.4,169120,0.6,338787,0.3,451717,1.75 15,1,2024-09-07 10:06:51:608,1214269,1214269,0,0,570308757212,5944613516,1203405,8768,2096,380,391619,0 15,2,2024-09-07 10:06:51:000,877754,877754,0,0,33204027,0,3622 15,3,2024-09-07 10:06:51:405,1,691,1,0,1126,8635,691,0 16,0,2024-09-07 10:06:51:429,171508,0.6,172466,0.8,343956,0.6,458658,2.00 16,1,2024-09-07 10:06:50:569,1213910,1213910,0,0,569304725458,5951998558,1203170,9196,1544,370,392194,0 16,2,2024-09-07 10:06:51:438,874516,874516,0,0,36293798,0,4719 16,3,2024-09-07 10:06:51:145,1,691,5,0,362,8552,691,0 17,0,2024-09-07 10:06:51:793,179710,0.7,175175,0.8,343151,0.7,466257,2.00 17,1,2024-09-07 10:06:50:869,1212111,1212111,0,0,568106119433,5955559771,1200989,9321,1801,368,392075,0 17,2,2024-09-07 10:06:51:671,874219,874218,1,0,37475303,0,5050 17,3,2024-09-07 10:06:50:773,1,691,1,0,518,9894,691,0 18,0,2024-09-07 10:06:50:949,173786,0.6,174917,0.8,348127,0.6,464802,2.25 18,1,2024-09-07 10:06:51:643,1217007,1217007,0,0,570315848983,5916977896,1208824,6752,1431,367,391725,0 18,2,2024-09-07 10:06:51:760,874793,874793,0,0,33918027,0,3541 18,3,2024-09-07 10:06:50:897,1,691,1,0,1059,6291,691,0 19,0,2024-09-07 10:06:51:566,172412,0.6,172917,0.8,344712,0.6,458060,2.00 19,1,2024-09-07 10:06:50:566,1216144,1216144,0,0,571932406271,5926314194,1207289,7695,1160,365,391777,0 19,2,2024-09-07 10:06:51:762,879931,879931,0,0,31757846,0,3988 19,3,2024-09-07 10:06:51:133,1,691,26,0,524,5530,691,0 20,0,2024-09-07 10:06:51:392,169343,0.5,169347,0.7,338353,0.5,452007,2.00 20,1,2024-09-07 10:06:50:820,1211454,1211454,0,0,569150470382,5964666451,1199179,10566,1709,369,391922,0 20,2,2024-09-07 10:06:50:933,875861,875861,0,0,40067758,0,4321 20,3,2024-09-07 10:06:50:679,1,691,3,0,468,11555,691,0 21,0,2024-09-07 10:06:51:259,175164,0.5,175170,0.7,350203,0.5,464769,2.00 21,1,2024-09-07 10:06:51:561,1209234,1209234,0,0,567372569144,5987074977,1190473,14768,3993,368,392016,0 21,2,2024-09-07 10:06:51:133,864567,864090,477,0,51207494,0,17074 21,3,2024-09-07 10:06:51:413,1,691,9,0,713,9101,691,0 22,0,2024-09-07 10:06:51:721,175552,0.5,176196,0.7,352012,0.4,467404,2.00 22,1,2024-09-07 10:06:51:026,1210557,1210557,0,0,568603626599,5980280719,1191474,15479,3604,381,391822,0 22,2,2024-09-07 10:06:50:764,870881,870855,26,0,37983339,0,6328 22,3,2024-09-07 10:06:51:075,1,691,1,0,228,5647,691,0 23,0,2024-09-07 10:06:51:380,173294,0.5,173166,0.6,346276,0.4,461515,2.00 23,1,2024-09-07 10:06:51:021,1212182,1212182,0,0,568758572553,5986297754,1190937,14422,6823,365,391690,0 23,2,2024-09-07 10:06:51:097,878071,878071,0,0,36302669,0,3773 23,3,2024-09-07 10:06:51:759,1,691,1,0,855,9736,691,0 24,0,2024-09-07 10:06:50:904,169931,0.4,168784,0.5,339329,0.3,451380,1.75 24,1,2024-09-07 10:06:50:747,1210648,1210648,0,0,568388939867,5948922215,1199047,9725,1876,367,392269,0 24,2,2024-09-07 10:06:51:124,875755,875752,3,0,42646945,0,6294 24,3,2024-09-07 10:06:51:692,1,691,8,0,468,9024,691,0 25,0,2024-09-07 10:06:51:409,179007,0.4,173913,0.6,342441,0.4,466183,1.75 25,1,2024-09-07 10:06:50:558,1209429,1209429,0,0,567599933209,5995027437,1188681,16753,3995,369,391928,0 25,2,2024-09-07 10:06:51:616,868348,868348,0,0,44224357,0,3978 25,3,2024-09-07 10:06:51:018,1,691,13,0,532,7558,691,0 26,0,2024-09-07 10:06:51:736,173759,0.4,169765,0.6,356162,0.4,464948,2.00 26,1,2024-09-07 10:06:51:550,1212683,1212683,0,0,568828669816,5953061672,1196978,12802,2903,380,391758,0 26,2,2024-09-07 10:06:50:864,871783,871783,0,0,45502248,0,4689 26,3,2024-09-07 10:06:51:715,1,691,1,0,796,8570,691,0 27,0,2024-09-07 10:06:51:740,174968,0.4,175674,0.6,349316,0.4,466020,2.25 27,1,2024-09-07 10:06:51:679,1214451,1214451,0,0,570971221507,5948620597,1203168,9661,1622,381,391626,0 27,2,2024-09-07 10:06:50:879,871568,871503,65,0,40359528,0,5699 27,3,2024-09-07 10:06:51:029,1,691,1,0,564,5910,691,0 28,0,2024-09-07 10:06:51:393,169459,0.4,169696,0.6,339289,0.3,451898,1.75 28,1,2024-09-07 10:06:50:809,1214766,1214766,0,0,570863112887,5957831972,1203295,9043,2428,382,391904,0 28,2,2024-09-07 10:06:51:775,875340,875340,0,0,37745771,0,4060 28,3,2024-09-07 10:06:51:783,1,691,0,0,502,7213,691,0 29,0,2024-09-07 10:06:51:373,178064,0.4,173327,0.6,340453,0.4,464488,1.75 29,1,2024-09-07 10:06:51:569,1217743,1217743,0,0,572208718258,5922152505,1209821,6901,1021,367,391809,0 29,2,2024-09-07 10:06:50:867,873333,873333,0,0,35119546,0,4986 29,3,2024-09-07 10:06:50:965,1,691,1,0,590,7828,691,0 30,0,2024-09-07 10:06:51:457,172813,0.6,168208,0.7,352516,0.5,460213,2.00 30,1,2024-09-07 10:06:50:810,1216679,1216679,0,0,571430406851,5934592565,1206867,8644,1168,380,391672,0 30,2,2024-09-07 10:06:51:294,872512,872512,0,0,34022845,0,4192 30,3,2024-09-07 10:06:50:686,1,691,1,0,519,7198,691,0 31,0,2024-09-07 10:06:51:762,175087,0.5,175917,0.7,350878,0.4,467930,2.00 31,1,2024-09-07 10:06:50:652,1220582,1220582,0,0,572435741060,5881207294,1212651,6662,1269,356,391712,0 31,2,2024-09-07 10:06:51:281,872782,872782,0,0,37463905,0,4470 31,3,2024-09-07 10:06:51:706,1,691,1,0,248,6111,691,0 32,0,2024-09-07 10:06:51:424,171924,0.3,173000,0.5,344680,0.2,458219,1.75 32,1,2024-09-07 10:06:50:809,1216181,1216181,0,0,571041709547,5936134882,1208689,6527,965,381,391646,0 32,2,2024-09-07 10:06:50:943,878918,878918,0,0,32829641,0,3922 32,3,2024-09-07 10:06:51:022,1,691,2,0,304,5841,691,0 33,0,2024-09-07 10:06:51:497,171434,0.3,170954,0.4,341875,0.2,455601,1.50 33,1,2024-09-07 10:06:50:797,1217557,1217557,0,0,571629189496,5919816264,1207936,8265,1356,368,391730,0 33,2,2024-09-07 10:06:50:784,876243,876208,35,0,36430572,0,7012 33,3,2024-09-07 10:06:50:904,1,691,1,0,329,6386,691,0 34,0,2024-09-07 10:06:50:936,174705,0.3,179565,0.5,343419,0.2,463470,1.75 34,1,2024-09-07 10:06:51:044,1220295,1220295,0,0,572311255946,5889796015,1216293,3793,209,367,391637,0 34,2,2024-09-07 10:06:50:773,871809,871809,0,0,33917961,0,4562 34,3,2024-09-07 10:06:51:693,1,691,1,0,541,6044,691,0 35,0,2024-09-07 10:06:50:865,174985,0.3,175605,0.5,352112,0.2,468663,1.75 35,1,2024-09-07 10:06:51:087,1215970,1215970,0,0,570478657010,5919805508,1207029,7388,1553,382,391769,0 35,2,2024-09-07 10:06:51:590,872285,872285,0,0,39585346,0,4055 35,3,2024-09-07 10:06:50:907,1,691,0,0,466,6567,691,0 36,0,2024-09-07 10:06:51:520,174181,0.4,174377,0.6,348273,0.4,463807,2.00 36,1,2024-09-07 10:06:50:683,1214542,1214542,0,0,570461354522,5954617693,1199763,12425,2354,366,391759,0 36,2,2024-09-07 10:06:51:756,877529,877529,0,0,39425526,0,3875 36,3,2024-09-07 10:06:50:877,1,691,1,0,556,8768,691,0 37,0,2024-09-07 10:06:51:381,168134,0.5,168088,0.7,336702,0.5,448866,2.25 37,1,2024-09-07 10:06:50:806,1213861,1213854,0,7,569807767284,5949123294,1199668,11072,3114,365,391770,0 37,2,2024-09-07 10:06:51:149,874445,874430,15,0,39556350,0,5815 37,3,2024-09-07 10:06:51:767,1,691,276,0,888,9467,691,0 38,0,2024-09-07 10:06:51:450,174015,0.5,168736,0.7,352676,0.4,463118,2.00 38,1,2024-09-07 10:06:51:605,1214205,1214205,0,0,569789504555,5955730019,1197367,13532,3306,368,391821,0 38,2,2024-09-07 10:06:50:790,870773,870726,47,0,39352108,0,6710 38,3,2024-09-07 10:06:51:009,1,691,2,0,689,7908,691,0 39,0,2024-09-07 10:06:51:772,178911,0.6,174878,0.7,341333,0.5,465891,2.00 39,1,2024-09-07 10:06:50:792,1212188,1212188,0,0,568581668543,5959590371,1192551,15404,4233,365,391865,0 39,2,2024-09-07 10:06:51:420,871982,871982,0,0,37659152,0,3478 39,3,2024-09-07 10:06:50:716,1,691,9,0,525,7644,691,0 40,0,2024-09-07 10:06:51:491,172583,0.8,173278,0.9,345958,0.9,461731,2.75 40,1,2024-09-07 10:06:50:764,1212679,1212679,0,0,568524623944,5958801729,1193876,15220,3583,366,391668,0 40,2,2024-09-07 10:06:51:309,873903,873896,7,0,43654256,0,5347 40,3,2024-09-07 10:06:51:149,1,691,0,0,1028,9822,691,0 41,0,2024-09-07 10:06:51:270,167820,1.2,171863,1.1,327711,1.6,444872,3.00 41,1,2024-09-07 10:06:50:779,1212502,1212502,0,0,570019180062,5960727376,1196792,13185,2525,369,391878,0 41,2,2024-09-07 10:06:50:796,872403,872402,1,0,42558586,0,5408 41,3,2024-09-07 10:06:51:693,1,691,0,0,749,8439,691,0 42,0,2024-09-07 10:06:51:477,172957,0.9,172619,1.0,345668,1.1,458771,2.75 42,1,2024-09-07 10:06:51:450,1210467,1210467,0,0,567130008528,5953235978,1192862,14521,3084,380,391675,0 42,2,2024-09-07 10:06:51:139,868930,868929,1,0,43744758,0,5513 42,3,2024-09-07 10:06:51:009,1,691,1,0,892,6977,691,0 43,0,2024-09-07 10:06:50:934,171916,0.8,167288,1.0,350496,0.9,458270,2.25 43,1,2024-09-07 10:06:50:748,1213649,1213649,0,0,570759896376,5960891501,1197539,13362,2748,365,391696,0 43,2,2024-09-07 10:06:51:752,872898,872898,0,0,40112510,0,4723 43,3,2024-09-07 10:06:51:765,1,691,1,0,571,9296,691,0 44,0,2024-09-07 10:06:50:871,175541,0.4,175710,0.6,350864,0.3,467589,1.75 44,1,2024-09-07 10:06:50:565,1216667,1216667,0,0,571231658668,5908175874,1205340,9176,2151,356,391809,0 44,2,2024-09-07 10:06:51:282,872909,872909,0,0,33758574,0,4344 44,3,2024-09-07 10:06:51:133,1,691,1,0,1097,8910,691,0 45,0,2024-09-07 10:06:51:814,168298,0.4,164378,0.7,344873,0.4,451808,2.00 45,1,2024-09-07 10:06:51:009,1216158,1216158,0,0,571171350403,5937900777,1206610,8327,1221,382,391917,0 45,2,2024-09-07 10:06:51:284,877050,877050,0,0,33917808,0,3596 45,3,2024-09-07 10:06:50:945,1,691,4,0,531,6470,691,0 46,0,2024-09-07 10:06:50:965,171033,0.5,170459,0.7,342022,0.5,455483,2.00 46,1,2024-09-07 10:06:50:802,1218164,1218164,0,0,571846928874,5917145550,1208889,7793,1482,366,391709,0 46,2,2024-09-07 10:06:50:670,876294,876294,0,0,34749884,0,4443 46,3,2024-09-07 10:06:51:137,1,691,0,0,908,7607,691,0 47,0,2024-09-07 10:06:51:152,175015,0.5,174516,0.6,350450,0.4,464416,2.00 47,1,2024-09-07 10:06:50:847,1219485,1219485,0,0,571753792259,5909777258,1211978,6494,1013,364,391666,0 47,2,2024-09-07 10:06:50:917,873976,873976,0,0,35093502,0,4477 47,3,2024-09-07 10:06:51:119,1,691,1,0,600,7503,691,0 48,0,2024-09-07 10:06:51:502,175885,0.3,176386,0.4,351426,0.2,467546,1.50 48,1,2024-09-07 10:06:51:022,1215902,1215902,0,0,569744177282,5920495031,1208232,6658,1012,381,391710,0 48,2,2024-09-07 10:06:50:707,873168,873168,0,0,32169490,0,3619 48,3,2024-09-07 10:06:50:787,1,691,1,0,339,5980,691,0 49,0,2024-09-07 10:06:51:731,178046,0.3,174387,0.5,339166,0.3,462627,1.75 49,1,2024-09-07 10:06:51:023,1215261,1215261,0,0,570880768448,5935973270,1207396,6167,1698,382,391809,0 49,2,2024-09-07 10:06:51:806,878931,878931,0,0,33637234,0,4426 49,3,2024-09-07 10:06:51:419,1,691,1,0,992,8086,691,0 50,0,2024-09-07 10:06:51:509,169827,0.3,168553,0.5,339358,0.2,451746,1.75 50,1,2024-09-07 10:06:51:011,1218234,1218234,0,0,571993982629,5913650854,1210678,6824,732,368,391691,0 50,2,2024-09-07 10:06:51:073,875400,875400,0,0,31601983,0,4490 50,3,2024-09-07 10:06:51:295,1,691,1,0,617,7208,691,0 51,0,2024-09-07 10:06:51:692,179857,0.3,175942,0.5,342659,0.3,467159,1.75 51,1,2024-09-07 10:06:51:681,1219406,1219406,0,0,573099764910,5909570440,1212705,5357,1344,365,391706,0 51,2,2024-09-07 10:06:51:337,871127,871127,0,0,31191284,0,3337 51,3,2024-09-07 10:06:51:030,1,691,1,0,678,5457,691,0 52,0,2024-09-07 10:06:51:418,175715,0.5,175654,0.7,351073,0.4,466947,2.00 52,1,2024-09-07 10:06:50:784,1213383,1213383,0,0,569249820792,5956090875,1196632,14324,2427,368,391805,0 52,2,2024-09-07 10:06:51:769,868489,868451,38,0,42444746,0,6742 52,3,2024-09-07 10:06:50:686,1,691,11,0,1782,7918,691,0 53,0,2024-09-07 10:06:51:756,173027,0.6,167907,0.8,351391,0.7,460039,2.25 53,1,2024-09-07 10:06:50:771,1212614,1212614,0,0,569814358674,5972010795,1193459,14742,4413,367,391968,0 53,2,2024-09-07 10:06:51:298,877752,877614,138,0,38968272,0,7690 53,3,2024-09-07 10:06:50:707,1,691,0,0,308,6496,691,0 54,0,2024-09-07 10:06:51:615,167036,0.5,167598,0.7,333545,0.4,445864,2.25 54,1,2024-09-07 10:06:50:719,1215183,1215183,0,0,570701571295,5932160426,1203395,10114,1674,366,391810,0 54,2,2024-09-07 10:06:50:878,876434,876402,32,0,42206202,0,6397 54,3,2024-09-07 10:06:50:768,1,691,21,0,676,8899,691,0 55,0,2024-09-07 10:06:51:771,168201,0.6,173311,0.8,351724,0.6,458429,2.25 55,1,2024-09-07 10:06:50:770,1215519,1215519,0,0,569637731592,5922473919,1203495,10537,1487,365,391731,0 55,2,2024-09-07 10:06:50:748,869823,869767,56,0,38493231,0,7239 55,3,2024-09-07 10:06:50:682,1,691,1,0,304,6271,691,0 56,0,2024-09-07 10:06:51:608,177617,1.2,167372,1.2,344855,1.6,462185,2.75 56,1,2024-09-07 10:06:50:847,1208325,1208325,0,0,567932028430,6002683193,1188833,15738,3754,381,391867,0 56,2,2024-09-07 10:06:51:312,870586,870464,122,0,41144738,0,7432 56,3,2024-09-07 10:06:51:067,1,691,4,0,705,8213,691,0 57,0,2024-09-07 10:06:50:937,173119,1.3,173165,1.2,345718,1.8,462136,3.00 57,1,2024-09-07 10:06:50:991,1211300,1211300,0,0,568598145147,5963429779,1195586,13010,2704,366,392097,0 57,2,2024-09-07 10:06:51:342,874422,874422,0,0,44090590,0,4804 57,3,2024-09-07 10:06:51:752,1,691,1,0,455,7405,691,0 58,0,2024-09-07 10:06:50:553,166920,0.9,162130,1.0,339336,1.1,444242,2.50 58,1,2024-09-07 10:06:50:796,1212340,1212337,0,3,569463341059,5969899685,1193974,13961,4402,367,391726,3 58,2,2024-09-07 10:06:51:118,875922,875922,0,0,40068968,0,3483 58,3,2024-09-07 10:06:51:073,1,691,6,0,1043,7464,691,0 59,0,2024-09-07 10:06:51:741,172131,0.8,171138,1.0,342344,0.9,455010,2.75 59,1,2024-09-07 10:06:50:858,1211963,1211963,0,0,568509466908,5970771367,1192475,15650,3838,369,391653,0 59,2,2024-09-07 10:06:50:684,873354,873354,0,0,38151211,0,3727 59,3,2024-09-07 10:06:51:739,1,691,1,0,1015,8125,691,0 60,0,2024-09-07 10:06:51:783,173698,0.5,173925,0.7,347763,0.5,463765,2.00 60,1,2024-09-07 10:06:50:801,1215658,1215658,0,0,571056852386,5947454078,1204729,9427,1502,370,392031,0 60,2,2024-09-07 10:06:51:177,873005,873005,0,0,36457051,0,3811 60,3,2024-09-07 10:06:51:263,1,691,7,0,409,7920,691,0 61,0,2024-09-07 10:06:51:504,175258,0.6,176006,0.8,350485,0.6,467461,2.00 61,1,2024-09-07 10:06:50:790,1212532,1212532,0,0,569347253067,5961665242,1199860,10821,1851,382,392127,0 61,2,2024-09-07 10:06:51:128,873996,873929,67,0,37414403,0,6411 61,3,2024-09-07 10:06:51:704,1,691,5,0,607,8554,691,0 62,0,2024-09-07 10:06:51:715,172582,0.5,176965,0.7,337783,0.5,458045,2.00 62,1,2024-09-07 10:06:51:119,1220140,1220134,0,6,572975036139,5915108981,1212882,6635,617,365,391975,6 62,2,2024-09-07 10:06:51:646,874631,874630,1,0,37167150,0,5555 62,3,2024-09-07 10:06:51:145,1,691,2,0,482,5422,691,0 63,0,2024-09-07 10:06:51:463,171292,0.4,170904,0.6,342455,0.3,455665,1.75 63,1,2024-09-07 10:06:50:809,1217239,1217233,0,6,571017362547,5931894851,1209319,6963,951,381,391800,6 63,2,2024-09-07 10:06:50:798,875212,875212,0,0,36328332,0,4369 63,3,2024-09-07 10:06:51:734,1,691,0,0,667,8069,691,0 64,0,2024-09-07 10:06:51:579,173723,0.5,173633,0.7,346892,0.5,461866,2.00 64,1,2024-09-07 10:06:50:771,1215230,1215230,0,0,570662636601,5940901168,1205018,8184,2028,370,391794,0 64,2,2024-09-07 10:06:51:158,874266,874247,19,0,34897070,0,6121 64,3,2024-09-07 10:06:51:162,1,691,12,0,651,7882,691,0 65,0,2024-09-07 10:06:51:705,174219,0.6,174541,0.7,348380,0.6,464987,2.00 65,1,2024-09-07 10:06:50:864,1213710,1213710,0,0,569891530832,5954499114,1205465,7347,898,381,391901,0 65,2,2024-09-07 10:06:51:693,871007,871007,0,0,41873582,0,3367 65,3,2024-09-07 10:06:51:692,1,691,1,0,782,8149,691,0 66,0,2024-09-07 10:06:51:773,173510,0.5,172797,0.7,345649,0.5,460456,2.00 66,1,2024-09-07 10:06:51:296,1215353,1215353,0,0,570876065090,5940891135,1207851,6629,873,380,391743,0 66,2,2024-09-07 10:06:51:134,880167,880164,3,0,36760497,0,5455 66,3,2024-09-07 10:06:51:086,1,691,1,0,291,6155,691,0 67,0,2024-09-07 10:06:51:420,168828,0.5,168405,0.7,337399,0.5,449378,2.00 67,1,2024-09-07 10:06:50:773,1215324,1215323,0,1,570542642378,5939926684,1206889,7294,1140,380,391787,1 67,2,2024-09-07 10:06:50:688,878711,878696,15,0,37214404,0,6205 67,3,2024-09-07 10:06:51:755,1,691,1,0,595,7322,691,0 68,0,2024-09-07 10:06:50:561,174834,0.6,174613,0.7,347801,0.6,465953,2.25 68,1,2024-09-07 10:06:50:849,1210940,1210940,0,0,567719158656,5972794213,1194212,12456,4272,381,391953,0 68,2,2024-09-07 10:06:51:065,866034,865934,100,0,44935178,0,8578 68,3,2024-09-07 10:06:50:737,1,691,6,0,417,9654,691,0 69,0,2024-09-07 10:06:51:773,174627,0.7,175168,0.8,349584,0.7,465668,2.25 69,1,2024-09-07 10:06:51:026,1209995,1209995,0,0,567661581022,5978830907,1195100,11913,2982,383,391994,0 69,2,2024-09-07 10:06:51:742,868810,868781,29,0,48127525,0,6912 69,3,2024-09-07 10:06:50:789,1,691,1,0,698,10367,691,0 70,0,2024-09-07 10:06:51:533,172351,0.7,173119,0.9,347066,0.6,460264,2.50 70,1,2024-09-07 10:06:50:809,1216761,1216761,0,0,571807832856,5934368716,1207295,8421,1045,366,391725,0 70,2,2024-09-07 10:06:51:339,876575,876575,0,0,39549870,0,4323 70,3,2024-09-07 10:06:50:755,1,691,1,0,854,7674,691,0 71,0,2024-09-07 10:06:51:382,167789,0.8,167415,0.9,335627,0.9,447492,2.50 71,1,2024-09-07 10:06:51:601,1214421,1214421,0,0,570103354967,5947383577,1199989,12646,1786,367,391738,0 71,2,2024-09-07 10:06:51:068,874741,874741,0,0,39923788,0,4352 71,3,2024-09-07 10:06:51:755,1,691,1,0,644,7600,691,0 72,0,2024-09-07 10:06:51:042,179646,0.6,175353,0.7,342704,0.5,466219,2.00 72,1,2024-09-07 10:06:51:043,1212799,1212799,0,0,568339523990,5958434207,1195941,14125,2733,369,391819,0 72,2,2024-09-07 10:06:51:767,869814,869814,0,0,41717040,0,3983 72,3,2024-09-07 10:06:51:759,1,691,0,0,564,9543,691,0 73,0,2024-09-07 10:06:51:113,169876,0.5,174158,0.6,355968,0.4,463405,2.00 73,1,2024-09-07 10:06:50:799,1214469,1214469,0,0,570314139516,5928277734,1204941,8464,1064,367,391858,0 73,2,2024-09-07 10:06:51:752,871436,871435,1,0,43695514,0,5027 73,3,2024-09-07 10:06:50:980,1,691,1,0,1091,9308,691,0 74,0,2024-09-07 10:06:51:345,176414,0.5,180711,0.7,344712,0.4,467928,2.00 74,1,2024-09-07 10:06:50:670,1213519,1213519,0,0,569880142634,5945430354,1201160,10211,2148,381,391762,0 74,2,2024-09-07 10:06:51:008,873442,873442,0,0,38031581,0,4253 74,3,2024-09-07 10:06:51:456,1,691,1,0,522,7900,691,0 75,0,2024-09-07 10:06:51:771,170168,0.5,169201,0.7,339627,0.4,453188,2.00 75,1,2024-09-07 10:06:51:592,1214486,1214486,0,0,569618914761,5934985433,1204604,8889,993,380,391739,0 75,2,2024-09-07 10:06:51:363,874725,874725,0,0,45151522,0,4766 75,3,2024-09-07 10:06:51:067,1,691,15,0,918,9524,691,0 76,0,2024-09-07 10:06:50:895,171354,0.6,170777,0.8,341172,0.5,457059,2.25 76,1,2024-09-07 10:06:50:810,1214065,1214065,0,0,569035182963,5937638568,1205170,7585,1310,382,391790,0 76,2,2024-09-07 10:06:51:068,877371,877368,3,0,38133862,0,5265 76,3,2024-09-07 10:06:51:150,1,691,1,0,249,6358,691,0 77,0,2024-09-07 10:06:51:714,173842,0.6,174189,0.7,348672,0.6,463332,2.00 77,1,2024-09-07 10:06:50:834,1213131,1213131,0,0,568862679297,5941690157,1202858,9185,1088,381,391869,0 77,2,2024-09-07 10:06:51:286,870996,870996,0,0,36727720,0,3890 77,3,2024-09-07 10:06:51:124,1,691,1,0,401,7831,691,0 78,0,2024-09-07 10:06:51:737,176143,0.4,175345,0.6,352162,0.4,465688,2.00 78,1,2024-09-07 10:06:50:665,1213201,1213201,0,0,569071941165,5940311412,1197665,12204,3332,367,391670,0 78,2,2024-09-07 10:06:51:410,873721,873708,13,0,34433508,0,8313 78,3,2024-09-07 10:06:51:134,1,691,1,0,311,5960,691,0 79,0,2024-09-07 10:06:51:346,167326,0.4,171418,0.6,350990,0.3,457109,2.00 79,1,2024-09-07 10:06:50:844,1217645,1217645,0,0,571822899011,5923563919,1207831,8012,1802,367,391682,0 79,2,2024-09-07 10:06:51:084,878413,878413,0,0,33487520,0,4195 79,3,2024-09-07 10:06:50:760,1,691,17,0,418,7997,691,0 80,0,2024-09-07 10:06:51:099,169629,0.5,174119,0.7,332607,0.5,450696,2.00 80,1,2024-09-07 10:06:51:619,1214032,1214032,0,0,570290366865,5938448340,1206052,7398,582,368,392269,0 80,2,2024-09-07 10:06:51:116,878807,878807,0,0,34045564,0,4433 80,3,2024-09-07 10:06:50:757,1,691,9,0,681,8334,691,0 81,0,2024-09-07 10:06:51:586,175215,0.6,179271,0.7,341919,0.6,463790,2.00 81,1,2024-09-07 10:06:51:662,1213401,1213401,0,0,569100977193,5949203445,1203532,8874,995,382,392001,0 81,2,2024-09-07 10:06:51:130,870237,870174,63,0,37830844,0,5932 81,3,2024-09-07 10:06:51:117,1,691,0,0,719,7625,691,0 82,0,2024-09-07 10:06:51:550,175746,0.5,175723,0.7,352062,0.5,467512,2.00 82,1,2024-09-07 10:06:50:693,1215295,1215291,0,4,570114149884,5931965346,1208355,5812,1124,381,391768,4 82,2,2024-09-07 10:06:51:698,874830,874830,0,0,31478287,0,4484 82,3,2024-09-07 10:06:51:755,1,691,1,0,363,6235,691,0 83,0,2024-09-07 10:06:51:528,173738,0.5,173573,0.7,346872,0.5,460671,2.25 83,1,2024-09-07 10:06:50:551,1214009,1214009,0,0,569587942209,5938560769,1205020,7963,1026,382,391709,0 83,2,2024-09-07 10:06:50:764,878190,878165,25,0,34376875,0,5612 83,3,2024-09-07 10:06:50:754,1,691,1,0,1260,8151,691,0 84,0,2024-09-07 10:06:51:778,167356,0.7,167428,0.9,334880,0.7,447573,2.25 84,1,2024-09-07 10:06:51:065,1212290,1212290,0,0,568880612853,5950186453,1198601,11606,2083,367,391967,0 84,2,2024-09-07 10:06:50:856,873789,873379,410,0,49483373,0,17037 84,3,2024-09-07 10:06:51:146,1,691,0,0,908,9629,691,0 85,0,2024-09-07 10:06:51:014,167467,0.6,167415,0.8,355432,0.6,458760,2.25 85,1,2024-09-07 10:06:50:569,1208502,1208502,0,0,567530371707,5987719570,1190715,14857,2930,381,392092,0 85,2,2024-09-07 10:06:50:874,870072,870072,0,0,41802675,0,4255 85,3,2024-09-07 10:06:50:704,1,691,1,0,789,7806,691,0 86,0,2024-09-07 10:06:50:931,173577,0.7,178592,0.8,341978,0.8,462784,2.25 86,1,2024-09-07 10:06:50:873,1210982,1210982,0,0,568878422981,5973575531,1193378,14288,3316,366,392169,0 86,2,2024-09-07 10:06:50:864,871311,871310,1,0,43945829,0,5004 86,3,2024-09-07 10:06:50:688,1,691,3,0,441,9593,691,0 87,0,2024-09-07 10:06:51:291,175437,0.8,174205,0.8,348977,0.9,466018,2.25 87,1,2024-09-07 10:06:50:552,1211556,1211556,0,0,568250777257,5951546293,1196639,12755,2162,366,392076,0 87,2,2024-09-07 10:06:51:075,873898,873892,6,0,41259309,0,6323 87,3,2024-09-07 10:06:51:801,1,691,244,0,473,9789,691,0 88,0,2024-09-07 10:06:51:470,169296,0.4,169735,0.6,339479,0.4,452030,1.75 88,1,2024-09-07 10:06:50:859,1210298,1210298,0,0,568437459876,5955570131,1193488,13671,3139,365,392084,0 88,2,2024-09-07 10:06:50:702,875084,875084,0,0,43291531,0,4465 88,3,2024-09-07 10:06:51:286,1,691,10,0,1080,11096,691,0 89,0,2024-09-07 10:06:51:810,178080,0.4,172971,0.6,340756,0.4,463649,1.75 89,1,2024-09-07 10:06:50:562,1210172,1210172,0,0,568688924794,5978809410,1195496,12398,2278,382,391866,0 89,2,2024-09-07 10:06:51:163,873224,873224,0,0,40737299,0,3173 89,3,2024-09-07 10:06:51:791,1,691,0,0,729,12282,691,0 90,0,2024-09-07 10:06:51:635,168708,0.5,173180,0.7,353791,0.5,461572,2.00 90,1,2024-09-07 10:06:50:699,1211575,1211575,0,0,569692883021,5968570524,1199124,11181,1270,380,391825,0 90,2,2024-09-07 10:06:51:413,867663,867658,5,0,43925778,0,6370 90,3,2024-09-07 10:06:50:937,1,691,1,0,364,9054,691,0 91,0,2024-09-07 10:06:50:958,176048,0.5,170745,0.6,357161,0.4,468422,1.75 91,1,2024-09-07 10:06:50:572,1208716,1208716,0,0,568207560545,5992198332,1190005,14563,4148,381,392047,0 91,2,2024-09-07 10:06:51:338,872244,872244,0,0,39211186,0,4713 91,3,2024-09-07 10:06:50:674,1,691,20,0,231,6475,691,0 92,0,2024-09-07 10:06:51:533,173509,0.4,177511,0.6,338357,0.4,458522,1.75 92,1,2024-09-07 10:06:50:720,1213066,1213066,0,0,569532225084,5950160496,1203578,8176,1312,381,392136,0 92,2,2024-09-07 10:06:51:373,876481,876481,0,0,35914269,0,3906 92,3,2024-09-07 10:06:51:021,1,691,17,0,322,6227,691,0 93,0,2024-09-07 10:06:50:973,171779,0.4,176011,0.6,335930,0.3,455503,1.75 93,1,2024-09-07 10:06:50:814,1213541,1213541,0,0,569872149874,5955585909,1199141,11964,2436,365,392048,0 93,2,2024-09-07 10:06:50:929,875414,875414,0,0,41315370,0,4913 93,3,2024-09-07 10:06:51:422,1,691,3,0,788,8602,691,0 94,0,2024-09-07 10:06:51:643,173692,0.4,174774,0.6,350057,0.4,464172,1.75 94,1,2024-09-07 10:06:50:849,1214854,1214854,0,0,570742464028,5952699390,1206577,7627,650,381,391850,0 94,2,2024-09-07 10:06:50:808,870917,870889,28,0,35928038,0,6179 94,3,2024-09-07 10:06:51:704,1,691,0,0,576,8352,691,0 95,0,2024-09-07 10:06:51:372,175568,0.4,175321,0.5,351014,0.3,467894,1.75 95,1,2024-09-07 10:06:50:869,1215414,1215414,0,0,570288714526,5926788844,1206049,8553,812,365,391852,0 95,2,2024-09-07 10:06:51:026,870719,870719,0,0,36083485,0,3308 95,3,2024-09-07 10:06:51:728,1,691,2,0,718,10480,691,0 96,0,2024-09-07 10:06:51:098,173722,0.3,174015,0.5,348194,0.3,462998,1.75 96,1,2024-09-07 10:06:51:590,1212847,1212847,0,0,569468766700,5942593677,1203928,7541,1378,384,391964,0 96,2,2024-09-07 10:06:51:283,879303,879303,0,0,36602503,0,4225 96,3,2024-09-07 10:06:51:149,1,691,1,0,411,7719,691,0 97,0,2024-09-07 10:06:51:391,168780,0.3,168651,0.5,337967,0.3,449411,1.50 97,1,2024-09-07 10:06:50:775,1215922,1215922,0,0,571273533650,5928380227,1207994,6685,1243,367,392140,0 97,2,2024-09-07 10:06:50:665,877418,877418,0,0,36640630,0,4600 97,3,2024-09-07 10:06:50:858,1,691,0,0,433,8445,691,0 98,0,2024-09-07 10:06:51:779,174405,0.3,174417,0.5,350425,0.3,466401,1.50 98,1,2024-09-07 10:06:50:856,1214764,1214764,0,0,569960624113,5936889291,1207322,6543,899,381,391997,0 98,2,2024-09-07 10:06:50:773,870031,870031,0,0,34898717,0,4336 98,3,2024-09-07 10:06:50:701,1,691,1,0,840,9645,691,0 99,0,2024-09-07 10:06:51:461,175501,0.3,176292,0.5,351312,0.3,468378,1.75 99,1,2024-09-07 10:06:51:727,1215764,1215764,0,0,569984744267,5932967752,1207976,6679,1109,380,392069,0 99,2,2024-09-07 10:06:51:434,871410,871410,0,0,44054821,0,4276 99,3,2024-09-07 10:06:50:702,1,691,3,0,1124,8726,691,0 100,0,2024-09-07 10:06:51:570,173698,0.8,174304,0.9,348338,0.8,464247,2.50 100,1,2024-09-07 10:06:50:559,1208436,1208436,0,0,566931045056,5996374637,1189342,15299,3795,378,391989,0 100,2,2024-09-07 10:06:51:829,872288,871899,389,0,45729480,0,16909 100,3,2024-09-07 10:06:51:768,1,691,2,0,627,10760,691,0 101,0,2024-09-07 10:06:51:730,172524,0.9,168285,1.0,329261,0.8,449342,2.25 101,1,2024-09-07 10:06:50:550,1210498,1210498,0,0,568540639992,5975221152,1193923,13623,2952,368,392018,0 101,2,2024-09-07 10:06:51:795,870393,870354,39,0,47441195,0,5913 101,3,2024-09-07 10:06:50:946,1,691,49,0,1250,10677,691,0 102,0,2024-09-07 10:06:50:957,169151,0.6,174249,0.8,354084,0.6,462977,2.25 102,1,2024-09-07 10:06:51:145,1210576,1210576,0,0,568527636396,5970015430,1194755,13565,2256,369,391984,0 102,2,2024-09-07 10:06:51:757,872707,872653,54,0,39192177,0,6768 102,3,2024-09-07 10:06:51:618,1,691,1,0,466,8063,691,0 103,0,2024-09-07 10:06:51:594,179730,0.7,179680,0.8,338560,0.8,465767,2.25 103,1,2024-09-07 10:06:51:639,1209300,1209300,0,0,567628191625,5992112397,1190390,15284,3626,381,392077,0 103,2,2024-09-07 10:06:50:719,870155,870155,0,0,42652072,0,3766 103,3,2024-09-07 10:06:50:790,1,691,3,0,916,8214,691,0 104,0,2024-09-07 10:06:51:067,174097,0.7,174476,0.9,348194,0.7,465141,2.25 104,1,2024-09-07 10:06:51:684,1211278,1211278,0,0,568075091654,5971097121,1192846,14972,3460,365,392168,0 104,2,2024-09-07 10:06:51:676,871679,871679,0,0,41746193,0,4161 104,3,2024-09-07 10:06:51:415,1,691,4,0,1245,11743,691,0 105,0,2024-09-07 10:06:51:069,168237,0.8,163873,1.0,343616,0.9,451003,2.50 105,1,2024-09-07 10:06:50:554,1213516,1213516,0,0,568505093778,5953890723,1198744,12657,2115,364,392009,0 105,2,2024-09-07 10:06:51:338,874421,874421,0,0,43019903,0,4360 105,3,2024-09-07 10:06:51:309,1,691,23,0,573,10268,691,0 106,0,2024-09-07 10:06:50:950,165957,0.9,170105,1.0,347870,1.1,455260,2.50 106,1,2024-09-07 10:06:51:774,1211610,1211610,0,0,568398455172,5962571505,1195183,14276,2151,368,391914,0 106,2,2024-09-07 10:06:50:816,874517,874517,0,0,39682793,0,3331 106,3,2024-09-07 10:06:50:750,1,691,37,0,1224,9618,691,0 107,0,2024-09-07 10:06:51:140,173952,1.3,173904,1.1,348011,1.9,464312,2.25 107,1,2024-09-07 10:06:50:722,1209139,1209139,0,0,566953989722,5991349989,1190043,16840,2256,381,392234,0 107,2,2024-09-07 10:06:51:296,867357,867356,1,0,43224562,0,5024 107,3,2024-09-07 10:06:51:760,1,691,29,0,733,10905,691,0 108,0,2024-09-07 10:06:51:905,175223,0.4,176082,0.6,351149,0.4,467475,1.75 108,1,2024-09-07 10:06:51:335,1212741,1212741,0,0,569520770549,5947613529,1201271,10208,1262,367,391894,0 108,2,2024-09-07 10:06:51:829,872655,872655,0,0,38741127,0,4432 108,3,2024-09-07 10:06:51:391,1,691,26,0,767,12497,691,0 109,0,2024-09-07 10:06:51:796,173891,0.4,172526,0.6,346168,0.3,461625,1.75 109,1,2024-09-07 10:06:50:689,1210908,1210908,0,0,568961691753,5969656357,1200258,9145,1505,382,392132,0 109,2,2024-09-07 10:06:50:931,875807,875807,0,0,38794841,0,3617 109,3,2024-09-07 10:06:51:178,1,691,11,0,630,7957,691,0 110,0,2024-09-07 10:06:51:794,169279,0.4,164533,0.6,344617,0.3,452683,1.75 110,1,2024-09-07 10:06:51:644,1215322,1215322,0,0,571129994373,5931153092,1205858,7379,2085,368,392045,0 110,2,2024-09-07 10:06:51:313,876362,876362,0,0,37933429,0,4067 110,3,2024-09-07 10:06:50:703,1,691,61,0,722,9397,691,0 111,0,2024-09-07 10:06:51:461,175903,0.4,175061,0.6,349750,0.4,466636,1.75 111,1,2024-09-07 10:06:51:025,1216606,1216606,0,0,571133012140,5930805167,1209356,6743,507,380,391690,0 111,2,2024-09-07 10:06:51:120,870423,870423,0,0,37263988,0,4823 111,3,2024-09-07 10:06:50:927,1,691,8,0,379,7827,691,0 112,0,2024-09-07 10:06:50:915,176338,0.3,176003,0.4,352802,0.2,468835,1.50 112,1,2024-09-07 10:06:50:836,1215486,1215486,0,0,570146942193,5918237747,1207861,6399,1226,380,391624,0 112,2,2024-09-07 10:06:51:139,872457,872456,1,0,35180642,0,5036 112,3,2024-09-07 10:06:50:674,1,691,6,0,282,6832,691,0 113,0,2024-09-07 10:06:50:866,173623,0.3,174025,0.5,348196,0.2,463026,1.75 113,1,2024-09-07 10:06:51:687,1219084,1219084,0,0,572421498348,5905287113,1212172,5961,951,365,391664,0 113,2,2024-09-07 10:06:51:310,880610,880610,0,0,32604483,0,3813 113,3,2024-09-07 10:06:50:697,1,691,1,0,510,6998,691,0 114,0,2024-09-07 10:06:50:885,168859,0.3,170053,0.5,339170,0.2,453294,1.75 114,1,2024-09-07 10:06:50:728,1215107,1215107,0,0,571136450863,5930170031,1206280,7023,1804,381,391565,0 114,2,2024-09-07 10:06:50:877,877979,877978,1,0,35024207,0,5069 114,3,2024-09-07 10:06:51:281,1,691,0,0,415,5685,691,0 115,0,2024-09-07 10:06:50:553,174962,0.3,175490,0.4,349734,0.2,465352,1.50 115,1,2024-09-07 10:06:50:810,1216272,1216272,0,0,571327547162,5934864512,1206717,7763,1792,382,391757,0 115,2,2024-09-07 10:06:51:125,872363,872363,0,0,34862854,0,4382 115,3,2024-09-07 10:06:51:019,1,691,0,0,173,4408,691,0 116,0,2024-09-07 10:06:51:722,173638,0.8,173752,0.9,347990,0.8,465430,2.25 116,1,2024-09-07 10:06:50:814,1209454,1209454,0,0,568406249469,5987341691,1195387,10852,3215,380,392089,0 116,2,2024-09-07 10:06:51:765,872276,872276,0,0,42236936,0,4475 116,3,2024-09-07 10:06:50:932,1,691,11,0,448,8885,691,0 117,0,2024-09-07 10:06:51:108,175178,0.6,174851,0.8,350060,0.6,467332,2.00 117,1,2024-09-07 10:06:51:592,1212012,1212012,0,0,568887205602,5959226299,1198174,12074,1764,369,392429,0 117,2,2024-09-07 10:06:51:168,876386,876386,0,0,38784634,0,4303 117,3,2024-09-07 10:06:51:185,1,691,16,0,490,8474,691,0 118,0,2024-09-07 10:06:51:796,164243,0.5,168610,0.7,344152,0.5,450242,2.00 118,1,2024-09-07 10:06:50:701,1210274,1210274,0,0,567956704306,5968704976,1192769,13660,3845,366,392054,0 118,2,2024-09-07 10:06:51:612,875016,875016,0,0,41254821,0,3161 118,3,2024-09-07 10:06:51:770,1,691,1,0,343,8242,691,0 119,0,2024-09-07 10:06:51:355,173075,0.7,173307,0.8,346190,0.7,462070,2.25 119,1,2024-09-07 10:06:50:548,1211237,1211237,0,0,568580661839,5961984894,1195220,13349,2668,367,391857,0 119,2,2024-09-07 10:06:51:262,873898,873898,0,0,38178863,0,4309 119,3,2024-09-07 10:06:51:337,1,691,3,0,1358,12182,691,0 120,0,2024-09-07 10:06:51:651,173004,0.7,172982,0.9,347080,0.8,462877,2.50 120,1,2024-09-07 10:06:50:865,1212224,1212224,0,0,568240357389,5957832491,1199556,11510,1158,367,392144,0 120,2,2024-09-07 10:06:50:790,870365,870362,3,0,45817929,0,5363 120,3,2024-09-07 10:06:51:293,1,691,11,0,478,8869,691,0 121,0,2024-09-07 10:06:51:719,175446,1.1,174930,1.0,350017,1.4,466255,2.25 121,1,2024-09-07 10:06:51:683,1211808,1211808,0,0,568826032963,5954584446,1198284,11527,1997,366,391840,0 121,2,2024-09-07 10:06:51:134,872674,872674,0,0,41614437,0,4157 121,3,2024-09-07 10:06:50:736,1,691,8,0,387,9239,691,0 122,0,2024-09-07 10:06:51:801,171309,0.7,166753,0.8,348754,0.8,457952,2.00 122,1,2024-09-07 10:06:50:869,1211158,1211158,0,0,568367863783,5961472091,1194886,13695,2577,365,392130,0 122,2,2024-09-07 10:06:51:329,876311,876236,75,0,45834124,0,5989 122,3,2024-09-07 10:06:50:664,1,691,1,0,512,10502,691,0 123,0,2024-09-07 10:06:50:959,169719,0.8,165457,0.8,346829,0.9,453543,2.25 123,1,2024-09-07 10:06:50:558,1212125,1212125,0,0,568758265329,5979766108,1193036,16062,3027,369,392039,0 123,2,2024-09-07 10:06:51:022,874378,874377,1,0,39341793,0,5215 123,3,2024-09-07 10:06:51:136,1,691,0,0,478,7764,691,0 124,0,2024-09-07 10:06:50:941,179309,0.4,179359,0.5,337884,0.3,465067,1.75 124,1,2024-09-07 10:06:51:027,1215410,1215410,0,0,569949486473,5927615525,1205875,8274,1261,365,392178,0 124,2,2024-09-07 10:06:51:009,872757,872704,53,0,36538142,0,6487 124,3,2024-09-07 10:06:50:791,1,691,1,0,490,7211,691,0 125,0,2024-09-07 10:06:51:431,175507,0.4,175369,0.6,351446,0.3,468397,1.75 125,1,2024-09-07 10:06:50:859,1212281,1212281,0,0,568726542116,5944269915,1201240,9459,1582,382,392045,0 125,2,2024-09-07 10:06:51:122,874809,874809,0,0,36097958,0,4534 125,3,2024-09-07 10:06:51:137,1,691,1,0,709,7683,691,0 126,0,2024-09-07 10:06:51:424,174128,0.4,179012,0.5,342101,0.3,463815,1.75 126,1,2024-09-07 10:06:50:551,1216019,1216019,0,0,570882837479,5916245187,1208744,6573,702,365,391987,0 126,2,2024-09-07 10:06:50:670,879374,879374,0,0,37877515,0,4539 126,3,2024-09-07 10:06:50:929,1,691,1,0,268,7841,691,0 127,0,2024-09-07 10:06:51:600,169017,0.3,169426,0.5,337955,0.3,449697,1.75 127,1,2024-09-07 10:06:50:858,1214742,1214742,0,0,569905738623,5931214877,1202288,10678,1776,364,392187,0 127,2,2024-09-07 10:06:50:662,877150,877146,4,0,35801112,0,5305 127,3,2024-09-07 10:06:51:277,1,691,2,0,968,6804,691,0 128,0,2024-09-07 10:06:51:528,175531,0.3,175458,0.4,351105,0.2,467227,1.50 128,1,2024-09-07 10:06:51:605,1213919,1213919,0,0,570505769351,5931901590,1204931,8016,972,367,392423,0 128,2,2024-09-07 10:06:51:397,872410,872410,0,0,33271364,0,3171 128,3,2024-09-07 10:06:50:771,1,691,1,0,1082,10338,691,0 129,0,2024-09-07 10:06:51:002,176750,0.3,175992,0.5,352993,0.3,469146,1.50 129,1,2024-09-07 10:06:50:847,1210969,1210969,0,0,568294426230,5961998714,1198026,10614,2329,379,391962,0 129,2,2024-09-07 10:06:50:689,874299,874295,4,0,36063184,0,5335 129,3,2024-09-07 10:06:50:689,1,691,3,0,506,9908,691,0 130,0,2024-09-07 10:06:51:720,174928,0.4,174777,0.5,349950,0.4,465778,1.75 130,1,2024-09-07 10:06:50:687,1215758,1215758,0,0,570510071052,5924268802,1209911,5440,407,381,391825,0 130,2,2024-09-07 10:06:51:131,876982,876982,0,0,35862035,0,4067 130,3,2024-09-07 10:06:51:296,1,691,1,0,960,8904,691,0 131,0,2024-09-07 10:06:51:931,168802,0.3,169292,0.5,339434,0.3,451004,1.50 131,1,2024-09-07 10:06:51:840,1214758,1214758,0,0,570546417526,5945434048,1206606,6941,1211,381,391865,0 131,2,2024-09-07 10:06:50:845,876397,876397,0,0,34128422,0,3979 131,3,2024-09-07 10:06:51:692,1,691,4,0,392,8669,691,0 132,0,2024-09-07 10:06:51:427,174492,0.5,175402,0.6,349161,0.4,465083,2.00 132,1,2024-09-07 10:06:50:765,1210588,1210588,0,0,568698827352,5982615872,1194086,13720,2782,381,392532,0 132,2,2024-09-07 10:06:50:702,871899,871882,17,0,42600181,0,6451 132,3,2024-09-07 10:06:51:692,1,691,1,0,1298,11820,691,0 133,0,2024-09-07 10:06:51:535,169878,0.5,174018,0.6,356661,0.4,464047,2.00 133,1,2024-09-07 10:06:50:704,1210231,1210231,0,0,568421539606,5985093329,1194779,13518,1934,383,391914,0 133,2,2024-09-07 10:06:51:088,872780,872730,50,0,43685944,0,6861 133,3,2024-09-07 10:06:51:298,1,691,2,0,528,8044,691,0 134,0,2024-09-07 10:06:50:946,176075,0.5,176015,0.7,351836,0.5,468368,2.00 134,1,2024-09-07 10:06:50:689,1211674,1211674,0,0,568260530634,5962017833,1195587,12795,3292,366,391781,0 134,2,2024-09-07 10:06:51:759,873207,873068,139,0,41400419,0,7591 134,3,2024-09-07 10:06:50:755,1,691,7,0,739,8475,691,0 135,0,2024-09-07 10:06:51:122,164803,0.7,164722,0.8,349690,0.7,450407,2.25 135,1,2024-09-07 10:06:51:590,1211160,1211160,0,0,568626089823,5975767454,1196758,12550,1852,380,392038,0 135,2,2024-09-07 10:06:50:687,876867,876867,0,0,41864322,0,4503 135,3,2024-09-07 10:06:51:002,1,691,1,0,900,6658,691,0 136,0,2024-09-07 10:06:51:647,171981,0.6,172501,0.8,343130,0.6,458488,2.00 136,1,2024-09-07 10:06:51:457,1212570,1212570,0,0,568710617515,5957567547,1199737,11407,1426,381,392135,0 136,2,2024-09-07 10:06:51:162,876707,876692,15,0,40879060,0,6007 136,3,2024-09-07 10:06:51:123,1,691,10,0,637,8131,691,0 137,0,2024-09-07 10:06:50:944,179699,0.6,175212,0.8,343028,0.7,465941,2.00 137,1,2024-09-07 10:06:50:785,1211492,1211492,0,0,569013153347,5965063635,1193061,14792,3639,366,391898,0 137,2,2024-09-07 10:06:51:715,870315,870315,0,0,41842898,0,3185 137,3,2024-09-07 10:06:50:778,1,691,1,0,484,9768,691,0 138,0,2024-09-07 10:06:51:750,174592,0.9,174894,0.9,350338,1.1,465766,2.25 138,1,2024-09-07 10:06:51:695,1211025,1211025,0,0,568833807738,5968809710,1193890,14444,2691,368,391954,0 138,2,2024-09-07 10:06:50:683,871006,871006,0,0,40329686,0,4988 138,3,2024-09-07 10:06:50:664,1,691,1,0,1200,10347,691,0 139,0,2024-09-07 10:06:51:364,171841,0.9,172507,0.9,344782,1.1,460013,2.25 139,1,2024-09-07 10:06:50:860,1207463,1207463,0,0,565847312097,5993809337,1187562,15987,3914,380,392109,0 139,2,2024-09-07 10:06:50:730,871568,871538,30,0,45942641,0,5997 139,3,2024-09-07 10:06:51:665,1,691,1,0,432,8190,691,0 140,0,2024-09-07 10:06:51:597,169842,0.3,169228,0.5,339388,0.2,452900,1.75 140,1,2024-09-07 10:06:51:542,1218495,1218495,0,0,571842719676,5902338324,1211693,5999,803,364,391628,0 140,2,2024-09-07 10:06:50:702,876850,876849,1,0,34569457,0,5036 140,3,2024-09-07 10:06:50:778,1,691,1,0,575,6972,691,0 141,0,2024-09-07 10:06:51:705,175780,0.3,180448,0.5,344748,0.3,466267,1.75 141,1,2024-09-07 10:06:50:860,1215888,1215888,0,0,570993183175,5936167772,1205543,8952,1393,379,391614,0 141,2,2024-09-07 10:06:51:692,871143,871132,11,0,37056736,0,5369 141,3,2024-09-07 10:06:51:047,1,691,0,0,391,8111,691,0 142,0,2024-09-07 10:06:51:329,176721,0.3,175881,0.5,352032,0.3,469738,1.50 142,1,2024-09-07 10:06:50:693,1213815,1213815,0,0,569838902804,5947062748,1204764,8157,894,382,392102,0 142,2,2024-09-07 10:06:51:298,871257,871225,32,0,36690143,0,6028 142,3,2024-09-07 10:06:51:755,1,691,2,0,484,7046,691,0 143,0,2024-09-07 10:06:51:384,173737,0.4,173602,0.5,348346,0.4,463011,1.75 143,1,2024-09-07 10:06:50:799,1216918,1216918,0,0,570953128177,5926999352,1208514,7433,971,367,391900,0 143,2,2024-09-07 10:06:50:790,879146,879146,0,0,36330462,0,3123 143,3,2024-09-07 10:06:51:145,1,691,2,0,462,8098,691,0 144,0,2024-09-07 10:06:51:497,163763,0.5,168497,0.7,342965,0.5,449384,2.00 144,1,2024-09-07 10:06:50:756,1210712,1210712,0,0,568441859933,5964006292,1198965,9703,2044,381,391733,0 144,2,2024-09-07 10:06:51:765,877370,877370,0,0,35925207,0,4443 144,3,2024-09-07 10:06:51:751,1,691,42,0,306,7434,691,0 145,0,2024-09-07 10:06:51:363,168655,0.6,168647,0.8,358016,0.5,460675,2.25 145,1,2024-09-07 10:06:50:553,1209765,1209765,0,0,568260889968,5980079970,1193563,13234,2968,382,391781,0 145,2,2024-09-07 10:06:51:442,869895,869813,82,0,41653925,0,7814 145,3,2024-09-07 10:06:50:927,1,691,9,0,622,9201,691,0 146,0,2024-09-07 10:06:51:599,174253,0.7,173351,0.8,348602,0.7,463636,2.25 146,1,2024-09-07 10:06:51:608,1211496,1211496,0,0,567770443394,5971098094,1192355,14215,4926,367,391829,0 146,2,2024-09-07 10:06:51:705,870494,870488,6,0,39797122,0,5151 146,3,2024-09-07 10:06:51:278,1,691,1,0,1520,10884,691,0 147,0,2024-09-07 10:06:51:709,175198,0.6,174901,0.7,349778,0.5,466455,2.00 147,1,2024-09-07 10:06:51:384,1215359,1215359,0,0,570370794570,5930062721,1205402,8859,1098,367,391791,0 147,2,2024-09-07 10:06:51:014,874497,874497,0,0,37674594,0,4531 147,3,2024-09-07 10:06:50:927,1,691,2,0,1626,10383,691,0 0,0,2024-09-07 10:07:01:751,169015,0.7,169077,0.8,358889,0.8,463617,2.00 0,1,2024-09-07 10:07:00:804,1214202,1214202,0,0,569488493021,5961954105,1204320,8907,975,368,391896,0 0,2,2024-09-07 10:07:01:073,873938,873938,0,0,35546958,0,4480 0,3,2024-09-07 10:07:00:984,1,692,1,0,538,9909,692,0 1,0,2024-09-07 10:07:01:913,175434,0.8,174501,0.9,350084,1.0,468303,2.00 1,1,2024-09-07 10:07:00:570,1213291,1213291,0,0,569490779994,5967138892,1201892,9429,1970,370,391859,0 1,2,2024-09-07 10:07:00:644,875465,875465,0,0,35112750,0,3380 1,3,2024-09-07 10:07:01:303,1,692,1,0,269,8243,692,0 2,0,2024-09-07 10:07:01:574,171817,0.6,171560,0.7,342876,0.6,456788,2.00 2,1,2024-09-07 10:07:00:866,1217532,1217532,0,0,571059471694,5938450642,1210601,5970,961,379,391805,0 2,2,2024-09-07 10:07:01:272,878290,878290,0,0,33938708,0,3594 2,3,2024-09-07 10:07:00:694,1,692,1,0,357,6125,692,0 3,0,2024-09-07 10:07:01:743,170982,0.4,170863,0.6,341292,0.4,455070,2.00 3,1,2024-09-07 10:07:01:623,1215114,1215114,0,0,570373198667,5933435094,1206520,7876,718,379,391716,0 3,2,2024-09-07 10:07:01:142,878495,878472,23,0,34667715,0,5851 3,3,2024-09-07 10:07:01:754,1,692,30,0,484,5242,692,0 4,0,2024-09-07 10:07:01:788,169436,0.4,173967,0.5,355008,0.4,463764,1.75 4,1,2024-09-07 10:07:00:606,1209860,1209860,0,0,568200228462,6008977486,1189970,15289,4601,369,391992,0 4,2,2024-09-07 10:07:01:027,869728,869728,0,0,42048690,0,4534 4,3,2024-09-07 10:07:01:032,1,692,3,0,448,9352,692,0 5,0,2024-09-07 10:07:01:413,175852,0.4,175600,0.6,351595,0.4,468330,1.75 5,1,2024-09-07 10:07:00:791,1211457,1211457,0,0,568801476804,5999860348,1193798,13422,4237,367,392005,0 5,2,2024-09-07 10:07:01:829,869934,869901,33,0,42374055,0,7631 5,3,2024-09-07 10:07:01:743,1,692,55,0,457,9127,692,0 6,0,2024-09-07 10:07:00:915,174031,0.5,173458,0.6,347229,0.4,462817,2.00 6,1,2024-09-07 10:07:00:746,1214488,1214488,0,0,570230261474,5952715046,1202322,10481,1685,379,391702,0 6,2,2024-09-07 10:07:01:116,878961,878943,18,0,39349224,0,5535 6,3,2024-09-07 10:07:01:278,1,692,0,0,710,7944,692,0 7,0,2024-09-07 10:07:01:545,168413,0.5,169607,0.7,336518,0.5,448982,2.00 7,1,2024-09-07 10:07:00:858,1211888,1211888,0,0,568377702926,5979503817,1194054,13697,4137,382,391747,0 7,2,2024-09-07 10:07:00:770,876359,876190,169,0,40151253,0,7706 7,3,2024-09-07 10:07:00:855,1,692,0,0,552,8171,692,0 8,0,2024-09-07 10:07:01:359,175601,0.4,175222,0.5,350958,0.4,468711,1.75 8,1,2024-09-07 10:07:01:021,1210794,1210794,0,0,569065638055,5983228069,1191935,14813,4046,366,392853,0 8,2,2024-09-07 10:07:00:805,866410,866408,2,0,44964670,0,5112 8,3,2024-09-07 10:07:00:601,1,692,2,0,772,10357,692,0 9,0,2024-09-07 10:07:01:128,175761,0.4,170738,0.5,357250,0.3,469173,1.75 9,1,2024-09-07 10:07:00:574,1210564,1210564,0,0,568648443547,5995940637,1190893,15321,4350,369,392001,0 9,2,2024-09-07 10:07:01:092,871876,871875,1,0,42944854,0,5281 9,3,2024-09-07 10:07:01:754,1,692,3,0,1273,11047,692,0 10,0,2024-09-07 10:07:01:611,174297,0.4,173872,0.5,348442,0.3,463664,1.75 10,1,2024-09-07 10:07:00:583,1212517,1212517,0,0,569193752846,5973087797,1194456,14327,3734,381,391981,0 10,2,2024-09-07 10:07:00:767,877761,877761,0,0,46124506,0,4713 10,3,2024-09-07 10:07:00:880,1,692,3,0,669,7362,692,0 11,0,2024-09-07 10:07:01:006,168784,0.4,163856,0.6,342833,0.4,451423,1.75 11,1,2024-09-07 10:07:00:581,1214682,1214682,0,0,569637164580,5971832706,1197797,12575,4310,383,391766,0 11,2,2024-09-07 10:07:01:123,876336,876336,0,0,39848242,0,4698 11,3,2024-09-07 10:07:01:303,1,692,0,0,843,8402,692,0 12,0,2024-09-07 10:07:00:980,175694,0.4,175349,0.6,350401,0.4,466406,1.75 12,1,2024-09-07 10:07:00:937,1214030,1214030,0,0,569687603012,5944547001,1204174,8829,1027,368,391960,0 12,2,2024-09-07 10:07:01:550,870767,870767,0,0,39761593,0,4390 12,3,2024-09-07 10:07:01:065,1,692,12,0,386,8548,692,0 13,0,2024-09-07 10:07:01:512,175267,0.4,175453,0.6,350334,0.4,466270,1.75 13,1,2024-09-07 10:07:01:524,1211454,1211454,0,0,568706384845,5986771475,1197290,11084,3080,382,391803,0 13,2,2024-09-07 10:07:00:603,875100,875100,0,0,36009361,0,3287 13,3,2024-09-07 10:07:01:783,1,692,1,0,522,9257,692,0 14,0,2024-09-07 10:07:00:567,175468,0.4,176513,0.6,351081,0.4,466866,1.75 14,1,2024-09-07 10:07:01:573,1219927,1219927,0,0,572640491845,5924229407,1210672,8105,1150,364,391673,0 14,2,2024-09-07 10:07:00:764,875965,875935,30,0,37128222,0,6104 14,3,2024-09-07 10:07:01:121,1,692,0,0,1168,7145,692,0 15,0,2024-09-07 10:07:01:592,170197,0.4,169262,0.6,339068,0.3,452052,1.75 15,1,2024-09-07 10:07:01:624,1216052,1216052,0,0,571135270918,5953031135,1205188,8768,2096,380,391619,0 15,2,2024-09-07 10:07:01:001,878920,878920,0,0,33211994,0,3622 15,3,2024-09-07 10:07:01:405,1,692,1,0,1126,8636,692,0 16,0,2024-09-07 10:07:01:001,171967,0.6,172955,0.8,344890,0.6,459871,2.00 16,1,2024-09-07 10:07:00:583,1215636,1215636,0,0,570321825816,5962405302,1204895,9197,1544,370,392194,0 16,2,2024-09-07 10:07:01:462,875611,875611,0,0,36309087,0,4719 16,3,2024-09-07 10:07:01:152,1,692,8,0,362,8560,692,0 17,0,2024-09-07 10:07:01:879,179820,0.7,175288,0.8,343394,0.7,466533,2.00 17,1,2024-09-07 10:07:00:596,1213883,1213883,0,0,569114170177,5965841358,1202760,9322,1801,368,392075,0 17,2,2024-09-07 10:07:01:681,875705,875704,1,0,37504651,0,5050 17,3,2024-09-07 10:07:00:581,1,692,22,0,518,9916,692,0 18,0,2024-09-07 10:07:00:939,173885,0.6,175026,0.8,348321,0.6,465054,2.25 18,1,2024-09-07 10:07:01:650,1218781,1218781,0,0,571130265583,5925260159,1210598,6752,1431,367,391725,0 18,2,2024-09-07 10:07:01:755,876206,876206,0,0,33931594,0,3541 18,3,2024-09-07 10:07:00:904,1,692,2,0,1059,6293,692,0 19,0,2024-09-07 10:07:01:540,172604,0.6,173086,0.8,345110,0.6,458496,2.00 19,1,2024-09-07 10:07:00:570,1217964,1217964,0,0,572665858502,5933781654,1209109,7695,1160,365,391777,0 19,2,2024-09-07 10:07:01:751,881094,881094,0,0,31775641,0,3988 19,3,2024-09-07 10:07:01:133,1,692,2,0,524,5532,692,0 20,0,2024-09-07 10:07:01:394,169779,0.5,169740,0.7,339245,0.5,453113,2.00 20,1,2024-09-07 10:07:00:580,1213200,1213200,0,0,569911137716,5972544343,1200924,10567,1709,369,391922,0 20,2,2024-09-07 10:07:00:930,877060,877060,0,0,40133368,0,4321 20,3,2024-09-07 10:07:00:603,1,692,1,0,468,11556,692,0 21,0,2024-09-07 10:07:01:189,175302,0.5,175307,0.7,350453,0.5,465067,2.00 21,1,2024-09-07 10:07:01:554,1211070,1211070,0,0,568177190330,5995714648,1192309,14768,3993,368,392016,0 21,2,2024-09-07 10:07:01:074,865954,865477,477,0,51255226,0,17074 21,3,2024-09-07 10:07:01:406,1,692,0,0,713,9101,692,0 22,0,2024-09-07 10:07:01:716,175820,0.5,176460,0.7,352613,0.4,468115,2.00 22,1,2024-09-07 10:07:01:036,1212323,1212323,0,0,569453293958,5989279381,1193213,15505,3605,381,391822,0 22,2,2024-09-07 10:07:00:759,872167,872141,26,0,38007642,0,6328 22,3,2024-09-07 10:07:01:065,1,692,1,0,228,5648,692,0 23,0,2024-09-07 10:07:01:388,173519,0.5,173378,0.6,346671,0.4,462104,2.00 23,1,2024-09-07 10:07:01:003,1213927,1213927,0,0,569552048813,5994455952,1192682,14422,6823,365,391690,0 23,2,2024-09-07 10:07:01:099,879478,879478,0,0,36388934,0,3773 23,3,2024-09-07 10:07:01:756,1,692,18,0,855,9754,692,0 24,0,2024-09-07 10:07:00:842,170402,0.4,169277,0.5,340193,0.3,452657,1.75 24,1,2024-09-07 10:07:00:592,1212352,1212352,0,0,569209779270,5957362870,1200749,9727,1876,367,392269,0 24,2,2024-09-07 10:07:01:086,876966,876963,3,0,42668026,0,6294 24,3,2024-09-07 10:07:01:688,1,692,9,0,468,9033,692,0 25,0,2024-09-07 10:07:01:384,179269,0.4,174165,0.6,342946,0.4,466900,1.75 25,1,2024-09-07 10:07:00:616,1211248,1211248,0,0,568375655716,6003041182,1190500,16753,3995,369,391928,0 25,2,2024-09-07 10:07:01:612,869300,869300,0,0,44246251,0,3978 25,3,2024-09-07 10:07:01:003,1,692,8,0,532,7566,692,0 26,0,2024-09-07 10:07:01:719,174141,0.4,170081,0.6,356877,0.4,465902,2.00 26,1,2024-09-07 10:07:01:548,1214515,1214515,0,0,569935221412,5964339571,1198810,12802,2903,380,391758,0 26,2,2024-09-07 10:07:00:867,873126,873126,0,0,45525892,0,4689 26,3,2024-09-07 10:07:01:713,1,692,0,0,796,8570,692,0 27,0,2024-09-07 10:07:01:724,175131,0.4,175850,0.6,349689,0.4,466494,2.25 27,1,2024-09-07 10:07:01:685,1216182,1216182,0,0,571758799430,5956638898,1204899,9661,1622,381,391626,0 27,2,2024-09-07 10:07:00:866,873127,873062,65,0,40389143,0,5699 27,3,2024-09-07 10:07:01:015,1,692,2,0,564,5912,692,0 28,0,2024-09-07 10:07:01:399,169765,0.4,170058,0.6,339973,0.3,452808,1.75 28,1,2024-09-07 10:07:00:807,1216563,1216563,0,0,571748285009,5966834458,1205092,9043,2428,382,391904,0 28,2,2024-09-07 10:07:01:774,876736,876736,0,0,37758391,0,4060 28,3,2024-09-07 10:07:01:783,1,692,2,0,502,7215,692,0 29,0,2024-09-07 10:07:01:388,178505,0.4,173738,0.6,341271,0.4,465619,1.75 29,1,2024-09-07 10:07:01:570,1219550,1219550,0,0,573139579561,5931562618,1211628,6901,1021,367,391809,0 29,2,2024-09-07 10:07:00:866,873896,873896,0,0,35123247,0,4986 29,3,2024-09-07 10:07:00:965,1,692,1,0,590,7829,692,0 30,0,2024-09-07 10:07:01:461,172934,0.6,168335,0.7,352743,0.5,460532,2.00 30,1,2024-09-07 10:07:00:581,1218375,1218375,0,0,572187909417,5942308026,1208561,8646,1168,380,391672,0 30,2,2024-09-07 10:07:01:275,874042,874042,0,0,34034697,0,4192 30,3,2024-09-07 10:07:00:592,1,692,1,0,519,7199,692,0 31,0,2024-09-07 10:07:01:759,175196,0.5,176039,0.7,351123,0.4,468278,2.00 31,1,2024-09-07 10:07:00:570,1222353,1222353,0,0,573244284260,5889343065,1214422,6662,1269,356,391712,0 31,2,2024-09-07 10:07:01:291,874292,874292,0,0,37475669,0,4470 31,3,2024-09-07 10:07:01:706,1,692,5,0,248,6116,692,0 32,0,2024-09-07 10:07:01:431,171932,0.3,173007,0.5,344701,0.2,458231,1.75 32,1,2024-09-07 10:07:00:804,1218000,1218000,0,0,571854554578,5944438173,1210508,6527,965,381,391646,0 32,2,2024-09-07 10:07:00:934,879946,879946,0,0,32842525,0,3922 32,3,2024-09-07 10:07:01:014,1,692,1,0,304,5842,692,0 33,0,2024-09-07 10:07:01:508,171794,0.3,171308,0.4,342578,0.2,456524,1.50 33,1,2024-09-07 10:07:00:575,1219252,1219252,0,0,572417124850,5927802094,1209631,8265,1356,368,391730,0 33,2,2024-09-07 10:07:00:759,877236,877201,35,0,36443141,0,7012 33,3,2024-09-07 10:07:00:902,1,692,1,0,329,6387,692,0 34,0,2024-09-07 10:07:00:931,174791,0.3,179675,0.5,343608,0.2,463702,1.75 34,1,2024-09-07 10:07:01:046,1222025,1222025,0,0,573097992385,5897781499,1218023,3793,209,367,391637,0 34,2,2024-09-07 10:07:00:769,873341,873341,0,0,33935661,0,4562 34,3,2024-09-07 10:07:01:688,1,692,1,0,541,6045,692,0 35,0,2024-09-07 10:07:00:859,175225,0.3,175842,0.5,352545,0.2,469262,1.75 35,1,2024-09-07 10:07:01:071,1217716,1217716,0,0,571502115064,5930186497,1208775,7388,1553,382,391769,0 35,2,2024-09-07 10:07:01:589,873584,873584,0,0,39608727,0,4055 35,3,2024-09-07 10:07:00:907,1,692,1,0,466,6568,692,0 36,0,2024-09-07 10:07:01:531,174511,0.4,174728,0.6,348894,0.4,464721,2.00 36,1,2024-09-07 10:07:00:582,1216253,1216253,0,0,570987941615,5960219575,1201473,12426,2354,366,391759,0 36,2,2024-09-07 10:07:01:756,878629,878629,0,0,39477208,0,3875 36,3,2024-09-07 10:07:00:876,1,692,10,0,556,8778,692,0 37,0,2024-09-07 10:07:01:370,168413,0.5,168363,0.7,337256,0.5,449580,2.25 37,1,2024-09-07 10:07:00:584,1215567,1215560,0,7,570429117430,5955610648,1201373,11072,3115,365,391770,0 37,2,2024-09-07 10:07:01:149,875727,875712,15,0,39599016,0,5815 37,3,2024-09-07 10:07:01:782,1,692,24,0,888,9491,692,0 38,0,2024-09-07 10:07:01:438,174544,0.5,169263,0.7,353766,0.4,464279,2.00 38,1,2024-09-07 10:07:01:661,1216013,1216013,0,0,570503991512,5963049395,1199175,13532,3306,368,391821,0 38,2,2024-09-07 10:07:00:760,871937,871890,47,0,39397824,0,6710 38,3,2024-09-07 10:07:00:997,1,692,4,0,689,7912,692,0 39,0,2024-09-07 10:07:01:765,179230,0.6,175168,0.7,341932,0.5,466719,2.00 39,1,2024-09-07 10:07:00:718,1213973,1213973,0,0,569279171268,5967089846,1194293,15447,4233,365,391865,0 39,2,2024-09-07 10:07:01:417,873172,873172,0,0,37695169,0,3478 39,3,2024-09-07 10:07:00:724,1,692,27,0,525,7671,692,0 40,0,2024-09-07 10:07:01:500,172740,0.8,173427,0.9,346270,0.9,462152,2.75 40,1,2024-09-07 10:07:00:581,1214426,1214426,0,0,569372093396,5967431541,1195623,15220,3583,366,391668,0 40,2,2024-09-07 10:07:01:313,875381,875374,7,0,43677083,0,5347 40,3,2024-09-07 10:07:01:150,1,692,1,0,1028,9823,692,0 41,0,2024-09-07 10:07:01:022,168059,1.2,172085,1.1,328183,1.6,445480,3.00 41,1,2024-09-07 10:07:00:773,1214299,1214299,0,0,570849197214,5969198905,1198589,13185,2525,369,391878,0 41,2,2024-09-07 10:07:00:759,873768,873767,1,0,42578222,0,5408 41,3,2024-09-07 10:07:01:679,1,692,1,0,749,8440,692,0 42,0,2024-09-07 10:07:01:473,173297,0.9,172990,1.0,346453,1.1,459790,2.75 42,1,2024-09-07 10:07:01:446,1212199,1212199,0,0,567858430502,5960775566,1194593,14522,3084,380,391675,0 42,2,2024-09-07 10:07:01:142,869634,869633,1,0,43754121,0,5513 42,3,2024-09-07 10:07:01:010,1,692,0,0,892,6977,692,0 43,0,2024-09-07 10:07:00:917,172109,0.8,167454,1.0,350877,0.9,458732,2.25 43,1,2024-09-07 10:07:00:576,1215412,1215412,0,0,571402139383,5967551166,1199302,13362,2748,365,391696,0 43,2,2024-09-07 10:07:01:746,874426,874426,0,0,40136577,0,4723 43,3,2024-09-07 10:07:01:749,1,692,1,0,571,9297,692,0 44,0,2024-09-07 10:07:00:876,175626,0.4,175804,0.6,351074,0.3,467846,1.75 44,1,2024-09-07 10:07:00:580,1218475,1218475,0,0,572077064008,5916691176,1207147,9177,2151,356,391809,0 44,2,2024-09-07 10:07:01:266,874370,874370,0,0,33775173,0,4344 44,3,2024-09-07 10:07:01:093,1,692,0,0,1097,8910,692,0 45,0,2024-09-07 10:07:01:768,168435,0.4,164502,0.7,345106,0.4,452159,2.00 45,1,2024-09-07 10:07:01:009,1217940,1217940,0,0,571977463903,5946112935,1208392,8327,1221,382,391917,0 45,2,2024-09-07 10:07:01:269,878347,878347,0,0,33927172,0,3596 45,3,2024-09-07 10:07:00:946,1,692,6,0,531,6476,692,0 46,0,2024-09-07 10:07:00:954,171501,0.5,170933,0.7,342978,0.5,456810,2.00 46,1,2024-09-07 10:07:00:575,1219892,1219892,0,0,572515645204,5923935389,1210616,7794,1482,366,391709,0 46,2,2024-09-07 10:07:00:601,877300,877300,0,0,34757557,0,4443 46,3,2024-09-07 10:07:01:138,1,692,5,0,908,7612,692,0 47,0,2024-09-07 10:07:01:114,175117,0.5,174634,0.6,350654,0.4,464691,2.00 47,1,2024-09-07 10:07:00:581,1221188,1221188,0,0,572648286429,5918821918,1213681,6494,1013,364,391666,0 47,2,2024-09-07 10:07:00:910,875564,875564,0,0,35107156,0,4477 47,3,2024-09-07 10:07:01:115,1,692,2,0,600,7505,692,0 48,0,2024-09-07 10:07:01:512,175980,0.3,176497,0.4,351645,0.2,467812,1.50 48,1,2024-09-07 10:07:01:022,1217688,1217688,0,0,570478789374,5928015617,1210018,6658,1012,381,391710,0 48,2,2024-09-07 10:07:00:709,874585,874585,0,0,32191870,0,3619 48,3,2024-09-07 10:07:00:753,1,692,2,0,339,5982,692,0 49,0,2024-09-07 10:07:01:713,178239,0.3,174571,0.5,339539,0.3,463129,1.75 49,1,2024-09-07 10:07:01:039,1217053,1217053,0,0,571668176233,5944048327,1209188,6167,1698,382,391809,0 49,2,2024-09-07 10:07:01:800,880164,880164,0,0,33654186,0,4426 49,3,2024-09-07 10:07:01:417,1,692,0,0,992,8086,692,0 50,0,2024-09-07 10:07:01:518,170245,0.3,169015,0.5,340220,0.2,452906,1.75 50,1,2024-09-07 10:07:01:014,1220069,1220069,0,0,572856130442,5922416209,1212513,6824,732,368,391691,0 50,2,2024-09-07 10:07:01:066,876745,876745,0,0,31614360,0,4490 50,3,2024-09-07 10:07:01:305,1,692,1,0,617,7209,692,0 51,0,2024-09-07 10:07:01:686,179959,0.3,176056,0.5,342870,0.3,467454,1.75 51,1,2024-09-07 10:07:01:681,1221240,1221240,0,0,573887775638,5917576215,1214539,5357,1344,365,391706,0 51,2,2024-09-07 10:07:01:316,872483,872483,0,0,31207356,0,3337 51,3,2024-09-07 10:07:01:035,1,692,1,0,678,5458,692,0 52,0,2024-09-07 10:07:01:415,176013,0.5,175922,0.7,351659,0.4,467713,2.00 52,1,2024-09-07 10:07:00:576,1215135,1215135,0,0,569915488409,5963125938,1198384,14324,2427,368,391805,0 52,2,2024-09-07 10:07:01:755,869760,869722,38,0,42498461,0,6742 52,3,2024-09-07 10:07:00:677,1,692,4,0,1782,7922,692,0 53,0,2024-09-07 10:07:01:787,173250,0.6,168137,0.8,351835,0.7,460666,2.25 53,1,2024-09-07 10:07:00:770,1214463,1214463,0,0,570729002089,5981387182,1195307,14742,4414,367,391968,0 53,2,2024-09-07 10:07:01:304,879067,878929,138,0,38993099,0,7690 53,3,2024-09-07 10:07:00:709,1,692,1,0,308,6497,692,0 54,0,2024-09-07 10:07:01:624,167500,0.5,168039,0.7,334454,0.4,447059,2.25 54,1,2024-09-07 10:07:00:581,1216979,1216979,0,0,571689334658,5942211536,1205190,10115,1674,366,391810,0 54,2,2024-09-07 10:07:00:866,877759,877727,32,0,42234269,0,6397 54,3,2024-09-07 10:07:00:763,1,692,4,0,676,8903,692,0 55,0,2024-09-07 10:07:01:763,168471,0.6,173590,0.8,352299,0.6,459251,2.25 55,1,2024-09-07 10:07:00:765,1217350,1217350,0,0,570510883255,5931521059,1205325,10538,1487,365,391731,0 55,2,2024-09-07 10:07:00:729,870756,870700,56,0,38524000,0,7239 55,3,2024-09-07 10:07:00:678,1,692,2,0,304,6273,692,0 56,0,2024-09-07 10:07:01:564,177973,1.2,167661,1.1,345571,1.6,463093,2.75 56,1,2024-09-07 10:07:00:578,1210032,1210032,0,0,568606077876,6009603327,1190540,15738,3754,381,391867,0 56,2,2024-09-07 10:07:01:313,871991,871869,122,0,41166798,0,7432 56,3,2024-09-07 10:07:01:059,1,692,23,0,705,8236,692,0 57,0,2024-09-07 10:07:00:962,173309,1.3,173339,1.2,346077,1.8,462633,3.00 57,1,2024-09-07 10:07:00:995,1213157,1213157,0,0,569308879304,5970841362,1197443,13010,2704,366,392097,0 57,2,2024-09-07 10:07:01:322,875920,875920,0,0,44127780,0,4804 57,3,2024-09-07 10:07:01:744,1,692,1,0,455,7406,692,0 58,0,2024-09-07 10:07:00:560,167247,0.9,162457,1.0,340047,1.1,445173,2.50 58,1,2024-09-07 10:07:00:575,1214089,1214086,0,3,570223882365,5977668039,1195723,13961,4402,367,391726,3 58,2,2024-09-07 10:07:01:075,877440,877440,0,0,40094490,0,3483 58,3,2024-09-07 10:07:01:069,1,692,6,0,1043,7470,692,0 59,0,2024-09-07 10:07:01:740,172542,0.8,171571,1.0,343242,0.9,456145,2.75 59,1,2024-09-07 10:07:00:815,1213736,1213736,0,0,569424331134,5980108387,1194248,15650,3838,369,391653,0 59,2,2024-09-07 10:07:00:590,874099,874099,0,0,38159174,0,3727 59,3,2024-09-07 10:07:01:741,1,692,13,0,1015,8138,692,0 60,0,2024-09-07 10:07:01:708,173815,0.5,174053,0.7,348014,0.5,464074,2.00 60,1,2024-09-07 10:07:00:792,1217470,1217470,0,0,571981308443,5956845854,1206541,9427,1502,370,392031,0 60,2,2024-09-07 10:07:01:141,874515,874515,0,0,36470104,0,3811 60,3,2024-09-07 10:07:01:268,1,692,15,0,409,7935,692,0 61,0,2024-09-07 10:07:01:525,175367,0.6,176149,0.8,350730,0.6,467797,2.00 61,1,2024-09-07 10:07:00:773,1214346,1214346,0,0,570112226488,5969484416,1201674,10821,1851,382,392127,0 61,2,2024-09-07 10:07:01:121,875583,875516,67,0,37427157,0,6411 61,3,2024-09-07 10:07:01:687,1,692,7,0,607,8561,692,0 62,0,2024-09-07 10:07:01:706,172586,0.5,176975,0.7,337794,0.5,458102,2.00 62,1,2024-09-07 10:07:01:119,1221886,1221880,0,6,573618088858,5921638181,1214628,6635,617,365,391975,6 62,2,2024-09-07 10:07:01:644,875712,875711,1,0,37175171,0,5555 62,3,2024-09-07 10:07:01:151,1,692,6,0,482,5428,692,0 63,0,2024-09-07 10:07:01:452,171646,0.4,171227,0.6,343177,0.3,456627,1.75 63,1,2024-09-07 10:07:00:809,1219019,1219013,0,6,571949146197,5941352434,1211099,6963,951,381,391800,6 63,2,2024-09-07 10:07:00:767,876343,876343,0,0,36339780,0,4369 63,3,2024-09-07 10:07:01:734,1,692,1,0,667,8070,692,0 64,0,2024-09-07 10:07:01:552,173832,0.5,173719,0.7,347059,0.5,462113,2.00 64,1,2024-09-07 10:07:00:753,1217000,1217000,0,0,571469347516,5949107729,1206787,8185,2028,370,391794,0 64,2,2024-09-07 10:07:01:141,875784,875765,19,0,34920048,0,6121 64,3,2024-09-07 10:07:01:140,1,692,1,0,651,7883,692,0 65,0,2024-09-07 10:07:01:704,174448,0.6,174767,0.7,348840,0.6,465579,2.00 65,1,2024-09-07 10:07:00:868,1215517,1215517,0,0,570615240571,5961958519,1207272,7347,898,381,391901,0 65,2,2024-09-07 10:07:01:693,872306,872306,0,0,41895747,0,3367 65,3,2024-09-07 10:07:01:685,1,692,1,0,782,8150,692,0 66,0,2024-09-07 10:07:01:761,173823,0.5,173123,0.7,346342,0.5,461297,2.00 66,1,2024-09-07 10:07:01:313,1217198,1217198,0,0,571506164952,5947447033,1209693,6632,873,380,391743,0 66,2,2024-09-07 10:07:01:142,881269,881266,3,0,36774030,0,5455 66,3,2024-09-07 10:07:01:080,1,692,1,0,291,6156,692,0 67,0,2024-09-07 10:07:01:411,169110,0.5,168683,0.7,337937,0.5,450104,2.00 67,1,2024-09-07 10:07:00:769,1217058,1217057,0,1,571290735668,5947550622,1208623,7294,1140,380,391787,1 67,2,2024-09-07 10:07:00:583,880015,880000,15,0,37234464,0,6205 67,3,2024-09-07 10:07:01:751,1,692,4,0,595,7326,692,0 68,0,2024-09-07 10:07:00:587,175387,0.6,175194,0.7,348910,0.6,467532,2.25 68,1,2024-09-07 10:07:00:570,1212627,1212627,0,0,568551329764,5981347754,1195899,12456,4272,381,391953,0 68,2,2024-09-07 10:07:01:044,867242,867142,100,0,45021855,0,8578 68,3,2024-09-07 10:07:00:735,1,692,2,0,417,9656,692,0 69,0,2024-09-07 10:07:01:752,174940,0.7,175471,0.8,350197,0.7,466455,2.25 69,1,2024-09-07 10:07:01:022,1211675,1211675,0,0,568463737378,5987172573,1196780,11913,2982,383,391994,0 69,2,2024-09-07 10:07:01:763,870127,870098,29,0,48168435,0,6912 69,3,2024-09-07 10:07:00:763,1,692,1,0,698,10368,692,0 70,0,2024-09-07 10:07:01:531,172495,0.7,173296,0.9,347353,0.6,460651,2.50 70,1,2024-09-07 10:07:00:803,1218501,1218501,0,0,572510425204,5941557168,1209035,8421,1045,366,391725,0 70,2,2024-09-07 10:07:01:325,878005,878005,0,0,39579429,0,4323 70,3,2024-09-07 10:07:00:744,1,692,25,0,854,7699,692,0 71,0,2024-09-07 10:07:01:368,168021,0.8,167659,0.9,336107,0.9,448139,2.50 71,1,2024-09-07 10:07:01:606,1216256,1216256,0,0,570745947392,5954050593,1201824,12646,1786,367,391738,0 71,2,2024-09-07 10:07:01:066,876124,876124,0,0,39976569,0,4352 71,3,2024-09-07 10:07:01:751,1,692,28,0,644,7628,692,0 72,0,2024-09-07 10:07:01:035,180058,0.5,175713,0.7,343526,0.5,467240,2.00 72,1,2024-09-07 10:07:01:027,1214515,1214515,0,0,569266733240,5967842216,1197657,14125,2733,369,391819,0 72,2,2024-09-07 10:07:01:767,870603,870603,0,0,41729396,0,3983 72,3,2024-09-07 10:07:01:756,1,692,2,0,564,9545,692,0 73,0,2024-09-07 10:07:01:127,170024,0.5,174328,0.6,356336,0.4,463850,2.00 73,1,2024-09-07 10:07:00:772,1216213,1216213,0,0,571160444236,5936871825,1206684,8464,1065,367,391858,0 73,2,2024-09-07 10:07:01:743,872908,872907,1,0,43719917,0,5027 73,3,2024-09-07 10:07:00:969,1,692,3,0,1091,9311,692,0 74,0,2024-09-07 10:07:01:328,176513,0.5,180798,0.7,344899,0.4,468184,2.00 74,1,2024-09-07 10:07:00:645,1215366,1215366,0,0,570765368538,5954443500,1203007,10211,2148,381,391762,0 74,2,2024-09-07 10:07:01:010,874970,874970,0,0,38057858,0,4253 74,3,2024-09-07 10:07:01:445,1,692,1,0,522,7901,692,0 75,0,2024-09-07 10:07:01:800,170306,0.5,169339,0.7,339872,0.4,453578,2.00 75,1,2024-09-07 10:07:01:585,1216272,1216272,0,0,570236833607,5941347794,1206390,8889,993,380,391739,0 75,2,2024-09-07 10:07:01:358,876006,876006,0,0,45171289,0,4766 75,3,2024-09-07 10:07:01:067,1,692,1,0,918,9525,692,0 76,0,2024-09-07 10:07:00:650,171786,0.6,171264,0.7,342181,0.5,458399,2.25 76,1,2024-09-07 10:07:00:808,1215761,1215761,0,0,569919080789,5946646222,1206866,7585,1310,382,391790,0 76,2,2024-09-07 10:07:01:060,878353,878350,3,0,38142987,0,5265 76,3,2024-09-07 10:07:01:148,1,692,12,0,249,6370,692,0 77,0,2024-09-07 10:07:01:714,173944,0.6,174289,0.7,348871,0.6,463631,2.00 77,1,2024-09-07 10:07:00:903,1214914,1214914,0,0,569746092333,5950686783,1204641,9185,1088,381,391869,0 77,2,2024-09-07 10:07:01:295,872518,872518,0,0,36739799,0,3890 77,3,2024-09-07 10:07:01:106,1,692,5,0,401,7836,692,0 78,0,2024-09-07 10:07:01:730,176241,0.4,175439,0.6,352348,0.4,465941,2.00 78,1,2024-09-07 10:07:00:615,1214969,1214969,0,0,569985499088,5949562135,1199433,12204,3332,367,391670,0 78,2,2024-09-07 10:07:01:409,875085,875072,13,0,34443104,0,8313 78,3,2024-09-07 10:07:01:137,1,692,1,0,311,5961,692,0 79,0,2024-09-07 10:07:01:346,167543,0.4,171623,0.6,351404,0.3,457603,2.00 79,1,2024-09-07 10:07:00:589,1219352,1219352,0,0,572673897672,5932169108,1209538,8012,1802,367,391682,0 79,2,2024-09-07 10:07:01:068,879614,879614,0,0,33497139,0,4195 79,3,2024-09-07 10:07:00:749,1,692,2,0,418,7999,692,0 80,0,2024-09-07 10:07:01:087,170037,0.5,174600,0.7,333461,0.5,451856,2.00 80,1,2024-09-07 10:07:01:623,1215879,1215879,0,0,571188745707,5947607169,1207897,7400,582,368,392269,0 80,2,2024-09-07 10:07:01:107,880142,880142,0,0,34062398,0,4433 80,3,2024-09-07 10:07:00:579,1,692,1,0,681,8335,692,0 81,0,2024-09-07 10:07:01:545,175346,0.6,179409,0.7,342187,0.6,464084,2.00 81,1,2024-09-07 10:07:01:656,1215187,1215187,0,0,569912959377,5957510001,1205318,8874,995,382,392001,0 81,2,2024-09-07 10:07:01:127,871590,871527,63,0,37847666,0,5932 81,3,2024-09-07 10:07:01:123,1,692,1,0,719,7626,692,0 82,0,2024-09-07 10:07:01:537,176037,0.5,176020,0.7,352669,0.5,468300,2.00 82,1,2024-09-07 10:07:00:583,1217026,1217022,0,4,571123240730,5942271001,1210086,5812,1124,381,391768,4 82,2,2024-09-07 10:07:01:721,876115,876115,0,0,31493012,0,4484 82,3,2024-09-07 10:07:01:754,1,692,1,0,363,6236,692,0 83,0,2024-09-07 10:07:01:521,173942,0.5,173770,0.7,347274,0.5,461245,2.25 83,1,2024-09-07 10:07:00:555,1215805,1215805,0,0,570504698347,5947895106,1206815,7963,1027,382,391709,0 83,2,2024-09-07 10:07:00:764,879531,879506,25,0,34400226,0,5612 83,3,2024-09-07 10:07:00:748,1,692,1,0,1260,8152,692,0 84,0,2024-09-07 10:07:01:863,167803,0.7,167902,0.9,335824,0.7,448985,2.25 84,1,2024-09-07 10:07:01:231,1213989,1213989,0,0,569595346485,5957635197,1200295,11610,2084,367,391967,0 84,2,2024-09-07 10:07:00:569,875085,874675,410,0,49545352,0,17037 84,3,2024-09-07 10:07:01:141,1,692,9,0,908,9638,692,0 85,0,2024-09-07 10:07:01:007,167749,0.6,167675,0.8,355925,0.6,459485,2.25 85,1,2024-09-07 10:07:00:564,1210259,1210259,0,0,568268106066,5995342672,1192472,14857,2930,381,392092,0 85,2,2024-09-07 10:07:00:868,871004,871004,0,0,41830976,0,4255 85,3,2024-09-07 10:07:00:697,1,692,6,0,789,7812,692,0 86,0,2024-09-07 10:07:00:894,173912,0.7,178949,0.8,342688,0.8,463800,2.25 86,1,2024-09-07 10:07:00:825,1212643,1212643,0,0,569622069746,5981387962,1195038,14289,3316,366,392169,0 86,2,2024-09-07 10:07:00:864,872724,872723,1,0,43986266,0,5004 86,3,2024-09-07 10:07:00:591,1,692,1,0,441,9594,692,0 87,0,2024-09-07 10:07:01:330,175608,0.8,174361,0.8,349337,0.9,466483,2.25 87,1,2024-09-07 10:07:00:551,1213365,1213365,0,0,569116314124,5960452064,1198448,12755,2162,366,392076,0 87,2,2024-09-07 10:07:01:073,875320,875314,6,0,41306263,0,6323 87,3,2024-09-07 10:07:01:799,1,692,4,0,473,9793,692,0 88,0,2024-09-07 10:07:01:522,169596,0.4,170092,0.6,340159,0.4,452937,1.75 88,1,2024-09-07 10:07:00:694,1211986,1211986,0,0,568931315639,5960660836,1195175,13672,3139,365,392084,0 88,2,2024-09-07 10:07:00:697,876539,876539,0,0,43311666,0,4465 88,3,2024-09-07 10:07:01:303,1,692,1,0,1080,11097,692,0 89,0,2024-09-07 10:07:01:848,178546,0.4,173378,0.6,341604,0.4,464873,1.75 89,1,2024-09-07 10:07:00:761,1212080,1212080,0,0,569571316160,5987878820,1197404,12398,2278,382,391866,0 89,2,2024-09-07 10:07:01:153,873891,873891,0,0,40746827,0,3173 89,3,2024-09-07 10:07:01:803,1,692,17,0,729,12299,692,0 90,0,2024-09-07 10:07:01:631,168826,0.5,173312,0.7,354033,0.5,461896,2.00 90,1,2024-09-07 10:07:00:601,1213457,1213457,0,0,570565979220,5977496531,1201004,11183,1270,380,391825,0 90,2,2024-09-07 10:07:01:405,869237,869232,5,0,43954761,0,6370 90,3,2024-09-07 10:07:00:933,1,692,8,0,364,9062,692,0 91,0,2024-09-07 10:07:00:949,176170,0.5,170886,0.6,357429,0.4,468728,1.75 91,1,2024-09-07 10:07:00:556,1210588,1210588,0,0,569341122149,6003728148,1191877,14563,4148,381,392047,0 91,2,2024-09-07 10:07:01:332,873625,873625,0,0,39250932,0,4713 91,3,2024-09-07 10:07:00:599,1,692,1,0,231,6476,692,0 92,0,2024-09-07 10:07:01:451,173513,0.4,177517,0.6,338367,0.4,458522,1.75 92,1,2024-09-07 10:07:00:599,1214743,1214743,0,0,570574913380,5960774947,1205251,8180,1312,381,392136,0 92,2,2024-09-07 10:07:01:367,877529,877529,0,0,35925025,0,3906 92,3,2024-09-07 10:07:01:010,1,692,1,0,322,6228,692,0 93,0,2024-09-07 10:07:01:001,172112,0.4,176378,0.6,336576,0.3,456396,1.75 93,1,2024-09-07 10:07:00:808,1215275,1215275,0,0,570806627461,5965072758,1200874,11965,2436,365,392048,0 93,2,2024-09-07 10:07:00:936,876458,876458,0,0,41325895,0,4913 93,3,2024-09-07 10:07:01:406,1,692,2,0,788,8604,692,0 94,0,2024-09-07 10:07:01:625,173784,0.4,174866,0.6,350261,0.4,464413,1.75 94,1,2024-09-07 10:07:00:572,1216621,1216621,0,0,571507981194,5960576606,1208342,7629,650,381,391850,0 94,2,2024-09-07 10:07:00:769,872385,872357,28,0,35951406,0,6179 94,3,2024-09-07 10:07:01:688,1,692,2,0,576,8354,692,0 95,0,2024-09-07 10:07:01:387,175795,0.4,175532,0.5,351485,0.3,468462,1.75 95,1,2024-09-07 10:07:00:852,1217187,1217187,0,0,571167364214,5935699587,1207822,8553,812,365,391852,0 95,2,2024-09-07 10:07:01:015,872024,872024,0,0,36095332,0,3308 95,3,2024-09-07 10:07:01:712,1,692,7,0,718,10487,692,0 96,0,2024-09-07 10:07:01:032,174035,0.3,174367,0.5,348874,0.3,463914,1.75 96,1,2024-09-07 10:07:01:584,1214667,1214667,0,0,570280508417,5950942275,1205747,7542,1378,384,391964,0 96,2,2024-09-07 10:07:01:269,880408,880408,0,0,36620138,0,4225 96,3,2024-09-07 10:07:01:151,1,692,2,0,411,7721,692,0 97,0,2024-09-07 10:07:01:446,169023,0.3,168918,0.5,338507,0.3,450123,1.50 97,1,2024-09-07 10:07:00:773,1217638,1217638,0,0,571908494163,5934862180,1209710,6685,1243,367,392140,0 97,2,2024-09-07 10:07:00:607,878710,878710,0,0,36656984,0,4600 97,3,2024-09-07 10:07:00:580,1,692,0,0,433,8445,692,0 98,0,2024-09-07 10:07:01:717,174962,0.3,175034,0.5,351538,0.3,467887,1.50 98,1,2024-09-07 10:07:00:581,1216461,1216461,0,0,570947645596,5946952863,1209019,6543,899,381,391997,0 98,2,2024-09-07 10:07:00:771,871175,871175,0,0,34914631,0,4336 98,3,2024-09-07 10:07:00:708,1,692,0,0,840,9645,692,0 99,0,2024-09-07 10:07:01:455,175820,0.3,176628,0.5,351941,0.3,469245,1.75 99,1,2024-09-07 10:07:01:732,1217498,1217498,0,0,570722983725,5940525776,1209710,6679,1109,380,392069,0 99,2,2024-09-07 10:07:01:418,872676,872676,0,0,44082734,0,4276 99,3,2024-09-07 10:07:00:581,1,692,0,0,1124,8726,692,0 100,0,2024-09-07 10:07:01:567,173882,0.8,174470,0.9,348704,0.8,464660,2.50 100,1,2024-09-07 10:07:00:560,1210140,1210140,0,0,567680347611,6004230831,1191046,15299,3795,378,391989,0 100,2,2024-09-07 10:07:01:823,873732,873343,389,0,45772867,0,16909 100,3,2024-09-07 10:07:01:738,1,692,0,0,627,10760,692,0 101,0,2024-09-07 10:07:01:697,172787,0.9,168516,1.0,329705,0.8,449982,2.25 101,1,2024-09-07 10:07:00:564,1212164,1212164,0,0,569223330543,5982336837,1195589,13623,2952,368,392018,0 101,2,2024-09-07 10:07:01:757,871831,871792,39,0,47493446,0,5913 101,3,2024-09-07 10:07:00:945,1,692,1,0,1250,10678,692,0 102,0,2024-09-07 10:07:00:941,169532,0.6,174649,0.8,354884,0.6,463977,2.25 102,1,2024-09-07 10:07:01:142,1212242,1212242,0,0,569345884220,5978472676,1196421,13565,2256,369,391984,0 102,2,2024-09-07 10:07:01:737,873487,873433,54,0,39216902,0,6768 102,3,2024-09-07 10:07:01:614,1,692,3,0,466,8066,692,0 103,0,2024-09-07 10:07:01:615,179898,0.7,179848,0.8,338893,0.8,466225,2.25 103,1,2024-09-07 10:07:01:640,1210982,1210982,0,0,568195658136,5998093200,1192072,15284,3626,381,392077,0 103,2,2024-09-07 10:07:00:582,871541,871541,0,0,42719973,0,3766 103,3,2024-09-07 10:07:00:755,1,692,1,0,916,8215,692,0 104,0,2024-09-07 10:07:01:016,174177,0.7,174563,0.9,348384,0.7,465382,2.25 104,1,2024-09-07 10:07:01:628,1213049,1213049,0,0,568983631615,5980374159,1194616,14973,3460,365,392168,0 104,2,2024-09-07 10:07:01:676,873142,873142,0,0,41776302,0,4161 104,3,2024-09-07 10:07:01:417,1,692,2,0,1245,11745,692,0 105,0,2024-09-07 10:07:01:028,168340,0.8,163995,1.0,343836,0.9,451331,2.50 105,1,2024-09-07 10:07:00:566,1215314,1215314,0,0,569241567715,5961488773,1200542,12657,2115,364,392009,0 105,2,2024-09-07 10:07:01:322,875624,875624,0,0,43043520,0,4360 105,3,2024-09-07 10:07:01:306,1,692,3,0,573,10271,692,0 106,0,2024-09-07 10:07:00:941,166408,0.9,170623,1.0,348870,1.1,456577,2.50 106,1,2024-09-07 10:07:01:756,1213331,1213331,0,0,569406884563,5972816428,1196904,14276,2151,368,391914,0 106,2,2024-09-07 10:07:00:762,875506,875506,0,0,39707733,0,3331 106,3,2024-09-07 10:07:00:677,1,692,1,0,1224,9619,692,0 107,0,2024-09-07 10:07:01:115,174058,1.3,174023,1.1,348226,1.9,464613,2.25 107,1,2024-09-07 10:07:00:592,1210868,1210868,0,0,567822560897,6000266226,1191769,16843,2256,381,392234,0 107,2,2024-09-07 10:07:01:291,868852,868851,1,0,43258982,0,5024 107,3,2024-09-07 10:07:01:756,1,692,1,0,733,10906,692,0 108,0,2024-09-07 10:07:01:912,175330,0.4,176188,0.6,351355,0.4,467729,1.75 108,1,2024-09-07 10:07:01:357,1214539,1214539,0,0,570427725509,5956896818,1203069,10208,1262,367,391894,0 108,2,2024-09-07 10:07:01:768,873922,873922,0,0,38755442,0,4432 108,3,2024-09-07 10:07:01:329,1,692,13,0,767,12510,692,0 109,0,2024-09-07 10:07:01:813,174119,0.4,172744,0.6,346574,0.3,462145,1.75 109,1,2024-09-07 10:07:00:595,1212625,1212625,0,0,569814687096,5978409918,1201973,9146,1506,382,392132,0 109,2,2024-09-07 10:07:00:921,876898,876898,0,0,38806573,0,3617 109,3,2024-09-07 10:07:01:164,1,692,2,0,630,7959,692,0 110,0,2024-09-07 10:07:01:761,169681,0.4,164957,0.6,345430,0.3,453847,1.75 110,1,2024-09-07 10:07:01:644,1217124,1217124,0,0,571814713095,5938158745,1207659,7380,2085,368,392045,0 110,2,2024-09-07 10:07:01:313,877596,877596,0,0,37946684,0,4067 110,3,2024-09-07 10:07:00:706,1,692,17,0,722,9414,692,0 111,0,2024-09-07 10:07:01:416,176021,0.4,175204,0.6,349973,0.4,466937,1.75 111,1,2024-09-07 10:07:01:000,1218412,1218412,0,0,571955603945,5939186458,1211162,6743,507,380,391690,0 111,2,2024-09-07 10:07:01:116,871755,871755,0,0,37275390,0,4823 111,3,2024-09-07 10:07:00:913,1,692,3,0,379,7830,692,0 112,0,2024-09-07 10:07:00:912,176655,0.3,176303,0.4,353401,0.2,469630,1.50 112,1,2024-09-07 10:07:00:832,1217295,1217295,0,0,571363237197,5930565182,1209670,6399,1226,380,391624,0 112,2,2024-09-07 10:07:01:142,873702,873701,1,0,35196322,0,5036 112,3,2024-09-07 10:07:00:595,1,692,3,0,282,6835,692,0 113,0,2024-09-07 10:07:00:889,173825,0.3,174208,0.5,348632,0.2,463612,1.75 113,1,2024-09-07 10:07:01:689,1220898,1220898,0,0,573048524203,5911712241,1213986,5961,951,365,391664,0 113,2,2024-09-07 10:07:01:312,881929,881929,0,0,32622128,0,3813 113,3,2024-09-07 10:07:00:697,1,692,0,0,510,6998,692,0 114,0,2024-09-07 10:07:00:880,169325,0.3,170526,0.5,340085,0.2,454503,1.75 114,1,2024-09-07 10:07:00:717,1216811,1216811,0,0,571702702124,5935981550,1207984,7023,1804,381,391565,0 114,2,2024-09-07 10:07:00:880,879360,879359,1,0,35039964,0,5069 114,3,2024-09-07 10:07:01:304,1,692,1,0,415,5686,692,0 115,0,2024-09-07 10:07:00:565,175233,0.3,175749,0.4,350266,0.2,466044,1.50 115,1,2024-09-07 10:07:00:572,1218063,1218063,0,0,572095182604,5942687302,1208508,7763,1792,382,391757,0 115,2,2024-09-07 10:07:01:128,873309,873309,0,0,34872849,0,4382 115,3,2024-09-07 10:07:01:003,1,692,0,0,173,4408,692,0 116,0,2024-09-07 10:07:01:697,173981,0.8,174104,0.9,348655,0.8,466385,2.25 116,1,2024-09-07 10:07:00:816,1211229,1211229,0,0,569144028884,5994971686,1197160,10853,3216,380,392089,0 116,2,2024-09-07 10:07:01:771,873589,873589,0,0,42281784,0,4475 116,3,2024-09-07 10:07:00:915,1,692,1,0,448,8886,692,0 117,0,2024-09-07 10:07:00:987,175342,0.6,175027,0.8,350430,0.6,467806,2.00 117,1,2024-09-07 10:07:01:614,1213867,1213867,0,0,569791578478,5968743481,1200015,12088,1764,369,392429,0 117,2,2024-09-07 10:07:01:123,877870,877870,0,0,38849887,0,4303 117,3,2024-09-07 10:07:01:063,1,692,2,0,490,8476,692,0 118,0,2024-09-07 10:07:01:802,164555,0.5,168963,0.7,344827,0.5,451163,2.00 118,1,2024-09-07 10:07:00:592,1212045,1212045,0,0,568907877159,5978448948,1194540,13660,3845,366,392054,0 118,2,2024-09-07 10:07:01:596,876507,876507,0,0,41332821,0,3161 118,3,2024-09-07 10:07:01:771,1,692,1,0,343,8243,692,0 119,0,2024-09-07 10:07:01:338,173530,0.7,173756,0.8,347098,0.7,463235,2.25 119,1,2024-09-07 10:07:00:553,1212999,1212999,0,0,569460572657,5971118297,1196981,13350,2668,367,391857,0 119,2,2024-09-07 10:07:01:272,874592,874592,0,0,38197253,0,4309 119,3,2024-09-07 10:07:01:325,1,692,10,0,1358,12192,692,0 120,0,2024-09-07 10:07:01:634,173128,0.7,173106,0.9,347311,0.8,463204,2.50 120,1,2024-09-07 10:07:00:870,1214024,1214024,0,0,569090494011,5966553575,1201356,11510,1158,367,392144,0 120,2,2024-09-07 10:07:00:772,871884,871881,3,0,45840490,0,5363 120,3,2024-09-07 10:07:01:290,1,692,8,0,478,8877,692,0 121,0,2024-09-07 10:07:01:701,175564,1.1,175049,1.0,350237,1.4,466587,2.25 121,1,2024-09-07 10:07:01:658,1213623,1213623,0,0,569694781176,5963458229,1200098,11528,1997,366,391840,0 121,2,2024-09-07 10:07:01:124,874181,874181,0,0,41648930,0,4157 121,3,2024-09-07 10:07:00:726,1,692,5,0,387,9244,692,0 122,0,2024-09-07 10:07:01:832,171315,0.7,166756,0.8,348767,0.8,457952,2.00 122,1,2024-09-07 10:07:00:867,1212951,1212951,0,0,569235876800,5970358246,1196677,13696,2578,365,392130,0 122,2,2024-09-07 10:07:01:322,877367,877292,75,0,45853385,0,5989 122,3,2024-09-07 10:07:00:616,1,692,1,0,512,10503,692,0 123,0,2024-09-07 10:07:01:011,170030,0.8,165804,0.8,347579,0.9,454484,2.25 123,1,2024-09-07 10:07:00:618,1213878,1213878,0,0,569636539507,5988819040,1194788,16062,3028,369,392039,0 123,2,2024-09-07 10:07:01:034,875607,875606,1,0,39361404,0,5215 123,3,2024-09-07 10:07:01:141,1,692,9,0,478,7773,692,0 124,0,2024-09-07 10:07:00:932,179412,0.4,179443,0.5,338087,0.3,465317,1.75 124,1,2024-09-07 10:07:01:038,1217210,1217210,0,0,571131009654,5939564008,1207675,8274,1261,365,392178,0 124,2,2024-09-07 10:07:01:014,874175,874122,53,0,36556042,0,6487 124,3,2024-09-07 10:07:00:758,1,692,8,0,490,7219,692,0 125,0,2024-09-07 10:07:01:422,175738,0.4,175576,0.6,351919,0.3,468963,1.75 125,1,2024-09-07 10:07:00:866,1214078,1214078,0,0,569851250595,5955692199,1203035,9460,1583,382,392045,0 125,2,2024-09-07 10:07:01:133,876035,876035,0,0,36109474,0,4534 125,3,2024-09-07 10:07:01:131,1,692,2,0,709,7685,692,0 126,0,2024-09-07 10:07:01:421,174464,0.4,179358,0.5,342780,0.3,464668,1.75 126,1,2024-09-07 10:07:00:571,1217753,1217753,0,0,571802120948,5925562060,1210477,6574,702,365,391987,0 126,2,2024-09-07 10:07:00:619,880420,880420,0,0,37886664,0,4539 126,3,2024-09-07 10:07:00:907,1,692,1,0,268,7842,692,0 127,0,2024-09-07 10:07:01:612,169280,0.3,169668,0.5,338458,0.3,450399,1.75 127,1,2024-09-07 10:07:00:589,1216450,1216450,0,0,570482150259,5937090579,1203996,10678,1776,364,392187,0 127,2,2024-09-07 10:07:00:644,878432,878428,4,0,35812179,0,5305 127,3,2024-09-07 10:07:01:292,1,692,22,0,968,6826,692,0 128,0,2024-09-07 10:07:01:523,176094,0.3,176026,0.4,352202,0.2,468720,1.50 128,1,2024-09-07 10:07:01:605,1215686,1215686,0,0,571205646358,5939038942,1206698,8016,972,367,392423,0 128,2,2024-09-07 10:07:01:383,873625,873625,0,0,33289865,0,3171 128,3,2024-09-07 10:07:00:770,1,692,1,0,1082,10339,692,0 129,0,2024-09-07 10:07:00:994,177080,0.3,176302,0.5,353616,0.3,469991,1.50 129,1,2024-09-07 10:07:00:567,1212649,1212649,0,0,569089801739,5970141263,1199705,10614,2330,379,391962,0 129,2,2024-09-07 10:07:00:699,875467,875463,4,0,36076585,0,5335 129,3,2024-09-07 10:07:00:730,1,692,31,0,506,9939,692,0 130,0,2024-09-07 10:07:01:726,175102,0.4,174949,0.5,350230,0.4,466209,1.75 130,1,2024-09-07 10:07:00:584,1217515,1217515,0,0,571237513793,5931755286,1211668,5440,407,381,391825,0 130,2,2024-09-07 10:07:01:130,878449,878449,0,0,35891884,0,4067 130,3,2024-09-07 10:07:01:305,1,692,1,0,960,8905,692,0 131,0,2024-09-07 10:07:01:935,169022,0.3,169531,0.5,339926,0.3,451634,1.50 131,1,2024-09-07 10:07:01:824,1216584,1216584,0,0,571245168274,5952634612,1208431,6942,1211,381,391865,0 131,2,2024-09-07 10:07:00:572,877742,877742,0,0,34150804,0,3979 131,3,2024-09-07 10:07:01:688,1,692,1,0,392,8670,692,0 132,0,2024-09-07 10:07:01:412,174861,0.5,175777,0.6,349938,0.4,466102,2.00 132,1,2024-09-07 10:07:00:575,1212327,1212327,0,0,569275585524,5988659409,1195825,13720,2782,381,392532,0 132,2,2024-09-07 10:07:00:710,872619,872602,17,0,42628547,0,6451 132,3,2024-09-07 10:07:01:696,1,692,1,0,1298,11821,692,0 133,0,2024-09-07 10:07:01:600,170060,0.5,174206,0.6,357021,0.4,464511,2.00 133,1,2024-09-07 10:07:00:583,1212006,1212006,0,0,569377004191,5994972836,1196554,13518,1934,383,391914,0 133,2,2024-09-07 10:07:01:093,874258,874208,50,0,43718757,0,6861 133,3,2024-09-07 10:07:01:303,1,692,1,0,528,8045,692,0 134,0,2024-09-07 10:07:01:003,176179,0.5,176104,0.7,351983,0.5,468621,2.00 134,1,2024-09-07 10:07:00:614,1213316,1213316,0,0,569120008811,5970985753,1197229,12795,3292,366,391781,0 134,2,2024-09-07 10:07:01:756,874673,874534,139,0,41516810,0,7591 134,3,2024-09-07 10:07:00:753,1,692,14,0,739,8489,692,0 135,0,2024-09-07 10:07:01:183,164908,0.7,164850,0.8,349949,0.7,450724,2.25 135,1,2024-09-07 10:07:01:595,1212960,1212960,0,0,569506964483,5984986694,1198557,12551,1852,380,392038,0 135,2,2024-09-07 10:07:00:740,878101,878101,0,0,41916676,0,4503 135,3,2024-09-07 10:07:01:014,1,692,4,0,900,6662,692,0 136,0,2024-09-07 10:07:01:625,172471,0.6,173014,0.8,344134,0.6,459842,2.00 136,1,2024-09-07 10:07:01:445,1214287,1214287,0,0,569444901104,5965145078,1201454,11407,1426,381,392135,0 136,2,2024-09-07 10:07:01:172,877670,877655,15,0,40891657,0,6007 136,3,2024-09-07 10:07:01:113,1,692,0,0,637,8131,692,0 137,0,2024-09-07 10:07:00:946,179817,0.6,175322,0.8,343256,0.7,466231,2.00 137,1,2024-09-07 10:07:00:582,1213155,1213155,0,0,569689882325,5971982947,1194724,14792,3639,366,391898,0 137,2,2024-09-07 10:07:01:707,871810,871810,0,0,41873016,0,3185 137,3,2024-09-07 10:07:00:769,1,692,1,0,484,9769,692,0 138,0,2024-09-07 10:07:01:744,174693,0.9,174996,0.9,350515,1.1,466026,2.25 138,1,2024-09-07 10:07:01:684,1212799,1212799,0,0,569859139284,5979244668,1195663,14445,2691,368,391954,0 138,2,2024-09-07 10:07:00:592,872269,872269,0,0,40355259,0,4988 138,3,2024-09-07 10:07:00:619,1,692,1,0,1200,10348,692,0 139,0,2024-09-07 10:07:01:387,172032,0.9,172716,0.9,345179,1.1,460499,2.25 139,1,2024-09-07 10:07:00:574,1209221,1209221,0,0,566653825646,6002096905,1189320,15987,3914,380,392109,0 139,2,2024-09-07 10:07:00:700,872761,872731,30,0,45960012,0,5997 139,3,2024-09-07 10:07:01:662,1,692,1,0,432,8191,692,0 140,0,2024-09-07 10:07:01:591,170279,0.3,169677,0.5,340227,0.2,454045,1.75 140,1,2024-09-07 10:07:01:536,1220210,1220210,0,0,572765004992,5911656359,1213408,5999,803,364,391628,0 140,2,2024-09-07 10:07:00:687,877973,877972,1,0,34579219,0,5036 140,3,2024-09-07 10:07:00:769,1,692,0,0,575,6972,692,0 141,0,2024-09-07 10:07:01:700,175912,0.3,180569,0.5,344989,0.3,466553,1.75 141,1,2024-09-07 10:07:00:864,1217604,1217604,0,0,571861816906,5944980630,1207259,8952,1393,379,391614,0 141,2,2024-09-07 10:07:01:686,872410,872399,11,0,37065116,0,5369 141,3,2024-09-07 10:07:01:043,1,692,1,0,391,8112,692,0 142,0,2024-09-07 10:07:01:322,177010,0.3,176176,0.5,352665,0.3,470513,1.50 142,1,2024-09-07 10:07:00:584,1215555,1215555,0,0,570646540866,5955294930,1206502,8159,894,382,392102,0 142,2,2024-09-07 10:07:01:306,872621,872589,32,0,36704814,0,6028 142,3,2024-09-07 10:07:01:747,1,692,0,0,484,7046,692,0 143,0,2024-09-07 10:07:01:367,173971,0.4,173824,0.5,348743,0.4,463589,1.75 143,1,2024-09-07 10:07:00:571,1218603,1218603,0,0,571711887183,5934710627,1210199,7433,971,367,391900,0 143,2,2024-09-07 10:07:00:771,880463,880463,0,0,36343171,0,3123 143,3,2024-09-07 10:07:01:141,1,692,1,0,462,8099,692,0 144,0,2024-09-07 10:07:01:504,164185,0.5,168976,0.7,343921,0.5,450544,2.00 144,1,2024-09-07 10:07:00:575,1212508,1212508,0,0,569200650175,5971836549,1200761,9703,2044,381,391733,0 144,2,2024-09-07 10:07:01:755,878693,878693,0,0,35942581,0,4443 144,3,2024-09-07 10:07:01:741,1,692,1,0,306,7435,692,0 145,0,2024-09-07 10:07:01:366,168942,0.6,168894,0.8,358565,0.5,461366,2.25 145,1,2024-09-07 10:07:00:556,1211562,1211562,0,0,569183431170,5989665160,1195360,13234,2968,382,391781,0 145,2,2024-09-07 10:07:01:429,870823,870741,82,0,41695351,0,7814 145,3,2024-09-07 10:07:00:904,1,692,123,0,622,9324,692,0 146,0,2024-09-07 10:07:01:592,174596,0.7,173669,0.8,349259,0.7,464499,2.25 146,1,2024-09-07 10:07:01:599,1213184,1213184,0,0,568701092400,5980582019,1194043,14215,4926,367,391829,0 146,2,2024-09-07 10:07:01:697,871817,871811,6,0,39815721,0,5151 146,3,2024-09-07 10:07:01:305,1,692,1,0,1520,10885,692,0 147,0,2024-09-07 10:07:01:697,175385,0.6,175085,0.7,350135,0.5,466909,2.00 147,1,2024-09-07 10:07:01:377,1217138,1217138,0,0,571132890364,5937833598,1207181,8859,1098,367,391791,0 147,2,2024-09-07 10:07:01:026,876030,876030,0,0,37690666,0,4531 147,3,2024-09-07 10:07:00:914,1,692,3,0,1626,10386,692,0 0,0,2024-09-07 10:07:11:795,169149,0.7,169209,0.8,359173,0.8,464093,2.00 0,1,2024-09-07 10:07:10:808,1216002,1216002,0,0,570211627786,5969355310,1206119,8908,975,368,391896,0 0,2,2024-09-07 10:07:11:066,875485,875485,0,0,35565126,0,4480 0,3,2024-09-07 10:07:10:976,1,693,1,0,538,9910,693,0 1,0,2024-09-07 10:07:11:770,175545,0.8,174588,0.9,350318,1.0,468549,2.00 1,1,2024-09-07 10:07:10:574,1215019,1215019,0,0,570235173313,5974768820,1203618,9430,1971,370,391859,0 1,2,2024-09-07 10:07:10:640,876960,876960,0,0,35132044,0,3380 1,3,2024-09-07 10:07:11:310,1,693,6,0,269,8249,693,0 2,0,2024-09-07 10:07:11:577,171890,0.6,171631,0.7,343004,0.6,457119,2.00 2,1,2024-09-07 10:07:10:866,1219289,1219289,0,0,571772773326,5945739177,1212358,5970,961,379,391805,0 2,2,2024-09-07 10:07:11:264,879392,879392,0,0,33948708,0,3594 2,3,2024-09-07 10:07:10:700,1,693,1,0,357,6126,693,0 3,0,2024-09-07 10:07:11:749,171436,0.4,171280,0.6,342136,0.4,456412,2.00 3,1,2024-09-07 10:07:11:620,1216871,1216871,0,0,571330086045,5943148828,1208277,7876,718,379,391716,0 3,2,2024-09-07 10:07:11:142,879501,879478,23,0,34675687,0,5851 3,3,2024-09-07 10:07:11:752,1,693,1,0,484,5243,693,0 4,0,2024-09-07 10:07:11:778,169517,0.4,174091,0.5,355189,0.4,464065,1.75 4,1,2024-09-07 10:07:10:591,1211671,1211671,0,0,568888078840,6016299179,1191780,15290,4601,369,391992,0 4,2,2024-09-07 10:07:11:019,871229,871229,0,0,42092660,0,4534 4,3,2024-09-07 10:07:11:035,1,693,1,0,448,9353,693,0 5,0,2024-09-07 10:07:11:400,176025,0.4,175766,0.6,351963,0.4,468584,1.75 5,1,2024-09-07 10:07:10:779,1213169,1213169,0,0,569585990263,6008034659,1195509,13423,4237,367,392005,0 5,2,2024-09-07 10:07:11:834,871140,871107,33,0,42405739,0,7631 5,3,2024-09-07 10:07:11:757,1,693,8,0,457,9135,693,0 6,0,2024-09-07 10:07:10:930,174296,0.5,173701,0.6,347847,0.4,463304,2.00 6,1,2024-09-07 10:07:10:767,1216216,1216216,0,0,570944967787,5960101134,1204050,10481,1685,379,391702,0 6,2,2024-09-07 10:07:11:126,880049,880031,18,0,39406599,0,5535 6,3,2024-09-07 10:07:11:284,1,693,3,0,710,7947,693,0 7,0,2024-09-07 10:07:11:537,168751,0.5,169987,0.7,337245,0.5,450143,2.00 7,1,2024-09-07 10:07:10:850,1213698,1213698,0,0,569196853917,5988021472,1195863,13698,4137,382,391747,0 7,2,2024-09-07 10:07:10:778,877794,877625,169,0,40182234,0,7706 7,3,2024-09-07 10:07:10:862,1,693,2,0,552,8173,693,0 8,0,2024-09-07 10:07:11:381,176013,0.4,175587,0.5,351792,0.4,469262,1.75 8,1,2024-09-07 10:07:11:021,1212607,1212607,0,0,569898593450,5991786725,1193748,14813,4046,366,392853,0 8,2,2024-09-07 10:07:10:808,867723,867721,2,0,44993571,0,5112 8,3,2024-09-07 10:07:10:589,1,693,2,0,772,10359,693,0 9,0,2024-09-07 10:07:11:141,176074,0.4,171000,0.5,357843,0.3,469951,1.75 9,1,2024-09-07 10:07:10:565,1212373,1212373,0,0,569283705680,6002548436,1192702,15321,4350,369,392001,0 9,2,2024-09-07 10:07:11:108,873093,873092,1,0,42976711,0,5281 9,3,2024-09-07 10:07:11:760,1,693,27,0,1273,11074,693,0 10,0,2024-09-07 10:07:11:615,174442,0.4,174059,0.5,348834,0.3,464220,1.75 10,1,2024-09-07 10:07:10:590,1214296,1214296,0,0,569995135088,5981315264,1196235,14327,3734,381,391981,0 10,2,2024-09-07 10:07:10:767,879253,879253,0,0,46155700,0,4713 10,3,2024-09-07 10:07:10:875,1,693,2,0,669,7364,693,0 11,0,2024-09-07 10:07:11:007,169151,0.4,164181,0.6,343508,0.4,452654,1.75 11,1,2024-09-07 10:07:10:571,1216433,1216433,0,0,570696970066,5982615125,1199548,12575,4310,383,391766,0 11,2,2024-09-07 10:07:11:123,877664,877664,0,0,39874497,0,4698 11,3,2024-09-07 10:07:11:310,1,693,1,0,843,8403,693,0 12,0,2024-09-07 10:07:10:981,176033,0.4,175681,0.6,351069,0.4,467189,1.75 12,1,2024-09-07 10:07:10:936,1215760,1215760,0,0,570373654497,5951571741,1205904,8829,1027,368,391960,0 12,2,2024-09-07 10:07:11:548,871531,871531,0,0,39770927,0,4390 12,3,2024-09-07 10:07:11:072,1,693,12,0,386,8560,693,0 13,0,2024-09-07 10:07:11:421,175530,0.4,175686,0.6,350783,0.4,467116,1.75 13,1,2024-09-07 10:07:11:548,1213216,1213216,0,0,569401011271,5993946395,1199051,11085,3080,382,391803,0 13,2,2024-09-07 10:07:10:599,876437,876437,0,0,36024089,0,3287 13,3,2024-09-07 10:07:11:775,1,693,10,0,522,9267,693,0 14,0,2024-09-07 10:07:10:563,175594,0.4,176656,0.6,351333,0.3,467321,1.75 14,1,2024-09-07 10:07:11:561,1221709,1221709,0,0,573426984673,5932185241,1212454,8105,1150,364,391673,0 14,2,2024-09-07 10:07:10:767,877300,877270,30,0,37138255,0,6104 14,3,2024-09-07 10:07:11:117,1,693,1,0,1168,7146,693,0 15,0,2024-09-07 10:07:11:567,170412,0.4,169515,0.6,339498,0.3,452949,1.75 15,1,2024-09-07 10:07:11:619,1217852,1217852,0,0,571837902626,5960205721,1206988,8768,2096,380,391619,0 15,2,2024-09-07 10:07:10:998,880397,880397,0,0,33225265,0,3622 15,3,2024-09-07 10:07:11:405,1,693,0,0,1126,8636,693,0 16,0,2024-09-07 10:07:10:990,172439,0.6,173455,0.8,345873,0.6,461127,2.00 16,1,2024-09-07 10:07:10:563,1217393,1217393,0,0,571151350165,5970861996,1206651,9198,1544,370,392194,0 16,2,2024-09-07 10:07:11:448,876354,876354,0,0,36317036,0,4719 16,3,2024-09-07 10:07:11:151,1,693,1,0,362,8561,693,0 17,0,2024-09-07 10:07:11:799,179943,0.7,175391,0.8,343608,0.7,466853,2.00 17,1,2024-09-07 10:07:10:574,1215621,1215621,0,0,569945366602,5974339663,1204498,9322,1801,368,392075,0 17,2,2024-09-07 10:07:11:671,877267,877266,1,0,37520945,0,5050 17,3,2024-09-07 10:07:10:574,1,693,1,0,518,9917,693,0 18,0,2024-09-07 10:07:10:945,173992,0.6,175136,0.8,348534,0.6,465398,2.25 18,1,2024-09-07 10:07:11:646,1220513,1220513,0,0,571944476446,5933539847,1212330,6752,1431,367,391725,0 18,2,2024-09-07 10:07:11:768,877653,877653,0,0,33943910,0,3541 18,3,2024-09-07 10:07:10:904,1,693,1,0,1059,6294,693,0 19,0,2024-09-07 10:07:11:556,172721,0.6,173173,0.8,345304,0.6,458503,2.00 19,1,2024-09-07 10:07:10:566,1219734,1219734,0,0,573359359322,5940843044,1210879,7695,1160,365,391777,0 19,2,2024-09-07 10:07:11:762,882405,882405,0,0,31786969,0,3988 19,3,2024-09-07 10:07:11:133,1,693,1,0,524,5533,693,0 20,0,2024-09-07 10:07:11:481,170165,0.5,170119,0.7,340070,0.5,454161,2.00 20,1,2024-09-07 10:07:10:582,1214965,1214965,0,0,570826524147,5981967088,1202687,10569,1709,369,391922,0 20,2,2024-09-07 10:07:10:945,878327,878327,0,0,40171128,0,4321 20,3,2024-09-07 10:07:10:598,1,693,0,0,468,11556,693,0 21,0,2024-09-07 10:07:11:159,175402,0.5,175431,0.7,350670,0.5,465317,2.00 21,1,2024-09-07 10:07:11:559,1212708,1212708,0,0,569021696882,6004423664,1193947,14768,3993,368,392016,0 21,2,2024-09-07 10:07:11:078,867385,866908,477,0,51306924,0,17074 21,3,2024-09-07 10:07:11:411,1,693,372,0,713,9473,693,0 22,0,2024-09-07 10:07:11:730,176088,0.5,176713,0.7,353115,0.4,468707,2.00 22,1,2024-09-07 10:07:11:022,1214004,1214004,0,0,570196112960,5996934917,1194894,15505,3605,381,391822,0 22,2,2024-09-07 10:07:10:762,873471,873445,26,0,38072699,0,6328 22,3,2024-09-07 10:07:11:299,1,693,1,0,228,5649,693,0 23,0,2024-09-07 10:07:11:402,173788,0.5,173649,0.6,347220,0.4,463035,2.00 23,1,2024-09-07 10:07:11:010,1215091,1215091,0,0,570415886917,6003370592,1193826,14442,6823,365,391690,0 23,2,2024-09-07 10:07:11:092,880636,880636,0,0,36414071,0,3773 23,3,2024-09-07 10:07:11:771,1,693,9,0,855,9763,693,0 24,0,2024-09-07 10:07:10:816,170774,0.4,169645,0.5,341003,0.3,453516,1.75 24,1,2024-09-07 10:07:10:585,1214131,1214131,0,0,570158433662,5967099898,1202527,9728,1876,367,392269,0 24,2,2024-09-07 10:07:11:080,878246,878243,3,0,42689940,0,6294 24,3,2024-09-07 10:07:11:696,1,693,4,0,468,9037,693,0 25,0,2024-09-07 10:07:11:383,179644,0.4,174528,0.6,343701,0.4,468345,1.75 25,1,2024-09-07 10:07:10:572,1213048,1213048,0,0,569184899869,6011340444,1192299,16754,3995,369,391928,0 25,2,2024-09-07 10:07:11:611,870381,870381,0,0,44268799,0,3978 25,3,2024-09-07 10:07:11:007,1,693,4,0,532,7570,693,0 26,0,2024-09-07 10:07:11:728,174472,0.4,170408,0.6,357484,0.4,466754,2.00 26,1,2024-09-07 10:07:11:549,1216301,1216301,0,0,570982464409,5974975528,1200596,12802,2903,380,391758,0 26,2,2024-09-07 10:07:10:861,874431,874431,0,0,45553258,0,4689 26,3,2024-09-07 10:07:11:720,1,693,4,0,796,8574,693,0 27,0,2024-09-07 10:07:11:749,175287,0.4,176019,0.6,350035,0.4,466897,2.25 27,1,2024-09-07 10:07:11:686,1217944,1217944,0,0,572433507582,5963563305,1206661,9661,1622,381,391626,0 27,2,2024-09-07 10:07:10:873,874552,874487,65,0,40418373,0,5699 27,3,2024-09-07 10:07:11:019,1,693,2,0,564,5914,693,0 28,0,2024-09-07 10:07:11:392,170023,0.4,170331,0.6,340553,0.3,453419,1.75 28,1,2024-09-07 10:07:10:797,1218340,1218340,0,0,572582697931,5975342574,1206869,9043,2428,382,391904,0 28,2,2024-09-07 10:07:11:769,878275,878275,0,0,37770861,0,4060 28,3,2024-09-07 10:07:11:783,1,693,1,0,502,7216,693,0 29,0,2024-09-07 10:07:11:362,178916,0.4,174168,0.6,342081,0.4,466659,1.75 29,1,2024-09-07 10:07:11:561,1221310,1221310,0,0,573842412832,5938735352,1213388,6901,1021,367,391809,0 29,2,2024-09-07 10:07:10:866,874581,874581,0,0,35129208,0,4986 29,3,2024-09-07 10:07:10:963,1,693,3,0,590,7832,693,0 30,0,2024-09-07 10:07:11:461,173080,0.6,168458,0.7,353013,0.5,460977,2.00 30,1,2024-09-07 10:07:10:571,1220165,1220165,0,0,572920752299,5949776477,1210351,8646,1168,380,391672,0 30,2,2024-09-07 10:07:11:274,875572,875572,0,0,34047135,0,4192 30,3,2024-09-07 10:07:10:582,1,693,6,0,519,7205,693,0 31,0,2024-09-07 10:07:11:762,175310,0.5,176149,0.7,351338,0.4,468524,2.00 31,1,2024-09-07 10:07:10:568,1224051,1224051,0,0,574147921061,5898417860,1216120,6662,1269,356,391712,0 31,2,2024-09-07 10:07:11:289,875910,875910,0,0,37488107,0,4470 31,3,2024-09-07 10:07:11:709,1,693,1,0,248,6117,693,0 32,0,2024-09-07 10:07:11:447,172007,0.3,173073,0.5,344805,0.2,458576,1.75 32,1,2024-09-07 10:07:10:808,1219791,1219791,0,0,573003039954,5956073014,1212299,6527,965,381,391646,0 32,2,2024-09-07 10:07:10:938,881091,881091,0,0,32852755,0,3922 32,3,2024-09-07 10:07:11:017,1,693,1,0,304,5843,693,0 33,0,2024-09-07 10:07:11:498,172205,0.3,171703,0.4,343398,0.2,457835,1.50 33,1,2024-09-07 10:07:10:575,1221000,1221000,0,0,573297233764,5936725292,1211379,8265,1356,368,391730,0 33,2,2024-09-07 10:07:10:768,878266,878231,35,0,36452066,0,7012 33,3,2024-09-07 10:07:10:904,1,693,0,0,329,6387,693,0 34,0,2024-09-07 10:07:10:932,174893,0.3,179774,0.5,343783,0.2,464001,1.75 34,1,2024-09-07 10:07:11:049,1223902,1223902,0,0,573736764054,5904278170,1219900,3793,209,367,391637,0 34,2,2024-09-07 10:07:10:767,874967,874967,0,0,33949948,0,4562 34,3,2024-09-07 10:07:11:697,1,693,0,0,541,6045,693,0 35,0,2024-09-07 10:07:10:857,175379,0.3,175992,0.5,352919,0.2,469534,1.75 35,1,2024-09-07 10:07:11:070,1219463,1219463,0,0,572262008263,5937940559,1210522,7388,1553,382,391769,0 35,2,2024-09-07 10:07:11:583,874880,874880,0,0,39625788,0,4055 35,3,2024-09-07 10:07:10:912,1,693,1,0,466,6569,693,0 36,0,2024-09-07 10:07:11:525,174780,0.4,175010,0.6,349440,0.4,465297,2.00 36,1,2024-09-07 10:07:10:589,1218008,1218008,0,0,571625496709,5966849411,1203228,12426,2354,366,391759,0 36,2,2024-09-07 10:07:11:755,879737,879737,0,0,39512102,0,3875 36,3,2024-09-07 10:07:10:863,1,693,1,0,556,8779,693,0 37,0,2024-09-07 10:07:11:380,168742,0.5,168701,0.7,338052,0.5,450748,2.25 37,1,2024-09-07 10:07:10:569,1217432,1217425,0,7,571589701684,5967510967,1203238,11072,3115,365,391770,0 37,2,2024-09-07 10:07:11:142,877041,877026,15,0,39638799,0,5815 37,3,2024-09-07 10:07:11:769,1,693,3,0,888,9494,693,0 38,0,2024-09-07 10:07:11:438,174893,0.5,169565,0.7,354460,0.4,464967,2.00 38,1,2024-09-07 10:07:11:605,1217781,1217781,0,0,571327188296,5971547642,1200943,13532,3306,368,391821,0 38,2,2024-09-07 10:07:10:765,873338,873291,47,0,39434191,0,6710 38,3,2024-09-07 10:07:10:996,1,693,1,0,689,7913,693,0 39,0,2024-09-07 10:07:11:761,179555,0.6,175438,0.7,342548,0.5,467508,2.00 39,1,2024-09-07 10:07:10:716,1215738,1215738,0,0,570173579454,5976213347,1196058,15447,4233,365,391865,0 39,2,2024-09-07 10:07:11:422,874399,874399,0,0,37745373,0,3478 39,3,2024-09-07 10:07:10:714,1,693,1,0,525,7672,693,0 40,0,2024-09-07 10:07:11:491,172939,0.8,173624,0.9,346648,0.9,462710,2.75 40,1,2024-09-07 10:07:10:580,1216206,1216206,0,0,570258281128,5976522466,1197403,15220,3583,366,391668,0 40,2,2024-09-07 10:07:11:330,876942,876935,7,0,43715987,0,5347 40,3,2024-09-07 10:07:11:150,1,693,9,0,1028,9832,693,0 41,0,2024-09-07 10:07:11:028,168410,1.1,172418,1.1,328859,1.5,446684,3.00 41,1,2024-09-07 10:07:10:775,1216097,1216097,0,0,571550243530,5976375899,1200387,13185,2525,369,391878,0 41,2,2024-09-07 10:07:10:768,875136,875135,1,0,42609483,0,5408 41,3,2024-09-07 10:07:11:686,1,693,1,0,749,8441,693,0 42,0,2024-09-07 10:07:11:481,173600,0.9,173301,1.0,347140,1.1,460500,2.75 42,1,2024-09-07 10:07:11:439,1213981,1213981,0,0,568675405154,5969147840,1196375,14522,3084,380,391675,0 42,2,2024-09-07 10:07:11:138,870500,870499,1,0,43768106,0,5513 42,3,2024-09-07 10:07:11:009,1,693,1,0,892,6978,693,0 43,0,2024-09-07 10:07:10:919,172308,0.8,167682,1.0,351410,0.9,459631,2.25 43,1,2024-09-07 10:07:10:591,1217227,1217227,0,0,572207341310,5975772971,1201117,13362,2748,365,391696,0 43,2,2024-09-07 10:07:11:741,875867,875867,0,0,40164487,0,4723 43,3,2024-09-07 10:07:11:754,1,693,0,0,571,9297,693,0 44,0,2024-09-07 10:07:10:868,175774,0.4,175928,0.6,351327,0.3,468321,1.75 44,1,2024-09-07 10:07:10:564,1220256,1220256,0,0,572961943296,5925626196,1208928,9177,2151,356,391809,0 44,2,2024-09-07 10:07:11:274,875830,875830,0,0,33789675,0,4344 44,3,2024-09-07 10:07:11:108,1,693,0,0,1097,8910,693,0 45,0,2024-09-07 10:07:11:791,168667,0.4,164729,0.7,345557,0.4,453061,2.00 45,1,2024-09-07 10:07:11:006,1219763,1219763,0,0,572766986989,5954173698,1210214,8328,1221,382,391917,0 45,2,2024-09-07 10:07:11:274,879746,879746,0,0,33937317,0,3596 45,3,2024-09-07 10:07:10:935,1,693,1,0,531,6477,693,0 46,0,2024-09-07 10:07:10:951,171944,0.5,171435,0.7,343864,0.5,457935,2.00 46,1,2024-09-07 10:07:10:575,1221642,1221642,0,0,573401213926,5932895839,1212366,7794,1482,366,391709,0 46,2,2024-09-07 10:07:10:598,878054,878054,0,0,34763640,0,4443 46,3,2024-09-07 10:07:11:137,1,693,2,0,908,7614,693,0 47,0,2024-09-07 10:07:11:104,175233,0.5,174752,0.6,350882,0.4,465005,2.00 47,1,2024-09-07 10:07:10:567,1222950,1222950,0,0,573395415978,5926388526,1215442,6495,1013,364,391666,0 47,2,2024-09-07 10:07:10:909,876951,876951,0,0,35119709,0,4477 47,3,2024-09-07 10:07:11:122,1,693,12,0,600,7517,693,0 48,0,2024-09-07 10:07:11:491,176074,0.3,176613,0.4,351873,0.2,468158,1.50 48,1,2024-09-07 10:07:11:021,1219469,1219469,0,0,571591522281,5939348285,1211798,6659,1012,381,391710,0 48,2,2024-09-07 10:07:10:705,876123,876123,0,0,32205743,0,3619 48,3,2024-09-07 10:07:10:766,1,693,1,0,339,5983,693,0 49,0,2024-09-07 10:07:11:716,178337,0.3,174681,0.5,339737,0.3,463145,1.75 49,1,2024-09-07 10:07:11:020,1218806,1218806,0,0,572419485465,5951724111,1210941,6167,1698,382,391809,0 49,2,2024-09-07 10:07:11:802,881282,881282,0,0,33664600,0,4426 49,3,2024-09-07 10:07:11:423,1,693,1,0,992,8087,693,0 50,0,2024-09-07 10:07:11:507,170619,0.3,169404,0.5,341037,0.2,453828,1.75 50,1,2024-09-07 10:07:11:014,1221836,1221836,0,0,573735277642,5931329379,1214280,6824,732,368,391691,0 50,2,2024-09-07 10:07:11:304,878020,878020,0,0,31625770,0,4490 50,3,2024-09-07 10:07:11:299,1,693,0,0,617,7209,693,0 51,0,2024-09-07 10:07:11:701,180065,0.3,176164,0.5,343085,0.3,467691,1.75 51,1,2024-09-07 10:07:11:680,1223086,1223086,0,0,575208223472,5930875304,1216385,5357,1344,365,391706,0 51,2,2024-09-07 10:07:11:316,873944,873944,0,0,31218921,0,3337 51,3,2024-09-07 10:07:11:026,1,693,1,0,678,5459,693,0 52,0,2024-09-07 10:07:11:414,176304,0.5,176184,0.7,352147,0.4,468042,2.00 52,1,2024-09-07 10:07:10:575,1216834,1216834,0,0,570743216644,5971573170,1200083,14324,2427,368,391805,0 52,2,2024-09-07 10:07:11:769,871150,871112,38,0,42570745,0,6742 52,3,2024-09-07 10:07:10:676,1,693,2,0,1782,7924,693,0 53,0,2024-09-07 10:07:11:740,173527,0.6,168365,0.8,352397,0.7,461162,2.25 53,1,2024-09-07 10:07:10:776,1216253,1216253,0,0,571415373505,5988726965,1197097,14742,4414,367,391968,0 53,2,2024-09-07 10:07:11:302,880338,880200,138,0,39028033,0,7690 53,3,2024-09-07 10:07:10:703,1,693,0,0,308,6497,693,0 54,0,2024-09-07 10:07:11:635,167860,0.5,168420,0.7,335185,0.4,447583,2.25 54,1,2024-09-07 10:07:10:580,1218628,1218628,0,0,572581624273,5951336369,1206839,10115,1674,366,391810,0 54,2,2024-09-07 10:07:10:870,878966,878934,32,0,42269006,0,6397 54,3,2024-09-07 10:07:10:772,1,693,40,0,676,8943,693,0 55,0,2024-09-07 10:07:11:760,168829,0.6,173994,0.8,353038,0.6,460082,2.25 55,1,2024-09-07 10:07:10:763,1219102,1219102,0,0,571378448291,5940379125,1207077,10538,1487,365,391731,0 55,2,2024-09-07 10:07:10:765,871868,871812,56,0,38543310,0,7239 55,3,2024-09-07 10:07:10:674,1,693,5,0,304,6278,693,0 56,0,2024-09-07 10:07:11:559,178319,1.2,167965,1.1,346239,1.6,463904,2.75 56,1,2024-09-07 10:07:10:578,1211752,1211752,0,0,569173344020,6015501917,1192260,15738,3754,381,391867,0 56,2,2024-09-07 10:07:11:308,873258,873136,122,0,41192709,0,7432 56,3,2024-09-07 10:07:11:066,1,693,8,0,705,8244,693,0 57,0,2024-09-07 10:07:11:000,173488,1.3,173490,1.2,346418,1.8,463067,3.00 57,1,2024-09-07 10:07:10:987,1214871,1214871,0,0,570163525121,5979541283,1199157,13010,2704,366,392097,0 57,2,2024-09-07 10:07:11:320,877404,877404,0,0,44174590,0,4804 57,3,2024-09-07 10:07:11:749,1,693,12,0,455,7418,693,0 58,0,2024-09-07 10:07:10:557,167558,0.9,162750,1.0,340680,1.0,445785,2.50 58,1,2024-09-07 10:07:10:575,1215886,1215883,0,3,571204372107,5987629739,1197520,13961,4402,367,391726,3 58,2,2024-09-07 10:07:11:089,878854,878854,0,0,40123804,0,3483 58,3,2024-09-07 10:07:11:309,1,693,12,0,1043,7482,693,0 59,0,2024-09-07 10:07:11:748,172944,0.8,171964,1.0,344063,0.9,457165,2.75 59,1,2024-09-07 10:07:10:813,1215520,1215520,0,0,570335326261,5989387066,1196032,15650,3838,369,391653,0 59,2,2024-09-07 10:07:10:589,874739,874739,0,0,38168242,0,3727 59,3,2024-09-07 10:07:11:740,1,693,0,0,1015,8138,693,0 60,0,2024-09-07 10:07:11:761,173962,0.5,174204,0.7,348328,0.5,464529,2.00 60,1,2024-09-07 10:07:10:789,1219204,1219204,0,0,572512639465,5962311444,1208274,9427,1503,370,392031,0 60,2,2024-09-07 10:07:11:158,876054,876054,0,0,36483530,0,3811 60,3,2024-09-07 10:07:11:264,1,693,1,0,409,7936,693,0 61,0,2024-09-07 10:07:11:500,175492,0.6,176260,0.8,350972,0.6,468059,2.00 61,1,2024-09-07 10:07:10:779,1216080,1216080,0,0,570837126742,5976907771,1203407,10822,1851,382,392127,0 61,2,2024-09-07 10:07:11:129,877227,877160,67,0,37440955,0,6411 61,3,2024-09-07 10:07:11:698,1,693,1,0,607,8562,693,0 62,0,2024-09-07 10:07:11:716,172639,0.5,177027,0.7,337907,0.5,458423,2.00 62,1,2024-09-07 10:07:11:123,1223672,1223666,0,6,574365130988,5929245410,1216411,6638,617,365,391975,6 62,2,2024-09-07 10:07:11:644,876823,876822,1,0,37184288,0,5555 62,3,2024-09-07 10:07:11:149,1,693,0,0,482,5428,693,0 63,0,2024-09-07 10:07:11:453,172032,0.4,171674,0.6,344025,0.3,457931,1.75 63,1,2024-09-07 10:07:10:806,1220751,1220745,0,6,572766286331,5949658656,1212831,6963,951,381,391800,6 63,2,2024-09-07 10:07:10:764,877340,877340,0,0,36346520,0,4369 63,3,2024-09-07 10:07:11:740,1,693,2,0,667,8072,693,0 64,0,2024-09-07 10:07:11:530,173920,0.5,173830,0.7,347260,0.5,462397,2.00 64,1,2024-09-07 10:07:10:753,1218825,1218825,0,0,572389872523,5958468023,1208612,8185,2028,370,391794,0 64,2,2024-09-07 10:07:11:157,877424,877405,19,0,34938232,0,6121 64,3,2024-09-07 10:07:11:151,1,693,0,0,651,7883,693,0 65,0,2024-09-07 10:07:11:691,174632,0.6,174937,0.7,349174,0.6,465843,2.00 65,1,2024-09-07 10:07:10:867,1217267,1217267,0,0,571309834809,5969103132,1209022,7347,898,381,391901,0 65,2,2024-09-07 10:07:11:692,873584,873584,0,0,41912018,0,3367 65,3,2024-09-07 10:07:11:689,1,693,2,0,782,8152,693,0 66,0,2024-09-07 10:07:11:783,174077,0.5,173393,0.7,346852,0.5,461820,2.00 66,1,2024-09-07 10:07:11:317,1218965,1218965,0,0,572263461627,5955186574,1211459,6632,874,380,391743,0 66,2,2024-09-07 10:07:11:138,882336,882333,3,0,36783448,0,5455 66,3,2024-09-07 10:07:11:089,1,693,0,0,291,6156,693,0 67,0,2024-09-07 10:07:11:411,169444,0.5,169038,0.7,338653,0.5,451311,2.00 67,1,2024-09-07 10:07:10:767,1218822,1218821,0,1,572077572969,5955561467,1210387,7294,1140,380,391787,1 67,2,2024-09-07 10:07:10:585,881352,881337,15,0,37251349,0,6205 67,3,2024-09-07 10:07:11:768,1,693,2,0,595,7328,693,0 68,0,2024-09-07 10:07:10:596,175816,0.6,175605,0.7,349699,0.6,467870,2.25 68,1,2024-09-07 10:07:10:581,1214405,1214405,0,0,569322852919,5989335660,1197677,12456,4272,381,391953,0 68,2,2024-09-07 10:07:11:050,868555,868455,100,0,45073742,0,8578 68,3,2024-09-07 10:07:10:738,1,693,0,0,417,9656,693,0 69,0,2024-09-07 10:07:11:767,175232,0.7,175779,0.8,350784,0.7,467326,2.25 69,1,2024-09-07 10:07:11:023,1213571,1213571,0,0,569286851308,5995712999,1198676,11913,2982,383,391994,0 69,2,2024-09-07 10:07:11:744,871293,871264,29,0,48200970,0,6912 69,3,2024-09-07 10:07:10:777,1,693,1,0,698,10369,693,0 70,0,2024-09-07 10:07:11:540,172688,0.7,173464,0.9,347711,0.6,461238,2.50 70,1,2024-09-07 10:07:10:805,1220215,1220215,0,0,573235478891,5949101297,1210749,8421,1045,366,391725,0 70,2,2024-09-07 10:07:11:330,879562,879562,0,0,39633035,0,4323 70,3,2024-09-07 10:07:10:766,1,693,1,0,854,7700,693,0 71,0,2024-09-07 10:07:11:376,168368,0.8,167996,0.9,336824,0.9,449361,2.50 71,1,2024-09-07 10:07:11:604,1217954,1217954,0,0,571662555257,5963467796,1203521,12647,1786,367,391738,0 71,2,2024-09-07 10:07:11:306,877495,877495,0,0,40090715,0,4352 71,3,2024-09-07 10:07:11:768,1,693,0,0,644,7628,693,0 72,0,2024-09-07 10:07:11:059,180411,0.5,176074,0.7,344189,0.5,467974,2.00 72,1,2024-09-07 10:07:11:029,1216239,1216239,0,0,569944975069,5974879817,1199379,14127,2733,369,391819,0 72,2,2024-09-07 10:07:11:770,871386,871386,0,0,41739671,0,3983 72,3,2024-09-07 10:07:11:761,1,693,3,0,564,9548,693,0 73,0,2024-09-07 10:07:11:105,170267,0.5,174551,0.6,356803,0.4,464730,2.00 73,1,2024-09-07 10:07:10:779,1217964,1217964,0,0,571957040667,5944994875,1208435,8464,1065,367,391858,0 73,2,2024-09-07 10:07:11:743,874334,874333,1,0,43747175,0,5027 73,3,2024-09-07 10:07:10:981,1,693,1,0,1091,9312,693,0 74,0,2024-09-07 10:07:11:328,176664,0.5,180926,0.7,345169,0.4,468670,2.00 74,1,2024-09-07 10:07:10:637,1217076,1217076,0,0,571459721346,5961567189,1204716,10212,2148,381,391762,0 74,2,2024-09-07 10:07:11:003,876509,876509,0,0,38090060,0,4253 74,3,2024-09-07 10:07:11:449,1,693,3,0,522,7904,693,0 75,0,2024-09-07 10:07:11:783,170522,0.5,169557,0.7,340339,0.4,454472,2.00 75,1,2024-09-07 10:07:11:588,1218076,1218076,0,0,571106374130,5950271937,1208194,8889,993,380,391739,0 75,2,2024-09-07 10:07:11:350,877307,877307,0,0,45193893,0,4766 75,3,2024-09-07 10:07:11:078,1,693,2,0,918,9527,693,0 76,0,2024-09-07 10:07:10:609,172280,0.6,171727,0.7,343176,0.5,459561,2.25 76,1,2024-09-07 10:07:10:809,1217554,1217554,0,0,570865135439,5956289968,1208658,7586,1310,382,391790,0 76,2,2024-09-07 10:07:11:299,879138,879135,3,0,38150101,0,5265 76,3,2024-09-07 10:07:11:159,1,693,5,0,249,6375,693,0 77,0,2024-09-07 10:07:11:702,174067,0.6,174408,0.7,349101,0.6,463949,2.00 77,1,2024-09-07 10:07:10:830,1216701,1216701,0,0,570732942932,5960739373,1206428,9185,1088,381,391869,0 77,2,2024-09-07 10:07:11:294,874068,874068,0,0,36755427,0,3890 77,3,2024-09-07 10:07:11:096,1,693,1,0,401,7837,693,0 78,0,2024-09-07 10:07:11:719,176355,0.4,175545,0.6,352585,0.4,466290,2.00 78,1,2024-09-07 10:07:10:614,1216768,1216768,0,0,570673185447,5956562485,1201232,12204,3332,367,391670,0 78,2,2024-09-07 10:07:11:407,876479,876466,13,0,34455944,0,8313 78,3,2024-09-07 10:07:11:143,1,693,3,0,311,5964,693,0 79,0,2024-09-07 10:07:11:352,167636,0.4,171712,0.6,351650,0.3,457608,2.00 79,1,2024-09-07 10:07:10:571,1221147,1221147,0,0,573533596071,5940872045,1211333,8012,1802,367,391682,0 79,2,2024-09-07 10:07:11:310,880870,880870,0,0,33505122,0,4195 79,3,2024-09-07 10:07:10:756,1,693,2,0,418,8001,693,0 80,0,2024-09-07 10:07:11:100,170403,0.5,174997,0.7,334247,0.5,452794,2.00 80,1,2024-09-07 10:07:11:621,1217658,1217658,0,0,572221795425,5958080706,1209676,7400,582,368,392269,0 80,2,2024-09-07 10:07:11:095,881299,881299,0,0,34072220,0,4433 80,3,2024-09-07 10:07:10:589,1,693,3,0,681,8338,693,0 81,0,2024-09-07 10:07:11:552,175454,0.6,179508,0.7,342378,0.6,464319,2.00 81,1,2024-09-07 10:07:11:650,1216890,1216890,0,0,570556661522,5964120867,1207021,8874,995,382,392001,0 81,2,2024-09-07 10:07:11:170,873119,873056,63,0,37866508,0,5932 81,3,2024-09-07 10:07:11:132,1,693,1,0,719,7627,693,0 82,0,2024-09-07 10:07:11:541,176289,0.5,176280,0.7,353203,0.5,468899,2.00 82,1,2024-09-07 10:07:10:590,1218805,1218801,0,4,571958463186,5950809136,1211865,5812,1124,381,391768,4 82,2,2024-09-07 10:07:11:697,877466,877466,0,0,31504711,0,4484 82,3,2024-09-07 10:07:11:752,1,693,1,0,363,6237,693,0 83,0,2024-09-07 10:07:11:532,174202,0.5,174047,0.7,347819,0.5,462138,2.00 83,1,2024-09-07 10:07:10:551,1217572,1217572,0,0,571328540573,5956323161,1208580,7964,1028,382,391709,0 83,2,2024-09-07 10:07:10:765,880727,880702,25,0,34414859,0,5612 83,3,2024-09-07 10:07:10:766,1,693,1,0,1260,8153,693,0 84,0,2024-09-07 10:07:11:790,168186,0.7,168277,0.9,336579,0.7,449692,2.25 84,1,2024-09-07 10:07:11:053,1215786,1215786,0,0,570628371689,5968255851,1202089,11612,2085,367,391967,0 84,2,2024-09-07 10:07:10:585,876331,875921,410,0,49593804,0,17037 84,3,2024-09-07 10:07:11:156,1,693,5,0,908,9643,693,0 85,0,2024-09-07 10:07:11:016,168089,0.6,168008,0.8,356674,0.6,460809,2.25 85,1,2024-09-07 10:07:10:564,1212012,1212012,0,0,568952260932,6002443070,1194225,14857,2930,381,392092,0 85,2,2024-09-07 10:07:10:867,872085,872085,0,0,41862967,0,4255 85,3,2024-09-07 10:07:10:687,1,693,1,0,789,7813,693,0 86,0,2024-09-07 10:07:10:950,174254,0.7,179292,0.8,343326,0.8,464635,2.25 86,1,2024-09-07 10:07:10:841,1214529,1214529,0,0,570384131249,5989917910,1196841,14372,3316,366,392169,0 86,2,2024-09-07 10:07:10:915,874055,874054,1,0,44016704,0,5004 86,3,2024-09-07 10:07:10:606,1,693,18,0,441,9612,693,0 87,0,2024-09-07 10:07:11:293,175775,0.8,174542,0.8,349660,0.9,466883,2.25 87,1,2024-09-07 10:07:10:551,1215106,1215106,0,0,569866277088,5968349308,1200174,12770,2162,366,392076,0 87,2,2024-09-07 10:07:11:071,876935,876929,6,0,41363181,0,6323 87,3,2024-09-07 10:07:11:797,1,693,1,0,473,9794,693,0 88,0,2024-09-07 10:07:11:473,169862,0.4,170361,0.6,340754,0.4,453569,1.75 88,1,2024-09-07 10:07:10:582,1213719,1213719,0,0,569817789324,5969675227,1196908,13672,3139,365,392084,0 88,2,2024-09-07 10:07:10:701,878085,878085,0,0,43347414,0,4465 88,3,2024-09-07 10:07:11:274,1,693,4,0,1080,11101,693,0 89,0,2024-09-07 10:07:11:775,179007,0.4,173772,0.6,342426,0.4,465960,1.75 89,1,2024-09-07 10:07:10:559,1213838,1213838,0,0,570358923231,5995986376,1199162,12398,2278,382,391866,0 89,2,2024-09-07 10:07:11:138,874524,874524,0,0,40759113,0,3173 89,3,2024-09-07 10:07:11:793,1,693,1,0,729,12300,693,0 90,0,2024-09-07 10:07:11:632,168950,0.5,173454,0.7,354342,0.5,462335,2.00 90,1,2024-09-07 10:07:10:606,1215252,1215252,0,0,571289349903,5984986496,1202798,11184,1270,380,391825,0 90,2,2024-09-07 10:07:11:473,870744,870739,5,0,43983616,0,6370 90,3,2024-09-07 10:07:10:935,1,693,103,0,364,9165,693,0 91,0,2024-09-07 10:07:10:960,176276,0.5,170999,0.6,357661,0.4,468994,1.75 91,1,2024-09-07 10:07:10:566,1212404,1212404,0,0,570260876887,6013115661,1193692,14564,4148,381,392047,0 91,2,2024-09-07 10:07:11:336,875213,875213,0,0,39281390,0,4713 91,3,2024-09-07 10:07:10:606,1,693,32,0,231,6508,693,0 92,0,2024-09-07 10:07:11:468,173567,0.4,177572,0.6,338458,0.4,458859,1.75 92,1,2024-09-07 10:07:10:579,1216419,1216419,0,0,571252220024,5967733059,1206926,8181,1312,381,392136,0 92,2,2024-09-07 10:07:11:353,878678,878678,0,0,35935096,0,3906 92,3,2024-09-07 10:07:11:013,1,693,1,0,322,6229,693,0 93,0,2024-09-07 10:07:10:962,172510,0.4,176834,0.6,337396,0.3,457704,1.75 93,1,2024-09-07 10:07:10:806,1217086,1217086,0,0,571568488196,5972814962,1202685,11965,2436,365,392048,0 93,2,2024-09-07 10:07:10:927,877416,877416,0,0,41333061,0,4913 93,3,2024-09-07 10:07:11:407,1,693,2,0,788,8606,693,0 94,0,2024-09-07 10:07:11:609,173883,0.4,174968,0.6,350473,0.4,464720,1.75 94,1,2024-09-07 10:07:10:565,1218402,1218402,0,0,572071051725,5966364384,1210122,7630,650,381,391850,0 94,2,2024-09-07 10:07:10:777,873978,873950,28,0,35963907,0,6179 94,3,2024-09-07 10:07:11:701,1,693,9,0,576,8363,693,0 95,0,2024-09-07 10:07:11:355,175975,0.4,175715,0.5,351797,0.3,468739,1.75 95,1,2024-09-07 10:07:10:851,1218930,1218930,0,0,571932833526,5943457935,1209564,8554,812,365,391852,0 95,2,2024-09-07 10:07:11:022,873298,873298,0,0,36106068,0,3308 95,3,2024-09-07 10:07:11:714,1,693,2,0,718,10489,693,0 96,0,2024-09-07 10:07:11:044,174332,0.3,174612,0.5,349428,0.3,464461,1.75 96,1,2024-09-07 10:07:11:588,1216404,1216404,0,0,571214897431,5960445487,1207484,7542,1378,384,391964,0 96,2,2024-09-07 10:07:11:291,881547,881547,0,0,36630743,0,4225 96,3,2024-09-07 10:07:11:142,1,693,1,0,411,7722,693,0 97,0,2024-09-07 10:07:11:323,169334,0.3,169247,0.5,339199,0.3,451231,1.50 97,1,2024-09-07 10:07:10:808,1219511,1219511,0,0,572870887557,5944634622,1211582,6686,1243,367,392140,0 97,2,2024-09-07 10:07:10:622,879968,879968,0,0,36668344,0,4600 97,3,2024-09-07 10:07:10:577,1,693,9,0,433,8454,693,0 98,0,2024-09-07 10:07:11:731,175329,0.3,175398,0.5,352291,0.3,468197,1.50 98,1,2024-09-07 10:07:10:570,1218180,1218180,0,0,571667583131,5954300525,1210738,6543,899,381,391997,0 98,2,2024-09-07 10:07:10:778,872491,872491,0,0,34925939,0,4336 98,3,2024-09-07 10:07:10:713,1,693,1,0,840,9646,693,0 99,0,2024-09-07 10:07:11:456,176113,0.3,176919,0.5,352555,0.3,470001,1.75 99,1,2024-09-07 10:07:11:741,1219224,1219224,0,0,571382259011,5947255061,1211436,6679,1109,380,392069,0 99,2,2024-09-07 10:07:11:422,873885,873885,0,0,44096609,0,4276 99,3,2024-09-07 10:07:10:590,1,693,1,0,1124,8727,693,0 100,0,2024-09-07 10:07:11:460,174066,0.8,174661,0.9,349070,0.8,465253,2.50 100,1,2024-09-07 10:07:10:554,1211942,1211942,0,0,568456408893,6012353426,1192848,15299,3795,378,391989,0 100,2,2024-09-07 10:07:11:830,875229,874840,389,0,45840944,0,16909 100,3,2024-09-07 10:07:11:740,1,693,0,0,627,10760,693,0 101,0,2024-09-07 10:07:11:706,173133,0.9,168840,1.0,330364,0.8,451062,2.25 101,1,2024-09-07 10:07:10:551,1213925,1213925,0,0,569819106126,5988647497,1197350,13623,2952,368,392018,0 101,2,2024-09-07 10:07:11:769,873264,873225,39,0,47548258,0,5913 101,3,2024-09-07 10:07:10:965,1,693,3,0,1250,10681,693,0 102,0,2024-09-07 10:07:10:952,169866,0.6,174994,0.7,355564,0.6,464739,2.25 102,1,2024-09-07 10:07:11:143,1213899,1213899,0,0,570205264952,5987315121,1198078,13565,2256,369,391984,0 102,2,2024-09-07 10:07:11:742,874287,874233,54,0,39240379,0,6768 102,3,2024-09-07 10:07:11:618,1,693,1,0,466,8067,693,0 103,0,2024-09-07 10:07:11:606,180124,0.7,180099,0.8,339379,0.8,467081,2.25 103,1,2024-09-07 10:07:11:631,1212716,1212716,0,0,569217819654,6008571122,1193806,15284,3626,381,392077,0 103,2,2024-09-07 10:07:10:590,872886,872886,0,0,42776813,0,3766 103,3,2024-09-07 10:07:10:762,1,693,6,0,916,8221,693,0 104,0,2024-09-07 10:07:11:065,174304,0.7,174669,0.9,348615,0.7,465849,2.25 104,1,2024-09-07 10:07:11:600,1214794,1214794,0,0,569597680135,5986687104,1196360,14974,3460,365,392168,0 104,2,2024-09-07 10:07:11:672,874673,874673,0,0,41807878,0,4161 104,3,2024-09-07 10:07:11:423,1,693,6,0,1245,11751,693,0 105,0,2024-09-07 10:07:11:041,168553,0.8,164170,1.0,344274,0.9,452154,2.50 105,1,2024-09-07 10:07:10:573,1217020,1217020,0,0,570086592982,5970140514,1202247,12658,2115,364,392009,0 105,2,2024-09-07 10:07:11:329,877041,877041,0,0,43072259,0,4360 105,3,2024-09-07 10:07:11:313,1,693,32,0,573,10303,693,0 106,0,2024-09-07 10:07:10:994,166876,0.9,171068,1.0,349812,1.1,457733,2.50 106,1,2024-09-07 10:07:11:752,1215119,1215119,0,0,570078745121,5979689905,1198692,14276,2151,368,391914,0 106,2,2024-09-07 10:07:10:772,876350,876350,0,0,39722403,0,3331 106,3,2024-09-07 10:07:10:686,1,693,1,0,1224,9620,693,0 107,0,2024-09-07 10:07:11:101,174172,1.3,174148,1.1,348471,1.9,464925,2.25 107,1,2024-09-07 10:07:10:589,1212649,1212649,0,0,568577603798,6008009579,1193550,16843,2256,381,392234,0 107,2,2024-09-07 10:07:11:299,870386,870385,1,0,43306348,0,5024 107,3,2024-09-07 10:07:11:767,1,693,8,0,733,10914,693,0 108,0,2024-09-07 10:07:11:786,175441,0.4,176298,0.6,351586,0.4,468077,1.75 108,1,2024-09-07 10:07:11:320,1216278,1216278,0,0,571489605847,5967648922,1204807,10209,1262,367,391894,0 108,2,2024-09-07 10:07:11:783,875356,875356,0,0,38768877,0,4432 108,3,2024-09-07 10:07:11:340,1,693,10,0,767,12520,693,0 109,0,2024-09-07 10:07:11:787,174238,0.4,172863,0.6,346811,0.3,462175,1.75 109,1,2024-09-07 10:07:10:590,1214402,1214402,0,0,570515307945,5985611569,1203750,9146,1506,382,392132,0 109,2,2024-09-07 10:07:10:925,877994,877994,0,0,38818297,0,3617 109,3,2024-09-07 10:07:11:152,1,693,1,0,630,7960,693,0 110,0,2024-09-07 10:07:11:766,170054,0.4,165330,0.6,346270,0.3,454795,1.75 110,1,2024-09-07 10:07:11:650,1218944,1218944,0,0,572489874543,5945046991,1209479,7380,2085,368,392045,0 110,2,2024-09-07 10:07:11:310,878774,878774,0,0,37959001,0,4067 110,3,2024-09-07 10:07:10:696,1,693,8,0,722,9422,693,0 111,0,2024-09-07 10:07:11:413,176120,0.4,175298,0.6,350178,0.4,467171,1.75 111,1,2024-09-07 10:07:11:000,1220197,1220197,0,0,572795051999,5947731707,1212947,6743,507,380,391690,0 111,2,2024-09-07 10:07:11:116,873127,873127,0,0,37287953,0,4823 111,3,2024-09-07 10:07:10:918,1,693,1,0,379,7831,693,0 112,0,2024-09-07 10:07:10:909,176922,0.3,176577,0.4,353907,0.2,470214,1.50 112,1,2024-09-07 10:07:10:824,1219065,1219065,0,0,572253571776,5939615316,1211440,6399,1226,380,391624,0 112,2,2024-09-07 10:07:11:138,875076,875075,1,0,35208121,0,5036 112,3,2024-09-07 10:07:10:602,1,693,0,0,282,6835,693,0 113,0,2024-09-07 10:07:10:874,174094,0.3,174477,0.5,349172,0.2,464483,1.75 113,1,2024-09-07 10:07:11:692,1222694,1222694,0,0,573940538344,5920736589,1215782,5961,951,365,391664,0 113,2,2024-09-07 10:07:11:307,883165,883165,0,0,32632765,0,3813 113,3,2024-09-07 10:07:10:685,1,693,1,0,510,6999,693,0 114,0,2024-09-07 10:07:10:883,169701,0.3,170902,0.5,340823,0.2,455181,1.75 114,1,2024-09-07 10:07:10:724,1218522,1218522,0,0,572444259252,5943534094,1209695,7023,1804,381,391565,0 114,2,2024-09-07 10:07:10:876,880698,880697,1,0,35050175,0,5069 114,3,2024-09-07 10:07:11:293,1,693,0,0,415,5686,693,0 115,0,2024-09-07 10:07:10:562,175605,0.3,176153,0.4,351043,0.2,467502,1.50 115,1,2024-09-07 10:07:10:570,1219859,1219859,0,0,572893063934,5950802377,1210304,7763,1792,382,391757,0 115,2,2024-09-07 10:07:11:127,874448,874448,0,0,34880070,0,4382 115,3,2024-09-07 10:07:11:002,1,693,1,0,173,4409,693,0 116,0,2024-09-07 10:07:11:713,174361,0.8,174437,0.9,349362,0.8,467225,2.25 116,1,2024-09-07 10:07:10:810,1213013,1213013,0,0,569916254184,6002980983,1198943,10854,3216,380,392089,0 116,2,2024-09-07 10:07:11:751,874828,874828,0,0,42310237,0,4475 116,3,2024-09-07 10:07:10:911,1,693,1,0,448,8887,693,0 117,0,2024-09-07 10:07:10:973,175505,0.6,175184,0.8,350779,0.6,468240,2.00 117,1,2024-09-07 10:07:11:592,1215620,1215620,0,0,570500984173,5976056360,1201768,12088,1764,369,392429,0 117,2,2024-09-07 10:07:11:117,879206,879206,0,0,38881077,0,4303 117,3,2024-09-07 10:07:11:063,1,693,1,0,490,8477,693,0 118,0,2024-09-07 10:07:11:782,164823,0.5,169268,0.7,345451,0.5,451798,2.00 118,1,2024-09-07 10:07:10:606,1213699,1213699,0,0,569576738736,5985400692,1196194,13660,3845,366,392054,0 118,2,2024-09-07 10:07:11:599,878105,878105,0,0,41391384,0,3161 118,3,2024-09-07 10:07:11:766,1,693,2,0,343,8245,693,0 119,0,2024-09-07 10:07:11:355,173945,0.7,174166,0.8,347948,0.7,464274,2.25 119,1,2024-09-07 10:07:10:550,1214784,1214784,0,0,570251101501,5979192434,1198765,13351,2668,367,391857,0 119,2,2024-09-07 10:07:11:265,875302,875302,0,0,38218847,0,4309 119,3,2024-09-07 10:07:11:329,1,693,1,0,1358,12193,693,0 120,0,2024-09-07 10:07:11:541,173272,0.7,173250,0.9,347603,0.8,463674,2.50 120,1,2024-09-07 10:07:10:869,1215863,1215863,0,0,569986054675,5975668838,1203195,11510,1158,367,392144,0 120,2,2024-09-07 10:07:10:787,873445,873442,3,0,45871432,0,5363 120,3,2024-09-07 10:07:11:308,1,693,4,0,478,8881,693,0 121,0,2024-09-07 10:07:11:698,175690,1.1,175162,1.0,350451,1.4,466853,2.25 121,1,2024-09-07 10:07:11:659,1215417,1215417,0,0,570524737005,5971909391,1201892,11528,1997,366,391840,0 121,2,2024-09-07 10:07:11:127,875693,875693,0,0,41685027,0,4157 121,3,2024-09-07 10:07:10:729,1,693,3,0,387,9247,693,0 122,0,2024-09-07 10:07:11:792,171384,0.7,166811,0.8,348870,0.8,458272,2.00 122,1,2024-09-07 10:07:10:878,1214729,1214729,0,0,570159912433,5979739366,1198455,13696,2578,365,392130,0 122,2,2024-09-07 10:07:11:322,878530,878455,75,0,45874732,0,5989 122,3,2024-09-07 10:07:10:608,1,693,1,0,512,10504,693,0 123,0,2024-09-07 10:07:11:041,170463,0.8,166177,0.8,348415,0.9,455807,2.25 123,1,2024-09-07 10:07:10:567,1215695,1215695,0,0,570335650259,5996024566,1196605,16062,3028,369,392039,0 123,2,2024-09-07 10:07:11:020,876658,876657,1,0,39381618,0,5215 123,3,2024-09-07 10:07:11:138,1,693,9,0,478,7782,693,0 124,0,2024-09-07 10:07:10:922,179518,0.4,179563,0.5,338287,0.3,465595,1.75 124,1,2024-09-07 10:07:11:028,1219084,1219084,0,0,572042141697,5948811839,1209549,8274,1261,365,392178,0 124,2,2024-09-07 10:07:11:013,875828,875775,53,0,36570648,0,6487 124,3,2024-09-07 10:07:10:764,1,693,1,0,490,7220,693,0 125,0,2024-09-07 10:07:11:440,175912,0.4,175769,0.6,352235,0.3,469209,1.75 125,1,2024-09-07 10:07:10:855,1215889,1215889,0,0,570810005064,5965456652,1204844,9461,1584,382,392045,0 125,2,2024-09-07 10:07:11:127,877421,877421,0,0,36124769,0,4534 125,3,2024-09-07 10:07:11:126,1,693,0,0,709,7685,693,0 126,0,2024-09-07 10:07:11:427,174718,0.4,179657,0.5,343308,0.3,465202,1.75 126,1,2024-09-07 10:07:10:552,1219493,1219493,0,0,572542685513,5933085789,1212217,6574,702,365,391987,0 126,2,2024-09-07 10:07:10:619,881551,881551,0,0,37899716,0,4539 126,3,2024-09-07 10:07:10:921,1,693,15,0,268,7857,693,0 127,0,2024-09-07 10:07:11:626,169608,0.3,170010,0.5,339142,0.3,451532,1.75 127,1,2024-09-07 10:07:10:569,1218247,1218247,0,0,571443702363,5946872733,1205790,10681,1776,364,392187,0 127,2,2024-09-07 10:07:10:643,879650,879646,4,0,35823478,0,5305 127,3,2024-09-07 10:07:11:274,1,693,2,0,968,6828,693,0 128,0,2024-09-07 10:07:11:529,176494,0.3,176388,0.4,352990,0.2,469105,1.50 128,1,2024-09-07 10:07:11:615,1217489,1217489,0,0,572257888012,5949697366,1208501,8016,972,367,392423,0 128,2,2024-09-07 10:07:11:387,874868,874868,0,0,33300989,0,3171 128,3,2024-09-07 10:07:10:766,1,693,2,0,1082,10341,693,0 129,0,2024-09-07 10:07:11:004,177403,0.3,176603,0.5,354196,0.3,470750,1.50 129,1,2024-09-07 10:07:10:574,1214401,1214401,0,0,569949401731,5978906377,1201456,10615,2330,379,391962,0 129,2,2024-09-07 10:07:10:705,876581,876577,4,0,36087740,0,5335 129,3,2024-09-07 10:07:10:701,1,693,4,0,506,9943,693,0 130,0,2024-09-07 10:07:11:719,175291,0.4,175146,0.5,350598,0.4,466781,1.75 130,1,2024-09-07 10:07:10:597,1219242,1219242,0,0,572160153209,5941137708,1213395,5440,407,381,391825,0 130,2,2024-09-07 10:07:11:124,879891,879891,0,0,35906179,0,4067 130,3,2024-09-07 10:07:11:296,1,693,1,0,960,8906,693,0 131,0,2024-09-07 10:07:11:942,169339,0.3,169846,0.5,340595,0.3,452855,1.50 131,1,2024-09-07 10:07:11:830,1218320,1218320,0,0,572128106564,5961621321,1210167,6942,1211,381,391865,0 131,2,2024-09-07 10:07:10:569,879107,879107,0,0,34163345,0,3979 131,3,2024-09-07 10:07:11:692,1,693,9,0,392,8679,693,0 132,0,2024-09-07 10:07:11:425,175206,0.5,176117,0.6,350581,0.4,466828,2.00 132,1,2024-09-07 10:07:10:581,1214049,1214049,0,0,569844135628,5994555783,1197546,13721,2782,381,392532,0 132,2,2024-09-07 10:07:10:720,873360,873343,17,0,42666789,0,6451 132,3,2024-09-07 10:07:11:696,1,693,1,0,1298,11822,693,0 133,0,2024-09-07 10:07:11:533,170310,0.5,174429,0.6,357534,0.4,465319,2.00 133,1,2024-09-07 10:07:10:593,1213708,1213708,0,0,570166503563,6003238012,1198255,13518,1935,383,391914,0 133,2,2024-09-07 10:07:11:089,875578,875528,50,0,43753801,0,6861 133,3,2024-09-07 10:07:11:308,1,693,168,0,528,8213,693,0 134,0,2024-09-07 10:07:10:947,176318,0.5,176219,0.7,352246,0.5,469071,2.00 134,1,2024-09-07 10:07:10:593,1215144,1215144,0,0,569868206612,5978660843,1199057,12795,3292,366,391781,0 134,2,2024-09-07 10:07:11:761,876130,875991,139,0,41562364,0,7591 134,3,2024-09-07 10:07:10:753,1,693,0,0,739,8489,693,0 135,0,2024-09-07 10:07:11:123,165096,0.7,165048,0.8,350398,0.7,451623,2.25 135,1,2024-09-07 10:07:11:604,1214665,1214665,0,0,570384956349,5994058843,1200262,12551,1852,380,392038,0 135,2,2024-09-07 10:07:10:703,879447,879447,0,0,41977121,0,4503 135,3,2024-09-07 10:07:11:009,1,693,2,0,900,6664,693,0 136,0,2024-09-07 10:07:11:640,172942,0.6,173447,0.8,345126,0.6,461011,2.00 136,1,2024-09-07 10:07:11:447,1216039,1216039,0,0,570313193577,5974006924,1203206,11407,1426,381,392135,0 136,2,2024-09-07 10:07:11:141,878440,878425,15,0,40909947,0,6007 136,3,2024-09-07 10:07:11:109,1,693,0,0,637,8131,693,0 137,0,2024-09-07 10:07:10:940,179951,0.6,175430,0.8,343483,0.7,466568,2.00 137,1,2024-09-07 10:07:10:577,1214929,1214929,0,0,570555828630,5980782276,1196496,14794,3639,366,391898,0 137,2,2024-09-07 10:07:11:739,873331,873331,0,0,41909291,0,3185 137,3,2024-09-07 10:07:10:772,1,693,21,0,484,9790,693,0 138,0,2024-09-07 10:07:11:771,174804,0.9,175128,0.9,350720,1.1,466359,2.25 138,1,2024-09-07 10:07:11:692,1214542,1214542,0,0,570674552458,5987518385,1197406,14445,2691,368,391954,0 138,2,2024-09-07 10:07:10:586,873751,873751,0,0,40396138,0,4988 138,3,2024-09-07 10:07:10:618,1,693,1,0,1200,10349,693,0 139,0,2024-09-07 10:07:11:411,172158,0.9,172840,0.9,345424,1.1,460557,2.25 139,1,2024-09-07 10:07:10:686,1210989,1210989,0,0,567262258397,6008437861,1191086,15989,3914,380,392109,0 139,2,2024-09-07 10:07:10:704,873854,873824,30,0,45984441,0,5997 139,3,2024-09-07 10:07:11:662,1,693,2,0,432,8193,693,0 140,0,2024-09-07 10:07:11:591,170694,0.3,170055,0.5,341031,0.2,454961,1.75 140,1,2024-09-07 10:07:11:542,1222024,1222024,0,0,574027124260,5924366255,1215222,5999,803,364,391628,0 140,2,2024-09-07 10:07:10:688,879194,879193,1,0,34589785,0,5036 140,3,2024-09-07 10:07:10:768,1,693,1,0,575,6973,693,0 141,0,2024-09-07 10:07:11:739,176010,0.3,180666,0.5,345177,0.3,466800,1.75 141,1,2024-09-07 10:07:10:859,1219373,1219373,0,0,572757345101,5954070358,1209027,8953,1393,379,391614,0 141,2,2024-09-07 10:07:11:690,873873,873862,11,0,37076274,0,5369 141,3,2024-09-07 10:07:11:051,1,693,3,0,391,8115,693,0 142,0,2024-09-07 10:07:11:309,177255,0.3,176430,0.5,353225,0.3,471070,1.50 142,1,2024-09-07 10:07:10:593,1217404,1217404,0,0,571611539149,5965185151,1208349,8161,894,382,392102,0 142,2,2024-09-07 10:07:11:309,874030,873998,32,0,36720390,0,6028 142,3,2024-09-07 10:07:11:768,1,693,1,0,484,7047,693,0 143,0,2024-09-07 10:07:11:385,174238,0.4,174067,0.5,349305,0.4,464455,1.75 143,1,2024-09-07 10:07:10:558,1220381,1220381,0,0,572398306895,5941694349,1211976,7434,971,367,391900,0 143,2,2024-09-07 10:07:10:786,881693,881693,0,0,36354085,0,3123 143,3,2024-09-07 10:07:11:150,1,693,1,0,462,8100,693,0 144,0,2024-09-07 10:07:11:516,164577,0.5,169337,0.7,344697,0.5,451398,2.00 144,1,2024-09-07 10:07:10:570,1214359,1214359,0,0,570250684666,5982551828,1202612,9703,2044,381,391733,0 144,2,2024-09-07 10:07:11:770,880046,880046,0,0,35955509,0,4443 144,3,2024-09-07 10:07:11:744,1,693,2,0,306,7437,693,0 145,0,2024-09-07 10:07:11:401,169317,0.6,169261,0.8,359353,0.5,462835,2.25 145,1,2024-09-07 10:07:10:554,1213332,1213332,0,0,570006585251,5998257930,1197129,13235,2968,382,391781,0 145,2,2024-09-07 10:07:11:429,871969,871887,82,0,41738257,0,7814 145,3,2024-09-07 10:07:10:904,1,693,50,0,622,9374,693,0 146,0,2024-09-07 10:07:11:591,174926,0.7,174032,0.8,349946,0.7,465395,2.25 146,1,2024-09-07 10:07:11:600,1214958,1214958,0,0,569591679796,5989659251,1195817,14215,4926,367,391829,0 146,2,2024-09-07 10:07:11:697,873133,873127,6,0,39839137,0,5151 146,3,2024-09-07 10:07:11:275,1,693,1,0,1520,10886,693,0 147,0,2024-09-07 10:07:11:708,175551,0.6,175259,0.7,350443,0.5,467329,2.00 147,1,2024-09-07 10:07:11:382,1219001,1219001,0,0,572037774573,5947003154,1209044,8859,1098,367,391791,0 147,2,2024-09-07 10:07:11:009,877521,877521,0,0,37703219,0,4531 147,3,2024-09-07 10:07:10:919,1,693,3,0,1626,10389,693,0 0,0,2024-09-07 10:07:21:754,169322,0.7,169361,0.8,359463,0.8,464550,2.00 0,1,2024-09-07 10:07:20:802,1217747,1217747,0,0,571136198570,5978830528,1207864,8908,975,368,391896,0 0,2,2024-09-07 10:07:21:072,877014,877014,0,0,35581733,0,4480 0,3,2024-09-07 10:07:20:975,1,694,16,0,538,9926,694,0 1,0,2024-09-07 10:07:21:780,175648,0.8,174694,0.9,350494,1.0,468793,2.00 1,1,2024-09-07 10:07:20:566,1216822,1216822,0,0,570935285627,5981978381,1205421,9430,1971,370,391859,0 1,2,2024-09-07 10:07:20:647,878526,878526,0,0,35151485,0,3380 1,3,2024-09-07 10:07:21:312,1,694,8,0,269,8257,694,0 2,0,2024-09-07 10:07:21:570,171998,0.6,171747,0.7,343200,0.6,457458,2.00 2,1,2024-09-07 10:07:20:867,1221091,1221091,0,0,572670382226,5954879787,1214160,5970,961,379,391805,0 2,2,2024-09-07 10:07:21:275,880707,880707,0,0,33961839,0,3594 2,3,2024-09-07 10:07:20:693,1,694,1,0,357,6127,694,0 3,0,2024-09-07 10:07:21:755,171894,0.4,171738,0.6,343074,0.4,457749,2.00 3,1,2024-09-07 10:07:21:626,1218612,1218612,0,0,571902428102,5949064410,1210018,7876,718,379,391716,0 3,2,2024-09-07 10:07:21:150,880425,880402,23,0,34682857,0,5851 3,3,2024-09-07 10:07:21:753,1,694,1,0,484,5244,694,0 4,0,2024-09-07 10:07:21:768,169619,0.4,174196,0.5,355395,0.4,464366,1.75 4,1,2024-09-07 10:07:20:595,1213407,1213407,0,0,569723117384,6025001357,1193514,15292,4601,369,391992,0 4,2,2024-09-07 10:07:21:047,872751,872751,0,0,42141505,0,4534 4,3,2024-09-07 10:07:21:043,1,694,4,0,448,9357,694,0 5,0,2024-09-07 10:07:21:383,176165,0.4,175876,0.6,352234,0.4,468840,1.75 5,1,2024-09-07 10:07:20:782,1214828,1214828,0,0,570454476417,6017278112,1197134,13456,4238,367,392005,0 5,2,2024-09-07 10:07:21:830,872477,872444,33,0,42463687,0,7631 5,3,2024-09-07 10:07:21:749,1,694,13,0,457,9148,694,0 6,0,2024-09-07 10:07:20:925,174522,0.5,173945,0.6,348294,0.4,463812,2.00 6,1,2024-09-07 10:07:20:754,1218129,1218129,0,0,571947300474,5970503390,1205955,10489,1685,379,391702,0 6,2,2024-09-07 10:07:21:116,881226,881208,18,0,39463873,0,5535 6,3,2024-09-07 10:07:21:278,1,694,2,0,710,7949,694,0 7,0,2024-09-07 10:07:21:533,169121,0.5,170392,0.7,338012,0.5,451300,2.00 7,1,2024-09-07 10:07:20:855,1215374,1215374,0,0,569990905498,5996187276,1197539,13698,4137,382,391747,0 7,2,2024-09-07 10:07:20:769,879036,878867,169,0,40213685,0,7706 7,3,2024-09-07 10:07:20:854,1,694,0,0,552,8173,694,0 8,0,2024-09-07 10:07:21:342,176226,0.4,175816,0.5,352229,0.4,469572,1.75 8,1,2024-09-07 10:07:21:020,1214332,1214332,0,0,570672227616,5999759487,1195473,14813,4046,366,392853,0 8,2,2024-09-07 10:07:20:811,869082,869080,2,0,45042234,0,5112 8,3,2024-09-07 10:07:20:597,1,694,18,0,772,10377,694,0 9,0,2024-09-07 10:07:21:119,176344,0.4,171298,0.5,358450,0.3,470700,1.75 9,1,2024-09-07 10:07:20:553,1214177,1214177,0,0,570141451576,6011472591,1194506,15321,4350,369,392001,0 9,2,2024-09-07 10:07:21:093,874372,874371,1,0,43017339,0,5281 9,3,2024-09-07 10:07:21:753,1,694,1,0,1273,11075,694,0 10,0,2024-09-07 10:07:21:602,174624,0.4,174257,0.5,349257,0.3,464808,1.75 10,1,2024-09-07 10:07:20:592,1216023,1216023,0,0,570834149820,5989966620,1197962,14327,3734,381,391981,0 10,2,2024-09-07 10:07:20:769,880526,880526,0,0,46211901,0,4713 10,3,2024-09-07 10:07:20:871,1,694,4,0,669,7368,694,0 11,0,2024-09-07 10:07:21:009,169608,0.4,164598,0.6,344394,0.4,453907,1.75 11,1,2024-09-07 10:07:20:571,1218288,1218288,0,0,571640585963,5992276975,1201402,12576,4310,383,391766,0 11,2,2024-09-07 10:07:21:123,878948,878948,0,0,39896337,0,4698 11,3,2024-09-07 10:07:21:305,1,694,4,0,843,8407,694,0 12,0,2024-09-07 10:07:20:941,176330,0.4,175965,0.6,351651,0.4,467908,1.75 12,1,2024-09-07 10:07:20:941,1217486,1217486,0,0,571077497390,5958794557,1207626,8829,1031,368,391960,0 12,2,2024-09-07 10:07:21:541,872494,872494,0,0,39781144,0,4390 12,3,2024-09-07 10:07:21:061,1,694,2,0,386,8562,694,0 13,0,2024-09-07 10:07:21:339,175829,0.4,175975,0.6,351407,0.4,468051,1.75 13,1,2024-09-07 10:07:21:540,1215040,1215040,0,0,570348735861,6003635426,1200875,11085,3080,382,391803,0 13,2,2024-09-07 10:07:20:595,877854,877854,0,0,36042445,0,3287 13,3,2024-09-07 10:07:21:765,1,694,22,0,522,9289,694,0 14,0,2024-09-07 10:07:20:561,175744,0.4,176810,0.6,351636,0.3,467801,1.75 14,1,2024-09-07 10:07:21:561,1223485,1223485,0,0,574321681796,5941227595,1214230,8105,1150,364,391673,0 14,2,2024-09-07 10:07:20:772,878858,878828,30,0,37151375,0,6104 14,3,2024-09-07 10:07:21:115,1,694,13,0,1168,7159,694,0 15,0,2024-09-07 10:07:21:553,170720,0.4,169820,0.6,340090,0.3,453890,1.75 15,1,2024-09-07 10:07:21:608,1219556,1219556,0,0,572407255767,5966034967,1208691,8769,2096,380,391619,0 15,2,2024-09-07 10:07:21:003,881840,881840,0,0,33236675,0,3622 15,3,2024-09-07 10:07:21:409,1,694,1,0,1126,8637,694,0 16,0,2024-09-07 10:07:21:061,172904,0.6,173860,0.8,346752,0.6,462272,2.00 16,1,2024-09-07 10:07:20:567,1219108,1219108,0,0,571841838804,5977963481,1208366,9198,1544,370,392194,0 16,2,2024-09-07 10:07:21:439,877028,877028,0,0,36325472,0,4719 16,3,2024-09-07 10:07:21:145,1,694,16,0,362,8577,694,0 17,0,2024-09-07 10:07:21:806,180072,0.7,175500,0.8,343836,0.7,467172,2.00 17,1,2024-09-07 10:07:20:568,1217422,1217422,0,0,570886298614,5983914144,1206299,9322,1801,368,392075,0 17,2,2024-09-07 10:07:21:674,878736,878735,1,0,37541142,0,5050 17,3,2024-09-07 10:07:20:574,1,694,1,0,518,9918,694,0 18,0,2024-09-07 10:07:20:941,174107,0.6,175244,0.8,348791,0.6,465721,2.25 18,1,2024-09-07 10:07:21:645,1222300,1222300,0,0,572969733560,5943906931,1214116,6753,1431,367,391725,0 18,2,2024-09-07 10:07:21:755,879117,879117,0,0,33962312,0,3541 18,3,2024-09-07 10:07:20:902,1,694,0,0,1059,6294,694,0 19,0,2024-09-07 10:07:21:566,172758,0.6,173212,0.8,345378,0.6,458503,2.00 19,1,2024-09-07 10:07:20:566,1221491,1221491,0,0,574249230949,5949858174,1212635,7695,1161,365,391777,0 19,2,2024-09-07 10:07:21:752,883502,883502,0,0,31800988,0,3988 19,3,2024-09-07 10:07:21:129,1,694,1,0,524,5534,694,0 20,0,2024-09-07 10:07:21:347,170575,0.5,170507,0.7,340789,0.5,455072,2.00 20,1,2024-09-07 10:07:20:567,1216745,1216745,0,0,571433486325,5988297891,1204467,10569,1709,369,391922,0 20,2,2024-09-07 10:07:20:928,879515,879515,0,0,40206279,0,4321 20,3,2024-09-07 10:07:20:591,1,694,1,0,468,11557,694,0 21,0,2024-09-07 10:07:21:135,175485,0.5,175537,0.7,350863,0.5,465560,2.00 21,1,2024-09-07 10:07:21:536,1214546,1214546,0,0,569843324481,6013134829,1195784,14769,3993,368,392016,0 21,2,2024-09-07 10:07:21:076,868850,868373,477,0,51377376,0,17074 21,3,2024-09-07 10:07:21:409,1,694,9,0,713,9482,694,0 22,0,2024-09-07 10:07:21:732,176348,0.5,176962,0.7,353553,0.4,469242,2.00 22,1,2024-09-07 10:07:21:023,1215887,1215887,0,0,570922815226,6004618052,1196777,15505,3605,381,391822,0 22,2,2024-09-07 10:07:20:761,874789,874763,26,0,38138745,0,6328 22,3,2024-09-07 10:07:21:075,1,694,12,0,228,5661,694,0 23,0,2024-09-07 10:07:21:393,174093,0.5,173941,0.6,347846,0.4,463887,2.00 23,1,2024-09-07 10:07:21:003,1217186,1217186,0,0,571216370535,6014558919,1195289,14727,7170,365,391690,0 23,2,2024-09-07 10:07:21:101,881726,881726,0,0,36446488,0,3773 23,3,2024-09-07 10:07:21:754,1,694,1,0,855,9764,694,0 24,0,2024-09-07 10:07:20:887,171098,0.4,169942,0.5,341656,0.3,454209,1.75 24,1,2024-09-07 10:07:20:580,1215870,1215870,0,0,571050987387,5976214476,1204266,9728,1876,367,392269,0 24,2,2024-09-07 10:07:21:073,879670,879667,3,0,42717683,0,6294 24,3,2024-09-07 10:07:21:692,1,694,2,0,468,9039,694,0 25,0,2024-09-07 10:07:21:395,180168,0.4,175029,0.6,344638,0.4,469891,2.00 25,1,2024-09-07 10:07:20:558,1214812,1214812,0,0,570123540366,6020938143,1194060,16757,3995,369,391928,0 25,2,2024-09-07 10:07:21:608,871545,871545,0,0,44309832,0,3978 25,3,2024-09-07 10:07:21:006,1,694,12,0,532,7582,694,0 26,0,2024-09-07 10:07:21:731,174788,0.4,170733,0.6,358159,0.4,467574,2.00 26,1,2024-09-07 10:07:21:544,1218087,1218087,0,0,571888400048,5984227008,1202382,12802,2903,380,391758,0 26,2,2024-09-07 10:07:20:859,875623,875623,0,0,45570591,0,4689 26,3,2024-09-07 10:07:21:712,1,694,8,0,796,8582,694,0 27,0,2024-09-07 10:07:21:724,175458,0.4,176179,0.6,350349,0.4,467290,2.25 27,1,2024-09-07 10:07:21:676,1219711,1219711,0,0,573247084901,5971934364,1208427,9662,1622,381,391626,0 27,2,2024-09-07 10:07:20:867,875880,875815,65,0,40459450,0,5699 27,3,2024-09-07 10:07:21:032,1,694,1,0,564,5915,694,0 28,0,2024-09-07 10:07:21:387,170285,0.4,170577,0.6,341062,0.3,454064,1.75 28,1,2024-09-07 10:07:20:808,1220136,1220136,0,0,573361375359,5983288310,1208665,9043,2428,382,391904,0 28,2,2024-09-07 10:07:21:765,879702,879702,0,0,37784938,0,4060 28,3,2024-09-07 10:07:21:784,1,694,6,0,502,7222,694,0 29,0,2024-09-07 10:07:21:362,179334,0.4,174579,0.6,342861,0.4,467695,1.75 29,1,2024-09-07 10:07:21:561,1223107,1223107,0,0,574670544663,5947122632,1215185,6901,1021,367,391809,0 29,2,2024-09-07 10:07:20:866,875344,875344,0,0,35135120,0,4986 29,3,2024-09-07 10:07:20:963,1,694,3,0,590,7835,694,0 30,0,2024-09-07 10:07:21:454,173219,0.6,168586,0.7,353321,0.5,461417,2.00 30,1,2024-09-07 10:07:20:571,1221995,1221995,0,0,573729794827,5958001804,1212181,8646,1168,380,391672,0 30,2,2024-09-07 10:07:21:278,876967,876967,0,0,34058459,0,4192 30,3,2024-09-07 10:07:20:581,1,694,4,0,519,7209,694,0 31,0,2024-09-07 10:07:21:762,175391,0.5,176243,0.7,351559,0.4,468785,2.00 31,1,2024-09-07 10:07:20:574,1225759,1225759,0,0,574721093025,5904192904,1217828,6662,1269,356,391712,0 31,2,2024-09-07 10:07:21:279,877547,877547,0,0,37502356,0,4470 31,3,2024-09-07 10:07:21:715,1,694,1,0,248,6118,694,0 32,0,2024-09-07 10:07:21:424,172114,0.3,173174,0.5,345009,0.2,458899,1.75 32,1,2024-09-07 10:07:20:804,1221671,1221671,0,0,573867204193,5964877824,1214178,6528,965,381,391646,0 32,2,2024-09-07 10:07:20:942,882362,882362,0,0,32867324,0,3922 32,3,2024-09-07 10:07:21:017,1,694,1,0,304,5844,694,0 33,0,2024-09-07 10:07:21:512,172664,0.3,172185,0.4,344390,0.2,459148,1.50 33,1,2024-09-07 10:07:20:575,1222803,1222803,0,0,574125121438,5945117024,1213182,8265,1356,368,391730,0 33,2,2024-09-07 10:07:20:762,879330,879295,35,0,36460607,0,7012 33,3,2024-09-07 10:07:20:909,1,694,1,0,329,6388,694,0 34,0,2024-09-07 10:07:20:929,174993,0.3,179910,0.5,344008,0.2,464293,1.75 34,1,2024-09-07 10:07:21:044,1225754,1225754,0,0,574802784254,5915043187,1221752,3793,209,367,391637,0 34,2,2024-09-07 10:07:21:005,876413,876413,0,0,33963263,0,4562 34,3,2024-09-07 10:07:21:696,1,694,0,0,541,6045,694,0 35,0,2024-09-07 10:07:20:861,175509,0.3,176126,0.5,353147,0.2,469792,1.75 35,1,2024-09-07 10:07:21:073,1221274,1221274,0,0,573144212850,5946924816,1212333,7388,1553,382,391769,0 35,2,2024-09-07 10:07:21:587,876224,876224,0,0,39641720,0,4055 35,3,2024-09-07 10:07:20:907,1,694,0,0,466,6569,694,0 36,0,2024-09-07 10:07:21:523,175022,0.4,175237,0.6,349915,0.4,465787,2.00 36,1,2024-09-07 10:07:20:583,1219759,1219759,0,0,572381824572,5974666869,1204979,12426,2354,366,391759,0 36,2,2024-09-07 10:07:21:755,880893,880893,0,0,39565498,0,3875 36,3,2024-09-07 10:07:20:862,1,694,19,0,556,8798,694,0 37,0,2024-09-07 10:07:21:391,169161,0.5,169120,0.7,338871,0.5,451970,2.25 37,1,2024-09-07 10:07:20:571,1219211,1219204,0,7,572325166788,5975098491,1205017,11072,3115,365,391770,0 37,2,2024-09-07 10:07:21:143,878328,878313,15,0,39676584,0,5815 37,3,2024-09-07 10:07:21:766,1,694,1,0,888,9495,694,0 38,0,2024-09-07 10:07:21:437,175075,0.5,169756,0.7,354875,0.4,465270,2.00 38,1,2024-09-07 10:07:21:609,1219601,1219601,0,0,571968001906,5978255953,1202763,13532,3306,368,391821,0 38,2,2024-09-07 10:07:20:762,874596,874549,47,0,39469461,0,6710 38,3,2024-09-07 10:07:21:007,1,694,1,0,689,7914,694,0 39,0,2024-09-07 10:07:21:763,179845,0.6,175737,0.7,343117,0.5,468259,2.00 39,1,2024-09-07 10:07:20:716,1217368,1217368,0,0,570893428962,5984256764,1197571,15564,4233,365,391865,0 39,2,2024-09-07 10:07:21:420,875703,875703,0,0,37810556,0,3478 39,3,2024-09-07 10:07:20:728,1,694,6,0,525,7678,694,0 40,0,2024-09-07 10:07:21:501,173147,0.8,173840,0.9,347019,0.9,463284,2.75 40,1,2024-09-07 10:07:20:576,1217982,1217982,0,0,571167438245,5985763554,1199178,15221,3583,366,391668,0 40,2,2024-09-07 10:07:21:312,878180,878173,7,0,43739225,0,5347 40,3,2024-09-07 10:07:21:142,1,694,1,0,1028,9833,694,0 41,0,2024-09-07 10:07:21:052,168840,1.1,172872,1.1,329644,1.5,447956,3.00 41,1,2024-09-07 10:07:20:778,1217993,1217993,0,0,572447458470,5985511642,1202283,13185,2525,369,391878,0 41,2,2024-09-07 10:07:20:765,876433,876432,1,0,42636509,0,5408 41,3,2024-09-07 10:07:21:676,1,694,1,0,749,8442,694,0 42,0,2024-09-07 10:07:21:490,173877,0.9,173601,1.0,347682,1.1,461225,2.75 42,1,2024-09-07 10:07:21:445,1215793,1215793,0,0,569780221591,5980417640,1198187,14522,3084,380,391675,0 42,2,2024-09-07 10:07:21:132,871445,871444,1,0,43786906,0,5513 42,3,2024-09-07 10:07:21:012,1,694,3,0,892,6981,694,0 43,0,2024-09-07 10:07:21:149,172620,0.8,167980,1.0,352032,0.9,460578,2.25 43,1,2024-09-07 10:07:20:577,1219029,1219029,0,0,572893158320,5982769408,1202919,13362,2748,365,391696,0 43,2,2024-09-07 10:07:21:740,877189,877189,0,0,40191215,0,4723 43,3,2024-09-07 10:07:21:751,1,694,4,0,571,9301,694,0 44,0,2024-09-07 10:07:20:909,175937,0.4,176090,0.6,351645,0.3,468811,1.75 44,1,2024-09-07 10:07:20:566,1222101,1222101,0,0,573867341966,5934766142,1210773,9177,2151,356,391809,0 44,2,2024-09-07 10:07:21:271,877269,877269,0,0,33804168,0,4344 44,3,2024-09-07 10:07:21:104,1,694,1,0,1097,8911,694,0 45,0,2024-09-07 10:07:21:773,168953,0.4,165009,0.7,346149,0.4,453972,2.00 45,1,2024-09-07 10:07:21:017,1221585,1221585,0,0,573494162770,5961589222,1212036,8328,1221,382,391917,0 45,2,2024-09-07 10:07:21:273,881172,881172,0,0,33948914,0,3596 45,3,2024-09-07 10:07:20:936,1,694,1,0,531,6478,694,0 46,0,2024-09-07 10:07:20:950,172405,0.5,171852,0.7,344765,0.5,459107,2.00 46,1,2024-09-07 10:07:20:575,1223447,1223447,0,0,574146918888,5940455101,1214171,7794,1482,366,391709,0 46,2,2024-09-07 10:07:20:592,878733,878733,0,0,34768129,0,4443 46,3,2024-09-07 10:07:21:132,1,694,1,0,908,7615,694,0 47,0,2024-09-07 10:07:21:102,175348,0.5,174873,0.6,351133,0.4,465331,2.00 47,1,2024-09-07 10:07:20:567,1224744,1224744,0,0,574132129382,5933856023,1217236,6495,1013,364,391666,0 47,2,2024-09-07 10:07:20:909,878512,878512,0,0,35135524,0,4477 47,3,2024-09-07 10:07:21:122,1,694,7,0,600,7524,694,0 48,0,2024-09-07 10:07:21:497,176188,0.3,176745,0.4,352108,0.2,468504,1.50 48,1,2024-09-07 10:07:21:039,1221174,1221174,0,0,572359323150,5947181683,1213503,6659,1012,381,391710,0 48,2,2024-09-07 10:07:20:709,877700,877700,0,0,32220993,0,3619 48,3,2024-09-07 10:07:20:761,1,694,1,0,339,5984,694,0 49,0,2024-09-07 10:07:21:725,178392,0.3,174710,0.5,339826,0.3,463145,1.75 49,1,2024-09-07 10:07:21:021,1220516,1220516,0,0,573042211298,5958094503,1212651,6167,1698,382,391809,0 49,2,2024-09-07 10:07:21:806,882440,882440,0,0,33674058,0,4426 49,3,2024-09-07 10:07:21:419,1,694,2,0,992,8089,694,0 50,0,2024-09-07 10:07:21:515,170976,0.3,169783,0.5,341809,0.2,454746,1.75 50,1,2024-09-07 10:07:21:017,1223645,1223645,0,0,574686488997,5940974456,1216089,6824,732,368,391691,0 50,2,2024-09-07 10:07:21:070,879018,879018,0,0,31632523,0,4490 50,3,2024-09-07 10:07:21:293,1,694,1,0,617,7210,694,0 51,0,2024-09-07 10:07:21:692,180157,0.3,176265,0.5,343277,0.3,467924,1.75 51,1,2024-09-07 10:07:21:685,1224883,1224883,0,0,576143711526,5940338049,1218182,5357,1344,365,391706,0 51,2,2024-09-07 10:07:21:324,875454,875454,0,0,31232690,0,3337 51,3,2024-09-07 10:07:21:034,1,694,6,0,678,5465,694,0 52,0,2024-09-07 10:07:21:423,176548,0.5,176401,0.7,352634,0.4,468896,2.00 52,1,2024-09-07 10:07:20:574,1218608,1218608,0,0,571537291858,5979748800,1201857,14324,2427,368,391805,0 52,2,2024-09-07 10:07:21:755,872447,872409,38,0,42614078,0,6742 52,3,2024-09-07 10:07:20:675,1,694,1,0,1782,7925,694,0 53,0,2024-09-07 10:07:21:750,173791,0.6,168669,0.8,353058,0.7,462391,2.25 53,1,2024-09-07 10:07:20:839,1218053,1218053,0,0,572393818678,5999818519,1198686,14937,4430,367,391968,0 53,2,2024-09-07 10:07:21:302,881423,881285,138,0,39065886,0,7690 53,3,2024-09-07 10:07:20:709,1,694,1,0,308,6498,694,0 54,0,2024-09-07 10:07:21:616,168182,0.5,168733,0.7,335762,0.4,448449,2.25 54,1,2024-09-07 10:07:20:580,1220473,1220473,0,0,573288032796,5958738184,1208675,10123,1675,366,391810,0 54,2,2024-09-07 10:07:20:865,880294,880262,32,0,42331789,0,6397 54,3,2024-09-07 10:07:20:764,1,694,5,0,676,8948,694,0 55,0,2024-09-07 10:07:21:761,169337,0.7,174482,0.8,354047,0.6,461828,2.50 55,1,2024-09-07 10:07:20:764,1220863,1220863,0,0,572358023874,5950413404,1208838,10538,1487,365,391731,0 55,2,2024-09-07 10:07:20:739,873109,873053,56,0,38587093,0,7239 55,3,2024-09-07 10:07:20:674,1,694,1,0,304,6279,694,0 56,0,2024-09-07 10:07:21:553,178614,1.2,168291,1.1,346869,1.6,464775,2.75 56,1,2024-09-07 10:07:20:578,1213508,1213508,0,0,569884455300,6022825030,1194016,15738,3754,381,391867,0 56,2,2024-09-07 10:07:21:313,874486,874364,122,0,41221628,0,7432 56,3,2024-09-07 10:07:21:071,1,694,15,0,705,8259,694,0 57,0,2024-09-07 10:07:20:939,173659,1.3,173655,1.2,346742,1.8,463465,3.00 57,1,2024-09-07 10:07:20:997,1216706,1216706,0,0,570877119571,5986868555,1200991,13011,2704,366,392097,0 57,2,2024-09-07 10:07:21:324,878923,878923,0,0,44218253,0,4804 57,3,2024-09-07 10:07:21:742,1,694,1,0,455,7419,694,0 58,0,2024-09-07 10:07:20:554,167815,0.9,163029,1.0,341186,1.0,446409,2.50 58,1,2024-09-07 10:07:20:575,1217758,1217755,0,3,572150172469,5997226221,1199392,13961,4402,367,391726,3 58,2,2024-09-07 10:07:21:074,880232,880232,0,0,40150490,0,3483 58,3,2024-09-07 10:07:21:080,1,694,1,0,1043,7483,694,0 59,0,2024-09-07 10:07:21:768,173323,0.8,172364,1.0,344826,0.9,458185,2.75 59,1,2024-09-07 10:07:20:804,1217392,1217392,0,0,571138709522,5997620514,1197904,15650,3838,369,391653,0 59,2,2024-09-07 10:07:20:582,875445,875445,0,0,38179032,0,3727 59,3,2024-09-07 10:07:21:742,1,694,1,0,1015,8139,694,0 60,0,2024-09-07 10:07:21:705,174111,0.5,174366,0.7,348651,0.5,464978,2.00 60,1,2024-09-07 10:07:20:772,1221007,1221007,0,0,573284016886,5970158363,1210077,9427,1503,370,392031,0 60,2,2024-09-07 10:07:21:143,877543,877543,0,0,36496688,0,3811 60,3,2024-09-07 10:07:21:258,1,694,0,0,409,7936,694,0 61,0,2024-09-07 10:07:21:501,175613,0.6,176349,0.8,351172,0.6,468321,2.00 61,1,2024-09-07 10:07:21:028,1217970,1217970,0,0,571799885829,5986696056,1205297,10822,1851,382,392127,0 61,2,2024-09-07 10:07:21:115,878738,878671,67,0,37456747,0,6411 61,3,2024-09-07 10:07:21:699,1,694,2,0,607,8564,694,0 62,0,2024-09-07 10:07:21:731,172745,0.5,177129,0.7,338075,0.5,458750,2.00 62,1,2024-09-07 10:07:21:124,1225501,1225495,0,6,575341992053,5939114067,1218240,6638,617,365,391975,6 62,2,2024-09-07 10:07:21:644,878012,878011,1,0,37195178,0,5555 62,3,2024-09-07 10:07:21:153,1,694,1,0,482,5429,694,0 63,0,2024-09-07 10:07:21:451,172463,0.4,172172,0.6,344997,0.3,459262,1.75 63,1,2024-09-07 10:07:20:806,1222528,1222522,0,6,573696579662,5959100381,1214608,6963,951,381,391800,6 63,2,2024-09-07 10:07:20:769,878207,878207,0,0,36352857,0,4369 63,3,2024-09-07 10:07:21:741,1,694,1,0,667,8073,694,0 64,0,2024-09-07 10:07:21:524,174026,0.5,173937,0.7,347499,0.5,462698,2.00 64,1,2024-09-07 10:07:20:753,1220600,1220600,0,0,573029195531,5964992042,1210387,8185,2028,370,391794,0 64,2,2024-09-07 10:07:21:141,878892,878873,19,0,34953370,0,6121 64,3,2024-09-07 10:07:21:139,1,694,1,0,651,7884,694,0 65,0,2024-09-07 10:07:21:720,174763,0.6,175050,0.7,349423,0.6,466093,2.00 65,1,2024-09-07 10:07:20:869,1219036,1219036,0,0,572023378736,5976457028,1210791,7347,898,381,391901,0 65,2,2024-09-07 10:07:21:702,875047,875047,0,0,41946689,0,3367 65,3,2024-09-07 10:07:21:685,1,694,4,0,782,8156,694,0 66,0,2024-09-07 10:07:21:771,174271,0.5,173601,0.7,347234,0.5,462318,2.00 66,1,2024-09-07 10:07:21:297,1220810,1220810,0,0,573353747559,5966254491,1213304,6632,874,380,391743,0 66,2,2024-09-07 10:07:21:132,883544,883541,3,0,36801245,0,5455 66,3,2024-09-07 10:07:21:082,1,694,0,0,291,6156,694,0 67,0,2024-09-07 10:07:21:423,169845,0.5,169452,0.7,339445,0.5,452466,2.00 67,1,2024-09-07 10:07:20:769,1220606,1220605,0,1,573168572997,5966639774,1212171,7294,1140,380,391787,1 67,2,2024-09-07 10:07:20:582,882682,882667,15,0,37270360,0,6205 67,3,2024-09-07 10:07:21:764,1,694,2,0,595,7330,694,0 68,0,2024-09-07 10:07:20:594,176023,0.6,175821,0.7,350105,0.6,468162,2.25 68,1,2024-09-07 10:07:20:569,1216158,1216158,0,0,570100599068,5997390699,1199430,12456,4272,381,391953,0 68,2,2024-09-07 10:07:21:045,869951,869851,100,0,45110769,0,8578 68,3,2024-09-07 10:07:20:738,1,694,106,0,417,9762,694,0 69,0,2024-09-07 10:07:21:729,175572,0.7,176075,0.8,351369,0.7,468091,2.25 69,1,2024-09-07 10:07:21:021,1215377,1215377,0,0,570308605886,6006278594,1200480,11914,2983,383,391994,0 69,2,2024-09-07 10:07:21:732,872666,872637,29,0,48260012,0,6912 69,3,2024-09-07 10:07:20:761,1,694,1,0,698,10370,694,0 70,0,2024-09-07 10:07:21:534,172895,0.7,173692,0.9,348146,0.6,461809,2.50 70,1,2024-09-07 10:07:20:806,1221966,1221966,0,0,574311697428,5960018362,1212499,8422,1045,366,391725,0 70,2,2024-09-07 10:07:21:323,880915,880915,0,0,39664606,0,4323 70,3,2024-09-07 10:07:20:757,1,694,0,0,854,7700,694,0 71,0,2024-09-07 10:07:21:375,168772,0.8,168406,0.9,337684,0.9,450601,2.50 71,1,2024-09-07 10:07:21:595,1219782,1219782,0,0,572528457223,5972364640,1205346,12650,1786,367,391738,0 71,2,2024-09-07 10:07:21:070,878671,878671,0,0,40133257,0,4352 71,3,2024-09-07 10:07:21:754,1,694,0,0,644,7628,694,0 72,0,2024-09-07 10:07:21:029,180724,0.5,176363,0.7,344799,0.5,468669,2.00 72,1,2024-09-07 10:07:21:029,1218052,1218052,0,0,570830538933,5983907272,1201192,14127,2733,369,391819,0 72,2,2024-09-07 10:07:21:766,872350,872350,0,0,41764318,0,3983 72,3,2024-09-07 10:07:21:754,1,694,1,0,564,9549,694,0 73,0,2024-09-07 10:07:21:106,170559,0.5,174852,0.6,357412,0.4,465657,2.00 73,1,2024-09-07 10:07:20:769,1219744,1219744,0,0,572720580210,5952858706,1210214,8465,1065,367,391858,0 73,2,2024-09-07 10:07:21:745,875532,875531,1,0,43789022,0,5027 73,3,2024-09-07 10:07:20:978,1,694,1,0,1091,9313,694,0 74,0,2024-09-07 10:07:21:339,176834,0.5,181091,0.7,345479,0.4,469157,2.00 74,1,2024-09-07 10:07:20:635,1218882,1218882,0,0,572227149015,5969440533,1206522,10212,2148,381,391762,0 74,2,2024-09-07 10:07:21:009,877984,877984,0,0,38143125,0,4253 74,3,2024-09-07 10:07:21:447,1,694,2,0,522,7906,694,0 75,0,2024-09-07 10:07:21:782,170818,0.5,169862,0.7,340890,0.4,455378,2.00 75,1,2024-09-07 10:07:21:587,1219830,1219830,0,0,572027347703,5959663344,1209947,8890,993,380,391739,0 75,2,2024-09-07 10:07:21:360,878743,878743,0,0,45238271,0,4766 75,3,2024-09-07 10:07:21:070,1,694,2,0,918,9529,694,0 76,0,2024-09-07 10:07:20:594,172749,0.6,172161,0.7,344120,0.5,460727,2.25 76,1,2024-09-07 10:07:20:811,1219341,1219341,0,0,571762545894,5965448967,1210445,7586,1310,382,391790,0 76,2,2024-09-07 10:07:21:064,879824,879821,3,0,38156255,0,5265 76,3,2024-09-07 10:07:21:164,1,694,5,0,249,6380,694,0 77,0,2024-09-07 10:07:21:715,174169,0.6,174509,0.7,349324,0.6,464286,2.00 77,1,2024-09-07 10:07:20:828,1218456,1218456,0,0,571682473173,5970402818,1208183,9185,1088,381,391869,0 77,2,2024-09-07 10:07:21:295,875599,875599,0,0,36768818,0,3890 77,3,2024-09-07 10:07:21:096,1,694,0,0,401,7837,694,0 78,0,2024-09-07 10:07:21:731,176470,0.4,175666,0.6,352794,0.4,466625,2.00 78,1,2024-09-07 10:07:20:618,1218527,1218527,0,0,571762271924,5967557459,1202991,12204,3332,367,391670,0 78,2,2024-09-07 10:07:21:416,878016,878003,13,0,34467798,0,8313 78,3,2024-09-07 10:07:21:132,1,694,1,0,311,5965,694,0 79,0,2024-09-07 10:07:21:355,167672,0.4,171744,0.6,351727,0.3,457608,2.00 79,1,2024-09-07 10:07:20:573,1222975,1222975,0,0,574252626058,5948167035,1213161,8012,1802,367,391682,0 79,2,2024-09-07 10:07:21:073,881914,881914,0,0,33513139,0,4195 79,3,2024-09-07 10:07:20:755,1,694,1,0,418,8002,694,0 80,0,2024-09-07 10:07:21:106,170793,0.5,175380,0.7,335000,0.5,453736,2.00 80,1,2024-09-07 10:07:21:630,1219483,1219483,0,0,572987817927,5965971238,1211501,7400,582,368,392269,0 80,2,2024-09-07 10:07:21:091,882351,882351,0,0,34083820,0,4433 80,3,2024-09-07 10:07:20:577,1,694,10,0,681,8348,694,0 81,0,2024-09-07 10:07:21:538,175543,0.6,179606,0.7,342562,0.6,464545,2.00 81,1,2024-09-07 10:07:21:650,1218679,1218679,0,0,571205123552,5970803283,1208810,8874,995,382,392001,0 81,2,2024-09-07 10:07:21:137,874613,874550,63,0,37886436,0,5932 81,3,2024-09-07 10:07:21:121,1,694,1,0,719,7628,694,0 82,0,2024-09-07 10:07:21:530,176504,0.5,176494,0.7,353644,0.5,469468,2.00 82,1,2024-09-07 10:07:20:592,1220543,1220539,0,4,572638522256,5957783275,1213603,5812,1124,381,391768,4 82,2,2024-09-07 10:07:21:691,878808,878808,0,0,31515090,0,4484 82,3,2024-09-07 10:07:21:752,1,694,1,0,363,6238,694,0 83,0,2024-09-07 10:07:21:527,174521,0.5,174337,0.7,348460,0.5,463051,2.00 83,1,2024-09-07 10:07:20:551,1219342,1219342,0,0,572217567237,5965370535,1210350,7964,1028,382,391709,0 83,2,2024-09-07 10:07:20:771,881786,881761,25,0,34424559,0,5612 83,3,2024-09-07 10:07:20:752,1,694,1,0,1260,8154,694,0 84,0,2024-09-07 10:07:21:786,168462,0.7,168594,0.9,337147,0.7,450344,2.25 84,1,2024-09-07 10:07:21:054,1217502,1217502,0,0,571280600752,5975150601,1203804,11613,2085,367,391967,0 84,2,2024-09-07 10:07:20:578,877606,877196,410,0,49663816,0,17037 84,3,2024-09-07 10:07:21:143,1,694,4,0,908,9647,694,0 85,0,2024-09-07 10:07:21:137,168537,0.6,168480,0.8,357696,0.6,462082,2.25 85,1,2024-09-07 10:07:20:558,1213632,1213632,0,0,569809655126,6011273361,1195845,14857,2930,381,392092,0 85,2,2024-09-07 10:07:20:872,873263,873263,0,0,41907386,0,4255 85,3,2024-09-07 10:07:20:690,1,694,2,0,789,7815,694,0 86,0,2024-09-07 10:07:20:876,174559,0.7,179625,0.8,343974,0.8,465447,2.25 86,1,2024-09-07 10:07:20:845,1216285,1216285,0,0,571128946339,5997633797,1198596,14373,3316,366,392169,0 86,2,2024-09-07 10:07:20:855,875273,875272,1,0,44056567,0,5004 86,3,2024-09-07 10:07:20:586,1,694,1,0,441,9613,694,0 87,0,2024-09-07 10:07:21:316,175942,0.8,174693,0.8,349986,0.9,467281,2.25 87,1,2024-09-07 10:07:20:626,1216804,1216804,0,0,570856971586,5978424189,1201872,12770,2162,366,392076,0 87,2,2024-09-07 10:07:21:079,878377,878371,6,0,41409388,0,6323 87,3,2024-09-07 10:07:21:807,1,694,8,0,473,9802,694,0 88,0,2024-09-07 10:07:21:466,170142,0.4,170621,0.6,341248,0.4,454200,1.75 88,1,2024-09-07 10:07:20:570,1215477,1215477,0,0,570764116414,5979459881,1198666,13672,3139,365,392084,0 88,2,2024-09-07 10:07:20:688,879498,879498,0,0,43381478,0,4465 88,3,2024-09-07 10:07:21:274,1,694,22,0,1080,11123,694,0 89,0,2024-09-07 10:07:21:776,179412,0.4,174177,0.6,343175,0.4,466967,1.75 89,1,2024-09-07 10:07:20:553,1215652,1215652,0,0,571190267547,6004603290,1200975,12398,2279,382,391866,0 89,2,2024-09-07 10:07:21:132,875277,875277,0,0,40774473,0,3173 89,3,2024-09-07 10:07:21:792,1,694,10,0,729,12310,694,0 90,0,2024-09-07 10:07:21:629,169121,0.5,173603,0.7,354673,0.5,462775,2.00 90,1,2024-09-07 10:07:20:594,1217006,1217006,0,0,571888525045,5991250358,1204551,11185,1270,380,391825,0 90,2,2024-09-07 10:07:21:417,872178,872173,5,0,44018027,0,6370 90,3,2024-09-07 10:07:20:940,1,694,2,0,364,9167,694,0 91,0,2024-09-07 10:07:20:922,176376,0.5,171097,0.6,357861,0.4,469227,1.75 91,1,2024-09-07 10:07:20:558,1214258,1214258,0,0,571071241875,6021465821,1195546,14564,4148,381,392047,0 91,2,2024-09-07 10:07:21:344,876681,876681,0,0,39345108,0,4713 91,3,2024-09-07 10:07:20:598,1,694,7,0,231,6515,694,0 92,0,2024-09-07 10:07:21:548,173655,0.4,177651,0.6,338640,0.4,459180,1.75 92,1,2024-09-07 10:07:20:598,1218143,1218143,0,0,572018645081,5975555186,1208649,8182,1312,381,392136,0 92,2,2024-09-07 10:07:21:366,880086,880086,0,0,35948593,0,3906 92,3,2024-09-07 10:07:21:017,1,694,4,0,322,6233,694,0 93,0,2024-09-07 10:07:20:966,173014,0.4,177303,0.6,338356,0.3,459051,1.75 93,1,2024-09-07 10:07:20:808,1218867,1218867,0,0,572188918304,5979164657,1204466,11965,2436,365,392048,0 93,2,2024-09-07 10:07:20:928,878319,878319,0,0,41342517,0,4913 93,3,2024-09-07 10:07:21:406,1,694,1,0,788,8607,694,0 94,0,2024-09-07 10:07:21:624,173998,0.4,175066,0.6,350665,0.4,465012,1.75 94,1,2024-09-07 10:07:20:647,1220222,1220222,0,0,572984773515,5975671104,1211942,7630,650,381,391850,0 94,2,2024-09-07 10:07:20:777,875512,875484,28,0,35977887,0,6179 94,3,2024-09-07 10:07:21:692,1,694,1,0,576,8364,694,0 95,0,2024-09-07 10:07:21:355,176098,0.4,175860,0.5,352029,0.3,469003,1.75 95,1,2024-09-07 10:07:20:868,1220707,1220707,0,0,572830722346,5952556971,1211341,8554,812,365,391852,0 95,2,2024-09-07 10:07:21:022,874718,874718,0,0,36118613,0,3308 95,3,2024-09-07 10:07:21:715,1,694,2,0,718,10491,694,0 96,0,2024-09-07 10:07:21:070,174548,0.3,174866,0.5,349899,0.3,464973,1.75 96,1,2024-09-07 10:07:21:587,1218190,1218190,0,0,571867872942,5967158335,1209270,7542,1378,384,391964,0 96,2,2024-09-07 10:07:21:270,882597,882597,0,0,36649869,0,4225 96,3,2024-09-07 10:07:21:157,1,694,1,0,411,7723,694,0 97,0,2024-09-07 10:07:21:339,169754,0.3,169645,0.5,340005,0.3,452418,1.50 97,1,2024-09-07 10:07:21:000,1221305,1221305,0,0,573579761600,5951864393,1213375,6687,1243,367,392140,0 97,2,2024-09-07 10:07:20:621,881361,881361,0,0,36681688,0,4600 97,3,2024-09-07 10:07:20:600,1,694,10,0,433,8464,694,0 98,0,2024-09-07 10:07:21:699,175526,0.3,175603,0.5,352690,0.3,468482,1.50 98,1,2024-09-07 10:07:20:573,1219919,1219919,0,0,572347546604,5961284068,1212477,6543,899,381,391997,0 98,2,2024-09-07 10:07:20:771,873785,873785,0,0,34939489,0,4336 98,3,2024-09-07 10:07:20:711,1,694,2,0,840,9648,694,0 99,0,2024-09-07 10:07:21:448,176384,0.3,177214,0.5,353137,0.3,470783,1.75 99,1,2024-09-07 10:07:21:733,1220923,1220923,0,0,572166887756,5955259852,1213135,6679,1109,380,392069,0 99,2,2024-09-07 10:07:21:417,875208,875208,0,0,44115419,0,4276 99,3,2024-09-07 10:07:20:582,1,694,53,0,1124,8780,694,0 100,0,2024-09-07 10:07:21:470,174309,0.8,174863,0.9,349469,0.8,465856,2.50 100,1,2024-09-07 10:07:20:578,1213603,1213603,0,0,569248170615,6020888706,1194474,15334,3795,378,391989,0 100,2,2024-09-07 10:07:21:824,876501,876112,389,0,45957561,0,16909 100,3,2024-09-07 10:07:21:740,1,694,1,0,627,10761,694,0 101,0,2024-09-07 10:07:21:710,173553,0.9,169276,1.0,331166,0.8,452450,2.25 101,1,2024-09-07 10:07:20:573,1215686,1215686,0,0,570788644402,5998540034,1199111,13623,2952,368,392018,0 101,2,2024-09-07 10:07:21:779,874593,874554,39,0,47596928,0,5913 101,3,2024-09-07 10:07:20:978,1,694,12,0,1250,10693,694,0 102,0,2024-09-07 10:07:20:962,170142,0.6,175285,0.7,356195,0.6,465459,2.25 102,1,2024-09-07 10:07:21:144,1215751,1215751,0,0,571118166629,5996898541,1199926,13569,2256,369,391984,0 102,2,2024-09-07 10:07:21:745,875263,875209,54,0,39272887,0,6768 102,3,2024-09-07 10:07:21:621,1,694,4,0,466,8071,694,0 103,0,2024-09-07 10:07:21:597,180451,0.7,180426,0.8,339986,0.8,468006,2.25 103,1,2024-09-07 10:07:21:630,1214477,1214477,0,0,569905878476,6015799100,1195567,15284,3626,381,392077,0 103,2,2024-09-07 10:07:20:587,874232,874232,0,0,42825153,0,3766 103,3,2024-09-07 10:07:20:763,1,694,10,0,916,8231,694,0 104,0,2024-09-07 10:07:21:016,174460,0.7,174803,0.9,348929,0.7,466302,2.25 104,1,2024-09-07 10:07:21:621,1216553,1216553,0,0,570486919524,5995825041,1198118,14975,3460,365,392168,0 104,2,2024-09-07 10:07:21:684,876151,876151,0,0,41839275,0,4161 104,3,2024-09-07 10:07:21:424,1,694,4,0,1245,11755,694,0 105,0,2024-09-07 10:07:21:151,168826,0.8,164457,1.0,344892,0.9,453088,2.50 105,1,2024-09-07 10:07:20:555,1218761,1218761,0,0,570859477059,5978066372,1203987,12659,2115,364,392009,0 105,2,2024-09-07 10:07:21:328,878496,878496,0,0,43100141,0,4360 105,3,2024-09-07 10:07:21:307,1,694,13,0,573,10316,694,0 106,0,2024-09-07 10:07:20:998,167297,0.9,171526,1.0,350771,1.1,458895,2.50 106,1,2024-09-07 10:07:21:753,1216982,1216982,0,0,570951768505,5988635291,1200554,14277,2151,368,391914,0 106,2,2024-09-07 10:07:20:772,877079,877079,0,0,39738098,0,3331 106,3,2024-09-07 10:07:20:678,1,694,0,0,1224,9620,694,0 107,0,2024-09-07 10:07:21:118,174285,1.3,174269,1.1,348713,1.9,465239,2.25 107,1,2024-09-07 10:07:20:585,1214420,1214420,0,0,569252315193,6015067044,1195321,16843,2256,381,392234,0 107,2,2024-09-07 10:07:21:295,871919,871918,1,0,43351535,0,5024 107,3,2024-09-07 10:07:21:755,1,694,1,0,733,10915,694,0 108,0,2024-09-07 10:07:21:782,175571,0.4,176425,0.6,351832,0.4,468426,1.75 108,1,2024-09-07 10:07:21:296,1218037,1218037,0,0,572127189430,5974175305,1206566,10209,1262,367,391894,0 108,2,2024-09-07 10:07:21:766,876926,876926,0,0,38785735,0,4432 108,3,2024-09-07 10:07:21:348,1,694,3,0,767,12523,694,0 109,0,2024-09-07 10:07:21:777,174291,0.4,172909,0.6,346888,0.3,462175,1.75 109,1,2024-09-07 10:07:20:608,1216141,1216141,0,0,571225893975,5992942220,1205489,9146,1506,382,392132,0 109,2,2024-09-07 10:07:20:927,879021,879021,0,0,38828621,0,3617 109,3,2024-09-07 10:07:21:155,1,694,0,0,630,7960,694,0 110,0,2024-09-07 10:07:21:756,170409,0.4,165682,0.6,347079,0.3,455704,1.75 110,1,2024-09-07 10:07:21:643,1220732,1220732,0,0,573545627567,5955786126,1211267,7380,2085,368,392045,0 110,2,2024-09-07 10:07:21:303,879880,879880,0,0,37972506,0,4067 110,3,2024-09-07 10:07:20:700,1,694,0,0,722,9422,694,0 111,0,2024-09-07 10:07:21:423,176199,0.4,175397,0.6,350391,0.4,467405,1.75 111,1,2024-09-07 10:07:21:013,1221941,1221941,0,0,573616824408,5956087547,1214688,6746,507,380,391690,0 111,2,2024-09-07 10:07:21:116,874660,874660,0,0,37301203,0,4823 111,3,2024-09-07 10:07:21:153,1,694,1,0,379,7832,694,0 112,0,2024-09-07 10:07:20:954,177136,0.3,176817,0.4,354374,0.2,470800,1.50 112,1,2024-09-07 10:07:20:831,1220878,1220878,0,0,573026061346,5947502193,1213253,6399,1226,380,391624,0 112,2,2024-09-07 10:07:21:133,876358,876357,1,0,35222220,0,5036 112,3,2024-09-07 10:07:20:593,1,694,3,0,282,6838,694,0 113,0,2024-09-07 10:07:20:881,174399,0.3,174779,0.5,349810,0.2,465347,1.75 113,1,2024-09-07 10:07:21:689,1224479,1224479,0,0,574602152353,5927455559,1217566,5962,951,365,391664,0 113,2,2024-09-07 10:07:21:315,884279,884279,0,0,32642669,0,3813 113,3,2024-09-07 10:07:20:692,1,694,6,0,510,7005,694,0 114,0,2024-09-07 10:07:20:887,170005,0.3,171210,0.5,341451,0.2,455874,1.75 114,1,2024-09-07 10:07:20:725,1220309,1220309,0,0,573247117941,5951705777,1211481,7024,1804,381,391565,0 114,2,2024-09-07 10:07:20:874,882023,882022,1,0,35063679,0,5069 114,3,2024-09-07 10:07:21:278,1,694,1,0,415,5687,694,0 115,0,2024-09-07 10:07:20:571,176174,0.3,176661,0.4,352082,0.2,468987,1.50 115,1,2024-09-07 10:07:20:571,1221583,1221583,0,0,573831280420,5960325174,1212028,7763,1792,382,391757,0 115,2,2024-09-07 10:07:21:133,875714,875714,0,0,34890498,0,4382 115,3,2024-09-07 10:07:21:005,1,694,2,0,173,4411,694,0 116,0,2024-09-07 10:07:21:717,174681,0.8,174763,0.9,350054,0.8,468091,2.25 116,1,2024-09-07 10:07:20:812,1214724,1214724,0,0,570674030090,6010850063,1200653,10855,3216,380,392089,0 116,2,2024-09-07 10:07:21:758,876022,876022,0,0,42363102,0,4475 116,3,2024-09-07 10:07:20:916,1,694,0,0,448,8887,694,0 117,0,2024-09-07 10:07:20:963,175651,0.6,175367,0.8,351090,0.6,468650,2.00 117,1,2024-09-07 10:07:21:600,1217319,1217319,0,0,571120234077,5982526542,1203466,12088,1765,369,392429,0 117,2,2024-09-07 10:07:21:121,880594,880594,0,0,38945233,0,4303 117,3,2024-09-07 10:07:21:109,1,694,10,0,490,8487,694,0 118,0,2024-09-07 10:07:21:786,165054,0.5,169530,0.7,345971,0.5,452428,2.00 118,1,2024-09-07 10:07:20:592,1215517,1215517,0,0,570509627056,5994962572,1198012,13660,3845,366,392054,0 118,2,2024-09-07 10:07:21:595,879512,879512,0,0,41447555,0,3161 118,3,2024-09-07 10:07:21:763,1,694,42,0,343,8287,694,0 119,0,2024-09-07 10:07:21:330,174333,0.7,174592,0.8,348759,0.7,465268,2.25 119,1,2024-09-07 10:07:20:549,1216543,1216543,0,0,571205056064,5988918674,1200524,13351,2668,367,391857,0 119,2,2024-09-07 10:07:21:261,876062,876062,0,0,38254356,0,4309 119,3,2024-09-07 10:07:21:339,1,694,1,0,1358,12194,694,0 120,0,2024-09-07 10:07:21:639,173443,0.7,173408,0.9,347975,0.8,464124,2.50 120,1,2024-09-07 10:07:20:862,1217543,1217543,0,0,570986728859,5985873202,1204875,11510,1158,367,392144,0 120,2,2024-09-07 10:07:20:771,874924,874921,3,0,45896233,0,5363 120,3,2024-09-07 10:07:21:308,1,694,14,0,478,8895,694,0 121,0,2024-09-07 10:07:21:751,175796,1.1,175244,1.0,350657,1.4,467110,2.25 121,1,2024-09-07 10:07:21:700,1217177,1217177,0,0,571291224904,5979828559,1203650,11530,1997,366,391840,0 121,2,2024-09-07 10:07:21:159,877104,877104,0,0,41725228,0,4157 121,3,2024-09-07 10:07:20:740,1,694,5,0,387,9252,694,0 122,0,2024-09-07 10:07:21:760,171456,0.7,166922,0.8,349056,0.8,458602,2.00 122,1,2024-09-07 10:07:20:870,1216463,1216463,0,0,571082949089,5989213911,1200188,13697,2578,365,392130,0 122,2,2024-09-07 10:07:21:325,879813,879738,75,0,45903241,0,5989 122,3,2024-09-07 10:07:20:604,1,694,1,0,512,10505,694,0 123,0,2024-09-07 10:07:20:953,170936,0.8,166610,0.8,349371,0.9,457168,2.25 123,1,2024-09-07 10:07:20:572,1217435,1217435,0,0,571201609979,6005024289,1198344,16063,3028,369,392039,0 123,2,2024-09-07 10:07:21:029,877635,877634,1,0,39401717,0,5215 123,3,2024-09-07 10:07:21:135,1,694,3,0,478,7785,694,0 124,0,2024-09-07 10:07:20:926,179626,0.4,179644,0.5,338484,0.3,465870,1.75 124,1,2024-09-07 10:07:21:026,1221000,1221000,0,0,572724858440,5955827848,1211464,8275,1261,365,392178,0 124,2,2024-09-07 10:07:21:018,877307,877254,53,0,36586364,0,6487 124,3,2024-09-07 10:07:20:770,1,694,1,0,490,7221,694,0 125,0,2024-09-07 10:07:21:504,176032,0.4,175873,0.6,352524,0.3,469469,1.75 125,1,2024-09-07 10:07:20:855,1217597,1217597,0,0,571630280000,5973910410,1206546,9466,1585,382,392045,0 125,2,2024-09-07 10:07:21:118,878772,878772,0,0,36137018,0,4534 125,3,2024-09-07 10:07:21:127,1,694,1,0,709,7686,694,0 126,0,2024-09-07 10:07:21:433,174905,0.4,179873,0.5,343796,0.3,465726,1.75 126,1,2024-09-07 10:07:20:560,1221292,1221292,0,0,573657194791,5944348104,1214016,6574,702,365,391987,0 126,2,2024-09-07 10:07:20:610,882736,882736,0,0,37913656,0,4539 126,3,2024-09-07 10:07:20:917,1,694,1,0,268,7858,694,0 127,0,2024-09-07 10:07:21:602,170020,0.3,170440,0.5,339902,0.3,452705,1.75 127,1,2024-09-07 10:07:20:572,1219977,1219977,0,0,572338660405,5955954989,1207520,10681,1776,364,392187,0 127,2,2024-09-07 10:07:20:638,880928,880924,4,0,35834302,0,5305 127,3,2024-09-07 10:07:21:273,1,694,2,0,968,6830,694,0 128,0,2024-09-07 10:07:21:550,176728,0.3,176584,0.4,353395,0.2,469395,1.50 128,1,2024-09-07 10:07:21:650,1219324,1219324,0,0,572970915070,5956982471,1210336,8016,972,367,392423,0 128,2,2024-09-07 10:07:21:383,876212,876212,0,0,33314773,0,3171 128,3,2024-09-07 10:07:20:768,1,694,1,0,1082,10342,694,0 129,0,2024-09-07 10:07:21:006,177690,0.3,176895,0.5,354819,0.3,471569,1.50 129,1,2024-09-07 10:07:20:571,1216215,1216215,0,0,570741218982,5987033755,1203269,10616,2330,379,391962,0 129,2,2024-09-07 10:07:20:686,877867,877863,4,0,36104007,0,5335 129,3,2024-09-07 10:07:20:688,1,694,27,0,506,9970,694,0 130,0,2024-09-07 10:07:21:728,175497,0.4,175357,0.5,350986,0.4,467367,1.75 130,1,2024-09-07 10:07:20:603,1220985,1220985,0,0,572943447690,5949151336,1215138,5440,407,381,391825,0 130,2,2024-09-07 10:07:21:124,881192,881192,0,0,35923207,0,4067 130,3,2024-09-07 10:07:21:296,1,694,1,0,960,8907,694,0 131,0,2024-09-07 10:07:21:951,169800,0.3,170258,0.5,341456,0.3,454087,1.50 131,1,2024-09-07 10:07:21:827,1220161,1220161,0,0,572805503531,5968567163,1212008,6942,1211,381,391865,0 131,2,2024-09-07 10:07:20:576,880532,880532,0,0,34179043,0,3979 131,3,2024-09-07 10:07:21:696,1,694,1,0,392,8680,694,0 132,0,2024-09-07 10:07:21:451,175496,0.5,176434,0.6,351184,0.4,467533,2.00 132,1,2024-09-07 10:07:20:589,1215799,1215799,0,0,570700254362,6003537924,1199296,13721,2782,381,392532,0 132,2,2024-09-07 10:07:20:700,874386,874369,17,0,42706413,0,6451 132,3,2024-09-07 10:07:21:713,1,694,12,0,1298,11834,694,0 133,0,2024-09-07 10:07:21:617,170614,0.5,174728,0.6,358189,0.4,466326,2.00 133,1,2024-09-07 10:07:20:588,1215400,1215400,0,0,571060429806,6012433022,1199947,13518,1935,383,391914,0 133,2,2024-09-07 10:07:21:101,876978,876928,50,0,43798638,0,6861 133,3,2024-09-07 10:07:21:307,1,694,3,0,528,8216,694,0 134,0,2024-09-07 10:07:20:957,176493,0.5,176386,0.7,352552,0.5,469557,2.00 134,1,2024-09-07 10:07:20:590,1216961,1216961,0,0,570765499390,5988005220,1200874,12795,3292,366,391781,0 134,2,2024-09-07 10:07:21:756,877590,877451,139,0,41617527,0,7591 134,3,2024-09-07 10:07:20:765,1,694,1,0,739,8490,694,0 135,0,2024-09-07 10:07:21:102,165367,0.7,165332,0.8,351036,0.7,452535,2.25 135,1,2024-09-07 10:07:21:595,1216377,1216377,0,0,571015631762,6001032037,1201955,12570,1852,380,392038,0 135,2,2024-09-07 10:07:20:698,880792,880792,0,0,42018922,0,4503 135,3,2024-09-07 10:07:21:002,1,694,1,0,900,6665,694,0 136,0,2024-09-07 10:07:21:675,173387,0.6,173904,0.8,345997,0.6,462159,2.00 136,1,2024-09-07 10:07:21:590,1217787,1217787,0,0,570914682941,5980220619,1204953,11408,1426,381,392135,0 136,2,2024-09-07 10:07:21:134,879252,879237,15,0,40929149,0,6007 136,3,2024-09-07 10:07:21:108,1,694,1,0,637,8132,694,0 137,0,2024-09-07 10:07:21:180,180088,0.6,175550,0.8,343749,0.7,466907,2.00 137,1,2024-09-07 10:07:20:583,1216668,1216668,0,0,571434397276,5989725050,1198235,14794,3639,366,391898,0 137,2,2024-09-07 10:07:21:710,874701,874701,0,0,41953808,0,3185 137,3,2024-09-07 10:07:20:770,1,694,1,0,484,9791,694,0 138,0,2024-09-07 10:07:21:743,174947,0.9,175236,0.9,350947,1.1,466696,2.25 138,1,2024-09-07 10:07:21:686,1216323,1216323,0,0,571529439972,5996311737,1199186,14446,2691,368,391954,0 138,2,2024-09-07 10:07:20:585,875303,875303,0,0,40449098,0,4988 138,3,2024-09-07 10:07:20:610,1,694,1,0,1200,10350,694,0 139,0,2024-09-07 10:07:21:368,172198,0.9,172880,0.9,345513,1.1,460557,2.25 139,1,2024-09-07 10:07:20:582,1212743,1212743,0,0,568233263577,6018394842,1192840,15989,3914,380,392109,0 139,2,2024-09-07 10:07:20:696,874906,874876,30,0,46001567,0,5997 139,3,2024-09-07 10:07:21:663,1,694,15,0,432,8208,694,0 140,0,2024-09-07 10:07:21:588,171079,0.3,170405,0.5,341743,0.2,455912,1.75 140,1,2024-09-07 10:07:21:542,1223877,1223877,0,0,575042216505,5934633659,1217071,6002,804,364,391628,0 140,2,2024-09-07 10:07:20:689,880298,880297,1,0,34599262,0,5036 140,3,2024-09-07 10:07:20:777,1,694,18,0,575,6991,694,0 141,0,2024-09-07 10:07:21:705,176107,0.3,180761,0.5,345370,0.3,467046,1.75 141,1,2024-09-07 10:07:20:858,1221216,1221216,0,0,573422456723,5960857093,1210870,8953,1393,379,391614,0 141,2,2024-09-07 10:07:21:686,875301,875290,11,0,37089060,0,5369 141,3,2024-09-07 10:07:21:047,1,694,1,0,391,8116,694,0 142,0,2024-09-07 10:07:21:344,177485,0.3,176685,0.5,353693,0.3,471664,1.50 142,1,2024-09-07 10:07:20:590,1219159,1219159,0,0,572489584113,5974144643,1210104,8161,894,382,392102,0 142,2,2024-09-07 10:07:21:299,875319,875287,32,0,36732739,0,6028 142,3,2024-09-07 10:07:21:752,1,694,3,0,484,7050,694,0 143,0,2024-09-07 10:07:21:398,174573,0.4,174358,0.5,349948,0.4,465357,1.75 143,1,2024-09-07 10:07:20:563,1222161,1222161,0,0,573306176654,5950933337,1213756,7434,971,367,391900,0 143,2,2024-09-07 10:07:20:772,882673,882673,0,0,36365911,0,3123 143,3,2024-09-07 10:07:21:150,1,694,3,0,462,8103,694,0 144,0,2024-09-07 10:07:21:504,164864,0.5,169653,0.7,345296,0.5,452059,2.00 144,1,2024-09-07 10:07:20:572,1216129,1216129,0,0,571020649839,5990453415,1204382,9703,2044,381,391733,0 144,2,2024-09-07 10:07:21:755,881373,881373,0,0,35968479,0,4443 144,3,2024-09-07 10:07:21:739,1,694,1,0,306,7438,694,0 145,0,2024-09-07 10:07:21:445,169824,0.6,169741,0.8,360396,0.5,464316,2.25 145,1,2024-09-07 10:07:20:558,1215104,1215104,0,0,570800413107,6006407532,1198901,13235,2968,382,391781,0 145,2,2024-09-07 10:07:21:441,873156,873074,82,0,41832256,0,7814 145,3,2024-09-07 10:07:20:900,1,694,1,0,622,9375,694,0 146,0,2024-09-07 10:07:21:760,175202,0.7,174374,0.8,350575,0.7,466225,2.25 146,1,2024-09-07 10:07:21:671,1216774,1216774,0,0,570736403524,6001280073,1197633,14215,4926,367,391829,0 146,2,2024-09-07 10:07:21:704,874357,874351,6,0,39869071,0,5151 146,3,2024-09-07 10:07:21:296,1,694,6,0,1520,10892,694,0 147,0,2024-09-07 10:07:21:702,175685,0.6,175410,0.7,350735,0.5,467727,2.00 147,1,2024-09-07 10:07:21:371,1220853,1220853,0,0,572946366748,5956234663,1210894,8859,1100,367,391791,0 147,2,2024-09-07 10:07:21:011,879117,879117,0,0,37717060,0,4531 147,3,2024-09-07 10:07:21:153,1,694,2,0,1626,10391,694,0 0,0,2024-09-07 10:07:31:711,169504,0.7,169517,0.8,359801,0.8,465020,2.00 0,1,2024-09-07 10:07:30:801,1219624,1219624,0,0,572017686909,5987823815,1209740,8909,975,368,391896,0 0,2,2024-09-07 10:07:31:068,878407,878407,0,0,35596073,0,4480 0,3,2024-09-07 10:07:30:976,1,695,1,0,538,9927,695,0 1,0,2024-09-07 10:07:31:754,175738,0.8,174783,0.9,350681,1.0,469041,2.00 1,1,2024-09-07 10:07:30:557,1218668,1218668,0,0,571717773468,5989967157,1207267,9430,1971,370,391859,0 1,2,2024-09-07 10:07:30:640,880038,880038,0,0,35170944,0,3380 1,3,2024-09-07 10:07:31:302,1,695,1,0,269,8258,695,0 2,0,2024-09-07 10:07:31:566,172109,0.6,171865,0.7,343448,0.6,457784,2.00 2,1,2024-09-07 10:07:30:859,1222839,1222839,0,0,573461049724,5962951588,1215908,5970,961,379,391805,0 2,2,2024-09-07 10:07:31:267,882015,882015,0,0,33975570,0,3594 2,3,2024-09-07 10:07:30:690,1,695,1,0,357,6128,695,0 3,0,2024-09-07 10:07:31:761,172351,0.4,172218,0.6,344021,0.4,459080,2.00 3,1,2024-09-07 10:07:31:619,1220419,1220419,0,0,572973545270,5959926094,1211825,7876,718,379,391716,0 3,2,2024-09-07 10:07:31:142,881168,881145,23,0,34688491,0,5851 3,3,2024-09-07 10:07:31:752,1,695,1,0,484,5245,695,0 4,0,2024-09-07 10:07:31:801,169727,0.4,174290,0.5,355622,0.4,464649,1.75 4,1,2024-09-07 10:07:30:593,1215159,1215159,0,0,570637300454,6034438110,1195265,15293,4601,369,391992,0 4,2,2024-09-07 10:07:31:021,874171,874171,0,0,42227506,0,4534 4,3,2024-09-07 10:07:31:027,1,695,2,0,448,9359,695,0 5,0,2024-09-07 10:07:31:397,176258,0.4,175992,0.6,352453,0.4,469083,1.75 5,1,2024-09-07 10:07:30:756,1216534,1216534,0,0,571242620921,6025513280,1198839,13456,4239,367,392005,0 5,2,2024-09-07 10:07:31:830,873876,873843,33,0,42506701,0,7631 5,3,2024-09-07 10:07:31:737,1,695,24,0,457,9172,695,0 6,0,2024-09-07 10:07:30:916,174725,0.5,174155,0.6,348709,0.4,464306,2.00 6,1,2024-09-07 10:07:30:746,1219823,1219823,0,0,572806331546,5979379872,1207649,10489,1685,379,391702,0 6,2,2024-09-07 10:07:31:115,882429,882411,18,0,39486719,0,5535 6,3,2024-09-07 10:07:31:279,1,695,1,0,710,7950,695,0 7,0,2024-09-07 10:07:31:531,169548,0.5,170795,0.7,338848,0.5,452413,2.00 7,1,2024-09-07 10:07:30:854,1217173,1217173,0,0,570891260541,6005484584,1199338,13698,4137,382,391747,0 7,2,2024-09-07 10:07:30:781,880260,880091,169,0,40251059,0,7706 7,3,2024-09-07 10:07:30:853,1,695,1,0,552,8174,695,0 8,0,2024-09-07 10:07:31:322,176358,0.4,175972,0.5,352542,0.4,469856,1.75 8,1,2024-09-07 10:07:31:016,1216067,1216067,0,0,571502863149,6008223537,1197207,14814,4046,366,392853,0 8,2,2024-09-07 10:07:30:790,870449,870447,2,0,45071149,0,5112 8,3,2024-09-07 10:07:30:585,1,695,1,0,772,10378,695,0 9,0,2024-09-07 10:07:31:101,176628,0.4,171584,0.5,359010,0.3,471456,1.75 9,1,2024-09-07 10:07:30:558,1215941,1215941,0,0,571097705718,6021221310,1196270,15321,4350,369,392001,0 9,2,2024-09-07 10:07:31:083,875652,875651,1,0,43071421,0,5281 9,3,2024-09-07 10:07:31:762,1,695,4,0,1273,11079,695,0 10,0,2024-09-07 10:07:31:600,174858,0.4,174460,0.5,349694,0.3,465384,1.75 10,1,2024-09-07 10:07:30:591,1217800,1217800,0,0,571963190801,6001521462,1199738,14328,3734,381,391981,0 10,2,2024-09-07 10:07:30:762,881720,881720,0,0,46245319,0,4713 10,3,2024-09-07 10:07:30:877,1,695,1,0,669,7369,695,0 11,0,2024-09-07 10:07:31:008,170037,0.4,165032,0.6,345322,0.4,455182,1.75 11,1,2024-09-07 10:07:30:570,1220039,1220039,0,0,572456047126,6000643854,1203153,12576,4310,383,391766,0 11,2,2024-09-07 10:07:31:129,880399,880399,0,0,39937579,0,4698 11,3,2024-09-07 10:07:31:298,1,695,23,0,843,8430,695,0 12,0,2024-09-07 10:07:30:946,176599,0.4,176241,0.6,352251,0.4,468639,1.75 12,1,2024-09-07 10:07:30:933,1219264,1219264,0,0,571859872942,5966747524,1209404,8829,1031,368,391960,0 12,2,2024-09-07 10:07:31:540,873606,873606,0,0,39790342,0,4390 12,3,2024-09-07 10:07:31:061,1,695,10,0,386,8572,695,0 13,0,2024-09-07 10:07:31:343,176142,0.4,176298,0.6,352071,0.4,468984,1.75 13,1,2024-09-07 10:07:31:524,1216784,1216784,0,0,570968596530,6010048389,1202618,11086,3080,382,391803,0 13,2,2024-09-07 10:07:30:614,879063,879063,0,0,36053180,0,3287 13,3,2024-09-07 10:07:31:762,1,695,1,0,522,9290,695,0 14,0,2024-09-07 10:07:30:564,175906,0.4,176974,0.6,351994,0.3,468288,1.75 14,1,2024-09-07 10:07:31:561,1225286,1225286,0,0,575195935327,5950070319,1216031,8105,1150,364,391673,0 14,2,2024-09-07 10:07:30:765,880195,880165,30,0,37162841,0,6104 14,3,2024-09-07 10:07:31:115,1,695,7,0,1168,7166,695,0 15,0,2024-09-07 10:07:31:556,171055,0.4,170166,0.6,340699,0.3,454806,1.75 15,1,2024-09-07 10:07:31:607,1221289,1221289,0,0,573284461338,5974943136,1210424,8769,2096,380,391619,0 15,2,2024-09-07 10:07:30:999,883335,883335,0,0,33251936,0,3622 15,3,2024-09-07 10:07:31:405,1,695,1,0,1126,8638,695,0 16,0,2024-09-07 10:07:30:957,173368,0.6,174300,0.8,347640,0.6,463466,2.00 16,1,2024-09-07 10:07:30:567,1220847,1220847,0,0,572736869203,5987075575,1210105,9198,1544,370,392194,0 16,2,2024-09-07 10:07:31:434,877752,877752,0,0,36333088,0,4719 16,3,2024-09-07 10:07:31:142,1,695,1,0,362,8578,695,0 17,0,2024-09-07 10:07:31:765,180201,0.7,175632,0.8,344067,0.7,467495,2.00 17,1,2024-09-07 10:07:30:588,1219206,1219206,0,0,571704744117,5992246691,1208083,9322,1801,368,392075,0 17,2,2024-09-07 10:07:31:678,880200,880199,1,0,37557264,0,5050 17,3,2024-09-07 10:07:30:587,1,695,5,0,518,9923,695,0 18,0,2024-09-07 10:07:30:939,174233,0.6,175360,0.8,349024,0.6,466043,2.25 18,1,2024-09-07 10:07:31:638,1224121,1224121,0,0,573710745242,5951438671,1215937,6753,1431,367,391725,0 18,2,2024-09-07 10:07:31:755,880712,880712,0,0,33976100,0,3541 18,3,2024-09-07 10:07:30:897,1,695,1,0,1059,6295,695,0 19,0,2024-09-07 10:07:31:543,172778,0.6,173230,0.8,345410,0.6,458503,2.00 19,1,2024-09-07 10:07:30:566,1223222,1223222,0,0,575049538709,5957974428,1214366,7695,1161,365,391777,0 19,2,2024-09-07 10:07:31:753,884516,884516,0,0,31809342,0,3988 19,3,2024-09-07 10:07:31:131,1,695,1,0,524,5535,695,0 20,0,2024-09-07 10:07:31:371,170940,0.5,170895,0.7,341447,0.5,456026,2.00 20,1,2024-09-07 10:07:30:569,1218510,1218510,0,0,572344907479,5997626270,1206232,10569,1709,369,391922,0 20,2,2024-09-07 10:07:30:930,880560,880560,0,0,40240564,0,4321 20,3,2024-09-07 10:07:30:589,1,695,1,0,468,11558,695,0 21,0,2024-09-07 10:07:31:173,175571,0.5,175637,0.7,351047,0.5,465812,2.00 21,1,2024-09-07 10:07:31:535,1216285,1216285,0,0,570603291888,6021011126,1197523,14769,3993,368,392016,0 21,2,2024-09-07 10:07:31:070,870285,869808,477,0,51441573,0,17074 21,3,2024-09-07 10:07:31:405,1,695,96,0,713,9578,695,0 22,0,2024-09-07 10:07:31:723,176561,0.5,177205,0.7,354006,0.4,469888,2.00 22,1,2024-09-07 10:07:31:024,1217678,1217678,0,0,571682584916,6012432881,1198567,15506,3605,381,391822,0 22,2,2024-09-07 10:07:30:761,876074,876048,26,0,38191465,0,6328 22,3,2024-09-07 10:07:31:066,1,695,0,0,228,5661,695,0 23,0,2024-09-07 10:07:31:375,174407,0.5,174268,0.6,348514,0.4,464786,2.00 23,1,2024-09-07 10:07:31:003,1218965,1218965,0,0,571978095105,6022484492,1197066,14729,7170,365,391690,0 23,2,2024-09-07 10:07:31:092,882854,882854,0,0,36475946,0,3773 23,3,2024-09-07 10:07:31:754,1,695,0,0,855,9764,695,0 24,0,2024-09-07 10:07:30:879,171397,0.4,170237,0.5,342256,0.3,454883,1.75 24,1,2024-09-07 10:07:30:593,1217697,1217697,0,0,571860013929,5984507324,1206091,9730,1876,367,392269,0 24,2,2024-09-07 10:07:31:069,881013,881010,3,0,42750685,0,6294 24,3,2024-09-07 10:07:31:696,1,695,1,0,468,9040,695,0 25,0,2024-09-07 10:07:31:351,180757,0.4,175542,0.6,345674,0.4,471421,1.75 25,1,2024-09-07 10:07:30:583,1216529,1216529,0,0,570997223296,6030286069,1195745,16789,3995,369,391928,0 25,2,2024-09-07 10:07:31:606,872755,872755,0,0,44339301,0,3978 25,3,2024-09-07 10:07:31:000,1,695,11,0,532,7593,695,0 26,0,2024-09-07 10:07:31:727,175102,0.4,171061,0.6,358859,0.4,468390,2.00 26,1,2024-09-07 10:07:31:541,1219875,1219875,0,0,572535004043,5990874836,1204170,12802,2903,380,391758,0 26,2,2024-09-07 10:07:30:866,876774,876774,0,0,45592375,0,4689 26,3,2024-09-07 10:07:31:717,1,695,1,0,796,8583,695,0 27,0,2024-09-07 10:07:31:731,175602,0.4,176331,0.6,350680,0.4,467698,2.25 27,1,2024-09-07 10:07:31:681,1221477,1221477,0,0,573950603241,5979181271,1210193,9662,1622,381,391626,0 27,2,2024-09-07 10:07:30:868,877503,877438,65,0,40514059,0,5699 27,3,2024-09-07 10:07:31:015,1,695,2,0,564,5917,695,0 28,0,2024-09-07 10:07:31:405,170507,0.4,170833,0.6,341525,0.3,454687,1.75 28,1,2024-09-07 10:07:30:857,1221903,1221903,0,0,574032139600,5990177924,1210431,9044,2428,382,391904,0 28,2,2024-09-07 10:07:31:764,881137,881137,0,0,37800735,0,4060 28,3,2024-09-07 10:07:31:777,1,695,1,0,502,7223,695,0 29,0,2024-09-07 10:07:31:370,179748,0.4,174965,0.6,343582,0.4,468680,1.75 29,1,2024-09-07 10:07:31:567,1224961,1224961,0,0,575600352789,5956527989,1217039,6901,1021,367,391809,0 29,2,2024-09-07 10:07:30:867,876114,876114,0,0,35139921,0,4986 29,3,2024-09-07 10:07:30:965,1,695,1,0,590,7836,695,0 30,0,2024-09-07 10:07:31:458,173382,0.6,168729,0.7,353689,0.5,461858,2.00 30,1,2024-09-07 10:07:30:570,1223790,1223790,0,0,574541192757,5966251861,1213976,8646,1168,380,391672,0 30,2,2024-09-07 10:07:31:279,878415,878415,0,0,34070715,0,4192 30,3,2024-09-07 10:07:30:585,1,695,0,0,519,7209,695,0 31,0,2024-09-07 10:07:31:759,175510,0.5,176335,0.7,351761,0.4,469043,2.00 31,1,2024-09-07 10:07:30:566,1227559,1227559,0,0,575812760797,5915157391,1219628,6662,1269,356,391712,0 31,2,2024-09-07 10:07:31:279,879019,879019,0,0,37514135,0,4470 31,3,2024-09-07 10:07:31:713,1,695,0,0,248,6118,695,0 32,0,2024-09-07 10:07:31:424,172235,0.3,173307,0.5,345257,0.2,459213,1.75 32,1,2024-09-07 10:07:30:804,1223491,1223491,0,0,574566717994,5972052722,1215998,6528,965,381,391646,0 32,2,2024-09-07 10:07:30:935,883660,883660,0,0,32878578,0,3922 32,3,2024-09-07 10:07:31:023,1,695,0,0,304,5844,695,0 33,0,2024-09-07 10:07:31:529,173165,0.3,172699,0.4,345435,0.2,460441,1.50 33,1,2024-09-07 10:07:30:584,1224621,1224621,0,0,575016067553,5954144756,1215000,8265,1356,368,391730,0 33,2,2024-09-07 10:07:30:759,880097,880062,35,0,36467664,0,7012 33,3,2024-09-07 10:07:30:896,1,695,1,0,329,6389,695,0 34,0,2024-09-07 10:07:30:930,175080,0.3,179998,0.5,344258,0.2,464576,1.75 34,1,2024-09-07 10:07:31:044,1227505,1227505,0,0,575430425552,5921418605,1223503,3793,209,367,391637,0 34,2,2024-09-07 10:07:30:766,877879,877879,0,0,33975208,0,4562 34,3,2024-09-07 10:07:31:695,1,695,3,0,541,6048,695,0 35,0,2024-09-07 10:07:30:864,175599,0.3,176218,0.5,353353,0.2,470044,1.75 35,1,2024-09-07 10:07:31:074,1223021,1223021,0,0,573800331597,5953618887,1214080,7388,1553,382,391769,0 35,2,2024-09-07 10:07:31:586,877754,877754,0,0,39659570,0,4055 35,3,2024-09-07 10:07:30:908,1,695,1,0,466,6570,695,0 36,0,2024-09-07 10:07:31:550,175196,0.4,175432,0.6,350358,0.4,466309,2.00 36,1,2024-09-07 10:07:30:592,1221512,1221512,0,0,573053391797,5981604585,1206732,12426,2354,366,391759,0 36,2,2024-09-07 10:07:31:752,881947,881947,0,0,39606205,0,3875 36,3,2024-09-07 10:07:30:867,1,695,24,0,556,8822,695,0 37,0,2024-09-07 10:07:31:384,169599,0.5,169561,0.7,339759,0.5,453130,2.25 37,1,2024-09-07 10:07:30:568,1221042,1221035,0,7,573106607465,5983067645,1206848,11072,3115,365,391770,0 37,2,2024-09-07 10:07:31:142,879505,879490,15,0,39706247,0,5815 37,3,2024-09-07 10:07:31:766,1,695,7,0,888,9502,695,0 38,0,2024-09-07 10:07:31:438,175236,0.5,169910,0.7,355196,0.4,465562,2.00 38,1,2024-09-07 10:07:31:605,1221364,1221364,0,0,572946971674,5988248529,1204526,13532,3306,368,391821,0 38,2,2024-09-07 10:07:30:760,876107,876060,47,0,39518285,0,6710 38,3,2024-09-07 10:07:30:999,1,695,1,0,689,7915,695,0 39,0,2024-09-07 10:07:31:772,180133,0.5,175980,0.7,343695,0.5,469002,2.00 39,1,2024-09-07 10:07:30:718,1219149,1219149,0,0,571669896313,5992192261,1199352,15564,4233,365,391865,0 39,2,2024-09-07 10:07:31:419,877015,877015,0,0,37864309,0,3478 39,3,2024-09-07 10:07:30:716,1,695,2,0,525,7680,695,0 40,0,2024-09-07 10:07:31:508,173374,0.8,174037,0.9,347429,0.9,463862,2.75 40,1,2024-09-07 10:07:30:578,1219757,1219757,0,0,571991876046,5994145751,1200953,15221,3583,366,391668,0 40,2,2024-09-07 10:07:31:314,879259,879252,7,0,43759532,0,5347 40,3,2024-09-07 10:07:31:142,1,695,8,0,1028,9841,695,0 41,0,2024-09-07 10:07:31:033,169301,1.1,173334,1.1,330531,1.5,449154,3.00 41,1,2024-09-07 10:07:30:768,1219822,1219822,0,0,573279025801,5994041685,1204112,13185,2525,369,391878,0 41,2,2024-09-07 10:07:30:759,877797,877796,1,0,42698490,0,5408 41,3,2024-09-07 10:07:31:677,1,695,1,0,749,8443,695,0 42,0,2024-09-07 10:07:31:475,174148,0.9,173891,1.0,348221,1.1,461946,2.75 42,1,2024-09-07 10:07:31:442,1217529,1217529,0,0,570650926138,5989307974,1199923,14522,3084,380,391675,0 42,2,2024-09-07 10:07:31:133,872467,872466,1,0,43804065,0,5513 42,3,2024-09-07 10:07:31:008,1,695,3,0,892,6984,695,0 43,0,2024-09-07 10:07:30:925,172916,0.8,168280,1.0,352685,0.9,461451,2.25 43,1,2024-09-07 10:07:30:589,1220843,1220843,0,0,573495430463,5988980009,1204733,13362,2748,365,391696,0 43,2,2024-09-07 10:07:31:738,878477,878477,0,0,40215060,0,4723 43,3,2024-09-07 10:07:31:749,1,695,5,0,571,9306,695,0 44,0,2024-09-07 10:07:30:897,176105,0.4,176256,0.6,352001,0.3,469276,1.75 44,1,2024-09-07 10:07:30:565,1223899,1223899,0,0,574810837601,5944276487,1212571,9177,2151,356,391809,0 44,2,2024-09-07 10:07:31:267,878755,878755,0,0,33816407,0,4344 44,3,2024-09-07 10:07:31:093,1,695,1,0,1097,8912,695,0 45,0,2024-09-07 10:07:31:781,169293,0.4,165334,0.7,346804,0.4,454940,2.00 45,1,2024-09-07 10:07:31:011,1223308,1223308,0,0,574230006362,5969101192,1213758,8329,1221,382,391917,0 45,2,2024-09-07 10:07:31:267,882684,882684,0,0,33965216,0,3596 45,3,2024-09-07 10:07:30:936,1,695,8,0,531,6486,695,0 46,0,2024-09-07 10:07:30:952,172825,0.5,172251,0.7,345649,0.5,460263,2.00 46,1,2024-09-07 10:07:30:578,1225220,1225220,0,0,574936866677,5948445813,1215944,7794,1482,366,391709,0 46,2,2024-09-07 10:07:30:610,879356,879356,0,0,34773250,0,4443 46,3,2024-09-07 10:07:31:131,1,695,1,0,908,7616,695,0 47,0,2024-09-07 10:07:31:103,175473,0.5,175002,0.6,351356,0.4,465647,2.00 47,1,2024-09-07 10:07:30:566,1226489,1226489,0,0,574922767183,5941855030,1218981,6495,1013,364,391666,0 47,2,2024-09-07 10:07:30:908,879957,879957,0,0,35146916,0,4477 47,3,2024-09-07 10:07:31:116,1,695,3,0,600,7527,695,0 48,0,2024-09-07 10:07:31:490,176306,0.3,176869,0.4,352360,0.2,468824,1.50 48,1,2024-09-07 10:07:31:022,1222946,1222946,0,0,573289732252,5956649445,1215275,6659,1012,381,391710,0 48,2,2024-09-07 10:07:30:700,879258,879258,0,0,32235167,0,3619 48,3,2024-09-07 10:07:30:755,1,695,6,0,339,5990,695,0 49,0,2024-09-07 10:07:31:713,178406,0.3,174721,0.5,339857,0.3,463145,1.75 49,1,2024-09-07 10:07:31:021,1222240,1222240,0,0,573945293935,5967260729,1214375,6167,1698,382,391809,0 49,2,2024-09-07 10:07:31:806,883560,883560,0,0,33682468,0,4426 49,3,2024-09-07 10:07:31:416,1,695,1,0,992,8090,695,0 50,0,2024-09-07 10:07:31:519,171333,0.3,170127,0.5,342454,0.2,455658,1.75 50,1,2024-09-07 10:07:31:009,1225436,1225436,0,0,575611677988,5950331070,1217880,6824,732,368,391691,0 50,2,2024-09-07 10:07:31:069,880056,880056,0,0,31638807,0,4490 50,3,2024-09-07 10:07:31:291,1,695,1,0,617,7211,695,0 51,0,2024-09-07 10:07:31:684,180244,0.3,176364,0.5,343471,0.3,468159,1.75 51,1,2024-09-07 10:07:31:680,1226745,1226745,0,0,577044421240,5949446553,1220044,5357,1344,365,391706,0 51,2,2024-09-07 10:07:31:332,876967,876967,0,0,31244537,0,3337 51,3,2024-09-07 10:07:31:027,1,695,1,0,678,5466,695,0 52,0,2024-09-07 10:07:31:415,176805,0.5,176644,0.7,353059,0.4,469514,2.00 52,1,2024-09-07 10:07:30:585,1220380,1220380,0,0,572425811971,5988925991,1203628,14325,2427,368,391805,0 52,2,2024-09-07 10:07:31:754,873775,873737,38,0,42645599,0,6742 52,3,2024-09-07 10:07:30:673,1,695,6,0,1782,7931,695,0 53,0,2024-09-07 10:07:31:747,174139,0.6,168987,0.8,353665,0.7,463301,2.25 53,1,2024-09-07 10:07:30:773,1219803,1219803,0,0,573097319232,6007028760,1200436,14937,4430,367,391968,0 53,2,2024-09-07 10:07:31:298,882502,882364,138,0,39099517,0,7690 53,3,2024-09-07 10:07:30:701,1,695,9,0,308,6507,695,0 54,0,2024-09-07 10:07:31:619,168457,0.5,169017,0.7,336340,0.4,449153,2.25 54,1,2024-09-07 10:07:30:581,1222178,1222178,0,0,574188114424,5968104938,1210379,10124,1675,366,391810,0 54,2,2024-09-07 10:07:30:866,881611,881579,32,0,42359961,0,6397 54,3,2024-09-07 10:07:30:763,1,695,1,0,676,8949,695,0 55,0,2024-09-07 10:07:31:762,169862,0.7,175001,0.8,355147,0.7,463401,2.50 55,1,2024-09-07 10:07:30:764,1222678,1222678,0,0,573262541397,5959610018,1210653,10538,1487,365,391731,0 55,2,2024-09-07 10:07:30:730,874370,874314,56,0,38618722,0,7239 55,3,2024-09-07 10:07:30:676,1,695,1,0,304,6280,695,0 56,0,2024-09-07 10:07:31:552,178951,1.2,168605,1.1,347519,1.6,465602,2.75 56,1,2024-09-07 10:07:30:585,1215280,1215280,0,0,570774687071,6031934364,1195788,15738,3754,381,391867,0 56,2,2024-09-07 10:07:31:303,875701,875579,122,0,41243300,0,7432 56,3,2024-09-07 10:07:31:059,1,695,3,0,705,8262,695,0 57,0,2024-09-07 10:07:30:941,173823,1.3,173793,1.2,347069,1.8,463886,3.00 57,1,2024-09-07 10:07:30:986,1218507,1218507,0,0,571634202530,5994705567,1202792,13011,2704,366,392097,0 57,2,2024-09-07 10:07:31:329,880383,880383,0,0,44244752,0,4804 57,3,2024-09-07 10:07:31:738,1,695,1,0,455,7420,695,0 58,0,2024-09-07 10:07:30:553,168040,0.9,163277,1.0,341651,1.0,447052,2.50 58,1,2024-09-07 10:07:30:592,1219529,1219526,0,3,572966476466,6005548484,1201163,13961,4402,367,391726,3 58,2,2024-09-07 10:07:31:071,881610,881610,0,0,40170173,0,3483 58,3,2024-09-07 10:07:31:068,1,695,0,0,1043,7483,695,0 59,0,2024-09-07 10:07:31:758,173709,0.8,172736,1.0,345614,0.9,459215,2.75 59,1,2024-09-07 10:07:30:812,1219186,1219186,0,0,571941449017,6005824117,1199698,15650,3838,369,391653,0 59,2,2024-09-07 10:07:30:592,876268,876268,0,0,38195530,0,3727 59,3,2024-09-07 10:07:31:739,1,695,42,0,1015,8181,695,0 60,0,2024-09-07 10:07:31:710,174264,0.5,174533,0.7,348995,0.5,465442,2.00 60,1,2024-09-07 10:07:30:772,1222817,1222817,0,0,574176770017,5979201114,1211887,9427,1503,370,392031,0 60,2,2024-09-07 10:07:31:142,878919,878919,0,0,36508709,0,3811 60,3,2024-09-07 10:07:31:261,1,695,1,0,409,7937,695,0 61,0,2024-09-07 10:07:31:492,175708,0.6,176440,0.8,351348,0.6,468579,2.00 61,1,2024-09-07 10:07:30:770,1219691,1219691,0,0,572799607839,5996848732,1207017,10823,1851,382,392127,0 61,2,2024-09-07 10:07:31:121,880220,880153,67,0,37484433,0,6411 61,3,2024-09-07 10:07:31:688,1,695,1,0,607,8565,695,0 62,0,2024-09-07 10:07:31:709,172862,0.5,177244,0.7,338312,0.5,459076,2.00 62,1,2024-09-07 10:07:31:111,1227231,1227225,0,6,575850040100,5944302439,1219969,6639,617,365,391975,6 62,2,2024-09-07 10:07:31:645,879366,879365,1,0,37206100,0,5555 62,3,2024-09-07 10:07:31:150,1,695,1,0,482,5430,695,0 63,0,2024-09-07 10:07:31:452,172924,0.4,172636,0.6,345995,0.3,460616,1.75 63,1,2024-09-07 10:07:30:804,1224296,1224290,0,6,574398358227,5966280111,1216376,6963,951,381,391800,6 63,2,2024-09-07 10:07:30:763,878998,878998,0,0,36358305,0,4369 63,3,2024-09-07 10:07:31:735,1,695,1,0,667,8074,695,0 64,0,2024-09-07 10:07:31:515,174117,0.5,174048,0.7,347710,0.5,462982,2.00 64,1,2024-09-07 10:07:30:750,1222423,1222423,0,0,574012559794,5974958195,1212210,8185,2028,370,391794,0 64,2,2024-09-07 10:07:31:142,880330,880311,19,0,34972422,0,6121 64,3,2024-09-07 10:07:31:141,1,695,1,0,651,7885,695,0 65,0,2024-09-07 10:07:31:675,174874,0.6,175154,0.7,349613,0.6,466330,2.00 65,1,2024-09-07 10:07:30:859,1220842,1220842,0,0,572818693464,5984635423,1212595,7349,898,381,391901,0 65,2,2024-09-07 10:07:31:698,876547,876547,0,0,41965540,0,3367 65,3,2024-09-07 10:07:31:684,1,695,1,0,782,8157,695,0 66,0,2024-09-07 10:07:31:774,174469,0.5,173803,0.7,347630,0.4,462835,2.00 66,1,2024-09-07 10:07:31:293,1222610,1222610,0,0,574450627107,5977385524,1215104,6632,874,380,391743,0 66,2,2024-09-07 10:07:31:131,884715,884712,3,0,36816078,0,5455 66,3,2024-09-07 10:07:31:079,1,695,1,0,291,6157,695,0 67,0,2024-09-07 10:07:31:412,170267,0.5,169868,0.7,340311,0.5,453621,2.00 67,1,2024-09-07 10:07:30:766,1222425,1222424,0,1,573851636651,5973628201,1213990,7294,1140,380,391787,1 67,2,2024-09-07 10:07:30:585,883849,883834,15,0,37283839,0,6205 67,3,2024-09-07 10:07:31:758,1,695,15,0,595,7345,695,0 68,0,2024-09-07 10:07:30:633,176162,0.6,175971,0.7,350430,0.6,468453,2.25 68,1,2024-09-07 10:07:30:570,1217868,1217868,0,0,570922801500,6005963142,1201140,12456,4272,381,391953,0 68,2,2024-09-07 10:07:31:044,871308,871208,100,0,45199439,0,8578 68,3,2024-09-07 10:07:30:734,1,695,4,0,417,9766,695,0 69,0,2024-09-07 10:07:31:740,175836,0.7,176394,0.8,351936,0.7,468869,2.25 69,1,2024-09-07 10:07:31:016,1217102,1217102,0,0,571151899925,6015213399,1202190,11927,2985,383,391994,0 69,2,2024-09-07 10:07:31:744,873839,873810,29,0,48304676,0,6912 69,3,2024-09-07 10:07:30:760,1,695,1,0,698,10371,695,0 70,0,2024-09-07 10:07:31:554,173116,0.7,173897,0.9,348610,0.6,462461,2.50 70,1,2024-09-07 10:07:30:801,1223711,1223711,0,0,575135698286,5968479097,1214243,8423,1045,366,391725,0 70,2,2024-09-07 10:07:31:335,882030,882030,0,0,39699082,0,4323 70,3,2024-09-07 10:07:30:745,1,695,1,0,854,7701,695,0 71,0,2024-09-07 10:07:31:372,169252,0.8,168849,0.9,338531,0.9,451839,2.50 71,1,2024-09-07 10:07:31:602,1221583,1221583,0,0,573416456720,5981560049,1207147,12650,1786,367,391738,0 71,2,2024-09-07 10:07:31:066,879901,879901,0,0,40172741,0,4352 71,3,2024-09-07 10:07:31:752,1,695,0,0,644,7628,695,0 72,0,2024-09-07 10:07:31:035,181011,0.5,176664,0.7,345315,0.5,469385,2.00 72,1,2024-09-07 10:07:31:023,1219850,1219850,0,0,571712636316,5992899394,1202990,14127,2733,369,391819,0 72,2,2024-09-07 10:07:31:754,873391,873391,0,0,41790873,0,3983 72,3,2024-09-07 10:07:31:754,1,695,0,0,564,9549,695,0 73,0,2024-09-07 10:07:31:100,170886,0.5,175172,0.6,358116,0.4,466587,2.00 73,1,2024-09-07 10:07:30:766,1221475,1221475,0,0,573685989459,5962683039,1211945,8465,1065,367,391858,0 73,2,2024-09-07 10:07:31:741,876823,876822,1,0,43818934,0,5027 73,3,2024-09-07 10:07:30:970,1,695,5,0,1091,9318,695,0 74,0,2024-09-07 10:07:31:341,176989,0.5,181298,0.7,345801,0.4,469638,2.00 74,1,2024-09-07 10:07:30:637,1220649,1220649,0,0,573005143188,5977401852,1208289,10212,2148,381,391762,0 74,2,2024-09-07 10:07:31:001,879368,879368,0,0,38192929,0,4253 74,3,2024-09-07 10:07:31:442,1,695,1,0,522,7907,695,0 75,0,2024-09-07 10:07:31:795,171139,0.5,170200,0.7,341534,0.4,456267,2.00 75,1,2024-09-07 10:07:31:602,1221569,1221569,0,0,572918003006,5968728529,1211686,8890,993,380,391739,0 75,2,2024-09-07 10:07:31:353,880267,880267,0,0,45275580,0,4766 75,3,2024-09-07 10:07:31:067,1,695,1,0,918,9530,695,0 76,0,2024-09-07 10:07:30:608,173212,0.6,172589,0.7,344991,0.5,461859,2.25 76,1,2024-09-07 10:07:30:805,1221105,1221105,0,0,572629123670,5974268220,1212209,7586,1310,382,391790,0 76,2,2024-09-07 10:07:31:062,880508,880505,3,0,38162197,0,5265 76,3,2024-09-07 10:07:31:150,1,695,1,0,249,6381,695,0 77,0,2024-09-07 10:07:31:710,174279,0.6,174620,0.7,349550,0.6,464609,2.00 77,1,2024-09-07 10:07:30:825,1220221,1220221,0,0,572565291507,5979383185,1209948,9185,1088,381,391869,0 77,2,2024-09-07 10:07:31:282,877056,877056,0,0,36787649,0,3890 77,3,2024-09-07 10:07:31:094,1,695,1,0,401,7838,695,0 78,0,2024-09-07 10:07:31:738,176595,0.4,175793,0.6,353040,0.4,466962,2.00 78,1,2024-09-07 10:07:30:617,1220315,1220315,0,0,572786456747,5977924377,1204779,12204,3332,367,391670,0 78,2,2024-09-07 10:07:31:405,879494,879481,13,0,34477593,0,8313 78,3,2024-09-07 10:07:31:133,1,695,1,0,311,5966,695,0 79,0,2024-09-07 10:07:31:350,167684,0.4,171760,0.6,351757,0.3,457608,2.00 79,1,2024-09-07 10:07:30:578,1224784,1224784,0,0,575082783146,5956586062,1214970,8012,1802,367,391682,0 79,2,2024-09-07 10:07:31:068,882979,882979,0,0,33521404,0,4195 79,3,2024-09-07 10:07:30:749,1,695,1,0,418,8003,695,0 80,0,2024-09-07 10:07:31:078,171158,0.5,175713,0.7,335689,0.5,454678,2.00 80,1,2024-09-07 10:07:31:619,1221221,1221221,0,0,573580337490,5972023642,1213239,7400,582,368,392269,0 80,2,2024-09-07 10:07:31:092,883319,883319,0,0,34092652,0,4433 80,3,2024-09-07 10:07:30:576,1,695,43,0,681,8391,695,0 81,0,2024-09-07 10:07:31:560,175647,0.6,179704,0.7,342756,0.6,464778,2.00 81,1,2024-09-07 10:07:31:650,1220435,1220435,0,0,572178168779,5980708745,1210565,8875,995,382,392001,0 81,2,2024-09-07 10:07:31:129,876237,876174,63,0,37901930,0,5932 81,3,2024-09-07 10:07:31:129,1,695,0,0,719,7628,695,0 82,0,2024-09-07 10:07:31:550,176730,0.5,176725,0.7,354109,0.5,470050,2.00 82,1,2024-09-07 10:07:30:585,1222367,1222363,0,4,573517213353,5966758667,1215427,5812,1124,381,391768,4 82,2,2024-09-07 10:07:31:694,880164,880164,0,0,31527160,0,4484 82,3,2024-09-07 10:07:31:757,1,695,6,0,363,6244,695,0 83,0,2024-09-07 10:07:31:556,174806,0.5,174662,0.7,349111,0.5,463947,2.00 83,1,2024-09-07 10:07:30:558,1221086,1221086,0,0,572879731267,5972164270,1212094,7964,1028,382,391709,0 83,2,2024-09-07 10:07:30:763,882979,882954,25,0,34439207,0,5612 83,3,2024-09-07 10:07:30:749,1,695,1,0,1260,8155,695,0 84,0,2024-09-07 10:07:31:783,168722,0.7,168870,0.9,337714,0.7,451024,2.25 84,1,2024-09-07 10:07:31:052,1219323,1219323,0,0,572125635063,5983929155,1205624,11614,2085,367,391967,0 84,2,2024-09-07 10:07:30:589,878939,878529,410,0,49699372,0,17037 84,3,2024-09-07 10:07:31:145,1,695,5,0,908,9652,695,0 85,0,2024-09-07 10:07:31:023,169066,0.7,169012,0.8,358760,0.7,463759,2.25 85,1,2024-09-07 10:07:30:567,1215506,1215506,0,0,570425977966,6017967982,1197707,14869,2930,381,392092,0 85,2,2024-09-07 10:07:30:867,874543,874543,0,0,41971639,0,4255 85,3,2024-09-07 10:07:30:687,1,695,1,0,789,7816,695,0 86,0,2024-09-07 10:07:30:902,174840,0.7,179949,0.8,344603,0.8,466283,2.25 86,1,2024-09-07 10:07:30:830,1218066,1218066,0,0,571853785712,6005133434,1200377,14373,3316,366,392169,0 86,2,2024-09-07 10:07:30:854,876509,876508,1,0,44100759,0,5004 86,3,2024-09-07 10:07:30:616,1,695,10,0,441,9623,695,0 87,0,2024-09-07 10:07:31:296,176084,0.8,174811,0.8,350289,0.9,467696,2.25 87,1,2024-09-07 10:07:30:559,1218681,1218681,0,0,571676320656,5986989274,1203748,12771,2162,366,392076,0 87,2,2024-09-07 10:07:31:068,879829,879823,6,0,41488463,0,6323 87,3,2024-09-07 10:07:31:804,1,695,1,0,473,9803,695,0 88,0,2024-09-07 10:07:31:465,170343,0.4,170865,0.6,341740,0.4,454816,1.75 88,1,2024-09-07 10:07:30:589,1217308,1217308,0,0,571563063328,5987704109,1200495,13673,3140,365,392084,0 88,2,2024-09-07 10:07:30:750,880916,880916,0,0,43429244,0,4465 88,3,2024-09-07 10:07:31:270,1,695,1,0,1080,11124,695,0 89,0,2024-09-07 10:07:31:808,179792,0.4,174570,0.6,343971,0.4,467944,1.75 89,1,2024-09-07 10:07:30:553,1217261,1217261,0,0,571872768762,6011647858,1202581,12400,2280,382,391866,0 89,2,2024-09-07 10:07:31:145,876097,876097,0,0,40788435,0,3173 89,3,2024-09-07 10:07:31:803,1,695,2,0,729,12312,695,0 90,0,2024-09-07 10:07:31:688,169280,0.5,173762,0.7,355028,0.5,463252,2.00 90,1,2024-09-07 10:07:30:592,1218789,1218789,0,0,572624266323,5998836209,1206334,11185,1270,380,391825,0 90,2,2024-09-07 10:07:31:412,873623,873618,5,0,44048113,0,6370 90,3,2024-09-07 10:07:30:934,1,695,1,0,364,9168,695,0 91,0,2024-09-07 10:07:30:926,176458,0.5,171190,0.6,358072,0.4,469468,1.75 91,1,2024-09-07 10:07:30:559,1216025,1216025,0,0,571984821773,6030829673,1197313,14564,4148,381,392047,0 91,2,2024-09-07 10:07:31:341,878173,878173,0,0,39380143,0,4713 91,3,2024-09-07 10:07:30:599,1,695,1,0,231,6516,695,0 92,0,2024-09-07 10:07:31:467,173764,0.4,177785,0.6,338835,0.4,459508,1.75 92,1,2024-09-07 10:07:30:592,1219927,1219927,0,0,572917272040,5984756104,1210433,8182,1312,381,392136,0 92,2,2024-09-07 10:07:31:361,881449,881449,0,0,35963287,0,3906 92,3,2024-09-07 10:07:31:010,1,695,3,0,322,6236,695,0 93,0,2024-09-07 10:07:30:954,173470,0.4,177787,0.6,339309,0.3,460381,1.75 93,1,2024-09-07 10:07:30:806,1220681,1220681,0,0,573134533368,5988795546,1206278,11967,2436,365,392048,0 93,2,2024-09-07 10:07:30:928,879153,879153,0,0,41352435,0,4913 93,3,2024-09-07 10:07:31:408,1,695,1,0,788,8608,695,0 94,0,2024-09-07 10:07:31:639,174108,0.4,175193,0.6,350908,0.4,465317,1.75 94,1,2024-09-07 10:07:30:592,1222038,1222038,0,0,573974827031,5985750999,1213758,7630,650,381,391850,0 94,2,2024-09-07 10:07:30:763,877111,877083,28,0,35996249,0,6179 94,3,2024-09-07 10:07:31:700,1,695,1,0,576,8365,695,0 95,0,2024-09-07 10:07:31:357,176211,0.4,175970,0.5,352238,0.3,469271,1.75 95,1,2024-09-07 10:07:30:859,1222455,1222455,0,0,573640486705,5960792144,1213089,8554,812,365,391852,0 95,2,2024-09-07 10:07:31:015,876155,876155,0,0,36134632,0,3308 95,3,2024-09-07 10:07:31:714,1,695,0,0,718,10491,695,0 96,0,2024-09-07 10:07:31:042,174731,0.3,175068,0.5,350309,0.3,465478,1.75 96,1,2024-09-07 10:07:31:590,1219948,1219948,0,0,572534114827,5974010798,1211028,7542,1378,384,391964,0 96,2,2024-09-07 10:07:31:296,883749,883749,0,0,36662714,0,4225 96,3,2024-09-07 10:07:31:142,1,695,2,0,411,7725,695,0 97,0,2024-09-07 10:07:31:353,170213,0.3,170058,0.5,340807,0.3,453567,1.50 97,1,2024-09-07 10:07:30:776,1223063,1223063,0,0,574181215995,5958042297,1215132,6688,1243,367,392140,0 97,2,2024-09-07 10:07:30:612,882449,882449,0,0,36694310,0,4600 97,3,2024-09-07 10:07:30:570,1,695,9,0,433,8473,695,0 98,0,2024-09-07 10:07:31:703,175662,0.3,175757,0.5,352993,0.3,468784,1.50 98,1,2024-09-07 10:07:30:574,1221724,1221724,0,0,573125239426,5969266222,1214282,6543,899,381,391997,0 98,2,2024-09-07 10:07:30:769,875198,875198,0,0,34954022,0,4336 98,3,2024-09-07 10:07:30:704,1,695,2,0,840,9650,695,0 99,0,2024-09-07 10:07:31:450,176697,0.3,177518,0.5,353706,0.3,471576,1.75 99,1,2024-09-07 10:07:31:725,1222680,1222680,0,0,572956310104,5963323791,1214892,6679,1109,380,392069,0 99,2,2024-09-07 10:07:31:418,876603,876603,0,0,44132827,0,4276 99,3,2024-09-07 10:07:30:585,1,695,3,0,1124,8783,695,0 100,0,2024-09-07 10:07:31:605,174516,0.8,175067,0.9,349920,0.8,466423,2.50 100,1,2024-09-07 10:07:30:549,1215400,1215400,0,0,570022001879,6029100122,1196270,15335,3795,378,391989,0 100,2,2024-09-07 10:07:31:841,877726,877337,389,0,46014312,0,16909 100,3,2024-09-07 10:07:31:754,1,695,1,0,627,10762,695,0 101,0,2024-09-07 10:07:31:712,173999,0.9,169739,1.0,332041,0.8,453553,2.25 101,1,2024-09-07 10:07:30:553,1217470,1217470,0,0,571791914411,6008825964,1200893,13625,2952,368,392018,0 101,2,2024-09-07 10:07:31:763,875902,875863,39,0,47632666,0,5913 101,3,2024-09-07 10:07:30:945,1,695,2,0,1250,10695,695,0 102,0,2024-09-07 10:07:30:956,170423,0.6,175541,0.7,356758,0.6,466167,2.25 102,1,2024-09-07 10:07:31:146,1217525,1217525,0,0,572046045580,6006496582,1201700,13569,2256,369,391984,0 102,2,2024-09-07 10:07:31:737,876352,876298,54,0,39317715,0,6768 102,3,2024-09-07 10:07:31:618,1,695,9,0,466,8080,695,0 103,0,2024-09-07 10:07:31:599,180781,0.7,180760,0.8,340614,0.8,468890,2.25 103,1,2024-09-07 10:07:31:625,1216179,1216179,0,0,570610248667,6023177888,1197269,15284,3626,381,392077,0 103,2,2024-09-07 10:07:30:593,875467,875467,0,0,42882398,0,3766 103,3,2024-09-07 10:07:30:755,1,695,6,0,916,8237,695,0 104,0,2024-09-07 10:07:31:012,174645,0.7,174993,0.9,349233,0.7,466790,2.25 104,1,2024-09-07 10:07:31:607,1218339,1218339,0,0,571492944721,6006098915,1199904,14975,3460,365,392168,0 104,2,2024-09-07 10:07:31:668,877585,877585,0,0,41879529,0,4161 104,3,2024-09-07 10:07:31:420,1,695,3,0,1245,11758,695,0 105,0,2024-09-07 10:07:31:041,169164,0.8,164782,1.0,345553,0.9,454019,2.50 105,1,2024-09-07 10:07:30:555,1220452,1220452,0,0,571729126685,5987024879,1205678,12659,2115,364,392009,0 105,2,2024-09-07 10:07:31:357,879900,879900,0,0,43169935,0,4360 105,3,2024-09-07 10:07:31:305,1,695,56,0,573,10372,695,0 106,0,2024-09-07 10:07:30:935,167719,0.9,171991,1.0,351654,1.1,459969,2.50 106,1,2024-09-07 10:07:31:751,1218705,1218705,0,0,571771740393,5997172520,1202277,14277,2151,368,391914,0 106,2,2024-09-07 10:07:30:757,877868,877868,0,0,39749916,0,3331 106,3,2024-09-07 10:07:30:677,1,695,1,0,1224,9621,695,0 107,0,2024-09-07 10:07:31:102,174423,1.3,174403,1.1,348951,1.9,465526,2.25 107,1,2024-09-07 10:07:30:593,1216127,1216127,0,0,570053660444,6023259994,1197028,16843,2256,381,392234,0 107,2,2024-09-07 10:07:31:291,873409,873408,1,0,43407445,0,5024 107,3,2024-09-07 10:07:31:754,1,695,1,0,733,10916,695,0 108,0,2024-09-07 10:07:31:811,175694,0.4,176556,0.6,352094,0.4,468778,1.75 108,1,2024-09-07 10:07:31:300,1219833,1219833,0,0,572933313204,5982386581,1208362,10209,1262,367,391894,0 108,2,2024-09-07 10:07:31:755,878500,878500,0,0,38801987,0,4432 108,3,2024-09-07 10:07:31:332,1,695,1,0,767,12524,695,0 109,0,2024-09-07 10:07:31:755,174305,0.4,172927,0.6,346913,0.3,462175,1.75 109,1,2024-09-07 10:07:30:586,1217883,1217883,0,0,572144605736,6002326693,1207230,9147,1506,382,392132,0 109,2,2024-09-07 10:07:30:921,880107,880107,0,0,38839034,0,3617 109,3,2024-09-07 10:07:31:148,1,695,11,0,630,7971,695,0 110,0,2024-09-07 10:07:31:752,170790,0.4,166017,0.6,347716,0.3,456638,1.75 110,1,2024-09-07 10:07:31:645,1222499,1222499,0,0,574366432162,5964111211,1213034,7380,2085,368,392045,0 110,2,2024-09-07 10:07:31:303,880886,880886,0,0,37982181,0,4067 110,3,2024-09-07 10:07:30:690,1,695,1,0,722,9423,695,0 111,0,2024-09-07 10:07:31:481,176309,0.4,175492,0.6,350570,0.4,467639,1.75 111,1,2024-09-07 10:07:30:999,1223745,1223745,0,0,574524490063,5965339809,1216489,6749,507,380,391690,0 111,2,2024-09-07 10:07:31:115,876291,876291,0,0,37321763,0,4823 111,3,2024-09-07 10:07:30:913,1,695,0,0,379,7832,695,0 112,0,2024-09-07 10:07:30:921,177358,0.3,177020,0.4,354813,0.2,471373,1.50 112,1,2024-09-07 10:07:30:827,1222654,1222654,0,0,573652621051,5953927276,1215029,6399,1226,380,391624,0 112,2,2024-09-07 10:07:31:133,877628,877627,1,0,35239788,0,5036 112,3,2024-09-07 10:07:30:592,1,695,0,0,282,6838,695,0 113,0,2024-09-07 10:07:30:866,174696,0.3,175101,0.5,350426,0.2,466233,1.75 113,1,2024-09-07 10:07:31:687,1226182,1226182,0,0,575346045482,5934994248,1219269,5962,951,365,391664,0 113,2,2024-09-07 10:07:31:310,885261,885261,0,0,32650703,0,3813 113,3,2024-09-07 10:07:30:684,1,695,1,0,510,7006,695,0 114,0,2024-09-07 10:07:30:874,170267,0.3,171497,0.5,342011,0.2,456561,1.75 114,1,2024-09-07 10:07:30:717,1222078,1222078,0,0,574180236618,5961171917,1213250,7024,1804,381,391565,0 114,2,2024-09-07 10:07:30:877,883298,883297,1,0,35073877,0,5069 114,3,2024-09-07 10:07:31:278,1,695,1,0,415,5688,695,0 115,0,2024-09-07 10:07:30:553,176709,0.3,177178,0.4,353174,0.2,470393,1.50 115,1,2024-09-07 10:07:30:570,1223372,1223372,0,0,574881742199,5970970553,1213817,7763,1792,382,391757,0 115,2,2024-09-07 10:07:31:129,876951,876951,0,0,34900371,0,4382 115,3,2024-09-07 10:07:31:001,1,695,1,0,173,4412,695,0 116,0,2024-09-07 10:07:31:735,174998,0.8,175046,0.9,350644,0.8,468926,2.25 116,1,2024-09-07 10:07:30:803,1216419,1216419,0,0,571573482790,6020085919,1202346,10856,3217,380,392089,0 116,2,2024-09-07 10:07:31:751,877260,877260,0,0,42405706,0,4475 116,3,2024-09-07 10:07:30:914,1,695,0,0,448,8887,695,0 117,0,2024-09-07 10:07:31:022,175815,0.6,175520,0.8,351399,0.6,469066,2.00 117,1,2024-09-07 10:07:31:626,1219132,1219132,0,0,571841538624,5990009857,1205275,12091,1766,369,392429,0 117,2,2024-09-07 10:07:31:131,882129,882129,0,0,38983902,0,4303 117,3,2024-09-07 10:07:31:062,1,695,16,0,490,8503,695,0 118,0,2024-09-07 10:07:31:790,165289,0.5,169755,0.7,346432,0.5,453075,2.00 118,1,2024-09-07 10:07:30:586,1217336,1217336,0,0,571314671077,6003419677,1199824,13664,3848,366,392054,0 118,2,2024-09-07 10:07:31:592,880890,880890,0,0,41510353,0,3161 118,3,2024-09-07 10:07:31:764,1,695,10,0,343,8297,695,0 119,0,2024-09-07 10:07:31:367,174732,0.7,174999,0.8,349525,0.7,466308,2.25 119,1,2024-09-07 10:07:30:564,1218327,1218327,0,0,571990107546,5996967959,1202308,13351,2668,367,391857,0 119,2,2024-09-07 10:07:31:268,876827,876827,0,0,38272877,0,4309 119,3,2024-09-07 10:07:31:338,1,695,1,0,1358,12195,695,0 120,0,2024-09-07 10:07:31:553,173575,0.7,173582,0.9,348322,0.8,464563,2.50 120,1,2024-09-07 10:07:30:859,1219392,1219392,0,0,571750374680,5993852915,1206723,11510,1159,367,392144,0 120,2,2024-09-07 10:07:30:772,876396,876393,3,0,45927816,0,5363 120,3,2024-09-07 10:07:31:290,1,695,1,0,478,8896,695,0 121,0,2024-09-07 10:07:31:745,175900,1.1,175353,1.0,350843,1.4,467355,2.25 121,1,2024-09-07 10:07:31:678,1219000,1219000,0,0,571954321958,5986704595,1205473,11530,1997,366,391840,0 121,2,2024-09-07 10:07:31:133,878595,878595,0,0,41801696,0,4157 121,3,2024-09-07 10:07:30:735,1,695,1,0,387,9253,695,0 122,0,2024-09-07 10:07:31:790,171564,0.7,167022,0.8,349273,0.8,458920,2.00 122,1,2024-09-07 10:07:30:866,1218230,1218230,0,0,571846338269,5997035066,1201955,13697,2578,365,392130,0 122,2,2024-09-07 10:07:31:319,881121,881046,75,0,45926421,0,5989 122,3,2024-09-07 10:07:30:598,1,695,1,0,512,10506,695,0 123,0,2024-09-07 10:07:30:969,171419,0.8,167079,0.8,350407,0.9,458489,2.25 123,1,2024-09-07 10:07:30:567,1219165,1219165,0,0,571958757319,6012900357,1200070,16067,3028,369,392039,0 123,2,2024-09-07 10:07:31:018,878399,878398,1,0,39411365,0,5215 123,3,2024-09-07 10:07:31:146,1,695,38,0,478,7823,695,0 124,0,2024-09-07 10:07:30:944,179735,0.4,179751,0.5,338692,0.3,466161,1.75 124,1,2024-09-07 10:07:31:026,1222748,1222748,0,0,573507765505,5963797351,1213212,8275,1261,365,392178,0 124,2,2024-09-07 10:07:31:010,878895,878842,53,0,36600376,0,6487 124,3,2024-09-07 10:07:30:758,1,695,2,0,490,7223,695,0 125,0,2024-09-07 10:07:31:427,176128,0.4,175988,0.6,352742,0.3,469725,1.75 125,1,2024-09-07 10:07:30:855,1219372,1219372,0,0,572550556727,5983303251,1208321,9466,1585,382,392045,0 125,2,2024-09-07 10:07:31:128,880277,880277,0,0,36154336,0,4534 125,3,2024-09-07 10:07:31:133,1,695,0,0,709,7686,695,0 126,0,2024-09-07 10:07:31:422,175120,0.4,180080,0.5,344197,0.3,466231,1.75 126,1,2024-09-07 10:07:30:556,1223086,1223086,0,0,574640034387,5954296351,1215808,6576,702,365,391987,0 126,2,2024-09-07 10:07:30:610,883778,883778,0,0,37923971,0,4539 126,3,2024-09-07 10:07:30:908,1,695,1,0,268,7859,695,0 127,0,2024-09-07 10:07:31:597,170470,0.3,170906,0.5,340715,0.3,453838,1.75 127,1,2024-09-07 10:07:30:568,1221820,1221820,0,0,573412487985,5966823465,1209363,10681,1776,364,392187,0 127,2,2024-09-07 10:07:30:642,882117,882113,4,0,35846493,0,5305 127,3,2024-09-07 10:07:31:274,1,695,1,0,968,6831,695,0 128,0,2024-09-07 10:07:31:542,176890,0.3,176717,0.4,353667,0.2,469705,1.50 128,1,2024-09-07 10:07:31:631,1221177,1221177,0,0,573843688571,5965874593,1212186,8019,972,367,392423,0 128,2,2024-09-07 10:07:31:384,877592,877592,0,0,33329395,0,3171 128,3,2024-09-07 10:07:30:770,1,695,1,0,1082,10343,695,0 129,0,2024-09-07 10:07:31:061,177996,0.3,177173,0.5,355408,0.3,472373,1.50 129,1,2024-09-07 10:07:30:569,1218032,1218032,0,0,571616284243,5995966768,1205085,10617,2330,379,391962,0 129,2,2024-09-07 10:07:30:689,879268,879264,4,0,36116912,0,5335 129,3,2024-09-07 10:07:30:696,1,695,1,0,506,9971,695,0 130,0,2024-09-07 10:07:31:756,175705,0.4,175564,0.5,351450,0.4,467990,1.75 130,1,2024-09-07 10:07:30:585,1222788,1222788,0,0,573752194253,5957426232,1216940,5441,407,381,391825,0 130,2,2024-09-07 10:07:31:133,882292,882292,0,0,35937057,0,4067 130,3,2024-09-07 10:07:31:291,1,695,1,0,960,8908,695,0 131,0,2024-09-07 10:07:31:924,170288,0.3,170685,0.5,342353,0.3,455359,1.50 131,1,2024-09-07 10:07:31:826,1221919,1221919,0,0,573542025623,5976100043,1213766,6942,1211,381,391865,0 131,2,2024-09-07 10:07:30:566,881824,881824,0,0,34190748,0,3979 131,3,2024-09-07 10:07:31:688,1,695,1,0,392,8681,695,0 132,0,2024-09-07 10:07:31:434,175764,0.5,176723,0.6,351748,0.4,468230,2.00 132,1,2024-09-07 10:07:30:589,1217589,1217589,0,0,571311014797,6009930323,1201086,13721,2782,381,392532,0 132,2,2024-09-07 10:07:30:700,875405,875388,17,0,42754942,0,6451 132,3,2024-09-07 10:07:31:709,1,695,0,0,1298,11834,695,0 133,0,2024-09-07 10:07:31:573,170938,0.5,175065,0.6,358828,0.4,467220,2.00 133,1,2024-09-07 10:07:30:612,1217209,1217209,0,0,571729735590,6019409084,1201756,13518,1935,383,391914,0 133,2,2024-09-07 10:07:31:087,878262,878212,50,0,43846962,0,6861 133,3,2024-09-07 10:07:31:307,1,695,173,0,528,8389,695,0 134,0,2024-09-07 10:07:30:963,176649,0.5,176556,0.7,352876,0.5,470061,2.00 134,1,2024-09-07 10:07:30:615,1218787,1218787,0,0,571484759754,5995439988,1202700,12795,3292,366,391781,0 134,2,2024-09-07 10:07:31:763,879109,878970,139,0,41655057,0,7591 134,3,2024-09-07 10:07:30:749,1,695,6,0,739,8496,695,0 135,0,2024-09-07 10:07:31:111,165700,0.7,165664,0.8,351756,0.7,453452,2.25 135,1,2024-09-07 10:07:31:590,1218161,1218161,0,0,572036616529,6011478978,1203738,12571,1852,380,392038,0 135,2,2024-09-07 10:07:30:686,882244,882244,0,0,42089493,0,4503 135,3,2024-09-07 10:07:31:002,1,695,2,0,900,6667,695,0 136,0,2024-09-07 10:07:31:635,173817,0.6,174318,0.8,346879,0.6,463302,2.00 136,1,2024-09-07 10:07:31:443,1219544,1219544,0,0,571706772764,5988339733,1206710,11408,1426,381,392135,0 136,2,2024-09-07 10:07:31:135,879877,879862,15,0,40944116,0,6007 136,3,2024-09-07 10:07:31:110,1,695,3,0,637,8135,695,0 137,0,2024-09-07 10:07:30:928,180201,0.6,175652,0.8,344011,0.7,467227,2.00 137,1,2024-09-07 10:07:30:606,1218448,1218448,0,0,572428259952,6000021719,1200009,14799,3640,366,391898,0 137,2,2024-09-07 10:07:31:846,876128,876128,0,0,41983885,0,3185 137,3,2024-09-07 10:07:30:769,1,695,0,0,484,9791,695,0 138,0,2024-09-07 10:07:31:741,175067,0.9,175353,0.9,351181,1.1,467022,2.25 138,1,2024-09-07 10:07:31:688,1218090,1218090,0,0,572388129730,6005056308,1200953,14446,2691,368,391954,0 138,2,2024-09-07 10:07:30:585,876818,876818,0,0,40485877,0,4988 138,3,2024-09-07 10:07:30:612,1,695,1,0,1200,10351,695,0 139,0,2024-09-07 10:07:31:380,172215,0.9,172898,0.9,345547,1.1,460557,2.25 139,1,2024-09-07 10:07:30:571,1214494,1214494,0,0,568894366850,6025229863,1194591,15989,3914,380,392109,0 139,2,2024-09-07 10:07:30:692,876002,875972,30,0,46021977,0,5997 139,3,2024-09-07 10:07:31:663,1,695,9,0,432,8217,695,0 140,0,2024-09-07 10:07:31:592,171488,0.3,170739,0.5,342463,0.2,456842,1.75 140,1,2024-09-07 10:07:31:536,1225713,1225713,0,0,575971994981,5944025950,1218907,6002,804,364,391628,0 140,2,2024-09-07 10:07:30:688,881262,881261,1,0,34607857,0,5036 140,3,2024-09-07 10:07:30:773,1,695,0,0,575,6991,695,0 141,0,2024-09-07 10:07:31:706,176199,0.3,180872,0.5,345532,0.3,467279,1.75 141,1,2024-09-07 10:07:30:938,1222940,1222940,0,0,574197353579,5968742810,1212594,8953,1393,379,391614,0 141,2,2024-09-07 10:07:31:686,876812,876801,11,0,37101689,0,5369 141,3,2024-09-07 10:07:31:050,1,695,5,0,391,8121,695,0 142,0,2024-09-07 10:07:31:371,177702,0.3,176914,0.5,354149,0.3,472254,1.50 142,1,2024-09-07 10:07:30:611,1220936,1220936,0,0,573381077014,5983216871,1211881,8161,894,382,392102,0 142,2,2024-09-07 10:07:31:302,876709,876677,32,0,36743950,0,6028 142,3,2024-09-07 10:07:31:764,1,695,1,0,484,7051,695,0 143,0,2024-09-07 10:07:31:384,174879,0.4,174700,0.5,350577,0.4,466237,1.75 143,1,2024-09-07 10:07:30:556,1223917,1223917,0,0,573926988651,5957285527,1215512,7434,971,367,391900,0 143,2,2024-09-07 10:07:30:769,883797,883797,0,0,36379232,0,3123 143,3,2024-09-07 10:07:31:148,1,695,2,0,462,8105,695,0 144,0,2024-09-07 10:07:31:490,165115,0.5,169917,0.7,345842,0.5,452743,2.00 144,1,2024-09-07 10:07:30:568,1217947,1217947,0,0,571885365171,5999302649,1206199,9703,2045,381,391733,0 144,2,2024-09-07 10:07:31:754,882764,882764,0,0,35981257,0,4443 144,3,2024-09-07 10:07:31:739,1,695,8,0,306,7446,695,0 145,0,2024-09-07 10:07:31:375,170375,0.6,170270,0.8,361519,0.5,465794,2.25 145,1,2024-09-07 10:07:30:557,1216841,1216841,0,0,571621418914,6014883545,1200638,13235,2968,382,391781,0 145,2,2024-09-07 10:07:31:442,874381,874299,82,0,41858561,0,7814 145,3,2024-09-07 10:07:30:895,1,695,3,0,622,9378,695,0 146,0,2024-09-07 10:07:31:630,175515,0.7,174682,0.8,351258,0.7,467048,2.25 146,1,2024-09-07 10:07:31:606,1218474,1218474,0,0,571479946545,6008946207,1199333,14215,4926,367,391829,0 146,2,2024-09-07 10:07:31:776,875586,875580,6,0,39900450,0,5151 146,3,2024-09-07 10:07:31:278,1,695,2,0,1520,10894,695,0 147,0,2024-09-07 10:07:31:695,175839,0.6,175548,0.7,351039,0.5,468111,2.00 147,1,2024-09-07 10:07:31:371,1222639,1222639,0,0,573699690047,5963906581,1212679,8860,1100,367,391791,0 147,2,2024-09-07 10:07:31:009,880608,880608,0,0,37730552,0,4531 147,3,2024-09-07 10:07:30:914,1,695,2,0,1626,10393,695,0 0,0,2024-09-07 10:07:41:722,169664,0.7,169682,0.8,360137,0.8,465497,2.00 0,1,2024-09-07 10:07:40:825,1221348,1221348,0,0,572890934112,5996750044,1211464,8909,975,368,391896,0 0,2,2024-09-07 10:07:41:067,879749,879749,0,0,35612155,0,4480 0,3,2024-09-07 10:07:40:975,1,696,2,0,538,9929,696,0 1,0,2024-09-07 10:07:41:861,175818,0.8,174871,0.9,350861,1.0,469274,2.00 1,1,2024-09-07 10:07:40:568,1220504,1220504,0,0,572463811986,5997602225,1209103,9430,1971,370,391859,0 1,2,2024-09-07 10:07:40:649,881566,881566,0,0,35192995,0,3380 1,3,2024-09-07 10:07:41:310,1,696,12,0,269,8270,696,0 2,0,2024-09-07 10:07:41:572,172245,0.6,171988,0.7,343701,0.6,458124,2.00 2,1,2024-09-07 10:07:40:870,1224527,1224527,0,0,574127686210,5969818559,1217596,5970,961,379,391805,0 2,2,2024-09-07 10:07:41:266,883488,883488,0,0,33987273,0,3594 2,3,2024-09-07 10:07:40:690,1,696,1,0,357,6129,696,0 3,0,2024-09-07 10:07:41:752,172846,0.4,172706,0.6,345032,0.4,460391,2.00 3,1,2024-09-07 10:07:41:617,1222204,1222204,0,0,573682083609,5967159624,1213609,7877,718,379,391716,0 3,2,2024-09-07 10:07:41:143,881933,881910,23,0,34696219,0,5851 3,3,2024-09-07 10:07:41:756,1,696,0,0,484,5245,696,0 4,0,2024-09-07 10:07:41:908,169842,0.4,174377,0.5,355848,0.4,464929,1.75 4,1,2024-09-07 10:07:40:625,1216946,1216946,0,0,571386284740,6042409191,1197036,15309,4601,369,391992,0 4,2,2024-09-07 10:07:41:018,875665,875665,0,0,42281062,0,4534 4,3,2024-09-07 10:07:41:042,1,696,1,0,448,9360,696,0 5,0,2024-09-07 10:07:41:386,176363,0.4,176096,0.6,352653,0.4,469340,1.75 5,1,2024-09-07 10:07:40:785,1218357,1218357,0,0,572124495786,6034750849,1200661,13457,4239,367,392005,0 5,2,2024-09-07 10:07:41:831,875402,875369,33,0,42582958,0,7631 5,3,2024-09-07 10:07:41:734,1,696,1,0,457,9173,696,0 6,0,2024-09-07 10:07:40:916,174938,0.5,174377,0.6,349113,0.4,464824,2.00 6,1,2024-09-07 10:07:40:747,1221642,1221642,0,0,573813819044,5989777830,1209468,10489,1685,379,391702,0 6,2,2024-09-07 10:07:41:120,883490,883472,18,0,39505942,0,5535 6,3,2024-09-07 10:07:41:274,1,696,1,0,710,7951,696,0 7,0,2024-09-07 10:07:41:559,169981,0.5,171216,0.7,339697,0.5,453572,2.00 7,1,2024-09-07 10:07:40:863,1218842,1218842,0,0,571797592218,6014828564,1201007,13698,4137,382,391747,0 7,2,2024-09-07 10:07:40:774,881315,881146,169,0,40304336,0,7706 7,3,2024-09-07 10:07:40:854,1,696,1,0,552,8175,696,0 8,0,2024-09-07 10:07:41:363,176496,0.4,176113,0.5,352784,0.4,470149,1.75 8,1,2024-09-07 10:07:41:037,1217892,1217892,0,0,572218165969,6015634053,1199032,14814,4046,366,392853,0 8,2,2024-09-07 10:07:40:790,872071,872069,2,0,45120961,0,5112 8,3,2024-09-07 10:07:40:592,1,696,1,0,772,10379,696,0 9,0,2024-09-07 10:07:41:104,176941,0.4,171848,0.5,359603,0.3,472215,1.75 9,1,2024-09-07 10:07:40:559,1217783,1217783,0,0,571923223265,6029738985,1198110,15323,4350,369,392001,0 9,2,2024-09-07 10:07:41:091,877032,877031,1,0,43105243,0,5281 9,3,2024-09-07 10:07:41:756,1,696,0,0,1273,11079,696,0 10,0,2024-09-07 10:07:41:599,175062,0.4,174680,0.5,350140,0.3,465989,1.75 10,1,2024-09-07 10:07:40:587,1219586,1219586,0,0,572898866674,6011105747,1201524,14328,3734,381,391981,0 10,2,2024-09-07 10:07:40:777,882873,882873,0,0,46275338,0,4713 10,3,2024-09-07 10:07:40:878,1,696,2,0,669,7371,696,0 11,0,2024-09-07 10:07:41:010,170500,0.4,165454,0.6,346262,0.4,456399,1.75 11,1,2024-09-07 10:07:40:577,1221870,1221870,0,0,573331203673,6009643228,1204984,12576,4310,383,391766,0 11,2,2024-09-07 10:07:41:124,881759,881759,0,0,39958038,0,4698 11,3,2024-09-07 10:07:41:297,1,696,2,0,843,8432,696,0 12,0,2024-09-07 10:07:40:969,176877,0.4,176503,0.6,352798,0.4,469363,1.75 12,1,2024-09-07 10:07:41:008,1221059,1221059,0,0,572906857572,5977385891,1211198,8830,1031,368,391960,0 12,2,2024-09-07 10:07:41:541,874842,874842,0,0,39803618,0,4390 12,3,2024-09-07 10:07:41:081,1,696,1,0,386,8573,696,0 13,0,2024-09-07 10:07:41:384,176501,0.4,176652,0.6,352744,0.4,469877,1.75 13,1,2024-09-07 10:07:41:538,1218485,1218485,0,0,572082967643,6021403418,1204317,11088,3080,382,391803,0 13,2,2024-09-07 10:07:40:601,880243,880243,0,0,36067102,0,3287 13,3,2024-09-07 10:07:41:767,1,696,4,0,522,9294,696,0 14,0,2024-09-07 10:07:40:580,176090,0.4,177144,0.6,352348,0.3,468787,1.75 14,1,2024-09-07 10:07:41:568,1227082,1227082,0,0,576208624422,5960294260,1217827,8105,1150,364,391673,0 14,2,2024-09-07 10:07:40:777,881707,881677,30,0,37175098,0,6104 14,3,2024-09-07 10:07:41:116,1,696,2,0,1168,7168,696,0 15,0,2024-09-07 10:07:41:553,171403,0.4,170532,0.6,341366,0.3,455696,1.75 15,1,2024-09-07 10:07:41:608,1223026,1223026,0,0,574395272199,5986174771,1212160,8770,2096,380,391619,0 15,2,2024-09-07 10:07:40:998,884697,884697,0,0,33265103,0,3622 15,3,2024-09-07 10:07:41:405,1,696,0,0,1126,8638,696,0 16,0,2024-09-07 10:07:40:938,173801,0.6,174729,0.8,348516,0.6,464532,2.00 16,1,2024-09-07 10:07:40:570,1222675,1222675,0,0,573518745246,5995070141,1211932,9199,1544,370,392194,0 16,2,2024-09-07 10:07:41:440,878382,878382,0,0,36342522,0,4719 16,3,2024-09-07 10:07:41:153,1,696,3,0,362,8581,696,0 17,0,2024-09-07 10:07:41:838,180330,0.7,175781,0.8,344306,0.7,467817,2.00 17,1,2024-09-07 10:07:40:578,1220938,1220938,0,0,572701012623,6002440798,1209815,9322,1801,368,392075,0 17,2,2024-09-07 10:07:41:679,881687,881686,1,0,37575320,0,5050 17,3,2024-09-07 10:07:40:595,1,696,1,0,518,9924,696,0 18,0,2024-09-07 10:07:40:950,174348,0.6,175485,0.8,349269,0.6,466382,2.25 18,1,2024-09-07 10:07:41:696,1225843,1225843,0,0,574454407112,5959047665,1217658,6754,1431,367,391725,0 18,2,2024-09-07 10:07:41:759,882206,882206,0,0,33989988,0,3541 18,3,2024-09-07 10:07:40:896,1,696,1,0,1059,6296,696,0 19,0,2024-09-07 10:07:41:540,172785,0.6,173232,0.8,345415,0.6,458554,2.00 19,1,2024-09-07 10:07:40:571,1225033,1225033,0,0,575818787632,5965777050,1216177,7695,1161,365,391777,0 19,2,2024-09-07 10:07:41:757,885808,885808,0,0,31819378,0,3988 19,3,2024-09-07 10:07:41:135,1,696,8,0,524,5543,696,0 20,0,2024-09-07 10:07:41:366,171295,0.5,171234,0.7,342101,0.5,456962,2.00 20,1,2024-09-07 10:07:40:570,1220140,1220140,0,0,573192895711,6006350442,1207861,10570,1709,369,391922,0 20,2,2024-09-07 10:07:40:943,881482,881482,0,0,40296013,0,4321 20,3,2024-09-07 10:07:40:589,1,696,6,0,468,11564,696,0 21,0,2024-09-07 10:07:41:236,175666,0.5,175730,0.7,351224,0.5,466067,2.00 21,1,2024-09-07 10:07:41:536,1218053,1218053,0,0,571345879707,6028892112,1199289,14771,3993,368,392016,0 21,2,2024-09-07 10:07:41:070,871848,871371,477,0,51494686,0,17074 21,3,2024-09-07 10:07:41:404,1,696,0,0,713,9578,696,0 22,0,2024-09-07 10:07:41:736,176798,0.5,177424,0.7,354461,0.4,470399,2.00 22,1,2024-09-07 10:07:41:040,1219427,1219427,0,0,572674467961,6022866168,1200315,15507,3605,381,391822,0 22,2,2024-09-07 10:07:40:766,877368,877342,26,0,38243262,0,6328 22,3,2024-09-07 10:07:41:080,1,696,1,0,228,5662,696,0 23,0,2024-09-07 10:07:41:435,174752,0.5,174597,0.6,349172,0.4,465645,2.00 23,1,2024-09-07 10:07:41:003,1220573,1220573,0,0,572809756018,6031090914,1198674,14729,7170,365,391690,0 23,2,2024-09-07 10:07:41:095,883950,883950,0,0,36521503,0,3773 23,3,2024-09-07 10:07:41:765,1,696,10,0,855,9774,696,0 24,0,2024-09-07 10:07:40:887,171665,0.4,170529,0.5,342777,0.3,455592,1.75 24,1,2024-09-07 10:07:40:590,1219473,1219473,0,0,572728059572,5993356199,1207866,9730,1877,367,392269,0 24,2,2024-09-07 10:07:41:091,882230,882227,3,0,42775882,0,6294 24,3,2024-09-07 10:07:41:698,1,696,11,0,468,9051,696,0 25,0,2024-09-07 10:07:41:360,181318,0.4,176085,0.6,346836,0.4,472902,2.00 25,1,2024-09-07 10:07:40:576,1218285,1218285,0,0,571895833290,6039462872,1197499,16791,3995,369,391928,0 25,2,2024-09-07 10:07:41:611,874148,874148,0,0,44373592,0,3978 25,3,2024-09-07 10:07:41:005,1,696,1,0,532,7594,696,0 26,0,2024-09-07 10:07:41:741,175419,0.4,171346,0.6,359479,0.4,469222,2.00 26,1,2024-09-07 10:07:41:543,1221663,1221663,0,0,573500055667,6000694784,1205958,12802,2903,380,391758,0 26,2,2024-09-07 10:07:40:863,878019,878019,0,0,45617787,0,4689 26,3,2024-09-07 10:07:41:726,1,696,86,0,796,8669,696,0 27,0,2024-09-07 10:07:41:739,175765,0.4,176485,0.6,350990,0.4,468118,2.25 27,1,2024-09-07 10:07:41:678,1223223,1223223,0,0,574860106481,5988442410,1211939,9662,1622,381,391626,0 27,2,2024-09-07 10:07:40:872,878784,878719,65,0,40545176,0,5699 27,3,2024-09-07 10:07:41:017,1,696,1,0,564,5918,696,0 28,0,2024-09-07 10:07:41:391,170762,0.4,171064,0.6,341997,0.3,455305,1.75 28,1,2024-09-07 10:07:40:796,1223728,1223728,0,0,574991311376,5999939902,1212256,9044,2428,382,391904,0 28,2,2024-09-07 10:07:41:764,882520,882520,0,0,37814953,0,4060 28,3,2024-09-07 10:07:41:791,1,696,17,0,502,7240,696,0 29,0,2024-09-07 10:07:41:355,180148,0.4,175366,0.6,344344,0.4,469724,1.75 29,1,2024-09-07 10:07:41:589,1226724,1226724,0,0,576426761539,5964928265,1218801,6902,1021,367,391809,0 29,2,2024-09-07 10:07:40:869,877010,877010,0,0,35146712,0,4986 29,3,2024-09-07 10:07:40:962,1,696,1,0,590,7837,696,0 30,0,2024-09-07 10:07:41:455,173565,0.6,168914,0.7,354040,0.5,462350,2.00 30,1,2024-09-07 10:07:40:577,1225589,1225589,0,0,575391956278,5974891591,1215775,8646,1168,380,391672,0 30,2,2024-09-07 10:07:41:282,879788,879788,0,0,34082377,0,4192 30,3,2024-09-07 10:07:40:581,1,696,1,0,519,7210,696,0 31,0,2024-09-07 10:07:41:764,175605,0.5,176443,0.7,351966,0.4,469294,2.00 31,1,2024-09-07 10:07:40:567,1229326,1229326,0,0,576862683095,5925706389,1221395,6662,1269,356,391712,0 31,2,2024-09-07 10:07:41:280,880601,880601,0,0,37527036,0,4470 31,3,2024-09-07 10:07:41:727,1,696,2,0,248,6120,696,0 32,0,2024-09-07 10:07:41:423,172375,0.3,173406,0.5,345527,0.2,459567,1.75 32,1,2024-09-07 10:07:40:825,1225166,1225166,0,0,575282818526,5979384582,1217673,6528,965,381,391646,0 32,2,2024-09-07 10:07:40:943,885207,885207,0,0,32896686,0,3922 32,3,2024-09-07 10:07:41:023,1,696,0,0,304,5844,696,0 33,0,2024-09-07 10:07:41:516,173642,0.3,173197,0.4,346417,0.2,461790,1.50 33,1,2024-09-07 10:07:40:579,1226298,1226298,0,0,575894516150,5963076420,1216676,8266,1356,368,391730,0 33,2,2024-09-07 10:07:40:769,880771,880736,35,0,36472830,0,7012 33,3,2024-09-07 10:07:40:895,1,696,1,0,329,6390,696,0 34,0,2024-09-07 10:07:40:932,175190,0.3,180122,0.5,344477,0.2,464873,1.75 34,1,2024-09-07 10:07:41:044,1229329,1229329,0,0,576483130498,5932047580,1225327,3793,209,367,391637,0 34,2,2024-09-07 10:07:40:766,879362,879362,0,0,33988033,0,4562 34,3,2024-09-07 10:07:41:688,1,696,1,0,541,6049,696,0 35,0,2024-09-07 10:07:40:861,175716,0.3,176328,0.5,353549,0.2,470304,1.75 35,1,2024-09-07 10:07:41:067,1224798,1224798,0,0,574436631350,5960128050,1215857,7388,1553,382,391769,0 35,2,2024-09-07 10:07:41:583,879237,879237,0,0,39681019,0,4055 35,3,2024-09-07 10:07:40:912,1,696,0,0,466,6570,696,0 36,0,2024-09-07 10:07:41:524,175384,0.4,175616,0.6,350713,0.4,466761,2.00 36,1,2024-09-07 10:07:40:587,1223315,1223315,0,0,573914846898,5990553831,1208535,12426,2354,366,391759,0 36,2,2024-09-07 10:07:41:758,883037,883037,0,0,39632857,0,3875 36,3,2024-09-07 10:07:40:863,1,696,1,0,556,8823,696,0 37,0,2024-09-07 10:07:41:371,170030,0.5,170009,0.7,340617,0.5,454306,2.25 37,1,2024-09-07 10:07:40:569,1222728,1222721,0,7,573982251461,5992014045,1208534,11072,3115,365,391770,0 37,2,2024-09-07 10:07:41:145,880498,880483,15,0,39758018,0,5815 37,3,2024-09-07 10:07:41:769,1,696,1,0,888,9503,696,0 38,0,2024-09-07 10:07:41:441,175349,0.5,170031,0.7,355444,0.4,465871,2.00 38,1,2024-09-07 10:07:41:608,1223165,1223165,0,0,573721766286,5996175924,1206327,13532,3306,368,391821,0 38,2,2024-09-07 10:07:40:764,877559,877512,47,0,39627902,0,6710 38,3,2024-09-07 10:07:40:997,1,696,1,0,689,7916,696,0 39,0,2024-09-07 10:07:41:775,180440,0.5,176249,0.7,344250,0.5,469773,2.00 39,1,2024-09-07 10:07:40:733,1220877,1220877,0,0,572604832718,6001873655,1201079,15565,4233,365,391865,0 39,2,2024-09-07 10:07:41:416,878337,878337,0,0,37909844,0,3478 39,3,2024-09-07 10:07:40:715,1,696,2,0,525,7682,696,0 40,0,2024-09-07 10:07:41:488,173586,0.8,174239,0.9,347832,0.9,464428,2.75 40,1,2024-09-07 10:07:40:579,1221491,1221491,0,0,572781296481,6002199271,1202686,15222,3583,366,391668,0 40,2,2024-09-07 10:07:41:306,880356,880349,7,0,43778579,0,5347 40,3,2024-09-07 10:07:41:144,1,696,1,0,1028,9842,696,0 41,0,2024-09-07 10:07:41:030,169701,1.1,173783,1.1,331419,1.5,450385,3.00 41,1,2024-09-07 10:07:40:774,1221701,1221701,0,0,573995760383,6001453579,1205991,13185,2525,369,391878,0 41,2,2024-09-07 10:07:40:776,879119,879118,1,0,42742027,0,5408 41,3,2024-09-07 10:07:41:688,1,696,1,0,749,8444,696,0 42,0,2024-09-07 10:07:41:475,174420,0.9,174183,1.0,348785,1.1,462671,2.75 42,1,2024-09-07 10:07:41:439,1219274,1219274,0,0,571460833571,5997596660,1201667,14523,3084,380,391675,0 42,2,2024-09-07 10:07:41:132,873589,873588,1,0,43843255,0,5513 42,3,2024-09-07 10:07:41:009,1,696,1,0,892,6985,696,0 43,0,2024-09-07 10:07:40:915,173254,0.8,168589,1.0,353372,0.9,462385,2.25 43,1,2024-09-07 10:07:40:578,1222635,1222635,0,0,574396368835,5998134543,1206524,13363,2748,365,391696,0 43,2,2024-09-07 10:07:41:736,879681,879681,0,0,40239495,0,4723 43,3,2024-09-07 10:07:41:750,1,696,11,0,571,9317,696,0 44,0,2024-09-07 10:07:40:875,176309,0.4,176406,0.6,352372,0.3,469763,1.75 44,1,2024-09-07 10:07:40:570,1225654,1225654,0,0,575561362129,5951846674,1214325,9178,2151,356,391809,0 44,2,2024-09-07 10:07:41:267,880227,880227,0,0,33830258,0,4344 44,3,2024-09-07 10:07:41:097,1,696,1,0,1097,8913,696,0 45,0,2024-09-07 10:07:41:762,169626,0.4,165631,0.7,347440,0.4,455843,2.00 45,1,2024-09-07 10:07:41:010,1225128,1225128,0,0,575251157515,5979489067,1215577,8330,1221,382,391917,0 45,2,2024-09-07 10:07:41:278,884165,884165,0,0,33976699,0,3596 45,3,2024-09-07 10:07:40:951,1,696,1,0,531,6487,696,0 46,0,2024-09-07 10:07:40:959,173264,0.5,172650,0.7,346521,0.5,461417,2.00 46,1,2024-09-07 10:07:40:577,1227037,1227037,0,0,575721575460,5956387589,1217761,7794,1482,366,391709,0 46,2,2024-09-07 10:07:40:597,880043,880043,0,0,34777808,0,4443 46,3,2024-09-07 10:07:41:132,1,696,0,0,908,7616,696,0 47,0,2024-09-07 10:07:41:105,175592,0.5,175127,0.6,351591,0.4,465968,2.00 47,1,2024-09-07 10:07:40:568,1228296,1228296,0,0,575803527592,5950756105,1220788,6495,1013,364,391666,0 47,2,2024-09-07 10:07:40:908,881513,881513,0,0,35161256,0,4477 47,3,2024-09-07 10:07:41:117,1,696,1,0,600,7528,696,0 48,0,2024-09-07 10:07:41:488,176432,0.3,176983,0.4,352609,0.2,469163,1.50 48,1,2024-09-07 10:07:41:022,1224681,1224681,0,0,573917849129,5963093791,1217010,6659,1012,381,391710,0 48,2,2024-09-07 10:07:40:699,880852,880852,0,0,32248715,0,3619 48,3,2024-09-07 10:07:40:754,1,696,1,0,339,5991,696,0 49,0,2024-09-07 10:07:41:733,178416,0.3,174724,0.5,339868,0.3,463160,1.75 49,1,2024-09-07 10:07:41:024,1223988,1223988,0,0,574779769875,5975800347,1216120,6170,1698,382,391809,0 49,2,2024-09-07 10:07:41:811,884825,884825,0,0,33697520,0,4426 49,3,2024-09-07 10:07:41:416,1,696,0,0,992,8090,696,0 50,0,2024-09-07 10:07:41:509,171679,0.3,170478,0.5,343123,0.2,456588,1.75 50,1,2024-09-07 10:07:41:010,1227225,1227225,0,0,576338927152,5957720193,1219669,6824,732,368,391691,0 50,2,2024-09-07 10:07:41:068,880981,880981,0,0,31646239,0,4490 50,3,2024-09-07 10:07:41:293,1,696,1,0,617,7212,696,0 51,0,2024-09-07 10:07:41:694,180340,0.3,176446,0.5,343659,0.3,468402,1.75 51,1,2024-09-07 10:07:41:685,1228522,1228522,0,0,577658681735,5955705516,1221821,5357,1344,365,391706,0 51,2,2024-09-07 10:07:41:318,878487,878487,0,0,31261374,0,3337 51,3,2024-09-07 10:07:41:027,1,696,1,0,678,5467,696,0 52,0,2024-09-07 10:07:41:419,177030,0.5,176845,0.7,353459,0.4,470094,2.00 52,1,2024-09-07 10:07:40:578,1222132,1222132,0,0,573548359578,6000380225,1205379,14326,2427,368,391805,0 52,2,2024-09-07 10:07:41:758,875132,875094,38,0,42688058,0,6742 52,3,2024-09-07 10:07:40:697,1,696,1,0,1782,7932,696,0 53,0,2024-09-07 10:07:41:745,174450,0.6,169322,0.8,354337,0.7,464167,2.25 53,1,2024-09-07 10:07:40:792,1221588,1221588,0,0,573734232491,6013829699,1202221,14937,4430,367,391968,0 53,2,2024-09-07 10:07:41:298,883618,883480,138,0,39129924,0,7690 53,3,2024-09-07 10:07:40:697,1,696,1,0,308,6508,696,0 54,0,2024-09-07 10:07:41:615,168730,0.5,169286,0.7,336865,0.4,449897,2.25 54,1,2024-09-07 10:07:40:580,1224048,1224048,0,0,574765397833,5974175242,1212249,10124,1675,366,391810,0 54,2,2024-09-07 10:07:40:865,882874,882842,32,0,42428541,0,6397 54,3,2024-09-07 10:07:40:773,1,696,1,0,676,8950,696,0 55,0,2024-09-07 10:07:41:780,170380,0.7,175559,0.8,356280,0.7,464939,2.50 55,1,2024-09-07 10:07:40:764,1224440,1224440,0,0,574089379651,5968169696,1212412,10541,1487,365,391731,0 55,2,2024-09-07 10:07:40:735,875710,875654,56,0,38652229,0,7239 55,3,2024-09-07 10:07:40:674,1,696,4,0,304,6284,696,0 56,0,2024-09-07 10:07:41:583,179261,1.2,168906,1.1,348179,1.6,466437,2.75 56,1,2024-09-07 10:07:40:570,1217022,1217022,0,0,571454061604,6038990253,1197530,15738,3754,381,391867,0 56,2,2024-09-07 10:07:41:327,877033,876911,122,0,41262160,0,7432 56,3,2024-09-07 10:07:41:075,1,696,9,0,705,8271,696,0 57,0,2024-09-07 10:07:40:968,173976,1.3,173931,1.2,347383,1.8,464306,3.00 57,1,2024-09-07 10:07:40:986,1220312,1220312,0,0,572517373621,6003825403,1204597,13011,2704,366,392097,0 57,2,2024-09-07 10:07:41:322,881762,881762,0,0,44275581,0,4804 57,3,2024-09-07 10:07:41:743,1,696,1,0,455,7421,696,0 58,0,2024-09-07 10:07:40:565,168258,0.9,163493,1.0,342137,1.0,447697,2.50 58,1,2024-09-07 10:07:40:578,1221334,1221331,0,3,573840583232,6014470267,1202968,13961,4402,367,391726,3 58,2,2024-09-07 10:07:41:070,882930,882930,0,0,40202436,0,3483 58,3,2024-09-07 10:07:41:071,1,696,16,0,1043,7499,696,0 59,0,2024-09-07 10:07:41:747,174125,0.8,173119,1.0,346400,0.9,460230,2.75 59,1,2024-09-07 10:07:40:817,1220871,1220871,0,0,572652537747,6013085270,1201383,15650,3838,369,391653,0 59,2,2024-09-07 10:07:40:589,877240,877240,0,0,38216673,0,3727 59,3,2024-09-07 10:07:41:750,1,696,3,0,1015,8184,696,0 60,0,2024-09-07 10:07:41:709,174438,0.5,174690,0.7,349293,0.5,465920,2.00 60,1,2024-09-07 10:07:40:780,1224560,1224560,0,0,575016294016,5987798438,1213624,9431,1505,370,392031,0 60,2,2024-09-07 10:07:41:168,880242,880242,0,0,36521346,0,3811 60,3,2024-09-07 10:07:41:263,1,696,4,0,409,7941,696,0 61,0,2024-09-07 10:07:41:516,175793,0.6,176540,0.8,351538,0.6,468821,2.00 61,1,2024-09-07 10:07:40:792,1221434,1221434,0,0,573782650834,6006842712,1208760,10823,1851,382,392127,0 61,2,2024-09-07 10:07:41:118,881723,881656,67,0,37498203,0,6411 61,3,2024-09-07 10:07:41:691,1,696,3,0,607,8568,696,0 62,0,2024-09-07 10:07:41:716,172973,0.5,177372,0.7,338547,0.5,459474,2.00 62,1,2024-09-07 10:07:41:117,1229031,1229025,0,6,576732313042,5953223226,1221769,6639,617,365,391975,6 62,2,2024-09-07 10:07:41:644,880807,880806,1,0,37218913,0,5555 62,3,2024-09-07 10:07:41:145,1,696,7,0,482,5437,696,0 63,0,2024-09-07 10:07:41:451,173459,0.4,173184,0.6,346995,0.3,461978,1.75 63,1,2024-09-07 10:07:40:820,1225969,1225963,0,6,575175460194,5974185178,1218048,6964,951,381,391800,6 63,2,2024-09-07 10:07:40:763,879756,879756,0,0,36363968,0,4369 63,3,2024-09-07 10:07:41:733,1,696,4,0,667,8078,696,0 64,0,2024-09-07 10:07:41:550,174237,0.5,174153,0.7,347946,0.5,463278,2.00 64,1,2024-09-07 10:07:40:765,1224181,1224181,0,0,575005277253,5985039743,1213968,8185,2028,370,391794,0 64,2,2024-09-07 10:07:41:145,881990,881971,19,0,34997065,0,6121 64,3,2024-09-07 10:07:41:144,1,696,8,0,651,7893,696,0 65,0,2024-09-07 10:07:41:685,174973,0.6,175235,0.7,349828,0.6,466581,2.00 65,1,2024-09-07 10:07:40:869,1222559,1222559,0,0,573720323328,5993835197,1214312,7349,898,381,391901,0 65,2,2024-09-07 10:07:41:694,878224,878224,0,0,41988622,0,3367 65,3,2024-09-07 10:07:41:683,1,696,1,0,782,8158,696,0 66,0,2024-09-07 10:07:41:765,174661,0.5,174001,0.7,348025,0.4,463323,2.00 66,1,2024-09-07 10:07:41:295,1224429,1224429,0,0,575351353504,5986569682,1216923,6632,874,380,391743,0 66,2,2024-09-07 10:07:41:132,885767,885764,3,0,36827777,0,5455 66,3,2024-09-07 10:07:41:090,1,696,1,0,291,6158,696,0 67,0,2024-09-07 10:07:41:421,170697,0.5,170290,0.7,341137,0.5,454756,2.00 67,1,2024-09-07 10:07:40:775,1224127,1224126,0,1,574571636801,5980979763,1215692,7294,1140,380,391787,1 67,2,2024-09-07 10:07:40:588,884846,884831,15,0,37291820,0,6205 67,3,2024-09-07 10:07:41:757,1,696,0,0,595,7345,696,0 68,0,2024-09-07 10:07:40:582,176292,0.6,176100,0.7,350685,0.6,468720,2.25 68,1,2024-09-07 10:07:40:576,1219629,1219629,0,0,571806486439,6015036813,1202901,12456,4272,381,391953,0 68,2,2024-09-07 10:07:41:044,872780,872680,100,0,45284295,0,8578 68,3,2024-09-07 10:07:40:733,1,696,1,0,417,9767,696,0 69,0,2024-09-07 10:07:41:735,176119,0.7,176666,0.8,352521,0.7,469547,2.25 69,1,2024-09-07 10:07:41:041,1218891,1218891,0,0,571997937295,6024260566,1203966,11940,2985,383,391994,0 69,2,2024-09-07 10:07:41:732,875145,875116,29,0,48414868,0,6912 69,3,2024-09-07 10:07:40:780,1,696,8,0,698,10379,696,0 70,0,2024-09-07 10:07:41:543,173328,0.7,174116,0.9,349065,0.6,462972,2.50 70,1,2024-09-07 10:07:40:801,1225456,1225456,0,0,576145740937,5978750347,1215988,8423,1045,366,391725,0 70,2,2024-09-07 10:07:41:325,883198,883198,0,0,39780650,0,4323 70,3,2024-09-07 10:07:40:746,1,696,1,0,854,7702,696,0 71,0,2024-09-07 10:07:41:364,169720,0.8,169293,0.9,339419,0.9,452986,2.50 71,1,2024-09-07 10:07:41:603,1223277,1223277,0,0,574194991999,5989566168,1208841,12650,1786,367,391738,0 71,2,2024-09-07 10:07:41:066,881153,881153,0,0,40241034,0,4352 71,3,2024-09-07 10:07:41:757,1,696,1,0,644,7629,696,0 72,0,2024-09-07 10:07:41:048,181274,0.5,176901,0.7,345844,0.5,470125,2.00 72,1,2024-09-07 10:07:41:035,1221595,1221595,0,0,572570006920,6001688786,1204733,14128,2734,369,391819,0 72,2,2024-09-07 10:07:41:764,874542,874542,0,0,41815270,0,3983 72,3,2024-09-07 10:07:41:764,1,696,8,0,564,9557,696,0 73,0,2024-09-07 10:07:41:110,171189,0.5,175553,0.6,358793,0.4,467510,2.00 73,1,2024-09-07 10:07:40:780,1223347,1223347,0,0,574562237914,5971631641,1213817,8465,1065,367,391858,0 73,2,2024-09-07 10:07:41:758,878010,878009,1,0,43841561,0,5027 73,3,2024-09-07 10:07:40:995,1,696,2,0,1091,9320,696,0 74,0,2024-09-07 10:07:41:338,177197,0.5,181495,0.7,346156,0.4,470113,2.00 74,1,2024-09-07 10:07:40:636,1222406,1222406,0,0,573772941026,5985271208,1210045,10213,2148,381,391762,0 74,2,2024-09-07 10:07:41:008,880844,880844,0,0,38225831,0,4253 74,3,2024-09-07 10:07:41:443,1,696,0,0,522,7907,696,0 75,0,2024-09-07 10:07:41:763,171487,0.5,170517,0.7,342198,0.4,457135,2.00 75,1,2024-09-07 10:07:41:585,1223412,1223412,0,0,573853550101,5978260892,1213529,8890,993,380,391739,0 75,2,2024-09-07 10:07:41:353,881784,881784,0,0,45308164,0,4766 75,3,2024-09-07 10:07:41:079,1,696,0,0,918,9530,696,0 76,0,2024-09-07 10:07:40:591,173667,0.6,173026,0.7,345862,0.5,463052,2.25 76,1,2024-09-07 10:07:40:838,1222837,1222837,0,0,573362899673,5981765541,1213941,7586,1310,382,391790,0 76,2,2024-09-07 10:07:41:085,881292,881289,3,0,38169458,0,5265 76,3,2024-09-07 10:07:41:143,1,696,0,0,249,6381,696,0 77,0,2024-09-07 10:07:41:698,174416,0.6,174750,0.7,349778,0.6,464938,2.00 77,1,2024-09-07 10:07:40:830,1221949,1221949,0,0,573685167114,5990745883,1211675,9186,1088,381,391869,0 77,2,2024-09-07 10:07:41:283,878574,878574,0,0,36803763,0,3890 77,3,2024-09-07 10:07:41:101,1,696,0,0,401,7838,696,0 78,0,2024-09-07 10:07:41:733,176715,0.4,175918,0.6,353276,0.4,467292,2.00 78,1,2024-09-07 10:07:40:610,1222104,1222104,0,0,573824954192,5988437396,1206568,12204,3332,367,391670,0 78,2,2024-09-07 10:07:41:405,881174,881161,13,0,34490811,0,8313 78,3,2024-09-07 10:07:41:133,1,696,1,0,311,5967,696,0 79,0,2024-09-07 10:07:41:349,167692,0.4,171767,0.6,351765,0.3,457608,2.00 79,1,2024-09-07 10:07:40:580,1226558,1226558,0,0,576011544029,5965997247,1216742,8014,1802,367,391682,0 79,2,2024-09-07 10:07:41:070,884213,884213,0,0,33528682,0,4195 79,3,2024-09-07 10:07:40:756,1,696,38,0,418,8041,696,0 80,0,2024-09-07 10:07:41:075,171499,0.5,176075,0.7,336330,0.5,455622,2.00 80,1,2024-09-07 10:07:41:624,1222951,1222951,0,0,574579068078,5982175107,1214968,7401,582,368,392269,0 80,2,2024-09-07 10:07:41:093,884221,884221,0,0,34103151,0,4433 80,3,2024-09-07 10:07:40:585,1,696,3,0,681,8394,696,0 81,0,2024-09-07 10:07:41:551,175734,0.6,179810,0.7,342926,0.6,465024,2.00 81,1,2024-09-07 10:07:41:652,1222156,1222156,0,0,573136795269,5990507321,1212285,8876,995,382,392001,0 81,2,2024-09-07 10:07:41:146,877828,877765,63,0,37920874,0,5932 81,3,2024-09-07 10:07:41:117,1,696,1,0,719,7629,696,0 82,0,2024-09-07 10:07:41:530,176943,0.5,176950,0.7,354573,0.5,470637,2.00 82,1,2024-09-07 10:07:40:588,1224102,1224098,0,4,574222822209,5973984750,1217162,5812,1124,381,391768,4 82,2,2024-09-07 10:07:41:696,881455,881455,0,0,31541558,0,4484 82,3,2024-09-07 10:07:41:756,1,696,1,0,363,6245,696,0 83,0,2024-09-07 10:07:41:528,175108,0.5,175039,0.7,349756,0.5,464794,2.00 83,1,2024-09-07 10:07:40:571,1222800,1222800,0,0,573833300688,5981858773,1213808,7964,1028,382,391709,0 83,2,2024-09-07 10:07:40:777,884203,884178,25,0,34449919,0,5612 83,3,2024-09-07 10:07:40:754,1,696,1,0,1260,8156,696,0 84,0,2024-09-07 10:07:41:805,169000,0.7,169127,0.9,338286,0.7,451720,2.25 84,1,2024-09-07 10:07:41:044,1221118,1221118,0,0,573089647134,5993972866,1207418,11615,2085,367,391967,0 84,2,2024-09-07 10:07:40:573,880317,879907,410,0,49745840,0,17037 84,3,2024-09-07 10:07:41:158,1,696,1,0,908,9653,696,0 85,0,2024-09-07 10:07:41:049,169604,0.7,169566,0.8,359920,0.7,465380,2.25 85,1,2024-09-07 10:07:40:573,1217238,1217238,0,0,571361567029,6027672392,1199439,14869,2930,381,392092,0 85,2,2024-09-07 10:07:40:878,875789,875789,0,0,42060265,0,4255 85,3,2024-09-07 10:07:40:689,1,696,627,0,789,8443,696,0 86,0,2024-09-07 10:07:40:912,175146,0.7,180274,0.8,345188,0.8,467122,2.25 86,1,2024-09-07 10:07:40:837,1219912,1219912,0,0,572705192086,6013959653,1202220,14376,3316,366,392169,0 86,2,2024-09-07 10:07:40:888,877768,877767,1,0,44149789,0,5004 86,3,2024-09-07 10:07:40:587,1,696,1,0,441,9624,696,0 87,0,2024-09-07 10:07:41:295,176242,0.8,174980,0.8,350580,0.9,468118,2.25 87,1,2024-09-07 10:07:40:553,1220372,1220372,0,0,572308658577,5993594919,1205439,12771,2162,366,392076,0 87,2,2024-09-07 10:07:41:080,881078,881072,6,0,41553973,0,6323 87,3,2024-09-07 10:07:41:793,1,696,2,0,473,9805,696,0 88,0,2024-09-07 10:07:41:456,170577,0.4,171090,0.6,342240,0.4,455433,1.75 88,1,2024-09-07 10:07:40:583,1219117,1219117,0,0,572421155285,5996463361,1202304,13673,3140,365,392084,0 88,2,2024-09-07 10:07:40:799,882208,882208,0,0,43463955,0,4465 88,3,2024-09-07 10:07:41:268,1,696,12,0,1080,11136,696,0 89,0,2024-09-07 10:07:41:839,180184,0.4,174976,0.6,344729,0.4,468997,1.75 89,1,2024-09-07 10:07:40:564,1219084,1219084,0,0,572723707958,6020466087,1204401,12402,2281,382,391866,0 89,2,2024-09-07 10:07:41:132,877041,877041,0,0,40803429,0,3173 89,3,2024-09-07 10:07:41:799,1,696,2,0,729,12314,696,0 90,0,2024-09-07 10:07:41:657,169447,0.5,173923,0.7,355369,0.5,463716,2.00 90,1,2024-09-07 10:07:40:590,1220605,1220605,0,0,573239654446,6005237546,1208150,11185,1270,380,391825,0 90,2,2024-09-07 10:07:41:405,874995,874990,5,0,44094597,0,6370 90,3,2024-09-07 10:07:40:954,1,696,1,0,364,9169,696,0 91,0,2024-09-07 10:07:40:948,176557,0.5,171289,0.6,358276,0.4,469713,1.75 91,1,2024-09-07 10:07:40:576,1217776,1217776,0,0,572662265215,6037790518,1199063,14565,4148,381,392047,0 91,2,2024-09-07 10:07:41:337,879604,879604,0,0,39410021,0,4713 91,3,2024-09-07 10:07:40:599,1,696,2,0,231,6518,696,0 92,0,2024-09-07 10:07:41:446,173902,0.4,177895,0.6,339072,0.4,459848,1.75 92,1,2024-09-07 10:07:40:585,1221694,1221694,0,0,573663828703,5992419364,1212198,8184,1312,381,392136,0 92,2,2024-09-07 10:07:41:354,882952,882952,0,0,35977181,0,3906 92,3,2024-09-07 10:07:41:010,1,696,0,0,322,6236,696,0 93,0,2024-09-07 10:07:40:999,173981,0.4,178279,0.6,340275,0.3,461735,1.75 93,1,2024-09-07 10:07:40:827,1222471,1222471,0,0,573860294190,5996181429,1208068,11967,2436,365,392048,0 93,2,2024-09-07 10:07:40:929,879803,879803,0,0,41360644,0,4913 93,3,2024-09-07 10:07:41:406,1,696,0,0,788,8608,696,0 94,0,2024-09-07 10:07:41:611,174225,0.4,175300,0.6,351105,0.4,465619,1.75 94,1,2024-09-07 10:07:40:576,1223858,1223858,0,0,574927756674,5995465911,1215577,7631,650,381,391850,0 94,2,2024-09-07 10:07:40:781,878688,878660,28,0,36011667,0,6179 94,3,2024-09-07 10:07:41:688,1,696,14,0,576,8379,696,0 95,0,2024-09-07 10:07:41:353,176332,0.4,176070,0.5,352430,0.3,469518,1.75 95,1,2024-09-07 10:07:40:855,1224264,1224264,0,0,574665615801,5971165016,1214897,8555,812,365,391852,0 95,2,2024-09-07 10:07:41:023,877645,877645,0,0,36148107,0,3308 95,3,2024-09-07 10:07:41:727,1,696,0,0,718,10491,696,0 96,0,2024-09-07 10:07:41:053,174936,0.3,175279,0.5,350681,0.3,465994,1.75 96,1,2024-09-07 10:07:41:587,1221699,1221699,0,0,573263768303,5981494328,1212779,7542,1378,384,391964,0 96,2,2024-09-07 10:07:41:290,884836,884836,0,0,36673074,0,4225 96,3,2024-09-07 10:07:41:145,1,696,8,0,411,7733,696,0 97,0,2024-09-07 10:07:41:374,170627,0.3,170504,0.5,341669,0.3,454725,1.50 97,1,2024-09-07 10:07:40:783,1224850,1224850,0,0,574983936934,5966209257,1216919,6688,1243,367,392140,0 97,2,2024-09-07 10:07:40:609,883547,883547,0,0,36705459,0,4600 97,3,2024-09-07 10:07:40:578,1,696,1,0,433,8474,696,0 98,0,2024-09-07 10:07:41:756,175777,0.3,175883,0.5,353237,0.3,469075,1.50 98,1,2024-09-07 10:07:40:572,1223515,1223515,0,0,573869587673,5976866589,1216073,6543,899,381,391997,0 98,2,2024-09-07 10:07:40:790,876636,876636,0,0,34965870,0,4336 98,3,2024-09-07 10:07:40:702,1,696,1,0,840,9651,696,0 99,0,2024-09-07 10:07:41:478,176989,0.3,177842,0.5,354274,0.3,472360,1.75 99,1,2024-09-07 10:07:41:728,1224469,1224469,0,0,573951198378,5973432954,1216681,6679,1109,380,392069,0 99,2,2024-09-07 10:07:41:418,877996,877996,0,0,44151784,0,4276 99,3,2024-09-07 10:07:40:587,1,696,1,0,1124,8784,696,0 100,0,2024-09-07 10:07:41:461,174727,0.8,175267,0.9,350352,0.8,466974,2.50 100,1,2024-09-07 10:07:40:592,1217109,1217109,0,0,570711517424,6036640502,1197967,15347,3795,378,391989,0 100,2,2024-09-07 10:07:41:824,878747,878358,389,0,46063078,0,16909 100,3,2024-09-07 10:07:41:793,1,696,12,0,627,10774,696,0 101,0,2024-09-07 10:07:41:746,174459,0.9,170228,1.0,332968,0.8,454810,2.25 101,1,2024-09-07 10:07:40:575,1219270,1219270,0,0,572732917673,6018746863,1202677,13641,2952,368,392018,0 101,2,2024-09-07 10:07:41:762,877151,877112,39,0,47680035,0,5913 101,3,2024-09-07 10:07:40:952,1,696,2,0,1250,10697,696,0 102,0,2024-09-07 10:07:40:968,170677,0.6,175827,0.7,357323,0.6,466880,2.25 102,1,2024-09-07 10:07:41:144,1219292,1219292,0,0,572855020244,6014932443,1203466,13570,2256,369,391984,0 102,2,2024-09-07 10:07:41:746,877476,877422,54,0,39417682,0,6768 102,3,2024-09-07 10:07:41:626,1,696,1,0,466,8081,696,0 103,0,2024-09-07 10:07:41:631,181147,0.7,181126,0.8,341307,0.8,469798,2.25 103,1,2024-09-07 10:07:41:630,1217960,1217960,0,0,571470433669,6032279042,1199050,15284,3626,381,392077,0 103,2,2024-09-07 10:07:40:592,876467,876467,0,0,42909724,0,3766 103,3,2024-09-07 10:07:40:757,1,696,1,0,916,8238,696,0 104,0,2024-09-07 10:07:41:034,174836,0.7,175188,0.9,349594,0.7,467300,2.25 104,1,2024-09-07 10:07:41:601,1220142,1220142,0,0,572380113639,6015224225,1201706,14976,3460,365,392168,0 104,2,2024-09-07 10:07:41:666,879087,879087,0,0,41921891,0,4161 104,3,2024-09-07 10:07:41:420,1,696,3,0,1245,11761,696,0 105,0,2024-09-07 10:07:41:047,169476,0.8,165140,1.0,346229,0.9,454962,2.50 105,1,2024-09-07 10:07:40:567,1222116,1222116,0,0,572615847813,5996125314,1207341,12660,2115,364,392009,0 105,2,2024-09-07 10:07:41:325,881425,881425,0,0,43220143,0,4360 105,3,2024-09-07 10:07:41:308,1,696,0,0,573,10372,696,0 106,0,2024-09-07 10:07:40:996,168127,0.9,172445,1.0,352595,1.1,461160,2.50 106,1,2024-09-07 10:07:41:751,1220399,1220399,0,0,572564935210,6005334215,1203969,14279,2151,368,391914,0 106,2,2024-09-07 10:07:40:776,878588,878588,0,0,39761874,0,3331 106,3,2024-09-07 10:07:40:680,1,696,5,0,1224,9626,696,0 107,0,2024-09-07 10:07:41:144,174549,1.3,174505,1.1,349206,1.9,465878,2.25 107,1,2024-09-07 10:07:40:600,1217917,1217917,0,0,570981725755,6032782592,1198816,16845,2256,381,392234,0 107,2,2024-09-07 10:07:41:298,874893,874892,1,0,43434039,0,5024 107,3,2024-09-07 10:07:41:772,1,696,1,0,733,10917,696,0 108,0,2024-09-07 10:07:41:794,175829,0.4,176688,0.6,352318,0.4,469111,1.75 108,1,2024-09-07 10:07:41:294,1221617,1221617,0,0,573529347557,5988542580,1210144,10211,1262,367,391894,0 108,2,2024-09-07 10:07:41:759,880012,880012,0,0,38818552,0,4432 108,3,2024-09-07 10:07:41:329,1,696,33,0,767,12557,696,0 109,0,2024-09-07 10:07:41:809,174315,0.4,172929,0.6,346926,0.3,462175,1.75 109,1,2024-09-07 10:07:40:590,1219660,1219660,0,0,572866170549,6009741005,1209007,9147,1506,382,392132,0 109,2,2024-09-07 10:07:40:920,881351,881351,0,0,38853536,0,3617 109,3,2024-09-07 10:07:41:150,1,696,1,0,630,7972,696,0 110,0,2024-09-07 10:07:41:761,171144,0.4,166391,0.6,348436,0.3,457597,1.75 110,1,2024-09-07 10:07:41:643,1224251,1224251,0,0,575060710486,5971219003,1214785,7381,2085,368,392045,0 110,2,2024-09-07 10:07:41:309,881840,881840,0,0,37991952,0,4067 110,3,2024-09-07 10:07:40:691,1,696,2,0,722,9425,696,0 111,0,2024-09-07 10:07:41:412,176394,0.4,175579,0.6,350750,0.4,467883,1.75 111,1,2024-09-07 10:07:41:001,1225539,1225539,0,0,575367372271,5973930638,1218283,6749,507,380,391690,0 111,2,2024-09-07 10:07:41:127,877848,877848,0,0,37337562,0,4823 111,3,2024-09-07 10:07:40:913,1,696,1,0,379,7833,696,0 112,0,2024-09-07 10:07:40:934,177558,0.3,177236,0.4,355268,0.2,471954,1.50 112,1,2024-09-07 10:07:40:840,1224417,1224417,0,0,574408250383,5961622627,1216792,6399,1226,380,391624,0 112,2,2024-09-07 10:07:41:133,878972,878971,1,0,35261043,0,5036 112,3,2024-09-07 10:07:40:603,1,696,11,0,282,6849,696,0 113,0,2024-09-07 10:07:40:871,174996,0.3,175431,0.5,351054,0.2,467137,1.75 113,1,2024-09-07 10:07:41:695,1227972,1227972,0,0,576003682259,5941687410,1221058,5963,951,365,391664,0 113,2,2024-09-07 10:07:41:318,886439,886439,0,0,32661667,0,3813 113,3,2024-09-07 10:07:40:683,1,696,1,0,510,7007,696,0 114,0,2024-09-07 10:07:40:877,170546,0.3,171769,0.5,342576,0.2,457272,1.75 114,1,2024-09-07 10:07:40:729,1223786,1223786,0,0,575096230110,5970458740,1214958,7024,1804,381,391565,0 114,2,2024-09-07 10:07:40:878,884551,884550,1,0,35084028,0,5069 114,3,2024-09-07 10:07:41:280,1,696,1,0,415,5689,696,0 115,0,2024-09-07 10:07:40:555,177283,0.3,177769,0.4,354293,0.2,472048,1.50 115,1,2024-09-07 10:07:40:580,1225133,1225133,0,0,575379429200,5976089538,1215578,7763,1792,382,391757,0 115,2,2024-09-07 10:07:41:128,878295,878295,0,0,34912319,0,4382 115,3,2024-09-07 10:07:41:006,1,696,0,0,173,4412,696,0 116,0,2024-09-07 10:07:41:700,175299,0.8,175380,0.9,351261,0.8,469786,2.25 116,1,2024-09-07 10:07:40:842,1218150,1218150,0,0,572547920663,6030505033,1204047,10886,3217,380,392089,0 116,2,2024-09-07 10:07:41:758,878554,878554,0,0,42456517,0,4475 116,3,2024-09-07 10:07:40:912,1,696,3,0,448,8890,696,0 117,0,2024-09-07 10:07:40:981,175959,0.6,175662,0.8,351704,0.6,469455,2.00 117,1,2024-09-07 10:07:41:591,1220966,1220966,0,0,572717675701,5998977684,1207108,12092,1766,369,392429,0 117,2,2024-09-07 10:07:41:118,883481,883481,0,0,39054808,0,4303 117,3,2024-09-07 10:07:41:064,1,696,2,0,490,8505,696,0 118,0,2024-09-07 10:07:41:774,165537,0.5,169981,0.7,346944,0.5,453703,2.00 118,1,2024-09-07 10:07:40:592,1219056,1219056,0,0,572224583962,6012845687,1201544,13664,3848,366,392054,0 118,2,2024-09-07 10:07:41:587,882269,882269,0,0,41612460,0,3161 118,3,2024-09-07 10:07:41:769,1,696,4,0,343,8301,696,0 119,0,2024-09-07 10:07:41:366,175120,0.7,175417,0.8,350287,0.7,467364,2.25 119,1,2024-09-07 10:07:40:558,1220089,1220089,0,0,573083585155,6008223230,1204069,13352,2668,367,391857,0 119,2,2024-09-07 10:07:41:265,877875,877875,0,0,38299187,0,4309 119,3,2024-09-07 10:07:41:335,1,696,2,0,1358,12197,696,0 120,0,2024-09-07 10:07:41:555,173756,0.7,173753,0.9,348648,0.8,465028,2.50 120,1,2024-09-07 10:07:40:876,1221141,1221141,0,0,572531017241,6001859914,1208470,11512,1159,367,392144,0 120,2,2024-09-07 10:07:40:782,877763,877760,3,0,45964961,0,5363 120,3,2024-09-07 10:07:41:291,1,696,3,0,478,8899,696,0 121,0,2024-09-07 10:07:41:700,176002,1.1,175439,1.0,351039,1.4,467595,2.25 121,1,2024-09-07 10:07:41:655,1220802,1220802,0,0,572774965639,5995106338,1207275,11530,1997,366,391840,0 121,2,2024-09-07 10:07:41:125,880093,880093,0,0,41831883,0,4157 121,3,2024-09-07 10:07:40:742,1,696,20,0,387,9273,696,0 122,0,2024-09-07 10:07:41:795,171691,0.7,167143,0.8,349486,0.8,459253,2.00 122,1,2024-09-07 10:07:40:867,1219985,1219985,0,0,572513860269,6003981029,1203710,13697,2578,365,392130,0 122,2,2024-09-07 10:07:41:320,882482,882407,75,0,45958486,0,5989 122,3,2024-09-07 10:07:40:611,1,696,19,0,512,10525,696,0 123,0,2024-09-07 10:07:40:968,171862,0.8,167524,0.8,351460,0.9,459845,2.25 123,1,2024-09-07 10:07:40:570,1220812,1220812,0,0,572975537362,6023287305,1201717,16067,3028,369,392039,0 123,2,2024-09-07 10:07:41:024,879047,879046,1,0,39420941,0,5215 123,3,2024-09-07 10:07:41:144,1,696,2,0,478,7825,696,0 124,0,2024-09-07 10:07:40:944,179855,0.4,179879,0.5,338918,0.3,466458,1.75 124,1,2024-09-07 10:07:41:040,1224497,1224497,0,0,574402560788,5972887242,1214961,8275,1261,365,392178,0 124,2,2024-09-07 10:07:41:011,880438,880385,53,0,36615317,0,6487 124,3,2024-09-07 10:07:40:769,1,696,8,0,490,7231,696,0 125,0,2024-09-07 10:07:41:433,176230,0.4,176075,0.6,352950,0.3,469969,1.75 125,1,2024-09-07 10:07:40:914,1221182,1221182,0,0,573238852297,5990359881,1210131,9466,1585,382,392045,0 125,2,2024-09-07 10:07:41:117,881799,881799,0,0,36168273,0,4534 125,3,2024-09-07 10:07:41:132,1,696,6,0,709,7692,696,0 126,0,2024-09-07 10:07:41:474,175317,0.4,180285,0.5,344599,0.3,466768,1.75 126,1,2024-09-07 10:07:40:560,1224795,1224795,0,0,575433980313,5962350756,1217517,6576,702,365,391987,0 126,2,2024-09-07 10:07:40:612,884930,884930,0,0,37935088,0,4539 126,3,2024-09-07 10:07:40:908,1,696,2,0,268,7861,696,0 127,0,2024-09-07 10:07:41:667,170920,0.3,171353,0.5,341630,0.3,454977,1.75 127,1,2024-09-07 10:07:40:745,1223674,1223674,0,0,574235162257,5975186820,1211216,10682,1776,364,392187,0 127,2,2024-09-07 10:07:40:646,883126,883122,4,0,35854683,0,5305 127,3,2024-09-07 10:07:41:280,1,696,0,0,968,6831,696,0 128,0,2024-09-07 10:07:41:549,177021,0.3,176831,0.4,353886,0.2,469990,1.50 128,1,2024-09-07 10:07:41:611,1222968,1222968,0,0,574595558295,5973599251,1213975,8021,972,367,392423,0 128,2,2024-09-07 10:07:41:383,879141,879141,0,0,33356709,0,3171 128,3,2024-09-07 10:07:40:774,1,696,8,0,1082,10351,696,0 129,0,2024-09-07 10:07:40:997,178269,0.3,177432,0.5,356022,0.3,473162,1.50 129,1,2024-09-07 10:07:40:581,1219797,1219797,0,0,572437164515,6004370324,1206847,10620,2330,379,391962,0 129,2,2024-09-07 10:07:40:689,880576,880572,4,0,36133206,0,5335 129,3,2024-09-07 10:07:40:689,1,696,4,0,506,9975,696,0 130,0,2024-09-07 10:07:41:746,175928,0.4,175738,0.5,351916,0.4,468568,1.75 130,1,2024-09-07 10:07:40:588,1224524,1224524,0,0,574828553753,5968377435,1218675,5442,407,381,391836,0 130,2,2024-09-07 10:07:41:146,883355,883355,0,0,35950999,0,4067 130,3,2024-09-07 10:07:41:293,1,696,1,0,960,8909,696,0 131,0,2024-09-07 10:07:41:926,170729,0.3,171160,0.5,343291,0.3,456636,1.50 131,1,2024-09-07 10:07:41:823,1223695,1223695,0,0,574279047099,5983656911,1215542,6942,1211,381,391865,0 131,2,2024-09-07 10:07:40:573,883158,883158,0,0,34205040,0,3979 131,3,2024-09-07 10:07:41:688,1,696,1,0,392,8682,696,0 132,0,2024-09-07 10:07:41:440,176038,0.5,176978,0.6,352289,0.4,468929,2.00 132,1,2024-09-07 10:07:40:578,1219336,1219336,0,0,572060248019,6017687676,1202833,13721,2782,381,392532,0 132,2,2024-09-07 10:07:40:699,876569,876552,17,0,42803965,0,6451 132,3,2024-09-07 10:07:41:691,1,696,5,0,1298,11839,696,0 133,0,2024-09-07 10:07:41:525,171272,0.5,175424,0.6,359518,0.4,468149,2.00 133,1,2024-09-07 10:07:40:597,1218807,1218807,0,0,572508717890,6027563711,1203354,13518,1935,383,391914,0 133,2,2024-09-07 10:07:41:092,879532,879482,50,0,43889568,0,6861 133,3,2024-09-07 10:07:41:297,1,696,1,0,528,8390,696,0 134,0,2024-09-07 10:07:40:980,176833,0.5,176736,0.7,353237,0.5,470538,2.00 134,1,2024-09-07 10:07:40:592,1220469,1220469,0,0,572316188972,6004004506,1204382,12795,3292,366,391781,0 134,2,2024-09-07 10:07:41:758,880607,880468,139,0,41715040,0,7591 134,3,2024-09-07 10:07:40:756,1,696,11,0,739,8507,696,0 135,0,2024-09-07 10:07:41:145,166053,0.7,165967,0.8,352430,0.7,454375,2.25 135,1,2024-09-07 10:07:41:599,1219961,1219961,0,0,573153875478,6022923805,1205538,12571,1852,380,392038,0 135,2,2024-09-07 10:07:40:693,883634,883634,0,0,42191252,0,4503 135,3,2024-09-07 10:07:41:017,1,696,10,0,900,6677,696,0 136,0,2024-09-07 10:07:41:635,174290,0.6,174738,0.8,347762,0.6,464425,2.00 136,1,2024-09-07 10:07:41:442,1221363,1221363,0,0,572894021067,6000440684,1208529,11408,1426,381,392135,0 136,2,2024-09-07 10:07:41:145,880566,880551,15,0,40957051,0,6007 136,3,2024-09-07 10:07:41:108,1,696,3,0,637,8138,696,0 137,0,2024-09-07 10:07:40:939,180323,0.6,175762,0.8,344242,0.7,467551,2.00 137,1,2024-09-07 10:07:40:584,1220238,1220238,0,0,573235656474,6008276002,1201799,14799,3640,366,391898,0 137,2,2024-09-07 10:07:41:728,877635,877635,0,0,42012897,0,3185 137,3,2024-09-07 10:07:40:776,1,696,5,0,484,9796,696,0 138,0,2024-09-07 10:07:41:744,175193,0.9,175496,0.9,351441,1.1,467354,2.25 138,1,2024-09-07 10:07:41:685,1219839,1219839,0,0,573094807220,6012300041,1202702,14446,2691,368,391954,0 138,2,2024-09-07 10:07:40:590,878293,878293,0,0,40522045,0,4988 138,3,2024-09-07 10:07:40:611,1,696,2,0,1200,10353,696,0 139,0,2024-09-07 10:07:41:430,172218,0.9,172904,0.9,345558,1.1,460557,2.25 139,1,2024-09-07 10:07:40:582,1216248,1216248,0,0,569884080434,6035397119,1196345,15989,3914,380,392109,0 139,2,2024-09-07 10:07:40:699,877215,877185,30,0,46065836,0,5997 139,3,2024-09-07 10:07:41:667,1,696,5,0,432,8222,696,0 140,0,2024-09-07 10:07:41:589,171852,0.3,171090,0.5,343119,0.2,457804,1.75 140,1,2024-09-07 10:07:41:536,1227509,1227509,0,0,576556600166,5949967515,1220703,6002,804,364,391628,0 140,2,2024-09-07 10:07:40:690,882221,882220,1,0,34614370,0,5036 140,3,2024-09-07 10:07:40:776,1,696,1,0,575,6992,696,0 141,0,2024-09-07 10:07:41:698,176307,0.3,180954,0.5,345732,0.3,467532,1.75 141,1,2024-09-07 10:07:40:863,1224774,1224774,0,0,575165697816,5978576627,1214428,8953,1393,379,391614,0 141,2,2024-09-07 10:07:41:689,878410,878399,11,0,37115397,0,5369 141,3,2024-09-07 10:07:41:045,1,696,1,0,391,8122,696,0 142,0,2024-09-07 10:07:41:357,177924,0.3,177120,0.5,354594,0.3,472825,1.50 142,1,2024-09-07 10:07:40:594,1222710,1222710,0,0,574337436881,5992955477,1213655,8161,894,382,392102,0 142,2,2024-09-07 10:07:41:299,878018,877986,32,0,36756078,0,6028 142,3,2024-09-07 10:07:41:747,1,696,1,0,484,7052,696,0 143,0,2024-09-07 10:07:41:417,175190,0.4,174995,0.5,351281,0.4,467109,1.75 143,1,2024-09-07 10:07:40:557,1225741,1225741,0,0,574747797158,5965619691,1217336,7434,971,367,391900,0 143,2,2024-09-07 10:07:40:772,884963,884963,0,0,36391097,0,3123 143,3,2024-09-07 10:07:41:143,1,696,1,0,462,8106,696,0 144,0,2024-09-07 10:07:41:524,165378,0.5,170155,0.7,346390,0.5,453442,2.00 144,1,2024-09-07 10:07:40:581,1219698,1219698,0,0,572652576986,6007149475,1207950,9703,2045,381,391733,0 144,2,2024-09-07 10:07:41:759,883990,883990,0,0,35993459,0,4443 144,3,2024-09-07 10:07:41:742,1,696,0,0,306,7446,696,0 145,0,2024-09-07 10:07:41:378,170880,0.6,170855,0.8,362615,0.5,467199,2.25 145,1,2024-09-07 10:07:40:563,1218624,1218624,0,0,572436681098,6023429945,1202420,13236,2968,382,391781,0 145,2,2024-09-07 10:07:41:431,875645,875563,82,0,41964964,0,7814 145,3,2024-09-07 10:07:40:895,1,696,14,0,622,9392,696,0 146,0,2024-09-07 10:07:41:658,175862,0.7,174991,0.8,351857,0.7,467896,2.25 146,1,2024-09-07 10:07:41:595,1220250,1220250,0,0,572210566767,6016504172,1201107,14217,4926,367,391829,0 146,2,2024-09-07 10:07:41:699,876798,876792,6,0,39927943,0,5151 146,3,2024-09-07 10:07:41:309,1,696,17,0,1520,10911,696,0 147,0,2024-09-07 10:07:41:698,175984,0.6,175701,0.7,351333,0.5,468518,2.00 147,1,2024-09-07 10:07:41:372,1224391,1224391,0,0,574392004870,5970976338,1214428,8862,1101,367,391791,0 147,2,2024-09-07 10:07:41:018,882008,882008,0,0,37743215,0,4531 147,3,2024-09-07 10:07:40:921,1,696,40,0,1626,10433,696,0 0,0,2024-09-07 10:07:51:742,169907,0.7,169898,0.8,360632,0.8,466398,2.00 0,1,2024-09-07 10:07:50:801,1223151,1223151,0,0,573835117415,6006353140,1213267,8909,975,368,391896,0 0,2,2024-09-07 10:07:51:072,881010,881010,0,0,35626570,0,4480 0,3,2024-09-07 10:07:50:974,1,697,1,0,538,9930,697,0 1,0,2024-09-07 10:07:51:750,175951,0.8,175029,0.9,351130,0.9,469739,2.00 1,1,2024-09-07 10:07:50:558,1222323,1222323,0,0,573052755920,6003703196,1210920,9432,1971,370,391859,0 1,2,2024-09-07 10:07:50:638,882960,882960,0,0,35208366,0,3380 1,3,2024-09-07 10:07:51:305,1,697,3,0,269,8273,697,0 2,0,2024-09-07 10:07:51:566,172459,0.6,172224,0.7,344113,0.6,459011,2.00 2,1,2024-09-07 10:07:50:865,1226295,1226295,0,0,574718471922,5975871272,1219363,5971,961,379,391805,0 2,2,2024-09-07 10:07:51:266,884932,884932,0,0,33999005,0,3594 2,3,2024-09-07 10:07:50:690,1,697,1,0,357,6130,697,0 3,0,2024-09-07 10:07:51:742,173338,0.4,173161,0.6,345953,0.4,461575,2.00 3,1,2024-09-07 10:07:51:618,1223971,1223971,0,0,574384939181,5974344952,1215376,7877,718,379,391716,0 3,2,2024-09-07 10:07:51:145,882614,882591,23,0,34702608,0,5851 3,3,2024-09-07 10:07:51:753,1,697,1,0,484,5246,697,0 4,0,2024-09-07 10:07:51:766,169950,0.4,174475,0.5,356068,0.4,465242,1.75 4,1,2024-09-07 10:07:50:595,1218666,1218666,0,0,572161449655,6050346608,1198756,15309,4601,369,391992,0 4,2,2024-09-07 10:07:51:018,877175,877175,0,0,42303094,0,4534 4,3,2024-09-07 10:07:51:029,1,697,0,0,448,9360,697,0 5,0,2024-09-07 10:07:51:386,176468,0.4,176203,0.6,352857,0.4,469662,1.75 5,1,2024-09-07 10:07:50:767,1220115,1220115,0,0,572752996030,6041217791,1202418,13458,4239,367,392005,0 5,2,2024-09-07 10:07:51:838,876939,876906,33,0,42615945,0,7631 5,3,2024-09-07 10:07:51:739,1,697,1,0,457,9174,697,0 6,0,2024-09-07 10:07:50:921,175049,0.5,174472,0.6,349338,0.4,464827,2.00 6,1,2024-09-07 10:07:50:746,1223434,1223434,0,0,574900733968,6000807095,1211260,10489,1685,379,391702,0 6,2,2024-09-07 10:07:51:116,884721,884703,18,0,39519088,0,5535 6,3,2024-09-07 10:07:51:274,1,697,1,0,710,7952,697,0 7,0,2024-09-07 10:07:51:539,170349,0.5,171610,0.7,340493,0.5,454504,2.00 7,1,2024-09-07 10:07:50:851,1220666,1220666,0,0,572724307998,6024422291,1202831,13698,4137,382,391747,0 7,2,2024-09-07 10:07:50:777,882396,882227,169,0,40333184,0,7706 7,3,2024-09-07 10:07:50:851,1,697,1,0,552,8176,697,0 8,0,2024-09-07 10:07:51:467,176609,0.4,176225,0.5,353022,0.4,470394,1.75 8,1,2024-09-07 10:07:51:021,1219667,1219667,0,0,572922228842,6022891543,1200805,14816,4046,366,392853,0 8,2,2024-09-07 10:07:50:801,873541,873539,2,0,45153688,0,5112 8,3,2024-09-07 10:07:50:585,1,697,11,0,772,10390,697,0 9,0,2024-09-07 10:07:51:112,177212,0.4,172097,0.5,360165,0.3,472834,1.75 9,1,2024-09-07 10:07:50:561,1219563,1219563,0,0,572682426550,6037626930,1199888,15325,4350,369,392001,0 9,2,2024-09-07 10:07:51:086,878293,878292,1,0,43156403,0,5281 9,3,2024-09-07 10:07:51:755,1,697,3,0,1273,11082,697,0 10,0,2024-09-07 10:07:51:601,175338,0.3,174939,0.5,350712,0.3,466815,1.75 10,1,2024-09-07 10:07:50:585,1221276,1221276,0,0,573765081643,6020020133,1203214,14328,3734,381,391981,0 10,2,2024-09-07 10:07:50:769,883962,883962,0,0,46314405,0,4713 10,3,2024-09-07 10:07:50:876,1,697,1,0,669,7372,697,0 11,0,2024-09-07 10:07:51:020,170870,0.4,165813,0.6,347035,0.4,457131,1.75 11,1,2024-09-07 10:07:50:577,1223696,1223696,0,0,574098865938,6017600321,1206810,12576,4310,383,391766,0 11,2,2024-09-07 10:07:51:125,883134,883134,0,0,39985091,0,4698 11,3,2024-09-07 10:07:51:297,1,697,1,0,843,8433,697,0 12,0,2024-09-07 10:07:50:938,177248,0.4,176873,0.6,353543,0.4,470720,1.75 12,1,2024-09-07 10:07:51:005,1222828,1222828,0,0,573757187466,5986073271,1212965,8832,1031,368,391960,0 12,2,2024-09-07 10:07:51:546,876154,876154,0,0,39824619,0,4390 12,3,2024-09-07 10:07:51:059,1,697,2,0,386,8575,697,0 13,0,2024-09-07 10:07:51:340,176831,0.4,176982,0.6,353399,0.4,470712,1.75 13,1,2024-09-07 10:07:51:530,1220318,1220318,0,0,572877271616,6029579514,1206149,11089,3080,382,391803,0 13,2,2024-09-07 10:07:50:614,881368,881368,0,0,36084142,0,3287 13,3,2024-09-07 10:07:51:762,1,697,2,0,522,9296,697,0 14,0,2024-09-07 10:07:50:566,176256,0.4,177316,0.6,352684,0.3,469177,1.75 14,1,2024-09-07 10:07:51:562,1228922,1228922,0,0,576929977502,5967611867,1219667,8105,1150,364,391673,0 14,2,2024-09-07 10:07:50:769,883110,883080,30,0,37196927,0,6104 14,3,2024-09-07 10:07:51:115,1,697,1,0,1168,7169,697,0 15,0,2024-09-07 10:07:51:559,171684,0.4,170833,0.6,341992,0.3,456324,1.75 15,1,2024-09-07 10:07:51:608,1224793,1224793,0,0,575194999504,5994323592,1213926,8771,2096,380,391619,0 15,2,2024-09-07 10:07:50:998,885977,885977,0,0,33279177,0,3622 15,3,2024-09-07 10:07:51:410,1,697,1,0,1126,8639,697,0 16,0,2024-09-07 10:07:50:957,174199,0.6,175136,0.8,349375,0.6,465661,2.00 16,1,2024-09-07 10:07:50:567,1224507,1224507,0,0,574460706034,6004652917,1213763,9199,1545,370,392194,0 16,2,2024-09-07 10:07:51:443,879258,879258,0,0,36351833,0,4719 16,3,2024-09-07 10:07:51:144,1,697,0,0,362,8581,697,0 17,0,2024-09-07 10:07:51:756,180478,0.7,175920,0.8,344596,0.7,468285,2.00 17,1,2024-09-07 10:07:50:588,1222771,1222771,0,0,573426492590,6009898638,1211646,9324,1801,368,392075,0 17,2,2024-09-07 10:07:51:670,883169,883168,1,0,37594405,0,5050 17,3,2024-09-07 10:07:50:585,1,697,1,0,518,9925,697,0 18,0,2024-09-07 10:07:50:939,174461,0.6,175605,0.8,349506,0.6,466627,2.25 18,1,2024-09-07 10:07:51:638,1227629,1227629,0,0,575211886483,5966745142,1219444,6754,1431,367,391725,0 18,2,2024-09-07 10:07:51:755,883659,883659,0,0,34002281,0,3541 18,3,2024-09-07 10:07:50:899,1,697,0,0,1059,6296,697,0 19,0,2024-09-07 10:07:51:547,172847,0.6,173297,0.8,345552,0.6,458896,2.00 19,1,2024-09-07 10:07:50:566,1226851,1226851,0,0,576615791221,5973903758,1217994,7696,1161,365,391777,0 19,2,2024-09-07 10:07:51:753,887134,887134,0,0,31829937,0,3988 19,3,2024-09-07 10:07:51:134,1,697,1,0,524,5544,697,0 20,0,2024-09-07 10:07:51:347,171704,0.5,171665,0.7,342890,0.5,458226,2.00 20,1,2024-09-07 10:07:50:567,1222011,1222011,0,0,574004881963,6014741771,1209732,10570,1709,369,391922,0 20,2,2024-09-07 10:07:50:928,882250,882250,0,0,40305930,0,4321 20,3,2024-09-07 10:07:50:592,1,697,4,0,468,11568,697,0 21,0,2024-09-07 10:07:51:148,175762,0.5,175824,0.7,351426,0.5,466347,2.00 21,1,2024-09-07 10:07:51:549,1219821,1219821,0,0,571929035341,6034933768,1201056,14771,3994,368,392016,0 21,2,2024-09-07 10:07:51:067,873311,872834,477,0,51521413,0,17074 21,3,2024-09-07 10:07:51:407,1,697,0,0,713,9578,697,0 22,0,2024-09-07 10:07:51:718,176946,0.5,177593,0.7,354800,0.4,470656,2.00 22,1,2024-09-07 10:07:51:027,1221289,1221289,0,0,573842299514,6034727105,1202177,15507,3605,381,391822,0 22,2,2024-09-07 10:07:50:760,878825,878799,26,0,38273455,0,6328 22,3,2024-09-07 10:07:51:071,1,697,1,0,228,5663,697,0 23,0,2024-09-07 10:07:51:370,175042,0.5,174858,0.6,349715,0.4,466149,2.00 23,1,2024-09-07 10:07:51:007,1222485,1222485,0,0,573594881715,6039180608,1200586,14729,7170,365,391690,0 23,2,2024-09-07 10:07:51:097,885053,885053,0,0,36536899,0,3773 23,3,2024-09-07 10:07:51:758,1,697,2,0,855,9776,697,0 24,0,2024-09-07 10:07:50:846,171976,0.4,170882,0.5,343427,0.3,456600,1.75 24,1,2024-09-07 10:07:50:612,1221209,1221209,0,0,573567225414,6001949701,1209600,9732,1877,367,392269,0 24,2,2024-09-07 10:07:51:069,883459,883456,3,0,42803052,0,6294 24,3,2024-09-07 10:07:51:688,1,697,1,0,468,9052,697,0 25,0,2024-09-07 10:07:51:340,181695,0.4,176497,0.6,347656,0.4,473291,2.00 25,1,2024-09-07 10:07:50:578,1220036,1220036,0,0,572590722072,6046658673,1199249,16792,3995,369,391928,0 25,2,2024-09-07 10:07:51:606,875546,875546,0,0,44420922,0,3978 25,3,2024-09-07 10:07:51:006,1,697,14,0,532,7608,697,0 26,0,2024-09-07 10:07:51:729,175715,0.4,171658,0.6,360078,0.4,470000,2.00 26,1,2024-09-07 10:07:51:545,1223541,1223541,0,0,574241518595,6008466077,1207834,12803,2904,380,391758,0 26,2,2024-09-07 10:07:50:861,879338,879338,0,0,45658161,0,4689 26,3,2024-09-07 10:07:51:713,1,697,1,0,796,8670,697,0 27,0,2024-09-07 10:07:51:728,175922,0.4,176683,0.6,351332,0.4,468708,2.25 27,1,2024-09-07 10:07:51:680,1225039,1225039,0,0,575816783206,5998230367,1213755,9662,1622,381,391626,0 27,2,2024-09-07 10:07:50:868,879932,879867,65,0,40572608,0,5699 27,3,2024-09-07 10:07:51:017,1,697,0,0,564,5918,697,0 28,0,2024-09-07 10:07:51:393,171119,0.4,171377,0.6,342641,0.3,456529,1.75 28,1,2024-09-07 10:07:50:806,1225517,1225517,0,0,575707193207,6007255951,1214045,9044,2428,382,391904,0 28,2,2024-09-07 10:07:51:764,883828,883828,0,0,37836670,0,4060 28,3,2024-09-07 10:07:51:776,1,697,5,0,502,7245,697,0 29,0,2024-09-07 10:07:51:358,180492,0.4,175715,0.6,344967,0.4,470430,1.75 29,1,2024-09-07 10:07:51:560,1228547,1228547,0,0,577350921827,5974308353,1220624,6902,1021,367,391809,0 29,2,2024-09-07 10:07:50:861,878166,878166,0,0,35160649,0,4986 29,3,2024-09-07 10:07:50:963,1,697,1,0,590,7838,697,0 30,0,2024-09-07 10:07:51:455,173831,0.6,169171,0.7,354569,0.5,463240,2.00 30,1,2024-09-07 10:07:50:577,1227312,1227312,0,0,576216699670,5983277732,1217498,8646,1168,380,391672,0 30,2,2024-09-07 10:07:51:275,881027,881027,0,0,34099504,0,4192 30,3,2024-09-07 10:07:50:582,1,697,1,0,519,7211,697,0 31,0,2024-09-07 10:07:51:757,175742,0.5,176583,0.7,352259,0.4,469769,2.00 31,1,2024-09-07 10:07:50:564,1231110,1231110,0,0,577789065443,5935029620,1223179,6662,1269,356,391712,0 31,2,2024-09-07 10:07:51:274,882187,882187,0,0,37548480,0,4470 31,3,2024-09-07 10:07:51:710,1,697,0,0,248,6120,697,0 32,0,2024-09-07 10:07:51:435,172601,0.3,173611,0.5,345995,0.2,460487,1.75 32,1,2024-09-07 10:07:50:815,1227022,1227022,0,0,576086087929,5987623104,1219529,6528,965,381,391646,0 32,2,2024-09-07 10:07:50:936,886692,886692,0,0,32911722,0,3922 32,3,2024-09-07 10:07:51:017,1,697,0,0,304,5844,697,0 33,0,2024-09-07 10:07:51:511,174087,0.3,173653,0.4,347400,0.2,462963,1.50 33,1,2024-09-07 10:07:50:575,1228074,1228074,0,0,576633391605,5970567877,1218452,8266,1356,368,391730,0 33,2,2024-09-07 10:07:50:758,881515,881480,35,0,36478575,0,7012 33,3,2024-09-07 10:07:50:903,1,697,6,0,329,6396,697,0 34,0,2024-09-07 10:07:50:931,175296,0.3,180252,0.5,344670,0.2,465184,1.75 34,1,2024-09-07 10:07:51:045,1231082,1231082,0,0,577035157429,5937662567,1227080,3793,209,366,391637,0 34,2,2024-09-07 10:07:50:769,880872,880872,0,0,33997474,0,4562 34,3,2024-09-07 10:07:51:688,1,697,1,0,541,6050,697,0 35,0,2024-09-07 10:07:50:857,175850,0.3,176434,0.5,353757,0.2,470636,1.75 35,1,2024-09-07 10:07:51:067,1226558,1226558,0,0,575259670441,5968500136,1217617,7388,1553,382,391769,0 35,2,2024-09-07 10:07:51:585,880815,880815,0,0,39695705,0,4055 35,3,2024-09-07 10:07:50:911,1,697,0,0,466,6570,697,0 36,0,2024-09-07 10:07:51:513,175498,0.4,175725,0.6,350944,0.4,466768,2.00 36,1,2024-09-07 10:07:50:585,1225088,1225088,0,0,574656225392,5998122385,1210308,12426,2354,366,391759,0 36,2,2024-09-07 10:07:51:750,884109,884109,0,0,39645655,0,3875 36,3,2024-09-07 10:07:50:863,1,697,2,0,556,8825,697,0 37,0,2024-09-07 10:07:51:369,170431,0.5,170343,0.7,341393,0.5,455207,2.25 37,1,2024-09-07 10:07:50:572,1224685,1224678,0,7,575177125655,6004206590,1210491,11072,3115,365,391770,0 37,2,2024-09-07 10:07:51:147,881519,881504,15,0,39770663,0,5815 37,3,2024-09-07 10:07:51:766,1,697,1,0,888,9504,697,0 38,0,2024-09-07 10:07:51:439,175458,0.5,170116,0.7,355643,0.4,466108,2.00 38,1,2024-09-07 10:07:51:605,1224991,1224991,0,0,574512773846,6004204053,1208153,13532,3306,368,391821,0 38,2,2024-09-07 10:07:50:760,879078,879031,47,0,39650746,0,6710 38,3,2024-09-07 10:07:50:997,1,697,1,0,689,7917,697,0 39,0,2024-09-07 10:07:51:765,180705,0.5,176514,0.7,344732,0.5,470357,2.00 39,1,2024-09-07 10:07:50:717,1222658,1222658,0,0,573531742479,6011445907,1202850,15575,4233,365,391865,0 39,2,2024-09-07 10:07:51:416,879611,879611,0,0,37945743,0,3478 39,3,2024-09-07 10:07:50:712,1,697,1,0,525,7683,697,0 40,0,2024-09-07 10:07:51:486,173851,0.8,174524,0.9,348411,0.9,465308,2.75 40,1,2024-09-07 10:07:50:579,1223229,1223229,0,0,573680451184,6011430154,1204424,15222,3583,366,391668,0 40,2,2024-09-07 10:07:51:307,881437,881430,7,0,43805461,0,5347 40,3,2024-09-07 10:07:51:144,1,697,1,0,1028,9843,697,0 41,0,2024-09-07 10:07:51:023,170071,1.1,174134,1.1,332170,1.5,451049,3.00 41,1,2024-09-07 10:07:50:768,1223505,1223505,0,0,574935789725,6011073794,1207795,13185,2525,369,391878,0 41,2,2024-09-07 10:07:50:758,880412,880411,1,0,42778040,0,5408 41,3,2024-09-07 10:07:51:676,1,697,5,0,749,8449,697,0 42,0,2024-09-07 10:07:51:478,174859,0.9,174598,1.0,349590,1.0,464140,2.75 42,1,2024-09-07 10:07:51:442,1220981,1220981,0,0,572596553172,6009287708,1203374,14523,3084,380,391675,0 42,2,2024-09-07 10:07:51:133,874869,874868,1,0,43883224,0,5513 42,3,2024-09-07 10:07:51:009,1,697,1,0,892,6986,697,0 43,0,2024-09-07 10:07:50:921,173569,0.8,168923,1.0,354034,0.9,463188,2.25 43,1,2024-09-07 10:07:50:577,1224336,1224336,0,0,575350123322,6007922336,1208225,13363,2748,365,391696,0 43,2,2024-09-07 10:07:51:742,880947,880947,0,0,40289374,0,4723 43,3,2024-09-07 10:07:51:749,1,697,1,0,571,9318,697,0 44,0,2024-09-07 10:07:50:897,176456,0.4,176569,0.6,352720,0.3,470181,1.75 44,1,2024-09-07 10:07:50:564,1227456,1227456,0,0,576382409261,5960160570,1216127,9178,2151,356,391809,0 44,2,2024-09-07 10:07:51:267,881743,881743,0,0,33852731,0,4344 44,3,2024-09-07 10:07:51:093,1,697,9,0,1097,8922,697,0 45,0,2024-09-07 10:07:51:769,169901,0.4,165900,0.7,348029,0.4,456469,2.00 45,1,2024-09-07 10:07:51:005,1226895,1226895,0,0,575928261428,5986440401,1217344,8330,1221,382,391917,0 45,2,2024-09-07 10:07:51:281,885604,885604,0,0,33999088,0,3596 45,3,2024-09-07 10:07:50:938,1,697,10,0,531,6497,697,0 46,0,2024-09-07 10:07:50:951,173696,0.5,173066,0.7,347347,0.5,462426,2.00 46,1,2024-09-07 10:07:50:578,1228804,1228804,0,0,576490720893,5964193093,1219527,7795,1482,366,391709,0 46,2,2024-09-07 10:07:50:592,880868,880868,0,0,34785653,0,4443 46,3,2024-09-07 10:07:51:131,1,697,2,0,908,7618,697,0 47,0,2024-09-07 10:07:51:104,175746,0.5,175264,0.6,351839,0.4,466428,2.00 47,1,2024-09-07 10:07:50:567,1230052,1230052,0,0,576601730652,5958863940,1222544,6495,1013,364,391666,0 47,2,2024-09-07 10:07:50:914,883043,883043,0,0,35192933,0,4477 47,3,2024-09-07 10:07:51:116,1,697,34,0,600,7562,697,0 48,0,2024-09-07 10:07:51:487,176534,0.3,177101,0.4,352817,0.2,469409,1.50 48,1,2024-09-07 10:07:51:022,1226417,1226417,0,0,574930901824,5973372724,1218745,6660,1012,381,391710,0 48,2,2024-09-07 10:07:50:698,882327,882327,0,0,32259643,0,3619 48,3,2024-09-07 10:07:50:757,1,697,1,0,339,5992,697,0 49,0,2024-09-07 10:07:51:764,178486,0.3,174779,0.5,339983,0.3,463491,1.75 49,1,2024-09-07 10:07:51:022,1225751,1225751,0,0,575541390717,5983585869,1217883,6170,1698,382,391809,0 49,2,2024-09-07 10:07:51:809,886217,886217,0,0,33707703,0,4426 49,3,2024-09-07 10:07:51:416,1,697,4,0,992,8094,697,0 50,0,2024-09-07 10:07:51:522,172069,0.3,170904,0.5,343946,0.2,457902,1.75 50,1,2024-09-07 10:07:51:009,1229014,1229014,0,0,577112112233,5965544178,1221458,6824,732,368,391691,0 50,2,2024-09-07 10:07:51:068,881724,881724,0,0,31650470,0,4490 50,3,2024-09-07 10:07:51:291,1,697,1,0,617,7213,697,0 51,0,2024-09-07 10:07:51:693,180434,0.3,176539,0.5,343832,0.3,468679,1.75 51,1,2024-09-07 10:07:51:690,1230331,1230331,0,0,578360511168,5962816700,1223630,5357,1344,365,391706,0 51,2,2024-09-07 10:07:51:315,879943,879943,0,0,31276958,0,3337 51,3,2024-09-07 10:07:51:028,1,697,1,0,678,5468,697,0 52,0,2024-09-07 10:07:51:445,177199,0.5,177004,0.7,353776,0.4,470370,2.00 52,1,2024-09-07 10:07:50:575,1223928,1223928,0,0,574405159079,6009130780,1207175,14326,2427,368,391805,0 52,2,2024-09-07 10:07:51:755,876504,876466,38,0,42707083,0,6742 52,3,2024-09-07 10:07:50:673,1,697,1,0,1782,7933,697,0 53,0,2024-09-07 10:07:51:730,174705,0.6,169558,0.8,354889,0.7,464683,2.25 53,1,2024-09-07 10:07:50:771,1223376,1223376,0,0,574417769913,6020840527,1204009,14937,4430,367,391968,0 53,2,2024-09-07 10:07:51:299,884753,884615,138,0,39141586,0,7690 53,3,2024-09-07 10:07:50:699,1,697,1,0,308,6509,697,0 54,0,2024-09-07 10:07:51:617,169097,0.5,169630,0.7,337554,0.4,451083,2.25 54,1,2024-09-07 10:07:50:587,1225764,1225764,0,0,575621305069,5982866274,1213965,10124,1675,366,391810,0 54,2,2024-09-07 10:07:50:865,884012,883980,32,0,42441990,0,6397 54,3,2024-09-07 10:07:50:765,1,697,16,0,676,8966,697,0 55,0,2024-09-07 10:07:51:759,170688,0.7,175899,0.8,356990,0.7,465248,2.50 55,1,2024-09-07 10:07:50:764,1226205,1226205,0,0,574764247177,5975135530,1214173,10543,1489,365,391731,0 55,2,2024-09-07 10:07:50:729,877117,877061,56,0,38667290,0,7239 55,3,2024-09-07 10:07:50:674,1,697,1,0,304,6285,697,0 56,0,2024-09-07 10:07:51:558,179577,1.2,169195,1.1,348764,1.6,467208,2.75 56,1,2024-09-07 10:07:50:580,1218834,1218834,0,0,572605218378,6050800223,1199342,15738,3754,381,391867,0 56,2,2024-09-07 10:07:51:313,878360,878238,122,0,41313585,0,7432 56,3,2024-09-07 10:07:51:063,1,697,1,0,705,8272,697,0 57,0,2024-09-07 10:07:51:009,174137,1.3,174099,1.2,347703,1.8,464848,3.00 57,1,2024-09-07 10:07:50:989,1222133,1222133,0,0,573499719809,6013969378,1206418,13011,2704,366,392097,0 57,2,2024-09-07 10:07:51:315,882931,882931,0,0,44315919,0,4804 57,3,2024-09-07 10:07:51:742,1,697,7,0,455,7428,697,0 58,0,2024-09-07 10:07:50:558,168570,0.9,163798,1.0,342852,1.0,448855,2.50 58,1,2024-09-07 10:07:50:575,1223109,1223106,0,3,574581995499,6022155783,1204743,13961,4402,367,391726,3 58,2,2024-09-07 10:07:51:071,884282,884282,0,0,40247190,0,3483 58,3,2024-09-07 10:07:51:074,1,697,1,0,1043,7500,697,0 59,0,2024-09-07 10:07:51:746,174445,0.8,173446,1.0,347098,0.9,460900,2.75 59,1,2024-09-07 10:07:50:804,1222676,1222676,0,0,573464710067,6021403366,1203187,15651,3838,369,391653,0 59,2,2024-09-07 10:07:50:591,878381,878381,0,0,38246262,0,3727 59,3,2024-09-07 10:07:51:738,1,697,1,0,1015,8185,697,0 60,0,2024-09-07 10:07:51:712,174685,0.5,174932,0.7,349784,0.5,466811,2.00 60,1,2024-09-07 10:07:50:772,1226396,1226396,0,0,576069902565,5998480380,1215459,9432,1505,370,392031,0 60,2,2024-09-07 10:07:51:148,881472,881472,0,0,36534949,0,3811 60,3,2024-09-07 10:07:51:265,1,697,1,0,409,7942,697,0 61,0,2024-09-07 10:07:51:496,175940,0.6,176681,0.8,351794,0.6,469228,2.00 61,1,2024-09-07 10:07:50:781,1223185,1223185,0,0,574598877580,6015188472,1210511,10823,1851,382,392127,0 61,2,2024-09-07 10:07:51:121,883157,883090,67,0,37519914,0,6411 61,3,2024-09-07 10:07:51:688,1,697,11,0,607,8579,697,0 62,0,2024-09-07 10:07:51:705,173190,0.5,177625,0.7,338989,0.5,460398,2.00 62,1,2024-09-07 10:07:51:116,1230853,1230847,0,6,577667617232,5962709616,1223591,6639,617,365,391975,6 62,2,2024-09-07 10:07:51:646,882294,882293,1,0,37245244,0,5555 62,3,2024-09-07 10:07:51:143,1,697,0,0,482,5437,697,0 63,0,2024-09-07 10:07:51:469,173933,0.4,173659,0.6,347955,0.3,463167,1.75 63,1,2024-09-07 10:07:50:807,1227761,1227755,0,6,575903595235,5981607890,1219840,6964,951,381,391800,6 63,2,2024-09-07 10:07:50:766,880430,880430,0,0,36372766,0,4369 63,3,2024-09-07 10:07:51:732,1,697,2,0,667,8080,697,0 64,0,2024-09-07 10:07:51:588,174353,0.5,174285,0.7,348159,0.5,463619,2.00 64,1,2024-09-07 10:07:50:772,1225984,1225984,0,0,575880819821,5993947560,1215771,8185,2028,370,391794,0 64,2,2024-09-07 10:07:51:157,883470,883451,19,0,35009563,0,6121 64,3,2024-09-07 10:07:51:153,1,697,2,0,651,7895,697,0 65,0,2024-09-07 10:07:51:735,175101,0.6,175350,0.7,350036,0.6,466930,2.00 65,1,2024-09-07 10:07:50:862,1224295,1224295,0,0,574442339399,6001253521,1216048,7349,898,381,391901,0 65,2,2024-09-07 10:07:51:715,879660,879660,0,0,42007260,0,3367 65,3,2024-09-07 10:07:51:684,1,697,7,0,782,8165,697,0 66,0,2024-09-07 10:07:51:765,174757,0.5,174112,0.7,348234,0.4,463325,2.00 66,1,2024-09-07 10:07:51:302,1226235,1226235,0,0,576109425431,5994309012,1218728,6633,874,380,391743,0 66,2,2024-09-07 10:07:51:134,886817,886814,3,0,36836412,0,5455 66,3,2024-09-07 10:07:51:085,1,697,1,0,291,6159,697,0 67,0,2024-09-07 10:07:51:413,171078,0.5,170658,0.7,341941,0.5,455654,2.00 67,1,2024-09-07 10:07:50:769,1225947,1225946,0,1,575390196924,5989340031,1217512,7294,1140,380,391787,1 67,2,2024-09-07 10:07:50:585,885842,885827,15,0,37299612,0,6205 67,3,2024-09-07 10:07:51:750,1,697,1,0,595,7346,697,0 68,0,2024-09-07 10:07:50:616,176389,0.6,176220,0.7,350909,0.6,468999,2.25 68,1,2024-09-07 10:07:50:587,1221397,1221397,0,0,572579458214,6022975534,1204666,12457,4274,381,391953,0 68,2,2024-09-07 10:07:51:052,874257,874157,100,0,45311575,0,8578 68,3,2024-09-07 10:07:50:728,1,697,9,0,417,9776,697,0 69,0,2024-09-07 10:07:51:794,176399,0.7,176938,0.8,353023,0.7,470251,2.25 69,1,2024-09-07 10:07:51:019,1220664,1220664,0,0,572842607354,6032945647,1205737,11942,2985,383,391994,0 69,2,2024-09-07 10:07:51:733,876433,876404,29,0,48438084,0,6912 69,3,2024-09-07 10:07:50:760,1,697,1,0,698,10380,697,0 70,0,2024-09-07 10:07:51:551,173594,0.7,174404,0.9,349593,0.6,463986,2.50 70,1,2024-09-07 10:07:50:802,1227266,1227266,0,0,577119554312,5988641938,1217798,8423,1045,366,391725,0 70,2,2024-09-07 10:07:51:325,884312,884312,0,0,39795872,0,4323 70,3,2024-09-07 10:07:50:745,1,697,6,0,854,7708,697,0 71,0,2024-09-07 10:07:51:363,170090,0.8,169649,0.9,340155,0.9,453771,2.50 71,1,2024-09-07 10:07:51:596,1225069,1225069,0,0,575084601993,5998591251,1210633,12650,1786,367,391738,0 71,2,2024-09-07 10:07:51:069,882405,882405,0,0,40255510,0,4352 71,3,2024-09-07 10:07:51:750,1,697,3,0,644,7632,697,0 72,0,2024-09-07 10:07:51:066,181676,0.5,177292,0.7,346579,0.5,471580,2.00 72,1,2024-09-07 10:07:51:024,1223421,1223421,0,0,573644050315,6012688998,1206557,14130,2734,369,391819,0 72,2,2024-09-07 10:07:51:763,875918,875918,0,0,41867299,0,3983 72,3,2024-09-07 10:07:51:755,1,697,6,0,564,9563,697,0 73,0,2024-09-07 10:07:51:114,171480,0.5,175882,0.6,359451,0.4,468326,2.00 73,1,2024-09-07 10:07:50:770,1225083,1225083,0,0,575163595817,5977828818,1215552,8465,1066,367,391858,0 73,2,2024-09-07 10:07:51:743,879080,879079,1,0,43885753,0,5027 73,3,2024-09-07 10:07:50:969,1,697,8,0,1091,9328,697,0 74,0,2024-09-07 10:07:51:320,177342,0.5,181660,0.7,346496,0.4,470534,2.00 74,1,2024-09-07 10:07:50:634,1224201,1224201,0,0,574539321026,5993150026,1211840,10213,2148,381,391762,0 74,2,2024-09-07 10:07:51:003,882190,882190,0,0,38256758,0,4253 74,3,2024-09-07 10:07:51:442,1,697,4,0,522,7911,697,0 75,0,2024-09-07 10:07:51:772,171754,0.5,170820,0.7,342782,0.4,457761,2.00 75,1,2024-09-07 10:07:51:591,1225191,1225191,0,0,574832735301,5988311021,1215308,8890,993,380,391739,0 75,2,2024-09-07 10:07:51:349,883215,883215,0,0,45354781,0,4766 75,3,2024-09-07 10:07:51:067,1,697,1,0,918,9531,697,0 76,0,2024-09-07 10:07:50:582,174063,0.6,173430,0.7,346716,0.5,464065,2.25 76,1,2024-09-07 10:07:50:804,1224537,1224537,0,0,573997654301,5988289924,1215640,7587,1310,382,391790,0 76,2,2024-09-07 10:07:51:063,882160,882157,3,0,38181725,0,5265 76,3,2024-09-07 10:07:51:147,1,697,1,0,249,6382,697,0 77,0,2024-09-07 10:07:51:704,174556,0.6,174872,0.7,350063,0.6,465367,2.00 77,1,2024-09-07 10:07:50:845,1223752,1223752,0,0,574545006360,5999556329,1213478,9186,1088,381,391869,0 77,2,2024-09-07 10:07:51:292,880031,880031,0,0,36836761,0,3890 77,3,2024-09-07 10:07:51:094,1,697,1,0,401,7839,697,0 78,0,2024-09-07 10:07:51:743,176846,0.4,176024,0.6,353505,0.4,467542,2.00 78,1,2024-09-07 10:07:50:610,1223897,1223897,0,0,574753751599,5997864377,1208361,12204,3332,367,391670,0 78,2,2024-09-07 10:07:51:416,882674,882661,13,0,34511824,0,8313 78,3,2024-09-07 10:07:51:133,1,697,1,0,311,5968,697,0 79,0,2024-09-07 10:07:51:365,167747,0.4,171825,0.6,351892,0.3,457926,2.00 79,1,2024-09-07 10:07:50:582,1228341,1228341,0,0,576856139492,5974567111,1218525,8014,1802,367,391682,0 79,2,2024-09-07 10:07:51:068,885598,885598,0,0,33545975,0,4195 79,3,2024-09-07 10:07:50:755,1,697,0,0,418,8041,697,0 80,0,2024-09-07 10:07:51:085,171908,0.5,176529,0.7,337107,0.5,456888,2.00 80,1,2024-09-07 10:07:51:619,1224761,1224761,0,0,575507003265,5991581872,1216778,7401,582,368,392269,0 80,2,2024-09-07 10:07:51:097,885085,885085,0,0,34109918,0,4433 80,3,2024-09-07 10:07:50:585,1,697,0,0,681,8394,697,0 81,0,2024-09-07 10:07:51:562,175826,0.6,179909,0.7,343136,0.6,465306,2.00 81,1,2024-09-07 10:07:51:651,1223863,1223863,0,0,574048247371,5999793710,1213991,8877,995,382,392001,0 81,2,2024-09-07 10:07:51:126,879327,879264,63,0,37934697,0,5932 81,3,2024-09-07 10:07:51:117,1,697,0,0,719,7629,697,0 82,0,2024-09-07 10:07:51:535,177104,0.5,177114,0.7,354902,0.5,470886,2.00 82,1,2024-09-07 10:07:50:598,1225844,1225840,0,4,574802338220,5979929284,1218904,5812,1124,381,391768,4 82,2,2024-09-07 10:07:51:691,882937,882937,0,0,31553382,0,4484 82,3,2024-09-07 10:07:51:752,1,697,1,0,363,6246,697,0 83,0,2024-09-07 10:07:51:547,175407,0.5,175283,0.7,350274,0.5,465315,2.00 83,1,2024-09-07 10:07:50:558,1224530,1224530,0,0,574765363616,5991337429,1215538,7964,1028,382,391709,0 83,2,2024-09-07 10:07:50:768,885311,885286,25,0,34458413,0,5612 83,3,2024-09-07 10:07:50:749,1,697,1,0,1260,8157,697,0 84,0,2024-09-07 10:07:51:778,169359,0.7,169480,0.9,338968,0.7,452839,2.25 84,1,2024-09-07 10:07:51:044,1222888,1222888,0,0,573801568846,6001263831,1209187,11616,2085,367,391967,0 84,2,2024-09-07 10:07:50:600,881480,881070,410,0,49763351,0,17037 84,3,2024-09-07 10:07:51:144,1,697,25,0,908,9678,697,0 85,0,2024-09-07 10:07:51:007,169982,0.7,169923,0.8,360749,0.7,465768,2.25 85,1,2024-09-07 10:07:50:564,1218899,1218899,0,0,572164482924,6035885379,1201098,14870,2931,381,392092,0 85,2,2024-09-07 10:07:50:865,877268,877268,0,0,42100302,0,4255 85,3,2024-09-07 10:07:50:702,1,697,10,0,789,8453,697,0 86,0,2024-09-07 10:07:50:880,175453,0.7,180565,0.8,345763,0.8,467910,2.25 86,1,2024-09-07 10:07:50:832,1221645,1221645,0,0,573442989046,6021496420,1203952,14377,3316,366,392169,0 86,2,2024-09-07 10:07:50:858,879102,879101,1,0,44166131,0,5004 86,3,2024-09-07 10:07:50:586,1,697,1,0,441,9625,697,0 87,0,2024-09-07 10:07:51:297,176439,0.8,175175,0.8,350913,0.9,468678,2.25 87,1,2024-09-07 10:07:50:550,1222189,1222189,0,0,573210900527,6002851219,1207256,12771,2162,366,392076,0 87,2,2024-09-07 10:07:51:073,882310,882304,6,0,41620094,0,6323 87,3,2024-09-07 10:07:51:794,1,697,1,0,473,9806,697,0 88,0,2024-09-07 10:07:51:480,170932,0.4,171430,0.6,342884,0.4,456702,1.75 88,1,2024-09-07 10:07:50:571,1220897,1220897,0,0,573249468017,6004967725,1204084,13673,3140,365,392084,0 88,2,2024-09-07 10:07:50:776,883494,883494,0,0,43503070,0,4465 88,3,2024-09-07 10:07:51:267,1,697,7,0,1080,11143,697,0 89,0,2024-09-07 10:07:51:806,180526,0.4,175314,0.6,345374,0.4,469739,1.75 89,1,2024-09-07 10:07:50:558,1220842,1220842,0,0,573588739305,6029439996,1206157,12404,2281,382,391866,0 89,2,2024-09-07 10:07:51:131,878173,878173,0,0,40833008,0,3173 89,3,2024-09-07 10:07:51:803,1,697,9,0,729,12323,697,0 90,0,2024-09-07 10:07:51:681,169692,0.5,174193,0.7,355885,0.5,464630,2.00 90,1,2024-09-07 10:07:50:592,1222530,1222530,0,0,574190971817,6015072409,1210075,11185,1270,380,391825,0 90,2,2024-09-07 10:07:51:407,876319,876314,5,0,44127015,0,6370 90,3,2024-09-07 10:07:50:931,1,697,1,0,364,9170,697,0 91,0,2024-09-07 10:07:50:948,176691,0.5,171419,0.6,358527,0.4,470170,1.75 91,1,2024-09-07 10:07:50:558,1219536,1219536,0,0,573251506171,6043919453,1200823,14565,4148,381,392047,0 91,2,2024-09-07 10:07:51:333,881107,881107,0,0,39450239,0,4713 91,3,2024-09-07 10:07:50:602,1,697,3,0,231,6521,697,0 92,0,2024-09-07 10:07:51:477,174109,0.4,178122,0.6,339509,0.4,460727,1.75 92,1,2024-09-07 10:07:50:596,1223439,1223439,0,0,574628130077,6002313114,1213940,8187,1312,381,392136,0 92,2,2024-09-07 10:07:51:365,884397,884397,0,0,35998774,0,3906 92,3,2024-09-07 10:07:51:012,1,697,0,0,322,6236,697,0 93,0,2024-09-07 10:07:50:977,174458,0.4,178736,0.6,341144,0.3,462916,1.75 93,1,2024-09-07 10:07:50:809,1224200,1224200,0,0,574586585931,6003612503,1209797,11967,2436,365,392048,0 93,2,2024-09-07 10:07:50:930,880465,880465,0,0,41368770,0,4913 93,3,2024-09-07 10:07:51:411,1,697,5,0,788,8613,697,0 94,0,2024-09-07 10:07:51:634,174352,0.4,175413,0.6,351354,0.4,465937,1.75 94,1,2024-09-07 10:07:50:564,1225552,1225552,0,0,575626664120,6002619568,1217271,7631,650,381,391850,0 94,2,2024-09-07 10:07:50:768,880276,880248,28,0,36035233,0,6179 94,3,2024-09-07 10:07:51:695,1,697,14,0,576,8393,697,0 95,0,2024-09-07 10:07:51:346,176464,0.4,176183,0.5,352650,0.3,469871,1.75 95,1,2024-09-07 10:07:50:852,1226083,1226083,0,0,575665371920,5981300566,1216716,8555,812,365,391852,0 95,2,2024-09-07 10:07:51:017,879262,879262,0,0,36168961,0,3308 95,3,2024-09-07 10:07:51:722,1,697,2,0,718,10493,697,0 96,0,2024-09-07 10:07:51:034,175040,0.3,175395,0.5,350942,0.3,466023,1.75 96,1,2024-09-07 10:07:51:588,1223461,1223461,0,0,574014498597,5989166121,1214539,7544,1378,384,391964,0 96,2,2024-09-07 10:07:51:271,885993,885993,0,0,36684491,0,4225 96,3,2024-09-07 10:07:51:144,1,697,8,0,411,7741,697,0 97,0,2024-09-07 10:07:51:313,171038,0.3,170860,0.5,342485,0.3,455664,1.50 97,1,2024-09-07 10:07:50:779,1226662,1226662,0,0,575865911463,5975169316,1218730,6689,1243,367,392140,0 97,2,2024-09-07 10:07:50:612,884559,884559,0,0,36714140,0,4600 97,3,2024-09-07 10:07:50:569,1,697,1,0,433,8475,697,0 98,0,2024-09-07 10:07:51:719,175888,0.3,175996,0.5,353445,0.3,469320,1.50 98,1,2024-09-07 10:07:50:572,1225244,1225244,0,0,574710705281,5985472053,1217801,6544,899,381,391997,0 98,2,2024-09-07 10:07:50:769,878140,878140,0,0,34977973,0,4336 98,3,2024-09-07 10:07:50:697,1,697,1,0,840,9652,697,0 99,0,2024-09-07 10:07:51:449,177264,0.3,178093,0.5,354809,0.3,472969,1.75 99,1,2024-09-07 10:07:51:723,1226236,1226236,0,0,574645046560,5980537157,1218448,6679,1109,380,392069,0 99,2,2024-09-07 10:07:51:426,879343,879343,0,0,44167586,0,4276 99,3,2024-09-07 10:07:50:587,1,697,3,0,1124,8787,697,0 100,0,2024-09-07 10:07:51:460,174985,0.8,175530,0.9,350893,0.8,467849,2.50 100,1,2024-09-07 10:07:50:548,1218801,1218801,0,0,571463122712,6044329075,1199659,15347,3795,378,391989,0 100,2,2024-09-07 10:07:51:831,879892,879503,389,0,46082284,0,16909 100,3,2024-09-07 10:07:51:733,1,697,1,0,627,10775,697,0 101,0,2024-09-07 10:07:51:727,174879,0.9,170588,1.0,333676,0.9,455726,2.25 101,1,2024-09-07 10:07:50:553,1220987,1220987,0,0,573510300406,6026722352,1204394,13641,2952,368,392018,0 101,2,2024-09-07 10:07:51:767,878428,878389,39,0,47700911,0,5913 101,3,2024-09-07 10:07:50:945,1,697,3,0,1250,10700,697,0 102,0,2024-09-07 10:07:50:960,171031,0.6,176170,0.7,358110,0.6,468294,2.25 102,1,2024-09-07 10:07:51:144,1221054,1221054,0,0,573659140728,6023210030,1205226,13571,2257,369,391984,0 102,2,2024-09-07 10:07:51:736,878826,878772,54,0,39435977,0,6768 102,3,2024-09-07 10:07:51:615,1,697,3,0,466,8084,697,0 103,0,2024-09-07 10:07:51:656,181459,0.7,181453,0.8,341952,0.8,470710,2.25 103,1,2024-09-07 10:07:51:652,1219858,1219858,0,0,572464635176,6042425463,1200947,15284,3627,381,392077,0 103,2,2024-09-07 10:07:50:589,877810,877810,0,0,42968857,0,3766 103,3,2024-09-07 10:07:50:756,1,697,0,0,916,8238,697,0 104,0,2024-09-07 10:07:51:011,174999,0.7,175356,0.9,349936,0.7,467721,2.25 104,1,2024-09-07 10:07:51:601,1221862,1221862,0,0,573234318601,6024095735,1203422,14978,3462,365,392168,0 104,2,2024-09-07 10:07:51:666,880458,880458,0,0,41968918,0,4161 104,3,2024-09-07 10:07:51:430,1,697,2,0,1245,11763,697,0 105,0,2024-09-07 10:07:51:032,169750,0.8,165412,1.0,346834,0.9,455632,2.50 105,1,2024-09-07 10:07:50:554,1223921,1223921,0,0,573583025698,6006118773,1209145,12661,2115,364,392009,0 105,2,2024-09-07 10:07:51:325,882809,882809,0,0,43294542,0,4360 105,3,2024-09-07 10:07:51:305,1,697,6,0,573,10378,697,0 106,0,2024-09-07 10:07:50:960,168528,0.9,172937,1.0,353435,1.1,462195,2.50 106,1,2024-09-07 10:07:51:756,1222194,1222194,0,0,573454703171,6014568746,1205763,14280,2151,368,391914,0 106,2,2024-09-07 10:07:50:756,879341,879341,0,0,39784888,0,3331 106,3,2024-09-07 10:07:50:682,1,697,1,0,1224,9627,697,0 107,0,2024-09-07 10:07:51:107,174697,1.3,174655,1.1,349491,1.9,466281,2.25 107,1,2024-09-07 10:07:50:588,1219657,1219657,0,0,571808975974,6041310293,1200556,16845,2256,381,392234,0 107,2,2024-09-07 10:07:51:292,876364,876363,1,0,43492239,0,5024 107,3,2024-09-07 10:07:51:757,1,697,91,0,733,11008,697,0 108,0,2024-09-07 10:07:51:787,175949,0.4,176784,0.6,352551,0.4,469357,1.75 108,1,2024-09-07 10:07:51:297,1223290,1223290,0,0,574269763856,5996108971,1211816,10212,1262,367,391894,0 108,2,2024-09-07 10:07:51:755,881470,881470,0,0,38850822,0,4432 108,3,2024-09-07 10:07:51:330,1,697,0,0,767,12557,697,0 109,0,2024-09-07 10:07:51:744,174365,0.4,172984,0.6,347024,0.3,462467,1.75 109,1,2024-09-07 10:07:50:591,1221406,1221406,0,0,573755900860,6018833894,1210749,9151,1506,382,392132,0 109,2,2024-09-07 10:07:50:922,882742,882742,0,0,38875942,0,3617 109,3,2024-09-07 10:07:51:144,1,697,1,0,630,7973,697,0 110,0,2024-09-07 10:07:51:772,171566,0.4,166802,0.6,349276,0.3,458910,1.75 110,1,2024-09-07 10:07:51:645,1226056,1226056,0,0,575896263263,5979740822,1216590,7381,2085,368,392045,0 110,2,2024-09-07 10:07:51:304,882582,882582,0,0,38003155,0,4067 110,3,2024-09-07 10:07:50:691,1,697,0,0,722,9425,697,0 111,0,2024-09-07 10:07:51:436,176501,0.4,175696,0.6,350956,0.4,468176,1.75 111,1,2024-09-07 10:07:51:005,1227374,1227374,0,0,576266027666,5983091039,1220118,6749,507,380,391690,0 111,2,2024-09-07 10:07:51:117,879371,879371,0,0,37358995,0,4823 111,3,2024-09-07 10:07:50:914,1,697,4,0,379,7837,697,0 112,0,2024-09-07 10:07:50:947,177734,0.3,177406,0.4,355588,0.2,472195,1.50 112,1,2024-09-07 10:07:50:836,1226203,1226203,0,0,575313257388,5970830728,1218578,6399,1226,380,391624,0 112,2,2024-09-07 10:07:51:134,880480,880479,1,0,35272078,0,5036 112,3,2024-09-07 10:07:50:592,1,697,2,0,282,6851,697,0 113,0,2024-09-07 10:07:50:865,175278,0.3,175697,0.5,351661,0.2,467654,1.75 113,1,2024-09-07 10:07:51:685,1229786,1229786,0,0,576880138537,5950566647,1222872,5963,951,365,391664,0 113,2,2024-09-07 10:07:51:304,887546,887546,0,0,32671578,0,3813 113,3,2024-09-07 10:07:50:684,1,697,1,0,510,7008,697,0 114,0,2024-09-07 10:07:50:883,170909,0.3,172103,0.5,343276,0.2,458419,1.75 114,1,2024-09-07 10:07:50:715,1225551,1225551,0,0,575939202400,5979022028,1216722,7025,1804,381,391565,0 114,2,2024-09-07 10:07:50:878,885828,885827,1,0,35093084,0,5069 114,3,2024-09-07 10:07:51:279,1,697,0,0,415,5689,697,0 115,0,2024-09-07 10:07:50:555,177629,0.3,178138,0.4,355038,0.2,472364,1.50 115,1,2024-09-07 10:07:50:571,1226878,1226878,0,0,575984076759,5982274734,1217322,7764,1792,382,391757,0 115,2,2024-09-07 10:07:51:125,879705,879705,0,0,34924126,0,4382 115,3,2024-09-07 10:07:51:002,1,697,1,0,173,4413,697,0 116,0,2024-09-07 10:07:51:695,175576,0.8,175677,0.9,351881,0.8,470584,2.25 116,1,2024-09-07 10:07:50:814,1219929,1219929,0,0,573441953249,6039629607,1205825,10887,3217,380,392089,0 116,2,2024-09-07 10:07:51:751,879900,879900,0,0,42477358,0,4475 116,3,2024-09-07 10:07:50:914,1,697,1,0,448,8891,697,0 117,0,2024-09-07 10:07:50:973,176118,0.6,175841,0.8,352088,0.6,470029,2.00 117,1,2024-09-07 10:07:51:585,1222703,1222703,0,0,573324281889,6005198418,1208842,12094,1767,369,392429,0 117,2,2024-09-07 10:07:51:117,884666,884666,0,0,39071119,0,4303 117,3,2024-09-07 10:07:51:060,1,697,1,0,490,8506,697,0 118,0,2024-09-07 10:07:51:816,165844,0.5,170330,0.7,347630,0.5,454917,2.00 118,1,2024-09-07 10:07:50:587,1220816,1220816,0,0,572968278432,6020578580,1203303,13665,3848,366,392054,0 118,2,2024-09-07 10:07:51:589,883644,883644,0,0,41644743,0,3161 118,3,2024-09-07 10:07:51:781,1,697,0,0,343,8301,697,0 119,0,2024-09-07 10:07:51:353,175449,0.7,175722,0.8,350984,0.7,468084,2.25 119,1,2024-09-07 10:07:50:551,1221882,1221882,0,0,573766553301,6015191873,1205861,13353,2668,367,391857,0 119,2,2024-09-07 10:07:51:268,879004,879004,0,0,38315114,0,4309 119,3,2024-09-07 10:07:51:325,1,697,3,0,1358,12200,697,0 120,0,2024-09-07 10:07:51:551,173990,0.7,173969,0.9,349094,0.8,465791,2.50 120,1,2024-09-07 10:07:50:863,1222846,1222846,0,0,573523359390,6012155169,1210175,11512,1159,367,392144,0 120,2,2024-09-07 10:07:50:776,879005,879002,3,0,46001774,0,5363 120,3,2024-09-07 10:07:51:289,1,697,2,0,478,8901,697,0 121,0,2024-09-07 10:07:51:701,176134,1.1,175578,1.0,351279,1.4,468056,2.25 121,1,2024-09-07 10:07:51:668,1222604,1222604,0,0,573504120879,6002661462,1209076,11531,1997,366,391840,0 121,2,2024-09-07 10:07:51:127,881595,881595,0,0,41897372,0,4157 121,3,2024-09-07 10:07:50:727,1,697,27,0,387,9300,697,0 122,0,2024-09-07 10:07:51:779,171911,0.7,167367,0.8,349944,0.8,459985,2.00 122,1,2024-09-07 10:07:50:862,1221713,1221713,0,0,573218511740,6011338949,1205435,13699,2579,365,392130,0 122,2,2024-09-07 10:07:51:318,884022,883947,75,0,46018532,0,5989 122,3,2024-09-07 10:07:50:616,1,697,2,0,512,10527,697,0 123,0,2024-09-07 10:07:50:972,172322,0.8,168022,0.8,352469,0.9,460993,2.25 123,1,2024-09-07 10:07:50:571,1222598,1222598,0,0,573791233352,6031699639,1203502,16068,3028,369,392039,0 123,2,2024-09-07 10:07:51:023,879815,879814,1,0,39443167,0,5215 123,3,2024-09-07 10:07:51:133,1,697,2,0,478,7827,697,0 124,0,2024-09-07 10:07:50:958,179961,0.4,180007,0.5,339188,0.3,466792,1.75 124,1,2024-09-07 10:07:51:028,1226347,1226347,0,0,575277355496,5981880284,1216809,8277,1261,365,392178,0 124,2,2024-09-07 10:07:51:014,881930,881877,53,0,36631659,0,6487 124,3,2024-09-07 10:07:50:769,1,697,7,0,490,7238,697,0 125,0,2024-09-07 10:07:51:423,176332,0.4,176185,0.6,353170,0.3,470318,1.75 125,1,2024-09-07 10:07:50:858,1222961,1222961,0,0,574055604139,5998745785,1211910,9466,1585,382,392045,0 125,2,2024-09-07 10:07:51:118,883373,883373,0,0,36191859,0,4534 125,3,2024-09-07 10:07:51:133,1,697,1,0,709,7693,697,0 126,0,2024-09-07 10:07:51:441,175427,0.4,180414,0.5,344823,0.3,466782,1.75 126,1,2024-09-07 10:07:50:558,1226587,1226587,0,0,576275131081,5970914551,1219309,6576,702,365,391987,0 126,2,2024-09-07 10:07:50:610,886034,886034,0,0,37956234,0,4539 126,3,2024-09-07 10:07:50:911,1,697,0,0,268,7861,697,0 127,0,2024-09-07 10:07:51:736,171324,0.3,171786,0.5,342403,0.3,455909,1.75 127,1,2024-09-07 10:07:50:574,1225500,1225500,0,0,574944661142,5982425644,1213041,10683,1776,364,392187,0 127,2,2024-09-07 10:07:50:668,884192,884188,4,0,35868006,0,5305 127,3,2024-09-07 10:07:51:267,1,697,145,0,968,6976,697,0 128,0,2024-09-07 10:07:51:534,177137,0.3,176942,0.4,354103,0.2,470239,1.50 128,1,2024-09-07 10:07:51:605,1224736,1224736,0,0,575475062412,5982544861,1215743,8021,972,367,392423,0 128,2,2024-09-07 10:07:51:382,880631,880631,0,0,33378458,0,3171 128,3,2024-09-07 10:07:50:767,1,697,5,0,1082,10356,697,0 129,0,2024-09-07 10:07:51:010,178514,0.3,177688,0.5,356555,0.3,473797,1.50 129,1,2024-09-07 10:07:50:569,1221497,1221497,0,0,573155491143,6011722882,1208547,10620,2330,379,391962,0 129,2,2024-09-07 10:07:50:689,881819,881815,4,0,36144814,0,5335 129,3,2024-09-07 10:07:50:690,1,697,25,0,506,10000,697,0 130,0,2024-09-07 10:07:51:743,176218,0.4,176027,0.5,352448,0.4,469425,1.75 130,1,2024-09-07 10:07:50:601,1226284,1226284,0,0,575719892715,5977440736,1220434,5443,407,381,391836,0 130,2,2024-09-07 10:07:51:132,884519,884519,0,0,35961166,0,4067 130,3,2024-09-07 10:07:51:291,1,697,1,0,960,8910,697,0 131,0,2024-09-07 10:07:51:924,171074,0.3,171571,0.5,344063,0.3,457309,1.50 131,1,2024-09-07 10:07:51:839,1225415,1225415,0,0,574972521936,5990756365,1217262,6942,1211,381,391865,0 131,2,2024-09-07 10:07:50:571,884432,884432,0,0,34216189,0,3979 131,3,2024-09-07 10:07:51:688,1,697,1,0,392,8683,697,0 132,0,2024-09-07 10:07:51:425,176463,0.5,177367,0.6,353060,0.4,470388,2.00 132,1,2024-09-07 10:07:50:584,1221119,1221119,0,0,572779016547,6025141897,1204616,13721,2782,381,392532,0 132,2,2024-09-07 10:07:50:701,877852,877835,17,0,42823651,0,6451 132,3,2024-09-07 10:07:51:688,1,697,1,0,1298,11840,697,0 133,0,2024-09-07 10:07:51:521,171611,0.5,175785,0.6,360241,0.4,469042,2.00 133,1,2024-09-07 10:07:50:597,1220728,1220728,0,0,573101365245,6033910703,1205272,13521,1935,383,391914,0 133,2,2024-09-07 10:07:51:087,880695,880645,50,0,43926721,0,6861 133,3,2024-09-07 10:07:51:298,1,697,1,0,528,8391,697,0 134,0,2024-09-07 10:07:50:946,176988,0.5,176919,0.7,353560,0.5,470957,2.00 134,1,2024-09-07 10:07:50:595,1222289,1222289,0,0,573035350408,6011360740,1206202,12795,3292,366,391781,0 134,2,2024-09-07 10:07:51:806,882057,881918,139,0,41734221,0,7591 134,3,2024-09-07 10:07:50:751,1,697,2,0,739,8509,697,0 135,0,2024-09-07 10:07:51:102,166353,0.7,166290,0.8,353010,0.7,455018,2.25 135,1,2024-09-07 10:07:51:593,1221775,1221775,0,0,574060106941,6032183132,1207351,12572,1852,380,392038,0 135,2,2024-09-07 10:07:50:702,885042,885042,0,0,42212181,0,4503 135,3,2024-09-07 10:07:51:007,1,697,1,0,900,6678,697,0 136,0,2024-09-07 10:07:51:612,174705,0.6,175114,0.8,348604,0.6,465347,2.00 136,1,2024-09-07 10:07:51:449,1223155,1223155,0,0,573737771259,6009074705,1210321,11408,1426,381,392135,0 136,2,2024-09-07 10:07:51:139,881404,881389,15,0,40976136,0,6007 136,3,2024-09-07 10:07:51:120,1,697,1,0,637,8139,697,0 137,0,2024-09-07 10:07:50:962,180483,0.6,175908,0.8,344500,0.7,467980,2.00 137,1,2024-09-07 10:07:50:642,1222047,1222047,0,0,574078562794,6017038731,1203607,14800,3640,366,391898,0 137,2,2024-09-07 10:07:51:757,879047,879047,0,0,42071892,0,3185 137,3,2024-09-07 10:07:50:771,1,697,39,0,484,9835,697,0 138,0,2024-09-07 10:07:51:769,175309,0.9,175618,0.9,351661,1.1,467617,2.25 138,1,2024-09-07 10:07:51:697,1221617,1221617,0,0,573954286229,6021243259,1204479,14447,2691,368,391954,0 138,2,2024-09-07 10:07:50:588,879849,879849,0,0,40558590,0,4988 138,3,2024-09-07 10:07:50:614,1,697,2,0,1200,10355,697,0 139,0,2024-09-07 10:07:51:458,172260,0.9,172951,0.9,345640,1.1,460865,2.25 139,1,2024-09-07 10:07:50:581,1218018,1218018,0,0,570824450478,6045127643,1198113,15991,3914,380,392109,0 139,2,2024-09-07 10:07:50:915,878509,878479,30,0,46123094,0,5997 139,3,2024-09-07 10:07:51:672,1,697,14,0,432,8236,697,0 140,0,2024-09-07 10:07:51:592,172267,0.3,171516,0.5,343947,0.2,459094,1.75 140,1,2024-09-07 10:07:51:535,1229265,1229265,0,0,577257603477,5957074181,1222459,6002,804,364,391628,0 140,2,2024-09-07 10:07:50:692,883040,883039,1,0,34625186,0,5036 140,3,2024-09-07 10:07:50:769,1,697,1,0,575,6993,697,0 141,0,2024-09-07 10:07:51:703,176418,0.3,181066,0.5,345922,0.3,467812,1.75 141,1,2024-09-07 10:07:50:859,1226615,1226615,0,0,576029893605,5987368573,1216269,8953,1393,379,391614,0 141,2,2024-09-07 10:07:51:696,880054,880043,11,0,37135761,0,5369 141,3,2024-09-07 10:07:51:045,1,697,3,0,391,8125,697,0 142,0,2024-09-07 10:07:51:354,178080,0.3,177276,0.5,354941,0.3,473102,1.50 142,1,2024-09-07 10:07:50:587,1224488,1224488,0,0,575049888927,6000271188,1215432,8162,894,382,392102,0 142,2,2024-09-07 10:07:51:323,879459,879427,32,0,36775015,0,6028 142,3,2024-09-07 10:07:51:748,1,697,1,0,484,7053,697,0 143,0,2024-09-07 10:07:51:371,175452,0.4,175280,0.5,351853,0.4,467614,1.75 143,1,2024-09-07 10:07:50:558,1227471,1227471,0,0,575508234257,5973394602,1219066,7434,971,367,391900,0 143,2,2024-09-07 10:07:50:769,886131,886131,0,0,36407646,0,3123 143,3,2024-09-07 10:07:51:151,1,697,1,0,462,8107,697,0 144,0,2024-09-07 10:07:51:538,165716,0.5,170480,0.7,347053,0.5,454577,2.00 144,1,2024-09-07 10:07:50:567,1221577,1221577,0,0,573673257404,6017571466,1209827,9705,2045,381,391733,0 144,2,2024-09-07 10:07:51:756,885109,885109,0,0,36003062,0,4443 144,3,2024-09-07 10:07:51:740,1,697,2,0,306,7448,697,0 145,0,2024-09-07 10:07:51:386,171260,0.6,171222,0.8,363385,0.5,467667,2.25 145,1,2024-09-07 10:07:50:558,1220342,1220342,0,0,573185793995,6031166599,1204137,13237,2968,382,391781,0 145,2,2024-09-07 10:07:51:431,877070,876988,82,0,42021246,0,7814 145,3,2024-09-07 10:07:50:898,1,697,6,0,622,9398,697,0 146,0,2024-09-07 10:07:51:589,176160,0.7,175311,0.8,352483,0.7,468651,2.25 146,1,2024-09-07 10:07:51:586,1222027,1222027,0,0,573181106724,6026564324,1202881,14220,4926,367,391829,0 146,2,2024-09-07 10:07:51:704,878102,878096,6,0,39968149,0,5151 146,3,2024-09-07 10:07:51:276,1,697,1,0,1520,10912,697,0 147,0,2024-09-07 10:07:51:742,176145,0.6,175889,0.7,351725,0.5,469100,2.00 147,1,2024-09-07 10:07:51:373,1226168,1226168,0,0,575233992981,5979554358,1216205,8862,1101,367,391791,0 147,2,2024-09-07 10:07:51:009,883232,883232,0,0,37757572,0,4531 147,3,2024-09-07 10:07:50:914,1,697,0,0,1626,10433,697,0 0,0,2024-09-07 10:08:01:932,170208,0.7,170188,0.8,361253,0.8,467288,2.00 0,1,2024-09-07 10:08:00:807,1224967,1224967,0,0,574688204422,6015109598,1215077,8912,978,368,391896,0 0,2,2024-09-07 10:08:01:071,882252,882252,0,0,35639183,0,4480 0,3,2024-09-07 10:08:00:980,1,698,15,0,538,9945,698,0 1,0,2024-09-07 10:08:01:831,176129,0.8,175179,0.9,351424,0.9,470241,2.00 1,1,2024-09-07 10:08:00:558,1224123,1224123,0,0,573770919645,6011039350,1212719,9433,1971,370,391859,0 1,2,2024-09-07 10:08:00:643,884548,884548,0,0,35224679,0,3380 1,3,2024-09-07 10:08:01:322,1,698,11,0,269,8284,698,0 2,0,2024-09-07 10:08:01:575,172726,0.6,172529,0.7,344728,0.6,459904,2.00 2,1,2024-09-07 10:08:00:859,1227983,1227983,0,0,575352456090,5982352299,1221051,5971,961,379,391805,0 2,2,2024-09-07 10:08:01:266,886427,886427,0,0,34012859,0,3594 2,3,2024-09-07 10:08:00:692,1,698,1,0,357,6131,698,0 3,0,2024-09-07 10:08:01:758,173814,0.4,173618,0.6,346846,0.4,462714,2.00 3,1,2024-09-07 10:08:01:620,1225774,1225774,0,0,575123823964,5981882876,1217179,7877,718,379,391716,0 3,2,2024-09-07 10:08:01:147,883412,883389,23,0,34708778,0,5851 3,3,2024-09-07 10:08:01:752,1,698,1,0,484,5247,698,0 4,0,2024-09-07 10:08:01:786,170058,0.4,174587,0.5,356305,0.4,465548,1.75 4,1,2024-09-07 10:08:00:606,1220457,1220457,0,0,572871898008,6057645461,1200547,15309,4601,369,391992,0 4,2,2024-09-07 10:08:01:037,878641,878641,0,0,42330454,0,4534 4,3,2024-09-07 10:08:01:039,1,698,1,0,448,9361,698,0 5,0,2024-09-07 10:08:01:398,176572,0.4,176326,0.6,353090,0.4,469994,1.75 5,1,2024-09-07 10:08:00:755,1221760,1221760,0,0,573265812682,6046534994,1204063,13458,4239,367,392005,0 5,2,2024-09-07 10:08:01:854,878422,878389,33,0,42642751,0,7631 5,3,2024-09-07 10:08:01:732,1,698,16,0,457,9190,698,0 6,0,2024-09-07 10:08:00:920,175091,0.5,174519,0.6,349411,0.4,464827,2.00 6,1,2024-09-07 10:08:00:775,1225215,1225215,0,0,575555063939,6007535014,1213040,10490,1685,379,391702,0 6,2,2024-09-07 10:08:01:129,885940,885922,18,0,39540265,0,5535 6,3,2024-09-07 10:08:01:280,1,698,0,0,710,7952,698,0 7,0,2024-09-07 10:08:01:535,170711,0.5,171964,0.7,341201,0.5,455413,2.00 7,1,2024-09-07 10:08:00:851,1222408,1222408,0,0,573588777327,6033242575,1204573,13698,4137,382,391747,0 7,2,2024-09-07 10:08:00:774,883406,883237,169,0,40345330,0,7706 7,3,2024-09-07 10:08:00:851,1,698,1,0,552,8177,698,0 8,0,2024-09-07 10:08:01:333,176693,0.4,176292,0.5,353203,0.4,470621,1.75 8,1,2024-09-07 10:08:01:030,1221516,1221516,0,0,573753986183,6031403887,1202654,14816,4046,366,392853,0 8,2,2024-09-07 10:08:00:796,875068,875066,2,0,45238608,0,5112 8,3,2024-09-07 10:08:00:587,1,698,11,0,772,10401,698,0 9,0,2024-09-07 10:08:01:137,177472,0.4,172315,0.5,360658,0.3,473428,1.75 9,1,2024-09-07 10:08:00:564,1221393,1221393,0,0,573566954140,6046763132,1201716,15327,4350,369,392001,0 9,2,2024-09-07 10:08:01:098,879719,879718,1,0,43202638,0,5281 9,3,2024-09-07 10:08:01:756,1,698,5,0,1273,11087,698,0 10,0,2024-09-07 10:08:01:602,175624,0.3,175250,0.5,351320,0.3,467723,1.75 10,1,2024-09-07 10:08:00:586,1223213,1223213,0,0,574477106629,6027767968,1205103,14376,3734,381,391981,0 10,2,2024-09-07 10:08:00:762,885125,885125,0,0,46405562,0,4713 10,3,2024-09-07 10:08:00:871,1,698,1,0,669,7373,698,0 11,0,2024-09-07 10:08:01:009,171162,0.4,166090,0.6,347658,0.3,457795,1.75 11,1,2024-09-07 10:08:00:570,1225499,1225499,0,0,574934290188,6026266105,1208613,12576,4310,383,391766,0 11,2,2024-09-07 10:08:01:129,884377,884377,0,0,40029696,0,4698 11,3,2024-09-07 10:08:01:309,1,698,0,0,843,8433,698,0 12,0,2024-09-07 10:08:00:972,177735,0.4,177369,0.6,354562,0.4,472220,1.75 12,1,2024-09-07 10:08:00:942,1224596,1224596,0,0,574375105037,5992415695,1214733,8832,1031,368,391960,0 12,2,2024-09-07 10:08:01:551,877445,877445,0,0,39844893,0,4390 12,3,2024-09-07 10:08:01:058,1,698,2,0,386,8577,698,0 13,0,2024-09-07 10:08:01:448,177162,0.4,177323,0.6,354064,0.4,471530,1.75 13,1,2024-09-07 10:08:01:543,1222182,1222182,0,0,573654458415,6037606842,1208010,11091,3081,382,391803,0 13,2,2024-09-07 10:08:00:595,882647,882647,0,0,36114935,0,3287 13,3,2024-09-07 10:08:01:770,1,698,5,0,522,9301,698,0 14,0,2024-09-07 10:08:00:561,176402,0.4,177440,0.6,352997,0.3,469581,1.75 14,1,2024-09-07 10:08:01:577,1230690,1230690,0,0,577879201469,5977207763,1221435,8105,1150,364,391673,0 14,2,2024-09-07 10:08:00:764,884417,884387,30,0,37227718,0,6104 14,3,2024-09-07 10:08:01:122,1,698,2,0,1168,7171,698,0 15,0,2024-09-07 10:08:01:555,171953,0.4,171091,0.6,342540,0.3,456947,1.75 15,1,2024-09-07 10:08:01:608,1226546,1226546,0,0,576054142164,6003106867,1215679,8771,2096,380,391619,0 15,2,2024-09-07 10:08:01:002,887283,887283,0,0,33292844,0,3622 15,3,2024-09-07 10:08:01:419,1,698,2,0,1126,8641,698,0 16,0,2024-09-07 10:08:01:015,174627,0.6,175534,0.8,350177,0.6,466722,2.00 16,1,2024-09-07 10:08:00:581,1226282,1226282,0,0,575184859352,6012077105,1215538,9199,1545,370,392194,0 16,2,2024-09-07 10:08:01:442,880177,880177,0,0,36361121,0,4719 16,3,2024-09-07 10:08:01:143,1,698,8,0,362,8589,698,0 17,0,2024-09-07 10:08:01:801,180647,0.7,176093,0.8,344925,0.7,468747,2.00 17,1,2024-09-07 10:08:00:568,1224472,1224472,0,0,574058610308,6016363156,1213346,9325,1801,368,392075,0 17,2,2024-09-07 10:08:01:666,884617,884616,1,0,37608278,0,5050 17,3,2024-09-07 10:08:00:575,1,698,7,0,518,9932,698,0 18,0,2024-09-07 10:08:00:943,174545,0.6,175705,0.8,349701,0.6,466865,2.25 18,1,2024-09-07 10:08:01:642,1229431,1229431,0,0,576265572410,5977393436,1221246,6754,1431,367,391725,0 18,2,2024-09-07 10:08:01:756,885178,885178,0,0,34014292,0,3541 18,3,2024-09-07 10:08:00:905,1,698,3,0,1059,6299,698,0 19,0,2024-09-07 10:08:01:538,172943,0.6,173389,0.8,345753,0.6,459232,2.00 19,1,2024-09-07 10:08:00:566,1228653,1228653,0,0,577457537800,5982433213,1219796,7696,1161,365,391777,0 19,2,2024-09-07 10:08:01:756,888594,888594,0,0,31841440,0,3988 19,3,2024-09-07 10:08:01:137,1,698,1,0,524,5545,698,0 20,0,2024-09-07 10:08:01:386,172188,0.5,172107,0.7,343776,0.5,459578,2.00 20,1,2024-09-07 10:08:00:576,1223814,1223814,0,0,574652337905,6021391953,1211533,10572,1709,369,391922,0 20,2,2024-09-07 10:08:00:932,882929,882929,0,0,40312920,0,4321 20,3,2024-09-07 10:08:00:591,1,698,1,0,468,11569,698,0 21,0,2024-09-07 10:08:01:188,175862,0.5,175930,0.7,351639,0.5,466625,2.00 21,1,2024-09-07 10:08:01:539,1221638,1221638,0,0,572949999820,6045350540,1202873,14771,3994,368,392016,0 21,2,2024-09-07 10:08:01:075,874827,874350,477,0,51560092,0,17074 21,3,2024-09-07 10:08:01:419,1,698,169,0,713,9747,698,0 22,0,2024-09-07 10:08:01:739,177077,0.5,177709,0.7,355028,0.4,470911,2.00 22,1,2024-09-07 10:08:01:027,1223031,1223031,0,0,574490047140,6041403457,1203919,15507,3605,381,391822,0 22,2,2024-09-07 10:08:00:760,880359,880333,26,0,38302732,0,6328 22,3,2024-09-07 10:08:01:071,1,698,1,0,228,5664,698,0 23,0,2024-09-07 10:08:01:376,175274,0.5,175091,0.6,350189,0.4,466673,2.00 23,1,2024-09-07 10:08:01:007,1224243,1224243,0,0,574378045694,6047158334,1202343,14730,7170,365,391690,0 23,2,2024-09-07 10:08:01:104,886126,886126,0,0,36547319,0,3773 23,3,2024-09-07 10:08:01:754,1,698,7,0,855,9783,698,0 24,0,2024-09-07 10:08:00:830,172360,0.4,171285,0.5,344251,0.3,457739,1.75 24,1,2024-09-07 10:08:00:588,1222971,1222971,0,0,574562578791,6012115581,1211362,9732,1877,367,392269,0 24,2,2024-09-07 10:08:01:076,884512,884509,3,0,42828525,0,6294 24,3,2024-09-07 10:08:01:702,1,698,1,0,468,9053,698,0 25,0,2024-09-07 10:08:01:353,181909,0.4,176753,0.6,348033,0.4,473573,2.00 25,1,2024-09-07 10:08:00:557,1221827,1221827,0,0,573280859025,6053795403,1201040,16792,3995,369,391928,0 25,2,2024-09-07 10:08:01:608,876997,876997,0,0,44473628,0,3978 25,3,2024-09-07 10:08:01:000,1,698,9,0,532,7617,698,0 26,0,2024-09-07 10:08:01:734,175982,0.4,171949,0.6,360699,0.4,470766,2.00 26,1,2024-09-07 10:08:01:545,1225248,1225248,0,0,575002912589,6016257890,1209541,12803,2904,380,391758,0 26,2,2024-09-07 10:08:00:865,880624,880624,0,0,45689597,0,4689 26,3,2024-09-07 10:08:01:733,1,698,1,0,796,8671,698,0 27,0,2024-09-07 10:08:01:739,176147,0.4,176920,0.6,351750,0.4,469284,2.25 27,1,2024-09-07 10:08:01:685,1226817,1226817,0,0,576584307239,6006145642,1215533,9662,1622,381,391626,0 27,2,2024-09-07 10:08:00:867,880968,880903,65,0,40602365,0,5699 27,3,2024-09-07 10:08:01:015,1,698,6,0,564,5924,698,0 28,0,2024-09-07 10:08:01:396,171541,0.4,171789,0.6,343469,0.3,457838,1.75 28,1,2024-09-07 10:08:00:800,1227279,1227279,0,0,576543551949,6015778781,1215807,9044,2428,382,391904,0 28,2,2024-09-07 10:08:01:774,885165,885165,0,0,37852379,0,4060 28,3,2024-09-07 10:08:01:783,1,698,11,0,502,7256,698,0 29,0,2024-09-07 10:08:01:379,180814,0.4,175981,0.6,345564,0.4,471141,1.75 29,1,2024-09-07 10:08:01:572,1230476,1230476,0,0,578038711279,5981329321,1222552,6903,1021,367,391809,0 29,2,2024-09-07 10:08:00:862,879439,879439,0,0,35191686,0,4986 29,3,2024-09-07 10:08:00:964,1,698,1,0,590,7839,698,0 30,0,2024-09-07 10:08:01:471,174157,0.6,169466,0.7,355178,0.5,464249,2.00 30,1,2024-09-07 10:08:00:570,1229181,1229181,0,0,577224474537,5993529501,1219367,8646,1168,380,391672,0 30,2,2024-09-07 10:08:01:281,882260,882260,0,0,34111347,0,4192 30,3,2024-09-07 10:08:00:581,1,698,1,0,519,7212,698,0 31,0,2024-09-07 10:08:01:759,175893,0.5,176740,0.7,352578,0.4,470255,2.00 31,1,2024-09-07 10:08:00:568,1232883,1232883,0,0,578541577573,5942624095,1224952,6662,1269,356,391712,0 31,2,2024-09-07 10:08:01:290,883716,883716,0,0,37573069,0,4470 31,3,2024-09-07 10:08:01:720,1,698,1,0,248,6121,698,0 32,0,2024-09-07 10:08:01:431,172911,0.3,173909,0.5,346609,0.2,461397,1.75 32,1,2024-09-07 10:08:00:808,1228851,1228851,0,0,577055583640,5997479237,1221358,6528,965,381,391646,0 32,2,2024-09-07 10:08:00:935,888158,888158,0,0,32922635,0,3922 32,3,2024-09-07 10:08:01:027,1,698,1,0,304,5845,698,0 33,0,2024-09-07 10:08:01:534,174539,0.3,174103,0.4,348278,0.2,464129,1.50 33,1,2024-09-07 10:08:00:584,1229927,1229927,0,0,577486583808,5979221938,1220305,8266,1356,368,391730,0 33,2,2024-09-07 10:08:00:764,882308,882273,35,0,36484375,0,7012 33,3,2024-09-07 10:08:00:922,1,698,11,0,329,6407,698,0 34,0,2024-09-07 10:08:00:941,175421,0.3,180369,0.5,344904,0.2,465499,1.75 34,1,2024-09-07 10:08:01:043,1232911,1232911,0,0,577908138487,5946493496,1228909,3793,209,366,391637,0 34,2,2024-09-07 10:08:00:766,882354,882354,0,0,34008512,0,4562 34,3,2024-09-07 10:08:01:691,1,698,1,0,541,6051,698,0 35,0,2024-09-07 10:08:00:864,175954,0.3,176555,0.5,353946,0.2,470984,1.75 35,1,2024-09-07 10:08:01:067,1228371,1228371,0,0,576307094300,5979110937,1219429,7389,1553,382,391769,0 35,2,2024-09-07 10:08:01:597,882526,882526,0,0,39713109,0,4055 35,3,2024-09-07 10:08:00:913,1,698,1,0,466,6571,698,0 36,0,2024-09-07 10:08:01:520,175531,0.4,175763,0.6,351011,0.4,466768,2.00 36,1,2024-09-07 10:08:00:586,1226828,1226828,0,0,575229298869,6004027742,1212048,12426,2354,366,391759,0 36,2,2024-09-07 10:08:01:752,885358,885358,0,0,39676883,0,3875 36,3,2024-09-07 10:08:00:868,1,698,1,0,556,8826,698,0 37,0,2024-09-07 10:08:01:388,170780,0.5,170717,0.7,342143,0.5,456137,2.25 37,1,2024-09-07 10:08:00:569,1226557,1226550,0,7,576109317630,6013691005,1212363,11072,3115,365,391770,0 37,2,2024-09-07 10:08:01:148,882516,882501,15,0,39786157,0,5815 37,3,2024-09-07 10:08:01:771,1,698,49,0,888,9553,698,0 38,0,2024-09-07 10:08:01:444,175547,0.5,170199,0.7,355847,0.4,466359,2.00 38,1,2024-09-07 10:08:01:608,1226762,1226762,0,0,575305765484,6012261294,1209923,13533,3306,368,391821,0 38,2,2024-09-07 10:08:00:759,880573,880526,47,0,39693072,0,6710 38,3,2024-09-07 10:08:01:003,1,698,1,0,689,7918,698,0 39,0,2024-09-07 10:08:01:778,180936,0.5,176772,0.7,345218,0.5,470968,2.00 39,1,2024-09-07 10:08:00:716,1224452,1224452,0,0,574458760666,6020868727,1204644,15575,4233,365,391865,0 39,2,2024-09-07 10:08:01:417,881018,881018,0,0,37960798,0,3478 39,3,2024-09-07 10:08:00:717,1,698,1,0,525,7684,698,0 40,0,2024-09-07 10:08:01:490,174164,0.8,174826,0.9,349063,0.9,466193,2.75 40,1,2024-09-07 10:08:00:581,1224990,1224990,0,0,574803834237,6022856704,1206185,15222,3583,366,391668,0 40,2,2024-09-07 10:08:01:310,882607,882600,7,0,43827570,0,5347 40,3,2024-09-07 10:08:01:152,1,698,11,0,1028,9854,698,0 41,0,2024-09-07 10:08:01:047,170396,1.1,174431,1.1,332771,1.5,451760,3.00 41,1,2024-09-07 10:08:00:782,1225184,1225184,0,0,575658449827,6018542729,1209474,13185,2525,369,391878,0 41,2,2024-09-07 10:08:00:759,881751,881750,1,0,42810055,0,5408 41,3,2024-09-07 10:08:01:686,1,698,1,0,749,8450,698,0 42,0,2024-09-07 10:08:01:473,175327,0.9,175095,1.0,350586,1.1,465340,2.75 42,1,2024-09-07 10:08:01:439,1222767,1222767,0,0,573402809363,6017533571,1205160,14523,3084,380,391675,0 42,2,2024-09-07 10:08:01:135,876190,876189,1,0,43972261,0,5513 42,3,2024-09-07 10:08:01:009,1,698,1,0,892,6987,698,0 43,0,2024-09-07 10:08:00:934,173864,0.8,169266,1.0,354716,0.9,464042,2.25 43,1,2024-09-07 10:08:00:580,1226175,1226175,0,0,576131965182,6015942395,1210064,13363,2748,365,391696,0 43,2,2024-09-07 10:08:01:746,882341,882341,0,0,40320407,0,4723 43,3,2024-09-07 10:08:01:763,1,698,2,0,571,9320,698,0 44,0,2024-09-07 10:08:00:865,176617,0.4,176717,0.6,353075,0.3,470600,1.75 44,1,2024-09-07 10:08:00:568,1229232,1229232,0,0,577175721138,5968180105,1217903,9178,2151,356,391809,0 44,2,2024-09-07 10:08:01:271,883084,883084,0,0,33881477,0,4344 44,3,2024-09-07 10:08:01:095,1,698,1,0,1097,8923,698,0 45,0,2024-09-07 10:08:01:813,170150,0.4,166099,0.7,348577,0.4,457099,2.00 45,1,2024-09-07 10:08:01:005,1228725,1228725,0,0,576659888891,5993936127,1219174,8330,1221,382,391917,0 45,2,2024-09-07 10:08:01:268,886975,886975,0,0,34017778,0,3596 45,3,2024-09-07 10:08:00:934,1,698,1,0,531,6498,698,0 46,0,2024-09-07 10:08:00:959,174061,0.5,173439,0.7,348205,0.5,463444,2.00 46,1,2024-09-07 10:08:00:584,1230653,1230653,0,0,577399167388,5973400072,1221375,7796,1482,366,391709,0 46,2,2024-09-07 10:08:00:597,881795,881795,0,0,34794114,0,4443 46,3,2024-09-07 10:08:01:135,1,698,14,0,908,7632,698,0 47,0,2024-09-07 10:08:01:109,175896,0.5,175446,0.6,352159,0.4,466891,2.00 47,1,2024-09-07 10:08:00:574,1231820,1231820,0,0,577638848739,5969384846,1224312,6495,1013,364,391666,0 47,2,2024-09-07 10:08:00:916,884442,884442,0,0,35224218,0,4477 47,3,2024-09-07 10:08:01:115,1,698,19,0,600,7581,698,0 48,0,2024-09-07 10:08:01:500,176634,0.3,177205,0.4,353029,0.2,469675,1.50 48,1,2024-09-07 10:08:01:039,1228104,1228104,0,0,575658109647,5980783724,1220431,6661,1012,381,391710,0 48,2,2024-09-07 10:08:00:710,883770,883770,0,0,32271410,0,3619 48,3,2024-09-07 10:08:00:753,1,698,1,0,339,5993,698,0 49,0,2024-09-07 10:08:01:711,178587,0.3,174858,0.5,340172,0.3,463824,1.75 49,1,2024-09-07 10:08:01:023,1227568,1227568,0,0,576562793451,5993967644,1219700,6170,1698,382,391809,0 49,2,2024-09-07 10:08:01:924,887734,887734,0,0,33720461,0,4426 49,3,2024-09-07 10:08:01:424,1,698,1,0,992,8095,698,0 50,0,2024-09-07 10:08:01:512,172568,0.3,171343,0.5,344890,0.2,459206,1.75 50,1,2024-09-07 10:08:01:013,1230798,1230798,0,0,578107022953,5975609471,1223242,6824,732,368,391691,0 50,2,2024-09-07 10:08:01:071,882475,882475,0,0,31654987,0,4490 50,3,2024-09-07 10:08:01:291,1,698,1,0,617,7214,698,0 51,0,2024-09-07 10:08:01:698,180541,0.3,176643,0.5,344030,0.3,468967,1.75 51,1,2024-09-07 10:08:01:685,1232125,1232125,0,0,579142206201,5970732620,1225424,5357,1344,365,391706,0 51,2,2024-09-07 10:08:01:328,881516,881516,0,0,31289496,0,3337 51,3,2024-09-07 10:08:01:038,1,698,12,0,678,5480,698,0 52,0,2024-09-07 10:08:01:432,177294,0.5,177124,0.7,354003,0.4,470632,2.00 52,1,2024-09-07 10:08:00:580,1225733,1225733,0,0,575363996507,6018845086,1208980,14326,2427,368,391805,0 52,2,2024-09-07 10:08:01:757,877961,877923,38,0,42733634,0,6742 52,3,2024-09-07 10:08:00:686,1,698,1,0,1782,7934,698,0 53,0,2024-09-07 10:08:01:781,174924,0.6,169777,0.8,355349,0.6,465228,2.25 53,1,2024-09-07 10:08:00:775,1225217,1225217,0,0,575190175081,6028752960,1205850,14937,4430,367,391968,0 53,2,2024-09-07 10:08:01:299,885921,885783,138,0,39152568,0,7690 53,3,2024-09-07 10:08:00:705,1,698,1,0,308,6510,698,0 54,0,2024-09-07 10:08:01:614,169506,0.5,170030,0.7,338377,0.4,452226,2.25 54,1,2024-09-07 10:08:00:585,1227579,1227579,0,0,576306699160,5989865437,1215780,10124,1675,366,391810,0 54,2,2024-09-07 10:08:00:865,885053,885021,32,0,42453208,0,6397 54,3,2024-09-07 10:08:00:768,1,698,1,0,676,8967,698,0 55,0,2024-09-07 10:08:01:759,170877,0.7,176062,0.8,357377,0.7,465546,2.50 55,1,2024-09-07 10:08:00:770,1227998,1227998,0,0,575518096904,5982813711,1215965,10544,1489,365,391731,0 55,2,2024-09-07 10:08:00:728,878585,878529,56,0,38691018,0,7239 55,3,2024-09-07 10:08:00:680,1,698,16,0,304,6301,698,0 56,0,2024-09-07 10:08:01:558,179909,1.2,169467,1.1,349373,1.6,467690,2.75 56,1,2024-09-07 10:08:00:584,1220665,1220665,0,0,573373854203,6058903945,1201173,15738,3754,381,391867,0 56,2,2024-09-07 10:08:01:318,879712,879590,122,0,41360436,0,7432 56,3,2024-09-07 10:08:01:071,1,698,28,0,705,8300,698,0 57,0,2024-09-07 10:08:00:940,174338,1.3,174284,1.2,348090,1.8,465338,3.00 57,1,2024-09-07 10:08:01:007,1223889,1223889,0,0,574317686889,6022360801,1208174,13011,2704,366,392097,0 57,2,2024-09-07 10:08:01:328,884029,884029,0,0,44344015,0,4804 57,3,2024-09-07 10:08:01:739,1,698,1,0,455,7429,698,0 58,0,2024-09-07 10:08:00:560,168977,0.9,164210,1.0,343695,1.0,449877,2.50 58,1,2024-09-07 10:08:00:580,1224926,1224923,0,3,575378384692,6030363137,1206560,13961,4402,367,391726,3 58,2,2024-09-07 10:08:01:070,885631,885631,0,0,40289608,0,3483 58,3,2024-09-07 10:08:01:071,1,698,3,0,1043,7503,698,0 59,0,2024-09-07 10:08:01:748,174743,0.8,173744,1.0,347695,0.9,461327,2.75 59,1,2024-09-07 10:08:00:809,1224387,1224387,0,0,574308641130,6030115454,1204895,15654,3838,369,391653,0 59,2,2024-09-07 10:08:00:586,879589,879589,0,0,38286414,0,3727 59,3,2024-09-07 10:08:01:736,1,698,3,0,1015,8188,698,0 60,0,2024-09-07 10:08:01:718,174977,0.5,175257,0.7,350386,0.5,467745,2.00 60,1,2024-09-07 10:08:00:823,1228127,1228127,0,0,576988721037,6007820166,1217190,9432,1505,370,392031,0 60,2,2024-09-07 10:08:01:140,882763,882763,0,0,36555870,0,3811 60,3,2024-09-07 10:08:01:263,1,698,4,0,409,7946,698,0 61,0,2024-09-07 10:08:01:541,176092,0.6,176860,0.8,352103,0.6,469758,2.00 61,1,2024-09-07 10:08:00:780,1224988,1224988,0,0,575579299303,6025196734,1212313,10823,1852,382,392127,0 61,2,2024-09-07 10:08:01:120,884582,884515,67,0,37544379,0,6411 61,3,2024-09-07 10:08:01:707,1,698,1,0,607,8580,698,0 62,0,2024-09-07 10:08:01:709,173500,0.5,177922,0.7,339576,0.5,461300,2.00 62,1,2024-09-07 10:08:01:110,1232710,1232704,0,6,578531830478,5971540937,1225448,6639,617,365,391975,6 62,2,2024-09-07 10:08:01:646,883818,883817,1,0,37270952,0,5555 62,3,2024-09-07 10:08:01:147,1,698,1,0,482,5438,698,0 63,0,2024-09-07 10:08:01:453,174411,0.4,174116,0.6,348892,0.3,464355,1.75 63,1,2024-09-07 10:08:00:816,1229492,1229486,0,6,576733799825,5990055322,1221571,6964,951,381,391800,6 63,2,2024-09-07 10:08:00:762,881053,881053,0,0,36383122,0,4369 63,3,2024-09-07 10:08:01:732,1,698,2,0,667,8082,698,0 64,0,2024-09-07 10:08:01:533,174474,0.5,174394,0.7,348373,0.5,463937,2.00 64,1,2024-09-07 10:08:00:767,1227766,1227766,0,0,576609649291,6001382634,1217552,8185,2029,370,391794,0 64,2,2024-09-07 10:08:01:147,884968,884949,19,0,35023022,0,6121 64,3,2024-09-07 10:08:01:152,1,698,1,0,651,7896,698,0 65,0,2024-09-07 10:08:01:735,175204,0.6,175474,0.7,350264,0.6,467263,2.00 65,1,2024-09-07 10:08:00:873,1226075,1226075,0,0,575282200391,6009867548,1217828,7349,898,381,391901,0 65,2,2024-09-07 10:08:01:702,881176,881176,0,0,42029501,0,3367 65,3,2024-09-07 10:08:01:695,1,698,15,0,782,8180,698,0 66,0,2024-09-07 10:08:01:766,174792,0.5,174154,0.7,348311,0.4,463325,2.00 66,1,2024-09-07 10:08:01:304,1228083,1228083,0,0,577107512666,6004468563,1220576,6633,874,380,391743,0 66,2,2024-09-07 10:08:01:134,888045,888042,3,0,36846643,0,5455 66,3,2024-09-07 10:08:01:079,1,698,2,0,291,6161,698,0 67,0,2024-09-07 10:08:01:419,171453,0.5,171028,0.7,342647,0.5,456592,2.00 67,1,2024-09-07 10:08:00:774,1227723,1227722,0,1,576475482714,6000345584,1219288,7294,1140,380,391787,1 67,2,2024-09-07 10:08:00:583,886877,886862,15,0,37308122,0,6205 67,3,2024-09-07 10:08:01:751,1,698,1,0,595,7347,698,0 68,0,2024-09-07 10:08:00:604,176503,0.6,176321,0.7,351102,0.6,469258,2.25 68,1,2024-09-07 10:08:00:584,1223177,1223177,0,0,573527480751,6032767265,1206446,12457,4274,381,391953,0 68,2,2024-09-07 10:08:01:053,875740,875640,100,0,45334530,0,8578 68,3,2024-09-07 10:08:00:730,1,698,11,0,417,9787,698,0 69,0,2024-09-07 10:08:01:795,176633,0.7,177185,0.8,353504,0.7,470822,2.25 69,1,2024-09-07 10:08:01:034,1222389,1222389,0,0,573431579632,6039064284,1207462,11942,2985,383,391994,0 69,2,2024-09-07 10:08:01:734,877778,877749,29,0,48480303,0,6912 69,3,2024-09-07 10:08:00:760,1,698,9,0,698,10389,698,0 70,0,2024-09-07 10:08:01:534,173892,0.7,174703,0.9,350159,0.6,464834,2.50 70,1,2024-09-07 10:08:00:801,1229080,1229080,0,0,577891505391,5996543900,1219612,8423,1045,366,391725,0 70,2,2024-09-07 10:08:01:332,885459,885459,0,0,39811068,0,4323 70,3,2024-09-07 10:08:00:757,1,698,7,0,854,7715,698,0 71,0,2024-09-07 10:08:01:355,170410,0.8,169947,0.9,340759,0.9,454430,2.50 71,1,2024-09-07 10:08:01:614,1226858,1226858,0,0,575807881811,6005954005,1212422,12650,1786,367,391738,0 71,2,2024-09-07 10:08:01:071,883591,883591,0,0,40272959,0,4352 71,3,2024-09-07 10:08:01:751,1,698,1,0,644,7633,698,0 72,0,2024-09-07 10:08:01:042,182169,0.5,177820,0.7,347571,0.5,472981,2.00 72,1,2024-09-07 10:08:01:228,1225206,1225206,0,0,574307887550,6019534089,1208341,14131,2734,369,391819,0 72,2,2024-09-07 10:08:01:761,877267,877267,0,0,41911232,0,3983 72,3,2024-09-07 10:08:01:754,1,698,9,0,564,9572,698,0 73,0,2024-09-07 10:08:01:115,171757,0.5,176181,0.6,360152,0.4,469139,2.00 73,1,2024-09-07 10:08:00:767,1226830,1226830,0,0,576013854391,5986477785,1217299,8465,1066,367,391858,0 73,2,2024-09-07 10:08:01:755,880461,880460,1,0,43935149,0,5027 73,3,2024-09-07 10:08:00:978,1,698,12,0,1091,9340,698,0 74,0,2024-09-07 10:08:01:334,177513,0.5,181827,0.7,346817,0.4,470941,2.00 74,1,2024-09-07 10:08:00:645,1225906,1225906,0,0,575397117853,6001919375,1213545,10213,2148,381,391762,0 74,2,2024-09-07 10:08:01:009,883623,883623,0,0,38297943,0,4253 74,3,2024-09-07 10:08:01:445,1,698,8,0,522,7919,698,0 75,0,2024-09-07 10:08:01:765,172007,0.5,171044,0.7,343261,0.4,458369,2.00 75,1,2024-09-07 10:08:01:588,1226959,1226959,0,0,575635589823,5996523082,1217076,8890,993,380,391739,0 75,2,2024-09-07 10:08:01:355,884519,884519,0,0,45387943,0,4766 75,3,2024-09-07 10:08:01:072,1,698,3,0,918,9534,698,0 76,0,2024-09-07 10:08:00:611,174482,0.6,173833,0.7,347568,0.5,465079,2.25 76,1,2024-09-07 10:08:00:812,1226292,1226292,0,0,574783476211,5996337489,1217395,7587,1310,382,391790,0 76,2,2024-09-07 10:08:01:072,883175,883172,3,0,38197603,0,5265 76,3,2024-09-07 10:08:01:144,1,698,1,0,249,6383,698,0 77,0,2024-09-07 10:08:01:746,174717,0.6,175060,0.7,350363,0.6,465815,2.00 77,1,2024-09-07 10:08:00:845,1225455,1225455,0,0,575429999208,6008575969,1215181,9186,1088,381,391869,0 77,2,2024-09-07 10:08:01:293,881462,881462,0,0,36856483,0,3890 77,3,2024-09-07 10:08:01:096,1,698,13,0,401,7852,698,0 78,0,2024-09-07 10:08:01:730,176964,0.4,176114,0.6,353704,0.4,467789,2.00 78,1,2024-09-07 10:08:00:611,1225653,1225653,0,0,575670330616,6007189086,1210115,12206,3332,367,391670,0 78,2,2024-09-07 10:08:01:407,884056,884043,13,0,34532582,0,8313 78,3,2024-09-07 10:08:01:137,1,698,1,0,311,5969,698,0 79,0,2024-09-07 10:08:01:348,167844,0.4,171914,0.6,352074,0.3,458242,2.00 79,1,2024-09-07 10:08:00:584,1230176,1230176,0,0,577585013191,5981995180,1220360,8014,1802,367,391682,0 79,2,2024-09-07 10:08:01:074,887005,887005,0,0,33570900,0,4195 79,3,2024-09-07 10:08:00:756,1,698,1,0,418,8042,698,0 80,0,2024-09-07 10:08:01:094,172417,0.5,177019,0.7,338029,0.5,458218,2.00 80,1,2024-09-07 10:08:01:622,1226529,1226529,0,0,576436795152,6001086923,1218541,7405,583,368,392269,0 80,2,2024-09-07 10:08:01:097,885740,885740,0,0,34116861,0,4433 80,3,2024-09-07 10:08:00:578,1,698,5,0,681,8399,698,0 81,0,2024-09-07 10:08:01:577,175938,0.6,180008,0.7,343338,0.6,465596,2.00 81,1,2024-09-07 10:08:01:656,1225703,1225703,0,0,574848481372,6007982640,1215831,8877,995,382,392001,0 81,2,2024-09-07 10:08:01:136,880879,880816,63,0,37953757,0,5932 81,3,2024-09-07 10:08:01:122,1,698,0,0,719,7629,698,0 82,0,2024-09-07 10:08:01:555,177213,0.5,177237,0.7,355148,0.5,471142,2.00 82,1,2024-09-07 10:08:00:586,1227586,1227582,0,4,575800769009,5990059806,1220646,5812,1124,381,391768,4 82,2,2024-09-07 10:08:01:702,884514,884514,0,0,31566336,0,4484 82,3,2024-09-07 10:08:01:752,1,698,34,0,363,6280,698,0 83,0,2024-09-07 10:08:01:533,175647,0.5,175509,0.7,350691,0.5,465881,2.00 83,1,2024-09-07 10:08:00:558,1226360,1226360,0,0,575769835228,6001538544,1217368,7964,1028,382,391709,0 83,2,2024-09-07 10:08:00:764,886417,886392,25,0,34468518,0,5612 83,3,2024-09-07 10:08:00:755,1,698,0,0,1260,8157,698,0 84,0,2024-09-07 10:08:01:776,169741,0.7,169885,0.9,339779,0.7,454007,2.25 84,1,2024-09-07 10:08:01:051,1224632,1224632,0,0,574700460363,6010423306,1210931,11616,2085,367,391967,0 84,2,2024-09-07 10:08:00:580,882540,882130,410,0,49779707,0,17037 84,3,2024-09-07 10:08:01:144,1,698,13,0,908,9691,698,0 85,0,2024-09-07 10:08:01:012,170173,0.7,170127,0.8,361234,0.7,466072,2.25 85,1,2024-09-07 10:08:00:575,1220741,1220741,0,0,572979777923,6044326415,1202935,14874,2932,381,392092,0 85,2,2024-09-07 10:08:00:867,878784,878784,0,0,42144484,0,4255 85,3,2024-09-07 10:08:00:690,1,698,0,0,789,8453,698,0 86,0,2024-09-07 10:08:00:881,175766,0.7,180875,0.8,346357,0.8,468722,2.25 86,1,2024-09-07 10:08:00:824,1223391,1223391,0,0,574316857554,6030443137,1205697,14378,3316,366,392169,0 86,2,2024-09-07 10:08:00:855,880350,880349,1,0,44194292,0,5004 86,3,2024-09-07 10:08:00:589,1,698,6,0,441,9631,698,0 87,0,2024-09-07 10:08:01:420,176650,0.8,175357,0.8,351317,0.9,469237,2.25 87,1,2024-09-07 10:08:00:553,1223994,1223994,0,0,573999258314,6011000451,1209059,12772,2163,366,392076,0 87,2,2024-09-07 10:08:01:077,883424,883418,6,0,41646216,0,6323 87,3,2024-09-07 10:08:01:889,1,698,1,0,473,9807,698,0 88,0,2024-09-07 10:08:01:455,171347,0.4,171853,0.6,343696,0.4,457897,1.75 88,1,2024-09-07 10:08:00:591,1222590,1222590,0,0,574113101921,6013867381,1205773,13674,3143,365,392084,0 88,2,2024-09-07 10:08:00:691,884863,884863,0,0,43568469,0,4465 88,3,2024-09-07 10:08:01:268,1,698,1,0,1080,11144,698,0 89,0,2024-09-07 10:08:01:777,180845,0.4,175624,0.6,345961,0.4,470451,1.75 89,1,2024-09-07 10:08:00:558,1222683,1222683,0,0,574289916061,6036665973,1207998,12404,2281,382,391866,0 89,2,2024-09-07 10:08:01:135,879439,879439,0,0,40875829,0,3173 89,3,2024-09-07 10:08:01:798,1,698,1,0,729,12324,698,0 90,0,2024-09-07 10:08:01:620,170023,0.5,174497,0.7,356504,0.5,465496,2.00 90,1,2024-09-07 10:08:00:643,1224324,1224324,0,0,574750420787,6021092989,1211869,11185,1270,380,391825,0 90,2,2024-09-07 10:08:01:416,877527,877522,5,0,44157254,0,6370 90,3,2024-09-07 10:08:00:938,1,698,1,0,364,9171,698,0 91,0,2024-09-07 10:08:01:005,176854,0.5,171564,0.6,358879,0.4,470653,1.75 91,1,2024-09-07 10:08:00:558,1221313,1221313,0,0,574217782569,6053963428,1202600,14565,4148,381,392047,0 91,2,2024-09-07 10:08:01:337,882517,882517,0,0,39514795,0,4713 91,3,2024-09-07 10:08:00:604,1,698,0,0,231,6521,698,0 92,0,2024-09-07 10:08:01:463,174437,0.4,178409,0.6,340076,0.4,461660,1.75 92,1,2024-09-07 10:08:00:583,1225152,1225152,0,0,575198882052,6008198508,1215652,8188,1312,381,392136,0 92,2,2024-09-07 10:08:01:361,885813,885813,0,0,36022292,0,3906 92,3,2024-09-07 10:08:01:012,1,698,3,0,322,6239,698,0 93,0,2024-09-07 10:08:01:018,174922,0.4,179195,0.6,342014,0.3,464105,1.75 93,1,2024-09-07 10:08:00:812,1225948,1225948,0,0,575355371244,6011520942,1211544,11968,2436,365,392048,0 93,2,2024-09-07 10:08:00:928,881204,881204,0,0,41380798,0,4913 93,3,2024-09-07 10:08:01:409,1,698,20,0,788,8633,698,0 94,0,2024-09-07 10:08:01:610,174453,0.4,175525,0.6,351591,0.4,466245,1.75 94,1,2024-09-07 10:08:00:577,1227391,1227391,0,0,576418588974,6010751102,1219110,7631,650,381,391850,0 94,2,2024-09-07 10:08:00:770,881780,881752,28,0,36057752,0,6179 94,3,2024-09-07 10:08:01:700,1,698,18,0,576,8411,698,0 95,0,2024-09-07 10:08:01:357,176598,0.4,176293,0.5,352874,0.3,470218,1.75 95,1,2024-09-07 10:08:00:854,1227854,1227854,0,0,576470272893,5989598746,1218487,8555,812,365,391852,0 95,2,2024-09-07 10:08:01:016,880785,880785,0,0,36198252,0,3308 95,3,2024-09-07 10:08:01:725,1,698,0,0,718,10493,698,0 96,0,2024-09-07 10:08:01:037,175085,0.3,175440,0.5,351019,0.3,466023,1.75 96,1,2024-09-07 10:08:01:610,1225183,1225183,0,0,574794655210,5997136862,1216260,7545,1378,384,391964,0 96,2,2024-09-07 10:08:01:279,887258,887258,0,0,36696329,0,4225 96,3,2024-09-07 10:08:01:143,1,698,38,0,411,7779,698,0 97,0,2024-09-07 10:08:01:318,171393,0.3,171237,0.5,343203,0.3,456605,1.50 97,1,2024-09-07 10:08:00:770,1228423,1228423,0,0,576590724805,5982559877,1220490,6690,1243,367,392140,0 97,2,2024-09-07 10:08:00:615,885528,885528,0,0,36722860,0,4600 97,3,2024-09-07 10:08:00:583,1,698,2,0,433,8477,698,0 98,0,2024-09-07 10:08:01:758,175995,0.3,176100,0.5,353636,0.3,469549,1.50 98,1,2024-09-07 10:08:00:570,1226997,1226997,0,0,575479666549,5993390035,1219554,6544,899,381,391997,0 98,2,2024-09-07 10:08:00:818,879798,879798,0,0,34994555,0,4336 98,3,2024-09-07 10:08:00:726,1,698,53,0,840,9705,698,0 99,0,2024-09-07 10:08:01:452,177500,0.3,178324,0.5,355282,0.3,473563,1.75 99,1,2024-09-07 10:08:01:728,1227966,1227966,0,0,575470975038,5988996498,1220178,6679,1109,380,392069,0 99,2,2024-09-07 10:08:01:417,880633,880633,0,0,44184892,0,4276 99,3,2024-09-07 10:08:00:616,1,698,11,0,1124,8798,698,0 100,0,2024-09-07 10:08:01:463,175312,0.8,175849,0.9,351503,0.8,468682,2.50 100,1,2024-09-07 10:08:00:549,1220501,1220501,0,0,572304415924,6053002284,1201359,15347,3795,378,391989,0 100,2,2024-09-07 10:08:01:823,881035,880646,389,0,46103440,0,16909 100,3,2024-09-07 10:08:01:746,1,698,1,0,627,10776,698,0 101,0,2024-09-07 10:08:01:711,175197,0.9,170912,1.0,334274,0.9,456395,2.25 101,1,2024-09-07 10:08:00:571,1222774,1222774,0,0,574420867777,6036090419,1206180,13642,2952,368,392018,0 101,2,2024-09-07 10:08:01:775,879714,879675,39,0,47729590,0,5913 101,3,2024-09-07 10:08:00:951,1,698,12,0,1250,10712,698,0 102,0,2024-09-07 10:08:00:951,171530,0.6,176675,0.8,359095,0.6,469105,2.25 102,1,2024-09-07 10:08:01:148,1222880,1222880,0,0,574402005497,6030864302,1207051,13572,2257,369,391984,0 102,2,2024-09-07 10:08:01:750,880177,880123,54,0,39454607,0,6768 102,3,2024-09-07 10:08:01:614,1,698,12,0,466,8096,698,0 103,0,2024-09-07 10:08:01:669,181815,0.7,181781,0.8,342584,0.8,471519,2.25 103,1,2024-09-07 10:08:01:645,1221672,1221672,0,0,573198301871,6049996150,1202761,15284,3627,381,392077,0 103,2,2024-09-07 10:08:00:604,879275,879275,0,0,42997455,0,3766 103,3,2024-09-07 10:08:00:756,1,698,1,0,916,8239,698,0 104,0,2024-09-07 10:08:01:014,175140,0.7,175527,0.9,350269,0.7,468134,2.25 104,1,2024-09-07 10:08:01:609,1223602,1223602,0,0,573993169752,6031937702,1205161,14979,3462,365,392168,0 104,2,2024-09-07 10:08:01:670,881661,881661,0,0,42001893,0,4161 104,3,2024-09-07 10:08:01:420,1,698,3,0,1245,11766,698,0 105,0,2024-09-07 10:08:01:037,170012,0.8,165666,1.0,347382,0.9,456241,2.50 105,1,2024-09-07 10:08:00:570,1225648,1225648,0,0,574514276541,6015581461,1210872,12661,2115,364,392009,0 105,2,2024-09-07 10:08:01:332,884207,884207,0,0,43327835,0,4360 105,3,2024-09-07 10:08:01:314,1,698,4,0,573,10382,698,0 106,0,2024-09-07 10:08:00:984,168917,0.9,173331,1.0,354257,1.1,463210,2.50 106,1,2024-09-07 10:08:01:753,1223980,1223980,0,0,574141942260,6021778183,1207549,14280,2151,368,391914,0 106,2,2024-09-07 10:08:00:757,880342,880342,0,0,39808743,0,3331 106,3,2024-09-07 10:08:00:680,1,698,6,0,1224,9633,698,0 107,0,2024-09-07 10:08:01:108,174840,1.3,174801,1.1,349820,1.9,466790,2.25 107,1,2024-09-07 10:08:00:595,1221440,1221440,0,0,572583894208,6049486768,1202338,16846,2256,381,392234,0 107,2,2024-09-07 10:08:01:302,877700,877699,1,0,43545225,0,5024 107,3,2024-09-07 10:08:01:809,1,698,2,0,733,11010,698,0 108,0,2024-09-07 10:08:01:821,176037,0.4,176867,0.6,352749,0.4,469605,1.75 108,1,2024-09-07 10:08:01:320,1225077,1225077,0,0,575230121535,6005921157,1213602,10213,1262,367,391894,0 108,2,2024-09-07 10:08:01:895,882955,882955,0,0,38879951,0,4432 108,3,2024-09-07 10:08:01:343,1,698,2,0,767,12559,698,0 109,0,2024-09-07 10:08:01:790,174451,0.4,173081,0.6,347191,0.3,462798,1.75 109,1,2024-09-07 10:08:00:726,1223238,1223238,0,0,574654748958,6028099594,1212581,9151,1506,382,392132,0 109,2,2024-09-07 10:08:00:932,884280,884280,0,0,38907121,0,3617 109,3,2024-09-07 10:08:01:142,1,698,4,0,630,7977,698,0 110,0,2024-09-07 10:08:01:765,172059,0.4,167259,0.6,350263,0.3,460223,1.75 110,1,2024-09-07 10:08:01:647,1227809,1227809,0,0,576666280171,5987586126,1218342,7382,2085,368,392045,0 110,2,2024-09-07 10:08:01:304,883277,883277,0,0,38013836,0,4067 110,3,2024-09-07 10:08:00:691,1,698,3,0,722,9428,698,0 111,0,2024-09-07 10:08:01:422,176633,0.4,175808,0.6,351173,0.3,468491,1.75 111,1,2024-09-07 10:08:01:000,1229139,1229139,0,0,577098708160,5991601849,1221882,6750,507,380,391690,0 111,2,2024-09-07 10:08:01:126,880859,880859,0,0,37390213,0,4823 111,3,2024-09-07 10:08:00:915,1,698,1,0,379,7838,698,0 112,0,2024-09-07 10:08:00:918,177860,0.3,177529,0.4,355850,0.2,472442,1.50 112,1,2024-09-07 10:08:00:823,1227926,1227926,0,0,576067294299,5978512115,1220300,6399,1227,380,391624,0 112,2,2024-09-07 10:08:01:136,881995,881994,1,0,35285368,0,5036 112,3,2024-09-07 10:08:00:597,1,698,0,0,282,6851,698,0 113,0,2024-09-07 10:08:00:884,175487,0.3,175901,0.5,352106,0.2,468181,1.75 113,1,2024-09-07 10:08:01:702,1231645,1231645,0,0,578008008682,5961959615,1224731,5963,951,365,391664,0 113,2,2024-09-07 10:08:01:304,888607,888607,0,0,32678852,0,3813 113,3,2024-09-07 10:08:00:687,1,698,1,0,510,7009,698,0 114,0,2024-09-07 10:08:00:903,171303,0.3,172510,0.5,344082,0.2,459602,1.75 114,1,2024-09-07 10:08:00:716,1227336,1227336,0,0,576769853345,5987485369,1218507,7025,1804,381,391565,0 114,2,2024-09-07 10:08:00:878,886824,886823,1,0,35100111,0,5069 114,3,2024-09-07 10:08:01:281,1,698,1,0,415,5690,698,0 115,0,2024-09-07 10:08:00:553,177874,0.3,178368,0.4,355430,0.2,472646,1.50 115,1,2024-09-07 10:08:00:576,1228645,1228645,0,0,576933815528,5991912913,1219089,7764,1792,382,391757,0 115,2,2024-09-07 10:08:01:130,881261,881261,0,0,34934815,0,4382 115,3,2024-09-07 10:08:01:009,1,698,0,0,173,4413,698,0 116,0,2024-09-07 10:08:01:699,175886,0.8,175944,0.9,352432,0.8,471357,2.25 116,1,2024-09-07 10:08:00:812,1221696,1221696,0,0,574263983854,6048151774,1207592,10887,3217,380,392089,0 116,2,2024-09-07 10:08:01:751,881176,881176,0,0,42515790,0,4475 116,3,2024-09-07 10:08:00:918,1,698,1,0,448,8892,698,0 117,0,2024-09-07 10:08:00:969,176323,0.6,176051,0.8,352489,0.6,470615,2.00 117,1,2024-09-07 10:08:01:580,1224314,1224314,0,0,574001194347,6012358411,1210441,12104,1769,369,392429,0 117,2,2024-09-07 10:08:01:121,885693,885693,0,0,39089384,0,4303 117,3,2024-09-07 10:08:01:060,1,698,13,0,490,8519,698,0 118,0,2024-09-07 10:08:01:810,166245,0.5,170772,0.7,348469,0.5,456115,2.00 118,1,2024-09-07 10:08:00:587,1222609,1222609,0,0,573681110996,6027885307,1205095,13666,3848,366,392054,0 118,2,2024-09-07 10:08:01:593,885064,885064,0,0,41662946,0,3161 118,3,2024-09-07 10:08:01:774,1,698,15,0,343,8316,698,0 119,0,2024-09-07 10:08:01:432,175729,0.7,176001,0.8,351596,0.7,468791,2.25 119,1,2024-09-07 10:08:00:553,1223667,1223667,0,0,574759640253,6025321177,1207644,13355,2668,367,391857,0 119,2,2024-09-07 10:08:01:294,880234,880234,0,0,38332087,0,4309 119,3,2024-09-07 10:08:01:341,1,698,2,0,1358,12202,698,0 120,0,2024-09-07 10:08:01:579,174286,0.7,174282,0.9,349735,0.8,466764,2.50 120,1,2024-09-07 10:08:00:860,1224600,1224600,0,0,574483524811,6022025640,1211927,11514,1159,367,392144,0 120,2,2024-09-07 10:08:00:771,880251,880248,3,0,46039983,0,5363 120,3,2024-09-07 10:08:01:299,1,698,8,0,478,8909,698,0 121,0,2024-09-07 10:08:01:707,176299,1.1,175732,1.0,351625,1.4,468551,2.25 121,1,2024-09-07 10:08:01:656,1224322,1224322,0,0,574237255407,6010255451,1210794,11531,1997,366,391840,0 121,2,2024-09-07 10:08:01:130,883130,883130,0,0,41930362,0,4157 121,3,2024-09-07 10:08:00:734,1,698,1,0,387,9301,698,0 122,0,2024-09-07 10:08:01:843,172180,0.7,167654,0.8,350603,0.8,461032,2.00 122,1,2024-09-07 10:08:00:866,1223413,1223413,0,0,574098981039,6020563947,1207134,13700,2579,365,392130,0 122,2,2024-09-07 10:08:01:321,885394,885319,75,0,46058965,0,5989 122,3,2024-09-07 10:08:00:612,1,698,14,0,512,10541,698,0 123,0,2024-09-07 10:08:01:013,172762,0.8,168439,0.8,353403,0.9,462141,2.25 123,1,2024-09-07 10:08:00:559,1224381,1224381,0,0,574467742491,6038638165,1205284,16069,3028,369,392039,0 123,2,2024-09-07 10:08:01:022,880571,880570,1,0,39460555,0,5215 123,3,2024-09-07 10:08:01:139,1,698,4,0,478,7831,698,0 124,0,2024-09-07 10:08:00:965,180092,0.4,180125,0.5,339405,0.3,467100,1.75 124,1,2024-09-07 10:08:01:027,1228169,1228169,0,0,576220049165,5991471142,1218631,8277,1261,365,392178,0 124,2,2024-09-07 10:08:01:017,883404,883351,53,0,36680423,0,6487 124,3,2024-09-07 10:08:00:758,1,698,1,0,490,7239,698,0 125,0,2024-09-07 10:08:01:463,176445,0.4,176316,0.6,353404,0.3,470643,1.75 125,1,2024-09-07 10:08:00:855,1224721,1224721,0,0,574908690846,6007452216,1213669,9467,1585,382,392045,0 125,2,2024-09-07 10:08:01:121,884993,884993,0,0,36216338,0,4534 125,3,2024-09-07 10:08:01:126,1,698,4,0,709,7697,698,0 126,0,2024-09-07 10:08:01:428,175465,0.4,180451,0.5,344912,0.3,466782,1.75 126,1,2024-09-07 10:08:00:553,1228398,1228398,0,0,577111401010,5979493439,1221120,6576,702,365,391987,0 126,2,2024-09-07 10:08:00:619,887268,887268,0,0,37986687,0,4539 126,3,2024-09-07 10:08:00:913,1,698,2,0,268,7863,698,0 127,0,2024-09-07 10:08:01:639,171681,0.3,172154,0.5,343125,0.3,456835,1.75 127,1,2024-09-07 10:08:00:606,1227279,1227279,0,0,575961862110,5992986619,1214818,10685,1776,364,392187,0 127,2,2024-09-07 10:08:00:659,885137,885133,4,0,35882212,0,5305 127,3,2024-09-07 10:08:01:282,1,698,0,0,968,6976,698,0 128,0,2024-09-07 10:08:01:605,177227,0.3,177037,0.4,354297,0.2,470470,1.50 128,1,2024-09-07 10:08:01:615,1226573,1226573,0,0,576351568219,5991438719,1217580,8021,972,367,392423,0 128,2,2024-09-07 10:08:01:403,882243,882243,0,0,33392154,0,3171 128,3,2024-09-07 10:08:00:769,1,698,2,0,1082,10358,698,0 129,0,2024-09-07 10:08:01:017,178765,0.3,177928,0.5,357026,0.3,474384,1.50 129,1,2024-09-07 10:08:00:574,1223328,1223328,0,0,574055931341,6020938006,1210377,10621,2330,379,391962,0 129,2,2024-09-07 10:08:00:690,883131,883127,4,0,36156623,0,5335 129,3,2024-09-07 10:08:00:693,1,698,1,0,506,10001,698,0 130,0,2024-09-07 10:08:01:726,176510,0.4,176347,0.5,353030,0.4,470267,1.75 130,1,2024-09-07 10:08:00:582,1228094,1228094,0,0,576593248768,5986327974,1222243,5444,407,381,391836,0 130,2,2024-09-07 10:08:01:151,885672,885672,0,0,35971182,0,4067 130,3,2024-09-07 10:08:01:300,1,698,2,0,960,8912,698,0 131,0,2024-09-07 10:08:01:930,171403,0.3,171863,0.5,344659,0.3,457963,1.50 131,1,2024-09-07 10:08:01:922,1227165,1227165,0,0,575793534779,5999140078,1219011,6942,1212,381,391865,0 131,2,2024-09-07 10:08:00:579,885744,885744,0,0,34228435,0,3979 131,3,2024-09-07 10:08:01:709,1,698,3,0,392,8686,698,0 132,0,2024-09-07 10:08:01:477,176966,0.5,177879,0.6,354075,0.4,471933,2.00 132,1,2024-09-07 10:08:00:582,1222907,1222907,0,0,573740296774,6035007763,1206404,13721,2782,381,392532,0 132,2,2024-09-07 10:08:00:705,879130,879113,17,0,42849081,0,6451 132,3,2024-09-07 10:08:01:721,1,698,32,0,1298,11872,698,0 133,0,2024-09-07 10:08:01:523,171938,0.5,176095,0.6,360891,0.4,469880,2.00 133,1,2024-09-07 10:08:00:588,1222471,1222471,0,0,573794116980,6041039561,1207015,13521,1935,383,391914,0 133,2,2024-09-07 10:08:01:096,882044,881994,50,0,43944169,0,6861 133,3,2024-09-07 10:08:01:300,1,698,0,0,528,8391,698,0 134,0,2024-09-07 10:08:00:952,177133,0.5,177070,0.7,353894,0.5,471370,2.00 134,1,2024-09-07 10:08:00:613,1223959,1223959,0,0,573688423072,6018048144,1207872,12795,3292,366,391781,0 134,2,2024-09-07 10:08:01:757,883314,883175,139,0,41754568,0,7591 134,3,2024-09-07 10:08:00:757,1,698,3,0,739,8512,698,0 135,0,2024-09-07 10:08:01:102,166574,0.7,166553,0.8,353536,0.7,455629,2.25 135,1,2024-09-07 10:08:01:634,1223538,1223538,0,0,574818612334,6039950801,1209113,12573,1852,380,392038,0 135,2,2024-09-07 10:08:00:705,886345,886345,0,0,42237283,0,4503 135,3,2024-09-07 10:08:01:003,1,698,0,0,900,6678,698,0 136,0,2024-09-07 10:08:01:657,175045,0.6,175530,0.8,349358,0.6,466447,2.00 136,1,2024-09-07 10:08:01:444,1224916,1224916,0,0,574587674180,6017825268,1212082,11408,1426,381,392135,0 136,2,2024-09-07 10:08:01:137,882385,882370,15,0,41002259,0,6007 136,3,2024-09-07 10:08:01:109,1,698,7,0,637,8146,698,0 137,0,2024-09-07 10:08:00:930,180646,0.6,176104,0.8,344817,0.7,468434,2.00 137,1,2024-09-07 10:08:00:580,1223753,1223753,0,0,574618431759,6022645938,1205313,14800,3640,366,391898,0 137,2,2024-09-07 10:08:01:710,880448,880448,0,0,42152654,0,3185 137,3,2024-09-07 10:08:00:770,1,698,1,0,484,9836,698,0 138,0,2024-09-07 10:08:01:756,175424,0.9,175713,0.9,351873,1.1,467856,2.25 138,1,2024-09-07 10:08:01:687,1223353,1223353,0,0,574788163940,6029820717,1206215,14447,2691,368,391954,0 138,2,2024-09-07 10:08:00:596,881224,881224,0,0,40614796,0,4988 138,3,2024-09-07 10:08:00:618,1,698,12,0,1200,10367,698,0 139,0,2024-09-07 10:08:01:419,172360,0.9,173039,0.9,345851,1.1,461195,2.25 139,1,2024-09-07 10:08:00:578,1219764,1219764,0,0,571687718528,6054044290,1199859,15991,3914,380,392109,0 139,2,2024-09-07 10:08:00:694,879924,879894,30,0,46177325,0,5997 139,3,2024-09-07 10:08:01:675,1,698,1,0,432,8237,698,0 140,0,2024-09-07 10:08:01:590,172734,0.3,171972,0.5,344822,0.2,460368,1.75 140,1,2024-09-07 10:08:01:544,1231074,1231074,0,0,578087588702,5965497306,1224267,6003,804,364,391628,0 140,2,2024-09-07 10:08:00:701,883668,883667,1,0,34633302,0,5036 140,3,2024-09-07 10:08:00:767,1,698,1,0,575,6994,698,0 141,0,2024-09-07 10:08:01:700,176512,0.3,181171,0.5,346112,0.3,468100,1.75 141,1,2024-09-07 10:08:00:859,1228320,1228320,0,0,577016442639,5997560971,1217974,8953,1393,379,391614,0 141,2,2024-09-07 10:08:01:689,881552,881541,11,0,37164378,0,5369 141,3,2024-09-07 10:08:01:051,1,698,1,0,391,8126,698,0 142,0,2024-09-07 10:08:01:447,178210,0.3,177391,0.5,355176,0.3,473366,1.50 142,1,2024-09-07 10:08:00:584,1226245,1226245,0,0,575925262422,6009203429,1217189,8162,894,382,392102,0 142,2,2024-09-07 10:08:01:314,880970,880938,32,0,36804692,0,6028 142,3,2024-09-07 10:08:01:751,1,698,1,0,484,7054,698,0 143,0,2024-09-07 10:08:01:380,175661,0.4,175504,0.5,352314,0.4,468127,1.75 143,1,2024-09-07 10:08:00:556,1229220,1229220,0,0,576444323450,5982899384,1220815,7434,971,367,391900,0 143,2,2024-09-07 10:08:00:769,887217,887217,0,0,36420666,0,3123 143,3,2024-09-07 10:08:01:145,1,698,2,0,462,8109,698,0 144,0,2024-09-07 10:08:01:540,166121,0.5,170872,0.7,347871,0.5,455718,2.00 144,1,2024-09-07 10:08:00:569,1223265,1223265,0,0,574389251921,6024907363,1211514,9706,2045,381,391733,0 144,2,2024-09-07 10:08:01:755,886133,886133,0,0,36015697,0,4443 144,3,2024-09-07 10:08:01:751,1,698,1,0,306,7449,698,0 145,0,2024-09-07 10:08:01:379,171437,0.6,171413,0.8,363819,0.6,467953,2.25 145,1,2024-09-07 10:08:00:576,1222120,1222120,0,0,574121997202,6040727326,1205914,13238,2968,382,391781,0 145,2,2024-09-07 10:08:01:429,878505,878423,82,0,42046050,0,7814 145,3,2024-09-07 10:08:00:906,1,698,5,0,622,9403,698,0 146,0,2024-09-07 10:08:01:606,176447,0.7,175590,0.8,353093,0.7,469428,2.25 146,1,2024-09-07 10:08:01:591,1223769,1223769,0,0,573956111155,6034501070,1204623,14220,4926,367,391829,0 146,2,2024-09-07 10:08:01:746,879462,879456,6,0,40005679,0,5151 146,3,2024-09-07 10:08:01:281,1,698,0,0,1520,10912,698,0 147,0,2024-09-07 10:08:01:718,176367,0.6,176092,0.7,352145,0.5,469705,2.00 147,1,2024-09-07 10:08:01:396,1228002,1228002,0,0,576050000531,5987875368,1218039,8862,1101,367,391791,0 147,2,2024-09-07 10:08:01:051,884331,884331,0,0,37770563,0,4531 147,3,2024-09-07 10:08:00:918,1,698,0,0,1626,10433,698,0 0,0,2024-09-07 10:08:11:715,170537,0.7,170556,0.8,361966,0.8,468217,2.00 0,1,2024-09-07 10:08:10:816,1226806,1226806,0,0,575807690546,6026471449,1216916,8912,978,368,391896,0 0,2,2024-09-07 10:08:11:074,883536,883536,0,0,35651856,0,4480 0,3,2024-09-07 10:08:10:974,1,699,6,0,538,9951,699,0 1,0,2024-09-07 10:08:11:765,176309,0.8,175381,0.9,351787,0.9,470752,2.00 1,1,2024-09-07 10:08:10:574,1225878,1225878,0,0,574386521194,6017420094,1214473,9434,1971,370,391859,0 1,2,2024-09-07 10:08:10:639,886036,886036,0,0,35240834,0,3380 1,3,2024-09-07 10:08:11:305,1,699,3,0,269,8287,699,0 2,0,2024-09-07 10:08:11:566,173017,0.6,172863,0.7,345426,0.6,460826,2.00 2,1,2024-09-07 10:08:10:876,1229699,1229699,0,0,575894277842,5987905565,1222767,5971,961,379,391805,0 2,2,2024-09-07 10:08:11:266,887848,887848,0,0,34025978,0,3594 2,3,2024-09-07 10:08:10:697,1,699,1,0,357,6132,699,0 3,0,2024-09-07 10:08:11:743,174268,0.4,174083,0.6,347706,0.4,463870,2.00 3,1,2024-09-07 10:08:11:618,1227539,1227539,0,0,575873091606,5989512844,1218944,7877,718,379,391716,0 3,2,2024-09-07 10:08:11:142,884291,884268,23,0,34716778,0,5851 3,3,2024-09-07 10:08:11:752,1,699,1,0,484,5248,699,0 4,0,2024-09-07 10:08:11:786,170164,0.4,174730,0.5,356538,0.4,465870,1.75 4,1,2024-09-07 10:08:10:621,1222260,1222260,0,0,573630645708,6065468072,1202348,15311,4601,369,391992,0 4,2,2024-09-07 10:08:11:024,880128,880128,0,0,42351563,0,4534 4,3,2024-09-07 10:08:11:040,1,699,10,0,448,9371,699,0 5,0,2024-09-07 10:08:11:387,176693,0.4,176454,0.6,353329,0.4,470326,1.75 5,1,2024-09-07 10:08:10:761,1223574,1223574,0,0,574240253092,6056458787,1205877,13458,4239,367,392005,0 5,2,2024-09-07 10:08:11:829,879877,879844,33,0,42708918,0,7631 5,3,2024-09-07 10:08:11:737,1,699,1,0,457,9191,699,0 6,0,2024-09-07 10:08:10:915,175107,0.5,174530,0.6,349442,0.4,464827,2.00 6,1,2024-09-07 10:08:10:749,1226903,1226903,0,0,576174997884,6013880334,1214727,10491,1685,379,391702,0 6,2,2024-09-07 10:08:11:116,887374,887356,18,0,39557715,0,5535 6,3,2024-09-07 10:08:11:274,1,699,1,0,710,7953,699,0 7,0,2024-09-07 10:08:11:536,171041,0.5,172304,0.7,341919,0.5,456328,2.00 7,1,2024-09-07 10:08:10:858,1224126,1224126,0,0,574479719339,6042363516,1206291,13698,4137,382,391747,0 7,2,2024-09-07 10:08:10:779,884162,883993,169,0,40353046,0,7706 7,3,2024-09-07 10:08:10:856,1,699,0,0,552,8177,699,0 8,0,2024-09-07 10:08:11:490,176784,0.4,176375,0.5,353350,0.4,470857,1.75 8,1,2024-09-07 10:08:11:022,1223285,1223285,0,0,574616102600,6040275525,1204421,14818,4046,366,392853,0 8,2,2024-09-07 10:08:10:837,876613,876611,2,0,45325776,0,5112 8,3,2024-09-07 10:08:10:593,1,699,1,0,772,10402,699,0 9,0,2024-09-07 10:08:11:117,177710,0.4,172519,0.5,361116,0.3,474030,1.75 9,1,2024-09-07 10:08:10:552,1223058,1223058,0,0,574679137613,6058188969,1203381,15327,4350,369,392001,0 9,2,2024-09-07 10:08:11:084,881218,881217,1,0,43264597,0,5281 9,3,2024-09-07 10:08:11:753,1,699,1,0,1273,11088,699,0 10,0,2024-09-07 10:08:11:598,175957,0.3,175562,0.5,351985,0.3,468599,1.75 10,1,2024-09-07 10:08:10:589,1224995,1224995,0,0,575494842194,6038398649,1206885,14376,3734,381,391981,0 10,2,2024-09-07 10:08:10:763,886252,886252,0,0,46480443,0,4713 10,3,2024-09-07 10:08:10:871,1,699,1,0,669,7374,699,0 11,0,2024-09-07 10:08:11:014,171443,0.4,166369,0.6,348197,0.3,458496,1.75 11,1,2024-09-07 10:08:10:574,1227288,1227288,0,0,575645554620,6033583093,1210402,12576,4310,383,391766,0 11,2,2024-09-07 10:08:11:126,885603,885603,0,0,40074436,0,4698 11,3,2024-09-07 10:08:11:298,1,699,3,0,843,8436,699,0 12,0,2024-09-07 10:08:10:989,178277,0.4,177921,0.6,355650,0.4,473715,1.75 12,1,2024-09-07 10:08:10:944,1226365,1226365,0,0,575549286345,6004401399,1216502,8832,1031,368,391960,0 12,2,2024-09-07 10:08:11:542,878846,878846,0,0,39870842,0,4390 12,3,2024-09-07 10:08:11:066,1,699,0,0,386,8577,699,0 13,0,2024-09-07 10:08:11:366,177454,0.4,177671,0.6,354679,0.4,472360,1.75 13,1,2024-09-07 10:08:11:531,1223952,1223952,0,0,574403067920,6045373828,1209780,11091,3081,382,391803,0 13,2,2024-09-07 10:08:10:595,884099,884099,0,0,36138789,0,3287 13,3,2024-09-07 10:08:11:766,1,699,9,0,522,9310,699,0 14,0,2024-09-07 10:08:10:560,176558,0.4,177612,0.6,353277,0.3,469995,1.75 14,1,2024-09-07 10:08:11:561,1232460,1232460,0,0,578579297204,5984314701,1223204,8106,1150,364,391673,0 14,2,2024-09-07 10:08:10:794,885510,885480,30,0,37252562,0,6104 14,3,2024-09-07 10:08:11:117,1,699,16,0,1168,7187,699,0 15,0,2024-09-07 10:08:11:557,172207,0.4,171341,0.6,343020,0.3,457568,1.75 15,1,2024-09-07 10:08:11:656,1228355,1228355,0,0,577000076811,6012726755,1217488,8771,2096,380,391619,0 15,2,2024-09-07 10:08:10:999,888615,888615,0,0,33307820,0,3622 15,3,2024-09-07 10:08:11:408,1,699,0,0,1126,8641,699,0 16,0,2024-09-07 10:08:11:038,174997,0.6,175910,0.8,350963,0.6,467700,2.00 16,1,2024-09-07 10:08:10:574,1228003,1228003,0,0,576301676075,6023471703,1217259,9199,1545,370,392194,0 16,2,2024-09-07 10:08:11:435,881227,881227,0,0,36373152,0,4719 16,3,2024-09-07 10:08:11:147,1,699,10,0,362,8599,699,0 17,0,2024-09-07 10:08:11:777,180834,0.7,176250,0.8,345249,0.7,469214,2.00 17,1,2024-09-07 10:08:10:585,1226252,1226252,0,0,574923718978,6025206971,1215126,9325,1801,368,392075,0 17,2,2024-09-07 10:08:11:678,885868,885867,1,0,37627051,0,5050 17,3,2024-09-07 10:08:10:576,1,699,1,0,518,9933,699,0 18,0,2024-09-07 10:08:10:941,174631,0.6,175788,0.8,349904,0.6,467114,2.25 18,1,2024-09-07 10:08:11:637,1231218,1231218,0,0,577055223637,5985419125,1223033,6754,1431,367,391725,0 18,2,2024-09-07 10:08:11:755,886691,886691,0,0,34023337,0,3541 18,3,2024-09-07 10:08:10:898,1,699,2,0,1059,6301,699,0 19,0,2024-09-07 10:08:11:539,173036,0.6,173486,0.8,345978,0.6,459542,2.00 19,1,2024-09-07 10:08:10:570,1230423,1230423,0,0,578221634071,5990175389,1221566,7696,1161,365,391777,0 19,2,2024-09-07 10:08:11:753,890143,890143,0,0,31854046,0,3988 19,3,2024-09-07 10:08:11:133,1,699,3,0,524,5548,699,0 20,0,2024-09-07 10:08:11:354,172653,0.5,172597,0.7,344816,0.5,460900,2.00 20,1,2024-09-07 10:08:10:574,1225633,1225633,0,0,575575199225,6030885666,1213350,10574,1709,369,391922,0 20,2,2024-09-07 10:08:10:928,883580,883580,0,0,40321941,0,4321 20,3,2024-09-07 10:08:10:593,1,699,1,0,468,11570,699,0 21,0,2024-09-07 10:08:11:162,175965,0.5,176040,0.7,351842,0.5,466914,2.00 21,1,2024-09-07 10:08:11:547,1223428,1223428,0,0,573640828746,6052487470,1204662,14772,3994,368,392016,0 21,2,2024-09-07 10:08:11:067,876299,875822,477,0,51586737,0,17074 21,3,2024-09-07 10:08:11:419,1,699,1,0,713,9748,699,0 22,0,2024-09-07 10:08:11:720,177182,0.5,177801,0.7,355225,0.4,471167,2.00 22,1,2024-09-07 10:08:11:027,1224788,1224788,0,0,575266633083,6049354582,1205676,15507,3605,381,391822,0 22,2,2024-09-07 10:08:10:760,881968,881942,26,0,38323345,0,6328 22,3,2024-09-07 10:08:11:074,1,699,0,0,228,5664,699,0 23,0,2024-09-07 10:08:11:366,175473,0.5,175312,0.6,350614,0.4,467207,2.00 23,1,2024-09-07 10:08:11:007,1225955,1225955,0,0,575012345425,6053639328,1204055,14730,7170,365,391690,0 23,2,2024-09-07 10:08:11:096,887325,887325,0,0,36576326,0,3773 23,3,2024-09-07 10:08:11:754,1,699,1,0,855,9784,699,0 24,0,2024-09-07 10:08:10:824,172792,0.4,171716,0.5,345128,0.3,458872,1.75 24,1,2024-09-07 10:08:10:584,1224763,1224763,0,0,575519796441,6022176895,1213152,9734,1877,367,392269,0 24,2,2024-09-07 10:08:11:075,885450,885447,3,0,42860166,0,6294 24,3,2024-09-07 10:08:11:693,1,699,8,0,468,9061,699,0 25,0,2024-09-07 10:08:11:361,182069,0.4,176875,0.6,348309,0.4,473881,2.00 25,1,2024-09-07 10:08:10:564,1223556,1223556,0,0,574064953677,6062177014,1202766,16795,3995,369,391928,0 25,2,2024-09-07 10:08:11:610,878581,878581,0,0,44598766,0,3978 25,3,2024-09-07 10:08:11:006,1,699,12,0,532,7629,699,0 26,0,2024-09-07 10:08:11:720,176270,0.4,172226,0.6,361289,0.4,471520,2.00 26,1,2024-09-07 10:08:11:549,1227019,1227019,0,0,575859938276,6025094154,1211312,12803,2904,380,391758,0 26,2,2024-09-07 10:08:10:866,882030,882030,0,0,45752179,0,4689 26,3,2024-09-07 10:08:11:715,1,699,23,0,796,8694,699,0 27,0,2024-09-07 10:08:11:737,176352,0.4,177125,0.6,352190,0.4,469856,2.25 27,1,2024-09-07 10:08:11:692,1228598,1228598,0,0,577463655779,6015260532,1217310,9665,1623,381,391626,0 27,2,2024-09-07 10:08:10:870,882128,882063,65,0,40631472,0,5699 27,3,2024-09-07 10:08:11:018,1,699,2,0,564,5926,699,0 28,0,2024-09-07 10:08:11:430,171965,0.4,172235,0.6,344385,0.3,459080,1.75 28,1,2024-09-07 10:08:10:810,1229067,1229067,0,0,577445430878,6024980654,1217595,9044,2428,382,391904,0 28,2,2024-09-07 10:08:11:779,886533,886533,0,0,37890467,0,4060 28,3,2024-09-07 10:08:11:778,1,699,0,0,502,7256,699,0 29,0,2024-09-07 10:08:11:376,181091,0.4,176279,0.6,346115,0.4,471858,1.75 29,1,2024-09-07 10:08:11:561,1232287,1232287,0,0,578928399880,5990354474,1224361,6905,1021,367,391809,0 29,2,2024-09-07 10:08:10:871,880705,880705,0,0,35206101,0,4986 29,3,2024-09-07 10:08:10:963,1,699,0,0,590,7839,699,0 30,0,2024-09-07 10:08:11:472,174503,0.6,169776,0.7,355837,0.5,465150,2.00 30,1,2024-09-07 10:08:10:571,1230942,1230942,0,0,578111266159,6002552643,1221128,8646,1168,380,391672,0 30,2,2024-09-07 10:08:11:280,883493,883493,0,0,34174089,0,4192 30,3,2024-09-07 10:08:10:584,1,699,1,0,519,7213,699,0 31,0,2024-09-07 10:08:11:768,176070,0.5,176915,0.7,352926,0.4,470746,2.00 31,1,2024-09-07 10:08:10:564,1234579,1234579,0,0,579127133587,5948540913,1226648,6662,1269,356,391712,0 31,2,2024-09-07 10:08:11:276,885255,885255,0,0,37596545,0,4470 31,3,2024-09-07 10:08:11:707,1,699,2,0,248,6123,699,0 32,0,2024-09-07 10:08:11:437,173209,0.3,174213,0.5,347303,0.2,462321,1.75 32,1,2024-09-07 10:08:10:808,1230642,1230642,0,0,577843218077,6005526776,1223149,6528,965,381,391646,0 32,2,2024-09-07 10:08:10:935,889553,889553,0,0,32935725,0,3922 32,3,2024-09-07 10:08:11:027,1,699,1,0,304,5846,699,0 33,0,2024-09-07 10:08:11:499,174995,0.3,174542,0.4,349138,0.2,465264,1.50 33,1,2024-09-07 10:08:10:575,1231681,1231681,0,0,578532114257,5989818277,1222059,8266,1356,368,391730,0 33,2,2024-09-07 10:08:10:760,883133,883098,35,0,36491155,0,7012 33,3,2024-09-07 10:08:10:898,1,699,3,0,329,6410,699,0 34,0,2024-09-07 10:08:10:931,175528,0.3,180499,0.5,345120,0.2,465819,1.75 34,1,2024-09-07 10:08:11:050,1234677,1234677,0,0,578924407973,5956746778,1230675,3793,209,366,391637,0 34,2,2024-09-07 10:08:10:767,883773,883773,0,0,34019428,0,4562 34,3,2024-09-07 10:08:11:687,1,699,2,0,541,6053,699,0 35,0,2024-09-07 10:08:10:866,176065,0.3,176698,0.5,354211,0.2,471319,1.75 35,1,2024-09-07 10:08:11:067,1230198,1230198,0,0,577160434121,5987790760,1221256,7389,1553,382,391769,0 35,2,2024-09-07 10:08:11:584,883995,883995,0,0,39729135,0,4055 35,3,2024-09-07 10:08:10:907,1,699,0,0,466,6571,699,0 36,0,2024-09-07 10:08:11:523,175542,0.4,175777,0.6,351034,0.4,466768,2.00 36,1,2024-09-07 10:08:10:590,1228633,1228633,0,0,575885429423,6010749891,1213852,12427,2354,366,391759,0 36,2,2024-09-07 10:08:11:757,886815,886815,0,0,39695814,0,3875 36,3,2024-09-07 10:08:10:863,1,699,1,0,556,8827,699,0 37,0,2024-09-07 10:08:11:384,171141,0.5,171087,0.7,342880,0.5,457064,2.25 37,1,2024-09-07 10:08:10:570,1228387,1228380,0,7,576969535381,6022446453,1214192,11073,3115,365,391770,0 37,2,2024-09-07 10:08:11:142,883274,883259,15,0,39807550,0,5815 37,3,2024-09-07 10:08:11:766,1,699,12,0,888,9565,699,0 38,0,2024-09-07 10:08:11:443,175640,0.5,170286,0.7,356026,0.4,466603,2.00 38,1,2024-09-07 10:08:11:605,1228541,1228541,0,0,576221368857,6021564783,1211699,13536,3306,368,391821,0 38,2,2024-09-07 10:08:10:760,882049,882002,47,0,39708992,0,6710 38,3,2024-09-07 10:08:10:997,1,699,6,0,689,7924,699,0 39,0,2024-09-07 10:08:11:765,181187,0.5,176990,0.7,345687,0.5,471559,2.00 39,1,2024-09-07 10:08:10:717,1226203,1226203,0,0,575166187920,6028077032,1206395,15575,4233,365,391865,0 39,2,2024-09-07 10:08:11:423,882570,882570,0,0,38015410,0,3478 39,3,2024-09-07 10:08:10:717,1,699,0,0,525,7684,699,0 40,0,2024-09-07 10:08:11:492,174500,0.8,175153,0.9,349699,0.9,467071,2.75 40,1,2024-09-07 10:08:10:584,1226806,1226806,0,0,575582583030,6030843955,1208000,15223,3583,366,391668,0 40,2,2024-09-07 10:08:11:306,883685,883678,7,0,43851306,0,5347 40,3,2024-09-07 10:08:11:145,1,699,1,0,1028,9855,699,0 41,0,2024-09-07 10:08:11:031,170672,1.1,174724,1.1,333303,1.5,452449,3.00 41,1,2024-09-07 10:08:10:788,1227033,1227033,0,0,576560488013,6027869072,1211323,13185,2525,369,391878,0 41,2,2024-09-07 10:08:10:760,882824,882823,1,0,42861945,0,5408 41,3,2024-09-07 10:08:11:678,1,699,0,0,749,8450,699,0 42,0,2024-09-07 10:08:11:479,175834,1.0,175619,1.0,351645,1.1,466963,2.75 42,1,2024-09-07 10:08:11:439,1224487,1224487,0,0,574171031067,6025658758,1206880,14523,3084,380,391675,0 42,2,2024-09-07 10:08:11:134,877514,877513,1,0,44013236,0,5513 42,3,2024-09-07 10:08:11:009,1,699,14,0,892,7001,699,0 43,0,2024-09-07 10:08:10:921,174145,0.8,169590,1.0,355395,0.9,464900,2.25 43,1,2024-09-07 10:08:10:576,1228000,1228000,0,0,576801038614,6022810776,1211889,13363,2748,365,391696,0 43,2,2024-09-07 10:08:11:737,883672,883672,0,0,40366119,0,4723 43,3,2024-09-07 10:08:11:757,1,699,2,0,571,9322,699,0 44,0,2024-09-07 10:08:10:864,176784,0.4,176866,0.6,353399,0.3,471015,1.75 44,1,2024-09-07 10:08:10:571,1231021,1231021,0,0,577823335272,5974752205,1219692,9178,2151,356,391809,0 44,2,2024-09-07 10:08:11:267,884248,884248,0,0,33905005,0,4344 44,3,2024-09-07 10:08:11:093,1,699,0,0,1097,8923,699,0 45,0,2024-09-07 10:08:11:760,170376,0.4,166353,0.7,349133,0.4,457707,2.00 45,1,2024-09-07 10:08:11:005,1230475,1230475,0,0,577397834380,6001499520,1220924,8330,1221,382,391917,0 45,2,2024-09-07 10:08:11:268,888282,888282,0,0,34037173,0,3596 45,3,2024-09-07 10:08:10:945,1,699,5,0,531,6503,699,0 46,0,2024-09-07 10:08:10:961,174430,0.5,173845,0.7,348976,0.5,464467,2.00 46,1,2024-09-07 10:08:10:575,1232459,1232459,0,0,578320123344,5982733740,1223181,7796,1482,366,391709,0 46,2,2024-09-07 10:08:10:593,882925,882925,0,0,34805996,0,4443 46,3,2024-09-07 10:08:11:133,1,699,1,0,908,7633,699,0 47,0,2024-09-07 10:08:11:107,176044,0.5,175617,0.6,352467,0.4,467353,2.00 47,1,2024-09-07 10:08:10:570,1233669,1233669,0,0,578407813139,5977221944,1226158,6498,1013,364,391666,0 47,2,2024-09-07 10:08:10:909,885748,885748,0,0,35245517,0,4477 47,3,2024-09-07 10:08:11:116,1,699,2,0,600,7583,699,0 48,0,2024-09-07 10:08:11:519,176730,0.3,177294,0.4,353245,0.2,469931,1.50 48,1,2024-09-07 10:08:11:026,1229831,1229831,0,0,576267197577,5987042674,1222157,6662,1012,381,391710,0 48,2,2024-09-07 10:08:10:699,885258,885258,0,0,32282432,0,3619 48,3,2024-09-07 10:08:10:753,1,699,1,0,339,5994,699,0 49,0,2024-09-07 10:08:11:749,178695,0.3,174989,0.5,340418,0.3,464173,1.75 49,1,2024-09-07 10:08:11:021,1229355,1229355,0,0,577371646987,6002209705,1221486,6171,1698,382,391809,0 49,2,2024-09-07 10:08:11:797,889206,889206,0,0,33733917,0,4426 49,3,2024-09-07 10:08:11:426,1,699,14,0,992,8109,699,0 50,0,2024-09-07 10:08:11:522,173070,0.3,171887,0.5,345882,0.2,460529,1.75 50,1,2024-09-07 10:08:11:011,1232644,1232644,0,0,579006488684,5984735764,1225086,6825,733,368,391691,0 50,2,2024-09-07 10:08:11:067,883156,883156,0,0,31658712,0,4490 50,3,2024-09-07 10:08:11:294,1,699,0,0,617,7214,699,0 51,0,2024-09-07 10:08:11:700,180655,0.3,176756,0.5,344217,0.3,469258,1.75 51,1,2024-09-07 10:08:11:680,1233867,1233867,0,0,579897239605,5978392379,1227166,5357,1344,365,391706,0 51,2,2024-09-07 10:08:11:321,883031,883031,0,0,31300249,0,3337 51,3,2024-09-07 10:08:11:027,1,699,1,0,678,5481,699,0 52,0,2024-09-07 10:08:11:432,177407,0.5,177225,0.7,354240,0.4,470886,2.00 52,1,2024-09-07 10:08:10:583,1227503,1227503,0,0,576522016644,6030596721,1210749,14327,2427,368,391805,0 52,2,2024-09-07 10:08:11:757,879455,879417,38,0,42751900,0,6742 52,3,2024-09-07 10:08:10:680,1,699,14,0,1782,7948,699,0 53,0,2024-09-07 10:08:11:799,175144,0.6,169986,0.8,355738,0.6,465743,2.25 53,1,2024-09-07 10:08:10:799,1226950,1226950,0,0,576102554515,6038043923,1207583,14937,4430,367,391968,0 53,2,2024-09-07 10:08:11:298,886944,886806,138,0,39166266,0,7690 53,3,2024-09-07 10:08:10:697,1,699,1,0,308,6511,699,0 54,0,2024-09-07 10:08:11:617,169913,0.5,170482,0.7,339272,0.4,453437,2.25 54,1,2024-09-07 10:08:10:581,1229350,1229350,0,0,577348172486,6000397871,1217551,10124,1675,366,391810,0 54,2,2024-09-07 10:08:10:875,886067,886035,32,0,42463726,0,6397 54,3,2024-09-07 10:08:10:771,1,699,2,0,676,8969,699,0 55,0,2024-09-07 10:08:11:765,171025,0.7,176177,0.8,357668,0.7,465825,2.50 55,1,2024-09-07 10:08:10:765,1229809,1229809,0,0,576505729172,5992815791,1217776,10544,1489,365,391731,0 55,2,2024-09-07 10:08:10:728,880127,880071,56,0,38712306,0,7239 55,3,2024-09-07 10:08:10:687,1,699,1,0,304,6302,699,0 56,0,2024-09-07 10:08:11:576,180212,1.2,169745,1.1,349965,1.6,468756,2.75 56,1,2024-09-07 10:08:10:574,1222370,1222370,0,0,573995427214,6065466437,1202878,15738,3754,381,391867,0 56,2,2024-09-07 10:08:11:307,881006,880884,122,0,41437424,0,7432 56,3,2024-09-07 10:08:11:072,1,699,4,0,705,8304,699,0 57,0,2024-09-07 10:08:10:979,174550,1.3,174495,1.2,348524,1.8,466037,3.00 57,1,2024-09-07 10:08:11:003,1225721,1225721,0,0,575279067625,6032237920,1210006,13011,2704,366,392097,0 57,2,2024-09-07 10:08:11:316,885185,885185,0,0,44393903,0,4804 57,3,2024-09-07 10:08:11:749,1,699,3,0,455,7432,699,0 58,0,2024-09-07 10:08:10:569,169403,0.9,164625,1.0,344663,1.0,451191,2.50 58,1,2024-09-07 10:08:10:577,1226710,1226707,0,3,576057457287,6037436746,1208344,13961,4402,367,391726,3 58,2,2024-09-07 10:08:11:075,887026,887026,0,0,40370602,0,3483 58,3,2024-09-07 10:08:11:075,1,699,1,0,1043,7504,699,0 59,0,2024-09-07 10:08:11:745,175047,0.8,174015,1.0,348214,0.9,462332,2.75 59,1,2024-09-07 10:08:10:808,1226203,1226203,0,0,575262492470,6039871379,1206711,15654,3838,369,391653,0 59,2,2024-09-07 10:08:10:585,880879,880879,0,0,38362624,0,3727 59,3,2024-09-07 10:08:11:737,1,699,1,0,1015,8189,699,0 60,0,2024-09-07 10:08:11:748,175323,0.5,175595,0.7,351021,0.5,468695,2.00 60,1,2024-09-07 10:08:10:781,1229899,1229899,0,0,577722640613,6015348286,1218962,9432,1505,370,392031,0 60,2,2024-09-07 10:08:11:162,883935,883935,0,0,36570975,0,3811 60,3,2024-09-07 10:08:11:260,1,699,8,0,409,7954,699,0 61,0,2024-09-07 10:08:11:509,176269,0.6,177042,0.8,352496,0.6,470260,2.00 61,1,2024-09-07 10:08:10:773,1226836,1226836,0,0,576337117656,6032986046,1214161,10823,1852,382,392127,0 61,2,2024-09-07 10:08:11:116,886116,886049,67,0,37563832,0,6411 61,3,2024-09-07 10:08:11:693,1,699,8,0,607,8588,699,0 62,0,2024-09-07 10:08:11:710,173857,0.5,178247,0.7,340198,0.5,462222,2.00 62,1,2024-09-07 10:08:11:116,1234570,1234564,0,6,579673838209,5983108759,1227308,6639,617,365,391975,6 62,2,2024-09-07 10:08:11:646,885239,885238,1,0,37288744,0,5555 62,3,2024-09-07 10:08:11:150,1,699,5,0,482,5443,699,0 63,0,2024-09-07 10:08:11:489,174851,0.4,174576,0.6,349740,0.3,465552,1.75 63,1,2024-09-07 10:08:10:810,1231241,1231235,0,6,577570302587,5998602100,1223319,6965,951,381,391800,6 63,2,2024-09-07 10:08:10:777,881856,881856,0,0,36409029,0,4369 63,3,2024-09-07 10:08:11:736,1,699,0,0,667,8082,699,0 64,0,2024-09-07 10:08:11:568,174586,0.5,174513,0.7,348629,0.5,464287,2.00 64,1,2024-09-07 10:08:10:777,1229480,1229480,0,0,577316350524,6008599431,1219266,8185,2029,370,391794,0 64,2,2024-09-07 10:08:11:161,886443,886424,19,0,35036113,0,6121 64,3,2024-09-07 10:08:11:150,1,699,4,0,651,7900,699,0 65,0,2024-09-07 10:08:11:704,175337,0.6,175610,0.7,350495,0.6,467590,2.00 65,1,2024-09-07 10:08:10:869,1227856,1227856,0,0,576012570421,6017364611,1219609,7349,898,381,391901,0 65,2,2024-09-07 10:08:11:693,882606,882606,0,0,42045418,0,3367 65,3,2024-09-07 10:08:11:688,1,699,1,0,782,8181,699,0 66,0,2024-09-07 10:08:11:766,174807,0.5,174171,0.7,348336,0.4,463325,2.00 66,1,2024-09-07 10:08:11:294,1229795,1229795,0,0,577904324486,6012579409,1222287,6634,874,380,391743,0 66,2,2024-09-07 10:08:11:134,889390,889387,3,0,36857892,0,5455 66,3,2024-09-07 10:08:11:082,1,699,1,0,291,6162,699,0 67,0,2024-09-07 10:08:11:422,171789,0.5,171363,0.7,343342,0.5,457497,2.00 67,1,2024-09-07 10:08:10:766,1229453,1229452,0,1,577303755160,6008799281,1221018,7294,1140,380,391787,1 67,2,2024-09-07 10:08:10:586,887652,887637,15,0,37315051,0,6205 67,3,2024-09-07 10:08:11:756,1,699,0,0,595,7347,699,0 68,0,2024-09-07 10:08:10:564,176592,0.6,176421,0.7,351291,0.6,469519,2.25 68,1,2024-09-07 10:08:10:580,1224920,1224920,0,0,574297980669,6040695345,1208189,12457,4274,381,391953,0 68,2,2024-09-07 10:08:11:051,877305,877205,100,0,45370067,0,8578 68,3,2024-09-07 10:08:10:735,1,699,10,0,417,9797,699,0 69,0,2024-09-07 10:08:11:746,176847,0.7,177418,0.8,353962,0.7,471407,2.25 69,1,2024-09-07 10:08:11:018,1224166,1224166,0,0,574140945811,6046362545,1209239,11942,2985,383,391994,0 69,2,2024-09-07 10:08:11:739,879222,879193,29,0,48507318,0,6912 69,3,2024-09-07 10:08:10:760,1,699,10,0,698,10399,699,0 70,0,2024-09-07 10:08:11:540,174228,0.7,175031,0.9,350832,0.6,465722,2.50 70,1,2024-09-07 10:08:10:803,1230859,1230859,0,0,578747401586,6005240833,1221391,8423,1045,366,391725,0 70,2,2024-09-07 10:08:11:324,886609,886609,0,0,39828595,0,4323 70,3,2024-09-07 10:08:10:753,1,699,3,0,854,7718,699,0 71,0,2024-09-07 10:08:11:358,170669,0.8,170243,0.9,341284,0.9,455119,2.50 71,1,2024-09-07 10:08:11:602,1228631,1228631,0,0,576572786190,6013731317,1214195,12650,1786,367,391738,0 71,2,2024-09-07 10:08:11:067,884756,884756,0,0,40293530,0,4352 71,3,2024-09-07 10:08:11:756,1,699,1,0,644,7634,699,0 72,0,2024-09-07 10:08:11:025,182711,0.5,178343,0.7,348619,0.5,474520,2.00 72,1,2024-09-07 10:08:11:021,1226965,1226965,0,0,575238254034,6029148832,1210100,14131,2734,369,391819,0 72,2,2024-09-07 10:08:11:757,878601,878601,0,0,41972102,0,3983 72,3,2024-09-07 10:08:11:754,1,699,1,0,564,9573,699,0 73,0,2024-09-07 10:08:11:108,172054,0.5,176530,0.6,360827,0.4,469997,2.00 73,1,2024-09-07 10:08:10:781,1228599,1228599,0,0,576892532040,5995668625,1219067,8465,1067,367,391858,0 73,2,2024-09-07 10:08:11:739,881858,881857,1,0,43991653,0,5027 73,3,2024-09-07 10:08:10:973,1,699,29,0,1091,9369,699,0 74,0,2024-09-07 10:08:11:327,177695,0.5,181978,0.7,347099,0.4,471391,2.00 74,1,2024-09-07 10:08:10:635,1227699,1227699,0,0,576231579016,6010581051,1215338,10213,2148,381,391762,0 74,2,2024-09-07 10:08:11:002,884803,884803,0,0,38332677,0,4253 74,3,2024-09-07 10:08:11:442,1,699,1,0,522,7920,699,0 75,0,2024-09-07 10:08:11:774,172246,0.5,171282,0.7,343749,0.4,458984,2.00 75,1,2024-09-07 10:08:11:587,1228719,1228719,0,0,576641680406,6006814343,1218834,8891,994,380,391739,0 75,2,2024-09-07 10:08:11:350,885842,885842,0,0,45423626,0,4766 75,3,2024-09-07 10:08:11:067,1,699,1,0,918,9535,699,0 76,0,2024-09-07 10:08:10:608,174871,0.6,174245,0.7,348324,0.5,466098,2.25 76,1,2024-09-07 10:08:10:805,1227998,1227998,0,0,575483921530,6003535509,1219100,7588,1310,382,391790,0 76,2,2024-09-07 10:08:11:061,884257,884254,3,0,38227237,0,5265 76,3,2024-09-07 10:08:11:144,1,699,46,0,249,6429,699,0 77,0,2024-09-07 10:08:11:758,174899,0.6,175218,0.7,350701,0.6,466276,2.00 77,1,2024-09-07 10:08:10:847,1227191,1227191,0,0,576068211216,6015154632,1216917,9186,1088,381,391869,0 77,2,2024-09-07 10:08:11:280,882740,882740,0,0,36877546,0,3890 77,3,2024-09-07 10:08:11:094,1,699,14,0,401,7866,699,0 78,0,2024-09-07 10:08:11:718,177058,0.4,176221,0.6,353904,0.4,468033,2.00 78,1,2024-09-07 10:08:10:613,1227444,1227444,0,0,576509565487,6015705707,1211906,12206,3332,367,391670,0 78,2,2024-09-07 10:08:11:407,885615,885602,13,0,34550619,0,8313 78,3,2024-09-07 10:08:11:134,1,699,1,0,311,5970,699,0 79,0,2024-09-07 10:08:11:345,167947,0.4,172043,0.6,352337,0.3,458559,2.00 79,1,2024-09-07 10:08:10:571,1232003,1232003,0,0,578546764363,5991732043,1222187,8014,1802,367,391682,0 79,2,2024-09-07 10:08:11:075,888544,888544,0,0,33590874,0,4195 79,3,2024-09-07 10:08:10:753,1,699,1,0,418,8043,699,0 80,0,2024-09-07 10:08:11:093,172902,0.5,177532,0.7,339028,0.5,459534,2.00 80,1,2024-09-07 10:08:11:627,1228283,1228283,0,0,577354746794,6010402172,1220295,7405,583,368,392269,0 80,2,2024-09-07 10:08:11:095,886468,886468,0,0,34123260,0,4433 80,3,2024-09-07 10:08:10:575,1,699,1,0,681,8400,699,0 81,0,2024-09-07 10:08:11:599,176050,0.6,180108,0.7,343553,0.6,465885,2.00 81,1,2024-09-07 10:08:11:664,1227527,1227527,0,0,575664393153,6016324364,1217655,8877,995,382,392001,0 81,2,2024-09-07 10:08:11:141,882438,882375,63,0,37972574,0,5932 81,3,2024-09-07 10:08:11:127,1,699,4,0,719,7633,699,0 82,0,2024-09-07 10:08:11:532,177285,0.5,177338,0.7,355340,0.5,471394,2.00 82,1,2024-09-07 10:08:10:592,1229355,1229351,0,4,576495244448,5997161835,1222414,5813,1124,381,391768,4 82,2,2024-09-07 10:08:11:691,886181,886181,0,0,31579507,0,4484 82,3,2024-09-07 10:08:11:752,1,699,2,0,363,6282,699,0 83,0,2024-09-07 10:08:11:528,175879,0.5,175715,0.7,351041,0.5,466389,2.00 83,1,2024-09-07 10:08:10:558,1228082,1228082,0,0,576381269171,6007814689,1219090,7964,1028,382,391709,0 83,2,2024-09-07 10:08:10:764,887580,887555,25,0,34478870,0,5612 83,3,2024-09-07 10:08:10:750,1,699,1,0,1260,8158,699,0 84,0,2024-09-07 10:08:11:764,170160,0.7,170315,0.8,340623,0.7,455184,2.25 84,1,2024-09-07 10:08:11:055,1226441,1226441,0,0,575597936689,6019530020,1212740,11616,2085,367,391967,0 84,2,2024-09-07 10:08:10:573,883601,883191,410,0,49789916,0,17037 84,3,2024-09-07 10:08:11:141,1,699,1,0,908,9692,699,0 85,0,2024-09-07 10:08:11:020,170318,0.7,170262,0.8,361547,0.7,466369,2.25 85,1,2024-09-07 10:08:10:576,1222451,1222451,0,0,573729585239,6051991258,1204644,14875,2932,381,392092,0 85,2,2024-09-07 10:08:10:874,880272,880272,0,0,42167176,0,4255 85,3,2024-09-07 10:08:10:689,1,699,1,0,789,8454,699,0 86,0,2024-09-07 10:08:11:187,176099,0.7,181172,0.8,346949,0.8,469507,2.25 86,1,2024-09-07 10:08:10:859,1225113,1225113,0,0,575035940629,6037869282,1207418,14379,3316,366,392169,0 86,2,2024-09-07 10:08:10:861,881718,881717,1,0,44216334,0,5004 86,3,2024-09-07 10:08:10:614,1,699,4,0,441,9635,699,0 87,0,2024-09-07 10:08:11:353,176853,0.7,175566,0.8,351731,0.9,469825,2.25 87,1,2024-09-07 10:08:10:551,1225695,1225695,0,0,574799479424,6019181972,1210757,12774,2164,366,392076,0 87,2,2024-09-07 10:08:11:068,884470,884464,6,0,41661065,0,6323 87,3,2024-09-07 10:08:11:816,1,699,9,0,473,9816,699,0 88,0,2024-09-07 10:08:11:441,171799,0.4,172325,0.6,344607,0.4,459254,1.75 88,1,2024-09-07 10:08:10:572,1224349,1224349,0,0,574845746450,6021602483,1207531,13675,3143,365,392084,0 88,2,2024-09-07 10:08:10:693,886229,886229,0,0,43625603,0,4465 88,3,2024-09-07 10:08:11:268,1,699,0,0,1080,11144,699,0 89,0,2024-09-07 10:08:11:763,181105,0.4,175933,0.6,346493,0.4,471151,1.75 89,1,2024-09-07 10:08:10:559,1224456,1224456,0,0,575091660243,6044882726,1209771,12404,2281,382,391866,0 89,2,2024-09-07 10:08:11:134,880669,880669,0,0,40929019,0,3173 89,3,2024-09-07 10:08:11:792,1,699,4,0,729,12328,699,0 90,0,2024-09-07 10:08:11:618,170372,0.5,174849,0.7,357132,0.5,466426,2.00 90,1,2024-09-07 10:08:10:595,1226089,1226089,0,0,575613272366,6029938905,1213633,11186,1270,380,391825,0 90,2,2024-09-07 10:08:11:411,878821,878816,5,0,44213560,0,6370 90,3,2024-09-07 10:08:10:951,1,699,10,0,364,9181,699,0 91,0,2024-09-07 10:08:11:032,177028,0.5,171734,0.6,359228,0.4,471156,1.75 91,1,2024-09-07 10:08:10:565,1223063,1223063,0,0,575173097062,6063772187,1204349,14566,4148,381,392047,0 91,2,2024-09-07 10:08:11:333,884072,884072,0,0,39605643,0,4713 91,3,2024-09-07 10:08:10:602,1,699,9,0,231,6530,699,0 92,0,2024-09-07 10:08:11:452,174779,0.4,178704,0.6,340731,0.4,462554,1.75 92,1,2024-09-07 10:08:10:591,1226910,1226910,0,0,576154450558,6017912969,1217410,8188,1312,381,392136,0 92,2,2024-09-07 10:08:11:349,887172,887172,0,0,36047464,0,3906 92,3,2024-09-07 10:08:11:009,1,699,1,0,322,6240,699,0 93,0,2024-09-07 10:08:11:018,175389,0.4,179716,0.6,342837,0.3,465270,1.75 93,1,2024-09-07 10:08:10:823,1227713,1227713,0,0,576260150098,6020733688,1213309,11968,2436,365,392048,0 93,2,2024-09-07 10:08:10:960,882028,882028,0,0,41391827,0,4913 93,3,2024-09-07 10:08:11:411,1,699,0,0,788,8633,699,0 94,0,2024-09-07 10:08:11:638,174551,0.4,175677,0.6,351834,0.4,466551,1.75 94,1,2024-09-07 10:08:10:572,1229160,1229160,0,0,577243808432,6019194578,1220879,7631,650,381,391850,0 94,2,2024-09-07 10:08:10:786,883288,883260,28,0,36080828,0,6179 94,3,2024-09-07 10:08:11:693,1,699,1,0,576,8412,699,0 95,0,2024-09-07 10:08:11:378,176709,0.4,176417,0.5,353110,0.3,470530,1.75 95,1,2024-09-07 10:08:11:067,1229569,1229569,0,0,577213610547,5997182744,1220200,8556,813,365,391852,0 95,2,2024-09-07 10:08:11:023,882239,882239,0,0,36220011,0,3308 95,3,2024-09-07 10:08:11:715,1,699,1,0,718,10494,699,0 96,0,2024-09-07 10:08:11:034,175102,0.3,175454,0.5,351050,0.3,466023,1.75 96,1,2024-09-07 10:08:11:583,1226933,1226933,0,0,575737771236,6006808236,1218007,7548,1378,384,392292,0 96,2,2024-09-07 10:08:11:270,888659,888659,0,0,36709733,0,4225 96,3,2024-09-07 10:08:11:141,1,699,4,0,411,7783,699,0 97,0,2024-09-07 10:08:11:311,171745,0.3,171590,0.5,343935,0.3,457511,1.50 97,1,2024-09-07 10:08:10:775,1230220,1230220,0,0,577435525142,5991116467,1222287,6690,1243,367,392140,0 97,2,2024-09-07 10:08:10:613,886340,886340,0,0,36729732,0,4600 97,3,2024-09-07 10:08:10:570,1,699,1,0,433,8478,699,0 98,0,2024-09-07 10:08:11:886,176077,0.3,176198,0.5,353796,0.3,469794,1.50 98,1,2024-09-07 10:08:10:575,1228776,1228776,0,0,576247855322,6001269760,1221333,6544,899,381,391997,0 98,2,2024-09-07 10:08:10:778,881275,881275,0,0,35007659,0,4336 98,3,2024-09-07 10:08:10:700,1,699,3,0,840,9708,699,0 99,0,2024-09-07 10:08:11:489,177716,0.3,178535,0.5,355746,0.3,474168,1.75 99,1,2024-09-07 10:08:11:724,1229690,1229690,0,0,576316212486,5997627486,1221902,6679,1109,380,392069,0 99,2,2024-09-07 10:08:11:424,882107,882107,0,0,44203332,0,4276 99,3,2024-09-07 10:08:10:590,1,699,3,0,1124,8801,699,0 100,0,2024-09-07 10:08:11:457,175626,0.7,176188,0.9,352168,0.8,469616,2.50 100,1,2024-09-07 10:08:10:551,1222226,1222226,0,0,573145267677,6061568942,1203084,15347,3795,378,391989,0 100,2,2024-09-07 10:08:11:816,882186,881797,389,0,46127080,0,16909 100,3,2024-09-07 10:08:11:732,1,699,0,0,627,10776,699,0 101,0,2024-09-07 10:08:11:701,175444,0.9,171196,1.0,334860,0.9,457128,2.25 101,1,2024-09-07 10:08:10:559,1224491,1224491,0,0,575058021715,6042603023,1207897,13642,2952,368,392018,0 101,2,2024-09-07 10:08:11:756,880888,880849,39,0,47744781,0,5913 101,3,2024-09-07 10:08:10:949,1,699,0,0,1250,10712,699,0 102,0,2024-09-07 10:08:10:963,172093,0.6,177206,0.8,360223,0.6,471268,2.25 102,1,2024-09-07 10:08:11:149,1224601,1224601,0,0,574995484084,6036951900,1208772,13572,2257,369,391984,0 102,2,2024-09-07 10:08:11:737,881582,881528,54,0,39474357,0,6768 102,3,2024-09-07 10:08:11:619,1,699,1,0,466,8097,699,0 103,0,2024-09-07 10:08:11:619,182156,0.7,182083,0.8,343203,0.8,472408,2.25 103,1,2024-09-07 10:08:11:629,1223401,1223401,0,0,573974676996,6057943388,1204490,15284,3627,381,392077,0 103,2,2024-09-07 10:08:10:588,880627,880627,0,0,43021685,0,3766 103,3,2024-09-07 10:08:10:776,1,699,4,0,916,8243,699,0 104,0,2024-09-07 10:08:11:010,175306,0.7,175698,0.9,350577,0.7,468533,2.25 104,1,2024-09-07 10:08:11:599,1225315,1225315,0,0,574888288291,6041141684,1206873,14980,3462,365,392168,0 104,2,2024-09-07 10:08:11:679,882761,882761,0,0,42025937,0,4161 104,3,2024-09-07 10:08:11:419,1,699,1,0,1245,11767,699,0 105,0,2024-09-07 10:08:11:032,170242,0.8,165879,1.0,347875,0.9,456872,2.50 105,1,2024-09-07 10:08:10:578,1227391,1227391,0,0,575435510420,6024996721,1212614,12661,2116,364,392009,0 105,2,2024-09-07 10:08:11:324,885426,885426,0,0,43372243,0,4360 105,3,2024-09-07 10:08:11:304,1,699,1,0,573,10383,699,0 106,0,2024-09-07 10:08:10:965,169296,0.9,173703,1.0,355070,1.1,464248,2.50 106,1,2024-09-07 10:08:11:768,1225803,1225803,0,0,574974346383,6030364071,1209369,14283,2151,368,391914,0 106,2,2024-09-07 10:08:10:758,881503,881503,0,0,39836282,0,3331 106,3,2024-09-07 10:08:10:680,1,699,57,0,1224,9690,699,0 107,0,2024-09-07 10:08:11:190,175014,1.3,174964,1.1,350137,1.9,467197,2.25 107,1,2024-09-07 10:08:10:597,1223154,1223154,0,0,573393549775,6057971187,1204052,16846,2256,381,392234,0 107,2,2024-09-07 10:08:11:293,878933,878932,1,0,43575950,0,5024 107,3,2024-09-07 10:08:11:757,1,699,5,0,733,11015,699,0 108,0,2024-09-07 10:08:11:859,176133,0.4,176968,0.6,352952,0.4,469848,1.75 108,1,2024-09-07 10:08:11:297,1226852,1226852,0,0,575877551858,6012595007,1215375,10215,1262,367,391894,0 108,2,2024-09-07 10:08:11:765,884352,884352,0,0,38899374,0,4432 108,3,2024-09-07 10:08:11:348,1,699,20,0,767,12579,699,0 109,0,2024-09-07 10:08:11:779,174557,0.4,173196,0.6,347409,0.3,463128,1.75 109,1,2024-09-07 10:08:10:584,1225037,1225037,0,0,575383537740,6035669717,1214380,9151,1506,382,392132,0 109,2,2024-09-07 10:08:10:920,885679,885679,0,0,38941937,0,3617 109,3,2024-09-07 10:08:11:142,1,699,1,0,630,7978,699,0 110,0,2024-09-07 10:08:11:760,172537,0.4,167716,0.6,351280,0.3,461527,1.75 110,1,2024-09-07 10:08:11:655,1229570,1229570,0,0,577767828006,5998728070,1220103,7382,2085,368,392045,0 110,2,2024-09-07 10:08:11:310,883941,883941,0,0,38026385,0,4067 110,3,2024-09-07 10:08:10:697,1,699,1,0,722,9429,699,0 111,0,2024-09-07 10:08:11:434,176742,0.4,175905,0.6,351395,0.3,468778,1.75 111,1,2024-09-07 10:08:11:010,1230799,1230799,0,0,577891538592,5999686018,1223542,6750,507,380,391690,0 111,2,2024-09-07 10:08:11:122,882352,882352,0,0,37420687,0,4823 111,3,2024-09-07 10:08:10:921,1,699,4,0,379,7842,699,0 112,0,2024-09-07 10:08:10:935,177946,0.3,177636,0.4,356066,0.2,472684,1.50 112,1,2024-09-07 10:08:10:828,1229688,1229688,0,0,576924566427,5987236755,1222062,6399,1227,380,391624,0 112,2,2024-09-07 10:08:11:146,883473,883472,1,0,35297088,0,5036 112,3,2024-09-07 10:08:10:592,1,699,27,0,282,6878,699,0 113,0,2024-09-07 10:08:10:891,175677,0.3,176124,0.5,352495,0.2,468701,1.75 113,1,2024-09-07 10:08:11:689,1233410,1233410,0,0,578919200706,5971193643,1226495,5964,951,365,391664,0 113,2,2024-09-07 10:08:11:309,889787,889787,0,0,32689072,0,3813 113,3,2024-09-07 10:08:10:697,1,699,1,0,510,7010,699,0 114,0,2024-09-07 10:08:10:906,171706,0.3,172908,0.5,344941,0.2,460730,1.75 114,1,2024-09-07 10:08:10:717,1229189,1229189,0,0,577634615569,5996281611,1220359,7026,1804,381,391565,0 114,2,2024-09-07 10:08:10:878,887921,887920,1,0,35107224,0,5069 114,3,2024-09-07 10:08:11:279,1,699,0,0,415,5690,699,0 115,0,2024-09-07 10:08:10:558,178040,0.3,178526,0.4,355727,0.2,472962,1.50 115,1,2024-09-07 10:08:10:574,1230392,1230392,0,0,577604021648,5998753269,1220836,7764,1792,382,391757,0 115,2,2024-09-07 10:08:11:128,882927,882927,0,0,34946482,0,4382 115,3,2024-09-07 10:08:11:002,1,699,2,0,173,4415,699,0 116,0,2024-09-07 10:08:11:708,176142,0.8,176241,0.9,353023,0.8,472139,2.25 116,1,2024-09-07 10:08:10:808,1223435,1223435,0,0,574926032303,6054944154,1209331,10887,3217,380,392089,0 116,2,2024-09-07 10:08:11:753,882551,882551,0,0,42533660,0,4475 116,3,2024-09-07 10:08:10:918,1,699,31,0,448,8923,699,0 117,0,2024-09-07 10:08:11:031,176523,0.6,176250,0.8,352916,0.6,471198,2.00 117,1,2024-09-07 10:08:11:591,1226096,1226096,0,0,574865045530,6021194157,1212223,12104,1769,369,392429,0 117,2,2024-09-07 10:08:11:119,886843,886843,0,0,39103795,0,4303 117,3,2024-09-07 10:08:11:063,1,699,1,0,490,8520,699,0 118,0,2024-09-07 10:08:11:824,166673,0.5,171235,0.7,349414,0.5,457351,2.00 118,1,2024-09-07 10:08:10:609,1224342,1224342,0,0,574664501186,6037891639,1206827,13667,3848,366,392054,0 118,2,2024-09-07 10:08:11:591,886403,886403,0,0,41681071,0,3161 118,3,2024-09-07 10:08:11:769,1,699,12,0,343,8328,699,0 119,0,2024-09-07 10:08:11:563,175997,0.7,176304,0.8,352136,0.7,469483,2.25 119,1,2024-09-07 10:08:10:559,1225451,1225451,0,0,575759031212,6035505198,1209427,13356,2668,367,391857,0 119,2,2024-09-07 10:08:11:262,881539,881539,0,0,38348706,0,4309 119,3,2024-09-07 10:08:11:326,1,699,1,0,1358,12203,699,0 120,0,2024-09-07 10:08:11:553,174609,0.7,174594,0.9,350402,0.7,467663,2.50 120,1,2024-09-07 10:08:10:869,1226381,1226381,0,0,575203680140,6029520772,1213706,11516,1159,367,392144,0 120,2,2024-09-07 10:08:10:786,881537,881534,3,0,46095586,0,5363 120,3,2024-09-07 10:08:11:305,1,699,19,0,478,8928,699,0 121,0,2024-09-07 10:08:11:686,176461,1.1,175913,1.0,351951,1.4,469013,2.25 121,1,2024-09-07 10:08:11:655,1226071,1226071,0,0,575172349516,6019903810,1212543,11531,1997,366,391840,0 121,2,2024-09-07 10:08:11:132,884560,884560,0,0,41971360,0,4157 121,3,2024-09-07 10:08:10:728,1,699,9,0,387,9310,699,0 122,0,2024-09-07 10:08:11:771,172527,0.7,167958,0.8,351255,0.8,461913,2.00 122,1,2024-09-07 10:08:10:859,1225166,1225166,0,0,574834536306,6028168054,1208887,13700,2579,365,392130,0 122,2,2024-09-07 10:08:11:330,886837,886762,75,0,46106982,0,5989 122,3,2024-09-07 10:08:10:603,1,699,8,0,512,10549,699,0 123,0,2024-09-07 10:08:10:959,173214,0.8,168887,0.8,354213,0.9,463141,2.25 123,1,2024-09-07 10:08:10:565,1226170,1226170,0,0,575213438892,6046303040,1207071,16071,3028,369,392039,0 123,2,2024-09-07 10:08:11:029,881307,881306,1,0,39478242,0,5215 123,3,2024-09-07 10:08:11:135,1,699,76,0,478,7907,699,0 124,0,2024-09-07 10:08:10:941,180221,0.4,180248,0.5,339634,0.3,467417,1.75 124,1,2024-09-07 10:08:11:022,1229970,1229970,0,0,577067921284,6000195789,1220431,8277,1262,365,392178,0 124,2,2024-09-07 10:08:11:013,884831,884778,53,0,36703967,0,6487 124,3,2024-09-07 10:08:10:764,1,699,1,0,490,7240,699,0 125,0,2024-09-07 10:08:11:440,176560,0.4,176428,0.6,353679,0.3,470975,1.75 125,1,2024-09-07 10:08:10:858,1226455,1226455,0,0,575859242167,6017130305,1215403,9467,1585,382,392045,0 125,2,2024-09-07 10:08:11:116,886532,886532,0,0,36237890,0,4534 125,3,2024-09-07 10:08:11:134,1,699,85,0,709,7782,699,0 126,0,2024-09-07 10:08:11:425,175487,0.4,180466,0.5,344945,0.3,466782,1.75 126,1,2024-09-07 10:08:10:615,1230176,1230176,0,0,577800507586,5986548943,1222898,6576,702,365,391987,0 126,2,2024-09-07 10:08:10:616,888743,888743,0,0,38009337,0,4539 126,3,2024-09-07 10:08:10:910,1,699,12,0,268,7875,699,0 127,0,2024-09-07 10:08:11:646,172033,0.3,172492,0.5,343841,0.3,457748,1.75 127,1,2024-09-07 10:08:10:574,1229066,1229066,0,0,576653557067,6000044195,1216605,10685,1776,364,392187,0 127,2,2024-09-07 10:08:10:649,885926,885922,4,0,35892390,0,5305 127,3,2024-09-07 10:08:11:277,1,699,21,0,968,6997,699,0 128,0,2024-09-07 10:08:11:543,177318,0.3,177117,0.4,354470,0.2,470703,1.50 128,1,2024-09-07 10:08:11:605,1228390,1228390,0,0,577120143954,5999294999,1219397,8021,972,367,392423,0 128,2,2024-09-07 10:08:11:387,883820,883820,0,0,33406826,0,3171 128,3,2024-09-07 10:08:10:781,1,699,29,0,1082,10387,699,0 129,0,2024-09-07 10:08:11:017,179019,0.3,178164,0.5,357490,0.3,474977,1.50 129,1,2024-09-07 10:08:10:571,1225024,1225024,0,0,574591646665,6026487095,1212073,10621,2330,379,391962,0 129,2,2024-09-07 10:08:10:690,884668,884664,4,0,36174465,0,5335 129,3,2024-09-07 10:08:10:691,1,699,3,0,506,10004,699,0 130,0,2024-09-07 10:08:11:725,176843,0.4,176631,0.5,353671,0.4,471114,1.75 130,1,2024-09-07 10:08:10:595,1229775,1229775,0,0,577466905260,5995230485,1223923,5445,407,381,391836,0 130,2,2024-09-07 10:08:11:128,886843,886843,0,0,35984282,0,4067 130,3,2024-09-07 10:08:11:295,1,699,13,0,960,8925,699,0 131,0,2024-09-07 10:08:11:972,171681,0.3,172130,0.5,345199,0.3,458663,1.50 131,1,2024-09-07 10:08:11:820,1228959,1228959,0,0,576667312208,6008047168,1220804,6943,1212,381,391865,0 131,2,2024-09-07 10:08:10:572,886943,886943,0,0,34237215,0,3979 131,3,2024-09-07 10:08:11:703,1,699,1,0,392,8687,699,0 132,0,2024-09-07 10:08:11:419,177489,0.5,178443,0.6,355154,0.4,473454,2.00 132,1,2024-09-07 10:08:10:599,1224684,1224684,0,0,574581572898,6043818741,1208176,13726,2782,381,392532,0 132,2,2024-09-07 10:08:10:698,880530,880513,17,0,42874673,0,6451 132,3,2024-09-07 10:08:11:688,1,699,10,0,1298,11882,699,0 133,0,2024-09-07 10:08:11:518,172255,0.5,176384,0.6,361538,0.4,470662,2.00 133,1,2024-09-07 10:08:10:590,1224134,1224134,0,0,574467127179,6048076493,1208675,13523,1936,383,391914,0 133,2,2024-09-07 10:08:11:093,883431,883381,50,0,43974556,0,6861 133,3,2024-09-07 10:08:11:297,1,699,1,0,528,8392,699,0 134,0,2024-09-07 10:08:10:939,177285,0.5,177225,0.7,354193,0.5,471797,2.00 134,1,2024-09-07 10:08:10:594,1225716,1225716,0,0,574426027755,6025551884,1209629,12795,3292,366,391781,0 134,2,2024-09-07 10:08:11:756,884441,884302,139,0,41770506,0,7591 134,3,2024-09-07 10:08:10:750,1,699,2,0,739,8514,699,0 135,0,2024-09-07 10:08:11:152,166807,0.7,166808,0.8,354058,0.7,456244,2.25 135,1,2024-09-07 10:08:11:615,1225241,1225241,0,0,575851995177,6050474648,1210815,12573,1853,380,392038,0 135,2,2024-09-07 10:08:10:694,887770,887770,0,0,42261972,0,4503 135,3,2024-09-07 10:08:11:006,1,699,1,0,900,6679,699,0 136,0,2024-09-07 10:08:11:612,175449,0.6,175918,0.8,350117,0.6,467470,2.00 136,1,2024-09-07 10:08:11:451,1226671,1226671,0,0,575530555438,6027761517,1213836,11409,1426,381,392135,0 136,2,2024-09-07 10:08:11:143,883477,883462,15,0,41049156,0,6007 136,3,2024-09-07 10:08:11:106,1,699,11,0,637,8157,699,0 137,0,2024-09-07 10:08:10:919,180824,0.6,176287,0.8,345120,0.7,468882,2.00 137,1,2024-09-07 10:08:10:579,1225523,1225523,0,0,575212872655,6029131969,1207041,14839,3643,366,391898,0 137,2,2024-09-07 10:08:11:708,881649,881649,0,0,42206816,0,3185 137,3,2024-09-07 10:08:10:782,1,699,2,0,484,9838,699,0 138,0,2024-09-07 10:08:11:755,175515,0.9,175800,0.9,352077,1.1,468122,2.25 138,1,2024-09-07 10:08:11:690,1225180,1225180,0,0,575570216115,6037864463,1208042,14447,2691,368,391954,0 138,2,2024-09-07 10:08:10:590,882713,882713,0,0,40677806,0,4988 138,3,2024-09-07 10:08:10:615,1,699,6,0,1200,10373,699,0 139,0,2024-09-07 10:08:11:360,172484,0.9,173147,0.9,346069,1.1,461527,2.25 139,1,2024-09-07 10:08:10:572,1221521,1221521,0,0,572428512321,6061675921,1201615,15992,3914,380,392109,0 139,2,2024-09-07 10:08:10:693,881440,881410,30,0,46222951,0,5997 139,3,2024-09-07 10:08:11:665,1,699,5,0,432,8242,699,0 140,0,2024-09-07 10:08:11:587,173298,0.3,172489,0.5,345848,0.2,461747,1.75 140,1,2024-09-07 10:08:11:536,1232832,1232832,0,0,578924402094,5973967679,1226025,6003,804,364,391628,0 140,2,2024-09-07 10:08:10:692,884308,884307,1,0,34642352,0,5036 140,3,2024-09-07 10:08:10:778,1,699,0,0,575,6994,699,0 141,0,2024-09-07 10:08:11:700,176599,0.3,181301,0.5,346318,0.3,468409,1.75 141,1,2024-09-07 10:08:10:859,1230100,1230100,0,0,577870463013,6006248188,1219754,8953,1393,379,391614,0 141,2,2024-09-07 10:08:11:690,883014,883003,11,0,37212069,0,5369 141,3,2024-09-07 10:08:11:050,1,699,0,0,391,8126,699,0 142,0,2024-09-07 10:08:11:307,178331,0.3,177502,0.5,355394,0.3,473611,1.50 142,1,2024-09-07 10:08:10:584,1227971,1227971,0,0,576562473713,6015816530,1218912,8165,894,382,392102,0 142,2,2024-09-07 10:08:11:299,882395,882363,32,0,36830820,0,6028 142,3,2024-09-07 10:08:11:752,1,699,1,0,484,7055,699,0 143,0,2024-09-07 10:08:11:376,175857,0.4,175673,0.5,352728,0.4,468635,1.75 143,1,2024-09-07 10:08:10:564,1231028,1231028,0,0,577390155903,5992509949,1222623,7434,971,367,391900,0 143,2,2024-09-07 10:08:10:769,888362,888362,0,0,36462989,0,3123 143,3,2024-09-07 10:08:11:141,1,699,3,0,462,8112,699,0 144,0,2024-09-07 10:08:11:500,166546,0.5,171295,0.7,348777,0.5,456846,2.00 144,1,2024-09-07 10:08:10:567,1225032,1225032,0,0,575455077227,6035770317,1213281,9706,2045,381,391733,0 144,2,2024-09-07 10:08:11:757,887081,887081,0,0,36024068,0,4443 144,3,2024-09-07 10:08:11:738,1,699,2,0,306,7451,699,0 145,0,2024-09-07 10:08:11:369,171573,0.6,171527,0.8,364108,0.6,468258,2.25 145,1,2024-09-07 10:08:10:563,1223874,1223874,0,0,574956188375,6049280904,1207668,13238,2968,382,391781,0 145,2,2024-09-07 10:08:11:429,880093,880011,82,0,42076855,0,7814 145,3,2024-09-07 10:08:10:899,1,699,4,0,622,9407,699,0 146,0,2024-09-07 10:08:11:589,176783,0.7,175874,0.8,353680,0.7,470203,2.25 146,1,2024-09-07 10:08:11:586,1225539,1225539,0,0,574756539261,6042785146,1206389,14222,4928,367,391829,0 146,2,2024-09-07 10:08:11:701,880757,880751,6,0,40057617,0,5151 146,3,2024-09-07 10:08:11:274,1,699,3,0,1520,10915,699,0 147,0,2024-09-07 10:08:11:725,176560,0.6,176320,0.7,352577,0.5,470263,2.00 147,1,2024-09-07 10:08:11:402,1229745,1229745,0,0,576765758691,5995181522,1219782,8862,1101,367,391791,0 147,2,2024-09-07 10:08:11:019,885434,885434,0,0,37787302,0,4531 147,3,2024-09-07 10:08:10:921,1,699,2,0,1626,10435,699,0 0,0,2024-09-07 10:08:21:723,170878,0.7,170873,0.8,362720,0.8,469148,2.00 0,1,2024-09-07 10:08:20:805,1228581,1228581,0,0,576770297480,6036314078,1218691,8912,978,368,391896,0 0,2,2024-09-07 10:08:21:067,884781,884781,0,0,35665568,0,4480 0,3,2024-09-07 10:08:20:974,1,700,2,0,538,9953,700,0 1,0,2024-09-07 10:08:21:850,176495,0.8,175575,0.9,352153,0.9,471237,2.00 1,1,2024-09-07 10:08:20:572,1227600,1227600,0,0,575213790435,6025889861,1216194,9434,1972,370,391859,0 1,2,2024-09-07 10:08:20:639,887442,887442,0,0,35258332,0,3380 1,3,2024-09-07 10:08:21:307,1,700,6,0,269,8293,700,0 2,0,2024-09-07 10:08:21:573,173385,0.6,173208,0.7,346070,0.6,461754,2.00 2,1,2024-09-07 10:08:20:862,1231429,1231429,0,0,576795400957,5997073369,1224497,5971,961,379,391805,0 2,2,2024-09-07 10:08:21:265,889059,889059,0,0,34035757,0,3594 2,3,2024-09-07 10:08:20:690,1,700,1,0,357,6133,700,0 3,0,2024-09-07 10:08:21:743,174700,0.4,174552,0.6,348616,0.4,465037,2.00 3,1,2024-09-07 10:08:21:622,1229339,1229339,0,0,576931615076,6000240265,1220744,7877,718,379,391716,0 3,2,2024-09-07 10:08:21:142,885278,885255,23,0,34722572,0,5851 3,3,2024-09-07 10:08:21:751,1,700,1,0,484,5249,700,0 4,0,2024-09-07 10:08:21:809,170268,0.4,174844,0.5,356796,0.4,466181,1.75 4,1,2024-09-07 10:08:20:603,1224073,1224073,0,0,574537022594,6074776580,1204160,15312,4601,369,391992,0 4,2,2024-09-07 10:08:21:031,881609,881609,0,0,42384491,0,4534 4,3,2024-09-07 10:08:21:033,1,700,1,0,448,9372,700,0 5,0,2024-09-07 10:08:21:396,176831,0.4,176589,0.6,353561,0.4,470655,1.75 5,1,2024-09-07 10:08:20:898,1225393,1225393,0,0,575319057265,6067415942,1207696,13458,4239,367,392005,0 5,2,2024-09-07 10:08:21:835,881346,881313,33,0,42733497,0,7631 5,3,2024-09-07 10:08:21:742,1,700,8,0,457,9199,700,0 6,0,2024-09-07 10:08:20:915,175113,0.5,174535,0.6,349453,0.4,464827,2.00 6,1,2024-09-07 10:08:20:746,1228713,1228713,0,0,577010598958,6022382754,1216537,10491,1685,379,391702,0 6,2,2024-09-07 10:08:21:115,888811,888793,18,0,39578315,0,5535 6,3,2024-09-07 10:08:21:274,1,700,1,0,710,7954,700,0 7,0,2024-09-07 10:08:21:542,171386,0.5,172649,0.7,342555,0.5,457262,2.00 7,1,2024-09-07 10:08:20:850,1225908,1225908,0,0,575197139203,6049728906,1208073,13698,4137,382,391747,0 7,2,2024-09-07 10:08:20:769,884823,884654,169,0,40359836,0,7706 7,3,2024-09-07 10:08:20:854,1,700,2,0,552,8179,700,0 8,0,2024-09-07 10:08:21:345,176865,0.4,176461,0.5,353551,0.4,471091,1.75 8,1,2024-09-07 10:08:21:030,1225034,1225034,0,0,575657230584,6050958125,1206168,14820,4046,366,392853,0 8,2,2024-09-07 10:08:20:797,878085,878083,2,0,45376502,0,5112 8,3,2024-09-07 10:08:20:594,1,700,4,0,772,10406,700,0 9,0,2024-09-07 10:08:21:154,177968,0.4,172723,0.5,361549,0.3,474617,1.75 9,1,2024-09-07 10:08:20:565,1224850,1224850,0,0,575609129113,6067798578,1205170,15329,4351,369,392001,0 9,2,2024-09-07 10:08:21:083,882707,882706,1,0,43302674,0,5281 9,3,2024-09-07 10:08:21:752,1,700,6,0,1273,11094,700,0 10,0,2024-09-07 10:08:21:616,176288,0.3,175886,0.5,352684,0.3,469469,1.75 10,1,2024-09-07 10:08:20:597,1226771,1226771,0,0,576115163044,6044867187,1208661,14376,3734,381,391981,0 10,2,2024-09-07 10:08:20:762,887232,887232,0,0,46501445,0,4713 10,3,2024-09-07 10:08:20:873,1,700,1,0,669,7375,700,0 11,0,2024-09-07 10:08:21:006,171691,0.4,166650,0.6,348771,0.3,459206,1.75 11,1,2024-09-07 10:08:20:571,1229014,1229014,0,0,576463926987,6042059102,1212128,12576,4310,383,391766,0 11,2,2024-09-07 10:08:21:123,886687,886687,0,0,40102217,0,4698 11,3,2024-09-07 10:08:21:298,1,700,62,0,843,8498,700,0 12,0,2024-09-07 10:08:20:944,178846,0.4,178460,0.6,356751,0.4,475203,1.75 12,1,2024-09-07 10:08:20:943,1228149,1228149,0,0,576537359596,6014525277,1218286,8832,1031,368,391960,0 12,2,2024-09-07 10:08:21:542,880411,880411,0,0,39910327,0,4390 12,3,2024-09-07 10:08:21:059,1,700,1,0,386,8578,700,0 13,0,2024-09-07 10:08:21:354,177776,0.4,177986,0.6,355311,0.4,473190,1.75 13,1,2024-09-07 10:08:21:541,1225726,1225726,0,0,575329020012,6054853034,1211553,11091,3082,382,391803,0 13,2,2024-09-07 10:08:20:597,885389,885389,0,0,36159142,0,3287 13,3,2024-09-07 10:08:21:762,1,700,36,0,522,9346,700,0 14,0,2024-09-07 10:08:20:562,176689,0.4,177773,0.6,353565,0.3,470376,1.75 14,1,2024-09-07 10:08:21:562,1234292,1234292,0,0,579253928720,5991298172,1225032,8109,1151,364,391673,0 14,2,2024-09-07 10:08:20:763,886628,886598,30,0,37267873,0,6104 14,3,2024-09-07 10:08:21:115,1,700,32,0,1168,7219,700,0 15,0,2024-09-07 10:08:21:563,172434,0.4,171577,0.6,343481,0.3,458204,1.75 15,1,2024-09-07 10:08:21:611,1230057,1230057,0,0,577909041131,6021998603,1219187,8772,2098,380,391619,0 15,2,2024-09-07 10:08:20:998,889958,889958,0,0,33327773,0,3622 15,3,2024-09-07 10:08:21:404,1,700,1,0,1126,8642,700,0 16,0,2024-09-07 10:08:20:960,175392,0.6,176287,0.8,351728,0.6,468726,2.00 16,1,2024-09-07 10:08:20:571,1229766,1229766,0,0,577073652344,6031360082,1219021,9200,1545,370,392194,0 16,2,2024-09-07 10:08:21:440,882424,882424,0,0,36382943,0,4719 16,3,2024-09-07 10:08:21:142,1,700,6,0,362,8605,700,0 17,0,2024-09-07 10:08:21:772,181023,0.7,176403,0.8,345596,0.7,469662,2.00 17,1,2024-09-07 10:08:20:572,1227998,1227998,0,0,575701184186,6033135380,1216872,9325,1801,368,392075,0 17,2,2024-09-07 10:08:21:671,887071,887070,1,0,37639974,0,5050 17,3,2024-09-07 10:08:20:574,1,700,1,0,518,9934,700,0 18,0,2024-09-07 10:08:20:939,174736,0.6,175888,0.8,350097,0.6,467362,2.25 18,1,2024-09-07 10:08:21:648,1233058,1233058,0,0,578039577678,5995365177,1224873,6754,1431,367,391725,0 18,2,2024-09-07 10:08:21:759,888192,888192,0,0,34035951,0,3541 18,3,2024-09-07 10:08:20:896,1,700,1,0,1059,6302,700,0 19,0,2024-09-07 10:08:21:539,173165,0.6,173618,0.8,346215,0.6,459952,2.00 19,1,2024-09-07 10:08:20:566,1232179,1232179,0,0,579076708849,5998836236,1223322,7696,1161,365,391777,0 19,2,2024-09-07 10:08:21:751,891617,891617,0,0,31865742,0,3988 19,3,2024-09-07 10:08:21:134,1,700,0,0,524,5548,700,0 20,0,2024-09-07 10:08:21:404,173144,0.5,173073,0.7,345879,0.5,462228,2.00 20,1,2024-09-07 10:08:20:581,1227455,1227455,0,0,576372902409,6039061195,1215171,10575,1709,369,391922,0 20,2,2024-09-07 10:08:20:947,884342,884342,0,0,40332977,0,4321 20,3,2024-09-07 10:08:20:597,1,700,1,0,468,11571,700,0 21,0,2024-09-07 10:08:21:164,176063,0.5,176154,0.7,352068,0.5,467221,2.00 21,1,2024-09-07 10:08:21:539,1225212,1225212,0,0,574326035815,6059522383,1206446,14772,3994,368,392016,0 21,2,2024-09-07 10:08:21:071,877786,877309,477,0,51616840,0,17074 21,3,2024-09-07 10:08:21:404,1,700,1,0,713,9749,700,0 22,0,2024-09-07 10:08:21:721,177269,0.5,177905,0.7,355424,0.4,471443,2.00 22,1,2024-09-07 10:08:21:033,1226525,1226525,0,0,575901282319,6055880730,1207412,15508,3605,381,391822,0 22,2,2024-09-07 10:08:20:761,883419,883393,26,0,38357366,0,6328 22,3,2024-09-07 10:08:21:067,1,700,1,0,228,5665,700,0 23,0,2024-09-07 10:08:21:373,175669,0.5,175495,0.6,350985,0.4,467697,2.00 23,1,2024-09-07 10:08:21:011,1227793,1227793,0,0,575868263091,6062372861,1205892,14730,7171,365,391690,0 23,2,2024-09-07 10:08:21:095,888578,888578,0,0,36597393,0,3773 23,3,2024-09-07 10:08:21:763,1,700,8,0,855,9792,700,0 24,0,2024-09-07 10:08:20:831,173185,0.4,172185,0.5,346069,0.3,460026,1.75 24,1,2024-09-07 10:08:20:585,1226490,1226490,0,0,576327365238,6030516551,1214878,9735,1877,367,392269,0 24,2,2024-09-07 10:08:21:069,886400,886397,3,0,42883916,0,6294 24,3,2024-09-07 10:08:21:687,1,700,1,0,468,9062,700,0 25,0,2024-09-07 10:08:21:350,182204,0.4,177001,0.6,348548,0.4,474173,1.75 25,1,2024-09-07 10:08:20:560,1225121,1225121,0,0,574809560238,6070896200,1204128,16994,3999,369,391928,0 25,2,2024-09-07 10:08:21:612,880094,880094,0,0,44691667,0,3978 25,3,2024-09-07 10:08:20:999,1,700,42,0,532,7671,700,0 26,0,2024-09-07 10:08:21:723,176562,0.4,172516,0.6,361919,0.4,472309,2.00 26,1,2024-09-07 10:08:21:541,1228816,1228816,0,0,576604614386,6032755641,1213109,12803,2904,380,391758,0 26,2,2024-09-07 10:08:20:860,883386,883386,0,0,45791888,0,4689 26,3,2024-09-07 10:08:21:714,1,700,1,0,796,8695,700,0 27,0,2024-09-07 10:08:21:723,176565,0.4,177346,0.6,352645,0.4,470449,2.25 27,1,2024-09-07 10:08:21:676,1230451,1230451,0,0,578235108878,6023336110,1219162,9666,1623,381,391626,0 27,2,2024-09-07 10:08:20:867,883326,883261,65,0,40656212,0,5699 27,3,2024-09-07 10:08:21:015,1,700,2,0,564,5928,700,0 28,0,2024-09-07 10:08:21:410,172476,0.4,172667,0.6,345299,0.3,460314,1.75 28,1,2024-09-07 10:08:20:798,1230907,1230907,0,0,578139473067,6032092238,1219435,9044,2428,382,391904,0 28,2,2024-09-07 10:08:21:764,887834,887834,0,0,37910028,0,4060 28,3,2024-09-07 10:08:21:784,1,700,1,0,502,7257,700,0 29,0,2024-09-07 10:08:21:375,181387,0.4,176528,0.6,346663,0.4,472519,1.75 29,1,2024-09-07 10:08:21:564,1234049,1234049,0,0,579787089675,5999103715,1226123,6905,1021,367,391809,0 29,2,2024-09-07 10:08:20:865,881994,881994,0,0,35240701,0,4986 29,3,2024-09-07 10:08:20:963,1,700,57,0,590,7896,700,0 30,0,2024-09-07 10:08:21:461,174829,0.6,170120,0.7,356540,0.5,466053,2.00 30,1,2024-09-07 10:08:20:571,1232699,1232699,0,0,578975764368,6011372379,1222885,8646,1168,380,391672,0 30,2,2024-09-07 10:08:21:274,884875,884875,0,0,34196689,0,4192 30,3,2024-09-07 10:08:20:580,1,700,10,0,519,7223,700,0 31,0,2024-09-07 10:08:21:757,176235,0.5,177102,0.7,353258,0.4,471217,2.00 31,1,2024-09-07 10:08:20:570,1236407,1236407,0,0,579960393268,5956997359,1228475,6663,1269,356,391712,0 31,2,2024-09-07 10:08:21:280,886644,886644,0,0,37616063,0,4470 31,3,2024-09-07 10:08:21:709,1,700,1,0,248,6124,700,0 32,0,2024-09-07 10:08:21:485,173536,0.3,174582,0.5,347962,0.2,463227,1.75 32,1,2024-09-07 10:08:20:812,1232376,1232376,0,0,578428745311,6011521645,1224883,6528,965,381,391646,0 32,2,2024-09-07 10:08:20:934,890761,890761,0,0,32947624,0,3922 32,3,2024-09-07 10:08:21:015,1,700,6,0,304,5852,700,0 33,0,2024-09-07 10:08:21:497,175447,0.3,174977,0.4,350004,0.2,466456,1.50 33,1,2024-09-07 10:08:20:581,1233465,1233465,0,0,579425456733,5998859742,1223841,8268,1356,368,391730,0 33,2,2024-09-07 10:08:20:765,884132,884097,35,0,36500002,0,7012 33,3,2024-09-07 10:08:20:896,1,700,1,0,329,6411,700,0 34,0,2024-09-07 10:08:20:935,175644,0.3,180613,0.5,345368,0.2,466148,1.75 34,1,2024-09-07 10:08:21:045,1236457,1236457,0,0,579914529216,5966762681,1232454,3794,209,366,391637,0 34,2,2024-09-07 10:08:20:765,885100,885100,0,0,34028500,0,4562 34,3,2024-09-07 10:08:21:687,1,700,1,0,541,6054,700,0 35,0,2024-09-07 10:08:20:864,176202,0.3,176818,0.5,354466,0.2,471654,1.75 35,1,2024-09-07 10:08:21:067,1232005,1232005,0,0,577947813421,5995807217,1223063,7389,1553,382,391769,0 35,2,2024-09-07 10:08:21:588,885494,885494,0,0,39743693,0,4055 35,3,2024-09-07 10:08:20:907,1,700,1,0,466,6572,700,0 36,0,2024-09-07 10:08:21:522,175548,0.4,175778,0.6,351048,0.4,466798,2.00 36,1,2024-09-07 10:08:20:596,1230373,1230373,0,0,576684854939,6018872149,1215591,12428,2354,366,391759,0 36,2,2024-09-07 10:08:21:751,888285,888285,0,0,39710466,0,3875 36,3,2024-09-07 10:08:20:864,1,700,1,0,556,8828,700,0 37,0,2024-09-07 10:08:21:372,171458,0.5,171437,0.7,343523,0.5,458002,2.25 37,1,2024-09-07 10:08:20:574,1230203,1230196,0,7,577813402890,6031037800,1216008,11073,3115,365,391770,0 37,2,2024-09-07 10:08:21:142,883976,883961,15,0,39815152,0,5815 37,3,2024-09-07 10:08:21:771,1,700,1,0,888,9566,700,0 38,0,2024-09-07 10:08:21:438,175731,0.5,170370,0.7,356191,0.4,466830,2.00 38,1,2024-09-07 10:08:21:608,1230298,1230298,0,0,577174854113,6031250990,1213455,13537,3306,368,391821,0 38,2,2024-09-07 10:08:20:759,883661,883614,47,0,39728302,0,6710 38,3,2024-09-07 10:08:20:997,1,700,1,0,689,7925,700,0 39,0,2024-09-07 10:08:21:759,181424,0.5,177211,0.7,346099,0.5,472137,2.00 39,1,2024-09-07 10:08:20:719,1227927,1227927,0,0,576008100712,6036631717,1208119,15575,4233,365,391865,0 39,2,2024-09-07 10:08:21:420,884120,884120,0,0,38039706,0,3478 39,3,2024-09-07 10:08:20:717,1,700,1,0,525,7685,700,0 40,0,2024-09-07 10:08:21:497,174817,0.8,175463,0.9,350341,0.9,467952,2.75 40,1,2024-09-07 10:08:20:576,1228550,1228550,0,0,576347184501,6039020357,1209727,15240,3583,366,391668,0 40,2,2024-09-07 10:08:21:303,884794,884787,7,0,43872673,0,5347 40,3,2024-09-07 10:08:21:142,1,700,2,0,1028,9857,700,0 41,0,2024-09-07 10:08:21:029,170909,1.1,174991,1.1,333852,1.5,453154,3.00 41,1,2024-09-07 10:08:20:767,1228881,1228881,0,0,577368074904,6036175777,1213169,13187,2525,369,391878,0 41,2,2024-09-07 10:08:20:758,883841,883840,1,0,42890804,0,5408 41,3,2024-09-07 10:08:21:675,1,700,0,0,749,8450,700,0 42,0,2024-09-07 10:08:21:482,176386,1.0,176179,1.0,352744,1.2,468579,2.75 42,1,2024-09-07 10:08:21:438,1226290,1226290,0,0,574918005767,6033338974,1208683,14523,3084,380,391675,0 42,2,2024-09-07 10:08:21:134,878984,878983,1,0,44060524,0,5513 42,3,2024-09-07 10:08:21:010,1,700,1,0,892,7002,700,0 43,0,2024-09-07 10:08:20:932,174445,0.8,169899,1.0,355997,0.9,465729,2.25 43,1,2024-09-07 10:08:20:579,1229713,1229713,0,0,577638828089,6031332426,1213602,13363,2748,365,391696,0 43,2,2024-09-07 10:08:21:736,885082,885082,0,0,40412550,0,4723 43,3,2024-09-07 10:08:21:749,1,700,3,0,571,9325,700,0 44,0,2024-09-07 10:08:20:885,176936,0.4,177011,0.6,353724,0.3,471431,1.75 44,1,2024-09-07 10:08:20:576,1232851,1232851,0,0,578604235262,5982630773,1221522,9178,2151,356,391809,0 44,2,2024-09-07 10:08:21:276,885307,885307,0,0,33921100,0,4344 44,3,2024-09-07 10:08:21:094,1,700,1,0,1097,8924,700,0 45,0,2024-09-07 10:08:21:801,170615,0.4,166551,0.7,349609,0.4,458390,2.00 45,1,2024-09-07 10:08:21:016,1232278,1232278,0,0,577956330732,6007273841,1222727,8330,1221,382,391917,0 45,2,2024-09-07 10:08:21:266,889625,889625,0,0,34052799,0,3596 45,3,2024-09-07 10:08:20:943,1,700,0,0,531,6503,700,0 46,0,2024-09-07 10:08:20:959,174814,0.5,174193,0.7,349739,0.5,465459,2.00 46,1,2024-09-07 10:08:20:578,1234199,1234199,0,0,578766890937,5987330919,1224921,7796,1482,366,391709,0 46,2,2024-09-07 10:08:20:595,884155,884155,0,0,34824276,0,4443 46,3,2024-09-07 10:08:21:133,1,700,0,0,908,7633,700,0 47,0,2024-09-07 10:08:21:100,176224,0.5,175807,0.6,352786,0.4,467808,2.00 47,1,2024-09-07 10:08:20:570,1235445,1235445,0,0,579107101774,5984320032,1227933,6499,1013,364,391666,0 47,2,2024-09-07 10:08:20:910,887088,887088,0,0,35267031,0,4477 47,3,2024-09-07 10:08:21:116,1,700,1,0,600,7584,700,0 48,0,2024-09-07 10:08:21:495,176828,0.3,177386,0.4,353433,0.2,470174,1.50 48,1,2024-09-07 10:08:21:022,1231606,1231606,0,0,577465777377,5999201831,1223932,6662,1012,381,391710,0 48,2,2024-09-07 10:08:20:704,886776,886776,0,0,32294621,0,3619 48,3,2024-09-07 10:08:20:753,1,700,1,0,339,5995,700,0 49,0,2024-09-07 10:08:21:715,178826,0.3,175122,0.5,340645,0.3,464539,1.75 49,1,2024-09-07 10:08:21:020,1231125,1231125,0,0,578195671679,6010610991,1223256,6171,1698,382,391809,0 49,2,2024-09-07 10:08:21:797,890748,890748,0,0,33745968,0,4426 49,3,2024-09-07 10:08:21:419,1,700,1,0,992,8110,700,0 50,0,2024-09-07 10:08:21:510,173542,0.3,172338,0.5,346929,0.2,461838,1.75 50,1,2024-09-07 10:08:21:011,1234418,1234418,0,0,579730215411,5992079256,1226859,6826,733,368,391691,0 50,2,2024-09-07 10:08:21:066,883957,883957,0,0,31663471,0,4490 50,3,2024-09-07 10:08:21:291,1,700,4,0,617,7218,700,0 51,0,2024-09-07 10:08:21:685,180770,0.3,176871,0.5,344427,0.3,469554,1.75 51,1,2024-09-07 10:08:21:684,1235644,1235644,0,0,580586336179,5985387936,1228943,5357,1344,365,391706,0 51,2,2024-09-07 10:08:21:323,884571,884571,0,0,31312075,0,3337 51,3,2024-09-07 10:08:21:030,1,700,1,0,678,5482,700,0 52,0,2024-09-07 10:08:21:417,177510,0.5,177327,0.7,354473,0.4,471135,2.00 52,1,2024-09-07 10:08:20:579,1229280,1229280,0,0,577337820898,6038881591,1212526,14327,2427,368,391805,0 52,2,2024-09-07 10:08:21:755,881070,881032,38,0,42776833,0,6742 52,3,2024-09-07 10:08:20:676,1,700,1,0,1782,7949,700,0 53,0,2024-09-07 10:08:21:743,175352,0.6,170183,0.8,356143,0.6,466256,2.25 53,1,2024-09-07 10:08:20:769,1228704,1228704,0,0,576886463627,6046051422,1209337,14937,4430,367,391968,0 53,2,2024-09-07 10:08:21:298,888233,888095,138,0,39187463,0,7690 53,3,2024-09-07 10:08:20:720,1,700,6,0,308,6517,700,0 54,0,2024-09-07 10:08:21:621,170354,0.5,170953,0.7,340163,0.4,454567,2.25 54,1,2024-09-07 10:08:20:581,1231223,1231223,0,0,578140393482,6008465490,1219424,10124,1675,366,391810,0 54,2,2024-09-07 10:08:20:864,887058,887026,32,0,42476048,0,6397 54,3,2024-09-07 10:08:20:763,1,700,3,0,676,8972,700,0 55,0,2024-09-07 10:08:21:759,171143,0.7,176277,0.8,357930,0.7,466091,2.50 55,1,2024-09-07 10:08:20:764,1231599,1231599,0,0,577722533416,6005101972,1219566,10544,1489,365,391731,0 55,2,2024-09-07 10:08:20:729,881553,881497,56,0,38737909,0,7239 55,3,2024-09-07 10:08:20:673,1,700,1,0,304,6303,700,0 56,0,2024-09-07 10:08:21:560,180503,1.2,170014,1.1,350522,1.6,469507,2.75 56,1,2024-09-07 10:08:20:571,1224116,1224116,0,0,574745676421,6073317588,1204624,15738,3754,381,391867,0 56,2,2024-09-07 10:08:21:310,882216,882094,122,0,41512463,0,7432 56,3,2024-09-07 10:08:21:063,1,700,3,0,705,8307,700,0 57,0,2024-09-07 10:08:20:934,174748,1.3,174711,1.2,348966,1.8,466608,3.00 57,1,2024-09-07 10:08:20:992,1227495,1227495,0,0,576280754716,6042528000,1211777,13012,2706,366,392097,0 57,2,2024-09-07 10:08:21:320,886334,886334,0,0,44426549,0,4804 57,3,2024-09-07 10:08:21:741,1,700,1,0,455,7433,700,0 58,0,2024-09-07 10:08:20:553,169887,0.9,165100,1.0,345609,1.0,452423,2.50 58,1,2024-09-07 10:08:20:702,1228518,1228515,0,3,576877486656,6045880562,1210152,13961,4402,367,391726,3 58,2,2024-09-07 10:08:21:070,888301,888301,0,0,40408718,0,3483 58,3,2024-09-07 10:08:21:067,1,700,0,0,1043,7504,700,0 59,0,2024-09-07 10:08:21:741,175323,0.8,174322,1.0,348754,0.9,463054,2.75 59,1,2024-09-07 10:08:20:804,1227976,1227976,0,0,576045372535,6047873063,1208484,15654,3838,369,391653,0 59,2,2024-09-07 10:08:20:596,882066,882066,0,0,38440585,0,3727 59,3,2024-09-07 10:08:21:737,1,700,4,0,1015,8193,700,0 60,0,2024-09-07 10:08:21:708,175674,0.5,175912,0.7,351736,0.5,469598,2.00 60,1,2024-09-07 10:08:20:772,1231692,1231692,0,0,578496484054,6023285875,1220754,9432,1506,370,392031,0 60,2,2024-09-07 10:08:21:144,885184,885184,0,0,36591000,0,3811 60,3,2024-09-07 10:08:21:260,1,700,57,0,409,8011,700,0 61,0,2024-09-07 10:08:21:498,176446,0.6,177194,0.8,352839,0.6,470741,2.00 61,1,2024-09-07 10:08:20:770,1228647,1228647,0,0,577307845837,6042874739,1215972,10823,1852,382,392127,0 61,2,2024-09-07 10:08:21:115,887366,887299,67,0,37579380,0,6411 61,3,2024-09-07 10:08:21:687,1,700,1,0,607,8589,700,0 62,0,2024-09-07 10:08:21:726,174199,0.5,178604,0.7,340871,0.5,463097,2.00 62,1,2024-09-07 10:08:21:114,1236358,1236352,0,6,580498103410,5991472726,1229094,6641,617,365,391975,6 62,2,2024-09-07 10:08:21:644,886623,886622,1,0,37310914,0,5555 62,3,2024-09-07 10:08:21:145,1,700,17,0,482,5460,700,0 63,0,2024-09-07 10:08:21:456,175270,0.4,175017,0.6,350683,0.3,466706,1.75 63,1,2024-09-07 10:08:20:804,1233029,1233023,0,6,578339503992,6006459316,1225107,6965,951,381,391800,6 63,2,2024-09-07 10:08:20:761,882801,882801,0,0,36420456,0,4369 63,3,2024-09-07 10:08:21:735,1,700,1,0,667,8083,700,0 64,0,2024-09-07 10:08:21:551,174694,0.5,174643,0.7,348854,0.5,464617,2.00 64,1,2024-09-07 10:08:20:768,1231249,1231249,0,0,578188048409,6017488704,1221035,8185,2029,370,391794,0 64,2,2024-09-07 10:08:21:142,887796,887777,19,0,35049138,0,6121 64,3,2024-09-07 10:08:21:141,1,700,1,0,651,7901,700,0 65,0,2024-09-07 10:08:21:698,175476,0.6,175722,0.7,350729,0.6,467938,2.00 65,1,2024-09-07 10:08:20:862,1229627,1229627,0,0,576772998938,6025167361,1221380,7349,898,381,391901,0 65,2,2024-09-07 10:08:21:692,884142,884142,0,0,42068112,0,3367 65,3,2024-09-07 10:08:21:691,1,700,1,0,782,8182,700,0 66,0,2024-09-07 10:08:21:767,174811,0.5,174177,0.7,348359,0.4,463347,2.00 66,1,2024-09-07 10:08:21:305,1231626,1231626,0,0,578681648145,6020512757,1224118,6634,874,380,391743,0 66,2,2024-09-07 10:08:21:136,890905,890902,3,0,36870088,0,5455 66,3,2024-09-07 10:08:21:081,1,700,2,0,291,6164,700,0 67,0,2024-09-07 10:08:21:431,172168,0.5,171724,0.7,344056,0.4,458417,2.00 67,1,2024-09-07 10:08:20:766,1231163,1231162,0,1,578147699323,6017405313,1222728,7294,1140,380,391787,1 67,2,2024-09-07 10:08:20:582,888369,888354,15,0,37320765,0,6205 67,3,2024-09-07 10:08:21:762,1,700,10,0,595,7357,700,0 68,0,2024-09-07 10:08:20:633,176695,0.6,176506,0.7,351463,0.6,469751,2.25 68,1,2024-09-07 10:08:20:592,1226736,1226736,0,0,575190388875,6049830771,1210005,12457,4274,381,391953,0 68,2,2024-09-07 10:08:21:045,878825,878725,100,0,45395425,0,8578 68,3,2024-09-07 10:08:20:731,1,700,1,0,417,9798,700,0 69,0,2024-09-07 10:08:21:727,177076,0.7,177645,0.8,354440,0.7,471993,2.25 69,1,2024-09-07 10:08:21:015,1225950,1225950,0,0,574955102052,6054741180,1211023,11942,2985,383,391994,0 69,2,2024-09-07 10:08:21:969,880769,880740,29,0,48533279,0,6912 69,3,2024-09-07 10:08:20:760,1,700,1,0,698,10400,700,0 70,0,2024-09-07 10:08:21:578,174555,0.7,175335,0.9,351450,0.6,466535,2.50 70,1,2024-09-07 10:08:20:805,1232681,1232681,0,0,579395004746,6011845722,1223212,8424,1045,366,391725,0 70,2,2024-09-07 10:08:21:327,887712,887712,0,0,39838548,0,4323 70,3,2024-09-07 10:08:20:745,1,700,9,0,854,7727,700,0 71,0,2024-09-07 10:08:21:371,170919,0.8,170512,0.9,341809,0.9,455826,2.50 71,1,2024-09-07 10:08:21:597,1230384,1230384,0,0,577194833522,6020071346,1215947,12651,1786,367,391738,0 71,2,2024-09-07 10:08:21:067,885811,885811,0,0,40310293,0,4352 71,3,2024-09-07 10:08:21:750,1,700,20,0,644,7654,700,0 72,0,2024-09-07 10:08:21:035,183301,0.5,178890,0.7,349695,0.5,476030,2.00 72,1,2024-09-07 10:08:21:028,1228786,1228786,0,0,576277009934,6039810190,1211921,14131,2734,369,391819,0 72,2,2024-09-07 10:08:21:761,880087,880087,0,0,42021833,0,3983 72,3,2024-09-07 10:08:21:758,1,700,1,0,564,9574,700,0 73,0,2024-09-07 10:08:21:117,172347,0.5,176868,0.6,361480,0.4,470804,2.00 73,1,2024-09-07 10:08:20:770,1230359,1230359,0,0,577563940844,6002575197,1220825,8467,1067,367,391858,0 73,2,2024-09-07 10:08:21:742,883085,883084,1,0,44046444,0,5027 73,3,2024-09-07 10:08:20:980,1,700,2,0,1091,9371,700,0 74,0,2024-09-07 10:08:21:337,177848,0.5,182142,0.7,347383,0.4,471812,2.00 74,1,2024-09-07 10:08:20:637,1229467,1229467,0,0,576997833728,6018538858,1217106,10213,2148,381,391762,0 74,2,2024-09-07 10:08:21:011,885913,885913,0,0,38364864,0,4253 74,3,2024-09-07 10:08:21:443,1,700,6,0,522,7926,700,0 75,0,2024-09-07 10:08:21:777,172469,0.5,171487,0.7,344230,0.4,459664,2.00 75,1,2024-09-07 10:08:21:584,1230527,1230527,0,0,577728900112,6018026884,1220642,8891,994,380,391739,0 75,2,2024-09-07 10:08:21:362,887094,887094,0,0,45452700,0,4766 75,3,2024-09-07 10:08:21:070,1,700,1,0,918,9536,700,0 76,0,2024-09-07 10:08:20:611,175262,0.6,174657,0.7,349065,0.5,467137,2.25 76,1,2024-09-07 10:08:20:807,1229764,1229764,0,0,576279037631,6011734836,1220866,7588,1310,382,391790,0 76,2,2024-09-07 10:08:21:065,885473,885470,3,0,38241266,0,5265 76,3,2024-09-07 10:08:21:154,1,700,105,0,249,6534,700,0 77,0,2024-09-07 10:08:21:701,175086,0.6,175402,0.7,351068,0.6,466748,2.00 77,1,2024-09-07 10:08:20:834,1228958,1228958,0,0,576969474677,6024355955,1218684,9186,1088,381,391869,0 77,2,2024-09-07 10:08:21:281,883957,883957,0,0,36900184,0,3890 77,3,2024-09-07 10:08:21:096,1,700,6,0,401,7872,700,0 78,0,2024-09-07 10:08:21:721,177155,0.4,176307,0.6,354098,0.4,468310,2.00 78,1,2024-09-07 10:08:20:619,1229235,1229235,0,0,577270056495,6023529196,1213697,12206,3332,367,391670,0 78,2,2024-09-07 10:08:21:405,887153,887140,13,0,34565751,0,8313 78,3,2024-09-07 10:08:21:136,1,700,6,0,311,5976,700,0 79,0,2024-09-07 10:08:21:379,168066,0.4,172157,0.6,352624,0.3,458876,2.00 79,1,2024-09-07 10:08:20:571,1233815,1233815,0,0,579314010642,5999536317,1223999,8014,1802,367,391682,0 79,2,2024-09-07 10:08:21:069,890023,890023,0,0,33614223,0,4195 79,3,2024-09-07 10:08:20:749,1,700,1,0,418,8044,700,0 80,0,2024-09-07 10:08:21:128,173409,0.5,178006,0.7,340068,0.5,460886,2.00 80,1,2024-09-07 10:08:21:632,1230062,1230062,0,0,578158364692,6018592440,1222073,7406,583,368,392269,0 80,2,2024-09-07 10:08:21:095,887134,887134,0,0,34129483,0,4433 80,3,2024-09-07 10:08:20:579,1,700,10,0,681,8410,700,0 81,0,2024-09-07 10:08:21:560,176161,0.6,180225,0.7,343766,0.6,466184,2.00 81,1,2024-09-07 10:08:21:654,1229273,1229273,0,0,576304668097,6022906712,1219401,8877,995,382,392001,0 81,2,2024-09-07 10:08:21:125,883841,883778,63,0,37985984,0,5932 81,3,2024-09-07 10:08:21:117,1,700,1,0,719,7634,700,0 82,0,2024-09-07 10:08:21:546,177386,0.5,177420,0.7,355546,0.5,471648,2.00 82,1,2024-09-07 10:08:20:582,1231091,1231087,0,4,577173815715,6004120272,1224150,5813,1124,381,391768,4 82,2,2024-09-07 10:08:21:690,887654,887654,0,0,31592821,0,4484 82,3,2024-09-07 10:08:21:752,1,700,1,0,363,6283,700,0 83,0,2024-09-07 10:08:21:542,176078,0.5,175916,0.7,351454,0.5,466905,2.00 83,1,2024-09-07 10:08:20:557,1229881,1229881,0,0,577150821203,6015662844,1220889,7964,1028,382,391709,0 83,2,2024-09-07 10:08:20:764,888712,888687,25,0,34488747,0,5612 83,3,2024-09-07 10:08:20:749,1,700,1,0,1260,8159,700,0 84,0,2024-09-07 10:08:21:771,170592,0.7,170727,0.8,341487,0.7,456329,2.25 84,1,2024-09-07 10:08:21:043,1228182,1228182,0,0,576310222230,6026790462,1214480,11617,2085,367,391967,0 84,2,2024-09-07 10:08:20:580,884606,884196,410,0,49806320,0,17037 84,3,2024-09-07 10:08:21:142,1,700,1,0,908,9693,700,0 85,0,2024-09-07 10:08:21:027,170428,0.7,170387,0.8,361806,0.7,466630,2.25 85,1,2024-09-07 10:08:20:559,1224295,1224295,0,0,574666468669,6061650159,1206488,14875,2932,381,392092,0 85,2,2024-09-07 10:08:20:864,881826,881826,0,0,42187982,0,4255 85,3,2024-09-07 10:08:20:685,1,700,1,0,789,8455,700,0 86,0,2024-09-07 10:08:20:943,176393,0.7,181486,0.8,347502,0.8,470213,2.25 86,1,2024-09-07 10:08:20:824,1226987,1226987,0,0,576052243380,6048193734,1209292,14379,3316,366,392169,0 86,2,2024-09-07 10:08:20:855,883137,883136,1,0,44232571,0,5004 86,3,2024-09-07 10:08:20:587,1,700,8,0,441,9643,700,0 87,0,2024-09-07 10:08:21:300,177059,0.7,175807,0.8,352154,0.9,470412,2.25 87,1,2024-09-07 10:08:20:553,1227554,1227554,0,0,575652005614,6027921682,1212613,12777,2164,366,392076,0 87,2,2024-09-07 10:08:21:067,885590,885584,6,0,41677556,0,6323 87,3,2024-09-07 10:08:21:794,1,700,1,0,473,9817,700,0 88,0,2024-09-07 10:08:21:495,172284,0.4,172810,0.6,345538,0.4,460053,1.75 88,1,2024-09-07 10:08:20:574,1226142,1226142,0,0,575837802308,6031795433,1209324,13675,3143,365,392084,0 88,2,2024-09-07 10:08:20:687,887486,887486,0,0,43721279,0,4465 88,3,2024-09-07 10:08:21:266,1,700,8,0,1080,11152,700,0 89,0,2024-09-07 10:08:21:772,181424,0.4,176242,0.6,347023,0.4,471748,1.75 89,1,2024-09-07 10:08:20:553,1226221,1226221,0,0,575820634329,6052488086,1211536,12404,2281,382,391866,0 89,2,2024-09-07 10:08:21:144,882044,882044,0,0,40967190,0,3173 89,3,2024-09-07 10:08:21:791,1,700,0,0,729,12328,700,0 90,0,2024-09-07 10:08:21:626,170695,0.5,175191,0.7,357821,0.5,467101,2.00 90,1,2024-09-07 10:08:20:590,1227831,1227831,0,0,576107911999,6035157019,1215373,11187,1271,380,391825,0 90,2,2024-09-07 10:08:21:406,880192,880187,5,0,44248355,0,6370 90,3,2024-09-07 10:08:20:930,1,700,1,0,364,9182,700,0 91,0,2024-09-07 10:08:20:955,177211,0.5,171899,0.6,359607,0.4,471560,1.75 91,1,2024-09-07 10:08:20:561,1224830,1224830,0,0,576060852950,6072965979,1206116,14566,4148,381,392047,0 91,2,2024-09-07 10:08:21:331,885368,885368,0,0,39658965,0,4713 91,3,2024-09-07 10:08:20:614,1,700,1,0,231,6531,700,0 92,0,2024-09-07 10:08:21:450,175109,0.4,179065,0.6,341423,0.4,463456,1.75 92,1,2024-09-07 10:08:20:579,1228764,1228764,0,0,576973313610,6026284448,1219263,8189,1312,381,392136,0 92,2,2024-09-07 10:08:21:355,888571,888571,0,0,36076479,0,3906 92,3,2024-09-07 10:08:21:011,1,700,3,0,322,6243,700,0 93,0,2024-09-07 10:08:20:962,175782,0.4,180142,0.6,343685,0.3,466394,1.75 93,1,2024-09-07 10:08:20:805,1229419,1229419,0,0,577088543629,6029186556,1215015,11968,2436,365,392048,0 93,2,2024-09-07 10:08:20:932,883057,883057,0,0,41407142,0,4913 93,3,2024-09-07 10:08:21:410,1,700,2,0,788,8635,700,0 94,0,2024-09-07 10:08:21:605,174660,0.4,175807,0.6,352090,0.4,466888,1.75 94,1,2024-09-07 10:08:20:576,1230947,1230947,0,0,577999163805,6026934670,1222665,7632,650,381,391850,0 94,2,2024-09-07 10:08:20:760,884549,884521,28,0,36095082,0,6179 94,3,2024-09-07 10:08:21:687,1,700,2,0,576,8414,700,0 95,0,2024-09-07 10:08:21:347,176845,0.4,176551,0.5,353346,0.3,470896,1.75 95,1,2024-09-07 10:08:20:851,1231442,1231442,0,0,577892719343,6004324093,1222073,8556,813,365,391852,0 95,2,2024-09-07 10:08:21:015,883744,883744,0,0,36242386,0,3308 95,3,2024-09-07 10:08:21:710,1,700,6,0,718,10500,700,0 96,0,2024-09-07 10:08:21:035,175118,0.3,175458,0.5,351060,0.3,466023,1.75 96,1,2024-09-07 10:08:21:589,1228753,1228753,0,0,576644489754,6016046313,1219827,7548,1378,384,392292,0 96,2,2024-09-07 10:08:21:280,890114,890114,0,0,36722183,0,4225 96,3,2024-09-07 10:08:21:150,1,700,8,0,411,7791,700,0 97,0,2024-09-07 10:08:21:327,172107,0.3,171923,0.5,344672,0.3,458452,1.50 97,1,2024-09-07 10:08:20:767,1232010,1232010,0,0,578535154686,6002258597,1224075,6692,1243,367,392140,0 97,2,2024-09-07 10:08:20:614,887065,887065,0,0,36736685,0,4600 97,3,2024-09-07 10:08:20:569,1,700,1,0,433,8479,700,0 98,0,2024-09-07 10:08:21:727,176171,0.3,176300,0.5,354011,0.3,470025,1.50 98,1,2024-09-07 10:08:20:571,1230603,1230603,0,0,577118901407,6010157348,1223159,6545,899,381,391997,0 98,2,2024-09-07 10:08:20:769,882816,882816,0,0,35023034,0,4336 98,3,2024-09-07 10:08:20:698,1,700,2,0,840,9710,700,0 99,0,2024-09-07 10:08:21:462,177940,0.3,178760,0.5,356209,0.3,474747,1.75 99,1,2024-09-07 10:08:21:725,1231476,1231476,0,0,577245069322,6007073461,1223688,6679,1109,380,392069,0 99,2,2024-09-07 10:08:21:417,883651,883651,0,0,44225659,0,4276 99,3,2024-09-07 10:08:20:588,1,700,1,0,1124,8802,700,0 100,0,2024-09-07 10:08:21:472,175938,0.7,176496,0.9,352860,0.8,470470,2.50 100,1,2024-09-07 10:08:20:549,1223981,1223981,0,0,573750801853,6067835989,1204837,15348,3796,378,391989,0 100,2,2024-09-07 10:08:21:818,883266,882877,389,0,46138195,0,16909 100,3,2024-09-07 10:08:21:738,1,700,3,0,627,10779,700,0 101,0,2024-09-07 10:08:21:698,175717,0.9,171468,1.0,335400,0.9,457756,2.25 101,1,2024-09-07 10:08:20:557,1226321,1226321,0,0,575824782429,6050405163,1209726,13643,2952,368,392018,0 101,2,2024-09-07 10:08:21:764,881984,881945,39,0,47763244,0,5913 101,3,2024-09-07 10:08:20:944,1,700,1,0,1250,10713,700,0 102,0,2024-09-07 10:08:20:976,172644,0.6,177763,0.8,361386,0.6,472771,2.25 102,1,2024-09-07 10:08:21:144,1226436,1226436,0,0,575722416363,6044370364,1210607,13572,2257,369,391984,0 102,2,2024-09-07 10:08:21:737,883121,883067,54,0,39496195,0,6768 102,3,2024-09-07 10:08:21:616,1,700,5,0,466,8102,700,0 103,0,2024-09-07 10:08:21:603,182513,0.7,182416,0.8,343830,0.8,473245,2.25 103,1,2024-09-07 10:08:21:628,1225136,1225136,0,0,574882699572,6067242976,1206224,15285,3627,381,392077,0 103,2,2024-09-07 10:08:20:610,881943,881943,0,0,43050368,0,3766 103,3,2024-09-07 10:08:20:757,1,700,3,0,916,8246,700,0 104,0,2024-09-07 10:08:21:016,175473,0.7,175857,0.9,350887,0.7,468998,2.25 104,1,2024-09-07 10:08:21:601,1227144,1227144,0,0,575691736240,6049382457,1208702,14980,3462,365,392168,0 104,2,2024-09-07 10:08:21:684,883744,883744,0,0,42052670,0,4161 104,3,2024-09-07 10:08:21:419,1,700,55,0,1245,11822,700,0 105,0,2024-09-07 10:08:21:056,170487,0.8,166128,1.0,348377,0.8,457533,2.50 105,1,2024-09-07 10:08:20:560,1229214,1229214,0,0,576451858675,6035361267,1214437,12661,2116,364,392009,0 105,2,2024-09-07 10:08:21:324,886761,886761,0,0,43414373,0,4360 105,3,2024-09-07 10:08:21:304,1,700,4,0,573,10387,700,0 106,0,2024-09-07 10:08:20:948,169699,0.9,174100,1.0,355876,1.1,465268,2.50 106,1,2024-09-07 10:08:21:766,1227669,1227669,0,0,575836436459,6039327928,1211233,14285,2151,368,391914,0 106,2,2024-09-07 10:08:20:758,882705,882705,0,0,39873981,0,3331 106,3,2024-09-07 10:08:20:677,1,700,4,0,1224,9694,700,0 107,0,2024-09-07 10:08:21:150,175193,1.3,175136,1.1,350456,1.9,467701,2.25 107,1,2024-09-07 10:08:20:604,1224813,1224813,0,0,574080712283,6065254072,1205709,16848,2256,381,392234,0 107,2,2024-09-07 10:08:21:308,880189,880188,1,0,43647973,0,5024 107,3,2024-09-07 10:08:21:763,1,700,2,0,733,11017,700,0 108,0,2024-09-07 10:08:21:792,176216,0.4,177064,0.6,353150,0.4,470094,1.75 108,1,2024-09-07 10:08:21:293,1228652,1228652,0,0,576750178787,6021612453,1217174,10216,1262,367,391894,0 108,2,2024-09-07 10:08:21:757,885804,885804,0,0,38928786,0,4432 108,3,2024-09-07 10:08:21:330,1,700,2,0,767,12581,700,0 109,0,2024-09-07 10:08:21:804,174675,0.4,173303,0.6,347643,0.3,463454,1.75 109,1,2024-09-07 10:08:20:603,1226764,1226764,0,0,576020169530,6042323441,1216103,9154,1507,382,392132,0 109,2,2024-09-07 10:08:20:927,887006,887006,0,0,38966691,0,3617 109,3,2024-09-07 10:08:21:142,1,700,1,0,630,7979,700,0 110,0,2024-09-07 10:08:21:766,173023,0.4,168211,0.6,352300,0.3,462832,1.75 110,1,2024-09-07 10:08:21:646,1231322,1231322,0,0,578557519460,6006771985,1221853,7384,2085,368,392045,0 110,2,2024-09-07 10:08:21:310,884637,884637,0,0,38039385,0,4067 110,3,2024-09-07 10:08:20:690,1,700,5,0,722,9434,700,0 111,0,2024-09-07 10:08:21:417,176855,0.4,176027,0.5,351622,0.3,469057,1.75 111,1,2024-09-07 10:08:21:003,1232680,1232680,0,0,578661989820,6007638289,1225423,6750,507,380,391690,0 111,2,2024-09-07 10:08:21:124,883852,883852,0,0,37447985,0,4823 111,3,2024-09-07 10:08:20:914,1,700,0,0,379,7842,700,0 112,0,2024-09-07 10:08:20:914,178063,0.3,177737,0.4,356255,0.2,472950,1.50 112,1,2024-09-07 10:08:20:824,1231460,1231460,0,0,577986044291,5998004469,1223834,6399,1227,380,391624,0 112,2,2024-09-07 10:08:21:137,884932,884931,1,0,35310303,0,5036 112,3,2024-09-07 10:08:20:596,1,700,0,0,282,6878,700,0 113,0,2024-09-07 10:08:20:866,175894,0.3,176300,0.5,352858,0.2,469215,1.75 113,1,2024-09-07 10:08:21:684,1235102,1235102,0,0,579493052027,5977038517,1228186,5965,951,365,391664,0 113,2,2024-09-07 10:08:21:310,890983,890983,0,0,32698357,0,3813 113,3,2024-09-07 10:08:20:684,1,700,2,0,510,7012,700,0 114,0,2024-09-07 10:08:20:876,172147,0.3,173354,0.5,345743,0.2,461918,1.75 114,1,2024-09-07 10:08:20:721,1230952,1230952,0,0,578357797796,6003655978,1222122,7026,1804,381,391565,0 114,2,2024-09-07 10:08:20:874,888874,888873,1,0,35114027,0,5069 114,3,2024-09-07 10:08:21:284,1,700,1,0,415,5691,700,0 115,0,2024-09-07 10:08:20:555,178165,0.3,178645,0.4,355954,0.2,473246,1.50 115,1,2024-09-07 10:08:20:571,1232105,1232105,0,0,578400683454,6006885357,1222548,7765,1792,382,391757,0 115,2,2024-09-07 10:08:21:125,884517,884517,0,0,34958111,0,4382 115,3,2024-09-07 10:08:21:003,1,700,1,0,173,4416,700,0 116,0,2024-09-07 10:08:21:713,176437,0.7,176534,0.9,353572,0.8,472913,2.25 116,1,2024-09-07 10:08:20:805,1225217,1225217,0,0,575738223251,6063305754,1211112,10888,3217,380,392089,0 116,2,2024-09-07 10:08:21:788,883941,883941,0,0,42553441,0,4475 116,3,2024-09-07 10:08:20:912,1,700,5,0,448,8928,700,0 117,0,2024-09-07 10:08:20:962,176749,0.6,176468,0.8,353307,0.6,471773,2.00 117,1,2024-09-07 10:08:21:586,1227836,1227836,0,0,575613077238,6028907410,1213961,12106,1769,369,392429,0 117,2,2024-09-07 10:08:21:123,887980,887980,0,0,39121962,0,4303 117,3,2024-09-07 10:08:21:060,1,700,4,0,490,8524,700,0 118,0,2024-09-07 10:08:21:783,167118,0.5,171696,0.7,350301,0.5,458618,2.00 118,1,2024-09-07 10:08:20:587,1226188,1226188,0,0,575582311088,6047286143,1208673,13667,3848,366,392054,0 118,2,2024-09-07 10:08:21:592,887630,887630,0,0,41699069,0,3161 118,3,2024-09-07 10:08:21:764,1,700,1,0,343,8329,700,0 119,0,2024-09-07 10:08:21:351,176259,0.7,176549,0.8,352698,0.7,470208,2.25 119,1,2024-09-07 10:08:20:553,1227261,1227261,0,0,576901099531,6047203200,1211237,13356,2668,367,391857,0 119,2,2024-09-07 10:08:21:280,882839,882839,0,0,38389212,0,4309 119,3,2024-09-07 10:08:21:325,1,700,5,0,1358,12208,700,0 120,0,2024-09-07 10:08:21:561,174922,0.7,174912,0.9,351097,0.7,468567,2.50 120,1,2024-09-07 10:08:20:876,1228176,1228176,0,0,576114865146,6038897373,1215501,11516,1159,367,392144,0 120,2,2024-09-07 10:08:20:783,882902,882899,3,0,46120029,0,5363 120,3,2024-09-07 10:08:21:290,1,700,11,0,478,8939,700,0 121,0,2024-09-07 10:08:21:689,176643,1.1,176101,1.0,352317,1.4,469483,2.25 121,1,2024-09-07 10:08:21:664,1227761,1227761,0,0,575866420417,6027112252,1214232,11532,1997,366,391840,0 121,2,2024-09-07 10:08:21:134,885943,885943,0,0,42006233,0,4157 121,3,2024-09-07 10:08:20:728,1,700,1,0,387,9311,700,0 122,0,2024-09-07 10:08:21:760,172862,0.7,168266,0.8,351932,0.8,462732,2.00 122,1,2024-09-07 10:08:20:859,1226906,1226906,0,0,575721458548,6037196774,1210627,13700,2579,365,392130,0 122,2,2024-09-07 10:08:21:320,888103,888028,75,0,46148339,0,5989 122,3,2024-09-07 10:08:20:608,1,700,31,0,512,10580,700,0 123,0,2024-09-07 10:08:20:977,173645,0.8,169346,0.8,355119,0.9,464393,2.25 123,1,2024-09-07 10:08:20:557,1227923,1227923,0,0,576019700771,6054545915,1208824,16071,3028,369,392039,0 123,2,2024-09-07 10:08:21:021,882369,882368,1,0,39500779,0,5215 123,3,2024-09-07 10:08:21:134,1,700,3,0,478,7910,700,0 124,0,2024-09-07 10:08:20:919,180344,0.4,180369,0.5,339862,0.3,467740,1.75 124,1,2024-09-07 10:08:21:022,1231756,1231756,0,0,577684186972,6006499206,1222216,8278,1262,365,392178,0 124,2,2024-09-07 10:08:21:011,886188,886135,53,0,36724705,0,6487 124,3,2024-09-07 10:08:20:758,1,700,2,0,490,7242,700,0 125,0,2024-09-07 10:08:21:445,176678,0.4,176549,0.6,353930,0.3,471312,1.75 125,1,2024-09-07 10:08:20:855,1228205,1228205,0,0,576776744023,6026516829,1217153,9467,1585,382,392045,0 125,2,2024-09-07 10:08:21:115,888117,888117,0,0,36262520,0,4534 125,3,2024-09-07 10:08:21:127,1,700,7,0,709,7789,700,0 126,0,2024-09-07 10:08:21:479,175496,0.4,180471,0.5,344954,0.3,466782,1.75 126,1,2024-09-07 10:08:20:555,1232013,1232013,0,0,578577498517,5994446076,1224735,6576,702,365,391987,0 126,2,2024-09-07 10:08:20:613,890131,890131,0,0,38030140,0,4539 126,3,2024-09-07 10:08:20:907,1,700,3,0,268,7878,700,0 127,0,2024-09-07 10:08:21:589,172403,0.3,172836,0.5,344531,0.3,458706,1.75 127,1,2024-09-07 10:08:20:570,1230854,1230854,0,0,577420004257,6007867880,1218393,10685,1776,364,392187,0 127,2,2024-09-07 10:08:20:637,886629,886625,4,0,35901056,0,5305 127,3,2024-09-07 10:08:21:271,1,700,1,0,968,6998,700,0 128,0,2024-09-07 10:08:21:536,177401,0.3,177224,0.4,354646,0.2,470937,1.50 128,1,2024-09-07 10:08:21:616,1230259,1230259,0,0,578159640827,6009826243,1221266,8021,972,367,392423,0 128,2,2024-09-07 10:08:21:383,885348,885348,0,0,33420993,0,3171 128,3,2024-09-07 10:08:20:768,1,700,5,0,1082,10392,700,0 129,0,2024-09-07 10:08:20:993,179238,0.3,178395,0.5,357938,0.3,475542,1.50 129,1,2024-09-07 10:08:20:570,1226746,1226746,0,0,575473621275,6035532592,1213794,10622,2330,379,391962,0 129,2,2024-09-07 10:08:20:686,886171,886167,4,0,36192826,0,5335 129,3,2024-09-07 10:08:20:704,1,700,4,0,506,10008,700,0 130,0,2024-09-07 10:08:21:734,177116,0.4,176942,0.5,354374,0.4,471974,1.75 130,1,2024-09-07 10:08:20:584,1231522,1231522,0,0,578545755647,6006161617,1225670,5445,407,381,391836,0 130,2,2024-09-07 10:08:21:125,887858,887858,0,0,35992185,0,4067 130,3,2024-09-07 10:08:21:291,1,700,1,0,960,8926,700,0 131,0,2024-09-07 10:08:21:933,171942,0.3,172409,0.5,345729,0.3,459353,1.50 131,1,2024-09-07 10:08:21:820,1230736,1230736,0,0,577502257479,6016546250,1222581,6943,1212,381,391865,0 131,2,2024-09-07 10:08:20:571,888011,888011,0,0,34245577,0,3979 131,3,2024-09-07 10:08:21:688,1,700,26,0,392,8713,700,0 132,0,2024-09-07 10:08:21:412,178020,0.5,179012,0.6,356287,0.4,474943,2.00 132,1,2024-09-07 10:08:20:579,1226505,1226505,0,0,575365981858,6051870728,1209996,13727,2782,381,392532,0 132,2,2024-09-07 10:08:20:704,882084,882067,17,0,42907577,0,6451 132,3,2024-09-07 10:08:21:694,1,700,2,0,1298,11884,700,0 133,0,2024-09-07 10:08:21:519,172576,0.5,176702,0.6,362190,0.4,471524,2.00 133,1,2024-09-07 10:08:20:592,1225878,1225878,0,0,575117266712,6054776616,1210419,13523,1936,383,391914,0 133,2,2024-09-07 10:08:21:087,884704,884654,50,0,43992838,0,6861 133,3,2024-09-07 10:08:21:298,1,700,37,0,528,8429,700,0 134,0,2024-09-07 10:08:20:970,177438,0.5,177378,0.7,354485,0.5,472222,2.00 134,1,2024-09-07 10:08:20:595,1227508,1227508,0,0,575027727528,6031774539,1211421,12795,3292,366,391781,0 134,2,2024-09-07 10:08:21:764,885485,885346,139,0,41788429,0,7591 134,3,2024-09-07 10:08:20:756,1,700,1,0,739,8515,700,0 135,0,2024-09-07 10:08:21:103,167030,0.7,167052,0.8,354558,0.7,456864,2.25 135,1,2024-09-07 10:08:21:595,1227068,1227068,0,0,576679177698,6058944804,1212642,12573,1853,380,392038,0 135,2,2024-09-07 10:08:20:690,889116,889116,0,0,42287498,0,4503 135,3,2024-09-07 10:08:21:011,1,700,0,0,900,6679,700,0 136,0,2024-09-07 10:08:21:630,175831,0.6,176286,0.8,350848,0.6,468502,2.00 136,1,2024-09-07 10:08:21:445,1228418,1228418,0,0,576268331824,6035369197,1215583,11409,1426,381,392135,0 136,2,2024-09-07 10:08:21:137,884685,884670,15,0,41072492,0,6007 136,3,2024-09-07 10:08:21:106,1,700,2,0,637,8159,700,0 137,0,2024-09-07 10:08:20:918,180981,0.6,176469,0.8,345470,0.7,469350,2.00 137,1,2024-09-07 10:08:20:579,1227317,1227317,0,0,576222502316,6039393542,1208835,14839,3643,366,391898,0 137,2,2024-09-07 10:08:21:727,882890,882890,0,0,42233862,0,3185 137,3,2024-09-07 10:08:20:769,1,700,1,0,484,9839,700,0 138,0,2024-09-07 10:08:21:975,175607,0.9,175887,0.9,352256,1.1,468380,2.25 138,1,2024-09-07 10:08:21:692,1226928,1226928,0,0,576366125463,6046022344,1209790,14447,2691,368,391954,0 138,2,2024-09-07 10:08:20:585,884156,884156,0,0,40740670,0,4988 138,3,2024-09-07 10:08:20:625,1,700,1,0,1200,10374,700,0 139,0,2024-09-07 10:08:21:375,172615,0.9,173274,0.9,346307,1.1,461865,2.25 139,1,2024-09-07 10:08:20:573,1223374,1223374,0,0,573350355899,6071172139,1203468,15992,3914,380,392109,0 139,2,2024-09-07 10:08:20:702,882817,882787,30,0,46268210,0,5997 139,3,2024-09-07 10:08:21:663,1,700,0,0,432,8242,700,0 140,0,2024-09-07 10:08:21:594,173756,0.3,173058,0.5,346870,0.2,463001,1.75 140,1,2024-09-07 10:08:21:541,1234672,1234672,0,0,579645122930,5981365652,1227865,6003,804,364,391628,0 140,2,2024-09-07 10:08:20:686,885018,885017,1,0,34659650,0,5036 140,3,2024-09-07 10:08:20:766,1,700,272,0,575,7266,700,0 141,0,2024-09-07 10:08:21:698,176703,0.3,181428,0.5,346527,0.3,468705,1.75 141,1,2024-09-07 10:08:20:859,1231864,1231864,0,0,578614601743,6013929833,1221518,8953,1393,379,391614,0 141,2,2024-09-07 10:08:21:692,884457,884446,11,0,37242408,0,5369 141,3,2024-09-07 10:08:21:047,1,700,6,0,391,8132,700,0 142,0,2024-09-07 10:08:21:321,178427,0.3,177611,0.5,355591,0.3,473857,1.50 142,1,2024-09-07 10:08:20:584,1229738,1229738,0,0,577262011307,6022991852,1220679,8165,894,382,392102,0 142,2,2024-09-07 10:08:21:300,884032,884000,32,0,36851272,0,6028 142,3,2024-09-07 10:08:21:751,1,700,2,0,484,7057,700,0 143,0,2024-09-07 10:08:21:371,176064,0.4,175847,0.5,353140,0.4,469131,1.75 143,1,2024-09-07 10:08:20:556,1232792,1232792,0,0,578429027090,6003036128,1224386,7435,971,367,391900,0 143,2,2024-09-07 10:08:20:770,889668,889668,0,0,36484271,0,3123 143,3,2024-09-07 10:08:21:142,1,700,1,0,462,8113,700,0 144,0,2024-09-07 10:08:21:514,166982,0.5,171738,0.7,349692,0.5,458053,2.00 144,1,2024-09-07 10:08:20:570,1226795,1226795,0,0,576240674575,6043803149,1215042,9708,2045,381,391733,0 144,2,2024-09-07 10:08:21:765,888028,888028,0,0,36030854,0,4443 144,3,2024-09-07 10:08:21:739,1,700,13,0,306,7464,700,0 145,0,2024-09-07 10:08:21:368,171683,0.6,171649,0.8,364370,0.6,468529,2.25 145,1,2024-09-07 10:08:20:557,1225654,1225654,0,0,575496806519,6054852067,1209448,13238,2968,382,391781,0 145,2,2024-09-07 10:08:21:428,881621,881539,82,0,42097431,0,7814 145,3,2024-09-07 10:08:20:896,1,700,3,0,622,9410,700,0 146,0,2024-09-07 10:08:21:615,177052,0.7,176177,0.8,354284,0.7,470988,2.25 146,1,2024-09-07 10:08:21:587,1227309,1227309,0,0,575777817551,6053164918,1208156,14224,4929,367,391829,0 146,2,2024-09-07 10:08:21:700,881968,881962,6,0,40120874,0,5151 146,3,2024-09-07 10:08:21:281,1,700,1,0,1520,10916,700,0 147,0,2024-09-07 10:08:21:695,176768,0.6,176533,0.7,353050,0.5,470839,2.00 147,1,2024-09-07 10:08:21:378,1231549,1231549,0,0,577701401891,6004681049,1221586,8862,1101,367,391791,0 147,2,2024-09-07 10:08:21:009,886594,886594,0,0,37806445,0,4531 147,3,2024-09-07 10:08:20:920,1,700,12,0,1626,10447,700,0 0,0,2024-09-07 10:08:31:732,171186,0.7,171167,0.8,363378,0.8,469959,2.00 0,1,2024-09-07 10:08:30:806,1230404,1230404,0,0,577656216119,6045335695,1220514,8912,978,368,391896,0 0,2,2024-09-07 10:08:31:074,886177,886177,0,0,35679310,0,4480 0,3,2024-09-07 10:08:30:974,1,701,1,0,538,9954,701,0 1,0,2024-09-07 10:08:31:747,176653,0.8,175742,0.9,352516,0.9,471646,2.00 1,1,2024-09-07 10:08:30:583,1229241,1229241,0,0,575887580169,6032779451,1217835,9434,1972,370,391859,0 1,2,2024-09-07 10:08:30:645,888620,888620,0,0,35269557,0,3380 1,3,2024-09-07 10:08:31:302,1,701,0,0,269,8293,701,0 2,0,2024-09-07 10:08:31:567,173660,0.5,173464,0.7,346651,0.5,462391,2.00 2,1,2024-09-07 10:08:30:859,1233237,1233237,0,0,577743219847,6006705216,1226304,5972,961,379,391805,0 2,2,2024-09-07 10:08:31:266,890347,890347,0,0,34046912,0,3594 2,3,2024-09-07 10:08:30:690,1,701,2,0,357,6135,701,0 3,0,2024-09-07 10:08:31:744,175097,0.4,174948,0.6,349417,0.4,466063,2.00 3,1,2024-09-07 10:08:31:619,1231071,1231071,0,0,577817555369,6009235926,1222476,7877,718,379,391716,0 3,2,2024-09-07 10:08:31:142,886352,886329,23,0,34730068,0,5851 3,3,2024-09-07 10:08:31:752,1,701,3,0,484,5252,701,0 4,0,2024-09-07 10:08:31:787,170389,0.4,174956,0.5,357074,0.4,466628,1.75 4,1,2024-09-07 10:08:30:591,1225954,1225954,0,0,575339492679,6082991880,1206041,15312,4601,369,391992,0 4,2,2024-09-07 10:08:31:026,882795,882795,0,0,42397277,0,4534 4,3,2024-09-07 10:08:31:035,1,701,6,0,448,9378,701,0 5,0,2024-09-07 10:08:31:380,176944,0.4,176702,0.6,353771,0.4,470901,1.75 5,1,2024-09-07 10:08:30:767,1227146,1227146,0,0,576263759996,6077122643,1209445,13462,4239,367,392005,0 5,2,2024-09-07 10:08:31:844,882798,882765,33,0,42753106,0,7631 5,3,2024-09-07 10:08:31:733,1,701,1,0,457,9200,701,0 6,0,2024-09-07 10:08:30:916,175163,0.5,174605,0.6,349577,0.4,465161,2.00 6,1,2024-09-07 10:08:30:749,1230469,1230469,0,0,577791455969,6030342128,1218290,10494,1685,379,391702,0 6,2,2024-09-07 10:08:31:116,890305,890287,18,0,39590178,0,5535 6,3,2024-09-07 10:08:31:274,1,701,1,0,710,7955,701,0 7,0,2024-09-07 10:08:31:563,171848,0.5,173054,0.7,343419,0.5,458595,2.00 7,1,2024-09-07 10:08:30:851,1227673,1227673,0,0,576367582026,6061587679,1209838,13698,4137,382,391747,0 7,2,2024-09-07 10:08:30:772,885476,885307,169,0,40364897,0,7706 7,3,2024-09-07 10:08:30:851,1,701,1,0,552,8180,701,0 8,0,2024-09-07 10:08:31:334,176982,0.4,176576,0.5,353768,0.4,471385,1.75 8,1,2024-09-07 10:08:31:018,1226785,1226785,0,0,576455593261,6059190747,1207918,14821,4046,366,392853,0 8,2,2024-09-07 10:08:30:794,879504,879502,2,0,45429508,0,5112 8,3,2024-09-07 10:08:30:585,1,701,163,0,772,10569,701,0 9,0,2024-09-07 10:08:31:125,178132,0.4,172892,0.5,361924,0.3,474900,1.75 9,1,2024-09-07 10:08:30:554,1226638,1226638,0,0,576250558753,6074508833,1206958,15329,4351,369,392001,0 9,2,2024-09-07 10:08:31:094,884234,884233,1,0,43387330,0,5281 9,3,2024-09-07 10:08:31:752,1,701,4,0,1273,11098,701,0 10,0,2024-09-07 10:08:31:610,176558,0.3,176150,0.5,353259,0.3,469962,1.75 10,1,2024-09-07 10:08:30:585,1228592,1228592,0,0,577050908718,6054423732,1210482,14376,3734,381,391981,0 10,2,2024-09-07 10:08:30:767,888274,888274,0,0,46534556,0,4713 10,3,2024-09-07 10:08:30:874,1,701,0,0,669,7375,701,0 11,0,2024-09-07 10:08:31:007,172011,0.4,166976,0.6,349468,0.3,460360,1.75 11,1,2024-09-07 10:08:30:576,1230716,1230716,0,0,577412863625,6051821753,1213830,12576,4310,383,391766,0 11,2,2024-09-07 10:08:31:123,887738,887738,0,0,40124842,0,4698 11,3,2024-09-07 10:08:31:298,1,701,1,0,843,8499,701,0 12,0,2024-09-07 10:08:30:946,179261,0.4,178882,0.6,357539,0.4,475722,1.75 12,1,2024-09-07 10:08:30:937,1229966,1229966,0,0,577490777566,6024328579,1220103,8832,1031,368,391960,0 12,2,2024-09-07 10:08:31:550,881987,881987,0,0,39948812,0,4390 12,3,2024-09-07 10:08:31:059,1,701,14,0,386,8592,701,0 13,0,2024-09-07 10:08:31:370,178085,0.4,178289,0.6,355909,0.4,473979,1.75 13,1,2024-09-07 10:08:31:554,1227539,1227539,0,0,576263559928,6064422583,1213365,11092,3082,382,391803,0 13,2,2024-09-07 10:08:30:601,886635,886635,0,0,36185653,0,3287 13,3,2024-09-07 10:08:31:767,1,701,11,0,522,9357,701,0 14,0,2024-09-07 10:08:30:560,176873,0.4,177943,0.6,353933,0.3,470949,1.75 14,1,2024-09-07 10:08:31:568,1236092,1236092,0,0,580136076979,6000296813,1226832,8109,1151,364,391673,0 14,2,2024-09-07 10:08:30:773,887797,887767,30,0,37286553,0,6104 14,3,2024-09-07 10:08:31:116,1,701,2,0,1168,7221,701,0 15,0,2024-09-07 10:08:31:578,172767,0.4,171943,0.6,344171,0.3,459472,1.75 15,1,2024-09-07 10:08:31:617,1231888,1231888,0,0,578751380897,6030609517,1221018,8772,2098,380,391619,0 15,2,2024-09-07 10:08:31:001,891328,891328,0,0,33349517,0,3622 15,3,2024-09-07 10:08:31:409,1,701,4,0,1126,8646,701,0 16,0,2024-09-07 10:08:30:930,175742,0.6,176637,0.8,352419,0.6,469479,2.00 16,1,2024-09-07 10:08:30:564,1231593,1231593,0,0,578044566578,6041233728,1220847,9201,1545,370,392194,0 16,2,2024-09-07 10:08:31:438,883726,883726,0,0,36395802,0,4719 16,3,2024-09-07 10:08:31:142,1,701,1,0,362,8606,701,0 17,0,2024-09-07 10:08:31:758,181268,0.7,176659,0.8,346093,0.7,470554,2.00 17,1,2024-09-07 10:08:30:660,1229813,1229813,0,0,576680355983,6043107874,1218686,9326,1801,368,392075,0 17,2,2024-09-07 10:08:31:666,888250,888249,1,0,37651681,0,5050 17,3,2024-09-07 10:08:30:575,1,701,10,0,518,9944,701,0 18,0,2024-09-07 10:08:30:941,174884,0.6,176032,0.8,350368,0.6,467837,2.25 18,1,2024-09-07 10:08:31:638,1234815,1234815,0,0,578791470484,6003007851,1226629,6755,1431,367,391725,0 18,2,2024-09-07 10:08:31:755,889635,889635,0,0,34046160,0,3541 18,3,2024-09-07 10:08:30:905,1,701,0,0,1059,6302,701,0 19,0,2024-09-07 10:08:31:562,173428,0.6,173856,0.8,346667,0.6,460900,2.00 19,1,2024-09-07 10:08:30:566,1233958,1233958,0,0,580096006295,6009135714,1225101,7696,1161,365,391777,0 19,2,2024-09-07 10:08:31:761,893085,893085,0,0,31877396,0,3988 19,3,2024-09-07 10:08:31:139,1,701,3,0,524,5551,701,0 20,0,2024-09-07 10:08:31:347,173621,0.5,173591,0.7,346792,0.5,463393,2.00 20,1,2024-09-07 10:08:30:567,1229185,1229185,0,0,577373925423,6049214651,1216901,10575,1709,369,391922,0 20,2,2024-09-07 10:08:30:928,885173,885173,0,0,40340653,0,4321 20,3,2024-09-07 10:08:30:589,1,701,1,0,468,11572,701,0 21,0,2024-09-07 10:08:31:143,176197,0.5,176273,0.7,352304,0.5,467551,2.00 21,1,2024-09-07 10:08:31:563,1227032,1227032,0,0,575180782186,6068220696,1208266,14772,3994,368,392016,0 21,2,2024-09-07 10:08:31:067,879189,878712,477,0,51634432,0,17074 21,3,2024-09-07 10:08:31:411,1,701,2,0,713,9751,701,0 22,0,2024-09-07 10:08:31:726,177369,0.5,178016,0.7,355655,0.4,471771,2.00 22,1,2024-09-07 10:08:31:028,1228404,1228404,0,0,576728699024,6064324362,1209290,15509,3605,381,391822,0 22,2,2024-09-07 10:08:30:760,884956,884930,26,0,38372212,0,6328 22,3,2024-09-07 10:08:31:066,1,701,1,0,228,5666,701,0 23,0,2024-09-07 10:08:31:368,175762,0.5,175600,0.6,351203,0.4,467703,2.00 23,1,2024-09-07 10:08:31:007,1229522,1229522,0,0,576600097041,6069879664,1207621,14730,7171,365,391690,0 23,2,2024-09-07 10:08:31:092,889971,889971,0,0,36608134,0,3773 23,3,2024-09-07 10:08:31:754,1,701,1,0,855,9793,701,0 24,0,2024-09-07 10:08:30:853,173601,0.4,172585,0.5,346882,0.3,461041,1.75 24,1,2024-09-07 10:08:30:584,1228374,1228374,0,0,577386579678,6041435761,1216762,9735,1877,367,392269,0 24,2,2024-09-07 10:08:31:068,887172,887169,3,0,42903993,0,6294 24,3,2024-09-07 10:08:31:692,1,701,10,0,468,9072,701,0 25,0,2024-09-07 10:08:31:376,182325,0.4,177099,0.6,348774,0.4,474408,1.75 25,1,2024-09-07 10:08:30:559,1226987,1226987,0,0,575491282838,6078169749,1205946,17042,3999,369,391928,0 25,2,2024-09-07 10:08:31:606,881524,881524,0,0,44762719,0,3978 25,3,2024-09-07 10:08:31:000,1,701,1,0,532,7672,701,0 26,0,2024-09-07 10:08:31:719,176818,0.4,172734,0.6,362425,0.4,472896,2.00 26,1,2024-09-07 10:08:31:546,1230593,1230593,0,0,577479712158,6041841738,1214886,12803,2904,380,391758,0 26,2,2024-09-07 10:08:30:860,884737,884737,0,0,45825971,0,4689 26,3,2024-09-07 10:08:31:713,1,701,0,0,796,8695,701,0 27,0,2024-09-07 10:08:31:728,176831,0.4,177625,0.6,353216,0.4,471343,2.25 27,1,2024-09-07 10:08:31:684,1232235,1232235,0,0,579075710599,6031896021,1220946,9666,1623,381,391626,0 27,2,2024-09-07 10:08:30:867,884511,884446,65,0,40710588,0,5699 27,3,2024-09-07 10:08:31:015,1,701,0,0,564,5928,701,0 28,0,2024-09-07 10:08:31:388,172880,0.4,173010,0.6,346051,0.3,461021,1.75 28,1,2024-09-07 10:08:30:808,1232714,1232714,0,0,578886934715,6039769943,1221242,9044,2428,382,391904,0 28,2,2024-09-07 10:08:31:766,888874,888874,0,0,37934019,0,4060 28,3,2024-09-07 10:08:31:779,1,701,1,0,502,7258,701,0 29,0,2024-09-07 10:08:31:357,181792,0.4,176901,0.6,347387,0.4,474075,1.75 29,1,2024-09-07 10:08:31:565,1235848,1235848,0,0,580573329633,6007170658,1227921,6906,1021,367,391809,0 29,2,2024-09-07 10:08:30:862,883465,883465,0,0,35285499,0,4986 29,3,2024-09-07 10:08:30:969,1,701,5,0,590,7901,701,0 30,0,2024-09-07 10:08:31:461,175146,0.6,170441,0.7,357229,0.5,466897,2.00 30,1,2024-09-07 10:08:30:573,1234550,1234550,0,0,579970375812,6021492664,1224736,8646,1168,380,391672,0 30,2,2024-09-07 10:08:31:274,886199,886199,0,0,34213903,0,4192 30,3,2024-09-07 10:08:30:581,1,701,2,0,519,7225,701,0 31,0,2024-09-07 10:08:31:758,176413,0.5,177278,0.7,353581,0.4,471617,2.00 31,1,2024-09-07 10:08:30:565,1238145,1238145,0,0,581030527298,5967795613,1230213,6663,1269,356,391712,0 31,2,2024-09-07 10:08:31:274,887757,887757,0,0,37632539,0,4470 31,3,2024-09-07 10:08:31:712,1,701,0,0,248,6124,701,0 32,0,2024-09-07 10:08:31:422,173833,0.3,174859,0.5,348563,0.2,463859,1.75 32,1,2024-09-07 10:08:30:804,1234202,1234202,0,0,579265622648,6020062698,1226708,6529,965,381,391646,0 32,2,2024-09-07 10:08:30:934,892055,892055,0,0,32958840,0,3922 32,3,2024-09-07 10:08:31:024,1,701,0,0,304,5852,701,0 33,0,2024-09-07 10:08:31:502,175863,0.3,175404,0.4,350869,0.2,467505,1.50 33,1,2024-09-07 10:08:30:594,1235279,1235279,0,0,580159484268,6006315342,1225654,8269,1356,368,391730,0 33,2,2024-09-07 10:08:30:758,885366,885331,35,0,36510459,0,7012 33,3,2024-09-07 10:08:30:895,1,701,1,0,329,6412,701,0 34,0,2024-09-07 10:08:30:928,175783,0.3,180758,0.5,345676,0.2,466593,1.75 34,1,2024-09-07 10:08:31:044,1238255,1238255,0,0,580780157871,5975520796,1234252,3794,209,366,391637,0 34,2,2024-09-07 10:08:30:772,886251,886251,0,0,34039814,0,4562 34,3,2024-09-07 10:08:31:690,1,701,1,0,541,6055,701,0 35,0,2024-09-07 10:08:30:859,176301,0.3,176921,0.5,354704,0.2,471918,1.75 35,1,2024-09-07 10:08:31:067,1233733,1233733,0,0,578697313060,6003439902,1224791,7389,1553,382,391769,0 35,2,2024-09-07 10:08:31:582,886941,886941,0,0,39764961,0,4055 35,3,2024-09-07 10:08:30:907,1,701,4,0,466,6576,701,0 36,0,2024-09-07 10:08:31:522,175635,0.4,175839,0.6,351160,0.4,467118,2.00 36,1,2024-09-07 10:08:30:586,1232133,1232133,0,0,577329323534,6025437264,1217351,12428,2354,366,391759,0 36,2,2024-09-07 10:08:31:758,889784,889784,0,0,39723507,0,3875 36,3,2024-09-07 10:08:30:866,1,701,1,0,556,8829,701,0 37,0,2024-09-07 10:08:31:397,171897,0.5,171871,0.7,344347,0.5,459314,2.25 37,1,2024-09-07 10:08:30:569,1232024,1232017,0,7,578329022582,6036321677,1217829,11073,3115,365,391770,0 37,2,2024-09-07 10:08:31:161,884732,884717,15,0,39820917,0,5815 37,3,2024-09-07 10:08:31:776,1,701,5,0,888,9571,701,0 38,0,2024-09-07 10:08:31:447,175821,0.5,170475,0.7,356394,0.4,467123,2.00 38,1,2024-09-07 10:08:31:606,1232067,1232067,0,0,578109060675,6040708499,1215224,13537,3306,368,391821,0 38,2,2024-09-07 10:08:30:763,885117,885070,47,0,39739915,0,6710 38,3,2024-09-07 10:08:30:998,1,701,1,0,689,7926,701,0 39,0,2024-09-07 10:08:31:766,181606,0.5,177368,0.7,346429,0.5,472390,2.00 39,1,2024-09-07 10:08:30:719,1229702,1229702,0,0,576943061052,6046084818,1209894,15575,4233,365,391865,0 39,2,2024-09-07 10:08:31:417,885710,885710,0,0,38053618,0,3478 39,3,2024-09-07 10:08:30:713,1,701,1,0,525,7686,701,0 40,0,2024-09-07 10:08:31:487,175085,0.8,175708,0.9,350857,0.9,468455,2.75 40,1,2024-09-07 10:08:30:584,1230319,1230319,0,0,576930003896,6045170776,1211496,15240,3583,366,391668,0 40,2,2024-09-07 10:08:31:303,885916,885909,7,0,43895750,0,5347 40,3,2024-09-07 10:08:31:142,1,701,1,0,1028,9858,701,0 41,0,2024-09-07 10:08:31:031,171248,1.1,175316,1.1,334524,1.5,454322,2.75 41,1,2024-09-07 10:08:30:781,1230723,1230723,0,0,578356448411,6046262177,1215011,13187,2525,369,391878,0 41,2,2024-09-07 10:08:30:758,884901,884900,1,0,42917013,0,5408 41,3,2024-09-07 10:08:31:676,1,701,2,0,749,8452,701,0 42,0,2024-09-07 10:08:31:472,176686,1.0,176520,1.0,353426,1.3,468933,2.75 42,1,2024-09-07 10:08:31:438,1227995,1227995,0,0,575747528115,6041798603,1210388,14523,3084,380,391675,0 42,2,2024-09-07 10:08:31:133,880476,880475,1,0,44126620,0,5513 42,3,2024-09-07 10:08:31:009,1,701,1,0,892,7003,701,0 43,0,2024-09-07 10:08:30:917,174762,0.8,170145,1.0,356643,0.9,466543,2.25 43,1,2024-09-07 10:08:30:576,1231533,1231533,0,0,578375030538,6039013168,1215422,13363,2748,365,391696,0 43,2,2024-09-07 10:08:31:735,886420,886420,0,0,40448948,0,4723 43,3,2024-09-07 10:08:31:760,1,701,1,0,571,9326,701,0 44,0,2024-09-07 10:08:30:886,177102,0.4,177189,0.6,354095,0.3,472021,1.75 44,1,2024-09-07 10:08:30:566,1234657,1234657,0,0,579427377055,5991055561,1223328,9178,2151,356,391809,0 44,2,2024-09-07 10:08:31:267,886355,886355,0,0,33942478,0,4344 44,3,2024-09-07 10:08:31:096,1,701,1,0,1097,8925,701,0 45,0,2024-09-07 10:08:31:766,170961,0.4,166904,0.7,350301,0.4,459650,2.00 45,1,2024-09-07 10:08:31:005,1234052,1234052,0,0,578815945096,6016070288,1224501,8330,1221,382,391917,0 45,2,2024-09-07 10:08:31:271,890926,890926,0,0,34074777,0,3596 45,3,2024-09-07 10:08:30:934,1,701,1,0,531,6504,701,0 46,0,2024-09-07 10:08:30:949,175143,0.5,174496,0.7,350433,0.5,466154,2.00 46,1,2024-09-07 10:08:30:578,1236057,1236057,0,0,579770499023,5997510026,1226779,7796,1482,366,391709,0 46,2,2024-09-07 10:08:30:592,885481,885481,0,0,34843625,0,4443 46,3,2024-09-07 10:08:31:131,1,701,0,0,908,7633,701,0 47,0,2024-09-07 10:08:31:104,176512,0.5,176058,0.6,353287,0.4,468750,2.00 47,1,2024-09-07 10:08:30:568,1237189,1237189,0,0,579994906932,5993333988,1229677,6499,1013,364,391666,0 47,2,2024-09-07 10:08:30:908,888332,888332,0,0,35335076,0,4477 47,3,2024-09-07 10:08:31:116,1,701,3,0,600,7587,701,0 48,0,2024-09-07 10:08:31:504,176951,0.3,177542,0.4,353697,0.2,470678,1.50 48,1,2024-09-07 10:08:31:024,1233321,1233321,0,0,578313378015,6007821680,1225647,6662,1012,381,391710,0 48,2,2024-09-07 10:08:30:698,888284,888284,0,0,32309683,0,3619 48,3,2024-09-07 10:08:30:753,1,701,1,0,339,5996,701,0 49,0,2024-09-07 10:08:31:728,179050,0.3,175358,0.5,341088,0.3,465478,1.75 49,1,2024-09-07 10:08:31:021,1232865,1232865,0,0,578918726839,6018015073,1224996,6171,1698,382,391809,0 49,2,2024-09-07 10:08:31:805,892174,892174,0,0,33757347,0,4426 49,3,2024-09-07 10:08:31:417,1,701,1,0,992,8111,701,0 50,0,2024-09-07 10:08:31:516,173989,0.3,172808,0.5,347865,0.2,462996,1.75 50,1,2024-09-07 10:08:31:010,1236187,1236187,0,0,580413749219,5999024964,1228628,6826,733,368,391691,0 50,2,2024-09-07 10:08:31:068,884786,884786,0,0,31668216,0,4490 50,3,2024-09-07 10:08:31:291,1,701,1,0,617,7219,701,0 51,0,2024-09-07 10:08:31:686,180886,0.3,176997,0.5,344649,0.3,469875,1.75 51,1,2024-09-07 10:08:31:689,1237440,1237440,0,0,581526817832,5994895557,1230739,5357,1344,365,391706,0 51,2,2024-09-07 10:08:31:316,885990,885990,0,0,31327748,0,3337 51,3,2024-09-07 10:08:31:027,1,701,2,0,678,5484,701,0 52,0,2024-09-07 10:08:31:418,177625,0.5,177447,0.7,354687,0.4,471473,2.00 52,1,2024-09-07 10:08:30:575,1231096,1231096,0,0,578297254083,6048602981,1214342,14327,2427,368,391805,0 52,2,2024-09-07 10:08:31:756,882510,882472,38,0,42790263,0,6742 52,3,2024-09-07 10:08:30:674,1,701,1,0,1782,7950,701,0 53,0,2024-09-07 10:08:31:782,175454,0.6,170275,0.8,356352,0.6,466258,2.25 53,1,2024-09-07 10:08:30:771,1230505,1230505,0,0,577595862595,6053279317,1211138,14937,4430,367,391968,0 53,2,2024-09-07 10:08:31:312,889530,889392,138,0,39197630,0,7690 53,3,2024-09-07 10:08:30:700,1,701,1,0,308,6518,701,0 54,0,2024-09-07 10:08:31:622,170732,0.5,171342,0.7,340918,0.4,455505,2.25 54,1,2024-09-07 10:08:30:581,1232938,1232938,0,0,578905705292,6016238947,1221139,10124,1675,366,391810,0 54,2,2024-09-07 10:08:30:867,887951,887919,32,0,42483830,0,6397 54,3,2024-09-07 10:08:30:763,1,701,1,0,676,8973,701,0 55,0,2024-09-07 10:08:31:759,171226,0.7,176392,0.8,358145,0.7,466337,2.50 55,1,2024-09-07 10:08:30:800,1233333,1233333,0,0,578501551472,6013004695,1221300,10544,1489,365,391731,0 55,2,2024-09-07 10:08:30:748,883053,882997,56,0,38759542,0,7239 55,3,2024-09-07 10:08:30:675,1,701,3,0,304,6306,701,0 56,0,2024-09-07 10:08:31:555,180785,1.2,170278,1.1,351041,1.5,470155,2.75 56,1,2024-09-07 10:08:30:576,1225784,1225784,0,0,575486832128,6081086236,1206291,15739,3754,381,391867,0 56,2,2024-09-07 10:08:31:307,883686,883564,122,0,41585040,0,7432 56,3,2024-09-07 10:08:31:063,1,701,0,0,705,8307,701,0 57,0,2024-09-07 10:08:30:944,175011,1.3,174982,1.2,349475,1.8,467438,3.00 57,1,2024-09-07 10:08:31:002,1229298,1229298,0,0,577141525162,6051342470,1213580,13012,2706,366,392097,0 57,2,2024-09-07 10:08:31:315,887504,887504,0,0,44464347,0,4804 57,3,2024-09-07 10:08:31:739,1,701,1,0,455,7434,701,0 58,0,2024-09-07 10:08:30:562,170275,0.9,165509,1.0,346383,1.0,453165,2.50 58,1,2024-09-07 10:08:30:575,1230344,1230341,0,3,577534903653,6052758386,1211977,13962,4402,367,391726,3 58,2,2024-09-07 10:08:31:070,889381,889381,0,0,40464332,0,3483 58,3,2024-09-07 10:08:31:069,1,701,0,0,1043,7504,701,0 59,0,2024-09-07 10:08:31:750,175717,0.8,174709,1.0,349491,0.9,464253,2.75 59,1,2024-09-07 10:08:30:806,1229759,1229759,0,0,577022434293,6057968189,1210266,15655,3838,369,391653,0 59,2,2024-09-07 10:08:30:585,883494,883494,0,0,38492851,0,3727 59,3,2024-09-07 10:08:31:736,1,701,1,0,1015,8194,701,0 60,0,2024-09-07 10:08:31:728,176031,0.5,176259,0.7,352358,0.5,470489,2.00 60,1,2024-09-07 10:08:30:778,1233467,1233467,0,0,579228828228,6030795307,1222528,9433,1506,370,392031,0 60,2,2024-09-07 10:08:31:140,886535,886535,0,0,36620042,0,3811 60,3,2024-09-07 10:08:31:258,1,701,1,0,409,8012,701,0 61,0,2024-09-07 10:08:31:504,176614,0.6,177344,0.8,353186,0.6,471148,2.00 61,1,2024-09-07 10:08:30:781,1230461,1230461,0,0,578166326550,6051931159,1217771,10838,1852,382,392127,0 61,2,2024-09-07 10:08:31:123,888485,888418,67,0,37608446,0,6411 61,3,2024-09-07 10:08:31:690,1,701,7,0,607,8596,701,0 62,0,2024-09-07 10:08:31:726,174501,0.5,178902,0.7,341418,0.5,463719,2.00 62,1,2024-09-07 10:08:31:119,1238201,1238195,0,6,581324455526,5999931384,1230937,6641,617,365,391975,6 62,2,2024-09-07 10:08:31:657,888037,888036,1,0,37346929,0,5555 62,3,2024-09-07 10:08:31:143,1,701,10,0,482,5470,701,0 63,0,2024-09-07 10:08:31:465,175700,0.4,175444,0.6,351541,0.3,467722,1.75 63,1,2024-09-07 10:08:30:807,1234841,1234835,0,6,579198537255,6015222953,1226919,6965,951,381,391800,6 63,2,2024-09-07 10:08:30:768,883942,883942,0,0,36452988,0,4369 63,3,2024-09-07 10:08:31:733,1,701,0,0,667,8083,701,0 64,0,2024-09-07 10:08:31:518,174833,0.5,174783,0.7,349140,0.5,465046,2.00 64,1,2024-09-07 10:08:30:754,1233017,1233017,0,0,579031102060,6026091977,1222803,8185,2029,370,391794,0 64,2,2024-09-07 10:08:31:140,889014,888995,19,0,35061636,0,6121 64,3,2024-09-07 10:08:31:143,1,701,1,0,651,7902,701,0 65,0,2024-09-07 10:08:31:674,175597,0.6,175849,0.7,350938,0.6,468177,2.00 65,1,2024-09-07 10:08:30:876,1231288,1231288,0,0,577571270644,6033385704,1223040,7350,898,381,391901,0 65,2,2024-09-07 10:08:31:693,885594,885594,0,0,42084601,0,3367 65,3,2024-09-07 10:08:31:693,1,701,0,0,782,8182,701,0 66,0,2024-09-07 10:08:31:762,174868,0.5,174236,0.7,348470,0.4,463675,2.00 66,1,2024-09-07 10:08:31:294,1233441,1233441,0,0,579301405656,6026860864,1225933,6634,874,380,391743,0 66,2,2024-09-07 10:08:31:137,892426,892423,3,0,36882814,0,5455 66,3,2024-09-07 10:08:31:079,1,701,12,0,291,6176,701,0 67,0,2024-09-07 10:08:31:445,172605,0.5,172169,0.7,344963,0.4,459743,2.00 67,1,2024-09-07 10:08:30:773,1232997,1232996,0,1,578905029626,6025147768,1224562,7294,1140,380,391787,1 67,2,2024-09-07 10:08:30:583,889064,889049,15,0,37326933,0,6205 67,3,2024-09-07 10:08:31:770,1,701,1,0,595,7358,701,0 68,0,2024-09-07 10:08:30:568,176794,0.6,176599,0.7,351666,0.6,470038,2.25 68,1,2024-09-07 10:08:30:570,1228425,1228425,0,0,576058144553,6058702152,1211694,12457,4274,381,391953,0 68,2,2024-09-07 10:08:31:045,880343,880243,100,0,45419826,0,8578 68,3,2024-09-07 10:08:30:747,1,701,8,0,417,9806,701,0 69,0,2024-09-07 10:08:31:745,177260,0.7,177839,0.8,354777,0.7,472252,2.25 69,1,2024-09-07 10:08:31:029,1227760,1227760,0,0,575878807504,6064203233,1212832,11943,2985,383,391994,0 69,2,2024-09-07 10:08:31:733,882199,882170,29,0,48553604,0,6912 69,3,2024-09-07 10:08:30:774,1,701,4,0,698,10404,701,0 70,0,2024-09-07 10:08:31:543,174829,0.7,175602,0.9,352002,0.6,467039,2.50 70,1,2024-09-07 10:08:30:806,1234511,1234511,0,0,580180052858,6019844959,1225042,8424,1045,366,391725,0 70,2,2024-09-07 10:08:31:324,888859,888859,0,0,39850482,0,4323 70,3,2024-09-07 10:08:30:748,1,701,5,0,854,7732,701,0 71,0,2024-09-07 10:08:31:359,171246,0.8,170870,0.9,342473,0.9,456992,2.50 71,1,2024-09-07 10:08:31:602,1232091,1232091,0,0,578163976756,6029909008,1217654,12651,1786,367,391738,0 71,2,2024-09-07 10:08:31:066,886811,886811,0,0,40318068,0,4352 71,3,2024-09-07 10:08:31:758,1,701,1,0,644,7655,701,0 72,0,2024-09-07 10:08:31:029,183698,0.5,179293,0.7,350487,0.5,476397,2.00 72,1,2024-09-07 10:08:31:023,1230593,1230593,0,0,576942747440,6046897573,1213728,14131,2734,369,391819,0 72,2,2024-09-07 10:08:31:755,881662,881662,0,0,42074837,0,3983 72,3,2024-09-07 10:08:31:754,1,701,12,0,564,9586,701,0 73,0,2024-09-07 10:08:31:126,172648,0.5,177178,0.6,362092,0.4,471581,2.00 73,1,2024-09-07 10:08:30:770,1232138,1232138,0,0,578208482420,6009189969,1222604,8467,1067,367,391858,0 73,2,2024-09-07 10:08:31:740,884383,884382,1,0,44089212,0,5027 73,3,2024-09-07 10:08:30:971,1,701,4,0,1091,9375,701,0 74,0,2024-09-07 10:08:31:321,178027,0.5,182325,0.7,347703,0.4,472390,2.00 74,1,2024-09-07 10:08:30:638,1231221,1231221,0,0,577654726968,6025311168,1218860,10213,2148,381,391762,0 74,2,2024-09-07 10:08:31:002,887046,887046,0,0,38387643,0,4253 74,3,2024-09-07 10:08:31:442,1,701,1,0,522,7927,701,0 75,0,2024-09-07 10:08:31:784,172806,0.5,171818,0.7,344970,0.4,460808,2.00 75,1,2024-09-07 10:08:31:590,1232349,1232349,0,0,578433362047,6025399949,1222463,8892,994,380,391739,0 75,2,2024-09-07 10:08:31:349,888476,888476,0,0,45500854,0,4766 75,3,2024-09-07 10:08:31:069,1,701,21,0,918,9557,701,0 76,0,2024-09-07 10:08:30:641,175602,0.6,175023,0.7,349734,0.5,467853,2.25 76,1,2024-09-07 10:08:30:807,1231521,1231521,0,0,577211559387,6021262601,1222623,7588,1310,382,391790,0 76,2,2024-09-07 10:08:31:061,886669,886666,3,0,38278625,0,5265 76,3,2024-09-07 10:08:31:142,1,701,0,0,249,6534,701,0 77,0,2024-09-07 10:08:31:691,175325,0.6,175655,0.7,351590,0.6,467624,2.00 77,1,2024-09-07 10:08:30:835,1230738,1230738,0,0,577695368568,6031834812,1220464,9186,1088,381,391869,0 77,2,2024-09-07 10:08:31:282,885155,885155,0,0,36933346,0,3890 77,3,2024-09-07 10:08:31:119,1,701,0,0,401,7872,701,0 78,0,2024-09-07 10:08:31:723,177281,0.4,176433,0.6,354374,0.4,468774,2.00 78,1,2024-09-07 10:08:30:610,1230960,1230960,0,0,578003271383,6031019562,1215422,12206,3332,367,391670,0 78,2,2024-09-07 10:08:31:415,888584,888571,13,0,34586499,0,8313 78,3,2024-09-07 10:08:31:147,1,701,2,0,311,5978,701,0 79,0,2024-09-07 10:08:31:346,168261,0.4,172382,0.6,353058,0.3,459802,2.00 79,1,2024-09-07 10:08:30:576,1235605,1235605,0,0,580115338149,6007711244,1225788,8015,1802,367,391682,0 79,2,2024-09-07 10:08:31:066,891497,891497,0,0,33634923,0,4195 79,3,2024-09-07 10:08:30:749,1,701,6,0,418,8050,701,0 80,0,2024-09-07 10:08:31:147,173852,0.5,178486,0.7,341036,0.5,462066,2.00 80,1,2024-09-07 10:08:31:619,1231815,1231815,0,0,578655461067,6023735029,1223825,7407,583,368,392269,0 80,2,2024-09-07 10:08:31:158,887985,887985,0,0,34138027,0,4433 80,3,2024-09-07 10:08:30:587,1,701,7,0,681,8417,701,0 81,0,2024-09-07 10:08:31:609,176257,0.6,180340,0.7,343996,0.6,466510,2.00 81,1,2024-09-07 10:08:31:659,1231073,1231073,0,0,576964825099,6029698626,1221201,8877,995,382,392001,0 81,2,2024-09-07 10:08:31:125,885220,885157,63,0,37998541,0,5932 81,3,2024-09-07 10:08:31:131,1,701,10,0,719,7644,701,0 82,0,2024-09-07 10:08:31:568,177509,0.5,177532,0.7,355759,0.5,472000,2.00 82,1,2024-09-07 10:08:30:583,1232828,1232824,0,4,577791813456,6010449457,1225887,5813,1124,381,391768,4 82,2,2024-09-07 10:08:31:692,889172,889172,0,0,31605431,0,4484 82,3,2024-09-07 10:08:31:752,1,701,0,0,363,6283,701,0 83,0,2024-09-07 10:08:31:522,176179,0.5,176017,0.7,351677,0.5,466905,2.00 83,1,2024-09-07 10:08:30:555,1231728,1231728,0,0,578171664887,6026044459,1222736,7964,1028,382,391709,0 83,2,2024-09-07 10:08:30:768,890108,890083,25,0,34506465,0,5612 83,3,2024-09-07 10:08:30:751,1,701,4,0,1260,8163,701,0 84,0,2024-09-07 10:08:31:849,171030,0.7,171132,0.8,342295,0.7,457279,2.25 84,1,2024-09-07 10:08:31:043,1230006,1230006,0,0,577024055896,6034093379,1216304,11617,2085,367,391967,0 84,2,2024-09-07 10:08:30:574,885377,884967,410,0,49814621,0,17037 84,3,2024-09-07 10:08:31:148,1,701,0,0,908,9693,701,0 85,0,2024-09-07 10:08:31:004,170517,0.7,170502,0.8,362013,0.7,466873,2.25 85,1,2024-09-07 10:08:30:579,1226095,1226095,0,0,575394751108,6069102303,1208288,14875,2932,381,392092,0 85,2,2024-09-07 10:08:30:868,883194,883194,0,0,42217570,0,4255 85,3,2024-09-07 10:08:30:689,1,701,2,0,789,8457,701,0 86,0,2024-09-07 10:08:30:878,176665,0.7,181746,0.8,348040,0.8,470860,2.25 86,1,2024-09-07 10:08:30:824,1228693,1228693,0,0,576761118245,6055407583,1210998,14379,3316,366,392169,0 86,2,2024-09-07 10:08:30:857,884602,884601,1,0,44247874,0,5004 86,3,2024-09-07 10:08:30:586,1,701,1,0,441,9644,701,0 87,0,2024-09-07 10:08:31:285,177319,0.7,176050,0.8,352660,0.9,471289,2.25 87,1,2024-09-07 10:08:30:550,1229312,1229312,0,0,576336115974,6034880307,1214371,12777,2164,366,392076,0 87,2,2024-09-07 10:08:31:066,886747,886741,6,0,41688320,0,6323 87,3,2024-09-07 10:08:31:803,1,701,10,0,473,9827,701,0 88,0,2024-09-07 10:08:31:439,172699,0.4,173147,0.6,346290,0.4,461187,1.75 88,1,2024-09-07 10:08:30:569,1227838,1227838,0,0,576526015064,6038915592,1211020,13675,3143,365,392084,0 88,2,2024-09-07 10:08:30:697,888610,888610,0,0,43762587,0,4465 88,3,2024-09-07 10:08:31:267,1,701,1,0,1080,11153,701,0 89,0,2024-09-07 10:08:31:792,181821,0.4,176677,0.6,347779,0.4,473187,1.75 89,1,2024-09-07 10:08:30:552,1228048,1228048,0,0,576650493275,6061071858,1213361,12406,2281,382,391866,0 89,2,2024-09-07 10:08:31:134,883454,883454,0,0,41011540,0,3173 89,3,2024-09-07 10:08:31:798,1,701,23,0,729,12351,701,0 90,0,2024-09-07 10:08:31:620,171009,0.5,175530,0.7,358475,0.5,468242,2.00 90,1,2024-09-07 10:08:30:589,1229614,1229614,0,0,576845205746,6042823749,1217154,11189,1271,380,391825,0 90,2,2024-09-07 10:08:31:414,881432,881427,5,0,44288962,0,6370 90,3,2024-09-07 10:08:30:930,1,701,1,0,364,9183,701,0 91,0,2024-09-07 10:08:30:923,177362,0.5,172068,0.6,359934,0.4,472054,1.75 91,1,2024-09-07 10:08:30:569,1226619,1226619,0,0,577008102674,6082724310,1207903,14568,4148,381,392047,0 91,2,2024-09-07 10:08:31:336,886605,886605,0,0,39701544,0,4713 91,3,2024-09-07 10:08:30:598,1,701,8,0,231,6539,701,0 92,0,2024-09-07 10:08:31:477,175410,0.4,179395,0.6,342024,0.4,464109,1.75 92,1,2024-09-07 10:08:30:589,1230514,1230514,0,0,577694677932,6033719777,1221012,8190,1312,381,392136,0 92,2,2024-09-07 10:08:31:351,889892,889892,0,0,36122134,0,3906 92,3,2024-09-07 10:08:31:012,1,701,0,0,322,6243,701,0 93,0,2024-09-07 10:08:30:958,176219,0.4,180557,0.6,344552,0.3,467404,1.75 93,1,2024-09-07 10:08:30:813,1231169,1231169,0,0,577865042736,6037147891,1216763,11970,2436,365,392048,0 93,2,2024-09-07 10:08:30:938,884093,884093,0,0,41426207,0,4913 93,3,2024-09-07 10:08:31:409,1,701,10,0,788,8645,701,0 94,0,2024-09-07 10:08:31:636,174793,0.4,175969,0.6,352362,0.4,467325,1.75 94,1,2024-09-07 10:08:30:565,1232762,1232762,0,0,578829985745,6035477154,1224480,7632,650,381,391850,0 94,2,2024-09-07 10:08:30:761,885803,885775,28,0,36124717,0,6179 94,3,2024-09-07 10:08:31:700,1,701,1,0,576,8415,701,0 95,0,2024-09-07 10:08:31:355,176964,0.4,176659,0.5,353570,0.3,471146,1.75 95,1,2024-09-07 10:08:30:852,1233095,1233095,0,0,578710451624,6012732361,1223725,8557,813,365,391852,0 95,2,2024-09-07 10:08:31:016,885233,885233,0,0,36296661,0,3308 95,3,2024-09-07 10:08:31:713,1,701,0,0,718,10500,701,0 96,0,2024-09-07 10:08:31:025,175175,0.3,175508,0.5,351165,0.3,466319,1.75 96,1,2024-09-07 10:08:31:586,1230514,1230514,0,0,577575801072,6025525693,1221587,7549,1378,384,392292,0 96,2,2024-09-07 10:08:31:268,891638,891638,0,0,36739639,0,4225 96,3,2024-09-07 10:08:31:142,1,701,1,0,411,7792,701,0 97,0,2024-09-07 10:08:31:349,172501,0.3,172399,0.5,345534,0.3,459736,1.50 97,1,2024-09-07 10:08:30:767,1233775,1233775,0,0,579268388038,6009737757,1225839,6693,1243,367,392140,0 97,2,2024-09-07 10:08:30:616,887771,887771,0,0,36743963,0,4600 97,3,2024-09-07 10:08:30:598,1,701,8,0,433,8487,701,0 98,0,2024-09-07 10:08:31:691,176282,0.3,176419,0.5,354223,0.3,470312,1.50 98,1,2024-09-07 10:08:30:572,1232278,1232278,0,0,577752645751,6016692367,1224832,6547,899,381,391997,0 98,2,2024-09-07 10:08:30:778,884244,884244,0,0,35035342,0,4336 98,3,2024-09-07 10:08:30:700,1,701,1,0,840,9711,701,0 99,0,2024-09-07 10:08:31:455,178099,0.3,178924,0.5,356562,0.3,475014,1.75 99,1,2024-09-07 10:08:31:728,1233243,1233243,0,0,577905856939,6013832122,1225454,6680,1109,380,392069,0 99,2,2024-09-07 10:08:31:416,885152,885152,0,0,44247013,0,4276 99,3,2024-09-07 10:08:30:583,1,701,4,0,1124,8806,701,0 100,0,2024-09-07 10:08:31:490,176200,0.7,176769,0.9,353391,0.8,470997,2.50 100,1,2024-09-07 10:08:30:565,1225752,1225752,0,0,574480617128,6075336230,1206606,15350,3796,378,391989,0 100,2,2024-09-07 10:08:31:817,884432,884043,389,0,46151981,0,16909 100,3,2024-09-07 10:08:31:738,1,701,3,0,627,10782,701,0 101,0,2024-09-07 10:08:31:717,176038,0.9,171835,1.0,336075,0.9,458991,2.25 101,1,2024-09-07 10:08:30:554,1228077,1228077,0,0,576690976040,6059244477,1211482,13643,2952,368,392018,0 101,2,2024-09-07 10:08:31:764,883070,883031,39,0,47773961,0,5913 101,3,2024-09-07 10:08:30:946,1,701,3,0,1250,10716,701,0 102,0,2024-09-07 10:08:30:940,173020,0.6,178114,0.8,362180,0.7,473127,2.25 102,1,2024-09-07 10:08:31:144,1228182,1228182,0,0,576368462013,6050986405,1212352,13573,2257,369,391984,0 102,2,2024-09-07 10:08:31:736,884673,884619,54,0,39521434,0,6768 102,3,2024-09-07 10:08:31:613,1,701,1,0,466,8103,701,0 103,0,2024-09-07 10:08:31:608,182829,0.7,182756,0.8,344450,0.8,474038,2.25 103,1,2024-09-07 10:08:31:639,1226949,1226949,0,0,575665049749,6075247640,1208037,15285,3627,381,392077,0 103,2,2024-09-07 10:08:30:581,883250,883250,0,0,43064888,0,3766 103,3,2024-09-07 10:08:30:758,1,701,1,0,916,8247,701,0 104,0,2024-09-07 10:08:31:009,175660,0.7,176016,0.9,351217,0.7,469563,2.25 104,1,2024-09-07 10:08:31:604,1228870,1228870,0,0,576667408616,6059498291,1210428,14980,3462,365,392168,0 104,2,2024-09-07 10:08:31:667,884904,884904,0,0,42109896,0,4161 104,3,2024-09-07 10:08:31:418,1,701,1,0,1245,11823,701,0 105,0,2024-09-07 10:08:31:045,170809,0.8,166426,1.0,349056,0.8,458616,2.50 105,1,2024-09-07 10:08:30:561,1230964,1230964,0,0,577236421315,6043544578,1216184,12664,2116,364,392009,0 105,2,2024-09-07 10:08:31:325,888049,888049,0,0,43458238,0,4360 105,3,2024-09-07 10:08:31:310,1,701,2,0,573,10389,701,0 106,0,2024-09-07 10:08:30:935,170082,0.9,174426,1.0,356575,1.1,466021,2.50 106,1,2024-09-07 10:08:31:750,1229393,1229393,0,0,576675414476,6047964884,1212957,14285,2151,368,391914,0 106,2,2024-09-07 10:08:30:758,884040,884040,0,0,39911667,0,3331 106,3,2024-09-07 10:08:30:678,1,701,17,0,1224,9711,701,0 107,0,2024-09-07 10:08:31:133,175425,1.3,175385,1.1,350953,1.9,468467,2.25 107,1,2024-09-07 10:08:30:585,1226611,1226611,0,0,574930907564,6074146739,1207506,16849,2256,381,392234,0 107,2,2024-09-07 10:08:31:309,881416,881415,1,0,43685828,0,5024 107,3,2024-09-07 10:08:31:758,1,701,10,0,733,11027,701,0 108,0,2024-09-07 10:08:31:808,176347,0.4,177194,0.6,353413,0.4,470545,1.75 108,1,2024-09-07 10:08:31:293,1230389,1230389,0,0,577348588285,6027803280,1218910,10216,1263,367,391894,0 108,2,2024-09-07 10:08:31:771,887342,887342,0,0,38979535,0,4432 108,3,2024-09-07 10:08:31:330,1,701,3,0,767,12584,701,0 109,0,2024-09-07 10:08:31:763,174866,0.4,173540,0.6,348064,0.3,464343,1.75 109,1,2024-09-07 10:08:30:584,1228479,1228479,0,0,576807204134,6050586223,1217817,9155,1507,382,392132,0 109,2,2024-09-07 10:08:30:926,888536,888536,0,0,39009237,0,3617 109,3,2024-09-07 10:08:31:149,1,701,0,0,630,7979,701,0 110,0,2024-09-07 10:08:31:770,173510,0.4,168660,0.6,353224,0.3,463990,1.75 110,1,2024-09-07 10:08:31:651,1233155,1233155,0,0,579292176551,6014271937,1223686,7384,2085,368,392045,0 110,2,2024-09-07 10:08:31:309,885422,885422,0,0,38074148,0,4067 110,3,2024-09-07 10:08:30:690,1,701,1,0,722,9435,701,0 111,0,2024-09-07 10:08:31:436,176972,0.4,176142,0.5,351866,0.3,469376,1.75 111,1,2024-09-07 10:08:31:000,1234498,1234498,0,0,579916146946,6020365202,1227240,6751,507,380,391690,0 111,2,2024-09-07 10:08:31:117,885349,885349,0,0,37482820,0,4823 111,3,2024-09-07 10:08:30:914,1,701,1,0,379,7843,701,0 112,0,2024-09-07 10:08:30:932,178191,0.3,177838,0.4,356466,0.2,473289,1.50 112,1,2024-09-07 10:08:30:824,1233187,1233187,0,0,578993831335,6008222348,1225560,6400,1227,380,391624,0 112,2,2024-09-07 10:08:31:135,886396,886395,1,0,35322538,0,5036 112,3,2024-09-07 10:08:30:592,1,701,1,0,282,6879,701,0 113,0,2024-09-07 10:08:30:866,176017,0.3,176410,0.5,353095,0.2,469237,1.75 113,1,2024-09-07 10:08:31:760,1236971,1236971,0,0,580293873042,5985184375,1230052,5968,951,365,391664,0 113,2,2024-09-07 10:08:31:304,892360,892360,0,0,32710976,0,3813 113,3,2024-09-07 10:08:30:690,1,701,10,0,510,7022,701,0 114,0,2024-09-07 10:08:30:874,172519,0.3,173732,0.5,346529,0.2,462841,1.75 114,1,2024-09-07 10:08:30:720,1232726,1232726,0,0,579185070154,6012071611,1223895,7027,1804,381,391565,0 114,2,2024-09-07 10:08:30:875,889614,889613,1,0,35119341,0,5069 114,3,2024-09-07 10:08:31:279,1,701,1,0,415,5692,701,0 115,0,2024-09-07 10:08:30:553,178280,0.3,178748,0.4,356194,0.2,473491,1.50 115,1,2024-09-07 10:08:30:576,1233865,1233865,0,0,579146048472,6014482615,1224308,7765,1792,382,391757,0 115,2,2024-09-07 10:08:31:131,886134,886134,0,0,34972020,0,4382 115,3,2024-09-07 10:08:31:002,1,701,6,0,173,4422,701,0 116,0,2024-09-07 10:08:31:726,176708,0.7,176763,0.9,354080,0.8,473527,2.25 116,1,2024-09-07 10:08:30:806,1226994,1226994,0,0,576683541504,6073003844,1212889,10888,3217,380,392089,0 116,2,2024-09-07 10:08:31:750,885356,885356,0,0,42569346,0,4475 116,3,2024-09-07 10:08:30:912,1,701,1,0,448,8929,701,0 117,0,2024-09-07 10:08:30:967,176997,0.6,176723,0.8,353778,0.6,472649,2.00 117,1,2024-09-07 10:08:31:583,1229547,1229547,0,0,576399396464,6036956989,1215671,12107,1769,369,392429,0 117,2,2024-09-07 10:08:31:126,889125,889125,0,0,39136933,0,4303 117,3,2024-09-07 10:08:31:059,1,701,19,0,490,8543,701,0 118,0,2024-09-07 10:08:31:853,167511,0.5,172077,0.7,351058,0.5,459344,2.00 118,1,2024-09-07 10:08:30:592,1227946,1227946,0,0,576219748424,6053813335,1210430,13668,3848,366,392054,0 118,2,2024-09-07 10:08:31:624,888784,888784,0,0,41709753,0,3161 118,3,2024-09-07 10:08:31:766,1,701,26,0,343,8355,701,0 119,0,2024-09-07 10:08:31:358,176677,0.7,176950,0.8,353471,0.7,471666,2.25 119,1,2024-09-07 10:08:30:549,1229032,1229032,0,0,577607392955,6054425696,1213008,13356,2668,367,391857,0 119,2,2024-09-07 10:08:31:261,884212,884212,0,0,38402174,0,4309 119,3,2024-09-07 10:08:31:325,1,701,1,0,1358,12209,701,0 120,0,2024-09-07 10:08:31:553,175230,0.7,175257,0.9,351791,0.7,469476,2.50 120,1,2024-09-07 10:08:30:859,1229951,1229951,0,0,576780351056,6045962943,1217276,11516,1159,367,392144,0 120,2,2024-09-07 10:08:30:771,884288,884285,3,0,46190141,0,5363 120,3,2024-09-07 10:08:31:290,1,701,20,0,478,8959,701,0 121,0,2024-09-07 10:08:31:785,176824,1.1,176266,1.0,352653,1.4,469890,2.25 121,1,2024-09-07 10:08:31:657,1229485,1229485,0,0,576719446878,6035942228,1215955,11533,1997,366,391840,0 121,2,2024-09-07 10:08:31:124,887150,887150,0,0,42044144,0,4157 121,3,2024-09-07 10:08:30:745,1,701,5,0,387,9316,701,0 122,0,2024-09-07 10:08:31:778,173149,0.7,168570,0.8,352539,0.8,463506,2.00 122,1,2024-09-07 10:08:30:859,1228658,1228658,0,0,576531151462,6045450957,1212378,13701,2579,365,392130,0 122,2,2024-09-07 10:08:31:322,889510,889435,75,0,46175393,0,5989 122,3,2024-09-07 10:08:30:596,1,701,6,0,512,10586,701,0 123,0,2024-09-07 10:08:30:959,174059,0.8,169768,0.8,355933,0.9,465490,2.25 123,1,2024-09-07 10:08:30:560,1229707,1229707,0,0,576790002694,6062634999,1210607,16071,3029,369,392039,0 123,2,2024-09-07 10:08:31:020,883427,883426,1,0,39525485,0,5215 123,3,2024-09-07 10:08:31:133,1,701,1,0,478,7911,701,0 124,0,2024-09-07 10:08:30:957,180485,0.4,180520,0.5,340126,0.3,468163,1.75 124,1,2024-09-07 10:08:31:028,1233460,1233460,0,0,578473861372,6014588333,1223920,8278,1262,365,392178,0 124,2,2024-09-07 10:08:31:010,887430,887377,53,0,36750313,0,6487 124,3,2024-09-07 10:08:30:758,1,701,4,0,490,7246,701,0 125,0,2024-09-07 10:08:31:446,176787,0.4,176670,0.6,354180,0.3,471553,1.75 125,1,2024-09-07 10:08:30:857,1229997,1229997,0,0,577590271939,6034902387,1218944,9468,1585,382,392045,0 125,2,2024-09-07 10:08:31:119,889573,889573,0,0,36292100,0,4534 125,3,2024-09-07 10:08:31:133,1,701,38,0,709,7827,701,0 126,0,2024-09-07 10:08:31:424,175533,0.4,180514,0.5,345085,0.3,467078,1.75 126,1,2024-09-07 10:08:30:552,1233820,1233820,0,0,579352742777,6002525969,1226542,6576,702,365,391987,0 126,2,2024-09-07 10:08:30:614,891623,891623,0,0,38083843,0,4539 126,3,2024-09-07 10:08:30:910,1,701,2,0,268,7880,701,0 127,0,2024-09-07 10:08:31:606,172852,0.3,173219,0.5,345325,0.3,460093,1.75 127,1,2024-09-07 10:08:30:570,1232624,1232624,0,0,577949964215,6013313121,1220162,10686,1776,364,392187,0 127,2,2024-09-07 10:08:30:640,887350,887346,4,0,35913230,0,5305 127,3,2024-09-07 10:08:31:267,1,701,7,0,968,7005,701,0 128,0,2024-09-07 10:08:31:541,177517,0.3,177331,0.4,354843,0.2,471225,1.50 128,1,2024-09-07 10:08:31:605,1232042,1232042,0,0,578865973281,6017028930,1223049,8021,972,367,392423,0 128,2,2024-09-07 10:08:31:386,886819,886819,0,0,33436096,0,3171 128,3,2024-09-07 10:08:30:767,1,701,2,0,1082,10394,701,0 129,0,2024-09-07 10:08:31:044,179411,0.3,178588,0.5,358333,0.3,475823,1.50 129,1,2024-09-07 10:08:30:567,1228575,1228575,0,0,576278711836,6043777552,1215623,10622,2330,379,391962,0 129,2,2024-09-07 10:08:30:687,887592,887588,4,0,36209102,0,5335 129,3,2024-09-07 10:08:30:697,1,701,38,0,506,10046,701,0 130,0,2024-09-07 10:08:31:740,177394,0.4,177209,0.5,354916,0.4,472527,1.75 130,1,2024-09-07 10:08:30:585,1233389,1233389,0,0,579407944651,6014964343,1227536,5446,407,381,391836,0 130,2,2024-09-07 10:08:31:124,889072,889072,0,0,36004383,0,4067 130,3,2024-09-07 10:08:31:291,1,701,1,0,960,8927,701,0 131,0,2024-09-07 10:08:31:998,172316,0.3,172739,0.5,346450,0.3,460562,1.50 131,1,2024-09-07 10:08:31:838,1232572,1232572,0,0,578649165568,6028189679,1224417,6943,1212,381,391865,0 131,2,2024-09-07 10:08:30:575,889038,889038,0,0,34254126,0,3979 131,3,2024-09-07 10:08:31:690,1,701,7,0,392,8720,701,0 132,0,2024-09-07 10:08:31:496,178397,0.5,179412,0.6,357025,0.4,475269,2.00 132,1,2024-09-07 10:08:30:583,1228304,1228304,0,0,576200811612,6060433142,1211794,13728,2782,381,392532,0 132,2,2024-09-07 10:08:30:703,883656,883639,17,0,42925352,0,6451 132,3,2024-09-07 10:08:31:690,1,701,10,0,1298,11894,701,0 133,0,2024-09-07 10:08:31:526,172868,0.5,177000,0.6,362849,0.4,472305,2.00 133,1,2024-09-07 10:08:30:596,1227589,1227589,0,0,576009313097,6063879477,1212130,13523,1936,383,391914,0 133,2,2024-09-07 10:08:31:087,885962,885912,50,0,44005345,0,6861 133,3,2024-09-07 10:08:31:297,1,701,2,0,528,8431,701,0 134,0,2024-09-07 10:08:30:940,177622,0.5,177579,0.7,354857,0.5,472777,2.00 134,1,2024-09-07 10:08:30:584,1229318,1229318,0,0,575722850848,6038872296,1213230,12796,3292,366,391781,0 134,2,2024-09-07 10:08:31:771,886602,886463,139,0,41798665,0,7591 134,3,2024-09-07 10:08:30:751,1,701,1,0,739,8516,701,0 135,0,2024-09-07 10:08:31:121,167365,0.7,167364,0.8,355205,0.7,458131,2.25 135,1,2024-09-07 10:08:31:617,1228824,1228824,0,0,577573349223,6068080734,1214398,12573,1853,380,392038,0 135,2,2024-09-07 10:08:30:690,890507,890507,0,0,42301683,0,4503 135,3,2024-09-07 10:08:31:003,1,701,0,0,900,6679,701,0 136,0,2024-09-07 10:08:31:634,176112,0.6,176649,0.8,351536,0.6,469209,2.00 136,1,2024-09-07 10:08:31:450,1230154,1230154,0,0,577118437695,6044180592,1217319,11409,1426,381,392135,0 136,2,2024-09-07 10:08:31:133,885927,885912,15,0,41108982,0,6007 136,3,2024-09-07 10:08:31:116,1,701,62,0,637,8221,701,0 137,0,2024-09-07 10:08:30:921,181229,0.6,176704,0.8,345934,0.7,470228,2.00 137,1,2024-09-07 10:08:30:584,1229035,1229035,0,0,577060200029,6048045156,1210553,14839,3643,366,391898,0 137,2,2024-09-07 10:08:31:705,884051,884051,0,0,42271477,0,3185 137,3,2024-09-07 10:08:30:781,1,701,4,0,484,9843,701,0 138,0,2024-09-07 10:08:31:752,175739,0.9,176017,0.9,352548,1.1,468838,2.25 138,1,2024-09-07 10:08:31:689,1228673,1228673,0,0,577166682118,6054353829,1211534,14448,2691,368,391954,0 138,2,2024-09-07 10:08:30:585,885602,885602,0,0,40802232,0,4988 138,3,2024-09-07 10:08:30:610,1,701,3,0,1200,10377,701,0 139,0,2024-09-07 10:08:31:358,172819,0.9,173487,0.9,346696,1.1,462660,2.25 139,1,2024-09-07 10:08:30:653,1225144,1225144,0,0,574199844206,6080283945,1205194,16036,3914,380,392109,0 139,2,2024-09-07 10:08:30:692,884140,884110,30,0,46304744,0,5997 139,3,2024-09-07 10:08:31:682,1,701,18,0,432,8260,701,0 140,0,2024-09-07 10:08:31:588,174180,0.3,173536,0.5,347787,0.2,464114,1.75 140,1,2024-09-07 10:08:31:546,1236512,1236512,0,0,580352217799,5988561654,1229705,6003,804,364,391628,0 140,2,2024-09-07 10:08:30:688,885801,885800,1,0,34674851,0,5036 140,3,2024-09-07 10:08:30:767,1,701,109,0,575,7375,701,0 141,0,2024-09-07 10:08:31:701,176805,0.3,181547,0.5,346766,0.3,469008,1.75 141,1,2024-09-07 10:08:30:858,1233595,1233595,0,0,579561879302,6023598413,1223249,8953,1393,379,391614,0 141,2,2024-09-07 10:08:31:694,885935,885924,11,0,37269082,0,5369 141,3,2024-09-07 10:08:31:043,1,701,2,0,391,8134,701,0 142,0,2024-09-07 10:08:31:306,178531,0.3,177711,0.5,355793,0.3,474181,1.50 142,1,2024-09-07 10:08:30:592,1231456,1231456,0,0,577979135864,6030377710,1222397,8165,894,382,392102,0 142,2,2024-09-07 10:08:31:299,885700,885668,32,0,36885855,0,6028 142,3,2024-09-07 10:08:31:746,1,701,1,0,484,7058,701,0 143,0,2024-09-07 10:08:31:410,176179,0.4,175961,0.5,353363,0.4,469161,1.75 143,1,2024-09-07 10:08:30:558,1234564,1234564,0,0,579239898312,6011351594,1226156,7437,971,367,391900,0 143,2,2024-09-07 10:08:30:768,891003,891003,0,0,36508895,0,3123 143,3,2024-09-07 10:08:31:140,1,701,1,0,462,8114,701,0 144,0,2024-09-07 10:08:31:498,167395,0.5,172140,0.7,350501,0.5,459027,2.00 144,1,2024-09-07 10:08:30:566,1228574,1228574,0,0,577179571713,6053380057,1216820,9709,2045,381,391733,0 144,2,2024-09-07 10:08:31:755,888718,888718,0,0,36037158,0,4443 144,3,2024-09-07 10:08:31:742,1,701,11,0,306,7475,701,0 145,0,2024-09-07 10:08:31:359,171789,0.6,171748,0.8,364607,0.6,468779,2.25 145,1,2024-09-07 10:08:30:558,1227429,1227429,0,0,576300617304,6063056138,1211222,13239,2968,382,391781,0 145,2,2024-09-07 10:08:31:429,883130,883048,82,0,42116607,0,7814 145,3,2024-09-07 10:08:30:896,1,701,1,0,622,9411,701,0 146,0,2024-09-07 10:08:31:611,177310,0.7,176432,0.8,354817,0.7,471601,2.25 146,1,2024-09-07 10:08:31:606,1229193,1229193,0,0,576636614835,6062082199,1210036,14227,4930,367,391829,0 146,2,2024-09-07 10:08:31:695,883444,883438,6,0,40151802,0,5151 146,3,2024-09-07 10:08:31:274,1,701,1,0,1520,10917,701,0 147,0,2024-09-07 10:08:31:733,177006,0.6,176817,0.7,353607,0.5,471706,2.00 147,1,2024-09-07 10:08:31:381,1233376,1233376,0,0,578380302007,6011756372,1223413,8862,1101,367,391791,0 147,2,2024-09-07 10:08:31:020,887735,887735,0,0,37833002,0,4531 147,3,2024-09-07 10:08:30:913,1,701,3,0,1626,10450,701,0 0,0,2024-09-07 10:08:41:783,171495,0.7,171477,0.8,364027,0.8,470818,2.00 0,1,2024-09-07 10:08:40:801,1232132,1232132,0,0,578750159086,6056427922,1222241,8913,978,368,391896,0 0,2,2024-09-07 10:08:41:067,887466,887466,0,0,35694002,0,4480 0,3,2024-09-07 10:08:40:974,1,702,6,0,538,9960,702,0 1,0,2024-09-07 10:08:41:800,176809,0.8,175911,0.9,352828,0.9,472072,2.00 1,1,2024-09-07 10:08:40:557,1231033,1231033,0,0,576856492279,6042685786,1219626,9435,1972,370,391859,0 1,2,2024-09-07 10:08:40:644,889744,889744,0,0,35281994,0,3380 1,3,2024-09-07 10:08:41:309,1,702,1,0,269,8294,702,0 2,0,2024-09-07 10:08:41:567,173925,0.5,173717,0.7,347153,0.5,463005,2.00 2,1,2024-09-07 10:08:40:859,1235031,1235031,0,0,578642480557,6015895676,1228097,5972,962,379,391805,0 2,2,2024-09-07 10:08:41:266,891760,891760,0,0,34065181,0,3594 2,3,2024-09-07 10:08:40:692,1,702,1,0,357,6136,702,0 3,0,2024-09-07 10:08:41:748,175503,0.4,175328,0.6,350251,0.4,467111,2.00 3,1,2024-09-07 10:08:41:619,1232881,1232881,0,0,578549571179,6016705550,1224286,7877,718,379,391716,0 3,2,2024-09-07 10:08:41:142,887688,887665,23,0,34743549,0,5851 3,3,2024-09-07 10:08:41:761,1,702,0,0,484,5252,702,0 4,0,2024-09-07 10:08:41:782,170555,0.4,175097,0.5,357387,0.4,467079,1.75 4,1,2024-09-07 10:08:40:595,1227704,1227704,0,0,576129731888,6091148101,1207791,15312,4601,369,391992,0 4,2,2024-09-07 10:08:41:017,883992,883992,0,0,42410841,0,4534 4,3,2024-09-07 10:08:41:052,1,702,0,0,448,9378,702,0 5,0,2024-09-07 10:08:41:402,177051,0.4,176815,0.6,353959,0.4,471160,1.75 5,1,2024-09-07 10:08:40:759,1228979,1228979,0,0,576830198314,6083021135,1211277,13462,4240,367,392005,0 5,2,2024-09-07 10:08:41:847,884414,884381,33,0,42774031,0,7631 5,3,2024-09-07 10:08:41:737,1,702,1,0,457,9201,702,0 6,0,2024-09-07 10:08:40:918,175274,0.5,174688,0.6,349783,0.4,465468,2.00 6,1,2024-09-07 10:08:40:745,1232268,1232268,0,0,578789120811,6040474033,1220087,10496,1685,379,391702,0 6,2,2024-09-07 10:08:41:118,891772,891754,18,0,39606901,0,5535 6,3,2024-09-07 10:08:41:275,1,702,1,0,710,7956,702,0 7,0,2024-09-07 10:08:41:532,172311,0.5,173536,0.6,344329,0.5,459939,2.00 7,1,2024-09-07 10:08:40:852,1229467,1229467,0,0,577365739642,6071729267,1211632,13698,4137,382,391747,0 7,2,2024-09-07 10:08:40:770,886209,886040,169,0,40370930,0,7706 7,3,2024-09-07 10:08:40:856,1,702,6,0,552,8186,702,0 8,0,2024-09-07 10:08:41:361,177078,0.4,176675,0.5,353971,0.4,471664,1.75 8,1,2024-09-07 10:08:41:016,1228537,1228537,0,0,577142099051,6066461645,1209670,14821,4046,366,392853,0 8,2,2024-09-07 10:08:40:801,880953,880951,2,0,45476664,0,5112 8,3,2024-09-07 10:08:40:585,1,702,1,0,772,10570,702,0 9,0,2024-09-07 10:08:41:117,178250,0.4,173003,0.5,362161,0.3,475151,1.75 9,1,2024-09-07 10:08:40:551,1228416,1228416,0,0,576980627337,6082111851,1208735,15330,4351,369,392001,0 9,2,2024-09-07 10:08:41:099,885809,885808,1,0,43434894,0,5281 9,3,2024-09-07 10:08:41:758,1,702,4,0,1273,11102,702,0 10,0,2024-09-07 10:08:41:600,176770,0.3,176377,0.5,353670,0.3,470474,1.75 10,1,2024-09-07 10:08:40:583,1230388,1230388,0,0,577765032500,6061798023,1212278,14376,3734,381,391981,0 10,2,2024-09-07 10:08:40:776,889497,889497,0,0,46576799,0,4713 10,3,2024-09-07 10:08:40:870,1,702,5,0,669,7380,702,0 11,0,2024-09-07 10:08:41:015,172435,0.4,167350,0.6,350269,0.3,461584,1.75 11,1,2024-09-07 10:08:40:571,1232522,1232522,0,0,578408891513,6061993238,1215636,12576,4310,383,391766,0 11,2,2024-09-07 10:08:41:124,888640,888640,0,0,40153710,0,4698 11,3,2024-09-07 10:08:41:298,1,702,2,0,843,8501,702,0 12,0,2024-09-07 10:08:40:937,179490,0.4,179118,0.6,357983,0.4,475999,1.75 12,1,2024-09-07 10:08:40:935,1231746,1231746,0,0,578305795856,6032671273,1221883,8832,1031,368,391960,0 12,2,2024-09-07 10:08:41:545,883544,883544,0,0,39979109,0,4390 12,3,2024-09-07 10:08:41:060,1,702,0,0,386,8592,702,0 13,0,2024-09-07 10:08:41:354,178410,0.4,178575,0.6,356468,0.4,474793,1.75 13,1,2024-09-07 10:08:41:539,1229292,1229292,0,0,576956581575,6071585929,1215118,11092,3082,382,391803,0 13,2,2024-09-07 10:08:40:598,888094,888094,0,0,36234650,0,3287 13,3,2024-09-07 10:08:41:764,1,702,7,0,522,9364,702,0 14,0,2024-09-07 10:08:40:572,177076,0.4,178151,0.6,354336,0.3,471524,1.75 14,1,2024-09-07 10:08:41:561,1237884,1237884,0,0,580832907278,6007434301,1228624,8109,1151,364,391673,0 14,2,2024-09-07 10:08:40:769,888927,888897,30,0,37310710,0,6104 14,3,2024-09-07 10:08:41:116,1,702,1,0,1168,7222,702,0 15,0,2024-09-07 10:08:41:556,173166,0.4,172381,0.6,344998,0.3,460704,1.75 15,1,2024-09-07 10:08:41:611,1233716,1233716,0,0,579371625743,6036999719,1222846,8772,2098,380,391619,0 15,2,2024-09-07 10:08:40:998,892595,892595,0,0,33377022,0,3622 15,3,2024-09-07 10:08:41:406,1,702,0,0,1126,8646,702,0 16,0,2024-09-07 10:08:40:936,176027,0.6,176904,0.8,353047,0.6,470200,2.00 16,1,2024-09-07 10:08:40:563,1233347,1233347,0,0,578771291494,6048687163,1222601,9201,1545,370,392194,0 16,2,2024-09-07 10:08:41:439,885142,885142,0,0,36414944,0,4719 16,3,2024-09-07 10:08:41:143,1,702,10,0,362,8616,702,0 17,0,2024-09-07 10:08:41:823,181622,0.7,176973,0.8,346648,0.7,471473,2.00 17,1,2024-09-07 10:08:40:576,1231601,1231601,0,0,577520922387,6051700492,1220473,9326,1802,368,392075,0 17,2,2024-09-07 10:08:41:677,889674,889673,1,0,37667324,0,5050 17,3,2024-09-07 10:08:40:578,1,702,1,0,518,9945,702,0 18,0,2024-09-07 10:08:40:941,175044,0.6,176191,0.8,350714,0.6,468314,2.25 18,1,2024-09-07 10:08:41:656,1236611,1236611,0,0,579846741144,6013684325,1228425,6755,1431,367,391725,0 18,2,2024-09-07 10:08:41:759,891103,891103,0,0,34065226,0,3541 18,3,2024-09-07 10:08:40:896,1,702,2,0,1059,6304,702,0 19,0,2024-09-07 10:08:41:546,173736,0.6,174145,0.8,347252,0.6,461813,2.00 19,1,2024-09-07 10:08:40:571,1235789,1235789,0,0,580751172577,6015795742,1226932,7696,1161,365,391777,0 19,2,2024-09-07 10:08:41:752,894323,894323,0,0,31886316,0,3988 19,3,2024-09-07 10:08:41:129,1,702,2,0,524,5553,702,0 20,0,2024-09-07 10:08:41:375,174025,0.5,174019,0.7,347673,0.5,464553,2.00 20,1,2024-09-07 10:08:40:601,1230950,1230950,0,0,578358119401,6059249444,1218663,10578,1709,369,391922,0 20,2,2024-09-07 10:08:40:928,886179,886179,0,0,40352555,0,4321 20,3,2024-09-07 10:08:40:589,1,702,1,0,468,11573,702,0 21,0,2024-09-07 10:08:41:185,176304,0.5,176387,0.7,352526,0.5,467870,2.00 21,1,2024-09-07 10:08:41:536,1228778,1228778,0,0,576020262845,6076788800,1210011,14773,3994,368,392016,0 21,2,2024-09-07 10:08:41:067,880610,880133,477,0,51653031,0,17074 21,3,2024-09-07 10:08:41:404,1,702,4,0,713,9755,702,0 22,0,2024-09-07 10:08:41:719,177489,0.5,178142,0.7,355876,0.4,472122,2.00 22,1,2024-09-07 10:08:41:023,1230145,1230145,0,0,577687594572,6074060660,1211031,15509,3605,381,391822,0 22,2,2024-09-07 10:08:40:761,886396,886370,26,0,38385449,0,6328 22,3,2024-09-07 10:08:41:067,1,702,1,0,228,5667,702,0 23,0,2024-09-07 10:08:41:377,175791,0.5,175641,0.6,351293,0.4,467703,2.00 23,1,2024-09-07 10:08:41:003,1231300,1231300,0,0,577495216762,6078948318,1209399,14730,7171,365,391690,0 23,2,2024-09-07 10:08:41:093,891397,891397,0,0,36620132,0,3773 23,3,2024-09-07 10:08:41:758,1,702,3,0,855,9796,702,0 24,0,2024-09-07 10:08:40:818,173930,0.4,172949,0.5,347615,0.3,461988,1.75 24,1,2024-09-07 10:08:40:580,1230129,1230129,0,0,578093531789,6048739257,1218517,9735,1877,367,392269,0 24,2,2024-09-07 10:08:41:086,887850,887847,3,0,42917416,0,6294 24,3,2024-09-07 10:08:41:686,1,702,1,0,468,9073,702,0 25,0,2024-09-07 10:08:41:356,182421,0.4,177203,0.6,348927,0.4,474645,1.75 25,1,2024-09-07 10:08:40:559,1228731,1228731,0,0,576346185202,6087166551,1207676,17056,3999,369,391928,0 25,2,2024-09-07 10:08:41:609,883058,883058,0,0,44812690,0,3978 25,3,2024-09-07 10:08:41:000,1,702,1,0,532,7673,702,0 26,0,2024-09-07 10:08:41:729,177043,0.4,172976,0.6,362917,0.4,473469,2.00 26,1,2024-09-07 10:08:41:545,1232274,1232274,0,0,578132488062,6048574003,1216567,12803,2904,380,391758,0 26,2,2024-09-07 10:08:40:861,886334,886334,0,0,45901640,0,4689 26,3,2024-09-07 10:08:41:713,1,702,0,0,796,8695,702,0 27,0,2024-09-07 10:08:41:734,177137,0.4,177922,0.6,353806,0.4,472224,2.25 27,1,2024-09-07 10:08:41:676,1233962,1233962,0,0,579961260141,6040976501,1222671,9668,1623,381,391626,0 27,2,2024-09-07 10:08:40:871,885538,885473,65,0,40730188,0,5699 27,3,2024-09-07 10:08:41:015,1,702,1,0,564,5929,702,0 28,0,2024-09-07 10:08:41:392,173187,0.4,173297,0.6,346644,0.3,461717,1.75 28,1,2024-09-07 10:08:40:809,1234540,1234540,0,0,579957536725,6050715324,1223067,9045,2428,382,391904,0 28,2,2024-09-07 10:08:41:771,889936,889936,0,0,37961477,0,4060 28,3,2024-09-07 10:08:41:787,1,702,7,0,502,7265,702,0 29,0,2024-09-07 10:08:41:374,182305,0.4,177405,0.6,348354,0.4,475616,1.75 29,1,2024-09-07 10:08:41:573,1237777,1237777,0,0,581391720558,6015568422,1229850,6906,1021,367,391809,0 29,2,2024-09-07 10:08:40:864,884907,884907,0,0,35304687,0,4986 29,3,2024-09-07 10:08:40:964,1,702,0,0,590,7901,702,0 30,0,2024-09-07 10:08:41:455,175459,0.6,170759,0.7,357867,0.5,467764,2.00 30,1,2024-09-07 10:08:40:574,1236189,1236189,0,0,580764037324,6029614489,1226375,8646,1168,380,391672,0 30,2,2024-09-07 10:08:41:276,887558,887558,0,0,34241461,0,4192 30,3,2024-09-07 10:08:40:581,1,702,8,0,519,7233,702,0 31,0,2024-09-07 10:08:41:766,176588,0.5,177438,0.7,353918,0.4,472053,2.00 31,1,2024-09-07 10:08:40:564,1239896,1239896,0,0,581930172469,5976863528,1231964,6663,1269,356,391712,0 31,2,2024-09-07 10:08:41:276,888829,888829,0,0,37654128,0,4470 31,3,2024-09-07 10:08:41:716,1,702,1,0,248,6125,702,0 32,0,2024-09-07 10:08:41:420,174082,0.3,175109,0.5,349071,0.2,464501,1.75 32,1,2024-09-07 10:08:40:817,1236028,1236028,0,0,580120157440,6028790697,1228534,6529,965,381,391646,0 32,2,2024-09-07 10:08:40:934,893379,893379,0,0,32973872,0,3922 32,3,2024-09-07 10:08:41:052,1,702,1,0,304,5853,702,0 33,0,2024-09-07 10:08:41:505,176247,0.3,175812,0.4,351617,0.2,468514,1.50 33,1,2024-09-07 10:08:40:581,1237039,1237039,0,0,580803258440,6012859560,1227414,8269,1356,368,391730,0 33,2,2024-09-07 10:08:40:760,886613,886578,35,0,36520037,0,7012 33,3,2024-09-07 10:08:40:898,1,702,7,0,329,6419,702,0 34,0,2024-09-07 10:08:40:932,175934,0.3,180934,0.5,345993,0.2,467040,1.75 34,1,2024-09-07 10:08:41:054,1240029,1240029,0,0,581346941940,5981297278,1236025,3795,209,366,391637,0 34,2,2024-09-07 10:08:40:766,887466,887466,0,0,34053807,0,4562 34,3,2024-09-07 10:08:41:688,1,702,1,0,541,6056,702,0 35,0,2024-09-07 10:08:40:859,176404,0.3,177020,0.5,354895,0.2,472154,1.75 35,1,2024-09-07 10:08:41:067,1235502,1235502,0,0,579535858290,6012031564,1226560,7389,1553,382,391769,0 35,2,2024-09-07 10:08:41:583,888431,888431,0,0,39783903,0,4055 35,3,2024-09-07 10:08:40:907,1,702,11,0,466,6587,702,0 36,0,2024-09-07 10:08:41:538,175745,0.4,175951,0.6,351351,0.4,467446,2.00 36,1,2024-09-07 10:08:40:584,1233876,1233876,0,0,578139928437,6033651420,1219094,12428,2354,366,391759,0 36,2,2024-09-07 10:08:41:759,891247,891247,0,0,39737027,0,3875 36,3,2024-09-07 10:08:40:862,1,702,1,0,556,8830,702,0 37,0,2024-09-07 10:08:41:394,172365,0.5,172343,0.7,345280,0.5,460637,2.25 37,1,2024-09-07 10:08:40:570,1233877,1233870,0,7,579165414666,6044827023,1219681,11074,3115,365,391770,0 37,2,2024-09-07 10:08:41:143,885430,885415,15,0,39826667,0,5815 37,3,2024-09-07 10:08:41:775,1,702,2,0,888,9573,702,0 38,0,2024-09-07 10:08:41:442,175915,0.5,170594,0.7,356613,0.4,467438,2.00 38,1,2024-09-07 10:08:41:608,1233796,1233796,0,0,578833781296,6048067529,1216953,13537,3306,368,391821,0 38,2,2024-09-07 10:08:40:760,886743,886696,47,0,39751724,0,6710 38,3,2024-09-07 10:08:40:997,1,702,1,0,689,7927,702,0 39,0,2024-09-07 10:08:41:773,181717,0.5,177489,0.7,346635,0.5,472640,2.00 39,1,2024-09-07 10:08:40:718,1231428,1231428,0,0,577798994899,6054758851,1211619,15576,4233,365,391865,0 39,2,2024-09-07 10:08:41:418,887310,887310,0,0,38065013,0,3478 39,3,2024-09-07 10:08:40:716,1,702,18,0,525,7704,702,0 40,0,2024-09-07 10:08:41:521,175299,0.8,175945,0.9,351323,0.9,468992,2.75 40,1,2024-09-07 10:08:40:580,1232120,1232120,0,0,577785352344,6054204521,1213294,15243,3583,366,391668,0 40,2,2024-09-07 10:08:41:307,887169,887162,7,0,43924207,0,5347 40,3,2024-09-07 10:08:41:149,1,702,1,0,1028,9859,702,0 41,0,2024-09-07 10:08:41:040,171665,1.1,175753,1.1,335306,1.5,455487,2.75 41,1,2024-09-07 10:08:40:784,1232539,1232539,0,0,579198409915,6054857779,1216827,13187,2525,369,391878,0 41,2,2024-09-07 10:08:40:759,885787,885786,1,0,42937739,0,5408 41,3,2024-09-07 10:08:41:687,1,702,4,0,749,8456,702,0 42,0,2024-09-07 10:08:41:474,176904,1.0,176734,1.0,353807,1.3,469221,2.75 42,1,2024-09-07 10:08:41:439,1229696,1229696,0,0,576380424073,6048344412,1212089,14523,3084,380,391675,0 42,2,2024-09-07 10:08:41:136,881980,881979,1,0,44195224,0,5513 42,3,2024-09-07 10:08:41:009,1,702,2,0,892,7005,702,0 43,0,2024-09-07 10:08:40:927,175068,0.8,170419,1.0,357250,0.9,467364,2.25 43,1,2024-09-07 10:08:40:579,1233307,1233307,0,0,579421687862,6049635444,1217196,13363,2748,365,391696,0 43,2,2024-09-07 10:08:41:738,887872,887872,0,0,40487673,0,4723 43,3,2024-09-07 10:08:41:749,1,702,12,0,571,9338,702,0 44,0,2024-09-07 10:08:40:862,177313,0.4,177428,0.6,354512,0.3,472595,1.75 44,1,2024-09-07 10:08:40:565,1236383,1236383,0,0,580164672705,5998539502,1225054,9178,2151,356,391809,0 44,2,2024-09-07 10:08:41:278,887596,887596,0,0,33961532,0,4344 44,3,2024-09-07 10:08:41:107,1,702,1,0,1097,8926,702,0 45,0,2024-09-07 10:08:41:792,171371,0.4,167341,0.7,351188,0.4,460865,2.00 45,1,2024-09-07 10:08:41:005,1235835,1235835,0,0,579743377093,6025514827,1226284,8330,1221,382,391917,0 45,2,2024-09-07 10:08:41:268,892208,892208,0,0,34113866,0,3596 45,3,2024-09-07 10:08:40:934,1,702,2,0,531,6506,702,0 46,0,2024-09-07 10:08:40:950,175494,0.5,174788,0.7,350995,0.5,466887,2.00 46,1,2024-09-07 10:08:40:579,1237791,1237791,0,0,580716484038,6007154156,1228512,7796,1483,366,391709,0 46,2,2024-09-07 10:08:40:592,886774,886774,0,0,34869058,0,4443 46,3,2024-09-07 10:08:41:136,1,702,1,0,908,7634,702,0 47,0,2024-09-07 10:08:41:111,176836,0.5,176366,0.6,353933,0.4,469667,2.00 47,1,2024-09-07 10:08:40:576,1238850,1238850,0,0,580809844488,6001618695,1231338,6499,1013,364,391666,0 47,2,2024-09-07 10:08:40:915,889575,889575,0,0,35361629,0,4477 47,3,2024-09-07 10:08:41:116,1,702,1,0,600,7588,702,0 48,0,2024-09-07 10:08:41:492,177127,0.3,177710,0.4,354037,0.2,471147,1.50 48,1,2024-09-07 10:08:41:054,1235116,1235116,0,0,579114507750,6015984115,1227442,6662,1012,381,391710,0 48,2,2024-09-07 10:08:40:698,889720,889720,0,0,32325462,0,3619 48,3,2024-09-07 10:08:40:765,1,702,13,0,339,6009,702,0 49,0,2024-09-07 10:08:41:814,179383,0.3,175649,0.5,341692,0.2,466396,1.75 49,1,2024-09-07 10:08:41:054,1234634,1234634,0,0,579642624560,6025432666,1226764,6172,1698,382,391809,0 49,2,2024-09-07 10:08:41:812,893486,893486,0,0,33768782,0,4426 49,3,2024-09-07 10:08:41:424,1,702,12,0,992,8123,702,0 50,0,2024-09-07 10:08:41:515,174436,0.3,173266,0.5,348734,0.2,464123,1.75 50,1,2024-09-07 10:08:41:010,1238039,1238039,0,0,581313084632,6008157249,1230480,6826,733,368,391691,0 50,2,2024-09-07 10:08:41:072,885696,885696,0,0,31676910,0,4490 50,3,2024-09-07 10:08:41:291,1,702,9,0,617,7228,702,0 51,0,2024-09-07 10:08:41:684,180995,0.3,177137,0.5,344885,0.3,470201,1.75 51,1,2024-09-07 10:08:41:682,1239201,1239201,0,0,582349835995,6003227712,1232500,5357,1344,365,391706,0 51,2,2024-09-07 10:08:41:317,887387,887387,0,0,31339410,0,3337 51,3,2024-09-07 10:08:41:052,1,702,1,0,678,5485,702,0 52,0,2024-09-07 10:08:41:417,177716,0.5,177598,0.6,354933,0.4,471807,2.00 52,1,2024-09-07 10:08:40:620,1232880,1232880,0,0,579003268418,6055779883,1216126,14327,2427,368,391805,0 52,2,2024-09-07 10:08:41:759,884022,883984,38,0,42804588,0,6742 52,3,2024-09-07 10:08:40:683,1,702,10,0,1782,7960,702,0 53,0,2024-09-07 10:08:41:750,175503,0.6,170305,0.8,356438,0.6,466258,2.25 53,1,2024-09-07 10:08:40:772,1232355,1232355,0,0,578376966793,6061230127,1212987,14937,4431,367,391968,0 53,2,2024-09-07 10:08:41:297,890896,890758,138,0,39209621,0,7690 53,3,2024-09-07 10:08:40:697,1,702,1,0,308,6519,702,0 54,0,2024-09-07 10:08:41:615,171117,0.5,171742,0.7,341627,0.4,456412,2.25 54,1,2024-09-07 10:08:40:580,1234772,1234772,0,0,579840877375,6025733880,1222973,10124,1675,366,391810,0 54,2,2024-09-07 10:08:40:864,888657,888625,32,0,42488726,0,6397 54,3,2024-09-07 10:08:40:767,1,702,1,0,676,8974,702,0 55,0,2024-09-07 10:08:41:765,171318,0.7,176506,0.8,358334,0.7,466587,2.50 55,1,2024-09-07 10:08:40:771,1235197,1235197,0,0,579150605890,6019605938,1223164,10544,1489,365,391731,0 55,2,2024-09-07 10:08:40:728,884634,884578,56,0,38772467,0,7239 55,3,2024-09-07 10:08:40:675,1,702,0,0,304,6306,702,0 56,0,2024-09-07 10:08:41:555,180990,1.2,170481,1.1,351517,1.5,470749,2.75 56,1,2024-09-07 10:08:40:572,1227549,1227549,0,0,576197886577,6088468459,1208055,15739,3755,381,391867,0 56,2,2024-09-07 10:08:41:303,885193,885071,122,0,41676249,0,7432 56,3,2024-09-07 10:08:41:061,1,702,25,0,705,8332,702,0 57,0,2024-09-07 10:08:40:988,175351,1.3,175275,1.2,350089,1.8,468313,3.00 57,1,2024-09-07 10:08:40:986,1231072,1231072,0,0,577942443679,6059616836,1215352,13014,2706,366,392097,0 57,2,2024-09-07 10:08:41:318,888657,888657,0,0,44498829,0,4804 57,3,2024-09-07 10:08:41:748,1,702,1,0,455,7435,702,0 58,0,2024-09-07 10:08:40:563,170578,0.9,165860,1.0,347009,1.0,453883,2.50 58,1,2024-09-07 10:08:40:577,1232043,1232040,0,3,578058732037,6058232936,1213676,13962,4402,367,391726,3 58,2,2024-09-07 10:08:41:076,890452,890452,0,0,40492818,0,3483 58,3,2024-09-07 10:08:41:070,1,702,4,0,1043,7508,702,0 59,0,2024-09-07 10:08:41:743,176210,0.9,175212,1.0,350473,1.0,466071,2.75 59,1,2024-09-07 10:08:40:805,1231531,1231531,0,0,577899759408,6067024530,1212037,15656,3838,369,391653,0 59,2,2024-09-07 10:08:40:591,885006,885006,0,0,38557652,0,3727 59,3,2024-09-07 10:08:41:737,1,702,1,0,1015,8195,702,0 60,0,2024-09-07 10:08:41:710,176363,0.5,176570,0.7,353008,0.5,471332,2.00 60,1,2024-09-07 10:08:40:772,1235222,1235222,0,0,580029125680,6039004403,1224282,9434,1506,370,392031,0 60,2,2024-09-07 10:08:41:151,887854,887854,0,0,36651509,0,3811 60,3,2024-09-07 10:08:41:259,1,702,0,0,409,8012,702,0 61,0,2024-09-07 10:08:41:562,176777,0.6,177496,0.8,353497,0.6,471561,2.00 61,1,2024-09-07 10:08:40:785,1232295,1232295,0,0,578993106250,6060391891,1219604,10839,1852,382,392127,0 61,2,2024-09-07 10:08:41:118,889528,889461,67,0,37625963,0,6411 61,3,2024-09-07 10:08:41:687,1,702,1,0,607,8597,702,0 62,0,2024-09-07 10:08:41:720,174773,0.5,179131,0.7,341902,0.5,464350,2.00 62,1,2024-09-07 10:08:41:110,1240056,1240050,0,6,582143531368,6008280014,1232792,6641,617,365,391975,6 62,2,2024-09-07 10:08:41:643,889369,889368,1,0,37368813,0,5555 62,3,2024-09-07 10:08:41:143,1,702,1,0,482,5471,702,0 63,0,2024-09-07 10:08:41:451,176104,0.4,175815,0.6,352289,0.3,468734,1.75 63,1,2024-09-07 10:08:40:807,1236563,1236557,0,6,580247745844,6025870803,1228641,6965,951,381,391800,6 63,2,2024-09-07 10:08:40:767,885133,885133,0,0,36476437,0,4369 63,3,2024-09-07 10:08:41:737,1,702,1,0,667,8084,702,0 64,0,2024-09-07 10:08:41:549,175004,0.5,174955,0.7,349476,0.5,465506,2.00 64,1,2024-09-07 10:08:40:753,1234794,1234794,0,0,579839601351,6034318362,1224580,8185,2029,370,391794,0 64,2,2024-09-07 10:08:41:146,890195,890176,19,0,35077968,0,6121 64,3,2024-09-07 10:08:41:152,1,702,7,0,651,7909,702,0 65,0,2024-09-07 10:08:41:712,175700,0.6,175973,0.7,351147,0.6,468423,2.00 65,1,2024-09-07 10:08:40:873,1233075,1233075,0,0,578454945692,6042416747,1224827,7350,898,381,391901,0 65,2,2024-09-07 10:08:41:692,887213,887213,0,0,42117824,0,3367 65,3,2024-09-07 10:08:41:685,1,702,0,0,782,8182,702,0 66,0,2024-09-07 10:08:41:768,174971,0.5,174343,0.7,348664,0.4,464002,2.00 66,1,2024-09-07 10:08:41:293,1235171,1235171,0,0,579966194775,6033703266,1227662,6635,874,380,391743,0 66,2,2024-09-07 10:08:41:142,893869,893866,3,0,36904748,0,5455 66,3,2024-09-07 10:08:41:084,1,702,1,0,291,6177,702,0 67,0,2024-09-07 10:08:41:424,173062,0.5,172643,0.7,345941,0.4,461054,2.00 67,1,2024-09-07 10:08:40:778,1234732,1234731,0,1,579563820016,6031890781,1226297,7294,1140,380,391787,1 67,2,2024-09-07 10:08:40:591,889811,889796,15,0,37333164,0,6205 67,3,2024-09-07 10:08:41:757,1,702,2,0,595,7360,702,0 68,0,2024-09-07 10:08:40:572,176886,0.6,176713,0.7,351882,0.6,470327,2.25 68,1,2024-09-07 10:08:40:571,1230162,1230162,0,0,576700071348,6065309075,1213431,12457,4274,381,391953,0 68,2,2024-09-07 10:08:41:056,881761,881661,100,0,45434963,0,8578 68,3,2024-09-07 10:08:40:728,1,702,2,0,417,9808,702,0 69,0,2024-09-07 10:08:41:754,177384,0.7,177967,0.8,355021,0.7,472509,2.25 69,1,2024-09-07 10:08:41:027,1229553,1229553,0,0,576783186699,6073428095,1214625,11943,2985,383,391994,0 69,2,2024-09-07 10:08:41:749,883654,883625,29,0,48569082,0,6912 69,3,2024-09-07 10:08:40:760,1,702,1,0,698,10405,702,0 70,0,2024-09-07 10:08:41:530,175047,0.7,175831,0.9,352481,0.6,467559,2.50 70,1,2024-09-07 10:08:40:802,1236204,1236204,0,0,580856106334,6026721673,1226735,8424,1045,366,391725,0 70,2,2024-09-07 10:08:41:328,890167,890167,0,0,39862615,0,4323 70,3,2024-09-07 10:08:40:746,1,702,1,0,854,7733,702,0 71,0,2024-09-07 10:08:41:362,171652,0.8,171268,0.9,343278,0.9,458194,2.50 71,1,2024-09-07 10:08:41:596,1233842,1233842,0,0,579015259285,6038527499,1219404,12652,1786,367,391738,0 71,2,2024-09-07 10:08:41:067,887716,887716,0,0,40324115,0,4352 71,3,2024-09-07 10:08:41:759,1,702,1,0,644,7656,702,0 72,0,2024-09-07 10:08:41:022,183920,0.5,179549,0.7,350910,0.5,476702,2.00 72,1,2024-09-07 10:08:41:021,1232106,1232106,0,0,577856685744,6056182439,1215239,14133,2734,369,391819,0 72,2,2024-09-07 10:08:41:763,883110,883110,0,0,42146377,0,3983 72,3,2024-09-07 10:08:41:762,1,702,9,0,564,9595,702,0 73,0,2024-09-07 10:08:41:104,172960,0.5,177442,0.6,362734,0.4,472390,2.00 73,1,2024-09-07 10:08:40:777,1233943,1233943,0,0,579116230225,6018466294,1224408,8468,1067,367,391858,0 73,2,2024-09-07 10:08:41:758,885658,885657,1,0,44128543,0,5027 73,3,2024-09-07 10:08:40:975,1,702,18,0,1091,9393,702,0 74,0,2024-09-07 10:08:41:324,178236,0.5,182506,0.7,348135,0.4,472979,2.00 74,1,2024-09-07 10:08:40:635,1232970,1232970,0,0,578445007488,6033389347,1220609,10213,2148,381,391762,0 74,2,2024-09-07 10:08:41:010,888194,888194,0,0,38421436,0,4253 74,3,2024-09-07 10:08:41:443,1,702,11,0,522,7938,702,0 75,0,2024-09-07 10:08:41:766,173195,0.5,172223,0.7,345837,0.4,462161,2.00 75,1,2024-09-07 10:08:41:595,1234114,1234114,0,0,579484409491,6036088189,1224228,8892,994,380,391739,0 75,2,2024-09-07 10:08:41:354,889752,889752,0,0,45540515,0,4766 75,3,2024-09-07 10:08:41:080,1,702,32,0,918,9589,702,0 76,0,2024-09-07 10:08:40:587,175879,0.6,175324,0.7,350298,0.5,468554,2.25 76,1,2024-09-07 10:08:40:839,1233276,1233276,0,0,578124796460,6030616648,1224378,7588,1310,382,391790,0 76,2,2024-09-07 10:08:41:061,888065,888062,3,0,38303164,0,5265 76,3,2024-09-07 10:08:41:143,1,702,7,0,249,6541,702,0 77,0,2024-09-07 10:08:41:707,175620,0.6,175958,0.7,352216,0.6,468567,2.00 77,1,2024-09-07 10:08:40:827,1232473,1232473,0,0,578778303054,6042869098,1222199,9186,1088,381,391869,0 77,2,2024-09-07 10:08:41:284,886386,886386,0,0,36970604,0,3890 77,3,2024-09-07 10:08:41:102,1,702,1,0,401,7873,702,0 78,0,2024-09-07 10:08:41:722,177459,0.4,176608,0.6,354721,0.4,469246,2.00 78,1,2024-09-07 10:08:40:613,1232700,1232700,0,0,578578257427,6037005626,1217162,12206,3332,367,391670,0 78,2,2024-09-07 10:08:41:405,889860,889847,13,0,34621347,0,8313 78,3,2024-09-07 10:08:41:139,1,702,1,0,311,5979,702,0 79,0,2024-09-07 10:08:41:344,168538,0.4,172658,0.6,353628,0.3,460725,2.00 79,1,2024-09-07 10:08:40:571,1237443,1237443,0,0,581050718078,6017233331,1227626,8015,1802,367,391682,0 79,2,2024-09-07 10:08:41:068,892816,892816,0,0,33654226,0,4195 79,3,2024-09-07 10:08:40:749,1,702,110,0,418,8160,702,0 80,0,2024-09-07 10:08:41:097,174293,0.5,178900,0.7,341888,0.5,463247,2.00 80,1,2024-09-07 10:08:41:627,1233573,1233573,0,0,579477750836,6032108697,1225581,7409,583,368,392269,0 80,2,2024-09-07 10:08:41:094,889015,889015,0,0,34147710,0,4433 80,3,2024-09-07 10:08:40:576,1,702,14,0,681,8431,702,0 81,0,2024-09-07 10:08:41:553,176373,0.6,180475,0.7,344250,0.6,466837,2.00 81,1,2024-09-07 10:08:41:650,1232812,1232812,0,0,577728703352,6037541888,1222940,8877,995,382,392001,0 81,2,2024-09-07 10:08:41:131,886586,886523,63,0,38018084,0,5932 81,3,2024-09-07 10:08:41:117,1,702,0,0,719,7644,702,0 82,0,2024-09-07 10:08:41:531,177625,0.5,177649,0.7,356014,0.5,472319,2.00 82,1,2024-09-07 10:08:40:586,1234565,1234561,0,4,578609637119,6018781998,1227624,5813,1124,381,391768,4 82,2,2024-09-07 10:08:41:691,890675,890675,0,0,31618376,0,4484 82,3,2024-09-07 10:08:41:758,1,702,1,0,363,6284,702,0 83,0,2024-09-07 10:08:41:582,176209,0.5,176061,0.7,351754,0.5,466905,2.00 83,1,2024-09-07 10:08:40:567,1233474,1233474,0,0,579165171465,6036157059,1224482,7964,1028,382,391709,0 83,2,2024-09-07 10:08:40:767,891526,891501,25,0,34518858,0,5612 83,3,2024-09-07 10:08:40:749,1,702,1,0,1260,8164,702,0 84,0,2024-09-07 10:08:41:876,171413,0.7,171509,0.8,343037,0.7,458207,2.25 84,1,2024-09-07 10:08:41:053,1231823,1231823,0,0,577905462964,6043074271,1218121,11617,2085,367,391967,0 84,2,2024-09-07 10:08:40:589,886064,885654,410,0,49823681,0,17037 84,3,2024-09-07 10:08:41:141,1,702,1,0,908,9694,702,0 85,0,2024-09-07 10:08:41:005,170595,0.7,170598,0.8,362210,0.7,467122,2.25 85,1,2024-09-07 10:08:40:573,1227809,1227809,0,0,576203015213,6077405564,1210001,14876,2932,381,392092,0 85,2,2024-09-07 10:08:40:864,884696,884696,0,0,42234296,0,4255 85,3,2024-09-07 10:08:40:689,1,702,2,0,789,8459,702,0 86,0,2024-09-07 10:08:40:896,176925,0.7,182007,0.8,348518,0.8,471473,2.25 86,1,2024-09-07 10:08:40:825,1230471,1230471,0,0,577734774090,6065318480,1212774,14381,3316,366,392169,0 86,2,2024-09-07 10:08:40:883,886104,886103,1,0,44266240,0,5004 86,3,2024-09-07 10:08:40:586,1,702,1,0,441,9645,702,0 87,0,2024-09-07 10:08:41:298,177622,0.7,176342,0.8,353252,0.9,472171,2.25 87,1,2024-09-07 10:08:40:550,1231097,1231097,0,0,577187841901,6043580177,1216155,12777,2165,366,392076,0 87,2,2024-09-07 10:08:41:068,887841,887835,6,0,41700532,0,6323 87,3,2024-09-07 10:08:41:798,1,702,1,0,473,9828,702,0 88,0,2024-09-07 10:08:41:457,173014,0.4,173429,0.6,346870,0.4,461789,1.75 88,1,2024-09-07 10:08:40:572,1229568,1229568,0,0,577176671834,6045697554,1212749,13675,3144,365,392084,0 88,2,2024-09-07 10:08:40:688,889693,889693,0,0,43784484,0,4465 88,3,2024-09-07 10:08:41:268,1,702,14,0,1080,11167,702,0 89,0,2024-09-07 10:08:41:786,182313,0.4,177153,0.6,348746,0.4,474530,1.75 89,1,2024-09-07 10:08:40:553,1229776,1229776,0,0,577569286545,6070596054,1215087,12408,2281,382,392084,0 89,2,2024-09-07 10:08:41:141,884872,884872,0,0,41057779,0,3173 89,3,2024-09-07 10:08:41:799,1,702,1,0,729,12352,702,0 90,0,2024-09-07 10:08:41:641,171291,0.5,175850,0.7,359123,0.5,468909,2.00 90,1,2024-09-07 10:08:40:590,1231389,1231389,0,0,577773642852,6052379007,1218929,11189,1271,380,391825,0 90,2,2024-09-07 10:08:41:406,882732,882727,5,0,44401872,0,6370 90,3,2024-09-07 10:08:40:930,1,702,1,0,364,9184,702,0 91,0,2024-09-07 10:08:40:923,177520,0.5,172217,0.6,360257,0.4,472427,1.75 91,1,2024-09-07 10:08:40:559,1228339,1228339,0,0,577647098798,6089456187,1209623,14568,4148,381,392047,0 91,2,2024-09-07 10:08:41:335,887610,887610,0,0,39728751,0,4713 91,3,2024-09-07 10:08:40:598,1,702,1,0,231,6540,702,0 92,0,2024-09-07 10:08:41:469,175650,0.4,179652,0.6,342558,0.4,464732,1.75 92,1,2024-09-07 10:08:40:591,1232259,1232259,0,0,578481008282,6041830743,1222756,8191,1312,381,392136,0 92,2,2024-09-07 10:08:41:355,891331,891331,0,0,36159410,0,3906 92,3,2024-09-07 10:08:41:010,1,702,8,0,322,6251,702,0 93,0,2024-09-07 10:08:40:955,176593,0.4,180983,0.6,345336,0.3,468396,1.75 93,1,2024-09-07 10:08:40:822,1232888,1232888,0,0,578752102518,6046203956,1218480,11972,2436,365,392048,0 93,2,2024-09-07 10:08:40:937,885347,885347,0,0,41463736,0,4913 93,3,2024-09-07 10:08:41:408,1,702,0,0,788,8645,702,0 94,0,2024-09-07 10:08:41:613,174948,0.4,176154,0.6,352684,0.4,467793,1.75 94,1,2024-09-07 10:08:40:572,1234569,1234569,0,0,579686605533,6044351639,1226285,7633,651,381,391850,0 94,2,2024-09-07 10:08:40:760,886999,886971,28,0,36164426,0,6179 94,3,2024-09-07 10:08:41:688,1,702,35,0,576,8450,702,0 95,0,2024-09-07 10:08:41:368,177077,0.4,176755,0.5,353770,0.3,471411,1.75 95,1,2024-09-07 10:08:40:853,1234881,1234881,0,0,579438233467,6020292184,1225509,8559,813,365,391852,0 95,2,2024-09-07 10:08:41:019,886611,886611,0,0,36342130,0,3308 95,3,2024-09-07 10:08:41:713,1,702,0,0,718,10500,702,0 96,0,2024-09-07 10:08:41:023,175281,0.3,175622,0.5,351367,0.3,466651,1.75 96,1,2024-09-07 10:08:41:590,1232243,1232243,0,0,578391390259,6033879584,1223316,7549,1378,384,392292,0 96,2,2024-09-07 10:08:41:268,893072,893072,0,0,36760404,0,4225 96,3,2024-09-07 10:08:41:142,1,702,1,0,411,7793,702,0 97,0,2024-09-07 10:08:41:374,172987,0.3,172872,0.5,346424,0.3,461111,1.50 97,1,2024-09-07 10:08:40:768,1235540,1235540,0,0,580032931721,6017579226,1227604,6693,1243,367,392140,0 97,2,2024-09-07 10:08:40:607,888552,888552,0,0,36751913,0,4600 97,3,2024-09-07 10:08:40:570,1,702,19,0,433,8506,702,0 98,0,2024-09-07 10:08:41:692,176386,0.3,176530,0.5,354460,0.3,470598,1.50 98,1,2024-09-07 10:08:40:573,1234017,1234017,0,0,578585526081,6025240003,1226569,6549,899,381,391997,0 98,2,2024-09-07 10:08:40:769,885705,885705,0,0,35056532,0,4336 98,3,2024-09-07 10:08:40:702,1,702,0,0,840,9711,702,0 99,0,2024-09-07 10:08:41:482,178206,0.3,179059,0.5,356817,0.3,475253,1.75 99,1,2024-09-07 10:08:41:733,1235029,1235029,0,0,578740058485,6022385204,1227239,6681,1109,380,392069,0 99,2,2024-09-07 10:08:41:416,886656,886656,0,0,44275983,0,4276 99,3,2024-09-07 10:08:40:590,1,702,58,0,1124,8864,702,0 100,0,2024-09-07 10:08:41:479,176427,0.7,177015,0.9,353815,0.8,471509,2.50 100,1,2024-09-07 10:08:40:554,1227520,1227520,0,0,575322381531,6083946794,1208373,15350,3797,378,391989,0 100,2,2024-09-07 10:08:41:839,885664,885275,389,0,46170731,0,16909 100,3,2024-09-07 10:08:41:742,1,702,4,0,627,10786,702,0 101,0,2024-09-07 10:08:41:708,176416,0.9,172227,1.0,336801,0.8,460176,2.25 101,1,2024-09-07 10:08:40:557,1229892,1229892,0,0,577365630655,6066167855,1213294,13646,2952,368,392018,0 101,2,2024-09-07 10:08:41:771,884042,884003,39,0,47785792,0,5913 101,3,2024-09-07 10:08:40:943,1,702,15,0,1250,10731,702,0 102,0,2024-09-07 10:08:40:966,173220,0.6,178341,0.8,362618,0.7,473418,2.25 102,1,2024-09-07 10:08:41:143,1229993,1229993,0,0,577251176542,6059984636,1214163,13573,2257,369,391984,0 102,2,2024-09-07 10:08:41:745,886253,886199,54,0,39541930,0,6768 102,3,2024-09-07 10:08:41:622,1,702,1,0,466,8104,702,0 103,0,2024-09-07 10:08:41:625,183126,0.7,183084,0.8,345027,0.8,474807,2.25 103,1,2024-09-07 10:08:41:631,1228685,1228685,0,0,576519776769,6083977077,1209773,15285,3627,381,392077,0 103,2,2024-09-07 10:08:40:582,884638,884638,0,0,43079397,0,3766 103,3,2024-09-07 10:08:40:755,1,702,1,0,916,8248,702,0 104,0,2024-09-07 10:08:41:013,175866,0.7,176216,0.9,351625,0.7,470142,2.25 104,1,2024-09-07 10:08:41:608,1230589,1230589,0,0,577508764153,6068231993,1212143,14982,3464,365,392168,0 104,2,2024-09-07 10:08:41:676,886113,886113,0,0,42147763,0,4161 104,3,2024-09-07 10:08:41:421,1,702,28,0,1245,11851,702,0 105,0,2024-09-07 10:08:41:088,171214,0.8,166830,1.0,349877,0.8,459764,2.50 105,1,2024-09-07 10:08:40:559,1232660,1232660,0,0,578134278628,6053010934,1217869,12674,2117,364,392009,0 105,2,2024-09-07 10:08:41:322,889209,889209,0,0,43495628,0,4360 105,3,2024-09-07 10:08:41:308,1,702,3,0,573,10392,702,0 106,0,2024-09-07 10:08:40:946,170363,0.9,174696,1.0,357162,1.1,466739,2.50 106,1,2024-09-07 10:08:41:788,1231203,1231203,0,0,577452169719,6056102786,1214763,14288,2152,368,391914,0 106,2,2024-09-07 10:08:40:756,885365,885365,0,0,39952591,0,3331 106,3,2024-09-07 10:08:40:682,1,702,10,0,1224,9721,702,0 107,0,2024-09-07 10:08:41:109,175728,1.3,175664,1.1,351579,1.9,469444,2.25 107,1,2024-09-07 10:08:40:585,1228304,1228304,0,0,575552375813,6080723911,1209197,16851,2256,381,392234,0 107,2,2024-09-07 10:08:41:290,882707,882706,1,0,43721078,0,5024 107,3,2024-09-07 10:08:41:760,1,702,1,0,733,11028,702,0 108,0,2024-09-07 10:08:41:779,176506,0.4,177368,0.6,353735,0.4,471040,1.75 108,1,2024-09-07 10:08:41:293,1232148,1232148,0,0,578082610976,6035322892,1220668,10217,1263,367,391894,0 108,2,2024-09-07 10:08:41:762,888639,888639,0,0,38998644,0,4432 108,3,2024-09-07 10:08:41:335,1,702,18,0,767,12602,702,0 109,0,2024-09-07 10:08:41:782,175189,0.4,173847,0.6,348627,0.3,465255,1.75 109,1,2024-09-07 10:08:40:583,1230266,1230266,0,0,577662565401,6059347274,1219603,9156,1507,382,392132,0 109,2,2024-09-07 10:08:40:926,889903,889903,0,0,39055901,0,3617 109,3,2024-09-07 10:08:41:152,1,702,1,0,630,7980,702,0 110,0,2024-09-07 10:08:41:763,173945,0.4,169115,0.6,354161,0.3,465154,1.75 110,1,2024-09-07 10:08:41:648,1235007,1235007,0,0,580147963051,6022991716,1225538,7384,2085,368,392045,0 110,2,2024-09-07 10:08:41:312,886367,886367,0,0,38091274,0,4067 110,3,2024-09-07 10:08:40:693,1,702,2,0,722,9437,702,0 111,0,2024-09-07 10:08:41:415,177083,0.4,176253,0.5,352092,0.3,469694,1.75 111,1,2024-09-07 10:08:41:014,1236239,1236239,0,0,580639995316,6027993690,1228981,6751,507,380,391690,0 111,2,2024-09-07 10:08:41:121,886700,886700,0,0,37519940,0,4823 111,3,2024-09-07 10:08:40:916,1,702,1,0,379,7844,702,0 112,0,2024-09-07 10:08:40:987,178309,0.3,177951,0.4,356725,0.2,473625,1.50 112,1,2024-09-07 10:08:40:905,1234919,1234919,0,0,579947246991,6017897414,1227292,6400,1227,380,391624,0 112,2,2024-09-07 10:08:41:134,887969,887968,1,0,35336884,0,5036 112,3,2024-09-07 10:08:40:596,1,702,1,0,282,6880,702,0 113,0,2024-09-07 10:08:40:905,176050,0.3,176459,0.5,353164,0.2,469237,1.75 113,1,2024-09-07 10:08:41:731,1238794,1238794,0,0,581089817878,5993259625,1231875,5968,951,365,391664,0 113,2,2024-09-07 10:08:41:303,893830,893830,0,0,32723100,0,3813 113,3,2024-09-07 10:08:40:689,1,702,2,0,510,7024,702,0 114,0,2024-09-07 10:08:40:881,172924,0.3,174106,0.5,347249,0.2,463787,1.75 114,1,2024-09-07 10:08:40:717,1234509,1234509,0,0,579769622868,6018069509,1225678,7027,1804,381,391565,0 114,2,2024-09-07 10:08:40:872,890317,890316,1,0,35124150,0,5069 114,3,2024-09-07 10:08:41:278,1,702,9,0,415,5701,702,0 115,0,2024-09-07 10:08:40:554,178363,0.3,178826,0.4,356395,0.2,473724,1.50 115,1,2024-09-07 10:08:40:571,1235699,1235699,0,0,579903910918,6022225530,1226142,7765,1792,382,391757,0 115,2,2024-09-07 10:08:41:125,887723,887723,0,0,34990047,0,4382 115,3,2024-09-07 10:08:41:002,1,702,1,0,173,4423,702,0 116,0,2024-09-07 10:08:41:760,176938,0.7,176974,0.9,354551,0.8,474115,2.25 116,1,2024-09-07 10:08:40:886,1228790,1228790,0,0,577599108562,6082362812,1214684,10888,3218,380,392089,0 116,2,2024-09-07 10:08:41:771,886900,886900,0,0,42587187,0,4475 116,3,2024-09-07 10:08:40:915,1,702,2,0,448,8931,702,0 117,0,2024-09-07 10:08:40:952,177318,0.6,177031,0.8,354408,0.6,473543,2.00 117,1,2024-09-07 10:08:41:580,1231329,1231329,0,0,577212687415,6045274544,1217452,12108,1769,369,392429,0 117,2,2024-09-07 10:08:41:118,890118,890118,0,0,39148015,0,4303 117,3,2024-09-07 10:08:41:061,1,702,1,0,490,8544,702,0 118,0,2024-09-07 10:08:41:781,167807,0.5,172386,0.7,351667,0.5,460019,2.00 118,1,2024-09-07 10:08:40:594,1229705,1229705,0,0,577290996678,6064815128,1212185,13672,3848,366,392054,0 118,2,2024-09-07 10:08:41:587,889772,889772,0,0,41723805,0,3161 118,3,2024-09-07 10:08:41:772,1,702,1,0,343,8356,702,0 119,0,2024-09-07 10:08:41:368,177171,0.7,177444,0.8,354446,0.7,473144,2.25 119,1,2024-09-07 10:08:40:550,1230853,1230853,0,0,578508465145,6063623723,1214827,13358,2668,367,391857,0 119,2,2024-09-07 10:08:41:261,885639,885639,0,0,38420047,0,4309 119,3,2024-09-07 10:08:41:338,1,702,20,0,1358,12229,702,0 120,0,2024-09-07 10:08:41:551,175506,0.7,175602,0.9,352392,0.7,470227,2.50 120,1,2024-09-07 10:08:40:859,1231679,1231679,0,0,577401102448,6052522281,1219003,11517,1159,367,392144,0 120,2,2024-09-07 10:08:40:775,885567,885564,3,0,46245739,0,5363 120,3,2024-09-07 10:08:41:289,1,702,3,0,478,8962,702,0 121,0,2024-09-07 10:08:41:892,176973,1.1,176442,1.0,352984,1.4,470282,2.25 121,1,2024-09-07 10:08:41:657,1231218,1231218,0,0,577522905146,6044185322,1217687,11534,1997,366,391840,0 121,2,2024-09-07 10:08:41:126,888168,888168,0,0,42069783,0,4157 121,3,2024-09-07 10:08:40:733,1,702,1,0,387,9317,702,0 122,0,2024-09-07 10:08:41:850,173368,0.7,168813,0.8,353059,0.8,464093,2.00 122,1,2024-09-07 10:08:40:858,1230289,1230289,0,0,577478489275,6055135296,1214009,13701,2579,365,392130,0 122,2,2024-09-07 10:08:41:321,890839,890764,75,0,46204854,0,5989 122,3,2024-09-07 10:08:40:594,1,702,1,0,512,10587,702,0 123,0,2024-09-07 10:08:40:948,174481,0.8,170154,0.8,356771,0.9,466562,2.25 123,1,2024-09-07 10:08:40:561,1231478,1231478,0,0,577510223314,6070118251,1212378,16071,3029,369,392039,0 123,2,2024-09-07 10:08:41:018,884763,884762,1,0,39549430,0,5215 123,3,2024-09-07 10:08:41:138,1,702,1,0,478,7912,702,0 124,0,2024-09-07 10:08:40:939,180645,0.4,180710,0.5,340452,0.3,468599,1.75 124,1,2024-09-07 10:08:41:022,1235248,1235248,0,0,579194430855,6022019953,1225707,8279,1262,365,392178,0 124,2,2024-09-07 10:08:41:010,888636,888583,53,0,36774736,0,6487 124,3,2024-09-07 10:08:40:760,1,702,1,0,490,7247,702,0 125,0,2024-09-07 10:08:41:419,176878,0.4,176780,0.6,354380,0.3,471802,1.75 125,1,2024-09-07 10:08:40:858,1231771,1231771,0,0,578509667957,6044355730,1220717,9469,1585,382,392045,0 125,2,2024-09-07 10:08:41:117,891155,891155,0,0,36323816,0,4534 125,3,2024-09-07 10:08:41:125,1,702,1,0,709,7828,702,0 126,0,2024-09-07 10:08:41:528,175626,0.4,180616,0.5,345263,0.3,467405,1.75 126,1,2024-09-07 10:08:40:551,1235613,1235613,0,0,580308992775,6012330434,1228335,6576,702,365,391987,0 126,2,2024-09-07 10:08:40:609,893063,893063,0,0,38114547,0,4539 126,3,2024-09-07 10:08:40:914,1,702,7,0,268,7887,702,0 127,0,2024-09-07 10:08:41:676,173333,0.3,173686,0.5,346196,0.3,461438,1.75 127,1,2024-09-07 10:08:40:570,1234354,1234354,0,0,578498345363,6018983451,1221891,10686,1777,364,392187,0 127,2,2024-09-07 10:08:40:637,888022,888018,4,0,35924239,0,5305 127,3,2024-09-07 10:08:41:267,1,702,3,0,968,7008,702,0 128,0,2024-09-07 10:08:41:547,177614,0.3,177428,0.4,355049,0.2,471517,1.50 128,1,2024-09-07 10:08:41:612,1233778,1233778,0,0,579570062872,6024212951,1224784,8022,972,367,392423,0 128,2,2024-09-07 10:08:41:382,888336,888336,0,0,33450579,0,3171 128,3,2024-09-07 10:08:40:767,1,702,1,0,1082,10395,702,0 129,0,2024-09-07 10:08:41:013,179547,0.3,178712,0.5,358570,0.3,476069,1.50 129,1,2024-09-07 10:08:40:572,1230389,1230389,0,0,577088562208,6052066580,1217436,10623,2330,379,391962,0 129,2,2024-09-07 10:08:40:691,889062,889058,4,0,36224508,0,5335 129,3,2024-09-07 10:08:40:688,1,702,1,0,506,10047,702,0 130,0,2024-09-07 10:08:41:763,177600,0.4,177427,0.5,355398,0.4,473058,1.75 130,1,2024-09-07 10:08:40:584,1235139,1235139,0,0,580187330478,6022960385,1229284,5447,408,381,391836,0 130,2,2024-09-07 10:08:41:125,890238,890238,0,0,36018239,0,4067 130,3,2024-09-07 10:08:41:294,1,702,4,0,960,8931,702,0 131,0,2024-09-07 10:08:41:942,172760,0.3,173144,0.5,347251,0.3,461746,1.50 131,1,2024-09-07 10:08:41:819,1234359,1234359,0,0,579279998983,6034684012,1226202,6945,1212,381,391865,0 131,2,2024-09-07 10:08:40:573,890014,890014,0,0,34262399,0,3979 131,3,2024-09-07 10:08:41:689,1,702,2,0,392,8722,702,0 132,0,2024-09-07 10:08:41:411,178636,0.5,179616,0.6,357436,0.4,475567,2.00 132,1,2024-09-07 10:08:40:582,1230074,1230074,0,0,577043208635,6069027833,1213563,13728,2783,381,392532,0 132,2,2024-09-07 10:08:40:705,885070,885053,17,0,42940196,0,6451 132,3,2024-09-07 10:08:41:688,1,702,1,0,1298,11895,702,0 133,0,2024-09-07 10:08:41:521,173183,0.5,177303,0.6,363512,0.4,473089,2.00 133,1,2024-09-07 10:08:40:583,1229292,1229292,0,0,576516858318,6069126328,1213832,13524,1936,383,391914,0 133,2,2024-09-07 10:08:41:093,887302,887252,50,0,44018090,0,6861 133,3,2024-09-07 10:08:41:301,1,702,1,0,528,8432,702,0 134,0,2024-09-07 10:08:40:938,177823,0.5,177799,0.7,355268,0.5,473384,2.00 134,1,2024-09-07 10:08:40:588,1231053,1231053,0,0,576373148551,6045502173,1214965,12796,3292,366,391781,0 134,2,2024-09-07 10:08:41:767,887774,887635,139,0,41811953,0,7591 134,3,2024-09-07 10:08:40:750,1,702,19,0,739,8535,702,0 135,0,2024-09-07 10:08:41:104,167779,0.7,167747,0.8,356048,0.7,459359,2.25 135,1,2024-09-07 10:08:41:619,1230612,1230612,0,0,578202524332,6074568062,1216186,12573,1853,380,392038,0 135,2,2024-09-07 10:08:40:687,891808,891808,0,0,42314139,0,4503 135,3,2024-09-07 10:08:41:002,1,702,1,0,900,6680,702,0 136,0,2024-09-07 10:08:41:655,176401,0.6,176926,0.8,352141,0.6,469923,2.00 136,1,2024-09-07 10:08:41:453,1231804,1231804,0,0,578095998654,6054301076,1218969,11409,1426,381,392135,0 136,2,2024-09-07 10:08:41:133,887242,887227,15,0,41140928,0,6007 136,3,2024-09-07 10:08:41:108,1,702,0,0,637,8221,702,0 137,0,2024-09-07 10:08:40:964,181531,0.6,177018,0.8,346523,0.7,471142,2.00 137,1,2024-09-07 10:08:40:578,1230773,1230773,0,0,577911163708,6056863298,1212290,14839,3644,366,391898,0 137,2,2024-09-07 10:08:41:724,885375,885375,0,0,42320671,0,3185 137,3,2024-09-07 10:08:40:770,1,702,3,0,484,9846,702,0 138,0,2024-09-07 10:08:41:760,175894,0.9,176191,0.9,352923,1.1,469319,2.25 138,1,2024-09-07 10:08:41:686,1230444,1230444,0,0,578218431191,6065129485,1213304,14449,2691,368,391954,0 138,2,2024-09-07 10:08:40:586,886994,886994,0,0,40843864,0,4988 138,3,2024-09-07 10:08:40:610,1,702,1,0,1200,10378,702,0 139,0,2024-09-07 10:08:41:366,173141,0.9,173798,0.9,347294,1.1,463576,2.25 139,1,2024-09-07 10:08:40:580,1226873,1226873,0,0,574975350516,6088372636,1206922,16037,3914,380,392109,0 139,2,2024-09-07 10:08:40:690,885423,885393,30,0,46367549,0,5997 139,3,2024-09-07 10:08:41:667,1,702,2,0,432,8262,702,0 140,0,2024-09-07 10:08:41:586,174636,0.3,173985,0.5,348685,0.2,465301,1.75 140,1,2024-09-07 10:08:41:536,1238363,1238363,0,0,581303552129,5998201838,1231556,6003,804,364,391628,0 140,2,2024-09-07 10:08:40:687,886767,886766,1,0,34699614,0,5036 140,3,2024-09-07 10:08:40:768,1,702,1,0,575,7376,702,0 141,0,2024-09-07 10:08:41:698,176906,0.3,181670,0.5,347015,0.3,469321,1.75 141,1,2024-09-07 10:08:40:859,1235309,1235309,0,0,580346226465,6031657478,1224963,8953,1393,379,391614,0 141,2,2024-09-07 10:08:41:686,887311,887300,11,0,37294064,0,5369 141,3,2024-09-07 10:08:41:052,1,702,51,0,391,8185,702,0 142,0,2024-09-07 10:08:41:308,178655,0.3,177838,0.5,356036,0.3,474533,1.50 142,1,2024-09-07 10:08:40:584,1233240,1233240,0,0,579016942423,6040951072,1224180,8166,894,382,392102,0 142,2,2024-09-07 10:08:41:299,887241,887209,32,0,36914470,0,6028 142,3,2024-09-07 10:08:41:775,1,702,2,0,484,7060,702,0 143,0,2024-09-07 10:08:41:371,176218,0.4,176001,0.5,353449,0.4,469161,1.75 143,1,2024-09-07 10:08:40:564,1236407,1236407,0,0,580145709789,6020566937,1227999,7437,971,367,391900,0 143,2,2024-09-07 10:08:40:769,892353,892353,0,0,36531493,0,3123 143,3,2024-09-07 10:08:41:155,1,702,17,0,462,8131,702,0 144,0,2024-09-07 10:08:41:503,167731,0.5,172533,0.7,351227,0.5,459967,2.00 144,1,2024-09-07 10:08:40:565,1230296,1230296,0,0,578158525904,6063441469,1218542,9709,2045,381,391733,0 144,2,2024-09-07 10:08:41:766,889360,889360,0,0,36043584,0,4443 144,3,2024-09-07 10:08:41:744,1,702,3,0,306,7478,702,0 145,0,2024-09-07 10:08:41:369,171885,0.6,171840,0.8,364791,0.6,469024,2.25 145,1,2024-09-07 10:08:40:553,1229223,1229223,0,0,577099537314,6071225370,1213016,13239,2968,382,391781,0 145,2,2024-09-07 10:08:41:429,884614,884532,82,0,42132499,0,7814 145,3,2024-09-07 10:08:40:895,1,702,1,0,622,9412,702,0 146,0,2024-09-07 10:08:41:609,177551,0.7,176664,0.8,355277,0.7,472199,2.25 146,1,2024-09-07 10:08:41:594,1230955,1230955,0,0,577351488661,6069423140,1211798,14227,4930,367,391829,0 146,2,2024-09-07 10:08:41:716,885052,885046,6,0,40213402,0,5151 146,3,2024-09-07 10:08:41:276,1,702,12,0,1520,10929,702,0 147,0,2024-09-07 10:08:41:714,177318,0.6,177135,0.7,354214,0.5,472596,2.00 147,1,2024-09-07 10:08:41:374,1235229,1235229,0,0,579347584704,6021587677,1225266,8862,1101,367,391791,0 147,2,2024-09-07 10:08:41:009,888770,888770,0,0,37873662,0,4531 147,3,2024-09-07 10:08:40:913,1,702,14,0,1626,10464,702,0 0,0,2024-09-07 10:08:51:753,171791,0.7,171795,0.8,364674,0.8,471631,2.00 0,1,2024-09-07 10:08:50:803,1233828,1233828,0,0,579541268882,6064602498,1223936,8914,978,368,391896,0 0,2,2024-09-07 10:08:51:069,888817,888817,0,0,35708009,0,4480 0,3,2024-09-07 10:08:50:974,1,703,1,0,538,9961,703,0 1,0,2024-09-07 10:08:51:764,176984,0.8,176050,0.9,353119,0.9,472471,2.00 1,1,2024-09-07 10:08:50:557,1232793,1232793,0,0,577508178861,6049366678,1221386,9435,1972,370,391859,0 1,2,2024-09-07 10:08:50:639,890915,890915,0,0,35293333,0,3380 1,3,2024-09-07 10:08:51:308,1,703,1,0,269,8295,703,0 2,0,2024-09-07 10:08:51:569,174185,0.5,173936,0.7,347610,0.5,463648,2.00 2,1,2024-09-07 10:08:50:859,1236767,1236767,0,0,579449632398,6024159845,1229833,5972,962,379,391805,0 2,2,2024-09-07 10:08:51:277,893011,893011,0,0,34075232,0,3594 2,3,2024-09-07 10:08:50:690,1,703,1,0,357,6137,703,0 3,0,2024-09-07 10:08:51:752,175912,0.4,175725,0.6,351010,0.4,468119,2.00 3,1,2024-09-07 10:08:51:632,1234643,1234643,0,0,579109290668,6022444165,1226047,7878,718,379,391716,0 3,2,2024-09-07 10:08:51:145,888948,888925,23,0,34754285,0,5851 3,3,2024-09-07 10:08:51:761,1,703,1,0,484,5253,703,0 4,0,2024-09-07 10:08:51:791,170725,0.4,175255,0.5,357704,0.4,467518,1.75 4,1,2024-09-07 10:08:50:601,1229463,1229463,0,0,576761784253,6097683264,1209548,15314,4601,369,391992,0 4,2,2024-09-07 10:08:51:029,885174,885174,0,0,42425524,0,4534 4,3,2024-09-07 10:08:51:027,1,703,7,0,448,9385,703,0 5,0,2024-09-07 10:08:51:404,177148,0.4,176918,0.6,354170,0.4,471395,1.75 5,1,2024-09-07 10:08:50:760,1230710,1230710,0,0,577515946764,6090036368,1213008,13462,4240,367,392005,0 5,2,2024-09-07 10:08:51:835,885762,885729,33,0,42789436,0,7631 5,3,2024-09-07 10:08:51:732,1,703,1,0,457,9202,703,0 6,0,2024-09-07 10:08:50:929,175384,0.5,174803,0.6,350004,0.4,465798,2.00 6,1,2024-09-07 10:08:50:746,1234222,1234222,0,0,579545971832,6048238458,1222041,10496,1685,379,391702,0 6,2,2024-09-07 10:08:51:116,893184,893166,18,0,39622451,0,5535 6,3,2024-09-07 10:08:51:284,1,703,0,0,710,7956,703,0 7,0,2024-09-07 10:08:51:534,172807,0.5,174023,0.6,345323,0.5,461278,2.00 7,1,2024-09-07 10:08:50:851,1231215,1231215,0,0,578190628176,6080124970,1213380,13698,4137,382,391747,0 7,2,2024-09-07 10:08:50:777,887010,886841,169,0,40377092,0,7706 7,3,2024-09-07 10:08:50:856,1,703,1,0,552,8187,703,0 8,0,2024-09-07 10:08:51:353,177187,0.4,176771,0.5,354187,0.4,471944,1.75 8,1,2024-09-07 10:08:51:026,1230347,1230347,0,0,577907135651,6074390997,1211479,14822,4046,366,392853,0 8,2,2024-09-07 10:08:50:804,882326,882324,2,0,45514050,0,5112 8,3,2024-09-07 10:08:50:597,1,703,2,0,772,10572,703,0 9,0,2024-09-07 10:08:51:128,178365,0.4,173120,0.5,362373,0.3,475395,1.75 9,1,2024-09-07 10:08:50:550,1230106,1230106,0,0,577876458983,6091642225,1210380,15375,4351,369,392001,0 9,2,2024-09-07 10:08:51:087,887215,887214,1,0,43479832,0,5281 9,3,2024-09-07 10:08:51:765,1,703,1,0,1273,11103,703,0 10,0,2024-09-07 10:08:51:598,176969,0.3,176571,0.5,354059,0.3,470979,1.75 10,1,2024-09-07 10:08:50:584,1232109,1232109,0,0,578614775529,6070514655,1213999,14376,3734,381,391981,0 10,2,2024-09-07 10:08:50:768,890720,890720,0,0,46654061,0,4713 10,3,2024-09-07 10:08:50:872,1,703,22,0,669,7402,703,0 11,0,2024-09-07 10:08:51:014,172851,0.4,167777,0.6,351102,0.3,462706,1.75 11,1,2024-09-07 10:08:50:572,1234282,1234282,0,0,579440469434,6072523455,1217396,12576,4310,383,391766,0 11,2,2024-09-07 10:08:51:124,889371,889371,0,0,40163591,0,4698 11,3,2024-09-07 10:08:51:298,1,703,1,0,843,8502,703,0 12,0,2024-09-07 10:08:50:975,179622,0.4,179251,0.6,358293,0.4,476303,1.75 12,1,2024-09-07 10:08:50:946,1233563,1233563,0,0,579087287886,6040706572,1223699,8833,1031,368,391960,0 12,2,2024-09-07 10:08:51:543,884985,884985,0,0,40023148,0,4390 12,3,2024-09-07 10:08:51:065,1,703,12,0,386,8604,703,0 13,0,2024-09-07 10:08:51:413,178708,0.4,178858,0.6,357002,0.4,475544,1.75 13,1,2024-09-07 10:08:51:538,1231076,1231076,0,0,577864633279,6080960822,1216900,11093,3083,382,391803,0 13,2,2024-09-07 10:08:50:607,889652,889652,0,0,36278199,0,3287 13,3,2024-09-07 10:08:51:764,1,703,0,0,522,9364,703,0 14,0,2024-09-07 10:08:50:560,177290,0.4,178367,0.6,354759,0.3,472113,1.75 14,1,2024-09-07 10:08:51:561,1239616,1239616,0,0,581711218077,6016367604,1230356,8109,1151,364,391673,0 14,2,2024-09-07 10:08:50:767,890212,890182,30,0,37333904,0,6104 14,3,2024-09-07 10:08:51:118,1,703,2,0,1168,7224,703,0 15,0,2024-09-07 10:08:51:558,173640,0.4,172849,0.6,345873,0.3,461961,1.75 15,1,2024-09-07 10:08:51:615,1235488,1235488,0,0,580388537027,6047357477,1224618,8772,2098,380,391619,0 15,2,2024-09-07 10:08:51:005,893803,893803,0,0,33395589,0,3622 15,3,2024-09-07 10:08:51:416,1,703,1,0,1126,8647,703,0 16,0,2024-09-07 10:08:50:956,176287,0.6,177235,0.8,353565,0.6,470940,2.00 16,1,2024-09-07 10:08:50:563,1235135,1235135,0,0,579682653706,6057958925,1224388,9202,1545,370,392194,0 16,2,2024-09-07 10:08:51:434,886490,886490,0,0,36430316,0,4719 16,3,2024-09-07 10:08:51:143,1,703,1,0,362,8617,703,0 17,0,2024-09-07 10:08:51:782,181921,0.7,177315,0.8,347341,0.7,472362,2.00 17,1,2024-09-07 10:08:50:573,1233388,1233388,0,0,578494322720,6061611853,1222260,9326,1802,368,392075,0 17,2,2024-09-07 10:08:51:668,891135,891134,1,0,37683151,0,5050 17,3,2024-09-07 10:08:50:574,1,703,2,0,518,9947,703,0 18,0,2024-09-07 10:08:50:945,175199,0.6,176374,0.8,351091,0.6,468787,2.25 18,1,2024-09-07 10:08:51:638,1238402,1238402,0,0,580690639175,6022261368,1230216,6755,1431,367,391725,0 18,2,2024-09-07 10:08:51:761,892222,892222,0,0,34074717,0,3541 18,3,2024-09-07 10:08:50:902,1,703,1,0,1059,6305,703,0 19,0,2024-09-07 10:08:51:540,174028,0.6,174513,0.8,347872,0.6,462729,2.00 19,1,2024-09-07 10:08:50:566,1237604,1237604,0,0,581739780183,6025792990,1228747,7696,1161,365,391777,0 19,2,2024-09-07 10:08:51:756,895726,895726,0,0,31900184,0,3988 19,3,2024-09-07 10:08:51:137,1,703,1,0,524,5554,703,0 20,0,2024-09-07 10:08:51:396,174477,0.5,174499,0.7,348599,0.5,465726,2.00 20,1,2024-09-07 10:08:50:572,1232704,1232704,0,0,578980242995,6065646959,1220417,10578,1709,369,391922,0 20,2,2024-09-07 10:08:50:944,887222,887222,0,0,40363472,0,4321 20,3,2024-09-07 10:08:50:600,1,703,0,0,468,11573,703,0 21,0,2024-09-07 10:08:51:205,176415,0.5,176497,0.7,352771,0.5,468180,2.00 21,1,2024-09-07 10:08:51:556,1230614,1230614,0,0,576780531139,6084591550,1211846,14773,3995,368,392016,0 21,2,2024-09-07 10:08:51:085,881839,881362,477,0,51668062,0,17074 21,3,2024-09-07 10:08:51:416,1,703,2,0,713,9757,703,0 22,0,2024-09-07 10:08:51:721,177605,0.5,178276,0.7,356101,0.4,472449,2.00 22,1,2024-09-07 10:08:51:023,1231894,1231894,0,0,578525226490,6082596276,1212780,15509,3605,381,391822,0 22,2,2024-09-07 10:08:50:765,887755,887729,26,0,38397906,0,6328 22,3,2024-09-07 10:08:51:066,1,703,1,0,228,5668,703,0 23,0,2024-09-07 10:08:51:369,175804,0.5,175656,0.6,351321,0.4,467703,2.00 23,1,2024-09-07 10:08:51:004,1232968,1232968,0,0,578194692707,6086046281,1211067,14730,7171,365,391690,0 23,2,2024-09-07 10:08:51:091,892830,892830,0,0,36639071,0,3773 23,3,2024-09-07 10:08:51:766,1,703,1,0,855,9797,703,0 24,0,2024-09-07 10:08:50:837,174293,0.4,173329,0.5,348321,0.3,462890,1.75 24,1,2024-09-07 10:08:50:607,1231826,1231826,0,0,578883174368,6056963404,1220211,9737,1878,367,392269,0 24,2,2024-09-07 10:08:51:069,888532,888529,3,0,42942924,0,6294 24,3,2024-09-07 10:08:51:697,1,703,78,0,468,9151,703,0 25,0,2024-09-07 10:08:51:388,182515,0.4,177297,0.6,349121,0.4,474875,1.75 25,1,2024-09-07 10:08:50:558,1230462,1230462,0,0,577086423929,6094893072,1209407,17056,3999,369,391928,0 25,2,2024-09-07 10:08:51:621,884410,884410,0,0,44862431,0,3978 25,3,2024-09-07 10:08:51:006,1,703,1,0,532,7674,703,0 26,0,2024-09-07 10:08:51:724,177253,0.4,173223,0.6,363429,0.4,474052,2.00 26,1,2024-09-07 10:08:51:542,1234083,1234083,0,0,579046964787,6058068042,1218376,12803,2904,380,391758,0 26,2,2024-09-07 10:08:50:862,887907,887907,0,0,45941876,0,4689 26,3,2024-09-07 10:08:51:716,1,703,4,0,796,8699,703,0 27,0,2024-09-07 10:08:51:724,177474,0.4,178206,0.6,354463,0.4,473099,2.25 27,1,2024-09-07 10:08:51:677,1235690,1235690,0,0,580809176943,6049673801,1224398,9669,1623,381,391626,0 27,2,2024-09-07 10:08:50:874,886605,886540,65,0,40763626,0,5699 27,3,2024-09-07 10:08:51:017,1,703,0,0,564,5929,703,0 28,0,2024-09-07 10:08:51:406,173450,0.4,173535,0.6,347195,0.3,462380,1.75 28,1,2024-09-07 10:08:50:801,1236273,1236273,0,0,580806101062,6059384981,1224800,9045,2428,382,391904,0 28,2,2024-09-07 10:08:51:771,891046,891046,0,0,37982393,0,4060 28,3,2024-09-07 10:08:51:782,1,703,10,0,502,7275,703,0 29,0,2024-09-07 10:08:51:405,182871,0.4,177946,0.6,349440,0.4,477130,1.75 29,1,2024-09-07 10:08:51:568,1239564,1239564,0,0,582091063172,6022721036,1231637,6906,1021,367,391809,0 29,2,2024-09-07 10:08:50:865,886445,886445,0,0,35332904,0,4986 29,3,2024-09-07 10:08:50:969,1,703,0,0,590,7901,703,0 30,0,2024-09-07 10:08:51:457,175795,0.6,171085,0.7,358523,0.5,468593,2.00 30,1,2024-09-07 10:08:50:573,1237970,1237970,0,0,581621939487,6038396851,1228156,8646,1168,380,391672,0 30,2,2024-09-07 10:08:51:284,888850,888850,0,0,34268488,0,4192 30,3,2024-09-07 10:08:50:583,1,703,1,0,519,7234,703,0 31,0,2024-09-07 10:08:51:774,176733,0.5,177599,0.7,354211,0.4,472468,2.00 31,1,2024-09-07 10:08:50:564,1241715,1241715,0,0,582960055008,5987234457,1233783,6663,1269,356,391712,0 31,2,2024-09-07 10:08:51:279,889937,889937,0,0,37675627,0,4470 31,3,2024-09-07 10:08:51:713,1,703,10,0,248,6135,703,0 32,0,2024-09-07 10:08:51:423,174345,0.3,175347,0.5,349540,0.2,465161,1.75 32,1,2024-09-07 10:08:50:806,1237809,1237809,0,0,580869878518,6036461670,1230315,6529,965,381,391646,0 32,2,2024-09-07 10:08:50:937,894756,894756,0,0,32986784,0,3922 32,3,2024-09-07 10:08:51:021,1,703,2,0,304,5855,703,0 33,0,2024-09-07 10:08:51:501,176621,0.3,176234,0.4,352332,0.2,469521,1.50 33,1,2024-09-07 10:08:50:575,1238810,1238810,0,0,581596301221,6020906462,1229184,8270,1356,368,391730,0 33,2,2024-09-07 10:08:50:762,887797,887762,35,0,36528299,0,7012 33,3,2024-09-07 10:08:50:905,1,703,2,0,329,6421,703,0 34,0,2024-09-07 10:08:50:938,176094,0.3,181123,0.5,346295,0.2,467495,1.75 34,1,2024-09-07 10:08:51:044,1241853,1241853,0,0,582344793127,5991377445,1237849,3795,209,366,391637,0 34,2,2024-09-07 10:08:50:767,888657,888657,0,0,34063425,0,4562 34,3,2024-09-07 10:08:51:693,1,703,1,0,541,6057,703,0 35,0,2024-09-07 10:08:50:868,176496,0.3,177110,0.5,355079,0.2,472406,1.75 35,1,2024-09-07 10:08:51:067,1237299,1237299,0,0,580403949128,6020858603,1228357,7389,1553,382,391769,0 35,2,2024-09-07 10:08:51:586,889967,889967,0,0,39805072,0,4055 35,3,2024-09-07 10:08:50:911,1,703,0,0,466,6587,703,0 36,0,2024-09-07 10:08:51:528,175852,0.4,176050,0.6,351595,0.4,467781,2.00 36,1,2024-09-07 10:08:50:597,1235644,1235644,0,0,578841256155,6040781685,1220862,12428,2354,366,391759,0 36,2,2024-09-07 10:08:51:760,892565,892565,0,0,39753335,0,3875 36,3,2024-09-07 10:08:50:876,1,703,4,0,556,8834,703,0 37,0,2024-09-07 10:08:51:384,172881,0.5,172830,0.7,346283,0.5,461984,2.25 37,1,2024-09-07 10:08:50:571,1235615,1235608,0,7,579819177346,6051502853,1221417,11075,3116,365,391770,0 37,2,2024-09-07 10:08:51:145,886319,886304,15,0,39836458,0,5815 37,3,2024-09-07 10:08:51:777,1,703,1,0,888,9574,703,0 38,0,2024-09-07 10:08:51:438,176027,0.5,170695,0.7,356850,0.4,467737,2.00 38,1,2024-09-07 10:08:51:616,1235535,1235535,0,0,579457416952,6054418661,1218692,13537,3306,368,391821,0 38,2,2024-09-07 10:08:50:759,888218,888171,47,0,39762975,0,6710 38,3,2024-09-07 10:08:50:997,1,703,1,0,689,7928,703,0 39,0,2024-09-07 10:08:51:771,181821,0.5,177591,0.7,346831,0.5,472884,2.00 39,1,2024-09-07 10:08:50:717,1233124,1233124,0,0,578661746971,6063486056,1213315,15576,4233,365,391865,0 39,2,2024-09-07 10:08:51:417,888883,888883,0,0,38076749,0,3478 39,3,2024-09-07 10:08:50:716,1,703,61,0,525,7765,703,0 40,0,2024-09-07 10:08:51:498,175518,0.8,176143,0.9,351709,0.9,469507,2.75 40,1,2024-09-07 10:08:50:588,1233924,1233924,0,0,578546178563,6061996335,1215098,15243,3583,366,391668,0 40,2,2024-09-07 10:08:51:312,888550,888543,7,0,43955069,0,5347 40,3,2024-09-07 10:08:51:160,1,703,9,0,1028,9868,703,0 41,0,2024-09-07 10:08:51:031,172088,1.1,176199,1.1,336151,1.5,456625,2.75 41,1,2024-09-07 10:08:50:770,1234291,1234291,0,0,579853769165,6061729515,1218579,13187,2525,369,391878,0 41,2,2024-09-07 10:08:50:768,886572,886571,1,0,42968072,0,5408 41,3,2024-09-07 10:08:51:682,1,703,0,0,749,8456,703,0 42,0,2024-09-07 10:08:51:476,177048,1.0,176859,1.0,354073,1.3,469527,2.75 42,1,2024-09-07 10:08:51:444,1231432,1231432,0,0,577277989539,6057538420,1213825,14523,3084,380,391675,0 42,2,2024-09-07 10:08:51:142,883495,883494,1,0,44230547,0,5513 42,3,2024-09-07 10:08:51:010,1,703,2,0,892,7007,703,0 43,0,2024-09-07 10:08:50:934,175360,0.8,170700,1.0,357828,0.8,468130,2.25 43,1,2024-09-07 10:08:50:630,1235064,1235064,0,0,580427613070,6059934776,1218953,13363,2748,365,391696,0 43,2,2024-09-07 10:08:51:746,889401,889401,0,0,40546426,0,4723 43,3,2024-09-07 10:08:51:749,1,703,1,0,571,9339,703,0 44,0,2024-09-07 10:08:50:875,177524,0.4,177625,0.6,354915,0.3,473185,1.75 44,1,2024-09-07 10:08:50:566,1238145,1238145,0,0,581011465141,6007099524,1226816,9178,2151,356,391809,0 44,2,2024-09-07 10:08:51:292,888771,888771,0,0,33981494,0,4344 44,3,2024-09-07 10:08:51:100,1,703,210,0,1097,9136,703,0 45,0,2024-09-07 10:08:51:782,171836,0.4,167783,0.7,352109,0.4,462157,2.00 45,1,2024-09-07 10:08:51:017,1237566,1237566,0,0,580677796289,6035036672,1228015,8330,1221,382,391917,0 45,2,2024-09-07 10:08:51:277,893380,893380,0,0,34129543,0,3596 45,3,2024-09-07 10:08:50:943,1,703,6,0,531,6512,703,0 46,0,2024-09-07 10:08:50:960,175768,0.5,175049,0.7,351581,0.5,467617,2.00 46,1,2024-09-07 10:08:50:583,1239523,1239523,0,0,581446839239,6014626523,1230244,7796,1483,366,391709,0 46,2,2024-09-07 10:08:50:601,888168,888168,0,0,34892519,0,4443 46,3,2024-09-07 10:08:51:141,1,703,1,0,908,7635,703,0 47,0,2024-09-07 10:08:51:119,177162,0.5,176684,0.6,354599,0.4,470608,2.00 47,1,2024-09-07 10:08:50:568,1240710,1240710,0,0,581807158062,6011792033,1233198,6499,1013,364,391666,0 47,2,2024-09-07 10:08:50:916,890910,890910,0,0,35384164,0,4477 47,3,2024-09-07 10:08:51:115,1,703,4,0,600,7592,703,0 48,0,2024-09-07 10:08:51:511,177283,0.3,177853,0.4,354382,0.2,471628,1.50 48,1,2024-09-07 10:08:51:029,1236849,1236849,0,0,579982307807,6024826537,1229174,6663,1012,381,391710,0 48,2,2024-09-07 10:08:50:703,890905,890905,0,0,32335660,0,3619 48,3,2024-09-07 10:08:50:761,1,703,1,0,339,6010,703,0 49,0,2024-09-07 10:08:51:724,179730,0.3,175998,0.5,342331,0.2,467313,1.75 49,1,2024-09-07 10:08:51:022,1236359,1236359,0,0,580416036254,6033340923,1228489,6172,1698,382,391809,0 49,2,2024-09-07 10:08:51:806,894780,894780,0,0,33780318,0,4426 49,3,2024-09-07 10:08:51:421,1,703,1,0,992,8124,703,0 50,0,2024-09-07 10:08:51:512,174861,0.3,173662,0.5,349589,0.2,465283,1.75 50,1,2024-09-07 10:08:51:014,1239789,1239789,0,0,582232852434,6017467838,1232230,6826,733,368,391691,0 50,2,2024-09-07 10:08:51:069,886867,886867,0,0,31683516,0,4490 50,3,2024-09-07 10:08:51:290,1,703,1,0,617,7229,703,0 51,0,2024-09-07 10:08:51:683,181115,0.3,177247,0.5,345105,0.3,470542,1.75 51,1,2024-09-07 10:08:51:685,1240964,1240964,0,0,583054672820,6010375103,1234263,5357,1344,365,391706,0 51,2,2024-09-07 10:08:51:320,888651,888651,0,0,31350747,0,3337 51,3,2024-09-07 10:08:51:030,1,703,1,0,678,5486,703,0 52,0,2024-09-07 10:08:51:424,177836,0.5,177708,0.6,355177,0.4,472136,2.00 52,1,2024-09-07 10:08:50:580,1234689,1234689,0,0,579820992093,6064078487,1217935,14327,2427,368,391805,0 52,2,2024-09-07 10:08:51:764,885418,885380,38,0,42817659,0,6742 52,3,2024-09-07 10:08:50:688,1,703,10,0,1782,7970,703,0 53,0,2024-09-07 10:08:51:735,175519,0.6,170317,0.8,356468,0.6,466258,2.25 53,1,2024-09-07 10:08:50:792,1234191,1234191,0,0,579215553951,6069762391,1214823,14937,4431,367,391968,0 53,2,2024-09-07 10:08:51:306,892304,892166,138,0,39223291,0,7690 53,3,2024-09-07 10:08:50:709,1,703,1,0,308,6520,703,0 54,0,2024-09-07 10:08:51:622,171496,0.5,172100,0.7,342370,0.4,457332,2.25 54,1,2024-09-07 10:08:50:583,1236484,1236484,0,0,580589491820,6033329077,1224684,10125,1675,366,391810,0 54,2,2024-09-07 10:08:50:865,889299,889267,32,0,42493030,0,6397 54,3,2024-09-07 10:08:50:765,1,703,8,0,676,8982,703,0 55,0,2024-09-07 10:08:51:772,171407,0.7,176585,0.8,358529,0.7,466853,2.50 55,1,2024-09-07 10:08:50:771,1236942,1236942,0,0,579951547819,6027732536,1224908,10545,1489,365,391731,0 55,2,2024-09-07 10:08:50:738,886193,886137,56,0,38787390,0,7239 55,3,2024-09-07 10:08:50:686,1,703,1,0,304,6307,703,0 56,0,2024-09-07 10:08:51:573,181220,1.2,170715,1.1,351962,1.5,471282,2.75 56,1,2024-09-07 10:08:50:661,1229258,1229258,0,0,577037550241,6097350277,1209748,15755,3755,381,391867,0 56,2,2024-09-07 10:08:51:310,886677,886555,122,0,41717528,0,7432 56,3,2024-09-07 10:08:51:063,1,703,1,0,705,8333,703,0 57,0,2024-09-07 10:08:50:956,175687,1.3,175609,1.2,350750,1.8,469244,3.00 57,1,2024-09-07 10:08:50:987,1232841,1232841,0,0,578839845836,6068850151,1217121,13014,2706,366,392097,0 57,2,2024-09-07 10:08:51:318,889706,889706,0,0,44522738,0,4804 57,3,2024-09-07 10:08:51:745,1,703,0,0,455,7435,703,0 58,0,2024-09-07 10:08:50:556,170864,0.9,166120,1.0,347577,1.0,454538,2.50 58,1,2024-09-07 10:08:50:575,1233787,1233784,0,3,578947511975,6067370426,1215420,13962,4402,367,391726,3 58,2,2024-09-07 10:08:51:079,891479,891479,0,0,40523579,0,3483 58,3,2024-09-07 10:08:51:077,1,703,1,0,1043,7509,703,0 59,0,2024-09-07 10:08:51:749,176752,0.9,175738,1.0,351571,1.0,467080,2.75 59,1,2024-09-07 10:08:50:809,1233240,1233240,0,0,578638012981,6074749587,1213746,15656,3838,369,391653,0 59,2,2024-09-07 10:08:50:583,886630,886630,0,0,38599291,0,3727 59,3,2024-09-07 10:08:51:745,1,703,1,0,1015,8196,703,0 60,0,2024-09-07 10:08:51:767,176684,0.5,176877,0.7,353614,0.5,472186,2.00 60,1,2024-09-07 10:08:50:775,1237120,1237120,0,0,581076668365,6049683597,1226180,9434,1506,370,392031,0 60,2,2024-09-07 10:08:51:160,889057,889057,0,0,36673064,0,3811 60,3,2024-09-07 10:08:51:263,1,703,3,0,409,8015,703,0 61,0,2024-09-07 10:08:51:490,176940,0.6,177659,0.8,353817,0.6,471981,2.00 61,1,2024-09-07 10:08:50:779,1234123,1234123,0,0,579727680836,6067955567,1221432,10839,1852,382,392127,0 61,2,2024-09-07 10:08:51:116,890664,890597,67,0,37643378,0,6411 61,3,2024-09-07 10:08:51:708,1,703,9,0,607,8606,703,0 62,0,2024-09-07 10:08:51:714,174999,0.5,179386,0.7,342415,0.5,464990,2.00 62,1,2024-09-07 10:08:51:116,1241916,1241910,0,6,582903530226,6016081297,1234652,6641,617,365,391975,6 62,2,2024-09-07 10:08:51:644,890738,890737,1,0,37391761,0,5555 62,3,2024-09-07 10:08:51:144,1,703,2,0,482,5473,703,0 63,0,2024-09-07 10:08:51:464,176507,0.4,176180,0.6,353057,0.3,469749,1.75 63,1,2024-09-07 10:08:50:813,1238374,1238368,0,6,581021273214,6033820075,1230450,6966,952,381,391800,6 63,2,2024-09-07 10:08:50:779,886423,886423,0,0,36503515,0,4369 63,3,2024-09-07 10:08:51:732,1,703,1,0,667,8085,703,0 64,0,2024-09-07 10:08:51:508,175191,0.5,175115,0.7,349845,0.5,465984,2.00 64,1,2024-09-07 10:08:50:760,1236585,1236585,0,0,580704197665,6043113506,1226370,8185,2030,370,391794,0 64,2,2024-09-07 10:08:51:143,891518,891499,19,0,35089593,0,6121 64,3,2024-09-07 10:08:51:142,1,703,13,0,651,7922,703,0 65,0,2024-09-07 10:08:51:752,175798,0.6,176064,0.7,351313,0.6,468669,2.00 65,1,2024-09-07 10:08:50:859,1234824,1234824,0,0,579458486400,6052649052,1226576,7350,898,381,391901,0 65,2,2024-09-07 10:08:51:699,888648,888648,0,0,42139887,0,3367 65,3,2024-09-07 10:08:51:689,1,703,18,0,782,8200,703,0 66,0,2024-09-07 10:08:51:802,175081,0.5,174464,0.7,348901,0.4,464322,2.00 66,1,2024-09-07 10:08:51:298,1236895,1236895,0,0,580807537994,6042287770,1229386,6635,874,380,391743,0 66,2,2024-09-07 10:08:51:130,895192,895189,3,0,36917933,0,5455 66,3,2024-09-07 10:08:51:079,1,703,12,0,291,6189,703,0 67,0,2024-09-07 10:08:51:417,173579,0.5,173126,0.7,346956,0.4,462422,2.00 67,1,2024-09-07 10:08:50:770,1236518,1236517,0,1,580446554320,6040865011,1228082,7295,1140,380,391787,1 67,2,2024-09-07 10:08:50:584,890607,890592,15,0,37347308,0,6205 67,3,2024-09-07 10:08:51:750,1,703,1,0,595,7361,703,0 68,0,2024-09-07 10:08:50:592,176986,0.6,176828,0.7,352115,0.6,470616,2.25 68,1,2024-09-07 10:08:50:581,1231927,1231927,0,0,577318781747,6071660488,1215196,12457,4274,381,391953,0 68,2,2024-09-07 10:08:51:043,883235,883135,100,0,45452707,0,8578 68,3,2024-09-07 10:08:50:729,1,703,58,0,417,9866,703,0 69,0,2024-09-07 10:08:51:767,177462,0.7,178083,0.8,355213,0.7,472772,2.25 69,1,2024-09-07 10:08:51:027,1231299,1231299,0,0,577595871339,6081750632,1216371,11943,2985,383,391994,0 69,2,2024-09-07 10:08:51:739,885198,885169,29,0,48596830,0,6912 69,3,2024-09-07 10:08:50:766,1,703,9,0,698,10414,703,0 70,0,2024-09-07 10:08:51:551,175223,0.7,176023,0.9,352903,0.6,468061,2.50 70,1,2024-09-07 10:08:50:805,1238044,1238044,0,0,581576527037,6034050757,1228575,8424,1045,366,391725,0 70,2,2024-09-07 10:08:51:327,891510,891510,0,0,39873853,0,4323 70,3,2024-09-07 10:08:50:757,1,703,1,0,854,7734,703,0 71,0,2024-09-07 10:08:51:370,172096,0.8,171684,0.9,344131,0.9,459351,2.50 71,1,2024-09-07 10:08:51:604,1235602,1235602,0,0,580164550217,6050124323,1221164,12652,1786,367,391738,0 71,2,2024-09-07 10:08:51:067,888538,888538,0,0,40331460,0,4352 71,3,2024-09-07 10:08:51:759,1,703,2,0,644,7658,703,0 72,0,2024-09-07 10:08:51:038,184073,0.5,179728,0.7,351218,0.5,476990,2.00 72,1,2024-09-07 10:08:51:024,1234071,1234071,0,0,578635599887,6064668926,1217177,14160,2734,369,391819,0 72,2,2024-09-07 10:08:51:764,884523,884523,0,0,42248981,0,3983 72,3,2024-09-07 10:08:51:768,1,703,0,0,564,9595,703,0 73,0,2024-09-07 10:08:51:105,173223,0.5,177738,0.6,363316,0.4,473211,2.00 73,1,2024-09-07 10:08:50:766,1235749,1235749,0,0,579887530120,6026362624,1226212,8470,1067,367,391858,0 73,2,2024-09-07 10:08:51:758,887121,887120,1,0,44167974,0,5027 73,3,2024-09-07 10:08:50:970,1,703,16,0,1091,9409,703,0 74,0,2024-09-07 10:08:51:341,178442,0.5,182726,0.7,348526,0.4,473542,2.00 74,1,2024-09-07 10:08:50:639,1234770,1234770,0,0,579338164223,6042629963,1222409,10213,2148,381,391762,0 74,2,2024-09-07 10:08:51:004,889346,889346,0,0,38442109,0,4253 74,3,2024-09-07 10:08:51:446,1,703,14,0,522,7952,703,0 75,0,2024-09-07 10:08:51:775,173640,0.5,172650,0.7,346744,0.4,463420,2.00 75,1,2024-09-07 10:08:51:584,1235890,1235890,0,0,580120464400,6042689513,1226004,8892,994,380,391739,0 75,2,2024-09-07 10:08:51:352,890832,890832,0,0,45598029,0,4766 75,3,2024-09-07 10:08:51:069,1,703,1,0,918,9590,703,0 76,0,2024-09-07 10:08:50:591,176158,0.5,175592,0.7,350851,0.5,469253,2.25 76,1,2024-09-07 10:08:50:813,1235077,1235077,0,0,578754527571,6037095682,1226179,7588,1310,382,391790,0 76,2,2024-09-07 10:08:51:065,889417,889414,3,0,38337282,0,5265 76,3,2024-09-07 10:08:51:143,1,703,3,0,249,6544,703,0 77,0,2024-09-07 10:08:51:725,175962,0.6,176258,0.7,352864,0.6,469482,2.00 77,1,2024-09-07 10:08:51:051,1234303,1234303,0,0,579738486289,6052687114,1224027,9188,1088,381,391869,0 77,2,2024-09-07 10:08:51:293,887765,887765,0,0,36994554,0,3890 77,3,2024-09-07 10:08:51:100,1,703,1,0,401,7874,703,0 78,0,2024-09-07 10:08:51:718,177619,0.4,176807,0.6,355027,0.4,469722,2.00 78,1,2024-09-07 10:08:50:610,1234474,1234474,0,0,579233557722,6043711135,1218936,12206,3332,367,391670,0 78,2,2024-09-07 10:08:51:410,891104,891091,13,0,34649035,0,8313 78,3,2024-09-07 10:08:51:142,1,703,0,0,311,5979,703,0 79,0,2024-09-07 10:08:51:360,168863,0.4,172977,0.6,354266,0.3,461667,2.00 79,1,2024-09-07 10:08:50:574,1239144,1239144,0,0,581735827984,6024208740,1229327,8015,1802,367,391682,0 79,2,2024-09-07 10:08:51:070,894182,894182,0,0,33676006,0,4195 79,3,2024-09-07 10:08:50:762,1,703,2,0,418,8162,703,0 80,0,2024-09-07 10:08:51:091,174757,0.5,179400,0.7,342792,0.5,464426,2.00 80,1,2024-09-07 10:08:51:624,1235390,1235390,0,0,580322576632,6040742210,1227398,7409,583,368,392269,0 80,2,2024-09-07 10:08:51:103,890098,890098,0,0,34159924,0,4433 80,3,2024-09-07 10:08:50:578,1,703,1,0,681,8432,703,0 81,0,2024-09-07 10:08:51:545,176483,0.6,180606,0.7,344481,0.6,467168,2.00 81,1,2024-09-07 10:08:51:669,1234622,1234622,0,0,578375974465,6044214427,1224748,8878,996,382,392001,0 81,2,2024-09-07 10:08:51:126,887878,887815,63,0,38029776,0,5932 81,3,2024-09-07 10:08:51:118,1,703,1,0,719,7645,703,0 82,0,2024-09-07 10:08:51:537,177736,0.5,177782,0.7,356294,0.5,472644,2.00 82,1,2024-09-07 10:08:50:584,1236345,1236341,0,4,579390351378,6026746550,1229404,5813,1124,381,391768,4 82,2,2024-09-07 10:08:51:696,892197,892197,0,0,31630295,0,4484 82,3,2024-09-07 10:08:51:768,1,703,1,0,363,6285,703,0 83,0,2024-09-07 10:08:51:525,176229,0.5,176079,0.7,351780,0.5,466905,2.00 83,1,2024-09-07 10:08:50:550,1235209,1235209,0,0,580053765954,6045179025,1226217,7964,1028,382,391709,0 83,2,2024-09-07 10:08:50:767,892954,892929,25,0,34529658,0,5612 83,3,2024-09-07 10:08:50:762,1,703,2,0,1260,8166,703,0 84,0,2024-09-07 10:08:51:802,171764,0.7,171880,0.8,343736,0.7,459122,2.25 84,1,2024-09-07 10:08:51:041,1233564,1233564,0,0,578584356403,6050005983,1219862,11617,2085,367,391967,0 84,2,2024-09-07 10:08:50:573,886688,886278,410,0,49829621,0,17037 84,3,2024-09-07 10:08:51:143,1,703,0,0,908,9694,703,0 85,0,2024-09-07 10:08:51:011,170676,0.7,170697,0.8,362374,0.7,467359,2.25 85,1,2024-09-07 10:08:50:561,1229655,1229655,0,0,577208815438,6087641984,1211846,14877,2932,381,392092,0 85,2,2024-09-07 10:08:50:873,886180,886180,0,0,42250441,0,4255 85,3,2024-09-07 10:08:50:688,1,703,44,0,789,8503,703,0 86,0,2024-09-07 10:08:50:921,177176,0.7,182246,0.8,348988,0.8,472081,2.25 86,1,2024-09-07 10:08:50:833,1232264,1232264,0,0,578678817570,6074944493,1214565,14383,3316,366,392169,0 86,2,2024-09-07 10:08:50:903,887753,887752,1,0,44284762,0,5004 86,3,2024-09-07 10:08:50:598,1,703,4,0,441,9649,703,0 87,0,2024-09-07 10:08:51:310,177936,0.7,176656,0.8,353921,0.9,473040,2.25 87,1,2024-09-07 10:08:50:556,1232849,1232849,0,0,577940901845,6051236647,1217906,12778,2165,366,392076,0 87,2,2024-09-07 10:08:51:081,889083,889077,6,0,41712767,0,6323 87,3,2024-09-07 10:08:51:794,1,703,1,0,473,9829,703,0 88,0,2024-09-07 10:08:51:488,173278,0.4,173695,0.6,347395,0.4,462560,1.75 88,1,2024-09-07 10:08:50:578,1231284,1231284,0,0,577970318260,6054111002,1214422,13718,3144,365,392084,0 88,2,2024-09-07 10:08:50:699,890682,890682,0,0,43816269,0,4465 88,3,2024-09-07 10:08:51:268,1,703,5,0,1080,11172,703,0 89,0,2024-09-07 10:08:51:773,182857,0.4,177668,0.6,349825,0.4,476166,1.75 89,1,2024-09-07 10:08:50:560,1231460,1231460,0,0,578356840176,6078690281,1216770,12409,2281,382,392084,0 89,2,2024-09-07 10:08:51:138,886343,886343,0,0,41116042,0,3173 89,3,2024-09-07 10:08:51:799,1,703,13,0,729,12365,703,0 90,0,2024-09-07 10:08:51:634,171592,0.5,176190,0.7,359802,0.5,469873,2.00 90,1,2024-09-07 10:08:50:645,1233041,1233041,0,0,578714721675,6062017727,1220580,11189,1272,380,391825,0 90,2,2024-09-07 10:08:51:424,884072,884067,5,0,44442443,0,6370 90,3,2024-09-07 10:08:50:949,1,703,2,0,364,9186,703,0 91,0,2024-09-07 10:08:51:001,177672,0.5,172360,0.6,360585,0.4,472821,1.75 91,1,2024-09-07 10:08:50:581,1230080,1230080,0,0,578382262679,6097110286,1211362,14570,4148,381,392047,0 91,2,2024-09-07 10:08:51:331,888752,888752,0,0,39765850,0,4713 91,3,2024-09-07 10:08:50:600,1,703,1,0,231,6541,703,0 92,0,2024-09-07 10:08:51:480,175897,0.4,179907,0.6,343061,0.4,465340,1.75 92,1,2024-09-07 10:08:50:596,1234006,1234006,0,0,579209044823,6049312104,1224503,8191,1312,381,392136,0 92,2,2024-09-07 10:08:51:354,892697,892697,0,0,36189043,0,3906 92,3,2024-09-07 10:08:51:010,1,703,2,0,322,6253,703,0 93,0,2024-09-07 10:08:50:968,176945,0.4,181392,0.6,346133,0.3,469398,1.75 93,1,2024-09-07 10:08:50:935,1234705,1234705,0,0,579594831344,6054859301,1220295,11973,2437,365,392048,0 93,2,2024-09-07 10:08:50:954,886525,886525,0,0,41491072,0,4913 93,3,2024-09-07 10:08:51:416,1,703,1,0,788,8646,703,0 94,0,2024-09-07 10:08:51:656,175124,0.4,176315,0.6,353030,0.4,468248,1.75 94,1,2024-09-07 10:08:50:579,1236372,1236372,0,0,580277737985,6050452790,1228088,7633,651,381,391850,0 94,2,2024-09-07 10:08:50:775,888230,888202,28,0,36183102,0,6179 94,3,2024-09-07 10:08:51:696,1,703,1,0,576,8451,703,0 95,0,2024-09-07 10:08:51:348,177167,0.4,176845,0.5,353979,0.3,471649,1.75 95,1,2024-09-07 10:08:50:858,1236694,1236694,0,0,580369915129,6029811972,1227321,8560,813,365,391852,0 95,2,2024-09-07 10:08:51:017,888034,888034,0,0,36375888,0,3308 95,3,2024-09-07 10:08:51:709,1,703,1,0,718,10501,703,0 96,0,2024-09-07 10:08:51:044,175399,0.3,175743,0.5,351592,0.3,466971,1.75 96,1,2024-09-07 10:08:51:610,1234006,1234006,0,0,579262987631,6042759702,1225078,7550,1378,384,392292,0 96,2,2024-09-07 10:08:51:302,894503,894503,0,0,36775002,0,4225 96,3,2024-09-07 10:08:51:140,1,703,1,0,411,7794,703,0 97,0,2024-09-07 10:08:51:321,173481,0.3,173350,0.5,347470,0.3,462454,1.50 97,1,2024-09-07 10:08:50:784,1237301,1237301,0,0,580821588092,6025598641,1229365,6693,1243,367,392140,0 97,2,2024-09-07 10:08:50:615,889273,889273,0,0,36763436,0,4600 97,3,2024-09-07 10:08:50:582,1,703,8,0,433,8514,703,0 98,0,2024-09-07 10:08:51:697,176496,0.3,176657,0.5,354697,0.3,470900,1.50 98,1,2024-09-07 10:08:50:576,1235772,1235772,0,0,579533350712,6034886372,1228324,6549,899,381,391997,0 98,2,2024-09-07 10:08:50:777,887217,887217,0,0,35071549,0,4336 98,3,2024-09-07 10:08:50:715,1,703,1,0,840,9712,703,0 99,0,2024-09-07 10:08:51:482,178292,0.3,179154,0.5,357034,0.3,475503,1.75 99,1,2024-09-07 10:08:51:722,1236833,1236833,0,0,579687478901,6032043353,1229043,6681,1109,380,392069,0 99,2,2024-09-07 10:08:51:455,888147,888147,0,0,44302817,0,4276 99,3,2024-09-07 10:08:50:607,1,703,25,0,1124,8889,703,0 100,0,2024-09-07 10:08:51:474,176609,0.7,177230,0.9,354208,0.8,472027,2.50 100,1,2024-09-07 10:08:50:550,1229283,1229283,0,0,576020364617,6091113991,1210136,15350,3797,378,391989,0 100,2,2024-09-07 10:08:51:826,887122,886733,389,0,46184692,0,16909 100,3,2024-09-07 10:08:51:732,1,703,0,0,627,10786,703,0 101,0,2024-09-07 10:08:51:705,176859,0.9,172648,1.0,337694,0.8,461341,2.25 101,1,2024-09-07 10:08:50:551,1231627,1231627,0,0,578104374064,6073705787,1215029,13646,2952,368,392018,0 101,2,2024-09-07 10:08:51:769,884826,884787,39,0,47792413,0,5913 101,3,2024-09-07 10:08:50:949,1,703,6,0,1250,10737,703,0 102,0,2024-09-07 10:08:51:021,173360,0.6,178474,0.8,362916,0.7,473713,2.25 102,1,2024-09-07 10:08:51:148,1231790,1231790,0,0,577974128541,6067363593,1215960,13573,2257,369,391984,0 102,2,2024-09-07 10:08:51:742,887819,887765,54,0,39562883,0,6768 102,3,2024-09-07 10:08:51:613,1,703,22,0,466,8126,703,0 103,0,2024-09-07 10:08:51:594,183393,0.7,183399,0.8,345580,0.8,475562,2.25 103,1,2024-09-07 10:08:51:632,1230520,1230520,0,0,577437673933,6093337379,1211608,15285,3627,381,392077,0 103,2,2024-09-07 10:08:50:597,886051,886051,0,0,43100466,0,3766 103,3,2024-09-07 10:08:50:762,1,703,1,0,916,8249,703,0 104,0,2024-09-07 10:08:51:009,176099,0.7,176442,0.9,352060,0.7,470722,2.25 104,1,2024-09-07 10:08:51:601,1232390,1232390,0,0,578438592230,6077755520,1213941,14984,3465,365,392168,0 104,2,2024-09-07 10:08:51:672,887288,887288,0,0,42193809,0,4161 104,3,2024-09-07 10:08:51:422,1,703,18,0,1245,11869,703,0 105,0,2024-09-07 10:08:51:075,171652,0.8,167286,1.0,350777,0.8,461055,2.50 105,1,2024-09-07 10:08:50:576,1234517,1234517,0,0,578996073306,6062066473,1219726,12674,2117,364,392009,0 105,2,2024-09-07 10:08:51:335,890304,890304,0,0,43525789,0,4360 105,3,2024-09-07 10:08:51:322,1,703,3,0,573,10395,703,0 106,0,2024-09-07 10:08:50:987,170607,0.9,174986,1.0,357690,1.1,467452,2.50 106,1,2024-09-07 10:08:51:770,1232979,1232979,0,0,578495221412,6066784501,1216538,14288,2153,368,391914,0 106,2,2024-09-07 10:08:50:762,886792,886792,0,0,39995430,0,3331 106,3,2024-09-07 10:08:50:678,1,703,3,0,1224,9724,703,0 107,0,2024-09-07 10:08:51:143,176059,1.3,176026,1.0,352245,1.9,470364,2.25 107,1,2024-09-07 10:08:50:590,1229977,1229977,0,0,576219645468,6087604503,1210870,16851,2256,381,392234,0 107,2,2024-09-07 10:08:51:291,883941,883940,1,0,43754955,0,5024 107,3,2024-09-07 10:08:51:756,1,703,1,0,733,11029,703,0 108,0,2024-09-07 10:08:51:801,176709,0.4,177558,0.6,354072,0.4,471519,1.75 108,1,2024-09-07 10:08:51:293,1233905,1233905,0,0,578811963144,6042826393,1222424,10218,1263,367,391894,0 108,2,2024-09-07 10:08:51:814,889874,889874,0,0,39017391,0,4432 108,3,2024-09-07 10:08:51:329,1,703,2,0,767,12604,703,0 109,0,2024-09-07 10:08:51:810,175533,0.4,174179,0.6,349312,0.3,466175,1.75 109,1,2024-09-07 10:08:50:584,1232034,1232034,0,0,578725453583,6070232999,1221367,9159,1508,382,392132,0 109,2,2024-09-07 10:08:50:932,891141,891141,0,0,39086352,0,3617 109,3,2024-09-07 10:08:51:148,1,703,7,0,630,7987,703,0 110,0,2024-09-07 10:08:51:756,174369,0.4,169541,0.6,355036,0.3,466248,1.75 110,1,2024-09-07 10:08:51:668,1236843,1236843,0,0,581062841859,6032297193,1227374,7384,2085,368,392045,0 110,2,2024-09-07 10:08:51:308,887385,887385,0,0,38113900,0,4067 110,3,2024-09-07 10:08:50:691,1,703,1,0,722,9438,703,0 111,0,2024-09-07 10:08:51:413,177214,0.4,176359,0.5,352326,0.3,470009,1.75 111,1,2024-09-07 10:08:51:005,1238002,1238002,0,0,581399884749,6035813059,1230744,6751,507,380,391690,0 111,2,2024-09-07 10:08:51:116,888052,888052,0,0,37546458,0,4823 111,3,2024-09-07 10:08:50:923,1,703,2,0,379,7846,703,0 112,0,2024-09-07 10:08:50:923,178430,0.3,178065,0.4,356987,0.2,473965,1.50 112,1,2024-09-07 10:08:50:825,1236708,1236708,0,0,580759532831,6026212568,1229077,6404,1227,380,391624,0 112,2,2024-09-07 10:08:51:138,889443,889442,1,0,35351873,0,5036 112,3,2024-09-07 10:08:50:812,1,703,1,0,282,6881,703,0 113,0,2024-09-07 10:08:50:886,176060,0.3,176477,0.5,353203,0.2,469237,1.75 113,1,2024-09-07 10:08:51:686,1240578,1240578,0,0,581978783600,6002259996,1233658,5969,951,365,391664,0 113,2,2024-09-07 10:08:51:310,895323,895323,0,0,32736355,0,3813 113,3,2024-09-07 10:08:50:694,1,703,2,0,510,7026,703,0 114,0,2024-09-07 10:08:50:882,173261,0.3,174498,0.5,347930,0.2,464689,1.75 114,1,2024-09-07 10:08:50:726,1236269,1236269,0,0,580461582351,6025124083,1227438,7027,1804,381,391565,0 114,2,2024-09-07 10:08:50:873,890980,890979,1,0,35129093,0,5069 114,3,2024-09-07 10:08:51:278,1,703,1,0,415,5702,703,0 115,0,2024-09-07 10:08:50:557,178469,0.3,178909,0.4,356578,0.2,473969,1.50 115,1,2024-09-07 10:08:50:574,1237509,1237509,0,0,580873312958,6032064795,1227951,7765,1793,382,391757,0 115,2,2024-09-07 10:08:51:124,889214,889214,0,0,35000803,0,4382 115,3,2024-09-07 10:08:51:004,1,703,0,0,173,4423,703,0 116,0,2024-09-07 10:08:51:726,177162,0.7,177185,0.9,355015,0.8,474688,2.25 116,1,2024-09-07 10:08:50:815,1230527,1230527,0,0,578420467221,6090749419,1216420,10889,3218,380,392089,0 116,2,2024-09-07 10:08:51:765,888481,888481,0,0,42605614,0,4475 116,3,2024-09-07 10:08:50:918,1,703,0,0,448,8931,703,0 117,0,2024-09-07 10:08:50:962,177649,0.6,177344,0.8,355097,0.6,474405,2.00 117,1,2024-09-07 10:08:51:585,1233042,1233042,0,0,577929712329,6052617964,1219165,12108,1769,369,392429,0 117,2,2024-09-07 10:08:51:118,891177,891177,0,0,39163435,0,4303 117,3,2024-09-07 10:08:51:064,1,703,1,0,490,8545,703,0 118,0,2024-09-07 10:08:51:787,168097,0.5,172680,0.7,352253,0.5,460711,2.00 118,1,2024-09-07 10:08:50:596,1231497,1231497,0,0,578153488027,6073576472,1213977,13672,3848,366,392054,0 118,2,2024-09-07 10:08:51:586,890840,890840,0,0,41733499,0,3161 118,3,2024-09-07 10:08:51:767,1,703,3,0,343,8359,703,0 119,0,2024-09-07 10:08:51:348,177702,0.7,177984,0.8,355483,0.7,474619,2.25 119,1,2024-09-07 10:08:50:583,1232624,1232624,0,0,579082270116,6069493716,1216596,13360,2668,367,391857,0 119,2,2024-09-07 10:08:51:278,887195,887195,0,0,38434782,0,4309 119,3,2024-09-07 10:08:51:339,1,703,26,0,1358,12255,703,0 120,0,2024-09-07 10:08:51:584,175823,0.7,175933,0.9,353052,0.7,471133,2.50 120,1,2024-09-07 10:08:50:863,1233405,1233405,0,0,578309564472,6061849186,1220729,11517,1159,367,392144,0 120,2,2024-09-07 10:08:50:775,886734,886731,3,0,46297293,0,5363 120,3,2024-09-07 10:08:51:303,1,703,9,0,478,8971,703,0 121,0,2024-09-07 10:08:51:698,177132,1.1,176590,1.0,353293,1.4,470667,2.25 121,1,2024-09-07 10:08:51:669,1233070,1233070,0,0,578399767542,6053282454,1219537,11536,1997,366,391840,0 121,2,2024-09-07 10:08:51:134,889386,889386,0,0,42107165,0,4157 121,3,2024-09-07 10:08:50:728,1,703,1,0,387,9318,703,0 122,0,2024-09-07 10:08:51:816,173593,0.7,169028,0.8,353564,0.8,464765,2.00 122,1,2024-09-07 10:08:50:863,1232194,1232194,0,0,578398981662,6065109704,1215903,13712,2579,365,392130,0 122,2,2024-09-07 10:08:51:318,892128,892053,75,0,46271977,0,5989 122,3,2024-09-07 10:08:50:597,1,703,1,0,512,10588,703,0 123,0,2024-09-07 10:08:50:994,174863,0.8,170522,0.8,357561,0.9,467598,2.25 123,1,2024-09-07 10:08:50:668,1233236,1233236,0,0,578220278385,6077407508,1214135,16072,3029,369,392039,0 123,2,2024-09-07 10:08:51:019,886031,886030,1,0,39579755,0,5215 123,3,2024-09-07 10:08:51:141,1,703,3,0,478,7915,703,0 124,0,2024-09-07 10:08:50:935,180808,0.4,180887,0.5,340772,0.3,469059,1.75 124,1,2024-09-07 10:08:51:032,1237000,1237000,0,0,579879691363,6029104266,1227459,8279,1262,365,392178,0 124,2,2024-09-07 10:08:51:018,889792,889739,53,0,36803459,0,6487 124,3,2024-09-07 10:08:50:766,1,703,1,0,490,7248,703,0 125,0,2024-09-07 10:08:51:422,176971,0.4,176884,0.6,354573,0.3,472041,1.75 125,1,2024-09-07 10:08:50:854,1233503,1233503,0,0,579197060466,6051406925,1222449,9469,1585,382,392045,0 125,2,2024-09-07 10:08:51:116,892656,892656,0,0,36365898,0,4534 125,3,2024-09-07 10:08:51:125,1,703,1,0,709,7829,703,0 126,0,2024-09-07 10:08:51:469,175754,0.4,180733,0.5,345472,0.3,467737,1.75 126,1,2024-09-07 10:08:50:557,1237349,1237349,0,0,580836415126,6017742013,1230071,6576,702,365,391987,0 126,2,2024-09-07 10:08:50:611,894460,894460,0,0,38141788,0,4539 126,3,2024-09-07 10:08:50:915,1,703,3,0,268,7890,703,0 127,0,2024-09-07 10:08:51:612,173839,0.3,174162,0.5,347202,0.3,462762,1.75 127,1,2024-09-07 10:08:50:573,1236116,1236116,0,0,579488399283,6029018721,1223653,10686,1777,364,392187,0 127,2,2024-09-07 10:08:50:637,888860,888856,4,0,35939941,0,5305 127,3,2024-09-07 10:08:51:279,1,703,59,0,968,7067,703,0 128,0,2024-09-07 10:08:51:525,177731,0.3,177527,0.4,355280,0.2,471805,1.50 128,1,2024-09-07 10:08:51:611,1235595,1235595,0,0,580485524323,6033502045,1226601,8022,972,367,392423,0 128,2,2024-09-07 10:08:51:385,889911,889911,0,0,33469608,0,3171 128,3,2024-09-07 10:08:50:786,1,703,9,0,1082,10404,703,0 129,0,2024-09-07 10:08:51:014,179661,0.3,178827,0.5,358753,0.3,476335,1.50 129,1,2024-09-07 10:08:50:572,1232161,1232161,0,0,577907310890,6060453173,1219208,10623,2330,379,391962,0 129,2,2024-09-07 10:08:50:688,890564,890560,4,0,36238304,0,5335 129,3,2024-09-07 10:08:50:703,1,703,2,0,506,10049,703,0 130,0,2024-09-07 10:08:51:727,177806,0.4,177626,0.5,355784,0.4,473564,1.75 130,1,2024-09-07 10:08:50:584,1236943,1236943,0,0,580977743497,6031027682,1231087,5448,408,381,391836,0 130,2,2024-09-07 10:08:51:126,891733,891733,0,0,36031277,0,4067 130,3,2024-09-07 10:08:51:292,1,703,1,0,960,8932,703,0 131,0,2024-09-07 10:08:51:954,173184,0.3,173555,0.5,348119,0.3,462932,1.50 131,1,2024-09-07 10:08:51:824,1236175,1236175,0,0,580217144636,6044220573,1228016,6946,1213,381,391865,0 131,2,2024-09-07 10:08:50:567,890823,890823,0,0,34271211,0,3979 131,3,2024-09-07 10:08:51:700,1,703,9,0,392,8731,703,0 132,0,2024-09-07 10:08:51:438,178784,0.5,179760,0.6,357753,0.4,475853,2.00 132,1,2024-09-07 10:08:50:649,1231845,1231845,0,0,577678229227,6075567091,1215332,13730,2783,381,392532,0 132,2,2024-09-07 10:08:50:699,886639,886622,17,0,42956768,0,6451 132,3,2024-09-07 10:08:51:696,1,703,22,0,1298,11917,703,0 133,0,2024-09-07 10:08:51:521,173462,0.5,177574,0.6,364152,0.4,473842,2.00 133,1,2024-09-07 10:08:50:585,1231059,1231059,0,0,577363644769,6077783273,1215598,13525,1936,383,391914,0 133,2,2024-09-07 10:08:51:091,888820,888770,50,0,44032609,0,6861 133,3,2024-09-07 10:08:51:301,1,703,1,0,528,8433,703,0 134,0,2024-09-07 10:08:51:210,178044,0.5,177992,0.7,355703,0.5,473993,2.00 134,1,2024-09-07 10:08:50:584,1232819,1232819,0,0,577306850763,6054974462,1216731,12796,3292,366,391781,0 134,2,2024-09-07 10:08:51:769,888917,888778,139,0,41821811,0,7591 134,3,2024-09-07 10:08:50:756,1,703,5,0,739,8540,703,0 135,0,2024-09-07 10:08:51:107,168234,0.7,168131,0.8,357002,0.7,460637,2.25 135,1,2024-09-07 10:08:51:612,1232406,1232406,0,0,579017249669,6082880390,1217980,12573,1853,380,392038,0 135,2,2024-09-07 10:08:50:690,892950,892950,0,0,42323805,0,4503 135,3,2024-09-07 10:08:51:004,1,703,3,0,900,6683,703,0 136,0,2024-09-07 10:08:51:625,176674,0.6,177207,0.8,352687,0.6,470616,2.00 136,1,2024-09-07 10:08:51:447,1233673,1233673,0,0,579018317576,6063876062,1220838,11409,1426,381,392135,0 136,2,2024-09-07 10:08:51:138,888658,888643,15,0,41178736,0,6007 136,3,2024-09-07 10:08:51:107,1,703,5,0,637,8226,703,0 137,0,2024-09-07 10:08:50:940,181876,0.6,177369,0.8,347208,0.7,472069,2.00 137,1,2024-09-07 10:08:50:586,1232521,1232521,0,0,578597049182,6064062873,1214036,14840,3645,366,391898,0 137,2,2024-09-07 10:08:51:709,886693,886693,0,0,42433790,0,3185 137,3,2024-09-07 10:08:50:775,1,703,2,0,484,9848,703,0 138,0,2024-09-07 10:08:51:766,176077,0.9,176372,0.9,353294,1.1,469786,2.25 138,1,2024-09-07 10:08:51:688,1232155,1232155,0,0,578995470088,6073252531,1215015,14449,2691,368,391954,0 138,2,2024-09-07 10:08:50:585,888169,888169,0,0,40874582,0,4988 138,3,2024-09-07 10:08:50:616,1,703,2,0,1200,10380,703,0 139,0,2024-09-07 10:08:51:372,173443,0.9,174115,0.9,347922,1.1,464472,2.25 139,1,2024-09-07 10:08:50:581,1228737,1228737,0,0,575808897844,6097012981,1208785,16038,3914,380,392109,0 139,2,2024-09-07 10:08:50:708,886811,886781,30,0,46438084,0,5997 139,3,2024-09-07 10:08:51:662,1,703,1,0,432,8263,703,0 140,0,2024-09-07 10:08:51:590,175092,0.3,174444,0.5,349585,0.2,466508,1.75 140,1,2024-09-07 10:08:51:535,1240138,1240138,0,0,582077311872,6006054890,1233331,6003,804,364,391628,0 140,2,2024-09-07 10:08:50:688,887868,887867,1,0,34720590,0,5036 140,3,2024-09-07 10:08:50:776,1,703,0,0,575,7376,703,0 141,0,2024-09-07 10:08:51:702,177029,0.3,181778,0.5,347289,0.3,469664,1.75 141,1,2024-09-07 10:08:50:859,1237073,1237073,0,0,581135986027,6039717306,1226727,8953,1393,379,391614,0 141,2,2024-09-07 10:08:51:699,888584,888573,11,0,37315249,0,5369 141,3,2024-09-07 10:08:51:043,1,703,1,0,391,8186,703,0 142,0,2024-09-07 10:08:51:309,178786,0.3,177956,0.5,356289,0.3,474884,1.50 142,1,2024-09-07 10:08:50:586,1234990,1234990,0,0,579744452121,6048436879,1225930,8166,894,382,392102,0 142,2,2024-09-07 10:08:51:306,888680,888648,32,0,36946202,0,6028 142,3,2024-09-07 10:08:51:772,1,703,2,0,484,7062,703,0 143,0,2024-09-07 10:08:51:401,176229,0.4,176012,0.5,353474,0.4,469161,1.75 143,1,2024-09-07 10:08:50:557,1238112,1238112,0,0,580813586569,6027373923,1229704,7437,971,367,391900,0 143,2,2024-09-07 10:08:50:777,893862,893862,0,0,36555602,0,3123 143,3,2024-09-07 10:08:51:142,1,703,1,0,462,8132,703,0 144,0,2024-09-07 10:08:51:553,168065,0.5,172874,0.7,351952,0.5,460862,2.00 144,1,2024-09-07 10:08:50:565,1232066,1232066,0,0,578911229326,6071146110,1220312,9709,2045,381,391733,0 144,2,2024-09-07 10:08:51:772,890035,890035,0,0,36048455,0,4443 144,3,2024-09-07 10:08:51:741,1,703,50,0,306,7528,703,0 145,0,2024-09-07 10:08:51:365,171988,0.6,171904,0.8,364990,0.5,469254,2.25 145,1,2024-09-07 10:08:50:556,1231008,1231008,0,0,577782998973,6078237786,1214801,13239,2968,382,391781,0 145,2,2024-09-07 10:08:51:435,886048,885966,82,0,42145467,0,7814 145,3,2024-09-07 10:08:50:904,1,703,2,0,622,9414,703,0 146,0,2024-09-07 10:08:51:598,177790,0.7,176886,0.8,355740,0.7,472779,2.25 146,1,2024-09-07 10:08:51:585,1232707,1232707,0,0,578129643244,6077445758,1213549,14228,4930,367,391829,0 146,2,2024-09-07 10:08:51:696,886569,886563,6,0,40284674,0,5151 146,3,2024-09-07 10:08:51:278,1,703,1,0,1520,10930,703,0 147,0,2024-09-07 10:08:51:729,177626,0.6,177450,0.7,354836,0.5,473473,2.00 147,1,2024-09-07 10:08:51:385,1237023,1237023,0,0,580151742182,6029798834,1227060,8862,1101,367,391791,0 147,2,2024-09-07 10:08:51:014,889851,889851,0,0,37903416,0,4531 147,3,2024-09-07 10:08:50:944,1,703,11,0,1626,10475,703,0 0,0,2024-09-07 10:09:01:715,172083,0.7,172126,0.8,365337,0.8,472473,2.00 0,1,2024-09-07 10:09:00:811,1235652,1235652,0,0,580544095178,6074821654,1225760,8914,978,368,391896,0 0,2,2024-09-07 10:09:01:072,890131,890131,0,0,35722526,0,4480 0,3,2024-09-07 10:09:00:982,1,704,12,0,538,9973,704,0 1,0,2024-09-07 10:09:01:746,177150,0.8,176216,0.9,353405,0.9,472866,2.00 1,1,2024-09-07 10:09:00:578,1234485,1234485,0,0,578224903912,6056734984,1223078,9435,1972,370,391859,0 1,2,2024-09-07 10:09:00:645,892048,892048,0,0,35305827,0,3380 1,3,2024-09-07 10:09:01:310,1,704,9,0,269,8304,704,0 2,0,2024-09-07 10:09:01:567,174426,0.5,174173,0.7,348079,0.5,464259,2.00 2,1,2024-09-07 10:09:00:859,1238539,1238539,0,0,580327546930,6033093355,1231605,5972,962,379,391805,0 2,2,2024-09-07 10:09:01:266,894236,894236,0,0,34085119,0,3594 2,3,2024-09-07 10:09:00:690,1,704,1,0,357,6138,704,0 3,0,2024-09-07 10:09:01:743,176305,0.4,176100,0.6,351801,0.3,469101,2.00 3,1,2024-09-07 10:09:01:620,1236412,1236412,0,0,580126178210,6032748770,1227816,7878,718,379,391716,0 3,2,2024-09-07 10:09:01:143,890201,890178,23,0,34765874,0,5851 3,3,2024-09-07 10:09:01:752,1,704,1,0,484,5254,704,0 4,0,2024-09-07 10:09:01:794,170899,0.4,175419,0.5,358019,0.4,467968,1.75 4,1,2024-09-07 10:09:00:596,1231279,1231279,0,0,577410620770,6104459575,1211360,15317,4602,369,391992,0 4,2,2024-09-07 10:09:01:022,886522,886522,0,0,42444422,0,4534 4,3,2024-09-07 10:09:01:027,1,704,1,0,448,9386,704,0 5,0,2024-09-07 10:09:01:392,177237,0.4,177019,0.6,354370,0.4,471649,1.75 5,1,2024-09-07 10:09:00:756,1232542,1232542,0,0,578245746398,6097564123,1214840,13462,4240,367,392005,0 5,2,2024-09-07 10:09:01:840,887049,887016,33,0,42805239,0,7631 5,3,2024-09-07 10:09:01:732,1,704,1,0,457,9203,704,0 6,0,2024-09-07 10:09:00:920,175510,0.5,174937,0.6,350232,0.4,466122,2.00 6,1,2024-09-07 10:09:00:746,1235998,1235998,0,0,580303733136,6055955344,1223817,10496,1685,379,391702,0 6,2,2024-09-07 10:09:01:115,894544,894526,18,0,39637487,0,5535 6,3,2024-09-07 10:09:01:274,1,704,1,0,710,7957,704,0 7,0,2024-09-07 10:09:01:531,173348,0.5,174536,0.6,346285,0.5,462609,2.00 7,1,2024-09-07 10:09:00:859,1233021,1233021,0,0,578916215071,6087546379,1215186,13698,4137,382,391747,0 7,2,2024-09-07 10:09:00:770,887908,887739,169,0,40383375,0,7706 7,3,2024-09-07 10:09:00:857,1,704,1,0,552,8188,704,0 8,0,2024-09-07 10:09:01:341,177302,0.4,176882,0.5,354405,0.4,472246,1.75 8,1,2024-09-07 10:09:01:019,1232125,1232125,0,0,578657558112,6082186970,1213257,14822,4046,366,392853,0 8,2,2024-09-07 10:09:00:792,883674,883672,2,0,45547516,0,5112 8,3,2024-09-07 10:09:00:585,1,704,0,0,772,10572,704,0 9,0,2024-09-07 10:09:01:123,178466,0.4,173234,0.5,362564,0.3,475648,1.75 9,1,2024-09-07 10:09:00:550,1231881,1231881,0,0,578625678214,6099666828,1212151,15379,4351,369,392001,0 9,2,2024-09-07 10:09:01:088,888726,888725,1,0,43525806,0,5281 9,3,2024-09-07 10:09:01:752,1,704,4,0,1273,11107,704,0 10,0,2024-09-07 10:09:01:604,177159,0.3,176752,0.5,354432,0.3,471412,1.75 10,1,2024-09-07 10:09:00:582,1233895,1233895,0,0,579282838026,6077764761,1215749,14412,3734,381,391981,0 10,2,2024-09-07 10:09:00:761,892201,892201,0,0,46710208,0,4713 10,3,2024-09-07 10:09:00:872,1,704,1,0,669,7403,704,0 11,0,2024-09-07 10:09:01:015,173288,0.4,168198,0.6,351994,0.3,463888,1.75 11,1,2024-09-07 10:09:00:571,1236077,1236077,0,0,580086787485,6079227135,1219190,12577,4310,383,391766,0 11,2,2024-09-07 10:09:01:123,890065,890065,0,0,40175211,0,4698 11,3,2024-09-07 10:09:01:298,1,704,1,0,843,8503,704,0 12,0,2024-09-07 10:09:00:967,179751,0.4,179378,0.6,358553,0.4,476584,1.75 12,1,2024-09-07 10:09:00:953,1235308,1235308,0,0,579788804334,6047936906,1225444,8833,1031,368,391960,0 12,2,2024-09-07 10:09:01:560,886402,886402,0,0,40063088,0,4390 12,3,2024-09-07 10:09:01:059,1,704,7,0,386,8611,704,0 13,0,2024-09-07 10:09:01:411,178987,0.4,179142,0.6,357587,0.4,476313,1.75 13,1,2024-09-07 10:09:01:540,1232900,1232900,0,0,578531822798,6087889755,1218724,11093,3083,382,391803,0 13,2,2024-09-07 10:09:00:597,891077,891077,0,0,36312923,0,3287 13,3,2024-09-07 10:09:01:767,1,704,7,0,522,9371,704,0 14,0,2024-09-07 10:09:00:614,177529,0.4,178578,0.6,355178,0.3,472682,1.75 14,1,2024-09-07 10:09:01:561,1241349,1241349,0,0,582503388297,6024414670,1232089,8109,1151,364,391673,0 14,2,2024-09-07 10:09:00:764,891244,891214,30,0,37348007,0,6104 14,3,2024-09-07 10:09:01:115,1,704,1,0,1168,7225,704,0 15,0,2024-09-07 10:09:01:554,174091,0.4,173316,0.6,346767,0.3,463192,1.75 15,1,2024-09-07 10:09:01:609,1237210,1237210,0,0,581201898088,6055688209,1226340,8772,2098,380,391619,0 15,2,2024-09-07 10:09:01:002,894830,894830,0,0,33412116,0,3622 15,3,2024-09-07 10:09:01:406,1,704,1,0,1126,8648,704,0 16,0,2024-09-07 10:09:00:992,176577,0.6,177529,0.8,354107,0.6,471660,2.00 16,1,2024-09-07 10:09:00:577,1236833,1236833,0,0,580411211159,6065454302,1226085,9203,1545,370,392194,0 16,2,2024-09-07 10:09:01:438,887997,887997,0,0,36449559,0,4719 16,3,2024-09-07 10:09:01:143,1,704,1,0,362,8618,704,0 17,0,2024-09-07 10:09:01:777,182245,0.7,177669,0.8,348020,0.7,473299,2.00 17,1,2024-09-07 10:09:00:578,1235128,1235128,0,0,579357906902,6070533914,1223994,9331,1803,368,392075,0 17,2,2024-09-07 10:09:01:666,892437,892436,1,0,37698981,0,5050 17,3,2024-09-07 10:09:00:577,1,704,2,0,518,9949,704,0 18,0,2024-09-07 10:09:00:945,175383,0.6,176569,0.8,351455,0.6,469278,2.25 18,1,2024-09-07 10:09:01:646,1240227,1240227,0,0,581438034871,6029870081,1232040,6755,1432,367,391725,0 18,2,2024-09-07 10:09:01:754,893319,893319,0,0,34084953,0,3541 18,3,2024-09-07 10:09:00:903,1,704,2,0,1059,6307,704,0 19,0,2024-09-07 10:09:01:548,174372,0.6,174880,0.8,348558,0.6,463649,2.00 19,1,2024-09-07 10:09:00:565,1239369,1239369,0,0,582460935056,6033108373,1230512,7696,1161,365,391777,0 19,2,2024-09-07 10:09:01:752,896981,896981,0,0,31910186,0,3988 19,3,2024-09-07 10:09:01:129,1,704,1,0,524,5555,704,0 20,0,2024-09-07 10:09:01:437,174911,0.5,174939,0.7,349428,0.5,466937,2.00 20,1,2024-09-07 10:09:00:567,1234526,1234526,0,0,579796293431,6073985735,1222236,10581,1709,369,391922,0 20,2,2024-09-07 10:09:00:941,888423,888423,0,0,40376410,0,4321 20,3,2024-09-07 10:09:00:596,1,704,1,0,468,11574,704,0 21,0,2024-09-07 10:09:01:146,176530,0.5,176622,0.7,353003,0.5,468496,2.00 21,1,2024-09-07 10:09:01:537,1232364,1232364,0,0,577689831248,6093897931,1213595,14774,3995,368,392016,0 21,2,2024-09-07 10:09:01:071,883142,882665,477,0,51683851,0,17074 21,3,2024-09-07 10:09:01:405,1,704,1,0,713,9758,704,0 22,0,2024-09-07 10:09:01:726,177728,0.5,178401,0.7,356340,0.4,472773,2.00 22,1,2024-09-07 10:09:01:035,1233633,1233633,0,0,579276336234,6090272536,1214518,15510,3605,381,391822,0 22,2,2024-09-07 10:09:00:761,889333,889307,26,0,38410382,0,6328 22,3,2024-09-07 10:09:01:070,1,704,1,0,228,5669,704,0 23,0,2024-09-07 10:09:01:373,175812,0.5,175661,0.6,351337,0.4,467715,2.00 23,1,2024-09-07 10:09:01:003,1234799,1234799,0,0,579042827587,6094657230,1212898,14730,7171,365,391690,0 23,2,2024-09-07 10:09:01:092,894300,894300,0,0,36651421,0,3773 23,3,2024-09-07 10:09:01:754,1,704,1,0,855,9798,704,0 24,0,2024-09-07 10:09:00:840,174635,0.4,173671,0.5,349032,0.3,463783,1.75 24,1,2024-09-07 10:09:00:581,1233682,1233682,0,0,579732237737,6065721458,1222067,9737,1878,367,392269,0 24,2,2024-09-07 10:09:01:077,889189,889186,3,0,42970507,0,6294 24,3,2024-09-07 10:09:01:692,1,704,12,0,468,9163,704,0 25,0,2024-09-07 10:09:01:376,182609,0.4,177410,0.6,349286,0.4,475123,1.75 25,1,2024-09-07 10:09:00:558,1232194,1232194,0,0,577743574210,6102158409,1211071,17124,3999,369,391928,0 25,2,2024-09-07 10:09:01:620,885997,885997,0,0,44956548,0,3978 25,3,2024-09-07 10:09:01:007,1,704,1,0,532,7675,704,0 26,0,2024-09-07 10:09:01:719,177469,0.4,173420,0.6,363867,0.4,474697,2.00 26,1,2024-09-07 10:09:01:543,1235939,1235939,0,0,579849316025,6066272960,1220232,12803,2904,380,391758,0 26,2,2024-09-07 10:09:00:867,889351,889351,0,0,46069980,0,4689 26,3,2024-09-07 10:09:01:712,1,704,0,0,796,8699,704,0 27,0,2024-09-07 10:09:01:729,177784,0.4,178538,0.6,355072,0.4,473926,2.25 27,1,2024-09-07 10:09:01:677,1237374,1237374,0,0,581596634035,6058296075,1225992,9759,1623,381,391626,0 27,2,2024-09-07 10:09:00:866,887797,887732,65,0,40788787,0,5699 27,3,2024-09-07 10:09:01:017,1,704,1,0,564,5930,704,0 28,0,2024-09-07 10:09:01:391,173705,0.4,173796,0.6,347732,0.3,463058,1.75 28,1,2024-09-07 10:09:00:813,1238012,1238012,0,0,581535493782,6066868762,1226538,9046,2428,382,391904,0 28,2,2024-09-07 10:09:01:767,891994,891994,0,0,38001712,0,4060 28,3,2024-09-07 10:09:01:779,1,704,28,0,502,7303,704,0 29,0,2024-09-07 10:09:01:366,183462,0.4,178489,0.6,350516,0.4,478626,1.75 29,1,2024-09-07 10:09:01:566,1241344,1241344,0,0,583118283904,6033141393,1233417,6906,1021,367,391809,0 29,2,2024-09-07 10:09:00:860,887963,887963,0,0,35362443,0,4986 29,3,2024-09-07 10:09:00:982,1,704,0,0,590,7901,704,0 30,0,2024-09-07 10:09:01:458,176110,0.6,171419,0.7,359157,0.5,469422,2.00 30,1,2024-09-07 10:09:00:575,1239739,1239739,0,0,582361297076,6045965420,1229925,8646,1168,380,391672,0 30,2,2024-09-07 10:09:01:274,890287,890287,0,0,34304769,0,4192 30,3,2024-09-07 10:09:00:581,1,704,1,0,519,7235,704,0 31,0,2024-09-07 10:09:01:769,176886,0.5,177759,0.7,354508,0.4,472858,2.00 31,1,2024-09-07 10:09:00:564,1243587,1243587,0,0,584208799941,5999797198,1235655,6663,1269,356,391712,0 31,2,2024-09-07 10:09:01:291,891153,891153,0,0,37701795,0,4470 31,3,2024-09-07 10:09:01:706,1,704,1,0,248,6136,704,0 32,0,2024-09-07 10:09:01:466,174570,0.3,175610,0.5,349948,0.2,465753,1.75 32,1,2024-09-07 10:09:00:808,1239588,1239588,0,0,581658810673,6044500367,1232094,6529,965,381,391646,0 32,2,2024-09-07 10:09:00:936,896006,896006,0,0,32995753,0,3922 32,3,2024-09-07 10:09:01:018,1,704,1,0,304,5856,704,0 33,0,2024-09-07 10:09:01:499,177022,0.3,176604,0.4,353135,0.2,470503,1.50 33,1,2024-09-07 10:09:00:585,1240553,1240553,0,0,582296093373,6028061893,1230924,8272,1357,368,391730,0 33,2,2024-09-07 10:09:00:759,889063,889028,35,0,36537415,0,7012 33,3,2024-09-07 10:09:00:902,1,704,5,0,329,6426,704,0 34,0,2024-09-07 10:09:00:932,176276,0.3,181305,0.5,346611,0.2,467945,1.75 34,1,2024-09-07 10:09:01:056,1243630,1243630,0,0,583030716456,5998340947,1239624,3797,209,366,391637,0 34,2,2024-09-07 10:09:00:766,890027,890027,0,0,34072619,0,4562 34,3,2024-09-07 10:09:01:687,1,704,1,0,541,6058,704,0 35,0,2024-09-07 10:09:00:867,176580,0.3,177200,0.5,355296,0.2,472652,1.75 35,1,2024-09-07 10:09:01:071,1239092,1239092,0,0,581121502246,6028183929,1230150,7389,1553,382,391769,0 35,2,2024-09-07 10:09:01:585,891310,891310,0,0,39819707,0,4055 35,3,2024-09-07 10:09:00:908,1,704,1,0,466,6588,704,0 36,0,2024-09-07 10:09:01:520,175979,0.4,176165,0.6,351847,0.4,468146,2.00 36,1,2024-09-07 10:09:00:583,1237414,1237414,0,0,579583270823,6048351003,1222632,12428,2354,366,391759,0 36,2,2024-09-07 10:09:01:751,893868,893868,0,0,39765937,0,3875 36,3,2024-09-07 10:09:00:864,1,704,1,0,556,8835,704,0 37,0,2024-09-07 10:09:01:390,173426,0.5,173318,0.7,347244,0.5,463345,2.25 37,1,2024-09-07 10:09:00:568,1237408,1237401,0,7,580437149026,6057835296,1223210,11075,3116,365,391770,0 37,2,2024-09-07 10:09:01:143,887300,887285,15,0,39843909,0,5815 37,3,2024-09-07 10:09:01:771,1,704,1,0,888,9575,704,0 38,0,2024-09-07 10:09:01:438,176148,0.5,170792,0.7,357093,0.4,468032,2.00 38,1,2024-09-07 10:09:01:604,1237352,1237352,0,0,580322722632,6063180637,1220509,13537,3306,368,391821,0 38,2,2024-09-07 10:09:00:759,889637,889590,47,0,39772700,0,6710 38,3,2024-09-07 10:09:01:003,1,704,1,0,689,7929,704,0 39,0,2024-09-07 10:09:01:759,181948,0.5,177686,0.7,347008,0.5,473142,2.00 39,1,2024-09-07 10:09:00:716,1234921,1234921,0,0,579769985023,6074675615,1215112,15576,4233,365,391865,0 39,2,2024-09-07 10:09:01:417,890346,890346,0,0,38089899,0,3478 39,3,2024-09-07 10:09:00:715,1,704,1,0,525,7766,704,0 40,0,2024-09-07 10:09:01:495,175716,0.8,176342,0.9,352086,0.9,470024,2.75 40,1,2024-09-07 10:09:00:578,1235697,1235697,0,0,579256011977,6069249984,1216871,15243,3583,366,391668,0 40,2,2024-09-07 10:09:01:304,889969,889962,7,0,43986306,0,5347 40,3,2024-09-07 10:09:01:143,1,704,1,0,1028,9869,704,0 41,0,2024-09-07 10:09:01:029,172505,1.1,176683,1.1,337021,1.4,457780,2.75 41,1,2024-09-07 10:09:00:779,1236065,1236065,0,0,580483651544,6068218709,1220351,13188,2526,369,391878,0 41,2,2024-09-07 10:09:00:758,887292,887291,1,0,42982424,0,5408 41,3,2024-09-07 10:09:01:677,1,704,13,0,749,8469,704,0 42,0,2024-09-07 10:09:01:476,177159,1.0,176989,1.0,354359,1.3,469821,2.75 42,1,2024-09-07 10:09:01:438,1233191,1233191,0,0,578008442451,6065057825,1215584,14523,3084,380,391675,0 42,2,2024-09-07 10:09:01:134,885038,885037,1,0,44325222,0,5513 42,3,2024-09-07 10:09:01:011,1,704,1,0,892,7008,704,0 43,0,2024-09-07 10:09:00:923,175635,0.8,171015,1.0,358399,0.8,468914,2.25 43,1,2024-09-07 10:09:00:576,1236823,1236823,0,0,581163964096,6067450176,1220712,13363,2748,365,391696,0 43,2,2024-09-07 10:09:01:735,890964,890964,0,0,40595219,0,4723 43,3,2024-09-07 10:09:01:749,1,704,1,0,571,9340,704,0 44,0,2024-09-07 10:09:00:898,177751,0.4,177834,0.6,355368,0.3,473747,1.75 44,1,2024-09-07 10:09:00:562,1239906,1239906,0,0,582112275338,6018271656,1228577,9178,2151,356,391809,0 44,2,2024-09-07 10:09:01:267,889785,889785,0,0,33998779,0,4344 44,3,2024-09-07 10:09:01:102,1,704,6,0,1097,9142,704,0 45,0,2024-09-07 10:09:01:757,172307,0.4,168172,0.7,353049,0.4,463392,2.00 45,1,2024-09-07 10:09:01:007,1239314,1239314,0,0,581528243090,6043709893,1229762,8331,1221,382,391917,0 45,2,2024-09-07 10:09:01:273,894489,894489,0,0,34149001,0,3596 45,3,2024-09-07 10:09:00:940,1,704,13,0,531,6525,704,0 46,0,2024-09-07 10:09:01:007,176059,0.5,175353,0.7,352107,0.5,468355,2.00 46,1,2024-09-07 10:09:00:582,1241190,1241190,0,0,582183992803,6022160607,1231910,7797,1483,366,391709,0 46,2,2024-09-07 10:09:00:596,889662,889662,0,0,34918239,0,4443 46,3,2024-09-07 10:09:01:133,1,704,1,0,908,7636,704,0 47,0,2024-09-07 10:09:01:107,177486,0.5,177024,0.6,355335,0.4,471482,2.00 47,1,2024-09-07 10:09:00:566,1242483,1242483,0,0,582468508234,6018507514,1234971,6499,1013,364,391666,0 47,2,2024-09-07 10:09:00:913,892259,892259,0,0,35403956,0,4477 47,3,2024-09-07 10:09:01:115,1,704,1,0,600,7593,704,0 48,0,2024-09-07 10:09:01:508,177481,0.3,178027,0.4,354759,0.2,472117,1.50 48,1,2024-09-07 10:09:01:022,1238606,1238606,0,0,580727422855,6032438488,1230931,6663,1012,381,391710,0 48,2,2024-09-07 10:09:00:704,891917,891917,0,0,32342555,0,3619 48,3,2024-09-07 10:09:00:754,1,704,1,0,339,6011,704,0 49,0,2024-09-07 10:09:01:733,180069,0.3,176341,0.5,342991,0.2,468194,1.75 49,1,2024-09-07 10:09:01:033,1238195,1238195,0,0,581368666947,6043063792,1230325,6172,1698,382,391809,0 49,2,2024-09-07 10:09:01:797,896142,896142,0,0,33793322,0,4426 49,3,2024-09-07 10:09:01:417,1,704,1,0,992,8125,704,0 50,0,2024-09-07 10:09:01:528,175314,0.3,174103,0.5,350471,0.2,466461,1.75 50,1,2024-09-07 10:09:01:011,1241625,1241625,0,0,582957415399,6024849189,1234066,6826,733,368,391691,0 50,2,2024-09-07 10:09:01:072,888151,888151,0,0,31692422,0,4490 50,3,2024-09-07 10:09:01:295,1,704,1,0,617,7230,704,0 51,0,2024-09-07 10:09:01:683,181247,0.3,177362,0.5,345331,0.3,470867,1.75 51,1,2024-09-07 10:09:01:680,1242804,1242804,0,0,583869692801,6018642875,1236103,5357,1344,365,391706,0 51,2,2024-09-07 10:09:01:315,889881,889881,0,0,31361979,0,3337 51,3,2024-09-07 10:09:01:034,1,704,1,0,678,5487,704,0 52,0,2024-09-07 10:09:01:434,177956,0.5,177832,0.6,355439,0.4,472460,2.00 52,1,2024-09-07 10:09:00:576,1236451,1236451,0,0,580528812683,6071275991,1219696,14328,2427,368,391805,0 52,2,2024-09-07 10:09:01:755,886891,886853,38,0,42833838,0,6742 52,3,2024-09-07 10:09:00:674,1,704,15,0,1782,7985,704,0 53,0,2024-09-07 10:09:01:741,175527,0.6,170323,0.8,356478,0.6,466259,2.25 53,1,2024-09-07 10:09:00:782,1235959,1235959,0,0,580039656465,6078139306,1216591,14937,4431,367,391968,0 53,2,2024-09-07 10:09:01:297,893741,893603,138,0,39243463,0,7690 53,3,2024-09-07 10:09:00:704,1,704,0,0,308,6520,704,0 54,0,2024-09-07 10:09:01:654,171867,0.5,172454,0.7,343080,0.4,458317,2.25 54,1,2024-09-07 10:09:00:580,1238280,1238280,0,0,581316667417,6040713706,1226480,10125,1675,366,391810,0 54,2,2024-09-07 10:09:00:865,889943,889911,32,0,42499809,0,6397 54,3,2024-09-07 10:09:00:762,1,704,1,0,676,8983,704,0 55,0,2024-09-07 10:09:01:759,171506,0.7,176659,0.8,358724,0.7,467112,2.50 55,1,2024-09-07 10:09:00:764,1238744,1238744,0,0,580905646873,6037382315,1226710,10545,1489,365,391731,0 55,2,2024-09-07 10:09:00:730,887639,887583,56,0,38803840,0,7239 55,3,2024-09-07 10:09:00:673,1,704,1,0,304,6308,704,0 56,0,2024-09-07 10:09:01:625,181447,1.2,170924,1.1,352376,1.5,471893,2.75 56,1,2024-09-07 10:09:00:578,1231003,1231003,0,0,577788978563,6105291317,1211491,15757,3755,381,391867,0 56,2,2024-09-07 10:09:01:309,888212,888090,122,0,41811091,0,7432 56,3,2024-09-07 10:09:01:064,1,704,3,0,705,8336,704,0 57,0,2024-09-07 10:09:00:951,176069,1.3,175951,1.2,351425,1.8,470227,3.00 57,1,2024-09-07 10:09:01:058,1234628,1234628,0,0,579439680718,6075229565,1218907,13014,2707,366,392097,0 57,2,2024-09-07 10:09:01:325,890944,890944,0,0,44635056,0,4804 57,3,2024-09-07 10:09:01:757,1,704,31,0,455,7466,704,0 58,0,2024-09-07 10:09:00:624,171156,0.9,166344,1.0,348091,1.0,455265,2.50 58,1,2024-09-07 10:09:00:585,1235593,1235590,0,3,579560969735,6074134500,1217218,13970,4402,367,391726,3 58,2,2024-09-07 10:09:01:070,892375,892375,0,0,40544734,0,3483 58,3,2024-09-07 10:09:01:070,1,704,61,0,1043,7570,704,0 59,0,2024-09-07 10:09:01:739,177272,0.9,176222,1.0,352718,1.1,468688,3.00 59,1,2024-09-07 10:09:00:805,1235056,1235056,0,0,579410508018,6082979443,1215557,15661,3838,369,391653,0 59,2,2024-09-07 10:09:00:583,888111,888111,0,0,38640959,0,3727 59,3,2024-09-07 10:09:01:741,1,704,128,0,1015,8324,704,0 60,0,2024-09-07 10:09:01:754,176951,0.5,177209,0.7,354234,0.5,473034,2.00 60,1,2024-09-07 10:09:00:803,1238961,1238961,0,0,581694276456,6056010288,1228021,9434,1506,370,392031,0 60,2,2024-09-07 10:09:01:151,890475,890475,0,0,36697300,0,3811 60,3,2024-09-07 10:09:01:259,1,704,9,0,409,8024,704,0 61,0,2024-09-07 10:09:01:624,177092,0.6,177817,0.8,354133,0.6,472391,2.00 61,1,2024-09-07 10:09:00:787,1235855,1235855,0,0,580573934594,6076605140,1223164,10839,1852,382,392127,0 61,2,2024-09-07 10:09:01:131,891826,891759,67,0,37662042,0,6411 61,3,2024-09-07 10:09:01:694,1,704,113,0,607,8719,704,0 62,0,2024-09-07 10:09:01:747,175210,0.5,179653,0.7,342920,0.5,465658,2.00 62,1,2024-09-07 10:09:01:111,1243654,1243648,0,6,583683099179,6024006208,1236388,6643,617,365,391975,6 62,2,2024-09-07 10:09:01:644,892072,892071,1,0,37419316,0,5555 62,3,2024-09-07 10:09:01:151,1,704,9,0,482,5482,704,0 63,0,2024-09-07 10:09:01:454,176895,0.4,176569,0.6,353791,0.3,470797,1.75 63,1,2024-09-07 10:09:00:812,1240151,1240145,0,6,581621590223,6039986084,1232225,6968,952,381,391800,6 63,2,2024-09-07 10:09:00:762,887670,887670,0,0,36526911,0,4369 63,3,2024-09-07 10:09:01:732,1,704,1,0,667,8086,704,0 64,0,2024-09-07 10:09:01:575,175352,0.5,175285,0.7,350184,0.5,466454,2.00 64,1,2024-09-07 10:09:00:757,1238348,1238348,0,0,581599552776,6052219868,1228132,8186,2030,370,391794,0 64,2,2024-09-07 10:09:01:147,892744,892725,19,0,35100648,0,6121 64,3,2024-09-07 10:09:01:142,1,704,14,0,651,7936,704,0 65,0,2024-09-07 10:09:01:723,175899,0.6,176163,0.7,351485,0.6,468910,2.00 65,1,2024-09-07 10:09:00:862,1236636,1236636,0,0,580138971564,6059652577,1228388,7350,898,381,391901,0 65,2,2024-09-07 10:09:01:695,889995,889995,0,0,42157812,0,3367 65,3,2024-09-07 10:09:01:693,1,704,2,0,782,8202,704,0 66,0,2024-09-07 10:09:01:780,175201,0.5,174594,0.7,349167,0.4,464687,2.00 66,1,2024-09-07 10:09:01:294,1238662,1238662,0,0,581487094097,6049234167,1231153,6635,874,380,391743,0 66,2,2024-09-07 10:09:01:134,896524,896521,3,0,36931226,0,5455 66,3,2024-09-07 10:09:01:082,1,704,0,0,291,6189,704,0 67,0,2024-09-07 10:09:01:464,174067,0.5,173610,0.7,347941,0.4,463694,2.00 67,1,2024-09-07 10:09:00:769,1238265,1238264,0,1,581036545227,6046927739,1229829,7295,1140,380,391787,1 67,2,2024-09-07 10:09:00:585,891536,891521,15,0,37353867,0,6205 67,3,2024-09-07 10:09:01:750,1,704,2,0,595,7363,704,0 68,0,2024-09-07 10:09:00:596,177089,0.6,176947,0.7,352331,0.6,470927,2.25 68,1,2024-09-07 10:09:00:577,1233710,1233710,0,0,578390392610,6082611313,1216974,12461,4275,381,391953,0 68,2,2024-09-07 10:09:01:058,884655,884555,100,0,45465925,0,8578 68,3,2024-09-07 10:09:00:732,1,704,2,0,417,9868,704,0 69,0,2024-09-07 10:09:01:768,177563,0.7,178182,0.8,355398,0.7,473019,2.25 69,1,2024-09-07 10:09:01:029,1233069,1233069,0,0,578517488638,6091156166,1218141,11943,2985,383,391994,0 69,2,2024-09-07 10:09:01:738,886616,886587,29,0,48616463,0,6912 69,3,2024-09-07 10:09:00:764,1,704,2,0,698,10416,704,0 70,0,2024-09-07 10:09:01:533,175433,0.7,176215,0.9,353257,0.6,468502,2.50 70,1,2024-09-07 10:09:00:805,1239842,1239842,0,0,582139474980,6039811099,1230373,8424,1045,366,391725,0 70,2,2024-09-07 10:09:01:327,892995,892995,0,0,39887404,0,4323 70,3,2024-09-07 10:09:00:751,1,704,0,0,854,7734,704,0 71,0,2024-09-07 10:09:01:367,172506,0.8,172106,0.9,345057,0.9,460488,2.50 71,1,2024-09-07 10:09:01:603,1237415,1237415,0,0,581078351778,6059391155,1222977,12652,1786,367,391738,0 71,2,2024-09-07 10:09:01:071,889299,889299,0,0,40340382,0,4352 71,3,2024-09-07 10:09:01:750,1,704,2,0,644,7660,704,0 72,0,2024-09-07 10:09:01:043,184192,0.5,179828,0.7,351469,0.5,477273,2.00 72,1,2024-09-07 10:09:01:036,1235830,1235830,0,0,579227431239,6071259882,1218884,14212,2734,369,391819,0 72,2,2024-09-07 10:09:01:765,885984,885984,0,0,42359156,0,3983 72,3,2024-09-07 10:09:01:754,1,704,6,0,564,9601,704,0 73,0,2024-09-07 10:09:01:174,173512,0.5,178045,0.6,363904,0.4,473983,2.00 73,1,2024-09-07 10:09:00:770,1237499,1237499,0,0,580883517907,6036557623,1227962,8470,1067,367,391858,0 73,2,2024-09-07 10:09:01:757,888722,888721,1,0,44285604,0,5027 73,3,2024-09-07 10:09:00:990,1,704,9,0,1091,9418,704,0 74,0,2024-09-07 10:09:01:325,178680,0.5,182981,0.7,348985,0.4,474138,2.00 74,1,2024-09-07 10:09:00:635,1236484,1236484,0,0,580119582132,6050703855,1224123,10213,2148,381,391762,0 74,2,2024-09-07 10:09:01:002,890347,890347,0,0,38467754,0,4253 74,3,2024-09-07 10:09:01:442,1,704,2,0,522,7954,704,0 75,0,2024-09-07 10:09:01:768,174112,0.5,173093,0.7,347673,0.4,464514,2.00 75,1,2024-09-07 10:09:01:585,1237649,1237649,0,0,580952044106,6051168479,1227762,8893,994,380,391739,0 75,2,2024-09-07 10:09:01:359,891920,891920,0,0,45645111,0,4766 75,3,2024-09-07 10:09:01:070,1,704,1,0,918,9591,704,0 76,0,2024-09-07 10:09:00:595,176439,0.5,175853,0.7,351369,0.5,469975,2.25 76,1,2024-09-07 10:09:00:808,1236792,1236792,0,0,579662705925,6046385733,1227894,7588,1310,382,391790,0 76,2,2024-09-07 10:09:01:061,890929,890926,3,0,38365920,0,5265 76,3,2024-09-07 10:09:01:148,1,704,1,0,249,6545,704,0 77,0,2024-09-07 10:09:01:807,176309,0.6,176625,0.7,353566,0.6,470376,2.00 77,1,2024-09-07 10:09:00:842,1236051,1236051,0,0,580533902733,6060837387,1225775,9188,1088,381,391869,0 77,2,2024-09-07 10:09:01:291,889118,889118,0,0,37021969,0,3890 77,3,2024-09-07 10:09:01:099,1,704,16,0,401,7890,704,0 78,0,2024-09-07 10:09:01:721,177797,0.4,176962,0.6,355395,0.4,470175,2.00 78,1,2024-09-07 10:09:00:621,1236263,1236263,0,0,580193598896,6053507004,1220725,12206,3332,367,391670,0 78,2,2024-09-07 10:09:01:406,892252,892239,13,0,34668238,0,8313 78,3,2024-09-07 10:09:01:134,1,704,1,0,311,5980,704,0 79,0,2024-09-07 10:09:01:375,169162,0.4,173303,0.6,354997,0.3,462586,2.00 79,1,2024-09-07 10:09:00:577,1240951,1240951,0,0,582407117815,6031085066,1231134,8015,1802,367,391682,0 79,2,2024-09-07 10:09:01:071,895476,895476,0,0,33693211,0,4195 79,3,2024-09-07 10:09:00:749,1,704,1,0,418,8163,704,0 80,0,2024-09-07 10:09:01:092,175190,0.5,179877,0.7,343663,0.5,465579,2.00 80,1,2024-09-07 10:09:01:649,1237155,1237155,0,0,580934946366,6047018698,1229163,7409,583,368,392269,0 80,2,2024-09-07 10:09:01:091,891405,891405,0,0,34170588,0,4433 80,3,2024-09-07 10:09:00:598,1,704,1,0,681,8433,704,0 81,0,2024-09-07 10:09:01:604,176596,0.6,180724,0.7,344721,0.6,467494,2.00 81,1,2024-09-07 10:09:01:660,1236384,1236384,0,0,579210214813,6052756920,1226510,8878,996,382,392001,0 81,2,2024-09-07 10:09:01:125,889031,888968,63,0,38039878,0,5932 81,3,2024-09-07 10:09:01:124,1,704,9,0,719,7654,704,0 82,0,2024-09-07 10:09:01:536,177860,0.5,177909,0.7,356564,0.5,472985,2.00 82,1,2024-09-07 10:09:00:583,1238039,1238035,0,4,580094877286,6033962080,1231098,5813,1124,381,391768,4 82,2,2024-09-07 10:09:01:691,893673,893673,0,0,31643438,0,4484 82,3,2024-09-07 10:09:01:752,1,704,18,0,363,6303,704,0 83,0,2024-09-07 10:09:01:533,176236,0.5,176086,0.7,351790,0.5,466910,2.00 83,1,2024-09-07 10:09:00:556,1236930,1236930,0,0,580827787827,6053088593,1227935,7966,1029,382,391709,0 83,2,2024-09-07 10:09:00:764,894434,894409,25,0,34544120,0,5612 83,3,2024-09-07 10:09:00:749,1,704,1,0,1260,8167,704,0 84,0,2024-09-07 10:09:01:786,172100,0.7,172214,0.8,344457,0.6,460064,2.25 84,1,2024-09-07 10:09:01:040,1235325,1235325,0,0,579235780153,6056735324,1221619,11621,2085,367,391967,0 84,2,2024-09-07 10:09:00:570,887411,887001,410,0,49839018,0,17037 84,3,2024-09-07 10:09:01:150,1,704,0,0,908,9694,704,0 85,0,2024-09-07 10:09:01:005,170769,0.7,170799,0.8,362537,0.7,467604,2.25 85,1,2024-09-07 10:09:00:561,1231427,1231427,0,0,578391152672,6099676378,1213616,14879,2932,381,392092,0 85,2,2024-09-07 10:09:00:867,887681,887681,0,0,42269652,0,4255 85,3,2024-09-07 10:09:00:688,1,704,1,0,789,8504,704,0 86,0,2024-09-07 10:09:00:884,177367,0.7,182467,0.8,349388,0.8,472688,2.25 86,1,2024-09-07 10:09:00:830,1234033,1234033,0,0,579454521306,6082874071,1216334,14383,3316,366,392169,0 86,2,2024-09-07 10:09:00:855,889296,889295,1,0,44308583,0,5004 86,3,2024-09-07 10:09:00:586,1,704,1,0,441,9650,704,0 87,0,2024-09-07 10:09:01:321,178266,0.7,176995,0.8,354561,0.9,473920,2.25 87,1,2024-09-07 10:09:00:550,1234596,1234596,0,0,578861752609,6060649074,1219652,12779,2165,366,392076,0 87,2,2024-09-07 10:09:01:070,890325,890319,6,0,41726540,0,6323 87,3,2024-09-07 10:09:01:798,1,704,25,0,473,9854,704,0 88,0,2024-09-07 10:09:01:445,173505,0.4,173938,0.6,347911,0.4,463193,1.75 88,1,2024-09-07 10:09:00:569,1233012,1233012,0,0,578699839402,6061594721,1216150,13718,3144,365,392084,0 88,2,2024-09-07 10:09:00:688,891608,891608,0,0,43878892,0,4465 88,3,2024-09-07 10:09:01:267,1,704,2,0,1080,11174,704,0 89,0,2024-09-07 10:09:01:804,183436,0.4,178238,0.6,350946,0.4,477547,1.75 89,1,2024-09-07 10:09:00:550,1233254,1233254,0,0,579248318552,6088131728,1218559,12412,2283,382,392084,0 89,2,2024-09-07 10:09:01:134,887804,887804,0,0,41203806,0,3173 89,3,2024-09-07 10:09:01:801,1,704,12,0,729,12377,704,0 90,0,2024-09-07 10:09:01:633,171909,0.5,176503,0.7,360409,0.5,470635,2.00 90,1,2024-09-07 10:09:00:596,1234919,1234919,0,0,579383675337,6069092539,1222449,11197,1273,380,391825,0 90,2,2024-09-07 10:09:01:419,885382,885377,5,0,44495522,0,6370 90,3,2024-09-07 10:09:00:946,1,704,2,0,364,9188,704,0 91,0,2024-09-07 10:09:00:936,177829,0.5,172503,0.6,360926,0.4,473199,1.75 91,1,2024-09-07 10:09:00:561,1231847,1231847,0,0,579363384837,6107256696,1213129,14570,4148,381,392047,0 91,2,2024-09-07 10:09:01:336,889904,889904,0,0,39856869,0,4713 91,3,2024-09-07 10:09:00:598,1,704,3,0,231,6544,704,0 92,0,2024-09-07 10:09:01:457,176155,0.4,180155,0.6,343521,0.4,465965,1.75 92,1,2024-09-07 10:09:00:590,1235820,1235820,0,0,579997516825,6057379778,1226316,8192,1312,381,392136,0 92,2,2024-09-07 10:09:01:360,894064,894064,0,0,36212036,0,3906 92,3,2024-09-07 10:09:01:015,1,704,2,0,322,6255,704,0 93,0,2024-09-07 10:09:01:030,177323,0.4,181784,0.6,346864,0.3,470462,1.75 93,1,2024-09-07 10:09:00:807,1236441,1236441,0,0,580294265414,6062057422,1222031,11973,2437,365,392048,0 93,2,2024-09-07 10:09:00:943,887831,887831,0,0,41513383,0,4913 93,3,2024-09-07 10:09:01:415,1,704,2,0,788,8648,704,0 94,0,2024-09-07 10:09:01:634,175293,0.4,176494,0.6,353432,0.4,468702,1.75 94,1,2024-09-07 10:09:00:563,1238120,1238120,0,0,580998910433,6057874394,1229835,7633,652,381,391850,0 94,2,2024-09-07 10:09:00:761,889554,889526,28,0,36217555,0,6179 94,3,2024-09-07 10:09:01:690,1,704,7,0,576,8458,704,0 95,0,2024-09-07 10:09:01:457,177256,0.4,176936,0.5,354165,0.3,471911,1.75 95,1,2024-09-07 10:09:00:862,1238421,1238421,0,0,581202159478,6038275974,1229048,8560,813,365,391852,0 95,2,2024-09-07 10:09:01:020,889409,889409,0,0,36401781,0,3308 95,3,2024-09-07 10:09:01:716,1,704,2,0,718,10503,704,0 96,0,2024-09-07 10:09:01:039,175518,0.3,175856,0.5,351838,0.3,467300,1.75 96,1,2024-09-07 10:09:01:584,1235757,1235757,0,0,580411287084,6054423881,1226829,7550,1378,384,392292,0 96,2,2024-09-07 10:09:01:268,895846,895846,0,0,36789529,0,4225 96,3,2024-09-07 10:09:01:151,1,704,0,0,411,7794,704,0 97,0,2024-09-07 10:09:01:340,173994,0.3,173857,0.5,348468,0.3,463789,1.50 97,1,2024-09-07 10:09:00:767,1239080,1239080,0,0,581789046341,6035482640,1231142,6695,1243,367,392140,0 97,2,2024-09-07 10:09:00:607,890172,890172,0,0,36772684,0,4600 97,3,2024-09-07 10:09:00:571,1,704,2,0,433,8516,704,0 98,0,2024-09-07 10:09:01:745,176606,0.3,176767,0.5,354925,0.3,471209,1.50 98,1,2024-09-07 10:09:00:586,1237493,1237493,0,0,580491393478,6044677835,1230044,6550,899,381,391997,0 98,2,2024-09-07 10:09:00:769,888583,888583,0,0,35085400,0,4336 98,3,2024-09-07 10:09:00:705,1,704,0,0,840,9712,704,0 99,0,2024-09-07 10:09:01:459,178378,0.3,179246,0.5,357212,0.3,475743,1.75 99,1,2024-09-07 10:09:01:723,1238557,1238557,0,0,580515882804,6040523460,1230766,6681,1110,380,392069,0 99,2,2024-09-07 10:09:01:421,889604,889604,0,0,44321646,0,4276 99,3,2024-09-07 10:09:00:583,1,704,2,0,1124,8891,704,0 100,0,2024-09-07 10:09:01:489,176797,0.7,177422,0.9,354608,0.8,472545,2.50 100,1,2024-09-07 10:09:00:550,1231081,1231081,0,0,576896552475,6100108307,1211933,15351,3797,378,391989,0 100,2,2024-09-07 10:09:01:847,888589,888200,389,0,46199902,0,16909 100,3,2024-09-07 10:09:01:732,1,704,1,0,627,10787,704,0 101,0,2024-09-07 10:09:01:781,177320,0.9,173061,1.0,338602,0.8,462514,2.25 101,1,2024-09-07 10:09:00:550,1233336,1233336,0,0,578858100711,6081461276,1216736,13648,2952,368,392018,0 101,2,2024-09-07 10:09:01:757,885548,885509,39,0,47802930,0,5913 101,3,2024-09-07 10:09:00:953,1,704,1,0,1250,10738,704,0 102,0,2024-09-07 10:09:01:024,173475,0.6,178624,0.8,363179,0.7,474002,2.25 102,1,2024-09-07 10:09:01:155,1233615,1233615,0,0,578953760689,6077371730,1217784,13574,2257,369,391984,0 102,2,2024-09-07 10:09:01:737,889263,889209,54,0,39578823,0,6768 102,3,2024-09-07 10:09:01:621,1,704,6,0,466,8132,704,0 103,0,2024-09-07 10:09:01:696,183685,0.7,183674,0.8,346155,0.8,476322,2.25 103,1,2024-09-07 10:09:01:653,1232310,1232310,0,0,578342085337,6102617083,1213398,15285,3627,381,392077,0 103,2,2024-09-07 10:09:00:586,887582,887582,0,0,43119145,0,3766 103,3,2024-09-07 10:09:00:763,1,704,1,0,916,8250,704,0 104,0,2024-09-07 10:09:01:011,176304,0.7,176661,0.9,352510,0.7,471268,2.25 104,1,2024-09-07 10:09:01:628,1234202,1234202,0,0,579045611512,6084151314,1215750,14987,3465,365,392168,0 104,2,2024-09-07 10:09:01:667,888364,888364,0,0,42254360,0,4161 104,3,2024-09-07 10:09:01:418,1,704,1,0,1245,11870,704,0 105,0,2024-09-07 10:09:01:037,172065,0.8,167735,1.0,351764,0.8,462337,2.50 105,1,2024-09-07 10:09:00:558,1236211,1236211,0,0,579801880469,6070405298,1221420,12674,2117,364,392009,0 105,2,2024-09-07 10:09:01:329,891341,891341,0,0,43570337,0,4360 105,3,2024-09-07 10:09:01:312,1,704,1,0,573,10396,704,0 106,0,2024-09-07 10:09:00:950,170861,0.9,175244,1.0,358225,1.1,468151,2.50 106,1,2024-09-07 10:09:01:750,1234821,1234821,0,0,579274707896,6074876826,1218380,14288,2153,368,391914,0 106,2,2024-09-07 10:09:00:756,888241,888241,0,0,40035792,0,3331 106,3,2024-09-07 10:09:00:676,1,704,1,0,1224,9725,704,0 107,0,2024-09-07 10:09:01:107,176403,1.3,176390,1.0,352945,1.9,471221,2.25 107,1,2024-09-07 10:09:00:585,1231739,1231739,0,0,577205911662,6098036655,1212631,16852,2256,381,392234,0 107,2,2024-09-07 10:09:01:294,885260,885259,1,0,43812941,0,5024 107,3,2024-09-07 10:09:01:760,1,704,11,0,733,11040,704,0 108,0,2024-09-07 10:09:01:799,176884,0.4,177731,0.6,354435,0.4,472034,1.75 108,1,2024-09-07 10:09:01:305,1235680,1235680,0,0,579981965604,6054760994,1224197,10219,1264,367,391894,0 108,2,2024-09-07 10:09:01:760,890982,890982,0,0,39052011,0,4432 108,3,2024-09-07 10:09:01:329,1,704,1,0,767,12605,704,0 109,0,2024-09-07 10:09:01:768,175865,0.4,174548,0.6,349926,0.3,467110,1.75 109,1,2024-09-07 10:09:00:582,1233775,1233775,0,0,579583700427,6079089125,1223107,9160,1508,382,392132,0 109,2,2024-09-07 10:09:00:938,892558,892558,0,0,39115301,0,3617 109,3,2024-09-07 10:09:01:141,1,704,0,0,630,7987,704,0 110,0,2024-09-07 10:09:01:836,174795,0.4,169949,0.6,355939,0.3,467413,1.75 110,1,2024-09-07 10:09:01:687,1238604,1238604,0,0,581846841836,6040309237,1229134,7385,2085,368,392045,0 110,2,2024-09-07 10:09:01:303,888605,888605,0,0,38138892,0,4067 110,3,2024-09-07 10:09:00:702,1,704,12,0,722,9450,704,0 111,0,2024-09-07 10:09:01:429,177341,0.4,176496,0.5,352581,0.3,470319,1.75 111,1,2024-09-07 10:09:01:003,1239772,1239772,0,0,582274442455,6044717203,1232514,6751,507,380,391690,0 111,2,2024-09-07 10:09:01:116,889181,889181,0,0,37567164,0,4823 111,3,2024-09-07 10:09:00:920,1,704,8,0,379,7854,704,0 112,0,2024-09-07 10:09:00:923,178571,0.3,178174,0.4,357245,0.2,474289,1.50 112,1,2024-09-07 10:09:00:828,1238497,1238497,0,0,581535086942,6034122866,1230866,6404,1227,380,391624,0 112,2,2024-09-07 10:09:01:144,890922,890921,1,0,35365969,0,5036 112,3,2024-09-07 10:09:00:602,1,704,1,0,282,6882,704,0 113,0,2024-09-07 10:09:00:924,176064,0.3,176486,0.5,353216,0.2,469237,1.75 113,1,2024-09-07 10:09:01:689,1242395,1242395,0,0,582740690990,6009997448,1235475,5969,951,365,391664,0 113,2,2024-09-07 10:09:01:302,896611,896611,0,0,32747404,0,3813 113,3,2024-09-07 10:09:00:687,1,704,1,0,510,7027,704,0 114,0,2024-09-07 10:09:00:874,173654,0.3,174866,0.5,348652,0.2,465637,1.75 114,1,2024-09-07 10:09:00:716,1238034,1238034,0,0,581388205241,6034531973,1229203,7027,1804,381,391565,0 114,2,2024-09-07 10:09:00:873,891663,891662,1,0,35133122,0,5069 114,3,2024-09-07 10:09:01:286,1,704,1,0,415,5703,704,0 115,0,2024-09-07 10:09:00:554,178558,0.3,178998,0.4,356767,0.2,474221,1.50 115,1,2024-09-07 10:09:00:574,1239254,1239254,0,0,581492625628,6038391957,1229696,7765,1793,382,391757,0 115,2,2024-09-07 10:09:01:127,890677,890677,0,0,35011821,0,4382 115,3,2024-09-07 10:09:01:002,1,704,5,0,173,4428,704,0 116,0,2024-09-07 10:09:01:743,177375,0.7,177416,0.9,355416,0.8,475278,2.25 116,1,2024-09-07 10:09:00:962,1232313,1232313,0,0,579276091032,6099566110,1218204,10891,3218,380,392089,0 116,2,2024-09-07 10:09:01:760,889958,889958,0,0,42624505,0,4475 116,3,2024-09-07 10:09:00:917,1,704,0,0,448,8931,704,0 117,0,2024-09-07 10:09:00:982,177996,0.6,177664,0.8,355754,0.6,475328,2.00 117,1,2024-09-07 10:09:01:600,1234811,1234811,0,0,578806300561,6061543123,1220933,12109,1769,369,392429,0 117,2,2024-09-07 10:09:01:117,892446,892446,0,0,39176622,0,4303 117,3,2024-09-07 10:09:01:059,1,704,1,0,490,8546,704,0 118,0,2024-09-07 10:09:01:806,168342,0.5,172949,0.7,352807,0.5,461386,2.00 118,1,2024-09-07 10:09:00:584,1233276,1233276,0,0,578761019331,6079892105,1215756,13672,3848,366,392054,0 118,2,2024-09-07 10:09:01:592,891801,891801,0,0,41747019,0,3161 118,3,2024-09-07 10:09:01:770,1,704,0,0,343,8359,704,0 119,0,2024-09-07 10:09:01:369,178282,0.7,178569,0.8,356632,0.7,476149,2.25 119,1,2024-09-07 10:09:00:552,1234439,1234439,0,0,579845616125,6077312865,1218410,13361,2668,367,391857,0 119,2,2024-09-07 10:09:01:262,888740,888740,0,0,38452309,0,4309 119,3,2024-09-07 10:09:01:326,1,704,1,0,1358,12256,704,0 120,0,2024-09-07 10:09:01:561,176092,0.7,176217,0.9,353662,0.7,471931,2.50 120,1,2024-09-07 10:09:00:859,1235162,1235162,0,0,579039053922,6069610143,1222482,11521,1159,367,392144,0 120,2,2024-09-07 10:09:00:769,888061,888058,3,0,46357256,0,5363 120,3,2024-09-07 10:09:01:290,1,704,1,0,478,8972,704,0 121,0,2024-09-07 10:09:01:725,177288,1.1,176740,1.0,353603,1.4,471087,2.25 121,1,2024-09-07 10:09:01:657,1234796,1234796,0,0,579090141217,6060601247,1221261,11538,1997,366,391924,0 121,2,2024-09-07 10:09:01:125,890488,890488,0,0,42147151,0,4157 121,3,2024-09-07 10:09:00:730,1,704,3,0,387,9321,704,0 122,0,2024-09-07 10:09:01:765,173826,0.7,169266,0.8,354071,0.8,465259,2.00 122,1,2024-09-07 10:09:00:860,1234040,1234040,0,0,579233851308,6073693391,1217747,13714,2579,365,392130,0 122,2,2024-09-07 10:09:01:322,893433,893358,75,0,46306376,0,5989 122,3,2024-09-07 10:09:00:604,1,704,3,0,512,10591,704,0 123,0,2024-09-07 10:09:00:959,175269,0.8,170891,0.8,358357,0.9,468620,2.25 123,1,2024-09-07 10:09:00:559,1234979,1234979,0,0,579124101753,6086658531,1215878,16072,3029,369,392039,0 123,2,2024-09-07 10:09:01:024,887400,887399,1,0,39607577,0,5215 123,3,2024-09-07 10:09:01:135,1,704,4,0,478,7919,704,0 124,0,2024-09-07 10:09:00:930,180987,0.4,181054,0.5,341120,0.3,469519,1.75 124,1,2024-09-07 10:09:01:025,1238702,1238702,0,0,580458972605,6035055343,1229161,8279,1262,365,392178,0 124,2,2024-09-07 10:09:01:016,891062,891009,53,0,36823559,0,6487 124,3,2024-09-07 10:09:00:765,1,704,2,0,490,7250,704,0 125,0,2024-09-07 10:09:01:490,177052,0.4,176981,0.6,354780,0.3,472271,1.75 125,1,2024-09-07 10:09:00:879,1235253,1235253,0,0,579856833874,6058176330,1224199,9469,1585,382,392045,0 125,2,2024-09-07 10:09:01:118,894025,894025,0,0,36394696,0,4534 125,3,2024-09-07 10:09:01:126,1,704,3,0,709,7832,704,0 126,0,2024-09-07 10:09:01:427,175877,0.4,180864,0.5,345725,0.3,468052,1.75 126,1,2024-09-07 10:09:00:558,1239112,1239112,0,0,581733526438,6026835595,1231834,6576,702,365,391987,0 126,2,2024-09-07 10:09:00:614,895767,895767,0,0,38166578,0,4539 126,3,2024-09-07 10:09:00:914,1,704,2,0,268,7892,704,0 127,0,2024-09-07 10:09:01:600,174389,0.3,174629,0.5,348198,0.3,464091,1.75 127,1,2024-09-07 10:09:00:569,1237821,1237821,0,0,580297071429,6037260003,1225358,10686,1777,364,392187,0 127,2,2024-09-07 10:09:00:636,889873,889869,4,0,35954091,0,5305 127,3,2024-09-07 10:09:01:274,1,704,2,0,968,7069,704,0 128,0,2024-09-07 10:09:01:532,177831,0.3,177643,0.4,355500,0.2,472093,1.50 128,1,2024-09-07 10:09:01:624,1237408,1237408,0,0,581338593294,6042170658,1228413,8023,972,367,392423,0 128,2,2024-09-07 10:09:01:390,891336,891336,0,0,33483534,0,3171 128,3,2024-09-07 10:09:00:775,1,704,12,0,1082,10416,704,0 129,0,2024-09-07 10:09:01:000,179779,0.3,178920,0.5,358943,0.3,476584,1.50 129,1,2024-09-07 10:09:00:567,1233920,1233920,0,0,578694165419,6068501205,1220966,10624,2330,379,391962,0 129,2,2024-09-07 10:09:00:691,892053,892049,4,0,36253241,0,5335 129,3,2024-09-07 10:09:00:693,1,704,1,0,506,10050,704,0 130,0,2024-09-07 10:09:01:715,178001,0.4,177814,0.5,356193,0.4,474086,1.75 130,1,2024-09-07 10:09:00:604,1238793,1238793,0,0,581640758414,6037856314,1232935,5450,408,381,391836,0 130,2,2024-09-07 10:09:01:129,893176,893176,0,0,36045053,0,4067 130,3,2024-09-07 10:09:01:304,1,704,5,0,960,8937,704,0 131,0,2024-09-07 10:09:01:937,173573,0.3,173990,0.5,348949,0.3,464071,1.50 131,1,2024-09-07 10:09:01:822,1237979,1237979,0,0,580925946068,6051468296,1229820,6946,1213,381,391865,0 131,2,2024-09-07 10:09:00:627,891524,891524,0,0,34276964,0,3979 131,3,2024-09-07 10:09:01:688,1,704,3,0,392,8734,704,0 132,0,2024-09-07 10:09:01:473,178919,0.5,179878,0.6,358003,0.4,476161,2.00 132,1,2024-09-07 10:09:00:579,1233624,1233624,0,0,578402230858,6082997132,1217111,13730,2783,381,392532,0 132,2,2024-09-07 10:09:00:697,888106,888089,17,0,42973267,0,6451 132,3,2024-09-07 10:09:01:690,1,704,6,0,1298,11923,704,0 133,0,2024-09-07 10:09:01:634,173757,0.5,177842,0.6,364712,0.4,474626,2.00 133,1,2024-09-07 10:09:00:582,1232780,1232780,0,0,578253229012,6086842734,1217319,13525,1936,383,391914,0 133,2,2024-09-07 10:09:01:088,890396,890346,50,0,44048628,0,6861 133,3,2024-09-07 10:09:01:313,1,704,1,0,528,8434,704,0 134,0,2024-09-07 10:09:00:956,178256,0.5,178196,0.7,356151,0.5,474564,2.00 134,1,2024-09-07 10:09:00:583,1234603,1234603,0,0,578245938139,6064500930,1218515,12796,3292,366,391781,0 134,2,2024-09-07 10:09:01:763,890006,889867,139,0,41832365,0,7591 134,3,2024-09-07 10:09:00:756,1,704,3,0,739,8543,704,0 135,0,2024-09-07 10:09:01:129,168621,0.7,168627,0.8,357932,0.7,461842,2.25 135,1,2024-09-07 10:09:01:589,1234129,1234129,0,0,579943328776,6092301829,1219702,12574,1853,380,392038,0 135,2,2024-09-07 10:09:00:692,894001,894001,0,0,42332464,0,4503 135,3,2024-09-07 10:09:01:003,1,704,2,0,900,6685,704,0 136,0,2024-09-07 10:09:01:678,176931,0.6,177463,0.8,353223,0.6,471324,2.00 136,1,2024-09-07 10:09:01:455,1235565,1235565,0,0,580059842993,6074588098,1222729,11410,1426,381,392135,0 136,2,2024-09-07 10:09:01:138,890181,890166,15,0,41216791,0,6007 136,3,2024-09-07 10:09:01:106,1,704,2,0,637,8228,704,0 137,0,2024-09-07 10:09:00:948,182214,0.6,177723,0.8,347882,0.7,472962,2.00 137,1,2024-09-07 10:09:00:585,1234279,1234279,0,0,579525523648,6073734936,1215793,14841,3645,366,391898,0 137,2,2024-09-07 10:09:01:705,888031,888031,0,0,42467100,0,3185 137,3,2024-09-07 10:09:00:773,1,704,4,0,484,9852,704,0 138,0,2024-09-07 10:09:01:748,176250,0.9,176579,0.9,353671,1.1,470280,2.25 138,1,2024-09-07 10:09:01:858,1233901,1233901,0,0,579918278713,6082839465,1216760,14450,2691,368,391954,0 138,2,2024-09-07 10:09:00:584,889169,889169,0,0,40896450,0,4988 138,3,2024-09-07 10:09:00:615,1,704,1,0,1200,10381,704,0 139,0,2024-09-07 10:09:01:529,173770,0.9,174478,0.9,348576,1.1,465422,2.25 139,1,2024-09-07 10:09:00:577,1230579,1230579,0,0,576817003643,6107427600,1210627,16038,3914,380,392109,0 139,2,2024-09-07 10:09:00:700,888105,888075,30,0,46575740,0,5997 139,3,2024-09-07 10:09:01:667,1,704,4,0,432,8267,704,0 140,0,2024-09-07 10:09:01:593,175524,0.3,174871,0.5,350416,0.2,467667,1.75 140,1,2024-09-07 10:09:01:537,1241927,1241927,0,0,582933883726,6014754989,1235120,6003,804,364,391628,0 140,2,2024-09-07 10:09:00:688,889094,889093,1,0,34736018,0,5036 140,3,2024-09-07 10:09:00:768,1,704,1,0,575,7377,704,0 141,0,2024-09-07 10:09:01:702,177163,0.3,181904,0.5,347522,0.3,470014,1.75 141,1,2024-09-07 10:09:00:867,1238880,1238880,0,0,581972657301,6048276896,1228531,8956,1393,379,391614,0 141,2,2024-09-07 10:09:01:688,889896,889885,11,0,37335400,0,5369 141,3,2024-09-07 10:09:01:055,1,704,0,0,391,8186,704,0 142,0,2024-09-07 10:09:01:335,178919,0.3,178071,0.5,356544,0.3,475213,1.50 142,1,2024-09-07 10:09:00:583,1236797,1236797,0,0,580490065715,6056105831,1227736,8167,894,382,392102,0 142,2,2024-09-07 10:09:01:299,890180,890148,32,0,36992292,0,6028 142,3,2024-09-07 10:09:01:753,1,704,2,0,484,7064,704,0 143,0,2024-09-07 10:09:01:396,176235,0.4,176019,0.5,353483,0.4,469161,1.75 143,1,2024-09-07 10:09:00:556,1239925,1239925,0,0,581677995643,6036173007,1231514,7440,971,367,391900,0 143,2,2024-09-07 10:09:00:768,895427,895427,0,0,36598884,0,3123 143,3,2024-09-07 10:09:01:143,1,704,3,0,462,8135,704,0 144,0,2024-09-07 10:09:01:497,168418,0.5,173214,0.7,352682,0.5,461770,2.00 144,1,2024-09-07 10:09:00:564,1233921,1233921,0,0,579772382060,6079965040,1222166,9710,2045,381,391733,0 144,2,2024-09-07 10:09:01:759,890800,890800,0,0,36054858,0,4443 144,3,2024-09-07 10:09:01:740,1,704,3,0,306,7531,704,0 145,0,2024-09-07 10:09:01:412,172072,0.6,171984,0.8,365191,0.5,469483,2.25 145,1,2024-09-07 10:09:00:557,1232789,1232789,0,0,578436069966,6084941190,1216582,13239,2968,381,391781,0 145,2,2024-09-07 10:09:01:431,887623,887541,82,0,42160357,0,7814 145,3,2024-09-07 10:09:00:902,1,704,3,0,622,9417,704,0 146,0,2024-09-07 10:09:01:612,177993,0.7,177108,0.8,356190,0.7,473340,2.25 146,1,2024-09-07 10:09:01:597,1234465,1234465,0,0,578957475929,6085942365,1215305,14230,4930,367,391829,0 146,2,2024-09-07 10:09:01:695,888090,888084,6,0,40371826,0,5151 146,3,2024-09-07 10:09:01:287,1,704,2,0,1520,10932,704,0 147,0,2024-09-07 10:09:01:705,177962,0.6,177785,0.7,355480,0.5,474334,2.00 147,1,2024-09-07 10:09:01:378,1238828,1238828,0,0,581172387764,6040158332,1228865,8862,1101,367,391791,0 147,2,2024-09-07 10:09:01:011,890984,890984,0,0,37919838,0,4531 147,3,2024-09-07 10:09:00:920,1,704,4,0,1626,10479,704,0 0,0,2024-09-07 10:09:11:748,172371,0.7,172435,0.8,366018,0.8,473260,2.00 0,1,2024-09-07 10:09:10:816,1237385,1237385,0,0,581175982788,6081372930,1227492,8915,978,368,391896,0 0,2,2024-09-07 10:09:11:066,891518,891518,0,0,35741096,0,4480 0,3,2024-09-07 10:09:10:978,1,705,1,0,538,9974,705,0 1,0,2024-09-07 10:09:11:752,177338,0.8,176403,0.9,353794,0.9,473429,2.00 1,1,2024-09-07 10:09:10:558,1236215,1236215,0,0,579197309126,6066684865,1224808,9435,1972,370,391859,0 1,2,2024-09-07 10:09:10:651,893143,893143,0,0,35324342,0,3380 1,3,2024-09-07 10:09:11:304,1,705,0,0,269,8304,705,0 2,0,2024-09-07 10:09:11:565,174772,0.5,174527,0.7,348751,0.5,465478,2.00 2,1,2024-09-07 10:09:10:871,1240302,1240302,0,0,581264436526,6042656845,1233368,5972,962,379,391805,0 2,2,2024-09-07 10:09:11:273,895372,895372,0,0,34108483,0,3594 2,3,2024-09-07 10:09:10:693,1,705,0,0,357,6138,705,0 3,0,2024-09-07 10:09:11:747,176640,0.4,176455,0.6,352453,0.3,469831,2.00 3,1,2024-09-07 10:09:11:626,1238154,1238154,0,0,580873373839,6040422937,1229558,7878,718,379,391716,0 3,2,2024-09-07 10:09:11:158,891644,891621,23,0,34786347,0,5851 3,3,2024-09-07 10:09:11:754,1,705,2,0,484,5256,705,0 4,0,2024-09-07 10:09:11:775,171137,0.4,175640,0.5,358455,0.4,468838,1.75 4,1,2024-09-07 10:09:10:598,1233001,1233001,0,0,578114933699,6111669493,1213080,15319,4602,369,391992,0 4,2,2024-09-07 10:09:11:025,887957,887957,0,0,42457128,0,4534 4,3,2024-09-07 10:09:11:030,1,705,1,0,448,9387,705,0 5,0,2024-09-07 10:09:11:403,177359,0.4,177144,0.6,354635,0.4,472125,1.75 5,1,2024-09-07 10:09:10:786,1234320,1234320,0,0,579018974166,6105476784,1216617,13462,4241,367,392005,0 5,2,2024-09-07 10:09:11:848,888189,888156,33,0,42816151,0,7631 5,3,2024-09-07 10:09:11:744,1,705,1,0,457,9204,705,0 6,0,2024-09-07 10:09:10:926,175734,0.4,175151,0.6,350694,0.4,467047,2.00 6,1,2024-09-07 10:09:10:747,1237850,1237850,0,0,581201693145,6065091571,1225669,10496,1685,379,391702,0 6,2,2024-09-07 10:09:11:117,895946,895928,18,0,39648107,0,5535 6,3,2024-09-07 10:09:11:276,1,705,25,0,710,7982,705,0 7,0,2024-09-07 10:09:11:535,173807,0.5,175041,0.6,347221,0.5,463758,2.00 7,1,2024-09-07 10:09:10:872,1234762,1234762,0,0,579697239105,6095506822,1216927,13698,4137,382,391747,0 7,2,2024-09-07 10:09:10:773,889052,888883,169,0,40390449,0,7706 7,3,2024-09-07 10:09:10:855,1,705,1,0,552,8189,705,0 8,0,2024-09-07 10:09:11:376,177430,0.4,176998,0.5,354632,0.4,472562,1.75 8,1,2024-09-07 10:09:11:017,1233859,1233859,0,0,579512161372,6090918858,1214991,14822,4046,366,392853,0 8,2,2024-09-07 10:09:10:811,884984,884982,2,0,45588215,0,5112 8,3,2024-09-07 10:09:10:584,1,705,4,0,772,10576,705,0 9,0,2024-09-07 10:09:11:107,178559,0.4,173322,0.5,362801,0.3,475968,1.75 9,1,2024-09-07 10:09:10:554,1233609,1233609,0,0,579502052342,6108624227,1213879,15379,4351,369,392001,0 9,2,2024-09-07 10:09:11:082,890205,890204,1,0,43557637,0,5281 9,3,2024-09-07 10:09:11:763,1,705,13,0,1273,11120,705,0 10,0,2024-09-07 10:09:11:600,177265,0.3,176863,0.5,354638,0.3,471412,1.75 10,1,2024-09-07 10:09:10:583,1235629,1235629,0,0,580030864871,6085468697,1217483,14412,3734,381,391981,0 10,2,2024-09-07 10:09:10:765,893645,893645,0,0,46747430,0,4713 10,3,2024-09-07 10:09:10:875,1,705,1,0,669,7404,705,0 11,0,2024-09-07 10:09:11:011,173653,0.4,168589,0.6,352827,0.3,464831,1.75 11,1,2024-09-07 10:09:10:573,1237888,1237888,0,0,580799290383,6086530748,1221001,12577,4310,383,391766,0 11,2,2024-09-07 10:09:11:126,890737,890737,0,0,40183640,0,4698 11,3,2024-09-07 10:09:11:302,1,705,0,0,843,8503,705,0 12,0,2024-09-07 10:09:11:003,179868,0.4,179505,0.6,358747,0.4,476836,1.75 12,1,2024-09-07 10:09:10:969,1237038,1237038,0,0,580491502031,6055177122,1227173,8834,1031,368,391960,0 12,2,2024-09-07 10:09:11:554,887950,887950,0,0,40125376,0,4390 12,3,2024-09-07 10:09:11:064,1,705,2,0,386,8613,705,0 13,0,2024-09-07 10:09:11:448,179282,0.4,179442,0.6,358122,0.4,476948,1.75 13,1,2024-09-07 10:09:11:541,1234736,1234736,0,0,579387928123,6096765274,1220558,11095,3083,382,391803,0 13,2,2024-09-07 10:09:10:600,892685,892685,0,0,36363434,0,3287 13,3,2024-09-07 10:09:11:762,1,705,1,0,522,9372,705,0 14,0,2024-09-07 10:09:10:562,177802,0.4,178828,0.6,355711,0.3,473540,1.75 14,1,2024-09-07 10:09:11:566,1243208,1243208,0,0,583373543346,6033300203,1233948,8109,1151,364,391673,0 14,2,2024-09-07 10:09:10:765,892323,892293,30,0,37385815,0,6104 14,3,2024-09-07 10:09:11:117,1,705,3,0,1168,7228,705,0 15,0,2024-09-07 10:09:11:553,174478,0.4,173695,0.6,347498,0.3,463896,1.75 15,1,2024-09-07 10:09:11:608,1238893,1238893,0,0,581909578886,6063004658,1228023,8772,2098,380,391619,0 15,2,2024-09-07 10:09:10:998,895944,895944,0,0,33434229,0,3622 15,3,2024-09-07 10:09:11:409,1,705,1,0,1126,8649,705,0 16,0,2024-09-07 10:09:11:043,176936,0.6,177917,0.8,354805,0.6,472849,2.00 16,1,2024-09-07 10:09:11:278,1238545,1238545,0,0,581149786602,6072987363,1227790,9208,1547,370,392194,0 16,2,2024-09-07 10:09:11:441,889726,889726,0,0,36471546,0,4719 16,3,2024-09-07 10:09:11:142,1,705,1,0,362,8619,705,0 17,0,2024-09-07 10:09:11:760,182549,0.7,177997,0.8,348667,0.7,474140,2.00 17,1,2024-09-07 10:09:10:573,1236822,1236822,0,0,580097305547,6078154851,1225688,9331,1803,368,392075,0 17,2,2024-09-07 10:09:11:666,893688,893687,1,0,37788849,0,5050 17,3,2024-09-07 10:09:10:573,1,705,1,0,518,9950,705,0 18,0,2024-09-07 10:09:10:941,175549,0.6,176755,0.8,351793,0.6,469679,2.25 18,1,2024-09-07 10:09:11:638,1242003,1242003,0,0,582317637664,6038830615,1233816,6755,1432,367,391725,0 18,2,2024-09-07 10:09:11:758,894378,894378,0,0,34097683,0,3541 18,3,2024-09-07 10:09:10:899,1,705,2,0,1059,6309,705,0 19,0,2024-09-07 10:09:11:551,174666,0.6,175201,0.8,349131,0.6,464249,2.00 19,1,2024-09-07 10:09:10:567,1241163,1241163,0,0,583299558388,6041635714,1232306,7696,1161,365,391777,0 19,2,2024-09-07 10:09:11:760,898269,898269,0,0,31928033,0,3988 19,3,2024-09-07 10:09:11:129,1,705,2,0,524,5557,705,0 20,0,2024-09-07 10:09:11:434,175318,0.5,175358,0.7,350250,0.5,468002,2.00 20,1,2024-09-07 10:09:10:569,1236305,1236305,0,0,580653212934,6082750877,1224014,10582,1709,369,391922,0 20,2,2024-09-07 10:09:10:930,889748,889748,0,0,40390037,0,4321 20,3,2024-09-07 10:09:10:593,1,705,9,0,468,11583,705,0 21,0,2024-09-07 10:09:11:169,176682,0.5,176748,0.7,353291,0.5,468949,2.00 21,1,2024-09-07 10:09:11:550,1234072,1234072,0,0,578597959016,6103129785,1215302,14775,3995,368,392016,0 21,2,2024-09-07 10:09:11:091,884448,883971,477,0,51697965,0,17074 21,3,2024-09-07 10:09:11:409,1,705,1,0,713,9759,705,0 22,0,2024-09-07 10:09:11:721,177846,0.5,178509,0.7,356579,0.4,473026,2.00 22,1,2024-09-07 10:09:11:026,1235486,1235486,0,0,580142711184,6099092179,1216371,15510,3605,381,391822,0 22,2,2024-09-07 10:09:10:765,890794,890768,26,0,38421508,0,6328 22,3,2024-09-07 10:09:11:071,1,705,1,0,228,5670,705,0 23,0,2024-09-07 10:09:11:371,175860,0.5,175716,0.6,351439,0.4,468046,2.00 23,1,2024-09-07 10:09:11:003,1236579,1236579,0,0,579882055232,6103150930,1214678,14730,7171,365,391690,0 23,2,2024-09-07 10:09:11:092,895717,895717,0,0,36662512,0,3773 23,3,2024-09-07 10:09:11:757,1,705,1,0,855,9799,705,0 24,0,2024-09-07 10:09:10:841,175037,0.4,174086,0.5,349855,0.3,465000,1.75 24,1,2024-09-07 10:09:10:601,1235393,1235393,0,0,580579393175,6074378357,1223776,9739,1878,367,392269,0 24,2,2024-09-07 10:09:11:075,889962,889959,3,0,42985079,0,6294 24,3,2024-09-07 10:09:11:686,1,705,2,0,468,9165,705,0 25,0,2024-09-07 10:09:11:446,182706,0.4,177516,0.6,349470,0.4,475409,1.75 25,1,2024-09-07 10:09:10:570,1233928,1233928,0,0,578560601408,6110592109,1212804,17125,3999,369,391928,0 25,2,2024-09-07 10:09:11:605,887435,887435,0,0,44997785,0,3978 25,3,2024-09-07 10:09:11:009,1,705,12,0,532,7687,705,0 26,0,2024-09-07 10:09:11:721,177628,0.4,173568,0.6,364194,0.4,474961,2.00 26,1,2024-09-07 10:09:11:540,1237702,1237702,0,0,580656200432,6074488566,1221995,12803,2904,380,391758,0 26,2,2024-09-07 10:09:10:871,890924,890924,0,0,46145991,0,4689 26,3,2024-09-07 10:09:11:714,1,705,0,0,796,8699,705,0 27,0,2024-09-07 10:09:11:730,178033,0.4,178803,0.6,355617,0.4,474489,2.25 27,1,2024-09-07 10:09:11:678,1239169,1239169,0,0,582422634742,6066728579,1227787,9759,1623,381,391626,0 27,2,2024-09-07 10:09:10:872,889173,889108,65,0,40807460,0,5699 27,3,2024-09-07 10:09:11:036,1,705,0,0,564,5930,705,0 28,0,2024-09-07 10:09:11:390,174079,0.4,174162,0.6,348410,0.3,464237,1.75 28,1,2024-09-07 10:09:10:797,1239797,1239797,0,0,582344600993,6075194000,1228323,9046,2428,382,391904,0 28,2,2024-09-07 10:09:11:780,892768,892768,0,0,38021788,0,4060 28,3,2024-09-07 10:09:11:780,1,705,1,0,502,7304,705,0 29,0,2024-09-07 10:09:11:421,183825,0.4,178841,0.6,351220,0.4,478929,1.75 29,1,2024-09-07 10:09:11:565,1243102,1243102,0,0,583968142004,6041821532,1235175,6906,1021,367,391809,0 29,2,2024-09-07 10:09:10:872,889481,889481,0,0,35403052,0,4986 29,3,2024-09-07 10:09:10:969,1,705,1,0,590,7902,705,0 30,0,2024-09-07 10:09:11:455,176440,0.6,171716,0.7,359786,0.5,470198,2.00 30,1,2024-09-07 10:09:10:573,1241551,1241551,0,0,583493913043,6057532933,1231737,8646,1168,380,391672,0 30,2,2024-09-07 10:09:11:274,891753,891753,0,0,34348577,0,4192 30,3,2024-09-07 10:09:10:581,1,705,1,0,519,7236,705,0 31,0,2024-09-07 10:09:11:756,177062,0.5,177953,0.7,354898,0.4,473456,2.00 31,1,2024-09-07 10:09:10:563,1245433,1245433,0,0,584923227047,6007066411,1237501,6663,1269,356,391712,0 31,2,2024-09-07 10:09:11:275,892323,892323,0,0,37746631,0,4470 31,3,2024-09-07 10:09:11:705,1,705,3,0,248,6139,705,0 32,0,2024-09-07 10:09:11:471,174916,0.3,175952,0.5,350633,0.2,467028,1.75 32,1,2024-09-07 10:09:10:829,1241345,1241345,0,0,582660401870,6054944837,1233847,6533,965,381,391646,0 32,2,2024-09-07 10:09:10:947,897182,897182,0,0,33008415,0,3922 32,3,2024-09-07 10:09:11:019,1,705,1,0,304,5857,705,0 33,0,2024-09-07 10:09:11:496,177343,0.3,176941,0.4,353785,0.2,471218,1.50 33,1,2024-09-07 10:09:10:575,1242241,1242241,0,0,583192379581,6037139791,1232612,8272,1357,368,391730,0 33,2,2024-09-07 10:09:10:768,890487,890452,35,0,36559241,0,7012 33,3,2024-09-07 10:09:10:897,1,705,1,0,329,6427,705,0 34,0,2024-09-07 10:09:10:935,176537,0.3,181578,0.5,347107,0.2,468850,1.75 34,1,2024-09-07 10:09:11:049,1245343,1245343,0,0,584070230659,6009114395,1241327,3807,209,366,391637,0 34,2,2024-09-07 10:09:10:766,891340,891340,0,0,34089105,0,4562 34,3,2024-09-07 10:09:11:690,1,705,0,0,541,6058,705,0 35,0,2024-09-07 10:09:10:862,176723,0.3,177303,0.5,355554,0.2,473129,1.75 35,1,2024-09-07 10:09:11:075,1240879,1240879,0,0,581886953751,6036004167,1231937,7389,1553,382,391769,0 35,2,2024-09-07 10:09:11:582,892404,892404,0,0,39836626,0,4055 35,3,2024-09-07 10:09:10:914,1,705,1,0,466,6589,705,0 36,0,2024-09-07 10:09:11:538,176204,0.4,176394,0.6,352291,0.4,469077,2.00 36,1,2024-09-07 10:09:10:584,1239202,1239202,0,0,580552414711,6058149848,1224420,12428,2354,366,391759,0 36,2,2024-09-07 10:09:11:761,895242,895242,0,0,39778547,0,3875 36,3,2024-09-07 10:09:10:875,1,705,1,0,556,8836,705,0 37,0,2024-09-07 10:09:11:401,173904,0.5,173790,0.6,348144,0.5,464492,2.25 37,1,2024-09-07 10:09:10:585,1239193,1239186,0,7,581121122997,6064826415,1224994,11076,3116,365,391770,0 37,2,2024-09-07 10:09:11:142,888442,888427,15,0,39851463,0,5815 37,3,2024-09-07 10:09:11:783,1,705,1,0,888,9576,705,0 38,0,2024-09-07 10:09:11:438,176255,0.5,170905,0.7,357369,0.4,468339,2.00 38,1,2024-09-07 10:09:11:606,1239078,1239078,0,0,581294907765,6073015230,1222235,13537,3306,368,391821,0 38,2,2024-09-07 10:09:10:765,890919,890872,47,0,39780734,0,6710 38,3,2024-09-07 10:09:10:997,1,705,1,0,689,7930,705,0 39,0,2024-09-07 10:09:11:759,182061,0.5,177801,0.7,347225,0.5,473466,2.00 39,1,2024-09-07 10:09:10:716,1236693,1236693,0,0,580797176201,6085039270,1216884,15576,4233,365,391865,0 39,2,2024-09-07 10:09:11:420,891786,891786,0,0,38106350,0,3478 39,3,2024-09-07 10:09:10:719,1,705,1,0,525,7767,705,0 40,0,2024-09-07 10:09:11:490,175817,0.8,176442,0.9,352289,0.9,470034,2.75 40,1,2024-09-07 10:09:10:575,1237434,1237434,0,0,580346172701,6080588666,1218592,15259,3583,366,391668,0 40,2,2024-09-07 10:09:11:304,891434,891427,7,0,44008483,0,5347 40,3,2024-09-07 10:09:11:143,1,705,1,0,1028,9870,705,0 41,0,2024-09-07 10:09:11:048,172911,1.1,177102,1.1,337811,1.4,458705,2.75 41,1,2024-09-07 10:09:10:769,1237919,1237919,0,0,581365341963,6077239839,1222204,13189,2526,369,391878,0 41,2,2024-09-07 10:09:10:765,887986,887985,1,0,42995933,0,5408 41,3,2024-09-07 10:09:11:684,1,705,4,0,749,8473,705,0 42,0,2024-09-07 10:09:11:491,177278,1.0,177100,1.0,354569,1.3,470067,2.75 42,1,2024-09-07 10:09:11:444,1234916,1234916,0,0,578967518288,6074798171,1217309,14523,3084,380,391675,0 42,2,2024-09-07 10:09:11:133,886485,886484,1,0,44361389,0,5513 42,3,2024-09-07 10:09:11:009,1,705,2,0,892,7010,705,0 43,0,2024-09-07 10:09:10:926,175925,0.8,171269,1.0,358942,0.8,469503,2.25 43,1,2024-09-07 10:09:10:578,1238578,1238578,0,0,582187996776,6077802399,1222467,13363,2748,365,391696,0 43,2,2024-09-07 10:09:11:747,892629,892629,0,0,40627040,0,4723 43,3,2024-09-07 10:09:11:749,1,705,4,0,571,9344,705,0 44,0,2024-09-07 10:09:10:878,178019,0.4,178105,0.6,355868,0.3,474598,1.75 44,1,2024-09-07 10:09:10:564,1241701,1241701,0,0,583061355996,6027967631,1230371,9179,2151,356,391809,0 44,2,2024-09-07 10:09:11:267,890877,890877,0,0,34026596,0,4344 44,3,2024-09-07 10:09:11:100,1,705,1,0,1097,9143,705,0 45,0,2024-09-07 10:09:11:769,172651,0.4,168539,0.7,353803,0.4,464082,2.00 45,1,2024-09-07 10:09:11:006,1241067,1241067,0,0,582240620541,6051048143,1231513,8333,1221,382,391917,0 45,2,2024-09-07 10:09:11:285,895708,895708,0,0,34174717,0,3596 45,3,2024-09-07 10:09:10:947,1,705,0,0,531,6525,705,0 46,0,2024-09-07 10:09:10:952,176484,0.5,175719,0.7,352912,0.5,469718,2.00 46,1,2024-09-07 10:09:10:575,1242874,1242874,0,0,582938590218,6029904729,1233594,7797,1483,366,391709,0 46,2,2024-09-07 10:09:10:594,891235,891235,0,0,34964268,0,4443 46,3,2024-09-07 10:09:11:131,1,705,0,0,908,7636,705,0 47,0,2024-09-07 10:09:11:102,177831,0.5,177347,0.6,356021,0.4,472365,2.00 47,1,2024-09-07 10:09:10:573,1244192,1244192,0,0,583320913319,6027154096,1236680,6499,1013,364,391666,0 47,2,2024-09-07 10:09:10:915,893614,893614,0,0,35447246,0,4477 47,3,2024-09-07 10:09:11:117,1,705,10,0,600,7603,705,0 48,0,2024-09-07 10:09:11:492,177656,0.3,178200,0.4,355112,0.2,472528,1.50 48,1,2024-09-07 10:09:11:032,1240360,1240360,0,0,581454551603,6039865432,1232685,6663,1012,381,391710,0 48,2,2024-09-07 10:09:10:708,893016,893016,0,0,32354897,0,3619 48,3,2024-09-07 10:09:10:754,1,705,1,0,339,6012,705,0 49,0,2024-09-07 10:09:11:738,180376,0.3,176615,0.5,343523,0.2,468833,1.75 49,1,2024-09-07 10:09:11:032,1239949,1239949,0,0,582300941719,6052546673,1232079,6172,1698,382,391809,0 49,2,2024-09-07 10:09:11:810,897458,897458,0,0,33807494,0,4426 49,3,2024-09-07 10:09:11:418,1,705,2,0,992,8127,705,0 50,0,2024-09-07 10:09:11:509,175697,0.3,174529,0.5,351300,0.2,467479,1.75 50,1,2024-09-07 10:09:11:010,1243325,1243325,0,0,583862599559,6034064326,1235766,6826,733,368,391691,0 50,2,2024-09-07 10:09:11:071,889363,889363,0,0,31706009,0,4490 50,3,2024-09-07 10:09:11:296,1,705,1,0,617,7231,705,0 51,0,2024-09-07 10:09:11:685,181417,0.3,177481,0.5,345615,0.2,471331,1.75 51,1,2024-09-07 10:09:11:686,1244574,1244574,0,0,584869823593,6028751277,1237872,5358,1344,365,391706,0 51,2,2024-09-07 10:09:11:316,891128,891128,0,0,31377815,0,3337 51,3,2024-09-07 10:09:11:031,1,705,0,0,678,5487,705,0 52,0,2024-09-07 10:09:11:425,178080,0.5,177938,0.6,355687,0.4,472730,2.00 52,1,2024-09-07 10:09:10:575,1238241,1238241,0,0,581530887681,6081445095,1221484,14330,2427,368,391805,0 52,2,2024-09-07 10:09:11:755,888366,888328,38,0,42846543,0,6742 52,3,2024-09-07 10:09:10:681,1,705,0,0,1782,7985,705,0 53,0,2024-09-07 10:09:11:754,175582,0.6,170386,0.8,356609,0.6,466604,2.25 53,1,2024-09-07 10:09:10:801,1237681,1237681,0,0,581041309736,6088272807,1218312,14938,4431,367,391968,0 53,2,2024-09-07 10:09:11:302,895259,895121,138,0,39254235,0,7690 53,3,2024-09-07 10:09:10:706,1,705,0,0,308,6520,705,0 54,0,2024-09-07 10:09:11:619,172275,0.5,172837,0.7,343912,0.4,459654,2.25 54,1,2024-09-07 10:09:10:580,1239996,1239996,0,0,582137142254,6049017693,1228196,10125,1675,366,391810,0 54,2,2024-09-07 10:09:10:876,890761,890729,32,0,42504524,0,6397 54,3,2024-09-07 10:09:10:764,1,705,1,0,676,8984,705,0 55,0,2024-09-07 10:09:11:760,171617,0.7,176770,0.8,358908,0.7,467388,2.50 55,1,2024-09-07 10:09:10:765,1240475,1240475,0,0,581688941381,6045314841,1228441,10545,1489,365,391731,0 55,2,2024-09-07 10:09:10:746,889098,889042,56,0,38815708,0,7239 55,3,2024-09-07 10:09:10:677,1,705,0,0,304,6308,705,0 56,0,2024-09-07 10:09:11:605,181627,1.2,171087,1.1,352724,1.5,472178,2.75 56,1,2024-09-07 10:09:10:570,1232804,1232804,0,0,578494973925,6112676509,1213292,15757,3755,381,391867,0 56,2,2024-09-07 10:09:11:308,889712,889590,122,0,41842390,0,7432 56,3,2024-09-07 10:09:11:064,1,705,1,0,705,8337,705,0 57,0,2024-09-07 10:09:10:934,176371,1.3,176250,1.2,351956,1.8,470797,3.00 57,1,2024-09-07 10:09:10:987,1236415,1236415,0,0,580010813541,6081152336,1220694,13014,2707,366,392097,0 57,2,2024-09-07 10:09:11:316,892355,892355,0,0,44667862,0,4804 57,3,2024-09-07 10:09:11:741,1,705,0,0,455,7466,705,0 58,0,2024-09-07 10:09:10:561,171499,0.9,166634,1.0,348750,1.0,456419,2.50 58,1,2024-09-07 10:09:10:574,1237467,1237464,0,3,580427186376,6082996680,1219092,13970,4402,367,391726,3 58,2,2024-09-07 10:09:11:071,893146,893146,0,0,40556217,0,3483 58,3,2024-09-07 10:09:11:071,1,705,1,0,1043,7571,705,0 59,0,2024-09-07 10:09:11:763,177613,0.9,176592,1.0,353413,1.1,469402,3.00 59,1,2024-09-07 10:09:10:826,1236811,1236811,0,0,580248477031,6091520926,1217311,15662,3838,369,391653,0 59,2,2024-09-07 10:09:10:582,889609,889609,0,0,38660920,0,3727 59,3,2024-09-07 10:09:11:744,1,705,1,0,1015,8325,705,0 60,0,2024-09-07 10:09:11:730,177235,0.5,177494,0.7,354790,0.5,473836,2.00 60,1,2024-09-07 10:09:10:785,1240698,1240698,0,0,582333075380,6062669069,1229758,9434,1506,370,392031,0 60,2,2024-09-07 10:09:11:140,892018,892018,0,0,36736776,0,3811 60,3,2024-09-07 10:09:11:258,1,705,1,0,409,8025,705,0 61,0,2024-09-07 10:09:11:499,177283,0.6,177991,0.8,354491,0.6,472958,2.00 61,1,2024-09-07 10:09:10:785,1237674,1237674,0,0,581258936953,6083819915,1224983,10839,1852,382,392127,0 61,2,2024-09-07 10:09:11:130,892988,892921,67,0,37690165,0,6411 61,3,2024-09-07 10:09:11:687,1,705,1,0,607,8720,705,0 62,0,2024-09-07 10:09:11:719,175543,0.5,179981,0.7,343617,0.5,466943,2.00 62,1,2024-09-07 10:09:11:129,1245460,1245454,0,6,584575128535,6033127315,1238193,6643,618,365,391975,6 62,2,2024-09-07 10:09:11:648,893229,893228,1,0,37449564,0,5555 62,3,2024-09-07 10:09:11:143,1,705,10,0,482,5492,705,0 63,0,2024-09-07 10:09:11:451,177253,0.4,176899,0.6,354446,0.3,471562,1.75 63,1,2024-09-07 10:09:10:812,1241911,1241905,0,6,582393107949,6047995826,1233984,6969,952,381,391800,6 63,2,2024-09-07 10:09:10:765,889044,889044,0,0,36563937,0,4369 63,3,2024-09-07 10:09:11:739,1,705,1,0,667,8087,705,0 64,0,2024-09-07 10:09:11:529,175582,0.5,175523,0.7,350621,0.5,467321,2.00 64,1,2024-09-07 10:09:10:770,1240075,1240075,0,0,582434374570,6060768700,1229857,8188,2030,370,391794,0 64,2,2024-09-07 10:09:11:141,894154,894135,19,0,35121495,0,6121 64,3,2024-09-07 10:09:11:141,1,705,3,0,651,7939,705,0 65,0,2024-09-07 10:09:11:691,176027,0.6,176311,0.7,351700,0.6,469374,2.00 65,1,2024-09-07 10:09:10:872,1238437,1238437,0,0,580928488111,6067810323,1230188,7351,898,381,391901,0 65,2,2024-09-07 10:09:11:693,891126,891126,0,0,42178627,0,3367 65,3,2024-09-07 10:09:11:683,1,705,22,0,782,8224,705,0 66,0,2024-09-07 10:09:11:780,175417,0.5,174811,0.7,349595,0.4,465624,2.00 66,1,2024-09-07 10:09:11:297,1240366,1240366,0,0,582274928240,6057443369,1232857,6635,874,380,391743,0 66,2,2024-09-07 10:09:11:135,897840,897837,3,0,36946337,0,5455 66,3,2024-09-07 10:09:11:080,1,705,7,0,291,6196,705,0 67,0,2024-09-07 10:09:11:416,174535,0.5,174080,0.7,348900,0.4,464891,2.00 67,1,2024-09-07 10:09:10:765,1240002,1240001,0,1,581848721708,6055233837,1231565,7296,1140,380,391787,1 67,2,2024-09-07 10:09:10:588,892633,892618,15,0,37367546,0,6205 67,3,2024-09-07 10:09:11:751,1,705,3,0,595,7366,705,0 68,0,2024-09-07 10:09:10:560,177206,0.6,177039,0.7,352592,0.6,471247,2.25 68,1,2024-09-07 10:09:10:573,1235501,1235501,0,0,579299610249,6091914953,1218764,12462,4275,381,391953,0 68,2,2024-09-07 10:09:11:053,885884,885784,100,0,45480668,0,8578 68,3,2024-09-07 10:09:10:758,1,705,1,0,417,9869,705,0 69,0,2024-09-07 10:09:11:749,177668,0.7,178282,0.8,355616,0.7,473351,2.25 69,1,2024-09-07 10:09:11:032,1234880,1234880,0,0,579450071299,6100682231,1219950,11945,2985,383,391994,0 69,2,2024-09-07 10:09:11:742,888064,888035,29,0,48631205,0,6912 69,3,2024-09-07 10:09:10:765,1,705,0,0,698,10416,705,0 70,0,2024-09-07 10:09:11:530,175527,0.7,176330,0.9,353464,0.6,468503,2.50 70,1,2024-09-07 10:09:10:801,1241587,1241587,0,0,583018998593,6048726240,1232118,8424,1045,366,391725,0 70,2,2024-09-07 10:09:11:326,894461,894461,0,0,39898479,0,4323 70,3,2024-09-07 10:09:10:746,1,705,0,0,854,7734,705,0 71,0,2024-09-07 10:09:11:396,172894,0.8,172483,0.9,345857,0.9,461441,2.50 71,1,2024-09-07 10:09:11:607,1239209,1239209,0,0,581972959482,6068458095,1224771,12652,1786,367,391738,0 71,2,2024-09-07 10:09:11:078,889984,889984,0,0,40345720,0,4352 71,3,2024-09-07 10:09:11:753,1,705,1,0,644,7661,705,0 72,0,2024-09-07 10:09:11:022,184298,0.5,179946,0.7,351689,0.5,477521,2.00 72,1,2024-09-07 10:09:11:030,1237556,1237556,0,0,579970006506,6078939307,1220609,14213,2734,369,391819,0 72,2,2024-09-07 10:09:11:758,887444,887444,0,0,42387445,0,3983 72,3,2024-09-07 10:09:11:756,1,705,1,0,564,9602,705,0 73,0,2024-09-07 10:09:11:103,173742,0.5,178315,0.6,364466,0.4,474617,2.00 73,1,2024-09-07 10:09:10:771,1239260,1239260,0,0,581868331515,6046583880,1229723,8470,1067,367,391858,0 73,2,2024-09-07 10:09:11:758,890249,890248,1,0,44325534,0,5027 73,3,2024-09-07 10:09:10:978,1,705,1,0,1091,9419,705,0 74,0,2024-09-07 10:09:11:330,178955,0.5,183259,0.7,349493,0.4,475032,2.00 74,1,2024-09-07 10:09:10:652,1238293,1238293,0,0,580974347687,6059427654,1225932,10213,2148,381,391762,0 74,2,2024-09-07 10:09:11:002,891475,891475,0,0,38482470,0,4253 74,3,2024-09-07 10:09:11:442,1,705,11,0,522,7965,705,0 75,0,2024-09-07 10:09:11:764,174464,0.5,173454,0.7,348384,0.4,465270,2.00 75,1,2024-09-07 10:09:11:588,1239458,1239458,0,0,581979610668,6061644699,1229571,8893,994,380,391739,0 75,2,2024-09-07 10:09:11:352,893009,893009,0,0,45662705,0,4766 75,3,2024-09-07 10:09:11:070,1,705,22,0,918,9613,705,0 76,0,2024-09-07 10:09:10:627,176802,0.5,176237,0.7,352119,0.5,471363,2.25 76,1,2024-09-07 10:09:10:829,1238413,1238413,0,0,580301961452,6053323437,1229500,7603,1310,382,391790,0 76,2,2024-09-07 10:09:11:065,892532,892529,3,0,38404452,0,5265 76,3,2024-09-07 10:09:11:146,1,705,5,0,249,6550,705,0 77,0,2024-09-07 10:09:11:706,176641,0.6,176957,0.7,354238,0.6,471225,2.00 77,1,2024-09-07 10:09:10:828,1237826,1237826,0,0,581137036270,6067137442,1227548,9189,1089,381,391869,0 77,2,2024-09-07 10:09:11:296,890377,890377,0,0,37054924,0,3890 77,3,2024-09-07 10:09:11:094,1,705,5,0,401,7895,705,0 78,0,2024-09-07 10:09:11:730,177975,0.4,177104,0.6,355728,0.4,470603,2.00 78,1,2024-09-07 10:09:10:612,1238020,1238020,0,0,581058228910,6062391075,1222482,12206,3332,367,391670,0 78,2,2024-09-07 10:09:11:409,893282,893269,13,0,34688019,0,8313 78,3,2024-09-07 10:09:11:133,1,705,2,0,311,5982,705,0 79,0,2024-09-07 10:09:11:381,169448,0.4,173592,0.6,355575,0.3,463209,2.00 79,1,2024-09-07 10:09:10:571,1242672,1242672,0,0,583185969123,6039026609,1232855,8015,1802,367,391682,0 79,2,2024-09-07 10:09:11:067,896790,896790,0,0,33733843,0,4195 79,3,2024-09-07 10:09:10:754,1,705,1,0,418,8164,705,0 80,0,2024-09-07 10:09:11:080,175603,0.5,180290,0.7,344481,0.5,466618,2.00 80,1,2024-09-07 10:09:11:621,1238942,1238942,0,0,581961277074,6057459723,1230949,7410,583,368,392269,0 80,2,2024-09-07 10:09:11:092,892695,892695,0,0,34184960,0,4433 80,3,2024-09-07 10:09:10:575,1,705,1,0,681,8434,705,0 81,0,2024-09-07 10:09:11:567,176757,0.6,180885,0.7,345015,0.6,467965,2.00 81,1,2024-09-07 10:09:11:650,1238117,1238117,0,0,579938386575,6060287343,1228241,8879,997,382,392001,0 81,2,2024-09-07 10:09:11:129,890267,890204,63,0,38062241,0,5932 81,3,2024-09-07 10:09:11:130,1,705,13,0,719,7667,705,0 82,0,2024-09-07 10:09:11:534,177970,0.5,178021,0.7,356760,0.5,473224,2.00 82,1,2024-09-07 10:09:10:583,1239756,1239752,0,4,580835462957,6042065361,1232797,5831,1124,381,391768,4 82,2,2024-09-07 10:09:11:693,895130,895130,0,0,31665917,0,4484 82,3,2024-09-07 10:09:11:752,1,705,2,0,363,6305,705,0 83,0,2024-09-07 10:09:11:532,176303,0.5,176140,0.7,351903,0.5,467220,2.00 83,1,2024-09-07 10:09:10:570,1238669,1238669,0,0,581670202133,6061668172,1229674,7966,1029,382,391709,0 83,2,2024-09-07 10:09:10:786,895870,895845,25,0,34561726,0,5612 83,3,2024-09-07 10:09:10:765,1,705,1,0,1260,8168,705,0 84,0,2024-09-07 10:09:11:898,172521,0.7,172586,0.8,345305,0.6,461347,2.25 84,1,2024-09-07 10:09:11:059,1237124,1237124,0,0,580129126455,6065844501,1223416,11623,2085,367,391967,0 84,2,2024-09-07 10:09:10:583,888225,887815,410,0,49847135,0,17037 84,3,2024-09-07 10:09:11:141,1,705,13,0,908,9707,705,0 85,0,2024-09-07 10:09:11:003,170872,0.7,170885,0.8,362762,0.7,467911,2.25 85,1,2024-09-07 10:09:10:573,1233187,1233187,0,0,579232302628,6108278827,1215375,14880,2932,381,392092,0 85,2,2024-09-07 10:09:10:864,889093,889093,0,0,42282247,0,4255 85,3,2024-09-07 10:09:10:686,1,705,6,0,789,8510,705,0 86,0,2024-09-07 10:09:10:952,177583,0.7,182668,0.8,349723,0.8,472973,2.25 86,1,2024-09-07 10:09:10:828,1235859,1235859,0,0,580558946069,6094086344,1218160,14383,3316,366,392169,0 86,2,2024-09-07 10:09:10:858,890789,890788,1,0,44323988,0,5004 86,3,2024-09-07 10:09:10:595,1,705,6,0,441,9656,705,0 87,0,2024-09-07 10:09:11:310,178578,0.7,177266,0.8,355088,0.9,474456,2.25 87,1,2024-09-07 10:09:10:555,1236260,1236260,0,0,579733395385,6069660433,1221309,12785,2166,366,392076,0 87,2,2024-09-07 10:09:11:071,891733,891727,6,0,41744274,0,6323 87,3,2024-09-07 10:09:11:794,1,705,17,0,473,9871,705,0 88,0,2024-09-07 10:09:11:745,173822,0.4,174251,0.6,348578,0.4,464403,1.75 88,1,2024-09-07 10:09:10:574,1234786,1234786,0,0,579634761163,6071118828,1217924,13718,3144,365,392084,0 88,2,2024-09-07 10:09:10:693,892366,892366,0,0,43892281,0,4465 88,3,2024-09-07 10:09:11:266,1,705,3,0,1080,11177,705,0 89,0,2024-09-07 10:09:11:798,183854,0.5,178594,0.6,351719,0.4,478270,1.75 89,1,2024-09-07 10:09:10:555,1235039,1235039,0,0,580173792369,6097593210,1220344,12412,2283,382,392084,0 89,2,2024-09-07 10:09:11:135,889363,889363,0,0,41228545,0,3173 89,3,2024-09-07 10:09:11:803,1,705,7,0,729,12384,705,0 90,0,2024-09-07 10:09:11:645,172223,0.5,176784,0.7,361024,0.5,471493,2.00 90,1,2024-09-07 10:09:10:603,1236740,1236740,0,0,580354799010,6079158110,1224268,11198,1274,380,391825,0 90,2,2024-09-07 10:09:11:414,886759,886754,5,0,44524425,0,6370 90,3,2024-09-07 10:09:10:938,1,705,20,0,364,9208,705,0 91,0,2024-09-07 10:09:11:021,178014,0.5,172678,0.6,361296,0.4,473823,1.75 91,1,2024-09-07 10:09:10:565,1233656,1233656,0,0,580172289640,6115675845,1214938,14570,4148,381,392047,0 91,2,2024-09-07 10:09:11:344,891134,891134,0,0,39880615,0,4713 91,3,2024-09-07 10:09:10:604,1,705,0,0,231,6544,705,0 92,0,2024-09-07 10:09:11:458,176483,0.4,180496,0.6,344187,0.4,467102,1.75 92,1,2024-09-07 10:09:10:579,1237545,1237545,0,0,580692910078,6064601371,1228040,8193,1312,381,392136,0 92,2,2024-09-07 10:09:11:358,895269,895269,0,0,36258737,0,3906 92,3,2024-09-07 10:09:11:010,1,705,1,0,322,6256,705,0 93,0,2024-09-07 10:09:10:964,177681,0.4,182096,0.6,347553,0.3,471169,1.75 93,1,2024-09-07 10:09:10:826,1238126,1238126,0,0,580919982244,6068546787,1223716,11973,2437,365,392048,0 93,2,2024-09-07 10:09:10:943,889238,889238,0,0,41545863,0,4913 93,3,2024-09-07 10:09:11:409,1,705,2,0,788,8650,705,0 94,0,2024-09-07 10:09:11:661,175536,0.4,176787,0.6,353896,0.4,469572,1.75 94,1,2024-09-07 10:09:10:679,1239860,1239860,0,0,581962963326,6067847919,1231574,7634,652,381,391850,0 94,2,2024-09-07 10:09:10:766,890826,890798,28,0,36266988,0,6179 94,3,2024-09-07 10:09:11:690,1,705,6,0,576,8464,705,0 95,0,2024-09-07 10:09:11:359,177390,0.4,177067,0.5,354436,0.3,472380,1.75 95,1,2024-09-07 10:09:10:891,1240178,1240178,0,0,582285221580,6049329938,1230803,8562,813,365,391852,0 95,2,2024-09-07 10:09:11:049,890490,890490,0,0,36432687,0,3308 95,3,2024-09-07 10:09:11:728,1,705,35,0,718,10538,705,0 96,0,2024-09-07 10:09:11:023,175726,0.3,176066,0.5,352274,0.3,468194,1.75 96,1,2024-09-07 10:09:11:593,1237613,1237613,0,0,581285421237,6063396151,1228685,7550,1378,384,392292,0 96,2,2024-09-07 10:09:11:278,897156,897156,0,0,36806453,0,4225 96,3,2024-09-07 10:09:11:139,1,705,1,0,411,7795,705,0 97,0,2024-09-07 10:09:11:318,174460,0.3,174332,0.5,349427,0.3,464974,1.50 97,1,2024-09-07 10:09:10:766,1240833,1240833,0,0,582626597743,6044034180,1232895,6695,1243,367,392140,0 97,2,2024-09-07 10:09:10:650,891252,891252,0,0,36785936,0,4600 97,3,2024-09-07 10:09:10:569,1,705,4,0,433,8520,705,0 98,0,2024-09-07 10:09:11:731,176730,0.3,176902,0.5,355145,0.3,471539,1.50 98,1,2024-09-07 10:09:10:595,1239247,1239247,0,0,581369107864,6053819882,1231789,6557,901,381,391997,0 98,2,2024-09-07 10:09:10:799,889923,889923,0,0,35109196,0,4336 98,3,2024-09-07 10:09:10:725,1,705,0,0,840,9712,705,0 99,0,2024-09-07 10:09:11:455,178492,0.3,179365,0.5,357438,0.3,476067,1.75 99,1,2024-09-07 10:09:11:779,1240376,1240376,0,0,581166549008,6047331586,1232579,6687,1110,380,392069,0 99,2,2024-09-07 10:09:11:421,891014,891014,0,0,44367592,0,4276 99,3,2024-09-07 10:09:10:580,1,705,45,0,1124,8936,705,0 100,0,2024-09-07 10:09:11:467,176919,0.7,177520,0.9,354866,0.8,472553,2.50 100,1,2024-09-07 10:09:10:556,1232802,1232802,0,0,577813355019,6109502905,1213654,15351,3797,378,391989,0 100,2,2024-09-07 10:09:11:836,890080,889691,389,0,46218772,0,16909 100,3,2024-09-07 10:09:11:740,1,705,7,0,627,10794,705,0 101,0,2024-09-07 10:09:11:808,177698,0.8,173449,1.0,339376,0.8,463441,2.25 101,1,2024-09-07 10:09:10:563,1235101,1235101,0,0,579773267134,6090821344,1218501,13648,2952,368,392018,0 101,2,2024-09-07 10:09:11:771,886239,886200,39,0,47810314,0,5913 101,3,2024-09-07 10:09:10:951,1,705,10,0,1250,10748,705,0 102,0,2024-09-07 10:09:10:991,173577,0.6,178730,0.8,363383,0.7,474226,2.25 102,1,2024-09-07 10:09:11:168,1235346,1235346,0,0,579669269287,6084800501,1219511,13577,2258,369,391984,0 102,2,2024-09-07 10:09:11:746,890824,890770,54,0,39607252,0,6768 102,3,2024-09-07 10:09:11:615,1,705,12,0,466,8144,705,0 103,0,2024-09-07 10:09:11:613,183927,0.7,183956,0.8,346670,0.8,476934,2.25 103,1,2024-09-07 10:09:11:662,1234090,1234090,0,0,579280861085,6112296455,1215174,15288,3628,381,392077,0 103,2,2024-09-07 10:09:10:607,889150,889150,0,0,43140878,0,3766 103,3,2024-09-07 10:09:10:756,1,705,8,0,916,8258,705,0 104,0,2024-09-07 10:09:11:005,176573,0.7,176907,0.9,353031,0.7,472182,2.25 104,1,2024-09-07 10:09:11:604,1236080,1236080,0,0,579966029487,6093533280,1217628,14987,3465,365,392168,0 104,2,2024-09-07 10:09:11:674,889492,889492,0,0,42272110,0,4161 104,3,2024-09-07 10:09:11:419,1,705,2,0,1245,11872,705,0 105,0,2024-09-07 10:09:11:037,172435,0.8,168094,1.0,352577,0.8,463129,2.50 105,1,2024-09-07 10:09:10:555,1237955,1237955,0,0,580777122305,6080306265,1223163,12675,2117,364,392009,0 105,2,2024-09-07 10:09:11:323,892333,892333,0,0,43599351,0,4360 105,3,2024-09-07 10:09:11:304,1,705,0,0,573,10396,705,0 106,0,2024-09-07 10:09:11:144,171230,0.9,175639,1.0,359037,1.1,469573,2.50 106,1,2024-09-07 10:09:11:758,1236553,1236553,0,0,580010335633,6082458576,1220111,14289,2153,368,391914,0 106,2,2024-09-07 10:09:10:786,889835,889835,0,0,40075309,0,3331 106,3,2024-09-07 10:09:10:678,1,705,1,0,1224,9726,705,0 107,0,2024-09-07 10:09:11:224,176743,1.3,176724,1.0,353631,1.9,472160,2.25 107,1,2024-09-07 10:09:10:584,1233415,1233415,0,0,578105669531,6107270252,1214304,16855,2256,381,392234,0 107,2,2024-09-07 10:09:11:298,886551,886550,1,0,43843038,0,5024 107,3,2024-09-07 10:09:11:773,1,705,12,0,733,11052,705,0 108,0,2024-09-07 10:09:11:775,177063,0.4,177903,0.6,354761,0.4,472471,1.75 108,1,2024-09-07 10:09:11:306,1237434,1237434,0,0,580971495648,6064848478,1225951,10219,1264,367,391894,0 108,2,2024-09-07 10:09:11:758,892039,892039,0,0,39078538,0,4432 108,3,2024-09-07 10:09:11:335,1,705,9,0,767,12614,705,0 109,0,2024-09-07 10:09:11:777,176188,0.4,174843,0.6,350503,0.3,467747,1.75 109,1,2024-09-07 10:09:10:583,1235506,1235506,0,0,580512113319,6088641351,1224838,9160,1508,382,392132,0 109,2,2024-09-07 10:09:10:927,893892,893892,0,0,39148751,0,3617 109,3,2024-09-07 10:09:11:141,1,705,6,0,630,7993,705,0 110,0,2024-09-07 10:09:11:759,175197,0.4,170333,0.6,356775,0.3,468517,1.75 110,1,2024-09-07 10:09:11:647,1240445,1240445,0,0,582631987669,6048371891,1230974,7386,2085,368,392045,0 110,2,2024-09-07 10:09:11:306,889868,889868,0,0,38176677,0,4067 110,3,2024-09-07 10:09:10:703,1,705,1,0,722,9451,705,0 111,0,2024-09-07 10:09:11:416,177486,0.4,176635,0.5,352845,0.3,470782,1.75 111,1,2024-09-07 10:09:11:000,1241544,1241544,0,0,583039982645,6052595079,1234285,6752,507,380,391690,0 111,2,2024-09-07 10:09:11:118,890446,890446,0,0,37613717,0,4823 111,3,2024-09-07 10:09:10:914,1,705,1,0,379,7855,705,0 112,0,2024-09-07 10:09:10:916,178693,0.3,178305,0.4,357475,0.2,474544,1.50 112,1,2024-09-07 10:09:10:824,1240277,1240277,0,0,582314527765,6042093155,1232645,6405,1227,380,391624,0 112,2,2024-09-07 10:09:11:132,892351,892350,1,0,35494781,0,5036 112,3,2024-09-07 10:09:10:592,1,705,1,0,282,6883,705,0 113,0,2024-09-07 10:09:10:881,176124,0.3,176539,0.5,353342,0.2,469547,1.75 113,1,2024-09-07 10:09:11:691,1244220,1244220,0,0,583617823738,6018952477,1237300,5969,951,365,391664,0 113,2,2024-09-07 10:09:11:303,898014,898014,0,0,32764873,0,3813 113,3,2024-09-07 10:09:10:695,1,705,7,0,510,7034,705,0 114,0,2024-09-07 10:09:10:889,174094,0.3,175271,0.5,349483,0.2,466996,1.75 114,1,2024-09-07 10:09:10:716,1239784,1239784,0,0,582246805702,6043264649,1230953,7027,1804,381,391565,0 114,2,2024-09-07 10:09:10:872,892467,892466,1,0,35143257,0,5069 114,3,2024-09-07 10:09:11:278,1,705,0,0,415,5703,705,0 115,0,2024-09-07 10:09:10:554,178660,0.3,179107,0.4,356948,0.2,474526,1.50 115,1,2024-09-07 10:09:10:571,1241080,1241080,0,0,582528144741,6048900444,1231522,7765,1793,382,391757,0 115,2,2024-09-07 10:09:11:126,892072,892072,0,0,35027840,0,4382 115,3,2024-09-07 10:09:11:007,1,705,5,0,173,4433,705,0 116,0,2024-09-07 10:09:12:018,177532,0.7,177581,0.9,355773,0.8,475564,2.25 116,1,2024-09-07 10:09:10:803,1234037,1234037,0,0,580117360882,6108189523,1219928,10891,3218,380,392089,0 116,2,2024-09-07 10:09:12:008,891483,891483,0,0,42641221,0,4475 116,3,2024-09-07 10:09:10:914,1,705,0,0,448,8931,705,0 117,0,2024-09-07 10:09:10:959,178290,0.6,177926,0.8,356300,0.6,475855,2.00 117,1,2024-09-07 10:09:11:586,1236538,1236538,0,0,579527908306,6068905228,1222660,12109,1769,369,392429,0 117,2,2024-09-07 10:09:11:117,893829,893829,0,0,39190361,0,4303 117,3,2024-09-07 10:09:11:061,1,705,3,0,490,8549,705,0 118,0,2024-09-07 10:09:11:853,168653,0.5,173301,0.7,353413,0.5,462540,2.00 118,1,2024-09-07 10:09:10:585,1235013,1235013,0,0,579548089344,6087983322,1217493,13672,3848,366,392054,0 118,2,2024-09-07 10:09:11:607,892681,892681,0,0,41759405,0,3161 118,3,2024-09-07 10:09:11:775,1,705,14,0,343,8373,705,0 119,0,2024-09-07 10:09:11:491,178701,0.7,178960,0.8,357418,0.7,476497,2.25 119,1,2024-09-07 10:09:10:718,1236197,1236197,0,0,580575318763,6084805926,1220165,13364,2668,367,391857,0 119,2,2024-09-07 10:09:11:272,890204,890204,0,0,38467169,0,4309 119,3,2024-09-07 10:09:11:328,1,705,7,0,1358,12263,705,0 120,0,2024-09-07 10:09:11:588,176398,0.7,176553,0.9,354255,0.7,472771,2.50 120,1,2024-09-07 10:09:10:872,1236864,1236864,0,0,579697463806,6076399754,1224184,11521,1159,367,392144,0 120,2,2024-09-07 10:09:10:786,889454,889451,3,0,46390496,0,5363 120,3,2024-09-07 10:09:11:293,1,705,17,0,478,8989,705,0 121,0,2024-09-07 10:09:11:715,177463,1.1,176921,1.0,353995,1.4,471648,2.25 121,1,2024-09-07 10:09:11:659,1236559,1236559,0,0,579911177772,6068993749,1223022,11540,1997,366,391924,0 121,2,2024-09-07 10:09:11:125,891568,891568,0,0,42168389,0,4157 121,3,2024-09-07 10:09:10:762,1,705,6,0,387,9327,705,0 122,0,2024-09-07 10:09:11:786,174155,0.7,169603,0.8,354747,0.8,466538,2.00 122,1,2024-09-07 10:09:10:866,1235746,1235746,0,0,580020736475,6081723608,1219453,13714,2579,365,392130,0 122,2,2024-09-07 10:09:11:335,894594,894519,75,0,46325247,0,5989 122,3,2024-09-07 10:09:10:597,1,705,1,0,512,10592,705,0 123,0,2024-09-07 10:09:10:952,175594,0.8,171228,0.8,359089,0.9,469378,2.25 123,1,2024-09-07 10:09:10:561,1236776,1236776,0,0,579884993148,6094443798,1217675,16072,3029,369,392039,0 123,2,2024-09-07 10:09:11:031,888759,888758,1,0,39632328,0,5215 123,3,2024-09-07 10:09:11:132,1,705,2,0,478,7921,705,0 124,0,2024-09-07 10:09:10:940,181226,0.4,181274,0.5,341589,0.3,470417,1.75 124,1,2024-09-07 10:09:11:051,1240437,1240437,0,0,581108610151,6041874887,1230895,8280,1262,365,392178,0 124,2,2024-09-07 10:09:11:009,892419,892366,53,0,36873080,0,6487 124,3,2024-09-07 10:09:10:771,1,705,1,0,490,7251,705,0 125,0,2024-09-07 10:09:11:422,177168,0.4,177097,0.6,355025,0.3,472739,1.75 125,1,2024-09-07 10:09:10:865,1237009,1237009,0,0,580829090659,6068140506,1225955,9469,1585,382,392045,0 125,2,2024-09-07 10:09:11:117,895138,895138,0,0,36429182,0,4534 125,3,2024-09-07 10:09:11:128,1,705,1,0,709,7833,705,0 126,0,2024-09-07 10:09:11:423,176105,0.4,181062,0.5,346159,0.3,469000,1.75 126,1,2024-09-07 10:09:10:555,1240937,1240937,0,0,582588475001,6035587646,1233659,6576,702,365,391987,0 126,2,2024-09-07 10:09:10:621,897031,897031,0,0,38219799,0,4539 126,3,2024-09-07 10:09:10:914,1,705,30,0,268,7922,705,0 127,0,2024-09-07 10:09:11:601,174852,0.3,175119,0.5,349103,0.3,465260,1.75 127,1,2024-09-07 10:09:10:573,1239529,1239529,0,0,581068504374,6045159402,1227066,10686,1777,364,392187,0 127,2,2024-09-07 10:09:10:640,891019,891015,4,0,35981967,0,5305 127,3,2024-09-07 10:09:11:271,1,705,1,0,968,7070,705,0 128,0,2024-09-07 10:09:11:520,177946,0.3,177739,0.4,355762,0.2,472410,1.50 128,1,2024-09-07 10:09:11:616,1239217,1239217,0,0,582118782787,6050121664,1230222,8023,972,367,392423,0 128,2,2024-09-07 10:09:11:391,892579,892579,0,0,33499457,0,3171 128,3,2024-09-07 10:09:10:800,1,705,9,0,1082,10425,705,0 129,0,2024-09-07 10:09:10:996,179877,0.3,179025,0.5,359140,0.3,476907,1.50 129,1,2024-09-07 10:09:10:578,1235600,1235600,0,0,579693919349,6079202880,1222625,10645,2330,379,391962,0 129,2,2024-09-07 10:09:10:685,893555,893551,4,0,36305514,0,5335 129,3,2024-09-07 10:09:10:692,1,705,7,0,506,10057,705,0 130,0,2024-09-07 10:09:11:728,178105,0.4,177936,0.5,356408,0.4,474098,1.75 130,1,2024-09-07 10:09:10:583,1240512,1240512,0,0,582424025737,6045861032,1234654,5450,408,381,391836,0 130,2,2024-09-07 10:09:11:125,894693,894693,0,0,36074320,0,4067 130,3,2024-09-07 10:09:11:296,1,705,4,0,960,8941,705,0 131,0,2024-09-07 10:09:11:944,173955,0.3,174392,0.5,349748,0.3,464981,1.50 131,1,2024-09-07 10:09:11:839,1239772,1239772,0,0,581841119224,6060865650,1231612,6947,1213,381,391865,0 131,2,2024-09-07 10:09:10:586,892192,892192,0,0,34288312,0,3979 131,3,2024-09-07 10:09:11:689,1,705,10,0,392,8744,705,0 132,0,2024-09-07 10:09:11:414,179019,0.5,179988,0.6,358203,0.4,476394,2.00 132,1,2024-09-07 10:09:10:576,1235374,1235374,0,0,579065003013,6089807756,1218861,13730,2783,381,392532,0 132,2,2024-09-07 10:09:10:707,889537,889520,17,0,42988424,0,6451 132,3,2024-09-07 10:09:11:688,1,705,1,0,1298,11924,705,0 133,0,2024-09-07 10:09:11:516,174014,0.5,178071,0.6,365220,0.4,475229,2.00 133,1,2024-09-07 10:09:10:583,1234482,1234482,0,0,578997086350,6094447971,1219021,13525,1936,383,391914,0 133,2,2024-09-07 10:09:11:094,892023,891973,50,0,44061931,0,6861 133,3,2024-09-07 10:09:11:296,1,705,1,0,528,8435,705,0 134,0,2024-09-07 10:09:10:943,178548,0.5,178463,0.7,356641,0.5,475414,2.00 134,1,2024-09-07 10:09:10:585,1236422,1236422,0,0,579172905237,6073912900,1220334,12796,3292,366,391781,0 134,2,2024-09-07 10:09:11:756,891055,890916,139,0,41840063,0,7591 134,3,2024-09-07 10:09:10:755,1,705,2,0,739,8545,705,0 135,0,2024-09-07 10:09:11:104,169006,0.7,169016,0.8,358714,0.7,462548,2.25 135,1,2024-09-07 10:09:11:590,1235945,1235945,0,0,580656432030,6099598919,1221518,12574,1853,380,392038,0 135,2,2024-09-07 10:09:10:706,895075,895075,0,0,42341628,0,4503 135,3,2024-09-07 10:09:11:002,1,705,4,0,900,6689,705,0 136,0,2024-09-07 10:09:11:652,177303,0.6,177855,0.8,354012,0.6,472785,2.00 136,1,2024-09-07 10:09:11:449,1237293,1237293,0,0,580816502003,6082399707,1224456,11410,1427,381,392135,0 136,2,2024-09-07 10:09:11:133,891788,891773,15,0,41249991,0,6007 136,3,2024-09-07 10:09:11:111,1,705,1,0,637,8229,705,0 137,0,2024-09-07 10:09:10:924,182548,0.6,178046,0.8,348491,0.7,473839,2.00 137,1,2024-09-07 10:09:10:576,1236003,1236003,0,0,580609456162,6084862427,1217517,14841,3645,366,391898,0 137,2,2024-09-07 10:09:11:717,889285,889285,0,0,42492472,0,3185 137,3,2024-09-07 10:09:10:769,1,705,1,0,484,9853,705,0 138,0,2024-09-07 10:09:11:776,176421,0.9,176735,0.9,353987,1.1,470696,2.25 138,1,2024-09-07 10:09:11:687,1235733,1235733,0,0,580611357015,6090050263,1218590,14451,2692,368,391954,0 138,2,2024-09-07 10:09:10:585,890264,890264,0,0,40917765,0,4988 138,3,2024-09-07 10:09:10:619,1,705,1,0,1200,10382,705,0 139,0,2024-09-07 10:09:11:391,174091,0.9,174778,0.9,349162,1.1,466092,2.25 139,1,2024-09-07 10:09:10:605,1232284,1232284,0,0,577519888598,6114781309,1212331,16039,3914,380,392109,0 139,2,2024-09-07 10:09:10:738,889451,889421,30,0,46604396,0,5997 139,3,2024-09-07 10:09:11:673,1,705,5,0,432,8272,705,0 140,0,2024-09-07 10:09:11:590,175937,0.3,175270,0.5,351268,0.2,468662,1.75 140,1,2024-09-07 10:09:11:537,1243733,1243733,0,0,583634718060,6021959970,1236926,6003,804,364,391628,0 140,2,2024-09-07 10:09:10:686,890326,890325,1,0,34768363,0,5036 140,3,2024-09-07 10:09:10:769,1,705,2,0,575,7379,705,0 141,0,2024-09-07 10:09:11:697,177277,0.3,182067,0.5,347798,0.3,470446,1.75 141,1,2024-09-07 10:09:10:872,1240680,1240680,0,0,582555927117,6054272752,1230331,8956,1393,379,391614,0 141,2,2024-09-07 10:09:11:686,891181,891170,11,0,37370277,0,5369 141,3,2024-09-07 10:09:11:044,1,705,1,0,391,8187,705,0 142,0,2024-09-07 10:09:11:302,179031,0.3,178177,0.5,356770,0.3,475452,1.50 142,1,2024-09-07 10:09:10:584,1238543,1238543,0,0,581305840378,6064630887,1229481,8168,894,382,392102,0 142,2,2024-09-07 10:09:11:303,891617,891585,32,0,37027451,0,6028 142,3,2024-09-07 10:09:11:746,1,705,8,0,484,7072,705,0 143,0,2024-09-07 10:09:11:403,176299,0.4,176074,0.5,353598,0.4,469488,1.75 143,1,2024-09-07 10:09:10:555,1241598,1241598,0,0,582466330393,6044296867,1233185,7442,971,367,391900,0 143,2,2024-09-07 10:09:10:769,896757,896757,0,0,36651619,0,3123 143,3,2024-09-07 10:09:11:140,1,705,6,0,462,8141,705,0 144,0,2024-09-07 10:09:11:518,168794,0.5,173628,0.7,353540,0.5,463124,2.00 144,1,2024-09-07 10:09:10:575,1235667,1235667,0,0,580544488965,6087899036,1223912,9710,2045,381,391733,0 144,2,2024-09-07 10:09:11:755,891623,891623,0,0,36067150,0,4443 144,3,2024-09-07 10:09:11:740,1,705,2,0,306,7533,705,0 145,0,2024-09-07 10:09:11:377,172153,0.6,172092,0.8,365383,0.5,469766,2.25 145,1,2024-09-07 10:09:10:555,1234620,1234620,0,0,579403210796,6094790303,1218412,13239,2969,381,391781,0 145,2,2024-09-07 10:09:11:437,889129,889047,82,0,42174413,0,7814 145,3,2024-09-07 10:09:10:901,1,705,2,0,622,9419,705,0 146,0,2024-09-07 10:09:11:589,178154,0.7,177282,0.8,356525,0.7,473617,2.25 146,1,2024-09-07 10:09:11:589,1236180,1236180,0,0,579667928785,6093193605,1217020,14230,4930,367,391829,0 146,2,2024-09-07 10:09:11:698,889702,889696,6,0,40408856,0,5151 146,3,2024-09-07 10:09:11:274,1,705,1,0,1520,10933,705,0 147,0,2024-09-07 10:09:11:710,178177,0.6,178052,0.7,356023,0.5,474856,2.00 147,1,2024-09-07 10:09:11:377,1240691,1240691,0,0,581983975312,6048606574,1230728,8862,1101,367,391791,0 147,2,2024-09-07 10:09:11:012,892369,892369,0,0,37957694,0,4531 147,3,2024-09-07 10:09:10:919,1,705,4,0,1626,10483,705,0 0,0,2024-09-07 10:09:21:750,172707,0.7,172720,0.8,366615,0.8,474039,2.00 0,1,2024-09-07 10:09:20:810,1239180,1239180,0,0,582130332764,6091145474,1229287,8915,978,368,391896,0 0,2,2024-09-07 10:09:21:073,893060,893060,0,0,35774626,0,4480 0,3,2024-09-07 10:09:20:974,1,706,2,0,538,9976,706,0 1,0,2024-09-07 10:09:21:764,177548,0.8,176609,0.9,354252,0.9,474057,2.00 1,1,2024-09-07 10:09:20:566,1238027,1238027,0,0,580174639961,6076727559,1226618,9436,1973,370,391859,0 1,2,2024-09-07 10:09:20:651,894208,894208,0,0,35340967,0,3380 1,3,2024-09-07 10:09:21:312,1,706,4,0,269,8308,706,0 2,0,2024-09-07 10:09:21:569,175178,0.5,174924,0.7,349584,0.5,466774,2.00 2,1,2024-09-07 10:09:20:859,1242055,1242055,0,0,582126708645,6051509474,1235121,5972,962,379,391805,0 2,2,2024-09-07 10:09:21:266,896351,896351,0,0,34130284,0,3594 2,3,2024-09-07 10:09:20:695,1,706,0,0,357,6138,706,0 3,0,2024-09-07 10:09:21:744,176936,0.4,176748,0.6,353102,0.3,470515,2.00 3,1,2024-09-07 10:09:21:617,1239975,1239975,0,0,581678855438,6048746342,1231379,7878,718,379,391716,0 3,2,2024-09-07 10:09:21:150,893112,893089,23,0,34811117,0,5851 3,3,2024-09-07 10:09:21:752,1,706,1,0,484,5257,706,0 4,0,2024-09-07 10:09:21:850,171397,0.4,175966,0.5,359123,0.4,469752,1.75 4,1,2024-09-07 10:09:20:594,1234852,1234852,0,0,579002581335,6120729992,1214931,15319,4602,369,391992,0 4,2,2024-09-07 10:09:21:038,889290,889290,0,0,42470469,0,4534 4,3,2024-09-07 10:09:21:027,1,706,1,0,448,9388,706,0 5,0,2024-09-07 10:09:21:373,177513,0.4,177287,0.6,354936,0.4,472589,1.75 5,1,2024-09-07 10:09:20:755,1236072,1236072,0,0,579588721217,6111367993,1218368,13463,4241,367,392005,0 5,2,2024-09-07 10:09:21:856,889318,889285,33,0,42831922,0,7631 5,3,2024-09-07 10:09:21:742,1,706,0,0,457,9204,706,0 6,0,2024-09-07 10:09:20:920,176029,0.4,175431,0.6,351314,0.4,467930,2.00 6,1,2024-09-07 10:09:20:757,1239668,1239668,0,0,582001159990,6073239786,1227485,10498,1685,379,391702,0 6,2,2024-09-07 10:09:21:129,897251,897233,18,0,39659346,0,5535 6,3,2024-09-07 10:09:21:279,1,706,0,0,710,7982,706,0 7,0,2024-09-07 10:09:21:535,174257,0.5,175471,0.6,348038,0.5,464928,2.00 7,1,2024-09-07 10:09:20:854,1236496,1236496,0,0,580519500020,6103870815,1218660,13699,4137,382,391747,0 7,2,2024-09-07 10:09:20:775,890189,890020,169,0,40398012,0,7706 7,3,2024-09-07 10:09:20:851,1,706,0,0,552,8189,706,0 8,0,2024-09-07 10:09:21:365,177544,0.4,177105,0.5,354861,0.4,472879,1.75 8,1,2024-09-07 10:09:21:036,1235611,1235611,0,0,580413615912,6100188472,1216742,14823,4046,366,392853,0 8,2,2024-09-07 10:09:20:797,886207,886205,2,0,45611446,0,5112 8,3,2024-09-07 10:09:20:593,1,706,8,0,772,10584,706,0 9,0,2024-09-07 10:09:21:136,178693,0.4,173428,0.5,363063,0.3,476299,1.75 9,1,2024-09-07 10:09:20:572,1235412,1235412,0,0,580456397906,6118353008,1215682,15379,4351,369,392001,0 9,2,2024-09-07 10:09:21:083,891679,891678,1,0,43577600,0,5281 9,3,2024-09-07 10:09:21:757,1,706,10,0,1273,11130,706,0 10,0,2024-09-07 10:09:21:630,177304,0.3,176913,0.5,354704,0.3,471412,1.75 10,1,2024-09-07 10:09:20:586,1237424,1237424,0,0,581051088175,6095836983,1219278,14412,3734,381,391981,0 10,2,2024-09-07 10:09:20:762,895105,895105,0,0,46841894,0,4713 10,3,2024-09-07 10:09:20:871,1,706,1,0,669,7405,706,0 11,0,2024-09-07 10:09:21:010,173997,0.4,168929,0.6,353595,0.3,465764,1.75 11,1,2024-09-07 10:09:20:574,1239672,1239672,0,0,581487992957,6093658828,1222785,12577,4310,383,391766,0 11,2,2024-09-07 10:09:21:128,891468,891468,0,0,40194932,0,4698 11,3,2024-09-07 10:09:21:298,1,706,2,0,843,8505,706,0 12,0,2024-09-07 10:09:21:001,179961,0.4,179599,0.6,358959,0.4,477072,1.75 12,1,2024-09-07 10:09:20:958,1238829,1238829,0,0,581248067922,6062974928,1228964,8834,1031,368,391960,0 12,2,2024-09-07 10:09:21:558,889411,889411,0,0,40172511,0,4390 12,3,2024-09-07 10:09:21:059,1,706,5,0,386,8618,706,0 13,0,2024-09-07 10:09:21:347,179522,0.4,179708,0.6,358612,0.4,477546,1.75 13,1,2024-09-07 10:09:21:527,1236533,1236533,0,0,580174660487,6104875710,1222355,11095,3083,382,391803,0 13,2,2024-09-07 10:09:20:602,894178,894178,0,0,36428121,0,3287 13,3,2024-09-07 10:09:21:791,1,706,11,0,522,9383,706,0 14,0,2024-09-07 10:09:20:573,178113,0.4,179130,0.6,356361,0.3,474404,1.75 14,1,2024-09-07 10:09:21:562,1245005,1245005,0,0,584415308800,6043980021,1235745,8109,1151,364,391673,0 14,2,2024-09-07 10:09:20:765,893519,893489,30,0,37422888,0,6104 14,3,2024-09-07 10:09:21:126,1,706,0,0,1168,7228,706,0 15,0,2024-09-07 10:09:21:597,174791,0.4,174007,0.6,348091,0.3,464603,1.75 15,1,2024-09-07 10:09:21:615,1240623,1240623,0,0,582524556940,6069342051,1229753,8772,2098,380,391619,0 15,2,2024-09-07 10:09:21:000,896875,896875,0,0,33463029,0,3622 15,3,2024-09-07 10:09:21:412,1,706,1,0,1126,8650,706,0 16,0,2024-09-07 10:09:21:012,177447,0.6,178410,0.8,355817,0.6,474482,2.00 16,1,2024-09-07 10:09:20:572,1240346,1240346,0,0,581974932206,6081533553,1229588,9211,1547,370,392194,0 16,2,2024-09-07 10:09:21:434,891258,891258,0,0,36493786,0,4719 16,3,2024-09-07 10:09:21:154,1,706,10,0,362,8629,706,0 17,0,2024-09-07 10:09:21:774,182910,0.7,178332,0.8,349288,0.7,475005,2.00 17,1,2024-09-07 10:09:20:574,1238584,1238584,0,0,580943361317,6086843586,1227450,9331,1803,368,392075,0 17,2,2024-09-07 10:09:21:668,895105,895104,1,0,37816409,0,5050 17,3,2024-09-07 10:09:20:578,1,706,13,0,518,9963,706,0 18,0,2024-09-07 10:09:20:958,175719,0.6,176921,0.8,352128,0.6,470119,2.25 18,1,2024-09-07 10:09:21:654,1243787,1243787,0,0,583020771511,6045993698,1235599,6756,1432,367,391725,0 18,2,2024-09-07 10:09:21:759,895537,895537,0,0,34114906,0,3541 18,3,2024-09-07 10:09:20:905,1,706,3,0,1059,6312,706,0 19,0,2024-09-07 10:09:21:543,174926,0.6,175457,0.8,349619,0.6,464824,2.00 19,1,2024-09-07 10:09:20:565,1242949,1242949,0,0,584074058215,6049512432,1234092,7696,1161,365,391777,0 19,2,2024-09-07 10:09:21:765,899498,899498,0,0,31945695,0,3988 19,3,2024-09-07 10:09:21:146,1,706,1,0,524,5558,706,0 20,0,2024-09-07 10:09:21:444,175713,0.5,175760,0.7,351040,0.5,469008,2.00 20,1,2024-09-07 10:09:20:582,1238023,1238023,0,0,581300554068,6089376118,1225732,10582,1709,369,391922,0 20,2,2024-09-07 10:09:20:951,890996,890996,0,0,40401281,0,4321 20,3,2024-09-07 10:09:20:600,1,706,16,0,468,11599,706,0 21,0,2024-09-07 10:09:21:234,176811,0.5,176895,0.7,353631,0.5,469407,2.00 21,1,2024-09-07 10:09:21:556,1235877,1235877,0,0,579387007039,6111219926,1217106,14775,3996,368,392016,0 21,2,2024-09-07 10:09:21:069,885662,885185,477,0,51713266,0,17074 21,3,2024-09-07 10:09:21:409,1,706,10,0,713,9769,706,0 22,0,2024-09-07 10:09:21:736,177947,0.5,178601,0.7,356803,0.4,473269,2.00 22,1,2024-09-07 10:09:21:024,1237274,1237274,0,0,580650318863,6104333815,1218158,15511,3605,381,391822,0 22,2,2024-09-07 10:09:20:760,892152,892126,26,0,38429639,0,6328 22,3,2024-09-07 10:09:21:072,1,706,9,0,228,5679,706,0 23,0,2024-09-07 10:09:21:369,175956,0.5,175819,0.6,351635,0.4,468374,2.00 23,1,2024-09-07 10:09:21:007,1238328,1238328,0,0,580555156478,6109997444,1216427,14730,7171,365,391690,0 23,2,2024-09-07 10:09:21:091,897067,897067,0,0,36676114,0,3773 23,3,2024-09-07 10:09:21:757,1,706,0,0,855,9799,706,0 24,0,2024-09-07 10:09:20:876,175501,0.4,174569,0.5,350812,0.3,466324,1.75 24,1,2024-09-07 10:09:20:589,1237209,1237209,0,0,581265530607,6081397897,1225592,9739,1878,367,392269,0 24,2,2024-09-07 10:09:21:073,890981,890978,3,0,43036291,0,6294 24,3,2024-09-07 10:09:21:685,1,706,24,0,468,9189,706,0 25,0,2024-09-07 10:09:21:351,182811,0.4,177607,0.6,349647,0.4,475695,1.75 25,1,2024-09-07 10:09:20:558,1235653,1235653,0,0,579466451135,6119829652,1214528,17126,3999,369,391928,0 25,2,2024-09-07 10:09:21:608,888835,888835,0,0,45039763,0,3978 25,3,2024-09-07 10:09:21:005,1,706,1,0,532,7688,706,0 26,0,2024-09-07 10:09:21:728,177755,0.4,173699,0.6,364448,0.4,475210,2.00 26,1,2024-09-07 10:09:21:559,1239491,1239491,0,0,581368314667,6081793731,1223784,12803,2904,380,391758,0 26,2,2024-09-07 10:09:20:861,892523,892523,0,0,46171701,0,4689 26,3,2024-09-07 10:09:21:717,1,706,3,0,796,8702,706,0 27,0,2024-09-07 10:09:21:733,178276,0.4,179033,0.6,356035,0.4,475012,2.25 27,1,2024-09-07 10:09:21:685,1240902,1240902,0,0,583207036528,6074726691,1229520,9759,1623,381,391626,0 27,2,2024-09-07 10:09:20:867,890578,890513,65,0,40831076,0,5699 27,3,2024-09-07 10:09:21:017,1,706,1,0,564,5931,706,0 28,0,2024-09-07 10:09:21:401,174500,0.4,174549,0.6,349186,0.3,465408,1.75 28,1,2024-09-07 10:09:20:805,1241513,1241513,0,0,583304584543,6085032909,1230038,9047,2428,382,391904,0 28,2,2024-09-07 10:09:21:763,893481,893481,0,0,38036813,0,4060 28,3,2024-09-07 10:09:21:782,1,706,1,0,502,7305,706,0 29,0,2024-09-07 10:09:21:362,184030,0.4,179038,0.6,351630,0.4,479206,1.75 29,1,2024-09-07 10:09:21:565,1244830,1244830,0,0,584743400264,6049747647,1236902,6907,1021,367,391809,0 29,2,2024-09-07 10:09:20:861,890950,890950,0,0,35435322,0,4986 29,3,2024-09-07 10:09:20:964,1,706,1,0,590,7903,706,0 30,0,2024-09-07 10:09:21:461,176762,0.6,172006,0.7,360412,0.5,470968,2.00 30,1,2024-09-07 10:09:20:574,1243386,1243386,0,0,584332704874,6066159770,1233572,8646,1168,380,391672,0 30,2,2024-09-07 10:09:21:274,893288,893288,0,0,34401941,0,4192 30,3,2024-09-07 10:09:20:590,1,706,11,0,519,7247,706,0 31,0,2024-09-07 10:09:21:765,177276,0.5,178176,0.6,355318,0.4,474020,2.00 31,1,2024-09-07 10:09:20:570,1247263,1247263,0,0,585647734371,6014407661,1239330,6664,1269,356,391712,0 31,2,2024-09-07 10:09:21:274,893474,893474,0,0,37781422,0,4470 31,3,2024-09-07 10:09:21:706,1,706,4,0,248,6143,706,0 32,0,2024-09-07 10:09:21:415,175328,0.3,176338,0.5,351477,0.2,468294,1.75 32,1,2024-09-07 10:09:20:804,1243135,1243135,0,0,583515190550,6063704718,1235637,6533,965,381,391646,0 32,2,2024-09-07 10:09:20:937,898273,898273,0,0,33023801,0,3922 32,3,2024-09-07 10:09:21:016,1,706,1,0,304,5858,706,0 33,0,2024-09-07 10:09:21:501,177615,0.3,177203,0.4,354391,0.2,471943,1.50 33,1,2024-09-07 10:09:20:579,1243960,1243960,0,0,584162764698,6046964639,1234331,8272,1357,368,391730,0 33,2,2024-09-07 10:09:20:761,891977,891942,35,0,36584444,0,7012 33,3,2024-09-07 10:09:20:902,1,706,7,0,329,6434,706,0 34,0,2024-09-07 10:09:20:951,176822,0.3,181863,0.5,347733,0.2,469809,1.75 34,1,2024-09-07 10:09:21:045,1247116,1247116,0,0,584805440557,6016598137,1243100,3807,209,366,391637,0 34,2,2024-09-07 10:09:20:768,892620,892620,0,0,34104395,0,4562 34,3,2024-09-07 10:09:21:701,1,706,1,0,541,6059,706,0 35,0,2024-09-07 10:09:20:859,176914,0.3,177481,0.5,355910,0.2,473601,1.75 35,1,2024-09-07 10:09:21:067,1242609,1242609,0,0,582534895980,6042666649,1233667,7389,1553,382,391769,0 35,2,2024-09-07 10:09:21:585,893503,893503,0,0,39852783,0,4055 35,3,2024-09-07 10:09:20:908,1,706,0,0,466,6589,706,0 36,0,2024-09-07 10:09:21:555,176531,0.4,176681,0.6,352915,0.4,469963,2.00 36,1,2024-09-07 10:09:20:589,1240951,1240951,0,0,581398917255,6066732755,1226169,12428,2354,366,391759,0 36,2,2024-09-07 10:09:21:751,896592,896592,0,0,39788477,0,3875 36,3,2024-09-07 10:09:20:862,1,706,3,0,556,8839,706,0 37,0,2024-09-07 10:09:21:376,174355,0.5,174215,0.6,349024,0.5,465674,2.25 37,1,2024-09-07 10:09:20:574,1241012,1241005,0,7,581996506311,6073719727,1226811,11078,3116,365,391770,0 37,2,2024-09-07 10:09:21:148,889756,889741,15,0,39862012,0,5815 37,3,2024-09-07 10:09:21:768,1,706,1,0,888,9577,706,0 38,0,2024-09-07 10:09:21:451,176367,0.5,171010,0.7,357591,0.4,468666,2.00 38,1,2024-09-07 10:09:21:611,1240759,1240759,0,0,581894782660,6079118607,1223916,13537,3306,368,391821,0 38,2,2024-09-07 10:09:20:760,892202,892155,47,0,39790075,0,6710 38,3,2024-09-07 10:09:20:997,1,706,1,0,689,7931,706,0 39,0,2024-09-07 10:09:21:760,182178,0.5,177902,0.7,347465,0.5,473825,2.00 39,1,2024-09-07 10:09:20:718,1238474,1238474,0,0,581451105236,6091695085,1218664,15577,4233,365,391865,0 39,2,2024-09-07 10:09:21:416,893264,893264,0,0,38116073,0,3478 39,3,2024-09-07 10:09:20:717,1,706,0,0,525,7767,706,0 40,0,2024-09-07 10:09:21:562,175850,0.8,176480,0.9,352380,0.9,470034,2.75 40,1,2024-09-07 10:09:20:586,1239114,1239114,0,0,581268323177,6089941130,1220272,15259,3583,366,391668,0 40,2,2024-09-07 10:09:21:304,892902,892895,7,0,44030121,0,5347 40,3,2024-09-07 10:09:21:147,1,706,10,0,1028,9880,706,0 41,0,2024-09-07 10:09:21:029,173263,1.1,177481,1.1,338521,1.4,459620,2.75 41,1,2024-09-07 10:09:20:778,1239744,1239744,0,0,582092971926,6084675425,1224028,13189,2527,369,391878,0 41,2,2024-09-07 10:09:20:770,888752,888751,1,0,43018794,0,5408 41,3,2024-09-07 10:09:21:686,1,706,8,0,749,8481,706,0 42,0,2024-09-07 10:09:21:494,177382,1.0,177185,1.0,354742,1.3,470309,2.75 42,1,2024-09-07 10:09:21:509,1236699,1236699,0,0,579673923575,6082025421,1219092,14523,3084,380,391675,0 42,2,2024-09-07 10:09:21:146,887903,887902,1,0,44395618,0,5513 42,3,2024-09-07 10:09:21:009,1,706,76,0,892,7086,706,0 43,0,2024-09-07 10:09:20:927,176169,0.8,171486,1.0,359377,0.8,470087,2.25 43,1,2024-09-07 10:09:20:582,1240371,1240371,0,0,583025562157,6086313319,1224260,13363,2748,365,391696,0 43,2,2024-09-07 10:09:21:743,894067,894067,0,0,40687367,0,4723 43,3,2024-09-07 10:09:21:750,1,706,0,0,571,9344,706,0 44,0,2024-09-07 10:09:20:861,178318,0.4,178437,0.6,356494,0.3,475503,1.75 44,1,2024-09-07 10:09:20:566,1243538,1243538,0,0,583887073667,6036423423,1232208,9179,2151,356,391809,0 44,2,2024-09-07 10:09:21:267,892064,892064,0,0,34051220,0,4344 44,3,2024-09-07 10:09:21:093,1,706,4,0,1097,9147,706,0 45,0,2024-09-07 10:09:21:758,172953,0.4,168837,0.7,354378,0.4,464754,2.00 45,1,2024-09-07 10:09:21:008,1242835,1242835,0,0,583054618544,6059421587,1233280,8334,1221,382,391917,0 45,2,2024-09-07 10:09:21:274,896642,896642,0,0,34194104,0,3596 45,3,2024-09-07 10:09:20:938,1,706,1,0,531,6526,706,0 46,0,2024-09-07 10:09:20:952,176985,0.5,176244,0.7,353928,0.5,471323,2.00 46,1,2024-09-07 10:09:20:579,1244713,1244713,0,0,583872207260,6039428667,1235433,7797,1483,366,391709,0 46,2,2024-09-07 10:09:20:593,892807,892807,0,0,35012184,0,4443 46,3,2024-09-07 10:09:21:139,1,706,4,0,908,7640,706,0 47,0,2024-09-07 10:09:21:119,178157,0.5,177662,0.6,356631,0.4,473234,2.00 47,1,2024-09-07 10:09:20:574,1246044,1246044,0,0,584107811627,6035218848,1238532,6499,1013,364,391666,0 47,2,2024-09-07 10:09:20:908,895040,895040,0,0,35483369,0,4477 47,3,2024-09-07 10:09:21:117,1,706,4,0,600,7607,706,0 48,0,2024-09-07 10:09:21:501,177814,0.3,178352,0.4,355430,0.2,472950,1.50 48,1,2024-09-07 10:09:21:024,1242183,1242183,0,0,582548984796,6051017533,1234508,6663,1012,381,391710,0 48,2,2024-09-07 10:09:20:698,894196,894196,0,0,32373547,0,3619 48,3,2024-09-07 10:09:20:755,1,706,1,0,339,6013,706,0 49,0,2024-09-07 10:09:21:721,180633,0.3,176847,0.5,344013,0.2,469449,1.75 49,1,2024-09-07 10:09:21:034,1241784,1241784,0,0,583132720404,6061044569,1233914,6172,1698,382,391809,0 49,2,2024-09-07 10:09:21:802,898750,898750,0,0,33844554,0,4426 49,3,2024-09-07 10:09:21:436,1,706,0,0,992,8127,706,0 50,0,2024-09-07 10:09:21:512,176068,0.3,174948,0.5,352121,0.2,468480,1.75 50,1,2024-09-07 10:09:21:013,1245253,1245253,0,0,585033529405,6046020871,1237694,6826,733,368,391691,0 50,2,2024-09-07 10:09:21:071,890752,890752,0,0,31727901,0,4490 50,3,2024-09-07 10:09:21:313,1,706,0,0,617,7231,706,0 51,0,2024-09-07 10:09:21:689,181575,0.3,177633,0.5,345916,0.2,471767,1.75 51,1,2024-09-07 10:09:21:686,1246314,1246314,0,0,585601676309,6036211400,1239612,5358,1344,365,391706,0 51,2,2024-09-07 10:09:21:316,892425,892425,0,0,31403718,0,3337 51,3,2024-09-07 10:09:21:029,1,706,1,0,678,5488,706,0 52,0,2024-09-07 10:09:21:417,178192,0.5,178051,0.6,355892,0.4,472977,2.00 52,1,2024-09-07 10:09:20:579,1240152,1240152,0,0,582403965586,6090307991,1223395,14330,2427,368,391805,0 52,2,2024-09-07 10:09:21:759,889713,889675,38,0,42857281,0,6742 52,3,2024-09-07 10:09:20:692,1,706,1,0,1782,7986,706,0 53,0,2024-09-07 10:09:21:750,175677,0.6,170482,0.8,356825,0.6,466923,2.25 53,1,2024-09-07 10:09:20:783,1239529,1239529,0,0,581723473813,6095224786,1220160,14938,4431,367,391968,0 53,2,2024-09-07 10:09:21:298,896630,896492,138,0,39263189,0,7690 53,3,2024-09-07 10:09:20:697,1,706,1,0,308,6521,706,0 54,0,2024-09-07 10:09:21:617,172751,0.5,173346,0.7,344842,0.4,460976,2.25 54,1,2024-09-07 10:09:20:589,1241833,1241833,0,0,583217946823,6059922883,1230033,10125,1675,366,391810,0 54,2,2024-09-07 10:09:20:864,891754,891722,32,0,42513002,0,6397 54,3,2024-09-07 10:09:20:763,1,706,1,0,676,8985,706,0 55,0,2024-09-07 10:09:21:769,171723,0.7,176880,0.8,359122,0.7,467676,2.50 55,1,2024-09-07 10:09:20:780,1242258,1242258,0,0,582476159487,6053293573,1230224,10545,1489,365,391731,0 55,2,2024-09-07 10:09:20:730,890514,890458,56,0,38831221,0,7239 55,3,2024-09-07 10:09:20:680,1,706,1,0,304,6309,706,0 56,0,2024-09-07 10:09:21:652,181738,1.2,171180,1.1,352972,1.5,472446,2.75 56,1,2024-09-07 10:09:20:576,1234554,1234554,0,0,579579167779,6123706751,1215042,15757,3755,381,391867,0 56,2,2024-09-07 10:09:21:314,891163,891041,122,0,41868037,0,7432 56,3,2024-09-07 10:09:21:063,1,706,1,0,705,8338,706,0 57,0,2024-09-07 10:09:21:023,176600,1.3,176453,1.2,352419,1.7,471304,3.00 57,1,2024-09-07 10:09:21:000,1238239,1238239,0,0,580843935893,6089662527,1222517,13015,2707,366,392097,0 57,2,2024-09-07 10:09:21:328,893765,893765,0,0,44686365,0,4804 57,3,2024-09-07 10:09:21:748,1,706,14,0,455,7480,706,0 58,0,2024-09-07 10:09:20:561,171913,0.9,167019,1.0,349581,1.0,457606,2.50 58,1,2024-09-07 10:09:20:580,1239223,1239220,0,3,581278198070,6091659239,1220847,13971,4402,367,391726,3 58,2,2024-09-07 10:09:21:079,893891,893891,0,0,40563662,0,3483 58,3,2024-09-07 10:09:21:078,1,706,33,0,1043,7604,706,0 59,0,2024-09-07 10:09:21:760,177830,0.9,176773,1.0,353793,1.1,469694,3.00 59,1,2024-09-07 10:09:20:804,1238520,1238520,0,0,581202440444,6101346195,1219020,15662,3838,369,391653,0 59,2,2024-09-07 10:09:20:591,891168,891168,0,0,38684016,0,3727 59,3,2024-09-07 10:09:21:743,1,706,1,0,1015,8326,706,0 60,0,2024-09-07 10:09:21:754,177553,0.5,177796,0.7,355387,0.5,474606,2.00 60,1,2024-09-07 10:09:20:778,1242498,1242498,0,0,583030918068,6069912656,1231558,9434,1506,370,392031,0 60,2,2024-09-07 10:09:21:148,893410,893410,0,0,36772497,0,3811 60,3,2024-09-07 10:09:21:271,1,706,22,0,409,8047,706,0 61,0,2024-09-07 10:09:21:554,177503,0.6,178198,0.8,354903,0.6,473528,2.00 61,1,2024-09-07 10:09:20:776,1239448,1239448,0,0,581964244100,6091121817,1226757,10839,1852,382,392127,0 61,2,2024-09-07 10:09:21:116,894062,893995,67,0,37715899,0,6411 61,3,2024-09-07 10:09:21:692,1,706,1,0,607,8721,706,0 62,0,2024-09-07 10:09:21:720,175959,0.5,180431,0.7,344456,0.5,468069,2.00 62,1,2024-09-07 10:09:21:133,1247235,1247229,0,6,585421553488,6041763985,1239968,6643,618,365,391975,6 62,2,2024-09-07 10:09:21:661,894268,894267,1,0,37476284,0,5555 62,3,2024-09-07 10:09:21:151,1,706,1,0,482,5493,706,0 63,0,2024-09-07 10:09:21:493,177518,0.4,177216,0.6,355045,0.3,472274,1.75 63,1,2024-09-07 10:09:20:807,1243636,1243630,0,6,582997785564,6054307949,1235708,6970,952,381,391800,6 63,2,2024-09-07 10:09:20:770,890498,890498,0,0,36606424,0,4369 63,3,2024-09-07 10:09:21:742,1,706,1,0,667,8088,706,0 64,0,2024-09-07 10:09:21:612,175905,0.5,175845,0.7,351269,0.5,468245,2.00 64,1,2024-09-07 10:09:20:755,1241817,1241817,0,0,583055266760,6067249637,1231599,8188,2030,370,391794,0 64,2,2024-09-07 10:09:21:145,895439,895420,19,0,35139307,0,6121 64,3,2024-09-07 10:09:21:140,1,706,5,0,651,7944,706,0 65,0,2024-09-07 10:09:21:693,176206,0.6,176470,0.7,352021,0.6,469868,2.00 65,1,2024-09-07 10:09:20:859,1240318,1240318,0,0,582054528888,6079301475,1232069,7351,898,381,391901,0 65,2,2024-09-07 10:09:21:702,892196,892196,0,0,42206997,0,3367 65,3,2024-09-07 10:09:21:683,1,706,1,0,782,8225,706,0 66,0,2024-09-07 10:09:21:765,175692,0.5,175097,0.7,350204,0.4,466565,2.00 66,1,2024-09-07 10:09:21:300,1242188,1242188,0,0,583043192272,6065363000,1234677,6637,874,380,391743,0 66,2,2024-09-07 10:09:21:141,899199,899196,3,0,36972733,0,5455 66,3,2024-09-07 10:09:21:085,1,706,4,0,291,6200,706,0 67,0,2024-09-07 10:09:21:434,175017,0.5,174510,0.7,349814,0.4,466034,2.00 67,1,2024-09-07 10:09:20:770,1241788,1241787,0,1,582709658950,6064050446,1233351,7296,1140,380,391787,1 67,2,2024-09-07 10:09:20:589,893818,893803,15,0,37380338,0,6205 67,3,2024-09-07 10:09:21:751,1,706,1,0,595,7367,706,0 68,0,2024-09-07 10:09:20:576,177343,0.6,177161,0.7,352854,0.6,471590,2.25 68,1,2024-09-07 10:09:20:575,1237218,1237218,0,0,579947645391,6098559325,1220481,12462,4275,381,391953,0 68,2,2024-09-07 10:09:21:050,887112,887012,100,0,45490785,0,8578 68,3,2024-09-07 10:09:20:730,1,706,12,0,417,9881,706,0 69,0,2024-09-07 10:09:21:870,177802,0.7,178397,0.8,355842,0.7,473692,2.25 69,1,2024-09-07 10:09:21:032,1236653,1236653,0,0,580091000083,6107252157,1221723,11945,2985,383,391994,0 69,2,2024-09-07 10:09:21:748,889516,889487,29,0,48641774,0,6912 69,3,2024-09-07 10:09:20:765,1,706,10,0,698,10426,706,0 70,0,2024-09-07 10:09:21:536,175565,0.7,176366,0.9,353543,0.6,468503,2.50 70,1,2024-09-07 10:09:20:802,1243346,1243346,0,0,583810609060,6056752918,1233877,8424,1045,366,391725,0 70,2,2024-09-07 10:09:21:339,895887,895887,0,0,39909780,0,4323 70,3,2024-09-07 10:09:20:747,1,706,0,0,854,7734,706,0 71,0,2024-09-07 10:09:21:383,173250,0.8,172885,0.9,346565,0.9,462327,2.50 71,1,2024-09-07 10:09:21:601,1240905,1240905,0,0,582893926652,6077782108,1226467,12652,1786,367,391738,0 71,2,2024-09-07 10:09:21:075,890666,890666,0,0,40350230,0,4352 71,3,2024-09-07 10:09:21:751,1,706,1,0,644,7662,706,0 72,0,2024-09-07 10:09:21:038,184390,0.5,180045,0.7,351863,0.5,477766,2.00 72,1,2024-09-07 10:09:21:026,1239289,1239289,0,0,580562282058,6085102084,1222342,14213,2734,369,391819,0 72,2,2024-09-07 10:09:21:767,888856,888856,0,0,42432685,0,3983 72,3,2024-09-07 10:09:21:757,1,706,0,0,564,9602,706,0 73,0,2024-09-07 10:09:21:129,173987,0.5,178556,0.6,364952,0.4,475213,2.00 73,1,2024-09-07 10:09:20:789,1240914,1240914,0,0,582572455342,6053890435,1231377,8470,1067,367,391858,0 73,2,2024-09-07 10:09:21:743,891772,891771,1,0,44371070,0,5027 73,3,2024-09-07 10:09:20:977,1,706,14,0,1091,9433,706,0 74,0,2024-09-07 10:09:21:323,179267,0.5,183561,0.7,350063,0.4,475939,2.00 74,1,2024-09-07 10:09:20:635,1240073,1240073,0,0,581832377964,6068169734,1227712,10213,2148,381,391762,0 74,2,2024-09-07 10:09:21:011,892734,892734,0,0,38501591,0,4253 74,3,2024-09-07 10:09:21:443,1,706,0,0,522,7965,706,0 75,0,2024-09-07 10:09:21:768,174742,0.5,173772,0.7,348962,0.4,465963,2.00 75,1,2024-09-07 10:09:21:585,1241261,1241261,0,0,582714235832,6069157465,1231374,8893,994,380,391739,0 75,2,2024-09-07 10:09:21:357,893915,893915,0,0,45675404,0,4766 75,3,2024-09-07 10:09:21:074,1,706,3,0,918,9616,706,0 76,0,2024-09-07 10:09:20:595,177280,0.6,176775,0.7,353055,0.5,472575,2.25 76,1,2024-09-07 10:09:20:819,1240163,1240163,0,0,581175107753,6062302357,1231250,7603,1310,382,391790,0 76,2,2024-09-07 10:09:21:063,894055,894052,3,0,38459037,0,5265 76,3,2024-09-07 10:09:21:147,1,706,4,0,249,6554,706,0 77,0,2024-09-07 10:09:21:726,176959,0.6,177276,0.7,354921,0.6,472106,2.00 77,1,2024-09-07 10:09:20:826,1239581,1239581,0,0,581967969143,6075684733,1229302,9190,1089,381,391869,0 77,2,2024-09-07 10:09:21:281,891645,891645,0,0,37082290,0,3890 77,3,2024-09-07 10:09:21:115,1,706,1,0,401,7896,706,0 78,0,2024-09-07 10:09:21:733,178148,0.4,177245,0.6,356044,0.4,471029,2.00 78,1,2024-09-07 10:09:20:619,1239826,1239826,0,0,581888197424,6070915864,1224288,12206,3332,367,391670,0 78,2,2024-09-07 10:09:21:415,894457,894444,13,0,34710126,0,8313 78,3,2024-09-07 10:09:21:141,1,706,0,0,311,5982,706,0 79,0,2024-09-07 10:09:21:348,169678,0.4,173824,0.6,356119,0.3,463848,2.00 79,1,2024-09-07 10:09:20:573,1244415,1244415,0,0,584207029055,6049386568,1234598,8015,1802,367,391682,0 79,2,2024-09-07 10:09:21:073,898060,898060,0,0,33776744,0,4195 79,3,2024-09-07 10:09:20:753,1,706,1,0,418,8165,706,0 80,0,2024-09-07 10:09:21:088,175985,0.5,180687,0.7,345226,0.5,467657,2.00 80,1,2024-09-07 10:09:21:633,1240693,1240693,0,0,582737200008,6065452512,1232700,7410,583,368,392269,0 80,2,2024-09-07 10:09:21:095,894012,894012,0,0,34205480,0,4433 80,3,2024-09-07 10:09:20:585,1,706,3,0,681,8437,706,0 81,0,2024-09-07 10:09:21:554,176934,0.6,181053,0.7,345336,0.6,468418,2.00 81,1,2024-09-07 10:09:21:653,1239837,1239837,0,0,580826104657,6069368051,1229961,8879,997,382,392001,0 81,2,2024-09-07 10:09:21:142,891602,891539,63,0,38079627,0,5932 81,3,2024-09-07 10:09:21:127,1,706,4,0,719,7671,706,0 82,0,2024-09-07 10:09:21:530,178057,0.5,178123,0.7,356940,0.5,473455,2.00 82,1,2024-09-07 10:09:20:589,1241495,1241491,0,4,581562937123,6049610159,1234536,5831,1124,381,391768,4 82,2,2024-09-07 10:09:21:706,896430,896430,0,0,31682627,0,4484 82,3,2024-09-07 10:09:21:752,1,706,1,0,363,6306,706,0 83,0,2024-09-07 10:09:21:530,176392,0.5,176248,0.7,352123,0.5,467529,2.00 83,1,2024-09-07 10:09:20:553,1240424,1240424,0,0,582446487023,6069667039,1231429,7966,1029,382,391709,0 83,2,2024-09-07 10:09:20:772,897162,897137,25,0,34589442,0,5612 83,3,2024-09-07 10:09:20:749,1,706,21,0,1260,8189,706,0 84,0,2024-09-07 10:09:21:830,172978,0.7,173065,0.8,346259,0.6,462696,2.25 84,1,2024-09-07 10:09:21:058,1238982,1238982,0,0,581225405377,6076957575,1225274,11623,2085,367,391967,0 84,2,2024-09-07 10:09:20:587,889177,888767,410,0,49854613,0,17037 84,3,2024-09-07 10:09:21:146,1,706,4,0,908,9711,706,0 85,0,2024-09-07 10:09:21:011,170998,0.7,171007,0.8,362949,0.7,468208,2.25 85,1,2024-09-07 10:09:20:569,1234958,1234958,0,0,580061221797,6116745288,1217145,14880,2933,381,392092,0 85,2,2024-09-07 10:09:20:871,890453,890453,0,0,42295178,0,4255 85,3,2024-09-07 10:09:20:699,1,706,1,0,789,8511,706,0 86,0,2024-09-07 10:09:20:892,177711,0.7,182790,0.8,349973,0.8,473248,2.25 86,1,2024-09-07 10:09:20:826,1237648,1237648,0,0,581438867409,6103049642,1219949,14383,3316,366,392169,0 86,2,2024-09-07 10:09:20:854,892274,892273,1,0,44339048,0,5004 86,3,2024-09-07 10:09:20:594,1,706,2,0,441,9658,706,0 87,0,2024-09-07 10:09:21:301,178800,0.7,177499,0.8,355541,0.8,474969,2.25 87,1,2024-09-07 10:09:20:555,1238019,1238019,0,0,580433391768,6076836708,1223068,12785,2166,366,392076,0 87,2,2024-09-07 10:09:21:076,893262,893256,6,0,41759332,0,6323 87,3,2024-09-07 10:09:21:810,1,706,6,0,473,9877,706,0 88,0,2024-09-07 10:09:21:610,174217,0.4,174641,0.6,349378,0.4,465578,1.75 88,1,2024-09-07 10:09:20:570,1236596,1236596,0,0,580516837445,6080125878,1219734,13718,3144,365,392084,0 88,2,2024-09-07 10:09:20:689,893095,893095,0,0,43902721,0,4465 88,3,2024-09-07 10:09:21:268,1,706,2,0,1080,11179,706,0 89,0,2024-09-07 10:09:21:779,184075,0.5,178795,0.6,352106,0.4,478569,1.75 89,1,2024-09-07 10:09:20:555,1236797,1236797,0,0,581034480461,6106375737,1222101,12413,2283,382,392084,0 89,2,2024-09-07 10:09:21:153,890911,890911,0,0,41259882,0,3173 89,3,2024-09-07 10:09:21:794,1,706,4,0,729,12388,706,0 90,0,2024-09-07 10:09:21:624,172542,0.5,177083,0.7,361634,0.5,472288,2.00 90,1,2024-09-07 10:09:20:592,1238534,1238534,0,0,581428173630,6090126995,1226062,11198,1274,380,391825,0 90,2,2024-09-07 10:09:21:414,888226,888221,5,0,44555661,0,6370 90,3,2024-09-07 10:09:20:930,1,706,2,0,364,9210,706,0 91,0,2024-09-07 10:09:20:932,178212,0.5,172875,0.6,361717,0.4,474394,1.75 91,1,2024-09-07 10:09:20:572,1235434,1235434,0,0,580985928371,6124021577,1216716,14570,4148,381,392047,0 91,2,2024-09-07 10:09:21:334,892218,892218,0,0,39895413,0,4713 91,3,2024-09-07 10:09:20:601,1,706,3,0,231,6547,706,0 92,0,2024-09-07 10:09:21:511,176902,0.4,180940,0.6,344999,0.4,468374,1.75 92,1,2024-09-07 10:09:20:597,1239239,1239239,0,0,581464678133,6072652867,1229733,8194,1312,381,392136,0 92,2,2024-09-07 10:09:21:352,896394,896394,0,0,36290036,0,3906 92,3,2024-09-07 10:09:21:012,1,706,25,0,322,6281,706,0 93,0,2024-09-07 10:09:20:972,177970,0.4,182377,0.6,348176,0.3,471905,1.75 93,1,2024-09-07 10:09:20:810,1239908,1239908,0,0,581651480456,6076111185,1225497,11974,2437,365,392048,0 93,2,2024-09-07 10:09:20:947,890676,890676,0,0,41579040,0,4913 93,3,2024-09-07 10:09:21:410,1,706,3,0,788,8653,706,0 94,0,2024-09-07 10:09:21:716,175871,0.4,177081,0.6,354529,0.4,470518,1.75 94,1,2024-09-07 10:09:20:570,1241651,1241651,0,0,582882133828,6077361768,1233363,7636,652,381,391850,0 94,2,2024-09-07 10:09:20:761,892101,892073,28,0,36301680,0,6179 94,3,2024-09-07 10:09:21:702,1,706,1,0,576,8465,706,0 95,0,2024-09-07 10:09:21:352,177547,0.4,177213,0.5,354756,0.3,472857,1.75 95,1,2024-09-07 10:09:20:853,1241889,1241889,0,0,582945480780,6056229222,1232513,8563,813,365,391852,0 95,2,2024-09-07 10:09:21:019,891612,891612,0,0,36476967,0,3308 95,3,2024-09-07 10:09:21:716,1,706,5,0,718,10543,706,0 96,0,2024-09-07 10:09:21:047,176027,0.3,176327,0.5,352871,0.3,469088,1.75 96,1,2024-09-07 10:09:21:585,1239329,1239329,0,0,582209273314,6072832410,1230401,7550,1378,384,392292,0 96,2,2024-09-07 10:09:21:274,898507,898507,0,0,36837466,0,4225 96,3,2024-09-07 10:09:21:147,1,706,0,0,411,7795,706,0 97,0,2024-09-07 10:09:21:335,174869,0.3,174743,0.5,350285,0.3,466100,1.50 97,1,2024-09-07 10:09:20:764,1242655,1242655,0,0,583555313718,6053551871,1234716,6696,1243,367,392140,0 97,2,2024-09-07 10:09:20:619,892533,892533,0,0,36818514,0,4600 97,3,2024-09-07 10:09:20:570,1,706,3,0,433,8523,706,0 98,0,2024-09-07 10:09:21:694,176839,0.3,177010,0.5,355381,0.3,471866,1.50 98,1,2024-09-07 10:09:20:574,1240977,1240977,0,0,582168697346,6062029611,1233519,6557,901,381,391997,0 98,2,2024-09-07 10:09:20:768,891137,891137,0,0,35137165,0,4336 98,3,2024-09-07 10:09:20:699,1,706,0,0,840,9712,706,0 99,0,2024-09-07 10:09:21:446,178618,0.3,179481,0.5,357696,0.3,476419,1.75 99,1,2024-09-07 10:09:21:730,1242155,1242155,0,0,581809672888,6053957821,1234356,6689,1110,380,392069,0 99,2,2024-09-07 10:09:21:420,892614,892614,0,0,44411456,0,4276 99,3,2024-09-07 10:09:20:583,1,706,1,0,1124,8937,706,0 100,0,2024-09-07 10:09:21:504,176955,0.7,177555,0.9,354946,0.8,472553,2.50 100,1,2024-09-07 10:09:20:548,1234530,1234530,0,0,578761403642,6119142631,1215382,15351,3797,378,391989,0 100,2,2024-09-07 10:09:21:833,891570,891181,389,0,46231399,0,16909 100,3,2024-09-07 10:09:21:749,1,706,0,0,627,10794,706,0 101,0,2024-09-07 10:09:21:716,178056,0.8,173826,1.0,340109,0.8,464369,2.25 101,1,2024-09-07 10:09:20:555,1236922,1236922,0,0,580719912421,6100447384,1220322,13648,2952,368,392018,0 101,2,2024-09-07 10:09:21:759,886947,886908,39,0,47815724,0,5913 101,3,2024-09-07 10:09:20:947,1,706,1,0,1250,10749,706,0 102,0,2024-09-07 10:09:20:968,173671,0.6,178807,0.8,363566,0.7,474471,2.25 102,1,2024-09-07 10:09:21:147,1237143,1237143,0,0,580404010044,6092325825,1221304,13581,2258,369,391984,0 102,2,2024-09-07 10:09:21:758,892368,892314,54,0,39624552,0,6768 102,3,2024-09-07 10:09:21:614,1,706,1,0,466,8145,706,0 103,0,2024-09-07 10:09:21:610,184188,0.7,184185,0.8,347157,0.7,477536,2.25 103,1,2024-09-07 10:09:21:840,1235828,1235828,0,0,580150100985,6121219928,1216909,15291,3628,381,392077,0 103,2,2024-09-07 10:09:20:585,890649,890649,0,0,43157400,0,3766 103,3,2024-09-07 10:09:20:756,1,706,1,0,916,8259,706,0 104,0,2024-09-07 10:09:21:026,176877,0.7,177195,0.9,353613,0.7,473055,2.25 104,1,2024-09-07 10:09:21:629,1237900,1237900,0,0,580775694476,6101775016,1219448,14987,3465,365,392168,0 104,2,2024-09-07 10:09:21:702,890759,890759,0,0,42288564,0,4161 104,3,2024-09-07 10:09:21:430,1,706,52,0,1245,11924,706,0 105,0,2024-09-07 10:09:21:039,172775,0.8,168384,1.0,353165,0.8,463807,2.50 105,1,2024-09-07 10:09:20:558,1239704,1239704,0,0,581424353281,6086934274,1224912,12675,2117,364,392009,0 105,2,2024-09-07 10:09:21:323,893311,893311,0,0,43618214,0,4360 105,3,2024-09-07 10:09:21:319,1,706,1,0,573,10397,706,0 106,0,2024-09-07 10:09:20:947,171712,0.9,176121,1.0,359977,1.1,471049,2.50 106,1,2024-09-07 10:09:21:754,1238292,1238292,0,0,580733113642,6089907131,1221850,14289,2153,368,391914,0 106,2,2024-09-07 10:09:20:755,891324,891324,0,0,40103929,0,3331 106,3,2024-09-07 10:09:20:683,1,706,2,0,1224,9728,706,0 107,0,2024-09-07 10:09:21:098,177109,1.3,177036,1.0,354265,1.9,472982,2.25 107,1,2024-09-07 10:09:20:591,1235245,1235245,0,0,579035593780,6116911596,1216130,16859,2256,381,392234,0 107,2,2024-09-07 10:09:21:292,887928,887927,1,0,43925941,0,5024 107,3,2024-09-07 10:09:21:757,1,706,1,0,733,11053,706,0 108,0,2024-09-07 10:09:21:829,177230,0.4,178082,0.6,355097,0.4,472872,1.75 108,1,2024-09-07 10:09:21:314,1239052,1239052,0,0,581657494436,6071986521,1227567,10221,1264,367,391894,0 108,2,2024-09-07 10:09:21:760,893255,893255,0,0,39103027,0,4432 108,3,2024-09-07 10:09:21:329,1,706,10,0,767,12624,706,0 109,0,2024-09-07 10:09:21:792,176433,0.4,175108,0.6,350998,0.3,468364,1.75 109,1,2024-09-07 10:09:20:616,1237318,1237318,0,0,581461096150,6098352971,1226649,9161,1508,382,392132,0 109,2,2024-09-07 10:09:20:937,895174,895174,0,0,39188544,0,3617 109,3,2024-09-07 10:09:21:151,1,706,26,0,630,8019,706,0 110,0,2024-09-07 10:09:21:771,175575,0.4,170707,0.6,357581,0.3,469503,1.75 110,1,2024-09-07 10:09:21:665,1242238,1242238,0,0,583734314072,6059656846,1232767,7386,2085,368,392045,0 110,2,2024-09-07 10:09:21:311,891172,891172,0,0,38216123,0,4067 110,3,2024-09-07 10:09:20:696,1,706,2,0,722,9453,706,0 111,0,2024-09-07 10:09:21:412,177643,0.4,176813,0.5,353143,0.3,471236,1.75 111,1,2024-09-07 10:09:21:001,1243304,1243304,0,0,584018603368,6062690204,1236045,6752,507,380,391690,0 111,2,2024-09-07 10:09:21:130,891756,891756,0,0,37656214,0,4823 111,3,2024-09-07 10:09:20:919,1,706,0,0,379,7855,706,0 112,0,2024-09-07 10:09:20:937,178791,0.3,178412,0.4,357701,0.2,474799,1.50 112,1,2024-09-07 10:09:20:824,1242060,1242060,0,0,583077468804,6049906359,1234427,6406,1227,380,391624,0 112,2,2024-09-07 10:09:21:140,893607,893606,1,0,35515352,0,5036 112,3,2024-09-07 10:09:20:594,1,706,6,0,282,6889,706,0 113,0,2024-09-07 10:09:20:983,176224,0.3,176636,0.5,353525,0.2,469859,1.75 113,1,2024-09-07 10:09:21:692,1245984,1245984,0,0,584458372241,6027492408,1239063,5970,951,365,391664,0 113,2,2024-09-07 10:09:21:303,899434,899434,0,0,32781296,0,3813 113,3,2024-09-07 10:09:20:691,1,706,27,0,510,7061,706,0 114,0,2024-09-07 10:09:20:877,174596,0.3,175768,0.5,350416,0.2,468328,1.75 114,1,2024-09-07 10:09:20:718,1241542,1241542,0,0,583185505111,6052977665,1232707,7031,1804,381,391565,0 114,2,2024-09-07 10:09:20:871,893429,893428,1,0,35153148,0,5069 114,3,2024-09-07 10:09:21:280,1,706,1,0,415,5704,706,0 115,0,2024-09-07 10:09:20:558,178768,0.3,179213,0.4,357130,0.2,474828,1.50 115,1,2024-09-07 10:09:20:571,1242882,1242882,0,0,583480434736,6058635551,1233324,7765,1793,382,391757,0 115,2,2024-09-07 10:09:21:129,893490,893490,0,0,35047771,0,4382 115,3,2024-09-07 10:09:21:009,1,706,1,0,173,4434,706,0 116,0,2024-09-07 10:09:21:829,177638,0.7,177688,0.9,356017,0.8,475824,2.25 116,1,2024-09-07 10:09:20:803,1235741,1235741,0,0,580866112756,6115832722,1221632,10891,3218,380,392089,0 116,2,2024-09-07 10:09:21:775,892919,892919,0,0,42653809,0,4475 116,3,2024-09-07 10:09:20:921,1,706,8,0,448,8939,706,0 117,0,2024-09-07 10:09:20:960,178483,0.6,178149,0.8,356728,0.6,476376,2.00 117,1,2024-09-07 10:09:21:586,1238308,1238308,0,0,580395614388,6077718314,1224430,12109,1769,369,392429,0 117,2,2024-09-07 10:09:21:129,895240,895240,0,0,39202348,0,4303 117,3,2024-09-07 10:09:21:059,1,706,8,0,490,8557,706,0 118,0,2024-09-07 10:09:21:787,169057,0.5,173662,0.7,354216,0.4,463637,2.00 118,1,2024-09-07 10:09:20:590,1236774,1236774,0,0,580483190466,6097515325,1219254,13672,3848,366,392054,0 118,2,2024-09-07 10:09:21:596,893395,893395,0,0,41766303,0,3161 118,3,2024-09-07 10:09:21:766,1,706,2,0,343,8375,706,0 119,0,2024-09-07 10:09:21:353,178912,0.7,179141,0.8,357837,0.7,476793,2.25 119,1,2024-09-07 10:09:20:602,1238035,1238035,0,0,581382224147,6093143209,1222003,13364,2668,367,391857,0 119,2,2024-09-07 10:09:21:267,891674,891674,0,0,38483142,0,4309 119,3,2024-09-07 10:09:21:333,1,706,0,0,1358,12263,706,0 120,0,2024-09-07 10:09:21:639,176675,0.7,176851,0.9,354862,0.7,473520,2.50 120,1,2024-09-07 10:09:20:870,1238678,1238678,0,0,580461056865,6084270780,1225998,11521,1159,367,392144,0 120,2,2024-09-07 10:09:20:768,890989,890986,3,0,46412306,0,5363 120,3,2024-09-07 10:09:21:290,1,706,1,0,478,8990,706,0 121,0,2024-09-07 10:09:21:817,177654,1.1,177117,1.0,354412,1.4,472224,2.25 121,1,2024-09-07 10:09:21:657,1238246,1238246,0,0,580775971244,6077824219,1224709,11540,1997,366,391924,0 121,2,2024-09-07 10:09:21:128,892633,892633,0,0,42185611,0,4157 121,3,2024-09-07 10:09:20:729,1,706,2,0,387,9329,706,0 122,0,2024-09-07 10:09:21:783,174571,0.7,170010,0.8,355599,0.8,467761,2.00 122,1,2024-09-07 10:09:20:864,1237448,1237448,0,0,580815094382,6089877697,1221155,13714,2579,365,392130,0 122,2,2024-09-07 10:09:21:327,895630,895555,75,0,46343643,0,5989 122,3,2024-09-07 10:09:20:594,1,706,1,0,512,10593,706,0 123,0,2024-09-07 10:09:21:008,175875,0.8,171524,0.8,359673,0.9,470082,2.25 123,1,2024-09-07 10:09:20:559,1238545,1238545,0,0,580699529775,6102799537,1219444,16072,3029,369,392039,0 123,2,2024-09-07 10:09:21:018,890262,890261,1,0,39657173,0,5215 123,3,2024-09-07 10:09:21:156,1,706,2,0,478,7923,706,0 124,0,2024-09-07 10:09:20:929,181539,0.4,181572,0.5,342204,0.3,471355,1.75 124,1,2024-09-07 10:09:21:024,1241995,1241995,0,0,581991001125,6051099326,1232447,8286,1262,365,392178,0 124,2,2024-09-07 10:09:21:010,893702,893649,53,0,36918763,0,6487 124,3,2024-09-07 10:09:20:760,1,706,5,0,490,7256,706,0 125,0,2024-09-07 10:09:21:430,177316,0.4,177271,0.6,355336,0.3,473220,1.75 125,1,2024-09-07 10:09:20:856,1238822,1238822,0,0,581796906225,6078024314,1227768,9469,1585,382,392045,0 125,2,2024-09-07 10:09:21:128,896159,896159,0,0,36454786,0,4534 125,3,2024-09-07 10:09:21:127,1,706,0,0,709,7833,706,0 126,0,2024-09-07 10:09:21:528,176393,0.4,181366,0.5,346737,0.3,469887,1.75 126,1,2024-09-07 10:09:20:561,1242670,1242670,0,0,583322511392,6043142939,1235392,6576,702,365,391987,0 126,2,2024-09-07 10:09:20:619,898330,898330,0,0,38259257,0,4539 126,3,2024-09-07 10:09:20:908,1,706,49,0,268,7971,706,0 127,0,2024-09-07 10:09:21:626,175306,0.3,175576,0.5,350015,0.3,466460,1.75 127,1,2024-09-07 10:09:20:573,1241276,1241276,0,0,581866196949,6053455337,1228813,10686,1777,364,392187,0 127,2,2024-09-07 10:09:20:649,892131,892127,4,0,36022542,0,5305 127,3,2024-09-07 10:09:21:274,1,706,1,0,968,7071,706,0 128,0,2024-09-07 10:09:21:541,178056,0.3,177845,0.4,356012,0.2,472730,1.50 128,1,2024-09-07 10:09:21:612,1241052,1241052,0,0,583166504080,6060783284,1232055,8025,972,367,392423,0 128,2,2024-09-07 10:09:21:383,893826,893826,0,0,33534803,0,3171 128,3,2024-09-07 10:09:20:773,1,706,0,0,1082,10425,706,0 129,0,2024-09-07 10:09:21:019,179985,0.3,179150,0.5,359367,0.3,477254,1.50 129,1,2024-09-07 10:09:20:576,1237323,1237323,0,0,580461378482,6087089401,1224346,10647,2330,379,391962,0 129,2,2024-09-07 10:09:20:694,895075,895071,4,0,36355467,0,5335 129,3,2024-09-07 10:09:20:689,1,706,5,0,506,10062,706,0 130,0,2024-09-07 10:09:21:732,178150,0.4,177979,0.5,356497,0.4,474098,1.75 130,1,2024-09-07 10:09:20:589,1242366,1242366,0,0,583332616418,6055172665,1236507,5451,408,381,391836,0 130,2,2024-09-07 10:09:21:131,896232,896232,0,0,36110569,0,4067 130,3,2024-09-07 10:09:21:313,1,706,3,0,960,8944,706,0 131,0,2024-09-07 10:09:21:928,174311,0.3,174774,0.5,350479,0.3,465910,1.50 131,1,2024-09-07 10:09:21:828,1241606,1241606,0,0,582711335741,6069768204,1233446,6947,1213,381,391865,0 131,2,2024-09-07 10:09:20:574,892917,892917,0,0,34297164,0,3979 131,3,2024-09-07 10:09:21:688,1,706,1,0,392,8745,706,0 132,0,2024-09-07 10:09:21:425,179106,0.5,180086,0.6,358396,0.4,476636,2.00 132,1,2024-09-07 10:09:20:588,1237099,1237099,0,0,579798974099,6097328174,1220585,13731,2783,381,392532,0 132,2,2024-09-07 10:09:20:699,891072,891055,17,0,43004090,0,6451 132,3,2024-09-07 10:09:21:688,1,706,1,0,1298,11925,706,0 133,0,2024-09-07 10:09:21:555,174232,0.5,178279,0.6,365708,0.4,475786,2.00 133,1,2024-09-07 10:09:20:590,1236130,1236130,0,0,580053482390,6105181956,1220669,13525,1936,383,391914,0 133,2,2024-09-07 10:09:21:094,893587,893537,50,0,44078777,0,6861 133,3,2024-09-07 10:09:21:310,1,706,15,0,528,8450,706,0 134,0,2024-09-07 10:09:20:977,178827,0.5,178728,0.7,357247,0.5,476296,2.00 134,1,2024-09-07 10:09:20:589,1238209,1238209,0,0,580096115068,6083298145,1222120,12797,3292,366,391826,0 134,2,2024-09-07 10:09:21:769,892336,892197,139,0,41851934,0,7591 134,3,2024-09-07 10:09:20:753,1,706,1,0,739,8546,706,0 135,0,2024-09-07 10:09:21:115,169271,0.7,169305,0.8,359392,0.7,463268,2.25 135,1,2024-09-07 10:09:21:669,1237755,1237755,0,0,581340790656,6106648571,1223328,12574,1853,380,392038,0 135,2,2024-09-07 10:09:20:690,896009,896009,0,0,42351792,0,4503 135,3,2024-09-07 10:09:21:006,1,706,3,0,900,6692,706,0 136,0,2024-09-07 10:09:21:739,177840,0.6,178367,0.8,355012,0.6,474287,2.00 136,1,2024-09-07 10:09:21:457,1239106,1239106,0,0,581569035480,6090150833,1226266,11412,1428,381,392135,0 136,2,2024-09-07 10:09:21:140,893349,893334,15,0,41296214,0,6007 136,3,2024-09-07 10:09:21:110,1,706,0,0,637,8229,706,0 137,0,2024-09-07 10:09:20:939,182880,0.6,178354,0.7,349132,0.7,474673,2.00 137,1,2024-09-07 10:09:20:594,1237786,1237786,0,0,581559230531,6094521498,1219300,14841,3645,366,391898,0 137,2,2024-09-07 10:09:21:704,890596,890596,0,0,42517734,0,3185 137,3,2024-09-07 10:09:20:777,1,706,2,0,484,9855,706,0 138,0,2024-09-07 10:09:21:751,176602,0.9,176897,0.9,354298,1.1,471129,2.25 138,1,2024-09-07 10:09:21:685,1237481,1237481,0,0,581316414909,6097262829,1220338,14451,2692,368,391954,0 138,2,2024-09-07 10:09:20:589,891348,891348,0,0,40941340,0,4988 138,3,2024-09-07 10:09:20:616,1,706,2,0,1200,10384,706,0 139,0,2024-09-07 10:09:21:405,174342,0.9,175056,0.9,349676,1.1,466748,2.25 139,1,2024-09-07 10:09:20:573,1234006,1234006,0,0,578274610775,6123159078,1213964,16128,3914,380,392109,0 139,2,2024-09-07 10:09:20:697,890691,890661,30,0,46678458,0,5997 139,3,2024-09-07 10:09:21:678,1,706,1,0,432,8273,706,0 140,0,2024-09-07 10:09:21:592,176309,0.3,175659,0.5,352091,0.2,469682,1.75 140,1,2024-09-07 10:09:21:556,1245531,1245531,0,0,584528482882,6031076415,1238722,6004,805,364,391628,0 140,2,2024-09-07 10:09:20:689,891690,891689,1,0,34805057,0,5036 140,3,2024-09-07 10:09:20:783,1,706,1,0,575,7380,706,0 141,0,2024-09-07 10:09:21:724,177429,0.3,182228,0.5,348087,0.3,470877,1.75 141,1,2024-09-07 10:09:20:865,1242433,1242433,0,0,583451698254,6063407827,1232084,8956,1393,379,391614,0 141,2,2024-09-07 10:09:21:686,892517,892506,11,0,37413121,0,5369 141,3,2024-09-07 10:09:21:044,1,706,1,0,391,8188,706,0 142,0,2024-09-07 10:09:21:355,179128,0.3,178269,0.5,356967,0.3,475703,1.50 142,1,2024-09-07 10:09:20:591,1240345,1240345,0,0,582182991810,6073697180,1231280,8171,894,382,392102,0 142,2,2024-09-07 10:09:21:313,892995,892963,32,0,37064878,0,6028 142,3,2024-09-07 10:09:21:747,1,706,28,0,484,7100,706,0 143,0,2024-09-07 10:09:21:382,176408,0.4,176175,0.5,353791,0.4,469807,1.75 143,1,2024-09-07 10:09:20:558,1243392,1243392,0,0,583114353963,6051115943,1234977,7442,973,367,391900,0 143,2,2024-09-07 10:09:20:783,898084,898084,0,0,36687098,0,3123 143,3,2024-09-07 10:09:21:145,1,706,1,0,462,8142,706,0 144,0,2024-09-07 10:09:21:584,169259,0.5,174045,0.7,354527,0.5,464476,2.00 144,1,2024-09-07 10:09:20:571,1237439,1237439,0,0,581309482400,6095813545,1225683,9711,2045,381,391733,0 144,2,2024-09-07 10:09:21:760,892618,892618,0,0,36086601,0,4443 144,3,2024-09-07 10:09:21:747,1,706,2,0,306,7535,706,0 145,0,2024-09-07 10:09:21:390,172243,0.6,172202,0.8,365579,0.5,470042,2.25 145,1,2024-09-07 10:09:20:563,1236312,1236312,0,0,580279744821,6103805668,1220099,13243,2970,381,391879,0 145,2,2024-09-07 10:09:21:443,890487,890405,82,0,42185673,0,7814 145,3,2024-09-07 10:09:20:905,1,706,1,0,622,9420,706,0 146,0,2024-09-07 10:09:21:646,178292,0.7,177389,0.8,356767,0.7,473878,2.25 146,1,2024-09-07 10:09:21:613,1237939,1237939,0,0,580595341159,6102732900,1218772,14236,4931,367,391829,0 146,2,2024-09-07 10:09:21:702,891118,891112,6,0,40431126,0,5151 146,3,2024-09-07 10:09:21:288,1,706,17,0,1520,10950,706,0 147,0,2024-09-07 10:09:21:728,178392,0.6,178254,0.7,356469,0.5,475382,2.00 147,1,2024-09-07 10:09:21:372,1242535,1242535,0,0,583014572948,6059150856,1232571,8862,1102,367,391791,0 147,2,2024-09-07 10:09:21:017,893772,893772,0,0,37995618,0,4531 147,3,2024-09-07 10:09:20:932,1,706,2,0,1626,10485,706,0 0,0,2024-09-07 10:09:31:712,172962,0.7,173010,0.8,367185,0.8,474782,2.00 0,1,2024-09-07 10:09:30:803,1240900,1240900,0,0,582726327678,6097330574,1231005,8917,978,368,391896,0 0,2,2024-09-07 10:09:31:067,894663,894663,0,0,35826160,0,4480 0,3,2024-09-07 10:09:30:977,1,707,5,0,538,9981,707,0 1,0,2024-09-07 10:09:31:754,177745,0.8,176833,0.9,354701,0.9,474630,2.00 1,1,2024-09-07 10:09:30:560,1239827,1239827,0,0,581225051736,6087448376,1228416,9438,1973,370,391859,0 1,2,2024-09-07 10:09:30:649,895303,895303,0,0,35362767,0,3380 1,3,2024-09-07 10:09:31:316,1,707,1,0,269,8309,707,0 2,0,2024-09-07 10:09:31:568,175608,0.5,175344,0.7,350521,0.5,468046,2.00 2,1,2024-09-07 10:09:30:859,1243754,1243754,0,0,582885112028,6059270592,1236820,5972,962,379,391805,0 2,2,2024-09-07 10:09:31:266,897370,897370,0,0,34146143,0,3594 2,3,2024-09-07 10:09:30:690,1,707,1,0,357,6139,707,0 3,0,2024-09-07 10:09:31:742,177207,0.4,177030,0.6,353659,0.3,471226,2.00 3,1,2024-09-07 10:09:31:618,1241743,1241743,0,0,582489103249,6057006962,1233147,7878,718,379,391716,0 3,2,2024-09-07 10:09:31:142,894652,894629,23,0,34833582,0,5851 3,3,2024-09-07 10:09:31:752,1,707,1,0,484,5258,707,0 4,0,2024-09-07 10:09:31:772,171712,0.4,176298,0.5,359797,0.4,470665,1.75 4,1,2024-09-07 10:09:30:591,1236617,1236617,0,0,579930796208,6130240618,1216695,15320,4602,369,391992,0 4,2,2024-09-07 10:09:31:026,890562,890562,0,0,42484904,0,4534 4,3,2024-09-07 10:09:31:027,1,707,1,0,448,9389,707,0 5,0,2024-09-07 10:09:31:378,177670,0.4,177458,0.6,355287,0.4,473088,1.75 5,1,2024-09-07 10:09:30:756,1237843,1237843,0,0,580582191051,6121520460,1220138,13464,4241,367,392005,0 5,2,2024-09-07 10:09:31:829,890576,890543,33,0,42843978,0,7631 5,3,2024-09-07 10:09:31:732,1,707,1,0,457,9205,707,0 6,0,2024-09-07 10:09:30:925,176381,0.4,175730,0.6,351949,0.4,468830,2.00 6,1,2024-09-07 10:09:30:746,1241432,1241432,0,0,582705011426,6080408999,1229249,10498,1685,379,391702,0 6,2,2024-09-07 10:09:31:117,898520,898502,18,0,39667091,0,5535 6,3,2024-09-07 10:09:31:274,1,707,1,0,710,7983,707,0 7,0,2024-09-07 10:09:31:538,174719,0.5,175892,0.6,348876,0.4,466063,2.00 7,1,2024-09-07 10:09:30:857,1238313,1238313,0,0,581353927527,6112366632,1220477,13699,4137,382,391747,0 7,2,2024-09-07 10:09:30:773,891521,891352,169,0,40406701,0,7706 7,3,2024-09-07 10:09:30:856,1,707,1,0,552,8190,707,0 8,0,2024-09-07 10:09:31:333,177663,0.4,177222,0.5,355122,0.4,473195,1.75 8,1,2024-09-07 10:09:31:027,1237360,1237360,0,0,581187814640,6108139422,1218491,14823,4046,366,392853,0 8,2,2024-09-07 10:09:30:790,887402,887400,2,0,45640761,0,5112 8,3,2024-09-07 10:09:30:585,1,707,18,0,772,10602,707,0 9,0,2024-09-07 10:09:31:151,178825,0.4,173569,0.5,363299,0.3,476647,1.75 9,1,2024-09-07 10:09:30:558,1237141,1237141,0,0,581401640080,6127985790,1217410,15380,4351,369,392001,0 9,2,2024-09-07 10:09:31:085,893102,893101,1,0,43607724,0,5281 9,3,2024-09-07 10:09:31:759,1,707,13,0,1273,11143,707,0 10,0,2024-09-07 10:09:31:615,177321,0.3,176928,0.5,354727,0.3,471412,1.75 10,1,2024-09-07 10:09:30:583,1239197,1239197,0,0,581824157617,6103752879,1221051,14412,3734,381,391981,0 10,2,2024-09-07 10:09:30:765,896477,896477,0,0,46879968,0,4713 10,3,2024-09-07 10:09:30:875,1,707,2,0,669,7407,707,0 11,0,2024-09-07 10:09:31:009,174333,0.4,169263,0.6,354270,0.3,466688,1.75 11,1,2024-09-07 10:09:30:576,1241366,1241366,0,0,582319742979,6102148350,1224479,12577,4310,383,391766,0 11,2,2024-09-07 10:09:31:123,892229,892229,0,0,40205380,0,4698 11,3,2024-09-07 10:09:31:298,1,707,1,0,843,8506,707,0 12,0,2024-09-07 10:09:30:942,180051,0.4,179699,0.6,359136,0.4,477322,1.75 12,1,2024-09-07 10:09:30:939,1240572,1240572,0,0,582053069049,6071278327,1230706,8834,1032,368,391960,0 12,2,2024-09-07 10:09:31:558,890805,890805,0,0,40237897,0,4390 12,3,2024-09-07 10:09:31:059,1,707,21,0,386,8639,707,0 13,0,2024-09-07 10:09:31:330,179756,0.4,179926,0.6,359068,0.4,478135,1.75 13,1,2024-09-07 10:09:31:529,1238345,1238345,0,0,581089806944,6114407278,1224166,11096,3083,382,391803,0 13,2,2024-09-07 10:09:30:596,895773,895773,0,0,36478533,0,3287 13,3,2024-09-07 10:09:31:762,1,707,6,0,522,9389,707,0 14,0,2024-09-07 10:09:30:566,178425,0.4,179447,0.6,357010,0.3,475291,1.75 14,1,2024-09-07 10:09:31:597,1246757,1246757,0,0,585368128625,6053711630,1237497,8109,1151,364,391673,0 14,2,2024-09-07 10:09:30:765,894964,894934,30,0,37454865,0,6104 14,3,2024-09-07 10:09:31:116,1,707,0,0,1168,7228,707,0 15,0,2024-09-07 10:09:31:553,175051,0.4,174295,0.6,348664,0.3,465253,1.75 15,1,2024-09-07 10:09:31:608,1242427,1242427,0,0,583517354798,6079532005,1231557,8772,2098,380,391619,0 15,2,2024-09-07 10:09:30:998,897726,897726,0,0,33482140,0,3622 15,3,2024-09-07 10:09:31:408,1,707,2,0,1126,8652,707,0 16,0,2024-09-07 10:09:30:944,177969,0.6,178919,0.8,356906,0.6,475919,2.00 16,1,2024-09-07 10:09:30:582,1242056,1242056,0,0,582746823365,6089495328,1231296,9213,1547,370,392194,0 16,2,2024-09-07 10:09:31:446,892681,892681,0,0,36538377,0,4719 16,3,2024-09-07 10:09:31:142,1,707,5,0,362,8634,707,0 17,0,2024-09-07 10:09:31:767,183248,0.7,178660,0.8,349917,0.7,475844,2.00 17,1,2024-09-07 10:09:30:569,1240369,1240369,0,0,581706193086,6094753883,1229234,9332,1803,368,392075,0 17,2,2024-09-07 10:09:31:675,896576,896575,1,0,37845908,0,5050 17,3,2024-09-07 10:09:30:577,1,707,4,0,518,9967,707,0 18,0,2024-09-07 10:09:30:945,175890,0.6,177083,0.8,352447,0.6,470513,2.25 18,1,2024-09-07 10:09:31:638,1245576,1245576,0,0,583811294697,6054016817,1237388,6756,1432,367,391725,0 18,2,2024-09-07 10:09:31:761,896682,896682,0,0,34139922,0,3541 18,3,2024-09-07 10:09:30:896,1,707,1,0,1059,6313,707,0 19,0,2024-09-07 10:09:31:547,175189,0.6,175661,0.8,350110,0.6,465538,2.00 19,1,2024-09-07 10:09:30:568,1244732,1244732,0,0,584857634534,6057505577,1235874,7697,1161,365,391777,0 19,2,2024-09-07 10:09:31:751,900629,900629,0,0,31961988,0,3988 19,3,2024-09-07 10:09:31:129,1,707,2,0,524,5560,707,0 20,0,2024-09-07 10:09:31:349,176076,0.5,176109,0.7,351823,0.5,470021,2.00 20,1,2024-09-07 10:09:30:570,1239884,1239884,0,0,582222993107,6098809306,1227593,10582,1709,369,391922,0 20,2,2024-09-07 10:09:30:943,892417,892417,0,0,40413874,0,4321 20,3,2024-09-07 10:09:30:589,1,707,1,0,468,11600,707,0 21,0,2024-09-07 10:09:31:131,176976,0.5,177065,0.7,353980,0.5,469874,2.00 21,1,2024-09-07 10:09:31:546,1237640,1237640,0,0,580306292255,6120615600,1218868,14775,3997,368,392016,0 21,2,2024-09-07 10:09:31:084,887007,886530,477,0,51727939,0,17074 21,3,2024-09-07 10:09:31:417,1,707,4,0,713,9773,707,0 22,0,2024-09-07 10:09:31:731,178048,0.5,178690,0.7,356991,0.4,473505,2.00 22,1,2024-09-07 10:09:31:028,1239012,1239012,0,0,581352062370,6111505522,1219894,15512,3606,381,391822,0 22,2,2024-09-07 10:09:30:764,893449,893423,26,0,38436996,0,6328 22,3,2024-09-07 10:09:31:066,1,707,1,0,228,5680,707,0 23,0,2024-09-07 10:09:31:373,176063,0.5,175914,0.6,351853,0.4,468690,2.00 23,1,2024-09-07 10:09:31:020,1240086,1240086,0,0,581340125162,6117949259,1218185,14730,7171,365,391690,0 23,2,2024-09-07 10:09:31:092,898390,898390,0,0,36684461,0,3773 23,3,2024-09-07 10:09:31:754,1,707,1,0,855,9800,707,0 24,0,2024-09-07 10:09:30:888,176002,0.4,175072,0.5,351766,0.3,467703,1.75 24,1,2024-09-07 10:09:30:581,1238891,1238891,0,0,582034174461,6089273304,1227274,9739,1878,367,392269,0 24,2,2024-09-07 10:09:31:069,892048,892045,3,0,43051638,0,6294 24,3,2024-09-07 10:09:31:688,1,707,10,0,468,9199,707,0 25,0,2024-09-07 10:09:31:378,182949,0.4,177718,0.6,349837,0.4,475992,1.75 25,1,2024-09-07 10:09:30:574,1237401,1237401,0,0,580295020567,6128357035,1216274,17128,3999,369,391928,0 25,2,2024-09-07 10:09:31:615,890003,890003,0,0,45083672,0,3978 25,3,2024-09-07 10:09:30:999,1,707,1,0,532,7689,707,0 26,0,2024-09-07 10:09:31:722,177846,0.4,173822,0.6,364657,0.4,475457,2.00 26,1,2024-09-07 10:09:31:546,1241347,1241347,0,0,582358954818,6091883856,1225638,12804,2905,380,391758,0 26,2,2024-09-07 10:09:30:863,893978,893978,0,0,46203728,0,4689 26,3,2024-09-07 10:09:31:711,1,707,0,0,796,8702,707,0 27,0,2024-09-07 10:09:31:722,178496,0.4,179237,0.6,356452,0.4,475526,2.25 27,1,2024-09-07 10:09:31:676,1242688,1242688,0,0,584050182117,6083312753,1231306,9759,1623,381,391626,0 27,2,2024-09-07 10:09:30:866,892157,892092,65,0,40854329,0,5699 27,3,2024-09-07 10:09:31:014,1,707,1,0,564,5932,707,0 28,0,2024-09-07 10:09:31:409,174960,0.4,175012,0.6,350058,0.3,466569,1.75 28,1,2024-09-07 10:09:30:797,1243273,1243273,0,0,584157112633,6093777398,1231798,9047,2428,382,391904,0 28,2,2024-09-07 10:09:31:767,894084,894084,0,0,38049855,0,4060 28,3,2024-09-07 10:09:31:776,1,707,69,0,502,7374,707,0 29,0,2024-09-07 10:09:31:356,184148,0.4,179179,0.6,351919,0.4,479500,1.75 29,1,2024-09-07 10:09:31:572,1246693,1246693,0,0,585492630437,6057477513,1238765,6907,1021,367,391809,0 29,2,2024-09-07 10:09:30:862,892436,892436,0,0,35489089,0,4986 29,3,2024-09-07 10:09:30:968,1,707,2,0,590,7905,707,0 30,0,2024-09-07 10:09:31:467,177052,0.6,172270,0.7,360991,0.5,471753,2.00 30,1,2024-09-07 10:09:30:571,1245313,1245313,0,0,585353063071,6076676008,1235498,8647,1168,380,391672,0 30,2,2024-09-07 10:09:31:277,894819,894819,0,0,34478440,0,4192 30,3,2024-09-07 10:09:30:581,1,707,1,0,519,7248,707,0 31,0,2024-09-07 10:09:31:756,177496,0.5,178382,0.6,355745,0.4,474584,2.00 31,1,2024-09-07 10:09:30:566,1249045,1249045,0,0,586347039336,6021492247,1241112,6664,1269,356,391712,0 31,2,2024-09-07 10:09:31:275,894569,894569,0,0,37805601,0,4470 31,3,2024-09-07 10:09:31:706,1,707,1,0,248,6144,707,0 32,0,2024-09-07 10:09:31:430,175782,0.3,176788,0.5,352455,0.2,469580,1.75 32,1,2024-09-07 10:09:30:804,1244852,1244852,0,0,584342822750,6072179871,1237354,6533,965,381,391646,0 32,2,2024-09-07 10:09:30:935,899379,899379,0,0,33053169,0,3922 32,3,2024-09-07 10:09:31:035,1,707,1,0,304,5859,707,0 33,0,2024-09-07 10:09:31:505,177887,0.3,177458,0.4,354950,0.2,472676,1.50 33,1,2024-09-07 10:09:30:576,1245754,1245754,0,0,585361672778,6059098122,1236125,8272,1357,368,391730,0 33,2,2024-09-07 10:09:30:758,893454,893419,35,0,36609960,0,7012 33,3,2024-09-07 10:09:30:895,1,707,2,0,329,6436,707,0 34,0,2024-09-07 10:09:30:933,177172,0.3,182181,0.5,348389,0.2,470719,1.75 34,1,2024-09-07 10:09:31:044,1248893,1248893,0,0,585640229748,6025083088,1244877,3807,209,366,391637,0 34,2,2024-09-07 10:09:30:765,894051,894051,0,0,34135183,0,4562 34,3,2024-09-07 10:09:31:688,1,707,1,0,541,6060,707,0 35,0,2024-09-07 10:09:30:858,177108,0.3,177646,0.5,356269,0.2,474088,1.75 35,1,2024-09-07 10:09:31:067,1244365,1244365,0,0,583224926511,6049744508,1235423,7389,1553,382,391769,0 35,2,2024-09-07 10:09:31:583,894674,894674,0,0,39871227,0,4055 35,3,2024-09-07 10:09:30:907,1,707,1,0,466,6590,707,0 36,0,2024-09-07 10:09:31:517,176855,0.4,177022,0.6,353543,0.4,470869,2.00 36,1,2024-09-07 10:09:30:586,1242808,1242808,0,0,582464678419,6077515852,1228026,12428,2354,366,391759,0 36,2,2024-09-07 10:09:31:751,897913,897913,0,0,39797584,0,3875 36,3,2024-09-07 10:09:30:863,1,707,1,0,556,8840,707,0 37,0,2024-09-07 10:09:31:369,174825,0.5,174657,0.6,349909,0.5,466878,2.25 37,1,2024-09-07 10:09:30:571,1242800,1242793,0,7,582625772096,6080136643,1228599,11078,3116,365,391770,0 37,2,2024-09-07 10:09:31:143,891016,891001,15,0,39873678,0,5815 37,3,2024-09-07 10:09:31:772,1,707,0,0,888,9577,707,0 38,0,2024-09-07 10:09:31:440,176478,0.5,171120,0.7,357817,0.4,468986,2.00 38,1,2024-09-07 10:09:31:605,1242469,1242469,0,0,582491193141,6085190171,1225626,13537,3306,368,391821,0 38,2,2024-09-07 10:09:30:764,893379,893332,47,0,39796736,0,6710 38,3,2024-09-07 10:09:31:009,1,707,1,0,689,7932,707,0 39,0,2024-09-07 10:09:31:761,182307,0.5,178028,0.7,347686,0.5,474142,2.00 39,1,2024-09-07 10:09:30:716,1240161,1240161,0,0,582134070942,6098615757,1220350,15578,4233,365,391865,0 39,2,2024-09-07 10:09:31:419,894851,894851,0,0,38126133,0,3478 39,3,2024-09-07 10:09:30:713,1,707,1,0,525,7768,707,0 40,0,2024-09-07 10:09:31:500,175864,0.8,176494,0.9,352412,0.9,470034,2.75 40,1,2024-09-07 10:09:30:577,1240921,1240921,0,0,582209106541,6099513272,1222079,15259,3583,366,391668,0 40,2,2024-09-07 10:09:31:308,894313,894306,7,0,44052020,0,5347 40,3,2024-09-07 10:09:31:142,1,707,1,0,1028,9881,707,0 41,0,2024-09-07 10:09:31:036,173634,1.1,177873,1.1,339240,1.4,460570,2.75 41,1,2024-09-07 10:09:30:769,1241533,1241533,0,0,582841742065,6092324124,1225816,13190,2527,369,391878,0 41,2,2024-09-07 10:09:30:769,889587,889586,1,0,43027827,0,5408 41,3,2024-09-07 10:09:31:676,1,707,6,0,749,8487,707,0 42,0,2024-09-07 10:09:31:473,177482,1.0,177298,1.0,354916,1.3,470566,2.75 42,1,2024-09-07 10:09:31:439,1238486,1238486,0,0,580698267001,6092420451,1220879,14523,3084,380,391675,0 42,2,2024-09-07 10:09:31:132,889350,889349,1,0,44469034,0,5513 42,3,2024-09-07 10:09:31:009,1,707,1,0,892,7087,707,0 43,0,2024-09-07 10:09:30:925,176378,0.8,171694,1.0,359894,0.8,470705,2.25 43,1,2024-09-07 10:09:30:591,1242161,1242161,0,0,583896381307,6095157067,1226050,13363,2748,365,391696,0 43,2,2024-09-07 10:09:31:736,895530,895530,0,0,40711347,0,4723 43,3,2024-09-07 10:09:31:749,1,707,15,0,571,9359,707,0 44,0,2024-09-07 10:09:30:865,178652,0.4,178740,0.6,357109,0.3,476370,1.75 44,1,2024-09-07 10:09:30:567,1245214,1245214,0,0,584621458367,6043968426,1233884,9179,2151,356,391809,0 44,2,2024-09-07 10:09:31:270,893379,893379,0,0,34085346,0,4344 44,3,2024-09-07 10:09:31:093,1,707,1,0,1097,9148,707,0 45,0,2024-09-07 10:09:31:758,173250,0.4,169147,0.7,354950,0.4,465448,2.00 45,1,2024-09-07 10:09:31:009,1244540,1244540,0,0,583748392455,6066518604,1234985,8334,1221,382,391917,0 45,2,2024-09-07 10:09:31:267,897364,897364,0,0,34204274,0,3596 45,3,2024-09-07 10:09:30:935,1,707,1,0,531,6527,707,0 46,0,2024-09-07 10:09:30:950,177528,0.5,176773,0.7,354940,0.5,472758,2.00 46,1,2024-09-07 10:09:30:577,1246474,1246474,0,0,585013816322,6051114877,1237193,7798,1483,366,391709,0 46,2,2024-09-07 10:09:30:592,894331,894331,0,0,35051889,0,4443 46,3,2024-09-07 10:09:31:131,1,707,20,0,908,7660,707,0 47,0,2024-09-07 10:09:31:101,178457,0.5,177959,0.6,357293,0.4,474032,2.00 47,1,2024-09-07 10:09:30:567,1247823,1247823,0,0,584841926892,6042744318,1240311,6499,1013,364,391666,0 47,2,2024-09-07 10:09:30:908,896343,896343,0,0,35519912,0,4477 47,3,2024-09-07 10:09:31:116,1,707,2,0,600,7609,707,0 48,0,2024-09-07 10:09:31:515,177964,0.3,178502,0.4,355786,0.2,473342,1.50 48,1,2024-09-07 10:09:31:033,1243969,1243969,0,0,583356455177,6059333526,1236294,6663,1012,381,391710,0 48,2,2024-09-07 10:09:30:705,895358,895358,0,0,32387721,0,3619 48,3,2024-09-07 10:09:30:754,1,707,1,0,339,6014,707,0 49,0,2024-09-07 10:09:31:713,180860,0.3,177092,0.5,344527,0.2,470068,1.75 49,1,2024-09-07 10:09:31:035,1243498,1243498,0,0,584059967176,6070520981,1235627,6173,1698,382,391809,0 49,2,2024-09-07 10:09:31:798,899874,899874,0,0,33856965,0,4426 49,3,2024-09-07 10:09:31:418,1,707,0,0,992,8127,707,0 50,0,2024-09-07 10:09:31:515,176502,0.3,175352,0.5,352940,0.2,469529,1.75 50,1,2024-09-07 10:09:31:009,1247096,1247096,0,0,586061771621,6056473086,1239537,6826,733,368,391691,0 50,2,2024-09-07 10:09:31:067,892183,892183,0,0,31771486,0,4490 50,3,2024-09-07 10:09:31:291,1,707,1,0,617,7232,707,0 51,0,2024-09-07 10:09:31:689,181749,0.3,177796,0.5,346243,0.2,472210,1.75 51,1,2024-09-07 10:09:31:682,1248115,1248115,0,0,586536236232,6045740242,1241411,5359,1345,365,391706,0 51,2,2024-09-07 10:09:31:315,893897,893897,0,0,31426750,0,3337 51,3,2024-09-07 10:09:31:027,1,707,11,0,678,5499,707,0 52,0,2024-09-07 10:09:31:420,178282,0.5,178140,0.6,356055,0.4,473206,2.00 52,1,2024-09-07 10:09:30:575,1242015,1242015,0,0,583352463902,6099917494,1225258,14330,2427,368,391805,0 52,2,2024-09-07 10:09:31:754,890849,890811,38,0,42867369,0,6742 52,3,2024-09-07 10:09:30:676,1,707,2,0,1782,7988,707,0 53,0,2024-09-07 10:09:31:740,175822,0.6,170582,0.8,357053,0.6,467253,2.25 53,1,2024-09-07 10:09:30:773,1241364,1241364,0,0,582652814357,6104660657,1221994,14939,4431,367,391968,0 53,2,2024-09-07 10:09:31:298,897944,897806,138,0,39272090,0,7690 53,3,2024-09-07 10:09:30:697,1,707,1,0,308,6522,707,0 54,0,2024-09-07 10:09:31:614,173246,0.5,173859,0.7,345812,0.4,462368,2.25 54,1,2024-09-07 10:09:30:583,1243628,1243628,0,0,584107598660,6068941245,1231827,10126,1675,366,391810,0 54,2,2024-09-07 10:09:30:865,892812,892780,32,0,42518954,0,6397 54,3,2024-09-07 10:09:30:764,1,707,1,0,676,8986,707,0 55,0,2024-09-07 10:09:31:764,171837,0.7,176994,0.8,359356,0.7,467986,2.50 55,1,2024-09-07 10:09:30:764,1244065,1244065,0,0,583188578362,6060525597,1232031,10545,1489,365,391731,0 55,2,2024-09-07 10:09:30:732,891822,891766,56,0,38840761,0,7239 55,3,2024-09-07 10:09:30:674,1,707,3,0,304,6312,707,0 56,0,2024-09-07 10:09:31:599,181843,1.2,171293,1.1,353189,1.5,472697,2.75 56,1,2024-09-07 10:09:30:581,1236270,1236270,0,0,580264914148,6130808138,1216758,15757,3755,381,391867,0 56,2,2024-09-07 10:09:31:308,892601,892479,122,0,41893824,0,7432 56,3,2024-09-07 10:09:31:061,1,707,2,0,705,8340,707,0 57,0,2024-09-07 10:09:30:933,176798,1.3,176652,1.2,352817,1.7,471810,3.00 57,1,2024-09-07 10:09:30:996,1240070,1240070,0,0,581546359693,6096886911,1224348,13015,2707,366,392097,0 57,2,2024-09-07 10:09:31:323,895293,895293,0,0,44709492,0,4804 57,3,2024-09-07 10:09:31:737,1,707,28,0,455,7508,707,0 58,0,2024-09-07 10:09:30:631,172350,0.9,167406,1.0,350431,1.0,458737,2.50 58,1,2024-09-07 10:09:30:581,1240994,1240991,0,3,582207294341,6101131137,1222618,13971,4402,367,391726,3 58,2,2024-09-07 10:09:31:069,894646,894646,0,0,40572467,0,3483 58,3,2024-09-07 10:09:31:080,1,707,2,0,1043,7606,707,0 59,0,2024-09-07 10:09:31:739,177979,0.9,176902,1.0,354087,1.1,469993,3.00 59,1,2024-09-07 10:09:30:804,1240237,1240237,0,0,581962376162,6109119961,1220736,15663,3838,369,391653,0 59,2,2024-09-07 10:09:30:583,892653,892653,0,0,38710718,0,3727 59,3,2024-09-07 10:09:31:744,1,707,1,0,1015,8327,707,0 60,0,2024-09-07 10:09:31:754,177831,0.5,178075,0.7,355977,0.5,475393,2.00 60,1,2024-09-07 10:09:30:776,1244259,1244259,0,0,583967087173,6079513996,1233319,9434,1506,370,392031,0 60,2,2024-09-07 10:09:31:140,894945,894945,0,0,36840299,0,3811 60,3,2024-09-07 10:09:31:257,1,707,1,0,409,8048,707,0 61,0,2024-09-07 10:09:31:499,177701,0.6,178403,0.8,355389,0.6,474124,2.00 61,1,2024-09-07 10:09:30:775,1241152,1241152,0,0,582559630536,6097279353,1228461,10839,1852,382,392127,0 61,2,2024-09-07 10:09:31:117,895182,895115,67,0,37743327,0,6411 61,3,2024-09-07 10:09:31:705,1,707,9,0,607,8730,707,0 62,0,2024-09-07 10:09:31:708,176362,0.5,180879,0.7,345335,0.5,469443,2.00 62,1,2024-09-07 10:09:31:117,1249055,1249049,0,6,586193643283,6049710901,1241788,6643,618,365,391975,6 62,2,2024-09-07 10:09:31:644,895266,895265,1,0,37522467,0,5555 62,3,2024-09-07 10:09:31:149,1,707,1,0,482,5494,707,0 63,0,2024-09-07 10:09:31:454,177800,0.4,177490,0.6,355638,0.3,472980,1.75 63,1,2024-09-07 10:09:30:804,1245422,1245416,0,6,583715191244,6061670050,1237494,6970,952,381,391800,6 63,2,2024-09-07 10:09:30:764,892074,892074,0,0,36664492,0,4369 63,3,2024-09-07 10:09:31:732,1,707,1,0,667,8089,707,0 64,0,2024-09-07 10:09:31:562,176260,0.5,176176,0.7,351949,0.5,469163,2.00 64,1,2024-09-07 10:09:30:755,1243593,1243593,0,0,583688701577,6073788696,1233375,8188,2030,370,391794,0 64,2,2024-09-07 10:09:31:144,896808,896789,19,0,35171090,0,6121 64,3,2024-09-07 10:09:31:139,1,707,1,0,651,7945,707,0 65,0,2024-09-07 10:09:31:723,176387,0.6,176659,0.7,352347,0.6,470360,2.00 65,1,2024-09-07 10:09:30:859,1242145,1242145,0,0,582915356393,6088107758,1233896,7351,898,381,391901,0 65,2,2024-09-07 10:09:31:719,893320,893320,0,0,42229353,0,3367 65,3,2024-09-07 10:09:31:689,1,707,1,0,782,8226,707,0 66,0,2024-09-07 10:09:31:810,176004,0.5,175429,0.7,350825,0.4,467465,2.00 66,1,2024-09-07 10:09:31:294,1243945,1243945,0,0,583817201827,6073288704,1236434,6637,874,380,391743,0 66,2,2024-09-07 10:09:31:131,900547,900544,3,0,36994934,0,5455 66,3,2024-09-07 10:09:31:081,1,707,5,0,291,6205,707,0 67,0,2024-09-07 10:09:31:428,175453,0.5,174972,0.7,350729,0.4,467145,2.00 67,1,2024-09-07 10:09:30:765,1243560,1243559,0,1,583571671817,6072828018,1235122,7297,1140,380,391787,1 67,2,2024-09-07 10:09:30:586,895109,895094,15,0,37404052,0,6205 67,3,2024-09-07 10:09:31:750,1,707,1,0,595,7368,707,0 68,0,2024-09-07 10:09:30:573,177464,0.6,177287,0.7,353093,0.6,471920,2.25 68,1,2024-09-07 10:09:30:571,1239011,1239011,0,0,580953521824,6108775252,1222274,12462,4275,381,391953,0 68,2,2024-09-07 10:09:31:044,888332,888232,100,0,45500398,0,8578 68,3,2024-09-07 10:09:30:732,1,707,1,0,417,9882,707,0 69,0,2024-09-07 10:09:31:741,177937,0.7,178517,0.8,356104,0.7,474050,2.25 69,1,2024-09-07 10:09:31:016,1238450,1238450,0,0,581146016904,6117996768,1223519,11946,2985,383,391994,0 69,2,2024-09-07 10:09:31:733,890961,890932,29,0,48654557,0,6912 69,3,2024-09-07 10:09:30:764,1,707,0,0,698,10426,707,0 70,0,2024-09-07 10:09:31:533,175584,0.7,176379,0.9,353569,0.6,468503,2.50 70,1,2024-09-07 10:09:30:803,1245191,1245191,0,0,584789322445,6066657481,1235722,8424,1045,366,391725,0 70,2,2024-09-07 10:09:31:324,897285,897285,0,0,39918176,0,4323 70,3,2024-09-07 10:09:30:745,1,707,2,0,854,7736,707,0 71,0,2024-09-07 10:09:31:355,173616,0.8,173229,0.9,347324,0.9,463219,2.50 71,1,2024-09-07 10:09:31:599,1242662,1242662,0,0,583590682945,6084851724,1228224,12652,1786,367,391738,0 71,2,2024-09-07 10:09:31:067,891512,891512,0,0,40356597,0,4352 71,3,2024-09-07 10:09:31:750,1,707,1,0,644,7663,707,0 72,0,2024-09-07 10:09:31:041,184470,0.5,180157,0.7,352040,0.5,477999,2.00 72,1,2024-09-07 10:09:31:021,1241023,1241023,0,0,581329191682,6092926893,1224076,14213,2734,369,391819,0 72,2,2024-09-07 10:09:31:771,890275,890275,0,0,42452127,0,3983 72,3,2024-09-07 10:09:31:754,1,707,3,0,564,9605,707,0 73,0,2024-09-07 10:09:31:105,174235,0.5,178786,0.6,365396,0.4,475781,2.00 73,1,2024-09-07 10:09:30:766,1242719,1242719,0,0,583356259412,6061912381,1233182,8470,1067,367,391858,0 73,2,2024-09-07 10:09:31:744,893315,893314,1,0,44408530,0,5027 73,3,2024-09-07 10:09:30:971,1,707,2,0,1091,9435,707,0 74,0,2024-09-07 10:09:31:327,179579,0.5,183898,0.7,350723,0.4,476787,2.00 74,1,2024-09-07 10:09:30:638,1241736,1241736,0,0,582601543606,6076049795,1229373,10215,2148,381,391762,0 74,2,2024-09-07 10:09:31:002,893971,893971,0,0,38548068,0,4253 74,3,2024-09-07 10:09:31:444,1,707,1,0,522,7966,707,0 75,0,2024-09-07 10:09:31:770,175010,0.5,174040,0.7,349487,0.4,466647,2.00 75,1,2024-09-07 10:09:31:597,1243089,1243089,0,0,583532453257,6077496147,1233202,8893,994,380,391739,0 75,2,2024-09-07 10:09:31:350,894691,894691,0,0,45685805,0,4766 75,3,2024-09-07 10:09:31:067,1,707,33,0,918,9649,707,0 76,0,2024-09-07 10:09:30:610,177845,0.6,177373,0.7,354147,0.5,474377,2.25 76,1,2024-09-07 10:09:30:812,1241900,1241900,0,0,582112495652,6071907459,1232987,7603,1310,382,391790,0 76,2,2024-09-07 10:09:31:064,895542,895539,3,0,38542854,0,5265 76,3,2024-09-07 10:09:31:145,1,707,2,0,249,6556,707,0 77,0,2024-09-07 10:09:31:710,177266,0.6,177586,0.7,355549,0.6,472936,2.00 77,1,2024-09-07 10:09:30:826,1241353,1241353,0,0,583011044673,6086445380,1231073,9191,1089,381,391869,0 77,2,2024-09-07 10:09:31:281,893045,893045,0,0,37185867,0,3890 77,3,2024-09-07 10:09:31:095,1,707,2,0,401,7898,707,0 78,0,2024-09-07 10:09:31:753,178299,0.4,177399,0.6,356372,0.4,471428,2.00 78,1,2024-09-07 10:09:30:618,1241455,1241455,0,0,582501062313,6077269030,1225916,12207,3332,367,391670,0 78,2,2024-09-07 10:09:31:410,895585,895572,13,0,34749737,0,8313 78,3,2024-09-07 10:09:31:137,1,707,3,0,311,5985,707,0 79,0,2024-09-07 10:09:31:350,169907,0.4,174073,0.6,356634,0.3,464464,2.00 79,1,2024-09-07 10:09:30:576,1246157,1246157,0,0,585053608449,6058100066,1236340,8015,1802,367,391682,0 79,2,2024-09-07 10:09:31:067,899172,899172,0,0,33817877,0,4195 79,3,2024-09-07 10:09:30:749,1,707,1,0,418,8166,707,0 80,0,2024-09-07 10:09:31:077,176410,0.5,181098,0.7,345978,0.5,468688,2.00 80,1,2024-09-07 10:09:31:619,1242456,1242456,0,0,583402665760,6072273186,1234463,7410,583,368,392269,0 80,2,2024-09-07 10:09:31:091,895434,895434,0,0,34240646,0,4433 80,3,2024-09-07 10:09:30:583,1,707,92,0,681,8529,707,0 81,0,2024-09-07 10:09:31:554,177086,0.6,181252,0.7,345653,0.6,468868,2.00 81,1,2024-09-07 10:09:31:655,1241636,1241636,0,0,581634898307,6077711933,1231760,8879,997,382,392001,0 81,2,2024-09-07 10:09:31:136,893002,892939,63,0,38122439,0,5932 81,3,2024-09-07 10:09:31:116,1,707,5,0,719,7676,707,0 82,0,2024-09-07 10:09:31:539,178168,0.5,178235,0.7,357123,0.5,473686,2.00 82,1,2024-09-07 10:09:30:589,1243292,1243288,0,4,582506496113,6059290259,1236332,5832,1124,381,391768,4 82,2,2024-09-07 10:09:31:691,897526,897526,0,0,31696466,0,4484 82,3,2024-09-07 10:09:31:754,1,707,6,0,363,6312,707,0 83,0,2024-09-07 10:09:31:520,176505,0.5,176362,0.7,352359,0.5,467871,2.00 83,1,2024-09-07 10:09:30:574,1242255,1242255,0,0,583202510780,6077480013,1233260,7966,1029,382,391709,0 83,2,2024-09-07 10:09:30:765,898415,898390,25,0,34615375,0,5612 83,3,2024-09-07 10:09:30:749,1,707,1,0,1260,8190,707,0 84,0,2024-09-07 10:09:31:918,173496,0.7,173582,0.8,347240,0.6,464054,2.25 84,1,2024-09-07 10:09:31:039,1240786,1240786,0,0,582359515132,6088454833,1227077,11624,2085,367,391967,0 84,2,2024-09-07 10:09:30:580,890234,889824,410,0,49864962,0,17037 84,3,2024-09-07 10:09:31:141,1,707,2,0,908,9713,707,0 85,0,2024-09-07 10:09:31:007,171120,0.7,171113,0.8,363188,0.7,468530,2.25 85,1,2024-09-07 10:09:30:563,1236786,1236786,0,0,580912363547,6125451062,1218972,14881,2933,381,392092,0 85,2,2024-09-07 10:09:30:877,891858,891858,0,0,42308896,0,4255 85,3,2024-09-07 10:09:30:694,1,707,1,0,789,8512,707,0 86,0,2024-09-07 10:09:30:899,177821,0.7,182884,0.8,350191,0.8,473516,2.25 86,1,2024-09-07 10:09:30:824,1239406,1239406,0,0,582346113653,6112236593,1221707,14383,3316,366,392169,0 86,2,2024-09-07 10:09:30:856,893674,893673,1,0,44349928,0,5004 86,3,2024-09-07 10:09:30:593,1,707,3,0,441,9661,707,0 87,0,2024-09-07 10:09:31:303,178992,0.7,177688,0.8,355941,0.8,475481,2.25 87,1,2024-09-07 10:09:30:552,1239756,1239756,0,0,581326273800,6085905732,1224805,12785,2166,366,392076,0 87,2,2024-09-07 10:09:31:083,894733,894727,6,0,41771473,0,6323 87,3,2024-09-07 10:09:31:797,1,707,2,0,473,9879,707,0 88,0,2024-09-07 10:09:31:477,174669,0.4,175042,0.6,350217,0.4,466721,1.75 88,1,2024-09-07 10:09:30:570,1238294,1238294,0,0,581274804486,6087911689,1221431,13719,3144,365,392084,0 88,2,2024-09-07 10:09:30:694,893724,893724,0,0,43912105,0,4465 88,3,2024-09-07 10:09:31:268,1,707,0,0,1080,11179,707,0 89,0,2024-09-07 10:09:31:770,184234,0.5,178946,0.6,352385,0.4,478856,1.75 89,1,2024-09-07 10:09:30:557,1238592,1238592,0,0,581721048453,6113473992,1223896,12413,2283,382,392084,0 89,2,2024-09-07 10:09:31:132,892445,892445,0,0,41285147,0,3173 89,3,2024-09-07 10:09:31:798,1,707,9,0,729,12397,707,0 90,0,2024-09-07 10:09:31:643,172840,0.5,177385,0.7,362228,0.5,473041,2.00 90,1,2024-09-07 10:09:30:590,1240334,1240334,0,0,582273745494,6098779688,1227862,11198,1274,380,391825,0 90,2,2024-09-07 10:09:31:411,889732,889727,5,0,44590719,0,6370 90,3,2024-09-07 10:09:30:941,1,707,1,0,364,9211,707,0 91,0,2024-09-07 10:09:30:948,178424,0.5,173066,0.6,362178,0.4,474995,1.75 91,1,2024-09-07 10:09:30:559,1237251,1237251,0,0,581678431797,6131142995,1218533,14570,4148,381,392047,0 91,2,2024-09-07 10:09:31:336,893313,893313,0,0,39910450,0,4713 91,3,2024-09-07 10:09:30:598,1,707,1,0,231,6548,707,0 92,0,2024-09-07 10:09:31:519,177336,0.4,181434,0.6,345832,0.4,469605,1.75 92,1,2024-09-07 10:09:30:596,1241010,1241010,0,0,582328080907,6081594406,1231502,8195,1313,381,392136,0 92,2,2024-09-07 10:09:31:350,897427,897427,0,0,36334558,0,3906 92,3,2024-09-07 10:09:31:009,1,707,0,0,322,6281,707,0 93,0,2024-09-07 10:09:30:950,178272,0.4,182654,0.6,348753,0.3,472624,1.75 93,1,2024-09-07 10:09:30:806,1241725,1241725,0,0,582599444920,6085804047,1227314,11974,2437,365,392048,0 93,2,2024-09-07 10:09:30:928,892176,892176,0,0,41618637,0,4913 93,3,2024-09-07 10:09:31:418,1,707,3,0,788,8656,707,0 94,0,2024-09-07 10:09:31:654,176199,0.4,177415,0.6,355188,0.4,471435,1.75 94,1,2024-09-07 10:09:30:567,1243487,1243487,0,0,583769343789,6086662047,1235197,7637,653,381,391850,0 94,2,2024-09-07 10:09:30:765,893393,893365,28,0,36351347,0,6179 94,3,2024-09-07 10:09:31:688,1,707,1,0,576,8466,707,0 95,0,2024-09-07 10:09:31:348,177719,0.4,177389,0.5,355130,0.3,473321,1.75 95,1,2024-09-07 10:09:30:861,1243659,1243659,0,0,583763564371,6064645812,1234280,8564,815,365,391852,0 95,2,2024-09-07 10:09:31:015,892693,892693,0,0,36501528,0,3308 95,3,2024-09-07 10:09:31:709,1,707,2,0,718,10545,707,0 96,0,2024-09-07 10:09:31:055,176341,0.3,176671,0.5,353525,0.3,470000,1.75 96,1,2024-09-07 10:09:31:585,1241034,1241034,0,0,582933053588,6080289221,1232105,7551,1378,384,392292,0 96,2,2024-09-07 10:09:31:278,899861,899861,0,0,36864558,0,4225 96,3,2024-09-07 10:09:31:141,1,707,5,0,411,7800,707,0 97,0,2024-09-07 10:09:31:313,175290,0.3,175195,0.5,351123,0.3,467243,1.50 97,1,2024-09-07 10:09:30:765,1244410,1244410,0,0,584495062084,6063098961,1236471,6696,1243,367,392140,0 97,2,2024-09-07 10:09:30:607,893767,893767,0,0,36846138,0,4600 97,3,2024-09-07 10:09:30:569,1,707,4,0,433,8527,707,0 98,0,2024-09-07 10:09:31:697,176955,0.3,177123,0.5,355635,0.3,472178,1.50 98,1,2024-09-07 10:09:30:577,1242694,1242694,0,0,583019111376,6070704597,1235236,6557,901,381,391997,0 98,2,2024-09-07 10:09:30:769,892336,892336,0,0,35155617,0,4336 98,3,2024-09-07 10:09:30:699,1,707,2,0,840,9714,707,0 99,0,2024-09-07 10:09:31:462,178732,0.3,179587,0.5,357962,0.3,476755,1.75 99,1,2024-09-07 10:09:31:728,1243990,1243990,0,0,582702153571,6063053940,1236191,6689,1110,380,392069,0 99,2,2024-09-07 10:09:31:417,894006,894006,0,0,44457653,0,4276 99,3,2024-09-07 10:09:30:584,1,707,1,0,1124,8938,707,0 100,0,2024-09-07 10:09:31:504,176974,0.7,177568,0.9,354966,0.8,472553,2.50 100,1,2024-09-07 10:09:30:557,1236320,1236320,0,0,579384625819,6125564640,1217172,15351,3797,378,391989,0 100,2,2024-09-07 10:09:31:821,892950,892561,389,0,46242944,0,16909 100,3,2024-09-07 10:09:31:731,1,707,2,0,627,10796,707,0 101,0,2024-09-07 10:09:31:720,178460,0.8,174206,1.0,340819,0.8,465296,2.25 101,1,2024-09-07 10:09:30:560,1238649,1238649,0,0,581645676946,6109869350,1222048,13649,2952,368,392018,0 101,2,2024-09-07 10:09:31:756,887822,887783,39,0,47822997,0,5913 101,3,2024-09-07 10:09:30:943,1,707,1,0,1250,10750,707,0 102,0,2024-09-07 10:09:30:955,173770,0.6,178896,0.8,363757,0.6,474723,2.25 102,1,2024-09-07 10:09:31:141,1238879,1238879,0,0,581090783348,6099333869,1223040,13581,2258,369,391984,0 102,2,2024-09-07 10:09:31:737,893837,893783,54,0,39637783,0,6768 102,3,2024-09-07 10:09:31:626,1,707,4,0,466,8149,707,0 103,0,2024-09-07 10:09:31:602,184431,0.7,184399,0.8,347601,0.7,478135,2.25 103,1,2024-09-07 10:09:31:627,1237681,1237681,0,0,580948278766,6129424848,1218761,15292,3628,381,392077,0 103,2,2024-09-07 10:09:30:582,892212,892212,0,0,43170650,0,3766 103,3,2024-09-07 10:09:30:755,1,707,1,0,916,8260,707,0 104,0,2024-09-07 10:09:31:021,177210,0.7,177523,0.9,354256,0.7,473981,2.25 104,1,2024-09-07 10:09:31:613,1239663,1239663,0,0,581553860234,6109743477,1221211,14987,3465,365,392168,0 104,2,2024-09-07 10:09:31:666,892242,892242,0,0,42313559,0,4161 104,3,2024-09-07 10:09:31:427,1,707,11,0,1245,11935,707,0 105,0,2024-09-07 10:09:31:030,173084,0.8,168671,1.0,353704,0.8,464562,2.50 105,1,2024-09-07 10:09:30:556,1241433,1241433,0,0,582430989142,6097174676,1226641,12675,2117,364,392009,0 105,2,2024-09-07 10:09:31:322,894065,894065,0,0,43631403,0,4360 105,3,2024-09-07 10:09:31:310,1,707,0,0,573,10397,707,0 106,0,2024-09-07 10:09:30:942,172238,0.9,176700,1.0,361072,1.1,472601,2.50 106,1,2024-09-07 10:09:31:750,1240064,1240064,0,0,581484440169,6097551797,1223622,14289,2153,368,391914,0 106,2,2024-09-07 10:09:30:755,892797,892797,0,0,40142108,0,3331 106,3,2024-09-07 10:09:30:685,1,707,10,0,1224,9738,707,0 107,0,2024-09-07 10:09:31:121,177443,1.3,177366,1.0,354910,1.9,473833,2.25 107,1,2024-09-07 10:09:30:588,1237018,1237018,0,0,579952059053,6126276418,1217902,16860,2256,381,392234,0 107,2,2024-09-07 10:09:31:309,889377,889376,1,0,43946787,0,5024 107,3,2024-09-07 10:09:31:755,1,707,13,0,733,11066,707,0 108,0,2024-09-07 10:09:31:768,177382,0.4,178250,0.6,355405,0.4,473298,1.75 108,1,2024-09-07 10:09:31:297,1240895,1240895,0,0,582557086659,6081298944,1229406,10225,1264,367,391894,0 108,2,2024-09-07 10:09:31:755,894394,894394,0,0,39139642,0,4432 108,3,2024-09-07 10:09:31:330,1,707,11,0,767,12635,707,0 109,0,2024-09-07 10:09:31:746,176657,0.4,175349,0.6,351492,0.3,468972,1.75 109,1,2024-09-07 10:09:30:585,1239037,1239037,0,0,582232854664,6106403443,1228368,9161,1508,382,392132,0 109,2,2024-09-07 10:09:30:935,896267,896267,0,0,39222350,0,3617 109,3,2024-09-07 10:09:31:141,1,707,10,0,630,8029,707,0 110,0,2024-09-07 10:09:31:801,175952,0.4,171079,0.6,358358,0.3,470543,1.75 110,1,2024-09-07 10:09:31:645,1244039,1244039,0,0,584538869279,6067975113,1234566,7388,2085,368,392045,0 110,2,2024-09-07 10:09:31:326,892608,892608,0,0,38279008,0,4067 110,3,2024-09-07 10:09:30:697,1,707,12,0,722,9465,707,0 111,0,2024-09-07 10:09:31:412,177808,0.4,176967,0.5,353465,0.3,471732,1.75 111,1,2024-09-07 10:09:31:000,1245116,1245116,0,0,584729790314,6070112812,1237857,6752,507,380,391690,0 111,2,2024-09-07 10:09:31:117,893186,893186,0,0,37705264,0,4823 111,3,2024-09-07 10:09:30:912,1,707,122,0,379,7977,707,0 112,0,2024-09-07 10:09:30:925,178898,0.3,178495,0.4,357904,0.2,475070,1.50 112,1,2024-09-07 10:09:30:825,1243837,1243837,0,0,583793039915,6057234610,1236204,6406,1227,380,391624,0 112,2,2024-09-07 10:09:31:137,894702,894701,1,0,35534565,0,5036 112,3,2024-09-07 10:09:30:592,1,707,45,0,282,6934,707,0 113,0,2024-09-07 10:09:30:877,176345,0.3,176747,0.5,353769,0.2,470215,1.75 113,1,2024-09-07 10:09:31:688,1247826,1247826,0,0,585340448967,6036445060,1240905,5970,951,365,391664,0 113,2,2024-09-07 10:09:31:307,900737,900737,0,0,32798191,0,3813 113,3,2024-09-07 10:09:30:684,1,707,1,0,510,7062,707,0 114,0,2024-09-07 10:09:30:874,175054,0.3,176252,0.5,351412,0.2,469722,1.75 114,1,2024-09-07 10:09:30:716,1243334,1243334,0,0,584057249242,6061912229,1234499,7031,1804,381,391565,0 114,2,2024-09-07 10:09:30:873,894469,894468,1,0,35168632,0,5069 114,3,2024-09-07 10:09:31:278,1,707,1,0,415,5705,707,0 115,0,2024-09-07 10:09:30:559,178873,0.3,179328,0.4,357345,0.2,475113,1.50 115,1,2024-09-07 10:09:30:570,1244621,1244621,0,0,584288320786,6066886264,1235063,7765,1793,382,391757,0 115,2,2024-09-07 10:09:31:129,894684,894684,0,0,35068604,0,4382 115,3,2024-09-07 10:09:31:009,1,707,7,0,173,4441,707,0 116,0,2024-09-07 10:09:31:709,177748,0.7,177798,0.9,356227,0.8,476082,2.25 116,1,2024-09-07 10:09:30:820,1237573,1237573,0,0,581673105406,6124176961,1223462,10893,3218,380,392089,0 116,2,2024-09-07 10:09:31:761,894420,894420,0,0,42667020,0,4475 116,3,2024-09-07 10:09:30:912,1,707,0,0,448,8939,707,0 117,0,2024-09-07 10:09:30:959,178693,0.6,178357,0.8,357110,0.6,476891,2.00 117,1,2024-09-07 10:09:31:592,1240091,1240091,0,0,581048923643,6084400698,1226210,12112,1769,369,392429,0 117,2,2024-09-07 10:09:31:118,896692,896692,0,0,39213932,0,4303 117,3,2024-09-07 10:09:31:059,1,707,1,0,490,8558,707,0 118,0,2024-09-07 10:09:31:889,169474,0.5,174065,0.7,355128,0.4,464825,2.00 118,1,2024-09-07 10:09:30:588,1238564,1238564,0,0,581171992372,6104529316,1221044,13672,3848,366,392054,0 118,2,2024-09-07 10:09:31:599,894108,894108,0,0,41771508,0,3161 118,3,2024-09-07 10:09:31:777,1,707,0,0,343,8375,707,0 119,0,2024-09-07 10:09:31:350,179077,0.7,179290,0.8,358146,0.7,477109,2.25 119,1,2024-09-07 10:09:30:550,1239802,1239802,0,0,582073047032,6100196299,1223769,13365,2668,367,391857,0 119,2,2024-09-07 10:09:31:261,893161,893161,0,0,38495342,0,4309 119,3,2024-09-07 10:09:31:329,1,707,1,0,1358,12264,707,0 120,0,2024-09-07 10:09:31:562,176976,0.7,177140,0.9,355478,0.7,474244,2.50 120,1,2024-09-07 10:09:30:858,1240484,1240484,0,0,581359686164,6093451230,1227804,11521,1159,367,392144,0 120,2,2024-09-07 10:09:30:775,892574,892571,3,0,46452840,0,5363 120,3,2024-09-07 10:09:31:290,1,707,1,0,478,8991,707,0 121,0,2024-09-07 10:09:31:709,177871,1.1,177314,1.0,354845,1.4,472780,2.25 121,1,2024-09-07 10:09:31:654,1239945,1239945,0,0,581394386747,6084239261,1226406,11542,1997,366,391924,0 121,2,2024-09-07 10:09:31:130,893660,893660,0,0,42204241,0,4157 121,3,2024-09-07 10:09:30:732,1,707,0,0,387,9329,707,0 122,0,2024-09-07 10:09:31:771,175023,0.7,170427,0.8,356590,0.8,469043,2.00 122,1,2024-09-07 10:09:30:862,1239274,1239274,0,0,581628893133,6098177221,1222981,13714,2579,365,392130,0 122,2,2024-09-07 10:09:31:322,896715,896640,75,0,46358467,0,5989 122,3,2024-09-07 10:09:30:595,1,707,2,0,512,10595,707,0 123,0,2024-09-07 10:09:30:955,176175,0.8,171788,0.8,360247,0.9,470808,2.25 123,1,2024-09-07 10:09:30:566,1240350,1240350,0,0,581592707665,6111939115,1221249,16072,3029,369,392039,0 123,2,2024-09-07 10:09:31:019,891862,891861,1,0,39694030,0,5215 123,3,2024-09-07 10:09:31:141,1,707,2,0,478,7925,707,0 124,0,2024-09-07 10:09:30:925,181860,0.4,181906,0.5,342839,0.3,472250,1.75 124,1,2024-09-07 10:09:31:024,1243882,1243882,0,0,582844405053,6060131717,1234281,8338,1263,365,392178,0 124,2,2024-09-07 10:09:31:009,894996,894943,53,0,36958747,0,6487 124,3,2024-09-07 10:09:30:758,1,707,7,0,490,7263,707,0 125,0,2024-09-07 10:09:31:446,177484,0.4,177442,0.6,355683,0.3,473693,1.75 125,1,2024-09-07 10:09:30:859,1240620,1240620,0,0,582726405301,6087575021,1229565,9470,1585,382,392045,0 125,2,2024-09-07 10:09:31:116,897193,897193,0,0,36495578,0,4534 125,3,2024-09-07 10:09:31:130,1,707,0,0,709,7833,707,0 126,0,2024-09-07 10:09:31:418,176688,0.4,181689,0.5,347340,0.3,470807,1.75 126,1,2024-09-07 10:09:30:557,1244406,1244406,0,0,584525598168,6055503018,1237124,6580,702,365,391987,0 126,2,2024-09-07 10:09:30:615,899607,899607,0,0,38298675,0,4539 126,3,2024-09-07 10:09:30:907,1,707,3,0,268,7974,707,0 127,0,2024-09-07 10:09:31:614,175752,0.3,175977,0.5,350936,0.3,467577,1.75 127,1,2024-09-07 10:09:30:576,1242959,1242959,0,0,582813009475,6063095295,1230495,10687,1777,364,392187,0 127,2,2024-09-07 10:09:30:641,893402,893398,4,0,36050470,0,5305 127,3,2024-09-07 10:09:31:268,1,707,1,0,968,7072,707,0 128,0,2024-09-07 10:09:31:558,178177,0.3,177952,0.4,356273,0.2,473055,1.50 128,1,2024-09-07 10:09:31:607,1242852,1242852,0,0,584264804372,6072018823,1233852,8027,973,367,392423,0 128,2,2024-09-07 10:09:31:385,895021,895021,0,0,33559342,0,3171 128,3,2024-09-07 10:09:30:767,1,707,1,0,1082,10426,707,0 129,0,2024-09-07 10:09:30:997,180106,0.3,179277,0.5,359612,0.3,477582,1.50 129,1,2024-09-07 10:09:30:567,1239113,1239113,0,0,581327219609,6095963713,1226136,10647,2330,379,391962,0 129,2,2024-09-07 10:09:30:686,896446,896442,4,0,36382116,0,5335 129,3,2024-09-07 10:09:30:696,1,707,4,0,506,10066,707,0 130,0,2024-09-07 10:09:31:719,178165,0.4,177992,0.5,356524,0.4,474098,1.75 130,1,2024-09-07 10:09:30:583,1244146,1244146,0,0,584101833047,6063086635,1238287,5451,408,381,391836,0 130,2,2024-09-07 10:09:31:128,897632,897632,0,0,36133165,0,4067 130,3,2024-09-07 10:09:31:291,1,707,1,0,960,8945,707,0 131,0,2024-09-07 10:09:31:930,174669,0.3,175128,0.5,351195,0.3,466805,1.50 131,1,2024-09-07 10:09:31:821,1243420,1243420,0,0,583477260435,6077643954,1235260,6947,1213,381,391865,0 131,2,2024-09-07 10:09:30:574,893731,893731,0,0,34310387,0,3979 131,3,2024-09-07 10:09:31:688,1,707,1,0,392,8746,707,0 132,0,2024-09-07 10:09:31:496,179185,0.5,180165,0.6,358607,0.4,476888,2.00 132,1,2024-09-07 10:09:30:583,1238942,1238942,0,0,580721658784,6106741481,1222427,13732,2783,381,392532,0 132,2,2024-09-07 10:09:30:698,892570,892553,17,0,43022351,0,6451 132,3,2024-09-07 10:09:31:688,1,707,1,0,1298,11926,707,0 133,0,2024-09-07 10:09:31:535,174479,0.5,178514,0.6,366217,0.4,476397,2.00 133,1,2024-09-07 10:09:30:583,1237915,1237915,0,0,580974481317,6114579641,1222454,13525,1936,383,391914,0 133,2,2024-09-07 10:09:31:086,895089,895039,50,0,44091842,0,6861 133,3,2024-09-07 10:09:31:299,1,707,2,0,528,8452,707,0 134,0,2024-09-07 10:09:30:959,179158,0.5,179042,0.7,357857,0.5,477191,2.00 134,1,2024-09-07 10:09:30:585,1239996,1239996,0,0,581039894535,6092896053,1223907,12797,3292,366,391826,0 134,2,2024-09-07 10:09:31:756,893811,893672,139,0,41864209,0,7591 134,3,2024-09-07 10:09:30:749,1,707,1,0,739,8547,707,0 135,0,2024-09-07 10:09:31:098,169521,0.7,169578,0.8,359987,0.7,463938,2.25 135,1,2024-09-07 10:09:31:591,1239542,1239542,0,0,582192740107,6115353910,1225114,12575,1853,380,392038,0 135,2,2024-09-07 10:09:30:688,896804,896804,0,0,42360251,0,4503 135,3,2024-09-07 10:09:31:009,1,707,1,0,900,6693,707,0 136,0,2024-09-07 10:09:31:635,178374,0.6,178907,0.8,356134,0.6,475766,2.00 136,1,2024-09-07 10:09:31:444,1240893,1240893,0,0,582374470273,6098423710,1228051,11414,1428,381,392135,0 136,2,2024-09-07 10:09:31:132,894784,894769,15,0,41323011,0,6007 136,3,2024-09-07 10:09:31:107,1,707,1,0,637,8230,707,0 137,0,2024-09-07 10:09:30:927,183197,0.6,178666,0.7,349798,0.7,475520,2.00 137,1,2024-09-07 10:09:30:576,1239626,1239626,0,0,582147287449,6100574439,1221140,14841,3645,366,391898,0 137,2,2024-09-07 10:09:31:714,892054,892054,0,0,42539488,0,3185 137,3,2024-09-07 10:09:30:768,1,707,17,0,484,9872,707,0 138,0,2024-09-07 10:09:31:820,176756,0.9,177052,0.9,354593,1.1,471518,2.25 138,1,2024-09-07 10:09:31:688,1239242,1239242,0,0,582104156485,6105288173,1222099,14451,2692,368,391954,0 138,2,2024-09-07 10:09:30:585,892416,892416,0,0,40960545,0,4988 138,3,2024-09-07 10:09:30:611,1,707,2,0,1200,10386,707,0 139,0,2024-09-07 10:09:31:365,174608,0.9,175301,0.9,350182,1.1,467394,2.25 139,1,2024-09-07 10:09:30:576,1235844,1235844,0,0,579100212614,6131635118,1215802,16128,3914,380,392109,0 139,2,2024-09-07 10:09:30:695,891847,891817,30,0,46697452,0,5997 139,3,2024-09-07 10:09:31:662,1,707,1,0,432,8274,707,0 140,0,2024-09-07 10:09:31:609,176708,0.3,176044,0.5,352881,0.2,470670,1.75 140,1,2024-09-07 10:09:31:542,1247296,1247296,0,0,585459376387,6040573589,1240487,6004,805,364,391628,0 140,2,2024-09-07 10:09:30:690,893062,893061,1,0,34856508,0,5036 140,3,2024-09-07 10:09:30:767,1,707,48,0,575,7428,707,0 141,0,2024-09-07 10:09:31:711,177602,0.3,182399,0.5,348443,0.3,471333,1.75 141,1,2024-09-07 10:09:30:861,1244167,1244167,0,0,584268167528,6071908678,1233817,8956,1394,379,391614,0 141,2,2024-09-07 10:09:31:691,893829,893818,11,0,37451686,0,5369 141,3,2024-09-07 10:09:31:043,1,707,3,0,391,8191,707,0 142,0,2024-09-07 10:09:31:311,179204,0.3,178382,0.5,357158,0.3,475947,1.50 142,1,2024-09-07 10:09:30:594,1242081,1242081,0,0,583053062966,6082623977,1233015,8172,894,382,392102,0 142,2,2024-09-07 10:09:31:299,894176,894144,32,0,37098136,0,6028 142,3,2024-09-07 10:09:31:746,1,707,5,0,484,7105,707,0 143,0,2024-09-07 10:09:31:381,176526,0.4,176285,0.5,353988,0.4,470142,1.75 143,1,2024-09-07 10:09:30:557,1245233,1245233,0,0,583665007084,6056857758,1236817,7443,973,367,391900,0 143,2,2024-09-07 10:09:30:769,899455,899455,0,0,36722054,0,3123 143,3,2024-09-07 10:09:31:141,1,707,145,0,462,8287,707,0 144,0,2024-09-07 10:09:31:499,169711,0.5,174529,0.7,355538,0.5,465789,2.00 144,1,2024-09-07 10:09:30:581,1239291,1239291,0,0,582182658157,6104843715,1227534,9712,2045,381,391733,0 144,2,2024-09-07 10:09:31:755,893709,893709,0,0,36106813,0,4443 144,3,2024-09-07 10:09:31:744,1,707,1,0,306,7536,707,0 145,0,2024-09-07 10:09:31:400,172345,0.6,172330,0.8,365845,0.5,470332,2.25 145,1,2024-09-07 10:09:30:556,1238081,1238081,0,0,580981288873,6111014978,1221867,13244,2970,381,391879,0 145,2,2024-09-07 10:09:31:431,891728,891646,82,0,42197015,0,7814 145,3,2024-09-07 10:09:30:895,1,707,7,0,622,9427,707,0 146,0,2024-09-07 10:09:31:595,178392,0.7,177489,0.8,356986,0.7,474130,2.25 146,1,2024-09-07 10:09:31:607,1239760,1239760,0,0,581483611268,6111798789,1220593,14236,4931,367,391829,0 146,2,2024-09-07 10:09:31:696,892616,892610,6,0,40451241,0,5151 146,3,2024-09-07 10:09:31:276,1,707,1,0,1520,10951,707,0 147,0,2024-09-07 10:09:31:698,178623,0.6,178449,0.7,356883,0.5,475877,2.00 147,1,2024-09-07 10:09:31:376,1244292,1244292,0,0,583702296889,6066292847,1234327,8863,1102,367,391791,0 147,2,2024-09-07 10:09:31:026,895228,895228,0,0,38040227,0,4531 147,3,2024-09-07 10:09:30:914,1,707,1,0,1626,10486,707,0 0,0,2024-09-07 10:09:41:726,173256,0.7,173304,0.8,367754,0.8,475529,2.00 0,1,2024-09-07 10:09:40:805,1242579,1242579,0,0,583560400361,6105887948,1232684,8917,978,368,391896,0 0,2,2024-09-07 10:09:41:073,896222,896222,0,0,35860657,0,4480 0,3,2024-09-07 10:09:40:977,1,708,12,0,538,9993,708,0 1,0,2024-09-07 10:09:41:874,177953,0.8,177036,0.9,355095,0.9,475212,2.00 1,1,2024-09-07 10:09:40:568,1241625,1241625,0,0,582160703748,6097052759,1230214,9438,1973,370,391859,0 1,2,2024-09-07 10:09:40:647,896510,896510,0,0,35406777,0,3380 1,3,2024-09-07 10:09:41:311,1,708,24,0,269,8333,708,0 2,0,2024-09-07 10:09:41:568,176109,0.5,175801,0.7,351426,0.5,469307,2.00 2,1,2024-09-07 10:09:40:865,1245533,1245533,0,0,583669218383,6067293235,1238599,5972,962,379,391805,0 2,2,2024-09-07 10:09:41:266,898313,898313,0,0,34162348,0,3594 2,3,2024-09-07 10:09:40:700,1,708,1,0,357,6140,708,0 3,0,2024-09-07 10:09:41:747,177461,0.4,177296,0.6,354225,0.3,471995,2.00 3,1,2024-09-07 10:09:41:621,1243460,1243460,0,0,583267047457,6064989079,1234864,7878,718,379,391716,0 3,2,2024-09-07 10:09:41:143,896117,896094,23,0,34857960,0,5851 3,3,2024-09-07 10:09:41:753,1,708,1,0,484,5259,708,0 4,0,2024-09-07 10:09:41:783,172033,0.4,176626,0.5,360515,0.4,471577,1.75 4,1,2024-09-07 10:09:40:628,1238426,1238426,0,0,580969304789,6140795505,1218504,15320,4602,369,391992,0 4,2,2024-09-07 10:09:41:024,891903,891903,0,0,42497843,0,4534 4,3,2024-09-07 10:09:41:037,1,708,5,0,448,9394,708,0 5,0,2024-09-07 10:09:41:436,177858,0.4,177641,0.6,355625,0.4,473558,1.75 5,1,2024-09-07 10:09:40:766,1239564,1239564,0,0,581301236408,6128870760,1221858,13465,4241,367,392005,0 5,2,2024-09-07 10:09:41:836,891793,891760,33,0,42857949,0,7631 5,3,2024-09-07 10:09:41:754,1,708,15,0,457,9220,708,0 6,0,2024-09-07 10:09:40:929,176714,0.4,176070,0.6,352614,0.4,469755,2.00 6,1,2024-09-07 10:09:40:757,1243238,1243238,0,0,583730175006,6090800208,1231055,10498,1685,379,391702,0 6,2,2024-09-07 10:09:41:116,899802,899784,18,0,39676563,0,5535 6,3,2024-09-07 10:09:41:280,1,708,1,0,710,7984,708,0 7,0,2024-09-07 10:09:41:537,175156,0.5,176331,0.6,349744,0.4,467216,2.00 7,1,2024-09-07 10:09:40:853,1240036,1240036,0,0,582005203195,6119025196,1222199,13700,4137,382,391747,0 7,2,2024-09-07 10:09:40:785,892900,892731,169,0,40416348,0,7706 7,3,2024-09-07 10:09:40:855,1,708,0,0,552,8190,708,0 8,0,2024-09-07 10:09:41:359,177792,0.4,177356,0.5,355408,0.4,473513,1.75 8,1,2024-09-07 10:09:41:024,1239161,1239161,0,0,582311105025,6119541081,1220291,14824,4046,366,392853,0 8,2,2024-09-07 10:09:40:798,888626,888624,2,0,45661295,0,5112 8,3,2024-09-07 10:09:40:595,1,708,1,0,772,10603,708,0 9,0,2024-09-07 10:09:41:283,178941,0.4,173693,0.5,363559,0.3,477010,1.75 9,1,2024-09-07 10:09:40:555,1238881,1238881,0,0,582269570348,6136851593,1219150,15380,4351,369,392001,0 9,2,2024-09-07 10:09:41:095,894451,894450,1,0,43643157,0,5281 9,3,2024-09-07 10:09:41:764,1,708,6,0,1273,11149,708,0 10,0,2024-09-07 10:09:41:612,177329,0.3,176938,0.5,354740,0.3,471473,1.75 10,1,2024-09-07 10:09:40:592,1240900,1240900,0,0,582482611091,6110485540,1222754,14412,3734,381,391981,0 10,2,2024-09-07 10:09:40:764,897813,897813,0,0,46911998,0,4713 10,3,2024-09-07 10:09:40:881,1,708,2,0,669,7409,708,0 11,0,2024-09-07 10:09:41:015,174667,0.4,169606,0.6,354955,0.3,467609,1.75 11,1,2024-09-07 10:09:40:575,1243127,1243127,0,0,583275857007,6111898201,1226238,12579,4310,383,391766,0 11,2,2024-09-07 10:09:41:152,893197,893197,0,0,40218445,0,4698 11,3,2024-09-07 10:09:41:299,1,708,0,0,843,8506,708,0 12,0,2024-09-07 10:09:40:970,180150,0.4,179789,0.6,359315,0.4,477584,1.75 12,1,2024-09-07 10:09:40:973,1242377,1242377,0,0,582907167841,6080079992,1232510,8835,1032,368,391960,0 12,2,2024-09-07 10:09:41:551,892074,892074,0,0,40270863,0,4390 12,3,2024-09-07 10:09:41:071,1,708,8,0,386,8647,708,0 13,0,2024-09-07 10:09:41:430,179986,0.4,180141,0.6,359514,0.4,478722,1.75 13,1,2024-09-07 10:09:41:524,1240087,1240087,0,0,581767863253,6121564745,1225907,11097,3083,382,391803,0 13,2,2024-09-07 10:09:40:633,897172,897172,0,0,36548391,0,3287 13,3,2024-09-07 10:09:41:784,1,708,12,0,522,9401,708,0 14,0,2024-09-07 10:09:40:611,178763,0.4,179771,0.6,357634,0.3,476230,1.75 14,1,2024-09-07 10:09:41:560,1248533,1248533,0,0,586257982085,6063044906,1239265,8117,1151,364,391673,0 14,2,2024-09-07 10:09:40:764,896355,896325,30,0,37490465,0,6104 14,3,2024-09-07 10:09:41:121,1,708,5,0,1168,7233,708,0 15,0,2024-09-07 10:09:41:572,175294,0.4,174567,0.6,349211,0.3,465939,1.75 15,1,2024-09-07 10:09:41:632,1244254,1244254,0,0,584183234545,6086408392,1233384,8772,2098,380,391619,0 15,2,2024-09-07 10:09:40:997,898392,898392,0,0,33492420,0,3622 15,3,2024-09-07 10:09:41:408,1,708,20,0,1126,8672,708,0 16,0,2024-09-07 10:09:41:008,178537,0.6,179491,0.8,358018,0.6,477389,2.00 16,1,2024-09-07 10:09:40:568,1243755,1243755,0,0,583428629358,6096670447,1232994,9214,1547,370,392194,0 16,2,2024-09-07 10:09:41:459,894147,894147,0,0,36565121,0,4719 16,3,2024-09-07 10:09:41:143,1,708,4,0,362,8638,708,0 17,0,2024-09-07 10:09:41:764,183585,0.7,178977,0.8,350561,0.7,476665,2.00 17,1,2024-09-07 10:09:40:579,1242186,1242186,0,0,582662529600,6104534445,1231051,9332,1803,368,392075,0 17,2,2024-09-07 10:09:41:666,898080,898079,1,0,37871784,0,5050 17,3,2024-09-07 10:09:40:587,1,708,12,0,518,9979,708,0 18,0,2024-09-07 10:09:40:955,176029,0.6,177218,0.8,352775,0.6,470945,2.25 18,1,2024-09-07 10:09:41:665,1247315,1247315,0,0,584546124846,6061505233,1239127,6756,1432,367,391725,0 18,2,2024-09-07 10:09:41:757,897813,897813,0,0,34155730,0,3541 18,3,2024-09-07 10:09:40:901,1,708,3,0,1059,6316,708,0 19,0,2024-09-07 10:09:41:546,175422,0.6,175888,0.8,350589,0.6,466134,2.00 19,1,2024-09-07 10:09:40:566,1246504,1246504,0,0,585638467460,6065441370,1237646,7697,1161,365,391777,0 19,2,2024-09-07 10:09:41:755,901731,901731,0,0,32010108,0,3988 19,3,2024-09-07 10:09:41:130,1,708,1,0,524,5561,708,0 20,0,2024-09-07 10:09:41:482,176491,0.5,176486,0.7,352634,0.5,471024,2.00 20,1,2024-09-07 10:09:40:663,1241658,1241658,0,0,583072049503,6107449388,1229365,10584,1709,369,391922,0 20,2,2024-09-07 10:09:40:931,893937,893937,0,0,40428482,0,4321 20,3,2024-09-07 10:09:40:592,1,708,15,0,468,11615,708,0 21,0,2024-09-07 10:09:41:175,177153,0.5,177221,0.7,354325,0.5,470306,2.00 21,1,2024-09-07 10:09:41:537,1239381,1239381,0,0,581172449567,6129444272,1220608,14776,3997,368,392016,0 21,2,2024-09-07 10:09:41:077,888250,887773,477,0,51742269,0,17074 21,3,2024-09-07 10:09:41:428,1,708,2,0,713,9775,708,0 22,0,2024-09-07 10:09:41:734,178143,0.5,178786,0.7,357170,0.4,473825,2.00 22,1,2024-09-07 10:09:41:029,1240762,1240762,0,0,582050643222,6118636806,1221644,15512,3606,381,391822,0 22,2,2024-09-07 10:09:40:764,894549,894523,26,0,38442922,0,6328 22,3,2024-09-07 10:09:41:072,1,708,1,0,228,5681,708,0 23,0,2024-09-07 10:09:41:373,176179,0.5,176045,0.6,352089,0.4,469028,2.00 23,1,2024-09-07 10:09:41:027,1241787,1241787,0,0,581975825496,6124419568,1219885,14731,7171,365,391690,0 23,2,2024-09-07 10:09:41:092,899778,899778,0,0,36696191,0,3773 23,3,2024-09-07 10:09:41:761,1,708,2,0,855,9802,708,0 24,0,2024-09-07 10:09:40:891,176499,0.4,175582,0.5,352738,0.3,469079,1.75 24,1,2024-09-07 10:09:40:596,1240684,1240684,0,0,582680642949,6095906941,1229066,9740,1878,367,392269,0 24,2,2024-09-07 10:09:41:082,893183,893180,3,0,43079060,0,6294 24,3,2024-09-07 10:09:41:711,1,708,32,0,468,9231,708,0 25,0,2024-09-07 10:09:41:371,183062,0.4,177839,0.6,350063,0.4,476305,1.75 25,1,2024-09-07 10:09:40:558,1239141,1239141,0,0,581194493664,6137513643,1218013,17128,4000,369,391928,0 25,2,2024-09-07 10:09:41:615,891185,891185,0,0,45109477,0,3978 25,3,2024-09-07 10:09:41:022,1,708,1,0,532,7690,708,0 26,0,2024-09-07 10:09:41:721,177952,0.4,173912,0.6,364857,0.4,475716,2.00 26,1,2024-09-07 10:09:41:547,1243127,1243127,0,0,583263716393,6101086256,1227417,12805,2905,380,391758,0 26,2,2024-09-07 10:09:40:866,895563,895563,0,0,46225705,0,4689 26,3,2024-09-07 10:09:41:711,1,708,0,0,796,8702,708,0 27,0,2024-09-07 10:09:41:734,178707,0.4,179419,0.6,356830,0.4,476003,2.25 27,1,2024-09-07 10:09:41:679,1244460,1244460,0,0,584904287087,6092006213,1233078,9759,1623,381,391626,0 27,2,2024-09-07 10:09:40:885,893710,893645,65,0,40879356,0,5699 27,3,2024-09-07 10:09:41:021,1,708,1,0,564,5933,708,0 28,0,2024-09-07 10:09:41:444,175397,0.4,175414,0.6,350924,0.3,467748,1.75 28,1,2024-09-07 10:09:40:813,1244965,1244965,0,0,585245883250,6104876212,1233489,9048,2428,382,391904,0 28,2,2024-09-07 10:09:41:764,894871,894871,0,0,38082413,0,4060 28,3,2024-09-07 10:09:41:785,1,708,16,0,502,7390,708,0 29,0,2024-09-07 10:09:41:393,184289,0.4,179294,0.6,352182,0.4,479829,1.75 29,1,2024-09-07 10:09:41:562,1248468,1248468,0,0,586142251901,6064097282,1240540,6907,1021,367,391809,0 29,2,2024-09-07 10:09:40:864,893941,893941,0,0,35580573,0,4986 29,3,2024-09-07 10:09:40:965,1,708,1,0,590,7906,708,0 30,0,2024-09-07 10:09:41:455,177341,0.6,172541,0.7,361582,0.5,472534,2.00 30,1,2024-09-07 10:09:40:581,1247102,1247102,0,0,586075308450,6084183167,1237286,8647,1169,380,391672,0 30,2,2024-09-07 10:09:41:274,896348,896348,0,0,34528553,0,4192 30,3,2024-09-07 10:09:40:581,1,708,0,0,519,7248,708,0 31,0,2024-09-07 10:09:41:761,177719,0.5,178623,0.6,356177,0.4,475176,2.00 31,1,2024-09-07 10:09:40:565,1250847,1250847,0,0,587017098590,6028266193,1242913,6665,1269,356,391712,0 31,2,2024-09-07 10:09:41:275,895796,895796,0,0,37844655,0,4470 31,3,2024-09-07 10:09:41:709,1,708,54,0,248,6198,708,0 32,0,2024-09-07 10:09:41:424,176239,0.3,177282,0.5,353369,0.2,470797,1.75 32,1,2024-09-07 10:09:40:822,1246696,1246696,0,0,585129798200,6080311615,1239198,6533,965,381,391646,0 32,2,2024-09-07 10:09:40:942,900364,900364,0,0,33072244,0,3922 32,3,2024-09-07 10:09:41:033,1,708,2,0,304,5861,708,0 33,0,2024-09-07 10:09:41:496,178150,0.3,177720,0.4,355520,0.2,473401,1.50 33,1,2024-09-07 10:09:40:594,1247512,1247512,0,0,585957777550,6065189490,1237882,8272,1358,368,391730,0 33,2,2024-09-07 10:09:40:765,894938,894903,35,0,36641236,0,7012 33,3,2024-09-07 10:09:40:902,1,708,8,0,329,6444,708,0 34,0,2024-09-07 10:09:40:936,177508,0.3,182539,0.5,349103,0.2,471615,1.75 34,1,2024-09-07 10:09:41:051,1250700,1250700,0,0,586458266713,6033398988,1246684,3807,209,366,391637,0 34,2,2024-09-07 10:09:40:766,895357,895357,0,0,34187363,0,4562 34,3,2024-09-07 10:09:41:691,1,708,1,0,541,6061,708,0 35,0,2024-09-07 10:09:40:859,177311,0.3,177830,0.5,356619,0.2,474575,1.75 35,1,2024-09-07 10:09:41:071,1246098,1246098,0,0,583840103519,6056081630,1237156,7389,1553,382,391769,0 35,2,2024-09-07 10:09:41:584,895941,895941,0,0,39904423,0,4055 35,3,2024-09-07 10:09:40:907,1,708,1,0,466,6591,708,0 36,0,2024-09-07 10:09:41:522,177228,0.4,177376,0.6,354219,0.4,471797,2.00 36,1,2024-09-07 10:09:40:591,1244525,1244525,0,0,583236393702,6085343499,1229743,12428,2354,366,391759,0 36,2,2024-09-07 10:09:41:752,899196,899196,0,0,39806313,0,3875 36,3,2024-09-07 10:09:40:870,1,708,14,0,556,8854,708,0 37,0,2024-09-07 10:09:41:390,175242,0.5,175087,0.6,350777,0.5,468021,2.00 37,1,2024-09-07 10:09:40:570,1244603,1244596,0,7,583613724729,6090125892,1230402,11078,3116,365,391770,0 37,2,2024-09-07 10:09:41:150,892405,892390,15,0,39884418,0,5815 37,3,2024-09-07 10:09:41:766,1,708,1,0,888,9578,708,0 38,0,2024-09-07 10:09:41:437,176602,0.5,171238,0.7,358081,0.4,469303,2.00 38,1,2024-09-07 10:09:41:605,1244276,1244276,0,0,583372763111,6094111392,1227433,13537,3306,368,391821,0 38,2,2024-09-07 10:09:40:765,894709,894662,47,0,39805125,0,6710 38,3,2024-09-07 10:09:41:022,1,708,0,0,689,7932,708,0 39,0,2024-09-07 10:09:41:761,182443,0.5,178151,0.7,347938,0.5,474482,2.00 39,1,2024-09-07 10:09:40:717,1241960,1241960,0,0,582985533101,6107231009,1222148,15579,4233,365,391865,0 39,2,2024-09-07 10:09:41:420,896230,896230,0,0,38136100,0,3478 39,3,2024-09-07 10:09:40:716,1,708,2,0,525,7770,708,0 40,0,2024-09-07 10:09:41:506,175869,0.8,176501,0.9,352427,0.9,470049,2.75 40,1,2024-09-07 10:09:40:599,1242716,1242716,0,0,582919844164,6106754645,1223874,15259,3583,366,391668,0 40,2,2024-09-07 10:09:41:307,895629,895622,7,0,44080405,0,5347 40,3,2024-09-07 10:09:41:144,1,708,0,0,1028,9881,708,0 41,0,2024-09-07 10:09:41:056,173972,1.1,178184,1.1,339942,1.4,461506,2.75 41,1,2024-09-07 10:09:40:772,1243312,1243312,0,0,583696401422,6101011504,1227594,13191,2527,369,391878,0 41,2,2024-09-07 10:09:40:765,890568,890567,1,0,43045477,0,5408 41,3,2024-09-07 10:09:41:686,1,708,1,0,749,8488,708,0 42,0,2024-09-07 10:09:41:482,177554,1.0,177384,1.0,355122,1.3,470804,2.75 42,1,2024-09-07 10:09:41:452,1240194,1240194,0,0,581594111629,6101566139,1222587,14523,3084,380,391675,0 42,2,2024-09-07 10:09:41:141,890757,890756,1,0,44497588,0,5513 42,3,2024-09-07 10:09:41:009,1,708,1,0,892,7088,708,0 43,0,2024-09-07 10:09:40:929,176575,0.8,171908,1.0,360330,0.8,471286,2.25 43,1,2024-09-07 10:09:40:582,1243932,1243932,0,0,584534189086,6101671407,1227821,13363,2748,365,391696,0 43,2,2024-09-07 10:09:41:736,896974,896974,0,0,40733750,0,4723 43,3,2024-09-07 10:09:41:748,1,708,1,0,571,9360,708,0 44,0,2024-09-07 10:09:40:860,178969,0.4,179048,0.6,357808,0.3,477237,1.75 44,1,2024-09-07 10:09:40:569,1247016,1247016,0,0,585420482998,6052360933,1235684,9181,2151,356,391809,0 44,2,2024-09-07 10:09:41:269,894878,894878,0,0,34141224,0,4344 44,3,2024-09-07 10:09:41:105,1,708,32,0,1097,9180,708,0 45,0,2024-09-07 10:09:41:766,173522,0.4,169389,0.7,355490,0.4,466159,2.00 45,1,2024-09-07 10:09:41:023,1246330,1246330,0,0,584527286095,6074517608,1236775,8334,1221,382,391917,0 45,2,2024-09-07 10:09:41:271,898040,898040,0,0,34221262,0,3596 45,3,2024-09-07 10:09:40:945,1,708,6,0,531,6533,708,0 46,0,2024-09-07 10:09:40:960,178171,0.5,177353,0.7,356020,0.5,474227,2.00 46,1,2024-09-07 10:09:40:584,1248219,1248219,0,0,585918750919,6060377737,1238938,7798,1483,366,391709,0 46,2,2024-09-07 10:09:40:593,895908,895908,0,0,35123180,0,4443 46,3,2024-09-07 10:09:41:142,1,708,1,0,908,7661,708,0 47,0,2024-09-07 10:09:41:101,178775,0.5,178300,0.6,357910,0.4,474905,2.00 47,1,2024-09-07 10:09:40:567,1249583,1249583,0,0,585823371335,6052766768,1242071,6499,1013,364,391666,0 47,2,2024-09-07 10:09:40:908,897910,897910,0,0,35558111,0,4477 47,3,2024-09-07 10:09:41:115,1,708,2,0,600,7611,708,0 48,0,2024-09-07 10:09:41:490,178119,0.3,178672,0.4,356079,0.2,473731,1.50 48,1,2024-09-07 10:09:41:024,1245723,1245723,0,0,584437924526,6070326512,1238048,6663,1012,381,391710,0 48,2,2024-09-07 10:09:40:707,896380,896380,0,0,32401754,0,3619 48,3,2024-09-07 10:09:40:764,1,708,0,0,339,6014,708,0 49,0,2024-09-07 10:09:41:713,181084,0.3,177307,0.5,344955,0.2,470690,1.75 49,1,2024-09-07 10:09:41:031,1245200,1245200,0,0,584737142815,6077494316,1237328,6174,1698,382,391809,0 49,2,2024-09-07 10:09:41:811,900884,900884,0,0,33878203,0,4426 49,3,2024-09-07 10:09:41:427,1,708,1,0,992,8128,708,0 50,0,2024-09-07 10:09:41:511,176871,0.3,175747,0.5,353754,0.2,470511,1.75 50,1,2024-09-07 10:09:41:010,1248885,1248885,0,0,586726918872,6063284550,1241326,6826,733,368,391691,0 50,2,2024-09-07 10:09:41:073,893756,893756,0,0,31837616,0,4490 50,3,2024-09-07 10:09:41:295,1,708,2,0,617,7234,708,0 51,0,2024-09-07 10:09:41:696,181886,0.3,178002,0.5,346615,0.2,472688,1.75 51,1,2024-09-07 10:09:41:693,1249868,1249868,0,0,587187050620,6052379041,1243164,5359,1345,365,391706,0 51,2,2024-09-07 10:09:41:316,895269,895269,0,0,31442744,0,3337 51,3,2024-09-07 10:09:41:029,1,708,2,0,678,5501,708,0 52,0,2024-09-07 10:09:41:445,178358,0.5,178243,0.6,356241,0.4,473450,2.00 52,1,2024-09-07 10:09:40:585,1243785,1243785,0,0,584167557684,6108184591,1227027,14331,2427,368,391805,0 52,2,2024-09-07 10:09:41:758,891906,891868,38,0,42873765,0,6742 52,3,2024-09-07 10:09:40:679,1,708,1,0,1782,7989,708,0 53,0,2024-09-07 10:09:41:862,175949,0.6,170706,0.8,357299,0.6,467580,2.25 53,1,2024-09-07 10:09:40:773,1243099,1243099,0,0,583461879756,6112869245,1223729,14939,4431,367,391968,0 53,2,2024-09-07 10:09:41:298,899264,899126,138,0,39281180,0,7690 53,3,2024-09-07 10:09:40:700,1,708,1,0,308,6523,708,0 54,0,2024-09-07 10:09:41:618,173746,0.5,174362,0.7,346807,0.4,463656,2.25 54,1,2024-09-07 10:09:40:582,1245406,1245406,0,0,584927588185,6077255265,1233605,10126,1675,366,391810,0 54,2,2024-09-07 10:09:40:864,894064,894032,32,0,42526078,0,6397 54,3,2024-09-07 10:09:40:763,1,708,0,0,676,8986,708,0 55,0,2024-09-07 10:09:41:762,171946,0.7,177130,0.8,359582,0.7,468267,2.50 55,1,2024-09-07 10:09:40:767,1245799,1245799,0,0,584018425132,6068938046,1233765,10545,1489,365,391731,0 55,2,2024-09-07 10:09:40:734,893016,892960,56,0,38849087,0,7239 55,3,2024-09-07 10:09:40:678,1,708,1,0,304,6313,708,0 56,0,2024-09-07 10:09:41:589,181929,1.2,171389,1.1,353399,1.5,472959,2.75 56,1,2024-09-07 10:09:40:575,1238002,1238002,0,0,581035769138,6138726595,1218490,15757,3755,381,391867,0 56,2,2024-09-07 10:09:41:312,894054,893932,122,0,41914897,0,7432 56,3,2024-09-07 10:09:41:073,1,708,1,0,705,8341,708,0 57,0,2024-09-07 10:09:40:959,177002,1.3,176854,1.2,353201,1.7,472338,3.00 57,1,2024-09-07 10:09:40:991,1241790,1241790,0,0,582254185851,6104117419,1226068,13015,2707,366,392097,0 57,2,2024-09-07 10:09:41:324,896775,896775,0,0,44735835,0,4804 57,3,2024-09-07 10:09:41:747,1,708,1,0,455,7509,708,0 58,0,2024-09-07 10:09:40:604,172775,0.9,167826,1.0,351304,1.0,459864,2.50 58,1,2024-09-07 10:09:40:607,1242812,1242809,0,3,582984561283,6109065553,1224436,13971,4402,367,391726,3 58,2,2024-09-07 10:09:41:075,895307,895307,0,0,40581132,0,3483 58,3,2024-09-07 10:09:41:074,1,708,1,0,1043,7607,708,0 59,0,2024-09-07 10:09:41:746,178120,0.9,177020,1.0,354311,1.1,470283,3.00 59,1,2024-09-07 10:09:40:805,1241969,1241969,0,0,582720446953,6116860076,1222468,15663,3838,369,391653,0 59,2,2024-09-07 10:09:40:593,894092,894092,0,0,38732136,0,3727 59,3,2024-09-07 10:09:41:739,1,708,2,0,1015,8329,708,0 60,0,2024-09-07 10:09:41:728,178119,0.5,178367,0.7,356563,0.5,476183,2.00 60,1,2024-09-07 10:09:40:777,1246014,1246014,0,0,584688246755,6086998343,1235074,9434,1506,370,392031,0 60,2,2024-09-07 10:09:41:142,896372,896372,0,0,36883525,0,3811 60,3,2024-09-07 10:09:41:263,1,708,68,0,409,8116,708,0 61,0,2024-09-07 10:09:41:540,177927,0.6,178622,0.8,355836,0.6,474727,2.00 61,1,2024-09-07 10:09:40:774,1242892,1242892,0,0,583495929127,6106904946,1230200,10840,1852,382,392127,0 61,2,2024-09-07 10:09:41:123,896443,896376,67,0,37777884,0,6411 61,3,2024-09-07 10:09:41:706,1,708,8,0,607,8738,708,0 62,0,2024-09-07 10:09:41:708,176817,0.5,181339,0.7,346191,0.5,470613,2.00 62,1,2024-09-07 10:09:41:114,1250813,1250807,0,6,586882547285,6056828830,1243546,6643,618,365,391975,6 62,2,2024-09-07 10:09:41:674,896250,896249,1,0,37540496,0,5555 62,3,2024-09-07 10:09:41:146,1,708,1,0,482,5495,708,0 63,0,2024-09-07 10:09:41:468,178093,0.4,177745,0.6,356192,0.3,473701,1.75 63,1,2024-09-07 10:09:40:820,1247131,1247125,0,6,584345936744,6068275120,1239203,6970,952,381,391800,6 63,2,2024-09-07 10:09:40:765,893558,893558,0,0,36765041,0,4369 63,3,2024-09-07 10:09:41:732,1,708,1,0,667,8090,708,0 64,0,2024-09-07 10:09:41:587,176567,0.5,176487,0.7,352632,0.5,470097,2.00 64,1,2024-09-07 10:09:40:772,1245394,1245394,0,0,584449743061,6081623850,1235175,8189,2030,370,391794,0 64,2,2024-09-07 10:09:41:149,898193,898174,19,0,35193735,0,6121 64,3,2024-09-07 10:09:41:151,1,708,9,0,651,7954,708,0 65,0,2024-09-07 10:09:41:679,176565,0.6,176864,0.7,352701,0.6,470851,2.00 65,1,2024-09-07 10:09:40:984,1243858,1243858,0,0,583464822265,6093799148,1235609,7351,898,381,391901,0 65,2,2024-09-07 10:09:41:699,894515,894515,0,0,42284596,0,3367 65,3,2024-09-07 10:09:41:697,1,708,1,0,782,8227,708,0 66,0,2024-09-07 10:09:41:764,176320,0.5,175768,0.7,351516,0.4,468393,2.00 66,1,2024-09-07 10:09:41:297,1245713,1245713,0,0,584782146565,6083170346,1238202,6637,874,380,391743,0 66,2,2024-09-07 10:09:41:131,901834,901831,3,0,37019509,0,5455 66,3,2024-09-07 10:09:41:081,1,708,1,0,291,6206,708,0 67,0,2024-09-07 10:09:41:443,175892,0.5,175424,0.7,351603,0.4,468317,2.00 67,1,2024-09-07 10:09:40:766,1245394,1245393,0,1,584547948352,6082881111,1236956,7297,1140,380,391787,1 67,2,2024-09-07 10:09:40:583,896472,896457,15,0,37435140,0,6205 67,3,2024-09-07 10:09:41:762,1,708,2,0,595,7370,708,0 68,0,2024-09-07 10:09:40:624,177579,0.6,177396,0.7,353330,0.6,472237,2.25 68,1,2024-09-07 10:09:40:575,1240770,1240770,0,0,581767725611,6117105563,1224033,12462,4275,381,391953,0 68,2,2024-09-07 10:09:41:052,889721,889621,100,0,45512518,0,8578 68,3,2024-09-07 10:09:40:735,1,708,0,0,417,9882,708,0 69,0,2024-09-07 10:09:41:798,178052,0.7,178629,0.8,356356,0.7,474406,2.25 69,1,2024-09-07 10:09:41:052,1240181,1240181,0,0,581796324205,6124663429,1225250,11946,2985,383,391994,0 69,2,2024-09-07 10:09:41:738,892439,892410,29,0,48668089,0,6912 69,3,2024-09-07 10:09:40:767,1,708,17,0,698,10443,708,0 70,0,2024-09-07 10:09:41:535,175597,0.7,176392,0.9,353589,0.6,468543,2.50 70,1,2024-09-07 10:09:40:802,1246931,1246931,0,0,585512400682,6074002947,1237461,8425,1045,366,391725,0 70,2,2024-09-07 10:09:41:324,898715,898715,0,0,39928875,0,4323 70,3,2024-09-07 10:09:40:755,1,708,1,0,854,7737,708,0 71,0,2024-09-07 10:09:41:362,173978,0.8,173578,0.9,348038,0.9,464188,2.50 71,1,2024-09-07 10:09:41:602,1244390,1244390,0,0,584377804694,6092835110,1229951,12653,1786,367,391738,0 71,2,2024-09-07 10:09:41:071,892403,892403,0,0,40362408,0,4352 71,3,2024-09-07 10:09:41:753,1,708,1,0,644,7664,708,0 72,0,2024-09-07 10:09:41:167,184582,0.5,180252,0.7,352212,0.5,478239,2.00 72,1,2024-09-07 10:09:41:039,1242804,1242804,0,0,582023083342,6100019971,1225857,14213,2734,369,391819,0 72,2,2024-09-07 10:09:41:761,891594,891594,0,0,42472229,0,3983 72,3,2024-09-07 10:09:41:763,1,708,2,0,564,9607,708,0 73,0,2024-09-07 10:09:41:145,174451,0.5,179004,0.6,365839,0.4,476392,2.00 73,1,2024-09-07 10:09:40:798,1244458,1244458,0,0,584048183810,6068960761,1234921,8470,1067,367,391858,0 73,2,2024-09-07 10:09:41:741,894780,894779,1,0,44431162,0,5027 73,3,2024-09-07 10:09:40:986,1,708,1,0,1091,9436,708,0 74,0,2024-09-07 10:09:41:344,179944,0.5,184228,0.7,351347,0.4,477670,2.00 74,1,2024-09-07 10:09:40:639,1243589,1243589,0,0,583562012649,6085863165,1231225,10216,2148,381,391762,0 74,2,2024-09-07 10:09:41:007,895448,895448,0,0,38571120,0,4253 74,3,2024-09-07 10:09:41:442,1,708,5,0,522,7971,708,0 75,0,2024-09-07 10:09:41:779,175279,0.5,174309,0.7,350049,0.4,467407,2.00 75,1,2024-09-07 10:09:41:585,1244869,1244869,0,0,584305066328,6085454263,1234981,8894,994,380,391739,0 75,2,2024-09-07 10:09:41:351,895376,895376,0,0,45695282,0,4766 75,3,2024-09-07 10:09:41:070,1,708,2,0,918,9651,708,0 76,0,2024-09-07 10:09:40:580,178356,0.6,177940,0.7,355264,0.5,475766,2.25 76,1,2024-09-07 10:09:40:834,1243626,1243626,0,0,582818152030,6079175917,1234713,7603,1310,382,391790,0 76,2,2024-09-07 10:09:41:084,897173,897170,3,0,38572332,0,5265 76,3,2024-09-07 10:09:41:149,1,708,18,0,249,6574,708,0 77,0,2024-09-07 10:09:41:723,177576,0.6,177911,0.7,356192,0.6,473784,2.00 77,1,2024-09-07 10:09:40:836,1243096,1243096,0,0,583772244998,6094297314,1232816,9191,1089,381,391869,0 77,2,2024-09-07 10:09:41:281,894609,894609,0,0,37253516,0,3890 77,3,2024-09-07 10:09:41:097,1,708,10,0,401,7908,708,0 78,0,2024-09-07 10:09:41:728,178477,0.4,177555,0.6,356670,0.4,471853,2.00 78,1,2024-09-07 10:09:40:640,1243360,1243360,0,0,583327108861,6086014711,1227814,12214,3332,367,391670,0 78,2,2024-09-07 10:09:41:428,896711,896698,13,0,34792247,0,8313 78,3,2024-09-07 10:09:41:140,1,708,0,0,311,5985,708,0 79,0,2024-09-07 10:09:41:347,170137,0.4,174308,0.6,357108,0.3,465084,2.00 79,1,2024-09-07 10:09:40:574,1247904,1247904,0,0,585852901666,6066431928,1238078,8024,1802,367,391682,0 79,2,2024-09-07 10:09:41:072,900252,900252,0,0,33844870,0,4195 79,3,2024-09-07 10:09:40:756,1,708,1,0,418,8167,708,0 80,0,2024-09-07 10:09:41:091,176824,0.5,181521,0.7,346747,0.5,469713,2.00 80,1,2024-09-07 10:09:41:619,1244247,1244247,0,0,584114632789,6079608953,1236254,7410,583,368,392269,0 80,2,2024-09-07 10:09:41:094,896973,896973,0,0,34272932,0,4433 80,3,2024-09-07 10:09:40:592,1,708,1,0,681,8530,708,0 81,0,2024-09-07 10:09:41:582,177254,0.6,181437,0.7,345990,0.6,469314,2.00 81,1,2024-09-07 10:09:41:680,1243431,1243431,0,0,582378743850,6085415930,1233553,8880,998,382,392001,0 81,2,2024-09-07 10:09:41:143,894344,894281,63,0,38151681,0,5932 81,3,2024-09-07 10:09:41:133,1,708,3,0,719,7679,708,0 82,0,2024-09-07 10:09:41:543,178253,0.5,178340,0.7,357309,0.5,473945,2.00 82,1,2024-09-07 10:09:40:583,1245069,1245065,0,4,583281757395,6067300205,1238109,5832,1124,381,391768,4 82,2,2024-09-07 10:09:41:700,898705,898705,0,0,31719465,0,4484 82,3,2024-09-07 10:09:41:753,1,708,3,0,363,6315,708,0 83,0,2024-09-07 10:09:41:557,176627,0.5,176495,0.7,352593,0.5,468209,2.00 83,1,2024-09-07 10:09:40:560,1244046,1244046,0,0,583849492285,6084124136,1235051,7966,1029,382,391709,0 83,2,2024-09-07 10:09:40:768,899655,899630,25,0,34637958,0,5612 83,3,2024-09-07 10:09:40:764,1,708,26,0,1260,8216,708,0 84,0,2024-09-07 10:09:41:776,174010,0.7,174074,0.8,348254,0.6,465414,2.25 84,1,2024-09-07 10:09:41:044,1242555,1242555,0,0,583068814686,6095683722,1228845,11624,2086,367,391967,0 84,2,2024-09-07 10:09:40:572,891433,891023,410,0,49874359,0,17037 84,3,2024-09-07 10:09:41:166,1,708,0,0,908,9713,708,0 85,0,2024-09-07 10:09:41:008,171239,0.7,171212,0.8,363383,0.7,468829,2.25 85,1,2024-09-07 10:09:40:567,1238642,1238642,0,0,581703396015,6133549462,1220828,14881,2933,381,392092,0 85,2,2024-09-07 10:09:40:867,893048,893048,0,0,42318997,0,4255 85,3,2024-09-07 10:09:40:685,1,708,0,0,789,8512,708,0 86,0,2024-09-07 10:09:40:890,177938,0.7,182981,0.8,350397,0.8,473770,2.25 86,1,2024-09-07 10:09:40:832,1241232,1241232,0,0,583181453907,6120756491,1223533,14383,3316,366,392169,0 86,2,2024-09-07 10:09:40:871,895184,895183,1,0,44363933,0,5004 86,3,2024-09-07 10:09:40:594,1,708,2,0,441,9663,708,0 87,0,2024-09-07 10:09:41:296,179191,0.7,177886,0.8,356347,0.8,476008,2.25 87,1,2024-09-07 10:09:40:552,1241558,1241558,0,0,582203849153,6094812387,1226606,12786,2166,366,392076,0 87,2,2024-09-07 10:09:41:075,896239,896233,6,0,41788663,0,6323 87,3,2024-09-07 10:09:41:794,1,708,3,0,473,9882,708,0 88,0,2024-09-07 10:09:41:475,175112,0.4,175501,0.6,351056,0.4,467903,1.75 88,1,2024-09-07 10:09:40:589,1240093,1240093,0,0,581968697281,6095041750,1223228,13721,3144,365,392084,0 88,2,2024-09-07 10:09:40:693,894476,894476,0,0,43921417,0,4465 88,3,2024-09-07 10:09:41:270,1,708,0,0,1080,11179,708,0 89,0,2024-09-07 10:09:41:788,184367,0.5,179081,0.6,352615,0.4,479153,1.75 89,1,2024-09-07 10:09:40:550,1240336,1240336,0,0,582392788521,6120361998,1225638,12415,2283,382,392084,0 89,2,2024-09-07 10:09:41:147,893845,893845,0,0,41305303,0,3173 89,3,2024-09-07 10:09:41:827,1,708,15,0,729,12412,708,0 90,0,2024-09-07 10:09:41:615,173128,0.5,177684,0.7,362862,0.5,473812,2.00 90,1,2024-09-07 10:09:40:593,1242100,1242100,0,0,582995118098,6106170129,1229628,11198,1274,380,391825,0 90,2,2024-09-07 10:09:41:423,891289,891284,5,0,44615932,0,6370 90,3,2024-09-07 10:09:40:940,1,708,3,0,364,9214,708,0 91,0,2024-09-07 10:09:40:945,178645,0.5,173291,0.6,362628,0.4,475578,1.75 91,1,2024-09-07 10:09:40:564,1239015,1239015,0,0,582325307439,6137811331,1220297,14570,4148,381,392047,0 91,2,2024-09-07 10:09:41:335,894581,894581,0,0,39933239,0,4713 91,3,2024-09-07 10:09:40:610,1,708,1,0,231,6549,708,0 92,0,2024-09-07 10:09:41:484,177787,0.4,181902,0.6,346736,0.4,470855,1.75 92,1,2024-09-07 10:09:40:583,1242782,1242782,0,0,582888538043,6087395087,1233274,8195,1313,381,392136,0 92,2,2024-09-07 10:09:41:355,898372,898372,0,0,36371340,0,3906 92,3,2024-09-07 10:09:41:008,1,708,3,0,322,6284,708,0 93,0,2024-09-07 10:09:40:992,178546,0.4,182932,0.6,349312,0.3,473284,1.75 93,1,2024-09-07 10:09:40:812,1243476,1243476,0,0,583649826402,6096521103,1229064,11975,2437,365,392048,0 93,2,2024-09-07 10:09:40:930,893762,893762,0,0,41670520,0,4913 93,3,2024-09-07 10:09:41:430,1,708,22,0,788,8678,708,0 94,0,2024-09-07 10:09:41:714,176553,0.4,177780,0.6,355870,0.4,472360,1.75 94,1,2024-09-07 10:09:40:568,1245174,1245174,0,0,584493029325,6094123698,1236883,7638,653,381,391850,0 94,2,2024-09-07 10:09:40:763,894718,894690,28,0,36390878,0,6179 94,3,2024-09-07 10:09:41:699,1,708,3,0,576,8469,708,0 95,0,2024-09-07 10:09:41:351,177885,0.4,177562,0.5,355498,0.3,473780,1.75 95,1,2024-09-07 10:09:40:861,1245397,1245397,0,0,584473211641,6072148633,1236018,8564,815,365,391852,0 95,2,2024-09-07 10:09:41:028,893855,893855,0,0,36536153,0,3308 95,3,2024-09-07 10:09:41:709,1,708,5,0,718,10550,708,0 96,0,2024-09-07 10:09:41:030,176655,0.3,176986,0.5,354208,0.3,470839,1.75 96,1,2024-09-07 10:09:41:583,1242862,1242862,0,0,583711079189,6088371657,1233933,7551,1378,384,392292,0 96,2,2024-09-07 10:09:41:269,901098,901098,0,0,36885163,0,4225 96,3,2024-09-07 10:09:41:141,1,708,14,0,411,7814,708,0 97,0,2024-09-07 10:09:41:322,175722,0.3,175639,0.5,352082,0.3,468401,1.50 97,1,2024-09-07 10:09:40:765,1246149,1246149,0,0,585036661124,6068644070,1238210,6696,1243,367,392140,0 97,2,2024-09-07 10:09:40:620,894976,894976,0,0,36864673,0,4600 97,3,2024-09-07 10:09:40:571,1,708,2,0,433,8529,708,0 98,0,2024-09-07 10:09:41:708,177062,0.3,177242,0.5,355870,0.3,472509,1.50 98,1,2024-09-07 10:09:40:586,1244448,1244448,0,0,583988680488,6080766523,1236989,6558,901,381,391997,0 98,2,2024-09-07 10:09:40:774,893686,893686,0,0,35176759,0,4336 98,3,2024-09-07 10:09:40:706,1,708,13,0,840,9727,708,0 99,0,2024-09-07 10:09:41:452,178857,0.3,179711,0.5,358210,0.3,477100,1.75 99,1,2024-09-07 10:09:41:768,1245734,1245734,0,0,583633708926,6072547462,1237934,6690,1110,380,392069,0 99,2,2024-09-07 10:09:41:427,895328,895328,0,0,44541622,0,4276 99,3,2024-09-07 10:09:40:585,1,708,1,0,1124,8939,708,0 100,0,2024-09-07 10:09:41:476,176984,0.7,177571,0.9,354985,0.8,472553,2.50 100,1,2024-09-07 10:09:40:549,1238109,1238109,0,0,580277089048,6134659638,1218961,15351,3797,378,391989,0 100,2,2024-09-07 10:09:41:817,894187,893798,389,0,46253892,0,16909 100,3,2024-09-07 10:09:41:746,1,708,7,0,627,10803,708,0 101,0,2024-09-07 10:09:41:742,178800,0.8,174547,0.9,341527,0.8,466218,2.25 101,1,2024-09-07 10:09:40:570,1240329,1240329,0,0,582379575363,6117355332,1223728,13649,2952,368,392018,0 101,2,2024-09-07 10:09:41:762,888727,888688,39,0,47830006,0,5913 101,3,2024-09-07 10:09:40:951,1,708,4,0,1250,10754,708,0 102,0,2024-09-07 10:09:40:941,173859,0.6,178990,0.8,363956,0.6,474959,2.25 102,1,2024-09-07 10:09:41:144,1240699,1240699,0,0,582019925083,6108793738,1224860,13581,2258,369,391984,0 102,2,2024-09-07 10:09:41:755,895102,895048,54,0,39649886,0,6768 102,3,2024-09-07 10:09:41:619,1,708,1,0,466,8150,708,0 103,0,2024-09-07 10:09:41:590,184649,0.7,184658,0.8,348012,0.7,478717,2.25 103,1,2024-09-07 10:09:41:628,1239453,1239453,0,0,581671252638,6136833218,1220532,15293,3628,381,392077,0 103,2,2024-09-07 10:09:40:596,893640,893640,0,0,43184532,0,3766 103,3,2024-09-07 10:09:40:755,1,708,1,0,916,8261,708,0 104,0,2024-09-07 10:09:41:018,177535,0.7,177873,0.9,354895,0.7,474866,2.25 104,1,2024-09-07 10:09:41:601,1241451,1241451,0,0,582532373769,6119726665,1222998,14988,3465,365,392168,0 104,2,2024-09-07 10:09:41:672,893773,893773,0,0,42338200,0,4161 104,3,2024-09-07 10:09:41:432,1,708,5,0,1245,11940,708,0 105,0,2024-09-07 10:09:41:047,173343,0.8,168926,1.0,354281,0.8,465232,2.50 105,1,2024-09-07 10:09:40:556,1243252,1243252,0,0,583488290030,6107873128,1228460,12675,2117,364,392009,0 105,2,2024-09-07 10:09:41:324,894748,894748,0,0,43639909,0,4360 105,3,2024-09-07 10:09:41:304,1,708,1,0,573,10398,708,0 106,0,2024-09-07 10:09:40:958,172741,0.9,177277,1.0,362192,1.1,474162,2.50 106,1,2024-09-07 10:09:41:754,1241799,1241799,0,0,582458045963,6107419012,1225356,14290,2153,368,391914,0 106,2,2024-09-07 10:09:40:765,894329,894329,0,0,40181253,0,3331 106,3,2024-09-07 10:09:40:679,1,708,6,0,1224,9744,708,0 107,0,2024-09-07 10:09:41:104,177798,1.3,177717,1.0,355574,1.9,474698,2.25 107,1,2024-09-07 10:09:40:619,1238757,1238757,0,0,580754833355,6134520667,1219641,16860,2256,381,392234,0 107,2,2024-09-07 10:09:41:291,890909,890908,1,0,43976212,0,5024 107,3,2024-09-07 10:09:41:771,1,708,0,0,733,11066,708,0 108,0,2024-09-07 10:09:41:837,177534,0.4,178400,0.6,355743,0.4,473695,1.75 108,1,2024-09-07 10:09:41:298,1242515,1242515,0,0,583337894850,6089397097,1231025,10226,1264,367,391894,0 108,2,2024-09-07 10:09:41:772,895494,895494,0,0,39176717,0,4432 108,3,2024-09-07 10:09:41:337,1,708,13,0,767,12648,708,0 109,0,2024-09-07 10:09:41:761,176921,0.4,175619,0.6,351990,0.3,469611,1.75 109,1,2024-09-07 10:09:40:609,1240762,1240762,0,0,583096470027,6115369212,1230093,9161,1508,382,392132,0 109,2,2024-09-07 10:09:40:929,897300,897300,0,0,39248096,0,3617 109,3,2024-09-07 10:09:41:153,1,708,1,0,630,8030,708,0 110,0,2024-09-07 10:09:41:765,176335,0.4,171436,0.6,359161,0.3,471515,1.75 110,1,2024-09-07 10:09:41:676,1245807,1245807,0,0,585234674328,6075121812,1236333,7388,2086,368,392045,0 110,2,2024-09-07 10:09:41:303,894056,894056,0,0,38406158,0,4067 110,3,2024-09-07 10:09:40:692,1,708,1,0,722,9466,708,0 111,0,2024-09-07 10:09:41:450,177967,0.4,177129,0.5,353821,0.3,472179,1.75 111,1,2024-09-07 10:09:41:014,1246802,1246802,0,0,585353402988,6076622567,1239542,6753,507,380,391690,0 111,2,2024-09-07 10:09:41:116,894603,894603,0,0,37754791,0,4823 111,3,2024-09-07 10:09:40:913,1,708,1,0,379,7978,708,0 112,0,2024-09-07 10:09:40:932,179001,0.3,178591,0.4,358111,0.2,475329,1.50 112,1,2024-09-07 10:09:40:830,1245655,1245655,0,0,584755642902,6067092030,1238021,6407,1227,380,391624,0 112,2,2024-09-07 10:09:41:139,895663,895662,1,0,35550779,0,5036 112,3,2024-09-07 10:09:40:603,1,708,1,0,282,6935,708,0 113,0,2024-09-07 10:09:40:895,176456,0.3,176887,0.5,353999,0.2,470539,1.75 113,1,2024-09-07 10:09:41:686,1249531,1249531,0,0,586316883558,6046391394,1242610,5970,951,365,391664,0 113,2,2024-09-07 10:09:41:303,902218,902218,0,0,32825570,0,3813 113,3,2024-09-07 10:09:40:686,1,708,1,0,510,7063,708,0 114,0,2024-09-07 10:09:40:884,175538,0.3,176766,0.5,352395,0.2,471055,1.75 114,1,2024-09-07 10:09:40:727,1245137,1245137,0,0,584686169512,6068377008,1236302,7031,1804,381,391565,0 114,2,2024-09-07 10:09:40:879,895681,895680,1,0,35181977,0,5069 114,3,2024-09-07 10:09:41:280,1,708,1,0,415,5706,708,0 115,0,2024-09-07 10:09:40:554,179001,0.3,179424,0.4,357574,0.2,475409,1.50 115,1,2024-09-07 10:09:40:571,1246458,1246458,0,0,585152477318,6075872749,1236900,7765,1793,382,391757,0 115,2,2024-09-07 10:09:41:130,896014,896014,0,0,35104261,0,4382 115,3,2024-09-07 10:09:41:006,1,708,2,0,173,4443,708,0 116,0,2024-09-07 10:09:41:705,177867,0.7,177897,0.9,356405,0.8,476343,2.25 116,1,2024-09-07 10:09:40:809,1239389,1239389,0,0,582435840913,6131993503,1225277,10894,3218,380,392089,0 116,2,2024-09-07 10:09:41:765,895904,895904,0,0,42679098,0,4475 116,3,2024-09-07 10:09:40:912,1,708,2,0,448,8941,708,0 117,0,2024-09-07 10:09:40:954,178888,0.6,178530,0.8,357532,0.6,477395,2.00 117,1,2024-09-07 10:09:41:579,1241862,1241862,0,0,581705130659,6091074627,1227981,12112,1769,369,392429,0 117,2,2024-09-07 10:09:41:123,898147,898147,0,0,39225775,0,4303 117,3,2024-09-07 10:09:41:067,1,708,1,0,490,8559,708,0 118,0,2024-09-07 10:09:41:820,169908,0.5,174494,0.7,356009,0.4,465997,2.00 118,1,2024-09-07 10:09:40:591,1240387,1240387,0,0,582074345576,6113699215,1222867,13672,3848,366,392054,0 118,2,2024-09-07 10:09:41:585,894783,894783,0,0,41776632,0,3161 118,3,2024-09-07 10:09:41:766,1,708,5,0,343,8380,708,0 119,0,2024-09-07 10:09:41:362,179193,0.7,179384,0.8,358392,0.7,477398,2.25 119,1,2024-09-07 10:09:40:554,1241549,1241549,0,0,582867081571,6108288114,1225516,13365,2668,367,391857,0 119,2,2024-09-07 10:09:41:265,894658,894658,0,0,38508431,0,4309 119,3,2024-09-07 10:09:41:325,1,708,2,0,1358,12266,708,0 120,0,2024-09-07 10:09:41:547,177280,0.7,177428,0.9,356068,0.7,475079,2.50 120,1,2024-09-07 10:09:40:871,1242250,1242250,0,0,582039653113,6100402430,1229570,11521,1159,367,392144,0 120,2,2024-09-07 10:09:40:775,894123,894120,3,0,46479254,0,5363 120,3,2024-09-07 10:09:41:295,1,708,1,0,478,8992,708,0 121,0,2024-09-07 10:09:41:768,178104,1.1,177524,1.0,355287,1.4,473380,2.25 121,1,2024-09-07 10:09:41:674,1241708,1241708,0,0,582254250332,6092961156,1228169,11542,1997,366,391924,0 121,2,2024-09-07 10:09:41:135,894918,894918,0,0,42222230,0,4157 121,3,2024-09-07 10:09:40:735,1,708,0,0,387,9329,708,0 122,0,2024-09-07 10:09:41:771,175486,0.7,170899,0.8,357539,0.8,470329,2.00 122,1,2024-09-07 10:09:40:864,1241060,1241060,0,0,582491848002,6106980105,1224765,13716,2579,365,392130,0 122,2,2024-09-07 10:09:41:324,897610,897535,75,0,46372140,0,5989 122,3,2024-09-07 10:09:40:598,1,708,11,0,512,10606,708,0 123,0,2024-09-07 10:09:40:965,176438,0.8,172081,0.8,360805,0.9,471558,2.25 123,1,2024-09-07 10:09:40:566,1242166,1242166,0,0,582589802743,6122065979,1223065,16072,3029,369,392039,0 123,2,2024-09-07 10:09:41:019,893426,893425,1,0,39720987,0,5215 123,3,2024-09-07 10:09:41:139,1,708,0,0,478,7925,708,0 124,0,2024-09-07 10:09:40:929,182213,0.4,182267,0.5,343482,0.3,473216,1.75 124,1,2024-09-07 10:09:41:034,1245648,1245648,0,0,583805078668,6070115929,1236043,8342,1263,365,392178,0 124,2,2024-09-07 10:09:41:017,896381,896328,53,0,37010338,0,6487 124,3,2024-09-07 10:09:40:765,1,708,13,0,490,7276,708,0 125,0,2024-09-07 10:09:41:427,177666,0.4,177595,0.6,356063,0.3,474162,1.75 125,1,2024-09-07 10:09:40:855,1242364,1242364,0,0,583421871018,6094765877,1231308,9471,1585,382,392045,0 125,2,2024-09-07 10:09:41:115,898272,898272,0,0,36524610,0,4534 125,3,2024-09-07 10:09:41:128,1,708,1,0,709,7834,708,0 126,0,2024-09-07 10:09:41:439,177029,0.4,182038,0.5,347995,0.3,471709,1.75 126,1,2024-09-07 10:09:40:573,1246228,1246228,0,0,585582209893,6066314233,1238944,6582,702,365,391987,0 126,2,2024-09-07 10:09:40:626,900913,900913,0,0,38335681,0,4539 126,3,2024-09-07 10:09:40:913,1,708,3,0,268,7977,708,0 127,0,2024-09-07 10:09:41:593,176226,0.3,176433,0.5,351773,0.3,468752,1.75 127,1,2024-09-07 10:09:40:581,1244582,1244582,0,0,583563238643,6070882858,1232117,10688,1777,364,392187,0 127,2,2024-09-07 10:09:40:650,894673,894669,4,0,36080385,0,5305 127,3,2024-09-07 10:09:41:270,1,708,12,0,968,7084,708,0 128,0,2024-09-07 10:09:41:532,178315,0.3,178067,0.4,356533,0.2,473372,1.50 128,1,2024-09-07 10:09:41:605,1244676,1244676,0,0,584929082165,6078800255,1235676,8027,973,367,392423,0 128,2,2024-09-07 10:09:41:390,896223,896223,0,0,33587404,0,3171 128,3,2024-09-07 10:09:40:767,1,708,100,0,1082,10526,708,0 129,0,2024-09-07 10:09:40:999,180219,0.3,179407,0.5,359859,0.3,477920,1.50 129,1,2024-09-07 10:09:40:587,1240733,1240733,0,0,581891124692,6101765651,1227756,10647,2330,379,391962,0 129,2,2024-09-07 10:09:40:690,897776,897772,4,0,36466496,0,5335 129,3,2024-09-07 10:09:40:691,1,708,0,0,506,10066,708,0 130,0,2024-09-07 10:09:41:800,178172,0.4,177998,0.5,356535,0.4,474098,1.75 130,1,2024-09-07 10:09:40:582,1245853,1245853,0,0,584622487071,6068488361,1239992,5453,408,381,391836,0 130,2,2024-09-07 10:09:41:142,898905,898905,0,0,36169317,0,4067 130,3,2024-09-07 10:09:41:300,1,708,4,0,960,8949,708,0 131,0,2024-09-07 10:09:41:934,175042,0.3,175465,0.5,351867,0.3,467748,1.50 131,1,2024-09-07 10:09:41:829,1245261,1245261,0,0,584317515523,6086276838,1237101,6947,1213,381,391865,0 131,2,2024-09-07 10:09:40:568,894698,894698,0,0,34333859,0,3979 131,3,2024-09-07 10:09:41:692,1,708,1,0,392,8747,708,0 132,0,2024-09-07 10:09:41:445,179276,0.5,180258,0.6,358783,0.4,477118,2.00 132,1,2024-09-07 10:09:40:593,1240670,1240670,0,0,581525772390,6114941252,1224154,13733,2783,381,392532,0 132,2,2024-09-07 10:09:40:706,893962,893945,17,0,43034297,0,6451 132,3,2024-09-07 10:09:41:691,1,708,2,0,1298,11928,708,0 133,0,2024-09-07 10:09:41:533,174687,0.5,178728,0.6,366670,0.4,476981,2.00 133,1,2024-09-07 10:09:40:591,1239726,1239726,0,0,582032804045,6125325070,1224264,13526,1936,383,391914,0 133,2,2024-09-07 10:09:41:086,896485,896435,50,0,44101923,0,6861 133,3,2024-09-07 10:09:41:298,1,708,3,0,528,8455,708,0 134,0,2024-09-07 10:09:40:940,179493,0.5,179386,0.7,358475,0.5,478069,2.00 134,1,2024-09-07 10:09:40:585,1241767,1241767,0,0,581962149325,6102271543,1225676,12799,3292,366,391826,0 134,2,2024-09-07 10:09:41:758,895242,895103,139,0,41877394,0,7591 134,3,2024-09-07 10:09:40:772,1,708,0,0,739,8547,708,0 135,0,2024-09-07 10:09:41:129,169794,0.7,169842,0.8,360498,0.7,464642,2.25 135,1,2024-09-07 10:09:41:585,1241350,1241350,0,0,582936376353,6122982820,1226922,12575,1853,380,392038,0 135,2,2024-09-07 10:09:40:690,897486,897486,0,0,42366317,0,4503 135,3,2024-09-07 10:09:41:026,1,708,2,0,900,6695,708,0 136,0,2024-09-07 10:09:41:624,178921,0.6,179478,0.8,357294,0.6,477336,2.00 136,1,2024-09-07 10:09:41:442,1242631,1242631,0,0,583006589312,6104919026,1229789,11414,1428,381,392135,0 136,2,2024-09-07 10:09:41:144,896360,896345,15,0,41349373,0,6007 136,3,2024-09-07 10:09:41:107,1,708,1,0,637,8231,708,0 137,0,2024-09-07 10:09:40:944,183499,0.6,178980,0.7,350359,0.7,476329,2.00 137,1,2024-09-07 10:09:40:593,1241412,1241412,0,0,582748681956,6106746320,1222925,14841,3646,366,391898,0 137,2,2024-09-07 10:09:41:719,893534,893534,0,0,42568472,0,3185 137,3,2024-09-07 10:09:40:777,1,708,1,0,484,9873,708,0 138,0,2024-09-07 10:09:41:764,176924,0.9,177209,0.9,354918,1.1,471921,2.25 138,1,2024-09-07 10:09:41:685,1240976,1240976,0,0,582821751331,6112624765,1223830,14454,2692,368,391954,0 138,2,2024-09-07 10:09:40:587,893394,893394,0,0,40980253,0,4988 138,3,2024-09-07 10:09:40:610,1,708,1,0,1200,10387,708,0 139,0,2024-09-07 10:09:41:371,174840,0.8,175493,0.9,350655,1.1,468036,2.25 139,1,2024-09-07 10:09:40:587,1237548,1237548,0,0,579840586710,6139225481,1217506,16128,3914,380,392109,0 139,2,2024-09-07 10:09:40:692,892940,892910,30,0,46711943,0,5997 139,3,2024-09-07 10:09:41:675,1,708,5,0,432,8279,708,0 140,0,2024-09-07 10:09:41:595,177057,0.3,176400,0.5,353634,0.2,471673,1.75 140,1,2024-09-07 10:09:41:539,1249069,1249069,0,0,586258805326,6048751862,1242260,6004,805,364,391628,0 140,2,2024-09-07 10:09:40:687,894425,894424,1,0,34910035,0,5036 140,3,2024-09-07 10:09:40:768,1,708,0,0,575,7428,708,0 141,0,2024-09-07 10:09:41:724,177792,0.3,182583,0.5,348786,0.3,471834,1.75 141,1,2024-09-07 10:09:40:866,1245846,1245846,0,0,585100539468,6080476129,1235495,8957,1394,379,391614,0 141,2,2024-09-07 10:09:41:691,895189,895178,11,0,37493566,0,5369 141,3,2024-09-07 10:09:41:050,1,708,1,0,391,8192,708,0 142,0,2024-09-07 10:09:41:305,179297,0.3,178468,0.5,357357,0.3,476188,1.50 142,1,2024-09-07 10:09:40:607,1243804,1243804,0,0,584042447279,6093389647,1234627,8282,895,382,392102,0 142,2,2024-09-07 10:09:41:298,895239,895207,32,0,37136243,0,6028 142,3,2024-09-07 10:09:41:747,1,708,5,0,484,7110,708,0 143,0,2024-09-07 10:09:41:373,176647,0.4,176382,0.5,354213,0.4,470461,1.75 143,1,2024-09-07 10:09:40:557,1247069,1247069,0,0,584339190690,6063848155,1238653,7443,973,367,391900,0 143,2,2024-09-07 10:09:40:772,900822,900822,0,0,36752627,0,3123 143,3,2024-09-07 10:09:41:142,1,708,1,0,462,8288,708,0 144,0,2024-09-07 10:09:41:502,170218,0.5,175029,0.7,356508,0.5,467124,2.00 144,1,2024-09-07 10:09:40:565,1241086,1241086,0,0,583072933479,6114147722,1229327,9714,2045,381,391814,0 144,2,2024-09-07 10:09:41:760,894910,894910,0,0,36136683,0,4443 144,3,2024-09-07 10:09:41:739,1,708,5,0,306,7541,708,0 145,0,2024-09-07 10:09:41:359,172449,0.6,172430,0.8,366042,0.5,470612,2.25 145,1,2024-09-07 10:09:40:552,1239854,1239854,0,0,581710209508,6118464800,1223640,13244,2970,381,391879,0 145,2,2024-09-07 10:09:41:429,893027,892945,82,0,42208049,0,7814 145,3,2024-09-07 10:09:40:896,1,708,1,0,622,9428,708,0 146,0,2024-09-07 10:09:41:605,178475,0.7,177596,0.8,357172,0.7,474380,2.25 146,1,2024-09-07 10:09:41:585,1241525,1241525,0,0,582342169313,6120557836,1222357,14237,4931,367,391829,0 146,2,2024-09-07 10:09:41:698,894053,894047,6,0,40471054,0,5151 146,3,2024-09-07 10:09:41:274,1,708,1,0,1520,10952,708,0 147,0,2024-09-07 10:09:41:699,178819,0.6,178638,0.7,357278,0.5,476396,2.00 147,1,2024-09-07 10:09:41:378,1246022,1246022,0,0,584452900130,6074014093,1236057,8863,1102,367,391791,0 147,2,2024-09-07 10:09:41:010,896678,896678,0,0,38154168,0,4531 147,3,2024-09-07 10:09:40:913,1,708,39,0,1626,10525,708,0 0,0,2024-09-07 10:09:51:723,173502,0.7,173576,0.8,368303,0.8,476147,2.00 0,1,2024-09-07 10:09:50:802,1244371,1244371,0,0,584640380904,6116943516,1234475,8918,978,368,391896,0 0,2,2024-09-07 10:09:51:067,897687,897687,0,0,35912586,0,4480 0,3,2024-09-07 10:09:50:974,1,709,100,0,538,10093,709,0 1,0,2024-09-07 10:09:51:944,178210,0.8,177275,0.9,355628,0.9,476059,2.00 1,1,2024-09-07 10:09:50:561,1243353,1243353,0,0,582839643970,6104152544,1231942,9438,1973,370,391859,0 1,2,2024-09-07 10:09:50:644,897819,897819,0,0,35446537,0,3380 1,3,2024-09-07 10:09:51:310,1,709,6,0,269,8339,709,0 2,0,2024-09-07 10:09:51:572,176456,0.5,176212,0.7,352174,0.5,469994,2.00 2,1,2024-09-07 10:09:50:867,1247237,1247237,0,0,584541183417,6076278704,1240303,5972,962,379,391805,0 2,2,2024-09-07 10:09:51:272,899156,899156,0,0,34186931,0,3594 2,3,2024-09-07 10:09:50:692,1,709,1,0,357,6141,709,0 3,0,2024-09-07 10:09:51:748,177884,0.4,177708,0.6,355048,0.3,473425,2.00 3,1,2024-09-07 10:09:51:620,1245284,1245284,0,0,584180796892,6074351505,1236687,7879,718,379,391716,0 3,2,2024-09-07 10:09:51:145,897613,897590,23,0,34891227,0,5851 3,3,2024-09-07 10:09:51:758,1,709,1,0,484,5260,709,0 4,0,2024-09-07 10:09:51:842,172358,0.4,176967,0.5,361220,0.4,472385,1.75 4,1,2024-09-07 10:09:50:595,1240256,1240256,0,0,581899360247,6150272943,1220334,15320,4602,369,391992,0 4,2,2024-09-07 10:09:51:028,893347,893347,0,0,42514581,0,4534 4,3,2024-09-07 10:09:51:031,1,709,21,0,448,9415,709,0 5,0,2024-09-07 10:09:51:427,178029,0.4,177824,0.6,355992,0.4,473976,1.75 5,1,2024-09-07 10:09:50:756,1241346,1241346,0,0,581947602338,6135552867,1223637,13468,4241,367,392005,0 5,2,2024-09-07 10:09:51:851,892986,892953,33,0,42876063,0,7631 5,3,2024-09-07 10:09:51:741,1,709,6,0,457,9226,709,0 6,0,2024-09-07 10:09:50:944,177030,0.4,176364,0.6,353207,0.4,470377,2.00 6,1,2024-09-07 10:09:50:746,1245072,1245072,0,0,584477485256,6098440482,1232889,10498,1685,379,391702,0 6,2,2024-09-07 10:09:51:118,900984,900966,18,0,39684966,0,5535 6,3,2024-09-07 10:09:51:274,1,709,0,0,710,7984,709,0 7,0,2024-09-07 10:09:51:545,175580,0.5,176748,0.6,350555,0.4,468256,2.00 7,1,2024-09-07 10:09:50:850,1241870,1241870,0,0,582960605255,6128742402,1224033,13700,4137,382,391747,0 7,2,2024-09-07 10:09:50:772,894295,894126,169,0,40440220,0,7706 7,3,2024-09-07 10:09:50:851,1,709,1,0,552,8191,709,0 8,0,2024-09-07 10:09:51:342,177903,0.4,177496,0.5,355718,0.4,473922,1.75 8,1,2024-09-07 10:09:51:018,1240943,1240943,0,0,583125796189,6127838877,1222072,14825,4046,366,392853,0 8,2,2024-09-07 10:09:50:790,890006,890004,2,0,45677202,0,5112 8,3,2024-09-07 10:09:50:590,1,709,19,0,772,10622,709,0 9,0,2024-09-07 10:09:51:156,179042,0.4,173805,0.5,363799,0.3,477274,1.75 9,1,2024-09-07 10:09:50:553,1240695,1240695,0,0,583202988675,6146362811,1220963,15381,4351,369,392001,0 9,2,2024-09-07 10:09:51:091,895612,895611,1,0,43658595,0,5281 9,3,2024-09-07 10:09:51:758,1,709,1,0,1273,11150,709,0 10,0,2024-09-07 10:09:51:600,177395,0.3,177004,0.5,354859,0.3,471784,1.75 10,1,2024-09-07 10:09:50:583,1242629,1242629,0,0,583246219186,6118278620,1224481,14413,3735,381,391981,0 10,2,2024-09-07 10:09:50:762,899163,899163,0,0,46929566,0,4713 10,3,2024-09-07 10:09:50:871,1,709,2,0,669,7411,709,0 11,0,2024-09-07 10:09:51:010,175097,0.4,169996,0.6,355809,0.3,468950,1.75 11,1,2024-09-07 10:09:50:570,1244921,1244921,0,0,584021340797,6119506460,1228032,12579,4310,383,391766,0 11,2,2024-09-07 10:09:51:126,894377,894377,0,0,40229082,0,4698 11,3,2024-09-07 10:09:51:301,1,709,0,0,843,8506,709,0 12,0,2024-09-07 10:09:50:940,180247,0.4,179894,0.6,359512,0.4,477867,1.75 12,1,2024-09-07 10:09:50:939,1244018,1244018,0,0,583792376731,6089473836,1234146,8840,1032,368,391960,0 12,2,2024-09-07 10:09:51:551,893317,893317,0,0,40299351,0,4390 12,3,2024-09-07 10:09:51:059,1,709,2,0,386,8649,709,0 13,0,2024-09-07 10:09:51:348,180160,0.4,180347,0.6,359874,0.4,479014,1.75 13,1,2024-09-07 10:09:51:529,1241918,1241918,0,0,582743031320,6131644951,1227738,11097,3083,382,391803,0 13,2,2024-09-07 10:09:50:595,898606,898606,0,0,36580185,0,3287 13,3,2024-09-07 10:09:51:785,1,709,4,0,522,9405,709,0 14,0,2024-09-07 10:09:50:567,179043,0.4,180031,0.6,358165,0.3,476744,1.75 14,1,2024-09-07 10:09:51:574,1250343,1250343,0,0,586898979208,6069634495,1241075,8117,1151,364,391673,0 14,2,2024-09-07 10:09:50:764,897786,897756,30,0,37590003,0,6104 14,3,2024-09-07 10:09:51:114,1,709,0,0,1168,7233,709,0 15,0,2024-09-07 10:09:51:556,175665,0.4,174914,0.6,349897,0.3,467118,1.75 15,1,2024-09-07 10:09:51:613,1246040,1246040,0,0,585058334948,6095327444,1235170,8772,2098,380,391619,0 15,2,2024-09-07 10:09:51:001,899073,899073,0,0,33508575,0,3622 15,3,2024-09-07 10:09:51:407,1,709,0,0,1126,8672,709,0 16,0,2024-09-07 10:09:50:931,178990,0.6,179935,0.8,358889,0.6,477988,2.00 16,1,2024-09-07 10:09:50:567,1245563,1245563,0,0,584276535963,6105435045,1234800,9216,1547,370,392194,0 16,2,2024-09-07 10:09:51:450,895661,895661,0,0,36605146,0,4719 16,3,2024-09-07 10:09:51:144,1,709,1,0,362,8639,709,0 17,0,2024-09-07 10:09:51:814,183918,0.7,179290,0.8,351160,0.7,477459,2.00 17,1,2024-09-07 10:09:50:576,1243971,1243971,0,0,583622636689,6114369216,1232835,9333,1803,368,392075,0 17,2,2024-09-07 10:09:51:667,899505,899504,1,0,37909079,0,5050 17,3,2024-09-07 10:09:50:591,1,709,2,0,518,9981,709,0 18,0,2024-09-07 10:09:50:939,176225,0.6,177367,0.8,353132,0.6,471518,2.25 18,1,2024-09-07 10:09:51:640,1249059,1249059,0,0,585451629636,6070702218,1240871,6756,1432,367,391725,0 18,2,2024-09-07 10:09:51:755,898936,898936,0,0,34183993,0,3541 18,3,2024-09-07 10:09:50:902,1,709,5,0,1059,6321,709,0 19,0,2024-09-07 10:09:51:548,175742,0.6,176237,0.8,351255,0.6,467454,2.00 19,1,2024-09-07 10:09:50:568,1248324,1248324,0,0,586605718765,6075431341,1239465,7697,1162,365,391777,0 19,2,2024-09-07 10:09:51:758,902860,902860,0,0,32029598,0,3988 19,3,2024-09-07 10:09:51:128,1,709,14,0,524,5575,709,0 20,0,2024-09-07 10:09:51:385,176832,0.5,176832,0.7,353309,0.5,471811,2.00 20,1,2024-09-07 10:09:50:572,1243472,1243472,0,0,584004549872,6116961530,1231179,10584,1709,369,391922,0 20,2,2024-09-07 10:09:50:938,895490,895490,0,0,40446164,0,4321 20,3,2024-09-07 10:09:50:596,1,709,3,0,468,11618,709,0 21,0,2024-09-07 10:09:51:132,177356,0.5,177461,0.7,354771,0.5,471162,2.00 21,1,2024-09-07 10:09:51:542,1241183,1241183,0,0,582032762621,6138217483,1222410,14776,3997,368,392016,0 21,2,2024-09-07 10:09:51:068,889606,889129,477,0,51757321,0,17074 21,3,2024-09-07 10:09:51:434,1,709,2,0,713,9777,709,0 22,0,2024-09-07 10:09:51:719,178267,0.5,178913,0.7,357475,0.4,474322,2.00 22,1,2024-09-07 10:09:51:024,1242495,1242495,0,0,582662991445,6124938622,1223376,15513,3606,381,391822,0 22,2,2024-09-07 10:09:50:759,895762,895736,26,0,38452227,0,6328 22,3,2024-09-07 10:09:51:066,1,709,1,0,228,5682,709,0 23,0,2024-09-07 10:09:51:370,176391,0.5,176285,0.6,352536,0.4,469948,2.00 23,1,2024-09-07 10:09:51:003,1243530,1243530,0,0,582868495941,6133444870,1221628,14731,7171,365,391690,0 23,2,2024-09-07 10:09:51:102,901149,901149,0,0,36706549,0,3773 23,3,2024-09-07 10:09:51:754,1,709,1,0,855,9803,709,0 24,0,2024-09-07 10:09:50:823,176994,0.4,176046,0.5,353662,0.3,470329,1.75 24,1,2024-09-07 10:09:50:602,1242453,1242453,0,0,583649670353,6105765948,1230835,9740,1878,367,392269,0 24,2,2024-09-07 10:09:51:072,894512,894509,3,0,43091661,0,6294 24,3,2024-09-07 10:09:51:687,1,709,3,0,468,9234,709,0 25,0,2024-09-07 10:09:51:355,183177,0.4,177941,0.6,350293,0.4,476624,1.75 25,1,2024-09-07 10:09:50:562,1240922,1240922,0,0,582246478640,6148196625,1219794,17128,4000,369,391928,0 25,2,2024-09-07 10:09:51:620,892378,892378,0,0,45125266,0,3978 25,3,2024-09-07 10:09:51:003,1,709,4,0,532,7694,709,0 26,0,2024-09-07 10:09:51:726,178064,0.4,174032,0.6,365084,0.4,476056,2.00 26,1,2024-09-07 10:09:51:542,1244915,1244915,0,0,583938704037,6107974127,1229205,12805,2905,380,391758,0 26,2,2024-09-07 10:09:50:861,896968,896968,0,0,46240874,0,4689 26,3,2024-09-07 10:09:51:712,1,709,2,0,796,8704,709,0 27,0,2024-09-07 10:09:51:724,178802,0.4,179526,0.6,357031,0.4,476008,2.25 27,1,2024-09-07 10:09:51:676,1246269,1246269,0,0,585767939323,6100784911,1234887,9759,1623,381,391626,0 27,2,2024-09-07 10:09:50:871,895148,895083,65,0,40895699,0,5699 27,3,2024-09-07 10:09:51:014,1,709,6,0,564,5939,709,0 28,0,2024-09-07 10:09:51:393,175803,0.4,175814,0.6,351685,0.3,468675,1.75 28,1,2024-09-07 10:09:50:797,1246740,1246740,0,0,585924621512,6111909815,1235264,9048,2428,382,391904,0 28,2,2024-09-07 10:09:51:768,895650,895650,0,0,38098674,0,4060 28,3,2024-09-07 10:09:51:788,1,709,21,0,502,7411,709,0 29,0,2024-09-07 10:09:51:363,184400,0.4,179423,0.6,352390,0.4,480065,1.75 29,1,2024-09-07 10:09:51:564,1250291,1250291,0,0,586800239097,6070901376,1242362,6907,1022,367,391809,0 29,2,2024-09-07 10:09:50:861,895396,895396,0,0,35610989,0,4986 29,3,2024-09-07 10:09:50:979,1,709,8,0,590,7914,709,0 30,0,2024-09-07 10:09:51:498,177584,0.6,172810,0.7,362076,0.5,473094,2.00 30,1,2024-09-07 10:09:50:576,1248846,1248846,0,0,586956709091,6093196223,1239030,8647,1169,380,391672,0 30,2,2024-09-07 10:09:51:275,897833,897833,0,0,34568911,0,4192 30,3,2024-09-07 10:09:50:582,1,709,1,0,519,7249,709,0 31,0,2024-09-07 10:09:51:765,177964,0.5,178940,0.6,356735,0.4,476063,2.00 31,1,2024-09-07 10:09:50:569,1252664,1252664,0,0,587739033925,6035603524,1244730,6665,1269,356,391712,0 31,2,2024-09-07 10:09:51:274,897185,897185,0,0,37945339,0,4470 31,3,2024-09-07 10:09:51:708,1,709,6,0,248,6204,709,0 32,0,2024-09-07 10:09:51:434,176604,0.3,177624,0.5,354095,0.2,471466,1.75 32,1,2024-09-07 10:09:50:805,1248470,1248470,0,0,585966760249,6088932044,1240971,6534,965,381,391646,0 32,2,2024-09-07 10:09:50:943,901172,901172,0,0,33091092,0,3922 32,3,2024-09-07 10:09:51:015,1,709,0,0,304,5861,709,0 33,0,2024-09-07 10:09:51:501,178548,0.3,178111,0.4,356394,0.2,474903,1.50 33,1,2024-09-07 10:09:50:592,1249309,1249309,0,0,586785850722,6073619329,1239679,8272,1358,368,391730,0 33,2,2024-09-07 10:09:50:759,896423,896388,35,0,36702287,0,7012 33,3,2024-09-07 10:09:50:903,1,709,3,0,329,6447,709,0 34,0,2024-09-07 10:09:50:934,177829,0.3,182886,0.5,349749,0.2,472420,1.75 34,1,2024-09-07 10:09:51:049,1252435,1252435,0,0,587346584378,6042454181,1248419,3807,209,366,391637,0 34,2,2024-09-07 10:09:50:766,896889,896889,0,0,34236033,0,4562 34,3,2024-09-07 10:09:51:688,1,709,1,0,541,6062,709,0 35,0,2024-09-07 10:09:50:859,177486,0.3,178013,0.5,356936,0.2,474979,1.75 35,1,2024-09-07 10:09:51:068,1247850,1247850,0,0,584601124954,6063879631,1238908,7389,1553,382,391769,0 35,2,2024-09-07 10:09:51:583,897051,897051,0,0,39934499,0,4055 35,3,2024-09-07 10:09:50:906,1,709,0,0,466,6591,709,0 36,0,2024-09-07 10:09:51:533,177533,0.4,177682,0.6,354775,0.4,472419,2.00 36,1,2024-09-07 10:09:50:594,1246235,1246235,0,0,584077966258,6093888084,1231452,12429,2354,366,391759,0 36,2,2024-09-07 10:09:51:765,900306,900306,0,0,39815682,0,3875 36,3,2024-09-07 10:09:50:863,1,709,1,0,556,8855,709,0 37,0,2024-09-07 10:09:51:376,175681,0.5,175475,0.6,351552,0.5,469097,2.00 37,1,2024-09-07 10:09:50:569,1246435,1246428,0,7,584598089725,6100181917,1232232,11079,3117,365,391770,0 37,2,2024-09-07 10:09:51:144,893758,893743,15,0,39896175,0,5815 37,3,2024-09-07 10:09:51:766,1,709,0,0,888,9578,709,0 38,0,2024-09-07 10:09:51:440,176766,0.5,171353,0.7,358393,0.4,469739,2.00 38,1,2024-09-07 10:09:51:614,1246034,1246034,0,0,584336459464,6103857529,1229191,13537,3306,368,391821,0 38,2,2024-09-07 10:09:50:761,896037,895990,47,0,39828681,0,6710 38,3,2024-09-07 10:09:51:001,1,709,1,0,689,7933,709,0 39,0,2024-09-07 10:09:51:765,182538,0.5,178249,0.7,348137,0.5,474734,2.00 39,1,2024-09-07 10:09:50:716,1243661,1243661,0,0,583857577393,6116058932,1223849,15579,4233,365,391865,0 39,2,2024-09-07 10:09:51:420,897424,897424,0,0,38143895,0,3478 39,3,2024-09-07 10:09:50:715,1,709,1,0,525,7771,709,0 40,0,2024-09-07 10:09:51:492,175935,0.8,176546,0.9,352544,0.9,470363,2.75 40,1,2024-09-07 10:09:50:576,1244508,1244508,0,0,583673191608,6114413639,1225666,15259,3583,366,391668,0 40,2,2024-09-07 10:09:51:316,896910,896903,7,0,44092324,0,5347 40,3,2024-09-07 10:09:51:144,1,709,0,0,1028,9881,709,0 41,0,2024-09-07 10:09:51:057,174383,1.1,178588,1.0,340755,1.4,462855,2.75 41,1,2024-09-07 10:09:50:777,1245127,1245127,0,0,584483053907,6109007210,1229408,13192,2527,369,391878,0 41,2,2024-09-07 10:09:50:759,891711,891710,1,0,43058533,0,5408 41,3,2024-09-07 10:09:51:688,1,709,2,0,749,8490,709,0 42,0,2024-09-07 10:09:51:475,177647,1.0,177485,1.0,355306,1.3,471097,2.75 42,1,2024-09-07 10:09:51:439,1241999,1241999,0,0,582372931305,6109536029,1224392,14523,3084,380,391675,0 42,2,2024-09-07 10:09:51:132,891991,891990,1,0,44510600,0,5513 42,3,2024-09-07 10:09:51:011,1,709,1,0,892,7089,709,0 43,0,2024-09-07 10:09:50:951,176747,0.8,172072,1.0,360666,0.8,471551,2.25 43,1,2024-09-07 10:09:50:576,1245807,1245807,0,0,585489710745,6111349145,1229695,13364,2748,365,391696,0 43,2,2024-09-07 10:09:51:744,898440,898440,0,0,40751937,0,4723 43,3,2024-09-07 10:09:51:752,1,709,29,0,571,9389,709,0 44,0,2024-09-07 10:09:50:878,179239,0.4,179347,0.6,358323,0.3,477810,1.75 44,1,2024-09-07 10:09:50:562,1248788,1248788,0,0,586048812669,6058762872,1237456,9181,2151,356,391809,0 44,2,2024-09-07 10:09:51:267,896272,896272,0,0,34199959,0,4344 44,3,2024-09-07 10:09:51:127,1,709,1,0,1097,9181,709,0 45,0,2024-09-07 10:09:51:793,173911,0.4,169724,0.7,356193,0.4,467328,2.00 45,1,2024-09-07 10:09:51:017,1248118,1248118,0,0,585633342170,6085753390,1238563,8334,1221,382,391917,0 45,2,2024-09-07 10:09:51:272,898708,898708,0,0,34232219,0,3596 45,3,2024-09-07 10:09:50:937,1,709,6,0,531,6539,709,0 46,0,2024-09-07 10:09:50:950,178521,0.5,177764,0.7,356748,0.5,474680,2.00 46,1,2024-09-07 10:09:50:591,1250009,1250009,0,0,586722489262,6068564174,1240728,7798,1483,366,391709,0 46,2,2024-09-07 10:09:50:594,897430,897430,0,0,35155774,0,4443 46,3,2024-09-07 10:09:51:141,1,709,1,0,908,7662,709,0 47,0,2024-09-07 10:09:51:113,179067,0.5,178644,0.6,358536,0.4,475680,2.00 47,1,2024-09-07 10:09:50:569,1251382,1251382,0,0,586701356299,6061715218,1243869,6500,1013,364,391666,0 47,2,2024-09-07 10:09:50:907,899427,899427,0,0,35634879,0,4477 47,3,2024-09-07 10:09:51:115,1,709,3,0,600,7614,709,0 48,0,2024-09-07 10:09:51:489,178295,0.3,178835,0.4,356422,0.2,474310,1.50 48,1,2024-09-07 10:09:51:029,1247546,1247546,0,0,585388138392,6080040842,1239870,6664,1012,381,391710,0 48,2,2024-09-07 10:09:50:698,897511,897511,0,0,32423586,0,3619 48,3,2024-09-07 10:09:50:754,1,709,2,0,339,6016,709,0 49,0,2024-09-07 10:09:51:725,181420,0.3,177666,0.5,345619,0.2,471902,1.75 49,1,2024-09-07 10:09:51:036,1247003,1247003,0,0,585849092306,6088855843,1239130,6175,1698,382,391809,0 49,2,2024-09-07 10:09:51:803,901920,901920,0,0,33900993,0,4426 49,3,2024-09-07 10:09:51:424,1,709,89,0,992,8217,709,0 50,0,2024-09-07 10:09:51:509,177205,0.3,176091,0.5,354451,0.2,471218,1.75 50,1,2024-09-07 10:09:51:015,1250560,1250560,0,0,587434903107,6070684160,1243000,6827,733,368,391691,0 50,2,2024-09-07 10:09:51:067,895247,895247,0,0,31883461,0,4490 50,3,2024-09-07 10:09:51:291,1,709,1,0,617,7235,709,0 51,0,2024-09-07 10:09:51:686,182138,0.3,178255,0.5,347150,0.2,473600,1.75 51,1,2024-09-07 10:09:51:692,1251648,1251648,0,0,588230938667,6062994309,1244944,5359,1345,365,391706,0 51,2,2024-09-07 10:09:51:323,896577,896577,0,0,31479626,0,3337 51,3,2024-09-07 10:09:51:029,1,709,1,0,678,5502,709,0 52,0,2024-09-07 10:09:51:428,178489,0.5,178370,0.6,356513,0.4,473908,2.00 52,1,2024-09-07 10:09:50:591,1245605,1245605,0,0,584981662396,6116440383,1228847,14331,2427,368,391805,0 52,2,2024-09-07 10:09:51:756,893018,892980,38,0,42881854,0,6742 52,3,2024-09-07 10:09:50:675,1,709,1,0,1782,7990,709,0 53,0,2024-09-07 10:09:51:730,176166,0.6,170953,0.8,357750,0.6,468507,2.25 53,1,2024-09-07 10:09:50:773,1244864,1244864,0,0,584177199478,6120158782,1225492,14940,4432,367,391968,0 53,2,2024-09-07 10:09:51:298,900612,900474,138,0,39293987,0,7690 53,3,2024-09-07 10:09:50:697,1,709,1,0,308,6524,709,0 54,0,2024-09-07 10:09:51:614,174237,0.5,174866,0.7,347720,0.4,464866,2.25 54,1,2024-09-07 10:09:50:583,1247177,1247177,0,0,585828560579,6086382146,1235376,10126,1675,366,391810,0 54,2,2024-09-07 10:09:50:865,895330,895298,32,0,42535157,0,6397 54,3,2024-09-07 10:09:50:763,1,709,17,0,676,9003,709,0 55,0,2024-09-07 10:09:51:764,172058,0.7,177222,0.8,359829,0.7,468599,2.50 55,1,2024-09-07 10:09:50:764,1247556,1247556,0,0,584648777251,6075352345,1235522,10545,1489,365,391731,0 55,2,2024-09-07 10:09:50:739,894266,894210,56,0,38859170,0,7239 55,3,2024-09-07 10:09:50:675,1,709,1,0,304,6314,709,0 56,0,2024-09-07 10:09:51:579,182039,1.2,171500,1.1,353616,1.5,473289,2.75 56,1,2024-09-07 10:09:50:570,1239767,1239767,0,0,581904247128,6147637213,1220255,15757,3755,381,391867,0 56,2,2024-09-07 10:09:51:307,895439,895317,122,0,41933332,0,7432 56,3,2024-09-07 10:09:51:068,1,709,1,0,705,8342,709,0 57,0,2024-09-07 10:09:50:950,177132,1.3,176979,1.2,353433,1.7,472395,3.00 57,1,2024-09-07 10:09:50:993,1243531,1243531,0,0,582764098100,6109395003,1227809,13015,2707,366,392097,0 57,2,2024-09-07 10:09:51:320,898052,898052,0,0,44751396,0,4804 57,3,2024-09-07 10:09:51:752,1,709,1,0,455,7510,709,0 58,0,2024-09-07 10:09:50:564,173172,0.9,168204,1.0,352149,1.0,460824,2.50 58,1,2024-09-07 10:09:50:575,1244558,1244555,0,3,583799890605,6117369854,1226182,13971,4402,367,391726,3 58,2,2024-09-07 10:09:51:070,896268,896268,0,0,40589018,0,3483 58,3,2024-09-07 10:09:51:068,1,709,4,0,1043,7611,709,0 59,0,2024-09-07 10:09:51:778,178216,0.9,177119,1.0,354530,1.1,470537,3.00 59,1,2024-09-07 10:09:50:804,1243842,1243842,0,0,583350997857,6123301756,1224341,15663,3838,369,391653,0 59,2,2024-09-07 10:09:50:590,895463,895463,0,0,38747165,0,3727 59,3,2024-09-07 10:09:51:737,1,709,1,0,1015,8330,709,0 60,0,2024-09-07 10:09:51:732,178366,0.5,178627,0.7,357087,0.5,476798,2.00 60,1,2024-09-07 10:09:50:775,1247833,1247833,0,0,585454690226,6094903420,1236893,9434,1506,370,392031,0 60,2,2024-09-07 10:09:51:145,897891,897891,0,0,36916260,0,3811 60,3,2024-09-07 10:09:51:259,1,709,1,0,409,8117,709,0 61,0,2024-09-07 10:09:51:540,178188,0.6,178873,0.8,356362,0.6,475591,2.00 61,1,2024-09-07 10:09:50:771,1244569,1244569,0,0,584375780287,6115908215,1231876,10841,1852,382,392127,0 61,2,2024-09-07 10:09:51:131,897781,897714,67,0,37820874,0,6411 61,3,2024-09-07 10:09:51:701,1,709,2,0,607,8740,709,0 62,0,2024-09-07 10:09:51:714,177222,0.5,181716,0.7,346904,0.5,471345,2.00 62,1,2024-09-07 10:09:51:112,1252613,1252607,0,6,587796845556,6066199324,1245345,6644,618,365,391975,6 62,2,2024-09-07 10:09:51:646,896991,896990,1,0,37554386,0,5555 62,3,2024-09-07 10:09:51:144,1,709,0,0,482,5495,709,0 63,0,2024-09-07 10:09:51:466,178484,0.4,178149,0.6,357012,0.3,475225,1.75 63,1,2024-09-07 10:09:50:804,1248816,1248810,0,6,585126332602,6076329385,1240888,6970,952,381,391800,6 63,2,2024-09-07 10:09:50:762,895100,895100,0,0,36815564,0,4369 63,3,2024-09-07 10:09:51:752,1,709,1,0,667,8091,709,0 64,0,2024-09-07 10:09:51:525,176893,0.5,176819,0.7,353292,0.5,470911,2.00 64,1,2024-09-07 10:09:50:750,1247071,1247071,0,0,585141029038,6088770655,1236851,8190,2030,370,391794,0 64,2,2024-09-07 10:09:51:145,899606,899587,19,0,35240719,0,6121 64,3,2024-09-07 10:09:51:144,1,709,1,0,651,7955,709,0 65,0,2024-09-07 10:09:51:686,176751,0.6,177021,0.7,353031,0.6,471277,2.00 65,1,2024-09-07 10:09:50:859,1245582,1245582,0,0,584259806162,6101978386,1237333,7351,898,381,391901,0 65,2,2024-09-07 10:09:51:692,895629,895629,0,0,42328663,0,3367 65,3,2024-09-07 10:09:51:691,1,709,0,0,782,8227,709,0 66,0,2024-09-07 10:09:51:785,176588,0.5,176075,0.7,352103,0.4,468996,2.00 66,1,2024-09-07 10:09:51:293,1247535,1247535,0,0,585658246333,6092242509,1240024,6637,874,380,391743,0 66,2,2024-09-07 10:09:51:134,902906,902903,3,0,37046157,0,5455 66,3,2024-09-07 10:09:51:079,1,709,1,0,291,6207,709,0 67,0,2024-09-07 10:09:51:439,176296,0.5,175842,0.7,352443,0.4,469331,2.00 67,1,2024-09-07 10:09:50:766,1247165,1247164,0,1,585391907034,6091620023,1238725,7299,1140,380,391787,1 67,2,2024-09-07 10:09:50:583,897900,897885,15,0,37493277,0,6205 67,3,2024-09-07 10:09:51:755,1,709,16,0,595,7386,709,0 68,0,2024-09-07 10:09:50:581,177716,0.6,177533,0.7,353584,0.6,472678,2.25 68,1,2024-09-07 10:09:50:579,1242475,1242475,0,0,582625580994,6125853928,1225738,12462,4275,381,391953,0 68,2,2024-09-07 10:09:51:048,891069,890969,100,0,45523121,0,8578 68,3,2024-09-07 10:09:50:738,1,709,1,0,417,9883,709,0 69,0,2024-09-07 10:09:51:726,178155,0.7,178746,0.8,356596,0.7,474653,2.25 69,1,2024-09-07 10:09:51:021,1241940,1241940,0,0,582412178773,6131010558,1227009,11946,2985,383,391994,0 69,2,2024-09-07 10:09:51:761,893579,893550,29,0,48677486,0,6912 69,3,2024-09-07 10:09:50:759,1,709,6,0,698,10449,709,0 70,0,2024-09-07 10:09:51:570,175664,0.7,176449,0.9,353714,0.6,468876,2.50 70,1,2024-09-07 10:09:50:801,1248705,1248705,0,0,586341082159,6082410598,1239235,8425,1045,366,391725,0 70,2,2024-09-07 10:09:51:325,899920,899920,0,0,39938902,0,4323 70,3,2024-09-07 10:09:50:745,1,709,1,0,854,7738,709,0 71,0,2024-09-07 10:09:51:356,174388,0.8,173976,0.9,348932,0.8,465505,2.50 71,1,2024-09-07 10:09:51:602,1246201,1246201,0,0,585035823196,6099543933,1231762,12653,1786,367,391738,0 71,2,2024-09-07 10:09:51:066,893493,893493,0,0,40370550,0,4352 71,3,2024-09-07 10:09:51:755,1,709,1,0,644,7665,709,0 72,0,2024-09-07 10:09:51:052,184675,0.5,180355,0.7,352403,0.5,478550,2.00 72,1,2024-09-07 10:09:51:022,1244644,1244644,0,0,583141855235,6111337125,1227697,14213,2734,369,391819,0 72,2,2024-09-07 10:09:51:760,892952,892952,0,0,42487392,0,3983 72,3,2024-09-07 10:09:51:765,1,709,8,0,564,9615,709,0 73,0,2024-09-07 10:09:51:128,174637,0.5,179180,0.6,366158,0.4,476679,2.00 73,1,2024-09-07 10:09:50:765,1246286,1246286,0,0,584922155338,6077830991,1236747,8472,1067,367,391858,0 73,2,2024-09-07 10:09:51:741,896350,896349,1,0,44448026,0,5027 73,3,2024-09-07 10:09:50:979,1,709,1,0,1091,9437,709,0 74,0,2024-09-07 10:09:51:333,180234,0.5,184519,0.7,351857,0.4,478180,2.00 74,1,2024-09-07 10:09:50:637,1245442,1245442,0,0,584409260601,6094507886,1233078,10216,2148,381,391762,0 74,2,2024-09-07 10:09:51:003,896931,896931,0,0,38582685,0,4253 74,3,2024-09-07 10:09:51:443,1,709,11,0,522,7982,709,0 75,0,2024-09-07 10:09:51:781,175600,0.5,174653,0.7,350762,0.4,468617,2.00 75,1,2024-09-07 10:09:51:585,1246648,1246648,0,0,585157745534,6094133830,1236760,8894,994,380,391739,0 75,2,2024-09-07 10:09:51:353,896008,896008,0,0,45700499,0,4766 75,3,2024-09-07 10:09:51:067,1,709,1,0,918,9652,709,0 76,0,2024-09-07 10:09:50:590,178736,0.6,178335,0.7,356066,0.6,476294,2.25 76,1,2024-09-07 10:09:50:805,1245375,1245375,0,0,583671115496,6087916627,1236462,7603,1310,382,391790,0 76,2,2024-09-07 10:09:51:063,898601,898598,3,0,38731859,0,5265 76,3,2024-09-07 10:09:51:143,1,709,0,0,249,6574,709,0 77,0,2024-09-07 10:09:51:715,177887,0.6,178185,0.7,356771,0.6,474589,2.00 77,1,2024-09-07 10:09:50:824,1244836,1244836,0,0,584597114434,6102928181,1234554,9193,1089,381,391869,0 77,2,2024-09-07 10:09:51:295,896240,896240,0,0,37331212,0,3890 77,3,2024-09-07 10:09:51:106,1,709,34,0,401,7942,709,0 78,0,2024-09-07 10:09:51:725,178652,0.4,177731,0.6,357034,0.4,472449,2.00 78,1,2024-09-07 10:09:50:610,1245019,1245019,0,0,584117869398,6094161808,1229473,12214,3332,367,391670,0 78,2,2024-09-07 10:09:51:424,897823,897810,13,0,34865718,0,8313 78,3,2024-09-07 10:09:51:133,1,709,4,0,311,5989,709,0 79,0,2024-09-07 10:09:51:358,170459,0.4,174645,0.6,357786,0.3,466302,2.00 79,1,2024-09-07 10:09:50:571,1249733,1249733,0,0,586886132946,6076992528,1239905,8026,1802,367,391682,0 79,2,2024-09-07 10:09:51:067,901333,901333,0,0,33862652,0,4195 79,3,2024-09-07 10:09:50:748,1,709,2,0,418,8169,709,0 80,0,2024-09-07 10:09:51:086,177178,0.5,181869,0.7,347388,0.5,470419,2.00 80,1,2024-09-07 10:09:51:625,1245962,1245962,0,0,584704621223,6085754847,1237968,7411,583,368,392269,0 80,2,2024-09-07 10:09:51:110,898457,898457,0,0,34308995,0,4433 80,3,2024-09-07 10:09:50:575,1,709,1,0,681,8531,709,0 81,0,2024-09-07 10:09:51:537,177504,0.6,181688,0.7,346491,0.6,470082,2.00 81,1,2024-09-07 10:09:51:650,1245148,1245148,0,0,583302113314,6094968907,1235270,8880,998,382,392001,0 81,2,2024-09-07 10:09:51:129,895598,895535,63,0,38176141,0,5932 81,3,2024-09-07 10:09:51:117,1,709,10,0,719,7689,709,0 82,0,2024-09-07 10:09:51:564,178393,0.5,178476,0.7,357570,0.5,474438,2.00 82,1,2024-09-07 10:09:50:583,1246848,1246844,0,4,584030363786,6075119192,1239887,5832,1125,381,391768,4 82,2,2024-09-07 10:09:51:691,899919,899919,0,0,31759639,0,4484 82,3,2024-09-07 10:09:51:752,1,709,1,0,363,6316,709,0 83,0,2024-09-07 10:09:51:566,176885,0.5,176692,0.7,353082,0.5,468907,2.00 83,1,2024-09-07 10:09:50:551,1245874,1245874,0,0,584624367934,6092213241,1236879,7966,1029,382,391709,0 83,2,2024-09-07 10:09:50:763,900951,900926,25,0,34681466,0,5612 83,3,2024-09-07 10:09:50:749,1,709,1,0,1260,8217,709,0 84,0,2024-09-07 10:09:51:785,174497,0.7,174512,0.8,349225,0.6,466598,2.25 84,1,2024-09-07 10:09:51:052,1244293,1244293,0,0,583954094576,6104678716,1230581,11626,2086,367,391967,0 84,2,2024-09-07 10:09:50:572,892665,892255,410,0,49885933,0,17037 84,3,2024-09-07 10:09:51:147,1,709,3,0,908,9716,709,0 85,0,2024-09-07 10:09:51:011,171353,0.7,171313,0.8,363605,0.7,469142,2.25 85,1,2024-09-07 10:09:50:590,1240457,1240457,0,0,582716598731,6143858596,1222643,14881,2933,381,392092,0 85,2,2024-09-07 10:09:50:865,894340,894340,0,0,42330343,0,4255 85,3,2024-09-07 10:09:50:694,1,709,2,0,789,8514,709,0 86,0,2024-09-07 10:09:50:889,178053,0.7,183081,0.8,350592,0.8,474117,2.25 86,1,2024-09-07 10:09:50:824,1243000,1243000,0,0,584131082276,6130387040,1225301,14383,3316,366,392169,0 86,2,2024-09-07 10:09:50:854,896640,896639,1,0,44377034,0,5004 86,3,2024-09-07 10:09:50:594,1,709,1,0,441,9664,709,0 87,0,2024-09-07 10:09:51:281,179317,0.7,178000,0.8,356578,0.8,476029,2.25 87,1,2024-09-07 10:09:50:549,1243362,1243362,0,0,583141123355,6104310591,1228410,12786,2166,366,392076,0 87,2,2024-09-07 10:09:51:068,897590,897584,6,0,41801586,0,6323 87,3,2024-09-07 10:09:51:809,1,709,1,0,473,9883,709,0 88,0,2024-09-07 10:09:51:497,175535,0.4,175899,0.6,351867,0.4,468856,1.75 88,1,2024-09-07 10:09:50:582,1241814,1241814,0,0,582823915850,6103709964,1224949,13721,3144,365,392084,0 88,2,2024-09-07 10:09:50:691,895262,895262,0,0,43934904,0,4465 88,3,2024-09-07 10:09:51:274,1,709,1,0,1080,11180,709,0 89,0,2024-09-07 10:09:51:763,184495,0.5,179194,0.6,352817,0.4,479415,1.75 89,1,2024-09-07 10:09:50:550,1242110,1242110,0,0,583273458398,6129343652,1227412,12415,2283,382,392084,0 89,2,2024-09-07 10:09:51:142,895282,895282,0,0,41325116,0,3173 89,3,2024-09-07 10:09:51:791,1,709,3,0,729,12415,709,0 90,0,2024-09-07 10:09:51:643,173403,0.5,177942,0.7,363401,0.5,474450,2.00 90,1,2024-09-07 10:09:50:618,1243949,1243949,0,0,583736525470,6113772988,1231476,11199,1274,380,391825,0 90,2,2024-09-07 10:09:51:406,892812,892807,5,0,44632282,0,6370 90,3,2024-09-07 10:09:50:929,1,709,1,0,364,9215,709,0 91,0,2024-09-07 10:09:50:930,178897,0.5,173550,0.6,363152,0.4,476465,1.75 91,1,2024-09-07 10:09:50:604,1240816,1240816,0,0,583278455151,6147519846,1222097,14571,4148,381,392047,0 91,2,2024-09-07 10:09:51:333,895995,895995,0,0,39953080,0,4713 91,3,2024-09-07 10:09:50:602,1,709,18,0,231,6567,709,0 92,0,2024-09-07 10:09:51:501,178158,0.4,182294,0.6,347593,0.4,471620,1.75 92,1,2024-09-07 10:09:50:630,1244557,1244557,0,0,583692138462,6095664054,1235049,8195,1313,381,392136,0 92,2,2024-09-07 10:09:51:353,899172,899172,0,0,36384129,0,3906 92,3,2024-09-07 10:09:51:019,1,709,2,0,322,6286,709,0 93,0,2024-09-07 10:09:50:960,178917,0.4,183316,0.6,350047,0.3,474688,1.75 93,1,2024-09-07 10:09:50:825,1245268,1245268,0,0,584460909639,6105002785,1230855,11976,2437,365,392048,0 93,2,2024-09-07 10:09:50:928,895290,895290,0,0,41797174,0,4913 93,3,2024-09-07 10:09:51:411,1,709,5,0,788,8683,709,0 94,0,2024-09-07 10:09:51:605,176880,0.4,178135,0.6,356506,0.4,473211,1.75 94,1,2024-09-07 10:09:50:573,1247041,1247041,0,0,585206530503,6101743252,1238731,7656,654,381,391850,0 94,2,2024-09-07 10:09:50:760,896175,896147,28,0,36444176,0,6179 94,3,2024-09-07 10:09:51:688,1,709,2,0,576,8471,709,0 95,0,2024-09-07 10:09:51:354,178043,0.4,177745,0.5,355804,0.3,474190,1.75 95,1,2024-09-07 10:09:50:858,1247069,1247069,0,0,585219126568,6079847731,1237690,8564,815,365,391852,0 95,2,2024-09-07 10:09:51:016,894934,894934,0,0,36562519,0,3308 95,3,2024-09-07 10:09:51:709,1,709,10,0,718,10560,709,0 96,0,2024-09-07 10:09:51:038,176977,0.3,177295,0.5,354783,0.3,471569,1.75 96,1,2024-09-07 10:09:51:589,1244557,1244557,0,0,584469328543,6096209432,1235627,7552,1378,384,392292,0 96,2,2024-09-07 10:09:51:267,902170,902170,0,0,36946608,0,4225 96,3,2024-09-07 10:09:51:148,1,709,2,0,411,7816,709,0 97,0,2024-09-07 10:09:51:331,176136,0.3,176083,0.5,352926,0.3,469432,1.50 97,1,2024-09-07 10:09:50:769,1247898,1247898,0,0,585686992393,6075332706,1239959,6696,1243,367,392140,0 97,2,2024-09-07 10:09:50:607,896314,896314,0,0,36940648,0,4600 97,3,2024-09-07 10:09:50:569,1,709,1,0,433,8530,709,0 98,0,2024-09-07 10:09:51:703,177233,0.3,177401,0.5,356153,0.3,472970,1.50 98,1,2024-09-07 10:09:50:583,1246155,1246155,0,0,584826031788,6089376589,1238696,6558,901,381,391997,0 98,2,2024-09-07 10:09:50:771,895051,895051,0,0,35203882,0,4336 98,3,2024-09-07 10:09:50:701,1,709,2,0,840,9729,709,0 99,0,2024-09-07 10:09:51:444,178949,0.3,179838,0.5,358440,0.3,477347,1.75 99,1,2024-09-07 10:09:51:727,1247535,1247535,0,0,584375752865,6080256802,1239735,6690,1110,380,392069,0 99,2,2024-09-07 10:09:51:424,896551,896551,0,0,44585723,0,4276 99,3,2024-09-07 10:09:50:582,1,709,5,0,1124,8944,709,0 100,0,2024-09-07 10:09:51:501,177038,0.7,177625,0.9,355078,0.8,472865,2.50 100,1,2024-09-07 10:09:50:549,1239891,1239891,0,0,581076648974,6142812947,1220742,15352,3797,378,391989,0 100,2,2024-09-07 10:09:51:824,895566,895177,389,0,46265850,0,16909 100,3,2024-09-07 10:09:51:737,1,709,7,0,627,10810,709,0 101,0,2024-09-07 10:09:51:700,179265,0.8,174951,0.9,342356,0.8,467574,2.25 101,1,2024-09-07 10:09:50:552,1242186,1242186,0,0,583161140269,6125345535,1225585,13649,2952,368,392018,0 101,2,2024-09-07 10:09:51:756,889901,889862,39,0,47839020,0,5913 101,3,2024-09-07 10:09:50:943,1,709,14,0,1250,10768,709,0 102,0,2024-09-07 10:09:50:958,173949,0.6,179063,0.8,364159,0.6,475245,2.25 102,1,2024-09-07 10:09:51:146,1242467,1242467,0,0,582792400207,6116691318,1226627,13582,2258,369,391984,0 102,2,2024-09-07 10:09:51:738,896375,896321,54,0,39662533,0,6768 102,3,2024-09-07 10:09:51:613,1,709,1,0,466,8151,709,0 103,0,2024-09-07 10:09:51:589,184831,0.7,184848,0.8,348340,0.7,479019,2.25 103,1,2024-09-07 10:09:51:625,1241278,1241278,0,0,582487555675,6145165886,1222355,15294,3629,381,392077,0 103,2,2024-09-07 10:09:50:591,895019,895019,0,0,43200148,0,3766 103,3,2024-09-07 10:09:50:755,1,709,1,0,916,8262,709,0 104,0,2024-09-07 10:09:51:003,177832,0.7,178163,0.9,355458,0.7,475393,2.25 104,1,2024-09-07 10:09:51:611,1243172,1243172,0,0,583204181567,6126579832,1224719,14988,3465,365,392168,0 104,2,2024-09-07 10:09:51:667,895268,895268,0,0,42351916,0,4161 104,3,2024-09-07 10:09:51:419,1,709,300,0,1245,12240,709,0 105,0,2024-09-07 10:09:51:051,173647,0.8,169234,1.0,354990,0.8,466311,2.50 105,1,2024-09-07 10:09:50:560,1245011,1245011,0,0,584096222593,6114079418,1230219,12675,2117,364,392009,0 105,2,2024-09-07 10:09:51:325,895468,895468,0,0,43646757,0,4360 105,3,2024-09-07 10:09:51:318,1,709,12,0,573,10410,709,0 106,0,2024-09-07 10:09:50:939,173103,0.9,177678,1.0,362990,1.1,474567,2.50 106,1,2024-09-07 10:09:51:755,1243612,1243612,0,0,583073021882,6113725398,1227168,14291,2153,368,391914,0 106,2,2024-09-07 10:09:50:758,895738,895738,0,0,40200155,0,3331 106,3,2024-09-07 10:09:50:678,1,709,1,0,1224,9745,709,0 107,0,2024-09-07 10:09:51:112,178087,1.3,178014,1.0,356155,1.8,475505,2.25 107,1,2024-09-07 10:09:50:602,1240431,1240431,0,0,581594227596,6143099804,1221312,16863,2256,381,392234,0 107,2,2024-09-07 10:09:51:292,892415,892414,1,0,43998080,0,5024 107,3,2024-09-07 10:09:51:764,1,709,1,0,733,11067,709,0 108,0,2024-09-07 10:09:51:838,177717,0.4,178575,0.6,356103,0.4,474242,1.75 108,1,2024-09-07 10:09:51:301,1244237,1244237,0,0,584066481301,6096908216,1232745,10228,1264,367,391894,0 108,2,2024-09-07 10:09:51:774,896676,896676,0,0,39212471,0,4432 108,3,2024-09-07 10:09:51:340,1,709,6,0,767,12654,709,0 109,0,2024-09-07 10:09:51:863,177266,0.4,175940,0.6,352620,0.3,470817,1.75 109,1,2024-09-07 10:09:50:584,1242525,1242525,0,0,583739101853,6122076042,1231856,9161,1508,382,392132,0 109,2,2024-09-07 10:09:50:921,898352,898352,0,0,39280481,0,3617 109,3,2024-09-07 10:09:51:150,1,709,48,0,630,8078,709,0 110,0,2024-09-07 10:09:51:783,176654,0.4,171760,0.6,359866,0.3,472221,1.75 110,1,2024-09-07 10:09:51:647,1247646,1247646,0,0,585912160612,6082172593,1238172,7388,2086,368,392045,0 110,2,2024-09-07 10:09:51:304,895666,895666,0,0,38451238,0,4067 110,3,2024-09-07 10:09:50:697,1,709,0,0,722,9466,709,0 111,0,2024-09-07 10:09:51:470,178223,0.4,177383,0.5,354323,0.3,473105,1.75 111,1,2024-09-07 10:09:51:001,1248654,1248654,0,0,586172222882,6085117572,1241393,6754,507,380,391690,0 111,2,2024-09-07 10:09:51:116,895917,895917,0,0,37788336,0,4823 111,3,2024-09-07 10:09:50:916,1,709,1,0,379,7979,709,0 112,0,2024-09-07 10:09:50:946,179129,0.3,178727,0.4,358364,0.2,475790,1.50 112,1,2024-09-07 10:09:50:826,1247473,1247473,0,0,585721475986,6077036609,1239839,6407,1227,380,391624,0 112,2,2024-09-07 10:09:51:142,896793,896792,1,0,35596196,0,5036 112,3,2024-09-07 10:09:50:595,1,709,3,0,282,6938,709,0 113,0,2024-09-07 10:09:50:868,176668,0.3,177106,0.5,354440,0.2,471417,1.50 113,1,2024-09-07 10:09:51:691,1251353,1251353,0,0,587252701345,6055998704,1244432,5970,951,365,391664,0 113,2,2024-09-07 10:09:51:312,903517,903517,0,0,32860539,0,3813 113,3,2024-09-07 10:09:50:691,1,709,1,0,510,7064,709,0 114,0,2024-09-07 10:09:50:888,176027,0.3,177243,0.5,353334,0.2,472302,1.75 114,1,2024-09-07 10:09:50:718,1246799,1246799,0,0,585403305681,6075819005,1237964,7031,1804,381,391565,0 114,2,2024-09-07 10:09:50:879,897076,897075,1,0,35203749,0,5069 114,3,2024-09-07 10:09:51:283,1,709,1,0,415,5707,709,0 115,0,2024-09-07 10:09:50:562,179130,0.3,179546,0.4,357814,0.2,475730,1.50 115,1,2024-09-07 10:09:50:571,1248255,1248255,0,0,585944008974,6084004556,1238697,7765,1793,382,391757,0 115,2,2024-09-07 10:09:51:126,897231,897231,0,0,35159295,0,4382 115,3,2024-09-07 10:09:51:003,1,709,0,0,173,4443,709,0 116,0,2024-09-07 10:09:51:709,177979,0.7,178007,0.9,356633,0.8,476687,2.25 116,1,2024-09-07 10:09:50:814,1241170,1241170,0,0,583293413661,6140738638,1227057,10895,3218,380,392089,0 116,2,2024-09-07 10:09:51:759,897453,897453,0,0,42694866,0,4475 116,3,2024-09-07 10:09:50:917,1,709,1,0,448,8942,709,0 117,0,2024-09-07 10:09:50:977,179014,0.6,178642,0.8,357806,0.6,477464,2.00 117,1,2024-09-07 10:09:51:579,1243681,1243681,0,0,582801636809,6102170236,1229800,12112,1769,369,392429,0 117,2,2024-09-07 10:09:51:117,899531,899531,0,0,39236432,0,4303 117,3,2024-09-07 10:09:51:059,1,709,2,0,490,8561,709,0 118,0,2024-09-07 10:09:51:767,170275,0.5,174908,0.7,356785,0.4,466922,2.00 118,1,2024-09-07 10:09:50:591,1242162,1242162,0,0,582875509018,6121844883,1224642,13672,3848,366,392054,0 118,2,2024-09-07 10:09:51:607,895630,895630,0,0,41783368,0,3161 118,3,2024-09-07 10:09:51:768,1,709,1,0,343,8381,709,0 119,0,2024-09-07 10:09:51:350,179291,0.7,179480,0.8,358610,0.7,477652,2.25 119,1,2024-09-07 10:09:50:565,1243376,1243376,0,0,584001041943,6119789276,1227343,13365,2668,367,391857,0 119,2,2024-09-07 10:09:51:264,896058,896058,0,0,38521485,0,4309 119,3,2024-09-07 10:09:51:343,1,709,30,0,1358,12296,709,0 120,0,2024-09-07 10:09:51:551,177545,0.7,177709,0.9,356553,0.7,475672,2.50 120,1,2024-09-07 10:09:50:861,1244068,1244068,0,0,583006157264,6110199519,1231388,11521,1159,367,392144,0 120,2,2024-09-07 10:09:50:770,895620,895617,3,0,46498006,0,5363 120,3,2024-09-07 10:09:51:292,1,709,24,0,478,9016,709,0 121,0,2024-09-07 10:09:51:726,178375,1.1,177808,1.0,355819,1.4,474247,2.25 121,1,2024-09-07 10:09:51:655,1243512,1243512,0,0,583322876634,6103790186,1229971,11544,1997,366,391924,0 121,2,2024-09-07 10:09:51:131,896316,896316,0,0,42236506,0,4157 121,3,2024-09-07 10:09:50:743,1,709,1,0,387,9330,709,0 122,0,2024-09-07 10:09:51:775,175884,0.7,171260,0.8,358310,0.8,471032,2.00 122,1,2024-09-07 10:09:50:861,1242797,1242797,0,0,583315812740,6115341136,1226502,13716,2579,365,392130,0 122,2,2024-09-07 10:09:51:323,898435,898360,75,0,46379732,0,5989 122,3,2024-09-07 10:09:50:610,1,709,7,0,512,10613,709,0 123,0,2024-09-07 10:09:50:993,176847,0.8,172444,0.8,361599,0.9,472944,2.25 123,1,2024-09-07 10:09:50:560,1243927,1243927,0,0,583253628423,6128841247,1224826,16072,3029,369,392039,0 123,2,2024-09-07 10:09:51:070,894930,894929,1,0,39735847,0,5215 123,3,2024-09-07 10:09:51:147,1,709,1,0,478,7926,709,0 124,0,2024-09-07 10:09:50:958,182560,0.4,182599,0.5,344123,0.3,474074,1.75 124,1,2024-09-07 10:09:51:064,1247424,1247424,0,0,584642004256,6078652792,1237818,8343,1263,365,392178,0 124,2,2024-09-07 10:09:51:015,897869,897816,53,0,37063201,0,6487 124,3,2024-09-07 10:09:50:763,1,709,3,0,490,7279,709,0 125,0,2024-09-07 10:09:51:418,177852,0.4,177775,0.6,356377,0.3,474566,1.75 125,1,2024-09-07 10:09:50:855,1244148,1244148,0,0,584198095923,6102807214,1233090,9472,1586,382,392045,0 125,2,2024-09-07 10:09:51:117,899360,899360,0,0,36546017,0,4534 125,3,2024-09-07 10:09:51:131,1,709,1,0,709,7835,709,0 126,0,2024-09-07 10:09:51:481,177332,0.4,182353,0.5,348564,0.3,472357,1.75 126,1,2024-09-07 10:09:50:552,1247992,1247992,0,0,586400843522,6074707060,1240708,6582,702,365,391987,0 126,2,2024-09-07 10:09:50:621,902054,902054,0,0,38362964,0,4539 126,3,2024-09-07 10:09:50:907,1,709,62,0,268,8039,709,0 127,0,2024-09-07 10:09:51:603,176632,0.3,176849,0.5,352542,0.3,469797,1.75 127,1,2024-09-07 10:09:50:570,1246460,1246460,0,0,584288852436,6078353155,1233995,10688,1777,364,392187,0 127,2,2024-09-07 10:09:50:641,896052,896048,4,0,36194277,0,5305 127,3,2024-09-07 10:09:51:283,1,709,1,0,968,7085,709,0 128,0,2024-09-07 10:09:51:535,178467,0.3,178193,0.4,356822,0.2,473804,1.50 128,1,2024-09-07 10:09:51:605,1246440,1246440,0,0,585588788732,6085621772,1237440,8027,973,367,392423,0 128,2,2024-09-07 10:09:51:389,897661,897661,0,0,33633241,0,3171 128,3,2024-09-07 10:09:50:770,1,709,1,0,1082,10527,709,0 129,0,2024-09-07 10:09:50:990,180327,0.3,179536,0.5,360072,0.3,478168,1.50 129,1,2024-09-07 10:09:50:573,1242429,1242429,0,0,583078771529,6113857209,1229452,10647,2330,379,391962,0 129,2,2024-09-07 10:09:50:700,898912,898908,4,0,36503565,0,5335 129,3,2024-09-07 10:09:50:690,1,709,2,0,506,10068,709,0 130,0,2024-09-07 10:09:51:738,178214,0.4,178054,0.5,356620,0.4,474419,1.75 130,1,2024-09-07 10:09:50:585,1247560,1247560,0,0,585667250352,6079199024,1241698,5454,408,381,391836,0 130,2,2024-09-07 10:09:51:132,900240,900240,0,0,36211327,0,4067 130,3,2024-09-07 10:09:51:301,1,709,23,0,960,8972,709,0 131,0,2024-09-07 10:09:51:930,175467,0.3,175861,0.5,352803,0.3,469107,1.50 131,1,2024-09-07 10:09:51:824,1247007,1247007,0,0,585139765098,6094712263,1238845,6949,1213,381,391865,0 131,2,2024-09-07 10:09:50:568,895753,895753,0,0,34367079,0,3979 131,3,2024-09-07 10:09:51:688,1,709,303,0,392,9050,709,0 132,0,2024-09-07 10:09:51:450,179369,0.5,180348,0.6,358996,0.4,477431,2.00 132,1,2024-09-07 10:09:50:576,1242437,1242437,0,0,582418097601,6124031774,1225921,13733,2783,381,392532,0 132,2,2024-09-07 10:09:50:701,895095,895078,17,0,43044264,0,6451 132,3,2024-09-07 10:09:51:695,1,709,1,0,1298,11929,709,0 133,0,2024-09-07 10:09:51:520,174851,0.5,178902,0.6,367028,0.4,477232,2.00 133,1,2024-09-07 10:09:50:591,1241511,1241511,0,0,582911559794,6134274919,1226049,13526,1936,383,391914,0 133,2,2024-09-07 10:09:51:094,897928,897878,50,0,44115095,0,6861 133,3,2024-09-07 10:09:51:306,1,709,7,0,528,8462,709,0 134,0,2024-09-07 10:09:50:977,179772,0.5,179688,0.7,359028,0.5,478658,2.00 134,1,2024-09-07 10:09:50:594,1243523,1243523,0,0,582796336191,6110738935,1227432,12799,3292,366,391826,0 134,2,2024-09-07 10:09:51:759,896780,896641,139,0,41890844,0,7591 134,3,2024-09-07 10:09:50:755,1,709,2,0,739,8549,709,0 135,0,2024-09-07 10:09:51:162,170113,0.7,170164,0.8,361151,0.7,465767,2.25 135,1,2024-09-07 10:09:51:595,1243060,1243060,0,0,583763350182,6131452683,1228631,12576,1853,380,392038,0 135,2,2024-09-07 10:09:50:692,898132,898132,0,0,42372653,0,4503 135,3,2024-09-07 10:09:51:003,1,709,1,0,900,6696,709,0 136,0,2024-09-07 10:09:51:619,179295,0.6,179849,0.8,358028,0.6,477625,2.00 136,1,2024-09-07 10:09:51:444,1244462,1244462,0,0,584066111794,6115683134,1231620,11414,1428,381,392135,0 136,2,2024-09-07 10:09:51:142,897873,897858,15,0,41363337,0,6007 136,3,2024-09-07 10:09:51:106,1,709,1,0,637,8232,709,0 137,0,2024-09-07 10:09:50:920,183786,0.6,179272,0.7,350981,0.7,477067,2.00 137,1,2024-09-07 10:09:50:575,1243158,1243158,0,0,583466455223,6114051922,1224671,14841,3646,366,391898,0 137,2,2024-09-07 10:09:51:712,895100,895100,0,0,42593376,0,3185 137,3,2024-09-07 10:09:50:771,1,709,7,0,484,9880,709,0 138,0,2024-09-07 10:09:51:808,177095,0.9,177374,0.9,355287,1.1,472515,2.25 138,1,2024-09-07 10:09:51:695,1242785,1242785,0,0,583733485757,6121869785,1225639,14454,2692,368,391954,0 138,2,2024-09-07 10:09:50:590,894452,894452,0,0,40995547,0,4988 138,3,2024-09-07 10:09:50:610,1,709,2,0,1200,10389,709,0 139,0,2024-09-07 10:09:51:364,175185,0.8,175839,0.9,351316,1.1,469191,2.25 139,1,2024-09-07 10:09:50:571,1239313,1239313,0,0,580636637079,6147376859,1219271,16128,3914,380,392109,0 139,2,2024-09-07 10:09:50:693,894006,893976,30,0,46726165,0,5997 139,3,2024-09-07 10:09:51:665,1,709,0,0,432,8279,709,0 140,0,2024-09-07 10:09:51:588,177392,0.3,176717,0.5,354342,0.2,472397,1.75 140,1,2024-09-07 10:09:51:535,1250858,1250858,0,0,587232353520,6058625213,1244049,6004,805,364,391628,0 140,2,2024-09-07 10:09:50:692,895944,895943,1,0,35026490,0,5036 140,3,2024-09-07 10:09:50:769,1,709,3,0,575,7431,709,0 141,0,2024-09-07 10:09:51:724,178052,0.3,182852,0.5,349302,0.3,472773,1.75 141,1,2024-09-07 10:09:50:861,1247522,1247522,0,0,585887196890,6088796039,1237171,8957,1394,379,391614,0 141,2,2024-09-07 10:09:51:693,896472,896461,11,0,37524109,0,5369 141,3,2024-09-07 10:09:51:048,1,709,4,0,391,8196,709,0 142,0,2024-09-07 10:09:51:307,179421,0.3,178598,0.5,357628,0.3,476648,1.50 142,1,2024-09-07 10:09:50:590,1245632,1245632,0,0,584840008113,6101701968,1236447,8290,895,382,392102,0 142,2,2024-09-07 10:09:51:299,896481,896449,32,0,37173195,0,6028 142,3,2024-09-07 10:09:51:754,1,709,7,0,484,7117,709,0 143,0,2024-09-07 10:09:51:371,176874,0.4,176589,0.5,354635,0.4,471366,1.75 143,1,2024-09-07 10:09:50:561,1248838,1248838,0,0,585386398342,6074491080,1240422,7443,973,367,391900,0 143,2,2024-09-07 10:09:50:776,902153,902153,0,0,36786452,0,3123 143,3,2024-09-07 10:09:51:146,1,709,1,0,462,8289,709,0 144,0,2024-09-07 10:09:51:503,170682,0.5,175512,0.7,357499,0.5,468294,2.00 144,1,2024-09-07 10:09:50:571,1242882,1242882,0,0,583926407808,6123027690,1231121,9716,2045,381,391814,0 144,2,2024-09-07 10:09:51:756,896156,896156,0,0,36198394,0,4443 144,3,2024-09-07 10:09:51:758,1,709,2,0,306,7543,709,0 145,0,2024-09-07 10:09:51:382,172553,0.6,172538,0.8,366301,0.5,470933,2.25 145,1,2024-09-07 10:09:50:559,1241646,1241646,0,0,582732874585,6128893960,1225432,13244,2970,381,391879,0 145,2,2024-09-07 10:09:51:443,894240,894158,82,0,42218349,0,7814 145,3,2024-09-07 10:09:50:903,1,709,1,0,622,9429,709,0 146,0,2024-09-07 10:09:51:621,178607,0.7,177718,0.8,357388,0.7,474705,2.25 146,1,2024-09-07 10:09:51:595,1243353,1243353,0,0,583414248944,6131394326,1224185,14237,4931,367,391829,0 146,2,2024-09-07 10:09:51:699,895486,895480,6,0,40484843,0,5151 146,3,2024-09-07 10:09:51:273,1,709,1,0,1520,10953,709,0 147,0,2024-09-07 10:09:51:706,178938,0.6,178772,0.7,357491,0.5,476402,2.00 147,1,2024-09-07 10:09:51:378,1247766,1247766,0,0,585296971174,6082726826,1237801,8863,1102,367,391791,0 147,2,2024-09-07 10:09:51:012,898026,898026,0,0,38200830,0,4531 147,3,2024-09-07 10:09:50:920,1,709,1,0,1626,10526,709,0 0,0,2024-09-07 10:10:01:789,173745,0.7,173785,0.8,368812,0.8,476723,2.00 0,1,2024-09-07 10:10:00:830,1246128,1246128,0,0,585409057718,6124896049,1236232,8918,978,368,391896,0 0,2,2024-09-07 10:10:01:071,899109,899109,0,0,35952836,0,4480 0,3,2024-09-07 10:10:00:984,1,710,28,0,538,10121,710,0 1,0,2024-09-07 10:10:01:767,178514,0.8,177569,0.9,356242,0.9,476927,2.00 1,1,2024-09-07 10:10:00:613,1245203,1245203,0,0,583713488252,6113442764,1233790,9440,1973,370,391859,0 1,2,2024-09-07 10:10:00:650,899238,899238,0,0,35483658,0,3380 1,3,2024-09-07 10:10:01:315,1,710,1,0,269,8340,710,0 2,0,2024-09-07 10:10:01:567,176740,0.5,176484,0.7,352792,0.5,470698,2.00 2,1,2024-09-07 10:10:00:858,1248998,1248998,0,0,585189272929,6083092634,1242064,5972,962,379,391805,0 2,2,2024-09-07 10:10:01:266,899859,899859,0,0,34205931,0,3594 2,3,2024-09-07 10:10:00:700,1,710,16,0,357,6157,710,0 3,0,2024-09-07 10:10:01:762,178417,0.4,178194,0.6,356063,0.3,474906,2.00 3,1,2024-09-07 10:10:01:621,1246974,1246974,0,0,584930108067,6082078604,1238377,7879,718,379,391716,0 3,2,2024-09-07 10:10:01:152,899092,899069,23,0,34927165,0,5851 3,3,2024-09-07 10:10:01:754,1,710,1,0,484,5261,710,0 4,0,2024-09-07 10:10:01:767,172670,0.4,177286,0.5,361890,0.4,473201,1.75 4,1,2024-09-07 10:10:00:611,1242048,1242048,0,0,582841774380,6159852389,1222126,15320,4602,369,391992,0 4,2,2024-09-07 10:10:01:020,894858,894858,0,0,42528113,0,4534 4,3,2024-09-07 10:10:01:038,1,710,4,0,448,9419,710,0 5,0,2024-09-07 10:10:01:402,178184,0.4,177963,0.6,356294,0.4,474425,1.75 5,1,2024-09-07 10:10:00:778,1243037,1243037,0,0,582580978437,6142067234,1225327,13469,4241,367,392005,0 5,2,2024-09-07 10:10:01:830,894129,894096,33,0,42886063,0,7631 5,3,2024-09-07 10:10:01:745,1,710,8,0,457,9234,710,0 6,0,2024-09-07 10:10:00:916,177296,0.4,176610,0.6,353721,0.4,471009,2.00 6,1,2024-09-07 10:10:00:746,1246795,1246795,0,0,585081587983,6104614592,1234612,10498,1685,379,391702,0 6,2,2024-09-07 10:10:01:116,901964,901946,18,0,39692378,0,5535 6,3,2024-09-07 10:10:01:286,1,710,1,0,710,7985,710,0 7,0,2024-09-07 10:10:01:589,175959,0.5,177152,0.6,351355,0.4,469337,2.00 7,1,2024-09-07 10:10:00:853,1243663,1243663,0,0,583903446310,6138326258,1225826,13700,4137,382,391747,0 7,2,2024-09-07 10:10:00:771,895753,895584,169,0,40458991,0,7706 7,3,2024-09-07 10:10:00:854,1,710,1,0,552,8192,710,0 8,0,2024-09-07 10:10:01:350,178051,0.4,177660,0.5,356023,0.4,474383,1.75 8,1,2024-09-07 10:10:01:037,1242742,1242742,0,0,583823313449,6134975640,1223870,14826,4046,366,392853,0 8,2,2024-09-07 10:10:00:790,891288,891286,2,0,45695199,0,5112 8,3,2024-09-07 10:10:00:612,1,710,6,0,772,10628,710,0 9,0,2024-09-07 10:10:01:111,179130,0.4,173894,0.5,363993,0.3,477522,1.75 9,1,2024-09-07 10:10:00:560,1242515,1242515,0,0,584034383589,6154838736,1222783,15381,4351,369,392001,0 9,2,2024-09-07 10:10:01:101,896697,896696,1,0,43669378,0,5281 9,3,2024-09-07 10:10:01:755,1,710,15,0,1273,11165,710,0 10,0,2024-09-07 10:10:01:606,177480,0.3,177091,0.5,355077,0.3,472127,1.75 10,1,2024-09-07 10:10:00:590,1244389,1244389,0,0,584009562209,6126058116,1226241,14413,3735,381,391981,0 10,2,2024-09-07 10:10:00:766,900427,900427,0,0,46957217,0,4713 10,3,2024-09-07 10:10:00:880,1,710,1,0,669,7412,710,0 11,0,2024-09-07 10:10:01:020,175603,0.4,170443,0.6,356789,0.3,470308,1.75 11,1,2024-09-07 10:10:00:574,1246752,1246752,0,0,585152158856,6130975705,1229863,12579,4310,383,391766,0 11,2,2024-09-07 10:10:01:135,895547,895547,0,0,40241809,0,4698 11,3,2024-09-07 10:10:01:310,1,710,1,0,843,8507,710,0 12,0,2024-09-07 10:10:00:982,180343,0.4,179989,0.6,359729,0.4,478169,1.75 12,1,2024-09-07 10:10:00:945,1245828,1245828,0,0,584655320929,6098423317,1235955,8841,1032,368,391960,0 12,2,2024-09-07 10:10:01:550,894596,894596,0,0,40348002,0,4390 12,3,2024-09-07 10:10:01:086,1,710,8,0,386,8657,710,0 13,0,2024-09-07 10:10:01:349,180269,0.4,180475,0.6,360153,0.4,479280,1.75 13,1,2024-09-07 10:10:01:538,1243663,1243663,0,0,583380015662,6138271075,1229482,11098,3083,382,391803,0 13,2,2024-09-07 10:10:00:603,900132,900132,0,0,36612956,0,3287 13,3,2024-09-07 10:10:01:764,1,710,16,0,522,9421,710,0 14,0,2024-09-07 10:10:00:560,179276,0.4,180251,0.6,358585,0.3,477313,1.75 14,1,2024-09-07 10:10:01:563,1252198,1252198,0,0,587623978776,6077108039,1242930,8117,1151,364,391673,0 14,2,2024-09-07 10:10:00:764,899288,899258,30,0,37633564,0,6104 14,3,2024-09-07 10:10:01:126,1,710,0,0,1168,7233,710,0 15,0,2024-09-07 10:10:01:553,176062,0.4,175320,0.6,350701,0.3,468263,1.75 15,1,2024-09-07 10:10:01:610,1247838,1247838,0,0,585993327070,6104868034,1236967,8773,2098,380,391619,0 15,2,2024-09-07 10:10:00:998,899851,899851,0,0,33522846,0,3622 15,3,2024-09-07 10:10:01:411,1,710,0,0,1126,8672,710,0 16,0,2024-09-07 10:10:01:000,179210,0.6,180153,0.8,359351,0.6,478295,2.00 16,1,2024-09-07 10:10:00:574,1247423,1247423,0,0,585379859343,6116746147,1236659,9217,1547,370,392194,0 16,2,2024-09-07 10:10:01:497,897068,897068,0,0,36646325,0,4719 16,3,2024-09-07 10:10:01:156,1,710,20,0,362,8659,710,0 17,0,2024-09-07 10:10:01:762,184231,0.7,179593,0.8,351753,0.7,478245,2.00 17,1,2024-09-07 10:10:00:591,1245725,1245725,0,0,584497940596,6123366170,1234589,9333,1803,368,392075,0 17,2,2024-09-07 10:10:01:673,901071,901070,1,0,37991209,0,5050 17,3,2024-09-07 10:10:00:588,1,710,2,0,518,9983,710,0 18,0,2024-09-07 10:10:00:960,176412,0.6,177574,0.8,353522,0.6,472128,2.25 18,1,2024-09-07 10:10:01:637,1250799,1250799,0,0,586267823180,6079092482,1242611,6756,1432,367,391725,0 18,2,2024-09-07 10:10:01:755,900210,900210,0,0,34213402,0,3541 18,3,2024-09-07 10:10:00:899,1,710,54,0,1059,6375,710,0 19,0,2024-09-07 10:10:01:546,176165,0.6,176664,0.8,352062,0.6,468664,2.00 19,1,2024-09-07 10:10:00:566,1250077,1250077,0,0,587465471102,6084207940,1241218,7697,1162,365,391777,0 19,2,2024-09-07 10:10:01:755,903746,903746,0,0,32061923,0,3988 19,3,2024-09-07 10:10:01:133,1,710,1,0,524,5576,710,0 20,0,2024-09-07 10:10:01:356,177118,0.5,177131,0.6,353914,0.5,472539,2.00 20,1,2024-09-07 10:10:00:580,1245214,1245214,0,0,584800045590,6125098925,1232921,10584,1709,369,391922,0 20,2,2024-09-07 10:10:00:935,897072,897072,0,0,40462663,0,4321 20,3,2024-09-07 10:10:00:595,1,710,12,0,468,11630,710,0 21,0,2024-09-07 10:10:01:155,177649,0.5,177755,0.7,355396,0.5,472092,2.00 21,1,2024-09-07 10:10:01:549,1242926,1242926,0,0,582712793017,6145207109,1224153,14776,3997,368,392016,0 21,2,2024-09-07 10:10:01:087,890954,890477,477,0,51781417,0,17074 21,3,2024-09-07 10:10:01:415,1,710,8,0,713,9785,710,0 22,0,2024-09-07 10:10:01:717,178423,0.5,179078,0.7,357812,0.4,474812,2.00 22,1,2024-09-07 10:10:01:027,1244254,1244254,0,0,583581247927,6134273187,1225134,15514,3606,381,391822,0 22,2,2024-09-07 10:10:00:760,896973,896947,26,0,38460705,0,6328 22,3,2024-09-07 10:10:01:079,1,710,1,0,228,5683,710,0 23,0,2024-09-07 10:10:01:372,176671,0.5,176610,0.6,353124,0.4,470862,2.00 23,1,2024-09-07 10:10:01:015,1245309,1245309,0,0,583809370389,6142964128,1223407,14731,7171,365,391690,0 23,2,2024-09-07 10:10:01:096,902360,902360,0,0,36713653,0,3773 23,3,2024-09-07 10:10:01:754,1,710,1,0,855,9804,710,0 24,0,2024-09-07 10:10:00:837,177477,0.4,176516,0.5,354571,0.3,471462,1.75 24,1,2024-09-07 10:10:00:583,1244172,1244172,0,0,584486659120,6114308960,1232554,9740,1878,367,392269,0 24,2,2024-09-07 10:10:01:105,895901,895898,3,0,43111454,0,6294 24,3,2024-09-07 10:10:01:686,1,710,8,0,468,9242,710,0 25,0,2024-09-07 10:10:01:364,183316,0.4,178065,0.6,350501,0.4,476941,1.75 25,1,2024-09-07 10:10:00:564,1242513,1242513,0,0,583404612807,6159947829,1221379,17132,4002,369,391928,0 25,2,2024-09-07 10:10:01:607,893659,893659,0,0,45144161,0,3978 25,3,2024-09-07 10:10:01:003,1,710,1,0,532,7695,710,0 26,0,2024-09-07 10:10:01:733,178162,0.4,174159,0.6,365337,0.4,476377,2.00 26,1,2024-09-07 10:10:01:541,1246680,1246680,0,0,584862165513,6117349414,1230969,12806,2905,380,391758,0 26,2,2024-09-07 10:10:00:872,898380,898380,0,0,46254882,0,4689 26,3,2024-09-07 10:10:01:720,1,710,0,0,796,8704,710,0 27,0,2024-09-07 10:10:01:734,178846,0.4,179564,0.6,357108,0.4,476008,2.25 27,1,2024-09-07 10:10:01:676,1248046,1248046,0,0,586568013122,6108928210,1236664,9759,1623,381,391626,0 27,2,2024-09-07 10:10:00:868,896487,896422,65,0,40909900,0,5699 27,3,2024-09-07 10:10:01:018,1,710,0,0,564,5939,710,0 28,0,2024-09-07 10:10:01:425,176176,0.4,176167,0.6,352424,0.3,469592,1.75 28,1,2024-09-07 10:10:00:799,1248595,1248595,0,0,586773883928,6120643839,1237118,9049,2428,382,391904,0 28,2,2024-09-07 10:10:01:770,896560,896560,0,0,38123884,0,4060 28,3,2024-09-07 10:10:01:782,1,710,0,0,502,7411,710,0 29,0,2024-09-07 10:10:01:379,184513,0.4,179520,0.6,352586,0.4,480320,1.75 29,1,2024-09-07 10:10:01:561,1252037,1252037,0,0,587467769939,6077742188,1244108,6907,1022,367,391809,0 29,2,2024-09-07 10:10:00:869,896761,896761,0,0,35647196,0,4986 29,3,2024-09-07 10:10:00:963,1,710,12,0,590,7926,710,0 30,0,2024-09-07 10:10:01:461,177817,0.6,173029,0.7,362562,0.5,473658,2.00 30,1,2024-09-07 10:10:00:574,1250644,1250644,0,0,587887167168,6102762053,1240828,8647,1169,380,391672,0 30,2,2024-09-07 10:10:01:294,899366,899366,0,0,34590553,0,4192 30,3,2024-09-07 10:10:00:587,1,710,5,0,519,7254,710,0 31,0,2024-09-07 10:10:01:756,178268,0.5,179252,0.6,357410,0.4,476960,2.00 31,1,2024-09-07 10:10:00:570,1254437,1254437,0,0,588402863612,6042329070,1246503,6665,1269,356,391712,0 31,2,2024-09-07 10:10:01:275,898498,898498,0,0,37979501,0,4470 31,3,2024-09-07 10:10:01:706,1,710,0,0,248,6204,710,0 32,0,2024-09-07 10:10:01:422,176904,0.3,177941,0.5,354672,0.2,472176,1.75 32,1,2024-09-07 10:10:00:816,1250189,1250189,0,0,586763339669,6097147118,1242686,6537,966,381,391646,0 32,2,2024-09-07 10:10:00:935,901807,901807,0,0,33104900,0,3922 32,3,2024-09-07 10:10:01:024,1,710,15,0,304,5876,710,0 33,0,2024-09-07 10:10:01:503,179100,0.3,178597,0.4,357366,0.2,476429,1.50 33,1,2024-09-07 10:10:00:592,1250988,1250988,0,0,587609566455,6082122840,1241357,8273,1358,368,391730,0 33,2,2024-09-07 10:10:00:762,897918,897883,35,0,36732014,0,7012 33,3,2024-09-07 10:10:00:901,1,710,98,0,329,6545,710,0 34,0,2024-09-07 10:10:00:932,178141,0.3,183212,0.5,350364,0.2,473308,1.75 34,1,2024-09-07 10:10:01:052,1254229,1254229,0,0,588050132252,6049691531,1250211,3809,209,366,391637,0 34,2,2024-09-07 10:10:00:766,898364,898364,0,0,34320511,0,4562 34,3,2024-09-07 10:10:01:688,1,710,1,0,541,6063,710,0 35,0,2024-09-07 10:10:00:865,177653,0.3,178175,0.5,357247,0.2,475384,1.75 35,1,2024-09-07 10:10:01:083,1249605,1249605,0,0,585530168865,6073377998,1240663,7389,1553,382,391769,0 35,2,2024-09-07 10:10:01:588,898107,898107,0,0,39997841,0,4055 35,3,2024-09-07 10:10:00:907,1,710,5,0,466,6596,710,0 36,0,2024-09-07 10:10:01:530,177784,0.4,177960,0.6,355260,0.4,473038,2.00 36,1,2024-09-07 10:10:00:590,1248020,1248020,0,0,584809994830,6101330332,1233236,12430,2354,366,391759,0 36,2,2024-09-07 10:10:01:755,901356,901356,0,0,39823657,0,3875 36,3,2024-09-07 10:10:00:868,1,710,1,0,556,8856,710,0 37,0,2024-09-07 10:10:01:376,176073,0.5,175896,0.6,352299,0.5,470136,2.00 37,1,2024-09-07 10:10:00:659,1248235,1248228,0,7,585221355936,6106529116,1234031,11080,3117,365,391770,0 37,2,2024-09-07 10:10:01:154,895266,895251,15,0,39908100,0,5815 37,3,2024-09-07 10:10:01:781,1,710,0,0,888,9578,710,0 38,0,2024-09-07 10:10:01:439,176921,0.5,171525,0.7,358751,0.4,470186,2.00 38,1,2024-09-07 10:10:01:614,1247741,1247741,0,0,585228848874,6112884109,1230898,13537,3306,368,391821,0 38,2,2024-09-07 10:10:00:773,897371,897324,47,0,39846773,0,6710 38,3,2024-09-07 10:10:00:997,1,710,1,0,689,7934,710,0 39,0,2024-09-07 10:10:01:761,182628,0.5,178343,0.7,348336,0.5,474976,2.00 39,1,2024-09-07 10:10:00:720,1245464,1245464,0,0,584777031079,6125354053,1225651,15580,4233,365,391865,0 39,2,2024-09-07 10:10:01:417,898536,898536,0,0,38150903,0,3478 39,3,2024-09-07 10:10:00:713,1,710,1,0,525,7772,710,0 40,0,2024-09-07 10:10:01:488,176040,0.8,176633,0.9,352740,0.9,470680,2.75 40,1,2024-09-07 10:10:00:582,1246272,1246272,0,0,584461548280,6122415029,1227429,15260,3583,366,391668,0 40,2,2024-09-07 10:10:01:318,898307,898300,7,0,44129500,0,5347 40,3,2024-09-07 10:10:01:149,1,710,3,0,1028,9884,710,0 41,0,2024-09-07 10:10:01:049,174859,1.1,179047,1.0,341662,1.4,464168,2.75 41,1,2024-09-07 10:10:00:779,1246873,1246873,0,0,585286550899,6117190436,1231152,13194,2527,369,391878,0 41,2,2024-09-07 10:10:00:761,892883,892882,1,0,43070725,0,5408 41,3,2024-09-07 10:10:01:683,1,710,1,0,749,8491,710,0 42,0,2024-09-07 10:10:01:478,177748,1.0,177584,1.0,355523,1.3,471382,2.75 42,1,2024-09-07 10:10:01:441,1243734,1243734,0,0,583301577013,6119001804,1226126,14524,3084,380,391675,0 42,2,2024-09-07 10:10:01:143,893164,893163,1,0,44527490,0,5513 42,3,2024-09-07 10:10:01:017,1,710,0,0,892,7089,710,0 43,0,2024-09-07 10:10:00:916,176882,0.8,172186,1.0,360906,0.8,471825,2.25 43,1,2024-09-07 10:10:00:584,1247581,1247581,0,0,586445847449,6121014887,1231469,13364,2748,365,391696,0 43,2,2024-09-07 10:10:01:742,900071,900071,0,0,40767494,0,4723 43,3,2024-09-07 10:10:01:756,1,710,3,0,571,9392,710,0 44,0,2024-09-07 10:10:00:861,179450,0.4,179556,0.6,358790,0.3,478315,1.75 44,1,2024-09-07 10:10:00:567,1250553,1250553,0,0,586847243592,6066882492,1239221,9181,2151,356,391809,0 44,2,2024-09-07 10:10:01:279,897581,897581,0,0,34246720,0,4344 44,3,2024-09-07 10:10:01:099,1,710,1,0,1097,9182,710,0 45,0,2024-09-07 10:10:01:762,174320,0.4,170087,0.7,357028,0.4,468520,2.00 45,1,2024-09-07 10:10:01:005,1249900,1249900,0,0,586599848851,6095716771,1240345,8334,1221,382,391917,0 45,2,2024-09-07 10:10:01:270,899509,899509,0,0,34254467,0,3596 45,3,2024-09-07 10:10:00:934,1,710,78,0,531,6617,710,0 46,0,2024-09-07 10:10:00:955,178725,0.5,177963,0.7,357172,0.6,474991,2.00 46,1,2024-09-07 10:10:00:579,1251714,1251714,0,0,587558863592,6077313351,1242433,7798,1483,366,391709,0 46,2,2024-09-07 10:10:00:602,898985,898985,0,0,35182971,0,4443 46,3,2024-09-07 10:10:01:132,1,710,1,0,908,7663,710,0 47,0,2024-09-07 10:10:01:111,179365,0.5,178933,0.6,359167,0.4,476467,2.00 47,1,2024-09-07 10:10:00:575,1253183,1253183,0,0,587393205784,6068781187,1245670,6500,1013,364,391666,0 47,2,2024-09-07 10:10:00:908,900885,900885,0,0,35680205,0,4477 47,3,2024-09-07 10:10:01:121,1,710,0,0,600,7614,710,0 48,0,2024-09-07 10:10:01:494,178504,0.3,179031,0.4,356812,0.2,474891,1.50 48,1,2024-09-07 10:10:01:026,1249321,1249321,0,0,585999181464,6086409914,1241645,6664,1012,381,391710,0 48,2,2024-09-07 10:10:00:706,898760,898760,0,0,32443478,0,3619 48,3,2024-09-07 10:10:00:753,1,710,1,0,339,6017,710,0 49,0,2024-09-07 10:10:01:715,181867,0.3,178135,0.5,346466,0.2,473177,1.75 49,1,2024-09-07 10:10:01:030,1248790,1248790,0,0,586505895746,6095737209,1240917,6175,1698,382,391809,0 49,2,2024-09-07 10:10:01:797,902875,902875,0,0,33925462,0,4426 49,3,2024-09-07 10:10:01:429,1,710,8,0,992,8225,710,0 50,0,2024-09-07 10:10:01:506,177478,0.3,176383,0.5,355030,0.2,471937,1.75 50,1,2024-09-07 10:10:01:018,1252432,1252432,0,0,588093153534,6077554206,1244870,6829,733,368,391691,0 50,2,2024-09-07 10:10:01:080,896771,896771,0,0,31930396,0,4490 50,3,2024-09-07 10:10:01:295,1,710,1,0,617,7236,710,0 51,0,2024-09-07 10:10:01:690,182447,0.3,178576,0.5,347769,0.2,474535,1.75 51,1,2024-09-07 10:10:01:684,1253381,1253381,0,0,588926536248,6070237132,1246677,5359,1345,365,391706,0 51,2,2024-09-07 10:10:01:315,897857,897857,0,0,31518186,0,3337 51,3,2024-09-07 10:10:01:046,1,710,2,0,678,5504,710,0 52,0,2024-09-07 10:10:01:440,178671,0.5,178529,0.6,356822,0.4,474405,2.00 52,1,2024-09-07 10:10:00:579,1247386,1247386,0,0,585743711253,6124178919,1230627,14332,2427,368,391805,0 52,2,2024-09-07 10:10:01:755,894161,894123,38,0,42890536,0,6742 52,3,2024-09-07 10:10:00:695,1,710,0,0,1782,7990,710,0 53,0,2024-09-07 10:10:01:740,176436,0.6,171289,0.8,358404,0.6,469395,2.25 53,1,2024-09-07 10:10:00:805,1246610,1246610,0,0,584922970296,6127739738,1227238,14940,4432,367,391968,0 53,2,2024-09-07 10:10:01:305,901819,901681,138,0,39301653,0,7690 53,3,2024-09-07 10:10:00:707,1,710,1,0,308,6525,710,0 54,0,2024-09-07 10:10:01:621,174683,0.5,175296,0.7,348694,0.4,466070,2.25 54,1,2024-09-07 10:10:00:580,1248942,1248942,0,0,586421258643,6092417735,1237139,10128,1675,366,391810,0 54,2,2024-09-07 10:10:00:869,896581,896549,32,0,42544605,0,6397 54,3,2024-09-07 10:10:00:763,1,710,18,0,676,9021,710,0 55,0,2024-09-07 10:10:01:762,172173,0.7,177345,0.8,360064,0.7,468905,2.50 55,1,2024-09-07 10:10:00:765,1249374,1249374,0,0,585668320725,6085675518,1237340,10545,1489,365,391731,0 55,2,2024-09-07 10:10:00:729,895610,895554,56,0,38869800,0,7239 55,3,2024-09-07 10:10:00:685,1,710,1,0,304,6315,710,0 56,0,2024-09-07 10:10:01:557,182137,1.2,171604,1.1,353833,1.5,473618,2.75 56,1,2024-09-07 10:10:00:570,1241526,1241526,0,0,582673273683,6155553741,1222014,15757,3755,381,391867,0 56,2,2024-09-07 10:10:01:310,896921,896799,122,0,41952290,0,7432 56,3,2024-09-07 10:10:01:059,1,710,1,0,705,8343,710,0 57,0,2024-09-07 10:10:00:956,177186,1.3,177027,1.2,353526,1.7,472395,3.00 57,1,2024-09-07 10:10:01:008,1245330,1245330,0,0,583554747689,6117459423,1229605,13016,2709,366,392097,0 57,2,2024-09-07 10:10:01:327,899396,899396,0,0,44776517,0,4804 57,3,2024-09-07 10:10:01:745,1,710,1,0,455,7511,710,0 58,0,2024-09-07 10:10:00:555,173527,0.8,168578,1.0,352899,1.0,461706,2.50 58,1,2024-09-07 10:10:00:575,1246337,1246334,0,3,584600848081,6125544625,1227961,13971,4402,367,391726,3 58,2,2024-09-07 10:10:01:099,897230,897230,0,0,40601881,0,3483 58,3,2024-09-07 10:10:01:086,1,710,1,0,1043,7612,710,0 59,0,2024-09-07 10:10:01:743,178311,0.9,177222,1.0,354717,1.1,470789,3.00 59,1,2024-09-07 10:10:00:803,1245611,1245611,0,0,584226122006,6132166235,1226110,15663,3838,369,391653,0 59,2,2024-09-07 10:10:00:584,896866,896866,0,0,38764283,0,3727 59,3,2024-09-07 10:10:01:741,1,710,2,0,1015,8332,710,0 60,0,2024-09-07 10:10:01:734,178616,0.5,178901,0.7,357565,0.5,477378,2.00 60,1,2024-09-07 10:10:00:800,1249728,1249728,0,0,586248121532,6103041533,1238788,9434,1506,370,392031,0 60,2,2024-09-07 10:10:01:159,899373,899373,0,0,36951548,0,3811 60,3,2024-09-07 10:10:01:269,1,710,5,0,409,8122,710,0 61,0,2024-09-07 10:10:01:589,178506,0.6,179168,0.8,356947,0.6,476456,2.00 61,1,2024-09-07 10:10:00:783,1246414,1246414,0,0,585190608022,6124312012,1233721,10841,1852,382,392127,0 61,2,2024-09-07 10:10:01:115,899213,899146,67,0,37854811,0,6411 61,3,2024-09-07 10:10:01:692,1,710,10,0,607,8750,710,0 62,0,2024-09-07 10:10:01:724,177552,0.5,182017,0.7,347471,0.5,471989,2.00 62,1,2024-09-07 10:10:01:113,1254421,1254415,0,6,588721748602,6075605074,1247152,6645,618,365,391975,6 62,2,2024-09-07 10:10:01:650,897687,897686,1,0,37571857,0,5555 62,3,2024-09-07 10:10:01:143,1,710,62,0,482,5557,710,0 63,0,2024-09-07 10:10:01:453,178994,0.4,178654,0.6,358119,0.3,476686,1.75 63,1,2024-09-07 10:10:00:803,1250580,1250574,0,6,586048474965,6085768800,1242652,6970,952,381,391800,6 63,2,2024-09-07 10:10:00:761,896708,896708,0,0,36869516,0,4369 63,3,2024-09-07 10:10:01:733,1,710,1,0,667,8092,710,0 64,0,2024-09-07 10:10:01:533,177202,0.5,177136,0.7,353956,0.5,471772,2.00 64,1,2024-09-07 10:10:00:751,1248803,1248803,0,0,586067340997,6098218555,1238582,8191,2030,370,391794,0 64,2,2024-09-07 10:10:01:145,901151,901132,19,0,35288378,0,6121 64,3,2024-09-07 10:10:01:148,1,710,1,0,651,7956,710,0 65,0,2024-09-07 10:10:01:691,176914,0.6,177175,0.7,353354,0.6,471693,2.00 65,1,2024-09-07 10:10:00:863,1247220,1247220,0,0,585017226708,6109849679,1238971,7351,898,381,391901,0 65,2,2024-09-07 10:10:01:694,896691,896691,0,0,42417832,0,3367 65,3,2024-09-07 10:10:01:683,1,710,1,0,782,8228,710,0 66,0,2024-09-07 10:10:01:777,176808,0.5,176345,0.7,352589,0.4,469605,2.00 66,1,2024-09-07 10:10:01:311,1249295,1249295,0,0,586287588382,6098788104,1241784,6637,874,380,391743,0 66,2,2024-09-07 10:10:01:144,903978,903975,3,0,37114312,0,5455 66,3,2024-09-07 10:10:01:085,1,710,5,0,291,6212,710,0 67,0,2024-09-07 10:10:01:440,176678,0.5,176248,0.7,353307,0.4,470357,2.00 67,1,2024-09-07 10:10:00:766,1248927,1248926,0,1,586025972543,6098249248,1240487,7299,1140,380,391787,1 67,2,2024-09-07 10:10:00:584,899302,899287,15,0,37534575,0,6205 67,3,2024-09-07 10:10:01:752,1,710,1,0,595,7387,710,0 68,0,2024-09-07 10:10:00:606,177878,0.6,177679,0.7,353891,0.6,473117,2.00 68,1,2024-09-07 10:10:00:589,1244246,1244246,0,0,583386218127,6133623454,1227509,12462,4275,381,391953,0 68,2,2024-09-07 10:10:01:051,892432,892332,100,0,45535147,0,8578 68,3,2024-09-07 10:10:00:728,1,710,1,0,417,9884,710,0 69,0,2024-09-07 10:10:01:750,178253,0.7,178839,0.8,356816,0.7,474901,2.25 69,1,2024-09-07 10:10:01:025,1243767,1243767,0,0,583216116653,6139224555,1228836,11946,2985,383,391994,0 69,2,2024-09-07 10:10:01:733,894684,894655,29,0,48687412,0,6912 69,3,2024-09-07 10:10:00:761,1,710,1,0,698,10450,710,0 70,0,2024-09-07 10:10:01:548,175755,0.7,176535,0.9,353924,0.6,469212,2.50 70,1,2024-09-07 10:10:00:801,1250541,1250541,0,0,587158980538,6090735873,1241071,8425,1045,366,391725,0 70,2,2024-09-07 10:10:01:325,901232,901232,0,0,39949063,0,4323 70,3,2024-09-07 10:10:00:745,1,710,1,0,854,7739,710,0 71,0,2024-09-07 10:10:01:372,174874,0.8,174425,0.9,349856,0.8,466866,2.50 71,1,2024-09-07 10:10:01:596,1247985,1247985,0,0,585741676044,6106735312,1233546,12653,1786,367,391738,0 71,2,2024-09-07 10:10:01:069,894749,894749,0,0,40378971,0,4352 71,3,2024-09-07 10:10:01:749,1,710,2,0,644,7667,710,0 72,0,2024-09-07 10:10:01:029,184780,0.5,180446,0.7,352626,0.5,478854,2.00 72,1,2024-09-07 10:10:01:035,1246466,1246466,0,0,584267351965,6122722557,1229518,14214,2734,369,391819,0 72,2,2024-09-07 10:10:01:755,894096,894096,0,0,42499665,0,3983 72,3,2024-09-07 10:10:01:761,1,710,3,0,564,9618,710,0 73,0,2024-09-07 10:10:01:110,174753,0.5,179303,0.6,366405,0.4,476947,2.00 73,1,2024-09-07 10:10:00:776,1247986,1247986,0,0,585874424381,6087484276,1238446,8473,1067,367,391858,0 73,2,2024-09-07 10:10:01:742,897922,897921,1,0,44470185,0,5027 73,3,2024-09-07 10:10:00:987,1,710,5,0,1091,9442,710,0 74,0,2024-09-07 10:10:01:329,180467,0.5,184754,0.7,352286,0.4,478702,2.00 74,1,2024-09-07 10:10:00:636,1247223,1247223,0,0,585361975392,6104214026,1234858,10217,2148,381,391762,0 74,2,2024-09-07 10:10:01:002,898403,898403,0,0,38603778,0,4253 74,3,2024-09-07 10:10:01:447,1,710,2,0,522,7984,710,0 75,0,2024-09-07 10:10:01:792,176027,0.5,175045,0.7,351535,0.4,469785,2.00 75,1,2024-09-07 10:10:01:595,1248418,1248418,0,0,585924604928,6101944462,1238530,8894,994,380,391739,0 75,2,2024-09-07 10:10:01:368,896760,896760,0,0,45707771,0,4766 75,3,2024-09-07 10:10:01:082,1,710,0,0,918,9652,710,0 76,0,2024-09-07 10:10:00:623,178950,0.6,178568,0.7,356527,0.6,476606,2.25 76,1,2024-09-07 10:10:00:804,1247123,1247123,0,0,584838612136,6099805550,1238210,7603,1310,382,391790,0 76,2,2024-09-07 10:10:01:068,900183,900180,3,0,38785336,0,5265 76,3,2024-09-07 10:10:01:152,1,710,1,0,249,6575,710,0 77,0,2024-09-07 10:10:01:709,178204,0.6,178481,0.7,357355,0.6,475371,2.00 77,1,2024-09-07 10:10:00:824,1246619,1246619,0,0,585216058730,6109376237,1236333,9196,1090,381,391869,0 77,2,2024-09-07 10:10:01:296,897693,897693,0,0,37369494,0,3890 77,3,2024-09-07 10:10:01:103,1,710,1,0,401,7943,710,0 78,0,2024-09-07 10:10:01:719,178865,0.4,177928,0.6,357467,0.4,473040,2.00 78,1,2024-09-07 10:10:00:613,1246935,1246935,0,0,584981256694,6103079437,1231388,12215,3332,367,391670,0 78,2,2024-09-07 10:10:01:411,899078,899065,13,0,34898773,0,8313 78,3,2024-09-07 10:10:01:133,1,710,0,0,311,5989,710,0 79,0,2024-09-07 10:10:01:357,170874,0.4,175061,0.6,358685,0.3,467554,2.00 79,1,2024-09-07 10:10:00:577,1251426,1251426,0,0,587555149486,6083833705,1241598,8026,1802,367,391682,0 79,2,2024-09-07 10:10:01:069,902325,902325,0,0,33894315,0,4195 79,3,2024-09-07 10:10:00:751,1,710,1,0,418,8170,710,0 80,0,2024-09-07 10:10:01:090,177459,0.5,182181,0.7,347954,0.5,471143,2.00 80,1,2024-09-07 10:10:01:622,1247754,1247754,0,0,585571652081,6094718904,1239759,7412,583,368,392269,0 80,2,2024-09-07 10:10:01:101,899985,899985,0,0,34358555,0,4433 80,3,2024-09-07 10:10:00:585,1,710,5,0,681,8536,710,0 81,0,2024-09-07 10:10:01:597,177816,0.6,181997,0.7,347049,0.6,471115,2.00 81,1,2024-09-07 10:10:01:657,1246892,1246892,0,0,584392885131,6106296089,1237014,8880,998,382,392001,0 81,2,2024-09-07 10:10:01:138,896951,896888,63,0,38223717,0,5932 81,3,2024-09-07 10:10:01:122,1,710,7,0,719,7696,710,0 82,0,2024-09-07 10:10:01:542,178557,0.5,178641,0.7,357946,0.5,474923,2.00 82,1,2024-09-07 10:10:00:607,1248618,1248614,0,4,585108537826,6086103043,1241657,5832,1125,381,391768,4 82,2,2024-09-07 10:10:01:694,901076,901076,0,0,31786825,0,4484 82,3,2024-09-07 10:10:01:753,1,710,1,0,363,6317,710,0 83,0,2024-09-07 10:10:01:541,177191,0.5,177004,0.7,353662,0.5,470065,2.00 83,1,2024-09-07 10:10:00:556,1247591,1247591,0,0,585282974563,6099293635,1238557,8005,1029,382,391709,0 83,2,2024-09-07 10:10:00:764,902253,902228,25,0,34712912,0,5612 83,3,2024-09-07 10:10:00:749,1,710,0,0,1260,8217,710,0 84,0,2024-09-07 10:10:01:826,174944,0.7,174950,0.8,350081,0.6,467769,2.25 84,1,2024-09-07 10:10:01:051,1246183,1246183,0,0,584767950452,6112956233,1232468,11629,2086,367,391967,0 84,2,2024-09-07 10:10:00:574,894052,893642,410,0,49894841,0,17037 84,3,2024-09-07 10:10:01:157,1,710,2,0,908,9718,710,0 85,0,2024-09-07 10:10:01:040,171471,0.7,171443,0.8,363855,0.7,469467,2.25 85,1,2024-09-07 10:10:00:570,1242297,1242297,0,0,583421911691,6151092064,1224483,14881,2933,381,392092,0 85,2,2024-09-07 10:10:00:867,895628,895628,0,0,42340163,0,4255 85,3,2024-09-07 10:10:00:692,1,710,0,0,789,8514,710,0 86,0,2024-09-07 10:10:00:890,178165,0.7,183212,0.8,350816,0.8,474451,2.25 86,1,2024-09-07 10:10:00:825,1244818,1244818,0,0,584841785526,6137638339,1227118,14384,3316,366,392169,0 86,2,2024-09-07 10:10:00:853,897916,897915,1,0,44388805,0,5004 86,3,2024-09-07 10:10:00:595,1,710,2,0,441,9666,710,0 87,0,2024-09-07 10:10:01:302,179351,0.7,178047,0.8,356685,0.8,476029,2.25 87,1,2024-09-07 10:10:00:556,1245123,1245123,0,0,583905471403,6112104073,1230170,12787,2166,366,392076,0 87,2,2024-09-07 10:10:01:068,899070,899064,6,0,41813165,0,6323 87,3,2024-09-07 10:10:01:798,1,710,1,0,473,9884,710,0 88,0,2024-09-07 10:10:01:456,175871,0.4,176254,0.6,352581,0.4,469795,1.75 88,1,2024-09-07 10:10:00:577,1243565,1243565,0,0,583596599217,6111601695,1226700,13721,3144,365,392084,0 88,2,2024-09-07 10:10:00:701,896268,896268,0,0,43969606,0,4465 88,3,2024-09-07 10:10:01:290,1,710,0,0,1080,11180,710,0 89,0,2024-09-07 10:10:01:801,184599,0.5,179288,0.6,353032,0.4,479661,1.75 89,1,2024-09-07 10:10:00:556,1243910,1243910,0,0,584374528151,6140522019,1229212,12415,2283,382,392084,0 89,2,2024-09-07 10:10:01:141,896703,896703,0,0,41339299,0,3173 89,3,2024-09-07 10:10:01:804,1,710,1,0,729,12416,710,0 90,0,2024-09-07 10:10:01:621,173639,0.5,178171,0.7,363868,0.4,475044,2.00 90,1,2024-09-07 10:10:00:610,1245714,1245714,0,0,584532439024,6121979724,1233241,11199,1274,380,391825,0 90,2,2024-09-07 10:10:01:417,894350,894345,5,0,44655976,0,6370 90,3,2024-09-07 10:10:00:941,1,710,2,0,364,9217,710,0 91,0,2024-09-07 10:10:00:924,179191,0.5,173828,0.6,363768,0.4,477366,1.75 91,1,2024-09-07 10:10:00:569,1242656,1242656,0,0,584115217588,6156074593,1223936,14572,4148,381,392047,0 91,2,2024-09-07 10:10:01:337,897455,897455,0,0,39969938,0,4713 91,3,2024-09-07 10:10:00:603,1,710,1,0,231,6568,710,0 92,0,2024-09-07 10:10:01:458,178460,0.4,182577,0.6,348197,0.4,472306,1.75 92,1,2024-09-07 10:10:00:588,1246330,1246330,0,0,584534318230,6104292403,1236822,8195,1313,381,392136,0 92,2,2024-09-07 10:10:01:361,899906,899906,0,0,36396209,0,3906 92,3,2024-09-07 10:10:01:018,1,710,0,0,322,6286,710,0 93,0,2024-09-07 10:10:00:952,179381,0.4,183826,0.6,350998,0.3,476176,1.75 93,1,2024-09-07 10:10:00:815,1246981,1246981,0,0,585765127274,6118224687,1232567,11977,2437,365,392048,0 93,2,2024-09-07 10:10:00:932,896926,896926,0,0,41830651,0,4913 93,3,2024-09-07 10:10:01:410,1,710,1,0,788,8684,710,0 94,0,2024-09-07 10:10:01:623,177188,0.4,178459,0.6,357210,0.4,474039,1.75 94,1,2024-09-07 10:10:00:585,1248780,1248780,0,0,585986725686,6109799046,1240470,7656,654,381,391850,0 94,2,2024-09-07 10:10:00:770,897738,897710,28,0,36480620,0,6179 94,3,2024-09-07 10:10:01:697,1,710,4,0,576,8475,710,0 95,0,2024-09-07 10:10:01:343,178201,0.4,177892,0.5,356128,0.3,474600,1.75 95,1,2024-09-07 10:10:00:858,1248842,1248842,0,0,586333940976,6091219017,1239462,8564,816,365,391852,0 95,2,2024-09-07 10:10:01:023,895997,895997,0,0,36580836,0,3308 95,3,2024-09-07 10:10:01:709,1,710,1,0,718,10561,710,0 96,0,2024-09-07 10:10:01:044,177238,0.3,177540,0.5,355325,0.3,472180,1.75 96,1,2024-09-07 10:10:01:600,1246327,1246327,0,0,585374539434,6105484053,1237397,7552,1378,384,392292,0 96,2,2024-09-07 10:10:01:278,903247,903247,0,0,36968504,0,4225 96,3,2024-09-07 10:10:01:152,1,710,2,0,411,7818,710,0 97,0,2024-09-07 10:10:01:340,176549,0.3,176458,0.5,353684,0.3,470459,1.50 97,1,2024-09-07 10:10:00:764,1249659,1249659,0,0,586604505176,6084692572,1241719,6697,1243,367,392140,0 97,2,2024-09-07 10:10:00:615,897788,897788,0,0,36987105,0,4600 97,3,2024-09-07 10:10:00:573,1,710,7,0,433,8537,710,0 98,0,2024-09-07 10:10:01:692,177394,0.3,177543,0.5,356488,0.3,473435,1.50 98,1,2024-09-07 10:10:00:575,1247951,1247951,0,0,585629274011,6097642990,1240490,6559,902,381,391997,0 98,2,2024-09-07 10:10:00:772,896421,896421,0,0,35243296,0,4336 98,3,2024-09-07 10:10:00:705,1,710,8,0,840,9737,710,0 99,0,2024-09-07 10:10:01:450,179062,0.3,179926,0.5,358642,0.3,477607,1.75 99,1,2024-09-07 10:10:01:840,1249231,1249231,0,0,585234543063,6089082668,1241431,6690,1110,380,392069,0 99,2,2024-09-07 10:10:01:421,897687,897687,0,0,44628126,0,4276 99,3,2024-09-07 10:10:00:587,1,710,3,0,1124,8947,710,0 100,0,2024-09-07 10:10:01:516,177130,0.7,177747,0.9,355267,0.8,473171,2.50 100,1,2024-09-07 10:10:00:549,1241685,1241685,0,0,581979040823,6152028594,1222536,15352,3797,378,391989,0 100,2,2024-09-07 10:10:01:824,896990,896601,389,0,46277952,0,16909 100,3,2024-09-07 10:10:01:754,1,710,1,0,627,10811,710,0 101,0,2024-09-07 10:10:01:714,179791,0.8,175432,0.9,343323,0.8,468941,2.25 101,1,2024-09-07 10:10:00:558,1243988,1243988,0,0,583970655574,6133579740,1227386,13650,2952,368,392018,0 101,2,2024-09-07 10:10:01:760,891071,891032,39,0,47849368,0,5913 101,3,2024-09-07 10:10:00:944,1,710,1,0,1250,10769,710,0 102,0,2024-09-07 10:10:00:952,174057,0.6,179162,0.8,364373,0.6,475535,2.25 102,1,2024-09-07 10:10:01:152,1244261,1244261,0,0,583590060650,6124864455,1228420,13582,2259,369,391984,0 102,2,2024-09-07 10:10:01:745,897602,897548,54,0,39674135,0,6768 102,3,2024-09-07 10:10:01:625,1,710,1,0,466,8152,710,0 103,0,2024-09-07 10:10:01:609,184980,0.7,184963,0.8,348595,0.7,479278,2.25 103,1,2024-09-07 10:10:01:638,1243035,1243035,0,0,583091359484,6151363332,1224112,15294,3629,381,392077,0 103,2,2024-09-07 10:10:00:594,896535,896535,0,0,43213587,0,3766 103,3,2024-09-07 10:10:00:758,1,710,2,0,916,8264,710,0 104,0,2024-09-07 10:10:01:012,178042,0.7,178384,0.9,355930,0.7,475907,2.25 104,1,2024-09-07 10:10:01:621,1245009,1245009,0,0,583911931404,6133834486,1226555,14989,3465,365,392168,0 104,2,2024-09-07 10:10:01:678,896690,896690,0,0,42369654,0,4161 104,3,2024-09-07 10:10:01:418,1,710,12,0,1245,12252,710,0 105,0,2024-09-07 10:10:01:046,174046,0.8,169624,1.0,355837,0.8,467510,2.50 105,1,2024-09-07 10:10:00:558,1246726,1246726,0,0,584841994817,6121696903,1231933,12676,2117,364,392009,0 105,2,2024-09-07 10:10:01:333,896152,896152,0,0,43655562,0,4360 105,3,2024-09-07 10:10:01:312,1,710,1,0,573,10411,710,0 106,0,2024-09-07 10:10:00:942,173311,0.9,177903,1.0,363450,1.1,474863,2.50 106,1,2024-09-07 10:10:01:750,1245390,1245390,0,0,583840094938,6121525227,1228946,14291,2153,368,391914,0 106,2,2024-09-07 10:10:00:759,897197,897197,0,0,40220893,0,3331 106,3,2024-09-07 10:10:00:695,1,710,1,0,1224,9746,710,0 107,0,2024-09-07 10:10:01:115,178361,1.3,178329,1.0,356766,1.8,476219,2.25 107,1,2024-09-07 10:10:00:588,1242216,1242216,0,0,582478374721,6152186773,1223095,16865,2256,381,392234,0 107,2,2024-09-07 10:10:01:309,893908,893907,1,0,44015098,0,5024 107,3,2024-09-07 10:10:01:755,1,710,25,0,733,11092,710,0 108,0,2024-09-07 10:10:01:826,177922,0.4,178774,0.6,356537,0.4,474837,1.75 108,1,2024-09-07 10:10:01:311,1246065,1246065,0,0,584949735889,6106170383,1234567,10232,1266,367,391899,0 108,2,2024-09-07 10:10:01:755,898032,898032,0,0,39249830,0,4432 108,3,2024-09-07 10:10:01:338,1,710,10,0,767,12664,710,0 109,0,2024-09-07 10:10:01:764,177675,0.4,176352,0.6,353463,0.3,472071,1.75 109,1,2024-09-07 10:10:00:603,1244256,1244256,0,0,584622888232,6131196366,1233587,9161,1508,382,392132,0 109,2,2024-09-07 10:10:00:935,899243,899243,0,0,39324817,0,3617 109,3,2024-09-07 10:10:01:154,1,710,1,0,630,8079,710,0 110,0,2024-09-07 10:10:01:748,176973,0.4,172081,0.6,360471,0.3,472941,1.75 110,1,2024-09-07 10:10:01:650,1249437,1249437,0,0,586642307538,6089662480,1239963,7388,2086,368,392045,0 110,2,2024-09-07 10:10:01:310,897228,897228,0,0,38499746,0,4067 110,3,2024-09-07 10:10:00:696,1,710,3,0,722,9469,710,0 111,0,2024-09-07 10:10:01:422,178516,0.4,177660,0.5,354940,0.3,474013,1.75 111,1,2024-09-07 10:10:01:003,1250500,1250500,0,0,587081588190,6094654672,1243234,6759,507,380,391690,0 111,2,2024-09-07 10:10:01:127,897329,897329,0,0,37821474,0,4823 111,3,2024-09-07 10:10:00:919,1,710,1,0,379,7980,710,0 112,0,2024-09-07 10:10:00:916,179291,0.3,178899,0.4,358672,0.2,476268,1.50 112,1,2024-09-07 10:10:00:824,1249289,1249289,0,0,586579425454,6085844654,1241655,6407,1227,380,391624,0 112,2,2024-09-07 10:10:01:146,897896,897895,1,0,35633463,0,5036 112,3,2024-09-07 10:10:00:602,1,710,0,0,282,6938,710,0 113,0,2024-09-07 10:10:00:893,176963,0.3,177430,0.5,355031,0.2,472345,1.50 113,1,2024-09-07 10:10:01:703,1253124,1253124,0,0,588131998514,6064951236,1246203,5970,951,365,391664,0 113,2,2024-09-07 10:10:01:310,904786,904786,0,0,32908767,0,3813 113,3,2024-09-07 10:10:00:693,1,710,2,0,510,7066,710,0 114,0,2024-09-07 10:10:00:875,176487,0.3,177736,0.5,354274,0.2,473482,1.75 114,1,2024-09-07 10:10:00:722,1248504,1248504,0,0,586222401255,6084288900,1239669,7031,1804,381,391565,0 114,2,2024-09-07 10:10:00:877,898394,898393,1,0,35237442,0,5069 114,3,2024-09-07 10:10:01:277,1,710,0,0,415,5707,710,0 115,0,2024-09-07 10:10:00:566,179245,0.3,179651,0.4,358019,0.2,476080,1.50 115,1,2024-09-07 10:10:00:583,1250008,1250008,0,0,586592457500,6090806945,1240448,7767,1793,382,391757,0 115,2,2024-09-07 10:10:01:133,898490,898490,0,0,35241426,0,4382 115,3,2024-09-07 10:10:01:002,1,710,1,0,173,4444,710,0 116,0,2024-09-07 10:10:01:766,178091,0.7,178127,0.9,356870,0.8,477030,2.25 116,1,2024-09-07 10:10:00:803,1242922,1242922,0,0,584013209816,6148113111,1228809,10895,3218,380,392089,0 116,2,2024-09-07 10:10:01:760,898692,898692,0,0,42706459,0,4475 116,3,2024-09-07 10:10:00:912,1,710,1,0,448,8943,710,0 117,0,2024-09-07 10:10:00:960,179059,0.6,178694,0.8,357893,0.6,477464,2.00 117,1,2024-09-07 10:10:01:702,1245453,1245453,0,0,583585067985,6110142658,1231572,12112,1769,369,392429,0 117,2,2024-09-07 10:10:01:134,900851,900851,0,0,39247155,0,4303 117,3,2024-09-07 10:10:01:082,1,710,4,0,490,8565,710,0 118,0,2024-09-07 10:10:01:779,170640,0.5,175242,0.7,357583,0.4,467858,2.00 118,1,2024-09-07 10:10:00:597,1243950,1243950,0,0,583504315641,6128264859,1226429,13673,3848,366,392054,0 118,2,2024-09-07 10:10:01:602,896563,896563,0,0,41791394,0,3161 118,3,2024-09-07 10:10:01:765,1,710,1,0,343,8382,710,0 119,0,2024-09-07 10:10:01:335,179375,0.7,179567,0.8,358800,0.7,477885,2.25 119,1,2024-09-07 10:10:00:599,1245139,1245139,0,0,584991578225,6129835062,1229104,13367,2668,367,391857,0 119,2,2024-09-07 10:10:01:266,897401,897401,0,0,38534308,0,4309 119,3,2024-09-07 10:10:01:329,1,710,1,0,1358,12297,710,0 120,0,2024-09-07 10:10:01:703,177800,0.7,177942,0.9,357031,0.7,476282,2.50 120,1,2024-09-07 10:10:00:880,1245880,1245880,0,0,583670184893,6117023914,1233200,11521,1159,367,392144,0 120,2,2024-09-07 10:10:00:772,897062,897059,3,0,46529428,0,5363 120,3,2024-09-07 10:10:01:295,1,710,1,0,478,9017,710,0 121,0,2024-09-07 10:10:01:747,178663,1.1,178086,1.0,356398,1.4,475141,2.25 121,1,2024-09-07 10:10:01:654,1245199,1245199,0,0,584032761352,6111034780,1231654,11548,1997,366,391924,0 121,2,2024-09-07 10:10:01:145,897698,897698,0,0,42267005,0,4157 121,3,2024-09-07 10:10:00:742,1,710,3,0,387,9333,710,0 122,0,2024-09-07 10:10:01:833,176195,0.7,171558,0.8,358930,0.8,471696,2.00 122,1,2024-09-07 10:10:00:884,1244573,1244573,0,0,584147188279,6123832301,1228277,13717,2579,365,392130,0 122,2,2024-09-07 10:10:01:338,899181,899106,75,0,46389080,0,5989 122,3,2024-09-07 10:10:00:601,1,710,4,0,512,10617,710,0 123,0,2024-09-07 10:10:00:949,177402,0.8,172934,0.8,362617,0.9,474505,2.25 123,1,2024-09-07 10:10:00:557,1245660,1245660,0,0,584121165068,6137649243,1226559,16072,3029,369,392039,0 123,2,2024-09-07 10:10:01:025,896411,896410,1,0,39752797,0,5215 123,3,2024-09-07 10:10:01:133,1,710,1,0,478,7927,710,0 124,0,2024-09-07 10:10:00:981,182893,0.4,182907,0.5,344737,0.3,474936,1.75 124,1,2024-09-07 10:10:01:031,1249165,1249165,0,0,585594841032,6088339530,1239559,8343,1263,365,392178,0 124,2,2024-09-07 10:10:01:017,899440,899387,53,0,37111987,0,6487 124,3,2024-09-07 10:10:00:768,1,710,2,0,490,7281,710,0 125,0,2024-09-07 10:10:01:426,178040,0.4,177926,0.6,356683,0.3,474978,1.75 125,1,2024-09-07 10:10:00:855,1245994,1245994,0,0,584979479286,6110871750,1234936,9472,1586,382,392045,0 125,2,2024-09-07 10:10:01:149,900521,900521,0,0,36568705,0,4534 125,3,2024-09-07 10:10:01:148,1,710,1,0,709,7836,710,0 126,0,2024-09-07 10:10:01:415,177555,0.4,182621,0.5,349098,0.3,472967,1.75 126,1,2024-09-07 10:10:00:565,1249762,1249762,0,0,587040999641,6081281233,1242478,6582,702,365,391987,0 126,2,2024-09-07 10:10:00:611,903144,903144,0,0,38401244,0,4539 126,3,2024-09-07 10:10:00:908,1,710,1,0,268,8040,710,0 127,0,2024-09-07 10:10:01:592,176992,0.3,177259,0.5,353348,0.3,470803,1.75 127,1,2024-09-07 10:10:00:572,1248213,1248213,0,0,584954096095,6085185405,1235748,10688,1777,364,392187,0 127,2,2024-09-07 10:10:00:637,897444,897440,4,0,36224962,0,5305 127,3,2024-09-07 10:10:01:270,1,710,10,0,968,7095,710,0 128,0,2024-09-07 10:10:01:520,178652,0.3,178348,0.4,357135,0.2,474255,1.50 128,1,2024-09-07 10:10:01:609,1248156,1248156,0,0,586196132872,6091865053,1239156,8027,973,367,392423,0 128,2,2024-09-07 10:10:01:387,898949,898949,0,0,33653753,0,3171 128,3,2024-09-07 10:10:00:778,1,710,10,0,1082,10537,710,0 129,0,2024-09-07 10:10:01:013,180410,0.3,179632,0.5,360289,0.3,478422,1.50 129,1,2024-09-07 10:10:00:576,1244194,1244194,0,0,583870736668,6121986551,1231217,10647,2330,379,391962,0 129,2,2024-09-07 10:10:00:689,899930,899926,4,0,36540950,0,5335 129,3,2024-09-07 10:10:00:701,1,710,3,0,506,10071,710,0 130,0,2024-09-07 10:10:01:766,178326,0.4,178164,0.5,356807,0.4,474735,1.75 130,1,2024-09-07 10:10:00:588,1249378,1249378,0,0,586236382902,6085349106,1243516,5454,408,381,391836,0 130,2,2024-09-07 10:10:01:132,901544,901544,0,0,36258080,0,4067 130,3,2024-09-07 10:10:01:308,1,710,1,0,960,8973,710,0 131,0,2024-09-07 10:10:01:973,175965,0.3,176327,0.5,353769,0.3,470469,1.50 131,1,2024-09-07 10:10:01:821,1248803,1248803,0,0,585884279996,6102452819,1240641,6949,1213,381,391865,0 131,2,2024-09-07 10:10:00:599,896931,896931,0,0,34393438,0,3979 131,3,2024-09-07 10:10:01:688,1,710,3,0,392,9053,710,0 132,0,2024-09-07 10:10:01:417,179488,0.5,180444,0.6,359210,0.4,477719,2.00 132,1,2024-09-07 10:10:00:592,1244178,1244178,0,0,583457147437,6134567768,1227662,13733,2783,381,392532,0 132,2,2024-09-07 10:10:00:709,896411,896394,17,0,43053923,0,6451 132,3,2024-09-07 10:10:01:689,1,710,1,0,1298,11930,710,0 133,0,2024-09-07 10:10:01:524,174965,0.5,179030,0.6,367264,0.4,477481,2.00 133,1,2024-09-07 10:10:00:593,1243261,1243261,0,0,583692511474,6142239931,1227799,13526,1936,383,391914,0 133,2,2024-09-07 10:10:01:101,899500,899450,50,0,44125598,0,6861 133,3,2024-09-07 10:10:01:307,1,710,1,0,528,8463,710,0 134,0,2024-09-07 10:10:00:943,180000,0.5,179905,0.7,359440,0.5,479177,2.00 134,1,2024-09-07 10:10:00:596,1245337,1245337,0,0,583787889944,6120789557,1229244,12801,3292,366,391826,0 134,2,2024-09-07 10:10:01:770,898297,898158,139,0,41904749,0,7591 134,3,2024-09-07 10:10:00:756,1,710,1,0,739,8550,710,0 135,0,2024-09-07 10:10:01:112,170504,0.7,170551,0.8,361962,0.7,466905,2.25 135,1,2024-09-07 10:10:01:587,1244852,1244852,0,0,584827398003,6142275575,1230423,12576,1853,380,392038,0 135,2,2024-09-07 10:10:00:696,898902,898902,0,0,42379517,0,4503 135,3,2024-09-07 10:10:01:002,1,710,1,0,900,6697,710,0 136,0,2024-09-07 10:10:01:615,179488,0.6,180059,0.8,358424,0.6,477919,2.00 136,1,2024-09-07 10:10:01:441,1246204,1246204,0,0,584956507025,6124780736,1233359,11417,1428,381,392135,0 136,2,2024-09-07 10:10:01:137,899352,899337,15,0,41380672,0,6007 136,3,2024-09-07 10:10:01:119,1,710,3,0,637,8235,710,0 137,0,2024-09-07 10:10:00:926,184115,0.6,179564,0.7,351553,0.7,477881,2.00 137,1,2024-09-07 10:10:00:578,1244971,1244971,0,0,584355163691,6123060272,1226484,14841,3646,366,391898,0 137,2,2024-09-07 10:10:01:706,896613,896613,0,0,42614118,0,3185 137,3,2024-09-07 10:10:00:782,1,710,0,0,484,9880,710,0 138,0,2024-09-07 10:10:01:769,177330,0.9,177577,0.9,355685,1.1,473109,2.25 138,1,2024-09-07 10:10:01:686,1244618,1244618,0,0,584852065370,6133229832,1227471,14455,2692,368,391954,0 138,2,2024-09-07 10:10:00:591,895806,895806,0,0,41009237,0,4988 138,3,2024-09-07 10:10:00:622,1,710,1,0,1200,10390,710,0 139,0,2024-09-07 10:10:01:370,175588,0.8,176294,0.9,352112,1.1,470455,2.25 139,1,2024-09-07 10:10:00:574,1241010,1241010,0,0,581223893085,6153443306,1220968,16128,3914,380,392109,0 139,2,2024-09-07 10:10:00:702,894948,894918,30,0,46736662,0,5997 139,3,2024-09-07 10:10:01:674,1,710,0,0,432,8279,710,0 140,0,2024-09-07 10:10:01:587,177652,0.3,177014,0.5,354945,0.2,473115,1.75 140,1,2024-09-07 10:10:01:536,1252662,1252662,0,0,588029946386,6066755364,1245852,6005,805,364,391628,0 140,2,2024-09-07 10:10:00:693,897411,897410,1,0,35066247,0,5036 140,3,2024-09-07 10:10:00:771,1,710,1,0,575,7432,710,0 141,0,2024-09-07 10:10:01:702,178365,0.3,183193,0.5,349937,0.3,473677,1.75 141,1,2024-09-07 10:10:00:880,1249197,1249197,0,0,586676861742,6096923215,1238845,8958,1394,379,391614,0 141,2,2024-09-07 10:10:01:693,897795,897784,11,0,37554056,0,5369 141,3,2024-09-07 10:10:01:047,1,710,8,0,391,8204,710,0 142,0,2024-09-07 10:10:01:338,179581,0.3,178757,0.5,357961,0.3,477135,1.50 142,1,2024-09-07 10:10:00:600,1247395,1247395,0,0,585484702784,6108425981,1238210,8290,895,382,392102,0 142,2,2024-09-07 10:10:01:301,897611,897579,32,0,37196437,0,6028 142,3,2024-09-07 10:10:01:749,1,710,2,0,484,7119,710,0 143,0,2024-09-07 10:10:01:382,177168,0.4,176911,0.5,355275,0.4,472267,1.75 143,1,2024-09-07 10:10:00:556,1250523,1250523,0,0,585960003018,6080393604,1242107,7443,973,367,391900,0 143,2,2024-09-07 10:10:00:772,903493,903493,0,0,36822924,0,3123 143,3,2024-09-07 10:10:01:144,1,710,1,0,462,8290,710,0 144,0,2024-09-07 10:10:01:514,171098,0.5,175956,0.7,358470,0.5,469453,2.00 144,1,2024-09-07 10:10:00:575,1244688,1244688,0,0,584552784349,6129766934,1232925,9718,2045,381,391814,0 144,2,2024-09-07 10:10:01:754,897433,897433,0,0,36260043,0,4443 144,3,2024-09-07 10:10:01:741,1,710,7,0,306,7550,710,0 145,0,2024-09-07 10:10:01:385,172645,0.6,172639,0.8,366549,0.5,471253,2.25 145,1,2024-09-07 10:10:00:557,1243432,1243432,0,0,583428726417,6136018729,1227218,13244,2970,381,391879,0 145,2,2024-09-07 10:10:01:432,895492,895410,82,0,42230217,0,7814 145,3,2024-09-07 10:10:00:898,1,710,1,0,622,9430,710,0 146,0,2024-09-07 10:10:01:597,178732,0.7,177834,0.8,357610,0.7,475031,2.25 146,1,2024-09-07 10:10:01:597,1245102,1245102,0,0,584167407265,6139109156,1225934,14237,4931,367,391829,0 146,2,2024-09-07 10:10:01:707,896819,896813,6,0,40497895,0,5151 146,3,2024-09-07 10:10:01:289,1,710,1,0,1520,10954,710,0 147,0,2024-09-07 10:10:01:718,178964,0.6,178805,0.7,357560,0.5,476402,2.00 147,1,2024-09-07 10:10:01:375,1249648,1249648,0,0,586031914621,6090347246,1239683,8863,1102,367,391791,0 147,2,2024-09-07 10:10:01:019,899334,899334,0,0,38255808,0,4531 147,3,2024-09-07 10:10:00:924,1,710,10,0,1626,10536,710,0 0,0,2024-09-07 10:10:11:730,173944,0.7,173987,0.8,369288,0.8,477301,2.00 0,1,2024-09-07 10:10:10:807,1247746,1247746,0,0,586079572684,6131859612,1237848,8920,978,368,391896,0 0,2,2024-09-07 10:10:11:068,900590,900590,0,0,35993956,0,4480 0,3,2024-09-07 10:10:10:976,1,711,3,0,538,10124,711,0 1,0,2024-09-07 10:10:11:751,178842,0.8,177923,0.9,356910,0.9,477839,2.00 1,1,2024-09-07 10:10:10:557,1247007,1247007,0,0,584599136310,6122641367,1235594,9440,1973,370,391859,0 1,2,2024-09-07 10:10:10:643,900658,900658,0,0,35525736,0,3380 1,3,2024-09-07 10:10:11:315,1,711,1,0,269,8341,711,0 2,0,2024-09-07 10:10:11:572,177019,0.5,176746,0.7,353334,0.5,471384,2.00 2,1,2024-09-07 10:10:10:867,1250730,1250730,0,0,586119284149,6092574379,1243796,5972,962,379,391805,0 2,2,2024-09-07 10:10:11:276,900539,900539,0,0,34214386,0,3594 2,3,2024-09-07 10:10:10:689,1,711,1,0,357,6158,711,0 3,0,2024-09-07 10:10:11:749,178944,0.4,178720,0.6,357154,0.4,476393,2.00 3,1,2024-09-07 10:10:11:637,1248774,1248774,0,0,585897627645,6091972472,1240176,7880,718,379,391716,0 3,2,2024-09-07 10:10:11:142,900593,900570,23,0,34983203,0,5851 3,3,2024-09-07 10:10:11:758,1,711,1,0,484,5262,711,0 4,0,2024-09-07 10:10:11:787,172982,0.4,177629,0.5,362556,0.4,474020,1.75 4,1,2024-09-07 10:10:10:591,1243804,1243804,0,0,583751693066,6169125503,1223881,15321,4602,369,391992,0 4,2,2024-09-07 10:10:11:025,896410,896410,0,0,42543945,0,4534 4,3,2024-09-07 10:10:11:032,1,711,1,0,448,9420,711,0 5,0,2024-09-07 10:10:11:408,178336,0.4,178126,0.6,356609,0.4,474859,1.75 5,1,2024-09-07 10:10:10:768,1244787,1244787,0,0,583381891768,6150254474,1227076,13470,4241,367,392005,0 5,2,2024-09-07 10:10:11:829,895184,895151,33,0,42896584,0,7631 5,3,2024-09-07 10:10:11:732,1,711,1,0,457,9235,711,0 6,0,2024-09-07 10:10:10:928,177559,0.4,176822,0.6,354187,0.4,471615,2.00 6,1,2024-09-07 10:10:10:750,1248598,1248598,0,0,585803266713,6111975335,1236415,10498,1685,379,391702,0 6,2,2024-09-07 10:10:11:115,903004,902986,18,0,39698875,0,5535 6,3,2024-09-07 10:10:11:278,1,711,1,0,710,7986,711,0 7,0,2024-09-07 10:10:11:548,176342,0.5,177566,0.6,352067,0.4,470408,2.00 7,1,2024-09-07 10:10:10:858,1245387,1245387,0,0,584530552700,6144756465,1227550,13700,4137,382,391747,0 7,2,2024-09-07 10:10:10:775,897300,897131,169,0,40476193,0,7706 7,3,2024-09-07 10:10:10:852,1,711,0,0,552,8192,711,0 8,0,2024-09-07 10:10:11:333,178231,0.4,177820,0.5,356362,0.4,474837,1.75 8,1,2024-09-07 10:10:11:018,1244537,1244537,0,0,584599601098,6142924397,1225662,14828,4047,366,392853,0 8,2,2024-09-07 10:10:10:800,892569,892567,2,0,45714279,0,5112 8,3,2024-09-07 10:10:10:592,1,711,0,0,772,10628,711,0 9,0,2024-09-07 10:10:11:162,179225,0.4,173990,0.5,364217,0.3,477771,1.75 9,1,2024-09-07 10:10:10:555,1244263,1244263,0,0,584671054537,6161347880,1224530,15382,4351,369,392001,0 9,2,2024-09-07 10:10:11:097,897849,897848,1,0,43682928,0,5281 9,3,2024-09-07 10:10:11:759,1,711,0,0,1273,11165,711,0 10,0,2024-09-07 10:10:11:606,177614,0.3,177208,0.5,355309,0.3,472455,1.75 10,1,2024-09-07 10:10:10:589,1246187,1246187,0,0,584682115929,6132945982,1228039,14413,3735,381,391981,0 10,2,2024-09-07 10:10:10:767,901794,901794,0,0,46972621,0,4713 10,3,2024-09-07 10:10:10:879,1,711,1,0,669,7413,711,0 11,0,2024-09-07 10:10:11:017,176056,0.4,170938,0.6,357828,0.3,471640,1.75 11,1,2024-09-07 10:10:10:571,1248478,1248478,0,0,585869805892,6138305778,1231589,12579,4310,383,391766,0 11,2,2024-09-07 10:10:11:126,896830,896830,0,0,40252749,0,4698 11,3,2024-09-07 10:10:11:299,1,711,1,0,843,8508,711,0 12,0,2024-09-07 10:10:10:981,180448,0.4,180114,0.6,359974,0.4,478453,1.75 12,1,2024-09-07 10:10:10:933,1247586,1247586,0,0,585599643452,6108073395,1237713,8841,1032,368,391960,0 12,2,2024-09-07 10:10:11:546,895829,895829,0,0,40379391,0,4390 12,3,2024-09-07 10:10:11:073,1,711,5,0,386,8662,711,0 13,0,2024-09-07 10:10:11:360,180379,0.4,180587,0.6,360363,0.4,479534,1.75 13,1,2024-09-07 10:10:11:527,1245440,1245440,0,0,584152203469,6146386965,1231254,11102,3084,382,391803,0 13,2,2024-09-07 10:10:10:601,901513,901513,0,0,36681628,0,3287 13,3,2024-09-07 10:10:11:763,1,711,8,0,522,9429,711,0 14,0,2024-09-07 10:10:10:570,179488,0.4,180443,0.6,359003,0.3,477830,1.75 14,1,2024-09-07 10:10:11:570,1253961,1253961,0,0,588323850793,6084262660,1244693,8117,1151,364,391673,0 14,2,2024-09-07 10:10:10:776,900725,900695,30,0,37674729,0,6104 14,3,2024-09-07 10:10:11:116,1,711,7,0,1168,7240,711,0 15,0,2024-09-07 10:10:11:553,176470,0.4,175703,0.6,351552,0.3,469413,1.75 15,1,2024-09-07 10:10:11:614,1249623,1249623,0,0,586808125572,6113193838,1238752,8773,2098,380,391619,0 15,2,2024-09-07 10:10:11:005,900618,900618,0,0,33549095,0,3622 15,3,2024-09-07 10:10:11:407,1,711,1,0,1126,8673,711,0 16,0,2024-09-07 10:10:10:961,179370,0.6,180296,0.8,359669,0.6,478580,2.00 16,1,2024-09-07 10:10:10:568,1249174,1249174,0,0,586339367795,6126650261,1238410,9217,1547,370,392194,0 16,2,2024-09-07 10:10:11:447,898431,898431,0,0,36700035,0,4719 16,3,2024-09-07 10:10:11:142,1,711,2,0,362,8661,711,0 17,0,2024-09-07 10:10:11:865,184545,0.7,179887,0.8,352328,0.7,479020,2.00 17,1,2024-09-07 10:10:10:568,1247467,1247467,0,0,585326963647,6131858281,1236330,9334,1803,368,392075,0 17,2,2024-09-07 10:10:11:671,902618,902617,1,0,38051887,0,5050 17,3,2024-09-07 10:10:10:578,1,711,0,0,518,9983,711,0 18,0,2024-09-07 10:10:10:948,176619,0.6,177799,0.8,353983,0.6,472713,2.25 18,1,2024-09-07 10:10:11:638,1252564,1252564,0,0,587288761215,6089474772,1244375,6756,1433,367,391725,0 18,2,2024-09-07 10:10:11:759,901591,901591,0,0,34292081,0,3541 18,3,2024-09-07 10:10:10:901,1,711,1,0,1059,6376,711,0 19,0,2024-09-07 10:10:11:542,176603,0.6,177120,0.8,352951,0.6,469909,2.00 19,1,2024-09-07 10:10:10:572,1251861,1251861,0,0,588062348821,6090338368,1243001,7697,1163,365,391777,0 19,2,2024-09-07 10:10:11:760,904567,904567,0,0,32082312,0,3988 19,3,2024-09-07 10:10:11:129,1,711,1,0,524,5577,711,0 20,0,2024-09-07 10:10:11:570,177391,0.5,177396,0.6,354461,0.5,473239,2.00 20,1,2024-09-07 10:10:10:568,1247011,1247011,0,0,585690567062,6134174452,1234717,10585,1709,369,391922,0 20,2,2024-09-07 10:10:10:944,898621,898621,0,0,40480464,0,4321 20,3,2024-09-07 10:10:10:593,1,711,1,0,468,11631,711,0 21,0,2024-09-07 10:10:11:177,178011,0.5,178113,0.7,356080,0.5,473031,2.00 21,1,2024-09-07 10:10:11:546,1244659,1244659,0,0,583654036691,6154797582,1225885,14777,3997,368,392016,0 21,2,2024-09-07 10:10:11:074,892445,891968,477,0,51799199,0,17074 21,3,2024-09-07 10:10:11:406,1,711,1,0,713,9786,711,0 22,0,2024-09-07 10:10:11:724,178609,0.5,179218,0.7,358169,0.4,475281,2.00 22,1,2024-09-07 10:10:11:027,1246053,1246053,0,0,584351133018,6142123924,1226933,15514,3606,381,391822,0 22,2,2024-09-07 10:10:10:768,898151,898125,26,0,38470861,0,6328 22,3,2024-09-07 10:10:11:080,1,711,2,0,228,5685,711,0 23,0,2024-09-07 10:10:11:379,176998,0.5,176921,0.6,353762,0.4,471775,2.00 23,1,2024-09-07 10:10:11:013,1247151,1247151,0,0,584972981024,6154709294,1225248,14732,7171,365,391690,0 23,2,2024-09-07 10:10:11:096,903533,903533,0,0,36722281,0,3773 23,3,2024-09-07 10:10:11:761,1,711,18,0,855,9822,711,0 24,0,2024-09-07 10:10:10:820,177926,0.4,176968,0.5,355476,0.3,472650,1.75 24,1,2024-09-07 10:10:10:583,1245899,1245899,0,0,585252387496,6122143592,1234280,9741,1878,367,392269,0 24,2,2024-09-07 10:10:11:071,897277,897274,3,0,43126199,0,6294 24,3,2024-09-07 10:10:11:695,1,711,1,0,468,9243,711,0 25,0,2024-09-07 10:10:11:403,183439,0.4,178194,0.6,350769,0.4,477268,1.75 25,1,2024-09-07 10:10:10:558,1244443,1244443,0,0,584347069207,6169655115,1223307,17133,4003,369,391928,0 25,2,2024-09-07 10:10:11:613,895001,895001,0,0,45167246,0,3978 25,3,2024-09-07 10:10:11:006,1,711,13,0,532,7708,711,0 26,0,2024-09-07 10:10:11:720,178278,0.4,174272,0.6,365586,0.4,476700,2.00 26,1,2024-09-07 10:10:11:557,1248509,1248509,0,0,585715456741,6126018728,1232798,12806,2905,380,391758,0 26,2,2024-09-07 10:10:10:861,899613,899613,0,0,46266967,0,4689 26,3,2024-09-07 10:10:11:712,1,711,4,0,796,8708,711,0 27,0,2024-09-07 10:10:11:730,178855,0.4,179578,0.6,357142,0.4,476008,2.25 27,1,2024-09-07 10:10:11:676,1249846,1249846,0,0,587406187411,6117446112,1238464,9759,1623,381,391626,0 27,2,2024-09-07 10:10:10:875,897894,897829,65,0,40924069,0,5699 27,3,2024-09-07 10:10:11:021,1,711,1,0,564,5940,711,0 28,0,2024-09-07 10:10:11:422,176508,0.4,176522,0.6,353148,0.3,470422,1.75 28,1,2024-09-07 10:10:10:797,1250401,1250401,0,0,587686366771,6130084896,1238924,9049,2428,382,391904,0 28,2,2024-09-07 10:10:11:772,897675,897675,0,0,38150328,0,4060 28,3,2024-09-07 10:10:11:785,1,711,1,0,502,7412,711,0 29,0,2024-09-07 10:10:11:378,184603,0.4,179601,0.6,352756,0.4,480574,1.75 29,1,2024-09-07 10:10:11:568,1253841,1253841,0,0,588423912143,6087475620,1245912,6907,1022,367,391809,0 29,2,2024-09-07 10:10:10:870,898057,898057,0,0,35712045,0,4986 29,3,2024-09-07 10:10:10:964,1,711,3,0,590,7929,711,0 30,0,2024-09-07 10:10:11:455,178030,0.6,173232,0.7,362998,0.5,474261,2.00 30,1,2024-09-07 10:10:10:571,1252496,1252496,0,0,588807509780,6112185386,1242680,8647,1169,380,391672,0 30,2,2024-09-07 10:10:11:284,900796,900796,0,0,34651064,0,4192 30,3,2024-09-07 10:10:10:585,1,711,29,0,519,7283,711,0 31,0,2024-09-07 10:10:11:774,178584,0.5,179599,0.6,358084,0.4,477849,2.00 31,1,2024-09-07 10:10:10:566,1256228,1256228,0,0,589258734552,6051116699,1248293,6666,1269,356,391712,0 31,2,2024-09-07 10:10:11:275,899962,899962,0,0,38017640,0,4470 31,3,2024-09-07 10:10:11:711,1,711,2,0,248,6206,711,0 32,0,2024-09-07 10:10:11:419,177163,0.3,178193,0.5,355223,0.2,472836,1.75 32,1,2024-09-07 10:10:10:804,1251893,1251893,0,0,587534280945,6105139274,1244390,6537,966,381,391646,0 32,2,2024-09-07 10:10:10:942,902531,902531,0,0,33128474,0,3922 32,3,2024-09-07 10:10:11:015,1,711,1,0,304,5877,711,0 33,0,2024-09-07 10:10:11:536,179652,0.3,179126,0.4,358482,0.2,477907,1.50 33,1,2024-09-07 10:10:10:582,1252710,1252710,0,0,588296267720,6089201838,1243079,8273,1358,368,391730,0 33,2,2024-09-07 10:10:10:776,899437,899402,35,0,36777941,0,7012 33,3,2024-09-07 10:10:10:898,1,711,8,0,329,6553,711,0 34,0,2024-09-07 10:10:10:941,178455,0.3,183543,0.5,350973,0.2,474133,1.75 34,1,2024-09-07 10:10:11:046,1255977,1255977,0,0,588833284612,6057673125,1251959,3809,209,366,391637,0 34,2,2024-09-07 10:10:10:773,899807,899807,0,0,34395226,0,4562 34,3,2024-09-07 10:10:11:688,1,711,4,0,541,6067,711,0 35,0,2024-09-07 10:10:10:864,177806,0.3,178325,0.5,357575,0.2,475789,1.75 35,1,2024-09-07 10:10:11:070,1251390,1251390,0,0,586613756868,6084449406,1242448,7389,1553,382,391769,0 35,2,2024-09-07 10:10:11:587,899258,899258,0,0,40050504,0,4055 35,3,2024-09-07 10:10:10:907,1,711,0,0,466,6596,711,0 36,0,2024-09-07 10:10:11:524,178034,0.4,178210,0.6,355758,0.4,473697,2.00 36,1,2024-09-07 10:10:10:584,1249722,1249722,0,0,585631150783,6109653885,1234938,12430,2354,366,391759,0 36,2,2024-09-07 10:10:11:754,902449,902449,0,0,39831782,0,3875 36,3,2024-09-07 10:10:10:869,1,711,42,0,556,8898,711,0 37,0,2024-09-07 10:10:11:438,176481,0.5,176295,0.6,353055,0.4,471148,2.00 37,1,2024-09-07 10:10:10:582,1249957,1249950,0,7,585967025869,6114098510,1235750,11083,3117,365,391770,0 37,2,2024-09-07 10:10:11:143,896785,896770,15,0,39919530,0,5815 37,3,2024-09-07 10:10:11:772,1,711,1,0,888,9579,711,0 38,0,2024-09-07 10:10:11:454,177072,0.5,171692,0.7,359101,0.4,470638,2.00 38,1,2024-09-07 10:10:11:605,1249569,1249569,0,0,586126359968,6121978195,1232725,13538,3306,368,391821,0 38,2,2024-09-07 10:10:10:768,898661,898614,47,0,39857550,0,6710 38,3,2024-09-07 10:10:10:997,1,711,0,0,689,7934,711,0 39,0,2024-09-07 10:10:11:781,182727,0.5,178442,0.7,348511,0.5,475224,2.00 39,1,2024-09-07 10:10:10:729,1247333,1247333,0,0,585828266553,6135971558,1227520,15580,4233,365,391865,0 39,2,2024-09-07 10:10:11:422,899711,899711,0,0,38160586,0,3478 39,3,2024-09-07 10:10:10:724,1,711,1,0,525,7773,711,0 40,0,2024-09-07 10:10:11:490,176160,0.8,176739,0.9,352963,0.9,470998,2.75 40,1,2024-09-07 10:10:10:579,1248058,1248058,0,0,585347951293,6131394759,1229215,15260,3583,366,391668,0 40,2,2024-09-07 10:10:11:305,899677,899670,7,0,44143742,0,5347 40,3,2024-09-07 10:10:11:151,1,711,1,0,1028,9885,711,0 41,0,2024-09-07 10:10:11:058,175393,1.1,179540,1.0,342607,1.4,465511,2.75 41,1,2024-09-07 10:10:10:779,1248682,1248682,0,0,586160236916,6126052580,1232961,13194,2527,369,391878,0 41,2,2024-09-07 10:10:10:776,894135,894134,1,0,43082089,0,5408 41,3,2024-09-07 10:10:11:679,1,711,1,0,749,8492,711,0 42,0,2024-09-07 10:10:11:478,177872,1.0,177669,1.0,355736,1.3,471683,2.75 42,1,2024-09-07 10:10:11:443,1245423,1245423,0,0,584089555341,6127016294,1227815,14524,3084,380,391675,0 42,2,2024-09-07 10:10:11:133,894366,894365,1,0,44541948,0,5513 42,3,2024-09-07 10:10:11:018,1,711,1,0,892,7090,711,0 43,0,2024-09-07 10:10:10:929,176986,0.8,172290,1.0,361116,0.8,472079,2.25 43,1,2024-09-07 10:10:10:579,1249376,1249376,0,0,587105311415,6127714501,1233263,13364,2749,365,391696,0 43,2,2024-09-07 10:10:11:736,901609,901609,0,0,40781721,0,4723 43,3,2024-09-07 10:10:11:761,1,711,3,0,571,9395,711,0 44,0,2024-09-07 10:10:10:895,179659,0.4,179774,0.6,359153,0.3,478845,1.75 44,1,2024-09-07 10:10:10:575,1252325,1252325,0,0,587646660448,6075188518,1240993,9181,2151,356,391809,0 44,2,2024-09-07 10:10:11:273,898987,898987,0,0,34340865,0,4344 44,3,2024-09-07 10:10:11:097,1,711,1,0,1097,9183,711,0 45,0,2024-09-07 10:10:11:785,174743,0.4,170535,0.6,357872,0.4,469621,2.00 45,1,2024-09-07 10:10:11:012,1251731,1251731,0,0,587462566208,6104732709,1242176,8334,1221,382,391917,0 45,2,2024-09-07 10:10:11:277,900295,900295,0,0,34265219,0,3596 45,3,2024-09-07 10:10:10:940,1,711,21,0,531,6638,711,0 46,0,2024-09-07 10:10:10:957,178874,0.5,178114,0.7,357446,0.6,475288,2.00 46,1,2024-09-07 10:10:10:582,1253482,1253482,0,0,588270986852,6084567576,1244201,7798,1483,366,391709,0 46,2,2024-09-07 10:10:10:602,900463,900463,0,0,35232168,0,4443 46,3,2024-09-07 10:10:11:150,1,711,0,0,908,7663,711,0 47,0,2024-09-07 10:10:11:103,179645,0.5,179196,0.6,359714,0.4,477260,2.00 47,1,2024-09-07 10:10:10:568,1255025,1255025,0,0,588162348390,6076636425,1247511,6501,1013,364,391666,0 47,2,2024-09-07 10:10:10:909,902384,902384,0,0,35759457,0,4477 47,3,2024-09-07 10:10:11:116,1,711,1,0,600,7615,711,0 48,0,2024-09-07 10:10:11:494,178719,0.3,179239,0.4,357256,0.2,475474,1.50 48,1,2024-09-07 10:10:11:021,1251101,1251101,0,0,586847283940,6095104891,1243425,6664,1012,381,391710,0 48,2,2024-09-07 10:10:10:703,900192,900192,0,0,32482265,0,3619 48,3,2024-09-07 10:10:10:754,1,711,2,0,339,6019,711,0 49,0,2024-09-07 10:10:11:716,182365,0.3,178591,0.5,347386,0.2,474447,1.75 49,1,2024-09-07 10:10:11:024,1250532,1250532,0,0,587080380836,6101771736,1242659,6175,1698,382,391809,0 49,2,2024-09-07 10:10:11:797,903615,903615,0,0,33940893,0,4426 49,3,2024-09-07 10:10:11:416,1,711,1,0,992,8226,711,0 50,0,2024-09-07 10:10:11:512,177764,0.3,176669,0.5,355565,0.2,472635,1.75 50,1,2024-09-07 10:10:11:022,1254204,1254204,0,0,588895604696,6085970775,1246642,6829,733,368,391691,0 50,2,2024-09-07 10:10:11:072,898332,898332,0,0,31957018,0,4490 50,3,2024-09-07 10:10:11:299,1,711,2,0,617,7238,711,0 51,0,2024-09-07 10:10:11:694,182778,0.3,178901,0.5,348417,0.2,475472,1.75 51,1,2024-09-07 10:10:11:685,1255140,1255140,0,0,589666134788,6077761395,1248436,5359,1345,365,391706,0 51,2,2024-09-07 10:10:11:333,899323,899323,0,0,31554033,0,3337 51,3,2024-09-07 10:10:11:031,1,711,39,0,678,5543,711,0 52,0,2024-09-07 10:10:11:420,178853,0.5,178732,0.6,357178,0.4,474905,2.00 52,1,2024-09-07 10:10:10:584,1249134,1249134,0,0,586520404818,6132080950,1232375,14332,2427,368,391805,0 52,2,2024-09-07 10:10:11:758,895363,895325,38,0,42899016,0,6742 52,3,2024-09-07 10:10:10:676,1,711,1,0,1782,7991,711,0 53,0,2024-09-07 10:10:11:751,176742,0.6,171605,0.8,359079,0.6,470318,2.25 53,1,2024-09-07 10:10:10:779,1248347,1248347,0,0,585669856086,6135354308,1228975,14940,4432,367,391968,0 53,2,2024-09-07 10:10:11:306,902938,902800,138,0,39310001,0,7690 53,3,2024-09-07 10:10:10:701,1,711,1,0,308,6526,711,0 54,0,2024-09-07 10:10:11:619,175128,0.5,175745,0.7,349557,0.4,467219,2.25 54,1,2024-09-07 10:10:10:584,1250767,1250767,0,0,587376215393,6102084534,1238964,10128,1675,366,391810,0 54,2,2024-09-07 10:10:10:864,897983,897951,32,0,42554030,0,6397 54,3,2024-09-07 10:10:10:763,1,711,1,0,676,9022,711,0 55,0,2024-09-07 10:10:11:782,172298,0.7,177464,0.8,360298,0.7,469257,2.50 55,1,2024-09-07 10:10:10:767,1251114,1251114,0,0,586453479977,6093630042,1239079,10546,1489,365,391731,0 55,2,2024-09-07 10:10:10:964,897016,896960,56,0,38882402,0,7239 55,3,2024-09-07 10:10:10:683,1,711,0,0,304,6315,711,0 56,0,2024-09-07 10:10:11:583,182272,1.2,171734,1.1,354093,1.5,473960,2.75 56,1,2024-09-07 10:10:10:576,1243250,1243250,0,0,583554257452,6164577083,1223735,15760,3755,381,391867,0 56,2,2024-09-07 10:10:11:322,898113,897991,122,0,41965236,0,7432 56,3,2024-09-07 10:10:11:061,1,711,2,0,705,8345,711,0 57,0,2024-09-07 10:10:10:946,177199,1.3,177042,1.2,353555,1.7,472395,3.00 57,1,2024-09-07 10:10:10:994,1247108,1247108,0,0,584479789453,6126863106,1231383,13016,2709,366,392097,0 57,2,2024-09-07 10:10:11:330,900666,900666,0,0,44791263,0,4804 57,3,2024-09-07 10:10:11:737,1,711,1,0,455,7512,711,0 58,0,2024-09-07 10:10:10:573,173907,0.8,168919,1.0,353598,1.0,462627,2.50 58,1,2024-09-07 10:10:10:604,1248071,1248068,0,3,585326596973,6132937147,1229695,13971,4402,367,391726,3 58,2,2024-09-07 10:10:11:075,898336,898336,0,0,40612231,0,3483 58,3,2024-09-07 10:10:11:069,1,711,0,0,1043,7612,711,0 59,0,2024-09-07 10:10:11:746,178406,0.9,177318,1.0,354897,1.1,471033,3.00 59,1,2024-09-07 10:10:10:804,1247443,1247443,0,0,584956987689,6139618910,1227941,15664,3838,369,391653,0 59,2,2024-09-07 10:10:10:590,898132,898132,0,0,38777611,0,3727 59,3,2024-09-07 10:10:11:743,1,711,1,0,1015,8333,711,0 60,0,2024-09-07 10:10:11:786,178825,0.5,179130,0.7,357996,0.5,477954,2.00 60,1,2024-09-07 10:10:10:798,1251512,1251512,0,0,587081944265,6111605915,1240571,9435,1506,370,392031,0 60,2,2024-09-07 10:10:11:144,900776,900776,0,0,37011057,0,3811 60,3,2024-09-07 10:10:11:273,1,711,19,0,409,8141,711,0 61,0,2024-09-07 10:10:11:514,178829,0.6,179497,0.8,357574,0.6,477293,2.00 61,1,2024-09-07 10:10:10:775,1248204,1248204,0,0,585841860759,6131049750,1235511,10841,1852,382,392127,0 61,2,2024-09-07 10:10:11:119,900672,900605,67,0,37893882,0,6411 61,3,2024-09-07 10:10:11:702,1,711,1,0,607,8751,711,0 62,0,2024-09-07 10:10:11:708,177830,0.5,182315,0.7,348067,0.5,472653,2.00 62,1,2024-09-07 10:10:11:118,1256234,1256228,0,6,589637859063,6084954876,1248965,6645,618,365,391975,6 62,2,2024-09-07 10:10:11:652,898382,898381,1,0,37619967,0,5555 62,3,2024-09-07 10:10:11:143,1,711,6,0,482,5563,711,0 63,0,2024-09-07 10:10:11:462,179553,0.4,179182,0.6,359164,0.3,478219,1.75 63,1,2024-09-07 10:10:10:809,1252262,1252256,0,6,586796658273,6093558237,1244332,6972,952,381,391800,6 63,2,2024-09-07 10:10:10:764,898261,898261,0,0,36909597,0,4369 63,3,2024-09-07 10:10:11:733,1,711,1,0,667,8093,711,0 64,0,2024-09-07 10:10:11:530,177531,0.5,177477,0.7,354532,0.4,472578,2.00 64,1,2024-09-07 10:10:10:782,1250499,1250499,0,0,586721049310,6104939037,1240276,8193,2030,370,391794,0 64,2,2024-09-07 10:10:11:142,902647,902628,19,0,35326446,0,6121 64,3,2024-09-07 10:10:11:159,1,711,1,0,651,7957,711,0 65,0,2024-09-07 10:10:11:707,177072,0.6,177346,0.7,353687,0.6,472098,2.00 65,1,2024-09-07 10:10:10:868,1248972,1248972,0,0,585730095698,6117232579,1240723,7351,898,381,391901,0 65,2,2024-09-07 10:10:11:705,897817,897817,0,0,42473192,0,3367 65,3,2024-09-07 10:10:11:684,1,711,2,0,782,8230,711,0 66,0,2024-09-07 10:10:11:786,177037,0.5,176598,0.7,353042,0.4,470210,2.00 66,1,2024-09-07 10:10:11:296,1251022,1251022,0,0,587172331424,6107925566,1243511,6637,874,380,391743,0 66,2,2024-09-07 10:10:11:132,904962,904959,3,0,37130841,0,5455 66,3,2024-09-07 10:10:11:081,1,711,4,0,291,6216,711,0 67,0,2024-09-07 10:10:11:427,177068,0.5,176650,0.7,354086,0.4,471383,2.00 67,1,2024-09-07 10:10:10:773,1250617,1250616,0,1,586613563003,6104384260,1242177,7299,1140,380,391787,1 67,2,2024-09-07 10:10:10:592,900778,900763,15,0,37585312,0,6205 67,3,2024-09-07 10:10:11:762,1,711,10,0,595,7397,711,0 68,0,2024-09-07 10:10:10:577,178029,0.6,177834,0.7,354249,0.6,473580,2.00 68,1,2024-09-07 10:10:10:583,1246014,1246014,0,0,584209260289,6142021175,1229277,12462,4275,381,391953,0 68,2,2024-09-07 10:10:11:055,893724,893624,100,0,45546932,0,8578 68,3,2024-09-07 10:10:10:731,1,711,1,0,417,9885,711,0 69,0,2024-09-07 10:10:11:729,178338,0.7,178932,0.8,357002,0.7,475158,2.25 69,1,2024-09-07 10:10:11:023,1245500,1245500,0,0,584145548522,6148704320,1230569,11946,2985,383,391994,0 69,2,2024-09-07 10:10:11:735,895841,895812,29,0,48697494,0,6912 69,3,2024-09-07 10:10:10:774,1,711,0,0,698,10450,711,0 70,0,2024-09-07 10:10:11:534,175865,0.7,176647,0.9,354130,0.6,469529,2.50 70,1,2024-09-07 10:10:10:802,1252313,1252313,0,0,587982911751,6099085348,1242843,8425,1045,366,391725,0 70,2,2024-09-07 10:10:11:330,902482,902482,0,0,39957335,0,4323 70,3,2024-09-07 10:10:10:748,1,711,4,0,854,7743,711,0 71,0,2024-09-07 10:10:11:397,175393,0.8,174923,0.9,350832,0.8,468210,2.50 71,1,2024-09-07 10:10:11:602,1249761,1249761,0,0,586383147357,6113272960,1235321,12654,1786,367,391738,0 71,2,2024-09-07 10:10:11:072,895985,895985,0,0,40390574,0,4352 71,3,2024-09-07 10:10:11:749,1,711,1,0,644,7668,711,0 72,0,2024-09-07 10:10:11:099,184888,0.5,180563,0.7,352856,0.5,479163,2.00 72,1,2024-09-07 10:10:11:032,1248259,1248259,0,0,584988664887,6130057436,1231311,14214,2734,369,391819,0 72,2,2024-09-07 10:10:11:764,895327,895327,0,0,42514702,0,3983 72,3,2024-09-07 10:10:11:758,1,711,1,0,564,9619,711,0 73,0,2024-09-07 10:10:11:117,174852,0.5,179397,0.6,366622,0.4,477189,2.00 73,1,2024-09-07 10:10:10:782,1249792,1249792,0,0,587095980329,6099825871,1240252,8473,1067,367,391858,0 73,2,2024-09-07 10:10:11:751,899399,899398,1,0,44487642,0,5027 73,3,2024-09-07 10:10:10:975,1,711,6,0,1091,9448,711,0 74,0,2024-09-07 10:10:11:330,180692,0.5,184974,0.6,352696,0.4,479215,2.00 74,1,2024-09-07 10:10:10:643,1249008,1249008,0,0,585913665785,6109900319,1236643,10217,2148,381,391762,0 74,2,2024-09-07 10:10:11:004,899796,899796,0,0,38620038,0,4253 74,3,2024-09-07 10:10:11:464,1,711,1,0,522,7985,711,0 75,0,2024-09-07 10:10:11:788,176491,0.5,175465,0.7,352357,0.4,470952,2.00 75,1,2024-09-07 10:10:11:587,1250149,1250149,0,0,586703100467,6109896480,1240261,8894,994,380,391739,0 75,2,2024-09-07 10:10:11:350,897590,897590,0,0,45714807,0,4766 75,3,2024-09-07 10:10:11:070,1,711,1,0,918,9653,711,0 76,0,2024-09-07 10:10:10:586,179068,0.6,178710,0.7,356837,0.6,476900,2.25 76,1,2024-09-07 10:10:10:806,1248935,1248935,0,0,585788127892,6109600236,1240022,7603,1310,382,391790,0 76,2,2024-09-07 10:10:11:064,901667,901664,3,0,38819046,0,5265 76,3,2024-09-07 10:10:11:145,1,711,1,0,249,6576,711,0 77,0,2024-09-07 10:10:11:713,178515,0.6,178747,0.7,357894,0.6,476129,2.00 77,1,2024-09-07 10:10:10:859,1248438,1248438,0,0,586232618126,6119795536,1238152,9196,1090,381,391869,0 77,2,2024-09-07 10:10:11:284,899136,899136,0,0,37432461,0,3890 77,3,2024-09-07 10:10:11:103,1,711,1,0,401,7944,711,0 78,0,2024-09-07 10:10:11:733,179061,0.4,178162,0.6,357883,0.4,473599,2.00 78,1,2024-09-07 10:10:10:616,1248778,1248778,0,0,585768830088,6111304038,1233231,12215,3332,367,391670,0 78,2,2024-09-07 10:10:11:408,900502,900489,13,0,34921025,0,8313 78,3,2024-09-07 10:10:11:134,1,711,1,0,311,5990,711,0 79,0,2024-09-07 10:10:11:349,171315,0.4,175516,0.6,359594,0.3,468796,2.00 79,1,2024-09-07 10:10:10:572,1253182,1253182,0,0,588258499115,6091008073,1243354,8026,1802,367,391682,0 79,2,2024-09-07 10:10:11:072,903151,903151,0,0,33910045,0,4195 79,3,2024-09-07 10:10:10:775,1,711,4,0,418,8174,711,0 80,0,2024-09-07 10:10:11:154,177753,0.5,182496,0.7,348499,0.5,471881,2.00 80,1,2024-09-07 10:10:11:626,1249527,1249527,0,0,586416101330,6103413119,1241531,7413,583,368,392269,0 80,2,2024-09-07 10:10:11:098,901557,901557,0,0,34397558,0,4433 80,3,2024-09-07 10:10:10:607,1,711,10,0,681,8546,711,0 81,0,2024-09-07 10:10:11:553,178152,0.6,182366,0.7,347703,0.6,472076,2.00 81,1,2024-09-07 10:10:11:652,1248661,1248661,0,0,585251213998,6115122848,1238783,8880,998,382,392001,0 81,2,2024-09-07 10:10:11:125,898462,898399,63,0,38296577,0,5932 81,3,2024-09-07 10:10:11:117,1,711,5,0,719,7701,711,0 82,0,2024-09-07 10:10:11:536,178744,0.5,178816,0.7,358301,0.5,475408,2.00 82,1,2024-09-07 10:10:10:591,1250365,1250361,0,4,585914475863,6094376846,1243404,5832,1125,381,391768,4 82,2,2024-09-07 10:10:11:702,902274,902274,0,0,31823482,0,4484 82,3,2024-09-07 10:10:11:763,1,711,0,0,363,6317,711,0 83,0,2024-09-07 10:10:11:540,177512,0.5,177324,0.7,354335,0.5,470965,2.00 83,1,2024-09-07 10:10:10:551,1249341,1249341,0,0,586324712836,6110007821,1240307,8005,1029,382,391709,0 83,2,2024-09-07 10:10:10:786,903454,903429,25,0,34750377,0,5612 83,3,2024-09-07 10:10:10:749,1,711,1,0,1260,8218,711,0 84,0,2024-09-07 10:10:11:788,175381,0.7,175379,0.8,350985,0.6,468960,2.25 84,1,2024-09-07 10:10:11:088,1247860,1247860,0,0,585638500945,6121785183,1234142,11632,2086,367,391967,0 84,2,2024-09-07 10:10:10:573,895503,895093,410,0,49910482,0,17037 84,3,2024-09-07 10:10:11:145,1,711,1,0,908,9719,711,0 85,0,2024-09-07 10:10:11:020,171595,0.7,171568,0.8,364088,0.7,469801,2.25 85,1,2024-09-07 10:10:10:569,1244030,1244030,0,0,584209056954,6159161795,1226216,14881,2933,381,392092,0 85,2,2024-09-07 10:10:10:872,897069,897069,0,0,42354759,0,4255 85,3,2024-09-07 10:10:10:698,1,711,5,0,789,8519,711,0 86,0,2024-09-07 10:10:10:917,178276,0.7,183334,0.8,351086,0.8,474778,2.25 86,1,2024-09-07 10:10:10:827,1246639,1246639,0,0,585731843223,6146699371,1228939,14384,3316,366,392169,0 86,2,2024-09-07 10:10:10:857,899106,899105,1,0,44399463,0,5004 86,3,2024-09-07 10:10:10:600,1,711,2,0,441,9668,711,0 87,0,2024-09-07 10:10:11:332,179366,0.7,178057,0.8,356716,0.8,476029,2.25 87,1,2024-09-07 10:10:10:551,1246889,1246889,0,0,584793921228,6121118771,1231936,12787,2166,366,392076,0 87,2,2024-09-07 10:10:11:066,900337,900331,6,0,41825502,0,6323 87,3,2024-09-07 10:10:11:794,1,711,1,0,473,9885,711,0 88,0,2024-09-07 10:10:11:460,176235,0.4,176626,0.6,353255,0.4,470717,1.75 88,1,2024-09-07 10:10:10:572,1245293,1245293,0,0,584417858688,6119968196,1228428,13721,3144,365,392084,0 88,2,2024-09-07 10:10:10:698,897341,897341,0,0,43982260,0,4465 88,3,2024-09-07 10:10:11:284,1,711,20,0,1080,11200,711,0 89,0,2024-09-07 10:10:11:864,184705,0.5,179387,0.6,353218,0.4,479905,1.75 89,1,2024-09-07 10:10:10:551,1245647,1245647,0,0,584979036827,6146753222,1230948,12416,2283,382,392084,0 89,2,2024-09-07 10:10:11:158,897982,897982,0,0,41362518,0,3173 89,3,2024-09-07 10:10:11:795,1,711,2,0,729,12418,711,0 90,0,2024-09-07 10:10:11:666,173839,0.5,178410,0.6,364337,0.4,475618,2.00 90,1,2024-09-07 10:10:10:610,1247628,1247628,0,0,585267840185,6129530954,1235155,11199,1274,380,391825,0 90,2,2024-09-07 10:10:11:411,895770,895765,5,0,44670793,0,6370 90,3,2024-09-07 10:10:10:945,1,711,1,0,364,9218,711,0 91,0,2024-09-07 10:10:10:967,179560,0.5,174154,0.6,364425,0.4,478255,1.75 91,1,2024-09-07 10:10:10:558,1244491,1244491,0,0,585089490990,6166032499,1225771,14572,4148,381,392047,0 91,2,2024-09-07 10:10:11:337,898999,898999,0,0,39988634,0,4713 91,3,2024-09-07 10:10:10:616,1,711,2,0,231,6570,711,0 92,0,2024-09-07 10:10:11:523,178738,0.4,182884,0.6,348693,0.4,473010,1.75 92,1,2024-09-07 10:10:10:584,1247874,1247874,0,0,585294667366,6112157663,1238365,8195,1314,381,392136,0 92,2,2024-09-07 10:10:11:361,900614,900614,0,0,36433493,0,3906 92,3,2024-09-07 10:10:11:009,1,711,7,0,322,6293,711,0 93,0,2024-09-07 10:10:11:003,179923,0.4,184418,0.6,352081,0.3,477774,1.75 93,1,2024-09-07 10:10:10:816,1248751,1248751,0,0,586740625687,6128314031,1234337,11977,2437,365,392048,0 93,2,2024-09-07 10:10:10:928,898315,898315,0,0,41980637,0,4913 93,3,2024-09-07 10:10:11:406,1,711,0,0,788,8684,711,0 94,0,2024-09-07 10:10:11:640,177503,0.4,178777,0.6,357811,0.4,474769,1.75 94,1,2024-09-07 10:10:10:570,1250513,1250513,0,0,586656680751,6116819724,1242200,7659,654,381,391850,0 94,2,2024-09-07 10:10:10:777,899308,899280,28,0,36516492,0,6179 94,3,2024-09-07 10:10:11:736,1,711,11,0,576,8486,711,0 95,0,2024-09-07 10:10:11:360,178350,0.4,178052,0.5,356416,0.3,475008,1.75 95,1,2024-09-07 10:10:10:864,1250644,1250644,0,0,587241518571,6100497499,1241263,8565,816,365,391852,0 95,2,2024-09-07 10:10:11:025,897138,897138,0,0,36605216,0,3308 95,3,2024-09-07 10:10:11:712,1,711,4,0,718,10565,711,0 96,0,2024-09-07 10:10:11:024,177492,0.3,177777,0.5,355823,0.3,472838,1.75 96,1,2024-09-07 10:10:11:590,1247938,1247938,0,0,586262772408,6114738552,1239006,7554,1378,384,392292,0 96,2,2024-09-07 10:10:11:284,904324,904324,0,0,37000420,0,4225 96,3,2024-09-07 10:10:11:140,1,711,1,0,411,7819,711,0 97,0,2024-09-07 10:10:11:379,176945,0.3,176874,0.5,354451,0.3,471539,1.50 97,1,2024-09-07 10:10:10:783,1251394,1251394,0,0,587316790164,6092085589,1243453,6698,1243,367,392140,0 97,2,2024-09-07 10:10:10:618,899339,899339,0,0,37028563,0,4600 97,3,2024-09-07 10:10:10:586,1,711,34,0,433,8571,711,0 98,0,2024-09-07 10:10:11:704,177537,0.3,177740,0.5,356841,0.3,473885,1.50 98,1,2024-09-07 10:10:10:587,1249686,1249686,0,0,586580138482,6107491298,1242225,6559,902,381,391997,0 98,2,2024-09-07 10:10:10:794,897763,897763,0,0,35275007,0,4336 98,3,2024-09-07 10:10:10:699,1,711,8,0,840,9745,711,0 99,0,2024-09-07 10:10:11:511,179172,0.3,180033,0.5,358829,0.3,477870,1.75 99,1,2024-09-07 10:10:11:722,1250918,1250918,0,0,586150840819,6098545635,1243118,6690,1110,380,392069,0 99,2,2024-09-07 10:10:11:416,898858,898858,0,0,44682787,0,4276 99,3,2024-09-07 10:10:10:591,1,711,2,0,1124,8949,711,0 100,0,2024-09-07 10:10:11:502,177250,0.7,177872,0.9,355491,0.8,473509,2.50 100,1,2024-09-07 10:10:10:548,1243490,1243490,0,0,582947805479,6161915091,1224339,15354,3797,378,391989,0 100,2,2024-09-07 10:10:11:817,898243,897854,389,0,46289502,0,16909 100,3,2024-09-07 10:10:11:736,1,711,6,0,627,10817,711,0 101,0,2024-09-07 10:10:11:745,180274,0.8,175927,0.9,344267,0.8,470244,2.25 101,1,2024-09-07 10:10:10:552,1245784,1245784,0,0,584710740706,6141135247,1229182,13650,2952,368,392018,0 101,2,2024-09-07 10:10:11:764,892373,892334,39,0,47863740,0,5913 101,3,2024-09-07 10:10:10:966,1,711,40,0,1250,10809,711,0 102,0,2024-09-07 10:10:10:953,174178,0.6,179265,0.8,364591,0.6,475828,2.25 102,1,2024-09-07 10:10:11:164,1246053,1246053,0,0,584447253788,6133585004,1230210,13583,2260,369,391984,0 102,2,2024-09-07 10:10:11:736,898836,898782,54,0,39684812,0,6768 102,3,2024-09-07 10:10:11:613,1,711,4,0,466,8156,711,0 103,0,2024-09-07 10:10:11:637,185089,0.7,185088,0.8,348808,0.7,479538,2.25 103,1,2024-09-07 10:10:11:651,1244761,1244761,0,0,583961123352,6160227415,1225838,15294,3629,381,392077,0 103,2,2024-09-07 10:10:10:624,897967,897967,0,0,43226727,0,3766 103,3,2024-09-07 10:10:10:769,1,711,1,0,916,8265,711,0 104,0,2024-09-07 10:10:11:005,178251,0.7,178574,0.9,356346,0.7,476399,2.25 104,1,2024-09-07 10:10:11:603,1246808,1246808,0,0,584699655437,6141848555,1228353,14990,3465,365,392168,0 104,2,2024-09-07 10:10:11:666,898113,898113,0,0,42384749,0,4161 104,3,2024-09-07 10:10:11:415,1,711,4,0,1245,12256,711,0 105,0,2024-09-07 10:10:11:059,174431,0.8,170082,1.0,356717,0.8,468677,2.50 105,1,2024-09-07 10:10:10:555,1248491,1248491,0,0,585685982910,6130309994,1233692,12682,2117,364,392009,0 105,2,2024-09-07 10:10:11:335,897026,897026,0,0,43665388,0,4360 105,3,2024-09-07 10:10:11:309,1,711,2,0,573,10413,711,0 106,0,2024-09-07 10:10:11:019,173451,0.9,178037,1.0,363734,1.1,475151,2.50 106,1,2024-09-07 10:10:11:751,1247130,1247130,0,0,584912278122,6132385457,1230686,14291,2153,368,391914,0 106,2,2024-09-07 10:10:10:777,898636,898636,0,0,40237246,0,3331 106,3,2024-09-07 10:10:10:697,1,711,9,0,1224,9755,711,0 107,0,2024-09-07 10:10:11:182,178634,1.3,178629,1.0,357368,1.8,477068,2.25 107,1,2024-09-07 10:10:10:603,1244031,1244031,0,0,583498341282,6162622245,1224910,16865,2256,381,392234,0 107,2,2024-09-07 10:10:11:302,895377,895376,1,0,44031101,0,5024 107,3,2024-09-07 10:10:11:759,1,711,2,0,733,11094,711,0 108,0,2024-09-07 10:10:11:805,178150,0.4,178974,0.6,356992,0.4,475417,1.75 108,1,2024-09-07 10:10:11:304,1247763,1247763,0,0,585690369024,6113983935,1236254,10243,1266,367,391899,0 108,2,2024-09-07 10:10:11:760,899413,899413,0,0,39286663,0,4432 108,3,2024-09-07 10:10:11:330,1,711,5,0,767,12669,711,0 109,0,2024-09-07 10:10:11:764,178136,0.4,176783,0.6,354318,0.3,473326,1.75 109,1,2024-09-07 10:10:10:584,1245997,1245997,0,0,585226675693,6137576653,1235327,9162,1508,382,392132,0 109,2,2024-09-07 10:10:10:927,899973,899973,0,0,39344127,0,3617 109,3,2024-09-07 10:10:11:159,1,711,1,0,630,8080,711,0 110,0,2024-09-07 10:10:11:750,177270,0.4,172363,0.6,361029,0.3,473684,1.75 110,1,2024-09-07 10:10:11:650,1251217,1251217,0,0,587606668989,6099651202,1241742,7389,2086,368,392045,0 110,2,2024-09-07 10:10:11:310,898665,898665,0,0,38601817,0,4067 110,3,2024-09-07 10:10:10:697,1,711,0,0,722,9469,711,0 111,0,2024-09-07 10:10:11:413,178845,0.4,178005,0.5,355573,0.3,474935,1.75 111,1,2024-09-07 10:10:11:005,1252274,1252274,0,0,587798584653,6102038761,1245008,6759,507,380,391690,0 111,2,2024-09-07 10:10:11:116,898783,898783,0,0,37942219,0,4823 111,3,2024-09-07 10:10:10:922,1,711,2,0,379,7982,711,0 112,0,2024-09-07 10:10:10:913,179488,0.3,179084,0.4,359049,0.2,476758,1.50 112,1,2024-09-07 10:10:10:824,1251080,1251080,0,0,587261756471,6093007465,1243446,6407,1227,380,391624,0 112,2,2024-09-07 10:10:11:133,899052,899051,1,0,35670494,0,5036 112,3,2024-09-07 10:10:10:610,1,711,3,0,282,6941,711,0 113,0,2024-09-07 10:10:10:873,177268,0.3,177763,0.5,355700,0.2,473249,1.50 113,1,2024-09-07 10:10:11:704,1254878,1254878,0,0,588812353817,6071918036,1247957,5970,951,365,391664,0 113,2,2024-09-07 10:10:11:305,906004,906004,0,0,32938326,0,3813 113,3,2024-09-07 10:10:10:691,1,711,5,0,510,7071,711,0 114,0,2024-09-07 10:10:10:879,176944,0.3,178154,0.5,355181,0.2,474642,1.75 114,1,2024-09-07 10:10:10:720,1250273,1250273,0,0,587098576829,6093270872,1241437,7032,1804,381,391565,0 114,2,2024-09-07 10:10:10:880,899733,899732,1,0,35283590,0,5069 114,3,2024-09-07 10:10:11:278,1,711,0,0,415,5707,711,0 115,0,2024-09-07 10:10:10:559,179366,0.3,179758,0.4,358264,0.2,476415,1.50 115,1,2024-09-07 10:10:10:571,1251655,1251655,0,0,587069102703,6095787333,1242095,7767,1793,382,391757,0 115,2,2024-09-07 10:10:11:124,899821,899821,0,0,35268596,0,4382 115,3,2024-09-07 10:10:11:003,1,711,1,0,173,4445,711,0 116,0,2024-09-07 10:10:11:733,178219,0.7,178248,0.9,357138,0.8,477369,2.25 116,1,2024-09-07 10:10:10:820,1244705,1244705,0,0,584912583659,6157302453,1230592,10895,3218,380,392089,0 116,2,2024-09-07 10:10:11:760,899839,899839,0,0,42721814,0,4475 116,3,2024-09-07 10:10:10:914,1,711,3,0,448,8946,711,0 117,0,2024-09-07 10:10:10:958,179073,0.6,178713,0.8,357921,0.6,477464,2.00 117,1,2024-09-07 10:10:11:587,1247192,1247192,0,0,584334223459,6117770879,1233310,12113,1769,369,392429,0 117,2,2024-09-07 10:10:11:118,902158,902158,0,0,39259383,0,4303 117,3,2024-09-07 10:10:11:060,1,711,0,0,490,8565,711,0 118,0,2024-09-07 10:10:11:847,170985,0.5,175611,0.7,358312,0.4,468778,2.00 118,1,2024-09-07 10:10:10:591,1245652,1245652,0,0,584216970451,6135514245,1228131,13673,3848,366,392054,0 118,2,2024-09-07 10:10:11:589,897549,897549,0,0,41801314,0,3161 118,3,2024-09-07 10:10:11:774,1,711,2,0,343,8384,711,0 119,0,2024-09-07 10:10:11:352,179474,0.7,179651,0.8,358999,0.7,478132,2.25 119,1,2024-09-07 10:10:10:565,1246921,1246921,0,0,585980585067,6139876374,1230886,13367,2668,367,391857,0 119,2,2024-09-07 10:10:11:280,898666,898666,0,0,38552105,0,4309 119,3,2024-09-07 10:10:11:333,1,711,7,0,1358,12304,711,0 120,0,2024-09-07 10:10:11:583,178000,0.7,178186,0.9,357463,0.7,476913,2.50 120,1,2024-09-07 10:10:10:864,1247666,1247666,0,0,584568558700,6126147073,1234986,11521,1159,367,392144,0 120,2,2024-09-07 10:10:10:790,898575,898572,3,0,46552920,0,5363 120,3,2024-09-07 10:10:11:299,1,711,2,0,478,9019,711,0 121,0,2024-09-07 10:10:11:742,179015,1.1,178375,1.0,357063,1.4,476036,2.25 121,1,2024-09-07 10:10:11:682,1246992,1246992,0,0,584799511307,6118869881,1233445,11550,1997,366,391924,0 121,2,2024-09-07 10:10:11:160,899188,899188,0,0,42285453,0,4157 121,3,2024-09-07 10:10:10:743,1,711,1,0,387,9334,711,0 122,0,2024-09-07 10:10:11:782,176451,0.7,171819,0.8,359522,0.8,472387,2.00 122,1,2024-09-07 10:10:10:871,1246371,1246371,0,0,584899849121,6131504623,1230075,13717,2579,365,392130,0 122,2,2024-09-07 10:10:11:319,899928,899853,75,0,46397538,0,5989 122,3,2024-09-07 10:10:10:611,1,711,1,0,512,10618,711,0 123,0,2024-09-07 10:10:10:977,177958,0.8,173469,0.8,363729,0.9,476018,2.25 123,1,2024-09-07 10:10:10:564,1247488,1247488,0,0,585019729363,6146814471,1228386,16073,3029,369,392039,0 123,2,2024-09-07 10:10:11:023,897945,897944,1,0,39771055,0,5215 123,3,2024-09-07 10:10:11:144,1,711,5,0,478,7932,711,0 124,0,2024-09-07 10:10:10:977,183239,0.4,183258,0.5,345334,0.3,475785,1.75 124,1,2024-09-07 10:10:11:027,1250921,1250921,0,0,586277361853,6095384429,1241315,8343,1263,365,392178,0 124,2,2024-09-07 10:10:11:012,901048,900995,53,0,37164953,0,6487 124,3,2024-09-07 10:10:10:775,1,711,1,0,490,7282,711,0 125,0,2024-09-07 10:10:11:424,178207,0.4,178099,0.6,357011,0.3,475403,1.75 125,1,2024-09-07 10:10:10:862,1247809,1247809,0,0,585854251931,6119875102,1236749,9473,1587,382,392045,0 125,2,2024-09-07 10:10:11:116,901539,901539,0,0,36591914,0,4534 125,3,2024-09-07 10:10:11:126,1,711,5,0,709,7841,711,0 126,0,2024-09-07 10:10:11:429,177799,0.4,182892,0.5,349560,0.3,473612,1.75 126,1,2024-09-07 10:10:10:557,1251574,1251574,0,0,587889926864,6089985019,1244289,6583,702,365,391987,0 126,2,2024-09-07 10:10:10:622,904263,904263,0,0,38422997,0,4539 126,3,2024-09-07 10:10:10:908,1,711,1,0,268,8041,711,0 127,0,2024-09-07 10:10:11:626,177359,0.3,177684,0.5,354094,0.3,471815,1.75 127,1,2024-09-07 10:10:10:571,1249996,1249996,0,0,586187767025,6097779131,1237531,10688,1777,364,392187,0 127,2,2024-09-07 10:10:10:678,898999,898995,4,0,36269578,0,5305 127,3,2024-09-07 10:10:11:276,1,711,1,0,968,7096,711,0 128,0,2024-09-07 10:10:11:557,178815,0.3,178512,0.4,357476,0.2,474704,1.50 128,1,2024-09-07 10:10:11:611,1250007,1250007,0,0,587066801513,6100806949,1241007,8027,973,367,392423,0 128,2,2024-09-07 10:10:11:397,900246,900246,0,0,33678748,0,3171 128,3,2024-09-07 10:10:10:774,1,711,30,0,1082,10567,711,0 129,0,2024-09-07 10:10:11:006,180521,0.3,179722,0.5,360464,0.3,478678,1.50 129,1,2024-09-07 10:10:10:568,1245992,1245992,0,0,584645250906,6129980142,1233014,10648,2330,379,391962,0 129,2,2024-09-07 10:10:10:687,901108,901104,4,0,36581054,0,5335 129,3,2024-09-07 10:10:10:688,1,711,2,0,506,10073,711,0 130,0,2024-09-07 10:10:11:815,178422,0.4,178267,0.5,357031,0.4,475064,1.75 130,1,2024-09-07 10:10:10:602,1251124,1251124,0,0,586857936046,6091830851,1245261,5454,409,381,391836,0 130,2,2024-09-07 10:10:11:125,902891,902891,0,0,36291594,0,4067 130,3,2024-09-07 10:10:11:299,1,711,1,0,960,8974,711,0 131,0,2024-09-07 10:10:11:990,176483,0.3,176832,0.5,354772,0.3,471820,1.50 131,1,2024-09-07 10:10:11:821,1250592,1250592,0,0,586802034486,6111898118,1242429,6950,1213,381,391865,0 131,2,2024-09-07 10:10:10:570,898232,898232,0,0,34424873,0,3979 131,3,2024-09-07 10:10:11:698,1,711,8,0,392,9061,711,0 132,0,2024-09-07 10:10:11:436,179588,0.5,180555,0.6,359412,0.4,478020,2.00 132,1,2024-09-07 10:10:10:610,1245970,1245970,0,0,584247605127,6142676786,1229454,13733,2783,381,392532,0 132,2,2024-09-07 10:10:10:698,897681,897664,17,0,43065804,0,6451 132,3,2024-09-07 10:10:11:696,1,711,2,0,1298,11932,711,0 133,0,2024-09-07 10:10:11:561,175068,0.5,179131,0.6,367471,0.4,477724,2.00 133,1,2024-09-07 10:10:10:583,1245085,1245085,0,0,584453443864,6150013133,1229623,13526,1936,383,391914,0 133,2,2024-09-07 10:10:11:089,900957,900907,50,0,44140503,0,6861 133,3,2024-09-07 10:10:11:301,1,711,0,0,528,8463,711,0 134,0,2024-09-07 10:10:10:951,180174,0.5,180128,0.7,359853,0.5,479669,2.00 134,1,2024-09-07 10:10:10:656,1247148,1247148,0,0,584781840770,6130916661,1231052,12804,3292,366,391826,0 134,2,2024-09-07 10:10:11:772,899668,899529,139,0,41916705,0,7591 134,3,2024-09-07 10:10:10:776,1,711,1,0,739,8551,711,0 135,0,2024-09-07 10:10:11:122,170930,0.7,170969,0.8,362837,0.7,468057,2.00 135,1,2024-09-07 10:10:11:590,1246611,1246611,0,0,585377120425,6147961686,1232182,12576,1853,380,392038,0 135,2,2024-09-07 10:10:10:693,899737,899737,0,0,42386939,0,4503 135,3,2024-09-07 10:10:11:015,1,711,3,0,900,6700,711,0 136,0,2024-09-07 10:10:11:625,179655,0.6,180182,0.8,358707,0.6,478217,2.00 136,1,2024-09-07 10:10:11:456,1247967,1247967,0,0,585662072093,6132015962,1235120,11419,1428,381,392135,0 136,2,2024-09-07 10:10:11:147,900777,900762,15,0,41397642,0,6007 136,3,2024-09-07 10:10:11:110,1,711,3,0,637,8238,711,0 137,0,2024-09-07 10:10:10:934,184401,0.6,179863,0.7,352146,0.7,478667,2.00 137,1,2024-09-07 10:10:10:578,1246718,1246718,0,0,585191257871,6131560483,1228231,14841,3646,366,391898,0 137,2,2024-09-07 10:10:11:714,898037,898037,0,0,42631616,0,3185 137,3,2024-09-07 10:10:10:773,1,711,1,0,484,9881,711,0 138,0,2024-09-07 10:10:11:842,177558,0.9,177771,0.9,356105,1.1,473693,2.25 138,1,2024-09-07 10:10:11:706,1246376,1246376,0,0,585736764783,6142254909,1229228,14456,2692,368,391954,0 138,2,2024-09-07 10:10:10:590,897110,897110,0,0,41025921,0,4988 138,3,2024-09-07 10:10:10:620,1,711,4,0,1200,10394,711,0 139,0,2024-09-07 10:10:11:373,176059,0.8,176731,0.9,353026,1.1,471669,2.25 139,1,2024-09-07 10:10:10:591,1242798,1242798,0,0,581910737258,6160517564,1222756,16128,3914,380,392109,0 139,2,2024-09-07 10:10:10:753,895729,895699,30,0,46745799,0,5997 139,3,2024-09-07 10:10:11:667,1,711,4,0,432,8283,711,0 140,0,2024-09-07 10:10:11:594,177895,0.3,177288,0.5,355483,0.2,473805,1.75 140,1,2024-09-07 10:10:11:556,1254344,1254344,0,0,588758149011,6074253540,1247534,6005,805,364,391628,0 140,2,2024-09-07 10:10:10:687,898962,898961,1,0,35103771,0,5036 140,3,2024-09-07 10:10:10:772,1,711,13,0,575,7445,711,0 141,0,2024-09-07 10:10:11:712,178701,0.3,183527,0.5,350600,0.3,474606,1.75 141,1,2024-09-07 10:10:10:874,1250944,1250944,0,0,587583619871,6106168287,1240592,8958,1394,379,391614,0 141,2,2024-09-07 10:10:11:686,899288,899277,11,0,37588858,0,5369 141,3,2024-09-07 10:10:11:062,1,711,4,0,391,8208,711,0 142,0,2024-09-07 10:10:11:316,179758,0.3,178911,0.5,358347,0.3,477618,1.50 142,1,2024-09-07 10:10:10:584,1249111,1249111,0,0,586534128789,6119245367,1239926,8290,895,382,392102,0 142,2,2024-09-07 10:10:11:305,898835,898803,32,0,37221641,0,6028 142,3,2024-09-07 10:10:11:759,1,711,21,0,484,7140,711,0 143,0,2024-09-07 10:10:11:384,177477,0.4,177210,0.5,355956,0.3,473154,1.75 143,1,2024-09-07 10:10:10:557,1252301,1252301,0,0,586661616236,6087684693,1243885,7443,973,367,391900,0 143,2,2024-09-07 10:10:10:787,904485,904485,0,0,36858663,0,3123 143,3,2024-09-07 10:10:11:160,1,711,3,0,462,8293,711,0 144,0,2024-09-07 10:10:11:504,171536,0.5,176394,0.7,359350,0.5,470587,2.00 144,1,2024-09-07 10:10:10:839,1246444,1246444,0,0,585181088252,6136294956,1234677,9720,2047,381,391814,0 144,2,2024-09-07 10:10:11:761,898797,898797,0,0,36311927,0,4443 144,3,2024-09-07 10:10:11:743,1,711,44,0,306,7594,711,0 145,0,2024-09-07 10:10:11:367,172773,0.6,172747,0.8,366809,0.5,471566,2.25 145,1,2024-09-07 10:10:10:558,1245311,1245311,0,0,584198707800,6143938038,1229096,13245,2970,381,391879,0 145,2,2024-09-07 10:10:11:429,896946,896864,82,0,42243398,0,7814 145,3,2024-09-07 10:10:10:896,1,711,2,0,622,9432,711,0 146,0,2024-09-07 10:10:11:616,178838,0.7,177966,0.8,357877,0.7,475375,2.25 146,1,2024-09-07 10:10:11:586,1246887,1246887,0,0,585129534581,6148885560,1227719,14237,4931,367,391829,0 146,2,2024-09-07 10:10:11:695,897965,897959,6,0,40509930,0,5151 146,3,2024-09-07 10:10:11:275,1,711,1,0,1520,10955,711,0 147,0,2024-09-07 10:10:11:799,178976,0.6,178819,0.7,357592,0.5,476402,2.00 147,1,2024-09-07 10:10:11:386,1251392,1251392,0,0,586635643729,6096560116,1241426,8864,1102,367,391791,0 147,2,2024-09-07 10:10:11:018,900652,900652,0,0,38287657,0,4531 147,3,2024-09-07 10:10:10:929,1,711,9,0,1626,10545,711,0 0,0,2024-09-07 10:10:21:734,174160,0.7,174230,0.8,369754,0.8,477900,2.00 0,1,2024-09-07 10:10:20:804,1249594,1249594,0,0,586762702713,6139253159,1239695,8921,978,368,391896,0 0,2,2024-09-07 10:10:21:068,902060,902060,0,0,36025886,0,4480 0,3,2024-09-07 10:10:20:974,1,712,1,0,538,10125,712,0 1,0,2024-09-07 10:10:21:890,179171,0.8,178295,0.9,357589,0.9,478768,2.00 1,1,2024-09-07 10:10:20:564,1248694,1248694,0,0,585460677202,6131473887,1237281,9440,1973,370,391859,0 1,2,2024-09-07 10:10:20:649,902136,902136,0,0,35572362,0,3380 1,3,2024-09-07 10:10:21:302,1,712,1,0,269,8342,712,0 2,0,2024-09-07 10:10:21:566,177286,0.5,177055,0.7,353857,0.5,472067,2.00 2,1,2024-09-07 10:10:20:873,1252369,1252369,0,0,587026387052,6101838226,1245434,5973,962,379,391805,0 2,2,2024-09-07 10:10:21:265,901221,901221,0,0,34236277,0,3594 2,3,2024-09-07 10:10:20:697,1,712,0,0,357,6158,712,0 3,0,2024-09-07 10:10:21:743,179499,0.4,179294,0.6,358239,0.4,477889,2.00 3,1,2024-09-07 10:10:21:628,1250536,1250536,0,0,586698073138,6100184990,1241935,7883,718,379,391716,0 3,2,2024-09-07 10:10:21:142,902053,902030,23,0,35033887,0,5851 3,3,2024-09-07 10:10:21:755,1,712,1,0,484,5263,712,0 4,0,2024-09-07 10:10:21:781,173286,0.4,177937,0.5,363217,0.4,474855,1.75 4,1,2024-09-07 10:10:20:598,1245600,1245600,0,0,584498061175,6176764401,1225676,15322,4602,369,391992,0 4,2,2024-09-07 10:10:21:018,897875,897875,0,0,42556898,0,4534 4,3,2024-09-07 10:10:21:028,1,712,2,0,448,9422,712,0 5,0,2024-09-07 10:10:21:480,178507,0.4,178289,0.6,356931,0.4,475268,1.75 5,1,2024-09-07 10:10:20:801,1246485,1246485,0,0,584320152278,6159794259,1228773,13471,4241,367,392005,0 5,2,2024-09-07 10:10:21:837,896398,896365,33,0,42908210,0,7631 5,3,2024-09-07 10:10:21:742,1,712,11,0,457,9246,712,0 6,0,2024-09-07 10:10:20:946,177805,0.4,177077,0.6,354625,0.4,472211,2.00 6,1,2024-09-07 10:10:20:754,1250387,1250387,0,0,586700287090,6121099618,1238203,10499,1685,379,391702,0 6,2,2024-09-07 10:10:21:115,903954,903936,18,0,39704242,0,5535 6,3,2024-09-07 10:10:21:274,1,712,1,0,710,7987,712,0 7,0,2024-09-07 10:10:21:535,176734,0.5,177968,0.6,352847,0.4,471424,2.00 7,1,2024-09-07 10:10:20:850,1247123,1247123,0,0,585483586927,6154449322,1229284,13702,4137,382,391747,0 7,2,2024-09-07 10:10:20:772,898731,898562,169,0,40486991,0,7706 7,3,2024-09-07 10:10:20:856,1,712,5,0,552,8197,712,0 8,0,2024-09-07 10:10:21:329,178395,0.4,177982,0.5,356709,0.4,475307,1.75 8,1,2024-09-07 10:10:21:016,1246358,1246358,0,0,585282271465,6149885483,1227483,14828,4047,366,392853,0 8,2,2024-09-07 10:10:20:796,893983,893981,2,0,45732943,0,5112 8,3,2024-09-07 10:10:20:593,1,712,1,0,772,10629,712,0 9,0,2024-09-07 10:10:21:119,179310,0.4,174079,0.5,364414,0.3,478019,1.75 9,1,2024-09-07 10:10:20:560,1245993,1245993,0,0,585522174941,6170053078,1226260,15382,4351,369,392001,0 9,2,2024-09-07 10:10:21:097,898996,898995,1,0,43695561,0,5281 9,3,2024-09-07 10:10:21:755,1,712,0,0,1273,11165,712,0 10,0,2024-09-07 10:10:21:606,177742,0.3,177338,0.5,355558,0.3,472858,1.75 10,1,2024-09-07 10:10:20:583,1248001,1248001,0,0,585453693293,6140807302,1229853,14413,3735,381,391981,0 10,2,2024-09-07 10:10:20:763,903050,903050,0,0,46987100,0,4713 10,3,2024-09-07 10:10:20:871,1,712,2,0,669,7415,712,0 11,0,2024-09-07 10:10:21:009,176570,0.4,171417,0.6,358774,0.3,473015,1.75 11,1,2024-09-07 10:10:20:572,1250202,1250202,0,0,586717695096,6146927686,1233313,12579,4310,383,391766,0 11,2,2024-09-07 10:10:21:124,898156,898156,0,0,40264688,0,4698 11,3,2024-09-07 10:10:21:298,1,712,1,0,843,8509,712,0 12,0,2024-09-07 10:10:20:953,180566,0.4,180217,0.6,360188,0.4,478737,1.75 12,1,2024-09-07 10:10:20:937,1249396,1249396,0,0,586408190717,6116386606,1239523,8841,1032,368,391960,0 12,2,2024-09-07 10:10:21:546,897257,897257,0,0,40414230,0,4390 12,3,2024-09-07 10:10:21:061,1,712,1,0,386,8663,712,0 13,0,2024-09-07 10:10:21:356,180490,0.4,180677,0.6,360566,0.4,479785,1.75 13,1,2024-09-07 10:10:21:528,1247181,1247181,0,0,584960123980,6154757454,1232995,11102,3084,382,391803,0 13,2,2024-09-07 10:10:20:594,902911,902911,0,0,36708439,0,3287 13,3,2024-09-07 10:10:21:768,1,712,1,0,522,9430,712,0 14,0,2024-09-07 10:10:20:567,179706,0.4,180638,0.6,359394,0.3,478362,1.75 14,1,2024-09-07 10:10:21:568,1255700,1255700,0,0,588884609903,6090050682,1246431,8118,1151,364,391673,0 14,2,2024-09-07 10:10:20:771,902015,901985,30,0,37713814,0,6104 14,3,2024-09-07 10:10:21:115,1,712,1,0,1168,7241,712,0 15,0,2024-09-07 10:10:21:555,176875,0.4,176158,0.6,352384,0.3,470542,1.75 15,1,2024-09-07 10:10:21:608,1251383,1251383,0,0,587678369699,6122150834,1240511,8774,2098,380,391619,0 15,2,2024-09-07 10:10:20:999,901592,901592,0,0,33580731,0,3622 15,3,2024-09-07 10:10:21:407,1,712,3,0,1126,8676,712,0 16,0,2024-09-07 10:10:20:952,179478,0.6,180428,0.8,359917,0.6,478878,2.00 16,1,2024-09-07 10:10:20:568,1251036,1251036,0,0,587171266996,6135142868,1240272,9217,1547,370,392194,0 16,2,2024-09-07 10:10:21:441,899753,899753,0,0,36739043,0,4719 16,3,2024-09-07 10:10:21:155,1,712,2,0,362,8663,712,0 17,0,2024-09-07 10:10:21:791,184863,0.7,180166,0.8,352870,0.7,479811,2.00 17,1,2024-09-07 10:10:20:568,1249221,1249221,0,0,586255443897,6141450324,1238084,9334,1803,368,392075,0 17,2,2024-09-07 10:10:21:674,904017,904016,1,0,38107738,0,5050 17,3,2024-09-07 10:10:20:573,1,712,6,0,518,9989,712,0 18,0,2024-09-07 10:10:20:943,176831,0.6,177992,0.8,354445,0.6,473316,2.25 18,1,2024-09-07 10:10:21:642,1254273,1254273,0,0,588025945225,6097045470,1246084,6756,1433,367,391725,0 18,2,2024-09-07 10:10:21:755,902883,902883,0,0,34330941,0,3541 18,3,2024-09-07 10:10:20:897,1,712,0,0,1059,6376,712,0 19,0,2024-09-07 10:10:21:539,177065,0.6,177571,0.8,353869,0.6,471177,2.00 19,1,2024-09-07 10:10:20:566,1253653,1253653,0,0,588983131326,6099765314,1244793,7697,1163,365,391777,0 19,2,2024-09-07 10:10:21:756,905285,905285,0,0,32101833,0,3988 19,3,2024-09-07 10:10:21:129,1,712,1,0,524,5578,712,0 20,0,2024-09-07 10:10:21:374,177659,0.5,177667,0.6,354995,0.5,473965,2.00 20,1,2024-09-07 10:10:20:582,1248791,1248791,0,0,586631514513,6143744165,1236497,10585,1709,369,391922,0 20,2,2024-09-07 10:10:20:942,900124,900124,0,0,40499056,0,4321 20,3,2024-09-07 10:10:20:594,1,712,0,0,468,11631,712,0 21,0,2024-09-07 10:10:21:125,178324,0.5,178439,0.7,356797,0.5,473944,2.00 21,1,2024-09-07 10:10:21:535,1246368,1246368,0,0,584515495013,6163562975,1227594,14777,3997,368,392016,0 21,2,2024-09-07 10:10:21:074,894012,893535,477,0,51815766,0,17074 21,3,2024-09-07 10:10:21:403,1,712,1,0,713,9787,712,0 22,0,2024-09-07 10:10:21:721,178775,0.5,179379,0.7,358519,0.4,475735,2.00 22,1,2024-09-07 10:10:21:023,1247797,1247797,0,0,585216454521,6150925385,1228677,15514,3606,381,391822,0 22,2,2024-09-07 10:10:20:760,899229,899203,26,0,38477249,0,6328 22,3,2024-09-07 10:10:21:072,1,712,2,0,228,5687,712,0 23,0,2024-09-07 10:10:21:387,177326,0.5,177262,0.6,354446,0.4,472671,2.00 23,1,2024-09-07 10:10:21:003,1248856,1248856,0,0,585559158219,6160672730,1226953,14732,7171,365,391690,0 23,2,2024-09-07 10:10:21:093,904562,904562,0,0,36730013,0,3773 23,3,2024-09-07 10:10:21:755,1,712,1,0,855,9823,712,0 24,0,2024-09-07 10:10:20:872,178385,0.4,177426,0.5,356326,0.3,473803,1.75 24,1,2024-09-07 10:10:20:586,1247685,1247685,0,0,585965389538,6129433443,1236066,9741,1878,367,392269,0 24,2,2024-09-07 10:10:21:082,898775,898772,3,0,43141708,0,6294 24,3,2024-09-07 10:10:21:686,1,712,8,0,468,9251,712,0 25,0,2024-09-07 10:10:21:412,183552,0.4,178331,0.6,351001,0.4,477587,1.75 25,1,2024-09-07 10:10:20:561,1246227,1246227,0,0,585121989331,6177801434,1225090,17134,4003,369,391928,0 25,2,2024-09-07 10:10:21:608,896441,896441,0,0,45188779,0,3978 25,3,2024-09-07 10:10:21:007,1,712,0,0,532,7708,712,0 26,0,2024-09-07 10:10:21:722,178406,0.4,174390,0.6,365841,0.4,477035,2.00 26,1,2024-09-07 10:10:21:542,1250319,1250319,0,0,586549898568,6134509113,1234608,12806,2905,380,391758,0 26,2,2024-09-07 10:10:20:862,900692,900692,0,0,46280776,0,4689 26,3,2024-09-07 10:10:21:712,1,712,1,0,796,8709,712,0 27,0,2024-09-07 10:10:21:728,178863,0.4,179582,0.6,357153,0.4,476023,2.25 27,1,2024-09-07 10:10:21:676,1251721,1251721,0,0,588158619687,6125112121,1240339,9759,1623,381,391626,0 27,2,2024-09-07 10:10:20:867,899163,899098,65,0,40935728,0,5699 27,3,2024-09-07 10:10:21:015,1,712,1,0,564,5941,712,0 28,0,2024-09-07 10:10:21:389,176842,0.4,176877,0.6,353843,0.3,471455,1.75 28,1,2024-09-07 10:10:20:804,1252191,1252191,0,0,588466513680,6138080481,1240714,9049,2428,382,391904,0 28,2,2024-09-07 10:10:21:766,898879,898879,0,0,38188876,0,4060 28,3,2024-09-07 10:10:21:780,1,712,0,0,502,7412,712,0 29,0,2024-09-07 10:10:21:385,184697,0.4,179674,0.6,352920,0.4,480812,1.75 29,1,2024-09-07 10:10:21:565,1255637,1255637,0,0,589057985815,6094001607,1247708,6907,1022,367,391809,0 29,2,2024-09-07 10:10:20:863,899346,899346,0,0,35741807,0,4986 29,3,2024-09-07 10:10:20:973,1,712,10,0,590,7939,712,0 30,0,2024-09-07 10:10:21:459,178247,0.6,173453,0.7,363417,0.5,474815,2.00 30,1,2024-09-07 10:10:20:571,1254290,1254290,0,0,589432580675,6118616121,1244474,8647,1169,380,391672,0 30,2,2024-09-07 10:10:21:275,902116,902116,0,0,34678995,0,4192 30,3,2024-09-07 10:10:20:592,1,712,1,0,519,7284,712,0 31,0,2024-09-07 10:10:21:766,178893,0.5,179921,0.6,358727,0.4,478728,2.00 31,1,2024-09-07 10:10:20:564,1257971,1257971,0,0,590086989039,6059626433,1250036,6666,1269,356,391712,0 31,2,2024-09-07 10:10:21:275,901315,901315,0,0,38045898,0,4470 31,3,2024-09-07 10:10:21:714,1,712,0,0,248,6206,712,0 32,0,2024-09-07 10:10:21:428,177430,0.3,178464,0.5,355753,0.2,473548,1.75 32,1,2024-09-07 10:10:20:805,1253669,1253669,0,0,588327522555,6113275020,1246166,6537,966,381,391646,0 32,2,2024-09-07 10:10:20:935,903288,903288,0,0,33147471,0,3922 32,3,2024-09-07 10:10:21:015,1,712,1,0,304,5878,712,0 33,0,2024-09-07 10:10:21:497,180194,0.3,179685,0.4,359504,0.2,479438,1.50 33,1,2024-09-07 10:10:20:574,1254407,1254407,0,0,588969257926,6096097899,1244776,8273,1358,368,391730,0 33,2,2024-09-07 10:10:20:765,900854,900819,35,0,36807951,0,7012 33,3,2024-09-07 10:10:20:895,1,712,3,0,329,6556,712,0 34,0,2024-09-07 10:10:20:929,178768,0.3,183853,0.5,351611,0.2,474994,1.75 34,1,2024-09-07 10:10:21:044,1257693,1257693,0,0,589513867250,6064613836,1253675,3809,209,366,391637,0 34,2,2024-09-07 10:10:20:771,901289,901289,0,0,34428986,0,4562 34,3,2024-09-07 10:10:21:692,1,712,1,0,541,6068,712,0 35,0,2024-09-07 10:10:20:878,177956,0.3,178484,0.5,357894,0.2,476197,1.75 35,1,2024-09-07 10:10:21:069,1253155,1253155,0,0,587306668199,6091573034,1244213,7389,1553,382,391769,0 35,2,2024-09-07 10:10:21:584,900437,900437,0,0,40091738,0,4055 35,3,2024-09-07 10:10:20:908,1,712,1,0,466,6597,712,0 36,0,2024-09-07 10:10:21:531,178273,0.4,178450,0.6,356243,0.4,474332,2.00 36,1,2024-09-07 10:10:20:583,1251528,1251528,0,0,586625324856,6119743114,1236743,12431,2354,366,391759,0 36,2,2024-09-07 10:10:21:753,903385,903385,0,0,39837956,0,3875 36,3,2024-09-07 10:10:20:862,1,712,7,0,556,8905,712,0 37,0,2024-09-07 10:10:21:378,176881,0.5,176677,0.6,353838,0.4,472164,2.00 37,1,2024-09-07 10:10:20:570,1251755,1251748,0,7,586669435125,6121244117,1237546,11084,3118,365,391770,0 37,2,2024-09-07 10:10:21:143,898306,898291,15,0,39933828,0,5815 37,3,2024-09-07 10:10:21:766,1,712,1,0,888,9580,712,0 38,0,2024-09-07 10:10:21:439,177248,0.5,171875,0.7,359445,0.4,471083,2.00 38,1,2024-09-07 10:10:21:608,1251345,1251345,0,0,586932305385,6130160429,1234501,13538,3306,368,391821,0 38,2,2024-09-07 10:10:20:771,900013,899966,47,0,39866518,0,6710 38,3,2024-09-07 10:10:20:998,1,712,1,0,689,7935,712,0 39,0,2024-09-07 10:10:21:773,182825,0.5,178534,0.7,348689,0.5,475494,2.00 39,1,2024-09-07 10:10:20:727,1249090,1249090,0,0,586936334732,6147157856,1229276,15581,4233,365,391865,0 39,2,2024-09-07 10:10:21:417,900777,900777,0,0,38169009,0,3478 39,3,2024-09-07 10:10:20:716,1,712,0,0,525,7773,712,0 40,0,2024-09-07 10:10:21:493,176278,0.8,176845,0.9,353228,0.9,471349,2.75 40,1,2024-09-07 10:10:20:579,1249868,1249868,0,0,586363781932,6141666442,1231024,15261,3583,366,391668,0 40,2,2024-09-07 10:10:21:303,900861,900854,7,0,44190610,0,5347 40,3,2024-09-07 10:10:21:142,1,712,1,0,1028,9886,712,0 41,0,2024-09-07 10:10:21:032,175929,1.1,180114,1.0,343608,1.4,466818,2.75 41,1,2024-09-07 10:10:20:776,1250462,1250462,0,0,586913108245,6133721377,1234741,13194,2527,369,391878,0 41,2,2024-09-07 10:10:20:765,895432,895431,1,0,43096048,0,5408 41,3,2024-09-07 10:10:21:686,1,712,1,0,749,8493,712,0 42,0,2024-09-07 10:10:21:480,177967,1.0,177817,1.0,355945,1.3,471956,2.75 42,1,2024-09-07 10:10:21:450,1247169,1247169,0,0,584816384138,6134423634,1229560,14525,3084,380,391675,0 42,2,2024-09-07 10:10:21:133,895669,895668,1,0,44557588,0,5513 42,3,2024-09-07 10:10:21:009,1,712,3,0,892,7093,712,0 43,0,2024-09-07 10:10:20:929,177089,0.8,172376,1.0,361322,0.8,472345,2.25 43,1,2024-09-07 10:10:20:583,1251207,1251207,0,0,587944550073,6136212069,1235094,13364,2749,365,391696,0 43,2,2024-09-07 10:10:21:753,903045,903045,0,0,40797011,0,4723 43,3,2024-09-07 10:10:21:754,1,712,0,0,571,9395,712,0 44,0,2024-09-07 10:10:20:872,179845,0.4,179958,0.6,359519,0.3,479346,1.75 44,1,2024-09-07 10:10:20:568,1254095,1254095,0,0,588428621443,6083190711,1242762,9181,2152,356,391809,0 44,2,2024-09-07 10:10:21:267,900323,900323,0,0,34374052,0,4344 44,3,2024-09-07 10:10:21:092,1,712,0,0,1097,9183,712,0 45,0,2024-09-07 10:10:21:794,175129,0.4,170950,0.6,358739,0.4,470744,2.00 45,1,2024-09-07 10:10:21:008,1253409,1253409,0,0,588018757120,6110477307,1243854,8334,1221,382,391917,0 45,2,2024-09-07 10:10:21:274,901261,901261,0,0,34281325,0,3596 45,3,2024-09-07 10:10:20:941,1,712,1,0,531,6639,712,0 46,0,2024-09-07 10:10:20:949,178992,0.5,178246,0.7,357694,0.6,475583,2.00 46,1,2024-09-07 10:10:20:583,1255224,1255224,0,0,588947866127,6091504762,1245943,7798,1483,366,391709,0 46,2,2024-09-07 10:10:20:592,901897,901897,0,0,35273226,0,4443 46,3,2024-09-07 10:10:21:131,1,712,1,0,908,7664,712,0 47,0,2024-09-07 10:10:21:104,179943,0.5,179478,0.6,360331,0.4,478054,2.00 47,1,2024-09-07 10:10:20:570,1256761,1256761,0,0,588846780903,6083607532,1249247,6501,1013,364,391666,0 47,2,2024-09-07 10:10:20:908,903859,903859,0,0,35788684,0,4477 47,3,2024-09-07 10:10:21:116,1,712,2,0,600,7617,712,0 48,0,2024-09-07 10:10:21:496,178949,0.3,179440,0.4,357704,0.2,476068,1.50 48,1,2024-09-07 10:10:21:025,1252887,1252887,0,0,587608271047,6102948342,1245210,6665,1012,381,391710,0 48,2,2024-09-07 10:10:20:706,901587,901587,0,0,32523657,0,3619 48,3,2024-09-07 10:10:20:761,1,712,2,0,339,6021,712,0 49,0,2024-09-07 10:10:21:713,182848,0.3,179046,0.5,348277,0.2,475627,1.75 49,1,2024-09-07 10:10:21:021,1252313,1252313,0,0,587890948793,6110145434,1244440,6175,1698,382,391809,0 49,2,2024-09-07 10:10:21:798,904267,904267,0,0,33950440,0,4426 49,3,2024-09-07 10:10:21:419,1,712,9,0,992,8235,712,0 50,0,2024-09-07 10:10:21:508,178034,0.3,176952,0.5,356101,0.2,473362,1.75 50,1,2024-09-07 10:10:21:016,1256023,1256023,0,0,589699925225,6094288525,1248461,6829,733,368,391691,0 50,2,2024-09-07 10:10:21:072,899807,899807,0,0,31991051,0,4490 50,3,2024-09-07 10:10:21:292,1,712,1,0,617,7239,712,0 51,0,2024-09-07 10:10:21:701,183132,0.3,179258,0.5,349067,0.2,476379,1.75 51,1,2024-09-07 10:10:21:687,1256939,1256939,0,0,590480770335,6086066244,1250235,5359,1345,365,391706,0 51,2,2024-09-07 10:10:21:316,900739,900739,0,0,31588574,0,3337 51,3,2024-09-07 10:10:21:027,1,712,3,0,678,5546,712,0 52,0,2024-09-07 10:10:21:433,179020,0.5,178888,0.6,357553,0.4,475383,2.00 52,1,2024-09-07 10:10:20:580,1250914,1250914,0,0,587152279445,6138511108,1234155,14332,2427,368,391805,0 52,2,2024-09-07 10:10:21:762,896453,896415,38,0,42908531,0,6742 52,3,2024-09-07 10:10:20:675,1,712,1,0,1782,7992,712,0 53,0,2024-09-07 10:10:21:747,177102,0.6,171935,0.8,359776,0.6,471225,2.25 53,1,2024-09-07 10:10:20:780,1250141,1250141,0,0,586438834765,6143173919,1230768,14941,4432,367,391968,0 53,2,2024-09-07 10:10:21:300,904043,903905,138,0,39318482,0,7690 53,3,2024-09-07 10:10:20:698,1,712,1,0,308,6527,712,0 54,0,2024-09-07 10:10:21:648,175544,0.5,176151,0.7,350418,0.4,468322,2.25 54,1,2024-09-07 10:10:20:584,1252534,1252534,0,0,588127436447,6109690451,1240731,10128,1675,366,391810,0 54,2,2024-09-07 10:10:20:868,899498,899466,32,0,42563202,0,6397 54,3,2024-09-07 10:10:20:771,1,712,24,0,676,9046,712,0 55,0,2024-09-07 10:10:21:764,172419,0.7,177583,0.8,360546,0.7,469615,2.50 55,1,2024-09-07 10:10:20:771,1252884,1252884,0,0,587269268251,6101916268,1240849,10546,1489,365,391731,0 55,2,2024-09-07 10:10:20:728,898331,898275,56,0,38893802,0,7239 55,3,2024-09-07 10:10:20:676,1,712,1,0,304,6316,712,0 56,0,2024-09-07 10:10:21:558,182409,1.2,171836,1.1,354365,1.5,474302,2.75 56,1,2024-09-07 10:10:20:580,1244993,1244993,0,0,584621452436,6175453399,1225478,15760,3755,381,391867,0 56,2,2024-09-07 10:10:21:304,899139,899017,122,0,41976300,0,7432 56,3,2024-09-07 10:10:21:059,1,712,0,0,705,8345,712,0 57,0,2024-09-07 10:10:20:961,177204,1.3,177045,1.2,353568,1.7,472395,3.00 57,1,2024-09-07 10:10:21:068,1248889,1248889,0,0,585344853035,6135664174,1233163,13017,2709,366,392097,0 57,2,2024-09-07 10:10:21:316,902024,902024,0,0,44806115,0,4804 57,3,2024-09-07 10:10:21:751,1,712,6,0,455,7518,712,0 58,0,2024-09-07 10:10:20:574,174279,0.8,169275,1.0,354281,1.0,463582,2.50 58,1,2024-09-07 10:10:20:583,1249802,1249799,0,3,586305853335,6142882131,1231425,13972,4402,367,391726,3 58,2,2024-09-07 10:10:21:074,899496,899496,0,0,40622761,0,3483 58,3,2024-09-07 10:10:21:069,1,712,0,0,1043,7612,712,0 59,0,2024-09-07 10:10:21:750,178498,0.9,177407,1.0,355092,1.1,471263,3.00 59,1,2024-09-07 10:10:20:804,1249197,1249197,0,0,585770141868,6147879321,1229695,15664,3838,369,391653,0 59,2,2024-09-07 10:10:20:583,899382,899382,0,0,38791883,0,3727 59,3,2024-09-07 10:10:21:746,1,712,2,0,1015,8335,712,0 60,0,2024-09-07 10:10:21:718,179056,0.5,179358,0.7,358408,0.5,478532,2.00 60,1,2024-09-07 10:10:20:779,1253345,1253345,0,0,587810481175,6119203836,1242404,9435,1506,370,392031,0 60,2,2024-09-07 10:10:21:146,902344,902344,0,0,37074166,0,3811 60,3,2024-09-07 10:10:21:257,1,712,0,0,409,8141,712,0 61,0,2024-09-07 10:10:21:521,179166,0.6,179830,0.7,358237,0.6,478191,2.00 61,1,2024-09-07 10:10:20:783,1249957,1249957,0,0,586596230668,6138837102,1237263,10842,1852,382,392127,0 61,2,2024-09-07 10:10:21:131,902045,901978,67,0,37920355,0,6411 61,3,2024-09-07 10:10:21:698,1,712,3,0,607,8754,712,0 62,0,2024-09-07 10:10:21:712,178078,0.5,182625,0.7,348584,0.5,473393,2.00 62,1,2024-09-07 10:10:21:110,1257964,1257958,0,6,590455420355,6093291679,1250695,6645,618,365,391975,6 62,2,2024-09-07 10:10:21:644,899122,899121,1,0,37631084,0,5555 62,3,2024-09-07 10:10:21:150,1,712,1,0,482,5564,712,0 63,0,2024-09-07 10:10:21:456,180077,0.4,179785,0.6,360257,0.3,479719,1.75 63,1,2024-09-07 10:10:20:825,1253983,1253977,0,6,587661118152,6102428946,1246052,6973,952,381,391800,6 63,2,2024-09-07 10:10:20:774,899754,899754,0,0,36950599,0,4369 63,3,2024-09-07 10:10:21:736,1,712,1,0,667,8094,712,0 64,0,2024-09-07 10:10:21:537,177833,0.5,177772,0.7,355201,0.4,473415,2.00 64,1,2024-09-07 10:10:20:759,1252270,1252270,0,0,587639787208,6114388625,1242046,8194,2030,370,391794,0 64,2,2024-09-07 10:10:21:140,904163,904144,19,0,35376499,0,6121 64,3,2024-09-07 10:10:21:144,1,712,1,0,651,7958,712,0 65,0,2024-09-07 10:10:21:711,177233,0.6,177478,0.7,353988,0.6,472516,2.00 65,1,2024-09-07 10:10:20:875,1250688,1250688,0,0,586492911854,6125120012,1242439,7351,898,381,391901,0 65,2,2024-09-07 10:10:21:694,899007,899007,0,0,42513622,0,3367 65,3,2024-09-07 10:10:21:686,1,712,13,0,782,8243,712,0 66,0,2024-09-07 10:10:21:772,177281,0.5,176782,0.7,353542,0.4,470911,2.00 66,1,2024-09-07 10:10:21:293,1252740,1252740,0,0,588264354029,6119077684,1245229,6637,874,380,391743,0 66,2,2024-09-07 10:10:21:131,905811,905808,3,0,37144421,0,5455 66,3,2024-09-07 10:10:21:092,1,712,6,0,291,6222,712,0 67,0,2024-09-07 10:10:21:415,177472,0.5,177078,0.7,354855,0.4,472399,2.00 67,1,2024-09-07 10:10:20:766,1252354,1252353,0,1,587376147527,6112269126,1243914,7299,1140,380,391787,1 67,2,2024-09-07 10:10:20:583,902312,902297,15,0,37632404,0,6205 67,3,2024-09-07 10:10:21:751,1,712,3,0,595,7400,712,0 68,0,2024-09-07 10:10:20:574,178208,0.6,177990,0.7,354525,0.6,474019,2.00 68,1,2024-09-07 10:10:20:582,1247764,1247764,0,0,585027927700,6150368680,1231027,12462,4275,381,391953,0 68,2,2024-09-07 10:10:21:044,895056,894956,100,0,45560285,0,8578 68,3,2024-09-07 10:10:20:735,1,712,1,0,417,9886,712,0 69,0,2024-09-07 10:10:21:737,178432,0.7,179029,0.8,357208,0.7,475410,2.25 69,1,2024-09-07 10:10:21:020,1247260,1247260,0,0,585016952676,6157586130,1232329,11946,2985,383,391994,0 69,2,2024-09-07 10:10:21:738,897074,897045,29,0,48707211,0,6912 69,3,2024-09-07 10:10:20:773,1,712,1,0,698,10451,712,0 70,0,2024-09-07 10:10:21:534,176008,0.7,176765,0.9,354363,0.6,469934,2.50 70,1,2024-09-07 10:10:20:802,1254113,1254113,0,0,588978061048,6109158144,1244643,8425,1045,366,391725,0 70,2,2024-09-07 10:10:21:327,903787,903787,0,0,39967182,0,4323 70,3,2024-09-07 10:10:20:745,1,712,1,0,854,7744,712,0 71,0,2024-09-07 10:10:21:364,175884,0.8,175458,0.9,351778,0.8,469521,2.50 71,1,2024-09-07 10:10:21:603,1251436,1251436,0,0,586980927057,6119371229,1236996,12654,1786,367,391738,0 71,2,2024-09-07 10:10:21:069,897384,897384,0,0,40400569,0,4352 71,3,2024-09-07 10:10:21:754,1,712,0,0,644,7668,712,0 72,0,2024-09-07 10:10:21:035,185022,0.5,180665,0.7,353085,0.5,479443,2.00 72,1,2024-09-07 10:10:21:027,1250007,1250007,0,0,585729735249,6137592886,1233059,14214,2734,369,391819,0 72,2,2024-09-07 10:10:21:757,896710,896710,0,0,42528947,0,3983 72,3,2024-09-07 10:10:21:757,1,712,0,0,564,9619,712,0 73,0,2024-09-07 10:10:21:129,174946,0.5,179508,0.6,366816,0.4,477439,2.00 73,1,2024-09-07 10:10:20:774,1251658,1251658,0,0,587919661389,6108216687,1242118,8473,1067,367,391858,0 73,2,2024-09-07 10:10:21:763,900736,900735,1,0,44500931,0,5027 73,3,2024-09-07 10:10:20:977,1,712,7,0,1091,9455,712,0 74,0,2024-09-07 10:10:21:344,180890,0.5,185177,0.6,353092,0.4,479736,2.00 74,1,2024-09-07 10:10:20:639,1250794,1250794,0,0,586683912215,6117764570,1238428,10218,2148,381,391762,0 74,2,2024-09-07 10:10:21:002,901017,901017,0,0,38650582,0,4253 74,3,2024-09-07 10:10:21:442,1,712,1,0,522,7986,712,0 75,0,2024-09-07 10:10:21:792,176925,0.5,175907,0.7,353191,0.4,472088,2.00 75,1,2024-09-07 10:10:21:596,1251973,1251973,0,0,587442150990,6117446580,1242084,8895,994,380,391739,0 75,2,2024-09-07 10:10:21:354,898543,898543,0,0,45722140,0,4766 75,3,2024-09-07 10:10:21:068,1,712,0,0,918,9653,712,0 76,0,2024-09-07 10:10:20:583,179205,0.6,178844,0.7,357106,0.6,477196,2.25 76,1,2024-09-07 10:10:20:807,1250752,1250752,0,0,586600577587,6117936607,1241837,7605,1310,382,391790,0 76,2,2024-09-07 10:10:21:061,903007,903004,3,0,38856697,0,5265 76,3,2024-09-07 10:10:21:143,1,712,1,0,249,6577,712,0 77,0,2024-09-07 10:10:21:796,178806,0.6,179038,0.7,358485,0.6,476876,2.00 77,1,2024-09-07 10:10:20:828,1250242,1250242,0,0,587125516980,6128985866,1239956,9196,1090,381,391869,0 77,2,2024-09-07 10:10:21:286,900592,900592,0,0,37467569,0,3890 77,3,2024-09-07 10:10:21:096,1,712,0,0,401,7944,712,0 78,0,2024-09-07 10:10:21:722,179276,0.4,178397,0.6,358310,0.4,474237,2.00 78,1,2024-09-07 10:10:20:611,1250546,1250546,0,0,586355856666,6117430118,1234999,12215,3332,367,391670,0 78,2,2024-09-07 10:10:21:404,902044,902031,13,0,34956990,0,8313 78,3,2024-09-07 10:10:21:133,1,712,1,0,311,5991,712,0 79,0,2024-09-07 10:10:21:349,171777,0.4,175967,0.6,360498,0.3,470030,2.00 79,1,2024-09-07 10:10:20:573,1255035,1255035,0,0,589128301651,6099897354,1245205,8028,1802,367,391682,0 79,2,2024-09-07 10:10:21:069,903861,903861,0,0,33920762,0,4195 79,3,2024-09-07 10:10:20:753,1,712,19,0,418,8193,712,0 80,0,2024-09-07 10:10:21:123,178010,0.5,182737,0.7,349045,0.5,472598,2.00 80,1,2024-09-07 10:10:21:629,1251325,1251325,0,0,587428860460,6113759601,1243328,7413,584,368,392269,0 80,2,2024-09-07 10:10:21:092,903063,903063,0,0,34428515,0,4433 80,3,2024-09-07 10:10:20:604,1,712,108,0,681,8654,712,0 81,0,2024-09-07 10:10:21:615,178510,0.6,182729,0.7,348394,0.6,472974,2.00 81,1,2024-09-07 10:10:21:660,1250439,1250439,0,0,586061989362,6123470167,1240561,8880,998,382,392001,0 81,2,2024-09-07 10:10:21:129,899969,899906,63,0,38387408,0,5932 81,3,2024-09-07 10:10:21:119,1,712,2,0,719,7703,712,0 82,0,2024-09-07 10:10:21:537,178920,0.5,179021,0.7,358661,0.5,475902,2.00 82,1,2024-09-07 10:10:20:592,1252118,1252114,0,4,586618326926,6101644537,1245156,5833,1125,381,391768,4 82,2,2024-09-07 10:10:21:693,903266,903266,0,0,31852685,0,4484 82,3,2024-09-07 10:10:21:752,1,712,6,0,363,6323,712,0 83,0,2024-09-07 10:10:21:524,177868,0.5,177661,0.7,355017,0.5,471895,2.00 83,1,2024-09-07 10:10:20:550,1251122,1251122,0,0,587165230264,6118635531,1242087,8006,1029,382,391709,0 83,2,2024-09-07 10:10:20:771,904585,904560,25,0,34791599,0,5612 83,3,2024-09-07 10:10:20:753,1,712,0,0,1260,8218,712,0 84,0,2024-09-07 10:10:21:800,175795,0.7,175815,0.8,351859,0.6,470107,2.25 84,1,2024-09-07 10:10:21:050,1249714,1249714,0,0,586635250175,6131901032,1235995,11633,2086,367,391967,0 84,2,2024-09-07 10:10:20:581,897014,896604,410,0,49924358,0,17037 84,3,2024-09-07 10:10:21:147,1,712,4,0,908,9723,712,0 85,0,2024-09-07 10:10:21:051,171712,0.7,171676,0.8,364317,0.7,470118,2.25 85,1,2024-09-07 10:10:20:566,1245836,1245836,0,0,585183664754,6169095792,1228021,14882,2933,381,392092,0 85,2,2024-09-07 10:10:20:897,898368,898368,0,0,42364449,0,4255 85,3,2024-09-07 10:10:20:689,1,712,1,0,789,8520,712,0 86,0,2024-09-07 10:10:20:931,178398,0.7,183463,0.8,351345,0.8,475122,2.25 86,1,2024-09-07 10:10:20:848,1248390,1248390,0,0,586382285187,6153365970,1230689,14385,3316,366,392169,0 86,2,2024-09-07 10:10:20:859,900142,900141,1,0,44407999,0,5004 86,3,2024-09-07 10:10:20:592,1,712,0,0,441,9668,712,0 87,0,2024-09-07 10:10:21:295,179376,0.7,178061,0.8,356727,0.8,476029,2.25 87,1,2024-09-07 10:10:20:550,1248670,1248670,0,0,585577243493,6129099414,1233716,12788,2166,366,392076,0 87,2,2024-09-07 10:10:21:070,901644,901638,6,0,41835716,0,6323 87,3,2024-09-07 10:10:21:793,1,712,2,0,473,9887,712,0 88,0,2024-09-07 10:10:21:489,176583,0.4,176964,0.6,353974,0.4,471626,1.75 88,1,2024-09-07 10:10:20:578,1247158,1247158,0,0,585244415445,6128424918,1230293,13721,3144,365,392084,0 88,2,2024-09-07 10:10:20:688,898612,898612,0,0,43997064,0,4465 88,3,2024-09-07 10:10:21:269,1,712,3,0,1080,11203,712,0 89,0,2024-09-07 10:10:21:792,184794,0.5,179477,0.6,353411,0.4,480133,1.75 89,1,2024-09-07 10:10:20:555,1247345,1247345,0,0,585721181028,6154360547,1232645,12417,2283,382,392084,0 89,2,2024-09-07 10:10:21:132,899260,899260,0,0,41378629,0,3173 89,3,2024-09-07 10:10:21:799,1,712,15,0,729,12433,712,0 90,0,2024-09-07 10:10:21:633,174072,0.5,178646,0.6,364774,0.4,476228,2.00 90,1,2024-09-07 10:10:20:593,1249364,1249364,0,0,585975846732,6136848778,1236887,11202,1275,380,391825,0 90,2,2024-09-07 10:10:21:408,897207,897202,5,0,44690905,0,6370 90,3,2024-09-07 10:10:20:933,1,712,10,0,364,9228,712,0 91,0,2024-09-07 10:10:20:936,179862,0.5,174477,0.6,365073,0.4,479132,1.75 91,1,2024-09-07 10:10:20:556,1246257,1246257,0,0,585800352629,6173322760,1227536,14573,4148,381,392047,0 91,2,2024-09-07 10:10:21:331,900427,900427,0,0,40005067,0,4713 91,3,2024-09-07 10:10:20:607,1,712,9,0,231,6579,712,0 92,0,2024-09-07 10:10:21:511,179012,0.4,183163,0.6,349220,0.4,473680,1.75 92,1,2024-09-07 10:10:20:606,1249805,1249805,0,0,586293548762,6122757003,1240258,8233,1314,381,392136,0 92,2,2024-09-07 10:10:21:355,901224,901224,0,0,36444711,0,3906 92,3,2024-09-07 10:10:21:020,1,712,28,0,322,6321,712,0 93,0,2024-09-07 10:10:21:087,180476,0.4,185009,0.6,353127,0.3,479316,1.75 93,1,2024-09-07 10:10:20:886,1250604,1250604,0,0,587582762691,6136908492,1236189,11978,2437,365,392048,0 93,2,2024-09-07 10:10:20:949,899855,899855,0,0,42031607,0,4913 93,3,2024-09-07 10:10:21:408,1,712,1,0,788,8685,712,0 94,0,2024-09-07 10:10:21:632,177818,0.4,179070,0.6,358462,0.4,475712,1.75 94,1,2024-09-07 10:10:20:566,1252220,1252220,0,0,587164768420,6122092391,1243907,7659,654,381,391850,0 94,2,2024-09-07 10:10:20:774,900828,900800,28,0,36549473,0,6179 94,3,2024-09-07 10:10:21:694,1,712,4,0,576,8490,712,0 95,0,2024-09-07 10:10:21:380,178505,0.4,178210,0.5,356684,0.3,475405,1.75 95,1,2024-09-07 10:10:20:871,1252411,1252411,0,0,587687780269,6105163833,1243029,8566,816,365,391852,0 95,2,2024-09-07 10:10:21:016,898489,898489,0,0,36637161,0,3308 95,3,2024-09-07 10:10:21:714,1,712,2,0,718,10567,712,0 96,0,2024-09-07 10:10:21:138,177710,0.3,178043,0.5,356324,0.3,473444,1.75 96,1,2024-09-07 10:10:21:589,1249875,1249875,0,0,587008227822,6122619919,1240932,7565,1378,384,392292,0 96,2,2024-09-07 10:10:21:280,905250,905250,0,0,37016771,0,4225 96,3,2024-09-07 10:10:21:143,1,712,6,0,411,7825,712,0 97,0,2024-09-07 10:10:21:357,177323,0.3,177279,0.5,355226,0.3,472548,1.50 97,1,2024-09-07 10:10:20:767,1253180,1253180,0,0,588062244542,6099747338,1245238,6699,1243,367,392140,0 97,2,2024-09-07 10:10:20:616,900787,900787,0,0,37054621,0,4600 97,3,2024-09-07 10:10:20:592,1,712,3,0,433,8574,712,0 98,0,2024-09-07 10:10:21:693,177710,0.3,177926,0.5,357161,0.3,474328,1.50 98,1,2024-09-07 10:10:20:570,1251476,1251476,0,0,587408118047,6116021121,1244014,6560,902,381,391997,0 98,2,2024-09-07 10:10:20:777,899024,899024,0,0,35303816,0,4336 98,3,2024-09-07 10:10:20:698,1,712,0,0,840,9745,712,0 99,0,2024-09-07 10:10:21:448,179285,0.3,180121,0.5,359012,0.3,478131,1.75 99,1,2024-09-07 10:10:21:756,1252600,1252600,0,0,586982685484,6107190072,1244796,6694,1110,380,392069,0 99,2,2024-09-07 10:10:21:416,899980,899980,0,0,44729486,0,4276 99,3,2024-09-07 10:10:20:591,1,712,1,0,1124,8950,712,0 100,0,2024-09-07 10:10:21:482,177360,0.7,177993,0.9,355741,0.8,473829,2.50 100,1,2024-09-07 10:10:20:552,1245298,1245298,0,0,583690337908,6169555995,1226145,15355,3798,378,391989,0 100,2,2024-09-07 10:10:21:826,899522,899133,389,0,46299557,0,16909 100,3,2024-09-07 10:10:21:734,1,712,1,0,627,10818,712,0 101,0,2024-09-07 10:10:21:735,180793,0.8,176442,0.9,345187,0.8,471548,2.25 101,1,2024-09-07 10:10:20:562,1247558,1247558,0,0,585572150595,6149891627,1230955,13650,2953,368,392018,0 101,2,2024-09-07 10:10:21:760,893686,893647,39,0,47876383,0,5913 101,3,2024-09-07 10:10:20:950,1,712,30,0,1250,10839,712,0 102,0,2024-09-07 10:10:20:947,174295,0.6,179371,0.8,364834,0.6,476123,2.25 102,1,2024-09-07 10:10:21:142,1247823,1247823,0,0,585195203873,6141216381,1231980,13583,2260,369,391984,0 102,2,2024-09-07 10:10:21:752,900139,900085,54,0,39696638,0,6768 102,3,2024-09-07 10:10:21:627,1,712,3,0,466,8159,712,0 103,0,2024-09-07 10:10:21:607,185209,0.7,185192,0.8,348970,0.7,479795,2.25 103,1,2024-09-07 10:10:21:636,1246585,1246585,0,0,584779995158,6168603630,1227662,15294,3629,381,392077,0 103,2,2024-09-07 10:10:20:585,899292,899292,0,0,43239299,0,3766 103,3,2024-09-07 10:10:20:779,1,712,9,0,916,8274,712,0 104,0,2024-09-07 10:10:21:065,178451,0.7,178774,0.9,356747,0.7,476913,2.25 104,1,2024-09-07 10:10:21:599,1248549,1248549,0,0,585496465801,6149938826,1230093,14991,3465,365,392168,0 104,2,2024-09-07 10:10:21:669,899401,899401,0,0,42401586,0,4161 104,3,2024-09-07 10:10:21:426,1,712,24,0,1245,12280,712,0 105,0,2024-09-07 10:10:21:119,174855,0.8,170501,1.0,357595,0.8,469833,2.50 105,1,2024-09-07 10:10:20:556,1250350,1250350,0,0,586558938780,6139183266,1235551,12682,2117,364,392009,0 105,2,2024-09-07 10:10:21:330,897999,897999,0,0,43714232,0,4360 105,3,2024-09-07 10:10:21:309,1,712,5,0,573,10418,712,0 106,0,2024-09-07 10:10:20:961,173572,0.9,178150,1.0,363983,1.1,475444,2.50 106,1,2024-09-07 10:10:21:756,1249001,1249001,0,0,585697552046,6140424345,1232557,14291,2153,368,391914,0 106,2,2024-09-07 10:10:20:771,900035,900035,0,0,40255286,0,3331 106,3,2024-09-07 10:10:20:677,1,712,1,0,1224,9756,712,0 107,0,2024-09-07 10:10:21:102,178877,1.3,178912,1.0,357963,1.8,477874,2.25 107,1,2024-09-07 10:10:20:602,1245804,1245804,0,0,584255109552,6170374760,1226682,16866,2256,381,392234,0 107,2,2024-09-07 10:10:21:295,896761,896760,1,0,44110507,0,5024 107,3,2024-09-07 10:10:21:768,1,712,5,0,733,11099,712,0 108,0,2024-09-07 10:10:21:767,178364,0.4,179182,0.6,357418,0.4,475994,1.75 108,1,2024-09-07 10:10:21:293,1249573,1249573,0,0,586550612491,6122852614,1238061,10246,1266,367,391899,0 108,2,2024-09-07 10:10:21:754,900727,900727,0,0,39310875,0,4432 108,3,2024-09-07 10:10:21:330,1,712,1,0,767,12670,712,0 109,0,2024-09-07 10:10:21:772,178634,0.4,177278,0.6,355329,0.3,474575,1.75 109,1,2024-09-07 10:10:20:612,1247726,1247726,0,0,586103763201,6146619204,1237055,9163,1508,382,392132,0 109,2,2024-09-07 10:10:20:933,900609,900609,0,0,39357277,0,3617 109,3,2024-09-07 10:10:21:143,1,712,1,0,630,8081,712,0 110,0,2024-09-07 10:10:21:793,177551,0.4,172633,0.6,361581,0.3,474396,1.75 110,1,2024-09-07 10:10:21:643,1252952,1252952,0,0,588479840047,6108527439,1243477,7389,2086,368,392045,0 110,2,2024-09-07 10:10:21:306,900265,900265,0,0,38669648,0,4067 110,3,2024-09-07 10:10:20:695,1,712,1,0,722,9470,712,0 111,0,2024-09-07 10:10:21:413,179175,0.4,178341,0.5,356260,0.3,475835,1.75 111,1,2024-09-07 10:10:21:000,1254027,1254027,0,0,588644566322,6110681344,1246761,6759,507,380,391690,0 111,2,2024-09-07 10:10:21:116,900210,900210,0,0,37982874,0,4823 111,3,2024-09-07 10:10:20:920,1,712,5,0,379,7987,712,0 112,0,2024-09-07 10:10:20:925,179668,0.3,179258,0.4,359431,0.2,477252,1.50 112,1,2024-09-07 10:10:20:835,1252826,1252826,0,0,587801909726,6098670757,1245192,6407,1227,380,391624,0 112,2,2024-09-07 10:10:21:133,900170,900169,1,0,35697913,0,5036 112,3,2024-09-07 10:10:20:593,1,712,4,0,282,6945,712,0 113,0,2024-09-07 10:10:20:892,177617,0.3,178080,0.5,356320,0.2,474172,1.50 113,1,2024-09-07 10:10:21:686,1256678,1256678,0,0,589704087151,6081088962,1249757,5970,951,365,391664,0 113,2,2024-09-07 10:10:21:303,907207,907207,0,0,32962305,0,3813 113,3,2024-09-07 10:10:20:685,1,712,1,0,510,7072,712,0 114,0,2024-09-07 10:10:20:873,177379,0.3,178600,0.5,356095,0.2,475780,1.75 114,1,2024-09-07 10:10:20:732,1252080,1252080,0,0,587953453434,6102105274,1243244,7032,1804,381,391565,0 114,2,2024-09-07 10:10:20:881,901250,901249,1,0,35319747,0,5069 114,3,2024-09-07 10:10:21:277,1,712,0,0,415,5707,712,0 115,0,2024-09-07 10:10:20:552,179487,0.3,179870,0.4,358495,0.2,476723,1.50 115,1,2024-09-07 10:10:20:580,1253439,1253439,0,0,587914336499,6104416045,1243877,7768,1794,382,391757,0 115,2,2024-09-07 10:10:21:125,901103,901103,0,0,35296631,0,4382 115,3,2024-09-07 10:10:21:002,1,712,1,0,173,4446,712,0 116,0,2024-09-07 10:10:21:734,178335,0.7,178382,0.9,357381,0.8,477704,2.25 116,1,2024-09-07 10:10:20:826,1246467,1246467,0,0,585702331890,6165377489,1232354,10895,3218,380,392089,0 116,2,2024-09-07 10:10:21:756,900855,900855,0,0,42729897,0,4475 116,3,2024-09-07 10:10:20:923,1,712,2,0,448,8948,712,0 117,0,2024-09-07 10:10:20:997,179076,0.6,178715,0.8,357929,0.6,477464,2.00 117,1,2024-09-07 10:10:21:583,1248970,1248970,0,0,585030848911,6124876143,1235086,12115,1769,369,392429,0 117,2,2024-09-07 10:10:21:134,903501,903501,0,0,39271238,0,4303 117,3,2024-09-07 10:10:21:061,1,712,1,0,490,8566,712,0 118,0,2024-09-07 10:10:21:836,171292,0.5,175977,0.7,359066,0.4,469706,2.00 118,1,2024-09-07 10:10:20:585,1247463,1247463,0,0,585147041594,6144978842,1229942,13673,3848,366,392054,0 118,2,2024-09-07 10:10:21:590,898775,898775,0,0,41812188,0,3161 118,3,2024-09-07 10:10:21:765,1,712,3,0,343,8387,712,0 119,0,2024-09-07 10:10:21:351,179574,0.7,179748,0.8,359174,0.7,478373,2.25 119,1,2024-09-07 10:10:20:548,1248751,1248751,0,0,586781827722,6148041956,1232714,13368,2669,367,391857,0 119,2,2024-09-07 10:10:21:260,899928,899928,0,0,38565903,0,4309 119,3,2024-09-07 10:10:21:331,1,712,16,0,1358,12320,712,0 120,0,2024-09-07 10:10:21:556,178230,0.7,178409,0.9,357905,0.7,477460,2.50 120,1,2024-09-07 10:10:20:905,1249470,1249470,0,0,585363175376,6134259347,1236789,11522,1159,367,392144,0 120,2,2024-09-07 10:10:20:774,900168,900165,3,0,46568922,0,5363 120,3,2024-09-07 10:10:21:297,1,712,25,0,478,9044,712,0 121,0,2024-09-07 10:10:21:740,179311,1.1,178714,1.0,357700,1.4,476888,2.25 121,1,2024-09-07 10:10:21:661,1248668,1248668,0,0,585519968174,6126210501,1235121,11550,1997,366,391924,0 121,2,2024-09-07 10:10:21:125,900609,900609,0,0,42311939,0,4157 121,3,2024-09-07 10:10:20:731,1,712,0,0,387,9334,712,0 122,0,2024-09-07 10:10:21:792,176739,0.7,172036,0.8,360082,0.8,473058,2.00 122,1,2024-09-07 10:10:20:860,1248112,1248112,0,0,585737282074,6140077273,1231816,13717,2579,365,392130,0 122,2,2024-09-07 10:10:21:319,900693,900618,75,0,46431903,0,5989 122,3,2024-09-07 10:10:20:595,1,712,1,0,512,10619,712,0 123,0,2024-09-07 10:10:20:985,178501,0.8,173992,0.8,364911,0.9,477555,2.25 123,1,2024-09-07 10:10:20:560,1249214,1249214,0,0,585758888565,6154336594,1230112,16073,3029,369,392039,0 123,2,2024-09-07 10:10:21:019,899463,899462,1,0,39788221,0,5215 123,3,2024-09-07 10:10:21:132,1,712,1,0,478,7933,712,0 124,0,2024-09-07 10:10:20:958,183544,0.4,183611,0.5,345940,0.3,476635,1.75 124,1,2024-09-07 10:10:21:032,1252693,1252693,0,0,587000065652,6102790573,1243087,8343,1263,365,392178,0 124,2,2024-09-07 10:10:21:009,902562,902509,53,0,37191914,0,6487 124,3,2024-09-07 10:10:20:771,1,712,1,0,490,7283,712,0 125,0,2024-09-07 10:10:21:439,178348,0.4,178248,0.6,357291,0.3,475831,1.75 125,1,2024-09-07 10:10:20:858,1249524,1249524,0,0,586568147582,6127316794,1238463,9474,1587,382,392045,0 125,2,2024-09-07 10:10:21:157,902839,902839,0,0,36615744,0,4534 125,3,2024-09-07 10:10:21:157,1,712,18,0,709,7859,712,0 126,0,2024-09-07 10:10:21:435,178049,0.4,183129,0.5,350048,0.3,474246,1.75 126,1,2024-09-07 10:10:20:555,1253368,1253368,0,0,588628033435,6097526669,1246083,6583,702,365,391987,0 126,2,2024-09-07 10:10:20:615,905239,905239,0,0,38452208,0,4539 126,3,2024-09-07 10:10:20:925,1,712,2,0,268,8043,712,0 127,0,2024-09-07 10:10:21:622,177761,0.3,178091,0.5,354835,0.3,472852,1.75 127,1,2024-09-07 10:10:20:569,1251742,1251742,0,0,586837524274,6104467697,1239277,10688,1777,364,392187,0 127,2,2024-09-07 10:10:20:637,900573,900569,4,0,36316296,0,5305 127,3,2024-09-07 10:10:21:277,1,712,7,0,968,7103,712,0 128,0,2024-09-07 10:10:21:557,178983,0.3,178666,0.4,357848,0.2,475140,1.50 128,1,2024-09-07 10:10:21:609,1251807,1251807,0,0,587737040860,6107731333,1242806,8028,973,367,392423,0 128,2,2024-09-07 10:10:21:381,901595,901595,0,0,33705313,0,3171 128,3,2024-09-07 10:10:20:773,1,712,101,0,1082,10668,712,0 129,0,2024-09-07 10:10:21:040,180605,0.3,179811,0.5,360660,0.3,478935,1.50 129,1,2024-09-07 10:10:20:583,1247759,1247759,0,0,585524289775,6139047995,1234780,10649,2330,379,391962,0 129,2,2024-09-07 10:10:20:685,902309,902305,4,0,36623414,0,5335 129,3,2024-09-07 10:10:20:691,1,712,6,0,506,10079,712,0 130,0,2024-09-07 10:10:21:743,178538,0.4,178383,0.5,357257,0.4,475371,1.75 130,1,2024-09-07 10:10:20:583,1252899,1252899,0,0,587470984472,6098225673,1247036,5454,409,381,391836,0 130,2,2024-09-07 10:10:21:130,904183,904183,0,0,36320918,0,4067 130,3,2024-09-07 10:10:21:291,1,712,0,0,960,8974,712,0 131,0,2024-09-07 10:10:21:979,176971,0.3,177290,0.5,355750,0.3,473068,1.50 131,1,2024-09-07 10:10:21:821,1252381,1252381,0,0,587486264621,6118974946,1244218,6950,1213,381,391865,0 131,2,2024-09-07 10:10:20:568,899468,899468,0,0,34450086,0,3979 131,3,2024-09-07 10:10:21:693,1,712,1,0,392,9062,712,0 132,0,2024-09-07 10:10:21:449,179698,0.5,180669,0.6,359623,0.4,478320,2.00 132,1,2024-09-07 10:10:20:600,1247739,1247739,0,0,585016435528,6150520214,1231222,13734,2783,381,392532,0 132,2,2024-09-07 10:10:20:701,898959,898942,17,0,43076744,0,6451 132,3,2024-09-07 10:10:21:692,1,712,1,0,1298,11933,712,0 133,0,2024-09-07 10:10:21:669,175169,0.5,179227,0.6,367661,0.4,477974,2.00 133,1,2024-09-07 10:10:20:583,1246961,1246961,0,0,585375118285,6159409316,1231499,13526,1936,383,391914,0 133,2,2024-09-07 10:10:21:107,902346,902296,50,0,44149775,0,6861 133,3,2024-09-07 10:10:21:299,1,712,3,0,528,8466,712,0 134,0,2024-09-07 10:10:20:953,180370,0.5,180346,0.7,360204,0.5,480188,2.00 134,1,2024-09-07 10:10:20:593,1248920,1248920,0,0,585308495191,6136308448,1232824,12804,3292,366,391826,0 134,2,2024-09-07 10:10:21:763,900967,900828,139,0,41928062,0,7591 134,3,2024-09-07 10:10:20:765,1,712,3,0,739,8554,712,0 135,0,2024-09-07 10:10:21:134,171342,0.6,171403,0.8,363694,0.7,469282,2.00 135,1,2024-09-07 10:10:21:597,1248327,1248327,0,0,586300107008,6157357442,1233898,12576,1853,380,392038,0 135,2,2024-09-07 10:10:20:702,900722,900722,0,0,42393981,0,4503 135,3,2024-09-07 10:10:21:002,1,712,1,0,900,6701,712,0 136,0,2024-09-07 10:10:21:665,179774,0.6,180303,0.8,358968,0.6,478518,2.00 136,1,2024-09-07 10:10:21:457,1249804,1249804,0,0,586617715385,6141737894,1236957,11419,1428,381,392135,0 136,2,2024-09-07 10:10:21:175,902236,902221,15,0,41414435,0,6007 136,3,2024-09-07 10:10:21:118,1,712,1,0,637,8239,712,0 137,0,2024-09-07 10:10:20:948,184670,0.6,180169,0.7,352746,0.7,479428,2.00 137,1,2024-09-07 10:10:20:574,1248460,1248460,0,0,585992233928,6139701367,1229973,14841,3646,366,391898,0 137,2,2024-09-07 10:10:21:705,899447,899447,0,0,42647483,0,3185 137,3,2024-09-07 10:10:20:773,1,712,2,0,484,9883,712,0 138,0,2024-09-07 10:10:21:753,177743,0.9,178015,0.9,356561,1.1,474272,2.25 138,1,2024-09-07 10:10:21:699,1248122,1248122,0,0,586800379099,6153061087,1230974,14456,2692,368,391954,0 138,2,2024-09-07 10:10:20:585,898529,898529,0,0,41088354,0,4988 138,3,2024-09-07 10:10:20:612,1,712,0,0,1200,10394,712,0 139,0,2024-09-07 10:10:21:420,176519,0.8,177190,0.9,354000,1.1,472922,2.25 139,1,2024-09-07 10:10:20:571,1244610,1244610,0,0,582886284280,6170479598,1224567,16128,3915,380,392109,0 139,2,2024-09-07 10:10:20:700,896407,896377,30,0,46790960,0,5997 139,3,2024-09-07 10:10:21:675,1,712,1,0,432,8284,712,0 140,0,2024-09-07 10:10:21:601,178189,0.3,177537,0.5,356000,0.2,474508,1.75 140,1,2024-09-07 10:10:21:545,1256143,1256143,0,0,589656954487,6083452321,1249333,6005,805,364,391628,0 140,2,2024-09-07 10:10:20:687,900400,900399,1,0,35138523,0,5036 140,3,2024-09-07 10:10:20:770,1,712,0,0,575,7445,712,0 141,0,2024-09-07 10:10:21:701,179028,0.3,183897,0.5,351239,0.3,475532,1.75 141,1,2024-09-07 10:10:20:860,1252738,1252738,0,0,588498527101,6115504143,1242386,8958,1394,379,391614,0 141,2,2024-09-07 10:10:21:686,900762,900751,11,0,37626664,0,5369 141,3,2024-09-07 10:10:21:043,1,712,1,0,391,8209,712,0 142,0,2024-09-07 10:10:21:336,179912,0.3,179101,0.5,358693,0.3,478070,1.50 142,1,2024-09-07 10:10:20:593,1250867,1250867,0,0,587424526728,6128374958,1241682,8290,895,382,392102,0 142,2,2024-09-07 10:10:21:312,899924,899892,32,0,37239514,0,6028 142,3,2024-09-07 10:10:21:758,1,712,2,0,484,7142,712,0 143,0,2024-09-07 10:10:21:519,177808,0.4,177540,0.5,356620,0.3,474047,1.75 143,1,2024-09-07 10:10:20:561,1254119,1254119,0,0,587456371591,6095813806,1245702,7444,973,367,391900,0 143,2,2024-09-07 10:10:20:774,905658,905658,0,0,36926098,0,3123 143,3,2024-09-07 10:10:21:144,1,712,4,0,462,8297,712,0 144,0,2024-09-07 10:10:21:545,171974,0.5,176814,0.7,360266,0.5,471725,2.00 144,1,2024-09-07 10:10:20:567,1248211,1248211,0,0,585879668670,6143527944,1236444,9720,2047,381,391814,0 144,2,2024-09-07 10:10:21:762,900195,900195,0,0,36368926,0,4443 144,3,2024-09-07 10:10:21:768,1,712,5,0,306,7599,712,0 145,0,2024-09-07 10:10:21:373,172874,0.6,172872,0.8,367056,0.5,471883,2.25 145,1,2024-09-07 10:10:20:556,1247129,1247129,0,0,585335715499,6155502586,1230914,13245,2970,381,391879,0 145,2,2024-09-07 10:10:21:428,898332,898250,82,0,42255837,0,7814 145,3,2024-09-07 10:10:20:897,1,712,5,0,622,9437,712,0 146,0,2024-09-07 10:10:21:670,178959,0.7,178096,0.8,358120,0.7,475686,2.25 146,1,2024-09-07 10:10:21:594,1248643,1248643,0,0,586386817583,6161580329,1229474,14238,4931,367,391829,0 146,2,2024-09-07 10:10:21:698,899021,899015,6,0,40521670,0,5151 146,3,2024-09-07 10:10:21:285,1,712,8,0,1520,10963,712,0 147,0,2024-09-07 10:10:21:730,178985,0.6,178826,0.7,357608,0.5,476402,2.00 147,1,2024-09-07 10:10:21:373,1253130,1253130,0,0,587262985740,6103047868,1243164,8864,1102,367,391791,0 147,2,2024-09-07 10:10:21:010,901989,901989,0,0,38319602,0,4531 147,3,2024-09-07 10:10:20:914,1,712,1,0,1626,10546,712,0 0,0,2024-09-07 10:10:31:721,174335,0.7,174390,0.8,370125,0.8,478172,2.00 0,1,2024-09-07 10:10:30:811,1251314,1251314,0,0,587383330324,6145695330,1241414,8922,978,368,391896,0 0,2,2024-09-07 10:10:31:091,903515,903515,0,0,36085059,0,4480 0,3,2024-09-07 10:10:30:988,1,713,22,0,538,10147,713,0 1,0,2024-09-07 10:10:31:779,179448,0.8,178587,0.9,358152,0.9,479328,2.00 1,1,2024-09-07 10:10:30:574,1250416,1250416,0,0,586165279909,6138813382,1239002,9441,1973,370,391859,0 1,2,2024-09-07 10:10:30:646,903482,903482,0,0,35642508,0,3380 1,3,2024-09-07 10:10:31:307,1,713,1,0,269,8343,713,0 2,0,2024-09-07 10:10:31:564,177632,0.5,177408,0.7,354490,0.5,473248,2.00 2,1,2024-09-07 10:10:30:863,1254132,1254132,0,0,587778054417,6109689382,1247197,5973,962,379,391805,0 2,2,2024-09-07 10:10:31:274,902069,902069,0,0,34258771,0,3594 2,3,2024-09-07 10:10:30:697,1,713,1,0,357,6159,713,0 3,0,2024-09-07 10:10:31:743,179869,0.4,179625,0.6,358953,0.4,478185,2.00 3,1,2024-09-07 10:10:31:622,1252314,1252314,0,0,587489004201,6108475425,1243713,7883,718,379,391716,0 3,2,2024-09-07 10:10:31:148,903497,903474,23,0,35100648,0,5851 3,3,2024-09-07 10:10:31:755,1,713,0,0,484,5263,713,0 4,0,2024-09-07 10:10:31:807,173573,0.4,178226,0.5,363885,0.4,475645,1.75 4,1,2024-09-07 10:10:30:602,1247390,1247390,0,0,585244394088,6184435430,1227466,15322,4602,369,391992,0 4,2,2024-09-07 10:10:31:018,899369,899369,0,0,42597121,0,4534 4,3,2024-09-07 10:10:31:043,1,713,17,0,448,9439,713,0 5,0,2024-09-07 10:10:31:408,178692,0.4,178477,0.6,357296,0.4,475835,1.75 5,1,2024-09-07 10:10:30:768,1248238,1248238,0,0,585269313112,6169551296,1230524,13472,4242,367,392005,0 5,2,2024-09-07 10:10:31:829,897770,897737,33,0,42931326,0,7631 5,3,2024-09-07 10:10:31:744,1,713,95,0,457,9341,713,0 6,0,2024-09-07 10:10:30:927,178183,0.4,177382,0.6,355298,0.4,473485,2.00 6,1,2024-09-07 10:10:30:747,1252092,1252092,0,0,587532309342,6129603286,1239908,10499,1685,379,391702,0 6,2,2024-09-07 10:10:31:128,904731,904713,18,0,39713567,0,5535 6,3,2024-09-07 10:10:31:274,1,713,1,0,710,7988,713,0 7,0,2024-09-07 10:10:31:534,177113,0.5,178301,0.6,353533,0.4,472146,2.00 7,1,2024-09-07 10:10:30:860,1248951,1248951,0,0,586199804480,6161790508,1231112,13702,4137,382,391747,0 7,2,2024-09-07 10:10:30:772,900291,900122,169,0,40509418,0,7706 7,3,2024-09-07 10:10:30:853,1,713,2,0,552,8199,713,0 8,0,2024-09-07 10:10:31:333,178653,0.4,178186,0.5,357146,0.4,476128,1.75 8,1,2024-09-07 10:10:31:020,1248103,1248103,0,0,585886097297,6156065688,1229226,14829,4048,366,392853,0 8,2,2024-09-07 10:10:30:797,895432,895430,2,0,45749277,0,5112 8,3,2024-09-07 10:10:30:592,1,713,20,0,772,10649,713,0 9,0,2024-09-07 10:10:31:147,179430,0.4,174200,0.5,364654,0.3,478463,1.75 9,1,2024-09-07 10:10:30:550,1247821,1247821,0,0,586290483706,6177928232,1228087,15383,4351,369,392001,0 9,2,2024-09-07 10:10:31:111,900110,900109,1,0,43706266,0,5281 9,3,2024-09-07 10:10:31:755,1,713,2,0,1273,11167,713,0 10,0,2024-09-07 10:10:31:598,177961,0.3,177540,0.5,356026,0.3,473780,1.75 10,1,2024-09-07 10:10:30:589,1249737,1249737,0,0,586163823161,6148059602,1231589,14413,3735,381,391981,0 10,2,2024-09-07 10:10:30:770,904254,904254,0,0,46999646,0,4713 10,3,2024-09-07 10:10:30:877,1,713,1,0,669,7416,713,0 11,0,2024-09-07 10:10:31:015,177022,0.4,171851,0.6,359770,0.3,474195,1.75 11,1,2024-09-07 10:10:30:574,1251924,1251924,0,0,587472434578,6154619848,1235035,12579,4310,383,391766,0 11,2,2024-09-07 10:10:31:125,899591,899591,0,0,40275996,0,4698 11,3,2024-09-07 10:10:31:309,1,713,0,0,843,8509,713,0 12,0,2024-09-07 10:10:31:008,180670,0.4,180326,0.6,360428,0.4,479054,1.75 12,1,2024-09-07 10:10:30:943,1251101,1251101,0,0,587063129894,6123124540,1241227,8842,1032,368,391960,0 12,2,2024-09-07 10:10:31:564,898714,898714,0,0,40447828,0,4390 12,3,2024-09-07 10:10:31:062,1,713,0,0,386,8663,713,0 13,0,2024-09-07 10:10:31:421,180593,0.4,180763,0.6,360794,0.4,480111,1.75 13,1,2024-09-07 10:10:31:551,1248969,1248969,0,0,585729714400,6162683994,1234783,11102,3084,382,391803,0 13,2,2024-09-07 10:10:30:601,904108,904108,0,0,36729960,0,3287 13,3,2024-09-07 10:10:31:787,1,713,4,0,522,9434,713,0 14,0,2024-09-07 10:10:30:561,179840,0.4,180741,0.6,359612,0.3,478411,1.75 14,1,2024-09-07 10:10:31:561,1257459,1257459,0,0,589765168222,6098955893,1248190,8118,1151,364,391673,0 14,2,2024-09-07 10:10:30:764,903354,903324,30,0,37726521,0,6104 14,3,2024-09-07 10:10:31:124,1,713,0,0,1168,7241,713,0 15,0,2024-09-07 10:10:31:553,177265,0.4,176555,0.6,353161,0.3,471490,1.75 15,1,2024-09-07 10:10:31:608,1253164,1253164,0,0,588575306089,6131278644,1242292,8774,2098,380,391619,0 15,2,2024-09-07 10:10:31:020,902754,902754,0,0,33603157,0,3622 15,3,2024-09-07 10:10:31:408,1,713,61,0,1126,8737,713,0 16,0,2024-09-07 10:10:30:941,179590,0.6,180538,0.8,360131,0.6,479116,2.00 16,1,2024-09-07 10:10:30:584,1252728,1252728,0,0,588034251194,6144107271,1241962,9219,1547,370,392194,0 16,2,2024-09-07 10:10:31:439,901095,901095,0,0,36781384,0,4719 16,3,2024-09-07 10:10:31:151,1,713,2,0,362,8665,713,0 17,0,2024-09-07 10:10:31:788,185105,0.7,180434,0.8,353380,0.7,480411,2.00 17,1,2024-09-07 10:10:30:584,1250989,1250989,0,0,587112540475,6150416857,1239852,9334,1803,368,392075,0 17,2,2024-09-07 10:10:31:679,905351,905350,1,0,38196505,0,5050 17,3,2024-09-07 10:10:30:578,1,713,22,0,518,10011,713,0 18,0,2024-09-07 10:10:30:957,177098,0.6,178266,0.8,355046,0.6,474179,2.25 18,1,2024-09-07 10:10:31:638,1256010,1256010,0,0,588898843641,6106007191,1247821,6756,1433,367,391725,0 18,2,2024-09-07 10:10:31:756,904453,904453,0,0,34385365,0,3541 18,3,2024-09-07 10:10:30:901,1,713,11,0,1059,6387,713,0 19,0,2024-09-07 10:10:31:538,177434,0.6,177903,0.8,354609,0.6,471844,2.00 19,1,2024-09-07 10:10:30:577,1255457,1255457,0,0,589773642764,6107849088,1246597,7697,1163,365,391777,0 19,2,2024-09-07 10:10:31:755,905958,905958,0,0,32121131,0,3988 19,3,2024-09-07 10:10:31:137,1,713,22,0,524,5600,713,0 20,0,2024-09-07 10:10:31:470,178054,0.5,178040,0.6,355805,0.5,475360,2.00 20,1,2024-09-07 10:10:30:586,1250595,1250595,0,0,587358750293,6151239925,1238300,10586,1709,369,391922,0 20,2,2024-09-07 10:10:30:945,901618,901618,0,0,40536125,0,4321 20,3,2024-09-07 10:10:30:591,1,713,22,0,468,11653,713,0 21,0,2024-09-07 10:10:31:154,178666,0.5,178780,0.7,357469,0.5,474757,2.00 21,1,2024-09-07 10:10:31:537,1248127,1248127,0,0,585363164725,6172226991,1229353,14777,3997,368,392016,0 21,2,2024-09-07 10:10:31:086,895665,895188,477,0,51864557,0,17074 21,3,2024-09-07 10:10:31:411,1,713,0,0,713,9787,713,0 22,0,2024-09-07 10:10:31:719,178941,0.5,179540,0.7,358841,0.4,476129,2.00 22,1,2024-09-07 10:10:31:024,1249604,1249604,0,0,585946089954,6158443921,1230484,15514,3606,381,391822,0 22,2,2024-09-07 10:10:30:760,900400,900374,26,0,38492633,0,6328 22,3,2024-09-07 10:10:31:065,1,713,1,0,228,5688,713,0 23,0,2024-09-07 10:10:31:374,177606,0.5,177558,0.6,355036,0.4,473321,2.00 23,1,2024-09-07 10:10:31:015,1250585,1250585,0,0,586478416631,6170158683,1228682,14732,7171,365,391690,0 23,2,2024-09-07 10:10:31:094,905597,905597,0,0,36743891,0,3773 23,3,2024-09-07 10:10:31:759,1,713,0,0,855,9823,713,0 24,0,2024-09-07 10:10:30:896,178809,0.4,177883,0.5,357099,0.3,474858,1.75 24,1,2024-09-07 10:10:30:668,1249468,1249468,0,0,586727371186,6137263643,1237848,9742,1878,367,392269,0 24,2,2024-09-07 10:10:31:103,900370,900367,3,0,43159854,0,6294 24,3,2024-09-07 10:10:31:690,1,713,11,0,468,9262,713,0 25,0,2024-09-07 10:10:31:421,183701,0.4,178453,0.6,351287,0.4,478018,1.75 25,1,2024-09-07 10:10:30:585,1248063,1248063,0,0,585847926265,6185267785,1226923,17137,4003,369,391928,0 25,2,2024-09-07 10:10:31:634,897708,897708,0,0,45205661,0,3978 25,3,2024-09-07 10:10:31:021,1,713,2,0,532,7710,713,0 26,0,2024-09-07 10:10:31:721,178549,0.4,174505,0.6,366085,0.4,477268,2.00 26,1,2024-09-07 10:10:31:550,1252148,1252148,0,0,587328082219,6142436342,1236437,12806,2905,380,391758,0 26,2,2024-09-07 10:10:30:871,901838,901838,0,0,46290178,0,4689 26,3,2024-09-07 10:10:31:714,1,713,1,0,796,8710,713,0 27,0,2024-09-07 10:10:31:724,178922,0.4,179642,0.6,357270,0.4,476330,2.25 27,1,2024-09-07 10:10:31:677,1253423,1253423,0,0,588976799266,6133444742,1242041,9759,1623,381,391683,0 27,2,2024-09-07 10:10:30:870,900537,900472,65,0,40946477,0,5699 27,3,2024-09-07 10:10:31:017,1,713,2,0,564,5943,713,0 28,0,2024-09-07 10:10:31:413,177275,0.4,177312,0.6,354667,0.3,472819,1.75 28,1,2024-09-07 10:10:30:804,1253950,1253950,0,0,589112075340,6144717575,1242473,9049,2428,382,391904,0 28,2,2024-09-07 10:10:31:775,900205,900205,0,0,38207455,0,4060 28,3,2024-09-07 10:10:31:779,1,713,1,0,502,7413,713,0 29,0,2024-09-07 10:10:31:426,184809,0.4,179771,0.6,353104,0.4,481117,1.75 29,1,2024-09-07 10:10:31:605,1257377,1257377,0,0,589915567604,6102694938,1249448,6907,1022,367,391809,0 29,2,2024-09-07 10:10:30:880,900577,900577,0,0,35756761,0,4986 29,3,2024-09-07 10:10:30:985,1,713,14,0,590,7953,713,0 30,0,2024-09-07 10:10:31:457,178434,0.5,173622,0.7,363736,0.5,475061,2.00 30,1,2024-09-07 10:10:30:574,1256050,1256050,0,0,590283590650,6127285192,1246234,8647,1169,380,391672,0 30,2,2024-09-07 10:10:31:273,903531,903531,0,0,34701132,0,4192 30,3,2024-09-07 10:10:30:586,1,713,0,0,519,7284,713,0 31,0,2024-09-07 10:10:31:760,179168,0.5,180184,0.6,359234,0.4,479249,2.00 31,1,2024-09-07 10:10:30:570,1259756,1259756,0,0,591251420025,6071359999,1251821,6666,1269,356,391712,0 31,2,2024-09-07 10:10:31:275,902683,902683,0,0,38069632,0,4470 31,3,2024-09-07 10:10:31:714,1,713,1,0,248,6207,713,0 32,0,2024-09-07 10:10:31:438,177790,0.3,178828,0.5,356443,0.2,474703,1.75 32,1,2024-09-07 10:10:30:805,1255429,1255429,0,0,589247247405,6122869385,1247925,6538,966,381,391646,0 32,2,2024-09-07 10:10:30:943,904131,904131,0,0,33170274,0,3922 32,3,2024-09-07 10:10:31:021,1,713,1,0,304,5879,713,0 33,0,2024-09-07 10:10:31:580,180575,0.3,179999,0.4,360218,0.2,479739,1.50 33,1,2024-09-07 10:10:30:589,1256179,1256179,0,0,589786096444,6104401209,1246548,8273,1358,368,391730,0 33,2,2024-09-07 10:10:30:760,902263,902228,35,0,36843002,0,7012 33,3,2024-09-07 10:10:30:910,1,713,77,0,329,6633,713,0 34,0,2024-09-07 10:10:30:931,179091,0.3,184157,0.5,352201,0.2,475695,1.75 34,1,2024-09-07 10:10:31:055,1259471,1259471,0,0,590325775013,6072893636,1255453,3809,209,366,391637,0 34,2,2024-09-07 10:10:30:770,902736,902736,0,0,34481295,0,4562 34,3,2024-09-07 10:10:31:688,1,713,2,0,541,6070,713,0 35,0,2024-09-07 10:10:30:867,178137,0.3,178662,0.5,358266,0.2,476798,1.75 35,1,2024-09-07 10:10:31:082,1254909,1254909,0,0,588202722841,6100753017,1245967,7389,1553,382,391769,0 35,2,2024-09-07 10:10:31:586,901892,901892,0,0,40150493,0,4055 35,3,2024-09-07 10:10:30:911,1,713,1,0,466,6598,713,0 36,0,2024-09-07 10:10:31:566,178612,0.4,178790,0.6,356922,0.4,475603,2.00 36,1,2024-09-07 10:10:30:592,1253270,1253270,0,0,587512766573,6128746107,1238484,12432,2354,366,391759,0 36,2,2024-09-07 10:10:31:755,904142,904142,0,0,39846872,0,3875 36,3,2024-09-07 10:10:30:876,1,713,0,0,556,8905,713,0 37,0,2024-09-07 10:10:31:438,177185,0.5,177012,0.6,354555,0.4,472869,2.00 37,1,2024-09-07 10:10:30:576,1253471,1253464,0,7,587380251515,6128497373,1239262,11084,3118,365,391770,0 37,2,2024-09-07 10:10:31:145,899942,899927,15,0,39962150,0,5815 37,3,2024-09-07 10:10:31:766,1,713,1,0,888,9581,713,0 38,0,2024-09-07 10:10:31:462,177485,0.5,172109,0.7,359945,0.4,471996,2.00 38,1,2024-09-07 10:10:31:613,1253088,1253088,0,0,587697837462,6137940813,1236244,13538,3306,368,391821,0 38,2,2024-09-07 10:10:30:771,901575,901528,47,0,39887747,0,6710 38,3,2024-09-07 10:10:31:009,1,713,17,0,689,7952,713,0 39,0,2024-09-07 10:10:31:760,182985,0.5,178648,0.7,348944,0.5,475961,2.00 39,1,2024-09-07 10:10:30:718,1250891,1250891,0,0,587918341140,6157107719,1231077,15581,4233,365,391865,0 39,2,2024-09-07 10:10:31:423,901815,901815,0,0,38182065,0,3478 39,3,2024-09-07 10:10:30:713,1,713,1,0,525,7774,713,0 40,0,2024-09-07 10:10:31:492,176488,0.8,177083,0.9,353715,0.9,472221,2.75 40,1,2024-09-07 10:10:30:590,1251589,1251589,0,0,586863705147,6146784874,1232745,15261,3583,366,391668,0 40,2,2024-09-07 10:10:31:305,901965,901958,7,0,44200353,0,5347 40,3,2024-09-07 10:10:31:147,1,713,16,0,1028,9902,713,0 41,0,2024-09-07 10:10:31:036,176400,1.1,180588,1.0,344520,1.4,468018,2.75 41,1,2024-09-07 10:10:30:770,1252212,1252212,0,0,587652606729,6141245911,1236489,13196,2527,369,391878,0 41,2,2024-09-07 10:10:30:759,896836,896835,1,0,43107594,0,5408 41,3,2024-09-07 10:10:31:680,1,713,3,0,749,8496,713,0 42,0,2024-09-07 10:10:31:473,178082,1.0,177937,1.0,356188,1.3,472282,2.75 42,1,2024-09-07 10:10:31:439,1248920,1248920,0,0,585776738378,6144170848,1231311,14525,3084,380,391675,0 42,2,2024-09-07 10:10:31:138,897117,897116,1,0,44576340,0,5513 42,3,2024-09-07 10:10:31:011,1,713,1,0,892,7094,713,0 43,0,2024-09-07 10:10:30:930,177200,0.8,172493,1.0,361548,0.8,472675,2.25 43,1,2024-09-07 10:10:30:589,1252953,1252953,0,0,588613236375,6143002645,1236840,13364,2749,365,391696,0 43,2,2024-09-07 10:10:31:741,904317,904317,0,0,40808087,0,4723 43,3,2024-09-07 10:10:31:755,1,713,2,0,571,9397,713,0 44,0,2024-09-07 10:10:30:882,179953,0.4,180079,0.6,359751,0.3,479351,1.75 44,1,2024-09-07 10:10:30:569,1255867,1255867,0,0,589369252644,6092712099,1244533,9182,2152,356,391809,0 44,2,2024-09-07 10:10:31:280,901728,901728,0,0,34392991,0,4344 44,3,2024-09-07 10:10:31:100,1,713,2,0,1097,9185,713,0 45,0,2024-09-07 10:10:31:786,175522,0.4,171345,0.6,359505,0.4,471638,2.00 45,1,2024-09-07 10:10:31:020,1255160,1255160,0,0,588772916125,6118214220,1245604,8334,1222,382,391917,0 45,2,2024-09-07 10:10:31:272,902428,902428,0,0,34296754,0,3596 45,3,2024-09-07 10:10:30:937,1,713,2,0,531,6641,713,0 46,0,2024-09-07 10:10:30:952,179098,0.5,178350,0.7,357907,0.6,475816,2.00 46,1,2024-09-07 10:10:30:586,1257009,1257009,0,0,589673788611,6098870077,1247728,7798,1483,366,391709,0 46,2,2024-09-07 10:10:30:592,903209,903209,0,0,35287021,0,4443 46,3,2024-09-07 10:10:31:133,1,713,1,0,908,7665,713,0 47,0,2024-09-07 10:10:31:107,180225,0.5,179767,0.6,360884,0.4,478641,2.00 47,1,2024-09-07 10:10:30:574,1258515,1258515,0,0,589753897596,6092785651,1251000,6501,1014,364,391666,0 47,2,2024-09-07 10:10:30:918,905318,905318,0,0,35812195,0,4477 47,3,2024-09-07 10:10:31:117,1,713,1,0,600,7618,713,0 48,0,2024-09-07 10:10:31:509,179188,0.3,179690,0.4,358238,0.2,476925,1.50 48,1,2024-09-07 10:10:31:023,1254601,1254601,0,0,588390524192,6111068194,1246924,6665,1012,381,391710,0 48,2,2024-09-07 10:10:30:710,903004,903004,0,0,32564579,0,3619 48,3,2024-09-07 10:10:30:756,1,713,2,0,339,6023,713,0 49,0,2024-09-07 10:10:31:727,183242,0.3,179441,0.5,349016,0.2,476348,1.75 49,1,2024-09-07 10:10:31:023,1254031,1254031,0,0,588738413766,6118902644,1246158,6175,1698,382,391809,0 49,2,2024-09-07 10:10:31:805,904929,904929,0,0,33965550,0,4426 49,3,2024-09-07 10:10:31:423,1,713,7,0,992,8242,713,0 50,0,2024-09-07 10:10:31:538,178430,0.3,177388,0.5,356865,0.2,474755,1.75 50,1,2024-09-07 10:10:31:020,1257836,1257836,0,0,590725963658,6104834729,1250274,6829,733,368,391691,0 50,2,2024-09-07 10:10:31:071,901359,901359,0,0,32026012,0,4490 50,3,2024-09-07 10:10:31:296,1,713,0,0,617,7239,713,0 51,0,2024-09-07 10:10:31:692,183479,0.3,179583,0.5,349701,0.2,477251,1.75 51,1,2024-09-07 10:10:31:699,1258687,1258687,0,0,591226825964,6093723781,1251983,5359,1345,365,391706,0 51,2,2024-09-07 10:10:31:325,902296,902296,0,0,31671314,0,3337 51,3,2024-09-07 10:10:31:044,1,713,1,0,678,5547,713,0 52,0,2024-09-07 10:10:31:441,179183,0.5,179061,0.6,357888,0.4,475813,2.00 52,1,2024-09-07 10:10:30:587,1252807,1252807,0,0,587851581142,6145649581,1236048,14332,2427,368,391805,0 52,2,2024-09-07 10:10:31:761,897628,897590,38,0,42923652,0,6742 52,3,2024-09-07 10:10:30:684,1,713,18,0,1782,8010,713,0 53,0,2024-09-07 10:10:31:732,177378,0.6,172237,0.8,360390,0.6,471836,2.25 53,1,2024-09-07 10:10:30:771,1251867,1251867,0,0,587341782068,6152382727,1232494,14941,4432,367,391968,0 53,2,2024-09-07 10:10:31:310,905099,904961,138,0,39334644,0,7690 53,3,2024-09-07 10:10:30:714,1,713,5,0,308,6532,713,0 54,0,2024-09-07 10:10:31:614,175948,0.5,176531,0.7,351238,0.4,469310,2.25 54,1,2024-09-07 10:10:30:591,1254310,1254310,0,0,588941861060,6118025188,1242507,10128,1675,366,391810,0 54,2,2024-09-07 10:10:30:879,901056,901024,32,0,42589320,0,6397 54,3,2024-09-07 10:10:30:763,1,713,3,0,676,9049,713,0 55,0,2024-09-07 10:10:31:763,172550,0.7,177725,0.8,360851,0.7,470072,2.50 55,1,2024-09-07 10:10:30:764,1254720,1254720,0,0,588299390283,6112402720,1242685,10546,1489,365,391731,0 55,2,2024-09-07 10:10:30:728,899527,899471,56,0,38915695,0,7239 55,3,2024-09-07 10:10:30:680,1,713,1,0,304,6317,713,0 56,0,2024-09-07 10:10:31:582,182524,1.2,171954,1.1,354587,1.5,474536,2.75 56,1,2024-09-07 10:10:30:593,1246827,1246827,0,0,585696600576,6186414814,1227312,15760,3755,381,391867,0 56,2,2024-09-07 10:10:31:311,900357,900235,122,0,41988130,0,7432 56,3,2024-09-07 10:10:31:062,1,713,1,0,705,8346,713,0 57,0,2024-09-07 10:10:30:937,177256,1.3,177102,1.2,353661,1.7,472704,3.00 57,1,2024-09-07 10:10:30:999,1250645,1250645,0,0,586117352289,6143553374,1234919,13017,2709,366,392097,0 57,2,2024-09-07 10:10:31:328,903371,903371,0,0,44819886,0,4804 57,3,2024-09-07 10:10:31:745,1,713,1,0,455,7519,713,0 58,0,2024-09-07 10:10:30:561,174708,0.8,169690,0.9,355173,1.0,464901,2.50 58,1,2024-09-07 10:10:30:579,1251543,1251540,0,3,587134506999,6151301962,1233165,13973,4402,367,391726,3 58,2,2024-09-07 10:10:31:072,900778,900778,0,0,40631337,0,3483 58,3,2024-09-07 10:10:31:075,1,713,1,0,1043,7613,713,0 59,0,2024-09-07 10:10:31:758,178601,0.9,177507,1.0,355268,1.1,471557,3.00 59,1,2024-09-07 10:10:30:814,1250988,1250988,0,0,586563273240,6155938218,1231486,15664,3838,369,391653,0 59,2,2024-09-07 10:10:30:595,900620,900620,0,0,38802423,0,3727 59,3,2024-09-07 10:10:31:747,1,713,26,0,1015,8361,713,0 60,0,2024-09-07 10:10:31:708,179233,0.5,179544,0.7,358775,0.5,478807,2.00 60,1,2024-09-07 10:10:30:787,1255118,1255118,0,0,588488577222,6126181337,1244176,9436,1506,370,392031,0 60,2,2024-09-07 10:10:31:163,903910,903910,0,0,37099918,0,3811 60,3,2024-09-07 10:10:31:258,1,713,1,0,409,8142,713,0 61,0,2024-09-07 10:10:31:516,179451,0.6,180110,0.7,358805,0.6,478749,2.00 61,1,2024-09-07 10:10:30:771,1251740,1251740,0,0,587484597374,6147918719,1239046,10842,1852,382,392127,0 61,2,2024-09-07 10:10:31:126,903397,903330,67,0,37939218,0,6411 61,3,2024-09-07 10:10:31:691,1,713,1,0,607,8755,713,0 62,0,2024-09-07 10:10:31:766,178436,0.5,183004,0.7,349240,0.5,474623,2.00 62,1,2024-09-07 10:10:31:114,1259741,1259735,0,6,591077219991,6099665684,1252471,6645,619,365,391975,6 62,2,2024-09-07 10:10:31:644,899908,899907,1,0,37639236,0,5555 62,3,2024-09-07 10:10:31:151,1,713,0,0,482,5564,713,0 63,0,2024-09-07 10:10:31:456,180416,0.4,180167,0.6,360983,0.3,480041,1.75 63,1,2024-09-07 10:10:30:807,1255709,1255703,0,6,588502992468,6111016132,1247778,6973,952,381,391800,6 63,2,2024-09-07 10:10:30:762,901158,901158,0,0,36966988,0,4369 63,3,2024-09-07 10:10:31:743,1,713,16,0,667,8110,713,0 64,0,2024-09-07 10:10:31:551,178114,0.5,178065,0.7,355800,0.4,474174,2.00 64,1,2024-09-07 10:10:30:787,1254041,1254041,0,0,588555573408,6123832962,1243817,8194,2030,370,391794,0 64,2,2024-09-07 10:10:31:165,905767,905748,19,0,35443226,0,6121 64,3,2024-09-07 10:10:31:145,1,713,104,0,651,8062,713,0 65,0,2024-09-07 10:10:31:684,177427,0.6,177645,0.7,354351,0.6,473069,2.00 65,1,2024-09-07 10:10:30:858,1252481,1252481,0,0,587377644262,6134290812,1244232,7351,898,381,391901,0 65,2,2024-09-07 10:10:31:696,900316,900316,0,0,42547714,0,3367 65,3,2024-09-07 10:10:31:691,1,713,1,0,782,8244,713,0 66,0,2024-09-07 10:10:31:775,177627,0.5,177144,0.7,354287,0.4,471998,2.00 66,1,2024-09-07 10:10:31:295,1254622,1254622,0,0,588972128826,6126598992,1247110,6638,874,380,391743,0 66,2,2024-09-07 10:10:31:143,906588,906585,3,0,37185676,0,5455 66,3,2024-09-07 10:10:31:080,1,713,1,0,291,6223,713,0 67,0,2024-09-07 10:10:31:429,177803,0.5,177401,0.7,355517,0.4,473117,2.00 67,1,2024-09-07 10:10:30:790,1254166,1254165,0,1,588059718083,6119301322,1245725,7300,1140,380,391787,1 67,2,2024-09-07 10:10:30:596,903858,903843,15,0,37690945,0,6205 67,3,2024-09-07 10:10:31:752,1,713,2,0,595,7402,713,0 68,0,2024-09-07 10:10:30:604,178442,0.6,178213,0.7,354950,0.6,474927,2.00 68,1,2024-09-07 10:10:30:588,1249531,1249531,0,0,585912697406,6159453355,1232794,12462,4275,381,391953,0 68,2,2024-09-07 10:10:31:044,896468,896368,100,0,45587622,0,8578 68,3,2024-09-07 10:10:30:727,1,713,1,0,417,9887,713,0 69,0,2024-09-07 10:10:31:793,178581,0.7,179154,0.8,357484,0.7,475907,2.25 69,1,2024-09-07 10:10:31:018,1249039,1249039,0,0,585758773394,6165251866,1234106,11947,2986,383,391994,0 69,2,2024-09-07 10:10:31:747,898105,898076,29,0,48730991,0,6912 69,3,2024-09-07 10:10:30:769,1,713,34,0,698,10485,713,0 70,0,2024-09-07 10:10:31:533,176235,0.7,176980,0.9,354785,0.6,470851,2.50 70,1,2024-09-07 10:10:30:807,1255972,1255972,0,0,589713450723,6116672069,1246502,8425,1045,366,391725,0 70,2,2024-09-07 10:10:31:333,905009,905009,0,0,39985233,0,4323 70,3,2024-09-07 10:10:30:759,1,713,9,0,854,7753,713,0 71,0,2024-09-07 10:10:31:392,176411,0.8,175920,0.9,352722,0.8,470702,2.50 71,1,2024-09-07 10:10:31:599,1253166,1253166,0,0,587903402806,6128766320,1238725,12655,1786,367,391738,0 71,2,2024-09-07 10:10:31:072,898830,898830,0,0,40441577,0,4352 71,3,2024-09-07 10:10:31:759,1,713,0,0,644,7668,713,0 72,0,2024-09-07 10:10:31:030,185151,0.5,180777,0.7,353329,0.5,479778,2.00 72,1,2024-09-07 10:10:31:066,1251775,1251775,0,0,586564541437,6146077469,1234826,14215,2734,369,391819,0 72,2,2024-09-07 10:10:31:760,898087,898087,0,0,42563020,0,3983 72,3,2024-09-07 10:10:31:755,1,713,4,0,564,9623,713,0 73,0,2024-09-07 10:10:31:115,175043,0.5,179611,0.6,367041,0.4,477764,2.00 73,1,2024-09-07 10:10:30:783,1253424,1253424,0,0,588538730704,6114559398,1243884,8473,1067,367,391858,0 73,2,2024-09-07 10:10:31:761,901894,901893,1,0,44512676,0,5027 73,3,2024-09-07 10:10:30:982,1,713,2,0,1091,9457,713,0 74,0,2024-09-07 10:10:31:321,181004,0.5,185269,0.6,353303,0.4,479742,2.00 74,1,2024-09-07 10:10:30:639,1252552,1252552,0,0,587549980531,6126583762,1240186,10218,2148,381,391762,0 74,2,2024-09-07 10:10:31:007,902427,902427,0,0,38666235,0,4253 74,3,2024-09-07 10:10:31:454,1,713,6,0,522,7992,713,0 75,0,2024-09-07 10:10:31:793,177326,0.5,176331,0.7,353977,0.4,472993,2.00 75,1,2024-09-07 10:10:31:589,1253679,1253679,0,0,587931276941,6122484299,1243789,8895,995,380,391739,0 75,2,2024-09-07 10:10:31:354,899713,899713,0,0,45731178,0,4766 75,3,2024-09-07 10:10:31:077,1,713,1,0,918,9654,713,0 76,0,2024-09-07 10:10:30:627,179307,0.6,178939,0.7,357312,0.6,477452,2.25 76,1,2024-09-07 10:10:30:819,1252578,1252578,0,0,587540052210,6127560888,1243662,7606,1310,382,391790,0 76,2,2024-09-07 10:10:31:063,904259,904256,3,0,38889719,0,5265 76,3,2024-09-07 10:10:31:142,1,713,1,0,249,6578,713,0 77,0,2024-09-07 10:10:31:714,179053,0.6,179296,0.7,358974,0.6,477510,2.00 77,1,2024-09-07 10:10:30:837,1251990,1251990,0,0,587976782681,6137734924,1241703,9197,1090,381,391869,0 77,2,2024-09-07 10:10:31:291,902112,902112,0,0,37492372,0,3890 77,3,2024-09-07 10:10:31:101,1,713,1,0,401,7945,713,0 78,0,2024-09-07 10:10:31:728,179551,0.4,178661,0.6,358893,0.4,475148,2.00 78,1,2024-09-07 10:10:30:610,1252314,1252314,0,0,587080934396,6124803408,1236767,12215,3332,367,391670,0 78,2,2024-09-07 10:10:31:409,903527,903514,13,0,34977240,0,8313 78,3,2024-09-07 10:10:31:141,1,713,0,0,311,5991,713,0 79,0,2024-09-07 10:10:31:352,172109,0.4,176345,0.6,361291,0.3,470687,2.00 79,1,2024-09-07 10:10:30:581,1256788,1256788,0,0,589827564053,6107005535,1246957,8029,1802,367,391682,0 79,2,2024-09-07 10:10:31:073,904485,904485,0,0,33929978,0,4195 79,3,2024-09-07 10:10:30:758,1,713,1,0,418,8194,713,0 80,0,2024-09-07 10:10:31:108,178409,0.5,183132,0.7,349818,0.5,474025,2.00 80,1,2024-09-07 10:10:31:626,1253101,1253101,0,0,588138234492,6121299878,1245098,7419,584,368,392269,0 80,2,2024-09-07 10:10:31:091,904700,904700,0,0,34556832,0,4433 80,3,2024-09-07 10:10:30:585,1,713,1,0,681,8655,713,0 81,0,2024-09-07 10:10:31:549,178849,0.6,183056,0.7,349048,0.6,473731,2.00 81,1,2024-09-07 10:10:31:664,1252164,1252164,0,0,586636291733,6129611349,1242286,8880,998,382,392001,0 81,2,2024-09-07 10:10:31:128,901458,901395,63,0,38456285,0,5932 81,3,2024-09-07 10:10:31:130,1,713,11,0,719,7714,713,0 82,0,2024-09-07 10:10:31:589,179066,0.5,179182,0.7,358948,0.5,476311,2.00 82,1,2024-09-07 10:10:30:608,1253727,1253723,0,4,587450520631,6110187265,1246765,5833,1125,381,391768,4 82,2,2024-09-07 10:10:31:697,904375,904375,0,0,31898114,0,4484 82,3,2024-09-07 10:10:31:806,1,713,8,0,363,6331,713,0 83,0,2024-09-07 10:10:31:523,178151,0.5,177927,0.7,355624,0.5,472510,2.00 83,1,2024-09-07 10:10:30:558,1252894,1252894,0,0,587846976030,6125724821,1243859,8006,1029,382,391709,0 83,2,2024-09-07 10:10:30:772,905558,905533,25,0,34816371,0,5612 83,3,2024-09-07 10:10:30:764,1,713,5,0,1260,8223,713,0 84,0,2024-09-07 10:10:31:777,176212,0.7,176228,0.8,352702,0.6,471136,2.25 84,1,2024-09-07 10:10:31:045,1251578,1251578,0,0,587679667061,6142626347,1237859,11633,2086,367,391967,0 84,2,2024-09-07 10:10:30:584,898533,898123,410,0,49959324,0,17037 84,3,2024-09-07 10:10:31:142,1,713,3,0,908,9726,713,0 85,0,2024-09-07 10:10:31:009,171844,0.7,171798,0.8,364596,0.7,470553,2.25 85,1,2024-09-07 10:10:30:569,1247636,1247636,0,0,586111820317,6178658850,1229820,14883,2933,381,392092,0 85,2,2024-09-07 10:10:30:870,899676,899676,0,0,42392807,0,4255 85,3,2024-09-07 10:10:30:689,1,713,2,0,789,8522,713,0 86,0,2024-09-07 10:10:30:896,178545,0.7,183595,0.8,351541,0.8,475378,2.25 86,1,2024-09-07 10:10:30:832,1250209,1250209,0,0,587340731186,6163185442,1232506,14387,3316,366,392169,0 86,2,2024-09-07 10:10:30:868,901247,901246,1,0,44429606,0,5004 86,3,2024-09-07 10:10:30:588,1,713,4,0,441,9672,713,0 87,0,2024-09-07 10:10:31:285,179429,0.7,178124,0.8,356840,0.8,476327,2.25 87,1,2024-09-07 10:10:30:566,1250490,1250490,0,0,586501726574,6138509278,1235536,12788,2166,366,392076,0 87,2,2024-09-07 10:10:31:078,903050,903044,6,0,41856471,0,6323 87,3,2024-09-07 10:10:31:801,1,713,17,0,473,9904,713,0 88,0,2024-09-07 10:10:31:499,176972,0.4,177361,0.6,354776,0.4,473009,1.75 88,1,2024-09-07 10:10:30:571,1248902,1248902,0,0,586232361277,6138439247,1232036,13722,3144,365,392084,0 88,2,2024-09-07 10:10:30:697,899939,899939,0,0,44010769,0,4465 88,3,2024-09-07 10:10:31:268,1,713,1,0,1080,11204,713,0 89,0,2024-09-07 10:10:31:776,184904,0.5,179549,0.6,353627,0.4,480418,1.75 89,1,2024-09-07 10:10:30:554,1249070,1249070,0,0,586626365332,6163595976,1234369,12418,2283,382,392084,0 89,2,2024-09-07 10:10:31:137,900511,900511,0,0,41390302,0,3173 89,3,2024-09-07 10:10:31:793,1,713,1,0,729,12434,713,0 90,0,2024-09-07 10:10:31:618,174229,0.5,178802,0.6,365119,0.4,476480,2.00 90,1,2024-09-07 10:10:30:590,1251154,1251154,0,0,586710970137,6144391643,1238676,11203,1275,380,391825,0 90,2,2024-09-07 10:10:31:418,898657,898652,5,0,44711856,0,6370 90,3,2024-09-07 10:10:30:933,1,713,10,0,364,9238,713,0 91,0,2024-09-07 10:10:30:938,180123,0.5,174756,0.6,365694,0.4,479695,1.75 91,1,2024-09-07 10:10:30:562,1248035,1248035,0,0,586512924640,6180677589,1229314,14573,4148,381,392047,0 91,2,2024-09-07 10:10:31:333,901826,901826,0,0,40017518,0,4713 91,3,2024-09-07 10:10:30:601,1,713,6,0,231,6585,713,0 92,0,2024-09-07 10:10:31:457,179353,0.4,183540,0.6,349860,0.4,474785,1.75 92,1,2024-09-07 10:10:30:586,1251571,1251571,0,0,587281215621,6132826735,1242022,8235,1314,381,392136,0 92,2,2024-09-07 10:10:31:367,902069,902069,0,0,36455642,0,3906 92,3,2024-09-07 10:10:31:019,1,713,1,0,322,6322,713,0 93,0,2024-09-07 10:10:30:970,180887,0.4,185418,0.6,353942,0.3,479808,1.75 93,1,2024-09-07 10:10:30:895,1252410,1252410,0,0,588242786406,6143693121,1237993,11980,2437,365,392048,0 93,2,2024-09-07 10:10:30:931,901413,901413,0,0,42055706,0,4913 93,3,2024-09-07 10:10:31:419,1,713,2,0,788,8687,713,0 94,0,2024-09-07 10:10:31:666,178131,0.4,179400,0.6,359077,0.4,476497,1.75 94,1,2024-09-07 10:10:30:570,1253998,1253998,0,0,587994675009,6130586063,1245685,7659,654,381,391850,0 94,2,2024-09-07 10:10:30:769,902307,902279,28,0,36570140,0,6179 94,3,2024-09-07 10:10:31:690,1,713,3,0,576,8493,713,0 95,0,2024-09-07 10:10:31:350,178699,0.4,178397,0.5,357036,0.3,475987,1.75 95,1,2024-09-07 10:10:30:863,1254157,1254157,0,0,588629093046,6114753081,1244775,8566,816,365,391852,0 95,2,2024-09-07 10:10:31:027,899824,899824,0,0,36653169,0,3308 95,3,2024-09-07 10:10:31:716,1,713,8,0,718,10575,713,0 96,0,2024-09-07 10:10:31:052,178040,0.3,178387,0.5,356989,0.3,474657,1.75 96,1,2024-09-07 10:10:31:587,1251661,1251661,0,0,588004716949,6133004979,1242718,7565,1378,384,392292,0 96,2,2024-09-07 10:10:31:274,906035,906035,0,0,37029809,0,4225 96,3,2024-09-07 10:10:31:140,1,713,36,0,411,7861,713,0 97,0,2024-09-07 10:10:31:338,177691,0.3,177605,0.5,355887,0.3,473271,1.50 97,1,2024-09-07 10:10:30:763,1254869,1254869,0,0,589041274533,6109691868,1246925,6701,1243,367,392140,0 97,2,2024-09-07 10:10:30:610,902233,902233,0,0,37098669,0,4600 97,3,2024-09-07 10:10:30:570,1,713,0,0,433,8574,713,0 98,0,2024-09-07 10:10:31:712,177919,0.3,178163,0.5,357656,0.3,475256,1.50 98,1,2024-09-07 10:10:30:575,1253187,1253187,0,0,588205837764,6124255871,1245724,6561,902,381,391997,0 98,2,2024-09-07 10:10:30:770,900574,900574,0,0,35352585,0,4336 98,3,2024-09-07 10:10:30:698,1,713,1,0,840,9746,713,0 99,0,2024-09-07 10:10:31:466,179416,0.3,180268,0.5,359255,0.3,478589,1.75 99,1,2024-09-07 10:10:31:764,1254444,1254444,0,0,587908496944,6116781470,1246636,6697,1111,380,392069,0 99,2,2024-09-07 10:10:31:428,901042,901042,0,0,44788742,0,4276 99,3,2024-09-07 10:10:30:588,1,713,3,0,1124,8953,713,0 100,0,2024-09-07 10:10:31:507,177538,0.7,178202,0.9,356164,0.8,474740,2.25 100,1,2024-09-07 10:10:30:553,1247021,1247021,0,0,584712865668,6179997855,1227868,15355,3798,378,391989,0 100,2,2024-09-07 10:10:31:837,900601,900212,389,0,46316994,0,16909 100,3,2024-09-07 10:10:31:742,1,713,15,0,627,10833,713,0 101,0,2024-09-07 10:10:31:696,181263,0.8,176912,0.9,346148,0.8,472674,2.25 101,1,2024-09-07 10:10:30:564,1249333,1249333,0,0,586279932932,6157155649,1232730,13650,2953,368,392018,0 101,2,2024-09-07 10:10:31:764,895149,895110,39,0,47896254,0,5913 101,3,2024-09-07 10:10:30:950,1,713,11,0,1250,10850,713,0 102,0,2024-09-07 10:10:30:978,174407,0.6,179481,0.8,365071,0.6,476460,2.25 102,1,2024-09-07 10:10:31:144,1249520,1249520,0,0,585888489349,6148331350,1233677,13583,2260,369,391984,0 102,2,2024-09-07 10:10:31:745,901514,901460,54,0,39732595,0,6768 102,3,2024-09-07 10:10:31:622,1,713,1,0,466,8160,713,0 103,0,2024-09-07 10:10:31:597,185306,0.7,185317,0.8,349173,0.7,480120,2.25 103,1,2024-09-07 10:10:31:635,1248271,1248271,0,0,585537657615,6176447503,1229347,15295,3629,381,392077,0 103,2,2024-09-07 10:10:30:590,900474,900474,0,0,43265466,0,3766 103,3,2024-09-07 10:10:30:768,1,713,2,0,916,8276,713,0 104,0,2024-09-07 10:10:31:038,178599,0.7,178896,0.9,356995,0.7,476945,2.25 104,1,2024-09-07 10:10:31:612,1250340,1250340,0,0,586124447103,6156403690,1231884,14991,3465,365,392168,0 104,2,2024-09-07 10:10:31:670,900746,900746,0,0,42414460,0,4161 104,3,2024-09-07 10:10:31:420,1,713,1,0,1245,12281,713,0 105,0,2024-09-07 10:10:31:035,175278,0.8,170878,1.0,358393,0.8,470788,2.50 105,1,2024-09-07 10:10:30:563,1252074,1252074,0,0,587294410880,6146699323,1237275,12682,2117,364,392009,0 105,2,2024-09-07 10:10:31:333,899123,899123,0,0,43725350,0,4360 105,3,2024-09-07 10:10:31:313,1,713,3,0,573,10421,713,0 106,0,2024-09-07 10:10:30:968,173680,0.9,178271,1.0,364209,1.1,475676,2.50 106,1,2024-09-07 10:10:31:757,1250837,1250837,0,0,586981797293,6153421534,1234392,14292,2153,368,391914,0 106,2,2024-09-07 10:10:30:766,901302,901302,0,0,40268843,0,3331 106,3,2024-09-07 10:10:30:685,1,713,1,0,1224,9757,713,0 107,0,2024-09-07 10:10:31:107,179143,1.3,179156,1.0,358493,1.8,478488,2.25 107,1,2024-09-07 10:10:30:590,1247597,1247597,0,0,585002849330,6178083238,1228475,16866,2256,381,392234,0 107,2,2024-09-07 10:10:31:311,898299,898298,1,0,44126721,0,5024 107,3,2024-09-07 10:10:31:754,1,713,1,0,733,11100,713,0 108,0,2024-09-07 10:10:31:796,178605,0.4,179473,0.6,357971,0.4,476864,1.75 108,1,2024-09-07 10:10:31:305,1251327,1251327,0,0,587342821176,6130942047,1239813,10248,1266,367,391899,0 108,2,2024-09-07 10:10:31:758,902229,902229,0,0,39333228,0,4432 108,3,2024-09-07 10:10:31:338,1,713,12,0,767,12682,713,0 109,0,2024-09-07 10:10:31:770,178981,0.4,177674,0.6,356120,0.3,475280,1.75 109,1,2024-09-07 10:10:30:619,1249542,1249542,0,0,587045947932,6156253905,1238870,9164,1508,382,392132,0 109,2,2024-09-07 10:10:30:923,901357,901357,0,0,39367550,0,3617 109,3,2024-09-07 10:10:31:140,1,713,0,0,630,8081,713,0 110,0,2024-09-07 10:10:31:786,177915,0.4,173017,0.6,362407,0.3,475835,1.75 110,1,2024-09-07 10:10:31:643,1254716,1254716,0,0,589215556183,6116074119,1245241,7389,2086,368,392045,0 110,2,2024-09-07 10:10:31:307,901783,901783,0,0,38698443,0,4067 110,3,2024-09-07 10:10:30:690,1,713,4,0,722,9474,713,0 111,0,2024-09-07 10:10:31:422,179491,0.4,178651,0.5,356969,0.3,476700,1.75 111,1,2024-09-07 10:10:31:015,1255897,1255897,0,0,589604730203,6120504986,1248629,6760,508,380,391690,0 111,2,2024-09-07 10:10:31:131,901697,901697,0,0,38007874,0,4823 111,3,2024-09-07 10:10:30:916,1,713,3,0,379,7990,713,0 112,0,2024-09-07 10:10:30:916,179831,0.3,179415,0.4,359782,0.2,477660,1.50 112,1,2024-09-07 10:10:30:848,1254531,1254531,0,0,588576319969,6106685928,1246897,6407,1227,380,391624,0 112,2,2024-09-07 10:10:31:136,901223,901222,1,0,35727524,0,5036 112,3,2024-09-07 10:10:30:603,1,713,2,0,282,6947,713,0 113,0,2024-09-07 10:10:30:905,177912,0.3,178369,0.5,356959,0.2,474801,1.50 113,1,2024-09-07 10:10:31:689,1258462,1258462,0,0,590370053943,6087926785,1251540,5971,951,365,391664,0 113,2,2024-09-07 10:10:31:303,908281,908281,0,0,32993060,0,3813 113,3,2024-09-07 10:10:30:692,1,713,1,0,510,7073,713,0 114,0,2024-09-07 10:10:30:883,177814,0.3,179021,0.5,356968,0.2,476811,1.75 114,1,2024-09-07 10:10:30:724,1253756,1253756,0,0,588535292590,6108119558,1244919,7033,1804,381,391565,0 114,2,2024-09-07 10:10:30:881,902768,902767,1,0,35374327,0,5069 114,3,2024-09-07 10:10:31:279,1,713,1,0,415,5708,713,0 115,0,2024-09-07 10:10:30:578,179628,0.3,180007,0.4,358772,0.2,477178,1.50 115,1,2024-09-07 10:10:30:587,1255210,1255210,0,0,588887535005,6114311118,1245648,7768,1794,382,391757,0 115,2,2024-09-07 10:10:31:130,902406,902406,0,0,35332136,0,4382 115,3,2024-09-07 10:10:31:002,1,713,1,0,173,4447,713,0 116,0,2024-09-07 10:10:31:709,178452,0.7,178499,0.9,357593,0.8,477965,2.25 116,1,2024-09-07 10:10:30:814,1248218,1248218,0,0,586397829656,6172621922,1234105,10895,3218,380,392089,0 116,2,2024-09-07 10:10:31:761,901938,901938,0,0,42752618,0,4475 116,3,2024-09-07 10:10:30:913,1,713,1,0,448,8949,713,0 117,0,2024-09-07 10:10:30:998,179143,0.6,178759,0.8,358016,0.6,477775,2.00 117,1,2024-09-07 10:10:31:679,1250696,1250696,0,0,585845282751,6133196252,1236812,12115,1769,369,392429,0 117,2,2024-09-07 10:10:31:128,904834,904834,0,0,39298450,0,4303 117,3,2024-09-07 10:10:31:062,1,713,12,0,490,8578,713,0 118,0,2024-09-07 10:10:31:776,171715,0.5,176418,0.7,359894,0.4,470989,2.00 118,1,2024-09-07 10:10:30:592,1249250,1249250,0,0,585916897443,6152862363,1231729,13673,3848,366,392054,0 118,2,2024-09-07 10:10:31:595,900150,900150,0,0,41839643,0,3161 118,3,2024-09-07 10:10:31:766,1,713,0,0,343,8387,713,0 119,0,2024-09-07 10:10:31:347,179679,0.7,179849,0.8,359368,0.7,478663,2.25 119,1,2024-09-07 10:10:30:552,1250548,1250548,0,0,587437003962,6154791910,1234508,13371,2669,367,391857,0 119,2,2024-09-07 10:10:31:261,901094,901094,0,0,38604220,0,4309 119,3,2024-09-07 10:10:31:332,1,713,12,0,1358,12332,713,0 120,0,2024-09-07 10:10:31:546,178408,0.7,178581,0.9,358243,0.7,477749,2.50 120,1,2024-09-07 10:10:30:870,1251292,1251292,0,0,586302687431,6143823951,1238609,11523,1160,367,392144,0 120,2,2024-09-07 10:10:30:782,901738,901735,3,0,46583647,0,5363 120,3,2024-09-07 10:10:31:305,1,713,3,0,478,9047,713,0 121,0,2024-09-07 10:10:31:723,179610,1.1,178963,1.0,358275,1.4,477439,2.25 121,1,2024-09-07 10:10:31:665,1250427,1250427,0,0,586327587354,6134435421,1236879,11551,1997,366,391924,0 121,2,2024-09-07 10:10:31:130,902023,902023,0,0,42325554,0,4157 121,3,2024-09-07 10:10:30:727,1,713,0,0,387,9334,713,0 122,0,2024-09-07 10:10:31:776,177097,0.7,172370,0.8,360805,0.8,474201,2.00 122,1,2024-09-07 10:10:30:871,1249827,1249827,0,0,586464750705,6147551015,1233530,13718,2579,365,392130,0 122,2,2024-09-07 10:10:31:339,901500,901425,75,0,46438377,0,5989 122,3,2024-09-07 10:10:30:612,1,713,7,0,512,10626,713,0 123,0,2024-09-07 10:10:30:962,178895,0.8,174381,0.8,365697,0.9,477944,2.25 123,1,2024-09-07 10:10:30:565,1251063,1251063,0,0,586502033065,6161918929,1231961,16073,3029,369,392039,0 123,2,2024-09-07 10:10:31:019,900866,900865,1,0,39803145,0,5215 123,3,2024-09-07 10:10:31:141,1,713,18,0,478,7951,713,0 124,0,2024-09-07 10:10:30:929,183865,0.3,183946,0.5,346551,0.3,477398,1.75 124,1,2024-09-07 10:10:31:023,1254392,1254392,0,0,587653008993,6109458401,1244784,8345,1263,365,392178,0 124,2,2024-09-07 10:10:31:019,903983,903930,53,0,37222835,0,6487 124,3,2024-09-07 10:10:30:759,1,713,2,0,490,7285,713,0 125,0,2024-09-07 10:10:31:479,178500,0.4,178427,0.6,357626,0.3,476386,1.75 125,1,2024-09-07 10:10:30:855,1251395,1251395,0,0,587229451118,6134176456,1240334,9474,1587,382,392045,0 125,2,2024-09-07 10:10:31:116,904221,904221,0,0,36638335,0,4534 125,3,2024-09-07 10:10:31:126,1,713,0,0,709,7859,713,0 126,0,2024-09-07 10:10:31:467,178401,0.4,183487,0.5,350682,0.3,475478,1.75 126,1,2024-09-07 10:10:30:569,1255112,1255112,0,0,589293068983,6104323567,1247824,6585,703,365,391987,0 126,2,2024-09-07 10:10:30:614,906004,906004,0,0,38464582,0,4539 126,3,2024-09-07 10:10:30:911,1,713,2,0,268,8045,713,0 127,0,2024-09-07 10:10:31:593,178120,0.3,178430,0.5,355493,0.3,473558,1.75 127,1,2024-09-07 10:10:30:585,1253482,1253482,0,0,587500927617,6111270647,1241016,10689,1777,364,392187,0 127,2,2024-09-07 10:10:30:639,902113,902109,4,0,36345627,0,5305 127,3,2024-09-07 10:10:31:268,1,713,1,0,968,7104,713,0 128,0,2024-09-07 10:10:31:548,179234,0.3,178928,0.4,358337,0.2,476048,1.50 128,1,2024-09-07 10:10:31:625,1253511,1253511,0,0,588222791924,6112773576,1244509,8029,973,367,392423,0 128,2,2024-09-07 10:10:31:391,903097,903097,0,0,33749548,0,3171 128,3,2024-09-07 10:10:30:768,1,713,26,0,1082,10694,713,0 129,0,2024-09-07 10:10:31:003,180737,0.3,179934,0.5,360941,0.3,479397,1.50 129,1,2024-09-07 10:10:30:568,1249562,1249562,0,0,586534027808,6149469261,1236581,10651,2330,379,391962,0 129,2,2024-09-07 10:10:30:692,903402,903398,4,0,36657389,0,5335 129,3,2024-09-07 10:10:30:688,1,713,104,0,506,10183,713,0 130,0,2024-09-07 10:10:31:719,178762,0.4,178590,0.5,357689,0.4,476274,1.75 130,1,2024-09-07 10:10:30:596,1254712,1254712,0,0,588470586289,6108448092,1248849,5454,409,381,391836,0 130,2,2024-09-07 10:10:31:127,905357,905357,0,0,36353806,0,4067 130,3,2024-09-07 10:10:31:303,1,713,8,0,960,8982,713,0 131,0,2024-09-07 10:10:31:953,177446,0.3,177704,0.5,356727,0.3,474247,1.50 131,1,2024-09-07 10:10:31:820,1254156,1254156,0,0,588356168678,6127962316,1245992,6951,1213,381,391865,0 131,2,2024-09-07 10:10:30:577,900855,900855,0,0,34512087,0,3979 131,3,2024-09-07 10:10:31:688,1,713,1,0,392,9063,713,0 132,0,2024-09-07 10:10:31:431,179797,0.5,180788,0.6,359857,0.4,478632,2.00 132,1,2024-09-07 10:10:30:579,1249458,1249458,0,0,585903253139,6159579058,1232940,13735,2783,381,392532,0 132,2,2024-09-07 10:10:30:697,900230,900213,17,0,43103822,0,6451 132,3,2024-09-07 10:10:31:690,1,713,6,0,1298,11939,713,0 133,0,2024-09-07 10:10:31:532,175275,0.5,179328,0.6,367902,0.4,478294,2.00 133,1,2024-09-07 10:10:30:595,1248749,1248749,0,0,586169286239,6167560292,1233287,13526,1936,383,391914,0 133,2,2024-09-07 10:10:31:092,903545,903495,50,0,44178899,0,6861 133,3,2024-09-07 10:10:31:304,1,713,2,0,528,8468,713,0 134,0,2024-09-07 10:10:30:942,180479,0.5,180476,0.7,360447,0.5,480275,2.00 134,1,2024-09-07 10:10:30:590,1250714,1250714,0,0,586020597619,6143615723,1234618,12804,3292,366,391826,0 134,2,2024-09-07 10:10:31:780,902235,902096,139,0,41945769,0,7591 134,3,2024-09-07 10:10:30:750,1,713,1,0,739,8555,713,0 135,0,2024-09-07 10:10:31:097,171718,0.6,171808,0.8,364542,0.7,470193,2.00 135,1,2024-09-07 10:10:31:621,1250154,1250154,0,0,587279659867,6167391636,1235725,12576,1853,380,392038,0 135,2,2024-09-07 10:10:30:691,901850,901850,0,0,42406012,0,4503 135,3,2024-09-07 10:10:31:009,1,713,11,0,900,6712,713,0 136,0,2024-09-07 10:10:31:637,179859,0.6,180399,0.8,359177,0.6,478744,2.00 136,1,2024-09-07 10:10:31:480,1251648,1251648,0,0,587330769588,6149072685,1238801,11419,1428,381,392135,0 136,2,2024-09-07 10:10:31:142,903561,903546,15,0,41427161,0,6007 136,3,2024-09-07 10:10:31:115,1,713,1,0,637,8240,713,0 137,0,2024-09-07 10:10:30:917,184961,0.6,180421,0.7,353267,0.7,480061,2.00 137,1,2024-09-07 10:10:30:590,1250247,1250247,0,0,586777774436,6147683916,1231760,14841,3646,366,391898,0 137,2,2024-09-07 10:10:31:712,900904,900904,0,0,42663131,0,3185 137,3,2024-09-07 10:10:30:769,1,713,1,0,484,9884,713,0 138,0,2024-09-07 10:10:31:748,178015,0.9,178287,0.9,357105,1.1,475168,2.25 138,1,2024-09-07 10:10:31:692,1249942,1249942,0,0,587692981684,6162126076,1232793,14457,2692,368,391954,0 138,2,2024-09-07 10:10:30:598,900070,900070,0,0,41104339,0,4988 138,3,2024-09-07 10:10:30:616,1,713,1,0,1200,10395,713,0 139,0,2024-09-07 10:10:31:361,176933,0.8,177570,0.9,354782,1.1,473707,2.25 139,1,2024-09-07 10:10:30:582,1246386,1246386,0,0,584072647299,6182571385,1226343,16128,3915,380,392109,0 139,2,2024-09-07 10:10:30:705,897099,897069,30,0,46797165,0,5997 139,3,2024-09-07 10:10:31:664,1,713,3,0,432,8287,713,0 140,0,2024-09-07 10:10:31:593,178628,0.3,177944,0.5,356869,0.2,476034,1.75 140,1,2024-09-07 10:10:31:536,1257900,1257900,0,0,590483051119,6091817117,1251090,6005,805,364,391628,0 140,2,2024-09-07 10:10:30:691,901962,901961,1,0,35167689,0,5036 140,3,2024-09-07 10:10:30:782,1,713,2,0,575,7447,713,0 141,0,2024-09-07 10:10:31:699,179337,0.3,184225,0.5,351870,0.3,476356,1.75 141,1,2024-09-07 10:10:30:870,1254534,1254534,0,0,589396287504,6124630984,1244182,8958,1394,379,391614,0 141,2,2024-09-07 10:10:31:686,902434,902423,11,0,37650211,0,5369 141,3,2024-09-07 10:10:31:045,1,713,0,0,391,8209,713,0 142,0,2024-09-07 10:10:31:315,180065,0.3,179262,0.5,359022,0.3,478504,1.50 142,1,2024-09-07 10:10:30:590,1252638,1252638,0,0,588231514361,6136651961,1243453,8290,895,382,392102,0 142,2,2024-09-07 10:10:31:303,901061,901029,32,0,37257183,0,6028 142,3,2024-09-07 10:10:31:754,1,713,22,0,484,7164,713,0 143,0,2024-09-07 10:10:31:387,178102,0.4,177830,0.5,357253,0.3,474686,1.75 143,1,2024-09-07 10:10:30:562,1255923,1255923,0,0,588416391402,6105559647,1247506,7444,973,367,391900,0 143,2,2024-09-07 10:10:30:770,906720,906720,0,0,36946024,0,3123 143,3,2024-09-07 10:10:31:146,1,713,0,0,462,8297,713,0 144,0,2024-09-07 10:10:31:509,172386,0.5,177228,0.7,361143,0.5,472743,2.00 144,1,2024-09-07 10:10:30:591,1249975,1249975,0,0,586783627855,6153158938,1238177,9750,2048,381,391814,0 144,2,2024-09-07 10:10:31:755,901810,901810,0,0,36405382,0,4443 144,3,2024-09-07 10:10:31:752,1,713,2,0,306,7601,713,0 145,0,2024-09-07 10:10:31:361,173013,0.6,173012,0.8,367359,0.5,472333,2.25 145,1,2024-09-07 10:10:30:576,1248880,1248880,0,0,586048028918,6162878038,1232665,13245,2970,381,391879,0 145,2,2024-09-07 10:10:31:445,899563,899481,82,0,42293098,0,7814 145,3,2024-09-07 10:10:30:900,1,713,1,0,622,9438,713,0 146,0,2024-09-07 10:10:31:604,179070,0.7,178209,0.8,358366,0.7,475949,2.25 146,1,2024-09-07 10:10:31:587,1250436,1250436,0,0,587291410043,6170753115,1231267,14238,4931,367,391829,0 146,2,2024-09-07 10:10:31:701,900180,900174,6,0,40532922,0,5151 146,3,2024-09-07 10:10:31:274,1,713,3,0,1520,10966,713,0 147,0,2024-09-07 10:10:31:741,179034,0.6,178884,0.7,357717,0.5,476731,2.00 147,1,2024-09-07 10:10:31:410,1254902,1254902,0,0,588030914183,6110868032,1244936,8864,1102,367,391791,0 147,2,2024-09-07 10:10:31:015,903333,903333,0,0,38340541,0,4531 147,3,2024-09-07 10:10:30:934,1,713,1,0,1626,10547,713,0 0,0,2024-09-07 10:10:41:730,174449,0.7,174501,0.8,370383,0.8,478428,2.00 0,1,2024-09-07 10:10:40:805,1253087,1253087,0,0,588208856349,6154205993,1243186,8923,978,368,391896,0 0,2,2024-09-07 10:10:41:070,904771,904771,0,0,36137618,0,4480 0,3,2024-09-07 10:10:40:973,1,714,1,0,538,10148,714,0 1,0,2024-09-07 10:10:41:798,179649,0.8,178813,0.9,358568,0.9,479825,2.00 1,1,2024-09-07 10:10:40:566,1252179,1252179,0,0,587025548079,6147703581,1240764,9442,1973,370,391859,0 1,2,2024-09-07 10:10:40:651,904878,904878,0,0,35691872,0,3380 1,3,2024-09-07 10:10:41:304,1,714,7,0,269,8350,714,0 2,0,2024-09-07 10:10:41:588,178026,0.5,177820,0.7,355317,0.5,474421,2.00 2,1,2024-09-07 10:10:40:860,1255847,1255847,0,0,588612540548,6118213060,1248911,5974,962,379,391805,0 2,2,2024-09-07 10:10:41:266,903059,903059,0,0,34282857,0,3594 2,3,2024-09-07 10:10:40:699,1,714,3,0,357,6162,714,0 3,0,2024-09-07 10:10:41:746,180082,0.4,179832,0.6,359348,0.4,478491,2.00 3,1,2024-09-07 10:10:41:628,1254051,1254051,0,0,588229256628,6116125474,1245450,7883,718,379,391716,0 3,2,2024-09-07 10:10:41:151,904847,904824,23,0,35144575,0,5851 3,3,2024-09-07 10:10:41:990,1,714,21,0,484,5284,714,0 4,0,2024-09-07 10:10:41:818,173868,0.4,178521,0.5,364561,0.4,476395,1.75 4,1,2024-09-07 10:10:40:602,1249136,1249136,0,0,585927948768,6191544314,1229211,15323,4602,369,391992,0 4,2,2024-09-07 10:10:41:039,900926,900926,0,0,42622357,0,4534 4,3,2024-09-07 10:10:41:037,1,714,13,0,448,9452,714,0 5,0,2024-09-07 10:10:41:398,178881,0.4,178687,0.6,357709,0.4,476458,1.75 5,1,2024-09-07 10:10:40:781,1249902,1249902,0,0,586208860133,6179137287,1232187,13473,4242,367,392005,0 5,2,2024-09-07 10:10:41:831,899270,899237,33,0,42966648,0,7631 5,3,2024-09-07 10:10:41:758,1,714,66,0,457,9407,714,0 6,0,2024-09-07 10:10:40:917,178607,0.4,177814,0.6,356154,0.4,474741,2.00 6,1,2024-09-07 10:10:40:751,1253885,1253885,0,0,588191804917,6136353225,1241700,10499,1686,379,391702,0 6,2,2024-09-07 10:10:41:116,905452,905434,18,0,39732577,0,5535 6,3,2024-09-07 10:10:41:274,1,714,0,0,710,7988,714,0 7,0,2024-09-07 10:10:41:546,177401,0.5,178583,0.6,354129,0.4,472863,2.00 7,1,2024-09-07 10:10:40:854,1250683,1250683,0,0,586884066503,6168829307,1232844,13702,4137,382,391747,0 7,2,2024-09-07 10:10:40:771,901740,901571,169,0,40546409,0,7706 7,3,2024-09-07 10:10:40:852,1,714,2,0,552,8201,714,0 8,0,2024-09-07 10:10:41:345,178952,0.4,178530,0.5,357769,0.4,477044,1.75 8,1,2024-09-07 10:10:41:029,1249832,1249832,0,0,586829696612,6165664321,1230954,14830,4048,366,392853,0 8,2,2024-09-07 10:10:40:818,896932,896930,2,0,45768110,0,5112 8,3,2024-09-07 10:10:40:588,1,714,18,0,772,10667,714,0 9,0,2024-09-07 10:10:41:107,179585,0.4,174355,0.5,364970,0.3,478950,1.75 9,1,2024-09-07 10:10:40:557,1249577,1249577,0,0,587097273645,6186177880,1229843,15383,4351,369,392001,0 9,2,2024-09-07 10:10:41:089,901298,901297,1,0,43717622,0,5281 9,3,2024-09-07 10:10:41:758,1,714,10,0,1273,11177,714,0 10,0,2024-09-07 10:10:41:600,178301,0.3,177887,0.5,356618,0.3,474745,1.75 10,1,2024-09-07 10:10:40:588,1251546,1251546,0,0,586975927993,6156313349,1233398,14413,3735,381,391981,0 10,2,2024-09-07 10:10:40:765,905376,905376,0,0,47009415,0,4713 10,3,2024-09-07 10:10:40:871,1,714,1,0,669,7417,714,0 11,0,2024-09-07 10:10:41:023,177476,0.4,172270,0.6,360669,0.3,475367,1.75 11,1,2024-09-07 10:10:40:571,1253681,1253681,0,0,588204200261,6162076785,1236792,12579,4310,383,391766,0 11,2,2024-09-07 10:10:41:122,901082,901082,0,0,40293176,0,4698 11,3,2024-09-07 10:10:41:303,1,714,1,0,843,8510,714,0 12,0,2024-09-07 10:10:40:959,180775,0.4,180454,0.6,360646,0.4,479349,1.75 12,1,2024-09-07 10:10:40:932,1252940,1252940,0,0,587764329270,6130333091,1243065,8843,1032,368,391960,0 12,2,2024-09-07 10:10:41:557,900029,900029,0,0,40475301,0,4390 12,3,2024-09-07 10:10:41:065,1,714,1,0,386,8664,714,0 13,0,2024-09-07 10:10:41:386,180696,0.4,180871,0.6,361034,0.4,480441,1.75 13,1,2024-09-07 10:10:41:526,1250777,1250777,0,0,586547141712,6171097189,1236589,11104,3084,382,391803,0 13,2,2024-09-07 10:10:40:596,905124,905124,0,0,36743624,0,3287 13,3,2024-09-07 10:10:41:776,1,714,1,0,522,9435,714,0 14,0,2024-09-07 10:10:40:615,179885,0.4,180768,0.6,359687,0.3,478411,1.75 14,1,2024-09-07 10:10:41:565,1259242,1259242,0,0,590556209293,6106968437,1249973,8118,1151,364,391673,0 14,2,2024-09-07 10:10:40:763,904607,904577,30,0,37744781,0,6104 14,3,2024-09-07 10:10:41:120,1,714,1,0,1168,7242,714,0 15,0,2024-09-07 10:10:41:592,177666,0.4,176898,0.6,353874,0.3,472470,1.75 15,1,2024-09-07 10:10:41:614,1254952,1254952,0,0,589521189259,6140894188,1244080,8774,2098,380,391619,0 15,2,2024-09-07 10:10:40:998,904013,904013,0,0,33619971,0,3622 15,3,2024-09-07 10:10:41:408,1,714,1,0,1126,8738,714,0 16,0,2024-09-07 10:10:40:947,179678,0.6,180618,0.8,360321,0.6,479353,2.00 16,1,2024-09-07 10:10:40:601,1254424,1254424,0,0,588746588839,6151590481,1243658,9219,1547,370,392194,0 16,2,2024-09-07 10:10:41:434,902284,902284,0,0,36829262,0,4719 16,3,2024-09-07 10:10:41:142,1,714,29,0,362,8694,714,0 17,0,2024-09-07 10:10:41:770,185338,0.7,180691,0.8,353841,0.7,481002,2.00 17,1,2024-09-07 10:10:40:574,1252833,1252833,0,0,588037578888,6160013867,1241696,9334,1803,368,392075,0 17,2,2024-09-07 10:10:41:676,906875,906874,1,0,38255774,0,5050 17,3,2024-09-07 10:10:40:583,1,714,3,0,518,10014,714,0 18,0,2024-09-07 10:10:40:940,177398,0.6,178583,0.8,355655,0.6,475075,2.25 18,1,2024-09-07 10:10:41:645,1257803,1257803,0,0,589744082463,6114675441,1249613,6757,1433,367,391725,0 18,2,2024-09-07 10:10:41:758,905930,905930,0,0,34409774,0,3541 18,3,2024-09-07 10:10:40:900,1,714,3,0,1059,6390,714,0 19,0,2024-09-07 10:10:41:544,177758,0.6,178192,0.8,355231,0.6,472544,2.00 19,1,2024-09-07 10:10:40:572,1257248,1257248,0,0,590459751514,6114962833,1248388,7697,1163,365,391777,0 19,2,2024-09-07 10:10:41:757,906722,906722,0,0,32155910,0,3988 19,3,2024-09-07 10:10:41:128,1,714,2,0,524,5602,714,0 20,0,2024-09-07 10:10:41:364,178571,0.5,178531,0.6,356804,0.5,476859,2.00 20,1,2024-09-07 10:10:40:587,1252398,1252398,0,0,588145399531,6159351408,1240099,10590,1709,369,391922,0 20,2,2024-09-07 10:10:40:961,903025,903025,0,0,40559919,0,4321 20,3,2024-09-07 10:10:40:626,1,714,0,0,468,11653,714,0 21,0,2024-09-07 10:10:41:150,178993,0.5,179111,0.7,358124,0.5,475599,2.00 21,1,2024-09-07 10:10:41:590,1249954,1249954,0,0,586237972647,6181171977,1231179,14778,3997,368,392016,0 21,2,2024-09-07 10:10:41:073,897211,896734,477,0,51901385,0,17074 21,3,2024-09-07 10:10:41:407,1,714,1,0,713,9788,714,0 22,0,2024-09-07 10:10:41:761,179108,0.5,179720,0.7,359174,0.4,476535,2.00 22,1,2024-09-07 10:10:41:029,1251385,1251385,0,0,587089842356,6170061213,1232265,15514,3606,381,391822,0 22,2,2024-09-07 10:10:40:760,901616,901590,26,0,38512686,0,6328 22,3,2024-09-07 10:10:41:066,1,714,1,0,228,5689,714,0 23,0,2024-09-07 10:10:41:374,177883,0.5,177826,0.6,355555,0.4,473935,2.00 23,1,2024-09-07 10:10:41:003,1252349,1252349,0,0,587401821763,6179520823,1230446,14732,7171,365,391690,0 23,2,2024-09-07 10:10:41:099,906554,906554,0,0,36752923,0,3773 23,3,2024-09-07 10:10:41:757,1,714,1,0,855,9824,714,0 24,0,2024-09-07 10:10:40:875,179205,0.4,178294,0.5,357859,0.3,475861,1.75 24,1,2024-09-07 10:10:40:602,1251217,1251217,0,0,587569255859,6145842480,1239597,9742,1878,367,392269,0 24,2,2024-09-07 10:10:41:070,901887,901884,3,0,43176348,0,6294 24,3,2024-09-07 10:10:41:686,1,714,2,0,468,9264,714,0 25,0,2024-09-07 10:10:41:522,183880,0.4,178608,0.6,351592,0.4,478497,1.75 25,1,2024-09-07 10:10:40:572,1249811,1249811,0,0,586654727286,6193493285,1228670,17138,4003,369,391928,0 25,2,2024-09-07 10:10:41:641,899043,899043,0,0,45220538,0,3978 25,3,2024-09-07 10:10:41:001,1,714,1,0,532,7711,714,0 26,0,2024-09-07 10:10:41:726,178664,0.4,174595,0.6,366263,0.4,477514,2.00 26,1,2024-09-07 10:10:41:548,1253949,1253949,0,0,588034165344,6149642321,1238237,12807,2905,380,391758,0 26,2,2024-09-07 10:10:40:863,903078,903078,0,0,46301037,0,4689 26,3,2024-09-07 10:10:41:719,1,714,1,0,796,8711,714,0 27,0,2024-09-07 10:10:41:731,179030,0.4,179761,0.6,357484,0.4,476681,2.25 27,1,2024-09-07 10:10:41:677,1255243,1255243,0,0,589806864900,6141890546,1243859,9760,1624,381,391683,0 27,2,2024-09-07 10:10:40:868,901743,901678,65,0,40954657,0,5699 27,3,2024-09-07 10:10:41:020,1,714,3,0,564,5946,714,0 28,0,2024-09-07 10:10:41:394,177776,0.4,177758,0.6,355628,0.3,474158,1.75 28,1,2024-09-07 10:10:40:803,1255764,1255764,0,0,589826545500,6152019800,1244286,9050,2428,382,391904,0 28,2,2024-09-07 10:10:41:772,901475,901475,0,0,38224786,0,4060 28,3,2024-09-07 10:10:41:778,1,714,0,0,502,7413,714,0 29,0,2024-09-07 10:10:41:429,184907,0.4,179879,0.6,353305,0.4,481417,1.75 29,1,2024-09-07 10:10:41:570,1259136,1259136,0,0,590919005865,6112892051,1251207,6907,1022,367,391809,0 29,2,2024-09-07 10:10:40:862,901837,901837,0,0,35770971,0,4986 29,3,2024-09-07 10:10:40:969,1,714,9,0,590,7962,714,0 30,0,2024-09-07 10:10:41:464,178563,0.5,173729,0.7,363987,0.5,475315,2.00 30,1,2024-09-07 10:10:40:575,1257832,1257832,0,0,590977085135,6134355177,1248016,8647,1169,380,391672,0 30,2,2024-09-07 10:10:41:275,904883,904883,0,0,34717164,0,4192 30,3,2024-09-07 10:10:40:584,1,714,6,0,519,7290,714,0 31,0,2024-09-07 10:10:41:757,179390,0.5,180383,0.6,359667,0.4,479765,2.00 31,1,2024-09-07 10:10:40:574,1261533,1261533,0,0,592156741432,6080490980,1253598,6666,1269,356,391712,0 31,2,2024-09-07 10:10:41:275,904034,904034,0,0,38095159,0,4470 31,3,2024-09-07 10:10:41:706,1,714,1,0,248,6208,714,0 32,0,2024-09-07 10:10:41:418,178198,0.3,179227,0.5,357293,0.2,475884,1.75 32,1,2024-09-07 10:10:40:825,1257198,1257198,0,0,590067077039,6131412587,1249694,6538,966,381,391646,0 32,2,2024-09-07 10:10:40:945,905135,905135,0,0,33190667,0,3922 32,3,2024-09-07 10:10:41:023,1,714,4,0,304,5883,714,0 33,0,2024-09-07 10:10:41:508,180779,0.3,180202,0.4,360639,0.2,480031,1.50 33,1,2024-09-07 10:10:40:583,1257996,1257996,0,0,590688835412,6113650542,1248365,8273,1358,368,391730,0 33,2,2024-09-07 10:10:40:763,903585,903550,35,0,36876379,0,7012 33,3,2024-09-07 10:10:40:905,1,714,51,0,329,6684,714,0 34,0,2024-09-07 10:10:40:941,179366,0.3,184482,0.5,352818,0.2,476564,1.75 34,1,2024-09-07 10:10:41:054,1261189,1261189,0,0,591212224652,6081907131,1257170,3810,209,366,391637,0 34,2,2024-09-07 10:10:40:776,904127,904127,0,0,34512729,0,4562 34,3,2024-09-07 10:10:41:688,1,714,1,0,541,6071,714,0 35,0,2024-09-07 10:10:40:863,178367,0.3,178865,0.5,358681,0.2,477411,1.75 35,1,2024-09-07 10:10:41:073,1256699,1256699,0,0,589024224728,6109215683,1247757,7389,1553,382,391769,0 35,2,2024-09-07 10:10:41:584,903324,903324,0,0,40203713,0,4055 35,3,2024-09-07 10:10:40:907,1,714,1,0,466,6599,714,0 36,0,2024-09-07 10:10:41:520,178993,0.4,179191,0.6,357816,0.4,476835,2.00 36,1,2024-09-07 10:10:40:583,1255020,1255020,0,0,588508045121,6138826615,1240234,12432,2354,366,391759,0 36,2,2024-09-07 10:10:41:772,904836,904836,0,0,39855042,0,3875 36,3,2024-09-07 10:10:40:864,1,714,1,0,556,8906,714,0 37,0,2024-09-07 10:10:41:406,177485,0.5,177299,0.6,355128,0.4,473562,2.00 37,1,2024-09-07 10:10:40:583,1255193,1255186,0,7,588114068939,6135983759,1240984,11084,3118,365,391770,0 37,2,2024-09-07 10:10:41:142,901376,901361,15,0,39986398,0,5815 37,3,2024-09-07 10:10:41:778,1,714,1,0,888,9582,714,0 38,0,2024-09-07 10:10:41:437,177814,0.5,172374,0.7,360549,0.4,472929,2.00 38,1,2024-09-07 10:10:41:658,1254882,1254882,0,0,588493679126,6146054880,1238038,13538,3306,368,391821,0 38,2,2024-09-07 10:10:40:760,903232,903185,47,0,39910597,0,6710 38,3,2024-09-07 10:10:41:004,1,714,1,0,689,7953,714,0 39,0,2024-09-07 10:10:41:763,183144,0.5,178826,0.7,349277,0.5,476441,2.00 39,1,2024-09-07 10:10:40:719,1252683,1252683,0,0,588696441581,6165002843,1232869,15581,4233,365,391865,0 39,2,2024-09-07 10:10:41:420,902836,902836,0,0,38197813,0,3478 39,3,2024-09-07 10:10:40:713,1,714,1,0,525,7775,714,0 40,0,2024-09-07 10:10:41:490,176783,0.8,177353,0.9,354261,0.9,473153,2.75 40,1,2024-09-07 10:10:40:584,1253379,1253379,0,0,587564612567,6153904633,1234535,15261,3583,366,391668,0 40,2,2024-09-07 10:10:41:305,902991,902984,7,0,44208399,0,5347 40,3,2024-09-07 10:10:41:142,1,714,2,0,1028,9904,714,0 41,0,2024-09-07 10:10:41:041,176855,1.0,181055,1.0,345380,1.4,469181,2.75 41,1,2024-09-07 10:10:40:778,1254063,1254063,0,0,588368702112,6148538517,1238340,13196,2527,369,391878,0 41,2,2024-09-07 10:10:40:764,898397,898396,1,0,43122290,0,5408 41,3,2024-09-07 10:10:41:681,1,714,1,0,749,8497,714,0 42,0,2024-09-07 10:10:41:488,178188,1.0,178044,1.0,356407,1.3,472605,2.75 42,1,2024-09-07 10:10:41:442,1250638,1250638,0,0,586503108783,6151573252,1233027,14527,3084,380,391675,0 42,2,2024-09-07 10:10:41:133,898385,898384,1,0,44587901,0,5513 42,3,2024-09-07 10:10:41:009,1,714,1,0,892,7095,714,0 43,0,2024-09-07 10:10:40:918,177304,0.8,172606,1.0,361791,0.8,473006,2.25 43,1,2024-09-07 10:10:40:581,1254731,1254731,0,0,589427216163,6151241772,1238618,13364,2749,365,391696,0 43,2,2024-09-07 10:10:41:747,905300,905300,0,0,40814868,0,4723 43,3,2024-09-07 10:10:41:749,1,714,25,0,571,9422,714,0 44,0,2024-09-07 10:10:40:871,179990,0.4,180125,0.6,359827,0.3,479351,1.75 44,1,2024-09-07 10:10:40:586,1257646,1257646,0,0,590099622566,6100083773,1246312,9182,2152,356,391809,0 44,2,2024-09-07 10:10:41:273,903101,903101,0,0,34410784,0,4344 44,3,2024-09-07 10:10:41:104,1,714,1,0,1097,9186,714,0 45,0,2024-09-07 10:10:41:760,175918,0.4,171701,0.6,360214,0.4,472564,2.00 45,1,2024-09-07 10:10:41:005,1256892,1256892,0,0,589499703548,6125660992,1247336,8334,1222,382,391917,0 45,2,2024-09-07 10:10:41:274,903642,903642,0,0,34321965,0,3596 45,3,2024-09-07 10:10:40:939,1,714,2,0,531,6643,714,0 46,0,2024-09-07 10:10:40:954,179185,0.5,178449,0.7,358112,0.6,476060,2.00 46,1,2024-09-07 10:10:40:583,1258770,1258770,0,0,590302339018,6105266557,1249489,7798,1483,366,391709,0 46,2,2024-09-07 10:10:40:596,904452,904452,0,0,35301237,0,4443 46,3,2024-09-07 10:10:41:131,1,714,16,0,908,7681,714,0 47,0,2024-09-07 10:10:41:107,180458,0.5,180008,0.6,361322,0.4,479235,2.00 47,1,2024-09-07 10:10:40:571,1260313,1260313,0,0,590439564344,6099752515,1252798,6501,1014,364,391666,0 47,2,2024-09-07 10:10:40:908,906856,906856,0,0,35831151,0,4477 47,3,2024-09-07 10:10:41:120,1,714,8,0,600,7626,714,0 48,0,2024-09-07 10:10:41:539,179496,0.3,179967,0.4,358843,0.2,477821,1.50 48,1,2024-09-07 10:10:41:029,1256262,1256262,0,0,589236284119,6119828542,1248584,6666,1012,381,391710,0 48,2,2024-09-07 10:10:40:703,904466,904466,0,0,32602646,0,3619 48,3,2024-09-07 10:10:40:764,1,714,3,0,339,6026,714,0 49,0,2024-09-07 10:10:41:715,183543,0.3,179762,0.5,349565,0.2,477036,1.75 49,1,2024-09-07 10:10:41:024,1255786,1255786,0,0,589755958579,6129382852,1247911,6177,1698,382,391809,0 49,2,2024-09-07 10:10:41:797,905638,905638,0,0,33984468,0,4426 49,3,2024-09-07 10:10:41:416,1,714,12,0,992,8254,714,0 50,0,2024-09-07 10:10:41:516,178952,0.3,177904,0.5,357949,0.2,476343,1.75 50,1,2024-09-07 10:10:41:023,1259612,1259612,0,0,591588951193,6113679335,1252050,6829,733,368,391691,0 50,2,2024-09-07 10:10:41:067,902844,902844,0,0,32060672,0,4490 50,3,2024-09-07 10:10:41:291,1,714,1,0,617,7240,714,0 51,0,2024-09-07 10:10:41:683,183782,0.3,179894,0.5,350304,0.2,478082,1.75 51,1,2024-09-07 10:10:41:686,1260470,1260470,0,0,592141753707,6103034302,1253766,5359,1345,365,391706,0 51,2,2024-09-07 10:10:41:328,903902,903902,0,0,31710392,0,3337 51,3,2024-09-07 10:10:41:038,1,714,1,0,678,5548,714,0 52,0,2024-09-07 10:10:41:416,179343,0.5,179229,0.6,358216,0.4,476201,2.00 52,1,2024-09-07 10:10:40:670,1254559,1254559,0,0,588602065300,6153264767,1237800,14332,2427,368,391805,0 52,2,2024-09-07 10:10:41:758,898877,898839,38,0,42991974,0,6742 52,3,2024-09-07 10:10:40:677,1,714,1,0,1782,8011,714,0 53,0,2024-09-07 10:10:41:736,177661,0.6,172507,0.8,360898,0.6,472447,2.25 53,1,2024-09-07 10:10:40:775,1253686,1253686,0,0,588094645138,6160064585,1234313,14941,4432,367,391968,0 53,2,2024-09-07 10:10:41:304,906014,905876,138,0,39351152,0,7690 53,3,2024-09-07 10:10:40:699,1,714,1,0,308,6533,714,0 54,0,2024-09-07 10:10:41:619,176339,0.5,176935,0.7,352017,0.4,470294,2.25 54,1,2024-09-07 10:10:40:589,1256044,1256044,0,0,589676012714,6125479613,1244240,10129,1675,366,391810,0 54,2,2024-09-07 10:10:40:865,902654,902622,32,0,42613968,0,6397 54,3,2024-09-07 10:10:40:769,1,714,0,0,676,9049,714,0 55,0,2024-09-07 10:10:41:763,172686,0.7,177914,0.8,361186,0.7,470433,2.50 55,1,2024-09-07 10:10:40:770,1256541,1256541,0,0,589203018609,6121565668,1244506,10546,1489,365,391731,0 55,2,2024-09-07 10:10:40:729,900850,900794,56,0,38933089,0,7239 55,3,2024-09-07 10:10:40:677,1,714,2,0,304,6319,714,0 56,0,2024-09-07 10:10:41:579,182635,1.2,172057,1.1,354785,1.5,474777,2.75 56,1,2024-09-07 10:10:40:575,1248569,1248569,0,0,586523198525,6194873940,1229054,15760,3755,381,391867,0 56,2,2024-09-07 10:10:41:318,901450,901328,122,0,41997363,0,7432 56,3,2024-09-07 10:10:41:070,1,714,1,0,705,8347,714,0 57,0,2024-09-07 10:10:40:973,177350,1.3,177196,1.2,353887,1.7,473026,3.00 57,1,2024-09-07 10:10:41:010,1252495,1252495,0,0,586964814579,6152172319,1236769,13017,2709,366,392097,0 57,2,2024-09-07 10:10:41:317,904675,904675,0,0,44831743,0,4804 57,3,2024-09-07 10:10:41:752,1,714,8,0,455,7527,714,0 58,0,2024-09-07 10:10:40:565,175134,0.8,170116,0.9,356176,1.0,466239,2.50 58,1,2024-09-07 10:10:40:588,1253373,1253370,0,3,588012034992,6160225593,1234994,13973,4403,367,391726,3 58,2,2024-09-07 10:10:41:073,902141,902141,0,0,40641533,0,3483 58,3,2024-09-07 10:10:41:069,1,714,1,0,1043,7614,714,0 59,0,2024-09-07 10:10:41:769,178691,0.9,177618,1.0,355491,1.1,471846,3.00 59,1,2024-09-07 10:10:40:804,1252777,1252777,0,0,587283371372,6163252851,1233275,15664,3838,369,391653,0 59,2,2024-09-07 10:10:40:582,901927,901927,0,0,38813637,0,3727 59,3,2024-09-07 10:10:41:750,1,714,0,0,1015,8361,714,0 60,0,2024-09-07 10:10:41:754,179360,0.5,179652,0.7,359000,0.5,479051,2.00 60,1,2024-09-07 10:10:40:789,1256863,1256863,0,0,589304326861,6134480837,1245921,9436,1506,370,392031,0 60,2,2024-09-07 10:10:41:145,905252,905252,0,0,37116194,0,3811 60,3,2024-09-07 10:10:41:259,1,714,5,0,409,8147,714,0 61,0,2024-09-07 10:10:41:526,179665,0.6,180326,0.7,359253,0.6,479252,2.00 61,1,2024-09-07 10:10:40:780,1253528,1253528,0,0,588400313488,6157259756,1240833,10843,1852,382,392127,0 61,2,2024-09-07 10:10:41:134,904669,904602,67,0,37954462,0,6411 61,3,2024-09-07 10:10:41:687,1,714,6,0,607,8761,714,0 62,0,2024-09-07 10:10:41:727,178863,0.5,183400,0.7,350096,0.5,475789,2.00 62,1,2024-09-07 10:10:41:118,1261510,1261504,0,6,592056258183,6109578635,1254240,6645,619,365,391975,6 62,2,2024-09-07 10:10:41:653,900911,900910,1,0,37655097,0,5555 62,3,2024-09-07 10:10:41:142,1,714,3,0,482,5567,714,0 63,0,2024-09-07 10:10:41:461,180599,0.4,180372,0.6,361371,0.3,480326,1.75 63,1,2024-09-07 10:10:40:806,1257475,1257469,0,6,589333829031,6119473364,1249544,6973,952,381,391800,6 63,2,2024-09-07 10:10:40:770,902557,902557,0,0,36984596,0,4369 63,3,2024-09-07 10:10:41:754,1,714,1,0,667,8111,714,0 64,0,2024-09-07 10:10:41:535,178437,0.5,178374,0.7,356398,0.4,474963,2.00 64,1,2024-09-07 10:10:40:755,1255741,1255741,0,0,589357509395,6132086237,1245517,8194,2030,370,391794,0 64,2,2024-09-07 10:10:41:165,907209,907190,19,0,35507289,0,6121 64,3,2024-09-07 10:10:41:143,1,714,21,0,651,8083,714,0 65,0,2024-09-07 10:10:41:710,177663,0.6,177851,0.7,354777,0.6,473682,2.00 65,1,2024-09-07 10:10:40:887,1254255,1254255,0,0,588104634605,6141849751,1246005,7352,898,381,391901,0 65,2,2024-09-07 10:10:41:693,901735,901735,0,0,42677341,0,3367 65,3,2024-09-07 10:10:41:692,1,714,1,0,782,8245,714,0 66,0,2024-09-07 10:10:41:791,178047,0.5,177577,0.7,355163,0.4,473346,2.00 66,1,2024-09-07 10:10:41:300,1256330,1256330,0,0,589780640736,6134974122,1248818,6638,874,380,391743,0 66,2,2024-09-07 10:10:41:137,907311,907308,3,0,37201366,0,5455 66,3,2024-09-07 10:10:41:310,1,714,132,0,291,6355,714,0 67,0,2024-09-07 10:10:41:421,178092,0.5,177684,0.7,356109,0.4,473831,2.00 67,1,2024-09-07 10:10:41:000,1255835,1255834,0,1,588578231770,6124673475,1247394,7300,1140,380,391787,1 67,2,2024-09-07 10:10:40:584,905309,905294,15,0,37740446,0,6205 67,3,2024-09-07 10:10:41:759,1,714,1,0,595,7403,714,0 68,0,2024-09-07 10:10:40:578,178764,0.6,178520,0.7,355601,0.6,475889,2.00 68,1,2024-09-07 10:10:40:581,1251358,1251358,0,0,586784035707,6168508843,1234621,12462,4275,381,391953,0 68,2,2024-09-07 10:10:41:048,897921,897821,100,0,45617530,0,8578 68,3,2024-09-07 10:10:40:733,1,714,22,0,417,9909,714,0 69,0,2024-09-07 10:10:41:731,178749,0.7,179320,0.8,357835,0.7,476411,2.25 69,1,2024-09-07 10:10:41:024,1250750,1250750,0,0,586318471695,6171182594,1235817,11947,2986,383,391994,0 69,2,2024-09-07 10:10:41:744,899174,899145,29,0,48746392,0,6912 69,3,2024-09-07 10:10:40:785,1,714,1,0,698,10486,714,0 70,0,2024-09-07 10:10:41:535,176562,0.7,177283,0.9,355424,0.6,471747,2.50 70,1,2024-09-07 10:10:40:805,1257723,1257723,0,0,590584725972,6125529232,1248253,8425,1045,366,391725,0 70,2,2024-09-07 10:10:41:330,906123,906123,0,0,39998663,0,4323 70,3,2024-09-07 10:10:40:749,1,714,1,0,854,7754,714,0 71,0,2024-09-07 10:10:41:361,176863,0.7,176336,0.9,353635,0.8,471893,2.50 71,1,2024-09-07 10:10:41:604,1254766,1254766,0,0,588604898588,6135962763,1240325,12655,1786,367,391738,0 71,2,2024-09-07 10:10:41:067,900359,900359,0,0,40471830,0,4352 71,3,2024-09-07 10:10:41:757,1,714,1,0,644,7669,714,0 72,0,2024-09-07 10:10:41:048,185271,0.5,180899,0.7,353543,0.5,480100,2.00 72,1,2024-09-07 10:10:41:022,1253591,1253591,0,0,587457087837,6155154714,1236641,14215,2735,369,391819,0 72,2,2024-09-07 10:10:41:771,899401,899401,0,0,42575628,0,3983 72,3,2024-09-07 10:10:41:770,1,714,5,0,564,9628,714,0 73,0,2024-09-07 10:10:41:185,175162,0.5,179724,0.6,367308,0.4,478119,2.00 73,1,2024-09-07 10:10:40:780,1255176,1255176,0,0,589158194575,6120894880,1245636,8473,1067,367,391858,0 73,2,2024-09-07 10:10:41:739,902874,902873,1,0,44521711,0,5027 73,3,2024-09-07 10:10:40:972,1,714,1,0,1091,9458,714,0 74,0,2024-09-07 10:10:41:321,181041,0.5,185311,0.6,353385,0.4,479742,2.00 74,1,2024-09-07 10:10:40:642,1254367,1254367,0,0,588237532176,6133618250,1242001,10218,2148,381,391762,0 74,2,2024-09-07 10:10:41:001,903795,903795,0,0,38675348,0,4253 74,3,2024-09-07 10:10:41:445,1,714,0,0,522,7992,714,0 75,0,2024-09-07 10:10:41:771,177636,0.5,176691,0.7,354713,0.4,473883,2.00 75,1,2024-09-07 10:10:41:585,1255462,1255462,0,0,588769367023,6131004901,1245572,8895,995,380,391739,0 75,2,2024-09-07 10:10:41:350,901022,901022,0,0,45741406,0,4766 75,3,2024-09-07 10:10:41:069,1,714,1,0,918,9655,714,0 76,0,2024-09-07 10:10:40:583,179395,0.6,179031,0.7,357477,0.6,477689,2.25 76,1,2024-09-07 10:10:40:821,1254336,1254336,0,0,588410815482,6136463218,1245419,7607,1310,382,391790,0 76,2,2024-09-07 10:10:41:064,905546,905543,3,0,38905038,0,5265 76,3,2024-09-07 10:10:41:148,1,714,15,0,249,6593,714,0 77,0,2024-09-07 10:10:41:733,179329,0.6,179561,0.7,359460,0.6,478098,2.00 77,1,2024-09-07 10:10:40:831,1253729,1253729,0,0,588729309323,6145477191,1243440,9197,1092,381,391869,0 77,2,2024-09-07 10:10:41:292,903581,903581,0,0,37540163,0,3890 77,3,2024-09-07 10:10:41:102,1,714,3,0,401,7948,714,0 78,0,2024-09-07 10:10:41:727,179842,0.4,178971,0.6,359509,0.4,476002,2.00 78,1,2024-09-07 10:10:40:611,1254104,1254104,0,0,587992710307,6134075362,1238557,12215,3332,367,391670,0 78,2,2024-09-07 10:10:41:408,904902,904889,13,0,34995295,0,8313 78,3,2024-09-07 10:10:41:143,1,714,3,0,311,5994,714,0 79,0,2024-09-07 10:10:41:347,172423,0.4,176631,0.6,361921,0.3,471382,2.00 79,1,2024-09-07 10:10:40:583,1258544,1258544,0,0,590579576295,6114635473,1248713,8029,1802,367,391682,0 79,2,2024-09-07 10:10:41:070,905197,905197,0,0,33936487,0,4195 79,3,2024-09-07 10:10:40:748,1,714,1,0,418,8195,714,0 80,0,2024-09-07 10:10:41:100,178907,0.5,183658,0.7,350726,0.5,475505,2.00 80,1,2024-09-07 10:10:41:636,1254870,1254870,0,0,588907019221,6129228260,1246865,7421,584,368,392269,0 80,2,2024-09-07 10:10:41:105,906166,906166,0,0,34588026,0,4433 80,3,2024-09-07 10:10:40:579,1,714,1,0,681,8656,714,0 81,0,2024-09-07 10:10:41:604,179209,0.6,183375,0.7,349662,0.6,474658,2.00 81,1,2024-09-07 10:10:41:650,1253828,1253828,0,0,587466467201,6138200763,1243950,8880,998,382,392001,0 81,2,2024-09-07 10:10:41:139,902900,902837,63,0,38504040,0,5932 81,3,2024-09-07 10:10:41:118,1,714,21,0,719,7735,714,0 82,0,2024-09-07 10:10:41:541,179227,0.5,179330,0.7,359258,0.5,476705,2.00 82,1,2024-09-07 10:10:40:588,1255523,1255519,0,4,588416751097,6120141009,1248560,5833,1126,381,391768,4 82,2,2024-09-07 10:10:41:695,905615,905615,0,0,31941308,0,4484 82,3,2024-09-07 10:10:41:757,1,714,1,0,363,6332,714,0 83,0,2024-09-07 10:10:41:520,178418,0.5,178167,0.7,356148,0.5,473136,2.00 83,1,2024-09-07 10:10:40:553,1254574,1254574,0,0,588695069996,6134480343,1245539,8006,1029,382,391709,0 83,2,2024-09-07 10:10:40:770,906480,906455,25,0,34861138,0,5612 83,3,2024-09-07 10:10:40:750,1,714,15,0,1260,8238,714,0 84,0,2024-09-07 10:10:41:772,176622,0.7,176607,0.8,353493,0.6,472160,2.25 84,1,2024-09-07 10:10:41:039,1253324,1253324,0,0,588447185587,6150505784,1239604,11634,2086,367,391967,0 84,2,2024-09-07 10:10:40:638,900046,899636,410,0,49985675,0,17037 84,3,2024-09-07 10:10:41:157,1,714,1,0,908,9727,714,0 85,0,2024-09-07 10:10:41:023,172005,0.7,171976,0.8,364935,0.7,471015,2.25 85,1,2024-09-07 10:10:40:563,1249416,1249416,0,0,587111944386,6188865012,1231600,14883,2933,381,392092,0 85,2,2024-09-07 10:10:40:881,901078,901078,0,0,42412510,0,4255 85,3,2024-09-07 10:10:40:692,1,714,6,0,789,8528,714,0 86,0,2024-09-07 10:10:40:880,178631,0.7,183701,0.8,351731,0.8,475634,2.25 86,1,2024-09-07 10:10:40:833,1252068,1252068,0,0,588066987814,6170647661,1234360,14390,3318,366,392169,0 86,2,2024-09-07 10:10:40:860,902447,902446,1,0,44458166,0,5004 86,3,2024-09-07 10:10:40:588,1,714,17,0,441,9689,714,0 87,0,2024-09-07 10:10:41:322,179522,0.7,178222,0.8,357040,0.8,476657,2.25 87,1,2024-09-07 10:10:40:557,1252217,1252217,0,0,587213971281,6145830503,1237262,12789,2166,366,392076,0 87,2,2024-09-07 10:10:41:072,904351,904345,6,0,41883331,0,6323 87,3,2024-09-07 10:10:41:803,1,714,3,0,473,9907,714,0 88,0,2024-09-07 10:10:41:465,177437,0.4,177837,0.6,355698,0.4,474356,1.75 88,1,2024-09-07 10:10:40:578,1250644,1250644,0,0,587313582458,6149384683,1233778,13722,3144,365,392084,0 88,2,2024-09-07 10:10:40:700,901236,901236,0,0,44021548,0,4465 88,3,2024-09-07 10:10:41:288,1,714,16,0,1080,11220,714,0 89,0,2024-09-07 10:10:41:776,185013,0.5,179643,0.6,353869,0.4,480710,1.75 89,1,2024-09-07 10:10:40:576,1250880,1250880,0,0,587562410422,6173118245,1236178,12419,2283,382,392084,0 89,2,2024-09-07 10:10:41:132,901834,901834,0,0,41400308,0,3173 89,3,2024-09-07 10:10:41:808,1,714,2,0,729,12436,714,0 90,0,2024-09-07 10:10:41:668,174342,0.5,178924,0.6,365351,0.4,476722,2.00 90,1,2024-09-07 10:10:40:607,1252908,1252908,0,0,587308085726,6150536036,1240429,11204,1275,380,391825,0 90,2,2024-09-07 10:10:41:409,899992,899987,5,0,44723332,0,6370 90,3,2024-09-07 10:10:40:944,1,714,13,0,364,9251,714,0 91,0,2024-09-07 10:10:40:951,180346,0.5,174955,0.6,366136,0.4,480216,1.75 91,1,2024-09-07 10:10:40:561,1249832,1249832,0,0,587359604573,6189305942,1231111,14573,4148,381,392047,0 91,2,2024-09-07 10:10:41:336,903214,903214,0,0,40031039,0,4713 91,3,2024-09-07 10:10:40:621,1,714,2,0,231,6587,714,0 92,0,2024-09-07 10:10:41:457,179758,0.4,183911,0.6,350656,0.4,475954,1.75 92,1,2024-09-07 10:10:40:590,1253411,1253411,0,0,588385984816,6144072547,1243860,8237,1314,381,392136,0 92,2,2024-09-07 10:10:41:359,903066,903066,0,0,36466773,0,3906 92,3,2024-09-07 10:10:41:022,1,714,5,0,322,6327,714,0 93,0,2024-09-07 10:10:40:985,181107,0.4,185626,0.6,354403,0.3,480086,1.75 93,1,2024-09-07 10:10:40:811,1254099,1254099,0,0,588943177967,6150867660,1239681,11981,2437,365,392048,0 93,2,2024-09-07 10:10:40:940,902693,902693,0,0,42079072,0,4913 93,3,2024-09-07 10:10:41:412,1,714,2,0,788,8689,714,0 94,0,2024-09-07 10:10:41:625,178403,0.4,179702,0.6,359652,0.4,477268,1.75 94,1,2024-09-07 10:10:40:568,1255749,1255749,0,0,588516281274,6135971170,1247436,7659,654,381,391850,0 94,2,2024-09-07 10:10:40:766,903792,903764,28,0,36593374,0,6179 94,3,2024-09-07 10:10:41:693,1,714,3,0,576,8496,714,0 95,0,2024-09-07 10:10:41:356,178894,0.4,178601,0.5,357476,0.3,476547,1.75 95,1,2024-09-07 10:10:40:863,1255913,1255913,0,0,589648366727,6125058770,1246530,8567,816,365,391852,0 95,2,2024-09-07 10:10:41:054,901152,901152,0,0,36667343,0,3308 95,3,2024-09-07 10:10:41:710,1,714,9,0,718,10584,714,0 96,0,2024-09-07 10:10:41:059,178434,0.3,178835,0.5,357831,0.3,475893,1.75 96,1,2024-09-07 10:10:41:586,1253452,1253452,0,0,588886701986,6142292877,1244509,7565,1378,384,392292,0 96,2,2024-09-07 10:10:41:271,906790,906790,0,0,37052355,0,4225 96,3,2024-09-07 10:10:41:142,1,714,0,0,411,7861,714,0 97,0,2024-09-07 10:10:41:337,177976,0.3,177910,0.5,356501,0.3,473988,1.50 97,1,2024-09-07 10:10:40:765,1256664,1256664,0,0,590052060966,6120000498,1248717,6703,1244,367,392140,0 97,2,2024-09-07 10:10:40:612,903785,903785,0,0,37141172,0,4600 97,3,2024-09-07 10:10:40:571,1,714,10,0,433,8584,714,0 98,0,2024-09-07 10:10:41:716,178261,0.3,178480,0.5,358290,0.3,476218,1.50 98,1,2024-09-07 10:10:40:577,1255012,1255012,0,0,589080462549,6133506135,1247548,6562,902,381,391997,0 98,2,2024-09-07 10:10:40:774,902101,902101,0,0,35393063,0,4336 98,3,2024-09-07 10:10:40:701,1,714,1,0,840,9747,714,0 99,0,2024-09-07 10:10:41:464,179568,0.3,180435,0.5,359578,0.3,479072,1.75 99,1,2024-09-07 10:10:41:724,1256196,1256196,0,0,588716711727,6125132731,1248388,6697,1111,380,392069,0 99,2,2024-09-07 10:10:41:429,902148,902148,0,0,44821059,0,4276 99,3,2024-09-07 10:10:40:595,1,714,2,0,1124,8955,714,0 100,0,2024-09-07 10:10:41:474,177838,0.7,178510,0.9,356805,0.8,475650,2.25 100,1,2024-09-07 10:10:40:556,1248736,1248736,0,0,585519739764,6188325416,1229583,15355,3798,378,391989,0 100,2,2024-09-07 10:10:41:824,901717,901328,389,0,46335360,0,16909 100,3,2024-09-07 10:10:41:736,1,714,8,0,627,10841,714,0 101,0,2024-09-07 10:10:41:707,181719,0.8,177377,0.9,346966,0.8,473749,2.25 101,1,2024-09-07 10:10:40:567,1251146,1251146,0,0,587004719488,6164547144,1234543,13650,2953,368,392018,0 101,2,2024-09-07 10:10:41:784,896600,896561,39,0,47920625,0,5913 101,3,2024-09-07 10:10:40:946,1,714,1,0,1250,10851,714,0 102,0,2024-09-07 10:10:41:005,174526,0.6,179611,0.8,365322,0.6,476799,2.25 102,1,2024-09-07 10:10:41:150,1251296,1251296,0,0,586707315636,6156684108,1235453,13583,2260,369,391984,0 102,2,2024-09-07 10:10:41:754,902759,902705,54,0,39752583,0,6768 102,3,2024-09-07 10:10:41:614,1,714,2,0,466,8162,714,0 103,0,2024-09-07 10:10:41:603,185458,0.7,185447,0.8,349387,0.7,480470,2.25 103,1,2024-09-07 10:10:41:633,1250007,1250007,0,0,586304452615,6184349494,1231083,15295,3629,381,392077,0 103,2,2024-09-07 10:10:40:588,901491,901491,0,0,43280799,0,3766 103,3,2024-09-07 10:10:40:769,1,714,7,0,916,8283,714,0 104,0,2024-09-07 10:10:41:069,178639,0.7,178946,0.9,357073,0.7,476945,2.25 104,1,2024-09-07 10:10:41:605,1252065,1252065,0,0,587024071634,6165521486,1233609,14991,3465,365,392168,0 104,2,2024-09-07 10:10:41:676,901984,901984,0,0,42426064,0,4161 104,3,2024-09-07 10:10:41:416,1,714,1,0,1245,12282,714,0 105,0,2024-09-07 10:10:41:043,175655,0.8,171243,1.0,359176,0.8,471727,2.50 105,1,2024-09-07 10:10:40:561,1253876,1253876,0,0,588141530034,6155296913,1239077,12682,2117,364,392009,0 105,2,2024-09-07 10:10:41:348,900279,900279,0,0,43735614,0,4360 105,3,2024-09-07 10:10:41:304,1,714,0,0,573,10421,714,0 106,0,2024-09-07 10:10:40:959,173773,0.9,178369,1.0,364373,1.1,475910,2.50 106,1,2024-09-07 10:10:41:759,1252525,1252525,0,0,587552403913,6159265805,1236079,14293,2153,368,391914,0 106,2,2024-09-07 10:10:40:762,902440,902440,0,0,40281315,0,3331 106,3,2024-09-07 10:10:40:688,1,714,2,0,1224,9759,714,0 107,0,2024-09-07 10:10:41:116,179397,1.3,179423,1.0,358972,1.8,479058,2.25 107,1,2024-09-07 10:10:40:626,1249272,1249272,0,0,585650962910,6184743482,1230149,16867,2256,381,392234,0 107,2,2024-09-07 10:10:41:306,899800,899799,1,0,44141032,0,5024 107,3,2024-09-07 10:10:41:767,1,714,3,0,733,11103,714,0 108,0,2024-09-07 10:10:41:780,178940,0.4,179796,0.6,358580,0.4,477747,1.75 108,1,2024-09-07 10:10:41:311,1253098,1253098,0,0,588379597095,6141519405,1241581,10250,1267,367,391899,0 108,2,2024-09-07 10:10:41:759,903733,903733,0,0,39354255,0,4432 108,3,2024-09-07 10:10:41:333,1,714,9,0,767,12691,714,0 109,0,2024-09-07 10:10:41:818,179271,0.4,177974,0.6,356735,0.3,475968,1.75 109,1,2024-09-07 10:10:40:598,1251411,1251411,0,0,587913099259,6165166889,1240739,9164,1508,382,392132,0 109,2,2024-09-07 10:10:40:922,902031,902031,0,0,39378290,0,3617 109,3,2024-09-07 10:10:41:142,1,714,6,0,630,8087,714,0 110,0,2024-09-07 10:10:41:787,178419,0.4,173523,0.6,363371,0.3,477310,1.75 110,1,2024-09-07 10:10:41:655,1256485,1256485,0,0,589815870795,6122226327,1247009,7390,2086,368,392045,0 110,2,2024-09-07 10:10:41:305,903228,903228,0,0,38728230,0,4067 110,3,2024-09-07 10:10:40:696,1,714,14,0,722,9488,714,0 111,0,2024-09-07 10:10:41:429,179813,0.4,178962,0.5,357589,0.3,477549,1.75 111,1,2024-09-07 10:10:41:001,1257690,1257690,0,0,590513428384,6129734012,1250422,6760,508,380,391690,0 111,2,2024-09-07 10:10:41:120,903210,903210,0,0,38034841,0,4823 111,3,2024-09-07 10:10:40:916,1,714,1,0,379,7991,714,0 112,0,2024-09-07 10:10:40:945,179985,0.3,179582,0.4,360111,0.2,478064,1.50 112,1,2024-09-07 10:10:40:833,1256329,1256329,0,0,589639844897,6117498895,1248695,6407,1227,380,391624,0 112,2,2024-09-07 10:10:41:142,902440,902439,1,0,35762460,0,5036 112,3,2024-09-07 10:10:40:596,1,714,2,0,282,6949,714,0 113,0,2024-09-07 10:10:40:936,178164,0.3,178624,0.5,357478,0.2,475431,1.50 113,1,2024-09-07 10:10:41:691,1260236,1260236,0,0,591168549368,6096149110,1253313,5972,951,365,391664,0 113,2,2024-09-07 10:10:41:309,909110,909110,0,0,33011546,0,3813 113,3,2024-09-07 10:10:40:689,1,714,6,0,510,7079,714,0 114,0,2024-09-07 10:10:40:878,178221,0.3,179378,0.5,357782,0.2,477826,1.75 114,1,2024-09-07 10:10:40:720,1255487,1255487,0,0,589860065280,6121617010,1246650,7033,1804,381,391565,0 114,2,2024-09-07 10:10:40:872,904353,904352,1,0,35410801,0,5069 114,3,2024-09-07 10:10:41:285,1,714,1,0,415,5709,714,0 115,0,2024-09-07 10:10:40:555,179789,0.3,180187,0.4,359088,0.2,477631,1.50 115,1,2024-09-07 10:10:40:575,1257004,1257004,0,0,589848487061,6124105548,1247442,7768,1794,382,391757,0 115,2,2024-09-07 10:10:41:126,903923,903923,0,0,35384729,0,4382 115,3,2024-09-07 10:10:41:004,1,714,212,0,212,4659,714,0 116,0,2024-09-07 10:10:41:709,178561,0.7,178587,0.9,357785,0.8,478210,2.25 116,1,2024-09-07 10:10:40:810,1249993,1249993,0,0,587269726462,6181556035,1235880,10895,3218,380,392089,0 116,2,2024-09-07 10:10:41:759,903125,903125,0,0,42775839,0,4475 116,3,2024-09-07 10:10:40:916,1,714,0,0,448,8949,714,0 117,0,2024-09-07 10:10:40:962,179244,0.6,178850,0.8,358195,0.6,478094,2.00 117,1,2024-09-07 10:10:41:588,1252443,1252443,0,0,586629889071,6141201138,1238556,12117,1770,369,392429,0 117,2,2024-09-07 10:10:41:119,906082,906082,0,0,39314354,0,4303 117,3,2024-09-07 10:10:41:066,1,714,1,0,490,8579,714,0 118,0,2024-09-07 10:10:41:801,172138,0.5,176902,0.7,360804,0.4,472281,2.00 118,1,2024-09-07 10:10:40:604,1251006,1251006,0,0,586755047308,6161385542,1233485,13673,3848,366,392054,0 118,2,2024-09-07 10:10:41:597,901487,901487,0,0,41859007,0,3161 118,3,2024-09-07 10:10:41:772,1,714,1,0,343,8388,714,0 119,0,2024-09-07 10:10:41:350,179781,0.7,179951,0.8,359586,0.7,478950,2.25 119,1,2024-09-07 10:10:40:551,1252374,1252374,0,0,588125422559,6161856559,1236331,13374,2669,367,391857,0 119,2,2024-09-07 10:10:41:273,902394,902394,0,0,38626632,0,4309 119,3,2024-09-07 10:10:41:330,1,714,2,0,1358,12334,714,0 120,0,2024-09-07 10:10:41:571,178523,0.7,178689,0.9,358532,0.7,477993,2.50 120,1,2024-09-07 10:10:40:862,1253090,1253090,0,0,587171777861,6152664960,1240406,11524,1160,367,392144,0 120,2,2024-09-07 10:10:40:774,903049,903046,3,0,46594948,0,5363 120,3,2024-09-07 10:10:41:299,1,714,1,0,478,9048,714,0 121,0,2024-09-07 10:10:41:711,179804,1.1,179171,1.0,358734,1.4,477947,2.25 121,1,2024-09-07 10:10:41:681,1252168,1252168,0,0,587286186237,6144137523,1238620,11551,1997,366,391924,0 121,2,2024-09-07 10:10:41:134,903338,903338,0,0,42337590,0,4157 121,3,2024-09-07 10:10:40:771,1,714,20,0,387,9354,714,0 122,0,2024-09-07 10:10:41:811,177465,0.7,172777,0.8,361614,0.8,475360,2.00 122,1,2024-09-07 10:10:40:886,1251610,1251610,0,0,587360949039,6156642614,1235313,13718,2579,365,392130,0 122,2,2024-09-07 10:10:41:330,902447,902372,75,0,46447021,0,5989 122,3,2024-09-07 10:10:40:611,1,714,4,0,512,10630,714,0 123,0,2024-09-07 10:10:41:020,179105,0.8,174568,0.8,366145,0.9,478227,2.25 123,1,2024-09-07 10:10:40:580,1252815,1252815,0,0,587094688763,6167973062,1233713,16073,3029,369,392039,0 123,2,2024-09-07 10:10:41:021,902243,902242,1,0,39815537,0,5215 123,3,2024-09-07 10:10:41:131,1,714,0,0,478,7951,714,0 124,0,2024-09-07 10:10:40:920,184154,0.3,184263,0.5,347126,0.3,478190,1.75 124,1,2024-09-07 10:10:41:023,1256098,1256098,0,0,588283632039,6115903585,1246488,8347,1263,365,392178,0 124,2,2024-09-07 10:10:41:009,905443,905390,53,0,37241415,0,6487 124,3,2024-09-07 10:10:40:772,1,714,2,0,490,7287,714,0 125,0,2024-09-07 10:10:41:433,178688,0.4,178659,0.6,358048,0.3,476973,1.75 125,1,2024-09-07 10:10:40:855,1253207,1253207,0,0,588039484948,6142457242,1242146,9474,1587,382,392045,0 125,2,2024-09-07 10:10:41:116,905644,905644,0,0,36654341,0,4534 125,3,2024-09-07 10:10:41:126,1,714,2,0,709,7861,714,0 126,0,2024-09-07 10:10:41:440,178839,0.4,183881,0.5,351501,0.3,476737,1.75 126,1,2024-09-07 10:10:40:557,1256861,1256861,0,0,590071884529,6112238588,1249573,6585,703,365,391987,0 126,2,2024-09-07 10:10:40:611,906724,906724,0,0,38471916,0,4539 126,3,2024-09-07 10:10:40:910,1,714,1,0,268,8046,714,0 127,0,2024-09-07 10:10:41:650,178427,0.3,178731,0.5,356134,0.3,474261,1.75 127,1,2024-09-07 10:10:40:575,1255213,1255213,0,0,588267478124,6119090581,1242747,10689,1777,364,392187,0 127,2,2024-09-07 10:10:40:641,903561,903557,4,0,36370861,0,5305 127,3,2024-09-07 10:10:41:278,1,714,1,0,968,7105,714,0 128,0,2024-09-07 10:10:41:526,179518,0.3,179237,0.4,358966,0.2,476979,1.50 128,1,2024-09-07 10:10:41:628,1255369,1255369,0,0,589220018625,6123058572,1246367,8029,973,367,392423,0 128,2,2024-09-07 10:10:41:384,904568,904568,0,0,33781556,0,3171 128,3,2024-09-07 10:10:40:771,1,714,12,0,1082,10706,714,0 129,0,2024-09-07 10:10:41:011,180895,0.3,180119,0.5,361258,0.3,479876,1.50 129,1,2024-09-07 10:10:40:588,1251318,1251318,0,0,587322438613,6157697235,1238337,10651,2330,379,391962,0 129,2,2024-09-07 10:10:40:699,904480,904476,4,0,36706532,0,5335 129,3,2024-09-07 10:10:40:689,1,714,28,0,506,10211,714,0 130,0,2024-09-07 10:10:41:714,179040,0.4,178857,0.5,358316,0.4,477166,1.75 130,1,2024-09-07 10:10:40:688,1256459,1256459,0,0,589403931542,6118011979,1250596,5454,409,381,391836,0 130,2,2024-09-07 10:10:41:126,906503,906503,0,0,36384312,0,4067 130,3,2024-09-07 10:10:41:292,1,714,6,0,960,8988,714,0 131,0,2024-09-07 10:10:41:940,177922,0.3,178142,0.5,357663,0.3,475372,1.50 131,1,2024-09-07 10:10:41:846,1255955,1255955,0,0,589180264940,6136590584,1247790,6951,1214,381,391865,0 131,2,2024-09-07 10:10:40:575,902248,902248,0,0,34577648,0,3979 131,3,2024-09-07 10:10:41:689,1,714,1,0,392,9064,714,0 132,0,2024-09-07 10:10:41:413,179912,0.5,180883,0.6,360066,0.4,478960,2.00 132,1,2024-09-07 10:10:40:620,1251243,1251243,0,0,586689606994,6167606579,1234725,13735,2783,381,392532,0 132,2,2024-09-07 10:10:40:702,901593,901576,17,0,43137733,0,6451 132,3,2024-09-07 10:10:41:696,1,714,9,0,1298,11948,714,0 133,0,2024-09-07 10:10:41:542,175392,0.5,179446,0.6,368133,0.4,478621,2.00 133,1,2024-09-07 10:10:40:598,1250524,1250524,0,0,586928173301,6175400171,1235062,13526,1936,383,391914,0 133,2,2024-09-07 10:10:41:099,904623,904573,50,0,44197261,0,6861 133,3,2024-09-07 10:10:41:300,1,714,0,0,528,8468,714,0 134,0,2024-09-07 10:10:40:965,180522,0.5,180525,0.7,360541,0.5,480275,2.00 134,1,2024-09-07 10:10:40:589,1252452,1252452,0,0,586686155008,6150404695,1236355,12805,3292,366,391826,0 134,2,2024-09-07 10:10:41:763,903580,903441,139,0,41985769,0,7591 134,3,2024-09-07 10:10:40:750,1,714,1,0,739,8556,714,0 135,0,2024-09-07 10:10:41:151,172080,0.6,172144,0.8,365330,0.7,471118,2.00 135,1,2024-09-07 10:10:41:590,1251938,1251938,0,0,588075847163,6175563343,1237508,12577,1853,380,392038,0 135,2,2024-09-07 10:10:40:693,903071,903071,0,0,42421382,0,4503 135,3,2024-09-07 10:10:41:003,1,714,2,0,900,6714,714,0 136,0,2024-09-07 10:10:41:644,179950,0.6,180501,0.8,359368,0.6,478983,2.00 136,1,2024-09-07 10:10:41:448,1253393,1253393,0,0,588092160857,6156843287,1240545,11420,1428,381,392135,0 136,2,2024-09-07 10:10:41:137,904800,904785,15,0,41436782,0,6007 136,3,2024-09-07 10:10:41:106,1,714,1,0,637,8241,714,0 137,0,2024-09-07 10:10:40:930,185201,0.6,180665,0.7,353749,0.7,480672,2.00 137,1,2024-09-07 10:10:40:581,1251979,1251979,0,0,587594013759,6155963040,1233492,14841,3646,366,391898,0 137,2,2024-09-07 10:10:41:708,902410,902410,0,0,42678134,0,3185 137,3,2024-09-07 10:10:40:771,1,714,2,0,484,9886,714,0 138,0,2024-09-07 10:10:41:791,178322,0.9,178585,0.9,357706,1.1,476059,2.25 138,1,2024-09-07 10:10:41:702,1251790,1251790,0,0,588497740501,6170300763,1234641,14457,2692,368,391954,0 138,2,2024-09-07 10:10:40:596,901621,901621,0,0,41120378,0,4988 138,3,2024-09-07 10:10:40:610,1,714,2,0,1200,10397,714,0 139,0,2024-09-07 10:10:41:373,177214,0.8,177889,0.9,355361,1.1,474409,2.25 139,1,2024-09-07 10:10:40:581,1248204,1248204,0,0,584941822558,6191444354,1228159,16130,3915,380,392109,0 139,2,2024-09-07 10:10:40:700,897836,897806,30,0,46803028,0,5997 139,3,2024-09-07 10:10:41:671,1,714,2,0,432,8289,714,0 140,0,2024-09-07 10:10:41:605,179118,0.3,178390,0.5,357886,0.2,477637,1.75 140,1,2024-09-07 10:10:41:609,1259676,1259676,0,0,591486646228,6102002236,1252865,6006,805,364,391628,0 140,2,2024-09-07 10:10:40:691,903457,903456,1,0,35183455,0,5036 140,3,2024-09-07 10:10:40:770,1,714,1,0,575,7448,714,0 141,0,2024-09-07 10:10:41:698,179641,0.3,184541,0.5,352531,0.3,477189,1.75 141,1,2024-09-07 10:10:40:862,1256333,1256333,0,0,590183537351,6132653962,1245980,8958,1395,379,391614,0 141,2,2024-09-07 10:10:41:687,903975,903964,11,0,37670481,0,5369 141,3,2024-09-07 10:10:41:048,1,714,23,0,391,8232,714,0 142,0,2024-09-07 10:10:41:355,180224,0.3,179399,0.5,359322,0.3,478900,1.50 142,1,2024-09-07 10:10:40:618,1254463,1254463,0,0,589036238018,6144888058,1245278,8290,895,382,392102,0 142,2,2024-09-07 10:10:41:300,902400,902368,32,0,37275425,0,6028 142,3,2024-09-07 10:10:41:753,1,714,9,0,484,7173,714,0 143,0,2024-09-07 10:10:41:374,178372,0.4,178101,0.5,357735,0.3,475298,1.75 143,1,2024-09-07 10:10:40:565,1257710,1257710,0,0,589312261291,6114660740,1249292,7445,973,367,391900,0 143,2,2024-09-07 10:10:40:773,907744,907744,0,0,36962137,0,3123 143,3,2024-09-07 10:10:41:140,1,714,4,0,462,8301,714,0 144,0,2024-09-07 10:10:41:521,172791,0.5,177647,0.7,361968,0.5,473781,2.00 144,1,2024-09-07 10:10:40:617,1251801,1251801,0,0,587533644228,6160893121,1240002,9751,2048,381,391814,0 144,2,2024-09-07 10:10:41:770,903381,903381,0,0,36453434,0,4443 144,3,2024-09-07 10:10:41:748,1,714,2,0,306,7603,714,0 145,0,2024-09-07 10:10:41:362,173176,0.6,173158,0.8,367714,0.5,472815,2.25 145,1,2024-09-07 10:10:40:569,1250686,1250686,0,0,586981629093,6172425671,1234470,13246,2970,381,391879,0 145,2,2024-09-07 10:10:41:430,901040,900958,82,0,42321162,0,7814 145,3,2024-09-07 10:10:40:900,1,714,7,0,622,9445,714,0 146,0,2024-09-07 10:10:41:600,179178,0.7,178290,0.8,358569,0.7,476195,2.25 146,1,2024-09-07 10:10:41:585,1252313,1252313,0,0,588442925249,6182404838,1233144,14238,4931,367,391829,0 146,2,2024-09-07 10:10:41:695,901288,901282,6,0,40542615,0,5151 146,3,2024-09-07 10:10:41:279,1,714,1,0,1520,10967,714,0 147,0,2024-09-07 10:10:41:725,179129,0.6,178978,0.7,357884,0.5,477068,2.00 147,1,2024-09-07 10:10:41:379,1256673,1256673,0,0,588960420651,6120350781,1246707,8864,1102,367,391791,0 147,2,2024-09-07 10:10:41:010,904674,904674,0,0,38358182,0,4531 147,3,2024-09-07 10:10:40:916,1,714,2,0,1626,10549,714,0 0,0,2024-09-07 10:10:51:734,174550,0.7,174589,0.8,370610,0.8,478678,2.00 0,1,2024-09-07 10:10:50:837,1254868,1254868,0,0,589136634806,6163774028,1244967,8923,978,368,391896,0 0,2,2024-09-07 10:10:51:096,906029,906029,0,0,36168832,0,4480 0,3,2024-09-07 10:10:50:984,1,715,13,0,538,10161,715,0 1,0,2024-09-07 10:10:51:761,179839,0.8,179020,0.9,359003,0.9,480333,2.00 1,1,2024-09-07 10:10:50:588,1253900,1253900,0,0,587835092217,6156087933,1242485,9442,1973,370,391859,0 1,2,2024-09-07 10:10:50:649,906080,906080,0,0,35729871,0,3380 1,3,2024-09-07 10:10:51:302,1,715,19,0,269,8369,715,0 2,0,2024-09-07 10:10:51:568,178473,0.5,178221,0.7,356145,0.5,475579,2.00 2,1,2024-09-07 10:10:50:862,1257515,1257515,0,0,589625418904,6128539138,1250578,5975,962,379,391805,0 2,2,2024-09-07 10:10:51:270,904133,904133,0,0,34304903,0,3594 2,3,2024-09-07 10:10:50:690,1,715,17,0,357,6179,715,0 3,0,2024-09-07 10:10:51:745,180252,0.4,179968,0.6,359646,0.4,478806,2.00 3,1,2024-09-07 10:10:51:622,1255681,1255681,0,0,588983381421,6123926516,1247080,7883,718,379,391716,0 3,2,2024-09-07 10:10:51:142,906229,906206,23,0,35212741,0,5851 3,3,2024-09-07 10:10:51:752,1,715,1,0,484,5285,715,0 4,0,2024-09-07 10:10:51:879,174136,0.4,178792,0.5,365128,0.4,477159,1.75 4,1,2024-09-07 10:10:50:592,1250951,1250951,0,0,586756642264,6200113263,1231026,15323,4602,369,391992,0 4,2,2024-09-07 10:10:51:022,902364,902364,0,0,42650622,0,4534 4,3,2024-09-07 10:10:51:037,1,715,69,0,448,9521,715,0 5,0,2024-09-07 10:10:51:380,179090,0.4,178900,0.6,358123,0.4,477044,1.75 5,1,2024-09-07 10:10:50:798,1251718,1251718,0,0,587107642459,6188337405,1234002,13474,4242,367,392005,0 5,2,2024-09-07 10:10:51:831,900731,900698,33,0,43008416,0,7631 5,3,2024-09-07 10:10:51:734,1,715,0,0,457,9407,715,0 6,0,2024-09-07 10:10:50:924,179039,0.4,178259,0.6,357016,0.4,475969,2.00 6,1,2024-09-07 10:10:50:755,1255674,1255674,0,0,588868368117,6143298179,1243488,10500,1686,379,391702,0 6,2,2024-09-07 10:10:51:117,906075,906057,18,0,39739708,0,5535 6,3,2024-09-07 10:10:51:274,1,715,0,0,710,7988,715,0 7,0,2024-09-07 10:10:51:532,177673,0.5,178857,0.6,354717,0.4,473578,2.00 7,1,2024-09-07 10:10:50:853,1252487,1252487,0,0,587746987022,6177738668,1234648,13702,4137,382,391747,0 7,2,2024-09-07 10:10:50:779,903286,903117,169,0,40564193,0,7706 7,3,2024-09-07 10:10:50:856,1,715,2,0,552,8203,715,0 8,0,2024-09-07 10:10:51:374,179278,0.4,178870,0.5,358388,0.4,477980,1.75 8,1,2024-09-07 10:10:51:021,1251598,1251598,0,0,587599036067,6173497367,1232720,14830,4048,366,392853,0 8,2,2024-09-07 10:10:50:808,898507,898505,2,0,45783476,0,5112 8,3,2024-09-07 10:10:50:593,1,715,1,0,772,10668,715,0 9,0,2024-09-07 10:10:51:104,179756,0.4,174541,0.5,365307,0.3,479429,1.75 9,1,2024-09-07 10:10:50:577,1251333,1251333,0,0,588158473901,6196949296,1231599,15383,4351,369,392001,0 9,2,2024-09-07 10:10:51:100,902409,902408,1,0,43728243,0,5281 9,3,2024-09-07 10:10:51:752,1,715,13,0,1273,11190,715,0 10,0,2024-09-07 10:10:51:607,178640,0.3,178194,0.5,357222,0.3,475671,1.75 10,1,2024-09-07 10:10:50:595,1253296,1253296,0,0,587788399666,6164581888,1235148,14413,3735,381,391981,0 10,2,2024-09-07 10:10:50:764,906360,906360,0,0,47017643,0,4713 10,3,2024-09-07 10:10:50:879,1,715,1,0,669,7418,715,0 11,0,2024-09-07 10:10:51:007,177913,0.4,172734,0.6,361574,0.3,476483,1.75 11,1,2024-09-07 10:10:50:579,1255373,1255373,0,0,588836868863,6168548199,1238484,12579,4310,383,391766,0 11,2,2024-09-07 10:10:51:123,902572,902572,0,0,40307862,0,4698 11,3,2024-09-07 10:10:51:298,1,715,1,0,843,8511,715,0 12,0,2024-09-07 10:10:50:951,180885,0.4,180576,0.6,360881,0.4,479662,1.75 12,1,2024-09-07 10:10:51:005,1254659,1254659,0,0,588490035082,6137769470,1244784,8843,1032,368,391960,0 12,2,2024-09-07 10:10:51:559,901421,901421,0,0,40509072,0,4390 12,3,2024-09-07 10:10:51:104,1,715,30,0,386,8694,715,0 13,0,2024-09-07 10:10:51:391,180803,0.4,180995,0.6,361292,0.4,480772,1.75 13,1,2024-09-07 10:10:51:527,1252560,1252560,0,0,587518049112,6181052527,1238369,11107,3084,382,391803,0 13,2,2024-09-07 10:10:50:608,906189,906189,0,0,36759223,0,3287 13,3,2024-09-07 10:10:51:763,1,715,1,0,522,9436,715,0 14,0,2024-09-07 10:10:50:581,179907,0.4,180784,0.6,359718,0.3,478411,1.75 14,1,2024-09-07 10:10:51:561,1261071,1261071,0,0,591338203307,6114904086,1251801,8118,1152,364,391673,0 14,2,2024-09-07 10:10:50:773,905889,905859,30,0,37759949,0,6104 14,3,2024-09-07 10:10:51:119,1,715,0,0,1168,7242,715,0 15,0,2024-09-07 10:10:51:555,178002,0.4,177229,0.6,354543,0.3,473400,1.75 15,1,2024-09-07 10:10:51:618,1256715,1256715,0,0,590373810611,6149639807,1245843,8774,2098,380,391619,0 15,2,2024-09-07 10:10:51:001,905217,905217,0,0,33639951,0,3622 15,3,2024-09-07 10:10:51:406,1,715,1,0,1126,8739,715,0 16,0,2024-09-07 10:10:50:999,179793,0.6,180706,0.8,360504,0.6,479601,2.00 16,1,2024-09-07 10:10:50:592,1256304,1256304,0,0,589725393642,6161707580,1245537,9220,1547,370,392194,0 16,2,2024-09-07 10:10:51:446,903501,903501,0,0,36859710,0,4719 16,3,2024-09-07 10:10:51:153,1,715,1,0,362,8695,715,0 17,0,2024-09-07 10:10:51:759,185573,0.7,180942,0.8,354301,0.7,481575,2.00 17,1,2024-09-07 10:10:50:586,1254597,1254597,0,0,589018891290,6170132026,1243459,9334,1804,368,392075,0 17,2,2024-09-07 10:10:51:665,908356,908355,1,0,38298153,0,5050 17,3,2024-09-07 10:10:50:585,1,715,1,0,518,10015,715,0 18,0,2024-09-07 10:10:50:943,177715,0.6,178949,0.8,356281,0.6,475949,2.25 18,1,2024-09-07 10:10:51:649,1259613,1259613,0,0,590505800758,6122553593,1251423,6757,1433,367,391725,0 18,2,2024-09-07 10:10:51:758,907269,907269,0,0,34467348,0,3541 18,3,2024-09-07 10:10:50:899,1,715,1,0,1059,6391,715,0 19,0,2024-09-07 10:10:51:542,178049,0.6,178451,0.8,355763,0.6,473155,2.00 19,1,2024-09-07 10:10:50:575,1259137,1259137,0,0,591257218884,6123121724,1250277,7697,1163,365,391777,0 19,2,2024-09-07 10:10:51:752,907580,907580,0,0,32172124,0,3988 19,3,2024-09-07 10:10:51:133,1,715,1,0,524,5603,715,0 20,0,2024-09-07 10:10:51:370,179090,0.5,179053,0.6,357882,0.5,478392,2.00 20,1,2024-09-07 10:10:50:626,1254140,1254140,0,0,588936503833,6167464225,1241841,10590,1709,369,391922,0 20,2,2024-09-07 10:10:50:937,904432,904432,0,0,40589820,0,4321 20,3,2024-09-07 10:10:50:596,1,715,14,0,468,11667,715,0 21,0,2024-09-07 10:10:51:142,179300,0.5,179479,0.7,358774,0.5,476444,2.00 21,1,2024-09-07 10:10:51:568,1251700,1251700,0,0,587255256874,6191603829,1232924,14779,3997,368,392016,0 21,2,2024-09-07 10:10:51:076,898705,898228,477,0,51931766,0,17074 21,3,2024-09-07 10:10:51:404,1,715,1,0,713,9789,715,0 22,0,2024-09-07 10:10:51:718,179252,0.5,179875,0.7,359486,0.4,476917,2.00 22,1,2024-09-07 10:10:51:029,1253171,1253171,0,0,587906017504,6178401937,1234050,15515,3606,381,391822,0 22,2,2024-09-07 10:10:50:775,902859,902833,26,0,38533136,0,6328 22,3,2024-09-07 10:10:51:088,1,715,1,0,228,5690,715,0 23,0,2024-09-07 10:10:51:368,178131,0.5,178084,0.6,356045,0.4,474592,2.00 23,1,2024-09-07 10:10:51:004,1254126,1254126,0,0,588409240063,6189736766,1232223,14732,7171,365,391690,0 23,2,2024-09-07 10:10:51:100,907312,907312,0,0,36759489,0,3773 23,3,2024-09-07 10:10:51:757,1,715,1,0,855,9825,715,0 24,0,2024-09-07 10:10:50:857,179621,0.4,178688,0.5,358617,0.3,476866,1.75 24,1,2024-09-07 10:10:50:594,1253073,1253073,0,0,588458133577,6154870232,1241452,9743,1878,367,392269,0 24,2,2024-09-07 10:10:51:105,903498,903495,3,0,43192052,0,6294 24,3,2024-09-07 10:10:51:687,1,715,1,0,468,9265,715,0 25,0,2024-09-07 10:10:51:365,184027,0.4,178772,0.6,351880,0.4,478956,1.75 25,1,2024-09-07 10:10:50:569,1251500,1251500,0,0,587387716111,6200966528,1230358,17139,4003,369,391928,0 25,2,2024-09-07 10:10:51:620,900649,900649,0,0,45238791,0,3978 25,3,2024-09-07 10:10:51:001,1,715,13,0,532,7724,715,0 26,0,2024-09-07 10:10:51:729,178752,0.4,174690,0.6,366463,0.4,477763,2.00 26,1,2024-09-07 10:10:51:544,1255759,1255759,0,0,588562469475,6155058168,1240047,12807,2905,380,391758,0 26,2,2024-09-07 10:10:50:862,904178,904178,0,0,46310875,0,4689 26,3,2024-09-07 10:10:51:721,1,715,1,0,796,8712,715,0 27,0,2024-09-07 10:10:51:728,179143,0.4,179873,0.6,357717,0.4,477002,2.25 27,1,2024-09-07 10:10:51:676,1257062,1257062,0,0,590552435273,6149479938,1245678,9760,1624,381,391683,0 27,2,2024-09-07 10:10:50:881,902844,902779,65,0,40961647,0,5699 27,3,2024-09-07 10:10:51:018,1,715,1,0,564,5947,715,0 28,0,2024-09-07 10:10:51:423,178278,0.4,178236,0.6,356569,0.3,475466,1.75 28,1,2024-09-07 10:10:50:806,1257543,1257543,0,0,590716689561,6161120709,1246065,9050,2428,382,391904,0 28,2,2024-09-07 10:10:51:769,902938,902938,0,0,38244487,0,4060 28,3,2024-09-07 10:10:51:778,1,715,1,0,502,7414,715,0 29,0,2024-09-07 10:10:51:376,185013,0.4,179991,0.6,353534,0.4,481711,1.75 29,1,2024-09-07 10:10:51:571,1260974,1260974,0,0,591871932159,6122591104,1253044,6908,1022,367,391809,0 29,2,2024-09-07 10:10:50:861,903222,903222,0,0,35791060,0,4986 29,3,2024-09-07 10:10:50:963,1,715,1,0,590,7963,715,0 30,0,2024-09-07 10:10:51:462,178672,0.5,173841,0.7,364196,0.5,475576,2.00 30,1,2024-09-07 10:10:50:586,1259558,1259558,0,0,591532045130,6140052573,1249742,8647,1169,380,391672,0 30,2,2024-09-07 10:10:51:275,906064,906064,0,0,34728000,0,4192 30,3,2024-09-07 10:10:50:588,1,715,1,0,519,7291,715,0 31,0,2024-09-07 10:10:51:760,179541,0.4,180564,0.6,360033,0.4,480259,2.00 31,1,2024-09-07 10:10:50:566,1263419,1263419,0,0,592967707741,6088669850,1255484,6666,1269,356,391712,0 31,2,2024-09-07 10:10:51:276,905411,905411,0,0,38112374,0,4470 31,3,2024-09-07 10:10:51:706,1,715,13,0,248,6221,715,0 32,0,2024-09-07 10:10:51:438,178617,0.3,179643,0.5,358131,0.2,477059,1.75 32,1,2024-09-07 10:10:50:807,1258971,1258971,0,0,590788019921,6138825759,1251466,6539,966,381,391646,0 32,2,2024-09-07 10:10:50:936,906218,906218,0,0,33219719,0,3922 32,3,2024-09-07 10:10:51:022,1,715,4,0,304,5887,715,0 33,0,2024-09-07 10:10:51:494,180917,0.3,180329,0.4,360924,0.2,480327,1.50 33,1,2024-09-07 10:10:50:586,1259735,1259735,0,0,591463244957,6121653522,1250104,8273,1358,368,391730,0 33,2,2024-09-07 10:10:50:764,904849,904814,35,0,36908453,0,7012 33,3,2024-09-07 10:10:50:903,1,715,5,0,329,6689,715,0 34,0,2024-09-07 10:10:50:931,179680,0.3,184798,0.5,353347,0.2,477341,1.75 34,1,2024-09-07 10:10:51:060,1263051,1263051,0,0,592292137663,6092835863,1259032,3810,209,366,391637,0 34,2,2024-09-07 10:10:50:773,905478,905478,0,0,34548876,0,4562 34,3,2024-09-07 10:10:51:695,1,715,15,0,541,6086,715,0 35,0,2024-09-07 10:10:50:876,178596,0.3,179094,0.5,359108,0.2,477987,1.75 35,1,2024-09-07 10:10:51:077,1258395,1258395,0,0,589729201029,6116484426,1249453,7389,1553,382,391769,0 35,2,2024-09-07 10:10:51:582,904773,904773,0,0,40266968,0,4055 35,3,2024-09-07 10:10:50:908,1,715,1,0,466,6600,715,0 36,0,2024-09-07 10:10:51:523,179479,0.4,179656,0.6,358730,0.4,478051,2.00 36,1,2024-09-07 10:10:50:590,1256841,1256841,0,0,589317984627,6147110060,1242055,12432,2354,366,391759,0 36,2,2024-09-07 10:10:51:754,905443,905443,0,0,39863960,0,3875 36,3,2024-09-07 10:10:50:864,1,715,1,0,556,8907,715,0 37,0,2024-09-07 10:10:51:373,177782,0.5,177563,0.6,355699,0.4,474269,2.00 37,1,2024-09-07 10:10:50:578,1256992,1256985,0,7,588801838200,6143011957,1242783,11084,3118,365,391770,0 37,2,2024-09-07 10:10:51:141,902909,902894,15,0,40008876,0,5815 37,3,2024-09-07 10:10:51:771,1,715,1,0,888,9583,715,0 38,0,2024-09-07 10:10:51:436,178135,0.5,172742,0.7,361305,0.4,473855,2.00 38,1,2024-09-07 10:10:51:605,1256605,1256605,0,0,589198160552,6153239584,1239761,13538,3306,368,391821,0 38,2,2024-09-07 10:10:50:760,904810,904763,47,0,39929457,0,6710 38,3,2024-09-07 10:10:51:003,1,715,1,0,689,7954,715,0 39,0,2024-09-07 10:10:51:768,183284,0.5,178994,0.7,349632,0.5,476909,2.00 39,1,2024-09-07 10:10:50:724,1254487,1254487,0,0,589477541293,6172938731,1234672,15582,4233,365,391865,0 39,2,2024-09-07 10:10:51:417,903965,903965,0,0,38212445,0,3478 39,3,2024-09-07 10:10:50:713,1,715,1,0,525,7776,715,0 40,0,2024-09-07 10:10:51:500,177142,0.8,177698,0.9,354908,0.9,474068,2.75 40,1,2024-09-07 10:10:50:587,1255160,1255160,0,0,588461994957,6162991410,1236316,15261,3583,366,391668,0 40,2,2024-09-07 10:10:51:308,904005,903998,7,0,44216134,0,5347 40,3,2024-09-07 10:10:51:152,1,715,8,0,1028,9912,715,0 41,0,2024-09-07 10:10:51:044,177269,1.0,181529,1.0,346246,1.3,470351,2.75 41,1,2024-09-07 10:10:50:773,1255881,1255881,0,0,589161996047,6156589213,1240157,13197,2527,369,391878,0 41,2,2024-09-07 10:10:50:762,899942,899941,1,0,43133508,0,5408 41,3,2024-09-07 10:10:51:675,1,715,1,0,749,8498,715,0 42,0,2024-09-07 10:10:51:488,178307,1.0,178176,1.0,356654,1.3,472937,2.75 42,1,2024-09-07 10:10:51:445,1252346,1252346,0,0,587514001001,6161810645,1234735,14527,3084,380,391675,0 42,2,2024-09-07 10:10:51:133,899702,899701,1,0,44601048,0,5513 42,3,2024-09-07 10:10:51:013,1,715,1,0,892,7096,715,0 43,0,2024-09-07 10:10:50:931,177440,0.8,172713,1.0,362047,0.8,473327,2.25 43,1,2024-09-07 10:10:50:581,1256518,1256518,0,0,590024903645,6157332369,1240404,13365,2749,365,391696,0 43,2,2024-09-07 10:10:51:735,906426,906426,0,0,40823234,0,4723 43,3,2024-09-07 10:10:51:749,1,715,1,0,571,9423,715,0 44,0,2024-09-07 10:10:50:871,180008,0.4,180141,0.6,359855,0.3,479351,1.75 44,1,2024-09-07 10:10:50:576,1259413,1259413,0,0,590789481730,6107132815,1248079,9182,2152,356,391809,0 44,2,2024-09-07 10:10:51:277,904461,904461,0,0,34430195,0,4344 44,3,2024-09-07 10:10:51:094,1,715,7,0,1097,9193,715,0 45,0,2024-09-07 10:10:51:761,176259,0.4,172045,0.6,360920,0.4,473494,2.00 45,1,2024-09-07 10:10:51:005,1258652,1258652,0,0,590274980992,6133602530,1249094,8335,1223,382,391917,0 45,2,2024-09-07 10:10:51:275,904953,904953,0,0,34342891,0,3596 45,3,2024-09-07 10:10:50:936,1,715,0,0,531,6643,715,0 46,0,2024-09-07 10:10:50:956,179281,0.5,178546,0.7,358278,0.5,476301,2.00 46,1,2024-09-07 10:10:50:588,1260565,1260565,0,0,591185119648,6114201784,1251284,7798,1483,366,391709,0 46,2,2024-09-07 10:10:50:610,905775,905775,0,0,35315355,0,4443 46,3,2024-09-07 10:10:51:141,1,715,1,0,908,7682,715,0 47,0,2024-09-07 10:10:51:130,180690,0.5,180227,0.6,361782,0.4,479819,2.00 47,1,2024-09-07 10:10:50:578,1262061,1262061,0,0,591239436834,6107869998,1254546,6501,1014,364,391666,0 47,2,2024-09-07 10:10:50:909,908359,908359,0,0,35850688,0,4477 47,3,2024-09-07 10:10:51:116,1,715,2,0,600,7628,715,0 48,0,2024-09-07 10:10:51:503,179812,0.3,180271,0.4,359458,0.2,478707,1.50 48,1,2024-09-07 10:10:51:032,1258141,1258141,0,0,590209552269,6130015927,1250438,6691,1012,381,391710,0 48,2,2024-09-07 10:10:50:704,905797,905797,0,0,32629886,0,3619 48,3,2024-09-07 10:10:50:754,1,715,2,0,339,6028,715,0 49,0,2024-09-07 10:10:51:731,183800,0.3,180048,0.5,350080,0.2,477712,1.75 49,1,2024-09-07 10:10:51:027,1257601,1257601,0,0,590519803025,6137295901,1249725,6178,1698,382,391809,0 49,2,2024-09-07 10:10:51:798,906494,906494,0,0,33998478,0,4426 49,3,2024-09-07 10:10:51:416,1,715,1,0,992,8255,715,0 50,0,2024-09-07 10:10:51:506,179493,0.3,178432,0.5,358999,0.2,477889,1.75 50,1,2024-09-07 10:10:51:010,1261404,1261404,0,0,592426857163,6122351883,1253841,6830,733,368,391691,0 50,2,2024-09-07 10:10:51:109,904262,904262,0,0,32109043,0,4490 50,3,2024-09-07 10:10:51:292,1,715,104,0,617,7344,715,0 51,0,2024-09-07 10:10:51:683,184109,0.3,180229,0.5,350925,0.2,478920,1.75 51,1,2024-09-07 10:10:51:683,1262225,1262225,0,0,592847729888,6110269404,1255521,5359,1345,365,391706,0 51,2,2024-09-07 10:10:51:319,905339,905339,0,0,31749966,0,3337 51,3,2024-09-07 10:10:51:035,1,715,1,0,678,5549,715,0 52,0,2024-09-07 10:10:51:449,179491,0.5,179382,0.6,358520,0.4,476636,2.00 52,1,2024-09-07 10:10:50:585,1256329,1256329,0,0,589494142593,6162478043,1239570,14332,2427,368,391805,0 52,2,2024-09-07 10:10:51:758,900245,900207,38,0,43018685,0,6742 52,3,2024-09-07 10:10:50:683,1,715,9,0,1782,8020,715,0 53,0,2024-09-07 10:10:51:740,177909,0.6,172730,0.8,361409,0.6,473098,2.25 53,1,2024-09-07 10:10:50:773,1255453,1255453,0,0,588963895554,6168924729,1236080,14941,4432,367,391968,0 53,2,2024-09-07 10:10:51:306,906837,906699,138,0,39372410,0,7690 53,3,2024-09-07 10:10:50:698,1,715,1,0,308,6534,715,0 54,0,2024-09-07 10:10:51:648,176721,0.5,177325,0.7,352819,0.4,471313,2.25 54,1,2024-09-07 10:10:50:599,1257766,1257766,0,0,590620285258,6135048126,1245962,10129,1675,366,391810,0 54,2,2024-09-07 10:10:50:875,904127,904095,32,0,42636461,0,6397 54,3,2024-09-07 10:10:50:766,1,715,1,0,676,9050,715,0 55,0,2024-09-07 10:10:51:760,172849,0.7,178103,0.8,361525,0.7,470977,2.50 55,1,2024-09-07 10:10:50:773,1258361,1258361,0,0,589928492054,6128967590,1246324,10548,1489,365,391731,0 55,2,2024-09-07 10:10:50:730,902300,902244,56,0,38955255,0,7239 55,3,2024-09-07 10:10:50:676,1,715,1,0,304,6320,715,0 56,0,2024-09-07 10:10:51:594,182742,1.2,172152,1.1,354970,1.5,475029,2.75 56,1,2024-09-07 10:10:50:578,1250337,1250337,0,0,587241733676,6202271498,1230821,15761,3755,381,391867,0 56,2,2024-09-07 10:10:51:309,902680,902558,122,0,42008581,0,7432 56,3,2024-09-07 10:10:51:075,1,715,1,0,705,8348,715,0 57,0,2024-09-07 10:10:51:002,177461,1.3,177314,1.2,354077,1.7,473357,3.00 57,1,2024-09-07 10:10:51:000,1254279,1254279,0,0,587687252155,6159558209,1238551,13018,2710,366,392097,0 57,2,2024-09-07 10:10:51:334,905822,905822,0,0,44842102,0,4804 57,3,2024-09-07 10:10:51:738,1,715,1,0,455,7528,715,0 58,0,2024-09-07 10:10:50:566,175630,0.8,170592,0.9,357197,1.0,467573,2.50 58,1,2024-09-07 10:10:50:577,1255142,1255139,0,3,588961447032,6169843626,1236762,13974,4403,367,391726,3 58,2,2024-09-07 10:10:51:103,903490,903490,0,0,40651188,0,3483 58,3,2024-09-07 10:10:51:086,1,715,0,0,1043,7614,715,0 59,0,2024-09-07 10:10:51:737,178794,0.9,177736,1.0,355743,1.1,472158,3.00 59,1,2024-09-07 10:10:50:859,1254570,1254570,0,0,588271437920,6173239750,1235068,15664,3838,369,391653,0 59,2,2024-09-07 10:10:50:586,903261,903261,0,0,38824202,0,3727 59,3,2024-09-07 10:10:51:736,1,715,1,0,1015,8362,715,0 60,0,2024-09-07 10:10:51:726,179441,0.5,179755,0.7,359221,0.5,479295,2.00 60,1,2024-09-07 10:10:50:779,1258615,1258615,0,0,589973149669,6141346039,1247672,9437,1506,370,392031,0 60,2,2024-09-07 10:10:51:150,906457,906457,0,0,37135549,0,3811 60,3,2024-09-07 10:10:51:275,1,715,2,0,409,8149,715,0 61,0,2024-09-07 10:10:51:507,179883,0.6,180526,0.7,359644,0.6,479776,2.00 61,1,2024-09-07 10:10:50:778,1255320,1255320,0,0,589410912195,6167595066,1242625,10843,1852,382,392127,0 61,2,2024-09-07 10:10:51:121,905975,905908,67,0,37976405,0,6411 61,3,2024-09-07 10:10:51:689,1,715,4,0,607,8765,715,0 62,0,2024-09-07 10:10:51:714,179294,0.5,183802,0.7,350891,0.5,476931,2.00 62,1,2024-09-07 10:10:51:111,1263329,1263323,0,6,592841283951,6117589377,1256059,6645,619,365,391975,6 62,2,2024-09-07 10:10:51:648,901982,901981,1,0,37665960,0,5555 62,3,2024-09-07 10:10:51:142,1,715,1,0,482,5568,715,0 63,0,2024-09-07 10:10:51:463,180739,0.4,180515,0.6,361667,0.3,480620,1.75 63,1,2024-09-07 10:10:50:810,1259152,1259146,0,6,589976826605,6126030316,1251221,6973,952,381,391800,6 63,2,2024-09-07 10:10:50:766,903888,903888,0,0,37021662,0,4369 63,3,2024-09-07 10:10:51:731,1,715,1,0,667,8112,715,0 64,0,2024-09-07 10:10:51:533,178725,0.5,178672,0.7,356959,0.4,475757,2.00 64,1,2024-09-07 10:10:50:762,1257487,1257487,0,0,590232663086,6141112679,1247261,8196,2030,370,391794,0 64,2,2024-09-07 10:10:51:146,908697,908678,19,0,35554125,0,6121 64,3,2024-09-07 10:10:51:145,1,715,1,0,651,8084,715,0 65,0,2024-09-07 10:10:51:714,177883,0.6,178053,0.7,355202,0.6,474239,2.00 65,1,2024-09-07 10:10:50:863,1256050,1256050,0,0,588849877205,6149542846,1247800,7352,898,381,391901,0 65,2,2024-09-07 10:10:51:703,903299,903299,0,0,42733531,0,3367 65,3,2024-09-07 10:10:51:690,1,715,5,0,782,8250,715,0 66,0,2024-09-07 10:10:51:762,178501,0.5,178012,0.7,356032,0.5,474745,2.00 66,1,2024-09-07 10:10:51:293,1258061,1258061,0,0,590459346759,6142009192,1250549,6638,874,380,391743,0 66,2,2024-09-07 10:10:51:131,908079,908076,3,0,37221061,0,5455 66,3,2024-09-07 10:10:51:085,1,715,1,0,291,6356,715,0 67,0,2024-09-07 10:10:51:418,178362,0.5,177941,0.7,356677,0.4,474569,2.00 67,1,2024-09-07 10:10:50:775,1257557,1257556,0,1,589555564714,6134675070,1249116,7300,1140,380,391787,1 67,2,2024-09-07 10:10:50:590,906778,906763,15,0,37790677,0,6205 67,3,2024-09-07 10:10:51:761,1,715,14,0,595,7417,715,0 68,0,2024-09-07 10:10:50:591,179118,0.6,178856,0.7,356243,0.6,476788,2.00 68,1,2024-09-07 10:10:50:588,1253114,1253114,0,0,587742647985,6178309044,1236377,12462,4275,381,391953,0 68,2,2024-09-07 10:10:51:074,899559,899459,100,0,45641852,0,8578 68,3,2024-09-07 10:10:50:729,1,715,11,0,417,9920,715,0 69,0,2024-09-07 10:10:51:737,178923,0.7,179493,0.8,358190,0.7,476885,2.25 69,1,2024-09-07 10:10:51:044,1252553,1252553,0,0,587167498126,6179904545,1237620,11947,2986,383,391994,0 69,2,2024-09-07 10:10:51:738,900189,900160,29,0,48779393,0,6912 69,3,2024-09-07 10:10:50:764,1,715,1,0,698,10487,715,0 70,0,2024-09-07 10:10:51:548,176841,0.7,177632,0.9,356063,0.6,472622,2.50 70,1,2024-09-07 10:10:50:802,1259530,1259530,0,0,591554474670,6135352342,1250060,8425,1045,366,391725,0 70,2,2024-09-07 10:10:51:325,907093,907093,0,0,40022673,0,4323 70,3,2024-09-07 10:10:50:763,1,715,1,0,854,7755,715,0 71,0,2024-09-07 10:10:51:379,177319,0.7,176786,0.9,354534,0.8,473040,2.50 71,1,2024-09-07 10:10:51:600,1256626,1256626,0,0,589358482372,6143671798,1242185,12655,1786,367,391738,0 71,2,2024-09-07 10:10:51:103,901823,901823,0,0,40492160,0,4352 71,3,2024-09-07 10:10:51:750,1,715,1,0,644,7670,715,0 72,0,2024-09-07 10:10:51:089,185389,0.5,181040,0.7,353792,0.5,480422,2.00 72,1,2024-09-07 10:10:51:037,1255329,1255329,0,0,588243174094,6163157881,1238379,14215,2735,369,391819,0 72,2,2024-09-07 10:10:51:769,900668,900668,0,0,42586084,0,3983 72,3,2024-09-07 10:10:51:754,1,715,6,0,564,9634,715,0 73,0,2024-09-07 10:10:51:206,175295,0.5,179852,0.6,367563,0.4,478448,2.00 73,1,2024-09-07 10:10:50:782,1257025,1257025,0,0,590040318000,6129842337,1247485,8473,1067,367,391858,0 73,2,2024-09-07 10:10:51:739,904109,904108,1,0,44536162,0,5027 73,3,2024-09-07 10:10:51:000,1,715,7,0,1091,9465,715,0 74,0,2024-09-07 10:10:51:321,181060,0.5,185329,0.6,353417,0.4,479742,2.00 74,1,2024-09-07 10:10:50:635,1256098,1256098,0,0,589024092851,6141625943,1243732,10218,2148,381,391762,0 74,2,2024-09-07 10:10:51:005,905071,905071,0,0,38686887,0,4253 74,3,2024-09-07 10:10:51:446,1,715,2,0,522,7994,715,0 75,0,2024-09-07 10:10:51:769,177999,0.5,177032,0.7,355368,0.4,474818,2.00 75,1,2024-09-07 10:10:51:585,1257275,1257275,0,0,590012737802,6143570165,1247385,8895,995,380,391739,0 75,2,2024-09-07 10:10:51:356,902400,902400,0,0,45753577,0,4766 75,3,2024-09-07 10:10:51:070,1,715,0,0,918,9655,715,0 76,0,2024-09-07 10:10:50:630,179499,0.6,179123,0.7,357654,0.6,477924,2.25 76,1,2024-09-07 10:10:50:822,1256120,1256120,0,0,589243014249,6145088794,1247198,7611,1311,382,392525,0 76,2,2024-09-07 10:10:51:103,906768,906765,3,0,38925065,0,5265 76,3,2024-09-07 10:10:51:144,1,715,0,0,249,6593,715,0 77,0,2024-09-07 10:10:51:712,179546,0.6,179775,0.7,359911,0.6,478705,2.00 77,1,2024-09-07 10:10:50:850,1255565,1255565,0,0,589516331162,6153572700,1245273,9199,1093,381,391869,0 77,2,2024-09-07 10:10:51:287,905073,905073,0,0,37571586,0,3890 77,3,2024-09-07 10:10:51:106,1,715,12,0,401,7960,715,0 78,0,2024-09-07 10:10:51:716,180174,0.4,179284,0.6,360114,0.4,476876,2.00 78,1,2024-09-07 10:10:50:616,1255906,1255906,0,0,588797800346,6142250933,1240358,12216,3332,367,391670,0 78,2,2024-09-07 10:10:51:405,906228,906215,13,0,35018999,0,8313 78,3,2024-09-07 10:10:51:133,1,715,1,0,311,5995,715,0 79,0,2024-09-07 10:10:51:351,172725,0.4,176913,0.6,362405,0.3,472073,2.00 79,1,2024-09-07 10:10:50:581,1260335,1260335,0,0,591254885429,6121541781,1250504,8029,1802,367,391682,0 79,2,2024-09-07 10:10:51:103,906096,906096,0,0,33946465,0,4195 79,3,2024-09-07 10:10:50:755,1,715,2,0,418,8197,715,0 80,0,2024-09-07 10:10:51:081,179448,0.5,184222,0.7,351812,0.5,477103,2.00 80,1,2024-09-07 10:10:51:639,1256655,1256655,0,0,589772140959,6138209100,1248650,7421,584,368,392269,0 80,2,2024-09-07 10:10:51:102,907623,907623,0,0,34621232,0,4433 80,3,2024-09-07 10:10:50:589,1,715,14,0,681,8670,715,0 81,0,2024-09-07 10:10:51:550,179544,0.6,183714,0.7,350303,0.6,475524,2.00 81,1,2024-09-07 10:10:51:775,1255503,1255503,0,0,588322289559,6147176157,1245623,8882,998,382,392001,0 81,2,2024-09-07 10:10:51:141,904378,904315,63,0,38569640,0,5932 81,3,2024-09-07 10:10:51:128,1,715,12,0,719,7747,715,0 82,0,2024-09-07 10:10:51:539,179397,0.5,179484,0.7,359560,0.5,477117,2.00 82,1,2024-09-07 10:10:50:591,1257285,1257281,0,4,589388509039,6130126827,1250322,5833,1126,381,391768,4 82,2,2024-09-07 10:10:51:691,907012,907012,0,0,31973870,0,4484 82,3,2024-09-07 10:10:51:752,1,715,1,0,363,6333,715,0 83,0,2024-09-07 10:10:51:520,178647,0.5,178390,0.7,356643,0.5,473742,2.00 83,1,2024-09-07 10:10:50:556,1256401,1256401,0,0,589579518227,6143573160,1247365,8007,1029,382,391709,0 83,2,2024-09-07 10:10:50:764,907239,907214,25,0,34880082,0,5612 83,3,2024-09-07 10:10:50:754,1,715,4,0,1260,8242,715,0 84,0,2024-09-07 10:10:51:789,177021,0.7,176969,0.8,354260,0.6,473194,2.25 84,1,2024-09-07 10:10:51:039,1255048,1255048,0,0,589261895047,6158779533,1241326,11636,2086,367,391967,0 84,2,2024-09-07 10:10:50:608,901472,901062,410,0,50005656,0,17037 84,3,2024-09-07 10:10:51:144,1,715,17,0,908,9744,715,0 85,0,2024-09-07 10:10:51:033,172190,0.7,172151,0.8,365292,0.7,471479,2.25 85,1,2024-09-07 10:10:50:571,1251214,1251214,0,0,588136135779,6199265049,1233398,14883,2933,381,392092,0 85,2,2024-09-07 10:10:50:888,902526,902526,0,0,42429297,0,4255 85,3,2024-09-07 10:10:50:701,1,715,2,0,789,8530,715,0 86,0,2024-09-07 10:10:50:894,178734,0.7,183798,0.8,351917,0.8,475904,2.25 86,1,2024-09-07 10:10:50:843,1253872,1253872,0,0,588952093651,6179721088,1236162,14392,3318,366,392169,0 86,2,2024-09-07 10:10:50:855,903511,903510,1,0,44474972,0,5004 86,3,2024-09-07 10:10:50:590,1,715,1,0,441,9690,715,0 87,0,2024-09-07 10:10:51:286,179617,0.7,178360,0.8,357294,0.8,476986,2.25 87,1,2024-09-07 10:10:50:609,1253990,1253990,0,0,587937450300,6153195362,1239035,12789,2166,366,392076,0 87,2,2024-09-07 10:10:51:075,905584,905578,6,0,41924217,0,6323 87,3,2024-09-07 10:10:51:805,1,715,8,0,473,9915,715,0 88,0,2024-09-07 10:10:51:489,177985,0.4,178293,0.6,356692,0.4,475761,1.75 88,1,2024-09-07 10:10:50:583,1252409,1252409,0,0,588306539255,6159434622,1235543,13722,3144,365,392084,0 88,2,2024-09-07 10:10:50:700,902682,902682,0,0,44043478,0,4465 88,3,2024-09-07 10:10:51:274,1,715,2,0,1080,11222,715,0 89,0,2024-09-07 10:10:51:799,185116,0.5,179755,0.6,354077,0.4,480997,1.75 89,1,2024-09-07 10:10:50:566,1252611,1252611,0,0,588205095999,6179707386,1237908,12420,2283,382,392084,0 89,2,2024-09-07 10:10:51:167,903262,903262,0,0,41411744,0,3173 89,3,2024-09-07 10:10:51:807,1,715,13,0,729,12449,715,0 90,0,2024-09-07 10:10:51:624,174450,0.5,179025,0.6,365565,0.4,476984,2.00 90,1,2024-09-07 10:10:50:596,1254721,1254721,0,0,588110461433,6158721227,1242242,11204,1275,380,391825,0 90,2,2024-09-07 10:10:51:406,901132,901127,5,0,44731936,0,6370 90,3,2024-09-07 10:10:50:933,1,715,1,0,364,9252,715,0 91,0,2024-09-07 10:10:50:932,180556,0.5,175156,0.6,366564,0.4,480735,1.75 91,1,2024-09-07 10:10:50:566,1251567,1251567,0,0,588088973397,6196762663,1232844,14575,4148,381,392047,0 91,2,2024-09-07 10:10:51:340,904526,904526,0,0,40042049,0,4713 91,3,2024-09-07 10:10:50:609,1,715,5,0,231,6592,715,0 92,0,2024-09-07 10:10:51:466,180170,0.4,184364,0.6,351503,0.4,477084,1.75 92,1,2024-09-07 10:10:50:762,1255301,1255301,0,0,589346720724,6153873574,1245750,8237,1314,381,392136,0 92,2,2024-09-07 10:10:51:361,904196,904196,0,0,36478314,0,3906 92,3,2024-09-07 10:10:51:013,1,715,3,0,322,6330,715,0 93,0,2024-09-07 10:10:50:960,181252,0.4,185800,0.6,354681,0.3,480385,1.75 93,1,2024-09-07 10:10:50:823,1255897,1255897,0,0,589820712452,6159798662,1241479,11981,2437,365,392048,0 93,2,2024-09-07 10:10:50:930,904025,904025,0,0,42098234,0,4913 93,3,2024-09-07 10:10:51:407,1,715,1,0,788,8690,715,0 94,0,2024-09-07 10:10:51:606,178703,0.4,179988,0.6,360257,0.4,478056,1.75 94,1,2024-09-07 10:10:50:570,1257449,1257449,0,0,589504276090,6146012721,1249136,7659,654,381,391850,0 94,2,2024-09-07 10:10:50:767,905164,905136,28,0,36615257,0,6179 94,3,2024-09-07 10:10:51:688,1,715,5,0,576,8501,715,0 95,0,2024-09-07 10:10:51:406,179113,0.4,178808,0.5,357921,0.3,477132,1.75 95,1,2024-09-07 10:10:50:858,1257592,1257592,0,0,590425333371,6132992089,1248209,8567,816,365,391852,0 95,2,2024-09-07 10:10:51:015,902605,902605,0,0,36687660,0,3308 95,3,2024-09-07 10:10:51:721,1,715,1,0,718,10585,715,0 96,0,2024-09-07 10:10:51:095,178913,0.3,179288,0.5,358706,0.3,477135,1.75 96,1,2024-09-07 10:10:51:599,1255270,1255270,0,0,589829194260,6151893085,1246326,7566,1378,384,392292,0 96,2,2024-09-07 10:10:51:277,907460,907460,0,0,37065714,0,4225 96,3,2024-09-07 10:10:51:160,1,715,20,0,411,7881,715,0 97,0,2024-09-07 10:10:51:353,178266,0.3,178203,0.5,357058,0.3,474701,1.50 97,1,2024-09-07 10:10:50:770,1258410,1258410,0,0,590694392749,6126609182,1250462,6704,1244,367,392140,0 97,2,2024-09-07 10:10:50:610,905201,905201,0,0,37201986,0,4600 97,3,2024-09-07 10:10:50:590,1,715,2,0,433,8586,715,0 98,0,2024-09-07 10:10:51:690,178615,0.3,178836,0.5,358941,0.3,477135,1.50 98,1,2024-09-07 10:10:50:578,1256841,1256841,0,0,589852935583,6141458716,1249377,6562,902,381,391997,0 98,2,2024-09-07 10:10:50:774,903653,903653,0,0,35472331,0,4336 98,3,2024-09-07 10:10:50:706,1,715,6,0,840,9753,715,0 99,0,2024-09-07 10:10:51:477,179744,0.3,180592,0.5,359924,0.3,479568,1.75 99,1,2024-09-07 10:10:51:740,1257886,1257886,0,0,589515360810,6133423039,1250078,6697,1111,380,392069,0 99,2,2024-09-07 10:10:51:427,903229,903229,0,0,44849644,0,4276 99,3,2024-09-07 10:10:50:598,1,715,1,0,1124,8956,715,0 100,0,2024-09-07 10:10:51:515,178174,0.7,178833,0.9,357518,0.8,476540,2.25 100,1,2024-09-07 10:10:50:576,1250571,1250571,0,0,586328136217,6196602528,1231418,15355,3798,378,391989,0 100,2,2024-09-07 10:10:51:902,902733,902344,389,0,46348475,0,16909 100,3,2024-09-07 10:10:51:758,1,715,4,0,627,10845,715,0 101,0,2024-09-07 10:10:51:709,182186,0.8,177838,0.9,347847,0.8,474900,2.25 101,1,2024-09-07 10:10:50:580,1252812,1252812,0,0,587803312125,6172670549,1236209,13650,2953,368,392018,0 101,2,2024-09-07 10:10:51:758,898197,898158,39,0,47940599,0,5913 101,3,2024-09-07 10:10:50:953,1,715,14,0,1250,10865,715,0 102,0,2024-09-07 10:10:50:942,174646,0.6,179727,0.8,365571,0.6,477111,2.25 102,1,2024-09-07 10:10:51:148,1252944,1252944,0,0,587627707130,6166046418,1237100,13584,2260,369,391984,0 102,2,2024-09-07 10:10:51:737,904039,903985,54,0,39769170,0,6768 102,3,2024-09-07 10:10:51:618,1,715,0,0,466,8162,715,0 103,0,2024-09-07 10:10:51:605,185558,0.7,185588,0.8,349619,0.7,480796,2.25 103,1,2024-09-07 10:10:51:626,1251766,1251766,0,0,587103072693,6192568351,1232842,15295,3629,381,392077,0 103,2,2024-09-07 10:10:50:618,902524,902524,0,0,43295414,0,3766 103,3,2024-09-07 10:10:50:755,1,715,1,0,916,8284,715,0 104,0,2024-09-07 10:10:51:013,178661,0.7,178959,0.9,357106,0.7,476945,2.25 104,1,2024-09-07 10:10:51:610,1253829,1253829,0,0,587826240190,6173652120,1235372,14992,3465,365,392168,0 104,2,2024-09-07 10:10:51:665,903272,903272,0,0,42436595,0,4161 104,3,2024-09-07 10:10:51:426,1,715,1,0,1245,12283,715,0 105,0,2024-09-07 10:10:51:118,175998,0.8,171593,1.0,359911,0.8,472658,2.50 105,1,2024-09-07 10:10:50:603,1255636,1255636,0,0,589085083799,6164845663,1240837,12682,2117,364,392009,0 105,2,2024-09-07 10:10:51:345,901562,901562,0,0,43748578,0,4360 105,3,2024-09-07 10:10:51:314,1,715,1,0,573,10422,715,0 106,0,2024-09-07 10:10:50:973,173862,0.9,178460,0.9,364537,1.1,476152,2.50 106,1,2024-09-07 10:10:51:752,1254293,1254293,0,0,588431027175,6168163460,1237847,14293,2153,368,391914,0 106,2,2024-09-07 10:10:50:757,903688,903688,0,0,40293373,0,3331 106,3,2024-09-07 10:10:50:678,1,715,2,0,1224,9761,715,0 107,0,2024-09-07 10:10:51:105,179663,1.3,179639,1.0,359408,1.8,479663,2.25 107,1,2024-09-07 10:10:50:598,1251068,1251068,0,0,586586241207,6194264824,1231945,16867,2256,381,392234,0 107,2,2024-09-07 10:10:51:308,901208,901207,1,0,44153829,0,5024 107,3,2024-09-07 10:10:51:755,1,715,4,0,733,11107,715,0 108,0,2024-09-07 10:10:51:794,179248,0.4,180143,0.6,359226,0.4,478612,1.75 108,1,2024-09-07 10:10:51:293,1254853,1254853,0,0,589237425454,6150286295,1243335,10251,1267,367,391899,0 108,2,2024-09-07 10:10:51:755,905122,905122,0,0,39374333,0,4432 108,3,2024-09-07 10:10:51:334,1,715,2,0,767,12693,715,0 109,0,2024-09-07 10:10:51:753,179531,0.4,178279,0.6,357324,0.3,476666,1.75 109,1,2024-09-07 10:10:50:591,1253216,1253216,0,0,588751448690,6173782032,1242544,9164,1508,382,392132,0 109,2,2024-09-07 10:10:50:927,902822,902822,0,0,39390030,0,3617 109,3,2024-09-07 10:10:51:149,1,715,20,0,630,8107,715,0 110,0,2024-09-07 10:10:51:773,178982,0.4,174012,0.6,364510,0.3,478823,1.75 110,1,2024-09-07 10:10:51:649,1258112,1258112,0,0,590445790151,6128700428,1248636,7390,2086,368,392045,0 110,2,2024-09-07 10:10:51:305,904689,904689,0,0,38760414,0,4067 110,3,2024-09-07 10:10:50:712,1,715,5,0,722,9493,715,0 111,0,2024-09-07 10:10:51:433,180130,0.4,179281,0.5,358261,0.3,478381,1.75 111,1,2024-09-07 10:10:51:000,1259475,1259475,0,0,591124356110,6136004198,1252207,6760,508,380,391690,0 111,2,2024-09-07 10:10:51:116,904736,904736,0,0,38058175,0,4823 111,3,2024-09-07 10:10:50:924,1,715,1,0,379,7992,715,0 112,0,2024-09-07 10:10:50:910,180144,0.3,179758,0.4,360445,0.2,478470,1.50 112,1,2024-09-07 10:10:50:836,1258132,1258132,0,0,590454483735,6125862304,1250498,6407,1227,380,391624,0 112,2,2024-09-07 10:10:51:141,903705,903704,1,0,35813136,0,5036 112,3,2024-09-07 10:10:50:591,1,715,1,0,282,6950,715,0 113,0,2024-09-07 10:10:50:869,178421,0.3,178871,0.5,357951,0.2,476068,1.50 113,1,2024-09-07 10:10:51:685,1262007,1262007,0,0,591979065756,6104395470,1255084,5972,951,365,391664,0 113,2,2024-09-07 10:10:51:306,909908,909908,0,0,33050144,0,3813 113,3,2024-09-07 10:10:50:689,1,715,5,0,510,7084,715,0 114,0,2024-09-07 10:10:50:876,178578,0.3,179781,0.5,358532,0.2,478844,1.75 114,1,2024-09-07 10:10:50:730,1257280,1257280,0,0,590766712214,6130904266,1248443,7033,1804,381,391565,0 114,2,2024-09-07 10:10:50:874,905684,905683,1,0,35440331,0,5069 114,3,2024-09-07 10:10:51:278,1,715,2,0,415,5711,715,0 115,0,2024-09-07 10:10:50:586,179955,0.3,180381,0.4,359406,0.2,478113,1.50 115,1,2024-09-07 10:10:50:582,1258751,1258751,0,0,590537398619,6131236841,1249188,7769,1794,382,391757,0 115,2,2024-09-07 10:10:51:131,905303,905303,0,0,35448080,0,4382 115,3,2024-09-07 10:10:51:005,1,715,0,0,212,4659,715,0 116,0,2024-09-07 10:10:51:713,178667,0.7,178692,0.9,358005,0.7,478459,2.25 116,1,2024-09-07 10:10:50:818,1251826,1251826,0,0,588058834557,6189625610,1237713,10895,3218,380,392089,0 116,2,2024-09-07 10:10:51:754,904207,904207,0,0,42786889,0,4475 116,3,2024-09-07 10:10:50:923,1,715,3,0,448,8952,715,0 117,0,2024-09-07 10:10:50:965,179346,0.6,178969,0.8,358412,0.6,478425,2.00 117,1,2024-09-07 10:10:51:613,1254172,1254172,0,0,587382531959,6148869171,1240285,12117,1770,369,392429,0 117,2,2024-09-07 10:10:51:123,907360,907360,0,0,39369707,0,4303 117,3,2024-09-07 10:10:51:068,1,715,1,0,490,8580,715,0 118,0,2024-09-07 10:10:51:794,172654,0.5,177384,0.7,361803,0.4,473609,2.00 118,1,2024-09-07 10:10:50:591,1252747,1252747,0,0,587447338842,6168450650,1235226,13673,3848,366,392054,0 118,2,2024-09-07 10:10:51:585,902848,902848,0,0,41875308,0,3161 118,3,2024-09-07 10:10:51:771,1,715,3,0,343,8391,715,0 119,0,2024-09-07 10:10:51:351,179885,0.7,180056,0.8,359802,0.7,479237,2.25 119,1,2024-09-07 10:10:50:565,1254169,1254169,0,0,588863296559,6169390302,1238126,13374,2669,367,391857,0 119,2,2024-09-07 10:10:51:265,903809,903809,0,0,38644283,0,4309 119,3,2024-09-07 10:10:51:325,1,715,23,0,1358,12357,715,0 120,0,2024-09-07 10:10:51:552,178634,0.7,178790,0.9,358726,0.7,478244,2.50 120,1,2024-09-07 10:10:50:862,1254796,1254796,0,0,587903319401,6160105019,1242111,11525,1160,367,392144,0 120,2,2024-09-07 10:10:50:782,904202,904199,3,0,46607003,0,5363 120,3,2024-09-07 10:10:51:293,1,715,3,0,478,9051,715,0 121,0,2024-09-07 10:10:51:697,180000,1.1,179369,1.0,359178,1.4,478474,2.25 121,1,2024-09-07 10:10:51:656,1253870,1253870,0,0,588112407078,6152515093,1240322,11551,1997,366,391924,0 121,2,2024-09-07 10:10:51:135,904602,904602,0,0,42350722,0,4157 121,3,2024-09-07 10:10:50:744,1,715,1,0,387,9355,715,0 122,0,2024-09-07 10:10:51:884,177876,0.7,173145,0.8,362482,0.8,476527,2.00 122,1,2024-09-07 10:10:50:864,1253418,1253418,0,0,588209064500,6165270481,1237120,13719,2579,365,392130,0 122,2,2024-09-07 10:10:51:330,903590,903515,75,0,46455902,0,5989 122,3,2024-09-07 10:10:50:594,1,715,1,0,512,10631,715,0 123,0,2024-09-07 10:10:50:977,179239,0.8,174713,0.8,366450,0.9,478514,2.25 123,1,2024-09-07 10:10:50:565,1254589,1254589,0,0,588332469066,6180486189,1235486,16074,3029,369,392039,0 123,2,2024-09-07 10:10:51:019,903513,903512,1,0,39826665,0,5215 123,3,2024-09-07 10:10:51:134,1,715,1,0,478,7952,715,0 124,0,2024-09-07 10:10:50:923,184453,0.3,184551,0.5,347715,0.3,478965,1.75 124,1,2024-09-07 10:10:51:025,1257843,1257843,0,0,588992965334,6123118838,1248233,8347,1263,365,392178,0 124,2,2024-09-07 10:10:51:011,906891,906838,53,0,37258356,0,6487 124,3,2024-09-07 10:10:50:765,1,715,0,0,490,7287,715,0 125,0,2024-09-07 10:10:51:460,178901,0.4,178889,0.6,358474,0.3,477558,1.75 125,1,2024-09-07 10:10:50:880,1255042,1255042,0,0,588901948943,6151282599,1243981,9474,1587,382,392045,0 125,2,2024-09-07 10:10:51:118,907141,907141,0,0,36675943,0,4534 125,3,2024-09-07 10:10:51:132,1,715,2,0,709,7863,715,0 126,0,2024-09-07 10:10:51:454,179266,0.4,184349,0.5,352356,0.3,478037,1.75 126,1,2024-09-07 10:10:50:562,1258600,1258600,0,0,590929975039,6120955951,1251310,6586,704,365,391987,0 126,2,2024-09-07 10:10:50:611,907407,907407,0,0,38480504,0,4539 126,3,2024-09-07 10:10:50:923,1,715,3,0,268,8049,715,0 127,0,2024-09-07 10:10:51:603,178692,0.3,179021,0.5,356649,0.3,474990,1.75 127,1,2024-09-07 10:10:50:572,1257011,1257011,0,0,589330728015,6129941696,1244545,10689,1777,364,392187,0 127,2,2024-09-07 10:10:50:637,905135,905131,4,0,36394185,0,5305 127,3,2024-09-07 10:10:51:273,1,715,1,0,968,7106,715,0 128,0,2024-09-07 10:10:51:528,179864,0.3,179578,0.4,359633,0.2,477960,1.50 128,1,2024-09-07 10:10:51:614,1257110,1257110,0,0,589868638625,6129776192,1248107,8030,973,367,392423,0 128,2,2024-09-07 10:10:51:384,906052,906052,0,0,33828443,0,3171 128,3,2024-09-07 10:10:50:768,1,715,14,0,1082,10720,715,0 129,0,2024-09-07 10:10:51:020,181068,0.3,180298,0.5,361582,0.3,480352,1.50 129,1,2024-09-07 10:10:50:573,1253079,1253079,0,0,588163808494,6166371773,1240098,10651,2330,379,391962,0 129,2,2024-09-07 10:10:50:698,905491,905487,4,0,36734237,0,5335 129,3,2024-09-07 10:10:50:704,1,715,39,0,506,10250,715,0 130,0,2024-09-07 10:10:51:752,179373,0.4,179215,0.5,358935,0.4,478088,1.75 130,1,2024-09-07 10:10:50:587,1258149,1258149,0,0,590230084488,6126583658,1252286,5454,409,381,391836,0 130,2,2024-09-07 10:10:51:128,907573,907573,0,0,36407295,0,4067 130,3,2024-09-07 10:10:51:294,1,715,1,0,960,8989,715,0 131,0,2024-09-07 10:10:52:068,178355,0.3,178557,0.5,358576,0.3,476533,1.50 131,1,2024-09-07 10:10:51:829,1257716,1257716,0,0,589829221623,6143382244,1249551,6951,1214,381,391865,0 131,2,2024-09-07 10:10:50:569,903881,903881,0,0,34629599,0,3979 131,3,2024-09-07 10:10:51:689,1,715,1,0,392,9065,715,0 132,0,2024-09-07 10:10:51:419,180036,0.5,180996,0.6,360325,0.4,479283,2.00 132,1,2024-09-07 10:10:50:605,1252961,1252961,0,0,587487702508,6175768781,1236442,13736,2783,381,392532,0 132,2,2024-09-07 10:10:50:759,902859,902842,17,0,43153368,0,6451 132,3,2024-09-07 10:10:51:702,1,715,46,0,1298,11994,715,0 133,0,2024-09-07 10:10:51:543,175514,0.5,179564,0.6,368398,0.4,478941,2.00 133,1,2024-09-07 10:10:50:596,1252276,1252276,0,0,588038322418,6186686901,1236812,13528,1936,383,391914,0 133,2,2024-09-07 10:10:51:087,905722,905672,50,0,44220345,0,6861 133,3,2024-09-07 10:10:51:300,1,715,11,0,528,8479,715,0 134,0,2024-09-07 10:10:50:955,180541,0.5,180539,0.7,360571,0.5,480275,2.00 134,1,2024-09-07 10:10:50:595,1254216,1254216,0,0,587453216287,6158227517,1238119,12805,3292,366,391826,0 134,2,2024-09-07 10:10:51:767,904850,904711,139,0,42002719,0,7591 134,3,2024-09-07 10:10:50:773,1,715,10,0,739,8566,715,0 135,0,2024-09-07 10:10:51:121,172435,0.6,172488,0.8,366054,0.7,472036,2.00 135,1,2024-09-07 10:10:51:599,1253592,1253592,0,0,588720630678,6182234944,1239160,12579,1853,380,392038,0 135,2,2024-09-07 10:10:50:706,904302,904302,0,0,42437766,0,4503 135,3,2024-09-07 10:10:51:007,1,715,0,0,900,6714,715,0 136,0,2024-09-07 10:10:51:635,180053,0.6,180573,0.8,359540,0.6,479231,2.00 136,1,2024-09-07 10:10:51:447,1255156,1255156,0,0,588822497948,6164302730,1242306,11422,1428,381,392135,0 136,2,2024-09-07 10:10:51:134,905990,905975,15,0,41446244,0,6007 136,3,2024-09-07 10:10:51:110,1,715,4,0,637,8245,715,0 137,0,2024-09-07 10:10:50:931,185425,0.6,180894,0.7,354185,0.7,481260,2.00 137,1,2024-09-07 10:10:50:589,1253743,1253743,0,0,588403557183,6164182533,1235255,14842,3646,366,391898,0 137,2,2024-09-07 10:10:51:708,903947,903947,0,0,42690831,0,3185 137,3,2024-09-07 10:10:50:773,1,715,1,0,484,9887,715,0 138,0,2024-09-07 10:10:51:774,178618,0.9,178910,0.9,358370,1.1,476926,2.25 138,1,2024-09-07 10:10:51:695,1253649,1253649,0,0,589302685676,6178492618,1236500,14457,2692,368,391954,0 138,2,2024-09-07 10:10:50:591,903004,903004,0,0,41132508,0,4988 138,3,2024-09-07 10:10:50:615,1,715,1,0,1200,10398,715,0 139,0,2024-09-07 10:10:51:438,177488,0.8,178190,0.9,355877,1.0,475099,2.25 139,1,2024-09-07 10:10:50:585,1249944,1249944,0,0,585852759756,6200735414,1229899,16130,3915,380,392109,0 139,2,2024-09-07 10:10:50:706,898695,898665,30,0,46811324,0,5997 139,3,2024-09-07 10:10:51:670,1,715,1,0,432,8290,715,0 140,0,2024-09-07 10:10:51:589,179653,0.3,178980,0.5,358954,0.2,479076,1.75 140,1,2024-09-07 10:10:51:565,1261509,1261509,0,0,592327211256,6110530365,1254698,6006,805,364,391628,0 140,2,2024-09-07 10:10:50:697,904954,904953,1,0,35201933,0,5036 140,3,2024-09-07 10:10:50:781,1,715,1,0,575,7449,715,0 141,0,2024-09-07 10:10:51:703,179953,0.3,184861,0.5,353135,0.3,478037,1.75 141,1,2024-09-07 10:10:50:862,1258121,1258121,0,0,591112105048,6142085924,1247768,8958,1395,379,391614,0 141,2,2024-09-07 10:10:51:694,905457,905446,11,0,37692142,0,5369 141,3,2024-09-07 10:10:51:046,1,715,0,0,391,8232,715,0 142,0,2024-09-07 10:10:51:423,180351,0.3,179539,0.5,359648,0.3,479321,1.50 142,1,2024-09-07 10:10:50:614,1256244,1256244,0,0,589963199369,6154330913,1247059,8290,895,382,392102,0 142,2,2024-09-07 10:10:51:315,903771,903739,32,0,37290871,0,6028 142,3,2024-09-07 10:10:51:746,1,715,1,0,484,7174,715,0 143,0,2024-09-07 10:10:51:439,178614,0.4,178354,0.5,358210,0.3,475882,1.75 143,1,2024-09-07 10:10:50:569,1259443,1259443,0,0,590205078500,6123706116,1251025,7445,973,367,391900,0 143,2,2024-09-07 10:10:50:781,908489,908489,0,0,36973310,0,3123 143,3,2024-09-07 10:10:51:141,1,715,2,0,462,8303,715,0 144,0,2024-09-07 10:10:51:520,173161,0.5,178066,0.7,362806,0.5,474787,2.00 144,1,2024-09-07 10:10:50:572,1253531,1253531,0,0,588298900465,6168875271,1241732,9751,2048,381,391814,0 144,2,2024-09-07 10:10:51:760,905005,905005,0,0,36501380,0,4443 144,3,2024-09-07 10:10:51:749,1,715,1,0,306,7604,715,0 145,0,2024-09-07 10:10:51:423,173339,0.6,173314,0.8,368027,0.5,473249,2.25 145,1,2024-09-07 10:10:50:573,1252510,1252510,0,0,587829626693,6181216217,1236292,13248,2970,381,391879,0 145,2,2024-09-07 10:10:51:438,902401,902319,82,0,42345669,0,7814 145,3,2024-09-07 10:10:50:896,1,715,1,0,622,9446,715,0 146,0,2024-09-07 10:10:51:672,179282,0.7,178393,0.8,358759,0.7,476430,2.25 146,1,2024-09-07 10:10:51:591,1254100,1254100,0,0,589182966724,6189924668,1234931,14238,4931,367,391829,0 146,2,2024-09-07 10:10:51:715,902445,902439,6,0,40552015,0,5151 146,3,2024-09-07 10:10:51:283,1,715,18,0,1520,10985,715,0 147,0,2024-09-07 10:10:51:808,179249,0.6,179095,0.7,358098,0.5,477396,2.00 147,1,2024-09-07 10:10:51:430,1258413,1258413,0,0,589654326626,6127418473,1248447,8864,1102,367,391791,0 147,2,2024-09-07 10:10:51:014,905789,905789,0,0,38374179,0,4531 147,3,2024-09-07 10:10:50:944,1,715,8,0,1626,10557,715,0 0,0,2024-09-07 10:11:01:727,174640,0.7,174694,0.8,370800,0.8,478931,2.00 0,1,2024-09-07 10:11:00:944,1256581,1256581,0,0,589894194133,6171547906,1246677,8926,978,368,391896,0 0,2,2024-09-07 10:11:01:091,907097,907097,0,0,36192941,0,4480 0,3,2024-09-07 10:11:00:976,1,716,5,0,538,10166,716,0 1,0,2024-09-07 10:11:01:771,180030,0.8,179212,0.9,359358,0.9,480870,2.00 1,1,2024-09-07 10:11:00:566,1255673,1255673,0,0,588631837206,6164398501,1244257,9443,1973,370,391859,0 1,2,2024-09-07 10:11:00:663,907408,907408,0,0,35778319,0,3380 1,3,2024-09-07 10:11:01:308,1,716,4,0,269,8373,716,0 2,0,2024-09-07 10:11:01:576,178911,0.5,178655,0.7,357031,0.5,476742,2.00 2,1,2024-09-07 10:11:00:871,1259221,1259221,0,0,590184695261,6134355747,1252284,5975,962,379,391805,0 2,2,2024-09-07 10:11:01:267,905317,905317,0,0,34357372,0,3594 2,3,2024-09-07 10:11:00:698,1,716,0,0,357,6179,716,0 3,0,2024-09-07 10:11:01:744,180374,0.4,180097,0.6,359863,0.4,479112,2.00 3,1,2024-09-07 10:11:01:619,1257474,1257474,0,0,589662654339,6130997402,1248873,7883,718,379,391716,0 3,2,2024-09-07 10:11:01:153,907419,907396,23,0,35300444,0,5851 3,3,2024-09-07 10:11:01:752,1,716,1,0,484,5286,716,0 4,0,2024-09-07 10:11:01:812,174414,0.4,179062,0.5,365727,0.4,477926,1.75 4,1,2024-09-07 10:11:00:591,1252726,1252726,0,0,587463405610,6207386257,1232800,15324,4602,369,391992,0 4,2,2024-09-07 10:11:01:044,903804,903804,0,0,42673168,0,4534 4,3,2024-09-07 10:11:01:042,1,716,1,0,448,9522,716,0 5,0,2024-09-07 10:11:01:377,179307,0.4,179111,0.6,358520,0.4,477608,1.75 5,1,2024-09-07 10:11:00:761,1253405,1253405,0,0,588060677975,6198105876,1235687,13475,4243,367,392005,0 5,2,2024-09-07 10:11:01:827,902118,902085,33,0,43039323,0,7631 5,3,2024-09-07 10:11:01:732,1,716,2,0,457,9409,716,0 6,0,2024-09-07 10:11:00:919,179520,0.4,178767,0.6,357962,0.4,477241,2.00 6,1,2024-09-07 10:11:00:746,1257600,1257600,0,0,589975635613,6154611686,1245414,10500,1686,379,391702,0 6,2,2024-09-07 10:11:01:129,906812,906794,18,0,39748380,0,5535 6,3,2024-09-07 10:11:01:286,1,716,0,0,710,7988,716,0 7,0,2024-09-07 10:11:01:533,177972,0.5,179120,0.6,355261,0.4,474328,2.00 7,1,2024-09-07 10:11:00:857,1254228,1254228,0,0,588650524566,6186992778,1236389,13702,4137,382,391747,0 7,2,2024-09-07 10:11:00:773,904766,904597,169,0,40590757,0,7706 7,3,2024-09-07 10:11:00:850,1,716,5,0,552,8208,716,0 8,0,2024-09-07 10:11:01:323,179616,0.4,179232,0.5,359052,0.4,478930,1.75 8,1,2024-09-07 10:11:01:016,1253334,1253334,0,0,588388027393,6181549404,1234456,14830,4048,366,392853,0 8,2,2024-09-07 10:11:00:790,900034,900032,2,0,45799252,0,5112 8,3,2024-09-07 10:11:00:598,1,716,1,0,772,10669,716,0 9,0,2024-09-07 10:11:01:122,179953,0.4,174707,0.5,365649,0.3,479927,1.75 9,1,2024-09-07 10:11:00:557,1253120,1253120,0,0,588983853649,6205411358,1233382,15385,4353,369,392001,0 9,2,2024-09-07 10:11:01:087,903668,903667,1,0,43740777,0,5281 9,3,2024-09-07 10:11:01:752,1,716,14,0,1273,11204,716,0 10,0,2024-09-07 10:11:01:614,179026,0.3,178545,0.5,357920,0.3,476582,1.75 10,1,2024-09-07 10:11:00:584,1254985,1254985,0,0,588498236704,6171811701,1236837,14413,3735,381,391981,0 10,2,2024-09-07 10:11:00:784,907455,907455,0,0,47029327,0,4713 10,3,2024-09-07 10:11:00:877,1,716,5,0,669,7423,716,0 11,0,2024-09-07 10:11:01:018,178336,0.4,173186,0.6,362474,0.3,477652,1.75 11,1,2024-09-07 10:11:00:573,1257149,1257149,0,0,589613401070,6176461418,1240260,12579,4310,383,391766,0 11,2,2024-09-07 10:11:01:129,904077,904077,0,0,40323463,0,4698 11,3,2024-09-07 10:11:01:299,1,716,1,0,843,8512,716,0 12,0,2024-09-07 10:11:01:012,181001,0.4,180688,0.5,361109,0.4,480007,1.75 12,1,2024-09-07 10:11:00:933,1256441,1256441,0,0,589297425527,6146063127,1246566,8843,1032,368,391960,0 12,2,2024-09-07 10:11:01:560,902841,902841,0,0,40527320,0,4390 12,3,2024-09-07 10:11:01:069,1,716,2,0,386,8696,716,0 13,0,2024-09-07 10:11:01:345,180939,0.4,181123,0.6,361560,0.4,481104,1.75 13,1,2024-09-07 10:11:01:531,1254410,1254410,0,0,588322838306,6189322753,1240219,11107,3084,382,391803,0 13,2,2024-09-07 10:11:00:598,907360,907360,0,0,36775265,0,3287 13,3,2024-09-07 10:11:01:766,1,716,0,0,522,9436,716,0 14,0,2024-09-07 10:11:00:562,179913,0.4,180792,0.6,359730,0.3,478411,1.75 14,1,2024-09-07 10:11:01:568,1262893,1262893,0,0,592545774375,6127093725,1253623,8118,1152,364,391673,0 14,2,2024-09-07 10:11:00:763,907164,907134,30,0,37776395,0,6104 14,3,2024-09-07 10:11:01:124,1,716,9,0,1168,7251,716,0 15,0,2024-09-07 10:11:01:554,178352,0.4,177544,0.6,355243,0.3,474346,1.75 15,1,2024-09-07 10:11:01:610,1258487,1258487,0,0,591013213993,6156179083,1247613,8775,2099,380,391619,0 15,2,2024-09-07 10:11:01:001,906550,906550,0,0,33655608,0,3622 15,3,2024-09-07 10:11:01:409,1,716,0,0,1126,8739,716,0 16,0,2024-09-07 10:11:00:973,179879,0.6,180790,0.8,360679,0.6,479853,2.00 16,1,2024-09-07 10:11:00:563,1258046,1258046,0,0,590402257327,6168775040,1247276,9223,1547,370,392194,0 16,2,2024-09-07 10:11:01:435,904688,904688,0,0,36932682,0,4719 16,3,2024-09-07 10:11:01:147,1,716,12,0,362,8707,716,0 17,0,2024-09-07 10:11:01:805,185819,0.7,181150,0.8,354743,0.7,482147,2.00 17,1,2024-09-07 10:11:00:572,1256383,1256383,0,0,589724115831,6177835315,1245230,9349,1804,368,392075,0 17,2,2024-09-07 10:11:01:681,909677,909676,1,0,38335407,0,5050 17,3,2024-09-07 10:11:00:574,1,716,15,0,518,10030,716,0 18,0,2024-09-07 10:11:00:976,178068,0.6,179313,0.8,356950,0.6,476840,2.25 18,1,2024-09-07 10:11:01:642,1261319,1261319,0,0,591265108360,6130447425,1253127,6759,1433,367,391725,0 18,2,2024-09-07 10:11:01:757,908545,908545,0,0,34497724,0,3541 18,3,2024-09-07 10:11:00:897,1,716,1,0,1059,6392,716,0 19,0,2024-09-07 10:11:01:544,178304,0.6,178732,0.8,356317,0.6,473964,2.00 19,1,2024-09-07 10:11:00:567,1260815,1260815,0,0,591948116861,6130185441,1251955,7697,1163,365,391777,0 19,2,2024-09-07 10:11:01:751,908505,908505,0,0,32194189,0,3988 19,3,2024-09-07 10:11:01:137,1,716,1,0,524,5604,716,0 20,0,2024-09-07 10:11:01:364,179686,0.5,179614,0.6,359063,0.5,479873,2.00 20,1,2024-09-07 10:11:00:568,1255970,1255970,0,0,589664249850,6174950234,1243671,10590,1709,369,391922,0 20,2,2024-09-07 10:11:00:934,905873,905873,0,0,40618493,0,4321 20,3,2024-09-07 10:11:00:592,1,716,12,0,468,11679,716,0 21,0,2024-09-07 10:11:01:165,179623,0.5,179751,0.7,359415,0.5,477267,2.00 21,1,2024-09-07 10:11:01:544,1253504,1253504,0,0,587894838997,6198259948,1234728,14779,3997,368,392016,0 21,2,2024-09-07 10:11:01:096,900240,899763,477,0,51972472,0,17074 21,3,2024-09-07 10:11:01:404,1,716,7,0,713,9796,716,0 22,0,2024-09-07 10:11:01:728,179408,0.5,180028,0.7,359796,0.4,477373,2.00 22,1,2024-09-07 10:11:01:030,1254982,1254982,0,0,588840726862,6187918498,1235861,15515,3606,381,391822,0 22,2,2024-09-07 10:11:00:763,904367,904341,26,0,38555834,0,6328 22,3,2024-09-07 10:11:01:079,1,716,0,0,228,5690,716,0 23,0,2024-09-07 10:11:01:368,178382,0.5,178312,0.6,356509,0.4,475227,2.00 23,1,2024-09-07 10:11:01:015,1255950,1255950,0,0,589202026641,6197838433,1234047,14732,7171,365,391690,0 23,2,2024-09-07 10:11:01:095,908021,908021,0,0,36771478,0,3773 23,3,2024-09-07 10:11:01:756,1,716,1,0,855,9826,716,0 24,0,2024-09-07 10:11:00:830,180041,0.4,179084,0.5,359368,0.3,477903,1.75 24,1,2024-09-07 10:11:00:584,1254815,1254815,0,0,589184207303,6162291646,1243192,9745,1878,367,392269,0 24,2,2024-09-07 10:11:01:076,904939,904936,3,0,43207434,0,6294 24,3,2024-09-07 10:11:01:686,1,716,13,0,468,9278,716,0 25,0,2024-09-07 10:11:01:338,184198,0.4,178946,0.6,352184,0.4,479404,1.75 25,1,2024-09-07 10:11:00:586,1253301,1253301,0,0,588010615954,6207353288,1232159,17139,4003,369,391928,0 25,2,2024-09-07 10:11:01:609,902186,902186,0,0,45257777,0,3978 25,3,2024-09-07 10:11:01:015,1,716,17,0,532,7741,716,0 26,0,2024-09-07 10:11:01:728,178838,0.4,174794,0.6,366659,0.4,478001,2.00 26,1,2024-09-07 10:11:01:542,1257622,1257622,0,0,589262996349,6162207257,1241910,12807,2905,380,391758,0 26,2,2024-09-07 10:11:00:861,905214,905214,0,0,46322669,0,4689 26,3,2024-09-07 10:11:01:713,1,716,1,0,796,8713,716,0 27,0,2024-09-07 10:11:01:726,179267,0.4,180015,0.6,357966,0.4,477390,2.25 27,1,2024-09-07 10:11:01:676,1258830,1258830,0,0,591359367831,6157687241,1247446,9760,1624,381,391683,0 27,2,2024-09-07 10:11:00:869,903971,903906,65,0,40968807,0,5699 27,3,2024-09-07 10:11:01:015,1,716,2,0,564,5949,716,0 28,0,2024-09-07 10:11:01:406,178799,0.4,178782,0.6,357566,0.3,476813,1.75 28,1,2024-09-07 10:11:00:798,1259272,1259272,0,0,591527471021,6169428548,1247793,9051,2428,382,391904,0 28,2,2024-09-07 10:11:01:767,904433,904433,0,0,38281375,0,4060 28,3,2024-09-07 10:11:01:776,1,716,4,0,502,7418,716,0 29,0,2024-09-07 10:11:01:356,185111,0.4,180097,0.6,353762,0.4,482017,1.75 29,1,2024-09-07 10:11:01:570,1262725,1262725,0,0,592614080990,6130138016,1254795,6908,1022,367,391809,0 29,2,2024-09-07 10:11:00:860,904504,904504,0,0,35803117,0,4986 29,3,2024-09-07 10:11:00:965,1,716,0,0,590,7963,716,0 30,0,2024-09-07 10:11:01:457,178777,0.5,173940,0.7,364390,0.5,475830,2.00 30,1,2024-09-07 10:11:00:571,1261324,1261324,0,0,592306562028,6147945423,1251508,8647,1169,380,391672,0 30,2,2024-09-07 10:11:01:278,907179,907179,0,0,34744346,0,4192 30,3,2024-09-07 10:11:00:582,1,716,45,0,519,7336,716,0 31,0,2024-09-07 10:11:01:764,179733,0.4,180753,0.6,360416,0.4,480770,2.00 31,1,2024-09-07 10:11:00:563,1265179,1265179,0,0,593699830772,6096050554,1257244,6666,1269,356,391712,0 31,2,2024-09-07 10:11:01:278,906681,906681,0,0,38132023,0,4470 31,3,2024-09-07 10:11:01:706,1,716,1,0,248,6222,716,0 32,0,2024-09-07 10:11:01:421,179042,0.3,180056,0.5,359022,0.2,478197,1.50 32,1,2024-09-07 10:11:00:804,1260684,1260684,0,0,591384614662,6145000511,1253179,6539,966,381,391646,0 32,2,2024-09-07 10:11:00:943,907375,907375,0,0,33301331,0,3922 32,3,2024-09-07 10:11:01:016,1,716,3,0,304,5890,716,0 33,0,2024-09-07 10:11:01:515,181049,0.3,180448,0.4,361182,0.2,480607,1.50 33,1,2024-09-07 10:11:00:575,1261469,1261469,0,0,592203715828,6129216869,1251837,8274,1358,368,391730,0 33,2,2024-09-07 10:11:00:763,906133,906098,35,0,36965336,0,7012 33,3,2024-09-07 10:11:00:898,1,716,5,0,329,6694,716,0 34,0,2024-09-07 10:11:00:952,179956,0.3,185089,0.5,353880,0.2,478093,1.75 34,1,2024-09-07 10:11:01:057,1264771,1264771,0,0,593021387323,6100278375,1260752,3810,209,366,391637,0 34,2,2024-09-07 10:11:00:771,906800,906800,0,0,34588697,0,4562 34,3,2024-09-07 10:11:01:691,1,716,1,0,541,6087,716,0 35,0,2024-09-07 10:11:00:867,178788,0.3,179305,0.5,359540,0.2,478557,1.75 35,1,2024-09-07 10:11:01:067,1260216,1260216,0,0,590592662957,6125291253,1251274,7389,1553,382,391769,0 35,2,2024-09-07 10:11:01:587,906195,906195,0,0,40300160,0,4055 35,3,2024-09-07 10:11:00:910,1,716,1,0,466,6601,716,0 36,0,2024-09-07 10:11:01:571,179933,0.4,180141,0.6,359669,0.4,479251,2.00 36,1,2024-09-07 10:11:00:583,1258642,1258642,0,0,590340631248,6157495963,1243856,12432,2354,366,391759,0 36,2,2024-09-07 10:11:01:751,906165,906165,0,0,39875072,0,3875 36,3,2024-09-07 10:11:00:864,1,716,0,0,556,8907,716,0 37,0,2024-09-07 10:11:01:382,178066,0.5,177864,0.6,356248,0.4,475026,2.00 37,1,2024-09-07 10:11:00:571,1258727,1258720,0,7,589496797890,6150213439,1244517,11085,3118,365,391770,0 37,2,2024-09-07 10:11:01:154,904329,904314,15,0,40026978,0,5815 37,3,2024-09-07 10:11:01:768,1,716,8,0,888,9591,716,0 38,0,2024-09-07 10:11:01:441,178477,0.5,173095,0.7,362065,0.4,474754,2.00 38,1,2024-09-07 10:11:01:606,1258437,1258437,0,0,590047446275,6161903184,1241592,13539,3306,368,391821,0 38,2,2024-09-07 10:11:00:773,906334,906287,47,0,39955761,0,6710 38,3,2024-09-07 10:11:01:012,1,716,4,0,689,7958,716,0 39,0,2024-09-07 10:11:01:764,183477,0.5,179153,0.7,349969,0.5,477393,2.00 39,1,2024-09-07 10:11:00:755,1256269,1256269,0,0,590048501611,6178770795,1236454,15582,4233,365,391865,0 39,2,2024-09-07 10:11:01:422,905234,905234,0,0,38233993,0,3478 39,3,2024-09-07 10:11:00:715,1,716,1,0,525,7777,716,0 40,0,2024-09-07 10:11:01:494,177490,0.8,178030,0.9,355592,0.9,474967,2.75 40,1,2024-09-07 10:11:00:581,1256978,1256978,0,0,589466493053,6173169690,1238134,15261,3583,366,391668,0 40,2,2024-09-07 10:11:01:306,904914,904907,7,0,44223341,0,5347 40,3,2024-09-07 10:11:01:148,1,716,0,0,1028,9912,716,0 41,0,2024-09-07 10:11:01:023,177718,1.0,181991,1.0,347053,1.3,471493,2.75 41,1,2024-09-07 10:11:00:770,1257589,1257589,0,0,589944508855,6164532970,1241865,13197,2527,369,391878,0 41,2,2024-09-07 10:11:00:763,901492,901491,1,0,43146325,0,5408 41,3,2024-09-07 10:11:01:676,1,716,5,0,749,8503,716,0 42,0,2024-09-07 10:11:01:490,178423,1.0,178282,1.0,356900,1.3,473258,2.75 42,1,2024-09-07 10:11:01:501,1254147,1254147,0,0,588600885216,6172814858,1236536,14527,3084,380,391675,0 42,2,2024-09-07 10:11:01:133,901083,901082,1,0,44616382,0,5513 42,3,2024-09-07 10:11:01:010,1,716,0,0,892,7096,716,0 43,0,2024-09-07 10:11:00:926,177573,0.8,172833,1.0,362320,0.8,473648,2.25 43,1,2024-09-07 10:11:00:579,1258252,1258252,0,0,590931137399,6166492667,1242138,13365,2749,365,391696,0 43,2,2024-09-07 10:11:01:736,907607,907607,0,0,40834381,0,4723 43,3,2024-09-07 10:11:01:748,1,716,1,0,571,9424,716,0 44,0,2024-09-07 10:11:00:869,180013,0.4,180150,0.6,359866,0.3,479351,1.75 44,1,2024-09-07 10:11:00:565,1261225,1261225,0,0,591778706222,6117146003,1249891,9182,2152,356,391809,0 44,2,2024-09-07 10:11:01:267,905674,905674,0,0,34449188,0,4344 44,3,2024-09-07 10:11:01:104,1,716,6,0,1097,9199,716,0 45,0,2024-09-07 10:11:01:783,176616,0.4,172379,0.6,361637,0.4,474446,2.00 45,1,2024-09-07 10:11:01:005,1260360,1260360,0,0,591070155955,6141714987,1250802,8335,1223,382,391917,0 45,2,2024-09-07 10:11:01:271,906269,906269,0,0,34359867,0,3596 45,3,2024-09-07 10:11:00:942,1,716,0,0,531,6643,716,0 46,0,2024-09-07 10:11:00:955,179394,0.5,178642,0.7,358488,0.5,476553,2.00 46,1,2024-09-07 10:11:00:577,1262303,1262303,0,0,591751915688,6119980822,1253022,7798,1483,366,391709,0 46,2,2024-09-07 10:11:00:593,907017,907017,0,0,35333188,0,4443 46,3,2024-09-07 10:11:01:131,1,716,1,0,908,7683,716,0 47,0,2024-09-07 10:11:01:103,180914,0.5,180439,0.6,362232,0.4,480373,2.00 47,1,2024-09-07 10:11:00:568,1263827,1263827,0,0,591851362730,6114092255,1256312,6501,1014,364,391666,0 47,2,2024-09-07 10:11:00:911,909730,909730,0,0,35865178,0,4477 47,3,2024-09-07 10:11:01:123,1,716,0,0,600,7628,716,0 48,0,2024-09-07 10:11:01:490,180133,0.3,180574,0.4,360097,0.2,479588,1.50 48,1,2024-09-07 10:11:01:039,1259884,1259884,0,0,591298006661,6141232302,1252181,6691,1012,381,391710,0 48,2,2024-09-07 10:11:00:700,907088,907088,0,0,32678080,0,3619 48,3,2024-09-07 10:11:00:763,1,716,0,0,339,6028,716,0 49,0,2024-09-07 10:11:01:719,184088,0.3,180329,0.5,350610,0.2,478406,1.75 49,1,2024-09-07 10:11:01:029,1259379,1259379,0,0,591198752541,6144308916,1251503,6178,1698,382,391809,0 49,2,2024-09-07 10:11:01:797,907464,907464,0,0,34033987,0,4426 49,3,2024-09-07 10:11:01:422,1,716,17,0,992,8272,716,0 50,0,2024-09-07 10:11:01:506,180075,0.3,179007,0.5,360124,0.2,479340,1.75 50,1,2024-09-07 10:11:01:015,1263186,1263186,0,0,593277237530,6131139432,1255623,6830,733,368,391691,0 50,2,2024-09-07 10:11:01:077,905705,905705,0,0,32139056,0,4490 50,3,2024-09-07 10:11:01:294,1,716,1,0,617,7345,716,0 51,0,2024-09-07 10:11:01:685,184447,0.3,180555,0.5,351530,0.2,479746,1.75 51,1,2024-09-07 10:11:01:681,1264076,1264076,0,0,593715039273,6119272560,1257372,5359,1345,365,391706,0 51,2,2024-09-07 10:11:01:316,906867,906867,0,0,31790293,0,3337 51,3,2024-09-07 10:11:01:029,1,716,1,0,678,5550,716,0 52,0,2024-09-07 10:11:01:422,179626,0.5,179542,0.6,358822,0.4,477029,2.00 52,1,2024-09-07 10:11:00:575,1258078,1258078,0,0,590181266143,6169515227,1241318,14333,2427,368,391805,0 52,2,2024-09-07 10:11:01:757,901659,901621,38,0,43044537,0,6742 52,3,2024-09-07 10:11:00:698,1,716,1,0,1782,8021,716,0 53,0,2024-09-07 10:11:01:731,178152,0.6,172967,0.8,361871,0.6,473750,2.25 53,1,2024-09-07 10:11:00:771,1257248,1257248,0,0,589602987933,6175469918,1237875,14941,4432,367,391968,0 53,2,2024-09-07 10:11:01:300,907581,907443,138,0,39383802,0,7690 53,3,2024-09-07 10:11:00:706,1,716,47,0,308,6581,716,0 54,0,2024-09-07 10:11:01:612,177128,0.5,177721,0.7,353583,0.4,472330,2.25 54,1,2024-09-07 10:11:00:583,1259546,1259546,0,0,591529996001,6144318679,1247742,10129,1675,366,391810,0 54,2,2024-09-07 10:11:00:869,905839,905807,32,0,42682491,0,6397 54,3,2024-09-07 10:11:00:767,1,716,1,0,676,9051,716,0 55,0,2024-09-07 10:11:01:769,173015,0.7,178293,0.8,361899,0.7,471475,2.50 55,1,2024-09-07 10:11:00:770,1260153,1260153,0,0,590788681851,6137723974,1248116,10548,1489,365,391731,0 55,2,2024-09-07 10:11:00:729,903817,903761,56,0,38979144,0,7239 55,3,2024-09-07 10:11:00:676,1,716,78,0,304,6398,716,0 56,0,2024-09-07 10:11:01:572,182834,1.2,172245,1.1,355146,1.5,475281,2.75 56,1,2024-09-07 10:11:00:571,1252074,1252074,0,0,587726821156,6207336200,1232558,15761,3755,381,391867,0 56,2,2024-09-07 10:11:01:309,903725,903603,122,0,42019730,0,7432 56,3,2024-09-07 10:11:01:076,1,716,9,0,705,8357,716,0 57,0,2024-09-07 10:11:00:954,177566,1.3,177433,1.2,354281,1.7,473682,3.00 57,1,2024-09-07 10:11:00:990,1256120,1256120,0,0,588527311301,6168128623,1240392,13018,2710,366,392097,0 57,2,2024-09-07 10:11:01:316,906939,906939,0,0,44859774,0,4804 57,3,2024-09-07 10:11:01:739,1,716,4,0,455,7532,716,0 58,0,2024-09-07 10:11:00:553,176120,0.8,171076,0.9,358169,1.0,468916,2.50 58,1,2024-09-07 10:11:00:575,1256939,1256936,0,3,589849670620,6178846491,1238559,13974,4403,367,391726,3 58,2,2024-09-07 10:11:01:091,904956,904956,0,0,40663503,0,3483 58,3,2024-09-07 10:11:01:076,1,716,3,0,1043,7617,716,0 59,0,2024-09-07 10:11:01:744,178896,0.9,177839,1.0,355941,1.1,472458,3.00 59,1,2024-09-07 10:11:00:803,1256384,1256384,0,0,588921862121,6179876368,1236881,15665,3838,369,391653,0 59,2,2024-09-07 10:11:00:583,904637,904637,0,0,38835118,0,3727 59,3,2024-09-07 10:11:01:737,1,716,1,0,1015,8363,716,0 60,0,2024-09-07 10:11:01:743,179545,0.5,179848,0.7,359400,0.5,479546,2.00 60,1,2024-09-07 10:11:00:781,1260347,1260347,0,0,590634878402,6148112794,1249404,9437,1506,370,392031,0 60,2,2024-09-07 10:11:01:156,907568,907568,0,0,37158398,0,3811 60,3,2024-09-07 10:11:01:270,1,716,9,0,409,8158,716,0 61,0,2024-09-07 10:11:01:505,180084,0.6,180732,0.7,360047,0.6,480304,2.00 61,1,2024-09-07 10:11:00:773,1257112,1257112,0,0,590257334525,6176295285,1244417,10843,1852,382,392127,0 61,2,2024-09-07 10:11:01:130,907340,907273,67,0,37994044,0,6411 61,3,2024-09-07 10:11:01:694,1,716,24,0,607,8789,716,0 62,0,2024-09-07 10:11:01:708,179721,0.5,184258,0.7,351763,0.5,478052,2.00 62,1,2024-09-07 10:11:01:125,1265095,1265089,0,6,593764860397,6126925417,1257825,6645,619,365,391975,6 62,2,2024-09-07 10:11:01:646,903138,903137,1,0,37682974,0,5555 62,3,2024-09-07 10:11:01:147,1,716,8,0,482,5576,716,0 63,0,2024-09-07 10:11:01:454,180870,0.4,180616,0.6,361914,0.3,480917,1.75 63,1,2024-09-07 10:11:00:804,1260906,1260900,0,6,590983889764,6136243994,1252975,6973,952,381,391800,6 63,2,2024-09-07 10:11:00:761,905094,905094,0,0,37036805,0,4369 63,3,2024-09-07 10:11:01:732,1,716,1,0,667,8113,716,0 64,0,2024-09-07 10:11:01:555,179035,0.5,178992,0.7,357550,0.4,476501,2.00 64,1,2024-09-07 10:11:00:786,1259214,1259214,0,0,591130921883,6150285609,1248988,8196,2030,370,391794,0 64,2,2024-09-07 10:11:01:153,910131,910112,19,0,35592861,0,6121 64,3,2024-09-07 10:11:01:154,1,716,11,0,651,8095,716,0 65,0,2024-09-07 10:11:01:712,178106,0.6,178262,0.7,355656,0.6,474805,2.00 65,1,2024-09-07 10:11:00:860,1257872,1257872,0,0,589849230697,6159875092,1249620,7354,898,381,391901,0 65,2,2024-09-07 10:11:01:706,904777,904777,0,0,42797535,0,3367 65,3,2024-09-07 10:11:01:695,1,716,11,0,782,8261,716,0 66,0,2024-09-07 10:11:01:763,178966,0.5,178473,0.7,356998,0.5,475829,2.00 66,1,2024-09-07 10:11:01:304,1259820,1259820,0,0,590955183060,6147190937,1252308,6638,874,380,391743,0 66,2,2024-09-07 10:11:01:140,908875,908872,3,0,37258158,0,5455 66,3,2024-09-07 10:11:01:090,1,716,2,0,291,6358,716,0 67,0,2024-09-07 10:11:01:417,178642,0.5,178211,0.7,357229,0.4,475290,2.00 67,1,2024-09-07 10:11:00:766,1259291,1259290,0,1,590612927860,6145461446,1250850,7300,1140,380,391787,1 67,2,2024-09-07 10:11:00:583,908207,908192,15,0,37844666,0,6205 67,3,2024-09-07 10:11:01:749,1,716,0,0,595,7417,716,0 68,0,2024-09-07 10:11:00:605,179458,0.6,179198,0.7,356924,0.6,477678,2.00 68,1,2024-09-07 10:11:00:576,1254833,1254833,0,0,588646594799,6187565839,1238096,12462,4275,381,391953,0 68,2,2024-09-07 10:11:01:044,901085,900985,100,0,45671078,0,8578 68,3,2024-09-07 10:11:00:750,1,716,234,0,417,10154,716,0 69,0,2024-09-07 10:11:01:789,179084,0.7,179668,0.8,358529,0.7,477312,2.25 69,1,2024-09-07 10:11:01:031,1254378,1254378,0,0,587922470250,6187719854,1239445,11947,2986,383,391994,0 69,2,2024-09-07 10:11:01:735,901388,901359,29,0,48805854,0,6912 69,3,2024-09-07 10:11:00:764,1,716,21,0,698,10508,716,0 70,0,2024-09-07 10:11:01:530,177180,0.7,177975,0.9,356737,0.6,473491,2.25 70,1,2024-09-07 10:11:00:801,1261296,1261296,0,0,592314278683,6143092594,1251826,8425,1045,366,391725,0 70,2,2024-09-07 10:11:01:325,907956,907956,0,0,40033620,0,4323 70,3,2024-09-07 10:11:00:745,1,716,1,0,854,7756,716,0 71,0,2024-09-07 10:11:01:360,177741,0.7,177208,0.9,355428,0.8,474170,2.50 71,1,2024-09-07 10:11:01:597,1258454,1258454,0,0,590198211543,6152222434,1244013,12655,1786,367,391738,0 71,2,2024-09-07 10:11:01:077,903391,903391,0,0,40514653,0,4352 71,3,2024-09-07 10:11:01:749,1,716,2,0,644,7672,716,0 72,0,2024-09-07 10:11:01:096,185515,0.5,181146,0.7,354016,0.5,480732,2.00 72,1,2024-09-07 10:11:01:057,1257065,1257065,0,0,589111350471,6172014372,1240110,14218,2737,369,391819,0 72,2,2024-09-07 10:11:01:759,902023,902023,0,0,42599740,0,3983 72,3,2024-09-07 10:11:01:765,1,716,10,0,564,9644,716,0 73,0,2024-09-07 10:11:01:130,175448,0.5,179980,0.6,367813,0.4,478797,2.00 73,1,2024-09-07 10:11:00:780,1258830,1258830,0,0,590940807413,6138985128,1249290,8473,1067,367,391858,0 73,2,2024-09-07 10:11:01:746,905370,905369,1,0,44548728,0,5027 73,3,2024-09-07 10:11:00:974,1,716,1,0,1091,9466,716,0 74,0,2024-09-07 10:11:01:325,181066,0.5,185336,0.6,353430,0.4,479742,2.00 74,1,2024-09-07 10:11:00:653,1257861,1257861,0,0,589740219194,6148922228,1245495,10218,2148,381,391762,0 74,2,2024-09-07 10:11:01:001,906397,906397,0,0,38696856,0,4253 74,3,2024-09-07 10:11:01:442,1,716,1,0,522,7995,716,0 75,0,2024-09-07 10:11:01:764,178340,0.5,177385,0.7,356114,0.4,475805,2.00 75,1,2024-09-07 10:11:01:589,1259035,1259035,0,0,590888369129,6152471089,1249145,8895,995,380,391739,0 75,2,2024-09-07 10:11:01:349,903679,903679,0,0,45767777,0,4766 75,3,2024-09-07 10:11:01:067,1,716,10,0,918,9665,716,0 76,0,2024-09-07 10:11:00:603,179580,0.6,179227,0.7,357842,0.6,478172,2.25 76,1,2024-09-07 10:11:00:805,1257864,1257864,0,0,590208929945,6154972108,1248941,7612,1311,382,392525,0 76,2,2024-09-07 10:11:01:080,907973,907970,3,0,38946331,0,5265 76,3,2024-09-07 10:11:01:155,1,716,1,0,249,6594,716,0 77,0,2024-09-07 10:11:01:694,179777,0.6,179986,0.7,360377,0.5,479280,2.00 77,1,2024-09-07 10:11:00:835,1257354,1257354,0,0,590190456521,6160533147,1247062,9199,1093,381,391869,0 77,2,2024-09-07 10:11:01:297,906429,906429,0,0,37589910,0,3890 77,3,2024-09-07 10:11:01:103,1,716,20,0,401,7980,716,0 78,0,2024-09-07 10:11:01:735,180481,0.4,179609,0.6,360767,0.4,477649,2.00 78,1,2024-09-07 10:11:00:639,1257667,1257667,0,0,589513538222,6149542034,1242119,12216,3332,367,391670,0 78,2,2024-09-07 10:11:01:414,907583,907570,13,0,35033676,0,8313 78,3,2024-09-07 10:11:01:133,1,716,1,0,311,5996,716,0 79,0,2024-09-07 10:11:01:346,173007,0.4,177195,0.6,362929,0.3,472784,2.00 79,1,2024-09-07 10:11:00:571,1262077,1262077,0,0,592083930943,6129939789,1252246,8029,1802,367,391682,0 79,2,2024-09-07 10:11:01:077,906998,906998,0,0,33956768,0,4195 79,3,2024-09-07 10:11:00:772,1,716,4,0,418,8201,716,0 80,0,2024-09-07 10:11:01:152,180037,0.5,184784,0.7,352872,0.5,478623,2.00 80,1,2024-09-07 10:11:01:625,1258377,1258377,0,0,590504780329,6145917412,1250369,7424,584,368,392269,0 80,2,2024-09-07 10:11:01:130,909138,909138,0,0,34671388,0,4433 80,3,2024-09-07 10:11:00:580,1,716,54,0,681,8724,716,0 81,0,2024-09-07 10:11:01:570,179868,0.6,184054,0.7,350923,0.6,476373,2.00 81,1,2024-09-07 10:11:01:674,1257283,1257283,0,0,589232505781,6156609646,1247403,8882,998,382,392001,0 81,2,2024-09-07 10:11:01:130,905770,905707,63,0,38605567,0,5932 81,3,2024-09-07 10:11:01:129,1,716,1,0,719,7748,716,0 82,0,2024-09-07 10:11:01:533,179541,0.5,179648,0.7,359858,0.5,477525,2.00 82,1,2024-09-07 10:11:00:583,1258995,1258991,0,4,590266865936,6139109273,1252032,5833,1126,381,391768,4 82,2,2024-09-07 10:11:01:691,908403,908403,0,0,32005227,0,4484 82,3,2024-09-07 10:11:01:752,1,716,1,0,363,6334,716,0 83,0,2024-09-07 10:11:01:528,178887,0.5,178614,0.7,357118,0.5,474368,2.00 83,1,2024-09-07 10:11:00:627,1258151,1258151,0,0,590503954255,6153062599,1249114,8008,1029,382,391709,0 83,2,2024-09-07 10:11:00:764,907938,907913,25,0,34893636,0,5612 83,3,2024-09-07 10:11:00:751,1,716,1,0,1260,8243,716,0 84,0,2024-09-07 10:11:01:771,177439,0.7,177353,0.8,355060,0.6,474233,2.25 84,1,2024-09-07 10:11:01:064,1256804,1256804,0,0,590080196016,6167209373,1243081,11637,2086,367,391967,0 84,2,2024-09-07 10:11:00:573,903078,902668,410,0,50047756,0,17037 84,3,2024-09-07 10:11:01:162,1,716,0,0,908,9744,716,0 85,0,2024-09-07 10:11:01:024,172339,0.7,172318,0.8,365674,0.7,471932,2.25 85,1,2024-09-07 10:11:00:563,1252999,1252999,0,0,588922318471,6207360453,1235182,14884,2933,381,392092,0 85,2,2024-09-07 10:11:00:881,904005,904005,0,0,42456060,0,4255 85,3,2024-09-07 10:11:00:701,1,716,1,0,789,8531,716,0 86,0,2024-09-07 10:11:00:876,178836,0.7,183899,0.8,352115,0.8,476155,2.25 86,1,2024-09-07 10:11:00:828,1255671,1255671,0,0,589798974340,6188415513,1237959,14394,3318,366,392169,0 86,2,2024-09-07 10:11:00:865,904649,904648,1,0,44494110,0,5004 86,3,2024-09-07 10:11:00:589,1,716,162,0,441,9852,716,0 87,0,2024-09-07 10:11:01:324,179750,0.7,178474,0.8,357556,0.8,477328,2.25 87,1,2024-09-07 10:11:00:555,1255773,1255773,0,0,588728631183,6161321369,1240816,12791,2166,366,392076,0 87,2,2024-09-07 10:11:01:084,906681,906675,6,0,41942303,0,6323 87,3,2024-09-07 10:11:01:796,1,716,2,0,473,9917,716,0 88,0,2024-09-07 10:11:01:453,178498,0.4,178797,0.6,357674,0.4,477097,1.75 88,1,2024-09-07 10:11:00:576,1254221,1254221,0,0,589148306128,6167995634,1237354,13723,3144,365,392084,0 88,2,2024-09-07 10:11:00:709,904100,904100,0,0,44061965,0,4465 88,3,2024-09-07 10:11:01:274,1,716,1,0,1080,11223,716,0 89,0,2024-09-07 10:11:01:773,185227,0.5,179869,0.6,354303,0.4,481278,1.75 89,1,2024-09-07 10:11:00:552,1254436,1254436,0,0,589006719978,6187921717,1239732,12421,2283,382,392084,0 89,2,2024-09-07 10:11:01:151,904587,904587,0,0,41435110,0,3173 89,3,2024-09-07 10:11:01:791,1,716,0,0,729,12449,716,0 90,0,2024-09-07 10:11:01:620,174551,0.5,179135,0.6,365764,0.4,477252,2.00 90,1,2024-09-07 10:11:00:592,1256428,1256428,0,0,588773419454,6165523745,1243948,11205,1275,380,391825,0 90,2,2024-09-07 10:11:01:411,902202,902197,5,0,44744299,0,6370 90,3,2024-09-07 10:11:00:940,1,716,1,0,364,9253,716,0 91,0,2024-09-07 10:11:00:929,180749,0.5,175353,0.6,366957,0.4,481269,1.75 91,1,2024-09-07 10:11:00:568,1253360,1253360,0,0,589057963145,6206642308,1234637,14575,4148,381,392047,0 91,2,2024-09-07 10:11:01:331,905889,905889,0,0,40053797,0,4713 91,3,2024-09-07 10:11:00:599,1,716,3,0,231,6595,716,0 92,0,2024-09-07 10:11:01:475,180594,0.4,184816,0.6,352346,0.4,478260,1.75 92,1,2024-09-07 10:11:00:584,1257045,1257045,0,0,590166829933,6162247493,1247494,8237,1314,381,392136,0 92,2,2024-09-07 10:11:01:354,905482,905482,0,0,36491267,0,3906 92,3,2024-09-07 10:11:01:011,1,716,6,0,322,6336,716,0 93,0,2024-09-07 10:11:00:966,181380,0.4,185939,0.6,354938,0.3,480675,1.75 93,1,2024-09-07 10:11:00:808,1257583,1257583,0,0,590364121115,6165374024,1243163,11983,2437,365,392048,0 93,2,2024-09-07 10:11:00:931,905283,905283,0,0,42126733,0,4913 93,3,2024-09-07 10:11:01:410,1,716,2,0,788,8692,716,0 94,0,2024-09-07 10:11:01:627,179004,0.4,180313,0.6,360823,0.4,478840,1.75 94,1,2024-09-07 10:11:00:583,1259230,1259230,0,0,590287312910,6154022757,1250914,7661,655,381,391850,0 94,2,2024-09-07 10:11:00:773,906592,906564,28,0,36634651,0,6179 94,3,2024-09-07 10:11:01:693,1,716,4,0,576,8505,716,0 95,0,2024-09-07 10:11:01:360,179334,0.4,179005,0.5,358334,0.3,477709,1.75 95,1,2024-09-07 10:11:00:850,1259371,1259371,0,0,591124390096,6140113738,1249988,8567,816,365,391852,0 95,2,2024-09-07 10:11:01:029,904149,904149,0,0,36708786,0,3308 95,3,2024-09-07 10:11:01:709,1,716,0,0,718,10585,716,0 96,0,2024-09-07 10:11:01:081,179399,0.3,179748,0.5,359703,0.3,478393,1.75 96,1,2024-09-07 10:11:01:608,1257083,1257083,0,0,590743201776,6161303065,1248138,7567,1378,384,392292,0 96,2,2024-09-07 10:11:01:296,908152,908152,0,0,37080858,0,4225 96,3,2024-09-07 10:11:01:147,1,716,2,0,411,7883,716,0 97,0,2024-09-07 10:11:01:314,178566,0.3,178512,0.5,357599,0.3,475418,1.50 97,1,2024-09-07 10:11:00:773,1260227,1260227,0,0,591610608576,6135990219,1252274,6708,1245,367,392140,0 97,2,2024-09-07 10:11:00:620,906750,906750,0,0,37245824,0,4600 97,3,2024-09-07 10:11:00:585,1,716,15,0,433,8601,716,0 98,0,2024-09-07 10:11:01:747,178982,0.3,179193,0.5,359597,0.3,478059,1.50 98,1,2024-09-07 10:11:00:572,1258613,1258613,0,0,590773439737,6150948144,1251148,6563,902,381,391997,0 98,2,2024-09-07 10:11:00:770,905232,905232,0,0,35517057,0,4336 98,3,2024-09-07 10:11:00:707,1,716,27,0,840,9780,716,0 99,0,2024-09-07 10:11:01:483,179930,0.3,180762,0.5,360305,0.3,480065,1.75 99,1,2024-09-07 10:11:01:728,1259684,1259684,0,0,590426651979,6142783848,1251874,6698,1112,380,392069,0 99,2,2024-09-07 10:11:01:422,904489,904489,0,0,44945194,0,4276 99,3,2024-09-07 10:11:00:584,1,716,2,0,1124,8958,716,0 100,0,2024-09-07 10:11:01:491,178520,0.7,179200,0.9,358245,0.8,477482,2.25 100,1,2024-09-07 10:11:00:555,1252250,1252250,0,0,587105028505,6204580932,1233097,15355,3798,378,391989,0 100,2,2024-09-07 10:11:01:820,903684,903295,389,0,46360370,0,16909 100,3,2024-09-07 10:11:01:734,1,716,1,0,627,10846,716,0 101,0,2024-09-07 10:11:01:710,182620,0.8,178249,0.9,348704,0.8,476159,2.25 101,1,2024-09-07 10:11:00:552,1254553,1254553,0,0,588394671663,6178856029,1237950,13650,2953,368,392018,0 101,2,2024-09-07 10:11:01:771,899689,899650,39,0,47977716,0,5913 101,3,2024-09-07 10:11:00:944,1,716,4,0,1250,10869,716,0 102,0,2024-09-07 10:11:00:945,174752,0.6,179838,0.8,365803,0.6,477444,2.25 102,1,2024-09-07 10:11:01:153,1254737,1254737,0,0,588753593313,6177632365,1238891,13586,2260,369,391984,0 102,2,2024-09-07 10:11:01:740,905402,905348,54,0,39795403,0,6768 102,3,2024-09-07 10:11:01:638,1,716,11,0,466,8173,716,0 103,0,2024-09-07 10:11:01:590,185702,0.7,185711,0.8,349847,0.7,481136,2.25 103,1,2024-09-07 10:11:01:625,1253474,1253474,0,0,587924396968,6200966948,1234550,15295,3629,381,392077,0 103,2,2024-09-07 10:11:00:594,903649,903649,0,0,43317145,0,3766 103,3,2024-09-07 10:11:00:772,1,716,1,0,916,8285,716,0 104,0,2024-09-07 10:11:01:055,178669,0.7,178966,0.9,357124,0.7,476945,2.25 104,1,2024-09-07 10:11:01:602,1255630,1255630,0,0,588866946962,6184201231,1237172,14992,3466,365,392168,0 104,2,2024-09-07 10:11:01:690,904491,904491,0,0,42448428,0,4161 104,3,2024-09-07 10:11:01:421,1,716,1,0,1245,12284,716,0 105,0,2024-09-07 10:11:01:118,176379,0.8,171918,1.0,360568,0.8,473609,2.50 105,1,2024-09-07 10:11:00:560,1257395,1257395,0,0,589931067923,6173461128,1242596,12682,2117,364,392009,0 105,2,2024-09-07 10:11:01:321,902824,902824,0,0,43762335,0,4360 105,3,2024-09-07 10:11:01:306,1,716,1,0,573,10423,716,0 106,0,2024-09-07 10:11:00:968,173946,0.9,178549,0.9,364695,1.0,476401,2.50 106,1,2024-09-07 10:11:01:749,1256177,1256177,0,0,589283452170,6176846377,1239728,14295,2154,368,391914,0 106,2,2024-09-07 10:11:00:764,905117,905117,0,0,40305624,0,3331 106,3,2024-09-07 10:11:00:684,1,716,1,0,1224,9762,716,0 107,0,2024-09-07 10:11:01:119,179881,1.3,179868,1.0,359882,1.8,480235,2.25 107,1,2024-09-07 10:11:00:610,1252818,1252818,0,0,587228701419,6200874751,1233694,16868,2256,381,392234,0 107,2,2024-09-07 10:11:01:293,902576,902575,1,0,44167765,0,5024 107,3,2024-09-07 10:11:01:756,1,716,13,0,733,11120,716,0 108,0,2024-09-07 10:11:01:769,179565,0.4,180468,0.6,359887,0.4,479511,1.75 108,1,2024-09-07 10:11:01:296,1256595,1256595,0,0,590021106646,6158300081,1245075,10253,1267,367,391899,0 108,2,2024-09-07 10:11:01:755,906405,906405,0,0,39396387,0,4432 108,3,2024-09-07 10:11:01:333,1,716,2,0,767,12695,716,0 109,0,2024-09-07 10:11:01:745,179806,0.4,178557,0.6,357849,0.3,477367,1.75 109,1,2024-09-07 10:11:00:604,1254988,1254988,0,0,589551618766,6181986719,1244315,9165,1508,382,392132,0 109,2,2024-09-07 10:11:00:921,903849,903849,0,0,39405614,0,3617 109,3,2024-09-07 10:11:01:148,1,716,562,0,630,8669,716,0 110,0,2024-09-07 10:11:01:789,179518,0.4,174545,0.6,365663,0.3,480328,1.75 110,1,2024-09-07 10:11:01:660,1259885,1259885,0,0,591260700917,6137020913,1250408,7391,2086,368,392045,0 110,2,2024-09-07 10:11:01:309,906033,906033,0,0,38783999,0,4067 110,3,2024-09-07 10:11:00:698,1,716,1,0,722,9494,716,0 111,0,2024-09-07 10:11:01:417,180455,0.4,179567,0.5,358887,0.3,479184,1.75 111,1,2024-09-07 10:11:01:015,1261238,1261238,0,0,591819612043,6143141461,1253970,6760,508,380,391690,0 111,2,2024-09-07 10:11:01:124,906151,906151,0,0,38084858,0,4823 111,3,2024-09-07 10:11:00:913,1,716,16,0,379,8008,716,0 112,0,2024-09-07 10:11:00:936,180308,0.3,179902,0.4,360776,0.2,478879,1.50 112,1,2024-09-07 10:11:01:046,1259933,1259933,0,0,591400814663,6135501422,1252299,6407,1227,380,391624,0 112,2,2024-09-07 10:11:01:141,905199,905198,1,0,35879211,0,5036 112,3,2024-09-07 10:11:00:592,1,716,10,0,282,6960,716,0 113,0,2024-09-07 10:11:00:865,178668,0.3,179092,0.5,358482,0.2,476697,1.50 113,1,2024-09-07 10:11:01:685,1263767,1263767,0,0,592845525731,6113213139,1256844,5972,951,365,391664,0 113,2,2024-09-07 10:11:01:308,910599,910599,0,0,33060493,0,3813 113,3,2024-09-07 10:11:00:688,1,716,1,0,510,7085,716,0 114,0,2024-09-07 10:11:00:879,178974,0.3,180177,0.5,359322,0.2,479872,1.75 114,1,2024-09-07 10:11:00:719,1259033,1259033,0,0,591443237103,6137948374,1250196,7033,1804,381,391565,0 114,2,2024-09-07 10:11:00:875,907275,907274,1,0,35466289,0,5069 114,3,2024-09-07 10:11:01:278,1,716,1,0,415,5712,716,0 115,0,2024-09-07 10:11:00:558,180124,0.3,180560,0.4,359754,0.2,478554,1.50 115,1,2024-09-07 10:11:00:571,1260544,1260544,0,0,591406746500,6140191147,1250981,7769,1794,382,391757,0 115,2,2024-09-07 10:11:01:129,906797,906797,0,0,35519805,0,4382 115,3,2024-09-07 10:11:01:001,1,716,1,0,212,4660,716,0 116,0,2024-09-07 10:11:01:728,178753,0.7,178778,0.9,358196,0.7,478705,2.25 116,1,2024-09-07 10:11:00:827,1253583,1253583,0,0,588956406932,6198812254,1239470,10895,3218,380,392089,0 116,2,2024-09-07 10:11:01:751,905226,905226,0,0,42799907,0,4475 116,3,2024-09-07 10:11:00:928,1,716,3,0,448,8955,716,0 117,0,2024-09-07 10:11:01:095,179444,0.6,179075,0.8,358623,0.6,478744,2.00 117,1,2024-09-07 10:11:01:610,1255944,1255944,0,0,588201048539,6157235878,1242056,12118,1770,369,392429,0 117,2,2024-09-07 10:11:01:123,908475,908475,0,0,39388371,0,4303 117,3,2024-09-07 10:11:01:109,1,716,2,0,490,8582,716,0 118,0,2024-09-07 10:11:01:809,173142,0.5,177872,0.7,362849,0.4,474967,2.00 118,1,2024-09-07 10:11:00:587,1254575,1254575,0,0,588347205586,6177683906,1237051,13676,3848,366,392054,0 118,2,2024-09-07 10:11:01:594,904314,904314,0,0,41903448,0,3161 118,3,2024-09-07 10:11:01:769,1,716,3,0,343,8394,716,0 119,0,2024-09-07 10:11:01:360,179999,0.7,180175,0.8,360013,0.7,479518,2.25 119,1,2024-09-07 10:11:00:554,1255952,1255952,0,0,589491782852,6175885654,1239909,13374,2669,367,391857,0 119,2,2024-09-07 10:11:01:273,905141,905141,0,0,38680412,0,4309 119,3,2024-09-07 10:11:01:325,1,716,2,0,1358,12359,716,0 120,0,2024-09-07 10:11:01:556,178726,0.7,178886,0.9,358906,0.7,478484,2.50 120,1,2024-09-07 10:11:00:865,1256597,1256597,0,0,588876917579,6170050398,1243909,11528,1160,367,392144,0 120,2,2024-09-07 10:11:00:774,905321,905318,3,0,46620593,0,5363 120,3,2024-09-07 10:11:01:298,1,716,7,0,478,9058,716,0 121,0,2024-09-07 10:11:01:724,180217,1.1,179574,1.0,359559,1.4,478999,2.25 121,1,2024-09-07 10:11:01:657,1255675,1255675,0,0,588946064953,6160996505,1242125,11553,1997,366,391924,0 121,2,2024-09-07 10:11:01:130,905865,905865,0,0,42362615,0,4157 121,3,2024-09-07 10:11:00:728,1,716,1,0,387,9356,716,0 122,0,2024-09-07 10:11:01:772,178269,0.7,173577,0.8,363419,0.8,477730,2.00 122,1,2024-09-07 10:11:00:860,1255202,1255202,0,0,589418966774,6177503116,1238904,13719,2579,365,392130,0 122,2,2024-09-07 10:11:01:318,904786,904711,75,0,46466812,0,5989 122,3,2024-09-07 10:11:00:594,1,716,3,0,512,10634,716,0 123,0,2024-09-07 10:11:00:984,179393,0.8,174832,0.8,366696,0.9,478809,2.25 123,1,2024-09-07 10:11:00:559,1256364,1256364,0,0,589341208781,6190720906,1237259,16076,3029,369,392039,0 123,2,2024-09-07 10:11:01:026,904696,904695,1,0,39837635,0,5215 123,3,2024-09-07 10:11:01:132,1,716,1,0,478,7953,716,0 124,0,2024-09-07 10:11:00:937,184760,0.3,184864,0.5,348327,0.3,479733,1.75 124,1,2024-09-07 10:11:01:030,1259641,1259641,0,0,589713073939,6130463971,1250027,8351,1263,365,392178,0 124,2,2024-09-07 10:11:01:011,908357,908304,53,0,37277033,0,6487 124,3,2024-09-07 10:11:00:763,1,716,23,0,490,7310,716,0 125,0,2024-09-07 10:11:01:463,179125,0.4,179090,0.6,358911,0.3,478160,1.75 125,1,2024-09-07 10:11:01:188,1256818,1256818,0,0,589875857634,6161196784,1245757,9474,1587,382,392045,0 125,2,2024-09-07 10:11:01:139,908643,908643,0,0,36701909,0,4534 125,3,2024-09-07 10:11:01:132,1,716,1,0,709,7864,716,0 126,0,2024-09-07 10:11:01:422,179752,0.4,184839,0.5,353271,0.3,479311,1.75 126,1,2024-09-07 10:11:00:625,1260371,1260371,0,0,591696538666,6128768770,1253080,6587,704,365,391987,0 126,2,2024-09-07 10:11:00:624,908115,908115,0,0,38490755,0,4539 126,3,2024-09-07 10:11:00:910,1,716,5,0,268,8054,716,0 127,0,2024-09-07 10:11:01:610,178964,0.3,179286,0.5,357199,0.3,475719,1.75 127,1,2024-09-07 10:11:00:569,1258778,1258778,0,0,590406365198,6140838555,1246311,10690,1777,364,392187,0 127,2,2024-09-07 10:11:00:640,906596,906592,4,0,36418317,0,5305 127,3,2024-09-07 10:11:01:274,1,716,0,0,968,7106,716,0 128,0,2024-09-07 10:11:01:588,180190,0.3,179902,0.4,360336,0.2,478861,1.50 128,1,2024-09-07 10:11:01:611,1258875,1258875,0,0,590687387753,6138365170,1249872,8030,973,367,392423,0 128,2,2024-09-07 10:11:01:395,907611,907611,0,0,33875661,0,3171 128,3,2024-09-07 10:11:00:787,1,716,20,0,1082,10740,716,0 129,0,2024-09-07 10:11:01:027,181220,0.3,180501,0.5,361933,0.3,480841,1.50 129,1,2024-09-07 10:11:00:590,1254916,1254916,0,0,589028196051,6175245293,1241935,10651,2330,379,391962,0 129,2,2024-09-07 10:11:00:782,906712,906708,4,0,36776723,0,5335 129,3,2024-09-07 10:11:00:747,1,716,32,0,506,10282,716,0 130,0,2024-09-07 10:11:01:787,179708,0.4,179561,0.5,359564,0.4,478979,1.75 130,1,2024-09-07 10:11:00:584,1259954,1259954,0,0,590961187921,6134104428,1254091,5454,409,381,391836,0 130,2,2024-09-07 10:11:01:129,908526,908526,0,0,36428950,0,4067 130,3,2024-09-07 10:11:01:298,1,716,11,0,960,9000,716,0 131,0,2024-09-07 10:11:01:924,178814,0.3,178959,0.5,359429,0.3,477776,1.50 131,1,2024-09-07 10:11:01:825,1259438,1259438,0,0,590360392490,6148878679,1251273,6951,1214,381,391865,0 131,2,2024-09-07 10:11:00:567,905397,905397,0,0,34670649,0,3979 131,3,2024-09-07 10:11:01:691,1,716,3,0,392,9068,716,0 132,0,2024-09-07 10:11:01:430,180149,0.5,181125,0.6,360552,0.4,479610,2.00 132,1,2024-09-07 10:11:00:580,1254710,1254710,0,0,588090646084,6181985093,1238190,13737,2783,381,392532,0 132,2,2024-09-07 10:11:00:717,904204,904187,17,0,43180723,0,6451 132,3,2024-09-07 10:11:01:691,1,716,47,0,1298,12041,716,0 133,0,2024-09-07 10:11:01:521,175628,0.5,179676,0.6,368645,0.4,479256,2.00 133,1,2024-09-07 10:11:00:583,1254064,1254064,0,0,589080675733,6197325184,1238597,13531,1936,383,391914,0 133,2,2024-09-07 10:11:01:095,906934,906884,50,0,44236904,0,6861 133,3,2024-09-07 10:11:01:307,1,716,1,0,528,8480,716,0 134,0,2024-09-07 10:11:00:936,180548,0.5,180543,0.7,360581,0.5,480275,2.00 134,1,2024-09-07 10:11:00:584,1255920,1255920,0,0,588373775076,6167589111,1239823,12805,3292,366,391826,0 134,2,2024-09-07 10:11:01:755,906112,905973,139,0,42024225,0,7591 134,3,2024-09-07 10:11:00:785,1,716,1,0,739,8567,716,0 135,0,2024-09-07 10:11:01:114,172798,0.6,172829,0.8,366793,0.7,472969,2.00 135,1,2024-09-07 10:11:01:588,1255263,1255263,0,0,589445244864,6189685309,1240830,12580,1853,380,392038,0 135,2,2024-09-07 10:11:00:699,905656,905656,0,0,42459309,0,4503 135,3,2024-09-07 10:11:01:013,1,716,1,0,900,6715,716,0 136,0,2024-09-07 10:11:01:650,180146,0.6,180659,0.8,359743,0.6,479457,2.00 136,1,2024-09-07 10:11:01:448,1256948,1256948,0,0,589508223614,6171325186,1244098,11422,1428,381,392135,0 136,2,2024-09-07 10:11:01:132,907378,907363,15,0,41457842,0,6007 136,3,2024-09-07 10:11:01:108,1,716,22,0,637,8267,716,0 137,0,2024-09-07 10:11:01:072,185649,0.6,181116,0.7,354648,0.7,481843,2.00 137,1,2024-09-07 10:11:00:719,1255522,1255522,0,0,589341210200,6173688619,1237034,14842,3646,366,391898,0 137,2,2024-09-07 10:11:01:718,905340,905340,0,0,42705974,0,3185 137,3,2024-09-07 10:11:00:772,1,716,3,0,484,9890,716,0 138,0,2024-09-07 10:11:01:743,178956,0.9,179200,0.9,359038,1.1,477796,2.25 138,1,2024-09-07 10:11:01:686,1255528,1255528,0,0,590193647643,6187539358,1238379,14457,2692,368,391954,0 138,2,2024-09-07 10:11:00:589,904357,904357,0,0,41150823,0,4988 138,3,2024-09-07 10:11:00:618,1,716,20,0,1200,10418,716,0 139,0,2024-09-07 10:11:01:363,177765,0.8,178446,0.9,356420,1.0,475806,2.25 139,1,2024-09-07 10:11:00:580,1251693,1251693,0,0,586596511243,6208407483,1231647,16131,3915,380,392109,0 139,2,2024-09-07 10:11:00:699,899667,899637,30,0,46821959,0,5997 139,3,2024-09-07 10:11:01:674,1,716,1,0,432,8291,716,0 140,0,2024-09-07 10:11:01:593,180213,0.3,179541,0.5,360057,0.2,480459,1.75 140,1,2024-09-07 10:11:01:547,1263267,1263267,0,0,593235180408,6119740322,1256456,6006,805,364,391682,0 140,2,2024-09-07 10:11:00:699,906377,906376,1,0,35218181,0,5036 140,3,2024-09-07 10:11:00:772,1,716,6,0,575,7455,716,0 141,0,2024-09-07 10:11:01:700,180257,0.3,185168,0.5,353734,0.3,478876,1.75 141,1,2024-09-07 10:11:00:860,1259881,1259881,0,0,591814726884,6149259096,1249528,8958,1395,379,391614,0 141,2,2024-09-07 10:11:01:686,906885,906874,11,0,37717256,0,5369 141,3,2024-09-07 10:11:01:048,1,716,1,0,391,8233,716,0 142,0,2024-09-07 10:11:01:443,180515,0.3,179690,0.5,359959,0.3,479737,1.50 142,1,2024-09-07 10:11:00:588,1258038,1258038,0,0,590882386751,6163725907,1248853,8290,895,382,392102,0 142,2,2024-09-07 10:11:01:301,905279,905247,32,0,37309238,0,6028 142,3,2024-09-07 10:11:01:748,1,716,1,0,484,7175,716,0 143,0,2024-09-07 10:11:01:449,178821,0.4,178595,0.5,358675,0.3,476480,1.75 143,1,2024-09-07 10:11:00:559,1261184,1261184,0,0,591003832447,6131843792,1252766,7445,973,367,391900,0 143,2,2024-09-07 10:11:00:779,909152,909152,0,0,36983084,0,3123 143,3,2024-09-07 10:11:01:153,1,716,8,0,462,8311,716,0 144,0,2024-09-07 10:11:01:514,173518,0.5,178450,0.7,363586,0.5,475777,2.00 144,1,2024-09-07 10:11:00:565,1255311,1255311,0,0,589042961743,6176680733,1243512,9751,2048,381,391814,0 144,2,2024-09-07 10:11:01:756,906531,906531,0,0,36543422,0,4443 144,3,2024-09-07 10:11:01:739,1,716,1,0,306,7605,716,0 145,0,2024-09-07 10:11:01:403,173527,0.6,173507,0.8,368390,0.5,473702,2.25 145,1,2024-09-07 10:11:00:565,1254188,1254188,0,0,588640053940,6189559456,1237968,13250,2970,381,391879,0 145,2,2024-09-07 10:11:01:438,903954,903872,82,0,42406110,0,7814 145,3,2024-09-07 10:11:00:903,1,716,5,0,622,9451,716,0 146,0,2024-09-07 10:11:01:640,179376,0.7,178490,0.8,358943,0.7,476660,2.25 146,1,2024-09-07 10:11:01:590,1255966,1255966,0,0,590021338788,6198446056,1236797,14238,4931,367,391829,0 146,2,2024-09-07 10:11:01:718,903518,903512,6,0,40562035,0,5151 146,3,2024-09-07 10:11:01:280,1,716,4,0,1520,10989,716,0 147,0,2024-09-07 10:11:01:697,179371,0.6,179184,0.7,358332,0.5,477722,2.00 147,1,2024-09-07 10:11:01:380,1260174,1260174,0,0,590473509439,6135795986,1250208,8864,1102,367,391791,0 147,2,2024-09-07 10:11:01:010,906887,906887,0,0,38392506,0,4531 147,3,2024-09-07 10:11:00:913,1,716,3,0,1626,10560,716,0 0,0,2024-09-07 10:11:11:815,174738,0.7,174790,0.8,371019,0.8,479248,2.00 0,1,2024-09-07 10:11:10:802,1258369,1258369,0,0,590842440000,6181323744,1248464,8927,978,368,391896,0 0,2,2024-09-07 10:11:11:070,908213,908213,0,0,36274236,0,4480 0,3,2024-09-07 10:11:10:981,1,717,87,0,538,10253,717,0 1,0,2024-09-07 10:11:11:874,180154,0.8,179326,0.9,359607,0.9,480961,2.00 1,1,2024-09-07 10:11:10:594,1257419,1257419,0,0,589461569990,6172906586,1246000,9445,1974,370,391859,0 1,2,2024-09-07 10:11:10:674,908778,908778,0,0,35803456,0,3380 1,3,2024-09-07 10:11:11:318,1,717,8,0,269,8381,717,0 2,0,2024-09-07 10:11:11:581,179332,0.5,179024,0.7,357846,0.5,477666,2.00 2,1,2024-09-07 10:11:10:864,1261016,1261016,0,0,591039569480,6143109802,1254079,5975,962,379,391805,0 2,2,2024-09-07 10:11:11:266,906542,906542,0,0,34377087,0,3594 2,3,2024-09-07 10:11:10:690,1,717,3,0,357,6182,717,0 3,0,2024-09-07 10:11:11:791,180479,0.4,180196,0.6,360081,0.4,479344,2.00 3,1,2024-09-07 10:11:11:660,1259264,1259264,0,0,590274104740,6137480167,1250655,7891,718,379,391716,0 3,2,2024-09-07 10:11:11:141,908611,908588,23,0,35336956,0,5851 3,3,2024-09-07 10:11:11:768,1,717,1,0,484,5287,717,0 4,0,2024-09-07 10:11:11:808,174682,0.4,179326,0.5,366265,0.4,478508,1.75 4,1,2024-09-07 10:11:10:609,1254508,1254508,0,0,588340619231,6216412892,1234581,15325,4602,369,391992,0 4,2,2024-09-07 10:11:11:019,905237,905237,0,0,42738835,0,4534 4,3,2024-09-07 10:11:11:048,1,717,0,0,448,9522,717,0 5,0,2024-09-07 10:11:11:372,179542,0.4,179384,0.6,359036,0.4,478458,1.75 5,1,2024-09-07 10:11:10:769,1255204,1255204,0,0,589143552815,6209283155,1237484,13476,4244,367,392005,0 5,2,2024-09-07 10:11:11:828,903551,903518,33,0,43083928,0,7631 5,3,2024-09-07 10:11:11:789,1,717,16,0,457,9425,717,0 6,0,2024-09-07 10:11:10:924,179890,0.4,179157,0.6,358731,0.4,477942,2.00 6,1,2024-09-07 10:11:10:746,1259284,1259284,0,0,590632623742,6161472657,1247097,10501,1686,379,391702,0 6,2,2024-09-07 10:11:11:116,907692,907674,18,0,39770818,0,5535 6,3,2024-09-07 10:11:11:274,1,717,5,0,710,7993,717,0 7,0,2024-09-07 10:11:11:544,178398,0.5,179499,0.6,356120,0.4,475805,2.00 7,1,2024-09-07 10:11:10:850,1255972,1255972,0,0,589505890959,6195869307,1238133,13702,4137,382,391747,0 7,2,2024-09-07 10:11:10:770,906112,905943,169,0,40623497,0,7706 7,3,2024-09-07 10:11:10:857,1,717,1,0,552,8209,717,0 8,0,2024-09-07 10:11:11:335,179926,0.4,179556,0.5,359714,0.4,479785,1.75 8,1,2024-09-07 10:11:11:015,1255101,1255101,0,0,589096102729,6188753947,1236223,14830,4048,366,392853,0 8,2,2024-09-07 10:11:10:790,901584,901582,2,0,45820801,0,5112 8,3,2024-09-07 10:11:10:600,1,717,3,0,772,10672,717,0 9,0,2024-09-07 10:11:11:104,180121,0.4,174888,0.5,366016,0.3,480351,1.75 9,1,2024-09-07 10:11:10:596,1254931,1254931,0,0,589979802910,6215512881,1235193,15385,4353,369,392001,0 9,2,2024-09-07 10:11:11:086,905115,905114,1,0,43754837,0,5281 9,3,2024-09-07 10:11:11:782,1,717,18,0,1273,11222,717,0 10,0,2024-09-07 10:11:11:609,179342,0.3,178873,0.5,358438,0.3,477244,1.75 10,1,2024-09-07 10:11:10:587,1256739,1256739,0,0,589359672741,6180616308,1238590,14414,3735,381,391981,0 10,2,2024-09-07 10:11:10:773,908188,908188,0,0,47041502,0,4713 10,3,2024-09-07 10:11:10:874,1,717,7,0,669,7430,717,0 11,0,2024-09-07 10:11:11:010,178752,0.4,173586,0.6,363272,0.3,478690,1.75 11,1,2024-09-07 10:11:10:579,1258851,1258851,0,0,590458625837,6185071209,1241962,12579,4310,383,391766,0 11,2,2024-09-07 10:11:11:123,905575,905575,0,0,40351779,0,4698 11,3,2024-09-07 10:11:11:305,1,717,0,0,843,8512,717,0 12,0,2024-09-07 10:11:10:942,181131,0.4,180832,0.5,361364,0.4,480446,1.75 12,1,2024-09-07 10:11:10:936,1258250,1258250,0,0,590056265442,6153822315,1248374,8844,1032,368,391960,0 12,2,2024-09-07 10:11:11:545,904325,904325,0,0,40551142,0,4390 12,3,2024-09-07 10:11:11:061,1,717,6,0,386,8702,717,0 13,0,2024-09-07 10:11:11:338,181049,0.4,181231,0.6,361790,0.4,481364,1.75 13,1,2024-09-07 10:11:11:525,1256228,1256228,0,0,589257330434,6198870372,1242037,11107,3084,382,391803,0 13,2,2024-09-07 10:11:10:596,908431,908431,0,0,36806291,0,3287 13,3,2024-09-07 10:11:11:763,1,717,2,0,522,9438,717,0 14,0,2024-09-07 10:11:10:561,179962,0.4,180858,0.6,359828,0.3,478711,1.75 14,1,2024-09-07 10:11:11:562,1264718,1264718,0,0,593304746315,6134786414,1255448,8118,1152,364,391673,0 14,2,2024-09-07 10:11:10:765,908307,908277,30,0,37789031,0,6104 14,3,2024-09-07 10:11:11:115,1,717,1,0,1168,7252,717,0 15,0,2024-09-07 10:11:11:558,178765,0.4,177974,0.6,356117,0.3,475694,1.75 15,1,2024-09-07 10:11:11:609,1260271,1260271,0,0,591970232272,6165907254,1249397,8775,2099,380,391619,0 15,2,2024-09-07 10:11:10:998,907958,907958,0,0,33668811,0,3622 15,3,2024-09-07 10:11:11:405,1,717,1,0,1126,8740,717,0 16,0,2024-09-07 10:11:10:992,179975,0.6,180896,0.8,360864,0.6,480138,2.00 16,1,2024-09-07 10:11:10:568,1259878,1259878,0,0,591210329339,6177261624,1249106,9225,1547,370,392194,0 16,2,2024-09-07 10:11:11:441,906004,906004,0,0,36956811,0,4719 16,3,2024-09-07 10:11:11:142,1,717,19,0,362,8726,717,0 17,0,2024-09-07 10:11:11:782,185978,0.7,181336,0.8,355107,0.7,482416,2.00 17,1,2024-09-07 10:11:10:579,1258099,1258099,0,0,590396904999,6184823880,1246945,9350,1804,368,392075,0 17,2,2024-09-07 10:11:11:677,910801,910800,1,0,38354171,0,5050 17,3,2024-09-07 10:11:10:582,1,717,4,0,518,10034,717,0 18,0,2024-09-07 10:11:10:943,178310,0.6,179595,0.8,357490,0.6,477362,2.25 18,1,2024-09-07 10:11:11:638,1263039,1263039,0,0,591935565341,6137309809,1254847,6759,1433,367,391725,0 18,2,2024-09-07 10:11:11:778,909921,909921,0,0,34559602,0,3541 18,3,2024-09-07 10:11:10:896,1,717,1,0,1059,6393,717,0 19,0,2024-09-07 10:11:11:550,178651,0.6,179088,0.8,356970,0.6,475069,2.00 19,1,2024-09-07 10:11:10:572,1262720,1262720,0,0,592686558816,6137937124,1253845,7712,1163,365,391777,0 19,2,2024-09-07 10:11:11:782,909669,909669,0,0,32211202,0,3988 19,3,2024-09-07 10:11:11:139,1,717,7,0,524,5611,717,0 20,0,2024-09-07 10:11:11:372,180092,0.5,179997,0.6,359892,0.5,480431,2.00 20,1,2024-09-07 10:11:10:600,1257642,1257642,0,0,590607306351,6184733455,1245334,10599,1709,369,391922,0 20,2,2024-09-07 10:11:10:936,907195,907195,0,0,40661911,0,4321 20,3,2024-09-07 10:11:10:605,1,717,6,0,468,11685,717,0 21,0,2024-09-07 10:11:11:128,179909,0.5,180038,0.7,360027,0.5,478058,2.00 21,1,2024-09-07 10:11:11:789,1255122,1255122,0,0,588698277020,6206551001,1236346,14779,3997,368,392016,0 21,2,2024-09-07 10:11:11:068,901580,901103,477,0,52038341,0,17074 21,3,2024-09-07 10:11:11:404,1,717,1,0,713,9797,717,0 22,0,2024-09-07 10:11:11:791,179594,0.5,180232,0.7,360188,0.4,477951,2.00 22,1,2024-09-07 10:11:11:024,1256781,1256781,0,0,589460916786,6194388640,1237659,15516,3606,381,391822,0 22,2,2024-09-07 10:11:10:765,905851,905825,26,0,38614646,0,6328 22,3,2024-09-07 10:11:11:067,1,717,1,0,228,5691,717,0 23,0,2024-09-07 10:11:11:367,178701,0.5,178664,0.6,357158,0.4,476451,2.00 23,1,2024-09-07 10:11:11:006,1257724,1257724,0,0,590152334845,6207541791,1235820,14733,7171,365,391690,0 23,2,2024-09-07 10:11:11:092,908628,908628,0,0,36790960,0,3773 23,3,2024-09-07 10:11:11:780,1,717,1,0,855,9827,717,0 24,0,2024-09-07 10:11:10:833,180393,0.4,179448,0.5,360096,0.3,478684,1.75 24,1,2024-09-07 10:11:10:604,1256613,1256613,0,0,589854341979,6169149014,1244990,9745,1878,367,392269,0 24,2,2024-09-07 10:11:11:069,906410,906407,3,0,43222842,0,6294 24,3,2024-09-07 10:11:11:768,1,717,0,0,468,9278,717,0 25,0,2024-09-07 10:11:11:355,184444,0.4,179178,0.6,352678,0.4,480250,1.75 25,1,2024-09-07 10:11:10:566,1255021,1255021,0,0,588956928349,6216986619,1233877,17140,4004,369,391928,0 25,2,2024-09-07 10:11:11:632,903739,903739,0,0,45286115,0,3978 25,3,2024-09-07 10:11:11:005,1,717,2,0,532,7743,717,0 26,0,2024-09-07 10:11:11:796,178953,0.4,174927,0.6,366929,0.4,478483,2.00 26,1,2024-09-07 10:11:11:545,1259292,1259292,0,0,589778666287,6167497713,1243580,12807,2905,380,391758,0 26,2,2024-09-07 10:11:10:864,906396,906396,0,0,46336277,0,4689 26,3,2024-09-07 10:11:11:783,1,717,1,0,796,8714,717,0 27,0,2024-09-07 10:11:11:791,179511,0.4,180246,0.6,358443,0.4,478321,2.25 27,1,2024-09-07 10:11:11:682,1260566,1260566,0,0,592159524287,6165826830,1249182,9760,1624,381,391683,0 27,2,2024-09-07 10:11:10:869,905080,905015,65,0,40978114,0,5699 27,3,2024-09-07 10:11:11:016,1,717,0,0,564,5949,717,0 28,0,2024-09-07 10:11:11:400,179282,0.4,179277,0.6,358496,0.3,478004,1.75 28,1,2024-09-07 10:11:10:802,1261099,1261099,0,0,592373685345,6178059368,1249619,9052,2428,382,391904,0 28,2,2024-09-07 10:11:11:764,905999,905999,0,0,38303627,0,4060 28,3,2024-09-07 10:11:11:775,1,717,2,0,502,7420,717,0 29,0,2024-09-07 10:11:11:369,185237,0.4,180225,0.6,353991,0.4,482341,1.75 29,1,2024-09-07 10:11:11:576,1264497,1264497,0,0,593722639847,6141342759,1256566,6909,1022,367,391809,0 29,2,2024-09-07 10:11:10:863,905813,905813,0,0,35845406,0,4986 29,3,2024-09-07 10:11:10:965,1,717,1,0,590,7964,717,0 30,0,2024-09-07 10:11:11:455,178890,0.5,174043,0.7,364602,0.5,476164,2.00 30,1,2024-09-07 10:11:10:572,1263194,1263194,0,0,593395634344,6159115720,1253378,8647,1169,380,391672,0 30,2,2024-09-07 10:11:11:274,908270,908270,0,0,34753742,0,4192 30,3,2024-09-07 10:11:10:584,1,717,1,0,519,7337,717,0 31,0,2024-09-07 10:11:11:775,179819,0.4,180845,0.6,360626,0.4,480771,2.00 31,1,2024-09-07 10:11:10:572,1267027,1267027,0,0,594403476286,6103197467,1259092,6666,1269,356,391712,0 31,2,2024-09-07 10:11:11:274,908005,908005,0,0,38145585,0,4470 31,3,2024-09-07 10:11:11:788,1,717,0,0,248,6222,717,0 32,0,2024-09-07 10:11:11:500,179465,0.3,180429,0.5,359771,0.2,479135,1.50 32,1,2024-09-07 10:11:10:806,1262473,1262473,0,0,592322101848,6154643098,1254967,6540,966,381,391646,0 32,2,2024-09-07 10:11:10:958,908610,908610,0,0,33365018,0,3922 32,3,2024-09-07 10:11:11:015,1,717,5,0,304,5895,717,0 33,0,2024-09-07 10:11:11:497,181171,0.3,180541,0.4,361391,0.2,480842,1.50 33,1,2024-09-07 10:11:10:575,1263271,1263271,0,0,593143002529,6138780675,1253639,8274,1358,368,391730,0 33,2,2024-09-07 10:11:10:760,907339,907304,35,0,37028872,0,7012 33,3,2024-09-07 10:11:10:901,1,717,1,0,329,6695,717,0 34,0,2024-09-07 10:11:10:968,180198,0.3,185356,0.5,354406,0.2,478678,1.75 34,1,2024-09-07 10:11:11:044,1266541,1266541,0,0,593883717852,6109080401,1262522,3810,209,366,391637,0 34,2,2024-09-07 10:11:10:766,908292,908292,0,0,34685425,0,4562 34,3,2024-09-07 10:11:11:770,1,717,7,0,541,6094,717,0 35,0,2024-09-07 10:11:10:876,179066,0.3,179576,0.5,360111,0.2,479439,1.75 35,1,2024-09-07 10:11:11:158,1262051,1262051,0,0,591471717783,6134264942,1253108,7390,1553,382,391769,0 35,2,2024-09-07 10:11:11:585,907642,907642,0,0,40365256,0,4055 35,3,2024-09-07 10:11:10:916,1,717,0,0,466,6601,717,0 36,0,2024-09-07 10:11:11:520,180269,0.4,180500,0.6,360432,0.4,479913,2.00 36,1,2024-09-07 10:11:10:587,1260437,1260437,0,0,591158638554,6165955277,1245651,12432,2354,366,391759,0 36,2,2024-09-07 10:11:11:782,906988,906988,0,0,39895604,0,3875 36,3,2024-09-07 10:11:10:871,1,717,1,0,556,8908,717,0 37,0,2024-09-07 10:11:11:372,178478,0.5,178287,0.6,357096,0.4,476420,2.00 37,1,2024-09-07 10:11:10:569,1260482,1260475,0,7,590261889425,6158107604,1246271,11086,3118,365,391770,0 37,2,2024-09-07 10:11:11:142,905771,905756,15,0,40065821,0,5815 37,3,2024-09-07 10:11:11:766,1,717,1,0,888,9592,717,0 38,0,2024-09-07 10:11:11:437,178825,0.5,173445,0.7,362716,0.4,475558,2.00 38,1,2024-09-07 10:11:11:613,1260204,1260204,0,0,590911854613,6170754389,1243359,13539,3306,368,391821,0 38,2,2024-09-07 10:11:10:767,907764,907717,47,0,39998360,0,6710 38,3,2024-09-07 10:11:10:998,1,717,2,0,689,7960,717,0 39,0,2024-09-07 10:11:11:788,183667,0.5,179324,0.7,350323,0.5,477794,2.00 39,1,2024-09-07 10:11:10:718,1258029,1258029,0,0,590933726692,6187923823,1238214,15582,4233,365,391865,0 39,2,2024-09-07 10:11:11:436,906493,906493,0,0,38273670,0,3478 39,3,2024-09-07 10:11:10:716,1,717,1,0,525,7778,717,0 40,0,2024-09-07 10:11:11:491,177783,0.8,178323,0.9,356181,0.9,475580,2.75 40,1,2024-09-07 10:11:10:575,1258753,1258753,0,0,590349793642,6182139930,1239909,15261,3583,366,391668,0 40,2,2024-09-07 10:11:11:303,905665,905658,7,0,44229863,0,5347 40,3,2024-09-07 10:11:11:143,1,717,0,0,1028,9912,717,0 41,0,2024-09-07 10:11:11:027,178111,1.0,182414,1.0,347863,1.3,472509,2.75 41,1,2024-09-07 10:11:10:773,1259375,1259375,0,0,590852086407,6173739367,1243651,13197,2527,369,391878,0 41,2,2024-09-07 10:11:10:757,902907,902906,1,0,43161186,0,5408 41,3,2024-09-07 10:11:11:680,1,717,1,0,749,8504,717,0 42,0,2024-09-07 10:11:11:477,178566,1.0,178421,1.0,357179,1.2,473735,2.75 42,1,2024-09-07 10:11:11:439,1255996,1255996,0,0,589477780981,6181755008,1238384,14528,3084,380,391675,0 42,2,2024-09-07 10:11:11:134,902602,902601,1,0,44640738,0,5513 42,3,2024-09-07 10:11:11:009,1,717,2,0,892,7098,717,0 43,0,2024-09-07 10:11:10:926,177683,0.8,172943,1.0,362527,0.8,473894,2.25 43,1,2024-09-07 10:11:10:580,1260048,1260048,0,0,591488386726,6172191415,1243934,13365,2749,365,391696,0 43,2,2024-09-07 10:11:11:783,908826,908826,0,0,40845650,0,4723 43,3,2024-09-07 10:11:11:768,1,717,1,0,571,9425,717,0 44,0,2024-09-07 10:11:10:871,180061,0.4,180215,0.6,359984,0.3,479661,1.75 44,1,2024-09-07 10:11:10:577,1262966,1262966,0,0,592379558794,6123239630,1251632,9182,2152,356,391809,0 44,2,2024-09-07 10:11:11:267,906830,906830,0,0,34463017,0,4344 44,3,2024-09-07 10:11:11:096,1,717,3,0,1097,9202,717,0 45,0,2024-09-07 10:11:11:795,177079,0.4,172783,0.6,362489,0.4,475799,2.00 45,1,2024-09-07 10:11:11:006,1262093,1262093,0,0,592029279072,6151477754,1252535,8335,1223,382,391917,0 45,2,2024-09-07 10:11:11:268,907732,907732,0,0,34376368,0,3596 45,3,2024-09-07 10:11:10:934,1,717,6,0,531,6649,717,0 46,0,2024-09-07 10:11:10:956,179502,0.5,178738,0.7,358696,0.5,476835,2.00 46,1,2024-09-07 10:11:10:575,1264067,1264067,0,0,592741739635,6129983678,1254786,7798,1483,366,391709,0 46,2,2024-09-07 10:11:10:598,908462,908462,0,0,35352178,0,4443 46,3,2024-09-07 10:11:11:139,1,717,4,0,908,7687,717,0 47,0,2024-09-07 10:11:11:115,181070,0.5,180617,0.6,362544,0.4,480620,2.00 47,1,2024-09-07 10:11:10:567,1265553,1265553,0,0,592586983435,6121560276,1258037,6502,1014,364,391666,0 47,2,2024-09-07 10:11:10:916,910965,910965,0,0,35878086,0,4477 47,3,2024-09-07 10:11:11:116,1,717,8,0,600,7636,717,0 48,0,2024-09-07 10:11:11:490,180411,0.3,180830,0.4,360623,0.2,480117,1.50 48,1,2024-09-07 10:11:11:022,1261674,1261674,0,0,592021374372,6148818277,1253970,6692,1012,381,391710,0 48,2,2024-09-07 10:11:10:699,908404,908404,0,0,32710961,0,3619 48,3,2024-09-07 10:11:10:756,1,717,3,0,339,6031,717,0 49,0,2024-09-07 10:11:11:791,184471,0.3,180660,0.5,351233,0.2,479633,1.75 49,1,2024-09-07 10:11:11:024,1261223,1261223,0,0,591768333564,6150233033,1253347,6178,1698,382,391809,0 49,2,2024-09-07 10:11:11:805,908593,908593,0,0,34049885,0,4426 49,3,2024-09-07 10:11:11:416,1,717,1,0,992,8273,717,0 50,0,2024-09-07 10:11:11:509,180452,0.3,179326,0.5,360853,0.2,479645,1.75 50,1,2024-09-07 10:11:11:010,1264911,1264911,0,0,594234009972,6140905899,1257348,6830,733,368,391691,0 50,2,2024-09-07 10:11:11:070,906988,906988,0,0,32157918,0,4490 50,3,2024-09-07 10:11:11:301,1,717,11,0,617,7356,717,0 51,0,2024-09-07 10:11:11:686,184762,0.3,180867,0.5,352156,0.2,480494,1.75 51,1,2024-09-07 10:11:11:679,1265848,1265848,0,0,594469216506,6126938635,1259144,5359,1345,365,391706,0 51,2,2024-09-07 10:11:11:318,908375,908375,0,0,31812885,0,3337 51,3,2024-09-07 10:11:11:028,1,717,8,0,678,5558,717,0 52,0,2024-09-07 10:11:11:490,179826,0.5,179709,0.6,359190,0.4,477597,2.00 52,1,2024-09-07 10:11:10:581,1259818,1259818,0,0,590969442530,6177690049,1243058,14333,2427,368,391805,0 52,2,2024-09-07 10:11:11:795,903139,903101,38,0,43086351,0,6742 52,3,2024-09-07 10:11:10:674,1,717,1,0,1782,8022,717,0 53,0,2024-09-07 10:11:11:790,178506,0.6,173317,0.8,362582,0.6,474833,2.25 53,1,2024-09-07 10:11:10:772,1259023,1259023,0,0,590312302045,6182750947,1239649,14942,4432,367,391968,0 53,2,2024-09-07 10:11:11:304,908294,908156,138,0,39410936,0,7690 53,3,2024-09-07 10:11:10:696,1,717,1,0,308,6582,717,0 54,0,2024-09-07 10:11:11:615,177470,0.5,178041,0.7,354331,0.4,473026,2.25 54,1,2024-09-07 10:11:10:581,1261305,1261305,0,0,592278870401,6151938153,1249501,10129,1675,366,391810,0 54,2,2024-09-07 10:11:10:867,907381,907349,32,0,42729588,0,6397 54,3,2024-09-07 10:11:10:764,1,717,39,0,676,9090,717,0 55,0,2024-09-07 10:11:11:788,173280,0.7,178562,0.8,362454,0.6,472262,2.50 55,1,2024-09-07 10:11:10:770,1261929,1261929,0,0,591633577520,6146326713,1249891,10549,1489,365,391731,0 55,2,2024-09-07 10:11:10:728,905316,905260,56,0,39011324,0,7239 55,3,2024-09-07 10:11:10:680,1,717,2,0,304,6400,717,0 56,0,2024-09-07 10:11:11:612,182965,1.2,172384,1.1,355404,1.5,475769,2.75 56,1,2024-09-07 10:11:10:573,1253866,1253866,0,0,588584525074,6216126351,1234350,15761,3755,381,391867,0 56,2,2024-09-07 10:11:11:313,904834,904712,122,0,42031423,0,7432 56,3,2024-09-07 10:11:11:059,1,717,1,0,705,8358,717,0 57,0,2024-09-07 10:11:10:936,177764,1.3,177659,1.2,354666,1.7,474550,3.00 57,1,2024-09-07 10:11:10:985,1257880,1257880,0,0,589355319759,6176567081,1242152,13018,2710,366,392097,0 57,2,2024-09-07 10:11:11:321,907885,907885,0,0,44867947,0,4804 57,3,2024-09-07 10:11:11:782,1,717,1,0,455,7533,717,0 58,0,2024-09-07 10:11:10:557,176587,0.8,171516,0.9,359162,0.9,470056,2.50 58,1,2024-09-07 10:11:10:581,1258720,1258717,0,3,590473905247,6185217698,1240340,13974,4403,367,391726,3 58,2,2024-09-07 10:11:11:070,906672,906672,0,0,40701531,0,3483 58,3,2024-09-07 10:11:11:069,1,717,1,0,1043,7618,717,0 59,0,2024-09-07 10:11:11:796,179002,0.9,177952,1.0,356170,1.1,472780,3.00 59,1,2024-09-07 10:11:10:806,1258094,1258094,0,0,589611187425,6186896847,1238591,15665,3838,369,391653,0 59,2,2024-09-07 10:11:10:589,905967,905967,0,0,38849148,0,3727 59,3,2024-09-07 10:11:11:783,1,717,8,0,1015,8371,717,0 60,0,2024-09-07 10:11:11:807,179648,0.5,179950,0.7,359619,0.5,479881,2.00 60,1,2024-09-07 10:11:10:778,1262087,1262087,0,0,591363037875,6155536947,1251144,9437,1506,370,392031,0 60,2,2024-09-07 10:11:11:153,908638,908638,0,0,37169813,0,3811 60,3,2024-09-07 10:11:11:258,1,717,1,0,409,8159,717,0 61,0,2024-09-07 10:11:11:513,180201,0.6,180839,0.7,360285,0.6,480331,2.00 61,1,2024-09-07 10:11:10:772,1258967,1258967,0,0,591120292132,6185111356,1246272,10843,1852,382,392127,0 61,2,2024-09-07 10:11:11:116,908699,908632,67,0,38007994,0,6411 61,3,2024-09-07 10:11:11:769,1,717,1,0,607,8790,717,0 62,0,2024-09-07 10:11:11:806,180132,0.5,184676,0.7,352479,0.5,478951,2.00 62,1,2024-09-07 10:11:11:111,1266800,1266794,0,6,594432436052,6133747623,1259528,6646,620,365,391975,6 62,2,2024-09-07 10:11:11:645,904442,904441,1,0,37695246,0,5555 62,3,2024-09-07 10:11:11:143,1,717,1,0,482,5577,717,0 63,0,2024-09-07 10:11:11:452,180983,0.4,180733,0.6,362136,0.3,481152,1.75 63,1,2024-09-07 10:11:10:805,1262666,1262660,0,6,591720699042,6143780506,1254735,6973,952,381,391800,6 63,2,2024-09-07 10:11:10:773,906273,906273,0,0,37051031,0,4369 63,3,2024-09-07 10:11:11:782,1,717,1,0,667,8114,717,0 64,0,2024-09-07 10:11:11:529,179321,0.5,179285,0.7,358082,0.4,477204,2.00 64,1,2024-09-07 10:11:10:774,1260961,1260961,0,0,591959234099,6158777572,1250735,8196,2030,370,391794,0 64,2,2024-09-07 10:11:11:141,911544,911525,19,0,35644529,0,6121 64,3,2024-09-07 10:11:11:140,1,717,17,0,651,8112,717,0 65,0,2024-09-07 10:11:11:678,178384,0.6,178516,0.7,356204,0.6,475698,2.00 65,1,2024-09-07 10:11:10:860,1259625,1259625,0,0,590768433166,6169283963,1251373,7354,898,381,391901,0 65,2,2024-09-07 10:11:11:792,906179,906179,0,0,42838970,0,3367 65,3,2024-09-07 10:11:11:770,1,717,3,0,782,8264,717,0 66,0,2024-09-07 10:11:11:800,179359,0.5,178855,0.7,357678,0.5,476590,2.00 66,1,2024-09-07 10:11:11:298,1261619,1261619,0,0,591751254989,6155400306,1254106,6638,875,380,391743,0 66,2,2024-09-07 10:11:11:131,909710,909707,3,0,37277043,0,5455 66,3,2024-09-07 10:11:11:078,1,717,1,0,291,6359,717,0 67,0,2024-09-07 10:11:11:477,179061,0.5,178577,0.7,358016,0.4,476852,2.00 67,1,2024-09-07 10:11:10:776,1261156,1261155,0,1,591683942143,6156426168,1252715,7300,1140,380,391787,1 67,2,2024-09-07 10:11:10:589,909601,909586,15,0,37968291,0,6205 67,3,2024-09-07 10:11:11:777,1,717,8,0,595,7425,717,0 68,0,2024-09-07 10:11:10:559,179761,0.6,179524,0.7,357605,0.5,478512,2.00 68,1,2024-09-07 10:11:10:573,1256544,1256544,0,0,589237922835,6193765671,1239807,12462,4275,381,391953,0 68,2,2024-09-07 10:11:11:044,902544,902444,100,0,45713189,0,8578 68,3,2024-09-07 10:11:10:727,1,717,2,0,417,10156,717,0 69,0,2024-09-07 10:11:11:868,179253,0.7,179840,0.8,358864,0.7,477721,2.25 69,1,2024-09-07 10:11:11:024,1256009,1256009,0,0,588933655385,6198122685,1241076,11947,2986,383,391994,0 69,2,2024-09-07 10:11:11:783,902757,902728,29,0,48898629,0,6912 69,3,2024-09-07 10:11:10:764,1,717,0,0,698,10508,717,0 70,0,2024-09-07 10:11:11:543,177475,0.7,178250,0.9,357342,0.6,474060,2.25 70,1,2024-09-07 10:11:10:803,1263045,1263045,0,0,592948061797,6149706932,1253575,8425,1045,366,391725,0 70,2,2024-09-07 10:11:11:327,908789,908789,0,0,40053757,0,4323 70,3,2024-09-07 10:11:10:745,1,717,38,0,854,7794,717,0 71,0,2024-09-07 10:11:11:361,178195,0.7,177616,0.9,356259,0.8,475195,2.50 71,1,2024-09-07 10:11:11:596,1260171,1260171,0,0,591151183969,6162097266,1245730,12655,1786,367,391738,0 71,2,2024-09-07 10:11:11:070,904931,904931,0,0,40565924,0,4352 71,3,2024-09-07 10:11:11:777,1,717,2,0,644,7674,717,0 72,0,2024-09-07 10:11:11:054,185661,0.5,181270,0.7,354280,0.5,481196,2.00 72,1,2024-09-07 10:11:11:034,1258866,1258866,0,0,589904064342,6180086479,1241911,14218,2737,369,391819,0 72,2,2024-09-07 10:11:11:778,903490,903490,0,0,42612952,0,3983 72,3,2024-09-07 10:11:11:775,1,717,3,0,564,9647,717,0 73,0,2024-09-07 10:11:11:121,175549,0.5,180096,0.6,368059,0.4,479054,2.00 73,1,2024-09-07 10:11:10:768,1260566,1260566,0,0,591779092472,6147518612,1251026,8473,1067,367,391858,0 73,2,2024-09-07 10:11:11:792,906457,906456,1,0,44559792,0,5027 73,3,2024-09-07 10:11:10:969,1,717,1,0,1091,9467,717,0 74,0,2024-09-07 10:11:11:328,181128,0.5,185384,0.6,353542,0.4,480078,2.00 74,1,2024-09-07 10:11:10:641,1259644,1259644,0,0,590409965966,6155770225,1247277,10218,2149,381,391762,0 74,2,2024-09-07 10:11:11:001,907482,907482,0,0,38708777,0,4253 74,3,2024-09-07 10:11:11:442,1,717,13,0,522,8008,717,0 75,0,2024-09-07 10:11:11:771,178772,0.5,177824,0.7,356956,0.4,477159,2.00 75,1,2024-09-07 10:11:11:595,1260900,1260900,0,0,591714887361,6160892702,1251010,8895,995,380,391739,0 75,2,2024-09-07 10:11:11:357,905061,905061,0,0,45782824,0,4766 75,3,2024-09-07 10:11:11:068,1,717,1,0,918,9666,717,0 76,0,2024-09-07 10:11:10:602,179671,0.6,179313,0.7,358042,0.6,478461,2.25 76,1,2024-09-07 10:11:10:806,1259628,1259628,0,0,591001185603,6163066329,1250705,7612,1311,382,392525,0 76,2,2024-09-07 10:11:11:073,909377,909374,3,0,38966090,0,5265 76,3,2024-09-07 10:11:11:143,1,717,2,0,249,6596,717,0 77,0,2024-09-07 10:11:11:706,179956,0.6,180161,0.7,360731,0.5,479557,2.00 77,1,2024-09-07 10:11:10:824,1259069,1259069,0,0,590952874787,6168349642,1248777,9199,1093,381,391869,0 77,2,2024-09-07 10:11:11:285,907518,907518,0,0,37615944,0,3890 77,3,2024-09-07 10:11:11:100,1,717,1,0,401,7981,717,0 78,0,2024-09-07 10:11:11:794,180761,0.4,179873,0.6,361248,0.4,478145,2.00 78,1,2024-09-07 10:11:10:614,1259439,1259439,0,0,590401273265,6158535730,1243891,12216,3332,367,391670,0 78,2,2024-09-07 10:11:11:404,908966,908953,13,0,35047286,0,8313 78,3,2024-09-07 10:11:11:135,1,717,0,0,311,5996,717,0 79,0,2024-09-07 10:11:11:405,173331,0.4,177530,0.6,363640,0.3,473913,2.00 79,1,2024-09-07 10:11:10:578,1263913,1263913,0,0,592793983441,6137159144,1254081,8030,1802,367,391682,0 79,2,2024-09-07 10:11:11:070,908053,908053,0,0,34005944,0,4195 79,3,2024-09-07 10:11:10:761,1,717,12,0,418,8213,717,0 80,0,2024-09-07 10:11:11:142,180457,0.5,185191,0.7,353649,0.5,479112,2.00 80,1,2024-09-07 10:11:11:620,1260179,1260179,0,0,591494003746,6155982463,1252170,7425,584,368,392269,0 80,2,2024-09-07 10:11:11:103,910383,910383,0,0,34695446,0,4433 80,3,2024-09-07 10:11:10:588,1,717,3,0,681,8727,717,0 81,0,2024-09-07 10:11:11:544,180184,0.6,184357,0.7,351551,0.6,477162,2.00 81,1,2024-09-07 10:11:11:653,1259017,1259017,0,0,590131812015,6165844583,1249137,8882,998,382,392001,0 81,2,2024-09-07 10:11:11:125,907255,907192,63,0,38642904,0,5932 81,3,2024-09-07 10:11:11:117,1,717,1,0,719,7749,717,0 82,0,2024-09-07 10:11:11:530,179727,0.5,179832,0.7,360249,0.5,478117,2.00 82,1,2024-09-07 10:11:10:585,1260766,1260762,0,4,591248604016,6149161404,1253803,5833,1126,381,391768,4 82,2,2024-09-07 10:11:11:791,909948,909948,0,0,32035740,0,4484 82,3,2024-09-07 10:11:11:782,1,717,1,0,363,6335,717,0 83,0,2024-09-07 10:11:11:525,179216,0.5,178968,0.7,357804,0.5,475597,2.00 83,1,2024-09-07 10:11:10:574,1259952,1259952,0,0,591282473491,6161067328,1250914,8009,1029,382,391709,0 83,2,2024-09-07 10:11:10:774,908625,908600,25,0,34913236,0,5612 83,3,2024-09-07 10:11:10:757,1,717,1,0,1260,8244,717,0 84,0,2024-09-07 10:11:11:798,177799,0.7,177704,0.8,355735,0.6,474931,2.25 84,1,2024-09-07 10:11:11:039,1258579,1258579,0,0,590841116186,6175076047,1244856,11637,2086,367,391967,0 84,2,2024-09-07 10:11:10:582,904531,904121,410,0,50079639,0,17037 84,3,2024-09-07 10:11:11:149,1,717,1,0,908,9745,717,0 85,0,2024-09-07 10:11:11:002,172564,0.7,172536,0.8,366201,0.7,472754,2.25 85,1,2024-09-07 10:11:10:597,1254729,1254729,0,0,589593422358,6214289770,1236911,14884,2934,381,392092,0 85,2,2024-09-07 10:11:10:869,905605,905605,0,0,42564470,0,4255 85,3,2024-09-07 10:11:10:701,1,717,0,0,789,8531,717,0 86,0,2024-09-07 10:11:10:883,178967,0.7,184032,0.8,352375,0.8,476612,2.25 86,1,2024-09-07 10:11:10:828,1257486,1257486,0,0,590512352296,6195807484,1239773,14395,3318,366,392169,0 86,2,2024-09-07 10:11:10:855,905844,905843,1,0,44525343,0,5004 86,3,2024-09-07 10:11:10:591,1,717,5,0,441,9857,717,0 87,0,2024-09-07 10:11:11:284,179966,0.7,178710,0.8,357992,0.8,478218,2.25 87,1,2024-09-07 10:11:10:550,1257636,1257636,0,0,589684850899,6171101243,1242679,12791,2166,366,392076,0 87,2,2024-09-07 10:11:11:074,907679,907673,6,0,41965043,0,6323 87,3,2024-09-07 10:11:11:799,1,717,2,0,473,9919,717,0 88,0,2024-09-07 10:11:11:438,178973,0.4,179309,0.6,358652,0.4,478266,1.75 88,1,2024-09-07 10:11:10:569,1255923,1255923,0,0,589804087314,6174748081,1239053,13726,3144,365,392084,0 88,2,2024-09-07 10:11:10:703,905821,905821,0,0,44083389,0,4465 88,3,2024-09-07 10:11:11:268,1,717,1,0,1080,11224,717,0 89,0,2024-09-07 10:11:11:780,185348,0.5,179986,0.6,354525,0.4,481592,1.75 89,1,2024-09-07 10:11:10:551,1256194,1256194,0,0,589748539618,6195520057,1241490,12421,2283,382,392084,0 89,2,2024-09-07 10:11:11:135,905845,905845,0,0,41450212,0,3173 89,3,2024-09-07 10:11:11:795,1,717,0,0,729,12449,717,0 90,0,2024-09-07 10:11:11:616,174653,0.5,179222,0.6,366006,0.4,477580,2.00 90,1,2024-09-07 10:11:10:630,1258171,1258171,0,0,589634405695,6174320476,1245691,11205,1275,380,391825,0 90,2,2024-09-07 10:11:11:405,903253,903248,5,0,44757491,0,6370 90,3,2024-09-07 10:11:10:947,1,717,6,0,364,9259,717,0 91,0,2024-09-07 10:11:10:932,180879,0.5,175462,0.6,367213,0.4,481322,1.75 91,1,2024-09-07 10:11:10:559,1255139,1255139,0,0,589888125726,6215122248,1236416,14575,4148,381,392047,0 91,2,2024-09-07 10:11:11:334,907164,907164,0,0,40068153,0,4713 91,3,2024-09-07 10:11:10:609,1,717,1,0,231,6596,717,0 92,0,2024-09-07 10:11:11:447,180999,0.4,185212,0.6,353096,0.4,479226,1.75 92,1,2024-09-07 10:11:10:585,1258776,1258776,0,0,590920485354,6169970315,1249225,8237,1314,381,392136,0 92,2,2024-09-07 10:11:11:349,906814,906814,0,0,36506292,0,3906 92,3,2024-09-07 10:11:11:009,1,717,1,0,322,6337,717,0 93,0,2024-09-07 10:11:10:986,181483,0.4,186042,0.6,355159,0.3,480924,1.75 93,1,2024-09-07 10:11:10:805,1259331,1259331,0,0,591220261891,6174077763,1244911,11983,2437,365,392048,0 93,2,2024-09-07 10:11:10:927,906518,906518,0,0,42142442,0,4913 93,3,2024-09-07 10:11:11:405,1,717,2,0,788,8694,717,0 94,0,2024-09-07 10:11:11:600,179270,0.4,180568,0.6,361388,0.4,479461,1.75 94,1,2024-09-07 10:11:10:599,1260942,1260942,0,0,591195361978,6163282747,1252626,7661,655,381,391850,0 94,2,2024-09-07 10:11:10:777,908018,907990,28,0,36664087,0,6179 94,3,2024-09-07 10:11:11:769,1,717,20,0,576,8525,717,0 95,0,2024-09-07 10:11:11:343,179612,0.4,179257,0.5,358875,0.3,478548,1.75 95,1,2024-09-07 10:11:10:858,1261175,1261175,0,0,591845922911,6147487262,1251792,8567,816,365,391852,0 95,2,2024-09-07 10:11:11:016,905584,905584,0,0,36729745,0,3308 95,3,2024-09-07 10:11:11:784,1,717,1,0,718,10586,717,0 96,0,2024-09-07 10:11:11:053,179789,0.3,180121,0.5,360509,0.3,479157,1.75 96,1,2024-09-07 10:11:11:592,1258904,1258904,0,0,591626561489,6170353588,1249959,7567,1378,384,392292,0 96,2,2024-09-07 10:11:11:271,909024,909024,0,0,37118541,0,4225 96,3,2024-09-07 10:11:11:142,1,717,1,0,411,7884,717,0 97,0,2024-09-07 10:11:11:326,178948,0.3,178892,0.5,358374,0.3,476851,1.50 97,1,2024-09-07 10:11:10:766,1262024,1262024,0,0,592236886339,6142483189,1254070,6709,1245,367,392140,0 97,2,2024-09-07 10:11:10:616,908190,908190,0,0,37273806,0,4600 97,3,2024-09-07 10:11:10:574,1,717,12,0,433,8613,717,0 98,0,2024-09-07 10:11:11:699,179337,0.3,179508,0.5,360300,0.3,478941,1.50 98,1,2024-09-07 10:11:10:573,1260379,1260379,0,0,591420285189,6157652724,1252914,6563,902,381,391997,0 98,2,2024-09-07 10:11:10:777,906635,906635,0,0,35543141,0,4336 98,3,2024-09-07 10:11:10:705,1,717,1,0,840,9781,717,0 99,0,2024-09-07 10:11:11:472,180092,0.3,180932,0.5,360622,0.3,480474,1.75 99,1,2024-09-07 10:11:11:783,1261474,1261474,0,0,591245529687,6151206160,1253664,6698,1112,380,392069,0 99,2,2024-09-07 10:11:11:416,905936,905936,0,0,44986156,0,4276 99,3,2024-09-07 10:11:10:584,1,717,7,0,1124,8965,717,0 100,0,2024-09-07 10:11:11:462,178826,0.7,179501,0.9,358837,0.8,478104,2.25 100,1,2024-09-07 10:11:10:548,1253983,1253983,0,0,587852823693,6212407469,1234830,15355,3798,378,391989,0 100,2,2024-09-07 10:11:11:817,904435,904046,389,0,46385716,0,16909 100,3,2024-09-07 10:11:11:784,1,717,5,0,627,10851,717,0 101,0,2024-09-07 10:11:11:809,183018,0.8,178665,0.9,349513,0.8,477231,2.25 101,1,2024-09-07 10:11:10:552,1256240,1256240,0,0,589251164205,6187746547,1239637,13650,2953,368,392018,0 101,2,2024-09-07 10:11:11:781,901254,901215,39,0,48046283,0,5913 101,3,2024-09-07 10:11:10:954,1,717,0,0,1250,10869,717,0 102,0,2024-09-07 10:11:10:962,174888,0.6,179970,0.8,366092,0.6,477898,2.25 102,1,2024-09-07 10:11:11:142,1256459,1256459,0,0,589511982800,6185574798,1240613,13586,2260,369,391984,0 102,2,2024-09-07 10:11:11:783,906941,906887,54,0,39852938,0,6768 102,3,2024-09-07 10:11:11:613,1,717,1,0,466,8174,717,0 103,0,2024-09-07 10:11:11:598,185805,0.7,185823,0.8,350075,0.7,481382,2.25 103,1,2024-09-07 10:11:11:633,1255206,1255206,0,0,588781846068,6209825190,1236281,15296,3629,381,392077,0 103,2,2024-09-07 10:11:10:601,904802,904802,0,0,43376235,0,3766 103,3,2024-09-07 10:11:10:757,1,717,2,0,916,8287,717,0 104,0,2024-09-07 10:11:11:005,178721,0.7,179015,0.9,357224,0.7,477246,2.25 104,1,2024-09-07 10:11:11:601,1257490,1257490,0,0,589638637353,6192079383,1239031,14993,3466,365,392168,0 104,2,2024-09-07 10:11:11:666,905616,905616,0,0,42464289,0,4161 104,3,2024-09-07 10:11:11:416,1,717,1,0,1245,12285,717,0 105,0,2024-09-07 10:11:11:033,176750,0.8,172342,1.0,361394,0.8,474890,2.50 105,1,2024-09-07 10:11:10:568,1259137,1259137,0,0,590731439776,6181606879,1244337,12683,2117,364,392009,0 105,2,2024-09-07 10:11:11:323,904215,904215,0,0,43778113,0,4360 105,3,2024-09-07 10:11:11:303,1,717,1,0,573,10424,717,0 106,0,2024-09-07 10:11:10:940,174054,0.9,178651,0.9,364885,1.0,476696,2.50 106,1,2024-09-07 10:11:11:783,1258030,1258030,0,0,590270457449,6186899184,1241580,14296,2154,368,391914,0 106,2,2024-09-07 10:11:10:762,906512,906512,0,0,40322034,0,3331 106,3,2024-09-07 10:11:10:677,1,717,1,0,1224,9763,717,0 107,0,2024-09-07 10:11:11:118,180060,1.3,180027,1.0,360225,1.8,480584,2.25 107,1,2024-09-07 10:11:10:599,1254588,1254588,0,0,588167312321,6210456262,1235464,16868,2256,381,392234,0 107,2,2024-09-07 10:11:11:291,903785,903784,1,0,44183422,0,5024 107,3,2024-09-07 10:11:11:768,1,717,3,0,733,11123,717,0 108,0,2024-09-07 10:11:11:782,179847,0.4,180757,0.6,360442,0.4,480048,1.75 108,1,2024-09-07 10:11:11:293,1258338,1258338,0,0,590549007382,6163731591,1246817,10254,1267,367,391899,0 108,2,2024-09-07 10:11:11:769,907746,907746,0,0,39411931,0,4432 108,3,2024-09-07 10:11:11:330,1,717,1,0,767,12696,717,0 109,0,2024-09-07 10:11:11:824,180139,0.4,178884,0.6,358515,0.3,478523,1.75 109,1,2024-09-07 10:11:10:625,1256773,1256773,0,0,590218357131,6188869745,1246099,9166,1508,382,392132,0 109,2,2024-09-07 10:11:10:922,904965,904965,0,0,39419862,0,3617 109,3,2024-09-07 10:11:11:144,1,717,8,0,630,8677,717,0 110,0,2024-09-07 10:11:11:817,179873,0.4,174902,0.6,366399,0.3,480674,1.75 110,1,2024-09-07 10:11:11:645,1261600,1261600,0,0,592132382249,6145866624,1252122,7392,2086,368,392045,0 110,2,2024-09-07 10:11:11:305,907296,907296,0,0,38804856,0,4067 110,3,2024-09-07 10:11:10:695,1,717,4,0,722,9498,717,0 111,0,2024-09-07 10:11:11:412,180743,0.4,179881,0.5,359531,0.3,479988,1.75 111,1,2024-09-07 10:11:11:006,1262942,1262942,0,0,592729413651,6152399946,1255673,6761,508,380,391690,0 111,2,2024-09-07 10:11:11:116,907563,907563,0,0,38100163,0,4823 111,3,2024-09-07 10:11:10:919,1,717,1,0,379,8009,717,0 112,0,2024-09-07 10:11:10:913,180506,0.3,180091,0.4,361125,0.2,479476,1.50 112,1,2024-09-07 10:11:10:824,1261697,1261697,0,0,592323402910,6144935306,1254062,6408,1227,380,391624,0 112,2,2024-09-07 10:11:11:140,906620,906619,1,0,35931907,0,5036 112,3,2024-09-07 10:11:10:593,1,717,1,0,282,6961,717,0 113,0,2024-09-07 10:11:10:868,178989,0.3,179397,0.5,359139,0.2,477907,1.50 113,1,2024-09-07 10:11:11:769,1265591,1265591,0,0,593647385953,6121427543,1258667,5973,951,365,391664,0 113,2,2024-09-07 10:11:11:304,911297,911297,0,0,33073762,0,3813 113,3,2024-09-07 10:11:10:692,1,717,1,0,510,7086,717,0 114,0,2024-09-07 10:11:10:881,179310,0.3,180515,0.5,359950,0.2,480612,1.75 114,1,2024-09-07 10:11:10:733,1260744,1260744,0,0,592214421324,6145891075,1251907,7033,1804,381,391565,0 114,2,2024-09-07 10:11:10:879,908805,908804,1,0,35511192,0,5069 114,3,2024-09-07 10:11:11:279,1,717,62,0,415,5774,717,0 115,0,2024-09-07 10:11:10:560,180346,0.3,180806,0.4,360243,0.2,479469,1.50 115,1,2024-09-07 10:11:10:578,1262268,1262268,0,0,592250504063,6148845962,1252705,7769,1794,382,391757,0 115,2,2024-09-07 10:11:11:125,908405,908405,0,0,35551072,0,4382 115,3,2024-09-07 10:11:11:002,1,717,5,0,212,4665,717,0 116,0,2024-09-07 10:11:11:807,178884,0.7,178920,0.9,358452,0.7,479150,2.25 116,1,2024-09-07 10:11:10:814,1255315,1255315,0,0,589727080756,6206808744,1241202,10895,3218,380,392089,0 116,2,2024-09-07 10:11:11:778,906445,906445,0,0,42828393,0,4475 116,3,2024-09-07 10:11:10:918,1,717,3,0,448,8958,717,0 117,0,2024-09-07 10:11:10:984,179651,0.6,179280,0.8,358971,0.6,479606,2.00 117,1,2024-09-07 10:11:11:592,1257760,1257760,0,0,588927896244,6164810179,1243870,12120,1770,369,392429,0 117,2,2024-09-07 10:11:11:122,909496,909496,0,0,39426261,0,4303 117,3,2024-09-07 10:11:11:060,1,717,5,0,490,8587,717,0 118,0,2024-09-07 10:11:11:813,173615,0.5,178364,0.7,363851,0.4,476141,2.00 118,1,2024-09-07 10:11:10:608,1256349,1256349,0,0,589227324449,6186877112,1238824,13677,3848,366,392054,0 118,2,2024-09-07 10:11:11:587,905921,905921,0,0,41950615,0,3161 118,3,2024-09-07 10:11:11:764,1,717,3,0,343,8397,717,0 119,0,2024-09-07 10:11:11:363,180127,0.7,180295,0.8,360231,0.7,479839,2.25 119,1,2024-09-07 10:11:10:548,1257551,1257551,0,0,590279612871,6184075160,1241507,13375,2669,367,391857,0 119,2,2024-09-07 10:11:11:261,906335,906335,0,0,38715034,0,4309 119,3,2024-09-07 10:11:11:341,1,717,7,0,1358,12366,717,0 120,0,2024-09-07 10:11:11:555,178826,0.7,178998,0.9,359133,0.7,478806,2.50 120,1,2024-09-07 10:11:10:863,1258290,1258290,0,0,589758565919,6179009529,1245602,11528,1160,367,392144,0 120,2,2024-09-07 10:11:10:777,906400,906397,3,0,46631967,0,5363 120,3,2024-09-07 10:11:11:290,1,717,1,0,478,9059,717,0 121,0,2024-09-07 10:11:11:700,180335,1.1,179699,1.0,359797,1.4,479014,2.25 121,1,2024-09-07 10:11:11:655,1257455,1257455,0,0,589795873107,6169620558,1243904,11554,1997,366,391924,0 121,2,2024-09-07 10:11:11:127,907238,907238,0,0,42380772,0,4157 121,3,2024-09-07 10:11:10:730,1,717,3,0,387,9359,717,0 122,0,2024-09-07 10:11:11:780,178719,0.7,173972,0.8,364218,0.7,478653,2.00 122,1,2024-09-07 10:11:10:864,1256987,1256987,0,0,590287816738,6186354332,1240689,13719,2579,365,392130,0 122,2,2024-09-07 10:11:11:319,906072,905997,75,0,46479812,0,5989 122,3,2024-09-07 10:11:10:595,1,717,1,0,512,10635,717,0 123,0,2024-09-07 10:11:10:948,179495,0.8,174920,0.8,366908,0.9,479045,2.25 123,1,2024-09-07 10:11:10:558,1258188,1258188,0,0,590156756117,6199041964,1239083,16076,3029,369,392039,0 123,2,2024-09-07 10:11:11:018,905892,905891,1,0,39848601,0,5215 123,3,2024-09-07 10:11:11:139,1,717,1,0,478,7954,717,0 124,0,2024-09-07 10:11:10:936,185061,0.3,185141,0.5,348821,0.3,480335,1.75 124,1,2024-09-07 10:11:11:023,1261382,1261382,0,0,590462411416,6138122575,1251768,8351,1263,365,392178,0 124,2,2024-09-07 10:11:11:011,909757,909704,53,0,37292807,0,6487 124,3,2024-09-07 10:11:10:765,1,717,7,0,490,7317,717,0 125,0,2024-09-07 10:11:11:461,179413,0.4,179355,0.5,359473,0.3,479046,1.75 125,1,2024-09-07 10:11:10:855,1258605,1258605,0,0,590751311941,6170202304,1247543,9475,1587,382,392045,0 125,2,2024-09-07 10:11:11:123,910057,910057,0,0,36720220,0,4534 125,3,2024-09-07 10:11:11:131,1,717,17,0,709,7881,717,0 126,0,2024-09-07 10:11:11:413,180145,0.4,185248,0.5,354023,0.3,480013,1.75 126,1,2024-09-07 10:11:10:574,1262206,1262206,0,0,592622248039,6138168324,1254915,6587,704,365,391987,0 126,2,2024-09-07 10:11:10:614,909029,909029,0,0,38499566,0,4539 126,3,2024-09-07 10:11:10:911,1,717,1,0,268,8055,717,0 127,0,2024-09-07 10:11:11:652,179375,0.3,179700,0.5,358001,0.3,477185,1.75 127,1,2024-09-07 10:11:10:579,1260607,1260607,0,0,591414338347,6151054886,1248140,10690,1777,364,392187,0 127,2,2024-09-07 10:11:10:636,908084,908080,4,0,36435019,0,5305 127,3,2024-09-07 10:11:11:267,1,717,1,0,968,7107,717,0 128,0,2024-09-07 10:11:11:605,180535,0.3,180241,0.4,361004,0.2,479752,1.50 128,1,2024-09-07 10:11:11:620,1260650,1260650,0,0,591583880298,6147623657,1251647,8030,973,367,392423,0 128,2,2024-09-07 10:11:11:393,909133,909133,0,0,33981745,0,3171 128,3,2024-09-07 10:11:10:768,1,717,0,0,1082,10740,717,0 129,0,2024-09-07 10:11:11:012,181401,0.3,180651,0.5,362261,0.3,481258,1.50 129,1,2024-09-07 10:11:10:601,1256654,1256654,0,0,589730856622,6182496940,1243673,10651,2330,379,391962,0 129,2,2024-09-07 10:11:10:691,908055,908051,4,0,36819633,0,5335 129,3,2024-09-07 10:11:10:702,1,717,1,0,506,10283,717,0 130,0,2024-09-07 10:11:11:789,180048,0.4,179838,0.5,360177,0.4,479635,1.75 130,1,2024-09-07 10:11:10:600,1261695,1261695,0,0,591776123088,6142562138,1255831,5455,409,381,391836,0 130,2,2024-09-07 10:11:11:144,909355,909355,0,0,36456567,0,4067 130,3,2024-09-07 10:11:11:291,1,717,10,0,960,9010,717,0 131,0,2024-09-07 10:11:11:926,179208,0.3,179349,0.5,360239,0.3,478849,1.50 131,1,2024-09-07 10:11:11:820,1261224,1261224,0,0,591243169691,6157939117,1253059,6951,1214,381,391865,0 131,2,2024-09-07 10:11:10:586,906971,906971,0,0,34700746,0,3979 131,3,2024-09-07 10:11:11:768,1,717,1,0,392,9069,717,0 132,0,2024-09-07 10:11:11:416,180283,0.5,181247,0.6,360849,0.4,480081,2.00 132,1,2024-09-07 10:11:10:580,1256350,1256350,0,0,588938862209,6190763303,1239828,13739,2783,381,392532,0 132,2,2024-09-07 10:11:10:716,905708,905691,17,0,43250799,0,6451 132,3,2024-09-07 10:11:11:782,1,717,2,0,1298,12043,717,0 133,0,2024-09-07 10:11:11:629,175765,0.5,179776,0.6,368876,0.4,479512,2.00 133,1,2024-09-07 10:11:10:589,1255903,1255903,0,0,589912000379,6205902430,1240435,13532,1936,383,391914,0 133,2,2024-09-07 10:11:11:089,908007,907957,50,0,44261322,0,6861 133,3,2024-09-07 10:11:11:305,1,717,1,0,528,8481,717,0 134,0,2024-09-07 10:11:10:942,180592,0.5,180587,0.7,360667,0.5,480530,2.00 134,1,2024-09-07 10:11:10:587,1257654,1257654,0,0,589250402007,6176598765,1241556,12806,3292,366,391826,0 134,2,2024-09-07 10:11:11:769,907272,907133,139,0,42068753,0,7591 134,3,2024-09-07 10:11:10:754,1,717,6,0,739,8573,717,0 135,0,2024-09-07 10:11:11:098,173181,0.6,173233,0.8,367632,0.7,474265,2.00 135,1,2024-09-07 10:11:11:590,1256970,1256970,0,0,590073985617,6196222185,1242537,12580,1853,380,392038,0 135,2,2024-09-07 10:11:10:692,906949,906949,0,0,42523398,0,4503 135,3,2024-09-07 10:11:11:005,1,717,3,0,900,6718,717,0 136,0,2024-09-07 10:11:11:630,180248,0.6,180760,0.8,359911,0.6,479739,2.00 136,1,2024-09-07 10:11:11:445,1258740,1258740,0,0,590383192484,6180251576,1245890,11422,1428,381,392135,0 136,2,2024-09-07 10:11:11:138,908749,908734,15,0,41473756,0,6007 136,3,2024-09-07 10:11:11:111,1,717,4,0,637,8271,717,0 137,0,2024-09-07 10:11:10:934,185840,0.6,181294,0.7,354997,0.7,482131,2.00 137,1,2024-09-07 10:11:10:593,1257341,1257341,0,0,590254454080,6182996228,1238852,14843,3646,366,391898,0 137,2,2024-09-07 10:11:11:792,906481,906481,0,0,42717205,0,3185 137,3,2024-09-07 10:11:10:771,1,717,1,0,484,9891,717,0 138,0,2024-09-07 10:11:11:793,179216,0.9,179474,0.9,359552,1.1,478315,2.25 138,1,2024-09-07 10:11:11:687,1257346,1257346,0,0,590910874233,6194880451,1240196,14457,2693,368,391954,0 138,2,2024-09-07 10:11:10:597,905738,905738,0,0,41171720,0,4988 138,3,2024-09-07 10:11:10:614,1,717,7,0,1200,10425,717,0 139,0,2024-09-07 10:11:11:358,178067,0.8,178782,0.9,357102,1.0,476883,2.25 139,1,2024-09-07 10:11:10:585,1253482,1253482,0,0,587360247105,6216321900,1233433,16134,3915,380,392109,0 139,2,2024-09-07 10:11:10:697,900787,900757,30,0,46838326,0,5997 139,3,2024-09-07 10:11:11:665,1,717,2,0,432,8293,717,0 140,0,2024-09-07 10:11:11:598,180562,0.3,179891,0.5,360760,0.2,480749,1.75 140,1,2024-09-07 10:11:11:535,1265062,1265062,0,0,594001881011,6127535788,1258251,6006,805,364,391682,0 140,2,2024-09-07 10:11:10:703,907700,907699,1,0,35231744,0,5036 140,3,2024-09-07 10:11:10:770,1,717,12,0,575,7467,717,0 141,0,2024-09-07 10:11:11:809,180578,0.3,185469,0.5,354302,0.3,479637,1.75 141,1,2024-09-07 10:11:10:878,1261588,1261588,0,0,592390004294,6155155868,1251235,8958,1395,379,391614,0 141,2,2024-09-07 10:11:11:770,908326,908315,11,0,37732030,0,5369 141,3,2024-09-07 10:11:11:043,1,717,2,0,391,8235,717,0 142,0,2024-09-07 10:11:11:334,180704,0.3,179871,0.5,360303,0.3,480302,1.50 142,1,2024-09-07 10:11:10:592,1259847,1259847,0,0,591689568361,6172014515,1250660,8292,895,382,392102,0 142,2,2024-09-07 10:11:11:325,906773,906741,32,0,37329208,0,6028 142,3,2024-09-07 10:11:11:778,1,717,2,0,484,7177,717,0 143,0,2024-09-07 10:11:11:380,179141,0.4,178911,0.5,359342,0.3,477704,1.75 143,1,2024-09-07 10:11:10:584,1262897,1262897,0,0,592095585258,6142903323,1254479,7445,973,367,391900,0 143,2,2024-09-07 10:11:10:773,909866,909866,0,0,37005315,0,3123 143,3,2024-09-07 10:11:11:141,1,717,3,0,462,8314,717,0 144,0,2024-09-07 10:11:11:513,173870,0.5,178767,0.7,364278,0.4,476516,2.00 144,1,2024-09-07 10:11:10:570,1257019,1257019,0,0,589684373222,6183320244,1245219,9752,2048,381,391814,0 144,2,2024-09-07 10:11:11:775,907936,907936,0,0,36574989,0,4443 144,3,2024-09-07 10:11:11:782,1,717,1,0,306,7606,717,0 145,0,2024-09-07 10:11:11:356,173731,0.6,173726,0.8,368890,0.5,474585,2.25 145,1,2024-09-07 10:11:10:553,1255949,1255949,0,0,589510875537,6198502340,1239728,13251,2970,381,391879,0 145,2,2024-09-07 10:11:11:429,905358,905276,82,0,42520079,0,7814 145,3,2024-09-07 10:11:10:895,1,717,1,0,622,9452,717,0 146,0,2024-09-07 10:11:11:612,179488,0.7,178631,0.8,359191,0.7,477122,2.25 146,1,2024-09-07 10:11:11:596,1257824,1257824,0,0,590839730109,6206772595,1238654,14239,4931,367,391829,0 146,2,2024-09-07 10:11:11:786,904681,904675,6,0,40574091,0,5151 146,3,2024-09-07 10:11:11:275,1,717,2,0,1520,10991,717,0 147,0,2024-09-07 10:11:11:786,179587,0.6,179413,0.7,358760,0.5,478629,2.00 147,1,2024-09-07 10:11:11:372,1261912,1261912,0,0,591104607405,6142242145,1251944,8866,1102,367,391791,0 147,2,2024-09-07 10:11:11:018,907873,907873,0,0,38402140,0,4531 147,3,2024-09-07 10:11:10:919,1,717,1,0,1626,10561,717,0 0,0,2024-09-07 10:11:21:719,174836,0.7,174906,0.8,371259,0.8,479564,2.00 0,1,2024-09-07 10:11:20:817,1259952,1259952,0,0,591672882626,6189823950,1250047,8927,978,368,391896,0 0,2,2024-09-07 10:11:21:072,909344,909344,0,0,36298550,0,4480 0,3,2024-09-07 10:11:20:985,1,718,0,0,538,10253,718,0 1,0,2024-09-07 10:11:21:759,180200,0.8,179371,0.9,359687,0.9,480961,2.00 1,1,2024-09-07 10:11:20:575,1259152,1259152,0,0,589886923016,6177488103,1247731,9447,1974,370,391859,0 1,2,2024-09-07 10:11:20:647,910077,910077,0,0,35845637,0,3380 1,3,2024-09-07 10:11:21:313,1,718,2,0,269,8383,718,0 2,0,2024-09-07 10:11:21:591,179704,0.5,179384,0.7,358624,0.5,478601,2.00 2,1,2024-09-07 10:11:20:859,1262748,1262748,0,0,592080443736,6153689887,1255811,5975,962,379,391805,0 2,2,2024-09-07 10:11:21:266,907888,907888,0,0,34427542,0,3594 2,3,2024-09-07 10:11:20:691,1,718,1,0,357,6183,718,0 3,0,2024-09-07 10:11:21:743,180575,0.4,180294,0.6,360277,0.4,479579,2.00 3,1,2024-09-07 10:11:21:621,1260994,1260994,0,0,591014725406,6145156970,1252384,7892,718,379,391716,0 3,2,2024-09-07 10:11:21:146,909987,909964,23,0,35399169,0,5851 3,3,2024-09-07 10:11:21:753,1,718,1,0,484,5288,718,0 4,0,2024-09-07 10:11:21:759,174957,0.4,179558,0.5,366731,0.4,479130,1.75 4,1,2024-09-07 10:11:20:591,1256307,1256307,0,0,589340407647,6226855730,1236380,15325,4602,369,391992,0 4,2,2024-09-07 10:11:21:021,906678,906678,0,0,42784738,0,4534 4,3,2024-09-07 10:11:21:030,1,718,1,0,448,9523,718,0 5,0,2024-09-07 10:11:21:501,179842,0.4,179686,0.6,359633,0.4,479327,1.75 5,1,2024-09-07 10:11:20:759,1256967,1256967,0,0,590138381846,6219603526,1239245,13478,4244,367,392005,0 5,2,2024-09-07 10:11:21:834,904762,904729,33,0,43155858,0,7631 5,3,2024-09-07 10:11:21:733,1,718,24,0,457,9449,718,0 6,0,2024-09-07 10:11:20:917,180174,0.4,179444,0.6,359300,0.4,478616,2.00 6,1,2024-09-07 10:11:20:755,1261134,1261134,0,0,591370466389,6169202641,1248946,10502,1686,379,391702,0 6,2,2024-09-07 10:11:21:116,908706,908688,18,0,39804635,0,5535 6,3,2024-09-07 10:11:21:274,1,718,1,0,710,7994,718,0 7,0,2024-09-07 10:11:21:535,178881,0.5,179994,0.6,357071,0.5,477191,2.00 7,1,2024-09-07 10:11:20:850,1257852,1257852,0,0,590514482510,6206264434,1240013,13702,4137,382,391747,0 7,2,2024-09-07 10:11:20:780,907503,907334,169,0,40689442,0,7706 7,3,2024-09-07 10:11:20:851,1,718,3,0,552,8212,718,0 8,0,2024-09-07 10:11:21:350,180234,0.4,179915,0.5,360344,0.4,480623,1.75 8,1,2024-09-07 10:11:21:048,1256956,1256956,0,0,589978231981,6197793623,1238076,14832,4048,366,392853,0 8,2,2024-09-07 10:11:20:816,903036,903034,2,0,45840805,0,5112 8,3,2024-09-07 10:11:20:585,1,718,9,0,772,10681,718,0 9,0,2024-09-07 10:11:21:202,180287,0.4,175038,0.5,366338,0.3,480780,1.75 9,1,2024-09-07 10:11:20:552,1256725,1256725,0,0,590617847183,6222113470,1236987,15385,4353,369,392001,0 9,2,2024-09-07 10:11:21:087,906574,906573,1,0,43775294,0,5281 9,3,2024-09-07 10:11:21:752,1,718,0,0,1273,11222,718,0 10,0,2024-09-07 10:11:21:605,179615,0.3,179142,0.5,358991,0.3,477781,1.75 10,1,2024-09-07 10:11:20:583,1258520,1258520,0,0,590245350478,6189631925,1240371,14414,3735,381,391981,0 10,2,2024-09-07 10:11:20:767,908938,908938,0,0,47051072,0,4713 10,3,2024-09-07 10:11:20:876,1,718,1,0,669,7431,718,0 11,0,2024-09-07 10:11:21:006,179149,0.4,173963,0.6,364097,0.3,479703,1.75 11,1,2024-09-07 10:11:20:658,1260637,1260637,0,0,591405841718,6194713425,1243748,12579,4310,383,391766,0 11,2,2024-09-07 10:11:21:123,907077,907077,0,0,40367185,0,4698 11,3,2024-09-07 10:11:21:307,1,718,1,0,843,8513,718,0 12,0,2024-09-07 10:11:20:959,181308,0.4,181013,0.5,361703,0.4,480907,1.75 12,1,2024-09-07 10:11:20:933,1259985,1259985,0,0,590923944310,6162679584,1250109,8844,1032,368,391960,0 12,2,2024-09-07 10:11:21:545,905806,905806,0,0,40575561,0,4390 12,3,2024-09-07 10:11:21:059,1,718,3,0,386,8705,718,0 13,0,2024-09-07 10:11:21:337,181139,0.4,181345,0.6,361957,0.4,481604,1.75 13,1,2024-09-07 10:11:21:734,1257999,1257999,0,0,590032507222,6206889714,1243804,11109,3086,382,391803,0 13,2,2024-09-07 10:11:20:594,909469,909469,0,0,36819555,0,3287 13,3,2024-09-07 10:11:21:765,1,718,1,0,522,9439,718,0 14,0,2024-09-07 10:11:20:568,180060,0.4,180965,0.6,360022,0.3,479062,1.75 14,1,2024-09-07 10:11:21:562,1266475,1266475,0,0,594205892362,6143896210,1257205,8118,1152,364,391673,0 14,2,2024-09-07 10:11:20:766,909301,909271,30,0,37798311,0,6104 14,3,2024-09-07 10:11:21:115,1,718,1,0,1168,7253,718,0 15,0,2024-09-07 10:11:21:561,179240,0.4,178444,0.6,357022,0.3,477061,1.75 15,1,2024-09-07 10:11:21:610,1261992,1261992,0,0,592823612084,6174580187,1251117,8776,2099,380,391619,0 15,2,2024-09-07 10:11:21:001,909544,909544,0,0,33683819,0,3622 15,3,2024-09-07 10:11:21:405,1,718,12,0,1126,8752,718,0 16,0,2024-09-07 10:11:20:974,180085,0.6,181013,0.8,361067,0.6,480428,2.00 16,1,2024-09-07 10:11:20:573,1261676,1261676,0,0,591851972605,6183948307,1250904,9225,1547,370,392194,0 16,2,2024-09-07 10:11:21:447,907280,907280,0,0,36996534,0,4719 16,3,2024-09-07 10:11:21:152,1,718,1,0,362,8727,718,0 17,0,2024-09-07 10:11:21:776,186102,0.7,181470,0.8,355320,0.7,482664,2.00 17,1,2024-09-07 10:11:20:680,1259843,1259843,0,0,591245424809,6193610879,1248688,9351,1804,368,392075,0 17,2,2024-09-07 10:11:21:667,911867,911866,1,0,38379056,0,5050 17,3,2024-09-07 10:11:20:574,1,718,24,0,518,10058,718,0 18,0,2024-09-07 10:11:20:939,178527,0.6,179832,0.8,357936,0.6,477865,2.25 18,1,2024-09-07 10:11:21:641,1264779,1264779,0,0,592859711955,6146864057,1256587,6759,1433,367,391725,0 18,2,2024-09-07 10:11:21:754,911318,911318,0,0,34584240,0,3541 18,3,2024-09-07 10:11:20:903,1,718,0,0,1059,6393,718,0 19,0,2024-09-07 10:11:21:568,179048,0.6,179547,0.8,357766,0.6,476221,2.00 19,1,2024-09-07 10:11:20:566,1264528,1264528,0,0,593380007139,6145067362,1255652,7713,1163,365,391777,0 19,2,2024-09-07 10:11:21:762,910802,910802,0,0,32248139,0,3988 19,3,2024-09-07 10:11:21:130,1,718,0,0,524,5611,718,0 20,0,2024-09-07 10:11:21:358,180327,0.5,180196,0.6,360313,0.5,480684,2.00 20,1,2024-09-07 10:11:20:569,1259417,1259417,0,0,591387007439,6192926037,1247096,10612,1709,369,391922,0 20,2,2024-09-07 10:11:20:932,908427,908427,0,0,40701710,0,4321 20,3,2024-09-07 10:11:20:594,1,718,30,0,468,11715,718,0 21,0,2024-09-07 10:11:21:169,180213,0.5,180334,0.7,360574,0.5,478753,2.00 21,1,2024-09-07 10:11:21:537,1256964,1256964,0,0,589448984540,6214620175,1238183,14783,3998,368,392016,0 21,2,2024-09-07 10:11:21:070,903137,902660,477,0,52093135,0,17074 21,3,2024-09-07 10:11:21:406,1,718,1,0,713,9798,718,0 22,0,2024-09-07 10:11:21:720,179794,0.5,180424,0.7,360586,0.4,478331,2.00 22,1,2024-09-07 10:11:21:023,1258581,1258581,0,0,590240832052,6202712125,1239453,15522,3606,381,391822,0 22,2,2024-09-07 10:11:20:766,907354,907328,26,0,38661437,0,6328 22,3,2024-09-07 10:11:21:067,1,718,0,0,228,5691,718,0 23,0,2024-09-07 10:11:21:368,179126,0.5,179093,0.6,358001,0.4,477529,2.00 23,1,2024-09-07 10:11:21:003,1259502,1259502,0,0,591018927668,6216408470,1237598,14733,7171,365,391690,0 23,2,2024-09-07 10:11:21:092,909402,909402,0,0,36818305,0,3773 23,3,2024-09-07 10:11:21:753,1,718,33,0,855,9860,718,0 24,0,2024-09-07 10:11:20:855,180636,0.4,179764,0.5,360724,0.3,479403,1.75 24,1,2024-09-07 10:11:20:581,1258353,1258353,0,0,590550246044,6176299158,1246728,9746,1879,367,392269,0 24,2,2024-09-07 10:11:21:071,907823,907820,3,0,43241835,0,6294 24,3,2024-09-07 10:11:21:690,1,718,8,0,468,9286,718,0 25,0,2024-09-07 10:11:21:339,184741,0.4,179506,0.6,353279,0.4,481172,1.75 25,1,2024-09-07 10:11:20:562,1256792,1256792,0,0,589658277246,6224223537,1235647,17141,4004,369,391928,0 25,2,2024-09-07 10:11:21:605,905261,905261,0,0,45309555,0,3978 25,3,2024-09-07 10:11:21:000,1,718,0,0,532,7743,718,0 26,0,2024-09-07 10:11:21:744,179115,0.4,175074,0.6,367265,0.4,478971,2.00 26,1,2024-09-07 10:11:21:544,1261061,1261061,0,0,590543077600,6175292993,1245349,12807,2905,380,391758,0 26,2,2024-09-07 10:11:20:861,907653,907653,0,0,46348806,0,4689 26,3,2024-09-07 10:11:21:711,1,718,0,0,796,8714,718,0 27,0,2024-09-07 10:11:21:725,179799,0.4,180538,0.6,359055,0.4,479230,2.25 27,1,2024-09-07 10:11:21:683,1262398,1262398,0,0,592880742161,6173182195,1251014,9760,1624,381,391683,0 27,2,2024-09-07 10:11:20:868,906129,906064,65,0,40985557,0,5699 27,3,2024-09-07 10:11:21:023,1,718,1,0,564,5950,718,0 28,0,2024-09-07 10:11:21:403,179750,0.4,179758,0.6,359406,0.3,479133,1.75 28,1,2024-09-07 10:11:20:810,1262837,1262837,0,0,593325229786,6187726763,1251354,9055,2428,382,391904,0 28,2,2024-09-07 10:11:21:781,907583,907583,0,0,38321068,0,4060 28,3,2024-09-07 10:11:21:776,1,718,1,0,502,7421,718,0 29,0,2024-09-07 10:11:21:357,185348,0.4,180331,0.6,354233,0.4,482662,1.75 29,1,2024-09-07 10:11:21:562,1266260,1266260,0,0,594766564296,6151911101,1258328,6910,1022,367,391809,0 29,2,2024-09-07 10:11:20:877,907173,907173,0,0,35857793,0,4986 29,3,2024-09-07 10:11:20:980,1,718,1,0,590,7965,718,0 30,0,2024-09-07 10:11:21:463,178992,0.5,174145,0.7,364884,0.5,476497,2.00 30,1,2024-09-07 10:11:20:571,1264992,1264992,0,0,594089660330,6166201539,1255176,8647,1169,380,391672,0 30,2,2024-09-07 10:11:21:275,909402,909402,0,0,34762049,0,4192 30,3,2024-09-07 10:11:20:581,1,718,1,0,519,7338,718,0 31,0,2024-09-07 10:11:21:763,179862,0.4,180879,0.6,360702,0.4,480771,2.00 31,1,2024-09-07 10:11:20:568,1268712,1268712,0,0,595351629987,6112729080,1260776,6667,1269,356,391712,0 31,2,2024-09-07 10:11:21:275,909267,909267,0,0,38156876,0,4470 31,3,2024-09-07 10:11:21:707,1,718,0,0,248,6222,718,0 32,0,2024-09-07 10:11:21:416,179832,0.3,180774,0.5,360512,0.2,480075,1.50 32,1,2024-09-07 10:11:20:814,1264267,1264267,0,0,593291886116,6164567996,1256760,6541,966,381,391646,0 32,2,2024-09-07 10:11:20:948,909946,909946,0,0,33394475,0,3922 32,3,2024-09-07 10:11:21:020,1,718,1,0,304,5896,718,0 33,0,2024-09-07 10:11:21:502,181268,0.3,180637,0.4,361588,0.2,481098,1.50 33,1,2024-09-07 10:11:20:575,1265069,1265069,0,0,593869050581,6146271583,1255437,8274,1358,368,391730,0 33,2,2024-09-07 10:11:20:763,908652,908617,35,0,37129374,0,7012 33,3,2024-09-07 10:11:20:913,1,718,9,0,329,6704,718,0 34,0,2024-09-07 10:11:20:932,180460,0.3,185591,0.5,354849,0.2,479251,1.75 34,1,2024-09-07 10:11:21:044,1268279,1268279,0,0,594671725157,6117081804,1264260,3810,209,366,391637,0 34,2,2024-09-07 10:11:20:767,909578,909578,0,0,34705479,0,4562 34,3,2024-09-07 10:11:21:694,1,718,1,0,541,6095,718,0 35,0,2024-09-07 10:11:20:865,179383,0.3,179875,0.5,360713,0.2,480315,1.75 35,1,2024-09-07 10:11:21:070,1263802,1263802,0,0,592541720540,6145178702,1254859,7390,1553,382,391769,0 35,2,2024-09-07 10:11:21:583,908973,908973,0,0,40388030,0,4055 35,3,2024-09-07 10:11:20:911,1,718,1,0,466,6602,718,0 36,0,2024-09-07 10:11:21:533,180563,0.4,180788,0.6,361056,0.4,480627,2.00 36,1,2024-09-07 10:11:20:587,1262211,1262211,0,0,591790378793,6172447733,1247425,12432,2354,366,391759,0 36,2,2024-09-07 10:11:21:752,907902,907902,0,0,39922974,0,3875 36,3,2024-09-07 10:11:20:867,1,718,0,0,556,8908,718,0 37,0,2024-09-07 10:11:21:368,178984,0.5,178786,0.6,358185,0.4,477997,2.00 37,1,2024-09-07 10:11:20:569,1262281,1262274,0,7,590864663537,6164372835,1248069,11087,3118,365,391770,0 37,2,2024-09-07 10:11:21:141,907125,907110,15,0,40089999,0,5815 37,3,2024-09-07 10:11:21:766,1,718,1,0,888,9593,718,0 38,0,2024-09-07 10:11:21:450,179139,0.5,173767,0.6,363413,0.4,476380,2.00 38,1,2024-09-07 10:11:21:605,1261917,1261917,0,0,591469764342,6176487218,1245072,13539,3306,368,391821,0 38,2,2024-09-07 10:11:20:772,909459,909412,47,0,40063438,0,6710 38,3,2024-09-07 10:11:21:000,1,718,1,0,689,7961,718,0 39,0,2024-09-07 10:11:21:762,183845,0.5,179475,0.7,350626,0.5,478193,2.00 39,1,2024-09-07 10:11:20:730,1259750,1259750,0,0,591551463559,6194366778,1239934,15583,4233,365,391865,0 39,2,2024-09-07 10:11:21:419,907929,907929,0,0,38351313,0,3478 39,3,2024-09-07 10:11:20:726,1,718,0,0,525,7778,718,0 40,0,2024-09-07 10:11:21:499,178053,0.8,178620,0.9,356671,0.9,476200,2.75 40,1,2024-09-07 10:11:20:579,1260520,1260520,0,0,591163845381,6190407060,1241675,15262,3583,366,391668,0 40,2,2024-09-07 10:11:21:305,906311,906304,7,0,44235544,0,5347 40,3,2024-09-07 10:11:21:149,1,718,11,0,1028,9923,718,0 41,0,2024-09-07 10:11:21:028,178505,1.0,182791,1.0,348631,1.3,473514,2.75 41,1,2024-09-07 10:11:20:770,1261082,1261082,0,0,591499168331,6180324860,1245358,13197,2527,369,391878,0 41,2,2024-09-07 10:11:20:768,904373,904372,1,0,43174595,0,5408 41,3,2024-09-07 10:11:21:685,1,718,2,0,749,8506,718,0 42,0,2024-09-07 10:11:21:481,178728,1.0,178574,1.0,357502,1.2,474196,2.75 42,1,2024-09-07 10:11:21:449,1257823,1257823,0,0,590256310055,6189680587,1240211,14528,3084,380,391675,0 42,2,2024-09-07 10:11:21:141,904094,904093,1,0,44658100,0,5513 42,3,2024-09-07 10:11:21:010,1,718,1,0,892,7099,718,0 43,0,2024-09-07 10:11:20:925,177798,0.8,173050,0.9,362721,0.8,474163,2.25 43,1,2024-09-07 10:11:20:577,1261802,1261802,0,0,592267516544,6180081425,1245688,13365,2749,365,391696,0 43,2,2024-09-07 10:11:21:737,909949,909949,0,0,40856818,0,4723 43,3,2024-09-07 10:11:21:757,1,718,3,0,571,9428,718,0 44,0,2024-09-07 10:11:20:866,180158,0.4,180319,0.6,360192,0.3,479981,1.75 44,1,2024-09-07 10:11:20:569,1264738,1264738,0,0,593041469446,6129929281,1253404,9182,2152,356,391809,0 44,2,2024-09-07 10:11:21:267,908019,908019,0,0,34472349,0,4344 44,3,2024-09-07 10:11:21:093,1,718,2,0,1097,9204,718,0 45,0,2024-09-07 10:11:21:801,177543,0.4,173253,0.6,363440,0.4,477143,2.00 45,1,2024-09-07 10:11:21:005,1263857,1263857,0,0,593099742426,6162350273,1254299,8335,1223,382,391917,0 45,2,2024-09-07 10:11:21:268,909156,909156,0,0,34391108,0,3596 45,3,2024-09-07 10:11:20:934,1,718,1,0,531,6650,718,0 46,0,2024-09-07 10:11:20:952,179617,0.5,178827,0.7,358898,0.5,477135,2.00 46,1,2024-09-07 10:11:20:587,1265847,1265847,0,0,593556371700,6138221305,1256566,7798,1483,366,391709,0 46,2,2024-09-07 10:11:20:593,909762,909762,0,0,35362761,0,4443 46,3,2024-09-07 10:11:21:132,1,718,3,0,908,7690,718,0 47,0,2024-09-07 10:11:21:107,181193,0.5,180740,0.6,362797,0.4,480885,2.00 47,1,2024-09-07 10:11:20:568,1267285,1267285,0,0,593217359605,6127953967,1259769,6502,1014,364,391666,0 47,2,2024-09-07 10:11:20:909,912027,912027,0,0,35885731,0,4477 47,3,2024-09-07 10:11:21:114,1,718,0,0,600,7636,718,0 48,0,2024-09-07 10:11:21:492,180623,0.3,181050,0.4,361068,0.2,480659,1.50 48,1,2024-09-07 10:11:21:023,1263410,1263410,0,0,592788884188,6156678904,1255706,6692,1012,381,391710,0 48,2,2024-09-07 10:11:20:709,909707,909707,0,0,32775904,0,3619 48,3,2024-09-07 10:11:20:765,1,718,1,0,339,6032,718,0 49,0,2024-09-07 10:11:21:730,184887,0.3,181089,0.5,352038,0.2,480780,1.75 49,1,2024-09-07 10:11:21:029,1262990,1262990,0,0,592508200262,6157848407,1255110,6182,1698,382,391809,0 49,2,2024-09-07 10:11:21:798,909753,909753,0,0,34078105,0,4426 49,3,2024-09-07 10:11:21:420,1,718,7,0,992,8280,718,0 50,0,2024-09-07 10:11:21:525,180671,0.3,179524,0.5,361235,0.2,479950,1.75 50,1,2024-09-07 10:11:21:013,1266782,1266782,0,0,595055955700,6149360189,1259219,6830,733,368,391691,0 50,2,2024-09-07 10:11:21:071,908083,908083,0,0,32174217,0,4490 50,3,2024-09-07 10:11:21:292,1,718,0,0,617,7356,718,0 51,0,2024-09-07 10:11:21:690,185059,0.3,181142,0.5,352713,0.2,481278,1.75 51,1,2024-09-07 10:11:21:680,1267576,1267576,0,0,595093029613,6133400441,1260872,5359,1345,365,391706,0 51,2,2024-09-07 10:11:21:321,909806,909806,0,0,31875121,0,3337 51,3,2024-09-07 10:11:21:029,1,718,1,0,678,5559,718,0 52,0,2024-09-07 10:11:21:421,180031,0.5,179916,0.6,359592,0.4,478170,2.00 52,1,2024-09-07 10:11:20:577,1261606,1261606,0,0,591639259416,6184718015,1244846,14333,2427,368,391805,0 52,2,2024-09-07 10:11:21:755,904650,904612,38,0,43133854,0,6742 52,3,2024-09-07 10:11:20:675,1,718,3,0,1782,8025,718,0 53,0,2024-09-07 10:11:21:739,178913,0.6,173704,0.8,363474,0.6,476233,2.25 53,1,2024-09-07 10:11:20:780,1260711,1260711,0,0,590966683231,6189509266,1241337,14942,4432,367,391968,0 53,2,2024-09-07 10:11:21:320,909007,908869,138,0,39431577,0,7690 53,3,2024-09-07 10:11:20:712,1,718,0,0,308,6582,718,0 54,0,2024-09-07 10:11:21:620,177783,0.5,178342,0.7,354914,0.4,473751,2.25 54,1,2024-09-07 10:11:20:580,1263152,1263152,0,0,593113561094,6160482344,1251347,10130,1675,366,391810,0 54,2,2024-09-07 10:11:20:869,908860,908828,32,0,42770198,0,6397 54,3,2024-09-07 10:11:20:801,1,718,4,0,676,9094,718,0 55,0,2024-09-07 10:11:21:763,173598,0.7,178874,0.8,363055,0.6,473282,2.50 55,1,2024-09-07 10:11:20:775,1263639,1263639,0,0,592260981979,6152827133,1251601,10549,1489,365,391731,0 55,2,2024-09-07 10:11:20:734,906883,906827,56,0,39042464,0,7239 55,3,2024-09-07 10:11:20:678,1,718,1,0,304,6401,718,0 56,0,2024-09-07 10:11:21:581,183133,1.2,172526,1.1,355700,1.5,476231,2.75 56,1,2024-09-07 10:11:20:570,1255571,1255571,0,0,589295437039,6223416938,1236054,15762,3755,381,391867,0 56,2,2024-09-07 10:11:21:316,906020,905898,122,0,42043391,0,7432 56,3,2024-09-07 10:11:21:062,1,718,12,0,705,8370,718,0 57,0,2024-09-07 10:11:20:970,178096,1.3,177922,1.2,355259,1.7,475457,3.00 57,1,2024-09-07 10:11:21:012,1259642,1259642,0,0,590164760458,6184791332,1243914,13018,2710,366,392097,0 57,2,2024-09-07 10:11:21:327,908795,908795,0,0,44875493,0,4804 57,3,2024-09-07 10:11:21:737,1,718,1,0,455,7534,718,0 58,0,2024-09-07 10:11:20:579,177010,0.8,171979,0.9,360074,0.9,471198,2.50 58,1,2024-09-07 10:11:20:575,1260463,1260460,0,3,591145071475,6192050191,1242082,13974,4404,367,391726,3 58,2,2024-09-07 10:11:21:071,908189,908189,0,0,40715135,0,3483 58,3,2024-09-07 10:11:21:068,1,718,2,0,1043,7620,718,0 59,0,2024-09-07 10:11:21:741,179120,0.9,178057,1.0,356394,1.1,473100,3.00 59,1,2024-09-07 10:11:20:804,1259799,1259799,0,0,590439739624,6195303028,1240296,15665,3838,369,391653,0 59,2,2024-09-07 10:11:20:583,907392,907392,0,0,38864408,0,3727 59,3,2024-09-07 10:11:21:738,1,718,0,0,1015,8371,718,0 60,0,2024-09-07 10:11:21:736,179794,0.5,180056,0.7,359860,0.5,480203,2.00 60,1,2024-09-07 10:11:20:801,1263890,1263890,0,0,592262605596,6164655821,1252947,9437,1506,370,392031,0 60,2,2024-09-07 10:11:21:148,909759,909759,0,0,37180981,0,3811 60,3,2024-09-07 10:11:21:267,1,718,3,0,409,8162,718,0 61,0,2024-09-07 10:11:21:562,180248,0.6,180885,0.7,360353,0.6,480331,2.00 61,1,2024-09-07 10:11:20:803,1260771,1260771,0,0,592045951090,6194557450,1248076,10843,1852,382,392127,0 61,2,2024-09-07 10:11:21:115,909938,909871,67,0,38021158,0,6411 61,3,2024-09-07 10:11:21:687,1,718,5,0,607,8795,718,0 62,0,2024-09-07 10:11:21:761,180461,0.5,185049,0.7,353207,0.5,479862,2.00 62,1,2024-09-07 10:11:21:113,1268640,1268634,0,6,595348110831,6143018290,1261368,6646,620,365,391975,6 62,2,2024-09-07 10:11:21:655,905771,905770,1,0,37706998,0,5555 62,3,2024-09-07 10:11:21:145,1,718,1,0,482,5578,718,0 63,0,2024-09-07 10:11:21:462,181067,0.4,180825,0.6,362343,0.3,481394,1.75 63,1,2024-09-07 10:11:20:823,1264384,1264378,0,6,592976842196,6156476383,1256452,6974,952,381,391800,6 63,2,2024-09-07 10:11:20:775,907571,907571,0,0,37062240,0,4369 63,3,2024-09-07 10:11:21:731,1,718,1,0,667,8115,718,0 64,0,2024-09-07 10:11:21:558,179562,0.5,179520,0.7,358584,0.4,477776,2.00 64,1,2024-09-07 10:11:20:759,1262703,1262703,0,0,592688990941,6166226973,1252476,8196,2031,370,391794,0 64,2,2024-09-07 10:11:21:145,912844,912825,19,0,35672807,0,6121 64,3,2024-09-07 10:11:21:142,1,718,6,0,651,8118,718,0 65,0,2024-09-07 10:11:21:697,178690,0.6,178818,0.7,356843,0.6,476598,2.00 65,1,2024-09-07 10:11:20:860,1261375,1261375,0,0,591378737157,6175791915,1253123,7354,898,381,391901,0 65,2,2024-09-07 10:11:21:700,907539,907539,0,0,42886418,0,3367 65,3,2024-09-07 10:11:21:686,1,718,2,0,782,8266,718,0 66,0,2024-09-07 10:11:21:769,179670,0.5,179138,0.7,358290,0.5,477250,2.00 66,1,2024-09-07 10:11:21:292,1263423,1263423,0,0,592423041910,6162333490,1255910,6638,875,380,391743,0 66,2,2024-09-07 10:11:21:133,910655,910652,3,0,37295139,0,5455 66,3,2024-09-07 10:11:21:079,1,718,1,0,291,6360,718,0 67,0,2024-09-07 10:11:21:422,179582,0.5,179063,0.7,358987,0.4,478290,2.00 67,1,2024-09-07 10:11:20:767,1263009,1263008,0,1,592876251974,6168566572,1254568,7300,1140,380,391787,1 67,2,2024-09-07 10:11:20:583,910942,910927,15,0,38005821,0,6205 67,3,2024-09-07 10:11:21:752,1,718,4,0,595,7429,718,0 68,0,2024-09-07 10:11:20:580,180082,0.6,179867,0.7,358239,0.5,479318,2.00 68,1,2024-09-07 10:11:20:587,1258336,1258336,0,0,589992324640,6201514961,1241599,12462,4275,381,391953,0 68,2,2024-09-07 10:11:21:054,904020,903920,100,0,45741803,0,8578 68,3,2024-09-07 10:11:20:734,1,718,1,0,417,10157,718,0 69,0,2024-09-07 10:11:21:739,179410,0.7,180002,0.8,359195,0.7,478163,2.25 69,1,2024-09-07 10:11:21:033,1257815,1257815,0,0,589944989971,6208545890,1242880,11949,2986,383,391994,0 69,2,2024-09-07 10:11:21:732,904244,904215,29,0,48931298,0,6912 69,3,2024-09-07 10:11:20:762,1,718,1,0,698,10509,718,0 70,0,2024-09-07 10:11:21:538,177744,0.7,178500,0.9,357842,0.6,474741,2.25 70,1,2024-09-07 10:11:20:812,1264852,1264852,0,0,593952114174,6159950958,1255382,8425,1045,366,391725,0 70,2,2024-09-07 10:11:21:325,909516,909516,0,0,40071929,0,4323 70,3,2024-09-07 10:11:20:755,1,718,1,0,854,7795,718,0 71,0,2024-09-07 10:11:21:356,178549,0.7,178022,0.9,357012,0.8,476242,2.50 71,1,2024-09-07 10:11:21:608,1261899,1261899,0,0,591868523537,6169452640,1247457,12656,1786,367,391738,0 71,2,2024-09-07 10:11:21:070,906333,906333,0,0,40642648,0,4352 71,3,2024-09-07 10:11:21:752,1,718,10,0,644,7684,718,0 72,0,2024-09-07 10:11:21:064,185844,0.5,181428,0.7,354578,0.5,481655,2.00 72,1,2024-09-07 10:11:21:051,1260677,1260677,0,0,590673364602,6187925729,1243722,14218,2737,369,391819,0 72,2,2024-09-07 10:11:21:757,905102,905102,0,0,42628216,0,3983 72,3,2024-09-07 10:11:21:757,1,718,9,0,564,9656,718,0 73,0,2024-09-07 10:11:21:099,175651,0.5,180183,0.6,368277,0.4,479292,2.00 73,1,2024-09-07 10:11:20:781,1262322,1262322,0,0,592683050515,6156686347,1252782,8473,1067,367,391858,0 73,2,2024-09-07 10:11:21:751,907530,907529,1,0,44570576,0,5027 73,3,2024-09-07 10:11:20:982,1,718,1,0,1091,9468,718,0 74,0,2024-09-07 10:11:21:328,181229,0.5,185476,0.6,353724,0.4,480406,2.00 74,1,2024-09-07 10:11:20:648,1261445,1261445,0,0,591238487428,6164207476,1249078,10218,2149,381,391762,0 74,2,2024-09-07 10:11:21:002,908549,908549,0,0,38717260,0,4253 74,3,2024-09-07 10:11:21:446,1,718,11,0,522,8019,718,0 75,0,2024-09-07 10:11:21:767,179230,0.5,178272,0.7,357898,0.4,478486,2.00 75,1,2024-09-07 10:11:21:585,1262639,1262639,0,0,592486978113,6168810788,1252749,8895,995,380,391739,0 75,2,2024-09-07 10:11:21:349,906528,906528,0,0,45795937,0,4766 75,3,2024-09-07 10:11:21:073,1,718,0,0,918,9666,718,0 76,0,2024-09-07 10:11:20:720,179783,0.6,179411,0.7,358238,0.6,478760,2.25 76,1,2024-09-07 10:11:20:805,1261406,1261406,0,0,591758437705,6170804711,1252482,7613,1311,382,392525,0 76,2,2024-09-07 10:11:21:061,910776,910773,3,0,38981982,0,5265 76,3,2024-09-07 10:11:21:146,1,718,0,0,249,6596,718,0 77,0,2024-09-07 10:11:21:725,180067,0.6,180283,0.7,360953,0.5,479811,2.00 77,1,2024-09-07 10:11:20:835,1260932,1260932,0,0,592163304984,6180631187,1250640,9199,1093,381,391869,0 77,2,2024-09-07 10:11:21:294,908506,908506,0,0,37627552,0,3890 77,3,2024-09-07 10:11:21:098,1,718,0,0,401,7981,718,0 78,0,2024-09-07 10:11:21:734,180981,0.4,180092,0.6,361674,0.4,478683,2.00 78,1,2024-09-07 10:11:20:614,1261160,1261160,0,0,591195135258,6166584045,1245611,12217,3332,367,391670,0 78,2,2024-09-07 10:11:21:407,910220,910207,13,0,35058487,0,8313 78,3,2024-09-07 10:11:21:137,1,718,0,0,311,5996,718,0 79,0,2024-09-07 10:11:21:406,173724,0.4,177964,0.6,364492,0.3,475051,2.00 79,1,2024-09-07 10:11:20:572,1265667,1265667,0,0,593578830097,6145113051,1255835,8030,1802,367,391682,0 79,2,2024-09-07 10:11:21:070,909269,909269,0,0,34017427,0,4195 79,3,2024-09-07 10:11:20:755,1,718,1,0,418,8214,718,0 80,0,2024-09-07 10:11:21:119,180665,0.5,185412,0.7,354022,0.5,479405,2.00 80,1,2024-09-07 10:11:21:621,1261964,1261964,0,0,592319428730,6164457971,1253955,7425,584,368,392269,0 80,2,2024-09-07 10:11:21:094,911498,911498,0,0,34714548,0,4433 80,3,2024-09-07 10:11:20:585,1,718,7,0,681,8734,718,0 81,0,2024-09-07 10:11:21:579,180466,0.6,184647,0.7,352131,0.6,477975,2.00 81,1,2024-09-07 10:11:21:666,1260773,1260773,0,0,591150633980,6176476356,1250892,8883,998,382,392001,0 81,2,2024-09-07 10:11:21:135,908594,908531,63,0,38726326,0,5932 81,3,2024-09-07 10:11:21:126,1,718,2,0,719,7751,718,0 82,0,2024-09-07 10:11:21:541,179947,0.5,180067,0.7,360639,0.5,478672,2.00 82,1,2024-09-07 10:11:20:583,1262602,1262598,0,4,592097136591,6158025399,1255639,5833,1126,381,391768,4 82,2,2024-09-07 10:11:21:691,911366,911366,0,0,32076905,0,4484 82,3,2024-09-07 10:11:21:753,1,718,1,0,363,6336,718,0 83,0,2024-09-07 10:11:21:528,179612,0.5,179366,0.7,358649,0.5,476869,2.00 83,1,2024-09-07 10:11:20:550,1261717,1261717,0,0,592447880948,6173015916,1252679,8009,1029,382,391709,0 83,2,2024-09-07 10:11:20:775,909279,909254,25,0,34926678,0,5612 83,3,2024-09-07 10:11:20:764,1,718,2,0,1260,8246,718,0 84,0,2024-09-07 10:11:21:798,178094,0.7,178025,0.8,356320,0.6,475647,2.25 84,1,2024-09-07 10:11:21:051,1260290,1260290,0,0,591737440766,6184257064,1246567,11637,2086,367,391967,0 84,2,2024-09-07 10:11:20:571,905935,905525,410,0,50136562,0,17037 84,3,2024-09-07 10:11:21:143,1,718,10,0,908,9755,718,0 85,0,2024-09-07 10:11:21:056,172845,0.7,172828,0.8,366870,0.7,473676,2.25 85,1,2024-09-07 10:11:20:771,1256570,1256570,0,0,590323788745,6221906761,1238752,14884,2934,381,392092,0 85,2,2024-09-07 10:11:20:908,907241,907241,0,0,42620537,0,4255 85,3,2024-09-07 10:11:20:704,1,718,1,0,789,8532,718,0 86,0,2024-09-07 10:11:20:924,179112,0.7,184206,0.8,352678,0.8,477095,2.25 86,1,2024-09-07 10:11:20:852,1259258,1259258,0,0,591390651881,6204777324,1241545,14395,3318,366,392169,0 86,2,2024-09-07 10:11:20:889,907124,907123,1,0,44561871,0,5004 86,3,2024-09-07 10:11:20:588,1,718,49,0,441,9906,718,0 87,0,2024-09-07 10:11:21:287,180275,0.7,178960,0.8,358625,0.8,479116,2.25 87,1,2024-09-07 10:11:20:551,1259418,1259418,0,0,590607659083,6180529490,1244460,12792,2166,366,392076,0 87,2,2024-09-07 10:11:21:072,908587,908581,6,0,41995753,0,6323 87,3,2024-09-07 10:11:21:796,1,718,2,0,473,9921,718,0 88,0,2024-09-07 10:11:21:518,179418,0.4,179789,0.6,359554,0.4,479457,1.75 88,1,2024-09-07 10:11:20:569,1257742,1257742,0,0,590539519048,6182254456,1240871,13726,3145,365,392084,0 88,2,2024-09-07 10:11:20:708,907305,907305,0,0,44105752,0,4465 88,3,2024-09-07 10:11:21:288,1,718,4,0,1080,11228,718,0 89,0,2024-09-07 10:11:21:824,185472,0.5,180107,0.6,354795,0.4,481905,1.75 89,1,2024-09-07 10:11:20:552,1257997,1257997,0,0,590604933858,6204258654,1243293,12421,2283,382,392084,0 89,2,2024-09-07 10:11:21:147,907207,907207,0,0,41467911,0,3173 89,3,2024-09-07 10:11:21:802,1,718,0,0,729,12449,718,0 90,0,2024-09-07 10:11:21:646,174774,0.5,179345,0.6,366251,0.4,477924,2.00 90,1,2024-09-07 10:11:20:591,1260031,1260031,0,0,590337063632,6181536635,1247551,11205,1275,380,391825,0 90,2,2024-09-07 10:11:21:407,904497,904492,5,0,44768907,0,6370 90,3,2024-09-07 10:11:20:932,1,718,4,0,364,9263,718,0 91,0,2024-09-07 10:11:20:946,180936,0.5,175504,0.6,367321,0.4,481322,1.75 91,1,2024-09-07 10:11:20:567,1256932,1256932,0,0,590728672988,6223715428,1238208,14576,4148,381,392047,0 91,2,2024-09-07 10:11:21:331,908449,908449,0,0,40079945,0,4713 91,3,2024-09-07 10:11:20:598,1,718,1,0,231,6597,718,0 92,0,2024-09-07 10:11:21:468,181374,0.4,185557,0.6,353837,0.4,480158,1.75 92,1,2024-09-07 10:11:20:583,1260572,1260572,0,0,591670269260,6177630951,1251021,8237,1314,381,392136,0 92,2,2024-09-07 10:11:21:350,908083,908083,0,0,36521700,0,3906 92,3,2024-09-07 10:11:21:009,1,718,1,0,322,6338,718,0 93,0,2024-09-07 10:11:20:986,181579,0.4,186133,0.6,355344,0.3,481159,1.75 93,1,2024-09-07 10:11:20:808,1261101,1261101,0,0,592017721827,6182164528,1246681,11983,2437,365,392048,0 93,2,2024-09-07 10:11:20:934,907920,907920,0,0,42159400,0,4913 93,3,2024-09-07 10:11:21:412,1,718,12,0,788,8706,718,0 94,0,2024-09-07 10:11:21:615,179508,0.4,180816,0.6,361855,0.4,480049,1.75 94,1,2024-09-07 10:11:20:588,1262691,1262691,0,0,591842180039,6169930763,1254375,7661,655,381,391850,0 94,2,2024-09-07 10:11:20:802,909458,909430,28,0,36679726,0,6179 94,3,2024-09-07 10:11:21:694,1,718,3,0,576,8528,718,0 95,0,2024-09-07 10:11:21:367,179916,0.4,179568,0.5,359497,0.3,479409,1.75 95,1,2024-09-07 10:11:20:853,1262884,1262884,0,0,592682613879,6155963693,1253501,8567,816,365,391852,0 95,2,2024-09-07 10:11:21:026,906966,906966,0,0,36742583,0,3308 95,3,2024-09-07 10:11:21:711,1,718,7,0,718,10593,718,0 96,0,2024-09-07 10:11:21:122,180111,0.3,180425,0.5,361127,0.3,479846,1.75 96,1,2024-09-07 10:11:21:586,1260739,1260739,0,0,592501132120,6179317687,1251793,7568,1378,384,392292,0 96,2,2024-09-07 10:11:21:277,910023,910023,0,0,37134911,0,4225 96,3,2024-09-07 10:11:21:145,1,718,2,0,411,7886,718,0 97,0,2024-09-07 10:11:21:361,179430,0.3,179395,0.5,359399,0.3,478363,1.50 97,1,2024-09-07 10:11:20:768,1263813,1263813,0,0,592995365444,6150299386,1255858,6709,1246,367,392140,0 97,2,2024-09-07 10:11:20:619,909615,909615,0,0,37304626,0,4600 97,3,2024-09-07 10:11:20:570,1,718,7,0,433,8620,718,0 98,0,2024-09-07 10:11:21:738,179641,0.3,179832,0.5,360948,0.3,479781,1.50 98,1,2024-09-07 10:11:20:626,1262113,1262113,0,0,592251669927,6166148376,1254647,6564,902,381,391997,0 98,2,2024-09-07 10:11:20:796,908270,908270,0,0,35575041,0,4336 98,3,2024-09-07 10:11:20:715,1,718,11,0,840,9792,718,0 99,0,2024-09-07 10:11:21:514,180261,0.3,181099,0.5,360945,0.3,480868,1.75 99,1,2024-09-07 10:11:21:732,1263181,1263181,0,0,592216560179,6161195550,1255371,6698,1112,380,392069,0 99,2,2024-09-07 10:11:21:421,907400,907400,0,0,45033888,0,4276 99,3,2024-09-07 10:11:20:581,1,718,79,0,1124,9044,718,0 100,0,2024-09-07 10:11:21:533,179081,0.7,179773,0.9,359322,0.8,478719,2.25 100,1,2024-09-07 10:11:20:566,1255781,1255781,0,0,588588804346,6220031615,1236627,15355,3799,378,391989,0 100,2,2024-09-07 10:11:21:833,905130,904741,389,0,46399778,0,16909 100,3,2024-09-07 10:11:21:745,1,718,2,0,627,10853,718,0 101,0,2024-09-07 10:11:21:740,183397,0.8,179083,0.9,350298,0.8,478276,2.25 101,1,2024-09-07 10:11:20:589,1258019,1258019,0,0,590093499214,6196409343,1241415,13651,2953,368,392018,0 101,2,2024-09-07 10:11:21:802,902693,902654,39,0,48092455,0,5913 101,3,2024-09-07 10:11:20:957,1,718,6,0,1250,10875,718,0 102,0,2024-09-07 10:11:20:950,175029,0.6,180114,0.8,366402,0.6,478348,2.25 102,1,2024-09-07 10:11:21:146,1258130,1258130,0,0,590552400121,6196282483,1242282,13588,2260,369,391984,0 102,2,2024-09-07 10:11:21:738,908523,908469,54,0,39894105,0,6768 102,3,2024-09-07 10:11:21:619,1,718,3,0,466,8177,718,0 103,0,2024-09-07 10:11:21:653,185926,0.7,185927,0.8,350257,0.7,481621,2.25 103,1,2024-09-07 10:11:21:634,1256952,1256952,0,0,589534708441,6217624470,1238027,15296,3629,381,392077,0 103,2,2024-09-07 10:11:20:581,905956,905956,0,0,43406573,0,3766 103,3,2024-09-07 10:11:20:760,1,718,87,0,916,8374,718,0 104,0,2024-09-07 10:11:21:009,178844,0.7,179104,0.9,357409,0.7,477598,2.25 104,1,2024-09-07 10:11:21:606,1259249,1259249,0,0,590414585887,6199959216,1240790,14993,3466,365,392168,0 104,2,2024-09-07 10:11:21:669,906639,906639,0,0,42482372,0,4161 104,3,2024-09-07 10:11:21:419,1,718,47,0,1245,12332,718,0 105,0,2024-09-07 10:11:21:155,177192,0.8,172824,1.0,362336,0.8,476237,2.50 105,1,2024-09-07 10:11:20:583,1260892,1260892,0,0,591745231543,6191865238,1246091,12684,2117,364,392009,0 105,2,2024-09-07 10:11:21:345,905674,905674,0,0,43793766,0,4360 105,3,2024-09-07 10:11:21:399,1,718,0,0,573,10424,718,0 106,0,2024-09-07 10:11:21:003,174162,0.9,178763,0.9,365111,1.0,476981,2.50 106,1,2024-09-07 10:11:21:754,1259821,1259821,0,0,591141511880,6195761977,1243371,14296,2154,368,391914,0 106,2,2024-09-07 10:11:20:766,908011,908011,0,0,40340962,0,3331 106,3,2024-09-07 10:11:20:699,1,718,20,0,1224,9783,718,0 107,0,2024-09-07 10:11:21:116,180185,1.3,180144,1.0,360474,1.8,480848,2.25 107,1,2024-09-07 10:11:20:595,1256355,1256355,0,0,588959330036,6218550478,1237231,16868,2256,381,392234,0 107,2,2024-09-07 10:11:21:300,904833,904832,1,0,44194865,0,5024 107,3,2024-09-07 10:11:21:763,1,718,11,0,733,11134,718,0 108,0,2024-09-07 10:11:21:785,180025,0.4,180985,0.6,360855,0.4,480539,1.75 108,1,2024-09-07 10:11:21:313,1260095,1260095,0,0,591340384260,6171868803,1248573,10255,1267,367,391899,0 108,2,2024-09-07 10:11:21:759,909113,909113,0,0,39431489,0,4432 108,3,2024-09-07 10:11:21:330,1,718,2,0,767,12698,718,0 109,0,2024-09-07 10:11:21:743,180577,0.4,179304,0.6,359296,0.3,479706,1.75 109,1,2024-09-07 10:11:20:599,1258483,1258483,0,0,590972459207,6196611950,1247807,9168,1508,382,392132,0 109,2,2024-09-07 10:11:20:922,906084,906084,0,0,39432137,0,3617 109,3,2024-09-07 10:11:21:143,1,718,4,0,630,8681,718,0 110,0,2024-09-07 10:11:21:790,180067,0.4,175081,0.6,366816,0.3,480960,1.75 110,1,2024-09-07 10:11:21:663,1263429,1263429,0,0,593100585331,6155699425,1253951,7392,2086,368,392045,0 110,2,2024-09-07 10:11:21:322,908486,908486,0,0,38821184,0,4067 110,3,2024-09-07 10:11:20:695,1,718,14,0,722,9512,718,0 111,0,2024-09-07 10:11:21:419,181059,0.4,180170,0.5,360144,0.3,480752,1.75 111,1,2024-09-07 10:11:21:004,1264721,1264721,0,0,593488626815,6160149195,1257452,6761,508,380,391690,0 111,2,2024-09-07 10:11:21:116,909068,909068,0,0,38118670,0,4823 111,3,2024-09-07 10:11:20:921,1,718,1,0,379,8010,718,0 112,0,2024-09-07 10:11:20:911,180692,0.3,180304,0.4,361520,0.2,480043,1.50 112,1,2024-09-07 10:11:20:826,1263536,1263536,0,0,592875987158,6150713721,1255901,6408,1227,380,391624,0 112,2,2024-09-07 10:11:21:133,908137,908136,1,0,35994057,0,5036 112,3,2024-09-07 10:11:20:591,1,718,1,0,282,6962,718,0 113,0,2024-09-07 10:11:20:877,179403,0.3,179788,0.5,359967,0.2,479151,1.50 113,1,2024-09-07 10:11:21:685,1267391,1267391,0,0,594425391449,6129555177,1260467,5973,951,365,391664,0 113,2,2024-09-07 10:11:21:321,912045,912045,0,0,33086967,0,3813 113,3,2024-09-07 10:11:20:685,1,718,0,0,510,7086,718,0 114,0,2024-09-07 10:11:20:873,179598,0.3,180814,0.5,360496,0.2,481311,1.75 114,1,2024-09-07 10:11:20:727,1262554,1262554,0,0,593145362714,6155384052,1253717,7033,1804,381,391565,0 114,2,2024-09-07 10:11:20:878,910299,910298,1,0,35551784,0,5069 114,3,2024-09-07 10:11:21:287,1,718,19,0,415,5793,718,0 115,0,2024-09-07 10:11:20:554,180657,0.3,181102,0.4,360892,0.2,480381,1.50 115,1,2024-09-07 10:11:20:571,1264078,1264078,0,0,592981363163,6156365407,1254515,7769,1794,382,391757,0 115,2,2024-09-07 10:11:21:128,909900,909900,0,0,35609690,0,4382 115,3,2024-09-07 10:11:21:004,1,718,4,0,212,4669,718,0 116,0,2024-09-07 10:11:21:734,179037,0.7,179096,0.9,358748,0.7,479597,2.25 116,1,2024-09-07 10:11:20:819,1257127,1257127,0,0,590931357011,6219132446,1243010,10899,3218,380,392089,0 116,2,2024-09-07 10:11:21:754,907611,907611,0,0,42885128,0,4475 116,3,2024-09-07 10:11:20:913,1,718,2,0,448,8960,718,0 117,0,2024-09-07 10:11:20:966,179946,0.6,179582,0.8,359560,0.6,480395,2.00 117,1,2024-09-07 10:11:21:579,1259436,1259436,0,0,590030692783,6176070245,1245546,12120,1770,369,392429,0 117,2,2024-09-07 10:11:21:130,910478,910478,0,0,39452067,0,4303 117,3,2024-09-07 10:11:21:064,1,718,3,0,490,8590,718,0 118,0,2024-09-07 10:11:21:825,174034,0.5,178832,0.7,364717,0.4,477215,2.00 118,1,2024-09-07 10:11:20:588,1258081,1258081,0,0,590087958482,6195723372,1240553,13679,3849,366,392054,0 118,2,2024-09-07 10:11:21:592,907437,907437,0,0,41989254,0,3161 118,3,2024-09-07 10:11:21:770,1,718,80,0,343,8477,718,0 119,0,2024-09-07 10:11:21:343,180239,0.7,180424,0.8,360448,0.7,480157,2.25 119,1,2024-09-07 10:11:20:589,1259418,1259418,0,0,591132284240,6193054786,1243339,13410,2669,367,391857,0 119,2,2024-09-07 10:11:21:279,907733,907733,0,0,38774263,0,4309 119,3,2024-09-07 10:11:21:332,1,718,1,0,1358,12367,718,0 120,0,2024-09-07 10:11:21:756,178956,0.7,179113,0.9,359380,0.7,479142,2.50 120,1,2024-09-07 10:11:20:892,1259983,1259983,0,0,590452725228,6186091937,1247295,11528,1160,367,392144,0 120,2,2024-09-07 10:11:20:796,907529,907526,3,0,46646125,0,5363 120,3,2024-09-07 10:11:21:331,1,718,6,0,478,9065,718,0 121,0,2024-09-07 10:11:21:853,180367,1.1,179745,1.0,359867,1.4,479014,2.25 121,1,2024-09-07 10:11:21:656,1259271,1259271,0,0,590623044036,6178029214,1245720,11554,1997,366,391924,0 121,2,2024-09-07 10:11:21:140,908478,908478,0,0,42394537,0,4157 121,3,2024-09-07 10:11:20:730,1,718,6,0,387,9365,718,0 122,0,2024-09-07 10:11:21:760,179086,0.7,174341,0.8,364955,0.7,479574,2.00 122,1,2024-09-07 10:11:20:878,1258738,1258738,0,0,591241505264,6196082437,1242438,13721,2579,365,392130,0 122,2,2024-09-07 10:11:21:335,907375,907300,75,0,46494564,0,5989 122,3,2024-09-07 10:11:20:593,1,718,7,0,512,10642,718,0 123,0,2024-09-07 10:11:20:961,179584,0.8,175019,0.8,367104,0.8,479283,2.25 123,1,2024-09-07 10:11:20:561,1259961,1259961,0,0,591039530254,6208013713,1240855,16077,3029,369,392039,0 123,2,2024-09-07 10:11:21:020,907268,907267,1,0,39863767,0,5215 123,3,2024-09-07 10:11:21:134,1,718,1,0,478,7955,718,0 124,0,2024-09-07 10:11:20:992,185277,0.3,185375,0.5,349304,0.3,480932,1.75 124,1,2024-09-07 10:11:21:022,1263037,1263037,0,0,591341094269,6147083373,1253421,8353,1263,365,392178,0 124,2,2024-09-07 10:11:21:012,911117,911064,53,0,37310295,0,6487 124,3,2024-09-07 10:11:20:761,1,718,1,0,490,7318,718,0 125,0,2024-09-07 10:11:21:428,179711,0.4,179700,0.5,360146,0.3,479931,1.75 125,1,2024-09-07 10:11:20:877,1260408,1260408,0,0,591653464967,6179431921,1249345,9476,1587,382,392045,0 125,2,2024-09-07 10:11:21:116,911401,911401,0,0,36734764,0,4534 125,3,2024-09-07 10:11:21:126,1,718,1,0,709,7882,718,0 126,0,2024-09-07 10:11:21:423,180495,0.4,185561,0.5,354619,0.3,480736,1.75 126,1,2024-09-07 10:11:20:558,1264017,1264017,0,0,593543973585,6147499648,1256725,6588,704,365,391987,0 126,2,2024-09-07 10:11:20:648,909963,909963,0,0,38509051,0,4539 126,3,2024-09-07 10:11:20:913,1,718,44,0,268,8099,718,0 127,0,2024-09-07 10:11:21:591,179893,0.3,180189,0.5,359017,0.3,478704,1.75 127,1,2024-09-07 10:11:20:570,1262385,1262385,0,0,592276677502,6159826837,1249918,10690,1777,364,392187,0 127,2,2024-09-07 10:11:20:648,909486,909482,4,0,36451368,0,5305 127,3,2024-09-07 10:11:21:267,1,718,29,0,968,7136,718,0 128,0,2024-09-07 10:11:21:548,180851,0.3,180574,0.4,361638,0.2,480601,1.50 128,1,2024-09-07 10:11:21:613,1262446,1262446,0,0,592460108148,6156604113,1253442,8031,973,367,392423,0 128,2,2024-09-07 10:11:21:400,910533,910533,0,0,34091311,0,3171 128,3,2024-09-07 10:11:20:802,1,718,74,0,1082,10814,718,0 129,0,2024-09-07 10:11:21:004,181550,0.3,180824,0.5,362576,0.3,481645,1.50 129,1,2024-09-07 10:11:20:569,1258417,1258417,0,0,590327266762,6188790611,1245434,10653,2330,379,391962,0 129,2,2024-09-07 10:11:20:685,909457,909453,4,0,36878283,0,5335 129,3,2024-09-07 10:11:20:704,1,718,2,0,506,10285,718,0 130,0,2024-09-07 10:11:21:722,180281,0.4,180082,0.5,360672,0.4,480265,1.75 130,1,2024-09-07 10:11:20:585,1263429,1263429,0,0,592846994435,6153570967,1257565,5455,409,381,391836,0 130,2,2024-09-07 10:11:21:123,910063,910063,0,0,36466575,0,4067 130,3,2024-09-07 10:11:21:297,1,718,1,0,960,9011,718,0 131,0,2024-09-07 10:11:21:948,179601,0.3,179752,0.5,361008,0.3,479866,1.50 131,1,2024-09-07 10:11:21:822,1263001,1263001,0,0,592094202018,6166671866,1254836,6951,1214,381,391865,0 131,2,2024-09-07 10:11:20:573,908568,908568,0,0,34794429,0,3979 131,3,2024-09-07 10:11:21:694,1,718,0,0,392,9069,718,0 132,0,2024-09-07 10:11:21:433,180449,0.5,181409,0.6,361148,0.4,480524,2.00 132,1,2024-09-07 10:11:20:583,1258095,1258095,0,0,589540715815,6197009366,1241572,13740,2783,381,392532,0 132,2,2024-09-07 10:11:20:703,907205,907188,17,0,43300836,0,6451 132,3,2024-09-07 10:11:21:694,1,718,37,0,1298,12080,718,0 133,0,2024-09-07 10:11:21:522,175864,0.5,179872,0.6,369084,0.4,479769,2.00 133,1,2024-09-07 10:11:20:585,1257717,1257717,0,0,590758275449,6214707813,1242248,13533,1936,383,391914,0 133,2,2024-09-07 10:11:21:088,909127,909077,50,0,44288017,0,6861 133,3,2024-09-07 10:11:21:296,1,718,1,0,528,8482,718,0 134,0,2024-09-07 10:11:20:950,180678,0.5,180686,0.7,360847,0.5,480850,2.00 134,1,2024-09-07 10:11:20:586,1259448,1259448,0,0,589844831639,6182713916,1243350,12806,3292,366,391826,0 134,2,2024-09-07 10:11:21:756,908337,908198,139,0,42097009,0,7591 134,3,2024-09-07 10:11:20:773,1,718,1,0,739,8574,718,0 135,0,2024-09-07 10:11:21:125,173666,0.6,173686,0.8,368608,0.7,475637,2.00 135,1,2024-09-07 10:11:21:586,1258832,1258832,0,0,590969149796,6205542794,1244399,12580,1853,380,392038,0 135,2,2024-09-07 10:11:20:690,908395,908395,0,0,42574176,0,4503 135,3,2024-09-07 10:11:21:002,1,718,4,0,900,6722,718,0 136,0,2024-09-07 10:11:21:613,180348,0.6,180841,0.8,360140,0.6,480027,2.00 136,1,2024-09-07 10:11:21:442,1260596,1260596,0,0,591164301277,6188256803,1247746,11422,1428,381,392135,0 136,2,2024-09-07 10:11:21:148,910054,910039,15,0,41488149,0,6007 136,3,2024-09-07 10:11:21:112,1,718,0,0,637,8271,718,0 137,0,2024-09-07 10:11:20:950,185964,0.6,181421,0.7,355220,0.7,482391,2.00 137,1,2024-09-07 10:11:20:578,1259093,1259093,0,0,591024607449,6190847695,1240604,14843,3646,366,391898,0 137,2,2024-09-07 10:11:21:705,907576,907576,0,0,42731429,0,3185 137,3,2024-09-07 10:11:20:780,1,718,1,0,484,9892,718,0 138,0,2024-09-07 10:11:21:739,179435,0.9,179724,0.9,360035,1.1,478830,2.25 138,1,2024-09-07 10:11:21:686,1259172,1259172,0,0,591622914220,6202189336,1242021,14458,2693,368,391954,0 138,2,2024-09-07 10:11:20:588,907098,907098,0,0,41188139,0,4988 138,3,2024-09-07 10:11:20:616,1,718,1,0,1200,10426,718,0 139,0,2024-09-07 10:11:21:363,178453,0.8,179176,0.9,357872,1.0,478070,2.25 139,1,2024-09-07 10:11:20:571,1255234,1255234,0,0,588327753009,6226291763,1235183,16136,3915,380,392109,0 139,2,2024-09-07 10:11:20:692,902025,901995,30,0,46852704,0,5997 139,3,2024-09-07 10:11:21:666,1,718,0,0,432,8293,718,0 140,0,2024-09-07 10:11:21:590,180751,0.3,180101,0.5,361173,0.2,481058,1.75 140,1,2024-09-07 10:11:21:536,1266882,1266882,0,0,594791906472,6135534515,1260071,6006,805,364,391682,0 140,2,2024-09-07 10:11:20:698,908987,908986,1,0,35247376,0,5036 140,3,2024-09-07 10:11:20:795,1,718,2,0,575,7469,718,0 141,0,2024-09-07 10:11:21:696,180871,0.3,185742,0.5,354890,0.3,480420,1.75 141,1,2024-09-07 10:11:20:865,1263350,1263350,0,0,593268547043,6164086967,1252996,8959,1395,379,391614,0 141,2,2024-09-07 10:11:21:686,909887,909876,11,0,37748440,0,5369 141,3,2024-09-07 10:11:21:053,1,718,1,0,391,8236,718,0 142,0,2024-09-07 10:11:21:341,180901,0.3,180081,0.5,360725,0.3,480885,1.50 142,1,2024-09-07 10:11:20:596,1261709,1261709,0,0,592472182001,6180025592,1252522,8292,895,382,392102,0 142,2,2024-09-07 10:11:21:304,908161,908129,32,0,37342855,0,6028 142,3,2024-09-07 10:11:21:746,1,718,2,0,484,7179,718,0 143,0,2024-09-07 10:11:21:369,179576,0.4,179307,0.5,360177,0.3,478946,1.75 143,1,2024-09-07 10:11:20:570,1264664,1264664,0,0,592808483990,6150169446,1256245,7446,973,367,391900,0 143,2,2024-09-07 10:11:20:781,910554,910554,0,0,37014394,0,3123 143,3,2024-09-07 10:11:21:142,1,718,2,0,462,8316,718,0 144,0,2024-09-07 10:11:21:496,174143,0.5,179064,0.7,364869,0.4,477206,2.00 144,1,2024-09-07 10:11:20:673,1258795,1258795,0,0,590509296231,6191842839,1246995,9752,2048,381,391814,0 144,2,2024-09-07 10:11:21:757,909478,909478,0,0,36599454,0,4443 144,3,2024-09-07 10:11:21:739,1,718,1,0,306,7607,718,0 145,0,2024-09-07 10:11:21:361,174010,0.6,174032,0.8,369514,0.5,475533,2.25 145,1,2024-09-07 10:11:20:560,1257756,1257756,0,0,590469661866,6208396281,1241535,13251,2970,381,391879,0 145,2,2024-09-07 10:11:21:434,907019,906937,82,0,42600233,0,7814 145,3,2024-09-07 10:11:20:905,1,718,2,0,622,9454,718,0 146,0,2024-09-07 10:11:21:608,179651,0.7,178791,0.8,359504,0.7,477589,2.25 146,1,2024-09-07 10:11:21:592,1259621,1259621,0,0,591671373207,6215321537,1240451,14239,4931,367,391829,0 146,2,2024-09-07 10:11:21:696,906016,906010,6,0,40587670,0,5151 146,3,2024-09-07 10:11:21:278,1,718,1,0,1520,10992,718,0 147,0,2024-09-07 10:11:21:696,179893,0.6,179716,0.7,359395,0.5,479513,2.00 147,1,2024-09-07 10:11:21:385,1263690,1263690,0,0,592006492821,6151433723,1253717,8870,1103,367,391791,0 147,2,2024-09-07 10:11:21:014,908905,908905,0,0,38412609,0,4531 147,3,2024-09-07 10:11:20:921,1,718,5,0,1626,10566,718,0 0,0,2024-09-07 10:11:31:711,174976,0.7,175006,0.8,371507,0.8,479899,2.00 0,1,2024-09-07 10:11:30:801,1261862,1261862,0,0,592486426470,6198311568,1251957,8927,978,368,391896,0 0,2,2024-09-07 10:11:31:077,910414,910414,0,0,36313967,0,4480 0,3,2024-09-07 10:11:30:979,1,719,75,0,538,10328,719,0 1,0,2024-09-07 10:11:31:761,180223,0.8,179390,0.9,359712,0.9,480961,2.00 1,1,2024-09-07 10:11:30:557,1260957,1260957,0,0,590870707212,6187499722,1249535,9448,1974,370,391859,0 1,2,2024-09-07 10:11:30:639,911261,911261,0,0,35885720,0,3380 1,3,2024-09-07 10:11:31:309,1,719,1,0,269,8384,719,0 2,0,2024-09-07 10:11:31:567,180018,0.5,179724,0.7,359359,0.5,479537,2.00 2,1,2024-09-07 10:11:30:864,1264538,1264538,0,0,592778171990,6160875796,1257601,5975,962,379,391805,0 2,2,2024-09-07 10:11:31:267,909201,909201,0,0,34443343,0,3594 2,3,2024-09-07 10:11:30:690,1,719,1,0,357,6184,719,0 3,0,2024-09-07 10:11:31:753,180652,0.4,180389,0.6,360489,0.4,479811,2.00 3,1,2024-09-07 10:11:31:627,1262802,1262802,0,0,591822790441,6153519409,1254192,7892,718,379,391716,0 3,2,2024-09-07 10:11:31:153,911342,911319,23,0,35431282,0,5851 3,3,2024-09-07 10:11:31:752,1,719,2,0,484,5290,719,0 4,0,2024-09-07 10:11:31:882,175167,0.4,179769,0.5,367174,0.4,479705,1.75 4,1,2024-09-07 10:11:30:598,1258134,1258134,0,0,590118215818,6234947084,1238206,15326,4602,369,391992,0 4,2,2024-09-07 10:11:31:018,907980,907980,0,0,42822056,0,4534 4,3,2024-09-07 10:11:31:027,1,719,1,0,448,9524,719,0 5,0,2024-09-07 10:11:31:400,180175,0.4,180007,0.6,360275,0.4,480212,1.75 5,1,2024-09-07 10:11:30:824,1258759,1258759,0,0,591213813862,6230707762,1241037,13478,4244,367,392005,0 5,2,2024-09-07 10:11:31:829,906202,906169,33,0,43194242,0,7631 5,3,2024-09-07 10:11:31:732,1,719,3,0,457,9452,719,0 6,0,2024-09-07 10:11:30:915,180449,0.4,179764,0.6,359879,0.4,479224,2.00 6,1,2024-09-07 10:11:30:747,1262851,1262851,0,0,592109161244,6176799791,1250663,10502,1686,379,391702,0 6,2,2024-09-07 10:11:31:115,909821,909803,18,0,39850832,0,5535 6,3,2024-09-07 10:11:31:278,1,719,0,0,710,7994,719,0 7,0,2024-09-07 10:11:31:541,179415,0.5,180508,0.6,358158,0.5,478689,2.00 7,1,2024-09-07 10:11:30:852,1259632,1259632,0,0,591617154660,6217661684,1241792,13703,4137,382,391747,0 7,2,2024-09-07 10:11:30:775,908806,908637,169,0,40715966,0,7706 7,3,2024-09-07 10:11:30:858,1,719,0,0,552,8212,719,0 8,0,2024-09-07 10:11:31:342,180562,0.4,180250,0.5,360967,0.4,481456,1.75 8,1,2024-09-07 10:11:31:037,1258775,1258775,0,0,590881936086,6206983410,1239893,14834,4048,366,392853,0 8,2,2024-09-07 10:11:30:790,904423,904421,2,0,45855968,0,5112 8,3,2024-09-07 10:11:30:585,1,719,1,0,772,10682,719,0 9,0,2024-09-07 10:11:31:102,180438,0.4,175175,0.5,366654,0.3,481185,1.75 9,1,2024-09-07 10:11:30:551,1258517,1258517,0,0,591327069330,6229383217,1238778,15386,4353,369,392001,0 9,2,2024-09-07 10:11:31:082,908152,908151,1,0,43794945,0,5281 9,3,2024-09-07 10:11:31:752,1,719,1,0,1273,11223,719,0 10,0,2024-09-07 10:11:31:606,179879,0.3,179385,0.5,359448,0.3,478518,1.75 10,1,2024-09-07 10:11:30:583,1260249,1260249,0,0,591116161871,6198523113,1242100,14414,3735,381,391981,0 10,2,2024-09-07 10:11:30:770,909602,909602,0,0,47059597,0,4713 10,3,2024-09-07 10:11:30:872,1,719,0,0,669,7431,719,0 11,0,2024-09-07 10:11:31:007,179542,0.4,174327,0.6,364923,0.3,480714,1.75 11,1,2024-09-07 10:11:30:571,1262420,1262420,0,0,592435177032,6205152252,1245531,12579,4310,383,391766,0 11,2,2024-09-07 10:11:31:122,908573,908573,0,0,40383181,0,4698 11,3,2024-09-07 10:11:31:299,1,719,1,0,843,8514,719,0 12,0,2024-09-07 10:11:30:963,181463,0.4,181162,0.5,362065,0.4,481358,1.75 12,1,2024-09-07 10:11:30:934,1261778,1261778,0,0,591733384752,6170924060,1251902,8844,1032,368,391960,0 12,2,2024-09-07 10:11:31:542,907353,907353,0,0,40594515,0,4390 12,3,2024-09-07 10:11:31:062,1,719,5,0,386,8710,719,0 13,0,2024-09-07 10:11:31:337,181215,0.4,181456,0.6,362160,0.4,481856,1.75 13,1,2024-09-07 10:11:31:528,1259777,1259777,0,0,590994653252,6216696515,1245580,11111,3086,382,391803,0 13,2,2024-09-07 10:11:30:602,910496,910496,0,0,36831932,0,3287 13,3,2024-09-07 10:11:31:762,1,719,1,0,522,9440,719,0 14,0,2024-09-07 10:11:30:562,180177,0.4,181070,0.6,360233,0.3,479396,1.75 14,1,2024-09-07 10:11:31:560,1268283,1268283,0,0,595206027390,6154005475,1259012,8119,1152,364,391673,0 14,2,2024-09-07 10:11:30:770,910299,910269,30,0,37812250,0,6104 14,3,2024-09-07 10:11:31:114,1,719,1,0,1168,7254,719,0 15,0,2024-09-07 10:11:31:552,179755,0.4,178927,0.6,357984,0.3,478388,1.75 15,1,2024-09-07 10:11:31:612,1263791,1263791,0,0,593833733946,6184825311,1252916,8776,2099,380,391619,0 15,2,2024-09-07 10:11:30:999,911165,911165,0,0,33702574,0,3622 15,3,2024-09-07 10:11:31:405,1,719,1,0,1126,8753,719,0 16,0,2024-09-07 10:11:30:943,180181,0.6,181118,0.8,361295,0.6,480716,2.00 16,1,2024-09-07 10:11:30:565,1263457,1263457,0,0,592810926248,6193716056,1252685,9225,1547,370,392194,0 16,2,2024-09-07 10:11:31:434,908559,908559,0,0,37020872,0,4719 16,3,2024-09-07 10:11:31:141,1,719,1,0,362,8728,719,0 17,0,2024-09-07 10:11:31:799,186204,0.7,181561,0.8,355529,0.7,482934,2.00 17,1,2024-09-07 10:11:30:568,1261683,1261683,0,0,592157512364,6203008726,1250528,9351,1804,368,392075,0 17,2,2024-09-07 10:11:31:677,912965,912964,1,0,38404187,0,5050 17,3,2024-09-07 10:11:30:574,1,719,4,0,518,10062,719,0 18,0,2024-09-07 10:11:30:940,178750,0.6,180022,0.8,358374,0.6,478382,2.25 18,1,2024-09-07 10:11:31:643,1266573,1266573,0,0,593595063728,6154410736,1258381,6759,1433,367,391725,0 18,2,2024-09-07 10:11:31:761,912642,912642,0,0,34615057,0,3541 18,3,2024-09-07 10:11:30:896,1,719,1,0,1059,6394,719,0 19,0,2024-09-07 10:11:31:539,179475,0.6,179989,0.8,358594,0.6,477396,2.00 19,1,2024-09-07 10:11:30:566,1266326,1266326,0,0,594361110128,6155010132,1257450,7713,1163,365,391777,0 19,2,2024-09-07 10:11:31:752,912194,912194,0,0,32267750,0,3988 19,3,2024-09-07 10:11:31:129,1,719,1,0,524,5612,719,0 20,0,2024-09-07 10:11:31:364,180467,0.5,180325,0.6,360629,0.5,481009,2.00 20,1,2024-09-07 10:11:30:578,1261201,1261201,0,0,592220823023,6201682338,1248877,10615,1709,369,391922,0 20,2,2024-09-07 10:11:30:934,909603,909603,0,0,40785596,0,4321 20,3,2024-09-07 10:11:30:595,1,719,45,0,468,11760,719,0 21,0,2024-09-07 10:11:31:165,180528,0.5,180600,0.7,361159,0.5,479582,2.00 21,1,2024-09-07 10:11:31:545,1258662,1258662,0,0,590125970799,6221749052,1239880,14784,3998,368,392016,0 21,2,2024-09-07 10:11:31:068,904628,904151,477,0,52179449,0,17074 21,3,2024-09-07 10:11:31:410,1,719,8,0,713,9806,719,0 22,0,2024-09-07 10:11:31:717,179989,0.5,180629,0.7,361015,0.4,479114,2.00 22,1,2024-09-07 10:11:31:027,1260355,1260355,0,0,590827106264,6208843834,1241227,15522,3606,381,391822,0 22,2,2024-09-07 10:11:30:766,908731,908705,26,0,38705443,0,6328 22,3,2024-09-07 10:11:31:066,1,719,1,0,228,5692,719,0 23,0,2024-09-07 10:11:31:376,179523,0.5,179568,0.6,358902,0.4,478941,2.00 23,1,2024-09-07 10:11:31:002,1261252,1261252,0,0,592038403889,6226883948,1239348,14733,7171,365,391690,0 23,2,2024-09-07 10:11:31:092,910210,910210,0,0,36842226,0,3773 23,3,2024-09-07 10:11:31:760,1,719,0,0,855,9860,719,0 24,0,2024-09-07 10:11:30:839,180905,0.4,180058,0.5,361292,0.3,480093,1.75 24,1,2024-09-07 10:11:30:582,1260175,1260175,0,0,591295538665,6183896962,1248550,9746,1879,367,392269,0 24,2,2024-09-07 10:11:31:069,909240,909237,3,0,43256347,0,6294 24,3,2024-09-07 10:11:31:686,1,719,1,0,468,9287,719,0 25,0,2024-09-07 10:11:31:350,185073,0.4,179869,0.6,353936,0.4,482095,1.75 25,1,2024-09-07 10:11:30:561,1258620,1258620,0,0,590800915692,6235811515,1237475,17141,4004,369,391928,0 25,2,2024-09-07 10:11:31:637,906726,906726,0,0,45330192,0,3978 25,3,2024-09-07 10:11:31:007,1,719,5,0,532,7748,719,0 26,0,2024-09-07 10:11:31:718,179278,0.4,175218,0.6,367606,0.4,479454,1.75 26,1,2024-09-07 10:11:31:541,1262842,1262842,0,0,591554791233,6185544128,1247130,12807,2905,380,391758,0 26,2,2024-09-07 10:11:30:867,909102,909102,0,0,46363264,0,4689 26,3,2024-09-07 10:11:31:712,1,719,1,0,796,8715,719,0 27,0,2024-09-07 10:11:31:722,180125,0.4,180883,0.6,359706,0.4,480113,2.25 27,1,2024-09-07 10:11:31:680,1264222,1264222,0,0,593857779896,6183109913,1252838,9760,1624,381,391683,0 27,2,2024-09-07 10:11:30:872,906909,906844,65,0,40993142,0,5699 27,3,2024-09-07 10:11:31:021,1,719,0,0,564,5950,719,0 28,0,2024-09-07 10:11:31:398,180197,0.4,180191,0.6,360286,0.3,480341,1.75 28,1,2024-09-07 10:11:30:799,1264649,1264649,0,0,594059253904,6195237005,1253166,9055,2428,382,391904,0 28,2,2024-09-07 10:11:31:780,909126,909126,0,0,38344990,0,4060 28,3,2024-09-07 10:11:31:782,1,719,5,0,502,7426,719,0 29,0,2024-09-07 10:11:31:372,185464,0.4,180430,0.6,354423,0.4,482983,1.75 29,1,2024-09-07 10:11:31:562,1268096,1268096,0,0,595576914113,6160143633,1260164,6910,1022,367,391809,0 29,2,2024-09-07 10:11:30:866,908601,908601,0,0,35873693,0,4986 29,3,2024-09-07 10:11:30:967,1,719,0,0,590,7965,719,0 30,0,2024-09-07 10:11:31:471,179097,0.5,174257,0.7,365154,0.5,476823,2.00 30,1,2024-09-07 10:11:30:573,1266844,1266844,0,0,594987799265,6175332422,1257028,8647,1169,380,391672,0 30,2,2024-09-07 10:11:31:280,910598,910598,0,0,34772699,0,4192 30,3,2024-09-07 10:11:30:582,1,719,4,0,519,7342,719,0 31,0,2024-09-07 10:11:31:778,179878,0.4,180889,0.6,360737,0.4,480771,2.00 31,1,2024-09-07 10:11:30:564,1270591,1270591,0,0,596070187419,6119996936,1262654,6668,1269,356,391712,0 31,2,2024-09-07 10:11:31:280,910353,910353,0,0,38166160,0,4470 31,3,2024-09-07 10:11:31:705,1,719,1,0,248,6223,719,0 32,0,2024-09-07 10:11:31:422,180175,0.3,181079,0.5,361207,0.2,480987,1.50 32,1,2024-09-07 10:11:30:807,1266101,1266101,0,0,594378710687,6175644794,1258594,6541,966,381,391646,0 32,2,2024-09-07 10:11:30:935,911357,911357,0,0,33430374,0,3922 32,3,2024-09-07 10:11:31:018,1,719,0,0,304,5896,719,0 33,0,2024-09-07 10:11:31:498,181372,0.3,180702,0.4,361776,0.2,481334,1.50 33,1,2024-09-07 10:11:30:575,1266779,1266779,0,0,594784308617,6155592394,1257147,8274,1358,368,391730,0 33,2,2024-09-07 10:11:30:758,910022,909987,35,0,37155436,0,7012 33,3,2024-09-07 10:11:30:894,1,719,1,0,329,6705,719,0 34,0,2024-09-07 10:11:30:933,180684,0.3,185840,0.5,355288,0.2,479840,1.75 34,1,2024-09-07 10:11:31:044,1270045,1270045,0,0,595582785478,6126337384,1266026,3810,209,366,391637,0 34,2,2024-09-07 10:11:30:770,910763,910763,0,0,34722535,0,4562 34,3,2024-09-07 10:11:31:691,1,719,0,0,541,6095,719,0 35,0,2024-09-07 10:11:30:857,179703,0.3,180199,0.5,361371,0.2,481203,1.75 35,1,2024-09-07 10:11:31:068,1265594,1265594,0,0,593267580054,6152593216,1256651,7390,1553,382,391769,0 35,2,2024-09-07 10:11:31:583,910255,910255,0,0,40413094,0,4055 35,3,2024-09-07 10:11:30:906,1,719,1,0,466,6603,719,0 36,0,2024-09-07 10:11:31:542,180827,0.4,181063,0.6,361606,0.4,481320,2.00 36,1,2024-09-07 10:11:30:584,1264007,1264007,0,0,592589565572,6180718146,1249221,12432,2354,366,391759,0 36,2,2024-09-07 10:11:31:760,908993,908993,0,0,39952982,0,3875 36,3,2024-09-07 10:11:30:877,1,719,35,0,556,8943,719,0 37,0,2024-09-07 10:11:31:391,179495,0.5,179339,0.6,359279,0.5,479440,2.25 37,1,2024-09-07 10:11:30:570,1264040,1264033,0,7,591777501156,6173792258,1249828,11087,3118,365,391770,0 37,2,2024-09-07 10:11:31:145,908465,908450,15,0,40126522,0,5815 37,3,2024-09-07 10:11:31:778,1,719,2,0,888,9595,719,0 38,0,2024-09-07 10:11:31:437,179442,0.5,174037,0.6,364090,0.4,477222,2.00 38,1,2024-09-07 10:11:31:612,1263657,1263657,0,0,592305102096,6185229113,1246812,13539,3306,368,391821,0 38,2,2024-09-07 10:11:30:760,910901,910854,47,0,40113472,0,6710 38,3,2024-09-07 10:11:30:997,1,719,1,0,689,7962,719,0 39,0,2024-09-07 10:11:31:785,183987,0.5,179619,0.7,350973,0.5,478588,2.00 39,1,2024-09-07 10:11:30:718,1261576,1261576,0,0,592733816743,6206486296,1241760,15583,4233,365,391865,0 39,2,2024-09-07 10:11:31:422,909449,909449,0,0,38400447,0,3478 39,3,2024-09-07 10:11:30:712,1,719,12,0,525,7790,719,0 40,0,2024-09-07 10:11:31:516,178296,0.8,178865,0.9,357158,0.9,476806,2.75 40,1,2024-09-07 10:11:30:576,1262266,1262266,0,0,592019623217,6199117840,1243420,15263,3583,366,391668,0 40,2,2024-09-07 10:11:31:309,907051,907044,7,0,44241056,0,5347 40,3,2024-09-07 10:11:31:145,1,719,1,0,1028,9924,719,0 41,0,2024-09-07 10:11:31:026,178897,1.0,183201,1.0,349409,1.3,474547,2.75 41,1,2024-09-07 10:11:30:780,1262783,1262783,0,0,592276073779,6188215210,1247059,13197,2527,369,391878,0 41,2,2024-09-07 10:11:30:758,905963,905962,1,0,43187312,0,5408 41,3,2024-09-07 10:11:31:684,1,719,1,0,749,8507,719,0 42,0,2024-09-07 10:11:31:483,178897,1.0,178725,1.0,357835,1.2,474637,2.75 42,1,2024-09-07 10:11:31:448,1259571,1259571,0,0,591044386538,6197704623,1241959,14528,3084,380,391675,0 42,2,2024-09-07 10:11:31:137,905551,905550,1,0,44683162,0,5513 42,3,2024-09-07 10:11:31:019,1,719,1,0,892,7100,719,0 43,0,2024-09-07 10:11:30:920,177903,0.8,173149,0.9,362904,0.8,474411,2.25 43,1,2024-09-07 10:11:30:576,1263609,1263609,0,0,592966722289,6187215247,1247492,13367,2750,365,391696,0 43,2,2024-09-07 10:11:31:736,911017,911017,0,0,40866385,0,4723 43,3,2024-09-07 10:11:31:749,1,719,2,0,571,9430,719,0 44,0,2024-09-07 10:11:30:918,180261,0.4,180434,0.6,360409,0.3,480296,1.75 44,1,2024-09-07 10:11:30:567,1266528,1266528,0,0,593967325783,6139284644,1255193,9183,2152,356,391809,0 44,2,2024-09-07 10:11:31:267,909075,909075,0,0,34483661,0,4344 44,3,2024-09-07 10:11:31:093,1,719,1,0,1097,9205,719,0 45,0,2024-09-07 10:11:31:762,178018,0.4,173750,0.6,364424,0.4,478474,2.00 45,1,2024-09-07 10:11:31:020,1265629,1265629,0,0,593845812292,6169979549,1256070,8336,1223,382,391917,0 45,2,2024-09-07 10:11:31:271,910737,910737,0,0,34408208,0,3596 45,3,2024-09-07 10:11:30:941,1,719,1,0,531,6651,719,0 46,0,2024-09-07 10:11:30:954,179702,0.5,178934,0.7,359118,0.5,477411,2.00 46,1,2024-09-07 10:11:30:574,1267637,1267637,0,0,594310429216,6145860804,1258356,7798,1483,366,391709,0 46,2,2024-09-07 10:11:30:592,911020,911020,0,0,35373778,0,4443 46,3,2024-09-07 10:11:31:139,1,719,1,0,908,7691,719,0 47,0,2024-09-07 10:11:31:102,181310,0.5,180848,0.6,363035,0.4,481145,2.00 47,1,2024-09-07 10:11:30:567,1269065,1269065,0,0,593957575284,6135453489,1261549,6502,1014,364,391666,0 47,2,2024-09-07 10:11:30:908,913194,913194,0,0,35900300,0,4477 47,3,2024-09-07 10:11:31:116,1,719,1,0,600,7637,719,0 48,0,2024-09-07 10:11:31:526,180850,0.3,181260,0.4,361476,0.2,481164,1.50 48,1,2024-09-07 10:11:31:022,1265171,1265171,0,0,593764767963,6166638101,1257467,6692,1012,381,391710,0 48,2,2024-09-07 10:11:30:702,910976,910976,0,0,32811413,0,3619 48,3,2024-09-07 10:11:30:757,1,719,1,0,339,6033,719,0 49,0,2024-09-07 10:11:31:756,185318,0.3,181533,0.5,352903,0.2,481946,1.75 49,1,2024-09-07 10:11:31:022,1264732,1264732,0,0,593287676967,6165836812,1256852,6182,1698,382,391809,0 49,2,2024-09-07 10:11:31:801,911028,911028,0,0,34095223,0,4426 49,3,2024-09-07 10:11:31:416,1,719,1,0,992,8281,719,0 50,0,2024-09-07 10:11:31:509,180808,0.3,179669,0.5,361521,0.2,480241,1.75 50,1,2024-09-07 10:11:31:015,1268504,1268504,0,0,595761800073,6156606505,1260941,6830,733,368,391691,0 50,2,2024-09-07 10:11:31:067,909379,909379,0,0,32200185,0,4490 50,3,2024-09-07 10:11:31:297,1,719,1,0,617,7357,719,0 51,0,2024-09-07 10:11:31:690,185349,0.3,181428,0.5,353261,0.2,482064,1.75 51,1,2024-09-07 10:11:31:681,1269314,1269314,0,0,595918103810,6141773832,1262609,5360,1345,365,391706,0 51,2,2024-09-07 10:11:31:322,911388,911388,0,0,31905054,0,3337 51,3,2024-09-07 10:11:31:027,1,719,1,0,678,5560,719,0 52,0,2024-09-07 10:11:31:420,180253,0.5,180124,0.6,360034,0.4,478759,2.00 52,1,2024-09-07 10:11:30:575,1263373,1263373,0,0,592496065267,6193524765,1246613,14333,2427,368,391805,0 52,2,2024-09-07 10:11:31:760,906030,905992,38,0,43194798,0,6742 52,3,2024-09-07 10:11:30:674,1,719,1,0,1782,8026,719,0 53,0,2024-09-07 10:11:31:733,179351,0.6,174122,0.8,364429,0.6,477551,2.25 53,1,2024-09-07 10:11:30:776,1262509,1262509,0,0,591903349163,6199086316,1243135,14942,4432,367,391968,0 53,2,2024-09-07 10:11:31:299,909817,909679,138,0,39449073,0,7690 53,3,2024-09-07 10:11:30:698,1,719,8,0,308,6590,719,0 54,0,2024-09-07 10:11:31:618,178041,0.5,178639,0.7,355493,0.4,474482,2.25 54,1,2024-09-07 10:11:30:580,1264943,1264943,0,0,594076048360,6170274156,1253138,10130,1675,366,391810,0 54,2,2024-09-07 10:11:30:867,910313,910281,32,0,42801794,0,6397 54,3,2024-09-07 10:11:30:768,1,719,1,0,676,9095,719,0 55,0,2024-09-07 10:11:31:769,173898,0.7,179206,0.8,363769,0.6,474246,2.50 55,1,2024-09-07 10:11:30:770,1265406,1265406,0,0,593047272327,6160991623,1253368,10549,1489,365,391731,0 55,2,2024-09-07 10:11:30:728,908297,908241,56,0,39095857,0,7239 55,3,2024-09-07 10:11:30:675,1,719,5,0,304,6406,719,0 56,0,2024-09-07 10:11:31:556,183305,1.2,172695,1.1,356057,1.5,476718,2.75 56,1,2024-09-07 10:11:30:570,1257337,1257337,0,0,590132983760,6231998250,1237819,15763,3755,381,391867,0 56,2,2024-09-07 10:11:31:305,907439,907317,122,0,42061819,0,7432 56,3,2024-09-07 10:11:31:064,1,719,1,0,705,8371,719,0 57,0,2024-09-07 10:11:30:944,178395,1.3,178280,1.2,355896,1.7,476380,3.00 57,1,2024-09-07 10:11:30:986,1261425,1261425,0,0,591125745238,6194536728,1245697,13018,2710,366,392097,0 57,2,2024-09-07 10:11:31:325,909587,909587,0,0,44883296,0,4804 57,3,2024-09-07 10:11:31:745,1,719,2,0,455,7536,719,0 58,0,2024-09-07 10:11:30:583,177475,0.8,172455,0.9,360964,0.9,472359,2.50 58,1,2024-09-07 10:11:30:578,1262261,1262258,0,3,592159781644,6202325965,1243880,13974,4404,367,391726,3 58,2,2024-09-07 10:11:31:071,909741,909741,0,0,40733410,0,3483 58,3,2024-09-07 10:11:31:078,1,719,9,0,1043,7629,719,0 59,0,2024-09-07 10:11:31:742,179230,0.9,178205,1.0,356652,1.1,473428,3.00 59,1,2024-09-07 10:11:30:804,1261634,1261634,0,0,591389776220,6204943065,1242131,15665,3838,369,391653,0 59,2,2024-09-07 10:11:30:581,908833,908833,0,0,38881228,0,3727 59,3,2024-09-07 10:11:31:741,1,719,0,0,1015,8371,719,0 60,0,2024-09-07 10:11:31:738,179934,0.5,180196,0.7,360099,0.5,480558,2.00 60,1,2024-09-07 10:11:30:777,1265687,1265687,0,0,593113582737,6173306543,1254744,9437,1506,370,392031,0 60,2,2024-09-07 10:11:31:141,910806,910806,0,0,37191095,0,3811 60,3,2024-09-07 10:11:31:280,1,719,1,0,409,8163,719,0 61,0,2024-09-07 10:11:31:535,180271,0.6,180898,0.7,360396,0.6,480331,2.00 61,1,2024-09-07 10:11:30:788,1262416,1262416,0,0,592597674026,6200230669,1249720,10843,1853,382,392127,0 61,2,2024-09-07 10:11:31:118,911120,911053,67,0,38034118,0,6411 61,3,2024-09-07 10:11:31:687,1,719,5,0,607,8800,719,0 62,0,2024-09-07 10:11:31:716,180810,0.5,185440,0.7,353963,0.5,480777,2.00 62,1,2024-09-07 10:11:31:110,1270414,1270408,0,6,596203786511,6151683495,1263142,6646,620,365,391975,6 62,2,2024-09-07 10:11:31:655,907189,907188,1,0,37722978,0,5555 62,3,2024-09-07 10:11:31:143,1,719,0,0,482,5578,719,0 63,0,2024-09-07 10:11:31:479,181160,0.4,180910,0.6,362519,0.3,481633,1.75 63,1,2024-09-07 10:11:30:804,1266141,1266135,0,6,593945934340,6166309883,1258209,6974,952,381,391800,6 63,2,2024-09-07 10:11:30:766,908969,908969,0,0,37078924,0,4369 63,3,2024-09-07 10:11:31:731,1,719,1,0,667,8116,719,0 64,0,2024-09-07 10:11:31:513,179782,0.5,179736,0.7,359025,0.4,478357,2.00 64,1,2024-09-07 10:11:30:749,1264482,1264482,0,0,593606429521,6175545363,1254255,8196,2031,370,391794,0 64,2,2024-09-07 10:11:31:156,914085,914066,19,0,35696463,0,6121 64,3,2024-09-07 10:11:31:155,1,719,1,0,651,8119,719,0 65,0,2024-09-07 10:11:31:687,179009,0.6,179145,0.7,357512,0.6,477501,2.00 65,1,2024-09-07 10:11:30:864,1263088,1263088,0,0,592244271179,6184643388,1254836,7354,898,381,391901,0 65,2,2024-09-07 10:11:31:693,908860,908860,0,0,42928678,0,3367 65,3,2024-09-07 10:11:31:684,1,719,6,0,782,8272,719,0 66,0,2024-09-07 10:11:31:768,179925,0.5,179442,0.7,358832,0.5,477951,2.00 66,1,2024-09-07 10:11:31:293,1265246,1265246,0,0,593386652218,6172212973,1257732,6638,876,380,391743,0 66,2,2024-09-07 10:11:31:131,911711,911708,3,0,37317707,0,5455 66,3,2024-09-07 10:11:31:080,1,719,1,0,291,6361,719,0 67,0,2024-09-07 10:11:31:418,180112,0.5,179586,0.7,360039,0.4,479821,2.00 67,1,2024-09-07 10:11:30:770,1264843,1264842,0,1,593852125998,6178497935,1256402,7300,1140,380,391787,1 67,2,2024-09-07 10:11:30:583,912120,912105,15,0,38037123,0,6205 67,3,2024-09-07 10:11:31:759,1,719,4,0,595,7433,719,0 68,0,2024-09-07 10:11:30:589,180399,0.6,180196,0.7,358889,0.5,480201,2.00 68,1,2024-09-07 10:11:30:569,1259983,1259983,0,0,590964473815,6212304444,1243094,12586,4303,381,391953,0 68,2,2024-09-07 10:11:31:044,905426,905326,100,0,45797443,0,8578 68,3,2024-09-07 10:11:30:729,1,719,1,0,417,10158,719,0 69,0,2024-09-07 10:11:31:722,179554,0.7,180138,0.8,359488,0.7,478558,2.25 69,1,2024-09-07 10:11:31:016,1259630,1259630,0,0,590728196326,6216797656,1244692,11952,2986,383,391994,0 69,2,2024-09-07 10:11:31:737,905796,905767,29,0,49016197,0,6912 69,3,2024-09-07 10:11:30:760,1,719,28,0,698,10537,719,0 70,0,2024-09-07 10:11:31:549,177983,0.7,178736,0.9,358354,0.6,475328,2.25 70,1,2024-09-07 10:11:30:802,1266548,1266548,0,0,594894227883,6169550069,1257078,8425,1045,366,391725,0 70,2,2024-09-07 10:11:31:324,910142,910142,0,0,40087074,0,4323 70,3,2024-09-07 10:11:30:744,1,719,1,0,854,7796,719,0 71,0,2024-09-07 10:11:31:358,178879,0.7,178408,0.9,357816,0.8,477270,2.50 71,1,2024-09-07 10:11:31:596,1263726,1263726,0,0,592999541953,6181122989,1249284,12656,1786,367,391738,0 71,2,2024-09-07 10:11:31:066,907818,907818,0,0,40694092,0,4352 71,3,2024-09-07 10:11:31:760,1,719,1,0,644,7685,719,0 72,0,2024-09-07 10:11:31:035,186010,0.5,181616,0.7,354891,0.5,482142,2.00 72,1,2024-09-07 10:11:31:027,1262456,1262456,0,0,591436492871,6195674160,1245501,14218,2737,369,391819,0 72,2,2024-09-07 10:11:31:762,906674,906674,0,0,42649010,0,3983 72,3,2024-09-07 10:11:31:761,1,719,1,0,564,9657,719,0 73,0,2024-09-07 10:11:31:096,175740,0.5,180277,0.6,368456,0.4,479535,2.00 73,1,2024-09-07 10:11:30:771,1264066,1264066,0,0,593403381065,6164021275,1254525,8474,1067,367,391858,0 73,2,2024-09-07 10:11:31:741,908618,908617,1,0,44579763,0,5027 73,3,2024-09-07 10:11:30:974,1,719,8,0,1091,9476,719,0 74,0,2024-09-07 10:11:31:328,181361,0.5,185592,0.6,353975,0.4,480730,2.00 74,1,2024-09-07 10:11:30:646,1263217,1263217,0,0,591989375244,6171900593,1250850,10218,2149,381,391762,0 74,2,2024-09-07 10:11:31:003,909604,909604,0,0,38725000,0,4253 74,3,2024-09-07 10:11:31:449,1,719,3,0,522,8022,719,0 75,0,2024-09-07 10:11:31:770,179710,0.5,178760,0.7,358893,0.4,479859,2.00 75,1,2024-09-07 10:11:31:588,1264421,1264421,0,0,593263197187,6176725089,1254531,8895,995,380,391739,0 75,2,2024-09-07 10:11:31:365,908018,908018,0,0,45814203,0,4766 75,3,2024-09-07 10:11:31:079,1,719,10,0,918,9676,719,0 76,0,2024-09-07 10:11:30:582,179882,0.6,179515,0.7,358451,0.6,479055,2.25 76,1,2024-09-07 10:11:30:816,1263138,1263138,0,0,592453197924,6177949683,1254212,7615,1311,382,392525,0 76,2,2024-09-07 10:11:31:066,912032,912029,3,0,38996808,0,5265 76,3,2024-09-07 10:11:31:141,1,719,4,0,249,6600,719,0 77,0,2024-09-07 10:11:31:705,180186,0.6,180390,0.7,361181,0.5,480054,2.00 77,1,2024-09-07 10:11:30:823,1262631,1262631,0,0,593112866322,6190297792,1252339,9199,1093,381,391869,0 77,2,2024-09-07 10:11:31:295,909615,909615,0,0,37640779,0,3890 77,3,2024-09-07 10:11:31:093,1,719,1,0,401,7982,719,0 78,0,2024-09-07 10:11:31:739,181164,0.4,180272,0.6,362102,0.4,479211,2.00 78,1,2024-09-07 10:11:30:610,1263007,1263007,0,0,592295255294,6177722949,1247458,12217,3332,367,391670,0 78,2,2024-09-07 10:11:31:407,911570,911557,13,0,35071458,0,8313 78,3,2024-09-07 10:11:31:137,1,719,0,0,311,5996,719,0 79,0,2024-09-07 10:11:31:371,174163,0.4,178381,0.6,365381,0.3,476220,2.00 79,1,2024-09-07 10:11:30:573,1267480,1267480,0,0,594501220738,6154464114,1257647,8031,1802,367,391682,0 79,2,2024-09-07 10:11:31:067,910589,910589,0,0,34031458,0,4195 79,3,2024-09-07 10:11:30:748,1,719,1,0,418,8215,719,0 80,0,2024-09-07 10:11:31:089,180833,0.5,185561,0.7,354286,0.5,479693,2.00 80,1,2024-09-07 10:11:31:636,1263681,1263681,0,0,593254096252,6174003251,1255672,7425,584,368,392269,0 80,2,2024-09-07 10:11:31:095,912792,912792,0,0,34737163,0,4433 80,3,2024-09-07 10:11:30:575,1,719,1,0,681,8735,719,0 81,0,2024-09-07 10:11:31:543,180764,0.6,184939,0.7,352712,0.6,478754,2.00 81,1,2024-09-07 10:11:31:665,1262562,1262562,0,0,591737282931,6182566703,1252681,8883,998,382,392001,0 81,2,2024-09-07 10:11:31:125,910019,909956,63,0,38763435,0,5932 81,3,2024-09-07 10:11:31:116,1,719,1,0,719,7752,719,0 82,0,2024-09-07 10:11:31:535,180141,0.5,180273,0.7,361073,0.5,479248,2.00 82,1,2024-09-07 10:11:30:582,1264292,1264288,0,4,592956004138,6166816585,1257329,5833,1126,381,391768,4 82,2,2024-09-07 10:11:31:696,912768,912768,0,0,32094872,0,4484 82,3,2024-09-07 10:11:31:759,1,719,13,0,363,6349,719,0 83,0,2024-09-07 10:11:31:543,180078,0.5,179800,0.7,359576,0.5,478092,2.00 83,1,2024-09-07 10:11:30:561,1263454,1263454,0,0,593252993235,6181271884,1254415,8010,1029,382,391709,0 83,2,2024-09-07 10:11:30:770,910132,910107,25,0,34947497,0,5612 83,3,2024-09-07 10:11:30:749,1,719,1,0,1260,8247,719,0 84,0,2024-09-07 10:11:31:778,178369,0.7,178306,0.8,356887,0.6,476365,2.25 84,1,2024-09-07 10:11:31:050,1262060,1262060,0,0,592566236518,6193022734,1248336,11638,2086,367,391967,0 84,2,2024-09-07 10:11:30:585,907447,907037,410,0,50218815,0,17037 84,3,2024-09-07 10:11:31:140,1,719,1,0,908,9756,719,0 85,0,2024-09-07 10:11:31:087,173151,0.7,173147,0.8,367586,0.7,474627,2.25 85,1,2024-09-07 10:11:30:572,1258303,1258303,0,0,590984199907,6228805944,1240484,14885,2934,381,392092,0 85,2,2024-09-07 10:11:30:871,908585,908585,0,0,42678890,0,4255 85,3,2024-09-07 10:11:30:686,1,719,1,0,789,8533,719,0 86,0,2024-09-07 10:11:30:964,179284,0.7,184376,0.8,353021,0.8,477599,2.25 86,1,2024-09-07 10:11:30:826,1261028,1261028,0,0,592249704318,6213820437,1243314,14395,3319,366,392169,0 86,2,2024-09-07 10:11:30:871,908519,908518,1,0,44596529,0,5004 86,3,2024-09-07 10:11:30:586,1,719,15,0,441,9921,719,0 87,0,2024-09-07 10:11:31:323,180610,0.7,179263,0.8,359275,0.8,480037,2.25 87,1,2024-09-07 10:11:30:550,1261077,1261077,0,0,591430106334,6189099761,1246117,12794,2166,366,392076,0 87,2,2024-09-07 10:11:31:069,909340,909334,6,0,42019151,0,6323 87,3,2024-09-07 10:11:31:800,1,719,6,0,473,9927,719,0 88,0,2024-09-07 10:11:31:444,179837,0.4,180212,0.6,360427,0.4,480627,1.75 88,1,2024-09-07 10:11:30:578,1259455,1259455,0,0,591346810718,6190471028,1242583,13727,3145,365,392084,0 88,2,2024-09-07 10:11:30:700,908859,908859,0,0,44122094,0,4465 88,3,2024-09-07 10:11:31:267,1,719,1,0,1080,11229,719,0 89,0,2024-09-07 10:11:31:801,185598,0.5,180226,0.6,355032,0.4,482208,1.75 89,1,2024-09-07 10:11:30:558,1259770,1259770,0,0,591272615966,6211110441,1245066,12421,2283,382,392084,0 89,2,2024-09-07 10:11:31:132,908693,908693,0,0,41484213,0,3173 89,3,2024-09-07 10:11:31:800,1,719,9,0,729,12458,719,0 90,0,2024-09-07 10:11:31:674,174909,0.5,179468,0.6,366487,0.4,478276,2.00 90,1,2024-09-07 10:11:30:590,1261771,1261771,0,0,591275425327,6191111617,1249291,11205,1275,380,391825,0 90,2,2024-09-07 10:11:31:405,905677,905672,5,0,44785003,0,6370 90,3,2024-09-07 10:11:30:933,1,719,0,0,364,9263,719,0 91,0,2024-09-07 10:11:30:934,180947,0.5,175517,0.6,367351,0.4,481322,1.75 91,1,2024-09-07 10:11:30:556,1258718,1258718,0,0,591430108808,6230958784,1239992,14578,4148,381,392047,0 91,2,2024-09-07 10:11:31:331,909584,909584,0,0,40090155,0,4713 91,3,2024-09-07 10:11:30:606,1,719,1,0,231,6598,719,0 92,0,2024-09-07 10:11:31:444,181692,0.4,185917,0.6,354546,0.4,481110,1.75 92,1,2024-09-07 10:11:30:584,1262301,1262301,0,0,592372195387,6184840362,1252749,8237,1315,381,392136,0 92,2,2024-09-07 10:11:31:353,909467,909467,0,0,36537834,0,3906 92,3,2024-09-07 10:11:31:028,1,719,2,0,322,6340,719,0 93,0,2024-09-07 10:11:30:997,181672,0.4,186238,0.6,355524,0.3,481390,1.75 93,1,2024-09-07 10:11:30:905,1262852,1262852,0,0,592762123247,6189754459,1248432,11983,2437,365,392048,0 93,2,2024-09-07 10:11:30:948,909216,909216,0,0,42179103,0,4913 93,3,2024-09-07 10:11:31:407,1,719,1,0,788,8707,719,0 94,0,2024-09-07 10:11:31:663,179730,0.4,181039,0.6,362309,0.4,480631,1.75 94,1,2024-09-07 10:11:30:563,1264430,1264430,0,0,592665965494,6178352621,1256114,7661,655,381,391850,0 94,2,2024-09-07 10:11:30:761,910698,910670,28,0,36692501,0,6179 94,3,2024-09-07 10:11:31:695,1,719,2,0,576,8530,719,0 95,0,2024-09-07 10:11:31:345,180247,0.4,179895,0.5,360137,0.3,480251,1.75 95,1,2024-09-07 10:11:30:861,1264626,1264626,0,0,593326168594,6162530389,1255243,8567,816,365,391852,0 95,2,2024-09-07 10:11:31:032,908332,908332,0,0,36756785,0,3308 95,3,2024-09-07 10:11:31:714,1,719,1,0,718,10594,719,0 96,0,2024-09-07 10:11:31:064,180387,0.3,180673,0.5,361667,0.3,480516,1.75 96,1,2024-09-07 10:11:31:584,1262472,1262472,0,0,593071915132,6185217881,1253526,7568,1378,384,392292,0 96,2,2024-09-07 10:11:31:271,911129,911129,0,0,37155714,0,4225 96,3,2024-09-07 10:11:31:140,1,719,4,0,411,7890,719,0 97,0,2024-09-07 10:11:31:323,179971,0.3,179928,0.5,360432,0.3,479875,1.50 97,1,2024-09-07 10:11:30:766,1265591,1265591,0,0,593780354597,6158342798,1257635,6710,1246,367,392140,0 97,2,2024-09-07 10:11:30:607,910801,910801,0,0,37326452,0,4600 97,3,2024-09-07 10:11:30:569,1,719,1,0,433,8621,719,0 98,0,2024-09-07 10:11:31:710,179934,0.3,180163,0.5,361653,0.3,480619,1.50 98,1,2024-09-07 10:11:30:571,1263904,1263904,0,0,593129152300,6175156553,1256437,6565,902,381,391997,0 98,2,2024-09-07 10:11:30:769,909785,909785,0,0,35610290,0,4336 98,3,2024-09-07 10:11:30:697,1,719,6,0,840,9798,719,0 99,0,2024-09-07 10:11:31:469,180433,0.3,181244,0.5,361256,0.3,481258,1.75 99,1,2024-09-07 10:11:31:723,1264949,1264949,0,0,593101490918,6170243589,1257139,6698,1112,380,392069,0 99,2,2024-09-07 10:11:31:416,908875,908875,0,0,45068177,0,4276 99,3,2024-09-07 10:11:30:581,1,719,1,0,1124,9045,719,0 100,0,2024-09-07 10:11:31:477,179288,0.7,180005,0.9,359851,0.8,479339,2.25 100,1,2024-09-07 10:11:30:557,1257518,1257518,0,0,589280123522,6227502230,1238355,15364,3799,378,391989,0 100,2,2024-09-07 10:11:31:823,905802,905413,389,0,46436045,0,16909 100,3,2024-09-07 10:11:31:742,1,719,6,0,627,10859,719,0 101,0,2024-09-07 10:11:31:698,183791,0.8,179480,0.9,351054,0.8,479301,2.25 101,1,2024-09-07 10:11:30:556,1259762,1259762,0,0,591073187644,6207024416,1243060,13749,2953,368,392018,0 101,2,2024-09-07 10:11:31:763,904277,904238,39,0,48163163,0,5913 101,3,2024-09-07 10:11:30:946,1,719,10,0,1250,10885,719,0 102,0,2024-09-07 10:11:30:991,175204,0.6,180271,0.8,366735,0.6,478802,2.25 102,1,2024-09-07 10:11:31:142,1259890,1259890,0,0,591514247290,6206233818,1244039,13591,2260,369,391984,0 102,2,2024-09-07 10:11:31:737,910043,909989,54,0,39932680,0,6768 102,3,2024-09-07 10:11:31:621,1,719,1,0,466,8178,719,0 103,0,2024-09-07 10:11:31:593,186012,0.7,186026,0.8,350452,0.7,481867,2.25 103,1,2024-09-07 10:11:31:625,1258742,1258742,0,0,590606498640,6228724449,1239817,15296,3629,381,392077,0 103,2,2024-09-07 10:11:30:582,907035,907035,0,0,43441561,0,3766 103,3,2024-09-07 10:11:30:754,1,719,1,0,916,8375,719,0 104,0,2024-09-07 10:11:31:014,178959,0.7,179226,0.9,357619,0.7,477918,2.25 104,1,2024-09-07 10:11:31:613,1260983,1260983,0,0,591223674867,6208205883,1242521,14996,3466,365,392168,0 104,2,2024-09-07 10:11:31:666,907706,907706,0,0,42494400,0,4161 104,3,2024-09-07 10:11:31:418,1,719,2,0,1245,12334,719,0 105,0,2024-09-07 10:11:31:032,177647,0.8,173288,1.0,363325,0.8,477540,2.50 105,1,2024-09-07 10:11:30:555,1262695,1262695,0,0,592514493098,6199734397,1247894,12684,2117,364,392009,0 105,2,2024-09-07 10:11:31:323,907264,907264,0,0,43811060,0,4360 105,3,2024-09-07 10:11:31:308,1,719,50,0,573,10474,719,0 106,0,2024-09-07 10:11:30:959,174262,0.9,178865,0.9,365312,1.0,477285,2.50 106,1,2024-09-07 10:11:31:753,1261621,1261621,0,0,591911598340,6203617396,1245171,14296,2154,368,391914,0 106,2,2024-09-07 10:11:30:755,909296,909296,0,0,40361133,0,3331 106,3,2024-09-07 10:11:30:682,1,719,0,0,1224,9783,719,0 107,0,2024-09-07 10:11:31:105,180303,1.3,180238,1.0,360674,1.8,481104,2.25 107,1,2024-09-07 10:11:30:594,1258122,1258122,0,0,589863970234,6227802829,1238997,16869,2256,381,392234,0 107,2,2024-09-07 10:11:31:291,905921,905920,1,0,44205334,0,5024 107,3,2024-09-07 10:11:31:762,1,719,1,0,733,11135,719,0 108,0,2024-09-07 10:11:31:819,180205,0.4,181214,0.6,361287,0.4,481035,1.75 108,1,2024-09-07 10:11:31:296,1261880,1261880,0,0,592166985605,6180280086,1250356,10256,1268,367,391899,0 108,2,2024-09-07 10:11:31:769,910453,910453,0,0,39445585,0,4432 108,3,2024-09-07 10:11:31:340,1,719,5,0,767,12703,719,0 109,0,2024-09-07 10:11:31:814,181021,0.4,179723,0.6,360144,0.3,480862,1.75 109,1,2024-09-07 10:11:30:583,1260296,1260296,0,0,591877918732,6205858827,1249619,9169,1508,382,392132,0 109,2,2024-09-07 10:11:30:930,907405,907405,0,0,39448678,0,3617 109,3,2024-09-07 10:11:31:145,1,719,2,0,630,8683,719,0 110,0,2024-09-07 10:11:31:771,180212,0.4,175227,0.6,367093,0.3,481266,1.75 110,1,2024-09-07 10:11:31:663,1265139,1265139,0,0,593789030562,6162703666,1255661,7392,2086,368,392045,0 110,2,2024-09-07 10:11:31:309,909746,909746,0,0,38841545,0,4067 110,3,2024-09-07 10:11:30:690,1,719,1,0,722,9513,719,0 111,0,2024-09-07 10:11:31:482,181347,0.4,180440,0.5,360750,0.3,481529,1.75 111,1,2024-09-07 10:11:31:002,1266568,1266568,0,0,594506975335,6170494720,1259299,6761,508,380,391690,0 111,2,2024-09-07 10:11:31:116,910529,910529,0,0,38133410,0,4823 111,3,2024-09-07 10:11:30:912,1,719,1,0,379,8011,719,0 112,0,2024-09-07 10:11:30:933,180904,0.3,180546,0.4,361974,0.2,480624,1.50 112,1,2024-09-07 10:11:30:837,1265316,1265316,0,0,593606878417,6158205197,1257681,6408,1227,380,391624,0 112,2,2024-09-07 10:11:31:140,909459,909458,1,0,36027743,0,5036 112,3,2024-09-07 10:11:30:596,1,719,0,0,282,6962,719,0 113,0,2024-09-07 10:11:30:874,179858,0.3,180245,0.5,360884,0.2,480369,1.50 113,1,2024-09-07 10:11:31:685,1269064,1269064,0,0,595038706638,6135923688,1262140,5973,951,365,391664,0 113,2,2024-09-07 10:11:31:309,912855,912855,0,0,33099085,0,3813 113,3,2024-09-07 10:11:30:688,1,719,0,0,510,7086,719,0 114,0,2024-09-07 10:11:30:875,179858,0.3,181079,0.5,361098,0.2,482005,1.75 114,1,2024-09-07 10:11:30:715,1264397,1264397,0,0,594016834527,6164265247,1255560,7033,1804,381,391565,0 114,2,2024-09-07 10:11:30:873,911732,911731,1,0,35570920,0,5069 114,3,2024-09-07 10:11:31:290,1,719,1,0,415,5794,719,0 115,0,2024-09-07 10:11:30:556,181033,0.3,181415,0.4,361557,0.2,481294,1.50 115,1,2024-09-07 10:11:30:571,1265916,1265916,0,0,593959720445,6166319235,1256353,7769,1794,382,391757,0 115,2,2024-09-07 10:11:31:136,911462,911462,0,0,35639741,0,4382 115,3,2024-09-07 10:11:31:001,1,719,1,0,212,4670,719,0 116,0,2024-09-07 10:11:31:726,179223,0.7,179287,0.9,359078,0.7,480089,2.25 116,1,2024-09-07 10:11:30:804,1258961,1258961,0,0,591676350140,6226818305,1244843,10899,3219,380,392089,0 116,2,2024-09-07 10:11:31:752,908928,908928,0,0,42914977,0,4475 116,3,2024-09-07 10:11:30:911,1,719,1,0,448,8961,719,0 117,0,2024-09-07 10:11:30:969,180271,0.6,179900,0.8,360182,0.6,481496,2.00 117,1,2024-09-07 10:11:31:577,1261161,1261161,0,0,590927750196,6185466170,1247262,12129,1770,369,392429,0 117,2,2024-09-07 10:11:31:118,911201,911201,0,0,39485577,0,4303 117,3,2024-09-07 10:11:31:064,1,719,27,0,490,8617,719,0 118,0,2024-09-07 10:11:31:777,174484,0.5,179270,0.7,365634,0.4,478406,2.00 118,1,2024-09-07 10:11:30:589,1259886,1259886,0,0,591022153011,6205402964,1242355,13682,3849,366,392054,0 118,2,2024-09-07 10:11:31:588,908948,908948,0,0,42032864,0,3161 118,3,2024-09-07 10:11:31:773,1,719,1,0,343,8478,719,0 119,0,2024-09-07 10:11:31:357,180365,0.7,180541,0.8,360691,0.7,480479,2.25 119,1,2024-09-07 10:11:30:548,1261217,1261217,0,0,591823490910,6200190334,1245138,13410,2669,367,391857,0 119,2,2024-09-07 10:11:31:269,909102,909102,0,0,38830866,0,4309 119,3,2024-09-07 10:11:31:331,1,719,2,0,1358,12369,719,0 120,0,2024-09-07 10:11:31:545,179066,0.7,179234,0.9,359642,0.7,479497,2.50 120,1,2024-09-07 10:11:30:860,1261835,1261835,0,0,591511557361,6196865298,1249146,11528,1161,367,392144,0 120,2,2024-09-07 10:11:30:770,908694,908691,3,0,46661273,0,5363 120,3,2024-09-07 10:11:31:291,1,719,1,0,478,9066,719,0 121,0,2024-09-07 10:11:31:691,180384,1.1,179755,1.0,359904,1.4,479014,2.25 121,1,2024-09-07 10:11:31:667,1261085,1261085,0,0,591500942523,6186957441,1247534,11554,1997,366,391924,0 121,2,2024-09-07 10:11:31:127,909700,909700,0,0,42405236,0,4157 121,3,2024-09-07 10:11:30:735,1,719,1,0,387,9366,719,0 122,0,2024-09-07 10:11:31:762,179418,0.7,174688,0.8,365678,0.7,480470,2.00 122,1,2024-09-07 10:11:30:864,1260505,1260505,0,0,592027767648,6204091764,1244204,13722,2579,365,392130,0 122,2,2024-09-07 10:11:31:329,908730,908655,75,0,46512576,0,5989 122,3,2024-09-07 10:11:30:594,1,719,1,0,512,10643,719,0 123,0,2024-09-07 10:11:30:967,179662,0.8,175122,0.8,367256,0.8,479541,2.25 123,1,2024-09-07 10:11:30:558,1261680,1261680,0,0,591746889963,6215233305,1242573,16077,3030,369,392039,0 123,2,2024-09-07 10:11:31:023,908618,908617,1,0,39881553,0,5215 123,3,2024-09-07 10:11:31:139,1,719,1,0,478,7956,719,0 124,0,2024-09-07 10:11:30:916,185492,0.3,185586,0.5,349728,0.3,481509,1.75 124,1,2024-09-07 10:11:31:025,1264781,1264781,0,0,592095025058,6154735506,1255165,8353,1263,365,392178,0 124,2,2024-09-07 10:11:31:012,912252,912199,53,0,37322135,0,6487 124,3,2024-09-07 10:11:30:765,1,719,21,0,490,7339,719,0 125,0,2024-09-07 10:11:31:453,180047,0.4,180041,0.5,360813,0.3,480842,1.75 125,1,2024-09-07 10:11:30:854,1262234,1262234,0,0,592493886354,6188039579,1251169,9478,1587,382,392045,0 125,2,2024-09-07 10:11:31:119,912671,912671,0,0,36749727,0,4534 125,3,2024-09-07 10:11:31:127,1,719,1,0,709,7883,719,0 126,0,2024-09-07 10:11:31:422,180772,0.4,185829,0.5,355180,0.3,481412,1.75 126,1,2024-09-07 10:11:30:552,1265750,1265750,0,0,594226170980,6154438097,1258457,6589,704,365,391987,0 126,2,2024-09-07 10:11:30:609,911044,911044,0,0,38522814,0,4539 126,3,2024-09-07 10:11:30:907,1,719,1,0,268,8100,719,0 127,0,2024-09-07 10:11:31:589,180430,0.3,180694,0.5,360046,0.3,480173,1.75 127,1,2024-09-07 10:11:30:621,1264203,1264203,0,0,593145363920,6168684444,1251736,10690,1777,364,392187,0 127,2,2024-09-07 10:11:30:636,910710,910706,4,0,36468616,0,5305 127,3,2024-09-07 10:11:31:267,1,719,1,0,968,7137,719,0 128,0,2024-09-07 10:11:31:543,181194,0.3,180908,0.4,362268,0.2,481447,1.50 128,1,2024-09-07 10:11:31:646,1264239,1264239,0,0,593328143461,6165435130,1255234,8032,973,367,392423,0 128,2,2024-09-07 10:11:31:382,911892,911892,0,0,34114774,0,3171 128,3,2024-09-07 10:11:30:792,1,719,2,0,1082,10816,719,0 129,0,2024-09-07 10:11:30:992,181700,0.3,180960,0.5,362904,0.3,482057,1.50 129,1,2024-09-07 10:11:30:582,1260184,1260184,0,0,591182179870,6197542055,1247200,10653,2331,379,391962,0 129,2,2024-09-07 10:11:30:686,910923,910919,4,0,36918200,0,5335 129,3,2024-09-07 10:11:30:689,1,719,1,0,506,10286,719,0 130,0,2024-09-07 10:11:31:716,180538,0.4,180299,0.5,361141,0.4,480898,1.75 130,1,2024-09-07 10:11:30:582,1265179,1265179,0,0,593735532244,6162718758,1259315,5455,409,381,391836,0 130,2,2024-09-07 10:11:31:123,910746,910746,0,0,36480694,0,4067 130,3,2024-09-07 10:11:31:300,1,719,0,0,960,9011,719,0 131,0,2024-09-07 10:11:31:951,179972,0.3,180150,0.5,361803,0.3,480903,1.50 131,1,2024-09-07 10:11:31:823,1264824,1264824,0,0,592981809325,6175774473,1256659,6951,1214,381,391865,0 131,2,2024-09-07 10:11:30:567,910110,910110,0,0,34828739,0,3979 131,3,2024-09-07 10:11:31:691,1,719,1,0,392,9070,719,0 132,0,2024-09-07 10:11:31:421,180623,0.5,181551,0.6,361456,0.4,480972,2.00 132,1,2024-09-07 10:11:30:586,1259907,1259907,0,0,590608224098,6207987140,1243384,13740,2783,381,392532,0 132,2,2024-09-07 10:11:30:723,908614,908597,17,0,43385666,0,6451 132,3,2024-09-07 10:11:31:691,1,719,2,0,1298,12082,719,0 133,0,2024-09-07 10:11:31:532,175961,0.5,179968,0.6,369292,0.4,480013,2.00 133,1,2024-09-07 10:11:30:582,1259471,1259471,0,0,591255836893,6219952276,1244001,13534,1936,383,391914,0 133,2,2024-09-07 10:11:31:086,910172,910122,50,0,44334726,0,6861 133,3,2024-09-07 10:11:31:304,1,719,14,0,528,8496,719,0 134,0,2024-09-07 10:11:30:955,180787,0.5,180798,0.7,361056,0.5,481175,2.00 134,1,2024-09-07 10:11:30:583,1261177,1261177,0,0,590802673367,6192546274,1245078,12807,3292,366,391826,0 134,2,2024-09-07 10:11:31:762,909381,909242,139,0,42132761,0,7591 134,3,2024-09-07 10:11:30:749,1,719,12,0,739,8586,719,0 135,0,2024-09-07 10:11:31:107,174199,0.6,174159,0.8,369627,0.7,476956,2.00 135,1,2024-09-07 10:11:31:588,1260567,1260567,0,0,591547715339,6211686050,1246133,12581,1853,380,392038,0 135,2,2024-09-07 10:11:30:691,909960,909960,0,0,42642340,0,4503 135,3,2024-09-07 10:11:31:001,1,719,41,0,900,6763,719,0 136,0,2024-09-07 10:11:31:646,180450,0.6,180945,0.8,360369,0.6,480310,2.00 136,1,2024-09-07 10:11:31:441,1262386,1262386,0,0,592054373138,6197315786,1249536,11422,1428,381,392135,0 136,2,2024-09-07 10:11:31:132,911293,911278,15,0,41500287,0,6007 136,3,2024-09-07 10:11:31:111,1,719,2,0,637,8273,719,0 137,0,2024-09-07 10:11:30:929,186070,0.6,181520,0.7,355422,0.7,482639,2.00 137,1,2024-09-07 10:11:30:597,1260924,1260924,0,0,591951098236,6200238874,1242434,14844,3646,366,391898,0 137,2,2024-09-07 10:11:31:706,908726,908726,0,0,42743292,0,3185 137,3,2024-09-07 10:11:30:769,1,719,1,0,484,9893,719,0 138,0,2024-09-07 10:11:31:740,179626,0.9,179920,0.9,360452,1.1,479338,2.25 138,1,2024-09-07 10:11:31:685,1260985,1260985,0,0,592413354120,6210224977,1243834,14458,2693,368,391954,0 138,2,2024-09-07 10:11:30:584,908343,908343,0,0,41201252,0,4988 138,3,2024-09-07 10:11:30:610,1,719,1,0,1200,10427,719,0 139,0,2024-09-07 10:11:31:362,178873,0.8,179597,0.9,358717,1.0,479227,2.25 139,1,2024-09-07 10:11:30:578,1256896,1256896,0,0,589008938085,6233273268,1236845,16136,3915,380,392109,0 139,2,2024-09-07 10:11:30:704,903334,903304,30,0,46865247,0,5997 139,3,2024-09-07 10:11:31:670,1,719,3,0,432,8296,719,0 140,0,2024-09-07 10:11:31:589,180887,0.3,180260,0.5,361452,0.2,481338,1.75 140,1,2024-09-07 10:11:31:540,1268631,1268631,0,0,595460414360,6142308364,1261820,6006,805,364,391682,0 140,2,2024-09-07 10:11:30:691,910127,910126,1,0,35260140,0,5036 140,3,2024-09-07 10:11:30:768,1,719,1,0,575,7470,719,0 141,0,2024-09-07 10:11:31:709,181194,0.3,186052,0.5,355480,0.3,481207,1.75 141,1,2024-09-07 10:11:30:860,1265139,1265139,0,0,594259763695,6174139894,1254784,8960,1395,379,391614,0 141,2,2024-09-07 10:11:31:692,911352,911341,11,0,37765481,0,5369 141,3,2024-09-07 10:11:31:043,1,719,12,0,391,8248,719,0 142,0,2024-09-07 10:11:31:307,181135,0.3,180273,0.5,361139,0.3,481445,1.50 142,1,2024-09-07 10:11:30:609,1263466,1263466,0,0,593219301784,6187671735,1254278,8293,895,382,392102,0 142,2,2024-09-07 10:11:31:299,909516,909484,32,0,37358573,0,6028 142,3,2024-09-07 10:11:31:749,1,719,1,0,484,7180,719,0 143,0,2024-09-07 10:11:31:366,180009,0.4,179818,0.5,361099,0.3,480193,1.75 143,1,2024-09-07 10:11:30:572,1266385,1266385,0,0,593573771524,6157946875,1257964,7448,973,367,391900,0 143,2,2024-09-07 10:11:30:785,911305,911305,0,0,37024059,0,3123 143,3,2024-09-07 10:11:31:145,1,719,1,0,462,8317,719,0 144,0,2024-09-07 10:11:31:491,174443,0.5,179326,0.7,365442,0.4,477887,2.00 144,1,2024-09-07 10:11:30:568,1260579,1260579,0,0,591162863117,6198623843,1248778,9753,2048,381,391814,0 144,2,2024-09-07 10:11:31:760,910887,910887,0,0,36619280,0,4443 144,3,2024-09-07 10:11:31:742,1,719,2,0,306,7609,719,0 145,0,2024-09-07 10:11:31:358,174326,0.6,174372,0.8,370205,0.5,476424,2.25 145,1,2024-09-07 10:11:30:555,1259498,1259498,0,0,591157394006,6215548127,1243277,13251,2970,381,391879,0 145,2,2024-09-07 10:11:31:428,908468,908386,82,0,42647295,0,7814 145,3,2024-09-07 10:11:30:895,1,719,3,0,622,9457,719,0 146,0,2024-09-07 10:11:31:608,179797,0.6,178984,0.8,359858,0.7,478073,2.25 146,1,2024-09-07 10:11:31:596,1261336,1261336,0,0,592430549632,6223040372,1242166,14239,4931,367,391829,0 146,2,2024-09-07 10:11:31:709,907448,907442,6,0,40600534,0,5151 146,3,2024-09-07 10:11:31:285,1,719,0,0,1520,10992,719,0 147,0,2024-09-07 10:11:31:694,180232,0.6,180068,0.7,360048,0.5,480416,2.00 147,1,2024-09-07 10:11:31:371,1265511,1265511,0,0,592815471288,6159652719,1255538,8870,1103,367,391791,0 147,2,2024-09-07 10:11:31:010,909760,909760,0,0,38422525,0,4531 147,3,2024-09-07 10:11:30:912,1,719,1,0,1626,10567,719,0 0-PRIME_CLIENT.COMPLIANCE[0][0] = true 0-PRIME_CLIENT.METRIC_VALUE[0][0] = 166.98 0-PRIME_CLIENT.QOS_VALUE[0][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[0][1] = true 0-PRIME_CLIENT.METRIC_VALUE[0][1] = 175.46 0-PRIME_CLIENT.QOS_VALUE[0][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[0][2] = true 0-PRIME_CLIENT.METRIC_VALUE[0][2] = 126.60 0-PRIME_CLIENT.QOS_VALUE[0][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[0][3] = true 0-PRIME_CLIENT.METRIC_VALUE[0][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[0][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[1][0] = true 0-PRIME_CLIENT.METRIC_VALUE[1][0] = 166.71 0-PRIME_CLIENT.QOS_VALUE[1][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[1][1] = true 0-PRIME_CLIENT.METRIC_VALUE[1][1] = 175.34 0-PRIME_CLIENT.QOS_VALUE[1][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[1][2] = true 0-PRIME_CLIENT.METRIC_VALUE[1][2] = 126.69 0-PRIME_CLIENT.QOS_VALUE[1][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[1][3] = true 0-PRIME_CLIENT.METRIC_VALUE[1][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[1][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[2][0] = true 0-PRIME_CLIENT.METRIC_VALUE[2][0] = 166.80 0-PRIME_CLIENT.QOS_VALUE[2][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[2][1] = true 0-PRIME_CLIENT.METRIC_VALUE[2][1] = 175.83 0-PRIME_CLIENT.QOS_VALUE[2][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[2][2] = true 0-PRIME_CLIENT.METRIC_VALUE[2][2] = 126.45 0-PRIME_CLIENT.QOS_VALUE[2][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[2][3] = true 0-PRIME_CLIENT.METRIC_VALUE[2][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[2][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[3][0] = true 0-PRIME_CLIENT.METRIC_VALUE[3][0] = 166.94 0-PRIME_CLIENT.QOS_VALUE[3][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[3][1] = true 0-PRIME_CLIENT.METRIC_VALUE[3][1] = 175.58 0-PRIME_CLIENT.QOS_VALUE[3][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[3][2] = true 0-PRIME_CLIENT.METRIC_VALUE[3][2] = 126.71 0-PRIME_CLIENT.QOS_VALUE[3][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[3][3] = true 0-PRIME_CLIENT.METRIC_VALUE[3][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[3][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[4][0] = true 0-PRIME_CLIENT.METRIC_VALUE[4][0] = 167.12 0-PRIME_CLIENT.QOS_VALUE[4][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[4][1] = true 0-PRIME_CLIENT.METRIC_VALUE[4][1] = 174.97 0-PRIME_CLIENT.QOS_VALUE[4][1] = 0.98 0-PRIME_CLIENT.COMPLIANCE[4][2] = true 0-PRIME_CLIENT.METRIC_VALUE[4][2] = 126.23 0-PRIME_CLIENT.QOS_VALUE[4][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[4][3] = true 0-PRIME_CLIENT.METRIC_VALUE[4][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[4][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[5][0] = true 0-PRIME_CLIENT.METRIC_VALUE[5][0] = 167.07 0-PRIME_CLIENT.QOS_VALUE[5][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[5][1] = true 0-PRIME_CLIENT.METRIC_VALUE[5][1] = 175.04 0-PRIME_CLIENT.QOS_VALUE[5][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[5][2] = true 0-PRIME_CLIENT.METRIC_VALUE[5][2] = 125.99 0-PRIME_CLIENT.QOS_VALUE[5][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[5][3] = true 0-PRIME_CLIENT.METRIC_VALUE[5][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[5][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[6][0] = true 0-PRIME_CLIENT.METRIC_VALUE[6][0] = 166.82 0-PRIME_CLIENT.QOS_VALUE[6][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[6][1] = true 0-PRIME_CLIENT.METRIC_VALUE[6][1] = 175.63 0-PRIME_CLIENT.QOS_VALUE[6][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[6][2] = true 0-PRIME_CLIENT.METRIC_VALUE[6][2] = 126.50 0-PRIME_CLIENT.QOS_VALUE[6][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[6][3] = true 0-PRIME_CLIENT.METRIC_VALUE[6][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[6][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[7][0] = true 0-PRIME_CLIENT.METRIC_VALUE[7][0] = 166.76 0-PRIME_CLIENT.QOS_VALUE[7][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[7][1] = true 0-PRIME_CLIENT.METRIC_VALUE[7][1] = 175.16 0-PRIME_CLIENT.QOS_VALUE[7][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[7][2] = true 0-PRIME_CLIENT.METRIC_VALUE[7][2] = 126.37 0-PRIME_CLIENT.QOS_VALUE[7][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[7][3] = true 0-PRIME_CLIENT.METRIC_VALUE[7][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[7][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[8][0] = true 0-PRIME_CLIENT.METRIC_VALUE[8][0] = 167.41 0-PRIME_CLIENT.QOS_VALUE[8][0] = 1.00 0-PRIME_CLIENT.COMPLIANCE[8][1] = true 0-PRIME_CLIENT.METRIC_VALUE[8][1] = 175.06 0-PRIME_CLIENT.QOS_VALUE[8][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[8][2] = true 0-PRIME_CLIENT.METRIC_VALUE[8][2] = 125.81 0-PRIME_CLIENT.QOS_VALUE[8][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[8][3] = true 0-PRIME_CLIENT.METRIC_VALUE[8][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[8][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[9][0] = true 0-PRIME_CLIENT.METRIC_VALUE[9][0] = 167.28 0-PRIME_CLIENT.QOS_VALUE[9][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[9][1] = true 0-PRIME_CLIENT.METRIC_VALUE[9][1] = 175.04 0-PRIME_CLIENT.QOS_VALUE[9][1] = 0.98 0-PRIME_CLIENT.COMPLIANCE[9][2] = true 0-PRIME_CLIENT.METRIC_VALUE[9][2] = 126.30 0-PRIME_CLIENT.QOS_VALUE[9][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[9][3] = true 0-PRIME_CLIENT.METRIC_VALUE[9][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[9][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[10][0] = true 0-PRIME_CLIENT.METRIC_VALUE[10][0] = 166.51 0-PRIME_CLIENT.QOS_VALUE[10][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[10][1] = true 0-PRIME_CLIENT.METRIC_VALUE[10][1] = 175.24 0-PRIME_CLIENT.QOS_VALUE[10][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[10][2] = true 0-PRIME_CLIENT.METRIC_VALUE[10][2] = 126.42 0-PRIME_CLIENT.QOS_VALUE[10][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[10][3] = true 0-PRIME_CLIENT.METRIC_VALUE[10][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[10][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[11][0] = true 0-PRIME_CLIENT.METRIC_VALUE[11][0] = 166.96 0-PRIME_CLIENT.QOS_VALUE[11][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[11][1] = true 0-PRIME_CLIENT.METRIC_VALUE[11][1] = 175.55 0-PRIME_CLIENT.QOS_VALUE[11][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[11][2] = true 0-PRIME_CLIENT.METRIC_VALUE[11][2] = 126.36 0-PRIME_CLIENT.QOS_VALUE[11][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[11][3] = true 0-PRIME_CLIENT.METRIC_VALUE[11][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[11][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[12][0] = true 0-PRIME_CLIENT.METRIC_VALUE[12][0] = 167.66 0-PRIME_CLIENT.QOS_VALUE[12][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[12][1] = true 0-PRIME_CLIENT.METRIC_VALUE[12][1] = 175.48 0-PRIME_CLIENT.QOS_VALUE[12][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[12][2] = true 0-PRIME_CLIENT.METRIC_VALUE[12][2] = 126.21 0-PRIME_CLIENT.QOS_VALUE[12][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[12][3] = true 0-PRIME_CLIENT.METRIC_VALUE[12][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[12][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[13][0] = true 0-PRIME_CLIENT.METRIC_VALUE[13][0] = 167.68 0-PRIME_CLIENT.QOS_VALUE[13][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[13][1] = true 0-PRIME_CLIENT.METRIC_VALUE[13][1] = 175.18 0-PRIME_CLIENT.QOS_VALUE[13][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[13][2] = true 0-PRIME_CLIENT.METRIC_VALUE[13][2] = 126.62 0-PRIME_CLIENT.QOS_VALUE[13][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[13][3] = true 0-PRIME_CLIENT.METRIC_VALUE[13][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[13][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[14][0] = true 0-PRIME_CLIENT.METRIC_VALUE[14][0] = 166.90 0-PRIME_CLIENT.QOS_VALUE[14][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[14][1] = true 0-PRIME_CLIENT.METRIC_VALUE[14][1] = 176.34 0-PRIME_CLIENT.QOS_VALUE[14][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[14][2] = true 0-PRIME_CLIENT.METRIC_VALUE[14][2] = 126.55 0-PRIME_CLIENT.QOS_VALUE[14][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[14][3] = true 0-PRIME_CLIENT.METRIC_VALUE[14][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[14][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[15][0] = true 0-PRIME_CLIENT.METRIC_VALUE[15][0] = 166.45 0-PRIME_CLIENT.QOS_VALUE[15][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[15][1] = true 0-PRIME_CLIENT.METRIC_VALUE[15][1] = 175.71 0-PRIME_CLIENT.QOS_VALUE[15][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[15][2] = true 0-PRIME_CLIENT.METRIC_VALUE[15][2] = 126.73 0-PRIME_CLIENT.QOS_VALUE[15][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[15][3] = true 0-PRIME_CLIENT.METRIC_VALUE[15][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[15][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[16][0] = true 0-PRIME_CLIENT.METRIC_VALUE[16][0] = 167.23 0-PRIME_CLIENT.QOS_VALUE[16][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[16][1] = true 0-PRIME_CLIENT.METRIC_VALUE[16][1] = 175.72 0-PRIME_CLIENT.QOS_VALUE[16][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[16][2] = true 0-PRIME_CLIENT.METRIC_VALUE[16][2] = 126.35 0-PRIME_CLIENT.QOS_VALUE[16][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[16][3] = true 0-PRIME_CLIENT.METRIC_VALUE[16][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[16][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[17][0] = true 0-PRIME_CLIENT.METRIC_VALUE[17][0] = 167.62 0-PRIME_CLIENT.QOS_VALUE[17][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[17][1] = true 0-PRIME_CLIENT.METRIC_VALUE[17][1] = 175.48 0-PRIME_CLIENT.QOS_VALUE[17][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[17][2] = true 0-PRIME_CLIENT.METRIC_VALUE[17][2] = 126.93 0-PRIME_CLIENT.QOS_VALUE[17][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[17][3] = true 0-PRIME_CLIENT.METRIC_VALUE[17][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[17][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[18][0] = true 0-PRIME_CLIENT.METRIC_VALUE[18][0] = 166.22 0-PRIME_CLIENT.QOS_VALUE[18][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[18][1] = true 0-PRIME_CLIENT.METRIC_VALUE[18][1] = 176.10 0-PRIME_CLIENT.QOS_VALUE[18][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[18][2] = true 0-PRIME_CLIENT.METRIC_VALUE[18][2] = 126.88 0-PRIME_CLIENT.QOS_VALUE[18][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[18][3] = true 0-PRIME_CLIENT.METRIC_VALUE[18][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[18][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[19][0] = true 0-PRIME_CLIENT.METRIC_VALUE[19][0] = 166.42 0-PRIME_CLIENT.QOS_VALUE[19][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[19][1] = true 0-PRIME_CLIENT.METRIC_VALUE[19][1] = 176.10 0-PRIME_CLIENT.QOS_VALUE[19][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[19][2] = true 0-PRIME_CLIENT.METRIC_VALUE[19][2] = 126.83 0-PRIME_CLIENT.QOS_VALUE[19][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[19][3] = true 0-PRIME_CLIENT.METRIC_VALUE[19][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[19][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[20][0] = true 0-PRIME_CLIENT.METRIC_VALUE[20][0] = 167.12 0-PRIME_CLIENT.QOS_VALUE[20][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[20][1] = true 0-PRIME_CLIENT.METRIC_VALUE[20][1] = 175.38 0-PRIME_CLIENT.QOS_VALUE[20][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[20][2] = true 0-PRIME_CLIENT.METRIC_VALUE[20][2] = 126.50 0-PRIME_CLIENT.QOS_VALUE[20][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[20][3] = true 0-PRIME_CLIENT.METRIC_VALUE[20][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[20][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[21][0] = true 0-PRIME_CLIENT.METRIC_VALUE[21][0] = 167.20 0-PRIME_CLIENT.QOS_VALUE[21][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[21][1] = true 0-PRIME_CLIENT.METRIC_VALUE[21][1] = 175.01 0-PRIME_CLIENT.QOS_VALUE[21][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[21][2] = true 0-PRIME_CLIENT.METRIC_VALUE[21][2] = 125.80 0-PRIME_CLIENT.QOS_VALUE[21][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[21][3] = true 0-PRIME_CLIENT.METRIC_VALUE[21][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[21][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[22][0] = true 0-PRIME_CLIENT.METRIC_VALUE[22][0] = 166.99 0-PRIME_CLIENT.QOS_VALUE[22][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[22][1] = true 0-PRIME_CLIENT.METRIC_VALUE[22][1] = 175.24 0-PRIME_CLIENT.QOS_VALUE[22][1] = 0.98 0-PRIME_CLIENT.COMPLIANCE[22][2] = true 0-PRIME_CLIENT.METRIC_VALUE[22][2] = 126.38 0-PRIME_CLIENT.QOS_VALUE[22][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[22][3] = true 0-PRIME_CLIENT.METRIC_VALUE[22][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[22][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[23][0] = true 0-PRIME_CLIENT.METRIC_VALUE[23][0] = 166.67 0-PRIME_CLIENT.QOS_VALUE[23][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[23][1] = true 0-PRIME_CLIENT.METRIC_VALUE[23][1] = 175.40 0-PRIME_CLIENT.QOS_VALUE[23][1] = 0.98 0-PRIME_CLIENT.COMPLIANCE[23][2] = true 0-PRIME_CLIENT.METRIC_VALUE[23][2] = 126.53 0-PRIME_CLIENT.QOS_VALUE[23][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[23][3] = true 0-PRIME_CLIENT.METRIC_VALUE[23][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[23][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[24][0] = true 0-PRIME_CLIENT.METRIC_VALUE[24][0] = 167.25 0-PRIME_CLIENT.QOS_VALUE[24][0] = 1.00 0-PRIME_CLIENT.COMPLIANCE[24][1] = true 0-PRIME_CLIENT.METRIC_VALUE[24][1] = 175.27 0-PRIME_CLIENT.QOS_VALUE[24][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[24][2] = true 0-PRIME_CLIENT.METRIC_VALUE[24][2] = 126.44 0-PRIME_CLIENT.QOS_VALUE[24][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[24][3] = true 0-PRIME_CLIENT.METRIC_VALUE[24][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[24][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[25][0] = true 0-PRIME_CLIENT.METRIC_VALUE[25][0] = 167.12 0-PRIME_CLIENT.QOS_VALUE[25][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[25][1] = true 0-PRIME_CLIENT.METRIC_VALUE[25][1] = 175.05 0-PRIME_CLIENT.QOS_VALUE[25][1] = 0.98 0-PRIME_CLIENT.COMPLIANCE[25][2] = true 0-PRIME_CLIENT.METRIC_VALUE[25][2] = 126.12 0-PRIME_CLIENT.QOS_VALUE[25][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[25][3] = true 0-PRIME_CLIENT.METRIC_VALUE[25][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[25][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[26][0] = true 0-PRIME_CLIENT.METRIC_VALUE[26][0] = 167.05 0-PRIME_CLIENT.QOS_VALUE[26][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[26][1] = true 0-PRIME_CLIENT.METRIC_VALUE[26][1] = 175.59 0-PRIME_CLIENT.QOS_VALUE[26][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[26][2] = true 0-PRIME_CLIENT.METRIC_VALUE[26][2] = 126.44 0-PRIME_CLIENT.QOS_VALUE[26][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[26][3] = true 0-PRIME_CLIENT.METRIC_VALUE[26][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[26][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[27][0] = true 0-PRIME_CLIENT.METRIC_VALUE[27][0] = 167.09 0-PRIME_CLIENT.QOS_VALUE[27][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[27][1] = true 0-PRIME_CLIENT.METRIC_VALUE[27][1] = 175.79 0-PRIME_CLIENT.QOS_VALUE[27][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[27][2] = true 0-PRIME_CLIENT.METRIC_VALUE[27][2] = 126.04 0-PRIME_CLIENT.QOS_VALUE[27][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[27][3] = true 0-PRIME_CLIENT.METRIC_VALUE[27][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[27][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[28][0] = true 0-PRIME_CLIENT.METRIC_VALUE[28][0] = 167.22 0-PRIME_CLIENT.QOS_VALUE[28][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[28][1] = true 0-PRIME_CLIENT.METRIC_VALUE[28][1] = 175.88 0-PRIME_CLIENT.QOS_VALUE[28][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[28][2] = true 0-PRIME_CLIENT.METRIC_VALUE[28][2] = 126.41 0-PRIME_CLIENT.QOS_VALUE[28][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[28][3] = true 0-PRIME_CLIENT.METRIC_VALUE[28][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[28][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[29][0] = true 0-PRIME_CLIENT.METRIC_VALUE[29][0] = 167.24 0-PRIME_CLIENT.QOS_VALUE[29][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[29][1] = true 0-PRIME_CLIENT.METRIC_VALUE[29][1] = 176.31 0-PRIME_CLIENT.QOS_VALUE[29][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[29][2] = true 0-PRIME_CLIENT.METRIC_VALUE[29][2] = 126.36 0-PRIME_CLIENT.QOS_VALUE[29][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[29][3] = true 0-PRIME_CLIENT.METRIC_VALUE[29][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[29][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[30][0] = true 0-PRIME_CLIENT.METRIC_VALUE[30][0] = 166.13 0-PRIME_CLIENT.QOS_VALUE[30][0] = 0.96 0-PRIME_CLIENT.COMPLIANCE[30][1] = true 0-PRIME_CLIENT.METRIC_VALUE[30][1] = 176.18 0-PRIME_CLIENT.QOS_VALUE[30][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[30][2] = true 0-PRIME_CLIENT.METRIC_VALUE[30][2] = 126.59 0-PRIME_CLIENT.QOS_VALUE[30][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[30][3] = true 0-PRIME_CLIENT.METRIC_VALUE[30][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[30][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[31][0] = true 0-PRIME_CLIENT.METRIC_VALUE[31][0] = 166.99 0-PRIME_CLIENT.QOS_VALUE[31][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[31][1] = true 0-PRIME_CLIENT.METRIC_VALUE[31][1] = 176.72 0-PRIME_CLIENT.QOS_VALUE[31][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[31][2] = true 0-PRIME_CLIENT.METRIC_VALUE[31][2] = 126.56 0-PRIME_CLIENT.QOS_VALUE[31][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[31][3] = true 0-PRIME_CLIENT.METRIC_VALUE[31][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[31][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[32][0] = true 0-PRIME_CLIENT.METRIC_VALUE[32][0] = 167.47 0-PRIME_CLIENT.QOS_VALUE[32][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[32][1] = true 0-PRIME_CLIENT.METRIC_VALUE[32][1] = 176.08 0-PRIME_CLIENT.QOS_VALUE[32][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[32][2] = true 0-PRIME_CLIENT.METRIC_VALUE[32][2] = 126.75 0-PRIME_CLIENT.QOS_VALUE[32][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[32][3] = true 0-PRIME_CLIENT.METRIC_VALUE[32][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[32][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[33][0] = true 0-PRIME_CLIENT.METRIC_VALUE[33][0] = 167.47 0-PRIME_CLIENT.QOS_VALUE[33][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[33][1] = true 0-PRIME_CLIENT.METRIC_VALUE[33][1] = 176.18 0-PRIME_CLIENT.QOS_VALUE[33][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[33][2] = true 0-PRIME_CLIENT.METRIC_VALUE[33][2] = 126.55 0-PRIME_CLIENT.QOS_VALUE[33][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[33][3] = true 0-PRIME_CLIENT.METRIC_VALUE[33][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[33][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[34][0] = true 0-PRIME_CLIENT.METRIC_VALUE[34][0] = 167.10 0-PRIME_CLIENT.QOS_VALUE[34][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[34][1] = true 0-PRIME_CLIENT.METRIC_VALUE[34][1] = 176.59 0-PRIME_CLIENT.QOS_VALUE[34][1] = 1.00 0-PRIME_CLIENT.COMPLIANCE[34][2] = true 0-PRIME_CLIENT.METRIC_VALUE[34][2] = 126.63 0-PRIME_CLIENT.QOS_VALUE[34][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[34][3] = true 0-PRIME_CLIENT.METRIC_VALUE[34][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[34][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[35][0] = true 0-PRIME_CLIENT.METRIC_VALUE[35][0] = 167.32 0-PRIME_CLIENT.QOS_VALUE[35][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[35][1] = true 0-PRIME_CLIENT.METRIC_VALUE[35][1] = 176.00 0-PRIME_CLIENT.QOS_VALUE[35][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[35][2] = true 0-PRIME_CLIENT.METRIC_VALUE[35][2] = 126.58 0-PRIME_CLIENT.QOS_VALUE[35][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[35][3] = true 0-PRIME_CLIENT.METRIC_VALUE[35][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[35][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[36][0] = true 0-PRIME_CLIENT.METRIC_VALUE[36][0] = 167.59 0-PRIME_CLIENT.QOS_VALUE[36][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[36][1] = true 0-PRIME_CLIENT.METRIC_VALUE[36][1] = 175.80 0-PRIME_CLIENT.QOS_VALUE[36][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[36][2] = true 0-PRIME_CLIENT.METRIC_VALUE[36][2] = 126.36 0-PRIME_CLIENT.QOS_VALUE[36][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[36][3] = true 0-PRIME_CLIENT.METRIC_VALUE[36][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[36][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[37][0] = true 0-PRIME_CLIENT.METRIC_VALUE[37][0] = 166.84 0-PRIME_CLIENT.QOS_VALUE[37][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[37][1] = true 0-PRIME_CLIENT.METRIC_VALUE[37][1] = 175.79 0-PRIME_CLIENT.QOS_VALUE[37][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[37][2] = true 0-PRIME_CLIENT.METRIC_VALUE[37][2] = 126.32 0-PRIME_CLIENT.QOS_VALUE[37][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[37][3] = true 0-PRIME_CLIENT.METRIC_VALUE[37][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[37][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[38][0] = true 0-PRIME_CLIENT.METRIC_VALUE[38][0] = 166.24 0-PRIME_CLIENT.QOS_VALUE[38][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[38][1] = true 0-PRIME_CLIENT.METRIC_VALUE[38][1] = 175.68 0-PRIME_CLIENT.QOS_VALUE[38][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[38][2] = true 0-PRIME_CLIENT.METRIC_VALUE[38][2] = 126.68 0-PRIME_CLIENT.QOS_VALUE[38][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[38][3] = true 0-PRIME_CLIENT.METRIC_VALUE[38][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[38][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[39][0] = true 0-PRIME_CLIENT.METRIC_VALUE[39][0] = 165.86 0-PRIME_CLIENT.QOS_VALUE[39][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[39][1] = true 0-PRIME_CLIENT.METRIC_VALUE[39][1] = 175.43 0-PRIME_CLIENT.QOS_VALUE[39][1] = 0.98 0-PRIME_CLIENT.COMPLIANCE[39][2] = true 0-PRIME_CLIENT.METRIC_VALUE[39][2] = 126.47 0-PRIME_CLIENT.QOS_VALUE[39][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[39][3] = true 0-PRIME_CLIENT.METRIC_VALUE[39][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[39][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[40][0] = true 0-PRIME_CLIENT.METRIC_VALUE[40][0] = 165.66 0-PRIME_CLIENT.QOS_VALUE[40][0] = 0.96 0-PRIME_CLIENT.COMPLIANCE[40][1] = true 0-PRIME_CLIENT.METRIC_VALUE[40][1] = 175.53 0-PRIME_CLIENT.QOS_VALUE[40][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[40][2] = true 0-PRIME_CLIENT.METRIC_VALUE[40][2] = 126.06 0-PRIME_CLIENT.QOS_VALUE[40][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[40][3] = true 0-PRIME_CLIENT.METRIC_VALUE[40][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[40][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[41][0] = true 0-PRIME_CLIENT.METRIC_VALUE[41][0] = 165.09 0-PRIME_CLIENT.QOS_VALUE[41][0] = 0.94 0-PRIME_CLIENT.COMPLIANCE[41][1] = true 0-PRIME_CLIENT.METRIC_VALUE[41][1] = 175.59 0-PRIME_CLIENT.QOS_VALUE[41][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[41][2] = true 0-PRIME_CLIENT.METRIC_VALUE[41][2] = 126.02 0-PRIME_CLIENT.QOS_VALUE[41][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[41][3] = true 0-PRIME_CLIENT.METRIC_VALUE[41][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[41][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[42][0] = true 0-PRIME_CLIENT.METRIC_VALUE[42][0] = 165.44 0-PRIME_CLIENT.QOS_VALUE[42][0] = 0.94 0-PRIME_CLIENT.COMPLIANCE[42][1] = true 0-PRIME_CLIENT.METRIC_VALUE[42][1] = 175.14 0-PRIME_CLIENT.QOS_VALUE[42][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[42][2] = true 0-PRIME_CLIENT.METRIC_VALUE[42][2] = 125.93 0-PRIME_CLIENT.QOS_VALUE[42][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[42][3] = true 0-PRIME_CLIENT.METRIC_VALUE[42][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[42][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[43][0] = true 0-PRIME_CLIENT.METRIC_VALUE[43][0] = 165.14 0-PRIME_CLIENT.QOS_VALUE[43][0] = 0.96 0-PRIME_CLIENT.COMPLIANCE[43][1] = true 0-PRIME_CLIENT.METRIC_VALUE[43][1] = 175.72 0-PRIME_CLIENT.QOS_VALUE[43][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[43][2] = true 0-PRIME_CLIENT.METRIC_VALUE[43][2] = 126.67 0-PRIME_CLIENT.QOS_VALUE[43][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[43][3] = true 0-PRIME_CLIENT.METRIC_VALUE[43][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[43][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[44][0] = true 0-PRIME_CLIENT.METRIC_VALUE[44][0] = 166.97 0-PRIME_CLIENT.QOS_VALUE[44][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[44][1] = true 0-PRIME_CLIENT.METRIC_VALUE[44][1] = 176.12 0-PRIME_CLIENT.QOS_VALUE[44][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[44][2] = true 0-PRIME_CLIENT.METRIC_VALUE[44][2] = 126.37 0-PRIME_CLIENT.QOS_VALUE[44][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[44][3] = true 0-PRIME_CLIENT.METRIC_VALUE[44][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[44][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[45][0] = true 0-PRIME_CLIENT.METRIC_VALUE[45][0] = 166.39 0-PRIME_CLIENT.QOS_VALUE[45][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[45][1] = true 0-PRIME_CLIENT.METRIC_VALUE[45][1] = 175.98 0-PRIME_CLIENT.QOS_VALUE[45][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[45][2] = true 0-PRIME_CLIENT.METRIC_VALUE[45][2] = 126.65 0-PRIME_CLIENT.QOS_VALUE[45][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[45][3] = true 0-PRIME_CLIENT.METRIC_VALUE[45][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[45][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[46][0] = true 0-PRIME_CLIENT.METRIC_VALUE[46][0] = 166.10 0-PRIME_CLIENT.QOS_VALUE[46][0] = 0.96 0-PRIME_CLIENT.COMPLIANCE[46][1] = true 0-PRIME_CLIENT.METRIC_VALUE[46][1] = 176.28 0-PRIME_CLIENT.QOS_VALUE[46][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[46][2] = true 0-PRIME_CLIENT.METRIC_VALUE[46][2] = 126.69 0-PRIME_CLIENT.QOS_VALUE[46][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[46][3] = true 0-PRIME_CLIENT.METRIC_VALUE[46][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[46][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[47][0] = true 0-PRIME_CLIENT.METRIC_VALUE[47][0] = 167.64 0-PRIME_CLIENT.QOS_VALUE[47][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[47][1] = true 0-PRIME_CLIENT.METRIC_VALUE[47][1] = 176.47 0-PRIME_CLIENT.QOS_VALUE[47][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[47][2] = true 0-PRIME_CLIENT.METRIC_VALUE[47][2] = 126.98 0-PRIME_CLIENT.QOS_VALUE[47][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[47][3] = true 0-PRIME_CLIENT.METRIC_VALUE[47][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[47][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[48][0] = true 0-PRIME_CLIENT.METRIC_VALUE[48][0] = 167.51 0-PRIME_CLIENT.QOS_VALUE[48][0] = 1.00 0-PRIME_CLIENT.COMPLIANCE[48][1] = true 0-PRIME_CLIENT.METRIC_VALUE[48][1] = 175.96 0-PRIME_CLIENT.QOS_VALUE[48][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[48][2] = true 0-PRIME_CLIENT.METRIC_VALUE[48][2] = 126.68 0-PRIME_CLIENT.QOS_VALUE[48][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[48][3] = true 0-PRIME_CLIENT.METRIC_VALUE[48][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[48][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[49][0] = true 0-PRIME_CLIENT.METRIC_VALUE[49][0] = 167.30 0-PRIME_CLIENT.QOS_VALUE[49][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[49][1] = true 0-PRIME_CLIENT.METRIC_VALUE[49][1] = 175.89 0-PRIME_CLIENT.QOS_VALUE[49][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[49][2] = true 0-PRIME_CLIENT.METRIC_VALUE[49][2] = 126.66 0-PRIME_CLIENT.QOS_VALUE[49][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[49][3] = true 0-PRIME_CLIENT.METRIC_VALUE[49][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[49][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[50][0] = true 0-PRIME_CLIENT.METRIC_VALUE[50][0] = 167.09 0-PRIME_CLIENT.QOS_VALUE[50][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[50][1] = true 0-PRIME_CLIENT.METRIC_VALUE[50][1] = 176.41 0-PRIME_CLIENT.QOS_VALUE[50][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[50][2] = true 0-PRIME_CLIENT.METRIC_VALUE[50][2] = 126.44 0-PRIME_CLIENT.QOS_VALUE[50][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[50][3] = true 0-PRIME_CLIENT.METRIC_VALUE[50][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[50][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[51][0] = true 0-PRIME_CLIENT.METRIC_VALUE[51][0] = 167.24 0-PRIME_CLIENT.QOS_VALUE[51][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[51][1] = true 0-PRIME_CLIENT.METRIC_VALUE[51][1] = 176.48 0-PRIME_CLIENT.QOS_VALUE[51][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[51][2] = true 0-PRIME_CLIENT.METRIC_VALUE[51][2] = 126.75 0-PRIME_CLIENT.QOS_VALUE[51][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[51][3] = true 0-PRIME_CLIENT.METRIC_VALUE[51][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[51][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[52][0] = true 0-PRIME_CLIENT.METRIC_VALUE[52][0] = 166.76 0-PRIME_CLIENT.QOS_VALUE[52][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[52][1] = true 0-PRIME_CLIENT.METRIC_VALUE[52][1] = 175.67 0-PRIME_CLIENT.QOS_VALUE[52][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[52][2] = true 0-PRIME_CLIENT.METRIC_VALUE[52][2] = 125.97 0-PRIME_CLIENT.QOS_VALUE[52][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[52][3] = true 0-PRIME_CLIENT.METRIC_VALUE[52][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[52][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[53][0] = true 0-PRIME_CLIENT.METRIC_VALUE[53][0] = 166.47 0-PRIME_CLIENT.QOS_VALUE[53][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[53][1] = true 0-PRIME_CLIENT.METRIC_VALUE[53][1] = 175.57 0-PRIME_CLIENT.QOS_VALUE[53][1] = 0.98 0-PRIME_CLIENT.COMPLIANCE[53][2] = true 0-PRIME_CLIENT.METRIC_VALUE[53][2] = 126.47 0-PRIME_CLIENT.QOS_VALUE[53][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[53][3] = true 0-PRIME_CLIENT.METRIC_VALUE[53][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[53][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[54][0] = true 0-PRIME_CLIENT.METRIC_VALUE[54][0] = 165.06 0-PRIME_CLIENT.QOS_VALUE[54][0] = 0.96 0-PRIME_CLIENT.COMPLIANCE[54][1] = true 0-PRIME_CLIENT.METRIC_VALUE[54][1] = 175.90 0-PRIME_CLIENT.QOS_VALUE[54][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[54][2] = true 0-PRIME_CLIENT.METRIC_VALUE[54][2] = 126.59 0-PRIME_CLIENT.QOS_VALUE[54][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[54][3] = true 0-PRIME_CLIENT.METRIC_VALUE[54][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[54][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[55][0] = true 0-PRIME_CLIENT.METRIC_VALUE[55][0] = 165.72 0-PRIME_CLIENT.QOS_VALUE[55][0] = 0.96 0-PRIME_CLIENT.COMPLIANCE[55][1] = true 0-PRIME_CLIENT.METRIC_VALUE[55][1] = 175.98 0-PRIME_CLIENT.QOS_VALUE[55][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[55][2] = true 0-PRIME_CLIENT.METRIC_VALUE[55][2] = 126.31 0-PRIME_CLIENT.QOS_VALUE[55][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[55][3] = true 0-PRIME_CLIENT.METRIC_VALUE[55][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[55][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[56][0] = true 0-PRIME_CLIENT.METRIC_VALUE[56][0] = 165.28 0-PRIME_CLIENT.QOS_VALUE[56][0] = 0.94 0-PRIME_CLIENT.COMPLIANCE[56][1] = true 0-PRIME_CLIENT.METRIC_VALUE[56][1] = 174.86 0-PRIME_CLIENT.QOS_VALUE[56][1] = 0.98 0-PRIME_CLIENT.COMPLIANCE[56][2] = true 0-PRIME_CLIENT.METRIC_VALUE[56][2] = 126.20 0-PRIME_CLIENT.QOS_VALUE[56][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[56][3] = true 0-PRIME_CLIENT.METRIC_VALUE[56][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[56][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[57][0] = true 0-PRIME_CLIENT.METRIC_VALUE[57][0] = 165.45 0-PRIME_CLIENT.QOS_VALUE[57][0] = 0.94 0-PRIME_CLIENT.COMPLIANCE[57][1] = true 0-PRIME_CLIENT.METRIC_VALUE[57][1] = 175.42 0-PRIME_CLIENT.QOS_VALUE[57][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[57][2] = true 0-PRIME_CLIENT.METRIC_VALUE[57][2] = 126.42 0-PRIME_CLIENT.QOS_VALUE[57][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[57][3] = true 0-PRIME_CLIENT.METRIC_VALUE[57][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[57][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[58][0] = true 0-PRIME_CLIENT.METRIC_VALUE[58][0] = 164.73 0-PRIME_CLIENT.QOS_VALUE[58][0] = 0.96 0-PRIME_CLIENT.COMPLIANCE[58][1] = true 0-PRIME_CLIENT.METRIC_VALUE[58][1] = 175.55 0-PRIME_CLIENT.QOS_VALUE[58][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[58][2] = true 0-PRIME_CLIENT.METRIC_VALUE[58][2] = 126.51 0-PRIME_CLIENT.QOS_VALUE[58][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[58][3] = true 0-PRIME_CLIENT.METRIC_VALUE[58][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[58][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[59][0] = true 0-PRIME_CLIENT.METRIC_VALUE[59][0] = 165.05 0-PRIME_CLIENT.QOS_VALUE[59][0] = 0.95 0-PRIME_CLIENT.COMPLIANCE[59][1] = true 0-PRIME_CLIENT.METRIC_VALUE[59][1] = 175.46 0-PRIME_CLIENT.QOS_VALUE[59][1] = 0.98 0-PRIME_CLIENT.COMPLIANCE[59][2] = true 0-PRIME_CLIENT.METRIC_VALUE[59][2] = 126.42 0-PRIME_CLIENT.QOS_VALUE[59][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[59][3] = true 0-PRIME_CLIENT.METRIC_VALUE[59][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[59][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[60][0] = true 0-PRIME_CLIENT.METRIC_VALUE[60][0] = 166.89 0-PRIME_CLIENT.QOS_VALUE[60][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[60][1] = true 0-PRIME_CLIENT.METRIC_VALUE[60][1] = 176.03 0-PRIME_CLIENT.QOS_VALUE[60][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[60][2] = true 0-PRIME_CLIENT.METRIC_VALUE[60][2] = 126.62 0-PRIME_CLIENT.QOS_VALUE[60][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[60][3] = true 0-PRIME_CLIENT.METRIC_VALUE[60][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[60][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[61][0] = true 0-PRIME_CLIENT.METRIC_VALUE[61][0] = 166.93 0-PRIME_CLIENT.QOS_VALUE[61][0] = 0.96 0-PRIME_CLIENT.COMPLIANCE[61][1] = true 0-PRIME_CLIENT.METRIC_VALUE[61][1] = 175.55 0-PRIME_CLIENT.QOS_VALUE[61][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[61][2] = true 0-PRIME_CLIENT.METRIC_VALUE[61][2] = 126.66 0-PRIME_CLIENT.QOS_VALUE[61][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[61][3] = true 0-PRIME_CLIENT.METRIC_VALUE[61][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[61][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[62][0] = true 0-PRIME_CLIENT.METRIC_VALUE[62][0] = 167.14 0-PRIME_CLIENT.QOS_VALUE[62][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[62][1] = true 0-PRIME_CLIENT.METRIC_VALUE[62][1] = 176.67 0-PRIME_CLIENT.QOS_VALUE[62][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[62][2] = true 0-PRIME_CLIENT.METRIC_VALUE[62][2] = 126.17 0-PRIME_CLIENT.QOS_VALUE[62][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[62][3] = true 0-PRIME_CLIENT.METRIC_VALUE[62][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[62][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[63][0] = true 0-PRIME_CLIENT.METRIC_VALUE[63][0] = 167.61 0-PRIME_CLIENT.QOS_VALUE[63][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[63][1] = true 0-PRIME_CLIENT.METRIC_VALUE[63][1] = 176.06 0-PRIME_CLIENT.QOS_VALUE[63][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[63][2] = true 0-PRIME_CLIENT.METRIC_VALUE[63][2] = 126.40 0-PRIME_CLIENT.QOS_VALUE[63][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[63][3] = true 0-PRIME_CLIENT.METRIC_VALUE[63][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[63][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[64][0] = true 0-PRIME_CLIENT.METRIC_VALUE[64][0] = 166.45 0-PRIME_CLIENT.QOS_VALUE[64][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[64][1] = true 0-PRIME_CLIENT.METRIC_VALUE[64][1] = 175.84 0-PRIME_CLIENT.QOS_VALUE[64][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[64][2] = true 0-PRIME_CLIENT.METRIC_VALUE[64][2] = 127.10 0-PRIME_CLIENT.QOS_VALUE[64][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[64][3] = true 0-PRIME_CLIENT.METRIC_VALUE[64][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[64][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[65][0] = true 0-PRIME_CLIENT.METRIC_VALUE[65][0] = 166.02 0-PRIME_CLIENT.QOS_VALUE[65][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[65][1] = true 0-PRIME_CLIENT.METRIC_VALUE[65][1] = 175.66 0-PRIME_CLIENT.QOS_VALUE[65][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[65][2] = true 0-PRIME_CLIENT.METRIC_VALUE[65][2] = 126.37 0-PRIME_CLIENT.QOS_VALUE[65][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[65][3] = true 0-PRIME_CLIENT.METRIC_VALUE[65][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[65][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[66][0] = true 0-PRIME_CLIENT.METRIC_VALUE[66][0] = 166.38 0-PRIME_CLIENT.QOS_VALUE[66][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[66][1] = true 0-PRIME_CLIENT.METRIC_VALUE[66][1] = 175.95 0-PRIME_CLIENT.QOS_VALUE[66][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[66][2] = true 0-PRIME_CLIENT.METRIC_VALUE[66][2] = 126.76 0-PRIME_CLIENT.QOS_VALUE[66][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[66][3] = true 0-PRIME_CLIENT.METRIC_VALUE[66][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[66][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[67][0] = true 0-PRIME_CLIENT.METRIC_VALUE[67][0] = 167.12 0-PRIME_CLIENT.QOS_VALUE[67][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[67][1] = true 0-PRIME_CLIENT.METRIC_VALUE[67][1] = 175.90 0-PRIME_CLIENT.QOS_VALUE[67][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[67][2] = true 0-PRIME_CLIENT.METRIC_VALUE[67][2] = 126.83 0-PRIME_CLIENT.QOS_VALUE[67][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[67][3] = true 0-PRIME_CLIENT.METRIC_VALUE[67][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[67][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[68][0] = true 0-PRIME_CLIENT.METRIC_VALUE[68][0] = 166.92 0-PRIME_CLIENT.QOS_VALUE[68][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[68][1] = true 0-PRIME_CLIENT.METRIC_VALUE[68][1] = 175.22 0-PRIME_CLIENT.QOS_VALUE[68][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[68][2] = true 0-PRIME_CLIENT.METRIC_VALUE[68][2] = 125.92 0-PRIME_CLIENT.QOS_VALUE[68][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[68][3] = true 0-PRIME_CLIENT.METRIC_VALUE[68][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[68][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[69][0] = true 0-PRIME_CLIENT.METRIC_VALUE[69][0] = 166.50 0-PRIME_CLIENT.QOS_VALUE[69][0] = 0.96 0-PRIME_CLIENT.COMPLIANCE[69][1] = true 0-PRIME_CLIENT.METRIC_VALUE[69][1] = 175.15 0-PRIME_CLIENT.QOS_VALUE[69][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[69][2] = true 0-PRIME_CLIENT.METRIC_VALUE[69][2] = 125.96 0-PRIME_CLIENT.QOS_VALUE[69][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[69][3] = true 0-PRIME_CLIENT.METRIC_VALUE[69][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[69][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[70][0] = true 0-PRIME_CLIENT.METRIC_VALUE[70][0] = 165.56 0-PRIME_CLIENT.QOS_VALUE[70][0] = 0.95 0-PRIME_CLIENT.COMPLIANCE[70][1] = true 0-PRIME_CLIENT.METRIC_VALUE[70][1] = 176.16 0-PRIME_CLIENT.QOS_VALUE[70][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[70][2] = true 0-PRIME_CLIENT.METRIC_VALUE[70][2] = 126.47 0-PRIME_CLIENT.QOS_VALUE[70][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[70][3] = true 0-PRIME_CLIENT.METRIC_VALUE[70][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[70][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[71][0] = true 0-PRIME_CLIENT.METRIC_VALUE[71][0] = 165.96 0-PRIME_CLIENT.QOS_VALUE[71][0] = 0.95 0-PRIME_CLIENT.COMPLIANCE[71][1] = true 0-PRIME_CLIENT.METRIC_VALUE[71][1] = 175.71 0-PRIME_CLIENT.QOS_VALUE[71][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[71][2] = true 0-PRIME_CLIENT.METRIC_VALUE[71][2] = 126.26 0-PRIME_CLIENT.QOS_VALUE[71][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[71][3] = true 0-PRIME_CLIENT.METRIC_VALUE[71][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[71][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[72][0] = true 0-PRIME_CLIENT.METRIC_VALUE[72][0] = 167.47 0-PRIME_CLIENT.QOS_VALUE[72][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[72][1] = true 0-PRIME_CLIENT.METRIC_VALUE[72][1] = 175.54 0-PRIME_CLIENT.QOS_VALUE[72][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[72][2] = true 0-PRIME_CLIENT.METRIC_VALUE[72][2] = 126.08 0-PRIME_CLIENT.QOS_VALUE[72][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[72][3] = true 0-PRIME_CLIENT.METRIC_VALUE[72][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[72][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[73][0] = true 0-PRIME_CLIENT.METRIC_VALUE[73][0] = 167.31 0-PRIME_CLIENT.QOS_VALUE[73][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[73][1] = true 0-PRIME_CLIENT.METRIC_VALUE[73][1] = 175.78 0-PRIME_CLIENT.QOS_VALUE[73][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[73][2] = true 0-PRIME_CLIENT.METRIC_VALUE[73][2] = 126.33 0-PRIME_CLIENT.QOS_VALUE[73][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[73][3] = true 0-PRIME_CLIENT.METRIC_VALUE[73][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[73][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[74][0] = true 0-PRIME_CLIENT.METRIC_VALUE[74][0] = 167.02 0-PRIME_CLIENT.QOS_VALUE[74][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[74][1] = true 0-PRIME_CLIENT.METRIC_VALUE[74][1] = 175.68 0-PRIME_CLIENT.QOS_VALUE[74][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[74][2] = true 0-PRIME_CLIENT.METRIC_VALUE[74][2] = 126.45 0-PRIME_CLIENT.QOS_VALUE[74][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[74][3] = true 0-PRIME_CLIENT.METRIC_VALUE[74][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[74][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[75][0] = true 0-PRIME_CLIENT.METRIC_VALUE[75][0] = 166.75 0-PRIME_CLIENT.QOS_VALUE[75][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[75][1] = true 0-PRIME_CLIENT.METRIC_VALUE[75][1] = 175.83 0-PRIME_CLIENT.QOS_VALUE[75][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[75][2] = true 0-PRIME_CLIENT.METRIC_VALUE[75][2] = 126.30 0-PRIME_CLIENT.QOS_VALUE[75][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[75][3] = true 0-PRIME_CLIENT.METRIC_VALUE[75][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[75][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[76][0] = true 0-PRIME_CLIENT.METRIC_VALUE[76][0] = 166.34 0-PRIME_CLIENT.QOS_VALUE[76][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[76][1] = true 0-PRIME_CLIENT.METRIC_VALUE[76][1] = 175.67 0-PRIME_CLIENT.QOS_VALUE[76][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[76][2] = true 0-PRIME_CLIENT.METRIC_VALUE[76][2] = 126.85 0-PRIME_CLIENT.QOS_VALUE[76][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[76][3] = true 0-PRIME_CLIENT.METRIC_VALUE[76][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[76][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[77][0] = true 0-PRIME_CLIENT.METRIC_VALUE[77][0] = 167.01 0-PRIME_CLIENT.QOS_VALUE[77][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[77][1] = true 0-PRIME_CLIENT.METRIC_VALUE[77][1] = 175.60 0-PRIME_CLIENT.QOS_VALUE[77][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[77][2] = true 0-PRIME_CLIENT.METRIC_VALUE[77][2] = 126.47 0-PRIME_CLIENT.QOS_VALUE[77][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[77][3] = true 0-PRIME_CLIENT.METRIC_VALUE[77][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[77][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[78][0] = true 0-PRIME_CLIENT.METRIC_VALUE[78][0] = 167.22 0-PRIME_CLIENT.QOS_VALUE[78][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[78][1] = true 0-PRIME_CLIENT.METRIC_VALUE[78][1] = 175.63 0-PRIME_CLIENT.QOS_VALUE[78][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[78][2] = true 0-PRIME_CLIENT.METRIC_VALUE[78][2] = 126.77 0-PRIME_CLIENT.QOS_VALUE[78][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[78][3] = true 0-PRIME_CLIENT.METRIC_VALUE[78][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[78][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[79][0] = true 0-PRIME_CLIENT.METRIC_VALUE[79][0] = 166.25 0-PRIME_CLIENT.QOS_VALUE[79][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[79][1] = true 0-PRIME_CLIENT.METRIC_VALUE[79][1] = 176.29 0-PRIME_CLIENT.QOS_VALUE[79][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[79][2] = true 0-PRIME_CLIENT.METRIC_VALUE[79][2] = 126.62 0-PRIME_CLIENT.QOS_VALUE[79][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[79][3] = true 0-PRIME_CLIENT.METRIC_VALUE[79][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[79][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[80][0] = true 0-PRIME_CLIENT.METRIC_VALUE[80][0] = 166.83 0-PRIME_CLIENT.QOS_VALUE[80][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[80][1] = true 0-PRIME_CLIENT.METRIC_VALUE[80][1] = 175.70 0-PRIME_CLIENT.QOS_VALUE[80][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[80][2] = true 0-PRIME_CLIENT.METRIC_VALUE[80][2] = 126.92 0-PRIME_CLIENT.QOS_VALUE[80][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[80][3] = true 0-PRIME_CLIENT.METRIC_VALUE[80][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[80][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[81][0] = true 0-PRIME_CLIENT.METRIC_VALUE[81][0] = 166.55 0-PRIME_CLIENT.QOS_VALUE[81][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[81][1] = true 0-PRIME_CLIENT.METRIC_VALUE[81][1] = 175.54 0-PRIME_CLIENT.QOS_VALUE[81][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[81][2] = true 0-PRIME_CLIENT.METRIC_VALUE[81][2] = 126.55 0-PRIME_CLIENT.QOS_VALUE[81][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[81][3] = true 0-PRIME_CLIENT.METRIC_VALUE[81][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[81][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[82][0] = true 0-PRIME_CLIENT.METRIC_VALUE[82][0] = 166.97 0-PRIME_CLIENT.QOS_VALUE[82][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[82][1] = true 0-PRIME_CLIENT.METRIC_VALUE[82][1] = 175.84 0-PRIME_CLIENT.QOS_VALUE[82][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[82][2] = true 0-PRIME_CLIENT.METRIC_VALUE[82][2] = 126.93 0-PRIME_CLIENT.QOS_VALUE[82][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[82][3] = true 0-PRIME_CLIENT.METRIC_VALUE[82][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[82][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[83][0] = true 0-PRIME_CLIENT.METRIC_VALUE[83][0] = 166.75 0-PRIME_CLIENT.QOS_VALUE[83][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[83][1] = true 0-PRIME_CLIENT.METRIC_VALUE[83][1] = 175.69 0-PRIME_CLIENT.QOS_VALUE[83][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[83][2] = true 0-PRIME_CLIENT.METRIC_VALUE[83][2] = 126.51 0-PRIME_CLIENT.QOS_VALUE[83][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[83][3] = true 0-PRIME_CLIENT.METRIC_VALUE[83][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[83][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[84][0] = true 0-PRIME_CLIENT.METRIC_VALUE[84][0] = 165.52 0-PRIME_CLIENT.QOS_VALUE[84][0] = 0.96 0-PRIME_CLIENT.COMPLIANCE[84][1] = true 0-PRIME_CLIENT.METRIC_VALUE[84][1] = 175.49 0-PRIME_CLIENT.QOS_VALUE[84][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[84][2] = true 0-PRIME_CLIENT.METRIC_VALUE[84][2] = 126.22 0-PRIME_CLIENT.QOS_VALUE[84][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[84][3] = true 0-PRIME_CLIENT.METRIC_VALUE[84][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[84][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[85][0] = true 0-PRIME_CLIENT.METRIC_VALUE[85][0] = 165.39 0-PRIME_CLIENT.QOS_VALUE[85][0] = 0.95 0-PRIME_CLIENT.COMPLIANCE[85][1] = true 0-PRIME_CLIENT.METRIC_VALUE[85][1] = 174.98 0-PRIME_CLIENT.QOS_VALUE[85][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[85][2] = true 0-PRIME_CLIENT.METRIC_VALUE[85][2] = 126.37 0-PRIME_CLIENT.QOS_VALUE[85][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[85][3] = true 0-PRIME_CLIENT.METRIC_VALUE[85][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[85][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[86][0] = true 0-PRIME_CLIENT.METRIC_VALUE[86][0] = 166.04 0-PRIME_CLIENT.QOS_VALUE[86][0] = 0.96 0-PRIME_CLIENT.COMPLIANCE[86][1] = true 0-PRIME_CLIENT.METRIC_VALUE[86][1] = 175.37 0-PRIME_CLIENT.QOS_VALUE[86][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[86][2] = true 0-PRIME_CLIENT.METRIC_VALUE[86][2] = 126.36 0-PRIME_CLIENT.QOS_VALUE[86][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[86][3] = true 0-PRIME_CLIENT.METRIC_VALUE[86][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[86][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[87][0] = true 0-PRIME_CLIENT.METRIC_VALUE[87][0] = 166.87 0-PRIME_CLIENT.QOS_VALUE[87][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[87][1] = true 0-PRIME_CLIENT.METRIC_VALUE[87][1] = 175.37 0-PRIME_CLIENT.QOS_VALUE[87][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[87][2] = true 0-PRIME_CLIENT.METRIC_VALUE[87][2] = 126.38 0-PRIME_CLIENT.QOS_VALUE[87][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[87][3] = true 0-PRIME_CLIENT.METRIC_VALUE[87][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[87][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[88][0] = true 0-PRIME_CLIENT.METRIC_VALUE[88][0] = 167.22 0-PRIME_CLIENT.QOS_VALUE[88][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[88][1] = true 0-PRIME_CLIENT.METRIC_VALUE[88][1] = 175.16 0-PRIME_CLIENT.QOS_VALUE[88][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[88][2] = true 0-PRIME_CLIENT.METRIC_VALUE[88][2] = 126.42 0-PRIME_CLIENT.QOS_VALUE[88][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[88][3] = true 0-PRIME_CLIENT.METRIC_VALUE[88][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[88][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[89][0] = true 0-PRIME_CLIENT.METRIC_VALUE[89][0] = 167.20 0-PRIME_CLIENT.QOS_VALUE[89][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[89][1] = true 0-PRIME_CLIENT.METRIC_VALUE[89][1] = 175.21 0-PRIME_CLIENT.QOS_VALUE[89][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[89][2] = true 0-PRIME_CLIENT.METRIC_VALUE[89][2] = 126.40 0-PRIME_CLIENT.QOS_VALUE[89][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[89][3] = true 0-PRIME_CLIENT.METRIC_VALUE[89][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[89][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[90][0] = true 0-PRIME_CLIENT.METRIC_VALUE[90][0] = 166.66 0-PRIME_CLIENT.QOS_VALUE[90][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[90][1] = true 0-PRIME_CLIENT.METRIC_VALUE[90][1] = 175.48 0-PRIME_CLIENT.QOS_VALUE[90][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[90][2] = true 0-PRIME_CLIENT.METRIC_VALUE[90][2] = 125.90 0-PRIME_CLIENT.QOS_VALUE[90][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[90][3] = true 0-PRIME_CLIENT.METRIC_VALUE[90][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[90][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[91][0] = true 0-PRIME_CLIENT.METRIC_VALUE[91][0] = 167.38 0-PRIME_CLIENT.QOS_VALUE[91][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[91][1] = true 0-PRIME_CLIENT.METRIC_VALUE[91][1] = 175.04 0-PRIME_CLIENT.QOS_VALUE[91][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[91][2] = true 0-PRIME_CLIENT.METRIC_VALUE[91][2] = 126.45 0-PRIME_CLIENT.QOS_VALUE[91][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[91][3] = true 0-PRIME_CLIENT.METRIC_VALUE[91][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[91][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[92][0] = true 0-PRIME_CLIENT.METRIC_VALUE[92][0] = 167.44 0-PRIME_CLIENT.QOS_VALUE[92][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[92][1] = true 0-PRIME_CLIENT.METRIC_VALUE[92][1] = 175.53 0-PRIME_CLIENT.QOS_VALUE[92][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[92][2] = true 0-PRIME_CLIENT.METRIC_VALUE[92][2] = 126.47 0-PRIME_CLIENT.QOS_VALUE[92][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[92][3] = true 0-PRIME_CLIENT.METRIC_VALUE[92][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[92][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[93][0] = true 0-PRIME_CLIENT.METRIC_VALUE[93][0] = 167.42 0-PRIME_CLIENT.QOS_VALUE[93][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[93][1] = true 0-PRIME_CLIENT.METRIC_VALUE[93][1] = 175.63 0-PRIME_CLIENT.QOS_VALUE[93][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[93][2] = true 0-PRIME_CLIENT.METRIC_VALUE[93][2] = 126.45 0-PRIME_CLIENT.QOS_VALUE[93][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[93][3] = true 0-PRIME_CLIENT.METRIC_VALUE[93][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[93][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[94][0] = true 0-PRIME_CLIENT.METRIC_VALUE[94][0] = 167.39 0-PRIME_CLIENT.QOS_VALUE[94][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[94][1] = true 0-PRIME_CLIENT.METRIC_VALUE[94][1] = 175.86 0-PRIME_CLIENT.QOS_VALUE[94][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[94][2] = true 0-PRIME_CLIENT.METRIC_VALUE[94][2] = 126.63 0-PRIME_CLIENT.QOS_VALUE[94][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[94][3] = true 0-PRIME_CLIENT.METRIC_VALUE[94][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[94][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[95][0] = true 0-PRIME_CLIENT.METRIC_VALUE[95][0] = 167.04 0-PRIME_CLIENT.QOS_VALUE[95][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[95][1] = true 0-PRIME_CLIENT.METRIC_VALUE[95][1] = 175.87 0-PRIME_CLIENT.QOS_VALUE[95][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[95][2] = true 0-PRIME_CLIENT.METRIC_VALUE[95][2] = 126.31 0-PRIME_CLIENT.QOS_VALUE[95][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[95][3] = true 0-PRIME_CLIENT.METRIC_VALUE[95][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[95][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[96][0] = true 0-PRIME_CLIENT.METRIC_VALUE[96][0] = 167.37 0-PRIME_CLIENT.QOS_VALUE[96][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[96][1] = true 0-PRIME_CLIENT.METRIC_VALUE[96][1] = 175.53 0-PRIME_CLIENT.QOS_VALUE[96][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[96][2] = true 0-PRIME_CLIENT.METRIC_VALUE[96][2] = 126.70 0-PRIME_CLIENT.QOS_VALUE[96][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[96][3] = true 0-PRIME_CLIENT.METRIC_VALUE[96][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[96][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[97][0] = true 0-PRIME_CLIENT.METRIC_VALUE[97][0] = 167.21 0-PRIME_CLIENT.QOS_VALUE[97][0] = 1.00 0-PRIME_CLIENT.COMPLIANCE[97][1] = true 0-PRIME_CLIENT.METRIC_VALUE[97][1] = 176.01 0-PRIME_CLIENT.QOS_VALUE[97][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[97][2] = true 0-PRIME_CLIENT.METRIC_VALUE[97][2] = 126.67 0-PRIME_CLIENT.QOS_VALUE[97][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[97][3] = true 0-PRIME_CLIENT.METRIC_VALUE[97][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[97][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[98][0] = true 0-PRIME_CLIENT.METRIC_VALUE[98][0] = 167.29 0-PRIME_CLIENT.QOS_VALUE[98][0] = 1.00 0-PRIME_CLIENT.COMPLIANCE[98][1] = true 0-PRIME_CLIENT.METRIC_VALUE[98][1] = 175.76 0-PRIME_CLIENT.QOS_VALUE[98][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[98][2] = true 0-PRIME_CLIENT.METRIC_VALUE[98][2] = 126.53 0-PRIME_CLIENT.QOS_VALUE[98][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[98][3] = true 0-PRIME_CLIENT.METRIC_VALUE[98][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[98][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[99][0] = true 0-PRIME_CLIENT.METRIC_VALUE[99][0] = 167.40 0-PRIME_CLIENT.QOS_VALUE[99][0] = 1.00 0-PRIME_CLIENT.COMPLIANCE[99][1] = true 0-PRIME_CLIENT.METRIC_VALUE[99][1] = 175.90 0-PRIME_CLIENT.QOS_VALUE[99][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[99][2] = true 0-PRIME_CLIENT.METRIC_VALUE[99][2] = 126.40 0-PRIME_CLIENT.QOS_VALUE[99][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[99][3] = true 0-PRIME_CLIENT.METRIC_VALUE[99][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[99][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[100][0] = true 0-PRIME_CLIENT.METRIC_VALUE[100][0] = 166.67 0-PRIME_CLIENT.QOS_VALUE[100][0] = 0.96 0-PRIME_CLIENT.COMPLIANCE[100][1] = true 0-PRIME_CLIENT.METRIC_VALUE[100][1] = 174.89 0-PRIME_CLIENT.QOS_VALUE[100][1] = 0.98 0-PRIME_CLIENT.COMPLIANCE[100][2] = true 0-PRIME_CLIENT.METRIC_VALUE[100][2] = 125.88 0-PRIME_CLIENT.QOS_VALUE[100][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[100][3] = true 0-PRIME_CLIENT.METRIC_VALUE[100][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[100][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[101][0] = true 0-PRIME_CLIENT.METRIC_VALUE[101][0] = 166.13 0-PRIME_CLIENT.QOS_VALUE[101][0] = 0.95 0-PRIME_CLIENT.COMPLIANCE[101][1] = true 0-PRIME_CLIENT.METRIC_VALUE[101][1] = 175.18 0-PRIME_CLIENT.QOS_VALUE[101][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[101][2] = true 0-PRIME_CLIENT.METRIC_VALUE[101][2] = 125.76 0-PRIME_CLIENT.QOS_VALUE[101][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[101][3] = true 0-PRIME_CLIENT.METRIC_VALUE[101][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[101][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[102][0] = true 0-PRIME_CLIENT.METRIC_VALUE[102][0] = 166.96 0-PRIME_CLIENT.QOS_VALUE[102][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[102][1] = true 0-PRIME_CLIENT.METRIC_VALUE[102][1] = 175.22 0-PRIME_CLIENT.QOS_VALUE[102][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[102][2] = true 0-PRIME_CLIENT.METRIC_VALUE[102][2] = 126.55 0-PRIME_CLIENT.QOS_VALUE[102][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[102][3] = true 0-PRIME_CLIENT.METRIC_VALUE[102][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[102][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[103][0] = true 0-PRIME_CLIENT.METRIC_VALUE[103][0] = 167.37 0-PRIME_CLIENT.QOS_VALUE[103][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[103][1] = true 0-PRIME_CLIENT.METRIC_VALUE[103][1] = 175.04 0-PRIME_CLIENT.QOS_VALUE[103][1] = 0.98 0-PRIME_CLIENT.COMPLIANCE[103][2] = true 0-PRIME_CLIENT.METRIC_VALUE[103][2] = 126.13 0-PRIME_CLIENT.QOS_VALUE[103][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[103][3] = true 0-PRIME_CLIENT.METRIC_VALUE[103][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[103][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[104][0] = true 0-PRIME_CLIENT.METRIC_VALUE[104][0] = 165.91 0-PRIME_CLIENT.QOS_VALUE[104][0] = 0.96 0-PRIME_CLIENT.COMPLIANCE[104][1] = true 0-PRIME_CLIENT.METRIC_VALUE[104][1] = 175.33 0-PRIME_CLIENT.QOS_VALUE[104][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[104][2] = true 0-PRIME_CLIENT.METRIC_VALUE[104][2] = 126.17 0-PRIME_CLIENT.QOS_VALUE[104][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[104][3] = true 0-PRIME_CLIENT.METRIC_VALUE[104][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[104][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[105][0] = true 0-PRIME_CLIENT.METRIC_VALUE[105][0] = 165.99 0-PRIME_CLIENT.QOS_VALUE[105][0] = 0.95 0-PRIME_CLIENT.COMPLIANCE[105][1] = true 0-PRIME_CLIENT.METRIC_VALUE[105][1] = 175.59 0-PRIME_CLIENT.QOS_VALUE[105][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[105][2] = true 0-PRIME_CLIENT.METRIC_VALUE[105][2] = 126.17 0-PRIME_CLIENT.QOS_VALUE[105][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[105][3] = true 0-PRIME_CLIENT.METRIC_VALUE[105][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[105][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[106][0] = true 0-PRIME_CLIENT.METRIC_VALUE[106][0] = 166.17 0-PRIME_CLIENT.QOS_VALUE[106][0] = 0.96 0-PRIME_CLIENT.COMPLIANCE[106][1] = true 0-PRIME_CLIENT.METRIC_VALUE[106][1] = 175.41 0-PRIME_CLIENT.QOS_VALUE[106][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[106][2] = true 0-PRIME_CLIENT.METRIC_VALUE[106][2] = 126.46 0-PRIME_CLIENT.QOS_VALUE[106][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[106][3] = true 0-PRIME_CLIENT.METRIC_VALUE[106][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[106][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[107][0] = true 0-PRIME_CLIENT.METRIC_VALUE[107][0] = 167.08 0-PRIME_CLIENT.QOS_VALUE[107][0] = 0.95 0-PRIME_CLIENT.COMPLIANCE[107][1] = true 0-PRIME_CLIENT.METRIC_VALUE[107][1] = 174.94 0-PRIME_CLIENT.QOS_VALUE[107][1] = 0.98 0-PRIME_CLIENT.COMPLIANCE[107][2] = true 0-PRIME_CLIENT.METRIC_VALUE[107][2] = 125.97 0-PRIME_CLIENT.QOS_VALUE[107][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[107][3] = true 0-PRIME_CLIENT.METRIC_VALUE[107][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[107][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[108][0] = true 0-PRIME_CLIENT.METRIC_VALUE[108][0] = 167.38 0-PRIME_CLIENT.QOS_VALUE[108][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[108][1] = true 0-PRIME_CLIENT.METRIC_VALUE[108][1] = 175.49 0-PRIME_CLIENT.QOS_VALUE[108][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[108][2] = true 0-PRIME_CLIENT.METRIC_VALUE[108][2] = 126.59 0-PRIME_CLIENT.QOS_VALUE[108][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[108][3] = true 0-PRIME_CLIENT.METRIC_VALUE[108][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[108][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[109][0] = true 0-PRIME_CLIENT.METRIC_VALUE[109][0] = 167.33 0-PRIME_CLIENT.QOS_VALUE[109][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[109][1] = true 0-PRIME_CLIENT.METRIC_VALUE[109][1] = 175.29 0-PRIME_CLIENT.QOS_VALUE[109][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[109][2] = true 0-PRIME_CLIENT.METRIC_VALUE[109][2] = 126.18 0-PRIME_CLIENT.QOS_VALUE[109][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[109][3] = true 0-PRIME_CLIENT.METRIC_VALUE[109][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[109][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[110][0] = true 0-PRIME_CLIENT.METRIC_VALUE[110][0] = 167.31 0-PRIME_CLIENT.QOS_VALUE[110][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[110][1] = true 0-PRIME_CLIENT.METRIC_VALUE[110][1] = 175.91 0-PRIME_CLIENT.QOS_VALUE[110][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[110][2] = true 0-PRIME_CLIENT.METRIC_VALUE[110][2] = 126.52 0-PRIME_CLIENT.QOS_VALUE[110][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[110][3] = true 0-PRIME_CLIENT.METRIC_VALUE[110][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[110][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[111][0] = true 0-PRIME_CLIENT.METRIC_VALUE[111][0] = 167.48 0-PRIME_CLIENT.QOS_VALUE[111][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[111][1] = true 0-PRIME_CLIENT.METRIC_VALUE[111][1] = 176.12 0-PRIME_CLIENT.QOS_VALUE[111][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[111][2] = true 0-PRIME_CLIENT.METRIC_VALUE[111][2] = 126.61 0-PRIME_CLIENT.QOS_VALUE[111][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[111][3] = true 0-PRIME_CLIENT.METRIC_VALUE[111][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[111][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[112][0] = true 0-PRIME_CLIENT.METRIC_VALUE[112][0] = 167.43 0-PRIME_CLIENT.QOS_VALUE[112][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[112][1] = true 0-PRIME_CLIENT.METRIC_VALUE[112][1] = 175.95 0-PRIME_CLIENT.QOS_VALUE[112][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[112][2] = true 0-PRIME_CLIENT.METRIC_VALUE[112][2] = 126.46 0-PRIME_CLIENT.QOS_VALUE[112][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[112][3] = true 0-PRIME_CLIENT.METRIC_VALUE[112][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[112][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[113][0] = true 0-PRIME_CLIENT.METRIC_VALUE[113][0] = 167.29 0-PRIME_CLIENT.QOS_VALUE[113][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[113][1] = true 0-PRIME_CLIENT.METRIC_VALUE[113][1] = 176.44 0-PRIME_CLIENT.QOS_VALUE[113][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[113][2] = true 0-PRIME_CLIENT.METRIC_VALUE[113][2] = 126.88 0-PRIME_CLIENT.QOS_VALUE[113][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[113][3] = true 0-PRIME_CLIENT.METRIC_VALUE[113][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[113][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[114][0] = true 0-PRIME_CLIENT.METRIC_VALUE[114][0] = 167.48 0-PRIME_CLIENT.QOS_VALUE[114][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[114][1] = true 0-PRIME_CLIENT.METRIC_VALUE[114][1] = 175.84 0-PRIME_CLIENT.QOS_VALUE[114][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[114][2] = true 0-PRIME_CLIENT.METRIC_VALUE[114][2] = 126.79 0-PRIME_CLIENT.QOS_VALUE[114][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[114][3] = true 0-PRIME_CLIENT.METRIC_VALUE[114][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[114][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[115][0] = true 0-PRIME_CLIENT.METRIC_VALUE[115][0] = 167.72 0-PRIME_CLIENT.QOS_VALUE[115][0] = 1.00 0-PRIME_CLIENT.COMPLIANCE[115][1] = true 0-PRIME_CLIENT.METRIC_VALUE[115][1] = 176.03 0-PRIME_CLIENT.QOS_VALUE[115][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[115][2] = true 0-PRIME_CLIENT.METRIC_VALUE[115][2] = 126.77 0-PRIME_CLIENT.QOS_VALUE[115][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[115][3] = true 0-PRIME_CLIENT.METRIC_VALUE[115][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[115][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[116][0] = true 0-PRIME_CLIENT.METRIC_VALUE[116][0] = 166.50 0-PRIME_CLIENT.QOS_VALUE[116][0] = 0.96 0-PRIME_CLIENT.COMPLIANCE[116][1] = true 0-PRIME_CLIENT.METRIC_VALUE[116][1] = 175.08 0-PRIME_CLIENT.QOS_VALUE[116][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[116][2] = true 0-PRIME_CLIENT.METRIC_VALUE[116][2] = 126.42 0-PRIME_CLIENT.QOS_VALUE[116][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[116][3] = true 0-PRIME_CLIENT.METRIC_VALUE[116][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[116][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[117][0] = true 0-PRIME_CLIENT.METRIC_VALUE[117][0] = 167.23 0-PRIME_CLIENT.QOS_VALUE[117][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[117][1] = true 0-PRIME_CLIENT.METRIC_VALUE[117][1] = 175.38 0-PRIME_CLIENT.QOS_VALUE[117][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[117][2] = true 0-PRIME_CLIENT.METRIC_VALUE[117][2] = 126.63 0-PRIME_CLIENT.QOS_VALUE[117][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[117][3] = true 0-PRIME_CLIENT.METRIC_VALUE[117][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[117][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[118][0] = true 0-PRIME_CLIENT.METRIC_VALUE[118][0] = 166.78 0-PRIME_CLIENT.QOS_VALUE[118][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[118][1] = true 0-PRIME_CLIENT.METRIC_VALUE[118][1] = 175.22 0-PRIME_CLIENT.QOS_VALUE[118][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[118][2] = true 0-PRIME_CLIENT.METRIC_VALUE[118][2] = 126.40 0-PRIME_CLIENT.QOS_VALUE[118][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[118][3] = true 0-PRIME_CLIENT.METRIC_VALUE[118][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[118][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[119][0] = true 0-PRIME_CLIENT.METRIC_VALUE[119][0] = 167.06 0-PRIME_CLIENT.QOS_VALUE[119][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[119][1] = true 0-PRIME_CLIENT.METRIC_VALUE[119][1] = 175.39 0-PRIME_CLIENT.QOS_VALUE[119][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[119][2] = true 0-PRIME_CLIENT.METRIC_VALUE[119][2] = 126.44 0-PRIME_CLIENT.QOS_VALUE[119][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[119][3] = true 0-PRIME_CLIENT.METRIC_VALUE[119][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[119][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[120][0] = true 0-PRIME_CLIENT.METRIC_VALUE[120][0] = 166.43 0-PRIME_CLIENT.QOS_VALUE[120][0] = 0.96 0-PRIME_CLIENT.COMPLIANCE[120][1] = true 0-PRIME_CLIENT.METRIC_VALUE[120][1] = 175.48 0-PRIME_CLIENT.QOS_VALUE[120][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[120][2] = true 0-PRIME_CLIENT.METRIC_VALUE[120][2] = 126.32 0-PRIME_CLIENT.QOS_VALUE[120][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[120][3] = true 0-PRIME_CLIENT.METRIC_VALUE[120][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[120][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[121][0] = true 0-PRIME_CLIENT.METRIC_VALUE[121][0] = 166.54 0-PRIME_CLIENT.QOS_VALUE[121][0] = 0.95 0-PRIME_CLIENT.COMPLIANCE[121][1] = true 0-PRIME_CLIENT.METRIC_VALUE[121][1] = 175.37 0-PRIME_CLIENT.QOS_VALUE[121][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[121][2] = true 0-PRIME_CLIENT.METRIC_VALUE[121][2] = 126.47 0-PRIME_CLIENT.QOS_VALUE[121][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[121][3] = true 0-PRIME_CLIENT.METRIC_VALUE[121][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[121][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[122][0] = true 0-PRIME_CLIENT.METRIC_VALUE[122][0] = 167.03 0-PRIME_CLIENT.QOS_VALUE[122][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[122][1] = true 0-PRIME_CLIENT.METRIC_VALUE[122][1] = 175.30 0-PRIME_CLIENT.QOS_VALUE[122][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[122][2] = true 0-PRIME_CLIENT.METRIC_VALUE[122][2] = 126.37 0-PRIME_CLIENT.QOS_VALUE[122][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[122][3] = true 0-PRIME_CLIENT.METRIC_VALUE[122][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[122][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[123][0] = true 0-PRIME_CLIENT.METRIC_VALUE[123][0] = 166.97 0-PRIME_CLIENT.QOS_VALUE[123][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[123][1] = true 0-PRIME_CLIENT.METRIC_VALUE[123][1] = 175.45 0-PRIME_CLIENT.QOS_VALUE[123][1] = 0.98 0-PRIME_CLIENT.COMPLIANCE[123][2] = true 0-PRIME_CLIENT.METRIC_VALUE[123][2] = 126.34 0-PRIME_CLIENT.QOS_VALUE[123][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[123][3] = true 0-PRIME_CLIENT.METRIC_VALUE[123][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[123][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[124][0] = true 0-PRIME_CLIENT.METRIC_VALUE[124][0] = 167.20 0-PRIME_CLIENT.QOS_VALUE[124][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[124][1] = true 0-PRIME_CLIENT.METRIC_VALUE[124][1] = 175.86 0-PRIME_CLIENT.QOS_VALUE[124][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[124][2] = true 0-PRIME_CLIENT.METRIC_VALUE[124][2] = 126.82 0-PRIME_CLIENT.QOS_VALUE[124][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[124][3] = true 0-PRIME_CLIENT.METRIC_VALUE[124][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[124][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[125][0] = true 0-PRIME_CLIENT.METRIC_VALUE[125][0] = 167.21 0-PRIME_CLIENT.QOS_VALUE[125][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[125][1] = true 0-PRIME_CLIENT.METRIC_VALUE[125][1] = 175.50 0-PRIME_CLIENT.QOS_VALUE[125][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[125][2] = true 0-PRIME_CLIENT.METRIC_VALUE[125][2] = 126.91 0-PRIME_CLIENT.QOS_VALUE[125][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[125][3] = true 0-PRIME_CLIENT.METRIC_VALUE[125][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[125][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[126][0] = true 0-PRIME_CLIENT.METRIC_VALUE[126][0] = 167.36 0-PRIME_CLIENT.QOS_VALUE[126][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[126][1] = true 0-PRIME_CLIENT.METRIC_VALUE[126][1] = 176.04 0-PRIME_CLIENT.QOS_VALUE[126][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[126][2] = true 0-PRIME_CLIENT.METRIC_VALUE[126][2] = 126.68 0-PRIME_CLIENT.QOS_VALUE[126][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[126][3] = true 0-PRIME_CLIENT.METRIC_VALUE[126][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[126][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[127][0] = true 0-PRIME_CLIENT.METRIC_VALUE[127][0] = 167.38 0-PRIME_CLIENT.QOS_VALUE[127][0] = 1.00 0-PRIME_CLIENT.COMPLIANCE[127][1] = true 0-PRIME_CLIENT.METRIC_VALUE[127][1] = 175.82 0-PRIME_CLIENT.QOS_VALUE[127][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[127][2] = true 0-PRIME_CLIENT.METRIC_VALUE[127][2] = 126.64 0-PRIME_CLIENT.QOS_VALUE[127][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[127][3] = true 0-PRIME_CLIENT.METRIC_VALUE[127][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[127][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[128][0] = true 0-PRIME_CLIENT.METRIC_VALUE[128][0] = 167.78 0-PRIME_CLIENT.QOS_VALUE[128][0] = 1.00 0-PRIME_CLIENT.COMPLIANCE[128][1] = true 0-PRIME_CLIENT.METRIC_VALUE[128][1] = 175.78 0-PRIME_CLIENT.QOS_VALUE[128][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[128][2] = true 0-PRIME_CLIENT.METRIC_VALUE[128][2] = 126.81 0-PRIME_CLIENT.QOS_VALUE[128][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[128][3] = true 0-PRIME_CLIENT.METRIC_VALUE[128][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[128][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[129][0] = true 0-PRIME_CLIENT.METRIC_VALUE[129][0] = 167.87 0-PRIME_CLIENT.QOS_VALUE[129][0] = 1.00 0-PRIME_CLIENT.COMPLIANCE[129][1] = true 0-PRIME_CLIENT.METRIC_VALUE[129][1] = 175.27 0-PRIME_CLIENT.QOS_VALUE[129][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[129][2] = true 0-PRIME_CLIENT.METRIC_VALUE[129][2] = 126.69 0-PRIME_CLIENT.QOS_VALUE[129][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[129][3] = true 0-PRIME_CLIENT.METRIC_VALUE[129][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[129][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[130][0] = true 0-PRIME_CLIENT.METRIC_VALUE[130][0] = 167.29 0-PRIME_CLIENT.QOS_VALUE[130][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[130][1] = true 0-PRIME_CLIENT.METRIC_VALUE[130][1] = 175.94 0-PRIME_CLIENT.QOS_VALUE[130][1] = 1.00 0-PRIME_CLIENT.COMPLIANCE[130][2] = true 0-PRIME_CLIENT.METRIC_VALUE[130][2] = 126.57 0-PRIME_CLIENT.QOS_VALUE[130][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[130][3] = true 0-PRIME_CLIENT.METRIC_VALUE[130][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[130][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[131][0] = true 0-PRIME_CLIENT.METRIC_VALUE[131][0] = 167.42 0-PRIME_CLIENT.QOS_VALUE[131][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[131][1] = true 0-PRIME_CLIENT.METRIC_VALUE[131][1] = 175.87 0-PRIME_CLIENT.QOS_VALUE[131][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[131][2] = true 0-PRIME_CLIENT.METRIC_VALUE[131][2] = 126.60 0-PRIME_CLIENT.QOS_VALUE[131][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[131][3] = true 0-PRIME_CLIENT.METRIC_VALUE[131][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[131][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[132][0] = true 0-PRIME_CLIENT.METRIC_VALUE[132][0] = 167.47 0-PRIME_CLIENT.QOS_VALUE[132][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[132][1] = true 0-PRIME_CLIENT.METRIC_VALUE[132][1] = 175.22 0-PRIME_CLIENT.QOS_VALUE[132][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[132][2] = true 0-PRIME_CLIENT.METRIC_VALUE[132][2] = 126.41 0-PRIME_CLIENT.QOS_VALUE[132][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[132][3] = true 0-PRIME_CLIENT.METRIC_VALUE[132][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[132][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[133][0] = true 0-PRIME_CLIENT.METRIC_VALUE[133][0] = 167.48 0-PRIME_CLIENT.QOS_VALUE[133][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[133][1] = true 0-PRIME_CLIENT.METRIC_VALUE[133][1] = 175.15 0-PRIME_CLIENT.QOS_VALUE[133][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[133][2] = true 0-PRIME_CLIENT.METRIC_VALUE[133][2] = 126.56 0-PRIME_CLIENT.QOS_VALUE[133][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[133][3] = true 0-PRIME_CLIENT.METRIC_VALUE[133][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[133][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[134][0] = true 0-PRIME_CLIENT.METRIC_VALUE[134][0] = 167.30 0-PRIME_CLIENT.QOS_VALUE[134][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[134][1] = true 0-PRIME_CLIENT.METRIC_VALUE[134][1] = 175.38 0-PRIME_CLIENT.QOS_VALUE[134][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[134][2] = true 0-PRIME_CLIENT.METRIC_VALUE[134][2] = 126.40 0-PRIME_CLIENT.QOS_VALUE[134][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[134][3] = true 0-PRIME_CLIENT.METRIC_VALUE[134][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[134][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[135][0] = true 0-PRIME_CLIENT.METRIC_VALUE[135][0] = 166.43 0-PRIME_CLIENT.QOS_VALUE[135][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[135][1] = true 0-PRIME_CLIENT.METRIC_VALUE[135][1] = 175.29 0-PRIME_CLIENT.QOS_VALUE[135][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[135][2] = true 0-PRIME_CLIENT.METRIC_VALUE[135][2] = 126.56 0-PRIME_CLIENT.QOS_VALUE[135][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[135][3] = true 0-PRIME_CLIENT.METRIC_VALUE[135][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[135][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[136][0] = true 0-PRIME_CLIENT.METRIC_VALUE[136][0] = 167.06 0-PRIME_CLIENT.QOS_VALUE[136][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[136][1] = true 0-PRIME_CLIENT.METRIC_VALUE[136][1] = 175.53 0-PRIME_CLIENT.QOS_VALUE[136][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[136][2] = true 0-PRIME_CLIENT.METRIC_VALUE[136][2] = 126.72 0-PRIME_CLIENT.QOS_VALUE[136][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[136][3] = true 0-PRIME_CLIENT.METRIC_VALUE[136][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[136][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[137][0] = true 0-PRIME_CLIENT.METRIC_VALUE[137][0] = 167.54 0-PRIME_CLIENT.QOS_VALUE[137][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[137][1] = true 0-PRIME_CLIENT.METRIC_VALUE[137][1] = 175.34 0-PRIME_CLIENT.QOS_VALUE[137][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[137][2] = true 0-PRIME_CLIENT.METRIC_VALUE[137][2] = 126.33 0-PRIME_CLIENT.QOS_VALUE[137][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[137][3] = true 0-PRIME_CLIENT.METRIC_VALUE[137][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[137][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[138][0] = true 0-PRIME_CLIENT.METRIC_VALUE[138][0] = 166.76 0-PRIME_CLIENT.QOS_VALUE[138][0] = 0.96 0-PRIME_CLIENT.COMPLIANCE[138][1] = true 0-PRIME_CLIENT.METRIC_VALUE[138][1] = 175.33 0-PRIME_CLIENT.QOS_VALUE[138][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[138][2] = true 0-PRIME_CLIENT.METRIC_VALUE[138][2] = 126.31 0-PRIME_CLIENT.QOS_VALUE[138][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[138][3] = true 0-PRIME_CLIENT.METRIC_VALUE[138][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[138][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[139][0] = true 0-PRIME_CLIENT.METRIC_VALUE[139][0] = 166.57 0-PRIME_CLIENT.QOS_VALUE[139][0] = 0.96 0-PRIME_CLIENT.COMPLIANCE[139][1] = true 0-PRIME_CLIENT.METRIC_VALUE[139][1] = 174.79 0-PRIME_CLIENT.QOS_VALUE[139][1] = 0.98 0-PRIME_CLIENT.COMPLIANCE[139][2] = true 0-PRIME_CLIENT.METRIC_VALUE[139][2] = 125.64 0-PRIME_CLIENT.QOS_VALUE[139][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[139][3] = true 0-PRIME_CLIENT.METRIC_VALUE[139][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[139][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[140][0] = true 0-PRIME_CLIENT.METRIC_VALUE[140][0] = 167.32 0-PRIME_CLIENT.QOS_VALUE[140][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[140][1] = true 0-PRIME_CLIENT.METRIC_VALUE[140][1] = 176.38 0-PRIME_CLIENT.QOS_VALUE[140][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[140][2] = true 0-PRIME_CLIENT.METRIC_VALUE[140][2] = 126.54 0-PRIME_CLIENT.QOS_VALUE[140][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[140][3] = true 0-PRIME_CLIENT.METRIC_VALUE[140][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[140][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[141][0] = true 0-PRIME_CLIENT.METRIC_VALUE[141][0] = 167.47 0-PRIME_CLIENT.QOS_VALUE[141][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[141][1] = true 0-PRIME_CLIENT.METRIC_VALUE[141][1] = 175.92 0-PRIME_CLIENT.QOS_VALUE[141][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[141][2] = true 0-PRIME_CLIENT.METRIC_VALUE[141][2] = 126.72 0-PRIME_CLIENT.QOS_VALUE[141][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[141][3] = true 0-PRIME_CLIENT.METRIC_VALUE[141][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[141][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[142][0] = true 0-PRIME_CLIENT.METRIC_VALUE[142][0] = 167.43 0-PRIME_CLIENT.QOS_VALUE[142][0] = 1.00 0-PRIME_CLIENT.COMPLIANCE[142][1] = true 0-PRIME_CLIENT.METRIC_VALUE[142][1] = 175.71 0-PRIME_CLIENT.QOS_VALUE[142][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[142][2] = true 0-PRIME_CLIENT.METRIC_VALUE[142][2] = 126.46 0-PRIME_CLIENT.QOS_VALUE[142][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[142][3] = true 0-PRIME_CLIENT.METRIC_VALUE[142][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[142][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[143][0] = true 0-PRIME_CLIENT.METRIC_VALUE[143][0] = 167.25 0-PRIME_CLIENT.QOS_VALUE[143][0] = 0.99 0-PRIME_CLIENT.COMPLIANCE[143][1] = true 0-PRIME_CLIENT.METRIC_VALUE[143][1] = 176.12 0-PRIME_CLIENT.QOS_VALUE[143][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[143][2] = true 0-PRIME_CLIENT.METRIC_VALUE[143][2] = 126.69 0-PRIME_CLIENT.QOS_VALUE[143][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[143][3] = true 0-PRIME_CLIENT.METRIC_VALUE[143][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[143][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[144][0] = true 0-PRIME_CLIENT.METRIC_VALUE[144][0] = 166.52 0-PRIME_CLIENT.QOS_VALUE[144][0] = 0.97 0-PRIME_CLIENT.COMPLIANCE[144][1] = true 0-PRIME_CLIENT.METRIC_VALUE[144][1] = 175.32 0-PRIME_CLIENT.QOS_VALUE[144][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[144][2] = true 0-PRIME_CLIENT.METRIC_VALUE[144][2] = 126.65 0-PRIME_CLIENT.QOS_VALUE[144][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[144][3] = true 0-PRIME_CLIENT.METRIC_VALUE[144][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[144][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[145][0] = true 0-PRIME_CLIENT.METRIC_VALUE[145][0] = 166.34 0-PRIME_CLIENT.QOS_VALUE[145][0] = 0.96 0-PRIME_CLIENT.COMPLIANCE[145][1] = true 0-PRIME_CLIENT.METRIC_VALUE[145][1] = 175.16 0-PRIME_CLIENT.QOS_VALUE[145][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[145][2] = true 0-PRIME_CLIENT.METRIC_VALUE[145][2] = 126.35 0-PRIME_CLIENT.QOS_VALUE[145][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[145][3] = true 0-PRIME_CLIENT.METRIC_VALUE[145][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[145][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[146][0] = true 0-PRIME_CLIENT.METRIC_VALUE[146][0] = 166.38 0-PRIME_CLIENT.QOS_VALUE[146][0] = 0.96 0-PRIME_CLIENT.COMPLIANCE[146][1] = true 0-PRIME_CLIENT.METRIC_VALUE[146][1] = 175.38 0-PRIME_CLIENT.QOS_VALUE[146][1] = 0.98 0-PRIME_CLIENT.COMPLIANCE[146][2] = true 0-PRIME_CLIENT.METRIC_VALUE[146][2] = 126.18 0-PRIME_CLIENT.QOS_VALUE[146][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[146][3] = true 0-PRIME_CLIENT.METRIC_VALUE[146][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[146][3] = 1.00 0-PRIME_CLIENT.COMPLIANCE[147][0] = true 0-PRIME_CLIENT.METRIC_VALUE[147][0] = 167.10 0-PRIME_CLIENT.QOS_VALUE[147][0] = 0.98 0-PRIME_CLIENT.COMPLIANCE[147][1] = true 0-PRIME_CLIENT.METRIC_VALUE[147][1] = 175.99 0-PRIME_CLIENT.QOS_VALUE[147][1] = 0.99 0-PRIME_CLIENT.COMPLIANCE[147][2] = true 0-PRIME_CLIENT.METRIC_VALUE[147][2] = 126.45 0-PRIME_CLIENT.QOS_VALUE[147][2] = 1.00 0-PRIME_CLIENT.COMPLIANCE[147][3] = true 0-PRIME_CLIENT.METRIC_VALUE[147][3] = PASSED 0-PRIME_CLIENT.QOS_VALUE[147][3] = 1.00 0-PRIME_CLIENT.LOAD_LEVEL[0][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[0][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[0][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[0][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[1][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[1][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[1][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[1][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[2][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[2][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[2][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[2][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[3][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[3][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[3][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[3][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[4][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[4][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[4][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[4][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[5][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[5][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[5][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[5][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[6][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[6][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[6][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[6][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[7][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[7][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[7][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[7][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[8][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[8][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[8][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[8][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[9][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[9][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[9][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[9][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[10][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[10][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[10][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[10][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[11][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[11][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[11][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[11][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[12][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[12][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[12][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[12][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[13][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[13][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[13][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[13][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[14][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[14][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[14][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[14][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[15][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[15][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[15][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[15][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[16][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[16][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[16][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[16][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[17][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[17][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[17][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[17][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[18][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[18][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[18][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[18][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[19][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[19][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[19][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[19][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[20][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[20][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[20][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[20][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[21][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[21][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[21][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[21][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[22][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[22][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[22][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[22][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[23][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[23][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[23][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[23][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[24][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[24][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[24][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[24][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[25][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[25][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[25][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[25][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[26][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[26][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[26][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[26][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[27][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[27][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[27][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[27][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[28][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[28][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[28][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[28][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[29][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[29][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[29][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[29][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[30][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[30][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[30][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[30][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[31][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[31][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[31][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[31][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[32][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[32][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[32][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[32][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[33][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[33][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[33][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[33][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[34][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[34][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[34][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[34][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[35][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[35][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[35][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[35][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[36][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[36][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[36][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[36][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[37][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[37][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[37][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[37][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[38][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[38][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[38][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[38][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[39][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[39][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[39][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[39][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[40][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[40][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[40][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[40][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[41][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[41][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[41][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[41][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[42][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[42][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[42][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[42][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[43][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[43][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[43][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[43][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[44][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[44][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[44][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[44][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[45][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[45][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[45][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[45][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[46][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[46][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[46][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[46][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[47][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[47][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[47][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[47][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[48][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[48][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[48][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[48][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[49][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[49][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[49][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[49][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[50][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[50][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[50][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[50][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[51][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[51][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[51][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[51][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[52][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[52][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[52][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[52][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[53][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[53][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[53][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[53][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[54][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[54][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[54][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[54][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[55][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[55][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[55][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[55][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[56][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[56][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[56][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[56][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[57][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[57][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[57][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[57][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[58][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[58][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[58][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[58][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[59][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[59][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[59][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[59][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[60][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[60][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[60][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[60][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[61][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[61][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[61][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[61][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[62][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[62][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[62][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[62][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[63][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[63][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[63][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[63][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[64][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[64][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[64][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[64][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[65][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[65][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[65][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[65][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[66][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[66][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[66][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[66][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[67][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[67][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[67][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[67][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[68][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[68][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[68][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[68][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[69][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[69][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[69][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[69][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[70][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[70][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[70][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[70][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[71][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[71][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[71][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[71][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[72][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[72][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[72][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[72][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[73][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[73][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[73][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[73][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[74][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[74][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[74][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[74][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[75][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[75][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[75][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[75][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[76][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[76][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[76][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[76][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[77][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[77][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[77][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[77][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[78][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[78][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[78][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[78][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[79][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[79][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[79][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[79][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[80][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[80][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[80][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[80][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[81][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[81][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[81][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[81][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[82][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[82][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[82][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[82][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[83][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[83][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[83][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[83][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[84][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[84][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[84][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[84][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[85][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[85][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[85][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[85][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[86][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[86][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[86][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[86][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[87][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[87][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[87][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[87][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[88][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[88][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[88][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[88][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[89][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[89][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[89][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[89][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[90][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[90][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[90][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[90][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[91][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[91][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[91][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[91][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[92][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[92][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[92][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[92][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[93][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[93][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[93][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[93][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[94][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[94][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[94][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[94][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[95][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[95][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[95][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[95][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[96][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[96][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[96][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[96][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[97][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[97][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[97][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[97][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[98][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[98][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[98][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[98][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[99][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[99][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[99][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[99][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[100][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[100][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[100][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[100][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[101][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[101][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[101][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[101][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[102][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[102][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[102][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[102][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[103][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[103][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[103][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[103][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[104][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[104][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[104][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[104][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[105][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[105][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[105][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[105][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[106][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[106][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[106][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[106][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[107][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[107][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[107][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[107][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[108][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[108][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[108][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[108][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[109][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[109][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[109][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[109][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[110][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[110][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[110][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[110][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[111][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[111][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[111][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[111][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[112][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[112][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[112][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[112][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[113][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[113][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[113][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[113][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[114][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[114][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[114][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[114][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[115][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[115][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[115][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[115][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[116][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[116][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[116][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[116][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[117][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[117][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[117][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[117][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[118][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[118][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[118][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[118][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[119][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[119][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[119][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[119][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[120][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[120][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[120][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[120][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[121][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[121][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[121][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[121][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[122][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[122][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[122][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[122][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[123][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[123][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[123][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[123][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[124][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[124][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[124][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[124][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[125][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[125][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[125][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[125][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[126][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[126][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[126][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[126][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[127][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[127][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[127][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[127][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[128][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[128][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[128][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[128][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[129][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[129][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[129][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[129][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[130][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[130][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[130][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[130][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[131][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[131][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[131][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[131][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[132][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[132][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[132][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[132][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[133][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[133][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[133][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[133][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[134][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[134][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[134][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[134][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[135][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[135][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[135][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[135][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[136][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[136][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[136][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[136][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[137][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[137][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[137][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[137][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[138][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[138][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[138][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[138][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[139][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[139][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[139][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[139][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[140][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[140][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[140][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[140][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[141][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[141][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[141][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[141][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[142][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[142][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[142][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[142][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[143][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[143][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[143][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[143][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[144][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[144][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[144][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[144][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[145][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[145][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[145][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[145][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[146][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[146][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[146][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[146][3] = 1 0-PRIME_CLIENT.LOAD_LEVEL[147][0] = 37,72,61,87,132,77,0,49,137,93,187,103,174,138,200,173,153,107,225,44,36,44,48,68,138,125,116,88,38,50 0-PRIME_CLIENT.LOAD_LEVEL[147][1] = 2500 0-PRIME_CLIENT.LOAD_LEVEL[147][2] = 500 0-PRIME_CLIENT.LOAD_LEVEL[147][3] = 1 0-PRIME_CLIENT.SUBMETRIC_VALUE[0][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[0][0][1] = "Manufacturing,66.70,1.40,7.20,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[0][0][2] = "Dealer,100.27,0.31/0.30/0.33,9.97/13.26/6.85,0.70/0.80/0.80,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[0][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[0][1][1] = "Support,175.46,1253441,8928,978,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[0][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[0][2][1] = "Append,20.41,146935,146935,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[0][2][2] = "Fetch,51.70,372252,372252,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[0][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[0][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[1][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[1][0][1] = "Manufacturing,66.80,1.41,6.78,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[1][0][2] = "Dealer,99.91,0.36/0.33/0.40,11.49/15.94/8.80,0.80/0.90/0.90,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[1][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[1][1][1] = "Support,175.34,1251033,9448,1974,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[1][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[1][2][1] = "Append,20.40,146869,146869,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[1][2][2] = "Fetch,51.58,371352,371352,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[1][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[1][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[2][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[2][0][1] = "Manufacturing,66.74,1.44,10.56,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[2][0][2] = "Dealer,100.07,0.27/0.27/0.29,14.71/15.19/10.80,0.50/0.70/0.50,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[2][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[2][1][1] = "Support,175.83,1259056,5975,962,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[2][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[2][2][1] = "Append,20.46,147337,147337,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[2][2][2] = "Fetch,51.70,372236,372236,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[2][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[2][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[3][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[3][0][1] = "Manufacturing,66.67,1.41,9.04,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[3][0][2] = "Dealer,100.26,0.23/0.24/0.22,13.32/14.65/10.10,0.40/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[3][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[3][1][1] = "Support,175.58,1255574,7893,718,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[3][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[3][2][1] = "Append,20.40,146860,146860,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[3][2][2] = "Fetch,51.76,372658,372639,19,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[3][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[3][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[4][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[4][0][1] = "Manufacturing,66.71,1.30,10.77,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[4][0][2] = "Dealer,100.41,0.17/0.20/0.16,10.68/11.67/10.15,0.40/0.50/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[4][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[4][1][1] = "Support,174.97,1239864,15327,4602,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[4][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[4][2][1] = "Append,20.32,146270,146270,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[4][2][2] = "Fetch,51.62,371664,371664,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[4][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[4][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[5][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[5][0][1] = "Manufacturing,66.82,1.31,10.24,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[5][0][2] = "Dealer,100.25,0.22/0.23/0.22,11.44/12.63/9.19,0.40/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[5][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[5][1][1] = "Support,175.04,1242530,13478,4244,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[5][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[5][2][1] = "Append,20.36,146595,146589,6,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[5][2][2] = "Fetch,51.41,370165,370150,15,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[5][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[5][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[6][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[6][0][1] = "Manufacturing,66.66,1.39,12.57,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[6][0][2] = "Dealer,100.15,0.26/0.25/0.27,15.33/14.56/13.60,0.40/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[6][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[6][1][1] = "Support,175.63,1252364,10502,1686,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[6][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[6][2][1] = "Append,20.35,146500,146499,1,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[6][2][2] = "Fetch,51.71,372296,372285,11,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[6][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[6][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[7][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[7][0][1] = "Manufacturing,66.71,1.37,10.41,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[7][0][2] = "Dealer,100.05,0.25/0.25/0.27,14.93/18.58/11.67,0.50/0.70/0.50,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[7][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[7][1][1] = "Support,175.16,1243324,13703,4138,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[7][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[7][2][1] = "Append,20.47,147398,147374,24,99.98" 0-PRIME_CLIENT.SUBMETRIC_VALUE[7][2][2] = "Fetch,51.62,371638,371536,102,99.97" 0-PRIME_CLIENT.SUBMETRIC_VALUE[7][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[7][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[8][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[8][0][1] = "Manufacturing,66.99,1.25,5.66,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[8][0][2] = "Dealer,100.42,0.16/0.20/0.15,6.23/7.08/4.27,0.40/0.50/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[8][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[8][1][1] = "Support,175.06,1241534,14834,4048,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[8][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[8][2][1] = "Append,20.21,145532,145532,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[8][2][2] = "Fetch,51.49,370735,370733,2,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[8][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[8][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[9][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[9][0][1] = "Manufacturing,66.88,1.25,5.69,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[9][0][2] = "Dealer,100.40,0.17/0.20/0.16,7.87/9.26/5.80,0.40/0.50/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[9][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[9][1][1] = "Support,175.04,1240553,15386,4353,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[9][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[9][2][1] = "Append,20.34,146473,146472,1,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[9][2][2] = "Fetch,51.50,370802,370802,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[9][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[9][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[10][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[10][0][1] = "Manufacturing,66.55,1.38,10.12,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[10][0][2] = "Dealer,99.95,0.23/0.22/0.25,12.91/16.80/9.99,0.30/0.50/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[10][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[10][1][1] = "Support,175.24,1243585,14414,3735,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[10][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[10][2][1] = "Append,20.35,146532,146532,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[10][2][2] = "Fetch,51.49,370749,370749,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[10][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[10][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[11][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[11][0][1] = "Manufacturing,66.91,1.30,7.49,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[11][0][2] = "Dealer,100.05,0.23/0.23/0.24,13.41/14.30/10.26,0.40/0.60/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[11][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[11][1][1] = "Support,175.55,1247062,12579,4310,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[11][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[11][2][1] = "Append,20.35,146499,146499,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[11][2][2] = "Fetch,51.69,372197,372197,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[11][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[11][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[12][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[12][0][1] = "Manufacturing,66.92,1.25,3.99,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[12][0][2] = "Dealer,100.74,0.17/0.21/0.16,5.06/7.37/3.64,0.40/0.50/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[12][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[12][1][1] = "Support,175.48,1253579,8845,1032,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[12][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[12][2][1] = "Append,20.34,146483,146483,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[12][2][2] = "Fetch,51.63,371732,371732,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[12][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[12][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[13][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[13][0][1] = "Manufacturing,66.96,1.26,4.10,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[13][0][2] = "Dealer,100.72,0.19/0.22/0.19,7.63/12.09/5.73,0.40/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[13][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[13][1][1] = "Support,175.18,1247133,11112,3086,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[13][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[13][2][1] = "Append,20.44,147188,147188,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[13][2][2] = "Fetch,51.68,372092,372092,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[13][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[13][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[14][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[14][0][1] = "Manufacturing,66.63,1.40,10.38,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[14][0][2] = "Dealer,100.28,0.27/0.25/0.29,14.59/16.85/10.47,0.40/0.60/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[14][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[14][1][1] = "Support,176.34,1260382,8119,1152,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[14][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[14][2][1] = "Append,20.41,146918,146917,1,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[14][2][2] = "Fetch,51.67,372039,372020,19,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[14][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[14][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[15][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[15][0][1] = "Manufacturing,66.63,1.38,9.49,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[15][0][2] = "Dealer,99.82,0.26/0.25/0.28,13.38/14.99/9.59,0.40/0.60/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[15][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[15][1][1] = "Support,175.71,1254265,8776,2099,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[15][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[15][2][1] = "Append,20.45,147265,147265,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[15][2][2] = "Fetch,51.77,372724,372724,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[15][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[15][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[16][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[16][0][1] = "Manufacturing,66.81,1.46,7.47,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[16][0][2] = "Dealer,100.42,0.30/0.29/0.32,11.75/13.95/9.48,0.60/0.80/0.60,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[16][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[16][1][1] = "Support,175.72,1254441,9225,1547,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[16][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[16][2][1] = "Append,20.38,146720,146720,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[16][2][2] = "Fetch,51.60,371518,371518,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[16][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[16][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[17][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[17][0][1] = "Manufacturing,67.11,1.39,7.12,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[17][0][2] = "Dealer,100.51,0.31/0.30/0.33,9.00/12.13/6.36,0.70/0.80/0.70,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[17][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[17][1][1] = "Support,175.48,1252271,9351,1804,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[17][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[17][2][1] = "Append,20.42,147034,147034,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[17][2][2] = "Fetch,51.69,372154,372153,1,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[17][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[17][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[18][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[18][0][1] = "Manufacturing,66.51,1.49,11.24,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[18][0][2] = "Dealer,99.71,0.33/0.31/0.35,14.97/22.21/11.54,0.60/0.80/0.60,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[18][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[18][1][1] = "Support,176.10,1259696,6760,1433,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[18][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[18][2][1] = "Append,20.33,146363,146363,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[18][2][2] = "Fetch,51.84,373233,373233,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[18][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[18][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[19][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[19][0][1] = "Manufacturing,66.46,1.47,9.39,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[19][0][2] = "Dealer,99.96,0.30/0.30/0.31,15.68/16.20/11.33,0.60/0.80/0.60,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[19][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[19][1][1] = "Support,176.10,1259023,7713,1163,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[19][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[19][2][1] = "Append,20.48,147466,147466,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[19][2][2] = "Fetch,51.77,372713,372713,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[19][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[19][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[20][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[20][0][1] = "Manufacturing,66.85,1.40,10.86,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[20][0][2] = "Dealer,100.27,0.26/0.26/0.27,11.44/13.67/8.94,0.50/0.60/0.50,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[20][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[20][1][1] = "Support,175.38,1250446,10615,1709,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[20][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[20][2][1] = "Append,20.41,146927,146927,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[20][2][2] = "Fetch,51.70,372256,372256,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[20][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[20][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[21][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[21][0][1] = "Manufacturing,66.72,1.37,9.16,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[21][0][2] = "Dealer,100.48,0.27/0.26/0.28,9.84/13.49/6.99,0.50/0.70/0.50,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[21][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[21][1][1] = "Support,175.01,1241281,14784,3998,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[21][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[21][2][1] = "Append,20.31,146205,146136,69,99.95" 0-PRIME_CLIENT.SUBMETRIC_VALUE[21][2][2] = "Fetch,51.30,369344,369089,255,99.93" 0-PRIME_CLIENT.SUBMETRIC_VALUE[21][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[21][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[22][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[22][0][1] = "Manufacturing,66.64,1.45,13.01,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[22][0][2] = "Dealer,100.35,0.26/0.25/0.26,15.83/13.62/13.30,0.50/0.70/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[22][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[22][1][1] = "Support,175.24,1242615,15522,3606,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[22][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[22][2][1] = "Append,20.45,147234,147232,2,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[22][2][2] = "Fetch,51.67,372050,372037,13,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[22][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[22][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[23][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[23][0][1] = "Manufacturing,66.70,1.43,10.57,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[23][0][2] = "Dealer,99.98,0.25/0.25/0.25,12.41/15.74/8.20,0.50/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[23][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[23][1][1] = "Support,175.40,1240963,14733,7171,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[23][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[23][2][1] = "Append,20.35,146532,146532,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[23][2][2] = "Fetch,51.87,373454,373454,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[23][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[23][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[24][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[24][0][1] = "Manufacturing,66.78,1.26,7.78,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[24][0][2] = "Dealer,100.47,0.15/0.20/0.15,6.78/7.18/6.29,0.40/0.50/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[24][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[24][1][1] = "Support,175.27,1250289,9747,1879,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[24][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[24][2][1] = "Append,20.29,146098,146098,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[24][2][2] = "Fetch,51.55,371163,371161,2,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[24][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[24][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[25][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[25][0][1] = "Manufacturing,67.09,1.35,8.47,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[25][0][2] = "Dealer,100.03,0.26/0.25/0.28,11.58/14.59/8.36,0.40/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[25][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[25][1][1] = "Support,175.05,1239205,17141,4004,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[25][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[25][2][1] = "Append,20.32,146273,146273,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[25][2][2] = "Fetch,51.50,370794,370794,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[25][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[25][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[26][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[26][0][1] = "Manufacturing,66.66,1.38,8.50,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[26][0][2] = "Dealer,100.39,0.26/0.24/0.27,12.22/15.35/8.81,0.40/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[26][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[26][1][1] = "Support,175.59,1248523,12807,2905,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[26][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[26][2][1] = "Append,20.37,146682,146682,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[26][2][2] = "Fetch,51.41,370121,370121,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[26][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[26][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[27][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[27][0][1] = "Manufacturing,66.81,1.43,10.50,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[27][0][2] = "Dealer,100.29,0.22/0.23/0.22,10.81/12.10/10.44,0.40/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[27][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[27][1][1] = "Support,175.79,1254280,9760,1624,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[27][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[27][2][1] = "Append,20.14,144992,144984,8,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[27][2][2] = "Fetch,51.81,373060,373021,39,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[27][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[27][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[28][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[28][0][1] = "Manufacturing,66.88,1.32,7.39,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[28][0][2] = "Dealer,100.33,0.18/0.21/0.17,9.79/9.48/7.92,0.40/0.50/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[28][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[28][1][1] = "Support,175.88,1254820,9056,2428,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[28][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[28][2][1] = "Append,20.22,145606,145606,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[28][2][2] = "Fetch,51.65,371882,371882,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[28][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[28][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[29][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[29][0][1] = "Manufacturing,67.13,1.29,6.23,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[29][0][2] = "Dealer,100.11,0.23/0.24/0.23,11.52/12.03/9.16,0.40/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[29][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[29][1][1] = "Support,176.31,1261518,6910,1022,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[29][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[29][2][1] = "Append,20.31,146221,146221,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[29][2][2] = "Fetch,51.67,372046,372046,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[29][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[29][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[30][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[30][0][1] = "Manufacturing,66.27,1.46,9.16,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[30][0][2] = "Dealer,99.86,0.33/0.28/0.35,13.92/14.29/10.17,0.50/0.70/0.50,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[30][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[30][1][1] = "Support,176.18,1258702,8647,1169,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[30][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[30][2][1] = "Append,20.25,145813,145813,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[30][2][2] = "Fetch,51.89,373620,373620,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[30][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[30][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[31][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[31][0][1] = "Manufacturing,66.78,1.39,8.65,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[31][0][2] = "Dealer,100.21,0.28/0.26/0.30,11.86/13.45/8.78,0.40/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[31][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[31][1][1] = "Support,176.72,1264457,6668,1269,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[31][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[31][2][1] = "Append,20.39,146829,146829,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[31][2][2] = "Fetch,51.73,372474,372474,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[31][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[31][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[32][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[32][0][1] = "Manufacturing,66.93,1.22,5.74,1.50,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[32][0][2] = "Dealer,100.53,0.14/0.18/0.13,8.91/10.70/6.14,0.30/0.50/0.20,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[32][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[32][1][1] = "Support,176.08,1260272,6541,966,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[32][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[32][2][1] = "Append,20.35,146513,146513,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[32][2][2] = "Fetch,51.82,373091,373091,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[32][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[32][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[33][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[33][0][1] = "Manufacturing,66.89,1.22,11.61,1.50,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[33][0][2] = "Dealer,100.59,0.13/0.17/0.11,10.39/11.16/8.39,0.30/0.40/0.20,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[33][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[33][1][1] = "Support,176.18,1258841,8274,1358,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[33][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[33][2][1] = "Append,20.39,146823,146814,9,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[33][2][2] = "Fetch,51.74,372532,372514,18,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[33][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[33][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[34][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[34][0][1] = "Manufacturing,66.73,1.33,11.21,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[34][0][2] = "Dealer,100.37,0.17/0.18/0.17,11.16/13.58/7.89,0.30/0.50/0.20,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[34][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[34][1][1] = "Support,176.59,1267436,3810,209,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[34][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[34][2][1] = "Append,20.42,147040,147040,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[34][2][2] = "Fetch,51.60,371499,371499,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[34][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[34][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[35][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[35][0][1] = "Manufacturing,66.96,1.27,5.98,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[35][0][2] = "Dealer,100.36,0.18/0.19/0.19,10.89/12.05/7.40,0.30/0.50/0.20,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[35][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[35][1][1] = "Support,176.00,1258279,7390,1553,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[35][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[35][2][1] = "Append,20.35,146529,146529,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[35][2][2] = "Fetch,51.65,371846,371846,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[35][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[35][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[36][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[36][0][1] = "Manufacturing,66.95,1.39,7.87,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[36][0][2] = "Dealer,100.64,0.23/0.24/0.23,10.95/14.25/8.08,0.40/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[36][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[36][1][1] = "Support,175.80,1250986,12432,2355,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[36][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[36][2][1] = "Append,20.33,146384,146384,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[36][2][2] = "Fetch,51.63,371711,371711,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[36][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[36][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[37][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[37][0][1] = "Manufacturing,66.80,1.42,9.77,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[37][0][2] = "Dealer,100.04,0.23/0.25/0.23,12.52/14.41/8.86,0.50/0.60/0.50,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[37][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[37][1][1] = "Support,175.79,1251469,11087,3118,7" 0-PRIME_CLIENT.SUBMETRIC_VALUE[37][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[37][2][1] = "Append,20.20,145453,145452,1,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[37][2][2] = "Fetch,51.84,373251,373243,8,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[37][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[37][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[38][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[38][0][1] = "Manufacturing,66.40,1.45,9.58,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[38][0][2] = "Dealer,99.85,0.27/0.26/0.27,13.42/13.57/9.67,0.50/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[38][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[38][1][1] = "Support,175.68,1248069,13539,3306,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[38][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[38][2][1] = "Append,20.46,147338,147337,1,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[38][2][2] = "Fetch,51.65,371860,371828,32,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[38][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[38][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[39][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[39][0][1] = "Manufacturing,66.53,1.48,10.08,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[39][0][2] = "Dealer,99.33,0.33/0.29/0.35,16.31/18.77/12.05,0.50/0.70/0.50,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[39][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[39][1][1] = "Support,175.43,1243285,15583,4233,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[39][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[39][2][1] = "Append,20.33,146343,146343,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[39][2][2] = "Fetch,51.72,372392,372392,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[39][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[39][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[40][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[40][0][1] = "Manufacturing,66.31,1.63,11.05,2.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[40][0][2] = "Dealer,99.35,0.39/0.37/0.42,13.83/14.60/10.95,0.80/0.90/0.90,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[40][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[40][1][1] = "Support,175.53,1244965,15264,3583,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[40][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[40][2][1] = "Append,20.41,146987,146987,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[40][2][2] = "Fetch,51.47,370580,370574,6,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[40][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[40][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[41][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[41][0][1] = "Manufacturing,66.06,1.65,12.23,2.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[41][0][2] = "Dealer,99.03,0.46/0.43/0.51,23.37/20.30/19.96,1.00/1.00/1.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[41][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[41][1][1] = "Support,175.59,1248520,13199,2527,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[41][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[41][2][1] = "Append,20.20,145435,145435,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[41][2][2] = "Fetch,51.56,371200,371200,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[41][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[41][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[42][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[42][0][1] = "Manufacturing,65.98,1.70,11.56,2.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[42][0][2] = "Dealer,99.46,0.46/0.38/0.51,14.28/18.11/10.76,1.00/1.00/1.20,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[42][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[42][1][1] = "Support,175.14,1243383,14528,3084,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[42][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[42][2][1] = "Append,20.22,145564,145564,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[42][2][2] = "Fetch,51.60,371503,371503,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[42][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[42][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[43][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[43][0][1] = "Manufacturing,65.92,1.58,15.96,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[43][0][2] = "Dealer,99.21,0.41/0.37/0.44,19.93/23.49/17.05,0.80/0.90/0.80,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[43][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[43][1][1] = "Support,175.72,1249065,13367,2750,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[43][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[43][2][1] = "Append,20.45,147213,147213,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[43][2][2] = "Fetch,51.57,371327,371327,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[43][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[43][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[44][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[44][0][1] = "Manufacturing,66.75,1.33,10.88,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[44][0][2] = "Dealer,100.22,0.23/0.24/0.23,11.00/14.65/10.25,0.40/0.60/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[44][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[44][1][1] = "Support,176.12,1256716,9183,2152,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[44][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[44][2][1] = "Append,20.37,146698,146698,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[44][2][2] = "Fetch,51.65,371858,371858,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[44][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[44][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[45][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[45][0][1] = "Manufacturing,66.65,1.40,13.27,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[45][0][2] = "Dealer,99.75,0.30/0.28/0.32,17.39/14.16/13.47,0.40/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[45][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[45][1][1] = "Support,175.98,1257528,8337,1223,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[45][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[45][2][1] = "Append,20.39,146813,146813,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[45][2][2] = "Fetch,51.75,372623,372623,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[45][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[45][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[46][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[46][0][1] = "Manufacturing,66.35,1.47,11.22,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[46][0][2] = "Dealer,99.75,0.34/0.29/0.37,12.85/16.01/10.49,0.50/0.70/0.50,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[46][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[46][1][1] = "Support,176.28,1259907,7798,1483,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[46][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[46][2][1] = "Append,20.25,145766,145766,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[46][2][2] = "Fetch,51.75,372619,372619,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[46][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[46][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[47][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[47][0][1] = "Manufacturing,66.86,1.35,12.11,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[47][0][2] = "Dealer,100.78,0.23/0.24/0.22,12.67/11.44/12.35,0.50/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[47][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[47][1][1] = "Support,176.47,1263088,6502,1014,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[47][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[47][2][1] = "Append,20.39,146792,146792,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[47][2][2] = "Fetch,51.81,373054,373054,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[47][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[47][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[48][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[48][0][1] = "Manufacturing,66.89,1.22,5.68,1.50,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[48][0][2] = "Dealer,100.61,0.12/0.16/0.10,10.19/9.88/7.81,0.30/0.40/0.20,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[48][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[48][1][1] = "Support,175.96,1259173,6692,1013,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[48][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[48][2][1] = "Append,20.43,147090,147090,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[48][2][2] = "Fetch,51.72,372368,372368,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[48][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[48][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[49][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[49][0][1] = "Manufacturing,67.10,1.24,4.94,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[49][0][2] = "Dealer,100.20,0.18/0.20/0.18,11.85/14.16/8.27,0.30/0.50/0.20,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[49][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[49][1][1] = "Support,175.89,1258500,6182,1698,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[49][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[49][2][1] = "Append,20.40,146844,146844,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[49][2][2] = "Fetch,51.65,371915,371915,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[49][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[49][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[50][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[50][0][1] = "Manufacturing,66.74,1.30,7.54,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[50][0][2] = "Dealer,100.35,0.18/0.19/0.18,12.96/14.70/9.58,0.30/0.50/0.20,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[50][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[50][1][1] = "Support,176.41,1262572,6830,733,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[50][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[50][2][1] = "Append,20.49,147538,147538,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[50][2][2] = "Fetch,51.71,372347,372347,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[50][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[50][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[51][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[51][0][1] = "Manufacturing,67.07,1.26,7.20,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[51][0][2] = "Dealer,100.17,0.16/0.19/0.16,11.88/12.03/8.73,0.30/0.50/0.20,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[51][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[51][1][1] = "Support,176.48,1263937,5360,1345,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[51][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[51][2][1] = "Append,20.41,146924,146924,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[51][2][2] = "Fetch,51.81,373038,373038,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[51][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[51][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[52][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[52][0][1] = "Manufacturing,66.58,1.43,13.92,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[52][0][2] = "Dealer,100.18,0.24/0.25/0.24,17.56/17.72/14.96,0.50/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[52][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[52][1][1] = "Support,175.67,1248084,14334,2427,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[52][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[52][2][1] = "Append,20.29,146119,146116,3,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[52][2][2] = "Fetch,51.43,370322,370302,20,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[52][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[52][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[53][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[53][0][1] = "Manufacturing,66.50,1.50,11.43,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[53][0][2] = "Dealer,99.97,0.30/0.29/0.31,15.29/16.10/12.28,0.60/0.80/0.60,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[53][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[53][1][1] = "Support,175.57,1244739,14942,4432,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[53][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[53][2][1] = "Append,20.27,145975,145958,17,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[53][2][2] = "Fetch,51.74,372513,372443,70,99.98" 0-PRIME_CLIENT.SUBMETRIC_VALUE[53][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[53][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[54][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[54][0][1] = "Manufacturing,66.00,1.54,12.55,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[54][0][2] = "Dealer,99.07,0.44/0.35/0.33,30.31/28.25/16.30,0.50/0.70/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[54][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[54][1][1] = "Support,175.90,1254649,10130,1675,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[54][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[54][2][1] = "Append,20.27,145934,145931,3,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[54][2][2] = "Fetch,51.74,372494,372479,15,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[54][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[54][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[55][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[55][0][1] = "Manufacturing,65.97,1.57,10.79,2.50,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[55][0][2] = "Dealer,99.75,0.38/0.31/0.41,14.49/15.39/10.78,0.70/0.80/0.60,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[55][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[55][1][1] = "Support,175.98,1255023,10550,1489,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[55][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[55][2][1] = "Append,20.42,146993,146989,4,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[55][2][2] = "Fetch,51.64,371818,371781,37,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[55][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[55][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[56][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[56][0][1] = "Manufacturing,66.28,1.69,16.83,2.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[56][0][2] = "Dealer,99.00,0.51/0.42/0.56,18.79/20.78/16.87,1.20/1.10/1.50,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[56][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[56][1][1] = "Support,174.86,1239499,15763,3755,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[56][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[56][2][1] = "Append,20.30,146178,146164,14,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[56][2][2] = "Fetch,51.68,372078,372009,69,99.98" 0-PRIME_CLIENT.SUBMETRIC_VALUE[56][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[56][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[57][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[57][0][1] = "Manufacturing,66.30,1.69,15.92,3.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[57][0][2] = "Dealer,99.16,0.52/0.42/0.58,15.90/15.97/13.24,1.30/1.20/1.70,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[57][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[57][1][1] = "Support,175.42,1247288,13018,2710,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[57][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[57][2][1] = "Append,20.35,146501,146501,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[57][2][2] = "Fetch,51.66,371924,371924,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[57][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[57][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[58][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[58][0][1] = "Manufacturing,65.77,1.65,16.78,2.50,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[58][0][2] = "Dealer,98.97,0.42/0.39/0.45,22.17/21.33/19.70,0.80/0.90/0.90,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[58][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[58][1][1] = "Support,175.55,1245575,13974,4404,3" 0-PRIME_CLIENT.SUBMETRIC_VALUE[58][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[58][2][1] = "Append,20.24,145716,145716,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[58][2][2] = "Fetch,51.75,372607,372607,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[58][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[58][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[59][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[59][0][1] = "Manufacturing,65.80,1.72,18.93,3.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[59][0][2] = "Dealer,99.25,0.45/0.40/0.48,21.97/21.61/19.43,0.90/1.00/1.10,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[59][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[59][1][1] = "Support,175.46,1243809,15668,3838,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[59][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[59][2][1] = "Append,20.29,146093,146093,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[59][2][2] = "Fetch,51.67,372036,372036,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[59][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[59][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[60][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[60][0][1] = "Manufacturing,66.79,1.38,7.49,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[60][0][2] = "Dealer,100.10,0.31/0.28/0.33,11.94/16.31/9.32,0.50/0.70/0.50,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[60][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[60][1][1] = "Support,176.03,1256445,9439,1508,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[60][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[60][2][1] = "Append,20.30,146171,146171,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[60][2][2] = "Fetch,51.79,372919,372919,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[60][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[60][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[61][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[61][0][1] = "Manufacturing,66.71,1.44,8.28,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[61][0][2] = "Dealer,100.22,0.34/0.30/0.37,13.62/15.42/10.95,0.60/0.70/0.60,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[61][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[61][1][1] = "Support,175.55,1251265,10844,1853,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[61][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[61][2][1] = "Append,20.42,147013,147005,8,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[61][2][2] = "Fetch,51.59,371457,371418,39,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[61][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[61][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[62][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[62][0][1] = "Manufacturing,66.91,1.36,8.51,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[62][0][2] = "Dealer,100.22,0.27/0.25/0.28,9.73/12.82/6.85,0.50/0.70/0.50,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[62][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[62][1][1] = "Support,176.67,1264753,6646,620,6" 0-PRIME_CLIENT.SUBMETRIC_VALUE[62][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[62][2][1] = "Append,20.41,146972,146972,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[62][2][2] = "Fetch,51.54,371107,371107,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[62][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[62][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[63][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[63][0][1] = "Manufacturing,66.93,1.31,7.64,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[63][0][2] = "Dealer,100.68,0.17/0.22/0.16,12.98/13.34/11.14,0.40/0.60/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[63][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[63][1][1] = "Support,176.06,1259727,6975,952,6" 0-PRIME_CLIENT.SUBMETRIC_VALUE[63][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[63][2][1] = "Append,20.31,146203,146203,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[63][2][2] = "Fetch,51.74,372511,372511,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[63][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[63][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[64][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[64][0][1] = "Manufacturing,66.52,1.42,9.76,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[64][0][2] = "Dealer,99.92,0.26/0.27/0.26,14.28/16.81/10.87,0.50/0.70/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[64][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[64][1][1] = "Support,175.84,1255805,8196,2031,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[64][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[64][2][1] = "Append,20.42,147019,147017,2,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[64][2][2] = "Fetch,51.77,372752,372742,10,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[64][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[64][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[65][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[65][0][1] = "Manufacturing,66.45,1.48,10.50,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[65][0][2] = "Dealer,99.58,0.33/0.30/0.35,16.36/15.96/11.66,0.60/0.70/0.60,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[65][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[65][1][1] = "Support,175.66,1256509,7354,898,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[65][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[65][2][1] = "Append,20.36,146614,146614,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[65][2][2] = "Fetch,51.64,371807,371807,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[65][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[65][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[66][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[66][0][1] = "Manufacturing,66.49,1.47,9.53,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[66][0][2] = "Dealer,99.89,0.29/0.28/0.30,13.31/14.70/9.21,0.50/0.70/0.50,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[66][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[66][1][1] = "Support,175.95,1259317,6638,876,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[66][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[66][2][1] = "Append,20.37,146672,146672,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[66][2][2] = "Fetch,51.66,371976,371973,3,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[66][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[66][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[67][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[67][0][1] = "Manufacturing,66.85,1.41,8.37,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[67][0][2] = "Dealer,100.27,0.23/0.25/0.23,12.14/13.75/9.28,0.50/0.70/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[67][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[67][1][1] = "Support,175.90,1258063,7300,1140,1" 0-PRIME_CLIENT.SUBMETRIC_VALUE[67][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[67][2][1] = "Append,20.43,147079,147074,5,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[67][2][2] = "Fetch,51.72,372378,372374,4,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[67][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[67][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[68][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[68][0][1] = "Manufacturing,66.81,1.44,8.23,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[68][0][2] = "Dealer,100.11,0.28/0.28/0.29,13.56/14.23/10.76,0.60/0.70/0.50,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[68][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[68][1][1] = "Support,175.22,1244701,12588,4303,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[68][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[68][2][1] = "Append,20.46,147322,147305,17,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[68][2][2] = "Fetch,51.29,369293,369242,51,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[68][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[68][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[69][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[69][0][1] = "Manufacturing,66.52,1.52,9.31,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[69][0][2] = "Dealer,99.97,0.36/0.31/0.39,12.68/17.99/9.81,0.70/0.80/0.70,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[69][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[69][1][1] = "Support,175.15,1246148,11952,2986,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[69][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[69][2][1] = "Append,20.20,145431,145431,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[69][2][2] = "Fetch,51.42,370201,370180,21,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[69][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[69][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[70][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[70][0][1] = "Manufacturing,66.11,1.59,12.00,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[70][0][2] = "Dealer,99.45,0.41/0.36/0.42,14.72/19.01/10.89,0.70/0.90/0.60,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[70][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[70][1][1] = "Support,176.16,1258847,8425,1045,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[70][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[70][2][1] = "Append,20.26,145867,145867,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[70][2][2] = "Fetch,51.71,372336,372336,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[70][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[70][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[71][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[71][0][1] = "Manufacturing,66.43,1.55,8.58,2.50,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[71][0][2] = "Dealer,99.53,0.39/0.37/0.44,18.05/19.28/15.80,0.70/0.90/0.80,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[71][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[71][1][1] = "Support,175.71,1250639,12656,1786,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[71][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[71][2][1] = "Append,20.32,146290,146290,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[71][2][2] = "Fetch,51.61,371567,371567,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[71][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[71][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[72][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[72][0][1] = "Manufacturing,67.03,1.38,7.63,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[72][0][2] = "Dealer,100.44,0.27/0.26/0.28,9.56/14.37/6.85,0.50/0.70/0.50,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[72][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[72][1][1] = "Support,175.54,1246917,14219,2737,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[72][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[72][2][1] = "Append,20.29,146122,146122,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[72][2][2] = "Fetch,51.55,371143,371143,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[72][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[72][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[73][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[73][0][1] = "Manufacturing,66.64,1.36,6.53,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[73][0][2] = "Dealer,100.68,0.22/0.24/0.22,9.54/16.45/6.28,0.50/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[73][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[73][1][1] = "Support,175.78,1256101,8474,1067,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[73][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[73][2][1] = "Append,20.35,146505,146505,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[73][2][2] = "Fetch,51.57,371306,371305,1,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[73][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[73][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[74][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[74][0][1] = "Manufacturing,66.82,1.40,7.30,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[74][0][2] = "Dealer,100.20,0.26/0.25/0.27,12.16/14.29/8.73,0.50/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[74][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[74][1][1] = "Support,175.68,1252548,10218,2149,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[74][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[74][2][1] = "Append,20.30,146175,146175,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[74][2][2] = "Fetch,51.65,371900,371900,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[74][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[74][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[75][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[75][0][1] = "Manufacturing,66.83,1.41,6.91,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[75][0][2] = "Dealer,99.91,0.28/0.27/0.30,11.44/18.62/8.52,0.50/0.70/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[75][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[75][1][1] = "Support,175.83,1256060,8896,995,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[75][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[75][2][1] = "Append,20.26,145903,145903,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[75][2][2] = "Fetch,51.53,370994,370994,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[75][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[75][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[76][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[76][0][1] = "Manufacturing,66.58,1.47,16.17,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[76][0][2] = "Dealer,99.76,0.33/0.30/0.35,16.27/17.36/14.27,0.60/0.70/0.60,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[76][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[76][1][1] = "Support,175.67,1255867,7616,1311,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[76][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[76][2][1] = "Append,20.51,147698,147698,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[76][2][2] = "Fetch,51.50,370828,370826,2,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[76][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[76][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[77][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[77][0][1] = "Manufacturing,66.71,1.42,17.30,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[77][0][2] = "Dealer,100.30,0.31/0.29/0.32,18.23/17.55/17.35,0.60/0.70/0.50,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[77][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[77][1][1] = "Support,175.60,1254043,9201,1093,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[77][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[77][2][1] = "Append,20.41,146951,146951,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[77][2][2] = "Fetch,51.67,372008,372008,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[77][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[77][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[78][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[78][0][1] = "Manufacturing,66.62,1.40,16.93,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[78][0][2] = "Dealer,100.60,0.23/0.25/0.22,17.24/14.89/17.74,0.40/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[78][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[78][1][1] = "Support,175.63,1248956,12218,3332,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[78][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[78][2][1] = "Append,20.43,147089,147089,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[78][2][2] = "Fetch,51.72,372375,372370,5,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[78][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[78][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[79][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[79][0][1] = "Manufacturing,66.30,1.41,19.76,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[79][0][2] = "Dealer,99.94,0.22/0.24/0.21,24.76/24.13/21.95,0.40/0.60/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[79][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[79][1][1] = "Support,176.29,1259426,8031,1802,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[79][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[79][2][1] = "Append,20.41,146973,146973,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[79][2][2] = "Fetch,51.63,371753,371753,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[79][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[79][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[80][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[80][0][1] = "Manufacturing,66.67,1.44,9.45,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[80][0][2] = "Dealer,100.16,0.27/0.27/0.28,12.11/14.59/8.81,0.50/0.70/0.50,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[80][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[80][1][1] = "Support,175.70,1257039,7425,584,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[80][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[80][2][1] = "Append,20.40,146910,146910,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[80][2][2] = "Fetch,51.75,372609,372609,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[80][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[80][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[81][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[81][0][1] = "Manufacturing,66.61,1.47,9.60,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[81][0][2] = "Dealer,99.94,0.31/0.29/0.33,12.98/15.21/9.60,0.60/0.70/0.60,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[81][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[81][1][1] = "Support,175.54,1253978,8883,998,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[81][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[81][2][1] = "Append,20.43,147079,147075,4,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[81][2][2] = "Fetch,51.58,371407,371372,35,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[81][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[81][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[82][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[82][0][1] = "Manufacturing,66.64,1.44,8.26,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[82][0][2] = "Dealer,100.32,0.26/0.27/0.26,11.34/12.95/8.97,0.50/0.70/0.50,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[82][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[82][1][1] = "Support,175.84,1259058,5833,1126,4" 0-PRIME_CLIENT.SUBMETRIC_VALUE[82][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[82][2][1] = "Append,20.44,147186,147186,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[82][2][2] = "Fetch,51.74,372493,372493,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[82][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[82][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[83][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[83][0][1] = "Manufacturing,66.58,1.47,10.27,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[83][0][2] = "Dealer,100.18,0.28/0.28/0.28,14.61/15.77/11.56,0.50/0.70/0.50,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[83][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[83][1][1] = "Support,175.69,1255905,8010,1029,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[83][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[83][2][1] = "Append,20.44,147183,147180,3,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[83][2][2] = "Fetch,51.67,372007,371996,11,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[83][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[83][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[84][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[84][0][1] = "Manufacturing,66.26,1.55,19.82,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[84][0][2] = "Dealer,99.26,0.42/0.38/0.38,22.27/21.21/19.55,0.70/0.80/0.60,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[84][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[84][1][1] = "Support,175.49,1249771,11638,2086,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[84][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[84][2][1] = "Append,20.42,147017,146971,46,99.97" 0-PRIME_CLIENT.SUBMETRIC_VALUE[84][2][2] = "Fetch,51.39,369998,369760,238,99.94" 0-PRIME_CLIENT.SUBMETRIC_VALUE[84][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[84][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[85][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[85][0][1] = "Manufacturing,66.05,1.58,22.10,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[85][0][2] = "Dealer,99.34,0.42/0.34/0.47,23.41/22.18/21.06,0.70/0.80/0.70,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[85][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[85][1][1] = "Support,174.98,1242043,14886,2934,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[85][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[85][2][1] = "Append,20.36,146569,146569,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[85][2][2] = "Fetch,51.63,371707,371707,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[85][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[85][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[86][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[86][0][1] = "Manufacturing,66.40,1.54,19.20,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[86][0][2] = "Dealer,99.64,0.36/0.32/0.39,18.80/17.73/16.88,0.70/0.80/0.80,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[86][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[86][1][1] = "Support,175.37,1244923,14395,3319,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[86][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[86][2][1] = "Append,20.19,145365,145365,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[86][2][2] = "Fetch,51.72,372353,372352,1,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[86][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[86][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[87][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[87][0][1] = "Manufacturing,66.80,1.48,17.83,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[87][0][2] = "Dealer,100.07,0.32/0.31/0.35,16.14/16.16/15.97,0.70/0.80/0.80,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[87][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[87][1][1] = "Support,175.37,1247677,12794,2166,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[87][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[87][2][1] = "Append,20.32,146336,146335,1,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[87][2][2] = "Fetch,51.73,372445,372445,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[87][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[87][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[88][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[88][0][1] = "Manufacturing,66.91,1.32,8.23,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[88][0][2] = "Dealer,100.31,0.20/0.23/0.20,11.20/11.10/8.58,0.40/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[88][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[88][1][1] = "Support,175.16,1244246,13727,3145,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[88][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[88][2][1] = "Append,20.29,146055,146055,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[88][2][2] = "Fetch,51.61,371584,371584,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[88][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[88][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[89][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[89][0][1] = "Manufacturing,67.02,1.35,7.81,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[89][0][2] = "Dealer,100.18,0.25/0.25/0.26,11.14/12.12/8.79,0.50/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[89][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[89][1][1] = "Support,175.21,1246825,12421,2283,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[89][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[89][2][1] = "Append,20.29,146073,146073,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[89][2][2] = "Fetch,51.69,372177,372177,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[89][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[89][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[90][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[90][0][1] = "Manufacturing,66.47,1.42,13.71,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[90][0][2] = "Dealer,100.19,0.28/0.26/0.29,16.54/14.32/12.68,0.50/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[90][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[90][1][1] = "Support,175.48,1250975,11205,1276,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[90][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[90][2][1] = "Append,20.27,145954,145953,1,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[90][2][2] = "Fetch,51.43,370293,370290,3,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[90][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[90][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[91][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[91][0][1] = "Manufacturing,66.85,1.33,7.94,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[91][0][2] = "Dealer,100.53,0.22/0.24/0.22,9.26/9.14/6.68,0.50/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[91][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[91][1][1] = "Support,175.04,1241542,14578,4148,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[91][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[91][2][1] = "Append,20.30,146132,146132,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[91][2][2] = "Fetch,51.63,371766,371766,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[91][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[91][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[92][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[92][0][1] = "Manufacturing,66.95,1.27,5.44,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[92][0][2] = "Dealer,100.49,0.20/0.22/0.20,8.16/9.55/5.90,0.40/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[92][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[92][1][1] = "Support,175.53,1254280,8238,1315,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[92][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[92][2][1] = "Append,20.41,146929,146929,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[92][2][2] = "Fetch,51.59,371419,371419,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[92][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[92][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[93][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[93][0][1] = "Manufacturing,66.89,1.30,7.82,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[93][0][2] = "Dealer,100.53,0.19/0.22/0.19,9.15/13.41/7.05,0.40/0.60/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[93][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[93][1][1] = "Support,175.63,1250099,11983,2437,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[93][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[93][2][1] = "Append,20.24,145760,145760,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[93][2][2] = "Fetch,51.59,371473,371473,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[93][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[93][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[94][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[94][0][1] = "Manufacturing,66.84,1.32,6.62,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[94][0][2] = "Dealer,100.55,0.19/0.22/0.19,9.81/19.29/7.17,0.40/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[94][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[94][1][1] = "Support,175.86,1257869,7662,655,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[94][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[94][2][1] = "Append,20.42,147030,147029,1,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[94][2][2] = "Fetch,51.63,371734,371721,13,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[94][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[94][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[95][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[95][0][1] = "Manufacturing,66.83,1.32,10.34,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[95][0][2] = "Dealer,100.22,0.21/0.22/0.21,15.94/21.62/12.68,0.40/0.50/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[95][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[95][1][1] = "Support,175.87,1256898,8568,816,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[95][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[95][2][1] = "Append,20.39,146824,146824,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[95][2][2] = "Fetch,51.63,371771,371771,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[95][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[95][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[96][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[96][0][1] = "Manufacturing,66.84,1.28,8.25,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[96][0][2] = "Dealer,100.53,0.19/0.20/0.20,11.59/12.27/9.04,0.30/0.50/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[96][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[96][1][1] = "Support,175.53,1254848,7568,1378,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[96][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[96][2][1] = "Append,20.37,146658,146658,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[96][2][2] = "Fetch,51.67,372033,372033,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[96][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[96][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[97][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[97][0][1] = "Manufacturing,66.86,1.23,6.64,1.50,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[97][0][2] = "Dealer,100.35,0.13/0.17/0.12,5.48/8.09/4.07,0.30/0.50/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[97][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[97][1][1] = "Support,176.01,1259338,6711,1246,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[97][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[97][2][1] = "Append,20.38,146723,146723,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[97][2][2] = "Fetch,51.80,372973,372973,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[97][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[97][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[98][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[98][0][1] = "Manufacturing,66.87,1.23,4.43,1.50,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[98][0][2] = "Dealer,100.42,0.13/0.17/0.12,4.83/7.04/3.65,0.30/0.50/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[98][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[98][1][1] = "Support,175.76,1257982,6565,902,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[98][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[98][2][1] = "Append,20.38,146713,146713,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[98][2][2] = "Fetch,51.79,372886,372886,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[98][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[98][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[99][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[99][0][1] = "Manufacturing,66.90,1.25,6.37,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[99][0][2] = "Dealer,100.50,0.13/0.18/0.12,6.57/11.42/4.70,0.30/0.50/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[99][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[99][1][1] = "Support,175.90,1258647,6698,1112,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[99][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[99][2][1] = "Append,20.32,146273,146273,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[99][2][2] = "Fetch,51.61,371558,371558,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[99][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[99][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[100][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[100][0][1] = "Manufacturing,66.66,1.52,11.14,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[100][0][2] = "Dealer,100.01,0.38/0.36/0.43,11.70/14.58/12.26,0.70/0.90/0.80,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[100][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[100][1][1] = "Support,174.89,1240064,15364,3799,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[100][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[100][2][1] = "Append,20.28,146001,145969,32,99.98" 0-PRIME_CLIENT.SUBMETRIC_VALUE[100][2][2] = "Fetch,51.49,370717,370493,224,99.94" 0-PRIME_CLIENT.SUBMETRIC_VALUE[100][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[100][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[101][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[101][0][1] = "Manufacturing,66.70,1.51,9.88,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[101][0][2] = "Dealer,99.43,0.46/0.37/0.47,16.18/17.28/12.97,0.80/0.90/0.80,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[101][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[101][1][1] = "Support,175.18,1244564,13749,2953,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[101][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[101][2][1] = "Append,20.22,145617,145612,5,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[101][2][2] = "Fetch,51.59,371433,371409,24,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[101][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[101][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[102][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[102][0][1] = "Manufacturing,66.56,1.46,11.86,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[102][0][2] = "Dealer,100.40,0.28/0.28/0.29,12.44/13.71/8.89,0.60/0.80/0.60,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[102][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[102][1][1] = "Support,175.22,1245707,13592,2260,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[102][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[102][2][1] = "Append,20.36,146572,146572,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[102][2][2] = "Fetch,51.70,372250,372217,33,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[102][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[102][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[103][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[103][0][1] = "Manufacturing,66.96,1.44,12.74,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[103][0][2] = "Dealer,100.40,0.30/0.29/0.32,13.47/13.37/12.18,0.70/0.80/0.70,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[103][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[103][1][1] = "Support,175.04,1241382,15296,3629,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[103][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[103][2][1] = "Append,20.35,146550,146550,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[103][2][2] = "Fetch,51.46,370502,370502,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[103][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[103][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[104][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[104][0][1] = "Manufacturing,66.43,1.58,11.55,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[104][0][2] = "Dealer,99.49,0.41/0.36/0.44,14.88/16.22/11.79,0.70/0.90/0.70,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[104][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[104][1][1] = "Support,175.33,1243883,14996,3466,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[104][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[104][2][1] = "Append,20.37,146693,146693,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[104][2][2] = "Fetch,51.53,371006,371006,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[104][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[104][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[105][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[105][0][1] = "Manufacturing,66.52,1.59,7.99,2.50,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[105][0][2] = "Dealer,99.48,0.41/0.37/0.45,13.75/16.17/10.19,0.80/1.00/0.80,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[105][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[105][1][1] = "Support,175.59,1249448,12684,2117,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[105][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[105][2][1] = "Append,20.23,145647,145647,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[105][2][2] = "Fetch,51.56,371255,371255,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[105][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[105][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[106][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[106][0][1] = "Manufacturing,66.33,1.58,9.43,2.50,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[106][0][2] = "Dealer,99.85,0.39/0.35/0.43,12.01/14.18/9.13,0.90/0.90/1.00,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[106][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[106][1][1] = "Support,175.41,1246516,14296,2154,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[106][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[106][2][1] = "Append,20.42,147052,147052,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[106][2][2] = "Fetch,51.59,371436,371436,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[106][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[106][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[107][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[107][0][1] = "Manufacturing,66.86,1.53,8.47,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[107][0][2] = "Dealer,100.22,0.42/0.36/0.45,10.36/11.32/7.46,1.30/1.00/1.80,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[107][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[107][1][1] = "Support,174.94,1240463,16869,2256,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[107][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[107][2][1] = "Append,20.24,145750,145750,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[107][2][2] = "Fetch,51.42,370238,370237,1,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[107][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[107][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[108][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[108][0][1] = "Manufacturing,66.89,1.28,6.25,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[108][0][2] = "Dealer,100.49,0.21/0.23/0.21,9.37/9.66/6.62,0.40/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[108][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[108][1][1] = "Support,175.49,1251991,10256,1268,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[108][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[108][2][1] = "Append,20.31,146251,146251,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[108][2][2] = "Fetch,51.69,372147,372147,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[108][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[108][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[109][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[109][0][1] = "Manufacturing,66.96,1.30,7.18,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[109][0][2] = "Dealer,100.37,0.20/0.23/0.20,11.83/12.39/8.97,0.40/0.60/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[109][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[109][1][1] = "Support,175.29,1251385,9169,1508,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[109][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[109][2][1] = "Append,20.35,146490,146490,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[109][2][2] = "Fetch,51.52,370965,370965,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[109][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[109][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[110][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[110][0][1] = "Manufacturing,66.88,1.31,6.22,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[110][0][2] = "Dealer,100.42,0.19/0.22/0.19,10.52/11.92/7.92,0.40/0.60/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[110][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[110][1][1] = "Support,175.91,1257042,7392,2086,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[110][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[110][2][1] = "Append,20.40,146906,146906,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[110][2][2] = "Fetch,51.76,372688,372688,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[110][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[110][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[111][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[111][0][1] = "Manufacturing,66.98,1.27,8.49,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[111][0][2] = "Dealer,100.50,0.17/0.20/0.16,8.62/12.45/6.70,0.40/0.50/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[111][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[111][1][1] = "Support,176.12,1260777,6761,508,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[111][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[111][2][1] = "Append,20.44,147191,147191,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[111][2][2] = "Fetch,51.62,371647,371647,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[111][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[111][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[112][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[112][0][1] = "Manufacturing,66.83,1.22,7.23,1.50,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[112][0][2] = "Dealer,100.60,0.13/0.17/0.11,10.16/11.61/8.20,0.30/0.40/0.20,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[112][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[112][1][1] = "Support,175.95,1259186,6408,1227,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[112][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[112][2][1] = "Append,20.36,146580,146580,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[112][2][2] = "Fetch,51.76,372657,372656,1,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[112][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[112][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[113][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[113][0][1] = "Manufacturing,66.89,1.22,5.56,1.50,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[113][0][2] = "Dealer,100.39,0.13/0.17/0.12,10.41/7.80/5.11,0.30/0.50/0.20,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[113][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[113][1][1] = "Support,176.44,1263432,5973,951,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[113][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[113][2][1] = "Append,20.43,147090,147090,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[113][2][2] = "Fetch,51.80,372939,372939,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[113][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[113][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[114][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[114][0][1] = "Manufacturing,67.05,1.24,6.28,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[114][0][2] = "Dealer,100.43,0.19/0.20/0.14,14.73/14.03/11.62,0.30/0.50/0.20,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[114][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[114][1][1] = "Support,175.84,1257191,7034,1804,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[114][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[114][2][1] = "Append,20.44,147139,147139,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[114][2][2] = "Fetch,51.72,372349,372348,1,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[114][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[114][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[115][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[115][0][1] = "Manufacturing,66.98,1.21,5.05,1.50,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[115][0][2] = "Dealer,100.75,0.11/0.15/0.08,4.88/6.75/3.99,0.30/0.40/0.20,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[115][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[115][1][1] = "Support,176.03,1257845,7769,1794,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[115][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[115][2][1] = "Append,20.48,147449,147449,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[115][2][2] = "Fetch,51.62,371688,371688,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[115][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[115][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[116][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[116][0][1] = "Manufacturing,66.74,1.45,7.92,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[116][0][2] = "Dealer,99.76,0.39/0.33/0.43,11.53/15.83/8.36,0.70/0.90/0.70,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[116][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[116][1][1] = "Support,175.08,1246453,10899,3219,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[116][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[116][2][1] = "Append,20.28,146019,146019,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[116][2][2] = "Fetch,51.65,371844,371844,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[116][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[116][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[117][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[117][0][1] = "Manufacturing,67.00,1.40,6.82,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[117][0][2] = "Dealer,100.23,0.28/0.28/0.29,10.44/12.63/7.93,0.60/0.80/0.60,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[117][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[117][1][1] = "Support,175.38,1248858,12129,1770,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[117][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[117][2][1] = "Append,20.33,146385,146385,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[117][2][2] = "Fetch,51.77,372756,372756,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[117][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[117][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[118][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[118][0][1] = "Manufacturing,66.61,1.40,8.03,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[118][0][2] = "Dealer,100.16,0.23/0.25/0.23,11.43/12.89/7.64,0.50/0.70/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[118][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[118][1][1] = "Support,175.22,1244071,13683,3849,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[118][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[118][2][1] = "Append,20.29,146064,146064,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[118][2][2] = "Fetch,51.81,373012,373012,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[118][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[118][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[119][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[119][0][1] = "Manufacturing,66.78,1.45,8.22,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[119][0][2] = "Dealer,100.29,0.29/0.28/0.30,10.01/10.48/7.56,0.70/0.80/0.70,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[119][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[119][1][1] = "Support,175.39,1246721,13410,2669,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[119][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[119][2][1] = "Append,20.36,146580,146580,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[119][2][2] = "Fetch,51.69,372147,372147,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[119][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[119][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[120][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[120][0][1] = "Manufacturing,66.64,1.55,11.75,2.50,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[120][0][2] = "Dealer,99.79,0.38/0.35/0.41,12.37/16.62/9.35,0.70/0.90/0.70,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[120][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[120][1][1] = "Support,175.48,1250784,11528,1161,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[120][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[120][2][1] = "Append,20.29,146072,146072,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[120][2][2] = "Fetch,51.54,371113,371111,2,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[120][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[120][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[121][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[121][0][1] = "Manufacturing,66.53,1.53,12.66,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[121][0][2] = "Dealer,100.01,0.45/0.37/0.50,13.78/16.30/13.07,1.10/1.00/1.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[121][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[121][1][1] = "Support,175.37,1249105,11554,1997,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[121][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[121][2][1] = "Append,20.31,146234,146234,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[121][2][2] = "Fetch,51.58,371403,371403,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[121][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[121][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[122][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[122][0][1] = "Manufacturing,66.86,1.40,10.89,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[122][0][2] = "Dealer,100.17,0.29/0.32/0.28,13.75/13.86/10.42,0.70/0.80/0.70,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[122][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[122][1][1] = "Support,175.30,1245855,13722,2579,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[122][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[122][2][1] = "Append,20.39,146779,146774,5,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[122][2][2] = "Fetch,51.51,370864,370811,53,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[122][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[122][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[123][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[123][0][1] = "Manufacturing,66.64,1.47,12.35,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[123][0][2] = "Dealer,100.33,0.32/0.32/0.33,13.92/17.51/11.68,0.80/0.80/0.80,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[123][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[123][1][1] = "Support,175.45,1244121,16077,3030,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[123][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[123][2][1] = "Append,20.37,146676,146676,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[123][2][2] = "Fetch,51.57,371333,371333,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[123][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[123][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[124][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[124][0][1] = "Manufacturing,66.96,1.29,7.56,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[124][0][2] = "Dealer,100.24,0.18/0.21/0.18,12.69/17.06/9.73,0.30/0.50/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[124][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[124][1][1] = "Support,175.86,1256599,8354,1263,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[124][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[124][2][1] = "Append,20.35,146517,146507,10,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[124][2][2] = "Fetch,51.80,372955,372926,29,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[124][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[124][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[125][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[125][0][1] = "Manufacturing,66.91,1.30,7.76,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[125][0][2] = "Dealer,100.31,0.19/0.22/0.19,12.01/13.59/8.70,0.40/0.50/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[125][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[125][1][1] = "Support,175.50,1252562,9478,1587,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[125][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[125][2][1] = "Append,20.51,147690,147690,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[125][2][2] = "Fetch,51.66,371923,371923,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[125][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[125][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[126][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[126][0][1] = "Manufacturing,66.96,1.26,7.70,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[126][0][2] = "Dealer,100.40,0.16/0.20/0.15,7.06/9.64/5.18,0.40/0.50/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[126][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[126][1][1] = "Support,176.04,1260188,6590,704,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[126][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[126][2][1] = "Append,20.40,146881,146881,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[126][2][2] = "Fetch,51.61,371591,371591,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[126][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[126][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[127][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[127][0][1] = "Manufacturing,66.91,1.25,6.43,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[127][0][2] = "Dealer,100.48,0.13/0.18/0.12,5.97/8.85/4.34,0.30/0.50/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[127][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[127][1][1] = "Support,175.82,1253447,10690,1777,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[127][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[127][2][1] = "Append,20.37,146651,146651,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[127][2][2] = "Fetch,51.77,372769,372767,2,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[127][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[127][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[128][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[128][0][1] = "Manufacturing,66.99,1.22,4.87,1.50,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[128][0][2] = "Dealer,100.79,0.12/0.16/0.10,5.53/6.01/3.74,0.30/0.40/0.20,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[128][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[128][1][1] = "Support,175.78,1256636,8032,973,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[128][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[128][2][1] = "Append,20.46,147324,147324,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[128][2][2] = "Fetch,51.76,372663,372663,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[128][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[128][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[129][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[129][0][1] = "Manufacturing,67.01,1.23,4.82,1.50,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[129][0][2] = "Dealer,100.86,0.14/0.18/0.13,5.05/7.04/3.71,0.30/0.50/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[129][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[129][1][1] = "Support,175.27,1248989,10653,2331,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[129][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[129][2][1] = "Append,20.32,146271,146271,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[129][2][2] = "Fetch,51.73,372479,372479,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[129][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[129][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[130][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[130][0][1] = "Manufacturing,66.88,1.25,4.77,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[130][0][2] = "Dealer,100.41,0.19/0.20/0.20,7.76/8.17/5.46,0.40/0.50/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[130][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[130][1][1] = "Support,175.94,1260897,5456,410,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[130][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[130][2][1] = "Append,20.47,147387,147387,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[130][2][2] = "Fetch,51.57,371269,371269,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[130][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[130][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[131][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[131][0][1] = "Manufacturing,66.93,1.23,5.62,1.50,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[131][0][2] = "Dealer,100.49,0.14/0.18/0.13,11.07/9.16/8.81,0.30/0.50/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[131][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[131][1][1] = "Support,175.87,1258126,6951,1214,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[131][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[131][2][1] = "Append,20.33,146368,146368,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[131][2][2] = "Fetch,51.65,371887,371887,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[131][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[131][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[132][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[132][0][1] = "Manufacturing,66.87,1.36,7.22,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[132][0][2] = "Dealer,100.60,0.20/0.23/0.19,10.28/10.59/7.70,0.50/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[132][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[132][1][1] = "Support,175.22,1245078,13741,2783,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[132][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[132][2][1] = "Append,20.37,146671,146669,2,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[132][2][2] = "Fetch,51.51,370864,370855,9,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[132][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[132][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[133][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[133][0][1] = "Manufacturing,66.70,1.35,7.00,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[133][0][2] = "Dealer,100.78,0.20/0.23/0.19,8.69/11.03/6.27,0.50/0.60/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[133][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[133][1][1] = "Support,175.15,1245583,13535,1936,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[133][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[133][2][1] = "Append,20.32,146287,146285,2,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[133][2][2] = "Fetch,51.58,371397,371367,30,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[133][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[133][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[134][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[134][0][1] = "Manufacturing,66.88,1.43,7.63,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[134][0][2] = "Dealer,100.43,0.26/0.26/0.26,10.51/12.53/8.25,0.50/0.70/0.50,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[134][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[134][1][1] = "Support,175.38,1246656,12808,3292,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[134][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[134][2][1] = "Append,20.32,146322,146301,21,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[134][2][2] = "Fetch,51.65,371855,371787,68,99.98" 0-PRIME_CLIENT.SUBMETRIC_VALUE[134][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[134][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[135][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[135][0][1] = "Manufacturing,66.43,1.44,8.26,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[135][0][2] = "Dealer,100.00,0.29/0.28/0.29,10.43/13.46/8.73,0.60/0.80/0.70,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[135][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[135][1][1] = "Support,175.29,1247629,12581,1853,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[135][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[135][2][1] = "Append,20.23,145640,145640,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[135][2][2] = "Fetch,51.68,372117,372117,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[135][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[135][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[136][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[136][0][1] = "Manufacturing,66.75,1.45,9.56,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[136][0][2] = "Dealer,100.31,0.29/0.29/0.29,11.68/12.47/10.71,0.60/0.80/0.60,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[136][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[136][1][1] = "Support,175.53,1250938,11424,1428,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[136][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[136][2][1] = "Append,20.29,146074,146074,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[136][2][2] = "Fetch,51.66,371976,371966,10,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[136][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[136][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[137][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[137][0][1] = "Manufacturing,67.07,1.40,8.51,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[137][0][2] = "Dealer,100.47,0.26/0.28/0.25,10.64/10.28/7.26,0.60/0.70/0.60,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[137][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[137][1][1] = "Support,175.34,1243949,14845,3646,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[137][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[137][2][1] = "Append,20.25,145828,145828,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[137][2][2] = "Fetch,51.75,372592,372592,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[137][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[137][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[138][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[138][0][1] = "Manufacturing,66.65,1.46,8.86,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[138][0][2] = "Dealer,100.12,0.36/0.33/0.40,12.05/16.57/8.58,0.90/0.90/1.10,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[138][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[138][1][1] = "Support,175.33,1245189,14458,2693,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[138][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[138][2][1] = "Append,20.35,146500,146500,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[138][2][2] = "Fetch,51.56,371244,371244,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[138][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[138][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[139][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[139][0][1] = "Manufacturing,66.72,1.46,8.95,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[139][0][2] = "Dealer,99.85,0.36/0.32/0.39,11.25/11.72/8.73,0.80/0.90/1.00,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[139][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[139][1][1] = "Support,174.79,1238408,16136,3915,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[139][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[139][2][1] = "Append,20.24,145699,145699,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[139][2][2] = "Fetch,51.43,370268,370249,19,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[139][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[139][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[140][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[140][0][1] = "Manufacturing,66.89,1.25,7.46,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[140][0][2] = "Dealer,100.43,0.14/0.19/0.13,11.12/11.48/8.36,0.30/0.50/0.20,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[140][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[140][1][1] = "Support,176.38,1263155,6006,805,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[140][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[140][2][1] = "Append,20.28,146011,146011,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[140][2][2] = "Fetch,51.79,372870,372869,1,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[140][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[140][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[141][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[141][0][1] = "Manufacturing,66.94,1.25,7.23,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[141][0][2] = "Dealer,100.53,0.14/0.18/0.13,7.74/7.46/5.38,0.30/0.50/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[141][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[141][1][1] = "Support,175.92,1256289,8961,1395,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[141][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[141][2][1] = "Append,20.42,147025,147025,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[141][2][2] = "Fetch,51.72,372351,372346,5,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[141][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[141][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[142][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[142][0][1] = "Manufacturing,66.95,1.22,3.87,1.50,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[142][0][2] = "Dealer,100.48,0.12/0.18/0.11,5.31/5.93/3.67,0.30/0.50/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[142][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[142][1][1] = "Support,175.71,1255957,8293,895,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[142][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[142][2][1] = "Append,20.31,146256,146254,2,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[142][2][2] = "Fetch,51.76,372702,372680,22,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[142][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[142][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[143][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[143][0][1] = "Manufacturing,66.87,1.26,6.16,1.75,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[143][0][2] = "Dealer,100.38,0.17/0.20/0.16,6.43/7.60/4.58,0.40/0.50/0.30,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[143][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[143][1][1] = "Support,176.12,1259666,7449,973,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[143][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[143][2][1] = "Append,20.33,146372,146372,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[143][2][2] = "Fetch,51.68,372082,372082,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[143][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[143][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[144][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[144][0][1] = "Manufacturing,66.48,1.42,11.65,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[144][0][2] = "Dealer,100.04,0.30/0.36/0.29,27.37/25.35/13.51,0.50/0.70/0.40,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[144][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[144][1][1] = "Support,175.32,1250534,9753,2048,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[144][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[144][2][1] = "Append,20.38,146700,146700,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[144][2][2] = "Fetch,51.71,372303,372303,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[144][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[144][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[145][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[145][0][1] = "Manufacturing,66.30,1.53,8.85,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[145][0][2] = "Dealer,100.04,0.35/0.31/0.37,13.20/17.54/9.92,0.60/0.80/0.50,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[145][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[145][1][1] = "Support,175.16,1244961,13252,2970,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[145][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[145][2][1] = "Append,20.45,147215,147198,17,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[145][2][2] = "Fetch,51.47,370555,370518,37,99.99" 0-PRIME_CLIENT.SUBMETRIC_VALUE[145][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[145][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[146][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[146][0][1] = "Manufacturing,66.47,1.53,8.76,2.25,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[146][0][2] = "Dealer,99.91,0.35/0.31/0.39,12.22/14.36/9.12,0.60/0.80/0.70,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[146][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[146][1][1] = "Support,175.38,1243533,14240,4931,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[146][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[146][2][1] = "Append,20.40,146913,146912,1,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[146][2][2] = "Fetch,51.46,370532,370527,5,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[146][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[146][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[147][0][0] = "Req. Type,Req/sec,Avg Resp. Time,Max Resp. Time,90th%,Required 90th%" 0-PRIME_CLIENT.SUBMETRIC_VALUE[147][0][1] = "Manufacturing,66.85,1.44,7.74,2.00,5" 0-PRIME_CLIENT.SUBMETRIC_VALUE[147][0][2] = "Dealer,100.24,0.27/0.27/0.27,11.32/14.10/8.25,0.60/0.70/0.50,2/2/2" 0-PRIME_CLIENT.SUBMETRIC_VALUE[147][1][0] = "Web Wkload,Req/sec,Good,Tolerable,Fail,Valid. Errors" 0-PRIME_CLIENT.SUBMETRIC_VALUE[147][1][1] = "Support,175.99,1257123,8870,1103,0" 0-PRIME_CLIENT.SUBMETRIC_VALUE[147][2][0] = "Req. Type,Req/sec,Total Count,Pass Count,Fail Count,Pass Pct" 0-PRIME_CLIENT.SUBMETRIC_VALUE[147][2][1] = "Append,20.33,146364,146364,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[147][2][2] = "Fetch,51.67,372042,372042,0,100.00" 0-PRIME_CLIENT.SUBMETRIC_VALUE[147][3][0] = "Interval[0],Interval[1],Required Poll QoS,Achieved Poll QoS" 0-PRIME_CLIENT.SUBMETRIC_VALUE[147][3][1] = "PASSED within the 900sec time duration,PASSED within the 900sec time duration,95.0%,100.0%" -------------------- # # # Configuration follows. DO NOT EDIT ABOVE THIS LINE! # 0-PRIME_CLIENT.COMPLIANCE[0][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[0][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[0][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[0][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[100][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[100][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[100][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[100][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[101][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[101][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[101][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[101][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[102][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[102][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[102][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[102][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[103][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[103][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[103][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[103][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[104][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[104][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[104][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[104][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[105][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[105][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[105][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[105][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[106][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[106][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[106][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[106][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[107][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[107][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[107][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[107][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[108][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[108][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[108][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[108][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[109][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[109][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[109][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[109][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[10][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[10][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[10][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[10][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[110][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[110][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[110][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[110][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[111][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[111][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[111][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[111][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[112][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[112][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[112][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[112][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[113][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[113][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[113][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[113][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[114][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[114][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[114][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[114][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[115][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[115][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[115][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[115][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[116][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[116][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[116][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[116][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[117][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[117][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[117][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[117][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[118][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[118][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[118][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[118][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[119][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[119][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[119][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[119][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[11][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[11][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[11][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[11][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[120][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[120][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[120][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[120][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[121][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[121][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[121][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[121][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[122][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[122][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[122][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[122][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[123][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[123][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[123][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[123][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[124][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[124][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[124][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[124][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[125][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[125][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[125][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[125][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[126][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[126][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[126][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[126][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[127][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[127][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[127][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[127][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[128][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[128][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[128][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[128][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[129][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[129][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[129][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[129][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[12][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[12][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[12][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[12][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[130][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[130][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[130][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[130][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[131][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[131][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[131][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[131][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[132][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[132][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[132][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[132][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[133][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[133][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[133][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[133][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[134][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[134][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[134][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[134][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[135][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[135][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[135][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[135][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[136][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[136][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[136][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[136][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[137][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[137][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[137][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[137][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[138][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[138][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[138][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[138][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[139][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[139][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[139][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[139][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[13][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[13][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[13][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[13][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[140][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[140][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[140][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[140][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[141][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[141][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[141][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[141][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[142][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[142][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[142][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[142][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[143][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[143][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[143][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[143][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[144][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[144][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[144][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[144][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[145][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[145][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[145][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[145][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[146][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[146][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[146][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[146][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[147][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[147][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[147][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[147][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[14][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[14][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[14][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[14][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[15][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[15][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[15][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[15][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[16][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[16][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[16][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[16][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[17][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[17][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[17][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[17][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[18][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[18][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[18][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[18][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[19][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[19][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[19][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[19][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[1][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[1][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[1][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[1][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[20][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[20][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[20][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[20][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[21][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[21][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[21][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[21][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[22][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[22][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[22][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[22][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[23][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[23][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[23][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[23][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[24][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[24][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[24][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[24][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[25][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[25][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[25][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[25][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[26][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[26][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[26][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[26][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[27][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[27][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[27][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[27][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[28][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[28][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[28][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[28][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[29][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[29][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[29][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[29][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[2][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[2][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[2][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[2][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[30][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[30][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[30][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[30][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[31][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[31][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[31][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[31][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[32][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[32][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[32][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[32][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[33][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[33][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[33][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[33][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[34][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[34][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[34][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[34][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[35][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[35][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[35][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[35][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[36][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[36][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[36][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[36][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[37][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[37][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[37][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[37][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[38][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[38][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[38][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[38][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[39][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[39][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[39][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[39][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[3][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[3][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[3][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[3][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[40][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[40][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[40][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[40][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[41][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[41][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[41][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[41][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[42][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[42][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[42][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[42][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[43][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[43][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[43][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[43][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[44][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[44][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[44][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[44][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[45][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[45][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[45][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[45][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[46][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[46][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[46][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[46][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[47][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[47][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[47][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[47][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[48][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[48][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[48][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[48][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[49][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[49][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[49][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[49][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[4][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[4][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[4][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[4][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[50][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[50][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[50][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[50][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[51][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[51][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[51][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[51][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[52][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[52][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[52][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[52][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[53][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[53][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[53][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[53][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[54][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[54][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[54][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[54][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[55][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[55][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[55][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[55][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[56][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[56][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[56][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[56][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[57][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[57][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[57][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[57][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[58][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[58][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[58][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[58][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[59][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[59][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[59][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[59][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[5][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[5][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[5][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[5][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[60][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[60][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[60][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[60][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[61][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[61][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[61][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[61][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[62][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[62][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[62][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[62][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[63][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[63][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[63][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[63][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[64][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[64][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[64][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[64][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[65][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[65][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[65][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[65][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[66][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[66][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[66][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[66][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[67][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[67][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[67][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[67][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[68][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[68][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[68][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[68][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[69][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[69][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[69][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[69][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[6][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[6][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[6][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[6][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[70][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[70][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[70][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[70][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[71][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[71][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[71][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[71][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[72][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[72][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[72][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[72][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[73][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[73][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[73][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[73][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[74][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[74][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[74][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[74][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[75][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[75][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[75][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[75][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[76][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[76][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[76][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[76][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[77][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[77][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[77][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[77][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[78][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[78][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[78][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[78][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[79][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[79][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[79][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[79][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[7][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[7][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[7][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[7][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[80][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[80][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[80][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[80][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[81][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[81][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[81][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[81][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[82][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[82][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[82][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[82][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[83][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[83][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[83][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[83][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[84][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[84][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[84][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[84][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[85][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[85][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[85][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[85][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[86][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[86][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[86][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[86][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[87][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[87][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[87][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[87][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[88][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[88][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[88][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[88][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[89][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[89][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[89][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[89][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[8][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[8][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[8][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[8][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[90][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[90][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[90][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[90][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[91][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[91][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[91][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[91][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[92][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[92][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[92][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[92][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[93][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[93][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[93][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[93][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[94][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[94][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[94][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[94][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[95][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[95][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[95][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[95][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[96][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[96][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[96][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[96][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[97][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[97][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[97][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[97][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[98][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[98][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[98][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[98][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[99][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[99][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[99][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[99][3] = "true" 0-PRIME_CLIENT.COMPLIANCE[9][0] = "true" 0-PRIME_CLIENT.COMPLIANCE[9][1] = "true" 0-PRIME_CLIENT.COMPLIANCE[9][2] = "true" 0-PRIME_CLIENT.COMPLIANCE[9][3] = "true" 0-PRIME_CLIENT.METRIC_VALUE[0][0] = "166.98" 0-PRIME_CLIENT.METRIC_VALUE[0][1] = "175.46" 0-PRIME_CLIENT.METRIC_VALUE[0][2] = "126.60" 0-PRIME_CLIENT.METRIC_VALUE[0][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[100][0] = "166.67" 0-PRIME_CLIENT.METRIC_VALUE[100][1] = "174.89" 0-PRIME_CLIENT.METRIC_VALUE[100][2] = "125.88" 0-PRIME_CLIENT.METRIC_VALUE[100][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[101][0] = "166.13" 0-PRIME_CLIENT.METRIC_VALUE[101][1] = "175.18" 0-PRIME_CLIENT.METRIC_VALUE[101][2] = "125.76" 0-PRIME_CLIENT.METRIC_VALUE[101][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[102][0] = "166.96" 0-PRIME_CLIENT.METRIC_VALUE[102][1] = "175.22" 0-PRIME_CLIENT.METRIC_VALUE[102][2] = "126.55" 0-PRIME_CLIENT.METRIC_VALUE[102][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[103][0] = "167.37" 0-PRIME_CLIENT.METRIC_VALUE[103][1] = "175.04" 0-PRIME_CLIENT.METRIC_VALUE[103][2] = "126.13" 0-PRIME_CLIENT.METRIC_VALUE[103][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[104][0] = "165.91" 0-PRIME_CLIENT.METRIC_VALUE[104][1] = "175.33" 0-PRIME_CLIENT.METRIC_VALUE[104][2] = "126.17" 0-PRIME_CLIENT.METRIC_VALUE[104][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[105][0] = "165.99" 0-PRIME_CLIENT.METRIC_VALUE[105][1] = "175.59" 0-PRIME_CLIENT.METRIC_VALUE[105][2] = "126.17" 0-PRIME_CLIENT.METRIC_VALUE[105][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[106][0] = "166.17" 0-PRIME_CLIENT.METRIC_VALUE[106][1] = "175.41" 0-PRIME_CLIENT.METRIC_VALUE[106][2] = "126.46" 0-PRIME_CLIENT.METRIC_VALUE[106][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[107][0] = "167.08" 0-PRIME_CLIENT.METRIC_VALUE[107][1] = "174.94" 0-PRIME_CLIENT.METRIC_VALUE[107][2] = "125.97" 0-PRIME_CLIENT.METRIC_VALUE[107][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[108][0] = "167.38" 0-PRIME_CLIENT.METRIC_VALUE[108][1] = "175.49" 0-PRIME_CLIENT.METRIC_VALUE[108][2] = "126.59" 0-PRIME_CLIENT.METRIC_VALUE[108][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[109][0] = "167.33" 0-PRIME_CLIENT.METRIC_VALUE[109][1] = "175.29" 0-PRIME_CLIENT.METRIC_VALUE[109][2] = "126.18" 0-PRIME_CLIENT.METRIC_VALUE[109][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[10][0] = "166.51" 0-PRIME_CLIENT.METRIC_VALUE[10][1] = "175.24" 0-PRIME_CLIENT.METRIC_VALUE[10][2] = "126.42" 0-PRIME_CLIENT.METRIC_VALUE[10][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[110][0] = "167.31" 0-PRIME_CLIENT.METRIC_VALUE[110][1] = "175.91" 0-PRIME_CLIENT.METRIC_VALUE[110][2] = "126.52" 0-PRIME_CLIENT.METRIC_VALUE[110][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[111][0] = "167.48" 0-PRIME_CLIENT.METRIC_VALUE[111][1] = "176.12" 0-PRIME_CLIENT.METRIC_VALUE[111][2] = "126.61" 0-PRIME_CLIENT.METRIC_VALUE[111][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[112][0] = "167.43" 0-PRIME_CLIENT.METRIC_VALUE[112][1] = "175.95" 0-PRIME_CLIENT.METRIC_VALUE[112][2] = "126.46" 0-PRIME_CLIENT.METRIC_VALUE[112][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[113][0] = "167.29" 0-PRIME_CLIENT.METRIC_VALUE[113][1] = "176.44" 0-PRIME_CLIENT.METRIC_VALUE[113][2] = "126.88" 0-PRIME_CLIENT.METRIC_VALUE[113][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[114][0] = "167.48" 0-PRIME_CLIENT.METRIC_VALUE[114][1] = "175.84" 0-PRIME_CLIENT.METRIC_VALUE[114][2] = "126.79" 0-PRIME_CLIENT.METRIC_VALUE[114][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[115][0] = "167.72" 0-PRIME_CLIENT.METRIC_VALUE[115][1] = "176.03" 0-PRIME_CLIENT.METRIC_VALUE[115][2] = "126.77" 0-PRIME_CLIENT.METRIC_VALUE[115][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[116][0] = "166.50" 0-PRIME_CLIENT.METRIC_VALUE[116][1] = "175.08" 0-PRIME_CLIENT.METRIC_VALUE[116][2] = "126.42" 0-PRIME_CLIENT.METRIC_VALUE[116][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[117][0] = "167.23" 0-PRIME_CLIENT.METRIC_VALUE[117][1] = "175.38" 0-PRIME_CLIENT.METRIC_VALUE[117][2] = "126.63" 0-PRIME_CLIENT.METRIC_VALUE[117][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[118][0] = "166.78" 0-PRIME_CLIENT.METRIC_VALUE[118][1] = "175.22" 0-PRIME_CLIENT.METRIC_VALUE[118][2] = "126.40" 0-PRIME_CLIENT.METRIC_VALUE[118][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[119][0] = "167.06" 0-PRIME_CLIENT.METRIC_VALUE[119][1] = "175.39" 0-PRIME_CLIENT.METRIC_VALUE[119][2] = "126.44" 0-PRIME_CLIENT.METRIC_VALUE[119][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[11][0] = "166.96" 0-PRIME_CLIENT.METRIC_VALUE[11][1] = "175.55" 0-PRIME_CLIENT.METRIC_VALUE[11][2] = "126.36" 0-PRIME_CLIENT.METRIC_VALUE[11][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[120][0] = "166.43" 0-PRIME_CLIENT.METRIC_VALUE[120][1] = "175.48" 0-PRIME_CLIENT.METRIC_VALUE[120][2] = "126.32" 0-PRIME_CLIENT.METRIC_VALUE[120][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[121][0] = "166.54" 0-PRIME_CLIENT.METRIC_VALUE[121][1] = "175.37" 0-PRIME_CLIENT.METRIC_VALUE[121][2] = "126.47" 0-PRIME_CLIENT.METRIC_VALUE[121][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[122][0] = "167.03" 0-PRIME_CLIENT.METRIC_VALUE[122][1] = "175.30" 0-PRIME_CLIENT.METRIC_VALUE[122][2] = "126.37" 0-PRIME_CLIENT.METRIC_VALUE[122][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[123][0] = "166.97" 0-PRIME_CLIENT.METRIC_VALUE[123][1] = "175.45" 0-PRIME_CLIENT.METRIC_VALUE[123][2] = "126.34" 0-PRIME_CLIENT.METRIC_VALUE[123][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[124][0] = "167.20" 0-PRIME_CLIENT.METRIC_VALUE[124][1] = "175.86" 0-PRIME_CLIENT.METRIC_VALUE[124][2] = "126.82" 0-PRIME_CLIENT.METRIC_VALUE[124][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[125][0] = "167.21" 0-PRIME_CLIENT.METRIC_VALUE[125][1] = "175.50" 0-PRIME_CLIENT.METRIC_VALUE[125][2] = "126.91" 0-PRIME_CLIENT.METRIC_VALUE[125][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[126][0] = "167.36" 0-PRIME_CLIENT.METRIC_VALUE[126][1] = "176.04" 0-PRIME_CLIENT.METRIC_VALUE[126][2] = "126.68" 0-PRIME_CLIENT.METRIC_VALUE[126][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[127][0] = "167.38" 0-PRIME_CLIENT.METRIC_VALUE[127][1] = "175.82" 0-PRIME_CLIENT.METRIC_VALUE[127][2] = "126.64" 0-PRIME_CLIENT.METRIC_VALUE[127][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[128][0] = "167.78" 0-PRIME_CLIENT.METRIC_VALUE[128][1] = "175.78" 0-PRIME_CLIENT.METRIC_VALUE[128][2] = "126.81" 0-PRIME_CLIENT.METRIC_VALUE[128][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[129][0] = "167.87" 0-PRIME_CLIENT.METRIC_VALUE[129][1] = "175.27" 0-PRIME_CLIENT.METRIC_VALUE[129][2] = "126.69" 0-PRIME_CLIENT.METRIC_VALUE[129][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[12][0] = "167.66" 0-PRIME_CLIENT.METRIC_VALUE[12][1] = "175.48" 0-PRIME_CLIENT.METRIC_VALUE[12][2] = "126.21" 0-PRIME_CLIENT.METRIC_VALUE[12][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[130][0] = "167.29" 0-PRIME_CLIENT.METRIC_VALUE[130][1] = "175.94" 0-PRIME_CLIENT.METRIC_VALUE[130][2] = "126.57" 0-PRIME_CLIENT.METRIC_VALUE[130][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[131][0] = "167.42" 0-PRIME_CLIENT.METRIC_VALUE[131][1] = "175.87" 0-PRIME_CLIENT.METRIC_VALUE[131][2] = "126.60" 0-PRIME_CLIENT.METRIC_VALUE[131][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[132][0] = "167.47" 0-PRIME_CLIENT.METRIC_VALUE[132][1] = "175.22" 0-PRIME_CLIENT.METRIC_VALUE[132][2] = "126.41" 0-PRIME_CLIENT.METRIC_VALUE[132][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[133][0] = "167.48" 0-PRIME_CLIENT.METRIC_VALUE[133][1] = "175.15" 0-PRIME_CLIENT.METRIC_VALUE[133][2] = "126.56" 0-PRIME_CLIENT.METRIC_VALUE[133][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[134][0] = "167.30" 0-PRIME_CLIENT.METRIC_VALUE[134][1] = "175.38" 0-PRIME_CLIENT.METRIC_VALUE[134][2] = "126.40" 0-PRIME_CLIENT.METRIC_VALUE[134][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[135][0] = "166.43" 0-PRIME_CLIENT.METRIC_VALUE[135][1] = "175.29" 0-PRIME_CLIENT.METRIC_VALUE[135][2] = "126.56" 0-PRIME_CLIENT.METRIC_VALUE[135][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[136][0] = "167.06" 0-PRIME_CLIENT.METRIC_VALUE[136][1] = "175.53" 0-PRIME_CLIENT.METRIC_VALUE[136][2] = "126.72" 0-PRIME_CLIENT.METRIC_VALUE[136][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[137][0] = "167.54" 0-PRIME_CLIENT.METRIC_VALUE[137][1] = "175.34" 0-PRIME_CLIENT.METRIC_VALUE[137][2] = "126.33" 0-PRIME_CLIENT.METRIC_VALUE[137][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[138][0] = "166.76" 0-PRIME_CLIENT.METRIC_VALUE[138][1] = "175.33" 0-PRIME_CLIENT.METRIC_VALUE[138][2] = "126.31" 0-PRIME_CLIENT.METRIC_VALUE[138][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[139][0] = "166.57" 0-PRIME_CLIENT.METRIC_VALUE[139][1] = "174.79" 0-PRIME_CLIENT.METRIC_VALUE[139][2] = "125.64" 0-PRIME_CLIENT.METRIC_VALUE[139][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[13][0] = "167.68" 0-PRIME_CLIENT.METRIC_VALUE[13][1] = "175.18" 0-PRIME_CLIENT.METRIC_VALUE[13][2] = "126.62" 0-PRIME_CLIENT.METRIC_VALUE[13][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[140][0] = "167.32" 0-PRIME_CLIENT.METRIC_VALUE[140][1] = "176.38" 0-PRIME_CLIENT.METRIC_VALUE[140][2] = "126.54" 0-PRIME_CLIENT.METRIC_VALUE[140][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[141][0] = "167.47" 0-PRIME_CLIENT.METRIC_VALUE[141][1] = "175.92" 0-PRIME_CLIENT.METRIC_VALUE[141][2] = "126.72" 0-PRIME_CLIENT.METRIC_VALUE[141][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[142][0] = "167.43" 0-PRIME_CLIENT.METRIC_VALUE[142][1] = "175.71" 0-PRIME_CLIENT.METRIC_VALUE[142][2] = "126.46" 0-PRIME_CLIENT.METRIC_VALUE[142][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[143][0] = "167.25" 0-PRIME_CLIENT.METRIC_VALUE[143][1] = "176.12" 0-PRIME_CLIENT.METRIC_VALUE[143][2] = "126.69" 0-PRIME_CLIENT.METRIC_VALUE[143][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[144][0] = "166.52" 0-PRIME_CLIENT.METRIC_VALUE[144][1] = "175.32" 0-PRIME_CLIENT.METRIC_VALUE[144][2] = "126.65" 0-PRIME_CLIENT.METRIC_VALUE[144][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[145][0] = "166.34" 0-PRIME_CLIENT.METRIC_VALUE[145][1] = "175.16" 0-PRIME_CLIENT.METRIC_VALUE[145][2] = "126.35" 0-PRIME_CLIENT.METRIC_VALUE[145][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[146][0] = "166.38" 0-PRIME_CLIENT.METRIC_VALUE[146][1] = "175.38" 0-PRIME_CLIENT.METRIC_VALUE[146][2] = "126.18" 0-PRIME_CLIENT.METRIC_VALUE[146][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[147][0] = "167.10" 0-PRIME_CLIENT.METRIC_VALUE[147][1] = "175.99" 0-PRIME_CLIENT.METRIC_VALUE[147][2] = "126.45" 0-PRIME_CLIENT.METRIC_VALUE[147][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[14][0] = "166.90" 0-PRIME_CLIENT.METRIC_VALUE[14][1] = "176.34" 0-PRIME_CLIENT.METRIC_VALUE[14][2] = "126.55" 0-PRIME_CLIENT.METRIC_VALUE[14][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[15][0] = "166.45" 0-PRIME_CLIENT.METRIC_VALUE[15][1] = "175.71" 0-PRIME_CLIENT.METRIC_VALUE[15][2] = "126.73" 0-PRIME_CLIENT.METRIC_VALUE[15][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[16][0] = "167.23" 0-PRIME_CLIENT.METRIC_VALUE[16][1] = "175.72" 0-PRIME_CLIENT.METRIC_VALUE[16][2] = "126.35" 0-PRIME_CLIENT.METRIC_VALUE[16][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[17][0] = "167.62" 0-PRIME_CLIENT.METRIC_VALUE[17][1] = "175.48" 0-PRIME_CLIENT.METRIC_VALUE[17][2] = "126.93" 0-PRIME_CLIENT.METRIC_VALUE[17][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[18][0] = "166.22" 0-PRIME_CLIENT.METRIC_VALUE[18][1] = "176.10" 0-PRIME_CLIENT.METRIC_VALUE[18][2] = "126.88" 0-PRIME_CLIENT.METRIC_VALUE[18][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[19][0] = "166.42" 0-PRIME_CLIENT.METRIC_VALUE[19][1] = "176.10" 0-PRIME_CLIENT.METRIC_VALUE[19][2] = "126.83" 0-PRIME_CLIENT.METRIC_VALUE[19][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[1][0] = "166.71" 0-PRIME_CLIENT.METRIC_VALUE[1][1] = "175.34" 0-PRIME_CLIENT.METRIC_VALUE[1][2] = "126.69" 0-PRIME_CLIENT.METRIC_VALUE[1][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[20][0] = "167.12" 0-PRIME_CLIENT.METRIC_VALUE[20][1] = "175.38" 0-PRIME_CLIENT.METRIC_VALUE[20][2] = "126.50" 0-PRIME_CLIENT.METRIC_VALUE[20][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[21][0] = "167.20" 0-PRIME_CLIENT.METRIC_VALUE[21][1] = "175.01" 0-PRIME_CLIENT.METRIC_VALUE[21][2] = "125.80" 0-PRIME_CLIENT.METRIC_VALUE[21][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[22][0] = "166.99" 0-PRIME_CLIENT.METRIC_VALUE[22][1] = "175.24" 0-PRIME_CLIENT.METRIC_VALUE[22][2] = "126.38" 0-PRIME_CLIENT.METRIC_VALUE[22][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[23][0] = "166.67" 0-PRIME_CLIENT.METRIC_VALUE[23][1] = "175.40" 0-PRIME_CLIENT.METRIC_VALUE[23][2] = "126.53" 0-PRIME_CLIENT.METRIC_VALUE[23][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[24][0] = "167.25" 0-PRIME_CLIENT.METRIC_VALUE[24][1] = "175.27" 0-PRIME_CLIENT.METRIC_VALUE[24][2] = "126.44" 0-PRIME_CLIENT.METRIC_VALUE[24][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[25][0] = "167.12" 0-PRIME_CLIENT.METRIC_VALUE[25][1] = "175.05" 0-PRIME_CLIENT.METRIC_VALUE[25][2] = "126.12" 0-PRIME_CLIENT.METRIC_VALUE[25][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[26][0] = "167.05" 0-PRIME_CLIENT.METRIC_VALUE[26][1] = "175.59" 0-PRIME_CLIENT.METRIC_VALUE[26][2] = "126.44" 0-PRIME_CLIENT.METRIC_VALUE[26][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[27][0] = "167.09" 0-PRIME_CLIENT.METRIC_VALUE[27][1] = "175.79" 0-PRIME_CLIENT.METRIC_VALUE[27][2] = "126.04" 0-PRIME_CLIENT.METRIC_VALUE[27][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[28][0] = "167.22" 0-PRIME_CLIENT.METRIC_VALUE[28][1] = "175.88" 0-PRIME_CLIENT.METRIC_VALUE[28][2] = "126.41" 0-PRIME_CLIENT.METRIC_VALUE[28][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[29][0] = "167.24" 0-PRIME_CLIENT.METRIC_VALUE[29][1] = "176.31" 0-PRIME_CLIENT.METRIC_VALUE[29][2] = "126.36" 0-PRIME_CLIENT.METRIC_VALUE[29][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[2][0] = "166.80" 0-PRIME_CLIENT.METRIC_VALUE[2][1] = "175.83" 0-PRIME_CLIENT.METRIC_VALUE[2][2] = "126.45" 0-PRIME_CLIENT.METRIC_VALUE[2][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[30][0] = "166.13" 0-PRIME_CLIENT.METRIC_VALUE[30][1] = "176.18" 0-PRIME_CLIENT.METRIC_VALUE[30][2] = "126.59" 0-PRIME_CLIENT.METRIC_VALUE[30][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[31][0] = "166.99" 0-PRIME_CLIENT.METRIC_VALUE[31][1] = "176.72" 0-PRIME_CLIENT.METRIC_VALUE[31][2] = "126.56" 0-PRIME_CLIENT.METRIC_VALUE[31][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[32][0] = "167.47" 0-PRIME_CLIENT.METRIC_VALUE[32][1] = "176.08" 0-PRIME_CLIENT.METRIC_VALUE[32][2] = "126.75" 0-PRIME_CLIENT.METRIC_VALUE[32][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[33][0] = "167.47" 0-PRIME_CLIENT.METRIC_VALUE[33][1] = "176.18" 0-PRIME_CLIENT.METRIC_VALUE[33][2] = "126.55" 0-PRIME_CLIENT.METRIC_VALUE[33][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[34][0] = "167.10" 0-PRIME_CLIENT.METRIC_VALUE[34][1] = "176.59" 0-PRIME_CLIENT.METRIC_VALUE[34][2] = "126.63" 0-PRIME_CLIENT.METRIC_VALUE[34][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[35][0] = "167.32" 0-PRIME_CLIENT.METRIC_VALUE[35][1] = "176.00" 0-PRIME_CLIENT.METRIC_VALUE[35][2] = "126.58" 0-PRIME_CLIENT.METRIC_VALUE[35][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[36][0] = "167.59" 0-PRIME_CLIENT.METRIC_VALUE[36][1] = "175.80" 0-PRIME_CLIENT.METRIC_VALUE[36][2] = "126.36" 0-PRIME_CLIENT.METRIC_VALUE[36][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[37][0] = "166.84" 0-PRIME_CLIENT.METRIC_VALUE[37][1] = "175.79" 0-PRIME_CLIENT.METRIC_VALUE[37][2] = "126.32" 0-PRIME_CLIENT.METRIC_VALUE[37][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[38][0] = "166.24" 0-PRIME_CLIENT.METRIC_VALUE[38][1] = "175.68" 0-PRIME_CLIENT.METRIC_VALUE[38][2] = "126.68" 0-PRIME_CLIENT.METRIC_VALUE[38][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[39][0] = "165.86" 0-PRIME_CLIENT.METRIC_VALUE[39][1] = "175.43" 0-PRIME_CLIENT.METRIC_VALUE[39][2] = "126.47" 0-PRIME_CLIENT.METRIC_VALUE[39][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[3][0] = "166.94" 0-PRIME_CLIENT.METRIC_VALUE[3][1] = "175.58" 0-PRIME_CLIENT.METRIC_VALUE[3][2] = "126.71" 0-PRIME_CLIENT.METRIC_VALUE[3][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[40][0] = "165.66" 0-PRIME_CLIENT.METRIC_VALUE[40][1] = "175.53" 0-PRIME_CLIENT.METRIC_VALUE[40][2] = "126.06" 0-PRIME_CLIENT.METRIC_VALUE[40][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[41][0] = "165.09" 0-PRIME_CLIENT.METRIC_VALUE[41][1] = "175.59" 0-PRIME_CLIENT.METRIC_VALUE[41][2] = "126.02" 0-PRIME_CLIENT.METRIC_VALUE[41][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[42][0] = "165.44" 0-PRIME_CLIENT.METRIC_VALUE[42][1] = "175.14" 0-PRIME_CLIENT.METRIC_VALUE[42][2] = "125.93" 0-PRIME_CLIENT.METRIC_VALUE[42][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[43][0] = "165.14" 0-PRIME_CLIENT.METRIC_VALUE[43][1] = "175.72" 0-PRIME_CLIENT.METRIC_VALUE[43][2] = "126.67" 0-PRIME_CLIENT.METRIC_VALUE[43][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[44][0] = "166.97" 0-PRIME_CLIENT.METRIC_VALUE[44][1] = "176.12" 0-PRIME_CLIENT.METRIC_VALUE[44][2] = "126.37" 0-PRIME_CLIENT.METRIC_VALUE[44][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[45][0] = "166.39" 0-PRIME_CLIENT.METRIC_VALUE[45][1] = "175.98" 0-PRIME_CLIENT.METRIC_VALUE[45][2] = "126.65" 0-PRIME_CLIENT.METRIC_VALUE[45][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[46][0] = "166.10" 0-PRIME_CLIENT.METRIC_VALUE[46][1] = "176.28" 0-PRIME_CLIENT.METRIC_VALUE[46][2] = "126.69" 0-PRIME_CLIENT.METRIC_VALUE[46][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[47][0] = "167.64" 0-PRIME_CLIENT.METRIC_VALUE[47][1] = "176.47" 0-PRIME_CLIENT.METRIC_VALUE[47][2] = "126.98" 0-PRIME_CLIENT.METRIC_VALUE[47][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[48][0] = "167.51" 0-PRIME_CLIENT.METRIC_VALUE[48][1] = "175.96" 0-PRIME_CLIENT.METRIC_VALUE[48][2] = "126.68" 0-PRIME_CLIENT.METRIC_VALUE[48][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[49][0] = "167.30" 0-PRIME_CLIENT.METRIC_VALUE[49][1] = "175.89" 0-PRIME_CLIENT.METRIC_VALUE[49][2] = "126.66" 0-PRIME_CLIENT.METRIC_VALUE[49][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[4][0] = "167.12" 0-PRIME_CLIENT.METRIC_VALUE[4][1] = "174.97" 0-PRIME_CLIENT.METRIC_VALUE[4][2] = "126.23" 0-PRIME_CLIENT.METRIC_VALUE[4][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[50][0] = "167.09" 0-PRIME_CLIENT.METRIC_VALUE[50][1] = "176.41" 0-PRIME_CLIENT.METRIC_VALUE[50][2] = "126.44" 0-PRIME_CLIENT.METRIC_VALUE[50][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[51][0] = "167.24" 0-PRIME_CLIENT.METRIC_VALUE[51][1] = "176.48" 0-PRIME_CLIENT.METRIC_VALUE[51][2] = "126.75" 0-PRIME_CLIENT.METRIC_VALUE[51][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[52][0] = "166.76" 0-PRIME_CLIENT.METRIC_VALUE[52][1] = "175.67" 0-PRIME_CLIENT.METRIC_VALUE[52][2] = "125.97" 0-PRIME_CLIENT.METRIC_VALUE[52][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[53][0] = "166.47" 0-PRIME_CLIENT.METRIC_VALUE[53][1] = "175.57" 0-PRIME_CLIENT.METRIC_VALUE[53][2] = "126.47" 0-PRIME_CLIENT.METRIC_VALUE[53][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[54][0] = "165.06" 0-PRIME_CLIENT.METRIC_VALUE[54][1] = "175.90" 0-PRIME_CLIENT.METRIC_VALUE[54][2] = "126.59" 0-PRIME_CLIENT.METRIC_VALUE[54][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[55][0] = "165.72" 0-PRIME_CLIENT.METRIC_VALUE[55][1] = "175.98" 0-PRIME_CLIENT.METRIC_VALUE[55][2] = "126.31" 0-PRIME_CLIENT.METRIC_VALUE[55][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[56][0] = "165.28" 0-PRIME_CLIENT.METRIC_VALUE[56][1] = "174.86" 0-PRIME_CLIENT.METRIC_VALUE[56][2] = "126.20" 0-PRIME_CLIENT.METRIC_VALUE[56][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[57][0] = "165.45" 0-PRIME_CLIENT.METRIC_VALUE[57][1] = "175.42" 0-PRIME_CLIENT.METRIC_VALUE[57][2] = "126.42" 0-PRIME_CLIENT.METRIC_VALUE[57][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[58][0] = "164.73" 0-PRIME_CLIENT.METRIC_VALUE[58][1] = "175.55" 0-PRIME_CLIENT.METRIC_VALUE[58][2] = "126.51" 0-PRIME_CLIENT.METRIC_VALUE[58][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[59][0] = "165.05" 0-PRIME_CLIENT.METRIC_VALUE[59][1] = "175.46" 0-PRIME_CLIENT.METRIC_VALUE[59][2] = "126.42" 0-PRIME_CLIENT.METRIC_VALUE[59][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[5][0] = "167.07" 0-PRIME_CLIENT.METRIC_VALUE[5][1] = "175.04" 0-PRIME_CLIENT.METRIC_VALUE[5][2] = "125.99" 0-PRIME_CLIENT.METRIC_VALUE[5][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[60][0] = "166.89" 0-PRIME_CLIENT.METRIC_VALUE[60][1] = "176.03" 0-PRIME_CLIENT.METRIC_VALUE[60][2] = "126.62" 0-PRIME_CLIENT.METRIC_VALUE[60][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[61][0] = "166.93" 0-PRIME_CLIENT.METRIC_VALUE[61][1] = "175.55" 0-PRIME_CLIENT.METRIC_VALUE[61][2] = "126.66" 0-PRIME_CLIENT.METRIC_VALUE[61][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[62][0] = "167.14" 0-PRIME_CLIENT.METRIC_VALUE[62][1] = "176.67" 0-PRIME_CLIENT.METRIC_VALUE[62][2] = "126.17" 0-PRIME_CLIENT.METRIC_VALUE[62][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[63][0] = "167.61" 0-PRIME_CLIENT.METRIC_VALUE[63][1] = "176.06" 0-PRIME_CLIENT.METRIC_VALUE[63][2] = "126.40" 0-PRIME_CLIENT.METRIC_VALUE[63][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[64][0] = "166.45" 0-PRIME_CLIENT.METRIC_VALUE[64][1] = "175.84" 0-PRIME_CLIENT.METRIC_VALUE[64][2] = "127.10" 0-PRIME_CLIENT.METRIC_VALUE[64][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[65][0] = "166.02" 0-PRIME_CLIENT.METRIC_VALUE[65][1] = "175.66" 0-PRIME_CLIENT.METRIC_VALUE[65][2] = "126.37" 0-PRIME_CLIENT.METRIC_VALUE[65][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[66][0] = "166.38" 0-PRIME_CLIENT.METRIC_VALUE[66][1] = "175.95" 0-PRIME_CLIENT.METRIC_VALUE[66][2] = "126.76" 0-PRIME_CLIENT.METRIC_VALUE[66][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[67][0] = "167.12" 0-PRIME_CLIENT.METRIC_VALUE[67][1] = "175.90" 0-PRIME_CLIENT.METRIC_VALUE[67][2] = "126.83" 0-PRIME_CLIENT.METRIC_VALUE[67][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[68][0] = "166.92" 0-PRIME_CLIENT.METRIC_VALUE[68][1] = "175.22" 0-PRIME_CLIENT.METRIC_VALUE[68][2] = "125.92" 0-PRIME_CLIENT.METRIC_VALUE[68][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[69][0] = "166.50" 0-PRIME_CLIENT.METRIC_VALUE[69][1] = "175.15" 0-PRIME_CLIENT.METRIC_VALUE[69][2] = "125.96" 0-PRIME_CLIENT.METRIC_VALUE[69][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[6][0] = "166.82" 0-PRIME_CLIENT.METRIC_VALUE[6][1] = "175.63" 0-PRIME_CLIENT.METRIC_VALUE[6][2] = "126.50" 0-PRIME_CLIENT.METRIC_VALUE[6][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[70][0] = "165.56" 0-PRIME_CLIENT.METRIC_VALUE[70][1] = "176.16" 0-PRIME_CLIENT.METRIC_VALUE[70][2] = "126.47" 0-PRIME_CLIENT.METRIC_VALUE[70][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[71][0] = "165.96" 0-PRIME_CLIENT.METRIC_VALUE[71][1] = "175.71" 0-PRIME_CLIENT.METRIC_VALUE[71][2] = "126.26" 0-PRIME_CLIENT.METRIC_VALUE[71][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[72][0] = "167.47" 0-PRIME_CLIENT.METRIC_VALUE[72][1] = "175.54" 0-PRIME_CLIENT.METRIC_VALUE[72][2] = "126.08" 0-PRIME_CLIENT.METRIC_VALUE[72][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[73][0] = "167.31" 0-PRIME_CLIENT.METRIC_VALUE[73][1] = "175.78" 0-PRIME_CLIENT.METRIC_VALUE[73][2] = "126.33" 0-PRIME_CLIENT.METRIC_VALUE[73][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[74][0] = "167.02" 0-PRIME_CLIENT.METRIC_VALUE[74][1] = "175.68" 0-PRIME_CLIENT.METRIC_VALUE[74][2] = "126.45" 0-PRIME_CLIENT.METRIC_VALUE[74][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[75][0] = "166.75" 0-PRIME_CLIENT.METRIC_VALUE[75][1] = "175.83" 0-PRIME_CLIENT.METRIC_VALUE[75][2] = "126.30" 0-PRIME_CLIENT.METRIC_VALUE[75][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[76][0] = "166.34" 0-PRIME_CLIENT.METRIC_VALUE[76][1] = "175.67" 0-PRIME_CLIENT.METRIC_VALUE[76][2] = "126.85" 0-PRIME_CLIENT.METRIC_VALUE[76][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[77][0] = "167.01" 0-PRIME_CLIENT.METRIC_VALUE[77][1] = "175.60" 0-PRIME_CLIENT.METRIC_VALUE[77][2] = "126.47" 0-PRIME_CLIENT.METRIC_VALUE[77][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[78][0] = "167.22" 0-PRIME_CLIENT.METRIC_VALUE[78][1] = "175.63" 0-PRIME_CLIENT.METRIC_VALUE[78][2] = "126.77" 0-PRIME_CLIENT.METRIC_VALUE[78][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[79][0] = "166.25" 0-PRIME_CLIENT.METRIC_VALUE[79][1] = "176.29" 0-PRIME_CLIENT.METRIC_VALUE[79][2] = "126.62" 0-PRIME_CLIENT.METRIC_VALUE[79][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[7][0] = "166.76" 0-PRIME_CLIENT.METRIC_VALUE[7][1] = "175.16" 0-PRIME_CLIENT.METRIC_VALUE[7][2] = "126.37" 0-PRIME_CLIENT.METRIC_VALUE[7][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[80][0] = "166.83" 0-PRIME_CLIENT.METRIC_VALUE[80][1] = "175.70" 0-PRIME_CLIENT.METRIC_VALUE[80][2] = "126.92" 0-PRIME_CLIENT.METRIC_VALUE[80][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[81][0] = "166.55" 0-PRIME_CLIENT.METRIC_VALUE[81][1] = "175.54" 0-PRIME_CLIENT.METRIC_VALUE[81][2] = "126.55" 0-PRIME_CLIENT.METRIC_VALUE[81][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[82][0] = "166.97" 0-PRIME_CLIENT.METRIC_VALUE[82][1] = "175.84" 0-PRIME_CLIENT.METRIC_VALUE[82][2] = "126.93" 0-PRIME_CLIENT.METRIC_VALUE[82][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[83][0] = "166.75" 0-PRIME_CLIENT.METRIC_VALUE[83][1] = "175.69" 0-PRIME_CLIENT.METRIC_VALUE[83][2] = "126.51" 0-PRIME_CLIENT.METRIC_VALUE[83][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[84][0] = "165.52" 0-PRIME_CLIENT.METRIC_VALUE[84][1] = "175.49" 0-PRIME_CLIENT.METRIC_VALUE[84][2] = "126.22" 0-PRIME_CLIENT.METRIC_VALUE[84][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[85][0] = "165.39" 0-PRIME_CLIENT.METRIC_VALUE[85][1] = "174.98" 0-PRIME_CLIENT.METRIC_VALUE[85][2] = "126.37" 0-PRIME_CLIENT.METRIC_VALUE[85][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[86][0] = "166.04" 0-PRIME_CLIENT.METRIC_VALUE[86][1] = "175.37" 0-PRIME_CLIENT.METRIC_VALUE[86][2] = "126.36" 0-PRIME_CLIENT.METRIC_VALUE[86][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[87][0] = "166.87" 0-PRIME_CLIENT.METRIC_VALUE[87][1] = "175.37" 0-PRIME_CLIENT.METRIC_VALUE[87][2] = "126.38" 0-PRIME_CLIENT.METRIC_VALUE[87][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[88][0] = "167.22" 0-PRIME_CLIENT.METRIC_VALUE[88][1] = "175.16" 0-PRIME_CLIENT.METRIC_VALUE[88][2] = "126.42" 0-PRIME_CLIENT.METRIC_VALUE[88][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[89][0] = "167.20" 0-PRIME_CLIENT.METRIC_VALUE[89][1] = "175.21" 0-PRIME_CLIENT.METRIC_VALUE[89][2] = "126.40" 0-PRIME_CLIENT.METRIC_VALUE[89][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[8][0] = "167.41" 0-PRIME_CLIENT.METRIC_VALUE[8][1] = "175.06" 0-PRIME_CLIENT.METRIC_VALUE[8][2] = "125.81" 0-PRIME_CLIENT.METRIC_VALUE[8][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[90][0] = "166.66" 0-PRIME_CLIENT.METRIC_VALUE[90][1] = "175.48" 0-PRIME_CLIENT.METRIC_VALUE[90][2] = "125.90" 0-PRIME_CLIENT.METRIC_VALUE[90][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[91][0] = "167.38" 0-PRIME_CLIENT.METRIC_VALUE[91][1] = "175.04" 0-PRIME_CLIENT.METRIC_VALUE[91][2] = "126.45" 0-PRIME_CLIENT.METRIC_VALUE[91][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[92][0] = "167.44" 0-PRIME_CLIENT.METRIC_VALUE[92][1] = "175.53" 0-PRIME_CLIENT.METRIC_VALUE[92][2] = "126.47" 0-PRIME_CLIENT.METRIC_VALUE[92][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[93][0] = "167.42" 0-PRIME_CLIENT.METRIC_VALUE[93][1] = "175.63" 0-PRIME_CLIENT.METRIC_VALUE[93][2] = "126.45" 0-PRIME_CLIENT.METRIC_VALUE[93][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[94][0] = "167.39" 0-PRIME_CLIENT.METRIC_VALUE[94][1] = "175.86" 0-PRIME_CLIENT.METRIC_VALUE[94][2] = "126.63" 0-PRIME_CLIENT.METRIC_VALUE[94][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[95][0] = "167.04" 0-PRIME_CLIENT.METRIC_VALUE[95][1] = "175.87" 0-PRIME_CLIENT.METRIC_VALUE[95][2] = "126.31" 0-PRIME_CLIENT.METRIC_VALUE[95][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[96][0] = "167.37" 0-PRIME_CLIENT.METRIC_VALUE[96][1] = "175.53" 0-PRIME_CLIENT.METRIC_VALUE[96][2] = "126.70" 0-PRIME_CLIENT.METRIC_VALUE[96][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[97][0] = "167.21" 0-PRIME_CLIENT.METRIC_VALUE[97][1] = "176.01" 0-PRIME_CLIENT.METRIC_VALUE[97][2] = "126.67" 0-PRIME_CLIENT.METRIC_VALUE[97][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[98][0] = "167.29" 0-PRIME_CLIENT.METRIC_VALUE[98][1] = "175.76" 0-PRIME_CLIENT.METRIC_VALUE[98][2] = "126.53" 0-PRIME_CLIENT.METRIC_VALUE[98][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[99][0] = "167.40" 0-PRIME_CLIENT.METRIC_VALUE[99][1] = "175.90" 0-PRIME_CLIENT.METRIC_VALUE[99][2] = "126.40" 0-PRIME_CLIENT.METRIC_VALUE[99][3] = "PASSED" 0-PRIME_CLIENT.METRIC_VALUE[9][0] = "167.28" 0-PRIME_CLIENT.METRIC_VALUE[9][1] = "175.04" 0-PRIME_CLIENT.METRIC_VALUE[9][2] = "126.30" 0-PRIME_CLIENT.METRIC_VALUE[9][3] = "PASSED" 0-PRIME_CLIENT.QOS_VALUE[0][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[0][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[0][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[0][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[100][0] = "0.96" 0-PRIME_CLIENT.QOS_VALUE[100][1] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[100][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[100][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[101][0] = "0.95" 0-PRIME_CLIENT.QOS_VALUE[101][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[101][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[101][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[102][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[102][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[102][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[102][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[103][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[103][1] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[103][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[103][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[104][0] = "0.96" 0-PRIME_CLIENT.QOS_VALUE[104][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[104][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[104][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[105][0] = "0.95" 0-PRIME_CLIENT.QOS_VALUE[105][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[105][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[105][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[106][0] = "0.96" 0-PRIME_CLIENT.QOS_VALUE[106][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[106][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[106][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[107][0] = "0.95" 0-PRIME_CLIENT.QOS_VALUE[107][1] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[107][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[107][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[108][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[108][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[108][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[108][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[109][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[109][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[109][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[109][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[10][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[10][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[10][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[10][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[110][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[110][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[110][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[110][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[111][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[111][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[111][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[111][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[112][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[112][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[112][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[112][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[113][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[113][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[113][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[113][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[114][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[114][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[114][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[114][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[115][0] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[115][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[115][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[115][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[116][0] = "0.96" 0-PRIME_CLIENT.QOS_VALUE[116][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[116][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[116][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[117][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[117][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[117][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[117][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[118][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[118][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[118][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[118][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[119][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[119][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[119][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[119][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[11][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[11][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[11][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[11][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[120][0] = "0.96" 0-PRIME_CLIENT.QOS_VALUE[120][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[120][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[120][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[121][0] = "0.95" 0-PRIME_CLIENT.QOS_VALUE[121][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[121][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[121][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[122][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[122][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[122][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[122][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[123][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[123][1] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[123][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[123][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[124][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[124][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[124][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[124][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[125][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[125][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[125][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[125][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[126][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[126][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[126][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[126][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[127][0] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[127][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[127][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[127][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[128][0] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[128][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[128][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[128][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[129][0] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[129][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[129][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[129][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[12][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[12][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[12][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[12][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[130][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[130][1] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[130][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[130][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[131][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[131][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[131][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[131][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[132][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[132][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[132][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[132][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[133][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[133][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[133][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[133][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[134][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[134][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[134][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[134][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[135][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[135][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[135][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[135][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[136][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[136][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[136][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[136][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[137][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[137][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[137][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[137][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[138][0] = "0.96" 0-PRIME_CLIENT.QOS_VALUE[138][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[138][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[138][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[139][0] = "0.96" 0-PRIME_CLIENT.QOS_VALUE[139][1] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[139][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[139][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[13][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[13][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[13][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[13][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[140][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[140][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[140][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[140][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[141][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[141][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[141][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[141][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[142][0] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[142][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[142][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[142][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[143][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[143][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[143][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[143][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[144][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[144][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[144][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[144][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[145][0] = "0.96" 0-PRIME_CLIENT.QOS_VALUE[145][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[145][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[145][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[146][0] = "0.96" 0-PRIME_CLIENT.QOS_VALUE[146][1] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[146][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[146][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[147][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[147][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[147][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[147][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[14][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[14][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[14][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[14][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[15][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[15][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[15][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[15][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[16][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[16][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[16][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[16][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[17][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[17][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[17][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[17][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[18][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[18][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[18][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[18][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[19][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[19][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[19][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[19][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[1][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[1][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[1][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[1][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[20][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[20][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[20][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[20][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[21][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[21][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[21][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[21][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[22][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[22][1] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[22][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[22][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[23][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[23][1] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[23][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[23][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[24][0] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[24][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[24][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[24][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[25][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[25][1] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[25][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[25][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[26][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[26][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[26][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[26][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[27][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[27][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[27][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[27][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[28][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[28][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[28][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[28][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[29][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[29][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[29][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[29][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[2][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[2][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[2][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[2][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[30][0] = "0.96" 0-PRIME_CLIENT.QOS_VALUE[30][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[30][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[30][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[31][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[31][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[31][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[31][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[32][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[32][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[32][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[32][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[33][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[33][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[33][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[33][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[34][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[34][1] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[34][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[34][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[35][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[35][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[35][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[35][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[36][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[36][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[36][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[36][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[37][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[37][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[37][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[37][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[38][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[38][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[38][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[38][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[39][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[39][1] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[39][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[39][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[3][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[3][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[3][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[3][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[40][0] = "0.96" 0-PRIME_CLIENT.QOS_VALUE[40][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[40][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[40][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[41][0] = "0.94" 0-PRIME_CLIENT.QOS_VALUE[41][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[41][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[41][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[42][0] = "0.94" 0-PRIME_CLIENT.QOS_VALUE[42][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[42][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[42][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[43][0] = "0.96" 0-PRIME_CLIENT.QOS_VALUE[43][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[43][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[43][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[44][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[44][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[44][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[44][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[45][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[45][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[45][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[45][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[46][0] = "0.96" 0-PRIME_CLIENT.QOS_VALUE[46][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[46][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[46][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[47][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[47][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[47][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[47][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[48][0] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[48][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[48][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[48][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[49][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[49][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[49][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[49][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[4][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[4][1] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[4][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[4][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[50][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[50][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[50][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[50][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[51][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[51][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[51][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[51][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[52][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[52][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[52][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[52][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[53][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[53][1] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[53][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[53][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[54][0] = "0.96" 0-PRIME_CLIENT.QOS_VALUE[54][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[54][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[54][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[55][0] = "0.96" 0-PRIME_CLIENT.QOS_VALUE[55][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[55][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[55][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[56][0] = "0.94" 0-PRIME_CLIENT.QOS_VALUE[56][1] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[56][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[56][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[57][0] = "0.94" 0-PRIME_CLIENT.QOS_VALUE[57][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[57][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[57][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[58][0] = "0.96" 0-PRIME_CLIENT.QOS_VALUE[58][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[58][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[58][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[59][0] = "0.95" 0-PRIME_CLIENT.QOS_VALUE[59][1] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[59][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[59][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[5][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[5][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[5][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[5][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[60][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[60][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[60][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[60][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[61][0] = "0.96" 0-PRIME_CLIENT.QOS_VALUE[61][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[61][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[61][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[62][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[62][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[62][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[62][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[63][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[63][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[63][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[63][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[64][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[64][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[64][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[64][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[65][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[65][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[65][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[65][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[66][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[66][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[66][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[66][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[67][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[67][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[67][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[67][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[68][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[68][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[68][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[68][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[69][0] = "0.96" 0-PRIME_CLIENT.QOS_VALUE[69][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[69][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[69][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[6][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[6][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[6][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[6][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[70][0] = "0.95" 0-PRIME_CLIENT.QOS_VALUE[70][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[70][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[70][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[71][0] = "0.95" 0-PRIME_CLIENT.QOS_VALUE[71][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[71][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[71][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[72][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[72][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[72][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[72][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[73][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[73][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[73][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[73][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[74][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[74][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[74][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[74][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[75][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[75][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[75][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[75][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[76][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[76][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[76][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[76][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[77][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[77][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[77][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[77][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[78][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[78][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[78][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[78][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[79][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[79][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[79][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[79][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[7][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[7][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[7][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[7][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[80][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[80][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[80][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[80][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[81][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[81][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[81][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[81][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[82][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[82][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[82][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[82][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[83][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[83][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[83][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[83][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[84][0] = "0.96" 0-PRIME_CLIENT.QOS_VALUE[84][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[84][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[84][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[85][0] = "0.95" 0-PRIME_CLIENT.QOS_VALUE[85][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[85][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[85][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[86][0] = "0.96" 0-PRIME_CLIENT.QOS_VALUE[86][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[86][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[86][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[87][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[87][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[87][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[87][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[88][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[88][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[88][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[88][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[89][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[89][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[89][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[89][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[8][0] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[8][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[8][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[8][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[90][0] = "0.97" 0-PRIME_CLIENT.QOS_VALUE[90][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[90][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[90][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[91][0] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[91][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[91][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[91][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[92][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[92][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[92][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[92][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[93][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[93][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[93][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[93][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[94][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[94][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[94][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[94][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[95][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[95][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[95][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[95][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[96][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[96][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[96][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[96][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[97][0] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[97][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[97][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[97][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[98][0] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[98][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[98][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[98][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[99][0] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[99][1] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[99][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[99][3] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[9][0] = "0.99" 0-PRIME_CLIENT.QOS_VALUE[9][1] = "0.98" 0-PRIME_CLIENT.QOS_VALUE[9][2] = "1.00" 0-PRIME_CLIENT.QOS_VALUE[9][3] = "1.00" 0-RUNTIME_START_MAX_DELAY_MSEC = "1385" 0-RUNTIME_START_MIN_DELAY_MSEC = "6" APP.DATASTORE_MB = "0" APP.EMUL_AVAIL[0] = "Jul-2013" APP.EMUL_NAME[0] = "WebSphere Application Server 8.5.5" APP.EMUL_VENDOR[0] = "IBM" APP.JVM_AVAIL[0] = "Jul-2013" APP.JVM_NAME[0] = "J9 VM (build 2.6, JRE 1.7.0 Linux amd64-64 Compressed References 20130421_145945 (JIT enabled, AOT enabled)" APP.JVM_VENDOR[0] = "IBM" APP.MEMORY_MB = "6144" APP.NUM_VCPUS = "3" APP.NUM_VNICS = "1" APP.SERVER_AVAIL[0] = "Jul-2013" APP.SERVER_NAME[0] = "WebSphere Application Server 8.5.5" APP.SERVER_VENDOR[0] = "IBM" APP.STORAGE_DESCR[0] = "1 x virtio_blk" APP.SW_OTHER[0] = "N/A" APP.VCPU_MHZ[0] = "1900" APP.VDISK_MB = "56320" APP.VM_OS = "Red Hat Enterprise Linux release 8.0 (Ootpa)" APP.VM_OS_AVAIL = "May-2019" APP.VNIC_DESCR[0] = "1 x vfio_pci" BACKEND_VM_LABEL[0] = "Database Server" BACKEND_VM_LABEL[1] = "Infrastructure Server" BATCH.CCOMPILER[0] = "gcc 4.1.2" BATCH.COPTIMIZE[0] = "-O2" BATCH.DATASTORE_MB = "0" BATCH.JVM_VERSION[0] = "OpenJDK Runtime Environment (build 1.8.0_201-b09)" BATCH.MEMORY_MB = "1024" BATCH.NUM_VCPUS = "2" BATCH.NUM_VNICS = "1" BATCH.STORAGE_DESCR[0] = "1 x virtio_blk" BATCH.SW_OTHER[0] = "N/A" BATCH.VCPU_MHZ[0] = "1900" BATCH.VDISK_MB = "15360" BATCH.VM_OS = "Red Hat Enterprise Linux release 8.0 (Ootpa)" BATCH.VM_OS_AVAIL = "May-2019" BATCH.VNIC_DESCR[0] = "1 x vfio_pci" BEAT_INTERVAL = "10" BENCHMARK.BUILD = "80" BENCHMARK.VERSION = "1.1" CLIENT.CPU[0] = "Intel(R) Xeon(R) Platinum 8368Q CPU @ 2.60GHz" CLIENT.CPU[1] = "Intel(R) Xeon(R) Platinum 8368Q CPU @ 2.60GHz" CLIENT.CPU[2] = "Intel(R) Xeon(R) Platinum 8368Q CPU @ 2.60GHz" CLIENT.CPU[3] = "INTEL(R) XEON(R) PLATINUM 8592+" CLIENT.CPU_ENABLED[0] = "2" CLIENT.CPU_ENABLED[1] = "2" CLIENT.CPU_ENABLED[2] = "2" CLIENT.CPU_ENABLED[3] = "2" CLIENT.CPU_SPEED[0] = "2600" CLIENT.CPU_SPEED[1] = "2600" CLIENT.CPU_SPEED[2] = "2600" CLIENT.CPU_SPEED[3] = "1900" CLIENT.HW_MODEL[0] = "H3C UniServer R4900 G5" CLIENT.HW_MODEL[1] = "H3C UniServer R4900 G5" CLIENT.HW_MODEL[2] = "H3C UniServer R4900 G5" CLIENT.HW_MODEL[3] = "H3C UniServer R4900 G6 Ultra" CLIENT.HW_OTHER[0] = "N/A" CLIENT.HW_VENDOR[0] = "New H3C Technologies Co., Ltd." CLIENT.JVM[0] = "N/A" CLIENT.MEMORY[0] = "832 GB SDRAM" CLIENT.MEMORY[1] = "1 TB SDRAM" CLIENT.MEMORY[2] = "960 GB SDRAM" CLIENT.MEMORY[3] = "1 TB SDRAM" CLIENT.NIC_NAME[0] = "1 x Intel Corporation Ethernet Controller E810-XXV for SFP (rev 02)" CLIENT.NIC_NAME[1] = "1 x Intel Corporation Ethernet Controller E810-XXV for SFP (rev 02)" CLIENT.NIC_NAME[2] = "1 x Mellanox Technologies MT27710 Family [ConnectX-4 Lx]" CLIENT.NIC_NAME[3] = "2 x Mellanox Technologies MT27710 Family [ConnectX-4 Lx]" CLIENT.NOTES[0] = "Each prime client VM has 8 VCPUs, 16 GB memory, and a 12 GB disk. 1 vfio_pci and 1 virtio_blk device were used in all client VMs." CLIENT.NOTES[1] = "All prime client VMs run Red Hat Enterprise Linux 8.0." CLIENT.NUM_SYSTEMS[0] = "1" CLIENT.NUM_SYSTEMS[1] = "1" CLIENT.NUM_SYSTEMS[2] = "1" CLIENT.NUM_SYSTEMS[3] = "1" CLIENT.OS[0] = "Red Hat Enterprise Linux release 9.0 (Plow)" CLIENT.OS[1] = "Red Hat Enterprise Linux release 9.0 (Plow)" CLIENT.OS[2] = "Red Hat Enterprise Linux release 9.0 (Plow)" CLIENT.OS[3] = "Red Hat Enterprise Linux release 9.0 (Plow)" CLIENT.SW_OTHER[0] = "N/A" CLIENT_APP[0] = "-jar specjappclient.jar" CLIENT_APP[1] = "-jar specwebclient.jar" CLIENT_APP[2] = "-jar specimapclient.jar" CLIENT_APP[3] = "-jar specbatchclient.jar" CLIENT_LISTENER_PORT = "1088" CLIENT_PATH[0] = "/opt/SPECjAppServer2004/classes" CLIENT_PATH[1] = "/opt/SPECweb2005" CLIENT_PATH[2] = "/opt/SPECimap" CLIENT_PATH[3] = "/opt/SPECbatch" CLOCK_SKEW_ALLOWED = "2" COMPLIANT_CFG = "true" DATA_COLLECT_MAX_WAIT_SEC = "300" DB.DATASTORE_MB = "46080" DB.JVM_VERSION[0] = "IBM J9 VM (build 2.4, J2RE 1.6.0 IBM J9 2.4 Linux amd64-64)" DB.MEMORY_MB = "34816" DB.NUM_VCPUS = "3" DB.NUM_VNICS = "1" DB.SERVER_AVAIL[0] = "Mar-2010" DB.SERVER_NAME[0] = "DB2 v9.7" DB.SERVER_VENDOR[0] = "IBM" DB.STORAGE_DESCR[0] = "2 x virtio_blk" DB.SW_OTHER[0] = "N/A" DB.VCPU_MHZ[0] = "1900" DB.VDISK_MB = "15360" DB.VM_OS = "Red Hat Enterprise Linux release 8.0 (Ootpa)" DB.VM_OS_AVAIL = "May-2019" DB.VNIC_DESCR[0] = "1 x vfio_pci" DEBUG_LEVEL = "5" ECHO_POLL = "1" IDLE_POLL_SEC = "600" IDLE_RAMP_SEC = "10" IDLE_RUN_SEC[0][0] = "600" IDLE_RUN_SEC[0][1] = "600" IDLE_RUN_SEC[0][2] = "600" IDLE_RUN_SEC[0][3] = "600" IDLE_RUN_SEC[100][0] = "600" IDLE_RUN_SEC[100][1] = "600" IDLE_RUN_SEC[100][2] = "600" IDLE_RUN_SEC[100][3] = "600" IDLE_RUN_SEC[101][0] = "600" IDLE_RUN_SEC[101][1] = "600" IDLE_RUN_SEC[101][2] = "600" IDLE_RUN_SEC[101][3] = "600" IDLE_RUN_SEC[102][0] = "600" IDLE_RUN_SEC[102][1] = "600" IDLE_RUN_SEC[102][2] = "600" IDLE_RUN_SEC[102][3] = "600" IDLE_RUN_SEC[103][0] = "600" IDLE_RUN_SEC[103][1] = "600" IDLE_RUN_SEC[103][2] = "600" IDLE_RUN_SEC[103][3] = "600" IDLE_RUN_SEC[104][0] = "600" IDLE_RUN_SEC[104][1] = "600" IDLE_RUN_SEC[104][2] = "600" IDLE_RUN_SEC[104][3] = "600" IDLE_RUN_SEC[105][0] = "600" IDLE_RUN_SEC[105][1] = "600" IDLE_RUN_SEC[105][2] = "600" IDLE_RUN_SEC[105][3] = "600" IDLE_RUN_SEC[106][0] = "600" IDLE_RUN_SEC[106][1] = "600" IDLE_RUN_SEC[106][2] = "600" IDLE_RUN_SEC[106][3] = "600" IDLE_RUN_SEC[107][0] = "600" IDLE_RUN_SEC[107][1] = "600" IDLE_RUN_SEC[107][2] = "600" IDLE_RUN_SEC[107][3] = "600" IDLE_RUN_SEC[108][0] = "600" IDLE_RUN_SEC[108][1] = "600" IDLE_RUN_SEC[108][2] = "600" IDLE_RUN_SEC[108][3] = "600" IDLE_RUN_SEC[109][0] = "600" IDLE_RUN_SEC[109][1] = "600" IDLE_RUN_SEC[109][2] = "600" IDLE_RUN_SEC[109][3] = "600" IDLE_RUN_SEC[10][0] = "600" IDLE_RUN_SEC[10][1] = "600" IDLE_RUN_SEC[10][2] = "600" IDLE_RUN_SEC[10][3] = "600" IDLE_RUN_SEC[110][0] = "600" IDLE_RUN_SEC[110][1] = "600" IDLE_RUN_SEC[110][2] = "600" IDLE_RUN_SEC[110][3] = "600" IDLE_RUN_SEC[111][0] = "600" IDLE_RUN_SEC[111][1] = "600" IDLE_RUN_SEC[111][2] = "600" IDLE_RUN_SEC[111][3] = "600" IDLE_RUN_SEC[112][0] = "600" IDLE_RUN_SEC[112][1] = "600" IDLE_RUN_SEC[112][2] = "600" IDLE_RUN_SEC[112][3] = "600" IDLE_RUN_SEC[113][0] = "600" IDLE_RUN_SEC[113][1] = "600" IDLE_RUN_SEC[113][2] = "600" IDLE_RUN_SEC[113][3] = "600" IDLE_RUN_SEC[114][0] = "600" IDLE_RUN_SEC[114][1] = "600" IDLE_RUN_SEC[114][2] = "600" IDLE_RUN_SEC[114][3] = "600" IDLE_RUN_SEC[115][0] = "600" IDLE_RUN_SEC[115][1] = "600" IDLE_RUN_SEC[115][2] = "600" IDLE_RUN_SEC[115][3] = "600" IDLE_RUN_SEC[116][0] = "600" IDLE_RUN_SEC[116][1] = "600" IDLE_RUN_SEC[116][2] = "600" IDLE_RUN_SEC[116][3] = "600" IDLE_RUN_SEC[117][0] = "600" IDLE_RUN_SEC[117][1] = "600" IDLE_RUN_SEC[117][2] = "600" IDLE_RUN_SEC[117][3] = "600" IDLE_RUN_SEC[118][0] = "600" IDLE_RUN_SEC[118][1] = "600" IDLE_RUN_SEC[118][2] = "600" IDLE_RUN_SEC[118][3] = "600" IDLE_RUN_SEC[119][0] = "600" IDLE_RUN_SEC[119][1] = "600" IDLE_RUN_SEC[119][2] = "600" IDLE_RUN_SEC[119][3] = "600" IDLE_RUN_SEC[11][0] = "600" IDLE_RUN_SEC[11][1] = "600" IDLE_RUN_SEC[11][2] = "600" IDLE_RUN_SEC[11][3] = "600" IDLE_RUN_SEC[120][0] = "600" IDLE_RUN_SEC[120][1] = "600" IDLE_RUN_SEC[120][2] = "600" IDLE_RUN_SEC[120][3] = "600" IDLE_RUN_SEC[121][0] = "600" IDLE_RUN_SEC[121][1] = "600" IDLE_RUN_SEC[121][2] = "600" IDLE_RUN_SEC[121][3] = "600" IDLE_RUN_SEC[122][0] = "600" IDLE_RUN_SEC[122][1] = "600" IDLE_RUN_SEC[122][2] = "600" IDLE_RUN_SEC[122][3] = "600" IDLE_RUN_SEC[123][0] = "600" IDLE_RUN_SEC[123][1] = "600" IDLE_RUN_SEC[123][2] = "600" IDLE_RUN_SEC[123][3] = "600" IDLE_RUN_SEC[124][0] = "600" IDLE_RUN_SEC[124][1] = "600" IDLE_RUN_SEC[124][2] = "600" IDLE_RUN_SEC[124][3] = "600" IDLE_RUN_SEC[125][0] = "600" IDLE_RUN_SEC[125][1] = "600" IDLE_RUN_SEC[125][2] = "600" IDLE_RUN_SEC[125][3] = "600" IDLE_RUN_SEC[126][0] = "600" IDLE_RUN_SEC[126][1] = "600" IDLE_RUN_SEC[126][2] = "600" IDLE_RUN_SEC[126][3] = "600" IDLE_RUN_SEC[127][0] = "600" IDLE_RUN_SEC[127][1] = "600" IDLE_RUN_SEC[127][2] = "600" IDLE_RUN_SEC[127][3] = "600" IDLE_RUN_SEC[128][0] = "600" IDLE_RUN_SEC[128][1] = "600" IDLE_RUN_SEC[128][2] = "600" IDLE_RUN_SEC[128][3] = "600" IDLE_RUN_SEC[129][0] = "600" IDLE_RUN_SEC[129][1] = "600" IDLE_RUN_SEC[129][2] = "600" IDLE_RUN_SEC[129][3] = "600" IDLE_RUN_SEC[12][0] = "600" IDLE_RUN_SEC[12][1] = "600" IDLE_RUN_SEC[12][2] = "600" IDLE_RUN_SEC[12][3] = "600" IDLE_RUN_SEC[130][0] = "600" IDLE_RUN_SEC[130][1] = "600" IDLE_RUN_SEC[130][2] = "600" IDLE_RUN_SEC[130][3] = "600" IDLE_RUN_SEC[131][0] = "600" IDLE_RUN_SEC[131][1] = "600" IDLE_RUN_SEC[131][2] = "600" IDLE_RUN_SEC[131][3] = "600" IDLE_RUN_SEC[132][0] = "600" IDLE_RUN_SEC[132][1] = "600" IDLE_RUN_SEC[132][2] = "600" IDLE_RUN_SEC[132][3] = "600" IDLE_RUN_SEC[133][0] = "600" IDLE_RUN_SEC[133][1] = "600" IDLE_RUN_SEC[133][2] = "600" IDLE_RUN_SEC[133][3] = "600" IDLE_RUN_SEC[134][0] = "600" IDLE_RUN_SEC[134][1] = "600" IDLE_RUN_SEC[134][2] = "600" IDLE_RUN_SEC[134][3] = "600" IDLE_RUN_SEC[135][0] = "600" IDLE_RUN_SEC[135][1] = "600" IDLE_RUN_SEC[135][2] = "600" IDLE_RUN_SEC[135][3] = "600" IDLE_RUN_SEC[136][0] = "600" IDLE_RUN_SEC[136][1] = "600" IDLE_RUN_SEC[136][2] = "600" IDLE_RUN_SEC[136][3] = "600" IDLE_RUN_SEC[137][0] = "600" IDLE_RUN_SEC[137][1] = "600" IDLE_RUN_SEC[137][2] = "600" IDLE_RUN_SEC[137][3] = "600" IDLE_RUN_SEC[138][0] = "600" IDLE_RUN_SEC[138][1] = "600" IDLE_RUN_SEC[138][2] = "600" IDLE_RUN_SEC[138][3] = "600" IDLE_RUN_SEC[139][0] = "600" IDLE_RUN_SEC[139][1] = "600" IDLE_RUN_SEC[139][2] = "600" IDLE_RUN_SEC[139][3] = "600" IDLE_RUN_SEC[13][0] = "600" IDLE_RUN_SEC[13][1] = "600" IDLE_RUN_SEC[13][2] = "600" IDLE_RUN_SEC[13][3] = "600" IDLE_RUN_SEC[140][0] = "600" IDLE_RUN_SEC[140][1] = "600" IDLE_RUN_SEC[140][2] = "600" IDLE_RUN_SEC[140][3] = "600" IDLE_RUN_SEC[141][0] = "600" IDLE_RUN_SEC[141][1] = "600" IDLE_RUN_SEC[141][2] = "600" IDLE_RUN_SEC[141][3] = "600" IDLE_RUN_SEC[142][0] = "600" IDLE_RUN_SEC[142][1] = "600" IDLE_RUN_SEC[142][2] = "600" IDLE_RUN_SEC[142][3] = "600" IDLE_RUN_SEC[143][0] = "600" IDLE_RUN_SEC[143][1] = "600" IDLE_RUN_SEC[143][2] = "600" IDLE_RUN_SEC[143][3] = "600" IDLE_RUN_SEC[144][0] = "600" IDLE_RUN_SEC[144][1] = "600" IDLE_RUN_SEC[144][2] = "600" IDLE_RUN_SEC[144][3] = "600" IDLE_RUN_SEC[145][0] = "600" IDLE_RUN_SEC[145][1] = "600" IDLE_RUN_SEC[145][2] = "600" IDLE_RUN_SEC[145][3] = "600" IDLE_RUN_SEC[146][0] = "600" IDLE_RUN_SEC[146][1] = "600" IDLE_RUN_SEC[146][2] = "600" IDLE_RUN_SEC[146][3] = "600" IDLE_RUN_SEC[147][0] = "600" IDLE_RUN_SEC[147][1] = "600" IDLE_RUN_SEC[147][2] = "600" IDLE_RUN_SEC[147][3] = "600" IDLE_RUN_SEC[14][0] = "600" IDLE_RUN_SEC[14][1] = "600" IDLE_RUN_SEC[14][2] = "600" IDLE_RUN_SEC[14][3] = "600" IDLE_RUN_SEC[15][0] = "600" IDLE_RUN_SEC[15][1] = "600" IDLE_RUN_SEC[15][2] = "600" IDLE_RUN_SEC[15][3] = "600" IDLE_RUN_SEC[16][0] = "600" IDLE_RUN_SEC[16][1] = "600" IDLE_RUN_SEC[16][2] = "600" IDLE_RUN_SEC[16][3] = "600" IDLE_RUN_SEC[17][0] = "600" IDLE_RUN_SEC[17][1] = "600" IDLE_RUN_SEC[17][2] = "600" IDLE_RUN_SEC[17][3] = "600" IDLE_RUN_SEC[18][0] = "600" IDLE_RUN_SEC[18][1] = "600" IDLE_RUN_SEC[18][2] = "600" IDLE_RUN_SEC[18][3] = "600" IDLE_RUN_SEC[19][0] = "600" IDLE_RUN_SEC[19][1] = "600" IDLE_RUN_SEC[19][2] = "600" IDLE_RUN_SEC[19][3] = "600" IDLE_RUN_SEC[1][0] = "600" IDLE_RUN_SEC[1][1] = "600" IDLE_RUN_SEC[1][2] = "600" IDLE_RUN_SEC[1][3] = "600" IDLE_RUN_SEC[20][0] = "600" IDLE_RUN_SEC[20][1] = "600" IDLE_RUN_SEC[20][2] = "600" IDLE_RUN_SEC[20][3] = "600" IDLE_RUN_SEC[21][0] = "600" IDLE_RUN_SEC[21][1] = "600" IDLE_RUN_SEC[21][2] = "600" IDLE_RUN_SEC[21][3] = "600" IDLE_RUN_SEC[22][0] = "600" IDLE_RUN_SEC[22][1] = "600" IDLE_RUN_SEC[22][2] = "600" IDLE_RUN_SEC[22][3] = "600" IDLE_RUN_SEC[23][0] = "600" IDLE_RUN_SEC[23][1] = "600" IDLE_RUN_SEC[23][2] = "600" IDLE_RUN_SEC[23][3] = "600" IDLE_RUN_SEC[24][0] = "600" IDLE_RUN_SEC[24][1] = "600" IDLE_RUN_SEC[24][2] = "600" IDLE_RUN_SEC[24][3] = "600" IDLE_RUN_SEC[25][0] = "600" IDLE_RUN_SEC[25][1] = "600" IDLE_RUN_SEC[25][2] = "600" IDLE_RUN_SEC[25][3] = "600" IDLE_RUN_SEC[26][0] = "600" IDLE_RUN_SEC[26][1] = "600" IDLE_RUN_SEC[26][2] = "600" IDLE_RUN_SEC[26][3] = "600" IDLE_RUN_SEC[27][0] = "600" IDLE_RUN_SEC[27][1] = "600" IDLE_RUN_SEC[27][2] = "600" IDLE_RUN_SEC[27][3] = "600" IDLE_RUN_SEC[28][0] = "600" IDLE_RUN_SEC[28][1] = "600" IDLE_RUN_SEC[28][2] = "600" IDLE_RUN_SEC[28][3] = "600" IDLE_RUN_SEC[29][0] = "600" IDLE_RUN_SEC[29][1] = "600" IDLE_RUN_SEC[29][2] = "600" IDLE_RUN_SEC[29][3] = "600" IDLE_RUN_SEC[2][0] = "600" IDLE_RUN_SEC[2][1] = "600" IDLE_RUN_SEC[2][2] = "600" IDLE_RUN_SEC[2][3] = "600" IDLE_RUN_SEC[30][0] = "600" IDLE_RUN_SEC[30][1] = "600" IDLE_RUN_SEC[30][2] = "600" IDLE_RUN_SEC[30][3] = "600" IDLE_RUN_SEC[31][0] = "600" IDLE_RUN_SEC[31][1] = "600" IDLE_RUN_SEC[31][2] = "600" IDLE_RUN_SEC[31][3] = "600" IDLE_RUN_SEC[32][0] = "600" IDLE_RUN_SEC[32][1] = "600" IDLE_RUN_SEC[32][2] = "600" IDLE_RUN_SEC[32][3] = "600" IDLE_RUN_SEC[33][0] = "600" IDLE_RUN_SEC[33][1] = "600" IDLE_RUN_SEC[33][2] = "600" IDLE_RUN_SEC[33][3] = "600" IDLE_RUN_SEC[34][0] = "600" IDLE_RUN_SEC[34][1] = "600" IDLE_RUN_SEC[34][2] = "600" IDLE_RUN_SEC[34][3] = "600" IDLE_RUN_SEC[35][0] = "600" IDLE_RUN_SEC[35][1] = "600" IDLE_RUN_SEC[35][2] = "600" IDLE_RUN_SEC[35][3] = "600" IDLE_RUN_SEC[36][0] = "600" IDLE_RUN_SEC[36][1] = "600" IDLE_RUN_SEC[36][2] = "600" IDLE_RUN_SEC[36][3] = "600" IDLE_RUN_SEC[37][0] = "600" IDLE_RUN_SEC[37][1] = "600" IDLE_RUN_SEC[37][2] = "600" IDLE_RUN_SEC[37][3] = "600" IDLE_RUN_SEC[38][0] = "600" IDLE_RUN_SEC[38][1] = "600" IDLE_RUN_SEC[38][2] = "600" IDLE_RUN_SEC[38][3] = "600" IDLE_RUN_SEC[39][0] = "600" IDLE_RUN_SEC[39][1] = "600" IDLE_RUN_SEC[39][2] = "600" IDLE_RUN_SEC[39][3] = "600" IDLE_RUN_SEC[3][0] = "600" IDLE_RUN_SEC[3][1] = "600" IDLE_RUN_SEC[3][2] = "600" IDLE_RUN_SEC[3][3] = "600" IDLE_RUN_SEC[40][0] = "600" IDLE_RUN_SEC[40][1] = "600" IDLE_RUN_SEC[40][2] = "600" IDLE_RUN_SEC[40][3] = "600" IDLE_RUN_SEC[41][0] = "600" IDLE_RUN_SEC[41][1] = "600" IDLE_RUN_SEC[41][2] = "600" IDLE_RUN_SEC[41][3] = "600" IDLE_RUN_SEC[42][0] = "600" IDLE_RUN_SEC[42][1] = "600" IDLE_RUN_SEC[42][2] = "600" IDLE_RUN_SEC[42][3] = "600" IDLE_RUN_SEC[43][0] = "600" IDLE_RUN_SEC[43][1] = "600" IDLE_RUN_SEC[43][2] = "600" IDLE_RUN_SEC[43][3] = "600" IDLE_RUN_SEC[44][0] = "600" IDLE_RUN_SEC[44][1] = "600" IDLE_RUN_SEC[44][2] = "600" IDLE_RUN_SEC[44][3] = "600" IDLE_RUN_SEC[45][0] = "600" IDLE_RUN_SEC[45][1] = "600" IDLE_RUN_SEC[45][2] = "600" IDLE_RUN_SEC[45][3] = "600" IDLE_RUN_SEC[46][0] = "600" IDLE_RUN_SEC[46][1] = "600" IDLE_RUN_SEC[46][2] = "600" IDLE_RUN_SEC[46][3] = "600" IDLE_RUN_SEC[47][0] = "600" IDLE_RUN_SEC[47][1] = "600" IDLE_RUN_SEC[47][2] = "600" IDLE_RUN_SEC[47][3] = "600" IDLE_RUN_SEC[48][0] = "600" IDLE_RUN_SEC[48][1] = "600" IDLE_RUN_SEC[48][2] = "600" IDLE_RUN_SEC[48][3] = "600" IDLE_RUN_SEC[49][0] = "600" IDLE_RUN_SEC[49][1] = "600" IDLE_RUN_SEC[49][2] = "600" IDLE_RUN_SEC[49][3] = "600" IDLE_RUN_SEC[4][0] = "600" IDLE_RUN_SEC[4][1] = "600" IDLE_RUN_SEC[4][2] = "600" IDLE_RUN_SEC[4][3] = "600" IDLE_RUN_SEC[50][0] = "600" IDLE_RUN_SEC[50][1] = "600" IDLE_RUN_SEC[50][2] = "600" IDLE_RUN_SEC[50][3] = "600" IDLE_RUN_SEC[51][0] = "600" IDLE_RUN_SEC[51][1] = "600" IDLE_RUN_SEC[51][2] = "600" IDLE_RUN_SEC[51][3] = "600" IDLE_RUN_SEC[52][0] = "600" IDLE_RUN_SEC[52][1] = "600" IDLE_RUN_SEC[52][2] = "600" IDLE_RUN_SEC[52][3] = "600" IDLE_RUN_SEC[53][0] = "600" IDLE_RUN_SEC[53][1] = "600" IDLE_RUN_SEC[53][2] = "600" IDLE_RUN_SEC[53][3] = "600" IDLE_RUN_SEC[54][0] = "600" IDLE_RUN_SEC[54][1] = "600" IDLE_RUN_SEC[54][2] = "600" IDLE_RUN_SEC[54][3] = "600" IDLE_RUN_SEC[55][0] = "600" IDLE_RUN_SEC[55][1] = "600" IDLE_RUN_SEC[55][2] = "600" IDLE_RUN_SEC[55][3] = "600" IDLE_RUN_SEC[56][0] = "600" IDLE_RUN_SEC[56][1] = "600" IDLE_RUN_SEC[56][2] = "600" IDLE_RUN_SEC[56][3] = "600" IDLE_RUN_SEC[57][0] = "600" IDLE_RUN_SEC[57][1] = "600" IDLE_RUN_SEC[57][2] = "600" IDLE_RUN_SEC[57][3] = "600" IDLE_RUN_SEC[58][0] = "600" IDLE_RUN_SEC[58][1] = "600" IDLE_RUN_SEC[58][2] = "600" IDLE_RUN_SEC[58][3] = "600" IDLE_RUN_SEC[59][0] = "600" IDLE_RUN_SEC[59][1] = "600" IDLE_RUN_SEC[59][2] = "600" IDLE_RUN_SEC[59][3] = "600" IDLE_RUN_SEC[5][0] = "600" IDLE_RUN_SEC[5][1] = "600" IDLE_RUN_SEC[5][2] = "600" IDLE_RUN_SEC[5][3] = "600" IDLE_RUN_SEC[60][0] = "600" IDLE_RUN_SEC[60][1] = "600" IDLE_RUN_SEC[60][2] = "600" IDLE_RUN_SEC[60][3] = "600" IDLE_RUN_SEC[61][0] = "600" IDLE_RUN_SEC[61][1] = "600" IDLE_RUN_SEC[61][2] = "600" IDLE_RUN_SEC[61][3] = "600" IDLE_RUN_SEC[62][0] = "600" IDLE_RUN_SEC[62][1] = "600" IDLE_RUN_SEC[62][2] = "600" IDLE_RUN_SEC[62][3] = "600" IDLE_RUN_SEC[63][0] = "600" IDLE_RUN_SEC[63][1] = "600" IDLE_RUN_SEC[63][2] = "600" IDLE_RUN_SEC[63][3] = "600" IDLE_RUN_SEC[64][0] = "600" IDLE_RUN_SEC[64][1] = "600" IDLE_RUN_SEC[64][2] = "600" IDLE_RUN_SEC[64][3] = "600" IDLE_RUN_SEC[65][0] = "600" IDLE_RUN_SEC[65][1] = "600" IDLE_RUN_SEC[65][2] = "600" IDLE_RUN_SEC[65][3] = "600" IDLE_RUN_SEC[66][0] = "600" IDLE_RUN_SEC[66][1] = "600" IDLE_RUN_SEC[66][2] = "600" IDLE_RUN_SEC[66][3] = "600" IDLE_RUN_SEC[67][0] = "600" IDLE_RUN_SEC[67][1] = "600" IDLE_RUN_SEC[67][2] = "600" IDLE_RUN_SEC[67][3] = "600" IDLE_RUN_SEC[68][0] = "600" IDLE_RUN_SEC[68][1] = "600" IDLE_RUN_SEC[68][2] = "600" IDLE_RUN_SEC[68][3] = "600" IDLE_RUN_SEC[69][0] = "600" IDLE_RUN_SEC[69][1] = "600" IDLE_RUN_SEC[69][2] = "600" IDLE_RUN_SEC[69][3] = "600" IDLE_RUN_SEC[6][0] = "600" IDLE_RUN_SEC[6][1] = "600" IDLE_RUN_SEC[6][2] = "600" IDLE_RUN_SEC[6][3] = "600" IDLE_RUN_SEC[70][0] = "600" IDLE_RUN_SEC[70][1] = "600" IDLE_RUN_SEC[70][2] = "600" IDLE_RUN_SEC[70][3] = "600" IDLE_RUN_SEC[71][0] = "600" IDLE_RUN_SEC[71][1] = "600" IDLE_RUN_SEC[71][2] = "600" IDLE_RUN_SEC[71][3] = "600" IDLE_RUN_SEC[72][0] = "600" IDLE_RUN_SEC[72][1] = "600" IDLE_RUN_SEC[72][2] = "600" IDLE_RUN_SEC[72][3] = "600" IDLE_RUN_SEC[73][0] = "600" IDLE_RUN_SEC[73][1] = "600" IDLE_RUN_SEC[73][2] = "600" IDLE_RUN_SEC[73][3] = "600" IDLE_RUN_SEC[74][0] = "600" IDLE_RUN_SEC[74][1] = "600" IDLE_RUN_SEC[74][2] = "600" IDLE_RUN_SEC[74][3] = "600" IDLE_RUN_SEC[75][0] = "600" IDLE_RUN_SEC[75][1] = "600" IDLE_RUN_SEC[75][2] = "600" IDLE_RUN_SEC[75][3] = "600" IDLE_RUN_SEC[76][0] = "600" IDLE_RUN_SEC[76][1] = "600" IDLE_RUN_SEC[76][2] = "600" IDLE_RUN_SEC[76][3] = "600" IDLE_RUN_SEC[77][0] = "600" IDLE_RUN_SEC[77][1] = "600" IDLE_RUN_SEC[77][2] = "600" IDLE_RUN_SEC[77][3] = "600" IDLE_RUN_SEC[78][0] = "600" IDLE_RUN_SEC[78][1] = "600" IDLE_RUN_SEC[78][2] = "600" IDLE_RUN_SEC[78][3] = "600" IDLE_RUN_SEC[79][0] = "600" IDLE_RUN_SEC[79][1] = "600" IDLE_RUN_SEC[79][2] = "600" IDLE_RUN_SEC[79][3] = "600" IDLE_RUN_SEC[7][0] = "600" IDLE_RUN_SEC[7][1] = "600" IDLE_RUN_SEC[7][2] = "600" IDLE_RUN_SEC[7][3] = "600" IDLE_RUN_SEC[80][0] = "600" IDLE_RUN_SEC[80][1] = "600" IDLE_RUN_SEC[80][2] = "600" IDLE_RUN_SEC[80][3] = "600" IDLE_RUN_SEC[81][0] = "600" IDLE_RUN_SEC[81][1] = "600" IDLE_RUN_SEC[81][2] = "600" IDLE_RUN_SEC[81][3] = "600" IDLE_RUN_SEC[82][0] = "600" IDLE_RUN_SEC[82][1] = "600" IDLE_RUN_SEC[82][2] = "600" IDLE_RUN_SEC[82][3] = "600" IDLE_RUN_SEC[83][0] = "600" IDLE_RUN_SEC[83][1] = "600" IDLE_RUN_SEC[83][2] = "600" IDLE_RUN_SEC[83][3] = "600" IDLE_RUN_SEC[84][0] = "600" IDLE_RUN_SEC[84][1] = "600" IDLE_RUN_SEC[84][2] = "600" IDLE_RUN_SEC[84][3] = "600" IDLE_RUN_SEC[85][0] = "600" IDLE_RUN_SEC[85][1] = "600" IDLE_RUN_SEC[85][2] = "600" IDLE_RUN_SEC[85][3] = "600" IDLE_RUN_SEC[86][0] = "600" IDLE_RUN_SEC[86][1] = "600" IDLE_RUN_SEC[86][2] = "600" IDLE_RUN_SEC[86][3] = "600" IDLE_RUN_SEC[87][0] = "600" IDLE_RUN_SEC[87][1] = "600" IDLE_RUN_SEC[87][2] = "600" IDLE_RUN_SEC[87][3] = "600" IDLE_RUN_SEC[88][0] = "600" IDLE_RUN_SEC[88][1] = "600" IDLE_RUN_SEC[88][2] = "600" IDLE_RUN_SEC[88][3] = "600" IDLE_RUN_SEC[89][0] = "600" IDLE_RUN_SEC[89][1] = "600" IDLE_RUN_SEC[89][2] = "600" IDLE_RUN_SEC[89][3] = "600" IDLE_RUN_SEC[8][0] = "600" IDLE_RUN_SEC[8][1] = "600" IDLE_RUN_SEC[8][2] = "600" IDLE_RUN_SEC[8][3] = "600" IDLE_RUN_SEC[90][0] = "600" IDLE_RUN_SEC[90][1] = "600" IDLE_RUN_SEC[90][2] = "600" IDLE_RUN_SEC[90][3] = "600" IDLE_RUN_SEC[91][0] = "600" IDLE_RUN_SEC[91][1] = "600" IDLE_RUN_SEC[91][2] = "600" IDLE_RUN_SEC[91][3] = "600" IDLE_RUN_SEC[92][0] = "600" IDLE_RUN_SEC[92][1] = "600" IDLE_RUN_SEC[92][2] = "600" IDLE_RUN_SEC[92][3] = "600" IDLE_RUN_SEC[93][0] = "600" IDLE_RUN_SEC[93][1] = "600" IDLE_RUN_SEC[93][2] = "600" IDLE_RUN_SEC[93][3] = "600" IDLE_RUN_SEC[94][0] = "600" IDLE_RUN_SEC[94][1] = "600" IDLE_RUN_SEC[94][2] = "600" IDLE_RUN_SEC[94][3] = "600" IDLE_RUN_SEC[95][0] = "600" IDLE_RUN_SEC[95][1] = "600" IDLE_RUN_SEC[95][2] = "600" IDLE_RUN_SEC[95][3] = "600" IDLE_RUN_SEC[96][0] = "600" IDLE_RUN_SEC[96][1] = "600" IDLE_RUN_SEC[96][2] = "600" IDLE_RUN_SEC[96][3] = "600" IDLE_RUN_SEC[97][0] = "600" IDLE_RUN_SEC[97][1] = "600" IDLE_RUN_SEC[97][2] = "600" IDLE_RUN_SEC[97][3] = "600" IDLE_RUN_SEC[98][0] = "600" IDLE_RUN_SEC[98][1] = "600" IDLE_RUN_SEC[98][2] = "600" IDLE_RUN_SEC[98][3] = "600" IDLE_RUN_SEC[99][0] = "600" IDLE_RUN_SEC[99][1] = "600" IDLE_RUN_SEC[99][2] = "600" IDLE_RUN_SEC[99][3] = "600" IDLE_RUN_SEC[9][0] = "600" IDLE_RUN_SEC[9][1] = "600" IDLE_RUN_SEC[9][2] = "600" IDLE_RUN_SEC[9][3] = "600" IDLE_START_DELAY = "0" IDLE_WARMUP_SEC = "10" IGNORE_CLOCK_SKEW = "1" IGNORE_POLL_ERROR = "0" INFRA.DATASTORE_MB = "46080" INFRA.JVM_VERSION[0] = "OpenJDK Runtime Environment (1.8.0_201-b09)" INFRA.MEMORY_MB = "2048" INFRA.NUM_VCPUS = "2" INFRA.NUM_VNICS = "1" INFRA.SCRIPT_AVAIL[0] = "Jun-1999" INFRA.SCRIPT_NAME[0] = "fcgi-2.3.9" INFRA.SCRIPT_VENDOR[0] = "Fedora Project, release 14 (fedoraproject.org)" INFRA.SERVER_AVAIL[0] = "May-2019" INFRA.SERVER_NAME[0] = "Apache httpd 2.4.37" INFRA.SERVER_VENDOR[0] = "Red Hat" INFRA.STORAGE_DESCR[0] = "2 x virtio_blk" INFRA.SW_OTHER[0] = "N/A" INFRA.VCPU_MHZ[0] = "1900" INFRA.VDISK_MB = "15360" INFRA.VM_OS = "Red Hat Enterprise Linux release 8.0 (Ootpa)" INFRA.VM_OS_AVAIL = "May-2019" INFRA.VNIC_DESCR[0] = "1 x vfio_pci" INTERVALS = "1" INTERVAL_POLL_VALUES = "0" LICENSE.NUM = "9066" LOAD_SCALE_FACTOR-0 = "1.0" LOAD_SCALE_FACTOR-1 = "1.0" LOAD_SCALE_FACTOR-10 = "1.0" LOAD_SCALE_FACTOR-100 = "1.0" LOAD_SCALE_FACTOR-101 = "1.0" LOAD_SCALE_FACTOR-102 = "1.0" LOAD_SCALE_FACTOR-103 = "1.0" LOAD_SCALE_FACTOR-104 = "1.0" LOAD_SCALE_FACTOR-105 = "1.0" LOAD_SCALE_FACTOR-106 = "1.0" LOAD_SCALE_FACTOR-107 = "1.0" LOAD_SCALE_FACTOR-108 = "1.0" LOAD_SCALE_FACTOR-109 = "1.0" LOAD_SCALE_FACTOR-11 = "1.0" LOAD_SCALE_FACTOR-110 = "1.0" LOAD_SCALE_FACTOR-111 = "1.0" LOAD_SCALE_FACTOR-112 = "1.0" LOAD_SCALE_FACTOR-113 = "1.0" LOAD_SCALE_FACTOR-114 = "1.0" LOAD_SCALE_FACTOR-115 = "1.0" LOAD_SCALE_FACTOR-116 = "1.0" LOAD_SCALE_FACTOR-117 = "1.0" LOAD_SCALE_FACTOR-118 = "1.0" LOAD_SCALE_FACTOR-119 = "1.0" LOAD_SCALE_FACTOR-12 = "1.0" LOAD_SCALE_FACTOR-120 = "1.0" LOAD_SCALE_FACTOR-121 = "1.0" LOAD_SCALE_FACTOR-122 = "1.0" LOAD_SCALE_FACTOR-123 = "1.0" LOAD_SCALE_FACTOR-124 = "1.0" LOAD_SCALE_FACTOR-125 = "1.0" LOAD_SCALE_FACTOR-126 = "1.0" LOAD_SCALE_FACTOR-127 = "1.0" LOAD_SCALE_FACTOR-128 = "1.0" LOAD_SCALE_FACTOR-129 = "1.0" LOAD_SCALE_FACTOR-13 = "1.0" LOAD_SCALE_FACTOR-130 = "1.0" LOAD_SCALE_FACTOR-131 = "1.0" LOAD_SCALE_FACTOR-132 = "1.0" LOAD_SCALE_FACTOR-133 = "1.0" LOAD_SCALE_FACTOR-134 = "1.0" LOAD_SCALE_FACTOR-135 = "1.0" LOAD_SCALE_FACTOR-136 = "1.0" LOAD_SCALE_FACTOR-137 = "1.0" LOAD_SCALE_FACTOR-138 = "1.0" LOAD_SCALE_FACTOR-139 = "1.0" LOAD_SCALE_FACTOR-14 = "1.0" LOAD_SCALE_FACTOR-140 = "1.0" LOAD_SCALE_FACTOR-141 = "1.0" LOAD_SCALE_FACTOR-142 = "1.0" LOAD_SCALE_FACTOR-143 = "1.0" LOAD_SCALE_FACTOR-144 = "1.0" LOAD_SCALE_FACTOR-145 = "1.0" LOAD_SCALE_FACTOR-146 = "1.0" LOAD_SCALE_FACTOR-147 = "1.0" LOAD_SCALE_FACTOR-15 = "1.0" LOAD_SCALE_FACTOR-16 = "1.0" LOAD_SCALE_FACTOR-17 = "1.0" LOAD_SCALE_FACTOR-18 = "1.0" LOAD_SCALE_FACTOR-19 = "1.0" LOAD_SCALE_FACTOR-2 = "1.0" LOAD_SCALE_FACTOR-20 = "1.0" LOAD_SCALE_FACTOR-21 = "1.0" LOAD_SCALE_FACTOR-22 = "1.0" LOAD_SCALE_FACTOR-23 = "1.0" LOAD_SCALE_FACTOR-24 = "1.0" LOAD_SCALE_FACTOR-25 = "1.0" LOAD_SCALE_FACTOR-26 = "1.0" LOAD_SCALE_FACTOR-27 = "1.0" LOAD_SCALE_FACTOR-28 = "1.0" LOAD_SCALE_FACTOR-29 = "1.0" LOAD_SCALE_FACTOR-3 = "1.0" LOAD_SCALE_FACTOR-30 = "1.0" LOAD_SCALE_FACTOR-31 = "1.0" LOAD_SCALE_FACTOR-32 = "1.0" LOAD_SCALE_FACTOR-33 = "1.0" LOAD_SCALE_FACTOR-34 = "1.0" LOAD_SCALE_FACTOR-35 = "1.0" LOAD_SCALE_FACTOR-36 = "1.0" LOAD_SCALE_FACTOR-37 = "1.0" LOAD_SCALE_FACTOR-38 = "1.0" LOAD_SCALE_FACTOR-39 = "1.0" LOAD_SCALE_FACTOR-4 = "1.0" LOAD_SCALE_FACTOR-40 = "1.0" LOAD_SCALE_FACTOR-41 = "1.0" LOAD_SCALE_FACTOR-42 = "1.0" LOAD_SCALE_FACTOR-43 = "1.0" LOAD_SCALE_FACTOR-44 = "1.0" LOAD_SCALE_FACTOR-45 = "1.0" LOAD_SCALE_FACTOR-46 = "1.0" LOAD_SCALE_FACTOR-47 = "1.0" LOAD_SCALE_FACTOR-48 = "1.0" LOAD_SCALE_FACTOR-49 = "1.0" LOAD_SCALE_FACTOR-5 = "1.0" LOAD_SCALE_FACTOR-50 = "1.0" LOAD_SCALE_FACTOR-51 = "1.0" LOAD_SCALE_FACTOR-52 = "1.0" LOAD_SCALE_FACTOR-53 = "1.0" LOAD_SCALE_FACTOR-54 = "1.0" LOAD_SCALE_FACTOR-55 = "1.0" LOAD_SCALE_FACTOR-56 = "1.0" LOAD_SCALE_FACTOR-57 = "1.0" LOAD_SCALE_FACTOR-58 = "1.0" LOAD_SCALE_FACTOR-59 = "1.0" LOAD_SCALE_FACTOR-6 = "1.0" LOAD_SCALE_FACTOR-60 = "1.0" LOAD_SCALE_FACTOR-61 = "1.0" LOAD_SCALE_FACTOR-62 = "1.0" LOAD_SCALE_FACTOR-63 = "1.0" LOAD_SCALE_FACTOR-64 = "1.0" LOAD_SCALE_FACTOR-65 = "1.0" LOAD_SCALE_FACTOR-66 = "1.0" LOAD_SCALE_FACTOR-67 = "1.0" LOAD_SCALE_FACTOR-68 = "1.0" LOAD_SCALE_FACTOR-69 = "1.0" LOAD_SCALE_FACTOR-7 = "1.0" LOAD_SCALE_FACTOR-70 = "1.0" LOAD_SCALE_FACTOR-71 = "1.0" LOAD_SCALE_FACTOR-72 = "1.0" LOAD_SCALE_FACTOR-73 = "1.0" LOAD_SCALE_FACTOR-74 = "1.0" LOAD_SCALE_FACTOR-75 = "1.0" LOAD_SCALE_FACTOR-76 = "1.0" LOAD_SCALE_FACTOR-77 = "1.0" LOAD_SCALE_FACTOR-78 = "1.0" LOAD_SCALE_FACTOR-79 = "1.0" LOAD_SCALE_FACTOR-8 = "1.0" LOAD_SCALE_FACTOR-80 = "1.0" LOAD_SCALE_FACTOR-81 = "1.0" LOAD_SCALE_FACTOR-82 = "1.0" LOAD_SCALE_FACTOR-83 = "1.0" LOAD_SCALE_FACTOR-84 = "1.0" LOAD_SCALE_FACTOR-85 = "1.0" LOAD_SCALE_FACTOR-86 = "1.0" LOAD_SCALE_FACTOR-87 = "1.0" LOAD_SCALE_FACTOR-88 = "1.0" LOAD_SCALE_FACTOR-89 = "1.0" LOAD_SCALE_FACTOR-9 = "1.0" LOAD_SCALE_FACTOR-90 = "1.0" LOAD_SCALE_FACTOR-91 = "1.0" LOAD_SCALE_FACTOR-92 = "1.0" LOAD_SCALE_FACTOR-93 = "1.0" LOAD_SCALE_FACTOR-94 = "1.0" LOAD_SCALE_FACTOR-95 = "1.0" LOAD_SCALE_FACTOR-96 = "1.0" LOAD_SCALE_FACTOR-97 = "1.0" LOAD_SCALE_FACTOR-98 = "1.0" LOAD_SCALE_FACTOR-99 = "1.0" LOAD_SCALE_FACTORS = "1.0" LOCAL_CONFIG_DIR[0] = "/opt/SPECjAppServer2004/config" LOCAL_CONFIG_DIR[1] = "/opt/SPECweb2005" LOCAL_CONFIG_DIR[2] = "/opt/SPECimap" LOCAL_CONFIG_DIR[3] = "/opt/SPECbatch" MAIL.DATASTORE_MB = "30720" MAIL.JVM_VERSION[0] = "OpenJDK Runtime Environment (1.8.0_201-b09)" MAIL.MEMORY_MB = "1024" MAIL.NUM_VCPUS = "2" MAIL.NUM_VNICS = "1" MAIL.SERVER_AVAIL[0] = "May-2019" MAIL.SERVER_NAME[0] = "Dovecot 2.2.36-5" MAIL.SERVER_VENDOR[0] = "Red Hat" MAIL.STORAGE_DESCR[0] = "2 x virtio_blk" MAIL.SW_OTHER[0] = "N/A" MAIL.VCPU_MHZ[0] = "1900" MAIL.VDISK_MB = "15360" MAIL.VM_OS = "Red Hat Enterprise Linux release 8.0 (Ootpa)" MAIL.VM_OS_AVAIL = "May-2019" MAIL.VNIC_DESCR[0] = "1 x vfio_pci" MAX_POLL_DELAY_MSEC = "2000" MAX_TILES = "148" NONCOMPLIANT_REASONS = "0" NOTES[0] = "An external 25Gb H3C S6850-56HF switch was used to connect the client systems to the system under test (SUT)." NOTES[1] = "The SUT used the eight dual-port 25Gb PCIe NICs for communication with the VMs." NOTES[2] = "The SUT NICs and the external client systems were connected to the switch using 25Gb SFP28 DAC cables." NUM_TILES = "148" NUM_WORKLOADS = "4" OTHER.AVAIL[0] = "N/A" POLLING_RMI_PORT = "8001" POLL_CLIENT_APP = "-jar specpollclient.jar" POLL_CLIENT_PATH = "/opt/SPECpoll" POLL_CONFIG_FILE = "Test.config" POLL_DELAY_SEC = "0" POLL_INTERVAL_SEC = "7200" POLL_LOCAL_CFG_DIR = "/opt/SPECpoll" POLL_MASTERS = "1" POLL_PRIME_APP = "-jar specpoll.jar" POLL_PRIME_CFG_DIR = "/opt/SPECpoll" POLL_PRIME_PATH = "/opt/SPECpoll" POLL_RES_FILE_NAMES = "SPECpoll.raw" POWER.NOTES[0] = "Add power configuration-related notes here..." POWER.NOTES[1] = "As many as you need..." POWER_POLL_VAL = "Watts" PREPARED_BY = "New H3C Technologies Co., Ltd" PRIME_APP[0] = "-jar specjapp.jar" PRIME_APP[1] = "-jar specweb.jar" PRIME_APP[2] = "-jar specimap.jar -calibrate" PRIME_APP[3] = "-jar specbatch.jar" PRIME_CONFIG_DIR[0] = "/opt/SPECjAppServer2004/config" PRIME_CONFIG_DIR[1] = "/opt/SPECweb2005" PRIME_CONFIG_DIR[2] = "/opt/SPECimap" PRIME_CONFIG_DIR[3] = "/opt/SPECbatch" PRIME_CONFIG_FILE[0] = "run.properties,default.env" PRIME_CONFIG_FILE[1] = "Test.config,Testbed.config,SPECweb_Support.config" PRIME_CONFIG_FILE[2] = "IMAP_config.rc,IMAP_fixed.rc,IMAP_sysinfo.rc" PRIME_CONFIG_FILE[3] = "Test.config" PRIME_HOST[0][0] = "client1:1098" PRIME_HOST[0][1] = "client1:1096" PRIME_HOST[0][2] = "client1:1094" PRIME_HOST[0][3] = "client1:1092" PRIME_HOST[100][0] = "client101:1098" PRIME_HOST[100][1] = "client101:1096" PRIME_HOST[100][2] = "client101:1094" PRIME_HOST[100][3] = "client101:1092" PRIME_HOST[101][0] = "client102:1098" PRIME_HOST[101][1] = "client102:1096" PRIME_HOST[101][2] = "client102:1094" PRIME_HOST[101][3] = "client102:1092" PRIME_HOST[102][0] = "client103:1098" PRIME_HOST[102][1] = "client103:1096" PRIME_HOST[102][2] = "client103:1094" PRIME_HOST[102][3] = "client103:1092" PRIME_HOST[103][0] = "client104:1098" PRIME_HOST[103][1] = "client104:1096" PRIME_HOST[103][2] = "client104:1094" PRIME_HOST[103][3] = "client104:1092" PRIME_HOST[104][0] = "client105:1098" PRIME_HOST[104][1] = "client105:1096" PRIME_HOST[104][2] = "client105:1094" PRIME_HOST[104][3] = "client105:1092" PRIME_HOST[105][0] = "client106:1098" PRIME_HOST[105][1] = "client106:1096" PRIME_HOST[105][2] = "client106:1094" PRIME_HOST[105][3] = "client106:1092" PRIME_HOST[106][0] = "client107:1098" PRIME_HOST[106][1] = "client107:1096" PRIME_HOST[106][2] = "client107:1094" PRIME_HOST[106][3] = "client107:1092" PRIME_HOST[107][0] = "client108:1098" PRIME_HOST[107][1] = "client108:1096" PRIME_HOST[107][2] = "client108:1094" PRIME_HOST[107][3] = "client108:1092" PRIME_HOST[108][0] = "client109:1098" PRIME_HOST[108][1] = "client109:1096" PRIME_HOST[108][2] = "client109:1094" PRIME_HOST[108][3] = "client109:1092" PRIME_HOST[109][0] = "client110:1098" PRIME_HOST[109][1] = "client110:1096" PRIME_HOST[109][2] = "client110:1094" PRIME_HOST[109][3] = "client110:1092" PRIME_HOST[10][0] = "client11:1098" PRIME_HOST[10][1] = "client11:1096" PRIME_HOST[10][2] = "client11:1094" PRIME_HOST[10][3] = "client11:1092" PRIME_HOST[110][0] = "client111:1098" PRIME_HOST[110][1] = "client111:1096" PRIME_HOST[110][2] = "client111:1094" PRIME_HOST[110][3] = "client111:1092" PRIME_HOST[111][0] = "client112:1098" PRIME_HOST[111][1] = "client112:1096" PRIME_HOST[111][2] = "client112:1094" PRIME_HOST[111][3] = "client112:1092" PRIME_HOST[112][0] = "client113:1098" PRIME_HOST[112][1] = "client113:1096" PRIME_HOST[112][2] = "client113:1094" PRIME_HOST[112][3] = "client113:1092" PRIME_HOST[113][0] = "client114:1098" PRIME_HOST[113][1] = "client114:1096" PRIME_HOST[113][2] = "client114:1094" PRIME_HOST[113][3] = "client114:1092" PRIME_HOST[114][0] = "client115:1098" PRIME_HOST[114][1] = "client115:1096" PRIME_HOST[114][2] = "client115:1094" PRIME_HOST[114][3] = "client115:1092" PRIME_HOST[115][0] = "client116:1098" PRIME_HOST[115][1] = "client116:1096" PRIME_HOST[115][2] = "client116:1094" PRIME_HOST[115][3] = "client116:1092" PRIME_HOST[116][0] = "client117:1098" PRIME_HOST[116][1] = "client117:1096" PRIME_HOST[116][2] = "client117:1094" PRIME_HOST[116][3] = "client117:1092" PRIME_HOST[117][0] = "client118:1098" PRIME_HOST[117][1] = "client118:1096" PRIME_HOST[117][2] = "client118:1094" PRIME_HOST[117][3] = "client118:1092" PRIME_HOST[118][0] = "client119:1098" PRIME_HOST[118][1] = "client119:1096" PRIME_HOST[118][2] = "client119:1094" PRIME_HOST[118][3] = "client119:1092" PRIME_HOST[119][0] = "client120:1098" PRIME_HOST[119][1] = "client120:1096" PRIME_HOST[119][2] = "client120:1094" PRIME_HOST[119][3] = "client120:1092" PRIME_HOST[11][0] = "client12:1098" PRIME_HOST[11][1] = "client12:1096" PRIME_HOST[11][2] = "client12:1094" PRIME_HOST[11][3] = "client12:1092" PRIME_HOST[120][0] = "client121:1098" PRIME_HOST[120][1] = "client121:1096" PRIME_HOST[120][2] = "client121:1094" PRIME_HOST[120][3] = "client121:1092" PRIME_HOST[121][0] = "client122:1098" PRIME_HOST[121][1] = "client122:1096" PRIME_HOST[121][2] = "client122:1094" PRIME_HOST[121][3] = "client122:1092" PRIME_HOST[122][0] = "client123:1098" PRIME_HOST[122][1] = "client123:1096" PRIME_HOST[122][2] = "client123:1094" PRIME_HOST[122][3] = "client123:1092" PRIME_HOST[123][0] = "client124:1098" PRIME_HOST[123][1] = "client124:1096" PRIME_HOST[123][2] = "client124:1094" PRIME_HOST[123][3] = "client124:1092" PRIME_HOST[124][0] = "client125:1098" PRIME_HOST[124][1] = "client125:1096" PRIME_HOST[124][2] = "client125:1094" PRIME_HOST[124][3] = "client125:1092" PRIME_HOST[125][0] = "client126:1098" PRIME_HOST[125][1] = "client126:1096" PRIME_HOST[125][2] = "client126:1094" PRIME_HOST[125][3] = "client126:1092" PRIME_HOST[126][0] = "client127:1098" PRIME_HOST[126][1] = "client127:1096" PRIME_HOST[126][2] = "client127:1094" PRIME_HOST[126][3] = "client127:1092" PRIME_HOST[127][0] = "client128:1098" PRIME_HOST[127][1] = "client128:1096" PRIME_HOST[127][2] = "client128:1094" PRIME_HOST[127][3] = "client128:1092" PRIME_HOST[128][0] = "client129:1098" PRIME_HOST[128][1] = "client129:1096" PRIME_HOST[128][2] = "client129:1094" PRIME_HOST[128][3] = "client129:1092" PRIME_HOST[129][0] = "client130:1098" PRIME_HOST[129][1] = "client130:1096" PRIME_HOST[129][2] = "client130:1094" PRIME_HOST[129][3] = "client130:1092" PRIME_HOST[12][0] = "client13:1098" PRIME_HOST[12][1] = "client13:1096" PRIME_HOST[12][2] = "client13:1094" PRIME_HOST[12][3] = "client13:1092" PRIME_HOST[130][0] = "client131:1098" PRIME_HOST[130][1] = "client131:1096" PRIME_HOST[130][2] = "client131:1094" PRIME_HOST[130][3] = "client131:1092" PRIME_HOST[131][0] = "client132:1098" PRIME_HOST[131][1] = "client132:1096" PRIME_HOST[131][2] = "client132:1094" PRIME_HOST[131][3] = "client132:1092" PRIME_HOST[132][0] = "client133:1098" PRIME_HOST[132][1] = "client133:1096" PRIME_HOST[132][2] = "client133:1094" PRIME_HOST[132][3] = "client133:1092" PRIME_HOST[133][0] = "client134:1098" PRIME_HOST[133][1] = "client134:1096" PRIME_HOST[133][2] = "client134:1094" PRIME_HOST[133][3] = "client134:1092" PRIME_HOST[134][0] = "client135:1098" PRIME_HOST[134][1] = "client135:1096" PRIME_HOST[134][2] = "client135:1094" PRIME_HOST[134][3] = "client135:1092" PRIME_HOST[135][0] = "client136:1098" PRIME_HOST[135][1] = "client136:1096" PRIME_HOST[135][2] = "client136:1094" PRIME_HOST[135][3] = "client136:1092" PRIME_HOST[136][0] = "client137:1098" PRIME_HOST[136][1] = "client137:1096" PRIME_HOST[136][2] = "client137:1094" PRIME_HOST[136][3] = "client137:1092" PRIME_HOST[137][0] = "client138:1098" PRIME_HOST[137][1] = "client138:1096" PRIME_HOST[137][2] = "client138:1094" PRIME_HOST[137][3] = "client138:1092" PRIME_HOST[138][0] = "client139:1098" PRIME_HOST[138][1] = "client139:1096" PRIME_HOST[138][2] = "client139:1094" PRIME_HOST[138][3] = "client139:1092" PRIME_HOST[139][0] = "client140:1098" PRIME_HOST[139][1] = "client140:1096" PRIME_HOST[139][2] = "client140:1094" PRIME_HOST[139][3] = "client140:1092" PRIME_HOST[13][0] = "client14:1098" PRIME_HOST[13][1] = "client14:1096" PRIME_HOST[13][2] = "client14:1094" PRIME_HOST[13][3] = "client14:1092" PRIME_HOST[140][0] = "client141:1098" PRIME_HOST[140][1] = "client141:1096" PRIME_HOST[140][2] = "client141:1094" PRIME_HOST[140][3] = "client141:1092" PRIME_HOST[141][0] = "client142:1098" PRIME_HOST[141][1] = "client142:1096" PRIME_HOST[141][2] = "client142:1094" PRIME_HOST[141][3] = "client142:1092" PRIME_HOST[142][0] = "client143:1098" PRIME_HOST[142][1] = "client143:1096" PRIME_HOST[142][2] = "client143:1094" PRIME_HOST[142][3] = "client143:1092" PRIME_HOST[143][0] = "client144:1098" PRIME_HOST[143][1] = "client144:1096" PRIME_HOST[143][2] = "client144:1094" PRIME_HOST[143][3] = "client144:1092" PRIME_HOST[144][0] = "client145:1098" PRIME_HOST[144][1] = "client145:1096" PRIME_HOST[144][2] = "client145:1094" PRIME_HOST[144][3] = "client145:1092" PRIME_HOST[145][0] = "client146:1098" PRIME_HOST[145][1] = "client146:1096" PRIME_HOST[145][2] = "client146:1094" PRIME_HOST[145][3] = "client146:1092" PRIME_HOST[146][0] = "client147:1098" PRIME_HOST[146][1] = "client147:1096" PRIME_HOST[146][2] = "client147:1094" PRIME_HOST[146][3] = "client147:1092" PRIME_HOST[147][0] = "client148:1098" PRIME_HOST[147][1] = "client148:1096" PRIME_HOST[147][2] = "client148:1094" PRIME_HOST[147][3] = "client148:1092" PRIME_HOST[14][0] = "client15:1098" PRIME_HOST[14][1] = "client15:1096" PRIME_HOST[14][2] = "client15:1094" PRIME_HOST[14][3] = "client15:1092" PRIME_HOST[15][0] = "client16:1098" PRIME_HOST[15][1] = "client16:1096" PRIME_HOST[15][2] = "client16:1094" PRIME_HOST[15][3] = "client16:1092" PRIME_HOST[16][0] = "client17:1098" PRIME_HOST[16][1] = "client17:1096" PRIME_HOST[16][2] = "client17:1094" PRIME_HOST[16][3] = "client17:1092" PRIME_HOST[17][0] = "client18:1098" PRIME_HOST[17][1] = "client18:1096" PRIME_HOST[17][2] = "client18:1094" PRIME_HOST[17][3] = "client18:1092" PRIME_HOST[18][0] = "client19:1098" PRIME_HOST[18][1] = "client19:1096" PRIME_HOST[18][2] = "client19:1094" PRIME_HOST[18][3] = "client19:1092" PRIME_HOST[19][0] = "client20:1098" PRIME_HOST[19][1] = "client20:1096" PRIME_HOST[19][2] = "client20:1094" PRIME_HOST[19][3] = "client20:1092" PRIME_HOST[1][0] = "client2:1098" PRIME_HOST[1][1] = "client2:1096" PRIME_HOST[1][2] = "client2:1094" PRIME_HOST[1][3] = "client2:1092" PRIME_HOST[20][0] = "client21:1098" PRIME_HOST[20][1] = "client21:1096" PRIME_HOST[20][2] = "client21:1094" PRIME_HOST[20][3] = "client21:1092" PRIME_HOST[21][0] = "client22:1098" PRIME_HOST[21][1] = "client22:1096" PRIME_HOST[21][2] = "client22:1094" PRIME_HOST[21][3] = "client22:1092" PRIME_HOST[22][0] = "client23:1098" PRIME_HOST[22][1] = "client23:1096" PRIME_HOST[22][2] = "client23:1094" PRIME_HOST[22][3] = "client23:1092" PRIME_HOST[23][0] = "client24:1098" PRIME_HOST[23][1] = "client24:1096" PRIME_HOST[23][2] = "client24:1094" PRIME_HOST[23][3] = "client24:1092" PRIME_HOST[24][0] = "client25:1098" PRIME_HOST[24][1] = "client25:1096" PRIME_HOST[24][2] = "client25:1094" PRIME_HOST[24][3] = "client25:1092" PRIME_HOST[25][0] = "client26:1098" PRIME_HOST[25][1] = "client26:1096" PRIME_HOST[25][2] = "client26:1094" PRIME_HOST[25][3] = "client26:1092" PRIME_HOST[26][0] = "client27:1098" PRIME_HOST[26][1] = "client27:1096" PRIME_HOST[26][2] = "client27:1094" PRIME_HOST[26][3] = "client27:1092" PRIME_HOST[27][0] = "client28:1098" PRIME_HOST[27][1] = "client28:1096" PRIME_HOST[27][2] = "client28:1094" PRIME_HOST[27][3] = "client28:1092" PRIME_HOST[28][0] = "client29:1098" PRIME_HOST[28][1] = "client29:1096" PRIME_HOST[28][2] = "client29:1094" PRIME_HOST[28][3] = "client29:1092" PRIME_HOST[29][0] = "client30:1098" PRIME_HOST[29][1] = "client30:1096" PRIME_HOST[29][2] = "client30:1094" PRIME_HOST[29][3] = "client30:1092" PRIME_HOST[2][0] = "client3:1098" PRIME_HOST[2][1] = "client3:1096" PRIME_HOST[2][2] = "client3:1094" PRIME_HOST[2][3] = "client3:1092" PRIME_HOST[30][0] = "client31:1098" PRIME_HOST[30][1] = "client31:1096" PRIME_HOST[30][2] = "client31:1094" PRIME_HOST[30][3] = "client31:1092" PRIME_HOST[31][0] = "client32:1098" PRIME_HOST[31][1] = "client32:1096" PRIME_HOST[31][2] = "client32:1094" PRIME_HOST[31][3] = "client32:1092" PRIME_HOST[32][0] = "client33:1098" PRIME_HOST[32][1] = "client33:1096" PRIME_HOST[32][2] = "client33:1094" PRIME_HOST[32][3] = "client33:1092" PRIME_HOST[33][0] = "client34:1098" PRIME_HOST[33][1] = "client34:1096" PRIME_HOST[33][2] = "client34:1094" PRIME_HOST[33][3] = "client34:1092" PRIME_HOST[34][0] = "client35:1098" PRIME_HOST[34][1] = "client35:1096" PRIME_HOST[34][2] = "client35:1094" PRIME_HOST[34][3] = "client35:1092" PRIME_HOST[35][0] = "client36:1098" PRIME_HOST[35][1] = "client36:1096" PRIME_HOST[35][2] = "client36:1094" PRIME_HOST[35][3] = "client36:1092" PRIME_HOST[36][0] = "client37:1098" PRIME_HOST[36][1] = "client37:1096" PRIME_HOST[36][2] = "client37:1094" PRIME_HOST[36][3] = "client37:1092" PRIME_HOST[37][0] = "client38:1098" PRIME_HOST[37][1] = "client38:1096" PRIME_HOST[37][2] = "client38:1094" PRIME_HOST[37][3] = "client38:1092" PRIME_HOST[38][0] = "client39:1098" PRIME_HOST[38][1] = "client39:1096" PRIME_HOST[38][2] = "client39:1094" PRIME_HOST[38][3] = "client39:1092" PRIME_HOST[39][0] = "client40:1098" PRIME_HOST[39][1] = "client40:1096" PRIME_HOST[39][2] = "client40:1094" PRIME_HOST[39][3] = "client40:1092" PRIME_HOST[3][0] = "client4:1098" PRIME_HOST[3][1] = "client4:1096" PRIME_HOST[3][2] = "client4:1094" PRIME_HOST[3][3] = "client4:1092" PRIME_HOST[40][0] = "client41:1098" PRIME_HOST[40][1] = "client41:1096" PRIME_HOST[40][2] = "client41:1094" PRIME_HOST[40][3] = "client41:1092" PRIME_HOST[41][0] = "client42:1098" PRIME_HOST[41][1] = "client42:1096" PRIME_HOST[41][2] = "client42:1094" PRIME_HOST[41][3] = "client42:1092" PRIME_HOST[42][0] = "client43:1098" PRIME_HOST[42][1] = "client43:1096" PRIME_HOST[42][2] = "client43:1094" PRIME_HOST[42][3] = "client43:1092" PRIME_HOST[43][0] = "client44:1098" PRIME_HOST[43][1] = "client44:1096" PRIME_HOST[43][2] = "client44:1094" PRIME_HOST[43][3] = "client44:1092" PRIME_HOST[44][0] = "client45:1098" PRIME_HOST[44][1] = "client45:1096" PRIME_HOST[44][2] = "client45:1094" PRIME_HOST[44][3] = "client45:1092" PRIME_HOST[45][0] = "client46:1098" PRIME_HOST[45][1] = "client46:1096" PRIME_HOST[45][2] = "client46:1094" PRIME_HOST[45][3] = "client46:1092" PRIME_HOST[46][0] = "client47:1098" PRIME_HOST[46][1] = "client47:1096" PRIME_HOST[46][2] = "client47:1094" PRIME_HOST[46][3] = "client47:1092" PRIME_HOST[47][0] = "client48:1098" PRIME_HOST[47][1] = "client48:1096" PRIME_HOST[47][2] = "client48:1094" PRIME_HOST[47][3] = "client48:1092" PRIME_HOST[48][0] = "client49:1098" PRIME_HOST[48][1] = "client49:1096" PRIME_HOST[48][2] = "client49:1094" PRIME_HOST[48][3] = "client49:1092" PRIME_HOST[49][0] = "client50:1098" PRIME_HOST[49][1] = "client50:1096" PRIME_HOST[49][2] = "client50:1094" PRIME_HOST[49][3] = "client50:1092" PRIME_HOST[4][0] = "client5:1098" PRIME_HOST[4][1] = "client5:1096" PRIME_HOST[4][2] = "client5:1094" PRIME_HOST[4][3] = "client5:1092" PRIME_HOST[50][0] = "client51:1098" PRIME_HOST[50][1] = "client51:1096" PRIME_HOST[50][2] = "client51:1094" PRIME_HOST[50][3] = "client51:1092" PRIME_HOST[51][0] = "client52:1098" PRIME_HOST[51][1] = "client52:1096" PRIME_HOST[51][2] = "client52:1094" PRIME_HOST[51][3] = "client52:1092" PRIME_HOST[52][0] = "client53:1098" PRIME_HOST[52][1] = "client53:1096" PRIME_HOST[52][2] = "client53:1094" PRIME_HOST[52][3] = "client53:1092" PRIME_HOST[53][0] = "client54:1098" PRIME_HOST[53][1] = "client54:1096" PRIME_HOST[53][2] = "client54:1094" PRIME_HOST[53][3] = "client54:1092" PRIME_HOST[54][0] = "client55:1098" PRIME_HOST[54][1] = "client55:1096" PRIME_HOST[54][2] = "client55:1094" PRIME_HOST[54][3] = "client55:1092" PRIME_HOST[55][0] = "client56:1098" PRIME_HOST[55][1] = "client56:1096" PRIME_HOST[55][2] = "client56:1094" PRIME_HOST[55][3] = "client56:1092" PRIME_HOST[56][0] = "client57:1098" PRIME_HOST[56][1] = "client57:1096" PRIME_HOST[56][2] = "client57:1094" PRIME_HOST[56][3] = "client57:1092" PRIME_HOST[57][0] = "client58:1098" PRIME_HOST[57][1] = "client58:1096" PRIME_HOST[57][2] = "client58:1094" PRIME_HOST[57][3] = "client58:1092" PRIME_HOST[58][0] = "client59:1098" PRIME_HOST[58][1] = "client59:1096" PRIME_HOST[58][2] = "client59:1094" PRIME_HOST[58][3] = "client59:1092" PRIME_HOST[59][0] = "client60:1098" PRIME_HOST[59][1] = "client60:1096" PRIME_HOST[59][2] = "client60:1094" PRIME_HOST[59][3] = "client60:1092" PRIME_HOST[5][0] = "client6:1098" PRIME_HOST[5][1] = "client6:1096" PRIME_HOST[5][2] = "client6:1094" PRIME_HOST[5][3] = "client6:1092" PRIME_HOST[60][0] = "client61:1098" PRIME_HOST[60][1] = "client61:1096" PRIME_HOST[60][2] = "client61:1094" PRIME_HOST[60][3] = "client61:1092" PRIME_HOST[61][0] = "client62:1098" PRIME_HOST[61][1] = "client62:1096" PRIME_HOST[61][2] = "client62:1094" PRIME_HOST[61][3] = "client62:1092" PRIME_HOST[62][0] = "client63:1098" PRIME_HOST[62][1] = "client63:1096" PRIME_HOST[62][2] = "client63:1094" PRIME_HOST[62][3] = "client63:1092" PRIME_HOST[63][0] = "client64:1098" PRIME_HOST[63][1] = "client64:1096" PRIME_HOST[63][2] = "client64:1094" PRIME_HOST[63][3] = "client64:1092" PRIME_HOST[64][0] = "client65:1098" PRIME_HOST[64][1] = "client65:1096" PRIME_HOST[64][2] = "client65:1094" PRIME_HOST[64][3] = "client65:1092" PRIME_HOST[65][0] = "client66:1098" PRIME_HOST[65][1] = "client66:1096" PRIME_HOST[65][2] = "client66:1094" PRIME_HOST[65][3] = "client66:1092" PRIME_HOST[66][0] = "client67:1098" PRIME_HOST[66][1] = "client67:1096" PRIME_HOST[66][2] = "client67:1094" PRIME_HOST[66][3] = "client67:1092" PRIME_HOST[67][0] = "client68:1098" PRIME_HOST[67][1] = "client68:1096" PRIME_HOST[67][2] = "client68:1094" PRIME_HOST[67][3] = "client68:1092" PRIME_HOST[68][0] = "client69:1098" PRIME_HOST[68][1] = "client69:1096" PRIME_HOST[68][2] = "client69:1094" PRIME_HOST[68][3] = "client69:1092" PRIME_HOST[69][0] = "client70:1098" PRIME_HOST[69][1] = "client70:1096" PRIME_HOST[69][2] = "client70:1094" PRIME_HOST[69][3] = "client70:1092" PRIME_HOST[6][0] = "client7:1098" PRIME_HOST[6][1] = "client7:1096" PRIME_HOST[6][2] = "client7:1094" PRIME_HOST[6][3] = "client7:1092" PRIME_HOST[70][0] = "client71:1098" PRIME_HOST[70][1] = "client71:1096" PRIME_HOST[70][2] = "client71:1094" PRIME_HOST[70][3] = "client71:1092" PRIME_HOST[71][0] = "client72:1098" PRIME_HOST[71][1] = "client72:1096" PRIME_HOST[71][2] = "client72:1094" PRIME_HOST[71][3] = "client72:1092" PRIME_HOST[72][0] = "client73:1098" PRIME_HOST[72][1] = "client73:1096" PRIME_HOST[72][2] = "client73:1094" PRIME_HOST[72][3] = "client73:1092" PRIME_HOST[73][0] = "client74:1098" PRIME_HOST[73][1] = "client74:1096" PRIME_HOST[73][2] = "client74:1094" PRIME_HOST[73][3] = "client74:1092" PRIME_HOST[74][0] = "client75:1098" PRIME_HOST[74][1] = "client75:1096" PRIME_HOST[74][2] = "client75:1094" PRIME_HOST[74][3] = "client75:1092" PRIME_HOST[75][0] = "client76:1098" PRIME_HOST[75][1] = "client76:1096" PRIME_HOST[75][2] = "client76:1094" PRIME_HOST[75][3] = "client76:1092" PRIME_HOST[76][0] = "client77:1098" PRIME_HOST[76][1] = "client77:1096" PRIME_HOST[76][2] = "client77:1094" PRIME_HOST[76][3] = "client77:1092" PRIME_HOST[77][0] = "client78:1098" PRIME_HOST[77][1] = "client78:1096" PRIME_HOST[77][2] = "client78:1094" PRIME_HOST[77][3] = "client78:1092" PRIME_HOST[78][0] = "client79:1098" PRIME_HOST[78][1] = "client79:1096" PRIME_HOST[78][2] = "client79:1094" PRIME_HOST[78][3] = "client79:1092" PRIME_HOST[79][0] = "client80:1098" PRIME_HOST[79][1] = "client80:1096" PRIME_HOST[79][2] = "client80:1094" PRIME_HOST[79][3] = "client80:1092" PRIME_HOST[7][0] = "client8:1098" PRIME_HOST[7][1] = "client8:1096" PRIME_HOST[7][2] = "client8:1094" PRIME_HOST[7][3] = "client8:1092" PRIME_HOST[80][0] = "client81:1098" PRIME_HOST[80][1] = "client81:1096" PRIME_HOST[80][2] = "client81:1094" PRIME_HOST[80][3] = "client81:1092" PRIME_HOST[81][0] = "client82:1098" PRIME_HOST[81][1] = "client82:1096" PRIME_HOST[81][2] = "client82:1094" PRIME_HOST[81][3] = "client82:1092" PRIME_HOST[82][0] = "client83:1098" PRIME_HOST[82][1] = "client83:1096" PRIME_HOST[82][2] = "client83:1094" PRIME_HOST[82][3] = "client83:1092" PRIME_HOST[83][0] = "client84:1098" PRIME_HOST[83][1] = "client84:1096" PRIME_HOST[83][2] = "client84:1094" PRIME_HOST[83][3] = "client84:1092" PRIME_HOST[84][0] = "client85:1098" PRIME_HOST[84][1] = "client85:1096" PRIME_HOST[84][2] = "client85:1094" PRIME_HOST[84][3] = "client85:1092" PRIME_HOST[85][0] = "client86:1098" PRIME_HOST[85][1] = "client86:1096" PRIME_HOST[85][2] = "client86:1094" PRIME_HOST[85][3] = "client86:1092" PRIME_HOST[86][0] = "client87:1098" PRIME_HOST[86][1] = "client87:1096" PRIME_HOST[86][2] = "client87:1094" PRIME_HOST[86][3] = "client87:1092" PRIME_HOST[87][0] = "client88:1098" PRIME_HOST[87][1] = "client88:1096" PRIME_HOST[87][2] = "client88:1094" PRIME_HOST[87][3] = "client88:1092" PRIME_HOST[88][0] = "client89:1098" PRIME_HOST[88][1] = "client89:1096" PRIME_HOST[88][2] = "client89:1094" PRIME_HOST[88][3] = "client89:1092" PRIME_HOST[89][0] = "client90:1098" PRIME_HOST[89][1] = "client90:1096" PRIME_HOST[89][2] = "client90:1094" PRIME_HOST[89][3] = "client90:1092" PRIME_HOST[8][0] = "client9:1098" PRIME_HOST[8][1] = "client9:1096" PRIME_HOST[8][2] = "client9:1094" PRIME_HOST[8][3] = "client9:1092" PRIME_HOST[90][0] = "client91:1098" PRIME_HOST[90][1] = "client91:1096" PRIME_HOST[90][2] = "client91:1094" PRIME_HOST[90][3] = "client91:1092" PRIME_HOST[91][0] = "client92:1098" PRIME_HOST[91][1] = "client92:1096" PRIME_HOST[91][2] = "client92:1094" PRIME_HOST[91][3] = "client92:1092" PRIME_HOST[92][0] = "client93:1098" PRIME_HOST[92][1] = "client93:1096" PRIME_HOST[92][2] = "client93:1094" PRIME_HOST[92][3] = "client93:1092" PRIME_HOST[93][0] = "client94:1098" PRIME_HOST[93][1] = "client94:1096" PRIME_HOST[93][2] = "client94:1094" PRIME_HOST[93][3] = "client94:1092" PRIME_HOST[94][0] = "client95:1098" PRIME_HOST[94][1] = "client95:1096" PRIME_HOST[94][2] = "client95:1094" PRIME_HOST[94][3] = "client95:1092" PRIME_HOST[95][0] = "client96:1098" PRIME_HOST[95][1] = "client96:1096" PRIME_HOST[95][2] = "client96:1094" PRIME_HOST[95][3] = "client96:1092" PRIME_HOST[96][0] = "client97:1098" PRIME_HOST[96][1] = "client97:1096" PRIME_HOST[96][2] = "client97:1094" PRIME_HOST[96][3] = "client97:1092" PRIME_HOST[97][0] = "client98:1098" PRIME_HOST[97][1] = "client98:1096" PRIME_HOST[97][2] = "client98:1094" PRIME_HOST[97][3] = "client98:1092" PRIME_HOST[98][0] = "client99:1098" PRIME_HOST[98][1] = "client99:1096" PRIME_HOST[98][2] = "client99:1094" PRIME_HOST[98][3] = "client99:1092" PRIME_HOST[99][0] = "client100:1098" PRIME_HOST[99][1] = "client100:1096" PRIME_HOST[99][2] = "client100:1094" PRIME_HOST[99][3] = "client100:1092" PRIME_HOST[9][0] = "client10:1098" PRIME_HOST[9][1] = "client10:1096" PRIME_HOST[9][2] = "client10:1094" PRIME_HOST[9][3] = "client10:1092" PRIME_HOST_RMI_PORT[0] = "9900" PRIME_HOST_RMI_PORT[1] = "9901" PRIME_HOST_RMI_PORT[2] = "9902" PRIME_HOST_RMI_PORT[3] = "9903" PRIME_PATH[0] = "/opt/SPECjAppServer2004/classes" PRIME_PATH[1] = "/opt/SPECweb2005" PRIME_PATH[2] = "/opt/SPECimap" PRIME_PATH[3] = "/opt/SPECbatch" PRIME_START_DELAY = "20" PTD_POLL = "1" PWR.LINE_STANDARD = "120V / 60 Hz / 1 phase / 2 wires" PWR.METER_CALIBR_DATE[0] = "22-May-2008" PWR.METER_CALIBR_DATE[1] = "22-May-2008" PWR.METER_CONNECTOR[0] = "RS-232" PWR.METER_CONNECTOR[1] = "RS-232" PWR.METER_CURRENT_RANGE_SETTING[0] = "4.0" PWR.METER_CURRENT_RANGE_SETTING[1] = "4.0" PWR.METER_MODEL[0] = "MME-101" PWR.METER_MODEL[1] = "MME-101" PWR.METER_VENDOR[0] = "MeterMaid Electric, Ltd." PWR.METER_VENDOR[1] = "MeterMaid Electric, Ltd." PWR.METER_VOLTAGE_RANGE_SETTING[0] = "120" PWR.METER_VOLTAGE_RANGE_SETTING[1] = "120" PWR.PTD_HOST[0] = "same as prime controller" PWR.PTD_HOST[1] = "same as prime controller" PWR.PTD_HOST_OS[0] = "same as prime controller" PWR.PTD_HOST_OS[1] = "same as prime controller" PWR.PTD_INDEX[0] = "0" PWR.PTD_INDEX[1] = "1" PWR.PTD_VERSION[0] = "1.3.2-e0c92e60" PWR.PTD_VERSION[1] = "1.3.2-e0c92e60" QUIESCE_SECONDS = "300" RAMP_SECONDS = "300" RESULT.DATESTAMP = "20240907-075002" RESULT.LOAD_QOS = "99.12%" RESULT.PERF_SCORE = "13880.83" RESULT_FILE_NAMES[0] = "Atomicity.html, Audit.report, Dealer.detail, Dealer.summary, Mfg.detail, Mfg.summary, result.props, SPECjAppServer.summary" RESULT_FILE_NAMES[1] = "SPECweb_Support.raw" RESULT_FILE_NAMES[2] = "output.raw, specimap.rsl" RESULT_FILE_NAMES[3] = "SPECbatch.raw, CINT2006.train.rsf, CPU2006.log" RESULT_TYPE = "1" RMI_TIMEOUT = "30" RUN_SECONDS[0][0] = "7200" RUN_SECONDS[0][1] = "7200" RUN_SECONDS[0][2] = "7200" RUN_SECONDS[0][3] = "7200" RUN_SECONDS[100][0] = "7200" RUN_SECONDS[100][1] = "7200" RUN_SECONDS[100][2] = "7200" RUN_SECONDS[100][3] = "7200" RUN_SECONDS[101][0] = "7200" RUN_SECONDS[101][1] = "7200" RUN_SECONDS[101][2] = "7200" RUN_SECONDS[101][3] = "7200" RUN_SECONDS[102][0] = "7200" RUN_SECONDS[102][1] = "7200" RUN_SECONDS[102][2] = "7200" RUN_SECONDS[102][3] = "7200" RUN_SECONDS[103][0] = "7200" RUN_SECONDS[103][1] = "7200" RUN_SECONDS[103][2] = "7200" RUN_SECONDS[103][3] = "7200" RUN_SECONDS[104][0] = "7200" RUN_SECONDS[104][1] = "7200" RUN_SECONDS[104][2] = "7200" RUN_SECONDS[104][3] = "7200" RUN_SECONDS[105][0] = "7200" RUN_SECONDS[105][1] = "7200" RUN_SECONDS[105][2] = "7200" RUN_SECONDS[105][3] = "7200" RUN_SECONDS[106][0] = "7200" RUN_SECONDS[106][1] = "7200" RUN_SECONDS[106][2] = "7200" RUN_SECONDS[106][3] = "7200" RUN_SECONDS[107][0] = "7200" RUN_SECONDS[107][1] = "7200" RUN_SECONDS[107][2] = "7200" RUN_SECONDS[107][3] = "7200" RUN_SECONDS[108][0] = "7200" RUN_SECONDS[108][1] = "7200" RUN_SECONDS[108][2] = "7200" RUN_SECONDS[108][3] = "7200" RUN_SECONDS[109][0] = "7200" RUN_SECONDS[109][1] = "7200" RUN_SECONDS[109][2] = "7200" RUN_SECONDS[109][3] = "7200" RUN_SECONDS[10][0] = "7200" RUN_SECONDS[10][1] = "7200" RUN_SECONDS[10][2] = "7200" RUN_SECONDS[10][3] = "7200" RUN_SECONDS[110][0] = "7200" RUN_SECONDS[110][1] = "7200" RUN_SECONDS[110][2] = "7200" RUN_SECONDS[110][3] = "7200" RUN_SECONDS[111][0] = "7200" RUN_SECONDS[111][1] = "7200" RUN_SECONDS[111][2] = "7200" RUN_SECONDS[111][3] = "7200" RUN_SECONDS[112][0] = "7200" RUN_SECONDS[112][1] = "7200" RUN_SECONDS[112][2] = "7200" RUN_SECONDS[112][3] = "7200" RUN_SECONDS[113][0] = "7200" RUN_SECONDS[113][1] = "7200" RUN_SECONDS[113][2] = "7200" RUN_SECONDS[113][3] = "7200" RUN_SECONDS[114][0] = "7200" RUN_SECONDS[114][1] = "7200" RUN_SECONDS[114][2] = "7200" RUN_SECONDS[114][3] = "7200" RUN_SECONDS[115][0] = "7200" RUN_SECONDS[115][1] = "7200" RUN_SECONDS[115][2] = "7200" RUN_SECONDS[115][3] = "7200" RUN_SECONDS[116][0] = "7200" RUN_SECONDS[116][1] = "7200" RUN_SECONDS[116][2] = "7200" RUN_SECONDS[116][3] = "7200" RUN_SECONDS[117][0] = "7200" RUN_SECONDS[117][1] = "7200" RUN_SECONDS[117][2] = "7200" RUN_SECONDS[117][3] = "7200" RUN_SECONDS[118][0] = "7200" RUN_SECONDS[118][1] = "7200" RUN_SECONDS[118][2] = "7200" RUN_SECONDS[118][3] = "7200" RUN_SECONDS[119][0] = "7200" RUN_SECONDS[119][1] = "7200" RUN_SECONDS[119][2] = "7200" RUN_SECONDS[119][3] = "7200" RUN_SECONDS[11][0] = "7200" RUN_SECONDS[11][1] = "7200" RUN_SECONDS[11][2] = "7200" RUN_SECONDS[11][3] = "7200" RUN_SECONDS[120][0] = "7200" RUN_SECONDS[120][1] = "7200" RUN_SECONDS[120][2] = "7200" RUN_SECONDS[120][3] = "7200" RUN_SECONDS[121][0] = "7200" RUN_SECONDS[121][1] = "7200" RUN_SECONDS[121][2] = "7200" RUN_SECONDS[121][3] = "7200" RUN_SECONDS[122][0] = "7200" RUN_SECONDS[122][1] = "7200" RUN_SECONDS[122][2] = "7200" RUN_SECONDS[122][3] = "7200" RUN_SECONDS[123][0] = "7200" RUN_SECONDS[123][1] = "7200" RUN_SECONDS[123][2] = "7200" RUN_SECONDS[123][3] = "7200" RUN_SECONDS[124][0] = "7200" RUN_SECONDS[124][1] = "7200" RUN_SECONDS[124][2] = "7200" RUN_SECONDS[124][3] = "7200" RUN_SECONDS[125][0] = "7200" RUN_SECONDS[125][1] = "7200" RUN_SECONDS[125][2] = "7200" RUN_SECONDS[125][3] = "7200" RUN_SECONDS[126][0] = "7200" RUN_SECONDS[126][1] = "7200" RUN_SECONDS[126][2] = "7200" RUN_SECONDS[126][3] = "7200" RUN_SECONDS[127][0] = "7200" RUN_SECONDS[127][1] = "7200" RUN_SECONDS[127][2] = "7200" RUN_SECONDS[127][3] = "7200" RUN_SECONDS[128][0] = "7200" RUN_SECONDS[128][1] = "7200" RUN_SECONDS[128][2] = "7200" RUN_SECONDS[128][3] = "7200" RUN_SECONDS[129][0] = "7200" RUN_SECONDS[129][1] = "7200" RUN_SECONDS[129][2] = "7200" RUN_SECONDS[129][3] = "7200" RUN_SECONDS[12][0] = "7200" RUN_SECONDS[12][1] = "7200" RUN_SECONDS[12][2] = "7200" RUN_SECONDS[12][3] = "7200" RUN_SECONDS[130][0] = "7200" RUN_SECONDS[130][1] = "7200" RUN_SECONDS[130][2] = "7200" RUN_SECONDS[130][3] = "7200" RUN_SECONDS[131][0] = "7200" RUN_SECONDS[131][1] = "7200" RUN_SECONDS[131][2] = "7200" RUN_SECONDS[131][3] = "7200" RUN_SECONDS[132][0] = "7200" RUN_SECONDS[132][1] = "7200" RUN_SECONDS[132][2] = "7200" RUN_SECONDS[132][3] = "7200" RUN_SECONDS[133][0] = "7200" RUN_SECONDS[133][1] = "7200" RUN_SECONDS[133][2] = "7200" RUN_SECONDS[133][3] = "7200" RUN_SECONDS[134][0] = "7200" RUN_SECONDS[134][1] = "7200" RUN_SECONDS[134][2] = "7200" RUN_SECONDS[134][3] = "7200" RUN_SECONDS[135][0] = "7200" RUN_SECONDS[135][1] = "7200" RUN_SECONDS[135][2] = "7200" RUN_SECONDS[135][3] = "7200" RUN_SECONDS[136][0] = "7200" RUN_SECONDS[136][1] = "7200" RUN_SECONDS[136][2] = "7200" RUN_SECONDS[136][3] = "7200" RUN_SECONDS[137][0] = "7200" RUN_SECONDS[137][1] = "7200" RUN_SECONDS[137][2] = "7200" RUN_SECONDS[137][3] = "7200" RUN_SECONDS[138][0] = "7200" RUN_SECONDS[138][1] = "7200" RUN_SECONDS[138][2] = "7200" RUN_SECONDS[138][3] = "7200" RUN_SECONDS[139][0] = "7200" RUN_SECONDS[139][1] = "7200" RUN_SECONDS[139][2] = "7200" RUN_SECONDS[139][3] = "7200" RUN_SECONDS[13][0] = "7200" RUN_SECONDS[13][1] = "7200" RUN_SECONDS[13][2] = "7200" RUN_SECONDS[13][3] = "7200" RUN_SECONDS[140][0] = "7200" RUN_SECONDS[140][1] = "7200" RUN_SECONDS[140][2] = "7200" RUN_SECONDS[140][3] = "7200" RUN_SECONDS[141][0] = "7200" RUN_SECONDS[141][1] = "7200" RUN_SECONDS[141][2] = "7200" RUN_SECONDS[141][3] = "7200" RUN_SECONDS[142][0] = "7200" RUN_SECONDS[142][1] = "7200" RUN_SECONDS[142][2] = "7200" RUN_SECONDS[142][3] = "7200" RUN_SECONDS[143][0] = "7200" RUN_SECONDS[143][1] = "7200" RUN_SECONDS[143][2] = "7200" RUN_SECONDS[143][3] = "7200" RUN_SECONDS[144][0] = "7200" RUN_SECONDS[144][1] = "7200" RUN_SECONDS[144][2] = "7200" RUN_SECONDS[144][3] = "7200" RUN_SECONDS[145][0] = "7200" RUN_SECONDS[145][1] = "7200" RUN_SECONDS[145][2] = "7200" RUN_SECONDS[145][3] = "7200" RUN_SECONDS[146][0] = "7200" RUN_SECONDS[146][1] = "7200" RUN_SECONDS[146][2] = "7200" RUN_SECONDS[146][3] = "7200" RUN_SECONDS[147][0] = "7200" RUN_SECONDS[147][1] = "7200" RUN_SECONDS[147][2] = "7200" RUN_SECONDS[147][3] = "7200" RUN_SECONDS[14][0] = "7200" RUN_SECONDS[14][1] = "7200" RUN_SECONDS[14][2] = "7200" RUN_SECONDS[14][3] = "7200" RUN_SECONDS[15][0] = "7200" RUN_SECONDS[15][1] = "7200" RUN_SECONDS[15][2] = "7200" RUN_SECONDS[15][3] = "7200" RUN_SECONDS[16][0] = "7200" RUN_SECONDS[16][1] = "7200" RUN_SECONDS[16][2] = "7200" RUN_SECONDS[16][3] = "7200" RUN_SECONDS[17][0] = "7200" RUN_SECONDS[17][1] = "7200" RUN_SECONDS[17][2] = "7200" RUN_SECONDS[17][3] = "7200" RUN_SECONDS[18][0] = "7200" RUN_SECONDS[18][1] = "7200" RUN_SECONDS[18][2] = "7200" RUN_SECONDS[18][3] = "7200" RUN_SECONDS[19][0] = "7200" RUN_SECONDS[19][1] = "7200" RUN_SECONDS[19][2] = "7200" RUN_SECONDS[19][3] = "7200" RUN_SECONDS[1][0] = "7200" RUN_SECONDS[1][1] = "7200" RUN_SECONDS[1][2] = "7200" RUN_SECONDS[1][3] = "7200" RUN_SECONDS[20][0] = "7200" RUN_SECONDS[20][1] = "7200" RUN_SECONDS[20][2] = "7200" RUN_SECONDS[20][3] = "7200" RUN_SECONDS[21][0] = "7200" RUN_SECONDS[21][1] = "7200" RUN_SECONDS[21][2] = "7200" RUN_SECONDS[21][3] = "7200" RUN_SECONDS[22][0] = "7200" RUN_SECONDS[22][1] = "7200" RUN_SECONDS[22][2] = "7200" RUN_SECONDS[22][3] = "7200" RUN_SECONDS[23][0] = "7200" RUN_SECONDS[23][1] = "7200" RUN_SECONDS[23][2] = "7200" RUN_SECONDS[23][3] = "7200" RUN_SECONDS[24][0] = "7200" RUN_SECONDS[24][1] = "7200" RUN_SECONDS[24][2] = "7200" RUN_SECONDS[24][3] = "7200" RUN_SECONDS[25][0] = "7200" RUN_SECONDS[25][1] = "7200" RUN_SECONDS[25][2] = "7200" RUN_SECONDS[25][3] = "7200" RUN_SECONDS[26][0] = "7200" RUN_SECONDS[26][1] = "7200" RUN_SECONDS[26][2] = "7200" RUN_SECONDS[26][3] = "7200" RUN_SECONDS[27][0] = "7200" RUN_SECONDS[27][1] = "7200" RUN_SECONDS[27][2] = "7200" RUN_SECONDS[27][3] = "7200" RUN_SECONDS[28][0] = "7200" RUN_SECONDS[28][1] = "7200" RUN_SECONDS[28][2] = "7200" RUN_SECONDS[28][3] = "7200" RUN_SECONDS[29][0] = "7200" RUN_SECONDS[29][1] = "7200" RUN_SECONDS[29][2] = "7200" RUN_SECONDS[29][3] = "7200" RUN_SECONDS[2][0] = "7200" RUN_SECONDS[2][1] = "7200" RUN_SECONDS[2][2] = "7200" RUN_SECONDS[2][3] = "7200" RUN_SECONDS[30][0] = "7200" RUN_SECONDS[30][1] = "7200" RUN_SECONDS[30][2] = "7200" RUN_SECONDS[30][3] = "7200" RUN_SECONDS[31][0] = "7200" RUN_SECONDS[31][1] = "7200" RUN_SECONDS[31][2] = "7200" RUN_SECONDS[31][3] = "7200" RUN_SECONDS[32][0] = "7200" RUN_SECONDS[32][1] = "7200" RUN_SECONDS[32][2] = "7200" RUN_SECONDS[32][3] = "7200" RUN_SECONDS[33][0] = "7200" RUN_SECONDS[33][1] = "7200" RUN_SECONDS[33][2] = "7200" RUN_SECONDS[33][3] = "7200" RUN_SECONDS[34][0] = "7200" RUN_SECONDS[34][1] = "7200" RUN_SECONDS[34][2] = "7200" RUN_SECONDS[34][3] = "7200" RUN_SECONDS[35][0] = "7200" RUN_SECONDS[35][1] = "7200" RUN_SECONDS[35][2] = "7200" RUN_SECONDS[35][3] = "7200" RUN_SECONDS[36][0] = "7200" RUN_SECONDS[36][1] = "7200" RUN_SECONDS[36][2] = "7200" RUN_SECONDS[36][3] = "7200" RUN_SECONDS[37][0] = "7200" RUN_SECONDS[37][1] = "7200" RUN_SECONDS[37][2] = "7200" RUN_SECONDS[37][3] = "7200" RUN_SECONDS[38][0] = "7200" RUN_SECONDS[38][1] = "7200" RUN_SECONDS[38][2] = "7200" RUN_SECONDS[38][3] = "7200" RUN_SECONDS[39][0] = "7200" RUN_SECONDS[39][1] = "7200" RUN_SECONDS[39][2] = "7200" RUN_SECONDS[39][3] = "7200" RUN_SECONDS[3][0] = "7200" RUN_SECONDS[3][1] = "7200" RUN_SECONDS[3][2] = "7200" RUN_SECONDS[3][3] = "7200" RUN_SECONDS[40][0] = "7200" RUN_SECONDS[40][1] = "7200" RUN_SECONDS[40][2] = "7200" RUN_SECONDS[40][3] = "7200" RUN_SECONDS[41][0] = "7200" RUN_SECONDS[41][1] = "7200" RUN_SECONDS[41][2] = "7200" RUN_SECONDS[41][3] = "7200" RUN_SECONDS[42][0] = "7200" RUN_SECONDS[42][1] = "7200" RUN_SECONDS[42][2] = "7200" RUN_SECONDS[42][3] = "7200" RUN_SECONDS[43][0] = "7200" RUN_SECONDS[43][1] = "7200" RUN_SECONDS[43][2] = "7200" RUN_SECONDS[43][3] = "7200" RUN_SECONDS[44][0] = "7200" RUN_SECONDS[44][1] = "7200" RUN_SECONDS[44][2] = "7200" RUN_SECONDS[44][3] = "7200" RUN_SECONDS[45][0] = "7200" RUN_SECONDS[45][1] = "7200" RUN_SECONDS[45][2] = "7200" RUN_SECONDS[45][3] = "7200" RUN_SECONDS[46][0] = "7200" RUN_SECONDS[46][1] = "7200" RUN_SECONDS[46][2] = "7200" RUN_SECONDS[46][3] = "7200" RUN_SECONDS[47][0] = "7200" RUN_SECONDS[47][1] = "7200" RUN_SECONDS[47][2] = "7200" RUN_SECONDS[47][3] = "7200" RUN_SECONDS[48][0] = "7200" RUN_SECONDS[48][1] = "7200" RUN_SECONDS[48][2] = "7200" RUN_SECONDS[48][3] = "7200" RUN_SECONDS[49][0] = "7200" RUN_SECONDS[49][1] = "7200" RUN_SECONDS[49][2] = "7200" RUN_SECONDS[49][3] = "7200" RUN_SECONDS[4][0] = "7200" RUN_SECONDS[4][1] = "7200" RUN_SECONDS[4][2] = "7200" RUN_SECONDS[4][3] = "7200" RUN_SECONDS[50][0] = "7200" RUN_SECONDS[50][1] = "7200" RUN_SECONDS[50][2] = "7200" RUN_SECONDS[50][3] = "7200" RUN_SECONDS[51][0] = "7200" RUN_SECONDS[51][1] = "7200" RUN_SECONDS[51][2] = "7200" RUN_SECONDS[51][3] = "7200" RUN_SECONDS[52][0] = "7200" RUN_SECONDS[52][1] = "7200" RUN_SECONDS[52][2] = "7200" RUN_SECONDS[52][3] = "7200" RUN_SECONDS[53][0] = "7200" RUN_SECONDS[53][1] = "7200" RUN_SECONDS[53][2] = "7200" RUN_SECONDS[53][3] = "7200" RUN_SECONDS[54][0] = "7200" RUN_SECONDS[54][1] = "7200" RUN_SECONDS[54][2] = "7200" RUN_SECONDS[54][3] = "7200" RUN_SECONDS[55][0] = "7200" RUN_SECONDS[55][1] = "7200" RUN_SECONDS[55][2] = "7200" RUN_SECONDS[55][3] = "7200" RUN_SECONDS[56][0] = "7200" RUN_SECONDS[56][1] = "7200" RUN_SECONDS[56][2] = "7200" RUN_SECONDS[56][3] = "7200" RUN_SECONDS[57][0] = "7200" RUN_SECONDS[57][1] = "7200" RUN_SECONDS[57][2] = "7200" RUN_SECONDS[57][3] = "7200" RUN_SECONDS[58][0] = "7200" RUN_SECONDS[58][1] = "7200" RUN_SECONDS[58][2] = "7200" RUN_SECONDS[58][3] = "7200" RUN_SECONDS[59][0] = "7200" RUN_SECONDS[59][1] = "7200" RUN_SECONDS[59][2] = "7200" RUN_SECONDS[59][3] = "7200" RUN_SECONDS[5][0] = "7200" RUN_SECONDS[5][1] = "7200" RUN_SECONDS[5][2] = "7200" RUN_SECONDS[5][3] = "7200" RUN_SECONDS[60][0] = "7200" RUN_SECONDS[60][1] = "7200" RUN_SECONDS[60][2] = "7200" RUN_SECONDS[60][3] = "7200" RUN_SECONDS[61][0] = "7200" RUN_SECONDS[61][1] = "7200" RUN_SECONDS[61][2] = "7200" RUN_SECONDS[61][3] = "7200" RUN_SECONDS[62][0] = "7200" RUN_SECONDS[62][1] = "7200" RUN_SECONDS[62][2] = "7200" RUN_SECONDS[62][3] = "7200" RUN_SECONDS[63][0] = "7200" RUN_SECONDS[63][1] = "7200" RUN_SECONDS[63][2] = "7200" RUN_SECONDS[63][3] = "7200" RUN_SECONDS[64][0] = "7200" RUN_SECONDS[64][1] = "7200" RUN_SECONDS[64][2] = "7200" RUN_SECONDS[64][3] = "7200" RUN_SECONDS[65][0] = "7200" RUN_SECONDS[65][1] = "7200" RUN_SECONDS[65][2] = "7200" RUN_SECONDS[65][3] = "7200" RUN_SECONDS[66][0] = "7200" RUN_SECONDS[66][1] = "7200" RUN_SECONDS[66][2] = "7200" RUN_SECONDS[66][3] = "7200" RUN_SECONDS[67][0] = "7200" RUN_SECONDS[67][1] = "7200" RUN_SECONDS[67][2] = "7200" RUN_SECONDS[67][3] = "7200" RUN_SECONDS[68][0] = "7200" RUN_SECONDS[68][1] = "7200" RUN_SECONDS[68][2] = "7200" RUN_SECONDS[68][3] = "7200" RUN_SECONDS[69][0] = "7200" RUN_SECONDS[69][1] = "7200" RUN_SECONDS[69][2] = "7200" RUN_SECONDS[69][3] = "7200" RUN_SECONDS[6][0] = "7200" RUN_SECONDS[6][1] = "7200" RUN_SECONDS[6][2] = "7200" RUN_SECONDS[6][3] = "7200" RUN_SECONDS[70][0] = "7200" RUN_SECONDS[70][1] = "7200" RUN_SECONDS[70][2] = "7200" RUN_SECONDS[70][3] = "7200" RUN_SECONDS[71][0] = "7200" RUN_SECONDS[71][1] = "7200" RUN_SECONDS[71][2] = "7200" RUN_SECONDS[71][3] = "7200" RUN_SECONDS[72][0] = "7200" RUN_SECONDS[72][1] = "7200" RUN_SECONDS[72][2] = "7200" RUN_SECONDS[72][3] = "7200" RUN_SECONDS[73][0] = "7200" RUN_SECONDS[73][1] = "7200" RUN_SECONDS[73][2] = "7200" RUN_SECONDS[73][3] = "7200" RUN_SECONDS[74][0] = "7200" RUN_SECONDS[74][1] = "7200" RUN_SECONDS[74][2] = "7200" RUN_SECONDS[74][3] = "7200" RUN_SECONDS[75][0] = "7200" RUN_SECONDS[75][1] = "7200" RUN_SECONDS[75][2] = "7200" RUN_SECONDS[75][3] = "7200" RUN_SECONDS[76][0] = "7200" RUN_SECONDS[76][1] = "7200" RUN_SECONDS[76][2] = "7200" RUN_SECONDS[76][3] = "7200" RUN_SECONDS[77][0] = "7200" RUN_SECONDS[77][1] = "7200" RUN_SECONDS[77][2] = "7200" RUN_SECONDS[77][3] = "7200" RUN_SECONDS[78][0] = "7200" RUN_SECONDS[78][1] = "7200" RUN_SECONDS[78][2] = "7200" RUN_SECONDS[78][3] = "7200" RUN_SECONDS[79][0] = "7200" RUN_SECONDS[79][1] = "7200" RUN_SECONDS[79][2] = "7200" RUN_SECONDS[79][3] = "7200" RUN_SECONDS[7][0] = "7200" RUN_SECONDS[7][1] = "7200" RUN_SECONDS[7][2] = "7200" RUN_SECONDS[7][3] = "7200" RUN_SECONDS[80][0] = "7200" RUN_SECONDS[80][1] = "7200" RUN_SECONDS[80][2] = "7200" RUN_SECONDS[80][3] = "7200" RUN_SECONDS[81][0] = "7200" RUN_SECONDS[81][1] = "7200" RUN_SECONDS[81][2] = "7200" RUN_SECONDS[81][3] = "7200" RUN_SECONDS[82][0] = "7200" RUN_SECONDS[82][1] = "7200" RUN_SECONDS[82][2] = "7200" RUN_SECONDS[82][3] = "7200" RUN_SECONDS[83][0] = "7200" RUN_SECONDS[83][1] = "7200" RUN_SECONDS[83][2] = "7200" RUN_SECONDS[83][3] = "7200" RUN_SECONDS[84][0] = "7200" RUN_SECONDS[84][1] = "7200" RUN_SECONDS[84][2] = "7200" RUN_SECONDS[84][3] = "7200" RUN_SECONDS[85][0] = "7200" RUN_SECONDS[85][1] = "7200" RUN_SECONDS[85][2] = "7200" RUN_SECONDS[85][3] = "7200" RUN_SECONDS[86][0] = "7200" RUN_SECONDS[86][1] = "7200" RUN_SECONDS[86][2] = "7200" RUN_SECONDS[86][3] = "7200" RUN_SECONDS[87][0] = "7200" RUN_SECONDS[87][1] = "7200" RUN_SECONDS[87][2] = "7200" RUN_SECONDS[87][3] = "7200" RUN_SECONDS[88][0] = "7200" RUN_SECONDS[88][1] = "7200" RUN_SECONDS[88][2] = "7200" RUN_SECONDS[88][3] = "7200" RUN_SECONDS[89][0] = "7200" RUN_SECONDS[89][1] = "7200" RUN_SECONDS[89][2] = "7200" RUN_SECONDS[89][3] = "7200" RUN_SECONDS[8][0] = "7200" RUN_SECONDS[8][1] = "7200" RUN_SECONDS[8][2] = "7200" RUN_SECONDS[8][3] = "7200" RUN_SECONDS[90][0] = "7200" RUN_SECONDS[90][1] = "7200" RUN_SECONDS[90][2] = "7200" RUN_SECONDS[90][3] = "7200" RUN_SECONDS[91][0] = "7200" RUN_SECONDS[91][1] = "7200" RUN_SECONDS[91][2] = "7200" RUN_SECONDS[91][3] = "7200" RUN_SECONDS[92][0] = "7200" RUN_SECONDS[92][1] = "7200" RUN_SECONDS[92][2] = "7200" RUN_SECONDS[92][3] = "7200" RUN_SECONDS[93][0] = "7200" RUN_SECONDS[93][1] = "7200" RUN_SECONDS[93][2] = "7200" RUN_SECONDS[93][3] = "7200" RUN_SECONDS[94][0] = "7200" RUN_SECONDS[94][1] = "7200" RUN_SECONDS[94][2] = "7200" RUN_SECONDS[94][3] = "7200" RUN_SECONDS[95][0] = "7200" RUN_SECONDS[95][1] = "7200" RUN_SECONDS[95][2] = "7200" RUN_SECONDS[95][3] = "7200" RUN_SECONDS[96][0] = "7200" RUN_SECONDS[96][1] = "7200" RUN_SECONDS[96][2] = "7200" RUN_SECONDS[96][3] = "7200" RUN_SECONDS[97][0] = "7200" RUN_SECONDS[97][1] = "7200" RUN_SECONDS[97][2] = "7200" RUN_SECONDS[97][3] = "7200" RUN_SECONDS[98][0] = "7200" RUN_SECONDS[98][1] = "7200" RUN_SECONDS[98][2] = "7200" RUN_SECONDS[98][3] = "7200" RUN_SECONDS[99][0] = "7200" RUN_SECONDS[99][1] = "7200" RUN_SECONDS[99][2] = "7200" RUN_SECONDS[99][3] = "7200" RUN_SECONDS[9][0] = "7200" RUN_SECONDS[9][1] = "7200" RUN_SECONDS[9][2] = "7200" RUN_SECONDS[9][3] = "7200" SPECVIRT_HOST = "client1" SPECVIRT_RMI_PORT = "9990" STORAGE.NOTES[0] = "The System Under Test has 14 7.68TB NVMe SSDs configured as one RAID10 arrays in OS by mdadm" STORAGE.NOTES[1] = "The System Under Test has one NVMe SSD contains the logical volume for the hypervisor OS that was booted." STORAGE.NOTES[2] = "There are total 1110 logical volume for vm." SYSTEM.CPU[0] = "Intel(R) Xeon(R) Platinum 8490H" SYSTEM.CPU_CHIPS[0] = "4" SYSTEM.CPU_CORESPERCHIP[0] = "60" SYSTEM.CPU_CORES[0] = "240" SYSTEM.CPU_SPEED[0] = "1900" SYSTEM.CPU_THREADSPERCORE[0] = "2" SYSTEM.DISK[0] = "1 x 7.68TB NVMe SSD" SYSTEM.DISK[1] = "14 x 7.68TB NVMe SSD" SYSTEM.DISK_CTL[0] = "2 x Intel VROC" SYSTEM.DISK_ENCL[0] = "2 x Internal Storage Book" SYSTEM.DISK_RAID[0] = "RAID 10" SYSTEM.DISK_UPS[0] = "No" SYSTEM.FILESYSTEM[0] = "xfs" SYSTEM.HW_AVAIL[0] = "Jan-2023" SYSTEM.HW_MODEL = "H3C UniServer R6900 G6" SYSTEM.HW_OTHER[0] = "Intel VROC Key" SYSTEM.HW_VENDOR = "New H3C Technologies Co., Ltd" SYSTEM.L1CACHE[0] = "32 KB I + 48 KB D on chip per core" SYSTEM.L2CACHE[0] = "2 MB I+D on chip per core" SYSTEM.L3CACHE[0] = "115200 KB I+D on chip per chip" SYSTEM.MEMORY[0] = "8 TB (64 x 128 GB, 4R x4 PC5-4800 DDR5 4800MHz RDIMM)" SYSTEM.NET_SPEED[0] = "25 Gb/s" SYSTEM.NET_TYPE[0] = "25GBASE-SR" SYSTEM.NIC_NAME[0] = "4 x Intel Corporation Ethernet Controller E810-XXV for SFP (rev 02)" SYSTEM.NIC_NAME[1] = "4 x Mellanox Technologies MT27710 Family [ConnectX-4 Lx]" SYSTEM.NOTES[0] = "The test sponsor attests, as of date of publication, that CVE-2017-5754 (Meltdown) is mitigated in the system as tested and documented:" SYSTEM.NOTES[1] = " Yes: hosts, guests" SYSTEM.NOTES[2] = "The test sponsor attests, as of date of publication, that CVE-2017-5753 (Spectre variant 1) is mitigated in the system as tested and documented:" SYSTEM.NOTES[3] = " Yes: hosts, guests" SYSTEM.NOTES[4] = "The test sponsor attests, as of date of publication, that CVE-2017-5715 (Spectre variant 2) is mitigated in the system as tested and documented:" SYSTEM.NOTES[5] = " Yes: hosts, guests" SYSTEM.NOTES[6] = "The test sponsor attests, as of date of publication, that CVE-2018-3620 and CVE-2018-3646 (Foreshadow) are mitigated in the system as tested and documented:" SYSTEM.NOTES[7] = " Yes: hosts, guests" SYSTEM.NUM_NETS[0] = "16" SYSTEM.NUM_NICS[0] = "16" SYSTEM.NUM_SYSTEMS[0] = "1" SYSTEM.OS[0] = "Red Hat Enterprise Linux release 9.0 (Plow)" SYSTEM.SW_OTHER[0] = "N/A" TEMP_POLL_VAL = "Temperature" TEST.DATE = "Sep-2024" TESTBED.ELEVATION = "20" TESTED.BY = "New H3C Technologies Co., Ltd" TEST_RUN_AT = "Sat Sep 07 07:50:02 EDT 2024" TEST_RUN_AT.TIME = "1725709802196" TMP.METER_CONNECTOR[0] = "USB" TMP.METER_DRIVER_VERSION[0] = "4.3.2" TMP.METER_MODEL[0] = "FD-01" TMP.METER_VENDOR[0] = "FrigiDigi, Inc." TMP.PTD_HOST[0] = "same as prime controller" TMP.PTD_HOST_OS[0] = "same as prime controller" TMP.PTD_INDEX[0] = "2" TMP.PTD_VERSION[0] = "1.3.2-e0c92e60" USE_PTDS = "0" USE_RESULT_SUBDIRS = "1" USE_WEIGHTED_QOS = "0" VIRT.SW.NOTES[0] = "The following kernel boot options were used:" VIRT.SW.NOTES[10] = " vm.dirty_ratio = 10" VIRT.SW.NOTES[11] = " vm.swappiness = 0" VIRT.SW.NOTES[12] = "Network configuration was as follows:" VIRT.SW.NOTES[13] = " Ethernet devices ens5f0np0, ens5f1np1, ens6f0, ens6f1, ens12f0np0, ens12f1np1, ens13f0np0, ens13f1np1, ens3f0, ens3f1, ens4f0np0, ens4f1np1, ens10f0, ens10f1, ens11f0, ens11f1 were the physical functions that were used by the host to provide physical transport for the virtual functions." VIRT.SW.NOTES[14] = " A script allocates the virtual functions." VIRT.SW.NOTES[15] = " 50 virtual functions were configured for each port." VIRT.SW.NOTES[16] = " All VMs were assigned a VF that is routed to the NUMA node to which the VM's VCPUs were pinned." VIRT.SW.NOTES[17] = "After the system booted, 1GB huge pages were manually allocated on the NUMA nodes. 1600 1GB huge pages were allocated on each NUMA node." VIRT.SW.NOTES[18] = "VM configurations were as follows:" VIRT.SW.NOTES[19] = " All VMs were created with qemu." VIRT.SW.NOTES[1] = " crashkernel=1G-4G:192M,4G-64G:256M,64G-:512M resume=/dev/mapper/rhel-swap rd.lvm.lv=rhel/root rd.lvm.lv=rhel/swap rhgb quiet selinux=0 audit=0 elevator=deadline clocksource=tsc intel_iommu=on iommu=pt pci=realloc nosoftlockup ipv6.disable=1 intel_idle.max_cstate=0 processor.max_cstate=1 mce=ignore_ce mds=off pti=off nopcid nmi_watchdog=0 nowatchdog default_hugepagesz=1G hugepagesz=1G hugepages=6400 pci=pcie_bus_safe" VIRT.SW.NOTES[20] = "App, Web and Batch VMs were created with the following cmd template:" VIRT.SW.NOTES[21] = " cd $DIR && $DIR/vfio-pci-bind.rb $vm_nic && numactl -m $vm_numa_id -N $vm_numa_id /usr/libexec/qemu-kvm -machine pc-q35-rhel7.6.0,accel=kvm,usb=off,vmport=off,dump-guest-core=off -enable-kvm -cpu host,migratable=off,+invtsc,-vmx,+tsc-deadline,pmu=off -smp $vm_vcpu_count -m $vm_memory_size -mem-prealloc -mem-path /dev/hugepages1G -device pcie-root-port,port=0x10,chassis=1,id=pci.1,bus=pcie.0,multifunction=on,addr=0x2 -device pcie-root-port,port=0x11,chassis=2,id=pci.2,bus=pcie.0,addr=0x2.0x1 -device pcie-root-port,port=0x12,chassis=3,id=pci.3,bus=pcie.0,addr=0x2.0x2 -device pcie-root-port,port=0x13,chassis=4,id=pci.4,bus=pcie.0,addr=0x2.0x3 -device pcie-root-port,port=0x14,chassis=5,id=pci.5,bus=pcie.0,addr=0x2.0x4 -device pcie-root-port,port=0x15,chassis=6,id=pci.6,bus=pcie.0,addr=0x2.0x5 -device pcie-root-port,port=0x16,chassis=7,id=pci.7,bus=pcie.0,addr=0x2.0x6 -device pcie-root-port,port=0x17,chassis=8,id=pci.8,bus=pcie.0,addr=0x2.0x7 -device virtio-blk-pci,scsi=off,bus=pci.3,drive=hd,write-cache=on,bootindex=1 -drive if=none,id=hd,file=$vm_disk_path,format=raw,cache=none,aio=native -object rng-random,id=objrng0,filename=/dev/urandom -device virtio-rng-pci,rng=objrng0,id=rng0,bus=pci.7,addr=0x0 -name $vm_name,process=$vm_name,debug-threads=on -device vfio-pci,host=$vm_nic -display none --nic none -daemonize -kernel /boot/vmlinuz.guest -initrd /boot/initramfs.guest -append 'root=/dev/mapper/rhel-root resume=/dev/mapper/rhel-swap ro rd.lvm.lv=rhel/root rd.lvm.lv=rhel/swap rhgb selinux=0 audit=0 elevator=deadline clocksource=kvm_clock highres=off ipv6.disable=1 nowatchdog intel_idle.max_cstate=0 processor.max_cstate=1 cgroup_disable=memory,cpu,blkio net.ifnames=0 biosdevname=0 quiet'" VIRT.SW.NOTES[22] = " Db, Infra and Mail VMs have this following cmd template for their data disk:" VIRT.SW.NOTES[23] = " cd $DIR && $DIR/vfio-pci-bind.rb $vm_nic && numactl -m $vm_numa_id -N $vm_numa_id /usr/libexec/qemu-kvm -machine pc-q35-rhel7.6.0,accel=kvm,usb=off,vmport=off,dump-guest-core=off -enable-kvm -cpu host,migratable=off,+invtsc,-vmx,+tsc-deadline,pmu=off -smp $vm_vcpu_count -m $vm_memory_size -mem-prealloc -mem-path /dev/hugepages1G -device pcie-root-port,port=0x10,chassis=1,id=pci.1,bus=pcie.0,multifunction=on,addr=0x2 -device pcie-root-port,port=0x11,chassis=2,id=pci.2,bus=pcie.0,addr=0x2.0x1 -device pcie-root-port,port=0x12,chassis=3,id=pci.3,bus=pcie.0,addr=0x2.0x2 -device pcie-root-port,port=0x13,chassis=4,id=pci.4,bus=pcie.0,addr=0x2.0x3 -device pcie-root-port,port=0x14,chassis=5,id=pci.5,bus=pcie.0,addr=0x2.0x4 -device pcie-root-port,port=0x15,chassis=6,id=pci.6,bus=pcie.0,addr=0x2.0x5 -device pcie-root-port,port=0x16,chassis=7,id=pci.7,bus=pcie.0,addr=0x2.0x6 -device pcie-root-port,port=0x17,chassis=8,id=pci.8,bus=pcie.0,addr=0x2.0x7 -device virtio-blk-pci,scsi=off,bus=pci.3,drive=hd,write-cache=on,bootindex=1 -drive if=none,id=hd,file=$vm_disk_path,format=raw,cache=none,aio=native -device virtio-blk-pci,scsi=off,bus=pci.4,drive=hd2,write-cache=on -drive if=none,id=hd2,file=$vm_disk_data_path format=raw,cache=none,aio=native -object rng-random,id=objrng0,filename=/dev/urandom -device virtio-rng-pci,rng=objrng0,id=rng0,bus=pci.7,addr=0x0 -name $vm_name,process=$vm_name,debug-threads=on -device vfio-pci,host=$vm_nic -display none --nic none -daemonize -kernel /boot/vmlinuz.guest -initrd /boot/initramfs.guest -append 'root=/dev/mapper/rhel-root resume=/dev/mapper/rhel-swap ro rd.lvm.lv=rhel/root rd.lvm.lv=rhel/swap rhgb selinux=0 audit=0 elevator=deadline clocksource=kvm_clock highres=off ipv6.disable=1 nowatchdog intel_idle.max_cstate=0 processor.max_cstate=1 cgroup_disable=memory,cpu,blkio net.ifnames=0 biosdevname=0 quiet'" VIRT.SW.NOTES[24] = " VMs were bound to host CPUs via their scripts in the following manner:" VIRT.SW.NOTES[25] = " All VMs of tiles 01, 02, 03, 04, 17, 18, 19, 20, 33, 34, 35, 36, 49, 50, 51, 52, 65, 66, 67, 68, 81, 82, 83, 84, 97, 98, 99, 100, 113, 114, 115, 116, 129, 130, 131, 132, 145 were bound to NUMA node 0." VIRT.SW.NOTES[26] = " All VMs of tiles 05, 06, 07, 08, 21, 22, 23, 24, 37, 38, 39, 40, 53, 54, 55, 56, 69, 70, 71, 72, 85, 86, 87, 88, 101, 102, 103, 104, 117, 118, 119, 120, 133, 134, 135, 136, 146 were bound to NUMA node 1." VIRT.SW.NOTES[27] = " All VMs of tiles 09, 10, 11, 12, 25, 26, 27, 28, 41, 42, 43, 44, 57, 58, 59, 60, 73, 74, 75, 76, 89, 90, 91, 92, 105, 106, 107, 108, 121, 122, 123, 124, 137, 138, 139, 140, 147 were bound to NUMA node 2." VIRT.SW.NOTES[28] = " All VMs of tiles 13, 14, 15, 16, 29, 30, 31, 32, 45, 46, 47, 48, 61, 62, 63, 64, 77, 78, 79, 80, 93, 94, 95, 96, 109, 110, 111, 112, 125, 126, 127, 128, 141, 142, 143, 144, 148 were bound to NUMA node 3." VIRT.SW.NOTES[29] = " Db VMs of tiles 01, 17, 33, 49, 65, 81, 97, 113, 129 were bound to NUMA node 0." VIRT.SW.NOTES[2] = "The following services were disabled:" VIRT.SW.NOTES[30] = " Db VMs of tiles 05, 21, 37, 53, 69, 85, 101, 117, 133, 145 were bound to NUMA node 1." VIRT.SW.NOTES[31] = " Db VMs of tiles 09, 25, 41, 57, 73, 89, 105, 121, 137 were bound to NUMA node 2." VIRT.SW.NOTES[32] = " Db VMs of tiles 13, 29, 45, 61, 77, 93, 109, 125, 141 were bound to NUMA node 3." VIRT.SW.NOTES[33] = " App VMs were reniced -20." VIRT.SW.NOTES[34] = " Db VMs were reniced -12." VIRT.SW.NOTES[35] = " Web VMs were reniced -8." VIRT.SW.NOTES[36] = " Mail VMs were reniced 19." VIRT.SW.NOTES[37] = " Infra VMs were reniced 19." VIRT.SW.NOTES[38] = " Batch VMs were reniced 19." VIRT.SW.NOTES[39] = "After the system booted, power of CPU was set manually with the command: cpupower frequency-set -g performance." VIRT.SW.NOTES[3] = " kdump irqbalance firewalld crond multipathd smartd" VIRT.SW.NOTES[4] = "The following items were added in /etc/sysctl.conf:" VIRT.SW.NOTES[5] = " fs.aio-max-nr = 1572864" VIRT.SW.NOTES[6] = " kernel.perf_event_max_sample_rate = 250" VIRT.SW.NOTES[7] = " kernel.sched_rt_runtime_us = 950000" VIRT.SW.NOTES[8] = " kernel.numa_balancing = 0" VIRT.SW.NOTES[9] = " vm.dirty_background_ratio = 5" VIRT.SW_AVAIL[0] = "May-2022" VIRTUALIZATION.PRODUCT = "Red Hat Enterprise Linux release 9.0 (Plow)" VIRTUALIZATION.VENDOR = "Red Hat Inc." VM.APP.NOTES[0] = "The following boot options were used:" VM.APP.NOTES[10] = " net.ipv4.conf.default.arp_filter = 1" VM.APP.NOTES[11] = " net.ipv4.conf.all.arp_filter = 1" VM.APP.NOTES[12] = " net.ipv4.tcp_max_tw_buckets = 500000" VM.APP.NOTES[13] = " vm.swappiness = 0" VM.APP.NOTES[14] = "The following commands were run before the benchmark was started:" VM.APP.NOTES[15] = " ulimit -n 1048576" VM.APP.NOTES[16] = " ulimit -u 1000000" VM.APP.NOTES[17] = "There were two WebSphere profiles, AppSrv01 for SPECjAppServer2004 and AppSrv02 for SPEC Emulator." VM.APP.NOTES[1] = " rhgb selinux=0 audit=0 elevator=deadline clocksource=kvm_clock highres=off ipv6.disable=1 nowatchdog intel_idle.max_cstate=0 processor.max_cstate=1 cgroup_disable=memory,cpu,blkio net.ifnames=0 biosdevname=0 quiet" VM.APP.NOTES[2] = "The following services were disabled:" VM.APP.NOTES[3] = " arp-ethers blk-availability brltty canberra-system-bootup canberra-system-shutdown-reboot canberra-system-shutdown chrony-wait console-getty cpupower cups-browsed debug-shell dnsmasq ebtables firewalld gssproxy initial-setup-reconfiguration initial-setup io.podman iprdump iprinit iprupdate iscsid iscsiuio kpatch libvirt-guests ndctl-monitor netcf-transaction nfs-blkmap nfs-server nftables numad ostree-finalize-staged ostree-remount psacct qemu-guest-agent radvd ras-mc-ctl rasdaemon rdisc rdma rhnsd rhsm-facts rhsm saslauthd serial-getty@ speech-dispatcherd sshd-keygen@ switcheroo-control systemd-nspawn@ systemd-resolved tcsd upower vmtoolsd-init wpa_supplicant" VM.APP.NOTES[4] = "root file system mount options: defaults" VM.APP.NOTES[5] = "The tuned package was installed and activated with the virtual-guest profile." VM.APP.NOTES[6] = "The following items were added in /etc/sysctl.d/sysctl.conf:" VM.APP.NOTES[7] = " fs.file-max = 500000" VM.APP.NOTES[8] = " kernel.sched_features = 7275" VM.APP.NOTES[9] = " net.core.somaxconn = 8192" VM.BATCH.NOTES[0] = "The following boot options were used:" VM.BATCH.NOTES[10] = " net.ipv4.conf.default.arp_filter = 1" VM.BATCH.NOTES[11] = " net.ipv4.conf.all.arp_filter = 1" VM.BATCH.NOTES[12] = " net.ipv4.tcp_max_tw_buckets = 500000" VM.BATCH.NOTES[13] = " vm.swappiness = 0" VM.BATCH.NOTES[14] = "The following lines were added to /etc/security/limits.d/limits.conf:" VM.BATCH.NOTES[15] = " * soft memlock unlimited" VM.BATCH.NOTES[16] = " * hard memlock unlimited" VM.BATCH.NOTES[17] = "The following commands were run before the benchmark was started:" VM.BATCH.NOTES[18] = " ulimit -n 1048576" VM.BATCH.NOTES[19] = " ulimit -u 1000000" VM.BATCH.NOTES[1] = " rhgb selinux=0 audit=0 elevator=deadline clocksource=kvm_clock highres=off ipv6.disable=1 nowatchdog intel_idle.max_cstate=0 processor.max_cstate=1 cgroup_disable=memory,cpu,blkio net.ifnames=0 biosdevname=0 quiet" VM.BATCH.NOTES[2] = "The following services were disabled:" VM.BATCH.NOTES[3] = " arp-ethers atd auditd blk-availability chrony-wait console-getty cpupower crond debug-shell ebtables firewalld io.podman iprdump iprinit iprupdate irqbalance iscsid iscsiuio kdump kpatch lvm2-monitor mcelog mdmonitor nftables psacct qemu-guest-agent rdisc rhnsd rhsm-facts rhsm rhsmcertd rngd serial-getty@ smartd sshd-keygen@ sssd systemd-resolved tcsd" VM.BATCH.NOTES[4] = "root file system mount options: defaults,noatime" VM.BATCH.NOTES[5] = "The tuned package was installed and activated with the virtual-guest profile." VM.BATCH.NOTES[6] = "The following items were added in /etc/sysctl.d/sysctl.conf:" VM.BATCH.NOTES[7] = " fs.file-max = 500000" VM.BATCH.NOTES[8] = " kernel.sched_features = 7275" VM.BATCH.NOTES[9] = " net.core.somaxconn = 8192" VM.DB.NOTES[0] = "The following boot options were used:" VM.DB.NOTES[10] = " kernel.sched_features = 7275" VM.DB.NOTES[11] = " net.core.somaxconn = 32384" VM.DB.NOTES[12] = " net.ipv4.conf.default.arp_filter = 1" VM.DB.NOTES[13] = " net.ipv4.conf.all.arp_filter = 1" VM.DB.NOTES[14] = " net.ipv4.tcp_max_tw_buckets = 1000000" VM.DB.NOTES[15] = " vm.swappiness = 0" VM.DB.NOTES[16] = " kernel.shmmax = 137438953472" VM.DB.NOTES[17] = " kernel.shmmni = 11118096" VM.DB.NOTES[18] = " kernel.shmall = 8294967296" VM.DB.NOTES[19] = " kernel.sched_migration_cost_ns = 150000" VM.DB.NOTES[1] = " rhgb selinux=0 audit=0 elevator=deadline clocksource=kvm_clock highres=off ipv6.disable=1 nowatchdog intel_idle.max_cstate=0 processor.max_cstate=1 cgroup_disable=memory,cpu,blkio net.ifnames=0 biosdevname=0 quiet" VM.DB.NOTES[20] = " kernel.randomize_va_space=0" VM.DB.NOTES[21] = " vm.nr_hugepages=0" VM.DB.NOTES[22] = " vm.nr_hugepages=13312" VM.DB.NOTES[23] = " vm.nr_overcommit_hugepages=512" VM.DB.NOTES[24] = "The following lines were added to /etc/security/limits.conf:" VM.DB.NOTES[25] = " db2inst1 soft nofile 1048576" VM.DB.NOTES[26] = " db2inst1 hard nofile 1048576" VM.DB.NOTES[27] = " db2inst1 soft nproc 1000000" VM.DB.NOTES[28] = " db2inst1 hard nproc 1000000" VM.DB.NOTES[29] = "The following commands were run before the benchmark was started:" VM.DB.NOTES[2] = "The following services were disabled:" VM.DB.NOTES[30] = " ulimit -n 1048576" VM.DB.NOTES[31] = " ulimit -u 1000000" VM.DB.NOTES[32] = "13312 2MB huge pages were allocated prior to starting the database instance." VM.DB.NOTES[33] = "Database tuning options:" VM.DB.NOTES[34] = " db2 update db cfg for specdb using DATABASE_MEMORY 6553600" VM.DB.NOTES[35] = " db2set DB2_LARGE_PAGE_MEM=DB" VM.DB.NOTES[36] = " db2set DB2_PINNED_BP=ON" VM.DB.NOTES[37] = " alter bufferpool IBMDEFAULTBP size 5898240" VM.DB.NOTES[38] = " alter tablespace roll_space NO FILE SYSTEM CACHING" VM.DB.NOTES[39] = " alter tablespace C_cust_space NO FILE SYSTEM CACHING" VM.DB.NOTES[3] = " arp-ethers atd auditd blk-availability chrony-wait chronyd console-getty cpupower crond debug-shell ebtables firewalld gssproxy io.podman iprdump iprinit iprupdate irqbalance iscsid iscsiuio kdump kpatch libstoragemgmt mcelog nfs-blkmap nfs-server nftables psacct qemu-guest-agent rdisc rhnsd rhsm-facts rhsm rhsmcertd rngd rsyslog serial-getty@ smartd sshd-keygen@ sssd systemd-resolved tcsd" VM.DB.NOTES[40] = " alter tablespace C_supp_space NO FILE SYSTEM CACHING" VM.DB.NOTES[41] = " alter tablespace C_site_space NO FILE SYSTEM CACHING" VM.DB.NOTES[42] = " alter tablespace C_parts_space NO FILE SYSTEM CACHING" VM.DB.NOTES[43] = " alter tablespace C_custinv_space NO FILE SYSTEM CACHING" VM.DB.NOTES[44] = " alter tablespace M_parts_space NO FILE SYSTEM CACHING" VM.DB.NOTES[45] = " alter tablespace M_bom_space NO FILE SYSTEM CACHING" VM.DB.NOTES[46] = " alter tablespace M_wo_space NO FILE SYSTEM CACHING" VM.DB.NOTES[47] = " alter tablespace M_lo_space NO FILE SYSTEM CACHING" VM.DB.NOTES[48] = " alter tablespace M_inv_space NO FILE SYSTEM CACHING" VM.DB.NOTES[49] = " alter tablespace O_cust_space NO FILE SYSTEM CACHING" VM.DB.NOTES[4] = "root file system mount options: defaults,noatime" VM.DB.NOTES[50] = " alter tablespace O_ords_space NO FILE SYSTEM CACHING" VM.DB.NOTES[51] = " alter tablespace O_ordl_space NO FILE SYSTEM CACHING" VM.DB.NOTES[52] = " alter tablespace O_item_space NO FILE SYSTEM CACHING" VM.DB.NOTES[53] = " alter tablespace S_comp_space NO FILE SYSTEM CACHING" VM.DB.NOTES[54] = " alter tablespace S_sc_space NO FILE SYSTEM CACHING" VM.DB.NOTES[55] = " alter tablespace S_po_space NO FILE SYSTEM CACHING" VM.DB.NOTES[56] = " alter tablespace S_site_space NO FILE SYSTEM CACHING" VM.DB.NOTES[57] = " alter tablespace S_supp_space NO FILE SYSTEM CACHING" VM.DB.NOTES[58] = " alter tablespace U_space NO FILE SYSTEM CACHING" VM.DB.NOTES[59] = " update database config for specdb using LOGFILSIZ 65536" VM.DB.NOTES[5] = "Database storage file system mount options: noatime,data=writeback" VM.DB.NOTES[60] = " update database config for specdb using logprimary 64 logsecond 4" VM.DB.NOTES[6] = "The tuned package was installed and activated with the virtual-guest profile." VM.DB.NOTES[7] = "The following items were added in /etc/sysctl.d/sysctl.conf:" VM.DB.NOTES[8] = " fs.file-max = 500000" VM.DB.NOTES[9] = " kernel.randomize_va_space = 0" VM.INFRA.NOTES[0] = "The following boot options were used:" VM.INFRA.NOTES[10] = " net.ipv4.conf.default.arp_filter = 1" VM.INFRA.NOTES[11] = " net.ipv4.conf.all.arp_filter = 1" VM.INFRA.NOTES[12] = " net.ipv4.tcp_max_tw_buckets = 1500000" VM.INFRA.NOTES[13] = " net.ipv4.tcp_tw_reuse = 1" VM.INFRA.NOTES[14] = " net.ipv4.tcp_keepalive_time = 120" VM.INFRA.NOTES[15] = " net.ipv4.tcp_keepalive_intvl = 30" VM.INFRA.NOTES[16] = " net.ipv4.tcp_timestamp = 0" VM.INFRA.NOTES[17] = " net.ipv4.tcp_syn_retries = 4" VM.INFRA.NOTES[18] = " net.ipv4.tcp_retries2 = 7" VM.INFRA.NOTES[19] = " vm.swappiness = 0" VM.INFRA.NOTES[1] = " rhgb selinux=0 audit=0 elevator=deadline clocksource=kvm_clock highres=off ipv6.disable=1 nowatchdog intel_idle.max_cstate=0 processor.max_cstate=1 cgroup_disable=memory,cpu,blkio net.ifnames=0 biosdevname=0 quiet" VM.INFRA.NOTES[20] = "The following commands were run before the benchmark was started:" VM.INFRA.NOTES[21] = " ulimit -n 1048576" VM.INFRA.NOTES[22] = " ulimit -u 1000000" VM.INFRA.NOTES[23] = "httpd.conf changes:" VM.INFRA.NOTES[24] = " Listen 81" VM.INFRA.NOTES[25] = " " VM.INFRA.NOTES[26] = " StartServers 8" VM.INFRA.NOTES[27] = " MinSpareServers 5" VM.INFRA.NOTES[28] = " MaxSpareServers 20" VM.INFRA.NOTES[29] = " ServerLimit 10240" VM.INFRA.NOTES[2] = "The following services were disabled:" VM.INFRA.NOTES[30] = " MaxClients 10240" VM.INFRA.NOTES[31] = " MaxRequestsPerChild 4000" VM.INFRA.NOTES[32] = " " VM.INFRA.NOTES[33] = " " VM.INFRA.NOTES[34] = " StartServers 2" VM.INFRA.NOTES[35] = " MaxClients 150" VM.INFRA.NOTES[36] = " MinSpareThreads 25" VM.INFRA.NOTES[37] = " MaxSpareThreads 75" VM.INFRA.NOTES[38] = " ThreadsPerChild 25" VM.INFRA.NOTES[39] = " MaxRequestsPerChild 0" VM.INFRA.NOTES[3] = " arp-ethers atd auditd blk-availability chrony-wait console-getty cpupower crond debug-shell ebtables firewalld gssproxy httpd@ io.podman iprdump iprinit iprupdate irqbalance iscsid iscsiuio kdump kpatch lvm2-monitor mcelog mdmonitor nfs-blkmap nftables psacct qemu-guest-agent rdisc rhnsd rhsm-facts rhsm rhsmcertd rngd saslauthd serial-getty@ smartd sshd-keygen@ sssd systemd-resolved tcsd" VM.INFRA.NOTES[40] = " " VM.INFRA.NOTES[41] = " LoadModule fcgid_module modules/mod_fcgid.so" VM.INFRA.NOTES[42] = " ScriptAlias /fcgi-bin/ "/var/www/fcgi-bin/" VM.INFRA.NOTES[43] = " FcgidIPCDir /etc/httpd/fcgid" VM.INFRA.NOTES[44] = " " VM.INFRA.NOTES[45] = " AllowOverride None" VM.INFRA.NOTES[46] = " Options +ExecCGI -Includes" VM.INFRA.NOTES[47] = " SetHandler fcgid-script" VM.INFRA.NOTES[48] = " Order allow,deny" VM.INFRA.NOTES[49] = " Allow from all" VM.INFRA.NOTES[4] = "root file system mount options: defaults" VM.INFRA.NOTES[50] = " " VM.INFRA.NOTES[51] = " AddHandler fcgid-script fcgi" VM.INFRA.NOTES[52] = "Standard Linux NFS server was enabled to serve files to the Web VM." VM.INFRA.NOTES[53] = "/etc/exports file contains:" VM.INFRA.NOTES[54] = " /home/webfiles/downloads webserver-int(rw,async,no_subtree_check,no_root_squash)" VM.INFRA.NOTES[5] = "The tuned package was installed and activated with the virtual-guest profile." VM.INFRA.NOTES[6] = "The following items were added in /etc/sysctl.d/sysctl.conf:" VM.INFRA.NOTES[7] = " fs.file-max = 500000" VM.INFRA.NOTES[8] = " kernel.sched_features = 7275" VM.INFRA.NOTES[9] = " net.core.somaxconn = 81920" VM.MAIL.NOTES[0] = "The following boot options were used:" VM.MAIL.NOTES[10] = " net.core.somaxconn = 8192" VM.MAIL.NOTES[11] = " net.ipv4.conf.default.arp_filter = 1" VM.MAIL.NOTES[12] = " net.ipv4.conf.all.arp_filter = 1" VM.MAIL.NOTES[13] = " net.ipv4.tcp_max_tw_buckets = 500000" VM.MAIL.NOTES[14] = " vm.swappiness = 0" VM.MAIL.NOTES[15] = "The following commands were run before the benchmark was started:" VM.MAIL.NOTES[16] = " ulimit -n 1048576" VM.MAIL.NOTES[17] = " ulimit -u 1000000" VM.MAIL.NOTES[18] = "The following dovecot.conf was used:" VM.MAIL.NOTES[19] = " base_dir = /var/run/dovecot/" VM.MAIL.NOTES[1] = " rhgb selinux=0 audit=0 elevator=deadline clocksource=kvm_clock highres=off ipv6.disable=1 nowatchdog intel_idle.max_cstate=0 processor.max_cstate=1 cgroup_disable=memory,cpu,blkio net.ifnames=0 biosdevname=0 quiet" VM.MAIL.NOTES[20] = " ssl = no" VM.MAIL.NOTES[21] = " ssl_cert =" VM.MAIL.NOTES[22] = " ssl_key =" VM.MAIL.NOTES[23] = " disable_plaintext_auth = no" VM.MAIL.NOTES[24] = " listen = *" VM.MAIL.NOTES[25] = " log_path = /var/log/dovecot.log" VM.MAIL.NOTES[26] = " mail_debug = no" VM.MAIL.NOTES[27] = " mail_location = dbox:/mailstore/dovecot/maildir/%u" VM.MAIL.NOTES[28] = " mdbox_rotate_size = 8192" VM.MAIL.NOTES[29] = " maildir_very_dirty_syncs = yes" VM.MAIL.NOTES[2] = "The following services were disabled:" VM.MAIL.NOTES[30] = " mbox_very_dirty_syncs = yes" VM.MAIL.NOTES[31] = " mbox_write_locks = fcntl" VM.MAIL.NOTES[32] = " mmap_disable = yes" VM.MAIL.NOTES[33] = " mail_fsync = never" VM.MAIL.NOTES[34] = " mailbox_list_index = yes" VM.MAIL.NOTES[35] = " mailbox_list_index_very_dirty_syncs = yes" VM.MAIL.NOTES[36] = " auth_cache_size = 5000" VM.MAIL.NOTES[37] = " passdb {" VM.MAIL.NOTES[38] = " args = scheme=plain /etc/dovecot/passwd.dovecot" VM.MAIL.NOTES[39] = " driver = passwd-file" VM.MAIL.NOTES[3] = " arp-ethers atd auditd blk-availability chrony-wait console-getty cpupower crond debug-shell ebtables firewalld io.podman iprdump iprinit iprupdate irqbalance iscsid iscsiuio kdump kpatch lvm2-monitor mcelog mdmonitor nftables psacct qemu-guest-agent rdisc rhnsd rhsm-facts rhsm rhsmcertd rngd serial-getty@ smartd sshd-keygen@ sssd systemd-resolved tcsd" VM.MAIL.NOTES[40] = " }" VM.MAIL.NOTES[41] = " protocols = imap" VM.MAIL.NOTES[42] = " service auth {" VM.MAIL.NOTES[43] = " user = root" VM.MAIL.NOTES[44] = " }" VM.MAIL.NOTES[45] = " service imap-login {" VM.MAIL.NOTES[46] = " inet_listener imap {" VM.MAIL.NOTES[47] = " port = 143" VM.MAIL.NOTES[48] = " }" VM.MAIL.NOTES[49] = " process_min_avail = 10" VM.MAIL.NOTES[4] = "root file system mount options: defaults,noatime" VM.MAIL.NOTES[50] = " service_count = 0" VM.MAIL.NOTES[51] = " }" VM.MAIL.NOTES[52] = " service imap {" VM.MAIL.NOTES[53] = " process_min_avail = 10" VM.MAIL.NOTES[54] = " }" VM.MAIL.NOTES[55] = " userdb {" VM.MAIL.NOTES[56] = " driver = passwd" VM.MAIL.NOTES[57] = " }" VM.MAIL.NOTES[58] = " dict {" VM.MAIL.NOTES[59] = " }" VM.MAIL.NOTES[5] = "Mail storage file system mount options: defaults,noatime,data=writeback" VM.MAIL.NOTES[6] = "The tuned package was installed and activated with the virtual-guest profile." VM.MAIL.NOTES[7] = "The following items were added in /etc/sysctl.d/sysctl.conf:" VM.MAIL.NOTES[8] = " fs.file-max = 500000" VM.MAIL.NOTES[9] = " kernel.sched_features = 7275" VM.WEB.NOTES[0] = "The following boot options were used:" VM.WEB.NOTES[100] = " fastcgi_index index.php;" VM.WEB.NOTES[101] = " fastcgi_param SCRIPT_FILENAME /var/www/html$fastcgi_script_name;" VM.WEB.NOTES[102] = " include fastcgi_params;" VM.WEB.NOTES[103] = " }" VM.WEB.NOTES[104] = " }" VM.WEB.NOTES[105] = "/etc/nginx/conf.d/ssl.conf contains:" VM.WEB.NOTES[106] = " server {" VM.WEB.NOTES[107] = " listen 443 ssl backlog=81920;" VM.WEB.NOTES[108] = " server_name webserver:443;" VM.WEB.NOTES[109] = " ssl on;" VM.WEB.NOTES[10] = " net.core.net_dev_budget = 3000" VM.WEB.NOTES[110] = " ssl_certificate /etc/pki/tls/certs/hostname.crt;" VM.WEB.NOTES[111] = " ssl_certificate_key /etc/pki/tls/private/hostname.key;" VM.WEB.NOTES[112] = " ssl_session_cache shared:SSL:1m;" VM.WEB.NOTES[113] = " ssl_session_timeout 5m;" VM.WEB.NOTES[114] = " ssl_protocols SSLv3 TLSv1 TLSv1.1 TLSv1.2;" VM.WEB.NOTES[115] = " ssl_ciphers ALL:!ADH:!EXPORT:!SSLv2:RC4+RSA:+HIGH:+MEDIUM:+LOW;" VM.WEB.NOTES[116] = " ssl_prefer_server_ciphers on;" VM.WEB.NOTES[117] = " location / {" VM.WEB.NOTES[118] = " root /var/www/html;" VM.WEB.NOTES[119] = " index index.html index.htm index.php;" VM.WEB.NOTES[11] = " net.core.net_dev_max_backlog = 30000" VM.WEB.NOTES[120] = " }" VM.WEB.NOTES[121] = " location ~ .*/.(html|htm|gif|jpg|jpeg|bmp|png|ico|txt|js|css)$ {" VM.WEB.NOTES[122] = " root /var/www/html;" VM.WEB.NOTES[123] = " expires 100d;" VM.WEB.NOTES[124] = " }" VM.WEB.NOTES[125] = " location ~ ^/images/ {" VM.WEB.NOTES[126] = " root /var/www/html/support;" VM.WEB.NOTES[127] = " expires 100d;" VM.WEB.NOTES[128] = " }" VM.WEB.NOTES[129] = " location ~ /.php$ {" VM.WEB.NOTES[12] = " net.ipv4.conf.default.arp_filter = 1" VM.WEB.NOTES[130] = " root html;" VM.WEB.NOTES[131] = " fastcgi_pass fastcgi_backend;" VM.WEB.NOTES[132] = " fastcgi_keep_conn on;" VM.WEB.NOTES[133] = " fastcgi_index index.php;" VM.WEB.NOTES[134] = " fastcgi_param SCRIPT_FILENAME /var/www/html$fastcgi_script_name;" VM.WEB.NOTES[135] = " include fastcgi_params;" VM.WEB.NOTES[136] = " }" VM.WEB.NOTES[137] = " }" VM.WEB.NOTES[138] = "Web VM used NFS to access files from infra VM." VM.WEB.NOTES[139] = " After the Infra VM was booted and its NFS service was up, the Web VM mounted the NFS file system via test automation software." VM.WEB.NOTES[13] = " net.ipv4.conf.all.arp_filter = 1" VM.WEB.NOTES[140] = " The datastore was mounted on /var/www/html/support/downloads" VM.WEB.NOTES[14] = " net.ipv4.tcp_fin_timeout = 20" VM.WEB.NOTES[15] = " net.ipv4.tcp_keepalive_intvl = 30" VM.WEB.NOTES[16] = " net.ipv4.tcp_keepalive_probes = 4" VM.WEB.NOTES[17] = " net.ipv4.tcp_keepalive_time = 120" VM.WEB.NOTES[18] = " net.ipv4.tcp_max_syn_backlog = 20000" VM.WEB.NOTES[19] = " net.ipv4.tcp_timestamps = 0" VM.WEB.NOTES[1] = " rhgb selinux=0 audit=0 elevator=deadline clocksource=kvm_clock highres=off ipv6.disable=1 nowatchdog intel_idle.max_cstate=0 processor.max_cstate=1 cgroup_disable=memory,cpu,blkio net.ifnames=0 biosdevname=0 quiet" VM.WEB.NOTES[20] = " net.ipv4.tcp_tw_recycle = 1" VM.WEB.NOTES[21] = " net.ipv4.tcp_tw_reuse = 1" VM.WEB.NOTES[22] = " net.ipv4.tcp_max_tw_buckets = 1500000" VM.WEB.NOTES[23] = " vm.swappiness = 0" VM.WEB.NOTES[24] = "The following commands were run before the benchmark was started:" VM.WEB.NOTES[25] = " ulimit -n 1048576" VM.WEB.NOTES[26] = " ulimit -u 1000000" VM.WEB.NOTES[27] = "The php-fpm package was installed. /etc/php-fpm.d/www.conf changes:" VM.WEB.NOTES[28] = " user = apache" VM.WEB.NOTES[29] = " group = apache" VM.WEB.NOTES[2] = "The following services were disabled:" VM.WEB.NOTES[30] = " pm.max_children = 700" VM.WEB.NOTES[31] = " pm.start_servers = 250" VM.WEB.NOTES[32] = " pm.min_spare_servers = 100" VM.WEB.NOTES[33] = " pm.max_spare_servers = 300" VM.WEB.NOTES[34] = "/etc/nginx/nginx.conf contains:" VM.WEB.NOTES[35] = " user apache apache;" VM.WEB.NOTES[36] = " worker_processes 4;" VM.WEB.NOTES[37] = " worker_cpu_affinity 0001 0001 0010 0010;" VM.WEB.NOTES[38] = " worker_rlimit_nofile 65535;" VM.WEB.NOTES[39] = " pid /var/run/nginx.pid;" VM.WEB.NOTES[3] = " arp-ethers atd auditd blk-availability chrony-wait console-getty cpupower crond debug-shell ebtables firewalld gssproxy httpd httpd@ io.podman iprdump iprinit iprupdate irqbalance iscsid iscsiuio kdump kpatch lvm2-monitor mcelog mdmonitor nfs-blkmap nfs-server nftables nginx php-fpm psacct qemu-guest-agent rdisc rhnsd rhsm-facts rhsm rhsmcertd rngd saslauthd serial-getty@ smartd sshd-keygen@ sssd systemd-resolved tcsd" VM.WEB.NOTES[40] = " events {" VM.WEB.NOTES[41] = " use epoll;" VM.WEB.NOTES[42] = " worker_connections 65535;" VM.WEB.NOTES[43] = " multi_accept on;" VM.WEB.NOTES[44] = " accept_mutex off;" VM.WEB.NOTES[45] = " }" VM.WEB.NOTES[46] = " http {" VM.WEB.NOTES[47] = " include /etc/nginx/mime.types;" VM.WEB.NOTES[48] = " default_type application/octet-stream;" VM.WEB.NOTES[49] = " log_format common '$remote_addr - $remote_user [$time_local] $request'" VM.WEB.NOTES[4] = "root file system mount options: defaults,noatime" VM.WEB.NOTES[50] = " '"$status" $body_bytes_sent ';" VM.WEB.NOTES[51] = " access_log off;" VM.WEB.NOTES[52] = " sendfile on;" VM.WEB.NOTES[53] = " sendfile_max_chunk 1m;" VM.WEB.NOTES[54] = " tcp_nopush on;" VM.WEB.NOTES[55] = " tcp_nodelay on;" VM.WEB.NOTES[56] = " keepalive_timeout 120;" VM.WEB.NOTES[57] = " keepalive_requests 10000;" VM.WEB.NOTES[58] = " open_file_cache max=204800 inactive=20s;" VM.WEB.NOTES[59] = " open_file_cache_min_uses 1;" VM.WEB.NOTES[5] = "The tuned package was installed and activated with the virtual-guest profile." VM.WEB.NOTES[60] = " open_file_cache_valid 30s;" VM.WEB.NOTES[61] = " open_file_cache_errors on;" VM.WEB.NOTES[62] = " read_ahead 4096;" VM.WEB.NOTES[63] = " charset utf-8;" VM.WEB.NOTES[64] = " server_names_hash_bucket_size 128;" VM.WEB.NOTES[65] = " client_header_buffer_size 2k;" VM.WEB.NOTES[66] = " large_client_header_buffers 4 4k;" VM.WEB.NOTES[67] = " include /etc/nginx/conf.d/*.conf;" VM.WEB.NOTES[68] = " }" VM.WEB.NOTES[69] = "/etc/nginx/conf.d/default.conf contains:" VM.WEB.NOTES[6] = "The following items were added in /etc/sysctl.d/sysctl.conf:" VM.WEB.NOTES[70] = " upstream fastcgi_backend {" VM.WEB.NOTES[71] = " server 127.0.0.1:9000;" VM.WEB.NOTES[72] = " keepalive 128;" VM.WEB.NOTES[73] = " }" VM.WEB.NOTES[74] = " server {" VM.WEB.NOTES[75] = " listen 80 backlog=81920;" VM.WEB.NOTES[76] = " server_name localhost;" VM.WEB.NOTES[77] = " location / {" VM.WEB.NOTES[78] = " root /var/www/html;" VM.WEB.NOTES[79] = " index index.html index.htm index.php;" VM.WEB.NOTES[7] = " fs.file-max = 500000" VM.WEB.NOTES[80] = " }" VM.WEB.NOTES[81] = " error_page 500 502 503 504 /50x.html;" VM.WEB.NOTES[82] = " location = /50x.html {" VM.WEB.NOTES[83] = " root /usr/share/nginx/html;" VM.WEB.NOTES[84] = " }" VM.WEB.NOTES[85] = " location = /error.log {" VM.WEB.NOTES[86] = " root /var/log/nginx;" VM.WEB.NOTES[87] = " }" VM.WEB.NOTES[88] = " location ~ .*/.(html|htm|gif|jpg|jpeg|bmp|png|ico|txt|js|css)$ {" VM.WEB.NOTES[89] = " root /var/www/html;" VM.WEB.NOTES[8] = " kernel.sched_features = 7275" VM.WEB.NOTES[90] = " expires 100d;" VM.WEB.NOTES[91] = " }" VM.WEB.NOTES[92] = " location ~ ^/images/ {" VM.WEB.NOTES[93] = " root /var/www/html/support;" VM.WEB.NOTES[94] = " expires 100d;" VM.WEB.NOTES[95] = " }" VM.WEB.NOTES[96] = " location ~ /.php$ {" VM.WEB.NOTES[97] = " root html;" VM.WEB.NOTES[98] = " fastcgi_pass fastcgi_backend;" VM.WEB.NOTES[99] = " fastcgi_keep_conn on;" VM.WEB.NOTES[9] = " net.core.somaxconn = 81920" VMS_PER_TILE = "5" WARMUP_SECONDS = "900" WEB.DATASTORE_MB = "0" WEB.ENCRYPT_CIPHER = "SSL_RSA_WITH_RC4_128_MD5" WEB.ENCRYPT_PROTOCOL = "SSLv3" WEB.JVM_VERSION[0] = "OpenJDK Runtime Environment (1.7.0_80-b15)" WEB.MEMORY_MB = "23552" WEB.NUM_VCPUS = "2" WEB.NUM_VNICS = "1" WEB.SCRIPT_AVAIL[0] = "May-2019" WEB.SCRIPT_NAME[0] = "PHP 7.2.11" WEB.SCRIPT_VENDOR[0] = "Red Hat" WEB.SERVER_AVAIL[0] = "May-2019" WEB.SERVER_NAME[0] = "nginx 1.14.1" WEB.SERVER_VENDOR[0] = "Red Hat" WEB.STORAGE_DESCR[0] = "1 x virtio_blk" WEB.SW_OTHER[0] = "Alternative PHP Cache APC 5.1.12" WEB.SW_OTHER[1] = "Smarty Template Engine 2.6.26" WEB.VCPU_MHZ[0] = "1900" WEB.VDISK_MB = "15360" WEB.VM_OS = "Red Hat Enterprise Linux release 8.0 (Ootpa)" WEB.VM_OS_AVAIL = "May-2019" WEB.VNIC_DESCR[0] = "1 x vfio_pci" WORKLOAD_AGG_AUDIT[0] = "org.spec.virt.JAppAudit" WORKLOAD_CLIENTS[0][0] = "client1:1091" WORKLOAD_CLIENTS[0][1] = "client1:1010" WORKLOAD_CLIENTS[0][2] = "client1:1200" WORKLOAD_CLIENTS[0][3] = "client1:1902" WORKLOAD_CLIENTS[100][0] = "client101:1091" WORKLOAD_CLIENTS[100][1] = "client101:1010" WORKLOAD_CLIENTS[100][2] = "client101:1200" WORKLOAD_CLIENTS[100][3] = "client101:1902" WORKLOAD_CLIENTS[101][0] = "client102:1091" WORKLOAD_CLIENTS[101][1] = "client102:1010" WORKLOAD_CLIENTS[101][2] = "client102:1200" WORKLOAD_CLIENTS[101][3] = "client102:1902" WORKLOAD_CLIENTS[102][0] = "client103:1091" WORKLOAD_CLIENTS[102][1] = "client103:1010" WORKLOAD_CLIENTS[102][2] = "client103:1200" WORKLOAD_CLIENTS[102][3] = "client103:1902" WORKLOAD_CLIENTS[103][0] = "client104:1091" WORKLOAD_CLIENTS[103][1] = "client104:1010" WORKLOAD_CLIENTS[103][2] = "client104:1200" WORKLOAD_CLIENTS[103][3] = "client104:1902" WORKLOAD_CLIENTS[104][0] = "client105:1091" WORKLOAD_CLIENTS[104][1] = "client105:1010" WORKLOAD_CLIENTS[104][2] = "client105:1200" WORKLOAD_CLIENTS[104][3] = "client105:1902" WORKLOAD_CLIENTS[105][0] = "client106:1091" WORKLOAD_CLIENTS[105][1] = "client106:1010" WORKLOAD_CLIENTS[105][2] = "client106:1200" WORKLOAD_CLIENTS[105][3] = "client106:1902" WORKLOAD_CLIENTS[106][0] = "client107:1091" WORKLOAD_CLIENTS[106][1] = "client107:1010" WORKLOAD_CLIENTS[106][2] = "client107:1200" WORKLOAD_CLIENTS[106][3] = "client107:1902" WORKLOAD_CLIENTS[107][0] = "client108:1091" WORKLOAD_CLIENTS[107][1] = "client108:1010" WORKLOAD_CLIENTS[107][2] = "client108:1200" WORKLOAD_CLIENTS[107][3] = "client108:1902" WORKLOAD_CLIENTS[108][0] = "client109:1091" WORKLOAD_CLIENTS[108][1] = "client109:1010" WORKLOAD_CLIENTS[108][2] = "client109:1200" WORKLOAD_CLIENTS[108][3] = "client109:1902" WORKLOAD_CLIENTS[109][0] = "client110:1091" WORKLOAD_CLIENTS[109][1] = "client110:1010" WORKLOAD_CLIENTS[109][2] = "client110:1200" WORKLOAD_CLIENTS[109][3] = "client110:1902" WORKLOAD_CLIENTS[10][0] = "client11:1091" WORKLOAD_CLIENTS[10][1] = "client11:1010" WORKLOAD_CLIENTS[10][2] = "client11:1200" WORKLOAD_CLIENTS[10][3] = "client11:1902" WORKLOAD_CLIENTS[110][0] = "client111:1091" WORKLOAD_CLIENTS[110][1] = "client111:1010" WORKLOAD_CLIENTS[110][2] = "client111:1200" WORKLOAD_CLIENTS[110][3] = "client111:1902" WORKLOAD_CLIENTS[111][0] = "client112:1091" WORKLOAD_CLIENTS[111][1] = "client112:1010" WORKLOAD_CLIENTS[111][2] = "client112:1200" WORKLOAD_CLIENTS[111][3] = "client112:1902" WORKLOAD_CLIENTS[112][0] = "client113:1091" WORKLOAD_CLIENTS[112][1] = "client113:1010" WORKLOAD_CLIENTS[112][2] = "client113:1200" WORKLOAD_CLIENTS[112][3] = "client113:1902" WORKLOAD_CLIENTS[113][0] = "client114:1091" WORKLOAD_CLIENTS[113][1] = "client114:1010" WORKLOAD_CLIENTS[113][2] = "client114:1200" WORKLOAD_CLIENTS[113][3] = "client114:1902" WORKLOAD_CLIENTS[114][0] = "client115:1091" WORKLOAD_CLIENTS[114][1] = "client115:1010" WORKLOAD_CLIENTS[114][2] = "client115:1200" WORKLOAD_CLIENTS[114][3] = "client115:1902" WORKLOAD_CLIENTS[115][0] = "client116:1091" WORKLOAD_CLIENTS[115][1] = "client116:1010" WORKLOAD_CLIENTS[115][2] = "client116:1200" WORKLOAD_CLIENTS[115][3] = "client116:1902" WORKLOAD_CLIENTS[116][0] = "client117:1091" WORKLOAD_CLIENTS[116][1] = "client117:1010" WORKLOAD_CLIENTS[116][2] = "client117:1200" WORKLOAD_CLIENTS[116][3] = "client117:1902" WORKLOAD_CLIENTS[117][0] = "client118:1091" WORKLOAD_CLIENTS[117][1] = "client118:1010" WORKLOAD_CLIENTS[117][2] = "client118:1200" WORKLOAD_CLIENTS[117][3] = "client118:1902" WORKLOAD_CLIENTS[118][0] = "client119:1091" WORKLOAD_CLIENTS[118][1] = "client119:1010" WORKLOAD_CLIENTS[118][2] = "client119:1200" WORKLOAD_CLIENTS[118][3] = "client119:1902" WORKLOAD_CLIENTS[119][0] = "client120:1091" WORKLOAD_CLIENTS[119][1] = "client120:1010" WORKLOAD_CLIENTS[119][2] = "client120:1200" WORKLOAD_CLIENTS[119][3] = "client120:1902" WORKLOAD_CLIENTS[11][0] = "client12:1091" WORKLOAD_CLIENTS[11][1] = "client12:1010" WORKLOAD_CLIENTS[11][2] = "client12:1200" WORKLOAD_CLIENTS[11][3] = "client12:1902" WORKLOAD_CLIENTS[120][0] = "client121:1091" WORKLOAD_CLIENTS[120][1] = "client121:1010" WORKLOAD_CLIENTS[120][2] = "client121:1200" WORKLOAD_CLIENTS[120][3] = "client121:1902" WORKLOAD_CLIENTS[121][0] = "client122:1091" WORKLOAD_CLIENTS[121][1] = "client122:1010" WORKLOAD_CLIENTS[121][2] = "client122:1200" WORKLOAD_CLIENTS[121][3] = "client122:1902" WORKLOAD_CLIENTS[122][0] = "client123:1091" WORKLOAD_CLIENTS[122][1] = "client123:1010" WORKLOAD_CLIENTS[122][2] = "client123:1200" WORKLOAD_CLIENTS[122][3] = "client123:1902" WORKLOAD_CLIENTS[123][0] = "client124:1091" WORKLOAD_CLIENTS[123][1] = "client124:1010" WORKLOAD_CLIENTS[123][2] = "client124:1200" WORKLOAD_CLIENTS[123][3] = "client124:1902" WORKLOAD_CLIENTS[124][0] = "client125:1091" WORKLOAD_CLIENTS[124][1] = "client125:1010" WORKLOAD_CLIENTS[124][2] = "client125:1200" WORKLOAD_CLIENTS[124][3] = "client125:1902" WORKLOAD_CLIENTS[125][0] = "client126:1091" WORKLOAD_CLIENTS[125][1] = "client126:1010" WORKLOAD_CLIENTS[125][2] = "client126:1200" WORKLOAD_CLIENTS[125][3] = "client126:1902" WORKLOAD_CLIENTS[126][0] = "client127:1091" WORKLOAD_CLIENTS[126][1] = "client127:1010" WORKLOAD_CLIENTS[126][2] = "client127:1200" WORKLOAD_CLIENTS[126][3] = "client127:1902" WORKLOAD_CLIENTS[127][0] = "client128:1091" WORKLOAD_CLIENTS[127][1] = "client128:1010" WORKLOAD_CLIENTS[127][2] = "client128:1200" WORKLOAD_CLIENTS[127][3] = "client128:1902" WORKLOAD_CLIENTS[128][0] = "client129:1091" WORKLOAD_CLIENTS[128][1] = "client129:1010" WORKLOAD_CLIENTS[128][2] = "client129:1200" WORKLOAD_CLIENTS[128][3] = "client129:1902" WORKLOAD_CLIENTS[129][0] = "client130:1091" WORKLOAD_CLIENTS[129][1] = "client130:1010" WORKLOAD_CLIENTS[129][2] = "client130:1200" WORKLOAD_CLIENTS[129][3] = "client130:1902" WORKLOAD_CLIENTS[12][0] = "client13:1091" WORKLOAD_CLIENTS[12][1] = "client13:1010" WORKLOAD_CLIENTS[12][2] = "client13:1200" WORKLOAD_CLIENTS[12][3] = "client13:1902" WORKLOAD_CLIENTS[130][0] = "client131:1091" WORKLOAD_CLIENTS[130][1] = "client131:1010" WORKLOAD_CLIENTS[130][2] = "client131:1200" WORKLOAD_CLIENTS[130][3] = "client131:1902" WORKLOAD_CLIENTS[131][0] = "client132:1091" WORKLOAD_CLIENTS[131][1] = "client132:1010" WORKLOAD_CLIENTS[131][2] = "client132:1200" WORKLOAD_CLIENTS[131][3] = "client132:1902" WORKLOAD_CLIENTS[132][0] = "client133:1091" WORKLOAD_CLIENTS[132][1] = "client133:1010" WORKLOAD_CLIENTS[132][2] = "client133:1200" WORKLOAD_CLIENTS[132][3] = "client133:1902" WORKLOAD_CLIENTS[133][0] = "client134:1091" WORKLOAD_CLIENTS[133][1] = "client134:1010" WORKLOAD_CLIENTS[133][2] = "client134:1200" WORKLOAD_CLIENTS[133][3] = "client134:1902" WORKLOAD_CLIENTS[134][0] = "client135:1091" WORKLOAD_CLIENTS[134][1] = "client135:1010" WORKLOAD_CLIENTS[134][2] = "client135:1200" WORKLOAD_CLIENTS[134][3] = "client135:1902" WORKLOAD_CLIENTS[135][0] = "client136:1091" WORKLOAD_CLIENTS[135][1] = "client136:1010" WORKLOAD_CLIENTS[135][2] = "client136:1200" WORKLOAD_CLIENTS[135][3] = "client136:1902" WORKLOAD_CLIENTS[136][0] = "client137:1091" WORKLOAD_CLIENTS[136][1] = "client137:1010" WORKLOAD_CLIENTS[136][2] = "client137:1200" WORKLOAD_CLIENTS[136][3] = "client137:1902" WORKLOAD_CLIENTS[137][0] = "client138:1091" WORKLOAD_CLIENTS[137][1] = "client138:1010" WORKLOAD_CLIENTS[137][2] = "client138:1200" WORKLOAD_CLIENTS[137][3] = "client138:1902" WORKLOAD_CLIENTS[138][0] = "client139:1091" WORKLOAD_CLIENTS[138][1] = "client139:1010" WORKLOAD_CLIENTS[138][2] = "client139:1200" WORKLOAD_CLIENTS[138][3] = "client139:1902" WORKLOAD_CLIENTS[139][0] = "client140:1091" WORKLOAD_CLIENTS[139][1] = "client140:1010" WORKLOAD_CLIENTS[139][2] = "client140:1200" WORKLOAD_CLIENTS[139][3] = "client140:1902" WORKLOAD_CLIENTS[13][0] = "client14:1091" WORKLOAD_CLIENTS[13][1] = "client14:1010" WORKLOAD_CLIENTS[13][2] = "client14:1200" WORKLOAD_CLIENTS[13][3] = "client14:1902" WORKLOAD_CLIENTS[140][0] = "client141:1091" WORKLOAD_CLIENTS[140][1] = "client141:1010" WORKLOAD_CLIENTS[140][2] = "client141:1200" WORKLOAD_CLIENTS[140][3] = "client141:1902" WORKLOAD_CLIENTS[141][0] = "client142:1091" WORKLOAD_CLIENTS[141][1] = "client142:1010" WORKLOAD_CLIENTS[141][2] = "client142:1200" WORKLOAD_CLIENTS[141][3] = "client142:1902" WORKLOAD_CLIENTS[142][0] = "client143:1091" WORKLOAD_CLIENTS[142][1] = "client143:1010" WORKLOAD_CLIENTS[142][2] = "client143:1200" WORKLOAD_CLIENTS[142][3] = "client143:1902" WORKLOAD_CLIENTS[143][0] = "client144:1091" WORKLOAD_CLIENTS[143][1] = "client144:1010" WORKLOAD_CLIENTS[143][2] = "client144:1200" WORKLOAD_CLIENTS[143][3] = "client144:1902" WORKLOAD_CLIENTS[144][0] = "client145:1091" WORKLOAD_CLIENTS[144][1] = "client145:1010" WORKLOAD_CLIENTS[144][2] = "client145:1200" WORKLOAD_CLIENTS[144][3] = "client145:1902" WORKLOAD_CLIENTS[145][0] = "client146:1091" WORKLOAD_CLIENTS[145][1] = "client146:1010" WORKLOAD_CLIENTS[145][2] = "client146:1200" WORKLOAD_CLIENTS[145][3] = "client146:1902" WORKLOAD_CLIENTS[146][0] = "client147:1091" WORKLOAD_CLIENTS[146][1] = "client147:1010" WORKLOAD_CLIENTS[146][2] = "client147:1200" WORKLOAD_CLIENTS[146][3] = "client147:1902" WORKLOAD_CLIENTS[147][0] = "client148:1091" WORKLOAD_CLIENTS[147][1] = "client148:1010" WORKLOAD_CLIENTS[147][2] = "client148:1200" WORKLOAD_CLIENTS[147][3] = "client148:1902" WORKLOAD_CLIENTS[14][0] = "client15:1091" WORKLOAD_CLIENTS[14][1] = "client15:1010" WORKLOAD_CLIENTS[14][2] = "client15:1200" WORKLOAD_CLIENTS[14][3] = "client15:1902" WORKLOAD_CLIENTS[15][0] = "client16:1091" WORKLOAD_CLIENTS[15][1] = "client16:1010" WORKLOAD_CLIENTS[15][2] = "client16:1200" WORKLOAD_CLIENTS[15][3] = "client16:1902" WORKLOAD_CLIENTS[16][0] = "client17:1091" WORKLOAD_CLIENTS[16][1] = "client17:1010" WORKLOAD_CLIENTS[16][2] = "client17:1200" WORKLOAD_CLIENTS[16][3] = "client17:1902" WORKLOAD_CLIENTS[17][0] = "client18:1091" WORKLOAD_CLIENTS[17][1] = "client18:1010" WORKLOAD_CLIENTS[17][2] = "client18:1200" WORKLOAD_CLIENTS[17][3] = "client18:1902" WORKLOAD_CLIENTS[18][0] = "client19:1091" WORKLOAD_CLIENTS[18][1] = "client19:1010" WORKLOAD_CLIENTS[18][2] = "client19:1200" WORKLOAD_CLIENTS[18][3] = "client19:1902" WORKLOAD_CLIENTS[19][0] = "client20:1091" WORKLOAD_CLIENTS[19][1] = "client20:1010" WORKLOAD_CLIENTS[19][2] = "client20:1200" WORKLOAD_CLIENTS[19][3] = "client20:1902" WORKLOAD_CLIENTS[1][0] = "client2:1091" WORKLOAD_CLIENTS[1][1] = "client2:1010" WORKLOAD_CLIENTS[1][2] = "client2:1200" WORKLOAD_CLIENTS[1][3] = "client2:1902" WORKLOAD_CLIENTS[20][0] = "client21:1091" WORKLOAD_CLIENTS[20][1] = "client21:1010" WORKLOAD_CLIENTS[20][2] = "client21:1200" WORKLOAD_CLIENTS[20][3] = "client21:1902" WORKLOAD_CLIENTS[21][0] = "client22:1091" WORKLOAD_CLIENTS[21][1] = "client22:1010" WORKLOAD_CLIENTS[21][2] = "client22:1200" WORKLOAD_CLIENTS[21][3] = "client22:1902" WORKLOAD_CLIENTS[22][0] = "client23:1091" WORKLOAD_CLIENTS[22][1] = "client23:1010" WORKLOAD_CLIENTS[22][2] = "client23:1200" WORKLOAD_CLIENTS[22][3] = "client23:1902" WORKLOAD_CLIENTS[23][0] = "client24:1091" WORKLOAD_CLIENTS[23][1] = "client24:1010" WORKLOAD_CLIENTS[23][2] = "client24:1200" WORKLOAD_CLIENTS[23][3] = "client24:1902" WORKLOAD_CLIENTS[24][0] = "client25:1091" WORKLOAD_CLIENTS[24][1] = "client25:1010" WORKLOAD_CLIENTS[24][2] = "client25:1200" WORKLOAD_CLIENTS[24][3] = "client25:1902" WORKLOAD_CLIENTS[25][0] = "client26:1091" WORKLOAD_CLIENTS[25][1] = "client26:1010" WORKLOAD_CLIENTS[25][2] = "client26:1200" WORKLOAD_CLIENTS[25][3] = "client26:1902" WORKLOAD_CLIENTS[26][0] = "client27:1091" WORKLOAD_CLIENTS[26][1] = "client27:1010" WORKLOAD_CLIENTS[26][2] = "client27:1200" WORKLOAD_CLIENTS[26][3] = "client27:1902" WORKLOAD_CLIENTS[27][0] = "client28:1091" WORKLOAD_CLIENTS[27][1] = "client28:1010" WORKLOAD_CLIENTS[27][2] = "client28:1200" WORKLOAD_CLIENTS[27][3] = "client28:1902" WORKLOAD_CLIENTS[28][0] = "client29:1091" WORKLOAD_CLIENTS[28][1] = "client29:1010" WORKLOAD_CLIENTS[28][2] = "client29:1200" WORKLOAD_CLIENTS[28][3] = "client29:1902" WORKLOAD_CLIENTS[29][0] = "client30:1091" WORKLOAD_CLIENTS[29][1] = "client30:1010" WORKLOAD_CLIENTS[29][2] = "client30:1200" WORKLOAD_CLIENTS[29][3] = "client30:1902" WORKLOAD_CLIENTS[2][0] = "client3:1091" WORKLOAD_CLIENTS[2][1] = "client3:1010" WORKLOAD_CLIENTS[2][2] = "client3:1200" WORKLOAD_CLIENTS[2][3] = "client3:1902" WORKLOAD_CLIENTS[30][0] = "client31:1091" WORKLOAD_CLIENTS[30][1] = "client31:1010" WORKLOAD_CLIENTS[30][2] = "client31:1200" WORKLOAD_CLIENTS[30][3] = "client31:1902" WORKLOAD_CLIENTS[31][0] = "client32:1091" WORKLOAD_CLIENTS[31][1] = "client32:1010" WORKLOAD_CLIENTS[31][2] = "client32:1200" WORKLOAD_CLIENTS[31][3] = "client32:1902" WORKLOAD_CLIENTS[32][0] = "client33:1091" WORKLOAD_CLIENTS[32][1] = "client33:1010" WORKLOAD_CLIENTS[32][2] = "client33:1200" WORKLOAD_CLIENTS[32][3] = "client33:1902" WORKLOAD_CLIENTS[33][0] = "client34:1091" WORKLOAD_CLIENTS[33][1] = "client34:1010" WORKLOAD_CLIENTS[33][2] = "client34:1200" WORKLOAD_CLIENTS[33][3] = "client34:1902" WORKLOAD_CLIENTS[34][0] = "client35:1091" WORKLOAD_CLIENTS[34][1] = "client35:1010" WORKLOAD_CLIENTS[34][2] = "client35:1200" WORKLOAD_CLIENTS[34][3] = "client35:1902" WORKLOAD_CLIENTS[35][0] = "client36:1091" WORKLOAD_CLIENTS[35][1] = "client36:1010" WORKLOAD_CLIENTS[35][2] = "client36:1200" WORKLOAD_CLIENTS[35][3] = "client36:1902" WORKLOAD_CLIENTS[36][0] = "client37:1091" WORKLOAD_CLIENTS[36][1] = "client37:1010" WORKLOAD_CLIENTS[36][2] = "client37:1200" WORKLOAD_CLIENTS[36][3] = "client37:1902" WORKLOAD_CLIENTS[37][0] = "client38:1091" WORKLOAD_CLIENTS[37][1] = "client38:1010" WORKLOAD_CLIENTS[37][2] = "client38:1200" WORKLOAD_CLIENTS[37][3] = "client38:1902" WORKLOAD_CLIENTS[38][0] = "client39:1091" WORKLOAD_CLIENTS[38][1] = "client39:1010" WORKLOAD_CLIENTS[38][2] = "client39:1200" WORKLOAD_CLIENTS[38][3] = "client39:1902" WORKLOAD_CLIENTS[39][0] = "client40:1091" WORKLOAD_CLIENTS[39][1] = "client40:1010" WORKLOAD_CLIENTS[39][2] = "client40:1200" WORKLOAD_CLIENTS[39][3] = "client40:1902" WORKLOAD_CLIENTS[3][0] = "client4:1091" WORKLOAD_CLIENTS[3][1] = "client4:1010" WORKLOAD_CLIENTS[3][2] = "client4:1200" WORKLOAD_CLIENTS[3][3] = "client4:1902" WORKLOAD_CLIENTS[40][0] = "client41:1091" WORKLOAD_CLIENTS[40][1] = "client41:1010" WORKLOAD_CLIENTS[40][2] = "client41:1200" WORKLOAD_CLIENTS[40][3] = "client41:1902" WORKLOAD_CLIENTS[41][0] = "client42:1091" WORKLOAD_CLIENTS[41][1] = "client42:1010" WORKLOAD_CLIENTS[41][2] = "client42:1200" WORKLOAD_CLIENTS[41][3] = "client42:1902" WORKLOAD_CLIENTS[42][0] = "client43:1091" WORKLOAD_CLIENTS[42][1] = "client43:1010" WORKLOAD_CLIENTS[42][2] = "client43:1200" WORKLOAD_CLIENTS[42][3] = "client43:1902" WORKLOAD_CLIENTS[43][0] = "client44:1091" WORKLOAD_CLIENTS[43][1] = "client44:1010" WORKLOAD_CLIENTS[43][2] = "client44:1200" WORKLOAD_CLIENTS[43][3] = "client44:1902" WORKLOAD_CLIENTS[44][0] = "client45:1091" WORKLOAD_CLIENTS[44][1] = "client45:1010" WORKLOAD_CLIENTS[44][2] = "client45:1200" WORKLOAD_CLIENTS[44][3] = "client45:1902" WORKLOAD_CLIENTS[45][0] = "client46:1091" WORKLOAD_CLIENTS[45][1] = "client46:1010" WORKLOAD_CLIENTS[45][2] = "client46:1200" WORKLOAD_CLIENTS[45][3] = "client46:1902" WORKLOAD_CLIENTS[46][0] = "client47:1091" WORKLOAD_CLIENTS[46][1] = "client47:1010" WORKLOAD_CLIENTS[46][2] = "client47:1200" WORKLOAD_CLIENTS[46][3] = "client47:1902" WORKLOAD_CLIENTS[47][0] = "client48:1091" WORKLOAD_CLIENTS[47][1] = "client48:1010" WORKLOAD_CLIENTS[47][2] = "client48:1200" WORKLOAD_CLIENTS[47][3] = "client48:1902" WORKLOAD_CLIENTS[48][0] = "client49:1091" WORKLOAD_CLIENTS[48][1] = "client49:1010" WORKLOAD_CLIENTS[48][2] = "client49:1200" WORKLOAD_CLIENTS[48][3] = "client49:1902" WORKLOAD_CLIENTS[49][0] = "client50:1091" WORKLOAD_CLIENTS[49][1] = "client50:1010" WORKLOAD_CLIENTS[49][2] = "client50:1200" WORKLOAD_CLIENTS[49][3] = "client50:1902" WORKLOAD_CLIENTS[4][0] = "client5:1091" WORKLOAD_CLIENTS[4][1] = "client5:1010" WORKLOAD_CLIENTS[4][2] = "client5:1200" WORKLOAD_CLIENTS[4][3] = "client5:1902" WORKLOAD_CLIENTS[50][0] = "client51:1091" WORKLOAD_CLIENTS[50][1] = "client51:1010" WORKLOAD_CLIENTS[50][2] = "client51:1200" WORKLOAD_CLIENTS[50][3] = "client51:1902" WORKLOAD_CLIENTS[51][0] = "client52:1091" WORKLOAD_CLIENTS[51][1] = "client52:1010" WORKLOAD_CLIENTS[51][2] = "client52:1200" WORKLOAD_CLIENTS[51][3] = "client52:1902" WORKLOAD_CLIENTS[52][0] = "client53:1091" WORKLOAD_CLIENTS[52][1] = "client53:1010" WORKLOAD_CLIENTS[52][2] = "client53:1200" WORKLOAD_CLIENTS[52][3] = "client53:1902" WORKLOAD_CLIENTS[53][0] = "client54:1091" WORKLOAD_CLIENTS[53][1] = "client54:1010" WORKLOAD_CLIENTS[53][2] = "client54:1200" WORKLOAD_CLIENTS[53][3] = "client54:1902" WORKLOAD_CLIENTS[54][0] = "client55:1091" WORKLOAD_CLIENTS[54][1] = "client55:1010" WORKLOAD_CLIENTS[54][2] = "client55:1200" WORKLOAD_CLIENTS[54][3] = "client55:1902" WORKLOAD_CLIENTS[55][0] = "client56:1091" WORKLOAD_CLIENTS[55][1] = "client56:1010" WORKLOAD_CLIENTS[55][2] = "client56:1200" WORKLOAD_CLIENTS[55][3] = "client56:1902" WORKLOAD_CLIENTS[56][0] = "client57:1091" WORKLOAD_CLIENTS[56][1] = "client57:1010" WORKLOAD_CLIENTS[56][2] = "client57:1200" WORKLOAD_CLIENTS[56][3] = "client57:1902" WORKLOAD_CLIENTS[57][0] = "client58:1091" WORKLOAD_CLIENTS[57][1] = "client58:1010" WORKLOAD_CLIENTS[57][2] = "client58:1200" WORKLOAD_CLIENTS[57][3] = "client58:1902" WORKLOAD_CLIENTS[58][0] = "client59:1091" WORKLOAD_CLIENTS[58][1] = "client59:1010" WORKLOAD_CLIENTS[58][2] = "client59:1200" WORKLOAD_CLIENTS[58][3] = "client59:1902" WORKLOAD_CLIENTS[59][0] = "client60:1091" WORKLOAD_CLIENTS[59][1] = "client60:1010" WORKLOAD_CLIENTS[59][2] = "client60:1200" WORKLOAD_CLIENTS[59][3] = "client60:1902" WORKLOAD_CLIENTS[5][0] = "client6:1091" WORKLOAD_CLIENTS[5][1] = "client6:1010" WORKLOAD_CLIENTS[5][2] = "client6:1200" WORKLOAD_CLIENTS[5][3] = "client6:1902" WORKLOAD_CLIENTS[60][0] = "client61:1091" WORKLOAD_CLIENTS[60][1] = "client61:1010" WORKLOAD_CLIENTS[60][2] = "client61:1200" WORKLOAD_CLIENTS[60][3] = "client61:1902" WORKLOAD_CLIENTS[61][0] = "client62:1091" WORKLOAD_CLIENTS[61][1] = "client62:1010" WORKLOAD_CLIENTS[61][2] = "client62:1200" WORKLOAD_CLIENTS[61][3] = "client62:1902" WORKLOAD_CLIENTS[62][0] = "client63:1091" WORKLOAD_CLIENTS[62][1] = "client63:1010" WORKLOAD_CLIENTS[62][2] = "client63:1200" WORKLOAD_CLIENTS[62][3] = "client63:1902" WORKLOAD_CLIENTS[63][0] = "client64:1091" WORKLOAD_CLIENTS[63][1] = "client64:1010" WORKLOAD_CLIENTS[63][2] = "client64:1200" WORKLOAD_CLIENTS[63][3] = "client64:1902" WORKLOAD_CLIENTS[64][0] = "client65:1091" WORKLOAD_CLIENTS[64][1] = "client65:1010" WORKLOAD_CLIENTS[64][2] = "client65:1200" WORKLOAD_CLIENTS[64][3] = "client65:1902" WORKLOAD_CLIENTS[65][0] = "client66:1091" WORKLOAD_CLIENTS[65][1] = "client66:1010" WORKLOAD_CLIENTS[65][2] = "client66:1200" WORKLOAD_CLIENTS[65][3] = "client66:1902" WORKLOAD_CLIENTS[66][0] = "client67:1091" WORKLOAD_CLIENTS[66][1] = "client67:1010" WORKLOAD_CLIENTS[66][2] = "client67:1200" WORKLOAD_CLIENTS[66][3] = "client67:1902" WORKLOAD_CLIENTS[67][0] = "client68:1091" WORKLOAD_CLIENTS[67][1] = "client68:1010" WORKLOAD_CLIENTS[67][2] = "client68:1200" WORKLOAD_CLIENTS[67][3] = "client68:1902" WORKLOAD_CLIENTS[68][0] = "client69:1091" WORKLOAD_CLIENTS[68][1] = "client69:1010" WORKLOAD_CLIENTS[68][2] = "client69:1200" WORKLOAD_CLIENTS[68][3] = "client69:1902" WORKLOAD_CLIENTS[69][0] = "client70:1091" WORKLOAD_CLIENTS[69][1] = "client70:1010" WORKLOAD_CLIENTS[69][2] = "client70:1200" WORKLOAD_CLIENTS[69][3] = "client70:1902" WORKLOAD_CLIENTS[6][0] = "client7:1091" WORKLOAD_CLIENTS[6][1] = "client7:1010" WORKLOAD_CLIENTS[6][2] = "client7:1200" WORKLOAD_CLIENTS[6][3] = "client7:1902" WORKLOAD_CLIENTS[70][0] = "client71:1091" WORKLOAD_CLIENTS[70][1] = "client71:1010" WORKLOAD_CLIENTS[70][2] = "client71:1200" WORKLOAD_CLIENTS[70][3] = "client71:1902" WORKLOAD_CLIENTS[71][0] = "client72:1091" WORKLOAD_CLIENTS[71][1] = "client72:1010" WORKLOAD_CLIENTS[71][2] = "client72:1200" WORKLOAD_CLIENTS[71][3] = "client72:1902" WORKLOAD_CLIENTS[72][0] = "client73:1091" WORKLOAD_CLIENTS[72][1] = "client73:1010" WORKLOAD_CLIENTS[72][2] = "client73:1200" WORKLOAD_CLIENTS[72][3] = "client73:1902" WORKLOAD_CLIENTS[73][0] = "client74:1091" WORKLOAD_CLIENTS[73][1] = "client74:1010" WORKLOAD_CLIENTS[73][2] = "client74:1200" WORKLOAD_CLIENTS[73][3] = "client74:1902" WORKLOAD_CLIENTS[74][0] = "client75:1091" WORKLOAD_CLIENTS[74][1] = "client75:1010" WORKLOAD_CLIENTS[74][2] = "client75:1200" WORKLOAD_CLIENTS[74][3] = "client75:1902" WORKLOAD_CLIENTS[75][0] = "client76:1091" WORKLOAD_CLIENTS[75][1] = "client76:1010" WORKLOAD_CLIENTS[75][2] = "client76:1200" WORKLOAD_CLIENTS[75][3] = "client76:1902" WORKLOAD_CLIENTS[76][0] = "client77:1091" WORKLOAD_CLIENTS[76][1] = "client77:1010" WORKLOAD_CLIENTS[76][2] = "client77:1200" WORKLOAD_CLIENTS[76][3] = "client77:1902" WORKLOAD_CLIENTS[77][0] = "client78:1091" WORKLOAD_CLIENTS[77][1] = "client78:1010" WORKLOAD_CLIENTS[77][2] = "client78:1200" WORKLOAD_CLIENTS[77][3] = "client78:1902" WORKLOAD_CLIENTS[78][0] = "client79:1091" WORKLOAD_CLIENTS[78][1] = "client79:1010" WORKLOAD_CLIENTS[78][2] = "client79:1200" WORKLOAD_CLIENTS[78][3] = "client79:1902" WORKLOAD_CLIENTS[79][0] = "client80:1091" WORKLOAD_CLIENTS[79][1] = "client80:1010" WORKLOAD_CLIENTS[79][2] = "client80:1200" WORKLOAD_CLIENTS[79][3] = "client80:1902" WORKLOAD_CLIENTS[7][0] = "client8:1091" WORKLOAD_CLIENTS[7][1] = "client8:1010" WORKLOAD_CLIENTS[7][2] = "client8:1200" WORKLOAD_CLIENTS[7][3] = "client8:1902" WORKLOAD_CLIENTS[80][0] = "client81:1091" WORKLOAD_CLIENTS[80][1] = "client81:1010" WORKLOAD_CLIENTS[80][2] = "client81:1200" WORKLOAD_CLIENTS[80][3] = "client81:1902" WORKLOAD_CLIENTS[81][0] = "client82:1091" WORKLOAD_CLIENTS[81][1] = "client82:1010" WORKLOAD_CLIENTS[81][2] = "client82:1200" WORKLOAD_CLIENTS[81][3] = "client82:1902" WORKLOAD_CLIENTS[82][0] = "client83:1091" WORKLOAD_CLIENTS[82][1] = "client83:1010" WORKLOAD_CLIENTS[82][2] = "client83:1200" WORKLOAD_CLIENTS[82][3] = "client83:1902" WORKLOAD_CLIENTS[83][0] = "client84:1091" WORKLOAD_CLIENTS[83][1] = "client84:1010" WORKLOAD_CLIENTS[83][2] = "client84:1200" WORKLOAD_CLIENTS[83][3] = "client84:1902" WORKLOAD_CLIENTS[84][0] = "client85:1091" WORKLOAD_CLIENTS[84][1] = "client85:1010" WORKLOAD_CLIENTS[84][2] = "client85:1200" WORKLOAD_CLIENTS[84][3] = "client85:1902" WORKLOAD_CLIENTS[85][0] = "client86:1091" WORKLOAD_CLIENTS[85][1] = "client86:1010" WORKLOAD_CLIENTS[85][2] = "client86:1200" WORKLOAD_CLIENTS[85][3] = "client86:1902" WORKLOAD_CLIENTS[86][0] = "client87:1091" WORKLOAD_CLIENTS[86][1] = "client87:1010" WORKLOAD_CLIENTS[86][2] = "client87:1200" WORKLOAD_CLIENTS[86][3] = "client87:1902" WORKLOAD_CLIENTS[87][0] = "client88:1091" WORKLOAD_CLIENTS[87][1] = "client88:1010" WORKLOAD_CLIENTS[87][2] = "client88:1200" WORKLOAD_CLIENTS[87][3] = "client88:1902" WORKLOAD_CLIENTS[88][0] = "client89:1091" WORKLOAD_CLIENTS[88][1] = "client89:1010" WORKLOAD_CLIENTS[88][2] = "client89:1200" WORKLOAD_CLIENTS[88][3] = "client89:1902" WORKLOAD_CLIENTS[89][0] = "client90:1091" WORKLOAD_CLIENTS[89][1] = "client90:1010" WORKLOAD_CLIENTS[89][2] = "client90:1200" WORKLOAD_CLIENTS[89][3] = "client90:1902" WORKLOAD_CLIENTS[8][0] = "client9:1091" WORKLOAD_CLIENTS[8][1] = "client9:1010" WORKLOAD_CLIENTS[8][2] = "client9:1200" WORKLOAD_CLIENTS[8][3] = "client9:1902" WORKLOAD_CLIENTS[90][0] = "client91:1091" WORKLOAD_CLIENTS[90][1] = "client91:1010" WORKLOAD_CLIENTS[90][2] = "client91:1200" WORKLOAD_CLIENTS[90][3] = "client91:1902" WORKLOAD_CLIENTS[91][0] = "client92:1091" WORKLOAD_CLIENTS[91][1] = "client92:1010" WORKLOAD_CLIENTS[91][2] = "client92:1200" WORKLOAD_CLIENTS[91][3] = "client92:1902" WORKLOAD_CLIENTS[92][0] = "client93:1091" WORKLOAD_CLIENTS[92][1] = "client93:1010" WORKLOAD_CLIENTS[92][2] = "client93:1200" WORKLOAD_CLIENTS[92][3] = "client93:1902" WORKLOAD_CLIENTS[93][0] = "client94:1091" WORKLOAD_CLIENTS[93][1] = "client94:1010" WORKLOAD_CLIENTS[93][2] = "client94:1200" WORKLOAD_CLIENTS[93][3] = "client94:1902" WORKLOAD_CLIENTS[94][0] = "client95:1091" WORKLOAD_CLIENTS[94][1] = "client95:1010" WORKLOAD_CLIENTS[94][2] = "client95:1200" WORKLOAD_CLIENTS[94][3] = "client95:1902" WORKLOAD_CLIENTS[95][0] = "client96:1091" WORKLOAD_CLIENTS[95][1] = "client96:1010" WORKLOAD_CLIENTS[95][2] = "client96:1200" WORKLOAD_CLIENTS[95][3] = "client96:1902" WORKLOAD_CLIENTS[96][0] = "client97:1091" WORKLOAD_CLIENTS[96][1] = "client97:1010" WORKLOAD_CLIENTS[96][2] = "client97:1200" WORKLOAD_CLIENTS[96][3] = "client97:1902" WORKLOAD_CLIENTS[97][0] = "client98:1091" WORKLOAD_CLIENTS[97][1] = "client98:1010" WORKLOAD_CLIENTS[97][2] = "client98:1200" WORKLOAD_CLIENTS[97][3] = "client98:1902" WORKLOAD_CLIENTS[98][0] = "client99:1091" WORKLOAD_CLIENTS[98][1] = "client99:1010" WORKLOAD_CLIENTS[98][2] = "client99:1200" WORKLOAD_CLIENTS[98][3] = "client99:1902" WORKLOAD_CLIENTS[99][0] = "client100:1091" WORKLOAD_CLIENTS[99][1] = "client100:1010" WORKLOAD_CLIENTS[99][2] = "client100:1200" WORKLOAD_CLIENTS[99][3] = "client100:1902" WORKLOAD_CLIENTS[9][0] = "client10:1091" WORKLOAD_CLIENTS[9][1] = "client10:1010" WORKLOAD_CLIENTS[9][2] = "client10:1200" WORKLOAD_CLIENTS[9][3] = "client10:1902" WORKLOAD_LABEL[0] = "Application Server" WORKLOAD_LABEL[1] = "Web Server" WORKLOAD_LABEL[2] = "Mail Server" WORKLOAD_LABEL[3] = "Batch Server" WORKLOAD_LOAD_LEVEL[0] = "100" WORKLOAD_LOAD_LEVEL[1] = "2500" WORKLOAD_LOAD_LEVEL[2] = "500" WORKLOAD_LOAD_LEVEL[3] = "0" WORKLOAD_NUM_SHARED[0] = "4" WORKLOAD_SCORE_TMAX_VALUE[0] = "174.30" WORKLOAD_SCORE_TMAX_VALUE[1] = "179.98" WORKLOAD_SCORE_TMAX_VALUE[2] = "143.60" WORKLOAD_SCORE_TMAX_VALUE[3] = "0" WORKLOAD_START_DELAY = "1" END SPECvirt_sc2013 v1.1 H4sIAAAAAAAAAIy9S7Iky44kNo9V1AKir9j/84aktFA4obRIL4ADjnrapPT6CVWFeXic4zivKjMt 76tIj2PmZgYoAAXwv/3X/+P//L/+47//t//6v/+v//E//7//+//9f0rK9T/+V/4nv17pnd4llfZf 0v4vaf5HWv/K+V89/2u19c6zvdM/5Z3XsL/ru45if+d36fWd/yndHs4PD6d/7WwPzbI04Efsutfs reb3Xr3WnPFRf1f7qNpPqTuPbv/SvrE8Tift8c5pdw34xrbnzvb3TMOeqs/zmNtmmN/Lf9m/f+Vo wdU+X4kLLqnyby3Y/q7TFtzx8POCR7Ppjd41YHo5lV3mqsVelc155Wb/v1HwXVzzwpobfp596dOa 7Usn1owvwMA1r53x9Xvhqac153/VmrjmOj6/se4SrHvYO6pD627ZvtM2GluT/7HnaraH/kl4Otpp LmtqwBzXyMPWbi/EPittVHzEKbzr6ly1/UR84/NOl2370G2ZHPgqZy0L08+dM3ne67G17s+v9KrR Xhc7F3vyMOettdelw40l83DXxyVnbcvsRYP2eqzZymzYazvXbabPh9Uuz7XoGiw6L5tQsdPGAY+N 0Ufnd89mjz3vNWeBadbPb6y7ResenDovc1643I2bwjOPu/LP7Pbw81bPvLEVTYPWvceYeS7b/tx3 S7r28z257qIjvjmh4Frj3qS1NPD4mGjCt9tJt6eel51w3jDL228suwfLxpsseWmZDVd7vFub/N8d z2LZPVi2iS1bVG4auGzb42ynwBaYTTSOhKs9Mw40bvbEsjskiH3n87LXsFdZz8DtNhGBt9ZHefVo t1vXyZ633/ZTxuOyIf3sBXLZ9jdewT/4OUW7n+0d8maPaN3Jpme7q4EyvHc7xW1it2y6O1Hc4cXc 7/bijIJjPuzrTDZowHfOMrjdoyx76nnddrG03fZq73+w9hlsOSRYsQNL9TX8pE9J9bKntnxGQg1L 7LjNGDjNvUppEHarr9Vag3RKLsvX/Kx8BrKc6jRBQSRXEGPwBr5NMVR77Fmord5/CbUVnXJTqjUl bm/Bbfqnv3vVKV+5askrEGpp2z8wVaqBS252g0dppj1T7b1is7e9zMZD7ps9OJ9gyXjNrfogeWHK qVJ/NXvsecl9SWdDlly/7cfsYNnFTmcZS8uuSZcbyACXe/vl3pHehuiym6yBh3zXktuu0Lq1LVxn +2xKf+lutz04n+CMQ6Q1SBUMFGm94gS+56z21N+S/OfdzhE+GybKCS4IUyZ3G/+//A8ElK27QIfl CKENHeGs4SgxE4YF2i0X22EobVO475zvh3xrUsGW1/KehqPwh2d8Lv6XPVfxVHDI52/NnSOYlux4 lO7b3Tcvdu+ZqtuksrY7hzhtQFxBjmIQMO2lpGXHe4+0ysqfj6r9qOti52eUZvsNEQkMy8HfZKMw B/7BgwFQKzrodsWv31x6hNSSbXNNWjrvEZa+JOPWLI5Qn5Ea7gnUdysadMMNma6893t2gGl+jvdu M57ltt0RVOsQGqP7wK+siUd9m0zLz0gN68jPZz2Ca80gWskOU4rOeuuC5k2KjU8HeA2nAlomD8ct 0w66HcxuV7OMbnL981HdSailaUIBMoetAI3Hgc/ZMeBVXROPBZd8OlzzX1z0M1azn2Ga1QylS2Nx t+vmQV9QodztZ7AGkIr3O5IGASvDKabFtikDw/lQ3ueja7eHJvS8aFP9JsI2/3Cp9q4IWWrFQ89L Ngvi992OcNow8VGbm16yMd+DMHVDbzbf6GekdoD51wm3O7bXsMs9DU9nSMPZknDaarfLHQG1hLPR oR+664dWIBZs1WXjsedVt/RbbednmIafYdNyhVUg93C9sRUwRiAjKMgjmDaAVSj4ZpbiNiG2TPLY Nyw76I3Czj9yU5uoBl8ZXGvb1lz71iAhOYClqMFyBNMyANOD4s4RRluGx65rjX9lC2/tWGPZFx6B tIFdGQDnGLTwNuxm2sFZ07Dv5kfNNbfb21MTel74xA8DnuDgqrtTItpfeC6ALHP+3u4IptGTURyZ ZgmxsmSLDl9zhNImsNFoPnBnzBbbM+GY2JYv2lMDFn+9w7SpCQXGSJbZqoFn3E4IXqnJCzwW+Fae zngE0kYDNh2OTbXVA3OkMBsuzEKUBicC7EoOSQfRBDckkYFTewf781G1rcMZx6vFVwZbjWtT99bA RRvcozibfCwSZ1p09190rIQQDYfTPUp5+cUegiowQ7noEiE0HsYBQT/cVlqApjWZbNutp9HxuR2I zkULqqyqCT1L8A19ALucg853IkAz0w6PBcd7y/7Csbx+4+dEGK1CtPgRL0MWSev63xSmXPgzRsNZ ge8HPoF+fAJ12HKrGaS71DI2gWl/r3pfedKMIpBGs90HgrTSWiXSKrXjwUCS5/ToaigRSDPJ867V hdiQrwEyGpu+x9n0CKTZrLC2pYEn3W7zWkD2Cy42bN75qK71UWHlGaTZOVowt3FDMCSe8JG6q7AS g7Tf17uEAA3OhOEbDv8ssEreN1De+XRge3ZK8q2BG244Ms1tr3GbEZVhO49k6KN/7reEWgkdatQ/ EBnF5YKBSG693Ss8Fplh9RdCKxFC2xueUyAx+zpTE+0fs3wMCfV/aPEkmWAl8qcNODoncViSArO/ YTmYGDJhNjI1dzoKbHxwaQndaTC5iRkwcK/rhvP+bTIEjz2veqyhPb7/xs8JHWq4CEOeJO17dyPM LJGWfb8jj9pM+QdkGQaf7VSbnLGDvqY8bv1r4druCKcNoJXelgYu3F5dcXFeIpyWsiSbWQLXby48 wmorcUk66FWuNXkSbfvheOfCn7GazRLAatJJml3+DjvkZoAuBEj6gmEEE5VrOB41SbZnsAbjGQ6W M7hZRxfOwuGLwNosMkWmCdP7H64+BGxQpx4SKV1avEP94ZqnI9efAZuDl766Bim0kWqt9k0GYeyk T8Bs6MYbPteBD91qC8GKxD8UiUVhiI61P8M17LpEW/NfXHME19oACvcwUJMZNpKQS071yLZnxIbt 4VlfGnzL1zazyPTXXoZL6+ejI9DX0oye1lz+lXB9KpwF9bgSU8NumJ5peCzY8SVNdo+FlQivdRhb SVqrFFlkrQm6NIQpKNme8ZoDnwnLD4Nc5t0OT85wpOVld7KWz4fwy34O+jNiw1bjdkP9dver9aHN HjnjsQCmjvpLj9UIsXV6CYrHhSTPapmO2LrHhWKfGmJhEH+jucfcTrEpAgAXOzNt3D76sj9rFPYs 8LgW6AAMfM7wKk6L4SE8FvlQf1vdNQx84nYl2ZsywwplGe3PfhYdetTwCRFiv2DqStMeNJhqUh16 +/qo9vFxNNQIrFUYAkJsU9e6NYA+OG42HgsOePpthdUIpjXobsKyTZiWYYjQIKlvXk5e6xqFPVdf rpun78tucJjDjWT7A5D6+ehEAHmta4TTNr6yz6pBurvyG0yR4bFImLnubrff+DkRVhswArAf/9BD 5IJt/Yh9PmM1224oty+re9ulWRMOwL37bLjh56NzsRX6jJxpFEzwQnKQE84seYKWjMci+zP/gmo1 hmrZA57Z2QyFLm1d7OmLjpxpMNcFSOYJSZfdtgnIQilssFX/Irkx5vvtU4oiQlAgUIfJ1aEdHhpj ZtLisQCr7fnoZanPWM2WDnOw+lJdkbWqV9G7GyQ18qnlwq0eGuTdzguxP1z5ZAqM4HEU+rF/BMNq CNdwzkhUoKnEb02N3sSt2QR7fllj++sPX0CE2QY88Db5Zpq7mBipduVHA9EBIbltpim8ijV0sEHA kcaAwd9Arc1MZjhdWhkdDplm17fflVnVnAKfS6JlsjToS9smDACBAA8GsZLh173ffuMnRZit0Qcs VSbKw/Z4UaYnpiBeUkMnG00v+CrGiYSaNOsZemKZgNsMI5mwm+1umi3NKDDNqNPuBulIMs3sNOGx yHm+ft/3MBYKvDwpxQ2pJjdQNq3xiZfLLY/cbGMwsr00cGZt2443W99avSeYCeejbyEXBUOnQspZ AxftsQN7iXgskO5p/NZpEWhb0KjdnWxEMR1WryBrPlsdOdkWQn3AfRwkj8o0yGsH1HRGneTl+Eeg LXwUeeRka/hhDQZwKx4aqy3zvZmOXXjw+ZTP8tsMb6GbDZC3a68rjU+735isnfh9TngLQVunzbU0 KNZv2sKMkgyHcgI16vroCpQsTSjAL/aVC67JEyhZiXveUsZDkfd8/ILnLQyCThhi7jlvboa6Lkfk h46HFkG2RWLePTa0m8mvZHtsOBUEnHqPDdXbkiOqGo83aREY/FVlWbEFj0XK7PfxbhFkg2Vfnb5S eKznu7tkAx5jcKhFnrXO8CcdyS7G7TobTIP9aspntk53i10fTOmCbEUziugs5YdFO9IiqcMQER6L LvX+vepnuAbkcmKfCJY09zgMt8Tc49BCuAbnX8d+9nrkd7NLnOxeTJNEAzj1fHRd6qUJBZ5zBoYY AK2X6ip0MhkAxHORa+13lL+FeA1R/nWCnx7qHgItOzt9p4WeNcrZ6YMMsbmHSdpl+MJQCz3M/hFi XBdaa5FnDcSaZYpjVUdBBjtIz5sLDwUb7Y5zuK3Ob/6UyK+2EG05ZFsGuE1dJbdKyMzguiOwliZl Vdag3Qb51G40Qvx2N/fno+uI+4yidUPq0+O+nReUEv2Co/CxAKhVKWuG3s9v/JwwCMqwwnaA3vQG lhuhxT2KLcRo0DGgA2dxgnEml93viZh1BrTqpDaRIvrDhdwizxpwo+mrrUGx38R7aucLj/2biPcP dkOLEBpivscgO2FQUdVslu3c8BChkZh1BsqgYnLNxLipbZvwJj2jHoMs3fY8cqptWiak+U3d8GIv kEHvXPFYsPDyIMwjgDYAOT1CkKvHxKozcGWOtZCrxmMO43u4hT1MZRsqmxBqOZMJTBrZL4ukhfgM /lDq4Nw8jG73RqTjzLkESGWU32o7BGh9OXHHFrYVEOxbi9/dfQ4t8qrhWnxboRPaa4Avu2prjNjP 7PEC3e5OM6RFAG3BT4QoMQcecbPdGfqdDY9FQTHt9E/acQ8hGiXA8Tn4Ljc/8sXN7/4M0TwUhWnl 6Q5Pu9rDpINt6batnzV9PvrCKz2CaBmnp8GDgEHKrw29uIbHAibq1sGusFBvf7j4CKxNMJRa8iPu /jUPA/cT++5RNJTkxA4uQ9/Ha27GdwUENJhaRE7ZJ0p0o2310L8GoZKZmOB5BSYd+V85jYrnItq1 tv3uN+8hWjOBi1dD4i1eNKApJN0/toLVBFF75F8jx4FZF9W5o2ZuYdmMjbVagdrA+iR9+shzOpB7 hNYmXEzwsORWT1LK4E3KZS08FyQWzP7rivcws2ACsJzIWJfh6SFwcpK57AiuLVLKcCbq0bY1Fzvh WLaJNvKKINC06v4R5j2Ca0hhyXQSpnx9JXMMbLM5lSidYv2S5j3KKxg2b7g8O+51wt/VrB3beIPo 2OzOVcdwrf0wwXAOzfgiSQ1k+4yTAApS2/crPjWnP+jHzFQqTk4c+4RJNh77W6Aber9+c+0hZsPB IW1rOSE320EX/RoEucLrHWYX4DzSDBpnx03I7DkrIJtd8j0/H33hlv4M2bACLHwkDXydhtX4FSVj HyLQRjL0g2uxh561BZ5H82io8zw8Hti6I5cegrZMl0h/O9sIQgkXeyQ6x1IpQEO5I9otyXxjevTI s0aMS2Ovu/nd8+B9723isUC2OQcZztLzm2uPUBsmKS4qTPCttQ8BmpGdntmjWGhOYNIvH9wus8Vv QhiT+XgSKy03qU5jtEdutWpHGkyhwxayW04S8oBsC51qJ6XkfsufMRtQ9iQhkQCVot2MZY/6g+os C7xHoVA6UkfRcdSawTPPYMzMOmojd+0Ecu/UtR5R1xDozhAHHESX6cqqyNDjoVttPAi3ELXB0ZPn BVbEZnIHenLadQ/dapRr1QcJt2pWZCe11F6A4UzGNAE9iS3uPqYeRUN5xDqc1v2ySMviPcq5DzwY 7LhbZtV/MYEowm2rNU8ChVU2nZjbv8MmI3KtrfYzVrSq/bMKebxGyTTDP7EiAVZu+AhxGxOI8ObK scl6Jkus94nHAtx2GOf19hs/J8JsYGoo/o15+MIdwykZFAsPHWxMBCU5futYLjtAo8CDtYaZPvzc 3ud4/0iKHCGBDW85Awjn0S/AildgU8VjUUz0d/R7RJBtwR/rNL3GoP8mrUPeh+4psCPkrjF3QoH9 wy40A8UscTCRZ2N2yjzZqW6JKl0sgmyDvjU80j1OVPtYoqZmPPa3L/XuPh4RYgNRVCFBnHH5VKsb ZWU5/XpEiG2nX0mROdmRHHSyAqIm+hUZUP2RFDnCiOimuydp4OXcYvfUufBYdLXdaw765e0Plx9C N8wi6WTn4STVvr8pDyOCbrzCK/lATL3WQg4Vs5bLqMAv57MLsQ7NKOJqEvUtDTrqlaufZeKxYNOd uvczED4i4AZ7oLgLotTh6EWB8Ladij0i4LYhQhr0TzsJc2aYbQNfE3GsWQTlkwJ0X06IETnb4H3O wM8cKN3WUuigDTwW0ffkZtz+i6sOGWyAQM5Yo1/rH2R1KCxsmsPV2YgobGAKZIZh9yHSIk0Oie6D iU8Geefnw4vF5XMKWC6FlOSlQSEThjMArPFYoMU9U/BLlUV4reO1budtuYEmfu5xMGK3Iy/bggzi e2Y+Cna7G1ZDPuN7mllBHHA+MvX+wS4j8rINyB2mXSZXEntkelazCV8895/3o48QsiFV9gSKmBO6 gIdljiJYyVWHiK2RnJY1cIrbpLxd0MxtN8u0fz47Z5wwdUSQjX5F2rEtnZjJmEoBrngsMMtq+2WE jxCxme0qdmZhriB8Ds2jCaBGadWRnw36ykwSHyTSyzYUSLafwZbMrWuVYup9yxmrmlSUcQBID3nZ 3NyZdQurppbxXGSQpl+3e4aONqAoeLRxuuEURwCBfxN5vBvTnkPARg/i8MFpGR18RQRybcN7Y1UQ /9CRKnJEOaXILkH4fPDPAWxZFwgPBYt2YX6/2jMCa+AcFTrMOwOD2O6T+Mx0L5glM3Sw4ZA3+oac frR2sRM5DANsO+Ik/2Bv6T758j3MCK3xWjdI/nYIQyMnerIycqhmiNe6rvQ9v2RGeA26XRmCFdYe 1888RgS+iwe+ZwTXeDxGKxpcku/SbfHMB2qb4XuEyaZ8i/Njfs/QycbrjYvSjl2CUAT9TUgnmhFm mwFEnxFuK/BvtnQLjPZ3q9XNMi9uMEPcRucDdEJ3VTtBTTCJDnVo9llmksnwCjXjc8FnGBhlStHw gZ6HMatqeGQ+FxWpyb/PegTXYCSDpacc4MUg+Eg6AxuuT2jvGXraFjORlgYKdBTe6LibdtbB7Pp8 dPHPfULBUUe6d9EfeVt21W6XjKeCCEJzV9O+/caPCb1ssO2GiDzgV8CdTBI9UkMzMCwXHqaGDmaF Lg067GYxlkZHMxApvQhMPUr1s3im2uBrA9lGVs8ZuHwzmHBbTP7gscjZ9Lss0YyqeDC1YXUHLs1t 8OzApQm4zLCKx+QFnxpklJklmpP9/5cdmEVqn10j1fBYHw0+wyIesClR/YIDT0qTB6/mgscio8z5 W+XzmwsPsw0MH5TkzoYscktzSwV/a+FhDQ9gOhaswCBvU51tonzUtM2m++V89JVqMUMPG76y5KRB z2WKtmGGyQzTDQ5j8wbYZhQXZTJkcZjanAAxZaScvQ7hGik9QC9zSfbuXu3kV5PE2y55GbePvnzJ M0JrSJH7zg0tXZ6XujmTaK/zLwN8RmgNCZjlIttLlI20neuxZZDMMDeUof8fCRYzIRX4vcwI373d EiyOb21qQtEBB7yDkGndoW0Stq+j47EIqOVf+7wioJZZc8QzDU5uaPHDPtzVsqKI6OS5ZlD1JH33 UkvCzTVbrNR2++jSXkkTChYN5wFMBQ6SkLlRWJo5j+f+zn2+w9MVhkJRUeHUNDiuVI8DX07zFSI1 6LVvWmbfaVRAfEMtjRV7QHRsX6jcZxSRUYHiWbjLCa6tq3rANrSyIpzGPE37Nf0Xf0YYCrU7XU5l ud2cjCqjDAlMKswThkJJULzXYZrIm+pID0SMjL7N89GXn2GFIC0zTdAH2mCGk3E/l0nwFUI0r1Fj 5+P6zYWHqQbJUIMfchRsUr6Y/veYTj1fIXctETY3DZwl3IDIgTaYa2CATvV8bG5J8J00oSjVgHT7 qoF3c6q2XksFj/1tjXzd7LDGGoCeSZBmyARBsE6iHhDLfKOsUAcuXWGqAe78V2KJTTWVJe6W7fNq ysAwiS3vsbLd69KcAl9DYkx1ayBO2bLCcl0DzwXH/PAzf4DyFcG0jPQWhBrBtadY68z4pr8Bc+aO Rz41shEHM0iGziUTBL0GYoZXDXJvOFrxC64tj6KhCMHafSgaKDRWIryGN3GFsdD9UHgrLLQGKlX2 C+4MVXkSoW6cmbpCiEZyR08a3BArdq2ZZGQgazB7hZ7ULmyqQOj2SUWJoShJRILPOnKjibNo7xPP BSv3ug533b0ijMbVeQKwfKhIXpVog2bQyuPsgvyDyGXg1OyxDG6LqS1l+5kC7/cIUfcZRb5Ekw4g hpx6LSYxFBUrBU89H/R1csfS7Td+TJhgAC98ETH1RP8Hg4LDs3p40uNYaPPaeaNftRQNq3fSkQFQ OgP/tnAlViTVtPA5BdKN5bxwV/rh9ZimKHoJeCwqr/fbwbRC1xp8LadqSbmH/G3DTwrwCsEaXCKM +498BUMNnBUmixo075Q4qAzS6DWX9xgoHV8axQvIwh4akoTa4k3BG8OD0ab/5jPtMBRax6ce7nSC qrsbFArtfDpIeVca8C3z29CiHYJtG7VAxKnMJ9zve0FBCrYdAbYGBAlpwyFJeTOhl0d9hzVx62+a 5o4AGwICVxzUWeikCjJ6kH3VURx0sFwN/Uwn/yPZnYZHkalXo6qsoOeiu0BX6cwdstdg5MN85SBY MKkLUcEOz/0t177WHUI2pCE5q0PZUkNF7ZAzVpNTW3ZMX+s/qimuiqrHJtGQFdLoC2bph5s4Z6b7 jjAb9ElmCHUML5qAHERcbrMJd5weOh81+I4wGznrXlSOkXXWMfFM8O2R7x271UgY2BpEuoIHFdXa TN+mphJcx398M0F3hNlQvyKTI1080FDWVDB0ZDwWcVS9RuwPjuoOcRujmO5nWZ7w7ymjCiJg4WFx 3DokrjlwxxsKFKH+6ppjknc4SD/+Ubhlh/XWVv1B02xtEnbOyseikP/v7JIdVlxDwsMp3OJMruaI vVePiu0wBspEIdgns598A3uNDWW25miTeXKKV/2wvXdYcA2KEc58DtJhqhPQGx+Lyln8jhfsKASK rGdV9h5eqgcleuRbzPkYZDus4kHPEd2FPkXD5a11UyeYtmF+zoZFq3jMt3ALDCx8a5Tpf1I8yjwF WFcSKXdlPPdvqq79vOBhQig2zet9K/+7OqsLRprb4DsMhNKfeCdxLTOlUmsZSVils97rTxIX2Q47 DIRiQiCx5+wUirEyeXcIreO5wPPgJK4v9R361frHyaTksfGpWHNIXDuEa5tAdWuQMzHttEGUmG0a Nm+fj1x9s3gVvjLieFCTVA16kaoDZLICj0V2yTNQ3RFgQ3Z9cbwijqa9q6GKHqhjorMexUIXqwu2 oUG4JRk+AyF3ITjGssEoeHl3muetGQXeB5we+vCrSw3DCUopWhuP/V3T4h4ayymCa8hsr1XxAdg/ DfiUJhpsKgRbVR43TguFtUVmnmcUIfEXdL31Rq1U5fOatptKF7xXik0RZEMQYxX9Ebtj8w2ssflU ROt5puXmFKE2lO7WIUe1PRklvUm6T8ZKkh7/w/HyVYDNwGoyHTgaPG5tsUgFXrBAutfbqz6niH2P +9zW252KrMLq9UMLnwvg6vG9/Cg+l1NYJhffRVt0eq3BaUpEb4OWunY+TBRVXLRr4ESnGaN2VqHJ DZTTKMWesAyb15/rs/mkguVDrYBUx4GHvk/KaFT3wHMBt8c96agHcX5r+RGAg7ZkYj0vvDb/OCPa qXzOGE7g5KNReu9kYdelm2kKd3Mx20+9LmwT72XfVS83hQVzE1O+twa+1E28gdRyPhd53vbDpY8g HDKkUDIlU8hvFmbrU0Tlna9zH/nehGTuoYQ9EAYn7E2MjtJKW67Xp3Obuk8q4jBOuDX4R67WTudW 3tz4MEKa9y+rPKcIx8GpXptDGmA6pPyTCoHQDVilWnpYko27zkoe67DZBjgvMFhasr9peBSh6KvG xfJJRXlGoAHgALdT86BRFaECIZ8LCmM/1QxOYeYoQrtbOEYFLiq7HSicdOokpwjNgXGfiQCWm9F7 2PUx+W7vHmmFOPbnI1+4LFR8Z6TbxXbQICYAqQmsaJNT6Hp7qiObQt8bsom3W2rbWxx4UxOoPr/o cf1c2qhTAxduJxx1VTMSoyqF1fnoUNoYGcZ3xpUPlKyTPW3LlMaSim98LiJ/jEDKPeO5onxhj5fl 5v4oN2ROESM8/bzp1ENrFA0SciDxDZYQzHMPOpXOh8fzOKrPKVDvsPG+yOm1pKQA+eRz/+a8/1Jx cUpC49nmGU8Cd8PPvKnSfA595IfbbNjDfAyvwbZNxts3Qtkmu1FI970+u4h9yScVGOp4n19lo+30 U2K1PvncvyHu3k992Pdg0cb2ALlv+OWHG6dadtD3ICsdQ0X31qnmg5qyIiqbHZNIXmKd1duFr90n FZ377WTfuh3adaaIoMsHn4vQfHtYegTrIIpOjnRxCH8lohzCcg56H6AkKt7cXhpEDzALPZlCR0Mp s4j756OvAhg5bn6AkCCKdeXi9oFdITKB7BhlPhhVcqqPdkyOux9AgZ+SEF7lhrkvqNyGeJoaP4QV 2/Cq5/CBq29ovQIMDykvvc9yR3dYk3xO0fJhJWQfuPwqYDq7JhP5IoNS6UELBJUxrF4JQhVfqqE5 7T15/1p9hOrYcIh1wYfT8swWsWueCqiCiR4b5t70Wz4tK0XwS+OMs2+ifoGCp7yrfO7f5BmyANjt D39aWBIEtFZDHI3sTrPmYMi6Qcv0deH6oCMC3GlZ/S28yQVwPeq2ITMSp7/xgFzVGW9Z5DlsibCV hrw18MFelD/fGp8LQi7LfdEsW3v7w58WVXKDo6SsU0Tdqyw3yYNVrhsQRldxWL9SVVCGdDas2UDu 7LwBV6LK1/2PIF6GNGZ0u40D8Zaiq01ziUrg+Av4kayRwy4Jq90ysor7MeonWckXHwVZd/OoOQcu 3ky6xuuPyvlOmSHp7abxzpz+yihfUwOVSU+rKfKErhhBrwQcnPUb3YeNEnC9Cje6ea4p+p9IFA5W Hebaw8K7lPl33zT6d+21C7v7IE+nLOXLOtNXcKdUn1Ww903WVZ+XnUjJRNzE56LkS0/b+NGgMIct EzYtehFnZNkvhzuka14v4M88hi+aJhLMM+0aCq/aSAeep83T3aYN+yawYjm7TDSvzGBQl5Cpjcbn /ga79wB7DjsngIOsgCu/TYI/Z/fUr7P0MOJKDje8dP2kIu5q+q6RE2iqGpKoMRwHMX8tvfukImc1 Qq74od3NebO81naztvLJv7Hu1+LjDgqVfI/3VwcFP/g4a7JqgxYKOKH02A4NMr6RgooS29MwBEHT +ehqJLB8SgGtgo7qe3Havjdv7pp6Liod8uynz2EXBdTLZtVf2ncee90ekevX4iO4t07DUQ6UeDXZ LrFeWSqmpxCgAHHXMwvHzY8VN1IYE90n+EdunM0gai5r87EofUfybt5+a/UR3EM9nKpcnbNadP2w vwE2YYTw4IedFMgZYqLOOLXWZ4FthE5fdmEZ/EeR4vGJVKC7hk8qCMLCVPlqaVfqUPqWXYDKJyPE d8r0/vjDnxf58lh91bf8Ih2wnGVmWTRd/qCxgv9QBqOmOx3NrmltI/94ATMlklCPqTPv5z8CfYlW Dm5xrSfmt9VOoi4+95+nBOegtYJn8HqNHETkPt00MjWhtzoLWXRAJOy2NZ0jABfunrC3Ftx49H3M L6eOK/u4twJ+WhpJA208OENp8ui54Oh73dJf3c7C7grtXlbDG0I1r57UL49W2F6B9fGmMvcP62Km tis9/sg25NE5Hx6kt6tP6g9TBzwkDopG76o2IpXP/Z2L/VvuhVVFUPLZ6bHqBjbfo4lblumX0an/ s2DvV7DO4I6tmsgMteASPQ6D3qGf2j7ss6CmSkxUdXFas4qqtJr5XGToPjgzww4Lvnd+4b3ugLMx 0D/Jtz706ZEMz3a0LbnCmxR2C81FGgsxTRaQvXlxk08pcGYCJBayw68SkuqpgrKZfDAqujCfMX7Y awFbokS++mbmO+NWnhHQj4UX9FrIp5ln1iAHRzeoUqGv0GGCbh87x6LUtU9OVw6aLcBTmH+ErRAW omsHVC4+Gd173/AfKU45bLqAqiRX5MYDVmLHG9DO1/LjLlmkDvug5YNxxOKACZnkNAFIBU53H8eZ VbD/3HqAhuJFTm3daoqW6uaD/3kKbQ5bLyAyp8Qu+R9QzRfVK8rp/aeAbdx8gTT4u3cLHO9k2nIw 4gtbf3gvP77CE6bPw+cVe7jgNFxXTp8X/kx587G/K8V9L/4Z6pV/oSzcKVNdWPdzvYE1SJ/entWX gx4MkBr9h8RfBlM7o+obVYUWi3JML227PuzhHDRhQNOv9MO2nX3TxzeHnvvP049y2IYB5slpZUoL 3HabNhpbml6dPUOeHdNy79ltBnSSQVwTNWhCwVISKI7X77xKGTdhIwa+yAZJ31zSo5mTUlhHK3wy Wvtzo7QcN2PALC7mePcrL5cOM5Co6sJuDJO5ABAsI5/cZdCloI73RI9vmLUGdiiwroyY4nMKTjzk NIKIHGQ4jCEagCbzt2l35+PkoCMDhF1ydy5itghLY5fk1FJ9wKTHg5UnZlgnDTryoFzRmWVLZwCj 7KUWi590zuSTel66gUR4Bd/TWyn1qe5ZJGgELRk+QWqI0PNbiw/TJNa8slgr9BkYaMz6mqeSFoVd mM5KesJX1bht/47E6dVNxLOingmOeyelfeb0x7HPfGMnPIBcdTp25uKDkS/vwZ8RdmOA77GU7Fat O7I9q7NeTYyDbgz2m4HoO/luL7gxWAsk9TqyKOamMaHSv0NXYTuG3OdPYcduZIjfLD4XgNv0u2h7 Dlsx0CT1Ku2KVB9wpxZTvvQQ3FGKg6E354lgNIPyhe2F9map4jndf3tKYm6fUuDBrGzG/t6ndMlW scSRB5+KoM2TjA+9dxDfzj5icV2WxpTMc1ptDlsx7OalsryaXSKbNpUEHpa9frl41mn9qVs+i08o gPJMJ8LbTF58r241Vdrs3hxy7/Lvyis57MaAshv1UOaZzrrf9MSQjHJ4OGE7BhbFRBEcNVmgattI XVqs9jsTGTU4DaSb1V0/GSI56MjgYC4rr8hRkgEcBn0yg9RBSwbvifHzlsc9GeDjPU2G1kla99Dl qXScg6YMWfExFv8ap1Wc6Z42EbpbG/WP1+ejq5TY9ClF2TFUFkUDH6xioneYMUFjhhuO/2nAht0Z 2CTQDdgy3FU7xFUYp5Z5DtszbHZG7FWDdh4tm1GpeNqFZ/u689EVqNo+pfieZ6apFAdKDcSmN72X fC6Q7c0bJN75V2GHhoZA2Smh5uTLvkXOoMuFRz5o0XAaYjJDwHX6RCstWG7vVQpzma6PrgBV9Sn9 wUGqyp/LrtOLFg5GStij4eS6ft31oEkD/IIAM4eF4rFpV3LsdqkdD/10JE2fgZLdVl0WGrrsbkCe vVHrV3/js/CIcbcY2Ko+8KYvGXClZT4XqDRnVX/f8zhporCmdTHgAtzaYbgg6gdfDbqeVG15XPqX TPmtQVbGaEj6XKBlr876NCwc9mHZrjOniIYzfjDpR0nplErMYbcGcT5Arfj81k8KK8iB9LdORxI3 16b8FTjvwrBBuwZs+8/SoGMDtaGOy0TnHcgAuOu/sj7XmVN002HgQkSUfBWKlFK3qWY+GXiqjou6 //jDnxd66+C08HhU8Rhdc0JWy8eCCTo3ZG/tnXwQjjcgnxA+3NNOglpPbKq5dnltXNyFgdlKdnbW IBNuiXlqkrTxySgZMjDhwvYNGwT7Jt6hmqJ2emnP3fdDEAVnO7ENsyqOq9rQK9LkChjSq5C0gYDV +1YbdvuUAlf1RAek+j7VR8E5JjoDLSPo3+B+Y8j68vmNpb8elDwIeGwcr2NfrnYGwzNJWB8GUu9B xaOFKTMLKoK6HDhLdAwahfntq+4FAQrnhbdV48az8MPrQcVzQijiXPB15frObFpjEc+P14OG51S2 EtLB/CH9hyEAe7+Py7bf8H1Uj0l4Lb3hPgvWGaKwD5bdUc0QdTU5KHKaDCkZdEOZ62R4FwkvkFcl Ma0CNYY+JszjysHtGKhqWDRo5XvQis12ml4P+p1vrIotwl+wcpif9nrQ7/zXOKvL+dRwKRd6qUU3 hN5zDf+g333LsdvA6KfbBPixZszQzLebWlFnrlZW2PpRGPdBv3NKKCtR0ZWlJq/fYSdGrFuU73o9 6HfOZYob+qY/EV68zJU/KHgddRxUD7xVL7E2/H/jyKt/5OO6M3tmVnBVOLgqNqt88OBtBJJR1fR8 eHWGZUO5YN0QzAUzKBeno3u14VxzfT2odz43VeLScSyidWS8vB4UvN/x7XnuqDEnkrHueGFKiRoS BRsO3VBBUuDgh93E2wbPzo537SuTHJs9l0D1BBEYez1od91x5K+AuMJB+i2pWRmK/bwetLue+1xy lsV90yZOrwfdzn8PtN1OTXvqN5Tz91Bs6l7a4EG166hz5eD/HWMj711W24hA4pojFxYVpT3j2w1X 8sofNLu2YrKX4dbABbekEpV2iMrrQa9ry1Vs5H2CkLrjD/rchWFTfhKKPyMKDCTX2D2QwtWd0Q/6 XPdqsGlR1aCz3ta2nQb9bptgQ5GLijNOdfxFtHtQ5zrsbGwIddfdekGBXKJ400GvB13uskFbjgI9 kBNlSbA/aHKJN0QZyjFf1MFhuKDvpBajnmK04+Ar0MN6KMAIujfADuQVtz6ovPG9/U4x48IfFLne JmaNpskcPFhdlNq5V349qHKfjCC82ttDuHLhDx4aaQF4yFt3s8V5VaRj4bCzNAlLFAXyLXHPUSGK SurNKBPgVif7ZK9R+S9G945M85ZM8OCk0RKA72lenOQLQ0RKE7Trk18PThrJHBfsZNXBuc6VPzhp /Ig0FukRnVYr383Z5VDJygsNlTkwDCTkyWoyuJGSabTOXBSTdijji3qNotV6XYfBGQXyDRnCE9Oe 3ubc4C8JYKZy++vBPaNLPj87Dg/hUWhPPPpLKbESJAjETRUOMjOT7OKXxv64zKGI1o5c7O+LvrOB uoxSyOiM3Eh7R43KfWvD1VvSrIIDT2IG7fyTmFHGUnWHBoJNhOHWcr1GvC5S8tYbeMZxamekalR2 6r2JJipYvz2n5LjoQiiHFeHqcNAbMJsNid4AbybskP1Qce1Luet1cYojWYdQNMItZTjNxP5VT6pv UcArjKSdknjflb/B8JGCeyLUO1pG+w554EeTuMvqGwu2XT3+mgjO4Z5UXOJ6ephkW3EpYzN0WDIL s9WmOlOfLiaiGwTLR5Nek+1Fgx//SahMYuEznlM4CaunF36y1yIdoZF2b6gSJ1gDgwNrX+6T3vsQ SiNA1yHOeKDr4f2a2d7hqFB+QSFePB9elrvijhGEx8YvaNx1MkRX5b733F5PZHrX7uNcfN1+4bkn Gr1O7dzec674312dtEQ52ZfFHq19keS+NGiDltkvadETX8z2TLcPr56ics6G1379aB+bMwte4U5V 2OvB6p34SnTDo4GfEkE69qn2IlWTx7t6ZWdAGzjHFGd/BnXoYoeiMHAUt3Ywt9m/ht43yUa1CP3U U+Xjft8jVAc/PsCMBtr/TaRa++7XE4FeB1jX8s3CQRx7dXn3jOyQQDOu9h7VkS2busOGaV5c9IlA 79IORgzqErTDrxgzQV4WEm5ancTyJ39I9jpKMnJKz4sHi7IAGXLwi8RyWnCAvZ7o89p2uVJR6DFz 7L71EbTb/ZT8ONVsUBpc72LmkzoVYTuwz8Cd1aBpVpCMWAwWHT7wH4XZIGwUf4x2lmV8PTHo/e6y HGbSQFmPLGO6qdbghJ61XVdl0Le4ZXwJXH4E8BKd6oo45vMaztb74iN0h3InP6x2AxsmNCfu3kLx C3RAY5852TK3Oi9P5Hm3Q2EHgWib3WFhykKVMIoJytcTe16Kfh95R4umsRK/Vh+BPBBKhmeMDe/F l8vV1ujUHX3i0LvIJMrJGrT9hs5MzsHrMlHgXLi/yYydXhDBJxW9AEBbtjlejh1bZYEOUBbW64lB 7wBhX+ac2Sdy2EQoD6XZxK8p7MKo2rpeDGB5P+En/rxjadxopCufHicg06C8GO882j53KkGbxS3+ qtDEE4PeccPCZmcNOvV2ncQ0yPP1RKF3yCmZ5xxaaBmtPkJ46NzUPC22Vdk3y3VfZsyfy38GeMAi 66evrg+TmJsZB6uY6gVrEsYW9hzOlJNDkDStCOLjHzcfpCUr22tBbM7XE4vehb5sGyAFyMx3k8h/ ItHrkFXvB4dt5wtgzdeTUFG8JMITiV4zbTw+TYNOfqumLBh9XmbUJ6GWxWgv0Nm9tNUTj/7yEhSQ NDgcADXca1dfTzT6y8NLw+adPEplPyUCeXAg9uOrKt0Bfl0H4J/VRzAv8eRT6Xt2Z94rzZLAJCwZ pSygoVgeotxDcxR9Twx63/6JzkpDg6zGJacBgxNPFHq38T43/4CdJwa9bhkKvMMoMnNuVZSjrcp9 a+hTmXA7IfafKPTaIqJ7ODXaqQMygPQm8vnxRegpycrvzjdpH/PuiUPv28fc3aRB7J3MnDM7pa8n Cr1U0O6X05KBePfQPzHoL09OX+7QWOJcZCZWUgEuT5t6otC7xM/gblQNEs4daQOrqCxQYxCArb2S AjPjtvMR1kOuHls8cnBH8JhiX4z8eiLR672JCQajvqK7xXv50Y+wHjI8xKoqXhPCdJOniq/Tye2J Qe8KM/30a6ARqU2/Msww0GrwTfPOeybfsqWfGPTuE4WwZA6rE8lLmV3ck9H4YHDuZYgxGFLfE/m6 xQVfhPfg0ejJqRdLlOq93K+jBn6djweYZ/HcVw26+WZf265B4CeD20DcFZnupd+gPnMInpj0Hv8g +SRroIVnX4QLvOt6PfHoHbytK0bTjsKPkB6C76cSxBhKGc0tu8xjvyau/Bnt2RxLlsnudjt1XrHT zzZEwyReY3mOdfKkxydp6IlE74KLNciWBn4peEwkms32eqLQ+3PzMurXsWyf6PNu0+83ARq4s1kx muVcWhGuIO+eQZ6f+U7TNZ1YhZn0qxPRm9xvKLhxfXixMLKmFB151gLPGijvqpi6I+fXE3Neslvt Br+s2ifivCYOsyt5nUqnWnXvGKGKvAhNhW48mPMol8PBlz0KpDvOy+xm1ObPh6d/IdX7E2f+CsoV 2nYnTc6wIhmGpjdeT5x5x3fHqqFyz8SDWnyE8NAp8fDKaveWT96rlXxJLj704HFpcDbV42wCljdB ATfILECy+/MhfPBc/NCU/lg8CoiWfLX2pfWBuv2vJ968VpLmJ0rzlj33RJv3o97fiwyz5cQqqLXu ddfHdMbRE2/+CqJVUgbroQiY8WUqn91at5mjyLblwvPPW/7Em3dcD+0E6ZCv6Hta49Q4e2LN+2Tc cTllOb+7b3qE6zr7EPum+0Uf3naaNgI3/RnVgcWJO4mtTBf8sp0pufKizzQSvBzoDyNEfiPYPXHm PVAzEJZLGtxDMGXNmcHweiLN66Wlegl3iHcuPMR0EidOGHbGwdXyynt1PlHm/T13GBpVw7G77Bih CQTc9RuQuDKlf90MeYq4J8q8Vg4ogtA4B3+dZOCywvPriTXvOnZ9DjyxHdf+DOnk4L/K2HW35aZn iKbDnn5izHv4F25xoLRDCsuz9t4HuWkbZl2jC6eyuAx8PefQZ00r8FejPjdiIRwoJXIR8cLQzXg9 seZ94z/LRxfSQnvZflIE6ZCsjuwGVLJciALQe4kn/4H5XZxr98Scd+nKpLquwYPxptMazIoiyxY+ GRKJAZfHJ1BXNbFAu8P59kVFwGySiu7P8npiz7t2dHtms0kqrAm9ggjVtXS6MBcW3YffdjSdARw5 um+f+PMu7fuPyEomvWrSrJ6wZ2CZUfbDldM/9tzSrCIqRgPxq2q44kCyGtp6PdHoyxcX467mQ2A3 CittQNT37pXIWQH9H/aVrEqIfyLSu+1Rfir6XlDtSg3Kp90BgJ/CaLHiNXfBF4VpkURdUGmQA361 kZKsArNvX09kep1/lRhmreZ6BF8E7ZCsz1OIoi9b4Zo9jkW/3XH/xKXXJAsTLHyQdEb/9cqa8JMR akRMUdOSLsxxK+f6xKV33xoidXDEDP/WgoxYWXN5vZ6o9JpOdhcmWxpgzFsq74lPr8tve4MYHRKE wFNtjNrQkEPOKO4FiTixJw+lrhFbO7XZ0JDS9om0jGGyGN0pKyBUuYl+voAnRr38K+ycjUmc2pa2 R1Qd6PT4RKj/ct4bvmMlTSekPNHp3Qm16KuULSf2WWZdPUjAevq5PvHpJWawbFSM4qBriYK2mxHT bgIABf2vD7+E3hOh3s89+EZnENipSroemZOJtN74BfOe+PS+62iLpWNOouw/iIcnsbAy64PAgfVE qHeYCFQMR05vx81mmKyi7wQc17MjO51NGOjEuBd5fGLUa/twpcFPrOmk1/fp4q6u+Xqi1H+CED+s midGvUMd22zv7tmYStCp9WjMHaLhE6HedV1mdocGd2CMDYYCtL3hHNC2iVPBkobf5X7ln0j1rurA JAIz5SqtYEYi68ntzflEkl6arjNYt1BljauPgN4yADG8qwq7xNBhPZMHaaenRz7R6svFMoXFVds6 5P+q0vydHLyNCj4VL1ZkpH7jlj8R68shyzCil89xqil7uTw+Fuy7R2y862N/NxETnmj1bvajabG3 Ju+u4Q5Do6hZN3Y/xHqJRpsP2v3U0hgkJRtcQc3Dz4dfpc6eePWXWfeDmGBil65REyavJ1a9DnK9 2XWD3AT8mJB+R+KgQ3wPWRyIz0R+KLonNn25SDkdMZnej8e6mTk72IRhI2KFSDOaz2Wq+Fvm1BOZ 3r8UGa2gXWKgE2OS7k2z7olJ73LCqcWsSMC/yll9BPAIOstpTi9O/XC3HcikWn0M8Mg03Rq0RYhO TnabNd1WyM9j1az3J29Mhz5y2m0QLlkeQLULWOFSDSlyfT2R6cudmHCHNy3Cdp21C9w76Y0CT0uG KquuheQ7wOtvXDf6QvsB1vpAfU+ykNj79n1Lgl+aUaDeSJ2jPTAuQsamYZp75nQCbNOdbPrNpW8R smNVgotQXT0229xfueY58ZHbTtxDWFkM8HH5I0E8bwr9NVD9rla2MF2f296l3iNsh35gBeYlB/HQ JtvhKBu6RdHZdu072dVFPsse4jrECrbXNbr6pmn1bbpF359R3WF0wP93OvHaGysLnTBhw5RWgZeu D78QfQ+TKJDQgxp1HHjd4a19qzFFj1CdCl5IzkFwVSeW99B1Z1Kteamb1sVJ2MU3Pi930fcoODtp rbSkQUK+o7wVy2Ssbs8ABOQ1vPjB3ZvRI+cdzklBbjYHLr6RCsyKgXguCtDMo+MatZwv/i8HXvc+ iaxcgkWX02AKDUiJaXvEvcPxragRVcvprNXspPfGDmt25DNkSplANvgSyKnjsU6aWIRwsPEQd9PF XUZ8n/TDshYeDHi36yKgLpLRhG175MQDYZVFcxGi8w5bKM4kiMN4Ogn2EcRbbPDxRUsZw7TnWqzt 3VADpMngU3vXDzsha17RCcjwxRQNegGlqoVgyxMPBpKvpROcZ2zeQ1Q9yqyA1IMncyBJ1i7LgOTr 9v9cItqbouERCDEe4F0l9aZfBGlEKVDHFVVQKvE8nJwgdcIPd+7/1LyimAUex/adQld2FWhsw83A CQXuHI9T4QU13GmtP0R5G8aN0B18ssK4nkUJVo1fgShGC5RYK2OC9cRoEZcaaijJ0kfMS1tkvrAY 76lrnDSxQPfh9pm1oIFmLbxEU29448HoCtxsu8uj2SOHHlog1qsMhG7C9E5razrI7THUIzmha9A2 IbuE0AyF6QZTd6qttfc7yk2aU3D6C52gU4OTkQYNVEOheC6Qf31fkTp8hUz6/ozzgHLTFZZtnjC7 qztzQbbR2iOgtwnjMJmLQWHgDoqZHc7tCg+0GmD1m6nwfP5A/B458pDYhZZTGvC1fWaCtgHJF7rx 5s2R7SZ9f4Z6AtMiXaLnmDsz6hQPt6Tr1EfxWQr+b8vW1H1FVxLWeuyoQYttn9/Ri6lJRbQMBOXZ pdrLyaAiMInjBnonHvybjkM64rpoCT1EezNd5eyAlOjET8L7uzkro0duPB7oRjKeO1oh7waI1fXN EgmDRh/0aZZ9c3Nj9ShIS0Ga0tSg1a/EKEE2NYoHI+p1OY4stnrzCz8isAfv/QX2vCzCcFuvs0qA 7fyIXHhMMPpaPbzLYyY6CLpBppLX50Nfe+fOjwjssb8noDIH/EI3Ulo3feKxAOwNN+l/ZBGOCOxB 018hu6ILPz2SxX5CXHrowcPmtJk0COtNdmSCB2+ZzttMoDQT6qsnUdaUokSLTo2pgYbdUKoRygSM 0IG366/bPkL+ne3u8iLlKytemw8VtYzDQxmRA49Fl74D1GYwmZKo2AA7EYX0NFZnpFg7bizWehoR yBtshjd9cM919t55lQ/+7boV0wOKVesP0yywZx6OV3j+pJrADeZpsyOEeMor2xp0qwY61QOO2WFP KAoD+AMRqhIJ5VP0aISxWlJPcWJ7PQHg5lWMEasdoRfveDQaf9O69RcQgbzetvdDx7l3CrKf+7bd th8hB4+eHDg0+rxeQEW4Qcd2C+KdD6+SlkNTCs49gBnmxEGmbfPEOjOh8GC0+fnS8R+AP0J8twCc BG+aFy4XZ4EB2+pHP86g3cqWrNWLYyAly8BOG6Lg2f0F1WDRHfnO3wmVI3LjTVK27km0OSWqR7ug A8/9G789Uvkg64XuR0jAQ6p8Gp5ooDJ3+ZSFKCyVx+VHFDyGdJg/fRqZ550STRx4cM26USR/nHYt 7Sby4jxamPbJB0r71Sm8No5hCO48Uk8fpw3NM4jDMC2s8uzuq7xdzzkp5zCSRgTuJpknncYNOeEo 3WNaDc3Bka7TFjnG9UcBJJif+NJYxxeUcyxXTcc+V5NRszceDO68nC8sZ2j2mhYegTuWnfPmLJ1O rc1EJnm1MH/atCMGd7DVIMjHcd+uPhu6UWF2aFOE/8BtYgnjdCtw5xOLKMcI+0PXT7cVTXpWFfE1 HYUHA6PWRd6PWN0IA7UTck2XvbHW20YFIxn1Ba0M+AJibx5M9pE1+DzZm4lxG9t5lq8BQilew3Z+ gnUjzLVoTABfGnSZEAQ4CG+EkdqRn279DN15dpkMj74b2oUzTIsDQEoSbXKwN5BDHjr0WPq6Jg1S zNmun2Fles/BOR+fD0+mCTYSXxqZNf1HWS1ApupOo4UHI4+GnFqQC+PtS48QHtjGqt853uwMQTHv DcRzy14NaUb+vMH8GrhsrhTAaWJuFFbt3vbVC8wUuDIAWusPd+aMYB5rwiBJkgPf2mgCu3YeJx6M br72HvVnttIr7ceEybQLHLQTqnV3Vj1BaoRqWb94how8OjK+kZ4Zc0imRHy9ItOG5XBQDe59S7Xp mlWUZAQ3NqooL0/SLqgDT7GKGP2MgN50gM8s+np0/YxwHhgjLbk9f9Jpvawtrj/pGTPGefTQZw0X N8suEgTFMoNuw9NZ2UDvRr1tmtIfCWYoBcbhRKd151Pmg0HAzm1aKLv+lrybEb4D52141dpBoI/q W1UCMNNg5baHFVKUQ/1Ft16GkAXpR22pM7Zx0a1vls0MsyzAamlMqT3Yoc4tH+4qCw8GwYsPM8OF nV/6COMhwZ3NpGzZ7CNBtvnW7S8FaIryLorUgpNWGynnh5hjwG6lhL0uCb0jUSaFjpx27zCdlqYV SDyghy/aNbJ1tt+qigcjKurHlbOOxItCtURn1WFOO8F6hzndzfkZ+e9W2z8ZOc3UX64qUclARpVv VzbtLUg9I4CX6LWEst+nJVFlI2iwEvHYv2HkNAf2bs7PML1iSwJx6ePUOZSZw/beXHpcKoU1E7IG nc82zApp4EDXbYYd0wr396GnTTsj5x2Lu0DgcuDSl+pXjzLxWLD01H+Z8zOK0y5V2PXYpHficY+t 50/PCNuBzlGRjcZBNz0hnQyhVgOjGeUSPh9+UQ9nhOsa7cDpAz2WWxWMWy14LNrvx5oBM0J1KFwy 3I0x3G2HJFB/Ec0D8zNOoMXKUtXgsbqGuDzyEkw5tkwjN325rnztYaEUXP8NDtR278BMLOmAaCIe C6IUdfxiIa0Iz9E9tnXFy3Rgv3zDl3OOV4TmBr03SIqspwgUuKZ2zgEr14bjFl5HeC/p8L0M+KRJ RWB+v9mEm4PTW7LMuF3xXJBe4emDE2od2YFy1q4I0RHIdmdce93mtU6AdnozkhUCOhrwIArUk/TT CzxXha1ZTOAzeQO139tXElnWpCLvBQ47KEr5qhRRVNM2p80H/w0hA7R+G5rO/Aq5d/YPRjp1MtxR n0/y8DzVUVYUoR2sfrN80C7Zntu5n7K+WCRIzup9X/7SrAJUwxQDGJK9H7zwabja8GDkq06XnBtI EdPqwxSL1jxlFiUm5K/Nqbr3prIYVufzwY2Hs5odQPNJ8O1orlvZi9DMpE5CJpoCt7uIT5pUEJns znXlIN+NnXh8/6p8LrJj9i8bfoUF7xqylMzgYdehzIq2rB3cSbM3NTwh51eYZdHpvkgaJFjM4kDa +ELh+z42NH9mXVNss5eKQEIhpxU5MBCRhpVTnJAy7YVO6ceU8WAk69MDkl8RokOSCHvAofYZ0wZP M29EZSFCiOVXmDlLtZ6aBvdb2Y1fg2Qi03aggZYF3A4GOMLSpwHV0sSCzUfhPFJe5xH3CEcztDnM hl9RVPaq9HhjYq2QfgdoMnTe69BrmFOXfhHY4M7HmI4haR9kaZv6MAsWkZ/USkNBn0qGzbin2BDa rIiAh8K835WB0LavqAWPwdkVJVh0LwmVffAA1YpgHTzUbaoKGgWRbf72yDTZKTThV8i/Q9zgW+KP 1JFjwrJI6IXOLBxWm3tfBjwl2WuF6RWTEdKpQRJ/q3C7HYCJB59P/kqHbyyLxk9+nGBxyriaKUe6 +fmRjMzuo+1jgEcDdmk4QRWUiEjMBdwdvM4K61tF8NKnYP+KeXhwd+2kQc76rWzCXjeeCwJ0bsTa D/u69qHfDtpqe22gdQKzOveQV1p6iO9YCgu+m3r5bnoadTXF51ae/BdQu/TUe0MSn1Ks6Su8Hxz0 pUu9hfHW8GC078J26PM1355BuiOIB5B2grGsrHjxkU6EpvPpSNxhXfBa1vEJx5vdys6yhvYSYuvU 8jJf20fP7QjgMakLaS4c9KXzRGhKwYMR1/gKSGcOvvYws0K+Be26Nx6bTrIXK63z6ei6Mzjpg0T9 MAw+2D00GdpJ08OXqt5+q3G6QwIevX1MwTq2YRozOwNv4cGAfJMc11Mz9cPA2yEDz2a/3FFDsfrP 8IaB0PNsFszVhww87DyEJAdHOAMFwSCv+kxZJQKW9xQ+9nvTpP5wXKDFKge/7ipgzWZrO86tcEf1 ZJGMeSKzO8yvYAzFyUdOw2MaEyOznkO7w8ooNNdgvPR6CmIhi2axP9ss4GUgk54JfRf9ZjAuuyN4 h4KuKAKlgRq+DiIcQ7h47O9KaKQe1VP3coe0O7gSPTBXvbnmaF7ktZyFh6Q7Mm8E8a4ABdxVLES1 qxl3lVl0862uXV/Oi/1nEm3ZXYP2PW1ZNaYu8WAUlnQNzzouHL304Y4LG8NHK4HXhha+PES9t4v6 HYZl+9ahrreW590WDmsBpPM0WRaGGOnGuk2aUmDRwo2B68JBy1+0u0G/xXOBUaPCRfxVHdjvKCKL egIsqcrYTJarlpIYF76eFvI7ishCNtiJrhqcfTSmyQHoVxN9JjNw4QdKLvHGC9enrVlFbitIK9iz /ahOe3cimpee8eDfPjtG4+tV5zaMycIDVpOruf3+FAJDzvypcxt67IBp0SGcg4eQEtLI4Ena9p9M /k8sm/O+tRbdmtPzqQcDtoBqV67yYrursQ1aueHBANd6OtWkip/Hk7EjaIdz0scp5CxUn7M3qcgi omDrQ2jHDIq7TZfnNrHfWTwSWdSFjtz6bcvTU4RvjS49glKpanDUNCnwwLTfYUzW00frF+tsh9Xv 6MaRoGtbi78KmV9F0HYUkp2U8kA4/UI4Y6OYEojOc/WxlEMImXhbe96aVOS4hDWHcgrzkHfNRqJV j6SiHXnvulPuBkvfOb5RO9PHtafB4sYoYg4uFjnWKFnVkUmWwHhgd44U4Tt4AOzadA1afTdIN9Gf Fcbd2rwamFa5oRzv0JEiiLfY5ALc9HUCH6Uzboji8noykHmn9CX+1l+te7Xb9FeuxWmk2/s4ZHu3 7OhF1nuIc2gRhoFEKwfttDnGWGohjgSRyT6OSvLKp9Z1z9UnFvizaNUjF2X6zQLaL5IFc/PJAOv6 ewBnF2L33Yu/hDBEW0Q6S+7ZUTYtc0mFsL38awrZeLwFpWiQ7tuGUZgjZWbqIHVZVVPqzYlPXMXv DV4CC6DCyb/msRyZiI9poT1XimDfOAqQjDS8hOUvIXLsocpw87B0c//OcifvbvWcg7CVRSfPsmjQ tUsbzWZxl+GJzQQIbXsx0OPVbD6rKMWw/3DuoHwKg8Fj6MG/vfn1FqzNKcJ+KNrGV/YPAiVLKQfl lL5e/VS8TpFzDy7xyvIz/dDjZ0GtB/iN7Cr3PRjEyZRNtxTD7vMK+Jhs0wqLsbuU2XNxFXCe8cG/ s83sh21Kw+RvIHTvdZRAPiAIWUZZAjETBNVxDkCE/5i00FTO/8TqC3JMJyDjYtGkqWQ8WmKHkEpV iK+N8g7g3VpTg+RhZivwt1l/fPD5DdR0Gth8wjk5hSWQSROWW0M0ZLA1PPuwusmnpp7PngVAQObY Hpg+kXCSmf6whik9OLiuSjDu30rVJ/WHW3uxg9yFLpLqKCy0lk+Re2/s/LD2MLeW/Gk3fDyZmpVg WCkun7WHNZDZzATxy3bil2MNM/gre86bYEXGyPXhRcubPqmImwS3dvbBXfqLiaoD/flSXDulO0uj M7XJa1+nZwhovwdbUby9tr2/BS+E6gHrnKIkWyDnyhoaw5Eq/Rt2OQdJ5Og6PT8fHu79qD6nQO6r u0fRwJC1qVNGMNvmc8GZr/k49/B7fXo9xLS8yQIS2mtvdaBKUoX1EM7uRx4+8lTqGQ49KfXBcgCG YexdAh6Xq63Lve9uilIvWEh1gqk9PbV85U2PQuPuhzWQTwCbuppj8vMfNrwA0KJ1zewLD+yxpAKy L84RCPpdQEaTOVY1yAQyaW93sNLyn4OCbzQvmeZ3v3af1B/5VuwJNLz6e0naGLsRKHwfNryYXk2D xsCnsEAO210ghCtqZr6KIm/PvTHFfcqAB+0u5GJi4RAOegFQ7An+bRN+o6BNQ20USj8IK/mPdhfp Z7Yh/H0CwinzycjZ2R7c+zlod4F/v9+9HxJDcSNweta9asJ2fUFkBLODV9Pgvh+TnBlLtcNQFpOI WE1q3LFP93lFfm5wC2DFzRMqrWt7Oew6+WQg/z3hki6Acin/oOkFGvzZiU/enbR5FZnkXcxyPflX Oex7MchP7F4oyN8AMrAmC0Cbrs/MSwaQSuUGgEf2iQW3APoB3Zo4SPuzkTf+w2f0d3CPlgv+wO95 xGHYA2Mt9a/r9P1O+xsVxbvHeBc2REchTMzAuhq7m5w2ygh4ALywaoFZVIyFJeK6uzpsPrEACoDT hywBDu5dU35zXmjbGjbCKPNTJBYQPI/zEqKCeqhcR0crOS3J74Mn62RVneG7j4skd9V+5+DkPfuH nQX5U4GLBDoBucDtJhF293lFSQpIQQVa2scrZP+MBo0JGD4Ykffy5xV8GqGE/TBYFWw7IJoCg9Nr iK6ToJHDhhiL2vC78MYwqyIjHRmkppX4bmwR/UslZp9VBAoa1NPWQI1gwjmpdWmtfDIQiPnjEdwf fRDm4JrEa15DrTUFfZe/DjOKTh+YoCkGGsHg+NehQdtvxiBcAmh/NOAN3Ho5814hvFSfVqQQ4M8E V7h6JmNHWvuQNaUno/0XKIBPtw7+1cs5BCGlryAPtzkarvIKc0MZ9T2pyDloj+Es0O+qpwN10Nng D4lla3cWSoKBpsDv3SQOG2SAe2RwZWvgORhpNemHrRlFVJ/qjI/iViF/UEju2x/udvVmxvPgg5Om lf9ojjF/9EYxMAhyIFuY1pZYEq4QfQ1yr05IoPu0Asc44kSIAZYTCGx9K0HXvrzwyQgeF6f7fOpl 57BDBqtCXk1sXTl6irKa2LIHVuQgRPU3w2tTgxxjvYPYt0nrrGbB40LP4mn4h+K3fFaRbxhsVkSI 6yfuL11jP5EPBou/RcM+YYEctsiYbON2mgKpUDwrOQIl7aMDwhYZg2qOrXxPZo0JwJJWggTes25s egXe9v4W3HxWtOLXRjqAiCpp8LeauwDB2nwyytj51NNFW1G3CsIOGUCFqDCF9ggoFs7Cisg7QApP QQOUojcQokJsaEk+uOsKu46cK1MDpUPHVBzX1a83oGJS/NooDo70BbCh1jqlt72pFl4rn/w7EO7W IQR594bGYacMlkPOqjZ2fANXUHTXcwrCksosp/bdD612s5jg2QTjHtw/gEZWRbmVWFw+q4jhi4wt 3JHpGdooXaemxh0tcsJOGVlVQ36IgMgtmFkL0GNjfvWHW0Y9e452DjplaJq1s3TyoWehumSecCmj 2MhOrByvu/hdiCjHrTIK4fDSwKDQmlQgw2cTXIBTh0e/58nhyGGzDMyEKpwBYbmG17EKUjlAKGyW gSta2dW1f+r/wjBko5NkFwRsrdpF2frAwOqz+iNXlenTehCCb3ENc2c+F2x9OY0gv3uF5LBXhgmW 93QqBBvH/0OGkWQhswn8BcSt0dg1oGmQEES2WiGMgXO0sHYDKZzvT3lZIYCwXwbKobGCEwe6hxAj giaEWzBol/GpLI3pjvdpAvkMAwtp9FdNZefD6PCXT2A4B50yEMMdP3u/tjxSSyx5iWbmDJioIN/N HZx8UtHSUZEckdPTT26bKHaW8+SDgfqbzoBixh7xp68/rL1np6t7grZyd9E6NKn6JMjVbgsGHTNU x6mihywH58JMeDFwYKbtOvKxKt0vdwBQfVqB/GdwePtA+T/QPJmmZi58MgiJ9Bvhd59SPDlsm4Ga W6L3d/+7ocCjQoMoQKE+sEHjDG+igMSL2o7/YhncXb0LAky0ihMdWA7KyxbePq+I+ghmBDwD/ZRl 6aBPEwASA0RB4nH6SHzT4HLYQgPRTZaTAtt3qKJ6RjI3UEFh0g7PQNhFA9ntP2pR7dH2bux5gzxQ gQDIA5a3Od1wYZzyeyPfUGHlWg0Ugmktz2HcfDCCgfWSgvcGgUEjjfIvsHvVMKd7LZrsp6CjdOby UxC00rBXyEYcX+1TVkU3YOQNsaNEortk7/f6SYvKQS8NL9m+YCuv7DZm2oNCdA49GFVpKX4JsjK3 tfoIBeIOKySMKqvAfAiusz8e66zks/yYDdiUysJBjpuBXhI7sfu3ARcE6Ze9ZTRZAE/8uAO6zyy6 BUz28UEwQPapPV03n/z7FbA8ylLzkum6MG6tMUAOU8M4lV1GnFDaAA5XRQfj3hqQ9fQB5nWY6hsV ONnJBwAWYKOgi1IjKGVB1kMFzkF7jdMUG9swnXZXkx0sKoQJhRD014AZ+Sm/e3I/8h8NNtbVLwwB 4kKKAPuDsjJrPecg5AeygwCCQpdTyJ6y5bPnn0nFppQ9iKTKtgdMEToZrTlos4H7RXVaNFAjsg60 SYSx+VygDbxoSwMW/qjDoM2GvS8T2oPp+7bxthi2jcuUiYk1d5wxEzbaYHuVb3XYZ0ttstuDibGc QZ+kJxPYsn9I0dlnFt2E9qPiehs1Ew+Zuhl8MnAMKZ6vJrEdDBh/CWFlvpa9CHO5yhCDdUVe9DzB sqDThgFqJHYBOXC4XEJooVVYmpKdYSp6I8kN+u0aDBttiBFPf8vJhDBLlYEz0CRz0GgD1Ef3jKav 8nw5bLTBpsReblzegeo5Ebc6zDnutMHahMMHuccb/FipMV+0mG18nIc3nowjgrDRBsuSpjM41hQr LY9V+GRgFF2dpX6owzBcDKvhkOS2nOTVO0d6AZscttqYxHt8B4e/j3oGhgEqg6WJuTS1kQ78qeIx z5QCTJx+9pWCldFOkIBPBnjoIf8th702UF1m+JIHl7wRhXHS2CI3nM3R42wQun2XBj+mfSNWjMjY RhLkUrvrAuJU+qCh7DOLJABLefhAMDdPJtCGPyDouIEd+YQGTpelHDbbUIcoRUqrV+8ZHhhpp2pZ DnptKHP0u1qdndcGKM0y6IN9R3A1tgKlY35KNOag2cZZPPxF8wRKV2leimguPvm3QfDDJRj224Cz hW0Pr05Lpvs9Iybnk/Wc434biIn05cNhjC6T7+wajF6PJNJ9/Fq3zQ8abojpXthbrbjws71nsNdM UD4XCP/+Kelw2iXnsOOGXVMvyYgg8ZA1WDxFJlOM8PAHLTeAgBAkZqj81DIxkF8QDahsH1xLqZ8P rxhx9WkF1iBtIbyBfvhxe3Snuic9GQUDsvNk7ssP6/WZ5Opei7t7942czvLrSYrJceMN+MJo75WT wDIBVFCalt47s1uQ3ZIJC+6iv/rEIn84HGGsXOetmO0cafennouIIk4PU5yBfbbO+Q8zRFBGEgjV BB/+e6jIwX5PhITYE1tnIK7MjKQ9pMikeVGlwJBE6m6eq9rSmQY6pZCO+OvT5xVAQESEkJ7JwWMC SQlxdvv5ZHAIplfzgRmB8SSJ5LAHB8qI9iVvQF/NL0JyxjAq2MoUCJpwHIvoyyRGWXJDD2yz18Ec YAX35X7xLwwUtuForHvA/C53imW4WnihzZiofDTyi33RplChZRxVECJBszAVHCvEqcwH9lJ25J7q JISNdBldyUODVIFN1iQMeWMb9BHWiEgkTHxKdPt1iJDgYEsO1OHbnmmLlCuaXGwhncOuHGXealSv U9Ashx05ID+aNxC+Om+5csj1tNDOQU8OZRuxMCsHHQPU6d8goJhFiEPR1GNatPF0PwUREkyQv+xS V493LMsvYrCdz0WcIVcGSOzXX3nLJHo9ooEMdYgUdeeMbnHolzfUZVNcSMRHLJBRudZeG2oecOCP QIEf1F8DQXaYLiygYzVG98FllHtwINT3esQCWfWaK+4gB2GBNpNasozXIxDIiPjTBXua6dJzYG85 WvfcHg44/Rnqe3tJCLRSliYI1g3RAb+3BkWvTQqhhxHCrJCApsgaGGOMndVPWJye4ceFwy9cfoGg PrNarpX8egQBGfpTK6cnkNwALv0RBeRMBJtrcalXyQuH6GQLLuzP8MDoIwrAtqNZH4JQHrRkVoTh 3o1KJfCFobQdPqyyAO5JI48YIMOTAV4onEfNw0yzp8WgFpyrr0cEkI83tPLGw+zUrj8iAOw6+m51 Vbw5xV92EkkO/DG1GH1cdmYKfC9wqJd2OAsAvgkkq2KoH9VvPh8eR7A6DAbLhm5vsBs5OOjv3m5s l9ej5s/qgM7jgF9gNnDZjzof/9oEqgqSN+8h3d6ravnzhMEf9T3esEGahj4DHOSpbswGLkpsMYsX Xa+mwrHtKvmB2/R6VPe45KX+KIBrUtE11UKzsWDhiZGKSv8/ZQsX/qjncTPWdNsGNo78v4YOdO0N r56a7MHScVx66lODHHMm1dta8BSVaiZQw8uhqwNS2a+5OPGPSt4mtUi3htNynBe6+qD3FhSz16OG xy7SP6ujjritbvmjboc4rMwC7LJwaXbC3V+9XGdt1b3ej9o9q65MRyS2Z2frlT43ylgtBu1WQj22 Tuflup93ViiOzju2HVPjoDs0VAEhZ1QMDtZe5u28w0xa2vhHxQ4Z100MFi/F309aoFc6GZ4R+ajV s9LBOhxuPV+TzAbsErvpmXZLaODTNt09jMJe1ZlZrDMQ76jOWuFg40DuV2pD1dUNO74e1TqnQ+FG B4eH/eynPPp2suqhriwfNyPK7DfimRClJl/7o3cnKzDfwOzkIJVeS+obVDJUbMD+mzAB1GAu+AE1 ABKYVbB2VDZgmYzpHAo7S0OlWvYar0fnTlbBHVdtwPVHvj96d3C84N/d3lduO+vzsCAzLxAzgSO9 PolnpgbdzGRybGC/y7b/wlVviN0tUfZ05PEDXo9+HbxRnBXQZTjIukWzwjfCXf316NShhGcxkTfc Gw0ja38h3SBY+2B6PCl9+VTpRIh5KOpb2ctViSAhrAEKFrZxPI9Id5oEMibqEowCtt+C7wuuWrft WtLMgs1HRaB1BrlEtwooGVhCBlh08mf+SLxME03vIEJ1gJvLC3qtdqLcTIHKLCl/+H7hG0C2xJ4a pI7xfxmOj7IHkp4rvGSdTZTXpzK5WIih1MO/mj7oa9f0fNiCPIhI7O11ib0OAquW/4zsEOABNT0t 92xkv/3bXT6FNYNEdgpewG6gldpV5yDTqxtUMFGvMgIDhL9eVJDhJ9snWH6HkoQ0bldy1UYelP0H bdpnbAfKq2M7dttjIT0uP0J3ld32dNlHPTkQXvcnq+EcfbuB7MMx7oZkNWib0LQLwU7EaHuvKO50 PjwlEDzKHRz+LuyVNSjI25idZ9/UXs/cf4eqWC+j5zUdpPPM+s+i6ewm0cckI/izt1fCKKg74NZ8 tHiURkPdNg7aeTs4rbFXFdLX0dri+vDqKCuvTnjz4QhAyCdfZYrrkiW/kQAUwTwlJwAbw6sPTpBW HwE91GhCfwfdeCZ4Ut11efgrQL9s+WesB7c46HBc4nE6tNQR2EM1wY5OuJVb1r9qHenkR1APVdgb K02O7LGyYjITl2CA5hhBPVV9keBD0ZDeXPCFaM+kw2XO7nMK3LDpJ6YTQT3wrnqxQ8PBUVlFZTdW 2J6ocwYknMjXw3l0tnvzST2vHmmyDfFlDuR39DJo3tmp2q9nrn92Yoi0fsbFebNrh/2kCO5RZ3vJ 2ub1P7yJNjgy3cvXPrP9s1g+yPLVIKybDEN2IILCrL0JzYdOQ8x/hyuDRL8xsyb2/AqYV1fPoC+u hdEpZNS+nun+WV5WeTOypz7zBTyjPjg/2DdDks57rMqzB5acFh9BPrhnGjqlc9DJX2ieXtjqsSHz F683o7DA+1bAdmpGzyuHhWoQMmuQgTeUAFXQcOyZ4J+PI9OVPg5C882PQB+U9t7HnV90+NECQVqf 2VvU+iHsgx0DbM9Bm2Trg0sbuKc2tmTo8A+rrKEimrloVsH60QQOgSYOUnpdWeU5I5wXAT/xsPzu I6MAXpMI9GEvThua6o7MVbwxS/Usl2d6f1Y8oyPlpufjwDWYCFnNQqxm8cCd3ZHdsRXOuMVzntn9 2EAEieAj4kBl0bIIqsjAej2z+7NCq8e2h79B+/5M7gc4smnNpLM+TopXbX4JiFkh8Z/Z/VT3Hc7f qcENXDtxZDSWZVcKpWcbItfIHIHlKs+GhP4zvf/4Lxu7uXmOkynRqQLvBqJfz+x+yMvUrp0vIKxp /ZEfb5oYYfYIEx2zN2TZXW+iwLrSC3gGe0DLtvOJ1Lh6PDvJrM3ERn1m0qUN8Qv3KHg9YKMcejON /Gd6P9U+TMLmg5Mbq8o+IZj/zO7PqvCuN6CgLtcfwr0Nupiu+/QUr3zie4Up01x+BPcSEkbRF6zn 470Guk0ouAqtZ0ofmIDVD+r+XHwJvmdef1Y3mgrQxEGXKilAwujFM63/ZvHxkbcAzzOrH6ZRpXTM InGBzFbB50ZaVmPKW/YY1jOx3wFjB+O0534AX0PxbtYzsJNaG8/HQounj7ErW++Z2M/DzzBP1SAM XYfY6XXt1zOzP58WVKz6I2Z7FeB9JvZj+w3WrH0Kd6voR2aSMba/HSbHM7E/Jwe8yQcPXpigr+zO a6irL5rCSDiRGXakX9G0Ao2Pit+AoxzkM1zDu02O/Hqm9kNs7o/067CMtPxnxKfKVVcwu7upm/bV ZdRN3WdaP6UfDNnhgzu5DCajDzIgjwl5JAzBm5LZzeoK5idN6/nqw7nWULaknZJSFYmTPP0GNF7P vH6s5wZ5QQemlxQ/KcR89gJm1QuY3oEtt9NmFeU69AKeMR+ijCwCPjTwBWx7zA4A9BcMXjn8YYCN O+JvmlWwfnSrQb1/Di769APKxnF8BnyQRu0y9elZwE+JnHyoeIaW3VJ1iblM7Nmn1bOmrFb/DPoS w+0dZb44CPShZRhdnlD9C3Dn+vCqd7A0rQDzTJRdgrrIpxEX+r0wrWe21zOpP58IvkJ3i7We8FMi vIe5DMf2/WDePE719ul495nSfyzdyoZOKV0iCjnhANrLFEeBy/98eHE4siYVnfuB/IKtwekLi3DP JOrrmc1/XDYy8xXAktx/JvNj5/EjPHurusUzlww9VoJnBCsGfDjzeWrwSz/AWlMC62rwm1wfno6T 1PbPNH73zdfFbm1X4fo0lqobrdczi9+dbTrxMHFYD0Jrj2O2pth8rW04bXt6y4Z0he9C5x6sXPiU OGjx1RRlAjmrgLmBXhXXh6SUX4uPwB4YB9+JzLupvd00bffM4MdSkhx7ymLSbX/m72eVE/Ra3Sy8 uuXcUB4vInrJu1U8E/izmpd35Gdw0NJRzmGq7nyrG+apfejlXY6oW5pWgPM7Si+2ocFpCmLd5j35 4N+iTkl8dNrpBURID/qNVUn+yZ7MX97Li9vAm6J9f8Z5x8qBNMsn1cZgAuoCIpCE+h1gLttikdhP 0tJX77Vn2r5HByubmE6PDprGECkU9bJfz6z9m4UrvqZgzjNpP6sSYDv0zLN278PEmCvXHnn1wELq 6KrHQXtUUbVBfbRTXuAzdjRoYfr1lcGWNafYoV9xAzmIAGA4WZk7e7ye+foEeetj27OOBdf+DPGg TLv3H0PvKTfsix+EjDrmOvaRTw/lpjooeRykjLsh1ERajC3d1AgKTMIiBd0mffI39QIikMem2nCJ c5CJO9Tgp5hEeD2T9bn184rlia/nryCCeUhZyQUTYxgX3J/TYrUwp9Fu9gDOf+brHwc0erVxUFCr In2nsM6xwV2W/kJHOTN4EfP88JR8apG2h2sWjsd0aCD2Dpo8HLO8ngn7rit5CprHM5sLwAjqIYuE fROZt5OYw7qctLSQesJTEDv3gGNBeRzldEDP2VQdM8cXuu7CWIefF7q+75ultzWtiLzRxZbhIATZ qgr7tLZez4T9fPoPuqH/9hMQYj37KUsezPdiKifyrunYJ9umqAnfM1+fcUG6rbcGd+9N1HKc8hJk lPdt9CCyvMC39AsjunTw9KyBEV2DxizzVUvjfCI7Z11qvx7pF2E92PdqvdbJWqUGbKfnLGsMw8h7 ZutnJZj1VHzQ3sMehYMPsVEzbTplv5q1rE9cY2lagWebEiMvDR4obtp5u5GvZ6o+51PdyIOfFgpH Vs4zWx/334QKnJuFcY26yNctCXUdWOURgSVc/2e+vnPVup12Ddp+dCsZqORfejZdOKEa2ZTpB9p9 puvjQoLVlpiw4w6eNRZt3Jb365mrf/PrL7XbLdr8Z6p+VgOH6e1qZvNuPap0DWMHXDuc/GeqPgkX OPkkq51yrmgsk+au9AujjL8JFGAxdAtm3vWdofrM1nf/FniOGoh4R1dpT5vVeD3T9RkO2B/95y6e Z7Y+DpmdD/a1BHeFoE/UAS0fi9PyI/dea/lnONMOwzQjF8xbA9PsdNWRZkqK71fm8jNZ34Veg6uZ A1VfyYXeTeQBvZ65+oyF6d7TywWGjVzbz2x9upFN+afTVD7Jv1OOfd9Oi7Jnsr6zGTqS4zgI9hky t7uPYCKSFRGiaJ02zuBhvN/9Z7I+/Q4MaGUNJ1xCg21vzicQ+k17X5S5imJzXQHtZ64+8c9i2WKV Ly5qQLq9lUmhx4SvIHTx0dLtPugVtAlvIUuvmEpFloVZuvVN8MaGndflj1x8ZbI/3dBA/3YpSndY fCzY/63LD+8BuAhv9im1ByIHH70C+dQvLixhAo3XFOWt6sOM9Yf4jxwuRvWPH3oNQysqfLBbQtre 50M3e1AXidOKlo8MZ8Zb+5UEm5gKacLq9UzQJyFv+J1nRUuP6D1z8/HPEZhwf44KmZki8IgeTE0i 32dqflYl+Q7Y1OuVTGb/l7JiG9B78B8yiPm+EdOTphRRGRCUkaPjRPM3i2yyrP0zK58RrU9EDwqv ZKerRngPLME23d7rEgF77Luh3/n4X/G8bxILVT0blSFXP+nDLmt33KI6z6R8IndUesKNLY53UBIT KzAJ+nrm5HMp+cK6HHzpEdpDtD8v92Xt04TV3Xoy9lrM3YOw21WDjnsbBs7ZyCeZakfFuAZSSFbR upOVsjSlQNtx5eAqnPAoSibwJdhd4XwCsNNd4iGrFGRdv/Ah2BuZ/bSVkudR/O3JCGWlc+gjxx44 xb2Qn3QkPvqBT0SwwdCH2YtgFyINWZRV72Th0woEPgyoOnyQS7DS5c7GKK8WRXJZKxUab2tYWn8P sV6Ro5V7Pd235yVcZ3I3R38Geh7OKnVoOOHMDnsZ2m0u+om7inm9b2WquuYUxXNAJMCdT+fOgw/B O9/qq0dQr+zPna/sFCt130PnXkJRbO8vveXcy6rWBbozU1Gw/Ciai3LhHSXwOejwm2XTJgoplL0N OG0EOxAOZGnPo+5Z1QjfG0BdVqCGts6nMHDOne4aeOBfPcJ6h6kO7Nk45qXL32NHH1g7jviaV/Bf W7GtwvQQvoPIzddJToRbbnlqfjGVPksFGcJ0fk0oINegA6C7QGG88H7SxCIyA/4V0cEp12hYsvtx WHgwSM+Y84i/RXeP8/XDBI2FxA8P6iUP6nX3cxeW2oa10yPQh+vSxUsfV47G2EnVLRas3YpGMXQ+ Dfn6bo15ewT60FSodraCOaAv50SDyszRiQej/JR5j+l7UKtHmRoIOWENC+VKmZ0JmGVSe6mi+4DZ 1189DuqCwguEso+jF6b+aizJupfhFLRVwbYU9rn4dnX0yOOHSj8VFDkO7kNVii76lOLBQAeM05kU pVdOalKPMR9sHVGXN9Qls1PL6VsHtx1UQI8zNqDgatGgfRoDEguwE0Qe1GppnT3kEHa4XsAYSRML lGCl2ZM0EPTurma14BXjwegG9MvTn8th7/fI3zeAxbvXby+uA1n2nWHd5UmZPQZ+6ccBqAUZG531 jM3W70VBusnoTrt1qNUNiLx9MPkbUi/ayVCvyPCiFoS3u0foL42LzMfyHNXfwDP4A/BFYUsZ+Yrv IvqxVLAgkxLONxChv824LlVdO7GOjXLzTfUmM7FLRyvgLOl0RGDRtCI9MNngWAMln+FpGe+llVcP nX315vN2m79HPD5I8Mxvhc2TeBBYY8ttno8EjAK7XXqwaXCrH/klDezNiXisQQsG/R0EHhxQNLEo iwEkIBgA5ZBlUApZtIY08WCw/TcOv53NJVJPDzEg/BXeknikw+cdvvuI0Gr3I4cfZIVhnKJBAY8E vznbDBUz8ZqylqjH3x8KO43+HoV3EWtjnIADv7aOScM9z7RePU7jSJefEzFOT9qKICCsspbd8vGy xcyCBATsHtkeka8PVXl76T5ITZtG2cB+MJyLVOP58DTyIJ9pRAgQJd4rCn1x4MFvU/4OU6x4LkCA h73Ppp2A5jr7I0KAzL7xsG5zEbiLV+ZAbWQtPvL2LQJ/BDrL8cka0jEDP6nS4pzoDNXhtJkf7C+p N8LMDTj/VePYTYq9VGlv9PYaoZ9vl0von/DuCHl8gKT1MFhUpRnq2fNYDHK5wTsiT58onGgDmD1x GBFOBHcRwjMbcLAMIoopZQq44+liUaoRoT4Q/kFd1OBEiezYpfLBf8PfdtAjN9eIQF8jt7p/mfvL s3RRH1j7HkG+BeLuV4izGNKfqyKN26xe+L3hCIVk9R5+5ePnHHF0F1qREZ526GF1K2dnjv0aoZ/P 0zT5VoG6mkTeCDEfNOL2pGRPVl353HlnNYwI8aGWdgMPjsO1fJQkx/I7KtSCbw/vU0eZnKtE59Ck Ijfv+tEUsqKLCHFbz3ww2vvuEQ4Bft/7CPAtkxLDC7SOk7lEgjxDvCdjb0SATwTlL5+HSWjTeMjR speQBsz9hooDiBz270ocI3LyIeZUoUo5eICnKlBtLxAP/hsao7cm94TFEdL4NtPakgM9sltQX8+z s6uyljq/4fnyQ2B0uBo4CO9MlI4jnWnay2Ug4Hz4lbgywjzdyrDu0CBlMWX2FyTujBDtLdk7eD+t XzI/8vRBQEnWZ/d22nvLcnnA8NXZj6AeKhZ1RO27mmTCZbY7yo8gMGhYqSLU7B/eSrPav8OXRl5O BjarBn7tLl0EzrY3HoxU/QfpNA9sjhjooTOhy7rm3O3hDUtKPezdEcI8iOVVigad+20igHZPRZYR Yictwe3SmInw3bNohEAPblwou3o0Xqm9JcV3DOeO0NtX27ep7yc/RnrJyw6exiS4MIfTyO5hfAOh t4+h3eqD9L3JPfRpmoxr9wGf3YIKTF81eHxaMauhgpXHQS92DJXhy33gwcjSveWtpOPfn6GzDz6M /5+wM0uWHEeu6H+s5Vka5kH7X5hwrjsi4mXTsyQZqlXZjCRBEPDhDlRgBs8Ni37KtZXMFMYa6IzO hz9D9ZUs95Bqg8MPIKnSGCLqTQRsPZ0Pf4k/eYkrxFT8bJDoyLWMdvC84iatrGqB31hcGEyA7/xZ QU/254+iPU7h5W09gH1mU9qmV3qK5zkzrPcR4wPc6PnCWk6+UKaENNj418nUKQMMac/Uv0AdM2Zv UPDk67oylCcurYbDP8/Phf+G73NLrs2tCYjCPmhR0gtjBqRzSXt77e39XYJLvf+QvgFzg9pQz7fN sQpKjFKh3Sc35wV2WlPzfwq+Myz1TXrbY9lgef4yNSpVumYU9M1xGWsS4vNK14yCPgQz+nZF9uJN PpVYRdZtXuucYaVv779FaBqCkQvFpbMXnqjfRGi0DX2S/GY3FZHWGgiGYoPCfL5I5Qtn3+TCoLk3 Ldnh7GDIjuuYUdBH+mE9jvaz9dRwd7JjG6g2CdUzQ1UWsTVhCZVyXXVnasiRC1V2XmNpnz/8le3M kLxBkZTHLfkG/KVbrRvUDBcGnQ7v9IgpkOwf2xU6wlLfFqlfGD7zKzeX1q4Un8inmhTdjNq7JOUd KJ8Gy0+SrNVYPTtDZ+F4oGHkHl39o0Y8o9gPu8pG5KnBVtY0ihhuH68ZxX5pfph7670BRg1elLjb tMKG/RMtIsuC9vCwf0Z1vqXTz9pZVyMNEUqJxZ3Tv84hYte62e7X2T+jqE99Hgg+Gqy6n0Sjpan0 mjFf14q8BuhVUGLPHnI3enKvPmI3f/ZrVpia85VnKNBS/ufbP2lHqqZNXWoawnrfP/wlxz6jAh/e KH85flEt5z+ebILrIlxDfePZLphvRu3dxUm8LLsRK0Y8XYe0+1NHIR/Crb1IYuoezGWNWaZq2yf0 SV3Fr3WrO1+dnRmFe41Ep8j/3FtGJ2DSe+sjc1nU0v+wVT5d7RnFeqQi787u9Cxv+wLQbqccZ8bC LGx0xHrlxnq91RMsribRyt4WjZ/7h79qejMWZoEnhzBLu8IszKUsSHrnuqitXf8HvbmiMC8pm6hf L7rKjEHJfXF9vRX1dIF5No4HDRbkrLP6BxiEEyH2JCASTF8vt7nA3vSbiiiK0qbPNliMn4SrPmdR 5bqAsTE/R/wsV45kxQW9/jNcfmM4bC9X96LJFvR1XR88vbgau9lgC56wLtElYsFXMHfa31v/+5Bb sRgL+q1Kzd3Ro54kXNNbVs6vFQV438RsQktraK9QZu8kUmvffX39uMC0B7ijeyV7xc3c/wnvTuqy ZiZPPsugdghgZ+lmWT+Pz+Mvu62gqLdRrSE+fcPBAQdIkSCl9lphfNc/dJ2zzQ775FfI2Zhoy1lh Zw5L89BF9K1PbZyu6yMQD53MXm2wNXp2uJPIUqQfZ29HS0ef7nl/P3ytd5tvdl+RClf5y88p95W1 hk+Sw3VRdnPpmZKi8s8+Cu+kSk04tYjvUBVCYpGNYP6ZxgyepDcrJG6AkCR10+CN7PNBLbjZoBfP koJsBjYF1dv6zu7qshsLqhvzb8XSueZKFh2lzIX/ruuZEle96c2KwjtiiTWNlb2TdXQRR7X6lnpx nHYrpueSvKvKcWNR+vhJ2gQ175XwNTw5zlmKpr/48d5admNBK5sdn4Cx3aiR5zfvnhM3vFbYyV2u yAV+9cf3vii648vXq5AbsZPTU7MjMJfiyoMrjO+KF67e1asyC0/NFFYKnKD5z1Ygo4if8s7uLcpZ IT+Xmp4ufbMBynVeOkfAa0Wkje5hjlS+ZPBhExByNsDzaPPHf2w4cr/6BCxYZfoAQhBflySvD/b9 5yFvhUpLs1YyyEYZoCKwsy6IUd1zfjfO74ukK/O13Rtjmvpia5MLg/1vf4U86b0DhLSNKYqudzDt P1CUHMU7udeDd8UlPrYADJyv+SZF7ZMro3FytgA4r+T35zFMnCN9lOZXBOYb1sisNnhd36KAcwz0 14oivjK/5HXf6f2KiRsgvK2IbQrbbB3FX39djuVbsSYL4ARUQOq7mzdWEeiCnSBL36JJd54iKeZE 12ml2I3FjY3GGalBqc35gW2NjdFeK+zkOqINo7Opctr5a3bYyC1m/2Nxnz32chiHCmXSIQxJGwr5 THj1EtdGasXcu2dO8IygrXCW/XyK+vyF/GoQ64vhVJINevdnNVk/7yT6rx1KKzuAd1lp05vY+znu g7I4Po3cYXvgdkyrCiV69pimS9Fybxu8rJVP7EyEe2K/RYkXvVkAQcg9ftJ6v6sgrYfNw0lX1i1s QwjQzrf35MJg308e9+lD7VeXZocovi0y0Y13k2M4JHzF4b+34/Z3GPqpjz+3DR6jrY7dcFY5no4+ fzhdYHl8als7CvwA97dZfDAASzWbgnOq7tf+L+LGMhU+1xreIW8DJaniGY+bbamTQkvnSpHtuJub /9ZiGtgpNUwm6Wp38DSweMShvOAt9XJ3FPMBf2xAflv2zQRIlYG3W+G6SJBnfEGXBGDTo4edXPYW /9ibG0ysdrm699H/ydbIKm1crtoueVHQNkmHLQ3FjSKHyM6/rKV2TNalmCabyqsqfiLJZfnublz4 bxCDKGsyiL2vPor50EsanuEMl+bI1dUKzsF8ZyBs5nZJclQb7Mw/T32SWhqVbXbJugi/kv+ucOxQ g4+AmQWiwQL+loTGwFdqh3LLV1VdB3557/hRJxf+cxa9nkRPvVL0V2szFGttyxlLO5RkQXgUachu +pBJ9pjnfYuvdnbOApqyk/tPq2uZAGXadl//kGeQDcFe17/1vHc1tM5PvXZc1Us34rMj31r5O4z4 gHG4/Fqr8/eHP1xSf4e93KFAZ9hgH34/D13lJbDQoURdXKahRe3Vb1utHXVzh94+VZFxpVe7m7me uK9yYRDvjg9V7+yzjlvbUbiHsebqV5pgWrw/i6GYSr2+QjsO9gjlNAeXUt/q7sng6+OkKkD/OlIP I39qHYJG86tRsssuIRc6303PNGRLWlrWhRFs2UGLwrXKcNoT/h3K8GVk2Lyhs663Zrvay+0eelE/ lypgJ2TqF2F7DvyTozU4s6x8+Snw8n7al60ALDDdVaRBOQ1BpcG6+cMIgas2rovEST7FniGZih8U jqNwTzANlVFJc+GdDfr44DnE02VzWCa+HGuzoEEJHmK/gZsnBRjnvkVTh/lKeZ5XCqiq/4Zy8MPP U4BwY0EyQoOt/N1NjS11uzKCMtkkdEGXWxO23mci5HGclyNIAkqE2cteZjbFhIitn+wHomNQevvL BvsS2ih9Tplr9plU9JimQv/zRvAjXGC/G/X2xl9WC2f/lDY8ciVbVwbhr8PZGo0JJQ58iD4PoRYz 3wwSzHS1jL7rqpQSbwHoKeo6vxCg+prEeLMNDmgE1ZYEcOj5bK7uQ1LSlz4dHAK7s2AiwOHjA6Dh QruEyD0LY+vKICJwiEOjCND0j+bbQk5RIXBIW8RYy316IUCCsNboXXdBhL4bbAymRpWuUFc7h3kt 8Kyxo7Y+N3xAiTR+KqHN7yw4G9ClRvNGg/3wGCbRmbBdS2Ex0CfC1OzeWJecosgQMtDWw++fDd4T tIOEgNXu7dd7NkX1QPSDz+suNngnYJ+EtckN4cTDvQKxpe5YPtUAN+BNUceXQ7GweootIQk0T2lR 5J2Krvw3uS2XX8r8pqX3OAV8Pco3FB+Naaar1cSLviWaU1gTJCX6XRQb55AYnWJL2Wklij/SrENy jQqXI12l569fjpJDMTu2DRYkTfNcWmXqwudpqOlD7xheFMwplmkmhDGYmyFem8s0F/XHTKc2RQEi ujt/8bm7BLwwI0fotm59JipPWXpUP5kxvxulCOe2gEdpMMyH777n2qkr/4Pf9dULM5Wlxx3tbIft qhNvS5DVWOfx95XpTWFJcMl8odvgLYGRp4CZ55DIFS/QhgYm4cAb7jf9piJq15AoEoPlFScOF/GQ ULTpyqgjYu/+nD+dIec7AVGUiDRudpLjFaa/TM/sDMecIn4vzItexdjf743w3PSkpVpTSa2jU1yV n72ZDRLM0K9G3D4cqkgxq1O71m5izrS2dV2w8m87rClDXJfem1OM+NvXlYDlbj2hkrIHyettwJii quDQt//bmWWtE6xmsjSoPXSppGas5tZv270UsTu2cnsUgopXWk/+Zkpe5wzRhf9uiqg2qpN8ulB9 aNMhYeTtsI80vT9m//+s13418OgwQfFO/KfBtoA5z84nG/dxfhl7ji49k58PxaN2v6mI3KSwqNhg oUY2GATMKV0ZJQqfODmPCwTIoUMHdUtLi7JnCbLedMI7VWOfgKgpLEWP3zWCEzEu4D5AAeoY3YQ9 r5Tdl2hr/odDRwYnO2wwrGOrwu4gh6wrg+DQFX2u/fbwryA26RDJ1+LB7TJOOIffvnDzuDD06FjS hmbTSfNtT3R26/N5kSjh4SlQfFe57gvx3f2+Isg3UoJgn9LNlPA+MNnuOnVl1BoqP2/If5ERu/6m qEJIW1Aex8JCWIvIqIS/SL45NOoY1hr/tqYSLCIpKdgy2JCqk3KHr8h4ZL+xiOM4kX1MNtgX3E3U Mhe9k5jme8l94vJQ9vFJCHX92OQ4ELsYDwCijPVztne2BmC4zcxqQupHkXBTt8E+BZjOHAqsJODv 23iAudvHUL/i4tC1Y5mGc7fBp8FU/OHSvHJo21G+9Y26dMp8GiKJP9JZQ0k0l3Rj1XYLkQoxknkW Bb4dxpjsCN9qsEMR246ydaQnlK1YKDRTvjCAgvy8cujcAUqkVeAH9V2KzMNg8Gc31ZVRudzOBIln oT3jGXPg3WH8r96c8tuvnrtjhiR36KZFYa84/Y+SdV94zJB2kTGfo6LJbOyn26n4RfjNoX3H1NMj Z11dNxPqrzF5cm+68t97IhvCvjJfOXDvADzbXfKh+T/PDLZ03SyqGxTmwL4jW7+EEK7nt+j4iYbz aKLv7Sld8LbpOjRD/JjST7k3Fi2CIR9bG9QrhEvr3Va7MlgEDoileqckHbmYuyWENUSRf13g6xw7 2yrI2a2M5CnvMxGWEQmRF/231a74/Jw1tykZ63POMAnwN3+yOxJ8p8qhm4dKT7/o72dvMNeAfOJN XRnBwi1PlJio2D4+C6G8M+LL3aivfbglc3YVrBPiX1vi2M4DrteWMWN7983ROpX/HHIoFA5aZ2Vn h05YlMSb0Q8HmYJJHyUbtByQQ9CDnP/RlUGsXD72hVfcO4emHiCDqxua4DilvdFtmvGRcAuvkBei BmorNlj3sNQqpq/SpAyStHGuFULpDyNw+W39q5is8oq7BOXdJbgvUaZXDow9SFzKUychh9YeBMLN a8nK3759mTF58ykIkYNT5cNqg1f9z+Mn0F9S/60GL6k/y3w7tQBGvbcVh0hlSj3vfl2YgqmF2nbW lRE56oMZRd/At4LY24M+Ca3EbrL+TX7tBSLT/JNAkjo7JAfuHu7SmyR14IJVZZwHLkWLfmNzMUWQ IQ4p71yhiN6s3434QSoWFBvsWNjuzr0xtQrcPcjePDooQpBlEXU8XwpdPuQg3YZ3ldZXoAAAdd+V EIs/N9Pw1+BV9RMnDpRBqcJm+vK42RqO5MOSWX5bQVexqGy4bdCGWEyaDprt0pX/oYamHVFA6uvu Fbh9GFfo3VP30uncThG+ItA5sPqAKURqQvm+XkmMcyQiISn57z2acmrJo32SxuvqF1v4wooh2n/b +p34QznT6Hbhf1RP1Vag33mfP2ws14sgPv8sFhzm4lLwUvOzECk0+yCk7XDsNdiZUGG5cP6d7akP imZdYA111ofVzYrfVrAfiFSXig2WNZahLuvUdhDFiLmMr3RBR5D+oihExKs65369DrQTgif1EqLM fH0G/iUM04s0wvItdudZB2620ORrArfS0ajSx3QLp2qv5dDvA2YY7k422CIoUicGZ6ILgxgxfVKF 8T4SQ8KI5Nf8A3j3ll0iYL5ff1g53LK1rDbYxn2C2j5hYRa6MoBGe9F9548KevKbCp6dtuJqywYr nud5iwZTV0Z5Uv28/31VsXLo9wEYejmiyIy78bjfXkETY9N2gFAEOo2/D0QM3hLC/xIFlctThy6c xydA9tppaPhhCIRvkYR6om2djbBQdWWwB6wvtuDXDERRIa4MshhWjuB0wXqt/rDh9hkIo0JJIJdl g9fOTyiY1f2YCW2oYl5nVsH8JYebQ+MPIUuwz9Dg+acJ45QTd+rKCE/skbGj6jwmCK0/gG2JMVxN J4RHpu4N7OKPPMq2kQZz6P8xZHlVtw16X4moYGNieg6wvHTcypTElqhNwvU4jeqHmh4wJQ4sYRdY zaTgE06PgQnIb51A933S3xRFhoT0szusWII4HAC+MEpadx0ELiD5/1QN+K2RM4aYNFKDT6NiC99R 7dAGZeUCaY/rVyN9IApMCh1cditjA8KHMIddGIGs3rDqb9p4Ds1AaHHsUbyTuOUCAC5c4sAFO3Ep pOXQC8Q8jku3wdKDfgLDWviI+kY8BF3kJiMn+c7eKnr3O4t0QpkDPod9gVZpuyr62We2Lo1UMz4Q Q/muONwmx5YgNOG3YUvf6tB5O76Yv9RqiLErCMns7yyxYQczkWChIzuprhpS2DRzfBYEL86BKwjc qvaX3287cUZ1paipK6NP4dNLu/oROfYFQR2cFPLP/FmShQOc4C1mDJzuOggZxLxgpJLaWy/pvPOz 4+NaxGpYwrOICn9+dlDYnl/82RzYg1A4omrBsZnLG3CSr0T41pURte6jlabA0ichigupe5LOujsG yFDZHgMh4XOAhuNbYuj7m9rfHpjn3J+gCgjMQOCq7QjBiFyr/4Zd5sAjBCQrCQs04uo04kFPRcWj lIeujCqI1yREHYXu9fTQI2SIjdYdb23B0XaO4b5OYDkwCCG4VD9t2uAn49m+NgqRZa2WZWPclYNY xvKOj7PfWIS1h0opxsHdEfawrBHoZQ4cQs6FF3hbTDPwJkiBQwg2WuNHwg5MwbTCwXbs4b5kgxxb hAAgoTzSc79TcJJODICVNqdUZZJASmNVs/QVG4QGIZN6GrizcsFnZZVpuHmgtzlwCLFay4UVcCx6 fByahIA2wk/GOiiGwazDGs3X/Tl2CNmCFScb/Eg8e7fSoXKC46X8kz0F35n9xl4CWrS7ipIDiC4o I95pbbRr1Yooo75yaBIy8odU3dwEN4cuIb2+pTSq0e6EuBfCW/iatq71e9hZFsANyZzbAMQNslSV n094OJDQpCoHwrtoDvwbyNnvLZgDzoNzrtigomGxxI3WatWVEdrKqmZsMJdvlkOjEPYxw55n7y9/ 0LeqPmkNBDYhpjveK82netWj19kCWgdbcOLYvOWmUevVTJ8fhnUOfEI8ON592mB5lxQKeIa5Xjmw CjE48FPJMDQLgVI5ukEphgsG57rcK0olB+0BoVsIzo29IhhR3z45J2IZEyiRqLfoZb7/8B0ZZ7+t iG5L1ZsgqnnJEDFKQXwHELPQKiQZgAeq0c98x8WhWQgKNdvtQE0ynbyq3K7yGvfxo7BwyBCyJBs8 HtISkCHkOQDVYbp/+O6r39uKOqoimScbLNjc3dEBya4M+gbtEwpcG+wcmIXAPBiuF40doksp9mlQ o5I+bz+mG6upPmzwPfAs2j2kGnviVzwzGppYef4yyrk3FgTFBAvUkTR4ZrQVaYxpF0bQki/TBDmF eUgcuIUAwAaDXKbXjM/MyiuIjgnSUk2KLDYLIQWFuHUjJrgvwgiHIM4/8wns2gApz8vEYb89M/r0 e4vBtxUaqIZkkaBleed7sCujddDeqQHl3nq/hLBWmJHOtgxxTScer2KVstI40JUZBK4hHAX573bq gIDWsuKhsw6ku0P5cxn57rudGvqGUL5qiKm05J/CWQnZLMnO11F1aVQrcxw23GPn+9skhCEhCJBm chNGP0aR6yLNSrpNtMBABOUJMiNUAPcbaZXSCeMoQ5eJgRgIYqh0apX//T1EISG1m4aWsoY3jlV1 N9yxc+giUqYtBCuff4rGoYsI6mKzWghgPvG0lYsXUeG8+kKI2spVZKPSbbjckdR6RjbzLJNBA6KD ZVaCeIPC7LcV8ZGkrzRsUFDUu4pytRVdFzXV27tSkKx5kuxbeD3GBCVL66B5r8T8VDATeyvqelj8 GBIUEhhcFxGh7y4VUMVBz1l0Gvyfzsc/hOSlipPenUSdw6/HoKBQytPX02zwfCOp8n7irNdjRFBA CQwFg8oJMoHaj3rWwbML+uJJ0Xjra+4bGOSbFgUPT+0GGU0b9PBoyp5Hx/BhnDCe7vcg7aKkLMPz L3/sx3CgkHQXKUfbYOeh0itqxyW/HqOBAkCv6uGVbZ4ddNnDP0YDxXRjsvQ9yIvXqiap1aWoSRX8 Wic9RgPFZFXHWMkGf/fjnDBYidUTyg7QjmNIV+gTDUha7zEWKPYBdwR7NPCbc+1SzS81tddjJMCc bcsCJBXAgGPk+XseYwFWPXufIwr78BduCupZwE53DX18dLNgGJz6GgwMXfvAvVueIU1oys8frm+3 xODROeY7RVQNuuwsxWavfZfXYxBQLsK4WRBQpTpz/pbHAID/NtBgFw1XtKE44DIt0lWceTz8mees xt22QTd5NrvzhopU+09AqiegwUE/TqGdF4TYHl+PZz/fO6iPWqsNVhecuQhL1eiVPh79utAWfSb7 4a1kPf7jwV/sgF3Jct+lZqkcLT0ETjAjJB0fPD61pwH9WIO92oFiCcFzw38563sYcqD8qZ9qWNVN PT/84rmJKNo1zhD+xAgWubwej3zepU6lZpR7CpJ69Mfjnr0RSSpptvG90/OGWyHYF/QjyR+rLv54 3N+HR4tYgzMATiY8k/kbc9SxFw5ZmPyS0uWuglW/1VRuNtiz72R1wPM+Xo8nvTaKZe9dP4zwGvWn 8xc9nvTFtIa65359OPVQwZG++OGQmcdzns0O2O57sM3upHsLtxA+/lqIhgEWs6kqF/OQz6REg70e vhoFVRsMLtS7ZXB75dfjQa+9N+nxlasDILSv/rH0U0w9PSfP+PMFixWtMVEPlzMvH8s/xWzDRu7D BjvqWK2zSWHjhKhdiHuskhFbHO/6lxpyr8fqD89BK0l2K9MVfAYic82ef7weaz8cvWLIS1SOCSfQ k7BB8PwUULbXfkw/seOg5p99bd4Zfyz88Ld1zrG1bLCVPybIXIXqayY55aFfUdmC10dIlRTp9Vj3 YVaXFn+ywQLdvkS9PZvU67Hoox1/28v/IT4iwTAOW3TQc5CbjpxkN6vSnVodSWsQaCNVRc9PDKet f+R0j6Z0NmjoGCfKM3nBkYRinFChb+GrJbuzYPVLQhkG1vaKyVlB26QDTjL9emYUFKvDKNRR6XOJ 02fgxGAO1LLN7hSak4DlOFQO1xrDQ8IRtGGw1yAjJBusjd1oiiGt1JgJlu0YopUIrHAh9QZljPY/ AY9HtcFAk6V3wwfALIqivbJtA1Srrcv80qbgOd4jNiYzLl4Arb4FlpGtH1b5UB0iEUwBvrID3u64 euI1FzzTOP3Owk/wTjkah9oSf0GEggmQnzg+rhqsqDqqePhKeJ8jvouebVdxpNkG+MwnIDhGasxV ROfFDxuElESvvcv/wQZI7ROatQ22BWCWiZzqj1wTiR4/f+iyC94TjyJ9qEqUcDUo6ulFdr4Yjr6e mQTF6FgW9Kj6zcG+/fmf4z77hHPNLrJUxSlBHKda9lPl9WbpfjQD56CbKP3Pt9z/+aLaTFuuOSkl 5Ew+f3jxMZbphjvAELTKhjsDywR3IBVFcZ8h3S3qlXa9PX4U99GYyn3fgC8Zw7rKkpY9cLwz/efQ L6v4P/bZRjRYAJBOSLRU1EB2h97s0HL4+SLUZLut4ABAAI2SiwZ7/GzH/wAmGUV+8jji6bVla236 CfjMIChGK+0uNjVcZDQXbwZkFKGt+h9Ff5gJkNjYYIFahmeu5sQAEcD+NyXua6UOq/q1arf1PAPy nQAO14crj+x5tmXeY5ugQqIAMDfb/87Bfrbfn+kHQBT+YcM6vK5zXXNycUOZXOclVkbxHz52A5UE DRYA5YKWOF2HASKE5gKQbCGZ66fQMbPd2PMEkCs0kfR7vZHV3EKg5TV0R1EIlN5fAMeLb//PISDb v3iU9rzOJGvXOem2/6Lwb/De5/bBMEH5BOonHFLL+/wfAQByOJLSfYvrTrul50c3o8nqg7W95hxe 8FuvZ74Ax/+en0enW9E8BIriPxkp1HGV5bzgV4Tlphc2+j3+wxAQ+VTIFxo8Tznp3iC+Rzfm7INn caC8qGKFNz9zsdsKJkCde2So97il9GaEvZwBCEcRYPast/yYzKTqPFH8JzawZ/jicBhzxBnl81Z5 ouCPzWIgRavB3r2hoZIsWzHNOnNDxFf03b7R0X5Xzzv/Nphdt8Eh4rsZLGq11zNR4GvdF8BXBCPD Hj8K/fL5L2zHA1u7X6Z+3genk2nP/xz4EaCw7M9OocFTnwo/ogvtQrrGnkIqQDBG0j8/fNJnooBK nGAeoBh0V9Xmh7JREdt8PfMEtPn7h683xtlhExAV+sClCQpoWnOOCyt92lxUWJU6+55pAsWw4UNJ QPdNmuzvbKmqLq86JOClAI7sjxl/Z7/Lbi06/gW088F+eM1hDLoT/T3TBIopx9oOkBwGc/6WKPbD 1TCn4tmvhDKJfKn2GKmczpwmIIr+kko+ywcLb0qDJzSlIQC9miObvah+yaxYofeZIMDPbgJX9Qwv OfNsBNs0FVp7PfMDftX8RCC0x49CPxy5ihIg+UfINAd4Duf2+Tedw8YeP6r6AaIbEPY0WON/9RPq SdkFIyHowEPg09U+W4Clf8/kgGK2j5whNtgWQONMOcDar2dyQLkGWu3qjHrw98wMYAMkW7Qzj0M/ GxLQmBhEgfV2OZ6pAcUIpONsejZY8FfOvtqUvDbOhAl2awhR8pM/hf5iNxac/XQRgRb2iy9scufg /Z+P6vXMDVDcWN7BT0eQ3SbgOfajUEhaZk9rxPp2dXZpFA4vfzzzArQFUvfaxYZb+RvkPLznQs+D RkdSHVBR6Tv+TXZjQfmH3AfiggbtgScKsm5dn+P1zAzgiXJ7RwCUtInCNAVR/MenaUaRyEr4FGyP /xAR8yl4jv9YckgVGwnVT4FzAq60xN7E8LEq9114k/18dBeX31Xw/JwUEg/JTp+taTnlbXMoPQd/ bEjdA4BiJ4H+mrD8xwZ4/UNyvdWP5RCYlpaLzT5zA7jRs+AmYdbMF8QPh3xjIsNznnVxFuHXH44P QeqZGaD476x8IJIa7Ktqy8xgzgn9eiYGFDOAteVP+a/69h8Ff6gQze0op+0EwX4znzU983lmBSjx ZePb2wYvzzfMPiWxhujurz+8W3+2m4pWvkK/ZYOinpNAdgODj9czIYCwaXwiPwDzFvg+8wF4du2u yfpcOXmXJyVv797A/5kMoI1vsOKrDXbwrTQacR+a+vCq9tcfri9TjWcmAKWrVf9i2mQaxVtZ73o9 8wCKuR3cZ1fmm/3po9CPVsTwHGckr3yJ42dGwffpw5ofQT9YxLHGzXnPwTdG4f30icry/PrD/hXz PNMA1LlAbVKyU+1S4opQkvQ6X88sAG15tufz4Q8de/wtUdAHkixLnEqOYRTLSHQMDk+8dXnizxyA Yiq7EyWVmdKNzXpHa1GWlzlhL8AfVkP73Q1v2W0FUf8JOgYssHGpYCfeS0p8T2SiC/9jw5N22Ung lk9AFPRh39777fB6f1OaM+r3TAe7PWP/i9nJDDhb4xK3KiJSBc/cH6luDlXF+cLZXj+sUOvyRjU/ Kl5ijmiwDne33b/ikf4M/S/mSWBV/68t/xn6z0TL3NzIb/3K7ErUUB9+uUs/qvYhBCF2w7wCMnCX dk0o6rSEyVWiHsgsK+H9Lvc9o/718EgjIrLY+lWn6qpA80ry6xn0z/G7LeLT5yI7IT39c8THuZqd C1d+lhODMQb4cU8lV1p9BvwXc+ka9HQ02Id/nrWvLQ35MgG9nq0FaCp3lvJvhNcz4F91SGTJsw9e 7jfZFJTGX894f95nWp8uv6B+vvdFMR9ZnbwSqyrd6GLKMhJjmD+iSzfzjnzG/HuPbVBm02Ab3Pn6 z4NK9AM5eG6C+Z1aBv0Db6p2a1HZg44FbMPuOOp68hNDOpyF9XqG/OvQtFUAl9dGb/o+Y/4J/VVZ tKq37QbqA13ABxYo+gzish/ILn2ykkURTL0sKj+bz6BS+Fb8Rw1ZYqa39MMn/XqG/V+0h/w7y5XT Oz9lhe/W1usZ9a8zzebgQtxuBBDGfoAERG35I/K1Z/8lm+S8RZ9kf8/Q/1v5RhZ2XG3YCvHj5E/y 1Ulnpz0bzRBLTdHJ740wav0S/f12pz1hRDMX1tL66xn3z6dwq3/TcQ9+EkTxHz32nS3kR6bVTkT3 XSmq2OogjEp/GH/IIVqDLdcza03bae1gnuh9UwEgkyQg9s3QAoGo+tfEsfz2Zj0RiQi7iJyN1zPo nxvKNgFKrHGKQ+xaoJ8oDATeiQaiSKAZfbUB+QH5o4lmAkd6F+4nDgRJfUABbAfkwdfI5/ugToWF OsfZ2Kpa/RUEP0P/+TrhI0Ai1aC9/Ty/UH67jNcz8F8fz3sFWPPPVsAz7t/RRTnVWwDKJjBb0iqe CF1q8DPwvxjXBoqPDfa5jnniwypiMucgu6VA/Cq3zU8ZtNqtxS3wXk20cd0Qs0x3ktYdBSfi/DTA speAnpH/LDXr/jnwoSzDAiCerglQ988mICoBNpo7S55ebnlcW0vtvPemR90LyYCBHVuWLctvbvQz +N+RGX3p+PC+Sjs7XDIjzaobigLCTwWAAuKyGXgOB63cPKe1vVTBN8lp95BnezDcWxgOkv8vYQBc I76evC+dp0Mac2FAQH2kSUV8SM/qexN4xv4XU7HpoIY02LxW09ndW/cTVQD9tev0llefwb+eof8e E+VyI+GijADah9tv1JG9A/yM/Pd0aLLpzbc6NiqjJ8gYWkMDqQiY9wCeLVz4eTvOPMP+lQ1CnhBs 3pvgoypyPfvtfj1j/otxaG8FRO/Wnj6qAUpiajjZy/8DSk8OgaSa7U8fxoT09wAPaTAACC3lRuka CNRAOOXzh056yMluK3p4oaO7DYb1bVsJ7Rz59Yz2Vx7VPwCYcY/AZ6i/mn/nI1leBthXL2v46i+X //mM9C/GB0cgzQZb/SgrKIEH/bCRFp1Zvvf5EwYmu6nnrU/xJR52GqwE0pcympnK6xnkX644DnJX ulshxezxoyiQXJNITtg/F83KpXgFqFZH+z6D/JULckt522CHX2r7bPtFUCgsVvWHy1Lhsb4Ov6j2 t7NQ/dUGq4JsoeV+zlbyesb4FxNI1sLXQu3vwy8KAGkr5+2FTheTrdOKImp7su3/E/dHW1eDvfi5 ThbP98IzDoQeh5KNaWWA+SkDtDD2S3Df57LBDv6z7UlevmfdTxD69Pqu/MsExZ49DP2KwC4X0O/g p3I/hWpasl2/EE0Ayr3dh9uhOmfd2joCcqMyMfQ9qvf+Nt2odmPBts+JvxChXW5bekIHM9s82d3g wqj55zUw89lmdOxnj4M/lLQN6NZc/WCPayLtrM/+HPgZcg7xZBs8C5zrZNdLmmiZjgWgEJLLn6/u b7d7+ge/A40ADbYAukljzVZfPYr8yhfoAZ7peRn28FHoh877di3hdZkd81qMAojS++9R93eo6Vd8 sI1913E+fTAHJ6g8M0tvCOAYPZ96z30VmPndIPSV1ihdi+bckZP2JTNYSKNyYXDuFYt9h8DPwq7Z DISxH3oJZbjLRhHWlW9ABFCSgOZyID2qBCr2wYRBgydAo56jj+hs7p15LaItYAsg+Zkb/xe7tagQ gI0HwmvJhdfOOWLBH2IKXBjQPWZ/NwCy9CI1BSHbYwpNmRz6UA0BW7LDoM4yKBb+9ij8Q4p4AE0Z 02Udzxk3YbhMEMCAAc46HtURwP0Nf7JDoEfhn44udI406AtupTVTC8yTC4ONMH0+BNoQTnuIaB+U KfkM9gW7TxUBzt++yP8oPAxNQBj7LYoAJEDrJkCzp0EdgULIeYFU5xGJIyiUKocHAaoC9KgiuCTK QPROVchgr9JS+AEVvQpXBjPgIEj+vJV7FPQwAFRjcpv2SS6yGuLVCxAzoO92/wxC8geTVEHD1PRW yNtnDdjed8LfJG68xIME6/hoSie7teA05AugHthvUbCPaoIg56DZrx5FgWPuT0OkXAhYD0uCc7k+ ViFYuW1gjwIniiIiv8RRIGUegtVxc8C9cV9KCmXOgmhww1eT2hViBr+roj0qCLINdyoMGvyHk2H5 qIn3KBRMToPI1TRAupUDexQIEsMbBghTCWc/LdcIRNPFZyDqArMuYXzb4DiQDiWEjX+tk2UsisbE +4Jo/t4Ho2KgTjIYLRoMA70NEnCi1MKFURZYPlAgBv8IIhAgpVAiFqsDtG7FsGJamWQxlwrQo0aw kBC/K+P95G0ohDED8xwJ574HHeea1aZ6BwTF7izCghQIedMG7zYVM6CqaXJhtARuQHT+saQnZXPw r3LgdOoHpjpmOn6/grW9EtCjciAOrYOusQZbrAipL30FOecJBnhITUKFurdycLfbCrIhKtXrDlYN TCtbNTCtVw/ZIO29Bsx52Z5/ROHgnJcBKPSN4eJcJ1TgKMWDI2T8JhpD1DnTZQAiglIS9H60sUAG 8Yd6dW/VXKnAjCgczCKAIXRfr2tLqoYzPocB1wXh4PJnFw6IUN22wBGFg+roFmuH9Sufnh0ccDJa j4ZHWAdUP5wfYfB0ALaeYMA9Z4Dg/GH++U4Gkt9U8PkjutDFIxvXWmQMfQajN66LYFAWCooMc4Zl PcERAwFln+F6iCb8QrH69kfK7YuNqAqYVQCm570vXgXGI8xscHCjo5nL/rd+sgmieRFMOlgjigOH VOd7scFgMJLU0jrQhUEu7Ongtiio+QSEQEDYxdOWel8OAs8XD5CvsciIokBSgJm1zK4x9sQmNVWx X0mJOB1xWzMRmouHUA10xC3hDgDKBw8shr6uPMfmwuDTr1f7iMMfBRr/9uMw8LJ+Jfns9XD/F18f fxQFKgxGUU6DnX8b9FQX3g3BZCDy9N6lktM+YfCw+wq+/04RHzh4vSyoloc5pfWsC6MFcIFwlgj4 AoiCQCw4lnsJrcsCGHl5FfhWA0YUAi7VN6FB7ktzOUHDSaYhTrMRJNSx9PxEP/23udCIqoC4UbXB zQ/nAcKtMN/0M4GvEeEAx3L2czGHNYBNlg6OKAgkOstvMMwoJoJSZf4hbbjiWLARoQExw/qrFdR2 6We7plx5ZjO38f2H30yYEfKAmzLIboNhQZvZOxeIQCMMAdenD4BugD9+FAGi7dG7V0KnfwHSWP/A QvgColKgIEU6BSxhy5CPF5rxoGjPNAgiMOZv5j/7euZHo7MfhD71rHz1YYEWCwbd9n6NOP6rngPl DyZkRNEfbz2Lv6uHFh+WLHBZGgyXx6K/EUd/MI1qs8G7FSeDWMlq4JiLIanRZCcNyuOTARS7tSD8 AxOCjI8GfpgwQmjOduLz1wgLgsVxEdl3AIuARxj9dZrB7qpXbAbyuiJQOPXZDIT1wCptimqD98HG oijKDpBK5oE7OgOy/Utfe0C1Gwv2ABnXrmSDVQRXMwf181G+RtgN9jzYJYK9FTDjaiCq+uevWH8k QIsC1PnpJKQITDiZC3X9QhQAi+fWbHBMYEvna1JNuJIUkyTw+Ns8sR0SIYHcGXaDKWKkbAvppgDL 1NbPFHNhMAPeDq7fyLgZhYCIqmTD/ncVG+wryO5JXkRJZA3MsCbI46FrqcFyoFTWRi0bF2X04BJM efbVJN3qmwb6ncV4+E4vT4M6YWOY+Alam1wYbQNfVDBJourvieJAZc1WDGe6sulfFZRfrBvMpsUu OGNCyPwfPkRdZ8enAHDWO/qwkn7hQY0P8VUVnmE9EFAst6FBE5DWdmJLq1wYTcD61AGkqWozEAWC gI2mKwPP7UvhSsGpnqNy4AzLgUr/hKq/BzZCgL0AazsbAlVtIPNZmiTpr/cf9oIHZyD25t3tzU9+ 2kxr/eyfrxkVA/dMDg3FLk6bjv6iKAys4CHqbYbK70GMIK+MKCMQJmaGOjBaAL3YYBE76j8VxS+5 qODV+fnDqwSY7bYiNqxA6dUG+9Fm4UCZdbxmFAW2WwbZZpHJPSxrDMywHgjmfaj+T/7flznMIqEn ORRisqo5iBrCOgzp4mnwo+BEgqQDvPFzG+iFaG32bzdySSPPKBisIurOaoMn2Nn8OmepXBhEQi6K wC4gQKqeP2oJD0jDqTs22le+hAPVEt6eC82oGGg9EaFC/MQu9IPThAd79pSUqLgObIDMYPArDJhR FCgOJRWcka/VcF9FHfXOo4ct4f6OAqDClquHMkM6CEFa86dvV/Lu0mHrDQNnKAfDt/+rK4alYDnJ JBt+As4421fL7BrKZbupqBlAHwAZn3k1sUGdsghWWVwXPP03NvTHv/2oHUzB/fKAS3a3DG+QXWvR GcV/Qz1wxCKTE1ZPkLNOXAn1r+bzzeOfNaWjNL73vWS3FADhkNTFw06DnjtlU0Y/8STX/ZsFazTg H5f8mlHoJ1uw4lJ32DtZ82d4K5S4xTKfGZKAF33gumywL/6czyf821LwBCS6v/7wWwBjhiIwiNBt o4PUu+b1ndBC4LqoFX4pQLx1I8GuKOpD8qe5zplW4bf29VU+XVEPGBjxoC473sXZE/Ry9m+h3+qW 9gF40GK+PZf6Xu2m4q8dVT8brO6R1Jf82btyXcQD8Y0OlT82vWR73Yqrfv0E/Vf41sv+051lC50W e/4w4NNBl4cN9rWPc8+Lg5fUuUnojzajIDi/vOVXKP2CVFsTf9FZle1sImIRnVWauTBa97cFrE/W O18rCvdQOcnS5NUml134pbt5IjR+F3+KG8BCPVUbPO2bNQF/JFo4uzwoAeVi1EY/E7DtxqKyB4rq Zdtg77/3643TXisO9z5p73m107o+K6SCDCVi00kQ3gcuaVkRHHMrXwAh+q+L+lltsH2vzNIkmlwp 20J1pfSBlgEaCO8d3+8sqPyBfcXiWIO1f1cTreEcgPO1wvZv2++tDzU3m4Ao3oPwmClZLom/cGgT 8LOs5x+w7SclGpqAkA5CXXPqJV8EFHC3uqkotjMX9D9/+CZ+4K/u+s752Av53aDygTvarwlYMADN WydlLowgwPkNBOIT8h0givYA72SBjAWCSNccS0uAV0ikrhkIKcBkyh26fS937yelXSjRYIk9iKr7 KKYvUuan9LGX3VrcAe9yVmoeLa/iSHswlVwYaV4ur/5K/dtPgCjeoz4xs9V+xvaJqM6IzaM7HWyF 8Z7orYRm6638eNLzPZJ07sGBoxBDRX7A+HwTISzoWTEFGLEj2Ut0I7RvnPaYia5NICKD9H2Dfo5A fEpsAkIqyDklpFOMT+QcTgPwJFCJm7L+FYMA5S/Xbbhlz1qB/xsniA1lmCTC2ZvWGwnUs91XlPWz m0g08XLLc3E7k7ODvlZY/NsXA2pZjyFg1z81AEWz/iNjV0v/i/nDCAPi1e8VV/+k7lVtsLgWi7wh 7HGCHqbucFflP10EiMnfrwgLCOOhQ0XWoGOQtE+n2WBFRuFfWf0TBuT6XgBR/McKNkvxs+3NOw/T m4DrSsCsWAIG6AfbQHWgMjyYiXPEkApgA1wBRRJZFPwi3yBg5pgfjgIBgj9AINthUCeEtPLv2VUb Fz7PwEreALQekJV9dhQDDmqsFwXrOvjv5g+qe6aBGOvAkPBBe1sXqd57hUO8ff1TTx/iJSyTwKof +PeOgkD6pT3Je3pdGZRajNZWTi6yoyhw1/aOf6l72gmwn2NACJHZzaAgn14McEs33/UYeP+TCywF vH3De1AORUxGyh4Vp+hBpFBRC9mfdL/afUVVT9BSxMvtEgvO9if/6BMLTi6M9r/93v4lo2PPH0aB Ve/FMXBzm957NU0swZbuAoijQH353QbbqBrGaID3OP8b26r2hvJ5/ab6v6MYEDbtKMMHg76ssQ0H WTcX/pv/UbJDgS372yEBhG2mmtyrqd7R+uxX/5UKEqnvDnu/gvnObYOVZXAqmpCsQUJWqUMBC9gf 4M9QBLyj+E8iSDxtH64eACdFzaveCtf9hwRQU8GzqZ1vzx+3fmkv+dfv5LcsoV21frtXPHasAUMO JCGQ2/jo+GO3lrba4PmELVT9l/nVfj7/bLf1jwgYLxoNmgHsNFT0x/5ox4xgCwCLsferOXJqCkId mLPClks+ru5bwHDoSwG+Y1MQdn+7XvCywZbAxMwTbbx6kp06JZKnPu+bBdFt/wslADm3F+2zdVkQ s1obEP+rHYo/16+aP+eXPXvU9iX9yaOZ7KMBEhGBLcVwwQ3chgLAHYrAQNdAqlqDbYAyf1mKeM7N EmENIGkqJHjby6KfHUL/UAtuEgK5YvdQUsUILz1zYVTye6tgfWcAOwz/sIOodvpLYNiEYK/i+62B 7LDxK0o4Yhfzuvucc5+4nzbP2RQSCnuD8gXc7PHb/2tHrV9gHuQRNngnwcr/MIq4MNj+v/h/8y2H sKPoT9ifPFwIwGyCUYD2cliVKaomIIz+pG8nnadb1eds66r/1koRnBRwAIAwBRwnQiy7r+j7x1Ks Vhus7Xl2U8VwZ5fjwn8DoMU4ohQ2fQMMZWDOhK1tRb81q2M/vQJaavcawI46vzz1hK4yL2cFMj37 Hl3u88760B9Kyf7r+WWOvEMSMF480IY1OAC8iAixauO6qAaW38g3Wb+5/Gd6jv7K/wHt1M10+r4q aIj+Rx/3TzFBhikB2BRygHm/yJBo8AbtHif/EeEp9YIRWN/oOVVBV98BcOp+c4EC/haJkphpqXWB DOiy1k8HB8+lQQx8J0Kl/0Zn3jOhnJ5jwfN/sBQM9MEGMhwGm90q+Jw74ypih+EgJbHf4ggygtt0 ahAw7lTDziB9OPFh3QrAJIFTFA/ixwKO0AYrhiOyyo7YBu43KYoId/s4QcDU6F4XFen/+QpOBfM5 WUYQNodYAaEF5tnWCMwphAQ2AkKJpbw/i1kpE7EvIowHElaIcbUb3pFRyX5r/+CG8Hn2T7JpbErO 7K0rg8jg+qBkpURZnoU+EVGJkIxgOgrarIPPnHR3k88wJ3w9hI4gKgDnboOFRyenqnsIF9KFDgDO WV0b9neRmB+OWZIQ7W3QBnlu1Nxg0MnMKawRfhuDtCuTlVMUIaaqRMjIMdlE8chA6/Cu8NsWK0Vl wiF99F8quYM9okowCYfAAnQKlFue/QsfarbBKeoME50UjGs1eI+kGltqp6Irg0i5fEkFlgsQNNOu x0kATS1BTuKkLl1g4iRpRUHq4CSzSQgrhSoU/RJMbaJIVXOEnLuqWNzlkoMU2Z0GCZPrl4NgiQib gHGkO70JLt6PQPJdVz5Pg7BI3jIYt14sPfznfYGinBNlu3ZFNtjhtljA8k0tN0XBog5LbnK+7xQP I/wBmw7LUrVUiDq1a13FSCXL/G4QLnC2yMNmuvnc2eSmiF+oeuvKqFr6wQneJqnJLj3vaskVw6gW +ARc81TJp/sEROHiSPJJ2TZ4taQJLqwv4WReVNMrTDHaOfmdMEtuSr8cdYnx1gHeUFWrlCFPUU7G P3VlJB3jyrk/MB9+speMJYfz3DdYxi/8RR12Bb1+FfNTxB0GWDRBV89cLz5ipXSOc1kd0j08wc+0 3s+HJjGq31NwLizJhSQb7Hw8v2SR49aFwSfwSRj4P6in/vgxU0RC3uO+dOOKlOFQ2Urg63MQ1gyl nFq3DV41RzCThVzPim3opwhNna3p4TCJ6XcW1Q2KCc5osDOxWc3UzoRQOLp/MCI606cL54fuIVRK xeYWZNx7qK4cgBSmCYcH1iEUHWmZix1/yyYd5YxBName86JDzp9pOnXeF0HtflORcAzFHE7G6vRp xCPM/aNgGxA6hwwnEGsKBlARe/yoddwgTjpbZqd2a8WOn6il3QmIescUWAfmPmO/VbTIEjc1hHOm FpPD282+5XdUUPy2gpIxMS6nogarGpyjzsPFrCuDINHlA/i+eDfXOCUMEakLFr1oiSeacEbpXkKV CFSxKQiVBCkabFvnb+uAPit3KvoYrT56y1z+84UYn35nEWRcTsTJBisdUDtmDnKdujIqnY7ftUOP CgL3ECSUpZ2VLmHirSC9HDO6r3l0aCAi+yDA9xo+zRPVPbAROIEBeoIc/q19xckj+61FnwIabPLZ 3LeFvO1JeG26MvoUbv+ImFRUA5+GUEKG6gjp7jAW/ZCMVKU5jJ/s+aTPbSg4ClxEwGunv1VU0pxn ESCifuIbYAmSWm9yMf10Eda9tUhJtxMK+GCLoQ63UWhZVwZtpPlBT2VOtXE/iUhdUGj+vNaNCpY1 k1ty+CTyTRYjBm4i7iYExct5XpSKBttJlZFch0QB/DfJ2+cLQNb9zv6hpoSjvAZDVJzNyvTUa9eV /1aUdj+VfpdCVE8UvHN4gLQNT5+bY2xk7ul2SmE/2SQDiw0XSrbTTLYQ1lleohKchEzljd8WuqGh CPHBiSGKDQoL9treTu1NV0Y74wdS8AZR58BRBNDtOQjdSWK7o1o2T8FzZ21eP53AUoRkSeDJaYMl S2f1n3zGtFVQpZIDtBlcfA7HUv3GgkUAFi9RTEkeeqIxbMJ6M9mVwSLoH1QJbbvtIpM5cBWhBy24 5EUSd1dWWNv6TCIU+zT8B6ck2WALYbVKW5m6WmkFownk9jnkP4Hi3RGiQJHfvUWYqy3uCsu5Vbuj KE5+t5Zo7VyHiRzai4Come6jLud6OYvnGy28ncVjdxFUkAZzMO4czEpvUa4S54zYSVoxgEtAJ5JN X1bx8luL1Ib5wuSq6u3FvnsymfTzP7oyiJfLx2SlucdIDk1GZCbtB6Rpa3+ZjLiPMMsgtBlZY/3t r5ayFgEfLFOgMBoMQoGD8/EXGn5jQcbIfkCurUH7AR5bdsSRMARGIyRavyBG9U5B6CYM/scJ1UN9 FXmtX7ypGW7aDwQbwhCtvtpgoeIaWOIN6awk9LapnlDA/qlvbnWtfl8RubIayE+DBcvNiycn7tSV EblqXoQF4KGf5R9CaDVC7polaSeP0WxxAT3in/kHnA9buYLFwGuEdSAbnWqDTULa8MuL3nnfxXwY BGl774pFOaZ+N6qdIE2BxsRybt752VrNZBWvrcBr5BMt8vVW83V12dkcWI6Y25LI3PJW90hBXvZX d9Y/h1h+uhp4XoPXD/YJk5KkFc/XII1inCkJYL7kJpffWNBuZBqIOfoNPM4eU0xmAJ/dHHqOZCcb S9NKLd51v4iooihgi5tumd7QOTJdjT6DGrDcKfAdoSIJFEag60sIqW1SW4ZT1c4Jv2U5J4jtX3jr HNuOSHpJStRXev/kI0rORrcLI6adfw7V1VZupBg6jzRsuG/H+fKtlbGponJdJ3JoPIJNwIQXpsFi hFk6yj0chFhjY7iZVAp5i1ArT9evRmEiXu/bB8sevadzthhd+O81IELqGVx7KofOI6jL5H4x92a0 DECmWajcCHB9Cv6tPdimDVqxKS26jqi9kEdShhAjX1/TraWq75ZD6xHK8ShW2mAllGQyVG0WXRgp cbvojp1k0h3Q3xRyTeZFnNB53rfzfCnHb+e9wHvEC8q/ySZzS3cGnhaaK52NYi9fBLemXv22ggkA EEVJU0OyRGlMLyFMXRkcjNd8SYojAHh9BkIJwp6uu7rY1s1qCCZKBlH27UAbGJDQX2HVSVzn6mV3 +e/tJaAl0DmWJBTi8qknew0htCAR8oSf0GDRwZVhO0tKV/7nZrA0CXczjILEBC7a9Wbe+cJ0R8YC PMGnIAoSQW+c02DY4PlCPYcKOiAnQZwCYg0d2ka6/FbgzaEViQCYUmDJ14K7ZqtalxPJ68qoglDf XQVqdV5GCd1IhFkhUqx/pGqTzYmqkm3+USl/mRZ5jg1JBEP61W48h+FJFlF3b2cfHDwu8nwEIh8Y 0nViDRVoKH4YfP1WVGedjkPDhzJwJfmEieZK8u6thKYkImum5kA8FKnUZkvJkuhCGmorIfAlOWFW WUYudcnIJBnyky2aBn/em9z35HzLlKG8eiBWmX42AuMjdg//tDj/tJxJ0JaT01y6MgIj+Z6ocObN v82BPUn5P+SB1EdSr7GQcFFCGebDKTyN4fFz6E9iqoQSXb6Fr93IlaZ6KmdnSFRdKdZxCrWPJ501 G0OPEtZlByLQS31b8zjX/RxYQ5dGHi2eQMsAWsBQPx1in5IzCdM3QgFrhM/yZkte16Ylx0Yl4HI0 Gd2FA+qZiNkqqvxAtLqE6xIlczGvrx7BLn5j/2BnmUOxfxEg1JyHyvkQOJVQkvxFTLhrIaoqIj7l ApUop8PUkDWx2zUAprhrIVYnxN2VemSZ10QVldaOQFQrOBbRNjIMMBKj/Z0/i4ybA8cSU8sqhHDl op5xjLJ94ax6Xflvio7UhM7g+tw5MC1xR1ugF92A6dOSp82RhjID0jgSacyhbwkix2Or3H4zSPRF T2jApzvniZtBsIIdq00NkFtrF0ozB74lttN3mdxf2beViplBnjR96MqosPgpI4CfvGdEFDFSOnwr dG2vpZhuI6WmfAsJgW0JllfUEGq3weegrbOe+PzXOoEf/w3KtiyK/FEpFChevxw1HKqZ3I/kB/BZ tQbbAqqZA98SCLr7g1UkAbuTENYWEeFwqV75IWgSLlhRBsP2QcS+JYoVfPD+O6rN0GrPfGQVxYac JLJXBr9ihdC2hCrX2WOLDX78mmNhAa6bA9+SpA/pI1WY32FzaFyC31EpbtPq/q3Vm9HtvSuG8aJC RQWNV6mGLAn1UgrcheRLcp6TaOdnvzU65MSlH47wOFntGhtURyjTDBuoVunKIFr6omlTVbLHD2uK RC/1alTV5p4Vw9sOta9bYA6NS+TY+ruqCOdvdznXnoA34yNuwpuSYd3vLyFnv7l/bQd92MAvn1Wa jXp0QlldGYCzRvm5pKUuRA5/UWhcwkEo7TB1n52nrw4P/djmcn05sC0x7etJPjuvyzCa3bIZzGIu ty5Tw2LUM7Rh3p4NOfAtsc5Z55TT4BvBdMVJAqXAueSTNWwHLftGEDqXIGC+nLO0s6P2r5lh+QBx QuMSLp5ZFk3tKpadLA80jqx/sX6ZX394o+XstxXgsciYeB/tLd9di6l1nRxfFwanQbOMgUs+oUHo W1KlXX312+GWi7PW16UuX9RyDoxLnLa0JUg2fCPIi3LHBndVTgrNi/v84e2/V7+xfwAQEiLuyW1b yhamQ5G8XRlxl9sbr6rBN4LAueTtXuzNBGNsVORlfRGMq9qYY/OSId/yZcPFrldkyYbMy7vtEuQi tpN969XkwLzEwdu0s9vtaVN/1IScSF4XRuzd8s6ZzM3MC0mBe8n5kIvYi4CVybFojkqy5mw0U95e lPFtFsIgkfhwEhjMGxiccCDVXGTGuM+hAMsZMQyxd/cbvSwKUw4dTMBVNVQUNFjveZiETT5bga6M FoMHR5wHIM+bB4mhj4l5GQ938hEEioZbG7f3XG8pJTAyuaVldDrXrSmWdtKDnqQdtcZZEFov7Wf9 km7JfmcBkp32h8TPqttk5VJLN1jTTlWXPu+KyyvsNJ7V9i8u4psDRxOTvhw3bUpOZ25Xx4bins9C TGdJfzPaewKcxX5YT8KHryu7GaEKqdnno+h+Z8Fq4Exl1+njqoOVLqUdM/XOobHJRSLYJvWOEkNb E+SydtteUfKG0/adosDL8jmIOtBVteNfhj4Vf9NE87c2SmrI96BnJDHXS2hafltRi6HI0M8G7fRF dGOwg7ou6r9fa1uTTy0uY/V6DA5qNixJcwxCufauXl1XZqd98TE2qCgZr5/FJ67BCsuwWDYNdngd C3j8RJJWvdD07jmSo/C7D89fIXTjBMb31d7fF2L5TEQar8fQoNIyYql2JzQNmVoxz9HDn+1ieUQg QhYvf0wXsSvvvnvw8GjB4ztqgz38OrGh8JcIkiywyYtsvBh4/G1prm3g8dmTlGJ+a/iV5uZuJ0LI r8e4oHKebj286hODRiPP/hgW1CzyRZFvokQcKEfDYevqp1SEnfzzfwwKqvmOLxIsDffVn1cuR8uy z9IAG8b/N/ZXVCAvg8eYoNrnO/XB1Jsj9+U9ypra6zEiYM7EuKUxef4BpJfM//w9jwFBNUTm8Jhw uKVZrtOTQ1oBZm76+OzATpjfsxdr0LPLy1EGAEqU10kPFhu39XVuGdnsHIOHZ9f+q1W36N3rxe/y eowE6g2H+k/2wmHSoz9GARXCe3XRsvZjdq7kEN1jI4TtzcMrWvS88XY+ag169LwX9V2EW85LH0Tu k/YZChT1i7VT7LaCL55eEkRuDTr66E0Ilt7oqj6e//WaufQfOMNoS9vTP579FQbbCRJcrmHn7Ygj Vy8rEt2Scn206M9dLno3GvT07XyTGI6AHuy7nP/FjRhX0IWN/X14gfIfz33eCc/NGtZgk1pFI8FX r7weD/2/3jxYpqVnfzzv2R2rGqbZCsZbTTMEv4vZ2XRerWCoj+d9pRzHhnfuVYM9fTqLHA/Dk/rW 89snKlwgeu3geXdPJNsaLXsQOfJ8WN6WGufRh/ER1ng9HvX1ald0kT/o4M8fKcCcv+nxsK/WQzPa bvV/0nu6tgXtAmwej/pq3OVFlL9uLQD1nrUre1UDdkpwvYDPUmcC73LDvqS7et7vRXiGdDGyW/mO k12aBMX5qF6PZ71ux/Y8wQP1zenhH2tB1aXb5A+mvW6ZgUWZ0+qE6OS4fE2w6WHjtZBn0mCPj9ZY ozzW0RvpYqlh9DYAce0b7cgw5fVYDeL5qQRMUbT8IJmp5OXPP16PtSDfifT80q7HOEfP/1gMquaP 4EVQ6RjZt49JiD0/9TFjb0c7H9R3smkNtum33cl9oB6PVtn0ZyE1QEkDwXXvoPK3vh4LQcyrYKCo Ag2nr7Yyk9OXa349loHqdTHu5l7MxlVs63umIXBqUZVNy6G2ptuAfZwjCjqacM7LCjd/MBhnv9Bg +9R57SdlkwjX+W5BWs4G5PbsFSCVvBjWkt1ZsAQgrxchXXxj6Xsag/tsBXDTom9g2uav7inwSJTQ BOYL5kCbXbu5nzkX0Zio7mHJQehQ/DDqa3ayr+5Jz/m5E6Uu9mvWw94o5KPmV5STvqH4BjkNd0Ey JbDttd2fHV3gGioXr2cyQjUD4CwylnDO1Og0A8+BH7w0VAyugrkBabpg4/oOzol7oZbPkR/tCGBs BP3Dg/4KiGZapyidZUA9ZQ3JCirnKV98jCj0o1c1cZLW4ODFoUBIme9z6Hf9i7qVA8FD2+NHkR9i KcIpUA1UVZQAwCV9CtGWtwWChyeKWWSNGqylhyRUpg8ApAzFrc8fQjL7ap1HIX9af0V+HKoKm8/+ +nqmH9SLsu3qBRC24sdmj/8c/ZlkbR79wqiqNUtrfquYrsvCeA4AsxysFoZ3GmwPOAFAMvOFUmGS VVQCFIF/kDRW+Qg3AJn9ZhsMOtCyVlfGuuCZeaDPZr8jIP5+X/xR+AdjSiolxj2RXRcXzupb4L6s 9ecIEJYzix8dhHHbpJsmQKsoz2YUvDcRgoSmv3g42W7r+fFhApxYLttg+3ev2l4GuMoo/mtp+Lef JUgzbsIbhoDnv6BmjlDWFglZViL7snJXfxQBInWwEM3TYC8/nzi/prMSG5q76CAurfpk+FpHGFe7 redvH7nGyTk0s3cFTyiUmuqoZ2vdr2fCQfWGLzPABtDMQFQzEMWA8AQ+M3AVzC6SbFz92mfGQTWx kWVxnmvNtCbzQjwsz++g4a3zEV24S1R3JddsN/Y8B8LR/SJzNKAC+gTW6K9nxkG9KibdPgKK2TYB z3EgS6AK7qrC97Il0N28o477+FEQOPTk0wcr+e1GG6xaH3Og4LFguEqt9+79c9o9PT87HTCUYGzQ +d92M+2JkxW8nmkG1dlUP6YVpIJ4s7z/mWbABjCl3NUcOtas6lnNwQ9g7rxFnzAMnKp7LBssDN79 rDtgAQ2MKSKWi2O54FTxLnr2XOzOojnYxMzdBi+mWmmFTPj1TDKo1lK/W6BKXyr7REFg62rcW+Uj X/5Vupbmw4PgZ46BHp/Uv/pgZZ+OZRGJ7vkU8qLcu0SetNLH/CKfPVMMWJVF8W+2QSugz+rGFau9 nhkG1QwVtQIS+X95l72iALDQ5pNMnlDkydOAewCWgTyFZuA5ACRQOQ9PKqnB9sAx2vkMkawcpckb eSXOAwBSbIJfwu3PHAMCGVgWbEkalAeOvtUbPDvIfD1TDHilub0/AhAJlgQ/EwxYaECA+nDvypEt BK5pWwG0peYsi2d+AXdaZf1UbbAZKOfdw8qlj9Wz2MogD0hudRLcTHjZrUWpcLPmkQaLn4pth1RQ Xs/8gmq2H/YNXBeH87eEISCkzeqSHLm6kGsZbupezbsi6ReiCJjS3242+BI4kWWCB3Lm4cRTiSoJ 8Xg1744vEfdnYoEyQe+WaNBHcPYql6Od6/XMK6i3B9aF4EfHXI8fhYAgH4uq8XS9YEcVxf1wqf8A /7rtr2dOQTWo2FI63K+I28nSMubTpFCYt501tFCir8I7XmUKZYHPpIJb9Fc1yNgxqPjOaa0bqmDP pAIOpeVlf1cx7T4DYREQIKDZeEs75Mq4NtsFG6tXx+Azp+CW/uUA1K7TEDq44NqayFHgIs4uCBwk mTLDFyf9mVRQDaqBJ7INdg7m7aX/kV/PpIJqpqq39t8Ft7UZeI4D2QPmj2RgIFVspx6u5FFBucIU z4QC9sBGAHyONw0WBa08ThoIWHNX3O15fmFBiktztK9P4DkStK6Cil9eAWMT3MtAAWeHfT1TCqqL s/kpiK6JilL8TVEgyBQINWv1MDd0RTba6kEqr2kSnkNBIrazCIDSr4unb0gX42ZHO//cBcJaS/bp pubWvk7CqBy4kGAEJtyyu5pPYe/0FXAuPceBZszrX0HR4BMQFgQh3EuIUkz0XhwU5QXBBnfMTsLn YDBZ/4yWowYvhZxD8URi1IN3E4X3/Ye/z4AwFpRMes82WIAxDRCBPPbrmU1Qs6Pj+sfAyHeBKBT8 MrESKMQJ2HtfE6vuFkbPbAK+gsEJQMn7ylk3DoGEhdn56zEMIEyo1JSgC+3foJBnNoF/BLNKWaK7 S3aaVm06ifLrmUug0k59BwKE494DC0NBaILZWr3D0YG5bG+CAYfQ63/mEVQDQSzEU9ZVUGno86Sp 0Gesk2mUrz+8Rp4Chj2TCFTVB2+YfVAqeD4vddnO9/Z6phBUwxS+WyEUMLO9/mcOgZZL+/DKhrNt R9t3C/Qg6JlAcMvBU7We5DFAQcK9YG58UgK0vOfnDw3Jfp8+igHVxYCirUFPf/J6hRCUwp/JA97G 5+nV/pQcB39LFAFS/0Rpz+CQplrMyxu2BYwm/HjXLwStEDVASXTHuxB8UkNqoJmPgOSNBiiajet7 61t2X0ESwJKnJjXfugNl2ASeudSFUQ/QHp+snZwT9pVNQRQDiizvrjVjeTG09nlxD9lQkc+MgXcI xAfQ5936aKHAqEKHtPQsRdEukwzy8ncelOy+oggYgFVLNigGmt1cnCs27s+EAeYuJa+EEwDexR/F gIQ64jzz+PVqkt1OWB1OK30mCzDhJypbIFg1+OOfQGUgO9rO2h+oNkKbuNjo7zLgM1Pg9gGGBJ3L u8WSVMo+icp4PRMFOIr3zYGTmNZWBnymCfgZKzEpisBXbECceXFKh8t4P3MEqvkNLQzANdwm+GJf B/ayZiafXHJ0BpeV8m8E2DNHQAEgeGAyuOn6+KqnaxWXE/48UwR4oTl9MABCA/oKiCJAYvFClary 7Bt57T8S0VmiEZ0/hjuR9AtRJawQ4TYbLAacyIgluXeeCBbl7oFwJHM8hX9JH2mqZ46Ad7UHJnga vMJsrYacZ3k9cwSqMX1vR7QB/rnVwGeSADEAeuMOgRv9yjY63TrjxKgI4JkjoDmo1GsGpUQdz8jY D0zcpiwIq4BQvSkp2ZZr3HIQacfrmSUgMMiAmr5tsNk9d2Z+tm29nkkCX21BxcNA4HwhRGFga0L9 DVUBcl3uZKkGhtTt0Z1XHBDWBAFFsHFpsFvtM+HqITPaLDfvRaiR1bC4W6GhYcK+MJvBop21HHd+ 0lwDyaLv/HomCaiaPn+hIqwc8MwS0ITt2xh+SxFNmUZbOaB0V+d6JglUM9BdOvCucFLLBK0VG9oT R5+POSUTaCOKa/nta+LhQFQTRNBpME0alA+mrVoq3lvj9UwR4I7yuyomBpz0sAS/iYJBDsQMT6rK zRenBssGTjoFcaAN97R95gl4JW4hVa7BI77zL4WRagi+gWdbEhWANMTfdcPhbLf2PAtIRXba6Roc Z1fNpeCEq69npsBXc+BqlDlC4JkpoG1dEInqn4JOQXTahnfJFg48moMoKCRnWiBvV7kOP2g6F33E Da2+ldgsQDYmVaE+cUG1W4s+BuqjxQfNAcY5Ft6k9XpmCyhJ7Z+P4cfOxWeuAOsN6JxVBNlFVQol 8LjV8aYJOJ/CM1XAy8skvjZcJFM+O2CWQVVGmv6cBeQw8ncevynWz2yBajoYM3UfrDRSDC2QT5r0 eiYLKC2u7/ZIF5VQU/AcGBKDIkllAeH2PTALky6xwuyMoWeeQDVR679Cg7KMGEdosIExsluIQErV 8fdW8MwTULkCx3hA5du9I84BciHRWRdGtcEbG2vRCmRmp+IzUUCoCspijoN1AV9Bkac3ibunhs88 gWr2gktlmKsx286XejbATG6D6RX+78gu/Jita18fn5tnkoByQ5JaEqlLmTqZXhlGME/t9cwR8MLq uzTCZm0okWeGgB8JJbkWnUPm9L5cjWZmJ889EwSqUTaX4DL9xjAnR9u9YxZDiTzh/v7+w8uSyMlu K8oOz2YsrMC4nKnzo9PqAvn1zA2o1+bHu2TjxxEiz7yAaqpss1huNC9grM3kTeKLD3mmBVTjzAGB t8HKIjg7DIAxDddDISgpsEld4NImk93U8wbY6ShSk9CgZy91OSK8vJ4JAdo3PnUBZAnK9ncfhYTI VZjJG7Q3B0o0z5Vyn54bPBMCqpkc/b30T1qPchGpcW0CtS5zHiMxWl9HYFQUhLfWEafsV6GSUrMK K3OX1zMfgIe5NUFulv6cPXsUC2LLV4YlwRcS3N3RV2RK7f0hQLBy9o9pg9WDzpOVRsepUSQd5xRc klxeturnpyrQwjgwIYeGXlnyKtsJaExTEHksLgyCIAdILj/9rSrSwjiQ+G5euvR0mRH8GW0eqOra q4/qgUJIkkaut/7aRsgfihRay6wClYSkX/XzxsR3O/vDzjDoEOpB8xaFTk61lR+eVG9wYdAYLOXz 9gEhDAdHh0Eg2mjX0No7om75CGf6Ghz15xCQszZDtSw22Jd/Dv0pW9sTPUwpli44b9U4culDB+ox GQSA8Bg26N7raO5xm7kuAAg7KcD0O6SEaAdfjwJAKRu/DW2SVwiKXpY+ieEOJz1qDg9V/Ol9XJfT tlGqnkBi8HdshD5nCzC3FUIgdzikzMXvBjEwFR3M5TXY+6tzmM/rqFz4b3i85JqhOW6fgzAGbOKP mYiIV8Lo4a5qfhZA4GV02qPiIMt5YQunwSFSgGbFkKZPSs45qTRT4kcd/Z0IFLu1GCYyeAgNDhOa S5vNyYr2q4f8kNneWwGlAQsCe0gP2WJBURMRphQqTMXgMoslA/3X5yAKA1Vdpv2/2i1ltnFWQc/y 6jxbwQLu1Xit6CfR25xfONEexYHVgOLNBu88yoEOwtfkwmA39BZhNZRAtaOgRzQRlFWz7EQTQkMt +X8qbCJLCpUDUq1mIQ4DQcKcB9VgJ0KiRcAhgyBnJs6YyvTJ4tp7R1BpoEd1Qm0GJJADqQB9BOd8 rToe+sk6uTKYhXnTIJldOlq0h4Egx32bxpQQr0vv34CDOFaeaVFW3KNOsRYCdbaVylWcynufowK0 SFl0el2Ra1rt9M6BGAr8cHAsykcx+aBOqcwkdSqUzYXBt7B8W5SMAjm+zUFYKVz0Lz0jcuJQnq4m QmjjlJkwHKQHKCZcbRcxOzgX5ZBx9r2OpPlERwGb3/YRE7GgqEd1QnAlvzXdTg6ZhJzggODCYE/s +9YJpSXi+VB/DglP9AUTsIgj/sZIsR0wkUBnKO+pWdSjVjHKQYvQbd34DbgsGrrIZe12djY68lDS Sjb39/wxfepRkbBKSgT/gXJLsCfosec4xy4XRkmhrQHmAYy2tYp7hBkE0OQREeeWAoJJS8vwY5JA tAmIWsXaCSC4rdzfO0GarFheGwfkmcQJJVmg1q+6SLE7+0dssJUbO3K0n7DYHOwaayDqFl/EEPMg ZXqLDnsYHXapZly/K1HHWDzdtQQkcqSdIKoSUvnRG9ZgUzDpEQM8OtnP2DjoLapNJnj9lif2GwsS I8SvKnir6jXC8zqEbkJjanFhsAbqemNGsrGlYWlFseEUQaF4bOhZYbkds7bdxmJE5UFthCSPGqyK t+GJ4rF0zr9ReCVrqtv+fvghKZkRhYZZQkV52aDdtRTR+WgANy4MYsNZ3x1DiV4u585FoaEQn+7e I2OmXzKkiNcoMBxxZbAYKnxdrUzIwnDlz902lLq2sNWDIOWTGSgqHCFpRJ3i6YMCohNmKOAYvXFd 1C7bn8gYDXbLC0YMGSyGCtJSr26B2brjp0lw/PGjumDWw3ESMNgqRWugUnM923aWutiie5r1uLcq JlGtEUWE8FhmI4Zob22aYl2IEx/rwiAx7pc1YzJKFg2NsF1cpJFrJZFbFKj90uSLt4tHFA4uoGkn HLbBN+qTBp1FCl6KulDW7mDiyB+whIqiI+wWg+DYEiy7mGHEF9QpO7kWF0bf/ldmqJKQr4AoHKRl 979YEd8OMm1um4AoEuRO/24YpwZrBtmSzacwqZrj7MS9fIXDw+4rapPB2kjJBss1cjXyE2XRERJH HDVdXE/MU+MRRoJnlrYXQHfzFbCSr4B9jd9HyBwWJYpQeNxQuKzzP3ge40CzCrWahdwDEdD4qOhk u63gA0B4lLRVg55/bkeLnRnkwggz6R+A2JPlkxiOEDNIEXU7dej8F4xDV/c0BFWHumJzEKEGUXpa +LOva9LezgGAnRXytxOTRkEKQUesb2k9CPn63ag3AGKSCDo5EK/jmauPGfbQCAPB/dkFWr/ZwIhK gw31WP/obeuvt18k+sH0jyAqDU7BBow6oPIVz7fw8hoiIZ3bB1EGNrt+qQbIK4VfjQIASIOr2qAl kLP5C5/tc79GHAR+yBMSqNfDRzEguHylgWZTIpkY0D3ZCmYVzp9iwBHGgBCjFONkhzc3VDpzwsL7 zMM5CsmJqxIA2al+EoFitxYEgV269NuGpLpQn6oQtrUqFwbZoBdH/moQjjgI5FsRfWxYYUy6s2IC ERBL7kxLICwRnshpbkiy+1bISsHdERX2s8merJ4En/4JChzsGu8qabVbi/pDOCcTDvVLoTl3YxDo E1txYTAHY31iAeJAq43MsEbYq2kuT6kIsPiUCxDFIUBcpL555mDGojFUyCGRTud6tLZPYn5eWVUd p2MDsUiFqQ3lD2RC4rsz7BMD+YV3q8F+t5mr39lgFhcGU9A+PVKDjvDXRJEgjHHHC2/DjEl3V+B2 gMP8h6YJCIuE1h4sNjjO7WSBDdJkg/9TZNlDr5IsvfTfwNEZUkgwS4Q3PboXG+b5dMyo80wBF/57 KxCMyopfNglRREje4+GvvNBdlL64/2sjkJNLxYxJJOj6122DTcI8G2FFhb6d72DR9wdUbrS0T0Lo NxbBhyURVGyw9tC4ynCtcuF/cGkvicDKIjMKCRFB2C4dYwGBlKO8ZAxwVzvB/GeFEHiFBquVI6nZ VQg5/04FyIXPujDsv6SzZtgmhkGOe7QGbYUnv5IkQD1L4TVD/KAz6flz6ubJzsIZBYRVSrvZUTND OyCuBN1So2bV065fCLBTUhPRkXg5BCklamMoI56EJqtZgLVyUW/gbeDV7MYiIRkaHZKju0T6E1Fa VDPr4MKgW9LuArDNkG5b9s0wjAq3llUxZ7+9zPy5qTT6R5Kg5z6kKRI1irs6hUgJzbeUEA65DeaU JBXUTagmRA254+0HvuzOgs0Q3S1MVjSoPEgL1iiVc3BhVBgpn8LIz52AqFeMoL7RaLNLbws35yER pU2FRDMqDkpArJtQ1Ac01ArUf2JL/rX0FpDCTr/jgRnFg+n8yVRqOPoVGW4G0ed45cIoK5zvqgBZ /c808NyMSSTSOrLHH27RARX2i03M44eSMoAR5h08fTlHeVoYy55odqTqVDNhed+fQLa7ippFSB5T Hk/uRpD3qNoEzl/IdVE47OGQopd9ewQzahdzkBf3MS3Om+mte7vYU8IZhYMjS0mh2GCpy0I3DBg4 wEngEnwWgjP/xaGbUSyInGkXlfItpcDxpIBoZK6LcAK27IcVxR0jMUPyCI81L232Q55xCHVPF0I/ Qx6xIDK0C+etXp/oulTxHs752YCPfv7wlzHPDLVk0E9DmGteda5SusnVUA+eYRDoSDlzdrazf0UR IO7IVvqlgeIEqq8usT/5irrE6Az+JSNVJlaYoFraOGcAra0lHextxtZOoK92W0EWmKXSvGzQnJ0o JFk6ULku4s/bs/OhUQxDgkDPH5YCqdIkp0llmQoBE5J6k7rE27vEKwwACe27JOK6120mAgKTwPc8 85bn2epSbv5Jv5ljK5aQ2X/jJGEjKYRbOXNhhBL5vH2Mx+yzX6F0IKebhN6123UvBCT3bWxC3ElJ Ku4Qk+ajpDLKBcymkTC1b8Akz0bEoQeYkPjvqxiy7caC4Ie2KF4iGqwa3Oq0JnlqXBiFv58JGMjQ 2ASE1UCWeLtOxnWYEY0cbM3euzmLfsUoQYJ/4WVuMfys+bPxq6MDgZIIfKILQnI53zq6FvyssCBI OqYPIV9Jyu6mRuV8DFwYbQD7fepv6ejw10TBH7FJJp3fFvQm7w1ThJ9/cDhYDhJYMYOkWRnEayEK f+cJAKk00sE4RxZNU3TyBcl8J4F83PxuUA3BrJFgVoNhBJKEqvmMUubK6PD3mqjzB+9nEMV+mOzm psxnGGLCePQ6AIeJyigCXiGLePe/2+O5oagF9PAE+muT0M2CohpK/vPjvyL92BX1hhddsV954E51 WhpxQiIujCBTH5DAxJJJMxADBaWF451hr4pIwVD6qdWxsisM/pTmU/Zs+QIF88Z0VloqyMlAiBYd CnjYescAin9WSCJewOb7ZbL9GE4kqbbbtRFEDJK3phz/C2LbJiCkj1ATK820UzPFa8L/wqIoV1PP lkCMFpSSTP1xqUuTk0mIKUgS/ISy9PdwS6Ev2t7MAWHs+d24L9rS9sEaI6LiqBKwXissCu4vvDhQ O5uBEDEot8rlbdHpX0MVoo00kBzMFkHcGc5/z8AAGkBNjM7Q+bcN1OBWffaNjpAuAL8aKGrByUGF UYNFf60b8H3Y7USNwf7zlhOj/mLPH8WB0MxzuoQhQTxEInZiaen5qirGcaDkUqYN9hFsrGvh2J3E tUmgbwq/V/Vv3hlg23ZrUVWcxvBqNqgSsvK24vaZDC6MloB9BFP+Q94X3lE0SDtjuGC2Whu/FKTf ncEdVQPBGy4DQ9ePoFJtjQJJW+Cn0NJIwpBCZnkTBgQW31E42LJ2jWmDEuBMjVkx8clLdigiXS9E yLARFg3u52gQcIgAPnruVfxDMHVRmipqDKMqGfOIaQwR7o1y8wCCi1zVGT1hUSFQAkqnvG5/esPV 7iuqhuKCXrYN3hvMmgo47lwY4IPSFz4I9WZLhXYYDoLZLu5fXQw2THPYteVGu6DJHYeD/1MDyVhv UbwnKzphIZMEt5RKUHtzRsxVYEfxIJxrDJts0ALeyzC0gDu58N+cke1tAdsEdxQPUl0aThG5hu65 7NsXEkyIFRd2h1UQJyCa7VLJJ84CRYD5k1Fxli5K/PuDDRoKhncUCtJim/h+aDDUbB9Kas75yHWR mlB/FwLVJHVkxA6RgmfbGl77GC6tnIsXRTL6+Pb0MUiQPoe+gst5PSf/OVpXR0Vhn3cnxSV6NSIy vF9+s9uKmNSdRGjZoOc3/DVYrt24MAJH5A80oFrdWRMQBYKV+LTZDrhvPrwujB7MkE1A2BoWH4By +Kw3DOqC96MET1MgqVYg0vjbm7Tb9hfV/3Do7fSY+m00gVXSWYjR1g4lpfOXomq55MkdNYUlFpW6 K+iYmgZp+7R/099yWjtqCg9JKPxWUTn521pbvqJn7RNaSm0xuxPj/ARAOwQHZqEitg3a/pKpfLE4 MxdGwAAvhAMM4Bvy8y+MAas8sPz8c/aAEAgmKn03v7ApLMIYQXC/QfD5D61knhOUiBUKivT6eS+/ bMZ21BXWsXwmyQYrMfThqsKjcmGw/fveJ4rfnG9B2R2FgNITa86YOfmLHwQyufsjBUDHBewwBAQb 9TsETACiRq1KCc4GNhQCIl33kz+0iWX3FelKV/DBzQaD4k/3izvBARdGSOntGwA0ODnM2gxEQSBS iDm7DW3OrixWVHeTtHR1UcEdtYX5thdij2tfVclSTjwwgQs1QNP0gdaWtMjXDMigecf0YczLhg/a A9YyeNhJvbguyoKMMnitSB0un1PYE+YVg7FvtERB406lP3BWqIbiiDSlqpjCMDDPv30FEgWwgVXD +QxOishBDhhZuSCA+dsIuTcXiOvzBCTjPQla+ePqoioJdDDzXBvhpBwlKo3dRj7Yik9GKCwDrlIk J4DzJOwSWhvuV9xkgyuRzRTHhBiTnN9Z2UuZqPKdBAEzdDrkQIfOzgRkB9nh8jFZMKHhFEWF1NWG bVmXTMW3qxrZSdaaLg3i4j48LWAmlrzkbCZCqek9LBSGQZKJjGiTSS6f7lgjgi+2LELcYJd5W7bB QbO9D3mxNvCjBHkLOZYi3NsNjkr2W4uoJJWcatjg2HHTcsvnxNCVQXhQ1js+IseghW/TEIWHqLpt 11uUpyizsaZ7DNW321QK7UakttZ9sCOynMUsaQKcmzdAUBOb4d/M9/6wq99ZBB3Ctw4NC7t7cqTz vRmQdDVdGfEo0jtM4FN02fUUBYmpyMS+28Ob09AmobHTAvzDnYWoYjhkarN8sFk4CcDJucjTT7BU KcdIGEDWPV/UquL3FmDoCChoM2mwdlmSQCb/oejKiGDXfpcM70YZ1wwVEVqU5MkhFhyjer+4XdOt FBYNJbBMuFxvuAwl4RxpCpfPL/RzV1O+O5xL4z0Pc0+/uYhNAIh8NBvsl3N39cHUdWWgQX8bCG7I dzWIU1g5HNlVmBE5cxuWVm87rV6H2hRFjQBWTna4bPB7PQk+CiHWSGvCWm91KD7oEUua+d0oayBh QiO6NK8a9CbcEXoIdmVEMVsXP6LI2WvoNMuCNloFS2zFUvmnCVeX36z79xyEtUOgA8RbGixxOpvs eWNNjp9zQZObaD3VnV2EUbMghLx+OWLWZBSIEt6kWwXUec6fbvVTzAhSrD5jByZBGZU0zx9yiqJH xAHLcPHdWS+U1HvIjqvOKeIcS4V2Jx88dzpbdCIpO6fULggxnz80/YtLqRjVbyo6HaCnUX/ZzjjN 59AqFjBMXRh8CF4/z8WLp+OugrCAyKNZ/Yg1IzAtzX5XIez5ilGZAfLzOqCbJomddZXDx8mfMn0o ymhJOnzgSMxJ8IIopt9ZJMncwLp3GxT6oerGLEuPPP2nILUhCqnZ+SoIrUlk/7KsZNCXbwP5ShEa u0KmHGFPmY7qhl+0L7/ovHwcJBFkPScwgQJ/mLSc7zqo97b+AaQAT+/W9Uq8tsmKFWwJQmOSjyCZ SS74wRD6kjRhrrpbdFtCDfN41NtT3ncKoqayyNdToIqrQnRipYkTIT20iZs7cnLZfXpvS7X4jUVV 5LOXI2mowd9fEonhhM1ZV0aSZF/GJNTgfArCaJGwkM6OsFS9OMksdTsmWkr3bAycScwO5qxNH2w3 OCnDNHuukc4HoTpT1RH11VeffmcxvnwomWrO3qA2qZbdyfamrowyqfIBFVJJ9FgxsCex1lWuDinI 1T16ykp2PmA/fichjBappQhcM+46GKvJpIFdDWcaIFX8JaVZyOx80+y39o+KCqfiuEdjzdOKK+cL 7boy+hjKp6RYtY/4NIT6hDC+6HVPWRUheULmMMH1/OE2puPqcuBTkiQI/FuctZ7DnZYi8EL6zEnn Jx3qbh/El1B9Dq1KCNwHHBUNVljKjjI+a0JXBq2l9WmuZs7V4WFSYFdi3UELX1kN6o+oxVzvJ7Gd fJsDvxIXaVWPod9wcSzA+uQdJyOuC4fCJZ8KsvhPe0k2BPrlCG4CylT8y+ktxnNImjjZ2Vh15b/V quVV+qbe5MC1xLSdl2+J4s/Ksye9+8xeYsuhbYmYV0mq/E69BGCBdXORZ/tgSk6MA9S0KLz+NNrv rUWRAtoUbA2X2AXxwLT3c2+6Mtoex8+VJNg/t6oQ+pYkuVvJr3NeG29Mi5ebFrPh+cYQkZANbfFr KZwvseRFeNCGlDomPSxy9P11SpbqtxYshEYCR6epeKdpDHxvbWuxK/+DiM1CAE5yE4fAvSSrWqpK k7XZtk1D7dXipiblBvsiYhoK1UZwpy1dzGWh5Ur0BAAnwcVYKgql+em33I0hChpJtscWK99TktFr tdShVbujSLnaWi6yhqiyjLZZCNGHXbhuqzWn7AC0lK9y9XhvC/80sxPAvFw6aq/nvEBs+QSd0tuE lE/xUOz3/aEjL7+3SKQKHxPc35rbWKDYZszK8z+6Mgie60fDtF0jkxw6mXT5JhrOYDS3bi4uan+F S7p+INgVBMLK1QYDCFWU+sAWnHTyfNsgD4g+izCBbzOj4TcWFZ75ApBuHO5jgPqLafVV3OwCMxOO 2OtlagrmXmALzUzQ9FjDfDuWXJwormyn6NE9tC0h9DJB+3Rlsyq46RO2K6kh17fSeXUgkKhdivbS 3o4+Ug/WL0ebQpc5oA32yyk5+mDalUFpqb6/BhLkH8FN9XdFHWj8AaD7niABONrZCTG2b+jV8W+G DjQFz4GlCac6paPug+dQY2UZ+Z748MwFXagsgcT23hsL4YzdWlRTocCRsw1aC7tW8+bos+nKKHR0 en6RHIIEfctdEGEnGgTkMhCGefwRRXqpMfcLzMyBswn7AmgU+tH5Y0a5iMBAJU/oCOg0tCaDnh9F vpeXs/zWgoYkcHo1ArKHC/3kO0onM/6+OXQ3udBsHUDE4tgV2TyE5cb6pW99Syrqg5u+tQtZ5cDi hLs9X9RiMtblKtacqtwuAaic821Kw27/lM9J6TZvscMJwtTQffrl/JzPznozo9uF/y63UkUtjNvj hdDjBPPl3W0zvOotefXuTenUrsljTFjm+QAnrYtPPzth3ups43o8lmQTrFr8Nvq0WmvocGLpJMim 5UlEOdOqssXcWRcGi8DpWRweMm7wjDq0OIE+mfd0HJZpeQlQbidG7++wMXA5cXDe6j5Y7JzOrrBp b7dJRQUxLxnqtI9mgYp5+tmgNZspL+Zig81BmcJojbZ14b8zatvEaE7bFITsFORCizUhha0wg4fm ren8/grCCqPoCW3YYCfkAl2Oqlg7xwTwJJAJU84Db5H36rcVtaY5sKcPhkxpXWsArK6ujMB5l7Fc BM+822GITpz5yjRU68lJ2nmOy1lurmebA48T7zo0YRT/n7E3TXLlVpJG/3MtZTLMw9v/wl64eyCT dS6ivu5rBqklJU8SxBCDD4/rsX3iHjvBcLBB6HzIDE2d2XMaTn+z4F6A6W9CoJCct98th5bd68yF T0Z83eltOAF0z1EYxYoUgCuHt51ZV0RrN7nbFTNd7YO4wNgl383B90G16QTew37tibYD6AoqMn+l 0ttfLQJp4txDlbQf9Ra4HXA5l7X55N8VBZ3f7eh35NDrBCIzBW2WQtUeWpwVtpgtMoDfAHpAjJVi u5NNoGLSoPratnAhwUvbToPd2KJjFMtG/8EpufdryFsBwAK0Tg76Alu9HegM8ckgXExfRcZ8TB9y aHnCDq6KS8BSeamtCKwIXGFypfMcuJ6gmc5a89KgSajQdczU9lutbAndeCPEq4zEnvNjI9Q+2vqg rTanrSINy1L2nYtPRmit8oXW+jn+j6H3yUKVkfK5pxFJeUMc5LSCBXHZ5yCKFanh1Ihdd2CJpbnb ImYsfhi3FHAU5nBuILRM1vehEPqf2H6CEtzQoIthb8H3cPPw0fs0LLf/AI6EXjPNcbs5tEDBNbSL FHxkhgGl65a9Lc21kPQBfyB3AfTjoETadoTFWYBs0BEPgS8oPoNh4VEy2MVfLGKxo6YETOXpvtjl wFgGlLvJJ4MNcXxgcJoj3T5zEMaJibJ+njmBu0iNS6YsaMwWxJzygw7hizgWwC1YIhgQvFQbJA7p J7phYg8bX0qTgZj39qWXv1xQW8JVgl53PQ3v0reoXWiR8smIxpF/jrwbuj4nXg4MUcAAAyQBcBJq uyD/RVmFfTD0pVHLG1oNMZEZlwQS5od3XSxdsBMMOH5bC5bBoOYA3DVuyq86Y/Z3i24J9KHG0oAP hoCseHEpDz75d51R5Mb6kNpz6IqCSuP0/tNsXndl4Yd05u1g/hyYogAKhlsS4t8jnQ5EspBrFnYT 0T/LACUXClkjJnkj5+yvFiXU6KgmH7jb7B5KLnU2+GQQOrtLtI4dCK5rEkK5QyZp3ph2KfzcXBaY zqE+CbErCvLo2TToYLCzrcgPo1DsCoUVAqVE7f2CNObQEwX9K1p0c+DBwGXFeGE3PhnlT/1FteZD bM6hKQqyGnkDFmc4W8bgQtAySeYE3IPGQhruP2JP0xajnQibuCmo09gtCeAKREuARXVy2yz+XlHq UGn8oMFrjPJKtYSs8skI1vlSHAEj1/cPbZKxZHB6Z5baCWFGVxrSvDgXdz8+6aEpCkH90APhoEWw LXWqgEi3CbUn+1EmLBxwADdyHLUTcvaXC65J4lS6D6ypZBrXsytb+WQQLHgSSYV9CD55tBC6oiCL pkY/6whecM1HILocn9AcmKKAHNGVIHJQvJQskRajq6c5JZTPFAwkrfnVlA0cURCBAFmAevMhNtiF k12lAOFSYInyZg/rd4UxtEQhFEe0JnKdFS5Qq8wVseeZggjMSE2rDXjnPgQn4DrtRgdHDSBXKOY8 //KJmrO/WATaQtQOhZwjtA2fhqm+NHCMoSNKcp4n7sJ5CG459ESp8m06JbTjGJ7WMYstp6gWmKKI VbjAMeYgsJIdDB2FVgRqHbVm/Mvyo650/0qjQ0cUFBRHJuHXVa/sJFiudJP0ZNBvcLb/drTW2QVR pMgeqdJowLR4NSCN3vPUVE6hObRFQc6/uiRvHooLQE64Ji2Pyna6IqAGWmSqovbddAlsUYCeB8gb pnl5Hm34LZYXLINzaIuSHamjDBLdjGcvhBqIuERGVpm5oHaz5Ri72Z3u4FkL2Bv7ouB37rTGK0cg H75+CSoCDarILLnAMrAQ0PuAnMl0yqE1ChaWJRxbg6DeWxa6luDryYj77gYZDY3ITflNzUMULJIh xCMKGQPDN3allwOcEzEKSKECexTMA2CcOWlQ0GwLoEEanhYhlkcS3EaVqZ8vzFL2VwsQ7xV1b7Ae jrBCxvXIkkLdqfLR+8m4jmsYYgMgAsoB7QRGKZkuW7QkAPXvYFvJYiF2Z++zK0LuC2oqhPGdgMaS afSKsKPsil/ozltAih0KAun6RwYqB3YpLoUAf14ODBSqa8yUjCJjaJhSXCqfFU/qv3uwFDqm4BsT rFWE2koCvJcuVmxFLaNrPUStaZhEL3B2Ofh6SLaQAEcHFSIjGl+o0iA4PD6CZMHnwDVFjshEFnPQ XrBIFH/Annqdvwut7PXyDvK18LkGCk3KgMuvyek9+fxYaOzlt+Q1TGjQh6VLJCB+6TReun1niKGA 9DsTcL1wpGLeBOH9px0J6Bs++TIHDUTwCi+urkEXbF8sGdsi+1zjhAZWq8TwCNmBJ+UPi1jBt19s BTnzJ7NfySNyda8xLpcCuMYIDZV2wAVZ40/5gI+XHelrs5BhiwptSTufgFlFm6T+Foi9hgn2wSh7 08maA3+92US0gi/Z5xoktFNaG1oByPawn+zPuUYJTSL5hZx0AJYmSR+2h7zTBCEqh2dcY4QmS1IL tNDn4cgZgHfc3lAFxmJgfRglQfhm/LzoNXolXKOEhu0M5WE4NB6F7OWFqB9YkX2uMQImjnTFIUdh hEmd3/8aIjS5dk9PkFZyDuRorg4OvLEcVa/fHqQKUnJxxnH09uBM0EPCPDaLcVC9TMAK5/Kljuou ksHXRwCwGhEfroPQUbElKMMOnc81PGhHIJ/pIsuKmd/+Ghlg/UOu0bnvgrXzhvS+I4Sj5RYWrf7N 1V+Xj1r9YI5O5N+9sdMEFwZGO4UFlANGQAfwcw0LmoyRBzDvHHgClrRFM23oul6jAjzIjIbKW5vy qIMTcA0JGhylIRvpSiDd8fwyi8CLdee/XcMBLH6UohOCYI3qh9hBCKqPjr+F5veGdRK6hSCvzy8W /DUewA9TGQpMDUrEF4ue9noWH16DgXa08YcWwPyp2vzXOACHJW4IQlxw70HCBzVl1Hy4+QekqSWN G3x/xmCJ9RCOOv7txO+1oscDI3VIwVrODOYC5HJfhXDKwkbLHxEKrJJW890/uxSb7Jdd43MNAtpR wYCsDT6YSoS6Aa4xAM4/6gCmrx1AwKJT4MdZANf7HwuAe5sQE45aAJbMd6q/UBy/dzVEWKkkvefE hIkvdr8AUPmZsEydzfWAQKwWHMkuuc81BGgiZesCJEZx0mbmc60XNcWdWc5Z9chiAlDZVEV7HROv FaMmftQG93MfAmhv4Ih1CKwM1MwmwSkosiIDgb6lC6EUKkIFXx/tjrEs6OXArz+mY6rgKH8tFzX5 dej4Bz0Bsmj4+tdyEZYZ+qz9kJ+pMskcubhhJEHrJMBGJyCAgACZ+KgNgN+/Qpe1bxBgUVXcqHwA aghhd2+1br1acAKCKLnQilnetbN1VJJ40DV/rqWiJqLkCYCw8OwSELMymAJAvUrL8o4FzEsNBP46 /wGotk7FLIoB6bMDNBcH3f9llU4bZWBVBw6UBY0dcF1om3WEYJJeLdoC5Z+kaNrdt10eHfy2aA+w 3a49QDxl1TK4sxi4ipuAueipZoiRlf9o9ArvYCxpKG4IqhtNAu8AWu1x1EGwQKGGcAeExciR2rhd sdCQqT0wfuFUo7Owshk1NfCDLbzuwq/X9bkTGZpk63kZIMbCKbw8Gr4Hg2DKujI2twMTDirlu58c BY0cehJMw+aFSKIsR0UEPbXNeqFdemOgW2v/Vmq15YUgCYATTEIHXYzd+kMJH9BVVMsdeOV7PIg8 QmtBVEfQRjUHUUBYJ1OC4yHYdCUAAeoY1XkKh1FMiMVqaQ4t+foBSeAYTMTNWBKzAFrGv0WKIGbT +u64RzlBy//Ib6NmRHL7ALsrjAn9SGBSCH3nrEvhzmJoonEjzPAksAioiXKPjsW12ykdRnOwOAeY K446FSAM06BVa0dkscVU+W/Z1gEQ/LtQEh0JsB0BtIWDw7Ca9gIcE+4cBmyioriQ4Exg9xUX3hkM +AIwc8HPwoQQQQhY8U3gfejGgJvMY/EeG6pGgncbPgp+YmERKt6YRDvGef2mRu87rIIvV/E7faEJ Kmmh4NTgkINJlRvIhd7JC7jq83oyA/T5R1dmdGcvNClrb1fLXF5Az9KKRGHhCITcyQvNSSCpMUNq 7isKzoJFQUiwel5rJELiSEcjNfNBKle92X0KaLkCbK+LQtAGK1cGoG0CehLFhyr0Ai8A7gII0H4k RvEhnHqX83tXO/nhcEDmeg6DKEDsDA0I00rZOykdAZwtV7iXQdsEDtob3eJChf63QDKzXu0+CwBR TwgscfDIoxNPlUl0jEJEiQXySMyMR7fm4B4k4lBX8nKaiIyVixophLFwBqIIkUp6CYZXGr2XZDu/ oJlokYaljo05AmKI/nUlUDP0zltoctXsaPhxENxib2HOx1qfO22BWZt2AvvA7H4s5Ul33gKPdJbS FqE2RcKJhJxLQXHU6XnCnbfQxFtAipB9fDJ6ngjIFFOrSTHEZOuW5gkqF+ail4vnYcFWd+1Dj0Gg yFJBBkA5ChUFnBuvbLbWwZ24gG0HOlvp/vt70ewR0OmnvXznLZx1l/IqPupSADIkLwCMW0WYhDgS fqhVAKUvbtuduIAFCnDXLynCge4UDwbIQdx5C+1g1f04KGSyagqiQJEeiiXVA8z2gmH1fKngzTUJ 90AxS7U5EY+f8hGO2mhVkw1vk2D/s2tsY2c1Ujh+sM6eEOnOXGCkAwx9zRoYLq+ZlkD/Fh/ciQv8 XYf2A/HQYDNpEqKaIcVlDuM7r+qaGFRcoIfGdAjWnbVw4u2UGCK9ONK2yHyG/PsAZqZKOGhhDeB2 OIWDpZeLIgQiYJoGdW2LnPtQc/nceQucPkUIBOigp+azEAeKiCerRKTdfxt+Mu4vBScnn4UoUATv KcNW1kcd4b2m1iCJ0i0uyGRKJrrYOrXtS0z+zllo8lOZuLM56GhMxeO9uT53ygJDRS0FYVPGjydO d74Cq78wucjymSXxtVBFHmI8YLCgG65JiGqI4ysbqEdUe1gqmTa+a0dlEXT3vQ+58ZHEYPZ45yz4 dpgWcGnAx+JGFUgXJbQ7ZwFzkNVCKOQ+l1NCuTMWsKNz/fJfl5QmPbu90Y6wgVfknbLwXA68/Tlq IVQ7vQmihKSYLd1icwBfSJb4vIroR0IUK9KqhFD35DfvgFw7N0Or43NnLZylic1AEnyiFgkn4R4s uphaTkdPdhRPm6obTa7m4eKdtMAMDyc/aqRrnYq3rVm7yRoMsiwH591Ax7+SxOA5+XPSqwWlJIpS wcIvO+jEVoECUhQpPnfaQjuSgoqY0SMAFY6TEAWLRMbNp5rmBLfaXWC+A4ai2+EeLmZ5HCfqsnHU NFjGCD8FuhdY0sBgyavJX0WEplcLJgGOIBQcKs4ZXHCx1G7QG0UroXstpZDC4+sgLCiizps9UC7J j0e70LyE0E9F7U5cwAHObTAZNx8TiQ4xCDtrGDFPu7VZcX4sJk49denNgjAJxQP6GScPFy1V6JoB u80+d+ICHpw6E/fjr8QZiGLFStDJ8NuxDk8hxeZqkhUkyuBOW2CIwNJBqj6qqlpgtYCZheXw3lN3 J+r2ldCTX8CTO3WB6wAyqtDkWy7ZWi0HIgbN9nj+3JkLiLHGWQeMEZBCo30VxYr4nVdSvWAexq8M OKFQXhyQeictNNH/gYdKPqqIkqEvg6SrDyQ96vL7v/XwoLOsfCctIICDyAR0HDiohgK5chyNdjHe KQsnj/txTZ1M6KomIIoUUbzM2c/BzJPnP7ZAlEhTHJrL4E5Z4K3A3gLDg/qEB5CD7wNgDws2Z03j 698CP8/O4tKbBVMA2+YNeZm9XRWk2PVF3kbf9XOnLDDhHM8cSBUEf0wUJeJ3KYLhDgiBSFewtyl2 56RcM3urYWuZX45aZekJ5jaAKov7AG32RaItvUj792G49GpBvtBQ2bC7cSenegPnPSWcNvng39di Fhp30n6bsxBFiZA51D7AHfJoZDkQE8ECqWx3rgL3gUqpw0cvJhbI6AJgsWAQNZlho1CAim9+YclF rxZFyknGtRwYIFmozG4zoF2fO1sB0+frgIVKDMob72QFTjek2dRSn25FLSAQe2zIwTgHUTEx4VpN fio6xKATN5oQCXRofFUWHGG9KWrpQ+nLerOowwA86vJBc7uTi+ZPPnhfCJJJGsS+0vpZM3APEXXq 7iVC495O61sutF3Skcq6cxSae5ulvIePKqtbIGAxImTOS7f4qkOtEdqo+s9+G/HeWQqs1KKaOZYG XYutyESyWHR0JyngV82agnJwiEVx8p2jwACvyV+wwnIFP1BBaMB2wH8AABb3n7yTFLy5tyHvxUHn ob1Gq4gARrHlQIWghCMVlT1URk4NhYpZd5KCl8hXpyKnl2p7XsrJbF+Vz52j4AmQggP6D4ECykmI QsQGvInbMM/uNpT9ML0HceqJz0fnQbYYeCMQJrcGR3nrCYR+gAVg4QGKBrxeuwDArwspiB2fO0mB pVH4dbSuQUCWTW8kiCOvz52j8JUzMi2hFy1nIIwQURGn09V/60DN4MDJUBG4N3JbERuEFUXsEyjh +qgguTacizgPwHROg9V3fMb4klF00E3UdZ5HFeiRBlqlFlE9SuMrBRdDqs8coO6qtPlOU2juVNGJ y2/n559sbP+4PpJDMO8shSaVKOiYnVG/l72kXbQkCNj1y+IJjhtctSj/P4di0ssF1XV0yaBXw4Ef bB8p1bC5xufOUuA7eQUFZ8ISMpnoozhIRBiBRih6z8gVYcnbIAM5/6OwXhYA805TeK5HoBw1+vkF /9wFAApiITC094SmCPCHwBPPrz7LnavA7imIfPgldz0CVGPJYrlsvlPUYygPBAG0LK2FO1PhNCip ziAIBgTCcKfa9Yt/0lB75rF4Jyo0KbHDy2X7qDiptgRvemg22OuCwbQRQLB4t7/UL6peLtgQYKus 6oParqu4w15anztZgYlsetOFH18HYahIx+TuUjgbgcB/OLXdngdkRMXKd6YCg01gLNfWoM0wIZsG Y0MLaksCQn/h2qA0UMo/v7jed7ICm+/A4aHb1rzbZhOoShpgrp87V4GhYnquRzg6KWu8UxUYI+HX 24epQ54v5BeT108g0sQT4c5UcBgGNsHyUTVVSOJsehB1yMjh7kRYjRgBdQ8/EJgw3LkKTd68C2AC Dn4gCJUC+7DPnavQjj2dgxGh/DEUKd6ZCk0adZnCuLgNFwpm/7Hm6XCkdVxp7kSFp5TW1VreJ2mC fGLFvkR8C4F6VlwzarzImtZry3MnKhBZxqZz/2lHls+mzSMEWHPdeQrtmJMSjggnsO17IaomIg0p 1e2oS8viN9NUiOI489B27hyF5sIJKY/to8q/MOeZkOW0tV/AWOC/Hd54Vtc1J71ZlDqD8AVK0PK8 0bZVIVt0jvy5ExSwtKu3F3gWVLhhcQbCvjMFXORHuub0YDm5LYkg2Z3PBxVlYtIp357G4ymPkGha nGyRgmX+VK9N0D2Q9kV678U7NaEdNQmUTsZxu7GoUodawQSETef6QvIK1dn8SoiCRKIfnbm1HJ2a RyonaXRfijsroYmlYgucJbR+1D+2hcq2ESza7skiu0HMcp+uAzTW15UYFRFtiaABODVoBcyt6z3v 9rnzEviF3hARqhH+/aMQEToVom3JQ1Ws5uQNZ/flaTEkkXjrPn3UQWgBogX54N3RWJLIZV69S8Wj +dYNWhgeIqTABdqnd9iwqJKUIzNfKYiMen9KiAgJVDxrYXiIALVUddoLxU6RKR1BmNGKW9W2qIRI ct8/rSVL7qG3XjKlI0svSYWV/iPZwlNAU0QQRYdgfCzqUj7CkTtP4VItNcWDQZexOOqAqxYIh6lc qYfhIe7N7XWDY1VHlC353M1Ftvs9OJSjOnDJ3UcthAq9EyDZ7AM2Gk04CHHD9e+ToOvFotYSBQGG BkFwBrW5kd3juQCdvPeDzoaNBbp8nIEoNiSZoRwGe/baibebEdAWx5/0qN08llBIyUf9YACnEl5i 4eYaRZED5nPymH50HppeLgiQbTcM9PQ5qJTKnIMHb8WDwY1Y1ltLHaQZaRrC+JAlbrpvwKxRNyBm bwuwXoFz51KISok4BTeOVA7KElBwIASlt2U7A/EhMIoTafz+yhL81WLwxQS2e2a3g7XgTX5SNDzB kwFR5UDVCUxsB5HWQ6YKfx9A6BEkQwGqAo8Dk7ABARi0ySl10aMIcapOWLuPjlHdWLsgaNh9uIA8 2VCGYqiDHun8wqj2KETEyp7wH+LAPCFlsbOzHTSfHtUSZ3rDZHRgfBIiwspgQs/D+z8unuPgCwjQ kgQ/bnYuhjBGpA92IjwtjVM/gIXrAsK2W9rQUASkZgmq8CgD+sHA+kGP6okLah+ItifIQMRqgwg0 ThsPTwbzMNoD27bdPVRW7nGUSPf2ycpZJsUCwPUxDoUDWtGchKjnPPFDgzvKQfdDAl0R1dpul0Qj +QqS42iAITp+9LaTXi24JIFZx7HKgUthW5QoB9ey8WDE3HqXAnCcfjuE5UR8VypKvhkTuHsOzinr KOr2sJzIMOkf8P6yXHxQKWtYPEw7a+QGECBHZeI3obdH9UQIolqc1jUoZSIHkJXP9ulRrIg+vfOX oPf148i0fg8VkTdCCAiBFz2MUeyn+DyIX/9J78wWQuInBLdkUpiYfHRkmmXPkFi292bmRJob5EqL 7Cjy62DVo4oi4BwduF4OmoS+pPYw1sSDQd7Y+pM3AiOutnuP8ImoiVow427micCk6fahKCM9IJQe 9ZwdmVaTj+owgNM+IDvY9wahd8CBEIXyJkvnL9x6j7rOqNwvlFY5cDeskhX5NSyEsKLocCTMwRLc gZMQxoy44iu2O9vOjVEyHEqaLkvErlSE6lFJkWopie3rdJx3gcmreS2oVs7S+hZGhVxGosWOtnLX u/2ROU1CufIJQdYjjLXw4P9pIXhZdUQBI00ksoKkeWopfXrAuJtnjiOqJpIQnhqvFwqo/wi1vmfH 1yUDbVNYpMkT9hEVpvzNiOJFMIzXM/BT21DMDJ8iPBgEjF5FYykRLGp9/zBcTGSKO25ffwMQjutA VYrecAbCUiKgyIuEnnW+f7WbvIOPbLdCnqgosM0EWgrO8mPq2/RmwQwgbtkws9jNEdt2Pqu5YJEC Hvy750wMDhEvnIEQmgjM+HAvUxf6ABH1oFbbODMQVRJ1CDSWkY4Ba8dVADIrTF5AbmaMQbguv/Ep o1EQbEShIv090O3i4BivJWVp+zA8GBWU3zkobHRzDqJAkaKfRT/5Uz3o+1Cai6unjihMZKs+EdjN UedA72vVBFukmSkfiuuiU471zR1VTB1xx3n9o2DfVpOmv2UeGw9GtNYXiYX8tXRfB1Gc2Asq3d52 P7D9Np+Wc/U5iELEyepR3WfUHGxbqiB2AmjeGwrCG6UwALL6V6TsbxbshI4iHmrQ89ELsJyEPyaK qSNksPgqKMkjAxURRhgiFrj7CoEjOWGU0Y6/OcSstBFCbvMU9mb7qKK6Rdy7oP9oewCSFNgIEHNr lRav3y3nEaISNy/RoYFTYL+NqmE2iXgwaip8XQeATmzVk0eISpyUk1eMWOoS9KRNn5aBrEazEKES Cy8DMqI5KjqyENi+hH3LDlIqb12LP+z6W1kCge7SNPVyUWuBMv5bg3oreWWnN7bPCGPEr2lAr88n IW45b3bCBb9wmOpLcSb4AHshqiZSeisV1pJIDEZHwyYTRtcdZZRtVwERi+AE1S+JA4ps4YODsACq KGgazlkeDNfmB9hZuvFg1FfI7wQggeb3j8JD5YxJvz0TZ8LV5bhQqefkLPcwPLT/dEOMnIPS5rlq IYQDisIJYR7BRCjNAYXypAlFrxZEhxb+TcAR58Ek4tIpRLDARB5PRr1WlZKofkTxR01CGB4OelN5 1x11TlBZaiKdZytYZotthCXFvHTxc9DBVe2G3dBAscijDGAs8I9+oIWLnPSpqla9WtRegslWShr8 vh2yYLTJwINRzpzOiYhXhlImqf5hPRGtDfQ+J12OMWugOuelBjQ6m6D78hP+uhobyZtHQ9eiIgZG 8B+xnKH0rg4cjidq/59kiVLCM2w3A0XVe9Xgp4FYMnnXiQej2nJ9NgOFDzgHUYiITIwYyabYuKj3 DvhfQ4dtc3psHcywopjp3TLO6O2V3Tu0hJEmWL4AoE4jTjMTkPULnDpjBktx+eHhSdi06FNFELv+ 8GB0ILxYpE2teM1CFCYCcVW45ej+nhyDQhAkMkZQl2m8MUMGC1CLCaV5jZoFyzTLRLxg3xtGTbZZ oP9EgfHftP8ZFxTh4zSLBkJQcm5OPmgVD0Zz4PX1IpzyEb6IwkSKWuVzH6TtHWdCv0h0Xk0nwozr iVzprfuouxE11YHKAMROe1dOvVFB+vlHBWzGDWdErskHPxbtJmanyo5UPBnJP41zLAIixSiBsxAF ilQ9R1uZl8NOOiBtl6jVQrlSopFmSGAR2Z019PokTYDUwr3Ojge0bOepLJQuQen+EhxnyGABbAcq WRwc1FAV7Nhf8WDQajlLgW5EOPG649JmGC1CB4ptAjYYKBjcEJ4TpCs1NiogzKjt3MVUYo2ZZVK+ 77aDkWrldqIDBMzKKyxZgGB+8LpSlJ5RwEh/G2R1HHguzOQTYWE0Hvw/FFFYZMcfEzWeJSyupIF9 DDmbKnIqxNZyP0T1RDZmE6AoGh2MNHeqaAE3W8AN/V/dHb/UAZveK1gHlhPsJMyyt12B/HffiYEH /0YiZdrHg8+kKGGGBJZKvwiljv2AEn1KMkxjNAOhHE4VsqL7KNSUpZwQQl/oUcCwm41nqRm/aljM nWdUSgT2AMAbDZwCWxTiOg/cjiF9xdEXPMf36S/MqPMMPt/pMOqvuJG8BZmTf/0oTqRWcWpKB/rx Mk2wt4XJZAMrtW5V2fYP1+8vPt+M4sQGFhhy+n6arnaWyMjTbho8FyEPHIAjc+dcHsL7DKnOkPAs 6VhxleycztY9ZaqeOM6Q6sz2ym/ef68ZdSRoF1i+kEpm0ZnE09eUyqPlGUriQHwTiSsHRnm7L9F8 7RPx4N/td4Y0DkZbUZRISL/b71DUkRYsp3TQD5lvRV3nociAIOzTHgYCaUMUCiWzVNOkYBKgIFtd Z+f7V71YkC/iQvzFcralBS67hB9WTF15JZEQzzQdgysKEbl982tm7FAkOgKy6dy9jrrCEJGa9U2V UscNtmGXSk4QNqPBcU6qrWQRbh803tKrRYVUrMDhg4oyq6t9brOCB6ONcAqpmTR3RQUrVEVEsgss uQ7AJu+ZSi0yRMk9O99/hQ1nMf3bGRUVLMu2O+ziQfId9FJNFKBGF/2tnmy9W1Q9odH91sCjsPU9 FCWlhgejAHE7CCUDjrh8DkLmCtUTs5MVpsvm1j50NPR8+DsrRCQSgiY4WjrSRQl1g8WSmc3ERuy8 m+uoz0c2WJHRCguJC4JwoJX0A8XaXVyeYtcCHvz7JGCiANo/pyAKDtFFLfSiZI9ZOnf/yT+YueNA cjI4CSF5BeqnG0XzPQ4015IsSOqTbTLBdbeww7Y0I8n8JEsQaeEHB9UTaMOBuTMPfQcVf5JK4IiT 8WSwGbx84oTGobR5RaEhPOmytPHwN1MVAxhOCpqITJ+Jwvqb3yy6ezpn14Zxa4b4crcP39A7Q0vg B5bRqM08K2Hp5SI+IxYBgAfdgQd7WBwrTfo+8GAEPMgPFmceWusKMYmkoo2n2ZyULGU3KWOnQQdC HByO/0GqA5AFsuyQVXkGjmV3dLlQQl9PcMDYaIX8Zijljkq7S5xvhKBsyZV3nggReYWHlIoGmZ5c fjVG4SGPM2Kn/9viNxccCRXRCd0VkrvOrBCZ2FU2WD568WAsO206yqkVvBv8iBvwE1qgHDRSz3q3 SPKAYJaiQUXlLHM6m+KFB4NjcStlJMcAmo0+CSF/BRI1LNjTu7afbnN9us3nbgjLiUQcVLVbPZzt UA1E4RwxXuuFjkAJdBYR8lwzETcRPjjCJ9IDumlgDalNN40ZeqNINrN+YZHq4fCsKEiEB2KuXcIf OHX4N4Va3zgfYd5HV7IVCyei0Zh9cAROzna5gTSS7RHwy5Y8GwFZfQ4EoL74wVFVHQ02NNyXN9xh 9ahfs4+GB+9zsLKuR/imYnDl2B3FiVBDm95a4DojLKuoekI2g5QjYzWc6SXz7LqOVIbasww0FGAx QO9W3BBQ1aUvlNfVUafCJ0cEHjC57JXmiZOnvU8Sc6XwlSKk9svxBu5C1+O+B4ridWY6MBGdmIXW L4Ui0mg3Q2uFcxBTnMv/JEwWGtnZVdFK6XPYfAinBboNWkb77ThXvVxQM0DGDe+SdgxMQHPP4vju iQcDGFL66jX2A8vbITYR0I/JnLmh9Vy949y1IubIDtHcMTZRhZPio9+OMB3Gfu/Fcq7ErBHwTOhf APvnK4H2CjuKFbFFN87ZfQ5bFLScppr54N8QzewkprMZQvoKHMKWUKnHSEABDAsHyXtMO+w5k8jW SV7ph7xioX0rTI37YMjIGYILT30xSIPR8o4iRUYU0CVdR5y02PHK/vtaBc9FanmnooyMCWqYarfu EJmIdsLBqp+uuzwmiNt0oveOiSvcBAw2m/cDwdrKdiBM2GW2QpKyHRYIuKvY7r4Imt4s6rqj2Zyy Bu4Eu2RZnYEeIx6MSM7trZ1Uac5wDqJIEdns9vpxlvZHZfdCiWPKZxLCnjNB+1RW4qjwIFvevBp9 pNF7YtZUp+xkT3BA8s4ONRNB0IZEDweugmLfjoDtVvFcEBsc1Ug2nMGY4veP2s0JwNDmmieFhLGj p52khuT0pR21m4ngSYOhwXhCgz4tb67wP1vb8iSmIce09HUwZny0oyJiodsr7rVz0FacrUTgWM6E B6MiYn47jXQR1SSEQSIi0XZc2Bx30J2+k8epn+ywhsjSUKXqQz0UA4sBtiX6gp+kSpX3hEOx8Df5 5cO2o34zJnSixj0PEr7Bvod1VAtm8WAQJ7sCDLYDap/nYgyriIWfuL18MHQ5oF+s8kHNzuDZf3Wc URpIPnr5ACEBiKF2RFoezUajsNo/bymdFZQdM5yp3Zc0eCt7KuJvmQ8G+VJ+daUhbZa9frDDQiK+ pA5AHKHTy0jbp6WxAMRJiDrOk9iTwWryePpLmcbbS/uCrtBggWe/FDw2oJP1DhnOg8poW4NX0hJh ddk+Gg9GpTS/Fbh/AXHmHEiD9z4JaKnBLUvcNZt8ddvRewYMEkc7LWZSGCcyOircFcUPcNyMA/A0 4NO3PVWGUPuQeytAA5++SvfXi8g8G861GBqVQ5A7Njikc9PlyWcjTFb/ai7A8ag7nSWnUBQHZTjw 89qRUa3AphIdCDfjwjZ00kdE9yRIHHtp0AVhye8Gp83m0yKGSb31TqmrbwqDqyunKGJEp2eiu81B VWWLOnhhtAFrthTFjNtxqlgWmMmez0yEUttIgYVIZQe60NK4JTjpIIsspDxDUTSFSEXJorDBepyd e7VlAA9c9AuG3Q9suJHvTUGGEzSV7G/3B+MXKuUcmEhW1FV4Uu7NJ4OYwbMo8hgyzVB8JqI6o0Cr 7DFhK2/pzoNP6KWVdgx9U+jC0tR8rT4ekBZw20ylhuVUvFkB84e/E7eIjopd/fUikBJALUysvXI1 cTNzKqAtiif/H10H/oBsd2omohAyFVrOSEgyr+W6mqN6D2bnY8yVomrjSEco6EsuqMKUvEExHomV 3Rysw8GICblJOzEUqAT67KDwjFwc9oXtWGhbrLM9o0qFT/4/qH/Nu7AuupzCkiOod60cYxK68ExU GkUBG7BTkVNbCmuOLsiffVTxudgibnAl7rDpRoF6F5xa6O6Ph/hG3DA/O8ot0YomyOk05rurcNiR O/hkJMn/htSZaF5NRFh3nBBBTQyiJMjutqb/OLWlKKCc0kHhZDR3qLHbAzcDWP+9JIBdWGsCDiGl F6iiBBsfHeUVQPVDGmEeaYQi+TUUCfVkxPTxkJIgI47rzETIiS79MWhaPC1QbjlKvOsxs0xRXCm9 mCy+5zp9uYJFQJe0Dl1AqGSge0WGbX7aUsu3Rsh0SSAAdvSIyUZFMrykUWRrrvHJSDzHEQq4ovEr 1XNzRMElqPH1sfQ95Gi1J2fy1lxOETsa5HN0XruPnmIV2lxDGX7P2dnCxK4BCRnoCqd5jOqvFt2g YM/BMHz6fQSRVtagICyHB4Nt0dY3YAVsGZ+FsAJZiew9bermOpPNsd6eanV9RLQgmGz+490D0GrD lrOcY/YpBDguY+FTHa8x/eUiPWq4ZUIuoR8DdIC48EdQmD2FitwHsMHyEw65pLJDDv1bMOe0WSc3 3juWxCayXd2dLZ8D+xZcnsQ2c1lM95WAa5tFfyR91LxSFQqaceTTrZekVg7sW3TWrQof4XqgILUW 6a4WeDWE/i3Hw42nw8DdqTmIwkrabVLqQjRpZRhFrA+csnWfWYha1klag4IuPCGEfTBMGqDgAppk EbZpMRF8urXF3y2oR6Ox9wvvb1tNMGI0w/hkJLCmQiRL3YsNF5+HMKhEvjiIaQdyidqL4El7JaaB FaH7IjRwgTgwarFn1LWZgJGkgTlRvkLBQnVYYO/2tSlCBxdAoGZjccAhAXbPTBkf15r55N8q7euX ekIOLFzQrAOfpKvokinVIEyf/klX5onLIvRwkTpGZYZdzx0/bP2ikkFL9QURCVwlEEDk+n7osdnf Lt4W/6DeLXEiwdNOYb3U3wRZbovKhN1nItTTAYoAMSGyTmhVANsLxLt9BZ6SkO6Rr1HIhFF+IXF8 9/7tqVUoytjnWeIBKoxKciR7/3xZYVd/u78al21oEJJhFAmRrpb5ZCSk8DKiiKI4EWXg5+JYBFWm QYPhNYE1kYvjPNOxtAkMXU5NplCWtxxZXvj81cybc/e1wUfGBYpizw9v/dPF7v560eYAoQc208lV nyvqfNwctuH4ZKQzddSJ5WvjFYnA1QUXJwsm68B+5XlYisvNUDZNF2do7AIBqY0p3EdDuM2KBbFo +AXv1w2uJ3Ktwo7kc3H6NIQyjAA/F8gwFpdhHGh/SKMZLk+BscvbusoEeubDBcihsQtRDYO+XizW kQNiP9tizwoYJe9l58DbBSV/pp3Ew1cvGVjQbJnnhkKI3RltQp15A1RK3N9zdZbqLxfRJQcjMQ0M re34dSuHpCcjxOsLcAHCZJ8UI3B3sQVBT7bj5iCmGPrZ3tKybzHPzghxj2piEuxUDthptGQR1AYE GtkmxAA3apeVEfpvm/TQ44VG0wlKK8mhdDNbcEbcZ6t6pyCwdsFmHD6AVZyjMpTtRm5ZnDmaqcKP yswYJ9Ke40xD3NOGTcEaGk5tdYI3anEUPNITFOwzJENQ3wIe9fCnl79cULwf9HyqGjgNo0xZU9j/ 8ckgsq5vKIVurWYhtHgBNGG6QO3K7ofaT1i5j/xaDj1e1pIDXvNRp0OCsSCOYMuOay9UnSDMZ7N4 733982pBurmpKzE1qLGfkpj0FW6AgcsLbtz8G91wZiEsUyYCsTzdztwSqEMk9XQtiN1nHkIIJMW7 E7VWUn1q1/ZZjSpSy85K0OM2agkwKS0UGRGbvFZ/vfiysLN2aeAM20epwNannoxYY/MFPVEk12ci 5FOjnwTVrIEAAsqggxFUQ/0aCrbQL2BkHXi9KAZBxr199OIckDPQEegWnFPBeiMVbgjQj7ZCoRQD Pzqqw8AUELfm8FtzW+4me4Y+G5+MQsrmp0NjC6kfh8jQ7gV6jrSkJ9wjnZ1x6g/1hJSB24uwDnuj er2P5oydCKCFgTWFLi+4WTC5hl0qcIevRufyVwu6m4VOaE0Db02711lAAOWPT0awWE0De6lQyX4O iFDLG4zF7tUG94WUMS/b3Omkm4HfyyFO/SPeWyz/hsBTo8/BUvpBoNmbbrpLZuz3gk4gDMw5qMHZ s3p0bU4+GRVq95tf8PjySQhdo+FH4yiH3U+bu2xvc6d2XDLDPjfp5f/YfIAxVAayPnKrs6NiWDEt T5c3FX+16GyAmDlLmv2IcjV5SNu5zgeDpeCID4p54i5snm2Gli+AuLzOV60U73YnYaJG68ccL/B8 QUeW5RUZHYxTrrbvbKkRag8QHdhTnWA7FfDup0TLDl8OTV8Au1lodnIQZ6aR6AekKB+Msm6/MBX1 oVOgaYgCSYSnszhVZDprqGcHfoBI5jsiVmuklgIrcj2dEm2F5gag+HC8SIX4H1AHiWAf43tHhP1u 6fZODSo9DCkiom/MJ//GA1Lgfz+di9D1hYIYfbuetbjWk5LZyq7KcPXaHNi+ZK/G5XlGlaHgSEDS F86FNuSaBmTXL9nO6S8X3BJAwgEwMx/Rs9EpKYvSc+GTwcHwSrRNgsU9uQq9XxKOPfp1U9Y9KZYu qtwDYkozZa6GMIgkDoz6PRwFf9gTKjx5sJfVQdaEpGFzYo/n27MXf7tgPdjymcAhc1BBLlclBmVt PhlVHl5beXSy/IAM3V8mrDcamzSIHyAvDYm6AaIS/BIh/6HuZmwAIwIFMeOn89YnmmETcDJbY7Uk qpAwQSOI4Kk7nLeLzkgcj8D2TTccs/h686ZAr5RPRnWHV3ggU+ldf1IUSBIFCq3ZShgcSqXs6FGU C1EgcO6ahyiQXCo65u6jQyQrIJEgUYy0etUROZj2PpVJdmX4yRGBYEDifWsQnSpVqTLBJC6HRjCv +wU6BD8u857/sIKBrDehsfip8KtxN9BClnHx9G0ResHA8WYDcsBBMa/dOS3BWQcy14OiAxOmgRZw zi9G0fR3C9IKyNFADpbDgYaJZtcGmnmhG8xTjyMyqg8ilTUTIamGl/px2E4MHyfK4cddOp8yVOwI Q4GuRNZxeiy207RDASEOrs+JqsGuYDmRLn50GHbxlwsiqEVZ06RB8PEmPzpEdnwy2hfuqPqj8N0L 9rEjDGrU9KHDOblYj0UfmkQr5DXjnJOBKUyibz1oZBoOBcgyCcBpLby3kw35MvD1dMRo45U1Xf5y URFqQ+q/aGDokLvMzC1G3XwyEqRw8AP/Uh/XvBwYw9hRBLYfVakROaJo2BRDUb7RPgOpntzGY59p XhjMuOs+eDk7HHB1Ir+y305UPOShtJP6Itdkf70/NgcQNxyYYNnlw4DVkurBJ6OypCNiuDAWlWU1 FVE4CZL4rsqndnJC/koeVMtumhsjanU3hpGNajVtvLrP0PlGBLFhg7DBsMHBh5MSdh4nrM7+cvGV sVGC2qcO1eqSVg+E4/lkJH39cLDx0YdakQOHGBmtLecVreaMIwozMsPazzyE8SSJBRT6SEd4sS1Q awqo3FB8bZk0fcCOABH/B0CZQ48Y5HcTiRYH1qFm66qjAEqcA5MYhFK/PadPWB3axEDPkMpbaFj4 chDNAqfa6fbHHjFbqLkzehjVeVBOVuMSbHX3pOQxtfUO724Wf7MIEQSSyOoahAiqjfujWqDLJ4Mw Kr/HJLyCfArCkuSml2KilWqBkCijKGi1N0RR6KUqqg5tYrrKLnX6qLVAvd+0GFCVCTOaDUIT7lig 7c+eyNlfLzocoGcHuZGVj1lOT6IRllr5ZHBxjvLUZnFEenoR+8RA+NAdAWb2LVGPriOFYHlGBjYx yE8Eqx4+6miwfMKOAvyaNqWVTWL7t/1H5MH51d0NTGIQGJd/ipK2JiSoWzIOyMAlRlp4p+gAV0k/ HkObGGjCZybjYmWrgVOOTH5Z+UA/Qp+YRdrd5CzMMwswT7fpgUPITIwivv7tE1Bnf7foWGDVpWng g6j+EwCyxuaTwTXRTgEKVlYu9JpDo5gqr0svR895OMlV/6T1esKnwCnGm8mpyS7ukWuxwAGgqAko zJ5D6o+Wx4ma3r+y7dAphn1d5GQcNHtzuXxx0pN/E7OHsop6ZiEUeESBSYRc+hd4f5tOD8gq0jp3 RGwWI7Mg55McAp6d5BRcAi8Zig8UCsdymYJ95K8oMnCLSQSkTNTuOHh3sHWvThc+GaFf2nM80lHu 1B0CvxjIPKKrC5IcTkhpGKuLBzXwBE+47g3uPxxjyMMjAakcJy1LhQfKkJiKAkPzLp1sft7DUhf7 KoeeMQDfTYCmZnfykV0QWhLZTgY+GS2JdKIGtM3wc/tUhNwbxJ5aC/jB9mFiDjVx2mIJBiF1YByD RaE63PLRt0ZvjTpRMBwdRJcIjT6+FGyGsIKhdwxwWRNpIwceDK02tkFxy1c+GnV2PXLAj/FDt7Dq CWdgIWMXDMtILvBHjrkwxW7BWBBf6eYMXGSy35z/uK+ilDEW8nioodp8ZIgXAJJSaCTyS94qB04y Ck4W+lUrOwQE/Dbp1tn+4pN/40ep/MKyoc9EqOiD7Yuss7EiBaVhuM9CmnsimIDcYtNZEbW5Rcf6 BxplfzctpUfFvljol0TkHEumoMd0kdz9HPjJIEkgaWBoEF5wNFbXtr9SsCbKkTQpFKCldZz9UZ9r BNFxe6Irq2hys6WLXreX8Es7EcQ1fuhAPGz0AWv3kVMAT7kFFsaPxVM9VV3QiIDlPvXIuqBJ97lG EF2adzRm2wevbYlbT8q18ucaPnQAg0lG+mHjN4P7/8OzKPj+i0jv091uzd2Idxe0uFEoi8sgmAGa YGcWxzmqjGrJtqXqDdqJFk8vHDokIIGVg2LBtyLuNXiwT8b0dLAfOahSn1RutxQ+f66hQ4fEfuUM FAowI3TjDFxDhw5TbZhMUrgBf4P0D0jyLClgi2Gr5xTXwAFrYPC7j+aj1oDlg6uBbjFqmg0iBNlO GZRYf15kHE0jrnFDR29fKnrTR/7ydctYy/L/9rnGDZg5cugmy3AUa9EMXMMG7AFoeyc1KrYLYqOo rPgxT6djXUOGjvyc3zwVH7UC7KjCghg0lQH0BTsEZfMy3/ixkWNxDRg6rn1CZLYGnYa5qHFnR8zn Gi50gYXw9YdXISe//TVUwA6AtFlX4U0Oc10OGjwBaBdKV7Xg15c2yyJL6ggLdHxjSwIbG67i1Npl AysVNJ7bswE6ZvtzjRJwAjSUYGHNOP0EsAyyUpMQRc71uQYJ3SFM+P1pLifAMKbgGiJ0b1s2TcGe x22xnCnofgRcw4PuGQu08H1Uo9lu2ZrlAjTs73lQ4koEgn7/wyW4RgddYG5sHg1K1S0RlfZpLp9r aMBTvT0bAIiozq9/DQq6UNmFpzfAHMM9Uzoy3sxu9omQriEBJqBr72cfdQIQCAl7qWFJT+70HkY/ EB6M7RVGpwRutAMoLQzZk+3EVLtXZDFm4cb4XKMBHB3sT9FuFrVj2rpzCq7RQJeulWA9cKWYugZn PcZy27OnaySAIxABIp02NGoFTNjuojc1gI2tOgLxy6AEU1/MfOKL3S8BXC8L9wcHHoGpFAk67ZU/ 10CAb7SfFUA9Dn79a00JG4C8Vb/z7LjMgvOM7mUmbmBKtwRnIK3l+GNq5ATYdZoh0AD+S1kTX4W0 MxJMYYfm2i2FklbRBGxqdlQNXAK9TMk5b1sC15JSRzqbOAHUciJvgoIQwQRQ+QmwW/3wLCrOI+UE 9d8DcrvWk/DH0YoEU6hRW6DwuCoEHdjfspOLlAkqvjC8/qV8ey0nde8+QGeJg2Z2piQ6Uc2fazHp 1zVQ0ZhEE1PsjGAOBon8B9MlccsNYLt6MQPNWidVhZOAu2ByFcwTEE/hLFDmhvWV2riN0QJgIAiI jn5N0uvFOwGKoRpYXdytFVeFB8su2gpLhwEvEBQaq26DO2uiyx6voC7fwcvmG6L30KkADaWV/YDD o4lAHTZ3Eji7a3ePRF89/L8W2cH7avJSBG0wK307fAFhYKMzERaD6AdwUDNqZepaW5C9PnfaRD+9 KB0JOOPtTxY+ILoWgJGv+TCJhqBdbXgJflDpWjCWYBpI4y30GeeoyAjEwgY5NtgPF1ocFyZZ4/WN 8fJiFBrCXHSD2sZBkVGRz1wpgEPfI8PjIuWnwoRVhJqgwUogcr8ml22haCXNZ7f+CVruPgVRcMjA r4AQoFHQ7UTIKxDIE0ImWKAkc9LEqK713ayPsoOEWAUyNvNYjp5JRm3qcydL9ONK77EBRJeznwv3 ADExQSjZT0JYWWodsCJLY7l8cND3GBGOZEAOkx3D8cQHpU+s4QHt14UTgTObVSf9Msy4EyV4JlBX fWngwdarNJbhlv258yT68V2dQoNj9WgKogARp3vhiYZy2iIpHUs5SftvIgjW0XiPEYXXRmzQfFSY bO9oi6ryo+x+BxKqpObovvVlxn7nR2CLiaVH7GB1O/ZKv8IfqZ/e+RG483N61gEEicAC4iTcw0Qw wag34SZKqXuhnRhZJEpjHmZhFCcCCJXlsJKPAlcfAOsyRSDzmConlFau9D56QNBV73Y/EJrwxKRJ puxKwMXSBQJ4gSz+3BkSvPu3nwkwaCC+j/MQxYrQct5N/Qb5yLBn75Q6Ajw5C1GwOJUp8q58miN2 BsB/HLNga6EWRVLwhULiUB8wODQx+dn3ecBvsAA/5aC2y1jikpFhGcWLbJZjNVDxsKOGxkm4R4yA u3aq9ys6UvmEOvSIl+GIoTmI4sXRpaC7fVTHxSaxQQwZMAVQ3/DfICqnaMtTZW96r/sEwMdlFJgU FmfaDqClubHHWp87LQJfKHnKhFdmM8OTxjsxAocCbN3ouILfXS6sRGlu5k9zjNOMDYNGXB+FogUl O+QXTpMl1cm0AdXEzsyapAAcb08JMRe9XDAPqk2W5qPXJoVMyxlnYxQ3Coqog8FixqY78s6M8DtS bfkK+ypHOjZvRTEzYvUoChqJeM4wvdOoRdsSWJaIlWoem6AEOxTQkeYJ943/vhMj8JPaFthQpuHA iLG4+AMVKu68CLwS2QsT/QUUX37AAeMcRBEjKBEeHhSSNnVRSOcNcUL2/OlOi2CcwBoCt/1JdKAN DyFdHAqWPXbK7GbJqBBu6NNApabPnRXBQiqA+cB+LRcA3TCN0Dy2+bmTIr5uCCIpIQimSYgKibAT Q4CrGCk1777tqaipp8OXujMiWLfJFNiuPmo/JLsmB3pMsCeHIRxuIYgHJ3GmnkrC0tsFoQI6XygD cFBm0niCAY9aP3dGBENGXQ+8tMHV8nJqGDNCkpc9ILqGVNc6k1A6JKmOWMmdEMGLFgdCzclHXRB1 9zoRfNs2KIM4lUKFiPrLPyTp3YKlsFlTXxo8Ft0OZJ/rc+dDfOWSvA7GcyZEISOCuSIDLaaSAmhA pY5dFppmaA7CsmLjBT7PqAuigDDVC+/LVhh9U3eZIfNTUmMeeadDcDvsf7kxe3tnHTW1OxsCd9Z6 qopwAnj2QxQ0wsa9qMWGMCk3hzpPJQ99nLj5zoZgvLTpcl591Kodlu2tDZ8EWx0TFdVMSXb2Wd7W QtG7BXECCms4tbaIyZB+S6tKvKeOz50NwdzYj8afwqKCxwl3LkR3MazihhG5OJuyjCbYVoWBA0OF OxWiv6r7y0cdCoRn4OCbiT8flf8G6X8/vb04Pu2He9iIdQrr2pw1eHVNyumWm4zPnQzRZajKAiNG kA+qnwpR0AjWvYfKOB6q2i2tZWXVA4eYFsM9bHTDenQUfdQ8WAYxEtR2LaJCFYCpNkTAaKzkdnv4 dT53NkQX+mXYbtKgDZGFHS1bbxSthTdQkAgkpyAsMkJASYg14HP8kmzDF8co7YQK96gRtUDsh85i VvciG1Id+7HR/wW/KUEF+f23T4116c2iYAmeSLjiTtBoJ02R9p+dL587D+JEWZiB11qKUxCFjMSj U0SOZcYkLm0tHjY1KFqx0n5nQbBbhz8NxRiNXmjdcJGwFx5tDmrMoArZMcvEqPwiFd9pEP0YW4qg X8tRRC29i1Xc8+fOg0CoNdRzbAoVmk6FOw2iS2dwz/qVQiF08HJ7gQ8EF8KdA9Fdw8ru1uEjZ8HC hGR3O4zeRi2FMSNdz6vSad8LTS8W9BwnYLC2eTjw9YfdjzjibXV97vyHfqxE5o+LzONE1gxEESPF m9n+w1fvLLai7+wU40ZSI27IO/+hOzuqQNNFo6IEW/8F5ieWTLc5ixKMY4fRtRnQ4frc2Q+YAhoP UFDU84YC7zJGzRArupMf8JVS93ozjVh9AqJoESKwNbsqdFWYtBnnckrWPKfBnfnQ3XMQnAQfFTLX CjvuRK30SmaQ/Vt0QaUL3N7j4M58YN5AvLQ78Xg7a9ZFgDMUAT535kM/6O7J/6kxqlmIgkVUw8hc h7PWo9lVT+u5e0XlznlAmMSDgE3ako4iYW9wAYNWm4Usy1IpxtNMaSkB8WCai14tCJixR5HHcWDa mNCpIJy3jva5kx6YSmsSdOPA4UyTEEWLaL3yZuckeH1pPuLIx5f5znjoMgu1H5ndt/J03waokrgK B+iuOOJzISOKAdtxpmZ17c546AJnL8CD18EID4j86IabfDCIFXd/zwN8G83APVREi4KFq+SlteT4 rH2chdJwDOud7MColvkj+0+nV9rth6trwsFuWByaa2aTrlfKpFG089uW+E52YPXTfkDQhTgI/FaE dLBjdnzuXAcuhPkkkMQtVuUNd6oD/iR2oonnxvLBDoWjDrm+/8GkCRrvPBXDEiPLaoNLfhwtmr3s 15qUYofPEgLzTdMy/KqIzE5NhcJdd64DY7jCXEGDttpUpIHO9udOdWCEVT1arASnnWmIgkVIojAY JyTDSZOk5FFsIju6/U50OJOQ9tDI6J4gZmg6Q+W52o7AqbBQXGJRFufQQfknf7eg/YRJABRtt3Iu HS83ozTxuRMdvvoOJOLlEyrdaQ64HxDSy2oPRbnm+KSxpsM2jw7sneng/Uy7A9hXeGw/7DculVyV 0fuouBDQfQJMhLHLKSxlvVt0LIAPBoPq4rVLONcT1g1q7+fOc2C1/gUmoRRbNQdRuEiD6dU9SFhe SqjDxcMBrfOVEFUYCZcl6kKj7sg+WWvG+t2rly6dO7g2IfJY7+GY9HZBzR1tdXT3OehwTPLotQR1 fO4sB7xU6Q9ADcIXXJPAAYXhIgDGlX3Y9sMO0jjSVJOsFygcYx7uPIezIwp+do06HlO1qxJYW0sb LDQv7DwgUcN/Bwjy/GrB3KkO/cj5QdmwOmsWAAVZxJTdP3emA7dSerMHeEkoar4zHVgew3KAYA87 DuTEAaKIog7KK002XHZV3pkOjqbABZF99A59g5wPgkYoz8F6LuOup3omJZLPrqh6vShgwD6grYQ3 ouzIOSSetD53rgMz2zePtERMkxDGjfA+o/st+aGUhQaD2gHeHTLqbM7fiQ48Wbgn+vbRA2fbVtNV MnqhMkOCbCSQChTcOKzZrbeLIHtAp0J0vDsPzKJUKUVki2g/d6oDa34vXgciFQqZ7kwHQrYQ9Tb3 oJM1sU0HKTwsOKP8xR0RRY641OmL5qOf5GnjakDQZGmCnJhQzGuV4dw5GJhB3IkOLASnfyLHMYj+ QQaR+WBwRbR3EiDs0KcfDGGdcVKaxVvTNIGFinzxILLTC4LoxajOqIOhsX7fvBRmyVy1Y2GzJQnk kv6bhI3NNGqpEZX0ajF6FUIVGhgjWDReXGOife4MB6/RqtQKPP4D373zG7wGgfNGZZXpdtW9F5fk ITiPcxDGjolZYj+jIhvosnYIyVogvScZeoWE2O9sOie92p8I3jx9VGMfzsQ4HEb+3LkN/ajWeesB 57PmIEQwTqkwevuxTa+5Jk+kRncM753V8KwDQBM1+qGAHAJ1q5HsLCQKrhQWiL+yqKQ3ux+K1FNK eRYfObd21ch/zYLRz53SgLOk5nc3wG0gKVy4UxrYuAMoSF+ZRX1eE2cO0nRvjTufgRUFpJHgvWr0 9nHNMIjCXrCNX1iXhw8Xt8JYXxdkVGCE4tJCN5SDynJu9Qd01efOZuAXeiEKdrV2//5RyIjVyAwF l6EfAfuQIdGP0tePMYzsRqsz3U8a2YbNYJcdhIWh+DkzdrTKg0dAgAWFFsaL6EdDFYgDo5zGii8P xI4Hg0hp9AeuBIFXvxvDeLF2NaJZY5cH25CKBFnCUsHGURCVFxOaUqTba1Tbxc5Ri1w3Q0f7qwrR qip8nQWKDqJoccnWNi0fBVyrpfoyGHg06kP2dxmgvTFVVelRvIhQfCV1GJYrEOXuPNm8ivuV93u0 6E0Osig16jCAWz1a6D8QWBnk3WcKDtnr7a/zoOvVgu4TpO1WGxqYS2cLMbSka8ODEXrvPQ2gXYgt yEkIK4yY8Jpd77q4+1TproBdKY2PDdGjnjQbXkDo+aizCwataEFCU31XeFgBs5QoZFDrqyXR9HJB zFxoH+6DWtFJVhh514EHg9vRwQlCv74ojf5XnZESZJkVlSxwRmVyLJPWrNyhR3VGkNltz6uwcPA6 E37rOyM4hENpJWEUU45dR8jogassvV1UUqCka9OgSoJlvtx5bc6NJwOMgncjkTdwUD7dQ5ILoX62 expRfGBh/QexBij8oy2N60HzEEWMkxBn5gQcHdS6odcIibldSibTKQ3b36Cjf3nMKHfoUcgIbOrG YuWg3GGSisHiOB4MTsj8FTJCH1GzEJFdgLWDMKjosDSMkIUxGngLIeOiai2PhzhmFFal+yiUERBL 3F3DPsIWcSbpDf/v/KGEZXopTz0qOKIhuSCfQ20vRszVDh6el90OWjwZXRWqMRHy2GiZwJmIw0Z8 zhTXo2QFSD/EhZD9Ac8iOpX2kPZCkDNRf/ns4l4turMkCKwPWLtvIvJgLLPhYLQf+BIK1/zs4MaE ZhEKdhy4HizJF+rf9h0eDLbF/AJ7t2c9hAVHNFGqh8q5nv7syDotK6pQzKN6FDiq1Ej0KkcdkrYU LAtCwNLguETPWtT5UbuAB8HTlyx6u6iyMOC6sTWoS78kZYOKFh4MTkmHNLq0ivPf+j1w9O7dZhGF VWcB3itY2pA37e05G6LmdMq8JBAdcdSN2ex1ExSUh710UoKRqI4vpEJ+rbh6VG+EssGgl8N08btZ hmxh7WMmHoySybdFn9PpTvcI0EiIb3X/lEJ/PTTopalgW7Ekl+LqUXd6qO83zqiKmEWQNgP2LjON PjePHhxaAMADtvAL8N6jDnVihxqFnrJfvIagWA0rIepQM+oXaAWC0VBy1jxEMSRUYjNFeonjc2Oh WlloAQsCoQ3ZcFHNcTEy2oqmD3EReN88cCoOODpTtpEsQ1ndPHLPXe8WYd5pj62UylfZrE2qiHmm hUf/Xg1Tq6Eqkh5RBDkZoamuQHjdCR7UoD59uRHVG2l7XqpH0wfON5GMA38EQuBiOU9+1i/xgQcp PjeqLxHAVTUckGDT988bDwbh4/DKM6Se6bXuMxCFjx0As3bk8NvBvu96XAqz+ziPmBmNdDmxwp7W 0d+1f5ogvAU20B50vcygmQMjBGjcY2Lc9HYRCQaYf0gNTZcqwRWm6orlqZ8R1ho9jOZqKAfMN0JM IxAP1MqHOEAhCWKizu3NmSejGlG1US3HQg5M8UoQHE/W3JAOG9sOgikwH3Dh/MYnhqYZ3Yhix9FV XTmj4tJV3JG58tEgsW7nfmDU5AzhEcWOjVR8b9B2LzrKawqNveqJxIgiR4ZZheVpjsr9esuFXvcD 7Nam4gr2DQvgD6pz680iVKe9EWCt+2Bb7aQp/kUsfB5hqbGW5zwooBV0nYsjihzRJqCq+H8vXdp7 cvjSJMmDIhsFjQR8iEvMUe+67HZIlO0kpI2nIqDA6Hj2r+B56NWCzQA/QrCxOKgBMZZue9RbR8iC ae3rUAC7XnMQxowJss5Cdu99iPJbHkvidXAdRBHjJgmG0iIltwP1TgnXAXzOADRpqsvblIDTMd66 e9abBXlUBk8ZLNFjc9gsdNMU2CTiweg8eIlA1FNPIoqOKGKkQsoojyyl4M7svchwLJ27IQI0oqYK plP1UemDHfyWVU2uhDWFdANRGHAc6hK6tZS/XLASsAxTourp8UvBRbOdJdnwaBAorLfWxsK3piGq NeL3IN2f28HlM6bXXDJ/aG6HkASDr2WnFtuv/MkyMBsWLm58a1THEtAAWeY8slMSvBefiQ8OCq52 YGzYuHBQDNaqC4+lhgcjiLND2ChCVw9qZUQB48Cxp5MAzVkazoHT3HRlNkiZM3UYUcBI0FgeTKsx esHRjq9F/1moCsmTEv5+cNvCIfkrdRhhwMiaAnVLXcUqZ0BiMA9trYong0Sy1a9DIaO0xXkIi45t nkb9kpRvpi0IQ0gI6WDTckOERUfxGhB4cuSx0EatlkoyUABkn9hErAXK2eJmO6XXqreLe1GWgU0N 2mpTPikWOBc8GEzD0ZHhSx8+1AxLjoAjoPY3hWC07UOzHOQ4Ni8DpqVMoWYspcO9wKDwQRuBDJQt DUSZqdfO4hITNNAw84vZKP5yUbUNuiuoti3P06ftVVGBLPrAg8Ek9C/KMI4h/jFRyEinGPog0IzT /qiBBZApLEdTZwhRUEchLDkqd6Bt3T7iUtvSaUseqCdkPwtp4xmoBThHULj/G946Qx7MwqFwBuKc bbnqhLPbCA9GJ0N+7gj8AFU7YkZBI7I9TyUh8k1uFK70JiDHyKRB2Ok4YyIM2/QMjvdhi24ISg3U T4Yl1p0GphkE+M4U4peWwAwLjgNSJ2jQNndPt8y8OrGp1c+MQka2EdmWJNLZy0szChmpM0jenRrU PhlsEog5XZROz7DcOHlDqDd5GgaYAAgrTSyFSlUQhFOQJ/xJv0vwM25PQxs5Fw06GnNjv/Sn2rGK JyNtqfpsh01wkSYhihmrQP/OiMrdawrZ+5O9o2XG/RDSYHr634hpdNsOfebFPHKTJEPRDrBGyyth 3PRuwW5IdD0eGvS5RbLvMFzBg0E35rkfEGsQHzI8Wphh4GinX+EBx6AJBXV8/+H61hPYMII2ZtSj 7gyXChOJkk+f3k7EQav6gdSZaq8IojDFP6+ggnStZxQ7Qil0r+UDMRuQiRZldA48+HdtBfOxDsZz Rj1qSu/RWontSJccK8mBr0UXJnZEVGoU4PkfTtRoBah3O8agvGdZBkPswZX5xgpNbxYXl8Dn7j6y HbOrmEFAo+LRIIMYfksSU8O/FK8wzZAKY5cbVYKQTTbHvNJZmtkk42dMQyi2UxmqM3Qsh0UOBkSh gSasvDNFywoSu7He0FGq3jMsNSLkgffkOgaUlpdWAphsQicejCAbL1oBBQOfgKhPDcXa7guBBmdI p7xvDakz//5xnZG11lJ85Pe3td/TBuABznhjSVUD4rkMnb/ZgTOKGaFPMaDPwkEV9CH0Sh8Zz0Vp 5BcPCDv0TEBIg9kuFyDISpZ7WBcdApCV6nDnGTKneRygB6rRcyjUIpApDbsFJzpqNkOd0gg/6Xel dYZqO7IZkeKn05HtT6gusJL5aBQtfcEVHMe2ooARChTTm7LTCfS5n1UAvCtnYEU9anSy7OojBSYd Q3u0ZscGgx7iS4XuMxlAT/oUvxoCVW8WJJEJ9yPKAcO3QYePNHO0OiceDHovyQmS/QdYbRqQcg7i GiN28spODtwqtZfusPdKi2rOQhgvXoS37H6EbnGDGQt87SHDKO29QkHtp6Kw9G7BLNhcQcBLg9ZX LbofV854MEJ15pM/5R+5hHMSQv1FfDmakpH70SRLW5f7R3WKWnASwgY1YxGhuFI6nrzDLtxawAxM qSgjp+MKCufjRf5vvVsQKkF1qqFb0Rzo3FJWvEAQ24qjRQd704O1P0SYFRJhkCUSDEKxoSLQe6u+ M0bpXm5eIaCRYdCWmsDxT9trTJQGAWire4gfASwDrQ7271hpxVQYC5pRt+egND27UUHPBQ9GJ8Ib IUD4TnMQKjHiBUjZY0saZUypNqMdOckSRVmAayHkwvAi/kdiBeXmtDolJerchWIpG7VvNHOAVvc0 si69X4Rl62DPZw28HwrcNBRppIwnoxvC1UXKr+xhRfFiAp00VRdjTfSnBq4zizPapbdjl+QKqdNI Delxo1HxIkJBSk6jplCTtHNQ2F3EUL7LYent/mjSY7lxSNoMx4XWTiQ8GYE2dFPyL4vQW01ECGxE NMS2gfrTw9OoIyyhaiO2RRg01vI/qaQdDYVaO9BUAKMeay5D+hUAvbJe8ErWywXzAC0/1IPR4v4R 9RHYB8x25/EQEWK6zwN7sxDJ1SyExcaaFBjSDAYlMWJXQL7oaMcAi8YS0wqhjVQeoo4jRz8ckFOh Amz7w47KRYlOWNtB4uChQfSe9XJRwMDDpWjgGYlITFCFtvBgcEbuA+6TBpXyhxWiGxGy1APuq00q 922M5Q3q5TKlK643ihdcfFRxdLe5Mr/EKi1TjIbKzGqwHo3G5u8WHJB2vO/Whwa1p9vMnkLxlSKh zvYAHLEXfDtEgSNRNYN9BvzN0N+wL4fMsg3ayOEPjCV3SPKgBNNIZw460P8FCEs7f0U9BXcQECw4 874KhVtvF1Gj2Irr00dWV1YfwqZZpoZH/14LBb6rBBYpo95R+DhINDi6S+JE2eUvaQlUNl2uMlbd kVrt8PHQdyx/BK9r2N6mglIG5hfFbNpXeQUeSQc+OuIFAcYFDkQ9HIhcm7qSFkDhwQj0XZ/eLHr0 KrnukA6Dr9DYeEPmSVNN5F9UHCqS7iaCaYcUakqyApej0fuHtiO6JgHyS9Rfop47YKcPZxLIJn50 BFvZSJqWBp4KZJLjkNx74sEoiNZK4A2PwclRO4wfwSTITptmXU0yM0WB5GLNGIfjjgGOrCu0M3oj Gfw4vDEkzMnwk7IpzCfaE0XL6WFHASRLwolKMOnwIKadEaRgWZS38ejfjBjHPm+FDDtkxAAh4/2n nU9VYZyqQvGG1A571GKTr+qj1gK6T5nIbTsjNnyAIDiwj80mb0lSvfDBEZucrlM0l6nHPmbOwePV VlbBo38LMFWq+QOBovNxx/BGMADEAZKKLybB+eWgMvgkhMjGoTVQfBQTBCxiRNK2ISpAbWxkI9er 4tT7Qmh6s4gyWQDJXhqUSRCPTmHahgejBu1LmYSbQXVB/x3L7uDU9e+evBsnYXpOQvb60o5Cx6UC 2+4+KnTckCFD/2mkXdcmqiNz3ecnUCAjaEd1xgQhZHgycRALgCVg1OArnvtba0Yt6h8vr+1QphFF ZaJicCVMV+/tbKzZP5nteLTvUHFnT+e5aNTdYIk6GNkbfKCcyWSF0sSzCsYbK+2o0lhkeS530n5U 6TJPL3RyMh6NUoj8HAevGNkOKdTo+1XfCUfTfyZHw6/tkJUd9qdZmkiijXr+ADsoC/nJhbHP3Cw+ ZHS/ka9+sciT3iwAsbUhUwUOXrwTZtIimooHg6D5q/uA4qizyHdYZszsxLsonRrU8JIcyh0suHfp pR1WGuVsQD22faAleydCnSfXxEYaiZgaGkI/b8mdxZUdE6hBbCfLfR/YjhSnbU9kPhjBvctbaqRo uZ+IUcRImMV0yS2aBHISuiv4gsrgkxB1pydLybSW4uipg8XIuw0Cv9eCcSlI5skvhuMOtvVqQZxE upadshy0ELL0K3JdCw/+P8RmeIyPA9vJKQoWwdcs8Lqp/yGHQLcGfahBKvEiEs/NNFNIoCZl+Hfu YJ9YW4flOAqulWxPCMRuaBKjG/42YLq/X8ANAsYA4Kq5EISo0mpnBcFYveXJZ+/nwu5H0dm+A2Eb ZzaiuBGqFHYNWLr1H5nJlRZAgKPRLWvaLlSrHh8Rzcb4X6ivnf/FgmUUX9GYJLIoLft4pNdfVAgX dk5R6Ahx+wU42zqYtlxR0cdN1GyO+WgQQX/Vm6QTOX0yQpFvAGlQ1syEPaNYAPCKtHzpfOipNfsy 95N5CduYffRUAiVjmGLZm9vlQH8c4H3JoHs6EoMQR3x2xI+BsXGbGoT+RmbJw3JvPhnEDeXITUDT ANeMazynqAQ5iNadLs5FWSlwqts6Ip6tHv370AqmSXNq+ugJxYCMEIhjw04GVp0yNHKQtoL76JuE XjD47ODewE6HQDAHToUdQRKwhJopnoyoId6f4VbBeFBuEp29V+AoXug2zDSnRQUuZ2EYoEx7JiMq RQ5h2Eb2UXVTyyx3Q5V72EHRFtNQfDUwI8pTc9lt+esF2FeYU6JxxIEh9WpDOPCdCp8MYuri8eRy Y4juMxHWIlFrVDUeRcJC0Ub0XYf3rqEeRP+4FBcjyRGhUuM6HTuLI5LFfQC7WRIrJHTai0JjENA9 U8HOKD88yjQpGVTOKHjPlgYDIwqSve7PerJJFTGN+5wYYUlySRdLIVVyWVNKkbL2ME7tgQTDcFdL lUujGhYTXmH4fwd8NYmdz4ueguXn0aCQXw4+OlZs2hCU4qBmflUHJ4+kJyPc23rD7MmejM9EyLcG 8TMJDr1cFt9+Lp8HojA1D1GQOer/FmE6Gu92csI0pFmCxNw5QyaT+On8NLOXb5GoLgkc+Oo0d6KO HtraPTFa7Paf88lIqecwZ0gjy/UsiSjSXBk4P4+o1vKi1Pr5EgPn/oiY15Dz+J+0E4V5mCijlmE/ IIpc2D3QkGQD4VBGRvV3i7QdQdg9AyMkO2pcvdcSDjwZbA4vR5EjiKPiibNC7gwC3pWW86+XQE/A uYt/vQ7llo3NoPzD5j6bu48Y5Z7Ax1OJxK7oTuONgkCj6ORzkMd5uagOQdfSun3koxZlkftAbfgU KoIfnPiPvLSe6yP0kllEHLox8XYtcOrtMv3qnoPnwErGyQ0Wbw8fdWRCfoCCoei2WPYtvQJcHj9P f18iXjnwkdGZt1HX2tvLk20n8ZRsxhufjJKPL6z4OIyyHPrINFbU3Ic4y0AFB6TL+1kkkM80RP3t xP4PFZo4ijlhVw45E3aH9pToTFxYlvv5knQr/m5BrRqRIYy/OAjkkKSSZWevngxiTLcXxDwsGbBq GsIQk7JFxHzhVO3SqqkSt6OKlYtB59BIZlXpWCYfdUBYrmSnzGJxwiJMx89CXfjntRhc5+UixDya 29DzoqgN67SpKxuvNfPJIAs7djqOk15nHqIKJaL5krtrw2fKekEC2D33RpkuVpNDN5nBPBMTplHL oSUUpBoEcPfMVZJfqMQxTnwIt9nf7o9tAf+YfZx6IJMm54jiLxVUrN1pi2X7Sly1z0Qo24MW8+ho arOntZiKNeg3g4E6UIut2hghncZRcNlHXxFlJ52TFe5thEiRmirhnpOWV3+7SAYXtu+5anAYWGGl yS7dzCejbtZLq6KryHBrncBYxr11FEOBnn/E4rN3fDtjQa2JMLicqsdWH1WpqilB3Y9uVZtKivYJ YA8gQ0zz7XR3f7+IZ2fnJMrh+9TELauTQDYOWj4Zle/fSg3k4j2YCt1lVGY5xKqy1L0A3Pd0up/d EeMjB3uX3UfVKEAyGQV1S4sqFY2kThExyXaf69NnIhR/XFAGBq+kO69kWu4sdbMMy6nAXwYH5hF0 ovrqOHdnyMZG8SQRI47FAe0IrAkgRhtQ9BLP7/qIqIKLwh1XBke/PaGNCznBUVvriXhUrBjwbNDR 8fuzVH+96MQERwlicdK4Q3sv1ez4az35Nyud8wH5rN3OqggjSySrPMf+Y5U1edN7OZS+lHRWRVjE FABinlFw0QTWLFxlkULvwRAcRyRdF14gefO3C7JQ+6obFhQctCaq6kG5Vb3U32KYFNNDZfvxpIul w5HAb7X/86iaiUroPio2dBfWLRr2vlW1wpmaT9oMWRsIWwEEYT8o3N3dqnUmHecPNXv5+0Wl/Unl reEjF8YYSRw8+z8+G0TaLghZp1CKIjlxPkLbGcwABSGQa2x1OyAd4w3w7k2OHPrO0La4JPUx9ul6 dshdwXh2gJam1jAK6RVhxuswMfzd/khCf3XA7cjpW1rYFV6FgfXMl8wPMJQ2HPvq0HqGrivMijEB ZH/g2NhVoNpGizeui9B7hi22rGR0PCbe6E0tQCRsW+xEV6MEcQCcJ4WCJiKs1+rvFx8XHX8CB4Xb a+jc7FNPRjKhr1EdURtuSRUa0CyWkOz1QDsqKLTgbzoCTbCy1qKwBcKKwIEGdz8vSoZQj8OA/XgJ x+hEAQ9Aa0wXXqfhAN4+EzJ14GfHhZqNE2ifYwhoGwlq21Q0PhqEWEcBC5gUIAN/GD3xjwsb4gux nPs6O30/H1SpC75wg4SAShKMVl4+KsRayf65mOtpY7dQ7wfrnW5Yv62ZcuxEQ5cBeJJqFDCgOMwa 5s45NKMh2eBHComM94+DYehHA9zQmm7vO4/CeHJwQKK7LddFCKsk56COM2qLDAhLoxQJO55GAkeh OuTPF+S++psF85DB3UYT6BQ+GuwgGC+2Ofnk34I/CCs4jcfOM3S5LnC0ct+6I+ywu2ta0HRIbp5x YxxZGMsi5chPjFShJAbYiF20lthTmJRiyqjOPn3hVPzdojgTNR0EiQdSiR1B4PjcmQ9GEoHLs1G6 b2TXCMyhIQ1AeuX0QF+5zNrFRph1P/MQakUq4iaDOR3ZAfSrMpkLtjVXbUUmf+zOPapokx3BHPrR sKicqEKZjgqlzWBloDX9pf4PWus4ZH0eouIlau6reIO877MlqldokGhqS8SQSipBige3j0hetfug ETyFKAKAHNgwFIIcKZb3bomwQQ7bNrACOKg0UVNyMtLkk5HIySt7BGzoWQshpLLSudFL2cs7PnUM VSYabax0d4Yi46xQEaNfjvHksBPCtn/KjKksJi7dW+TK4p7o8rxdcF8smA+gGXgMPAaEpXhAzlz4 ZMRdVsYBwMkPBbp9IqLgEoluPnqR6u9iIlg3RxCxGURwOcQG2DRYaMtHbYreKr4ngogCMSiB84Hk AT3kZOQQ1tfrBfFUItB4a+AhCa6iMGRr88moNvGW7GBp4lF2aEwDgEBBH6nQv2/T1hVkLUAJoeGE sgqvzdibxo09u4/Kxy3GBlqmwEYb8aUsshGycmfI6/VY/obMHIiMo7DAgTsj29mgnQGL08CfBmGl Tgfcb6gJevAQ2tNAeo9oh4a7AXqdRFzDbXn8R/r4CaUCfxqUgLkgxOJ9KDQFISZI13ApodIdXDnW T69v7ZIqBfzkiIcwBDnnoDPSDh3VLmfhkxGY7Mvasj6HZGxSAyY3ST/ogrJNIQtsqR0MKlBqGmJy DtMtauXN6b0uiyfBKUsATiQQspQ1ESiI0lj5TVLKoVUNEE0724rjwFVtM5EdK5AGH41U1+cLqOoE QPhsxBwdhD/u+5uJzsC09Na9QZ5Oxyv2q6EDDfT6NSrpQkOChhR2eSTottC3wn4cO5Ffrbxd/O3+ oPcjaeXAeLJO8qoY6vHJIP10mCU3xzr0zRz41SAYhCXo3M5OobAwQAaek/dGawquipDXzb53o0VQ c7EHOCG3TcTFgFLakIxLhsGBzQUqNEdbdfn7RQCSRlkdDSrlDnE/ssU3fPLvmJKQvkH1aJ+LKKhk QWDAp5j68xOeBIAHgHP4H35BtCU0FzG1W5IX1UcdFBMXJ6KrMSFr37R/7MXxd19MneyvF+0PUGv3 0OA5l8tr2PYbfDSoVn2pDqMoMr0LGprXDP6axyw+DSnDFMCJHq0g3x5RY7w5fmT5qPTTpnc2WACN tXoujCPAsqFiDdhbJ8bO/n5/0RM6FTn7Kf+kLQE1yNnz2SDMToerQkHJ0/MJHGwAREJRzA3enLPy 1RofJ/n828ImC1y4j2HHHEg1AGQbKAyTaZEh4gBF6PHGVdvfLSI1LrjqTA2qzgxXC4J4JR+N9ser Ngt923NShOVLAlukxN5JXpMyky+R442cQw+b5YZOvzTJUbxLqOujBDvonZbJf6gwLB4Pm28Wf7n4 Gh3Qex3HTtLOW6GWagFsJLSxGW4C6kiac4uGGEycEZ3Sw+CuIcQClmjZsm1wdRpoLemMiNviZG7R 5GrNo3Vn6XdPKIfbuWPhNWUN4TMBeS5w3c/GyNnfLzokmG8RR1PqsUhOLh9mqS6fDW7R8dXmwLrU XIRWNlitR+tgHY3+UU7a1Z2rkQMnGzeKhoWRjzotU7N7H1L/lntAZZKVCJpN4tcc86slHPjYJDYX YRClQVg7AcntYE+bT/6db7g2zPBAIjSymZLxOKawW9NQejuN8QPKzaGRzWKxpVFisk2Psis6HAvQ OosubZsIuUuK2dv9q8XfLUKWTeiRdA3eBy28/7LFq3wyuDAeGYQkJpcmIYotayPHznnfcsPEcq2H 991OCTtwsoH7HzkKa/kopoLlyoPwRZhWVM017KKpb3PQAdXfLWoG43RE0Uz5JrriMzvlIOnJSGf1 pWugbH5mIZSWRM02H5xhIXEHTfEt93CLA+aZhbhOycghbR91RoKE2QVLLwPFOZygOCmZijwE1+Jv FxXyQSYaTQOntwrIgQOt8Mm/FTa5fnn+nZMhFJjsRzhPguQISsn+htkpGuUDcjlV2yKWJlchovqo oozdt7uQ928fNhdlcoCiYb32IcGLypVDRxtqcmNTrLMzkNxLs9/+yif/ltYD4P0HCa/LrubQ1AYV JJgseIhNxicWYVdbuOOEV4gduNqgSsfKDBdHOuSFlRZK99CBACGTnsq0mi/pC0o1BDIMfW0gM0OJ Pg6MIGyGm6wxd6p89H5UrkcqBgUQbBCPsANvGxTqsMnncljy9qxreO2utuMUmwNzm+wa5Zu+Xse6 sG+L9nKbUGRG8TKxJEFZYHTu11cFu/v7xRoRG/B2DmqCsl1E/vPmk3+Tf9nCoFiLx1Ohww2ku3hU wrKAHCR0xBt65ECQDChDNZ0WUWuct6JUYTh6YGmX/UTyYclI7+4aizC9EXoqXg9VAXJgcyMN9LEA 817N65Z1dKkA9TL45N+QKi4K3ExuDPi5RBHV/pz/Tw7Pwy/Q7A7K8CdRgL2PJO8lirAPgCIvcJ0s v3Dkmbk25jbTPADcNnxIxUpAP4IgYtePQQngcwkj+G7khCXYJ2vkQWFbjDjD3fPnEkXwnYiDFSgb jQlQvDjh0RzgIJnul+x/gxS5jcPsOdF1MAeAG9m3ZxI1vJA0WoMqcSNwplYqb8AeDZ4rm/Ym34q8 lxiCnwxi2OBn8L/7kSyETspa8ucSQfAr0W8NM8BiOXE69C0PZgBSp3X4lVlpOYsOBjJPQO0sQahu qB6tgsEEUpJNLqo2VoX+G+1l7MoYqLhaQoRYQFFUe20sLuEDXwz3yr8mrBmgW56WqPF8LvGDpo79 bwaSsDTJvggu4YMWgaiE6yRUyYFUHklaeuAKQpfggR8AAkoGK8NHLYICXhuiaLsgutj02MoEO36p iVW+2X0OGK/QWkKjGpxjy4rCdtfnEjr4d9IqKE+RkuZS4TbAL63vTbgerdQdjF3ob0rHp2gTYNVU ahBxVPBU7A6o2OZjgzeWVfeb7DqjAHMOAnmfRQdBRdyE2yE7gNfmpGmdNXR4L+GCHmSTgfAH+LKi 8MMpuAQL/O/hV0ibW0zBOlCQdooNy8sul0CBUwADPVvhCL84cgrmQAMLs2ObIc3OUwLJIZD4+6ht liTzimAGsKAW8MRLSGlG55MTYJFe+VyCBD/etQKIqAT0i1//EiDo3ETclfi90QkW3V+GFTgGVu3e xbqEB5qATpA/CkN1PvY7FoJBVRGS1pb3LE4PK5vQIX7l2am+G+2BzMoEVchaO5qru09J6q3xuYQG foK05yQsFOHQHFwCAx2FcJtMug936r4LiksrMl6mzmZ0EGJvV9pPctQSQNF0oJY+oXpFc5MKwFlD Ka69uVTii92vAvKIE+t6HE+5qUujfq/8uUQF/lL1OQewBDJn4FJq0t2BuCQ7G0V+C2A1Zuf/dgll QBolOAnpsw5ygY+cg72L/WBAMdlBaOG3+J+QYwDIF45trg9TKKAVTAI8lRaW6ToKRLvISQIzMD6X OpMfTkszUDSA4kZecTAH7JNkF8Qp7G4BLVhPBQ7oF1Hfo9NQwQDnYJw5WIVmPpYqztknu1OCeQAS 2uhH96W8eykyaXqBd/xFTBqd2S3FJfPnUmDy26A/OwHFwKqNcGNe6EKrj0sHTgPuhY3ERyn2BATB iXvRJODArCQ810N4tsuvoVEBkSLQXOUgTM0MNCWAVXg0cpJeL9gPQCUBIc5BIMHs4jAbyLgoOlwr +zxQxIjys0IkBhORsBh6p2AaClhFnQnIT0BLbaFpUQUdjSZiKgDePupcrLgcQZqgsy9QRbKFY+Q/ 8ks8EIw2OhoJ2WHpliNnAlpqKrcRPBqdjb4r2JgodNAW1iraFXmddLESc6cUmi4ZEJ9MR2vyRr/Q DaNGA85xjgqSICRXJQhR5ySVUIHU+HnsbA7WI5gFqF/YNUvJzVeavFe1mwpg1fdAEUaKvi+GtHfR E2aVNzofcXS1IwTQiihZlveq3FbHg3+KQkWsbDx4RlXM7USwm9YWp+2NTK1ICz6hC6B6wvzu60fp AtWoWPTkqNSxTdaf7UjPnxv3QqfDeDMGIj2LHw/3cFHCqaX5TVlgsUGAx8iamMF6ijLoaBow8Y1Q G446IydyBlBPZrIEhAw1VmuyZz+vh8eNd6GjAVYwELzjwPPN5lSAQFhX3GgXvsDfIxJCar4fonAR IjeVhGTobGavLSHW4ZW5xsPzvkeMWU5fVbviQBBGWWPZprK7ACKLVAHJEFf7ERvpy0n+xrbQmn7F 15RkcyHIW8Tujfq5sS08BFbIrBLlwt2iSbgHjfijqN7o4iCluho1qfWUXi2eON3YFr6SmDON7KMq 8KkC70XaP661RISmXXiN5MKjgNCq3u0+DY2XS2/FR8ZMZdkBwdQBPlc3ssWbdrmbDRoy6L5yIqLI Ub60fZyu7QmgslxtSj1SMTeyhd+XWAdAEmpU4ATiRoJDu6VzK0/KJUFhkb8U+qxHizfr7e5TMSjN LcHOUg+YSPkt+hH9c2Nb+Kmyn0w6szPFebjHj4ALA8aqK2GkYyxf3DMX61ezEIWPQO3Z9y/TR+HV 7JS2hbyhMwloIJNtFjSpBfJU4Zve7D4HOAfHpqxGPgl6SjIuG2t9biQLfaWkJIK4jsa+s+Ygih9x N9bC5hNUBdFp+09wPqZUm2rLPBzDAJLHYgKOmKNChtGA/7K3mRYuTm69Cmcx8FnKY4nZc9HLRdNA QjwB6ueuBEOc1VNb5vtz41e8wcbJpqDioLJSFEJW2n0pXlCDCgY32yGzLK2yqhQFkAS3VMgealQ2 BfFhOODRv3FRuLSCNFKHWIpfeKcbscJTXbRx+DPkI3o9F5L1H1fBuBEr/LjKzxyg9QFIIf6oKHwk Bbt5dIC77Mf1tLzsXqfnEjdahVaTJ5XdR/1g9j9bAWiAJLBjsBcq2l4ospQnl6Dn4OdGqtDpPZlI bw3KKbPs6XCwzM+NVKGzNfs0ULeMuajmIYoeKW+Rm3gEFpJ5g46y+PSwKGce7tEjAnGWmMhy6l4Z t8w6dbi+gR6eIM18NP2h51NJUCxfC+IeQDrTBpfWbvO5gpr7Bqf6uTEqPHw8WwLV6Z/tldYwegTu k6VM9KOGz0JnS/Q/ZMJA3SBmuLEp/MJF2JRwtXHUyWCZRGYFwQLJpViENReBKX7J9t+oFJ5ZUmgI WDOOqjTaJ+ion+tzY1L8k1ziLH0Ohih4XHVK1gAKKAWINKJjkTVXZhJQU+csROVGhrqV/Q6ODgqF nBgAjHZKwPWQ6wVLeCloqG9ieSNSPFsCaLnuozaFpXDi16DcdqNS6O5aXmfA/8pJJW5ECk8lYKG5 3b5AqYRN3qgeQwObpS0RlRxBIUUq1XxUDN3atEAMJ6Qt/koJpor1T5uDt/PQ9G5BwJClNrl9ZF6Z thx+LDganxuV4g0JsRhw+qLUMFR7v1EpnqPBbq3kR+SRIE1TZbdGjg+ChhuTwo9IVpvWGTUPuQ07 OyEHDCzD7PKft9tzwyRivtjYpLcLik5DEKszsuxkQam8Pm1hfG5cCn2x04dhWw7LwYvwNzaFTwVS 6+796pG96lKbqrKTcDYuiXsUiTWIlIl7maNOSbs+5yyEwjY3d7HYB8cdVoR7AuIH+tzoFD4PG9/B B0EgBy1XsS30SsGC8LyK2uL0PFcMeeNTeBaCLz88l4BkrCoNeXuloTqb/Uan0MsmZte9+ygU0oAU AVQYp622DkMt/NsD6xlf90QYQ0qBlqQzao79EHecVXjKc35uZAo96m1JZtY0h9EkREFkJX27uU53 YmINvOoUHrQzA+cheQ8ikaAyfOxn9CCSyI0CJHAGDY9pRKN+AkEtB/VHsNuNSuGrgfrX5YyqUkBI g+dDz58bl0LB16hvdxItHHXmoigS3sFZgQMLGw6HLX46FOrRYDHcmBRef+M1wXLkYVLgjkF5E5gY iA9Wdi02+1I/6d0STa/2R3+SwYdGfoVdBntzwy7MG4/Cc73y5NlZft+ahbBLjcSJMGwG0b1/d6nR 3INcLFbDjUfhd8WkHmT1UdF02wWuDh1JEWQj09e/BZqfPVp/s2gS2N5cGlRuSfKqhhbd50ai0FdK b9AAvSNNQBQ/Noq6uZVDlUPo/8/YdyZH0iPJ/q+z0Nqgxf0vtuHugcziDIKzNs+w/b7uLCZRECFc bKj4Ka/YsF5QhzbsUrPKDLirxlOPTj0BtGKXNxKK6bWYJjXa9h4KN/KEFrT8woinfhL3PIWHyHvy 0b+PRu4F8XL5o6L4kX3o1B3mmUry3mT3KkNbLpJ1Y074yuNXzG78cvbPtJAf0nRA4EI+zVEdQHiS Z/EiooteLgiiabmb5hm9R5XkHFrtAz837oS+nD3e0AkeZJqHKIJEPezL6qo7+HG7QnVtrlB9I05o 4nk1cL23fIqwdq+s1XEjTDshu0AbmwLOzIhEm1Dx7caaeMqPG49yUPliNc8IJh/8O3qUbKmEBe2f 36NHsGjHNwY8H3P55kuBvFJuiKj8SIsqS5ubj0ose4JO+wBwxT5Mff1MUDh+3pcwVNbbBRX5hVaf Lel1PEg7rE1Uj7eY6UaX0DfriWU/gMfq90MUPYJNVBOsjFGGhf1wYQEahNJ/8K3F+uX9EFUgBxUq Kp0m65GNm4XEqo323BqNDqa2VEgfAcf4S6a56/WicgspzJRGaPtoj449dfTP8rmRJZ6Iy3PsSlxb U9x0Y0t4domOqBvBFTGS5WHE7vUxEr6RJd6zAcVyjnxTOyFtbu0noz9iaWqnqnlC04vbA3KbJ2LA Wvzc6BJvRTUJmLrPzrAtx2Y22vqfG13Coy4dDuT2ZWgAcRrC8BGAyzSkgVSS06ladieDjm4FhW9u dAltRSbZVAhS5/uHXMWKLLiRaZCWYG0kobLH8eibFL1bdDrgq0GloBwgA8DGPCBL658bV8KzKkUM XMUAZftNEYWPSK9LXo7oy6t4DzufHvb2/u2NK6FXZeehs23ZvW1puSnwjYAWTVvOu+pwBA8TJM+8 3kMy6e2C0jxUV5BH7JNMoLBLeE+ea3xuPAm9VH6DR3QsIVdHWFMUPoJVSC1REE8LMDoU86C4FwKI Rs5Z5ydE4SNDZAJXxsH1dNvXrQPRZW/UOnGgFVKdxEcASTO/OjU3roR2LHiXKN7tXpqf/JaJsKXd bZ4/N66Eb6fyXphMqzgNcRd7SluVUmm48bqti4ZSFMSqB1COi9MQxY9EoFQCwzlqGmzf1om4FJKK wPaD0AlFeeTLu7z12Kq3+yNyYJyQ0+szbuEYD8xq4cnnRpd4E16Ht+B01jyEYSQk4yR/BMopNUXp nimMx4QfBbHxN7KEny70rG3Zx4PtAKcclLZlQWOlZDEQrAiiyEs6tYattwvOSKpqDlq3DacwrtqF 9IBh3udGmPBIsj5tGgQwqrnc+BIes7JM4BzcxstioryliLKiGMDj4UaXeFOYio/QqHkYkAIjBdgW hJpZRNKg1IlO3zkeiPu88SW8DMJSZGk+qnOXkhjWwJh+bowJv3C9/MTD3ZYgFK04F2E5Eu2T6toV RS5pC/3B7L3s4kWXG13iza0aFpFGRX0TVSfybtfonbwwXkISEYS+y1a163PjSii5wtQtHK9rOC87 jSIPTTij3agSWknpTSwoA6L88kaU8CsWzeymILKSRUD3H7eYXmN5ef7Gk/ALivklC7PLG+92Y66S KLMGRaxJGKldIVtY/ZNl56RXixJMEkPKGVVqoEBTYvD/ubEkfJW/8L8KQWhNQoiAHCwRdqUVJS+v zLbmzezsCJcbQ8I3BWpKpJu1/BwOFnllyBxBC83Odxocixz6HyIeN3JEfeC1mfw4jkpXsuuu2xHx ubEj/ExJ70oA8yf50RBFkbgcNgOHJ7cir+U0srPnFTdqxFfzMmu9Ow8SKu22s0A5H3ZdjK78i6UV nArr67KMKpCb3keZVlDZt0PP20uQ9ofPjRlR/xPaURACagqiCNKyYUjM8xeWRB5pHp5vUvqdMxBi IGv11qzG545Aq64j/rf/zv2C8KNQcsExPqo1tDB+xFVLlb8zswXO7RLSzB0PBoETuohf8YJvhTB8 RMdL3gV2xEhGc8g+leUWasfyPIiqj1SSb1SBarmctmUHTKyTarvsdldzNFGS++tAULQQBY+LhICV z+gpVeMs2DoYeDRqW47nlgQ2uHrc1MPwEYSkLPTCdoGKvA6og2scdYZ+Dx5xLTNLUCXhZD0LYleV DiNlbtKm0XXNJBuLMvXlANRDhgyPg8p+fnX4UIO0jy792vBoxBCZXwdjZ6mP8xDFj1Q8adVPhTq9 8DSPBjX+C/dEj9rY5MgBAO0jv7UODPOCVKgth1Yoz1DpLgwX1foqVTS9XBBFY0H/csCpNN9j+a0O PPg3Q0DQBxbSNA1h+IgyqOIBbKO0JORSu/7Qaf7KaYiqkB42ESTdytOqgkhZok7jnCxDZwuf0KqS geaDcPG3i2oN4BRApSI/KhV257KL2ezWxZMByOfg5LO75Clk6iFfBqEb7MfoBAR3AGjLdsvdYHkD wndTVtWj8JF3WWVbrZ62mp0NllW2CaOA3dJUPQJ0QNAJ2gtrUDrRo/Cx0JCXTWmO/Gw4iaooXfho xBua33FT9XmIWDPkjzZG7f+wdcuxWiaAErcH4ZiJZ0QYPZI1Q3cVjl6LhMUykHxzNEjMY8EkwhIh XvIcESXp/YI2zUKeDTjEprQFfn3bxUwLup22eDK4L8ZbkAWTz6kzYfw4oYSM5gPKDVBqwB8asb50 nE5FJpo9ps9wY/AsbIdKaGm6TeoifQYmu5ipkmDRCGmG/WCexkh6u+DWZD5BI5F0SEQLBBKub4vJ 8Wi0M94uBZCjviCiaiStzvp03Fs/XTtav9FM9HTtehxCkiFDaHrzjsqsFMkkPLza9cMvh+g9LG9A Z572ZdHbBQclPSgIIuToR0SX2Lztw4lnI6SLCg6KziBHyIm4R5Go0Fcac/4MJlU8D+z3h6AuRFQH Eg5akPcojAQbDY3L5aMDhDtsAyHrC28TeqLUCc1iFkufUmTXuwXTgJ4jFKvXETqfM21dm/YT8GBE qFpvQx9ZvYpwPQJDogNbaOdIGbglMYJeprvR7+GePz1qZPt1wbbU8R+fae0N+zx4Y9Q6YLcAB3Wo mSGZXy9qvujtoviBPmus6w+/MGDJrh6cbb1PD6uRMz0VWTaUFE72MJzEPbBxmbGZ7QJodTNqGD+9 s2wPcl1UjaQo+3/AhCf0q3vD4ppgSC82cVF9aLQ5ekqyXe8W5VaUN6dscXdsrH0SLT8h+bXwaLQe 5unpUy2zaz2MKJqcNEJ0fE8+6XYpjpYuzHCwKUZUjJyqMaTho0qnACAkFWXtBqGnZnN3seeEpIrP iGJJlhMTpZLTLKfinYfqqva3eDTgkYzTsYHzG/VaOQlRKEnj1+n2PnklCUzXAxrutITFWhhxKVI8 kuyjTobe2p4Qx5rQuKc9Gm2gEA0AwvSYCDa9XVSCq2yLa+BvzyIGI8SU8WBQh9xvng3gqy7MEeIh EV10V12v9DhH/TH70bDXdJ7diAqRVFxuWa2KdDyRYdkwIOeHI20PBhVEKCC8feJp2uWNKI5kSzDL qrGfincqbkCeKh/9H7h5xU+OdxpRHNkGkTTLiw1Ovi2nw12YEHFDRHEkz4Wm4toRXZpknidUau26 mAmTCjQUt86bZqoYO8J2No7VTPMPjh6QZJUbkoXTI6xB1i/qNS1+nHobxZE0jVwqM+3hck7b56Ww NYlrYkQhJNuTdbOFeXIgQH3a2AXKIH1bNEnUPM4agPt6+/mlQTCiEiSYPBtNVg6suayU3Ys+88Fo KbSDA9QJ6azLEcaQCcJRx3H5cAf2SbbRyNNaiEJImgPVRTDgOi5HtoAtUwEaH6UHOwcZYCKfQBft XQtZrxZkVqifEqSTPXW1W2YL9WXTiAf/7k7QF68wZ9QkhGBIvE86Zfjk9ZaRu9q6iyBOzkIEhgQG C5Sq7KOO8gogA5S9YN42mWFWILFopfxMQ8fdhI+ODkc5gSQf+dF28qqvD3LVCMPHuZ8t0R4ayYiK kGwQenFhH3rRGt3rLn36hoiCR2ZTjUSopiAvI9zadlA2hM24GehTSP+oKW6V8MH4THxwEC2gH5rY z0+nnz/gwSgEYGp49H90JjAF9af7DREFjyOr2u8AwCksaCvetOk43sTHD4NH2juzI8lRhVibWuh4 YiVkqJwheATHALUNtM5/pRIjDB5tBW1cqRzUoMmsbgIIvyqeDDLL+rZwUWrwlRCHjvv0r/EHZpZI ScmnytQfUjd/hJVI5lKMmeoTM1Wgvib6Q3Mk3JrdlZSRoSwq584vZYKQTUPmbaLpZnoQ2GiNK4Yu eDTKsF8mCWJHlZ5mWIlEdgtZNNoNo6M9CWZAuA9J6U4VI4gThGI9CqHzGXVVFgsGqOo6oRargAGM ZdpqvuAOainPsI8NNQvkoRyUUa2x5KNaJx4MJqH1J5EAWEVzEIWOtPCETNFSpw4ppP3WrOqi7DIn nOg4CWEZMpNrTsj8OF/YBCBww953NvvSpHuG44f9HFKr8guan3/RaeyWY/WpPoSasmULg/oFno0O B60GRg5I44ZuyxkFkDgQyhhumzp4KtCpWZCPCRV5qmHOkFBTiP9ivWGcrqWlkbtNmJ5MRHysGFSE Tb0qwf7SKJhhIZJM7EawdHORN2rNTp33reLZaCLGQT0x0Xac9IxCSBqkNY8cM0uqmJDlFZfKfcN5 +LsUOWVROg8+GAfggFENNHPTEi0zE5qY3q5d16sF0yBkMB7leMotlcLSls8XPBupWHndiSkmVWg9 iJxREImyRqlDllZ0S2Afu7u70UBlgCflDGk1lT3cunzUSZlysZwSGOFpQY/UTcDVgZVbeRWUm94t piQPgM05CCFbKxcdgnU8GHRs2tu5A6BkeBN3hlEkyD5sxbNlywoDFMGXSpJrZlc/nFEnWzwS6n5x PEDhYUesnQfQiEVkzTolbiJo8r7Zpb9ccE7SNo9e8xy5IsDYIvC72RGMZ6MI6r0v1oGHzqiXTR2C wughH92/371sN6uZUSFSel6b+K+9Hry0ZXgLioMW2QIwS9g8qsw/XxyKpjf7o21V0j4jo+lWtC7s Fh149G/QExcDQFXFL4yQT7Oo9lJOM9srLyfVKvU4yM5Q0ofqfRS14agtUdfaqMtjObZK3/sKp+Kx vgJJIgFnVIQU2om25unYmjf7yC6PaVyacTf7K3LYpwo5o242Fu1whPhw4yZ465xudvYpiMJIukbx S9bIHBDgYBhRwL1gw1GXf2t3DjfML+O7GcWQvHChX8vBSywyYLBcE89FkIZXuSQ7y5EzEHJpOqUa lFfXUuViNZqr/kGYV0nVDAnZLCFQC6uVY9KXcKEVFM9RcYCy0am7EZfxqwo7Q0EfMbHrGbkOKqGF LKw2PBoFT/tX+dF3w4pCSM7TlIvysfSSJZfUrVyqYUXNbLp0VbbfOXru0+E0BTkcS9EXad0VcrzE hr76BFVvFiSW5BlQyFwiCvhkNIQZQdoPw6MBlWZqMXTcjohIks9CWH/kVe7hgU2JM6ymaxRUKnty HsIYUjo2I/noUOa0oEKFZqVNhNSP6F+A9fHbiGPFcj5D/nLrwN5gZs84uqyc8WBEzX8rTvYte7tu hZKPQObm5F18scw6rjIhHHrPXmVYcR9banfVR+WWqDYR3jHhjboJDqQYFkSDX+rA1rsF1ccN2YDs A7cDHBvGj2PeVhw5vrcD3e59IYRkGkKDu7QOi3A+46eX5RZejQUnSJ2FGEjpfDEWGK5DZWHzRFsC DDs7zeBFgWTCjhpkVXP/DphWWH2k4Ft/Rq0xi5mmCm8Fj0ZQn/XUnBALaRaiqJF20rBGlV40+2iJ argwSkTohDICW3UrZNNInEACiC6rb9HSSJ1FTLDIF5SjLA7ZNCBDePqkltXfLyi4gJUP8iQHXhJ1 5O00vZTxZBQtZJ8HJRLqS6wocAQopdTkCRWhvQifxbVDC5utW7soV0zHzl5b1KjvrKNThw0AZMdm 70tK1HB8AbLqCR27Xi9o5UOiAJ2Pfex/cFImGeba9Y4ngxb2emU71noaNCsKHZE66epWD1sNmkLN Pfawk2NBVxg6Ur6FptMcVYIsIOAC7Dmh6UP8WwFNBViz8jIHaMWyQjo2UR2Fgl+FOExi5snaAskI B0REqOneopGsgM2CSi4r5NNAS6NTJhp/QB2ABhw2nx0lF6S2TKhWCIXsShu/JTHRnbHlgW8FnrlT goko0yN5bc9EsPOCj467txNN/Hk6+T1LAR9X+cKD922x0ntVvDJXK2TToH88kpchhzeqeknN6wzH fWTFZUjSCRk/Do8fQdxEZgqTpNUtfCqs0dnxiIIW4DkuCImrCR8dSJ5RHnqW4iM+2lLVrFh46K2i tuUpSCMKsENJ0xCFkJi1Ii8F/QP+oRIyzBvTbi12sFcsCkmCGb/t+rRY58gLgMCfZWdC1iGJfg9Y t7B8eeQQt94uiBsIAmwk1x+taFSetsQn+mifFTaxd3VgB1jlDxV5h03szKhbwPB8WFWF+jsSDXdC 9o6FfaRxlX1UExtR+MSdPHGqSUcX2G+YEtNOS1kVvmV8dJRSsI9IPslweisE1dRvsUQNj0Zg8fFm FUDDaBruYSQKNGhjFy2IjHuNCwJtbHoMoJPF62LHjGyG06X5qGlAzanCUdL2td0XVAnd1PmhvdUJ opBq8e2CQkOlNV7SoNyyTHl37T3xYCT39WoG45LysvQOI0l4+knRpsHyUnqxVGHBskDTSZHkjiJJ QqbYi9KoSHKgXgh9KjsfwbVjqRKNKcBkx7Ma6DWxo1CyuuFR8lH9qimwC9BJePRvPo3jpb2dv0M+ DdtCR+bsJWan4o3s4w28w0Y2EtlGSyuOiiXtclgVeh0TcEvW5NHE/Gn1RTsNxtM7iiS3DLlb9pGL Ie2uQoPdyp8d9bFbetGQ7Oy7jPr+Cw/58LH3cUg+bAIo+vksxEwaNrLJNdse9k67gu3aRz5pp0VB lsH6JP8NpuGshaZXi9r58JeAd3Y7WfZYg/cl3DDwYATsyKePTfrDAxrfobDPxsKv3sjuHkhJVT0r 3dIshI3srrJa9dG3xGpgnlKqYNayi9djmPN5zEA60Q6FIXG2g67KgQd8n409O5sVPBcJ2WS/IjLZ yH4mhKKQ6CLKxrAf7OP8oSMh/stqB+Gzox72FLaF9IDscvrTDq5tewAhA1w/qRimMu2Q6+d4w6Yd VR957UtHMT+BU6cNOxZCz3g0yie8f0urS3Y0NA9h/AjohKfUYtbhJjsiBfno5e6wiS3hEhGNj0yd JVXb1isQPna750rCFQyXwU8Zv6kDO+piD3LCKhs01Rs0AxKxUlQfFY8GQfR4G/nsL6t/u8PqI1Yj 8UlS0RegQeA1Cor3Mw1x/MjweWQfdU8mGvA01N6aTQM1rXGwD0kaKWpa/mrBkbAJLkgavLLr1RZ7 QTwYocTXMwe4IbNnEjuKHlHykKkUeQNu4dfKdMwbsWychKiJzVpfK6v66AVIC5krHEwmsOE0lm3Y IK19nYs0C98hFdu22oYXDgduB/vKGPZACgYPRho2X1Xo8dispChuhM9FmexKupA0G9hwLkIXd4Jt JIvPFFKxpVUhXUiHvI1iwbFFlNAMhuhFlqUdfL/Rgmjrd34txdBrhF8oV1mxZRE2cAIQ0eC26C1P PhsBvk4MjXY0sB1HXj0U9IEIAWvfDA/sF+vIrVcDIRupKXJBaoSmMH7swgYPH5Vc2re47HhQoDOZ GEDdBRPtFIr2pSWdogByimbHTlU+nSpwYhmhtdEaHw5C6aZQGquQ+VzJPh33MBLxU4HwWRVAeAjw BqQ0Awbb7tNTbUoB34/nKarVGbVDpi2InSCGR+aZ12YyyQP5kUakhBU/O5gN7LtEXZy0XBUQeSv5 tPBA47NB/FDesiQ6Ht0L1DlFhUlK9jUn3wG/xPuzzNVOS/vox6bQj4YyujSq4yhciqVEllIB81LW 6hTLrBT6tzPsFcTrNKTBZ/9BRk07Lx+ZY9nZMx/1VDwbgV7Wk15gWT1auikKKRFNWtS1vCy3nZ1N RQoh4+YxIojKk5Qwrpu4wH26CxadoiYDklWfMPgRIwvfz2RXTREVhWv52VG1WgxfDaw/oNlBRudu mU/+zUUsPuRnKsIKJVgqhSafS3EVwPOjkXYDpERyOoHY3/e7lKIecuvZRwja5rhQ1MHmZHQXgAFW sEq+0eeChqP88AgFBHhgJjEzOzET5vWVgXxFL4v2X/eHXWeaNClA8460hyBb10OD0nDbKxGleiWi u49TJflU+yQKNBfbVZsKQDsd5r59JszbOk0EmpTTOlUc+peQqNz9UlSpFH4Y0goauTYASVSDN+nZ KMB4oYJQ7bB03+ciZG03tkeq5iI5qj7v4xpd6zFVT2GxcqtON33UmUHLEnTBZ4e/HW1jcbyy64GS qA6N1c77RdSjzffLHBPrthuS1qSi2QN8NmrsTAeJwVKOnX/9sCjmhKLDcAytKpZ0b3QYALlV2iYR gVtRtQ7IfULOZWfEqGAITpgiL+nCgOfUWD88dJNR/eUilibaY1hcySNvy/GHh44988lgi1RtEeCW Mx0wNQ0hdBLbVgrLIHFP1WaGWhs0OspnTYRFy60p6D5qIuxtR0YXFDY2fHfAzpGC/LwoEKai+OSo LEEUMdOx7iJAdhnph1CRPoU65PUIYyUmpOBb+v4I/Wxw65GADUDxMXqDCJLnYsOXRGBnYwc9/kmT aGj17WzLodv/pJaWLDwqCsAhJv3zAACkEJYDLxtsDUpboIKk3ih2xHDLCjvuG5+NDorx9PoA4+4+ DVHY2YT5a87j7u7vpiYXmt+nTpUDOxtXohJpn6NKNCkv1ILR9LT4OWubwizn50syrvi7Rc1vaGMR EuyKouD3sa9jt4meDOLN0p5iHaZxnmkIw02gJQDLZDWfaS+kl+tJSvtxucqhmQ17XI32MS09O6PY 7q5o1U3LCQcJzA09uv6lKiqtjxy62YCCZLtpahA8ak3m//ZXmU9GDa431Cz5dDxzYGYjF1mL/ZLf mx5b9cJLAw2ux8UldLPRdbFIU1zrWO/MAb9sS1kh+5J0vQJcWJqk5Z20m/3tYnEsuyIpsjocl2kX 6ZIGdfHXinqeX7BBqIy06XMRSkpiXY6jkAVJgkEVoN3Y/qMdptzlA0ubJNpPy7X7qCXRp6WkkBey JWG7+kHMZcnsnyS9+tvF3V/g16aPoqAc46+FQDP0tSnzCy6FzshJTgNrG/XcS+0u/fIok08Hjw36 0GldxIGmzACrjw42T3CZZdPSokmKwFR0bplxU63fsRHd3y+GyVhgTVOT5lUL+6YkgY7zls/+LaSX 1f6c5+KI1ckpT+hoqTaHVzXdI5fsEp+LsB3eVEpYPqrS1DKtk8nuxoaZlJhBNACaz3jv0e7vF4UT g3lY9ZHZmJ0XbhAK76vA5ear5VWLj3kfO7Qo0AQ3iRLlDWdGw1amTS78fSFRXuezTyKNclHcxzyj F/Q2TIIhbWOpB7n5tscnUMeTSqDnNi3V3y++RRYk4zlwNuyoyY5K1JPByuhfFCVIabreZg7MboSs 5FHB3jh7tOyNt9Mbz+WsjZjgLTpG89HDilELFHKoOtk2T9ee6Kf086UZdd4u0mCl8BdDbgq1YC7g kUFic6t6rUhysz0htxw3fCrCCifO+N2PZeaQnl4l7J3+HtMF5XJsesMaLimfHDUVa9txwzS9gQ6H dmIB8nYmnexnWczh7xepiGUn+GhkNpb2kaQthc8GcXcZT9Gi0uveD43Q+oY1n+wmUDn7oVGqi7OW /cQXgfsNIJHzv0GGsN9MGxXdmZA0SSsCM2BLZLwWF8PfLshMWc2qFBCuhMEjIbXIhRcCfKb4cHCb 7KMDMeEHtfy8CA1wQG2mwyPzUR7RtJku4ql0AKK0MEIHnMFJ6JTT6o+cFugpjfYOcEXi6Vtgrjwg vlofzRzqKPLDI+gE1ChK0qDYO3WVo/rUk0Et68sOiavL90jogYMmP74/CyhA9GHNdxEhRlKXJcYo FmkuwrCTzZDGGXm6Fhu9bHaNp52YG2VelENo4g1Igm+SwlYaPzwq4Mz/hpEUi8MEpu2z8eEIOeCa EKj7loKK2HGUDLvmBBs2T9DlldZPk6gc+je3SQjBZI7+W0eIimhpIWa0tM/OflrAyRsITN06Xh5T 9xcMGqZUHaSujY2qWiAGkGQbLGb4cJSm9i+EEebkHBthDDrq0fTOX+Le++hD1HHS1MAZR0KviD6X j9or1X627QS6RVmu+0SolH053Lbq7xb1jsfPhownB/XPR1Xvs83JJ4N9MlW+aT7kdtZF6MSNiFXF LMAI9mmgr9NAX8dpNO6gaxqyjw4xsvBmgzk6oeVIvDCzM8TODzy5p+Iv9wdGuyBOKlvhVtmLtXmg KTqfjEDaL8IINUWXIcyhMw4kwGp6yplVzpIjuU/7qq2eiQjlhDohI+OMDjIaFjZDQH9C6ZXOrRW/ AhqS4yn3snGYQ2eckSlHSdD+7A8GsYlubTc+n/1b5l3LGIA/zURI5IEOX3Fj7nr44Dud8k09+yIs bk5Kpszs46noWeCw0B0C5KzQVQvXNmElY3zvi7CV3v47yoLMtjQZK4/MKOIs4y1s2mQfs83QGKd3 6W8oL6OMF5XN26GE13bu0ljanKKUEqisp4+cLMiswLZMtEAE5CZTNvfveHP62wW3x4Ids/2yHPTB rancPXPhk9EJkX4eCZn0tJJDb5yUnnZ6h1dt0zwcYo/lF09MERN6yOFg12ft0y1ENlcAWZtt2ZU6 T6MZun75qXXj4NBnR6hUCliUM3JJIKPh6i5r89m/wdq879phhefQHge18YIOX8GSoD4SHKNgVASw 8kS8oMZp7I9Dih8NVDhyKsCSsusXfWSoNLLkSsJ0EfRs5W9H4pDXY6ENZCc0sMS7kzgM9pmbT0Zh 5ktiyDQK0jxEYSYSjYLCLhUJF74bzAMqg529sewCdDlwyEEvSNYww0ctiZ4gNFYoK2TrTiw4W9S9 vpVNGpXyk6OOKSsVVF9rh9Ri88rkQ2dEaJMzPN7mAV/fBREb5VDYXKmoZUqdLfVOBRvk51ApUJMw dMphGbFS1pCj6hXJ3niCGwBl70raoA7ehFOHignKPhRwh3Y5U2UbGpc3j+btE5NKDhbTDj4c6ans n8PpgGhpc5p8ji1zmmSSvH7j/vVl1e3N9Haa6aFnzpBULxGq7dyhrcFMLLEbZL/z2KxXgDdfgaR+ qjfF3y6IqvBYTqRlJN97UALdOjXT5LPRFpnPUZHXcV3MsWkONH5kJQd5B6qxwejoweehZaWVEcIz N8XvibSo87BhgUYeKLpPu0W6dKOA7MfSBXHrUXE97xdBTpaMCMZhXdvikwdwtmiFT0aMp/5EE/iW TuE7MM9R0lcB8W1UZ8Q3RodegORhGgM8TtWiCFniW4zX4qPDTSxX2HCDmNAdyoTuoVhGR6Lx1jez v150gSCW5EmVT/QKKVeS+/Hi45MDBx1djqeMhZLj9Gg7dNAZgww1gUuyXPbQDvD0o9JIU5MRtdEb K7l7Nx893K4VdVLgBHtLhT628DqRWtV6EJsp+/tFClSJ3dviI+PMvcWnQZ+Rz0Y6+A6y4KJrB8ed Qw8dClunE2GyWc+C1vROWT0+tfkPG51zV35LjDSQPnDiT7uuRxe9AQKLdqOMN8Ta/nbBFsn4FWDa MdPBV2DrqVO42ycHJjqyuH1gzLkei60c2uiAbifDNcgnOBipOJ4gt6eCFbvosDXE7K/OefAEtiha Q2xpIUpaNFcRJAlSQ1+CM7P428VXKurEGoSMXWKJ2jxXPhlEWNmbhc6armd/xKVN1Pu3LFMqVmAl rgBBgMUYG4mqhxZhtCnyE7sh4/jVLsAJ7FCe8J62QJmiruDHDbvnUfo4+yNnf7/otEBRU46MRxoT 5DgHmFkgx4eDC9VlXQnV6z/H5j7000HDQlhmyNQ4tH3V+fN4TCkhDex0lMMgBas++lHBKg3KuuDU N+XtyCwJpBpfilQ5MNN5Tk2CgvLRUbYATheiJT589u/d4WywZxrCqiZDAjckzdXJkmW26n30ekoU oaHOoj0njluN6pBlcGah5m7hZiF/HH8r/eEnwCr+bgEkDZI5YBtwUB89iwFl62PzyeDqeMRWYP1V PNQMzXQqdKfzwRDkruwL4gXeRU/zTEJI/hHQhpX/w9AZFmDaDQn6tS0MCDLx39BlkbPQv/Ly0Eun 4pQsdERzNekGgVD9LklPBr0Pl1VYSj1OFyjw0gHdIx+XWigxNWWjXf1T6Acd/5gcm+kMkcCSj9oU HT73rcvqfrcqV8ZFm9ZXY0MRZuClg5W9/stTqCNa43Fnxyyf/ZtBLTwBld59LkIhy048RXM2+Squ zAVvWHbWB0QBqhZFTALaXo/SqNYHkM0NlWigxpocLBtwgpCLeVnUZITl0FGHWDIId/io3LwVIpDQ nOazUVPM6VBYGwDUO5M6h7Y6dDIGwl3gVarNQB+vuAIJImOF3IGvzsG8Lzl9n+Yx/MsshIAaUYIg NgVoBpi6BED5xTGEUAyddXDMbgBi90HF2rE5Szp5Oh8N6nfpkKIQtSGcpv40f1wYZwJuR1WcfzT8 ViZWU0/eSc8HyRv462QPreiCytERFnZKDAB17bRfadJ8C23ohYom8o9T4e7+fpHIZ6IYbfZRuOYq L1vIo/DZCGPRTvMjEcL63CBhRROyYUD5I4YoQMkjBekQUhm0+u5LFgo5MNpRwQ3a6N3H0yKE8XlG 49wmY1AHlI7Z/VWD7vu8XFTst9nDt8qB62FajsugwN6ZT/4NxeKi4x01FWh+rhFFzm4sV44u0VYL mfhjph+1uSj2NaLIbuzb2zPyIgXm35YISqq929lA/Z4FjURgoxHRqQOETYOPvsxDBsmewNGcfOTh mYa0PnbPn2s8kY9820Zk/yPk6w8j+2AOcCBIDIuF3aEUrBXnkXZek1wMwRwwMYejg48KJgBBkxzL JA+oA3MPLCHOy/pbAPgaTWRFVRNqEBx0umSxfOxby59rLJHda0Dlux/ZuXEGrrFEzoyQm0rb60da zSxfDsl82k98TN+jZYA01r7r4aNwiRvd95ZoWz8XTh9bJCiiKZRorxvdNZDIzhgs5H6Uw/2ALd2g PrhluO1zjSTygXFrDpAMgRdqP+kaSHAnpAMWYCI+HX9Vjzz8USa6hhEZsANMAUMEjoqABx07oZtn gXolXw30yR9F30eYiKSPaxCRXda7MEjmqLmdLgOdd/lcQ4jsyv8+BShfah9cwwfsA1pT7NMQPmdB adoHMgii7VSwCAD0gYrx9lGBVMNpClQO3EsLIiao2NkJSb/3ZyNQnOJzjRxwFnAV/5YWgG70ZPGn 9bI+18CBj+o0WM70KFoH16gho4Q7Tg0Cs3DwmW9bPPssXGOGjJgP3zErmxy1DmA/nSqgXtWC50QI IsRHUITdD0YgyTsjmAQolDHN9lwblRjoFGAudi6fa7yQ3dHRl0FGy4oTcI0UcHoSEsj1v1A6S6xh Ey+P+GmPY2V8jROyK6P951lgL1rY3/lZrRIfgEWSROpuB52roOkaI2TX2aJNpkbCiOzF3CBgjc81 QsgnQvCNYIEnql6Yg2t8gAOx168e+HaVKhIKyRisrtZ2DQ5wHCLYVDG2HxF/O2CKndnwt8dJkKhe 3FHRgJQNiD7f5t7X4ICrC5kYlSk4qgyVYHX3w2Z4/lyjg/NSPglgcRROwbUChSuEEo/Tj0HaXgKG 2rwP3MeBh1wrUNnhG51d1l7cMdDyIbuaCqKKnVLZQ3qmUAdA2RbWcS49UyjQFUzCYrDIplo6DHXc UUlA0m0r4VqAykeGCD8VxwGBYpyGa/0JKwdQhFb9LFStwbbQGu4ig3xbdPooOBhExSLH5KhpyGMC XWf7eVi0xG+GrGxkXAiXfkn9XmtPmGGUfBNFxDkqIJ9ijOZR8+daeMpujI5ZwCHGmpAOxTuDg5cb SntbbaxaukIFC3AS52MtCv2SVhXNA9B/YLr5yHmAom2ulFu25HWTlVcHJXCRnJWXP9ySXi/YFYNu tbT7Wg5E2hZ/Ks/etXzuJI4sly5MhQQ5qJmnqYjCRezYsvFdkbwB22lMBerAC81ORJ9qX4TxIvZw 2+2MvjPaGHTzXgyaxS8Gct7OayQSJ15S6eUeMeKMlOX99lEzkUVmKIO40yhoJCNL5wMdpD1sjqJG kkO335Q2EcP7/+5POFfpByATTAR0OuyAHN1HBc72knmBK2mrdLIu6dfp/HlshQ4wJJgFSn8V+j+W dOjItt9kNVmAy76HjQeIvFWMnDDjU1cgOiZRzBjd9UZGWc73ckOdlocnUHcSB96VW2LjnuWozHfY WlyIyGw6LavGfYSKFL+YI49/AABR8pApWkQV9eztzQZJcKUPE0296Hwgamyruwm1aegUMGkNggbA ncusqj0WtJ24FmoWUH+qY8GEOpoGZBADNowaFeUO9ikSkpEMaSqsBQCdmQ1DPOO5MO/sDZ4NFnPA uIEDnwPyn5MA14w7dQNbKWslZBdrW4oZ7sQNz6IIFCdHgcbKMLmXee8Acqr4GXkPHVXfz50N1f54 J1rQaHlUYdMGPXvuB5okKR38moJ74Ih9xiwKa6ec4xF4fJ4Ptgk/d8IGomFPJAvpuVCLBLiP03CP H/3eZ2GUrSrPJ2pKyytNR27jTtnIrkPUWTzh6JgYyyk6AIOWSbZMpYmOw6GRqvigr6ve7X4u4BhE 7Lh91E6w45EXc5tAxUQxpOqxmwGrRgAwORVRGCmz3L1PTql+XqnHznnN06iIAkkyFP4rkASVadI2 1n75RlA4nbsaa3MPwJb0js+drIHVhqA4owWmkeEDGscExpAFGoWS3S9NXhZgamse7rEkBCZIi+E0 LHc1l3IWZoGOoZyFKJQcugPS8FELAoqeLXtiuUiO7o0C0Pkttknp9U7TyCLwTAgecXBsidTQy1jr c+doIGzYy68Jsk6RIGoOokASVxKlgqhURw0kmrWi0P6P/LKHrxKGkqAOdKgyatRiaKustMARyaBG L04DbGpBZ3pMOiWIfmdonICwFiLby0N4WILi2x22P3eCBiOON2wo8MhRqSmKJCtVdavDimU/htzK pZoK6kEqNYWRJD6hk0zLUZc7xE077q0FeRs2MXuC9PgQ6/FLAfrOzcA6bTRzZPDQ+gEELZnRUVzj zszIrtOOWeDGQHtCYeSdmoFp28wIFCvJSpZCr9OhUfMshzszgzcmkb75jIqemqXuO/OYHM2SC1Sp EZKikf2a+G7cAp87LwNnOE7gRLFujp5gdTVtqi2+z52ZgTM2+bVJ9jGLt5qJKI4EcLeUsv1wbF6G 5HFH9lLxisudl8Erh+XnekZtjA3BY3ZGgDJuNKWCGx36LZV8x/K1Ju6hpMNjE7vAHIW6ggbcD2s4 9XMnZXAWT90FbacTSt4JGdgZ0GjaW3RoGAmqlUllqH8466u3aO58DPxANnn4xhxVebEv0I60wrLD 2lzdfbiAX3qUf1l+u3MxmGp2CjslH7XnLPnVlzrX587EyMdTRrPAgpdmIYok4TNWWB4iUpAIWshi 4fhEJImOkGYhLEMCQdfJO+nj8eNb6EmgnDfhpki0SEceS9jZGz4kvVvUkQDYAy1njSq+YHmp+JLL 507EyEIPnbwCXHtfDFEwWe3MIaOKm2IsxZA9O4nNjrtzTEZ1yHM8TB8VUFvixIgSZnp9UvK2A3RP a7u3KdH0bkHgIM2EPHzkMWlXGwFy0E763FkYXKE6JhGTdELTNQ1RMDnlV+pV+encztq3RBTaTo55 uXMwsqy70JapPnoqOEalFol9db1STqmBiYHzsrcvx4CktwvqUIPGESSxrHzWQ5tV4B+b38+dhJGd mucBBH7q6j4VUTBJ23cpGgJ1TizYhsd54x8QBfiKuAeTwKZgY7BJyJFTsfawEBIsaZSlF+tjHRDu LfUI5/0OvVo0D7aaIdvCQUE1ompVX/RKwXrISjR5yZGNq0TzzsJgeX4DD+b1ODFcwcpxn6VFRwrO wj2YhPDgptVJ8ZGrt0wo3oK0ZslFoREM/jb//AK9LL1ZEEJRTYUI9nwQ7HRqERd8zs+dgsF0e50r 0906fS1EwSRqQUU2Gk3QBhZnKSuLqmR7Dsl7LGnbAjJnnSUajloL8Kfc5G9bHDFptNghywVyB4Av Bx9IUNydgsHlwAo95bS3i223xMIYS435c2dgZCclnsYlAOnq2UXBZGP7uh707JC2Tum7OBKs+Sl5 519kF1Lsg7/pcEtJuyyT5Q2A5q4K8eLKzh4cZ7+URDpL1Hf2BVsOna6My0fm3KXItnLYhXlnX/CV tCcYbFATx0uSd/5FFni7pOlUeFI+sRxOE7MTdclpCEuSbF7PvHzUZZHsqrSTEroyyzJ69njP3yL9 ZPt26c2iWYDtRu0aks6DxN4gpO4+d+YFfqWnGEkH260JiEJIqAq35Dp1TeQkO9v1X6geOP2euPMu shs02omdfFR9Ou1lWT64WRZ4EASJGWg/RAz9spW5Uy6y6zxUyl3V7BWoAdStKlCTj0an49u4Y+VC kfSdboFNjdswb8kJuTgbYNPuO6YtCcTTnW1xStNddahxcgq75krqi9RLwCJZjaibKj7kBx38dNHL xXU426Jp+KicYi5KFKMm1z53tkWWVs7bv4PVO+chiiE3HSq2m2wRBkTPyuKC4OTYcB6iaiTBmMh/ ffRUG3B8UC8WlCjpIwL5wh+RaZ9IOuvdonyCpBPCZZODI6E20fWlTj56Xw7DDwZmHvh0zcI9hARb He2Q4Rmmjgd8cPfVwESNeyKqR8LmItMNK7snFuuRewPcAvOIUuaiKNdmKXbw5vrVx7zTLLKM/tay aebAyxIvyxvPTo3PnWKBLze/VwRxkdUviSiGBGS34qYouBKwWws1hapyjA17VYIi7wyLpwqX9/LR 608jLXLVbDLSFm/TFa6hi/AlB931enEJKpMPo1EB6tyEvIIY+7kTLE78ab88por+Z6pH3vkVrL2M VyE+0zIX0EjIb6ajhKt7IqxH4qJkam4jgaiwebfIeQxU2uxQs68HOEH4h/2MzCrMfGYCALHPnWDx AF2I2OWofqbNsrRN2/rcCRZZfInnpMynIHmnV+C+6FQE0D1ZZNsK8eAmpkXHTiNx886vyAdPuRVR OzYLIIdq+6wwWYd5ka4UgVUPyMNLcXdyxdPLpNoAR3bw6q5C49t39LmzK1jnH29bt+ItNA1RGMm4 oR3TUv32aG7X7mFk8orDnVuRXYajw7hJo3Jti5jaAkEBlEXGZ9LNhi8mkq5fNYc7tYK9h01HuuWj 6lvu14ik8HMnVuC1HPFCOVPIf3dFUHdmBX4WGazAkqO/jepAJyvPbpqB2suAOQ5ujDu14pTEe2dC 3Y/DTIU9+0SOvGxllM3WCCrV2DvEOs+v/s2dXJEFp7c5GHToG64ZUy2XZi2p5zo/d3JFPrad6BYV d/zmRITdbWg9Q4lgYWdA3wvqKA0rZcNbBPIVCzvjTq3I7uhLg3SNmogMMxIowyx8l6S/NjSXFp0t ylugrXq7P4pxGah8jUq4dxry/qz4gqKIUqp06mmC/6J5CCNKrIW1tCMKHSJheD6ZcII0RCQ9fl5U lKROWy8sTT6cfHSuJvxs7dKwmHSrDAcv7YblVt+Y0t8uOCnJx6AqZj7edzuvvrQ12vjcCRaMKV8o VIf+subhHlIiesVv4V6dIoYBN1769v529UzzTq94MqzMVn920Y4J1eOdsU1tN0BWWSLRMLxD1oxg ar3o0Du/IrsDfIHjlEauh2LBlKQOVy6fO78iH8dCFWDQQuuOd7jTK7Jg92UW1/QdjpDrx3tpwhaC 8dSdW5Fdl68DYKdROcZAkxO9xAmi4mClypLwH+I7a1+voOudWME0C1krZFHSkUUpi86Ssm28syqy U6B9U1As2ecgKktiA1YuTvR0qS+B8guVvACVI0+bGNEwpiRUfDKmnOOUX5AZT0jDL1hAYnUhzwLF /Cvhzkmv9hdUmJl8OZm8pYISeEHU87kTKrjI3z1h63n4GRkiJB29qfSiubVtIRwPRcl02rp3KsWT Zi1WHZa/qx0L4PnDpgcNXtQn+bdVJ9a3DMidRcHwrLH01n3U2Zu6cC92r3/uJIpzpJztAK+FpLD6 zqFg348o4eVJluutldJcKIgJNWchDCbZ5984WzlqO9iBlio0twF3GDTHhOmK5JL8VNB1GZUjaX2a yQHOhwNsQZoqEJYytM+dQcFfKj2BQwGYSXMQxZG0E5iKntfMXqU+MFHyzjkDMUayEg9+RscKTwtH IEy88kopc7VlmDIqUxb4R1WHFkaRwMxs0Ae2J5lwRpX4R88dDwah05hf6dVBibYwhETyNF16UExj WpB15ywnav/xRIhKkSSX98WLZh1VHIuc7PsCHhjzgViBJwLJtl9HgsKFsKtNt2FGT7Wm55NHcuT8 wKMR8OVNKIAexqwTNh5FkJ0uAsW3Q3IaZklHPky5lZ1C/R5BHsDsmGf0RNBizwnG67K1vLEERCOh PNtKP788h3rIpWEdkqxXjjwc506eGtSGRwPs9P7ikiArdwBQD6uRib2W4cfjAc7vppmoJGZgW/So s81QzW6H6iPviGYLYtJIZs1l87qFiUF/aJBQc4Quml4uCKXZyEX7V6Nq0/ZurE3vOvBocFeWA4oD JOKFfPQwhkTDpBSFjkw3M4hFFEWhLMx0NmaPipIHNLt89HsiW1q1AGvrkHigqhuodlzu+00qyDPr UQw5yT20tcDhtDITW2Rtzo0nA6zDfIBxolR41NBDbg2+DvIKkFRQ+BroQBQKGpo2mSDazk8IkgqR q/bwUek2uFANJtJrbdzw9GeCgFQ+djtfSUWPgkhKwJdCdFw5EYnd4VuHZeGjwWGZ63tpgjqleYgY Nui6F2ZGoOXmRbkLwIFIE0M3j028hHi6h+1twaAYRPYTRFp6uZPdktAdt0+bXBOo2A6sh/acEiXp /eI+Xqb5TRYDnBOAj6Pioh26eDa6N14YEOjGvjfiQJJ12EyHoTJoqlMRTE95DmGRUNehxzwbHACM +npxD4NZwY/LuJIXJOblEQ4s9QbPYj9wKJBY+NnB7Ym8Ihc6shYHYtq3JQpwyWXj0WBzrPw0LgAt Vam6h8VJ/BaUmP3Hk8yle4dzTxrwIMyvehxL4nSojCSqg9gWDJNTA4garvC1SYgQ/q4dLuvlt1FE j2qTckcgGoOj8ivbJtyCdj9PPBuhXw6wXqVqp13dw0nJbxZ4u6HeULAhGlX1wMBHwo2yAo3QexRO brWuGVQesjaodwvFJ3SD7JAgjqcD0iQL4tPQFO8qKkxW6uKhBNLGsVxaQ4zcsSYeDHJML75Ugsox Vgd+9AgrCSegspviqEKXnX+U3Hn8G11CrEcNbsEESXni6KXJbuH2BuNk2ixT8byhLAEbDmgYPsD6 oreLEDAUAspnZOEhQecDv04bDY9G6+Gt21ORRZFlj4uT6DuTewtYGClXDRJl7FrAeSnJ9LlHxclF 7AvduDhqIujyiwxidch+NU4T1KgI+3lqtF3vFuRZmOJC+RaOmoZMB14kAAuPRmS8r/g6AchOMl4U Vs6qvqFSi5qdpSxjHWqdeJY1osLkZP2FLjL9uMhMuzDtbKTrFQqJk6HnZjvhgc4OqgCNKKRUtZ7W XeogMHuzENUZ+wWPBiHlyO/RUB8O1ogiSijquY0lqdrCSlrMtpyLlr2TNcKiJAv1jDw5Oo567UVX xFUhBM2kHCsciGToyzzmhU1vF9MS0QrToEybkvyJVAY8GFQk97sj5gMJGyFOElii7d7fjW5UKE3L 75hN7uXYlxHVJOmo0tlN5ejYF8ibAPVr8eQsxHp0aqoiyD05d6dL34iiScLILXrpPgomuafHQpWP RhXqr4beOizlETe5seJ8/efi4oKl5kPUbmcxRMEkKxODRB+OCvjsG9uUMFgt27pgbUbAsPwmnKrL jrjHzXOhn/Fp7urWs6B6hOXIepq7lHKiqCN/VhRMdtrIeusup+kqSCS5sMk93WlrRJEkU/2uROpY wa8ErbhG5lFvQ0K0HWUR/Kk/FpZDeyIqSPJwQJPcR5VgalNHrmc++nfDQhNFkRPOQxhJkgxajuPz 8PWQDuiBdy/XQxRJungBaRSEDjGSbHlslKnBN7HUgo0uLFWEx1/g4ax3C3bFJlm7a2Cuaaesiu1Q Hh0RTvKIF5BeAGxy9tUQAiWRBDdnnVWicmDQuN0pZQNBqlmIgJIQIcyiWI1H1m0DGViAmF4VWg5Z TQAWE6Wp6C5bUy8XtStIu9nLR11BpUjAHCSsEUaRqz0T0UiT5jRERclG243qhwMMTbwKM08VJvmm iKJIESgmw4KpHjyDT9DXO6owdqhRFrbDeUx4eJcGw2fig6OYAcUXGkeWdBz+LBLznCI1PPo/6LqY hHqqkiMKIXEdlnI4y7JmtHXbnarZkbWKwR+HkIQ6UHg5z4dw02x6G2FA8DDHPm24KkG2QPP45BQM IUcYQqKiCz1bH5VT2H3GPMt+QsWzf/d1q4qTnlOMMISEBzez/3/7EfBH5SyxJDMk2d35CX/UJhsT dY6OCpvQikNXdwDVSOUwGF/a5v5ZFOOdb91hhNVJNvESXVGSu6LYgeHymG0UPBol26o7LA8iBZqd YW0STTDgoYYUVxe5yyMzx0ZGYKcE/Y5nLPNDCCSzp3HwgXZdWs61QKWHyF1ny7fORbrol5okBZpn 2NymlkGiYWfyStTKdvAUVeQmHg2moeuU5NeHepg2xoziSCDUCxi7C+vBbjm0slHsKqrDLAqkciJC 0s0mFY1d3f50dXsCQoNE094ZL+JmaD/cRyRh5RdXP/9k3WTW/HJfR+yGfVKF1APPRkfEi5BDT88P yhmSt9G3FYgcaWaWM0yffnHMBSAXJS5i0g21bPIZvc9vUWgtAIdtVAxw2sEP66fX/SJgJGsw/6pM Wk6Az+eo2uTY2X06W8Wz/1vyBgvTV0QUTNL3ZjitICurGmhvH/o2ra/tvphxZZI3RTqjdkZKo5SV qWGWV+KiQaOeaf/Ty+t6tWAWmgDEZ/Tedm4EjlY7ZfFspICVvmYBUuSudxIFkxWdA4rpYDlM4LZQ dWDtC/0cXPyEO8yYdcMt8ZvLn6dlGRS4m6MDQc2S3VL4UF5F5qZ3C7YFEjTEtxy0zPZWmmQXAh4M ejjt7fADYzLOORmFkoh6aycuENWaqvtisktnF+cGbkObImZwM6RWmPQ472X4A8GgEc2cJbYarmXA 9Ht+08yllwvOSfa30yo+Kr+ESreaOWPg2SCK+loP6BFoGqL+9ijf/e0TNhQWD9jfPq2sGdUkF5Wg mBP2Qy2etn1hQYVGBdJj6R5w2XBBn+Ch6dWiI7K4qLdG/eqlCkxtuw6P/p1XEP9CIdvmyyFk3NBj ZTxw6uww4n7o2939WmeoA8Sv2kPK4+6AebDoF4R+KK2OqcXCa+zLk7Lo1QKwAyLQzPI2R86D7Yms VYFLM6TcfGOp9+lZzKjFjdr/mpqD5agfyW4xucpu/jKjUFKLiRFUfyIocE4W+tyoGEGKmf2+Se3f r/Ox6cUCeCA6gXaba+DvbYkcAfZz8oUioINnFNgSKoj4fgjZNshOKJsB0MtMKj/Nlh7vrOkKgTOk bgvwwYB6nYAaHd0FyXrCdho7xF3ByJeDscLpGYoA0UuF60ECPVgJo0iLMcP2fYZRpBdedLX9eEF2 RVEkkpYD9MmpPnDy7qnVcNTLihrcQ5JgxAcSScbYaaPwsnjGA6myT+ZR9xcjEZuEnxzRUxlnoXLF kaF0s8uSMWRdfPRvKQMvwhXvZa6wFolrmW0T8bYdPLvd1rkSo8BpCLvbFHMhy4ejF07tSxu4Ceyc sCyZ8TZks7DD5m+qyYorkUTgj+ojp2FYbsFrf+WMR/+H2AnmfJ/23QoVI9ErKck5+1Kfbj9EdEp9 +pmFqLU9Evv3RA67zADOQ6i7FOgM2y6ZSa0txFb7yySMbt345KjoAtwJRdBTdkGdBjzcA4db/yt6 JEuIh5LiphUWI0FgEvmQ8vTj0BFVjVsATEglLYRHEiTeyFlu9bRzK6R04RW/LLmmA25GTx7eQj9z /w6cVlyNROzIu5+j8PR16rexGwaPRpnVC/rYz8kQKkcCZFCmd7PLaWJDjbwr1UQoPHBPrJhxg3ui ESbaDkzUNgC0fwE0sO9yUZ+iYc0g8wMg4kG/LL3fH6UXdC195GVRdxN+GrpxePZv2ZcGTNiTUKwo hKT4SB8SAXJhcsC9ST3qUANKSihWyNsm5iNTQTD3h3QDr3ucZyDxL6ACIVYuTyNAKt4gsuv1/ujw J3J2OCpskh0dBZUGno06218NPGaqmooojORLzq57Ik9StgEfSg4dpk0g90YYRhaJmQwftTcgyEuX ehhYJEbpDXoq8P8pL7mANi8rpG6TVtBI1+HNxezKAhHWJDtPiYh207+UZUsDbZnzELJuANLb9r6d quz4nnBEovFKd6yMk4xLIgZKUvGEKjjtCMY1wGRbJ7cg9U0jCip9oELXnnmgjAY+Org57QhAOKqB Zfrm5HDwHfFgcFTuL7wHSB+ahJBxg5qeNKBoq+i2R9JXBRr+uN2vsCYplORvMhq4uUDq2DQOdCtQ gm3o2uDr7/WRk0QOgo+OwJKZSVXzUQUHaLxzmw+9VtTLVN+my7xi+TyEJUm8RGUUhZIkMykGbOrz DwSVLEmuvwUlKUXBUZvCLj2LHxFFLQA0MlMc4KsWRbNfHUV/u6htgxtjpjNqIvKSrZCda+2zwsa2 Q8mhMPtDSyKVoHYUT44uPTKdD8XPhzKzEPaVzs+U1oyqklTm7nQs4OjnAxYAIJJ2LaW0WaYCFp5l ufUkWDiR8dF/kY/WOqM6/Gvoi7Wth0eD4kvROcm9AecLTcM9oARZFW/ganG6rf8xYJn8LxYRewlq h+RtiouyH8jRV4R9aM8sUhdeojwm6ZP1Q19lBVMs/eGzg6oDPOHhwcZB54MLz1oeO/FgcFukc28i iHmSrB2CJW0rtHNAeHe7g8Ld+Yec9nTduB2jJSWNN3wUWtLumQpJWOQXdtZSdgmHKAxH2bSor3XF jmLKSpJmmcVHYYCSggmEPnj0fxBuuhhY2Vt5O6TckCE86gHWJy87rFN22F522HGDWxio4qMWBM6D zqwZ7RaC0zsgMq29QCiub3xwxGWvdN7pPqqjORQ9ILb67Ki/3fJXR5OkLxfeDcGSnKsDI05re66B /BTTQFwPpyGm23AlsPQwvfSwGlKBBVHzteBCvAQII//oJ7/Loend/iBxp13OyH1hS2hJAacNPBoJ G7wNTYoIekS5Q0FylBTTqbyk7X3+7E7VpS7v8++wvy1BSYkXHH8COxo2fIgnDeIoz4Dig17sxA5E B+6oIgnkyYJJAAfnGHTGg7tVPPe3tgMPyPIckKGgJOWJKBRHXU03YlZr22JQajbwngg1gFh/F75n z9PaBpwzwTpyIXxc1Bal0wCba8f/i+HTjuqR6FJBOeaMXAjIWQgntyMGj0aJhUeRELigTprKkTuM I6mBXw/QIflCSEdH8VEl32FvW4APyQi6FtKqG9oLOBFRkRPfttNKyE758ZtfsKPmNjuD9ErXyFSz dFlr5TEqHv1/FGDmowC0w4IkpA+Kk+5KqcVLD8WNr+ah4e2/AZIqQIx0RDVhfLlxKYKdWlSwhH0Z ARMnfGL9ZYdx5Cb1g9/TUb4HTlEYRzsB8WiEJH+PBbpEON9kR4EkaGceSAJEt30a+LUi46YdCach 6m1PBAyDNaORD2O5jVZANFlU1YRcGOAgK/stcYrT/mpB7MTOHfFV+eCr+najTtgc49FoMXzxEcfP EVhNUQyJ4mhNOEbZxkSjCcR15KaDaQWtmLs+IirAsG3NI6LuU6BuzfYzoYGYHKjAZzYDN1oTbf1O t/Hh96mgq1ji4ZoovCXq9liyALNYavLpCD6s3MLO6B/Qie189vkIuTcgbSCnWyxKuko5HHJ/NrQu mBJQZDSF0WTv3p7SqNuizgFfJyobFPKAgapGjvWLauGS1CkKJ3lWZ6JwODLBaGvLOtEWX+PDQWDd 81u5h2lTdyuLnMI2N2Sh6S0thiZDBmiUb/b45mI5pusjAsykHF2YfY7D0bN8K20CKCy6tF1Behpk nsAxgNa8h1METeKzox5voY9D99ERePJ9zmNvPhtAxPJXAp4pn+KTERUrgdnLBEL/U+wnrkF2wfaW l1P18BFRCb95J1ujjs60UybGahW0yaiBgPgS7WOK6eXX4QWfHZFXkQZCk1EjJwMG6EWLpPHZCBDz 1erF3XXkeFMUXgIbVqb7F5Q5HABRHGc/aSwoR4OoZDnU5iUYbB7coMUltm23na2rDkvnh9Rd+w8E gEFjVWgFxzR9dlDEto1KBrfTuO27tahVcLPdMp+MAu358+1340oHhE8HMzFPfGV/oLc4K5W4jNH1 bjQtT/qI6MxgoV7+P0dvv6MOCgo/UDGQRkGhrtGkm53rMxVAaenDoxSUGEg8zlFAiJprl3BUbnz4 Phv1qNHif4Bi9eLTEbO7gfmd3ttjuY3CvEvxBVk0vkuieHNK+OVRatfNuhP0c2GCbBFty7JJGp6I PweobANTVLekzFCh32z58pu174+7ZCQ9HOTk+yvIQKy2XdY/hSRv1GhoU4yYMxdl5aV074Wjri/t 7hRLBhEhpPK+t2MsTSjQe6DqpC116ms0KM3xaAFiSsDS5dsk7oM3arFqrCrjJjvIWMXr9gQfjipW QhNS0hH1tHEOjSj2lCGD5MTWctz9cOK3W0x2fUAEsiUUwCH2jxppGuhUgRfTQHLdDqkCQgGYDuek jOovF8OmJkQqODBi2iO73HDPfDLYJDX5kTF+yI89YUYUfAIOaMGAON51bgkpzVJVzc0g8J9NEtUx 5ZdGPyOOuko2yC44HMBLqY3cFfxi3qn5Iq3hk6MqRaGv9fLR8TEq1tg9W/js3zIIyk1pMae5CK1x wNnLFO5incLTEJDkfn4Tv3PgjYNKaGIM3n08xycATw1RisVKW8BsmA98gQO47fnJkXIQQEObx8X2 48J+xJTucIH9ReiMM72CRxMQFMp8IqLYkz3MNlWeYWuFZdzk/wUUmzMRUWvcxUF+rYkFzauNJihi rbzdHmOyIfe64hR/twiBjrBsyI7XGx1tTPqt4ejQs5Hg3JH6t02P+rbvjsAYB1TGRnUBFnJLObKU 8lRDc/yxbw+dcejda9lm9dFLmfaSFYIKy+ItYG5/JNXbv2RJpRCSQ2scYvGlysBRJTwL6jkTdpvy 2ajx9QU7frSkcmCOow5g2V7BLRTFZdNnySpo1aMNkUN3HPIW+iDXd/jr2qVj33cqOCW6HfZCkqHt VaT7I8YSrUP40X+oapXC0ktxFzG7oCixjZNDrxXdpG/wDQcypISai1DXHPEkiAPgeRfKPUHdHTLv nUYQ6Cdod4R8HWkxMR/Zj8OkBYV2HxeAiCZ0VBSTEmLy8wUnq/52QTsUOyOzdJIPitX2R0kyLkfA GfrklMdBi0RDCgVrLiJlyk773eO4OqZ0CLtaQABcPiFWYJVzWM+/sUQrsQaLv7LEf1civTq/xE4F zBc30f39IhyN5LWSj46uk30b9Bz57N8K56cveuYiJO5wxrqHm/OUOYf7YQAdd+YibpPTAIbE53SI z2iojVWrkrIKejVGrD3gUl62hs/Fn/KUhSaaHDnRo0uFr2RYaQWWOX4R+8lJybd9vNViFjjYl4DG WjJG9X/2yjFJDSLnoCRSFiAHljnZ3Xg7AVT9iL5MOw1AKihoCnZofOOGshUxALEE1dPv01L9/aLT E2KMKFFWj97wB/4UAAP5ZLAuHl8xytYjrfK5CIPNXjUXOj25MiD4vgUlwdHneVlgnIMDm9VE3yuP rDMKs4uSYdguWQoKtujyd+1fiUjonENN1MLfqjwkSouNlYc07pFY7/xQ/FB1QEnQpyJEYNKDrG9n NLFyseCbqebxqEclIcfmOVNiHstH5WQddpRg02CTLNSeYZU3fxj71DfMmsPfL9IeKwywuo9aGMkt egsiztA/h21uD7Mqov3tCyO00Oky7XZgjeTPu0Q01Djv58gIPXSYQHbKhXE8pYtRG75MMFanoo+S xPJ7XKXoacuPDjJUkj4ZauVBZDQo8TXJogbgPj4ciQ15hoqwl6NFfz4dYc1zUsPWIVfoLXJxlHzE XPs60xFCMnmP0IuJowfgsG5GhRBiXKO0o1kJg8pSH52dUau/X8yFnSh8zqMr3lEZ5NKwVckn/6a7 sTWSH558jq10Nr8mAItQXrHl11HKQVV9/GNRHpKp3CiBmY6LCvdSz3jOTxS1IHcGZ0LSHBpa06CK sIjBU6PQjZUf/gfZi1DM7IBMhlq5q8LfZ+PDQdDlAkz2Y3EKkEfgExL20gnwOhiT7L6EpR5pdNbk tTZigKYkbs/oAM2C3inQFQu2szzFyOenWBg92PLX9Rob69CSmjlkYXGcEQamm9KudsHy4b+TVUWh mBOPNkJ3HWozSx8f6ykfAO88TfXkzeQc2OvgjRGRU9Weo+MTJ4gt6CbbjimsmTIW1c2Yvz07Y56P mJDDR+6UtDXnpc3JZ/9WHyp+ozhuN4f+Ok0OVy7ZR3lhdtXz6aqPU9gKDXbUVl/jjI7pn/B7T4pV Bo+cTipX/kIwk1zDj44lJTbmYx8rzAoLAyWr/Hai8PO4sRHATPqNT0QUfwJXWYmnw9VB4VR019fW jGyaxmgiQgUiljsXs7B1rH3BbQHGFADHDlMRRupQXJqcCK/95vN2QV+5MHLEFpTmLNaEhbFN+6RP PhtEn8kVFbiz7Qw+UxHyfRD2p+68p6OcX9I+DIf6bI7Yr1FylcVHXSTNfk9EoLwB/JrZhAHh4Bzf myNssFNfg3lyyU4ss0QkMw3MledmFHqWeUQtEYIfyZkceuyArFJKPoKeSelZa8PrFywj69AM5dGz vBq3j74kgO8HoQqMyLTkib15MP/8h8RpDn12sIWg3Vd8FFC8TOJHwEzis8Ex4UY7A3BNkgF8Ku6B JzSlJuq66glR014K6Y5TG0gsFXgGTjtu59JZ4uvjsZKw5VA20jCIYm8Zi0CpohCzdNJ2tHP12cGq WDS6oFb8HufIREeScefafDYqYShRJUODABava4VuO5i9itVLI4VCnuQCz2YwS9vgBaqZGtvtyGhn DB+ZNcA/DsqDE4mlJWNkmaNRVJqQOPnb7TjUI2LSzkQ1n0QVvgSCoCRYuwaWO2/YyYuUDgKaiSjk pMc3Q0A2UPG90Q7dDkKkqTy1FWYFjjuW3alQQ5j/PDB/SztShfk1ekS2oolvRa2payJ4eUzy6XPg ueMwSHh2+qjqReoE0OuoCG13xv4SxQbgwCciRG3Sra8eGjn2FEJuEq8ok46lyyMzNN5hUbEXmsqU Y3ELUwXb00hFMvDXvAYonI2vtlBjQdmIgu/QfUclLZIQOXJtW9pHJA/UxAYfjmZDy6LDYQPf7WkQ xQ48FH3fnpr1Y+ZI+2S22LNzHnJowTNkpkALnnoseCybqRAuy/DtswCDDvKAPEM2qL21nOJvF9Hk KLVBL8e2n1oOe7+QLZt8Nmqk5mdlAF/vncM/PHj2W9orw7U3eiFzbkCG91kZIX6T1QuaDHHkTKRZ 0QvJJE7sQcR6hSw5kHxA/T71i+XvF9S14PVmf6FBVfBdyZKyEG7zyYgblZ/DAlW15UWtwIhHRaMK PERDVgY6SKUxOLIEgHIyS79dHxHcpwKr1eWjlzthXI0yt4WiKW1J2VEIaKhj014mTA6ceJIElCBH 5KNyMqTsFCuw7IYPR+XON0VFSO38hxy68Uj5/fj/ksFGUZJ+2uvo4WhdRO31pkOzDh9VvEgQn6dM gF1Ii2qDlGxhgRVUMQd0puzvF1X4ILjHH8GRHbNZutzY7BzlsxGJcjxZCMoWnp0GfjwANLWD9CbT fHh9yws5hZBdnRZxd52AnN/CLK1nCLGQjgLtLnbV8lpEao030DpvF+wQ4tWoXZGTA+My9MWcA7Eb H44iLZdmYWp6dJty6MizQOFxx9811THLFM0SynN5mBUa8lAo8b8iTtSpK4rKQAJKN6Ah2AJuoXxJ 1MzibxdDkyaEbjho8wkSa2f7qHwyqlm8eiQlHbZ9Di15oBlNjyoUbqpCK5s+gMPGP4CApgtx5NCT R040jfYBLZ/aXltt2udSiqz1TkYpqumoxYIkdLZHzv5+0WFBujnrHaUdy1MQbXkRlFr5cHCfji8d q478lJMRuvIMubm3ny81LyKej5rXcJZxDmx5QNCVjl/yUdBvyAs3tE2YpK9+nBbksXQysuIvF8Te vEyptMzRV4VOzWLHEZ+NdscrgInd4WFF6MpDfc7mamaZHpJS5HCfy/FUK0JbnsUy1iZYbR+w2rbz 0w7cTQ3utRabrZtEo7d1WIu/W0S3xpdE1650XLuAnmX2mxes40NPntSqoy2wL5wwlkNTHpoil8fO rwmN1ES3RWMdB52mIaSdMzGd0uV49JsqCEeNstUAHW2JuAzBdQ/rfFd/uaidTNo52+vZFSma/ThX +k16NgL6vrdozk9wFbjyCOMnPAdOidRkCjxyV3C1hETCxRHb8gjQKjfw465l9zICw0XRQ+g4kXmL VcEW67k4FGYGrjxJZmwliX3uhbFue04xgU07nw2u0P7Sxujud1KQwJYH4rBkBWUnn4vbDAYdT7d/ UIPnKdr1IdGZqfLUGVXUtJR6Ae0MRBUhjCyJEy4KkYSDASd1LIfmPDKtIqkyH1Kl3VBJ+ZgdEnw2 Whjt54hhoseVz2yEvuGTc+bOdkpALIGpRWtlonqr8Cpw6EE5jyEFeZNHfmta2m9XKO45C0pGqzwp cLuU7z0y1DQMPXoaddXJb8jZddVtThyaVXeqfPh+aB4TUB6aXQhwTUYUa5JVO5ere9GhW+Lq3lvf h2GaA6ee7PEVrZ04OkptzTFg6rdAmKDpEeRCgdFFpfr1SS7+flGEpVbZ9lH52J7b9d42n41QF8d6 INH78blDwuomBIxhjdMp8QUKBhXfkmQBV6EIYNdHBIE3Ac+L6O910N+WFC9CDRBK2HQR9bqKEx4P fYheFDmw7FHtf6P5wEGlmyq8T+ll8MkInbV/jr8dLyk3Pfxcg4oCSgbRaV75Z4gvSrp3Tym2iXm4 hhQF7EqiZ0vx0b81C3sym5WWn3ZakllmhoQG5cp+uqc8aj/XmKK4TldtrHY0/2h6fGAi7FM/14Ci gDOKuFhUMtR4iUBlKy6aBSTG1cWkSy3qIbfpvgMD3VNdpcEscGNMiGJpVPjTkv0/JAg4LBZrXGTW Qb4dpYXpaD2W8q6TkIiGXBC75sAYe+8tiRg7xz7XYKLArGBoDtj1JlzcJ+EaT5RMa7rWqjgArbkb xSLJ4R9VU04n/RpOFO+jT/S9NHIWoNRi/0NebuF6Jrp2YkLXV1glZ7trMFG8ZN8oxtuOGC9KvJ2n hH1i+1xjiXLqusSawpSKRFvOwjWYKED40CVJUVWu3iwtsyrKru0shWsoUXDMbuZqyUdOgsXTCTLd tiFSsblB4Ams2o/ULM8sUMHmGkgUV5Fu7Blz9C5CPkZe5XMNI0r2fiBhsSpkFs3BNYjAdqBZ2Cre J966MizB8B4QI2O6WAULgXnAFPwt95N+rgQtJ6DcbY4qpH4tH7UkCJ352p79IGbMNX7AoUDsFUnX 5ZCuIcc+uOvsAFufa/hwHtWxIDIINiR+1jV8KJBMHD+6mdUvd2hJdXntQkYaTReieVjaCt1HbQg7 nuwaBmQUSEQYkwMaAqkSuzMP+bwkuXAE0wA4yka3ch8pGGClVd3fuXyuYUM5yqgQYsC1BjaKnwrX qAFnKVLwzaoM/lBEHluUMf/HYsgBXl2DhuIVwklSGEfdEBY92f8Xnd0Mi/rE+wNED8gnH/iuIqhr wFDcnq4R78rRjwVAQPGnscbnGi/wROlfO2ICeupr4Row4HSkEfF+iOdqeZV6BObJH6XqXXQ4du75 tn0UdnfbXkuV1PGGTi5KlchvkcyDFPTtHn4NF4pnGJXiYRxVhbBbTGJEe+XPNV7gS9X3eCT/RLNw LUxhR6BuWp3rUeoQ+K4NPy+71gcUYoLTMSEXHfRkHMeT0RI0qmHCp9oCsA4RPTviyAZESXo83VAU 4fBywTxgn2ViU/LBplgmu6SjDNWLz7UwhWuChNhzNAC+1jQR18oUFhCggONg8AgaA4C5ugGDqiik 4UcRQ+ehkJOP2hWQLLIzDOFfA1dA3qjIxMFmfj28KBl8rUphX+CXyfxqOfpFseSAOmr+XEtSxeGt XA5YD2rSeuQUBZBQCa5juRPuIKrd0iIi6FHOB03V+XLRTAABIEIcR80E8Nvs0m3LGBvBBp2cfnQ0 Snk5x81fL1gTgH2UOpOPxCIC38kVvivYL9HeIC4zOwoJNeV6JiOKI4FfqomwdgTRbHKgJ5yhrQ3b LRheCL4cTQZ+qJ0A1UdOhoWUth0AL6I6ZBpyUYaUjKUr44v3IZRqeF4CLyHO3HT8q+UqSYyFQZBq FE2y3+XnBHoW5PazGx9NBZCS1CKFTCjDHPoUOXFw9SOQeWd94ObBv0B51kd1x22nQqmbltFtKNBA YZgg5UckVPCRYB4kmMsqaT2SiGi7Sx68AMR9DyeBW/ZIijyKzPzdJyIKKMn/Xx46ZW5CwhGLC7/x elS/KzgzUXPMczC5GCe5sCQABkh2hNq6qAnJlf2t7Qtyfw+yylECweYg/qBSKqkeqSQ7l5ZK0IV9 vzCkdEEnHhgEJG+fiHtUqaVdTzRVKV+OFZEddrb6g626h5W4g3F5UoxirkfCpoJUBLuJZcdHYSVg gi5FeCn8qJ8L9E74KALMbWQ8HPjcYgUU0RRoYVFIKYS1TwPuz+mTEIWUoC1WWgLJIVZR1KRGOJo8 iYLEPCLuQSX6AEww5vJRueZOadFoFhImiZGpDeVHltH5axKikDJRqJ8uNe241DSoz+IL3bt+7iyP ctSM/KSE+iT1BDkP97ASP4xfkzf7Fgty2BUeSDS6b3ExRGElcd/2K55R8VSFbKFNwA+MYotCT2C4 GzmOD2i76t3uEwGXPVhnNh8ZRyDLJJHUftb+3EkexSnCnIpJsB3UCDyUuNM8iow5cp3rpJwONSOS mq5mzwkRxZaaC6I0OXoRBlwgOIBuyAISVTUg6YO5YNvWizAz6+3us0FUVaEpOEfmGpbNsjJlB1v/ 3Fke5YjZMKrCIfEzzxFxDy9le5jPgZCzg/pd3wZIpH2OiCi+HOCDTeJF5sGLLPsSAUqm+tsgWxBB F11v81uVa2Le36NLVQyhCaSBszCKhLTKWOtz53cgjtgeVDHURsfkuTOi6BJF5yats40FJM8WKGQz +7KZaadWG8aXgydAO6PKENwMwAmy5dfR0ZhYsqiwlMcP1Cu1UXwJqnNuhC60Y1o/bHqIYAPI6nOn dxSBP544Anrrnm/c2R3FabS5ezmmJBeYrm52V+Zyuas7uaM4CHXSeJij9kaqcwNr+ANjDuAgsDcA /nXN9S+B6Tu3A6sV8WCFrIxG3ct5yCoXEh13ZgfyjVyfOCKzl/FU56LYkqtmnErtqGKN1jIeJQbv ct15HVxVPCUYTdR6WIJ25e2KBbfs6LBDAtVzwEfZSWonpGJz9XNndeA4XywQ5u2jUi9bCtRPr3ZA f+68DgZIX7El+ae+Pe7EDixAovunN8Ar1SlAgMrC0fRenFJ8p3UUt5qfuNo0anfMBoVMAFW6nUSd oqI7w1kJmJzH5gy6qny7KB1ngYCbfGdnmQODIgPhVD93Tgdei/KpfpGioeMH5p3RgbgcOIU6FFxb xizZksEo0cKJTaoYsq87oaM4Q3NS9okjZ2JB+9gWMFIWQLQUazAFYsXVRYWXv1uwJqjCv5mz7cdw 1GmTQLl+7lSOX3koT9f3mIhiSwDtKUqSoV8DXf6MRt+GZDyUjZBIah6ikqWy0MZuRjsyaJuIIag6 gSjZFIInhBLrVzjh7xa1MWgDyRR0eQqKCnP1skQunzuTAxPx5F2c5fLmG3cuB5YEQKg7OYVjuZBs ZycJdGLaZHBzRFXLazTRLGGxewQ8TUvL65jsVUL5gt/Vc2I2vVsQS+AEKj2dkRnoZPJCSMf43Kkc mMV8ZgIXNM7rdTZHFGPymKDmEYkLbgHXintjduYwiCbuXA6cmI1FCYADOKpaNVvNg34praVepbBa iaT56e3LnsDf7n5MkFlWqPXCUVW7PZcsJ+ztPncyB36zUt7yJX4siz38cWGQSfZgdV0jgeo2TIAS D0+qRmtZ3INMrEVcHASCcNRJAT+uCcuSXQuu1cQ0lA7X+TFExFf0ubM5OBOTBJPkI2cCBAuxqrde KlgW35cH2hLVo+07nwPXDQU0HVRXZVkBiuvS1FgGVr2GeedzsNDITjrOeY5cxmjwrU1lX0RW5EPN NX+hhzygiENMlHDhF6ZRN0crio5s1j93LgceXfM5KBZ7fiftuLM5ioMjpnM3EMLqoCC22I6OiZBE Z+Y9xkQyi7VAq9B5rELXqPAYR1wGmlOqbHJBwJFWuogmDhwg6+3+2B6NLZv2EBgKwiuujp4/dy4H 27++JmiOjuEU9+9kjiLkvps84ce6KlzZJwWjtx7WxJ3LURxBBOMSH7kmoNlTNqRe7CZuLJzjHoVh 2U96d0fTq0XNT6zqupOPCqimFMyG3aJ3HgevtHxOCawH0h18HqIgE8Jtpbq5T2kUhBtMeb0RftRl 70yO4gJXwIH46JcHbmAwyixfXBSBef8WFwhbwEtvFkzDQqsIlfGjCWRBBc8zUgw+dxIHj62vmEra spyCKLjE+dCqywo01SvtfFylnXZoPx3gsA8OvMHsTEL7SULBZOkoUWEOcJVyLVjo1iSp+nVA3Pkb WNkodzbi2TjygGguY2x3Bx+N7s/9FWXPN8q+sze4u9lQcnZsPSJnox2z9eEKRnfyRnH90ll5ElYH OC2Ahidk9NH+tJ9ReD4gfmPj5sVjF71cVLHj8YCbm6Nuz9SoFIJ3a587eeM7IecahgSPz0QUXW4y VqfPBHJvzoQsrwCyIXIKMxFVLqnhYvdZ81H5RkXrBwibtdMsKJzZikBYU/p3lJ31bn81OIgzKscN Y1hO52jJyUfvS0KEHvSCMXnM9jQP99Dy6OK42DA0Or0801zcCw7BmoeodskEZ5LJxtFPh10Ks+8F Us9UQxxYL4I18u8u6J25QfzK/EFVQwNr2XbgKpqyQ/RzZ22UQ4096wFlj+ecjEJLAKlRZ2WaUYk3 Qhl3010bL72OOeKdt8HNgV4vtVc5KhtPA7bCcCCxq3NQ7LFtCsROqN99KVB3vd8fkQSQ7T56GUzp BxTPPnfaxrl4z2ywBuqViTtxAydmYl4yfFl0VSZYifdl4cWqO2+juBzJYGViSHsLQj2FiiToCm87 LSdVFiDAwV4H0M1nLiCd8LkzNw5uBqApH9UO3U0y/Tbdnztv4xs4w/tGshOcijC47Lw/lX2XsoWx bPOob6gb2vkJQQGTt8ZirL3SiakmENigfDNuqqzqYb2XLLuC8pbt7rSNc2RmItXyUTmxK6NIQa+0 /rnzNk61/uQboOkeEFUUW054Lgk+V2VOIE2rpiR0AMDG2PLO28Db4r6yb734qPL+tiMmQTYS7Y7C aIuuORDzRX3iYSv42wUFfjZCIdivkSsC6iZSZ1njcydtlKNM7rsDKWg5HfI7bwNnBfomgEoUJhxQ aqYZPUXPLKhBZNFwat5pG8Xx2LMIee5aECtNuKGh8LGgMkHSwwCytGNW2iPIopT8Ttw4OMECPW2M Rc1uy4+E1Ol2V3/uxI3vZgeXXCaDXHMRNsgBkweQYVlMVSmhS3Q6PDM2cAMo3SxORhRgMmufpBJP cZKFowHkAqAoO0Jr18LYFOim2+0v1Y07e+Nskf/Uky1j01ENHjx8ryjO/A6w0O7QVIRxJnr2ecr6 qZKvDRf2OrRXYNwjbtOdvUF4GlAClFcYR17BDnkUbVi6s9ujEmhveZrtOaw39HNPpLn1dsGhyX4P 5S44qqDbMmUPGLh+7vyNX6Ua3SDz7JB7pAmMGSIf19jwriDAJF0XSJvZMSR3/sbJOuxUST4q4rbY 2/4t6rnFgq5J0V3sE2Bq4ebh0ogCn94JHKycKPWaPqp+Z5M/lcTl8rkTOL4LmSq2wXb5WRhhLZN9 cscYOp1l/QBAzPlZ7B3gDrnzN9j7YY0GlzdHnRdlJZhXgegLR1RWwFFfJEIIDZVHTPZO3mAuCkQ3 vGrqdo8TyAzS5wUmcXfmBmvBp9/BM25RTVbTENUx0RuvUgCsiIWVik46qhCClw/68s7deGrbjVX+ dqr8e8C5C+nwLtPuocoOWUH/4CsnP+8WzAMKQI23IkcWJ0ZapCpYqDY+d97GSeGeY6KSM82fFQIw kfkvtwnMFPb4x6pr0ubYy9lud9ZGcYe+SQmEeSQQLBfNdlkSSGMZWaf2Put4Ul77Eh+5EzbOpmud mVgf54ruQxbStv0+d74Gj5fy9kQLLB+SV3PvjI3iihblGEHV5GD94c4/tWzvkt8JG9wW1KvN1Ue9 L7LxiT6JnZn2Z4JLUAwrX+KpyjzufA0mEIgt6WTOUXU7uESwbrfb507Y4G+VXwBJIeZT0xBFmJ32 CEsl7ExNvX9PzQbTcAxfWgjBRJ43qSA/j4I8wKfd7ktYWuy0EIXjJAXDUF6YcD485YkWRpgQ7QZf kIOOhzEFFLAoCA8GUdU4bWHedVR11jSEAaZ9Ya2UcUr6KucuEuz+Uf/86Ny1qHrJ0vFkr3D2A7WD yU1NKFcu9IfpTkxvaylTnuNBYUQUX7L+02S/yGYhP3mNISbTHng0gtKs93igetoBaPcwvmRbqLk1 WHZdolLdLIy6IczK+z2+xIXN35JRZnPfpmX3Ju5Suz3X3mvJ+wYsYQTXUDb45YXUQxIPUqhWnbKr mxkf7X3u2vBoANLeX3sD3sH1HJY9rF+iwTSOaNVwRbd6ajcNhrPcHT1qkrO1MjtnpPshYfEJFEeQ e9rtUeiEA0UIVnPI5DkqG00vF4TaWGqZulocORX2HyUrvOvAo1Gb46uICSpnOedlD+NLmlKzVplR oyHcEt6aQvD3Av0iTkVUxqTiyCDCgqOXMbtFQegNbJhtDfnJ2X4Bhw74nEfqb+ntgqCKCLHJbubM hzlvBzGRc+AM4dkAPLHaWRdDph4eYPaQ1IOeD1cQrk4gfwpSsElhIhoBLaVgPQowp6ACbG9ll8de y9JOOzWRdUycHOuQyrFAvnyAlHX0KMCkepes0jlqnotgmBB7waMRvWmdEh7DqubnZo+oPQNt6tac FUxYB/9U4S0xCVaGzRRJLXGrvP53Ojpw86WWtVsSFUcGnFBQZaQ8qE6L4q8XdX0GzU+p029RtRBF qY7u0MKCZ6NL5KuMB/b62SFxhAm5Jhy42CGbYXWFHZIIbxN0rMKZCPk9hCgnMr6S7+hld/EEsxEF bqjkUYACNSvkaBAa8qlAJsK3Cy5TZmA01OXol4irmdn04NFgVcxTruEyaE+Q2cN6Jqxf1QJt/AdC 1KSmsBOLXBlYj+GY+MKJvOCo/leiZRHOCEsPeqPQRgd2wCLvn05nEwlt4LLCh0cnJ/mLJGYsz3RR rmgskFksPPFshKnx2ELR2w+9rTgZUaSZ4FCFOH1IxgtMYHBb7GCb/0h/h3175ydEiQfVy3lmjJN4 gPFmcRU0IHfZg36ZEyzqQiz1aYuK9hUVM6vorHTZOBUKiIKqozfWxKNBLlr3VxGPmFjPwXqExwQS pPKq+ccSIcv7OCIkOQ1aq/jzPWqVq3TFasLMjh6FyNa2Y3+AvQYBbpT5BxXvNnWKXhx/0dtFwBri +HFL1UO63X0smQu30fBosCjmVxa20hcVMA44QfgBcoVZR6fWii1huleAw1jPYRFVNJeyUeIn2sFP EHHX8E3a6WCHJkG6SPka8URPZbfr3YJEDBdiBTZQo8csraiemRYe/X8sipLIHsA8jCjapI9TOwas xxmKtE51ypt3R0dUzSQBZdL2iqMviVQKElDlH6r+TmFRngOTukQjijRV52/pjKzqLgprUj+g4NEg 0hzfhcz60r9GFGiiAVRUqwMEkSgaRFecBjTKi+PXR1jHnFwOafp4svIGTbeGQv+iMw1irw2/EMCf HxkaRpojZPpUIHy3D2xelEE8OTZaxoNREfOrNQq3Br9DRwjFtEknVQ/z0Bj0IQ9j1Ynd8rU94h5R GZNSupbPZR+5evNqlmxAw2vbYhMBf6K6D4GwcvJyFoPwyUGQiQOusl/PkXn5XNkXd+WjQV7evvfF eijTI6T5ELXjdsxfIH6XULaY2eVyRxRiwk4L+hzZR3XL0fAgjxy4B0tZebGw/FRegJWKuSNulkug fPnInTGysM4WDW88GiyIdrqB8hPOsO45lOEoyIQzp2O1szqXDifxPvFi4xRzERLI3Qck+6jKneUb dmGwPDD6LgJtL1CfJ+rMhz+uvRHVMDlxRUjE0Y85b1OuV3rmo9Gi+Eav4/L0PvGIQsxFithREyAV nh3z7sgBKoxyd0QhprC3lXIC9cgJWEBstwlKuMDwQw2cde9pW3H8zHdVZL1bsDsy6X9dg0qYqwp0 DV3UEXLITzdQATeA0CfWHlGAuQiaPS5YewlgNek/zalJ+ZwTERhTNPrF0GqlQ420EER0L1gPFBBj bcUgqGK94inY4CvAR0fnJSq59G3kqMpgKaJxgQE2wvByfa0JyLh5eX+E3XL8FCpVc3M0L+AVL1EU 9qC4OaI6prKOzgu0y8YN7SJLZRoN7XH1b90uoA6rfqdrA5+JD/4rjuh7+Ki+aO3CSuXU8GjU6Pg6 L5G4eWg54tByHwI5+BxNRLh2Cv7Ul5KsQBhaUlWGGv4clXylarcmzMDsvNyoXKEMRBUxeKuPF0tS 9HZR1YqlmrZ99Eagqms/ba2KZ4M89NS2t2qZlP/nXESxJX13hdlHQ3krI+2FNAbAeY6U9AhrmTQR pfDlOMKXq/Rh/xQloN2RvVDDCrosCN8WVYPnW54YId2ns12Tho9cFW1UrfA2Ch6NUvLv6jZ+E83E DGuZwNVj+w4UanCnDqCUEcVUCD5Co4qOzTOKLhVls0HDUadE2wivJ6PsKUG4CS4vp/gFklBLeoat cmahRFWW7gRJy8flP513nXg0mIjDG8b/gTSWV6xmFF/iLiusM7I6k4hi/Qd67qndLGQIk4oTUS1T TFE6knFUXTenYUsSXdFc7fvk3YGDARULWil4IkrcwAwZP9iXFpV2H9UgtmPewcpt4NkIVpPewwKd wXGmI2STI4FhrQkYikzJSxLrFXeT/stm+QwZP1XCG8NHHfENSnZk0s+JkiXDbVvjbAM9aSjVFmYY ZbJEA6t5jTor0mgOQW8Vz0Yz8XVWZNQRfSKiMJOifZKGxR+KS2pTPZ5s8uoZ+QwrmYsUl758VPq1 7QjN0KHAXUlNQ2Qltt7Y9TjtwK5Xi86J9F9yXZaIuIYZkNB4NoJse0ihsxPJ1T6CLFGUiaSrMpoD cxgnc5bbfSYYb43tAIoZRZmjSp6n+ciTDVKEtdGyGqlYJaEUnB9EFOWVkW56t2B3ZLJDpgZlonMo 77A0Bg8G/Z/2pVcF4Mo4HcEZljEXDYI7L43qsfXPhEtXZqO8oHzMgyJqlAvC39QGejR9N1Q80Gij kVkV2gRudJCQekQnJC0+oyiT9KBK9Z961H8g/FM5L3bfDzwble4Oc3Yx1xGWHz8tapajG5zXgSIu j7drP/2fdeLtGdUxlxJy9gZVQGGTGK1StJ9s69lFIvy6U7Geiaj+an+EFHaUJB/xv16yvN2zHcR4 9H+Ryvl/geXqHmbOkO+DEkZtR8esuWSVxDCRj546zQwFi5SXU7CoH99uuDAUXCGQSKyJu32iI8jg 5vHXLHq1P9AThRoBHDkTNU9mIRbFTzwa4Ui+dZuwFH0aonY561sSnSgHw/1/hF1XkhzJjvzvs7SN hRb3v9jC3YGs4tsEuWsW5CMni9lRISBcUOJPzVGpb3EasjBTyhqTNf7ZYhpg59OAgD/oFkvMB2B+ pw+XD/JuZzEmkCe39atBt2ZRpX8jwslql1L9xhzwlODrRVyVcn0uJRC8TKOElJazUaaRRfXkR/wl 3N57dx+9PLEsoED95xZA8HhYLrlJ/ZY/67g7CzClTk85/xFy/uPa4iLUDI72Ow0wZ9Rx9fWRGIiZ OFmESeBgDTiN8BNYEKV6+hUL4mTd8kUeU2dJu0dJe+wLggeYonZ1dMo/2WG5hWF/ShOYN3xyxphF nYYNC47CkRyJaKO4hEcTrs8O9GFR5a4FwOqkJUxGXs05T2v4WclKOnvlwzPyk8aXTES7z0cAamBA X6V6CYE3tglxH3Yoxv9JdDm5VNGkBUD3kTNxtrBWluhWPPoPSRYCmaCa4POQ6l4yDwhxfRhYEFNC Ez7qaFfXVT95o5yU+sI4+3pCbon8lMLdQQWXJoG7eJP8Kw+1P8AnJ4RZHJXl8N47M2S07QLmUdmg aJaHll+RBOltflaelPADlJI45PYd9SPZ5EWpKJifsU/DiUhhmDwHeUDtGsYccBywj61gDpctBxO0 PX5RJtz3z4jq5DVMCnBhXfRHh7nQ3ZNlu4ZHs0Piq0RD5VXNQyp/iXSc+5sa2p3KRkApg9e60RnG ibUQWp6c8KOC3fbRixP20R31zGvbe2DNVQJ+8Ybgsj2QmqP3y8o05f9p7cO/97jHdal4Nith1rhA GxOOOCWy6LKAT3BcMLtJNfoAPSvo1UbWyITjpHRy9YT79VHnZRnD8lukwsNCb/qOk6MOkPEv7b8j vJx6vQwwMEnJqz4KR1LLZugGGQM8m+FI1gc8geS3+jV6UiwmDqwyHXpY3Y14sLFJZ+buTJeThpeE OqOJoVGTATGSCyDnrQRQ8jyu5OCi+RBMMFrXnJxQvlijIkyS9yGPiH5Yg5vA556M9MPc/4mrxqMK erLwsoNsAedgOHz1Sl07dIZtguZ/mM0ZyyJFY8ocXUq490Gv23o7QCEfAOAHSQ0oosNLYTwzwZYA PjprDGPvLqpGLGeZTdzLV6WJg0ff98d5mC7FT3tIe/pk5KyfoaqVU8ob98gWJQoaiNXREyevZZLU QHZcDbAHyjRA8ANzbRt9cB+tBsxvB2o7RDERJ+GjEwFpynAAiaTRyxNdPlewE8OzSV/0fPVFwSyP ZfEP3o8OicaGLXQ4Qm4AzkG+LHJdTOIMKRN6hi8LS8qukFXXYs/Nfgd8y3+53j5W9kxT8Nl/ITV0 NAs06rDANMt+eI2fkzbKHyFptMA4tgg2bxZsAm0Fpq6CbOknw6KiO7h/hwHaTak/LE6gTq5RUXeD +h+E8XF4niJoJoyOUfw8TxqGhYiPTvIPAs7Y1+PIvusmmRGMyca3Sso1c3xOzkqpGk3Ee6wJqSTE WFcbo1EbnNX+sYPcsLUubtYul0INOjIavftTD1BAwH0M+x7JAkFVm6Tv9jBHLyi3+OykRkHlPuBP 9tHes9i4uvzf3Xgwk3Eqn1gTsUDAJ26OyrT7onv7awwXQTzC92NZ3YCx3zzaZOOHCIlZA86PEAu7 xPIPaEIRm4rCZrOwj22P/nHnuFm4SaW5wcSAo+d4W/UWy43waEb6mZ+jgjbOsTlS1s9hTSj45eG+ vFyeBX0nNcJu2jGX6CU1F5ZrLqCQOxE1LMA6wclgD/kSG/nwwBYb5jcLNiljPPjBIz54HO8yWcbd 8GimZjU+NykhKTc2R4rJpI/tA+A+TrQf3kBvpDVxIlJE5mapxpvmWhK32VqCZ1aFmLAdl0xASGNi V+ZZEUPv9jdG3J92eMv2GYExEGnBoxm9/EtbGkqIPRR7bq5dVEJnHck5z0sk57V4cl5ccuCm7XJa EEzS7ecjGmtJDXB4QKzVwn48/rY5nVUhxfU3S0IKoA7QEuHA8/7OS3zlHR3PJddG/a7nNnSINQWp MKbdDYNuOKhqE0kJ2tMtXvKvpbtQz01Vi7jk6arFUdvi4HhEunkBKyL7fLNcSkBK4DAZWN2shknH gkHXKI5aDVXgO+jP49F/JB7XRTh6HJVpiEl7nJD+q+F31muoDXRPR2/eKNeGWD5qW9gWKAVqTvZV 7suyE9N0YNrXn6yGm3XKiaLvrpDh6AkLfiVUg4oFHk1C7fVVn8B8hqr0zWuY6JC7cLIK57g8lqMp 5p0upXxzFKYKNctHrQh7TYRV8NyEOA2BFcCiLgmSKKJineamjJ9D+D055cs7KMtCbVE9R+WjSVZe /KAkiASFgyD93Cy6HABw0LeWpWyCrcbvjBNzneOVmpv1ydmH3bfH6I0OFOQBv0JPtTQhsG7zGyNq 2levllHKmYFihjkKVXS2ggjLafDoP2R6eE5C41vTUEsWVkLu1r5GG2ihOqd3yctl3/wirJTFV0nj Sg+nlo+aCAsbLOhevDohWLR/I+q2iR/nz5wcH57wn5iDMkpv+LSqy6J0+Z/BAZ5PZ5Czj3MNjGgI BokpSYk/lSUrO93onwqxWSyNbRvyUghxOHCgljzCpA49yQ3lhNjbqHbQwEnhWjqzaDy/AA+FAIco Ht9+3CULMQWiYFzBkanHHLtIU9iCDD78j3CbGCMICETfo5b3QFNe7Z3xBykvXe3BRSvX/yg+c92e vLwHmg6o3ZOVrBk66ePOPgZEPhBWH4E3ce7RSLk8AVar/noZqRr5KCWnOeqeXrJqAAKazyaBRfM7 lWFZhUpBienIapu8s+jShUt0H++by3oXffMRstslNfYhgoBa1xz1yncBDA6o7Wn2FGvhwAUi5aUW YP142uCzs5IebxL2Sp+bCuwJ3ieQksWz/wDZMOKcbCPFdGQhZ0FVicbd1GHgSQrF9K2KxQHw310b sgonsfCE2mnU6oCYLJ25yR4mWmFBBBX2KRBsU7B1x/HXS8reqAt2Kld7f9B+/CuIJroLfDQjUAaC eYXLzzMbaY0T/H2BEyE7IEL176YdpFPNn+lIq5yLqkXISDj6gWoh6wI27ha7benZN6Hsdz1Z9/nY kp4uaRO9S4rr+KgajoWfkmNFi4yuau8Pl/kBYaHi2h519pJHoSV2iZgsDjOp6hYNSuxpu2RRKJ2G tuS9ppOi7VKZBcwX8vBhDVXZKbhK1B9BVRknlqzSKfGvxoZh81sc/VjPSlbRw1nV90s7E+pC9c6Y jpx7Tg30aKYXtU/b9KZRb8eTdiKCkx0uvnXxUVl7mbNZ1GhpBTrzXvjFfT6LsFiCrx7fL3lHnZhm XriL0AaseZtofsHTnuDD/7ByoOA3MCKPi0FJQ1L8J3VFF/U4C4RkcU5IfSYko6F3x682HzUhSFPH 5vqYJENgunBsDvQaHj7M6v56+W17zqkaiNGA4IVOw1n5ZLJZeiStsE6oX00B9oyT0iBU/t0RatAH GhyI6i2SWkcvMRtp2ZOmeSoDz8f8si1bCKeoc3a2Tlyw5GQat778Z0tGDqI+jL1e8VFoi1KmvMJa 47NZ0ta+6nxQMw951Vqz4FQdmRr4ZodetBAShOaGcngqUr4f/8zYDpVDz46jo9vGXlDkPatLZd3S W9qg/T5hB2Uo+MkZ1XYQ4j19VL4C3XMWuGD1UVPN9m8rwUrPW5+KLCgdIke7Y/dyJeIeNa9BoRxN RdZiJ+yWRh4alcLCeaoBdXPHxFcosc3Nr+nxAmr+blmHHUcGnTk5clnUSgMO+mfx2SQefWp9OJBQ bl/PskjjUYhCKwwt4qVTWZSyseyyR1mjpoZAtFHdS244oV9vJ+SF0SYgnLbZBXYFVmV+SaxKyKSm jkBSDb6U/buPW3U5xLJamFT5bJK+3S+9vCY8q6YiK3wiqustmgHK57dlr1U+i1dKJpyKNBY9x+H9 GtUl2dAVZfDVzkEi+yubC4IbgjNFgiA/OtsiCEKJ5+eoubhVwrvNX+ufzHwFXjRR0WykckfoXO0u 9nkDsmhRKs4ul0FrHGw1xl6JLxBE2XgWUMJmj+cqQQ2b54W9PNn00syr3ib3tL772yVN1U0xZipL B9d92qbkb+1ernw26Z49mBwG5li8z9rIJDbZTj/dGVNUO8Zs7K1jdC9eJfwScvl26q3+Kd9+wawY 5YDtY4Eta7NwcfklFv7TQUMWp/f7CzCnLRb4l8MjJ4rISmi7XuwfKoLc4ei5PIsjlXDHMi2ufNXK 1Z4hmEQN9x2GMKlPEGl1JEdp9MDcvv+FG41oC7TI64RsCwSGYM3+P9PxV53NzuO7V5exOMdVzOH3 ymezU7R/N1fxDzzL4z0QBU4aEGky0pHeI7lE4QvmQdgsB8hw3ywZN12B6P/o5wEhe7n5EGwWUokX TmvElJBEjcCrdX+/bHngRzjj+qieogiiKK3q2WR5BIWIzqSXFs8xH1kciiuhj1C1p4oAzow6JLwJ c1KnEdXEMigic2lz92BJtAa2HlRPjiVnh41cpHJQZvtqGihRST2Dpvfel4+cDqgUS3qUuyVVdN+e yBI3uWV379ORS7qzje2kqlU0C7NXbaBNOINmI++/kxtDhHMJJhEqPWWhuHEu/IK4marNGz0B+if8 2svfLxPxHv9P1B2TLNeChlg0tQ7qAXvlgQrTlxHEqpraB1EJj6J2bMAfhzFdt9HpjzRtTf2Djg7S HaP2ywXhH5nTLWjUUSnnQpPKDoHHWQuXj94uq54jBrti0pDuhzsFCts8Vi2r5MOZOlJ5TlNc8SwJ x3ykZVIUKKUVNtFhFFBj7K5UZbWwLa2pi5CERum1wtE7bLMAswpkGyQYuhQdLt0pW3/KpOSg88Oz QIzCWz4wOoewjuAZW0/+g3WHX3aVYacmI+WuH3xfduBPwV3tPoEPIfADi7kLum86TRMjIawOzgOp yPdx+0bjeaC/fUdHlRdyoIgkoHTEuj2Pj0YhIX7439rxZV8fFY2iEnY1IYMPZ3FpyOHYPQO/pEXO n89JVidlvtGHRAar3I0J6Vmeu5CdygWSg0Cl3Lt89FT28Io5kBzeA46R2B6LEEau3Ec3avoLZo1Y 7Gj2i0ZlXZycgUuraBAq9GoZdH58em+VLlJR+0mthaDTC1MrJXGtPfms96RPwBRq4i0UblNEM+9A M9Ox0Q6AgXhjDqrLgAJxf7/S2TAyzWlGZCTiSuLIY2OV7e23vfnsv7iZ2DMYHlu61FuIHaHq6KUv 8PyQyEGjV6DmIm3Qy5+TLM0dBtD2c0IVCHx2oN4Y6W/WxOsXVpr+HPzo5PCAWgTE3ziw6mM5j0Sd q7/UPygVxEojRYirNjUXGjQWdZsly7Wa+vTHYfQgtEcJLLEXCrg0C5IcPW8BtxZg0HPPbp2A0UEc IufCK8ZsR9bUXYiYEvp2aFSnfhwmHoAB8dksMI2GJBf0ByRcU3chJgQ1sDx1l//ZJGQjaWHkGvCE 8fC2XZG2VDtLB9VEKHZNof2N4ijpmSH2ffzdkqnAl9sJl+4Bl0bwwqQYZi18Nkvh2qfVVO9Da6+p vdCcj/YieUaqnDMr92Z9JPeJvVAViW1TEXWHj/lZ4FQy5cK5cR1HjDSOieAfiq01dRiiOg5rQxrx 0XZaLFGYd2189h/6aucXZh2/LO1qMrJ4lLTJeQRyazIv3MjtBWGw48Jt2WriMeTWAPa1NR+1R7Yl 9gMlJQDpq8D2jLOIDI3kfs/mr5esDACS6mUt+wbyD1Aynn/tXD6bVQO/lHIwnz1mIzUZAo6jM6H6 j9B03qS/ZCOD3G4nxnXQdE1thuiStYdMdm7IrCHEvRa40HoKRVIUfgC1HcL2fLeoU6MhUuHaeEYW fuC3zZZsgettYjWEotG3vjPkFuIuSa2G4PXaUSHt6CyBI9FQBbtdye1F20rBV+I1ZNlfH/8fQm6Z O5xfBwqC626CGTccPaaqo7xKaOHKT076sXLrWzFqZTSV2nCM8NkM91Y+kSioslEnzi2HOrux3mRj jwhM5lG8G4svXdsktRxiYet/lQgryhwNRlvQcWVnAk51CALhorI+4BaF5anvEIWUGhFlLRBlFl6U w5tlrLL4cDYf43NudLbFI0/JvYcIT7nRfzwOrV+9eru+RN72F/Oh48gEjZ6nNNgmXPaXDkP0ukA1 RwFy/E9LJTEfAk+Pd4nQ5MEAPF16Sgh6+WyyU9qXlYYFLAEIrIn7kHqxPbgmnYUdIDnqkrMjLI1j daT40Ku5iFEBKMKKuyiVAocS1sDmBZCo/9J+R/erCN41cSBSDezCiuOG79mAySTbS5se8nkIGqVz XGGQrwpFwpp4ECHIw8UP1THKwmPV4RBdZKAscC2mY59SEyIdCV0ul4GPtMBzAegDyn7ZsAfGXKFP SMjPw1skB6cmJkR+pfStGrqLpVjc2S4Lxt0Cdj6cFUi/2M34Ok7ka6kREQWqpQdBdaSwThjOYkQK 61dK1qin5MAevDRGuAXYj1qReKOXYF8wZakXbDXobovzygGjpfr7/aWzYqvr+MiAw/I2wjpwbfHZ jMlZPwVSKvJFVJ5YEYFnQFym13ukS4dG/enRqI/0Nfcioj4GCNMadW6g57gbLarmbuUGrgVB1PpE X9ffLlPcQrpGMAdH5fOWskqAs93Bh5PNsr8PDjLNfTLyJv2MbI2wRBVHXWsJFw2K69oqaShKrkHj 5dJO8JJ676BdUDlsFH7FC+ZEYAc2yul4NbD5+2WgJ5QkbCpPQEdhBcY7obfV+eQ/eBfeEvp92kyp IxF01Dt6TfM/CqTh3Ji2mvf0Yo84bFwaaSwqMVNWiuujp0M5BBga3bkoTIdej53y9tnUSo+dUqu/ 4F/u2EGWPUeBWrZKD2iddj78D0k2nqRj/j4nR2pLxDlkRscfP1pOWh2oaxRHFdfEl0iEYYTLx0d1 mtBNt/wKrYR15QlnBz3aCPhiI2dr/nLZMUqtwr581BHdhnPWyuWz/8hTlkhrO9ZG6kpE1NZ0W7+6 HBPYAQwiE4XeYZqKDDzK0tqWpiebdZqKUU7Bjri0Wqj6WxWtntCr+btlDHAm1L34qMowzWxQLF6X zyb3yfBVwfYLJLHjzEhdidAAgVue8neKRlHXtE9v05cdU/H3UHTKA7P4qhhoH1DB9Wy4lyqfQ66h uRAZ/nZ/ub8IpRdK3XBUrLGvyy8WPZu1U8oXlAWmQjEVubc6CpPF2/ThhcpTH39y21oxFWkM6r2D 4aOSVwvG+wA6+lyYissSkdgeHn1+lygGTVyJCovXYMJfH4Vk2VdIFjt6+ew/SeBVhodPjpK4EmGv o1q6gxTP+gNJ8fBt3QjB+OKaj7+brPdafdTdasea3dAwh90sirOXAkYSDtDyJ3Wtpt5E3HudAl89 BL7maJRxQLVDz2aQ8yBt4VC5Xw6QNfUnwrHeNo8IEOO7U13FkIfpVY1kPvEnQv2PrdgzfVTkBZOp S1PvWyzK32xfQ2nKt4qLnHZ/u6TQg0O7cVW1Vh7ZJTsR+CG3dD78foI+BqlkSE1cKBGXJzZFqACC 9ldc9pY4INS9mgsiT9yGirwSn6LgLTVaH7awPhyWoZSNbpDdrbaHcNFNNMsukBLjE2zU5u+XxV7o sm32y7YX9AiT4aVg243PZjToT+tRscaOYk9qVwTmQUdHf5Lxi/Y5Tg/bmRZvDDqdynKiJn5F6OYe xzJp1G6Blgo9XeB3d5Xx85xZH6XsrSwlMSxyw5fKc6tWJ8hDO1RcrNkWn/0HbAEbkfdWsMJ/XkON Di0q8nIdPsulS+JKV3V41DhJXwON7qKOQmmc4wLGd9iqsLyKCpv1lkKxgMMCAxOFGW1Y4Ljx2S9z 0R/1oRkjv+JdaO4LCMLPa5zRH806rFn0k2TbqyAvmQfcWW2GCPAcghF/8ZeC0fYaZXTneR5qu3JU lDHqHa3AH9sWxCXD82CSgTNEHSJEmFj+e50FC7hYhyisDRXH1sEIaVfPUX5eg4zul/IvcYb0BmXt ktbuySwgGRsiPNv5Tu+//9ApbbLdALzaS+SvEQaWA1HbBQ9wFKwC/Xj6/Voc3gYIB5VEtPMVbWkS XuOLjmo/CmQonGrUzWF3NTnMtlzHz2t4gflTt7WqHLx/q4c2P6/RBfYETbw9L9XlhoKGsE6sU3qg 9RpbdGfB3CZ/S9/DFlcgH4FizT0Vbo2QGyrCLnzNAqV2XiOLjuhgQYsFPwBHlRKrbP4sWmg/r3FF /EwxCY0aFZyD15iie4LaXF6oUoGRuJV+PACfzud7jSe617UONyJHxVcdHANgbOzbL5dFJmB4flFx hxBeiHGRk/MaTuBYeKNcrDpkujFmOz+v0UQXhVc74qB0cUS4xkS8xhIdbRnyoKpPxAx+gYOnbUc4 O+k1kuie8xzwkTXqdivlosaJxXBAO7lALMIpF+sjHEdakeNIMg9U4iiUvuWoONPibJlW1fbzGkV0 tTuegwFSmH4uvEYQXS6gQ4YSoP1tmY1cinP8h9O1UyQCktDZLCATvdS5v6Fzb1+8febYbM9YmLZY Xj8oM4CTPgIirIDqNXroToWbV4RIR6bAY64QUGj/1vp5DR54qBxNAzeyRUGziBH58xo64ICkQn0I s6FKw21BOUEcDaV7Z/k1cMD5iBOMEYZGNYlwYW7Ig95tyejhikH/BZ1sSN5/m6y/Bg7ds45JN3uO XqSA+jh+GDt0fl7jhngpZl74+gZ9njQPr/Ur3CzwRpLXDAggdIO1XPQ462axEEO1peSILLiOTkez jKPuiVXsRBTpB21EuvSswvu7XjHOI4xqlCTL5gLhauM9w1FzYf+QirUX4mzJXMzrbTIVNynQqbl4 LV91wfXbmY7si9tzUubqP7YvfC5ea1e4oBA4H+oScuRc2AEzwBgiW6iV3Qn7Q1pCU+r9cTCjBPJr 6QrzLJvgGqM3n/Z0cE79ea1bfd8Y7IrQ5MAXxTu/BPceRKeKF7oHcTvokcluYlH8awRZL7s2gPg8 BGdyVDh5LIS4bCWXZfkn28LrXJk4ojr7URkqesFkXSxm5jdGrouyz1Jt8nYwbrJNQole3qBFELbu ccQ7yQSTj0gdSi9bTodqny4EdAfn53zqmn+PKgfuSY6ajlHhgssqM8JhFsQXhGuRMqGV/xBNhIH9 WzRhMcn1UbMxh3aM3fV8sSy0nJ94Aq+Hb4yT8R5bgvUMtd+QMCTOFlXe4jYTFh/NAOUkk0F8xqU0 320jGuyWcM7eCejbKK7ghoHpKLFIgY2m78g7x6QLGGJTgJCHIzcJ+k/8WRqg4u/BJVKUCC6ZkKNB 75HVO8eEEQUwGtc1I0q0g8pUwDn7cCHkd4oJ3hdX/qVVIEdOBFQtQRfC4Wk7AlAlKN/b/2YrL4Ba jjTIcg1UJQZL1hyZcdktLbZyY8swOy/WV4QJEGGLbOOdX+Kru4t7hYbhUfODrT0uidMDkPMeZQJE jDlgvZyjIm0Yw8Gc5/cuSDriDIW+yi83UF9fl+k7uQQnBfINOxmOj0Jc2HxKeQpstCzGdGaeJgJU iuPTkIWYOAaGyjJYB0tmE0d95cW70KOrd2IJTt7KTYFrm6O3vY9ltEhqr/1pmdo45eEo1q95yGLM wvo7zhONquuiZIyZvv3nnVLCZf4EV7SH/D0Rar9TSnhEwDS0OkJNqAuGxE4pOSEA/M4owYrieqDA Lkft5DstP8ROvbanC/I4u2h5V90vZvPoerf3iRhEaPTbfFSDEGKpEksHBieLM1WFJhPl9yq2bXF3 ZJEmNbhJzmYSulzY8rrLdmeVl3ORhZoAd0FebfuosKLAI7eyaFgPDI/ZqiiVag1s+HplZvvrvU/H 2josr49sHu+7VJQ4a/68k0n6o4ccW2Q+2cc7laSLWsObXsZ2w70wrzv1jII4nudEFm6yhoK7wkdd HZaUDJYzbYFM+BUhKMc3TG2Mp9q/9W7vE4GngJvWwBTslCmTiHXOzzuJBD9U4LF4kaKxUj35eOeQ MPuwlEBLAb/htgDNAd8mEdCUuuFEZLEmoZyXWhgcPRu1MxcNCjswIZjemaOgOUzSyiO8pDpuFmoC Cor67/WRS6K3pnR0oR2WxZp/HJnQjveQ+5084nco4eli/bcetJooT1S/Q9+5I4zz+/9PxOwnBIaA Mj9w1OHvbHe03+7q8V8K2e/cEXyzgz1LHMYcFVfZfSyE76rr55060h/KqsMX2e7w6+OdOIIslmjU UEAAv55dsenn6CBehjW79yjTIV2XqGiOghKcbukHeIi2EuwtsLcsKR2/hNE+fP9LWvc7awT3B8VN Jx0Pplc/armH9igo9+yfd95IlF6YgeDFEU0MDzLfeSMsZyNnC1JipyvN+aVXPK2raCnPuXgPMpGY cvG34qM2SIMdzWbE3W5Zh7gcQJ3I+iuPrxst5X/eWSPdgf1VVYZZomJzCXlE2t5/3jkj/THcwDy7 qKffpu98EU4fs2XF2RJVZS/QhS3xk0QxNws0STm5dLTgyMlYtheYgaAePb30QhKyIB4hicwa3jtZ BB99STMhJGPMiFh2c3O2fX7eqSJx0EQmBtepOC2yOBNMoE5XJCpQMR+/sCYcRPfufT0tfaeJxGlh y2H4qOo+xG8tnLSzf5W9CQc46Id0Su09cUXRu/2txzGoATFcA8LihdrkSIMq3jtPBLfa8TXBy6lJ EIMzkYWa/G7C9bGTf2VLgSUWnBWHVGguiaycydv73LF8VOGmQg4Dhdx7Ck5OlHWmnUujy2Khf0w3 3jkijGFxlE8dGKGGDN0z9httTtbPO0uEC/UpaDbWKm7sjvdYEyfFhXKJC3r2oQL3oOkJMJyrecPn nSLC2xg3CC0nzvpcpf0S3Kua7GI7jw5fIDtTxj/aHUWv935SELTS6TLG0cGKqnsBRLB+3kki3TEz cZuyWz1jh2TRJpDHLpNNsm7/lSZ0kdv4fbjt7xwRBoTMRhGh34Coo8TdkeBNzkYhXdD+GncKmRHu ANmW3i2bCprcoWPBUf3yPcXpa1dvlayLNj+FbioFeIz1ThLBqQlKXhS2B9cVNNkgqEPBKViR+WHx Hmx6S/SSDnBFN0eqZAuqWqTMWrflIej2W1ZKOcSvHtjRuyUR1qH6L81ip8cs69IhDu2fvX/eGSJ4 NErd7HsQgOATkQWbPMRK1GtUprAVfLr+5FDJnUviPdasgnlf6HdqVPGqQ5NtAnh1LPHtdIW6yDOo z9Y+oAF/u2xR4PogZWnW9rhviBZts1N/3ukhCM9WlK6wKOYWTYcNwTTclBNfJGNbitCU7lOXPGQ+ 38khUci7VSnZCfZ9RzRPFaBiWUnvzFtBnezje3sMvVvSGT1MjgjjDX9JuD0zLrht/LwzQ6LW+qlo FhFlOBFZrAmka1Pi0VEhFJRkNjdkcZlPWxTvxJBPhj67j17gtRtoAQ90gTIdz3+DvwVsAHsDzcif d1pI9HJKV0vMhUYmFNN5edj9/fPOCsEPFZUK/KeHPnechCzIhGo8TIW850FGHemN++mWuvTFOyek uzz2pcUlR2+T2w8PXzskIgBT4IRAm5/tmT+OiHc6CGuki3jJ7aOOiOFMIYtWf97JIN0Zq8++QHG7 eynznQvCyhW6TWGYq+Juw5FcvEhB1ESbP+9UkO5UkMvFd2sU8OaG4gc6MddOd8hLIbCCWn5hgPNg upteLgu2SafkEREqdziBZSdo99L4eaeCYBpLVGx4+2/CMzkVWYwJ3YIqG8wa8HZIphd3M1P4ianI apll8qAcMUZGOlfDzXwt15pHmILK7Pc71vZ3y4qZ3NuYRo7KzHdzu6HNR7MQ83ydEUz8NA/vEWbc 1q6J4yx1tAhvzMOJechqmUUQEhxoN2Qu4OBlRwMALPd0y/6x289E6ki/1vpnj/SdA8IrCShJEipK ECom0MuiFVpU9c4A6Q+4ymsU9Sszf2eAeJ3eQkyi66JtDmjp4G2BO7hW+WC+M0CiHnDIkz1hwHbs MreIW43/AohZp8BlYV8KOoFfWtlTL/jXkg3iFY46jIukwuxbbD/vDJC4gJ9CBT8npiMLMiEMUnna sXm+RZJqY11fGs2Tj3cCCG9RRAuFPUKpLgC7AAx7oQRsQVhIsv/EQUhl2Vk+JsJIhn/eOSAPuobe BhyF+bxOzB7j/LwzQPoj1FilEFAp1ci5SMNMNtCXgMuu9gHIVVcesgAMJQHknf/BZisiChY0OCqi WGfbsYiosnSgj3maNGpEKxNrn0reO/uDJyexYrP7qEwMaCU2UGy3/LzzP7p4xc82sdVzAmyVxZno tLTrcaYYnQgplqNT96ixKrKaJqyY7H7AquGo4i72BaXrLAuxjUZKGb5K9JvAmXroDkVvl5T9OzcC u+jb+x+2a5aKmvusn3fiB16rjs9MIOZr0+finfiBAwPSqJv26mjg2m+gf4LSgv0G3+ld0Mou/Ijs QiWMgFvknKh0D5gIAueLgGgwITvoR1Mad4yPqMXQ671PBiUa+6VmEpGO3Be7LCJ1p2WqP+/UD26u T9TdWNGrHme9cz94IQLNHmZvHZtERts8SWm0DVTEwQH6zv7objRALHF1RDHno1isDMXMeyx+G2QU QszzqEf2p8jHOwGE9ypmj7HsiGi1QrjfIwy9V3Kxbo+28MvShODfSoNO3G/qgcAKELsMZYp7tV+o os6r5J38ER2sM+/yUfFFt2xsHaYglkh2uhpuMOCgpw7EyNMnvHq95OwkJo/oT45C3FhE7/IFY/28 8z8YeJZPkm43yfaL5J3+QTiaHeEsVP9HExepNI5ylnfQg5T9zv54qlgUJ+ToWYjd0dIJL/Z9WiRD 9qV9yZC1QDjqOo1YL/zw5Fal+jHbrSOMTqqF4EKZ2Hy2n3f+B54dfnxO3oFwmd6xT9LyJphs00vc fVDtFoJGbsvBVJAn6Dv5g0kZ7xLWeUN79UBYbE+AtSHXQIJeRf/wlysd6t/sjhW9WpaVSXQuRuZz a0hwrcL37p34gRUVCBNegAgqY1lkxU2IYrs/C1KlJdQRzzlGHOUE0eGd9hG312X18Mo6BQEn8Ou7 UJob80NTD4hp/XIXRZpOoP0766O7P8sEyFmj6Aoolnpl7+ed89Fd5oj7Q7VIFA2PV3rfSR9MBCGx 7kjFRt0g9tKPOIST7UcCeNPQkyULCpLcECSx8wKeC+C8QHqvT87XoCHy/yidvNM9opY+ef5x9Mr/ Esbk9PnzzvbgKeNBp/tOFcj7+ERkUScVi8YINHd1iD99UBB17hMTkUed/3932PQVuG7BI9pSjwP5 TsRhsLv//RwUx18tSdb1alQCGqEEZImk3AxtXn/eqR68Tr9A7W3SRZb/VhZwkvQ3Ij8lbJ51vdr/ p4Q1csimYPvFR693n1FoZmHRyqoCMqPdJkpyqDawaDHSeLN6JuMjTwlYyVGowLI8PJoEWdPzUwaH CBAC2J7GmwMQ1+WS4GuphHVuE/6mUjpep0RW2CwEmVFC+g63Tb0dFz9AWDYTtsOPsnlU9Or9OiUU UWThJkvHEwe6Rh3EpAlwRSw8mnRL6/laEWhtxRkx03CTTLSpFeDFC6T4Xcxj76JbTDHfo02HB9E0 QKPWxGU5b8Avp4EHhBUDb6XB39XfP4ycZs7+wQnBShlHnpigpype7AOPJsDu+4VORDDTl3dAZlrZ lFCx748d9gEk5WF/8BOwP2bWRV+HgDsW/7sn04ARQPGGx0OxU3TQh11IFKDf+kevdOj1ktAbr9cZ m/TAbQzQaxle3b7w6D84D4L8NpmQcDLyYLM5o5SK4IvITNTXD+VvJoqt5JPOrMDJxsahBxVHx2pW QIRIdbbTs1eyb+nuyGbJJw9BDIMPzzE3kDSbPqqyV05nfgraMZ5N2EDnU7dQ2N28tDdTPtChttug oG+Hk0VDYgq9Rbg83QsyLMLNmYWbJJbTeFej+ujXzr1GSxPLoIDGQei9Ya1UhxsY9U8WMrNwkytu UiJwtkBvwL3IT08++g9qVFeMNTxBnRktiGIBBJ6SalxwJ5JqDN2dTUtym9fLpZEGm6xrkzfDUWcG /N4u8BEXHDHOxYG+C+d9PEdGK3q9v7QKB/4jtICgyUfk0dQisRi24dnkJomEDHNhucrxwGKm4Sah H03uTdSIqhR8rhLzYIOskRmUUoNQlz3wBteoTXKwwWHpde3/OrVkt0XMsMejk5MfGBTiw2cndyol kGi5w1FR1inSM6nt4tFkVXwj3W0ZPmdnVudcbN/RaKUA9ouZIEcBPlaWpKL2PblB0ljzsHhTm49q mULqZ7LUa9d6GULdWI4GzQI4Fz/6HajA4sP/VrY4dHA67uBUe7llKJFZG88mh2fEFw4e/2U4ydl4 DzjRCdhSCgOZuCOLGJgEtCA2DWXpUI91kfXS2XW+BNByVMC5mFnjVrVDYh5RqVDDY+0x2qZijGUl Tl4jbdDxbHz8myz/4ypfZ+PZjDQWbTI2JyGH2mIuMuTmpIJs0dboDFGAN5HAHkD/3ZXkZtZMV8n3 CLN7ohuwNjTMqWjebHUT+MICDm3+EC0Gs7bp9TJ0WqWkS/NR0wGDZS39NfBsBsh6uoaWo2JFeQg+ 80rnQkaPZPwIyYt2wLysVtjxOUDnZ7iVVTopmH/pPXFlYsF6/QAxl+YWBa0j0omg9zra+OJA1KmX y7Iy6pHi1Bv7xFR06OewgFMOns2KFl9QVtsjy6+RlQWeDPiXOwXU5YUKUmWUjAT4ZmVlzs1OOh3J 74w2MjSNS/N+ut1NtMqyv+aHxBVC5/OflUWdlXQYlXB2NFwsSVTDq46GRzM6YfmCY3X5qHIesqAT gF7RsthOL0rSp8wU0E5vHnSutLx52Dkt20fHWLBHuJlP7bVoWXWQhKEy1gHDdeEOBp0rJwgNdtOX j8zLbr3chiDm4dGsb7g/uwP7K+CKK4VuVriO00EUJHS3AVRrQ1314gJhK6twEtZOqbv6CN7dA8j/ YMHPdvYBx9BJEhTZilR9kn6+spCTvkeTIvkc2Qdou7vrZeej/0jVt4Ks6WnZSoGbi7Tz5l31kHIm 90RddU/VVxZvHq6HqZqEE5ruhDbthW2HfYGrFacPNcFqP348V++WtYbIRsAcchRUuGxv+lnkvdLS JjEhviQAdqcqBGciCzexPz5NdSj+aqPUGs3kogR1ZcEmU4VLHDdH7Y9Zy22DioaWyzfRUBHbIpKZ H0l8bY+suMkvll0ajVwTVbpElkVXPvrvbkj99SKB5iILN8la5MWk7mmJxrpjLahQyFWRhZvkFV8y QjkqJIRnyYY/7e3Xzjkk3QfNN4Sc+7Mq/N2ShIz2KL3GyOwUSHquCmiyrgy5yYrR0yUjlTZWRQrd hKVKX7o9hpqokG0Z7nnXgJ3VVGTYTRYI7iShcLqcBKzYbSd3cr7sdp9M+W9DGoqkcT01HCx2fHbW Cul0FFs+cpqHbjns4oFHk5ji1M9kjPnrBr0/KytuMgKTXxVmbzgA59NSHw7AWVlxk0Q3xmEYiRIC w7RY7gEtinsWLIR4ZE6IqP9+VJ/wmfjgLKBA9kls3ZSpku2O1Y7K97UMPJoBvD8cbJRefncsiSzO hOIGwjXRQAZ7xxdemHI/XDzuqU+QxpmsTdF0hKM2h83ClH7LmbVz125E3qNz5X1QJ1dvl4SZgxoS PC6KM7Hsd60QOWJ3XcezSVraA8y7vMLZYjLSOPPgtqhykHWxQIvNWHcH3B2rg/JXKy9wYjI6W6fd qTyHp0DblBdvY0/ogFU0T3mQH0oW70+9YqU8ocFmDp0RItfba7rjqV1+eDSZjIi5FVj+Lj80998q nB0X5/qPxWgoSk5bxUDE0P8Rszko2JBFmpw/CA746LWb0bs8o22u6iB5+eAfIqbvgzihmPVOG+qD dwfhFneF+XuTyYztx41Hs8L3F8gbZjcec+8s1uzEWQwv2HRal7Cbzk9iNx0ihpuTkdU4KbpyFTqU 8WRjp83eFeVZfK2qH44Uovu+CGSEF+yUK8TqzaAR0Tih2gAJvavYe+HZfxwZCInkoObzkcWb8LPu k1Shha5p43ZZl6IWSCRRH8Eu2SlViMSXom7gDI1Ny0ZsAkCFWI1MZIoAH4BRPwAciTbstMCJL6dT TbGHmiJqF8XR2qPj2X9AkfgLbuTQNMniTWqtlyFQM25ATsmg1Rnb6c2Finda3xSu+TYfFW+CS9cn 26YFTmBUPMLtOMX98mbh1LtlM8EM/cToM0EhfWBCTsOzmQpY+5wWlP693hzaWcCJG7BTSxa1G+Lq cH0QcPAfSlIQAuBUZAEn2h7Q3pk+RivdUl5kGpdn6KLgx2C7EylIONoNvVuyPeipRS3FEjqmlhM1 FV92X3g06Q2N+jUTABVETW/nzfSGqm7hlTGod0GCEKHN2OmAbGkqsma6sL3/wzWt9k9v1ggtC4GM M0p+m8WkDqDzk5kevV1ycNJmetGtbIVc87GAc6kDsBae/Ydkw2bW85Q3d9ZKB9irVdegbezOspW+ hHueZ3nkvbPypt8hRFnUFaAsCGvS9xekiDqUw0/pwD6CJuyR7SzWLPS4ki5nOAbOCcUGlm/KwqP/ SkF4UGAx7piJlCaEn0NWfuylu7f0ba7GS90dzkSqf4SVeKlafR/V6g1fZYYNxOOptAkNj3W/wk1i FndW2aR8+Gj01mmegsy1hBKFwiEe/QfShFEEVqJPQ9ZLZ0w2gn1M7yNxZLyXXoJYubNok4I8l7IK N2QVLrhFlh5UZaidpoIAPv8697h8IHo7CzVhTGE5GEnsIVNgCRgVlH+3XukfIgVOmaI2iU9EyhGy 0GFIpKBbFMi0HPK7vXovnYqHnImUkM5LY1K/YtbwHdwFwHeWn4CcItwF+emvLOOe6u7Q2/2FWvk/ zfTZiWjCfVAHHk2iq4Bh6cZ75PJOFmiyLdDCfuthIFN8S610r9mcrJVOwuGtJUa1xRoIQoMUUyAV dVpCtuIKziu5PFzt+OSMaks++p4+CmaCyN4vUzyaaTUEJ6SojveIupy0pkkhYc9E63aDxz5ic2A7 aybSRjr1hJgu3UiXIOKBaBp4m7YttKKeHgoMqDfsP9kx56+KR+rZcWTqYR+mIPHUikeT7dG+4BX2 FtEePKmW5pB2kN8arqA4giQzueQ5D3kPvTOyLJyHq9Tcou5+C7g6d8BfurAxwgT9248NmQk+OavX 4Ech/0uFT6ahVVr/KLjh0SzQ/qJBkP4TWyMlCZGOsB2Wx9waKj8BQUIC5VXuk8I1J0nHbAVdd52/ MBS3U5/SGxutMewN2GKg8L3vn1HVyQuaLFC05iMXW5lb8k32R3j0H4cE/v/+hk7cSTU1L+p1zVHM bVRHMQ8ADBYgmwiLFmKq83eSUOF5WIJH2AFlJsIGoi5wT8Z02fX/i7SoAaEeiJujF0xKNpu3Z7k+ MqqabKDzHi4Vz2bXR6Ddm7T9ayDzThZiovwBYR5eGr1Qr8IuO6k2DDjMuUz3Scno1Oeg/QZHnZmz WXYAmSd0je3EIMqEhW5YT6GhHsEVm6Una6HLYJzW2ByVj0KpWxC/ufBsBjOZn5YYs+BYHSlgE23A voIvdUTNH5IxR8TeHZZ20iiTVPRzh48eb0+Li9GnuNc+deOWObjrN6QZzgd+tPRyyVTQc36RQUYu OG+OJbdvuK4PPJvRTB/qMXvH1/PRk/KEoLeCCaCT9mAebksZ+MP5HzWEPR89KWKTldvCqLuEz8FZ G4DbRTcQ1Js6NVeXHcUg/j8zMf3l/lKkaK00H3mZzn0EsrMLCI++b5FTPAvzzf3bglV4UpoQ0C8w KKrywRXq/7DRRStLtOFZ2Dx5A10ViOKjw22wJujoWMrEAYTKJjCxFniqAyKZTVy/+OxELu4yDaM9 SjDdcbMKcNIWVmsWb7LO76EFD4zr+O6TRZuokHVWnbAuiJymrP8W4P1QdI7rIg02J5VVCbsZ3rG5 tqrtji0I4IptlsJS0oYTGoDMpI+FuOTV62XBBdIoes5yFCqtw4qZV8kaeDZZGq3FHsE5seCLpNm4 WcQpAPV1O+CIvSG3oL5IDTfLm1KFrjSJj48O8Lajq9BKvUhRcLk0MWVrzpOO4a7EZ2d5CGp5VJjh KDgB6AT8di0wwrMZ3j0ykV+ujukh530POVHoGZjfwoXReMlhYbQuYsiCED03yc3a6K5q0qePahOe C6N5Opv0Xi5JNxBPhWrlL/2uFW0Bx80PT4oVXR2l6yOPDEv1lJrar3g0uUa+qTH09Q4x2hy62ePS QBvdTTCuEhFJWbuI3k3jzlO9GRQtIQoJ9DkLGGWWidyDkMXOVtTRkQM+JmyyArlZ4ImEEcCE66Pn vVUZpgX2eDRjCYXES3O1m8jTb8oTYtnwOj19uNJou9EdO4FvvmkjvQtRcH3UFqnQQWeJF44xU/9N QUeIsj+CmbAldLOwkxphq6zuo8oVjfhGJN8Nj/5DWJG/gIUUFd6bwjYHDVhOAL29TTivcyCIXeJE 5KBNanmU7qMAeqfZMl5iU6NaeqQ1WCWr/lkTQy/3N1FeIt85Kj3dirgsrFx4NElGmkfgwsd0t0Ph XOQSSNi7y/PTNnZk6tEy7d3nIu2jux7WHxIWMABuqFqQU0eTHPxtce8FhRYkS91Ua5OttT8lLNCd 7w7qwZOZ4k9YJ7nHWEgq3qyHjrrYkM6PBT/ihYAF4X8CKUG38byp/hFZUoOod7b8fhWbIWM9hHhf tNG5bpCQEK0S+en0t0uKeXe5lYxGnZizErxJstRNy5oP8IhaHkRA+1yk8WZ/vA6wIhyN1qbXd31F TH5CRjLlT7mLj7rtit07oxNcUsYEQ7N4AwQrdf1Jg7hZH30R2M123Sx+ZG5MsQqbq+PRrHrzBSdA 8hyK1TetbEKbeAQRZLio+TjuOrZasMZu3kdnqVtdkNAHs/1Q9oFcDrS795a2g/0wBGhEeMXazU1J QuSWslbb63NMVFkqwOgPjyaJeqh5cJdw90Z0lcWaKCS1E1K02xV/7ITWn1hW6ZXum/XQCXci3Eqj cpB67d6DdoLF1hZ4ssYF0UAyKJ9K99WrJaEVQQCSuN8eWtlH7KHz8k48+i91SZ6X2KSPpnsWZxJy jgnokp0FrnnBa7SiVEFWpTeC8BnJohgMr+r00St6lnUUkFMtBLJdh4h3k4pdUeUH2tTxNkzT8ekJ aQoT0m9jF921nHBxDCkhz1E3n85gaZ/CBb6H/rs+k5IVORHfdxyRV4qClk5DtQGxIf7kAkc2KMRa 0pCTsH9RAHbkIq3Z0pzsUBX74yUDMqxDdF3ECfl2DC9ZzLknif01Rp5IdpR0Vs/GGoMPZwH4tz2I JVwzJBZrSeXdkf/DCIJI79llhbyVsorg4Z5rJYVvDmH1qo8ed0LLHEwQizTs0/BdM/qk0Xp5oHot Xi+ZD2IL2IEb0YE7axNGR087PptA1D56eoUyybPWmI6s6LnYPwmQXmFDBFyFEyLvcb2yDPH+EV3R VPNR02E/L6zZwQLAQlnkjoAFYF841QXrx0AHn/1XtPcdPnoZUQIJ6Kvw2Qx5cr9PU1Y9YjqyABTF 9s68AocIDOmkXlCXeADghvvqyCqfi6QIemxzdCTnhmPjYraKnBSBIHWBgE6DBJwiL0pF88MTeC85 aQyjyiO3WKmijyDsjsqHk4C8fXRqDyFa81kfae1zYilNJaiQKSPi4FC5XKcqFpCsMtLqJ/lkk5C1 +UDWwEWWKKmdQoNF4UWuQeGstJiSLV3rkrbYgVcZLPxxVG1nQH4JU4L2GY3ckhJelD+5dag6WmJO 0piUffZQgilLapSDAAfsmVtC5rtkMSkZ1ahz+uhT0lH7tA++s8BBnC2T5d6mj06rbBtLVgKldu9k D5Kjlshc60rVuejhrBz8BYenFk3gtQTaf+84VaIbVOAZ7ilPGDypqTsKPET/J7EjRa4px/roOzXL szc6zcTl7KmiGADIrAe2B+d6fNOkLffLGxf9q85UmzOCTgTlYOwJPpzBlL5jU55iMSFZcEqSKDWc 1HaXPQD5xap4LY/Ta8k47O1GUeO7tGGRiH2K3Tgo9jQQPKE8BiDpxKsFgWZ1f73sirmg7A0NRefG dY7trHwy2S0Bz4E80S+tZZ4TNQV5ImhckcDxzELgUUokcDwdtDzy3jvb6tSU6+FTt+3CBUASpTc0 BxtxKSCyu23E+vLALRmdiNHYJEyHI+sbpzdHNrbGZ7NW0h+Q8EIGt6YjtR5iG6/1hynQvcIRORxP UV4wifUQiiSYjcW1sZ610U6BAjwdmfZaNDZEa1cSPx6MUQO9JrZDzrpblDdbxQXhoQksHagGM5HU dmiX0P0uDkWI4LRmwSlFl2mkSip7cc/s6TyK0eeIucha8C78vbuPKvfMy5BJl60F7cJ32UKcnyxO Hfha/96CJ/6lhyGVfUvURcDpoWeTuLSVz8JAHX496yINSyEFSO0zMdkF9xyruaXI7K6NU1PbIbbV CAfV6GHpXBbEHSmW2otMD1rJZXlYE9tf7i+iSXVT+4l2Yb+kTRRWKqpdsXz2X6ncLwOPGydG4jsE 62Dc/d3brctVk/asQiaQ9xhzkcakR+Sp4aNOjFbG2pO205ZdzymJEOByCPZ3hhWMqfR+GU33/1Os JipFvFeav1d2v35rrUErdz5LI5dNQgO0gpWLXN/+F7DhEznMQDMeKRS5qTWxHyqe41O8/YZ4O+nr Bb7QtmMGhMZuECy4eJ4kv/vbZc3nwUC8+sjZGPI8QUOm8tmMiPiFVaGk+35WR6bbSS8ygYChDL9c Gb71UIa/XvmpiQmRUygu//4G48NyNuj5MqXGdVJJdDqg07EX8OmuIZ/TpyebhX7qLHv0KHvY58lp BIKNfPYf8vD4f1gyPYsji0WB2LSfPbrxxbvxx6uE6xFEr6kXEejI9pPSNyOEmSFBd0oHuxHnZ+OJ tlHwaTb/QGT9z2zkyp2IywsOQI5ClJ6hFkCFZVliRwTZoTg6Ojuv7ct6JvEjEpia9m3jPwrRFTbl FwgFAyY06Fw0TUhGa5f0HLvZHHWQ2uRABl0F4wHbAEp8WjQKkCO4qh5+te4vmF0shxHA9lE12CpB MNRa9XCGCZ4fvB928p3PjknDUQATLjYogk9qKqo336TuWqn5qHs2xYFS9+RPX6Jj01FgG4F8ZZ4t xSWQenr9biYoX0mNiVahPSLCmfGEM0BIS82067UytXgPSGnfwYM8srfUnAhKOR8bzFvVjYX/GPfQ hrGSEtq/mBNRNIZynjsE4+1yhQENGYbVZqBIyfOiCk6F4ScK28tfMFNgW8R4DR99gfQj9lBDTJo6 FPUwEuAhAiXbEarxNXUp4t5fo3mLntEprOcd0jNqOPPU1KaIMru3UWqqPVJTC9JMS/xVi2AWTWVR Bh22Qh4LL9YE+NlJRks7AYrK2ehynvDlllqK3Y18+B8Tcn8hCVN/SYHSfOQaS6hTeUu6LUTCdtHO VgT4WvcpiqVWRcSG/g9ND0TdeXj24Qy50pbb2xbcZtryIfT27i+YBWSbsIfjI7ej3ecCec6tZzM0 YPscIVijIelZc7eiDUCoPQdYExXgeJTi8p3/kSwI6B73TOJXhDiBVD3caBx9QsBbZGMVgMBNGeCN TA7xDnI7HSKtsvdUE8MifMs0WJjTR+6ZsY/Ux+GyyIezEDXCEOSqUi8s4SxcE98iVJKZ5l+ve+zu +ECPRPqpz67J5T27s5g1qlY4drHAg/TeicYtEuRFvzccrJ3ed/Xr8s29i2jtNVnpo9jQLxUyBhvd tp6r3i3JbmdAqiluUb0O5ZOSFlBpPH1dE6D36fmty5N1prW8axIPI5QcmbtKGsClIs6ZgGjDWZUK lmw2XlwtX+mt28jmFkaVbDUZSDjCbNn20bk69uazGaMzAC7Yk1DlCNGMmpoYDTpUuz50RaNI/dpA M5TSYi5yKjxbtfP6qFCk2Dt3UIgQtbZDjjiP3voNrS7N3+4vcUgZ9Aob3mnoq0je0o5tvdY/pCKu 0xhbwBlqamSE/swQur7DHVA+LRY23OBgzBXGuqn8kqZjHx91y1xsGMQ1FqzZFVwVpEw6pa+njMxm ZU2NjLiiFjHFKzDFa6NvzbB9bj77j0CVh1///XgMZzVTaDg4pgMn8NpRBgrBiFpjafxd7ZOiJ7c/ VXV06RfadBZkV6KpkNMtkiwfJfHj75bMBGBgk6fglCkivCKXXBgAUOazWUbnM0EAxH2EAWrqYzTh BoEOpRKYpmUxqM0sh/bnFE0V5hsLoWK5tjAQBRYOCGtkt3vRrP1QW4sM6j8kYGvqZERtynYk6+vQ Q0vqujTrdm18NhNqi/QFhSd6GT3HZxacgoGPMq+CU5l8bfDDlOBttM0UnCZuRo9zpjyoQzKCio4N BpH3XjtgKX96gcml3G8k+6BY67OTSKywwsFzLaIaW3BNZG47ifhspuF3PnEHSXARd6R2RqDNDCYd /51gq9mRURihowyPM1CZS25oJIAT23Et2nFr320LT0rJsJnl6rhg9w6hf76b16mlUSUPgVQHjqqL NVU8ocHMZ7POU+wUCquzrRfzkcWl0EruNKMmFZxrYVsMZz/iIHgS+EyujsTWyJLBrir58DGKx71D ItdmZkEuhxcKxPDmBwpFkRR+ckbKoMg8nuUo/E+hxQrO1MFnk851rA0/QB8H5tzbCGAO3Sas0Kif vwtxL5CZB2ZLU5HCR+nNQqkyjsppiZItkuZquESxfylKTBDp+qBfFKOnDkdbRpOt+MhFbh9USeoe dtPy4Uxt5sFOThpLUW1AU/I3k6Omvgpysesl5OKy4nYWuhFazV2OyNs7ZBwcR08ewBrsUMHZsaCW S/thuH9Ddmz8T6MlcTkCeXUR9BOjLzzZw9mZvPlstlf+cKgAjNfnIu/jX0jNeyI72XAjysOZn3Aa 9OWRIklZBaJPPUfNBezJLfialJCwfAEH6QZVByQrHIl+yYoXXhOro6p4stCGjKOKyGdLcH7TwD6N Rb81JBZhGzEfWSgKPDbgBdDtg/zJ5RFycD901tTh0iJwVOp3pJOUZdpbIxZdaw8IHlJsxQ5PXDEV JEicT9IiEuHxxgtmN0vjwtg+ipRwLwmaiIcWH84g11/HB52Sn82SSoHirK9HcJfG0giEeJr/yUR+ QF+bmlgeIaDlzVKajyptokVUCH+Hp8SlmfzhAtlU93y0QEu8YLJfFq2KRvFRkcfy/tO4evYflHll hkTTx4TkaqDMnZ2mASEQdfGrC0zvvuP0+Kvr0ZUoaAnzWfRXACCBCUE5V9rC4JQiW1ufOOz622VE UBymvKY5CuSxrgrsrd3Bh7NA7KuFX/sj+1hT1yOqtQ9nMVGEHr9pd8hcs1+CXjgZaVB6VTEdPnpZ c4ANStLKgNAREQ84S5G1NOrxeI2w+QtmuCgI2d+rIYDdjDssMex8MgnDWvBiXdHtCdFT56Nht+GA uM1CVxbilzw8YBKLP6lkUXdNRxqV8hw9sh4OzBwwzPa27Ln0AXNbQCvhhoUDBCrssVmeN8xOj06i xvZRp4dFMhJFbr3z4eSuDYcwMoZpJhVxemqAtMofbfzlAhPYAV7miNZ1YoCExIeRGHdL991ywWOi NA9Uhe049c41SH9Mz9dHLLYm9kdFzc8pz8MZPRfo7jFysPCOz2Z1jsjsRXY7cbWk7kebmraBdtme yvbRi3fxV6T1qfeRqhyUs+Gobq1tFrSBi0TYt4ukOn7nkbhr/nJJcwF4ps4CXK9uPoazXc2Fsy6f zSSVowCGeK0RmK6pyILSjsTpYa20cN5c83oTv+yYipzTRECD1EfCBsqWEwAAPEMti7s8WkLh4NF2 u91fLmtbI2Oi8XEN4+NpM+u64UXPZsK563+2SIkLJTE/0qnR15aJe5fQgm3sNYQPQ0djxmyk8agU Fp7RV/JYY4NAb9FHb+xjncNAjMAfv1EUjybmR34pDPasRriEwMtT6lN2/vLZ5H4NTBjfm03RqPgk 5keVlE4oTDqpniUFkeqhAoA0blGzaepD/sKR/X/6PKcc2x8T2RsM33inABOH3H7UPxlvNbVAYkQ6 dhk+cn3cXRWR2onBZ/8hrMzONhgB85mQlN8EHuI9vj4K98hlPdZZ9TS75xGaN/IrG0HbR5+OiW8U d+O6MOwj9R5pa5ULkqRI1JVMbZBoe011e428UWzNeDx6S+fDST0wUKXCNYDpFAlt4oOEGwXFh4dW P13cjLkBG/knGvmJEVINcXoSYY8bFt0Bi8ZBIXOoCy/gM+07tXUBeWSUIB+kfvMXTI7Se6Xt5qPa krbypYprc86Hs7rgeqKOQhfO+xwgqYgTFhNU9Cc0zkBO7UB6gLwHxXp6vdPOoiaOSNASZD9St2iI shf4WUyYJFpgCi0RnrhAv+yP+va+8XJJL4F+i5wTjjpNoSnI46MtPvsveBhpALyBYjp+XiOOAfoH fF9OyNUst/aGZDav2RlyNa/xxrBLFi3GAh1ujfr+7J7edg2yYVwAIZz8eSEdissKwGk1aRdqkT+v IccATQ8BGBXNOfrhUZiQ3ll/XuONIUq0YlGcLWzQ3F+txGQioDPYdlh77y3Ei5wB6MM5AgCUTARi a5sCiO1zVLRxkMguns/dIlDEMZZX42rtV8YeCjg2T9HXabDIiyIzVHGuoeI8lmeHUMr6eQ02Bm7n 6/OgAIysgK2ZeA037BlUAmfdwsdNopz/Ixp2CUaIOpaXfF7DjSG9DKhhTx81FxBmGcVz+lroj1Gg xSMwaUgYLb7b+4KgRtuCaKJGReS224i36naH/7wGG8OLzEpPKm1ZMZ30gc22Bg63CLLqHiKz9OE8 Ykms0KP2dRYqc6JWUB7RGJAce+FBD62yOrgPnAYcFwo0orJB2/tkHkixsKmbPiqCIS4Jh+ZtP69B Bn+q6dMwvSjq0/AaYeCBy6NZPz0YZt5EaNUj8ubR52t0MUTibwWQU43ejZ7DNgaJsn21Ki94YCJw pwCNHeJepPK8RhcDxZJNfsbykVNsYZyI1FCb+nkNLnC2CHXeQBui9Q+1pOiXkczE6FLX04IQKA4z EQhj+mrT2SSZCUAqbQ4gM8Mx7jo7JxfR+3aHXMyG/T12m2XHvze8TVqRt0kyEzSKrTL6ojj4L9pr lwUyhHXt5zWs4BUwPxsDRDNdpz+vIQXO1kZp6St6yt3dzwf2yNA/ISBHctPZRCAcKAf6lhx9Io7t aTvOyP8pgw0I8GCKqO2RnCnGeo0nsDNoZNZ6jOKp2Obn5sKK+3mNJuJwiYlAlMvEirKL2VGJwmJ3 ZIYrDPRf3dzI13uITbxGEjwosSIQI2vURNhFWk9nv9p+25mx2dOYBEg4jw+NnCqhya3BRiHURn3U WWmBvFgE99Sf11ACr7V8bxCIRF/wopl4LW3hokGCuEqoCUzBrGdxpMYa3ffGa2GL2xFHMvmNHH0m pu0M9RUrWDuE5G8yyVniAIUsQqtGobNsMi4JkNT2qqHt1ecd0lS/0HxLJoNEf/z0FiqizvpLMWzK vySTActVV+oBFvDKtXjV4e3WE9yU17IW/klI+ZS5i49+c8xT0NMmUaDVyvo0hAyhIQLV18cxjfLK r1UtvF2jl95pPgrlJdtDwPTqz2tJazirNjaIgKoeVGXhJaiOdix2nRUSXDjQflU9HJW6Hjy/bC6A Uy8ApWr0uQBV6QJFDptlS3Yp5kNNfqjldW4Sly0qesNkYdBmsZUYBfFaEBTmwhh8Ntkmx09Oln3q kSaLyDDJhOCQtHv6Wm5ef+luhhbrgjj0IRN0Rs0zjzNxKjaukMd1u6AS0pn04y6Zs7iRBfQ4UIz9 IqgIWZ0uDpT3mBXM/RyftjZE5VzEzabBZo/pqL8srvjx+U5PwVWyyHIPbUTHuu0Vd2xhz1jgnWQ6 Lq+Sy6siiGfXgpOJDSjTG9sVQF4WSGkQtxRBN/1N3ukpONxHsLc+HC4cM+qjN2DM32NN1yVmbIF/ DoWGiLLe6SkILgoVXNywmNrAlCaJNuvtwWfLws0py2N8DkctDLhX2ME56CJnhyx2h50dEH5uny58 QBKyBARre7Icw5HBhZ0ygv42thWzM2NFBqKqRSda1osCybLAlmeiwDpnJWYHmpZN0Nla60M/eA86 lcQ0tHJ89FMDB32lZmcZdtJB7R4g0P3L7xcR/eP28k5NGS6Z2QhJas3v1QmGHQMMGJy8M1MYnMzP DgGLrvtMZCHnpNkGZ2Ig4yg8P88uquNAvnT6+fkedOII5tZAFFUe32L7zaynsQreoc6LVQN/0mA5 1q+JyEJOSkMunC0avVihkPPe/vNOSMFir5GLafLwI8WiSMNO/KTDYy2vZNmc3KPOwELlRUdFFnUK yXB3jD4TCL2prWrfIKqeiMjQ2h9kaD5g8q6Xy/IQZqS0vhmu9W650qXcLfip9+edj8JcLuLvhvYI orynWJEFnkdhyIjc1O2suyuRDypGczKyyBM4BHjGHh89BAcO4xAVYsdl7YNFDQBv+ZX1J8RYu+r9 3udjAQ03gTfXyBijLRdYOGv+vHNRhrd7GXvye8QiXz4b78EnzN5Rm64KPquKODQ9FuxxEOTM4yKL Ppfyvz9m41rkjQySqIxOH1/WdvrwCtvTEth6ufepYNO3nNN8ZMcdRtg8/dY5P+88FMQXFJ+Soixj C4jCayqy0BMHxqS2M6gFhQoLIFuh3PAfQ94aJIM0+ISRT8WjGiPgsj13eZpb7roXyBueuWCNfExO 4v2y2UBBlNhvjpwNC9G2PPXQOcuizxoJOy/AS7de1rGy4FPF9ts8X9/T8/VePF8fHly800+GKH0W FMKyhaNPBU6MQ1BJ2Rf/Ez8rZVtp0PP4Fh+93fs1cjEHixhfjoq0ms2skG11/byzT/hefqmyQYLG yPaF8U4+weyxA+g/OgiKKvTuoekZrDmzpvceeCIeqiznNR9Vx2lQclp000HuwPYLEG6/MO5rj3rA Xf52yVVy2DDDJTZCIQmB/Spir4398848GY8goLKSTnKXz0VW4dxkRbtIZKOaBZCgAYed5E9wLt6j TtfqKGPGqPNiNih80mMIml+LvDZslEuv5MdODopXfL0kwMAeaSxhtChhrMMFRmpI/3nnnAzX/NeJ AUnzX8rrcC6yuBNYgFE8shqEvv0HnowTpUFYjcnIAk+w5S2kvDF64LlAa2RTAhrnNudxoAgS4gD6 wfreO9cEn40EAIAcH1Xo3MXZEfv8vDNNRg1uhTohRcBgJGycjiz0BO+4M0AEAJQdWbQPkU82ulov p/G980y8XGDxRZs+Ouqg2orwwBPS2eijltkob/wdZRS9XNYOYSNkxciJnoci8MhVa/t5Z5rghjt+ lxyXD21xfGaxJ9+se0eEOSsp0cM9GOnDoIWR1TvRZ8d3tHxUwLWRodNDBFrDpbFL0CleOb5Pz6GX SwKMRofac33UgQH1Im4Sm+Ofd5qJr1dtEuwTKjjFLnkPPqWSZpvb3QyWt5fn9Mtlz9AOeOeYMDtj MafEqGWBHGqQYkAqIwXL4LpHAu4cX8YORa/3fmKQJjn4FY+nsAw+lqBou+2fd5YJfjSdnLpW9wUC K1ZGFn1usk6vqzex1h6KAfgTVPQ9yHinmTBAZLIKtghHnRmQ5Z6DAV8FOLgRTgmA9xVk3KnQS2+X TQbafYOyqsO1ZWGrvtRRvnqtZGnUr7sE09ljLrLCJ7ylBr5QHBiDYrhAAks0c9NNwskE70QTr03a EkRqzFFzsWwq4CyHKqfFWVtQPijZkxLwh+PHO9EE3xQOvHkRCHFkuLWmTNVa3fvnnWfCRz3csoAM h5UOUM5FFnyyUcGfgCfGlKrqGi4pekAp0+H5HntWnRgV+qkaPeACBtiC58F6BujyDMtAVMA0I8II sEHV+yUrgz6mjbmatDmAjr4SBbT9Un/eaSaM1r56A3N/kpJ3lgkWBon+wy1xtsuMdB7x7Kxv3yPv HJOn0ncofnPqU9BZe8Hic6hdtKv6hygUtO89MvRySR8VDdDJY4MjZ2KMw7DutvHzTjAZjrhk6MkT t3xqnu/8kiEvtXbC5vus6a31E4dnWDu800sic6+Q59DoywKNglXoCDf6LJsCavH3WH7YIMgsft7p JcNFvCBz7iNjLSCAGTLYnvl5Z5fw4Hyq4dTa9TvknVuCBWGnziTCHT99cyH/ikZX9FbD2fqdXIIV XDgFrPEVr/FdCzwnJLaOTK8WtfMKSn7ow/15UrzzSlhHhUjTBkaMI08KKDkzMbMA9uedVcJDcz3V HNzfnwj8nVQStVXKwlKjqQp/4tIAKIB057C+c0qGxBJQsTo++uY47Rz6TaI9UkpnXQtiNE0CfE/U 6a+XlfgGW4XbR5Vz0Hvh0WvR4M87q4RJ+/xKU2nFo7nIQk4mkn076HcMhVeuvosDVRob8+edU8Le mTbG8DH6yvAxpgtIQRy+2U5CjU8E0mcqqt4um4pNsbnlI9fFbtONujcfzUJOv0yJTrMhanzvfBJG 35NlM5+K7u1EBV5VkrOaiqzaWQiwQUii0acCJL0FhQHEnHBjotMnFOmovPLUwX0ysqiT5l6VdQOO PC3s+NJ1arn7zzuZhF1zD7PktIsqR+AN3tkk+Oc61VjETxwsGICERnDTf+QsdNcefmeTDIkQ/L9E FVL1x3IzdO0t2oShmLu01npwIH+Jc0+9YBJe0DcFfBeNCmqLmDYwS/55J5MMF27gNsG8BHSP05GF nZ0BWdgvdi/zNdq/caMELOmdSuIYrXoJZQSpG0uZabv97FQ0qjr6UaLa8B+vWvsh4UXZgJ93Nslw D6hFs0uOahjd6inJOD/vXJLhjrdxl9i3viO6yMNOoGEdztlk38vrRWXxxW+cV0la8kRuVekMXluL O9Vitd42FdCKpe8DLl12aOAGH7Lca58q3zuPhIcG8jKaEYzxgDrPKMSC2fc0f96ZJNFViB4JKOYR XmRR56a8qld/ve1uUWfx6jjlxrUssoonZVLK4qGwHDsDxibkdnCV2Zlxi0Dj6KhCy2CgaBu8iaLX S1oDFLRkgshRBygKZ5gUe7ufdwoJ3isiDM4IFf98j7wzSHBktG7vhhIE5BDwbUFT5cCWd1IOAaVr wlvfGSSM8IhSQombI2djIiulEyNQa/bHlOSBWAJCEogBPWoZQ+/3PhvUcJqTKkSk16rWWSUlPe0e /HknkXB/tQfUKWhK823yziLhzXgAwGi/9z/ALcNybjIvouk3KowHR+g7jYQ4Gq4LzYp7ttrWsD9u Q7U+iGiIZbIgW0H+3p/qIe9MkuHuH5aoHx9V0qlz8TRFQ+fnnUnCHNqXB37Zvy2AfGkAeijdpKOi 0zwE7FWxiyRAtkT0fueR8NjhRxPe2UIVGUZJFgjQrMfe3P4FSuoi6qKsIM0I64eP984lwReMw8ri 1OqjEEtlE0FD3M/PO5eEx8b5HBt2nRwPQd+JJAEcAOyQIUarrhAxHfA7Kf2MY+OdRvKEoL13H30y 0AHtVFlmf7hJTxcojAvv70cQkoY8P+9MkuHkhzm1PqKMMWwBNsko1vbzziThs/ezMtC9WxGFvhNJ GLbe3yEIH4qSzN2xubtQTGgHer76TiN5ENCXkLYg214Uri224H4rBWb2mLRDWRmEFZAhYhOt6O2S NI26dq3FSAS0fWfykIL/3juJhD33ODV4E2KFxamRVT4pTMVvFIcoZVukKeP9VsozaS7SOJQR6L3b R6/p2JueStYEFsqdhHoiwrsikfjlWvR22VwgwBA6ebs8xGrSHPmlO8w7gwRrvn8jHJEuR9D1TiDx wkcbQT4bThCYxXM3b7tjKtIQFE20Sq3k+kDvEHz3doiuYdYGijT+fvKy+0NH5Z07MtwhdrGUzFEB 15myVjydL5Wsir6+ZqLYaopOyTtxhI/g32kzCjrVy1zF8eAUKyIQ+m/hZ6sAhWpUuLyHbVciSe0M u4WIOJQNf1lQicOCick7b4TZBep8B6RxjgwyRj9qgdU7ft5pI0R8ja98dX7w4FnoSc3g487OslHG PKztfvBgx2oeUqwnu2d7Nh+jytcH3OwXQ4xdhJYG4lVO6aEIwTrGSENP+q5iNWnkSWHLw5tnreLR JNyaPVokFOSqTiz6GWnoibCKWq/YE0yrkaApXwU/flNwCbsjq3gWheGj+hhzQbo/oQMWFlTA+Vql DF69XyeFgoss9GQqsoEF0xgdW2VYFszi0aSp2urnOm2E4g9Hx6ehJy7Kvocn79XFp7ZXNkTDRqQ1 3yNPyWugRTh89JMCdIkDK06UhJHJ42l0bRF511N//3CSmimZaHE29vaR5yZry/yB+sCjGbLxC4gB 9Tk2ODgbWeRJ7ZlbvJTBGut/ZK5qfga9QzkbWb8dHrqgyVwf1eIqULkl+QDkSUyHoPSIjxaKkI8+ 6tDrJXE4HQSZD3MUdmvrbrV4duHRJNJqT1aC2L192mczjTy7yiMuQ77VJBmw6YCP62StFoHnzAqf Skrgm6bRsVutrkUZdGhArEHuO45SeiXcR/iSJoj48KyMgR+AxOFWe7CKRlvS1LFsDc++HxpubYEb 4Xfx1Iji50yJRWPJzBYd5U7QHZVzqZXCxJu/A5EkCz2xqSzQ7M1HT95tMQBjxPKURaEwTYIy9/hF +0H2Sf2TlMws9ARUAe2y6yMn24L6K9G6xkczltV3hHGIQeBkZPQiliF4NJDALNIDCMzILekqdTsk IjAbaeBJmM4hBjicnwHZ2styqrED+QpNa/JqYPTeH5Nfmk7iw//STly031xIMpSKQF3WsYsNzyaz sfzcoBAV3bo93ppp7AmYdkOM/R/yP9JzEb9Twu8gk5/SCJkpy4glUAJgOTqTAiB5xUqAgc7rsjsH pkp0k/KDAy4ofL/kfh08Mp7R4Q3UGsTXd/FotlX6p8hli3E5GGNm9U9SfxgGALe12BM4sAI73Dqo QkM7lp+QRVwIwxuLoMGcBEjATpwqW40LLEaXJhO+IhjnftR0ENzg07NixiT8oPioFM1CW3kEWSqM Z/8BEdfl/UsxCc7Ge/wJACzswG+nOgq9xjomAffXJnHZts5C3DWz+BM/3f9HiAOz1St5U8XOzQZj GEtKcGS7pmH92NDNrPjZ2SFGT0qjzlD4l8oL+2w8m6Wr0Wrmv2eJN+lCnI0M8zmpXrL9EEVqRpd0 CuirrQajYk5H1ndX/i68znxMmouM1ki2LYDLN8qCXuIaUKZZUQRVE2lmvffCHuut3Ufd371cRVB2 QOPZZHWc9jlGj5C3mo80FMXtT3VNzAeNfsA5oj4E0Dor6n4zq4Kq0XwFkl7ROoE0gQWgpKsCntHY SphN39GHS1Gn3i4hENC76FBJ54SSjgU1rmm4y8GzGT/xi3UEZSG/UlYWiG6Co1dIxwSCadbtnffl idrKSqCbQVefx0fP3u3stYiLSF7bjBYUEjqkg/gxPt7+blmP4NDyePhIYPStMuKz7dLwaEJPXDEP nOUunzX+a1kQCnxSOzM6711C45OGPSS1L8fMr7T4yasVzSuN0Xlv/V5WhGw525nEVA17bxAC8vHD ZhS6UrYRdfVVOFwunYwTQzZJduni0ay5+LUq5Mnjk5GiPisQ0Vd9AlmssOrZ3KYQUvhey1hZ/ZNm NtgIPnrXuS2L51lQtdjN1uuYHpM3UmqifURq+8qiULpUL95yK2K6g7taF0Lno1llfAUog1HX8rtk pWwjCQyW6L+vqGU4OKVPl31YWQQqJEJHKd5GBobMVceCxIovDFheIW/dDhP5OAPZP4/P/gsnzy62 7iNjjKKKHEoGF49mh8UXgAvSLGPFJskiUMYy/TgWQRwbNJ3dLypisPmzsvhzE0NIbehaQkbOdvdG FI57taJwBnO+VgZ1SOEp+qjya49kpU9+t1PuQN0JihtBBk+MWflotiyCWeJHJ3lTnIw0/CzE50aP 9dTowEcSH1pTKws/uewtSV0+ehUYHqZkp0PWt26mczSNt9N9f9bF1MslO4RgHdIMa7iw26muJhVU kPBoclzc8lkXFPw/sS5SzCdYaMcNCMdtIife+9C9V3eSzcpAn8S21k4UY98fZF+7AKcgwrCwb6An gBQfR/SQuKWrkG2931+ukk1/7d1vuAr3Lndr8NBWGnwGMoMkEFslgYteWfGTnS76ynCTTDeYg3qH Nkl3kMrKgs/N4ifQWtT+8APDzrVZCtP1AqAgiFiomM/frTqXrhF8Kj46qwMjX50tRoHEx3CUYxl4 NKM0f60Ly9wDorLSuBNfKa1FkJOwZYecpDp3YA263hR+wl/bRuRR9OBRWNbVLLKQKggSK5aP0GZH mAXJ/wehcvV6WZFrsOceo5BLnUZvYAScjmeTbDXC8Kh/RkdxpUEn3qmgdfPfCeOBSnkvpq8blqXE vq6/lj9L4XFQorm6YJh+qJSLNP6SNHAANkHSfSiWvD91jPVXslGnNl0PbToL7brolmM1PJrMxX7W BX50onaoBJFWPzdqFvZ5k6atVJMCSJ6KwKSKTppH8SOyAJyJV10+enBh9962K5aTgeIO/x4eL9RM 2Z8yxtb7JfmZchccWRzV1S+LNTt08vFoti6+qp90+fS5yMJOAGptWVxVcjqpBmy7I4Xl7y6cCJid 7ZRuxN6Z5KVOCwwJ7tQzULbA0XkOXScLRM7pbPLFQyMOYaeEI8LayCuZ9ymuWiBbPRBfeDYjlnzV uG6X9D8nJAs9YS/Ub5HogfPcD4Cv4T1IEwhslJ0yjsi+Qt9UI78/JCEdiQTWif0YTdoAKGKw4fAk Z0Nvl4HE6bXXq48qY9x2vUE6Op7Nzs8gHBUPMQKTsbPYEzUwS1T9BG0rGu/OKwGfRYfGzqufArgu H6PeV0rprIzT6IZbr4BYTK7v01Kcerms685++2w+Co9hsQUJit1SfjybiY7VKOkUMgd65CQ7iz1t a/8ONcxQE2YvdUAghED5X+FitDBSeaWu82L6qBN09LPqoke8JSecZVSK2RYlJnh8RKZ2yjciQpEk KI6e/FmQz4Vu8RyeTRpI43x1CQBBWCGmk8WeB9aT3VXGxnB8ykHeRoWhijNWk5G13ZnwlkXJkHXC uBU0tNPE17SDs5M+AELkYP/uk6wevV5ygqLKONVpDWKXpTqTnS7Iryw8m1X7vjmK5yl97qztThEo Xm/yOSrOdndQ29oBattZ6fNoRazqow6MWaAjNSiMseH9w3CLepS/j4qlGmk7CzzVSCur+8gw/Ir4 gQLawqP/SFR5agwtR81ESnWv/HecRyHfVvu62txBdfcaxk5Flkjr3iwF70dtCj1WvLOMivqeYvku rpLfz6KIt8taJVgUdPmZyzkay9KmLmAbrtW08V5HXKuFfGYvee6s8b5Zpq7uDHa6Y3WWw1JmqU6v 2VnouZiuVxK8GbFyeyyYrmyGnlC3AxqC6kK/zAaec3Pp3TKU4+QGrT6SgWFb2O0W28Gj2ZrwUOvK jqH9tpDm239jGhEQLTAKMW0Ecjv+FdJO3nnfKc+dCQkdJGt9GAQDPBD42uMWsbkVz52unazJPLXf off76xYp10dukT0oFQfN6IFHs2jrfo6KJlVTzMXJIk/6bvQZsnRey2k0l1CnwFP2k/Xd1UdEo0vj g0FY0IK4ROjcJvmMwZ9fUGAJ9OGix2dnBF6ktJS45xjwVzocQ1cQj2ZUI79PmV/j27oeW5y04EnV 5luD5d4cm+LOcYOwPM5F2nWXKB3vkRPZGRz/7GKqlPGEgUtVc82mwk6n/SfF5uTaSkRFt+EjE3aL iYkPbxbK4tHsrAgqBSb+kprIicgiTlDYCT2UnFARRGVM12Obc8ZEpB33q4CTE6HjDOrxE46ejCvQ Qiv8j5Z8oL9M4vCT4qP/UsdpqB1qVB2nNRl/N2ixpfHm+ir7Uoo+tkdKNUL+TBPK/6gqpDLOqddZ V5VUPk5FCvQUj7lXH3VsbtwNcu5dY19tH9Q3AKgl5lWX6ex6vazWyapvaT5qUUzlwpbsNTyanRS+ KEIpJdDhJ9XyPIOf6c32QQYIgi2UXRbt0O0cWQixTk42UlhdfHQkhp07lHVTl0im8cDwsjYKhHtg dI5eMOkToQQxqTPHUeH3WX0oPCkVz/4DHn7kMUByIqcjCzgLsmdtEvjSDtfpE7N5IHnfykROznBn HZO52fhCb9nPPy8xOiCabLqm8X2Yxt1PpMWm6sma7YJCF3qhq2bGpmopUuhfc+HZpNseFU/MCnPj ODNSqCcSqrXj/nCfgTla927RdQmyk8acxEKvtXz0SMtC49V4eKOq08DTrCyHQ8EI3faALC29XjIZ m9hdQmxW2I5COYYFzwkQ8MnYRqv2z2TYPxoBxkm5Rp1KBHD3pi9qF+IA9T4QKiBW6jryJ0V76tQg ZGkHZGnZWrZ4lDZNA23nQ1AKuJoT3iTPXOABfHZylRS2Ksh0X35jW540dDHax+HR920Cqa+v+KLJ q4+TkZKNFvOxyd7ymNN5aGiHY1Zg2lcFSjl50ROnhtKzeaOPiF7AbJCkQ9/kLGjf1ItPQ13hfmTT AcrFhyenxiWPGcuSowoYNjHKU5deLBN9jU578WMjaHnnr612ETbxmyaNpcX0ERAd8PMYh58s9JRi EGyJNXoBAwTsAqd5wLdWl2kHdKrRCSAPLSQtr94vawxUNE97jKoBw7dSd8oaeDZbHY8aRBdCP/pn N4s/ab+9ly7YupcbFi+3GBiPms5NGUeXx0aL0YkUFnyzeIFT9IxODMQFEAqw5vFpDjR/vSwzwZXC MJajZqN5952KljeVke9f2hi10KKE/1jKN8KWoOK5XSSNKknoDuwusvdGB4VL42YN98mEfZfio89F tY8oQPEBQr+7/FnPBp0Uu/chsF6W+m4K++zsoAFRwZHnRhtzCPZ5Nx7NjtCvc4N9Kq9h3DQGLQBF ewtxNhdNQaFO0agd3tVz95tFoUuc/nt99AvW7uiz6ZNZbN9xj4DvW+kQMj7wAxyN+PCsovP/xchY ReXOt1/waAaCfWQQqCPzkEpuFoeWxjlTUma/UdLe2cdkHWN5m/mmLfc+XnSWLIo7o4hgs9rtEBK3 v8dFhdDAsQeUrMFHJ/yBSV1lAmeG698tywDCtbnh0UzLMfgDUqmDopafoDeNQ7FoR3WoePd8zTcO UlbqZmMuUsjnEvH/T2191DG2BT5quaOYT3ltFO5c7dQ9a4beLgnJ5cBeY+QmmaLoI3VbeDRrM3/T SrpbtHAuUpklmtaWEU3l5mCdp7N63fPr5h33zsR9+KhKH4zgFi+zAtTrFDpWwKQnyiDr6qYCn9SU p89JDZ+TDlQHVUJGx5NJmvbNxoOFdpwVWbMdAY2dF8vLnPd6s93/pJK3qFskVViimCvc0zT69kAf kaoriMprK1LQoCq6PHnnJ966WcmTphmb/FGOXBIWcRLoSM7VzUueQfxHoQBryttnN409L8VA3JOl B0VxO3WgwyZap2baa1+SQ+4+aioO3VeZmlUQHtlo4e7ASoXH6P5Uf2/WbEeBxJZT3T4KjzKEeQVy CY9m0p6POl2l71nonN605AlM4vRIwvnuKPitkJXH5uFU5IEngShsMJ8TyEMgGFej9Sjomq2q2AFr cdV+FWexnnNTqhF9mgfNJ0JbwVbHVGAxKh/NmN3lU8+BAGyLVtHNok5w4dr1Wm+7R3foItaWNPdw 6rlZr30TjIWej0a1gG19bLIyYeG9hXlE84ZczKcIfvVqSYjV1GFfPvL2gBoil8S4E4/+o8bXVcIg WxPzUEvaaS+QOkIri9aqtu7g23QA41rstEOBUaazJY04mZUtSietE6azx/LKTtpDOZc2vDQ77zQf +AXfOZA5TNvx8QnxCnchMOYYiXHURAzSjH/RMuLT/8Kw4dCAGzWTXs1KKrKEWgaEgy+FCw/Az4Cj tMY/ufDSHdR9LWnoKb8Btphn/xA1Ie68mJVAZqGSOICtjN6JSCUCiPfqb5ixbDApF20OjsJ4nkbF BgvaxuDDSST+aSfahYJ0OeRfa8niTwiIdRYM/+PVPwVKWawFXtEt3QuupIBPCjUeNd+fAk9B6fOQ oV02vFLZUQRwnmCT8kD7WvU3/NuUNERQHLkMgbpjpLHu5bNJqBGCMmy7QZ4ZB49PSRaEQpKnyQuP 9WFhYIlbkcr88K4JhUPfP2Iw2hA6w0E11/JWi4gpWWqX+C2dvQLmdZZ0Usewftx88OEJkkt6dTtG 35e3S0LlDD6bkW1mXLSVhiXts2uyQBRynqNITR6crK7+O1AARO3AfyWWSFYQlVIGS0Qc/YYBfOBK KsjWigO6gD5Aioaqt8dgWNl6xaRYzlp/pStPDZZCW1sm1BYdVj6cBedRIp4oi06SBH1OsoAUB9Ng i59IJpL17Hw9DMDIfidAo+gz/lb7QmylUZmV3daQMqCaQEN03mgITxygLb71xKVbktolbcUT6kc5 VY4q+FjgIicx9NfEJE9btk9ST/GYkOEvWXhaOsnvqgu3UeVIMG5RbLZgpe1TkoWnMvlhbYij9147 PY8oTAV9mM56sn21bFsEX49kBn52UholaBwcDI1aI2ioiKPpL5Yk9vurt1TZBX/2TcqFr8xhXXF9 uahdb57V2q1Q4hzJYtRVqFtIH5P9qF5C8XltNg1tAM4CFUHgFxYptw849vi2SRvzOlSpQN+6cHyW FhBaimpxG3w4QzXt784jEZ8xJVmoCrxFPa7eVk/r3p3vzbvzw+Mz5mTJypTMYYzRTbDca9LuCB3Z ASyETRl5kxMvFyiv1f0Fs8uG2VxZPpJssb00Df1APpvsmccjDO7qdLp5rt+8TCpKsZPjqXu5qKof KV17FkleKBWAd/voAOo+4OHMKhbaTYPseBRY3L1ifVn1loyTdAeXLuaDo6AbLlRscV/js0lSF1IB TpOX3KQmJDVDgh6dzEhY9TjhNXnd3ZudYd41iRkSLt/1xbqIQwRiRJwENGUtX+xUkGjFjRU9PFvx dn8h8G1aP2x158uvnStqNbUGW5PUCOmPdAYUrThQUx+kQSyL21XU45j6fmrQ42lgwbnIOvWlhE7A t1rA5c0IYyFb5dPu36O1c6Qn5omd7pfcBQkt4UkVNI5cGlCbUTms69kkUo16GNHUKLSXZ2W8R6pA DqKIyOz2iijPsGz1E916JyZJzOb9CmDdgyLCH1soAFnuYQoDs8nNsK1CSmzJc/NLzY3ImyQI5tlJ DmL3ysqEJqijyyuf/UfnLUDlkejWxAoJUkQoWXqCq8IC5HdacSkiiEzFdKRRqn/tfyrGDliErcZa 6TnoHEonslPd7hFboZkNPzy7W9itpyj9cvDwLpWIGwaufDYjQX9VCMHHaZ+DI9VmOlTqQUoHObOF RBcCurCA/o9iB1vtt5r4IRVKdtvCqNVHx5bPs6DMSxUzy2OKdHbxKVeSK57+d3+/JPy4xLoxCOnO XFvQTWJX4SBCTS2R2jfTlbWxPWM+MplQdN1780ppF0kJFs7VlekZUvKqTVyRHm1lVU4fZs4YAPUo aEeTgUbNBYXqTqfr/QHOTn/DDOojvdTtow6QOxSPTbqo/VOenpSU8mB9amKNhIIBNFqa+1nsLlVI 6utJYLnERZt6I8EUBXSU66PDnizyKEpzYZxFkB+EIHimHdI8/5iOVCeUxgVycy4+27d36dC2Ciu1 xB4J0kY9IKOshuKgjAlJqfLoVsBXbsjjxLv3gxQMVsSjYV0TgyRpvXqYVfbj4zDatAiyOl1pNfXh QLmkoP19grHW/Q2zBTIZAnQfxT+wVEk43F30cIYmfqaEArFQdI0pSSupx3v4wJWPydDLclEQVTqu 4IbqoDsPpvDRIjLw9lFTgvtwXfbwO4j4EiVhksueS4A7ur/f+4SQ7TMv27T3PAc26pNM7rpeLEOF zQ/0B6DMkB2pqVESFnmv0zG0kv8DX975wRCR9owuN0qigNUk2XeO8BODLTcEzXDFtEoJTjtMARPE zdc/8dhe/oJJy2Gt/ydWDyGTJSmVhvg09UrqPehL/ArsSB3h91FTvySCXiU7TRutraSOL8pGPqAu XCGJYVLQuY4gYo8SdZ0HGplFrOAOxSEcM3Yu/h9j55klya1k6f+xljw80GL2v7DB/czgkeRzq+ru GVQ1SY/0RECYuELs3MdTDFYdHx5cM4lOvtLVM05L6c4hZdoN/VxkPBxl/RdtrQKR9n8dz4zEIk7j 0ualQtaM6NaHA48nb2EzEuJJ1Y5NjXLZ5aCdRSLbbHLjc7CeIAKkhwS0kKKtX25wrf6CwTGCjmIH F9BvPtCau9r2ac8GQfuj40Q7hlr1nZCQQz8lRJ0Gx2gTnFAByN7ncOkmYY9/abLPCK4aK5aC9qgP 2iNJZLChMy60x5ZqQVZZ8QfPILR2OUkK1kR8fpTIZByPu4+GITzXjTF9z83Pw0E/Yv8Wm0CM6HKm c+ChZOH7w0zIFrV3BSbbqyG3d5kDDyWFNOTgJt1zFedTloRTKtVUx0fHa3FN8IDCVH5J5H4Dxz5K xXyUJuPwvXMuc4KTfs4/Hv6bWCJBmhQqLhI7B05KAn4LnAY3NMMA8B5/8WxXh5JleIGVknPe/pPh belkqSI0ka7pSSJ3+tfp51eya8TQHDspNUvwso/EZ9M3aDnbmmcjmcBbL9MKxADvFodCLyVgALX3 2+Tf3uR3zcRaryRJDs2UlkkA5uqjb5uT6a40rDhUdMaCi1AHOf8CZCN/yIf/IeHNCOQzUhs6f2PJ K5Hm2b/wN/geVfL/zkcUsUrIv+3i/A26WjpHpvf9BYO4GW/gqOQ15kyIznjvGsSMFkTqE4VlswJQ Q1wY55viTTqaOXRUGsVo9clHUryTKRlQqvfJs1HE6mdIc/TD4yKUQ0slkI+139qQ97fLnMkXSJp3 t8TqohABTXjWrQ+2Qr5x8oOs2LXmaWqB57CAHnoFzJe/WwTKRg7Okt5s6d3ZBAagy1N9u9BQqVzi G2GcjoQLG8uhpZLiEFDq5iXv+b8lDjT9e71rIxS3h/wmM20bLxakbVm8Um1vAgFa/3dQ9v6P6mwO TZWQXGhw9RmtUjZM6ep8UuHZ4PDY+wnM9Iq/10YUqsocsexu9ZCauskRD0PLyE5eWazduWGoKv6B Ssk+3h5VOneUyi3qc53poGsnviWY4H7zfzRa+fQIf0vnHx7Sdb/tchgm0ixr82wkGOinh7Y5UKg7 H6GzkmoZeMlDmqXjKkaHZE0LpvJaO9a0i72VQNEl6iHpqYeogd4SfUMdGZYEJAT1moGF8m+37KiU atm/gjAbbT5cj0K3Os/+pTulPwZozTsfUZSq7K+qBFsJ1xH/n5L/TeS/W9LeFpMFBksCLHQogdnH C9w/J/JA71PmdFIpdo3m3r/QKW50Pjvo6ZL2S9D+ytpLp2TRGVA/cvDsX2RbCCbrt7AcuywJGDyr 7ZE68Y9XCWSvSxGct1UXuiwNk4Sb00eLO86yK25CtnVKoOtecD5V7XV80TIWtIdWSxCaO/jsfvHZ 55hb+HxL4mLwcDQj++b+naZDe0zEY7clVYVLSd71L5bYNXJWY9zfAzW2W8IuuiMNyIlM6j/m3JgM qjOlrmaxhEylFnUv/9WICdyWnNzWgaAy2mf3sm2BpMmzwf3yL4vkr95oju2WRB1NyWL0Jh1zoUJO bll+3PC0PAsk9visxOnFR4Mp5HOYyv6bzP9Mjm66rVtS56dENPy+NZ55DiyXrhwtRftyS/knjUSu i3CEZ6Pg9KK0tUwGX/edkbjhL+fXoVwuy8ojc5Cgt1Kpt5drM5RD3yWbkWU47EdoU9H5SBLUFGxd 8SQbS98mhNCHOLmzv+EfCB4DCy9G7zsk8xw6fw4ejuqpj+xoRjzwih3l0HhJhMaCq5PcdWgRwpJz vMxQdxd3nRwYL+kcMnDlf9rbmoUOGlVebbprfqyRgvdx/SqPpuxvGCX+eA3t5SOHquwFHHJnz0Zk 0v6tqCrOfuzmA+sluQAM8EheGko2M9VE9JQJ1Xn3TBieLjBUQO7WhdzNWsq5wBVsJ/HXGxeusksF YeMbkW1/vWDH4EO4sZ7bTmtQhmypTCm78XAk9fMAdBV6/zzZS2i+BGxrGi5bzUu7YxBTQM5aOuZW So3dl5btlOSjlzuH7haSQZWFh07ovGXIau6f81s8vG8Y3LmI74CjSvsrebCHGzCNysNBi+oiy6yo JQmOOyNxNVX+2WWiTXtuMImWyLop6Z/oHENk2HZMzMfHYce8mB7BH0G5JfrTLTPdHXsdySFpE2Jp 4zsmZ3/F6NZV/oLFIqOtkbN7jENdauXh4Na9FXfNTK+/ovbQiWkI5mGuyXT7vTuzyvWy6+5llwMn JlGXaV/m4aMfqiejw9JO3+35StO0jaW3onlws7r7fsGZupgJPA73t+KEbI54UWnz8F/2DC2fPB5N qBxaMUlkMlt/v+AOZB1/q6XS8XeZghw6MZmiZqHgU9Kj2CAdwY1k9snqakN9kyi2WQ/TxfTu60Uw u4llcvHRMMylGYb5vC7PBjdMu0VDArjy3TChF5Pa6DqXLM03EpDkzaZreZgnarePiIIQK3wVHy9T rMklQVs4yQAym+265pS70lXkdvXXi2RN0MKf1UcyGBYev0+yZ/8iCUW1EPT0xZMFZkzntsSKkWKh grJlRhrnZE03KEv7zkdcQuVqgVq58p0P2NiJTmzSwbzMEVT3Nnyee8Ps+4IRJ0j100oB4+mPnqur ek258OxfCmT2VWL9eO+YwI9JRm5CnKdL1beGkjTUlDHMMzlTNobVpiRmSY3/CULOLDftcrj//eS6 GwEpCVyLmNd+saSmv2EUpuoAAZg6LjB1rFGgI8ucgmeDNXJ3jP5XTecHXxeaMqleLHNCRxoOI9uO 6RpqC3ylnacxKtV0oYqPXk+WzpKQnOr/n+O0InNCtdVMmUzmxPqXoSsTelcN2xRGVh/cI8rS5/N5 +P04Xf/KYgTreFoxgTFTNvzkfEBka3vTvw9v+ufbmwqcmdTiXsxE8tGLIB0pRjau2i2CvWaQzJDV 2zcIed7wDzIfDbY/o3cwZzdnjLPneDjChfwyC8Ae9DlEQlv6DGH8BGAWjRXSlynmGkEIkvBooOfA oklsPPSyEBDb64FRpdZ3E+dQSLLzKugcqA+GWthlqVsWE5g0SW5Ci4Oj2QRJtGFSq0Zg6GXwbFRT Tt8Nw010fTE/rwFIP9NBbsEJqr+M4niqYsTCjq6bzo/X8KO7xHNRtmajf39LHhugweGTyaSoSE4N DgKwa2vn6l/ow18m47ydohbl9tVHwwyZKfjP7vnzGnp0mRh0i9OBR2T0LH8MoBRMhAL0mpuhxyq9 EyVxtRkzedE9YacEE8G5UTBrY3QU6j7/aeI3yOq8oAwr7QVxNs1fxCKPyTn6Og8nDAP+hT4+Izet wmWKH7Xkz2vU0YVCGzYRBpABE5VsJl6jjm4xqZHgdVRwCf5DrVP7THqD7cHkvkYd3exQyNl89BhM EOUFcEyw7Spexfn3Zy8aBPXqIw1e7n1F6OLIE0jMfCAxNS8859QBaZ/XkKO76Zd+dUUeUP2mTcRr vNH97sKUQeFXShac1+3Beedd8Ix9nQavEBQ5cNpoe6MIspk3ys7yU6aBWfCZBh/zrXc0Xu59Ijiw V1Yeysg11Ucyz5i8y+c10tCv1X1JZC+XbpuG1yCDnWFtUEvre2m+RZJh1isSNtiXBatB0qPnewa+ 2uaNucZZv7tSeZJFWVqimGaBJSQ3Ky2fqx0GHeg1wNARoQ/4L8tjiKWoLdh6WZ/X+EKP1ntI7B8B FX6wjsT0KJiKVsAwPowGn4riyGR3pJfvTjAVEqY4k9DuePE6J8OZ1sGfJxqnKiwPsx/pW+7rrmJL 8PMaXOh7QoSjYVDV3KBqyjaX5GTn8nmNLLpxPm0idMrjoZZtIl7jCp2vUrBCA0BnBEYs/wAApP2m JGk21zd5jSs0FcpJCuYsZXydy6p0oYj8ZFJYlQlrqpoR5W+iZoHWa0yhvaHDbuEYsa5jhNgMZhd7 FtT4vEYUOl/m/LU5phRVTPby8xpQdDkDKHTxTNX/UiWt7jIFo7mI2ms0wWHJxkDwtj0B1glZW8vu JX8CIyk7FYl9yxFNwOyHk44eaXBxTF2gC7Aoo83EOZ7NAOPEtZ/XYEKv1ft3JgwJYRPxWvDSVaPE 20RMziciOCQAsgXhUiNdTht8LXexlXVyQTjPa91z4nyD62RmyIxP+XxNVrPq5o0ibf3GVjqbPq/l Ls3FXr+QYddF+SQ6huzaUpQL5qIvXxXrR8VXc+jSXLyWurrx+asEqK0bu4dHE7N4N/b65L7WufQT 6cZUEUsZnbcwJX2jMF7c/KKChULMts2faz4oOQjWn9cql96ONS3HaxtvlRUml7QaP68lrt93h/7o 7C8Pq6IAU3cgYDDnwk0ysm0Kpehltn5ZcdFcSJsFeUIbfV3UUc9pQQ5UVTJHbjFt82+UDNxXCSnZ GwbrYhhyIfloDZQ0k2Egd+PZYJNM3yTG+XDfYAOxBhMirxJsLqd5twkm16XQU2Qx/nMNtsHYRhOC 85DiEBsdF3yixHNkABuYS3crrDh9ywLqS6fsIrGXIbGj5aHrYFKWnvkpgnaxISj6gbKNzk80FvzU UAV8+/H5TmvRfGj2MERBetFZgouqGPMBhcKQHMF8YD9cBstk7O/BQdaO0WFVMbSQqShiMlNpj7xx VnmntRANX+rXlwAmgG2zmm4RLP094hQEez/3qgF95p2NKOYktRTG02At07qwPWW7XwbCHNY1CE5R 6sHIshcXZ2dxzPPOEl9EwN793QqgdwQK7m0y/O3et4p5aCggs5F8TO1/r46r6RidHKaHb9E351b3 M/Sd0dKt1m/+FEi/V0Nf6/KyoyMT8Vj1IpgMHTM6HZqPfowKTavOoCbmXK6zWZ21/PCLCFj1uCm/ 81m6C3v8B5w/KsQHXVCiwkWBpwGBmWXIG8IfMBNR3KljSf0NmwkOYfkjgAVQtFXg23OIvkeeOofJ QiwjqU96ei6TOYHXiCQpCUat6XP5NnNWyb9mIgo86Z1OBJUYyU7PSzarJNbPO4tF8XT6dXxK9ZPz lKl4jzyd0IdANxWtYSE4aSoVrXE1z99ZLCTq5igwfLwNk/OpZu+qHtKJmzVfahg0CJ4P/Nzf7n0q moKmiQribFf+vYlNZSaxQvVEoadrAFU6Ja4LdWcjCj7Jybe7KOd1XewA4NEvmbdDEEWfAAKLlSX6 fhgEZ3uf/aV6+kkv1aHXnIkjR/2mPoHGmNne730+ZkLSmRztOsNtOXJY5XP0zzt/hRdb3wMDWdI7 Ge8BqJISsI0egCY/O1tprlsxymUERhGoZF3ONNgmGE+mKtvPc+cmWIWt0Esr2Oek8a3sNeOLvoef 2RDBWFrYSHo23fHpBMnr885cUZSxf4Xi9GOy5+zvzJVuehPdzk75fHBQgGgkQ60YOt8jI4xBSUn0 e9t44y6lq1gIyci7q/GsKo92IxISV8TXCrFRDKpKvHzh78h0qCJgYgRqpUVBqCEYicazNPHvLnnn rOhS1T1Bp+If1GXKrWC43/baXuR8p6x0t4gtjV3Q7j0iHrGaAkoZz3Y/5wrMkYT19wIq+kvx+52x 4tGLlAruaJ+9zqKjRzLy+LwTVriQ23dlqEUyfWG8s1U0eVhzNu8ppqtAYDL5OvYVplDbe48+BRTj C4dk1x5b6RPIYhoxbZMsU2OUW4d4yaVfTDWxwuedq6Lj3VpoyJLWe01lmXgb5a3NzztXpT86JtX5 TECwfTai4BPGa+2uZtwgMakU5CCWvparGb8TVbopNuqaSD4+SeuJtwwAcGK31cCQmm+1CKLpcbMb qHa881S6ibTliiwEo0VcnWMXZODnnaVyi+f3csU726tb7xQVgk9BZVEZFPJvWJy18DERxqtQjmA6 ouiT67nQGilPa0RS76MbKqMkbkZdOuJ+GVrE6X8cU593eoo+WwCvpU1mo8MLmzsqzfV5J6f0R6uj mjG3+tzbqxnv1BTqH7LIVUUWEBNS+PJkUZlfAr71cv/emSmcnyQkwkszemHnXMpS3IWNpUnglM2b xv7vQCPZ20WdEcVckNQZk9X49rTJOPvl885N0d1wDw1jekhGqfhkRAGoICq1e22rdgeHjlntTF37 yve+M1P8pCpS9vHxXib1PJqF88vyolfdsgjH8sP1+j1Bm71dkKM1zkkUPPP9bIFTbJe0Oj7vxJT+ AP/sBMWis/lcRBGoiNQ1eT28oMykuQBMqrlAEJO5eI9AswnLqSDno5+g6rJXmKRSPCsbCRf1l1UV Rcvs6QwMe8H3M2NhW9bRu+5X2FE6nyY7e/KdzzstRb9c9unQHyex+0GFnPmIYlABelumcziEDLUK z2rXbwWFRJuQ9yA0oy5UhNT00U6Ns07PuUFrWHhk8YV1qkiszpDlTqIe9nbBZIjH1tBobttvq3NS l25+X/Jwe+elUJrwuEt/qNLV7j4Ja6CCuOnSkBl9W8hibemsLnzY1apzRfh3VgoNVV1JcGQZbS7a OTbPCepNo5HNH02cwm5qNr8jjTAEFXVCDB8fwYO2us26Mc/5eaek6NH5LWUID/AtB78zUoi69vUd UkrSLHudKVvutsct/L0TUrrjhcucd7xF0FbnRqPsfHu9LDJbhRcIOamq81tY752Q0g0oplLh9pEI 9Nwx1+t1fN75KN2Rrs+hQfjnrcQoBG3gjvIVftoedi0XM+75HhrvZBSvhqjFfkev66x9zvxhdR1t 8mI9VZiEvzdJs7cLuqrTPIiWj1bLyNZFgtn3TkXpjzZnBYKBJhGxin5aFIKqr1qtvqfmmSOoR0uW uS3sVpmLuNeOQuFMPvrCKDOptoGqzwmXAGo9/15RnraIKv+fdx7KnYmKrHN9ZJ0V15omx66fdxoK ue74zsRSb9+mIYo9RYKCok6Fjz7OPxY9e6u1DSai8xnBYVH4FdP00UOM0WTGvFG4PulJI7tXu1IX z78Pi3cCitY4fQ6CxpX9o+f2w0Jw9M87/eT3uWlJ0PeseCefaKfrRNnLUJ55z5uYVYehIOfBTLwH njdZHRQ/r3Wpqntty7lONfApMXgUHxt2Xvr338iz2OtFUbgiT+xAGQ11MOvIJiU42uedfELyfqMt Ooo/685FFHhCFEc0+R/SVm+zp+E0YCsBa09GVc9E2+g/ibuEN4oqlVwna21UKwv1Mu72ZyqyvV00 FQL04fA9mi+L1ZJhS+VG8HmnnRB3emwBAHagJsBMvEedfncPj6sUH9pVkl3juqGay6qIqp5WqhlE laPeEPysYdTQkEWQVgv9eLDu+M88rDWfi7DjrnVOJJE9ngAOvOwGKXN83vkm+pJz+YYWoD3zPTaj uFNRYxcmQkTGXodlJieG1nrBKUH6u3D43gkn3aRT1USbPjowR0c9QreqY8yOHN45SnV+LDGTHo4F RfjPO+GEkz1Tzik+emC7jVZ0lln5vBNOugnU2z7RsobOf0/QKPIE3taGN4p6sUi87FRu6/1eqnH1 U1UKZFllU6kjDvmRsyua6hxqOataInCj0jlVhTMmK1bN0F95wQikw0ykO5KxjmyyOBLQ/rwTTgi4 1vc2qa6Erp8VhZ7CXhYDyusv3nE9C8Y9xyXVQuT5TjehRUBMifrvXjcKr22dqDVBN9kn11YMWszM p5nD3y95uHeuCccGlTfE8vYVJlAsxx2rJvDnnWpCipa+50YVY8SnIoo8p04ySNccF95BGuVRQR9e 43qnmeh1uVcr92bdT8t5642XaX33M8X6dangCh2oW+WhVSR7v6BLoNus0RpltKt1NSv4ndf7vHNM uhNUrKijrXZ+wvBd8s4x0bkhJRZ8LDE3HAO7qq3mQkdoIkG7SXxEdGwoeUdR8vHik9SmtPGmMLgn 6z7zUcyARURJNQr6V3dk2Au+TwdH3yAcH4uWqYrCRbDRH2kI1vl555j8bpow1x71Mh1x/x21xfPE PxJDB434j4TXkoPnl+pSSzvlnWPisJoTknCM9ucYlbBGMQkEgbeGNGZ0q0jvomFv/C/hkXeWyd0r M9FPS/fTz3k6IVacW5w3C+7YVb/RhllJ8LPCIHSp6e7CVhUuGETXhwkt1CR3yjvFhDhWMReszZIv 8DWzWzaWnuXcXNSzVBpH8koUy/LtLm57wwiNgOZX3z5a7NVP5uTKEuPzzjIhEE3f3dIblBum4z0O tcSuIO2AhPMyyZFuSB4clZ3C+E4weYp+ClFs9IPuxLR9DjPJlpGLGYie80O9Zzms+IXCGfB5Z5hQ e8JRo3YfrbTTNNNUAXL5vDNMqIGmb2lHvGl9BzYdYQ30fKxMwq0gXKtpjy4r+3W6FMPnIyyCkr0v ktd1MbCpT+niLaO4UhMlbqeKLjCm1snDQHonl5Cs6eyThJ+NxJXTrBlVaG+fd25Jd+EhJoNeQflC M965JVRP1FAtJkzcOkHoOlt7G1hDijLLJyMMR0HCDrNdvQUNSf4MeY1lJjRVufMKqJJ/zLbgEWBN 9nrRZCRAft1HC0brnI/7zDuzRKu+384zoYGKgxf1+M4sYafkK3YmCRqPO05i3rwPvz2JfyeWeIO3 VNDPjDdLGaUv+sYSbsKkQtQ3cPz/Fl9555R0I73lE0BNHwm7TqpsmKxVeangPqm/zk9BY4AoMBNR FIr4hrm4wVl00J/BhLVkhnMW3/kkTznjP4FXaspRqvEl1hB4foJSWT/NAqH1TVHe6STkGXAnUK9J 7nq4miRdKPnt9nlnk/THetyhsP2LE48i0I66cLeUvSBFIkhXcTEvSQz5RMQIUEOKNx/9XkU+xOA6 KphUElsWxjLy5vgKrrQoAkUToyAzwAhK5aQ+3c7QkvVoEHSN/KvhrNe4UxFGoE19Txcx79BKuU0h hpCrQWdnf0TVT0mqSJoq+ej748RiHdPRrNrAkrqBVo291fewWP5+wT2iyVisLUZHtaVsPn15Dz0b tVn3r9QVjL5PRw9DUArGV8j7W9KYbl+2lgtX9fcI1GhR5wLRZJSnZaKE5Nyq6gtkQY+ERCMIEdJU ezF/89Zk7xd103RqosrByNl5TjD3i65Nj0aAx19Yrnpb/8xGFIECVLTOe8ag0Ytdy2jgkp/y2Yha 8IM0XuqHNnqXPJ1A61ylOGZrI2YKPM0MPVSgcu8uEI89KoFCGerAufoD5zrzTPdIRFA9Gtyq5UHP J9z0nhy+x1VQrW89JwZrbVbLaJSZ1IEfEFgTn/CHHF5XiI8X2XbS9mGgHRWqNvQScahXgqr4TU6W vV8E21F1R6xjG723uI1oc06jrWffz40xnzro4Ny4ddAeUo7O4muUa4WWrmYYYu3Vim1VQW+l8xFB bkLv/T/wjJPFD51xnH6qV1IIyuKWC1ZoBk31m5v0KAAF37sk2m2jXa2NuoO2OI8Gs7F/UyuWEIY2 GRHxCFtPKLOwmwsGKJJYkeDpVJBRkny9xLeJe/BWAKw++rlxIpeBHGaWrcBAUUT750f9Klh5dmyU ZC/4pw6S8h+NDi6YJwPgIOznKNazwZ0y0/fcYFI9GO9h/KlCC4f1P6h6Vnh551ZvhosVyB656h6y j0hbgRgz3qrGuWu7mb5KTmQCpJdeGKQC2TdaZZgvWZ8epK3mWwhVBEtG24fN5FW12PTsX3aKueI9 sUaPiqHQNbFpVKKG67dEd7dgzecvUxccTmY9Dj85Nqx5+PTT2kjnQhGi8qwRpRDm/jYBkTfpBz/h hqoT+vjoFOWm02Hcu/tt5taHaanWc67p2b8gdyyW+UGSjel4j0GvlvkJ6rrWhk64KnW3gcMbovRT O6XzEQGIHvi8uj42erhxUrUThiptzycYTdT9CjBhvrLL8jZmWlQHlYKvFM+yj5bDr269lHJeU89G 5LRf4YYYkuw3ZiMCgqoX1ESjGDL/Szprzk5ZRq/8h47mOUYn0xG14RFDPPdR8/FGX1VQR+29syp6 bVQA5SMmBBwsY6+HWkepR614w+/UZ7RrRQxqYqhzievZaHn8gqzARLq0xTgcVUoNk5fcOtM6mGgl neUBkJwKYI8KoisbX6D7eCPzczUlkPAiXzWLOKqqfzSQntpwt7cL0jXVrIbAOjY6+iNNazWeE07P Rqtj/ipqpKenNKJQVJquJ8p139S9DfpXV/ckBWEIrYwRFUMlkq9ccvjoFyx0JDQazm9xAtpp/573 uvfJQJFpRGFoHoiMarPM7KLXJ8J1z4/cih4NwtBLrzBWTzUvY2YiCkO1DCT26mDYqxiqpNcY7xcg POIyKJyCkX30++T8fVXwW4JgqH/gSFAh9Wr9Zbyd7P2iwt8C9Jh8JChvDbUk6UtnPRpVQfe37jch EflkhEDQItJ7cdjneBK2ncdtxhenIY2oEIraeFmUQ9fTgi59rpMBgpeeOj+t1EUXRTvidpKgvY+Q htTgvSM1fKXARHkzSlaqPBqVyH83oAVh9LmIglDVIPMew7fI9ILGNd7u5dJuRhSDclpUIMCVUvJP NrT4uZnWtDrXWRCFcl/vBqy6qauu3KzP/kMDeuEdx+ipa5m3A731bHBa3KkgXMwDLq1NRhiE4vCd XaEL+XydF9/UVatUydqIQlAjOgtjbuMF7mBRpFPm7LhRRbctqmr8jPP/+9M7GSRrIyqBqjItj73h I/UdaZ7z+/TMo8G66L+rGlzIPhchDUlQBtro9OOLn515de/HZ6c6jygCRZ/8nA/Nx5urrSZ1kG03 81ZKVtAibRVD7Lswur1dkKnh5EmDnJEYXCporPPzT/ToX86LYqKHxfy4mIwQBgr2zkUhenUczz0x 0CheTisYERBU+de5JNbw0WPQBYKHEs9JW/sCzoG/k/DXj7shKDB9+B8aJ0tcLxs5MkozimsRQW2E AegX1IU2+WX5jqgGClPJsEwZZUkrC++6byfedfxHFH7OaYcnhQ2zCQepsQQjNxZ8TWcOwDudnzat 2OUXydSJEdVAYW9PEfJtZCL2mNY/zql9Rhh8tv1rIip3CRMRhp669ofLyNDQwAzEloc8QLODHkcY eJKX4D3EeHutJ41oOCxKhixT+xGtlUirtfGFrGx7vyDu1LUsCVAfPRI/sQvNk7Wqng1S1su7MaZ2 /nVihIGnwno7H4T5S9ZeHCDwZbMsFRRACSOsguJJJl6gjc90zBNoAr2U8W+lnybS/EngfhYyy/Nb zhhhHbRBB5jFR+vE49VEFF70aFQU/oVKUOTprdYZFkHP51P27Yj4ncfHP6gYIZShJK8Nk7+cUeiJ CV0hQWf0CGPKwnSifnkO0lqoDjaIfaaAdKsZ094vStJoErTpo1+svZuh4a5TzwaT0X4x1Aa22T4b UfR5wglhNKplZGIk3z48ECzNjGSNSdJmWAfN0BZ79tGv1qKuj3kICAmqntRZPMqOF9X/Z0KgFcyQ i0R5R59ro+VoZp1JPD707F8IJyj0MNbmtdAZBaEZQ8jlSPoEQnb9IBBE0yAp20FjecZ0JBiMIDX6 g9Q4q0L/i9/lFH9R6PIsbtFsxjnxPK3Z60WlUJV2Fu7b63JO5jmXs+sb8uzf5mN4tHGx9DMKQzFm gXKvo5QcUmIzl7U3yMNYHmEpFNaeNQU03qS1pTEhmik/GXOYEM00AYdvj7Hb20UnRybkWj7y2XID MCGqk/7r2UidLD8HqaXvNd/ZiOLQer7Y1sp2PwOQj+1ncd9Q0uDQY22EOkzNsOPJR2/FJ9ltbSM+ nx3R2FNS8y7blAHaV41qhnykbDz45qPtlVa6tchmHXo2aCi1p56RgMmM20KZf6qFugyZ0splpNZt 8wNjTtOle3ZGvXijPJvX/Xiartoaa5i5o9h/OHPB+BUdrn91L5Fnn1EsihPkVJfBRrsTLH4BozD0 bKQa8UtC43wNw4OvGbXicdxb3m4tazVHlFfbKLNfSvyMaqFe3TFk36OZK6pzM5NdsRY7xoBluFrB o65Ca21GUah0Tc6RhiJT8ZrGOLnfummQHo0S168+l6JKibjfbRKSkUz6qd5m/PBmfFqXEO/N+BnK MdGD7naOlusxiD9ubeSuXfK53Dk149iGKFD9oiBnVAe1+B0s07hYpnP+QGFCWEyPRoCVfoFuCdKz w4Vn1I3H7zNta0EXEzSEDd8vG94FumYUiZoEwn+4nDI6EULO2PALgUP9+3Oukx08p+ewlwsQkNgd o5dbpl8lEpID7yIfMD36F4SGBz8/5UrMzJCJJKhj9Vu0m0QXxR0vbeSGxBCTEZLhQcvvfkdfvuf4 FK4UfsFYijyUv4BIArpzi8HD3u+Pe2RWH639nECdERfq0Sjw+pWglPRw4VcUhUKihfoBZnpUr2cM lyvDLUAbZEWteFCv/yPcJrSOLNcWt6ok3HTNFCB+k1vVxPymv11E8RULXhLDNlqZayIPJGWqrUcj IlL9+WYn81cKv+IKqLZvulx41/XT9+WNeBy1O58QzAUyXWMsHy9yBwAGrBOVQzcBexX+6vztoXEO rCxXXP9UuEXrhdHm4kQvnH3nyNajkULCb0AXfVOPPVcUe0rGrtRiUhn6Yg3mNqal8t0Uyzqf8Cdu wYCUNax0TzW4iZlGd1EM9o1eLLZH50t90ladtFmfHemI6NexMm52Faazoz01KZJuiyNPp2WBLcG8 6s5FyEY6K7yZXkSVhKRZR+9Urdhz4pp0N0kIA6Xo17kxe/kCyE8uUpe6i3lowzRK42eyxO7TJvc7 tfv7/aEEetLU7SNFv03fhaJf0aPRefEruDjH1PB+yQrVPxUaSEbamvDjio33s0FxGJ/rHKxDgeeK +UisibZ99IuknYnoUBWz8BTq9BeazLC0BIG/4J1lbxiVd2BwkureCOPcpaOZjcVKWc9GNdC7UbQL 9We5ExKFngndXl8TtcE1mUJR2PWy063wrJAKT1qSuVfz9149GYrMkiolDbngmC8dB0olGL+rg2br ivrwkk5Um/WOlmNIYs2kFvrQs0Effv3K40mWvbW4ouBTDNZiIrlcI4YVNosm2PDJRXdWGHwa6C91 Hz3g0iXVJVRCyiqDD60OlQQUuKyvZfSw14u48Mg9Ar5BvdXii2w9tS6M8IoYSePyTh6M8IXTr5CR 1BCzy3iqNTz5lMEXUeQhd6JkymYJwaDAPBubpT2bpfUq8NKmRH7WdEFQZCkQVCr0nQ7VKfThUaSB kGcrPlLvmtvcTSVLrUfftwrGyjYbNh3nUrjAvxWSktRYXrr4/klGjIcPr8Zho+bF78HyiOuhLA8Y KI88jgCxKY9loJWTFojSU3BClrPE/kqC6rbUhwegUM6OCl64XkeqLkQ623/Yi0XIvyutzacrCL0B RxSIytmkTmhIMvqqhuWZWF5gbTo8DF2xLqicOjYVrf2IBYmeVc1sSEIJmPkVUdlPtCtVi/mVwtz2 fkF+UmCe7OGjTcdJFQyHM8vQs8H6eJTGdZIq4hmeouwoFh0qrdlJoSSvmW1DQ+dGMQeeGKikRgVR rUBpMA4fPSdoktdODXXYpqMU7B9xkJve3nxNykCfHYWjLaN3COX1Ce/aSe6t4iUlzB1K0Nd7ehAH /VwB+v0ejRrhuuaRvFYOAXj/DOM3inUhLS0tjh314/GHxifGRsesnJk5W5BLbs+T0HOSSv8BH7jy sF2Je/XpQU0D+R4SDkarlffNvJy4dOrRSKbqV5ZCDnwnI4SFnsCk91S9H5/S7a6NB0CdPSDdcUAK 9QRRrsfrRVGoHCsmi/REGwtMS6f5p/bBt6m07QWjCo9yFP1HNtqxJHYkq72cVGXHtKSbqnCHI2Du 8xESk1Qz2Dl5T74mh604iriLAGSzEffkrbWWfXzqGqlnOjvnbhIl1ToMmPGpMmzohEFXaUcB6Yb3 C8qbkaWRnLx29mPRoxGa3K8V6sMKdJqnsDsMSYEYeoBhlGRDk9eLJs/WbNwxKtTEAoqP3nedVWRf lQBKGmfv05IEArYEP3ssb5q9XaQLSpNccfa4ys0nCjGuvAza9WhEkP+XMr9ar17v2qEwk95WiAGX tvM4rLhnuNX8WRdhSx45N4xxjMZj92tVOyoD4il9U8TU1SIInmTYPdrQ0a6PDqKNwgmM92h1IaK6 JlqdP7tVPRlpadyGvDWgt4ehO5QFbQJN5OUlHr9KMnegnRf74nd21I03VP3OxUefiXNA7JW2rZA+ LTIDSUIz+9Fhz/Z+ETtroXc5fWSHdP8xsLP2X8ugpTqj80KFdxiG9gerglWZ69vt9WjSexV0h+14 oyQBWtkPaEV4FdHVkLVToml4QOF4Bsm8EwwoCe+oHw9DdqLjzmiYlW6wQinz6tHgIrnIWOit06Tc mIooAl283PK6xsDpBhyvHaXCF/hUhGVQCpyDGs54DPZ2Onu64c8rPudJXCptlcVrPfEWGis7ZMUj 9YE3JKPNxErGumuZR/+CpmdxdDy5fSqi6LNLHk/JiR2Xy0rDI1/KHrbFTEXUjNc9oSJR9dGmogr5 UTAMFRa0wkBA1wfAzVMa3/ZyQag1cUpvd7QrpM9kMdfuejSq/N2aRrOiBjqLmgqjTb3+uK27XoA9 tdP0tQ4BYdU6lztlLkLTm51tCoNPy9H+k5mcnGFIdodwq+r/ULiVuGF1PAjK6vgd8ngIXu9LVymn 2gMaOYnZH2mWYX5XefJ0hIz1+BPTUvXYRq13WkJpJvUiBdjfSlCECJi0WtM6/6RjcuW4eixCghQF AAs86LKesGtvxA6aibkNVf+K8j+BY5yAYlDymv0VI40RFXpwdp+P4ZQEvIyQM1rj4SAo/7Yaz73S MDq6c/IeikqPR2hyZygBK4cijznXPwuPJ8/pcwqhoe3ilmz0q+UE4GeJIOo2+srD5qy660j6t5CZ Pj1qzAPHQ/+wuP7hySbKNqTs3jwbxBwX1ENjkjxl3hmJ6qOchWYEpKiZ1sGJCnbu90y9Qssp0gk1 oaaJaMB83LJOBqvfX+UJOVRWz/35cTj0uGSAWQLp06OSIE7EmAJds3CROfI2/c/VeDgokfZ/naxE indGopBUXhEN+V8FXQ2k/TiZDkqAA3Ziv2skqpKOzA1i6cgXYg8VBzMW+S/KhQEuzf6RmqHEODwW Q4iSjw8QxCrtVVJuRjtXlebQqNot83AQpV9iX4GUgrHEnZOwUCq+/HTsF76/Ei7asK6ook+O326f EZ0lRujcPvoRe6I6xQkJ0uM5vXHiwwdZdlBS7vZIZJomdwr79KCdZG5loxU8hAk0Ya/cePh9UurT ZQHig+jMs3di3rwKKcvCEAwYAAgOT3UnvSSzQ4ni1Mmk/Ff9bsk/eYPNPnfE2AmcudxxpLv78Pvm mP5+UW9Bx6ls+Ww0IIfcHqyu7m8W0bh+dRcylsPlTkhMnyd39NbKqtanNmk1CVKPK0iNFF1QXlg/ ZiRno6+Ss9J6MlmFPtdGolx+WD9jWIzg9/DynRN27TGWJ8oY5gSUKIEYn76fJ3j4L8An/aHC/031 cwpDVmp8Llduy17V5OyRG5RYE/VPEZG+OlE6+/hUgqR9V7hvTthWuZUkBSJ57fVwl9wFJIU6ouiY 4KTCSDdu9pTtwuiZZ4NN84QlmAhpTtOdkLBsWhXtuGZmh9pO/94U4SgGPU4gKVazZ9cQnMyrI3Pu 5bX3Aq4jGP60i1rduHMg0Kodv5x/U0RhQl92QlWb06kf82yA7pCmwrNRfpd+VUAk9X4Lpzl0VRKy zcAmVgJJt/3S/tPFz4GrktzKO8IC1cd7A28h2BFmUUccgltFJJkD4AZpqLjnwFNJ6099fN6CkYgk uYirbvhPDj2VZvqtOyHOz52NKGqlvYNzAIR6F+tpoodaH79cF/XAUslkoM9WgZiwLjFBDr+tIgyn nu8JzAA9LHOu+WLB7JKJ/ZT0egMTAkbgHefWMquDXO3ZvwWsWh0qvpdncYQBK1kaF4r+0kzGvc1r G46sohlMhbqiJgUHCH3/8ilUFkdCIun57tMhy0Yz8PylBJdDRyWT6MrgpbNfX72qAawfcu5cno0K ybc/abqJX+XdnKPS6ZoCyLuGfWvdlK12Wo+qfXrmIwxXkRxZZfrofYbzbVZcWEWOPQk1iwjKORn8 LZ6O7C8YUYVNYzX5yOEhLA0TclYXz/4fGrbqT8p/yCckVHVaCJiLGqx6Ulfu24TwmCjDkTcUm4+Q 05RNlaf66IepEEAnL1KqugHwIPOvaHibUItXAu77RbR6LXf6jfNeXlMK2CYMp0A1tFYq80lnFLzv X5ZbgbuSSXbVVp3ZBa8bRzY3ydhpXBOdwF3pqlyZUV2/DmS6b/sJyytwD4FMYd5r33PhfNtyQBX4 +GjLFAS/po8GENuLNa8KOM8GzYZ0Q/cfzKbWMx+xwP35/vf10TGQ3FkjyU3DV7qV1Bx6LC2k8RL6 3ekpFHUJU204FycKUfdaZSu5T8sQY8EM/dd8xDqjINGVuzNyvZxpcKUBebIFNktSRHq8HAsovfKU RQKbJZUAZFytFPQfN6ujrZ9hltPWr+NumUjj3sTMrWbUf4FgpA/FQlWTpYqxL5gD+HYThvWYrNxX jJbIRgJ1+WgrcI5qRKmZ7OFgjfTf5fYtkdJrthS4LVlhteGcptyf70ItbBEDpD1wznZF2LZtYpL9 dkSxjY51WPVcOjTYROY4QfwVrKh27V7kx33BoHxmLlym0XHTpHO+Lg4CtXF5OAjbR7/nSBEwq1zp lhxaLtEIaMXZsmaYfM7Yq0O6R3OtvBw7LnFgFtRKyj1Wz71ygmzaTpIdBUauBm6S+IeBUm5UJog4 Hx81IdTYr4Z5WF+CcnHBYoWpoesSAiH3ZBXfql00TA6Nl8SqLinfBv8wjmS9EVrPoLGxdoyKrKsb Xbr7eDv8Z0IaMURRzStRKNJPUpj/uJNpfuz9/lB/l9XZdTW0/KUkIKNS1+LhCP9xexEqsIqqx8za jIQ28xILIupRgZVfQKQn6He6e7XzrU4U+i8NWnWJTZHS08hNdPkBxOwTZopQpbKzKD5qJo6nmFjv G/5BhKCYAgrOcnx8mbU9LJ8cWDClfxWdM2IMz0ESezDJS1pCUQ2pTf7Sye7O/wmd9NG1zoENk3Be TIpURBl9UnoX51ynm4g+U3KkshGpkI5NrZfDRMpQ/pIROU7RCF7OjHz+3tKAt1lpPBxFrb+qzlQT 01V0yYEZk+4c5TLl1kU8bJVoygXHtLt3QhCq4UFo8NY+nxNwixen5V5E6pd++En1VNgQN1hmbL5U oILw+VF/F5E1H7MVRqSmRLHnfKf2cn/B8fOHfuhTUgw8mfSM5HTcbd1artCM4Rs/ZPxuHxEkerRr Fhj2dWVu0kpVxD63jjjnSLX6iWLWJ+u1UgyfHczHQKZeXzAjgWsV9FK/z0nAeDbSg7oyN9qYmo7H 7zB0ZQJF3fedjivUgIYMdvT5JjahLZMdr/9LkTu3buVOP9PdVFa8iY0CtdvzvsfrH8PWczhvH8n0 5BXouLLNs39ZHvoiMRd8jISjuFXCQGdxmNp37wiaTBgrJm8sGsatJQbeTLqCaXxzuu7v6XqmI81O mCbC3EIXSLgLMT7GzfRm3v6GUee7oRBafLSySHNPjXNY8WwUt3q1me9SRdGr8JJDayYhLR42fiYI IqTf6+IA0t0vsUK+7YTpo7dShF0oohyecO1kxAW4uzRvuAAeGfTlrxdMh2LWhe8Ao0Fl6jAW0FQn L/RmKhcJQBkEKPxNfEN3JhyHRrFwpIxmOEyhMJxRipMCyyPUyIcpB6OY0Y5U6QCpC0GEts+Zmox/ Cwnw56GUDmv4hv5MVRFFR7GhX8WGdfJdkyidufBsdH5c7ND6UVb1NT/MoUMTUHZj/qAA5C71xY02 Jqx7u3djWhSNTSPaXno+zpjnzFgG7RcrHXC32pvaxg+UCs0WPj1YICaR34qPHCB9mESn1FR4NgJI /MppFvZfPh+hSZOsxhp2nKQ0lMnaz5bBmZKcc/vkG53FNk0bOBWJzXxi7HTiE8kvVtQoz71slHVN S7NKYv5K2ObQp4nvdCCxN67EXt+wrLQr5S4cGDX9R6h04Mt25+M9WC3/L6GEiB19NysvNTOnlqYS Yd2T2wOzwKrJsjAJymUfbwwiDVsBkyR30hfuXUXrrfcvpmoiWJADsyYjUkh3LPlofe9qbasskm0O 3ZoeyRfD2n2rzH/wa5KYXPJmN97uUpUz/UWk8hVu2WxEYeoAAVBJ8OqT4J2D58Qf0kmWS9H5RBSz RDeSHLaOrKd0Vu87BgkNfsvMyLgzIrtFjjhpYwwejuCH7TlSO35ZPT1rJMQCCJXQXQan9Ork45zv mdrvlRtbN5H/i3Jm462MnCMes10JHQ9FaKhLTyTz26N3Yp2ZwLrJVATOZhnbR9YIMGjWSJo8G6S8 VwHbooWz9J9FEps3Sbd1GVy5EVQLLDLHVzH/9ncD+6YLaq9QSesjma+Ism0cGsQPAm1xEtUTNuoU 1Zz4rWvU9Bw4OKm0oYtyUAe8xPd5DqRsant182yEjUjfqAxq/IVGBCZOCuSmyHQ6KMSqU19eh6pk pEzSlrqfHaohVx9OjJMtL57jfLMnVjh3LeXEfv42jXIq77VtOklul5n9DSMSCN0+3ZnzopfPydYM GVxTHjz8l/Kq3h1W462KhEZOIke6dL4knbrVAExnhfNVWSpRWeDjJMkEAox9x1tvXiKf6o20U06m T6EIixOFWpQofI1kf8Vg1yi5XCgRMFqBtW3Tcjs/gmcj8ml66iIFN6en5R06OSVo+07AzcslUOpw RFH71s5iKyeLyf5TSzwxjOQ6QeON801WSvRCM0vQYn7p6tvfL9gzGZC++u0jX4EEFSZNXbHsxsNR aPYb4l4fB7gcejmJ7kvdPKOkry9Qs5D5J0IASJzdVkgYqPKLDpAR4zKbzupI8h5BKe3cLw0zpyw5 15VxwvqWEou/YXD1Auqm1cPoZ9Ra1ItrGZWHg9Ds2rJwBEIreaYkrq6e31uX7hAhJKkCxaULi/gf /JRUkLZJiaJVKyNmU4l/st3ZJOcnENH5iD0HxUR1834UvMhg4XEYyP6O0e1LI48kL1/xnXN2eQR+ bnweDm7f8ahrcesohbjo5hyaO2EG2Ms1C2wXCLDcAm1flkwOzJ3E/AaEx/aZT0ojhezRVK4U/zI7 FI+E0bBRN8Ur/n7R2aojpJbmoy/D6aLQI20eDjbOnZLHqLrcCzi0d8LAeJdHvNJYInX1erEA805I BGBdhn1/Rs9pTiRTMxrGEuusfZkq375SdK7JV/z1IhQePauRfOTyncVMj8UE59ngqmm/1PVPTvJ0 8kJ3JwTkq1P4S71AzbkcSbT6rZcF7k43OPvv6ki5nd+/kc804ZENXKP82Rxr+te+J4fWTlh6wNux kbZm2skteJI9+zda/09GDCXfSCQwd5LoSLqxmRzRVMxQxypP1wMpJKfEqn+wdyIGIb8f6ZmONYaY +MSqtadNeqdeUEa1594yFqsG7k5nvW9QREpFGC1WrcM0Xs6RzLMRiuiCEdnnOEo+myWqp3bI/WdH 7BObFYBhcPvVZ5tnpUil1S+aPxg8EZvZ8TAfY3chZ+Yq5nJ/QimYJAInVHqpXzLV9DcMLt5J3Z0I bbiVz5zVWk7SjuHZYIm0/O30KqZ+CmahxxOqdLTGIPc383iaaWwn96sWTqwaeDyp6E6tAwW29iiw jdFVYNV1faLrngnN7CayG9Ma3yPd9wtYErjpEjIy8uHnpiIJlYJO5eH34/RxomVauoqIzwoJY1VF Ncl1kWtyXWQsVxwLcDt4gc9TvukMJaB6cc24fp21nTG1UPtFx2li7YttJ1GfG4oYnihwe8qGF+uI iDE6FmV4V/PsOh7+K15EGEOMQXxKQompDEdZrX8MY7VDsvwbq1FHpNaiFnjnMwJ85jK63fDxzonA ZxAIZUTQqymqcLpBxnROu+UzgeeTeeUI1918ZM+U6Ra9vQye/T8QJLiKtqMjPi8hSDs/Cw3Nkp2F aYIWAK2qAVg721BnyEsAcj5AYJGtMpjOolYvCLuI02+CsGdBnBynIbuuapRZYvXb5KXc83kJQHg7 8XEFq2o+csfMsiiZnXP68xJ88FbbVobQpT8mQaZmraifL6GHTYSInZ27Fbn9/WPs/m0J3l7bFbde Ag9+JD5gTYABG724fA7otBuOaHtpUnSXCzFdkSJ5ECKTo/R1HhK17xNp6QBqyfteI63OmSoezucl 7ODXQnTNMe5wHumFaiJewg6eEB67z2Edy750qyFRWJdhEl2QQ1vkJeywNaFaWoNp1h6mmU7OPTa2 vtRAlmDsTVyEbQDE+lXbegk6eDlsjTd1eUbjQZzbyrz2amqfl5jD5hAdgizZRdWUJy6KzMRLyGFL oqNb63ujbCOjnnPXAvaRx3Uofp0H0XOUBqieUVz5HshDPWlP7rRwlxyMAOKJAUIL4TsT217ufSY4 nja58b5yASeIsa1x7qbyeQk27Nca6bskREv1nfESZ/DfL9pVyxB2GU6fOg6tFG9UblcofAkybGfY QuCgKOlW1XuXJhM5cBGClHuzCkogGIXQ7Vd1DL7QS4xhRwS9/daTj9ZtOdkKcVPrZX1eQgx/dPpE nGBJBKXSfEW8RBg8ITLTuWTShcRYvRTLFk5LdIwwrgmmQoQCrfk7OtBrn+CyNWu1Ck1RAH2ceEww nX2NWkoyo5ZgJhaQnkngOD12ORebCUUL2vl5iS1sRVQ/JECQqetabR5eAgs7Xs/C7gZvmNLlXX5G LJfMVjvbK6UvgYXPhM6IhhN1e0DKq0gYfU8w2yWdgF/HKW6B579vN1GzSOslprCtgUuIOYU9Xc8T IFsvXjbFn5eIws4XE/z1vTHF5ds2FS8BhR2XyPFsT1n7uo4cyy0GlE2YZmV0WCrdaKBtGT2DP7ec dLWmAdmzju6iywTXg/FU0Dv350swYZOsvb3brD5acbR0sy+V8eDnJZZ4XouZ0FyYZoNNxEvZy26a isS9BZtlLqMCdRzaRFCmy4RYUHBaykJAoOziox8TJ34YC7B7lbkezeyESkTDFLI+LhzSltL7BXOx +e6pAq5bBRwnvjXAypYMXTAXDgFSUiB/W43trouXkpctQt3t2e+MSltbsXfzw2OaJJ8UDcJ4QvVX Ib1ttOkYIwkMBV08SZyQ3lwz7IswnevxgsO3+6Xa5ZGVybAUH/36MJCehB4/L6Wu51ZkMrSzdeze a/SN72LXoLraZVvG3stuDu0gfFHujmy28+ai2VA0XRXg2uizoQCyAew6c6024nRhLfld6WD+aicl e8VgcSi7XMhKM1pqNrt5aZ1X5tlgp0zfKcYIWdasZkaiYFMycyrgnjxdctNKqs8qmRJdWjh+SXvE spAw3JxWFGo+etx9JvUcPYK6nI+tXRlgyZTVygm35Dx6UdrLUNrRGUrRr2MV2O9F1U1cxsWT3mgv doju+T06Bp/v8/Eec0ogB8NECzFa2VbqWv368uZyQ6030ot9hFpmDStqxu8COcsBqKk8eDHRO9er UqnxWzs92+u9T0bXkp84Zc35+H213k2hoAiy/h52PujsZg0VxTjpZiJR3KnSk8HbkITeJofSsVzR STrz3S1R4KmassxCto82GVJuG5QBpJN9To/MlCGDnBAL778IhO+BpwvrTTcm9YrAySJNXEM+j583 vosdHfNGniY31g3FabPxHn4md14YzoNa3ZDZuyY/PEpvdzreA9AzHWKGySbRR4+65tk4M6k6VeRQ 3Jg0/IsHd51Kro9J8xvhxY+Ozs64I7gfMT04DuTU8sZ38UBlfaONJuC+z0UUf+oX6XQDkY2iO99P bgqcgeiv3t7SewTqrgsIitnoc5EkyIprDfLxg32icNe5P/nXTEQBaEqoW6ELV52kPs7pRFto7/p5 o7l4XJ3vRGC9+QN8h6kIQ1C1MNJ1gEvu4CPxYqtttSsK/UZz8YXFTGjpt/51JT5Hxu59mLvoRA// 3Csnwmiglfx2lYMnn/0+FWg/LTU+bfQ8tVMOO8tZYJ8oBs038jobd5uS1Gw+G1EUCnktOTK/pGtj fi18Onghzs8oDKX/3uq4ox8Z+5y8Ex/ychZ6x2eySgmROk59wPpaMLzf+3xQA15U5lf263svCwoQ Vfq80Vv8xW5I7jgOej/MxnsoateJUycRs2neORnWSxmScbG1EcWiw8BdtPEeW2IRhbSep/GNhREk dMfzRCvh6RRMe7v3uSD/hiJvIwfGiW39Zl3r80ZssVDDNMbsZqU1UzxTeyO2+N11dhSNRvUGRKe0 VE0gAh0eEui9uLgwFGVtWIGrPz21Tr8VEIn+dlY3Cb5OjknD7yoAWwk4ikSZjk0DdQ+/uE9438yU eKipFoWihmy82dpGpZHSVhSJ6kQ3YJqiCrRcKGUkJx0LNkWy9sZo+cahDbhTe+BOZ0ec82Z0U5md rcPgh8eNofjXnnnZ273fJBuupcwHbfTPVoORqcjj88Zn8RTFd4lmRDV75eTMRRSDIo6S2638Fktc Da8KRWE7nvaNy2JLqzILNiM3aJZlJIQnDHx0narYUUXDFgmq9JutbVjob0wWu0yQyWaXzLtLMlYF 1pNr8/NGZPn3dQI6k3aLT0ZU9MRvwYTn1LBeJuzRME6Q8xf6XEzGe/ypYpLOimJT8jgST8lYnAwF Vk4vzRQcN6AeXQDp8ccbSHu8UVi+UYYpljESdZ0lQXdRbLrPG4HFZ3J+L1cMue9sRAFo3SBoTUVe KhxGujYFS0xitvda39grHguonqFswUZfy6MIgSOBn7NA5d1NGKJifTV96237JNnrBbkJRU/YSozW pFNXwE0k1+eNuOLZ66/J4Ca56esbb8UOXWWSOBMiq6V4/qwNcx6SdbdaGNwmb7SVX4eGGuY2+m2y x+wkwRK6TUX+cLpbq6JcIo38zVzfaCs20YqU1uRmnX6zFgFSLVbYrXzeaCt2y21P5YvhDUmZ7xka xaBExVz3/xC+W7t1GNNYKmzZa6BvtJXnRtdEdB/vdJzstAn5pOk4mwUhBBWUmsGK7xnqbxcEGsD2 qdrNy1oRHHAxNWKjfd5YK9/4lbUxrbRR6u2VvEehpqlXS7VfveZuiesAgKUotIMP7HxCcIg2y+O7 jx6F5lEla9tMaSnDjZMy0PllRC/e89slGPaC7+fGQrgCwVxGK493xbX6lVbanzfSiv9yvxoF6t3x YcxHFIeq6tL6zI7S6KaytouXv+R2dw/S90A0I0NUvDm03C3irIjU+1zFrN1l/LVJ6bLagD9fCH4Z 9nbBZCiDHxIZspG9ctZdp+wqzdTPG2XFSza/Qi82yd0oUUFUUUVXr124+7PRE/Lp56A6S97003t2 XbE3xooXLTlAVdZi9CrPeeUTqqjMoiR+LhJ5US4Jmp5O2rLXi+KuDvpg+Ghx18zeQJrz80ZX8Tze D9GlamgyqQbmIopCZQBQ721ad9sOlvUihyS7PF17I6t47ktNgzLPqE/BqyIxh671uaXHZl2AtKHr W/4tw/dGVvF9ooStpuUj9+sYDw54fN64Kp6J3gQFJTT0ysptLUaRqISKS97Vo692MecKXZWwmT2a eotxJEpXTciKVm6KeeK4OWW5Ojk4+oSS3Kyh88umGf2/zxtVxdsgFEIRhk5X9OZMh2kS5sJrBYHo ar8u2GyIQ5uLsPWuzdsvv3xUKxRPY3Y1upq2Nt54Kn6jVMK34aM3k2TmMMtyArGw4Pr3fMfaJt1A kLq4Pm80FZ8K7fMGArt5UL7aKstYf7t+3lgq9nul9Z0KgQp8HqIgVKCLjiYcii7d6+R5XhtzMyLX dfLGUXE4BkUs0S0YPVWrZ89hDgg0o940f/9g4P6vA+ONnmLrnGgJub195fbOP8N7XpEYj0aH574H hi7y74Hxxkyx3Z7hiFmnvRQ/OZqF6Oq9azUzE+8RqAnDFDI6G72goeJW6jh3nzBXuYr2h0IvrdX8 DUGLvV4UjitJK7SDy5UVbvLQZmWd7+nzRkzxJH5/GyjnvlEAyFxEAeiGEeSg4Cd9rXVbi9EA8rpG 3kgpNv+cEoNm63iarVsp5TYXc+ltSZheRUGCjt/ReLa3i6ZCuhQDpY7hSh279eowvcmjUfyZbvyp 1GQICGJT8R586gZXibG4Nrb5CShSHtfd/mpjv7FRnrzSlr6Nnqed0PNM47SG4pDiu4LP6QZo6WG1 +WRE4SenXzWNsQvxmyIDGIZ8js8bF8W+5eSXqqURKnrUuzKi8FPn3smezDfzLPhiBpoZjyn9ra/m 2jZvbBTfJ8rPd76jB6AnvBBryzjg8g3kvjKf1SZbyq/BU7dXjMIMvigljPtLZcjb1fXOJfV5I6P4 pfzr2FDx4LlWo/gT27anG0/rg/Zrq96Nny4Y/sZE+VaFwX9p1AGKAJRIeOoWqK+2VqnZbu2TByok xaTFyhogkz9vXBTLXRs1HuXNjBdguro7DKzPGxXFp3LfEFTRKlQUZiOMQPXlr2SdePMGVSg6XJdi wlXl4AjroJbGA+CZF8l1MrydqtnYniV9okGrpNsh85P/jf18Y6H4waEjozyjpWpS5NTPqecDP28k FNss9wwF9vXr4IgCULXtzsa2cPMc0xaAjnJ7ao+4wBv9xF63GE6j+ugHh+zQNw5359hoZzZ0mqkw qalrquRerkWy9wsaBvSN154+ehdpmcnweb3PG/fEXuxerhaVS2bwVsjfmCd2cJxUt+FsSHegJhrQ Rsjp5CZiKOLB+0Y9eUqOMtNbPjpwRbo2cq2hQzpKdcw9pBjpLD8sJctc38gntpUTjpp0oM2tKeE3 ZATh887z88Y98V12Cxt8ev6iV96oJ88VWQX4RzzdaHeI++h6xtFczaal3fLGPXmiApWFl48ehqaz BE7yStGrpgYjvSqAUc867ydFMbv7N/aJfXo3u5rho1d6ZL9HzGFvFty081f2OmFtMRthKLrU76KD In337ExYHJf+MTfIbvfKG/fED58C5PeOPhlVKGFU5Kr+ByFBtJ/k6Cr18W+zcdsbBgepVtiEZMX4 dNj4+/kJ4/NGP/FCzw3MzSQO8Ua/a98IKF6dV7TWrShq6gCA37x3MFX1IEt5o5/4hqF5xgmx5pPB SgFr87VWiQFsjJwFg5X+dRf6z24WpYx8enDRMhUynLLR8U2dSFaTWj5v/BN/9l+NFKnAe1/pjX1i 03HWREMyRXnrdUPbBbgXZK/trM838kn7IqY7+Ld+Rc21sooWGnHMEoBjAi2/6Kva15ee9EY9sbRt wajTkcioz+6CEVAGlHPgG/PEVsdtpdBDr/S0fTKimuhKqpN3C7/aBg8J/z9lK/U0ofagBb9RT35V zDGlZryrQyAL5KTkbS6gOC1HHa9ck1e2Ndn7RUksYGE6S/UmsT01j6Pq+rzxTnzZ371CxKRiYbln adybxwzNHXkxrFBVtLoD1qI8y+ERB6VK3rB/YbyX7clRKv0LBV8Jgmxb+Jv+5G+/0V/u/RhFhXYn 7XlG7x50O0bFWfi8EU7s0Kl+jNqXaJ4L9uOiiBSxIuwt6MwnbznuYRFpf/RJ3+gmfr9T6tTh0b4H qfw9VCPoUDxbssKYTOG4sO+ZQbryRjbxnEO1kAzsLXsHTyKQFkb1vj5vXJP2L+wKX2GXOrpNRBSM 6ks/GcawjL56A6ENz/GHbhSbiBAgWom9qG3kp7ah6HNW6spqF0x1DBR1COBvHrLjq87SwmBU0UYl CmS088KalJgK6NEg/uqODjU4VkaX1OYijkaFn27eYNv13qp455C3PXXyFtVDRWI6Xzg5/fzm9LJ0 7yB+zwncFXooKFfilH8zXS3UCHvym268kmhGz1J2Mozn+Yd6Nmq+zt/lDTmp+tLoYTSKJs5yCfDp ugG1zuIFDk2CIq/+HotqaRia/I6ep+Sk2MDylHrWhIL3KgkNoYNU6X5y2GTvF/WidXxukrbtSds5 lotRO08qpEcjLOS4iUpW85CfaLMRlkMlyoJ0qzbKtXxqeNwQrS8HKfSoMY8ObYPjzGizMU+W0rUm YAyllSiaUvOQ9Fd9DMCSv14Ql2vjT3y4GakNJxdTONfL0KN/I1yYt00x+V5+XBiJUtTFsRloZ/c7 FsibtPY0t1AuopIo5Pe6VQhn9Haj7JW7qNtoiENEkRRE+1nZmIRPmrLs/f6E5tn4Hu5LkJTsOmza E3psPRtQkuY30hicHBcN2UNOknKojYaxEE1Qb07YtQUM0eapQnAjyNKjMFTdG3Eqmo+OrEhqBjbV 16rg6rubmr7MyZULPEgv0zLuURhaqPRQKWG0o0PCG8NOUp6NKFq3BMa7r595+TgRNwkTMLWEzeBc gjv8rcnZeorZqbx7sFvi7jwLC+Jeu8S9ghdvEZBWDcdzwcDJEftJrUeIe3Z0lGQv+IeW41LucEaZ qunD1zmNODuEvdKzwcUy6vfswI3B07YexaFTBtC9mecsvXkBRWflMIEtX32zhAQlxakNb4hmDhYG 9ZKcEyI7Z7OcQ91o41vt/nOH735rxSom837BLatu1tR/a+MtGSSDr519o2f/slk4KRr6tExGWByV bWmhryiEKGoJ68QZ3TbPKjh9dz4hOjl0uSLW2x6xXmEWZulyGDlnRtplGg5PwbUa9l1tU485VLjV xwcnKTse5PC4yGHJDFoVXR2xT48i0XTXhu3Dn3xT+v4eiKqLQEp/XlCt+QzN+Rx2rdlGORfO2W2D kyOKRPcyWHn38dbOz8lQtp2k8nBqNF4Uj6po/FQDjbwWFUaROx3IfjNaPn8SNqthnStNz0bp643A dMn2/UNpktmIIKJqN7ZCReeco1nwXhU4RIziAFEBvElGgc94n45hCwPgV3rkUdaJy2fGTaYslYrN nCQBElVSOi/rwHpMPWrQJ1xHlwosjFYfTQU9Axo5ejZaHk+pWCp3X8xsD0NSDNp0SIr/zLGlDr34 +aoRbt05MFJ6VCDFq/m/56gqdukkvwqsOUNI9+s+Z26r/2JgdHu7IGkDPyxPGBsdFFIG9QlpHevZ iN34i45S0pOpjCgc5ainrQnv10XhW/Hcpc/ivccRlUZV7DvXEhPymFcX6XCXMdBvEhea7SGWDtZS j84o4k0jikVpnS4ChZW9CrjV3OWKzK3o0SAWfagoHBoIU/tURLGokraKoRKseLonYgx3lEUE4XZW /AhrohiqFNqs5Ra9VKFqJ6yHAz3OyS6eq1xJzwkELf5rPMqFMmKaEsUVMHzNc+N59rZ1U84G1aN/ Ab/pDwzFfYuMECR61uhJNa0VLVEDz9owvLD+fHea0oiqojjrtE7uBpFc71DS6uf/hBkkwccpfYCC AjQouKe3pMhfnx1g3xQCbxjVO7tKwJZCsYULlV/tbwVzdvd+GiojJCnhtuS/uZPjz0nahkFaRm4O ER1RHGpQhUUcKtFMn4rzCV1mRZqK87H4fDcKxr8BLKoOZ3121FtCSErlDUaLQvuZZu9Kbz0b3Sa/ JBPU3G83Kh9RGNqRLHTKb4aOp5gD/UcS2Oy1jREFoWgNtEEoOq7ybJFOzAmeVWQqi5XBjSPDW3Eq +wNuSv560Zkh2PsGELWdDrbmqrbSz0/Qo9HCuCmbrWg8NW0ywiC0MvcOst+leI9+3x599R7siIJQ 4QwLWA8bPUwUPWBL31hlDok2yd1sbzQ7f+Z3ZXR7uyBf0yzUTp7THRA5dDNxBNbZPiPCh4JN/TEq n5bn+XHFodQjBIieNLCD3NOZgcgySqLTBVbOca7ghemIIKKFRtteyUdP2CRqJuIdF+vZM5RMJZZQ VjMBTRc1m/aCwdrQ7biRrWG0nZJOGsfiEH9thEHoyt+dck6Q20MZUTFUFCoj77NPssM3su+TutHw PiHoCIuh9AwGvdhhOlKKucSsWvB+C8BIP2XzlXfzu2TqzIiKoVYYHmX56GfGGObUnlPTs38hRaOg UH8uYWuE8edEfb55N8m1qvqFLsCWt4s1ij5JTs7yHz56WVgLKmcDlQ9szdRs1CkmaG4bXyDLtvcL gk/4nXJ2ttHC8TUSFAqd13o2yFvvATq9HtpumBEGnxVhmsEk0D3HWKUUy2QnPTHWRVgNBb8y0h29 yJOGgk/WxWrnS0IJT1wV0YsW0szz23odYT2U8HnRFLOrSiDAZAAOLUQ9GiXxvjKqmcpBFWM2ZlwO lTHp2Qodtcyuv2y6Sd0gxC1Npa5nPmYUgS6oaw2MaFsPcEM+uylbMJ4SpQKhR6sRyebjzoR29wxb 80rjV993tJ1ykh1zaN516tlocexv2KWv81aHZxSDSvUVN3MSs0pF1VrzN1nDHZZcbYYlUXK1Nu/o 87F37Wepq3B2pmUJdVvoJXRQo18O2/JXjArEavIkUxC+jX/lfMmj8qFnI1RP/k5IluTNlQ+YUSCq JdGqs+SNUSJHM5o94L9U8KEIOGO60rjffCuPnkLX/VGRySyw2CrckK062v6drPnrBbulo9e9h498 9hK0h69YJkQzCkRnX1/I1+9wY0aBKIicVa2vdv5SHOR0T1Icl1gbYUHUyuX9jj4XQsA14yqVMtRy AlO7TOPhS/bs9nbRyUE/Xh1hRqvxTGuEna96FT0biZj95irpiMxeLZ9RICpyThvbkveGro8Q1JA0 /2FFCzPDyogiUUOJFiLR8vUZO58lzUwcqpYoXY17R8kC1Nfr/eevFzHEUftTqYfRShq1ZfuFZh16 NugrfcPyBGqG8iY/LoxEZUmAPCVxJ2q64r/JI7NhTiVaFyinGbXlcR42d3hGXxySLu/o857kpMyF PI+6s+ffyAXohhzIuc8oGIVvuoT1sNG6a+e1Aair+qVng+DrN6RHAfDdKFFXXkdazS7QU4vrJwzD RooxP524NaN6qJFy/nOIyitwShN4IJCfMGlVo8aCwUeAhRbbjMJQSmObD2Ekka/u0i3xOT36l9zV PHZaMvFU5iLkKSF6US5fvtTLl1/eld+OI56hbJMoaGq7++h1jdXbSassEF01AxqqihOkU7S+JqrF 3i7qodCQ781HJqO5dEUeul5DmtKtahBqbcmv2ExEbfmJ+tywm6NU98NoWKPBfL2W9jMsg8KC13lr o83EWF2y/sl6SxuKkcDl+ceABvdihd04ozAUykJLhF/Jm9xtJvrkKggsPRoti4eWYyfYT73E1xnT lJStuWe7STxT4Xnytj6aF7xmTJanYMHymLeQXWRs3TpwNTmTCfWlCk+zttr4loSHvd8fSNEbM0lG K3fVRmHibMSmR/+CUoAPw1gvM2dFkWjaWNcu58u7xH81my4lOpePsqLG/KAcXI2Lsb4owHLigWku dmOLLk5RuBnc/lH+06Wvzw5mY0NFAdvUHeMlEahpdPm59WjEUsrP4qD6R/uBuQhLoSpk0sU0xpaL FSXHr3SjiHc+IZgLIowGIqM9urEnOj+/OQwtmddNY6wYg238PDxPVET02VEary+IOJTRkDy9GWBj 5axHIxWF8QVs0MXym2SFEqGECG4SUyDXqEC+XJl8mHRA5xOCM6NDAd6UOc0qG5rSVJZDm1E3isks 6LwoiU3iAkU6XvXZQRkUEDUNsudkltgkt5GauXo0ij4vDlKH3fhF5FshUamK5dmGc+WzG5RvV9I9 q3z4tbpCXGi34vjw8ZbI95mDZPqY+ezVjdSX6n4T2fp7sfZq7/cH4YCtEpKNlqu1E8R1K/4VPfs3 ZBPRkQibPhtR/Dn1XYmhZP14UFWIkku+XUblS/czXcYVk5VA8dBWe0oPYm6PE2YVgE3nzASAXBvN EyVt+4vlWfaG7/MhjrXw09NHD8jnNl2JlbKe/cuVovM5I3zv8xFFoAJpVUIfURvtL/MENujYKzC/ t+uKaqEkrhd9UG7MdSLDs4Ua6AR52OvOOim8jmPtfrW27/Kg7bqijvzSGTqwEmD0Oo9UeihX9PFZ YUf+Ypt04CnDudXQFQJDJaKXryB5TcOBoc6DVRfE90oYgpK3ZpcF/ULsV+990Vkb9UQHAL3UYJQm pBry13V62Ov9iSxPgWYYmVeTUbuJ1nahhlfEVZJs8hfoVb4gwBVylRS7642UitA4EVF8iyjeTNhs Oz18hWQl2CgF8Fu5ShiKwoUENczfPkG7bpSqFmpH2OOZDUHQ9OF/CDYGHL0xvMqzWurVaxpLjwbn 6APkYTKAaflshPDQonPUfaXOrBBmCTkksU0116T+aeCVFZZE6SWVnXz0SHSfeKM2Y8uvc6A2Oznk vSXE+p0OdcB5weDg2JgbqcLEaAdHa4bkP1cVLxZ0Xh8JFo6l9S1rrLAkuhVcFJO9q5jvyczAYjBV wHTO2dERRqILJGzOPnoX+iSOq5iHsW7ZbZ6HZ/npWofJdiUzt71gFHGAHX5Gm4/souTnRBp6Nlof TxGwIAaoc5D52H9uyrsKdcHSjn6j+6N2OhjoqUYl0Q5a3Jopz01YBJyeqpSrECFFrw1cQyd95Xj9 tqJVjdbHB6lKxcaUCOxxBRhpN0NrSTFzh3L15rxtVa9zRg4PzPd7MCqEvZbtMHkN1jZ1ctRUwAMO N//cIWXe5ANopJTnXhFxN2XEbk4cdq4WQcerIkK1u9GPtxhs3/eLKsT6day2m13iZZ2IvBhXfE89 GtwqlwebraeiLufVrN8hSlS8teU8+b7G8DZb98xeeaZzDnaIEyUalaiwjT4jWw0DFbpEwND8mIy5 YifB17/NpW0vGFV6hKjGmH1/WbZdxtU/MIO3no3Y8/c05dMRqvD5CMlK+B8ll5co1W0d6rBUrqvR Zusj7M6T1RvH72tSR98oUYyX2G7fNmd4xWJyYUAFOtj67IB2oAB+S0LRRtJY0fJdXqLo0b9Ie1mt B16qz0WIEVXTZTr3JBvfgCS2exK7PFvZf0aIZpPSuAhi4UO3qssKfGAzLg7abuJrj00uebo+O4jP NXezUyC+WG3JK0yLxNrQo1E/Ov/qs0kn8soq7Fi8yRQLDK+yXGGjrmVgp642uK2LsDffLaEfPt47 duhG1RlRziKhu3j+fRFgRrLtHnDosNZHBwGH1nntz0ix58S0VDd2q3oyUiq6JcBtneh80/kdNeYV anRzGFOpZ+efa/HpJ4YVuzkxosY8TlLCq/josbkqolU78PyhZhLrpikEIAx4lNuzvV9QA6TUs5R5 MXKCngvQCCnnotKjUZpy0zaR12v6apztOBbF9t73SL9CPQS+1ph3kMIOG/NUhWcePjpCb5zErSKE cu5UXYyG6eho7I6HckBxeEedeTj2G9sRRubiHEokhyc4rXr0L+qhOi9UKWr36AwLovoVAO3p6Jxu sN3NEUad+SsxsePOPNUuCqL1KYie822rJFopDSc8iI3pR2X1Bl1osOyQpoTMBqAPRmZibRPylc6P Hg2S+XRLXtmv1ZJv0BUFoaKcViymkTfzy3SUVpw1v7z+t6O+PHXQkzlVH20u0gnDd6K4ci7OJSE8 YVuqpNN+vgFX3vZyQcAF9g9/BUZrGfRkwhLnH+nRqPz3VMmpa+SrzZNTFH+qid64VlX1O1uSprwU 838GhHmx9s1OO4URKGcnU894G40CPlbMDNY8p4XqGZLdhcL1I9TmhfKYBX2KQtAFzYDuw+LLtUJo swcV30+ejnCy9QahUw5QP+MR2k0hV0la36rhbyzXakPRfmxc6WDAipCI8HAKI1HKXylnHz3SqBLD SqacudQ7VvlLybEATdr6T70n+yv+oRu96Eavpxs9J6a6Ep1pjYeDwLz9InyeOEfnwJ2TUMz+rI2G lYyIKPSigJZns0uRmP1wwd0UwkTRH+6okvbHeH2pQTXBXZz10Quu2sxZx1Xr31pn+vQ/UsXnHa1Y XIwcpZ49zwZBxw1GqSyrvkwB3qYkKpLiZ7u6CzIvt+jr5jyuHv32q5YyeFA813EJl4vRZ2QqxULV WKXMZKKSRUfrUKfoigmYh5A+PcJ+6URFaInRqqTQ8a0g1ng4KpNegDllK6j7+THFiMJSfXltYJMj gXvgsuNn861Z+UeEWVsmUal0IF7+X5nqM69rddHrcpnSvqICoIBHPOSan3hMlBB7xaCSrhXSgWN1 s8EFkLHtR51wL/NwEKnfnPYsxoUJbEl3TsJy6UDWvoAyZ8s7u60ZoR60p/lipFBdVIU03S4+XgbT Wuc8Y+vM6WjJApdRkLZOPj3NoG/6O0asHepALfvodaBBtnXSitx4+H1SarpNSTJ9fQ3tuXrCqqna WGl7jzpNy/pH6RbGgxxw95QoUp2ILMDJYbw19bWmGaeq5FHIanHpU5GvXcbfHNPf70/CJGzC9eha CKe17P7xN4toXb55uHwURKZnQmJO/dkZ6bHhmsuLZNmzGHNIYJVE4epIHJ0UQdLFx2UJBg7pk5De YqWixn0X5UFHzAOqXb5z4g6+gJPKBTUuR/AoEKYbdZ7g4b9goKw5qY7KcxFHUSsO4DiW0pLzvMbE 3tSSY+FhDJEier1Vxixzaw/w5wQSSSIUnRNWXzBrZCGKLSHUiwkz15AUy40WqPXbR8Ndp+2pas88 G+yae5ScU3X8GP/4TkgUugoR1tc0NZJB6GlkjXYrQitdT4QUVlAxasMUltET/5bUpUU3byeh5mxb UXKhY2uKT2YYnCJO01YdeMHHZjS6xh5GDJdWnJ79SyfK4hM5P11Dqhz6MOHwPJxPbleiFUKGF0Kq F0JyYMMkXwRtmAVxac3HZeckdPWkYbzJzI4QmoJIEE9fBuC47xcrPp0jSHkTo6MoZ7Uv+XzAJ4cu TON6dFEcY3xKQzk0YoKthmU1XPtuBbMGZQSuPVVl3cGBEZMwbbqDO2SW/kj0ngDzJLuSeDgnoQBu vE9V9of/zpPj2G3zBx8mhSQyk7GRhE/6vxwEpQ+eDYLX/IsWqVp8aXc+wti1Aidvl23vaKB9O/sF 1wh8qUIFUpAvZqBx/YFk+NnbBJcqY7+ssETzdT53GEis/LKKCI2YjMaIQA8js3H+IbFJPZc8z0YN uv7rVKVV+CyPqIy6VvoK4TdTcVHvhdzBlPDrPURCM6aB+nuncdmvOC3ehioSEbmqLuA2CuDJ9dt4 JXVkf8Noz6jopeKBjTYjYzbTLdmTZ4Mtc7NgVoiaiFffO+f3qFUzIpm0EzHNf9BLE2X4XAUKjhuW Xbo+bD5CqhMzMXFbQszUDtWST1RKB/tcd+eEBSbTTeT/58vhMH/nHPPtG+y37OM9oKxse3LKysNB m27+8mOCaDmfQzVSJFUpoYIaRg6/GVBMHsXsmC3RIz9Uw4jVWjEI/JQr8HOOoBNXdSIYiZf0BN1p SaBc8cG3T2cI5BzaMoFSWNh+MVq1fXYD63ec3GJJ/F/ldqX5N4QPfJmsfFZLdWZPyS602B/tp8dz PDRmghjooMlHI1N1oi7PUWT0moRdBHkYxMbzZ4lMfJGmw9/wT/D01quPBhsb1fvaMnMLvJl0pN74 Pf9Yj7+051ANmfcCGSt88Eb/Nt+IffZoU0GgIG1uayRUxU+2RqaPHq/ucgKoZQq+Q4m14lWwNlot 0pD16KxUf8XomqE6ve/owOxlelOSveDhYJE8vGItki0fs+dkDausSweq3gdxfKDZQg0pda8CaVfh w83KLHBpSmZO3xomI+2ajIj1uyULs6xHo+mlNIpBw0/+YkGqv+H7lNCSWZjqMjrYeTbHnrJvQoX8 RxP+Bw5a6TdgDY2aKsIA7XZkKECrNnybNalpQ5DmxUZNFEkS1rrpmiHL3G2NwWkv+5W+AEzJp8N9 RJ8AbQ5/xagnIdQUtBtGX4StmIz3+R8ejoL48e1W1Sniyl0loV9TR2ckuRMiFwGMl+2J3qOSlUPD pgVC+z8e3FKOXqaNpN7dOVpVh3Hiz6AvYZCQVPz9ouYdlpCLnv8ywJAUmxIT0svKPBxJQ92s5twX WqHngL1nSejaNAGUTaM0VKlDqjAyWd1SeNla7Oya0LYJQkwVucTGe0WqjNaxXxEaFSHCc6LoyMaX 99EnqNXfMLpulL9brFkf5uDZ78MKhmXy8N9Qyto32TpmNiNR63+f9+nd44+ul0TKlm3fzK8egzNW SexYX7BXzj7ejXNytI7muM7ZhG9c4azMxZV9WSgl08DKgX2TS73spElhNKJHXn4cnC+Uh6PItf3q 80KEq+tOS4wAAL3quP7c7Dhp1455kHJwmgQeTn6a1G0063mPV4FlmnzVdUGkhVpeoXeoeti56B7l LASn+fyg36uvYyfw28ZmARviFkm9KN8LjZxQdXuCVxV7r2l5Dp2cNhCdtB3O7idrxavSEuBbJAms nK7N16B3Nx4Fo3N+1BOdUDdStqcjSxeyGhVP/ltIU/jsYD50IM6hRIKRwERWcFyiJ5/m2YhmetlR SI9rbJf7kUMvJ1oA+5qwGH5bzWmfol5avedrzNUfF6Pd8mMCN/rJ4JP1fcs5bHu3yqLcqdfPvj3w e77+MXStlAgZrWi0iqm+nPXJsxGsfX0XiKAo65mOKHYV8agTcSoogWqvUCTjYKrwZEik1SckFI0C k/lfW9FztaSxJEgvhrqXWlsWCsqg7W5hnre/YdQJ1xeG+zkjS+QsxOITMnk2iF2v6nFxjMR4bpuo 0Kpf3Pn6wALahQU0hwWMWzEK7JySXeGtkde2K16QtjLbpUBVRdeT0Lj/3sZR/KuZvvz1gtkwR5K1 fbTZWOZFmKc6e6Gf0wPJNHPan3yNz3Jo6MR5OL3sXGZ3pillGZABad3FEerpG4k0bx+dHFTFVJgW oJ0D1RS2KF9BaL43r7V/Q0enqoBiYjQ1b/S353XSnLnwbHR+fJkPoluI7XPnI4pYRZur+fFYAIl5 gqdaDD2iHPNeMTFElQ7nf5QqpU154t5BjeZcMWNZalxRFMsP5o6vgE8PwrNkcvrVR46PdL4mc+NY m2f/ApfQbsFV4tZGQk8ntD5qcjeOMqyNdQJ3YUb/IR4C1qxyYmzrtC9l/xdxX8s7KfkVeEQENSKN VlQoQwLg+p8hcptDXydU4hYef+uCt2RtMU0VW67EgbGTCzvelEZt+QuxyqGxk24WsGVSmquqByvb mytbKpxRpzXMRGDtpDo+siaUV7/CDufurmU3uhJS9aykPIJUmVegXy/IGOTA28lso5TcNR/tbKrT VIJEsuDhIMVL31KAwsZHVyzHBk9NMmKP1xe2PWdxIZNpqvqPlXlo8OSuC5QDvoCaE1/mliWxI+j/ WTiKZ4v8usw6cHwLzha+hy5PE+tEdK1ncwHIE/cWK5Ker2DwcIRIvKdIFwDyROD9afrGVk+qOVNo ABzQ3O7+ygBPEAccq7HX0wY8Au4qzafnK+2MbWRcoZALlCHREWYbam+6Eor1aQKvJ6ncqOGkUrCN jg1YCX7cOWonD0e75ld7Uwyqm8/8we1pCxdgs4CpH4huJOER1u+p3UUSA1ZJKGn65kdZf0hauXTa NDude0t9qzLU3ew2J37vGms9B5ZPGRGejGKujVQUBY22bVM3z/4lw6NzpeT86eUFrk8m8UBTVyku 3BCRRHLSq6N62yR55AdJTOQ346fs4z1YJYdSUVE6/wUwTdPTQYbjuWqkgWGfHoQiC5RRuaNlvTVb k0ziIjwcVVl/VYvAfT53TRSqDoV5eVh/plKrRhIl2VGycF0mMguMn3QaURnJzceLu1JkIqqqYKxD dHaBR1QXQO5JFiOubpqyv+IfCCIwCGy0z1ezw9Sfd+HhIHrPV1CJRdi+WoU5MH9Sege63lFG1GA0 OdjpAAvoNx4J3Z+GmdJy59RHYl7Gfz0jOS2r78rtjCyicD5i6roWCLD3HJo/gQqazU7Yq2IpHTNr gp8LjYf/EqGR6NWfywHIof3TpKilbwHFfTzBBDUiy1UzTyxrWyNhvGo4b1yt6+NqLZfNk46Cupqj dklkGkyCbFBV0VtQLP6Gwa6h/gg5jNEvs1y6WUCNysNB+yqXXxdwbV/KXQ5toCTf0vU1DS2Iiqap Ll5hvQeogPO9mrRSDp2gcJuUebWP9wreSXKVmpSzVJxXBSRHWuDmbONWBNlfMmKaDUSUko+2THox Z0uxOXg4uILHJWVy8eiuuO7nObaD0i1lKnUqpiy3yWouQo9RnuV5gRuU8aAVgd3xsmemlILh3knp dLOjIXE0sxgcXyXcHHhB6XRd6IJUHy1NOH81VaCRNg9HG+fSZ/h4HSM38Q3doIRrLSnbwSF5Tif7 d5eAzet29UIzqGVQ9/8qTpU0FZRwkc8kDB1EivmzfvfBa/HXiyjujfpV9dG6vitR3z6Z7ObZ4LK5 7ADKsQXirk1GFLZWU9vzySCdVGiCQqDR/eedjJDvn6zdn3y86M22z7FkkPgxTmhsQBtVjZiM/nX6 yaETlNR8zn5QMZHR+psnVjNm1a48+zeo1flfRSb5xmeBD5QYSC4vD7wXyX/U2YCuGuO/3iJRbAWF AWujVNQeM7k1zv8TfZkikbR0aPdN2gs/6Ss5VfwNI5LApPs9fPSSS6/d9bcKD/9NTYdASu2ccpvg gRmUb35hDDcaXMMV2rpKRxOVcb25LZEwZp1MCfuhtV+d+1FSal4364P4LamBcCKr9hVa6tPfMLh6 pym0VR8N8tyL6c7uZc8Gi+SCrSg0qOf4xGehI5TerqKTBvG/m7ThrF4t2QW6t66ZwBBKa4RMD8Rz ehDPo++dCrgk9fi66hpIDWnn/DxyS8O6m6EjlLFV/32CnLNVkFB9yE6Vh99P1PU7qVF+ccWePjnw hFIpURKP7RKLmqtPNYejrQ5gnk0TBaye1YB2zg/aWedeafKIzvVEqE3w+FKsFi8wyv5GI4YuCpyh lNGrKMohNvIjOytBP06Ss+94OMKOXETej5gWWlN3SkIRKmnQUxMQxqoB997nPD4PdNWdKTH6rolw Adb8NuJ7X+3XO58VQEGxpVTM71mb0NBit71pSU1gDyVCHnVDpTaMdrIm0/EpvQyeDarNJX1PVm6j Kyn9eY1Cssn4l+rCuI5CA1TvktIyXWWJvMYgWdAzggGVHRkditeK2OEdxV81OVVd7zqBqXIIq204 Z4SOPq8xSHa9tW12XtlhXFN1Sk3GSQ8+r/FHNviHtonkvyAoCmVEJTeaB/Uw0brXVpHpAmWi7OL8 J4dKN5EJJoKjY+gjbHSIVUa4j+t2yTZLXawuemxFdP0Bi0zO0td5kICKtV6mj9QQdzZkswpRn9fI IwurVmwi+ENoe7UeNROvkUfWoYF0hBeW8RzCmwEGtW7dkS+c6DXy0JpQ/2Hg+jy+0pznxDxXY0J0 aUlxT3ug65vaFobVrwzqa9yRrYNwXonAst38WV6qFBdqTe3zGnZoDq313+H7S0VDjRBslKMlobyq O7+9DLdd7MV95SYwMexrX6dB9QI9JxMUG28Erd0MRUkepQk5/67kX+biOX2rH42Xe58IIpGEXHd6 5LpTQ6xH5+ZJ9F+jjWy8hGdJqIZabB5eAw3995SuZ7kNS+dEzG6yXE06jGYiFywHcKkdIxNGmwcp P2geMD8aW7+G+IgSmdQRTIZv1yqJymuQkb2hvVbKPlrfZcmVTrH2iTY+rzFGfqyxtCLWD4vRV8Rr gJFVvaGFYfdpKd2A7r/094dzIF7Di6xC3GAOso9+WKqPk/BRqmLiSGOtdBHL2wkxHjNSMzL8vEYX WUX7BAOz+kh+UofxtnR8fl5DC33B1ReEvkDdelrYCLq/zoOh6Ie5Cc7zl2pOtSi9WPd2JoVtSGlH MyE3hqG6vI02EyfP3GlDCavpXKht2EwNvpt2UzULtF5DCm0NVEuShN8Y7Yw8oX21pH6Nz2tAoeNl WnTFBSoDTzh+iM0GSwIP2UfoYCaHkkGTVhavvqiJWkZnpQrGfakSzuhnZUJG3KBi5xdS/UjWDFUC pYJvP4R2tE6Da0Pkg5NIi9nM6DPRm2Hzzwr8vIYS/lrZUFKZsvHwU+K18JVN5aDs7bCxtfftKLgk cJs+Ea9lL+1GNWi7rkMbfUWc62b0TqwrA0rqFcVYsrB36+PUIdkpffz7XOBYutzJpfv1POV8YUQH SdQFc9GXBxOywlVrA+NwTcZryStbGdbVXtWlrQ7IRYCQLu24MgdRMCH9gq7g2EY/Mpdc3dDOOLmO sC6ADlVN0M9o0uWcfnkMXi84M7Uou2BJNnoPrpi4lyQgP6+lLi6P4TuE7F2/vscT7xQYXYNqb49i c3D2y3RKbkXMULLEeD0aKyiaD2Fd+uBGHlfoR+Y1s8j4yQxExUZVjbijm6k98lXCRfL0nQWTr2Bf w2yyOfq5SHTZxF7OgfR5J8EQ7OR7ZiSTqb7XyDsDxu+sKkzfkGWcIA6Cz8mfR2bnmKYsp1yG0abK Op3OCaPzdiTQsBplamKpjAqZSvmCR8n26ALYl79hdIZSi0t3tJNTIhUs+QEQNzpErWlth6gKcs8K eQ85/z9jb5rESI4cjf7nWWhl2Jd3/4M9uHtEJnuEqPrGJKjU08lKglhi8QW4uQqwtiKMJv2gs9uS SR6gSPNAgIL5AMwMMZqPmo/eWi2Lxtrw+Sb4BY1VdMDqq60+VByOgk6J5hDcubMDFWunzwPzXmBe ohhj2GSwp4JAqDSbjSju5NGezfT8RfOrGVkBDV3eUgmOUrD1zxmJ+gRHWxxQSc6Dwvs9nbXCKB3v w9zmuVJEKrwHnqgqUjgbe44jw4xUqhZ7YR8yOjvGfBcG+otg/2ou7rFnYjLSU1fLrWcDaG/Rg8jz 6t69v0efmWok8CxLNvrR0c9pMenZsU70TVNLLHCXRYOq+2PmfKe+8IalCRFWOEfBB1dTqAEjlzvx JT/dNs0GaB4+F1H0icZOb8TqQ1wVwCh6CJJL/YdneV9yV7zTXngWd26QYqNtk7MWzj0w2H9EdSsr cUGNV4bn+Wcu7gHo2YLYfAnWChqVpO7EOHejJBwFoNRW8SMUdc01fTLCEHQwykoWbmX1HU+OJ7jc hiKJerFRBKp1UbQJHJKNrPIst0YQ5YlZoNWHwAwFI6J03JC1Vb3d/cRobCgz49wu4wjyQ+WUtwnQ TxSDqqCPyQBokxJT22KvO9sFsT944sXsn0oxk+tWihKTDgaXzs8oDGV/bRAEObzSgqbgBiIbmXLd YywyNzoWIkOgH4D6zHq/+3wMmjnDBVSjAg7EAnRjO3f35850yXLt4XzwsB2sa2k27qEo1oZKUoJh 96Uwo5ehxP0kAH6ARrHoqMrZm40OkZtlbNYNziFx/sTQnXIl5Om7OEpeerv7XLD9IfYNRx4Z6exn SRau9bkzXBBq7PFTzKEFare5iCJRVMHPqd9YzhqFNtZJ0gOSdxhofQipHwajLORAWEyjnaC7nruA Zs5nSspEhHdmC21pxvDvdBS9YDQdlRLf2UY7QdWZhgjq585uyYZUfrK1DdkzVbaiSJQl82XCt5DB 80qGUZB5juPQuDNbsvladxQpNFr97STvY9BIAW7XjdZJfRShSPMTlBNaeye2YNliuaVFKvZyKnY9 M5tlrJjH585ryVLL08rAbOwt2xJORhSEIsIoxbQKYC+vM6N2BV2drius8t1jUOtAjURhsfTYcJ/U BHAhcgZB4IDMJwKxTA1trAula8Ax8/WiHKUQ1tNstOLZ4kmD0tD83Bkt+J2LFz0pr57k4szZiKqe CI1LNxPBwpQLuKdiTnqDCC7Oxj0CRVDPKhegghwtf4WWQ2ZmAaH1TF3gRpEXbP6aHg89JkafO5uF KQq+EXcyR5bCTwyah90tnzuX5TcGxcyh0YlUmLMRhqAb93A3ob7ehnGwF9vPfAVnKdyZLFmNjHPk ouo3ulf9KvQrE/15z+0Bxn5jkIq3YmphXRKBE+4slizHDehYTBvVgTk3iqUYc33uJBYeOJbNs0vL v79YOn8nsWQ13yqRrwA30bsKnUW6VAP5xewDN8qdw8KwGXcJIaAjO8ipVLiSdlKkmKeQldyBM+tJ +YmUlJi93jks7JLg4KAtSvI+K9RNsyBOJyX83DksuOl29SsFuTN1KW0ywioorHaIAqHF5EzGvjbK wubW59KIyqA8CAZAERptMhJxaiz+AXBJDmmHHB6tPvsbezW9XhBroKoLTTcblbqmLLm6c+zUz52/ kh9QYJetDfHNfozeA1EcHGjjFB2atTZ1n2cyOs9OLl14J68wvcRtguawRm8npjErq9sV8jgwa0bN HLgASLLs9TYKhl4wKHyBcjI2E5Xt8iHzhKUSplxpf+70lSzLHq0OrBKIFK3nJI1iUZykTVYMQ3pS LAkndkD+8IokPR8L5B6NZgoUlUHixnBWFmPRdEKYSu3ACjNjni3ocOVfQH4Zer9gPibbgTXZKLD1 NCRthW/cncDCM80CMCKQ1Bex6YjqoshReqY0NDJY1EYIXcFrN4oYzm1Gi3cGC2uX5f/m8/WEXmeZ UeP0TEZZUCwqCvEMlfAbdYTh6JQay7BRBJYiZyx06T53/gobQxZ/6ah9a8R39grulbODz5uKA9iK Ka0vly5Ql1VL4x6MZg9GEU9y1NI4qUmZdTVmrHCqRT+6w1ChUsal/Fem785eyQKQQZB72SiQ1y5u 8DU+d/KKFbHe6gYqKt5pvZNXsDbEB5Y1Q6nDzAVnlzbOqJ623ZkrjPsK280+2spI6HcAOHdWxtmC k2WvxdaQdE9NoKDp7YJeK2U9EumKycB0Z2FIKwmg4s+dt5KlrvFTE01ckZqLvzfgDXDfkhV4qAYm keDiLaU7bYV5ymZBK9loSwM0ikrtHPQnGjj/BaCvL+kAIExgl4Br+7mzVjgXnerEPmqXkFvIllL/ 3Dkr+GJe3GDtqSVyVjgVUSwKtN+QUVqDV5zVuopMJ1FqSu4Bdaes8MhgoYfbJD/b5GwZ6ApRY3+e bLay6oWFQrf3/5wYd7ZKFuT7hFhz28i52E0nRt6Tj/7j/JSZo6jmnIsoEqUgIyv+f0ipMSP4PAQK nWnZ9XrnqTzBBkvZ4yllFzQax0xEMNfU91CrBR5vam78JxC981SyZPjQq6s2ioIwm5RK6zmXP3ea ym82r2odlThsNqI4lLWGxQyNu1K88jqz3J3b9G7jnaWCXwA1i4HQXqPNRtpwc64KvWBjwmgV7WxB ZH0yst4umIzFZC0tG62t1Lr9upPPRnFo8bsEjKenGnrnp/AaT17pQuCaJRnd6HCJszO7sNqdnMKw OXFzZBstCh1Abu2pGxZHP0JynLPQLaNq7fqpDN/JKVi4DJR0B5TicOHU5U4Npbk7NSU/pOH+FRqe ijLDpiMKQ1HyZy0/00AQlbY/VE9kwIEzg9h5XiZhRRSwxo5mtkYFonOfeZjQbswVhFb6fDPsz1gc aAg+qsD2ilGlh91oBO4cneexky3C8rlTU/hre+ULC5vixT4jURzapFnYrDNvbmFVbovszBuc/E5M 8ZOjU/+ny9qGp/C5U3Mi7a9BXo9UuooDiaQ5mrmowkFlus+dmZKlJwilnGWjJbHNnAXPgfq5E1PY StnenkfM+vWk7c5LIXRls4/Jy7QQQId4dA017Cfl4RGE3mkp2KGJ0fboNlqo0Sa8SzZb0gkdFJ4s REiy+PILA71zUry4sai5sR7ji/NKjVlGLY3vFeyW7V2l9DWzb0tR7owUzB7sHfiLIoPNexnWzRwZ 5hoWhd7pKHjhwtLvnDbaCsY2QVSOyQBIgbJ8AOOga4YF+XAvkt4vaB+wR0gJhu4SDOsccfIhOK/3 uVNR8GKesUmQ0QwnCe8K+/NwgSqIimgaN8ynN0FIkVpZRIOyHX1nomTjlEtPj6MXzDeEs5qcpEYB ewHyYlAVhoIM8iytD+Wwdy4KUYyQG6Dtx5ZXDb7KuQ253s/FNT93KspvP4V3OP5ke+VORMHFgNlF 9LtJyGnmp0fFB3mfI/FY2C13JkqWaUCR5QtH70f3XjK1FE9ocHI3UjSgfrE7KXvPfCB//ty5KNwv iLSg+K5RFZ+WyP2CcTvfLLhp59NsyxBOtWj0zkTBYoRxcxs6MFqrJq3OEicD843oh6sjKo02gutU 3OrOrz85G4CxVKcFQfscToAjIteFVoWRt/LL8buTUdgHAC6xE9rTHdoDqq1rUozPnYzCis9ybE+m cioK4ZqQe0iKIAw1ZfOoqFS+AQyuF0fVU2Et8ROii4X9ASx3jlbeSKVTEpoVnpMCbdnFty+C+f7g ADNKYvz04J7FhbaIeOaogk9eZaoqkMvnzkQhHHz/tlTQLPPjI6yNUlVuGxZyswq4vnsNS9yoXKL5 iIqj1OIb1BPg6K1YcCPWlP7CXNCyL3QKhDLWoiTjQ1W6k1CIGGbhC2cOR3alm1yZcN32z52Dwipz /clW8PHWebwzULKZnzdUG3C5Io8rxK+gGqgWPZs8BMuGYSm+4CAta5Snq3KOzrO9KTxRORnqvWAv UxHpEXNNer94MkCGGzYydYOFhIKouj53/gmzvl9oJCqGxTdK2KNHWNiMG1xZuIIu9DYA9fmLrady Z594u20wwuJoPZUTo5+4lgqMC9BpxhwQkFAZ0PuOTS8X4ViIbWK3LXu3DTZ9aqWuyteKGo/5Zy4S SA2+MqJolNKY2cmNBCCyQ79U6ukEM3Jd/DUaHb34aN22M61js+FFIO0mEYMkC3a3/chgsnInnTDj oJxPqjYqFB1NdwsO7c+ddJJNLdl3yfkNllW9WhSKdqFLrPEopSOk9FNp7YBVlqYihIoW8gvmstHu k3HiAfwPogtQ2JDZdUD7ahaKZbx6LS0MRUkL+K/Owpn6Yn22kvFoEHv1+t4lJb9IhRYGog0Um6o+ 9JCTIJoPKekf5UGNMe6RqCCaWMOhHjpHm4zz07W8WQIEp4lmtaMVXtg/54XijLA5T+jwZqnn6R2g msZGPZrGeDZqwtpVouLlZG/Z8PVRKAr3IaBBVN9YRnatVOhCzrZc2LffA1HvOv4f6Bsvkyk6Ekg5 ynETDmnkHO+hQU3BHvOR8K1TyzYK/JayeTPXhkcjVOTPmVGR+09bHT0siWKjlKp8FTZkQilU44x3 inlyNqIOPUWcBlSiNCpnGxWFUGb0CLsEBCQfAaT5Wh+HMKxCfHgQlGciAdFcXs1YPpD1kLDRrgOP /oN5IfMbonZtMsIwtAsBz+iidCtwsLNCaAvVRsm9iEqiJOxJiJWj1XtQrluFCWzda22KpMNkRD5w 7U1Rlt4vqm4w+SfsInnLqkLnhBWtOTeeDahJy9cGvBZwcjhdrYfkJOApOiNvJFICUCNwrpL3BWE5 S56lR2HoJOYrETmbvPFYYTY8N7m7ELsqUBwrDccitO1B5PM+LPWNexSGFsEBAZVJ06EyCXwvyaQX PhtxtWx9MGJcXy9/9YijNNqwRUEPdFkzIZ3FLQh7rIk+1+BmCaPQxf4ZYSMlv4HXmBR6Rk5/ljkn rZ+w9AtBgPpaYpWkF4waSyiaI2TFiJ8ZqJSelA71cxzj2eBeGeU9OlDPW75ZwjgU/LZRRdirrK6g WN6bKDt08dNmCZlKaqARyLS8lnsuwXOZLNg8wVbgRD2UxMLFikgBouhWKYbeLd8vuGSrjvdlo+6V 80cWOyDaiWejzVLes+N8j2nxRg9Lo6CRsVeMu4S+LrDymayHNnS0k9RHelwYJQiUqK/1oL5aO1F4 ZTsavhzQR6c+HB1PUFJZD6Sa6ug9KozST2AQ6jQeqNMYIEx+2aCaeDbC9mSPOfDh3+yAhX6PRNGn PGk8HEk6AtBOpbh6fj/zkUNJr8Nejx8RgO0J6qksBdbHogWiP611KkOcbbNwvZ75Qj1pqaViOyXZ +wWzgbxisXq4ZMuA2TgzOUU9WBPPRunrT5IC+9/mIViPwKKgrjeIQC+2pHEBntWxIGqxKVODLzC5 PKL2PJuwneuJo0dge0DkCXD7VWpKsqRA3pfYKJ9OP1CPqUcdevRCiTC0UatvnSuc27+Nhmej5WFB GJb2Ym/K5iMMSTvAvSIyomTJWPzLC7KoLIgbgGdHVByll8CgX8TITtLF6bw2WcTge3b1c/ugKPl4 uRjdX+9+crCtsok65eh3lgzkIH+MZyOeo1eKdQXAn0U8xygeXTTnssCrCOUDFTWWjoGn3Ub4HFFh FD0xYFS6jRaPngQeJuVMVc79shin4VRGqP2CqoteLih70d66UHKkPJIjJ1opJp1Q8GwQjfbtSVsh uGfbsTGiYLQnZk+TPgqV1QBA4JC+0Tsg0Z4Y+2TENVG22kq10dbFOe3Pz0YE4AkzTkaMmwe50yC0 6rUm5Z0yYsoSNhWw3xqt4UYAEgFgePQfIDiWRFGwsUbsCOGieD3ycdluq91a9KmqqYBXsOLGiKqi NJgZlQ3uyis2U9trAh+5BAlcC9g3sOiJFPk+ZEdI5md8dgCCQ9SeGDNz1No455x9o8rvFhXMfys9 +7st2hhxj34RUSG8vXr00Axchp3l786VEUWiOjFo8z569alYC73uJRoKiG1kd1V23soLY0F1OOOz o1o5m/MI6zkavC4Lu0GE0wjLobV5rIEMDBenBeYjikSlb+quzuxzEiZZurXot0E3RhSH0q959FJt 9OrwyVMS0506QEvJ1fIY8iv7A3Bi0jaicihrEue4mDayAij9J8XNeDRaF2+WwuPzwXuNKA5l/um2 PAB4WpPe9EZGdumAEcWhVPQaJflopeEMaCQ17ACP74uwfKRgQK3Pd2XY2wWbhCsJlEaNTGDnNgOV E+1/RgQUHetnYdBFAFUO/m0hUHTCs8kQ5qM8tZ7hnoqTfmc8MiKoKO0CB7HqHO32O4FXQfcVSwNu gVVwQTR6m/Q0TeFs6gXjNgrKPMVGS9iqcHAFZLYRhqHT7hN69p5o1FdG2J1HJWpabUMS7LQFeLrz 26gYIwpC5xDJkew+JtlcDbPDz68ICNitXw2mzpK8mV0lE0dGVA4l2ziDx6vRyl6onHxVAMKz/4KX Yybq15lsIwpAoQVT1kOcn+qf9NWXEeeXtU9GFH8Ku/S/uRp8NAYVOYnbyPKIY55WKVrxAlm23i8I Pxvx1LvbqO5a3SqqIgfCs0HmWqy7xpOfB7Gfn3FJFBo1PC0X0LhJIqtwnkIyO8mt4WUSFkRJUquk RteHGt1OgHJuv0pHzJk2p6sh10GbZlGseb6t1xGWRAk8GWwiD0eIAkundHQUPBrl8XaAPkAFK2vM sB7ausp8HfU+LN4u6UyKKSwqfQwlrzOKQBcDDNgFabQIFMQ+VZcrOqUgbaNTTeEz6Fi6YxPleGbY mKdXHFy1NBqmZyxBFXadeDaYjvZDgMWv+UxHFINWVlzOgbZR1sBZgT8AuWZ9+Qk5G6pthJwlxhAA SWv0+vCEGNri5Tr7OaaH8RxJrPohs+F8wqf/pT78v6o8cBwUJQ3Y8hniRHt6Dw5ozaFMpPmIotCE 3FXKCmcZk3fI3LWK+pjJdatcHTFriVnYWDZaKn8i2owt/pXEqhScoSqPuuBPrtb0esFWIaqHDViO WuWrdFV5+uSzEcDJa17Ngw1rRM8oDGUZXxRx9OV5flKeZhhvvliCMuOCKGPt5aMfpB15LC1EVz2L YiSnfSoMdYVIe7vo3EDBj1Qjjipr7CkkHHbgZ0bl0P1m8YQWAbNkkxGFoWgqNWxnZO+dtwAkEHmM /2GFBiuNsxHLNrE8rF7bj2cktHwzG0upAWCQqeyGtFgY4vaqV82QsgQQz6Ch93gMvYENIUahzDrw bNBYegjShHf8ghRm3Jg/Zygi/ipRmmnc+cLGvPI1uFklfkZwdOjQYDOleDMFts8F+jzENLFETCTU hBLn+exXLFN7JYpFpTy8CbbfBrY/yyRv/sINtggzZC3N/hZ56lstn1FjHhlDbe5FTOcF4N9mn9aY d8edGVVEQTs8s8AQrPgdm1HfQXlbysN9G518sLP+fcVY2GSbURwKcPsJj6ePBF4Crd0Uhq3PjLnz w2GRaL8COmj1vxnSlZB6ZkP+FeJl2JivpjrcvRs9QwWnB4qh0ct/Z3NARxVljU6oKDsUmfEGEgtf F0WvF3RRFss7XBfFSRh7Vq39gfs1JCs9wMgqK/T8lnlm1JyfVQoAlstXV97Yzp3fxsKYYTTKfjRo hBrt2GhnyzfAECBEgl5sdT4kC/d+v5LpOKNYlEIRXblWNe7WyXumkJ4n8MCjEWaj+vWaWOCpjtmY IVvpLOThXjsnWnAeRm8CO+Uxms9GyJ3nodHbtNHijRMvTt2MkOOiGixmHYlP+o63MDz0fn+LNiB6 p5GhKGi7Sz93w6NR7OXNeXXYNj3COB0rCkbJ4yE8hux5E0assnRDc94R9ytqzg9Sc2imNLLfgaWn c9fCwYKFXMgVEYYOctGWb6VkAHHx47MjSjDR5anZaBUvE2CGwyqe/YfEBINRzH21tbHCcii9XpfQ 5UXZCfoH3fE83fLXFfbme/+/R2ip0CQjmhxl0dKWaUwgohmsbEg2FY0RfHZUC0WYQbIoR5uM0ZRp nIMJz0Z4nvFzm2x5DnEuojBUmqbVq+RTyMieTQNv9GTYphW35oV2Y2zevP5XM9HUhYKhayyCrtWv ln+bXSf4mvjsIPCiJBeKpxoJ6MEJ2rQVBx6NQvIfzMb4YfStsBRKY0ySkzrujm7EeURiPDK6bEPO 3bpCdGgnLGr4aPfJycROqAF06rlPCo+/cxKdlY7GGDa6zUavesFIsAh/KZrVGg3pVbtW+vnR8Ow/ 8E3i7QJu4PMRhaHMsglpZV8e/6+plJ+DB34QlEoaiENXSFmiVKSEArLHirhbCtpqmzKqOdF7syMi n/Jafb1Tk94wqPUwzqBAdcrebjyrQzaq9ZyrePYfFVHcV0B+VD9DozgUdfyq6xW9cpJSJt5Y7JTN UjoulBVy56WBt7uNT7PxrDGaNZy07YSLMjZHzkTFRICHbX0ke8GIHY06D+rkGhWY551kSj36wLMR asMyWCrJ0OPAil8rhIhWwtVNS6CbZs9YqTt73kRIVhiJUhkTbXONNh8Jj8Jh+OyWE5FYU+kc7WAE 0UfWDKmHXi+aDXIU8ZcP9xrOOEb5hc7F1fBsxIH9UTtj2ck3SxSKAv/X2C//Y3YHBTJ44Og0IqkH Nb+wV0KQaGdXYA8bLYM9dyfIjl0o89ZI9IJ0Ggzp2zsbuCvx4ZEky2AAUGxk76DNpmDyRCt49L5T VnLA1+ZsAPZoBfMVUpYGTD+wYWiLmixnSw3T9IeCKsjUebHErfnEK2PYaJcsVD8blezOGj/TgYik A1YDPidYGKbVjoANHx5AiBlysCbP0TrQOJ/xlQaWbRSOPkqzUvVYrPhoPqJwFGx2uFuJiMFmCKo9 NZk6S2YrkYdHLCs6LFnXaLQlSOHJE7juDaEvKttnoCOhgjFfFc2tF4wJbegqLRtV4pijSINoloFn g6t2u/IGAWUYm+duO2Qu0SbZlEhK7cM4CMXF64uBZ3dUHKWJnbqrHG1C6prwpwD3KTVkhyztZoFp v3QEdrXyohcM8pXMqjmCbY5GxYAv8VdkBzwbAe7LG4dl5Dk2GyFt6SQ0LSeVvepG3wLWBnnqD1k3 AdbHjjr0ougsgumWX4Yn7YQHSGEnYZ2Lmwz6hqLLRjkJO1SdhM0axw4Bo6h5dxrA98d4NOG1GIrt PfFscLek9J6mGe3OYtXRHSNG90/6lk2tGxITw8DV3HNcH/8ITCkoV4bXfFbaG7frZCx2rlvdPpKL +7bxtpm2XjCYD1I/M/I7jpYOLUGqoOCDZyMmvc0H+zYs3/puiWLTxI7xUH+pUAiDbXqT+p+yaju3 y47b9How22gvDL7B4G6tCMNcPK/qd3LEwmCbaUeB6aagPXBpGpnMNqFuqf6AR4OCoHO4stzaqBpj kxECRpkbWWc6U3ibyWx1pHk3pPmOSUvUFSg+Wi+2QSJwZEK06uxQ+ztn7e7EA74roze9XYxZOKcO z43t50Y60S2r6KAI49moNe2pPV4c8pHept9RVNrQXlfFC43PLZ+Y1m2jjOzk4B226a2cM220lXGu 07nZMYNvYtlsNhBoDpTZE3bggMdnB2FHZoO+VBuZwEEwkSYgreLJ4FIpjwuI3Ldde3dHTXronIxm 0fgo27K2MunxSAOwYhHpDvWc2D9oBFe/xmkjnXdvbHtXQL0y2Ss16QB9VAUUgu2oNlpEQEBrnyN3 yU4SwgGWCo/+ozZ6sg+4X3yL86R3GJASit6GUehTMSbXFAGhQZAkc13ETXq25zUj3lGBmhVYE4y/ zrG6KKQ54GEIjc7x8A+Yue2oSz8SaSnUIN9uvQ1pPVb4xqh4NCr41HeP0B7Wz4uwLoru+Xa1xGVl 4pN6mw95c1jPDkNR6ZDQGfhxOjo5fdk1k5MLWk2rW3AviMR/36SNiiw7ps8zwEAGuqZxDzasJnkV nJgAjwY5fZr/vVeLt5Z2KCkK8ko2be5KMTtuFpNXnWv5VEQd+slzYpKyNB/KUgJYmIoEJEqPxagd AFHCTp56+dbLRdR5HHbot2pUvbxuidO03fFosChct5zBwGCjjTORUxSAQpm3o5BcaG0J/AuxkKDA d8RcbT4muSmMQcVIMYy5hwHgpZz9R+FIYNU7JRVoN1/4wH5gPclf8j4luNzABMP9SMipFUTLFveg 5cmnoxrYe7nCOqt+x0g+LyFzCSpZyPDgTVexj8nBAOIR/0QC902K/2EsOpy+p9H2y8mB8+xsP547 ulXCOFDwBboJCNqHyZXtFaPmNJv0/9E9g1fT5qI5OX7jw0Fs7hBJXiwA+4/scxKK3J8N3SDDQQ/D zv1zkk2hJCfFVKw/TR2g8Az/vzXjk2WcQKOw03PmYg+qDiB5FQ/mv9pn+PS/tahJyOeoqANiU9w8 Y28+HIQd2RO4r0y++mO5lUISEw2VXYejmHOfoinUBkfa7gsReizNcsFzTBCWiqQkmzkVnh0DahuE OKYLC9Cwhp8eA8GQuSUbHWI9VDxuq/HhqFxqRyuOQbRyZnkWSRSWYsmRTM8DhXJZJxLb8P8iq6vS 0UGLJKqXDhLIEyHn6YGcE86ykA5Bi+NkuHQZhuE7WsxoLlg0ttuyVwxQkyTUA6avURXCvc2C69yR fDgI1R/mdELRFMKSz2ES10xRSsdlAVwcYY3UCgRCo/+Rk0kz3fsUl01ZMG3NRjtkz3yeLAzd1ROI dAUTdJzNkPNFsmSxyNzTXjJirQBfXGib4XJPeZ6Ml3i8imYcXe+Cwt9T/MD/xe/wnrFhqIoeJgoM P7JGJ8OQNUJDE7+aynmKIlVq9Y80to2O8DgXA8jDXG57YUGcJO/Ez1SkeDxm5pj2gn8R9dmbou/b Rd8bDHiZ0s2V+XBUS24voDRPEm59RkKOPb6OTpGKemS2SllX1NZpR6jjJKyfUsHmfzmifRAGRcvs k6yAJwpDqoKiDEW2/CpetndCbhNtyjZ1jjbTbMsTuXfOJzY+HN3Ers1BmgJlnHxKwrCV4eSwNuXI 1pjK5WnnD7MRThHZvhLqkdmUyv21jGg91T1Em+0tVapknft3USXnNTaUnUj6uxx+ZcBWPWADLVLH Qc98Ntg3tf0Er6ihPl5EIbx0wYTdVHpHcwuiUtJ2xj1CLK2SuKnPPHf7aFlNzbC9XCJ8nSgJG7Bv 6tQltm5Nf2DaGwaFkMqGFH5fjrpz1gmEt6pCgw9HSV56Y1goMzzKFDn0Z1KxwVIb6G95X9+MyOdj RB7YM4F+S71etizH07JsEJlszK2EeJjSDitfRdSuDTaavV9MjwS2o9io2T4rTgoT5wP4cHSO7N8l ArqqhyWhPRPliiS7iXOndePdr+K8++QTEjX3hZqr7FlW71mi8XfCTlI2G9Sx8qi2goQufHIcXTZ/ cWfqVMVfNlpYkk3H5ZxXnxzYM5315Qph9MEEjtZPkdifCd+DrbI/wokKFFSs5Q/Sv22Z0J9pMeUD d0ejFVLP4TEzxf2gQlN48A60eiis/KraFHu/iLmASoa6jdlANgumLVxl59Dis1HW52hCcXyoQ2/z EStAncjDvXLPtZKsAZOKHSInSc0+I2HkytYDb+nxSIfWlPbZMphwIIM6nEJZTGXFHd/Giqkj2xtG ewacUVVIhpnRnuRGpyrWF5+NCNa/JYHKX8RnJBbIhyBQJfoaHOvN5v44YQmtzRYJjpqPkPZEzdEu NZPiZwglrivvyvNhJ8hkvRXRlg7epypQ7f3itva5TFq2UR9+DjuulrP2Kh+OenWWAhvOkkLtPiP3 qDXRrQniy3aYdnmaTVKS0Nnu6zlEoph1qNBO35Xy+K7skw1nprcoDJwoTKqd55CEIW5+u3UCJOcc hawECW3uXY5iMnStM4h58tlINu3n5oV51XPLhPSnTMvjZiXEZF7kyyBUNGyyHRO2+kkoJ6esyx/c grN8AqhpxA4JEzdwCbEJUER66E/D3jCKRBBpUlqZI6toWHHSJIDLW2Da9HOo8oxyWKNNScjEX0sC +fXPhnJatn4/ckAmeyRyaY1ESvlqUCWiLdODtmzAk6dN7nmDlxwDVhxKbJlSUba8Gh45NG5ixXyh 6axRh9Q0mb06kx7+hzYB3/58wfPdnn0TRaxAFvfEUghwp8QUTvLQCucJs5KEXM+Bf5OjLvNoNlq2 d1YbHEgIXYfzME02YRRMKZsXE1LtDYMEOJFBy7Z/9bZ/XiPLabdVvVnEa/jR56S+rDs4hRZOOOIY XxOYnAk1PAu7GfsYvTHr6+bYwonqOYuFofXIUWJuzqHK/kwH/I4lN/QVppxnnxBtDnvFoC2BTQMo iI2aEtQbeByc//DhIIovv/B16AG4hkUOnZzABS/NvbmbkSkbK75+8ZhhZFR25WSMLN9IV79Brxv1 HVaOJrT5yarDNYE19RRJ2Avhh0cwu8kiGgtqzNtINVZ0jVZg5sORVJT3utsX935+DWdzaOc0UdxC 8bIiZk+ZbvVnheUksEyGLpMOk9DQafCYGHPbaIfJhkQKsW5Q4kromp4D9hwkUOoqPyZwtdorRmEa ZS+44qcXBAZUPjprhmXy4YhK6A5X2DiqktiURAAAuHt0EJYwAUQcaiYA7Gio0w/IOyksCWydpDwN o/Jto106cClFo5d4OzCpJ5Vt8fFoMAwn0BW6TvPzY7kT8PGLjVzxea8iqG6fjQ9HwatNCoOqQu1j j9UCcydcO8WDeOB8ps6TzkoTpKBt7egjomqa7uBho01KR3pDyZUGM8qCpnBFmpYpEtofLa2Zlr1i 0PLlpGRy9hnc86tskBa5fZDyhQZP2YEzvH0AyPBGZw4NnjZfcpoz3HJqDBBeggMUj9YChye8Mxu+ BIE8kgusIp0Tl4q/bIKz6cVyBwkJmpDCkjc/POqBD54nPirjA0RELb45+fA/eKebscmEAaVPSAgJ QIlDDvfVi2rgGFaV5kd2eYscujwtmd23bKNdwucY7IUyLhWav5vITOjaoKmzvQ/uB2wcvDbU38Xg t3xyQi9W2idLz0YI959GH6LnJyoJXZ5Alx5lmMtoq0qFc+EPjfhkspuuCQllpLq46D7ajbPPCikS DW8LABJNGC59EJnc3Txve8OgG16ICWCtJD38hypRSXR2Jx+OwtcfdBVNTjwqCW2eBjGL1bEBxVVe u3hTrXgXOAcuT+eVraY4bbSoYRbwplDbLAWlVpFGEkDjDNLG61GSQ5enYbaSzUbv3BSZDUy090Kb p+KW3lwPKKHm51QNVU1Rn5I1B1bUNoH9ubMBBKr3sgKbJ7TQnKP9w9SuCXnqbLg1EaSlporAUFn8 oZ+O5O8X3DPI6hduMI0GKUrDhJNy4cPRCeJVtPLFmfxK0OXQ6wnU+pqr9SfkLwkb6y4Tm8mUXNdM GLVO6YxtG70kAL3bXkVdBwSTGF/0CLBoHowVix389Ai+i6BqJh/x6aPsJj3j8xPy2ahG8lNWZBne F0ho9jRJCe2y4yAXNZvllXTowGNpbuwdBa2DGJmyio0etJ5obaMJziJJ7+YHdc4KlizcGI3Ktzk0 fOJfu2fxkQWBKuAeXm/w2Shm/Ska4ZrKDp4IHZ9w8lcgSCsWCDG2qJCc72EGBPDTEHYisHxCiEe5 Y5aO2oP+P8Hr2euJ8lpoQLDlPWjsk9aLtZrUNsiB6ROWH/7SKr6RZwhQ2GRonMHJzaHt0yNhycDy 1QHJse1ThxJd637TLiIFtoygJLRffH2EeFVKucq0+gEclzRPBpbQgqa9T2Vgxr+K8WIZb+VZIXzo /SSM0aC2/DDlZYY7vHcBqefD0ZR4YHbCTRpv9QdiExtA4ZQmGZ9eHSZ2AKHnr6nte+05doBanBQ6 s87HmRUEzLxBA2PjZrFa0NOJz4B4a486yi72fhEpgnAJBKkctUggXS+zjjT5cNT4zW+Xs4zv09CL HaA2KindFsnm/btRplJ9EbKcXgwIPKCsOtKhBKPRigH9/D+FPy36epvd1AbTIxQvMSd29XYF74EN lEk3jFG7jTxGSqtFE1I3n/0XL/UrvRg3S8uBEZSU1Ts1Pkm5QzoKGctMeVb8qQ8K8id9yN8wm/8r WThWgxo5gTWwf50kY+IdoTb83jRtZ3vF6KahGlseNqoTPrNwIGcRDD78/1Bqpa/8s0iiaBVF8kqs MO1qKbKVoFerRbIp58HYLPCCEngeUci00SbkBKvnluLVu8dMJBK1nQhfojPp0+VM2V4xumtkO7lt 9INbAF/ooPLhIIDPxVtYhY5QTmvPoRtUQqzpUKNCtD2xAdmxAWv7tvm7HZSYZOsJ32uDrEtjwahW wKWQGsM6AhE71AtNHoTw9xy6QUkSF1hAjcKj5SVb0nJmnQ8H22amd9ucI3p57Tn0gyJ1ir3qP8SA 4LIhy2pJTpuOxyyyxoZQ0sMdw0ZfItCJ4ZI4S2Ge/A7leJr20Bu+vp5Qs9grBtcvmwqp+WjRX2+C 1JwLnQ8HAdoPP4LbpiTHj4S2ULgQzy8LYirha5NHCkq78/wjeKiRoadZibEBKo0kG+2ySVgaoAGc J891CXlZKMwBPQJ7KJwjDg/I9pLBDcxKER1TOGqdnPlXptbs5YIb2FV1eJrQktp3zl/sobLqNwYP cKbEWF4acQhJ4A6F3IhNTvXEnwtntY4FsrhzTthHPxyZDlJX68n0ir3f31h4/7WjPMHqSpKt4wUc mEP9Jjbcl7iyvDQS2kPRIE86QzhTKAtB6v8yeEB+JiTCti6Z2BIRXv2dcXVD/XOQ2lhVXiwkKVEt 8O1jFXu/oD+BfbMGjQ0c6rZm6iTVQM6YzwaXjVO8qTpTHh2/HNpDUViTW/kPUae6Ys5B4tiAp3IW 2EM5nKZStbDWtzkxAW7AtXKCtFZokE3LX3Pz6K/7Tw69oRhhNcgZarTJ2CLhll357D8UuWgGztKu z0YUraL12knycMCZqHfJlJjOSeY2tjm2h6IQPQ/G4RYkmZa1/STsXCmQEeBFRJlZits8MlTF3jA4 Pxb7VqPbaBlkN2XY80vy4X/BixQ4nLE/paLAIUoqdgWA4g0NALabqAGwII8IdACDSx6rsUXUEjLE R2tNLJRStWPKiUi6YnyqIaCj8BLxir1h1PpFaEaVlvSotKTa85CXxdLDwTKpfobgiEL1Pz1nSFhe RXGSwAzqADCeZ6CdXQcg+yoJ0QEMNBaRzcurZwVuhmmQd4qsac3Klg7a0mYKLjEV9ThDo6imejip 3m7ecAI1i+frSTf48P1QZVWW4ZkiV56JPiNhzDoo9my3SzOJnSFhTCoBOHgzcIsy4HPfqp+WRyii LajAUGtno8uJfdNoC04tL1Bjfk0qc2AZhYMVOgALvU6OtgZnl/zF2Xp8OKop/uDjUZnYz2ESSlPB mACRVWNvE8kHzBtwiVMGsqLCbvsmwgcQqjqqCmmPUSWY0WfVUCU1o9u5hxFchYLxLqfymsA2Soxj nErLRh2ubai5z4JRYBv1g5jghYOt6pa/n2skUrTRTGeGJVDDX1WTLRtIgrlErnFIMQAJ0n4bde32 wuyOhicNmx4g78lQD98PqG0BnvkVP9c4pIA6SNwmDoGUzT13gufBGnLPn2sMUkAM4flBOD7+Fdhu MhLB3AdTscD1Rq0dNcTG7X5Cj51IeGdjgjwBHCDBXOCmLpOQTI4Wk51tNosOVEi7Fubg4IdWirE/ uJHJ0tl1Ks7RxEuJJj/TlQPBf29qRaD2fp0LgI2b5kJG4Wij1q2puAYgRcSTUSzZhWUZk11gYOzy HVDx57q4BiDFoACT2lHz0Y6qNHNgnAtgEZjvCCdP7PDdv9EYi4jX8KMAGCF1uWKjglOoZ/Ajamqf a/SBSdQpOhh9IBdJRRNxjT2wPVDAWt6y21Utu76zMBELkYdcba/TYPJcJEAVo0FhGjaYmTQFAf34 hOdIcCZoeBWBZnqLII0vd58Iyt9l5JkarZY6pimjnnz/GnTgeykmHU8ltWkeruEG9gatGqvQMaCG GnDGNGW6bAfhLxdtja1jotpo0XnL7LLQrvRECQzkBtxr0MeiT4EJlTFhuYYaxezaZAbCUfnbCXsZ 4p+IcX2ugQYerT4RZzksjiyg0lwmmIy6lUNoMvruTgAwq2MaDdDNI5gMymxPlgs4GpL5XKnn4iBG pcHvCunZxGoHw+exKi1JXi/BXCzWCHntPOoKayc5PZ7ZLp9riFGEdnnWRKPxlebhGl7gkC0N2u6S 8DNXPXZcp0ySII9t0kvX8KJI/upsDATnHG1ZbABKwaECkSPtjWt6ThZXE8vI5Q24rpEFdgfaOgWo AI0qELYqdyhEG59rXFGeuGKI7g+ZU5ToqRsYLIlOOlv1hL6YMfpw6YNRTMfvGlMUa+zTEV6j4VIr lF4a0XXnFJ2ktU6kFfhno70M98WXu18dE7doKRRbK4/L+JlvtebPvH+uEQVfa/2siSHFYMo7RXsD 95kSeRAD87IDc1jLFqhJ6dYFB2biUUlsj7muJIXdUBtn9Qp2g53C65WyJzjDoUHuTX20rD7XAhi+ UCaeDs9wtJLgluMrnMk+1/JXUYmfc3FiCywt4EvtpLhWv4pQESAcW7/W2FNDcn7o1y6Lrq6Vr2KC GBOxtEa7RcuCoA5PCpgrFKattGLE3wHxKAuv5AF+rXthrtFxHsxLONoF0tVzgTrk51r1+r1AWDam qr+HV1GoiROz76Wgou8tSxMYTwgCcvbzg/eIok1CcycB7fMBtKNEfOJqxkYArkwmx6xp0g4Beasn rdRCvdNiijmMJzoUceRXKfRC4upofDbYKn5osCUjgUSbkSjiBALp/KoojgLfxqykfec4Z8HCOZJw mFcBuMOQEwEWjMY0Wvi9B6BiRAUCeYUQsBA7Btu9L5SVHdC+BJSNDlE0cmmMo9GAHtuNw4jLjeJO Uq6xX4gXxQgVKU3JPfJE4M71q4ALesfqrtA2E1MC827HfARTsivvVaScHO1OgQPQFFB5IQ4H3O78 11hP65VfHyoVR7EnKbMJsGuNulTOgSdeSwGg/R58Arpt5wfViTi25PMRBaBIxKA9qBNEKrm0SVTX ZcsPmz2W4ECl0/OC+qlGTceZAJB9J31/1m6s9U7YB+hyfW4WUQ3vIaiBJQFftVHwhraFzy/sS0Yn yLD9IgkVCgXZZNyjUHXiu6u19Wpq9JnwLp4glea8qmhE02ET0W30E7WjmUrly9VnopLMBMiPHnFQ 8+uv5/OdEmM37QkDaN9aTfn/nHiDx0eG28udEFPUcs2WopH9sWwyoiiUUujIeVDJOCFDFpuddgrD vG+2dVbugSiOZPzqOIo12nF6TqK1kMBmuLcOmktPAq+rysT5Zy6iQDQlotQx3RyFJiydahwbReIo EDXtKZ2kwGysaZHonQmDPb9YUajaJkyAIL/VLUg/90MxK9s7E+a5aunWwdEisDyA92uURF1wnUd4 AvXdRlMn921tVa93nwsoF58bhR4Wy+mW5y6iuBugo/tzp8Ewy8vvsbHZ7BvEauMvjOJRlIFLdQX2 us0kihJZyNd29cpfFJCyOHwWxbDxYakk1MtR1xno0C7WMlBUI/z2xa3PrPe7T8iQ/2JbNnrkUYmv QeXrc6fAFAnqaXnI/wdSnp6q3CkwWCCdzk0qBZdVLAqZ7gjUixeHo8B08FrRjpHPGdfH2EhVCFqf DE0ZvkIR45wa6zU5yUuvd58PMrYJZNZoWOSZhVg/2/Fzp78g8Nj7jdHZtSkWlt7pL7zGNiRn1Kke +DGUuVEWDC2ViXqC8B1haIrIYxHrxNEOUuA7MgWPEK8n8lsmgDsgNyCpNx0q1YWjyBSOT+fYyN1G O5im/qIT3+zPnftSDHL31DZwSFqxKwpMcZ4V9mrYfCxmV0DMChv4Tta+817ewl9dNlqVB4XKSTZS Qx4/ebZwAogt8BidsNs77YXhjMpd2UabipIIFTsBy/jcWS+8nvtP6Q9tlOKTEcWkhA7X6iLsRHfg 5MjqPXb6OrLwdw9JlQuDpO6jVUEr/KEqPRgnLinGZ+x2EQPi6dtmW+nOd2EQxSNDdDHvWeEDh/p1 bX7udBfeK/NN67FIlu2TO9sFy5Ay2dPUL1YRML2LcFpPGObucneqC9PZxgKnjxaMgibTCbFpFSrI FLbDOszMCdJjtzcoCHJnulgl6ZwYDCObEZ7nrjUJXprq585z+U84iitofalEwNmIYlHIl/RlfOyR ugVdJ3nWjoEx+7T5iKJRSuisypi0unhw3W2feIn41pO3nHiJwGPgm4WhsN6JYAt3hgs+e5NWgaul 5Pli35OBuub63Aku5bGYs+wNOIDq0xFFo6AXVKre/SHykpHnSXNqFee0NIOW3uktRTx5lIW3jVbr 2G1BOQeH+fmRGgK6c4SeV+/URXLlKaayd3YLWyc4yyhOzJGHX2ssOjOVLZ87uaUY2oFLg9sQKfT2 cyMsiuKuA6qHhZ/Feg+42cn8b6brBt+5LU8qC4kMjU/H4CRdxII26BJTBWKiDoVLLPc3Amt6vSDg yPxLKdFYjJh9gnw5bZzQfNTPndtikexzoVDNyEodd2pLEfAMKoz86lW6ZMDAFmu8snDEG+UejZ5D lCXPwrZZeQSnJq7UXmk6DZHZgVZSZ2sJUQPQ90/nwF4wKIPhkQn7D40qg51AUW5PK+3PndhSxDrn dGBZQ76IkvD866JwFPPRXRimqxENTb9NqaVBMJo1Uu7MliJ70HNmNB+t0nF+wpVxXmB59M4oflFX mUUkh+kXe79gOpDrbAJQdzWIN5rcBGShcDc+d2KLFXEsHEVTCfvN8tg7r4UH6blE4MaJcwJFf/mH JaTf0Kk/+57aDid1uxNb2HfFjVSxHDnaRQh7k4TFfhYEoi/E5ysr8fi+fSWFHGE0Sm0oogw5WnSe Snrsfe+0liJXME6HwjMRajgbUTBKWMkw5nXjL/UHiyopIDv3yzDi8Z3Ugt1C5SbaRa3HLgrKCLuQ LYSDtI/G6jnWCpEKKPj8ivjdSS3FkGUJYAqNLHKMNl1DfXzunBYEb17kEDgQ4aztlTunBYtDsYDF HCy0sbu01EogekMtxzgaZXkUcBeOdsdWCGzj85C64YuwJY9InX46T++16e2C5isTN3FJU7Gsfi4q LoJ4w9eK8rb8WyBNsrLgXETBKMKb1oaJjnWjCKrSRImp5g2mO5uFk8HzjB2+lJ+lMaC1TiOwc340 ig+iA4WmIxMMwSQRYn/uXBabC0j5NhsVbySqMbHD1D93Kgu+WbLIHEdmS9/ljegoFAXubRTTxxlE QLB6Dqc0ljgGlZlxqdyZLMVsS1nI0fhCJE/mR2GLCRFh5vxAcdKV4FGDmXq9IEtpPDLqtlFHRkeV nvfr5LP/OEGz2Ltf8C00G1EoijS7piRu8QkYFXZ0aY7jVvI2053AwnXBhjT7jwTgci4aSl9FdugJ 64zXMPYE9TryfyPRO4GlCAILCPuw0fCzow1BFEb73PkrRbI/z/VKQ6BhkxEFosS0pqKvXpI1l1oy 2qjq/pyMqChKbZAFw2mNNhkwVMOFSMuGXKhHN+F/SqriOxdZbxfVRPE9CZ5MAnnygoNGFebirL3P nbpSHsIXGNpfmgBk7zLdqSs8rClHKVxCGdaJ7cyWsTIg+6TJiIqi1G6cNOzgaFH5SXN2ZeJ+vneG qC3iUNg+40hPDwPOpiMKRNlFHBBP1cjLpGXzOoYS3Z21gt/Z66LSw0Z113Ebd9oK40hIPbPNWL7U uyNDkn5J/NMin4T3SVgZhTjFpIIbR49FWwMzA5ZEEMKgh1jv4q2cYLc/tUDSLT532koRibMUpk3l NVM+8Yo0LU/8+7mzVuzX1gLB/zWzCM1IFIs2uhQYvg38Mm/VV2vVZ18gcWkUSwM9QYz43Sjsgg51 hjoVYDsbZx+9wdeXn0jLF5U4aMn0ubNWWIFRJ6nZqGtlCQeOuG597qQVzuV471igRnw2wlAUEFzq lKIuurso1oMsKnaok9kj3SkrzKyY0WM7cNR2WSfZOZ/CWx5uQFNtFzRmmzRpfuChd7oKz1EKne5m o86OWTtb4fVc/587WwW7xc8OmWz9nKNRIIqwt5ZttEhmXWRXG+x+7mLVnjtRBe/Laifxw/PBD58c pZRNWYnz/QETovoY7iqga6FD89Aykt4v6CKwe0qW8/AK9GqbjnhIZsfnTlIpxjHF16erE2JJEs4I +YoCUWi3d8lFs+6TpRXF0j69s/qiatSZkDtLpcgFEodGtdHOUgSMnWpeiPerzHFY10dkTnqqrQ/2 Ve48FUIb509fJbOUVc6ykKxWP/HM505TwTZrdpoih+ZQLFO5s1QMLAZl1u8+B0UlbQCg+4Fui8zS wVRa2C53mgqvw3XBy55T5xwVdJdtHfIMMlyZELWbpCfahECpgG8YbBiWiQuyPo6q+oDDw7C26s2C y3aVt8wxv46Cu7NUsByhNz/NKatRwR5TQCoZDT4avZGwPKLqaBPEjQFneZpM58+9wQyJJ+kYhXpR FZ8CeTGwtZ4W5NYbRugFJPQMWDiq6JNKN3OkMT93ogqLPr9dlUTGgk3IPSgFHo5we/WYassSAZoU +GXVJ1uv/s5SeZpu+OYa37O0Z5bOewbUUjLk52Y/BwvAqH6xUHfoc6eplEeLfhYbDft0MkNhb3P5 3Fkq5bHt9KYKZI7tOL1TVFhUQezJBgLz12JFn7qS8apXN97OnaLCpiwwmWTurVdQ7JwdJ7olJQKs BoaoyGgqm4FnKvp6eUx3ggrTNyi+viNTWSjysyJ4Is3PnZ7CSrOFHYv9U47AumlCohIp1Sp2lRKh DAVpUrDP6T/YdQNenyTiO0PlqZ/jXtX4ROoDPAzGYojUmdzoMKCooGu+Jr1fMCEoOhVab3C0JuRK Jq1f1+fOTylSWH4hk6gdeqfpzk5hSxZdDqv7VBqroGc/LKs7f7NjzO/sFAOEIANONlpyvxB3TV4n ECdkZCpUxo+4i8zj78SUIueSktEi0qgoDMoxqpBWvlbUgfS0RRs90URDsxGFpeTJyw8bJfdqTUji kZDEiYjR+Ql/aUIuNH81WpgOCEdaFMs6i2TRjPz8AxTFlMStN2u5s1KKxIyQ/20b9dm9JjVVz939 ubNS+M1+QPdn9palcC2KScVtM6gCnW6Z3LPhgRQObDJNRQwi5SU7to0Wh8HUdmbVis99hYgBRo1w 3JHu7XglXVoYk2IRjU4wbDd4GTI5wYp7yXg0iMIe0CQDSPzR4vMWxqSw9ZyGIB2Q6TTzrKZKEKSd rHLeouooZ2OxHcXR4Oaw3dpELTZk9SRULAoZMizxM0MBRxSTLqF8yrDRkpWcZYx2zjY8G/Rjve5D BjVA1w+gtodBaaa6QbFax9BZgfKu1TqmERH6PSSVxwMykWajFc4bMHGTa+PcvKUrZEXHk6Tf99ig 9mAPGUtYc4UaWiU7Teecu2aCVRseDVDnXvjhtkQVYNoV28P6aGOzXkdEKVNbplGlGLNBKwXORtSs H8zt/4ef0pCHT2YwyLYaZgf3CbK5CfrQg+LAzYAPD8JztEQ2+eAcdcMm9ovRaRl4NOJlPMEotgiZ /TYZYTxKrvswRfUxTBWK9igsnJP/CVpGVB8lEmEOHh7j6UACqHXSFBYZyihUpTsff77E4lZ+FBjp q4hPD8IvdIgHNCg12tVdpcIFBCaeDYhL8wVyDJ4c2y6UHlKXzmogyrpQeQH9bXbrseOpjTWguK0J ieJR1u4myT8cvcmUUPBHFHtWWkqTEi+ItnYGaX88PVkqIfcoHqW3bmb7Oz/tbyD9uW/O03w2OEqT J7R49UVaLKcjYjBRyw+kYLmmj+qMaYgnDJj+omM+uFnCaHQx+ErJRm2WXIC3pm9G26BfVaYz+DSs jdc9qyS9YNRlwjywfJlBuyBfZ+2U+Av3cxzj2WA2Rn2xtMhTtqWyPYxFUbud5mdbF11cYNtnEJfN c4FrIyYxdUNCanSiBkHUBC9kUEuSVLTPR555xsFkVWPki3y/4Jalls3/+ECfjHKqb3Y++tOjWHR4 EUw6nt/nGA2LpCPJOB5m2PDiFZKDagEAWQOoQiBtj0ukRJOjFMbRjlH4tm6Sh87SQXqG2jRdXYmI ww1rMOtqLxjVOUD+hJahRktkp8RQaj+JbI+C0dQ9kZXr40ZLzcKOfo9GzY0BnYBOzyzAZhiSZ24W o+vhv+yfHjXs6dVyjshloy2QDjWOxsJggpULKYHod3Yq/T67RSy3qEhaKVFEowmOmpGd5Ppz0sCJ ZyOi2/7BgZ3pEe4Sf10EH0Udq0FGZkJWHmXOil2CHvImMxZQ8snpiBr2oq6o6zrcraNiZjI0M6Sl kVghHWR8JVpETGcmqOXUo559ogg9pD00agVCeIsnYhsNz0ZQsJ9aB8Ellrv1MCyFl3jDVYbaT9uC jS4KOUkpDC7tPEyjWino1ifmGD7adXhC4kbBngwfwkxDS9ZCGl29nStNVnuPOvaAthbAZ23UZPSs EiSkkvFstDp+KG9FNgxkQUYh6aSoaTI66GC1BwUPs8gaD9Z6RFVSEvQWE+/1JN6wsEJtF3WWdrI0 IMEIbUnUuH+B1kUvF5TACLEmnS49dLp87mx1jM4+xrNBQOrkP2koUww5WxQ2opAUasaNkDFqgVF+ 86wMNsq4MlhWxsoYUYmU5eCzJrqNlr3BVSAvYQQnIGGYdsBFcZWQK+zCJEkvGMxIYaUFNx5HcjTy LmbhmTIejSqkvjTwS06ZUmg6QgRppQCq5W+krLFtzw4Go7Dl4oIjqpKyNLB85ApG/lZHqlC9x2F8 Zpx9vLkAMachuDebsH7w2QEujtQ9ZnHZGW9nfcDzVwkL3yuqoHvf3pDW9IDjdIQQUgB18JtSIm0j bGF0Kqdf8Om7jo0RhaQr15+9knwyFvpDozOZ7Vl9/UUXaBaff2xd82eEbXthJmke358qx66pPLin ERZI/VIxz6Oz+B3FMKKYtKtxn1ToEJwBjXuTAUOVU+nbiCLSSXxXEejtKXScH/Xsb5hwnJMDIpwE IQMMjrYR4ibDPTF9G1GBFNUJiCtWG7lTzsKVWeBJaj8jZDM9S8MiUzTUrO4zwpiUkrLDSCt0SFXr 3rjD0BHVdEQxqUh9qOBqtHLxOLks2iuYmpSk/jVRuzmz832g1qJCjqg6CrtyOivYqGR2LgWkJ0XG o/84N1gWBCLbk9kRIkjhK9MN1zIGoRxUqy7mUCJ0NK+VCEPKgG9xOa9nOTcIZ+1B0MK5VtOiSuMC CbKoMvdIok29YHSxoOJQZrVRBwfQJ1zt4LqNMCSdPwFYA73TZiPs2WM5SQgc3ctmikajLu/ZG0Nj RMVRZbKbwgubs85jY0DuSqm9yhxCCE7y/XDf+ZUycW5E1VECJPBJNmrZZbN9Kjk1PPuPxgovWwzV ZyMKRgfle7Np9ohIDZSB6W5OSvLwRvl7LNqNy+YUjV3WzJs8v3MGTYGfBpCZsFjGb/DAR7feLwhF O4U4qPyVHC9yzg12xL6QwMGzQSZb9pvX46DOlryNMBQFroyQ7jMJlV1d9GS5Jk+wPrdbQI+4Poqb E1QrJ1ypp5Lg9kT0KPJYNgRg0XmWG9RAkbvNtyU7QioTWsEDS1UjK4K7J9OWHAWP/iOt579Ljpnr UIT10Q4RuHOQNjTtAcKhnW9G25mmT501GqyOGYWjANsDB5Zs9ArppjpJoaQTMZOMN6CuhJj6sXqi eM8MW/YojWZgpDXaEZ2SFwUnng3mo+33HMXP+chyRNEoGmoVGNj9BzzqAa/0hTp6sYY93IknhTnC Cmliw0Rz8jDsx8mAUof614lGEYoRLgbPq45c7uUzUcgMn/43mgaoJBq1V2rt+oWBPJ8hhtSPDt61 EMrp1oKcUTgK9BtVzyh1PZs08nY1QR8or2Xx/mbMaGIGy90yntVxQqQEDosKYqmStQYl0i+Yl/PN 25peLyqRorLJ65Ij5wByo9r9Z1Lw7D8YPPK+wohzSvMRxaM0OX1b9ow0QK83ENCmVSSXR1wiZXF0 LBvtKD03xjj/6RRaSYUeI9T2Kaw+P8KSXW8XHR1CqE0bjbSC+wjnQVsFzwYN2ZmfeJTbF4bZNhtR PAoB+F4oCkheQhZEMNVmDIW6mdidw3RGEekgSJSVrvkIw9eUTkq40VSBgjHSToLPK+9ugozbq3c1 Q04T2hmrUB2+eI3j5NedZTBQK/Fs0Gxq3nsT4QeJuV20MwxIN6/VJYp9NjeFDCepc6wS/K20lp8R UBMQjC5ehuu5DGvr6Vy0zE3Oi+XJMun5ueeXtP5XZFP7JYpJKykajdlbc72Ss4ob89EGN4UZsprG T50DcbCfHVG/HqniySasX8+4F/36OozhtYY1ImdUJV1E2Q8GWcPBC+hJ9jUJnDwL7RwcnSUfahiz vKm1ocbbjOJRdABPsIAEILtd8gn6F7ONc1EsPPovJNiXVBGYrdpchIQmfJNq/s7QKzeWbDXINcUt eG6Egk/ErEAoQ6MVOSbUnboyt3G2ILH6qDdC6AMJhq+LoteLkBxMLBH/c+RkjLQkvTpwx4Z0plci j80v1FdtMqJ+/ayEHEzj1ncBOfpYas7O0gzmM6N4FAhZdGN9tEOjnWiG0i+AXJ/DlQtjUQyJB6BV ikmEnFE0SgfXIYL9dEFNZDe6YHP7zKgumh3UspTcfMmW4VyEZKZznA0URqm0yiYHDorKsh4xPsVV 8WfMrCfciYoFuT/1L3QQYHaNksY+m6SSc36ySRwb4y0T2/tF8Qb6S5sljm054Z5pm0bR5KMRemG+ 2wTfonrTbUXRKGWQCNwls/4tcFQrcGyTRVtRt35Q6mmVYaMhBPfZHAsr4VxJ5z+0D4X3AyDu3/ZY sZLaiA8Pclg2INecNloDMs1l+lcbzwZspqcjq9OjAQxpq2OFxVFUY7E5dWwUkzlvlsd2VEA0H2E0 ygNh83rd7/Xa4YZMyjD+0ClIzegMBdvppwZLKvjsqDKqRv20USk9JNu481fOeDYC+fzeJlsCWPzb olCUdqPDLg/JwlB5dmbjuU2fi7BdzyxWxa1WvC6azmrAEarQq2xlsXso6NpPrNHxbfHhUbmHnHr2 DptRCsfIMohCTQmP/ksbDWkKOH++T0I60zlBRyLiidJo++vSaGaFN1oy7PkKsaOdO4UsjfUGGpC0 m2h+E7wwqAs/AQDHKTP3Mxu96gUjID5QB3MkG+3m3n1ZLbDg2ejcSLY0JKf4pZsf5yMKRFnW3Cj3 sVm/0yNvjsb4H2i3shGZ+BkRp4m3RffRsIEn6ltrUcAGGONEfzj898xnAaB349WkN4xKPpuqq9lG heZjUSoesMaMZyNFn/SEooUYQe+3rSgSxYlZpyGrTejobGy/dDezEE5HzK4nxIoHR9vvdEBWc7Pn Bxx+o+AFO48s8AFbbAuE/dgV9euJI9uUi+SoPPaEdWw/ntN64NmgX/8fVd71Mx1RKEonFcbGhI4m nR1TXjTAzDerja4wFKXw8CCyZTzIlgI1js5AttFMg05e6NAAGEEZMLOztteLCcNUyeMoq1WQOwST 6CeNwbORZIvnbcpidb/wr4uCUciFnNMfDdcFKd4kfE9CJxOCgqjXZdXAVggeJWfFcF6vMcyZigz3 atJkF7pM4Dw1hKIVoiE+H6go4MPj3jQooNNGBh3QRZOxaFt4NDhLncXDXn2hDbVNR0hp6piOJNfm TpARGo8JLG+aFYMPoax+hQVSCfr8r2zLGBUeU5jSs8wbRRoGAl4IFeJqMY13HL/48AjbgkIxTx6J X+LD12hZZYqBdRuFpMWzNsb+dKazrH6FBVJlD2ZvRqIy4E5rdcOSkmzCwyOMSLvk24uNfnhAfbSx I3v+2FAmKESVwZuAhDc7OwBv/awoJiXmITU2w5prwszzyvybIIGMZ/+xPnCPQ0WwucTkjoJSWqSy vkaC/TKJ2moCUOc0clHWqEAqmV5Tvk8PpzzVc0yT8X8mA+q1mQqZRF4vtc8te0MbGB//l4xlwytJ o5d9isp6EN3cofB9teODlVJCBTQb95BU8lKNjPU/BAY1Vs13zioNEnOl1bFDij079ZNn6XzO0g7w wiCeuKH9JstRyORsislMxz5RBA+fHtQ4WF3mncBRQfqGBikLOXvi2eBmST9RKVKp6qHYjnn2EOhN 03j2rdgm6dNKpGMnq3PsODBlsZhIfNfqpzRcUmnmnB5rJ0qZwJmXpNW3u4IKEF8wmA/EKSA42Ghx XkpSijy3OZ79B6mJkQcNkwzAsENSE4mbS6vh5J2Tf+iDHiKIIKelszvu2FOOAsAEjrZb5sl6GqNs 0GZPDjStgE6zdy+f0yj1s6O4VOJo9BDkyJulnbOea2PujkcjNTBHtzAaI97b4tIdgkjJNNzesC8m g5/cpqp6w36HEFLJDsxpo3UTVoajCuEL8N6tBMUB09LBH35WRm96u78x7Uvy0dqQW1IPwLXj2Yhp 7zEpXpx1WT9HY8Wn9OqTlN5UO+/FHBEn9fi5MsJ2vYiy1UebjLQ2JMQLJX0gZMxQDSnxObnbE3Pg Z8BnBzEH6RWZP2m2/K2jAawlUvFkcKUUn4qh9nR2uOSOuvX4qkM2EWBp+KGBtpAOjRNu+qUSKj4t sjRYCStPQfCEj+de3YpIoV1DqEeicgpipkcAPuv9Iqob+RmYbo5Ccqwq8asTQuDRfyQrlaRQLA6r B+4wHsWcrZWNYV+2h+nTu/VWHN1hcZQ/+bJr9sGd75ZG5t9/FsUsMlIBVb1J8+2hJTB321G7njWl Qs3s4prZ506aggWcGA+PRlUfj85xkE6KONhshNVRtEbTtDrHXgYFYy+A3fpi2IUdBqObs1F99G2C CCnxAIXmSiL3nBUkamh57EWppx3GovgOGy6mGnVmjC0L83KOUjwbYfDLf2/Xh0G9o1gUF1bNXYXh x8l8lGnWGTtb0WdHzfqJUuCict56gL/njyfdL/Q5rBVcE5akgSgmCOWpmm+9XMSvp7MNsTl+HK1a mVWS6vDZUW2UTV/WRrvVfNxZJqcoDgVuoBN/h6sDKpN0oEJwcP5EB/XuJrspCkX70OW6bbR6IJSe 4JhKuYgBJxCal29udlTbfhFx+vyA31VVuEX5B/edumcTZpsy3cqTT0egOJsWOD8hvKzf7Rsmp5DV VEl3P4EihTnOCgfknN3CzcQWxL0mn4AwJpUGVus2+j27zlcBnB3p+MlAWe5AuIYKHCqJD8sr2ytG jWrSNIhiWJ7C7VILS7/wruXDQYzeyg9UECWX/kifp7Bzf6YBXnGMukwYH65JeVqtsOFUo9p3CoGk m90mChk/Ik0VsW1pMN1BMb2uTWA21PoQraFB/quSRt/3YE647Oey0aCkvVazH958OIg/irVaKIid E8kRNiVR1XRgYfHmw/WiAKTj+u3Gt+/L7SRCe6b6C619wB1oSE665LYGbD9B++hLVoBnpssPkH7B T49h6JmCCBqVuvRS1JM/IR8f/hf4B4tkwUbhWSRRfApNV+pqFJU+ljhfCfzFInu7/aySqHAqiWsB 7+dDkCy9wwqSDY8BBDkVKuD0B1b0F4G7BWbYVHrJAHKMnJRNhWytBSZ4qckC7PwdfDjijM4nagce S+AjzUpYPEU1YlfAnoCwQ2xB3j26MSgmZ/Ya7EAJ66c8aCu5gfXhBp588txCiRUhGDOzC93hr3F+ 2LOIx0OVpE8SPz9Kc3mirGSjikJ5FhbJztnX+PB9VuqjbKz8br+CrTmF/PtJMpaqQCAYfOWX2SzR TcnlGSidE7Q6WEDdPhr65eT7Cy18hiY9QTO6DK4dOfoVZwiyzcTPj8OTk3AhsE2PCv05UWZWgrcy Hw6y/+WBPM+Wmd9OjGGYo2JUHVYdKmZX00gqABdqubkXwe5BMLAMX6zRe5YIWSnVfCJ6AMaoLY1S HrZieYC3y/ZPSH1KBFZOSjDObtXluhNxPf08wYej3tT8uXuIxn+mJIpgoTJSdrKsl7bkKJiNbLpI w4O2nCJCPlSVzp1DlMv2k7Cee2d3GBvR8awU5oNjnwOW1OP0WiPKhSTFgqWMxJG4cVREP5f8Ec4V wWeDveMVM7WnEAgPn5F7GFtYRxnSI2hyPpMc5TY1MTRNpy+TsKi6iAljg2o8DSqYfo5BP70G5ZtM gjbltQSkc5GCaW8YFEYQ8WWe+xy1MWup6sfqOAkV9J8GFQOVkt6qag7tnSaOrmQY5JJMwLQl6+eK qcJVErg7obxC4MNINvoZu0cdmXXURRdaAjIBU2Zs7VJRKGzzwyMOJfI9FuXKW5Tb1hss5wP4cNSi 8iwY/wfdSS+759DdCV19OZ/8EVReE9K7kfOlpN/1ERGFkloFIrO4SOUJSc4vudhUgf9nowPkYnSP ftEr2akrJzZ3KrStJr+jehDLXckZOXEEHw6CWFf10OVAx4vqnjWxvVNZ4l6zmii2cYegviAiYLnZ tgntnZAvQ/tm2mi1AZpOKLJvUL+mcjaDWjZ5HgWcYu8XsxrQ+l028iA5cy+8Ue6Fz/4jByxCLCwg c55VEtVXUQTsPPKBfthcJdh48qOgH8jKPidhGLuInOLfjdGOkp02HK4IMlwwOFLVkbBzfB8rsY5s bxhtHNZYa7JRc5K6ikdnkfHZ6ApubxCLOLG4gWAOXZ4gJ14rfcw75NYKg7SBsjjad2jNm5VPYPKU WHNBFW3Y6NcNzKM6m92omGNisYbYs/6+HnG52vtFrW4U02ioVR5DLcQ8BClD0OGTQ5unMv/TkYBk pt82gdOTQs86H2n9roYVhV8EvKzND5K4+09iVPXxKajt3dSi6WgCSXgMPsiZDeQXl9vtDaOThDp8 5H0sQ6ztVk38t9MlLlbXz575yfjK6wM55EahoubcqKrGDNIjB6KiO28bJkaikq9PQfD2qKefb33e VQfr7L0SVjboxnH+d9WXG9WbvWFcHgA1rNuoxK+0rSphhk1cjsLW7VqNNLrI+s18TkLCPg0RmmMA QFUSBqAIvewYgK4PiUrQcqKYNjqE6HxcwlzQlxaFNoAAQGwl5/xB20nuI4emTzxcN5WvOSqYT6to 982kh/8hY0DO2IZBmrscBaZPag53dNWR+3Z4zZACkxAuYp6AmTY8ew58n5IDuPFjcLSiyZlwa/ZC Wb1XiWYjF+Gie4Ai1d7wPiW0Rc5QW9D4lO94BcPkgQ8Hsbw7uDCUx1HyzEgMTwU727B26CyweASC gliFeS8TVM+h8RMKciDJbRt974yK+GyTc3pWeiGUoYG3SXncJ06b/opBr4I6+5NdrPl0sfre4sOd //DhIJgvj/sA8H4dfgI2J6H9Ex0BxTat7uuM5WIl+xP6PY6TURWWqi1TYuGrPx5N47xzo27kiUmg A0I3D8BTFrt6hhZJxd4vyIEX9StxqO2VlO8VeBXyvkFczYcjUSnP9waorgWlEFduzKEJFCB7DWQe UPYb8MU4TRban42gABCcdAeHNlCDzb0mYZTlVw78R8+maGRcrpFogk3nIyD1y4+BXK32isFhgrRt UoSIo6pIZz0SJAGgNB/+B8uQFib4oMeXNCTygwsCGF6jf95ZJY1+pJVzwpbBbj4nYexK9G5i4pec KHrCyn2SaRYW2pi1CaACCcDMQuNwbl0hIYKfH5Hr6Ahfqo1c8oDpiILeZ+PDEVjiBzoCLbecniQn 8ITCvQOU+h6G/U8GD1hZBZQJczqdJ4ElFMIbSq1RCra1J+sDH1u+8h1tLuhclA4xpMxSXn9ktwiL 5OdHneBONdzNcWn/AMUqYaWCxC/0hXqkHIvO2rO03Bgqh85QWIOgzFsqvB5Bfoe9L3MnyIE1FPTP yMqmvUt5ApQCCc9CGuRJAHGWJov4yQy1vVPoRP/JsTcUYH4qCqenOJBoafIlgWjy4QjO63uHACZe xeNZJ1ENlj61Ki0iee7mv6j0GHdE8lp96A9lHLs0bfR1Qof0XswfqlBZGeUHessn75D7KRuGsJXq bOTIlao5gS6/BDvz0rPBIhnW0MGro9bpvMMcOkQh9xiD+2YA656FtqrFkFh5sS6lGQlVp1gYoAcn R+tenM9YPZluDHq5xE/g5k8kA5hPet72hlGXnJgBetY8DlQZhy1Dk94nHw5i2F/gFe2yPDQJPaJA jszLyqxZVp2EATVHDTybJiq8jiQ2VbLRmtlz7w59Sxy154ZYsrPtsKRkpDZeS6QcWkQR+lMaQQPN acA5pyarvYmOX+gRRdG/r5MxcSQ+hejQJIogw21mHjUZqmYoVAP0s3uFMfCIyv+fab3+V68OpIhR G/3aT4pW4MPnpRKiG/wCVmc4NImqWyzdbaMmhIoKnJBc+HBwiDwTcoIh7NK3Xx76RKHiV7MVXWtJ ZlVQh1sV7OJXTcys6rcy9PntWyOwGJpW5/AQyrl/Wcl/WXdM5fjxQaSGi3+wnD8cNTxG2uJ9nFOB z0YVRneyoI1YYcCmGQmtohBdq5v9evrSMItC4whpSqluDx4iCHhggXyi0RYJCjt9Sw+1tETfpynx egLTzVftecOoMEAVZQQ0HHmqnhhNMIJz/PPZKHR1eAlmBrlO82smNIxCDtVw4VZsmr1cfQq0d4LA 1wOpCByj0O5Yl11TO+IQlvVPqnOOU2r9YRoaoanvRUP9gxy4Rpk2WaazKEeruqY21dIagw9HML2f pvD5bZ/CQOwbRcEKIyQ2+oNQpb8/Kv27+xL5O9GqE47VHY6FK2WeRGarjH6OZ2yuwXSHrsDjLUQr lg/No1AMKAnaBBq53gc6yUJTpMGHI3Gd7WErbTi/kmbmXxgiB6gYluyK0VygD2dn667uOJdD/yhR DuvqNlrmfiKy3mahdfqG1CElVM6un8IumkfQLvZ+cWU+00xCowI0OCEQonbOKD4c5cBWmWfYIMsP TUcYr+IupLkfheuIb4WaV+sqFKCU3HyRxGamPCH+x77zfPV5vgLzvQ1dUC6Swgk5yxeoZ92/XVF8 YCOFYgf74c/IEmNNAihAOZ/P/j8wVwebKT4lUbwKh6tzcmfK2AFqh1MDQDNUdtnhK49DdugkJX9X FGw12tF60rg9qOh7jtaxdJJAPreK+PTfOnTgJJVojgXe6rLRymlTavUQCOLDUdW1vcke/Q2fKYlC VugPVmrE/UGWbifJ7M1OkrN7klUYAyspcXNQRls2PtiSBIEZVrxmZge8MDo2/Z38tD1TtncMNg6F VEhX4WhnN/RUvsQgFT4chPGOoqDfDAbnGuXQTSohF69DxOZSCWgEoSJ3hws8F3AMF+gXFDTWCVw6 hKFIKBAgKDnLD43WVh8JEQLkc+gmJdZVp6RKd7TN7lmymGcjNj78jzCtKOZGgJufOQnLrpRJNiGm IlkIBNvmNz8RhanoGptKsUQCx0iNVmNE17fAXSzjJmsU3RkQYT15ySJs/SkyFnvFYO/Q7BrHsEY1 VuFNwh7OCZD5cBCqPQX6aZvH9SJy6C2FX2ugqNIp1cVCvWglaG9VxsuIUbo+JLqHWSNhqbk9djnp BJXn1iUsem4YUdOIbbBsWr+QYXhAA9leMjpRUCOBr6lGrZUmSP8Jw/iTRaXX4SKyAg2QOuetnNBj imdfWk6oSMMqJbyCCGEzq4ccWEyZ3fz/WsTgeCq1L6pjVmIGGPMTJyJDsPGK6ubAYCox3kLyv23k h5+XnlJJ4UUcOEz97B6uwk5cls1HWHjNLDYYWLysZQIBrRlmYHqrLzSYUo2RHdA5vT/eUofZQ2LS N2CB1GTMxZDu+7o91GLvF2D3EO39j6PSlhceLuax+Wxw5TTPcGjmWF7H+Rx6TNHfWBg1Np0cL9CW 4wWSz0cUvJp+F9kVaT05HwqtWzCbs+7WlGYk1keW+0V/Ndtz6DAlUUtWJa2eiAZmrdK4LLvy2QiK 9cszwUfUZ7+E+qnlsYIpshDKDEsScRN4nHKdvHBilykV0sSxcM8HSM9A/o4NHByFjeH+YIGdAYVL VhV7wyCWZ1TSaQfb29MKaV2FtHPe8eF/kU2Y3lBExqckFFEFvgU/3PpDnHk2UzZ4NE3gBWBbqusm tplaqgsMG+1gXaPDa1ywLPB8uWdodI8Ww0vYK/aGETqtkOnbbPRya2L0iaYOH45WiYPFCVrB2J4r OLSa4jUoAR7qBchw3SAD0AvonvQFTlNJ+hyzs7PV3Qa1gCezJ/hJKCTlIhAbdHFK0znSVDyq9n4B 1aIKelRs5P0AbWjGhPX8Znz4fq4u1wvghXN+cIge2oSEwSsgKn27cGhTPjwp50Gs+PKMLzCbykrc 52C1bLhP6bljBrxSSN07nwKaPKgWyKXB+UJv+z9elzlwnMLZj3IYvwtHy7LTXEaT33w4qjA+QJtE H9Qnng8dp5CAEZUHVE0nReyPmj5nRv/I3GNaihOYTmGhyWls2uhY114h/sCdc4K2ngRyJAKd5E51 Pne2Nwz6FIwOMjHAeTptLXV1glk9ClyngKL4RR5hszoi+nMNRmo21FC2WH41w7lSTZ84VyIoznRc Q5EKf2384PS85KirF25cZ2UgkjnXCaYlU9IEGznTFN7A0AMp4ucailSIKDBUMq9L0x+GRrxmZX2u YUhFN4q1ePBb8G+cGYO4t/Cj0UzA2pEVYUQf7Ded6GPTAIhtirSNFH6NQqqdHpvxBUfbLBB7WEPA cLSbcKLuxLgdXA5HktA79XMNQipK8Yg1aCe/PDkAybXJNgaV+OtUAMrWNRU6UyEJmjUT1wCkipUJ RC7TuqEpyYR7ZV29E3QtTsU1AKkWkG2Wnjka8BSQkaVGOMq0aXGqFgFQbzxW9HL3JUGoRMWX0qjo 9ARFqgDU1D7X4KM+5WYmvF+obyCmoC1xtCTQNaKbIM1xDXQ1usXuay7bHNeoo2b1kzZUtDVqc5xt gm8Prsu5XsHchg0xECp1U8DAJYepDHkNOqqB9ovu2enOluen6cpR80n7ryFHNclRnwhUVLvm4Rpu nH8fnk/FhWZOhJ4MSlPUyOwAg8ucLtoZ2DobPlUaLfU8EwjzbFbFJ6wdUY+H1S7QAHQ3mLpZmbFc Qw0cEthQueCE4ZgUlo/Mo/lkcutzDTTwqKAz05g1Z01QhhBzcY0yKqJRAqebHZgEzHSQ2fzAdOTq NcaoiY7LZ1PkbqPhm09sPnk5gMl7wnTWEzZkTM61+tidolbMl7tPBeB6eUIrW6OOSaR8DLpy+Vzj i/pI+Qu1ZLBV/CPqoV+nAkIEEDqsbvlKkggP/222p5uWBVTljiYD1/Ym12w/BjEncTvhVifUtc0K p6nJIxUszsSKcnkDrmtogf1BNCHvL44qFbaamo6MNT7XwKI+gFUdmegC4XfiVFzjiir7RmkLohm1 l4wKz+9l6Ifk6IdrTIEDEziqTTUZjjYR9UStlRLHDUBvUqkWrlXIs432UuEXX+5+d9BqpcKRXqOt uC6UJgyrPteIwl7rOSlk/6iJuNbBcNsAZvgcmez0UkfWbPoWMB5SuAuOzEQAM+StNNrNcS4NmDAs 5pwngWO9B5wxIv7B8nh6/ICofK5FsGpm0UlOW7N6abA1tc4hHPe5lsCqapacC9jtoppPZw1MxrUA VtUJrHIoBI1yNbs/bJ0saIFLICE6NwF2gEe2jXZunlt0V0aaKJFushkmgKwZmXebT/jNgtnnWvrC VC+dE9lG68q1ObVDZv1cC184LrrNBf5N2qnYVNypMlVk19GKNbChfCIKLwXAEYNPBquiD0XTgTxj 0+JovxZHDRJ0VHHLAyoomzhnhu/olCBv9aS1ic0brY1BngxCWY78KgUvzUhpNz4bbJRpG6VLNxVX UrIpiSJOtN7gQE0rvgoqcWNPH1L7CLgysSYCdUczgrlYm8ae23mrDW6FlSTyDAJ8Yqo/6DwDFgSo mg5yXwK5R2co2v6V3B+OuloLLq5vkirTnSyDkyD9HB3QF9lo8HFC7oEnonYImQ55357oRwnrluIf gIcI8gz/EUzIBr1g09eOo52jJ3g5S5YJ61pQQJy8xOHMgLbJo52qYnEUe0rKFKQjjbpSgM9QXwEQ 93vw+WC50UrHtXKOANJ61TePDlOwQqY1JKGvqJsWG5xK7Y8O0Z0kU+VMVRPeVaPtGNBcGw4HBF4n FM+K1hWA1v1cK6Ig3iNQp3qzCL8d8X9CuiQVssL2ZBiB1ifwYsjDLarZuEehWOsNxeD8NaVlEwzN 8sEdgtV7QSOaj0E9EswbRz9QT9i32eY9GSC6ceSI0lG9SgKxv4bRd5IMzw/pQW4b7TytpUl+vbbP nSNT5Xf1hBzI9ZKfHlEgCv0E8jRQtxgVARsa2AWgv8luLSoQtHC8U2RwKCfqW/VioyXvJ/RenXiA tkES5HQNBofK0/LPbESxKDgu5fyr20ZrXneVzVPjo/fJMML7VGGnQrjPUtY7Pwb7fgMEU0RFbakK ZKi1SD1/gXI7PyJK3xGLLk7G8slAQNF3pXzbuRjPbaUQLYF60mnOZ1dL1evdJ4O1P+oDadQFm2pm 0bzNvD93bgwTvWJ7BdU/NPx4DHI6oniUkrhOFyqqATY/WwuULJ0uFAWkdPfcpCTsh5LQTsy1O68C uH7vQhLABmaAqsE/UPaZ9X73+cB/DcjuslFbpUMJX9kb3yyIw9awq5ZbAzaJw2+We1gKyHYGlVHV rUr8L3qS1SZmUfmBqyOKSweabbtZxeJhk/UkwCkqGqCw0P8TN91JXOD67toqeen17tNBfLE6VSvl F3dqQjpjrc+dEYOvltobirFrU3x1RHEptCnNohGeMlNda3ioyQbj/L7LLFHujBjuFlyxnTT5Xn52 y4BYIE20T+RE6beFGA/YIWT1plalunAUmU4WeQAP0fiENfxKJyHcnzsdphqo+Unezhf1alcUmQLN WmpygfIpGcQ2su0V9t6xNu5UmGo96hOudBv1uhNFxArFAHDnzr2CrwsJRC6K7CeHQLh3Jowl2eft kLBwNIhR6zJ5OB//uRNhqnX8ldQDpH1mDEcJJyOKSVlVatOajy2L5NCmKZuN7Iz2OweGVywLwslH bxlM9Doo/HxS2U6s4IKmMSdvePbGE+pzZ8B4QRgi9Tb6hVWS2nVtfu4EGFZu1huQospBNRb8bVEh FIFyWd5AkYL/eeFt8RdtGXiK3skvDPExDbBy1ajJWAuSsSTSdWiv0TlmsS2F9JfkSytxDHvBOGPB jus2MgI7n50EV0/1c2e+VMnm2GwgCmYLWLMRR6NAHtsVO3rZxtp2DUAIm1hD6c57qRLjPIkBVEnT Y/PQZqmUTMF8oMwKNYya1LjHv2FrQ8CFO+cFn41ZrDAo06heEris+kZzfe6UFz+AfTYIBag+HVE4 itJI1TempkyVgXajsHD7birEskYeV0VxeU4WFKf3pFuGudZg37aT/QJpo10yXCErAw7pMNEB5E53 4UbBNDBYqW7BU0AtEgRqt/K5s13qA7hlcI7DBwGVlQLvXJcqkmWl/SRByIa9lXE3XbTZpObaiAqj bNFvCv9xtNRt1IzYFJ2khCLpZicJqCK0aPobgDW9XxBwYKYyAawclcn2pcVxjp36uVNdWJJK78GB YkcbNhv3aDSTa1eF3MCVV1Uwn/oDOq/bID53mguvaKhg4L7TaMf+SdvPOyMyP98e8o3E6iNoweKA QO3TOxh6wfvBsXArwirIRk0HhL+4UE7c/LnzXNgyrO90zA2wra+OKBzFQSr8EJuLJuV/ApykdYIi XrLlcQ9IJaF1Do2UbLSYYJSJ+p1goNNS2V3dhdUx+2Xo/YLpIHkNouEaOQkzs1VFAsb43HkuVrB8 u0polFgweme5ML2pX5Gniethfw3QY8wBEftNAu4nc7vTXKzteo7I4aOXwsrC/zI8h/45g9ZFURZl bj9elneWSxXH+uz6Z9RBCvUzNeXn/NxJLlWKOFYkJRMtT18bUTCKgKtBcpFFn2lNtq2LBkUfOqhx adxjUWMzb9gxaPQe9AKaGXfRidJRwGLmhiljZ6P8V+TvznCpxsErKNZpVFNFBw4eGJ87waVKSPpZ GyzeF5uOO78Fi4OnYDZmNssq7C9ZAX2SDY3ZuJNbqilxb+QfGjUb51BfjSAQ0NgKnOHtHOW9/qrF sHx+J7ewNUKm+vJREcfejKHy+bE+d26LZZRPVk8cXPPJCHvyE7GnMQbbJlp/faFfpNijZqfu35kt 1YTaN60stkR+rPV4zs4qDbsKSxf1oUCRYIYhpCQCvc+d1cK5AMw4IXjiaGihkaXEPVb/3Ektv4co 83/UzWwqolgUcMgBsSiRJ7OTJ5v5rGcadWplhF35zHb0Mz5d+QpgwpLK4aJI8Eadhvq2LzTf3i/K U5iyFR81GWB4k75xzrbPnc5ShXmxQwNp0RuZ38ksVQC4Wq0uXIv124aUQWGo0qzNdOeyPDlbL8NG OzMGhAxp8nWuxbSXDGHSgoEXZaaE4mnbXi+Iy1GFRhxuowrFQOURZ3m24edOZakP0sua81MG8/zb okiUiJLsgNHSzXGsNms+Djfmu/NYqvkGbZLAONpknFPubHKUABoAK4053aBBJ/lwNhesA95JLN5V yUDKadRn7yWXwpPr1M+dxFIfE3FLUoAHtMjrzmGppkqx3E99mYEBcfiGZ7IS8Z3AUuURiSXRbLQw 9HxLaBmyJjqRC+LvAXkcFA5I/TrNSbMRxaE4nOFrkWwUmBizgC9U5vjc6Sss9MyfhZGpiGuzEcWh QNpTLJwcBLZPCXJrhqbNm5JOnI+wLLrUfUw2Wj6PYL+CbIv5KFNuKTB1AJXlCxPmR1a46xXj0lep xI/VBz9WYHUqnfZZPnfyCn9sO0QRGABJMPxCiQJRetvSdlmCfcv69NUE+whG4VYJ66LquBFgvaj5 TXZkPstmQXzlbPN1EhWKTyOYYdmcvjDmjZzsBSNUD1EL2I91eEOvdLAdFOGtz525wtvSOm4KWr/b N0sYiMosT3uEMGKxi81rfqVhuOo7Z6XKKBqsq26jh6EnOa6ovaO+URoxW2e9LKVO/wWH3vkq1f3X qN3NUYco6orEy56z5HOnq7Ad8HNw1PFziEZhKJreRFoY1utpVHuv3qPQO1OlmgDbpgQMR0tQYCYz qIfc69k/heIhwNJi7gCke2gZSe8XdBBwDE/pCHvla50pUqlnrvG5s1TwYuUH9wYbPNhoCvAVRaGQ ExuJGqfrO0qVxtb5GVBtF3WHdJ4zH3eSyhOHVux8jl4xByAJfThoZ43FNtFU96ASk/ssD7ZU7jQV 9pc7+/Tq2Q/+0meu+2Ap8Ky/+bmzVH5bKri0sMiLI+DCXj3uKBSg9h8WoLsQ92wHyVV9EY6f+BkR 8otLI/loC2Sfg71uYJlPYF7JPoANG1zEJ02bHcyxqt7wb+gFtq+Kt6/OwZEHmyInWOqfO02FufXT cKPjhR2jd4pKlYx/I2AVcbkQovRUdYL1oG80VkdUGaX2zU5EdiU33mwwfz8hLQpQfSPDAKpvUgQS JuLobT7dx603DM5R3MCZyJj86HzulGsVFG7Mz52kwiLl+umooBG7LR69c1SqND5J5uRJOrZ5FQ6T etkoa/MkvRNUqhngbprNbddiQdZ2Iv1kjJvUu5D46/ykECjuDy4wU8bmc2eosABDPXbanDaf7fO7 NTVUTmzzuRNU6mPtaXEHpCk9WbnzUzgdMPW21dAlgYMSc3L/tU7nDk5IWB21pN5Hr4CdL7wpvtOg rbMZoEu0ELis2jUdu+r9IhCxzPmajTxMR6oyDUIWe+emsMrslWKce4t/KL48ouoobuaBdIW9+kxM /aaj6klaaKqO64cNyDs3xVYl0rZio9e/Vj0HJZtMaWOpECpJCp9+WtOATXq/KJNFp22j0szRsMTg ZPAb1fW5M1OYffzUOMD2BBRB0xE264l+SUb0SwbpWNnMs886KRal34kp3C6YjU2s/Xas/Tn957lE KKgLE6FC/fNzvgpS8TZjm97ufpBSAKUUmo2VR9+9piS/sDPfnzslhcdO+ZmMBMqf3yxRSEoRWq5X egKbu2lXkEootIWkdz7KUzinoOJ+BRXPNj8RPxhtJ3tB4EF/2UUtdmVv681X7nSUKlEj9GaKjXYs ZaGLS+/rc6ej8JtZJsszr389q29RPNpRgi//P2Nflu1KbiT5z7Xw5ME89P4X1m5mDgQzFf5eVXdB WZKCNwhi8MGG4jFYdjXgUbPj7ZGNa1mE6FH220btPnq1p1mcMRnbNwJEAfraqP7IDepShYfeLrhg EUMuKlOt7BUD5JNVgq8l49EgAjtwSQG18I8nAgvj0QY7sab+60xeB8yiWbLeAw1XzUZUF024LeAV 7qPTDwaw9kwWOpyxOvvWUFPIPhs6MhRuRPHoAli/0oCFoxe/UnG51z3wbNSJTSf8YvCH1e6o+zAg xblXvSVf6MdJYfEpqfFRulMQ+ns4iiITzwIiavejK25RqsV1lVC40mRGsQGhIKR7PYcGZQh7yFRC IFMLMU/FvZH3KZ9DGR6PBmjzfYCSbEwTnHlICFE4Cp2Iwn4odNaPqkubLhw2SvY2fY/a9INYWlod cfTsqg/cI4y/IEqxiJeDO0oGjPY6ewBaxg8PYnOEkpm+bRy5VWYXWzjbjYFH/0bJYA0VP7YfGz0u jTKUXIy5aNogbSgW0fdX+iycjKgw6gAOHhvtHhuWmtjSRikDnVhb2fh1F050FFLzbg/Meun9ohIH fs7OpKtfEiW8TWn8M+fGswGEY+6zVRoPjhOK9pC0hEJxowDFxkLYgvTYi1cJZBE/yuC8R8EoNa8s id0++qlfElYHCqFoQhbYetqtAmgvLIJw9f+KIvcoGKWnbqVmcs0nzM7Ib0RmK3w2OEn/VQRbgFhp OiLuEisxxEnQVp1UJdqqo66F2GuBkTi4PP7SqGdn+mpENFtoFs8yP+gzbZqEIJcBpKqjj3D0kMmn 71F9lCcOolqO5evlctlxAf1Y8GwwG+OnVkxtOsf39DgSBZKYiQperovbN/cQN8G+TXIkXA8JTPye ZVcfvYtgEUZhhxu9Z4inUeHFNgiSAQhu+XRAh4qfHtyyLGaTEZQvI6jY1S/1Cbti8OzfNgs3VqON h+YjrpAycSNNCX5ag+5Rk2E0INZgwhND2+P6aHpJ3Cxxrb2iepRHh1YBUMOTctxs/+KOdZA1SiP4 +GCzoH8JkqCP/PjZi7PG7TLHs3/BnAtdtsGCPRPyHo4mqslBzctSFaw+uEKCi5BwmsKSDoIqyGMw JVFACtoawu7po68QHBmbJ1MDDRSiiagMLexFXH7nphXFLaqQQiMU7nzTR2X2rVdVKyw5xbNBKtv8 9JCen01Pz17r6BF0FHko2KoUVmhA61X2pzdYGmRU2M0zOR9Rs96vF4ADOPoSKXhpzgfEthZxiIst Bnr84VvoPBXwqUf9+oTSQSUtpl5aDNT61Exso+HZCAZ2lgiyWYYAPh9hYAoS9xSN60uuUAbciSQ1 JrXQF6g8QaJKKV3E95TY500qUMuzyAxHdceOHy057anR+vtcLrnr9YLsDV3+DPU5jX5WT9ZkvhBO xrMRCfJUjRVEfvOJS0cUl0pudDvQmjZwYCccn4q5jpP4iMqk4J1bctmrj/7C8GZvQFzgrk2r8QrC Guc01P0ArYveL+onkDtPvts68FFbWk2lLPuP8WwQmB6aMBYexZFPMjuiuBQ12wbeDYTCG0W5obVv /1UJhhf0IjvnI66SHv78D4u+AQlZWxdn2iJI+nMt2GavNdWvP8IkSS8YMZro04tzmKPmAwhE1tFX G3g26kbu57aFANcpo48QQGq3y+CFBxLkdkkSey65W/KEN67WR1QoFRllcjOQZYw/D8JiKRlKNsCW J9XTNnkqmL/bbQJWHp8doOJo/FbIkS2HI2tZUHfsUuV7Bdn9oYaCNp051u3QpxEFpw0vyAeQ4VNX DajiRikf/JZY2Dg7RhSaAv9iGwWLP6Vxp8P+EV6txPpYICLC+SYuv3wfcAvAM/jsP3ScChXBOJ7I plPrksCnEVdJvcmioBrC2Hd5RLFpZ5rkNWLKdUn5KuXTuHcUw4gi00kkbRpn9KJgh/gQLVc76wS4 LDdc7yZgpLfDwuYRPvwPZ0dmdSn3A15O9okKti30xbNRh6WeXmQmFK8eQO2IQtOxRN3TbOxTCBte /XF0cecnRKoT4pE3Hz3RsrWB7iPijtHsRySHI9lfA6Os/iyOodcL9somoZRYx3aQT63JJqtYDIlH /wJj4BIBJPtIcIwoLkUuZH/xlH+Ou3qrRNZCiqwcINiIMKQFQXfCKa7xpC0Ac3QEb7D72VItQSZQ 5C5zZdH8BaPFsegZWX08IMEt9h/IbiOMS9cv2a1/t5c7RlQjZcOSXpi/knl1kxqJtv3dKFFISqkO iMFxTH5sdLtnLYTBMdGBIups3iIuBAUNN965VCbOjahISohEhZSNRs0FrNv5hXJqnxGGpP2nOYsY qnrXfoQBqf21SucsVkmTmNQDsnGi2NMLN/ETouI5gwnWduo43J1V6J5GTUUI3W2qQaNbBLXn1sa/ 4aMjDEcJ9SG+lSM/3V6PFnhfC6Arng0S2jofJBgbs+dGieukaNdv3axosLMVORoBchtsiCYo7Qir pJlLn7iOeVnltS2EG2iM2oTb/StrlwZmUP8uCj3Ppy07QioTf0+WHTiqMDiboG0WIuHRKLt/KMNC MRxxkhmWSe0tR+mN0dYATIuqVgUm2rRFnoWWcomfEaEEsQkWqx3rVjug+NVp3YqtYkua9UP2Ixtz ylvt8BeM0lkaAazmo5YeCrqqe9SJZ6Mq+k9zZVDtwKcjCkepsITm4P6HOtlo31tEhjCdRSCEDEje Oj8jABczlJDM1bpESEvpUEvaROLbNVCk6oQJ32xWPxFY0xtGdeP1v3JGlj4k4aWBPJ8hhrT/ootx l3VPZmcUkFLgP7kqR1PeAkCtA6Hs0EL5mcsjpjRlVkmbjxfWkSxc2XRdW7NM8hcayj/2lg+mg623 GVZKcSTBkMvHpKt1yden9Mln/0bhYavPfoG2z3RE4WhWRVu3bJ1Fklez1+0E++zFsBlXSjej8+6j H6V28s/K4NtS5VmooLDbkeF+dCW73i46OsAkp2oBRzXt01qcDaBR8GyEPH9SWf4+T8wxo3C0QhwP bZ/MuIuEHfij0RQeeQuk3CQrOaOAVK032uRw9PlY9nss9tsB3xrQ5kevCAWpTIhxewSvZshpytTW IZTh5rL2qW73bSctng1aTu0XfA4Qwwk6ZlgqXUQULztC0YbNymVRSEfhGOc5klCdpVHTnmroe1IH bR4dtAab2UFWNZpOc09SJoG2huFnf0Q2tVuiiBS/l52cefnorJW8GVU2uCrMkNM0furoNpeHpjGj nj0YWS17b7bRHIsE+1Sc4DV7Vtgxo0qpyj7ktnD09Mpb1U0l9UzHa8gPfHlLINjR6lAHbkYBKcQF C+v7GnnR5im30mxrEI/+jWHPqBDgQu+yzJDRhHObuHES7KtEeiUjxIx2OoJhhopPRFsXxqXlSAK0 YlkObNPJ/ktgPwMuOGsBRwaGIHdpFL1e1GJBDspSAEdvR06mohaMTTwaTEbyc5TNmMqX98mI+vYg gtTkUmhVawOwwSI81EKxScdGFJEONWfZjfzxarVVPTNVLWEmWAtTlQEegbtgq2BMKuSM4lH6eFsc eEbORUtd3u8WRODRv3DJybUG/P/EozOKRwHjHGwSseQzXeeo7q0lklc+JcEZcusdtrJ99IgUaoGr VhFD7aoWvjLJsmc8xeKh94u2CRkaPDpav3lbHWqR2Kvj2Sgg9UODL44ffXuJY0UBacb5Ttg/+/bV XTi1YTJCVBd9WlHffhQq5f2bForOlW14+Mc4SG5SGQ3K8ghwrz/rwN2PD484wzpCm48qB9am4AMU czwb8ZlO356lDosp22m/rbA+ivJCOy55ZGexb1+KT8d2sM8K+/bkbU2yu+Zhd1U08OxeJcKlAxjA YwV5W+VO9lODikj47Ag0ibdm94aj1sbQ2qXpHp6NwD4nGNU/UH3PN8sKlUaZb6fpbI0ynSDqOA8L rhx0veLOPVcHYT+SociIN2pHgRTHeoMbONYJeLSDnInKmo/uFPDx8OlRzYfMenI2hmcrc063LSoQ iwvD0fGDccGvfq6UFXKaGvLWKVmjweCJmOtNHjGeRhDNk2OFKFLpKXLLjHLjDRg0TanxJjs/QVCD gaWdayCwPDdsr3rBoDyKbQTclI++9EZyp81c8GyUu3k9UFIJk/tF8xGqjpLqiyWNVM1O/HpUzhck 0+wQT4zEEj8jIjbxxuAiGeviwSxURFA6pX9FqJP9mDYyEvtprjDkWFHrng7jlZizem2SFyJdkW1T xrNRzHFODyw+qpt7fL6igJSawah/Sw6sycjY/g0FZTnlo4O/Qo69uvbsvJXTeWMIZgl4Evhi29bf ND5ja4QEuLtC2JtdUfN+4VjKCK81qvQDYUxmLHbH4NmgeX/UeXHHoGDavRC2opAUvPM63MZZGlMo ltKdkJ7o7dwtYUTKjQK+i0afDlsBNh/keq2aBrlNC2Br0kjXY3Q99HrBZHQq6VSN7ev2b4BJfCGa mBqeDe6WI8HA5gXq+N63XyGzqWI3o4sOiRKyqpi8AUbSkNrbSVlVCVsxkJSkt/9I0ybwdyoqXxkW 1wXvb4epJdcDbJBnOkbTC0ZdatJ5iMgvB5GfBvwkVelYePZ9s6zDlmXpv5ZCqQNNSEhuGpCIoNc5 yGEyBYQQGN3hC1n2nt2vuFCqxn318UzIsE1CSEankDXgFfB1Rrv3uy9JlD6q+PD39UGflYpER6OA DJiSrUis49moNetBOomGvN3ObokCUwA22xF4b9Nle+1r9MPZOJD8FcuRsnPCUCzdyiAcAzO1NaFD az8ONtVCywL/HqlvfnjQBX1FkSl90DIbnRx1mtpPKP7aLAPPBrftvh2FQjnBi7veUWQ6ULFb9Qo5 u82KcIQk2rtE2o4KpWQdbFokcPTVUcFHYsfC3h31mkxxH1ix4kj56SogXvnsKDZtjMZYfuSo+ahU 00oS39yh/n3NPx2n9D3iivs9MAXsJ0NxU0a8iJlYPd8k6bJlnZvHHjtk2ncSZ1Pz0QG2a8BaBaU4 uy5tVVPSBKgfauqhLyIcFDW18ekR7GdRsOWMik37yIza7fMnno1OUy+G8RRBy/RIpO0QU4pCunwR uix3JDhAUzVJpBUHo+8oNh2ciklk2LzIMNAjswUzhABtmMMwVJfF87f9ABm2XjCYD+TCFS6GGv3D l6IcVJXwbERw2iePK/Tymh567JDfhH4HT212WbYi0lEZg6Bvn73ntMO+fW6ekWj0992Qnxns28MP VL0YdCu6LIxOGZ1yn/j0gKaAYord0cNHXS4rJzcY3R3PBqXBQ/dS9adS8VvTEYJKM6ku3qqWMr6d rnW7ZRUwuEzjdsxuEr88+3gKg9NmcpILCO9YilauDV36whTfl0ZversoTudNSyBUuUJYFi9Smg5a 83j2/4BxAZzxaivuUBFfsv06P4uwpZR1078zxQVM/IRgbVDiipit/WC28tp2YLRCVVa4zzQV0RNV yB94LWYfnx2EHZK3pj718Jhm1CnRo90qnowkOn6MM0AGOOJ5O2rao2kzy/Q4NPtdC4qnczY2+4k8 NELhJ1Z1Fsvo64Gi52qh95ILIA6LzO4blg8FmK8OfNb7/Ynm1aiQ1s7CgL0gq2IgWePZKF9JJ79H PSE/Klg7DknpyaNih+30Iw62lnftj0jHDrv2JI5PJi3z6kC2CsodOndw2QWjZ3rtpxMfty9Lgenb jtr2ZOJWHaTV7VUhul0I/YEwH54NrpTTXyFjdsrsnbMRFklBKcwu/e7V0vZFDcvb9gfessMiKaNR r3isp5mAdGXTVAXqy0MuNMB3kEpxgi/KTO6Q3DTn/54ZdfYucWoLdvFshMn/hbcgWbrBV6hAmhDG uxwau/acjOaSaYukD05G1LWHk1xNiAo06oX7AJmH9uAN8I7MyjSiZYJRbvF86+WigjF+S5oLcFQn oS7uezTt8GgUaZQH9jRoXqSZcCXXt78GbmjSGfmFgP23sxOLDklnyz6zC0lh/CgU7bpXla7ME5lj LdRMlSPIxTXootjxJJdMUPL2LzBOLxmwvShogxaijQhdmMwudDkx9TbRk09HsOt0UB0Ak1Q0nM+0 hNJPstLu3/0PfV8T0efkz2zMT0Yg3TQrcUTKOsdePnodfYNFRzMju0hLb9SoZf5cYRjVHspX9lf8 Q7e6EmDCUQnc8nqYXZyNDwcRevuhbsC8AKAcn5NYHz+LbY+YC9LcHqR3VYKgj9+V4uMzAjhpk8hP 89HvWujeOvbaorBduppTlhChQpr+LZWGT4+mZBAnWX10uHEVjiQPCPemKCwdeZ7Ng15j+vZ1d09U NB1UBKhOGV2ObJildifez3ZMJWKTJgI8GIPc2LGBE596VihmSVyngxlkFYFaBedVOgSDsh349IhN PHAJorOV8ulsWWQqLof9Go0PR1XTw1fAIlkgpqQzI1Fsigu3M4oghJS8yMG6BwXQKaM5zioJdfLJ Ge1MbftJbesGKlMqArY37NRlgzahzoXWAVjoHpQRCM/PDwrrOACosK9RlIVOHz7svZb5cEQffRov 6MJ+ifvVrMS10w0G/lYzH6fsUcuv30kGPg4LP0/C6qmQo1Q0SadiY+lDWiimoxwEMh9rrBNNnows Dy0fj0doFsjP/wM4ylLM7qNfGdlVcO0g58Pvs1KvSqmcIoFhvCdKyMQHtWx3Reqi/ltet/LUhQzZ fE/s8BnBTSx1Y3ZwCbtT6GCzAmFjIrJtsVNYetHuNQHhka6uyRznHf8QmhRKlHHUSQJKNHt0Ky8+ HFSV1y8OmRSZu4OiuLUgrD4YoELWO+UH++ntj2MzkaLAVf3sQnX0ctjRqKRCnkC4bAtbELTZzbMh bIIr/2Jvl2+fsL1fyH7qdJnpR7XYcje26O2NGx/+i0wU1gmRKfvMSBS74li0cE0sl1qOi091IO4i skOrJGLms9i6Gzvb7aIsC91VNymlCFHJRV+oHlHbK7XL+pEVSYo1SzOdaZqPunhA0VRbu00+HGyd 0+Znzw7x8+k95BSWU201jD2r53ope4FoOOghLwk3cJlEBdWRXnrbDdratv2JLexzrlZFa4ZqbKeI /tErOK8YFUVQCKJbLUdhC/d2w/fBmC2U0b/5Hv5fSQyM9AdDjydCWop7TKDW93Ul/XS6257w5cDi CcrJTHxJmDuxt72KnZydeR5yHOjgkxqGu4b+xFcVWz2IHFg8+SqsNKmt9YA5wd4VK8E+gA9HHaqD BuGsIJe44X1o8kQ98OZF5VKPu/ly6Dqd0XxOopZ/Evb034BcSNqC4k7lgr57l74BKrcUIXl0O/d5 waDsjoIsPsJHVYuyHSU8H20r8OEgij22NVwlEDQ72lE5dnliE20Vp+u3U3jv0wvv2QurOXR5Ut/u vzY+FpH1gV4KCYQAcLMZjtSI0sFXC6f4+8V9CBD1p49M/1YVc7/mXvhslP/9CGmBCEnwkeYjqq0C hYuevFJg+U/gR0zZlV0nyMM+I2EQS1l06lhz9JPE7k5AGLtsqrIMWUnLo4TKKa6O7G8Y7Rog8amR W46+sq3EzsMRC4zPRhfwj7YrASaznBkJpfVxvjUiswFqti2Odv+AEHCTfHrxhDgweoLmnSwEmo8n 9bPP2Nxylg9bhE8zn4XjgvH0rQ9Uf78/tLkrQa71Ablui9kYvbZa+XDQups/FdaMbdePX0vg9SQp vXqNKPYSG2hSFJLgS4IHdIqEwSvXhmQ/xmmg2CRbAmMbhSvEUgSpbuWB8z5TNvFic7u/YkSsxDZj 76+UgzyBzEyTPA6t4kI0arqZn7tf5TMlocT+IMkxaUqOLdhsI3nz/7Tvcmj4JDwqLEU1+hrpg06b CNLgSag+IWfC/hec0XOO9OZvGJcHsoyfOWpGGqUe0OOEW1xg+qSGxslxCk/0su++Cbn79hc7mlaO ASiOAUDm2Jj6Ue9F6yTS2ZcQnUQe5vXqLQ3hZaV8OPjOSETB0basalJ9+ALuUvZ3jNYJKgQMWnK+ Hnr2eRKon0kP/0XQgEWTjVPoXsBh7ArKIwTf4PTeCceQbRxyhn/oyt2O5Xvg/iSru/9B3bUEJiDc AVCVt7lgR9/y2cFUOD84kepvGCTDuJt53mnUcQJOifo1XQ9HOLMfkS38dEfhIYf2T3aAfFt315ZG 42wgAdiOJluIsAdNSGz/lEke2z763pkwWoTfPeiDBa1wYrkpPkww8YnTCAXIoQEUOxWsImjUlIDk yy9l/8OHo3D+p2oCTd52eDE5NIGSMWBzNMBOEpjvq10efz/Ok1EN1rWS/ms9WYclrTTDtMCjEYGB zy+sbt2CCWUQ+OFREgzFfcbypCPLcBJXAVVi7IDiw5HC1A86Am6ET2EtNIKCxGCbSQdH43EA2QtQ NDqunIqEXrsmtIIa5BnmXn3Ur4gCaSeRFYprfSB1A15kf+m08OMhV6u/YkRBRfqP+E+jABI7ET4K jsLkw39hGvLCREr8zEkECgBAlVx1uHTQe7H9w6AGcqjM+RhQaVLC2BVX/mJUytELsAlEZRLiybJj bDsWM57kBSSulJLZ1MqBJxRqA4NKad1Hrvg2W5LlRJ+ND/8lfuVPCl4sVqpPSwgOAKNcfSzC/0Uq s5Rbu2mBpK7jJPCFwnHC7Cuf8dRL0uyDPrYdzVuKPo6JT7Fgy7b1VeCi8iw/P6IOLW6XyrH49qF9 MrcP8r7QHOpfoo4MjQ6XPYfuUARRl9xOKnw0+beA3h3GXgrYAnsodJOxeygQwdEv4oT7F6JbACda +keAJgpGlKPwvYO6ir9fNCEkiOC84ah6yUpZWvJtTj78F9kprEFew+NkOaFBVKPhm/OHIDzrUG+P 12Y/UO8cGkQtigV3+mX1xy+rTwjRM17LIMrRTA15MM3l0+mNnxM2DmDxldgC4cgkB2wTwROXno1W yHriVwYBxw47tIiCaJ38HaU3JWgNNrtIiHmXMc+MRBHsIHFMwuMze9fWIrW24QA9pWk4k7RjErVW v1eJnrZS/PCgPU7JRTpe1ut4iYXVFLP1yYeD+PVXpw1357ina1R2ReM6y0KMcIF5sp3pcIFSzpaJ xfkxHyq+jluKbqvb/6dKLy4FwvfRyUCYxre6CuzLXzCYENThKsWcOJ4Jkdo2zMb5cJT2naYF1tV+ euQ59IkCAqxKMhlEf6/SDwmkAjFwC4yBTVSmAyQ0ls7oZQELr4AVyLSJ2ruLYlPYbKbSku5fNYVD n6hKnDcuP42aD+j0CHSVCx8OzpD5L6XcL5xTfT6iuBVZYs1cINAiGiqUjDb8nikHhJYDpyjcMzxC yMrsB6BuKZ+9bO203KvZNqMQzugWbRqsncIAATn8+GCFMIZYnMh1JGItxpE0mZ3bfPYv+HcqYmPX 5XPzhmZROOUGysM0ciXDl5ZZJJ/8Q6uAA+rNoV8Uy0GWSJ3R1wgkfjfhYJa7by9CEzIj8TQ3VqNm bg79olQWYBG0tIOwTz2lLotKmoTHcWt5AnmKOp9DJHSMArihUZpT0tJEeFvWvoqs1TIRp5qQKGyV 5vh/T1VQIdgKpjyGTU4RDFryGNw4ftGU84oRawQHCG5rjdo2bUwWZSDcxoeDdC/dUB5J4/caY8fG UUiAKFxAgiqRiUicyZIA7nv2dZZIzLJSpWT56GCbaamuQg/8gQpZelsz4KsD/V3GU4NWJB+aRxHO RtWp4tpTqBPasmM40Sxh4MMRZPGUSuySoBRYvxW12EAKv9dwq6Qq/QNEDFtn617buSP5Dw5SzG7c r+16u6KKnihH3FZGMZvhDkzZIZx3bYJ28ff7AzExAWOnUftmp6LL19JpPhwkwAeQxVe3rO0ukjBc 7QANsMEJwX5yArYsqFWD7gnlQ62SP8ukCorUb2ZT4Mgx0TxFdX7RCBTp3qRJEE4wv367YvjASEp9 ZnDbk4+qQjdlwHZqbz4bASnyMyOUYTjNrMBJCjxoJHtoBh11nUqTbFw3lWV5LDM/SmL+P4NBxvD9 xvAWU67VkWFD/RMe0ewWoxiIaRr/qUMHZlIA3jE228NHxWVtqjMORx4+HNVc83MBYxGne91EMevA UcLyI/rhaeooWdmxv9DtT15eDOykEPfyWP2Plm4ao9vqhnQAODsUJJEJSsaFD/LBaXqqvhj6SRHA XXh4l3t4V4s2WEnOYxc+HHHf51kmBXXocsSYcuAoJaZF6V2tzoJIigyKdcECh7OZ/2ApJWv56eMB 29hbT5qz91Sx98nysbkGD7PdKE1qTDl0lKLdX+ISTHcJQoxCOiD2J/lwFKXln+sGwW0+YWvoKoVS VSVh7Z8s0oA0/pDoEiyAc0szEoat3Db1jh6mFejokhNscZxtfMTxk0onmd7PP+XF4q8YXMGLgub1 jA7FKrpvLLSsfDgI1A7QpvjGOZ6eOTSXQvhBBZFOai8UPnCC4A9JSmShOkOd4Rz6S+m+KX5WnOSm 27kCB0fufOQ5lUZsKI0VFBhxkhy4QPaXjPhonEPavmYv/AMCJ9/y0vzlgkt4pueAJe5rnlgtNJki f6oWx9mUISJa6+6FMQqiAs5J4DFl52uVRkLy0c9XHK6jZXlujdQVyjEsl5TWeGR1c+Aw5QQ9uLz5 6DevvSh3Du/gwGIq0U/syW+waU5dPvSYgicogF8uDtCOJW46UAGWSLo+4o81aK6UQ1gHY6CO0jP5 zvYrd4rJLnQxoWEARNTV/Cv+hhEfPv+vq1LCQlPtdWw+HFw4RxKSZo7lcZzPoc9UFTfKi0YsPLAq 71HaJDSMR0ngM4WgRPSr/T3yqTxKIFhVUdUGOg0gDN3QNOH63pqRpNtz6DLFqtNsFM9ppwINWVLJ g9jhxYcjVPQP7wighXvZBDZTMIFDKAIVOOyP2mTsmIExc3m3fFznc+w0JVl/GgmNayRkmwFU/sUy mn2ZTu8Q9M1I8npqAsvfMOpT8J4gWG8esF6D8500Ambhw3/hxPPVK3SU7xIJNVRx6kNQcuG2ycvl /TlLE5F8ZZTGUyQMXIlKm8yA58mAUXiyNADAbpwUcHHHKYPPBZ+nPSpNo/gbRnErSvFNsdrh3NsU b4nx7KWHg0VyNO+owYyeTLlTEkWu7MQSvoN6/HL3rSn8IpKzdESHc+A2dQryVUKRx1Lb8iRLFi2v a7SRAZIeBysrRHJKF1ZgqOEZ2k1RPM5SpuyjV1iHmrh1p8qH3w/Wf/njZuYtd0bCwDUT1H+zPd00 s3mQtlH5U7YXGE5lT4HlHNQu+cYOKDtHSGSCaF/myTvJ06pCbf3b7DIHrlM4Whf7R9lHTTiAB5qd tvlwVFz8sbvs7SeWD22nEBbSFheImj6qg8MhN0KMNFQvm8dpgfMU4hpuHK6VOW4wb6GC/S8OIJh3 27eRYUT7gt8zHn78zv6KUY+CMAkuk34UsiyXXJLX6WXw4QiZ9uNah4OlJb+AP6/xSAP28AqrgqpV 3Q61exdnQV2B2+Y1GmkAlOAIooMPR+VjcGuAWPemFEYZKNqjlT2lEI3u3pQ6D9K2z2s00qCfwHng 3dv97rU/SGDs166uz2sk0sAaYcloefcXmnuIezH10USAcErcDNZGqjIJAZq9SQiwouijEySYCtTH bS4lVbtul3Nb+ke7IdTQOgz8SIXDLkHye0AktJb8vEYh9tlseSVigZMwy4kvNwUI76jDv86FdNE4 F1wcKAXiUsBcvIYgLdNPwPZmZao75avUaESoW9i+XPZ98hqCNHV8RcPS6HfibsjaF0UAV2kbIEsA L79bEUh55FRf448GGQjYQAFboVERKpT+iVW03+vzGn20C2ZdMn6YtLDmRLzGHk3UnsrEihaXxPTa FZuXqu/77o7XuKOBZ2PTDj1MH71aUSlNBPkKi1FXRSUKosY4NAuZRy46TG3I17CjHdvkRRfpdV2k bUdJ/sG23ec16GhXRXUpUIcEkObhNeDg5mC55Uh4VR0XbU6dEgOQPvn1RVsDOgWwHPDRk0+G0Ytl MgvnMmbEfr8JzRMIhl//i8Gs5TXYaN40hu+Yj4rL55jEFjZbaZ/XUKNd56313V9pgffuU/EaaDQB iapKhPhR6/xPg6pmJ0q8RhlNTSAcldNHXxL23VOpqCMPCzYS5eNB5v9itvcxNyhJRjHBTEgnFN4o GlkutJOtyAA2l89rhNFcshnfnP+C1kbzvfEaXzQVgWY/rq/d1TOQWkiXuqR6RbmjqWgsrkBOh6Ov imU382RU27vdsNgTFS1Y8FJYTS5PwPUaWmBz0HgbYvIavX3bqvQAxhqf18ACB8ycP5tj4lrwNfEa VzQ5gJTspGaELUpihYBgkXloe7zGFDwtMQWwWdV4JyLZVYdGZ4e1TcadkZDKgL452iNhppd7vzno a9YYyrXLiLCTuEihbq/8eY0nmqs5nfMSUSK68/hbr2WwBv0h+LQcdSrW7bE5ajuG4suB/69FMOxH JEJplDP6RDAvkQWvBfIJPGieoIgu0M6p1/wDalX4+GAu2ISmd1A5+wNuuEtR9Ia4XTAXffst2r+d 5a9OeypwqoPJYPeGQqScDJIf7Gej3Domg71n6iJEh+bgtZDP6ByIbiEFbcLsqLcks3TqeeH1kHe3 ecNvlsc/r6Wv5oYEEL/3USkatOHYyR6zfl4LX7/XB1YQfovs6+KdJdOUjIy1nUOlWsZkTOVKTGte vH8UaRIQDvVyHzUdZUIhFDcl6twl7Ur5EIKpkWGuB+HexOON1saQo1TyMamawW4nKeZ8NtgoM58j g5AiKlRoRqJ4kysdtVf48VUUk5oUEQazevyWW2bz7xyZJksDiwBphDLHDb07GIOE9Q67YG0mQLiD Shcarl+KnTu8fQneHh2huFYbK6TtOovY2TzE1R5Et4cxZ3rOUHSNd/Hr5J0iw5AdAjgumNFhKciF kdJ0h+SiPi6xH8GMgHqJOzn76DtmtDU6PB3JBMtEoCcgWBpV12+UoWJxFHiyyVdbWT4q8KwgkXOp ANv+HnlCgdZPUp6lsIK+8xHFnnopl8xoiYeqXbTbbxcACk4hMIo+seIhfHlGn441p/2KkPgaNrsL ePIKByTMwRfVbi8Ein74Hn4CHo6vCgCJRsYaQDnwcihsTUbnh+ggulcAksVf1Gy8R6DSPB1pyrFx pOXs/6yeEwPpdOsZ0XTgdqLCQXWnSV4uRG+rCIgwDm2ASoU+9mIg9afu9VLmHh4fqO/QkC6Xh+vZ pyNrAS6MolA3jfZ7Fold8emIolBs/QGWbqeECJYxqd1oDE0anqLPqObKeyAqCH5lU16j3pg+lhCY QdDRgBjDlgK9lInXwcD4bLwHooCCguODNaXRi8RpDWeE8Nn32WhpPIcpiFDgzWk6wlgU7h9S6IIk VdVVu9C75V6x3/RwQKJYFCzKSviXxlPEtaBr01C8tzKpAGi3D/AWLEx5FQMBID/7/eRoaMpVKo3U fDo2dsFq27SZ9+edFIO14cTLLzdThQmIxx3vlJgmafIy11H5c0pdl3AZeNf7cLmjeJRIkdzY+2wn DOsW0FN3p9O80fIq5ShJtJT8A2KfWe/3Ph3AAdsH9eyj43BXbiK3D75ZEIY9hR3cQRCP8+D8nQyD xYFfll1g6LiNJpMcm/TJ+ob9IOi4cHFEYSmoDZW6sBq9fZD2AIaO/nwVoWdjfI76UYNL79FUOe/3 Ph2TihCdRsdXFs0223Z18rU+70wYBB63orF13ojGzb8XxaWgu81CHCWqO12IBlCClmyTdxqnChqH plwaqfjoPTcwGqDbxcowqIG4aHHOoAuFlN41qlQXjiJTiCPYywA51R6bbrguy/4VgipRaOoUbh2l BfoXXuqKIlMquY3qXOXhZ0iXjr9tFuL3sTjeOTCci837s/roCb0lT3BUQlxaLSqF5KFtFrYp8El+ cgh/+06BYZIN7XSQWTU63so2n9LYPD7vDJgmFZYbgyG1bGcuopiUzMxxJP6646A6FUZQ5hkEOHR+ QhRx4HxEBqjRT9EBeWG6+vaeNrQaELJm8IEqwFqevG12ld65L819g8CV8NHbEbNwjVRLXD7v1Jd/ Xyr4Hb7U4OdkREVQwKcqZRVo2zhU+xtQiVFlfDsS+Z31gvdljYeCjhx9k9jtjson1K8T6bnomYBP AkorCZenwkGRkHfSCyMOnBiJNnHJMZWrSSGBp/XnnfLCmRznUoE7mVylOBtRNApCzpBEqgUclA4g YRuzJOmHdaLzd8IL/iqkLexH3j5qowygQOEEzMqXbXguISj3uASM1oaAC+9kl+bYwTZq81GHKJo9 uiXn+rxzXf5dDWXBHgmgT0cUjtKAdS4ZAluOyQsVdo1DFtrrdBvfmS5NujpADDUfT0V0T2j40wIX DSVUGyG8LE3hK0lF9493ogvbJij50AmlHSeUAr6pLH13K593nksT3EGTwWimtidVeee5IFWxldiI 2CYAeTtVe3nAkRMWtNZGVBVVrQN3qcYbjFp+DyqvHU2QKxtkJMPGku3HJ/5qer8g4ChExoEGXuah gVvKLfSMrcX6eae5tAsZ1MHRSRPy2XgPRoFx4HvJuLHKCbfZ2ljVG6/baVDvFBeeooXF3+GjHxwW luKCRU8NUDCkw2UR1gXBMjRTb99g6AXfD46F3b8p1sTRE/teJbJs8/J557g0USRvMwniBCjqaD7C cNTeczxMjqSDFFela4UOuhJwebwHpMA7k7gCI4u85q39VAu2Kt/ALrvRM3MZNGUyvXQPXr8MvV80 HVgXBGqXo1ZWpl0nrGaB6vx5Z7iwitOf1UEJ2rNXohJp3Vi8WIpEHeOkEeoYaSn+qfWjWf/OcGEZ ExcL1SA5nkoYxO0oIGIJsmWtU9Id0qZ8mkrETr4TXJ7gK1UfdZCu7NEo/IDf+S2/eX2n3h1GQB00 IVE4Cn6Wl3vwSFYHmv5gyUm3Dkt/Z7jcxH6o2tFunWNDKHQTAYZi61arG8gvCrZcMS4J/L0TXFjc S8QaFx+VrtgpdUwrxued4MKilm8XwgmognZ6be8EF0zIpO50Ox0myfs9OqG4ZDgf7+yWJtkL5OzD R79obWePRBU6WxsA6fMwBaOXDppP+7Xp9YL+K7UPKJOXj0yepfVrqPZZ+F5BRLryk7xleQn4ZIRt eYs02JYnCK6wCgYjS5qsMgKBEqR6sGGdlKl9Y+p+pUsgJWlh9GZVsAHYyNQfqiAEGdFefSOn0etF kwG90y7V+ppOfD5Y7cfJ1j/vtBZ8tbRPyy2h6rM9PH9ntWBhQGqZtSEmbow+gPOgfgKOjj23hx3v rBbCNdpL2GFLuQ+7gtmXB+EpMYxvU9K2Dzbf3y9IVpB+woPIRx0ddirxPkef5fNOaPk9SMXn/RIB z78WRaTAgxCRoGs2KXGbeam9spHH8Jp9J7Nwm1DYEhJpHL1+Xmu19YCjrNtNuZBG2X+KchpyiIvk adSYeiezMDrHqUHJEI6q+bSiibF8on3euSz/yurZgfxSTI2TEcWjmzTNk8Vqc1TURsQjHekobr0T WZqQqiiLNh/9TindrkEKLdtkoOSjhdElHXDngtXAdxZLc3H2UkgPL+cE7cu1ye0Mqp93Fku7TCcF 53iP02t6J7HwvOYP6sA3UTfaCTzQoe+eqLwzWDzRRMo2fDwHBlw3uWttLiBxSJNxu2+g9YvG8uE5 aTaiaBTomrzJEdiPKQwA7aqcz/F5J7C0SzH23nRWJ1jTEYWjOM4h2cLDYjZ+eQJet0gK9q2gFs7F ERZH0TCyNGT66PWvVotNVWVfxTJQSuRuajMCIYO06qoKd71iVAFjqYfN+nWa9XDq051SZvm801dO MMsZwcrGXbDOORoFpNAChD2Yt+uXAvRWpusUwJhGmyWuj3LZDoqasxQDzQrAgeYiwNri1YpGC9gr lYp3pJNdg+Q09YJB5w3qIA0Jg0avCEL2UxO/Pu/sFYcs3f1if3qf7RL27AG/KKs6ArB5j/Yo46ya Hfb2zlzBFk3M6BmU3tWBQg9U1dHZGegQDSa8bN0TcPovjOg7a4VHB/gIpI9y9GNpjCrWSuufd9IK mxDzZyqoB+xzEcWikDmqrTsLX8KFNhfj4Bf6sTJ4J6zgfQtLomv6eBIVm4yMu9fmAuU7GDAkeCMP qM3g2vulZ7zTVZqw8HlB41IjY68N9jb+jB2zn3eyCl4s/5wcqH4BkCzQVxSIYpUSCYraxgDMtzLi 6nSTyjQqKupRv5NVuFNwlFLtOefrJD7awO5gqgLL002KOtGzdvt+AQA8y4OdlXe6SjvalsQG2Vj5 S6MAROMFCxzr/LyzVVgqvl23Qn5k8a3yTlZhQQ+dBFsj6x/a5WXh7ifV7OStjiLtwm55p6s4zqDS FkmjJyv2GX3yNB9AEAGLXhM6xawM7pus0JLr885WwaejhEvkkUaVflrNS+hIC8/fySrYx7/Ap8lG JKcjjEc3Oj/dqZGE9kOafY/kLOvdvLHyzlTBR9DRAPAJjX7TwstTDXu7b8cGBK5gnaOkhwbWTxty 6w0jiCQvVAYe8wQeQABow9iy+7xTVZrUYp6qIPAM26Owd6oKA2BsYvd7rdsrHSsP1/dIlN3n6ohj UiLBxhk9dRtSAkLqBul7Npv3sJsHdtwEV3qVdG69YHDRCkVMRa18Ahu7wGeXEmQun3eiSrvUnUXH i0I3cQ/R33kqHokNIRcge8O64GbT3g2lAHT0CQnrpJyKIan1E0c3S4ZRNKZSPahugxUARHboCS70 +xSjV71fBCWm9Qf6shy9S92bsjcYPLyTVLzg7MjRDPFw5KTn/IjqpEhmIXT87UTFAXCE+ag4jgED yiudPvU7SYX1yME0tvmoBVJRDpwQJ7XFVgAMlypVZ5D4IKtR0vq8c1RONmvfvvjoGIZaXUu9rs87 RaXJTI3zMbm0C8jSHoi9U1TYmQW8dXmyUl3cZN3STybEj/dLGJlK0Yaln3VLP0jzIexB5OROqxGH Tr075LOP2wX91975Kc3J/f8VKYR8vqyfLZP4vNNT2pVH8kg9gf13QNZRXEqh0eFiQAXUf+4bWSdD ry17K/Kdm3JbkZNl0nnKpFgXqUwqXfRK2zWCHNhhoazJ6bBkvV2Q3SeqmgBqUtq+99ZQwG7zuj7v xJQmveVnMuzUOidpFJRiodZxTtLuNutgGzn4KR2v+RZCSUv9X2KKJXEN+FEaN06AShmJLdxkovwd 3vDQ6wXYJ1wFdnuckXGY7Q85r/WS8WgQh/X6k9sDpegV4xYGpQDoVxcWnwKUdtqBOxIMnUKfjKhC ShtdSDv76NcKxBlZJLCj1f79yRAdau4SETmnhkKOKCgFAASz2n307C1N2ULZDOHZoC17FoaKdxMw Fe8n9DAsLf/q2GevHMupD9tku49Sfw9KcbVjHtgw4egdeztFB84NNiItZsK3T5sWW/Swf7LZpPf7 Q5sazvU++q2yh6O5asOzAfZ8/5waXLvLc5YeVkhRqj8ZiqsyVDTt3W2egT+nI2raD2YrnRKu/ZjB WFCRLLgDdDuPZkcpjHNQ+sGhjkjygjnwh/DhQYROVQoIiGhUuVg+WIjFBh4NrtiD5VAttTy9tx6X SGHa4GodtbBWCh2GJfTkGjKE4SdETJXhlCSNB4pvmeaGO3cGTWMhsKip2JGI/hy1lw7m2t8vqnQw gUvZR49mpqrncFLBswF76UCfwIj90gbPI/Qe8pfsP4HWChlslsY2J3IxDiSRa/QzIVFEOoUF22f0 7B6yiakyIl2W9iT858mOPriG8a47JhaAFODTg5ADQEE7g7qPaq5YwDGks174bETn+i2GLfTfNB0R jQlsLGDDlayRwc9/6vgRB0OPCnGKzs/4U9++ESTaxiWWdLiNdfIdbXYHFHhR1wSPzv6p3c1Ccn2P CqVsRTZwRG0E4lVfxPYw/gzEyvFscLGs/MwGjpHTT+hhOMolywNjW7SGSg2lbcjpQbmE8TRnIyQz cR6A2tbo7YQJUAcSXWRxUHQl796W2rYcm8UP1Y3ZHP/0CEXK6nmBPKJGxV9IMHlX2j/i2b/tFmws gN89W+lhoZQnvDK0L7t7mTKVsNkEpgPAFCJqexSMDl5kiai4dFBx3U6fxCoH0OIlZ6kQUP69QR4F C8oR1yji4uOjYgfAeeiqahQAfdY+vWE18WyE+PHpYEgDAbdxLpb3cNQCPsAsFriztjyA55MYFLCf tkcWQaBIZRI/IwDk847V1fE4r9kk21Wf2ABc2IZswSA071Q/uhetyG5RmdSvFsgqldPXQ17bJd5i 6w7PRtnsSVdw0XagE8/pEeFIUX5s4EvDlA+dQwrabASnE9NRsMAnF0jUuFd2X9PwUQtkIlhK2PUZ 7jqISuHgQFwWNbTnOUxVHuxR7556ZY1u4u1xE0cLeKsu1vBstEB+QvTFo9fnI4xL0SrdXaDRDsMW XC6bpDGC0aHkTBWKHlVL1+25avQY3YIMu6gJFwT4GlgaC8S6dHcf35vc9XpB8kbOaiKrJ120GQgs 7EbOtPBstDrSb/L2APJHFJQS9FPcB6mWLlqk5fjFm/bbu9QjqpSy9gxUko++NqCKDYcXgn4Ax8dW gtMZl0TdD+a66P2iShi9bsby0THXpQkYa1k+ng2C0u5Bqc5ffj+fjigoRekYJrWsE7dNq6z53ZCc afIUb0NHxwjrpDw6yjjj6c5a+pYJMR5toq1A6XnQv2jY1B+ned4tI2Y34c82ehS2epvVpbCRllcb eDbqSZ69gkMVmegJTEeIJrXjZabtsiSV2WtmcaXrnzaOvorLdkTFUgq73zidAGDKydvKyZsuFQui R5lY9SVa0yVGYjtkfHYAkWuieXUflcTZOvMVX/leAdlr/pY6lrRiNB0hnBTUgUHGDt6wSnZhkgiD GjKY+jw6RhSW6uhQr3cp7ybodVtQTv1Kuw4TVV+gbtvkZP1r/Zrx2VHvHuo1PEfrPUcbNKQuBmqE ZdL6A5DDb8FuIicjCkvR7ii1ej+yeue6jb3/07wfUVA6iZ6EMpRGr82whk6CRAe7AoFHpQcIyJb9 9liIncCHR0dHoZp799H71Uo1LePMfPYvPRbetpi0k96PKCoFv6UeOn1VMAoAYT02ycdQbURB6SLw DSebRr9VOhSPAZ1DQQPCrWw92Iojj/JnbQy9XrBRuLa3GnGutbgszNH3seWCR6Njo/5MBhqF7UxG iCWdOC2G9BYmr8h/5Bvo7B5LDrJqPyNCk8IksxLZWC++EeS+1iA5wJbkHvRGQYyGDGlJj9Pl0aZe MFgcAMDYST981Llh0bOwpHaVf0YYk66fW9a+2vaIdEQF0kYLyJ7/h2fvauloTGppRPGoJ7Penh3n CF0WcUyYlkJVAH0rbhRinxfLxedKmTg2ogophU8bk5Z2IT/QTlVDMaeGZyMMenqODdy31UukIwxH 0W8v2enleRfnJ7S7T476QhiNMtZAEK/xQXUsyDRykVjQS6EUsDTRrGtt/BtIOsJglKboiN00CgDV t2j9ba2KZ4Nc9vcQ/W3NjjAYpS+i368V3x257BhJshSrE+OCCyUskUp6oA8f/dSAHHin2NWAUkui lQ36tjhXF1GT8+nLjpDTxK0OIL9GfPpuoFoqMi94NKoY7yf8quMJNmZYIW1wjmO6CvTCUAqXq0Pi vpQdU+N+RuGogIL/5Q432yV18A0GUs/NKwVpLHBQ+Ri2uITPDNv2hKvRq6Kq98id4mKFduZPPBtM x++Vgl/zQK5nFIwSW4HblLbqwKFsqvj0crr2QIgyc5shsUnFYopdjXSrYA0KJW0qGh2b5J0EQXla e4BUcKKvpjf8U8kY8pkavQJbqm4VQNBnCCM9J4dE86hT5BMSBaOwFOtUXGTmluWAvLffMyixuMrz DLlNlGEoDK/KFXnuaCnMob5bQkcU9BUgiFBmekAdbLvNPxVJLdRg7354+FVojMPNYp+MZ//C5WFe X/D1jnhLFItS9VbNenTthw7Slaj2RENe9NMp3xLXSClhw75av303UCEnqsUEoCM/odoVWeWs77uY MV0GZ9yzn6hqzOWjJ/Wz8ByFSwmejeDnHnEwWQBmrJ3ZiILRapFQhyAVdIsHNKkIF7QrckoHbUAA lLH5jMJRxPPqPmv0qk+CDBzMCtGJ7U7mAT25MUu7potN7xdsFYAMMzaaRrURktB74G0MPBt0m/ov pIMQBg85ZlgjXThBd5GH+iDRnq5u66gYY1ob90pItGdBsJNI38dpvtmetzNWZ0da24JJxKNQsEAX tz9Sm9osUTxaCajDAaYxaRbmZskYJmZ4NgjA5gnA5G7x3Q4Jm1HHHmDGxrI3EJOtTm+rOPcry1OX iyMqkpLWBKs/Hx0RNiBIxJp1n7YH0W63k8Pu3ZFdmtbFjth8m1E8mjgRCb1qjrxnx6SAGBoLC49G ucr4KXMANlbO6gipTSDuzmPwNJvsJXrtw5n2R2NzhspPJKVUBpy1neDcjgqLrshNsAim7UWlF4ro DLT0nrVR9HpRcwVHKDh8GpW52Sy7CBau2ZDZdDqRRZUAlEl8NqKmPW5ylO5YJ64kHiEGgxRgh/3G sPulcTaiiFSSFP9pzEKryi4S+px3y4qL7h47U6HTCLLAKReTFTmjgBREo0zzwOwWgpgNO6uk35Jz w7N/zWMTJWlvCWxGESk6PjM5iGPSQQQnR2MowCAMdu+M0GdIsyeRR02Wx5d0tUqmKG/ZDO1DpDNY vlwhT7F46P2inbJfshWZq6F6PjeejWKwn5AURJR6mk0rikmpKceaHLaKiAmg9niiP6ndjMWxoq79 EJ+JUJ6Sn4Nj2S2HOmtGgl/ZfUkojMKMqD0erbj/8eERf7jQsvyMqo/C11TNZ5uNFdOaDuSax2kT /03TERZICVVzSoJFOUJ30HGENR/WCqiUFnbtu3Rslo8n5lizTLqn2dqYoxDqoEljBKaDg16d+Oyo OIrcjbID5ZEdgL8T78mVM54Ntkr5aSTQKbj6Vlmh3ijNFOvRVDyyca26UhqDOq6NsGu/GGsoKGWf KVMTvwJVPFgNhCIKcprUSMez/yZrPrpU8M749Kjmo+Rt+8iaD9CcXBsFqnF/jUeZrUg5TpMR8poq e/aJMfkgypvJG4w4KdTxeEuuEEU6NI/NR79VJsR4IcUL1LX9LIhHE7yMsf6w2Y+eYNULRiJ62CSF DNFyId1p644ttqXxbHBwjHzakGI2HbWSFcWjq6rCq1QN9JMjdl4h2rwkdj44HTG3iRNBSVphO1Ue tUR88tZHddFCMILykajgYP5prTDkWFHTnkrqDRBDjQrQLdZMkgdPGc9G98p82rJsapzlEQWkIMrV zVMTP1b3bKV6IQjZirufrZhrT2DTGD56eF5A2QBSBgVhYC+hSwEqGCVvyn52C9uyK+rai08N3IdG FX5a0Z+ylHbg2aBrv36UsJhGegi2QgypyBNeBlt9eKl0CRBFUyadHWFAyhVG176cDyDf5qHZKQ79 NTRoFyHjdq9YIDbpHPjAn4ZeL5qMwnrG4CgPY6AwE+vSPaWGZ6N75afSQfSmJ/YrZDYVAK5RwmLJ PDnKp4C217A6xqQmq8XnK8SQdpGHl48+HQM0jY3t2+0kSrARqwnx54AI07qFn9H0gn8IOgr9KTgq Bptavih0LDz7vldWbgcORmUW+WtzPkJu0wJ4D4czUD60RCGEIdHjGX07QPuY268wJuUpSmM3jn7P TvjTpkXAdUKnBbsJ6FEIb+1H7x03Oz48AJKCitbI3uTooLgzHwPrNopJy83e0ISke6NPRxSSIofv aSskZeueJujbEfowxfVmwgojUsT4iW23dEWnLWyZHfKbKKBLPg52GwsnNJy3KWkjl4TzgkHcga9R aR/HUUcpKLiMo2YZeDa4afdlEBPozNo9/9yOYtJBBYXiTFEBSIHQT8PjjuSNtx1VSYkCIe9Ao8ek dhfCqQk3S9pA1NLVGJML65/801EAyw4fH/G9KCeIuIGj5qOnplonJDh3KIFfDwCK8dh+QAz7PSiF jJ5tFyaK/2ChTHfES+QCSAP/+CbumGxPIRe2FuqFMTS7rS3op7z1gJcEaz8ISxlVMaMlBGqz2rFD NCmIgimhqcDREWGlOKFnTzwb3C2/3Fnw2m9+v0M4KaXSmibE+3CLXft2Gfcei+0oNB3k0ksA/R55 9gPiRukMgZrl44sGYjhfQMV8mizMP/DhUfVnIqtSblXvbKctrHGxtGXHBKdyioOFnl6HSrxDfhPl 8MdR0iPCGDHqVIy6r/HqDrv27Bf8d3VkoEkL6fWWsOylyiDMK1hwWxfEMNhy2lFkuquKP8VHJXG2 r4XpsN8Kz0YCYb+rAxXfeVZHCCfF5Lc2vG9/qmL0Zad3VTvHx5/BpKVtH/00hS1gYekVmGM0UymX lgiOvzkcpU/x2RGIgar4dfmoHG5BZp8rsA08G4lA/eKfUPMbnsPtWBefmkU6QlHHdABU1qwsgBe1 NuK2PQkbFJ2sj/Y3qJGL1NAOCXQZluDipTbVjTt20tsFcQf69TtJHt8nw7JkCbXuVvFkJHiUzy6R qffpvu2oZw8g7SR++R+S4N1zthens5TUDnllRz37QcKsbpd+4DgNYUHJzJk6HPXUs8c+KlQePjBS dMjx2RHLizx7xCQcHQrWZaCRLbLDs5EaaTn5Cn6mL9UjORthSAr0SJ5u8EaPPfbsV/aefXKH8x32 7JXM9uajNgnQv3aiQwNnUJo0U3wT3QtQGHHCeIDO7G1HTXuAkMCC2T5qNiBRziqCZct4NgjQD2ay KAK72dsOS6SDZPvk4JbpenqtJdemqA5u2TGElBEHC6X1isLbXTpT6gS3oFos2hPCKRkQn8xt+dsF R8ZU4+2MWnVZjHh0ePlsBMY/ILDq1ysbX/x7oRIpIDoH+FTLVlI76LdGun13PMeOmvZAdgFT3X30 gjGITZ06SR30BHb4Clr3xKJcA4milwtiL0QHmzS+nc/5mWw9sJ4CKDee/YvQ0an6HAEXd7Z6mwvc dn00p2pYrNipfoXjurNcDIRBkUJ+GI72t8Yb1oOFRotSpFCHZ3ROv3SoxUKr6AcXp5cMqF408AZu xEb23r3WsRljdzBm8XQEjbud6oLm0Xcf7ltOIbsJxF8o3W0sEaC3AD2f8MrdbDg1whw4K2FIykpp Jh4slxt0WPTZKi3iR0ERCUFXggQqIE/thyRas79i0KCdhP2In+QJokWnSUKcgP/w4Yg2W34wUCgd P3MStu/RR9lVyJaeutr3RykfGkWwvZOLaArBpKSKDk7KeCYFm71R53WgvjmJlAJQC8coOnG/kmkU fAma1kzh8BocdarO4lJQAxK+KQpMR7li+TC2t7+9j6ZxiqqmaOI0uPlIgrJ722Wseaj3ox5/idCs iV049hs5+kVToLwik+pULdal9HFh6gin0iNFMHCq89ODiwa5XBIDf15JdDSBJOqyGh+OMEA+JYgk 0diZR70jpyg8RXzfN5UGEzr5ru4Mqqd870aZ9SyTqHQqzOh/g7IOs7tFXHjfdZFFC751/kpfL48T l9HIlJ8flNYpoLaoSLCOIsHcbsZrOz/z4b+wR21qF3jFNK7UHwzrpzAIBsagA98AYLkr56OyRztR 0Mj9RAkrqFO10+rjKShD9HpT1cQyx7aEFUPQaIHJF/hCj0kmtcMoBxjU8RqDszPqSEFMQikbi4P4 cADHTn4Ts/Mw4DWRzqyEVVSLHD2rxT/4kbJm96oyNDrdbCJFMatAyLRz4OhLBTI6g/YjdiuyX4el gsgEuOaSrrLJHNPfMUpoiI5GvFavXNu0mWV0AnEkPhwVli//CSip/EQnOYV8/CZbaW9ZbilTdiV6 KD8Su8+FEhZTeX66pcRTagdSA/bvguw74QcVdjZWywXfrnbe8A/sycw9nil06bXJwRQeOtt8OFKK +o1ekbTlMyNR+LpotMRuHDKvIpPESXiA0/LvMol4+dLbK/OMXk8dC7bs7GnvPeuk+C22J8gjPAKc TypXkhTqlwJQs5EzaVSFqI4mLoKFtXw42DqnZoZ/AZdm3J0TAk/tl5r1qA9WxEE4RVo+YLqN/NmX SVhVpdQcwmyNp4yTLXSTzVUrPHXpt2BrcEtO/4gVTH/FoCyCVkwl2oCjGjJzdW/IMGgLBfXPzaNq ETg2h9CQQ7snqCdaWOmMBkGSIarfllP0EUzQcSFwezq12SndgmPWAiAuqMe8eJZl8kJqM7RDoWM+ 5DD2IXLg9qRVaAlfzz5qTtIu7vaUOx+Ocp1fVsPiLXCmJApkEVCW/tD0i9P0uys0jpPr5MDvSdC3 mqXx0S+jzY7FDVdRQvM2GnpscxbW86lX6ZVE3Tl/sHtCd3pRXX+dxNK2pJhGxe4EPhyEsflHsRKn MNWlNSOh4RNjz92cq+9q0KO7pOea93gN/Z4WG/6DOvvjeilmwL1SzdQltO20q/rgU933Rwyn+PvF 4lGZjvEaFbDV3tlfgbIyH46KzeVn26CE2Q4MIgeWT9D93N8xt5CoY7kUsiWfS/AQe49yotjQ9ElS 2R7LXkQZ6mi90yVuYM1sKa2j0E788CmwjuxvGFFuifSmXmM+CkGYE2WCtsb48F/uYCGWK3k+Z07+ qLSPusXklQM5YLi3QK4U3MK9xC3s/IiggESbyUXW7bqs24ormG6LuHFa3Yxx8bvJt/1C7Kq/X9Dg ZTUN5HuN3gEqonDWVisfjlp4HqqJrI5EvN59E+mbIo60q0EnSaM9ne2blXc6qQ6OJx0lcQBLyq0q azeAbeBTVrQDIVMIJXW6D9QuB/anjSfIcg7sn/wsqRDx0XhqJ57rdFrHxYr7vylx+nHDCvyfhJGu qrvjBkjudU70AUEAaZxtE6IAKGKZKCeVrmEoiJZ1404BwRaVFJRONtRPElAXD02qN3/DICxBf3nT 5JCjZgTqCDwMMszjAg8onK4eqU2iREDgv/dNyN4fgAMOaTaOTO9qQgGY3aAUBEChbI8CH6hMRlOl nYDGg1xuAEIgVhr4wEZ0KhgSyMIpneKYu5T9HaNlQlWYtX30S3h0otPtd9TDEWH9hyMEYvjed+uE 8Ssc0yp7mHDwykTnQswdXWV29kgNYwCbY9oUL1i65rVTPwe6HSAzAphtqqb+c1VZfpSSe6r+hu9T 0mVzCxQ1R0dlluEGT10PBxH9/IlL8FOMOyOh+j5Y9XO4cxrbhoQEnLoJ+Awe0cdmUOxfZbIu82Wv NBSPuuBV+BeaCyZUe4nbqI8CLHYbPz5S6ZO65/BRU2ILRsoE9j98+C8RPX8Dm592PGxzaAlF1O2F I5aiqtpg74GBSTn+aaEnlJwq/gPrbrMjeGDfFTw4qEw5iAYyjhdDM1mYzqEp1KSsH/gLGDOzPmwg 2Tx3i974cKQwdfqc/HjAMw4JIofGUKBjNxSQGwlDqGtQIAaShyBGWIiC25zLJPSGGrxtEgVyU7mU IUt3Ld0DlgViD1grqA8kqAUg058PCq/6O0aHCWpIrZ5RUBq76FgzbJZr8uGgvlYO2p3J8I+2Ug4N olZC73stIonGZECifsnmPAGhsM6khBEs1loifDX1g7TtacK+Aicu4BJwUCuUK6r4eK8ica0Uuu7w 86NKEvIcpOwauebtBK/buVSNDwdtjAdSI0nHDFKnT0tUiQXrovYlSFFt1GlbSPnkxbhxfOpACYyi EOEQ6YmyPcfT/awFSGdSMbcdsFjBC/9dQOsk/aATZZXqrxh0g5kQt8Qeclq+gWprrN73guQvdIv6 kQ0mVBJ+k2dOQnl+sVLcWK1lJy4zECBSoDhSIAd+UcCwkgTALuilTNqlafslI1OALsSeWQRFV/A7 FDP0Sv39ognRBHQfvWjC2oM4iXz4r5heKbTsea6d0DGq8eTbfsTSipuSqHkc8vLJ/ULHqDVfgEZ2 SNmxsWm4jtr3AIemwvbkS7ZpOj3yc8aGESxy5w0KuEY1MGxtqxVqeQQfjiDwB9WLtYUYat0lEoWw xB33JkjenFwii6t+8N8qKHacjROqoXZlfctH72DsvXtrXUDntXo5lq523PLakXV63v6GUQMDaxc3 lUaHkXiBGvrEfDgKYX/gNXQQPRFs6BsFvkfJfumWo3jQtEaIHDhpTmAbhQNMdoTdR39lS/oKnBzY Ht5jIxYBCPXbuQ8eMfbzgsGEEDQAlVKNzpKgqVOi/TgfjlK/KwaB0FXHq/5eFL12wtjPpinHUQti e9o08xQHAueo087prK316xxl2STsk+mhbrdQIfY9E7RM2vG5gdUdDq2jwIS3VVWWj5qPWWWMYx9V +HBwivzGrvgZDt0sh85RVC4oLhBS6RpHvnsXoHPRm1obJiZYMcHh9231ArBKsfOB9XEYJmViGlMn /Q5F4X7r87X7G0Y6sRQLQQWXI4kjqRSPXNfms1G15EdVZ5H06hMSOkcBmT7Wli+Qei4MzoRjlXXA mZLYPIrS0pOh67z1o2Hpr93qrB/Zli+LMT/Vl6bIo/nRzc2heRRPF3qPa1QabNtx6Ayha3gUutZU f6rz45Eoy4F9VPl/iMDAlgNhGT4L6FPAmne72RogAB6iBfZRFueV8kK0WjAtqPAfBNXdkgNagoCN ABQR943fNJRCyIGFlGTlSus8Rfo9RepO/FKg/fHhSFLmVwKzfq9TdmwiZW/Xdi3O/R/9mCDX7iBw BgOakphuJeWQ5uMpeu1qGQ7pVmvuSk2pTS21BBTYeGrRiuVDJynCPsvisbiccGX7MW0GPs02JR+O wIv1RK2VNl79dnFiMykIiC83oat7qRa9krf64Dfmzh85tpNabAqzGLLn1TrMaIRsSSJUSjJROdZC 4gEd1+MZtIu/YBChoceX4Jqq0eOR2UXltZSaD/8l5+PvOa7TWI79pECc2C7T3/kTcLvsKowWIO3j rJIY3Sqni+LjYU6gY4sE2VK6gdSG2AFUtzoKoeNcvz2ddwxKalANyMBjatRZkpR7AKC1+XAEqCjP lKAzdsRjc+ArJewj7X9ZUSPF7h9CahF0YedQxdcPk0gLQJ0+mstx9DIjDC8scmWE1uGKwPCYpe8q MabfYnRgLXXpJPmM3iFy/XNbfYMPR3XX9pwl6NKnu0zCumsmkEsXryXdshLH3tdZgk6M1xgDbykc SAS5Mr3p7erurDRr0X1T26I6rQgDKnlov2qZZH/HoHyEgLWi36FR5+vuggGDtcKHI+H6E6Wx4czO cTuBSWAwBdkNW7PUcZEsgMSnO2U4CRx49k4MHJCwTvLRT9hZEKt1Agcyxd1YN6hkHbUbqUmaKYcG UzAxKxKi5aiAwl5U+V7ZjQ9HkZrD+LjGEeBefEloMjVxKhJC9g9KoeyIo29OhLyAA93bOLHNFNHQ 5CFy9ORmNZgEIwUYYzRCae04GVwog+D1W2cs/o5RfgPMAG9Ujh4bT4WytYzKh4No7TRAm2+eWxQI zaZQghnoC3ScJxQQxVRUS19dWgSHIRWHc+g3Je26RAWAdORQWoNtzqK+9UADBnqkZROvArY+yOUH K56zv2R0EzMuoZ/Q0S4pUM0T2bf5ywU38fAQlq9PEMdx78uh6xThaSx3/zNOxxybpzigPt/NE5hO gULNw4QyEv3R9x92ylbmWYNbSRreqLio3/BrwZUDyynF5bDZnT4qt7FPl+0Lb+LAcyo97mxc5Lwz znyECFjcj/3UjkS6gVFudXVIwoh4xIaWUywyIhTxUfOx9gC1GXtxrAbzqUrps/Jlia4+/g+1+BsG GD7mfTxNyjlNwK3Y4lXVVvhwcOscACwju/LIeeXQd6pSynAc2l5Xm3wAHuP1+X5WSKzyT34FGeH9 YYRP2DASd9CxUiS/QR+bLi53f0Tcc+g6JTQIjjyNTrAoUyAii5L5cCQY8FNzxeV/hABzYDsl6tIA NBD4zrGSDlc7SLJLNi2SHHiQxMZT4pL8G+jZQcpanUQ5yJDuRs9g6MFRDzQ/pYHzikHLAlBGi6G3 jz7fW44pmGg+/BeSPN/d9naf5woOrKdgIANKQNrEdFZKWlI1ALjeSXtYANA0JWH8quKA3IPHDehL g1NbU1YMjji5sFCj6WJCH+Ze8TeMVM5YmUc/g+MBqrniyF56OBKoPsUBLEK0B54qdGg/BfK6vZhX 5plF0J5sekz/U2EM7Kec/5uzmps/zU/7uAH8LoSJ0/AkqBEUwY0j9q+an6H/FFrKdu6gV81RwQga q/TMtQuMDwcVxhO+in+CAuFdJVH8SrXH6TqilQo7wg2IgLLb0W/KgQFVdoQntUQ4HtA4m8qS7a5z wVXGsjDcPZQuw5r59b/MgQcVQrVJy7rqo8JXSyNEmbBImQ9HRcZ2spxEb9RbVAtNqCC9OTD5jahx +qVAEhB2eI36bwhZlOUEPlSoBPJqnWc8ZTX4jK5GKLCFm3UTcpHhrNxoQO79z539FSMyH24aQLI0 auektFT0sDibD0eF6PWzTACGOGnO5zUi6cBuoJGVhMGqrWjfTJHa0NFqXjB5jUe63b+IByrLlfUK rXbAe/fedF+CKip2ZkVM8UV5hPjuKbEesBk+r/FIl4quLTdqy2TniC+LWRlQ7L4+r7FIV5ZCYrja QjB6QGGAmUM0EzRo2nLV6fSjQIGRp1+WulU+d28wF2qub6rI7+MIATG8McgfBkdtF/JSCi2+sYj6 QZTQOfDzGoh0eX6gUXBGL5KMKR/hjoL862RIJFkseV6/aLBCfQ2T8RqG9EwzhwltA/ArLNHNPgWE G/6DQg0cQikN+BqGdJkXA8vefTzX4oRlHa0fIHsG2dYK/YAv8cwnKmNd8TUG6RKHKNSC18hPttCg TdmPp/Z5jUDspehr6qoBX1KPhybiNf7o0jgCCUT7Y1eluzj0RB4gwkEWwq/zoJjfojps2lpOKWdY jIc+HWroM9PfEOHeahTAIRnJhYipGPkaenRED7hnobmrUacF6jdCXln+/xp4dF3RdybgwjY1Ea9B R89kt5ejPFN420nUS//O6N1D9deIAwu4yaO1+aj10JflJBPOp1AxqzCHBSzFXo4qLEz7hbdi6vIa cOCgIHmvIuDgqCVhSSL7QM0uvc9ruIFH1dCEDBW6vLYoyP7DXLwGG/ZIg7Jgc7++ygYtotPpuEX7 OV2X5zXS6K5pT+eO+vh3DDvxm6VsODTR7IYYMVthaMNP6a4g3bTbgOYgwVQsBKpalhy9dOieqHnn 8nkNM7AmWP+1b7Ecw4rcmjPxGmTgnLVvOkGw4a7Y2V1Q4XzDHm/JKJxJqjuaC4SV9MzQ6OtippYn RB5tXtZgkGFzBVwQlLofniuVqaPtQTc93GUaT8lwZPGf1/i8Rhc8Y3xV8Hiz/6NLbSR9XoMLHJms wuWjmJDc6YCSuXQ6ODiI18CiS04J/OnkozenOkJxifFAIRKYjirRowng1iNsRsXG4PZgjmJRe/Hx lNyWrPf2yp/XoAKv1f0q5U4avD84Ea/1MFw3OOoUSdAT4WDfu6ewnEnKEgUnJt0tC/zANZ6J2BVf vJHGZmkcctTNvcrqVqFtn7r9hUJNwVzAFuFByHgDBl1ihVgbknfBXPQ1fw5NmN1mTc3ntRDGExph 1Wo+GW4DO1Ny+T9qaFEuIYop0I6C6K2PXt9YdAPBzwETL2jLIGlBUoz0u80bgxPa+nktgXU5/RaV 0DgqqpiN1uQ8kj+vBbCeDzRms8nfiRvxACuKNcEStbddmoI6l9OJlqdtdrA9RNZwOpjyIjsvV+jU vn8eDQBSVKtAoC5ExqA1NPEDrAfy3kTujfbJIGmGErt3bdRS9afgL/t5Z85gXa2fCSEKFmBIzkgU c+LuaagSwSG5QpCtEexgn7QQfOZ5tOzfiTM35mTT91Ed68siqE7QpE1IhqY/Yx1MK5pbs17N4bYE dw+PUByeiYDbdIob9pSL+w+i3aMzdP+EGJAT3sWjrXfeTJcxeT/JBwAs6rUkyrALs7sO3D0KPEEQ r5X4xHr0UECtg+U8mxLDtvqC8wCBthSeKfnGGSoaR7Fnp8fypCziPOXGOdeQl0UB1v09+JQ0PeeD Kl4gdZezZaLwE9dcy1vpWStFF+2qPkOAF5wdE8Wf4BxV6WFy9AUyYdWwUIAbsNOA8GWFEM+XWOZ9 64GiJL4HoInWAYWELI2JeVkVbxhYm887Y6bLodFnI1PTjHawnI33GFTSE6M7A2I0twXJ8H/WUTKZ 8KqoEU0H05LGcLydcBwF4gRdm8pbtlbaDVU0HRrhqWCGfq+P9Dthpqt9ZFcU3UFGPwGHXVbunQWs YRSHCkdrE4BFggrcuVreyTL8KvULzY5vp7JIPpbrOHcmmyyJsGYUAt8j0czGUGWdWaNPR4ezHpif GTW7jDAaGiZUN6bkSv6ZjfdIFAaJODVYQR+36ZTscuGdnlPjs++zIejquV0q4IYnfw9j0Q703VYr pQ0vZeziHuxZ6C0ujigWZVW0AiWq0Y8Ou2sJR6CAkM0FTYcmePa0wvZSBu/MzztLBt9o0QeWWJgL x5pI29gdsOTh806S8ShCs8GzxTLKmc7dEsWjc8lp0WGoBxUz3WJowtFRWyUKSBl6VISaGv3ksDjB cp6tADptNhrsImjEx7LdfFz7st7vfT7GoIfhXj56pYtXFZK3wTcL4rB5VodWgx2+aNppQt7jUgGV m6wcUZVjpQdax+jwUgcg79PQjyLToX0AzhBHRziwPEfa/7CVDu9gcCzAYwb9oV+xFZUn3+PSTC+c Qk9ujaqGplGkeTnW+ryzY/DdkmduTKyzPJ58PqLQFNIxlnwlTsPszSWYIcEuK+XNfIFLJIxOqTVd ebnUe7mA5wk6yBLEf0I8swIB9oUaACAlLl+l+nAUnALHgm7p8vHcXIM85tohtBJFp0IfnEh9I1FS wSsKTkmhpQA2xHhSE8O9r8PYpWE3Fsc7L6Y7ILfSaomjF3qAAprUd7UQqHaEybZdmrZJPoeH8Ljv tBj8vtQjQ3NQo/I3O4i6JNzz+LyzYvhe+blYUJbuvlPeKTE8bgAa8pZjmd0F3I8coKIozsV7VHqC DoYTHPXTWTCJlmMmFndhRaD4B2ZC59Vy8jf61Hze2TCIoybxLjQy3Ec5FNGYGpl2l3/eyTD4mYVl QDEBk48y+5mNKCalKh99RWmx1L0EKFJ3+e5LmXonwjDMJ5iX33Y/pS879Sx/pP0WJARbEtUbRWcq RMxb5aB6yDsPprsaIjnhGlklt02YRUhN9fPOgvGp9DIHfMt4nmo2woh0wmJxH9c+qvwjiRutuibE nt5YeufAdKfRkMqq0XdKsiupLxqDbKgfcPXQuY6ACl8cwjC8819ORjvI7OOoa2Xl7liVuT7v9Bem tOkpdRATUM/iiEJSFm3gR5PZd8xMTlAJ2+KkprydY/jOfrnnBrvT9elOd7B36iRuHe2UxHMDBjM9 KeiQMhN9Qd7JL/x9O3EmyUeefsMCPYUNNsmfd+5Lv1jT7Ww6XPBAD3A6opAUbdhWurKTVtLBmnqV 2KKo4mXid+5LFwW8QoHAR49I0Q1sWHfU+Z70TS64YiiC3p8grOn9gqAjS0V1+ejp7J5ZGrujft6Z L1y1fqXgX3qSPiRn4z0iRT0VDL/uGoD0YsGmmWl6C3afc/Q9IHVaBNVGNN5cdjWSRSxVHjCQAQCy UnMHd91eT/tg6AWDUhhisNSpC3Bd19dCgoyvtNL+vLNeuvCRt0KKe50cC85HGJJWpG2HENW9SZ9r 9hohTDianx3vQamMRmsdbCuN01aCkRBKzdwtMHikLAEuWxqzPhD+MvR+0XQQ3IYkjqOg2bNVom4B lPi8c154xvstSyNbaH7N02MLC6UIhvCrogvdoR9AREsWpn+zMuYiZu+kF7wyysWVLHWOPh22tu1h fv0F+VBF8o0C9d+nubT8/YL4C1WOAf64Rkfv5y0TU1gFv1NeusxdvZVQaRlDX2n+uSgeRaeAfVfW fkoWSi6nkk7th/0Jro73ePRm9ziUazuC9n3tMptlWoxH7SSFdihu4kyT8TyvTJek/94pL1wfgArC sEqjV0t3OarS4/NOefHsQ6cHTxHcfae58s55YZ+JXlMeedBqFRAOqdxD+mH7TftOeHk60v9OWCyj aAU/f0X12C6WxoQFljQgFJPK4G3YpteL+rDwPy3I8Diy+GOrkIBsZAGfd7pLvyKivFvYx0YM67MR Nui5PpaWRVdNHdCnPU4huRen377zXXi54JaFMI9Gv1wmyNmbxbAKIU6iGfBWpP8R0W7ThYL1553r 4h2jUuDervFivXmH2T7sn3eqS3cgjGphSE2/+3Slo5gUtbY56Plg8TgNWP+RhRj8McgamGcuwgZ9 YuMtVR9P6aehe0dwerfwcDL9J1KPButXcanr/YJ8BRnE4MUy7sVSIV/EvsKefDY6ScdJ7pkf4b/k 0xEFpZ04XPR6/+HCnX7Rzkch06vG7wwXz5IAHWg+aqcAZw34IWN0y/Anm1Jgb1LR/cJ6GgWo3hku 3U3XITnqoz58LXF77SJrn3eCS5dw0pPJTm0WzkYUk26i7/yQKL25cOhejqpF45Bhxzu7BT+BKmAs oV99dZsh2+XwlMPSmBZvLOk1AsT5IwOiquA7tYU1UopdIEDneIN/hqeW9dTPO7Olu1nVPTbQ/Sre jHxntmD6eDXu042cTixF0qZe/fAy2DutxWPo/0E4jUSTKUBk8gR1ulOtGfU2kMJwjZ0K+pp6v6Ch gLJgYpE03SIp3L7089oZ+3lnteCHPiEYF7X9azsxxzurhUWfZMEaQLwo+qBPRjxtp8DMP/iUUrwK 9s5q4VZRozr76FUwSytaYT/CzroBlWBgR3Gs2w3zJZDmiA53vWJU9mHYsZuPOjtGajxH7Bcvn3dO C2/oUyhlkA6k5tktUVBaCdx1NaUipi3rx65fkIg57vyEPxTBSmfjvjEUoPkXpJUmZsGCdJtewvYS 9gjO7UzjGDdQTlMvGIF87Lv2xUxuHRRDmRDo4RXT1uedz9Kl4HgzWrt+9tkuUUxKxhKRy/+wNlOc d7yn846HY+DeqSzYo0zuoaqi0UMO+/1aIzPePssWICLHKmn68c3/Roy+01h4jiI0p7x/vfL+vaLn ++Xs9887i8W7EbejgLWdzl6JAlIRe07hp3njeqzhWjk7uZDDO4MF71vy/2JbRoKOyiBIyE5RsChZ Ly4Apw4wef9N13jnr3SXXUyFqjDFVWF2qkwvydj7vLNX8GLHOKcKkW9HxwE9vZNXcHTYTzbRR8ho 39ONGzFHozsBIrG9sL8xIe/sFW4VVsJwMnL0Bhmsxivl4SDeR3h5JXnS0g3kAo+7Nnss7/wVIh3Z XeE9S4UZfBVbU7QL/XbLID7v9BVus3O3IKhxlzzNSNi+b6ztt+/6h1LZ7rk+eQzLfR113YX98k5g 6e6vXWGOqdHDMAuRWqfOI86QvOHpA71Vuo1DH+nS4ape8Q87plOZux9lblASxH5Hz+/zTl/pUlvU jPhiOajRd/YKViQy2jRVE+zSbMdU0GeTBGxmk4QJRsVSuiMXkpY5+nwkEpsQvlkqB54kPdqAxwF4 EO2w25T0V/zDadrAlNKoCtBYpck427KWd/5Kd6cVnxCC6h7QyzuBpcu3pxGlj1slkT06v3AkUWia 0wGQvtNXLgRo9TPqFGm2WOwEWMQfoBpEeguQgiD1M5w9BdOsFwyuW5wvGWa+GlUD6kV2JFjVn3f2 Cp5tv7EpTcc9VH8nrzAeQ4mkSsN+CCYHqsdMyWtA9VRM38krzOIYmM7ko3cXEtYI6sm2XBYcDish g439wQXhJoXqVe8XpXFAdmERavR4rJblKqDl885cwdo66GLcjWQo4YTQfEQVU7gQUNaDTXyUcNTE BylnUNcCIYm2TBihol3w3yY+pVABoCvE4HcJcbERzNrKIxWb9H5/AltvxmT7AQgkCSyXVNfnnbbC tb9/4tOTNHE6wib+xlXklpeNLeR/QOo/+B+6bvLSfWetdBewr4gkNHryshv0lZnXDrC+8O0rQIOF kJ6nSdv0fhHghSWg1HxUcArVBkYR9mN93hkr/9OTTKAEHtB1FJxSKG9XR13v4ywt0dz8nax4cm2E wSlBxr2c0bsLu9k3oTXwKCUtaJ/ZXqJ9LiVP/nV0RPXSTTPDqqrpuEgrOhXhmunr885V4Te7nSey RbeXf1oUmSJKbjLhAnBtJ28tuO12pga9VkYMLO0vXUkoNVjGQuGmbhk5xbcKSuCNL3OVyIdeL7ho odWbwSzVyCbcWkpgYOn0aVFkCuw/vz3Pu0xomOYijEzhECqf8YZ/cI/L1osw6RaKDdf0aFGpVJNB PCXH0662r9uoaIU6YwKwHlCY+pXAyDk0FHVEkelK6txPH7VLdnZlPbtl8WzUof0X8ho1P+8r9DAy xX97e1gu5g17911qWlwj3CX9PS7FCcp0pA4fPWmxkHcWHEI2GSsj7gDwJwFmAUmN/GS0Se8XdeHg 4IFbS6OAUGNUx2bUhmcjEOWpG7PEAr3i4YdoDyul2JfUb2L/PmuHdFldQgJy+KnRo/49hbYrfjqN mo8xQf4jt2ravwsnGQ9aGZDWxze46fWCKF1CSdB74citsptAQHnXgUeDCEz5imNsaUvkAVgPY9JG eQYlsrXXfnwdFKVulITZY+lRobQrXUnTR09akgUcaw0eGzQBBXt0bbBO8QO1B4G99H5B/DX4V8f0 0aH5i46c3zbnxrPvJwdaioe7AmIYIJc+HSGhqcHKYRX1qQlJZRZHH1dgjvc6BaAeBaSTPTj+2IK0 88foEMybFT/InBazolsMM+j1xT0BJbdjc0EJyx4FpAT8dFSnNSoCQxY3dZTy2WBC9u/hIZFCTkdE axpS6OnHgj0dC3ZEbsMOVSiUQrKif3oYjiqUyGc8bB7I4ALQnGEp7cAfpGsU+2h3s5Bx36NyKfQN SifYpUPwUYHTzJRvQj+k4NngYhn1qf6gV3Q4kD2MRiccpoYsctRuB0aueR0ICYILa/eY20T3WeoY rANV6rZDoLBUSRpuKBZSY82mG5UgaK579RgqdPz04JpFIRXsDx+9sYD6MW+WsvFssDjWLZfaxmr0 KtZ0hNVSHvHs0KJmhFoeah8N6BOwYysN2rA24mCU80DK5zpWZLhgu93yELFbeaHJgmyWzW/LFYll PNVjXEb4+OAoBWotUdMi9dOiBQ9Lui7owfUoHL1wdJ5MtnHQbtR8vIej6CyM72DkCiO/xLWAggkw tkxWxiYcOfEzAng+m2+NV0s7V0sHxWKuwgAUstJbkKki5YH83LTivkW1UjqlEGmhURFDA4DmSwzl xLMR+81b1uwegiLbu8fnPcKUIroGgZGiNo2ohH/o/deFwC4gPk+ukKiDz5IYpcg1em/IvolF0rjq EZFOChln9oJEnp3nNFWRsEdN/CT2G6GUF05j0e6SzbalmXg2QoedajryWZZafT7CwLSjzLZkx0Zd myz1heJyHZU9bU5IVDRdjMVKOqNH6SiZQKCC+Ztdw6g6WmzTCTt+vHFy1/sF6Rttx3o6oxeQl3Q7 EOXh2b+sD5189IwQOTKKS2n01B0+WfsUcnAclKmcnBCkj6heOrlZBrOzSygYYMmOPoGomCgS5sUu f3MoOqq+B4Jd9H5RLQxiA0BpaXQIdpe/BxgdeDaIS8c8s5Hk+l799BhRWIrfpkN5DfILHZ4eUlqn gwwb+JBnpD3dCKulW0Wd7uPBpKcNP75BkK2dS3R1QPKfocraMH9HuSTpFYMZYf4GqwCNfr1MYSfy agPP/q05iQWCoHh4MWzE0FI0xigPhm6c1I6YLfJewX1GDc/Oz3gP1WUQVblpqpgVci5MuzJUh7Da pDS9Ra+gEyHwOq0nlHg/I4pNqXI56NjH0WHHdu954sL3+htngaEYYh3PW0YUm5K2SIsWKKmhcspQ nWEMoR4U8iGZOApNF6G9g+tRKDbOhmUuMKwoJH8VL4ewdso69I9FbMZnR7V05BubEciuZ+1lhwsQ DzXCSumhVnM2gOKs5/SIQlNoPoHWryyuHVKc3HHYxnco5YgC00kcFNVbOV40lB1KdG4DUH8mnaQb QvYgiFwwFLO4ERVKWUivgDhqVJhucZjgTD3z2Wht/BRKgdBDIKXZiCJTGCzV5jltVSrbRH/6acZ1 fkKwNJTTcoGUA/LtcKPvBEkAOTiZEUGcoX0lP/esjaHXC3bKpgJNTj4y4rZLS57IdTY8Gp0bPxuF 93w7rPsQWLq4LZLqP8vVobHr1aa1c6N7/WdE0FIl90v2ck9k2qvdI6NQg2DC/4b1oeSd9McTCH/p M0LmPZqSg2KeI5+tAhYtC00wDv2MMC492DDsTBqMnrURVUlJ7KqHr1Cdet7ktU0TEK93jCgopQxO pdxElacJBUq67QtoypMJDQ2BTb0tMDcpLXMvlYlzIyqTMuiwS7X76JPhLQU76xuejWQ60lMbRAx5 10YYkupuPApyrJNOaDAe43EaWiZ+wh/7TfjNy3qCJNsjlt2T5YTwo1NYfCdcr0inxoM57nq/ICAl xZolMY5ad/Z6PEPaWhXPBgntLf/gX4nGPFdsGJGiAi3OKPRNebkkqERm9iYXMgOWf0ZcKCW0gKJr Ld+QY6IeRutk+xMTuuF0k56sz5EWsLyJ3/R+EdpFTfzqIw8OSF5Ig3IUPBrMxjwBx1eIhlMLm2Gh FHIdBUEA1SbJN4esjwwa8SLpEM5nFJIuL5VuH73a0RYEjCimZ6/fOskdFCXHWT+vRxSVfWbYwGdf FoGcRsf+jCaVIzvf8GxURs9PfI5fs/i5MaOAFIjjijB6/QPCs73ypjJBX6d9jyIq07cZVkpRyal1 Lh+9jN4ykk3K+5QF4hNWD2obaCDRXeMEYE1v+KfsDRm3RgeQTBlCFiDSZwgqPUcHp2XS1NYnJKTf w4KwOM64052AcVceum4zMeSMwGZIdiK1GsXc+vA6ewdBa9A507aNXStESyHcRlFr/7v7NuNaKUKi 1JuPSXukN1HGLcbBs9F0jOdawWUBHo2mI4pHEcJ6yx5N/KmjdLWaDvv+tGZnWCplQtYYdLQbdNia s4CU0uW0YChEWlLTeAn0mp6TdIade5RBoAruo1J74LzZubcTCc9GAmm+OApx7BjH3S9RRIr1PSrF wCrizyTwIDivCtAn+SrcL6EMFMsdLudz+5GtoBvSyP2qkGqo/I3gBumo4/aoYc2Q7UQbVJ6o5foI WawBG1t8xzrwbNB2unpYQiiD5ugtyRnFpIjuBqo/8AnjPxTyWWC8IJVjYOqpkjaj3r2u68Iwq1w5 wd1ms7QCrN6x116k6UPYi+JUaI8c0fSmFwyOU7SkBmArGrlf5piTE9/gvzBDutP6OT5sfW5HMsyo dQ8LlTY9MG9HGXyLJ1npuVR01c6oWqq7hYrP9So+W+SxO+oGkkCCNBZ1uZPNeXErCtdAYhtuRkEp XBUt0q/NR7Xh8mZ/HbnLwrN/Q4cN5cDSSueEhHQnGmoW5W3en0TzPk9n4B+i5AwloYjGzDxD8lGD hHClZYHgMhEbVjYRU0BuYiMilDyLo+j1oj4LUrdUmo+ajrancKUDd23IdtonENuqkDydpxk18Mmj hRNtYwM/y6lyAqDdsW3oP9V4mkaR6cjjf2MPCykskoFXAUAv9nmDKRxqpaCzPC57nVzJGQWmdqNA r6ydUQntWlPqLDk3PBtBO04Ln6dHVoFF8xHznSy2UNYCXdYqbRdsaUk1wOAv+YaJ+ffM8NXKPyZQ dtnWjbwFly3Mf6ugYwuAKzvnn8rx0PtFsUf738pxg/46F7wd5Hj2L7EYQy94ZRxy4IpiU2qirn12 y+yuVzEOAb87zXpFTfzRRLDePvpda3NsOXlh7QcQpsHTY1Fn6dseQ1fsL3x4xCvG4QETAY2qlY4t NxRUUvDsX9hO6rjY/uqH7bSi2HRgf8zlcnKrOqYB6GDv4Xu9Y4WRaWcFfU0fD4nDTqQxRaS1AG0L kcv41JbhOTsgUMDP/kOd9L96i+iIa/evnPFshPzJP3FpJhbAJyOUI0UH/CKxu5POp0z2KHh91kbU wx+kOUFqC2P2BL/PjHN50ccVYMotU9T2Vd0j/6rrZXx6VP0BiYMSEhyJaBhpyD2uQFEuDEvHDyiM kgYeha2Q7GRnjMuobdhMTIFsG+MVZC17LU/xVwgp7fWtFgY/szWEw7aXp454gc4SDPewUM5sVL3g H9hOkMr28f8z9mZJcuRI1u57rCUkBfNw97+wi++owtxZbUr+VdJIdhbNwwKOQYczXJS+udSBx+bZ 6OD4rhpPNbpsOqKglKVsobQ6+ELkqoPPPGE/sUm7hqYj5jtpqyiVKzcyGCmdk3R3WRlgw7gkwocm wHR4+GPfmuwNg/oPEXwfgv+MB2F7DqNk+uEp82wUd3wncaCf7/KIglK49i09gGPCtTMJq7vH4Nmi 0xU5V0zBl0aJaD7jwzo/AW7bpHco1569IolrhIGytBL2Z7eoSbuiqBQQz8l4iI40XnCRkVtQiefZ qIf/hTiW8ZRj5VYUlsITqWNaEFqX20FL3NiacFesdYVRadURKeHN/WlInki8wT6VQEPDq/lXJq6/ SKET61ww1LDXC/AddG5TA5WbmjrPyoe6yUKdo67xbHSvjM9kQMy4yi4rJDshRi5tcmVw8r+m6Gz+ xyyvMZ3gs0JEqc6O/9G56QIdIAPPvTJHWoJLUTQe6pE/BaDR7AX/UvCoMuKsjxEnotFGhzt3J88G R+ken64CdJqZPWdZId2J4FMNElqSMizgBM1WGsuSlZmW4q+wXKpSh5zLq9mzqz64Ki41RIH4LRZD Q1GvRIv1EfOleGgvGJylWb03GpIaLaU9MY214AfrNgpKy/fVwq9x0VArLJYuBNrL9vqPSF8crHVs r/9ojrVbwpB0yFAIfoZGXx7nOuFbXPLKzKnIUZ0EH46HyHB2dkwJDq4oKFVLoQ25L4zLDtmluCng 2Xo8G62Pp7FQhGVo967dUVAqeXLKEhZ4dFfwMD4Lh8el0u6oXNqNa656R37qHZVrZWFARNeJ5jV2 HJxSmenPX70FNgEfHyQtWSQUlPbydRM8d4upE5k+5w5F8uv33QLwzVO4/R6U8kRBb8U9v2p18gZf p/2pESjp+NhRB1+Go3z1PjpZoTa59siwKJ+8F/5Pli68JmleQNRWxWOH2FJqaFk0ivzoYVSiMisg 78mzkVDWA4hScPiBNOwQXIqz8RSclkacNofOYSlVkEmWe57uGF4qeqDgg/XhKwB1PxGCcjh8q7fg g5JgXPS2Pr0nf8G/VICmTlWNBhDD3N4UOk/asmMifvmsD6KXqxG/o9BURJSdDD54Uj7rusw+qx8k rTnZaYcNfKsM/o9twPlMpP6lWAHqVr5cdM5+ZeK1nuN0LH/BSEeNLC6pBPSxvh5IYGhidufZSJLy EWnIvyaR5kncDtGl/CAIscbEz1c0P9vxMXrzxuT+O7Y0CWqbxpPEVXWZpwJ1LivuVtDqErN9kjiR xfjsv+AZKjeJjZbE1WYJHU5rPPuPprXJ4VHmXH7Z7lgcytiwul2q8CTqTHqBfcFqscURtvBNFcok KR5FSlyi4UYW+fcOycSAHeS9OlrxHnnsZG8XRB5ZUMpdfLQOfn46LZVHIymk8WnS0rHud2FEDXwY CSv7ephG8OnKwOyGKaKpmTB4qA0lzH015s4jNjt7OZdWlgXpWXkIHbt+R5EU78WVQh7gsyPWl0R/ JP6cb13pZHPZjtHRK89GKcuFujSWYhb9zqYjDEur1pDvk+oVY7OdUwO/u1DWDhv4woXVesdL4Gjk c/S9JpL8TSINaMtC95EsuAfpisJ21MEfRdSNlHy06QDDa8WfUXk20ir1Y0PvLkqMlzt2WCmlCVuH MUQBOTrW5WpW6CM1HTGoVDG69VqubPc4h8Y6aUtXk/bETIb84Zg2u+KbvkmLcochqUydCeVstGNj eoG6nHOJZ4P0/tt/hSv2IVnvKCbt6Am0K6ImUXYmY11n+HUpcDtq4E9J66kuWD91wV7wj2QTQZVc TfBJ6doKmfIYTBR7ub9R8Ks5yt7UPkuQXvdJLTz7Lw0kr/w8iq0pCkcByM1kCeuJQguVcyafeLRL fJGbwCRbUxiRDoE1nXZ+85V5rpOaJfk8gdFTrKmS/z77nkrO/kbJ2UsG5C8ql21RIWzA8Wwq1rlk Bfw7kd3U0xFQ7ivooJEm52CblpjvBLUU3SThBnMSGH1S1NziWKMW2GxWwrBUxjNbWI994VBUxE5W KyrvRBsqCVhKwwVN6vZFG63ZXzHqXIsRp07c1co6f683Y/kM7JtSFJhqh15kA6REEDo+J++RKXpj k/ZHV3HQdJfUyi/SzVKekZz4xIcE2NJu3evm44W+kIpmEYsx75G3UVEfh4A5/ammxqf/hXneCH9t tM2zp8FgzhG19XAQgOSnnX/mLwOsePwnovCU9lgr3W+X4hbgKznGMicTA+r2GVGTQdpyz+jpC+FG HYLb4nBVDYS15Er62+YVJ0AyyT49gorRzIccY6NNSSoWq4Il0sMR3efGZCyTBZLl2TpRhMphMtQc OctkdBcyzVKzkTneNNV0LZOofDoE9hF0SKO35do5TqcsXAcNBxHoVP9B4pB090Zmuy1/yaC8PiQx t6uPDnSYW9/0yTOyHv6H4i8aAAQ08wqFp7CESht1YMZJkA7r04X1S5bnZl5ZJoI6UMIiqrqycnoq ZtJm4VmWcYmAQSVtsHNVni0ZQIvETy0kkU6YPj+CShGi0US00SD7WXokpsulh98npab2KZWhyvOx okixvj52Rsnqp01Cq+dE2Ra2SV+f5pj88VIUs5rNGVhdGz8N3LHGTGY3sElAqtZ/VhMyPQKN6gbq 86OUZuju6T5aVte7dIpFttLDUW25fwUnYLJucJJTFLkWmV92Q1t6+xIT1317/FccWmyRYM9Pb0rd 1pQWStoJQCC7Z81duE/PvAzoZxSIHiTu8s0TUqKS8nwC+mKqnEk+fEvdtn6e0MP/AE1xHJJJpHZn JAxet85jqyw3/p5qI1vi+lN7v9wDJSLqC5t8prX4eBsQFbx6lVFnXStpmeyzdyg56ARwgqmZlqRQ 2JQgKWOwYaNFsKWb3kcZberhYOuUK2qhuC2p8eFzEsWwqKBNQf2Mr28uULkNd6sAg5LuOomdoKxk ln30m2dv6EC0iWZZaWYRL/G7RqWwPzBUmW7o04PKiLzBinSNyxWZWdgM86c6FLSFavsPOkbHCiye fiOU0A5qUbrcrdyt4wYVu7k1Vrpqrzlwg7KL4WwRbZ2PVtA6Qf3q2HyBK4bt0ZxLCM2gzA9ZTL2I HLhBISc1Sfja8tFmvFOr/NX3bu8WUZG/4Kgg0IgEfEqiQJYGkDhtTty3hndXcKmm/zUhyIEfFBg4 VQUkC1wfxOhJgUnQ4O+d5PKEj08RlvWSv2Q9p79gUH4H44Yfso9WXm3GbUNceOjhSK7Qz1cT+ETr 7HrJ5cARypREa09WIKndHaGmnKCNQ5fvlIRCpxL1bPKfbO1OSaGtVJiufLLSk/kUo4ckGbt9eB/m UREaQmUTKF3DR29Y9aa6EQrmejiqON8U0EhSRTh6n5FQUSrBLnOJvlnk8DzMAMFqR0kKqrZzwjBW cqfEzTY6bHki5K+3mmmUmrxKv+W6+MjCSHBEHx5dOTzQRHsQ/P3XesSpLM+q9PA/9o2OFN16z74J FU+zevFEZ2zuReP/ZMpVSHeB/MhGbUZCspTAEOpeaXQMwDlSq4QuuHNOeG4VJjJii7Mu1K76CwZd 3mVAzO2jHVT4z2uVnEhZDwd9vFtB0uGqMka/MxLJntJladk5Hye+t6Lrkp2i2RqXcfdNGMFOk0ia PvoakarSUKfmbPi0ZD2HZJN2M/fmBet2f8XoLFGsxlljFoBWOxFK3mCfejjoTaQvnAin4u1d5cAf 6qrxF7tvzh+qA+/aZeOmZ9eESABhACAA2eiH68JyUELzc1OM51aCmQun/3fVD2lqVn/DvyifJsjj NtpZMmYxdkvGXC7wiOICv/R1IkGFAU/6F5pEgXAfyOcg5Ti6Mh3hAfqfeACitcAnKntgUuTbUK4f S197ndiEhhUp8Sxdjg0oW5IEC3p0eYbZ3zFaJyBUcdCx0ZdhVl2UbW8PB+vkWnpoqcihKj11tsAq yoDdneJTISJp29RSzpkFO1J9Ti5pM4oPzKKI1wQqUmH6yVo7odpuSRirijmSTrhNUKJXvM2KVP0N 3yelU7ofXQS7/iHY9SnYGFaYejjCnPWvqJ4z6TlhQ7DqhDDhxdduhg1q6ImEKHF+wc+0fcIIVimx dPfLoyU3aiNcpbCbMQXtZvzKpSlZ9PokfzTb7R2DpgVhfZvSBpmPNsi5xoxUev6jh6Ow/mtO+G0f NYwcekYR9bi0UL2xiWCJyWGJeV2vyqgYq7Ok2m7Nj1rKSYXpBcso6aSBu04D0xRpOz5YmqnidA5d o4CPlrxN8c+xRefI3lWJSi8r6+F/CE9ZeNzIdW6NIHSOAgjZqbCB8e5V/mEwOFF07cLT0KS0jROa Rzl+W5DU9eWieO4VORpmKbIjfl3lf4PhUPnAvGV+ro//SwybocLbaBCSPbbACO38z3r4HwxEJocS wRPUx/5RVOI6rrwUBFDJlLlHx7O3qdeni9bmJIxhpTEtmll5aGaAxFgnpjF9MijEDk7QRstQfMVx eXdiWtnnR9UkuaytO2rJY1FlOJI+mx6OwtivAAWdR/mS+bSEYaxMeaXZL/eeYlgBw3uDU2z1lpMC Iym3c4Bo5+NF5q1zfw4po7aK0yns5SICC1X7/khzrVL9HYOeMLNimJYmMRjbQM4364UEMHSTyvWr ak+3GtVTn5S/SvfLW0GAgTGc818sbPsABnJgJwW6UhyJtX30clI7h3yb4kg020veNjbqgu2dIoSS Pjxqkqs/qyzwkV86K8aiFSxx9XBUn/a7WN4AdhenG8mGllLq2UpwQgL+1/11eZa8JIJvh2wMG1Aa I5Bvbg+Ac2E0h0SVJDKWuaTgEGCc3Nsqv6dsGMcKBQF7zkbHlGwTzCh52cMRGH48p2z+JVa4Gl05 tJWCDHsW9TI2YlZxACSsaQoL/3RFUnPgLMVdrAUwqo+XWkSNsS6pISwuH5VO6tnaJ/BcD2jxecOg X05qOKhW2HjTnW69wLOV9HAUyH7B0uh8fS7iKIyVrZcBSlif+eIHRnP8wLjJTuArlewur5JJ0Wiv nPdZGMv8adJAt1gHcEkWxJaPUvvyFwwmpAsCTudco4drZ0akNTBp/4XWUmU8uDTgcL/lqdWH1lLd HGxcBMCAelhuOZ9kWaNHCyTU8VfXr6pqUj9Vk3GOvz5lo71bH6ptVJFRzgQ+7dBhPeLQWoqtVQjd fbQK7F7T/IBmLno44r23T6imbXerSKG3lIBpMpCAe9am2xoYVK3+LlwH/a6Jy6+y99zTRz9XC6VG KQ+NubE9VZn+/ADSWOVEXqav3d8wiNWSftmZfBSFpJdqqV9ZW8/+CxQv4WltAZ+R0FsKNt6sboI7 q8r0WT6OUyJ355krFJFjeynB9ChP2ugFUiQzipQ5MYjcthglsywa7DVjk6BuDu2luJgKhAMf7VwF nGCHiMzF4+j1VtZYK2z/i7rJocEUruVN9guqmTCPgqVxwwvmqvzczNaj2FXZ/v8BZYnCOq1zcY6K lKWRSCzZ5AKRn7tG8gg5MJlyKslIgoOnR59qZZUDydaHHv6HQJX2DWYed0ZCeOuQJdjVA5hOMErl AtaqFqwtkhjfKiERCfqtDwL63AdL2KSseA1QFtVSsoUmrMRTW7N4PvSaou6Ht/fyUSseSKTWDNLc evhfol38Dep6/ekPx3ZTiJSn5SLlpgtAcW2tiyN4jtfYb4qiSVFNqjzySV3R6qLhCdj13DhgdTKw BJr77S4TKhL2gkGYBn4+S5spf7SZVHDUMklTD0e94S9c0rmlL3Mzx4ZTGNFX14gY1WG/WW6lyUAE nwmJbVDFci539CpS4RZHRQEE3wnYs1rD54VOwvM7wUHbDYyag71jUFtj5xT18jVabS2vZeTvqdMk jFy7rxJJ4g7T2PNJieJWKtyUjM/ZcW4ayYOLpocXRGXvLGHgbe/E6gBDKK3m4037gAfkZQ0uzKcE E7yeguN/qtKB9xSldopItd7xwnsMDHzWwdDDUQX2m7goEtOdkihwBVLRZPj7H70fgoozJVs/zlAn 3OaK0wLzKY4k9bda89HfeZzFkWlyn2XS2UScNkkCgNwEdAi9D2rVxtB9SrPVxY3vj/I1e1y9HXCT ejiI5tMXIUul8PacsaGmKmI3e9XLey5GrphpOYKgtnvCxgiC7K0rG29+0046uSyWb33LCQ1WFYph vxKLTB/DlBz6TwmmhcabjxZR7Fyts1t208NRrHZlNazNAP3/6V6ELlSTCtC1JGsiQRC1FlXo0foX YNjOkzB+NViFSNCpP7Sss18yiR8LZWIroyoSUXAmtK4fK6pZ/B0jqJZsFvv28caDw6R3z47Sw0HE lvPnKpZ/whOdhBXYMx2T+7LLA5T0ialodNqHhLvJ+qRGnENDKnljoADio5fWzqroNs1TvqlUo5O8 p9EPk+Wuw8Zz9peM7mJJjZAYajRQEnh63R3NXy64i8dzF6cLI7gqiTm2pdpS8DZOkowruXy62jNC 91VnJOXAlQq3eqk3CbNXr6goKkv0AJbgJudy7+q8FRk0qPX3bdKVA08q62cCqVg+OtGkbFv9uosD UyozS7Qp0e5RBetOSIyG5TuYxUEE06zae11XOaBeEEHoSbVkoyv+u0abENxpdidlPYFmmWVJJ44G uQSo68cdohZ/wwDPtyQaKYTVvD4c502n4W9qK3o4uHfac+/goPKxrM+hLZWght2lJVxHAAszNwzd /Xql5sCV6pq0F5Mm/pA8B3opw7UlyCKNp5N/Tcn57hprXISeVFW5n3LD9HAElVFZ42JXPRw0c9r6 3Dlc/1dKMweeVA4jh0YmNXN4ITpVG+R0cKBZGjDW9YtdqSQrmvr08VIMUDujuYp/yFkiTd52yofl UPAUCJa/YkQyAEJAMczGW57KJsZ0zlk9/A95UQUR4DgfvHTgSwXNQIiYYRACkN2mIgBBXVLeeGqb tlWOjamMbGM9naf22gc2y6rJjY7ZSpXDGSiFs+Db+lD5ir9i1B5mTmTPoNGLr7KK4vdb9nC0TL7B jWfPtmurkkNvKhL1Jv/ic5B+vMuae2QCzqx348Qw2Proi+wnIa4nZUgCiFNSyjI/J4Ilh7ON02yV VH/BiH6xlfTd0QKScxOqgFJ3qno4qDOmrzojgLreb2AS2FNRFKNtrYRPQJPkGIJuXJ3d1u34BfZU fIT2jUUnT89i8Y0mEXtm2dyYMpxfgsAsLrg/LTJz4FBlXtInFm939BJB9UrSyR31cFA2uaJfwoZS BX8O11Dl6lzzQ845IMhFhREaeLB4ACZNcR9sUiIIQbXjdU4fPZgCSl/WXCbbPFWzRlwGtod8yr0P urO/YiQ4IcYSsoLtsVg8p6pZcp5reejhCLX2XaHnaEFBW5Py8xKT9P8vsVP1dTlobSrRmYTdzTdO vb2tl5DkfAJIk4mCH0ecRjv/uH+TdDvzXMjykvK1ckaKJIJ7T5Pv4Sb9eQlJ9Hoy+yvoIduoWuMi HP6F87h+XsIRvdV21v+vguIGw0T/75n8aCbOoYFUhiL5bu0rzp9qtsvywb1lxmAquvShVR7Q6GsD PbmONis8R/zAyLay8gu68hcLLITVz0skos9WRb6g4WSjrQzUo6pFxie3eZ2LWyYhRlV7j05rtbl4 CUP0BBmDRN4Rm1jqxf6nRA2KiPo2sLUVwb+EIbYswG02nEZtvBhgUaKb4AJkjgQLjThQweyNylRb fIlB9HLFCN6l+Gj437aMog8y/eclArFJdPEDHaScazqBzs96iT9sUaDrWJIFpk3hlOlqeus3P1XF l+BDn6D7Qo7mNlpQfR7L6AbC0Dg7PVEhrXLtEuvk0VQwGcmX0EOfneV1KGC/RjtAwbsqOs27/LwE Hr7v+2cmzn0PMIGJeAk6fHeo9PKE6s4PN5dy8C/ZQ/WXgMP2BmiCJj/d9vjpYg2KzwYL9JxE5F/E NhuTFkpOSv2N3ajc5SXesGNCBXiBODQaUAIvTIXevayfl2jDHvU+m5FuFvUMXxMvsYaeoDleR7cV UE3WHXirl0G2rF65RF4CDU2FPHSaLIg13iUxTlSOyM7J+nEB4HxsALhZbY8MS0nmKxPMBPJr5SzY 5KMVD0+ibMXDMyc/L1GGfcXtbg5Ta560FrrNxUuUYccsxfm67NZY1vLeohlbZbVI49xEvKPZMNnr cUePztfJV/Yy5+nMH+w4qcL3tg/ptertgv1Bfjnp3tjoNck8VQo9a2r8vIQXdsi4PpvdpVz0wIE0 Fy/hhR2acL+aC72Vdsl7u5i334DpoC3yElrYkcnib7ZRLq8/Y/iYAYYI4piG2YEq1kX5jar7R/iN z36/PpSljFnu6G3MEynr6Nsr/7yEFc9rWfeSvTREwtJEvNTE7L4h3un5upFXIy8O6dxSHJvNSeEv BTHbk+qBmttCfjr+xFUIFOE0u05epT5NriJPMBTZ+lnXv0i6KZoLzrIGFddGi41Q8zXJf0Twgrno rgbya3D18wZdvGqY18FsiGUwvNFfZYKtXPYG4qW7qtdLJcx+ZtfdwDmo0VuXmGlOKX0s/EIsL5ED ABm4DEquNvPQ6wVH5xKuSwyjfrsNBbFnV4usPy9VML9DbE/LpUwnzg2xomgTtxOAx5aLyLxHbhBz Ger7xBXzYaGFFwnTMRRYjCewOKFJEXVTF+q515XMKcnBR1ACCp67NuP6RotjmATe8FG/SkVOwhZH 07PBTpn1s1OEiM2+ON54NH794F6HWRmNBgzJEfNatBeW8M0gWauBVaMJmVofEh+Q6IAfG1yrStPW uUqa4NhFPr6lGeH2ot+Xod+jQ5Sq5GQX22h360gzPbpNbzwaO0Vd9kHljXND/grhafi+6EahkVu2 w8nKcoXZ1KZTn2sb/SJCghlBkQ4hhjv6lYJANSJWXG0jYapOrDHwG01W8LE7xSrHUfiJoer/QQxt DG0VOxWg7+/x5zWy86wEjw6yUZuPKAKlmN6qE2ZaSyZFs6ab7OTcrpnwG4PG3pg6dqcea6MvEEoZ c+iGPaMEnE4sNrRJfnnES4JGUXyPQU2K6n97LnQrh9WvirqV0QEy782iQims3ewBxxuBxle7bCkd JmQ2MmCvu/e2MYS/6+M9FgUN3TQV2cd7op7gqUCoQeqOIlrWEWMSLEVB3+9jNf1GoPEDBITX5jzU aNFdkgGHEEBU0YMJuQyX38sVyXe7RMEozflBOtz/UxN3X1P2DsVIdD4Q4ioGvsejXudpW6nYfoQC FuCeKtTwiUzPlcDx2UgBVOZ5sDGyfXyjzthWlOgVSaeN1t8/qXTyyFTPvs9Gc3aLlXgqHql3dYTx aMNlLzvze1cLTLddOThD43ZrfdsoHvXFodQ8f5BCtbeVAF6fRCWBwVastqg9rd96qxkoueqz388O UoeiqqqNlrqelFhQzxOM7J831syT7Gk2dB3XLNV/m40oIp0iPFUHpKqlw6YxGAhdyn53ShSSajKa l3iuzP058hsVAIkVNe5GgveGhYqgslwt19Uv2/u9T4du2Yosro1eJkZtQBMz9GZBJOa+DYZ0HvTR vKjxxpXxxXHuWsGY6NWCc+cP2zy3ZbLKKab5iCJTy+aJbW30qga92pSoxM/z1rtI/B1ZL0qgHKPX M2TZ+71PB/EU+pDZR0vn9zBNhvM7rp83ooyFHvtrr6gF8xwdUWBKjXJKeEOWfuq1WSVpu8UOWkY2 H2Fsqm8euLSNvlnKRspAjkN9NbEbESwqMpwGAuJaVlYgjkJTzcdE6dNG70cOOztqR3Ulik0vOtLi N5RDvOIVhabmEe3A3CoRRiBCUr0ReFui7l2fEMyFahuLvrVGT+vTuUCL0BXr7JMkCRozHZKxiR8c Bst948fY0gWVO5rqPe3ykRoBma/A8fNGj/EbOn3lLMBNhh+jb+QYP2tgZyTLUMoeXu35+EEUpzK/ MWM8StJkpDt66p3AuhWpAS7+VJToQcUhDRk3f9vqL73xYiyMEjqZ6pmN9uFI87D667m9f95oMXYE uzbML0ceZaPi2ewbK8aWISVaKZZyiu6bwMHVMAxd7x6BvXFivD6l2CoVH/1LO+dcGVuIsZ6SGuCG YOYzVZy8ZQ6JibxRYjzkEHqfLrZGVcnnNO4HBL2fN0KMF9i9/KWFRIO2eUT6RoexnXJecypXNks/ V2nCdM1Y3VmEKU1IFJJCij23CItEo+2VfJLRwZGhVswaYiR2qkoWaPvqMBzDGxXGPhthxAk93Ubv oeyLCpzr540J82dKa8copdF7cEQBKVrrLekyQcWcjY6KeZZitZZH9ZT2jQjjJ4cKJur951unOjH5 TieYhUZ2AjypXRKApSY/qIcZJHDazxsPxr9gSj5ShhhXGaKgcuTKEK38vNFg7Lb7qnYUTQWSXjYd YXU0KV+x4k+rDr9dAsBJ4SxVr/68kWB8OhSP0ubW6EEHreQmO4pZKTWKnoOKrnTR+ycEa/Z+Qcwh pYxiGiJeKj5/r0oHh8S2/rxRYHzVprs6sgoe/e6V93jUjHWqwCL/qYDruiq4z1mtuCyfjPdw1A0l lPfZ6JOBYfqQTTxtx4QoEmB+2lTcdnt9OgjDXvD96MC9D6hC99Er58l4FOWEvD9v9Bf75T7xOeTc s+j3nY8wIsXVZY3k2Vtunr3JbFLZ20zel37jv9g3iFZkl7VO/9j6IYB6TgkVfCbrBIBLF4xfFrw3 XSnD3i+YDnVeh/j+46LC1gnHFEVVXOzeyC9etVxfzaXB5PlsRIXSBiAJ2THQ+13Gu0Lvo4hmzMvU Hd3yRn7xYqZ+z3RHT+4Bl54bQBH6aspZ6bsUChS/Ty3MAJVv3Jen+3VmkCNQo92zrVdzAh4sjveA NDsejNngb/RkHGmbjygipXDce3P7YAmgyHZ7VD88WtleLH0jv3huT2iIbJmNPh91py1uBldtgeWv s3QZxnM+zG1TAnzjvjxdzROBlTva3dJ7ub/T+Hnjvnhla362izrg3eOwN+qLLRCxcqrDwbQ/KZzO Njz0gPmv+XgjvnxVB2We2x7zXBqvC3/VJIU4ThBBiXqXEZUYDd6JbfZ+QSuWnEv+VTby4XslWx+Q w3/eaC9+yOdP/ma0NU9Y3lgvvqSQnXNJqj6riXef7bJdpeqcpi5h/sZ68YRFDUilLfvpNiHDtDlD CEJ2zQaIXue3kyYMZAt2C7fazxvj5WkZEehPHz2mAcjLL1RPcv9GeLFfLX3FHRDF/Zp947vYyji7 ZGUdHRt7qKSogyZJVQX5RNbbEU9vdBdHbhBwCFes0bcK6MZdjJM84FOrBCDb6fr7pb/U7f2ChIXY CZqZj5bLnkxwmrr91LP/OEjljiHnSJ+NKCSFJNisVkzTsloguh7Jh0wsrXv2jeXi+2S8NN8AFSw8 DimCnbfelrBMIr0hJo7herQEf95YLh6gA9XfgurvC9WfeKfoWjn75eeN5OKZ/XenHm0PL/u8kVxs 7qkvqXehXr2bTPeanJr9GJC/MVzsG1BgrqzFSFW2SxpyILJ9mujxN+tjc8nIcuTOheqjb/QWnwva pEV89XKxgScbNL2r85L1543d4gHp16FBK/L2ZN+4LX6hkzZUp5dKNIwT9GnWj1ssfiO2eLKprE3J /XyAC2fvnERCyNTzDwBJ5G600Pk/UpZbPl/T3i/oJoAILBLaKU/oT+y/3PVg/LzxWux79h6ZUllk atry+OuN1+JpeeW0aCr1rOy8BVjlVX86EXF2F5k3Xot+7FAaK4EZjd5dOaEump7sFLQPZQhZUEjJ Jyf9JbF6BIi7vWJU9VG4QSCm0UodjQhEl+0sP2+sFr+e2+fkAIKX7vqIAtJKX1wkNckYiEBI0375 XiHXtL0Sl0h1darZZHrJUzJ2eEKg+5jXuUu7qJkFYCoJSJaNjPsqp2kvGLTfANnOpFJYuiYkEjtc NjHr543S4hfmPTp0i+WvsyMMSYduPsO0VLNsQwdJ/6YKe+e3SlgipRHZqa7a6Ndgw/lyiGl7joiB 3vMJ0BRgjt/8J2T0jcliZ4dwxcKj9fxQ33El1tlxwv6fNyKLdyLm5+xgcT+3ShSOzi3lJ8cxiC0j MH5q3rlfnti/kVjsfbPijFJ8vK1ZsD4Ir2tOtvTUKjIJA6VBAuZvwsYbheXTTVBGY6OiLxlyacrX +HkjsPiLtc+lAv67TZ+NN/aKHR1ICqPNiezyxACoykCmC2xO/qiGmjBgcYlUcEBdoqvcQgcSKDtV RRyzdnXoa5ESAnoAoAHv8lB75Y3AYpsZCeLO18ZoArJnT9ct67Z+TqefN/6K77P+ac0S6DTPVt7Y K0/r/rz02RT/8W1V9/WbymDNlJ3kbLFb3vgr9kUIBSYDu/YY2J0M90S3HdZHXufHzNlNPVTosl8s nx9GXLVX/Mt+mVjg2mjFn4zoluKOk1i+sVc8zZ5fS2RLP1bzEYakAGjFJiE8zxJwkFXTyE7BRs9G d8sbecXDWo6fpvC8PeH5SWfbuVlgea2T8RQ6FNB5wEfQxEhPN9IS2jf6yvMlnyRn3tHWOsJeho47 Ccsbe8Wj0ltGF3hMghDecXqjr9iZc24FFGysHVmTlY6XccCE1JElxDlC3sgrX10FUIY2elehQtWQ d9MJT1MBXQzdZ0p2C1nMp1aa7QWDy5apKrpdynO7rHM6aEKg6/+8cVee2pZNiL5NfMiTF0vfuCt+ QZ+FtLxVP1ApUApXZXhs3Xt6gtoyYbV0KG1R/Sc91sqbsnMXuByCE1qEQhbD1a/owXSbj13t/f6C La5kbDYmDzkMzcBP+XnjrXjd+WvDyIgh3Vr6G2/Fb2i69qTdtO+7UrYtiboiZwicKDwee+OteFVS wXqqPnqGT299KACZC9ycriLqHkUB/SMa6+8XzQfte8hGNvpn7+qK9HX9vLFWPAVMH/APnZ52W5Jv pBUvkZywfo8bhA3jX2/pnQn7U6903xtpxfeLYC6EAT3fFvuZWfoKSarCWCrLWaTpgge68DHHkGfb G2PFQ1+DWjcfPTadWbHpmSK9WHTBPOAw/kHC6p2nN8KKPQIw4zr41bwc9GI2Oxm8rYsFvbFV7IX5 DbuATRq9ekxPclcpWmyyfQruHVaxQX//PDuiWinVW1gq28f72dU86HpfP29Ulf4n0OWyRdPdKlFk SvPctfwRIylWHNym9EgqSLvLTo4QV1qE6BnFR++znFQFCnGV+kNdgJ4J5a3bkT6y5MNeL7hqJV4P usxGBWOgz9RJOsHTT4siU4lda2W43ElJd6OEoSnmrZK1lmJ9s5VBvdhFys8fvDLYokqpZuMcmMVH 29s1UQ/cW7IPxZqzyOhK6fXr3LDAI2zdb3E0avHRZhocuqKpc9PybNChLfei1T8p+jW/V/rfgtNa 3b7u40Ol/IOdwkVsmPz30NSud9sDNvo9m+s+uQ9f0JJF2VZ8QtOJ+uXKn6TW3y/qwslNi/nQaGn+ +UyrDabaeDYCUd6ih/oYSPFMPzl6FJuKyr1d3bNIO5IS0NwmMzat7NH1CUEaBz2mGcxpXIzcbIAv lgRU0Rrby7CnUiwC4/GxEm72ekGgTgG9ibqrUZsFxTsrDtbBoxFf4/YVfr1m3O5khIEpzXSzU+YP 06ofc651saXVlYN7VCq16qCiCY2euEDPqEki5GjlDpkQEf8vUb2fHm1DAo9Pj0oeJHIzbR8toknD eDzn0t48G1Ca5r6wMLGWy0XY9pDTNNHV4AXJZvmmxKnulLeheRVkWizm6FFUqv5Jl5FFz7crOeGj ocWnOD2daFCy3MD90XRtpH1ueyE1yx5FpUWnB2xvG223wCPcdpjq2eAwTU8elwFt3pZkj5hNg/Qy 35ytSmZOfGqKR+Osjw3Femh5xA18tdf29tHDJDS8icoJwXZaTdAfGGNDGPZns9wXjHpOAI43seGg LmXB02rSBQcXWXg2mI2ZPrtFtlP3JI0j0kYJwnorTUrPlArHdphHxofAFkfIb5rp/6Ys4xxaeNsi BSRQmEyWZO2FHjgS7F4/lqo6nx5ctFWNd5otGq0gdh4yCHUum2eDkPSCf9RmaTKnsekIC6azW5LP zqjSFWbXyAX97JpNWiF0bY/xpDpIBRwcNyCd0GK2qT1uxPVNvhLLLnSXflUocV6PALY9KpeKwpiR AbfRMrgTK+pHARPg2Qj8U26Ezi0xxSiy+XgPSU0Ld3SZ1IlMnc0qp9BaVsIySfFkONWjoHQL1lNG 8tGDAzwOp6p0E4C+PFx09cBsyp+b1vhvUb0UCN+5Erh62lXuPrslWXsS7V2eDTLaejNabkXEnvrw AnKPQKWUqBvKrvg4NOnVUBvcoDBkXkfcP7VCoha+rZDNddG20XlVCVsgDyQ7mzKqAVz+UnWWN2Cf 9zRVjZqPj9ByTUzZ6qNBPJI5VcqcnGejFXJnhJxW3X+fjzAyhWutEO4/BW5+u5xvIBuNuilz14RE ZVO0850yrtGrQCclX/JvQT0Q/0tViXoVAOhjlZO7vV/EV5DRsVpkjyfo+QbWNH3TtHj2X+vjnqrZ i4QjCkyNZ+NeuVV2jfDr5ZhnHXwiUrbLiIqmk+XRhaHV6JFpRxaYAwXvo3OcqsPflEBvZ846BrvY C0YlMVia8lQqT4n6LIpuseVJBng2iEzHc9cmc4Kvvl1GFJh2+bK3JreTDurVZNepBjf+1HQBaULi mqm4oOWOvjxOTn9CDsqwqNUOgAy4gXB2cMEoCHT1En/Fv7BGpbxqo10wC6FGna1t8GxQNF1fNSBy 6cu0HyG6NNPIJ7wDZawiOBUxSZtjmVpw4bSy+oiqppr6npSpJav+SxV5YRwkXeJ5QidrRtALbdod t/907vrMZwd4uWanx/DR7pe9h1kVnMCOZyPKwtdswK2u++6XKDhtMr7MpsLQ9O2p0jHTNbagcajl EcWmy7A/AjeY9Y3Zf55bS16xGeNp1achHOdfk1L6sowtPyNu5JPBwdez0WseZHLaLXPybHR6ePBh f3XAnvfZiGJTssoiDyPSOBUh1cnPTilGElJp3IgiU2qLFMKSj95fOH8TpTMdpb03+cA08EgkN/3p twylcSOqlkonqg2Jzo8rGAbXyaWPsp79RzlM1WNxfbtnLiOMTQW4cGBDHdtkGaaMIKVpUxwdNqLQ dA1TIag+3psF97WCegvQl2LwIFBMckb5WhzDXi/YKludOEpAGvXhidNO3/hJhHg2Oji+sJTyL2iX eh9iS6c01a0GtErzAH2MZh3Lwlv4fEToUqwzztc+uo+ex42T51ehA06Q2oZsCbSXxGP4uATRVufD I+YoIYfKnBqtWjpErNPR0X5GGJqu9Dk6+KFXvmVExVKZMPTknXzDhKmTb87sAzyG7ZUoLlXeoiOB MfkxekLSjjC0gKXntiTPADo4rYDbPrfK5ByNqqXdmnCUwOcDtiK/2pa2pMaz/w9iHXyntx42wqiU s11qZFJVd81B501Cwb9dlvH3oHTYL3tz8HES93OMSuFiwvARE6pysxDHgLZ/YMfd3u8vuj69qZHf btRxEmYhtn5B3vFskNOWi7N1idtycZQjDko5L4qpglkISQUouQTjruJNnpB0hMVSlX6KDobyaUz2 kxc3xC4IwjDqkxMMVzetuPF4Wspbjk8PCkBVJwcpfr7CQXupAqEIvfBoMBvjK8MH1nDLYTOslQJS mhRkqATKkRz2G2YkitVJoZdFHDOKSZcqP0U9uHJ7cIA80pmOfHtOXdBBup121TyWUdL3mWEbX6Kc EjkdTymWJv4yiH6dPBtMR/vaKnybV5NgRhGpHIvIJdZ/qsa03y2htOX9fHxXt2VwMyyWCvNS1IIr TwvuJG9lbPkuL0q9TPwJ0RfWoTKr/4Rgzd4wSuA4RxWZaLyAKxlrEKIPnv1Xk/bX1EAf7acZRaRw Wfpt2nfhxeXHXq8mmEwuJQc9Y8KTIB4qAa1PCSihFjcFIOxz9S6BObYJwg37zybcDKulOjsULWhM FmtsU2wvferZ6CS9uBcBFgu/oJc8ZhSSEue1olo6TfzpTfx1UzihKXR6zL+WS58M/5I4EMQF/6Om JGwno1FyRQgc/eh3dHu9qIW/1FCZPlqADhdOLfyTIfFsUA+75UH97hOlurs6opAURM5YxUi0Y3uA DrbDZdLW6G44N6OgdGh1TMVi8+nDnfhiQiITCHsAtiSPRQqiKQJ9zBqbvV+wWShPNjm0t1uZLgnR da33c9LybNB5at+9BQANt2M9w5AU1eFduzzDBux51cMqSsSD1bFowTZNR9TAl8B+l11y/9glT1bH Cb2mIUyTVBwaCEXs2/qX7WuzFwwO06Ee2lo+aj7O5xXzEMOHYYZ8p/GVv9VPLX1G/fvR5L1neJee soUd0vM2+n0S/Z6tEpVLjalA+GajFwfrXMOywJPKnmViOuJnhcBKh3P2VEv9BaOjFD8Kabxo9D4c eib6U108+w+EWDFyoOkq24SEfCcqU9kD8prn9g6+5zBL/qhE6DMUhRLrD5kmG20+NkTBZnZq5z4s W906ioVki0QpV1e/2OtFlAX50aXho2Us5ygy94XBTRu28C8ZTlURkdZv5BG18IGjtZZVH0bVlaAL VYcTHHS18AVS0XREYSnBBTbZw0cH/2BVuVKSOBXgJbE8+I1UBXoM9wQz4sMDQCVXbEEI0karhWFJ bf5YufFsMB11fZ0dzgyw6QjpThNviO2kHlWypDM5m929tIWnb5eQgG9qFSv76DfLPmtjiFw4W0Oj QWcHzogQ8D6F42Hv9xfqKMxkH22uW58mKXAyI56N4rD9mQ72KWrlmo4VxaXSv33UKqqS+mEIbGPg L6+UrqiHL83b/6NG0OA34T2qaxbMvgqVS0j4XxE0jWQtz9sVFkppAqGz5aMlcKXWbNjBpmcjstNX U5LjoD8aamGhlHN7O4EFUIadqZLJUAd/OjB9hUFpV9EnZR/9WuEKFKmDNtwJUAX4nkOIyt/n4Bjs LT47Su2J6bmBbPSlcRJBA0LlzLMR9uebBwe07FaNV6hHSvdsKQRD4D+7felVlDsh9vTKzwo7+NwP rfroTZZR8RylccbaOAkQOKaqqq9ZSu9vdb3Mp0elH3XhVABiVALHTKsYW1CUi0PS8blkqS1Vz1hW yHYigZPU7n/nADFMx5ZXnZM4zt4fdm6sEFba2wsyvW9EbhQkTwwHe776DBSV5tdsVHvBoEpK7D4k 3DEe4Y6RZrGD41xKPBuBob6Q6dCd+l0coTSpQOWSPf5PFnD+p0Gi0CViDCyya3nEjCex4Or00V4Z jMsJglTu2GMN5G1qO8fyr6s8fZxc/RWD4g/h6pDL0XhcjhDC1YVeV8o8G4Ud3qSVRhaHmFeNVxST EoC1fCXDiqIwEpZsKCDYja7JuUIK/jCcU/bRyx1LCoNCHZ9gfWXzRyZElT7+/oRhatKuqIdPj5h6 fPLRywezVLOr6INnA8DL+m5JLvmV2nyEqNIkSKMXwwx9DCOpJCfhDweHrTAoVew9pGkyrlzFyWLO 3VolDDPBXsN5rDqm1C7ZHzDUsNcLJoOeahYNPMusXmfpXMbWPRdv49noYvmaDIie3fO3FRKezmYf k6vh/OrgpE3auQ55/JKwCG2n1RFSnqRIqXtUo98s/dxqNfEW+GP0oXoxBzza1e3xgma69eF/CdHh aPvoIfp2fb1ze/JscJbu9rla+E3nbdCumPBEQtWNSNuFHuIwzYReFMiyLG0FeFlxrVSHqZQadn9I HOtMZTU9ObjnU8uHXw8a4SPoi9icvWCAOd5q3MPc0ujhU65i1Z7zpPNs1KCdn7iDc+NmcCuKSWnT dzUvJO8s1Zup9r15pp19XlzeeMUxqY4Na88/kgRzdDy1AQSsE6JX8YbwmAAu8CtCnJ0dysv49Kjp xKmBRLSNBmdY2agAYPV5Nlofj5St7ZV20XI7ikoFD5vL5VtNBgjZm5pvAz+7hMeOiqUjWad6+mjz kRNN2UzAQmVwqUYEWg5637Z2+iXhF3vDIGuhZnMytu6jXy7dxK8l0LlDmfzbk8zXQv1umP0emJrE Xjfmxkmv1CEUC7/L+Bh3X606KdqGLHzlI2BkbPTy/2rgzLIgDZBkluCDZzmAqZNwvXFqpRvCpwcl j6o0jsChzNuWpL9lYlnnvuLZSCxrfQWnMse8KySEl56TexVJx6EXsIwhh2BNcyq+6g2cqDuMTtVh Q1nZRl8iFXadIn3pUUrxuEk+8GyV9snyeYIPD+aDdjW2UD7aAkndBOxIdXk2krz54uJTeL0SjDvk PJ138qCDFpmItMu0CgxASJhgsxF38E3se/roBwh9rCEFkBORYf5HrI46hrD36zlQh0hgO4pOVaQ7 ayH5aFl+31M0LjqePBth08vnvlXMs+7yCPGl9HbmWN7Dd+/JXrP9G9P/0vkRoktNrERf/ri7ZYA/ aJMLjh4+vAu7Xqbg8o/+j3zr+ewoVN/SzM8+Wla7ijnCnnN68Ow/2PgG5KIyeRuTO4pNK2fzSKbq y/I2PFTpdq7ufj3QdtjCF/F8S/95P6oVZ51Ro2eDTejRTfnNEIhnIJblscf2twtiD7QIi0rIGu3k KFW0Crng8GykhuSAhu0d6xuX7qiBzze2JCpCA988sGWUuU1vr5T2nKShPJQSWmNtt/HQnc5EZGIR AdNPCKl6mLTMkCx/tAk4xfnsv5C/poxTNNpsjJZ1p6OJyrNR1pLuuQG8Wixvm40wMJUe++7OxC9P /745E/+qxu+wf2/cdd0tqz+3SsHljTCPpOXk+zIq5eKTRiE1Gw/TFYftqIE/ikVb20c7NpZZK0li iGcjsdL5WRtbpgQ+G2GpVKnXLf/UYYtkwD9RMexB/uwwKF1qwfXqo2e0ZZ0jCHlBoC6rWotOa7eu T5OlS45yh2Snqa9o3NHKP3VmAyScFcKzQf72rQnODVsu0mVHQamERvq0iKsqHCIGS3M6Eb/fECxq 34MZAAtdffQEDmmKuuRhfMKNZsVUPCUExXg8Joq9XBR+Cc0l8cXRriRUqXKNUWbIs1H6Vr5uVxJA L3bkFMWj9HCmuRON34kAflPxB/H9ru59vgoet0L/Fn/pW9cKmTeGPpfI+dXBksrx90yIdAkEIlIx m431QcnZ57/PCSRkeObUSjPTaw21UbKsAXrLU09HPetbEWN2kLja65mXUByKLi04yv0fCkrnaB0m w7jPv5GQPq4I5izw97BU/UuNN6+drGwy87P9TgxWJdlzctousOKHPFqzv2LQqZ06SXPyUV/xOUK2 TpM2sHBKUWC6XUJAPqwQEz96xynU0hcjvxoRv8t2Tp18FfMFTudYNPfEFIJLm6i01me4lOhBe/Jc t2rI0SCpRqrkVKW9n/7UU+PTo+41+B3IWTba7qnTyMZnW249HAQgH6GoM3/nh47LIs0pKp4OmLet W5ehmUuLpKL67eZfdSQ+I6isF7WfBK0szzrZeD32YgqEqIUJ7iHsXPZo3aZk3TcMioXK5Lo4+f1i THORH5eCs6aHI8JP+4pCFlSVq5meogiVPu2QwNdZHWOrGkYWyt0oh7ylV7JlEhVQhVJG6tlHb8yl 2ioxg3zLu0R8OGLIA7itqS9bZIY4t71kUGEngKhdDcu+rnT66FsLpZ7toYeDsP22HCQFAJf1UZJP YRW1UyijOkT00UX42S5iMdk8SFHcEyWso1rjTV5W/YMXPu/dhhUO1/kR1MxOzi8FkY7GXb8xiVRJ 9fkRVEoM27Z8tCMF7ogKqWfh6eH3WZEomiX/uouBFcxrWJLCaiplEwlhUV1envXvnYb3+HG2MZu8 FEWt07wmntGn5cTcCOYNZTTncCFKPX9B/p18X49Kowyh9fl/0VsbeQ4fLRLctdoWWnnp4ajA/EXU p4wOeM0nJWTqczxayZ0+v5+vozgjeZWUr61NSNU3dWhx5+Z1BTtLBdCEyNyIAK+xTEr9JL10WMuD xV2+f2JeFKkQIBLG5VXmIoUIqsyl6eH/hx4VcJH0bJ8wgN2i61eJIDeDXCJ9IvbgIuGuz9UT8fWL UCBjLh89TMHeqYGhpUlVOiy2882er/ZcSL86BJxlas4lKZY3VeGMu0ej5b57+OVxsms9HGye9iU1 Rqq3952SKIwFLDVXz07a79bgRrXSLqGS5vWC4kOiZZLe8CAFHz1VilY616L5CUKNhm3eHxwqsFR7 xaA2osBtpuyjFcxmXpr9OhS3hZr7F9KvTlXBeM+LiTl0hIKEbS10WVS4NdT5Mt0rbM+7cQJDqCzQ EQoG28cLgTiLtplYYavSWleiY2qe8o13vpjaETkwhOKUlIA2X7FG71Wdz9PSPx+gh6NkJ32dJVRk 8o1QQksoyXqt5QWj2/Xu5hdP55+wXgFKYAllQtWgNO9o78xn9SzLsLnH2ZJaJNRzQernL2nP6S8Y VOCLOjTkKhqtLnBySDMiKX3o4Ui0sHwCFA7hh3OaY08oXnQ1u3LquiKOy0touEbOOyWh2GlRa1cs uqe0c8LLttC56LKpxS+6X5UcKQw/GLviL/iXnkSWX4VGC9nOPlQP/6RQRQ9HJefyBCdZBUXxs2xK oiorwgvTpD1pRg8XQenD274n05r17pwojp1SUsq00zT6MtkJl2cj0lFF0s4B41ikFnGrrCP7G0b7 huxPxOTxEJPLWf9WWTyLTA9Hd/DXhSP20nWizKEzFBriKFaZLzrgaCi53JoiG2bJEVhiHDhDJUma n1OkVR+9aHKuGzAtU5fwmSpF/tLmXqam7czT6i8YNHpFxBX1QaNXTZq+M2ApVQ8HrbwblZgHOKXv Z99E4qccV60MO0qFt5MWv/HIpAbZn6MkjGAlb7kkWLCuR89MabcT02wLq1JJpgbKmT/ULvygdbu/ YnSWiI6rkny6apEoa2zTzZG7XKzH/1WNl23GY7cXkqaUrhcHZE73ppzdAZm7t2fXhGCAZKXl/Hu/ Ou2aupPEUQT5RT1MWi0EaGf/rPohTc3qbxiJgQjJLffAfU9XMJmmi53xlwtMor5OVxnMCTr6TElI 6Z+kf7wcGmTy2jZEQOsXEXBRuxe69VaH1vFK1a/bzaiNkxKUc1UJFl73XdbXGxG4JMnah2iY/R3/ euXA6tfoRYiWTaFpJns4gjF/9bDkUJWeOltgFuVi48jJwU9WR1wC21nAKfHYKdsI554Duygzxzvb xqywx31tTCRXBWJz4ghVHpOjMyW38TEx7f6G73Oib2XJS36Z6X0So8wkFWAl6OFIGjZ/AhN5wz6n SazOf2ZECB1xUKuLrtNq+L3q/NdP7C+GUdo8IgCY36pFJgsZLgjOS5I6JhxzLnpMA7SOPVibw98x aFkIeWaHSn4E2s72MbHs8x89HIT0txkuVX0rPOZbZCtRBNsN9XTRRT05NrHMByDQrl9lVI8leeaK bT4++fBeVbqT51CY56QV0C01iTw+eBoZCunDg2R4KSij7XDGocyvnCXTJYlzso+shyPxqfQ5UEiE xxPAhu5RMB56F6EfP4dheyiDpVMxBcGb5FsnNJAa3fiH20ebkrTORGyts3MPn4Cc87Xii7KnoOwf QF71d/wLgFWJx0Xw6nfZpuTXcpl6OGIgfrP8Kfzf4CQ2kdroZ+yqUERY72o0f7FDqsQX6p2TMIbV QZKVD+cnH17UG/aUYltP57bh5sV7kpU/rJKklVJEANfnRzUCStO8nI2alBOepu3MqqaHozD2D0k/ ZLrSU00KzKRc8TF7w7NJax60QJ3bsYqlOxotB2ZS19OhCzTwWBijVr9PLiy8EZ00FkiVkUsmEVeD 2A6VVaq/Y9AVFhZtSnFoCm7NBpJ9mTYQGWDoKFW/OTT0hLGs8UmJBfyp6nlFGgmsS/vf1gp9vOhy YCnljmNSH6yuQWj38Wwls1wx+1nbbm0VYGSMYJsHAqi/X9Qmp8lXxP5/PpyLx5gfDYvTwFQqSezs wWDZbXyNYXLsKsWVKFsGyfhPU247+3B72PbYRoeuUks3z9rJxwsqwSl56C5evcHiBUVxjkyYXRyL 1iq/h2wcx7ImkpBHqXqYfOI/c4AFYa6Hg8JJ+SL/gz3Zz3kSxbEYOdOKMmivma0vWXOaIS5mvM+1 E0pTdSOPJB9vw/xEapIpQ6odQo3ahOqvDV07Fp7k7W8Y9Ms5W2etyUcr2C8396wnStbDURzr2U5z MMXnJIlKsJz4RfrP3/z/zlo1/ID6Gt0+ImqZqwTb7uh3zj4Rct5jW71gDLVN08k3FMSWj1r78hcM JqQKOiAdt4dd1M49Z85jk/ZfaC71IDk5Myg1foy0Q8FUAwT5nmnF1WPnbFcDYN4FEmr5myhmu6Mv EHrGCH3R6MKC0hA5Q8TN36cdOqxJHLpLkSEWOWWWj1/mAPEm0sTGDDewlzJRpA9wUZvvFulDe6kk WSkXzKiGXETPf1lIu0t9DpG/0q3OF31HD9TGlniB6FYnp+TOqpX8YFjZ8Jf7jJumdn/DCOsrLAE7 J9+g58xDMXRqWVvP/r/g4svXCgntpWRXol9DRBK5AXN/69KUpL9YSLp9Y4OpJSkA3STlKSJlvFCM SbLSuTZxTwCv1XXpfuzYJKqbQ4MpTdXI/Y5WRBLSy8oDWw9Hsasnw1wJSKDMu0RCiylu3kbpqyI/ 1JI1QDcCsEr6ShLXW27rUeRKcI6ASPfxNnJIlJC5ohOaaJORnIMR1Umbn5tG4gg5sJki2uFcpZlv 4z1G7E5DGk0PR5C9r65FQb/wzkiIbpWLcV6uBiBE0nbNVFP15zv0NRKTrwwLnny0KTlJK5bLOkca bF9tm0SKYgWhT0XaovnQbQpMUemgD2zUgj/nqoQVfk/wN/RwJL3zlAcED/rt17gvx4ZTqGiZ4RQg cteWXWu5ULm1vG2dRGHrkDlu16Q89MpBa2uda1xsRbQFCFgqGspQjtpdJaqP6cODEI1GeRH2tDx5 dq7VnFLQaNLD0cb5cjI8K/YJR2LLqTYBpxVHqU3XjKjDW1snM6m3sBa4TplR8f/1oce/8JxtRGjr rO5k5to0SYgkwQr5/QuhwN7xL4U1ob1stBBt5HLFP4ce/iefVZoz+GP6nEQxK/njUMqma5f8m51j Xp6aEyni2s6JtQHMj+cPH+Uxzj7GGcDsHeu5elTpqxJzMoGm+lWRDtynkpY+wJLio+/LvfWTaspD D0fV1/pBJEkn84bxof0Ut0BPyYquZwl3HSb7dnQgPiQv0Qf2UwDg6otawmjcVWJAnmkamOpI9whG AzDl9pyv3UqNof9UtjBNftRzPV3hPuttterhKJL383WYyePJMz+zEhZgWU+3sFazC4yM3Jz4rNDG lkkcuUqAuG0fPd0DIHOyaw5YItckEVrWJdAKmhxX6H/7CwY7hxaoOMg2WkpzfleDNp98QQ9Hkdpt 5WgHlanU26ckrr6iFCBpauJ/uuGkeUk0X2nnUJiz4yQKXs3TcrkSzY0vT7wDwx747KLArsy9ys1P ikP140U1i79jhNPaqqh1H33zlCIQ6Ekkqx4OorWc/9w8T1UgtKOS73aVV0g7f5DtEiwmHBDGf5oD iTpRpw8dqcxOpotL0B8uAVSTk0RKxyqh7UiuXEgPM0EUiJjnRMn+ktFNLEevdEdbKkhkaak0f7ng Jh7fPS6SncsMz6EtlfAQpvwP0Fz8rDMfCiy5knd3w7IcuFLBp9ZZMoePt6x2ctRdjCgP4cQbyPr/ f9OfHl058KRKUgQ9SyEnH+336MW63efNqx6OxL2+bh0qG1e+O4euVOiJFkNlFey9q+sGSPktG2LY 7uHQk2pJmdkZ0Y+DG5DXTuOPehrYXtEdpWMJg6B+rCFq8TcMkHxLsv+EsRq9W8Fe5Hc6n6mHg0vn Yky6iZ8LteZTEoWwUG2qmcmwjhW1TRj0XmNMvTxrJIpgh0kSrzs+sclCaUIcnITGtGA5BPndrEP6 V9citKUSdkiXl40+I21vLzVWPfwvSavzXybkQasFtlRk3oAHsmuZI6hpDgBdpALmc20XHsmxMZWy ftk8avS4sqLZ1sTDQbFti8RF3VM4q/wpDix/xYhhkGVTP3z0PlExMxGQtno40mLZn8QP/k9/4J6B M5VZyCLO/zv/4zSALiI3NzAK/CtAmt10q3NsTTXNZnv46FCks7jPTjQ2ziRM4RqmJAA8tK0Pja/4 K0YBrPp06462TMq6vSlQjaE71RPAiugJbeBztoZV13PYNYtHEBIQwhNZQyfB5pzmTf0CdyrSVVXT VFadH8u/Nk4KL6TlPCfJkqNbk69JM5eZZquk+gsG3AvuoT7ECB5enzr3b6kOCtbhGgkJPMa6gqt1 aOC3YhIYVFEQo8PkzYmq8FDwgdm9Dl3WPV1DxpYsZOQHqtGjSnBDgGp0uo4sAJXwcFkmpjz0bZGZ A4+qbDew+l822irh4Ha1wK2HI5TJl8GuwrQbvYYmVWhXqp5JgWRQUhJmvKLH2oQen+L0aVIi+EAV M0cYqZ4fUh9Wm7WAYmR2TgyhqaM6Rbw2Hvdlmmv2ilG/gsKAyO8aLfPDj0NT0sn8Aquqr1K0+lqc ru26Dv+8BiXZNPnqns5y3O6msaqDs3La13HmNSbJgExUV+aM1WjnXyf/FRvxzGo6+5Cwf6SzSij2 Cuk9Tb6H6+fnNSbJLuxUJVesUWVGwBqGudnr5zUgyWBFdPQxD+oHTxwff43jEc3FRO9ymLZoB7eW VSGRxZT44vuBlwSTIQLsMLLA40J3poVyBt1OlIy23HHPUYIOrZpfFwYMYZTXe5uLc7HLQqiJh9Yu lwPItRZ87lTlXyeDuKLbZOhIVZt12ly8RiLZgtWl6hxdm1teRAvAyVt8H+jg8xHBwiA4G/Io0+jX bqcYaBpXwPfgEtQhXtz4du1SbfE1DMnWYzjhPuU8jfpoPMzUGjqhavt5DUKYRSOoZin2/FLtq82m 4jUGYVnAnWpXVVOZJFKByeGuGSckWxWvAQiTqdYLAYGNNhNztkF8WtVsqlugiROhJUkY5Ueu2WQk X8OPbFDmMmWKq1Gfje66JfF5l5/X4CM/8Vi2mL0KXCMfvWgiKHms7G7tu08P2J0xPk3aCpO/aHdA aCXX99HD9Vn2nKpSr74zIR27AyNXsVHqI3umBOY15uCo0N2qIPsjTLBbkzfgL2vj5zXi4FErB5Pz gx45i6Jvn4vXgIMdBUAC7LquV5uL/iurIMPSSN5X5jLBZEg0dEi0Q6NNxj7n1p5y3l5SAZRBKjci fhGPFEtJ5i0TzAVcWcgT1UerqZ6TeJoCXC4/r7EGX7JVKWxRYLLY5Np8fthrpMFJCzmc6qYavAbw lT/j9AZvhfdgGt7RXEhzZ+nwXNfI4nwAUs9EVuT6gACoA3XlN1ZgLp/Q6zXIYH/IEVRZgsYb1a1h 1PA1fl5DDE6Ze4VodSBXwLQyFa8RxnmiyxH+gUMk3yFG2TsprRpvkquOzsxu98fy0XfI5gAtRXIj yL7LD3FO2fj8UgH5SL/x2e/3xxSod4vUufM9Kajha8OfG/rnNbLw1/JFQZPiVyceE/FaFcsmGFnH ZRl1t9GdKTsKQrw6KRUFRyaRw4miZBSyHzDvyUlAPoAC2Sd6HHhMQx3Dx54rrtSnUgh8lo9/nwup SXXkPG204k+a1u0+czF+XuthOsSqrwqtDlQKpGsM8zqYDbyIJP9h9uy7O/AuXVZAHs4deS2G8UM5 CUfWkZFvQnXOzZM4FHmrnux+VVUIG223TBZO0PgoMw+9X3B0qj64hBFYF12B7/Qw68JZf14LYfkR /CfrJA5PJgpoTNootCiwWF1DYtXlaisnILccpZQPtDkKOtXKHeLGaPR9UkgaaDHgGlVQwSOlV1RO ugl8xBPY5q8YbZUsC7vto36Vc0NXQ4ehoPlOpGFpza+9IkzsE3dGgSf3SEMoByJ4o2iHGs9CbmuJ EY7MUjW46l8Dz6JgqzzBFrZzVPA48+FdmdpsYXrZgWb5ZOj3ZRDe6BQtYhDQ1tTo3+0ySQUpN73z aLLVjJ4bZRb5Z/qERNEnUJhOTi9gjPqw8l6yfB68+FwXwBuFn3Zb4KJto4efoDEnEtsoB3i3vyPd I2W1/MQa2V/wfT605YlcfLT5SPLVFIYVhEwUbRhARltGxSe5UdiERDFoJZlr3esb3Z2EJTRr4MM2 Lh8vCkKlIXImcPh4a6UoStMNxgjzHIBLdyyAcVLY/RQGjaH4HoVaZIcF7/RRH55OoubCTUI/REfI KF/JCZzd7MHXO4FGq50GQ/Ogq1bXI25SqfxP1Wd+I8vko/kY0tqR38b+1I65Z6tgNShl0YPiKh7A g1n8TM7v4zj9TqDhAJFMAEi18oVXO8e1y9mBO4yiUe/DW9hBKyB7gvLOnvFfZaCcIm92SjVGAKf+ oIogICjvt7yHo9SLWB7DtMIfANVZzxtzZXErFqV0/laVEWmWCEv+OD++U2fYioAeNpvQRrtf5jKx IrC/P+/MmUxl7wnCZCH6u5anru/MGV1n59vKV75K8GMpA0rhTxUf+tPWvI0iUjtPSdFtvKkKoTq2 dWe35FJE6Bxknd10WKbfLtXe7/3waNLZKmJXlcuuOjG5wevaBE4WxaRe95e+atVlS6Bj8xFFpVOS bekGY6U4vK4ZG2KjTmO10SgslesW4hk++lm60O4mYDiLI+8JE/R8PLw26SQ+0YcCwZ93ygxLD0ZH 6zJC6Neit56jw/Sq1+g/74yZbO3k57ZlMokMbDreY1Oa2Od+XeJhimRjf0BExtUk8rxVwCg6tW3C oWyjFwH7SdWnRGdhrSZpmXeMqLCJlEbgtQ1Z9oLv80FMhbDI8tHWB1QEM0pFQCKKTtctbwgIrygw 34AsCk9p5NBMNQO7kt0oYyCEZ+A6vbeOjzBA1Qrp+Y43g8MAgYXGEZThVWklcjhVBe2PpJXViqMI laZg2TALbdTHrzmqrghShJ93sozO0/I5QTA79cv2nSfDZTvsDtTuOL+6CZrPfJMX4753fUIUnuoY BVk+xiX3oGNYa0I/NEMxg3qn0pcZYOZ7ehg6950ko3Sbgg+pno1WNceaQGzV8639vHNkdE3f3cI/ oXzNOxlRbGriJ8uQuFW4d4BT2adnJeCWmoz30NSb1kPC6Bq9Jpo7hTNC0ZNmrPNNKuErvoPHzeO2 Wk3v7JhbIB4JtLvGm9RmsTJx9P55J8foaqmfwFS1Es9q37kx2YwRW3aPkFam4XJXu+ruJ9z3rso7 M4YXVojeFVb0T/9gn9hASunnmEhTPg6AhoiiuHKlOWuBqQRF3okx2Uy2SBeyjyqY9yLdTRAY9eed FvMdl/KMKpB3bURRKUncgilpsoCulZgNPWC+fmgKaz6isDQp5hZNeD642bnl2CaT6ZPFVamSVJUP DF/xwIGKvV+wOKjiLcViGu1iKXO4C8pcP+9smD8SWwtRqKT5dERRqQr6WfwOOZN3ExJBAquoL7sv 6/CdDPOpkMr9al0JK8LQdoIyGhILkjttZvLerDrlww5S2PbzzoVhNlQjBudoo44/2nmWqZ8Y+Oed CpMf08dzZvDh7IJ+GwhxhVTqX1e+yoP11d3YL3PD+8kRlUjVpB7DDtMbRZ/kpaxzCFYdqRiLqoNA lIxKZO6fKKzZ+wVRhwDbXfDd3m4Kh5uTS8DVn3cajOenmg7enKLH8Dv2nQWTzWy6gqm2O6W6MODq VgLaa9xj9D0i5RjVl253xuPODpkbkjAVsXJWRhJ3CJ2sDGgKuPHTRhj2gkFFjF+hyo5BowUdZ6fY 6jjxwc87Byab26mvDii6Axi6z0cYkyKGW64+hvHFyOCseEo7V2IQ2izvUSmLUgz5csebskwSOBbX 2XpnAtRfwyUzC7R1U5Yy7P2C6WCzyJmsuD8ZkwAJS8cPTnbvDBgdaelzr7Dd1l0dUbm0JQStJO2O kyvPS6kaLleVaKKkYIjA3hkwnPxc52Op3rGeegeYSmJ0ZSyJhcHfQqmKuPCzOISrfCfAKEXnCIUm cjUAVTrvwxSEBovjPSLNl/+iRiwsp5MdlDsfUURKWNJnNqay/RQjcDt6IVuRU6vjPSLNxrWcklGZ j4zKyeAmon3J8vu0xT4c0NigCXB4/yEH+M5/yWbPezJYGvka7WqBBOrf8fh5578oARlfLRZ5x/tN +85/yabc3aQA95/6Tn6YQoO1CjIVDM3HO/vl05oey0dvQmKmmRCKOGeIFO3J4fi+ORPFa/B+bLP3 Cxqy9BaEerDR6j9MovWmx/x5J798p3AKUbJRTGw6wk79ef8T7i2DH6fpyu7FLCCHkEye076TX7IT pMYkANDo62NIlFn75WyWIW+AASHOdOq6QSmpKfy8E1+YDbkNLQmyrau7sc8Em1/0Oe1+3nkv/Grp 9qeJwphFD0rfeS+sjYI4s4xQOW7M9EBSBeaTgUu0u6i8017UD5GQX84+evm4045MKg7iRkK6QQwv ibffD9JWyinvlBfvD5bNArLRD4+Rkh2lvf+8M16YyeZRmEwwp8nOajaimJRl2/p0TWbV+VHrzrfX knf1Xss73cWri1W29jb6vYIk7CJczThgziGnchyz6aPvR7hbfM6fd7rLDdAnvT0bbW0kWrq/Umtt P+9sl/zgwCzs4HvO99yIQtINRG/V6S37kvxEbY6xRYpUYcc71UVtdaFF+h19m7SUcgexIIuM0VQQ aZLdJ0q4c7H87aK5MGGu5KN9NqB37bU06887zSXnD45DORTtxHY3yntEal4+dbfp6NpHnKt6RJrk pKulEVVJSTfP76ml0Z6lwWe1kqRph8myREHO0qAQliVBeKvoa9oLBl0FwJ/qD9podVLMUk0TZI6f d45LNmGOD5gD58JbJ33nuPDjzte3ujLWdP6gRF7Wu9n+VATKVp30neOSXbt7pLZ9fL7C2qsab2ui hy0ELmElRdNfraarRNztFaO6jwKPfEc/l8xYDTvt9vPOcFEI5/dKnXZ6rF8lP5qTKC4V1VdFN3Xw h5vZqSypDv6zRKJaqZIWfB4ZtWE4jPOm3COd653PnSq2VGXpCeshUxm3WE7TXjDC+0hLRzst32sc qKEZuJ2M6+ed4JJNRe3JaauBa206wsBU+z67nl2b04nIN20RHlw3S1gqlcJyVSu6XiWQeRZWqjKK xVwM1gILSBIblMfynxDSd2JLNm51Gap2jFvtQAVd3oO/9dweP++8lmxQS287mR35bbO801o0fftC fZ7zg8U8bhCWr57sO6mFFxayQ7rcGp/8fp+DqRjTbrchhcgTXgsB1fTMF4XjndKSTTz4bNqcfbQo LFkkjWzE+HlntOTHqz67/uM5P6YvjndCC+dHAjPmLjJLUF3VjWGUVsMIcvQJERZXSoUeVSF4PLpT i2JHom2U1WPoZs9NTkeLTwQXXyBqtbxzWrJbNUx9LzMLxEK6gjKRSBm5zp93Skt+REJVUii/5qbp MxI28jmMNvgGZOzQ5jBoPmKlW2ZdSMRNzo93Tkt2B+5B685GWyLoZp30gjj9nB8UDCX/JwYykrf7 aS7AOtQrRlumotxIi0OjVYHOsq4WfxS9WnDnzvG1RvbvMx9RaEq212+ZtFuBcOui9Y5+neq1dH3G +wGinsEQ7Vyj1z3OuQJCaqlzZnDHc8PQxGAelDhbom+p7TujRd0BSsfbAB/+8SXB/fYy0Px5J7Rk M2Gx6HTaTvuVLpGm5D0+BZYw0etyPnZz79hdkkuTCe6pG+adz6IbRvDiuXy07kKGIJikqr7P3Z+2 JEK2LJ/Ot7DznxfMO59FlSoqfNKjqvn67IC9M4XQlcvPO50lP9QN2mr8E1/y7liPdzqL42WmmM0E Y1K1IpdDHu728rdzN97ZLNm12mTgWb9sPAchR1VfD2+ZarkcViVV6uo4uilgr/Z+f8Eay5vARrtw z9ZTefYkP+XnncqiAvRXh0EtR8Jpm4+objr/aOYPIc5Z1bRGhmzr17NnwjA1vwGOFzoGJ6MjnTvf S6GPhcOuvunfD/SaSPznncmiVJ90TkRbjbY+uoDL/EZ1/bwTWfJjDeGISnTX7qX7zmPJRjnuxYN2 eYdYKah5UnOCqSsf9E5keTbMFo14t6c0doLy1jvV51XU7SwX+kJzbXy6tc1eMIK+oG8BhddG60CR 6yuOWFUvFrUmfT78fiSRuSDsKEKVpGZb9tvXdv125MFJHztfu+V3Ckt2y9RJc8lG7+aXhqMEJXSQ 6eLEVh2J2eLn23LxtwuSfSBCDTSwjd7esjYeAfv6eeev6Df7xqOD97p7JQpP6TS37XWgdn3J99j2 b3IF+mZHRwg0FTZfPIzx+MeSs2zsuFVErrOYTDVHo2lwPCLlw14vuGuTlAjJf1u+YokZbKbisV4y zwbxWL93raPzS7knaRifntdf9NO9NniVlue0bsPJCtfdKlHVVNMxpY46H3XUhQLKiaaFu00N9KRq zZS5jL/mJ4eFHlF4Svx27oQ2ffSbvG1z0z5rhGeDXm0eH5AYEcUDuu1heKrSnh8TVShx3TDuS75h MhhC/z049d7kidO3jz4ZMzM1JIA7nYSwCLyO2bEqmSt/cttk7xe1rqeMUquPlu1naDF2kDae/Qem cqh1DaDFOy49rJnSQhU5Tjbt00wwzrFnme0CBaRzo0eNfPVbRtO50K7CxwkiM9xqaFO7zrPOsULo 4kdgTt4+rmXk0Hx6EKoXcSP39tGTF3PQ4LMHz0Ydl4e0IHQ/9SSfjrhqCtBw2KJootxTBanO5Dih 9iW+9ahoKimLYcusPxXkc3ikDtJP/MizTCXkjgqEFtx+2rWUbPWCf0MBWWG93Fbw+RxXCppz82xA clo37mDDCKvuh0cPWU7nvJF+R1ZfUlUh3MbUZ/1PEs/St+z6jCh1UXabq4+e6598a0iq/2Qp48Qb cAM6iHZKsVKWcRcMqVv2KDBVrWHhsGaj7ZchY1qdpno2Yn19sVrOYtl+s/SI6zTo/ZY+3aqdXrtZ tdNGGVSU10mkhtZH3MxX/KUoa36gDRtatU7iE8ltmrU6nRJqmb+1PdtFxM0e1U6X8jgZy6ispPgJ wyydHidaLzwb3C3fdSBmZt/DIw5K18W7nD80xRr7d5nJsKxSm8ekPeQ7qfOkr1ujX7Q7nWxLSLPV 0yqScOwI9nCtw0T3UjL6dPr04Kql/TC0RDUaKgqKv9HgyubZYHE8VFE2Fkoid3VEldMhkR6se/6T CXwxfQLdSaiwDUDdWhtxSGp92Omjr42zAc9WpZQLy7XoXhWDLRMpq1jiVB8hbntUNzUPHdaCjR6k w2H4VRdr8mxwmPb9HB40DeanlNzfg1Ki9MnyFSP0hEhKUIaAtii+kMTBgpAFVY/C0m1ftnpQT/Vq DJyElinPoVpmPaokkeKhnrL7pRohLiqbwtwoo0hu+2IFzlyP7N7ka/JslNXOryZUp1XvZeT+Hpia 0G8nluoUgmT0KQQQ7XcUcCCdUwhiiUQNfVsixgoVb1yFOZxiRpIQ7j65zEYbpEr+PcsuUKX1couF mY+P4ECKxnL10RpRsy9zX2uj8WwEFbvFQq+AbIFubE7C8BThAmnJU1FHVkNaHlbUEviDgoFgpj2q n/Kdwx2dPt7+y9ksDaEz+i+oY7JGULPsCiifYnK39wsSuSUmrfhx7XajgCn2C3fm2WiJOOTDsExd yFtjTUbhKSpdzWCUgDG6IWCWNC6spX+F+UZUPKX8fWLNdUeHmZ6YOjWOWIRqRkm6j+mkNon81w8s u9gLBpUxSXNO+XDNG/2eq9fmppzfkGeD+HTcM0TBWzG5N5uQKEBF1KJTuUdv/EQ5SWiYLLR04099 y+ch6TOCGVEfoYt/3/NzyVD4GE2gj5Uqlm3uSZUhNkGmf4RNkr1iRCZdcv4dPlpMVqQao8U3eDZq WF7srdB/0qy+5+oIAafnBy5JSoOwJJ8UMEhXXLcWnc6Wrs94D9ulJznkBHPGZufIPBGRGvrZizdF e0phu3bILRbS/OezAxAdyR6hrI+OGhvT/OdOgMezQbp/SaXaMmcqUAC26QgBp+CWTJ8RSnc31bWF +pCg+yXLE4Q9E8WoOkGmDOSmWypy8Z7/nv9FoiYTFTDxLTfrrBlozCJUFiWf/TeGrfCeGv3cqMmq WydF5Nl/8K797w6zTdV0hEFqnV+EfEjfRshP27v7l2U7ohBVLpdDehQaL2hsnUDdCoUkAGbxDE92 g9Df42OG0uz9ol4lXij0yW30fnY10cbSs54NVsc9QZiWLES+p3QjDFL5Une/ICkFqahG9X37+8kz mBEFqZJex3raR+83n8C6qQl19ko70TLVIFkmqo37WR5U8/nwYLNs5XI9+2inx0mahINDtYxnIwDu Fxdd1ga3kDxCyOmGZ3vR2f0ab80yLzp7d0erjwh0KuLpS/d2ziE2PXZ7psEFOpsWjIt6Oi1s2gtG rReW79ZBuC8wiNzfULQnNeLZIAJZfuGqHMewvHA6osIpEVeZvXhrv/tuURr+n3hU7t8wogjVUpj/ PUkrKDeUTUn4BXtgDUE2blQh2udumRylUeVU4I8zmc1Hmw1kAKqldu1nhBHqPUo5q/hSn8URBqiS MSqu5NldlXAqEDSC/pVsCMNTVYOq4Sefwik1xt2S/HHODZsq92GnhY3dFgfSA0fu9n6RmgedfVpV NvpFjrAaSdpalWeD9PZW1WV4Sdx/D9IwNCXqWK7sUucyCYsp3xn5UBeUrYhMR1g4VaErCYue6hcB akGbZG1I2cMduxe8RMB6t5LcVrMXjJpy0jWVp3C6J2k6qYw1XdooPPuPbN9Q40KQ38BjhsXTjncP kSN5Pt1JGWwNlXGst99dZG5G4eky7G3PPjri9OSjCSUsVghSnuJQSluG3Hs+CZ0UgGbY2QfJLtns 4uLZJPwFDp6VCyfPBjPSfEbY6HyjxWvJM4pNsagQ7HZRLaTNv1UmI5SjLJQzcGrlczMsnxrUVNix +WDHdk6IIlMoAFoti9WTY2YEo6tKEU8k1uwN/5LOIaLro2UvvUs9iGh98Gx0fPyh+aIqr09IyNBf EPOHi60bDlmG7eOaKyOwIsnoGVKhav+/9A7kYhNaWApMc0HIk9bLmV7gQfvPrtwMq6eyMxj5jlrn HWcqCz2mno1gUk+kTuhXunjHNh9RZAppu9Xp2Vyb3tY3jLLa+hR6tT7C6qmo9/8be5wv7uyNDdoT Iva5YdSlbEYreHoNdpzOuKkvZBChiUZLVyYmJ7+C1hSejZTU6jMdyo3Pmeq53Iwi0yp6hwRcAc0R SVL1aMW01ak4Sm486TPe58PI1V0kygc3fE6Pdi7SJKwUZoPdTlwVC9vvUx8z0awZcqFAvnUuJxvt GDxLzbLTc6fzbNCKuhRslZ3BONwm9gwj0/Nh8+TkJ2cDm9KUpGiPoPlRtPW7KXzMqKcvzXlEb3x0 VPJGwMYTlzUVxHAfn/QBk/QvX9hmLxicppSJNnKxNmo+1lgmFNGwapghGerRs1Ci9NTWZ9TSp/TT yzC9/V6mqzeketUsqtJKbZaofmqFoCb/+XYX9EkAzoIu24C4BGpU8TvR0KIiKzmL8mnNzSgyFasU AyAfDfNxFrWSOoDPPBultXc6jIFt2ro2IyEbKktn1QWCWrNQ3W4JMfRhEGmzhMJRopUOw449Clon TDpfYRFxcLey5ObWN4ZAAwWAj1Z2sdeL+Ax0sAGb2Wh9bCKRoVuGuzYkQ+3v1py87DyPm1FXn/it gVNrdPWntBoWLNYpQfFc5CmuzRIFp6PIa7NWHx2GUDHHpVKZERXe1RqaG7EC2nLP3SIi5Yxi0yal giIhxvIIMWaZ7fK75cazEeLD8zghiaRue7lyM2RDDbHq3EHLOC4Ugdoy0FTJ4jJKVyzk5yvsMEnG 9XiDnwUNa3A2U8quVnwn2D2b6nd8KsnD3u8vu2XL82TnizYa8CUMbsluCYPT7nettRbAnXavAq0o Nk3SmVgWaDhRX6Bk17MYF0G3osa+6Tc0qYW1+nB/+OoqAKCMmWUfMk8+/8G/DEjb1QaSL+4K+/pb qkCKT+ttdGHk5o3spmcjLpSntZLxwTO2rzsdf6ub1py2M/S3A4Jko6vGfnee7QojUx2jU1DcuR75 PSyGV1JuXc6tK4FsUU+5c5+zg5Wiz46SfJRwBKvXaIuj1SSoFF7VPBsBgr5uFpFdqif5K0Scnilr BI9WA3Ig0KoP61ikBC2OuK0vjRvRbatgehJCxM036ew4actKzaTnF1vTnJG+RPgynx4Vgfg1OGhs tExu1mV5S0F5LgxMr2CnOvBD8vk+HyEdCnFGqXSRx0kVATSh5BbE8aDCZ3ftCvGmKnhQVbTRd8s5 plMe1fK4loAscregQDTREn3mo9oL/g2PLLjpeuCmA3yQ1wgLz/7j8NA/pszGbTpCCVPDHlRv60vJ RwhtrrJuJriCXrJAQkqUqQKZGOd+7trzr8/JyQbeCVMG5S20QtEVZuU+hq/JXvEvUloT1KqNVlI/ icAyedyUefYf6kBcAxKW9UbliiJTIE4na7ZYrKnWpLyl2Q7KyCVY6LGimukQZqEobylP3jIK/Xz5 f+I/CheUmuWWWBlhZn4WiDq3K+rsi4mtuoONjkcuReS5c/wPno1gH18HCAfZ5emvKDaVffzsV9e1 ufbLGF4Xk76OVkcUmbruXL7jrVydr3Ai3sHd0pPxgygEITVf9gckNez1gsnocrZJYumnbkHV2ZMm BNNTaj8r4kONK1pgWS1gIp+MkAyVkb2hBou8r/RH5QtFJVPloA2g11ZHCDYVg4iygI3eYdi77yUT caSjMtJGiOufwGNKfOQWgUazF4wij6V+fvHRAtMlIyUVPRbPBofpbp+9Al5iXqHbFbOhiFMotPxH 6V9HKKQOctkmP0KB2HTXhjR9MzoWpvDpqs5OZr+nimLn66SBphCnyP/okf1FAdVeMAKcgs3GdNpG T2tXMdjXYN1GkWm5PX219Innr27ligJTZsDImuLINdP7zWqqSj2+PW63Kw5M2ShZyW2+SJXZUTdJ xD/UTUm9mht79istZofHlC7hikJT2f8Q2PloE5KL6+mfr5xn3ydk5aflUkyX8CqF7ygyxcXgxPOm BiT7KlPGeRimmOwqFtshIWqL7aXLdtzLdqCPdPJyOz7OttN8dXZwFkCvPGrIknfj4yOKGBlYk8xH e2Q+MMiyFVI7zwaFoD6+wrHt4qb8tPfQFOr3CVMoFYr/s6XyIZ6+JmTKbPIq34Y8fRMJE/V89qds OrFPVGlqA2ZdYmODuNvc6UptRbkVa5RPDwoflLIgI/roZeQTrQuivffk2eBATV/hB99FvcKmO0Sd kkzwlsCj1pJ76ZCmTTeuvrpHOlF3HJ8a2WX76BHTSWFPKoukFQZ5rZrwKXWdRXz66UJte8GoEMTd TOPbRrttlwOySjm53A7pUFf3hL0+JPno0xGSodTPH2ZzpMNC7hOzG2PsbKDk+P0d9vMt7tD9Uj73 S9vnqtxTHcpUqgQMO+Bb+n61PAeq3Gn49L9oF0wZ2cyPkU3bBuc8y3DxbARZ/yL/SKb/NvR33NBX 37N6Q/9KWI5hJ8o09BS7JcScLsE4NB31mQ6SuXMsazrotQoS0tlN8nm4Z4eELn92SNcnRuty8uz5 Zs299TZNxrMNno3QL/0zGdCNkKO1yQgFpKjAyliKptza1oubW1p01AuX3FqSPiJq5wuhvaePvlVO HHZeeitqx9nVoC8y3Ry/H0ThTvZ6QewBorA2kfXbJetXarCK02taPBvEHuWDz3bXcA9Md9TNx2/S yvXcKHJN+U82TU4A4uTw0HSHElLL4KbdR8/zuVdSsnSBe4bF05t8F7nWx6Ne0P0NI2JYkTzQHW2v oCBiVcJeeTZKXDxQJw4DUYGNkE1IzNQXQf8y9d0au5vimLT2Hfyy43a+WCw9++j9yX2+RPGVzrm3 zg1FNNo5WMWbWh8+g2KxHfXzzVV+K/TYN7FFWsd2y5lpng3KQDN9+vlbGkM+G2HNNJmljLPC3N+W gvgl6ju7Y8dwUxXTpOfQryPYOefQfISqd7L8DvlFrFOyV47mJ4eTZuWOefporQnfpdGhlbkaWLRl PRsk+Sn9ecuWq0u4Q0FTGLtzWjmQOoVNRruexwkAjc1G1M6f6k1u1Uv3Uy+lEtq4aSXmPCVhh3oQ 9xyh6seSotj7RUGYuZROH72AvEu+hUie/afgrZWAHrHsFEWlbHUTroEXRs7UFHuBWuwSCMIs2xRv UxiXNrMrGT56lt/P3+2ghBCYp98gW8EtR2WYPJ+Oi7J83efv0XYRKEebhvjexfLPvWmEhnNI6+kI PXcPVP7Z6JxD4PaJCSlRqCuADlknjWtQIYdZEqzfbbr7SnQ1L7GMlErJitdb+uRza5xsVttmEbgn wWAKlzkFl/bhiN1XjPrYxA1DVpnS4VBeeza6ziuEd/RwFLB/XbqtfYsjp/cIFSR/gm+7VCfsdV8E zPQyO1ecS9HxIQHkVF3IrbLGvt6tk6s2lWIyF4supZ0lW8Yq0gn5kl7j06NmNmeqGR/lS+c9q68a EWggBpyiIHU8JFMeBMs8PjsorKIis9m7dRxav5i64WCynOXKK0HxFJpAVVPe3z76BkIlYgrddwLW WpBBQm8MWKGH7TYndEP06X9Bj1XBP+oD/wC97rHZano4qqN+0NpnoSxAk+XOSRSrZpVMZGgzcfsd BsEVFrqoOET31RdKVEodJq9mFnLPxbDXiSWmjDROSDrTddvjVJAc8bgx2m7LXzKotoMAaCArbPTi IUaOBGkbKe0UNvov53ThCkVNpd5JCcupuMsv1AvP+UEwdZX4B2wP4WmRRbUjJZQ9VcmsGn314RWe 8+yE3SiJANgGXAEod1DIy4V2f7+BiXTk9fkRdIrcDLdMG3WmlKwkKclPRw+/T0q9SZ5ZyUGGWXdW Qg7/Wd7n/HeDX/lG60xRKGBgoXLtOVMUvBpUWc7xGv1GViW4C2DXz7ZBk8bc1rOa5ukRdBSPVJ8f lFZF/EBy2EZbKpgrmwo9nqUprK3u71KAhP+eDRQS+ZOcRJeVmqtjqca8bcz0WFiksLgquWCozTZe ugOJgtWaO/gQeZxMHGMpP3GFe77nuycmTfGLZAJQ82ZXwlflzEy1uTQ9HFzJyw8VzQo5x62vSosv eKaC7Wxi0bUtG6Dxu+mKAgEgRnrunojQX7NJ0d3xdqvw/MrLCs60J5TkNBn5/eoMcBKqGZ2kv4rz N5w+bfRmN11zFdDa1MPB5qnzq0KCxN2zd0Jo6vmqVunNSf3Nk99hBO4uje3rk53CIqutExWdx1N0 pjKCPZCx+ltu1sHrALzQ2vgfU+gUEad2EXJZFkG3AVSQzvQOjSK3UJ3/YU6xc3D9vU28HDpILTNl 8SZNb64dg/GTCfRf5lQODKRAAAgaYh4V6aFqgB0YyjhOnFy6qebIzKyrnf9QydSXyIGBlNMNJ1qc NjozC1kJfqfzAXo4Okz615RQtruF1hxaSMlmM3mXqgptC7u/SEQHEEC+AUpgIXXuLiUz0P9stHcm l0kgD0VXRkTH7F5l4QAk8BEBnf6CUSU+IxQMsFSjV9KmCQmU0oceDgLZfPmGunXOMdyvLGoOTKRY lgT1aTnBbrrhmOyJDApQ+p2TUBdV8HYrI61bDp1Unk8eKIgqBsgyWBmAqptwvB/QXfE3/As2oop9 rdEC2ROPKuWpuRc9HCSCu9zohN55EcDepySqt+Jpu7KLjy8B/Uwtd7lCSMm3Hp9DIynR1MeSINej bnu2C8SspbLJppYu3KZ8qwh5H/0Yibzp04OdQ9iydHdrtNw4VfMLZZnp4Uj34Ab3uoerWDV3UkIB KpUwuuDbdG867f/fyVxJezuJoWLrJORRSZFOHACNPicnkTrhkXhUxBFTgKMJ0Cy5mJYtk+ov+Deq f0ndR7ty0hhKbGurVQ8Hbb15t45wLH1/1KZzYChlVuhNgl9MSXNxriXgGImxRD5868Ti/YpKxh1v 32ac9QNilq5vHvKNkd6HKZd9YDSp+CsGe0f4qkLao9HWSZH9EOuEhCc0lUpXsJ6nJLJ88+LQVGpy wBogk1tgu5/62M2BAevewqGnlGNWa/LRF8kCNIOgxTkCznlDh652NjQYnVWfaK3P6m/4l1JBLb35 aCng2ZdmWJHxpAt8pThhn9METS3yrRvAhr5S3CkTPLjBA/YDD8hmYEif8maAgbVUdrTVcvH6G5og QHUikWq3zkhS1JViNImw8c2dgXjfMVomVB4LUb/GT7NMCqEz2cP/UEJQUYl+QSq3qBTYS4ErWL9d Nz/MCLCCavHlKRzFFF+4GvQ9BwZTtBZ1Uhjw6kJMUQw9pwvHBXAjStWq5/P+Ciwf69Pub/g+J11G bGIjavRC/djT/tTt4Sis/+pqqaz73DohfnWJQeESbt15mf8/Y+eVJcmNbNv/GEsuLmhx5z+wh33M 4JFku1W9K8BqdnmkJwLCxBHnZptePmm9PZsnCmGHoKnC6Y7+jU50XcpNdpdEI5iAkH4Z5qpaxx6w WQwbGkxJ23O2dkcPT84tb33PUvRwlBP/q85Wf/Zj2ReaTBEJtuwEvKbSk4CKss4RVGBeE9TQZsrg vGbOZsm7NfvkQ4ZqwGpQV0FedQ4SnAEfaM20Azb0mTLUmmr20qjRPNSUrGB9Dqish/+iT2Wxz4kC xuVYGcjvvYcBquZ8dxwenfvXBAAAjUGcyIJjWp26hFhWESSqIDP1AeclWDSTs4aK0oloKU12GqJ7 ymbtC86r/o7BecKx2DBOtdERNt3Og/OFTj0c1NmuTBXLhSLBVQvNsePUAj8CwhR1KvGgDTCAVLIA A+wiO00CzylfJ13WIP2XNQiS2knc+Z33SnKmarK26TKDvEulZCEWc2A6xfpvMsUtPlomPEc32FGf TQ9HKAq/eXRDUDFP1y8lB85TVJRwTSWZEmwxGSR+CbFAQekc9d0LSoHzVHJCTdF6KQ+hBsZtSTIJ 2LTIJfMvos75z2hFPPpdq1R/x6hBTISCLkcRvNQ2UCtFTbyzMbsejngC9z5W2EZR/ilThwZUmICU 7c5sZbtXWx/L7cd1cyhqCwyo4OTpVizZR18q58Y8gazyYshRyXy2eTel77Z5UFny9/sT60pZc89X YYXEyYSWGq6ogQVV+vrIcITbZXztD3JoQqWSxnaRlSrmG1QBoVlYJ+kRAcyhC9VSZbWrWWw+akad gAJu1JrezlWhDg+IPhUS0y2e3GM2jmMNQLB8dACScStpK9rDwSp5DhSWl7Q7nq0TBbJoD8sPVveN IB+U1maSyvsUkOC5eUL5KiOSSL5qPfJVCDLXItzL+UpHlc7K4J0ggK8nPsnb3zDonHPQwGXz0TBq yqWUFq+hh4NAdvRvfVrIiufeieLYIZHw2q8wwHI8Y7XwBGz+3TZhIXaZGPP00flGFNt6l6XNPK8v 8l6ndiIWgAopX8fHHJpRDTm37WdMXoetIr6BdtLDUQLoXQxBMkxD785IFMMCL6nyT1OBbbpTRvJ+ l9QBfIXEuv/W/Gs+esnx3AVbYt2yfMwSUhvSPqGC8dzC1iwO/aggzZcTxjYf/ZRK1q3iOtPD0UFy a/W2unX9+4REISxYa9cFAGnpXKxp20jN5rnujIRFWFVMulqj/RF2rxPjSqmdwFdEo1mkoy2r9CIT K8Vrq3Z/xWCRMCfn0K8+GrFk1G5Z/rns9XBUOFnfYpLci25kElpSsWFWleaMmCVdojxQzg1oUAqH tN3BoSnVMF/H/5h07YLilCGSzqS0KpPuoRoL2/qpmwx/wz/kflOo3fl0jM4nVF03ZwNtPRzE9Bfc yT0Mvfbqm+XQlYrrt0m4H2PhzrOIARYVkiZwgurqRDlwpcr/t0Tv3rn76CE9AvcYIUuqmsK6BS1c hEX9pnvbSDQhB85UJv189r8O2HYB80igCHdGjqqHIwzf9Z4Whu/nwjvzH6yp0P+vVyWgG6oAQwcr n+Rq7KNkHxKdrYroreb/OKaec36ejFUICwRXlzI/atgZH5oyvpVpC+lDfyop8I4t19n9cJ/PZTPM WSgNPfw3VS+OG1ZWv8i1HHtUnS+sVa8lteYitDttFyqCAO2nSWxSJRRwsRj2wc2nE2rm2sTZOydg IfQ7pwmtevKqu0yED9OHB4GahEYGCB6NjiZYpswDz1oP/yX30xc6Hj54jl2qzgk3zVa3XYFmRGxa N+QWbf5+JyRWW1WlUVjO8UgDNvAIiZUHmu+E7PC2FXySIMuV0+5gMEf2jlHfDxsAWkU22mGyz9T7 ATn0cASw+GWcwUJ9usOBWRXcx/pj+DMpal4bAIx+JAZ3jgCgeLZ1Is0A03AXQVSjF9fQkNxbclYj zTzMYYNeV3f5pvqrLh3YVdmlUTZ9Uxu9FbqSUH3nAB16+C8lWOHYzgc9rdDQr4qjtRffLT2rPK3e bTb6GlYA3Sv1gWEV6g1SkDStjYsIwfbwfKkQmfNOeAULTqmrjHnJ7Tlhe7ovGfV0KNPXcUc/YYtN P8xGPRwRXtP3GqZ11S4HJweOVSR9UwIerh2goHWcG2uUCyPY94SNo1eBWqU1M2+3cvYTRVQyGzD0 VEy5GDpaX5NOV73hvBms5NCxaoqtxpdno7eHdzUbnbKbHv5LsGaOEdZh8xkJK7Do0M5lBNemlpQq 9Nmki84FR05up0kUvk4VYLuYaP2R8jvX1W6mibgWV/38ApOIrOvXuGoWf8c/4LXEELLRE4Ziysj1 rHI9HDH5fp2w7J12s77Qu4oS0qRghavIVAuPm6aDqhniAXMmSbM4h+5VdufomBuPn8G5c06Is1lv Z++czQgw+Hy3HHlw0NAifw6U+5IRfS1LR3L6aCtlTW9BNH+54CYev4prAhJczfMcOlhZmb2YWE8V 9APdr+zo+r2ui2gODKwgWCvPkXfIg3o/EzzAkOuIbavKwOTsLUoYwt3/y88rB/ZVnLAUj3RbGLpB E5Lcd/cE31UPR7pf3xpsFn4t3a0TGlhJiEQNTGkJuKLkUCNWMIJyYQShf5UVpbcAsfvxh6k7VYwC JP2T4K5yM/GTwDqWXxqKtfgb/kFEsbOXbbTzFf/n5vU1PRxcOlf4i98fFvi8aU5oYXVizp9WVvuN Ipg/S1YhJl88bwQbWFixb9TdEilnPaSc3iZyyFuKAicaNIS1+haGTvwX+iY0sKJjgAFW99EmZNfm ppG76uG/SFvzv0zIE5gEBlZePzLN5oFrxLBDdUAXpf9XSnq6frGFlXoW3bSsHoMirDi5dcXaKqvL jrmzCYcJkj3lgeWvGBGViODJJ230JdiWCQCe1aKHI/0ev4O1VKp4i3dOIiwsKgsVIOT4R53JqypA /jPJihNXZrU5iU2shiMmbPQrB7WhWrcZeJ/oQ+1hGuiUZSiwXV5f8VeM4lfRUWhMzcdJc5Q1TfEK dk4Ofaxm/S3GimhNe46SkL5FkKDOPBunbNe3NnabKQtczGfgY5WkQlgtGNN4s+F2lopcSk+seT6x mUklF6FtnGarpPoLBiwM7iH84X00GCwNVsMG63CNlAXWYz8rVAUV2Oe2CeNXVslqDluzP/RzK6fu EILm3qI5cLJ6AnrVGftTd0XGGdW8KidhFIEJCakUnhN8i0v1Lz/NHJhZEfY0lY/uaIdJwkNSV0/b ejhI/NbTyYGwwV+/UxIKXw0gBJSlTMFnG3S8kdHSBTxnSZ4e0gd+VhAFzVTzjpeCcV56ytthNfFq VYxGXuCsJKVE1gfd9xX/0LRQy7l8G8/nw6sfJiR+gaXVL+CaNg5gqItH+rzGJMV0CZrZAWJMs7Zz YsnxZUzTVFg6R8lrSFJcd1NQURv9+Dsx2onySGmQiximdMEXzuklwPc0QR/W/+c1JCk40YOo70LB 9otMQCxpuB3e+rwGJMXYvgTxPwZhOZMG+0V89dd4hMk40dKQ+co5O0apVlrMWHjaSjlrpvnBGsyG TMuXcq71+PfNgcNyKtMK0auKwDPZoaYpd+HAq6ug9joZJz6TgKA6Ff3pVJyDT98aad9JbV4ng/ri 8MlQf89kPjUXr5FIyYoilpop5/ggcbf2+FnyQyKCpUAtbOyV10CElUHtYSkcWLk95dYT8VXatUD3 6jYDH2mVYJIkHHD5KrK+xiHFJP9r6jRmNNr6bsM4fidWbZ/XKIRp3L4wCBeWoVk0Fa8xSMlSu2sr WVuiKQxEIc5UWqQQd6knrwFIQYxVu6MUH22PnDMT2wjqnHugqsKVPFlsQtM/Us6mLvkafhQgzTRZ gI7aaFftCfesAJZ3+bwGH+VReCqW7mIZZRPxGniU8n+EWjW5d6gYwKb95cKBS4emzACDFSF75mV7 pF91BTji6WwP+dDgVSRN2NnQoWO7CTttRMdlb/c+EYkbVjopNnojb4lZTYV8fV4jjvJE6oXkZckd YGSfi9eAo5i+d2vOMmnVXWddTICAYyfvWr2GGyUJ23/uZTVv25V9lErq+c+EQJssTHpHc8HjOev0 0WYpyRxogrmQWR7hm4+G9T0HmbCLSHB8XmMNFoUVbmxREBO34kfFa6RRDAiwUzYZyWWW1Uvtt+09 3nmFe14jDc2FloTIIv3he57XPF+faCQLYHuXt70kgsDNfvmvVW8X7I8sACyl61Qfc6JzwZlXSqnl 8xpiFDMftakwya9zhXRfFq8hBscmEIDUPaWV8bNS2mlYVmmta1W8hhccmjoBAZTY6MiztAbqq3JZ SSc01wVKpR1YCupOXzk4Xu79ApGYEPeQj57NnmtNU3F23uc1tPDX8guELoWxjpiI17IYN86Sao43 dafsIWFNTJe2ms3b3K81sWJ2xydikKjmvPoPC9brOW+5jlD2Hib0tSjYQ1il6/UFJkrNKZgL2UOO te5oxY4u0QvNxfi8FsSKqV7YXOi8P78aNFtTwglmgxZay/WaRVz8HRw/68FApjA5hTCwoGspuS+N 9woB36DQN6W5p6hFo4qm1/BEXF/J5qH3CzYJs9HAEthouBAswauVCuvntRJWjKLk1yksLYoiHmVF MSfS5JhsOcy7OtV3DqfWECxdTEgUdQoCseSArtFvEkRscXkDDXxCC/Fbu9DGUwHqN4FtRoQKd0qR me72MVlFcFbrv6Cq+U6oYWVZEfmscd4JXGy5MxIFnuCgTsZQxQhvMkEDgEenaokaLsNxg6xGEzJ1 buhOSTdSXr2ewyJ34yYOcjeqPgmwJzDWqfkzCetlMN5ogQh0B9/RRvtuh/f+peT0zqdR8Fm/wSc4 g/0skffoE1zN4KwdDuKt3sBN+3Kgm9FpBIEIZmSbADONOttoP8aKojDKjj/7BvkRWWTCMRxm+XJj DaseR/EnZaOippiNF5lpwUYpwN/fA1B05Hw+lrkBCeZn8xGFoNx3zRQl0DBqVzhx3GgDJ2dvWQbn qdoua+p6mbd0vAhTmlwR8vlWOjUaposyJNWe/dQFjaj4HoQmNcvOgmLXtEcQOhMCLLtkAT9EB8jd L6ZCK+mz4vPxHolajXOOnS8twjSd0DO0f1Ugv93yRjQhWhmqQWv0I+SsiBMTiKZ4psf8VRbdkS6t GUohdoBYoTQ6QEQ9k/DqeIRX96BHbitlft5JNIpY+ne/0AqgwqnpiIJRLi1lZghsDJXdRATHNnhy gKCp5f2W93AUCJXCUYkAtOuXfCKNhhy+YLtYMS87YOTpPaXIkr8Gke/0Gd3lyOwk6QykWzPhnFLh ERb/5509U0xD7i4PWY3+0AS3+Qgj0k19Wd7UeO4Us1rJ0kvVfsHK0As9UUiq9tPCW8FG3y8FjXNA Uuf4OAmb/Hjn4ug+c/JUNbRDP+/kGX4nyoRLrUqNnr3am55bG0RZFJTm7vNhcPgq5y2fjygq3Qqc vb9SpT70j05/94tIScpnLI8oLhUidRmdOz8R+gQQjsUM09EW3uWEX2dSsL7o6Qk/xsz2gm8TUv5P Ve8uyTKNtkAAxXjYpzcLwjGTIZdfjO4izEy2z8h7eHpFAgQjA6sIbFuWmSp9W3efCq8OkChCFcN5 we2x0acknXO0Cr2EMFWFKAlND9haE/Dw8RRZ9obva0SeIkgw+Gj3ytmJqvbgcvR5p80Qguz5jdYp vbR8T9QoQqX4sNoyXY01pBxJo4XwjN5Lwf7H6j3vrJnifZYlwpFGz+LWmaiJPMe5Yjb+9sZLBJDV pAx0pSS6IbqjIFUJc2IWbbRd04vp3p4wbX/eSTOqkviuMbOvn+nz8c6YYYvCEdsuaHVOQYPpIqrr W6Z3b06+E2aK2wIsCqg22lJeUhxqcjA/efGYupel8jy/6HZH6b7zZTy4KUvFjvUUOzp6i1b/OSfq O11GVZgbsfPzEJtJw2cjClDRea/1utsbleqktQaZyjQok0/Ge3zquvGr9Dte/sMG59UkHjFbKiI8 IIgh8aBxc7k9/PWC+4WuqDmdTLM/ZDYWytisjNnm550mUx7hRKt3VJEafTKi0ijuzw2MuenzFC/9 bNdDo0frsek7R6a4GdFSaUujHx2coGdRFPHLzqUjDK+Y3wrs03xKHpIXeafIKPogXVlSG1V+9aOu YLu05lQ/7wwZzWX/nh3N3d00H2Fwilhg69a0XjMbZAoLW8t3zx2VPRh7p8cUhzyfKVw+epWmU8lF JfREHxD5ZTGz9Fb8jQcT5O8XJbdTZcvhoyNzS3Iw3Vyfd2ZMecyqQIsrUDFTAP24KDal596sP01l sJld97IaWJYgubNA3nkxOjlYGHKF1+ihaROWlfPmHKOwQ5kN+T6ziR+SqvxE3mkx+n45OeCN2qg5 mESkZqLayuedFcONt3w2LE88aVl7+ghRbApKtfVdfbcIKNYplF74KUGgnR1RpbRbgcsamnc548/d 6SjTq94nVdZFMpcyxypg4Y3Fmr3f+3wMkprJb2+jo152Mn2vPernnRGjVVu+Z0dP5v2n2XiPTIFA WG3KDtLlatYrXccIga1tNt4D02wSxUIP2ngrhDXtIRZYSrt2aaCcQxTYVYECsr64qG1vGBTG+B2a QrF2Q7HzkfgY/wjosD/vdBh+uydzkar3Hj/71j7e+TDFZPHm7HZoTGuzTDlzutToOYC8GvROiCnm V8q5UX30oPFsmXPU8U7sF2F+z+mR5Ujx8wX282V93ukwKueAh9Ku0WgFIOZaUQdWd+9smGKc9SeT gzGx7t0Slk23WKlZYH55J8mC+aTpw/QhOtA3hervZBiVNlVM15w8ZoULYPbazaiFA3a7FdNp++af Z3UYvvKdC1OshV4T8bKNt/s9TeJ8sDreo1IS/XXrHhU9J1j8Ph1RVAr1pi8HcfTpPYYs1J/VgRbH oRbHe1TqVmZrygLCnO1VB1o0DiC8YqDRklyezv3Ikd3V9/iXPuA7EYbJBv3zX09q8CMXhTA+7zwY tah9eQhEKLCF15HfeTBqiAOGrUYEgt3pp+lqfpq2K9r8zoJRviSRB10u5blczve+z+pAg2CPvVNR i5qiEZr541dbttn7BX1ZXM6oI/l4Iw9Th8aV9vNOgikmzv/NWsRj8+3yToLRkqpEoQaptO6WlLuS iO0qKl9q7jsJprim5mrKbNvNbE9G38ZmP0jTO8FKVax2Vm9TtmGQSpb9550Ao9nARwRalY32rZ4w wjTK68nz3/kvxfylv3GYYA8+GVFcalxL+CuE5M41ZQrmw97ejjB9p7/o7JCVjvmlPJulpIokdpGO ytmTSZFolqYDscKjytTt/YKcBbBZUi0i7assQ4ovHPE5p/vnnfmik/TeLDq5RRz16YjCUu65Jroo W2VV2yp7Jr9qy7o103fai8qaFDB2ueNFl5ZGlZNm3Al7R7EMf6J4N3/2I+ndJFL1TnsppoPJOywf vQhUjChZzxnyeWe9KMFf34uFazFfDEMUldrkdw88xHVXY1JnazZ5JsUd75QXBY4qDVpZ7F4rZ1pO bAe8ntSW9ttWUZAYRQnonQtabJ93vksx1+DS0U620a+VbPQ3POE/73QXLv9b7JDE6jDxe83Fe0hq wlQNxVOOiFZUVt9n67RrBKAwSoCOqFqaVOoQPnzl+uCOzyro57Trwh2fyTXp3fN30YOsunGterym vWDQXZCBGZU+G70cVo3iUc4h+3mnuvBF54t7MmQpXnJ3cURRaVdHbhphbtmVStWYDAmeJSqvxeql 71SXpyMneeBlIsie0p6tooztnB8ji6grFu2ZNeCI33KYGKfvTBcPHiq6gT76hBezCCu9t8870cUz sueeBb9H58BmJIpKZZSdXNyg6oTSdtm+XerNaN9pLsUJuNNopFbGpMiBizYzoZgaxSJJZKHMuFBo 7enrwJymvWAE+5HoAknvfgIxWfUK4dHa+rzTXDSX43u1VCls+XREYWmjCzKnF5BH9QKyyd9LA9EB Hu8Ul2JKyJwDxUfvTzYOj96V0raEWwJRWsH/mlPt30jSd37LrXdMhHFstBqY9AH4DU8o8nmntyiH u1GHbqEhpUGbjSgqhe3TintPt+KMjnVxUCd02a5r905u4Y05zhaAQxtvEncOD9o2FICAx1WbdrTX h2QN/kXleKe2qKZFI79JGbZd0byEjK9R+Nf4vDNbillGfYNSlE+mHx/vxBbVSodxFKx2TCvnH0Vv TLoCj0aAIGRY3NBXPqwo/GuSKWpLkSDQOTNOcijWAtpkuDRh5/usEHVc3rktxXkLS2XwZR0lspXd py7bnuv8vFNbtNWevKXApyzT+0/vxBaV99ZZwByqcMJKcoT+IkHYMvFq6sydFfLObCnmYnCmQpF3 u2zKE3twWIzi8LCl+GsCAIGoI4Pop4Bc7RX/sGWA+vtoZaCMELaij6JXi8pA6ReadP/cqsc7rUUL hH7cNrJgb9L+2PJU8ca+2vm6X95pLcUA9CcKE1p0P053NF6oBDV1V6n+mLUbeowTp8Vvi1IN/Xdi yz1PF5vaxtvQz92smU7i8s5r0RGyn+CUjZbMm0lT8h6dohe64DW4IlfrNjf7USkT6lNnyDutpTiR con0uvIti4F/OcG61mgq0JuFV58sX1UK878vmHday+0/tUL/SaN1sGuVKZyagJ93VkvJV+K9mMoS puXjbpmwarr0t7wMhJyBMrkunJo6+mKncse8c1ocFQCwNPnoUeQEdUatQZyWlqyjz01zAjUtF4vW q71fBDjG/E/kuFEfybYsAWMh0cvnndCiAvT4FZKJGUokbhMS1U1J6ceWi3v9kfWWRKkblJwhU3vp y2nPhFFqGv8bsq929sceRW5mTVFIUsMO4qwxWlxPNtn7xbkteUTx0euEfbjZQ12fdz6Lule/05cy jHms6Qhb+oi/a8ma747tl3PTelMqS9nANkwYoiqDsVLozbjAeJzUfJI8Y1vO5S37MimjLPWgbr+2 2QsGEBh+BcJYHy0GOUHNMOvhqhcLFsidDzv8BAHw+YgCVEnwTNcDrXP7xpEpiDrZqV8w9p9b+hKk 1eiFj5PStjKNdVxLafIhEtJJHgJPzyXb6wW5viiTDSSKRpuNc+gZtaf39XmnsRTzerAIRDU9la+r dyhbFKEiLN5Ls7JPVyVOkI+azZSZdMMNvFoIOS1CjKXm43Plnht8iO80MlRsWopsH/C4atF89WFa GKJSvukQAWy0eP2sjm7bZVWeDUKyC7HUi9dv7aOFEWqhEycB3UkpKNmFO3ovDslWikmK26K6qeA6 6q/Y6NfLOVOQGmY2ToA3kroRdFyKNVv98LDoIwpQAcy4fqZGL9gXF5M+E82zUcPWT1NbJhNuot8u PYpQJTFo3XxVqhyNvFr2tsu4PYb+HqBSgVIBuScf7ZV3OntwC+3BOTq3AjD8u4bMiPs3vU32glFX LmNkhiyFRgPsbzf5Oadp49kApr7z9zTFYrIt3y09ClCVZ8miVP38ZrCooeSR9JaDVodHj/r5Q1X1 Yi3p5HCxnUCaqelQktikyxiq551OYNPb186M7cWnR+E6hdMhTapxuREnHV/TJLfq4NkgGiteD9LZ CUC83AJIj0unKDxKK5bS0PCK0HI4Yc5E3NouPaqcSjhoWY++3gmZq05UD3R4nOVRcECUCNfPetZH tvBj2QtG0ZisZSgHaPQM1zznSdE2zwZ4j5vun4hTOg6XxNCj0im5B1rzwgAtc3MT/U0wDzMSyz4f UXQ6da+gvWSjHx+qDaIIdJ6pvbq4MnMBuVtVeLfGkOBlj8JTmajuxa+j0TcM9oKKx4qejRhgT8WQ XaeI3SbkPTpF7oFoEe6GObmTX/CngUPOkCYbAcPQjMTsJ10WufroGIcEdG5KAlQQB50gS9EfEqlf cFSyN4zalEmVoKkxG87pJMkmY9jPJuXZ4H4ZvxovVJb3PT/i4JRtovN0mfgY+2VLWVb7hfaeYtMe 0p+G2lCp+uidhryX9HEkgHoiESm/8HeldyYzgG0ImGQvGFy3QliKoL7yZaU24NnGiiubZ6P98tDi gOX/5Num7FH9VH0C+b+KkT9VSkdjvquPmyVjLPhtj2NTiVJocZTv4ujnTF4QGE7w1dAkhwBWyCCI qrxo8kXg9qh8Kma2ZENt9ON6OUKKvlyPotM0083m7CwlNbUJeY9O8YLb6BFs0aonFlpYEOdmAjBN v407U/UoPN26YQbZu0avCM0TunDJiH1O/3oI9UELY4g06X6qxo+LyqdICZ7QATOMef1UC6hxCyHG mjwbZLct/UKMdTel0nxEcFP+Rpdx1jk0TrywrEKWKt606lhSEJxaIVFb39J9messddpUfDnZaOWX FRTyXDdSGJ5SaJeNYH8qZNJp4+OjCKTJyqX7aKHU+Tyzl26j8exfVkj2lgN8SJuRMELtaAVvw5t2 8PfZdBqaty2bqHTE6z0qosriYPm8rBuS4c2HA/GQpvI5tNWRSuQHiimfknK393s/QCRb/x+YFM5L WRAhwG08+xcKpeawm86JGJRRfAqJ3kmkABCEy57Qri8/DPd0xacjKqDafSvlj2VFPAup18wJpNYJ 15cEG5Qtqub5I9b+BWj7C0blMbCENMFt9PSldWcZt8KzQXy68hOwJ3OPvyXlEQWoXClY00iqYcjt ST1bWbywUKYMBtkwI66g6jBVBPJYVKAofbIt0Q/PiT2lUQDRDVhJl9/i087WFTOiGFVyWkMTM/KF f48TOhnI8gQiPBtVUOe3QGbcKt8wI0SdNrUok/T4zgm4zf9CzsP4/2HDsazIPqIaqooGyzg+ZhSV VRQ7hwY9bY4TisFKgKHZyh3rqRdSEuCzAxwdd2CSD4tGX+rnlQ1XWPVsxGn4xRCDfg0XzqYjClHh vToXf1t3n0alzNvUuyzIHysCGVGEajipLSCM89gUoZ6PXsYhPIk6p6p6NPvHBIZugkurgc+OyuuU k8Fv2ejZgITb2C9z8mx0fPhsWAwHAsoDkBHFpyaEkLwalFyLfUjHT9395ojkEQWnU+a52UrKz1l6 FseUhd2ZDERKqbbVwcWe6ZGbTY1bozR7wej8wBMF3IuNFq7PtswFtWc9+xfsvhJ/uRJMD1BHFKAS 4jeK6VLlM7/QkwCZ6GlTA4aDJOkjguWhhE59S423GtROzKEC9+6gCTUFHLjy5arP+oDWw4cHuwUB vzaF0J71OTxSN5elE/Ly7F8OD7VfigjQPh0h7BRfv9msf7suAegsyHIh2lp0WiER7hR5vWqUWo33 gkkCq7NdekbNQodL0glq8p7OEJv2gsH6oLrx3/b+pDqW7fRoPBtEH+thIIN7UhPMpiMqnkqdJ7nM WjUW5aC7b+3spQxZd0sUnAq4sLqU5FTa0Ul64rkJ6U44qXPFNJG2CR/QerG6st0sk6M0Kp6CWCxb rZf9tF5GAXGpEyE1no1aL5d9LDf3auLamo0wOF2moWfFMYXaWJL1q+kJYsrUG8LQdL6hYdDT7yBw TYYjS0xsqtwPirE90q8KwPn0IDKlCDBVM9B4qSND837C2sqzQWrbrm4Ba0TN27tXwsj0/I2mYuY/ XEQu07iEwyEwzfkicEdYOoWsRrjl4z1MTwiWtqQ9kLZo8mhmLfZMsFduKVmtGj49qAXRs2sqjrWn OHYikGXf8Pl3PBul+k+kntTuQadB8zHD2uk5bNegG62QVAEYyiASA1d3X5c+EzKj4NSu2iUq1FOt OXfLQkWnKY85C3dY/06NR0zvn1xOUkAz7O0zHxSmffRcvyoFJAmYPBuV1n8htPk+b64/o8gUC8lG Krck0Tjo6Ffwc8VKQnhvVXT49BkRGEanx24+XgjuubjFUT37ZaedDEGElRIXcPtCg7Q+ZhSYJmkk DwmbjsvkHatVYyWAWJ8h6nTcWP1H37EAJzYhUWCK/EIfLiHWxc8wD3f1+yHbymhPExLzoXSQ5u2j bxjIXFUuPRtgv2rOkxQdqer978bcDEunTNTJjJePluBX5IEVekw9G03HhZ2ysoEW9XvXzigy5Zhz ehhc/Wx37ZYlpOVy3c+PGdZOh4Ue08fbqMT9RL44G/7SVsmoSgJapf+r9NHt9f7U189ieeTbetnc 4sI/tVV4NgKs/1KBofPy9OVmFJmCLZldeh6Jitg044a2rVt7nqHybasjLJyK9lWUxz/34VleZ+Mn LNoh2k4vJUM6UX3qFsZMPWuGdCguN7k92Gj0n3OJWRt71sGzQSeq/abZAnO4bewZFk7PfzNJdygE TUO9ULbCNm3IxRAUmaQ+ZtTVF4bjHKPNRw/Ezko5N66QlgsbUSslJpVZfvo3cbHdEgWmVT05hQTp 1lQ4Royq3/BsmCEd6paBeAiivmf5M2rqDxVllvP0pStiPP3uTidN2Hntlahwaoj1Yj7jX/j+gsMM tJcwvWQzVKKztkgcTRrk25ebUVxqZymWMTba3dJmcgHCuXn2L0x9EwKEsiibDk1JSIdSkDQ9GJuX qt/cqW9TZVYwNkMFKePJSd2iPbonqHCXNKQ5Twt7CTjGcQ9DYnxD0+yvFywP2s9nxyYfLfY4p78K tHjt8GwEg5kXWUjxiJLF3S5RV5/fv6M20bhbkqxxuVtgt3XR9LUZSPNnFJwOJSFWK1yPrOuQVX0x 9d+GTZIWUANvANz/uVzEppxRbEp/lupJ9dHqYufAszV/Dnue/Vteq0ksXx72DPlQ5/tbdWQvD9I2 E9KyKfXnZhK9QusjZOnP24D61Yaa9J7OZpNn4T5bZkrGFYs7SmNPV04aNHx23MY++ZTA7l+PSNkd 6NZlu4Shaf81HQXgafci0IpCU2WNa7vqbyqGd5jXOBczSrcjWFFb34phhvlh9NSlYcxn7LAqYQsi D1gWKp2mRyVILrkrrJoKb9p1Q/cny0/LrCJBX/FsJGvhmCC+RyCo/YLWV9jVJzcvrllQa5nO0i9e BXoQUisMTFXs0s2p0QOPfvYHHknSTBoI5HB2wL066+45OqTfxWcHk1EliaN6aX3kMoo+hy88Z56N IEF+s6i5KlfifRdHFJcyX01OTCAsTXgOD7p2UdrrKjSuuKdvKmOSDhMoOUuWEGaAa8DAIzQri24Y /ja/rtL0cvj0qAZEN1+NW412lp6jflqdHAm6MDC9CEultV37xaYjpEPhxj6aVcRWl+eP1VisB8PR 0UzxZIWIUy2PosKYaDN2156ligpWNU2c3sSaOlH/DxvRYMw2HdVe8A+aa1u1LI3OEMimu1/OxcSz UZr/Hz7UxZuuKC6dQqaU29FHhthV1HM3/9cETKhrPkJKVLutp98NqJNznWXXhPkAhLV1eCCwYi63 T+KiAgafHpSBJEIny1iNjsDdBpg6KzLz7F/uFklBC+npExJFphSX2tVMai11ByRXP0tlDWBnaczT FyZxVR9vw4X2ZM2CrOdEvdNtTrL688Y1/PZsV9TTxxb1nG6ctho9cxnZdNhHHzwb9PRvjZC6PsMD gllRcLrUShOQEM1Gl/I0STNhxpDrt/MjjE3LCyeKKLVWswdGaqwZJog+DpzCsr8YqWHvF0yHJOiL qLZF5S2Pa5SL9ZQaz0ZU2/2rhS1rFp+NkBB1JnnCPYdcOlWCFj67d6sHlWxF06TPiI4PRaYGWliX 0gATD7MOUcTOJaNIbdJAkcL0YyHNkaUPD0IPyqlTxs8arcNwziK7Xs4i4dn33SLu1a0gI9D8MNNX yIia9GU4zP7ZXM3V2nFZ3CCOj26a2V2f8SfMhxqxGu/ySGUsgbZ2o24q10IiNapojwJwFhCfD48A p6RwKqlptMQWbOK2UKzzbNCxHfN7ehDPl3vZ/okSZQVIeQCPWyuV+yGBeoOLZbslljhtCjmXj95w SXuc5aGqx+QcqeZWOKk1mcSYnR46Fvn0qANFa5EruTza0wUBWYMonK3Hs9H6ePShWX7K3x/J1yg4 Rb2nFS+it1qL6+PseetAak91fUSQukgHpj6jQ2A62vQKl7e0lRV/iM0MrEUN9kvW9zcMkheVkOUe 1h73MAwurEmJqOcO5fXrL8gHZ3v2HbPfo1MwQSfP7MkQ2qPs+XD1fbGI9WEiuCFXX4lqM5L6Y/cz 00mP897SPhmwIJWvnOWxkXPTM6LdyoqET48KyYAju7jI/TrnnC1YXQpmT56NRLXG90TNHHQ3ud0h 6JTC+rKg61xJALHVlFOF2Whz3I8cqTuOULP38m9HPzlt7txORKhrlhNiKwWmgYdFSvvVh9r2glEt aCBwwiWm0Vb6mWqTICgnm9sxYf+3qid7OvkVs0NKFEfnciZUkygzOvvVlfcJiB3Bv//c0s9SuMhf 0UaV80TZPYvwBAyCiUE+A1mHdpkfqTon+fRIdC2L3NF81Kf3E8RIgA2lO54NaoXpd9NWHQuPyHYI OmUjZz9Aalo2DSO5zpjL7bNdwsKpIo+iLKY8qlqI5+FAPdV2aUN3xASjiznZuoeHzLo/O6bss1lW v6NNxomzshW72vjsWEdq/wLggoG7VfUdykiNchHa6yqggNDWcqFvI30MLY6woS/xORkca3RMxsns qBrL/hfNBtOUJo3dMjH1nstO9npB9KEwTPj99uD3MYhVnHqO5cWzkWrSozHmJuL35Ija+bRLdk6G ZVhGgepagNbSPkH78th0hzJSgldKTF+jr416rg8AllJcO7+3Opk0guhWonl4FQz4yvn0mC5Xk0Tg NXrlIzUDR2EAtcO6aWs3+oBiRvzi8xEGp9Ijmtt3yvbCB4hy6+dfv+wd9vOHlcO2j558thN0Low+ Mo3KMmWQzcXexYr60hkUi+2ooS9uGA0sH+1iKcOQDsAKeTaqA/26aPkJ8160YdFUbbJqv3sdzZaJ mVKrny/Z065P+NOtUqRXUa5Syzo3ytlCRe1aZJG26mBbWJz5bbh0KVfuMC5VO062QvNRxZxpbft9 zk3OsxE33ZFR7d6y5bavdyhsmikNbjszW3L31rPB0yXrN5eB2VE7n4b7uUG4+zX6wXGO0AQ1Sr7h rZpcX28WbeT+tBjEiOTT/0zW7z7a4qCMsq16Wng2yuM8cVE5iEDuwhtyiuJSwk6xQcXW70KmS64R iyQLO+p1LE1RZCoo7aoSz66PeHZbeHvLG+KcfNeEkPbdCeDxMv62XZTqi3jxHnGTx4HFY4RsZlEl 6G9mqJ9J09MRgm5/8xcoBBmKts9LqCWFAy5reHHDYDA0JGeAuwkJXSElazYtsf2TIDDK6/J8cA61 7PN/JFWQ9qcYyBOlP7KIVh4QLngie8WgdwumcYtWoNFyut2Wlg0WsXo4iNj7r9Y+Nbp+aWI5vYeo NHxxUhcdal367RKy3E0bzFRWytEpRJ02QcI0NaPfs7WhzjfkPb6BEZvyOH4JzEJOD9HDTN1S2N6v MpmnNrTMhTJJ+dzUPflsPRwEIuXxgjrzd37ocJjyJ6eokAq2rWlPS9ByO6hu1ievS9e2NIWGUAIK DnW0x+1o652bcFdQgmoZ2xK/jTiWKiGGpUN/wT49KBwu0cTG9tHBlsk06fAY0sP/H4gY2j3zyjvk FEWq6ZyxJx5cSmDOAe/Wx00VOxnrCdBryyQqptqcyKhnPUY9JzJr5BvUlDZFVWmBDkrVGT9VqCW+ UHZb/pJBuZ0CEZ1KH215nIxUlUpwnXr4L6TT8zPXjyzMn1kJK6pE1NpBMMWmSDDbHNt+5j/mfzbv iRLWVF1wv/no3bo2T3jOXXNu4cYdT02VHkcWy0SuctMMB6e/ZNTQFQKybx91pIAhM+mck/jp4fdZ qf9KeoEZrHRnJXaLYocLLoQZ4LhE7TW69/zxgTOrzhQFr1PzYQK45fpbLSRQoQCq3A2xeMl3UQw/ s0C5aOU5pr9kpM0mjySAOhqT5/9myQiUTw9H/LFfzQhdhu1ZKzGdn1hqlovBvHZJLim0941gvYn+ tlJs51iS079nyjlFoKGyUsa5h4bM1YChdDnLPOmeb5+oviqGQtuyYtxqwwn/MEx1n968Ho5wVB64 VSH9Gcd8buQokKW82slN4H70Iow/LjACL6l2tL/HSkTqL9u0Cu94sZipoFrqJKGsLsRE/nNLrac9 NFQzPkmxICpCBxJl1ejg1GyObifEn3o42D8305FmGwSa7/UTVlpR257dZHK9mrjYfGs4tX/mdvdP WGr1tbJ99HTn7PY+l8jsJ+5PzbxxkskHa2H9NolOEXdK4vQbrImNdqbUpEY0IqdTD/+lc2XUGMKa G6aEjlIwLqs04v8x4WztnpVqufT+26rJgaMUrW+F72P76EE+daIT/wtkdtJgmRtMEoIubulzolh3 IgeOUleAihjMxoue36Ztej5ADwd38tWZVveb2t2zTkJPKd5ZmDij+CcrzZ/E2xXsWr1RSuApdeVj rMTeb1GDBnqGNZPM0IbLWVtLDJQfIVtdDXT6C0bgCFo1lDJstLxnVr9Qz3bSw5HK4W9D0/Oe/cqj 5thUqoADWLfD6Wz/cwlfyaXZnykJ9VFVaa01++ix7EaZLBty98yMQpmJ4QoqXPkpqbkhcugqBXbe uOA22pScYEXM4xMEFj0c5IKPJqiWOMXUS7PLgbGUOUuLxqAYto1LDCleQ8HK+BpthdZSYxnn4442 KfvkGCD5xB0a9OSMh3mOcrbaoySjZpE+PaIuk+401V7bnRRm180M9tTDUd/3cQE28tCQ8rTPSihG tbFMAcAg/TZyEtn1sUGV/4iAZCslJFQVk53uPnqrotBeMSXdc7WcoMdgeoJb/qSfR6ys+gtGbH9q 0IpBNVp5DR0FtcJbrXo46O79Kz6RAOWzTiLJVBovrbt3eNNhh5iuCcoKkdP63TthKCvOTFXQVp+g DRH/Lu/6c+NgaqD2L84w4tHvL5om3Vf8A75omUtXv/HPSY2zOzXMoocjCbe7TrqZKe+vmH8OfKZM o7mudT3WvUJ/buh5IQK53c0T41cVggnrXR6s94YlVwj4SzpHdueHoCRLKliAAD5Hyqz+ilF8kuWd XX00kFGnF6biAUZ1gdfULy1ZOdVBHb64mhx4TdHF0Te3DChggG+AAucwUGO8ZBy2LWgL3Kaym28N LZXxLJUyRoJ6Z4L+WAgJw4ogGuG9SYlYxS37O/7p6hFtX6MHbUN66syzPfz/QXZn5+b0vY3DUBZv a7MAge5OKUhC9nsZxRlwlJMkcmA4ZXk9/rjZRw9RuHiaBLIhfkNy+HEmp4QKHjvU7m/4PicKCU0G X6M3t3Zf1s/p9nAU39/ulhk/IGl9p+RPWNYujAuKbqNbYzibH4MU3fhNfPf80RZ1FetzPQiblVCT kZ783jj6Ua2c4GYp8mkhe9RmkWxoOVVE4wUmZqOdKThg6rc6/6OHg+j+t6obVUiCFpuU0HaKbwHw mIMW3RtVdHUvLq2bCIa+U0u9CQXvGm8ke6aibX1HOABhLorvOgI/9edB2cx0XzAq31cJQgp7pHYK PLJatwCM5yzPejhSqrpbRxEQTueXnZhD76mZaExCzaeMUtwbIxfinSYK/FAWqDkJga0yVFZfXuMt Lg18YmVNBqZMXHBk/2mSkEl9kXrV3zHSAtg/BkS7cDQddMm65iA09HAQ3V/BKtaJhP/ucVJCMQDK 1F3yCADCSUoUttEIM+iASkA6TkoYyw5TqF4+esFADUwa6Wc/ld7U8+vSNJc4yLhLpWTBF3MJ4QNF 0u385XTLkBiFrObEq6aH/xLNWuYzpBZ7pyVEEJz7shnvDOqV20FscVe0ZqQXZbMSYlyHsJV7++hX z4nrm3yszqzA++Z47VOe9dUcXP1IWaX6O0adYk5HQilGq6MUZDiVFJ5jvevhKDnev85ZUK4YYvqs hNL/rON8YeF5NO+O1u3iANJM6PYREdBVlCOuKI1eREEVRheoGFg6zNHq2eK6XEIaYkv+ftGMwJ4Q Qa+vxx30LELr9za8UgNLqvQFQnOE+2187VJDTypwNa0lows0+bOqmNKH9zcQKPV1EqIJdKLUvH30 wuzJ51uSZf1JN4myOEMaem98ZL4llHvMhsGs4QlkqLuvoe6JsbvFXed218ORnOjT1RAF/OsvHPpS kVgt6m3GVVRKvHS0lltVevLAwJnq1qql27P616P87IgTbxoIeTT0Y7zRQz1oPfFJ3v6GQQ+dyUtF ZYayr0Bz2UVF8ZMMDj0cBbLp11VMpPRcO1FFdsg1blXHFDi0EQcDxxTUm/AEtlQ3XvuPxBkGEamg xS50+1Z7k4osbQWdcI/G+/IXDCbEatTUVzTaEpEKg34OzcDQmapcTUD+Lq3p/UxIqLDazObkMtOS l6jdU2TPC0fKgTPVbfH8V1IUGMC53xXWn8B/7G3+VdKm+XkUvYa1jENrKtJEmlzZR6vZc1obeg9T 3cCbKv0ngCX66U+sFgWwiGC0qyjSsptyL2WQQswnCQUk+4woJzZk3vTxFu3pKw6vEpwgomdXjRRJ QigUzYnMHfXxwRohDG8k0zba0Zroiip+XVsPR7WTq3vPP6nxlHvXhP5UCBGvKUoJjmfSixSRvDrd FwCyT0rsUGXKK//pjpJU9jp0jgDU2lIvAATXVUZ/SifD3zAK1YQaoc+h0csEzSziz2rZejgI6W8h VnIBAmveGYnCV+71hrEg+XAbFCnO4thAcxWzFbpUFr0GFlVkj+qdS2pX5bIf493scf5nG2t4VNPX YPdImmF+LxvpJ+TApopFKAEqKtQa7SQ5R0R2TsHQw0ELff+KXs9RdrUjc+xThah5dce/3oZB+U58 J7iW3ADWvX5DoyqDKKnwt/IvO4BNV7TLvX2edF7i9yJ6AfMp41udtog+NKsi6yirtjsKxpfOXBgP eqShh6M5udVprmgw8ONarOTYr6phBJDdVcRqbf2HUMFTP2ElbKGEhlXiCvzXJGHi2NW34MAnB2Tb E62eVSG2wtPWsaJjYFh1zjt1ABe/iUaDFZQ07YA9V4MejlK/q8rLP8bXzizHllVciQp4gK/1q7/S LZSlMlafAkHgWnXv4KZKUvtWksg+uBxA5KQ6uqRYQBUgjzAltWGq3mn5O0aIHEAFggSPBxLczt+8 6ezQwxFr7UbznIQgEPvN/ALvKjIAXC1UXus/ZK8GKmgSm4FosTa/q22eWEFAVVWdEI8/zDpHy4na FM6fy3wtxa5LKCtUXB+5nrtOIp6WcU5Wmz7aIsQsftkmGnr4b4XYH8HGywPcCt2rOFO7iMk6T3K+ 58nDPeH2sXJ9YF/FoaTb5j9yxaX1miSHKbXzRk+rTrpvmQJqbs8Z263kGPpXaQntte9o5bVVZTom aXw9HAT03zos3S4i5+c4CeuwtV9fAHLTbtoK8G0vouCmwrGFlfo6Bsxq94s8f9pcMlmWKxODeAmy ZfTUkVb4OgRsf8FIxkjICAmR3B5GASxnyMVzFenhKF7b32UC/LQ9PYzQxApKWQcIQkjSCeSlan1O kW14JdCb9yoOQ1hT8TL/7sd0JTl4SznO3oMuI+cJUshs0fp1spr3JSPsltgnkII12uY582JCvWVU PRyRUOq/N097zpMoiIVsIvcY5BRWluaqumXnWxj/iOVRq2kX59DNSh4zODD76LkwbbKxJZW36evw tzq15cx3PNbXODJnf8noMhZ/RNq082rTFrQqrXriLxdcxjPfVMcBBe0eKaGjlQg126koBKFKh+d0 emxOVH5s9wSOVnxGUZrTfbxY0EZW3UWWbigLqKa/lRnyDf82+MqBn1WSBmABje2jI0FTMXfSmqoe /kuyo0XeIUfeCQmLsNwFeSeXFnDqwTBZknzdv7p9RFQw0Qmrltn4OgacKK1LteJc5wC46G9PqloI u5TxdZSo9w0jNr1YjfR0xrw9ndGq6S6cs7vo4eDW6U9Ph5Kaobbs50VRLNi31sv+jSaYP0vqr8r+ 1pXNy4Gn1Q1O/ts4zyf3LbLEPncMcl3JDuDtzcl/o3BCR6sqMgpnuI02ISO35udr1cN/0ReQA0v9 yf2ZkFCRNWNqIOwrdnDDD9VJhgw3tqiIYo2/2NNK20VSkxodmFQUwurK6RT4VJkXMAnM+yN9NSxa CzytaF4kKdFWH20JltqtLtZpEYemVrn134nO+OlXgiIHrlYn0JKLcsUZQMdAdZEBeklDfGHKSNXm JAxhTcfYPJgfiNm5v8+xCIMCDvzI8vE5n3vWoKyMvofruO8YdYi7DM2zj37jjGm/FVSdHFpbzVZ/ RSaw6Z5aY+hsVWWy7ZGJA3Lmj+ysXGlgXQBb4Gz1KFH8u2s+z/lHCYn7HJHTKucrmjxkLD8PkmBI +juH1lZNkHJwpeVBlyqXtDt463CNlAbW/hXAsm0AS/iMxP4BHHHVfv+627g4gmtPk/vFrwXmVtnq o8uUrdqjwTnSySQTAS3EzVHlVTy0QmQJIJWfXxabOfC3og23/9cPjuKUNXhz23o4KjfuX+crLiS3 Rh8aXNGvnvLv+0fgcgn5YOzRL44AqUGL6gOLq7PUZLA5lOiMLx+jTOmViHqwOAm1TBpE/v5jdGpr hu7s7xh1LtTRIqjXaEfsHl5E7WR/gc3VL3CFjDabRANtUj6vYUnN/0eFpBkmuNPAMOznztsS4oyA gp2wr0FJNbW0lrCmtNFOwCrTIUGsqDpuKd6g5fJDtckROV+9o9egpIKMkBhWu6M+PCEZ6h556/Ma ktTH31tgOVbYlFi/tdKiyTiH0hiy2OAPcqtZEqUvlhMvqWLrbA1mQ3Tyk45lH736Ok8SvZog020A JGfTE5iR0tRHC0sqdZ/XeKRSnkdsVsfqfI5VLJx1LuZOeT6YjGqt/ar+jejrM9tcvAYj1cCrS4JH tCZmsUk5p/hZIkP9YPLqxmZ5jUVYGQDBNoo9NjoOCdBRggxwYnhsfiUCzbHBIWngi69E62soUp0N mqWDk7cnNYV21jK169Q+r4EI07i9rsg/CJeqL4vXMKQaR6oLsiXJuG2hO2otBnLMjo/G3ft1KtDR YpOUZ3Qi0US6lrTxbJJufZZzVp8lIcvcdu9bE5x8DUEqUQRlEdjMNnrIVw28cn5a+bwGIPURI62W 84LbsJl4DT7YIDQI9bncKmI8a6c0i+IxhnDDxGBJjGKqatPHi+scCanFbRG75ArOr32iXbBmwiK4 OJpymNewgx3PRA5uaBttkteUkQ2R9fq8Bh082u5E0D+EGyTZF/lFBXMBM+x8Vdu1Be7JqQo3JedK pmGGVsFkUOk703BWrI2+KM4FK17FeaZVtCxkNMcdBYrkirVgjKm3e5+LJbih3GjGdaPBmMLKgGQB n9dwg0Vx50LQcARzqi+L12CjUhgaiCqY5jnySbZBVktOIDhz706rr7FGtcJlI1nz8cG2nlO0y4b4 TEuGGVw3fB8q4P3nocPa2wX7A4xZARJgo7O0imUw5ZxEn9cgoxpD5bs/Tl4tLCg/7DXGOE8I61Gv 8nnzkjs1C6uRgfbXDnmNL6pLCmzYNTb6DsHAULkh3/uJJ7aiifObcU6LuvTow/Fy7xeIekZJdY30 iKr3bZwgIrf8eQ0t/LU0E9pKtKmmTcRraYwbp+usu/6ISmYbTL5+gazDofGvdTH25FLFhHhLowcW 5ww41yQMDlLPLJbAEOCJIPxkP/WLT5S8UzQZ5FqTG9dGC8lPCGMizhutvGAyuqmFAP9RvIVfU7Xp eC2KsQgHdoB9OQrPLYjP97q9FfPQsV4rYtWJwOLo1oepe06LsnEoYbfndI6Obe2rYoYUP01lNBdx Hnq/aJMoWyWx0HhjcdOgI0r7vFbD/Hq0+5RfHtuo4ZPxzq7hOkRtwurG/fxhOUfAJKuwZoYQctlp 0XxI2X4+46WSoFzKzVTOpEDckb4CBNgpl+b0JLHNWMDhXmERACGxUb9Kb73aL4XQ5ju5hs0yyz02 gEbT4u4+I1HomTDZPB8vhvgJ26tweJsHl6jiW3VUIVejCdEdOdcdfb8gJHDOzCnpWISHyEwk+Y0/ s2mTmKz1MjhvtEJkCCMYtUaLuNLshndB2umdW1OfVl01Vho8Bs5wh7VFMwLNdbhWYB/LVsbZ8MvJ NY0XdnhIMCV7Kys5UY6NvkZmEXaBNULPWy0YcW3ELs5PtGE15CgEBch0dh8nkUY/QKrhlM43B1om ijeGG++Ke5ARkB43OYnCUOwtmuiigiI6sWabik+Vo9e+vcvgSO04HqaW73gvlxN5wnJlPoiXFH4h kimk5n7Kg8PfL0hPiuB2hF/9MX8Gy2ikmCIYxF8DUVV95JqVfD7eg1ErYpmGqph5Y3ozd1ajkkAL uPih93iUtiVTgTKXjT4hmOf1QhxEmwHHKcIO1BXEBUEZ0o4Qq5dGRwhf6SQjsdFOw9q69x1BIUYB qRMZLPKgIQB6T9MRxaNSA5VzwtkcQ9JlcndPsgFUzJdv2+U9In3SlJZ9tCMkUzxeUpw5Qfs5AHVe qPg+TaXF/XiGvV8QnSt3EPWvPNQ/SklKA3KjhxuFpC17guLOoz/wYWw63mNSflxCC8P6tWduLBI9 U5Cak8L3usWeKChFkudMBCGwRg9KU6aFJZkJdp+sahShkFn2W9gAfKHPfp8OiHHn3KDpotGO0/Nh gmy1CbYsCku92M1/yd+oyGc2n48oMCV1awr6zGTEm7d7+umRdrml9Cg0lXbg3joTdr+bpZ1JqFng tpQWpVZ1IFqRfaa+7+sHmO0Fg+OUmtewHC5dRFLDIbhb3VFvFgRkpv5VfwwjMWis3ePjPUAF2U0b QibdqIVt+8OZh2k6NmdtckdpgUQh6uBw3MqKNd5iIObfAi+ehKVBflGlvmnZ5vUVZ8nL3vB9RvSl Dk5dG7VEait248Ix/7zTZ/jtkmcu3fEj54Lx1OWdPsMJMt3Ji97KlgGXKABdFTF+Nr0R6Re/s2e0 ZZgRzFRsfLhnC0hpV04LsEyV8yKbUgpS+etJvO0VoymhY43OjY2+a2SSzpTs/Xknz1RjVHheSwD3 M/1EfWfOVMd0V7dJaKYrAaW1XPhUm+5p/k6c0cLU2ZHv+OQwFdwX71nNhpcF1KQI//O1XRFc9503 w1csZXzJrqR8scC4+6qfduZ0fN5pM/Vp61cp9YLWVYNCsxFFqCrFm9gm/nDVFdHUwaD2s+jpaTLe A9QsfHvjjPDRATFzj6nmDXIsfQhKttE8h21B0GnZnMj4n3e+DOe9pXO5+3ixy0Y8Yzd+3ukyfNF3 s3ARAOx5JiMKTlWukGE06dzsVgXarXl2i8ujhR7vXBlF/BabNh+9u4LcuYyETix2grFUDC0AvI1d osatRacSHHmnylQXUxx0K2y0aKwlkUkQEqyfd6ZMNeFjQ15yFUG1vFslik3Jq07MZ7YJqkuYfOBa 1oFiPjyhe6fJ6MZmYXD/2egJ7kmWz5KoCtbPQsGNvSWQ6oa1eKBBxd4vWh1NZPfuox2KZ6+4IOJc n3eGjM6c8SubE8TI75Z3fowSQJopEsVzw6Ys4GVJ1nLKO3n37Z0fww5FslVORjY6tmHtk9UqpES3 eOgk3Gd6fsBpPowhFWQ/7/QYzcZStaf5qANw4QZYLbctn3d2jF/TViv+kbAbBj4+G2GldLJHLgxV UDhTSbhWxHPfoyMqlRLrt8QJbqMncomTb4gas1tXxH4iMfyzkZMZ31Cs2fsFkYcwLwopNTps7IQQ 5sI06uedGKMu0/o2E1T78Ez/nRejo6Nd0UD2sSMKF6VGK4yB+7PZeI9Lz0VNLeC/YcdJWvo5Pwk7 yfRLV/I2xXrjVh37l2jEtjd8Pzo4p4sybhu9tzKXwTxW2p93Wkw1VM+Tt+CctW/p450WwyNVQgh2 ZKwiFcUBrmRbe+Vs/+Yqzu+8GL5C2piiBLaHGIgSF59LIQFPsCQo9Dk7wNANM961xIXv6vPOivFq TlmVe0GjTp1cS+tGE2rj806K8SzkmQ6JdXpp7J0Tw0m6gYGI5iEzlGLe5UXARdHeJZhEoP5OilFp U3nL7j762XF+z3NnbbtaVpJK8VYD+BxQ62k2CWT5zompJst+fueafPRuU9kGihosjveg1BwybtWj SjWDzWDzEQWlbOGONLjKQHJbUhmoXY0VmTnZ6ngPSsny2XJdFel+tYloT48s+hs1dcJ0Ba1qtEw1 Pu5pKr3Ad0aMtgtV9SZh2nbbnG3MeR25xuedEKMCVf6uD6mi3Sj9nQ/DabpkKW4KEa3l7aD+dU9T miSaj3c2zFMp9GbK1bTe1DkxW2U+TsLciKkrjXzJOo5fjdlm7xd0Zik1isdqowUekERNBmPMzzsZ pho82LIWPZS+bYZ3Mgxr6rz/WAIw8Iftvadqf5KCQXbO1DsZphpEjH5k89GTFgnCqJS+JzyNxOnB KjGZl9oNVwky8PPOhGE62PFTyZfGi0Ru05I4msZRXDpL+2YspGPJz9J3IgyL43xbawmuf7b0tp1B fbGvy+KejoR658GwnpWtAEa30e9aFODSkKX7hhZDbqG2fpNo6iPR1O39gpyF7lZRPVGjwyOWEVJP bNY/7xQYBcz3LBU3S21Fn44oLAUs141fie5b8bA0FRc8PxFUcgbMOwFGm0XXbLujX7b4rAw8flTx TUUeTWhkn+z2Zz8Skk2SVe8EGEXpnBqSS0k533z23H2qIQPt+LzzX6oJLf1qTlqjQdMRhaVw9Grp LuBVPVwfTcLOD7mu6xOCGL2xUwQtSvkWCE/QNGbpJv++8J9JKhBa35W14XNBzvd5J75Ul3EeVXTE eqttJ+jYinBO5lM/77yXmi+x0DMWxE/uMfoelDJ7Vd6TqnE0mdQaiW6bGITo7YbpiKqlhuLZpJUa PWFJY2+Z1lIGOkvGFcBPXkQGPb78jjXtBd8PDsFsx3e0a+VMrgXpZY7PO+VF1Z9966VZKja0DWw+ orAU9trO05hzYKitHLY4G7H1Kk1UIq2OqF6qZtueaqc8GdzCdyFLlorysYysZEIMIGF38U8fseJu rxgVf6Za+He0+UaL0rC2vX3e+S66pL3goejtfLVElzYjUVwqHH1LTteuVwJeCL5/6F9ef/t3tkt1 N57dVB9uAilNtSixBMDNqqSNfJnMzbKbvWUkka9DQJr2ghHwh0Y+6k022gpJtUzLVNv6vLNdNJe3 AWXh7I/kJTQfUWQqe3VL2tRInbpyzTfLOvrTrRHfqS7VrBFbojlk40W8TGRWVR08E9UhOZ8jRE0Z jrV/40nfeS7VjMhPqkBXTqOjjgW7o2rY+ued5qK7xadDZVZT5/fpiALTJSHJ4iUg0y/DfWX4dJR0 5Q3eSS68saYDnpmNN6ttCKZLnxjbTFOJp12HCw12Bw+jY9gLBh0GTtpODGCjBWK4ri07WcfnneHC m900TnfuOeF/+u24vDNcOEGQEdtyQKTS3aQWA5Npyo0ZG3luf47Ud4aLdowyfOUv+VY9Vk/n2Cyq ZZ1bEuNEMQfZdxWmXpnPEmn+iu9TUiFQbEmrbuFQfwxtuxRB9BP7ft4pLuy2C3Ng/Y1quvj6aX/s 6UPBm/9QYK3uByinkC1REETNpvByUWhqKW1R4lryE6qfPAaCH6H6wEhCt4rk4JOZsHxryP6K0Z5R 7iIyVPOmXIEha7j0WvRqwZ07L+7jR35Nt/Lxzm9hQZ4rt/diogZdh70IC8mBIDi8eEvund/CZ3Tp suqKGc8VM7mncOGx+Vjy0phSYWIehPm+XP5srxgcqeJU0hW20eKx6gYssBM/7wSXajI8jrElVFf4 5jPyHp+iH3oWeptuCNjUpKRksi4qCOSnASrj8JSrQkS5/RDldj/XiUnBcccgcCdOdgLiWeT7+q8r 5p3eomJV4WKVGXG/ZsQnsttWez2pwued3SJc0PpijsH3z4sLeie36JY+m0WVBMgKS01KlZ91x6il f/2r3rktSuaUxuloLY8G1Ymg0rmDtxDYJ6SnDELxA5CeJGO6lZG3v1+UzNFeAEllo924J9EXD+Mk P+XzzmvxBoWfHyfU5nxNz46JKqd0ACeaw+rpy9dVPX3UgcY/pjTtPcp3XstTVic/sdFPkBMx0F21 nv7J0zVfEjpqphpqub4kvN9pLZoP0rmR72hXA6UlCzPr+ryzWtR/9flwo9WBlIXPRwg0Rb7bbBH4 Q7Y7NyuO9GLQLRW+s1q0YYS6VXpbvuntCUIInXTzr7PhbVsRizHD49uybfaC7+epBNTO1Td89Py2 FUP1nBz6885oqSbV+4SoJQkE4PMRhaiUSFp2LbJmGktgYZrXgk6Q5YaA73wWj1EbGpg++oGKESXC UxLQEVAfYO4QrO4nf7su2V4vyPapvAwqujZ6RIZAngXs6/POZdGv1n8V1qlf36ZciwJUbpTe3Wa2 i5ToPgDWnstNAuI6PULQaVVprCQfvVTYYH6ZC01FpI1VbbEOiGIxgn4epZgWRqgoVAzUFGy0jm3O ogWzcSrPBhHZ/J3ts1EuVj8KUAWzK9aVJVtxcvqUefg/IrB19xNtUeU0qdsmNrxGr6uflXIOU47J hI7i0maxw3R/Ydl9+fsFEPUk1fF5R/9skiPdunvwbNCxLf3XXpkIoHpProfhKf1WbXj2Sul2yZgK wb/v2v4end6jtBmIrt1u/lkNo07ZC53sNqvTeQ6kjTclJJf+TW+TvWBEX+DsADxro0H2wc/Z9q+N ZwN+zwVICS6LikC7CUwPK6e8Z/O200l0s+e306tBUjhjdfSooS9ZDjRAffTooNQzD3JTz2c7FeGj lnwRJ3brX4szSq18ehCsU5mfVWrujzA6OLF1URQ8+7daIX9V8f6lc8SlU24gD0XbyF4JqcWZcMV0 QuFzRJXTri5U1gWjUopVx86RtFNSQQjgvkVsBIgYUgq/bsmL2rx8elz+4BxdPhrCYQmOx2UxN88G eI/5xVcK4lou3LTHrCd6tDL1qpQGvSB0Nn5VzazIwNomJApOpyakrDveDYMwmVze8JbJpi6LACka uxhlPVYZ9xWjdI5elCwB82MJSFBarNVQ9GzEAtvf+0V+Ln6e9oj8BOCiohnk/u5AqEW9Rl116LoF xjo0I2F0ihovZCcfPVxv0Gq589WoLFWcOEpmEEeoel50VLI3DDpRxPVJXrayR9Ite5aIPNjBTRae De6XLxXsXBsS7PH5CINTjF8teZlUQrZvmLS9RaeCjA6QkAFlwNpafbwbZqAivrPFpnUKgswXLMmt 9q2uC4HDxwf3LU0q1elsdIRUn2YMmMvm2WjH/EJ9kGzUe8GEFVSFlGq+mRqhLt65FbVzMpNpNKKP Hsem1mLKPt4rEUN3IQty64WrV0qy0jU7V9/6GiUKgtujAqpkCs5N2X30AmqrRpqkNdej6DT1/Qv3 cRZHfzbMe3RKs2eacn2TQIF62l0OP8kopJmQQRTSHjX2aTOdg6YXH282t/HZrjKC2EWVsLNfUByl YvgIPJonYI8KqFUq3NusNB8RmNbyNJ2CNXk2Ysn9AjpAdurznqgR4pQvqgN0QGNaJmHK5hLYzq76 B7/t1AqJWvvdAnG1YUxELotHu06kj+ruSTSkuMV8pCUzSk35c8ewi/j4iG89JOzbfLRgasxlEXsb jWeDFfK7HmTS+TcECUNUMLjT75gObC+bXINgD0TsK7n0WI+KqEtt26qmZf1CLDPa0nRoQJyewFsJ C4xL6bt/S8rd3i9I52hqosLko125O6nLKY9Rno0WSP32sglXmx+oI4pQZ1EhOV2Ch52sJ3S4bjJ0 5BWhjqh+OhV72CXTr+PdbqV2dAlUHlP3gV+evnjnbmntC9Eu9oJBdcysmeW7uS6EH2KEnYkn9OXZ gAJ0q0HKhKW+vP1EHVGE2iXHIuBL5Q9b1n+5Uo+mm51lwyt5rREWUC2Rb9vHe8V05LeNJoYmeVEH W0SLzuT3r9ZJsleMyaUn/wCmMNs35JsiU9H8Gjz7FyidalmakpvjjhB4WvHq2qZhsSX6ZIL1sHXw RTQ7Q87UEdVQxQNJWa37LOpBViXpHKBVEj/pnO9lq4lLIVjUpKdgiA00nx1g6WTwrja/p7XCjVfr 9qCRw7MRrcFDEGs/Lwlp+3yEpKjzuV2Sy9iJyh6TpgPqafYnRQ+KQkYUpS5BLYfmY1gvUbfuGuhu 83ueXHc33USkL/aeT4Xs/HU+O2pq050r0hkrF5Z8At5uLNOTJfBsdIK0bwhC8b8/OyaKUUUSlcCM LLrcqHmIxakOf78nSBSgTtFMxTbU6Av6XItnOjjCzpk6Ja1sYvZyh5zlEX0ZSupGVEFVY34gC26j HSHAg6wklPVstD4e6GkWgk/6zJqPMEaljMapxnZp5Kvgb/nWpE6XVbWUX+aIYlRDrf+3fppBup0N XJSYT7JDmqObigLd4md5gIDiw4OcjpB/VNXJnstrrmm1w3oCd56Njg/PcQW4l+/BTepGCD6dXCg5 OU67TCfeKhURTltFWDH1I/Rp1fHBuWqjpzBpnwWyJPjS2Ij6W5a3uNSns8SmvWC0PqoUPZqPXkAt w/L2XRvPBgHIAy/8kbh03l5PHlH9lDJSbd7JrqbzuX4GXQ9TrZ+u6zGi8qn156QqrjPHztKEs+eg gMIzSCyK0yB3kv5jeo92uUwO06h+auFHVU25XuOIiSCx1vvJhnj2r6h1KfZD57PJiMLTIVe5Zg1t fF6cIZaXF5PzuodHGJ1q0W/L6sYXSAf+hX45N8u5eIV/SahY4wHWHhlYCVXw6VFwSgldbCSN9ukn D1Jx6ES2lWeD9LZeSjbfpFzOvPkywuBULNRqPYYmxSGsUUSgMEhucmDhCMunymylo6XRew3j3KIO PB1oCqk5h7Im/85FDb8N/hGSoaqUgmlGDFMCI7DqUPAsWC88G6X741d0Ci7ibpYZFlABtqmvabRj E806i55qwT+SWALnyoTMKD61YB3dfxs9Pj35Su8Uz6mQFRSCvWBEuy8/4lkmCTTD7n6VFnCZPlq0 PjLhogBMlWeD+bj6FtI53d90f0bBaT1ng8rIS+ywc85vblgcxFQWyoXzSNncDAuo+kWryuv1Ka+X k7aeG1ZSxRSWm4JX4i+QnfI+d59DkV5mFJsmgQt1oWu04yM3d1oDuD5D6On4NR8cg5zkNiFRaIqK sAzJJJNkDpDAd5Kr0J2wSaF80mcEG8aIg7n66AfIWieVq2rvI/e/rP1yUkw4vPvfvbkZ1k/R6BDE zUbHOvDpCj2mno2mY35Dj1q6mPE2HVFkyo5slybXZK6mK+X25ijl2OUyw/KpqHJIZdvox0ehfy3F 9vPrn9UtzEzit1u/2YM6TGfY2Scs7k21oOa1oJL4QKGl2io8G1y06cn0rfvy9OZmFJieBBQ6SLEz NAFdlfYep6KqyRk4j1K5GVKiBDtNViO7sPV1Nsj5EjlDz3ScVdF11xLx0tt4SmOmoTVDShSHzwS/ a6PnLdOq1tC9eTZoRrWLWh+2f3/m7dzOKDA9p+aJmnuSk/tEb7Ko3oF45yDJ3dJd1HyEbH2pJY3a ffRq4VkR2Erxe85RZUp0/j+jpgEa+ksCavaCwWGqTIJL2kZNyN4SY0pCzvJsVAm6+jgspfVzey8z 6uvTNvd2Pq08WklG1q/XwX1dZcYZ1U6XDo2lyvl6dF5Xl6EHd+S5jIsEVeqiJaVto8py+TbnZhSX WnNuKY976KX9xP92155lyLNR3jK+4GRYi/25XEJOFLYGabntWFrWyl7Jw/QMxsIPj1BIqgrhoDVS rqIW0ZdMyrNWx8l8x3aIKtzu8Y1MhbWcUeVUQJgO5ddGCz2gjajsMerk2WA6Ltt2Wu5nmuKajqiz T/TWdQ7+I7gfa0EAGuqHWh+oVKvqMaPYdCgh6aqcfqPHikVXHwZ0SGVIzZSS+I90g7+hhxiVMwpN m44PUKQ2OgeouzjOOex5NsJ9/NotEry9UMsZkqIIO2Q8STablNQXGXc6WBtTF6usz5CpL8iHohiN fpo2eG00jzhNuxNMQdoNgHS3MWeR6YwiU0E+i4QJS35k/k8sZsieMTfPRpGYp7VWMQJ6eqtAKwpN Ex1G6QlK/7eaw5ghf00a53KAVtTbp38Fm7L4eCOPmRpWxOcZANVNTmR0vtDsoB3vUkHT3y/Iatmt Z1VlH711Ow0nBZqOZyNO1G3MCWd57hqZU+rnhYVTMrDm2klVkYBa+5oYcmPmmLtlhZGp0Nf/I9RI Ai7b5IK9YJFr+eY0HdrPfnjoa+azoxwfFJ2cD2d+lJbXmLbeT5DLs9FmyfcslUc0ZTWfjCguFe9v uEqSWziiV+guY7nUa4C6wsa+fi95akue00DRSzowTTctrDvTjualQAa5F8qPq/JlPv1PRSDxqDXa YTrQMdCfUKILA9NvWy4LgXvbtivkRGEbK08UVBtk4aO+/pLZGknx5pvTfISg067asRQM8tO3nVDE cKtgPs62H8pbOJ34RGWOdz78Df9EipLhs0ZHDYxpncZzNfFscHo0nw8RK2khdw/FVihqKo/J7ULq 6JBeSfU6zQ42cz5K92SFxKhhALnko3ehsGNoUnM+mc35ZLU9KrprUzP9dYNN9opBIcikPmjUpNwu 0GaDkVeElTLPRtHHrYppyyAUeI+PKDhVlx0sthkRLIclt3KP05UdR7dCwr4SOUGMNHrVFHaxSJon Vli1NrndUFXNKmPsbydbndsVdfYhnZSziu/odQTPGeu50Xk26OyvX51bKuqXK7ai8FRC+NvPi5a7 UWAWYa5T9odT9lcYnao+vNPy8eL4z5Eq4l9BAVaRr2LRkxIwHV+k1LD3ixjIoLQJYhg9kFityRHt 3FKp8exfpJP0HFWyi6RbITHqnG7nMjcU4RKVnj72QHSh0YOStKqtjxB22k1Ua/no6wPX8bFdmW+r RoagQ4Z29dMeS2l1T/jwIPzgqFXf1EZrMrRmPwi4AM8GB+oj+apcusBRvxPyJ+DpQMeMfv75w7Km XAbnqhpZh5Cn9GWFpVOFY3RYbbzxRyujp+yl02JQGfQC2QGPGHAGjaAPD4C49CCp1PloQAfs8Qzo wMkWhadlXmgha3v+0steYeX07L2u6r3SOameks4Z5aMJ8+ql5BXLnYo1B8VRox+ouDfKxeC8Pagp Qcc4M7jWJDZm58cc/oYRdY6rcgsZdIFHRKrdJF3O7uPZaIVctWz6hL0qr9aE7Cg+HZVaUDdmmMSQ 1X8RPcE5+80OkB0yowT8wPrJxguEmSiyEzqgAdWhBFWCeJhRxDZfMK58AHYUoTZAMLJQtNF/k5FN RBx1zx1FqLv+UhHnlim+YfZ7fMp6PBGjiToMEgtHamPQYn+ileRyuDFpP71Ia024bhtqPtjCvIy3 DYwZYzsp39sCkSolnx7Vkqmtb0Vm+14wPU0JL3D3Tp4NLpjktVMRZeUQf+tBO0SfwhxHe6LIuGRn 114bKztxfxLIcKbuOEpVp7JuH22JtJPkF7ANZk2RTJttSQII9Z5vL2rbCwYTQvmryEtJo+2Y82mG /TibkmcjNG7+FaSC1vTey46CVMTNXXIf7f3ptuurO7awjus1t8OuvihRU662llmoHnQu35NPmvTa mdxlUXqVRfWPZE1ubX3bC/5JfA0pShstozuzrq15DujFs0G98NEM0vqAQJXvjgnBp5zd9YK1i4tb DGPTobzf3Dt6/4kXBQJq+OjMhsTlVJpJ7xNlE0+C3ACWVR4iEBmjPjwI2ZeSF5lWtv4FSiW7cU8o wrMRd//hzfGP/NNvyL6jAJWsu61p9LA23e/VtJC4eWsV6DLpI4Lloar6f1OYE0nTk4M+v8++SVMI dxQxQKO3BwSzk71eEH8I5KCOyTDsrS2OVNxKbfFsJKD04KTk4sIF+KyOUOz0/Lr7+h9t86VA+kRF TSSUGhebnaehqJR62AQvNt5e5bYsl/VxNqIc93bSXtmmD+xqBsQsfHpUMMTtTeLTGr0CsobtFyyh dlg//TJv+RCEh3w+wggVjICEElT/cGPxc2YYMEYRts1G2NdfhircPnq8XumVZMMEnX/I/XsTlHbp f3ypDYrHdtTYl5FfSjo9vuXC3asKT3mMyrNBwD5+B+z8iO35yw7Lp8htSqHiH6n4GCpozqtWmEpz mtiOw1Pu2aS7JdXvfOyTxnVrzEGSbTpjqB8IhHyTOQlZ7pAWRTIn3zEbvVooFXcOj6xn/wLjn37V Pn39HUWnEhZobg3WqrNN11wPzLI4cX9HfX32AwoG3cfbmFujy4gSFW0gecYxpD1M+PwcpgQIesEg FiuyKeSS1Gh7ZQqBxt1SC89G6dwvQSlStItKzins6wM62Iq3GjHIVpUdUT7+JK8/rkEJ4aYwPDXY mML1/YTraZwDdUuaE4GH3Zq5pyHORzZXfuGkur9kQBarQuIyh4nyhAnp5+mKW73lqaf/gsVleTf+ A/ohPi8hP4pcDEeCBb+BY27AhEEMfHHJFJEibVrCINXcPEyl8SHA1DzQTVbUfrLdQvBdWT4/GA+3 8hUIrtlfMWppg3Wg2mij5XXQYflTGzhCpShM3e0XXpseprJ2m5P3MBUCLn7I03BSne9QBG3JPxvF UpZjkpFOIf7U0Ovjjt633OeFJINIZ+okHKYyDl29itd2OR8l+yv+qc2vgOTrCwGxS/htwCZ6OIhF vvKFMGuT6to+J1GsisyA88WoL7tOzJpXCCS35E4ngqe+L29j4fbko2f/NByG3EZoG2DKKGbZ1s5h AxmmTow4fXqEIyMeyYpH8o1Hzj4qOmAp3OrhCCk0fy2TM6Hz0tZziqJVAqApm14kUdJwXH/vw5Wk szI0WyZRSXU0S+2mj57xzoZamBWZcbmSSeEERoCDAx6qvlC2uOtqvQRxZybThwOi0c7HWqbpxWzk tVPY878E1E1UYn0gn5SwqgodhhOMlv/AgcMF+pErJ1yjcWJgVJE2/nDOTmFt59dnfZ1ruGxF7B0p 96ZoZDo8F2sAD0wky6TPj/JeAYjr8NFOlNVLM0Bqbno4YqGWCyoDoLtNwdlmJaT0n9+5S6BVOv2p 3NZ/81Z3T9c7Kqcodp1SbxByTuMDWk45Swk+qeo+FK2qtieVw0fhURmEPv9PHQldVxptqax6tenz 0sMRk+yXRKw45O2ZlZDYD0IuZxd5NMCuiP3jEvsfr48Ulli3UFQmUjafM2X2Ao/HsHZJ0tJQhc6+ pksluWnL+Hz7hOQpmA9q+DM2Kzr3nbNi2POtNT0c4amu+ANFVniO6zlnoygWxnxvdm7A4lHCK+Ps bHAAgTX9UIn4/VX8fjEKNfqkJNzaDDOTkdjX2QoIg6qATgEnpI77jtHlg+VH4kvWeOH+bvo82tTD wfa5AhASjSXUac+hEqpQJbj9gujCvVTFBD6ItID+sW5ZvtsnqrXSUEbPb/voxXgCuc4EIFF/5ke5 MDpoFOxk1PjbNTqFYABqTBhv+KjNc87PKp3COhS5haL9F1vlIHdwvjdyC+2l5hLV329kqx4hzHhV QhCC8N0T2Et9G+D/gs+cb5IDVhEkVYEkb0JE3IiKfiSH4sQydShyYC9lR2U9uUHy0fOpWQ2beT5A D0dJT/ruHvkyl+sOExpMoQxa63DZaRTwXL7/QgKqF5ByYDAFRq79b4tin5C1nzhLiKKORLtiEvUa ZDL1VQad/oJBSZ6q8cRnzkaruM5t1ddyDhk9HMSy5dF/NHfZfmsmOTCYomwNLqC5JZs5xJzNpIKN afineqck1Ep14P/w8XIhTkRxgsyiS2KdIFZrItNL4mJd/y7K59BiitWPrFX20TLB8xdNfuk8r4eD VPD6nGrbwzhfzyqJBKkQrl/LhbfXci0VxKK7F5LKtfjMocuUMam4vWz0yjzM9jxMNGSeJCgJO4J5 HhXyR1OGlWSvGJF22TMi9KWH0Hdiwma1k7PM9PBfdo5Ok4E/zb13Qp8pSuUN8QdclKTLB3VoseGr QpWlyny3zwjKSYpiq1Ts66N1MulInrvTiAATiW7KKwo2TITJSar3BYP2L8hDifPY6Hia3HSuVHDv OTSaKqv+mhGiy36zwMBqihIUdjLJ++FjmqzuLsp4sioo5e6cWNFfVBHBNfejUb44WQocwwLGcSpG WxDeF9HJ/kJIUvFXjE4T8j91CfZj033yj+30hln08F/wzeakIgD9s3VCalU1weQbstlxsrJ3/U7U 3J5LJ0QJyHlN/qYa/TgpmLKpSpbh14lfs6YsLdbPqk/I1ud9xUhBRCUlopfxlHj5i3bEZhzrAtMp jthfxg9ITbFffU6iMBY++4J5hP7jMi2ipdrPlr9hKfJeUMgW2E7R+pBHmzFHLgkbM6ENiUZ0Va6g YrBvjA6G08+dkJj9HaOVskEZsl402kWfmt1BdSZ7OFgp45bbtNCbxMHrE6CEBVnwzkuVEvQbtrPd C+LjVRoJkhOyxRJLAYjynrKPDsLKg7C+SVnGVM0EOZFI8c9zymLobW8YJMc8UBSrlnyXSp+5JDtv uz0cRPezfeMTIuL9XMZ/QrT2vt3t0WonKLvN6hlPT/W6PcbmUwKVqDmRfjn9rrZ7VoiUhLbRbYxx B6kivZ8bs1kgG5pPFbN6pPTwpZJ3wzMRoZSih4PgvvxqkhNA7qcCGRpQSZtNtoSqLNVLIUkPbGDd LDB0oFpehG4+Xpgv+bAV7s+knLBEFY+hXQqjxkoo007Z0IJqyiME6SjGpByw1L5ldHtShJX18N80 qxT+QI68SKwculDBNB4knXhhnD9IgQhwD0U4mU7jc2I7JzSiUiFg71J8vJWligyx1klCHlbgNCwM BEgCC37BevcdgxNlihUjSHi7ou5ETqLltlymHo6Iilfgjb0DmOo6+8VmVMCBIGxxpC5EDXSGTBSK DDuwZB6b7EOCdWIrQOdEu6fJPvOwTkS1xQ3CO0Bi3XQMpHWnw0RLpSiz0+fHgIpaELGxUZNyFlva zr5qejgI2+qvsI1vSKJXPi1RLAumpqdh/jptTeejpeym1LmquGvTElKwsikUJR/vtMxy7uIkedWd 1eCq8pmXMqpEau1MWc9LBskxGrEbeZUi1orW/dmZwrCjFtP18F8I8YJ40mDqT3IcelNhH1ObHyG1 Tpc1t6J++TGvMcVugTeVOXO31OYd75nS+rmfVzF39TMfaqECPJGcr+2eks3hLzanIqwY3KQ22n0D yElRRsM1NXCnSt+bR4GhruN04Xs5NqgiwjBMPPWFW01RsqCFIuEkWyghnkBQxvPr++j1AlxgBjXq QqMXKB93z1mBm2PqqaHcgzYMZ7NsACjGabQQP69u6n4nXtHDwTK5ybGIJvgefFdJFM1CndimLAry V2Htsq6stlGRWbXfPaGcVTep1eSjh7Mnns1ZSsupY7KlpiHhFvCbh9wq0219eNBD56rN4GRttBB2 1CF+xYmMhx4Ogtl0y0pEndRjH0PZ0KeKY7+2YgG++wF0LGcsD9qlP5dxLLaq0+Tfxjs4XMw+FqHk mZwTulm7Hm1w0o5y9d6HIGs5NKpCW+AEgmP4aIvknEqOSaIbGDpVlVVueJ8whHwEE3NoVYXedrVz tV58gfwcyiMYkO+MhI4AhpuX4Ht9bO4KJZTadBXDGhIVP6n0QMHlaQVa0zj0qoLYgVxa99FR4rN7 KxCP3cCsKqmPeMMT3l22sj4jURQLIrypECHg/DRLarozhnvNQq7aQRLzssyGavt4bxwAJ3J1Rm50 SK96UU6Sk7q4apqTVbu/YhCy8d906jw22vF6rkIDF50cSA9H5RNPjFWp5tK7rPAc+lWJLEVrTv4q 0uiQsUgR5BUZWvncKgeMHau26RNPHz2yL2ehjClnkXME5G0EYciCuhmf+snwN4wyQLroSUo9aT6V R+vggvDdejjYN7t/cx3KytcWIIeWVbALmzgFXDeQsohh9xye/J3sInkIG1hW4T6hHZObj7/QWlNf SiJXHtIxpsCEaGKej/fMlJJCDlyrzG8RYOPw0SEo0yqHGfZvDm2rHjOeS9V61kiIfsWiRtQnSQfA exKAPne3exMOwzZO6FvVXYX4jheUs/E1EuCz0IDIUsKXVQKZ3PgWqOt9yWDnkKdsGv02qrh24kur 1Z3caejhv4j1cNzQ/AbG4LMSMrVASDSPVpsYuXJ629nTP3mQ2zoJmVoql6lWpPFOCk0NUW4SxVhJ N9NF/lEJ8uns5PuCEc9CiAL++/FgKGuXOyurJE09HG2cXzQchfQ3+Yv9q87Pm2sbpnHu7GDxbvZv 6NqW9cxIrMCaX3Dj6ZypKI4kBSWrLU/+zn12/h30Cr+EZV2vjw9qbDQoJ5pHNt7y9LyXxtDDf+G+ Cr1V1Rn1OYliVyZ4apa5e4V2YOucQNNErwC6z3uahEoCNhvq7JSns9MzRW66ZufOaRjxmmfC1gLO j2zPXSYRWUsatkUAhPLAYSdVXUVq54bXw0GF7Tpo8u7cwBf8mUMrK+KgbqQCRA/q9NNEnXvRT1J/ bpwQDqu6Gj5CNl58cD97g5MGuHTPcM9OPC8CG5j99nX3srJjaGYliEWWAJVGO2FR1DLJ6l30cESh /1e761wF9dk8YS0WqLMKcJIUmFfeqdcrKbDTPWKj+FVOD3vP6qPD2c7JuaBJ/xjLUUWwzSrHAJYO 6XUL2P6GwdYh3utog9hodROEWRSBlt308PvWWekX8FGC9U8fI3S0AoXQqzrlgha4Qj5GIZb4YUd+ 904YwVq3S4IN+UscP8fGSSmpT/HPliSYN7bJeArm/lQdi79kcBXztUz5Ts/Hcm/SEFCLqIyqh4OA 7fq8F9897dk9YSX2JALnhbMkaddgzzMV+nnDrLynawvk0NmqCyswRA8f9akm1fPLdleGB+1HIjQR j8lg3MGAPEdK9pcM7mL6QkX5Xck3+Svn3DZCW9PWjkqx45G6VtGeCiXtepuX0N4KYcNWnD3eLiVl NVctyVIQsf0T2FtlL1DTybXxdv3HAtbIM2jdgZcDRQ2UBPXNr99X8TeMzlkCFHUy9v41KeZaWWuq ejiC+e3vkUId4gE+hv5Wyr2r+/BWqTNLZUC1JdKDdvvnob3VktVbFQvjeitDQoHrLTOozL9uam/h iYAyWPkCqXst/oZBF0N7p8uCtV+1yQFuyyrVrejh4OZ5oCdU4tgCz4xEcSxNhiaauslNJp2xGz9M nbGlZSce5MDhiq0zpAbWffTiGriKLVzgmZ5zzRtxhZWsLOXfWJzQ36rKgUSO2BqTx2kmV3uO2KqH o7bOUyLImpB+seWBwdUJ7BN+tNuUBVx2okpsYKgVeOLQfRuAscWVqKDqc2r0XdMRS1jmYISxtes8 ndAaPuajgzUsYAssrqyHUZMcxdLjKLZp8GQrTBc9/JcKrEN7z9uNa7yRA5srEPeECSfLGbqKqRvJ GI4VNwQpgKNebVbCONYa6Lprd34ASgN3liqUxYlQppi/Jz5GDWUbVujS/Yq/Y9wrrsLd1l/o29y7 HZAQdnLodTVb+o3akvXHxTyGZlc4ZLqfgIonJgK1a1pXdaCWe8DGsgPjf8v1sGTBTg+5sYxZpzo/ qOcVVWqvbInEwHPodiVDRQRQfNRE1DMjxQDDOl8j1YG1f/X/QE/1B0Yd2F1xURm6yCEFspgEUpAu CrQ9KNDA7iq77BGJtI0ess1sdC4aGKvqvndHRZTqKP79y3QzB45XwB4I15TN9kfi8mxHgy+caH3r 4f+PoiMx+ffSCZWxzlJf1icvP1IgBlB+vju1vaaYqMnhOIHpFfxBTld4mDY64PFkj5TZBI0996Zl zexnyk+qEnlbdGd/xyAvdl+B4qNvzd4Md9XJAQPjK3AWV7hVMseUtO45+3mNTZrxW1qfRo49f30Y XdYKKcgctwuzeI1MWpIISsP3y0f/SeBsivYuwKpUgRxw+fzImhx0wLStI+TJa2DSTDyt4Krmo+bj XDsGpgHI8XkNS5o18UBM/xh+48waW1wO9K9hSTP059hwAf5BypPjWKrxVICbTPPU7da2CWYDX5Gz DuTf+VXWQzGzL6Rz8BQ4N5FualoJmIWglDedK6vi2utknPuG5bnYijY6pm9lbZjcqdK/ToYJb2gy dIqQT6NnyFy8BiTNPEkkFEYfeC1VAphLkjVZcNQiLf3ORwQrQ3NBwcxGD9FKOR+3JIyFhklVhR4p BXBx3F4X4Tj1eu8LQ2prVYBIjXainuNJKduJWNvnNRhpj19NU12An9d8Kl5DEZbF5IhJhlXrsjyR +wZYHG0Sdx/F8ft1KmD6nPMYE1AfL2PkXLJEHmyShSUBfwthfYnstq+6sb3e+1ToMgU66qMvuWZa ZOX88fMahPCbmXsKx+cNRnwuXgOQBmqcgN3pBbX7DMzsThMbjKW5KEYb5HxBzEHy0ReFlBXV2s3n 91FH7Mx4p4jGD6yPXJoymdfQg+OiSB+M2pdGm2YEcmR01cv6vAYePGpBpI6LJQsBikGai9ewoxGi DmwwhhUBitudo+nz/wh7zyRHciZr9z/XktYGLWb/Cxs8xx3B7H7Dq+41w9TM18EMghAujhBcz2Vr zecqmA38hM6xybfV6IdnAX5laLQCmRXRrEwRTfCfq91CpV6v9z4ZxMxFYZyNVk9EreFeWp/XkKPZ 1WyToWuWURpBEuh/nQ1un33ujW3wq91FwlkmwWt9314egfhoNmg34C/qo3fdzmmZtqBE6Ww+fcw5 XKd8h76egVAR+OhgkwA5Q5XQR5vo3rqRfc9p9nkNNThqTAix2bVa5jTLQGmgRocn+1adeXbJdHfN aRm/+pvDt8lrnMHZ2aUXpR++919KZifwUXEDQBqZLtukYqHcfwTufGTjeLvgHhGjHq0xG+0ewezL nDZW/ryGGHotPzC0n8B3Z5uJ10KZ9oj9mB6ILjsvFmVUmwlZVUjfKDg6QWGcieMmyo/RdELBc2Ey AszpxKNNLHo4biegAxBZ6xewaC8YXaqIwtNqtNFKH0sS/JqM8XktkXnkY6enYiPw6JLLh6EdTAfG Am1eRcEH/2xm8DAHXEuPTwjOT1B5mc6RjX6TnKvjZPCUK9BSTUV0epGrUNlqtLacGCwFvdfqWDOD FzwTk48ek4Pl0r9m/bzWxlr+XquaOJ09PhnvbBtuxYylQXH6xBhuyrvNTkEe9CoAiKwWzQeFE/Xz bXQ0eKJxIvtG+rHL2pn4GAFmML0Fz2abkYKj5SFXGnm7arQU9uwaQ3Ojv/lOtmF9TA+6RC8AhwMA w9g9wYxALqcgoXppo0gFMm/jdrDkSYN9owWgYQSqaj13kI2+X+bJIipFTuxRqRwMgZ4V4o+fKSi4 lUGW4XujFSIhDphzNhp4BghatanRq0VRaPtGoTKZ7X58vFNt+HO05CU6I5+vZJILJ0aobnSOSNGF igQzgoW5XRg2eo8KS1f0/jhL04lp0P1OtNLpZpYnJh9WUI4CUXmUohflo58fbfvFAjL+PRIF9HFP U5YfeSi+VTYhUTAq8ntPXuvo1/g930Kh+A3eyAxO1G7XLBmZxsuyOTPSBfEnhMGmnBOX/ISvuJ9C oREZ36NRxJMoPnPi22hMgVGWoS2LIBHhEfJsmCx9NAndazre41HUEc/vVd3kfUmOnsjLQYkUo7+0 o/eQ1DRvG4QCH30+4FcDXD/PgFstRetniUGK72cdNh/NCqd/OEBIeJqPth1JAW3JA0qMYlLHZp9M hduAYXpI+k6w0XIkGpfv+9liSXqsUMXpEcBCooCjnK5/3gk2HMtVaUq6o0fR89wgQ8AoGlFbjpOy ImiyWX1ceoa93/t0sC1OjtbvaDgZCMvdwtPxeSfXeLztx4c8SX9kk6PpeA9KOU9FJbcmf98PR3xK 3kjCAg/zN4xKxeLiwLfRj4/dqQsKVkW/Gx2BJpYqprT5qW+gLqgXfD8+pCOfJV+q0fLYc+Pqijir eH/eeTVK/Hy72H9xAmYxfTQhUWSKdXYz52oJtLre9WhXoBVpH5uPKDQdFn+35uON0s+j1DTVoSur yluCGIIwSfqK1ykw2wu+TwiWW2VsqYLtR4RjZRPmpxb2eefUKCC7OZzOXtQR1j1P3wNULgdUDrIn cVPAXQrPIF2zKU5W9zp/59PwzhaiIupj16dVSgcHYJYkKUjeaR2rLSyb4Ig3JCvD3vB9RkjS1aD0 UUuk9WW4xNpRmwhD1H0TOU0jnLsblEUhKj2TrY4VQRkeSyqTLqGrOVEbUcNQNT0MUm2JpDt6UHbC 0JOU6gQ5EzWKujOgWSmPyWz7cSve9orBjHAzV3aWjbZpUh06vKlKfN7ZNM1IW8+MnH9PD8neqTRc uPysN0CHFaWts9N1fy8QrxWyvzNpFLPfus/v6s/JK+dcxAxQIPYewIvUXWmKk64bi7AP70Qa1q84 8l0c+f5E7ChwmCDYOVDfeTTNgNCaC/4H+qDtTkYUnxbRNlyfppo5IBdO9vJPGZ7NvTNomjOTUED3 0atVS4be23P81IbRXZIJgYyby8kH5vPOn+G4J/9aeF3beNMXpZvUPOfnnT6j28WDUyl1szuLBx/v 9JnmYl6SAyU4Te4Gt3f2pVH5u4o93skzqlixR1CctNEPU/xLqJRyuSCiJdUwucXAG6zpqZIO6Y+8 c2cUfRCHqdiq0aKxDOtRF2+qn3fqTDM6xbNT+NP9ro4wNj2hxS7FkrctfS2JCQ6vF5bzm3iw/k6b 4a8SdhQVPsotfIgBcZadJEMouxaVA0pj5xlo/+KEir1fvDzOjcRdVB8Wa+ejbb3P9XlnzCg29dkY FqfAtfHpiGJT2ogoaekekY9A1pFaTcoI+wDPbt/5Ms3oS9C/so9+kJ6j4SQqZOnQmVq2ZI8SEKiz h78q55F3uoxnH+fc6XfUTNPvdMPEVj7vbJlmvbQbetALYB/c3RKWSylWCUlKbisJFYFS26192G7p +ohgOiRuY0XT+WD+gS4vKSqewHpJWJDDA/kiRxveUMzfL4g8yGk2ZUwbLZO7hAjkKj7vRBmt2nz3 Slbt47aa3mkyarxZemXXSvIQdZl3s6Bk6c7Ge2DqeVwWV0+jb5UTYnR6+ZSCThpqaT1ToGsVkuPj 47TtDYNMjt7bFAFt5itddFLbaRCYlfbnnSXzr/XxI/euH2GLNCFRZEp4tIZzY3CEsGLQVJFBAhJi x2i7vIemIOqQbyE1sNGrvIvvn1Bspm6w8K86oSr9QYkJ3cxFYdg7R4bp4CLaw9QBHCN1rv7RJWZe 8cR7p8i0B4pq00Fou2737U9104FVGQB/XB+to1DUChETvlhkps+IOrOdlIXmgsbbmW3tfGPWBy0F HUctW9Vo/ayn52RhRxiUUhcrqrpqtEZ1K9vE7gaL4z0oJXx7ugs/3JtFTR6bjygq5Yg+h5sxhvoW Hpc60LgeoyePc93vd35MMxvKJucZG/30WPhAyCI8sW2stCobLUAN8xHmMfnAd3qMtgslIBr8Ntrl MsZeD3z7nR3DTTHqr/YsUg7DE/13ckxzzMvsySH+24uofbhSEeaxNh/v1BgltkUVsTv6+dFPslXk OJRrr30qLAWYAL5MpAfvz/r7BQ1awvxBxm3j7dzXZJHHmJ93ZszvPE5kK3G3vE74zozResQVz7tP 06RZqXvM6kHIhjaj/fLOjFFfRDslFx/9ssUHFH4q6yOfQ5M6IW2tHxN+qd0gltTyP++0GDWRaCoO xadj35RlZENb1k7vOIpMZ56/ArEklSKbjSgwhf+2hGX6B6MSCzOYRdoPxuuedzbC7r3qP1O4jnkB f3jRIvY9bLfQY1YjQlIBvP8j2dTt/aKkpUiTPPt4Z1pdQxKZ/nnnwzTzW7Q4XTkSrgM+G1FYCu21 t+ZhevWtcm7Y6nSY9gRi72yYb1V939H3SmtpyAnvhB+zTF3qiSCMcsV+BCWbFKze2TAepf83hzsT W8yeuNbRPu9kGGX47Xu1cDFmr3i8c2E0+dC4p+t5yZZAel4CKGfzlrDJiAqmaDpJVNRHXxqESJK2 5co9d1afnv5LeOmZC5K+zzsLRptQTniy00y3/rNqLmrnn9Ojft5JMFz/t9phIhKqZPtkvAelpoDU xQxRD1/mIqwNmRqbLG1yUNg7B8ZBSueL9uGjd1ywDFhq+5zY4PzPIahyNlGIOr58jzXtDYMGAyWs JSWv9SC/UZwwxf8yx+edAsNPnfa3oI6yTX+Ojigupee02zA1wF1dDDBvEQolCyiNQk1IVDGVrq3o CTZ6PeyktOeYzKoQnvRlWU+OjBC6k4o6V7y42ytGBTFOj6YacvvGNWOao27v7fPOf9Et/ZweFAQW MC+fkSgw5XCQJIE385s389eN1Kld24YJS6Y6PZLSNIvEpjG2KQfRGsjI6UHv4GDGrrPLM+RxDUjT 3jBCAHWdHNtHr5lKPpt/tfV5Z78oGLtZvi4z4G6e5r+TX7hdJM+wr8VosrYtihxPTz/55RJWTAEN FpwTbbSfUCpNSaZ2+HTUqUC+iPTAyfZvZOk778UL9nRmi48GVW/d7+vS+ued9qL+xPquD+Pg+GxE kSkT2PZwwdXtwndb8DUFYvu5XKJyKWHcCUnVlqtfb/N5MtKhls9ZOWdPQCOBpPtjR836sjuGvWDQ YlAGJ5sejRaJtXq5lmt83gkv7THFs9sFN3QK+QYQiwJTsivJiYq1LZU8wwH5iVo6hCtB1N/ZLvoF 6/8UTM8BcrZ2NhWltM9mF7YkSS0c2B8zfheImi7vdBfvQ9dEYM64rBS8iiHbfyj4f97ZLv9qurD8 WCHbj9R3tosKLVnL/GciBw+7zED7KGVtZXVs1CnUXBibbgEI1YPc5eb65G9jFRWCZquJ0LxByyWJ mDKUfqrI1V4xunU5O4Y4haNcjZ02JYV6jtmiVwtu3VV/rZH9c0sf71wXFiS4aDl9imUpGqFYltnd R9rcbnr2znVRMSkp7mw+3p4tTPMm2MfofciEegPmy1y24n5dZn+2VwxOVJkGbNkm7tu1xZVRCTtr 8vNOdmkmdvq0KeUsUP2KeSe7CKtcaMZ5aUyphIxGU3W+NpvKYJVhgGo4mNF9vLCPBugKGDitABJd EXVPgIo8/s7/vmHeqS6qdMPXXjIaXfMJcZYBvs+cls8708UrXd8IFY/zcUGmYVf//P6rJoPNrXI1 ZEZd7Xb1qeZwxbyzXC7QlF6kj/dQBWqc6fqkc7V0+ZJIBh+PDNOwVMBe7f3+lM3p5tZoMRlJtGtZ l887w0VF6HuAcPotcrt02/rvDJeb3rIoO+UgwWaU3rIuhjBTwFRsy4RhqmrmgJhs9KRr7zFg4arV MNEPJuFbEur5+fLlpOn9TnBppgV6PoRTU6PtlxOJuWhmXZ93fovykfrFY1O1bePeMSHWFPfuPbZX g5pbSGQpL6oaBIbBNkwYpBKTFWnNlq/W7HkQCjF5fGqEZ9bJXHKvUSPKQ9TsL/h+noKWrdLKsNGy mDWaAVlW1YsFN0z1YqHH6IDpPCR757Y4vLU1J2T7SUIVNTvfJ8ucUvMRt/UVhGg+6nc+zm7nuFN4 duJltPltP6mV8228ZHu9IN/nspxSsNdoERlE52IR+/q8s1r01cb3+FAF+zlOowAV2ZY+hzUYTgJS HfVRFbIPioXDCWEthJ2W+QLAXaNgmEBaeRJt+OVmDc9Bn6vAyOMrHNPCCBWdFVaXj3Z+YMZpX2lV nv0LfeGKIJbmBZAWhqjnWNpDLf15/rG8mCwPTA6UcpKxZGz1FhVP0aRukAl8vDcAbTm7X05AmZrC FFDgcjb9kjks/IhCVLEKqnDJGu34yHd9nCni2aBvW+55yn+Kct/0YmEPQ1R+2/HIo0yXR0m13ZZ+ cXZcf49QueSFFiMK0egJDLoOhpQA1MR5SnxyposoJ8PkvxlusheMWnNUkccz3pw/u499bTwbwNV/ F4QohFJ8svkIi6fKJebt6jvE4dyUzSpC9dKxe9TVp3N/bg0Bx8wFReXSE5qeNFTO0WpjM+8JAVkm hl3zuBT7+wXxumgdW7R2K6eri52N5AzShmej1suvLrYAwTfh72F8SodgV4dYruGcuOkuebnQqDda R1Q8lfIwdk0+3grZyQLMgBKZ0JQkm7I6kFOp7t78pdHb5NPj5kuZEr2YV8COaefipCswN89GtfV7 fCA8Jec07730kP90/l92dY2LtSkYSIdrqloGTDvJ1ZsJicJTdvU5OOwQKeXZL2uR1WmPn9+za0IA 21AhIml67DNEY+xRfCpJ+CLpHY1WATkJhYkO96JnowM1/yqSrS8HqkckqGHKv57H1Xlp2BNh5UG5 LMNHHZqRMD4tijza9vFmdDQZxE3PiB021RaJTaWF074IqWRvGNHjyOco8lZRI0yhja3H3PRzKvNs MB9rfLsvlFLSPU/D6JRjrrtMajMVB8hAySvtRVqlOkBCIpRyfSM3PCfePjctwtFACzJ+PFU1VMlG ymn+W2EXJomPDy5cvm0in7LRdkxK04DnZ6p59i/dKP5T0o16N0xYQ/XChYQKUB4wEYOSrJ2bVeJq hB89ik5XFkBKIIH1rI4T2TEdRA8FebWmxYBGL4C6H9y6LgNIMNwelVCpgBCKJR998XW72SvduR7F p+kGIIazObcLjjo2I1F8ylWyZMmN8onQEZykg87HwPi9wnoUm7RHzX1DBon9pfGiLFeuVjBIYxfV l89cNCjeUxxKN343rlxUQpXIcJL7VXru83q2jGk5nKSXZyMW5YWtK1yoHZ75vWQi3ClasTKyaWfP 9Kx2nFLBaUkep1cHsq3P+EPOX2SrUuQWoP+O/u1uRr3eMoEzy0kalqorz+81cxYOHx9FIUXHafLR ojKsM3QQtNF49i/gsemdBxqDNiNhlNoxEtxWOOy7uOJHlruUonb5W2hGokrqhTwUHz1KHbuhjSik ZT75g3i2xEVyWfyWlbu9X9DgT6a4lX30JKZkKQaeXbR4NqqB/A5SKXF5ijuiGBW8mfQtFYRMBSFo WqzHY6Z7hjtiZj6TAWXUxltkT9A01ZcaOBU3S3TokRaRoR+gdrEXDEpkAhXq5tdoV24vw5zKzxTx bBCj9l9VdjGK9p2PKEbtBVFbsqvz7Z3YIJEDcPfqUKnOzQky4gZ/VnxafPSi4YQhXMW2ZZ9UaVTL sJQ6UeNiuMonyV4xokaR2iNaZaN/fFuiSZ1jfPBssEBS+u4XzchlH48QfXq++ZIUPWhLMXVl4LWk wJXV5E9WaB9RGdXKH0n4QmtMoXSyzwlN2VBx6kmPMDk/S4TZmzLn9ZohTVo+O8DTkcJWlCtsNNw6 bqaGL6yZZ6Mq+6+aMvXbVu8CiaJUgt9uIsv8AwCa2NiYsaq+3LokdJmOKEr1HZNUCTF5eRVRURIu dZmo1E5qW1FENTmZp0SWmI64yc/xgQyVjXaaFlOBPjfMbDwb8bEvT18n70k/gNTafERBaifkadXb lirE0bZMzZ2sS3JBqRFFqMLDZANs93k50wnVhjnkKbOxOd+yTxlyIZk/cz4CMCP5C8a6BZAiho/a LmoI6w+dVJpng/XRb0xmdkyqwviEhFFqQtUf2orQ2pJsOFk/9JQhtDYv0cVPj6JU1E6f7fILjnvC kSZsDycGylTq855diWr3L6fiYe8XZHWKyYBb2WgfTpJoBponJhsR/nSsdtHJHB+yWvDpCAGom8w+ TwdrJy+Nrd2bk29tipI+4/34kDkIqG4fPYwcwC1VkM7nPYY1NokmhEkbX6rYtBf8Qw8GarePvvxA 69n50Xg2CD/Wb8Al/k9XvSCKUJtyum45TDXY2P6ZZgWP6H6+t0tUQCVyO8eOWEBEGHYtn/PnHMMy Nc/nezerDJxr4YeaCdph9245n8uHR7RKCspD0p/jKpmdpPoaMKXGsxF0/ddkiKHuCcyIglPlJ6kU Z+0PZ4mhymEdfjrQdnhEsam1cOe4o1+G52ergzmQS5d6BVRAAMrTGx1fyZNuLxiEplTeN01AGx0l 1I1l2s4lzrNRT/tWhCRtWUWnsPkIQ1PT3ba2XJvqMkDL9kYdPf4rbRHXT6XhUe/o8zH2Ovct7SiQ UiObwH+C9NzkMnQLyioYjpARRRA/dSlotArZLmY2dEL1wrPBfFzUlE6KKgcxm48ZFlAbGlEuHbxh wAqTfO6FrNrQWSjJfWNmHJ1m1U6bj7eg3AFoCBOTipjI2k6sWmmz3HRO6kAz7PCr86LYI9/Y41zj tdlli7HdjNCn3/XB8tg/0jrXdESxKTWZRlAER78RLW36++CNVRdCRWtaLjdDXpSxTGloa7yX7ez0 g4fK4eej7fQ4hwH2m2QR1/tQzJcZhaboDJ+wY3UfbT4GsnwWrA+ejdLb9K2PkajyE9iExKx9ihGu KtZFElUDpkyDyQBZuesjZEYZC3lVHy/+9NxbiZjjrI1E+VMl5aFgvcvZ/HeDbv6phFoTKbaNBnhI 2QiWKAfzbDQhd4FwFVCE7lfqYkbRqfxnW8tO2y/bafv3RM3NDKn6Z8bBqdaGCao8PktwkM9ZIZ+Y E6eilMuJmwUa/PmyCIVAnWF/nythrHlHu1TGkH/0DzKqPBv0bx/8h66Ts0AkqqDpiIJTQoJlkrgY Tzm2EBaCATApb7kZ14wb/JKcMwJdeUimi2yOvhy5y0gSzs3qPBADPCoX298v2C9J3nMCkiUnGkF8 TbrXMUrg2aAh9TvXp6BGedSmIwpNyQemwiRiDvIdx56e16Y8BuC6me7HjNr7NN1O7lKqj7dTtM+t iHdlwabAL2RKmqCW+zd5yf6CwXnKf1llFKJRqyKNKbNilSd4NioFXZkcHlqCxNh0hO19GOO7dmft P8fHXNfZvYml3vUZwW4RVGqJpb4eljpYgZOxSOQCqnaV6xJ1MNQWRca/+sDJ3jDSJaz/Y3Z/4o9l +KAzM41n/4Ygs5KJxOV8RkJyFCmF9CZF25dC/bluzONQRTI1cDk+QkWpaifp8tHv9n62S6P6U2BP ZtsuhKuAiMY3PBXkckbl0yKWLneSjRZ/YFplukF18mwEiPnFyLbL9p6mUYMfgKmAY02G7sn3iwRY uu4XjFCqFkhYPK2CW6bqo5eTCc2zSG+pzTacHXWOgM5F+40/xK2cUXxKzEHNNPtoXag+h3Fnz9rj 2Qj/0b77RQq4VxRmRvEpKFd5bhXDXCYrmFLDo6iOxyKBts6PkLPv62P76PNxbttqLdxzlJ4LUtVC 1geNx3nbcwZBnVF4qvCjylFEo332yYcMlz/m5tm/tOcMpbml/KHpWFF4yond2jIqoTf6J/394Q1c WCsK11fU38ddtskHueXHS45+VDprI6kfVTy9SVL+E02JcMJVgyRrusIGP828jG65jV5LRgFUf6jp 2YC1X27/hZcnBpQboWYkrJ4CrRAIg2LQ1R2bZs5NMWg64GGFHX6rqWu7pPQlwFA2nDpPy4CNNwTI LD9DtcyrjyyNnBUXTtXJps2n0U7TsyyMTLhy5tlIz+GeplvkOdLO7bWPFYqbQkXefniaUCbR2HJF enoFnt+usMMvvOXVYbNsn2v6hKKDZtKZj4QjouxzdNh3Gq9fG2qCSD4+KJ5qMgAh2GjFsTEsucsF WbowPv0tcGpi3D4fIUOqwktnXf2jVn/yDv8wDjK1oOXh2PozQ8o0X9cj7NnYdUml+fMRIFOlsoVQ AFObfs1HtTeMMP1cuOBybLTld0JVE2c41xPP/gXEzm9Jee0yxlYUnpq5AKGWGvxC8JvOOjmGwMpr USzs+pAggWlKcHPy8davzpemuad6ECD2pHrQ2S3crkQg1ys22SvGYsA1FzHHbjeU9FnYPwTuMs9G 1dMLqVNKh5v23TFRhKqa9+WKOWTq7BhJDMifwIxxkj7iT+XkZr235xLAKekEHUY3HXvPPcUpGcqJ hBd+jNu7vWFQIWOFJHU3Nd4T20hkCL3xbFQC8TtGQijcSJ7yr1jtdInpZ/T94s5Sjlku8sS5upZh hKpa0MjJx3uitnHOZ9N24ORY+Srhinr+hUwNe7+IjSyspTnA4JxnN24pylBPhNB4NrpgPABR7ESM c7uVK+RIJfgMzbgeSzcUEfvEZb15L2r7+gjrp0pglL9qtB9wUzuZrnUx+846Zmgg4ovV1hOQDX/B KAIRAIQGrUZvNkyTySH25dngPL0BquhMyMLMeSck5Eidtzo/6tSEDFMuWIDW3L8+j5Kc47DiEqpS /XZH3+Lp/KKDJEwRe6EICbes/nAkPeLAGR6ZPjw4P5iQItK6Rn04hTFrew2O+ihCLePbjsooKNWL 6F9RhEr9eiTfJl0lBkvpstHIkGFJfuXGqlIqngpiWvctkUG5Glly/sQgjVwXE/eTUeM7lPY9P1SF 5tOjdoM02NTkL1dYFZ0Ag3Cc3cez7xOyvvLqkiIAdGwTsqMYFTWBNlzFshlQu3Ggjtvfv6DLHVVQ h47SbWTcx6R0tDMdW6xRnMUGjK2T4rLKVF0p/cvgL/aGUQ6TceugYTAeyvMJY4qrq9XOs0FNqPZf /aj9k6/8x36PUN2hr3rMPpVTS0LbFEFIZogMtUB2LL5vvOTio839OYPOAdpUYz8bXkvuXO6w8cQl V5KrFSIpJz4+wgjB9xD2fT2MEkQz1TegjM+zEYjsFyoXwmh9VkgIQ+1gktsy1LYx6uQSSkMW1DaK UHam7j9GqUUodY2+RGqWuxNfcyzaBKoZkleROf3qSW17wagoJPF8ElnjLGnHJKPvyk6DZ/9CkmKz 6xDyE2RHMSolz96yUT067SJT4X/4/K3drG6HJdTyJtSHW+H5FKFQcaBU0UgoQ93yNX+L7NveMGA5 6AQBylu/0mZeQ+Bfe/FspD42f60PuFTZQ5AdolDRseKuNtR2dldpnBRMih8eiQ6QuIJqnLE73tVR sTRPKrGX2YvMTsjFVJd7MP107fThEaWQdrYY+POGvwQEToE5xxvPRkT+2842X4KzRLLH7DuKUCtM /lrMnKEX9bO3XBoe/AdHgNZH2N8XcHOZwsf65jB9nQhVXt/97MricltyEZentMFhdrL3CyIQIIGT T7VRn312n3gqCJItng0ikPKrJSXHy2d1hMqng9ukG4hdNFw7RdPT3gfcZOdpKDBlAjEmbzBuSehE 7HXJaQIpIbDfkKNodvWTaMlyy4UN6Kbz6X/AKNeWi4+W9Y+ZhbvO+ETtuIZ6W9qbKoOK0z4hYYjK xTeH7RL00nXBTJMMPlMEytZWRxigqrix8x1vBfXkcQPTKdpoa9MdasD7ZRMgpXGP2BWQ7bjDr1RO IiDlCjl2JA8Fkhuj8mwE2fYIlRWy55eTvMMKapZzgGGB6nYy/8qXMJZm9vxlxwVUo8YNHz1eP9E1 ugYQLPemY6kjVwad69t/6UTOfHYcnZYNTsRGx8KMbpI3J97l2ahgeGWC071rb3q7wwIqbeYrCtyk I3rVTy29xQPGNkvU4J+C4eowLd/DtFMBadaeQy9nmxoXkSzZxNeQENEYvWAUjE05ERYffbM84NNa ePYvAPZq9aCH8WHsiNc/N0GK8F0pCCG8TMTBrZa9oAz60FRxUxif6pycAj7M8qj1DRD+FSw8SVuV mscGoH+2POnVL7xU95cMWGPQQ0TsruKAm6o+GD0ViE6wMPV0hKkr3whEwXa6QWpOIVOKZIw8dwEE wegP6NjOmMYQrnKMu8Npiqn8yviNr33NNsGbdjaLGnXcM0Kh0nQY4LfLVy24Zn/FP4jjsth89MRu JJWZ0aPUwxEXt38PEvRG+37WShSnJp36y1hSXfXbfySOtU0KI7d5a0M5hUBU8WBwQbTRJmVg5J6G QhGA1lmYAA4bo1w+7I+S/RXj9nYFL+Cjna1oJBS74bseDoKR/FsHFbfZG6vmFAWrEmWUkILOk2Qo uzXnuu1+a3TbZwQVd2l/FkEOy2OZPM/yqdv62wtke1ESKFfbqnqIgetkIapPj9Ds1Ny7CsyPZkwF MizZgjWXHg6O2PkLnkvvZz7WaikKV2E/rqrWFEr9KF1wso4ipvY2RotLj6eoproNDqR8Ze8ngNeJ QgBY4E7VNK2rS4GBoyQ/NCF5C+rzg7I7Wdnq6t71i1lOq0jkBrWirIf/xkRNP4DVdY75rIR11Q6x n3bEmYKxZr16/ZhySLeOAKWZBUpcWVUlUUiI8SAhxkYMVRg5gE8b0EmVsmlGK1WGlxaZTIFD+Pwo 8VWA1aePRo5BGdXM1nLTw++zUtNvCRlAB+tZK7FsPyWUVrxalMYDAPD7uOtcsiMlil51IWNR6+O9 fk4MiFSKOjRni5qDMC8pPnZ69B4VK+rzoxhF7P69fLSD9uxQFQXzyksP/60eIK9GgFuPMnsKWf40 X8Xk4FQh7RDLX3qCxvLXrtJaiaWorEFTfbywqlFQSO0etW1V4ZGlJBKTW7Ynfb6BQiAA1RM17hiL 1Z258UUK7ucJPRwBq57ONzSq+oPtkM9JFMhS9u9TtVTp928TcMuIy4tR1VVasKUSkf2FAvgfsOoJ gM5JIu2DjB1KkWsjzI6cnCd62anmiZJCtdQlOAC1HI3eW5ccC6W0NvVwsIHqvX8ESEyaGZ+VsNx6 rlOUTcXP3Sb+QPy2hTJrcuEt97AN660GrZrDR29QYGqAup84Pu3sZg5jaDj86gRz/zKUThGdasuC XS7xGh30v61aAhRWD/8l/1NiLB/2S4jIod0Uv0LT+SiRjH1VdqpfyqXsa4ob2E0ZqYUGePLRL+WT pNQGfIiSSS2ousAA6D/ohxBvX4rZuK8YnLSih+D4YKMvxFEMmnw+QA//JfHRnEiR5QYqoeGU6Gy9 3iKSy1DPXMyJfZfHiT0wnLLCHBIq1Ucvy59ZmOZIhsXlypYGEOHTXi5fpdDpLxiU5Ul8FqmAjVY2 edwtSx96OAhnf+sucxj3WzfJgeMU2jJnva+dHRuwPBU09LdE/dO8UxJqp9ohixpXXusWosvZN2kv XT0nqDwnFF7C3G5AjuVl+rswn0PPKRBC4LyLj5YMZp1+0hAteji6ecY372FpPnCJHJhOoX0NRmR7 j6LI8QCuyHVALZ2Y3bdOGM+u/oIgoXq+mviQuSrUEgANfgSIwm/tdWR/xYjRDECANoeNvk6qlGko pEw9HNVP+u8YBb+aZ+dEGlWE3A2J6PEPrfGTOHVCfAO+T50m1zcmcJ4yTtiJGnWalEfBXfTSQjEN /sxs3eh3bQizyel6Ncyqv2EQ4k8jrE4fvWawklZkBQSfQ++psu6tI7AAQOWen0mJ5FQpTbSZvC0u P0XVUbopiWTRlH3zxJ6oAqKV7qN3cXCHzZTJ0cnkJJFsPvBxYQbLL5xA8XeM0EZAYkC122grpcGn 11+aRQ9HfYvf4F61kx9Pv5BpJWuo6nIzuZp2+WrXJkTmx755QrCAxB+HXDDH44IJvw+IANkTwt1S LU/MdkXLvT5hW5/VXzHij1BeUpddo+E3TzhpGlQZF7vAhwp1p+ucQuBGE2TeCD/woaLdV4CvFgMM eNxKt4SA6vyfiqhBFqAETlRen8Yi10e/jCueuWrnpA0/cehESXgfDOOiX35i9neMrp4FdsoQVC6p h8SYiUbUmezhCPXsC0VMViCEOT/uXIEbFW3yTsmlKEhz90v4RTRN4NrgRtgMiJUDO6rrvoRGmo2e JI/zeUvaEWel0CaXVFPTHfXznLOo89gbBnLEWxkfuDaNtnummWGLZKuHI2Ta/hXKAvlMz30cK/+D 9d0WtZ0l7u1gyEY/rvyf0t0+MXTAWBHTR797zhwMQcgAx+ciXevEJgIAlutXGcBi2dCQivIM/m3b R5uUnczE+PyBooeD+P46Ut1C5OOVkUNTKrlEWTVJXip2Ie+2L4Cx3eZODl2ptqhXyciZj+rsOcGB lRf3tfPe8LmIoP8hkGiFlGmHbGhLJYTMADTKmHVcnmShGPWtnwhZD0cSVr53tDMbRetr4xY6U+FK MpPQNfPqA0hFQ7XradptvnNCc6pbsZ4+ev+vn4+j7Gaco7Jlbdcz6jgUQuYXslf9HaObB+gA0GIb rWJwdZrR9tXDf9F904GigsG9eWKDKkiENBuBHElvVofIpHIuWBY3qvGwcmBRRfAnV3q+tEbPSRag 7ySp1bMpEzd1lW+7+n5aJloqGAb4S/6puoQKlo1GxTrZt5mj9Nn0cIBVyw/yJks8Mqf1TEsst9rN uF3lpbwNH44sj/NLmpD7Ni0hlsCAe+Yt2+/lgwNaL9I7hEtWhT86/0cDdf/oarYzRZQlfX7ULy7e 12CstoNO1r60BXohFQzdqnLN32mhy8Sx7bMSBrRbabf7AshQSL4Aezqe4BoS5RJCXsU+KmJWlPqU 3Nq5Mc05J533AM0o59n2Y1QY2z2IMPn7BRNC9DKLsp5ys56G2bXJ0eCkWv4qaqUzXNdx+h4qoWXV FqagmTkk1DbTlOjTTL2ydfZsoUTx7LZbR0Kzsz7EinNYnEmucjSjzyEmNLw96dQ8ZZR70MbRLLkg l6GNjp1HcE+R27KHI/D8RZ3wP6mkPXlPaFwleuuVC8Al19o9a3TbRicXvOKaObCuepBaFuk/dhrn J+zIOXVFnLs0aTlJFK4SXd4QJW9/wyDvka9IIYbX6B0w79mfyHjo4ahk4FPCz9kkw3ZnJCrLQmev K9tlXGU3D4ZNRBfF9+mpKwXOVQ9dfg8f/eaRVxNNQNSMmI/iWM9JIaNcBfiR7xtGWBzJwT2jLZLW HWox6QmG5lUP2pOfaf+O2UL3qi6Uf92e8bhr90rjZjx4XfqMhBYB5k9tnjzrIv5TLby1lPnPkXKy VCmkAVJYhsWxhqC1jkP7KuCjPDt9vOj5UhwovfVwUEHJXwlF3l1MW5+RKIpFIcntmmApuyTtlqyy JPLW9yD5s6vqMvH/x2cEeZpzVyo6wVWvyp0BvNRWDAbYRXMispU+Pl4kxZjE89G36ueYstD8XMl6 OIpOHssmCW7UK8KaQwcrNsoW1lwiAlL5Qn1ItUCp0maznLUPiRB90itW37g+fWPagaM4qeAkgEkZ ODfQVLX7KaAMf8OYl4Sx2x0NwgYJRNumy8s8DmJ/9UipzM7H2j2KYc8knBM4ixl/FglyynZ+0Btg lVR4zBbDBi5WhopADq776HHJCR+xvQalxE9ZlR02cYC7+k/3vpGwQg6MrAxCWeX7XL/uz3PuplWS YQLn0MnqMegxWN/PfqYkdrJCpG1bEbYbTJpWXUsGY8PY4LZ3QisrO1aHOuW31UCmg32MCRmdpO+E 4TAtEMDIulTHt0ZtcX1oZ0XHryJM42PSNYOfK9PfTpSsh6M5qTf9qzITo0PnsxLztag9Ntdn6cV8 E3ZJF14Ait/O19DRapnuW7u1RS+e5JPsVGFuEtZnUjxKAEUBf7anuWOlx8DRCn4jsVoTCaXdEsTJ xZKaa7Rj9XC0c36h2RTS33w48LSiB9FwNLBq9CoqEkwARcOQF0XmOj4jUTV2CcEl4W+Nt5wEfQ3q OfSCE7Gpao/aEaoTsCz8EgZob+8YSU7ILoAP0mjna8E3y77V0MN/EWnhLob0NZ5FEgWv1KZU3Kjq 6JAAsHW6Wtz/iDApTo7mJFYVUBWpVx/9MB/9zAqOtmdOziniYukkmqgSji9rvPor/oHEVqeAoPMC TPn5zAfunON6OKiwpd/FJK6KC/PLobsVphDdHJs7xSSHBmf17EVD6araU3YM7K1M4IGztfro62SA x5GRQhZoUfcw1zo0DFkG381jdcfQ30o74+yb7qMdsXDarZu+ix4OQvr8C9ZGU7m15yYOi7Es6TbK VRfo2j24FVx1gYusz6HFFfDV/5JRqEljYpeMrITDtbDkcONwTFqPr7e5jebQ4YqD/yTRLHqNFtKf X9MKzGU3PRzlfr+zHETq2nxWSgiQPaF2p0ij1G+qDSrgVvd/dUV9tnvCGHaZmcbw0VeKJFqTjNAK xSUwoYm4LdMEKvXbLpYEZw6drpC4LYtb3EavUI8kqSJk6fTwXxqBzbfPZfnl0OuKWxf+6k9HEk3N IzbNAlk/ZPC9YWdZyPZnGlcR1L485k6rrrEgg8pnZ5ykcN7CCQk4jeTnUMn+kjHx4ISCfG+Nhlba arRyaGpvR8XYcZFtiq8ELHjao6HlFVJ3rbt1cZPVEvNj8OrmRt+2fwLLKzdzLuQfNt7gvnf0wFV6 nCeSzdYGElgSRPTXA6z4K0aTQheQtKd+uXMn2hhmn15T1cPRBspfYAFHwVXEyqHnlfSVcJWwYlK2 aG3KdV24gnn7gIHlFSeZYZSaj5fNNRG3lp7eSd06vSYCFEgOijm+Ci7F3zA6UriImT4b/ZTtjh+p rejh4O65SCWhms6SeVo7oesVDAWxaswn7maAy53jTiKx3EYwB65XVxV8awPt8ZiSnLw6YS35g+RA 6anYqcNrmYXPb0BO6HklTNzsZMYa/TJmTuyMrXo46Oy0WyRg/8js4tZNAtcrjBUTqAJDhO4ijizv PNQOXVIduJdx7HvVrGSffbwgpT42RoQS6rAPagkhBeREyiOLNSxmC3yvrI1RpTZeH81xlAuXxWyd XnFofPUV+lG2cyL768SRA+crZI4VbxuuHClaFx5o1+ipFAEMNCchrGCr1qhm8Ux3mZw4fDcJmjPU IgG+RNkO2lP+dcCO4u8YNYuFu+/dR/v4c5qY/AjEnRzaX82LMBdICSrmFQbPofsVPp1NZESJD4xb PMnpig8ouE32GX8kI+j8nPnmgDWdq2sIkZpOsFWV5MAU47fV1jH9EimD59D+ipZ5TYgg2ahl0pDe Uhy7dbrG/lc3PFGxvhNu3Js4cMCy4kkDmmEVNudALpRHXH9g3Apb4ICV1TsBYrF89OstnTizSG+Z DkbLWpFCCZJowhB9JPaKv2MkaEtRWib2Gr0rOrYJ/J6AVg8HSeB8RDugcvwK7kMTLE70RchVpb4o PjmC2CptQlppkg21WyfCFFRZwQFWt/HpdkEyF8DvfNwJmEUhRasfMmF5dKHENtTHR00MKRCgA67x XmrdKCeTIltghUVt+paUxGwSF8UrKJ/X2KRfxsq0CmwTi09mNq4sjzSlA0FfI5NucWITv9RG+0sw mwp4LYD7IjYBjBznxJ0kk1SsZv0qIb3GJR2YhBoXcgbb81somN7T3LzXy2x0spZq4Yjh5M6sad9o p0aToYwdULZc4nv1JjGax6C3gBl109l7jUrOXwXB2cpWwXFf5beNZtjKsgXMUFWSkkNhTqCl5gsU Xl3VtdfJ4C6r4rvd0a/3ov4+4fz8vAYkHeBo99hMDb9pGBzm4jUe6UhCoRhBJClXn26YkzzJeYY8 4vWTs1tew5FuZsqtAjWy0dkSONVOnRVnHpAw1co4UTkx7vp6gqnS+BqNdPZ/lmr5He1ITaNNcyRN 7fMaizCNppjQde/y9zi35SgdLQtTbPCqgNF2qALW4o5PXZknm+Q1DOnZsNJV/kb162+E1GA9vyBT Udf5TQVFInjI6rdThr56x00v+D4ZijFqgmNRQE5ZZ3uX3s3PZ/X8eY1C+qP+LFNzlQT4z6ZNyGsU 0p1DJiMHOloKvulojeZEg2TBGn5xwdLA9r1V3TD1QlQF4kuE7xznqHRCt2mYcP5YvlAf+TSlM68B SLfW+DkupJS+9z1Em3ct2snMP6/hRzcWtmZj/8jC84fWvebiNfjolvNi9Ge2JCKDgWTMQLWzFdSm L47X2KMnBUytClZSL6yk4BsOwRSKAyHl7BQLEHM6acL+CrlQRNHrvc/FIp6XLYiNdoButyVF8ufz GnjwK1c/QA0vjmZbsbl4jTq6yXrsLVL5ib+2dsyWrHfSlqEi473f16Cjm7pyk/Bfc/k/LYx6YvNa jP2YuE2YMUjVVMT7lyRb9XbBLqHwCNHJRysS9e4AgVLL5zXa0GkzvkcG5WOZCDIVr8EGx6doq8sZ fsmVwJf4bwbqHF6Cf400uosN1JLveFexoC9FiuDnPym6SdDL4u4GdvfVj+Pt3m+SOSVMTMNXo+Vy J2s1PfBzIn1egwy9lmf5zZoXQtVoKl5LZdw9QBvq9G5EcZGwVW//Gyqoa2EFp2dCl6PCerfRNwjU +q7YvspfAJpbqmRVAyOxQp30ohalPhTNBifFlkizRuevOavyzMb4vBbJuO5NbKabVBodBlrLmo7X Ilk3IaGe5jWE73Z4nm9zl4Yw6catD4MMTs+yko82HwXqwUIi7rzHwB/KbFlEXUGF9xuZS0zvtUDW TXD1HH0SJ32uVhQLrtEEjwZHhllddRn3dO98G0s7mI2hBo4M7jQLpj8hop07LGIfdPHPUQyK/lDT +WCj/bXzu85zblbVgguccqJyvI1V0Jf2gqe0zQjC4XYhvBIXptzWdxljVb9om54N9ssThv4I40un 3OckCkNBMuHkZkk+VYFqBpNZCvLoZ94oNAxDp0Iv3bJXvRaPPIyMZMdakcCyEhqEhgyibQoQbvrX y98wOEpBlv3HTxEbVtN7lvbTO+mGs3T7AaKmPVfDflbJezBKDoz3l++Zvr2hmY31oWp76g+gNZgS +ZxV2zr9UURsGyFOM1TcgvgSv6teKNXSJ+KwqnIUjvYmekldPvoRUk0Y69wyIGiimKN7zFFNAcMr LjYjUUxaydt0WFHzUAgF3qo8is8rPy3e4FTtdsmCkNFoE0LTZEHEkFbTLhIgpPbxQxVfCtj9F6fx PSRlR1I47epp9osDr/TXjZclbER0iswnJFXFQ7Q8n4/3kJQFv3FJNQDNWsk9nWf10vK5IvqFgb8H paCmEW0QpKh9IUUnXjxHh+RfMu0quV1Vurnc8VJctAlpVj+NzhA5CiSpUKZHLu3sTvcEAJ4YRaX5 Zm8qnsrEy6cjikr5KhhzyelrZqmzLgFXE0YTIjpLtKN/3ok2HM1ZMTrgCI1+qM6z1rcEWIBuognI +iC/kb3EY94z7P2CO4YCgHxhbXQ6Vjcx/dzo7EZxaUvje6Ki9rzzPT/CwPQEBSN5/3+k5Yhec9IQ YXyVW0qOIlOtjgpGy8Z7ftDEhYkBznkjlnbOD1IiBUpPmYMDQi/4Ph/0jE8otrOP+vB+jn79oTOz +/POr+lmlGXzoRkD6L49Bnnn13gGJy9Mtw80lOa5L6uXTIEn2XxE8amMvyrsBBt9PiiENcokhVIY cF5hODPiDj89PTGIXFw/7+QaFh8LbCW1X9Jtv5xfqVQzyxt6syAos4buydV1Ga0fCM42H+8x6lkg qC/DfiZ5GyoTGx+tGUc6D2ip1s6NotShOFeCHPUKcnCErs665vgYZ2K2clqMfLCMyusr3FKGveH7 hIiCtWh72mgr5BxLQuLWjvREFKWuPe75kQSqBq1mExJFqYSIG+GPKhhv81LYxjWTRsMJ4yverEmf Ea0Qblwcimz0XA61NCmKnxUymB1V3sH38Vp4cD8mxtteMZoRjOtokNmoj5+5TfUZMBL8vJNqFIT7 FaMNgseIV8OiOFXfYldrWJpvgfQ4L2SVkqRdL++EGk0H9y0tbBs9rl6pUcFSOezkZcPOU7mh6Tx1 gxYhIN75NB7cVED0Plq3cpSklXKil/F5p9Nou+xvnr+l4+yTEQWoAt2sZmSiOj2jm3pHqACreKvy nUnTzX3wJPBK9q/iASoqFRWsIRRiJ7ex0iBApWnu1krn9A0/7zyabmK+ZQujuPM12hyzGpqgzjY/ 7zSabkJaVihVdVAeiT4bUaUUalEvzfDd3eQCoIuIpq36sbxQ2SrvJBpluAoqSFA0+jKUWJqK6Cc7 H1skACREwLxlcUtv5UNiJO8cGgUfrPKMeo1Gi8ZO7mV4u5P5f94pNCrB7+91i/dV9+DjnT+jvYK7 9zDIFOvbovVdvByC/Zej7d7pMwoQgEoQvdnoKf84Cd0COQ8KxKytASRJgl7ss/VFO7xTZ5TgYmQL gdpGWx9nSTa7Hub6vDNnujU3v+mcsFMeqr8TZzh9uyznTD2/bbcwEoJFBUKUcnw2onKp1f6s6rUf aDcJKFntkpPzuVO27uJGEaL+PEwiibB/3nkz2i0EHaITaRQ6VbaEw5Lb8nmnzXDlrTsb2ovYeqW7 XcKCKeDUfcH/EkIVB9xFJjAPcezHO2VGJ6lKpcpu5yMV0Mocs4AlKUQXq0pFDx4RWRjh0w3Fmr1f EHmwqxIOjTY6C68YRR790887YUar1usf/JAEDJR+NRvvkSmHh2UTxogvy0hnazurCkadK2y8k2U8 9+RnHz764sB+aVENK5LakXB+FgWWexXLmsfbadsbvp8dkopfSzob69YhKR+YN/VK+/POluHb3dPU +LwkqHe3hJFpS2Y+a1oSY7iWxLhQ3Y4HtW2X99CUZQmukXabjZ5rge3qANTREivCkbQm9QWxE27m ojjsnSvj9c+zMERO3tfqCvCHjlKIAJ93qowKO/NGHRl0o9SQNBtR7VR6ctkwpz+jrGo8eFiPJiMx 5M2ku+U9LqW8uaQGdcdbPd2TRJReC0SAocMDpACIi/1tPE17vyAGE9GbGoGNVkjew/VTBovjPSrN V1Wjy7YR7aefSVCo+YjCUnriI3uR45xyyQtBq3cvBNFQtdXxHpY+aT7IZY2+X04mubhw3fccsHzD 2Y4z5odL8x6nEhN8p8mo3odgbaJop9Eul5zaLfeNzztLhiju1tZNj6YLvWetySguRRChycBMSoLb +ELSy3Smd/Xd8s6R6S7KUdscPtr6OHEke1wN/NEBBlG8XyjDnZUr8oO3aZu9X9Sn5dwQxHvl69og Ec6hjG7MzztFRjWk9s3jpLm07nSEHfwJhGtZDXkKHU3do/dqE0P72ffLO0NGiX5XV3L7eBN90NZA k09suvJaTbEapFdVYWhEsV84bj7v9BhvJJ2Nx6zuC/Iv9cQCFpp2WshRaGrcJM/ihPzy3fLOjtFV K1urIsTLdfQm51TnnthFIkKajbCJn2w2po9PM3XDcyJOPotuSyeioB5BFpyfvnXf/oJR2kLVE4EG G23poRlmh2nvn3dijFfJn8OUH3X4XftOi2HjJ0nkWCOqG9aly0fGN0vjvrfN8h6XPoX1vn28mIaK ShvlHURF9lSgzu6FPbgffUk7Td9pMWoEy7+Iq7moqqhZgCSuJXayxPJ5p8Uoye/fw6OouuXzEQWm IMuqGsH/SB2nehqnvIUDSOx2TUdUMk0i34K+ttFrhBU9+ETICeiyN0VicFKqstA7G8R+n3dCjJIW sD80gW20zz75lU3L+Sufdz5MN3ao413APxhNV5PxHpaahhVkcXnk9a4WHDoiVjtV1MQhRyT2zoZR qqQ7A1qyRs/wITwU7HzOTQvqO5uVL8c0KcuX+LGmvWHQY1DEgBmljZbjE78ZwT21zzsZhp8671+L 4/wv/WI83rkw/DkMDkjy4bTvWY3ljjekVcnwUulWEnunwugE17Egjdr9lMRSxXlaaKy8+C5bjhtT taktScnr2lP9FaMKkOrHSDVp9OOjDHPtORnd550IoziuXaQHNQEEWT2vfefB3BpQWsl7+s7WXXl9 e/r3+AiLpohloF6hkbdEb6eeIP9EQzSgz10L8laio0y0Mgp+rOsikKa9YoQE4gThJ7LRoo86zaMw T9ZIFJ26PqClttyO9U5IGJ3qh22Xx93s2l0G51dnvzox6J0CoyYDxsdie7TL9sDp6/zyW76FBXUZ dsa5zJbRlep6CDCCmL7zX7p5QxLp39FhDms2i9pb/7zTX9gx22N14dMlvnp3TBScQlHr+hIcIVZL p7udpuOi6rhNuXf2C68MRKyJDt7SE3ycl26jSJ0TwnKSaQ97SF7KCDQ9PI9hbxi0GSgvS/HFRqsD 7SqfaUS18ued+sKb/T5C8KnqN/54J77owoVlXg0btRG6KCa1o2MWCrc02mkzvBNf7o6pS8i59SDn wFeh1EvbZe4ke00YiNJYx99jPktEjZd34gt7mq0l/Ayjy6icqTEXo57r/LzzXrTZ+i2cFpEuk1eR 32kv3T3/EGuf6MOzTwy+LwFFS+wQb+CSeee9aFGv/w3IAHQjPJaFhC5Ap5YikCU5EtEtnkpytVf8 UwxCNmijPn6fNWcRauW3iiLU8RsmlQG3+nyEAep5rT5dqNgVnQkfde4Tm3UpCGk+otJpU+EDPRMb PZ1rJ8GlvCce4CzIuZ5kGtkrbmZkUx6Wf7ZXDE5UmbByRdlofZe+loWofazPO+ulPxRULxYS5TaP yd5JL4rJQCj2eqnbLkLbWvIjFQd6g1aGIaryuaqsv+4n3z9J6AThxZHKtijm59shRv+o8/j7hnmn vKhgRUJXzCfiqVQPEk3mY50Q9Z3xotrO7ygE6/PhrYZ3wotjiNe8ff3RzUYAQFf3vv64yhjvfBcl dOyVpfaLQCT2txambQqn8EvdngA3IfspgHSrJe9q7xckdAQqCT67jdanPBG7a0Ds8nnnuqgO/UWo 5x9qa6ZOpQmJyqeESRMOqDr7SabOtM7Q6xMD6GRjHqe+k12UOtHEXqqfrqd+el4ZDcCiJKaBixRg huK6CuM34ZfE9zvXpbvWXacyY6OXC/Ny2fK6Pu9UF+9iPSh1cIXt1k/fiS5q3J6UU8q0FITkQiEa lFFeiJ1Q5rUNE0ap2jBb6LH9oMcGenRNfDfKFMiwOeYUEAX31qW5NHvBALSfBEdnb2k00P65vKxf cA7qzzvJ5V+dfYub1T+3+YhiVEG6ps8HDuUu/eBWPrmYUVzXR0TbhTCLg9dG3y4bOpQ81CQkb6hO dGaKjAee1ku21wsSfjar2f9otIhspmRB1TlXP+/0Fn219k34VcNuXg5qUYDaVT5NdoiO1C7uQ7Vr 1smgi22nRwg+rTpIVaf/SsgMSuuD3hYZ/9kmCunFVmRP0h8dXw2ZFkao/KBb5oPbqAsSm52l3sIC zwYBWf+FzyZU7l5NbmGAamDLbHwncotsLagNKFEMF0jWltS1qH4qtZyKLJ+Nfnq0lU6QAEwKm9Ci kB3xhx9SxwbHxc8Piz/Crj6RR5fSRTdBD7VfZjWPjLOvefYvzVsjSzXz2RQ8PgxRwVru68RiEEOM ix8wbr7iu/09QuU0VSUZZ+v2iA+czOdsjrn5TYB/uEwZTncCJ4NCv4Y9yV4wYkBtWWhUH32FbPMw RuOXZyOopaOT1XeuJ6ii12MTElZQoT+tvv7b21daAz6gODi5R719qRpU+ZJotPk4F2w5kR6t20qI KqFvqRwuMGnri/pg6vn0IGCnyLZcLOUCCwtCe6oS7zp4NmJ3eH1dtWdq2Bes3cMIleCIBq0iVDHv ZRvYuh0qWcpuum57VEI14EeFwa/RC1ljojlXxFTPU/pb51sMqi4skHEzGDkR8OlRC2YTS6U72pFK U5nvdA6VzbMBFeoG7OeI+xF70+/bHnKhzgLf0iQyzcfiVSF+vKz2nOg7ortEAeoUcJ2+oo0OTj75 fZ00vM7Nj02lmA14FOQsC4Hx2GlIGrNHEaooqA3nZhstJKPONO1fejbihs27QrLMI/KdkIgLNaSp ndr1ggdqpZyO8nwXT2yLr530IX/q70tgSKOH7GeN7HMe6445wUNSBIIELHBMwWEcJ5XsDaOO1JLW hzI6QFt2oprHHgDKwrPBHTN+odcRnkz3QP1TfKpglJu2KUs6G2abpB2hbk+e0fWQD6X9Ah/CRr8K 4b40BRzgqedSRofckgzuza/ISLayQOvvEer5eGYOrSYf74ap1qHLZfNstGF+QQuJzqrH6z0so/LT kofSemlFBSDAoYITktABv2paHWF8KrhDF9KyX6QlxSQqFpxgdBoryMgG1uhHHnAEtZcLJCxuj6qo eNLB9Cg+2o1bpcusDHfybAQOuutDEc7ZPf3ipfp7iErIXvFBEIUBkfemknueHAsdp6+mo1JHSBSj bgdpFx+9KjRZ1w1QOoFX47A6S+hsP7KP/C0rJ3/BYEJksknzzEZLYc6vYCyWsSbPRilu+kK1aRCt endMhD1lAXRsbRpywyIJy82K8l8Hq934JaaWSNTj73akbiW6SkMp89dVz8ksGTZMZRc8byM3ZLmF 9fm9Y877fnrU5oeefwJ+jtR64YUskWLHQBuNZ4MlMm8rZnnvoV28dg8D1bMAhg5VtfkJNbRXtjy+ WeE4PUiYukeVVOOly9RHo58iXKdLDFGsuLahUxX+6pZ8Csv+fhG7gQI7kBQbPY3JVqBEVINnoxVS fgWpGFN7SDaiGBVBUck9Cqy9xnJ9i31zunbJLyOqok7tFPJ4Gy8f6Fy0k2SIMjtd16Q+XdYuBmfz gLWLvWBQI+PuWeJRa9R0NLThLWZvhWcjauVtW2aL5BBi8QmJYlQCqhORSpociT0586L1SJWOEyTV 7pfMCMuoWhhIj9n4xZChFiT4+skgBM/KElMSu6z/Mj9P9ooRQ4poitqDjffjty4cqng8GzUv9zcI kWb1zexGiEHN63b6m1nxZKkuo2jBGUIXpVmlfUSFVJUO6rJ6u85UvtqZZ3S3uPsz8suGb4f1TMGs PFVDmpN8dgCqYzs05txGTcdJYpbUUMo5pHg2yPv7L75+USfoLpAoSm3Y0QxtFJwMpzUxcy7D4/cu pyBNRxSlCvjQcCRknDYd+eRbuxfTtT8R5SDBo1PTpczyTXIp9PLZUWOKdA4MvI12nqJeoG90MgOe jWjZD8ZQeRX4MJ+OKEYVWmw4+rbOZqHYfA6QlMY9QKIIdQrLLwByNaUzJf3nxtlyv8QcbfTWtH2J 7GDpgMZ5zFSavWGwXeh9wqNhRJnFb4ZqAoon1Mk8HCyQcUm44otJBtnzuhGGqdD2UU3CWLM3eQDK Dr5TRiVYOKnZ2TqskChOlVxDXQKKrcd050xrmkSqHCEVCx6wICS56CjWX/bFw14w2DEi0O11R9sx tGSkGXRCf56NYLm/cWSlfNU+RohDnY8fvOBjrpC6ZYKjwlBql7ofAVHlBdKo69vol8zZMl1MzQLu EslHOlcC8sEo+TLGpr3gH/owDTtHG+8NZlwYej08G4QgK33ryszNvtMRlVGbFEvlcQCpnO1NTpek xsY6QRDJLpgoRJ3qOjQxk2W8ov/urCogJQKhIhlUBKujpLbZYfvX/XI+mE//UwSiskl51GIXOt/b csfGsxGG/dd00P9o3rccUYg6kqnsOYU/WQSy+oNSNuWkpI+IkhhjfNzRiyDnJDwTRQmDxnyX5H9B 7YQyEK29B0iW7QWDALVJW0umXeWadvF+AnC3tSrPBjnu1bng1uXYzXd1hOFpl6GkeRyIemyLQkoS KgpJH0KHR1xFpUG7pMO9+m1KnWNidoWrJ2LHgMgExzIgpirboVtXVtVwhFVUMBFbW1ajRWQnkLaY qo3Cs1HO/ywPYQJ+5HYisYuwigrQcIstRqMfyC9WD4nAKqvR3xWtnQmZsY6UbtKyfbwwZaCoCExz 32LyptNji60g5LCndFILmmGbn4xMIvrXIYWHYXzoN8brbkYw1Hnb/Lpe+EHbnY8oQEU5uZFlTpn/ nZ9s4bAj0TWKQ7kAHx2S/wgpUlsAU8G2+xNBjj6palVRgkhlhihSlPA4pNrjgmESOTOKT7nxUUkq PtqGKcqEFLIPnv1LG1fShcQW03P+GcWnScLKc3ijX90octxHo6/LzJUTZMYcKaEMDZ37dJ7P8Xfm F5jUiU85WpC6KPJPR96yrX/36WZYRpVHl5IKjUZuOJmGJf196tnoQL19B4WAlIcuoXBGIaq0oLrz HLREjMB/G3VZRqDaMXEdVbmtqQc9rCBQIfhWZ0HhJATCkTvE3/h5pC0NijrDNr/MiJUarvwoKte8 xZAEF8+zEYzd10dJtxGzutfJZhSj0k9cVjdclIc8ZJ8K7iCN1SHHP7ZMqCrVtWXAImu0CTm/RcdL UMh+fITU9+bG4p55qmQmsTVDmhR2zgmVVhsNFzPSEvq6nQnn2aAv1Z54TFKYP/PZMGF8en7bKX7k OTim/Ei4WBravkMV972WiYDMqM3fDS/Xp49+oo628jmgAPbj5kgAdabrjF12D19aULMXDE5UZXQA kGzUsjhxgAEfGkYPM6RJjcuDYUKmG5xrPqI+P/1VUBXO4N/7Mvjd+e98v+x+qjOqo1IEPDtBshft ARQX2PWiVp4TtW6pixDiVBG0mxQvyvfKnVGACku9VlWRNDoVpplFbD3TzrN/S3G1IBjnTWFmzJRC urEtlwDpzuGXDq04/MjT2AkSakzpt5/KY+bT6t8LsK5MLgouxFV9CSiq0pj6hqhCX86ojFpkGEOG b6OBDfnJjJNcJ88GE5LmNwYRk/eK9M2o109ePNSLRZFdrDWh+1nfnRN1iGyv+YiCVIRciT6GjwbW WAvDRIR85AQi0NRZQUXGk1APbgwiouWMYlSZ0+6B2I1G6+Ryo1smnxvPRnz1/usEkTCrN6ZmSJWC hWsQ7Y3XTzLyB3qRcjwsKHTyr/6ZMYN/vWS4VH9WV4WLNCUl0ujK+kfbc942nWFRZygzNSXEBiGg 2a0ijMua1oYZc/PsX6APVlSngH5TuhXFqMi/NXOk7pz8Vhw6Oa/VVXMxfOpZHivq9I9iHMvqo98v 5wCZitSREBqIOsq/TiqOQjM+IkLSOl1hGZXWe0FHxkbvO3RlxvCgK88GXKnZvmAQwsBR/UhdUZCK kUydDmc3BSCqQiKqicV/BXNW3OkXQkwVwUc4Lc2TLyALnmWr0nt2MkyXZObPfHK6IcWcFZdQ5VvA 1aDRDtQhgxKFJZlnI6zQPT9UBMG67tJhVqh4isjUZZ52eROLx1+Gx6i1W6VZnxH1YZaaljZOT/qR zJmq19M0SUWnVOaSyJjvcbH8ku3LfHxUFGoifyQfLa1DjkayOQWlujhIHd+sX6bFHqKukC91XlAs NcG3h6ujZJdiZ24nt6GWSMyXUjDWp483Rj3nRUbR/ty5A4cyshiwddtID9/5qPaGUR21qxQ2fLQi 2Vh2VtVz+PNsdIY8fZj8IyLunY8oRF1qj1DcJIf7pb4uHVM1t+l+d0kZhowpbu0qSxWNfuWeMH10 mbyVE09VC0Igx1MbQArv8ZBN9opBWUi9/lbueJGdNjnnDM88G6EN63dCqCk/wo5RkKp1PJYLrxtK d5D/T49A+sXmrpDKP4Rp0EGS14PeXrC8pqQMOQHNoE0cJMlP7F9u7v6GEfZBy0C9/jyeFImohvkY ffBs0Ou/MkJMC1tu3AUSglEldZysKNa6e5AtQ2GKzN8dTLbCGFUqddUacRc+C037XJHQmhCJaQvp dCQvp8hS0iCb35ryiqn8nJtT5+pUO9aBFYIK9ZPi8GxExv0tyiYBMseirihApZy/FSawKtBAVe92 QUluakph123rI1aZsth0+XjR/Z0mq1dBqkk9FC7eWSVSciOy0ewFoxBE2T5YPI3etzSYF0WQxbPB cbofLBkp/7nXbsq/QrrUuYZGUVA+zz/cxgL39qq2ZR6C5+n4iCNUSSbv6qOXDQvKpQLXFk7UKpoZ KcRa46sVnOmr68MDrDKCsBIYqV+ZkZPqyzkNQHzl2aCPe5N+3nxaEGjTEQWoCwiv1ZLbLQ6Zc/s1 25qXLrViJVSBhJAU0XihMedKnBQRy7nl5k60bjMtGaBF8ly202NKxHBFIao5bcnMsFwzQ6RAjLwO fYBno/XhTamqK5dRfqJMyY5iVEmIzWrgy7auytRq/Xb6m6uB7FgKVfUxq5WVu0AqNKyFOF3R/6K2 ZiEkzlUCsf3L5y/2hkESw/KdupTmU7Y+kVzeFrXXzrN/gff7BZOvGMh+D1Fhw7CW5vJ+JRkZIVlv vlg4DF07Z8dSqKIoS71v3CsAEtCgU1nkXHp2UteNruiDWVGWqzUiOzg+PqiDNIVkRLvbNB5E+zD7 RUn/82xww6RfIQhmnE8hdYd4VPaz8BZAQJrIQMO0m6XUz63vYlM7ClL5s0006uZkaqUx50Cam5LT +T3rOUNU/WiqZ3C7fxtT214wmI8h3BNQBI22Z6b9ivxr82xE6b/8ILfsA7Js8xHypUB5i3lOK2YW rwsZY14GoEDFbD7iTr8Q/oKC1AcK0s/1Cx2mSqeuZimuZlqxe5g421Np3/aGkcYBx2nmqtFoacxK wzlPbfLsXwDtQoKAycl3y4R4VLCca07v9bvr2JSBiGnzU0rQERLzpRSDCExWH/dj7sUuT1d1YrA7 YccAPAEj/UiRkTbqw6OQvSDLDzRCo03Hifjcfq1Vnv0rqz9L6HLcItkO1aZgzUhtDySIeW4bw9Cb diLs2vqI+/w6UqX8OR7lz4JQXWoyueRrJ4vcYJ6CcXuAMTvZ+wUhSDH4yx2tMbXPnWXaD2nx7F8E QayyRhTjOf+O2vwwkLamnTa/YcqGfF33bfN3v3N3qDclxWD5JGr0rH+dJKYSd8EvXAJun8UBsX4h u1EflQNgpXx6xB/D0gN9QBt9M+5ljakTdvFslMHkG4PwK/zU26jbIaWfyCFt450245Ft+vyudpAw hVdEtuM+v8pBvfho9wu6WGlP0S25XaCyWJ/fQJpf8LZCsh31+RFdP5ENrQWN3thu23qxm+kIdVBn /m4X/sL2iH2/R6jl/5II/cXSlSalQBKY5vpk2bTCNR1xn190XIOqp69ASjtnqIR6z5WYWrIAVUf8 /vZg1Ofks4Ozg+w2yedEo5Vn5+gWULWsZyNk/71bVFqWAfaF5u4oRAVaaP4QJLjbO/45iVthJaHm Ge6OGv3KSJqAD+0LfDgpV+9omFBDbQg36U4Wl3lRFv56WhR7wygeA3pJJddGm5K9TGL6pMyFZyPh z/qvilC5GYyAL2EKswWmpiSEznBTSQgkSKNLRxHDPbRSGKLqJK1C8l1PEolgdKDqTX3GOQTOEpib vIjl/0VO3Zd8n5MF1LEsoXPhC1hB+exBq4Kcn2zq6QhfV2/gzgmoiFtwUvujUTUVEftmEv0YaVXa ++lng7xagoTI2cQm5s/KU/+dmBOdIoYqSeU2EMKTCWhHsgT0yFdBuGZ/xYha10TgLz5afTmdoFgt u3O16+EgeG+/UDJEieOiQnIKZftpKI5pnmJ9q7AMIWbWcen9/IgSEU4hLNU0PmVVnG4PIkuDfMoc 8CQd2SpElW5dBxGebgXA1Nr49KjPLSSJ5A6Syx1AUbSSCMo9ejiIR/Ivfr+k+soV3k5RUVV8IYlW SrBteo1oPX1/esNmfZpCNymVh7ZEqPYjQtUTJGXVx4BbVnnt1i4H8qaiiAHtsLS3Tw9qiMIcUjmz 0aoiPVtjhitdD0dVVW9UcciSrDytblnfBDEQRTI1qChYyPETjw7D/ZPEC/8jNfIU1VWNdYhjuI0+ J4BAqn5+9NllDglNVwCLIqiXLxQ1hPT5Ec1OFygCGxo98eUjCdQ2+tspBACUm/lmYhP++2dWwuJq RfYYuNPZM0MeGSbh3zYKITKK3ta/E5kjOGp1zVmV5DHJrQhMT4PtIgkhgpFoQhm4BdBDj06UP+jz I1yVRHRz89GOlKFaixKepoffZ6VeIX95kXNWPLr1KSyxnvc6133zolFvl9Fd3Iy8E9T6kRJFsHNY qjd89Cv5BCVwqgTtHmtmc7WfRuHjgy6YeY7pL/kneLdEIeoVhYCBI+s4bN2WHo4oZr/Bu3S/xnMt h6z/ka6cP4fK6M76Ly48BNDjWujE0lTSlRHrbjysu7OwT1ih1hUim6iGgjeTlSY6C+NJ+3z/hKQq TvpJf+SMqhWaQrlyEIKspoej3pXPiZQGzu8yLlFV5P6wADeSaHbSDC0m5K8ftBj3P+V7qkTk/6py a9N6uQ7qKreSkgw7VVaSpH5BHCDLQI5zwKmq5pKSQv1UoQGWjB3X01HO3XCraArq4WD/1PkLuMqV 90QpIXKVlCAlSTLxoiItsG324J6QrNvWnaxpCcuuEosdO/voyCKEtzvU9hOodOZluwsm9x1hx7+c plPErqLmUltad0xWWZyzWtNG4Vuo7N9/9ynk0P5cyqELFfbnbfsR0tbTyBruplMNyCrHpRAcIBye YYxM7ESdinIu/AVE+KyUczvTs0Dm+cQKorB/GWdqVeTAg4qVqPOUuppGX4nNmqrlfIAejpKf8qtS wB+t90wJXaiamR9NFwJwq6Gp4BshgCVOa7ePCHqdKf9voTHTGBtTNlhFwhkGp2Ea+O3KF/I9/QWD 6jy1sM2xbKPhaUBmaO+UPvRwJIj4W6oKYdCrEJljF6rzB3upfveU5BpV6YHBN8pzvkxCPVXBMYtO lDJ+kXkHPCXZg+WsDtT5Ac4GBwaqW/Z3eT6HRlRcWoWFpjFZi4+ijtowPdWhh6MeX/keKAVBzPQs k6gAi4zcvii0LWq+KkoizlpF6TspYUCrlCeX7uPteiasS0VfLYAqhHtaZytuoX3SU4Ed2d8xunu4 iaX5VS/DmV5YN7LEuYP0cNQGbr+jFFbKjd1CNyq8wxpKGgP6CMpOINCQiZGfSlb6ZVly4EaFEmBW T3P7eAkvM62pMjBhLFIJklk56Sb1XNUNnMFa/RWDXrAg9K1XH5PvGRO1rZvzPzSkKv+KUSDb5eeM fY9n3bRwVa8t7TydaqTKIyf1uLr/ObCkuiQS02vql/ZNLbfMJNromakz7/Q31LTMgg6WX2iB4u8Y QY4ACshYRqMdKXMX1++fRQ9Ham8PwEYtIATi76SEtKtlIhh28XAVmH/Z2BcFvp7NE8Na5RiTh4++ UHY6K5ejCVWinW1SkmvwrvoEbgJu6ePf5qT8XzIKWs8+WjqYazO6YcbaLvCm4ipPtyKLmI79ED4n oT6AWlxEnLS4srRGBBsgghBwMV+kXg7cqbIhOaVjY6NHs6n3s05oXp1Eh+tzSkez/Aw5eP2iK2Z/ x+juWchT84U0WtkNMLXAvjPZw8FC6fu7e4Bx4dZ3ZyUKZ9kw562WbLiFhNasIJUi0i/mUi7HmwOL Kkvt0cBrPnriA6d8y80O5cViBf4mD3sxBq6J6n3D9zkhgaiN9pKNdsqeDFmsEK59PRyJz16DctPt P6OMO+1PhhhXjNmXF2PpEVz1t+6Jz4mq8t0/YTDbzcA++eiXD57tlOHkDFwE6T6JUE4yZxQywAM3 i2ZDmyoJ4v0HRHAWc0omGXL+Pz0chPjlVwOQUuS+NescWlVJGnRdv93lqrzb6nACEczHKzMkY6lp /l/xhCpP1a1g9swOkBNtn/2zdD9e/RU7ZUOzKpKQMim4ME6LUU5oYrFoPwGQHo40rer3RCnn1x2P V2boV0U25XoBg38M5YTnNiDlNRiBHTddHxIUDdTwarY3vu6QmILhay1gBcklSCTsuzY4X8DhF7hX /R2jq4fKkiTtNBr/6Pwl81nOZerhiKL2C7qnksE1iIxdqyhMg5jCW1aAMp0ian2ZN0BLxRhZObCt YploAUipafcHAAyvP0/dx8i/szjw3aU6QyV/3JVSstCMOfCtYvkr3Sef1iiSSToHiin+nXBAD0fR 7H/UJDOmnj4tIZ4A6qmcjonxm+TPpmC6l2eCCpPVlwLzqqeOL9BrfUCvA7XOYf7MAyUjantJ8mEg YdEI8iNFYkn6/KhpLPKvXIlvLeWEbuZ/ejYQuWDoYPXAPIviWXwPnyMldgogXHCqjSlUCSpervI5 4hh+pITAVwivVfFsfeLZkwme/TGXCMBtkhnr3ubYE5nTdg+iTP6CwYxw/E7AwzZakIITiBZKw101 cLEyyWPbPaxDXcjpEpIsG3nNj9XckLgozgF8BodKrjJNAL5WrjVPLn+GFiBBbKPXf+Y8u0/6GjQw EqLnsKJPpkUj8Cmk3IM2DmepDCBaYqNTLNI0eNHZ7Ho4WCflmkY6TZz6sM9JFM7CodsrObsz5eIg i111NU9JW9yOT2BoldwccO07eop8rpvz5jK06kKNS6+XVsi5SR/eq/IJfXjUUAcXADvWRqtYnzBb mL56PlgP/61qoNCNaOXmgqGh1YBjlb2S1Iwsjuhy96KByWXY3olRsApOzCvs0SBFkwrV/WFST8J7 ojRRf4x8cUXhpcevTw+mpKpTWraPHsxicmOJctPDUSropyybjjbaUzIITa3EBih5uprA8Bmpu141 gX2DtsDUCpc2RbKl+ejlsDRPOFYtls/nNNXGQmGFzQPAzruC6b5hRNVKImkVH28tvJiNwsaON/C1 MuEHWyS26/mMOyVRIJtkjtut7tgV8NoOquUqCtRx903M1hJOyfCO86nKNpTtkxLhDhqu6jApOMov GvagxHTr1PuOwTKhsLsobdpoyfHIY3gVZevhqIqyvvBgEoVr9ZVDays8iqWBqExnb5VgqwSuqyTj TnAhjnS3T4lSHpbINBj5vD/lhP+7Gig0rRraXiAnyTyb1SDzV743h/ZWOirKkmb+6ld8c8A3VhFA NudRKFvTvYm9KTOl7uDTEgINJOPaTbCmbTFwdAWDE/tHkoR9eTAbeFwBkdAikaTgeMyb1zgLpck+ FWbfCVUoLqEITo5LL+pePFJbyIHNFStRAYrOlVmvPtqZ3+JJ4dDDEcrv1lG00MEJ32g2droa6Dwt 1xfQgSCY3/BOIar2N2wLra6sA1altljng0vBOpFuqbhKowjPAylUelI0Jp6CtYX4odsVstwI8hUf Fc2enWgalyc/GXr4L5o+HDuYjY36zEpM4ILIuv2g7ddqs/YHa/CUZkPDq6EaflWNqX69Nve5eOuQ 0uIC/ggSqHCCbw7mp9NjRcjA8AphHqgoIEZtNPTFwDtX6yRNPRxlgr/4OQrvnyMljGPPJC6KzcbL kX0gkaaawHIT6ONePYHnVXL84xDrcTysR+L5jWScqeenIiN47n30VKZQGKbckpa/Y9ASVOWQmbHR AeVpXRrW0MNRKPsLYs/KHDeODYyvKGWdlLFmy/+m+b4vKSwuO082B7yfJ6HUgHAXBhzuF2dwDm9c a6r8izcKpc08JknqphxZL5G8+itGNw+tQNy3bbRLmH+bE1geevgvZVl5EJEYjxuzhd5XECdGcl8S CJsGXCrZ1bAwJLpN0sD8CtUHSdX9x1ZgntNslSJ5sJO8DdM2RnM/MzG5fe3ArAYZul9l1lRLqrel p97WuvVqkLrQw38h2KtRRY+ZJoBPS1iZFT2lD1ccmMaQ3dkt9bK6EX7IhqHsFhFDQnLjEZJbmCBy pDAr2AlWaWpQfuSrrcf/ynzQc2iABQnxxAXExBqtrUEB3IKU3fRwsHvmL2EsAZznrS2FHljg1ruM OwUzoD2sbkaWlSCyA+KGWJASRrMya2nP6AWDc+uupISpSA6qWAmfAIWuZy3fGmT1l4wgXZAm6WjY 6BwEJ22jr6SHg9Dtd6uU/dOeczasy8LkxXNTGEhpaOgkKdxb0oMiEDc0ZGiFZc0e0fZrvn0N9Ch3 QltfriJJ6luYspmWwg+//3OqZH/JOJ7FwPOOhiGW3Zj+pc0dFWbH+E3dAWXwBPmhHRZWIG05I6PN baSEPbPBrE9E1C9KJ7DDQmlLVRRzLHkyk9Hz2Y6qOJ4T4CSzywBObCI09tLXH6z4K0YMQKWCK/to czKWfDxBM1U9HG2fX51SdtF1O8qhIdYsYuFmBxlsO1pmVaiSjaFgPcHQD8tKKFMgg/kFGeQ9kcma Mo9oXMkEKGxKmij4VjyyLsVfMeDcw/DYCh/3FYrNE8CplQ5a0cNRq+eiUXgx2L8P8C80xSIHOIlD dphBd9JbMspKBWbw5IKBK5bpA57MWC5hj2t3IiceWRLToIyoPnJhQ9XUBvg3QCf0xIIaVraM5/fX 0wYOoB+yVQ//RT2MsE0zclvHgSkW6Xj52SMbQHRDQNPJuqUd/A9hsGjQuo5jW6xhGgTNR985wlOP 5IHsQLa0FRJFzAPLI5c1LGoLbLGSktVaV7ujrUIZoVqluujhqCS7fkWywks9OyfUdG1meCaPgcal YVoEZGsDWEpNJpBsHxIdsSaVVX30jAeXoEwZj1usrSbDUlUMyJ3WN+EZxd/xD6HsOT6yj36CU1Ph X+d+08PROrknrIBcYD++e+dPdK82HKvULCE8Ecoc+yoS1HK3ToyZbbIr3T56TRZB9rN11FuftB4o Yk0maZo7lmma5PuCQWWJKcnoXdhoB+wJZBUgnjix6uGg/Jj6FwYJdA8Gh89IGMrKx/oiDFryYpsg 0p4DXmhbYJDlHgb/4/K78NdTuVG4EXPEzvJV7aZ+/ZXeK/6OUdBGGjhEZRlezGPvmLbaOcy3Hg7S wEfcVQgnqo+3Ahm6ZNEv2+bsK93seuWypaDNnAp4axdPBDGobihXfLwlyPOjTEUDGUjnloQSqETu UNVRvUsqRdMcWGVZS+OkZbv6eHkPy/Bpk3Jb6JWVrleWIFfcT9z8mpXPS3gyzgO6i9t0VFuT9yOz o9NMAknEEjpkX4KT8wkgUZC9ADZkox+Cew2QNwD56UNgtovZK63YpNQD2vMjj/QSmuj18BEAqtF9 tPLjOeRcdHLzXv8zG3qvbXo9lFHVNJ7yOyy65ILJAB0x6aepZax6uuEJ5jSjrNzUO2XfBLOBlk9r Q5afo31PPwk3yOu3TmFDWR8nyCCb7Qa6NB6tamyvs4HUYZGmXPPxwkGtfn8i+vl5iUn01apxKIYD 2qZufU3GS0SiJ6Q9q0SWmCwp+sCxgzqbwnnkFiycf4lHbGlQYO34SNjoyK2zCU6IpAxnneyrAqRu 3Ddc8usX6nHq9d5XhmrYI4n0ky6AA4WvaZalqX1eghGbRqs12lwQ+3J2yEI32iQds8y2vdDYL6Vp PYVGolntkpdARB8x2GdNWjEafWNv8n8229kliLxmU6mk+AMpaz4EfBOqfAlE9OkoqVfMpRlJx/VN 9s7GTeWVPy9xiH85L6XpflFMVGw6XqIQe4JEQ1RMOUA78WCVqywv6yZzX4x2CYQCFZtsvLMxZXRs Ch6pQwDizECDm3pHfSTVlNC8BCB2ZsjvGH66jfbhVLRZgdxen5fwwx61+ACvkR/540j8UJPxEnzY xlpixVne3ymWWEd4iy8J15z/m3ljBbMBDOrMAz0Ljc4y2nDDETI82wShK6CUDfAFlbNH3qUk87UJ JgP5KoDs20crLAIRlE7ZzuXzEnjYz1zvAcr/f6Zc1tzSr3+di6QuBaUbu0TOv1A8AlaSmpOsy5SW gDTUo9noau7SxNPojeDz/cdZHTSCGyUAgSeEO5vmvOjE2ar3C7YJ0fbo4mH3azNIQduoE2cPfl4C Djtu1q/JKNwH03fJS7hh56fMmJfTCyy5xda2rYvybA6feIk17PgEjNe2bNL3Y5N+fv1xbkD5t5wQ txdzeTlfR0Kd7beqHG/3fpUAx8IoePjoPaxtzCXYbp+XMMNfyw8MhcBiZ/v5+VIusz0C/0FCmQpH XXZgUQCzqejd5SleamW+p6WtTedXo03FiT7nCdyJppAq7cgXAaAXz4e4izbCxTFKFCqaDej0KT+j J/m1GJJqI7EXzEZffmQYhkzyRsOm46VQZstwSP7PAYxSfxWlYF6wXlMLXIz7MMxQuKUDNN8DVKpe IwvVK3ms3My2hSp2EVP5Cc4lsfdSJLPZXpoI/nONvgXNtBvVPR6NbpNybxOa28T2HnNFEagMIZKy Bc2CwjzweVmKS4RdKIVcJls0ITo9RSboD5kAJ2akn7kKANU1pFVOfseEoIJb17du2IwyHC2QoYJ7 Tj7q4+eJaSwO3U3PBvtleuil9haXE51lw48GcwJ7oqHYgeozTSZhoEEGzJ8l1yOtVYO4RjNCS7NL CLp/haDHOXUWtXYOvvNn+jKZXzkF0BMajzT2MhhudJZK4WaNO9oKbOd3eDSh3ng4dpiakIQdpmQg xOo2I1E0mrqwvpbRChKt1ZLNGIsg3WwphR8JZmQ33Rm6X65fBOK+9DSnPGzP9VuLRBs4Vfnvyzfo sMpyFI8iJIoUSPXRjpA5DE/DR3/eKDie5aTbzQT91sFmTp+QKCaVU6bBexFqcg/s3eoVgs79zkcU k0ozvXNl2uhR2NkZcy2LO/amwatSE1ce9OCnXmgsx/eQlBYyFVQht/ajUTFhsclg8MRWnzf2jR0i o/2KPPRFPfJ4I9/4at8nJprzcmANeQb8wOABBf3zW/KI5kNx2NYls59LJs+JCmMX+eYEZYj/nHz2 HK0CzwNrtflo/oLRCbLkLJh89BN7J+/RAlaMwlJHRJFGUT7dhkDTn4vCUsKTCTcUI7BZHiI50ghD 6LwtzC/V0/e4lNYFl64aChov8QY/GyQrT/xx7hEBu9ThkGrv19nH3y+4YsAZDwQcbLT448y6vtGJ KOrnjXOjZ1u6UfqPrEzRm/TpCCPT8xsN9fMgUljvEgZ59aCEUu/t7UaBKWajrXeOZo03rzhrI0m4 XdZxAM1P6l9wuaRueuscqrR+3gg39p3oJlTgEDbqw8eUaaFo3vvzxrex5XEDMsUoNYs+aPMRBafQ jtv2QKzt25Xq27mvBXk0m44oOpWIa88yyMu30w0nXyLgRW1XbixpM5z/fVWzsr9ovJntBd/nY0xd q3n56KQs9JUsUtWbBSHZvXDNifBsvNU8i3tj2tgCOf/tEEDhXCajd5ejKLK1+Eca/SqEcX5EQaqE HHuiRqzxVkwR+h2m8nvmpktPHCIEcnWSp/eY7L7h+4wgssFF3Xy0FXJSOe1MNIk+b0Qb+3YpfXeM iOvj3i9RkEqEuYU2R0l+d6+FSYF6ouMq4WI5HL+RbHyJKD4t20c/QEAPTguECtpBchqg3pHZPLLo vh7H214xmhH+SysElesNs0of2jMnrVmfN46Nhy83TtVBz0i3xypiUagKNK5nKjEE7rVOD1nLZR7V 1r2u/kay8TnR9bHueJP9c1+K647UKGw1Xbq9ml7V498iIMQbx8bzbwpAVZSsup4WXTX4zIlgxueN YuP3dbmHCIbv3yv3jWBjU7hEZbCvXne3w3Wux2CQPMcm4z1GpbHNkSq9BY3esIQmtAHwggs554lM ohpnSHYLbOV0MqX9vJFrnluT1C37aBEIMFCj67X5eePW+BXzKwJhizZPcd+oNV5IR4VIwvqYHfGV 5T4pXNo/cmkqXgd6Y9bYpajQQ2abGv1IxYpi852LstM1TJNQ1XmKhOupfkii5I1Y4yGIysfzjlYL Oh8tUiByop83Xs1/QtQfaS1DdbUJCQNUIrLluKFzQVyp372zXTInRyh3t0QhKsWtk9KiozdyffL+ lBE+AdlSzrwvih9kHqSKWZS09cU9vBFq7LPpsvStAvBej58v3S6LyNbnjU/jR4+vj6GuJCPcapuR KEbl0u0lm45aF+dRUyNPgH9kMXaJv2+EGs9zqYvNcccLfDgHSEtWE2KBIyknvLCi+4fgKq+SNz6N /8ZTImHVR90rJ2bvbVuSWz5vdBq7+0wKT5VTZds/4LhsPsLS6Vm5XRhF5iPVSw6/FbKK46rKIG9U Gj9NmQi61DZ6pYLa8fl5QTz0fcJKld0RcOpknuMbkzV7vyAG4b/MEibRaCkdFt1S9Dn5+eeNSOMZ fPaQnYg9yQ/LZuM9RLX2ZBtXhrFPF1cQBkGhyCyuEfbGofGETqEHLdv+aKicIHdiXkW9skJqxS2+ mUYeFyxVuMf9adsbvp8fciJMkgjR6CluylYzXGl/3kg09u3yLRqS8p8HIE3bhEQxKpLYj1ML6tV+ fkj4USITAPVtu7wHqYSRE4w17VrvGSbB3JXZZvs56VomnR+cJ+I+ewpz3y+YDu6FImBrya6Hg97g knPaySz2541A4yf9N+M/F8yg0ObTERVRkQAb+AxI2qiKIU8KsyQkD7J2SeQo6TOC2jpgw/9JYfY6 wUKfAPurAIhdbTtqaRhifVtQ094viMYw5GhTjq0XknV+rDatADKYjvf41J59rlv0GhCGs+mI4lNy 2yF1MwpCYk7IjCO7kw0amnd1vMen2U8PVFKaa6VYgX2Us/2WCkIz4R6v+3ibSifX52+ZwTfqzNP9 NCdgG50643TzAqb7jTnj+apvl2pSNVQYPGJ/o87YAoEDtC7oYzaLO/aqj2N8daThG2/GMyeOHkBu Ntp2IWTKE6YLjPgBxvYcp+CAkBaQPak3bJu9X9Cxnet/U7pzXZm+BF32zxtr5rn2vglM1kXt0xGF p/PkEsvYVedyNhc5dolAN1SEcI60/fLGmPHrhYqQtS/q4zTQzu4tWwdY4X+r2+ZL3pY6BAx2yRH+ eaPL+HQA9UiSHUmXRt0gOQqe22kmR+Gpi0CfyScaS/q7NhtReAqMY0udAnBuucZga+TL/K6XuPpG lXGkh+pBKoPUR1T/pAFU2cSqajTgAdOdtXhiD+Cb+avs1O0Fo9wFVUb+hI12uZwbWsD0eqK9zxtN 5ukO2erg1alc3ubcG0vGtv7ZUh3aiolI5OT1ZFIoqyf3y9B8Y8l8K+xNzl8ab8+hjoQMCxWQE7o7 FisJ9WKL188PO1DfeDLeCN0qBqkwJPgqE3GCZkNjnXu3fN54Mv/O+HUVTKNTaUai6FQ4/+SoXISb rUlnhAipYm+X6nkjyXiRnqXRVFZuDx7yHBXrTOYWZOxMzx7mk1vN1viZDTqknzeGjCcvKNIIF6/R Y5tzAwj7co6ozxtBxoPTfIMPwBDSfLHJeA9NEUoC6LFNeOXcDS5HkyVkb139qxH+Ro8ZF7tEi7r6 +CwPPHe2QA4piwXfRLMHdigjBa+vr2lvGPQbyPLTUo9uXYTyOf2rpR8ngf28sWN8cUwvfmhesjJX n5EoPEWYJScoLOJjKuiTRWsa8hY4v9uU4LvmJKyhbgFgkFXR6CWyvCoXo9KXeVKZJV8O0YUabljt 8fYRXfWNHfOrIsSXsdHur2Fr66f03j5v5Bi/ri+lTGc4Gva3yv7GjfEMhhNAEbpcQ/2yER7L/Xy7 H6phGXUKKoaCYBsqo04dqkSo4IvOGXi2TZdxeKIYSZEAZuPjNZCmvWJQBWmS5lENozi4BJqQYn3Y du3zRo15rtDn1uWSrHffxEEqkIXsE1K2ydAsFaCs0y+LzqSPiLoOSvlpbY9va7vUE8hMiWtVlCQw liKGxY6TJu5DihHq9I0T46cI5dMtHN1+/FrBUChmP2vu80aJsV2z5xOzpx/xyW4MEgWphLG91/8n 7LySJbmVbPt/xnKMBi3mP7GLtd0RmeQNr3qvDaxmM7IikRAutrDp6M1VeXJS70yd/vLEZFERdeo4 lV1J/9hxznOPoBPRBeo/2QBJXxuDVSvBvA/5w98w6DsUeRujladRE9JWmeaecC6enzc6jL1Z7p+o PePHmT1qf2PD2CmSxcXgQZ0iIhVIjKfLIkvAas5A6mRvfJiv1jZngY0XB3kug0L/mW5rErUdsiY2 GTiRk4z5IlEv5o0PY7uaRq0skhlt9Re0DRW09lznzxsdxnsx8zMnHIfJI7M3NoxdE2BFuR2nGrkX 07+RB9tSAUD5Rbbxb3SYp7lIj2766M1FbHvISKnHUPgUBlfNRAhUdMGeunK1V/zDrpEsqI3aK1hk 2q6pRa8W3L3z4mN+5f908XRvTBhbkbp63a2km1sJrG4DKQtnvv3qfSPC2GcIhbvUyV/tQdRNJM+Q paVqcaK/KdoH0RFtR0lFXgWAbK8YnanMh5QS6wN5HpD0ioX/6+eNCeOx6vc1I0eCfpfIe7CKoB5H Yndxr+EAGewjPbPLy6Fkb0SYbzTI3D565t8pjnEpnxUCRFc6pcqcsPfZ+d+XzBsRxmtX7BDTNZ6X QZZRwVWpfZ1I9Y0H43d2+uwYRNoV6Wk+wkLqQLJheYKrpEtIfpO+VrP/6jW9sWA8tSOrl25G/+hm nJ0wq+AwxbAywp0VSfGostytsryrvV+U2p2JyxWgvkZHrS9TfwOV9PPGgPGqdP8E7pC40Irx+YgK qUiPU/T97f+gBAZsRc1+QINDp2uejrp8I8D4uhQIVd2p9oAN1y4Uk+kWnmgVozDVQoifTezdM3/p gL/xX2w+JP4lboNGfTYar90Er0HkhrFq7Z/CIYJe7UJj3tgv3ssFhFessz9KNuSl+ehZaUj8Du2X MFDVTpFErcaHiLF3hwxdMJ7JhlUtlJGSrr6H+9LsBd/PU5S0qQlNH2+IUw3esouejRqXX+AHFLh6 uidqFKVK5+R2Xdoevm9mdjGiomWm1RE3+xV+CF63Plq9J7c9WWqTo+9Z1eKpnggPcTM5ZN4+TLbX CzJ/s9Er00crHBa7pQna188b5cV7fOUWQvKvqtn9gtejELWf838Y3FDtG9etOgdXdWGI2T1GbSEc VQ2n1LaPFyC5cTnJKiOjiOC0XWlNV4GUx0dfpkUx6hBYG5MQG+30OCm0p+6r8mwQkl0oiJml/dLG sekIQ9SsnGIpc+NPxXHr+Adja3wiMmqegj60qJKaBH0oz+gkoNzOGikKQIDtNik3gY3B6WymD9XD 4o+w0Y+pwuiqvPZbxT8T2wy9cFJRng06ueWrckgXBvq7IfrDIJW7Tzw94XO7nx/W51Bjal8sWX8P UY2LdRLYXnz0xkNBWJPYGuOAE+rOG6GoPwI3/br6JHvDqFMnvzSWgUZLYxAMsVOhNp6NwJf1c8Hg tUhgbBMSllIp/KXdvNPvUipmV6fSUFcvs+sjgqwOmZ1usW66vKhzhpadIPLyc+6ekpxLEEUkccaF /PE4bvaCUcSOgqZsLDVqPuhhJjP0qYNngwu3fpeWrUXu8xGGqFRMhiJTSEvFPdIlIqA/EUVYJaRH xVTpdsGk9PGCDc+HzK7OJSjMUkxGnwOq6Ji9OYwUI/j4P6GF0B+10aEgZ2VIWXTOzbMBFOTipyB7 0cDkHyXdmmoPaVJnRgQrBDq1EWYw63jEx1QqGvoOosJEcerUtHSFXT0/B8mJzTPAEGUyK0lIAiNv IDe0mvfjvSEVzR4FqrhTn2M6VR8dF5OS5MzO03o2oo3Nz11DEpXvOomIUrJ9kmGHvOPp8Rlfe1J7 U0yC1KFAVP3PHX/QLzb6jGzEv6RqU9YyuGXDYeGX6OTLabAke8OoR9WV5Grk+tTJelJIyZqddVh4 NrhrnoLZLwju33JRhz2OUxEp7qZz101hR4YkFyODUInFqT0mS4lNmO/oVVU0RLNAumeWz4LdRrCU WDbiro/l05RfWn8PVc/HMxVwFH30suo5nZblNJtn/7JvtJhIdJ+TJKyq8m2m/FjUabLq6i7T1YqL znjtmDhSVXfKYGXjCSZPWrtPaFKkaTK3iiUVlrQc49DRvzyh6m8YHa0cJCLspqcc11Zdw1tdk2eD o/VZIdqPZ/8gTmYz8h6sEryjd03BQbndmoY7XBxiika6hFM1JVG0itb7uXYF95/tiVahmy5ZfvCn Xqx9gw8Z9fBPjdkIdVFBVfZh/5G5O3OczAmrnGSBZ4Nct33luvDkMEix+YiAqYQrXarBwhxuq5eh TUzjbsrrOIPI1GdEVXdlucJhDgEMBEY9U3oyMy7VutLojf87pyo9KuoT83PXnN+Rj4+iEaSaQYjZ 6DMyzfi4tNF49q/Uh3V7Ebc708OYFWCTHlDvX4IgMryqtpHOqwNU0pxEZdWlJrfUl/qjvnR++0rV LBu4HeRTEnJo/VLH6p8qc7f3C/I7czEq3Ue7fFNXw4+a++LZaIl8x6uyS3TSZRSuSptwLSPst7Xt eN2jP9Lv3bPdERVUpyjaG2ChRq+XrXHWwxafGNOoYvAiFiTVnPYglwUm5NODchmbfoMXsNFwh6OZ ZvPJqgvPBtFq35/WHWrO7d66I4pWAbZMir806iawc+PhQvECzFyk1mwc1LCgqpZ+VoKXnwQPvmUq UrKnZ7+SmSJTseEwbfNDJ2MS+fgImkppqFBw1OgHVBO2GEz44NmgoLrWJwyRvvVtQ4wQm5o5SoH+ gqSqEtpVvawN8UNo/le7ZkZUUoV61shpNWruCUdJdDt6/uitdWReJANBMs5xccuHsAD57ABoJzku 7n4brT7US7MT4MSPPBsV3J/ebhZjW9LImo4oTgUX2ncyQIg7lKrCLNELsK2UghWnjihOtX7MVjnM JLmkd7jKBnnI8Xou8SX2Q6cdKDbWp1yWmI6w8T/krEinsj3CzyfUq9ZnOmEGz/6VtK0t/IvwgE1H FKUCP63CL0irWq06CsAXSJVk2sBhOqIYlbIDLJDto8eoaAPMvXXBnFniWDT/5wzBAi2Ux3ml2RsG 20UY7iLrL/JyJy2sZKSCcXImHg4WSGuffDfLCvTS2MM4FXAIvwL11D6L9/xPmIq43eTwSnJ36j8j ilNlptHBkdvoR2o6x2eZSdyHNkYxrS5MC2iWlvxx6En+isGWAbycmkAAzaH+BcyKwWVOIMizf4FD ZNOxIxS8R0iITh1igVRnPEjcjbMUeJzV3KeOeZ2qET6Vk7IN2MU2ehOiVtTJIPwAZRhdiAn03UUN 3h9G2bQ3jAiptMSlEqHRdg1b2fp3tfFsEIWs+Smbcb5uh0OMqKbapOehAsiStpjg/mvsp11FNUuz EUWplslkpXdZFYAsdZSzygBDsEJWSYpSK58L+5UI4rlizgfz6VEMoo4/d57GC+VzOfKTM/LsX1oy PETEedkPI4pShywz6wVCiAsyMTi+ff92+/4jDFIFWy7aMA+/CXc94FRTJyrshyEdISyA+C/bR05W ykojjFFJUrLJK90WBD5bhnM/O6jybJDoXvrl8p5uudMRBahUr/Cc0knay10U54K0w/WEPMndrEZc UgUYoshMoweoc6FO3VVSnecYMorQZrMgc1yeIrMqiCMsqUpESMJkqV0N816L01HbKDwbzMe82Hbd e1S5mx+pM6yp0lpIVQAh7pTKqaPWFCgrVYfmkh9N16f8KWjH0tzGWwtJ53+rcsmYtP4UzTbl8ITb T2InQaEZtv0JyprM8do1xztReynqDp4YqfJsMCXNw1SuA37SG4TMKEoVrRZ4+vwH5mzBQ/4csRhc qEZEd2xY23+GRVWIUZ0f3EZfIjjSzykC94ZHxLXcJCpEu5mg71ooiiAzoygVuGJtRC42+oQkcarZ m4NnoyPk60Sl+ygre81ISPFvmJ25G16XeLJceGa+Ljy1e1Y3YwaV7g51q9q4WV3GJWfL5Lmg8CwK t+zKskhs6999uxkWVTeI/y4Txb49bN84AWvTnLuGZyMs1U10CUcqen73kplRoJqFcFntcvy97y8W oPX9sytgzrieqoBd1aHxtHXPwpDQv8oAfLDKaWDeirKLCwsRQHXGXf8l36rmo2f7J5hjfZxrrPBs pM72n7bMugjEGcWpsMW2eaogSrm8erjkpqksRlZA2jFRoDo0o/x9Nt5DtaB2K2v0E5tt8WjOoYsI R/99KmWmwTVD+hTHl6pHNgobcwKlpN/3rJHKs0GXqn3VUgHmzMsXmmGQek6KSUyEVfwUykc3yyI1 lOgS2ZA0QmbU9Jc1YMcD2UY/T1eDjzultlwwv2W6qF7D+e6fNMZ2SxSioj1GfTD56POxjYfT8IOY IX1qfs0H59+jthQ1/WFOqdUohn8pt61biwvo9qe2PKNSKlSa80XV1K8fgZAx81TplOtlE5LQ1p2Y KojK/REVTvaGwfog/GzI59noLZlzOhmCeTSejbLc25LRU+fvxpjRZiTkT/GzEhFYXWhZcrunO17T 9neO/wwVqIxYp0Jqu4VUDDFoTNEUQqWSHIDtcpY8Yo7jE6EKizmjQmqR006ia6DRmnYnuJBM2cnU Js8G8/EQ2lUXgmQx7gqJGv/M2JBW7DksRnFwN+4NRYne+ZFBbWlCoiBVdIku+c/+kf9EIvoMJFGg npLEljp6c2yY+glAxL+cUYyKrsXJgLifNVpzqkOrsGus8WwEC9lfB4g8k7PPR8ig6nTpuMGBG24h u+ni8FFNtl2065oOkJDhryz3v4Sys1vP5zV5OLfz42wJmdHao73yKSsvf78/NLlHf8ZboXW64Zib Z/8SjxkzcePnYNOxogg1mz6FyVD10iy1y6m4ChVuW37brqjrL5VHfYiNF1SG3MOQz3evkG/mberK wogOi2sMSQx1hW1/Io+Gb6CNhjs8/1Z9GSacZyNRjIvDZLMjPj3ujbvCSipn/d4uYZe2OwBK4klR fKvOkVlhiCqhMiGkNHoZpOLyre5lhTskzDcah2ArkgEhXFq5+RtGST8hCCeojXam9mUYFFzVeTbY MvlLhQqJdgkY668LNVFRoWoOPBTFxUj+1zWEez/bnbvCxj81Q1k4MXZP+wex+mRpMyNzTLGGuMHP 8liyxf3S9ct8fBCUKQrBv9lGS+zO3aNk7Rz6g2f/It4m8HL/gJdXSKPi1EiohqpviWWkqbSrjUFZ aHS5VjEjITZ1GAii+ei75nz2XBajjpPIFXW4WRp7bQPO3gmp9ooxcehc5hRONNqmGcP8EBHk5tm/ HCNMCHXMC9VdUZCqujCAQWv5070zOHcBkizwEEdul9hhyKMSfX8IPDT60/I/EV9pqC9C093o7EDD xAdRfe9H3G8oDllRzx95nFqlJVw/n37yZwvbV8o8G+yZcfeMw7nJ/m1Gojg1UR8wzVy1p5zGnco0 4v85ApXFJH3Gn2pDaCHZ6KfIOdjPhZJUKiv4GhQ3NtCeAUv8WMB3e8UABUHchR+Njx73VUNBgDPh 2aDpv3yJsLq37iWfkBCeShFjXI4MIZYZdKULxxzrnqphoKq47L9EfwRkzt6QSwzyfSixNBEIc+qC 8XzgVP6GwXyY1FARyj+71ewJy7pOWOhrPyuiUfX1Ja4sr8E7HyGJCqOHLpHc81SX4wM8f7Q8mikN LVdOWSE+VddM00HSPg3MJuKQbJc20H8RICgcErutT8d/NHvBPwQiYAd8tCMVboXFZW3xbHCk7i8h GYpe8+pur5BDBSSoCsQ9zx8IE+RDNQSKQL/CmptdnxHFZdIqK9PHu8fXOnGqWGUAMhESbgIQLqTI n3YdQC59eHCiFnX69x314atIb4R/tyrPBv3c5wBhSqRvfQ+QKE4lLx/Vo7CRHzi3CU83kPXV5UFX rESVXcTQRifIpHFO9KQTFazqrIKVgU2dWIDte35MaR2uKFIVVKIK81fX1e2BL2RsylkGz0YLJH8v EHRkfEJ2FKmqmkOBQExd2JFSyJA0mjX8myuF7JBBtVUiFV671U+dbND2Iwgp63z11OXbTIxKOCb5 5Ev1L/aGkZqdXGL4IFcUpk48m+0eiYPuULe/3RXS7IqRS48m5D1ORS3h7OjsobsYtUb1L16BZ6m4 0tD+o3B/b9a1e7KNvSFASIqKDSNSLuKginqGC+lriWwVQ3aIUDXRfnJBjZbb4VVrWjJ78uxfpLl0 53I231rqjgPVc5TKbw4oiIrv7JmFTBpRiIQG7NLdYaAq1FBXFNKfOAFtHbQQ2D9t9CyHbzlnVEDx X+2pbS8YrJAuzwPhWcvVw694cZhc6knu9l8pVOx2TqzbntpRmGrqsX5y9L1uabmV6pwymapoOsKO vwAQMhfW+IX5Vy+CWlnbSBYZU3DrT/lTa9/2hgEJAgC7UmYbLZFZuxnL5ZyzPBuJlV15UGV2NKju jgmRqQ0+cb49/23WBgtneHdjXo7o3jGBqn+1Lx8CVTpbLXNknFz3BHtyamslndjozDXn5HKji2Tv FwXtVhXKPlq7bppDFv3IyrN/a2+bs9JZI1f8YIdSVH1/LB/7ug07+qX2J2SkfIGEHX9TP/iPqQFt y1WKICFYd49tJQKqxPv30bKTqNHPDuVSFYshMGmjXQ1Q1RSh1rR4NpJe+rT7Ocm74hCbj6jdDxCJ Jl29wfq2cghp2PZ+f51uSLZDPSoT5d7bxxuVnYsRjWHA3KOqC4VN81QPoI+PkSxJA5/+J1aZLIVN /8c2yjBY2fnoybN/oeniu8VQL6tsh2Eqx1urBmqXp5I6/mz1bJ5KbVp3aocdf5PS1YlZH7WyTX67 kUeRE+2YunVBq2Vaj1T2b9iuuGxHLX/g5idO4ktrtDNktrbcDmTxbFQeuqm/9B+pIVwV+7Cemiw6 sDCkNsvwllTpnOvvuMMd9/y1GaT/mPKT6J7fc6GRwZmK69bQzAP6RGzpicqQwND7BWcI0SWcVh8d AXHyATOQXXr2L1j/e+W2K1e2ozBVvOWyLCa15W5cf5eHyHJKsQ0T9fxdAw6Yo3NndaaeM2zTeeD1 z684xJEYIlNt6Asf94tibxjcuTo+2r7jnRCzzELHh2f/IsBUrDT0pesf06jE9Se+MK/ybKV3MAbd /jTZM+a4lWLB1PFVL3tUh/Y8ia5KmNSGxJtHbwL+HmV0uiwXSNX9Nd9nZQmmC4gSMqafkoX2oPhQ Zx9OPR3h7fIHG6K4u450ZyaWpoKQeQ6DpZLIWSDdPEG3/g3okOHa3CmOV4UeUsabn4wXTlyfWKhx ltTBojlnFXkn1aLyERuu2V8x4g/RrNI0tnpX4pkl8yZsozU9/LcQXpOyPtX3nN4jVjD7C4F5o3Lj TGDl9/xgzroqCdIbTiFItUuhSnWR+tRFNsW+NXXAnpmdqMg2nEOEmfnoMZmeG58e9bsJctmXNloM Dz1cDavduh4OopL8FAKwkk8fm5CcwuoqN+d8FN2mnS1bDWXr/ydP9PSHoCUhFoSwZvMR7Woznd2C dRuXD5xMtXh1tm4BIgxzhxKPffofUGYJuoqNXhppZjV0IralhyMC0b1z+CfdoKc4klMUuRJg7zJM O3W3Zord+M15/0pSXCZdnqICq7Xx5F+u0UM1TFCLlLLOMdvphLF5toR8im5EXylbfHc+P1IvRwAA LI+NtnvwNlG4ttHqTiEWoF4O77lNfwkZ8hXrTmGR9fxOXJsGBuCVXe5fat2dBBNvWFsqsZiqqooc zhr9pF2psQ6GMM05TVGrqFRk0LRc8h6eSDNGnx8BrKDdiZPYLyextJSs1Q77XQ+/z0pN32Iz4BD2 s3+iKJYvYe4CVI7k42uYgO6YgCFtBTtToiDWtHazSZk/kCLkZgDsG9d7YspEEIu8At3fkh6b7jmm v+Sf0N5Cq2n0TDi7LOLKSw9HlLN70sovFSjXBY5g9h4E98idypNDBWjXrN5jOr0Zm8lrtxMWXIUc KZJyK+NhwGP5mOT6WBBppgTfcNb9RbIjlwfdvHwDhSSrpLYt0KMzFutqn+PKKEVwyfXwX2Sr2Ecw GwBL+5xEwezCt1ya54ADendtZjqIBChnaiE9+LESyQII3/x/ENbzxw6LXMcKqCtpfVFUJq/61UHg 5FWzVEmxBQARrXgS6eFJlLMqDdt/Eis9HGyg6pAJEeKHUVV8VmL9KiV/Rf0ZJYTJRd9khUQhaZqj quYlrL56JemON+/hxWUsiQdZz9IOg+uPRA/tqX8ZU6eIbUXQdyJKKGb9EX2bMI10rAzFb6EPwGUH KNDH0v2y4XPoWEXFFVE20wd4hM3TKA5UrIDZbQMFhlW3Md7U0mpPS4tD8FzDcjAftcv/uoGtMSNC SmvOQFPLIgeGVbAUSYHbbD5eNPW2Esj5AD0cZT/jTkoy6+d6z9rQsgoMZEUKxDUCtmsESJwnCytw Y5XAsuqKJox0R1/f1fjfS/Kzsxj3FzQnFwdaXRcBPv0Ngyq9lGfR/LTRkFREhjooT4qoh4OQNl8p PBPkBdZ9t09gWoV2zUSezvzd+sieEgoMb5g0EnxfKKHqqpo4TdIarT5ZWz7HNWG8uDVEodzKW7EK cXkb/y7V59C4SgWh8+/V7Kv7AjJbVtuon/2ph4O0cD92b5ke0EeNJgfOVXRbRSXxYHY5JP4cKS7w RXP+WjWF3lWmJyGvrl4+Cl/9HB91qVyPsarQr1Um8kV188cbYIzsLxldQEQpBmGsT/H73HSmwnqW ox7+y/4xs13Wys1/QgMrhJs7aQ+CTT3L4136YMvsiDEFLJ4rBw5WKPKZ21v38faF80kyxQWv2D2o CQDAE77KL66Dj+xZ9Xf8Q59clTsbLVQ5t2YywxUugdDEqsxvuE3fKmz5pEQyrNSYe/K+1gPOOpMi rwAEKXNd91QJw1rz09h3tA1UK7pf0h+sa6AVoA0kXQcJwX+BB4q/ZETmxPBe1SaNjtBcy/XLZtHD US/ji6+X5RN/yweBmRVAxvIrgWWTg3P/yM0tcfWc+t0/IYLAHCL+w9g7AReIVAJYcCCjaFLwJ4bM Qnn2Hiqz+itGkYqIabv46PSSYeaacBT0cHTWfoJaADP8jTeoDR2tQDhs6WFeaTxDERjlRGjGfgOV wNMqO87Eytb9g0SSiRNgeC4juCZbwJv6q+iQ0vylMWZ/xz/Qfil6+WgBRl3mmVhnsocjMYXx+wn1 IabjHX2nJSzSbhjy4jtXE0UTQb4gkFHVPJ/L8Vk5MLYi2Dfub/PRD8OZx/lxrBOYTv5tAC7qDfqk x3m1+xv+QcjYSg8ardXDSlEJoXV7OAr156f1haNKr89KCXGvFNV3tSt4CCMnPEGd10qSMqPvnz8b sBZDrn3KbyVLLbCYvlOeahfTXlOfNNdP/DbvS0bNDaKuue5oSyUtj0rP/9PDQahfbvwm1RCVJfPF WeTQ3kpKM6YfQF6o6Th7SfJVhiuY81pshgytaTo120ffQecS7MslwMn4m9Rqz2+1dEtebRY7aUN/ qwkLAOlijclClbF7q+bhtbIejnSvvpA4MCIRy/UZCQu15webiyBAzlbV86AO86WZh4CEJjUlIQR2 ppdUuQOdwYuVUAWxarpCLYHJXgJLfNB81d8xhsBCOU8+Ghpn5KYKJWGcHg5KcPX2wUDjUD24J0ps dHXu5J2kF4dPKagFzpElKSs5CahNqaJK4HTFMiGvGSZa/BRqG4rXzQQnEDtGQgrCOJEyeIFxVwow BH/JqNRE5sfxbKMywTRMqxumVtPDEd7iq9dRdOI+B21gdwUG5YRtpm0kvaF52Wt1e6yvgpVNS4yE Va3pPyqtJ6+n1SuVtLNFqhpv9AzPZ6gM8xg8rVL9JaM2sgDkw8bsG4geUNEGIisMPa++KAZEtem3 P2WV0PKKDAhtHDtSLkRnpWtqlB/oVg4srwCQcilDqbXRM+VZseKbkuKEs5WElkX+wGxItXtKNgvB 2POKYHch/22jw6UBnqs6iS1rYHqVPoUmTbiu5PREKqHtFTXrzu1G4Vqe5aYep+aVwCj7qtfm0Phq yde5qrhfH7/rin9qldgrFZCG0/Q5/RPoMGpyt6RyD9o4pCVwE1UpracPOcu0UyEvezhaJ1+9U2D1 9Tlno4gW2U/EwLvzpEc3+zyCo2R/WumzfUIpLF3Ko97Rr0q2JdpXnCoJKw2hEnQqyzPV45S8/R2D 7rrJ11KC03g7YksgP7TG9HAQ0l6IDltIttI3IQztr4aZlk2n1E8jf641b3t9Pqbogf3V4+fTu4+3 z1H3gKLD1jkXMJ2XRsVSKpT89CYdPwRpy6EBFpSC2oo6haWPG6O4TvpZhU0P/yUdZEWZ4umdkSiW VWGoDo9PzCf+HCZjtSsysNudkdBdQAI1ZsLZHz7s2OeEzc0o0xTyBBfF0Q8Q6Ec8blgvOfTAUsaY qhzS6uVTJiaY2Tnh+tbD0WlypePtevitTy0ldMECG9WrW6P1OlwJO6mVqaqBRBNt24SxrMN0io+X ozN2Q3SGAGVRhZOa7ckb4e7KdXVqUlbt/o5B1EahPqGdYqPBUmh2mNRAG3o4mJSLfeR2IFN4itah DxY3bk5GzhkSHWjXqWRaHlTkC2W1lNALa8kUrtt6ecxr20mLT2hf1Q07660LFUSFlQqnVSPzR+Q3 h25Y/F+qrDJsdMDfmEKnnFN86+GoGXZJB/b28yOLlUNDrE3ZuoprQfFFwaxKmfTcBFCRXqFZxkfB rElAd1mm9ccybZ60eCZ9NoxQKV020WCw7UOG8V48kmDIgSmW4dZr5Ui18aY95iDP7aaH/wL8UySx VTnwOQmVXCeGQMk4sueWaK7eolDgHxNLvHdx6IvVxffL4v7l8nSTpYhNha2cbHtUtP9AhnbhTn+V 4Hnh2iL80BprSnEQPKmNCmbL7lursp0oWQ9Hk/JlDi5JifaslBB3sOSXOG6BaViBSeelEkGwWXbQ xuZY2xQ6q48+KSdgm1UqhuAOctYlhwig7Keelo8VIgNrLIiQOmHz8NFKbhDX1bE4J44ejhLBr/hE Ff0b3MfeWOfHUrFdJWtzgMY9rXq8X9quNzUO3LHc+6iz1228JeXWt1wCJORasYzBSWj9StWf3pbd xsKR6eODkht9ZLUZbTR0yrnNLshz6OHgmL2KP4J3SULpzkkUxtKumwAalBsDzBXkQG03ZYR7j3KP kz8LEFQzlvsIhy0KBhJBlVVgUxiLxtAAhvbR/PFlEoq5qqiEzb2NDoh0p9hzSA09HNTbLuCAp1Qq eKYkCmMpxY68LC4ByWm3cbnYyOyVOGqQgUUW6YHIf/uOfu9woUmjASOG5pRqXW1UAeFI3L1jRcjQ I0v/aRcfQaNfx9sQgVAe9HDEu58fuJt7NN1ZCRVdRaz2M7btZNpQezTHRcpryI/YGG4gfNIzPvyu BWeWBOzkIxOMyJmmIYGhExk+Hlnmm55Dkyw0EWC8DB+tsZHbMJ7nWYR6OIrbvvJiWrdt3oQn9MkC VDKqhMOBGwBUUjsjif1GDiuZeotQolh2JhWWRFwpt/GNIHYG2t8tQkndDOZIr4uogeVTg6z+ksFl DJI4kaDaaCsFPJBQTIWGaeiWNb7Z1Wyfq2OaQ7csUBAnEM8IYv+q9W3nCMHsAGsw1DS3SYmDWYrz W5IU+0HhnydTITOR0Dy+JlSWaKJnEsWZP8qdOftLRtGs9Ajq8tHSwHNEuXCW9nZUmB3ji+wltMF1 dc2hZRYq592ETWiGgXlWiF9zcV2CPe5tHDhm4d5HwrOe8TYGz02s+i4hyonNzc6EEI/KHRStayJW /B2DTBB6W1PPTKPVIEGJihFY19LD0f5ZX/cx7j03bAs9s4iiqhHfpF3pYIO5r1dlMyVg+4yoBGlU r+KjtwWpi8n8QRisKve1popdkgfnR/2mFn/HAANIaRd7FB8tRgHXbs5qrejh4PJpXy4viOU/ZaXQ N4vqSbduugqQLoCT6+q3AHnd5XNgnEXp0xi0w0dfJ+PE4k367mWn87/IfE84N4nC/BuoE9pmFUOe z+qjB/ezmxNH2VUPB52ebyq+ZuSGsYFtFtk4oomw7AU0GH60bnIYQUVHv/pZOTbOUqojFUaNyQtg DVKogSJnWkmKUVAAONnLo6A1LGgLjLPoaHANI1Fvo50mDc1slWbH1sN/wS5picNkuSbiObDOQrCB jIGTB8F5yqkmT0BdZOgK2stpLDn2zjIzD1Vk01ORpReIkmuXdnar0h+qZmjH7bM+Cc8o/pJ/6B7L hsxGb64lq+Sfn63q4WihfDnfglzpn1kJS7Jk+fI1EiBfLDgqktlNpPDgu2lg4KCVJA7CErijvfV5 6bk2xYRzuEwKCBKwHGh6CAH0KJ1IUzyHJlrcfVWKbzYa3emEPOZXulPVw1H98eolCZLS8xckP/DR On8jKZnKokoDt1km7frgRB+hghz4aDmEvTfDMD1E9CHYlWzEcDhuUlOt6rtIN3p/KfIVf8dI7oRM EDdQG+2UHfiWWdy89XBUg3wyQYgeapf6nISiWkhpS52VGNYbolISNWXLMiRWbFdPBDOoQqQY3Lo/ kOIOgDvRwqF4f07yZCaGMFZp2T8yUlNSpzkw1DJ+bIUy5KMfWd0EckD36uG/Ad1+La1ot+L28xqh ZBPWwl9SEUqbotkuTl4PUEorLqf9Gp5kMG4gWpLoDulhJo1K+YVr6HwCwkBwb7mdfykNCZQ260c2 6TU4yRQLTFAr+2iJ8dyuLn5C25/X0CRDStHRen5pPlv6lEwgsx/MxTo/59I+VJ+rFbOvGObOp/43 7631EUwG+gNtyJlgfJwJTrawatHuODEKQjnyUJZB4FDv7qKIaQ3x6W+zcT6dNVRQmLHxppdCxxPU z5/XoCQ/VcdpWFlR36fNxmtMko0Auox5gH6O0DkVIPfZRdIobLpEmI3XiISlQSl+0Hq38WKe9+7n KFWYxmlLkjOgWpDurI8kn7/e+8qAUXRyAuqoM3umD4p6JxPRTu3nNRxhGvc1VqcuPc3EU0bM0SYZ CJXvWxsA3araQBnTawPlAsxfQ5Gc1YFqg91vo0esA75yAtzUpIkuyheLIHdI5evR0jL9ytdQJJuQ /vkW/OddXg0GdGzyn6RK2vPPaySSH7XXaa0L0YWKz8drIMJGaRIu9vaWIWIRv6i3M0wx1jwaw30i 4VwCGo2+Ms4psZpsphsUVEr11DLt0JA8uGutKad5DUE4NMQ03dI23RfyVK5VF//8eQ1AeLT6ZJyT QI4EqPxqLl7Djwx1FMPKZUTJUZxjfM7N5V58FMPcOCuYDFkrTWjNNl7o9AmfUCSUwMmEeI2RbIdV jM2O10dKMsubYC5wlYM413y0AzSNmky7Ipef17iDhXHnoplH9Pl6qPxLyP11MqzWmpOKKgLAWrTe pLWQ1dI5uSpvJHH1aDpgR06IizbadCC40VXPRjCqb+nAjt3MVK1/aLRV7xfsExB6qF76aCE7EUe3 /Vh+XuON/MQb0xoU538hYzJR6ugAHea3YYHpcKrBbinfECx5E/g11tD5SS1fHjEa/dA41x81ESAl YwNXkZEFMuJwDdq32Bxv936XCJ7WqV7ZaAWiM58Wp++Vf17DDL1Wu+dn+lX05ufFa8WMywey3rw9 iT63cyHTbf6iFKeU/7Vcpn2ZdId0H20mzgolBqMjgYxFkg9uFRZjoOpfav0gGSUTFU2Gsls0oWy0 GuI4V2uxyRg/r7WyTKGt+2QUhzEOinIi+wfTQYjRu/fCe8sWfGVUomw+2nxEgIKVobWF7rGPnoRj QUjVkEW9ZzFNXOklSNZ0Pbmt6e691sm0TVC4UG/R2uYWxXTrT9YT7P+8VsmypX8eZ1A5lia1BV1R BDqyDKScdHL+lKoL/0hnhNNjf3pWURSqIvMA7mejw0dOLI7OFQFgXtjAy3eAlgaVNAAqN61txh+O FoiECBHns9F4SrU2K2CcTf3zzshhu6x+Tw5wvwoCfU6iSDTJxTQtBVo9EeSoHU48P/+RRD0eoYad j2Zkaons4qPH5Sfiylk2v2D/s3in4lNnDqbJPeuK2cuwv9Ea4aUaLD8b/eOzA4WQiXon5GRjCGpG hFwsOBD6CfJOx2FGsMNJqznw12Mx0N1+moo+5gCSYEa27lmM7Wz00kQmCsvmT5nR8SRG42+QOfIn 5rDichSP9ibLH2ECbgvvLLoxrDVTQM2/B6TAw28IxvrDgWPd6PydiZMNrtKsaNquEiHg+e4Vw9L2 pSdFMWm3ixbeiUbfM/tEM+b4dVK0Lbnrc/0AVoEmsZ+KoREe30NS65ieBJCYLTe3dMnzRP0m3Xli q593Dg6HiCmI2/qgdas8UtPxHpIazH3P7nqM46pE7bJc8maU5wx5D0qzCSJPOvY23sCj1zPR4PJO yHuOQ3Djk94jwlp0KYfNR7PqQ3SCSIiQDrGNHuTN5CAywIpRVOpQQktYuqBfPh1RVMpPhZ2GiEiT X8w45aQWQ94/SHiaTMV7WMrBzHSoRTWfFhXNhiaAr1Qq+jkCFZ2ABpbZwGP4M+z9ghA9qUq0i48W fXSaXpa51J932g0Rt9G9WR2yOaW779MRxqU0d00WWkI0V2DeUBFVOb4ncO+sG52nSfuk++irgyTr /PbslnM+raoQBfw2VSVJpPn9Uu0F3+cDv4sqOqqNdr+cxabciELezzvlRuGEz4f9F+eOXhTnNCFR bIreVc+uuN/z1Zff0/u6FYkjm48oOBUxeCBXYqPPBxWNPcRXM3toUWVhkQOd5Wd3ON7M9oLvEzK4 cBMoRhvt+KDDqLxlDb1ZEJKt+blwqdZKd1fz8R6h2tUwMA5UFre7697UJbNwwoWpLI7jI4pRVXId 8O9t9NLdWdbC4km3U7pqAgckLVvwrDcks+rle4ia1Wk7JykdYI1W79i2qn+RnPp5Z9rw7e6OUQTS xEDzCYliVNCnm1StGZOR44+FsUlDdYIMgMayP34n2mRXMh3E4zb6CXJWQEHnmyVystIp5G+VWiSg 7PFxDjcAeBSm0plDe2z6qI/fwCBVAtp0LqM41RFhlsOgdgRY1ApOUaBKX9LkJQnbaboYoVEga4Xt QiexRt4pNtk7UjOZ4eR6KmIYd6rfRHJ3juvNjPFWXXa0j6VLsfd7v2GAMaGgXH2073FOEKX9J34Z P+8EG9Vj2ie73VrBPhtRiEqnt9ntCjvAcWarXnaAGj42Ge8RKiGTIjFLDh8lF/CCDWMbJfordaV+ FInQ/qmP6p7QdD/v1Bp+4KQDpCYf7QDBY0+Q79nmzzuzJpuZg5WOq8HghS7WdETxKeYIXXqw/wgZ UARbzVlddLMd5LXZLu/EGiW5qqTD25yPRUDeoJGH9O3rSfvHmsbP6irO/9LPvbWP4a8Y5TAyxkYO q5jylySQDANMYbf/vNNqeLVVP9sFhajhtfR3To3mkGKiOJ9K60DJGVe8uc5amWqYaUqiEJUSQZvL tJQ+zYWM0yAwqhODrDHU6pjawSf62B/kULE3jHoLzF5THbk5nDxv05xWRLZ+3vk0Oo2/jg8sUFWE 0IREESqHcO/NqSPdK2MQVJub3Obu1MV3Oo3uXKaDtWCjVzYXIIclLfW5q/zZzoGbOdTq70MwGnIw eWfT3OlAs95HIVXxYzAt47Mrf97JNFx9a3z1WmozJQ5NRxSholXVazLVjV60TkQP3w5UraCwVQR5 J9JkNx+YOGjY6Btm5nRmgTi50syGhX+2C2wWyJzjE5I1e8EgAikK1Wlna7SakIw/tVBG/Xmn0Xi2 qvlggagK4vftO4uGBQVyUCr+0oVerm2bSrtsecILm473CNXPU5VEbbTVkVpqRYoCJx1VqEbzidaw hORohjyeUNte8f38QGuj8r19tAz3JMoGAVlp/7xzaPh6uX4yGGRidvWY/Z1Dkx0LcJbD8pkwfVsd IGn5ATKG9xneWTT8isBdJq9uo83JCX9PpF4kY7pOxFypkswhsXnRnz2JUUj2zqHJRoSEKrx81I7p JzVSBfEkRvvnnUKjFbK/elCSYPP5iIqo1CJHk9Q+sMPiFIkq73ZuGFfzSfqMoLSug1Ti/Bq9jEr7 +pwfZLjnh1Vd1gPZsw73pwXl7xdEY1OBBwUCjU6K6LNbGM5svAeoWXKfnyIqdEAcPmw+ogiVBuaQ UKDY8T17RaheHeQhiRmtjvcA9Wb8Q/fu+OQwZyEkyJra74m7hhuZfQOek3LQt+jgO3nG25/Y0Dcf L9K99QfV/c6dUULSv0ogNFpv9/qdO8MCAZ2yk0tLTNde3Bdql4mqbD7eeTMKT8leAeXaeLG05ZzK SydIz3TmOFDhFAEBl22pN2ybvV/QsZWm68p31HSAr9N0oDj7806ayYZaeu5bCTPduvI7ZUZrap+c 07GXS4AB7RLZlVERKuMK+LwzZu58zKQ6WbosOZLcvZKldOn8JwDpOHHzr6mhwNRgv5BM/7zTZZgO lYKqpEfqPZnaziVbQlf0Xn89Ts9PwYx4feydLMPiQGID0I4Mw+p4sC9cnsb8To7kfufKsJ6tIKTd Ur4yflzpk9pRjYxUnW4KREMz8pF46vaCUfYiUpqAIfvKCTfspXWYnvvr550no3L5bUiZlJ4QwX5+ vBNldFvSy+9W8BjZrVy+pCRGVleX9fEenjqYboj2MT5FvbMJgXaBvTnf+7yNOJxdFONly9cPEDtS 36ky2ZTUq6A/VSKFpl80VFc5f5opl593qoziza+ioY5WT3DfmTL6CYQ2t6/f2rZ9slQKNZZz9vz2 nSeT3f1ochjb6B3LsgokTbF4rRND0egaHj+zwY30806SUQV1qC/Zfbzo8KRg9dyD9eedI6MA9ask BNyieLj+TpFR1wbDEoJILY9m7Wsk4as5yg+CcYHF3hkyquuve3HMx/rofP9zelTlPojNIbJDRWgC eCW7/bBBlr9h0HHYCtDnHZ0hA0DRIrP2806QyQ+nGYkz/nm2LEU2m5EoRCVezklHjxO9t7X0iTwE lSJJ8LbUO0VG4BvKhlLS1+i/4urICQz1tGeWDIsZhpGh/nKHXb8fMVbfGTI69UnuSRXrJ2E8wU02 N/iTgP28E2QUz7WbxehDfme9h0gUpFYFlteLYbjL3pYFtfX4h8Ms3+kxXq0EbS/gmCZ/qnN59oe+ ARlHxbxUe1P0WMkcPAQZ/qhXDAohNKb6VCwy3cXv5M9jq8Jw7sP2806P0Wx6mmvJ4K+oFfrbwijV pBHdIEu+o7SlSr3M933bdO/UGPUdkpSTp483ZC+D/6ms7DllaoP19gmKiSjWQ4wR6PSdF5PTZdqR 6+ZrA53XmQwBpU64pxcLrpl0k36eEqXMs9x3WowHxVi9e83wyk1m1eRUM1yXfPjOiuGVm5L+eUdH /Z2gfZ+wTLSlAVtVjYfzakolqQVf/sewNww6D8QEZxUsH5PHYu7WcXbOzzslJpuZ1CdIXWImO3Is ClLJUc+FWBzPn1jXRWo8xm4WYoA2CJHIOyXmdvsnUGMb/RDholFxnFL7CeK3qS+qn7FUk7iLRN2Y d0qMgyVPyI5Waxc9XAUQmpdCNeQ6f94ZMdpu5QO0NP8Cn5Kw2S/vnfPY5NqVDaNg/UASNiFJ5U0G p+o7IUZoHhX1lc7k+ZXY7TUaid1ZEEttf8yimfiu7uhTWl7VXjECC5HPklvYqOMg9Wr2UwhK/7zT YZSBey2EW/Istun3zDsZxvOYvquTpnafl9e9vJbYQa/o5n3nwvAZTcgp600++MKJgkiVcH7DKNuk Iij0ZDYMegWPAkC2V4zOVKpkghdpdPDpTt6MGevnnQzzr9qQnBsg7dxr5p0No8hMPWkHXM7sp+q6 pwj1HQdcxqGqkrrZffRK6kZioVGiAoAqEf8mCCmwblp8/7pk3rkwKn9zfiBWY+PdkCbPdSa1/LxT YbLZFXwCVfzT77H6zoRRZo1HU9/e7U9O1t0lzdvtZ051hoS1VF0wMlnQ6NWyuU+EIc3Pitj4noYZ yVguUQvpVlre1d4vSnXBWw5hL8e1QDqp0TLP2LMFf945MF6HdQgqnVwlNfdUjYqpU+3+luSFPWWn LT43zrjDambDBUjfKTDZBXwndmk2+rXLLu/qsNcT3Q3g+g25CIiTv+lJ/aUJ/s5/0XwIpm1gzVuZ JCMy+d4BIjcMVWv7nB8oerWb2r2TX7LpeQ0hWojdzX5QEuZXDW8giWn7JWz3K/Xv+Y6eyWSqY2Ae Cj4SaRbr5G2rz4wP9aXZC0bgmK5TIvt4j+tpv/BZxz/vxBd1LvNdH3x77qO7XaIoldyxy76DKERW j6q1G0WKWnsVd7nrM/60XYZKIeOWQuRKiVaACqcnIQJ6fbYTl7p6zk8nJtv7Bbk/0YcyCxsdiypA uxbK+nnnvHxjY1Q5BH7Z7wUTxagkMurxsyoG16EqQ3WqMUVVBIVJOz1iQCppzH5GrwydNb04HdSJ QZ5JwmdVMnRJKOXxEZhpYZDKfJRUt48WsqPSYnHmqjwbxGT9az7Ici+5oYUxqngoFNWrVgV0CGV4 e9UtruE5zoYLjbaolJqs6aRk5uPSCV5oDKnit4RNlIi7CGJtnDrTh+xhAUgUpQIKrUtmrhrtAMG7 Ydg6HDwbdHPzV+2Qk7nfEKSHUSqt/n43jNQYhb+UNYohdO+F299jVM5T3S8K2x8V95opl00JEoPM 3VDDMBSulteV/oHoSiaxRzFqEmJILQiNtmdaa96ArI1nAyz7/iIDgVjqtzbUoyBVmhbmKVdo9xta d5nxsUpu4jt0fUSQ10mZZgkfsy4+5hyo9YSRSYnugmEp6SbekNIkce3je9zsBYOYPUsKnf9eo+aj Qla0mamDZyPWR/6sEOFPbtDewyB1gkdVvx/LI4nOUj3MJA12xVAHFe0jqqcaggo3TRsd/4Cn5jC1 kLNzeoUEQEMCOJLCzJvFiGDGx0fwGJ0i5CDlgbvigyrW4gknN88GeJDlmZ0EmfgV6If7nMQ0KVZx kSokKJJkfyip67YliKHFrTCkR3GqZTLFOrtXnLd0nOSmjvazWMboJp445dm1sGx7fDgko9mjQBV1 K6xeto8WmY0kpWMYrHo24o3Nr6N1GZZbMxIRpXCLbVKUl6P8kLqJCvDbKIW5Ub0UiqqHgaowQ9LZ mY/OTlm1AtIbatpBiFRThvNQsKj2wVAle8OgR7VMu3loTL9WOqQ3JbvwczrzbHDVzFth5rhHdqF4 j6rHgSpK+dXMFLqJMVHSUoRluS5rXCskpksNkei6j15VHeR34lrhfbx0YDUtSVBl/SMEOGWf1t9j 1STd4DOxO/tox8eJVO1L5bJ59m/bhhlBPmx66N6jqurgqkkywALHrToz0DvzG2RCqNxpgcTIVMMN 3dHJICslGuRVtlp5dwnsSG9E19v6iOQLq9ujkqpO1kz+aKPdvefE1i1f6dv1KFh9khkDem5oU3dG wmhVhAAxbSvORsm07s4XqEbDHcBL7WiN2v7w+IDIdB/taIVqmIbkVE9yl5DDEbT9XGQcr58as1Hq oooqXLbajADxUE/b2ed2e54s76eHFdV2+/6sFDTIIFPahETgVKasI6tKo66b7gV8oZ5MyRqc5Lkq tUaizn83gDZ57FSHXNHh+RknFRERpCESC/oHBCfLVKvPz11zNhIfH0Qj1rnYd7QpmWqh8afRePYv 2LLirQhh3TQjYciKORgpflbv3/V4c8GDS/fwSNfQtUdlVe9mig1SPhajayvm7m5Yc7uZ5685p13/ VJm7vV+Q3y0pdKXio1295/4uXmUYPPsX2qUWU5fgg5Euo2h1Kine44p0TRfpWo/2e/ca84gKqlOQ kKl+1byqBigoEyYgrVVXbWUb/26Bg5XZ9SOVggYPnx7Rkzk7kirN6Wq5nQU9lzlKtMKzQaw6vtJd msHtkh9GFKsSDSxMXNF5WFmrg4ZdkeDdUp627ZIZYUHVeFMkveNpQpzPBDbUVQ1Z5yyc6brfSqoH 0Oojk5LsFaMCIpeMQczGIzt5zqdhbmlt8GxQUN3rs18kcN09eh8hOvWsow2tW0dqEzp18de0KoYI 3X/Sv3Okjqikmi2fwX9mNu0XSU9lFZyhidHrHlv5DE47kjt4yoesIT47wNqRvE2JVmm0euqJfe3a PAk2zwYFgJ4/TYgi04Y7HSE2lfqQTI7OAhlSo/1yDIdIAVZF9IcRxalL8dhUp3tabk2cOtqJVbN2 TG+pyON1IsaWlQY85bLEdIR9f2V1xjl8NHQqerXGrZuNZ6Pj4wuZivTYuKfpiKJUiVvWbCkdlkua hLWu+FRexaEhI4pRAduguFd89Kg9nVx36zhnTcgZCcFdSF2YXhJjXfeVZm/4hx5mKsrMIDwYbQHJ dP3G4+RdPPwXfowaEJz3xeP2EYapg6TufA82xwAPp3pqBntNPfV8ShK3Sp8RLJCl7lRNPnoB8Vzk A9kLwtR6YhOJdqmRhslFyR/vL/jSfHyQ3SX17UhWNNqWORGWuh31RP88G6Eh6teMAPq+aLsR4lOn CTMaUegE7M0oMpiKmmaMqS9KD2RECFXuwja38Mv74pdpxJ7jUn5o7cT/azg+EVCCfuuHU+avGCwS ObSghmrjrS84nnvXxrN/w7dnk55aZqKuvzAKVFlHrrG0zMspK7ejIaTzpN9sd0RVVYMO3ZrIsrDs nKql5QnGnEUCBEq6swk0HzDh+bllzgfz6VGZGfwQwD0bPTEY1jJFspZn/9qV4TtJLc6mIwpTEcFq 7TJCENe21n/p3vrHJs3CkDBKnYaiuKNHS+uEqThxcqhunEUkeICBbRKf+wMxy/aCQZBKOJtVFskX 0Z13dmFzQNc8G+S6LX2aVCqp3OkIY1QKvqOblnsXv1xtTFM9gG+9OBh1yYRFVWG5u6rM/akyn7UB TUg1RMjs1eSaEQY76/aBVd0S4gj5U/RsKeH76LWQnOwnPhk1zwbzcQkQOjDq+ty6MyyqdpVQiXyk 86h6v8RBBjgfabsvq0LrU6Kwvf9/ZlfmgiZIywf8HktN/fAlxgvJ583s7isGmZ38jbdomNevPu+1 i2xugCPybFR4/4K484s2ryHOKE4VhEU2ftLzO8f/goTZZWyu3H9J2a/rM4KiqsIQuQJq9CxmAMsU WLxOhVQmHqo2un7ax0tRHJkZxalJLaoCjkyjTQhrbVnkPng2OkG8PKQpoSN6G3czilPB2408but/ ez8mi4MiHUxuBDUiZsiiaqZ8l330yD2vc8vIi65W+LsmmtJ1q3e5pn937mZYVJWwodIfjcaNwXvc 8GVTz0YTcnN/mRsX8Kcem80oVJU39XA6SFvVOBBbajCW2xFKcojMsKKqzl0VWKZesExBiLpmSaBC 0B/Sl51y6hXW+uEc+utFNWaZpbOTc74Hds8mDnAyxFV4NmjsrnIDd+vLgJe32YgiVVjae2h9SB50 25m6TQ8CUiosCVsfofSURKckXj/zFWoAl3qC9yL3UawhZPw1iJ0RBn0g7ibDNUMOlRQeJVZVrgXC CVKrmTyf1KDybNCm6l+qOkBzKK/adMTV1PY7cXKRc/wwj1GwgWNLh/lcmVwEmo6o7S9cyEROxEbH hZyI9RxIptG2Bja1avsnabT1TyJjuyUKUmklYuK1fTQY4ZlW5XXnLxw8G4Vk/TMfnH+3cDijtr9E 5msx5cJBTdtZ/qU4y79I/LHrM4LrpeqLao2kCxzKC7RvVzG3ot4nc7MOtlPInPppY+rGnVGIqmbM SBI3T+tSDs9BPUzUfjSeDdKYUT83Lm0m6tg2ISGFijQ8DWf5SyVQwXvu6dL8m9P8Z6hB1awfk330 zG6d36402WdtcrKsQAWx70mp7KPIXewF/9CNSeRSNmo+0MpX5e9848mzUWl5fo4PuZKMu1+ixj+e AsNc7/gD0YJmobtQBgURL4PMKESlWnMWLt0HjV65Odnt+b9Ioz3Vte1aBhfCfqmf+EMczBlFqMA3 YcTf0Rr/rXYDy53jmmejNNfLQnoOhc12WYcz5FDNZS7O1f2Kt4krk5meQ2VKr5e6MydIyPJXuibb GI13y5yTSTREjpKzXqSJ2c8PC1X6U1cWOnVGIarqygiq+vjALJJXQjbPRiH7/hwgyiYvjmpFISql oE4j0WL2nn3DUACxmJ1aiTbMivr+WiDDVHO+/Nf2OVnO/p7ilJVCkbx1ZFqypAjyU1o2QdQV1lKL 1B7EDGm3bD1WUt8TW4nKsxGL6gG4ow+7fsdF2q2wlooqoShJcjLLRuJepXv8gUWTJXUrDFGlIJN0 w6Tnhil7Y/FnQiErwei+tHbk4oD+X3FlCeussJQqK6WU7+gxe7YuRznJAM/+BTykCZHfnXcwVyiL inIK4JXCmbq6IQCokdHRFe1w+p27wsa/0YaKxEBLuln/XCdLBP9LRzcPcKonrbPVsfRqX8p+mY8P qqlQeot63OXpcfeTkGt2ckHNLo5RnyjknOXdrN00ISGPahh2JZniQbK2jN5sWzemTKu3MyUhPLW3 /6fKnMCuoE0m7aVzxQyEXAAw44m4hJ19ZqTaO0YFVSKQ9oz69EnrS/fuyZV4Nsrr/FzlIZxyLqBq xfKotHRFX6brDwx9nwtnbx20kjmhAatTJCZSWbW9+OhpLgQWpoQefUlgwmBi0kUcIkZ8jGeTvWHU nyKhY+va6JACyKnMx9mOPPuXervpejAhnvmvKE4FFt2TB2ZnZi6cSgr7uoElSEM5dcVcf7Oz6j7e sP38nI0M7nx5yIfyj6RelqViu7+c4Lu9YsTl7sTsPfto6694N6KOPng2woVc+JC0tHSW31R3RcGq NC2kYSJM5nAs8+7OPqzD+BD6iChWXd6Os9FDd7B0hc4UU7KzOjadDgtVW8A8H0TVsDeM6Mvlixni 12hBGY1N008C+bMiKlW/wVnedrTuj4bbCslUnU2yrG+3Ud+Do3qWOd0hsjvhsBW/rxCm6hSI4aNX zNpIJUkg5CyH82Wm3I9AkaPU9Wn8j2YvGElk0PjnErTRCmYn6kvex1w8+75t1uXKSLCRLAUZBJuQ kEx1Dr5hWwPvNzGnWNkbVq1R/qcnNCsuqQo3VO94Yd1o5BXKHOc8OWm60JtcmbAs9gO6w8NMHx4B VbMa/sNHu3GbeJMCJlaeDUQgL+df5F1g9vnumShcXWaB11zGrVbHdcuRTTrc9bq/r78op+ruXY+E ytkxbUqJuqBtRwIs6tD4nQb0u8eI3ED59KgHQTsWGIWNhoMYcxqV4WRQPBuskMvJvNUh5Lc0ITuK VymFNCEcpRoyzarsbJ1PdegKyYZUqi1giBozD1QBXWhEugyEWPCh6hLuxbKIO7w8gstKrvn4IKPh 1JSMXXUxO9VRwYsqcK2dZ4MCUSufCZE8mHf+d6ybemLT7lTuVbxaZl1paYdgmG0LZIecf81pUbe7 PKqYJ+c/oT86dvgGUyjiBMHeOgP0NUV9rZCtksgOcaoQHTI/lY2W4p2YTD28c1BOno1Uum5vVxYP XSxhn5IYqIpYuGRDkAflhZkSTK/AUIHS6W7OvON4VZMhhENZT5H55LlZoirnGq4Y2KttAwdIjLZP k2rbCwYTMsQiEe35KTDAETft5TPTPBtxqe6hyj+FiL5LJApXkUsf4qCg5V/ytVpa854hXPk2H2Hv X6AgtCptvLHIPh9Mq0Ct3SL7qU6+wDWPetxTc9/2hn/UcTMMgBcBTkZeTaWbpjrPRlj3+Z3hUaX2 hGaHGFW5aHXP8Jrz7tac5TJ2hwsP7RChajp/5Y42H1kyTEs8d4Bg5jwkE+ICK/aeIJJ55sOj2B0N SFn4pVxvzUzCIVoprfJsBJX5UtmBgzwu0H2Hev5nPQ+hXwF2Z80CvVx+zWR6/tUDsx22/seVyPgW ykCGjsaMKdiX2VUi2Mb1+H107aD167OjEqIMy1G302jndStG2To/9+LZCBtyt4vAznR1rrDdjhr/ cjNL685DEuhXjX9YP8J376JKWtenBFVm3TKp39GriBkjly298jqQYZJiPgqmJLzj4ylLRM6nR/Qy amwDKJRG60Lgmm5CTGPybFQ2e7gQRD0yxrYZCdv+qnQJ/2BF8dv2z45C7Nt7VDts+xuLLg0fPXLf gA+7sruW5iraMKQGmS4m2O4buTd/w/ctIzBXt1gkXzn7dKIni1NPjM2zQeQ+v/hD/FcX5L6jKFUS sN11p5saRmQy6eqoyOTT7twYnWrwoeGjR+2Y4UrpHLQdHrJsGDpiIETKE5NxsOj9IvIyd23TjmnP ZPeUvei+9GyQ/N8LpvuF264Cwo6C1CHZzmyhGFZql/KfTTk0NzV2dIBEff/JL7+kw6XRa4jnOhjn 0l0yrsuzqlcBvZu3yuPpyhAn6NP/QPkv8m0v+bqj03wwB+az93j2L9q63epD5QYgpij5XiCSWsh1 R02UYQXDzLKVI8Vbc3til1MYqI70/9nuOQIpI8IRBX94jtgpPXs08tBEx6TmwVN1f82AYlYsY9FI tGn4WzBmokKcq1VP/wW1ywmoqLs+muUplKiiLsIBtNg58niXdR0qOusfYy4kV+lOYbwqEwhJ9Wq8 DZreVwPJITbHziJ2y5Y6G4b2oZllf8eo4a16s2KSdt1HMepRhIVwiR6OIvh7mBRNy0KF+87Ke8RK XxiJHmlmrAvTJOuVsZv6m/1KVeUUolWF665CmtWvNG+jDMEePov95HsyiNziZ5KiEJt/S7vx+RF2 hv9cCgoaHTuz5OAMEa/r4SAsyV+tTXo+oq3YrERxKy3ktm8h3kDeSHqt/qR61zY1hSZU1djMzceL 8oZ/uAWNAIRm95u0yJmeB34nUX19+h9IzVn6w/nqD1PFLmrnE2Dr4ajK+kVJpCk0HweEFEWu8Ii2 CtagmsUTZyZkMSBhIrkc+UKJCq1DmW+Txkp7zKIAEKUqZnUFXaCykmJXKv3CBl4ZYjHf+fygGA/+ oUgXSaMnwN3kButGtzuFmIAbnOj/pw/2Pae41rp+JWcrTIBsLThTEJYTzug8A+bQFspfiq2SjJiX 8nN2/PnuOZvW/Zn4KiVidPEy2w0w4i0b7fuSf8IFyGxEo0/KSsPAq7np4aBw9FjZqS4A1uHRu0+h JABnj0xEKR1l0irDBlxkETi6u1aiKJaKHcDE5aPnfRu+6piC9DYczIhiQYpkymolPabdc9yXDCKV rswP6pNGmxaEAdQOX3np4Yh71r7qaYjAAPP0aYli2ZJAczSvQqPy7iq8bV9lAMW3st6JnQCEuHLI 3eO9s0Bny8ztHCCpDplZoduIeoe6dp7/+Q4KQQJSjAQdzVgNH7MkN6A6dGl6OLiXLyOPNcNPPK/Q qleg3iKBTS2BvQ5MQEqc8uoGhlXMDEARvq7lSCAAOSOMd5aPvlbOsXbuNtN+g4YiuSz6GRnpBJ0E zmM1e5UUQQWwVjtppIRGykMvTylZoj/a1MPBFmrfXT7rovmkRGGtvEUT+1u0xNQkIcnH0yKUfeq0 e1nTEpZflQjKknk+lsyQe0+wX0jwWtp7ir43oK6ebPFXPrvfLtUp4l3tJjFBkcb3XYlrgIHkXBkK 4UJLgAeeJwg4PssX85xD9yqqE12oUjYQsAmL9kvx7KeZbjMbKDCvokNuHh/VR7+DzkWchLwqoOKX RGgkhC9OJLGzk9HUtciBedWVs9pgSzR65DyNJg96UQ9Hza2vWEUB+y0Z5NC+SsD43C0ykfe4iQWU dcUC1CPu9hlBAzT1/0ctwrfEoU1UgRMlFNMjEbNsSD34QYLfNwzq9DpTyN1tVKyy0izWizlxsh4O otry6MApLUyQbO5RGzhY8VQHgWIytEOEfN1AxXU284B1YjdQaGElL9WZRebM666UfaYYVTyh9E+M WOTuDc4mKwht49/V+hy6WKnVhk2TxiuZAf5ZZjtn/+vhqOl350VL5vyN/YlXAh8rd0dLpRpI7dw8 w9R6cK6wHPqcbmncLRSGtmLbJIEZ03qS/PMdTmQrtSKsveC6nKu5q0ojvdmnJjuyv2a0h1SgG9tH j4gkeEMRoWQ9HO2hL8NZ4M39yo/m0NBqSR9/YLFyTkDaKE1QJNT9qqE8BUXq9iFBnUldDLEINN46 E7xfqzOd67Mj69o6HCCK+cJKXBW06u8YiQdMAQi2jxavJFOMhVTU9XBA7Jz3uNWs9P0xDciBr1WS 5in+wTpZenG/r3Oe6F+ZTH67R0sY3VqPeNzRjhbkkIjQphxBs74QLS9Ocyja5QtKUPwlI0RS/z+M OIWK5CirWfTwX0QmVYsBw3PbojmwtzJQek9ewu8pe1805eE1/PrI1eTQ3wrGPRz26aMjTtqJ+NEO ZFYU2063L0JCizLtPVlm9XeMkOJSM0p3NFDSgpysrYQ/XmBxhbH5R3mTr3PeND0ny3tsiwqYIEIr W9CWkuhHnCw4VGCoWGa7PM8c+Fw5O3IqaZrlgSCen6l4A73KplZJwYnvfs0EPn2Yjdnf8g+L5Uzy He1gSb1rsdSZ7OEII92+qk70eJXw2bxE4S3X14BnX0wdzR2uqlzqOYNnzg7XyoHZVfJZaYZSeqyd UEhalKdlvXFidNVfksIBkQquGWv3N4yUjcGooElpo7d81jLT4tbt4Uiz1g9bg0QA+S3PDoqi23N5 /o67g4a8REwxTnUWofqePCi2u3Kg47+bHGSdu6tCeY7GUTqN164aBRNjrMX8Fd2GfleQm6swQzba Uhl9Zs+4ih4OQv4PL9gLlAi22rSEllcct5+ikwlwwj5Zj6XAnNd0MyRsDUFPyh1vdkjiJ1mxen4x SI4UExGePLO4H60WO2pDz6sp+2E51SbzsEqKF6YIBr2srIejQsJji6YA4ZdWqM9IWLI9a3vR74JJ v2SD9I9slEj6zVWgOekzh8ZXHrWm5OOtI8Bgy7qAQMNXqbXirLjltjg/4L7q7xiBUOCfcLDaaFnQ TqqAA7OZejgoxD3yE/ygVBEuijzH5ldnMW+JPPwj69Js58iicm7mAuuaX+XA/coE188KkKxP+8j6 cHeNLoWSutHAg0KuJhVNQS0TLZUiGr8+P+L2QWqiF2WjcVFOmmFo8D6bHo5C2/UVrKBCqcja5yXG GijSt6JTX+MSUlQwNkJKdqxBDiywqMWZ6fX28e71SW/ZBLFOEKP/qiGbVhQE90clbJXqLxk0lDn2 O4pnjMO2ENLs6nD1QnYY+mDl9tVgZzdIFN9mJSzbIlsjLrd4wsnROqPM//YLc2CE5cRYqf811wDU 9TMhPBkiBdBfVYO1ctiJ4Wj7x3pe+vCIxbVpktKS0mihSsvZOH4Nq9bACssExi9Gp/idnNOdk9Cv NYNNUKWJP/D4PxL3oIooVMqo466UGHZg3KXh4z1pddtkP2mnNuVI4MtYLU9t5R61YVSL/UZJefl4 1+GwRvkJcPXwX0RLlaoAtO/P7omiWl1SCRiQWenlbtNTuFClCSWNK4/fAlespPYjhurJx6dQVkdn q0Cnhq2i/pmwsmjN3Eglb3/HoNMuOzEzVm+3KXkicCES6Z0PPRxEtekrLVRJ8GaFJarXskka6AEX grZcefd8AX9j3DClxEqu4iaJQZ0ebaxdTxJbBbCtuS2QOwS0iyZC1zIxOXmZserTgxnh2uky5eyP KWeay5ZJnvQLSxTPlvnMSMKG8tFhzKEtlhF5XapTvsQ6TRBK8NPkSX0CWyz6cWoWzjt68aCtCYyr ilMOKNQ8K5bwoB89uWGN5dAYq1FIynAnbXRo+dmTHtduPRxp7D0sN979KxsMjbEQ0ujKOyEx6e3E UDGS7JDnwHPr/NG69f8KTai/nwy5SA16FSnmnjkn9lM1YnhNZZuppD4/WCZbhSbBIOcVdD33ZTf7 kRMZ6uGonvLVLKRW9djHh+ZYKoal1Rz+KL1Hla+z2BQyL3lUoXJskCVaeTVM9SOSDXJpJDNQW73v LVUPKQ9wDuePrLzEGHNokSWdm5GkV5Au9vTMeDcR0163Hv6LqquFnQCqHxP5KJzdDYyKIlYxjKZp 7SW5yhgCYbrybw5csmhFqEjbi48euZ1o5Vxr5irXU+KD0DmFzAs6YzwXz/OOEVmFtIcukY1+oiCv pKWS7d0iBGD+LBVWe73xSWyVhaDo2o4yN7YKCMCUjMAC/ar4/gm9spQ5nTOv+uhVg5b2yYHkLDfh 4S5Bp1Ahk2g0rD4vYFuMH9plgeKoDY86G61veq55EezO/T70cDQp3hTj1EFj69HMzqFd1pDLZnaU l8jcoLzmPWf5Qn7OxnZZptkp3Oz84GYxL5lTpRREGsguzyV8dpeM6J7ejxUjA7Msjj3MB+jM2WjA 6jmkVSz5bD0cpILXlEGx1fgYquXALYsoEQ+C4pD7sd1fbnNCq2w9yiUj5MAvC6SeQja7kW9ZuaSO X2dV52finCUjE45GphtOhl/GCp718VHRDQEU9puNNiedINC+1dDDf4lkr5PJeE7ZKIzN7ezurupa PX+4ullKfaqahQ8TMIeuWV1mnMmC2YdxPk7ON9USPKuEs1VAsHreCwmT/NEAKstf8Q8Xj5TU63xq 1mm6sXxNeejhCG9+bbJZFWN9BSihbRbWY6Mo4wNwPi6cScArI63QfVUQG7hmcSYJ6qc+YXs4tOdM WlCuFdqzQIrExCm1oXyCuMfdPFaFDG2zIEJWpthHi0xOWunV2b31cBTcf9sAKW58jpOwOLswCXbe Tk/Lu2EpXWJxRWzcD9nYOkvhiRnaP6pzgPpXV3mkqaSvozgpSKkg2z+uBNvfMdg9RC8bmq6N3oKc 3VTBy256+H33rG/H26Kw/ZbbQvMszsDB3wqgeOxqEOPz33Ne86c11+35xPZZ5qde7+hLpZ+vq24G URseFlWehEQnUi0onypk9ZeMUp72f8CDvJE9VCes0DoNLLRMPeEpudHu/Fw8oUbBuYk3LAIUTfYE DMCu2UDSB3Hb2P0CmkIPLWMoWAgyLloC5TkU+ZKZrOFcyc6mSAkc6Hfmj5xnzv6SwXVc5FvJutJo eWA5x5NJaWlzR6XZMeZnqQh38AB3Qh8tfoVuwiYdmW4vLSX5mBnKuN3bJ7DRcsNoLee7qJX3nEMF euAyLz4sk8RzAX5WfiXSeZ3Fir9jdNTK36TfUR+/s4Owa11LD/8l8bHWT/9aKqGTFooo58OL4w6K Fd6W2iqGO7g2Jzl00loybJDPjEbb9OdQaSckB9Lbzh6cVbgdhGFVxFdt8SrAFH/HAA9IvpJgZdro zUFjbMsfUw8H909/tg9CFeyCOydRNEvmMYq30ocqC6pB1hvNSqTCF0oczYr6tYePt488p3UiMLI8 uWBWGCNtS+ORd9Nv2P6GEb6LkE2WjfmxbETwz6T1yq56ONIruKRJ+b9UGXj7lITyrzDYE3pC2ZzF QbZJsQBkvDjH0ygtbNvYUUuA9C2h4L2ftKdO/jdJr53wZGEF2VTnxXyxPMJaw0K3wFHLhIjO42bz mDwVbLsaSJ8CrR7+i+Sp/kHc+MQpgacWnieUK8+uQ8WiC1MgEbp0AtDz76gb7Lm9ih+7armD9PTR i0v73PCTIKTwjwGSzmzGuKBl5vyQBYu/5R/ayF3YnG4AJMN5VFNjGL3q4Uh8/Zsdl/matzIbWmvx wW17NthTceBokom3qQelW5kNvLVYKkV4tu3j5XNk9D4UvoEO0J3dEWLrsvh80ExDauM5tNcCJlyL vGDK9YLBd2xPwxinqoeDQGWPT5iPuMN4WuuBwZZJugj4a4CD6u3SpMa+qRe0mw0GDlvUMnWcqAtW ni4YMX8rwP9llNulP9Urcm5SlN5fSn3FXzIK3zhXVI/UaCctTD7XLtx6OCqmfFQuAAL+ShHaZiWU 25KRErpe4tpu6xjjyQtMgnr1lASBTUuEN6hyc/gvc2EMNI8o3RZ1AK0rBPKWs1kVVe+YSgM1B15b tHvQMCC8sdFhHrWY/cBcWw9HOAzPf6Suxz2FPpCm5ec1UikmSI30gCIV7lTlP1s0aY7fYtZ056h9 DVOK022X8Cka7aKsEMoVD9IlwVLJDGMBGgqB+Usp9xFUeg1SChCKokA2+WgpMvrJ9oU27/UyGzya rxGMYuU2pb9q+Wc0GSfCXN2ttlbTaigUJ8DPcwXVfhVQXwOUwl2M2r+4Xxov34cjUJrFrZFciozL UodwJcCZA4tX1yp+nY5k4UlFZ9FGP6mmoiqi+/nzGpwU7vFt06EWL4x4fT+m4zU4KVmCVFuZPrG9 7GM4UhcV56HGMbOq2P41NCnOtV2CFWt0MNeil15lftIx/ZDZA/4FbKH10evT2n2NTArtCIV1khl6 TqhzeclHEK5t+3mNS8qjCbOU6Agm51PxGpQUt7RoycpqA1MuKxNc7c8zn9t7Xa8BSXHlsdWf0Ttd +HuiXqB9ci6ZJjXhZUQqC9LsmjFxy9eApJi+3DljVHxCNUVFR/jdU1EsUlg/r/GIvpyJ8/8qQROF iBKBPCOD+ZC+vkJHhE9V0FOna1y+k6XCePQFK8PEO1NpPvp0nNj9HEmigM2zGUwZhfxSsp3t2Sem jPsahxQzuq/Z4LL5ljJxDtG8nwlfP69RSDEGtx0b56iSYwGLRZPxGoQUItazH3o35vUw0A2FgSTz 6K0Dy2fjNQQpSXreDWyWj/7CJ9Bu2DbIjg71OCinYFDOqvndl5RekjniBJOBEFGF3uSjztAhYuav kfV/XqOPYhRImwwmBfaIGg5MxmvwUZxUmIHi6atnxEQVtNOD1j1bJT0m3uRr8FFMrbltMBw2OpCa ssKWIEZLuOkR4q8pX8/82z/82qr3CzZKMV5X89FrAcW8qsqZ9J/XqIMDZ/mNYlgt3JL8QnmNOThB KaavbWzAtteV1vrU0SC1KRJ7DTg4QdF2XcLsa/R90sj9uUAA3gCaqFK8bGIfiJr/aNHxeu/XiVQs hsq/Gu91YqbJJ5jLP6+xhl6r+8o4/6XBvGwqXqtnbBLRpbcZzTdFeVTma75gNSnXSCwpOEAFVFwY VttoM9FPGjcbqJUTU5cpK11wWQsPG2IvugoX2Cj9qGgyttRv5x3t42c2Wu2ZjPHzWjcrD+16/T6Y xuaz8Vo2YxWyYEU4k/N8c381a7YyHb1uJ4y+Fs34SykMLRi7Ntr7LiCj0M7Poh6URIoyPi79wom7 Pg6WEuV7LZnxgkCBq0qFNdfr4b5bMa5OHTwaHBoG7Vy/BpSQhyYgBeORBRMy1NYp08seOa9xNYGc rF9TekohUSwq5/kljSCNvlk2zBTIXIA/8KCn0FgBR5HO4dt489tmvOJoiQieJnTezDf4R5jK5Bd3 07PBhpnzs2EErq13TqJwFM+ADsaD2mrPSu/VHT9BweRwlWiwhaNhPLpE2EUbQeMtY52wG0k+KPSq WigDpjUBv1jUTztAoDbo46PTlEtIvigaPV8RlT2ZgNQ7UYeINKXPcTplKzd9Rt4jUvoS0EnnuEBg 3zZeLiuCrD/otGBGuKDOrUEHXqO/MoJlmK0T1Z6jYqrsOuEwC/vzxB1Wao5iUs7b2vHCs9HqQs2R JaBWft4JOoo8bsLym+VkjuWI98uiQ3UIAZz9fnFUyVadjlC9UC/1JmdwqiJefM5lbRxdUHrPhZFv wndajp7Ke84qp/cOdmg/nSvjQb5HpTRQ6UNshEo0WpJPocy6EYuub3SMjPqZjqw63V0e71GpFUJP uNCH807ysnrq+W+Xhe7nd1aRWTl+NCNkPMTiPnrwccKsE+hBmobmtvBUPwnvlhKx5E6GzYj0cN9J OffKbcLHt4++/UrDu7agF6PQ1CHLlrWQMZS7PqLIlMrWBBWDfvaEuC+6eQdsNCQl3SUlTSX1PTTN 0m0/ITrMEo13Ok4KRxWY/bLOMTqV10haqf5+IDUyr3zn4rDkk1I4qRiUG6ef6Mh1PVOrP+9UHAUU 4x4fskL9hShl0/Eem1ISwHm+WugxnrZMMTWtKqtZr3a8E3FYY4hTbiFc94e0tdaJOqf5JKOOthSl UPRYFLLXrXYI+PXzTsNhroHKD3XvxkMbPpHpMPnoCRgtik5zvxeMDhhkmqonLu8kHFIDAEbXW6xX xSME+6bOT/kU8LhNSBSgChAgfLCNvj7GmcsOwPbcjud/kjF0YODAPEzrwefNbG8YzIiMTRWi5hui coJUcaDOv9KbRWFZ+1y5J1X/Xd2Tl3f2DZfD2cQTNC6p3KQsoFSO/qPxcPYWJpzzI4pT0Qo8W4Ga hsZbAhonn2U/nPNjYBlt8kD5F+opGrk3LivD3vB9QoyppRu6POIMZyNW6erXjmJFFKfu5EeqOmfq zO+7Z6JIVXltEuhNCmNorqkqtumAD7P1yNVckt/JN8Wto9awpfJ0Y9ZOVE1FdURQG9anKszmCiyv 7+uTvO0lo0mpJv/po50eya7G8+/oZUbhqgOiCHQ5SAqirsULY1G0Kote6RwTvus2U/jekmcz8nVQ xv/OvHkiM6kEa/TIjHPQKskkSavIhUjJpixaH+OXYu/3fsvsJvJF7T56sXSYYOEJYsbPO+/G72yb D2mMoTFz64RRoFrMFd45Ay1bNXkp3xLKt11dz3fSjcIQzg/OIxufBUL3HKQHXYc2pUxwVh7GoWaj bTIv6ku9U25uMpOl0JYvHyGvVJwePNv8eWfc/Oua6YaNV0Fc0xHVTampD5XQVCzMxRoNRYAYjpOp gJlT9Z1vowoWq0OWr+tj+YrY+srqYZwkpm/TGJO3hOo78yF8DomZvNNtlMlAf6W6ZqPV1VVKtrnR qwVx6s1kxFdSnu0TEoWpVSzyOr2nkEaxllTJ1pKifyPTRW2YKFIVM2VLc0uj5/+EMEJdnZv3pDJD 0VuVBkP+3R80UbE3jFYI5aOd72gbBvCNfae5ft5ZNgpUb1mIBUEuv2+6+86yUV18gmI10bWOcL6x KIRZkI5l6i5M8c6y8arD+abgPzR642WfrFC6R+cAOf9aMoYn0Rky2Hh4R0NWJ+8kG80HB0hSIJI8 EKEcaRf8yXTLzzvHpjyynss4NrB5iXpsPuIS6j7/1fQD1fjirBSZIkiog19f9ZB3go3mQzXUsn30 QOTkhqsgNwLOJxXdMYuyE+05EUQ8Mmv2gkEcokoI2AQbfbJXqaYoNurPO71GhatbUub0Y6Xue4RE kSo3ey9rOpPeQauQBLKBZVqvXh96p9YUxw8tCcppvJldPydRkujCPqtMxZLR1HBAgnV/OONi17yT a3wJkzIvHy3VHcNkT9Ew/3nn1vD1ylclFdgbqro2I1GoOkHpJuGg7AzJzc8QIjudIWo/2p55D1bR +8KhU4wSjfYzjoUYbpfAzUCvhBxrUxMqomA8/IBhbxhNiMAPBCH9CULO3t7utsaEvIeq1vd9kl0+ Id0dE9ZTz/0yujNcx+hOnSDPtjLRFC1TJ+p7pIpLsqV220efDenkAUFkhZy0dyiSJVCBSfrpR017 vyAkG7qdlRivuxsxWe8GBWE23uNUM+fUbEztF8T4bwgShamI0Azd7aLNe7qf5QVmFPGxnXv1zqrx bBl3ueWjVw87WnPKtRuBTFEQC9WhgfKc5d+ihO+cGm+FAt+9o7eyMVq37zR+3ik1npZ8aiHoxyRP 7d4pNcWUkiUrZ1y07oyANdZDDvfN8k6n0YEq31VVU5/2gCxvxxZls5P6L5WGAAp1Yak/zdtm7xd1 b8lj0BS2UdOBhN0waY0xf965NMVw+fb9VUtNgijZdMStfRq9arucyHRVB9rN4YK45YOJeWfSKIl5 i1H3WdR1S5i4Tsw9si5cVpmB1rvBMae/XzAdTAScRx8dJMT5rM8oeq9gu+T2qYQ0ry7rb4siVGBq ihlwFtsmFgbQS9Qoo4R312Z859Bc3McWUXE/REVMnpATJyRs3AtwHM51CxoY/GL+SEB1e8EggamK xGjJaLSl17HnZjpy7z/v/JlilOmnGyPpLKoQmo8oQKUecQ6N6adHcSnTAoHVTg9p1mp1vIenDmJG CNnHp7+PriktZNyixpJYf5XZ7rLF68eHnafvBJobsUsEuAqIoO9S0WwQ3OHcWuXnnUBTzM7mq3Np hnyakShAFWpbzuXyrqjb23XLRcJwmrH44509o/YF8cdWk3Y/8XoeC/nsZJXlVinpg33nl+Z2vLMB XffnnTqj2QChu2Urtp++A8Zcwn6kWX/emTPFML5eRgUYIfi/TcZ7dIr4QQX9MUyTpYnzrOUBjouC 2axiOnd9RjAbdpj+J92v0BHXlEPSiczOYlFVaKMqwf35oYisaW8YhKdFwpycvPUReUwrmw4mypc/ 77QZVYVuvK6LIG+TAdaMRPFpV7vOGInEtah1k7cQX07hhWoCJajm1Dtv5onYif1tvD2S3c/PL3Hk s+PPb5rdUDxzhhBAXEMg0VjfaTMO2qG7lX30+ysX+1q9t5931oxua79x7cA5h/d87pgoRCVM7CLf SwRYvW6TNuq32z/uHRNWU7VM7A4pEmKaqqbOde5dsBcnDjtTKywqmp1SRqYR+BgUpGnvGCR2XdpH +45eT83GZ82ThRIFqXN61C5im0ZZJmpS4jg1Xyq8Kes4UqiU2/fvNw4JC6pK/GV1uR+fdcgbAH6l EUCdSF3dhVMYOD/SNWfMCIj6TpgpZpWO4cPw0SaEDqC1qJpeLLhpbm2Id8LibdwlEoWpEqQ0HIgK h1eQUi+pwmG/SkbvbBne2LITIIQabdcgp9yyaSKPhgO9sEI0gLiSmBJnJVpcFpVS9V9W0clq9voy eIia3Es+/7xTZXizizHU8kbBCAybAcnCUqp42EvBeZGOhNwqTK7KzpSNdIaQ7e9cmeLM7wUQ3Ea/ D06wakbBCJdWdhFrUUTj86NSsbyLRH2Zd66MwJO6ppe6Fi68WNM5AKU/0nOdP+9UGSHz5ufu5RK/ sMt3ogxP5KSSjlp0XdMA1n/Det4SAyNBHdQP34ky/BTyKNgz+ejV5bTKSVN1tJ47WYE3zlpKKqZ8 rW+BeVV7xWjXMBUSRhjZA/FzG1gVBZyZXi24f2f/TMhZMdOxMu8kGZbkecuRqqkff1O+53TK96qu 6vTOkVHEq6uG6Eujz8e5X06CzjWGn+ymsgNtFSWUsw8QXXnEFrO9YnCsmpsH89ev4Lx8X01r8fwt P+8kGXUlv5EhAO6rJ//vHBmubB0BuTnduzySgjdeLcJncYy8E2SK0zPXVBt73kbmCdTXWbCUz8q5 40HvST0AZuY5sdlmjz3htDcM7l72QyFvt9HxQyf2U060TrT6zo/Rszcg4R94rd9z9Z0eU8wg8jpn 68oVJYQGtwGJ1PknZ9YpElZUp8T79x0dGjL7Sd6q2RMC2FMMh5QL0Ro1n2nxe7U3DBI8xWYE6zZa +t9JeJXv7vLzzoxhhez2yWjofGRp52pGoooq8fmkedf/EaCneuu/cWtLZ3EOj1nfiTHKpFQfWstH XyLEYmcTAZWB7DDUpFg6ZdWD9Py/+ftFCa9a/6v4aDjMZaBi6Pnr550Uo/ZW+logRYh7n44QlopW a3OTF+lcmITgukjMMW495J0Sczt20iGx8Ybw5x6Yq5hN0snDlNAgyYvrrsCpTohp9oLvJyro+P8T x675crx30bN/aWFe245kovuakShapSnWJfKtkvvNedOjVlSRTFGK986GudHqbu2OXmJuJ6ga40rz bLbOmTFONQVMT0sm2/sFJQCKBQWnXRvtBEEIc1v0vn7emTC6QZ8UDwjdyWj6PUGiOJWvPaujDmcV IpNrwLCZ7BcJj+n8iBGq8nkU0nVeYyCCkDKanNbaCWUgloLVJetKCOb+1uWBWbYXDK5cWfcVHSDl OUBOjj7tK63Ks0FgNr7KyzTB+r1zw0C1SkC7JZMzTlYtRL+OiLvrQJ3NFXlaVFG1CZE63nrU8epZ IOtcCkwIBljCckA9mr8AFGb68D8sCAm7/tiGnbBu+mhHyNmBhh/Me/Bs0NYt4x6p4CcQAPSeTI+b /mfD8Hdqw8yan6a/p3f9UcLu73Gqidedi6JPHz0lXZOOFZcpUcjcZjAl3wFCsP4B7UpGsUdxqmxh ploAGrVG9oZSoS9VG88G6PbtYZkMTuHi1DsjYVE1SbDbKuytyqyj0fe/jG5+S50gPer7j2Vw7uTj nZBzMm3ge5BHd5Z54aSEQrV5rg8uhF3Gpwdxu0iGmVKKRisyr25WqnnXwbNRGdHPEAluZC9s24SE kSp2J10uDFBCxFemKZOWmWqhYC06lT7jD21deZnaaGfq4EQVPw8R+TMn8pXiJwLN99vquKlM2/6K UVFEDbu5fXRoSNrq8kIX4tmg7n4JEP1XVtVFx47PSUidktV6LyYPeG4ooKgSCgQuJ5WvOdWq6vqU IJ9R4062Axr97kXyWpocnCTI5AEg0ukvrGrej2GHdDZ7FK7KhEExjI12uE7MKRSdtcKzweGafOPo XhAgwk/XHtGn5EKXRHT/RyYp9dK5hfnmT20Jo5n0KX8on226DDZ6eFZP7tKdUYamWVYFvggJapiZ +VE761GFVZqwQ3XWQe3ZhN/WmR7+mn72As9G181FMmdDu2dpMNic/ClgRfBDt223QjNX5FqO8q77 avf2kEalokfXraMKk90344Qgib50AbNZ0cIlzkwGM+sfsUAd6nx8cAU3tWc4CjQmP0SqJPJQLuXZ aO98qqzIx8gixGYkLLKClLxOLp0TyC36ZjKr8abektZIFLNKwmrJQ0Cjna8ZVbIqGSbcxbogi0Px nqBt66OmLwBvj0qs6FCeD1Jokm/Ec3aLWQVXung9ilpR1MrOtEPgzxqINiXvQSsEAHYKeUbVVCRp vfHVq7z4EPFRuKFJiVAASbWzpoJie0i6J/FX01xVroVSkS6lzKUjadRbdTa2XVRhrQBjOqrmNtqU kLEZJWJNno0yX9845oeEhP+9ciLEKmo0ncosRfeueqwxiYaDzTr6BFPzEeEABOGVRDSjrjuRe8+K kOMHl3DNkIjalDS6TLj6/C6xZj4+InGDWwQ6b6OtwV1kWwvkov30sMR6wWbmR0FzInlJsYexK25i ht1Vu8ZLi2VKuLdL08sFr3tUZPXups7W9JyttQsqQyeAxKA21VCgZQIs6Z/56PZ+ESuCan2dd7Qr GHKm7ouTJPFssELqV7GIO/UukBGFrZKnu46EjgiYSOJVLxX15EizEVVXp5Bm/Rk9N50gZyo4R4LA yk4h70nCe2FidcVU+J359KglQYrX5T3Rr/fE+ZhqB2M+d+8Ig9bL1mV7yIH2Bq0jClqp5C0h0v7B jl70VO7sLYgzvKxSvTAywuqqWP5DmNXx+CsgLprFkQB6d05TSdKCPCDmJDD56Kgke8U/cFRPTnNH r/Dj2cDXXG3wbNTdfNCZkqj+rZfLPUK8agYNQJcDp0aptzMjC6tnYhEE4ovhVUdUX81iiQAFZVQT Vj2axrkHVgQ0M3IqzFgF08ZpcXtWJMB8dtDcNIckpk6jFVeB8+kE2DXzbMSKSB+oCIA61FhsOkJS 1QlLxQ4kEBlGhaDcLJEsagLSZBIpYkThqh0fZALNSPFCQp4zNKGJIXBERg5a9xCnp9KBp3J2/nM+ +w+975nUyEsXKtJMQ4+JmY1no+Pji7ZLl1HuG5qOKFZVlKk4WFR/CcJPGnjJOWZDygdJHxFslyGS qvCIo13UXcNEYRMrlnNRplwpIw0ooJm6EvvmcWlp9oZ/0D5QX6vqcjYuQ0YUTY25XDIPRwvkqzQi X9pyF0gYqVY8YVlLOBAWff0ljdWt0mrWz9I1JVGkSlRLZ6b56PdLop4jeTIgeF1zPxvLjPRcsPHr lzzsFYMsTwgR6sY22soY3ZXPT0jPs8Ea+eZlynRh3xMkhKsSkSY6BPLbyBCjjPSPymo30j8xqK6Z CLBaBUecYprN+hRHaBpLHISOxEnnBEQEBAeAM3/ssHiCD486NNUk4320CT95kVUEd208GwQh66ve LO7epZqNqLpKJ6knnR30y1VJRTRdZwjpqZaZLpmouGqUOvzvGItFZRheU5kFq9pxOKJf3CaB0VY6 OD93zPlgPj2qNxO+wYu08S7AbLpua22ejQRD0ucUwWzz0t1HFKWObJRra1gN1zrfvbmrBJbpHoSE QapVilRdH+uBd89zpEEkJQgpJ3tWBQDeLqWh1j7Ks1JeGmGM2s0CePtoqV2jFPVrwB2eDdLdehOZ 2+K9aOYRhagko13GoyS75tgjwKBLzMDOd8mQERdXt6Se73gh6Scky9kkGVdrVfjuaQ4Wv7rIvdys UuIIi6sGeKejqdEZImVuB3oXng0mZOavW4b9emEAMyyuUkPOcmOwEwS7ampD7pxdJUeRLQqZoRaV 6kPAMGx0pMgc6JtPqUCdndcNkmaQqd/8iHP9j7HzTJYkV7Lz/7uWa23QgvtfGPEdd0Rm9wuvmqER LBt2REUhIVwcYXJDMwQBVOMKDR/tn3Lmw7ANrVaeDWaklw+imV/0MhFnFKYSO3fZRf/DSQJxkmvX nKlUIEJ+TCCAGRZXu5XLmo8ety/4MhK2O2HqOV6bOY5DUeLmaY97tonszChMxdLyhHiyA3tMQNP5 5bZFFydMnSFmtX9fMtMES2xGojA1Qf4XPFjK78slvYs6k0QiffH5ElMJaVXK/Cmy2egd3pPg05JW 1tsRLKQSuqTUrHv63z28GddWKbDRGbUx2c0yTCiO1/Ls/4HuXnEjzR6ZzShQzSbo0TyzG4/OX94X BSDNLpZIXFel2V2sQ5fuIUIXcBSJ3jcEOCzTYceU9eUUZ5DVGWIAjKpa7miVkLpMcR+qI89G0Cpf Hwo5zvpYl/0/o0C1qriW5TEiDchkp+oJLbPrAEwaIbp1Z6hLpRRlS1zl9umRjlqLiqKavBOV1STM Ivur/z6Yd9PomqEslXwEsxwTsufRSDKbKtNZepVng4ZVfzAASUCdeeuHM66onv9Ksfc/GIfqQhHN J5mkyPlHgQPUhgnZ/zo8uvSYen9IZnXT31VLE9zZNPhVrRJw659MxvZLFKUK3zopdNuo+YDmrZ15 ztjBs1Fh6FuAyM4Qm44IAiBfmOaEwyGzIWP/p+ns/7ZcVnZG5VSMU/6Xlnm22QnAQH4WrBHqkrEr JBTpMtdPQ1N37oxiVPHtpololudAzbsLewGWnGf/Qv83HGf/1e+tCYkpVcLAmbdvV8lWG0ciyWL/ yy1TExLKUzUdqCJdPnYAVXShIWtamljVqGi6sM6OH58YVdjMGZVS1ciU5LmNikFQ0tcdcZK1ybPB fKQvlLfw7sPzuhlBADijZlHLW75K5jyTW6PaQ3i2uVAtAomi1CHIzH+wqtgp4i+7VHvvpKrK/ooJ HtZPBCJW5oyCVHwwkXdqPlpMdnaiNSTPfPPsXzAixpkpkoKy+Qg5VWdJ7Q06Xo2YInYdmCpVxjlP h4DIOkBi5v/LAsHkGCUWYHuVfncH9rZQoqBC8RSWUSzRB0b7hWsI6IqN/m4ISlYJ2TwbRWT9sz5I gKjKaj5WFKNSpOmqX6DqvqZBzECBOl1VjtDaLysCAAwF7V0k1H71mLhiJbcjc8nldWdiM94ufPBT CpFc6goBAEAihum6zdtOnyfC01+FgiDPRnIZ+ROjUlN5tP5WWEulwNXydnB3NQfSNbPXlmWGqbRu hTHqEDjEgCK3XVI23pJo3SvTODtJ5q3Ih2RgBnk/sphDejsrLKVCe8hJ+iHpctiYaSuWnZSCZyMU 0RcOMWOLd5uYK5RMbdwxVAj+ka9INscmqQtKpRu1MGNFrBAAIIIhbXzGefN+Ue2ysTI7zTYZT3LK yZ2b5OSj+Zd5fVBNpa5WoX7aaABvmoH6U0Hn7u9BqjJdptYvmRVSq9gKaQxL4oAUmW/GCT66eRuD D3UXqxWCVceVF/4SGQZDdP61yOghh7Bk4X2S/3Pt7C136c+UVPvGqKLKiSO+ikZbGqUY/KKedcCz fzlHdObwg8+7SKJAdaqvmz2RO5dBNVi3PHKrUJrCGukgidlV1rObPvrFi9VRM3Imbs9Axk90dsJ3 QOC6265LbbJPjKpDBPlqk2l0aEEew+C7KfNsBHi/ihnm3PvpdK8oUuUf04vrmPWSpmcy5hNIJLKv qdcK6f8qMsvlWaNHqqj8D2POnOXRt/lvUrER72t/+cZ3+8QAD0Fshii3jwZHxPtNzY7RB89GCJF6 k5lsh/nlEq0Qrwo6s2ZHZ7a9fUbG5c00klSbkThYrf/bpYJF1IaAU8RmJ8+SdGgTHJGTY6UPuGrY JwYzQt+Oc0nj9GLPCX5VD6HmybPRXfOvo3V/xN1WyK4SSAaElYUjdbsbRFYYQKK32nOQhIjVfsHK 7QH6kN5hB6PWEMYImzjMqogZ/6b1CdCo6PH2KCBBS5qs20YL0HKr9q86l+rPCouq+4snIrjOvosk xKyenNAlyzoKxA4LwbPx0QDwVuYKy6ozvzQiBvWVZEV3qOJbsvcS3iGrefB3eDbo5X/ArM4ipEC5 h9Qa3VKnM0eVZyN5yKt2x44HDtfvEgmrqgXU3Uyu79Z90xQTqpa+23KE5oplVU1Qdfho89FXawuK FQWME4MtVYgolwFMG0KPNROfGvaFf6DfDTMEyDdi7ak0OwhmGTwbLZDyOUWoEO0bse4oYjUThz2d xbtsZvbuHxGA7iqzUUl1mEZEaz7ehIbbtqukyowneW7CdpGAqP6BVwag2BcGKQ007ryJwTR6cdm0 T0xAdEcB6/5gIUQkkgWH5uM9XoXVDPnfI3hTiNU2uUH9+aGr9yF2qAKgUGSrBPCYSfHcieDBUZ0N kzJ9CU6QJQebKb19XyGi7fD6qMrMDSO7do2XJ5KHFX725NlIF2F99f7Ffr06xGHAelbIbo6G2FIS +Ef+n0ikYHnQ5EPNPbP/jFjVv1ijzUg6N0DuS8JM5RxORULW8P6rejSfPtW2DwzmQ/GKFRw/MjPN eTPEgDwbMau+FFWHlFl9OqJoNdV6Dw4E/0vxGlEt1w6QxqRNR9j7l7Tsku7Oyk/VHf1piYEV2fSa IYYYRCyomj9F921fGEm7iVFiGIDrSXneZwpQAGh4NgK936K7dgwone7B6g6hqiKItXx1RIyFt8Xj 8hqzCzPtmFYl2T9hpcbjVjzPvxlEuhR3EQiQaTyhEg6s/TlAxNDh5X/g74qJbqNjMvd0O/hWefYv SgBaJhAub4K3o0hVlpZtWxd3NOkiiE6a/U/SdrAFEvb+u8nu3NH3y86sLipurZxbRcqsC7wFrgWP 1J3Mhn92qKhKv76QUNl4w9QpKEStafFspCPSv0pEJM63j7mjxr/MJXOzI4JihWDejZ+3mKloTTKB IZfZUeN/KFBd7Y5PoHqSFgR8CgUzU2XSjlkYHI2P9yzlYt4eVRF17ubso925e0nuFJ+dybNRLnM7 3UVwJV7hUxLGqZBJkrJcdfCqd/6lkCARABmvdr0iwstYTaT5ePEy524oqsd19CCsArCpffNDSd7Q A3dFZTtq/aP9diI8AbzHPVIzR5RBIfbi2SBwn19FIuk63yM1rKrm8mVNLF1CHSA5XwEAxWRdr4gq Imr6S6l6XCfR2mESzSKNarjRckIUdZVD5qsesvz7/qDIXNLOPvrpNJuh2NLSs1Fi96WrwoVLs9qm I4pRSXT6rO5YNlR0p8InNpy6mBDp7ACJOv9yUtzTLOHuAXK2CNL2fcpvNnVZPp+Tqoqag+jnxyCj 2BdGIRkFoqk4RM5QlvvXovDsnMeLZ6O8rn/1ubsMwTQfYia/RyBZKj1CyMjcnKtNed129tkJpi5V NadQAaAb6y776D9jyeMkinKGRASPjBrajKT/+2/9ilOV/vP+91mBv4dkhkbCumz1wypoLfWcqacj YLefI/ykhFuIZ9+Z+ZMdVWeDLXn+lWElRDQNzv9KdnDkqVJnTmG4qnn5D50IFFErimokBGDY3ckx glRHKw92Vz0Mvf5PMm9AzG10AoDjAc7f0vRwxOPdt6fJrIDaLndSQgsAzn51kLmAi8MRc1E/RO3N STFYasQpRKuKwwtp38Z7oJwAGgthDljanUzdlC0h5zntzn9JvfH+iP3e5YCYfLQa665226MzoIeD qORSE1Wyz+l7VqK4lQ/qqVvfjnzSEj2TS7xIAPNWTbFB1RSxOfvoKwW5mZalbH5S3xOeSrYY7TCg WA/8bpBc6u1BRXGpWtTueEsjMnGQd7Ie/gt/lR+UXHmufackClzxPcT+NXnhuWXnAWxydCs8c1z5 UonqrEKu7fKMHs2fcB5SG3fPCVl6kbz51FEmtuEjPLvb/cygHD8VZ6m6OG/9BU1fY9Bs9LxTCAqo j45m+aUkUcadlrDUeu6fyZUoUEB5LAHYBpNJSVJBsKUS11qVy/3bywybwrFp8wqLd87aJNMGgmyA 4TSLbtlIwBHeHyR9qhihYWGjVwWGGYGeYLvp4aBwdPeP6tDgGcd4ztrYtgpDkWZubgORWAcH7AsO GFdOM6cojp3dyFbFR99BZw2cSeBYEehIqfWU4iomyCU95t4yBNL7g1Cli6k2s4+eCzv6D2M+PfwX 8qZyPzoE+9lDoUYAcWWVO+Q5VmRCaWzw6rjNOq9qgmRq4goSlgg+erqzWqLY2kSlOesDCNLckmmk DfUgnVe73xhR0KgdIYrEaGVonOPskOEs1MN/0W42zxXY5/VOShTQLs4fYBPw4WdT+ould1envIlw ne5SiaQCpImg7M7GC82TeoLhas7hvbrKaoCVQHtqzTuf1YxXUii/OkXipqWl0VOqnSxuGW3q4WAH PYaAavNxCz3nSlh77TpkzfZPrYRisM3UlQkWmHmXN5FTWH7dSWDF7ePlXdHvaKIaUWIyrS9UECDf yorXMsE5/CMjWUm59sphsl4M8YZpJJmeoRguNApo3yKsGMFf2HMOba1omHasHsznSwz5oTvCIXq9 XuBzDlytbgnmP1pO3OiY2Uu0OCNWLAgFxcYh8fP6sNGgduj1f+A+T8g0Ntrrs/noYQlv3xZlQOkL ZsNfejOgHPpaNep/wvBQlW4OYDzh+XLZABm3ma1VBBswJnjWasmPQOg5NvIGBU7J4ERpUzUn4YaH vOofMPj0L4wIWEUucHdM9o/by1gy51fVw0FUm7+NixBev4ySHBtboebM+lZUKxsyrp9qFlcI1WKf ZGdK6Gy15Dq7Dav59PsGboG5aE4kyDpMYhK8NVdPG/8u1efQ3MpF4YzNrdWqdZZN6gR1Uz0c1abv SWv/b/mVF4pNS6RzhXXiVaThDKyKZ2UcMGzVnAys7LuBwshWIF7D7q1rh3FitHP9EHgSb+0mSXy8 rtncijyeGE5Zut4fycPpQDGywZV+PfmEhDBEfdHD0b38VYREOqDfkkoOja4WSpOQhQfHCvLaRHMZ bJzptYzEPWZbKGRlGeYmTx+9ylTXHEMRU8dgBhAw+o3pl5lCFfFRRKv+jVHDnPpS68VHj+HmDWy5 BkKrq3IVwM38axtf0CYlUmkV9FSUr3+Er0gerAh6LM38tNc9V8LIdpot7fbRJmWfnxXWvARaNuZw S/BULBsoYJcvFEHxj/yDUFzT+tLoJ0tdjtKcRQ9H/Ywr9KzTlhP7s4dCblbRtGzXecoXW6EGipAE 48rm59D1ynoaVkvo/aGr1dE5dIsqtOd7gGBMMePSVpX2niyz+jf+oYpQxSSol0lwAvdpDceSsc0L jK84b+/JwlJUvesx+g6dr+TJkLo0fWUsUI0bDohyWtVpYVNi4UpgfuXw6LW9Jfj4GuGetwsMzhOp FFnfgZE+c0J5v6QPsTH7V0b3EC0aMSE1WqX2pFTCt9SZ7OEIIf1VqpXaRUnX/yowwMriAwzd4fIy bpYpZ6wRz6w0KU45xiIHDljGLZP2iI93VvBcADEKqe+EYuZMwaUlY6fHpbX7FwYJMxnOHLK7ucXg vCcmDr+CB9vDkYTtjeF0vlArfooroQWWeJ15XHXwWh7tuHm1466Lb44dsCSjLya9Rj9t217oiKor OKkjcNoiYCtwrEiLHsVZaBtaYBWpmCDEbqPNeRrDJPHP/+jhIOC/oW255cl8+xw5NMFSpXAX7wya yzHmk+kRFsh3VkITLAIqcAXFR88NG1KWZi7YkaaTQjQIfxKi/ai12FkbumBRCDyrjKSpWIGH2O0s Gp0wZ66zHg6mJN9eqU6XBm3r7p7QCIsEfXWJPZWrLUBPkKSiEa1UaqR2L4dWWKanSDRs420PnuPo 5IcSF+hNfMbzh4QuLM3L+UH2Vf/G6AZSZkgOpNFyIDr+U/XGMvXw36iOyaLb/FHFyrEh1lnv20Rb 1u/CzEJHicl+gQWV6ooEOXLgiMVSkc6jLqLb6EXbp52dn+wKwjye4pWsHXPvbs2h1VKyEI85sMRi D7DPki6s5AKMUg2zO+iEW3o46Hvs9alkF4mjk2/ZtIQqrh19gUtIkeCoak6GRqHm9CllB65Y13bg PwJqNZ2Qd+YplnCeo0j+djAFUsyGTOTnisRj9f6ooSwFqKIUqnjQP3a3hs7JsroejlLmf8W257+/ gJQcWmPpslzdBcOF0aNfWB7BcCppfqyE4FhxyOnV2+ig8tXbuYuBmrQ05uSgOxcUUDBR+mwDlWxe g6E3VjJROS5ljcliMW95ABrWw1El+5pjEyHqVs5PvB/bY6Feao5PmTxxqKd8fk7dO9zK8h+xlRLD Dsa9bzX6Ssn0Dbup7VcQYUOVbFrW6Pnf0so9bMPAlpunIj1qo/ckVxWeoORlD0fklEuPVVu5fqXM oUUWNcgTrA0HPWaafio4FRQxgTNV/pcewQUuWd4f2zIp3w8ir8JBwzacYyWdkH8pzhvmLf+7nlgl b//GoNPOOhDGo36QHh1PKDtd1tDDUVz7lFYwQNAZ73MSRbU0K3p2sf2eSr6M0I8Dwap384QQWWFB 10g+evTWzuvSUgkunVPW7ie2Pr191onJy8uXS2+PwAdit6nDWC62Ab632nDnjm96OJL72R+ECk3o 9ExJjJHl5OvuTSlZCR0nj/wR8ZzPSGxA0F1ywsYLGp4Q4pvV4M/lI49TxAPopn5E5YZ1lksU0ErA VH4D1V0HFOQ3E3k9J8zWw9F5cjGQ9vFAfO6URPEst1uf08CPfd1iE94Dtl4QcLunSRjPLuU8wqjs B6OSFhimoQI2pfGiRJmSE2D+c3F4XWWr/W7vj6DDavTIZE6xt/4xI1U7JM+FpoeDmsrVMs0yc2Ls Twk7tMyaoloVJHJUpFWlTSeKKUJJxSQ5RTT/wTZLZ8nsPt4uUFrowkiEYKIiLgpeVnN5WG0yf3QZ c2icpVN06mTR6OidPJJJVdathyNQ9ZfxHv+WdnWic2CdVf7fCcV/u6DPSJmAIXCsF9Ub1bDzhd3n wDsLaLamoyQfvQQ3zvFbUa2lMjTPx8j3BOn9LBTqc/tImiEH9llWtjl5j6K9BxWZgRVuKwzbt0WC N3cPKZ7YIr/5pIRKrwsjD3c0PYHVNi5gyetyASX4rD0UWmiZBL95V/QHerRTB6lhnbFRT1gr1wrZ Z9GuhYLiZWwL9UMXLVw/T9It7ML27ApRwqx2x7k6hx7+y6wQygBqfDS0c+ijZWqTzQ9bmXCaHP8T vEmAypZKGNGK/9brHX3/lE3xVnDRSeFWuje0tjaIqqcDZFXJwEbLaMGQZ4uPVn+jbVJ1/aSph6Oc 8PqbSARhfWQ88h+MtORM4NymbPo6wJtSdSmLoizOJ+WPZq9rq++1L2KlnMQH4y+VsHuBDZd1x50Q BTlzKllu+pLuV/6hANdQMrHRpgWTh8ecMAeGWqbN+4FZV/2dPitRQMuHIhIviKg7M0ChU7JuXUPB aDUnsTaBGTFsH73fQZux0R9CY7IPqfZP+mbAAvNHEOj5xCBQgZmysor6+Rb1zx92NVxgHno4qtRe p/XfJOR5eo7Z2PKV4tJ2lxNJyupEme69lqnK37snQiAgckNNZvh42Row4Izy1RsOKtI3WarzUAH+ 2IxZQTK01MqqM0zZR81rH4WrhyGE8956OOLTPreyagfCe99pCRVfsT6XbJmogWtedY/u+NEKzc0P 2tinIP9vSHtO1rRSBYR2gvwzMSWbdpSKb91YX+tjZZFDUy0CB/piy0dfiDsbz+BsTD38N7EkXROW iNxZCcu0Z79OrSUwCBWZOWkCCcL9jyh1xZVOcuyr5dZ708eLyz97qWgJNNSeUe3i+kGDjuillk9J svpHRpAv+oUC49aL+9eHqdKE8IkeDkK4axHMUic7HTeuDc21wGbDfv3t/6CGtq3MVGChIJQEvYpO uk1KrFegKF4eL+369JW9O+BxVkA/cf5AfaSpZJMHggX0x6+kRfaPDI4VodHFxNXoKaFDm9Af0sMB NHC2T/hGGPWI4ebQYEs54XRD3E7PyqL9Nl3lo/d0M6DAX8u8D04IkZKPF5Zx/g1bIBRqB7XpKFaN m3rVTvf6Mff1HPhrJeOk/5fUsluVYhw89aWH/5IC6SftCpB8TsI6LTv9poCteS95KZw2BEJzd8sc Omwta/r8W1kbTzqqKyJO1l27FMmmKPlJTNmPNk4t/o1Bn4Pdk2GB2GhX8qzdoH0neNHDwf1zETxS N+xfEn05tNnCdnZMR2OMfnWUxCbVBbTkrGoLJQ5pZcI+7uhtDop2ZcgyaKCvK/zglGDO/H1uH/E0 9fIIFijzEynTlbs3096e0p0fVA9HUK+vdhgzUm/ZLbDZYtUb2G1ZkS2RoQEhPX+n4F9cXnJS16Uc O20Zk8f8ti7/qba+qap1y33gh3QB4DBEq7/lMdoa6X5k1OUQhv0Z7UA5k2uJ8jnM9fBfCrTZTpZz 6q+rC5MDty1Igw1gepEqjjJCdy/Yci+QFNt2y74cu20to4Xd0SsIJ3IDtm+ojDUV+E58ijL3RlZU 5sTB4l/5B/mxQUJgo6+RZh6xZfSqhyPA/hfimEJAv3JsObTc4lqUTL9Clbwcmo6ZyVUxeEA8gedW MkmVVeVkWB8nwzlgY29dyrkZzKBNjk3IT7k/yCZh8PX6iNwhRODePur1AzMaIeB2qnr4/aRd+6tN SAgvcpZNShTWguUSyM3gB+IPUpKc15gd2c57qoQEMRUb17qjXz/pnJFbRAoVaZvitzQkp7x+TUcr fXSmcmC+Ra6ro1kg7OwgbPBeJpeLEJ4ejmoqfimzLpQQPivlT8pbYA6Wow9QkM8ymaJdiwLm2cmS qtO0ROgDbhLgb9NHD2vPgXWOxC1P4QS0R/66ch4dRs32/qkkUXPgwGVmRSfU4fDQ6LNukQNwzq2H o+r1PVn4PwRlt3zw8xqpVG5lMoJlGVAzpyXJuAyDZZPoegb0GqdUw6mctSAj3v7QyfN5bm9k787B WLO4iSdjOVONE7Mwa7N+1JVeo5TqepdVAqD1EQDF51dojHYm++c1RqlkMV5aUljY0AZCfITpDyaD rIafUemwIIxSEpqwIF2UnaBTN08wGTYN2I3Z6MujNgQA5HlZibGGEYSA7w4sWOrFGAvf8PMan1QK +ZQMxrijp5oWYRLdz5/X6KQa4lSzoRoq1V0YapqO1+CkmhcKZUDZXGz2CxZLGQGTE9tnI6dYbP8a mrA2hiSZ2/LR18bZDLVL7O0cpRUrLrkc4PWVftdHuk8VyNfIpDr6QkeRjXr3PPtaGFp0vX9e45Jq sa9VHX+z5ZzJpuI1Kqlmaz16H85Rv9uEm9O2CX+vbZPXiOS8Ahh+T9S/bPTYdUKf1FIjYUhDiGLs zUSxlW6w3TKmc/kakVSCCqi5xWQgOYg1B/lcYWpc9dXzz2tAon9csdlQ+ic6EXue+XiNRvjHlC+D i57cwg8P0OXXbr2MytdQhJ2CPFea2i/zHhsn6zhvPfHTUs/urHKqm5sRk/Pano1iOrmvcQjHBnWB IisyjQ4pdMNEMD8/r1FItea7zcb5O7kTfuWUxWy8BiHsLdwLdjY25ViyIMsiamS3dWjND9HXEKSS UIHmBf1mo3+w6fVXxxEnM42RxujZWCTbKpaUZPY4wWSAUT4zBzRQo9HA9ihaGnnn8vMafbA0qi8N qVucKR/TD43X2INTl+nToV5MXRvODUJBlZwVE0NcGKYdG6+xB7OhA4Oow0Y7NhJcwV50bGANSYCq 1E+aG/1Dta36vmCjUKdLoCpstNB9pmnSYWe1/LwGHRw490LR4cFffifjNebgBG04Ve/qhbTZr6KU Mj4CsdK8kPYab3CCEsslaOI22lScsPyE67Km6wPTNKsSgGin6Nq+del49/t1Ihr2yvrP83OdQPmy YsDKP6+hhj7rOUHTL6jj5Hfra/WMPQKtCXtFpf/ba/PbCAhFXgvuW/haOtPG1AGaq48+E8g+b+LB giCH2xY2EBmiNGCB+qAcmz4wmAwECTD689HXXB13MsbPa92sWvfkszBoRjefjdeymVZhRWz6etIv k8LJlIovvLFelaDo/BzcJJDfbbTvpeiOczwlmaGup8pDpr0m6eonPpc+32vJjMnm4mkAnWx0aEnt zgYcPPqX60TYULZ69tvknbJTyTWKJBW96JHbvNpApVglnoblRTZGgShHzVkaK/l4b5R28tkpB9Zz oWSjCuI6knWlrE8ZsRnDOFogpn4hR6F+mYALTcVppTM9G2yX+bVdhLOtd06iYJT+XVfW/w/VeCI3 mYyBnZhq3PArV4PWRzMylzZL8dHDrz13bxJeHggdq22uUUJSqqzb8QFhUq8PzlJri4Ma0OgTfrI5 04KtgHOjw9TQe7ZnOIgxYHUUWHS1IL1WdnNMsKPU8Ev3tHY8jhbvfB3egf4y1Q4fvS60zlwuEZwJ zsjlpL29fuV/+Ak6rNAcRaRdzT81izXadPea7J9UgNS/h6RUDz3skNJYB7PZfD6ioBT7qC7OpLwK 52UZaO0rCPvsmSgqhfhy4i/auRo9XzkXyjn4TNJyACLn/x8htS753+d6MUbke1AK/whumjjIGi3F 76sZR+fEVj/vFJ36yJ5i26DCB4U8D8PeKTrVevs5zbswklIkyVro1xDBQHZ/luJHU8JkZC5rG/0i aGdik278TjxHPnvmRtWgrrxqWDdP2rjvDJ3q+OguNZg+Hi3EOqv7lgJkjCJTB/9vc9jeagvZhESB KSJ5kneiaDiJuI14zlkwJCuNt4WpWbxHplYHOlEYnE+NHpmSjvesOP2cKGvpHgII1eRN8BgEDfu+ 4JZJEhqjMqbR7geRBVkgqdWfd2JORZh73A0jb1RJWNl0vMem56+TUrAr8g01SAT+3eMSzo1FzHRE oWlX0MkJZ6OfeXDuB0Dos8kFhyHIWZR2iQ3WLXYgyq4PfJ+PVk21Mfso8AiBr86Asx/3zzslpz4S sAhjyQfuZC/tniBRfErQ29vVgG39Vk97vhqwCCDahEQBKlviJPeK1ctTJ8TgdA+IeSe43nMV416D zk8CTK4HqjezfeL7lAxDo607GiSgmpfAL3yQn3c2jn/as0Twplk3z3/n4nA/nLsE5S5lcJhOWCp3 rstpIltpXd/kdyoOnywnJFioNt7O90xY2xd3YKewfCLXE3WiSY/nyQ3MyrAvfJ8Q5OBq3TIJ2m4S dMIQXy/nHMk/71Sc6txO5bYCfjYpAPuMRKEqjXjANEN6rzkVQ0TQ48UxhdROXBz5Jr9TcZ5twx63 0U8RiBtDpNRzsUw1mMCVgPIBdjo+puP3I6NJOWcc57OP2jjnTjca/vl71s87EUdRzP7EZgRccAGs MBbFq/TO+t52zY5S/MLhGHSKuShOzMg7DeepFIKhsdGuGdzRSEKV2C2QL02raKmWW+8akTvUzzsL x5Pwkw3TDNZom0Y+9/zuI4+fdxJONRlqKxQKF76NM6zpiELVLNGeffG++dIH6kWgleUItHcCjq+y Mw+5+2jrYyJwVEUC6jB68Pk9sci51iHP1kegTzTAn3f6zS0ii+Jho4EiEkzbX/OX+nln3+ia6b5l DCUvQQTNRlQ2nWwGqRrCK+eSz8Yrp3lt9eTm1p7vxBsF/zIrr8lHx+Od4xgVcQlrYw+sYqEw60Xc vIf8Kcm3n3feTXXx9aZut0aHnmVr6uZzzf+80258Mp/tcp3XNSFhnIosRRL9QbtEYDeFZQIkS22s 3C7dO+lGJT0mgxK9jZ7+t9YgvTElZ2L2UsbTMI5XneixTVK58J1xwwpmJpOxaJ+GbtqYdllYtn7e CTd+INsh+kvp5Sz83H1GokCV6skozW6ZQbNIciZFhmDmjJuW75iwfsoZZO2D/Jh8nFsRYnlXf27A FO53PkSbfUiwMj15p9vc7H92ral+QawVW3hzf23l551tUx8zXMUhQJfOQu53hURxaiMfE7GUTMYU tJrWjDMGmqT71WmIKqgg9c6uKHf0OORMzfluOCl4wCQkfbugoXRiudRuYNbsA4MohP/yhLnZR0eb oYGos2TUn3eiTX1stTxsZ/rudERxqsqfpqmtGoC34rLMS0yE7qp1vHNsdKAyEV1he3/C9t7TzqJ7 n+Wxd+viPUpYB6oTdffHRso/8f0EkakhPro+Whn1HNWmIb3S/nkn2ajn+JwgJ8YiAKqe+r+TbDQj hKW7ebclp24dh3P1enW1uMQYW+Y9VAXKRWZH291GL5m1eXIk+uwnCDmzAgyvyxax6BsfjsCwL4wm RNKe647aMoOmn2jjjQl5j1MfjQGrsrP10p2PsJp6vvYEDVOl9QFuXfQJWgRWJpp7uSTuO8OGL9Zl u0b10WaD4m+pQi33jd1zUmI3hHH93Z9u1LTvC+IxamVzUSnQ6Ildcm7AYDbeg1SSEk9zdRWAupDJ reYjClL5qiHpeNnPO/IuF/mWqDS0L138nV2jvJ8qCmo8Nnref3KG0bukXIgzqq4hUFPCKM3yb33C d27N3TCTFrSN1oQ52/FCW8bPO7VGScndMFJXI8rNXmB+J9ZwpMoWfHpMJkVbowWkW3Bflyr+zqp5 gvahRt3YtxJyYvZzy4rbc/4h1QuuEGrADY3y6d42+8CgfSvkx4YuoVETgjKwoj+sdH/eKTX1kTzd poCUzZzP5iOKUdH33XkuD01VMpwSLbNo9URUyWl674SaanYn5yzFlUijB6nnJ5xFTULk8hC54cql nKpEn5Pmqbe/s2k0HdwwMgfUaCAhFGYF3O1F3xXsmFsKMf6ZCF63A/HOplGtARV6dKjo7ivdYkJ2 3uUSxK+k2juZRtAPXTK6U/ujy7+pBg850IDHnEWiuee6sYrEYyNFPUovD5IYWtp7i7q2L0dvnLPS qodnQn/eiTTVXB/tDJGxFkqrz46JwlSQh0MJo50hFprmmi+RZn5WyHuQahVILKLv6GfICRl2km/T OUjGlM/URjo0Z1PivYeIHavvTBrF7dLhUHSG74H+LXXtYqZS8FJ+3pk09UGS3falVFtsRqIwFYHG EyxZdd0rzVhZJBf3qaK2EYa8c2hUchM+QNiHdQPrdpIYdPZshZzEaOgIMSNtLsk7G0RaP+8EmpvF FHORTv1umRMQqJVbCwskjlKf9gPoiF9hJjQZ7zEqBzgqb9bHP38YxVVaxBzQUQIsxCAgUS01qc5O WdzGm/MzNaRs59rJ60REkoPlWBONeXyoImvaJwb9B2nBkSTbaGn/LKa/RQ375509w2+dbmmI/yfL 9tdnJApTu7ibCHVVAbibug1bYSa4ICj74EfVo3qnz2jLqMKxu49eEeeWzOYhdVJwOjQCX9LWbQh+ tMceSKTWd/aMzn11+sE7fRoc6BxabNV7+3knz+gnrxdBJpuyX2ocNidRoAo2qtfiyk8Gd1crYl4P KVPH5RCJK6o089muZ1QoOSUvDrV+idGb6VJJNAAFDSXU8MYe7kya9o0RVkgKA2p8du+TZqyHTM1n skyiUHV+Z/8w3OCW25REsSpG5HC4vTrkDkHbnHHU+a+e676zZqqxzk5ITo8h56fXfYKwyX3FXbVP 2omxGOL/OJ7yL3w4M0KivlNmHHpzfjHkwTRadWhPYZkEBvh5Z8ywa/YFC5H7V/BnPhtRpArmYtRH 9HY7JKSUXK4AiZSgkt4RwGOyxWV39Gz0pPs1JUrc9PIqxYS2EQSSH7GApeMrMItqqbJSbCDMbbTl UUbT7qG/+/NOltGX3cBMKEMQfdtn5J0sw9/Hps5WDSlq/m9BdEFgWzmkJoInQdvf2TLPzQsB2Eab kxPMYN9OoYO64ZT20dlDhJrmxPssEnVm3tkygk/CAO52FA+TZDnpTK0Kz046OX/eyTK+32zPSKFf VUifk7D532QuMX4HcgE4xjjaH4m9TQrcFvUfbpt3tozgPertsnfSo3d7ghFB/4d09QSxE82oT/ei 258i86r2jX/AQ0ziXBuV8Wb0r0TWrPZpwfU7xycWwYHWz9V3ogyLZOHOPtwkKIupuSVBf5maZbvQ 7TtPRhGvOlWKVstja1TzOSCGKSWAzK1i/YqkwU5Ag+VRX8z2icGxSuTVN/Oh0cIRSCZmvDbWzztR pj6uSY4PoRhZvcz8TpTxGn/P96aRbqK6/6YoIZ3oWxB5Z8k8CV5XjNav0Rio3nOuIT9ENJUg4Eqz PysRN5vK+bln3lky1SmaTYeORscQ9bIsbD3B6jtJRgWf/RWsYr0+HGv3zpCpJlaFWeP0K7dvk3Yt dmVY93/42frOkFGOx6ahOWfjBXCjpWVmwWiCGZhXJtVnWvavxNMJ36t9YYRYxg9LELN+IWZ5zhPf uLZk+Xknx7BCtl+83JF0P7J06TQjUVkVQMvJE5rA61dEkNQa1plJuk6XRnvnxmhlZoXud/Qlkun+ A0qijggSWM0s09TTj1vMd23a90U5b/k1wselfbBjSH+bZb/r550XIyDPPUH05WIXeCTyzosRI0Dg bdsyozsmJNd0hWlmuqoA77SYai6aJxVqd7wJzUb5onUTQeYiFpCXriT/kg8pptkH/gEwsyTotB5B JzRtDeGxi54NbpmaPqEID4/b2n2nxAhQAqdsu9R882YMPKF2ed6yiOl6R7RfFJqpapZv1Qx8/9ij ZQvTerPEZ+NKpVrF05XJ9n1BBYDqRKWFYaP3/pOpoQIQ/3nnwtSH+G4HCN3j0e92CQPVc2DMlaxS di5P61Jll7WFKbSrV0RaDFK1GHT76F0qelPoHIslWE4QTUiMsEY+B6ygyx6ZZfvA4MY17WgV3YeH wfg6JLezWZVng8isP7lMprJahjepWhipyvx1UL0yuVbJWG3+22VI7uIK2V1viSZEvKB0Rw9CCE+3 mNQndE99iIEHkhL/05k+FBCLQaJYVbjnxNqwMfk2MUBs3UnPBp3dPD53LtVI/i7D+UehKk2RkWa+ sN319P2vKmkSifkcIP09UOVAnWovNB+9qIpI5p5LVOqUZpX5J/dtNmn1D25Xmoo9ClSTXC9FYV6X wnzmo09PWWvj2QiT6WtE9S0I1f2ukh7WVSU/U6yo3ETmokZkma9kvbfXiHrU+x+qAJiHW/3YsUg1 J01dCezBbeKczUSx1wcawo7l7UHkTjmExMhHbRoObC3GE+4Ono24IPMrLBvG+LT5+FNZddDghys1 ltjLdGYWCANxQUwQIekd0R3DIVLU6i6PG1jjgB3kzwVc8DYRCUlNcPHKJttyGYmt8vq4JIKf9vTR 0SHWl0eNY/NswJy6lXe0pc7hAyYz33ZVD+lTpG0nfCiW4iXqWDKkz9JmVPuOxoS4lz2KVuG9UFgf Pnr8fo7Z1jB3OAHDCdMEBVpg1jNxO1v2+ndIdrNH4SqmJmRH3UfLe0+upMgEgTaeDU7X5KAZoXj5 icrdOBGFahBiVlEAMS2U/4fleLOZU9D5K3cxXFWPUQDsmimSyPy49pwpmaUY+fJspCxVTpjiony0 D6oq2SdGPSuRapqawijfW4F1VXXx+vlf8mwwI+NfUDNw4P1OSRivAoaqy7Seuxom4hBJvISDtlWq FjpMYiJV166ZPvoFfMLYvZcKzuukeF0kALS5s8wBP8qBgqnw+uAK5nRshX+ORisWzW1NToypeDba O18RGunMuhMSVlgJqwWalLx+daGZjEWFujXN2rzsmj8DVv/DLAN4WGEN0cQ7YXHZsiTvBE0AATiB LsZbEN4e1VeBEqOrUH00IMC5ziwPoI3Xo5D1wbzrpKKctTxG6+8h6wkJUY51xrYqn1NtbpI8WAxE aWbiqV0TBa1K3zL6YzY6vGphcVykVTvRIy2iGp2zEOZu/lScjW0XlVd14Uyro+RnRk44vEzHd02e jfh2+5Pl4Yn8IFZ7hFilwNbhU3G7SPtE/PaSVDITT4SSo9ZIBAXQO9IWggapaK1TufGdMyjZDby7 OTToqJPzVJ/fFdbM6yP8nVispphwtekRmJrbC7k/PUIDPHCzevsS6V7BYeCKci/XIbvm5LruQVcA d2dT9aLupxUSlVhXIoJ3iMTFI4KuOpOkKO0EJ/ifqkFWod2JgXino9v3vZ8hnNknzhHWu90jigqd eYKdDIlnowXyFZEU7VbnY0Yx65KZ/DTkTFMjVeX3cr1gV3EzlxHVVqe2C7GPjX6mLpz2xMo4SeCQ NRQ1kTNloqk/fpbqffD2oG5G+FX3aj5aVlNOEqB7Ip97d4QRa3/2i0CQvzJy1XxEASumZ4vjruBH v5eJymQTWPvHZJXcg2KElVWVQyjh2OhYM/wDtsBMHfGuZgLhrL8qoZn8kVFJ9okRR5U6kVSKe75Q tnNwJIPvrjZ4NsIC9A+WSJrYt3A2QsDq2VXbCGb1d6vHpkCVVFiyBx3UnACrI6qtZp2Vu1uar/4r +2VOZCC0X+Bb7c5/hdWpxEKefhXZL+8OwHdN/BD2i0YvEw2z4DuZRubZqPZ+y2ZaTCzkW1kdUbSq WrNqm2ejOBBA2AqPTFCs9E7viGLVpSyP/jijvljCB0kyPeIAnHx1SeRGYiNKBm7SyyLm3VHhXTCs Xn30G52WgGZmNp79C6Fb2yNjlHUPkChQhfLZdrFct2mHukRIcpKImuccpyMKU3FpJLkrPvpxeuaC PkEVWTXBNpEcE/D/s1HkwXJNW5p9YtTRhO6vUkUhozEyw0CDmukZJ4Lk4b+0ZwQtVajc7xIJI9Vz eo4hYpVjvFVZrWkSsAt/p83DEoki1WWUfwH8x5UXhWd2IjZ6K1AiUsPrEPleXWBDP9njnjzsE4Ms TxK01bj/V3p+0uxQO+JkADwboSO+EJpyYNgeqo4QszqFZhEEYomm6rx/HF8lUEXdTqZZnbe8HyM0 cbrK6DZ6OgPirMhir4NdrgYqGWCn0bz7sM2mfWK0SkjtYIXbaGEIgFjzYK+NZ6Mw5KsfYaqTnvSO EArQBAVY2i69qsmdXIJIB0pTX1I6CFGgai08I2l2y6QEsDrX7a5JtJnz14Aww8sl/3KdGYzG75nz Yt4eBCKQiBYChzb6bJdmoLG1Ns9GqHdfIkpeCHaTw4lGGKlKS6o4EGDt5pGICvKKRLaXV0cYqLrk w/LRAWeIAIzCfdrRh5C8zu6i+hISfPRnpb40wjjVnJIxWNF4Q6qq3xgpZJ4N8t1yAUXJm7wXgTei OHUn+d8uu2fkqqx7Jnu9OY++nWs2wvKqwIZJpaL0sCJyJceVePRAD6eJ4U1jHWKioKhecFYxcYS0 KuDMDVlTG70kUk2vhaXCs1EBIH/1IyB2dV8gMyyvNsGIyKyU94P0I81D495k4c8ZojraOVdnqEel VHcqGp2PCV7dCThl0hppfWTpSECaRtG7PTBe0xyaIQ5AYpIc7tXbVIRm57UWX5yTlmf/UoJnpTi9 zSYkilWrCiKVO2XKPOl3qRQvqU8Vizq1EZWIZlheFQdg6t87H92MhCdQVbiMR2omteI3VhitNufj xCjqzIzJVVLFB6ap0akzxXTyaR7z7P+hyyspuFsSmVGwmiaW9Ts5fqZeDm+trjw0qkTgzy0zQ3aV 2jNLPd719HiRIcbXSJ7RA0HRKz2UszAB/27izbi82ojbITX3fKmOmwa6As4+9WzEer/lVVY3vgvj ojRnFK76SnbyTB5XZKatcYEAV31ohqVVVZy3qN77UVMGPHQOV/lSkj4DPKWIxG+UP+G7gVZnCAMg mcVxwEeHaZ5sRhWicyPwbNDlXeODwKNHs6ZDZ2YUrlYhWUa7XOadTbEZOzKXA1hSVNISiQJW5Fcg eE8f/VxFKKjJlLSfI3ZSde6pCODWfx/ku0l1zZBdlSTun4qPzq7q6ob+0izk2aBp1b6BIuAilseq M4pV8S+DXGvu89R0yXxzhyE0VDozkCbTEaEAyNDOElAFoHwqAE13rWQzej85e1JEO2S7/Ns/+Yxt mChSBd70X8eeikCrgmI8P3k2isvaZz44Auc9QCIQAFH76BeARzboIgD1igCsfLdLVFN13LsFZ4/d yJLbKbVkHEtBxGeJRApnL7m9R4Y42RdGLTwK7iCAbPQW3pjDVBFG49m/5LvWtDjfNi/7bkaBKqA4 AC6mAlBv3ztN9xmkeeXidjOUqdJOmAK+zwf4nrkFpKZC/r+GwUj4jQk3xydOFUJzRhVV5OhqoTNs o7XwFgRe/nQ2Is9GuJkvmQiZmTwrJMIB4Jcxh+0Jpm+YDGSHSd2kmtGpSGg+okAVpRhoRMNHv2Iw SVhVPglYRhgbEThOlw73E4SInjmjOLUhnUqJ2kcLy84KMQ2zEzjxbAQT+QLwZmuD+HyE5KopTJp8 W4lvq7ipUg+RHvEE9koDX0dIqAAgjL8qHhodKLI6fWo5qu/VBKE5K+T8jfoVno4mdxnvjgvMJ3Mm dddoUdm5xC0GOWEJzwZR2ScGIeehwOyZ3YriVMoNUls2MGJz24i8lhNXwTTYhlkRDMCbC/rn1gev OjCN2Ms8BE+cnWS2nmRZRw81PzVmk01dIQxASWCihKhRr18IgvErN+LUFbKr5hcVgH/ruJfuCouq XIEz3dTO2Zm7TA9BipXjz/pYYZiqElHbyUcnV3U0NlWu7ZLbKdJ+p3GH9QCEgavCLOGdFdZUCdyp MfnoOLY1DeW9d+XZCEs0v8JUihM3KFuhdioMb+gCVQWirfPzfLMIuYRnq26Xkl0xDIAyR1HyX27y 3yC/r3OOwBZZqDts5XYUzUSiUILyiP9lXh+UVRG8A/fpo50iZ81Z9n+SLp79S/bPt1NGKl52XzG7 aoi3243qrihTuu65i70qEYDkYdkKAau2SGby8e6ak3lU0JWl4xXBv6xBPPtVLVFwuvbh4K0/FlY3 Z4CNFrnntZupqebNs385RnRJU7m8nYgVRao0Xrqkz/5xvvdmajLgQUGuBrK2KiKumF8lYGbZPt7W DGK1g4Sb1uCWPcQe1Pmnkr+PcW2yL/xTp0p4EY1eaZ5Z0dU5WTLPRpHIjcz0YxKl3lMkilQ5qHp1 64MuqVKZwlFaUiDS6vQK0YqqqsbRrFZv7vmZkLMKqrQ7BlZfTbx3DNKAkAtg/JjJd/vEABLBxdrA n9toNw1QeUWtow+e/YvqsHES+6equkLEKnkZRU7NyMpuLplLcsCZqShrRsJgVVjUokJRyY/QTBWk mQJTXycVWEITbXJm7vLM7XDxVcM+8Q8gEf37SeX8Gm21TGVoEIN5NtKa+VI0I1eW5JxmJApW5RGd ENqxYGTJRF5iImTcJHobSpidI1G4Sj8BFnf10fPdiZdI1tHa0SGjH7GJ1pE9MqFoi8+Gf2Mkn0Hj QlGaRutonskxZbKzWn5WFK+udLeNVQAAH9x4JASuDu5oKQ517ESu4gwWtq4GYP1OveNP4ZlB8cZ6 qADlTI+YnzBvy0rTIADIo5/D5MHgKUXm5RFwVb2q2n30gzXLLRW1w8qzQYv3AolYWizSqzezoniV bT2Gd7xHm25/UNZMV+bt2h+sv6irqp/Zro77ScaQIZXN0wn75gLQbOD3eb5spEcYES6CvvAPVJEp J2+N1okQ51F1jjJ4Nrh6v8VmqRDtuz52FK+OauAew2iqkKsyYnooePOCNHdUVXWhKtUSx6MnMrhu M2cHNM01kXFiQqZJicr44KoBFPvEiHQG6hTIuo0+I7MYfg4p0R0K/V9MhNQRtlwybELew1WIAASn 2eTc13Zb1nymKBlRpNFc0grZsRiANswePvoKOUnzCWPI8LoohEutCPAxAH/lrWpLZKsoskPYahWu CtSRRsd6gxP5NX4izwYXTfqKzrgg6qVG7BC2ekL23QVbpSWj4IwZWZm6EZcEoG9dNDuOV1V4Vy9i Pr2IBLeqNykS4QRu8ghUGSoKeF+9Kv/AuEjUjHGT2jPdo3TXVj0p3o7ZVfdMZdcMHcs+H1G4SnN7 KBbgSJV3tPvEL+eb7emKVTvEAKhK9J8zpNI+qSVLKnKg8yy27zlmf1Exg0701N39CwNyhAX6uflo KF6S89vX5NkI+v7lfyCnotub2SFcVaXQq/G2t3s6S3lNmU0tbt+1/6hY9T8agBwhHdNICazojoGP eDYLuoj9OUAWdSleHlwx1NQL1XIbbZdAQbGApFWe/YsYgFY2/OHqoIgdSv5jzmqqKsgCSHBm6dCr 9qcF/dbWRwgAEGlqteSj18zSye90kJ6JIfYVKg9IGTP8SN5pSf7sUFnV+/7LR4tTz6o2H8CzSng2 0mf6qqnKb/PqM+1QWbWbU24yixCJAiinq8AHSWaQ/3dY1Q41q9Rf6IrKevtUmffoE1A0AG+mZKsE APwT1N14HIvJDvWRseJME/rIRkXsWV0faiKpLp79S11VEnIcXPkeIWGkSguherO/i+xj/f9pjma5 lSsluuP+f/rffjex+8ncVOoe5/Lt0jdZtGGg5cl2+8buist2BAAYsuFRf3fe/q6YF0JH1FQHz/5F JzIPw80ADvKUd4el1fR0/vmDM/D2nN1PkXR9EXccqHI+bPFj9sNZPZfIWSXFqiJ7nLxJnNWO7HHH 8+amd0wiL//TKSJZs/LImlUONyW852zl2Si9u4XE5tduu4j3HYWq0pxJ2wR4R0oeiJTspLyzkZYb ROwIAjBFJWqSSLjZRjmfv3G+AwKAiUqZwhnpcG2SAP3YZRT7wiAwQygU/riPfkhtCbJKzZtnI5mm /DlXSROTr4+colCVog6en+ki3ckLOEjsaBcEQEACKfCmUA3AsajbR5uTvs+Nu4XuOukOQsRyfKsq x9Fi+ESrqgLw/oB3lsWyAjO5AFSJCQAIRT1GNJWnno5AeOMDBGhNHZarXZ1ColVFC/Bsx/UPXorE nQri1/lhp9AiSfCqZC/5U5ZXRKEpD4XmnHwblqkU8FZBd5u9fP5bHGyEwrjcs+zfGLV6VXVWIz9d QBF2ScvMz1vTw0EY/+DwNCu0+a9+dQrRAAhb5mwGxaM+zbxRnds7BGawSQmxq4KT/ceJqJ3/WWwY GBLn2b0Up6nonGAl83+/ld94f8SDBwqwWQ4aLZRHnE3+52dB6uEgNvm+jGGiPrFJTmGtlXUvLW6J 0LTmuk2X5ixAgHtpRHKryP9ACZs++kqhdrbkHIavIEh65YOEJEzPReJBdbEvjAqLoAGmwpPp4QnG YhYmnztt6eEIWeTbh2+nMzSv+ltOUfiahJiB3GMMNHR1xEBLSxczFoKi1tlSicqtQ+p/WyTf58LE lvus7aFaWp/gnatCWDBMXDuSXjGhYnO1ThE0gK7OicXLHT2UT90M0Dfi3inEBuTnWCm/80tDIqew 4nruh0m8B3Pm/BD7GgQsDhXJnUsOrttLokxYxVYxJhh9UuoJWk/CINGz8ynmJ6neErGCXCG8eCT8 iHLm90CK6Vj4A9noVehlbgSYI+rh90mp5atcgoDIuPUjk2Z/Lw8UDh9TFlGCZq68qV2MkQzMbalE wSz8ccAQw8cLeSbW10o79/44p26T1hdFGLHjH6dviVXp/UGoYmctl69DaZIy7KljPZ8UXg8Hscq/ Wnz8hSnfWQnVApo4aH6q1KueIJUDP1bm9dZIoVyAcsChrsV4lJxSOWtiuep5zsB36Fc0DOEBpD2Y 5+X7J0QKUBOp9EtJcTyDOblCEn0KD0I9HDW1viQDANajg+CTEgW0S1gB/qZ/ICV3gylmoShIhc4G Gv0ulUg0QKhndouPF9Xae5W6N1wpgEdNXS2wXaoP94fYajYsKVRj5VRpyxQm761fx9jGYj6pvR4O NtDVlVDtRPikOymxxpXWhahoZDw2P7x+GnoTgeDpcj05hTXYbeyr5KOXpCmdJflYk/zID4kuwzmw kLMoTzI4h39kUD9BgHaLqrQf7Rus1Zd1chTBhZ4BDwxLOlcnRn5ErnPockVpf5j4OeoB5dala/Ey LBVD30CBydVtk/9Hk7UOSEu4fMvASE6S1Onh7NIxWw81nPaYfWN01g6BwZePVjc4x7dxu88L9HCU AaVv8NGSHtKdlSiuJR1ppv1dLoYAAQGSchMQqK5BkgOfKxB1Wbyj5aOdhVkqbiJnjJM/TNOGxxSE iyc//s3d7p8/2FzJrAi+jcbkiY/9TSeMrno4iGqvaY+afudAHh/nr9Doit9tiV5zouCdjEIA4KHa TbSg1NmhEjpdLeEGxryjR7XnqkCTqmn3nCuUhFyNd3ItBAH+XbDPodkVrEf8JCU5KfaS9s80VjSS 0Xo4Kh7Mr/2DezNoRJ+WSPEKuijAgUcdflqDuMCI+zURcDS6fAeFka2hWGv20TPaE76da12n7dzI cEopju/EcbLOJ4ajtmWfGekIcP5Iq0WjbaFZpuLFeu46PfwXfQULV86XlmdeQuErfDkTpDX4rpkz WucK4k6GI5gqInR7SVBpkqYgVS0bb998n1RXSlPjBMgbc6eTMZPXn1j2VwLfVxyt+kdGjXPOINHn NdpxO1I2fePNTRA6X5X1HcRBuyzPuRLptYoqYlYC5arpy31i+MHSzG2h20uicEUK5JJXKB95hXPY nxWMwObJxnaWFKf8onMhhilfaILiHxkdLWSSW4Ic2wEWBDnJW1ez6OH/i2gc4XG9BtehBZZ+5tnc eFJ8GINYdK/AdbSmfAfF8NeXtVL3OZRWtizoxMfTndLFrqi/VKLuyTKrf2NURVC4oqlZxeGeOJ8Y 4ztjoxfYYHHe3sjWVJQraN8b24ZGWJTt8RfIVr9OW8qTHcI5d4TQSXbmap1GBgMW3U4dLfMeLbS3 e5vkHvjWFgEOINeX3y2lkPRhOWb/yogXzO6pOo7qXY14hpr100z28F/EFlR04qYu19c4B3ZYEICQ wpbIE1T6fUWPhyBKVT+LY7Zy4Id1Y/6lBtK6pYTazy1G0RaeMEFXFdc+Y9F2lst8nFsoS9knvk8K Fd0Tq6ggN/Jj3UInSZspVT0c8S3ap3Us59bb6sihIRYaJKM8OuHVScFVfHWJQBFo+BaKY1vFInn4 ePkF9QSIk2Jsn9RYkfp3nTCUIeonjrPoNjTEKlOCUeOOtlTONxou/vyPHo5y5q9LSPXJR18/h55Y MCaatdJV/XB2n/Daxu6rNzsMPbGWGPX/oW6deP6En8VMS+o6cVExZeRz/3TSkqvcYmdtaIqlLKgO azy3i/etci5IYlfp4Uga63Y7NDXnoECkzKckCm2BBcjhDRKbYAYi1k9ax+0fadJyu+sKCp2xhqLa /oy3AJ8WMtZS+GEjTQ5buk1SVZe2hCP8qn9jdAPBziHLtNHuZcpYXQXHMvXwX0iPZhxvyFOflFBt 4GzrLSP3E8lu8jYdJAtxHvMdUBBmCyUMbgW+SCLppPoQMFqqJyDlYkH2KJNVtKUQuV/BcIN4yuhK 74/RFy1lsiiN1io80YCrhs+mh/8S3OrrcejJz0kbmGTh8QFWaS/ntfWHljK95jRTc0eXHHhkuRhj aqaDfb0BTxRK6jA5qMf5kYrowtPEGOEL98cWapXqHxl0lcW1Tjpxk8B15D/ob3VtIbLD0Ccr139V 4lCtueFKaJS15cXah3Pb3GhvzzIv6mA8h0oIkS2S+SnbR5+UWk/QU6VekiqwGonfgGsWccu2T8nP B0YzItfwdEfFKiNTIdQ+xc81sMr6F0W22JUMYMr/xtArC+bMAnSCd5jMciiyVPOqZCf1C5LNoVnW kkpWV+mk12ehnMCns8rZhCcV39IeIKVC57w8pZV70oZRrcz50H230TOgPaxidO5kPfw3HVNWyiZ8 e4yho6i2QWrJAkoyF0WC+BScaskmSFCle2DhW+CZdbuGNjdr3Gh/n3nI0gwvtJqaBTLgGuhWrCdO yfcbg157kVQlYFeN1hs7a0VU13qiIT38f3BngN9an8snCmm54npzyGOv+bpDj+3VplYuxi0HjlmP eFi9o5f21znEk4RUQPKgiEwzPsHA61onJjQ/BHHLoWfWkBHBSMNHgzC1JUsH9mHTw3/BhTJ9VME/ 93EUzfL7orTpIco9TUZ3uVvIFHdGQisC6Zlu6wM9RUk5ZgN8pIDdlyrC6M81eP2/H3W5YX3l0DdL FSlgLj7ajJzzThQyzA708F8k9yzo/K2fRRLFstydOGy6BIErZp+rrXpxclCQ8MPkz96uXpi8kHst CxkSaErgpqjTwXllYuteVNnmAqn3R+hhQSEpxmq8EX43kHhrQw9HBZWry8D/CwvoEQDKoXnW1KUN s1PpTsZsWGSVIi7jP/RLcMuw8yT2z9rmSXDHi2dCE6pPIe9PvELlpEn6NXPF54/evNQZc+igZX0R Gc9p1OtnHzqJ0DiZevgvWq92GM6vun7oobWBdi5lg+eyREtAx21eklOT/O12nHkOTLRAZwv1Jtfo dfUCEASeJ/fhnu1nds7puoUynOdIAZ7xYLx09ev1QWdZcgSCl2p04FtppoLdCFFCI61xLZJuz/3C InNsowXYPOfr53HVkQDdOFCU9q/toNBHy4Bvwm6l9vCJO4YVZSYDV0OxYAcRcZjOzqhPBduC/NBK a0iMYPKARu9wLONHnk8devgv6EjVuAV8vJJiOTTTGhZO5W9RAi3wfUUJqObYWRu7aakvlhTOphvO noiCYEeVxnEC0kXD5qQuZ1pgOfSn/2MFycBLC5IGQcoWlXZfksbZhtapoN+mh6Pd40etKSWD97yB W+ymNeTdt9ftm2aTKCjq/Lo/QWl3UkIUrUBNQ6HbeEK386dWpXBL/oRmqDET+i+dM+gZ1/wlLf/K qFcopdZ8R8fRjnpLikMPRwon5YPMGPWjPZcDWy2LFackIs5CwYfTEAhDjgrWQN03HQx9taxT2HTp tkvcawUdraV+fz9h1jRZIMrwyJHljypQWf6J0e6hbGn/8SN/WBAuN5RkHno4KLul9QlTOKrzLUaG vlqEGENS8GDPm7TFl+S/DJGATcEtRQa2WgD6FafU7uMFW0OmrYrcygZwnaRxUqzEA5X5bh+rRYa+ WpkSg/hiNtpVmqbXEvPeejiI8dMXAI7Wc1vP5RMqvxLPypUWKpyAjlIpGP3mgquue9DG8AMLUoaP F3LdMRzrClXOUt86UThUUXQ03peBrg1+EDprIb5I4DZ89HW4m6X95w96OArf9ifGL/Cc90V6hd5a E4ye5Eb+wURH+AtpVe954U3CZHd7SdQQM+TO8PGeKBXEpETXQF1jS9UWWhEZazqUd55aZPWPjMBe IhpTRerpcnuSFBDZP4X+aeiwNdL+xCkwfB/4TmixBbsjJzFjz6xsKYsKy0RcRY+sTHVsbFb+HNUW zUp5ZmWdU7skxQUDgUGSwTapQ8LW/YV792haZP/K6FTBkg7bExutZ71UPNaq6Xo4uJOvM111+MGj iptDny0uDvkTGJdU1WrAWHsnRzVJZ0AnbWCzhUQXbleS184feW0Qu8VkIDtyY+bmhwKUlKq2mLG/ jw17Dmy2LFpnHzcfrRo5pxWY6olz9XC0gR5PRyoYAFvunISgWhxaup8gzSw/2u82oymBD4oT43Jo s2W1yGlC7A9zciK2lSS+cu7msw3ZPhgqg97B0OSjjlOLf2OACeT8KcqSy82SMwq2JoFUW9HDwfXT 5oUfoEb4W/qzTqKIFmSrio2KaPc9aKtJgDZCHTVOtU7CiFah2xIXbD1csIrjxUa9AUwgf4nKUBSN 5ajiXVNKF/aFUYedypLM2DRally7O9Sd1EYPR5pjX6uE2XjwGIHVFlm5OMdMpQMPtmsXzG1C7Odk f/BMf3DbErHFSC7r3g4d5Yo9m9mPNyBIdDcoptAbqxclOSxwC9y2aG8sAQ62j1ZfEuVHfxpbDwcX cr/tDX08l95+Nk8oDFtQzs8mAdPJ60yTLqMQhnAwIiXdhLbzHwy3lPuYovJFX9eT+GS8cRS7Ye2i ng98H6lVZTsnDNFU/CujbjIJ4VbrdF/6+7nYrjZMr3r4/6DHThW/X6GLHLpucdT1WpyzX110LEOP uioG+wYqge1WMjPyJMhlsq2qrT5RK53SDzoZzyLeb7LOopyQ+wNpGlIgz6HzVpM1m9pE7TnGZ0G1 6le+OVUPRy12Xyw6iRA6Sc8WCmNaPG8lD0g+OC72zcTETMjgid4C760s1Djs9OWjXz7wlHKXtfSG DkHvZiFyqci+7C/hvuIfGSmiUGIa8jIYrj4L2NBqNyW3rYf/0iFkXiQgfAP90H9LqyuBCTfUwZTb 1lANtMiX+9x9ww0ecmDBBYnIGITZRz9rk2IQw1+fs3tq2gjPOwS88ogZTImi5sCFixYHtZQhMeax PxvUKuRlrq2Hg7Vy4dcqusvB9bYIf14DlQbDHThNN3yxfC5NcLosu5NotU87bF/DlAZCBdMvquQ2 Oo6ewHgtWQotjB8AJpiXAfUmta5m/agrvUYpzcSAz16UD9e8Ew7KQ2uytcWjL/PRgJarYMC+kSL4 /FURXIW+cDrOmpIv9tkn29Qt8VZFJUpyZCORdujuCaaD06Fn5tXGW0g5qaGg0SdEGajcyHCIhDVx hmk6nHurotvrdJygDUDKSKr7p4cUcc4Q4XVO0DJ/XsOTxk2+bTp0HaMhhswms/EanbQsSRqQejCd fne7iB2ZdQwyngZ5XbH9a2zC4tiaDbkJPz8f8jiZoG3L7SJPMz6kS8qi3x/0kgqQr6FJA3fRRVBv PnpNNg2zaq+p/bwGJu2iZ2sSUnQ5O4KpeA1LmomFnnTV6kljKDWWrXu5dQKdQXIkf50KQBu0baW7 Ux6ZsDboFxeoUGiqFw/jhKfvUnV8SiemdPkakpy3w1Ct0k85I2VMzQHFGK2Svnr+eY1I2lWY8tkQ kUga0+dvew1HmlWuukgduneLQ9uSOLAmY9j8inmNRZojzguLykafjnOnTFmxy4mscPqcq0SKMNJY v9vElHJfAxFODTLmOhT8P0Y8fQI15dTouF0Gk5FU+dI2WTIxEI6eyXgNQthYGwU94EdnT0zD9Anz KJY+Cvmju6bjawjSknRbu+xwbHTazUlxzn0/ZZeL0HSX/+ME1CHsotdKSjKXnNfJKP9PLKYuHxaN ltScY9ssLs7y+3kNP/id1VpQV4RjEKi0T8Zr8NFMKuFcfCwgkUqtaQ5EEKgJcmw183Pr1HgNPpgO 2r5FkkHlkQw69zSro+oMBXJREPQrmGWJofkh2VZ9X7BPkFEspGo2Wui+R20O2yo/r1GHzpvx2SaF kgWbk8l4DTo4QPHgkGQv28QAsuYmeyWlUnagyWvAwQHa5asmqvnjdIzGxTlEJIQ72jzBRRZko2Vx EEb7lqXj3e+XiVBaWxr2Gh3Kr14q8dDKP6+xhj5r2lyoRqsDKtlcvJbP2CX2a5p5YReWWAme4ljT 66PAKuGk4AQVuqRAobLRvhe0WpeBtorQNascX6bY8oo06gff2PSFwXSg0oBuqI9+cxezfT/TMX5e K2fNYJc2HRfbaEz49PNaONN5DcjTAUeDapn54z7g4Lkl/YliQXSCDjs07uihUcNKlu7AmQ78kiR7 KZxUQdRifdwtpdD3WjNjstXH2jLG2fe6OlfSUCP+HKs8Gt0nvjgEEZWMZPUL5Z2w04xrg6qnpXLn 3Jjep6mrGgnhnBtPGyuKRSXjWKQ0r9EvlXMlwqflUhk4mkn2BAw4Gozgq54Etxm9OFohUj0FI2Wj Xr/O3JrP7W56Ntgx0q+tZmcviG31mOOdrtPccICOPQawXRq0mhs4K1OdGxBr1WD1YTiqGeGA1Ojn x8TuUzfLRPp/S6U9yXoNMh4pq50gsuT5eefr6DiVOr8s7OTZYxPee320pN7pOpyn+5kR7s2EPYXP yHtESmNioTLWHQ+8TVXK3CwMD6zKvqE8gxnZVTOiqDs/yCNcPvOUQ884kah8ZoSkOIGvoqkbd1ip OYpJu7T8t9Ta9nORo5Kp+Sjg6d+D0osaZz6Imbjn1DE1YEJ4qkLnSRZ19abtQyCmv1sFEEllWEsv OFa7YjBIwjbe+mE9e8864qvuOiRITtGiq/r1XDFGiHyPSy3MqyqF2+gV1bRUlKsnwPp5J+go6K5f 0Ye8RpvPx3tgynLvkmy8bsHZZQrKOfvsf1dUjfKSajQj5HDYSPvo8ceGiGJ8LsA3IuwLfNBNfMQL 713quO/snGbQIdSGi49Ps8M7T8AYo+DU2DO+ZboRL2xCouCULhxyiCfgomvFzynWeXeni6LJaspo 36NTmqT8Q6son/XhKw0CsmQI4A1QVTr0HFdN6uKPUdCw7wuuGYAWUiBqHx2iNHENZn2kVn/eSTkN bW4PyPg/WNDscqcjDE8N5GgR2RhXxKKKSSfor9SxNB1RdAqrEe3b5aNPx0i4SgM1GicthD4PGON8 IupzsCKmXzHVPvB9PiB9c+VVH3XZnsizSev25Eb7552Pw+rYHq3bEXMicdShbUKiEFUy8m14p6pd 8bXUV/dMTooPmpAoRlWxPe+dffRD71wdrQ5pSU10GsRkqjIV5ZpmShypN7N94vuUDPnoqt2n0TEB kkLU/Tt/3pk4fv3ZlFigv8Rytyl5j1QBj5ydwk1DGicEtvK5IQtbmpvgjLzRG4Wqdn3AuLHRL5kT oGYpu5UBlhvnF/O8EOg3P0wCmIh6+/uMSCX4JLF3tDhkNzOWQizk552Go4qMbxqhHLk+yo1Doli1 yy+ZTnQ1UXrbInR5E0xIfuGd3D75nYbTvMlbwCnZeEtBHZtu/KTOKqFRLa12YrPuvj2PgfK2jwzm BMBpBqNuozaOLEnMnp52ZhSw5pvm8kPh/YXAuZXGongVUMQoPXkk0opHIvKvUiRiimNJ7/hDqVBk Gxs9/6pjwH5QRSihsEDWj8iWjAvuEhHn4OedgaMoh9MGBJSNtmn60HUNbH78vBNwmlnU2qZhqZBf dK+bvtNvdPQ0lSYsDkkPI0mFMpI7No/NxnukShiiuGwlH33LtDqJROjtnoXX1AjL3Domg/yovag1 9U69ae6cVNWf0ug/a7XqWD1b8OedeaOfun3CkHN/oIprsxEVTqeM6XVI8AedG1OiHUO8m7yQeFQZ +Z12o2R36jitPjq+Bb2lhGRWGVnqa0JOcMNYPPYpg0jT5J1101x/vcv2UaMXhaZVn87869OCQHU+ cRlieL+yLNWEhGHqMiXt4QUQ84RZQG+mFUWqiPO6Z94pN82Yox1bTx89LEOFvm1JD5wv6Si7kf2B wKC/+rAbDRDxTrhRwnsilyyUQr5OH+UcbNntfuf6eefbNGt8PpEIWio7e/7/TrdRBQVLHCA+cEt6 MtTzWdn0+UVdm9Wpje90G6W7VeJT3UdP7gpGn6C3ywAuklR5KVJNbr8PAWk0/764UFa3LAv2tSyo 1VijynXLzzvZhtvvU16HFnz29fDA7J1q00xzluvRDpDp2LPsRlLFPGgss3tn2ug85eyAB27jrbCv VZsYNOcgnCvpUib/GElH3BOYNfvAIAqRPPB6Rg/bsUz6FWyz/rzzbJq5UD0nSE/WJ9V0vMepgGVI /Yv92/sFy6AzMA0to7my6XiPUy0XpT66ffQTBF+tkQ2zA1pGLjGJSwrJufHVfRHL5p1kwz9KVAvp nmu0xYcZnbE90/5559g001H8pP64NlVP/d85Ntpi4s4X70tm/ZU0cBETswJRWtszu3eSDb8i8hdV SLP6IM06tb2Jjbti96mTAnmnoVpe/pAEhn3h+5HKd5z/UirB2VcIgE1TqamNCXmPUznt82dCVFa+ 8xHVU0l+xhJ3HiMMF4EFOpRNiH5JfFFX7nuUSsWT0GNo24wrOIlu48AnqKgwvlPVbIDTIubYn37U tO+LYlTF9qX5aIco6But+cFsvMeoJCXjU05lJ+uu13REMWqVLr+LLQxBfjQdKS2vp+blFKx3co3S ftJI0O023i5MRxB5Dev+NvDHnC/nKueAno+dpWkTvlNrWB7DyPPVR9245zia1xxr/Lwza5SSfFdC YKpnXx/vvBrWh0TkHW8oupRB4S3T1U1Tfbe8k2qe4qGw3uXBevfz70SWBBDWOUoyGjccqGQ8J7Ee 5dO9bfaBQftW6QvlaRs1HxUndB2ofcyfd0aNLr5ym3QUU5kB70u9E2q8ZbmFdjNWq3dwtxURm3a+ q3G882k0HyQvFXyNRu8Q4Kw1oSmgIt33VIsXl1LT3SBdesrt72QaTQeBSpF+4WMdwvIwQ5xe9F3B fsnP6UHyvwXxs+mIQlRQSbuLVrTPvBDRUTjcTRgzY6V6a+qdSsOKVj8GeKWNvl+q2ODqaJ9sSN7J 4I+TqhHlMZGiMqiXBwkMhPIMu9RGy9+7dzDPPPefdxqNTlOvpBazkE7aqjYhUYhK03yMaWXTMaSN pYbM9ABklu7x2DuJRuuD8zRpfaRnfZwlfX5R4nE16xZsStMpzEDPpfFlJ4gdqe80GsXsulD4bZcC TK2MPfkLzp9myuXnnUbznfdrcU8TuNCMRBHqVnHA23Puri11DNGtIPgtRa1d7whCduvkKiQbT0gG 11ta2oTspU302U6MImlTEZ98OuiK/bzTZ5phkOuJ7LqPXuFJybHurJAoQp2pfHYMHeVyz9P3ANVo 3DOL7p1/pTAjgGoD/FC0daRnIgRIVEhNwwqpxUePPuaZ5aKnx8LCURq5pM4CQtTxIYqsaZ8YNB+k AkdVwUbLYCb4MU17aj/v3Bl+7IsO0kNZsis+I1GM2sXcXNVkBhGiTyIpIqfYJLpREWe0BtU7eaaZ PO2JNFUR3A+kG+CHCWqomrrALp4cD28uajPrSkxs/Q16fVQWotMvO/qSr/ffCai3XRS9t5936ox+ 8gsgk2/d2dzrpnXv1BllugvM5biiT07GQ1rvtvz7PVfjeipTMruBppiSqUrIWdmVuvi5dvnHyB+I wksmw8scYJc7k6Z9Y5DayQR2af7WcGzpBnajfu1knUSBqgkC341DEazdnRNGqr3Jyvaq1dweFWqX 3vkvrizxzptR9sWduhEH02g/44nSkGbhFqN4OLIaFVRO0Bxuj9iTgVHfSTP3XCWA89G2wMgzGYiq 6cOCfbPnpxYCiHx6qeydMqNAZGFnYZBLM941xdvqkAiQOb5Colrq1HTIZ7x8fMbPHVukK2EOUjKg 6Or6n8k+OxJZMGcmWmgW1VKbuv7gW2304tCupvl8gr+fd7qMPq1+DpJM6HiRIe9smWag2izCl0og WWg4QjKYYiqdVRm7Ct3+Tpe5B8l/k5mWCqFrE11mYTQgwTDkjHNVB+fxPbXGzDtdRvBJopatTbPF fiEKQTVNKvS5zp93tozAHvcg4fKlFnJ7/+9sGcHQJu0YiuqUT2QLK4FXNIe2DpIJHIz75p0u40U+ /vnFR7990YiuQogMPO2QBoBhpMxiyXTz1phXtW/8Uzyis1ijldz7bubgUO3TghLRhUOIDcFQPEJ7 J8soQstXKlpe7VNMvLPn0/UJorWqG/idK6OwlxlJxi54bmD1/xqtq7N9VxHLDmtYoaj3r0QRrvRi tk8MTlZhjais2WhBfG4yTCURWD/vZJlm2O9PEI/kSfXL5p0sw+FDptFKct2Rck0cjQNO0WxMV59/ Z8roshmKRqqPNiOIBJybNqsGgIik6ADIjuBDjJbh41g47QuD67dJiR87II1WNeOw09G6TsT6TpRp Zmb/iVjxX7+tu3eajIJcpYPDg3f54Fj7P7m/VNlWl096y5+AVXLxKPOagjeEH5pJUfQm0dIk4NVZ KlVlkT4thq/2hRFomanjLTbaKYm7syT0+i4/7wwZVtcNWoVaph2bb5D2zpDxUspEWhCS0CQesf4/ 0JJh/Ifszcx3gkwzT69eZMFeHgv2Tl+pNZHcxnkvJRKWUJG9tH5cVQEQidS7g/kYImX2O1oQP2d3 yhBI3TBmrd8LRC40Hou8c2N4pPeb3JHlrXKzvFtmnoD+bcfE/X/V29sdbyMTZ05a2aBTq3lNIR73 i3wRv9MlxjT7wAgvM6XQmn20DTN3t194Fz37lzamXSonHikejLzTYlTlz5wgzhVqw8tmaa6LypRJ ppZHHK5C7oNpaaNnvSfdS7SkuXipBKCXxuFKFfA3f5oy2b4vqAKAHmvb9COWY5PyHM2cfntfP+98 mGZa0h+wDKDMcc+PKFQlmViYo8qsMA0zO4HilX5d/nh49N5ilGp7OVBLBekPpP+s+EUnVpdulkRP EnrZI7NsHxhcuXI2WRTpNdrxAX/A/kmr8mwQmfV75fLlhKp3OsJQlTqBKlACHqahrPdEjSikyBMH n/Pkx0dUVqW2TQci+XjxMtSa0FMuYwCSNn3OJMn9LsnHW0e0KCQKVleiUESUaaNef0I01dtoXunZ oLWb59eOodp5EWY9DFZRjChuzjfylRgpolCr8Q/mRydIfw9VOVCzkO3TR09KK4q+FXzZpCTKNPRM upiLGKIfeMj9xKgzwxkCUd5G2zTn/cMWSW08+xdQprZ7lWaVHyI9LK1yP9gWKdevEA8cuaBSJ2rT ocw9av6LaFiyQTPvlYst9VkRqNWetbppxZp2T/sFTTvXFzbEPzCI3aXQRUXcRu2axqLTpbvr4Nmo lvi1RARHeZZIXFs9sUqRK0VBpm1bbfVclkUe9Xnzo8o+qke1Vbm/ZjDKNnoMMrGMNzRE26lhh3vO ReoUYNnaeERrJW/J6/+EICJLttFKRRgiilY35+bZgDz1IJkL4k38DEVqrpqUkEHF+ZCzZA+EUO1G 8S4Cahuxm2BFSV6PolXB1SgP+ejxe0YhgpDhhFcnuUHnGQMS8EOUHvnh3b5Dqps9CldxvG4ZJIKN dgjkKs8EtD4Kz0acsqcSYNjMi6vqEYsKbFxXhE5q15Gw3aaBlkWQkaAV6G/OkhgDoHZ37j76zuHK Xczxid/Pmk+qsonTLctefvh/bZ2oyCrL8S2e7kYdQYEV0DX90Pw9PBtcOKN9bR3JHHm42uNw9cxd 9xJRF7eeHC8LT656KwG57psekqmINM7B3H10VMTZ5WNK5+UcNhtFHknBSTYMnvQDR5SaOK8PrmBy vC7fcY22dRK1F1WL+LGiiHXMrxlBsOkyiHpUZFXha2/DIAoXYWZ9JkE66JMJZ8bfGgesauON7KNf NwWbZPqjJ3w4aRxRMXgz3gliZH2U9QXh7VGJtQr6zJ1moyW9jTmxpHfybHC63nKiDirATPuy7d5D VgvhT2YniVFhSadJkZYMBqgZxLvd8zUKWoVWLVtQ3n2l8UhpJuFZl7TXyRTV+jwRyK/QJ5+isxHu ogJrFX9ClVGNltQgTKLT8ewjng2y3vZgEbM8XdbFzvQIsMpdcf7vlMxz39cZtlAr0X0zaPQNrZEI CmBlAIBrjNIEldw1Yr1Smj7pLsKsYGfaVFONNHJ+l1gzr4/wd2Lp0Y/ReDvJ5qYFmJxnI7jZd5qX pazjMxIGrkMUrKqCoiylHVzVvW0zVP3WColqrNQIu0RpbPQ076yOk68XEblhBBjBecNgEQnxTke3 7wuyPDo1S2XW1cpTce7GisA8mGcjTub+ilk7u9UpmVHIOqXBNa1K1g1CBDhzX3Bm1kyd5TGi4ipF azW3fXz6eOtE60NdmjZQZhHSjJwSnFR7lNHpRvH2oGw2JIGn3KY/ro0nMjb4bT7X7ggD1nEpqkY2 Iwryi3dEAStaFVuuwsSpbfhRuqaKzFPiFs4CGGFtVUcHZRsbbUbmwi5Y5lCDul8XPpPgI9PDY+c8 Wir+iRH8DjhA06Ha7qFaU8q6OnHh4dkIfucrRGVqTcmtN48QsnrSmo3iFEKAG1BYtkiVqs4/Kgkq OGNKouJqVhBiXOapG60KXNXODzmS2t9Epiq+ltLNWebpWXEV8u4Afuee9Hf0OpFkjcj3aubZoBDw YWcmUbr71YEYUbTKDzUksAuC14Qkt2DMbhs8YV7ZColiVSondKvm07NChWK1hnlqyuae3LjIAPEb w+mjVEVazbuj0rvOU4Vw43K2aBoruzkT03g2OkDKp2WFaDcaQjYdUaTarRdpZdVuBRIqFz05X/Mc e3c6okB1ymEp63fP5YZlrZytNgBLlBPbz0501USo1IoQQPk6tjT7xKipqSYejXKm5NfYDMgyiPty oqTMw9EKuV1NSSBA85yX6B6FqsT7Q97Y58SYqbqapsThhwB4AhtqTqJQdZn2R7mjl84QEUAYmh+S tr2cyfWzVahV+WMcxnLk9UGWR8J5oujso16/4EiqVXMyAJ4NDpH1BdE0MLDHqiMErcqrytghBO3I aRr3n+u/y1CagmnTVRPBVlEc61UWp/WxOD3XAvZAW6qEU05ZW32+iab2b/54Y9HD5uVRg0b0f9Jv jRcOMIyEe1Ilng3ikJU+kZl8bq4yxIjKq02sDfV6N3+40btUwpkjiOoWvY8oUrV9U4Q6NGa+Ka+M deJWgbzHoOiiO74jn0IhfH7umfNi3v6HSGQDH7XRZ7tXa+efTIln/8Lzliy87MJ9PsJIVVruzcvN AsY6FOCGIurUEpeNOFLlWAWSbqNfvGhPykMOqcjaBMWCByuQAorUD+ws2xcGgaoMsfK8owUg0HNk Cr1W5dkg370H6/Iu7xUBGGGcqnZEtk7maGrWqRXa3WpiNrnfdr0jKCZSNMvT49Db0kxyNZKi/CwQ q5qp5GNyWH6X6ljjU0scMa2KXqZ1rcq8GsUUAIoF7uVnhFCA8QWy4q+GW66/bobV1S3yv8RjsHrn WpE4V9V5dJYIyNxtmKL5Z0mq/oy3BJ/U7k5aIudioC6d1c9cpjrkyZ1Uh2YIBKA4mujg22iRmTCg VqOrPBvMSPNIRAqr+8OcmVGoSpWm45owronckqm2cCdCBHRFohwhM6yuJjGVW/fRo/d64pCCI9Y5 QhrKEcsVJKGg8ds+NoxizsyQXGWVpFZ99CaNS7me8H3wbHSGPMFIlh2M1Lc0JVGoCkhhiKguy+jl SN5i3TxKoQtanGYkZleZGkn30VFnZzGMCrMCsaAzr3RmBF3NKAe19e8m3oyrq1111eyjtSSI9bbd N3o2AlhdtpmqrKV/2lYzilZFmqy5exeve4ya0yUjNgRzFZ7NsLIqbKI8CzX6Gjnn4erEy/gZQT9e qry65t7TxRNwdcYoAEUg/LcaPQ5B7ok4pK3Cs5GKm4dmViI7K2RXj95nFK6yfLlkk9PNqmB4MGx3 v3SzJK/orrcEJfhmigjZx4sVmRUtUnFFkOxS58JctPvvA343ta4ZClSJd5QMauPsvnomp0odn646 zwZNq94/xypS4vhZ2ITEZdUToiow+Ed/0D+do2Oj0qzuEA0QbZlQBSCJd5eWj37PUIm0BTGQPMe+ 45y0QkHS4fyQiZp9YHCo4liSkhTdkhfeazlZrirakKx4NqoPfV0znIHzyjJFKAAVzMwHGRWA7utE 0kGmAsCfbL9ERdVFgFpEatfoXc3U2uqGW23oVRWxMFRvANHzaWreL4yOVBF36cFotPsSvo/Q72e5 8WyQzDzrQ02LKdd0n5GQXUUQ0RyBCKHSZQCm20rkCmLRZiSUqlL3ziR4+oPkXZP+tC2QgpyxwK3I fFIMH5+4TBjNGZVUC9owTTB+jYpD8CcXLQVBcJ6NVDNuKqPaCfifi0mcERKAY1gbpf5DFgqPViIA SdplS7qhzeohM4pUhyodkkDU6D28s0vq3GaVcDKZqniWToD1qZ4wpPkHBhhNRKqHZETGlRE5M23o hV/wbDwbTMgNzIrxZyTI5RMSE6xkO5g8uZMpl7p2SHjJBblMuaboEIlFAFRU3c1H3zWtnX8OhUw5 PSTxWpHc/aUU/dSYG9VR3h3smSUIwLm7bLTA7AQizWsim2ejwGx/UhnWGpmKJmRFoSqMsCFfI8ER xbTCOqL27HDEfgkBKwICDGFFkq6Yxzr0HCI4JiBfei7dk5uKiJEgeWSpxeenzGzaqSusq8oYu447 WhySpsUkjVB1hRSreUNVFRFP7AMU3aYkrKuSlKV8nWi6nSJbQh2mAnApiSsMVbuy3bJ9tBnBSQdE VTPSqhqkuOnRVac3th9WkZCWvD6YESKRagbOTx17gK8ysMiuPBvBq/pXqAoxv94JCSGr518zk0S6 FjBSM/fNCgS7SCMZnIvWSIQEsAPVAlaTfKV0iBjgOZ+cDbCrRJxS2TIs4qLO3xqAmdcHhVUlPlVK CtU7MxktEVO9O3cbz0bB+y2bKZ+h9OZX7wppVk2rmKhfNF4WsuwDC1gTYb3lU67ezApBq11ld3Vo rM3IKuEQgQwl/Ps660WKNDSq1EClvXCnpNo3xtoILakSqVHBSKo9dbMPzJtn/3KQsEcoXjY/WFeo pUpXHUmFzdUL4G0peKcFIQ3NKbqy5iOkWUk1o1vA+gCKyokymQRqItDGkEME63yCEQ7R9vDOxv3C oEY0ld/VO1qDdzQD6tSTbfPsX6DvuhHYLx6rrihWhezXdX5LNDNdUPMYrkjU8PK0UyRUAqCuKjVB G2+NiMCE9iMJ75TwPx1vTm15NXx5yXf7xAAUsdL/+Fkpc1QJl6yAZ/8CE5HcFmd5e66aKF4F1tyX QyBGepzkqe+mhwtgUxLGq2I7SJO85McDpWZpREoNYKMkq36V6BHyCuMfeoHewz4xmBIxslQBHFVy B0lilFVnY0+p8WykN+OAIq3vLMGZu0qigNVOuiKfeApFxRyvpdixpFNEtcfLACuErnZRI3Ly0ZPe sfuUyAOazJWWHlhNVVyKexRZiEZhj7f/oS6y+PFstNpZXu5ife5Ung2O1t2+lkk9P/S64mYrJFoh 0Ls5/lgmCF1d2ZliAM7Fuaukd8XV1aqi2fLxNpaQacryZSUkEQdehbLzD/vdDw4PKSu9PFAvXyZH lHy0eMRsb4VHqzwb9Xkv6oyzFVfvm+atsLqKC890fvfo3vfO6ETcNG+6u8oKA9ZuLe3ho28b+o6w aMTuOOF3UoS2kNhb7NB7kAAX1hdG4QitHCV7Gr36Xo0IeVb34NlohdSvCaFQtLOneTuKWeGk4Ixj lN6k+nvTqr5wbwU5Up2NiqtD4FUZKJbHQLGdkLv1Ez/Qn8H5UZQD8N9EO8U8EG7mm+0Tg7yGf0RX nNbzI/i/50oeq3WejQgB8ztCU0fVJuQ9YjUrcAkYSBWgFV8i+0qL6h9jS2SHqgCqnKlJp9GXSJ/I ksKxOyfS3lOAziLrUVDfOhuaMSSafWJQGjEKjSZkP2S8AhVF58meP/s9ZLV+y1Nvpi7Qbotmh+DV kdBJGKoQnXh4uDAADc6G6Bt+9XbX7DBk3el/AWfImYNn1PV7FkXC3bRL0UbF9K+O1bYPDObjvLBh luujQ4mGFRPxvubZiGNVvzo0rnSj6QgpVpwhkpAXW/MK/xdTKpZgZPOcZsdAgPW/tG/UEXM9qZGi ESyz5b3JHkbtA57iU33f9oUBRQLi3B6G07x6tnLoq3528+xfFDRFTFQF/6LNdoha5URUnfIfYXEf u9H8UEaIHHSGxAwrRe4qNpen2Ew/b5ZqSl4QEhW/0fMU0e05QVb3D4zCd3I8aF422mFIV6nbUVV5 NtIFuCeI4igEkbpzinYoXTWAexfjikyUSFQIaJb2kp2O5oTeHQIBuhgBSnvHk/YuWOD6IcHPdNFH qDaeWUCm7IHObP++IAwpJgiwfLRo9RwmAvTX81PybIRGvFAiVc66gbr010UoANq72MJvQyFmuQOZ kjc4E8kC1EvU3KF4lakiSjiiPsIRCFfVlIxFk7DVlD9RU0dMrkePVELP9pFROXFJ5i37aPXmKyvX zmHHs39JaZYaIL/tsqx2KApA582MmIABSNBcaHhNDRtvTy8V7RgGoJS3ZR89MJvnoklaXydoXQiE CfnO+lOhdTzxu0KzHeEAaBHqrPHRT9Vl1y62TzwblYq8nKjwfYCrvoskLK+SjajxIb3Ix7M473o1 Aa6+2Y5DVbF46x29P7O2/FWYkRN9la57F1FAgqr2SfEIo3l55I0gD0QKhvWxzoaPosVy9oyejVK8 L2Fz3boX975DiVX50HrSiyfOIwrgAKu5lcwkveN9y3C+dQlt2ug9zQQAoMjzDp2lqgAfk4zWJAT6 8c0o9oVBXNYESFTRoD0srjmSyHTnTF48G8k13VDVvACRo76CKzmFWABE4rJJE6nqOdxctKp0gTM8 xABX4k2hLkA3Bfzqo8erE3HworaEQnj5x6cqTwDsHz/xqmoBvD/gnzVhZ4AvbwCwnst0R3ufW37q 6b84rAh51qBpU1n3qYkZV1BMTpoxOVC0maScwMabIqEhxi8EPC/5k1wiFAYbPflFrb4sE+Rtc+E3 RmpzXgzZ6IvIWrN/Y9QEZ5+ZuGK9SJp1lrSAutT89XAQybfydQ/jVPV0wfP/p+zNkiTJkSzbf1uL URDm4e1/YQ/nMkNU00vYnbqKCJXdGSIhBsXAwx1S6A5AN9Nc7iaSmtVjtX354IPGthnfpRDE6v3e 5qOfKucePuktJbJzJrYq3X1qUoAgwQr1/1WB4/1RL5x/dAjYO/ITzvdlsme7dT0cgPIeSqsK+Bmx 0SuJn6KSKzuo9+6gKwkA66w1GXShA9q6xhqhe9W0Llb28W7/nU6YIzR8PkdNVWOHNmdTRnxReWPd TwxuH8meKdNxJx7R8no3cc2TOejhoOT6qGtwuNAkWreblVMUxUrpIlv+X1TsMtumE41W4wiUs6f3 XS1R4VVei/+HCy4yeAI/cPLV8z9SBKPMRjhE/LMf2WJzuU4RTmBIXlBbSaMXCNIa1q9A6TuFQIF8 7yFeP9PH8S2nsPrKRgc/Q0dvdmHkp1hG/ZwsTUy/7X7OKS6/SjFSkMVcn1mZY1KTk0I+rWX2WGos OblxjPmUkQQn4f0RBIvSPH4ZNtqs4KkpClbNTQ+/z8rjg6ciAUSR8cxKKBswEfkey6/neTV7JAJo WeDKd61EIa30WorUVjR6kf4s35NSAZ+mkaPKA4iBLkdkXnSbfXNM/8ggZBHhV2fqzg/qDViXnTF5 6eEgZlnfpROBnx/LnlA8gIy2Tde6qlfrKglq/F/xyqMfLFFcK9oZjlY++nmbpciiVs5cZ/rFha7Y M9JbLg8CevkGCnEDchiF8FGlHW6Ymc4rf40kqof/YUCiucEY53OwRJEtekBLJnL/oRcmc3gZflMm ptGVKY35Uok0BCiIdJGjulOk1BdGSBWBTMpSCE2abeKQKF+SLuiluZopSwrFWbtkJuXK8iQTJ4HP JvZ2VrgeDjZQfegU+NF+mzuFlVjaEgkWaZGI0aS5zGRIUgb5uHNtXwZBTmExVtfPkJnReMyMoL6d lQFEY56TZU/pjdGXMTrbTQkH56feHknmk/lR+rLRqtNrSWEOGb6ph4Ok8CbKFuACV/MWRg49r8DL 4wPhUgLdBI1zkZyjojjZV2j/BJZXlGPUwlCJqd2CPeHtQq0bAs6aYxA4gGTsMogjRrpENZuUwPLK GdHQjny04oGIX5qebN8WJULpJoaKqz/VpRyaXjXxcpvbxGubSkyAqM/EBK4gSQ5Mr0xvGwpS89HW Caax/YRwrmu8c7WTuOvayeWDEJ/+hX+hZjXyHhs9WCnFTHjOoaGHg8A2f/VDOY5Ri/cpCePaeu5k bU1QBA/7ppkR1lSk5MlhDm2vlo6UqrJ9/Yj4TMQ3AVGV8+LO/SydJzlw8wd+qCal+Ef+Rfqqd6s1 SXeVeD31oVYGlh56OOoAfiHnC7SZS2rMgfUVipWiyqd8FRa9v1OEnjfHuFTy3T9hYKtaddUuqhdp 17YAwHCKaBWfKI1vUkQHiMUs47xWMrJ/ZqQbd6KUjO25jVZIaCY3d/7GkvVwdCt/ibZK/eIxawkt sHbCUHDKjgV1xmVSAlQBrAvIceBJc+CAhYqfqk2qrZRPbQW806Juf952rhyRUuQjmvGWW/VDw8nV P/IvHfSdJXaU3XqypjaLlVc210DoglUenyPVVyAK3dww8MEiJB3XVkCC+p4EZVNPUwmu1HuuxAYD imv/116w93kOlZSoR0/2VlVcyzZV7aDN+oEVFP/I6GRBdxGCho0WtyHfZRfrLHo4MhkoX6VaLvj2 HLYhYyuDJ/Agti/1RSXq+hiUritmk0M/rCWpoyyidH6I0hOsapcmB2TrrJI/KgPE/L9s0XuwzOrf +BcwPWp0Pvpx27L1fTOWeoElFsftIxRe5Jb2KSMEllgu6Ulsb2CtjLS1CthlegWqppGvS1jgipVd DLpLHbs/6tjQr8swEso5qdI059Yk3Tp0iOuH75j9M/9i0LFAD9noFUpmmy00kz38D90FdUyx/crl CrnmwBnrxLYn1h9AkMHCDshm7jmwjTeM+1u+R0tYthUe1loZ/SqcIWwL8WKKFpum+mJADkTD/Z2P iYsgNnp7oIGsI0VYCY02K+7LRJmy6eEo3vcgTpcFPM6L3sqhOZZ8GAzzifGAjpOh1NCDuKklYlso RhlIqDMXH/0SSpiiJ4Fzzn8SVhBe6Bbz1hS8PYqbwz8yUtmjbAcBwEaL4s50G7r1/I8eDuL98mU6 qAplvv7gOfTHAsEDkMgL/M1j25Sv0B4ikD4toUHWGrZUto/eFUMQfsqOfqCJ3ESAwzkesav9yLjY YRs6ZLHWqoyIGZshltbKRlA5GWPWw9EVlL5hbSiC3yJC6JEFzEDpvST3mxw7FlhLwCwkQ6Ms17bJ oUuW6dtWWbvU9uBR+kC4lDbQTNO0i6SK9ItcrJxmXYihVv/GKIoT3W9sH+1eTucCUtH2RBF6OOI/ fhllqQ5x5W1ybJV1JnI3I5/glJjtIMEg1H0IaH8XWydhbGt+grX66OkyST4FSlWyTyqFqhK7iJ6M S7loqZT8fGSkcAOmvNbio3ULz3ltxN/zaj0cxbbfwvvSTM/P9gmD2yFiaHWO23DYQS3tKTjte6oE flke8/xf4b1GOR58AT3Uk3ZDvdkICxYg7ah1+6mi81PvjxrLzJ7pnlZBgUl/TsKlXxvdHD38DzS1 hbbnn9/PDgpDW6J0asxWcGrX0yT3W3Baj0V0YJpF5bephr199EOlIFKC9whuyOePUAOA1nAWScf2 T8lmQhi6ZiUpzVHTslHBCjIVVlhpmLsGtllfAo16vS5lSbXbpEQVW36wE9sbYXgWuWRJ3s6R1cAP HByaQ+uspTO2aGrKh1R9duE5RmXlcfZQFwxOhuryq3wqK/eojePapYg2+eiXctvWH87LHo4qK18L Rfatj0t0FNYKXl6SNXvke56s3FS7H781S4lUEVxgoJWE3kegsfroZeaz62l6oJt1onyKiUoHTqQ/ SGBvoJK3f2PQ8qBJoo6SjYZuO1OiIvT5vqGHo7A2fU5aWrtPnBL6Z4mMJGUn9s4ofiFn+YeaMUHu d++EeNmlE0Wkt/KQ3s6SYFLkBHwitwUEpqM9rVuRZWKq80NAtxwaaLFOoWBsHw3JNKuVK+DC6+EI H/ql00gn+qKqc2ihZXSV6p5z8MLsOEnt8lXaU78OPLTMFw4hiuGjV/VPRthrMXDXOVZsSgQmU0j7 dAutuRy6aFHxluuejxauqZOiNAg74MBGyyKcT5Aiou2dkiiaxTtlyAmPFqpRJBfBWvKWh9XP7DCJ olljNUH2t/GWVNa5HHYX3XzuCeS+5yQ/YE4T0rypSVm1+0f+VQRXBafnuj93+jRFztaGHv4H/l6K 6PzoojdoWmpYqaXGniUIr6oBxWf6hicEa+r7nOVmcQoHSg2hsyKs/LlWjA1IboWUxxqJEO9sFigr 4Gc/4vPlfmUUvKGOBCbeRuu4wxUSqTiNqYf/0RazyHNaq9/+hVFES2FyGP6x/0oKLUuRH8Z8lXxF Kg44z4GpFg0T2TDIumOWe6YsgAeryYSupzTRsjvB3VmGk5SVwNLuHiR07PWRoDb/6BS345HHOBdZ MY3wRpASG2ulS/nSxblx5byTEgJoCyZo0koSlMdBgOT6zgukG2JbKLTWMgRtUQmhXMxeY6mg2KgO 6kYvXR4spJXU3xBiuzVsi/NDdy3paoymvnLzvjK+w75URhp6ONIXe6q1VZqe4zMrIe+LYru1CJEo mLdqaxowgBD6tV/Lsb8W1885HoqPt1c42C5Jyp5zby2lRJWJlfgrqb3+VZQM/LVwgFWNiQrCqHs+ 54qp/wEB0cPR/vlKfqryujsnYTjL2ZdTv3NhDjBTkrgGOEYSt95ZCcG0Al1UAZzqA3BCFmRXPCnJ ktvZSZLCOW9B3A2ixvWCScu/8i+9wglXwEY7I1td1ypr6OF/0uFMEudxXw+MtoytfaKIokRwWpg2 JattRaaS83bF0xw6bRl8tKhe+xyFHf+480W6bU68QnNCwtXn34P22nh2T1n+iTGP5cwpWaFGi2eL AydrykMPR3XafpvKItfWp3IQOm0NZYNs0/8kB1LvrTz9SNHx6JdPBD9oilOHzAnH1ZxsJ3uYsO0E yscaltM4q8IuaykCS989Vo8Mnbb0F+8tluC+LEG84r1Ou7cejij6/bsttj4Q0hxYbQHKmOCajG3d W91Xs6D0B4h+E5+/e20Vs4VpN+ak03NCniU2y6Cop8RHW5zgcD1Rfjf4QWi1NbP1CouP9voTVpo2 RdlND0fxmx8pWuWstidFDs22dEMKiXRu4qXc6T/plhPTG75JQIRuL4l6Yi9SfRzQ+dxnspdCbXsK qTLAdpJg0SZ6ypHVPzK6k9UKnsNHWyonsjTjoEIHNXTcGuWrg4qO17wN1NByS/qbCaxol3ZBYpsL foDy0yCQm8KC27SEVVqagNkUX/ej59DQyBTKvZyLUTL+54eirYsqxUmB0kfiIvtnBsfKmdCWibts 1PvnWSMmqt60vaMq7Rg3TW6OQEBXzCYmdN5SMmIZYCMzcb+LIlcOwVbM8oGzNjDegtItnUvhJh8J OSpKHcMwQQMnog7qPMuIr5O5wJM1VYfi3/g30qD6Nhq9JJmqJcp1LT0cSZDNr8Zy/9iz5dB5i45M W7s7R26ZSsw2o3oBEOoFIITGW0uiQF3bqD9Alc7uGcBJkKmnKSZjPC4x8Du1ftRyavFvjEj7JIQr Jx8tGWTStQVqK3o4uICuWT3/rOx7njmJYlr6blMKEv9RbMqOrG26E7iAdis3Tgm8t65BW2/JR4/e ZCMkE6IzPXUNKzFsiRz+PioGktHWy2OcCvD87KPFbmN1q5uVXfVwpGNwG8psfabk8o9z4L2F0eN+ eoNVzuTZUkIX7yN5gjhgt/Jf7LeUJSslbE9K2BByXU1QyQnVVBItlM6ApFFTNaDksNgtsN8yFsOZ 2r19tCLT6uZncO62rYcjlZgv8iCeE/sBpgcGXJwFKJBzVelA4Q3JSnDLIKUniqb1q0s5NuBSRKt2 V8npgb+tAmSalTE6AnDKfuTkiip45py4DMLiXxncylPQdO21en3xRAiQulKbVQ9HlKiv1o8gkA+V IXThYhF3eRYpVFl+zprokTQN1nUly4ENlzcJpYpjo80KgPOUyduAq4PJ2Gr9nAOIW68/sCZJaen1 AcGjmpzB9tEmZSSTzznpZtXD7+fseujqJjrVvztigRvXOcbI5HZzAKmBDgQ/yP3qGowbwQV2XNn1 UbrgTf3GneLwZzUqqbTg/cBhLqNsontsbh4tv+IfGSwWCS2VZ7Sz9iw+a1PktvVwUJV8vFI4a5tp TNikhFJcsIeyWJA6WFQ5Ea6JU0nF6zQFTtBpG8EPqs7R6cWVh8i/0K8bkhauu0hLwh2r+deWR9pA wl96fSRgpy0kn7L6dPFJsW3drK2Ho/L1t7YwlLarYPfzGql0850lqlIKJKtGV6DOdimdCesuUPYa p3RwKsCV5Uit0cv5s4NkoiQzBxevMkd9oRwZBcioH7Gl1zClu2KbYikbrfi2OGB+hbzn0Zfp6CQy mg7aYJIIh7xCXsgPEE3HWUd7KYxFulR0bSjvC7aZtLikKqK7J5gO7Ru0w3z0TvIJfYpEcpHzOvtH EpnC0SUTq74YY+md/rxGKJ1aPuVcNPZtvA2lobjrhPjz5zU+6U8lJXtn8NwlIFOYjdfwpGfVhbZK 5XTWpVYpNAqzMdREZlkqun8NTrorcSO176MvDoQcsyqus6Euriolxxa4qAJE/qIjp77vfW3Qm2hS 2bHR1kZV00gM3PbzGpowj1Zrs8lY7vAsW/RoZZDRTCdUqiljnWMJ5atWQCnOfMpf5yIrw+oy/rPR 5mJROmkk3Wd3Nyo0VApogZt45HrKJ6Z9+RqTdOQJqU9bCYWkRT3wc9x1Kx6ef0/+eQ1Juuklf5YG FT/KBHLMC6ZjSZzh3rzi8pn9VHINkFYvy+c1GunOUDi//fLRN8rJ9VCjIJjHVzgb3As2C5IGQLF9 o5h67msswrlBRbHJV1ijJ33WU/2FufDzGono0eSzMeEin9UBE9Dci6KdUtFOqSalPNn24h/3KgeQ rSw82ep4DUO60Uz+73ScqCidKGdoOigpSwwS4CJQBrGeVDExI9af1yCEn6sKlGFizO5vWE6clLax 42r5eQ1B+sOkNPZKhlP3S5Sk6XgNQDh5yVooUpnSVt6luoW9YPUE8ZmeqY6O1wCECdGNIjfpVuud kBNybBTV2C7qNnN0VAL2oZXyod1WfWCwWfAPrYS2NtrJgSiv1Y/OfLyGHhw68+sYBRxN/qXZeI08 ugfvc1s1oFN5tSh1TmuR4sToUepr1KFjVPKhlEjq0205G+gkMQuh8vNR5xRB4bVX6ThPMMrfUnW8 +/1KwR2uJYSRbPR5Xp6jnf/w8xpw8FkmVJcl76hDyhfGaxmNO4gooLmlYzeneiICZPasXWGoPqSU glNU9o0wsXz0qThxaVnk/Cfk6K3JdDITgGWZgxU5SDvUsekLg5UhFoIcW9qTTbdzQRmfeq/x81pB 083vp+i6MEcq89JLCKYDgO1M2U0v15XJaUSkWhlwY10mJwo3ukVfw0ebDgRRzi4FTHEOnkEzR1Ui tmU59wSNTw/Tpdn3WjrrRlusU3JlGn1tlKFMFSzOz2vhrOeLupE6XVIITM/Oyc3BfFBayyV7k++c II4nL+c2ce5BebSl3qk73QsiKHP66Ln/ST3rifWXYo6hzl7PFJIzZ7N6wZ7mNv/IYL8gYyjWk422 LvjRjLrT9GywYaZPSjeFWDKm4ZMSxaSkBAOvHZw+RhLdgN+RzGIq9V9SwhQ6OJwSAjB2uo0eetBP NeNuAARNEnGZ6ctE/VN2a82KiQYPDjdNlc/09vGmANs45YhLvTN3OE/NVSmrHIIz1UY1RTPyHpea utQYw/Jb15kGL122nyJTqmaG+AxmRMuj5Wf0TtaJzRfKi5J2PEk/PxUwZ5hSv58gfWT/wPf5wBWi nhw7++hJYkpWRyygpd8jU2S37/2ieTnrlbqPTUgUnPJPqUCuhF+HuGk7Vpf96ADrvd8ZnKsSQkEE 1kefkM2tktUaXx0pIeKTSQzLonsE2ZwZ+R6cGkKjTomhaLRkn9xSZecTY/28k3W6ERXsIFFlSPAW P1ffyTpa70nSls1bwH14C1iWOfz/Vao7t7QaTAl/1Lmt6h0vUK0T/8sSBbVYaZU3QMKAs2XaaI09 Sea+U3W6K6GwA330rscqrjIHpDGKUI00wtrk0wm0bwzyTtThaD1bcUoB64SjU1aMIqDjCTKkNw2n pCmzfQ9R6YVoceTmo80HrAuqfAJJy8XQEhzqaen3g7KR2+U7R4f9uNf/PVUzcbPKZKnVn3eKTn/k ts7H/co79VfaXZqOMEId4LBE+UMtXUoWSufalarvD+4oClBlQoclvY/ejYCEnrhhkYcZTWD7SjtO xY91yx4iqv2803P4m6iq79bvqH1SMe8TYnyCUItC1Dz2U/ioUttS318TEgWp4jEafkQJ3e36jltK VdxpExJFqdIXr3K80HjXB44ZJP8nWgauttX/ZFcnA5g/qL3pn/g+JQK6jiKM+QOmreeHsl/5JNI/ 78Scbj1GTYk1M89Zp4qs/n3vwSqw6PW7yrIG72pqREjaQGcpFstI6lnPN4pWCZS4crOPnuQWfD+H /D9Tm3VaX6t3AwDnjyN5GfaF7zNCjIUsbvXRQhFUq6Wo3JGxiKLVnW6Wy1ncJEPiExKFq12/mWoD KhJCWoHLhUvvtlNkkjUMVZbDiHVK1aBsH/1Uxa5kdW2bvrecQBAWwrBOjj5fpuTbPjKaEzB2FI5s 1MahPKQFSWr9807J6Ua2tTlRXblI3tRrZFHMCm4e03APRq4MTFkXPD+QLlB2907IueHZOfeajxbE 57U3CGCmBGSAJC6qpk9yrr5GAPPp3cHBSms4wV610UE1a5pJwQkMft7pOP3hD1tGQ3BIt1izEQWr CNp36ViJSjDcMicpzTCN9uWth3cuTndXxIqxh40ev59JmmwcFpmExswVUwsR1M6j27fVpnrn4nTz vYXCP3x0lERS0kVZdf68U3F008xP8r+reaJqQqIaKkUhyk7Cck6demrxkos11ZapIahx987D6e6q RD/AR+/wphOYrqLlcY5TWxhZXdJu9danFiKFk3caTndhxxPKJB+9EzNNMPGkff3nnYXj5XmbEJXV lklba0bCYNVis97dlC1VUyKDS5jci2w3p1K/k3C6qS+e+2re8VaHaNdX2V6ipySj6TNnVebGlAeu s5KKh+8UHN5+7iii4O2jbZpOEmzB2fp5Z+D0R73fiiLU61GKtymJwlUYwniWaB4GV7H5Ki+ZgTCp cI4UrL7zb7RXz5/ahs6QJ4Biz6AeDmRoFq7eTr8Mi0Ym5KEkDZmivNNvtGU4Q3BUsVFnakuY4VnK W37e2Tc9f1XadUJRv5wevr9zbxT8nL9lX1LF8tIZfeTbwBSITgskqqf2acFq9dGDkXPXntgsyd/h TBSt6bNTNvNh8dKNzpp9YBCKAC5TlGTjBf0NicOeE6j+vBNvmMwbrSoV63I+8Pl4j1bpjbOA3XWs y5tH9ZGu+ZCz6jMf79EqOWnnl1d+l25+1/DJOVeLSPb5bPlpVRF+M2raEn2/TlPbPvH9EKFCWUVD sNGuMGj7iiZW2j/vtJtusnsWnFECOF+ATJ3NSBSuTpnH0e2wlWEq/oNDxN2WKmbOvmfeA1bMWJHE SPTqNPpH55Pc3Aj+BHDytG/YAYoj/KENDPvCYEJUKejrjtozew6TMzm7Z/+8k2685PPpTg0j3Wg+ /lZYnbTOs7RMmmnDWiXRNOqBEti9+x6rnntA+Ywkb9ojeTMGjoZTjKOVqB4W3cswGWiWfppT074v iMroM8uAyEZvQAwrJNIw/3nn2/DsfA5UmbH8glG36YgiVRDxY/kBMsSNswrRhcXPVj37f6fbqPvJ z06v00Y/QNpqVYn0SSLImcGRNvij0v2UrtyXYOE72UbLQwKOa/qoS3duUzfmifHzzrVR8uphqkqs WWIYfsW8U204UodQP87xTNVnxIy+jZTVXRTpnWfTnSfQJB+t0RZIQ7V72hWzUOiThY8EGs68j/Lp 5Tb7wKCZC950UrG3URPS1jYtcvx2f95JNh4/+wEiwYdfdFptPsJO/xRt/lFtLNzsZDDJYEQciATz NiNxXVUzku7ol+5CuYTyKSprKSWFY3up6fMrFZJP6f2dYdMN4yJeio/Wozr/ZLLkrui7ohP13jGi +LEKby/inWDTTSFqDzWxt+EyTaGeCoBRxkmtdIS882seLIi0ctujlQv8vYHEEk70nMqAYHqV+YZo ax+FqG4fGGQySOWfaCz56PCysbL5B/X+886tUbiYvy5dlojI8ZqQKFClfDVuk26IQ6VDRNpeOkTU ltYCeQ9Tby9iKqOZF8/Tzw2ZsC0V/uHElcNkxjj1BSjPj4WQHavv1JpuOFzeY/6O54/nbzkxWjE6 8DwH9s87tYYfa6/Pucq/tnrh/Z1Zo1xSPqjuc9Hd6TGnKfq8lO7lF9P1jiBwV8Oq6k31Yf8uSFhb VGfIEr1b4N40CeS6Ph3Lvy+qqTZghuWOtkLOIWIrpLBC4jjVD1XVTtQmugvkPUzlDD9TTDRLb1vU dplvdWkW0bZKlvqzU6OaauJc5sfz0VN/bNlyl/DErIhBiuEo9BRrfHzoI2vaJwadCHEqlIFotETm JEhmA3zCnJ93Ro0qRF5GNEDeSVGqhyHvjBr+dcU0q0x88IRlyB+Q2zXc05qFZSSTWiJhYVUgiKlA Y5ZbACDrOqeYimYnehpbsi0Ci0NNWVd7glJzt4+MKkSFtA7nYY1+ja21vILbft4JNf6Te0WEc3mZ fZDmJApVhRjEacGTmSuRta45TJ/Lub/vdBolM3TueqYCYPZB04DvqyfVZU9KUxoqX0jbcLMBd+1p PoSaNO0bgwZeQ4MDeKmNXn1fK5uw5y4/73QahXbzMyV83FMze2fTcNXoPLz9KmpGViWaNT0wAO97 v5NpunEszsbgnNB4CwDnqoHtK0gE/U3+TVwzTHxLD5VG6NR3Jk03i/XaVBJptySC3NEexqRp+rBg 2+z5CUaIE+c9VqNolVbQlBsD4sClXHa4yTVOrxHZComqqrPoaMb6oz0unSAA8HYAPjTQViBtpl0j asTJ5lU/Hl/RWVRVbapJ05m30WpEe0xzeDkp0c87hcY/7VkgqshsrzS/E2g4R5JOjzpvjUgKPvQy 6AfJzjAjLa+62TuDxgEZYEGqjxerS6WpyXRLNE2BD2XnKLBReYxRrUXzzqARoLIiXLOzRsMiV7o/ CuFxtP55J9B0M8zUnLBWCM3aBdyFMIAms7pp+oOUBI0CAHfsd8G/GiTFMjR8J9DogtxSzq8+PrfN WShScJ3aPsjGFCwTEZeVJ+etNa9q3xhtmyWO/PTRmrtnRdu2ORfwzzt9hv18oRH8bzc3A5uRMGBd 1Oeb0XyHRAC1bVIZDsk0Dj3/1qi0KoZiVdhaV37KiLmemEGqNQvMf5cvG+yg87ttEu2PIKN/YnCu Em5MNABstIDk/GfTvu1j/byzZ/pjquTbJslY1mfkPWIFd4dtQXEPoTH7Bf6X7VdN7440e2fOeOv8 hCIkce1aqIOvgsJKDemkRQt3RslzsPTwLRLtbH4umnfmjMrhTAWpho12sJ4jSsgIfoKfd+JMf0xz PF7FoP12Nd95M911ChHEuTiAPp0Kvma/OIDpDYl33gxToqJqz9VHP1vLOf9OwrQEcMltWoFtKrig MCIBp0w6rHcHWZ6EBrvxgR8bsrNYhFk9KVH5eafMqEp9y0QcPitLX9HhRO+cGYdToANoQACpy4gH Dq5qELSigWXF93fKTDeu9TkcNS3106/aS1YFVVnNQouDY4a0z5r4VgdQGPrzzpfxOkATmcRGe/fe 22pfA+BuGLHWLxwzrNeePGJ958rwCIeIemFK8tyf7kxjcg/QqW1PKPJOlbmQ/wbExsaLrkoDra8q XeTznPAaSl+Jij+GH5LveefJqK6v2vvaPiaP202S4GwDPRv1My8QwCIx+hF3QqJwleSzXxhEV59E BZLlIq9Z2HXleO8kGYWrgnlqw6xnw2CAcsLULvZdhwHFhAwh/lWuuK0Z/76gCqDkuM076t2oXsmj jXv8550g842bEcSFRvK4wVkLY9VzeCwhaM80UPuzkGysYr3Ngv6OHyAhZlV/6Z8UGdLdYVaGExrA lLw29mFZ/CHD/hOa+QcGl64qREU3Tfk4TY/i4JdVeTYIzW4l0fpsAP/vHRMGq9LJVLbluX93iDtt xk7hTA7RdoJExVWiz5Pfzzv6kXoOkCnxb1gyJ7qsV2OPdXPu3f0UEy0QicJV8k4wwNNHBSIJernp ICc9G/R4ix8ipkI4CTK8EtDDeFU+U8VLRfJmNBBAvaWiUjyh6e/RKve9otV5R0fy7o0KC38pOk8r SxV4ARKE0INc4WMclOwTIyKV2KrIwOdHfAAVe29d18azEUYzf25eYhcqETYjYX1VNcBdLtK7XaS3 JFhUKrpA3h4BAQbxe10WotWnPUOWVxTarVQ4SqQb3QBYZaBtH6BIsi8MwndSmsYhZKP2zbnex3J0 4uDZiCJy4UT6ZwfZ852RMFyt6KEkocp+p/xZBPbmwqzK8qa8QJPe8f+CsMrI0FRJFaFfKXPoLhSd GrttPIK2KF3o9VFlhFtJevIz32i4Cu1IXfFkDzwbMKrWgxUh9KakkS+iqMesKokxSkiRsixJsJEy ceIpqhdJLVbrJApZ57Rgdfl42RG1cE5QNaesKn3xjM5axpUFVNG19ZAgZ49iVhDK4B3vaMWRkx1U 4+S2wrPBAXuD+AvUrPcoiZhVUpJBXlbpHRyPk93BIaX/26x3hRCcZiSMWKmgtSLkaqlPnrfO/8p1 lZMEAyWluyaICXNi/bF1ojrrkhbaRuE0YbVk+DukQPgXnc1aeDaYkZmfO5h/cba1qTkJY1ak2+gS c+H2pSMEmQC15VRylfoHN04P+VWotlbRvOtD8z7v2ifhZdmhsJoA9LJ1mm+dPh5w4ryfGNzCVWDt kXy047X1IcGrkwR3ng22zrywMypGX2jeHtZZsSQvbnPZt8RE6PPQldOpcuXj9I6IfyeCxJ4++umK vtXi76ZTU9z1RXL16lKsj+q+AL09qrKqZz3VmJztivrLXDRb6jt5Njhe+41bdVKdj4POZVPyHreC 1pRwhIRI5VwvGXV69lIekbz8BvOiAzaKXLcOkalQXb+4om0UbPFTkKgHB5JQnUSiqM/lT+GZXc3L oymRsxZqwBqtGrCnyw6dU4lnIxre/qQ2cCsFuNCMRPhVnL8GNeF6VsQQQJvjQ0r2unAGBcahgyTC BOjCaU3gYBPblUzESQEAjnAFZ85BUCMVTnGWU0qf33XWzOsjYFHWQTJ8vIlkHSbNMxrP/gN6pkOQ 9kS6100Yu3aIIzKRKijuV8dZIXms1s2UkKNWSFRoXWrVVJoTGi/O6kTOI4mWeFaePOx7pYs1Oc8/ 09Ht+4Jczzh0Umotj1LrOanNc/pcATwbLRCfDp0ZXdrPRtKMglbZOEEssir8zl6Fl3O067S6StGI SqxWf9+t+Xi7eRVHPtnfnMNsSnZRqDNwVap1um46bSjeHnGa1Qitw0dLbdJEdYKZOTfvCGPW26lR /ok6dLvQxBEFrUB69hY3AsbPzLcFzqyL/o9GjyqK468l1rr7Hb2nlDHtIa0+CcVoLBKJsFSJa4Pn /4isJPvEiLkKKmDJvm5dA2fJP9vktMGzUYtzf3BWmpFbDRghfFWgAAoMmpFi/msZxW5Kzcrqqwki jKjGmlUYkW19azqtJJPRUz6pK9V1qPPTOjVyJBdG5DauOKx4dwDEA90sgKuNjgnYy5wYd808G1QD +te1y53bb4F1RPFqG6hyVutXDTPk2zLPct3n2Zc7mIwoWrUDZNG9bMtMAEWWW/ucaFn+yvtMquCc UEyKtWE972W+eHfct2pSo7TRF18yABqiRzwbHSDlg1uleDAu72xEsWq3U86AEYDCXD1kF9evOsFn strIiEJVpW8cdz56GHKSmLQFl1mIe6EVyrFKVxNC/s4fP5dmnxjcufymnbAA+C5ZlsWqqypWHSdm 4uF/dGmEs4I8Nb0pMcJQ9dwuZokAEA+rUIUj0pQdFEesDqgVEoWqEs1oHA42OgD+hGZpUXZCCgA2 b5a4FTA/4qT88RQDicTrIx6N+jnqc6XLPNtcYE7qnTwbHCHrKwyROcO+iyQEr1KrUBm8KGgf2QtG FRgDpNaK8rOLAUTw1QJrCF1rH32ZnBzkHBfqXJ01U5rgrRiJ6t/y8c2ibMfLozZNpWj/jPflEtbl FGk8G4Qh65bQVCRhBDFlcxIVWVE37brRMJqW7LzyX0mkMEud7F5SRCMKVVUFaITKjMtCMzRHTzxG Riua8ypSKoK5s5FB5Ne698x5MW+PQhHkEgWSyB+QRK7m4HhSxc2z/2jUcLDK08aLrCMKVa3j6OqI vV4hvDRnu7SR6fDVEYaq21wspo+O11w7g04kMGs7VdN+pjzBNkCI/gGf+RdG5TNwe4XGlUYPzUDU UxpZq/JskPI+giJXrnd4l2aEker5qInrBTDeYR5qlPwEKhQjAJql3bt/r7E28Wgea/mRCGf6poe7 KK4U6W6u83OD1F/Ca45PPXGEPCsO1j/zO7QpxXI7oXvh2ajs3L9uXqpe3ZOZGVZY1RJOlDyV3lHJ rUIWoQol1og0UIQsmrFWFaGI9IvbR78Yd6LcuL4QkKSKwcRpPS30oJ70TmpEM8QDNDOdKD5a7ti3 ayWelIBngxlp/ROa8YvemsiMYtUmUgtfqiME7SSDAwj+Yyrx5O6aj7DCashVpXf5iryds+dsm4Rs IqHZSQjUmJCQOCsXiffr0igazYypVqR3CP3Y6L2auswR+qSOPBvRaC7ImZtGyoJ+qs4oWEUJeKiU C6nXYJpTgIDr7lN1H59TdYZcq2r19+SjY0YyPz4e9mhdJzQkzwqRxGjmiFz/28ybYYF1iqq5to/W l4B1fJGyPPuPCVGYVlCsvhMShaskC30Uz/DmvEKAs17oWb8AxRkWV1VKbAqP23p6VyuLuFoVbc9t vT7gRZIYfXp5gq/OEA3Qpaiw72iRSKnTNGjbKjwbqbt9R+9nfcDysumIwlW6EOeHlALAlB6i3bal mGQCkUzRCul6S1A3M0UiIb/rreKcDHeME6lWTcik36PeBW1blJ1vaGYaXjMkWiV1/bgeNBrRKqdu wN7SK88GfatWPhOClvtcfsvMMFjdkq03CdGVzVSCykE1SeciQUwV32eEBdAx2ShP2ehHaj2BGYLc 5Mz4lRcJ1GxBIX/7J5+x/RKFqjjHnvgjTR81H+egz9IpOifU4NmoPjQ/+Qwn4PTy0IywAMRtY47m ogB3ndR6hZpmF56V7RJVVVe2LsT00WP3sRegW8l4IWQvDVbAF1CdWv30NXXpzihQtQOVaNZGuy33 bq6SMBrPRunuRYtIkGd265VpRkKaFYCi1qzKTn7mcdlyIkmuq3hBZIbaVdUoeNNHP+kaqpBEVWca 1rnMJBQIzhW9pvEJUwXUnFFJVaXygdeDjdaOO3G7eRuNOnk2gs/0zwKR08lzw0RgACK5VSXB039X 6cOcsKQH2IQrgiGsAuKM4tShPq9QhxotTNjnCO17S4X37H3hE2HZYCRLU+6JQcTUnFGY2hDJOEts +2hFISjouiNOHsaz/2K//5rgbL95zAx5VqYAr3Y/sIAmGiJqIlCNTDETHZSmIyRUBFhmNDB8vFEZ rhL0gBHSoK0pKUm038+p8FSYG0cw7/7LjilDSIBxs4LKeywcnptng6Csfy2QAkf3TsiKwtQsl+hq aJGhf4mad3N5RWQaOeBsmBUBAWjQwRYpPnoRZ2ANkq3CfIKbbS6EvIUKjAw3vSIiQdUVFlUtHFOl yAqpSSpvQy3JRpi6YqLVXSISmT1xz7yR+wqLqkt/iGEyCar8DOlu7prFFNKdu8I4tRs/ovrocJFO C3CKJZFbHlvC8DSe1OiGcnBFmqXFs2L9KqAAVaDveqdkdrnlJvlu8GyEKZqfsCyL++bX7gp1VVm/ VQga8N5C/zOb0hviAoZ8b8nd+hcSQPIiqldycmBvtaVJSqtt7C73AOG95S5HgvHRBMy8PojMINBN FURnd5bOSRxXNVxhqfrzokDVL5piemQG1Pc5CclWVUBVulwWolKrlsjKkj4Ad7d+TK2TELxq1RAx N9MjvMVc9EE2VM49nIbKlgXXS9RMhK19ZqXaR0bl1QH3jAxPo4Xvu+oxylibZ6PD5NIkOEwBjV82 zYpFVvFAxskVJADMsGW0b/a6MjxZHXDbrJBupcCMBreNtwhAGZW7ilIIgqtDLhPToGc0jy+NVQHJ ipAAHN00dqaP1uUdy8BnKKnxbFRdfQDOUgmsV9ZrRfHquWmANSc7SsQd1mKRPYaUNLNgI0nv+AuY F4qpj14mmgtahHjwaDYhUnE2ThMrbpse7jWb7/aJETRCXvMUUDXafbPPJa6j5BzcPBuBRdrXUZLp bNz7JoSvAsZK1xVNNz4zUvJ2vZWBOJbNSBiyWqpC51ujl/pSX2eGFcGzRpLumz6ty246HxfyPewT A8CmMVglnjelcaJ7d0o9GIRu49lIgMYL8DpS0CccV7ZphXwr+bZxm1mlaDhjBJOUJCnrmtv06uoK IaxWg39GXyY7gQxWpQhpgyITU0PrnNtmfcI0Knu8PaomiiIhJEBZV/z+RH/NCyOLZ4Pj9fKLNDWQ aMu9gqOwlQNjJmn+t1+VhUyE5hr25LWu2tkKy6sCWekPbuXj2noi7XE2jxKbuYRVRd5q/+7zZfvB 4gmEycsDfUCRs9a6o8UkuyzbNeey+1lR2FrmzXuZF4DtF8O64urq2SzL1avHmH6O1HJDEkGs1Ple YdAqXbetC39/eBI6R6q0I/bJgLE/6tAnfnG21eK1Y0TsP94edSTIgPBwtPFJmhyUWgbP/mOBGP0G ARrPfHcUtiIUaWJIoDVzXhetSV3KoABXUXOHbCtRRoravGU8dy+4iDWT+LQnulpNUu9UaDFIVOR1 U1//xCCzSSqasZzGc9NgyWWBJxqjO3QCuOCZkuzrWVNXm/c9bkVlgTAXwUti+b2GtXiLFWNgSpwr Pbk6bywQIHkIha+mlqNvPdHYFTs7hwemGnS9LZlaEuf3ZbJVH9khhlXmYE2AitYu9vtcX8V0aPb8 2e+BqwkGfW4bDA6SB647DFzb2dNTwu4y9O0erZ1zsAibKECdXTc7jFxtnZTm4605n+s3bVHi07kU lqDhUual2vHVttr2hcGE0EgsO9/RdyUqgYpbT7q3Q8JVWp94RPVLD9B2yLcaSG1XYxedTDXdgvN+ VCSTI2h2CAewglErPnq2t1EFlXsX52o3C3ESWMRvES95KvDbvvBv6m98mY3WtkKOQUHn+TyejYDw X8q88jMafvfuELxKrN8lssKPPC55ZAqyWSQQ0P0cCbGrqr1L80yjnyMnlzk3mHy16Ohl6QcCHxFk 5DlF9Efy8li8uXZaTjbagVhHMxWIk/3y7D8UAnS+0sYXXloTEkWsBI9TCflZGFNO3QQlXSLMkhtR kUErJIQDmJLVHwXndm4XyfgRTFEm69XbwWz+RwwP6JW+LwhETBlgNx8tXG3DViIIYZ4N7pnyNCSS iUe0Ox0RFgDgJCX2aU4i5yA2oSK0L7IIJRg+e411R1gAeYOjcuHjDUXawuyQg3nhbi5fNKtQbdEh H82E7h8Z4b3RGUGT30YrOp/DdLm6xuLZfyJGiH0+Sd4OoQBT7bt6oQDNsc1CjstEYi6vGO0YCqDq bFs+XhTemZItR5XJRSkFsEzDWvFvBzDiEbyisx1hAeC0NcUCNjozpUgVnP7V4NmoYvSw4YHQdIMg aEbCIitYn5pcqkjMUB0ig8dMHYDMUYfI38EA/4fXCzWnDmOxnqA0aYnQa0ecp32kioikeXkkM0K5 aPfqo1VwKY8I2pyWng1yvHTbmtWv3X4zmh2FqzDixl7uPbM1DVwz201aoVQ6rXdHYABr0Gz1v/fD UUz4sBYF/6NBWexWqz9LXxD4p08jOiRvD4IzUhDpJdlobz9r0VisJ9Th2X+IjCoOKdtuNyYkpyhe ZUZO2k+PTk1vxQlUi9BeVm2kZlJZE+dNYcjaJYjfm4/+2fUEIEVu4pNuzZIsPs0BPJJ/hSi7QKvu nxnQ0OCMZJrOZwQprvVxFmGVBQIcfD0dwWi+/CQgf+d2Ec45hbwrQhJSovkfqHkuDWMG0BvnYJlE aNUMFMKo1fSKJTcy85P8YjiaKcWeyDeBMxIs4Px104rsHyba/caoC65wRJK061ZzT9onCM7vSQua Ho7EzxxMszUrC3m3OykxMADmazENePq0t7FXPECZVoJO9pIAxtrVpajTRy+T5IUHPYXUuRaa9nT2 lHAkHRD9qaTpkOX9EaAGTGORdUBpt3UzpThALN+6Hg5ik6tvJRlWhKvuXWwAwvdnMK/ZRn0+uzA5 Sd6k0IQOmO16bYSmVjpX+rzjrZOc64FWu/wfZzdWI6VySlo9XVyeuHZ6e0R+Zk6YORvtPEiu23tm Z+nhCGV0mUaslKUO952TKIBN1UpGwwF5cxo0r5Qh7LPAiqnftRJVXYfgEGPe8alDI5avtZJHgaIr 2oSoTHibpEfC2PyvU4QTQDr8nEEW9t60clLkNn4rwt8pBArk9YlR2Ir9WSph4fX8ZBN1iYaKMT04 cw+ATTc5d6WVYj7PKa68CuH9jE8cW89x3ocZOiXOk15El82UHJXwWw1pT//IKBnW8pJyQHmUA07u YcduzU0Pv09KTV8ik/wklCh8VsJoNsH6lYQgZaRy8QIKp5T+QVvypRIFs1OsCHxpbLz4mpMDD9k0 UH0dMh4tYt6LQJ8eU3DRQPX+IFjpJhGXfbzN1WLSTif91MP/IHXqf/kXXmHFnEIBAYlDbMdytrxu DnhFSKppC+pYia0EbFaSjzd+W8h7q5g09qziBVY4O+QuuTwI6OX7J4QNqDKp1TBsF5MW124p8rn9 mx6O+lse0ur/YJSTn2s5imkpZK4qwt78XVyQYh6NOV1CH9Pgu1QiGYEi9aYlL5/1dLe6DGuGSfie iCSpJi07XY5J/ZHOczWTlhSLtHLadl3k/Rqln2ClmfHMOYD1cLCBLn3AQK5JEaTPShTYyj8sEWll wQdonnDGFukO4R1XjIoo24kUlmJF2vtD52mc9OQcT1ST5W4kAQv6/lV2fnKK66aO3vwjoxIKxwq0 Hhut6Ve2gj/Wz9TD/8gHs0W38FivVUtog7WkJpBdk4QrwcrTMhkRA1jUFe2gwAULsxbtPu2g/Oyg ujQR2eRrz/LrwlvDsATXuh6meLdZCVywzE20STDLRsdVlJSdTmDfFhwr+wsgDme1XjJjDm2wqEb1 MpMLCqR9S9TZNbBbGZ4V5sAHy07sDinXR1spgw7OooHDYYsGfnOlFm6e/AURn/6JETcLVfBq1eXb WkzC0up+HlUPB3HtZYrnbA7YFI6eWQmtsLiATZETusl0vElPj5xtuv2/HHphqQHYsorU+SlSQ9Q4 u4/bBp2FjHX3ORXE2CdDax++SSn+kZG6oMqVZsFXrQNYEaDTbiKY08P/aAFq94MluUq2OfDDYi4J qbaX7onivNpWwblL9qm0le4WCmPbZTycO/rVSQFS3BUgImVL1qWg6UMDiZ73U5sd9zP/socKkD8b HTDepzlRpJL1cLSH6tfVrObsvYVCW6y1lP6AMjl5EzZnTayCKpVw+oDWGO32kqDY5D4U/2Ovd06V ef4cxM0Rcclt90sryEQaMiC9kmnVPzLqoMOSBudmY7LV0qZ+7rq5CkJnrHJV5MwrTCTMOyuRjKsA SmtadNJFpLRVo8K+LMeuqm0OvLFImduLbBr1spNMc7KoAMf8dI6EXx2wbX7Y9Kn4R0YQJap1TUJr Cq6UE57d7RL5s+jhqLPxjeyjRPzczYFDltEBR3EtuZGra8kVu46ELHjCuNAiCxomXmDTxyfkx/VS TLYOPhB0CvYJvzAvcDC5B8us/o0RflwoJUpLGq27UaTGSLdn27dFB+4jWIIvBTrxzwYKRQaklSHi u6WH2VFKVb+M1a8fn6zAKMshca2INV0e1vSJz09kQg2F9LAVIbaK7gXFbOW5nJXm/eTQKSur3yNi TXq6HK07QgfYix6OcNO3lMCvirNRfiRMc2CWZUousM00McOIwhSaKX5JC3jBVvajJYTGDkX96443 ZqlIr3IyYZIyl1o/JJz8YvPxdIGrbG+PtJClgITpb35Mf/H+aFZMwJUxsMv6ciHUsYhyWHvmJETH quxUjXwyzEmNOA5GgMVx9RLsc2yX1SUb17uPXmAhtN1KcM55fE5y6t1FtX3h3usnkJvDPzJIhbhO F2VDG21Waskme33+Rw9HQf9tASkO9SLlvZ9DzyyBa/JySWQT4ScTqPOmiPQnbWJC06ylKE68UI3e BSLyEvT5TMxaXb4HFZFWfOf2o+Zix23omiUl8TGktT384KzsT60VqIp6OJLLKl8hS8PW9Mb8oXEW zG30JAVa2tvsfujgI7JDSgS/1fdP6Jw1pd0npViNHsidP2Eqn6AxRjxKJL2wWiU5qo+CmOxC9fq/ wGSnJPM0PvBHpb3t/Nd6OFIB/sbJUt5d97iNzbPOEt5odiJXslXukisyijCyJBhJtTitkzC6lfBP F82894d/0NvacqlAAAktI1Rn+UtOVrRtRrRUShYCMgf2WewB2oUNAIVGQ93M7gjzExjo4Si6HZ8o DpJMzldILAcOWlCfMCbIXneS4a6ZV/YHdlDX3T4hVFa1k12nj15MqLhIS+ViYgckv/kMgbfAnxFP 086VVap/ZNBZlicwyrmMXmJZpVku00u2j4uoCF/TgpKJ7niblVDrlV+430PlKjaCM+8Xe/CctoGL Fl8tKc96R5+V8zPBVaFNNlPNTakSddMsqo7tn5LNmTC20eKn7eDwbLQKf6n+SzcsXwMfLdNWfsKV Zppdz5yERlrnGJ1TSkjppJPsEbpB0kNQoSWxOyw9DJ20DH8gNrHGW3ZamN6KJEoPRKJz54ik3U5D 7Kmv3KM2jGx1VyX5R6UrJltxHta9mpc9HCyUe6pw1O7vGC4000LD4xxT5mVCQCsZIGmLdzM/qVCq PIYL/LQu1LzJzeIatKKMdP6IKUE7FNam2q51gxgfWdePhSp5+zdGHXdyQroANtrLz+7RSXtiqqGH o8D20s2LFZ24xJ47OSrbQksaLH/rBuUHW50em4LxbJ+4bKsw5Q9XbWhM57Snm4HUbjb2LGtQtlLl atALHqO3B7PSdMsYMPq2JU9Y7EaFk75h6Kn1wET5qXSa3GZQaKql0KsMn5J6nRtSu2HKOdmuGX3g qgXFWutEJaX2WOKs3eTf0EwvapojAnckEKoxnqahdZhDXy1lj03G2u0aa5/orRpuJW9sggNjLcMx fJCz6j491utRTEtTc+xlJJ6pgrd5FSwTszj/ynx7HoG1Fp0gydCrbJsv4rxP7D0Xkuni3khgrktC NWs/8nFTsyJnL70/ghOrPVKHj7ZQKqxlHbRt6OGosHLvHjGMGWe/vaDQX4uqCIvSjdfyVimbxHKb vjglp+TaQDm02BqiOPVn9L5HgZa6hAY8/8RCIwpNh6bFaBXK/NFtzKHJVlZzjI6kjVarTQbhBwA6 9fA/VGBtqWOfckOVGoW16EwgFyfE6Khy9UAwCaM0UQbn7tdDuUZh7ZLXZ9WBWz+SWmdpnI0kr0+I Q6ofwN/6nfhGwCmwCwg5HXt90GQGM4q1nY/3AnI8QSNSqbGm1pfAJwt+XfBKbLR1PnEQSVnb8HIE q2gG5ltw7T5y6LRlrLol4b21Pydt66uJcT4pznHjw4hDBY0AVrme1bIt1g/NtqZYp7Jd1qiodiKV KinYkYYeDpLlx7+QfwK7M3IOn5aYAdYR+bw+OeLmS18hXdNPJs5O29hvS7gv1RHqJdAjq3CenV3e wSiUlGHm0mSaZIb1QQZabTLw20LsZ0t3rftoB8tZeSZmeo4tPRztn+twYYJeRF93UsKgFt69ySho Mog5rZKdXKqeQvqzWmJzWEMb/Y/vB/Ji7cT4Q0WEipRjdZcpkBJQNq45TFr+lVEdjuqBvC7X9bos kF4vzmLo4X+ybE0c5/GqD4y3aLTgEzrNZQoFV/cwWMUyxCKhRcsLQ+ctFyXM28ebLJ8cbpQhUfaT AVmaRAta+hsfr7qy/BOjK4j+GCefjVaCKyZ4QClh6OGoXtsuaDIJiV6eQyWKalFQGnMbnmnM6kDj aqgnDhW4vn77RFiEJvfuqs5hfWB8qbWZLVahX415uEyEJMODyFt+tk+6HxmF+vIiL3e8DTJzJjsb cuvhINS/dmRm4YcSWLpllcB8C+YHuaBLOqAVdoWlugtLnZ9z3d0TR7VGeko+PhCKjTaHkDdlJF3a FXdxjKx+JaZsEGwDI4TmW5NTsuPzYKOfWSe5GlbFbno4iuHad11yyiDVJyWs1U52OIvy3MALURdl hQOzdTlxF5iLfimHca2BEf7QUwZSV1Y3f+UTtmwp+JO2ZCQjSy2fumT1j4ygX+X/QkozjBETVKaZ GnpwjScFkr/S+kg75NCFixDqXPkURARGQCFDh0mi9juI5XTmGMopNOIy58I/kcdnoxCgi94y1pLp DLrtTPvZlxTuHsGL+5l/ocr92TTEk8fukKYNHtVrxygf6AoFzHG5gzn04hpCOYnT8VBMiTm36wrR yLmNoMCKC+YQW4iEw0bfQlWADQHi0tlQS/8UsGOZMKjZ1X8fu/YcWHGBUwLktJ/RKpNwp6WLcpJP PRxtofIV14o6f+ckrNZKHWM7GEFpncAITeJTAiPs2zIMzbiWiC5Vh0t97HSR/0ALWdTsTbtZ3DBS eVx7cc17CHPFPzICCUr0MmcfrWXY97BO6Lka9HBwBbWHZcoF+PGPzaEfFwavUwkrLNOnLAmQTf9f JaV9A7jAkMvsbuCF3fGp6mPDLd7tmeRCooxoLGJkCqqsiQrV174wVvKv4r/aaOEbAATrdeyqhyPY 1z1TfoXeLw9sMvDjAmkpUQO46OZSLsKckkJKKIgaIBHv13JsyTXULtzbR18o6Dt2oS5mH1Rn1BYj NOL0rhc2OSx4Cyy5WP5d2ijVR8eSrmSyYmNsPRzhm74AguXc3TvdUCUw5YKNvM+5AzkGFW6xQKVX Vwjk2n9CBMkjNNlbovq1+LfKhPcV3KeqlPB7rbLCyIvPgTzHacdXcitfImHxr4xiWg4T5FZtNJD6 Sb6Vldc2qx6OoPvpc6RISqfeUCU05iIT6foBJG7gaHUWjavXibBmoUrgzHUxtpB1bbSw81w+Z690 WVF12uOgwjhofuW61x+Ek44GvT7iecjXrN7RJuXci9uEUlLVw0FdMqXPkYLLKFVQn5QwrO1o2DsX aOhANhRCco3yUcfNfgJ/ruxFFSV9Gr1+QOm9TcVvVYbMwvEUZZodSZyPqF/xj4wEdflHZXuq0W7S tou545z0TQ9HdckvFh2iBfu5kUNVrpYfFAL+djC55JpSZZ0khYOpWpOO2giFYIzCLhpdrx+O1ESQ R5EKDnfNiLhgEfYyvra3UPf9yiBXFqd/SuNgPs38IthR0uWmh/+BhlMFm97c9cT4eYlT5v+XDAzS 0zb0ThfEX2LUwmyaeOol0r1EKecVwFXOEdrBmdvoZXdQ+6qIo2yyZpV8qOx1COjUxZr1o7z0EqTo A614MLVY5q16Sj/BhJcWj/6f6dCHbTO4L7/Wxse2ARiplmUwHSvL5NXpUKDtkrAZJYECA8ePsraL lb2EKPr3Ar7tmBr66KgmeTBhK1QoNsnzkWCX2RYQrV7EMeaQvP1tQk7U1kWyBnWs8TbbDNt8Qvz5 8xKe6K+rliEXh+tPs3NnPl6iEz0BjnALI4e9/ZBODL3kMx0nuCfwQ2BMwf1LbGLLg8umyzJUoy8P jFezJB9WJ0Yb0g5FKU7Wdh+2mORjX2ITfR8Slg13Kx+9NNuHSfudP/jnJTKxebQaZBFRe0mQ1+bi JS7xrUJFTikwvPV8hVLBoFuxYF49kJeYRK8YWStjbx8dSYvBi/LIAnMpCYtepTVFG1bKwnbTmBDm S0yit2eT0iUmgZv1W+zOPcGNEEz9TPTPS0jif5zPhoISysNk1kzHS0BiT2yphpvoRZcokFpfZV+F snV7Gi/RiP0EAN260NQaHRR5puLMJeTMhfnMGmJ5CJ1qgcXdKCak+xKL2MlB/3NUycbWRzY29S0p y9bxxAxmI1mDlEoHnWO08+9OeQlE9AiEM5yiFKri9GJcqEH4bY46KfvqeAlD/CBtasF3Hy/O+izt 3eVwhGNqGZdRl2n8igOlkomUnPnA9+kAQ3z21LqjhfGo2qoKu2v5eYlB7Kc2JZDiePxVzEdHLiSv 0wHEWsULrirzola5kTUJoqiflVLPJs+uXx9MyKSyNpT1a7QJmQntD6QusCg7IR/03F51vG+jKDj7 tuoDg80Cra4BHrHRgrI6t2nFlDMdL8HHc+g8e4WuA8xnzcZL7GHHKEFjmt68ELlQ1QCuF+2VMYrT 417iDj9GuU4gmNhoc7EzXpL83QVs2zk55MS0i5gJ46O/BbDj5yXosHkGpKIfy0ZLZs6MG0Ti3KM/ LyGHfVa/O4WfBykiijLMxUsdzTYKjBOhDqkCiPWqKsBeV+2COqyqAC9VNN+eCGYIUq3Rr5QTg6Ut yNFGVbeiDoKprloMzPYX6LHpC9+ng2TlROqQtjR6DHYypGnTMX5eSmh29UsvTE7GDnjkpBILPJgO sCsmBU/+L89vjtEuv3gV0urF3L8Uz+xfShu8b/DAGu3nwz93ViTNsLsFYCumAiSSwn1Jy80jden3 vZTOPABjFWlPafQ8uppnb62DR6NLpdtsmJnQpAPm8VcUj8IBPVPiW4UdYkIGJx3rNke17A/BNrpY KBKpgm2jf/OCrLZlwHUSoISM9wnht5xfq3WDPc1tRsGK9gtoWJQVfbSbBbyGxR9NzwYbxsiB57f6 FQefB31OoqAUS/XBEdJJ/VnWOlMryoTwSDN+fKbx+MbhsTUydcm27aMfpRMtgy7ly906FjqeAiub Q/HcDxCpVvy8kXhsFVNZleGdjfb6Wopx+9CZeuPweFS6PlEpcQu+ejYjUVhKcWysacC9Id6i+U/X y/WaKhoJ9xnMiIQ/OlI/Nnonaw42Pc44J/1KLWnXYBQAiv0Tow8rOEdxKVsKBPb00Y+QtAwiUkBN vwemj49fURnkLNhf5AptPqLQtErxeKWb8D+0g+ziHzjf3C5wcKgS5aMWNHy8nb28KbnromNjQrxV 2NeFKnkuGGNJvoemJhJ+0hVKF/u2DRHaMilnFHl+3jg7doqMfU8R0UpMg8WKC0H0IQp+SdvEP1DM cWKXmSWJylTqU3+PZoQK4sBdxkZf1MAx0QARrGQOaIBnxthZpEf7Vt+71HPfCDt+5wo/ke/oK2R3 V0UC1hiFp+7IfdakCNIaOehtUqIIFX2KRRglD3u45EU3DZDBIflpNlJTVfU9RM36Hc8fSzKn0VPb Mx8SaJe2nGkheKyiE+PxExr2fcFFI/vptbOP1rua1RzyTipXf964OhZUPJmtCkLnGKBaZ/MRhqiL NI5/hqBMcBDlc4JeqkWDSJZVmaMI1VJ9QW003nPv3MOLJJYM5qRyKqKRoMuTZt3KhzSCf96IOvZH SYaMCoKNumZOfGUT0iYwtShGdQotgUiV6hb/dpuPKEhdybpNltD1fCWnh9OZ6Pomn48oSlV9WVpm Nvp8nPiLCVlK9nOy0oeWrbRW8mMWM2a2T3yfEYQc60Q400Yr1LbeDY14gtGfN4aOh2YejDSLFn+p ltmMvIeqgKPbL5eAUrk1h+tbzOHOQmh+XeZfFKvq+NAHdv9M5bj4gjYnz+4T/UvqA3QluxEvyRub lWFf+D4hc0gmVsr1t7RcS0avhv/UkbSIYtVtBksqB/9K9v937LtIonC1d5XFqKOpTsifJCo+MnN2 jMwq17akt0TbhmQGooiNnuniWXeuMRXZZuKc1TLh1OcOGI8wKmhDvT6YlY4n2ZCS2HAk4znwR1bH o24am1HMavhL59sSIarephpZFLPiYj6mN3aHdR6IRnTxm/d0dqWpN17O/xwk08cnvcMGA1GlE1wW 6JDsTPIKCcz5IpFj/M8bLcd+aPCLBWVvGw2qt+WbwO+ex88bK8e+a/tVo1uSwtUtk72RcjwWPD/b XMlbMqvfwlD1c6QhxmXT8R6ummbm/10gMFdOgmMKoDunNOWRyVEnTHF9BPz28E8Mrhr0pof6WuND aju/o1oqWHP9vFFy/KrxSpl+S8r1y8PVN0aO10/qyfFUHCTZYyplYo9du1p3G0KBaspvhBz7ZGFy mgz92oN3OcFlSwMCaEEN5vyqTX1vbplkRYBbDZHiyRsfx8IR1QqKCG3ltsBONt26qQ2k/vNGx/HZ /DpZqfusu0TCeFVNEcFkZPQABEpsgta3hSW1ZtNr11uCKaFeNoizbPSyBclKBsp9tss+hyKAaUL6 X8qNX0UAg0e8UXHs7dClmkTa2yOdS/7sTjhz/bwxcZ6uynOIwHmUmLD+dVHIus6RepKfbqSTLIg8 TRzgz+YeW7cHrG88HN+shKr8eTZedsU8ISYWEkgSVBVDOtKxv1xtDzVpyCPljYbzlAGAP08fdZKK Zbos5y0/bywcuwPXesqp9PHPz7Y8hH8j4XhKg89o8pTGzMhAA4zlh2pXqUCdh6igKrbJoINko8/H ifzPD1oUnZ01JLNHnO0k/0VEdaOzZh8YxCLg6EbTemq3CHVCGSs1nxOo/rwRcHzl3gkBFZGMVqHp eA9WkXdcJ/V3KRP5k1t3LknOn6CEjMSm4z1Y5VAld0PWwUZvXW66dEiDlFWI0eWRgRqTJOnUpr22 U9s+MSibcT9v6qE22nZsrgBKJPzzxr6xPy97VUSZx4mIfin32JSE8WpXaucXDUUt15o+F8JTKkp3 z7xHrHh2sDrkxKbRgRxJwmv0/k8ogqUu/z0o3WJR02UODPvCYEZQ+Mv0IWzk3SfoozujUJ4ZeQ9X qXGmr/YUoJm7Y6LCKqay2IbpuJg1XTK50GoSvMRPxu7d92gVXeUEioK7ZjyerufqolJN9/UkZgXg piqvlM/OBt2f7tS074tiVYUhWBxptPyOjWeQGWbjPVbNqlB/OhCgNVe7l0wUqnICju1Bh9T2LSxr yYsiU0JdWh3vgWo2ZMiQt5DG24EYuPYVQ5udEKkoK0bwFQgLFSJPZ9inP2+Mm8/6SEnGZMmrRHlR LfPYavy8EW4sqBtfTQh0Vtr0K+aNbWORiwAuJTmBvF2JWOvdCdaLtBhnyBvVxrMoItStbu6+BQDd ueeKVUuGmgiUerId4A/AJT/N3GYfGHVzRT95Rs1HHzL00n+aP288mz8CVdVVmQGvmr3RbHxRNQnk Xr3t4QQtnCSspUsdwrlqbzwbj9zJlpfgD+uBP2zaPWoNU0fc7HmdusC/OS6gdzyl9zeSzWdCdlGJ zZw/uGpz3go1ay/6rmDLpO8JEQv3NrijOBXD303yBBpmS4ZGQvVLPX7t0e5gqjeKzVcTc+3io1cA 6klfOEnl8FDYNue/BwiCmln5EASIbH/e+DWeskrott/RVt/MBiY8/1/9541e8zSNnrIqC6RJ9Zt/ XRSmcs6MNdufZeZ5NZXn7H6CvHFrPjFZl3lS749L9kl1W9mSEF61DWo5bpmSkTOUCJgdIXaovnFr vIxIWGYMNcoJZnmZZzaJm5ly+Xnj1vxRAVAj81c0As1IFKVuFUO7q/7MdqMyqVYoXl35HiFRWRVZ zHOu0q4a+TFXGvNsj9VVeIe5B1mbsF2h+m99poP89eeNU+NpjJwNpcyeXJn9BFfFxPhO3Nd/3ig1 /xulqoQIF9nrQ2+MGu/l1F9URNTgn1u3SaOiikKEBD5pLBgiJKqoEr/Q7W8+ei9zt3ROUjghC04W 6YwUgEyesI4Pe2RN+8SgEUFyuiRCqdHLT8gtKfo7YfsbocaXR/5MCPgLKXtoRqIwtWMbVJLEkgHZ cXyq7U+TXbpZVKeyNaveGDV+yTAbTYFqu4EqZ89G4pA8RphSYrbCkSsRGybv+giJ6fpGqHnakud7 SN3bY6A74S4otDr/zp83Po1f2eVzqtbzgHyENCdRnErBYJTuwoRmjTLU5LyaUCZSkvSOqK7KKlHm 1IvtbM7zs+QQrKftkFHcFm8ElJbVQ0T1cTpNmvaNEXQIBBU1XxsNHAJi3BjRu/y8kWn8GvUpUVdd o4BdNishDKAJz+A6ynVe+FAelyaOhradrWFtlTry0Js0+klywn5qtFon+WQlhpwAygO9LD1cmuwf GB0lWTqnxccnWxJtBwj6zxuTxvO7r1UypEPj0xEFq7jKTlkSEryP7rzwE4gY4agAEfNFElVV2QUn bKftMh5IyzgXCvSIZs2ZLtdboKuunwwB6xIWLTqLqqqtqD8uIfd6Ie9nPxoXHfumnzcKjX1anjeb UbddpQeDl0XRKh+GCp4X3YskvlQjqtLxZEaF0RDCLIxXVRIBe2CjHyUnLwQ6M8RO6kliKU25k3h8 5TFKtRbNG4XGe9d45pCLMFY7FbAc1WrEePTnjUHje64/8FTjcA6/cN4YNF4EHJwgBqAatT0kAI6x RZVI+vIyjn1j0HjIYCF88tFvHChz1IK5cc6pOkz0c4s/W+TReavNq9o3/i0iAdFto65gesfmSnYC 3583/oxt6HljVqamq/7lUxIiVBMgm+w2D2NdaYU6y0VlJgcwv9Fn/CziXJWwuMYLvDsbH3wLMvtn cWSTRAKPD9iPNuEjzpjtE6PDVaaOFM412n2zZ1rm0zbWzxt/xn7xWxiRXQwd0nZxme9RK10rlIRd 1WikdNH/omkbGCB5/f2NPOP3jYpEilrnE7WegIDdJ0z3WRmgm6U5d9Zelw3Lx9xw2hcGF7DIr5sC r0YrrQKE1dG6Tsz6xp35o7SqWwHH9u2d3jfuzFNbxe/SKwB5u3E9KjbtwgGag4reuDOe6KnFn7OP fiGcMO0sbalM5HreKDMnWGrNuq99Whhf7QvjRK+lpPvpxq0wv4xOdCLK8vNGm/FCdf0kNgq/P7sm qq6CPpgY8AkLsCTrQ+0TBuEAboXFknU231gzvjSHDtXso8PO1slm5KVB5EpZuWs+AOALk22VAJGX f94oMzYfcotlLm30qtw2p02gXD9vjBkPW7/hmdyGF2H1RpjxYso8+Z37oRqxWoneyq6isGR5q+UR Bq20cNEb8dFLRe2sj1GX+yttOB1daTTat1/uH1LxeSPL+DXGoVqEryyX9Ximhuw5CeD388aVefpx 3ue1VYX8vk9IFLNm+av1K0d/gTTJ4BIqFd1y8xtT5tPSHDYtfV6A1aTANcFr4BPTFwGOdSuKFSxu cybb90WFAKKQJHeqdJszlZZSthB+/byxZPxve+5d3TLnl2W72oRE4ao0VpcrBaypqIzEQ3RzsYjm dgRvi2GrRRSZ6uO9ZtKJ4Cn3nh92AcDg4kXdNSPH4vj/LZNQvTy4dpPAvmv7aCfIuZk8e12VZ4Po bKTPCUJT69kwYbgq2spmzQm8u6TNT5gqq0qVzqgO2AkSVVcTFeQ+6x39RMVSeE55W+xRNq0PDMy5 /wqWrPvjOFXtIwPEO145VexzjVYM4O1Sit5JzwZd3vxVPQPwjaS3EQDCgDWDQG+e543V/BAh//Bq 0fRiYn8PVzlTqbxn2GMavf5+1kgSFZPMhg8X+wwOHJQehB0fE6Fkn/iXJp6MVm10/EzqKjyU0ivP RjDNL4YIhoTQHm1GonBV5NrlbqjdDP2oFhV184B1lHRnJEICAH7ogonb6JHICcQAzig2Q85Z3kuo K4LZIpm6kYi0nXpUYK1d6V27oyrO56Bekrk/U7N49l8kER4aBc0Sn5CwwHoi/GnuFSIcuxNol5kM 127iHY1TpEcFVgvNvKy4nzDkRFIniEczakmBntCt4iCUsSdrSi/sZOUK5fVRl0b5Xd4+Pgi/aaCz uXk2oFRdYgRoYOax0ODxtkQPeVWkogIDVJOHFgRAzXZI1v/xRsnga51EEStpx4neR/XRb5vVdlGN 7KQ0J4pPWie0W/V1hHTX5kPKnD0KWfEeO2lCuqMXSIqBneli8WxwvCY/XlkvCHfVOyURt4qGkrq9 Su+UvC8BWUUnoDCw5FypGQnjVVXRZu+/VxxfrZqT+o9NpR8bTLQSpBstQTRgZjv9sXWiUqtCXEAz jBwHCkQo2/AvYjPybDAj854lydo15yWXKNHjmBVC67B0ZkhT8z+tnObNcJr4duP0CBEwHZ/YfXRE QG3VmzULSMPAcaRqf9OiG/lD3xWbtr+HrVRgJFaZ7mirZKFv+Wv6tjz7j81jckWMUGVsTsJ66/l7 RhcQArjEtv9w1smq1v2Vv67NSRy4ij4CLUejrRPwYfjOnnWyB0RT0wijRIIbY18fEX7BentUba2y 496Yf2o0otVJ26dBFMfk2QhZNJ7QteieG9mbNv09dDVF23OIuCg0eq9Wny86DXWcZOktaPNEwSt6 KCeOV6uiPUYwNHmrvCQL+MRBCZeSwfpdbNZPAZqdzcujW4fiCOxxG31KuqE2z483eTbIf9sXbRVd vyd27RGMlVNuVJfaH6RWYsCf0EGFeKJYGl2ajwgYoMNkiPyubEYBzKyzU4RbAgasPexukjeIouw+ v4utmddHgDzkNKqw3/Veauc6G0YBaaPxbLBIHpo330SbIt01EoavBHSzmtjkINSUsVRFIjIL+o1u qSqLPaq2yqVhJN0i6eFFUeiCeqXic62zqV9OQx/nhv6Zjm7fF6V79HYWHX2Ndo60kgx0eTIlno0W iFeMbMlKCNqomlHgSjCPf6eX4otT0JIiBUv2rt3yiOqsQhXRxPPxluL3Tk0Y4YUmYVbUMk1Cwfw0 XER9+hcGFTT+2qVS9boCnyc5ylNBCQJXPBuxNW8tXiCCk3LAorYZiQJX/vLz91ffKYkmrByXkxQE TAbgStCMsNCq3x4FHBs9lDqXRB1tmbvJ+X8tSUVsdU2AcXxYaPxivD5isJIXcgTYaMF8TQLyAcIc PBv1Oudnz2hOmqd8IwSxnl9rS9NVU5Kkjw0usYHVNXTA0H/bf0ZUac1WPesalWxXoyomVgc1o3Yy Y2hcJ4WnviSsyO1hkQjz7gCQ11Ut2t1HBwesam29c2rzbFSLb1+bZsmt1OcjCluR4BnicxGOWJaD SOsobswwV3XtwBEFrZKpGk0MomZqMApHZjnJtcSsOFAIoblkEIMyEUyLWCkr8+64Fd6Eg7XRjoPZ phFATrjBs/8gfBtGi6aDo/FGFLJahjZMXrMLvaPr19xiETyc1wF0RBHr7PaO6aNHrHNjJEUtaBPN D5kSlCHoesdh8+Pw0uwTgw2jjt5Usicbdl0Puw9j7lDE5OFohdxQRCpwILe3V9FGFLFyDUzs+RpN vSUKvKiVE8YVipsqT2qJhKIAS3vBUAJXEhNNgLNT6NGca2YMeYCgkTB/DeibPzZjJCi8Pkj3TGmj bR8NYTR30iqpZ6J4NjhE9heBxOakP5dNCGSd5HhDQjtybBfZm/CsUxiTVyyFRxMGiKCsRVtGkuga faWk871z62itND1t4wD9Jhr5mGkRjvPyiMwKMgBBARv95TlbXr9r49koGPkuPm+Sh3uQhPiAIWUv S2cGzVFreEp0iTkyDSpdv1G4ekG920FmuqZHRiZBTmIFvRUYJGpz2pVmpvL3qjlv5vVBPCKjdzQK bLxFGFM+wwqdZ//RsOEfJapKnv2OKF6dwso59Lv3K7QCjMehAei22lESx6tbBaM7eqIHNHGhxny2 D10q+Y+h/CKByPllWpjtC4NwVQbVAMRttPist21FkrUqzwa5b3GY0e36Tq8ajTBarbgUqrzc4GRn c7lpAkrqCsY3TpCaEZdaqxCZ3cd7tK4NFoileiKAjV4ht08X7FuAbi8+q644QsKVGnoCGmu0a6Mk b9e0UXj2H7UAq+G7XYrUNMJKK+cNebUpGJciXaKFrKHMOqgkIGeuWGTGulXGZU0+egCPQMla8vU8 6WdaupNqEcH6dz9MRdMlmiEwgCOsAqG20W7fkayZdcK0yrPBjFxgAP+HX/SiR2YUr0I5HpXaMbEI xZxp+iK9/m41wRHi6qyQGRZaJaOyJMazHjGeE0zmhfa/VKsKzEWVi7KEj/hpH9/G5Z8YlZ7p6FFT sdF+7Z2MDX5C+MGz/9CR4P9QFq9XIWCGCgFNjTxTJxqzLyf3stuM3Lvov3GozpByZXiaVn10ytU8 oQw1NArzJ9HvuqBTkurggv/0Py29GRdaSY6hz9io7gQNtuuApGf/caZamIZ2tYfvMwpXAf/13a8m YG0XhVa8ozfaZffOuMaqqcjdR98x+CxMN4WabSyhKbZ0WPInejcg64wxARw2U4ne7FetifBRYfHZ 2TwbdHxvmcgcY0+eUu/6iMLVWgRULA47K9qjHCFVFOT/eL4qw+t6S6AzIiJ8Xne89IDUhqUzE5Kl lLA79ho6xG9kZmJeMyRcZZmPUxjRqAlpo0rVQDLGPBt0r/oD7AW9V4hCfULC6iooDorB7czHKlLH p3iArm+XfhUqYiqazQgR0K1oJo2Alj8lESz4krDO5fxV1aRIQFNQU/zkM7ZfokhVRbOCgoyNNh8l Ly35EwwPnv0HQ5Fv4gRcHqbOCBGAjdVYy8+PNdMVe0v7nh/lbpeosLoMCjKmj47Hm/1ctlCcoETx B2y3xdpnv7f66W7qzp1hlEpbR9G+jXbnVsljS8e58WyQzPQL2fyVAcMveHybkChKnYZANl4E5kyu DiBXGVMHGI51nqGGVVVfU3lCfno048QgkIrUuoIArvXBuTRUpvtofPsHRlA8iZ4DLtZoRZBz1TjL uU6eDebj4jWt8p5Nx1T/uggQACoKX0AVVVdfVmQ+lxNBsrBFNEVUQpxRkDp0khIw2eitvPOD5IWC 4QliygmGJReImOWQvcgTgYiwOaMYtalPPnSsjtva3M0oJ784U/NsBBmpX+eHZLs9i5kx20r9+ek5 bupTZnoqzm0dKmUCThI2cYbCAMuKqsNHL5mdLIzCRZY/GHdkU0yGLB9r2NP/xgO8+y/N7yp5RI0e gTRjU59zavNsEJL1/JmQAn71TsiKglRsRkd31hnOow5MFHzbvSW8grgiOMAQSBPPLxudTMM/2mQv uBKVRGlYS1qkijzylJlNWnWFcICtEtEzGkCCDqxpq54gdYV8q1tBVDmkAVr2EGRFUaoaTWMai6ab Q81Sp8DdNrr0tlkgK4xSFY7/iaFBOOdMBbQLqN9J/DS5TKjdDdDnajVLlWf9TccKuZbso5UDzzmd DTKyK89GuKJ5o5AsvKwKdJqRELxKpK6W1tkfE/KEHJLPZekgmmTaq6yREA8ggERRY9Ph+uTmaCCc NaLVCkmR5KBCANUakbL2Rxow8/qgqmqNu//FaqbtBnsnbtKfFyk33TBEfGcKEaN7trtC0pW3og1Q lCUhpoOkDiV8vDDzUVonIYC1l5e7F1OwSQle1O/zVQI5k19nAfxS+sxKtY+MFOA4RZqgRe2BFp2Y 3TRXc948+4+zhK+i43WD1RUFqxweuNH+LtI7Pm3pxs0SrkZwYSlwY0JC1pWi8iXs97rsbBjPJxzb sAPX2M3ErJraHTKkaU9LU45bvD6oEE1Jv5Xpo9URT1qkalE9E82zwcYZ5ZPNiE7jUIAVBavY6HQD 4aE9uy6lpmzLb87PNx3ivEJxANMlZgVovFfNOVHBeks9Atwqxeaptjyh6f5IakptdUVQAKKhk5Zz xWq0u13aUL/yDBw8G0ABrlSRzlY5N3p4tkIEa5Y+gCuM9rZ9RozTWtW0dzXeFcarVsJP1UevES2B CFQBWIlVwp4pXOHqmqwPYESl5hXqA6hTlTgAKDr9Xm9bVWNhkjeeDWYkPVQ0ztYtw0ebkShglct2 SeMWiZII8dP8pASiwQjv3jchiNW0NQUu2heQN2RAv4DAljNpdAW7zhH5y06pSXuMRlGPt0cXMLxN pKRttMN1NTto4SHz7PuuWR+OUdaWoZlkUxJyrgZtaLiCZ0XgoOk6NE3oKpE8dAFz3YSFVTHRtg7W /Rys52SdaygDOJ9xFoowmxtri3MV7weOhz2aPjCQCcRsvhDZ2GjH6rmwjL55fi6e/Uefl1NkuqWT piMKWTn9x3aq95jD90xt9e6ZednfK9ZepaIqc3CNfqyC6q0wXsoS33mJKKF2UDV8goUj6P7oCyPm CP8UpRUbfbKTex+fq4xno/WRP0URTp19j9UdAgGk2Nesyt4fkZE0LyeP7ruhvncovSoWzZLQ6HqE Rs9s9J2GNgy5TZUtS14SGelmkHCz3myfGGQ12Vg020fLdZM9ZkKjO/QDqLfpbdElB5eXmnfIuErS CNgeoZ5D1ApneNIlBbJnsV892h0hAYZkm+mW9P5xizk5Uj6HCjJmmFtl2a41NKGzErD5RCMyFv7Z MYqVJh63uI2W6s1pEr1IFv3s96iVk/WrlEgk/qBYd4hiPYe31AFUIUqmVYROAKAG7GmKsntdNjsO W5XpSWkkPQyjMyNgEbuUNdasIuZJzR8xtfbVr9r2hdGECPOdso++b/ow/uW5vHj2H4wr/V80S+5B sqOQlVLdST6s902HyYtFZa17kFwAzY6hACq/p+SjhyNdDSsFrFjO0hrARWH9brRDav5U37d9YcSX IDDThORnQk4YX40d0NPm2UjwbHzdvZxIt9i8Q/wqXQQBQf+Tbs5HJuC6yvMn2kES062EI9B1M+ed kPPrrGaKeLjGDFysz817DhKA3/05Rjio9PKIpMgVLc7EeCS+T9ZVDX16bkSejdre9ZPnAcqft+m9 QzGr89+s5AqjKyk6WxC/W3bFEQRPbYGEQABJ0bDZbLzVxIarJrhldOBx9mEBkcaeO+LRw0OGRd8X WSUIdDSGj14aKebbWGtaPzs0B8jpgr4FGVDZw4sjO0IBJBNkh0n4n2i+xdSKTLupCgVgDqUnFtmh oJVS3z9zGlZDH4B2YSmeLLj3i42AKtdVUTTthJ7tIwMS2tYpUoqPNheY+cnNN9XFs//UXyX4sbqu puRv8eoozYoio2RngBeQ5+Y02SXZy4SEMACDv0s6Y+y7ZwhHmiRBT5KHAbRqAdxpGSSK5JQ9gld4 tiMYwLBAtdzRrrEs3yN++Tp4NqgX3fBM1RH29iUZ7bjESijhuppd/CfRN9PHKWA4CW3HOABjS2wf PT47qxR2AeHION/flfaCXtqCoH4IRss+8G+nCOJLNnqO52WYs2v0bEQK6F/zwRK9FcUdxatw4hAV 0jTMem1Zm7UpQCdiXGTXboQDMP1uisndjd3V0zy57XlxkehJyboWmHnIErrYP54a/oWRRIAVi6qP viGTCD8nVeyLZ6OC4sMJECZxfzCJkgF8PwG2+v8i0iTJBbj9aKviAijJU1+z22uiKF7yvCP76HfN +VXPvyCZkuRJC0CvVoqmBb6rKSGUTy1ArrbvsThbBrtoxqIAVKT4pnNZ9tJ6+h+IXhZ5A//dHgX0 FIpbnWkcwPUnNaNmqgBnhrgqhkwDluwCZaMQa1tJyPkP0xGs05ewMzAW21A5vuEdhvE2vaiHjZb9 GyMhFlp6wnxotKIRTkj8VW20pocjAbTHXIJZWR+rDbegf43mz+WkqPg/EX4fC6ftWmhyojNjvBRi WLt1saaPFwyVVsYbhJSv10aujXgNVUWuQyGGrJKmQ5b3R51wYNFbrpt732j+RExml75b18NBcJI/ OU6SGcu4QoqynQlrvMPgZrqDXBAtG7pV2ICrPZpT6G0lUC91IRs9oEdPbE2HoA2mWnsMWVQUTy4k b9BH0dsjRLz1zKeP1u2kzy5hhDWXHo4gRr6BpDhEmwgtL5+UKIaVXlhJQI0Mjqf6ERFflyI4p3W5 kNacQhOBLdUAMNIa/WjZ++waWZ2thMaEkHnMtwv5p0fJ2HywUwQTECCdIMdH20MnizIthY0CeApx Ane1VO1RxvlZLmH1tRHNQmHnHjKaL0by5ONTsFZIwOb4nMLy68wvChNFPOcspckTAo1hSvFLsnnL YjevJO3pHxmkgBAyCw1rGy1WGefiN2Brbno44rpeEfChaGX/jvWcuGFMizjLcP18JwLLT2BfyNEW IDzZS/5yP2OB5uOF2CBCahqtZw5Sls2TQK2l6++/Hb85pn9kHLIgQZp89Bs6VwG788pLD0cUtUfF BxwWTKrHzydUElCC5lPQ5ZBjopPtKgkwP362hFVYU51c20eflROx0WNoxicDhsomOrOGwEcuDwZ6 +R4KoQNZ0AFoaHMIa6bsGDstVaZL08PB7XwNfYxCcM76OZ6LKAxtF8StYkLgy5I/CcWT6cvrGH9L XyuRnkCVzcZUj3g+AMazsc6tQ5J6TtxJb0NkcSqYrFAteichmWdL+qu5wJLbwnrcFnqdxlQg0dLD wRa6IEa+fshv705KWI8tMoS4UIqTL29TBUcEX+RgCsueFOYUYwiW4FjdRw/50ViXpjMKJaVL5rhD 88J2WK5x3XTSm39kJKZPKLfB/2t0sE2fZr85FMmF5gIXlyUNyiJPRp+V0BEL+MeYrto6BExWlVrc J5MVeLx9AkMsXCgU9is93A/ypsFnLVtah4DuusCfiFMP6bfUz0pp/o3xBjqxv6R9x+1jkHJaeem8 QA8Hp8r+EkvP/EvHMykhQauovjxdWSCtW2CSazoJFRG6GUAFnlhXP76K41kvP2sStC2Tn7ACZLbe j4kb5Y/rs0oqObbEkv1kV3usX+LGWd7NNL7PVaSHg+D2caEwtdJtmgY2Ke/RLczXdK7jYsUl/QWq Mj1WLiWp1qxDJbTFWtWYJttH3z1rpooAIZNC5iDDeTB+GbgUBPVH4vd+ZISwIJkUKXFlkStp8exp xzqu8no4Evn9cPlEffntj6NvYI7FXHJNytrBQNHJZqhAJjKoZwV65xMTxreGOVE94XFmxuN4rVZV bKonEYfXeE6VJpaCM/m8Qjuyf2aMX2tYc/popy0ClWZ/VLIe/kfHWHw+2QnvOy+hKtamWI07wpmC yc5pKqpgrubdQAQBbA9FVVqB6LtcwDV6MrSpJqCsgJ08WomSTtP+OUn9qh8qTq7+kUHTeCWVrLeP 1hHcyeCldXMThD5ZZX3HK30b69RmJdJ0VWqSVLouvxaCmYWY9hWvmVf5KAc2WTe4/aMweXZmWnCU UGyhe0V98SRHzUSuf9HxfLAF9yOjs4XkUNa2sz5iP+dscQ74LHr4H+YDJqq9AVffWQlZW5m7R76l 5z9UBbnAC9b0DHHqRLFLKMbDSgTZmDnP0dKkL81cnIsZIqj5VHIJFYTlPvYDs/o3RtUE64ItHx98 nyOVtn1bcOC2KzCvi59a7HpOllBqAIyjK6Wp+sRPCWxLmvaqYhcDLWmZRhYEklocchocDzWCVJkf Vz5zCO9Z64dUPStkK/VDe8z+mfFqaSLa2egnS23KfetM9nAEnW6fPYQocy6Pk1hgnIWN+rmAklQG T0aZltllnRPxARqUKw6VA+esW7rdikn2Q0ipGJoUeYmh09ONYF9U7Tr/0i+L1+6fGAsjn8wQXbXS 9lPLLsN6Fiec08MRsO1WWRRw4cfUn5MlRMgiXyFcmelDXbjB42iiDMT3UOycpZhfdND50EGnKgpj FGPpzNRUzwZXijoa3eQbyc3hHxkJEapoQJKg0SK5NpsJ+Z7/0cNBzD++OoVWqLwi/Dl0z1Kfr2zr lPaynJGRSP0ddNAchZFD+yx5hJ9Vsn30o2WXs1i3QjmYB8lQKQsr+4w2o4u6pPuFUc5MdJPUFkjq 66jNtU3R9Hxy1sNRKeHyhhVxncfnbQXl0EJLdigUt6yykqDYC7t0cvZqLfYlv3hzOA3BskP9sX1H hx2cX3OieWOwg6QAmN7hL6InEiey8u2SimcOXbRy9SaJj9Yfq8nEs84NPfVwRIOcX6EcZd6nz55j I60Tg20Zsp3J2FJBp7CyAfmaQUGV05rWShjhqqhd1BIq+7NWzrpZTQimszmn8Am1kLBhr60p0XIp WUjIHFhpAT7AnoC/xkYjqjQK5Tp4Z9PDUYT7h3ilhFR8WsIIV/KVxckq/UNWEQu/fivP5cBNy82S +hQecj6YiVrxUku1W9q8K8jqirpsAVUtrqadLEsCWjn206IfnbviuT63w/9o4C/tomwf9w9CgibG QrQ7K7FXASDR6QeLpcv914QVHIQw9p2VEDYrNe0m2Yp2hUiJWU4aQSB18kUE2Gt3w5wsvo5toMLF a18YUbzovDaRSiW2ogT47FpHIeABG5hq0ev94FSK3cx5PpMS1W5phq/iglEq4lpvuWiBFMpe+aZC oa/WcmhG9fGW+teJ+BGxPqctsZDUgYV2RryxPCWWe97G0S3/KDeOjXYHpTkMM37OJT0crJQnc3Z7 vnF9cXJorkVjNUNB8jjOBLXoIsIZAWFdi7VWieMCfy3uZlNe7z7acXvO7gUEpls94WSfyQXZml9C Fq/k7d8Y9d5prUkpreVHEfcEtI44X0MPR8i3L+1GTe8FvuXQXouOzKjuhWv8VyVCXzLS5fqwB+5a JEIq3Kbho68UfF7rMD7PQF+cKSFtRYpInyfWuUpJenswJ01kQDGL25Vgos2q+aGaqoej5ND3Dz8U zbb8uI6HIrCcKG1dWFN6TpTLoW2S+rMpCU0LZLH1J45nnCD5bKWphlBBuJDTGH0xmEZMhzcPrdMc mmyxTGuXJopGi/WHkhTVn6Ye/kefzIsgMrjwOYmiWnSAZhtWa5p9X+MCWapLEbbK+FI7J4pqTfJl 1uWjL5PVN+1gdT0ga9BEM9CoRMwk3qhZUZ1R749wxUI2UYvTaKlhNWlW4tuhhyOkxvfdc467+ZSy Q6st2IyZM8sSn1KqVfgLXsU6Zc4infdE+YvblorYrfl45wXrUwS7kDkZsgw/Ye0QV4B08WNPl/wr o4MWYJI8yOv1ID87vkuN68RjY+rhYP/s+ZkWdFzbdQ/KoeMWbmzDXLVPoKLCrzhxUAMkB7McVmov ed9ABBpU9pOPHqicHIh7Rk6oyCxUEaKScVuzFkA3yHX3b4yYLGZxWXy02+eEVyZz24hT/mK65eGb CLbdKgk+KbEoLHPhVkrn35OdKChehDkY/P+MnVd2JLmSbf9jLFy1oMX8J9bYxwwerLpumf3x8Nh1 04NOBISJI5pbf+QawmllDNvlddr33UF8CKQ1dd8b0lqSUmZ7wxgopvVqUI3qLxnkQGobEWPaqKUy B4hDlspIQw9HamPrtj2qnM/G1W/IsffWQOSzuXuftHnNxeDRKyAUtbP2D+ZbUt8T2unROz7Xz4Ru Ya7KM7eu6uTm6AZZu76TYsXJwHwLxh//DOCEjR6o7Gl+daohBOZbvxpkOtA0AhP1aQmD2m4QgXkh Giu7bsGeNkW1pHar/IEH19WG3e2Ot/t+NlDKhjY+dwWNLORx9o8KOoAv3CkmLX/LuHN4ltnuPtrF fPblDdaHHo7kT/Y3WJFAzrOFoqiWKHmlbcUl8QKUN8+kVJFcxdj6WiyheoEZBWtO5jMnK2+q+opq Ty6/NjIpDaAT9cb8da4ry18xuIKkS6fyfnnK+yeWWBa/nVRAD0cl2wdynICk1/IslCimBeo+dmlO P+7XF4U2hp0qe9Z7/4QAW7wNBxwqGx0tCF4ycR6W80WfpFl6ecZ+4kiXGJABbPPyl4z4LZyyJOQ2 Wo/s7HFr5uS99XDEt72psllgnm/vuiznwIzLUGNd7AGYg+1C9dMc7ak2rbt7wqh2a99IRfjhxHKE n69xqfFezz1W4M03yD4Z8PF6Qv1ucITQjEsK9xNDUBv18SdXq8YjLrvp4SiG+1cBYZrBk01KiEaA WipBo3MHL/N4kOyQ4yoxwnlilSiulSowpGwfn/rBSXMQw0eX/GRzZD9NehzyCKnlW5ms/pJ/0kQl k7TRKQzFXO1OKFf1cNAfuyD1S+qo138qh5Zc8nJNCMV0wRFkjk5WeA4aXFKKYZQc6BS6cnUxs6sE 2urjujzB8wDp1rHCFa+6CuEDZVzQm88llP01o5tZFGJhzGe9+sroA+hoaPrSoortGL+rk1QxxxPF hc5cULxI4H5zTVVkdgMVLr5byA6Mucilsjsq2+h7aJJC0eal6TExuBNiAS1sFPyygQOueXsOjLmS Qo5WKKDZaKEttl/qG579qYejPfTLKrSI4nXnJK7YcpzsxxgzX0AC354BEq5deQ6duVSZHPkZPcff J2SdTRBKMEOCLbQpiVoC0fpV0HleMsAK8ndQXvLRv+BZDNBTW9HDwR10aetMYJdO6Z2UMLI9t+iU sATCdVMZIYw/+XZI3kCeabZQYqLY0u2TfPSFcq4eyYKwUDCSVTkXxZ1inTxrpMIcszeMgCuilKlz WG+P6URQdhlhq6aHI1y2bx7p+lcLp21GQtHYKs0t7gQVU+q+eeGWTPliafabL8f2XEOolzF89MJ+ xcBJwGLkL9FdkibE+KFfRW3VoJPDorfAnstkvlqVObVGv3/mtBPl5G16OCK+/PaUhQD8lPUDfy4a oDTQhBnlZDkH/daBQkmaSn/eRR5uWidxSKvcR0Cn3h73wxPer3MI2v2DFAS5MgjWDGgJxbaL50n3 Jf8EVkecyEabFaDw/K6zFKse/stSMThP+YVECD26gE9I0tNEDm6oUrS6JHIwnlAlMOmiqm8SmstH v30IQQeXL7pL+GNI0wmqNj3EL89ySKc8hz5d4DnRXG0+Gvr4/EOblJ2qHo6qkr9OlCyJ9Fu/Dpy6 qJ6dbLD1cYEIV/lhOWIjT8nB21KJeWNqtafh46V7YA3PPsSjOpuVV5O2Ld+WJKGust99yUgzhX2W 9I/TfMJapHr1U9t6OOKx79twJ1SC3XwnJdTmKuagYvIoGXiMsenqUtxGv3HlZwdFOASSX4xjqo+e APVzwpAoq4mKt4rYZYTbXEHl640hzdQceHZZt+McTyBdNNpS3L3Y/XEuCj0crZVfagdcUv3eyZ/X MCVnUyuU3AZyQ7V5XSVXLRUpUjcn1L0GKRl0E5xSJYbzqapMNDFJt0E3nff3hdSKOrXiNVrOevWX XmOUDLSCdICOt40Wo5zQXn2OdpLDz2uEkrGn0ClLHefHNixwRKvhBNPBAjhJUpZjKj+VbDY7KMUL yl+2+WJwoAQTIinDKQdSjQ5saj0hz7NxojsZ8lbHWfB04AddE+K0XLWUXyfEkKCczdtHb7aVptoZ 1drPa3SSqaZUnxA1CKfhjZmP1+Ako0bVzmlHDPgPbGrVDAz0doJasMayN28sj9fQhOUxNBsnF7Px Lo+Tn5g84G4oUg9TzRyqopT0ZY2JHvUammTOAZCAQ3fxmBdozPtZnz21z2tgonnM38mgrjTNGP7z GpZoq7CAvEM6dvFADZ0W2ypL3ToZmb/OBUkBa0KN0Gmscmt+4XMq9srZ6BhldqGu5dbj1nZ205ga 5mtIkk0Io8kFqumot6JJB9YpDMbq+fMakeRHPdaXBgwjWLtyjou2ioz6WvObdzskxUwJuXkbUDYz +wuWhjBdE8cZG2+EBqllSUjo/LCnNVIRbZIDqJTbyldN9zUW4eSg0DG3vtq9rnDbOLEXZxSGG5/X SIRH6z05CEZASMrxS+ZXwXQQpC5pLlNda3S2uGamqlKSBt0Xlf8ahmRXjp1IW9ho01H7PgmemsMb wgPrlOBNS0+0Ji+ZSLyHF3yfDtHdtzTNdr/78CTucmtiS5fPawzC4jCz0Oqs0zPrxEKajtcQhLN3 ynG5GiWBeKxcvzKJLJM/y79UgtzRhBC7T5ayjb5doFWfKIFU77y1hPgAY6wvScFpuPaCwWaBsd5Z 5TZaUJaL0azOm5fPa/DBoXPvFR15NG/vtfIae2TT4pZBmYoBdUyPUte6rCfE2rRXXuMOjlGpRQiG NH/h8Fedw0RFESJoKsQMTBfYkOOrw0Vg/HkNOphniT7KVU+jffZsRsU7MV3+vIYcei0/RbmI5Ly6 bSpey2jsEwCL+yLZ1m0P+7FRlPg6KeG1iKbdSaghSxiN/rpA+xpo05o6yDPzXZ5C9gzpfH9hj01v +D4bSxqpSejhVB5xnt2Hz8b4vFbQdKAtmw0rdJ2HIXVqPl4LaCymBG1hWLQ1R/FYvd9bhW6014le i2fEG3Btp/zYNNp8LDQMBtwdrCqaNKypxIoLftY+3U+P1Lu9YLBRVEyUdrZGB6/POeyKHTwanBtj 3UslKQgW2t/4VMF0gGYgUfErlePPpIQQf9V/q0hfXy5cNCOQ0Ge12/ZrxUAyNzhZT/Z1zlTRELpK NhSk1Q/2cmIzTna0Xwa8ezjwNtrN0ncz3Yqz8j7vRB5W16y/5oQrKnkM9s7j0UUGco1z5p9kmFgF p5X4diocG5S3DCgczchUeJ6aj75nThx6Fgo3akoFMLU0JMBKUh2YXwFMVIv08dFpSmsbPI+NHvKO 7bKxFbhuFJUKhMhv5uUhcSlDsDl5D0yJ7Svm8naMSLbPfGTNtZx+Yb6yF+8snmwmeF0CszZ6Kodt jDzP5XM4xFEfONsie/GN0oeVnKPIlK4QGcvy0RK5XrpjhDuwmyj8GDcY0/45ZzfQbm8+B4sEEPGQ Ms8/Sv6XI5EEaTXyQbvbJgpPu4L0qYNk3oNkbGoiHRSIDO3Ne3mi8NC1Np47ZvgLBnkL9JREA8NG OwHWMPuLk3uDo4gOkpl+RafchJQk9evew1PT2sxoNvk8oC0jfpfAdrKzr8B4vQoSzQi9PRynfXyK zWctuBUXWGr+9ym9XVqg+9bfVWH7vNN27jGCpYCPF45wa8UgG6MI1f25bUYAjamraFMShah09JbO /pPMLdGf5WcPvncwNXILkbHuO2knW2npnCV1+OgTQoczm9gyhkLwzmHbQrFaEvO37pVMMN8JO+zH zbHK6WOjVZdP8uLd31Y/73wdX/7Pscoe2/1eNWGIivHQdrH2E6ffDs12Ginoh+JF5ihCtWOVpr+N N9VPbWDsR0RZm13NY4hpQN9+3dIHBmp6w/czBIeCEy/T2NFoIOnznFrj7azDzztXR8ng9AnR7VxZ Jbf4EYWpJqx2Uzqxj6zv2zxMbeqwaUaiONUWSAXdo9FnJK+z09OSOGzqQ7X5Lm63oJ75sYwZUhN6 p+lkc7quW7JIGg0hsMuwVb/6/LyzdBQV1Oei0UlPacOn5D1ctTtiCwt00pidr9DFMq0P0K9LTsxd nxHsGcVnpJ02+rG6ILVUungnoOmyHO1ykFV1NX8FYsqwN3yfEWWmG7smGy0qQ8jP0n+0LaJ4dUk1 jBn5kfr/z7hZ/ztBR4eIWBfESZKwH0rseDU8OHWMTKFidYyEISvaDbMqhK9PCH8WyIni8bw9IevE KkrOscAEpYw1HolUEZA+7wQdzQlniPSnmxUKuWE4tXXXbBqbUdBqoFRld2STwM5837yzc9in5yYY y3kEykQtGBl9XdLS9PTunZzDvrEATfvmsbudbJwhzuhJ/s9aFFx8AK3YJ51/au2sRn12cLACAa7y wtDoaL1saJUTyYzPOzVHm+Ymu2weGojbr953Yo6OHuxlXRiV2q8dI9THvCcDNMqm4z1evaGIKAXz oRRgJ3xmgdMCwfaT5TljH4YYycA3xaNFo4//Q1IzYaDaaDPCsc26r+co/7yTcvIj9VjlkfIjwrNH q++UnGy9B2h4piksTJSSPEzXRMnJRZapSZ8RZb3Kd/cdHaaH/OCmVqg2Gw4eQl8PXV8/Q/KoFq9K 9OSdkKPik8pDqrY/UtycxskIF6l/3vk4ile3zYhgjlgJ3B0TRatUrSw6K0rzKE6pGdPrsGJzFTVC qv7vbBx+LwGGHBn715dxJTkLyc5+n9N5dd3O0hXmWvmuEYNHvHNx+HS8iDoXjo3PVeMKlnN93qk4 OoDKNxyhnrtvXeSdicMmLWDEoaifBTHFtjCbA7m1URMXNJxw9Z2Ik729i1y9j14wQ11uCZ20kPYH f34OGZqEoLMehqysUt55OGrEcHxQGbXRwK3nCDHCyW7l807DyaadZdOhex3boOQJzTsJR4Wik9CU ffW20vCKgNlRcRsQninDe+fgZJNCgN20fXzmY8IFIaHZVN451c5fUlStIaK60VmzFwxCERFGmwD2 7aoQMe9dwNaTlH/eCThK6f1UFSpCbH2fjvdolRos9gY5u8JJe+6YPW/Cux0m8s69yQ4nmpIF0XgT 3kw3iih0Y3Vm7nYC9nHRYHL4mE9te8WgcAYcPMnvIV2/B0QBi+kerbQ/7+QbnZBeYhaeEOOnffOZ d/INzzShQ9x/KtMm9VpRcjHdWgm9bVLeA1b7zSeRZY1otLeu7cSGg2oih4iYWhwyCN9ZQ/6SB4a9 YTQjCGU2wSGa57wA5meyUJ4ZeY9WrerzVJmJevO9ZaLSapMymZRQMf2R4LYMY7jcadalWlwv6Z14 k12qgiDMR9sxe7a2cYySBeZUK+N8/pAjwc/+tqemvV8UlanAPJuPjh7Kxo6Dnf15Z93kR0CqChuC 8sN6WpdRpMrCmMkr7GPn8URl+e6Y5YTPd86N+p9k/o1LXqNX3SdGt1kdiHOsbPTWMdgg6ATSXp5k pmR7w2B5IL+W4PLYaDftOVeulOf4vBNuuC7G714uZgDTj5B3vk02D92u0qYs7F0Q9fwh+wpOz+43 zDvZ5kl4QYzZ6IH7GucvB0h+1se5ELKwI4DtuWHGVypWhfd3qo26ueeuTWC1bNR8DKpm+qmP+Xln 2mTzsn3CdokwJY9T34k2qioVgQ/7nYdmUpcnpCpWFThfxPLz451pk12CexLq2+hL5CQbxGGiZZ3j MCtuI94zCQoIHk/p/Z1mowmBHIB1i43WpDofaP4ovei9gh2TxzcEEetr3AZ3FKbStdxL3Cvk4UCu cJwmjEicOb78AHnn2DxokKGbRsrdViBCJmk1eQqfc2WaXyhwLcAO5UsQYCl+3gk22RXaG+bINjq8 LGlzU4Lvn3d+jc7TWyESPiargOITEoWpmLW4+QVHiDjjVmX2HbPSvjvmPUh1Fgl0Sx8d/5DOtTIJ g09ih39dSq46du6xpdfzM8QO1Xd6jYqI0DuztMVYrPpbWsE4gTVyDqryeafXKP/3TaOAEplkD9zf qTVKJW3nG4QXFKZBeGUB/o9MzvK2qOydV8M30Q0wsHz0HXNCyZNEN9VDzv9FfYYoVbVlKFE+HfQJ P++kGk3HkrXh9NFaM/DPtWMKKySOUv2OUe+dpC57kPrOqdEFf7Jw8lNa/IuyL0Whc8Nj1Ej3jojb MSFRSTXpDx3ljn6kIj6BIgKgkAZbQDbtNZtCoWQdnD+ypr1i0IjQv7L9VdetMk+j+Ek86/NOqeHb Th6DONOEDoPPSBSndpE65T/MBpEqgvAPKBWfUwRGgQ4/LZGwrrrNG2H46CWzhIOfoNuIeCbuzzM3 JEhk2Ks/8sFdXNd3Sk126lXnD7LxTvmyYOJckp93Rs3vBp7gVudbAbBocxIFqlC3R7/qhCayRv67 9xWGKldz7p1Ok10ta2zJKm9VmqegQyewScgJcFWd+0YGpHzNmEuSPc6HUCOhknc+zQUPVXoYNho8 5CwAIWdOflA+73QaTee9anTkEMrXW0h859OouA0+sXg0ovqt8EOiZwgIMPK9e8PKalKcyidpvIiZ E+RQJQMU0WjVdBemyCohUuNwOo0wqu9sGh0mcp+mvfWtUmKa0MwuqOnVgusmpe/1Sydg3nAkildp By1pcdKeEeQWSg1C0UYwysXQiPqMADGj3t1S++WaVZLhpYncdDF9krbNOnQK372N/OScRQvQoroq 8M3zzRoi4NKLzoVlVrIgaT/vLBpeLf+qq+LnK6NWIcyigJV882SkOlU5S0xFuZLgtUsEmPJ+TPqU 6AJOApp0H/0syQRpW4bLJzDpalkNPAZog/9A9LqLpPlLvs8Jd3qjpKBx6Puu/VwdOmvJoz/vHJps 1L4nqxmuP6XfFmIBzgsOPDO7kcNP9qX2Jqsf48O8uDLkcvjOoPE7kqsm++j3b+PCpP2CgM3qdCK6 vA6zbp1dn2rz8lf8U0SyReXczmuVXXkzUek+Pu/8GV3Bv6BV4AHaXSNhzLqpva/sFE6BRKBwIkDo qMzsuLt39gyf0dStgn02H/bZxBVpimJUTuTXdpbCP+JUGacABFoefcZsrxgcrYJRYD5oo9dFBGGT k+r6vNNnfheKFMUnEM93Rt6DVguSR70m9gJISUItPVq4wndqz4RBq+ZCvn4a7wV5gg28uUGHoutu xlTneEXIoot4Nr93zTt3RrUs9LW1ZVK55gBdwuZaKydkfafOeLvLW1aEAeUHJoxNSBSzont21vC8 UABZ0wgK0Me4UIBbPHunzmQXlZMtkY1+tNYG2B/s7twbBRjtLTIU+dpgyK4g3t8wyPNw0MqcqDba gXi2oBbjyYrK5501o1ZG/Z4hmEfnfEsj76wZhzKvrIOCq0ZiRiABOKMHZXgMWqyr+U6a8ZUJBmD7 6HUzcudF3HiWCJ7rwjojF1EEn/NCADA0ffYfCgFNYljN3GKTkAUtORhvfd4JM8pQLq77qoNxy9t8 xGjVQuuzewPvmuiwOj3PE+FE6yOGAtCMUOVDo+d5u8AdKuIlnhguG1SA/iSI3vFEZ1LxeSfLeDxM fpd99A5erVqIZxvo2WCB1Py9eDH0G7df9c6VUQ9UJWBraPbe+9Xw6S5r1CAUK8t7J8rcShE20z76 LVPRHVV9Zp156qw4pFr4sxVE3tbMtvcL6gCQv/eod7RglS76svh9fd5JMtkkp5/CGQrA67l0o1CV euM2lSccIrPjIuAke8E5Xz2wFoJWBev+H5YMcgmJS5gyczbLxyEwC6w7B//L78FeMLhyCfM3HSQb b1y2HD+3Ks8GcdlF/iumrGZXoOkIA1VtLkk9kuxK2UkpnnKOToC2yVHt/IhKq0mhalOo2m6oimIC aHfWx4Z+U0WY16GPS7JY/9d2yl8ygLtTEu0gN2z0QsCy7h3seJ4NOrwXkWicK/G3Hf0fhqr4Wsg0 kEt3NT9Bav9WiqoXm/t7oOon6krrjnbqnWzjBE+T5vGGAYVSFIiATPAOu+qXk1CyV/xTA4+mlo12 6ybyI34qvfJsxBy6QBE7S06oNTwO6VGoKsOctOzE6FZ/b0rw6s8ViV52iPQIBiDP2KkO7/zd4T3J 9KAxBRvrnB7LKBEnGC7TROA9DpG2U4/Kq2KFT/jRNnr9HUifzte2eDYIzMr+FZgNCSH5hIShauWC dkaZEjyhAIi6XYAFiyy5TfWovCqtuFlFnKmPAOUqlFdQCwXZPKfkoTs2AXlIQ+8RWJeCIh8fFUbY W5xPNtp8w+NQ62rOzbMB+H19EWdIpwCeyzfr7SGrqpuYIFlclzmZCSKfb5vlJhGwQtVf0xLFq1OU u5aaj46e6UpTBbJO56gVIPFcLY1shgC3fcG8014yWCiwMjt73EbrXM2yJU2XqaH1qMY69q8+HjWJ W2PtEbNqaHuxvpIA3+egNSv7Iug3XnM04LtmJAxXt+4blEbmozQyKTl3FNaV03RR/7EwkApxMef2 f22dqM6KKmir0lusYqZbdbV03caosPBscOPccpG1ak5Mc9lEPQ5YqRc0qxENOW7K91EFHE6VIaUY nSUhvUpNTepcNt7OBMgAKartc1oX0aua2EUIw4xvTVFEKT4+uIOr3OrIRjRaiIYbvPEy+bKimHWs XyEaYj40eGxGojoracJYwzQ4Ry/mu5WrCX4t9p+wmvzaKGQ1/p2hl/tFNy+QBGXIi/OsELMpNhHg LPma9VXgF563R1VW6ENnVc3so3Wvxs4mL0I7r0dBaxqOB7DeOqrgN/Ht71ErgF4x/8k9hDfb2ZEz 4qcLVESpyo+SCA9AF5MMb/poJ2w76bN0v5TnbfvfJ1SHBefn6yHLrubDoxvHQDTFR5sSwPTGk1iT ZyOK5sUUKeHrUiHyKYngq1SNhwCMiEZYUscq4UTQebvgJgxNSAQJsB54ARhAZPaj8AVG4jw3zVRD UiIjavnKnI1OW5+/i6yZj48J3g0pKB8v4mD5JTwaz0arZP2KXelPXJBEj4JXeITDzMhVOLr7ZqnK Kl2edvdNVGW1HictJRv9toHvWNGbP/kYSpy6k6g+yTL+Ox3d3i/K9KB3U/m00UES03zIyol7eDZY IbecaF0MebIZSzMKW6cbzHmiV11uP+3xuMcu50iMqL46lfg2bZj2YODP7kYsXOsjTbBUuolIENjI sm9MRv8v9oZRX4IWOCA4GxWjbaXVSnLO1TuiqLU8EYmuaUArl443oqiVVOT8/TTpWRdpd5MnLdKh krQVv90qiiMssS7leW346I0JWt+5iJJXpZiX3YMYdU/rR1/r9mQvGVUUwVjBvbTRQ3lvH6JVwLN/ KbGazHGjbO1zEsNXO7L32Vp6KWtJAPPENrOR+Q3dkZqSqMiKrcg5UbdOV0vCuGwo79OlEbuZ6qou I64cwUTusUoazGcHUDwACnVJTX65mvxJE4gKdMDWzLNRDb5/watFKn1314RcK6IRq4WQ9T1ipEbj NOit8yRGFLEuFUaK+EVeCGbXUIOTV9l5E7qoU+XGrK/356thRQzJZ/+BWIRps49OLCqS9JMnIM/+ jeut7UW05UfqiOJVlYlhu0hDZEw3brdcwzREkrc3RxSuTuFnhMKbDwqPEAYUGmvr3JZnGuRgodgA 9KUUnK+9S7NXjE4RQUySZnDJwEaOdHjcnZ/O3GQe/n90aRQNpct+j+JVxCWW0Dt42Ys7J/dPikiD MwQ1kBPBs0KieHVNi8u2jx6vpnPzNu+Bg5loovXSc+WILflrMkYHkY8P9gyx/paf0m6PdMZsckYA OTl5NjpD/oWlMYUPn5IQwIreRp7ymSZIQEJSVaMq2tE5WU6WtdwSdUQQVnmqnRs6++hX755n4wjR u5HfyZo6TkZKa/lrpEWLng+PjlUQ30gN2+gfznzbMdJ4NghFnrtGfYmMHK/PSAwL2ES1pgo+rM2Z Hs4z4bapFXV9xp80I2RBPa1sQYRKPHnOQWnNYPKTH1PQrCrQnt+L5nwyHx9EI4s0b+c73oO1Wqa2 1ubZKF79dZLQPUz3XA2jVQGxp9ugPmJe2ZQCgQRwPdhBEkerKiiassgtkJxVh8iKuEpngVRpQCI3 k36wFGizfAFo2d4wCFabNEXy9tEKz5hQCHZ1AnKeDdLeWzHa3uxdd4GEsWqFNkKZS16FxT0/pZBZ reAqnA3RWVhmpcE/6Ana6AfrPr89o5fBwXo2FeSSDu4dpcyltxvfmuKIiVbMmlhje1wVjXPcdUNM tVF4NioD/L5pAMF2T3pnWGXlXMBZRDOSCTv0U+ldGCzSIVj94rDOWLBKegnrjhfUizhTlh1fAiNB wEpl7UdlSlBjji0CkM6nRykeLrfc0DZa4Tn5adXOQuTZYEra+B4ifKW3fDajcJWvTFYowgMAJ53C wtPR2mIqSj6HM2SGRVarJv63/Z3BGWUFqyfFW07GowxAIbO0p3dlMjwzCla5tEGyNR+tMFL9uDoR /ODZv5whZivAjeWHyIyCVRSuJ9wdaa2YuLMcpnO6tN7tCd6MuVbCFQmzux+u1VkdaVJDU++qY0JD 3EP/lQIh+lFX+q7ZG8boM3w9u4+2QorJyyOppWf/otNk+ndAHq72TBStwtnVUWfos7wv+uyxVEMC Q9HqDOurRgiQl+i+QrQnVkgoijTFzedvxyyTLaVr5xu8G4J1hmgAKxDRjdboG2aaMFFtq/BsJPGW LxrAOjX7JjMzilZJPZHEuqKIZkZOlah1WytIHHoxcYbSVRJBoN1g42UWnaR/8b/B6R04zHMNka3t /vPA4E3Ga4ZMK/IYQaFt1IT05lZqjUbNjGLV1n6jvoFHLA/f559qq/iqSN6O1zdOnrRGu5SrBKfV honAALIhXFmls/yA4E9Ids7jYkpeyMgZzgbpDuXiX15RsxcMTtShvC5PH20+8ILRfMwxeDYIy+b8 zgcn4PICwIywAMDSpNpl58fjEyb7FJ0fUBNsu0SF1SVss8o+Gh1yVgE5Q8AlcEpVRYJRgc/AnKrf 1qYu3RmFqSJIFPx7bLRCyJjGgSer4dkol6nfEhFhMhLJNiEhzYpYqbseZG/jqsHvNq4qAJeEJiRU r1IlRBZH87E4gquUUI5Qjeh8iJFLkL1EgXZ8g1TBNGdUVC3uWVJ9tDbebOZxCCWWZ4P1cTMZFU7o B15U4ozQAFN0zmY655vukqKyBUqugQZo8gFRCBJFqUOwB3nbavQL5rx9dZ9klgsBEWD7aVjJR0RU YQUfHqE0KR4i0GKjR1PJ0/+TmPPsX3Jd682c/ZpvUBbyrKiTJlRqiikCmDwTS7LaoVJU9hEocYaK AEtwIoVm6+ngoeB4UnTjjQyqZhy4YClQGn5KzFLS5bOjEjPB2BacaF84EdBpw9CNuXk2gkf86vOS UT153YqiVCnET/cCI3v08lAfrmql1EEbZkVYgKGzo4gUUB5SQKKbyb3CCVLPAsnbbdJyFWvkS+ad /ooRtxk8EfRGG3WkFlpcymNarTwbMK2eI5Wtw0aQ96d+X4gFSKjkLZuSkaYbbWTj4kFSnMIknhWy wjB1iEKlqnv/4ngbQhpbV+4+36l6vB17hoxIELp6V6ZZajwrrKm29L+yb/vcWcoQMAji2QhT5Keq Ine4C807MytUVmXe6EJX1GdoSBTDRHApUCHKsjfgFFkhGEA9XsIexurJ/05tdIkMlr1RB1TgXoSm lXxg+a0KmPn4qEJEvlJ0mpQ7I5mbS9umVL1aBNP8VWU+R86PqueakZBsRX+roPdqmgDQS405UpL9 t1oA9ykSWSFy1cTwaFRq9JN1QsSRVTv+2UU4+bMgpGUi78XvnPhLxpSAs8ra9tE2zqjdGWh582yU 3f2Sw9sSjvcpCTVWz8F1Mq6k/v9JVdv5AfuV2V27ScpvqpitiG0lf6I5xOsdtzZ+fjqLpA4tkg5h YpghI4xIkur2pLtDwciKgADYUpwAF+kmjVZGHFX+lXgBZ54N+jMt/TpJUA+onu+uKFilkNv3nK4t sq7H+riqs7ILVIlohaoA2jBp3/GereeALsgwg3+vJVFjPAkOCB12M439azzf7RUDaIT4IqPd0Zoz Z/2bB8v5gWcjsMidEULLLHiF378rBK8ityJ1DyHgp7OLjEVsCe9wuZUVBqwmLlKrj96Exfj4XMZA z1bCHlE4KyYWjwa8ex7A97BXjHjwkOrAnko83u5S2oMqE/VzRfLsXwRo1K9CquzCeVcUsSJ6iTBA tap7qcM48Se7rK4uUikk230TA1jFZBW3WyabFpGMAoYwS9uL4go57yDTPd/WlpC0B2lEW3x6cAOD 5a1ZfKt8+ValJHekOdcqz77vm3WprOZiVH7grdmUhBDWTsiktPbkhL0Ztfdkor25NkC57kUrLK2q CNDrHZ+TJCO+uqRr3lBYlgTt/NkdQN5dIpJH48ODg1Xp3dSKn65BW5HoXEaSWJVng3Nk/mrQUP+9 ENYVQlilBuMSRVDofq7NfH6KRO7bumLZVbWs/qNefQ5pLGakyAuQhhXXBYMEozbSI5ZA9UhvGIQj ACKSgIUa/ZDaVbEx2po8G1y+j7R5tVn82fcU2VHQOuTvNw2t2q08AlqzXAh8m8IYdX1GlNVIB1Gi TfOXaBOVZ51BFESKs8+a6vnFzBF+a73vKGhFO61utas1WqEor2YgKURGd+gFcMEzTsNN30rijoJW /FRyztOFI9IcdtsUE1SXGcAqDo/YERRgKLGpUsyo5au8CshKew5EVBUa+gR/ussK2+reNlvFkR1i WEUlqGDGNFqQVtOsztucn/0etmIN/LS+CT0hbHl1ZIcYVipiKSl/YU6Kkj1cp/AlwZqmDKzudN3s MHBdWiJr+uhHa2lnBzaO1nPEnpunW7lowqf7ab96VtteMZgR1Kw7AGobb57g3qTnWuPZv4gEqEcD KedOSBS1AkociLFZsrduuchsrlQuugiaHWIBsnAi1u99TpKzJjaSy003zehJPRz2EbQgavBP/X3b GwZkiaFcj5BVo4NXezUgXU+bZ4Ny4iVtyn2TMGh6zLpD9CodyVWeJl65CgH5qows+T93fUaU2AjI q3Jyu1hK3GXPalCxegHDzaJsEiDlJHTaPUcW2CA+PZI6k34Pf4lG2zLolZgZXKs8+5fOt77L8x08 xgA7lF0Fv9s8LFt2dCwZby+XG1nD75odYgF01/yn/j5Ahe3RxOslQmvimIisAK7nAc/sZO8X+SRY 9y776P2ZklU+O2Hk4tkILXJJvVZ6nOYkr/mIgAAKqYt1conTinSJm6B+W0W1E9Ik70jsUMtqCcC9 k49egMdQstHNhKF4jhBq2F3qcknyvPNRTejZXvIPxiJdhaN+3YmQpCtiMp2jdvFsVEPLNxwh+kGD 0qckDFhBfCuGFhKge/8fAIxhAqasmDUhIRLAJqRkHz06G4PaPppMJ0Q7qaSymia4CIcw371LakrK akdIAPA9jbaMj/7xW1RPusiDZyNtnof6DVqkG+NcMxIWWYXzSG4TYIIjXSF2v+oArfkpEhZZldTI sEnjjVcHl4ygANDYICAQwLefjeDfV6tIQrQ7dAnI0o4sd/Qsz8wdznwsPRtlebcQ0PziFd1cExLr rhLaTsvywJraPdP1tRGKZHz/7NqNsAAIHiG5UH20U2RSTcM/UWJtVBe7aqzn10js7zlWzfxtx+IA FrFmH226cflQGf4cYTz7V/WmLLGidgtoOUURa5PFyCguyVv6pdGcW7+ZoRdpg4vzplAewMhFikjK pVZOtVPmMB7rmRKAwidrojRP2oCT0rNOur9mQETDzbiRVKNqLSNe8eHTnGZelqeejg7Y8U1tmJTc ytUsTqGwFTAA6s5gAQBjqAqPz3mRa1Pe5DnVLBRiXavm8GUb/esciEDTsjjBSUpbjL+OaoCKye1r /1azv2PUBBeBBIVrjRbMT/nd45Xemh6OyFdfdkDWbx03ms8ptgwo3E5ZG2em6rK0LXnwdht7yT4k wJ8l4+8WH71QQmF+gWGBkEa4Ju/juhzYS2vPq2nKgsV3DZpL3FAyINPoix8khsL51vVwEJyU9IWy NoDu49lFUfw6RG9UZgOPpD8k+VWuWsC4Kvkp9rUSC9zseh6rTUhXc8sCe+2Tm8gOb+I/zBdu4ZfF r8lf8Y/OAbJL748B9k4GJslrLj0cyPU87hKslIWH8zMnUQhLtk79+Z4sXCRSYKFUI+JAPdHss1ai yuuQPG0CKaDRg7aMDUmT+ug6Qds2Sec+XcIfsUbXMDYL7BThBISWhCTro5VeUzb/gJMjZz0ccVwf NFrBMu1nXkJnTlEkyw2zyFmbehbUckRgFG6MkxfZMbd6TmH1dRjjN/vo5y0glO5lNUn+Kx/mwKNl r8DN60h7+ktGCbEuLRmSrIvMWBWrKIWzuenhiOfqvS11/eBP0O31aYkCWonZ2MHSbgFWB8vVu14I IvtiieLZpcDN+uTrYQycHb0wE5MKWAIjiiiLDHFL159wG34qTujzg3glYTMhtz6NvhhdqBXEuh6O Ohd3scgAbJp6gU9LKCLAwu7uSHLuTpebTKbRQiJIc9pPlrAIu2/f4nf3onYUgKZuoYLrO23jAR4k 6+guDwh6+R4KCVnJMX2GM3AsIse0LPPOE3o4AmM98mhZNYo5nsUSBbbIru0sdefxs0mKpW20qth6 meilXMPaFIkJ1Go4+eSjLxakos7V3q2DIX+ELgEYmSzo63UOkscssVKrIFkS4iyPM85etljKmR09 HOyha56nS3oIoXNnJUS6NrGOyPYEDiflkr1C1sP/CFKdHXbDx/xptYDZsfFuoi1BAplPIIogWRsy /8cwrptCevOXDAop2Bs1KUdqdKBaXdL0qUOBXGgrcJNCNTKo9l1gVg7NsJiVx1dgqICuon0a1+Qo Ja8c5MAL69wSzdrmycdbSD6nykxSW8TwOUsjjbqcrApWfZaKTUrghcUGsor99tGqB2czWgvvfIAe /lu7S2eQy5DZpIQErSoznukKlPsqUA6xg7N04zwzzIEdFhA7LmUVkDT6JTFOaIsdNqft6HsKfkHQ r/7OAxIfKqjk2A1LhunpGT1gWdPciuaoejioVOcHFi2bYvUNfE7C0Pb8syU3B2QoyfFVYhpXTa4Q OPrmCe2wloK4YZijfquQ54uEvwJ6E/+JbQqEbJ4suFT78k1K8Zf8gwzWY92S9J1X6BUKjToVhBxY YtnOtlnRmUgh7Oo+5cATy+1N3EyOcsrQhbzJ0yULrjyuerE6h7ZYJkJhNcn+9Ivzluob0Pid1gn2 TakEmpZs7tajuTBG9teM1AU4VzYqvxqtlLBHFd2xppL1cEQdv4BPRefYBI36OMqFklgLVip/EdUE MJ9N4q38ecqhzY7WNlHI2TIFFxn+tFtOgOKIA+8SZwsdtmJ9dCKi830sAz/bdXtfMuoaAxlX5lxv U7qehN+ksuvWag6xBf9qG59wrrfnaInUXKE+udMAymm9eC7U5OiSZZU179ESxrfLuDh39FnZ58zq 5IVMzvl2kwgXhJvqfK7xRRcUf8mYpHT+qSFWvlphHOL6aRY9HKlS/uJcMCv1kh1z4JNVxCBBp8jC W6s8Da8mWHhb8r63UAgw6GIXlDveDFGy8hJywSGcA7LDIkbj4Wd9K/qiKOvjg4Blq+pUm492C41u KBQILno4OHEfuR/TRcHqrz7TEoW3KABgK+chS6Fqa2XsXawQVat6WXbqRnIDxnlaqrmt/KDaNqkz lcET+0OD7IIKL2nrkmjVL/Ex+2sGy4ViGuwCH28o16fp1yZ7+G8qDMqeRVROzzaKQ9xzaRUpIJ80 30SR5UMgiOjmcNm3LBeYZlFvFnjaGGH7gg0KfcYF7RgL9dY0eyelRlinioFxHV67v2KQOpMNia9r o93/YyVTUjjfhB4Owv7HwlMxFyy+J+6PfbOg0qrSIShXvYiD/XUjuAJR+Q+2WcqDtFjGY9Q7aIth IawksW0g2mexcB/IBLN94/45/CX/EMz9R4D/BMx7mqbR2fF6OIr787dXaKXKi9TJoXXWsCTRVYBN IxkVYDPREuwguQpwDr2zDFcOStxGR5dj9TirvMxr4aytwvxJQXuaZocJu9iJG9pnsfyopogpq/BJ hi2pd7MIY7EE/lncQ3exKO5qsui4sxIWcFtztT0VWJICGZAHeUHI5GxJSZzqbh8TIWZNrL346AiV E0zUKS3dfRbRRm4IeeQJx19EPC/hLql45thES6ADfOZstB4ZivNd9ccy9XCEq07fuhyV3nb9GnJs o3VuyT2FWDo/kPhKkGBDsTB/gpEd1ZUDHy1zqUFIe/vo0dxZCydJxnlgn3u6k833BjAoQ2jXjGi1 gK3wlwz2UIf/h5yYjcZWoTthu2k2PRyFues7K0BvslaWTUso+LoFQbBECHTrZazse0F/Cy2Bl9bj yJemj37enugf/rD6qYNze6G4zsKURudXSnuV+5JBl7mIutQ1NoMAUqzcSvR6yfZykYTloxhFFJa+ 9lE59tOi+7fWNRpfHsxlGJ6OQ5g3eQ4MtXhr5YnkRA9Vj5OlVDQfRfM6qdCW28WegvZTTtX+KVy9 9oZR4x1IKQhUG1WIO6f3sMJ0w/81sNRKX4A1V5xu5nwNYHLoqdVAKAoOQJ7YRnKeuWkTElfs5koN OTTVWmabLGHXUR/1mxONrl5kAYO6InW4Psi4slSTnirLPW3D+Dabxnj30eEI0xs7ZzHr4QiIfzur XEIb0457M4fOWq0LI2oOjar2e+2pgaAtko0aqskRyAXmWtcHZktwbV/BtVVhaE0HJLSWm25w/A/5 aT/xSt7+jkELhByhw7m10ddh6sIlYWamh4P49rdKks6e+eyeKLpFPV90YmsLpZsKbUcInq9xPbsn 9oIVgtZYofvZPWfzjiYrqnNC0Tu0o/gkosRr5WrRC3OrTw/mpBPZor9koz79HF1TpVvwNXo4kgb6 xXPifs/P5gkhtNVIfX6gzHugtAts6mLG2JTEjgWS5hd7dN2e0Kz1vDeWMLLH6WL19bMmTEWC6fDu oZDnOXTYqibSPu7o1dqWDfqW09TDwYlyp8QrRIik3jmJotp0XvQ8l1Rl0gnongXLfiqqx/uB8mcz 2P+GbyehOgE5lfmC52JB7PTkhkxxlZ8C63gb5a/7SwYLZSl+E4i/3dbHCTdac5nPoYej+spFJgir QafrKWeHTlsS4iomKQaVZbiFQbGMH2hCa4+5dGi2NVTOtrrcugScs39IeNDeA8BST4bcRENf1kAU nDZ/JRxzaLfFiXFiFCLKdjV36vm+qqoWJ6abejiI9m//0Hf/NJVRm5corgWKKH5BMZ1tlQ0oO1Un D+6xb50yMNyiU6Ye2b7jbQmda3MNiVhizbaEMpapJt+g6itGEx3d3zEgtBDX6Jyz0aK28xUaNKdl e7cIHvhb7LOY26jNyZ9UYQfZq9uQ1ysmte0/ncTwaTWHrlvS+RxbgMj9+H5sRFuS+Dy71rM7KZs2 QUpU6tpfvbHnJSOBae7vwWWlUUsFb1VHa6Shh6NJuSkQ1zbmP/NJDGvIBoMBXKvjElBktnxZ1R3Z f+6L0M81DGrd2bP6+PQ+8KjvairNlbBm6UiWUhE7AdX68o7vO0YcDhmzcTdr9KUytw6xrCpCjWLa Wh82mGQ6fh7LnBy4b3Hcysng0S4os7h2wSqGL621XP5TDgy4LrzWign9AbEonpirmgHI+dKI8Nyj nXIxeZe7xEgtNwcmXNlIciJ82Gg384nhLhty6OG/BLbqHnKT3bwwcOEiPeg/S1vtH7gw2XEJU75I CmtBVVheGNpwDd25vdzx9g7PSnG0YN5QskFrIEgk+ZqvcV1Z/orRBqLgtEWT27ectVezcKNuLZW/ Vm1ZwezBK8mWQxsuEDln0zopzKI2ThWcGexUKTnfkzbCJBie+D+wnhOvYaFJt44O4pmGJQALpV/Q sTQ6L8Y2L3/JqIAA/1jIUo0OxKaapZ/21sNBqP/4+ZkD5pKYv09LKBELvmI0O1k7ZD/TMljLnbja w5XLsRWXKvwe3ObnAlooF5i0MnZkpYsYxrVMfXw9sX43SELoxDUpNC14rDbaUiEZMeT+bno42D2X CuW1SchQz7Ucg23zeT8Jw+yfbXhsITardcnO8TJv/hN7cQmKzdlkox8qCUzClIoQJW3a+Vbhzxxe pZZvbfK+ZIQCozaJSrWNN9xPBj0vNFUDN67z8C3xy2gJZ7/nAgplDWhxJm7nLlmDKqktIAmc6eMf dc6Ls29z6MhlemRFAX/5gsAa3Ed0DMqmSEFghAETies+kTQdcz9YevHXjHhzlJuWWohP/2CjEaib tek7i0q249b3m4MSxhUnz6EpFyn9ULPJ2kHdq03DMYRZtQy7mANPLnIpOu9irmv0wzbDLifwKbDM qcf9mKkqethZ/kL953Fuz4EnV5L8Yqs4Wdhoke2ZB8Vz5+ZdejjKg64Yt96+A+a+kxIWbI3BY6HJ SDlfUIKaifk3XD2HvlxLccre20fvHM4JnVIQsAEsG7XEgbRGxu1PHgaXPlf8JSM+v2TrRE/eV/cs UZwYdkEXPRzcQVc4RjXvCm78TkoU2dJbXBKvOHOxlC1JBLO4Yzds13JXSqxxoFRZ87uew5atZ9Un ukGIASmFBL9rUDXrpYqhrw+PtNo4kcHk2miZIRzOYUdt1cMR+utmQCbyKte4G8IF7lyk6FSbJNmh gopUvZUa0vGy1LCo7sTOjQ26pPi41HtfT+8dx91RhWDawDOqOCE4KBGSUV51rkda/pLBobIklYKq rkarZaHZZoIpY+vhCOn0q7Jfzv29n8p+YNHFeQBycpisoUj5srWTbTICGScUWK4Pkv/s0EUi6KMf tRDvZ3ch6jRJXKEEbQwVyAyfcN/4DTl06QIhC15k+egX8/kYUT5OYqWHg9VyuZa6mKWsc+UOc+jT JWzF7t3VDqqftcXqcagEWLCb7EMinjIxz5JI7yMWPcwUUi3ZpegN3ZFOeJv5KHRx77RIsDyHZl1C t08UBGy0aTmnlnLzE3FWPRzAs/et7Ktod17vC7gN7LoMWD3EG7UraD6cy8veFhTfpuXPBDIettFz Q0Aq7ECuoEW3UAQyOr4ASMr+Ehxy8ZeMwjjACFVC7vURcm+zG7Lt/B49HJUn06/TFtDIjVdCzy4F DyhumpAMKpw6YsqJ4quVsdFiu5sowiNIUmiRzNt4SVNlEUuoZruWZDHQV5Wqj1G4vZcq/dQcOHeh rCjHECpPGi86plpyN9fWwxE0bv0KV2i6bJ+Wz2u0UqwSB7vrx7Sp6xUewkHMhIdEsGWpvMYqBeQ0 YDP+dhv9MDyJEPUmvGUaaWE12yZSEVnaVCuzXymm11CloE1FQGv4lelw+JrgtnLAnJiOR1+mg0fN MY0/gg9vsFo6//f5AoLpoFSbATS5CHMxzpjMbJa5M2dU9qU79BqnFA4Ugrctnu925eizYM/9MKCk gnCaJxvXzqqaEAq8mhCn56p88DohlPWBqGNea6MXPc9kmo8KVclgQqpoOypL0CakZdJsPl5DlJLF O9tqyJ9VsZeEQekos9eHOspwHhp38WuAwvKQhPsWX/HandIWPHEJSRsmKueLLSageWYLf/SSvvQx 8aReA5SCMSQlWrO9v+q9Jxzd2QPZ1D6v4UlJ1828WX5MfYkaqOx9o70y8Yvf2ansxW3uWm63EtmG p4GvYUnJpmvHH+WjN8G4jjORznlhaUEoG8rqmbjHnd01poz5GpaUx6NaYolQEtQRP8dIFd0cO/r8 eY1KinHibDoEPRVhaPuEvEYlPCNfsWnIt76KO6lm+temfLgE8MJLLlgd4NjOsUGxWuOFYJAjV+OL QQiSBQ8ZblZbXTpu5aut+xqRcAJQHdlNSVEbLppyouEtTkDr+GRGh4dFacwHLeSzPoA1aTpeo5HC 1UCZQLZl5SwocRhoiZD8yGdHSHitj9dYpCQxJs42oYim0dZHKQhJtCTIaEsJBcQ+NvGwWF/lUtlP YCzzlGA6lnxl51w+Wj02tWWMJjR1XuMQvup6d4uqsfx9fpS+BiHFbHbPKV9NPwfvl+ZyZXJnoxYr NSnTs4/mA6LgJm2w8dkv2P5h/8HNOBYKnAtnhoew4ITcqhcMdgt1abVubbS4jIquhSK1fF7DD44d k/hrjraeCFAum47X8KOYetvoy2WXFfspJqveQz7LZPleeQ09OEkJUbe0lzXaZMASo7QmJPQYXaqq i6+IqhKmDV8xOz77/VbRosAw1Uef6N2tL0P99TXq8NfSZCh7ITMavlFe62ncQ2c7j9LtkBiCkJq3 XXLoyYkrHTH7Wk0rDjzZSfH/ta88c5EAKS4VsCosHu7gTi6fp2lejS8C0t4wmI6tC6jd0cMwt6dG oufzWkorlpDfqMPQj3wjmo/XUloxy9VVaOfT4trFFWRHr14IyHM4yO+1jKaYQx6SVA81+nwgPoWL ByETpPMuXpQBOs4XTh/Uo3XJ+r0W0YoRPs/xI8ml/XhQ0YC3a3bw6F/uFaF3YB2O7DFYFJMKFFPL 1TSopboQyBAvCz9qSfE7CSyakaX4S7ZL+7FdWucARV5U4KqzNGTwh68On6E2+FNYbEbQjlYIkYdS zuYybVKSWsl0xnfTs8GOmR6Y8phwtFQAjEcUzAk0GVGycKSeSaABegmImUwCsdRUHRBmOJqRqUAd EWGNHqjP8/UtpMnPW5z4d5tRGbpF1IumzNmbVRUNNBydp3y5DVVsGz39nw6uQH7qndSjyDR9I1MO YCUJNiXvsSmwHSFiDDE8s4unnhioX1NqVEatphgFpxvy1wakbqMvkoHBsgAIm3uH3K0veqx4wX4D 9SFf+3dCTzHfn5Z4Qxsd37i7AThPrvR55/OUB1neDGyCeC1/rk1IFJ/ymkOupKLDVQfgSK3dMElW TFObLzhXJQ+6JW2+ny/x5J8nYcnQD1FJGXiFsqsKSTAR1nPHDOsZBHuGWw8x7O2j9bNOPKaf6wmy Pu88Hs6ROyHCwxJAk4ZpPt7DU1vvuajZrOtF2uiyo3587VvpF5L0HqFao71vREZt9CUCJT3XdUXb VN3c0lIBfLNvJV7mZp93Ck+5TlS4AtrotadUve8PxDGKUL3BZyGZws687xKJQlQKAgtYkPku7ctQ 52sckm4DYCJ7kHcCj45npgLRARs9vd2DBpYsds/SINPlagZ3ITjW4zA07P2Ci0au1BDCbPQmVnZL zNTq5527Q/idfD6YOq7OfbPbd+oO21+GLp6+yRXYM7p0C2WItlipOQpRpQOy0Ty10dfHwgZOMk17 yf+m6AihU4aq8L7VD84oveH7hMDmJM9fPhqGfKxm5Y9zBX/eeTvl0SrXGVIlxsVesBmJwlQF3GoL kNKN/YjZ95vSYblmMxLFqWrpbSxGbLz1jxOrDxG5WN4rmwURyC9J8HINOopPykLvjB3Wn+gXW+3O fSf83NbV0BMn6Pu8E3aKgZd8z6hV/+tUfQ9WQY+feZOWzrlmNyLZyuq2SsH0O0eRZXXXZwRbxhJ+ mno7PyZD5xrPJ2crSjvw2ZV090nifuhuUbm+wZmkp97JOgqxhgA2yUfLck9SIMxa7ehcRNHqTu07 IRiVsW9tQqJolZIETvZNRSEMswTUcyyjTpEJH2voFAkDVuDAG3iHjc4wgp22WXZoJJ+TRqBHDqwT zas39rRo9rCXjOYEkUP66DbanLRx1crpcEYha765Ll8UoSGtWyuTRSErwqDn61wei1RXianWB+bq xWhHAes7S+e7bQBqaLwhfAUVzWGRWkNgaDuuXAoXoj/4KpF1+TtLh29aOkswnm20q4abzBrhbXze STr/yml4eTSGb8D6TtEp1uUavKzyXSn4qjWjbrZaMyKTaEbeA1aUAWEXDCATGn3bdPlRS/fxTHUB 4IArBklDN3voKxADduvzzs9RaZlG6O53tIPkXDWqqmJ5/3mn5+iyufumG5C+3+rQOztHJRTUYWQl dH7IgofjQQvkVW5lpQlFf4KRd3KO0l7SGXwdbfRuL+iajQK3+kqyKOzEbwJjwDV8KiJSQXnn5txw BJtUH60Qcq4t8XRODKtXCyLWWb8nCfrJ2/O8d16O7jZlhNJKpRQCD0IdmW5aqUn082S+qe/EHGVG JnS+fbRtA3Bxji7Dkp44rlhEAOyQVxEWzs2XVEB8p+Xw6dy8A80QG23X9JFdAXauzzsr518l1R+Q I/2Ho92mJApZz3v/4Naoy2ZOVUGEjpiu0J0gLSlgfefkFDPoOaFq7j7ahEDZOtfKMGd7RDms5Np/ RJd86LKyTnmn5CgtAQzNIm6uF8tGWbmYys1u5fPOyCkP9ve2Y+hoZy+avRNydK7Oy66gluWeIbnU 7Xg0BLQsx3vn46g0slU97D7ecKQnXA/Ui0UrFVjJkjKcJO2/8Zm/YBCMyDyTL9dGD+C7qavgP/B5 J+No5f6qqZLuPsvjPV61I2SmYsHp2JJUgsB6iSiwo+7yeI9X3Y12i8i2v0S2c0ZgJqtKUW6y2qEd DuaXmF438jWj2vaK70cIvA+s8baPVgVAbT97nvB5J+Lw590J4aF5LnggzDYjYbxapQi9rXaWi2CY yvGGQ8bPGZLunLxHrIi8UcEtXPUa/aUp3WcQXCdipUdNdretBKAXvTSCYW8YTIjs/bYZhTqLAJWd lC2WZ0Lew1Wr+jwrhMiZ1ab5iEqrSDHMJYGXAbI/Obd8lmKNzIwEmV2779EqDV1WiNQrthXDFZqN BiOH4ljCcRS45TlAQAWfnx7Zdv4PfXYQl+kAoeHQvO1A7JFGt1tiMBvvsWqWkbpmQ81/TgU0y2w+ omAVKbCprrQCs5Q9MJOOiIpE41YA3vk3qgCAWSsKe8pd0qslMApgasvZ5Se0hP60pTlH64gKkacz JdsbRhsGLjCICht1bpwvbl/B5PF5J994Cezb0GVSl1+679SbYgLlFESNpTVvcQRUorO0xmVpvfNu FKmq2KEIvo7+LJBzhFRBWU5I1kpTI4JkjhtmfIVji79g0NJF1SSL0KdR83E2YZUOOq/3eSfdFNNN /2Yz1G5vW+adcnMXlUGbTEB3GAyvYIFhB4gU521GwtLquBXEX3VEkHcIxorzORB2U5BC9870KKhU PrX3d8KNJgSqTaVVptF63OdGN5PuXvRewZaRFNitNLMGp98x73wbFkhD6Ve4B7I7CWdxoCaE0pxF vt1l+J1voyOEmahKeOtNeNEPPYGMez+cbIa+B7Iv9WdDyvgK2LP0P+9kGxVtK164qOxptAnptH74 6czn551r4wG0rRARS8iZl98x71Qb9j+Oh5LzECtreV+iFS2VoiqRI3rfmTbaMkrr1MhMTyPznNYD i/KsLQPlRokEMuddwPh6DxHzCH1n2qh9B5Q3y0M2uyY/t8ye4vChOfB5Z9oo6Px1y5RpDAXNSBSm UrztcqGTxti6ZhgtuRBQW5K86fqMIG5X6d0avPOibRclxSGy3lkiQsRrIW1luD+PZFSXqss7waaY CxXUru6jNWfgRiv7L6yQMExd83uGoLCT7/p4D1K54eePUgua/GuyBtTQbZLLpfImMIZwIVFRFaNq tkrx0WcDv22R+FC56tXZ01L0V5r7pZKsaa8YtCLUwJPScZoP7iT5hHBaf97ZNSoRrV81M8SO6gXK RHFqV9GRY1MCFEUMNPX+kexrkrCHSqZ+1Tu95ungCbWq8VbNzpGaJSyeKHdR9O59icSI1U//OgyJ 9/rOrrllsyE3DI0Ggi/dVPpOwFo/7+QaBzXd0qoyIIxhfVKiUJUFPOZw9awhyhGMvfYoCa/kNaJ3 as1t4S1VipaANz/ywkwbV4MhD/llPuVnSsQ4go9iKva+caa94x8QRG2LM7Ev1fjErV0g9hMBl887 taaYT7HhAHgtKeine9mE4SqoCF1k/1hI4cS9utJFAkjin6M1LK5a6V39hv5oFZ6MdM8s7C0hfW1W XCUVqMru9kOsEVD1nVfjNZGT23FeaLxXex5mHtT0an/J8Mxpj3GtOydRyMpZvpRUIEJRRR7fEiu0 GvQ5xqZTGN9ZNcXcomhuVx9t65y5XOcax8MAEZe8s/GnM3OyzXXAGYzFXzHoSojSueR8+BCvC3hx Lf6TGH3eOTW8Wvkdo61ftcR3Sg3HCcWfslQxa3rXZQerZOIkJdalBMJx8s6pea5gslkbHblLpyZV hfET5JqE6Wz5UrUnL/d1ok7NO6dGLWwcMbpgAV1xubB3aUt37tzs8/NOqfndqRH9qtrq1JSEeICK 9KkcqDOw1v2zoaRV+l5LhAAUHGQp+86nKcaF47apPt6CM/UhqYCnlpGaFcFEkspwR0xCwUpn1V4x 2DhdtaKWfdT5us/tkx2GNz7vbBol5J7q8f+BL+qe6L2TaRSkwQ53KBEwdQMyt3QNdhbirrqE37k0 xZD6Z0n15aNPSMEiQEKACZshyK3nOprCN4qm/xVszPaKweFKBLZRPbDRqwzTqJ1nPtbnnUzjVU6f kCSIZntm5D1sJUg7Z+u4tTNxtU2XsD1wgBu2vtNovF9G+FV8dPAubpDycqqYJqds2tznCJEDj3ho 83vbvNNovHjTsvhrGr2aPYoCizOp5fPOovEGzxcgUehrP+dIWF0VIECFbQcEOLDIKF4CBCB4bXMS 1Vet4ctfa6OfI3nTpxLjatLBGsp9RIaRkE2fFsdXe8Mo1yOOTyoZpRvynPTUAKsnGiyfdwaNStXz e4gsJZnD75p3Ao3umvPP+ZOBFcnsSbZlE/TNoBRfU3Ipwnf+jHr2dvNuH++yXieEaCz5swUxBbkZ YRnmfKpiwBZV/p07o/kgyWv9jvbZxe0CkB36vFNniglcfdMaMq/sLZp34gyPdMBVLmEzpShmmd7d MkuRrZZHGLUizJASnhsaPV4oZw5GyWYVek4RTRe0W4Kk8o3Psr9ghKABKaLyWfmWz4AmNZsZPRu1 NX9dvFDoRr+3TBSy0j3C9dbyvKF8Vxph7dLBe3La5jthxkPWwRXroyNLF/DGKevUhu4TNMZNplOM tWEHiGRXPu9sGb6vDBeCRqKNNh3ngLNu/omBP+9kmX/BZwTtoJCHvp9NSBSvknfuOW0ezj1pZinn stWEDJn7eXm1xdBV1c2sDH/X9DqfDANcAriUmrvk2bJcy6GeGQtgK/HRhweXrmwMmmpo7RKHC7Qn +45X5dkgMusXHKFXr6ajoPkIY1XxZcTqI+WVLKUSvSxG9z/SlmwOj2hRgRXkJdyh6qODzk4GWYC5 k+ixyJP8/ygsMIVTVqFuMVTtJYNrBq7MEJFIo4UfdSTr8+6kZ4M+b/4NGJEXjANGehytLhVcvEnT 5sUC1HuIlNvD6++xqgmZnO2glbJuzwrqd+JpKqwbgHMW1Fudb2oNQDeuu1CyV/wDqaqR1NlonW90 HY3X2yvPBtj3nW6aR53pHHa3pNjDGus5JEatltINUfTUrLFjRLrR3bt4PcICQPRApbD5aLtmnVXe m9S/T74nATmK2gnrCPofXwNmaT31qMZai0AAFIzWxRSdSHeae1tpMCOiWDXV/QvhPNT+8gkJa6zS GSwmdSWMWbZrV/KcQAEEOdESiUqsCs2W0Loa76ZJchIqspzrXZtmSKhiC5r/uB42wUV6FKzCRIFx t330yE8O0QDP5ubZgFo1780rKiJfQxHkWpMS06uoeFIXqSbeoyxPetPT8pwqG3mblihinYJr5lp9 9Ov3rPM1IQSe7APgpji/UCJKMhzaF9M77SWjLE90kXFHu3FG6urs5bn1asH5eul3XDydatg9SiKC FZz7ISJN+gc9qnOmLqV5NI104m7R6zQjYbyKHMtGB8FGv28avsNLNaOWSD4eXFGTSFj7z9aJaq3o hZ39IZW0tcxbC1NMybiAwyw8G8zI9LNkiLKgrC9f4Hf/U9A6SrMoftBtM/uC3TzVE+VZV04PiVaC dKv6vJ7q89xln/OaoB4uzZlcdo8aYuCjfkCkXh6vaIn9PW5NoktxklQf7YCl++GuDp1ng92z6m3q GZqpFQ9LelRvRT9vFknnsWDobTAPTZrNWiY4mag80uO4VUw8FY7K5XtvymjnpqS4guDkytLOVjUA hWRu3ov9FrK3R9XWaoEJru4arWlDRdu6WGPybASGv5ewFuG55sbNbPp76Eoor4IFT9hpIiNqpMDg 0pwzhBCA+oP2ThS8boWlW2X5/bC9G5qWBS6mQALSQQPzfPI8pvc5YiVpzIfHl86JfSnKa/QCNA0h JTdr8myQ/rb8TfYq18mFW/UIyNpXM9dDGntnkVXTc21ZJ65KRmiwa5FE0ABbJKgYMPLK2Rjv5y8m pTlJ67k+IdT3RVsrS0+Mv+Jba818fIzIQ+zwjn7puKQeBrg8G+HP8rNIsvcpvETS41IrahrViJq6 fQW3ashYuu1Uc0hej0qtFO37tvzl8WvD76R3FUbws4A4ICgjDQpx+78T0u0Fg3yPOzsT8dloePiT N1nf5qwVno2WSPmeI6Cjpq+QEUWus0i41ToU51e7pmAe+WID5vVTGlGVlcMUEM3y8d42Z9d0GjIn ll+ppm7trmW2I+QPFrgO4nM+PepP6PwozUf/S6AeqFLSCs8GgesFS/BSlIv7RdOMKHAl/EPOqttW kWC/PCyKagnSmScgUllxxHXW9dLby1I7lkJ4AtpP/HG2F1egPDHnV3xSx8gIeVcU4wv1JRv9rtmm fQwpnWf/2uzUcWm+spqTEMaawWUtb+2l6uTmjNjlTyN4FT5AoM0RVVql77JXWU9+I02riad9Ezmv nKNEMcnGIaALLnJrJGTCfHYAyUPxuJNb2Oitdpl3caXVzLNRIf4XZBPSd7/RyAhRrJDkJJ4J4iop GlHheRpXMa9+DetGFLQSZ4xEIY2x+XTglNLP/5ui0Z2JRpCSAjqk7Z+vrBVtBT476l9RTBQgrz6A vNGquQWeeLvx7F9Z3+yuczuUu2WikNW0M5P97d20Z6hh9OkQvTaTS6iPKGKdpiUylo/3SD2fVjlf gDhwy0BUXHxjMJYBgj6eL/6K0SHCvC1Ft0tIZV2857DWxTvODzwcrZB7zWjLQPvKlwkfBaygJ9cQ phmDJ/Uh0N/UF06Gg/LMieLZMVHAuvTlV+2Iuu6qzlC+M1UirpmUZGk4AftlyqUlf93HOCH5+GDT 7P9N9+qJlaxeclLKybPRIfLQWX1GpgesIwSyIoGX7eg0XebuAAFyNOV6mYVv4gARlJUkRbRmH32d nFWwSGVQB5goVkok6kw/X4Hwuk5Fm/aKUbdGOR6wK0cnqt2RzckLZgfPRrHI/lV6lkz5XSNRqRV2 6Rjb1I2HSUYQ/uNaYCYET1IzomiVDvzZMcJeFVUxiM6A7XMSEoqgAr2SWR2q8nn+uv0IfCEZlfn4 KBiBTUPL1kav5LpOEW69PPsXLLz05CvbzSckDldpDS/T9hppuGuHXXGCBrBp7CD5c7iadvXRoQFZ zgAUexKyCGZjCDRANo2zfHFo2d4wiFa722L6aLUAHGP4kkmGeTbIfO/Jur3ju++9G8aq53/BxUgF opWK+2p1WLmijyghUzozwkKrvCEpqdro3+A+t5xwsDXtk6OqhDLADpNRL0lIjG9VccScK6kEwJjQ aMlSkqapcHmVZ4P5eJCs5jC7zBVVihphoXVRVhVR/B/BOpTtblHNzQ+oNhH02TTzz/JVtXcfPVw4 mV+SLwAKNGdLcdks2hH65upjjkQVT58e5XjgAWhN2mg53rnVFQeeML7xbDAnbX/P1SF8k89IFK8C /BnkoZygQyaG5DMVy47FlbMF3eWimWGhVfReWbrsx9Jl4YJ61gXEylSR9jLSFSU7mah/k15T5Jkx 6Yogc6poNC92mCq/0bhPSMyzfyXUqP7w8wCMZhStJhTzyrRTZKZxNZtSu2qsI7vm2wxJV1JSM95E vw2b8y79hB2w6mhI8hfIBGckAxiRVF8hPH/DCBFvAL472s2barGu3ImlePZvTXCtlIKUtQdoM4pX yUkHQlUOQ5sXFiCAALAA6g/KaGZcZBVqU3CJ+SjRcJa2THG+cN3WJUKjquHi4N3wXYp0MwQF4A91 dkj30XYMcZ7QvW0Vng1avqv/ilfPS+6bzswoXq1mRdEvOiJJPWJRdXY9q9qMBN71KQHnSktk1jv6 pjk57znKqigC584pQqoVCgYiFHloZqJeM+RcYf5FkdZHTQjyDhJiaTRrZhSttgdYpKQXlMRzrEbR KvSP1eVi388P4CdVVCW/65KxUqVHWybCBGDeDMpq+3jhrIMWbxGF5Nw7+AOA3ISyAkTqSzHyFwzO 1C74Cz0sjZoQDD71i86pMng2iszSd4XM/OtMjTABwAsniBs7QVa9J0hxRvyqVyl+RrVVg8N3A0p8 OVf4Bg6rtp8dVZB17Qgp/GxJuH37m7p3ZxSpJgnEwziz0Xq+5wQx/ncajWf/gjwTueJM27oSiTOk XMFQFtBSGvHdRROyySdUgQJcNGGGUlbqbA4x88Y1mVuohXEgq5V5AgeJw0Hl/KErNL78ACE2Z1RX FfItwQmz0Zp5jbrTj0juk2eD+bg9Gv1T0UfvgRphAgB8bzMGllLA8noI3JMmTACql1KMnFGgKhuj hPeUjbZAThSCQEJS1QL1PsX3VIb49/ULdBZKYkZx6pkFepJiGI3L58qIl1jRLDeejUAjv5sRVG2y 53YzpFxxKqTWmzNI1NcDZZXRaeNQKSp2CZw4Q30AcYyo2dh4Q3fZpIoecALWSR/snCCII511+JSZ UZnQG/6pzLxH89EC912aaR6MuXk2ClR/AwLIUW5qt6I4FfHtgVmvoIlm0CIHGz9U8jJA69kwKwIE 2FZY+453gUzymtQ1HbVMeQw0AXqFHPnyeiWyukJAwBZtbd3RghA66UplWq08G2lqrHuEiPlhhuya kShOBY0ylEL+gx13dk+fkq/7xoBQrKhshXGq0c/EqPk6JmMS1gsRm/zk1K7GhULayaBXn0NkSJln /UnNCn+JO1r6n4AC8NPelWejTTN+zciu3yriiuJU/sWSDJgsWsa0ivOAxdpl0bK6HyIrRAS44tl6 FM+yaHmwmjH2wPuttynFs01DQGYtSncfhcDMx0clIoVkkqLpN707sa/1JcoJC3g2Um961EXVnDkn 2PA4ZIW0qy60pumayySsGYW1Q8Ei5a21SB6AZRIjWBVzibpb7ltz00AaNjIrF0O3q1diNFUA22dS qr1kRLyCi4ZcvY2GLYLwUqyouHn2L0cJi3erF+hTEgWrUqA9S/AkcxQrejM4QLMu1TkFUpUcb9dn RNnMvEpVGr0GkIEQFbXwWObq8y4pKLOl+Z2XzqpgZEVwgK78rkqXt3pRpAIGtc73CS559m+1Va0S ZsMvmxUFq4gxn8PCZTVL2jeMn67gNOatEq1QIMC0Ifrw0bEAo8l7RM2IiVMy5WaKcBmvCkh6jyN9 t1eMABKcIUS4NloBfrZs3KHRB89GIsU+IyoXcZ63q0WzQhArnoMCD59tM5UlqCFBU9PQig25C103 YcAq7i7O3zY6iuZEv3nIRSahuCLq1SQqyTj5Ilj8AL+HveKfOM9sOsb6Y47RhNTiSqTUeDaYkkcq UAxOWNn13sAh9UpyOYPDXmAABK5Eau3ac9J+R9fSbpwQyao6ItrUNt6dA29kaJ1A5+T6hKMHHHJl 8zWyKI3iA58eXcHlf9wGS5MrkCpiQ28WHK+7fS+cSpP5UvRWyLxa7kyJGv4UBZRmVe/yBFNYOLwM sMLyqh8i28eb5dF6lLAWZKOC92gXjwl87X7WiJzP+PBA53yr2qzzNbuKeq3VNRROeFN5Nmr17m91 lSrE7fSuGAkAgsaJrDM9yhpSabA6kTzGWR8h6Uo1syJl2nId0jAD2G0ntb43vsiyB+ZUIqEeXycj SVfw6TF7BMGz5qNDUc5nmzNAGTwbrY/8zfIoE1HY1YTsKGiVK/rojgWY1UPVvGDymE5Ac4rvjkqr VkoUBFGjH6zzrIVBins2zEjNcb0yJZbryH+033cUtCKIDf+s+uiVs9at9oXg6I6C1v2A4ZN9nRw7 jnbe72ErxHORKrITwE+iZhzOE024WG3NCRiAlHpjrQAFrumOtz99/nrqGZyt/RyE8jaVzBTEM8J5 v2529pcMqiNCw0t1JK/bPAVurw72OS/nZ78Hrpyt7dcFTDUSfy+blDBybZIJ6NWoI0mIaX7aEvrE Hm2s7ITFHceuWioizbTxCAaw6xL5QcHOXruob+Bguju+BQEdrTuKXJHpbANHZRttHdZs6PtyliTP Rujee92oCq9eus9ISLwa7V7AHCr5+s2rMOiqkk4y2iEiINvyaD761lnocy559Sy0uc386RzeG1l8 vqtbhN/2hgFvgkS8LnkurnuT1bPYzMP1nFg8Gymf/eptyuXokhV3CGPdBn7ys2Q7TDPX3ZxGQips Z0nMupJ4opho+VE+m0jjNapDQCTSHArlJOCHRrI2TTZPjWRv+AeSLyK3Plpuo2tM13CrPBvpWv3m GVVzvrQJCWWtzjwvY5+NnzW3u64s6fJJfGTuK/gdAgKk+D0kPDJu9/GkIx0sLvsNukDf5QKttPyf GvxO9n5BLFJI9VDLsdFRzsX8RkD38mwk4pS/FSMy2nEZzztCA9BIzUUi3JILqN1hVs2SXpgorXmR dYfCVpKSEJF5P4ZC6+SlEHkpCKeewJ5OsUikIqaI/pFQ6NleMqKiIReAeqeNVnUWW5ESSaqLZ6PE Jt37l/Dn67uyQzgAxPjpSvBDqp6qGYk8Z3CA4iIsO4QDCECThExMDzJxAHNBPYbK8Oxb2Y8sxYTL Na0Ak9iUsNWO4ADAK6kMdR+9CTS11UQ/4NmoZnSJ8YKMnLPulll3WGYFI2XFM6hkF05USnV7eam4 2yESBqxLtAApj+R2SSQldWSthQdIhG4skYU2zCYm/woXSZd2h9QrujBLiHqNluchyK2KUVp6NigF 5Aerafduv0CrHcqwnj2/bBrOLSNlanMPdOxVYYn4ConwANag2SL57vnU0M5EVuCrHKqjzmRCTmfH LxnMPFJwZgi3Q5UAg2lSjTeZRTuh7GoEF7d4NlJy+t3qLftbHMkpxAPQDUVc2GUCUjPH44I7l5k3 ddhO5vCVQp0Aoc+SmW087V5ZdyFALT5rw4gFoWRQRsbj+EatJpOforCVBmmDUM5Y5M8rJECpphRw EnU9HUHyfgG/QWvmdmHOdlK/f8/nViIwnFIwQoNNUDw1JGnpJQW/Ni+xrVWW6Pv20UM07DenMPUg 0Eo257MTPrDuqZY+lLTs7xj1ffnnW/YB16+hwscWSKCN1vRwEM63XzhWfum8yICcQv8AkMrbXZym FCzV2NPd4XZO+ZpMhEDWJvGRnH30M3bvfmJVURdPkl3luTCHwOnVBOJuNU1JMJ8fzAprSy3l+m0s p6tSCG5ODweRyRO7MoPnPBuP2nWKqq6kfGO5IstYN9cpahaZasC+kvkptLmSEJoJGvfLTVsQUpOU RsreeHmop8GIEIn5YluzgiqZPv5PqHhiRhsdFb+aIoy85tLDEct13g7fWSrnr1p93EmJAtgk78Al GTjaglQds5eik5gCtZXR7mKJSq9DpSMVGve30IjT5InUltR7WzMv10VuSUNE2ImrZ2zO2ClCChD9 tmJ+wFdPoWK/qabfibazHg7i+nLDWCIg5Drneg6WKJDNalt0O11X6l5gG6j+AT1CmfhaQKe4/qo2 79w++mo5H3vmXcLXGN0BXECvEOy+YrhvIWlPf8koI+b6QOvfRmM29WR+Y0gW6uHAmaT6tOhsOZuW /onPSmwrwB5apj86tu7oqeO23gTwXs05ReGsVZO6VDj7o8LZUEtP20qwZ0GgGIk/JwUZFATn0wOd Y/pLBuEKaM7Ci9joqKZchgnz5KWHI4bn/BbYKAC39MxKLCZAuN2tntblHPGPif9fMQFCCz9Z4hqs wns1wuu1C1+pAZ+QED36rA1A9IngyLLF7i8PDHr5FgqxAzKapGnUJHGvG7XVXrWdzpppejiCYzn8 yHIDXIWf8zYMa5dMCKxmgjSE2wvA1CwqnlTzoLQPeV8r1Sw4JW1UrrLMWSttw3vQDurgD7nAwa1k is36dp2F5CHLHx0G8gTFqNELKMtqB+fkm3o42EHj3s3Cup5D+7EpSX8iZtnKEMhVEggyW8h0AQFa 4LDkXYxbwosq9kMH7rgHLszU0ZakQRMU7yGPKHCuj32cA3vvS0aK+sAIitQor0kYntJ2MNShOC40 Gbi9Lp0r2uX3tA2NsUjoHpcB4bGsSF0vdG89SqU58MWisKuOuQBadV2U7pnN8yfAXi9UlHOVt49s Daq6HE8YN+47RjxPITuVG85HkuPc0nZang/Qw1G769HB4gjil96oP3TG4gQcVm2TuEC64gKqu3Fm Z1E/u31IpGGjFEjp4V73ijjXz4LExnUoJytN3UgmQ5kfnPhQNSXHzljk1JsmrY0WrxjfUhFA1cNB aPtbJRypvLmeOQlD23MGnJNumYScIMpUD5TJ8Z+oiTjiJIfeWIbRqkLgfMmY58QY+PmKTXD+c5X3 gWxEBJdqX8ZJKf6SEQ2niWwiN0oVMdTgyXtZE7AOPRw5UozvBcQ3ROnaZyWqyy6wJKT4FsWBArAo bkhdS1rh45pS5NAiC7rL2azPeLGeJ69SlUp5UB9VVnvA6+R4tx7tUvka6fP/wJT+LzrpzLldzAiB 6uG/7CCnJ51A5EJgc2iUtc55N1EQ7TS/FEX+I8CMLOWYBeoZZnET+GRdycE87ujdr42nC+fqOaRw IoOMQ8mWBhKa5ukrnlb9JaOeMfkh5jM23n7gMIbS1lqOgttyMUq6i7Iab8+0RLqu1AZH86L9kPyU pUL9ypZITtKm5Y/B7f8oqA3sXLucvNO5pycwzDPSWmQe5ZJ0wQXFX/IPOKUNs8lGq1yfxWgCz2cX 6uGguXEts4Tug0nc852VkLpFLcGw0Q8wdqkK4WV9qfX6HooBsWrkqDidHjWXfD723D0SL+kN+0HV EjpYl3Mn9K8Hwaz+jn/CkHN62HgbPjqokczeejg6cC/kUUvxfNQez1oJ5QYkXiI8vrQozLCTrhGc cDGFW675nrmREYHVsLvDhe/Zks5xfU4dWKspnSSiKUOEPSg75FLql/mY/TWjm4hNhIWXjX75p63w 5Vzx9nAkw/CLswRLFwmiOzFhfHtebPZmgZzOFjMkWGKBnpfPELP8bAnhsTJF+s+0nNdOJ50DDAy8 HiA1+DfwIYsuzGPxMs1zLvTPoq7bugKXfhuyANSnNbROQq6HI2TbLxc+3F4fYFsOHbTYIGNdJWAJ /SuOU0JqpgR13DgudnyVrIsccOvjgHtywxN+Lm0hUsAssh8dw8yn68TxmH8Of8k/SBEmJCJttGuo tGzotF6LHg5i/uLXs5CQVqZs3l7PoYsWaQjqTq4/kPrVHxjlYg6uD24ObbSW2uulbx89bCmoUlRw 8OgxTElYLELMrGX9wNumnbehjZYAf4m6GKNDl6gGq6jdC4sl8NGy7PIp86OJCqPJJyWs3RLJq1Wq /npGtETYpSKBVVFli3znu31MBJflBmqalvZMS194s2DuV1OjAyfEV6lWvYWG52G/uGv6+OgaQpKQ 4q+Nds7uPtQYamcz6eFIEnj/yoWs63inJYIdkHpuzJAQi1L1TCTADTxIdMghLU5bK2GIqz/X8r/R HtTB4NKp6gdV0kXEpAamBCK3aUa0WEoWFjIHfloUj2RkyW2k0ag7eXul/vwaPRyFuL/MPdCvzPmx PA08tcAepEtzaz/jCpxmpCZ+rldBv1soxsyqQbanjxeMcaL8aW0yYNYLCaUh5WTJaeWHfy8wjj4/ aC9Ds62NnVSbOoGiqRSjpPaS7eX+0kuVfw18u1Gf1RJFuNbicoKKmuIXwTMuAmG2Oy0hclZyFVtx 7r4KSedkqb0i7ynz+LN2VHOYIA4Ft7cNVChG2RvGLXdAc9VHI61g8mHSNzjBBtZa5ndgG4iISFcz 9WOflKh4SxvCgOL/CI7wEM2nFaEKahweyYXuWsuMb+0y6uk5V3BdVAib0LYcEtoiRMyk2uWpsdzj Ng5vQSJgeWWjPp4ynJ2XZ4r18F9w+DL92DjhPRsoCm9pzefSpgPwy5QoUJUGYBKjpcpkygK5wGXr +rFPASTnRVkjXAs9XHKF52TEiFzsBTAFEgn2gCVvf8eg857b/zgXYG2e1WqpJ3zQw/+fegIT8tRY Qpctkusx+3WWdrHkXBVCGmf0KTwFJlvXfrybGsPtj9OzwS1YJLi1aiUaIgYwMj6v5/z6fF8xmJOm Ij8chba/SkmCSMFso30Y+myV+YvlRE+6PCdKqARbEWtZHsNhdmjJIbYm17ng9skCp63scS2qUDZ6 DIdaa5/yDoa6MXVLAdkUTWw8vUPhznPotWVm9mQINt6qsAkwQ7bRw8GRcq2UbOeL+nHnJIpr08lL Vnbp9SX6oZwLajbQytmu+aaGgdvWQ9iod/TU8JzPJwsSPwEtPgQyTgSbpJBXgb8aDu1cPrX7SwYL hWj/fHHZR8c2tV0eH9QcGm6NvX7hNHCPnjeqDR23TDCgXlhkRXQjC5ZQJQxEe09CAzpRYtMtlRBm rT7e2wcTYcwUaUqeM5ey1KL9juuKeSrlr4pjDm23hLGg/+ajlVd6NdGClsbUw3+Jaz1boYJxT9rQ eWsr/pUb3dns1WzYUPpn4cvrsuvE1axEYe0qb8TrkzGk1JVvn4AwLSMa08WY8okZ9/ohWbB3jDrN XU6X00cvJHg9FLEPPRwBA8f3pOUmvcjRHJtvVRLCbjXsKYiScTd00MjFoLt6Ug7Nt2SFskwftj+o nnkW+An1BdQo5yuGldhHWSqHwRhpD5pWBP0c+m+B8MMSpvqYtEBSld0uGstDD0eTcoE9HMYEjvNC nXLswIUcvVigplmQnOUzpoPRZ7/o/BxbcG2VB+YdbxGhiyKnhLmoYEmBskv87wQNDzzf65OBBZdT KM/azT7ax9OVlBCPqgiBBRcbyM8VuQ5kNxH0WQmDWoUqczpXoQjhLekCMeElXWAiusk+5k8HC6vb xovrWedW11sQbe1Fa2wCCzh3XKGk83jFSDE31yiyVfOwUjG00Q7c1MclQw49HKE1Ll9f8Gu+iedg CUEJGaQa6cg5W1fVCYPcFtU/4cHKFFZDqyVWMFCFct/Rj1u4xoM4tiY0YbjsTr58YmjUEqW2ZYul LH/FSKZdINB9R2sdAstV63BrscS+sQ5JUAxMyPhAEkJHril1o1u1NX6LVOquc+pq9TlsI0hCa/J4 GMnHi4w7a0NOwrAW2pZtjtqrNOEc12MI27z8Jf8guVVRM7XRUditmI7YSe71cKTnf9vMZoaJtOSN 4gJPLnBjYlkYUgNbMdcySLfidP7kmwLFplziLkBFtdHvIDRLdpXh8sZM3FSmivzQtvHCDIttkITQ k0tt9wQPwUYrT54M3BToy256OArjfK1onQMQyE/AEoW2ELZ2Jc1G0GCqSyZIgvLCSr8MHTlbK1Fo O1WYTGq9p6f1fi7iE9bXYkCNKXdleLOcKijC1PItT1Z/ySCMg/yTRYfKDx2qAfA0Wy56qoEvlxGT npuZb+FpBoWuXMg8Ijo4BZjMiQyxCpHA1ygVPzmyG9YpNuaSgRuS3jZe1D4NZun3p/M3LG7YPrCD z4jxzS9JjLzSPj9izVHFVq9Mo+WdspPVBOk7i6q246Kyi5nfQDR+ms2hOxe16qGmrUpOzT3cqtlC SiDl0l1yYM4Fi8hcQpKPnqskwHGqfbJYJNjcdxNyqZvDvKknmYt7Dsy5khwIWqsiWVeHwle9oskb rqWH/1JdMUwFLK+7h0J/LknpqnsLKkH2V0IlJFncCZXQs/cOQ4OuJZjTf5yG1krtxENgjUAinxki YqGJKDVW8Gxf/lzxl4wY/RxAYhR/SVdnaW8TTD7Rgx4ObqH2nCzIvFsKZpMSRbeohK3hp+3q1cW4 5CTFf1KAeldKzBRTF0iVlUeGYNHnW53WeqFoli1/FC/eVNSsm0qVwN7wD733RGZio5WbCm1UO2ur Ho4El3/F+4U6xxVuz4FJF2yqIuX6Zskh4BtPDpPq/Fzsbd3kMPbpsjt53/FOShsl6a/dq/ActRWo RZP6Vn2IHmn5S0ZQQVmnMDcafR0ua8Oj96uH/1av5d3P8t8PVDAw6kJ3eZu8ATrt8sPdThMzu78T VVPf0rUc+3QJfpzM060+vU5hruUnl/LkKpMaZpJkCqCjRw3TnCBy6NWluLYNnSnjnimJuFk/tVn1 cLRW0kU6ZdPVeXrMoVkX9+8wz5QGfsGDlbLUQJTWgWAayT4kaKVypqz+jDYrDetGgW9xUYDZD3ol me/ixmjxOyvdXzIiffBPt0pP24VqVANWsFrPN6GH30/arxOxyt9o9127nRyYdiFYiFdXLR7aznpD 22bFuRMerHY3UEgeU7OjSaO7PUL/J09cqKcgN7RPBMeSUG+ITpCOmi8sbvhL/lE8Re4wX9+QM9nV ZQ+3Ho7Kk7fHzD/u8mK4sxKqdOm7A+xudWzqBhwxICyK1bEb7WpLgwL3LvM/6nsr8N9fMW1UCQTP OPOSCOkU+wrOIRzE002VgmoODLzMjrsJB2Ojdw92sqbFWZJ6OKpk3/NWEX/LXxDY5zVYqSe2VaNi GPa46y+S8lC/Htbq82kPvYYq1QRDB+BUHy3EOgd5ygMuqPiGbQoIJRmIJCEbBLvqV4rpNVKpgCwo I6gCoNEilSJQDUpMi0df5qPS5NKNrJ1Jx4jN8GPV0GA2YGjjLphdhnkml9ipo16f5kLfXmdKMB90 C08qvO7otwM3BTZBcOJPNrTNl6fJL2xLANEdd3Bs5tPf5uOcV5ALQOz4eCG8SUHiifPn5zVCqUg7 JpsPY7MzsYCHmZDXCKUiTHXuJ1lIc7LyFxglVT2cIaxgVZB/DpPXAKUaAAGV5ezjXR/9xCQYpFe6 jrkrQGR+iOxK+o/owWuAUlG2gIVKg95GWx4nVLHpOOnD5zU8qenylLu67EiJUA6RQ3YwGTR8puDk Kkb2fglSu3rNYF2hv9e4hPnEhiNtydtfXy0QBxUKKgFIPjdwxxoM/xSqPN2d7uy2MWnM17ikwj7h 7qXECSSQ9JE5GKPnbubtPX9ew5LqblO2WagWyJnVpuM1KOHoQGtO9VRqBat5EdK41NI9BA9i3n/B 0lAfLUPatPE2S2tpqUoWk1ypP9aAWabC+dkqpq37GpBUo1HT0xg+GgZj1GV12Y5ZZjAbyXwPTpx1 AhLoNeL+yJokmA2KHrtUa2Vs1QFIaxZwbPfZ6Y7Pfw1Fqrk/QeTtPnqPdKjwT5nvHKRzU9w6QWuT /Qjul5fEXlKyF3yfDb6gc4SqKvuAGMbJwIyKkbF0C2Zj5Xtw/JhCqERT+WWvMQhHL7drQcOdmuPJ PkQQ22onQbHseD6N4Xr20XzgGXq+8uGjz8dZDoh+gFw6d23aOm5RB2W59fYl41a9YLBVAP5Nohwb LSw7j1pp7Lzw5zX64Mi514oDRiYhebb5eI0+WB2NUkDqF4biDCjg9LcmgFOUNDGjY7RzgGLUbaPN RoUBhfSyTCBO5KE8j615VsqPpMofLTs++/1SQYarIdbsox/RKJZZvSR/XoOOarILvjbAGX6vlNdq GrfQBBNYvVHcPPTIAIC9d4EprikLBado0iSwKm30qUh1ZuyiuKRTl0nXyXkIcTFWyWo3OaBAdu6v pTRmg67qpqFt44UoG3H9/H/j81pIq48YV3cX5oI8uh+jr4U0ltNZp6s5OU7Kl1YEUKtd3jqtOULp tYhGxNGRj0QL2cY7H+evn3ygnDGv8AG1JxWuR3mCdWn6vZbQqrE1iL26j/bpHFEmfjF4NDg4TBul /xjogHJr93P0ndTDFSnNo7GvSozOeI6O86UN/TeE+dz64Z3Vw5Qs7ZPeffTvcHdApXChqVhi7e7U 0qJC+v72/Jqxs6MlQuIyMZGz0YONbbNPdPN5J/WwY2b97hgBaZMvkXdOjxb82c8yRvtH/cEmXf8T M3EmmL3sdj+7d0pPdf8IyTHZ6C+KKSZmBxIlXJs2A53hJXDpDyJ/foK05a8YrBLJeACVstECsekd LolPvVN62AKW5lrsIQvd9CyTKDLFUmRWZ0+iFGXHSMMo1IB9KK5ZQfE9MmVagaoAT7XRw49B02Yh +ANCdl/D5vyDtYSw5eUXbyWKTIWihiTto9+33OA/1sb5vLN5eLF1rxjbP+sHcr5NSBSdAscaFoXR M3dP5nPFbO8Jryqghbp8wYSI4aG1ZKNNyMnamrGDkZGkiEgQK71ZqkP7uWOGtSiizIVWcC1l+Gjd mrMWh6mwLRAVf4tOjcZDR43esZVeghgEKEVmU/pElGT+bUUYTamTaQN5fTWcEiZjpzv6QXLm8v8Y O7NkN2Iky/5zLc9kmIfa/8Ia57oj+DIzXOpOa5QqS0EFQQw+3AH1KfPUwcqciavou8ln59bhe7My SHiMiMND0q/RF6DttR+w6593Cg8brtRvULYlTLo9Kntn8PBlELxH66f9gRBHdUb0dOgOQ8JtaM80 ZbfvUaqnMGCkffQJOQHpKEuqfhnfMgIenSty+/p6DA17vyBiB9c46VXaaKC1hmuR4rNWP+/cHda/ cXfsWKVEtUmdNB3vUSpaZgKVWAYzS7lmGGU9ZhjqdlIpi4JUhM4Hxpo++nSczBYxBGGDxSdf8mSi ktflsOXFD7XnPu/EnWqQ1Fa6ZHe6b8gzH1u0cSZpf955O54N3j1TJcQFpMtm5D1OLf+X1P+dxXO6 4n7uOTsJgcbSbXVGgaolMKi62Oj1D+nPUE84geo5eCXdvVmymJdZG9ZhfJIVeqfssP6Wkh6awBqt JbHbyL575uedsVOtBP6sEUgCe9wt8x6uoooi2aNlHMkknB51j9RuZ2I2QZC6PiTYM1NTsrePvkgG VqmwMJHiAkghOu2Z6r0FDnziMwlPvfN1OBzB7pWsRZK/i2SIr40yYP6803UIRgyT1aW5DBJvprtG ooCVksQ5tef2otBqBlkrys51jCiNGjpGwph1KIbnqtHoMes5VsHASf4WS5klzD0JAXAl+Ni3R7OH vWQ0J9SF5L00rvcS7jQmXV43Dc4oarUWyj1JWMfDA7R3pg5zcn6hmd3jfiqJVDSSLiBr5e3aOe9E HZ0kSvDO97LR013E6drWjEAzZm6oG8JdAtc+0rNKZGD+TtRhIQ/5g7Tlo8Ujuxm4XjjPd55OfXQw /fplGec7I1HIyukz2nTND+kGumr5bc6Yk0rSZwR3r1L7rvphv95I2JO1c250qYv2k/RJjku8CYEg 1qPqJwDe552joyhLqhbwSzXajBQENlkjJ7/5vFN0dNvc29eh9P1WD98ZOtVaXFINoxy0hlunwm8d pjCMxpj1H94JOn5jnbmg8ZW+UMaNjMhoMqOCwQSf5PxfcdABAo8y3i2KSATlnZ+jeERoPTmnpOuc MpAiNMey2j7v9JxqRlbPrpGLpIfw79wcVQLIypc5CgMElvFwf/TJOMUG6byqy1HMCsh6nFlcPnp6 ipbDUpB4Ij/kKLJM86BYgzx9yJCGlHin5vDp56dtE40bG23C0+4OjJnr887M4Qffv0ojuLAikGFT EsWs+1xoyzx1MLUTBphNLq9eeYZwlShifaflVFODYiqGjx7E07iQlB+XzZBO1LkyOW2QVn0IsyQQ n3dWjmoBFIqaDpHmhwh9TasL0Cv9vJNyuAS3FwMsHGYl17tEooAVB5IhhRUBXZ9ikfoIVizqTjl/ Z+RUU+U+uYeaxfnuGQzgOxsFAD2ARZBkMPP3Dzgy0UI9QGv2gkE0IpoSRTAbvY6YjGV0kpr2eafj aOV6UsPSxhHjhmfvZBx1INBb8kN1tuyE0A4lXLWiswmdtvXOxFEpUsUitetSemKRtcpZLDTIaK4h I6n4D9j5+b0E67/2usVe8f0IQTamQXHy0QFXzWb+/HD7807F4euVX0cIVyDEFZuRqLAKVvG88JXQ rdKaUZKXhyE5K6I/PifvISuUhP4jZIiP9tIbP5kiJZKMAQS/6UDvTYs3f5kEw94wmBDzcJd6e3UA AKYy1bRjGxPyHq8aMfDJ8egClXvJRNXVE2JTBzduhYIOXTLjaUPkvVyX7Z2F4/VPChXZR68UTQRf ylbt7Fw41epJwKQTfZJbOEvJ3i+Iy7DDGFnR2dcj9AQ1pmI5mI33WDULdmOzsbRhiraqzUcUrFYp lJUbmC1narV6+Z+bEM1Wx3uomsXAOetiFB89VG0Ans0B8sRHXDigUbp+3XMwlSefKdneMFofxO1F 26a4vAlSOO3KR4/PO/1GMV3/3bQ74czyI+SdfaMy0cT314IwDjw/USV5Zjyt6mWid+qNbhhlu5D7 NdqEnGjtHCNbC6QgyMiEod2uG2Z8ZWNVfH8n3nh7pVVVAuplOJ5N15oUx/LJGz7vvBt/MZsPPUX5 tnig+k670aoSDrHcibApmZBZ3Gy36nexKQmrqwSpwCZ89Cmh09ZxYTnJ764K20cWqE/5rfo2t/7+ TrqprrRc5UVdHy/qk3dVFZ4pYn3eOTc6UsddIZI8MFiiJiSKU1HkPDfDun5lRb3Mc6SiF62w7ARA t7P7TrphWWfVVqUsky92/dy6+8R2gk+d959S6qIuQibejJ3lTsP+ilEuo+wOFp7GCwrZmvl6JvTz TrhRCO1rxJBmyK/dGYkCVWKAaZhVDpGrAdqE4VJ2N58F8h6mGo3vZLrP6NkdQsuVumFF92NPqRIg npbB/In1badIk6jjO9uGM1skgW0K9uSDEuGGziNQ4fnByuedbfO7CKDVrQqYz0gYp6pNUAzOC3Lb rt/c5Ish27PsbhjvPJtqxktDUns2+pYhKE1K8tPC1lngAEGbZdNwSQISdnnn2FSzT0awsPpo6X+X oJscP/rnnWLDlrk9PJ1siOy0e6i+h6lG+t4iP5+DY+PAnE1+Dg1OkaUNScROjeqqJ5XQfdp89Fu3 nAu1L4GsxeqSsi79ryzbh/Elk6xprxi1I0yQYvroVTkKcSqgncj9nWBTzUHpWzYjJK8OpHrn1/iW AXRcHQAAbEYAAHTMHEm1ives3gk2Os+XUv/to1f4ziGRi+7+nIgyJYsEjjoTMG7ZPpjF0H3JKBgh 0gdObKMhqM6P1ryfVz/v9BqPczUniuLObwdLxP65KFZtHHb7svZU9BIxQN7X1qGp7gvyzq15wGUm 8CYbZyT01wYDX6WOC7tg1Gqi02LBVSmXfTfOtHcMEjwy3SEFU40WlWHKpbVfa/28c2uqeQY9d41i edDcNidhvApMrrn59BArW9y9vedN8IZD4N+pNeRgymW4S228Ce+ZgWEl+A1JQER7mnbyoxY72qk1 sk99Z9boLOEUSeS8Gi3Fyw4bqaXp1YLL5p4lrF0QKQuek2YkilhJzJdwgyoTuUIhKMlklefyIDLf WTW8sno0TSl+e9gj5+yg4ixnrrNf1jIH7yTvzY3C4ENhtBAtKq42RwT8xmSWOqcUEWSu83nn1OjV buFsmDj1ic+nn6/vlBpOk2ytPKudnfSmVfP3G/JsEWVcUoOsk3dOjVf/saqoPtqsVJi/Qx6dRPEt uaIuPoLdrSp9nQi8+86pIdWAwyhsD6MrIY3lYsL9/JOfd0qNtt2vDrgcE7xS9E6oES52/Uzr1tEI P39YLmxT5YYIGmwZvuqdT1PNoWEkSpI2emJzztOzXQigcA/YW8w1KfrR6FWX0SrOQq183uk0d+N0 WqA2KvNNIPkMONLH551No2JR+U4Ijazu/e93Mo1OEuT3lulOzPzY/NXeHZ0J11B38DuXphqpDUjR 8tGDNGxNMqyCc3C0PJc4a4L6cLBCJX00G7O9YoSRmJJtqT7aSXJum2aNmrE+72Sa+pBcHTWC1Hu/ u+Y9bCVIO99jVaNaPeYp50Co+9433WsB70SaZ88ky/WvCx+CPNm8kyuOZWgTAh4XcgNpfxHR5ve6 eWfSePmGG7z76OyIkszcfp2o9Z1Io2d/FUdAK6yLo3nn0ejS3hLpm7datA2IV0Z2DRfFiHbfvBNp NCfcNNIeMRkWnSMTm8YN74/LK4m5RMGRPBaJQFa94vhqbxjkesvAu8tHfTYzrdrzOYLK551DU80x 0faMykV0JvJzjkQVVvQsFnejIAFATSSrNitwEo5Y+FbW3nzn0GhtUg+o645ePlsF72HCIqhEFdnB IbC7Ca54OUDKUZ93/oySX7hWS8jC9SgRU23xds36vNNn2ADt5jW6EugT3RLrO3tGpIHMubpurlc8 12ut31zvlhPfyTPVCNAjL83Kyk8BbY2JwZDYyrvh4KH5IlPhmzwRmpR93pkz1dQszopqd7QK2l7b JDh20bPBNVN/B/KEB8MLaO+8GR5JCiUt0QVQaPORDfQuElp2r7J30oyKI6Q2S8WP1Z4AjV2SVVBM aWILwKwB/ysyAfATRN6fn3fGjK+9Jvmr5iJYZDMYgugbnT30eSfMCEKTb/M7y2Fo77s8ongVeM85 uy+6KpVkp2pRmUQ+Q3NJOSDpU/4KPBt3vKBBgrEm/nU5gSqFGHyIhBJcP04EIDrL9ooBzkqFopSy j4azQg7LjsVVeTaIzvr6FkfYK9XxES0KVwGL5Fzcl+xsb1UPlwDl26y69xBCoOtTogkhEtGRmb60 Kiz9OjxnS/RK0jVD8TJDiJrU1a7HULWXDLDvXLmTo8hGS+8GXIkfkfD0bNDpremLkKA4SQphVIAY DYC4hDulTh3dhgbIt15kArDnDOnvwSrrmroI+rU2eh/lRF5ZDtTnmlpsGknwVQXFsM/m114o2SsG 24Yqa6dmYKNtmw4pVsulV56N4Jq/kGc4ncGhtBkJi6z8vr1ZTicHB6N/WwVNZKTlp0iP0ADTFMb+ IzhjgXNDURyuBb/hIYIeXEcq0aJteCQiwaceFVmrwQDEd+7p6g3u3mzlN+gRUbCaikciekicRt82 PYxWT6AH2lZFkbXc78LM4oo5HsrUnSUSlVh1zfx3tHqi3LpLUwkPJ4QMvfFsDwT6sXQWPt9b3wKM 9ChaFTixuJBpeepzy7ApUNl4NgCMXKAVjXy+FiozFzPSQ4LVtN7ukohpLs2KZlqY7ZwlrDaMQG1a opCVdBIETffRs5oKCX6KjzjPd5c8+0KaSx0/6iq+c4zn3KOQVWnemZvuo++cVMRfOp+tV4uS39uf 4MLZIiXalEQkKypt0068PxRVoABLGxkxDKN+n29x5oYZiREBRb3+6qPNCL3+CsCI0qKcdCQRIFrt kIHof22dqNgKyrMN+KZNLGxhRQj4zLjgHNE8G8zIqN+tM6b4Yx6S9ChkBV4+pM9wtswQDFtVgTrd qGyWK6HdQ64VIUlqgnY/bj8nAznP1i0UK0qvAH77xMy4EGrp5Hcir1iJ/T1qTWoon6iO2FXjxUAq ioT71Hn2X3vnR2p+P6KQa0bCauuJ/KdhVelU7Csdx8quat1Q3hSOpodBq7HwAG9qvD3wuc4a1PGK Xeheyn2zLjGq4/VBfwva26Naq+TEi7R7yrXMoVFjxuCVtl6PwlagSL+QIxsHUC8H9Pe49cTxJEMF lKLymdLVj0AMrEo0ARo9n2tnSRS57n27E796FIslAuwTQDwCB0ngIrJe0KL5OWARqtQrRleOVGmI TfrXrxtfIqNMrMmz/x/JL6SNdbtYPUKy0geZ1THf0+RW4AKpcC3wCFWooUUSQQO6mhRdqa8E4JR6 zyZeH3Wr83EnxgdxQxZPngA+Zf4utWY+PopKOEMghNtoVzwyFzoLzt3Fs9Eiqbcgn71JcbdNGLtS Q0NeEarmzNo2WX294Q2cVZch8npUal1ZZIlxxyfX4/SA4JELHSiiuXNFnBSj8qN/58NfMMj1rMSa u49+1+xp98U5ung2Qkv0X3ErsYXfNSMKWxFHGCV7fyKPq6MntKigASN72DqiGithHITV6aNfvhlX br5spfkJplWZz7mCyVXb9+7lrOTT/0JwLqr5lsenO1FnsDpJKzwbRK3jHiLGRTt3fvWbZkRhK+W9 DIfX6s5Z9SP6eaacT0BS0tWJG3GZdaqFOXz0VviJQE5OxuF5flraWRKOpnqtmgAL5Lq5J3vJYFKI sepUWWA+xN6EGBJf9CSUPPsPTJ7glVmO8JfaG+JYFY3IiohFkZrQRpimqpsuql7Kya6bEZVas+U3 qoEIdPjThBM7YWWVeA7K/tKmQlVi6cd6xI/RPGp8dgDKI9Eb+Nba6GXcPIw8df4Bnv0Xa6JbWQ+p e5+REB+AOWQWapPS8/iWnr1MsNbwxt6IolbDS0yBiEwis6pGctK8rs7MeWanISwjTbNiQpAXk3f+ Op8dRCMcq4jy+GgrZM5kPpbnMuXZ6KJ5uN+qRP6MZ9eEMSs96pmtEt9leqbdo4aZfHZnctHWEYWs s4unKAb4bboV2LgFUh6YnHOQKHI7awG49KhVlOfH+KXZKwZ7htwmA6BpWZ7DW3dv6uo7cpRnHo6W SH/CkSzSRL8R2ghj1rMMF36lDVwenHXX4KSyThjf5NChLRPFrEZCM7xzelja57RA4mELuXmCErid XXaJmd+65K8BGdhIPj7I92gq/BcQDQENs6/AWIpn/3GOrDsj626aKGYVQCRvAYmWlOCKCyXIaEFn K+wGaYuMCM0qykkRaqJ8URPwy2nX2TEyQJKwbYTvzGJfXTratFf8S79mEkPaaOEIICYtl8WMhDHr 8n1jsRTn2G1zjhAgwHLfydzpxlZRQCmAOBOLhg268NJMCNlXw2Ak/YGRZDKP8wXO1VOlPoOQlXg1 KJ5kYTH3o/JF0pb5+Kj6TDlekqTjkSRd1Pq7l+x4NjhKbq6nyG575qAZCWNW0IotJ2eRDPekBibk 8AC8PewoCWNWcYywhLXx8mq6mnnw0eooAy0+WpPlR8q+5aswqa7vCENWufYBirbRQrTzifqS7USQ PBskv8Wrrc27vvuGaGHE2tStGeJ/r7IMVJMHYDG5vJSWPacZYa0VTW2AeD7eY+REr/J5qHmee1fq LgufCjRhl5QkxrewOELiFWdc4Z620ZtjeBZbxld5NioG+J6xlua5C8naNCMzrLUSnJHKGRut6q6U T6zoFwgGdkFsmZMZalgVozxvH/0YATpeqsBXhbSgS2rk3Jp60/qYJIm7y6cHiR41tImmjI12sp5M T/ND+5dngzn5ktHOGtnmAqoZiYJW6OkThFD7I72iJYmAExuf02wRvCZKLLpqZsi8ElVxisQ728Ph XLRMlS+mswMbRcczuZxFw5K/x9NRrJoZMq/wE+5bqqz7qrLOKdiE4vjBs/84RcxquZnVsmYk1AoQ CLy6cFPJl+cr71FTxEvOvJox80oqAQL45tuYXWfnTexzxQMHbyTVcM0IfWQ817wcr6beDGut9Dcx zfLRSgETR1GdJ1PPBhNyezZmZEJjJF85mihiBX48ZrqOawJyCoq2LjSgXJ7vDOus4rx3xa39MVyb HfQZfmdny5/zFZMh0p4kE5HyNDmlqT9jXAAkX82KRpsPETj5U1uFZyPZt1+QZ6qy+yLzZhSxcpNx AN5paF5TLPRW9N/VXre3wWeoZiXN3j3uePO8Rs4rotGAGy/1BGIcYdHSI1hk2l4zVLOiQdFAWtio KUHDXW29RsdmRhFruxGrMkKgEtNr8TPEBUiblkoMAessVlvMKpeg+Fxquw2KGeECdHufK7r76LUR imdrCaxY8CoXExgTA1DF8xuu2paJwlWwpedR4RUvM+9cOMn0Qk+8M3g2qhVdJolOY+Wb5S6SCBlA SnG+fnP5N7GwhJWuydUC0IqwPRMVWZcqZ9SBbPSy88TMQbBtgHnNLPsWqHhurvptc+runVG8mkQU UGuiPq0JgmiRW1Ei4Nl/IND4q3lCHvKC4gy5VywFI+chFj8dX5Trpfg29CBsQkJVK5QnLDLX6MHI 2TMpG1Rinv9aqjWJ4pCY9F+agJCbMyqwFmGeIU3Z6D09ksgfsd0nz0Zgml9yEvI0yZ73zggboIM4 aUf/QTTAgKvct9u2Ebqyye+ZKFoVV+aE6svHLz2PO1a2NmfjLQMxWg3lpz76ol1giRkFq43eVRUw UKNVzVZy+5acG89G2JH5rSdKofdiaWZMvhIrSfIITM1SRHZmpGilMiNLcg6akVApQHzWqspHfazD 0ok/KDJwz3SEz6QJpioAMo23DoD/j97wL4HIBH1go0fv09RFiSF5NorM9q97Rgg5D99XFKySSo89 TKtoluaVEbnQuOtE8x2zImDAUPg+2/bRQzNUDrK2RZppyYkZgz9Am1xu+cvwldzqCkusYviK9txv rxAx5Krefmu18mwkr3HxViqMnOhq3oxmhSVWMlWQ8WrmmQY65YCriHbet3lstqJodRiQpgwf76l6 0t6W5E0yziKU6RHKoMA2Nxqmj4azVHpWXGCV4US/o5UBzq5xc5JdefYfECNzxOYkGLeeuKJ4FQeM BVxOhi0yPvujQ7k6ooZU00RoVwwOaL8i+NupORn0nFmiY3R1t4gCC9q8gZ0JMr+CgZmPD0qs0pEE 6WSjQcDP6jBc+7kTeTbixf+Sk6TfgCqBzUhYYQXqXraqH5L4TqbjXKSVSuYrdo/FIysEs1pRUfDN 9GWCF4GsRORERoZc125fFZ7B2j5mE9Ve8i+kI6DTPlqaN7tB0YBi8Ow/DhP2iSTU7yIJ5VcRNGYh zz8ihZ8DRUVnZPrFORLZXQDwFVKwjJ63p4+3mnNCmRODmFTxyUGkEU2/Rc15bDAutVXxyApZWFPw Iop4/frjVHlAGf4zZZ6N4pH1TfPQFOjel1hRxKrfVWwHakUtPcH8VXOyRr52TYhk3aqN0O9N7en3 7so0SBmHj8sAq8+txBtSHlfZ5rrT+ysGvEUc2BP8Txst7z0ZkxGORh88G8AC1q8JUUvwirCuEMta tUSsjDiVOHOO9DStv1kwbPLrJoxYOzNiusXjem6wFfY5SKqaV8xPkoELkGNKSsBmHwD4sFeM2M+E aCDDGMeP2UefvEbKBECqeTa4bh4BZ9GfKVncHueKYlbUc3H+rlZ/B9xv5NazwCkcLRkV3AsnBLQK EJBd+PxhhENGk4cp+M0uzj3TJO3Rbg5HFqVR3+PTI80RucYBitdoq+T8TYs8V988G52t6wtpRXV3 XS7nCiGtE8kAShPcNkbUg56DmLF0ac49sXyVxDVWVUfy8NFXyblZRpMUXiYvQ7R3JDEZaBDuZ5FI SpRPD84RHalq+mnUZmnnZG2GHVmVZyO13v7dNjhgXEL4CglYHW2N1r1adHWtW1V5gGoRS80EamNF VhIWFpeNXk8sKanBCU3vHIkTt5hNSpmzkuRHflRy1Xz8X1h6WeSJPNstvWQpm2qtDJ6NVsgNWxW9 nLR3X3mrHSMDZFXbXDSgjwvfzP0iA5Jr9u5Y3kq3TK0+emKz8RMnJD6X7zg3jQyyJMuoK7H+lw78 juJW+EoNBICPdvm2tk39D/3RHcWt+6Y2trwha/nRut/DVrg1hCHJ6UbZxEClGkDDTRWjwifZnISq Adv6m9vHWyAhcDWBK2Aw3C0QsEB0Cfe07nWzVSLZIaaVPjha6j5adlPmFuDhrOL52e+Bq3kqf6uK tDzbpUHvMG4l2E0FpILA8X24oFMCvw5Nr0xzdk36lCgDFk2v39HvYHK9QqROS3Il3fbJNB679Hou WGLbKwZTgg7LSQyGj155LssURM7c8GxQEniqaKxxOsg3JtlR3Io70MzLmAFT17y6FPvxApOhoxbJ 35EBSfTWr3PcojDSVftOCOVleWPh57RVBcrfUvy2NwxCkiaqESFJ6zckOcsrW3v/5IA8G5QVyy8Q mopoy2skO8QG4Fi2pumx9OWdvZyl5OieRg4E3yGcVXzwrSBtP0GakP0Y61AiQfwMVclNwfhE8EU8 fmv6SpWIT4+CVk5V6eR/Y+ITkST9+QS/lWf/Bafh1c9vMC+aZocKVyiVWjFx/GzpE7BCTixl905p Cvy0QiJggFeD/mvHIEGKp6BMaSq7XVQ+oArUO55K/E72fpH/CjV4om8bk6+KuRwfsHg2wo78lmM5 6/RHyo6ajwgWwFSc6AmW2B/ZxMh3UXgX5bxVHifT4pEdilwZ1vm/jCVOoHpOV0JLUHknMtbBCpkH aDglzEdMoWd7yaiwiGTAmVMfLXpvVkighbN4Nkhsxq09WzuEmMbnJAxaKX/uZvXVIcKcykbzksNX vYCa/VdcQEJlxUYPWYtM4cQnOWdGwlYC55EpXpfdg663KZWrHeEC6H20Tinfxttkr5KZqakOno3i +F9cXyjN/favdlhqHSjqiVhTvwCBXMxjUbIB6o13fcZf6oppG/Po0ak9QcgqQ6zvdE6KpcwGw0hU YMk0HsbRsheMPATI9MQVSI93xTxX17zVI56NjGl+6cJx8/ark7ejmBUAx6rDyIqrDO9NjOn6TkX4 dVshES5gKjSbYhzNyziiIHlimyzRgIpgXBNw/nwlbIE4vfxYHeRBfHoQnxXr5S0fHUm9ktYiBQee jWKRXzw9M2b3Y8Rsft4rRuyQtrdLBsC4kj8pEedP/yNuPtGPVGpTGLWq5e+UkkczYIDWyrK9RNZ4 wEajg6OJ7iYYc5fJfc2AmSbnoi48DWU/gVNRaLEe88lwpp4ODti6fkUkBGigFO7UhGSsDYDhLJYJ 7LnSQvduzToTg2goJWNzE0hR6DpMULNPH2+lZKfzeFZdAHfeqTL0eT06y+CbHnpa9ncMGsBS7j0f 5aOlfOcOMPLR2ft6OOL9XhajTcv6metZMCFIIGP67vfvrE97T/q45u40XHeDDwlQrYYP2He8x+A+ x1FjtYm4k5VOcXFgHmXW5l5QUyLM50c4AfAOS8fKusfKOSJM5CPv1vVwEJ1ceUU1SHFPmc+sRAHs 0KyoFg+VcVQvqtn8FBkcXVeBFLpeVTGdJZFWHx1f9Eg67oJuKzDUOKfNRlpjNtl2shBq6OODuwfg YlXLoj70JhhZphi35tLDEeboXse8Pe0iQN4+K1EUm5Salgegx3EobRaqgiIRVIGFfLVE5VfAiEMi OTbavNQzo2excT2ckIdqFH0LJF2y5J7KA6LY5pSdIsgAwr+tqX2h0SO2WvQbnFQo6+EouL8yWMw7 Rvf4F/nERNEsMgrcmjpRVlFUAsxVBiZ/FBNf16ecYsFWiYLX7qMXkwZkayBzFU0bMiAxkaQKLuH0 p5q0p79kkANWWCYEGTYaFQk9Sk3L+aMefp+WeqclG9QVxMJ1FUxhIZbuoLq5lJSko+HOcdf+aV5B vZyimFaMxiwkqcZbY9t4l1ShKVaTWC6W0Kg+oSg4n1boHNNfMmpfKG9kzdSH7znPbhDYJJ/rTQ8H 2+jrvkDpJut88VkJpQVKvmbztJ7yvtIC/eaC83EsSVFca3YUudzR7yFQeth9Emrtc27BIDhJt7wM pIp1L+jleygmaYl8Q9mg5G2qPqBFp2oo55BpejhSxPIT1wD95yZZ6ZmWKLYVySQViR1RKTXZI749 96q6XicS2Xe5RBoDRULyOFra6LUlsZulzY02yWYFnsOFRE3yIfzATk1a9zWjG3rKyCX56DXIszWt 4NamHg520fXX4+1xHH5cB1JYlp1mlWmUaRir2bg4eRMI/BERjTtC5hQpRhOo0Fru6NOCZi76turw 1DmkOS80gdDANy+UpYI+PaimQFAatd3RjpbZmpAc5/ieejjiFdyrSIlQSebfqmkJ7bIgw07zPq43 SaRaLWiMaQ0UVwzPgVsWDfT0v+qla+K80huqnGfJzDkFGSbARYusUG+7Zr/N3/Eve6iDy7DR65Cl 2R44H6CHo5PlOlSoiQ0k8KoP5tAwi9RQoummNzBdAqq0ffUG+MrmDhU4Zl0JhlW3j7ZUQB0lKexp B2WwQej+bt3KNL4cVKC6So4Ns8hj0pL8y7pVrIZnriblHH16+B/KNlPNwHMJ7Xzn5D3AtSr32tnc 1NZuzimYy/9UWq03ZAkss9L/LYVysvfIpT55PoW2pWoBmg69yxBBIGlBp9oX1IcUsz4/olwA/CQM Z8zeD1ylq6aAeKQejgoJv3VMpZF4I5bANssL+VXdZvlCm2uYnfFL1euzC8q88xKFuKIX5CQAeXoA 5HRkukzhzlpp+JpmdTdkVOb8Pq/SmplYYJ7FFqJubYSUfOd9WoIlyaysh//ROhYYhQhsjOdgCbWy 5Ho6m1LCebujuU/JMyRZETjLPgfuWQgerP81vgGDU85BIF2XkwasjIrJalOmcwidp6+gWvWXDNrH FOK61Ob7VZune1yszLK5CkIHrXJNxRTJAYUozyZ6D3BdymjcwtPo3v+hDmoHy2zW9LAP+Zs+lBrW Gr0WNxECborkxtlTNEX7wnMOjxClJg/KoPhLRkeLskRxUtblpJxdlIwzcDahHo6E5vwWsiYRlYmL BM2hk9Y8qdCU4ypglJ7ct6IryFcHqFa3Rs6hldZSaWmW7eMN5eY6ga2mBVEUYqrOnYN110k2vuDY Wf0dI7VXVbL38tE/vrnaay9bDwcH7hP26+Q6NzUG3j4rYYAL7FUi5laDSjyvGhRy47BnAae0Oy+R O8FIBmxbPvrtPCpSZOaSfGKXpcAfiW7BAJGrfriQ2V/zLwRibDB9tOU4q1Hezh1vD0dQ6nGLCmcr 0v19TApyYKoFuHz8SEaReZla4uZSACxR5UpjVXf7kCDy7yafNXz0rseJ40BNkD1XTOTkO05Qs4QN fbQZzIkuNNUCh0s2lX20SC4DX7K8KOvhqMd+FZJ0X7Ci5y0qhL5aVQ71zSK5KfFdRXKjek3bNDgt kgvDW+slzzv6Il8Es9JrPJcTNVc1C6lVFIrN7SvQMIe/ZNT5gDJL49NGg8me/WjQvV6LHg6i/rp/ rRWrVt64P7TWGjQ+y6WqmDIfGVzNXoEaXQuJaQm9tZa5vwhVnS+q+rx0JnIBTJPVkxXMnOs660p7 cG7TDtzQWwuMD1K41yjXohaWs6RfC4slMNf6JaeltXJ+mXnbyjl010J+JgslIlZGFuxHBo5UECWI WwTEMv/TGDgrHJNJrM+nKbRPnDK3CTcmROSLWiBgIRGOho9ggf+SumcOHbbQkGgnD1o+ahOdE888 UKlJ6eEIEvkIr5GdUlK4cX/osbWs3ZtNQWpDgjCRJLDW1VyEBUDQagmDXPPXkiNQv+DWtaTSsIud A7NwRXUqLlSBPBfScsEIz98yCuYo99N9sNGmpdGRtNR56+EoyO2/gjlELXNuzy6KgQgAzi84cvd0 K1CrXVnLvu8uCvGzW56Ogp6vB3q+J62QKQIgmuFUbvuEG1gI3+TsaIeLRG70+UGvGW/YVnz0bZRW karv2UbZXi7iJ/wGI5DQ3q5Zju22OrGEe+bC/vUKVOnlohFmu7MSgmgrof9QNDcec0dQPCPjNEsA gDCWfFC45IW9tx1UVLLSh0dTwj4xTbl8OWXnkjCPOvi6ejiqbe/nGip2OZdrR55jzy2EMKu3liGE e4+5ic/CETV1siT7kAg2quBWXzrXRxbn7JYi93HQ0I1uiFsry4qqPHWWe+CGEW4TswelS41WUThr 0JjTZ4r18D9WCr/oRuX1OW+j+BZ0WVYSKiR+kbG5jB2oPcNuQV/OsbQ58N6im2hXWPbResEgUqoo 7RX4UhuWXUPEOL/4fiKWvP0dgzYIAdxEu8hG77Cauj6Z1tDDUUHhLhVtIP0SN2IJzbdo+s/0sEi7 a8PWfcE8cnrx7RM7GhjpevjoFYWMd5p0NdKZ4ulWkF0UPC0UM1iW/rI+PcIlqI+I9IlGq7L0vJUK QX3Sw1GC6H1n9TdpT89n94RoWhRcsFDSnMCRMluhUa9iQa3jzknsaCCyU7/jhSacnxWDMhpDWA8u I05K2+JHbiDWREz3FSMWGFkknRMb/dOzFSnPupt6ODhTHgtd/aACGN45iSJbwL5L3mdYGnRpCKNa IMVvqRZ08UuTfcjfRIST2RZeTwPpaec6zHQKD3Eo6RuobhbaH0LL1Kys5yUj2VwlhsQZGh3oVE1C Uv6oOTTieoBfRkqvZDc+K6ETF6Dak6qpDkmeKN8ZzhS52UPhqLMrwuv2MVExQV4POmnbc9JmIvnR daagML/ETcZtASs7c1rKX23HHNpxKTmcwn9qtEY84kxCKpzlp4ej0PaXPxlam+1rYx+FtufHOykh gAnQCW53Sd1pWzPx3B/5WlAHdlzAKqaKss1HZ/pQGpJyODJk+AwPyT/uH0jZWQWWbvDr+46RLhsZ MyeXjd4qO6vNMILZ3i1IDrNnzNVwT3Q7Lyg9x65c56/MtJ19XLNTB5sIjmZvIJ32ZB/yF/m+hEy2 jQ7xSVQ4KwFc2kCyWxM0ExJA5oR/YOnG2s+hL5ekpxNscxuTlsgJlAUWxMxVD//Dd0mtALCG8yr4 5diai3r0ugahO9/2h+wm7Qq6ev459uYSEkzqH+lR/1DKfH7SatPSkTBBMZZiBcpC+0sLsyJl4M0F nwNBbgnZe/nA6sHWQjwnS9PD0Ra6aqDMjjxXrmZ7Duy5LGpUTO5ojbS8xD9azVfP4EIGc+DQ5ayO pFBO482aqe/rKjy59/l/QCdXZXbh8WyOW5dpk5JuDly6jIpwztVyR7ucwfv47Tr08D8Yc+oSyGrk ZkE1hCeoYkg7D6qLJOWYn4UjhvLEWojEbbVEHDHZDaW5q48esKyMe6VmhbWTlzA+8rcHete/y6Us f8mI7SJzO8gdGrVcyrnxlXHVTTGhxtVbLyboJCJwvCyxHHp1kShNs4SUc4pTcFu5bTOnoOrEjdAJ 2O+ggDl9vPQO9FElPpsGVXlDUxYtyuQgH0Pc5uUvGTWGyAolEGqu6qppX3WakvfWw0HEf3uI1lkF DPBdLFF0m7Sup524fbot5Ln50r7JYXm2UBzdCqWelo9+EYElTCJHZ/RetrFeyOg5otUidnS2wRNC vy4IfE0+ZzbexdKNXHxSLj0cxXK/gLcQv/pTug0Nu9AS3HAKCFVO5gZcW6SoLaA2C0/1IAtaogB3 KhxZgiis35KYqL2IVZhGGwTv4OSS8Jvszm+ZsvpbBhcRwMHK7rPRgjlsd9WHLzRXA9OuX9QXmTGJ Kn1nJcTfcvolKtJdSgcy2RI4gQhOAn87XTpuDn27JO+YiAZsvCXtDSihCm2KAvOGtJ2WHHxogzxH izzl9PnB0YJNxtmT3Ue7icYJirSJmnZ4SBx7qOyqUzZk631eQuMuWkInasm37DTv9Vwu8KlfLl0O fLuyK1NPE5O5XgW7I7G7TFP2bJ1lPWlBj6hKyIKof12qcuDbRXeUMA6ncRt9UuQlqObz0sPRFrq4 p2HpkFavTUpYvFUx2gGUwywzBU5QW1EN2u7mmTn07qJ7gjNE8tEnZSfUD5UOnTwzAW8b5uBKxQjk 20OnK/6SfyH4Z2mW5atZhglWMrZxbUUPB7fQY7xD3U4pzZ2UKL4lzd3qnP9BKVOhy0RO32W7DJ3g KyWkjiWzIJo+ej2biKLA6j1n7tpLCk1ZZ6rFENZVVVdXHx4pmEsZk3Wi0c6UM/cmVH/+HT0cdYR+ H7W/JUNz4N91/kFOWSFaRcitam9wlAwzvd9wladTc3Ns4dWtwFJ9fOKVE1xsOfHCLaYogB7g2a+w a+rD+0jLX/IvHP8p2MZ8KO0bLozu1bMW9fA/SP569woB6hYTAg8vN+NtBlA/70pEJ1wCXWpUEYuw OBbD/cXCq8o0444XkbxIoYkPM0QHuMbwg8jTsZtYj0qmeUTk0MaL0B5pu+qjFfr6anamtFn1cCTo dmUQBe4pRkyyWYkiW7kaVvHqEMosTiOTZ6KLH+QL7gl8vJgV2Yri06TxpocdUx6Yp5ho1qG+B9hx UowfmTnfWbkvGXFASA9lZ63RgbepqvWEe7Eefj9pf5kUC1nwq00WmHnRxEWDb4wLSzBsNuSK6tJM oJx9/0SRLSC8/+0zz4Jqw7Zawll7KNzRBqUbhJLMfqgOOp31+cFaqWLGCGc6r8g7G9vsHjLdoNDR q/wWu4Mgd01WcujoxfUDFmEYkFLyLQrcetcSmaASdr9bKEIl1GnS3ctHP1bOC0E9pP0O+0geV6LA w3VWqdVbqpJVzX/x9ZKGt9FjLl18g0HsVmzZejiqZt9bWdAeUpPiB8vnNVRphKT0madRqPwPgDbK 8vt5tukY7ddApZ0lykopu9/Rdn3qJ+7ZgI0qzsvF3FhUR0WiXX2KWb/STK9xSnPLJumb2mi7Z60i JYPWFo++zEdDElFFBC0imc4oZlSnP5gNyCVnUZcruHPtIorMETCBP9cx/VidKMF86OYpKIDY6DFK PsdgATlfWR85Kdxd8DCADnTNh2Gzu07Z1/mgtC+lqnJHL2NvywhhiX5e45NmHj6aDyFiuap0vDEh r/FJyyqdbYjzkplNWSR3XoyNNAQYBEUtterX8IT1QVeyQMOx0dbHCbtT6eriIShwYgiL7MoPEtkl /ZcCwmt40twocco5cl7nyHMPnCPO0AipfV6DE2bShFSGwVUoMckK+Pxjr6FJMznzaYIYbjhzyVLp amQIoY7h+etkcDaj3C39c422OLB8O0E4Fx74z2aXEaLCGaUwaRDbZWNyma9hSQNLjb8Z0oyty+SJ b3Jy6iQ0DfLk+fMalTQvzH9XhyzBls3Ha1Ci7ZJ/uhDz1ApW8zwnD3fTyOLYmilgsDh0zcj8ysYv dWxWgCl4aJxceErYG4wJkMOWn81ikruvAUlz9VDQIT5qdYyd5YDKHK3PazjSrL+t6VjnP0hO0/41 s59gNqjE7S52VP4BW2/X7lY8JllV8CtaHa+hSEtaYGeLyEekPT4ii8JGohp1VkfT/UvQym2uYny5 8K4ia4PPayDCz8W60KzaaPX7sq08gHXh5zUMYXHc2RA5dyGlum02XoOQ5mzE0nVY0lqtTmHAzgah e3JGeQJL1z2aD6x5UPD00a8WbupJPnMugtSNQlcAHOIwMB5opJCZn9cApHlpbQ21Z8a1ZkqSFFRi U8vnNfxoT/gxrCYwIU4Wm43X6KOZ3t+UZq2oUOUW7Eu5fAVXieyf18iDY7TzLWVbXa5tdQXix7nB EjhrQ2Br4faxhaAl+1vYjtd7v1TmpE9B+8hG/+yUzRGU4utr0KHXyndl0O4EN2hT8VpKa5fW371V PHV6jMfHTL2L2yp+raNpW+sQ7dtHf91ShsTtSfixmJCerGj2lCwNBOKgAjm9v5bRmI0tdgJzovGm jsMq7HuNz2sRrVlb0lZGcQgkaY3m47WIxjrM7CbPYfCj8xKAZMcoAVQ1/CSFEUYcaqaV5aNvEyAn lVC0ouYOPlSO5/tH5TkZVzmTRQp/r+UzZhvwI6QYH+3TsWURCL8OHo3uFN8oUk+l1Nr9Snln9vgF eUJXfeXizu7C36yWTFm2SvehGkEumhFtE7PJqN9rZe01pZugGKyiTwbHtKvG89N+tfyaEbXD/cLZ kaAlazQAfpvZRIJ207PBhjH8vW0Y06TMPidRWMp6n1Ny/hLhd2XZTt1gSFlW9oGGp45mZJIOCOtZ 7uEPwYmUVhSWwv+sSlykgskawXzUD5BmxLj3sPRpmEsbJN9y4kmyzN5cQlTvtB7FpdVnhJ9zyk99 +YH6zuvhfumw1JahcM4fTGwIYMTFDddxy4lRZAr07eQsiDGX23MCrgXRHjov4Irzd6QzQzIHZJuA 1UMPI69EkWmXJUSX1Xu/H35O6mHalue/+7xTevjBu68Ra/Yts2jWfESxKWXfme583L4WbsqOStqp XcBwFJzymly0w0cPP7CYHSjhVpzY4WsQnqDKSmloP1fMsO5ElLhkWlm4wtuoDz9ZmYAxrBUgFdE5 Mtb3wqWM0asnLu9EHtY7jKY6xkVnWUwKBkTUXOKPQZjttdVoRtgvFmBovKVVMMBdPfJz9atSPFAg 1qFKidNq8L35K0anCH0JJbjjK9ezV3cx9p0/7ywe7bf0nZFNYidlX/17UYAqlpFUBf/A91cNCERT QTRXpvdMV1Nu+x6hGkFg1PyMXqA4kcx5bSYkC3onPzwygy481mM85O8XXDSgkBYUThudGziqds+5 curnnb9D8J3uxfsj89UfAQv1z4URKtW0UvPFllx6ehu3S7P7ZalEAWq30HROH2+uz7IezfSGZ5Lf WwG+lbWz9y196Fz4vHN3lFH8L9n47MPUxL3huvm8U3eaiSV+Y9TzLRH7sQmJglTj0iSLRBwgTOu3 ZZ+QXtKdkChKtWtG+jHla0LXpbiUCA1PpAuHAgzflhcWztX5EQkdEhh6J+2w/NCfqwteukYnYpw8 U+fAWYOfd85OM6tXu2n10Fki4y6R91jVlkgWZkjEriTuiFiANP7VlXCzzK5PCfZMU8yeqo++Z9Ic e2x1w9HENKVIUv0N5it/RWOKv+P7lBBjnVMedQeNtkggGyz7U/68M3aIRQypZruGSFGoJ/1zUbja NSVKhlUSMvxVoecLHJMTdnW+sI6RMGIFMVEk6q7RpuQkdWsMCo4cqiedlW6XAia4tSDBb39m+0tG c3Ju3ZNHNB8NvkedWSHrprkZxayGxbwnCVWr4anuO1mHu3eLZuByMfW5e8vqVy7mOiG+c3WejSMV SI0ew895TrZsM8KtbgqrXZLXW4D/u0pka/7O1VE6Tmqmmt/IF6hycoxuykDgPN+pOv+R1HDX0Fa7 ExIFrKAMxvAS8hjJ25o1DS8MTUiBNiHvAWu2ZlVB78BGD87w0kqDKB2XHRRlhfCkAQF0fD0Kf/Ki +byTdJoZ5+K5N3y0Q+HMrEL4Otv8vHN0OJfz78uXIr9H8O8MHRZkQe8wG+gVQ0uj/i0xi4Son8kh WO/8HN5YFVRaLDZ69+4EYStJpZSTopjmB+iPAhFTlQEvh0gJ5Z2eQzRClQzzUR/9lJRQrxrr7fPO zuHVhud5fH8KP/vWlcNwFamWAptUlXa1H9WOGUXKXOxXwholNe/UHEUMXL8NLKlGbzieWa0WwBc4 xdwzQwUoyu0kfb5E2vZ3DJYIdYDFPWij7RnSZbtB5/q883K8VKwZ0dLiBtg3Pnvn5ahQXpCzM3T4 Em2QW2csYTmH5Ow8YH2n5TRThTpzAda1PljXc82kE9tVqQid/xpUAc0ewtazDb4mGXzJzzsrR/OB CyIFDRuTnaXFaiRnb5bPOymHO3D9LpkBX62e0bwzclgiFH23l9aHxSVE8iVftcMtmlLXZ/ytF7N0 Aa/H3n3BUiJfFwxoZgOTE0MQ8YkW6uFZsxcMghFy3v/STOVUMokymByfdzaOVu7Tm8o/XY0bn473 cNVKsHM3kwuaa2YXOzQZSEoY5oGQ9Bl/SfAKkkc2+uoYHVtI1Zf1v1VpyE4zR/8BbPE47hZ7xfcj BPeIExjV6aOXVEezwtlK+/NOxeHrZb9k9CtN2t5SkNCcRBHrFMurVKuUoUhWLMkbMxtAvPYxHGr1 zsXhd1T4Lk8mjf7ac/VzlHChFDI5DCEx/yyPCXH+RmfvTJzmUg1DZ6tGIcLbLN0UQhtT8h6wWvfi 2TO0gMq9ZsLa6lmFiyud2wXAsqEUJ/4tZkG0h7MI3lk4qn5iIi+TJY23FjywGlG0urhZ1KNQAyjB /7h1M3X93zk4yuPZXk061O3qUFOktEhiMBvvsSoN/BuFbG2Z8rOfwCwKVmUK2XfzIlF3WlIbNzDb o7kQ9TsD5ykBTEOaPKCWRFmoIL4B5IzIkjUk5i+fSIXIE5qS7Q2jLcOtK2V7jXw6G7muBwz+Tr8h phv9uz4gLlj0Q38wilT5G6O7+NiQbc0fa6rVy9O6fYh36s0TqXIw2+j1YAgVC7WTs0zrubppRJSi 2hmn71cKp9kLBv3cJdNuagAaNR/r/Ed1xHzyhs8776aZbe43m8lCp/l8RIGqPCtVyzK+mujafwRc HyZycq6J65/yzrvxWtP58esdbUZObgenFrjgyVdOgIfiYcXC2kQp5O1yi+/vpJtmci1n4fLravRL JndzY+70mqM4dd44VWKzrMHpt8w74YYFUsU6R/FY6V1VnjuIdnpRXAZRyxEh74wbVrVCVen/abQJ yaVUFNz5ArucwJLFmPcmE29GzrIlQnfr8063uanMgs9k450R42afI6t/3tk2Xkl/agAy/dpeaH7n 2rD/k+rg25O76to3TRGQFVa3nyHvVJvmePhCE95GT3f3OVEb+CyqIigBSb+CYh7OxiJ92yGitsvn nWrDkU2HpklOV0AVfZd2ouuiaGKemf+8U21UA/BzVY28bm5dNiVRoEow3OUd8Ae6h5tD5mz2IfJA U9em6zOC0B2IA3eJj96PWOPcJ0tY+InlCJeulJOrPBsuP0BAxHeGzS2sFgQnbbT0Pw2T7jq5Rv+8 E2wUqK5vKkO3CmieZuM9Tk1SLtxtGGPvLE5zGaJ6mKwy0sd0nuc7u0YJlHp3dqk+ZmsVf25ste1M bd1Ir1WlKHbyl0eypr1i0IugU0UG4OPFkk+rBZ6w7vPOreHH/l1ZBQE8qvfw3qk1/HNlOrDbe/9c rvT+e1HHv9L7Z261QsLaKjysgsavjd7Dw4Aee0+F2uw91SrJBICub1lAmN+QkG/v1JpbN1twP2y0 Hh4iA9P+VD/vzBr95PX2Z5Lo0bjn2JxEoSqMpFm8kjolPWz+Orc/s/YtibzTanSMJB2YtPjNAgKR wsRd2+RPDTM4Ac7vEOdd5sbk7G3fSLnknVbTzA31xKby7S5XJXIs4Fn8qdb6eWfV6Cb9VUqkv56/ cKooXIV6PWSpINb4Lg4FsMqR+vY3PHvn1Gi/ZtXeu48XUDXOvVoMUHVmbBdTYTZoo5GjnVMjM9V3 Ss2tiuQm0FHzRjLspWHyAqXp1YKds9eFEMEorAD8fUaigBV85E7FUJhSQxZnfAIyUeG5Yi1gqySq rbKpB5r7Pt6eBBWQIo5EZxIkaUhYlUHcS4rU+YsWoUW1VWoHJ6GDU6PRYvgT8XW3r8+fdzKNXq3+ itDOPzsvAPGdS8M/BxO0jmnc8PMbgCgmQhMbuIEHmBuwmlBmcczKbCCCY+M9S/KoWN6pLNKqqwwD /oU+LTsEXyXC7b6TadTBZssQgDAufT20cgQNP3dqnZ93Lk172ADDRGGrKVBoSkI4gARauePQbOfC WjpKYLtji1gSBAcBq96JNALtbtUApo8XtHuiVIyYdOH0KnRwVtCETiHKEF5uNnrRO49GEYmKRLv5 qLSX/NyEG0/o+3mn0Sgd3/cGtnjkdvLeWTTKahBnldQEf6AcJSrncArWiWiWN67eSTR8hpVGlLXM euMRqjlVZkJVyHfSMvgiHKvUY9K3t5mzvWJ0tCYRaLKPfo5McxE987E+7yya9mgTWkiCLQIkc5uR 96AV4T4pbndnoLWLVO3tgqzUZNKeiYNWRSOwFctlKyLmB3ZX1P0CESfJAw8CccYXzErh83vZvFNo VLuhb6Vgvj6EX1rEpr+3Tsz6zqARav13cxNn93Q3TVhcneaH4boBVYA4zpGxvQV8oq3qwtvvDJpm Xr/nBFFJoIynKYHUcRkwaBL+xraWlgmAURzp06L4am8YZXowoIW20mgAiXM6qWAM9OLzTp5p5uD5 HCKLulF+jpGovkoxY9HR5BhBQdDhAEhiDQHPlJbpGAnjVph2CHf66JnviUqxhBMp9wRUEgsGpC4O WLp5nix9Pu+8mVsKkJaOjTdpchGNAXg3DFpr+54hZEHC12s6QjjAAA7gbofTlE1VK7qqWNuELFke Yciq5fEdL0YTAW+ppZ1jtG0OwTNd1NER/PhGZ1L1eWfMNDMIaE2lonZLRQBsi9rs5NOfd8KMGnK/ UJoIopEF2HxEASvCuidvyJ7k5eVJniyRmI+er+brO1vmtnmrMO8aPTg7514dokKc0wObHXVxwJY5 Q8G2iyzc3qkynpdwcmQfbTpIqbU8zv7+vDNlmikifKvvKH/te8eEsSppsmnVyeVvOl6kZK1NCvCY DfiZGiJXi5Caffnot8zK8tcVF2KUbAaJyBRm2HdOAMB4eNorBggrCUsIcdYexBlMM7cuXJVng8is PxlNFg/ypr0tDFWXXAuGaUhkamcGc8/wuWXYDYrfD5CoukqNnwR/+ugdCfr/qLlTGckn+BV5iAgT DWW41V+noWovGUDeUZVYamqu29SsFb8enUM76dmgy1vKN1ilKzmG14p6FKwCiJtXtVM1YQcClHxh 3sWxvP09VL1HahMIr417hpzkkPyjqK90wrRhKu0gJwnb4aI8HkPJXjFo4tGjudZL91Sl4iL00sn6 Ks8GuPfLmNHtS7lzXU5EWGCV4U5z1rc6p2ZZNp31PfE50inSIyQAHI8ht2Qbvcu7Z22FU+DcxAU1 dnOrotN6MtD19WKW1lOPCqwI97aS5MX8pEupXlfZBisiClXTb6yIyRV6htfjAutEZ3CqIEIV1GjO a6oxMyS+Vawy0qPyqpUTDSDRrz0X+iJpdOWY5+qeOMtKZlAHPj/3A41owor0KFadAll1dSb6w7ro W4W+H6x8eTYgVd3gfcHj5VcAlu9zEvKqhsrT1fRLz4FqMDMYM+fjOrquDREoYb57FK9OQUWIY2z0 sxWdJ/ENKsjEDWrzfBVuWUrxspZ1xqrCkR7FqyLCA4fw0YojZ/UI9HESBb1aRDVb33AEnnbz4KxH 1CqMGia1iZxwMWfZTzbOuYay2X5kGeRqRsJoVRW0KfSh8QO1TvoJEOuUYfc6C2Zq49BMk/thEXbj 986JyqwI8TYpaGHXNAXy55rfUkXu54Tm2eC+memb0wz4NGCzbE7CgJVoQmxRuKprXEPIVTzNk7et 7pseMqxo1RQrt6bxJQbUnoZ7D59pN+vUcwT8yEEQpPcl8IqN2N9jVqRGEI7n5rDRc6bdugHi+bWi mHVcYoBqIaIn3QZnDyutZw0s7ne8CebQ1ydXWKoOsErQExaIpsdBK6tEyhoa7Z1PBo8xJk+fzLoD 6yXzpQ4H5Yd79+K+7ysG+yaLb7aTjzYRaUkWhcx38myEK3rSmqI7blxeQH8PW90jpGxpCdLRW6Ye zh0nBawGzzvfsyQKXLlCBjVgH22ZoDh9wrYubbSzd5Y6oTi8bmRpnvOV80yvGN04RQXW7KMFJRkf BJ0pa/JslPn6vjFM3jlL9nJUQI9QrPzAE5UeVLMnxQMJ8/fusduJtRYSPkmf8bfMBjUFRlFXVAzA FX4qcM17LlAsI1NuyLigEQD9KrNmPj5C45HrJUGtUrs9PdrJJtEzGs8Gi2Q+RcVsDYqLG+lxmbVQ JzKHqVmH/eEcf7JPVQvMOOCdz/hbBU1OU+U6TZ3rZi8K5ltcTdhjaoAr6tN3/DUh3d4wSPZkGbol 9buvON9JDZY1Xc5O5Nl/rBFLhDgXbT5GFLdO0pK+HvnWZoV4DkaHBTx2SiMqsUrfpy7hRtYXN3L2 3RLeAq7myQdUcaQcTymmtu/ty8nDp0f1M7KaUu+ob8Iis0DrHLY8G4St43fV+Xy5Xn3LjChslWJ1 KQYd4k+qv4PTLHKUPVNPY8FqiiMqshK9jCL34fJ1H16LjE8NPLo4a5todNJVJsHRr6t7spcM5kQG U4jb2Ohg+DKzOUC2wbNBkXU7VkIRrKxTtmd8IwSxAl1Istj4I8CJT8+JlilKSGdeJ6AmJSq0Zstv aGXWJtYLEiuDiVgCsGRwE8upWVk/Vnl6E0CH+OwAkYdANheXj9766NVi+V0zz/6jDq81sTDG9rh1 RHFrE0XO6USz7KtOOq7+MY0DqwiMKGrVMVJRTGbUppHiB2ILhI0I2SYgyqo47gd85ni889f57L80 rzqBlY2OcZ4GVkS6gWf/wfg2uOr56cftcY4oaKWS0rX6/qAerdrRkh6AIcGpfjkOfkQxKxUWGIrT x4su0poAIwKIvC9pdIqEIbs6OREYhpUkhY+PzhEq8JR8z8idpGLzSStVGZYAOQ9HSyR981/oEv22 wkcYs2LsyvJr5/vvSn9A6R4uIoMAraMp17VjopjVJ0PA77Ufem+iEQ4vgrv33FdSilYFrVGHyl/3 seSvGKR7SdQRlAQ0WjhSp9WnTvA3eTZCS9w66/QpQTvXpiQEslI4KoAbXWQFKKI5sY1mHtUFSWeJ iowIyorI8rloVHleT+X5ROuYR4NCAzuSpU2DHohcrkh5LhNt2itG2gCcI+cA9dHCkbxF3KcaN3k2 Ckd+ta/UUZz3YI1KrVI5zq5WO/M0LzZ4VyYefgKhmSyxGVHEOi1+b1okKmPwr/fJGUrBl0Ja6s0c YQDfy5J2P9peFN8yHx/FI/Q28XG30c/Ts8f1M59IjWcjLHz6Fp9Zr8uLJCOMWLHcmLd9Jcas2ZnP 5tS8dBWsRhixqqb4n6wrGnrIWQniVAp5vEqtZ739LFlepufuVcd3hAEr7F5QbD56fDarKmFn1VSe DXLf8ovNygG87ykSxqvn/7KgVxZJyQtcBSyAO07+LvT3rWg0wkorV4wUjWy0X/D87OtkMjA7SgP0 yFmTQPihh70kIDG+ZcURMq7oI1UJwNX5bYxBF/6xvh7P/qMWYL0Z/NiHb5kZVlppSXFGKc2FNrdM enSqsCEZeYV6zMkMpasEZwKKZKPHrGdGTrKoQ2SdOGKpWpDPXKhQVR+DJHBO+vQgz6tKaqiPaLSD dZxrTFHPOax4NpiTduE0qgpYCO9R64yiVs77KWFp4hGKrUPxCL3PqdK8dPXYNjOkXema6bKf6tfq 6gSvA/9PI3CmOSmDjAT0O0sj4Jv7miDPDGlX1BZnkbB+6dcUHYc3i+hPzDpDOOvvri/GTe3qec0o ZE0ylnJ9TYpeV7HJvQy5JKYlejPmXQm3C6VZo9cCJhRFtv6J0HBvll7ekK/mORs4870er67eDIut TAVoUh+9Q5G6Q/Omnv0HyUhdMxojt/w8o5CVztdQ+dI6FBeG1tqFXIFi0ck6w0Ir+4EOjY9+kvQl ARoJWsyThixdRZz1xSL49RXTnyEqgDo8GDYfrVpkCjeAOFfh2aDpu/J/NmyoBdl0RBErewrRC3ed q8uxI3ivGBoNpFexu3eGpCuAvYg3++gB2skVq2wbQCqery/RQHQxMUj7JUprml4zFrFK/2NUWHcf ZhoNPpRngx5Wu8Q8IxaUn3UvmxmGrNQU4YITsi5KGUJeTa6drjgtyQyVPRPhAvCkJb0rPj5omrMZ pUR/Nt75TaXSoo5Ix1H+CVhty0QBax3csFIcWdcZss66pwpHJ8QZPPsPpqLWrTRa671rImQAkYR7 TyD7JoKe+dj7IbJoM9qeiYqsoKWH+K82emZ6TrDzuqARsZjtSFNwiJwwSb4J3zanbt8ZxasQpVsT JL5dSDwKVibciXQWz/4j72U1EXuuSzOaIe2qqQA8Xfct+6maR3HZ1Z6n04xmKGdVlNHqAr66wmfP LCGuRBE4AeDUhBVFrfr/X/OJYi8YQUfEiQcwodGjiGE3Dn10no2gNPfmVVFPGr+3XTMjdIBCusSq lzLPOQiLlUbUwOc6xlj83jRRyCr2d+WzbLzooo70vFSLEoYwa1/EKzneoy3ahZeYUcTasnABhhG4 FcUChcvMw3Lj2Qg+4mtE00cMUe4pElKvuJtk06QsL4vwKApazcNnBABQ04yEOgEGldG85PwI4p0d 0yF/gNs8F2+R3Ajy0PisPyVWMcz47KjmTHqX2x2tEz6bNSnODbB5NgrPfoPijT5qE7KiiBWI1pQ5 qUCK9RaLJA7ufhN+864IG4BmC83N7qMHZ4gTIS5KHLaKOIrndlCsKjPyL8FXUqsrxAYIr0kgbKPF IuZTpaOl8mykrnG3jYnPnjwl+8G6wiorVRw2jRp6s7oFRzFyaxM4YNmeWSE4wHRnlffuryDvOinE EheGPunkNse6XbCl89Pt5yQZzV8xqgQgE0D+aKNVAuoUv/Q8vyvP/n9o9JByjltRXKHeKso1dnji QlhNM/Hk66gMPOUim5EQHbDVB5eSRNdrZkFxzl9Ff8q0NQYieyBZs9nRstF+SQVmPv4v5aKWjep7 O6gn/9pWCShVXy8KWP+jVZPJ7X1GQvpVk3BEvpK8tCTocZKcNCW/ta7menArxLIq7d3WskmP7CrN 3kpMdSK0s0qSzBQEYZDzWPpWA0TSW38ttE5mwEYP4k/SOL1yxLP/OEpYE5ijtiugGAWtiEhPA3uj C9eBBsAZ66IG0M+jma/y2YrpV/WNGE+7e04pa7IMszwFQOZR/JL9xaW1KiBZETQAplIbIFdt9Jri MG7TCVEyzwbb5ipK6prkX/S684oi1kS2eovMw6IQ3d/ThYumAdGSPiOCKlqS3328rYMTfDT1MVFN 3zInTElNNWLH/ejjdUmvrggYIKyE9PRttLyX2Mkkevvg2QAYcLUTZOOTRTXwGYlC1iXB2mXOCWeB L5eARxQFbjhiAY6IX3HIKhlaKv+l3UWdzmo4128FxYAeRUryC5ZhHeX/kr+4q+SvGMxIV8WIxLdO pVbaM9tYNv2kTzwbXDYX7ixbFgjrFxewQv4VMDNqXGZ+RevNiK2jCw2AgLwc5HWOhIBWU9oUsXU9 xNaCF0vTxj1zcqI42Xp25ppq1nrUreiY6R0jpc0kKE33UYtkqkdoy2Xz7PuuQTzZwnjVBM6ZhqWg zUkUtSLQtfiZuGSW3pfmxDnK7QI63ysVXyVxzGoCztvHi9Lua3Uxz84ZgvKXbGySzJOqisC2SMj3 9YbBwUrnZFLctNHIEpO6ov60Ks9GKr37u0jOv9+v4siKYlZ0MacdHwAlsrfBm7lgUS0aw9vgK4pY h8Q1ZEiv0RFAICWaLhN6933LjFAeBBD3mcRrZjT8FaN4BFyAuXaORwTobEjr7Z/slGeD23f/umko F+3p9bMdBa2m0OXk59FSvxos6QID2vQZ2SH3ymjgKsTvB0uD5AiIX4qHOOCwUaAaUdbuXmX9LQC/ o6CVowlrgOSjJryd8Md+ZpRHdxS07qfJqXdPZtutGXmPWZOMOrPhC4UvSm4qXnpyKWMUA5LdNjv2 CDDB8+mjn63w8c6q2OLWuD1wYlVm1dWAwNhts1Uh2SGm1eKInnx0ZEC3UgyQnc9+j1rPAr6avZL6 5iy6VbQdYlql1gKTFYTRyTyWe2skkQWJW2e7nfAdxa1svJPlqS5g7ssKScCgbnRozgl+lrxUjAsE U/QT2rcioKN1R1Erc9c2HDEbbd9QLFHgeZY4z0b8q9/KIypge2azo6gVEPcUJoNkzxQlKRmVdk8S ZBBs3/wVGVBW6T7eSvw5TPISZ5F7uQ4L0U7eQD4rZIsX4re9YUCfECrg/F98NLCzVPeUB6fNsxEy /ndiQxx0MWg7xLPKPkcqvdBKHjaJAguzFWwu5rRDOOvQYTSbj16Ih2mUJRlw0pqEMjVXDdf9CU5E 4beeL2It+vRINKDoTG0+2oSUlkwd8mS/PBu1wX2FWPft/AjrVhV3aBeAtUZbdsfstl1+dJs6C0Dw cTFXO0QGAN0lMvXRC0aj9VQRCUTP/qR45rVLkRgXk6cSv5O9X1R2ltTmyj7askB53/nxi2cj4RFv TEg67pxvj67kjlABMk9DK1UpDCfstEi1F6JvGnrFovgTjewIFUCNDWbN8PHumYkEmmyhz9mn2O/c NU0aG2ddMh+uo9CzvWRQV6R6tjhxbNSxPVDkVik+1cWz/6izFjVDvkL5OwxZK5Y1WiH8oTwVI9Fa KQuwoexQjWEBBgG/o180paSZpSdFdQdxGvmNmL3njykGmNBm8VeMRJ3J8EQGHw8ZfK9l7nAoWPBs VDG6sAAdI6j1N4/id1hn5fed3eMR+UYZm/PqF61SXPV7x1BWKW2K1zoeF84FLK9mBypm01nMUH7Q W2hf/SIJ1O4wYJWgJKVJGz2ET7PahCw9G1QCUvt1rJ4ZfCLWHUWsgAXXhZst9aNlHmD2TV3WvjcW iYAB07BnJm/WrsZ1QwX+HNRF9pvnyCgS4mz8MyCFnmMVyx59eiQXIDW4tny0i/fMiGLjM9+LZ4NQ pIx7jEjMAqtKm5CcQmAASKSWxCAhdgeyA+r7/AiN3rdg69QFpU+bYgOBrbR3+uh4l5VOlEcPtQI+ nYRmGPtWiFOwVL6uRSaXn6KoVeTgOVQ3Apot0mIroO45MwEx6ekInHfraPxPiG3wVO/UhFysoqIA wDO6bdIIoCAKIlzFxYIwo1AkfEgQpS1JO+fq462kgV8Srha9DQTROGHPZqBb0dpXzLhmf8eoHQ7O qItT0i9mooPvYP8gzK+Hg3C+/eKn8Y/OS4LOKfYRQCTVnQOn8cNZQSKxSDuAk8AsBFOIaVVZwMSK 9rOF1jrRSeI+P5NyclYRbbI4DU1dpfRU05QF8/l/4coD0/fRejc5mcN83q3r4SA4yY/0ZhJkYsx8 ZyWKX6nnoGx/Ra/a7Y87H5j49fqSptDrqqnbWZqPfhmfGHhliZ+daUPJmfyXiiVJjXlj28HCl9PH R3xo60l0Hy3JuYYcec2lhyO+a/8eLTSK1rwOCymKYZPy0iENVv40pfulzEo0Pj6vtHEXS1R6xWwI 75Hmo4exG1sBuTvms3SzdljG54BuAwnhjdu2mWOnCC9ABeJsaTZSvwzPeqIVN1rYCIKnEDBwieLE KSdQ+FmPO0kKy680e0Q+pthI/9mEBISDkWgN4EszgU6xUqvqJqrC9vFAsVBIa8beO99FSlonxmeh DLmHr6eQtKe/ZATGopK0lDPeyLDSWXZFktz0cOBQki+yRAXHs1bnY1CSQkEBaDdWHmggc7ZXk6TU 7f4C/S6WMKDtBi1JPnp5rbd07jPJkiTQB8VsbqF+VYHgbsdP2i76/KhzUf7XE2zJ8OPHlBf18D/I ngbIwpP9WSyxrACyajN7IlgdKW+if7qOkkg4OlnCMuwWXxwOgcaLpmgF3n9TalwEexuSJM3qKTwK g7rn7CWjOB/jBWA9jNO6vAMMz1RtujQ9HDW5fpfZusN9bFaiuBbbrqwqqXTBk0k+i+VZ3DcNn/i7 WiJ5gaqCkvGlxyOqh0IumjXZdAYLS3Eo0M/SDUlfUpJHLaF+K6A7uS/baPOeyjapwHON6eFgE7Vf 4e1gGp9gLizI6rcDPq82aDZx0qq7r4mFAg6+uidFClEEa71gTVol3GrF/LFG3ZJnBA84BQW+OSFB mr1kUEmRCzRb0EY7WeBv6LwdiuRCt4EH56kQlzTsxnGhRRbCLR6yyLGh3DJ1uioDgthrCwUOWdwS puJafPQtNJDtT+KdnBNW6C/Q0hueyzkYvpZhNimBQxYnJjI2xDU2OrjC/Fa47uzdIrr0f8iEVUs3 bFKi4BbEDc4zLjSwHqEBznoJDZj8frcPibQXOGeHpNPGozSwMiolcnMvCXsoExNT/g5c4YESqKCS /+KRRYhf5QtVbypEgdakJeeoejiIbctVcNFKOatYfWublDC4RdqEGJNywYbwaHSC4VQlOhQ3Xgld suiuA49OPvqhck5HJFwlFbYQ+Qb/ihpBRmymti+aj5Wqz/8LxKI2udw0lVgp4GcKEzps69DDUSew fPdP4eBL19QlsMpCCoqgqozkcVxaTxwnbiwG2yXVOy9heLsMczJ9dATbebZ16PsQQM/Oop6dFSS3 4cw+L9Caf1hgmGW2aOeQpvqg0UoJ51O72SGVrIejnvE9bNU0Hgag84n5m0bWQsSGNHmBoJXHC3xN 6weKl2OHbWCZhcafbmUdLPM5WPaZkRMv08o/QRe8C+4A6WAA9scj+BFSq/6SQd94ymNOjcH89HtE tVV46y8X9QTX710E87I/uygSeIWGOda0yv1YkogS+4AYiaNlJXOvsA/5S9VJjqc2+i5KlPCzZF3O 9pzySubA4bxaSkwefEHxl4x2EVUqoQPKY8x1YuNm6KuzC/Vw5EZQfuH7yBwukDwH9lmmvb+kZk01 AWMChS6dhF8Fl8wvZXbqoX/WynbnJh89RaxSQ2pm4HE+G5ZXogdCIkz96R4ts/o7RtUEolvYxzZe vJwZZ5+duvVwcOLevFnR0PmkvW6KGFpoJRZ0VbrgNurOGx6y2yRg6cQIvodCRwIZV25Ny6M1go9J WXiKG+Mvr/KoM9KnhR36kCCzv2bEHJZbY0k+ekDk3q/nireHIwi17yHevpFziJdpExMWbs9+X4US OGJzdOC0WmYe1jQEarDdRysw0koOf1ziU6+HT403WmNm1CGcQDuIWQi8ecnH7WU+rxiJJGMFTKHC Ro/jip295+TIejiSv32cTrN050V5t38whMii8VLKVZxT6Una3/kqzgHl8zgu9n5Nv3h/N2+uWMWM KU3gVrEZE7d1CLYuY+4n5J/3JYNUaChcAVCm0WEGsL8V0tWih4OQ/1tNuGXKfityoZsWvOvRy8Uc PAbB6bYKJ8JgNi2hnZaIoWVLImk/Ekl7QlraTr3fZOAyfkG/jM34wNumnbahnZaahVUrpvY1PGZp vQq13QtrJfDT+iWjZXK1UJSu/FwOLbUEE0RNwXrsyG0YfqkRX8kwycxJzPE0hMta2G+z89BmTyxx rtImM9o9keRS84MsIiGNDCLB4n6BFfT5UYyrDDHd0cgqcnZT9bFMPfwvVLWCliSS9p2Y9xi3/J/Z KqoLTSNVUwkIMqk8IlGCLl0crZcwyBVsBwlOG72Cew7XRdQrD5h+8iEuIjhPcqDTnGjBlCw8ZA7c tUh+FcxxE2m0ecFVxsuWWw9HQe4v+SjktHK+8nw5dNhiu4C8svJT+lLfRP5SCffJEgODreufRFfW Rt9GKJyAhqShTFw3UYiURjPRm0A0drrQGrGXjJrMYldo0Wy9hVgOzToLvWR7uYiV8EvFESu8UZ9Z ia0LNnLxjkOgYWDlpzLmxSFcN4ccmGxxy3fZa00fbVZmZr1UeRckjMeaKrxUPQQvtx1U6GPZGwZT UoRA6MtHfThegsaWPsmnHg520Hg6IMXu5nLhxDk02gKEICMcUsLdqRtRbQGcZ3lRn8Xhszl02jLv gp3ueBFNi7iFMvxZbwD3CFkWmLEkg5ZbZLkHbhjeZql9luGj7ZpzdJvg/jmX9PA/1U/l1ze/p0oU 3YKUyjKXl6DW+UrJBWAWJWbgohXCiQVygeGW40VrU/1JHtW2vtHTqfKjB2GyTaUARQQi3f0ELHn7 OwbNdzkYcKLb6FjAIcMjibvp4Si69e67VAY5e1DT8FkJiV/nr00VT8UefUy3a7lGl6vtu3tiUdj9 4paDUtfibqYtNJDT5oqq+rVsoZin8hDyLYeGWzRTmzw4bUy+aSQ2LMdFPRylh79MDGhLz2ehxBha UuvePTls16XOemZCJKTrux14bmUD0UoR2MaLxid2M6jXieGGyVgIq8HfH0/3UNDzHLpuoS/Q1He0 8bZskwm55DT1cETO/2VChjPExSTk0HULeuga3kk1/QypFVRlzsCKqQL6eRI7xArDIyOh9TQPzyFb Tnxi1ZUT81N/Qs9iuAwqLJapSVm1+0sG60QUwa7GR7+b5wRuplAtQ9QcOm99TWKMnF+/ZKccem9N +QpgLZgdxuPF7NWKabPVna6AYQ7tt4bogSp1afRfs5/Yam0j6BOfm84hJhEowaj1kb+ajjk04GJz tCV6z7r0nkqK5aIFY+rhKLLNv/G00woYNi1RYLspOvF3y8mOl6I5wv3RRPTB9bIkJ5PmwIErO722 pDv6aoEDu8aUm9BOwp8PNX8ABmQVV7qBrru/Y0RnwT0XgxYb7aRFJFH3R8v2bv8AB+pqllfpLTnF NlxLTOPqSPRHWWq5O/dJ/6+jcA5duEz+swgwW9KtfrD5SpWqBwJ7eSl4g8yQ0e4RUs8htaLq59CI izu7YS7oI59/ljL6XfxppKGH/+GzpBVzDtF5VftybMUlV4PsVlx5OGu/jT7u9TPvWRt7cUngIilQ SelRnG5ggKlpAWBBFl9EH5r4G8LClwlm5cnAi+vsHwqTDedQG62KMHCPsXOl6eF/9Zn5z5JZ852U MKKl8lDVMleaLFNbavuzCA7GBsTozxdLrBUr1qBUhPqXsZ9wR5WmU1FcR5cFZYMfQSW37sfxteTK gSdXljrvWRL5jhbAJaqo9sWGHo7QGhdwzOtzkV3wZA5suTjI+s+aEshJ/MEBYUucLRLEcxI7uTSH vlxWcWr7jp4uy0iiCAaWcExJKsRR60NRQxU6Xy1l+UtGtxCAUtrmNhpWA5itG5VnPRxVbX8BewgZ 5zMpUViL0A/4V1esW/dcaX7U5GWeDzpsI0xCU2KTJEmX9mMw1Beq5Fl+9mtxZ1BDgDleZSCTH5Bt Xv6Sf2kINbR2bLRS9hLig3hlbz0cxPppf68gmtG9PzdzWLVN0oSurmdQXeBB6Bu3Oui3TfYXcy5C FRGdNN6ApUIwhVtARYLdhNgymTeYVTWGvR2Ulr9kxLslYJFtucYLYPE+cdlND0d54W/DlHMu9PIc LCEmAZhBMg6yqRtsY3VkULJyLZd2tG+h2KBLBMJVffQtlNI5oPrKFsiJckaJf4gkgc/Vt0BZ/TUj CDIA0yVA3apX0B4wldGc6KqGFl3jWuxyAPA7lOcSCiG3YhZMiW8zLUPC5BWpZWQIAG1s8W8sjIuV DYxXmXz0yxlYxthdjn/nMgNLci6sJLQQIPDnZJFPvT7/L5czKZWPtlxOomJShU07PKrbjkcxVesF JbCnQhk6dZ1lTU7oWkvSDzCHOyJik0kZbl+eA6Mu6N7m6N599M5EYX1v6XNPilpmKEMnsEhm82aH ZkuVA6Muj/qHpDA0Wj6+3ID4bNWlh6NU6Paai+VCtT2TEmNui8Q8DJbAFDksofYLS6juopJjsy7V tiSurNGxcYg+KsTnFkKPpQpICX6IYPQrMCSzaX1+gBiU2DAHpY124J4wyCKx2ooeDm6hdhNEXozz 4AHdhoZdVZI/yUhAuwkENuWOYeEtepy3xB84djmvkMzYx3uwIGqCoB/eqoOKhLi661FObYa43f6G EX6FVLdzqmj0cGWv4adt1cP/ECrTDSqd0HsHBY5d51iR/m+G6uMu913k7TKkrIHOQU/jFpxi0y7H C3YfLwIMaX8R+cDrS8sO4fIqc/fyCP77HRR4dhmbBDBC89GnfFjbo4yx9fA/1FP1g1KjzBcAFrh2 0WSGutsMwg8w6WcxOx2vHIpwpKX3BvqLaZfwcKJcPhXVfTbe2fcco/Q8VkebdWQ18OFeU9y6uB4L bUPjLvBYTdG2jTYrO+dtHu+z6uF/iHXrAlXV60GAhdZdMkW9GKfx0D6qSahI8EDE7WQf8reAJXGq aLzksX5+5cXhgds2LrHohAj6TD+57++0dH/JvzA/hOu08ca2fbv3TtXD72ft2o/mAYiELGUfn5Uw uD0TN3FyIl5BIehBJExbLBJ7qbaBouC2K1yRX6jGG9zOE+6jUian6eqeGdIfpmSzH4KDbGP0+VEY R1grGnfLlyB0pry5DBONoNDGq6xfSqqmun8nJSzZDlUj1XnfdFGle9AongNUwz+zE4b5HooQCVXy hy03Hy/Y6SRCE+gdZUu6iCJV4VlFsFgebaopNdUcmHnR9IDhv5Ay1+hd7F4tWjn/nR6OoHHpG8Rx UY2LR/i8xiodOMLiNjaS1FCeblYiI3kelLaXKF8jlQ505cxI00LQaKFEkWo1poi1QsEs43rBZYGE iBpm/WoxvQYq3VSE/xtpqx6kefC0xaMv09HBnavQxHnAh+McQwtNZ1gwHfhKZWzqLEcupuyO2HiV KU9Rc7s7aSqYEJ0n+Ev7eH/DdHaghIbP2XEyZRGraG1kmg7y5ZvF+Ln2im8TAia0if6TfbR9eQLa 4WH+/LxGKP2pqkxdxwBOGipW/GOv8UnnEJBQBfwrzlXh1ChPnmiruAji5KqWxs5rfNLNJPq8quFS nRR0FsjZbViGSAESt+aqjXV+AFBfwA7/Q/bgNT7pJm7Rdup3tPXR6bTrmE3t8xqdaCaHr4/zH2pM VPzkCx1tFox6rQYpWYxLZl/d5XV2nV5feg1LehaTj1nYPtrakK+oLt4TQORzd2ptEBdmZe0q0Nav ROZrWNKJLGC8bBuppZumzu5ZqIO+ev68RiXdCBbPbNCobKTk8tkLpgNvmY7smKoFu3gVMpvevdQP 79nxGpHwE9Dwa8SVNvpper50hh8lAy/86iUAoIhEBtTjbhUT2X2NRzg7qrx2WvFRn34u9WEybh3j zGA2hF/j65+/uuSCIAaojLGivWJKWYK85Z+NmJSaxufrNFP8m+lqDb2GIj3JAGw0iT22q46JIPPE v4gSKOx1oFiEKkjsdk/9rHbCv6U3fJ8P2s0NxoyPVr2nlmm9YxzeotXR7uqQ5P9y/VSJx7/OB7dR pXOcdFqq/24QyQLwAz1qbobk/syvUQgTQjcdrr2PDkSfrUNR1Hm3UCKlBMc2RPthPLBICdV9XiOQ 7jD0jQGIjXYubVe9omXyeY0/dOq072aBZTSr3yyv4Uc3+baZXc9v5nkldXq/KBTF7RKBjM7RcxSc U6Pe0cNr1scA1kJVIwv6z0WLGcS529tvNTte7/1WgcYFH2z66OdSHWZ7Sf31Nerw17Ktcv7mqmbW JTGjYCpIab0m337RNup6uOuw/0xdKDhGgQicb7qKjw4nIGI39NbZPSdJMkUhzg36YtKgupACebu/ ltL4SkJc8dPY+ERhhhg/ye/ntZDG5b98Nqw2UiAY+8HxWkhjMZ0vs8W2JLeDTGlFgOqCmKWVywR7 LaLxr4Jjw/zGR58PmHFLsl71bBhqZYISkDiObeLyzmKRrN9rCY2NklSHbtlH3yhyvOOOHTwanBtr 3JAjKQ6WWKFR8YLpoCt49sI0iOz5U3fo8E7KWqj8WTtD1LhoRviurSjoKPfsT2cFnDVCGaji9r4k UqUIo+Bi3X41LJrxs6P9Ag50k6XaeMH3w5Kyc2l93lk9rK7pc2IishKw8TmJ4lKwzWDNzmHJvs4u JjsEulBveD8ZXRyWchwMHR/je3ws0kIZ7Jz3P3e+yF/Cq2JaLFF7O0CaceLe41IX5FJTyUbLckE6 6TRFfuqd09PNUlIzwkPAXDK+3zYl76Ep0f2Au+/M7DFckaubDREH6pa5qFCgwZQAOjyTQaui3VaF bA/O60rWkBK/aEnnJDzLkJyaqMSDD+OtRJEpewrV5eWjAYaRHjSlpd4+72yebpK8dooYV5DYTE0N 6y1GByv0tHY17UVz1pQkb98U1v9tCwcnq74sNg0++kWw8vncOqzTghwmEQr8Selx7eeSGdajiHIX WjedrNRGKyEidG1h+wJTEZ4k1aZE5SGUWttdIu8BalIJI5tukUqJ2/3czoW9reQKwv/itN5j1AvD pjZroy+SfPb6Vi4OFCtT/R30Ln+YA+HqrMfXrCwRnSMktpNqvY3+4Wm5rsfOn3cOj+KX+g1CNj3Q fGOydwpPN2Nmcg0JTy9qwkZRxwly0NNqTGtTevsepDpIqwEVsdHe+ZxKnH+wiEoFmaTQHj2CLkDW Yzg07P3e52OzXbYkMTUaVqDarSiu6uedvMPyt46nsZQlINzvjglj1Amhczo22OTJxCB1aB/q/Bdz E4WoIjQ1ap82eqWZwOYceizrgm+Z6kZKOekIwRSYftFUe8P3U0RL40Qz3Ufn66AN/SPWyv68E3dY IOMG7Yqjzpm+nz0TxanS7lAV7Y98PDwYUftAMzLUxNcCiQJVmxEqXjZ6+HQ+FVSZfKrBRy4J2AHE oFyGHPXF8Ula6J20081rC5uy4aO1JLB9NhHyPj/vnB29mud1OkPP/Owbrb4zdlgj/FxnZW9jSdLo tMSuNAEai7rb7u/+TtnhpXXVNEVorXyp1wsj4yahQxR2Db6VEVtCQ/YrFyP5qXfKTndQSUfa1UZb JQsPUf0JqYsoYl3fMogsAWhZ+pREEauUsU94vawshD+uCy7Tp1VAsnQ+aJnEQStHJuYRNvpVQzyV iyS5qC8nmb7Bqs1Sux2Prq40qz7vhB0PPU9iR/tXo4VoJ/fXLqqbFmcUthpq6h4lYC/nrZRFYSsW jrNmA9TPlrZDgnt6shok95iRd7KO7kcWicQLNPrJOgboV6rdJwbOJwzumpElF2wB/u8qkZ/5O1mH lUw9RDozNlpEAlPEIVnj887V6aY08b1syCPuhEQxq4Tep4NJxrhpzflV/STxckjSZwR3L+rcdWtX 7GfXnNS8r0wcBaDsPEb2kwHHZgmsrEfaT8yezztJp7vOvyBjNlpBZDlO4ISA8/PO0emPQMz8kfcQ 37X5tnln6HSzktw9G7V6Nxf2wzUluZT7uE28d35Od8pFSyqLpP6g9iYGZnNaxSwlgP0jZ5qDOGZh GnRLIsNfMcprSPK2UHv7OqWTHpiBSq7t887O4dWG37+qRNOi7Z7XvHNzCPynWjHTRe1rNe1LyRct I3N19cZUX45iVoUaXUjgXp5qAPDfs99FLjjx6tiKaflp4cSV+vAhBRT8vFNzlP0OVLhz8tHZBeXy SOb6vDNz+iOBQn7PlFASlFKAJiUKWyG4nODS2CerK/fHkYYml5huQB4saH1n5ugk0WTAzOnfViwC zhTx5X0wT4419VKE/Pi8PqxZoC2fd2IOE5JVK8rTR8FdUckzrZsTQXzeeTlcg9sPVr24pJ88Rntn 5XhYN8sDdnXjEDzvXHp5IaasRO+dlKOrhoiEYqmNz3SkmegUSGZYRLkTsoHnXG5R7SFasxcM4hGy mkI1xUZHGJ0jr1tW0z7vjBxfuk9WQ7BYvTzyTsjRkkJUNRsZdOXlkJEpAKhKATN5gPbOxtGxSlkE GQwbbzAyOnQTykXpnK4UAYbQeQUxPlKVx2632CsG5TMPzbKPth9PeG0C7Cvtzzsdh69nPcxpKJpp 8fI9RqKgdUoBcNTmKpgrF0/0LAlWyt4dEf3Ox+kO0OtCumq01+44mS0L0dY470IHp+OXVqxjcukE w94wmpKljm72UXuml25KkLUxJe8xqxV/vn2qIU17m4+wwnq+/yL2436Ru1DWhTuLc2XPSzv3/p2K oxoohypYFRu9XJRIjrIumo57oA5V5gTfhwcSIf3xzzsRR6EZVaIpr/s5L+dk12b6noPZeA9XLdT9 FlhRg5Cgk+YjilcxWp3S/1atKH8LI9sLI+kW3995OOwZDo/eU/bRYzPCBcxTBGulFkiRbS1TNcwU ijynKdneMFofgDeL7t3i9+6JXogNfhwV/k7CURJbflVGYCFsXyDvFBwWCPJykmWFrKVqoM7UcWUN F71UTcg7/+Zpcw/lvWM+ff9U24kVVTzDM1Qym3WbEQRBwSOG0+wFo7YuR8hUQjM9ocnkSlIOozT5 eSffqOzzNGeUr3Fh+nxEsaocAcz/RX1/o6+B8pH5M72qxpxZbzessKokAhjGRpuRc6pydxOhIxxQ 4YGdJUKEpxRXCpC3BP/OvGFCNoBNSc5qtPJqGkXYqHqOks878YZvl9avCWENTr9033k3N7pVpKDA LHGM6+Q4/2V3NvlcHr6/E290hhCmkuLb6HvmzO5ErUIeECh+U1+FUSHM/feW4f78vLNuVLxVqQhT SI3elUgGZz0Hd/+8k248irYZmb5G+u12v5NuVBktJ69b0xO8ejmf+7atzvnljOl3zo2WpqoAdfp4 a/Bj0u/VnoFTbhbWSUeU+lb3FGnbXzGqJloZgBGFH30XcMdJf5opl88750Z1gP4rFOH9/Vh9Z9x0 k5l1LXuoU9MhQxnDXWF6e56uB/ROt+GXADfUgQTZeE8RwLbQ1MDJTRmiY707xRt7lKOMa/NOtVEy I2rjTj56BWDX5Gb3/fPOtOkPWc0rAMsgzvrX3uNUjvB2rqJpbjp7TfMcyhJiUHFkqKajDROVVpOd pjX56JlM2lhyaTZogtGvgt4HNkfs1y+jZPkrBi0JVc7Ece65PJTJbGXtc6GXzzvJhh87ze+EmITg 3TFRqCr0FBBmCf5kSQoamgqQH60suOPZsrt3lk13QlbFocTGixE5CUABTof0wkyNFXRi+fYjMtuW /4N5DYkA+06yuaWzc9Pe0Q7WYR1ijtj6eefY6Ce/i8SgJcuMwjUpUawKaHBWV26fRUGJSs5lXCDA 9vj9nWLTnTNR5YtRxYTE6zEhL7C7NIjOctgCqoHUllvcZjeOZ+Mkf8cgwxOhngKOjd66WsbjK+dX +7wzbDSf6QsHYBJzu/XEd4aNEAHlKsTCHe+Xz2jlZ9XOrrvOO71GXYmka7X56NAZULZZyq2FGsA0 gjltY6Va61s7k5PqO7umuz5ske5CuboLcDBM2wU/rc87uUZNDd86urcBpeyb0ryzaxSRnEVszkvr Zxf5U8Mdl7cztaLW2z1NogKrDFT/pzPBHYOkeDapta2QVhySDF2HeuWlMlqMFhVYacwDwKw+WhFx o3H3Y0S1zzuxhlfL9VuHB5cwb0jyTqvhn2MNNyn4iqpXRRYHnFXzFbTHUV7Nq3dejW5gZmNzfmn0 bg3aUFWKuaxu1BHcOEM8VzAgl4UlAO87r0YQS3iMKrGKQZjtXBh2AZ/Pmp93Wo123Q1KRMKqX0Di O6tGCLd+Zo4qJv0r1pnRAhr0Rtnu4lEuhNU7qcZbkKN5heTJ1rG53p0aSz07Z1eXISDpApqBrr1v HKMavXNqtHF0iggdcHHejfxCDZdz/o/PO6Xmd7XIFJClUzwcaPXOqeHWKTS/iwnazH61Pnvyplbe u7u/7DulxjvpmMc0Hz1OO9vmnERElThsLU6QUQpLGbgJTLNHuzHbK0Y4TSrOeFbYaFPeRjJGwPlX Pu+cGlUDfsGtRLK+Xc7/x9h5ZcuRK1n2P8ZyVy5oUfMfWGMfM3jcl+lGdn2g2NXpTicCwsQR75Qa IfGQ8s3e5SyKXFllJS9Pf/Nylc93Po0nVUNyRKP1hzB2Ass1hkyp0CjPtZr8mhinWELkn8cE0T8x uoVVDwHdqdFqaEh8qu581t3nnU/z+8bRCsfaPXu+906n8XIMJvflFoy2MUfgGfaLDLglgXc+zZMB K7dtez90tIHmsfK9E3uc6R7aX0KDNEokimJPKF/tC4ODBAja7OYC0a9LNSV/l6Ysn3cqjbc1bliC cD0tun3BvFGZFYWqE8uDDMAEQMxWA86dzxcKDQEWNTnfmTTdiNgI1S0fPQHe47xcPCL8uxLWMGdC iHIMQGbpnoRpPu8sGhLgpUYeRDSNt2Y5TUt8AOYNY9e67p4x3TCYQjYdITJgQBBfDi8S0N6yvRuS bOmpa33EyABKRqIjarzZXuUMkQEx4JdiqwiFaPpA3xhNAj/v/BlV+GXtMbaPVkMrUhARI0DPRu1N b/l6NxPW/D1Wo7jVGFnDCZyqW4rAma6utE4vJXvv5JluTkfg3KePHqOd5D9Prvd6IrRzB9GPUM2x OLHfNox83N6ZM/xgynrBxdpoqIAxm1VJe1+fd+LMv5E04CfVE9B0RCFrl/pcKf3qpjkb4FwH2ytG J9LyGloLcayK0IYK8eMW4gFNE9ZzTgAuyugzIMRRhMP4cUIA3sP+iRFThGKRe5lfI7fasjkOnVO2 8mwQn43+60yt3/S3hQErRNWMjzY0mZyrCohLDrsIKeOiYa6zXW8JJkS1oq4J6U9notd0YjG4D2ff 1C7QCNcMF+D5HfCLf+yGqn1kgIDPAhbRJNZoFQF8zE1mIunZoOGb521dkQ01czEXIyAKWQX6rmL1 kuuNiwlo7cn1bsmovwes3PfWyWs+2hI5l25Ht1ChyHkdif+QfpPpP2n9Wl4jQcYe86u4Z/hGG70U Pz3VO4kHz0awzfJdJFVdRC8Z9ShgBWg6Vq9OA++utgF8zGngRUrKXe+I+jQEIXRDbfQ+TUntBCKQ AWvvgDaLO8+i+Wo2JfMr/NSjQmuV3V/bd7Tfljzb7Q8Xz/6FNWJQGlSBvNnb/1Ro3bOZtsSeJs3P 7cIHqhOeZPvX9Y7oWBVgpA4fHUhz4vcyiGwgmyIjRTtPvW/a2G20bwN82SdGsRn1IsxtbPTQr0o8 /wdJOZ4NOFYXtcnLM2+Cle5zEvKsmmRuyVBlLTtM90rrcsihC1EVt7rvUcRqeQ2tHxv99oWZWGmr gImHfShDZmp0kAoI6S6BNftHBusEZGMCi2Ojo9CQC+DHn1ufFpyuKV0EOEEyBTGfkohrRYcN4Po5 8E7yPylaTVVYm1R8VJEbzE3XS6IYnrbvUO47nty3Y+LbpelND24mWR0v80AEytr+tXOicutS1kv6 dcZskP+zwrZYLwAyC88GMzJ/tShQ6JeAkM1JFLHStBn7avjIEcMKA9sL0WsOj1h7SLjipql4O9n4 gHslWa4+PpqSlO0T6bia60r0nM8rcmKP4KzA58/Ga9tHe/1qw8marN8oaB13StT2JmRr+144ccX1 BPGiDOJAlSRhJNeDaz9cJEQnCtofo1ZiSh/9eMVL8ew/9h4yQDJEKaLyUq/j3r0YcCF8e1Rv1fna Kyr9Gq3eilTFstx38mwEi/cLR/c7pLB9L5z3sNWt8CpUGslF12yFREJDsVqxT6bVrapRjwLXrVNk zzta4Rww3jlZmmT1sD+UEhR9EDSU8nO+SruJlwczAg9tUeuz0YISBMn1jxpr8mzEy/M4zcyqibnS RdT0CNEqYod5bhXKR9mYNviNmb0FrnbG6e0RPECdrJ6GRuWnWVY5laXCGiMRlgE2qGeYgHSy+tdh iUoSrw/CEjoVGwy9jc4mSeZTWs7lxbN/I08opuK4614Q6HH02n5mr8bdnF00CY5XWsf606aULWhe j8qtOkUa1Tgbb9EIPBXIC7Lf1nUyVh1xFnt9Z6TbF74fJZ0GcONUtNHum/Ol01ZJajwbrZKLmUhi Buh/ZSr7xuCM4tdJZ3a1W5ev+UrrzStzK60eZmVEBVeJQfUh+sSoT+EIyz94GGKsn1xEgEZgAxAm gAHeW5jInLf/oVPRVYnWqH8JvE+XSGuFZwMS5yi/orXz84oXpgmJwlfZEHJ02I4pOE2Jm9ZROpCI RDNRsKS3RJeOlohwALPdeG3yjoleBMgJHKLEepavBGUZVomja5QJj5CJRRF8LGk7retMOss5h0z5 tw2ejSquT3VRHbufdjPhEaJapQNWJTevGq3J/BI0wxgUVGDJfDbpLe9BPWhKBFyA10iD/EdG95Tl d5MtzDkHE4j7gbjMT1cp8TYqgHrz7gCgx/27tUj2XSSwEbIdB7tmnv1bUZ7/FJTkuBMS0rAgldD0 Ms/7NDwuWVdkfaNzZkskCl6pxgFmXRoF8RANa4OSos4FsGaKYiF619V0cnje+c959x9aWZ3EwEZb H/SYzd5vNp6NTpJ6I1dttB+UwW06otAVVEyfy/wN+7rTIWd6kwkwsd+kd/yJF0/sZaMfIg0JGo6A WiUsKi1TWRuBBZz70c5DZ0Cv/0M9XpzqM5J/Gj5gOnlx5JJ5OFgh9wrWdQOBordLjQ9DVxgeMjY6 E7BRk1Kxld7ZieG3xK6I5pPeEclqTC+j2uix5TrZdVXDilLjNJmRpd4ZfZv8hdfwS/D6IOtLQpHA xNJoN/Amo9SfTpw2IlTrt2/jMzLyvWqiyJV1cabZpXgypW4rH50bs+kUofSzLSoZEbAVJa9zzdCr 0OgFaLSKshqbJwqvfQrER30fVB4kkMtN80+MGK5UoEGgXRyajhEkcvjTYkbCyPX3jKAOLRcy/XUh UKDKcdjaV5NQWTDwpmydHbSldC71hChutZvGmL9me5vJ+M6lc2LXrJvmrJJuXiic4xikSaPGbhqA mpnXBzEJ5+6iMGajhcUnJzX+4VqbZ/+G4zz/KTv14uJHFLZKVzE5WQ8TUj9Xc74+slw4auyNOGxV UaDe0RdIOenwFFqTxh6uw3KD3PLYIu31+dgC6Y0wam1dDn7VR6MKZGICLrS1Ks8GGXC9taPi3d/b xRph0AqfJCloR19+WcGVlm8ziF6D66/a0QgLrsawkfjOvoijE9Cfc3YRJdINRyePmgArhj6FQC5W grbq4gg5WM0AR9lH77a7gRL9MZ6NStC/SgI0Lfutt86w3loFEZhGbwU/MsyCjRT+/N+4uVnmKpPM UNBKbV9qqzb6ZUN3M6Vl9EXkmgVsPVkIl5Mkmx1vVPwbo7oRYQh1fBst22snv1c17ZxKPBtMSftV lYfYU6+2xIwiVsLoiS2tlAMWzgrq/UpYnanB9oDyfOcdQcHVaWl39DVypiRNQ/pOBJ5lUYCLU5bf 1jf/NZWeGbKw+L2WwrP1xPBnp7kOSz7x6gyhrf/DCseV91ZcZxSvpi7MhMuPmOSZuY3ZMVJSUr0R JZaQhaUDZArvOy+TDo1jLOJl3bEq1W3JlVJJkwX4V25Drb35x4JrEfi5XPDzeV2Z1pw79xfPRoyj 8Z0Q7EjE/dKERPEq7FskiL3Vue6ECCFo8IBrPDDDYqua3FOiG3M9lN9dUGc1iX3CV/V1oFQLWfx0 OqWvP0NsAK3RXuTjXh7ocxnbuROr8GyEjb86Tj/WtNk3NptRvFpZwXU/XPC8DIR1wg+BfzmEsqic XW8JCFiqxk+pKcxHbWOdE+mkWPLRGiDlm+ojqZg39lep1nS+ZqhslaW0yXUzixefT8YhjSyJ1lSe DfpY7ReyFWnOdbEBM8QGAFmTbwrhqpQudd9S/uukem2rrMiWibABeLKNjlubjV4dwT1rTjXDZ6+S aDi3+AlIaLTPr3eu7ZgoWIVn3+Tra6PBMfc2KfmzRQfPRuWi9Gs+OM7qvWcicABhozWWOUJMP0CW YjO5bECZHonMqMwqx882VVKcj/ZIXkSoVnmGX25OHqS5W05730anLt4ZxaqSTey0Wmw0+Aj0x/lj zSGejVLeeY8QGhn9B/Ekm5CQgEVjPw1XDSCm0IQUqeKbasDwlHfG8lZKZpX4fo1hZ0cRVFTzAm4C oXh6WdYlILq8dhTFPvBPVefSto9WJ6IrpnrY2ZM8G8xH+lUCQGC53XLijNABHLqCbTt9YsniBnIe hy1gvbJNfIMtEwWrZE1YMTcf/VA9qXM6+YRZicHoFN+EnMZ0hO6pqirRjGJVRe3nDBo+2go5EZSF Ejk3no3wI79OVYAh/TJsZkjBUmnaKPDgkeRYKbyEiJOaEVODY0ZCyQBdIEsdivXwONeJn04kotAM 6PMQvRHzY0QNnwKrmhm8O9oyBGZ0UGy0AmvB9NzKIptng8Ds3ro6MSSz5R29FcWq+Rz4ukesStT6 7V6162yTrq3airABdslUIZ9rfgIz1taiOV9x5JHg0Pl3ULqEKiD3Yy+LSHp1hcVVUt2xJDW6Lp/p /M9UkNZOAsWzkdDGujOSZbMK3MJmJCyuEnHPq0W7bn5Xk3M4wQa4UckKQ1UxmqdI8rPcaxccULe2 RCGLo0Q5COZ/1NPkOrpazpLrWWFptcl1omcfLTUA1yoU+N6VZyOQ0biQZ4oO8O6uUF4IZT1fs7uu EtRskid4tB0pDqFdPNzRc4XgAMVkVTNShR/JptbT+SFZI2e1oPVE8K4yEdpKXMhf3cDM64PaKuya DsveRgOBF3cUKqXqnxfFqr9VFDnGnhmJSVhShy9+sFaa1SadgCJb/UfSfyxKzUkIZu0Kzqxf83R9 5yTy2OJyzhNtS8uk4hues2l7PpeNygArpmGR3AkwsR/AxLl697asN2+ejbLeX9HIFsTPpySKV6fE Sc8HTiSdWNTkdVhfd9PqLWSkqputmIXV/ltfTSe0xOK0CLiJ0U8T74haAnEjPNXLblU0siJkQBed Hk6OjTYh6QrDr5R59i8FeAWW/jdqQqJoFVrlWG7kM9Z+pDZT9zrRyq5Lu2LBADFs9vbRr940TiyC 7Y/IrUMJYMZXLavDsR+xPP1dvD6ASrBrdCTb6ESsYrlkHX3wbCRb3H61aLBnuCrOK5a5wmu8WK9q DSmQSAFaYrWdyPA6KqwwXpXANw6uNnr8Xsnw5PlGUFmHOURRhyEmABV5wSOK4FcEZ0Wrs6kTwNh/ 3Eg6m6sPRTmejbRpbilRR4lgIXeRRBFrHcZ33lZ5r0OwMyQDqmSNpBS6th+uIaBVRaKuUN4IDYpH 5vkXoGjN4coEUZXGYoGOWvpZj9AVBDl9YyRPw4+Lf4+NVk7sxYQlgGPw7Pu2WV+CTVa2vS9db4Us rD1+llR00B2RrKu04SRoRwJcl1y3WSVhfXVrQtTN7Y+O7JYwnJyiQW0Sm3GydjOsVvXXFokqHLw9 OFjx3cRM2cdbGDHkTu2r8mzQ7b31ZhHa55ROn01IFLOCFp1KqVUpWulWilr3SpFHsf2zwohVU1Hm HS9vosgtmpz/rLMElhxHU9iz3Nf58R6U8A6vj3oSGLMgIGSjHSQNDXEVPMrg2eD6vcJfzoY1Fztm ZIeAAHX8+tUNuIDWitGLAwKKy2zsUOSqimkkceK+756p8r3GVxyq/GIyZLUN542VKLLeLz34HQWt zYzX/1esFolro6miQ7qjoHVXv2ucjHoOnovM2yEFa4tf2a90gGjdkg4QWZVyUbMQLuktf1omQ5jW 8WBaU9uCjmyWSTsRkug1HFqy/0UW1O+brdN1h6DWZsne9tFOkobei4pAe/JscN9c4q/iVryR2l0m IaiVAzxBLBToSjhl3TNJRU2CkrmLG7PsOHI1oYTto8NaAW73Ib3rkvbiPUjqDQkptG9BQGfrjuJW 8tFz0XAOpHURXScw2AYcOd/32TEFy29gJkYGsVfhOQpbkxhYFxLQHw/65gbsGcMz3zchJCCr0ysm fB8PwXXjPTiGBFk2MgpT3slTUuhNNGgvwm/7wkhNQXAAKugaLY4/r7Mw6+QePBsh439nNs2RgJqQ MGitWAY0Y0F3E5eUdMBwZFGn+i8c+A5BAQZkLclHz/X6WGd5sBAK0GEJL9au8gP2hut2e+mF6O1R EC+8FUo1XjVjQrDS1qo/2S/PBifrpQoYZPv8CGs5amSHMlcTXb1kR8aW94tANC25aO3A1NiWSMi/ knZRsvb2o1GDe9EYMnE+N9bJB5dJtog6//N0aaSQwLsjMwUTdZo+WlEkLeN5nehv8Ww0H57UqLaE suGc3pXYESAgcS7APDUIKx735tdjuv/wSdw++8QjO1S6UvZrpN/+6OuC0TxhdxYr/AQPaNAr4bMA DSnAK6jQs31kREojIAGLZKMFJCfPU/bbUl08+xfciAGZftqVmNxhzNqhkxSrNs8y3azHExsVBi4n fIeIADs/0vLxBmiEI6JgUddoxglXwQEMuCkHmOimdK526CPQRFvcxUevaqchOa8z84Nng4umXTye AWn6D+G1TUkUs6JhNFN1VhoQeJ0jTT717iXgtoI7LLPaZJQ73oP1ZExnHoaUrs5yyPKn2exSlN6+ jKNlHxgZK4jkqzCtPTdvEZZFuYKejWgC5Vemd25e2edpPqKQFdD42s0Erta66nBLBXXpO9ftMkY7 ggSo7tEN3FXyhScib3PmV0lNrrVzxg+O0R+8opDD8XNVWqu8PVINoFTUdZr0W3c+l7jQcYDJF89G ocj/oBOpxF/l/BSFrGQ12YEQ6u9IjkSYgEb3irhSzTdp1aZQN4BJacotNd6O75nalWULPU/aZ1gn UVtRI67t62Jk6vkpClsB154sT4AamIDWuIJ+YOyJE9Lr6eCA/RbkiXurelF3ZkLRq3NILfjSQzZx MLCNx0n5CvhIFQBEssYpDFxVECianac5CzloneQjqVGLeB6dcErpZ/MUr2mlbzDP64PerzglcK9s 1Os7/spqdA5cxFMUue4Hu5l/rJJGiuSzEsWuFHFmUwcLys31QO6CrKguv6a3snKK8AEl15drB/hF dT+ONBF3Uzg/xLTJUoy79bR2PzKYFjYRR7WPRu1ENsni+db1cIDOy94AloMax9q9jHOKAlgqSFPt AlJhThmvqZULmqiPp20Kza+qKaXf0WaFHOdsfrYlrvAZkzYYwScfzd3tsu1gIQHX6yOEPLhWjDts vLjWoorHuQmWHg6kfMqtRbOTaBVRo/NZiaLYRLJb93Zp8GZsTuXdAkFLg0jS4MleE+FrOGrBn9ho 83LuYQATrhs38pQjMBFHFjSsPDJYAkLo/ZFgekHxmSqwRoccUb7gTxt18BTCBW4sm8Xe9f+Vvgdv FNCeCAurAcv3lnH4ZDWgUnUSsjO5O3QK67DSEqhSDFCfXcdLEcRQ6K56Jm8jgzJkiyVvdKoKt6C0 70dGiTEQd9pwNlqLq518QoXYk5Xp4Yj/ur+l6Xx27lzPoRuFtRiVzLwvdKBW30h2VctxoK67YKKo Vsy3/2TG86y1kbNY0icCVawyCsFA1uzPpxMqZpHeH5SnyQsatms2WtAy0SvQTspLD0dAxstb80uD xvS1HUhhbAvbIXuFfqhrIu6n2UOREO7d7wETBbdjvgW3Z9HPUgTLovyDFpxE9rkXQJxDzdElXRAk to+MmFpiwrJsexKMhnk5/2+F7P08oYejbtfFM5rIy9kd+Tl33+Pb8n9A0bI0eaXgmhGCymrqCOYO LPqc+c99FOkMVFl2kMvbeG9pyq/FyrI1DdXkpM+eJSGSvtwkj15CQVeJDBTuI41ehnQoWDnHvR4O tlH3yqxEGUeSAoPPS1iaZZFlmZ4ji5VNVb3KtW2JiEK3xqvVOYXVWaWHZgO0r8gt3seQVlkTKkx2 mTbSxMakmJzEkkOqLvaRkd4+N8kG8aExWZ01mY8pGnN6+C99L+uPqspxd1HonYXyzaxenp5EyHa6 GEtWggPl7qLAOosjypqBy8dbdztpXMGHBeZWnYRTRu0DhlykH2Ihnc1KYJ3FqYlweMOLQKMXEpxa Xs4L9HCUEv3WdsUb5bY1cmieJVMNYfulOXBrTdUE52Q9b7R7e0kkbZPlj5t89M7/xG4OTX2UOgrm Fc6K5GrOT5Io9wO9PaJsEcktbmiNyWpubRvrao6qh4Mwt3iayFNgtFd5FkrontXklbikKrdNSp0C QlOTY1Enn07KyaF91pLS7RLhcd3LM8vMNRUph7Hysk4VhRHqE7YvqI/rRO+PyH1y+FUhbs3bE0QL xHqCdejhvxlW6POXLCLutERKWYsmUZUrwT8y+pakjaF/ZCaGl0rdd2LCQFd+lUN+jaM/4M+W8M9W Bn3SvVFNeI8lJ2/P9QR07iwW+Gixhfp/2LFnkaS8rMpSsh6O+sf/Q84BRHejltBJa59tt8jc0XFZ puhJWLHy8NZgqtcnKTDSunKE/zZ7nbtkwn+DFp3bVD7segvFYIoLj6ha9Y8MohaOg4W8k40W5hbv Q57r3T4uaA/ui7tQ8YkQYTy7KJJ87WBUszt4zvxIApvFCT6puz0nSxjiThENBEb5ShBM+mGF30p3 UAH6dHLFJKn/pQTlgRoU/8g/0JaqIBgaLVc882oExrML9XDkT/CUoKQ69tPyc96GDgWFxcLPBNqg Zts4oA2QqgAEOWREr0sohBtISiy5H+4DbYOed9KZLtPo3XjRgA0uo0IQpPdomdW/Maor0OiQDkpP D3KuNIvE6olA9HBw4N7+j6Yc19n1nCxRfIuaSa7w/gThqrrICFhOSJHN1RJDjruHQo8CBXJD1OHx UIfHxBaIk+YsllwFvcBaC2QrAmKqLHtxLvtnRgA3eEpFLo7l8cQ96aeK29jl6eG/yDPIz6eJudye mYlKuAh3LTF3YDEJTCOzAopyrKCzVtt11wrsta6RdJPlWHssx9AeztCvhKZGzF29oDMvUJjm4wAz zZQutNcCYIKw6fDRArl9QgjtgbmyHg7i/jsr+k2JRfZzsEThLeSxCaXanBxV8gd5INE0MyxI5cZx ofyrLAm7QF39Ic2evV52wSGi8k9IVEoGcnHURqegmjfon8M/Mmh+DBW1TdjwKhKg/GLONr0WPRwF /X7e1vyULJ+CS2iyJaUjuUWSJRqpGEGCvq/JlrmzMS+hy9buJq9dffQ9VNosgGdFZzqLhD1WZVqd m6t5mOSLnbexyxaxXGNJNTE/DJpydqeSGTgaeji6hvKvxXJ+GqgXPilhHZd/KRBXY2WUUcxhqkhf BSJgFYnWtlDotTVE4ZFAl0YPWtI8qbmqKQT/STqgYBHg/HPx7xv3y/5H74/uIQq28hIe2eWCIde0 aXXIMvVwBIusv+DESXfZnZdQmIBgLkmp9tw/ewPlIHXGgMD4kSupgajVEga5Is+qQ6jRp6VnXMiH iWudAJpYDw4ptmbZpkTLpWRBI3PguEVNwSTpto9O5RnZgAgnL9XDfwtyf0zY8qzVW5gLTbcMjHBh TcLyCYywZvIksT42sYHn1jXva+Zq+NjDQIgshV2Km7D0W+F+AyCjgdLzc7ggcGAfGbSbixpESJD3 LoiILqJZ9Xf1ku3jIn5C+bVaFEPkOyuxmQEUFvXfKUAlF/zM9do79JLznZUQR0uIiwm7j16AAlJx jlgYG3OMITG/JiVvIc1tAxWl63p5NCW0TnitjcZhwcRLQUebUw9HDIWH1FPsai792UEhJoHTKCXR 3haWlFP5InY5ywvdj3ZDDs23TKjAxcif/uoJ2Ba9Js7bvlIm3B4n0EBMBG2Pp8pyz9swvhXJCWMO Gz0Z6tl40+dc0sN/WSmyNeTSfe6gKLxtTcCEYeW3s73TvBow2YCSteJhbpFc4MHllmQYufpot/Oc GSACm7hCe7JbSl31Mz/7CVjy9m8MpD+RId80cm20q5kC57K6xdDDUXj7KPhTeCq6nX1SovCW3Hq2 635Zb/m2mUG3Ck9PwBIYcD3VOO3X/cT8Jw6c1FxBoRcyR4U1lG8nd025TstDELgcWnBhTdxAffho NZa2XBLp3AV6OLiX502amUwa1I/VcujCBXtjpmvHna9UbDXfJWETyrxzEtsamJvQ9PEmzfPMkKRo zg1MOKcuLBuNBGE8fUTB0HNoxAW4iLi4+ujn1UlE7HBJUw8HLbP0i+KjAtZNDUMjLnb2wviXG2fb 1bMET8h2spx31HuexCQw5UDljre5WnuTxUjFoGFpmRR4qaaIykEzNSmrdv/ISDdXBSchHZdXb+g4 dYvAsEnNoRnXuDeyrp6zah7eUw7duMSDaRKF/EcEnO6qUjtpNkjzqYFYABcbciEF01PZPl5WyzlU WpIR9cl6G/2hUQmBsuhU8+tgl/wro3OW9EccPXfQURdxmYTQSdKnHo7i2vJtlSFR3fYzLVFci6T6 Sf2M4KNslKiW+MpA++ATkh+zgScXjQL5slvD7CFyIP1UhQCEh90JCeUwC957/4goZpeP/M31+mCt AOxZpVQfPQeSnCZrJdu3/UVsSzE/QIx6D9rYmKuLLThvI94xYL0oYJG7QbuN+NCXSxKPdZmLzO2q ntiHHkiSOyjhCdUtgBZCG2ML/YDSjbCfQ2suKU/jOuWjYtpqBkAkAWno4WhWHrE6/vcJg+fl2+bY nAuZi+p6/rOtdRNmd9U505NvTPsHdy5WwarbR18r/VznS6bC50oeS5yPrMMPk7f9ZYVZfTJw5zKd hdYBzdtoveaeLXcG+6CHo15z/q4VoVKf8mTsz9WlZJB8Mqo4nFIyEMJXSgapu4lbDiy6ktec6r7j LVBinwg+DImYs0LoFyHB8yPQpLgErs6W72cGtTjwRhNVCBuT5z3t8u+HHv6LSsxVzXn83AOjLqO1 LvMA2YZQMKcDsGzgSotq9pYWhk5dBk7nJ7XxrhUoDUsCF1B2BKUrgr+Sz6HSdhdLWf6RAW5QJf4N sUOjLZaJkrOK2VQRAq8uAOq/tJWIGOcNVkKvriF3O3FuOWAeMd0p9UfOFVT4/LCNcAnqCZ5j9o5e tEVoeaiQj+iFlEeHwJAZWr4hfKyUnZd/ZFRCEHZQLnf98Q3t03it5bxaD/9F5L+Yl8z66evZQu9x rVmnDFP5R9hAtl0SNkgOS6DpcjdQHNeazXLx8baDiHIJkCtqNwU0PDRtqHPWgn/aQWn5R0YbqEm+ Lvtoa4XbUQfLebMe/ovyslVAypS0ok9LqG9AAploU6nkhN+J6xskAPambwBQyzZRFNxOkxQa1Uef GPQviqCd59KfqqCMQgs1U2ko9Svrl7t/ZnQ7A3wCamGjnSwDdXhVcGmrhq5do/y6nfkhvoFcKHJA lJMwUuxsnrTU8aho0BKbUXzaE7doC+TiAFclp2d0AO7A0Q3EEOjBIju3QdjI0XWyr/ycLahN2Psj VWpKTl3Omf02P2rGtlUXkfZ4VLgd46rrmjYw+srpsqRy6N6FPRsapF50UqlJAMLppNyd963cBuZd sL+ney/baDkzvtXn4DWF3UT3VDTmJj9uXnwzRHOqyoF5V1I81qZQLLM8BfNt/riY6i09HF1EHvhv y4bqU14J3btmM8FgAybMvC4wQQbFAiZUB6/n0L5L9Um6HD46Qi6fGG9Vk4EkMuEcq8LCS1f/qzSk A0nv/wPBH9MQH6192KSMrD8VPRxcRO3hKqMAL+VDn5QQf0tMtabNxTYvQNLuPqwbAoTrVhIC/y6T CvzvRTQhBhV57xWkk5P+K7C2Vz61Gfh2+xdGCm6URHiNjXaq0KVZdtxWPRwJqP5CauN/1Ovtvgf+ XWTpXJVy21ABQbhDOYoaKmGrYemeZjm28BKWcufqo1M/dsLnSI7eDW9sJUygTbgZyiP+75dQ4ODl fKldxc2tl5ub0AoxCNjYeji4m8dvJYhzhe8HGhd4eJ3DACV+hNY5YRf03qULubkScymIStsN9AcL L7EH5TrTHofis2QI0LiBq8AJS34zJCZsa1lZuV5Ivh8ZSbmRGcrMa9XLyMpntquBWWbVw1HnMH/X ivkvPWslCm4rxRHTTjl/mPtKU5XxiB80J6PmwMeLlSIIdm8++m+ZiVjEawCblOSdUJRlWoV/f2el +0cGZacqM02yxLJvUl7nsMvuZCxVDwdlp69ENZCELP1Fn5Uwuj2x68Ld0iAJxbj+ecjfkJ1U3EfD XhIpmKljKLWd8WAG8OI5mZBUQ05AiSEZkARANvAdyn64Dsgo2vsjxV0kELp0d6/D/DlTUjVgXKYT FFp6lccET3UcF7ZZT98j9PWSorNwfOLZVRBg4urqcFE5G4D1nZwImGAuZ6xlGy8k+fz7qZxx4vYz KQIuUCfuclyfT1NVtpo5cPcyF6SzseUKkNbz+moKIieh3Xr4L3gN7SPrd9u0fF7ClXX+sv9DlGMs aQCiRaRoX+pMV4sI5J9to5dg5bwiSTRvDGFuxxdze75+nTSC1XZ2ELZhCmnoIchMg6r8LF9xppdY RR8otaqRhLhNN1Y5J6dBkTFk/bxEKvqwLdFCAWh5uTyqKO3wAwTTgbpYLlveq6CyN4tGOtVqwv0j PLO6qiySYEJ01g5ELWx0EHLiQlcfCAlAtOLVUlyyV/vpkq8sRtjVSn6dEMN+o1m7fDQI8irWN8dl 4PMSpehfVw1AuRwRB/Yl23y8BCl6oltruRugCSHyZVo7iZNGkohzXLXqlyDFFgj1tSFFxPEoImZq q7sYHm4JvEK0Kxk+jpP0ZZSJOvUSpOgLC25ACVU8G219rGEavyeEaJ+XEMVm0qzPl+jcVJq4dZiN l/hEfxe9xdkfRdXsIVtP3dX/9sjX0vp1LoyTNOQqaKPjjnbfqAUTsJ49k1VjapSPs0xu1gMlNb3M l9hEb5ds10C8og2ddA5maoZ47CfK/LyEJv6PG78WR3JdZqbjJTCxs+N8VjdFDGoG2UEqWQBzdZLp JJjdarRV8BQdaLLa6N31k8+e+1L+s2lg/SQoJUkOKDvxmf3skOLuS1BiZ4fsMsRddnMB/kUFOZwf 2bCuz0tI8jx6z44lPwQa+ZqOl4jEdtc2MQgjLpwZhNJA7/is82xVg6X4QX4zwYSwxEZfaqyv21g/ uTuGMIAMiB44lqih03HJNCRJ/6yEUnREfl7iET/tWRlbrmjbb3bkdZx/kPF8i5aHOg9UIEQCOvO+ 74S8BCN2/lI/EzpPjFSky+ww7bCqxEitxAqqErwEIzYh3KSDi8xGbx+faH7j+S5HBMTLtvRaZDLw Mx54JB7kvDvYLlmqGLI2W+3eLYhumidgLZ+XMMSOHcG0fbfAOHqulpf4w47Shkbx9sp92ZdZKCis Osc4aCjfe4k97CDtOkgpKzoxNMlK9ARpQ6z6Cp+jqHhQBP4j7/4tcMfnvV8rxOCAz6uPT+3OaiXU YV/CjuezfC6IPo3gz9/1UlWznaLeTn18mh31R+fEp6JcdNtLRc32JyiWIc8jjU5TaDiCoz9yVsSc lYt8SMkCf9+zBOt6kAXyfH8pqNlsqBggXar2XOHU/Jy7scbnpZxmR9rylbEcBjnvdLxU02wZogLc k9WfUZL2SkB2aTea997TeamkeciRdbkWH306yrkwssBxZ1p2Nw8NKpdSjQF2frksXaIp0Tm6FYON O/odKxxl0ib+vFTR/vdW4ZAgBux3Nt64PX5FSmJY3T1C0VFvl1g/LN2cJSCyceSCGZHYgdpP49uE Otn+ODeWZJh1piqOL5wrhZp8FxrH+hbNCNvRAjl7qnNl+6jX9za39XLOp37euD22X56zw4gsS/ok NilRYEq3clENbhATAIUU9Yipd8u1uordYKDqcJGwWzBfttGP0oJTCGZOCIXQdCb7Y9Fn9EEok/gB 0owc9x6YOj5pD7kPjSuJ1nBNUzyFINUbtcdOBHGp8T5QiC+kJ1avNilRdIoexjSTVTT/h9sOdSk7 qhna5SYiOGgwKfjmjSGDoVGfdaIesfp7xJJUiVSKlcnqULHI4w8jsETBaZfYUBa4L+/rUHWOlOKm s+3zxuvxTVe/0SkKWFk1WeuQhAfr+cFWs4N1ifas7vByeWpIELf4HEWoXVdtH3d8IpA1FmJ7eBjm SXQ5OnEIzWTJddslM6w3HCUvmFcPOvc2WmkVRq/5RC6wFdFRstJ3QnCGEz3HinVBBAI7IjcTkBFf ReQ4BWLb2HEVINqtskYzQjR2luQdfYns85v2ISE3mHAk+gP5IQnukXhbMb5LafeNzOMHie7dUX30 YsvaLqi88+eNy+Pxy68ghLsu01uwKYmiVHouEuFqumxA1inNV2lQlw0LQC6Rb0weO6GRbxvYa9no ccg8QYIEAaA3nY2M48o4QRRYcNCf13xo2PcFdw3aVdKhtVGzcE4RldrAbNXPG4lHz7a0v4EICrpb Klj8dWGMOuAdNG/X6C8x6cNyBct2uboGUYiqtiemfz76+uhn94hpDWzghO2CzDZ1POnJk5j5TVPt C98nBA/C/zB4+km3zDxu5v15I/A8+aBNiIKRam4DNiNRnLrUvnXNf5QLvRMsEqMIK+nhYUeBqgx4 h5HL8lcsBcEoZIsBTc9zmok92AEZgyzo+dELHcs/8X1KkOU4galQEHfPlHkO6u4Jzfy8cXf8SPCi ULGq44+sXvXXvYerrBEOXxyqRJaUjbQSu3pZ6vzAlxYYRayUSc5sjDt6/4qSR6E5CLEWAzYKhxQS qRfLxey67Qz7xvcpOUuXyaDSrFFvhzGWjISP9EUUsa7tMZpZBFRvsfLXRSErFxvdcK8LnXPHNZgz nz0oq27AO0PLJIxawXGOpMpheiqH5xw8R7Zuf3K7niS1Wqt4eVV247dVs4d9ZDAn55ftGWCqjRaQ rLWN47Vpc0Zxq1XH71ECBPMukzfOjl++uMqUeblMxS+deSW6lGZr47xRdnxGVBjqxUc/WRdyH/K7 rescpkOuPI0mPGlDVl/A4vjtn/h+2WyO9klobaNtl5MiWPMJxOcbY8f39P5VABDO0K/fN8KOJcnE 4OseJY/EUBUEwo6S5sXlN7bOkzafaFLKMTNd/BGFQ2NNnKNE6nmAolStNKTieDRjuJ8+b2Qd+53V iwB2ZaNVU8fakoZFZfLzxtWxkznfNaIZ4fj3ffNG1bEleVaSYE50HrguJfV3dg/xncQP+3Kh+zei jqcCXCRwBW30FgECKHOrCtCmqhgSRTnzi4GW3JG8JiJRlDeejgUk4NPAWPtoawRrJI8E2+eNpmOf ZiA12zUobXcP4d84OrZppop68naT426Z3pURSMEL7tkymzeSjgcNBPHyf9Do6S8WIl2dhnq28Ens qqpq44eGR6kPLbLpHHmj6HgkvjhBzgFlo5MMWnNJoLk+bwwdz399RjhJ2TEyS7E5iaLWBXhcTQDT pzatJSOKam6qUmQtkriwytEKU9xGv4HXuS/TLpa+jz23jhu0zBfH/4UbUcD9vPFzPOyUSlkrPgr1 Ck3MwLwnKfi80XPsFrxZjSVk6kd7yPrGzvGDlWr7cM6F7Dx1sNpZy8E6L1LijZvjB6vSPFWN6iNr AWZvVcmCVXEiyY07Zai93LLaQ7RmHxjEIxTcIRP4aJ2HVg06gtDM542Y40v3Fs+0Uhg52G1G3qNW irEIBnh6t5pARhDm53AN1Vr3vWreo1ZjbSAp3n20GekUlDsRAVsRhXowjBVMKxVH+uyP+W6xT3w/ Rthi+EQNH20mxjIlbDAHnzdijv3z8rqZXtJfqYjZpiQKW6XG1LgKrZZYpgWuEHVNHQZYsCe/b8wc +yVR+ZtyAJyPA2CBqYC0uw4SNOuUCqIvXczF4hILhn1hMCNT1piQLjRq25w7TacPXdL9eaPl+Jk/ frWqJNXp8xHVWDmctihIWJmBphXbXFAp3TTkVBayvnFyvArK8SAXovG4EGU06/BkzgJEn/2jZhbZ LsWbBxuhgubnjZHzDc7Er7PRQAAbxVD9idl4D1h59oYiP7yE3eqtiDdCjt8z+CQ763HOWb1UJP90 lYpEd9PieA9Xs3llTHwtbfRwVdReM0GgtGjdTin4g++jTuRJTcn2hdGGAS9PXcJG1QaRN7vCc+Pz RsZ5sthfvTvJpXgrM4pWkducKm3L8v5OSDP6FgXzcWWY34g4T3llDBRvbLw4QsAi8kk/i2IBFid2 ayJZEBU8qjjNPjBo7JL3nmsp+aj5OHtIpgTUJufnjYXjt9+vjoQUmqpH728kHK8uAQ+RMJoCEfvT FIzWzpTae/ZSwBsL51dGI/cAjY5WwJd6qMSK727rqjmK06wcl0X4uO28UXC+E8Jt5eOlVQwF7yfC 6Z83Bo7965KfHzwmRtjydtUbAccWCDwJHU5m7Ub9QgeH6UyIVl5u+P7GwHFwiOqIis/6UztrfZwT umFMAWwzYziJRn/6Qdm4fK27WZ+fN/qN126hWoMXtNFP6y0LFi6z/nlj3/iJ2p9AxNYIzWqbkihY FRKvq3KoqpETHbvx+whExODWnnkPVZ28NswW65aEocN25G6kxIZkt4tZ4GImcWppYG6LVad9YtTG k/XBFPtICSO3zPnFmrC9M+XyeePe2HymX8dI1/f7jEShKswbVAEM2Zumc7PyFPVTYs7qh3e9Iwje 1dVUy3t8W97z3FklqSZUKWBbka2Z1sDPoyBlnJs3yo1PB0QK4MLtCxrGrEo9vXOL9s8b48ZD1fSt FFFIbF5MfCPcfBs7qequ1Z/cgKhICU/1kUUdw7ZMVF1VWeyk+MlHT2Y21JJNTRnN2J6NKUsBKokH mx8p9zXtI4NgVSHZEKxqfP04ZzbF/hPWfd74Nr5AHBWhW4Xma/W47I1v84SZiHYkaVJQRtwOAoB3 gM8qVZ5uCd4b4cb7BuS8KI7Y6N3pk3SkIYHYs2POxQPRr2zOVGBjW6YQVj0TFfaNb+NZGnEEpWwb bZkU0+mUMNXnjW7j9/a3CpDEQCJUskmJglWy3ik9ELHIR7/yhf2Wilr3+P2NbPNt+ZoFZDfg/hTs 7my4RFgKJuKcR1lkG7o9iPhkU7i3dSIdkzeyzVM7bhsFFxu9d1W3YUXOwf1549p4NdLnhN1MzYNi r89JGLCew3xiKak5SfPaEo86rlrH7dS88Ww8EdM9w9bQ6DXWcwGjoCWxjqtH10CZmWzT+pbPZK76 RrP5lkYg5vvoeJGTQ27/0+eNZfOvPI9CAD7DtzbyxrLxMJcakUMytwwJoE0vuejaFho3IIlKrFPB 2b/hMwUPooTyIaldyuKbSGQMBXkUBx9OowVpUYkVfZz/mKueY9y5ICcx+rwRbPzT6q8gbW3h8A1w FgWtxPqIoWZRGjMNOJPlW1REOofJQiFL7as3do3vHK0PdSd6f+ivGXJAFxb+rL4lxYoGPSaLv5If NlYXhveNXWObOzOD8JQZk/55dUNrUC051/l5I9f4pts/3/IIDY7syIA3co0tLKpFcupEfhr9xyUj YlSLpjzviCuFsXrj1vjCFgCv3dGvnNk2oNtKcoqlRTFxXUlYnRt35rtvjHD0Rq3xW5ijpAoZUB0Z cMK/XJcdr3183pg13uvxo2RbbjNpZviUhKjVM+tzuhPEFJpL3HGzfOfv6ddQ5Y1Z48cREZrsRDVe 0CrkbqYIV7fiU6JYksLGTN82Z872icHpWqW6wMRotKPkHNSmbNnH+rxRa/wo2d/ABK+Edqsjb8wa u7grAInuJryW0UB3Nz0KgAGP2ucbreYXfARahI0ep40Bi0lx2mIPJTX1mFcAIV2stPm9b954NXba Sfuli8n4kEfLSkkh7JnV8nmj1awLzP2i8bB6zx7Lv7FqPFab8rw3xus5VFLyelF2jF6dKNHrwnmj 1ficKNlXEW1+9aY7Kd8yCeGGmFhW2RF9ykaFpE8L5at9YYxsNtWJqz0h0lVZw3gPu3zeGDUeQ+4v CE1thnLBzW+MGs+AN4AAmv/n3kmzOTJAMnLS/VkmSKF3BLGrXTdwc8aY94Y8N+OZA50XJz4aFDVU MTBiWLrZ3lYs/0am8fy3UYCvy0fHCm/X9xygecPAtf5eIOcm7eXeNTEyIJ8cr7rEtAnvsc3LvDEa VSdbHjEyYNE4pOwxTV3VgBIUx0yOfFOopFUzQKODyirjm9s0+8AASwNGu+P/aqPWRyciVECxi54N 7plafnXBKXcuLyi+8We86g/mmP30j/qxTuIs5pEhX4DlTmZv5JnvETJlbT/zlVZBFJiWJofYOVdo gdP+pFhXrGxh50eTsdsbc8Zzk61KUfHR4tUkuRlNzPq8EWf839a+y4MMZe+7PKJwtUvrYNxlkUez 7iZtBGtQVAle2AIJYayALUDb+egVjIRYvfjfQMWAbko8q5trphMCwIdO+8Tg2uVqmEQ0NhrYatJj 1D9qVZ4NgrOnk6fr4HzJc8eE4SodyyzqHtuDxrcQnEj6Jgkqn6mZrnDaoiKrLC5g4/nojZpCOgPI 4UQLZ/MM+dHSp8qUxmf6CuJaKBIFrAjHd77SRwcppqW/6iQ3evYv/V5Phdi0TgkIA1ZJEHq7GyW4 Kxe2n0Rvuk59fw9XOVPZLkkgtDSerGP2AZsM2ObJYCDMAy+CvyQZKLqM13oo2ScG3U3qziupuJjm VSVJw7TaTvRYeTZAwd8SiSaGX+5iNntYZ8X1RvvTROqdZlbFpRMXvCjN63pHkOahPj+SqqipPTNy wjMgYzDOTnwq+S28aIHSnHhwfR2aJQDVozor8TtQq+aj1Tqw73FZrMWzwb1b67fdyzkmtr4mJKyz 0tpJOoGkpZDESzyXb+KfwhVcBogV+VP1qM4Kt2JI6nq44LXyvIkuqHR4wcJvcgVgEsDPRAJp3xa4 f2RUIAFx1YW4+kLcuMy5PgEe8GxAs7rdGoGpMz+E9PlsWkKuFZdqFThaTDwuKFXQkII4p0mS2Gs3 FHiPYtZpuPi5fPSYNS3iVOKRhgoc/gpMi6xcgKi3h8qqkKRHMWsRMgDxXxvtYDhXmdR9zvbUpwXT clFXQtFR7rkIox4RrnCfnyITJZTCKCkMwvi+hf1Ooveed2mlhCHrUjBam4+3Il/JbZJKaedsafM6 Wyu5K7v9a/dEFdeFT+zuiAZsfI+UzpyAZ6qE1M8pzbN/uXSsCajUT9UZzUoYt9LaK61dRR+nsMrS 0Fl5qrnCugppV0hp9CEWkQxkPY4/O2+qtHoGkDPUF0mxCxBzZXtO6xVHsb+HrgiRSFySk7Z9QeYn yBhWhp76tGj73EaFyAHo/owby/ew6noW5kL/j6t4VRVMdLaeT4ZBUOTSrpprj4PXm7XY+I3lSwFO V08CzEYmLFRhGPfjvuoDBRfQt0c1VySRGne8j9bOAppcLAOePBvhjO6RomWIk13y+LVH8Svqrqym 7EgjqIcKT6Yklv8Rfb04lbVHEazgjQSvPnoSUtFlSE2NeTpyXeQTsC6UT/JzzELh1zcGc8I62Sqq abTo5Hypucee25JnI4Le3TziC2ADfIvzPUK2chOsIhwAaWIqLhewtWCQlpAknc6TCCTQFaphjMTI cQ5pIuGTR+FVN0/aqrl13XXYOOHbfKtplLp4fQTQoyg/gPtr9GWy1jR74tF4NlgmD2RR94PiqEvi DKPYTu3IqZsTPEi2Ppb/6dwQ1dU2elR0Xcm48MtHT/sSWhtSeEMML9OqQ96Hi5E60K8Z6faF74cJ SWU7SUr10S6dnsx19YRujWejQsnvxnhSRrG9nDaiIJZG9omw063MZ2+R7+1tTyG/FMSOqOZKCXDo KLXxIvQWiS9ljHMTU1okigGaQNuIZPPew/zavD1YJApPiHNs9PZUXtaBOUcKzwYx7LikeKOotfN3 e3AyoiCWkPpEms305+msLBOq6TuZyh5Uf9dLG2HVVcGJ5K00OgJr530CB9kKEeNLoaHRQFO9tClK MISNEuIR87GSkALVR1snJ3PS/ZZXGzz7F5ieSSOjRuFnyQihrWSKCX8LNfpQjZfTRUlEr83wAtKo T3rLe2hPHDwEC2ZUoViU3JTAP04VkJIEqAfdT2m4ladZgass7w46nw3O3oLnp/Ep6S4jddbMs39h UmhBLQ8SNSFRBItwpSt0EpcAyre4pDtuYBNP2BKJ4ledJJN2Prmf2JbKhrEoW0YcrBAIhNPK49F3 coTe+c95d9TN0qYR0HdcoC+B1LDLZjaejS4b3zRWPtMB4RfwiKJX4uuuiOf847t84pgPDF0sTlP2 avMRBa80FvFo2T7en3DukdiEMoDoVeh0afWdyOWHW+mxhWn2icGWGUJPZI20btTXq6kvFaShZ/Bw tETyLxAWilcX2TrC0FV7RvxA7RnEi5TxJXS4u6ITRF26JiUKXg1xJskZjR7R77Oi0bllz5yDyX1A KLwgxSZqia8TfgBeH+R+qBgPMcPHwwzHXFLyNCcynjwbnSP3cE0+KeNRDQjBrQg1FjN7pHAN61KB WuPXb9KdTy1boDYieCs347lq1vbRK2tn0feM9iQ4m1GaCORTipSZn/shqU37xKh7oyL0Hj76Mkx2 tNbFlISx6z1atcapZNwMZ0ShKxXd2YQNQH2+ORHppCbV9tCut642osB1SpSmbkOVbAvTTtqHiXoS Mm3C2hP5F/BixjGt7UcADMvXzOuDoGQrcsX6ZD9mh0Ks6Hhca/NsFJTkXzcwmyHdszUKXAd1teJO 1VMUM3HFe39UFi8ha4SBq+KzZGI983Jtdlsn1DMART5/MO0RzBSpdfwiiG+h9UYUt3IatbZB62k0 0sBcWyU2bHB5NsiDyw3ls3eBL3xxxFiB/bPNi2z+bIywVE0SNlxYvQ46WZH8CEuvWekv50h/PLcy /9TSya/PhJzQrZn2yJleZPqX9CXGt844QjYWDsSDFqyNnjl1AdqIeyrP/q0w4GKMy5HoCFqEtdcu qydY6aq5AuuXYutZ8t3OkUWDQeWSGatbqc6rCv14KvR4rqI2L4zrOWSVFna8vKcCtfal7U37xijh Q73IEAP1ylicjMrO2bMPGs8Gk/KlqJHawxT0o3VGcSvJxmIOpSIAh3oo3wOCJ6vqgodJZ9vMsPia 8kulse6zAuXBTKnkTIJ8XOHlAKrgpHlEfiXZM6OolePxLAklwvPREAbn1SyUHzz7/yNhhE5W9ht4 hhICWC8mGW01MANuJNvrzhcqwGUpVZaQkCWoQBOAsT1mOKufjwWTJkOpq/cFgA/oq0zZLuio2RcG +wZFlSabII16O55ppjTRp56NcFhXtMeycuJQD9NmFLaiIzbHcJ2anT3bk/6zQQUeCc4Zll2FJxlK g8fXka1nqDq0LM7RfVI6VQ4oaDXJGzx9T6nuzxAogGEFaGEfLR458fW0P63Cs5EknPeB5Z9DDwe8 ps9IFLhi2Q3q6CLSmqR8FZvtKy+/hl/AMwpdsWYCb5R89GxvEAkvVehp+tEFHR2SBnyAX/K1Jvw1 Q6krUE9wQ31U5oS+pxqYjS7OjALX1n4Dsc6nQFC0KYkCV3xTTAMVdkmjuSR2CQGtVOG6PL+1bSKs QN+G+60+eklgCAjelerVjaEUJyubL+l4faJW2zZR1MoU9oT4lI16+0JWmn8loSTPRiHa7ypJk+qW T0iEFkAOb3ZX8529OGu+bT9HzsJv7tI9o4Lr8qy2+WjnCPris0q39lyXGdMyfE8kdVXMi+bq5CX7 wkhIkYZPaXc0OMlqVRn9eXXj2Si1ufAJBY5o9N0ayQwJWdRI6yX/1pJcR8BwWUXkX0/3Zih4pZMV KqSNvmdOlFr6EAHpPKXy9jlHVDmAofPUR4TmnGGxVWke+YiNVjQiYlIhaOzOs8GEXCC06p1VzB2f jwgwIItEeWeo/gzV1aXkwc8LCJ3TcCn5GQWtNKROvpKKj96o2BttP/JNPB3Rkr9pjbTynpNVFaMZ xazEM0AFio+OOErbev5nhnk2QpT8PkRQQbkx6ww5WV3q/+pyQoUpEttkRgAaNYnrk5YJuzhj6SuF ZiLejPGskTHnyczovhKqnUORqBZMCa3Mp9Tqp2oofEUWftLy5qP1xvuwIB7lWJ6NwrNfXGgSw3E5 eyuKWFE6OFvdcYtqInpW41buJ6GfdoisCC0whFgU52qkBz8xkHnaywQmYVEN0/YAAk2MkL/cX6mx rrDSmg1N0n20cnwrU6HxuUkqz0YKAvk2x7P8VzE4tRkJC61TwgHmUTjW8hmp0oVmRqa8naQUFwas UlNoAoW3hy+/iHU7LFXMFzaxLJlvvYrC+zlGlAp9VlhmpYPVdPO2e/MCRjABn7p35dkId7R/Bayq WNwZCbGtDQWB5onekjAc5zLXQlNQkrYHrCsECywhJyRFkpRjZ3XFNwUuegf43TTiKaxA6V/RfiHK /CoJZl4fFYzgTuummc9NQ9JnC//kHzz7lxDeTmOOMQ/hV8jKYqNB+/LGXjbRUYrxMLrI8zz3Y05C dGtXGb4kHx0pgH5+L9WikValRdIFe5E/mQKl+iXvrZiXRRsri2eSn6C1mgY/sfHm2eAk6eWibBIw 6HYB0CtUZsVQlx7gRAcMe93pkvEOoqhqDuggCWlZgtcAQrPRz1VsPxqJ7snzxok5ZPkieX4iR5UJ nO6qcGRFOAFodBCxho+W+Z4ER8krfVqeDbZNX99tU7xJpAmJ4lVKGzPPdutFrhZPKfBiBIbzslYs IVBVGFk+3qRmpSX/wbNCJsws+QazsSka1/3I5+m45PUBcGIZRiD56OFwSXI9refv5NlIyfh34pv7 V9h5hfDWsyAXNWFo4WurxiojP28Cn59chwxLJAxYSWZQLvbx3jXnfMlqop286Cw14JyNjq+knAtE igslKfaJAaJTYnEAOprAwuYkcFIa3cTQxnk2mJHavsg0VNGe/sQKSVkqjXMqKhxphKdqYC2Jdgsg sNI9W0OIq4ldq6mXv029DrxzifCKRMmQyJZqqpyQ66t8xfLn7X+gDZyfbvhoVcVzHxgn8WSPPPu+ a1b+xZpHCny3u0hCTtbZMVs2NTCQKN9LrmYVacIjIjCTEylWjA9Qydn0Nx56J9I0Axl01Ys4jgRx 7cPSvP2sEXxE9IUBCJoeYKLLZqNVR9DkNFL0qjz7Fw1fyUGcWL/ve46EZdaFBsbyc2S2K7AoPraV i5qz5lcYsHbdvioEpKcQsPD/7Qh4EE2tIe2FBkono1wPs+26Ho1hnxjx9vJ/mSWpAMJQyaMMng1u 3/0rZqVctG9vYkcxqxQz1rWTJph3qcVdHnCAsyh2qNXahPbVnqmPx9zuJ3s+TxOPnDWSpIol0ZdM CUhF1t8K8TuKWZvyM1RwbLRSwPnJTLgKYdIdxaz7SWssmDrnzgX97pCRRTGZqNIWR1lXimQM92us nWWi62bHUgJSipPEQr6/YybpOxfJFjT8pD5NLh2ylFKqJ8HDZmyKZh8ZZL/0J/4VyCMRXFUGOy+c PBscrjnf7FfQGIAoPichynWJqAyS8h9lfcQdsNNU6mlGjd5eD9hx4FpUUaw++uFK+luyVHxO1DK3 sI38VlCx27ceMLZ9YjAjJyI5oR4gNo02I2dPWnZSTrK3Q0rWo8TJrh+cRn627pCStTD8vO7046ku 2p+qnKAcGr5jeIBtme6jd34TdeZp4mhMj1HFz3+rSkPN31L8ti8M7l9xsaSnptHi+C6HR8LrtHk2 kuIcvyrP4hLfpt4O4a0cAKnaSdr3KldMwJ3DstxiBA3fMSFL0Cv1KNrXh+hkjvjS065JJ6/h/Dhr UX3ps/a1abJZbyT7wkisVZ6moI36cLsChKNrd2h45dmgFX7BaHYxoMU570kSKl9RK0xV0Cv9aZg2 OBYGdpKcXaPrmVUS4gNUP5uG03tKAmdZnCWW+HcXiOISzB7NEHs/T7uGO1FfGFRIivTe8x29QpLl H6PaC89GMk9XYMEqkPNnXm20HYEDksABVjPKqiYWQ8vjeCa0K1pvbrKwQ+2rJYmFf6uBFSTjV7Nk L0lDwIwsFaShQHYlFnq2j4wqi4Snq0wfLSihVqEiSaqLZ6NS60VMSBkGCuCdkzBwPWvJrZLxIbhA o8bVafAAUe10sobwACnFFZ2v5UqYAXg+WTRFsoqbO+0sacOqfIMc3nikOKV9tSN4AIjl8wQFa40e lJRlRlhnYfNsUDYav+LWjMzUzX93XGstFxLOH65lNEQzp92ARLOTJIYHiOhak483/11t7Kq7RjLn 1Fg73osIg7evac3yD4ywV0DBybpstDj+/JVGdk1LzwblgHThEtmvX4El9feFeq3n4t1qMMPtNArj lEeawbEwl3Us2o7gAebEIesRjV5HW+fg2JjQo3OH8bplyeAlUJ55jtZBjMjbgyCtASZD+NZGj0eK KVyiScez/x+WC6gbidjChOQUxa1IhFJZLQZXVI3V/Fx1I8iLPu1rB5ZCLQFx5pNKjOkKtp77JXHN KHRFXK+ZE3AT91T1qO866f6ZAV+tQJpXPXzLilvdq0pBW7I0LU89HcH09jcLVpuvXQB0TiFD64Sv S8Y8iAsWNfnoh+Af2cRwnOIOdHvJn8ppuAfbeMtpg24Hj4MNyJxcyBxB0aL6sb6ctezfGKnHgZtA CdVGvZ61J+7M+fmbHg5i+nvETs3KWTaXT5HTe/wKG3gTpFlIP3dxj44+vTR/MuLu1k+C0b+f7Qrm q0DOtd7aAKneCXborDRAIGXJJnhJrUU2JE9xQLkw748gNkyiULAaDStzPlElJERl9HAQnzzmYMyB 8orHgCFFtVfS0pVcS3+homXTUtt2sIC8NWxaQk8swVe7QJ39AXXy7+3n3pVG2EDwVwRH+p65u5m2 HSyUlfX66O6hjLQAMWv0szabRsnJT5cejpBH7ddhy6Gxb2RvJrHv2YCcKOVZKjNnUIpUpFUxkGD4 HPP60aeoAjuSKQlmH73XN0+us6tk9htACgHUxEUH/1XLE7rtdj8zwENv0ALYWdpoJdgBkIc/bTTD UwgaeMSPKVAqROpiwtzJiWJaZLh2kXs2rvSpXgMCigA0MDoRjzlHp7AWq6KSFMY1WtOlVKyQipLj M2ND1XoJFrHJZMV4q0p7+kcGEZyMXfiPbXSMyVwmHX5yLD38FzMTC1jOwbGuK0MKy7EbfnD1bNA0 bjkASrkFalo9vmCisHbKR61JYa49xoOAyWaRIkYFtNaGwlrWKAxxHTzW+5tj+kfGTYx2go3ko93R MBakRLLy0sNRjXp+Z4WQzEpa+gtDzQGVSZNlhMOKbCKDVheplHWluXek2IzAhG63j368LIpLpmJ6 ltACWnUmbQv5Os2yU1e0LBzsI4O1kmS+LrnKKviPzpe5pBrTzxN6OJIy/QKk2X04/F5XkxSFt0tM eysP8CdFhDIk0KdTqc5VpZZkr3lfLjWZJnL20QGwJ16uEtI9y6WUjU+qErofQZ15nS8X96lMscTr pLgkR7DudTfrtVr3vE09HGyiW8BXkghfvz6bKIpylyxQenMqte4eOUcVuQn8YzAXh+HkFBZo1R4d siYcD7p7wZ1JwroCsFCbdYjvg3KNCmDd5NWbf2SkwU/QUiUP9Tgll+m8JcCTevhv2aFKb3Qr+10u oaEWENYTvBsQaZbuPo0956ufrdBQuyjw0zqXhRkC5TvedGgUlE24pJHJ0tnTEfokBSOSuQGdzUrg p8WBCygaBIuNVkcokkewQ0YPR0fLb0Ek4SXvHR0aajUpCko5GyxNLVeHoLicydRc6BoKHLVcd34W 4heN9lt2Ygt6meyggSmdUEzNvOfyI4A7VFrJsaGWhAho49po1abG3fYjKlfVw0GQm8svhFLev86V wE+LZ1CtzLdsYBYzXMnJ+qS4vdZ2w9zQUksOMqMb3vE5V86ZTT9DNbjzg3WRqTtAlBMRwMT/IvvU FsyhqxbgD0L8pXF6X/BscYW8XNh6OJIG/oa5FJ2JENKdmKhWS282nxzXwjhq+9UO3LGtjqC46ZmY MNA1j2SV49oDZ1Ok0pdsCsBskcNgvF1VsLDitddrzW0scNe6UQtvttEYXbNURaoI0OnhSI/Aq3FN Ucv5cdaziUIRrTMPa1A3hz88FdThSldUTACuP5LX4nJgr3UlCoskCssjUXi2cGqFTj9a9lQnsXLk KNPFt2S14XTZ6h8Z6a6DKAfra6PHcmXp7zoXvH1c1CP0jphcTyUZeJOiwGLLuhTTBJL4w9jeEpNw F0fLJhjzoyWOcHUJKfgfT/B/oords6oWFRF51i4+48RycmUYX7RB8Y+MOskASUgHbbTi/jmG3YS9 Fz0cKdA9RtJSIvtp5dlDMa3rrBW68IT7iznKvla4mikrVKVEuoVCxAEHLianPvqstPOPn9IUaeiL LAq7/Ft+IMVLWMpPlln9GyOsPaliETGyjAfLNc1TpPay9fBfTlyzVulCLD/T8h7iEvjLt2ALfaI/ WZMdWGtVber8oG3fTRQZF5iRcpNyVnss2TDahYJu4nxryKq9SZIXVBs0oYcYmf0z/3AXiWZmoydc 013HZ7KHI7mG/iuWaxw09XFmC2y3wCtkNCyG1omqcuZgAAGpQLZGGMFA9zlw3TKRNHAHxUcP/jN1 7Sr6DobKcFK4qsZZoVnUDJdrMK+60HVLbn9ppuWjoSEXqBcdvSvr4b+I5Jom3Tlarmh/Dn23mqxQ RFc5ody4jSFdPm5j8NgZxrZbTWaXgrrVB+p2VseENod80jlsJcgEIFLUKcnAPPoEc/hHRsKFCOSO ekeL+9NwI9Beix4O4v4LAJTGoALTfhkaOfTe4kqYKlORPqfirfdmMsJCIWRHIeTQfGtZ+iwwQmtP NxVdqW6udcAhh5DERUoWrMkH8DbtxA3Nt+SwPFIzafZtYcv5MYdYTkhD6OFIaetRgRHmDbmOmz6H /ltqjoH90H7B7Wa5uZK40v9sGQk230OhBdew3VPu6PNyTtrz+Uv430ZLTrwESbJByidds9h/Sfgz xx5cQxli6z6Ku4JlnQBO2Hvp4YgreRvN7CNKfZerkUMXrqU4V6AF6bSrgC73OsS3zNqA+9Muo8CI yyxuMLjoPvq8gAmUGChX9PkjVbyqOiJ5uubEVOxlb6n3/0FIONPGslHzwkVnAfxoWw8H4dxtwFuc W5QZ3zM3sOOy22hKU1/O3H16PVckZ6WK45qU58CN6xbn0rqjzQuAr3MCSDqXJIMs74QvTHOXHN+3 rlCqf2TQc5ZmUE1Lo8f/5Zwvy8z6sn3cX5QvnZGu4sadltDmgJK8SprUodLyOlTu93jpvV1f3cCS i8+WqEWqPl6wxvnn4oUh+xi8zIn44FdlQc7vWiFY1cujPjxydXSjbDSkdWnLamttTj0cFbm/c1Ls fkaJwCclKuSysEHvZM8Wr8LF2UUqWrKL+EUtKQqduUy9oCs16o+E7iiYUifjxa0TwjB5Xch8nS5P ueWeun+Mc4easxqt+p/AUCnOXfZwsFjKV2dKDn+QmH1aQukt3QxLKn5bEnZehDp3ho4UqVwlD+gC ey731pksNRu9tIBHyJR+cN1YHVipCv8xmmhP3JK3f2PQEinmjFl89ELuVryjWEAPR3GuX0U8xQHU 2o1bQncu+sFTgmDELe06dTeB1Ezcf6a7gWL1WHF8+h19AxXkYUw9dqfzymTJAedX1kIxu4MhPFwO 7bkQxT4JIk1OjVZtWS4zgpmgHg6u5/WU/BPemO0yKHNo0AWwZhZHO52ftF/g5HowCv2ZktjwgPCW LMdGb7OmuRHRBrYBRc6cmBN1Bape4+knCpGeQ48uCGatiZGt0RtQdVk2k9PUw8GhMn+xfeSG9dw+ UXSLjvo2ZNP80U2jk2WtZmcMSvblniexqazEYZQ+t5vun0i+pHOKgwuo+wTJU0gWZReSSwU8NDUp usn1/ghxTACHZpCNtk5m8ao2Hqo5NOoa+5cONe3gVRw5mUOnLikKtEX7RKYHksv4R5qMw5pnkMGr R3GxWZcyxKmlMNv9McdZeKMCWKrqn1NBQJOBuCELGf2Y2yX/yuicpfTWpdZ2uYO1TS9ctjSmHo6i 2/wN4lAqf/zLcmjYBXpumfHjPjn+NN/QPCnwSUMH/XY/ZQO/LjopKvKP7qOnQic8n5KFwmvHEKCm Czm5G1Vk6QbF7v6NAclF+TLdPBsfuL4J9bds3xZp57RvQa6qlXkj29C0S84Ho3keJI08xW/DdczP WUA7SIdK6NklLdnrLPMAfWajmgdYqXKBDZkQY5sJ8hgxzAegbvz9HNp2SZe6VZAtGi3en3Mogz5R 4tDD0az8OlZIFucVps6xbxdZs2C8kjQoVss+MUa6KAVzatKshFHtUgSipdDXLchRy24y+6BbBkWM WZH0CPSK/eWHWZUyMO4yefUToaj0tG/pCSUeEw5uIFoC4y4DHH6TINrnDwAqtu4asslMF3dsAsx4 qVjpEt7wvKSoHLh33e7qvwBQ+aRx526rVISaQlyxonBYU/ESzMLVbJPCbg4cvKAkALtgn9ro6I3e Lvpi6OGIOXfnxRwLGlWCZ2ZChAI/HGBhTGZ0CxfJtYFTUpro6H0tl1DdQJZ2CGna6CcLbWGwJwJA lS3ulPwASSYk33bXi0xmcmDjxSYCf0mn0MZLKFzL/GaoJgQ+XgBU8hPXgvMxTzWblSiuRb/CtqjI yf2R2d2XnMwV5edthFBoIhVaQWH8Kscha6AsvJ5LdcsWuAvn0B6sj1W18/3I6BaqkhfaPtrlfE4r S4POFa+HI1L/+AUjpKh3+UA5cPICI0/27yj+ocqoVA5UI5MPz67z7qE4sJXxjihS5aFIQXSDWj20 h1qnHkrbSCbB4lA8faF0PzIi5CKxwnVoo5cp97Q6wAkk9HCUHO5vBwRh5kceM9cQf0t1P6dxmf1N JoDoyS6VWhbAUXatrZYourXOUOp39IB/8d9SzJVz3IQyB16OfhkIy/pV+8vdPzPioFJyojRjo50t MjtXtkWDtUbx7bieEfyq/A7lmZawepvk/41ouCw1IVgAWUdGGbhlRumoXvBT6OkFi2ZISdFGB+KO E5xkRfZ1AaHdkt0oSq7KOffyQyDbxT8zOloI4pZYLOu5igC0WpNIv1lUvx2zfjcRVSucQ31iYl8v yk4tuXpK2a451FZynM/u1/E8B7ZeiLLKbGV0H+03PLfymSSaY1T6x5rD2tL0rDjU880PzcMqB7Ze gAwgTDHfNtrrAXqbSORaejjaRPMLxKXcMG7NKfT1QorAkBYCKLTtAIWkVrwACvV2EUNjLyuuLJW0 11PS5iY7E9EMyoJz1XJoJZ6Vv1WHZMSk90cnC2fKeMaLOF0GUTinlx4ObqHH7IyyXZVxk09KSCMj JkbyxSYjiZgODSZVB8qhL3NjucDci42vtqrgg2M9B8uUuXWSL88i/1QOWb+yqs1QuNu/MSjbNrkk qEjZ6+3CZ3WrdNpWPRzpHzyd1Sx3pF7vsRKYe/mxQjxhGVCty4BhoBOa0qPaH05Mjv29jCZUko83 F0prykASq15sGKrKK0t2feWxBfA7KLD3Su73XeX3XS97PrGGTbV6bD38F/0h0w2uMNluLhQ4fBnn YSFNKw18jAyX2xQNIy9XU1PRSonDW0IVCj82engLn3tpvcpwUCKSTbcyhRxlji4eYuFtaPLFcXQW tSy726OU1rKZbJwEoOrhvwhnVuP9S5jxTkvMKUMK4fIOVZ83papxlapgtlnEEvh8Qa9fKsfe0eO4 DjNmikLWT/rT5auBmpjupdL3d1q6f2RQeVILsUEr02jgSHxzRCvbFONCs6+1n5YQ6ATC1udgCaPb iZYlsjegE4agX6ATxIfjWKmKG21WougWSSq8DKqPfjFPrIuqyCAZ6xtyAhTZINIjAfs0yqio2/sj wLaUeBXjznoVvc9smDZKpiEUWn6V9RT51XxQRaesp6AdGn/RyspNMbeOly0YFFgwYWFkuomYlQVz gfcX9FouoS3nhH2dE9C6P/+CKVvjhB7AMmB3E1pbBVfvrlo+FNh/mYt0T7LH0njB8ssEy+faejgo aV/BValFAFy7LefPa8iS8/+txe7Z1gma6aZDbfV9tZqyJ8+vAUtG3Yzcq9AH02gRxUpkiITpFTjy FndIqvv4Cv6oLj/LV6rpNV7JmB8g44VRo43+9vNS8TtObPV5jVYy7S6dK9v6QRTD1ffQtRdMB2Cc jM+9LxJVJKgmSNqPRPrE6tXVzV6jlUweRKQjd0CNfgOt2c9bQAy0kxTRNyVP4pylzdcJp2xCHJD8 OiEEcIYAqz7a7qy16F4+0f78vEYqmfpKtwkxRD9cxmrz8Rqo5KyyCJ2qaYi4NKR1xz970+wUczfB v2DTvIYpLBDZJax9xwsv5UgBGFtbg4knxzTkIuXPmL7cMpGoXsOUbPaSHV6djx7lu78zTPrPa5DC TJpMhGS8UNIUOILZeI1QcpYe6BxeKJi6E1WWLC6bcX7WS4d5jU6yeR8xC3f08BuNyKTV2SA6VZli S81G5lDrAZWa0ttrdJJRFEKsCvOkMw4ZhggatauBM1bPn9fgRP+48mtxYMoI+FReheHZcSZ9CXtM S+aqiJT8tJNX9y77a1zCT8BlL+FaG/00PauYgI1gjXRtSmRERCRqcTIT9rNDKryvUQlnB2jsMSWx Om/x6lHzRubs8xqT8Gi7s3GCLjklkHdoOl4jEv20S4oQ5qFxzmw581BsSBbKowO4Hbb/GpHkpEBq QD/10bM/RDLg/EsXlq1C9CrvRl2mjzRiSeqFvcYjuYjRdV4gOna5PtcbwdZuN+/6vEYjLI9l9Xu7 W860b7pDcu54nQ9uI26+pRODP6kRIA1vhMdETa1I4ZkAfjQfEFPP1A8f/eyYYJiUfTZ6elJwmpD9 CKjHA5SUuMbnNQ5ht2ChUfK+ox0dHGje1sif1ygkm4mrLQ/diucvhUGp6XiNPzhKGzhON+WdrVzM mwRtDJNyG6WvsQcHKdMw17yjcy72meUtVMzZ9Qn6gqjNS6rm49vP6JKxDK6VuegbD+Ejx0OMaGe/ 6a6kEPsadvhn+TmafkSTyTYVr3W1DGlBnkTDp6LeOsnMt06ysk/Fa01N+5N9sscdvdJ1DrHz76dK AhJ9S1JVr80CqBYnLxCIyRH+taSWzbAEFf7qo5UakZGeNhvj81pQ40hT65LZKA6IlGejJEqC+WAh 7+2lka0ChBxnksvOFjX1TB0jijmGYo52xxuENbg/U6BfTOtEgkJSRbVX4IaX2NLtA4ODFJU7bNR9 tOmoq5nxWx08Gl0rvlOkz0jddfot+0700UFaWAxX/L9NNUUprMiplJaOrAGqEeaiGaHVONH4sdFm BMv0vlSjB8iO+AHIc4D+gBB+GSOI8vF5Z/pkqyd3QSdt1OsxYHCmT9OzwYax4qJtGK1WEgTNSRSY Am9ZlLrQGFpdwiEkdphaydWaGMpzlzAuNY0relga/figFJdVhz73Lm5F894t1O4Mn9m+biLvPB+O 04KGGWBNG21GyjKRQelTvdN8sjlQaka6qm/UbU6I6cfIO9GHSTkx+RJc7h/9wZo6Uok1GHEWMMlg ocGkiHe8uNBsdJzFWXRtZTNGIK+jqDSzGP7DtBrLLypLFJwCBKN6dkfLdFd2rGvp7fPO8OEfN8uT vWQpYmXCO5uRKEIlXl81W0i2WnUA8TDoSaNzUS7TNApREYg5D0DZWI97X6FaRMqtNs46STf/lTjW XY7fzyIZ1iCOshdR4ziXm8sNWX1V+DdOLPAV4VFy7xmF7Ii5jpvPvceoydB9TYLRkk4x8BZpi9Q5 /tHibndK3sNU9HuZDDnIa/Sz5By1HY0qqRH3rb24+KWYBMIjK8l3Ke++E3uyFdWbFO6b69xzpOba rc8wVWgNpiTfjI7/hTRWRtPQpiSMU89Ub/iWuGfI3FTM9c1q11GCOh1iGXpHkMag1bWAWNroDBP8 havkkEGYb3ihXM+ChQMCva5Ew74vuGw4UwtSLDZatwZXGLWEz9/weWf0eGSh+WCDUHMmNrDpCMNU 8JAzX6xJbh6X1ZUcayI7e01HFKUK0reol9rooCQsuMDzygKvStvIDOMzsE1A8tOvmmpf+D4hmNi2 YXDhdC1Gz10gnj3R1v68s3nyY/hG4vDjcl37LpAoUpXQ1nRXovMPcvRNFRKdNBf7H5+RKFS123dz 12j0GghetB0KFVjhsrKB8dnPEJJoE11Mn4SH3nk82Yz92kLb10bbKec0MnuV8y/4vNN4dA2mZ0q4 l1w9zebkPWTlmuCmnsr9+dotV3gDP5nmbEUGypsTUdTK6XfmgzK8Rs/+Qa2cPEDKKT31bCakZDPb Hc6uC8+wb3yfFMqPlPObj7ZOdp1qfsJE+LyzePj3pd8hyTm+1GjVlERRq9BBuspEP8hV2yQLr33+ mRA0hBYbWihh4GrFstJ89JOkj70lxVwbBbcheytEKDKikVzEt2Gzh31kMCfoSoE39tEr8H02KwbQ 7oxC1/xE8vxSdF5YlVYvi2JXYLbzoiZO7JsuQnjWS1K/igbvBJ7sjl4TuU0b/QI+Se9C40Ek4SoR QSiVnRyyiQJwY3mZoL8TePipmy5fsKwaDS6Ak7iOSJCf7/yd/KAFPLkxtyifkShyzUOBhFeJpuu7 5XqtibJsMm1G3iNX91iZTfumPe2rk6ifnJbgG9dVvEaqVM2r0QLR0b0SMlTPP+/MHdWYAZ4zizZ6 U6/oE8+stvl5J+7oxvEis5gBaN8vP0veeTusScB56vmKCZiy2pt6fXU50fnwdt5pO9noI2N2VYp6 ewDCJ8HB85ErGFB8EocUgewC4HOKPe+h67SPjKISEnnw5zY65rMYaPOEJ+3zTtrJ5jfxHCb4mPa7 baK4tYqZXuq8rtblUryoqCLcVTsyGEpw3hk7/L2m9oQGs0Y/SzZNZbppFfcjCkdcb4n6yPm2+tAk m46Sd76OJ8Hos24fbZWg+2WhxVyfd7qOH0PfGWnCz9w5iUJXMCs7ST50nz9sZ+2fAN4XCXayFri+ k3UU/pLXyDpCowMnJtsFdjuBCQBSu6zPiA71gzti037euTraNXR7V10+Gq+LEkz2suLnnaqTH+NV T24MKOflxHeijo7Wigeq4SPmys406KJ6izQ6lldJ3lk62ZzCT/KLdNBKVxCpoBOzihGv0TCp0qNV xYi8W5bWLr2b7QuDqITyah+CqQ3HSVfcraeXY9rnnaOTzafAZkQF1i7Uks/Ie+hqunjmIP6PQOTZ 5RCro2FLS1dt6Z2go7KkghGEEjTalxakls49smVmACkNFDXywAWlRnMtdHfeYp8YFNLsGEEPcV49 RIkJmsHQOcI/7wydbIbdltxwbKicnm9P4p2ic+tNzQCeKh5JrWBrPSbtoLrnFXN75+jwS1IP2CqV 7F+lkhNfYkZGVHIOwjrtvFnSxP55lNwsTHtn6Kj6Ix9q1sq+5P82zhmZTAGEKXmPXTnhxq/bhrbQ LQm8E3TYNnicmTIO6Z6K8DCJd/Ujtvd8p+M9bnVLryXdD40+HYPAVYpcrXVmWmobQsj0nwck0QUH eGfn8INRQsjSq86PXvVEW8VkzZmO96jVpJls03AJc2c+2c07O0dXzdk0ydWpLQrXMfLlnq9bbH0n 59wSyTmSu4+3i3eiEwk+Q/brSG0T+ZjxIRIRT3JTsn1htGfkZQ2FS6PFmnWtC/Uen3dmDsHd6E/M qlY71DjvakYxK7/WrM1nJO3sMesoV1hJFgPMyDstJ7vOxwJPaeNt0+MJuAA5td73sMxH8g4UEB4J oa5i/DspR90Wojk5FK3sCfDJrKdVSPjpPu+cHJ3483vzSrip+hHyTsnJpspJQdT7mYj2X9UgtW8I GPhia/SG1VaV0UQi1uhlnX0CBTCPHCF0wnQhtW514CIqyGPD807IyWb5gJzq8tGR0ELjWK3/887H 0an6XL0CFrGU5HzLXxiFrE29TTN6B/KLFKDp3rNtjGo+lic274yc5xgpun3Lc/vS/O64RnD2oTeZ pUN1blzuo/L192ajfd7pOEpr8DUG9mijr8BSkhvz9s87Gyc/uvfepED2+jY338k4zAhmLGaEQKJX qx8jxoBUD6s5Remdi6MiCWnNrnf0eBXlsQTVpEq0Ye19u9+qyhNk2jkiz+HPOxfnhvBEwozyfvoR Q+nsSf6dM+XyeefiMJ0302Pn9CwTK5uRKF5V+mWw3rO/TfERQog1thTTiEPc9Y6orcfaALZk4wWw 1/Ov9rpibpLxAmuUjPDxaLwLpPhOwdF0qAwvo8ReLhivKi8yQMrnnYGTH7oWRGhKAcuQz5qO93DV mzx4KpnZTFrJNFNP7iDtPiBzozkP9J1/k81/mzt1++j9701UZhrMZ8MspTRDoNskXmy+1D6OP70+ aFBslYwgyGr0zLduQ+me4O7zzr7J5mtkk8B/iqJevZsmClgl2UMDxNSqCzeMQQK422QeWTcnF2ne O/vm2TYy+J5fg++VJjdLV8jN6S2jYsiGpGY/eq2X0USNfSffZPNuOxle3T5aFL+Ty4/VVD/v3Btd 3d/6CJUDbBLuQoki1ir0wPC8xlx5pWvYL4Pa3ImS3vGH63cKsjmronj5NQ+IN5CVal/nTJEzRQNP lSs1SjbM7VFI3OSdeiNgEXAz/i02eiNrmFdCqbV+3pk3CvDad53Qdj87+C6UMGjtTMX2OVFV18AS KsrrdK2u9fhOu1GfQzlN2T76bbNODLxqyXa6DjIknGm46Ke3KK6hdbFPjI4TIERSZOhXkeHcN93v 4NL0acF9k8o3KAGksm+F5J10o6BE2Wi7ooaG2aQXpmiSTTRWukFJVG2l9MRdMHz0egBKOonqKn2b 0tF5hTHAT6WebH1ojhaoRdXWpmxZkoYaPZbPxQSDz+R+3hk3fFpevwI1SMrTV8k730Z38JKqUzeE UeMAkl7fIu3sgvTSFFMv651wowjRopLloyNf8Fae3JR4RsAXMMIABUtQFvmhZxnF8Z1ww/aGgZcB DDFW/fPwzrBODoq0n3e+TTYKp+ZE5KyzBYvjNd/pNl4VXDr/RSc/n7YkMEwCP0Unh2EiZtY72ya7 icbCKN3GG5agNAzroDaaQlUU/ELvlAQCJwrfOEZBeifb8HrVNpqAzs2bqe0crsmqrScC/rxzbTwv t/xX8o7oEV84yTvZhlUCxHm7Q8RKdweJ/GG0aUlRcuW8M22yeYsDmpg+elxSdupdJ/zJ+c+5qioJ qgQZYCM97UfcMdsnBserentFlg+PduRZd1lH+ZmR9Xmn2uSHBOv7Jol57jPyHruCzMMRQfiasz6w CsymQl2sagIwwUsC7zSb7IySBb3URi8JnB/U/PFOBox+nCQJSLkzEhtdPLX5vXDeeTa6hCk8A3Jr X6gbpTQ1m8/MlM87zeZ/kKxm1bbF4vRr+J1no3gNCFrr7sjb2rJyGunrgxS4efA70Sa7cdOSWJZG j01O1rdwf5TV96I8wLRhGXYOWYps0+L5al8YQZ237CKLj4ad4PK1NHiXzzvHRsXrpySP5hrc3lte fKfY0AemitPla3ZuKIO9m8foCTZEzEKrRXod7wwb3XRCbhKfarSVnfau6A1U9bKK7CLPfDTBhPT7 KuNTdezzzq7xLNisFWx08LAzps/uXJ93co2SlfSFsyIrJpkZTUcIFECcb7p61lRT1QpHwtZItHB4 Pf6dWpPd5XstQleNt0Nxzr5qPivnnt/KCBeFO0EPxjfBafaBAbZGCu6QQGzU+uhriGDBNtCzUa/z V5mk2PL1+Yji1iwLnZ6c2TmHMzurNg7Mzn31Yd/ZNLf7u5Y6Niv9KqRBYaxG1gO9x/KgrVmM225H iH7nzzuVRvmJMAIA+9eVnirz5NEWdZ6D+/POpPmNq2HXUAw4B+s9QKKYVZIkxfQnUPeXhqwIetv/ b2Syrq/WQmAr9rzLMtx8XR7LppXXCTnP0XGWi/7/x9a5ClfISzjwPu0Tg6sXdY5FMGyjQWtS3iZp eU4mng3is5FvjYSOjf8I/G1hyCpcx3R2eM7SrNb10uRNBE987XuCRLVWqLzUFLuPjr2aAOyB0dCy Oa82yVhpuZ0Ng4/7Y01U7SPfDxGscM/lXbOPVhXoUPz50056Nuj9ll/Y1qIUzA+RHtZaM1Iv0+Wy 1Gw2fEC/CmIP9Lm/B6zWBMBEfvrolUXAbVucTTzxEnWic66SfEkaCmOy60qU7BODvh5wo7XyHZMd pu4UeGKDyrMRjjN/r5mq38xvmR5FrDIogFxpx4iLn6Jt62WjWbKDOHuED1C7Ri1BG21Gzol3EruG LuKZGTo3coo/cQNRhFDZ18A52RcGEbxZcQEp0Gj1jtrMuvPk14tng3u3+LmqWutZpO1WFnsYr0Kp RKBVnBEEPpdJKaMkrisYTGA366oe1VoViEgtyEbP9CgSV6lBnM9HmB2wL/3Oksym+tsMX/aREdZI x6pOkvRErFhDS/zo5G48G4BI1u1RcJOhdK2I06clJl8JIkBLWcereNv/iF1+Nmj/R0cjQEntnShs lX3kpCFrozeAF8chzLqzdzLyYTLWnID0MpD19nBbFZL0KGytwi4WIR7LtZZBh0tp1LkR9WnBAZvW 94AFH3jz3x4RsM6mhBpO1e/88xedDayHsmR/SYnPTw3iXgsljFjhBCx5cKxcnhlpJB+Tj23oyy05 ZsikE2014GX/u3miquuSxRkA/I508o8SmhNRN1XI4CTwbDAjM30rR0MlmuW5TY+CVtqJU316CK2Y Nlu2N8aFc3a5SMLBiklYalMhNzG/Csq7nInYuLGeoDXtc4PCrkmEwESFyvac5CvGYn+PWynCQC5R Xd7oc37FD8PplalP+8veUciP3Erya7iHRddzngvcKwGBoVyXY5U8FQgwMCenPfe45Cowmipq6zZX asZ+acoG8GR2WaI+o0tEErmg/kvGX5DfHpVcq/ybl6i+64Lwz8xb5IopBc8GJ+yo94QtuuXmMyPv kSvEYBmbFS9DwySTbH0RtkaByWLFNh0lEUYgKWoFY2+j141O1kKyN6XJvq3WNmVtkKQE9RCQRrJv DKYkiwyxp48Wlyx5KAq1Onk2SIAv6NdYal3wWq8c9QjhSnS2huTpzxEk42MxTLq0bztyFWAPdZZE OAGJyy1phi1z7staz00WO3SBh5oV3NZ0DE6QTOI6n1IaZxmvjxFHPau2p9GWydmH5jx8bi+e/Ssm LVu34onVwvi1w93ilPiHcKFavQSpHAOSoEjqVg89KriubGXF4uMFyp+zuqua184xeq4ivkdCL3xn +zUh3b7w/STp0gPutfpo1830W/hcQI1n/7JIFL9ntUBL81UyoviVEtEUcUNyr+liBSySlUhTc4mj ERVcp8A1BZqiRi+mjU32JKwAfVsxYZe8YJiP9r2CKcfw9qhVgV2XFAjnVSA8052maaedSebZIHy9 DBMjq52zoHsWPKLwldskVzXwpRgg+SErjoCWogatXqiqaSMuuab/1qAJS9DwKIJP7LP1VXKle3Iu wmU8S8fYLP/IiJqFHvbUjz1vx25S0pGExmqDZ6PW521pqeTKpNyzZIQIV3UiJUHEjtE/QQRoCcA3 legFRdWkREVXJKcHwGQVBySiz6VTOmo7BCDwek5U3wXmY10OKY1bpwJML+8OoHqNQA1tchu9LjUs eyi7Zp79C6fCLPKIDx3yO0K0AEdJd2TNlIyfopLiZeksJJitkSh0lWnMk/apF2m1oxNM0UOkT3Hu MlkeDenRm6yOw/TOf867/4T2hYlr4wVhWbn1rOXGs387SDQfJLjt7poocmVLd2Sd+McPUg/NRxEx jiJjnxdgM6LAlQv07Ja6fPRjpNVdBVjC5+b8D6QtiQ5mTDzgvj5eMc0+MTpHiEkwFDyj5Oe0RFY2 zfRxPpWHgyXSy7dxA53ie5CEgSsJXxrqg3MeT927tCcoenXJB5xciUxHb/mD1sZSYLhyfeCceGdJ w/icoycrLjI1E6gHX0RRTHydsM94fZD2FQkI4C+k0W7giU2cZucEaiNCuI79q6bGnGC2bnMSAlyR HzlXpSld4c06rILU9jYXZ4TBp0sIRBBXSQiKIG+jd2rPBJ3fcmpOzgqf2l5wRGioQgq5bLVpnxgs E/HUENuw0U9u61kTR06eDWKSNX7FJDubV5lmJCq60i+QWwn7xfOcLQV6b2ttiliqqI2o6DoNU+Jr pHuUdr4546Oi9Iaqq/SfuGMybSjqAX7ZAHjNvD4KSmhQoP9uo06QEwhnE447qTbP/qV1w9KC43WJ WSMKW9XYbK6kJwSE4X7tcDEdMO9ljTBsNWmalXz0k4Scccsw6OyZMk3GE3EVZAF/McVVPeXtQdTa 5fBXk482H6RO6nyuVXk2yIDrA0yzBvCF2IwYJ5B/mGzF7HuqAj+l4lykWHpWOpA6bZiw5CoZgSqy Wn0UndI5h3rf8EsbsQNXyvk/QLJsU1oTXoS2+uIIKVlNkPA2ffS2zTJlcdRfeDaYjyvpZOQa9Dmz FxhnFLJSJaGxBw5AfyKUpJ6G8LkdIgLpqkwyQ5UrYRfZfjZ6wHBSj9GlDYmgPfMjOg76FuTn7Uvd m/aNUYnxrCj1/2zU289ONvrX/2PsT5Okx5EsbfS/r8UlBPNw97+wi+eogmbVSX39k5JGRXYlGXQY Bh3O0FJrPBvMSfs+V2mHDz9XZxS08t9YhHFcNYsK6RBxXnp4MrFDfF03zQxrrkklARH31kPcOzlH RWNeLDUkOrO1xAEkE2J+8l+T7pkhJ4vmnvJoG22VpG51oxPGD56N2DZfuR7sKvn6aUZCKYFOKa24 Y9BuLknSjTgvkAD1HKmzxCABSaApB2b03i9G53ThCB5OtiBe35CcDtKt8yPBoe7eDCuuHCMnybuj 9cFJyvU39alnI/Gee9Es1RhlUOFB/IxiVjBfy2Sxz9zUaqokMHNdlaQYd7zrHVG5tWi/ZB/9JGml nf+ydCfJWyW+Prd0moTlv/1OKfDPECNgisdSu5pXV+xciQLjSimJZyNtuPkdtFIogf9oMxJFrWDk xdS9ejXDDT1Wdzmf8wtPv35nyMlSxaiW7KNHDCeRqHB0xVLrU5LqMu9d8iZ7InlTAJshKysp1SN4 1ag7Jp88SWgQEOI8G/Sz2jeU5Pw8+zY9Zxi1ogQlz87/pIefzKNtEzwpZqUFZTX5GWEExHdd+FfZ eME1565ZS1WjE/BUaUvPrubIOf3nh4Fk+yYKWdHK6vwffLR9s+dQwRSUF89GRaMr88SENMFTfUIi lAAUb1Ph5iCRAK004mq99edy0UYzqrbqUF2yel8fq3eYhjt1ZXrnQF3yfoF+9AsZXZHBFcxL9oXR ycq2qZgtaTQYyck8FKvBaOTZPwFptDPOudA9QJshIcs6B8YuQbLaWRUtuUrcBFihAG2GwlfaMtLy WR8tn3zCnBNzTztZ+4LTfQ5WFe/5fx/PimIfGBWfmQl5pj6dsgLDU9l8PmkLzwbzcYGcYk6AFm6X /jsjnIDov8TppjEpX0FxKSp8VIGgC2Uxu2yimHWofCaZ8P3IhFN7LoO0nebrmWH05oaMGmhcPTJx Xi+aUciKjEZTkaF5qYElsut0V93ceDZCkuyvPYOz6HPThJQsmlPZqvCQ/AhPspATVCWbYrUt7aOu t/xLhKMJgGV+6yq1ntBubmJ32pPnLpbXOcw9LtSnJ+6naiiARRer4Mlko1VFVjbG5DnkNs8G8Vn/ OlW1qW/eu6KQFRbFbKN6uQjGnamylmtSYcXFc4isCCUw5NOnsFXjrTynOpGtUeW5pSWobwX9A2pU XsleHpEs6wrLrFk1gDx8tHj43H46r1qrlWcDTtb2vp4iNITq7rG6wjKrlN68WjaWe/SBEvAZmfJ5 kmRcGLFKvyeLYpI/icc5Uk8Ybz4Mi5qxyaW7tHDezzkirsHPCousFfiV6vcaHcTZkkoOde/Ks8Gm Kekrht+C8fuMhNKslJzPtdhs06TSvMaapGPP9plo29ichDAB6bRIDf2MxWsBHW+kIbTmORNrSsSs fVHFBZ3QBZS3xh69IF4f6bQAOFLheT2FZ+5h+7POBufZP6N4nTmAQ3xOQvkrrWOkqE1GoKjVayzS ZoYdrcvenTkJoa1UilZVe6+mDwmpII8GFv7cOauKJD5JU7KEQmSV50KL1T4yqLVOqK5UQ2x0aOsy 5QmMFnk2Oku+5oTA916/6z1mLeqZqRc36f4CmZv/6VYzhC82B4rekt4R1QNUMNrFR49YK9gaNLAF 4mwF1CKmbL9Cu1Ppv3xXBSQrggigCIj8TffR4vjzeiX5daXMs1Gh9Raf+d9UFm5zYkUhK6qwpmIo 9cnlNlp9rWsuBrBHAckKdQS8q3tlRy9uUSYURUqcBMOCkUDbyrKJ0VHitvbdPjFiACMksEr30V4P L0NL5ISuPBupGvt1I4QrBzp+VTYlUdCKlQqtFMmQbLnsCiKAhW02IYHr3b7CoLVJEC7d8V6/ZZ8b R1XFAQVJJwmnrbI7YuEHR1LsE4Mp6Zv4rCtKEzQuSV3QjPkARPNsdN98odIyxM10b+CQlMW51rqw i1KQg51CqrdpsEiQZI/usIkVwlu7lHxG9dE3DkrPW05zZ/9OK6SNqtMVnMCXChYVHF4fRCUChHOF 22iVxXPTmM7KmTKejVhZNwG26u/vvvrGKyRlLWDgQ2J55x+4Z7l5l0n8SkkguQLWCkuthr8Sd29/ ANDoFsOMFurjPCa7CqL+DNhxP4tE8kG8PUBAbwEEmJB8J+QcytvsS89vWnk2kvT9UDzzL7FkuidJ FLdyIS6j6VE0ytWLRnJ11UkCDMZ0bKOo1QRKp/Q35qO/0U50tiU3VtvuwKXMKwncPodKflR9KSzp E4OgBKb4yHJrN+MirhitQNU9yuDZ9xnR7teMTDt/fum6aEp2WGyVnwCAQPABWaAriq6mImcmTtml bP+p3Ip/gI+e2oAb4ZSW5vMcuEGNQWCeUcoRptHyX52YvD5IbtKWiXLx0XG+LVmRA53SHUWuEs1g CuxiOAfP1Tre75ErtIEsBK4pB2TIu64m0FyL8pzn02Va9j89BZYJHKXnwpnw2yrVykpTvNMuOacr jTYJc8kpXReOJJx4fVQkUcJHh2E9B1UGri4Qz/ndeTbSBEtfvU4KT1fKZ4cQVxZyWmKPVGn/FZcT qNvknoryTpuTKHaljf4/ZEbE5/qe5t6yBHgVAZSQVciQJ0ob/onBjNDRq1xXNtrZih+KoaBPxrdj StbdOGrbLBM21L8uilx5ZPa9vWw0rp3lqo+1wHU83SFAQC0KRKNtvL3fTS+5SrTmxI/JvAvPF+2z 6lrNn4K8f+E/ZOPgd/lo3IHRuyG7e9o8G4mkta+zNStMuydJDG49v6sqG+DCH3vGotVv7gKmlqZ3 /EuVRO7jDwUE7EihCm/u48gGQ9sbtKIyx402jVJgZOX19gg0IQxOSj5aUeBcys2F9CrPRs3wp0ri spyXx7ijuLVKqXJNv2XSlrH0NHCTMU32vOq+OwQIKAlWOq3RF8mJ2OC5Ado/eaBkcscSLkAhwi0b 7WRfGPktkO2hu2ejV0lWd3RAWjwbyZL0G49QhDwX66097wgdkAW9SvKnAZm0dIo0OE9TLU5OgOTF 1h0KYG3j4nUfb4xGxRa8M+ZV586VoY+wbehOIO5yFRZY/7w+IKnRDS9Cc5Z6dfVnK+rhiwjMs1Fy cwUou4BNUjiyOQnj1gE+YFjVefb0tMPruviA7kCjHeIDDB2/to+efZz4gfo8laOJzkIRIXrplCLg Go8kp/SvdoQPGFKMq1IUqFdbP7Vy9X3r4NlIzad87l8Oh/7cNWG5NeH7UwyeOOt2fklP9RFqSU5T 23HUqhOk3vHC89B8BlsHguTsH0UkCIoLTdk+DjYSs90hK0sq4U0BSfOABAp7Uz3m7Bo9G5EG9ldq g9hpuwdrFLV2+c7JfaH/isyp/HcPoUqaEAL3FIkQAkBjUZZJPnqHQum/7AZOwDOnFZco9GPg2Opz sALa0BcGEVrTCaKqUatXabHkIbAoNj88+0euJxsbMXc817Mj+nVGsjTjqOAKD05r2hACkKTlVLJr vr5pKYxbpXa8VL1bt4mfaf+CETHevMTfBZJXEMHx8rVMun9mQFUTpGYDPkm8T42Qdm5Sk03r55/0 dFROS0/HM/9KEI6/26cm1MJCLW1zQdIE5ndDWCCfFdIFpzi3nCam20uiHEfZjXSO5qNzpONUbhc4 hOkiRlDg/AspW7IGHr5a9m+M5gUdBbm5aDTwxN4i5oqzpYeDiP62+JpmBUBJvpPyHr4S0gMMJyhE d9F4nnTG8zb8wMn7mleQrEH0eriLmrVli7afeweZcCRKWS30KOGb4QRFgYpwo6WnNqBUmPdHMBva 6cbqSt4zK9KTUpX3pPt6OAhPylfjU2Wute+sRCEsYkbLnF5VcvSrBwrexQuUcmcl9MfqJsaRffTC 2uzr5Daiv+o/0PyaciEShjE/BwtnmF4fYdPIcHIfPlpUf/6bluec7amH/2DAagOdA3Tf6zinKIrF txFijJEIcpvdZFzLokot3XD+sjstUQV2ZPNkuKMfLbNjsdUV2ifg8/KDpeZAhlXLE7jhBmTvD+r0 kJEkTW2jbaGVp+rKZJh6OKLBjtvOKQJpSjz5zkxYhj3bfXfZuJx/yFKUlw0B1TJJ/5IjmId0iqLZ YSZ7anXtDyrr3NErsbMrdsBnp3DkYnmgBUKadetJe/pHRrgsDhVcPmx0iAmV3l9jQOnh93mp6Qve CZ0VeITPShTTAt8ASOZ54IWa9OEuUdKBvKslCmmn7LIo49p4y8fnp0HvV2pWJ/40N1hKdYDVCjGz tf7mmP6R/3CskKmzjbaL0NIUlWTlpYej+nT9XNAFlEt+LuhYakBQxOwYgtFvLWU762Su4qxpXvKv ShvEARv9aAGsVnHqrK23ykD7DyKSstZxy0oFlWL7yCjSJx3EiKkJmGsqyGjgS+jnPKGHI+Bruw1A NT9+1UWwWYkiW1CeOe/t8i3FzG445ZO4StN6BndeIsWBKi+PbOKtH+HEE5yPakC+WbAlkKsY15mk qNOzWtyuMoUCrzKsVESs0aI5AiPdJGd36uFgD/VbOeAIgFfbn5jlPcAtpoiTh3wZcM2ZLn4Lp9/q +TUZ5gSvhhSjYIUnkEOhoQ4VtaDGhpM083KWTVFxnwx3STfypoWKq/X2oJyik2UK9zmvpD1NNe3X OhTLhc4Ej+Oaejzyur4OFqGt1kIXobtV5ayPzlwdNxHSItRiCVy1uJ/H/2IcQfOtExJKCTgttPeY FPRqyIn4TzeUs1kJXLXOz00Pnf3oo1UQzgZUFlDOC/TwH7mQnUJ4t93zNvTVEjSxu6oc5gJXfeCK VgqCZxZSgbEWh3YTJmH66L8lldiiFlI7cQcWeoIvGQgnP+K3Q0WVHPtqcQ3Cp/TReui4BeoSmaPq 4Uik0csqim/OWc2s+pyE4a38CdpFnSQB7Oh9pbXdmk6/vd1CobPWsvunVR9veRZtCtny0ORodHRO rgjrSXDp9sH1qSGYQ3Mt4S2wCdU4rSN40vqsykqnjpADd62vNFGn4nLLcZuXUDZLBczuzi8mdKPz dgoWh8BLx3bW5yUMcZdO2pZ99HMF3ZIqNbNzqa4uJPkgTTxBo1MvvE5rnmOBxRZhBxQ9+nQ2ar1w 45WbR+vhiF/ue0hpEcSP2Z+TJZTOOstiwUCBOr0kEWfmdA5OKQ2ugx23gcdWMpzglH+nRl8u6BsO CAkVFZ8m7sqZnKI2m+oKj8Ra9Y+MZddZHcNHg12sZZi2c7/bx0XNwS+r4Kyf5lkukQAstaopnxkK T9Nr+7l3AR+ZhFnyPVpiwwIJNpbp4+2X7mbMJGpxuaLudZYF/TqhOb6I96n4R0abSBWSdUfLEmff Bl88m1APRzKW36ZBNOjLc7hEAS6AUVjs5lBvGslaK0uwHEENiiGoc+i3Zag2Xc3zczWX87Oe7EGI YZxKqP0a9W8qNf0AZGf1bwwilikBy159tNejEKKCXC9bDwcn7rX6ME8EMWHrjXBDzy25wTSjKvFP U0R8LJRKs2IUbf11N1HoWyC/D1IwGx1vv3ZvKQ9TrihpkEcOZG2EaVMZ6nIis39mTCTuCf6LjbeS k026YiZ7OKK7fXRfEJLlDL0k/Bw4b+X/H5UuyVewTrYszNUPy9duqpPe+YKJGV6qPamkPZ+S9rmI KDAqIzqhXBcFD6LC7zrTMR9jmGmGdaHxFo1IQG13tKNltGrcirmyHo64GXe9KObCL/ZCmHLovcUi n2N7m50vtlhO/WwzMajX1DC23nJt6eSj/5g4s1Vp4SCtkJR7jS6+ihFGH3GCOfwjI30+oZjEWLkG MQVwivGSTmSkh4PA/3bay1OtrNckNXTfEs6tDqtjmxWruqj9cd/CP9ymJbTfkmvyKqZV/wBnz0G5 zwToyEUdxOiRVCrFz80P2G3aiRvab0HQO5lDVdew2NlZ15TAu4wSsx6O9LW+NIJg8WLn6ZMSlnCJ 6ikQWZusUlJ0byVSFUCR3Ki+hUIPrqluO1IGNt5wriLuIWdylIKasExbcicwRMloLfQXHkfvj5Aq 0qaTycP2HkujeKtNdE75qYcjDtw3fjZlK5TavEQgBJAz5yMR4ZBAO/IcVQLt+H6JK7l2c1BxDny4 WC5dotvTR5+XkxPoZlb/EBYpFkcQzFAkO5/Wfb2ULHBkDoy4KEHBXGlyN7jqY+2sP/NhrnU0PRyE c6l9dpFwfXk8ExPGuRN6TXEsAhG5HS4C7f5fa4MceHHRDBE90EDoz02ReuMu4nv7SaPlyj3QjP2V 3gfZ6a0qlOofGfSapVWQ6CvN1H0bUflQ5AHlTg9HHIVvgiA6Av3ZRqG9Ab9wcQvMUbIjm/L0Ixep /X5nJUbScj8bK06ysn62gMdIgqy0Xcz6b8HRyEKb35VS7hcGU4JZ21iK6NYFW9NRMGBTm1MPR8Xt y7xmxnU5l8epO/bkQohAO91Sxe3MdNb/+jXfJebWUqLQlstUC+i223hBCQgZSnyt5YF/eZcxCDoJ 9FeeWss9csMgVzEx9m42WoP1bCZTiDpHkx6O1sr4HC1bCv13VqIYt6EZJalitJROnqr0sMpPYctB hTaGA2pzYM3F/ay+iySW90XU1szJUlXcFi+uFiMmq4eMlrDHLPl+Y9CFFzCYY9JGxzd12wAniR56 OIhxr1Sd0nqpy1y52Bw6c9HDmJcINnu/xkvWP+NYGXnfDRT7yoqAbfbD+Qlw27lAJ9qRtfZOnsix Q1NjFlso5nKgBrLeHkwKPbMtTf99Nf0LLr5e2E5VD0c54rd2AfjrmwyF3lyksLNd5yUZCZrz0rrx ipjTNiWhz4EtgNF99Go/ZbNhbecTnWOBcPUg6SWNp40oJHoO7bnqRqYO63gbrfO0ukFlzjE49XB0 qHyHKyADn1USRbZJwLTpVnYp1XxxK9saiifbaM+BEnPB2kseRMnsJM6SmFoIPSIdBIbUJVJpWkl0 MctqS+8PgrgElPDkmj7aQikknTpq29DDUQfkq+V8kovfdQlhOfToIgUhmR92pLStqmSl7eIG3ic+ ndWDuNimC87o6mqy9iezPdE5mjBD1JaMEqHaLaZnjLzhx9ku+VdG1QRKT7AvbXQmR7IiSTv3hB6O YtvbGLJrQiDuOy9RcEukuFXw/09eiC7DJW11ZgXJmFt6Cqy6qIzLhMv5gzeEg+pyombNyjznogFJ sd2lj5dVY9GpQgRo3xg0nQEVJva0jbfpbPUcHKT1cAQUzJ/Fgt2RVORtUmIF2QE+wXDpS40S4RPS KC5mkK4iWQ7NulR5OhfN8tFbzutcw6Obag54HzOGBPPEjSJSg+NrRd7PoV8X1frzofWOFu9Pi4fB LAw9HKEFrw+iZkd/741rQ8sueNFLjCsJGhR3Ip5pmpR7cdptspf8izBH/G6jR3Bjr7NfZM488Ukr EpAl8wI3uz/cMKtSBp5d6PQn2TIPH20HoZGw7WBpeviP7FDoOITPx0VtxK5dxCrN6FAyQEjdNQ2I zU3ToKZ74AbGXfdgSQKEpQsIA+Q4yIKKPOnqoNwzeksCyzT0Aq5cG2VLe38k0w1AAdV+G62UnXO/ nfShhyPW3AXJWdrc1ZbyiYlCW8Axu4ulDVAh+3mSirtXIVAwPTkM7buGME/yt9V4a/0beWAKTNhn zqkmlHjCkOhwELrLRd4yOfDvIu8VrtQwppfLcLaj4xM2xYTAwOsLps7SAlz0BCyhgRc9zjl9v5zv vjp22glivLRLAcqBf9fVScm1+eio7MKRsWV7hwEAjJExqNxkObcK5GM1bV8roSQXZ8qUAOA0FdIk MTtTDijnitfDEaP/hvtLpQTkwJ5bKBSU1QXSrXko4UfzOC8uOnyOzTXuFgpD22Xkju2j30KrMLtA 6zlm5XtF7ZZOwhIM/OkLpeUfGQHCbq5so1UpdzP+Yim76eFIivk2QEyf8Bye8wHKhTZe1tYT8FM6 kKZtv9AXkhwTzDNl6bZgwghX+lxTLtUzPeX+paoZ7SkAVrMApevyBaN4IXtAr2rn7p8ZGWcQ8MuE Z19/UbQDh1lHFjqsgZeXCTHYVcT/5qd4agk1LN9yqWd+OzRSSKCT8OpFEnJDzggUjw39VEPumDRi pvwyZ36u6L5nWWKvNRjgWsNdRGU4U/rZnDu2i39mZBchHXMJqJQbLfaF3p8uI/1oUQF3jK8aC2Tg rFBKExM6ejGZU/ol4ubmi1FIM93SU78F3MDQC3HJ/daOP/HKVH/2BLknbLFWCYLiwq/JmUjhnNlX 5cDQKzmnvcuTtn9oR8uQrbWupYf/kDS33hZqC7ecEHp6TSHty3KMgkSHKOkmXdDCKNTbSAxNvazE 8j8iOzh4DWm30RkCCclNReWJzOtLdgidN3v/P5j+t6x9Ve5aq8OkhGsreji4idqX+wzi+vtZKVGM S3BE4cntmlJvBsctSZQF7qKVr0dgDoy9XNh8CfSl0furGR9aMPLcSAtVCBLJL1HVZhDc+41RJ15o U7lr5nQ78WfR2cFw/ko9HIS488q66cBFQ/RyDXPg7GVm35lD/aoRk4BzsMw8Tdm79gk5XwdubO4l i97/t6RwNhBkBLlYbeSZhlT5B25IaHI+/A+7iAJvL/YAMncUgGy0Yyuh+qw0emw9HCkQ3VhO2x8u w3p2UCg3e3bQgm7JNbSoLiwQHLO1C1BIgiZrqYQhrrLirabzfprO5+gW3x2AAkTjmiR3NAQV6RJc u/Ihso7Iob3XFLCfh2y0aelLzkpqI+jhCN0/vkJc4xjcokJo8AVvZ7bq/OW6PU/so1WP5roa9cle EhX6h7CZ20fnxpycpRVjdA9WDjC5rmSWuSl9f6al+0cGZJAun4RxR4Mml5RMLnJTk4ttvv4vQiFT gLyzEovOzt9l8hiVksJ0hMJm+8kvAaKZL5YoxrWDNgnqk9Y12hwkRxX7vdrOH1DE1eyICOVFY2w/ 3TJ5x+n9kRCvRDSJojRaSdtsYyjLta2Ho0rlU9J2lZuynqp26PdFWzqTlztLpmUrRpUFvF26VXAu nAwSWH5hd8upgkK4jX62bExYqaRU7Bg6OpawWIfQ2iq6envVMqLA9cvMkSjWZB9t4s9aM+nHubYe DpbLRbKrLwRC6yI3fl4jlsKsZHpkhkie1TmZF1VJwpiSE+5e45UCmgXDKiDzNloYcTKfnUYBTdHP xGRrSwO1OpcdcN/zZdMAhIKzvIYrBekqiZKpr9rrEw3Jj5qKy+LRl+koNALZ9MRZipwbmIPCfz4/ QDAd6LRTTN2G5q98myL+yTlBKl1PWLd9+wQTAoZ6nBsm+WhL5AT4AGRE5D7TJMe5oV2ZpUqvYMoE AAyR/DohSVuzJ/qTNlopYe62PNqfP69xSjFonSbEJKyn2h6aj9cwpWQVok+YIvHdLs57Mtkd/P6Q nP2FtppNgOc1SCkeu22xqDTeBXLSHlAmFIjwHJGMIg0SPEJK+vDKxJ96DVIKngfn2sEI2UdLC4vp FEji9uc1RClXasZ0Zn4pN6Vts/EanrBZOIGnUzDnzF7l720mv3AUYMrC+HUunBa+dZ1odMbHWV+7 S6urI95fTIaGpj3QBgkTf8tnvoYmhegCP3R8HJs8XdQjPys2bRVU+ur55zUyKW56cGcDFhFSRJqO 17CEzXLSsb6d2T5kfSmZc5Vb5chabrbzGpQUk78eGyi9jRcfWEdFP1gIx92lGSIvX6wTfkXZ87ND KryvIUkx9zKM4ZePqsziEC24V+s4bAazkdSb8dnAJAG7S83GazRSEAlgJ6vzLLtA+bZSaIMcbHSG c/IN924K5kPUF3o8PnrPdGccWIGPUCfY0vaalZY3ContEUZEh0af+D4fFBeaiLI2egjoqlUnR94/ r5EIq0N1HzmcqjhLcbHbhLwGIhy/VW1krjp6PuQzduF2qsiytxaw1tTvwwnJCLKUO9qEUF7LJ5RX cRm2y5I4PglBIoB9oJLyuPt5jULYLpAYKsUpG73fs5epOpxf9Oc1BikXUXvXx5zCGWs6XuMPjtIm 3a5bG9j7wlKS75ZtfqOoZkYHqe0TpHc1+kG64Kshcga16yw3ddzp89NlG5+OBuD7n9fAo1hmDyl+ ++iN0mniB6D/f17DDn3Wc46mX3gL02/Z17paMZ/1KWFOpsIM4JkKMagE/yvbqRyvNbVifdYzCbn4 aFMBHnpudKUqfkS9GBuKhjkg1oz//AUYyAr+taTGbJi8zug+2q3Sz8oYNhvj57WgViwl12x4WVJO jZqO13JaMegYIFvTy6RpnIymkMQJJApD89I57WHQQbixtPpX2ndttHPWTRVphoGXVIKh7UTfnQb+ pbZ0se7DnTLkudp9tPnILtF8NiSP/nWvmFMTAsOcywrDorCUrCo3vNSy6T/IgYfKyuKSpK2zu2ju 4oZFc6JjobILNHpJenLyS3qwM68yThikwAVhtS9nBOSs9ZHBIjEfhVV9tNRutGFJzG56Ntgzs332 jNC1+B5rTqLYlBx/bRWjt1UBJMC7xFYSklhpqSGsoxmZ2jC0ijXaKqkL1TW8W84PyTKf4j/RW6W3 I1vKa4Q37ROjZbIRC+J412gzMosJuEqb6p3qo+DU14laWihPixFoc/IenxLio76arXsDD9K2EGxZ O0nyvCo770Qf3VNIEcMastFjkJ7O311EIKwoB0hnhSOaxiLVIo9AjM4ShadYrJ0cpVcfLdE9kZNJ KZ0D6ued5FPMydbWiHWJIQOO7DMSxajs9aVQ6j+xWvxstQK7EAX0N62sGAWpFGvPdcuFpdGjkHNd ThlmVJAcMoMmoD/pyFLgfNfIsB5xlL8Ab92yftNo9dUNbtC35887vadYjclmRBevtFybT8h7lMqS N9NP9/duBEGmNiRXR0FPcrto8/dANWvjTOwbfPSjJIF8NBOec6QkNZA3xRd1XvetyXdJ775ze3SQ kPSzv230QvzIJtM+VWgNpsS4N57ToamUqVrZlEShKh+XVYGih7XxRCkm0gXHWA69HJdK6t6pPTqi FZPV5aMn5oXTQ5Ju5/hOlVsezin+Qly2jyvRsA98nxC22Pmv0BfWqDVCcCPIyQmo6887q4fgIvka 0dXEcpUIo81IGKtKEmM5ZljYOUUkMz2EhHlVDqJQVYiTLZqkRj9H0pmPLEWn1lHztu6OnL6B9pzp mH7ZVPvC94MEusoJrAQYLk8d8eSI3RyL8/555/QUM3nSlJggLN0WlVU0JVG8ilqjPB01JQIaqCls UoiV0nDxytA7n8f33pClk43etaFWmwrs1g7UqSgo2QRorPj+JSAq5aF3Ok+5sg8F91WNXkUU0jap sPfzzub5DtNMNVGrJPmUvMetaMgBKZNcuDIa0eyphsCONzUMSg/enohCV7z7zpZQbDLX3TjpZEXQ bNUoxypb4D8dXpwq0jK7XjzDPvJ9UmS0sme9o14/aTer4NzRwohi1333jgwk6Yzku0yi4LUzJ/JF FA0hN5Ems3rB25QwNrTfoYUSRq9TOY1KRPMpESH9t2TXedK8RAQrZa8hDqP65E/PZg/7yGhOOEn2 uZ9s9E5wXwoKz//X+nln8nhN5YnUuqwtfE7eeTzcwedr5lQtleZSGxcqvC9U2KK3pHdE8Tzrg/DB Rr+DG7RL7nJkd2dC0W0IKycokdgRHs/LAP2dxqOgR3QV/pR1RdKoPJnyuxCg7ywe3eDJZ4SZkfer ZzjvJB6lOEO9OEeYdBftqi25YeK5Ve+MvMeupo5+4nhdsfWD0UIssyXJhZKPkN4BYaFFrahrPSIy wAd/3gk8Hm3BxC4+WgLciiEq6smnf975O993Dv+zhU71CYkKq6RAOQFEFB0wSQ7fNHeH6VifeGI5 QOudvKOUgOVRFYjVm7SXE4oUFYyoJs5Vd+3KggmHsRen0uzlESmlvHN3iikGtS0dG41eCdhb8Od6 fr6fd+pOMXFnTQlLl4bTLb2/83aKdVZyRafX1O9HdVVVo8n8py27kmU478SdYmIG59Ze3Uc/SSbQ fauRpHOrDNVQ6G6qGVYfrqT4FT/vtB3erpCEgpuNt/eb3Et2rp931o4OIT9I1DXiFgD/55MSRa+E HRSEBVTbKvn9J6UnBfFNtBivsb6zdoozuZN5i5dbVCxTrpFzGP7oxMdKk1G4wGHv46Nxvy/aNe1/ NLzPGZWH/UW7lZ93zg4X4XryG2uGUwy6Z2tcZj2/mQpUZHzanDpbeZllfD15qeSdr6OzlRtY3tYa b+hazzGFfDBoT+A+Q3U0QoPirtYuvZvtC4OoJCsek02RxMN02YBAqpY0tJ93to6v3k8Rvkswzyfk PXSlMnvOYHE0UHPbVw8R2VKDBUsP0I7W99DVslRSuTt6SDIo9oCmwbn9nEZ49w6RvDlfMXB67HmL feL7ObIsTst3tOpRy1aTOVnU/nnn6qhBeQvPVAWQ7kHmwKYkCl1lUQTD20rx515Y2jag0qZq0C1n 0bo4Sd6DV6JLNgwsfBu99HyO1NZktibN6q1tJTMAnXgP1WDYF8Yz0jOoPBu1bSjJSKTr3Gf7552o o0N/3SANL08VBmw+onorDZk9XWhpbzW+iUK6BHOQzKzzLpH3qJWKKIle1V9bn84EHst5CHfZGzEa CeQJp+AK9N8HJCHPuZ93ko7SetLDjvq9Rl8V2dHNg9l4D1mzKrW2Y5j9LoGn27h6Z+noquEQyRZ7 rCTWH4cIaaAdIuU5Vd9D1qwu70ysSBvtVD2JXZOFveSqT9xZKaKgzwVLjUrvTW1Kti8MFoh6eEpJ HsH088HSgnPA+DtBR8lstSlRqyZ1idtaYzOKWJs6GMuZXLW0G7FKwU3NicvkeifnKIYn/112tt6a +bnF2+qKYOvJy4rZsOjmpcY7Hhmhrnr8OzWnGOYDSa/pIy9XvaIbCGTMn3dmju6/e6hqbTG2W4F+ Z+YU97NWrcz83vZyueqVnTuLnZijot+pOcVAVwhuVR9tTkDpLlkf1BNvdJ0m50LHC0ZpXe1mRCsj nndeDlMikEgSDi1dHBo2CcVlPvrPOy2Hvy59JTWieq07IVHEittnTmaWIeD2cB5+ScLUbDnS3vZ3 iAVAzjiRyNnou+bkMEg+0BJco1WLaOmW8m8pH3tvfsCfd05OMXsDbOO6j17dLgbiPId1/3mn5HhD yWbEjN8kSOtTEkWslGzmvLtGMmjaNeTEVjWSXpB2zXu8ahT3c+mqGjCeakA9J8oEYMSuGaPy14yF y2uWJB4zZeeIusQ/74ScYtwRQkRZjQr6+CvR3WRE4rMqy887IadcnixlYI7WrCKEzUgUruLCMoqS OjSpumN9S9buyZJTdT2ydy4OvwQElwSxxkY/R87Fe4IhUe/PCb+8zkbXRAyRK/EulOI7D8crrU1W JzZaFY1SqGeT/eedhqNwdX/2DCr7N6N55+DolmdHF2GIqI2Yd0iSkp9UMFHyy15EeyfheCZ1/tKe fPRo9ayGc4oNFdHO1duycThIJkWPzY/C+5r2kUGHAr37PQwacNsf4jpY1fVE8O8UnO96kdYDX9D8 6n2n4Ki3QIkaBzw5E5XZjPyI80AzGF4rQP+Izd45OE+tFYKajbccfxaa6Y0BC5Aj3Nk8iINAktsy i7Aamgiy7xScYt5tHQdeHy2EP8tRYSDK+j/vDBzd3PUTsCrQ2HdOoniV/9Yc4/KoV7nShv0qVjwe o+/0G79piMUEhFAUiT9EB9yhvhj8oZYwUIN+w41GgbJLzs+WiQRO3uk3xYDLJ3VOd/QYrTczfDhH 1s87+0Z36fjsHBrvud1a6zv3pjgJ1JK6eknmzEkbzc/WmjxEeyfeCGxV1I7pPl40TZ94eot4ScIP 928COstoqLf2uGqa8+o77+aWRyYQExs9rfHCAC3En3fajToc88ZoSVZNJG42I1HQOk3wZTjvM2nm pWso1Cx7aO7bpXgn3fDRmSoaHjs2ekl+nSxCXhBUn0+gprqi0H/onw4hjMZXnBZVWil/o7s0fLSS 0QlVjDNzsqOfd8qNPu1r52DEKVNb5uSdcMO/bsvTUQ2sLX3qIpTmicMxKPxPyrfyk0x6S3AHN52w O/toc3LyX4QCRHMEr0PNFqVHIe8JX+ujJCVQ7zvjphiPoqMcqbFqF7R0UmvNz8ki58874eb/VJ95 DoOj6rH8O99GiEiqWpz/KghQLxFbAJ2nSWGxIVkh1NU73aaY8sGQHYuNXhA4OeQsQpN0iFSc5Wfn oCNJowILsutHKxLSO9tGrycpafKQvIomyI0l8WXOsTV+3sk2Ssu/kHlSJL6trHeyDasEFwAzOuuo FWyjfY4qJiiE8pmdZf/OtOEdTZiJPXz0OO0kPS0jMnGiCGzchcxD80kJHu3DR98x2ycGx6tIn8NO lKvU3ZKo6dw9Y/28U20Uus6vYD5JX8ln5D1yJVJGfHJbq2Y16SGx14fU+owk0EyN4Z1l89zBS6H6 1etRvne+VocF4u4lDfMwoksEZnl/ejaozv+802yK8z0lp26jl9FytT7WOoHrO8tGz/oa0SlIhSDf C+edZMO9vU24cDlOQF59JHzyznCcwPLS4jvLRtdwFVIx+eiByYlRShYx+sRptUqCUwAc2rpiNVks X+0Lo4QvKdVbPtqJOtpS8fm8s/y8E2xYX+li9FjgixXD59mURLVW4BabVnWjbjRFJuf1xPVCHE0j mLNvwug1qRKfto9eNzoRN2RptShOnMqtRjQPnlwCPpbvbUXz79waTQgBGuqYNtpk0/exuhH43jB0 reNeNiYt1p+DNQYJtF8xoRWSKBQX2CjlG5Ls6zzzTqzxTTMT5tY2+jFybqlVaNlB7jxXbB2aLrpI FBY/2U2zD3w/VgHPt2XCwiavIT5aGtYPPzfhzzupRgfQ1yFSzBnH5yMKW6G1DCEdUIiVCYt2jszb /pOEw/Zk751OU4yqR+9v+Oj1AMBou0tQOa8l2gHRN7wAUVzsBGmyfHvn0ig72RScVTkq8xLpz8Fm Z0Dv6+edSuNItqfI2vkP6VbiWxSyqvhY+l0XRfUb0fOWA9POwoe7pBUSIlstaBVuZl9K59nlZ7uo X1gxU5BM7PmFhsCDKGaII8BBOe0Tg4s3SQBVXsXNu2MnMNnF7ZZW5dkgPBu37avFbSIPNiNhyEpQ nSVdKwL9IFyTvholGeSWay5CkXS95V8zMhWyzhuy1lR2tz4YnAnK26Jc8ZHwrtBneYyJqn1kgIpn ThpJs4120WyRT8XQ07NB4zePT8iKbfSYlyYQhazw1RyNV69OoYEDLtQoD5cn7O8Bq0nlodNSffSy YjqbEZYUVy+AVjU5BfeTPtSen/TXPzFq6slIZU8f7Rw5ibA19c49xrMBMH5/0Uhq7R+4RA9LrQIt O/Z7GK1GIvaSEYMgjpWOzpEegQOGykFShtqPMtQ5iTKJnVDf54DrQ/LcaO0Q5lO5e9yb/QuDCB4Y DNxHH60av6pBaM8GWjwbtWu+ozNqRtXv3R7Gq9TGkiyOlektZbqNONXQRTBStvtW9ajUKkGOLS/i /RQxzjVTz7PSCzjp3rkZmpzpIfmZ2VL7tMKXfWRUIxGCs97Rtk1KeRsgbW6eDRAkD/qZgwr7EyQ5 vJzWQ/qV5B1rbUZGK0s0PcjllL26AALJdLj7T4/C1qk2xah39KJAKRzTXbHYuYoXyIoJWbzAO5KK o1NbFZP0KGzlJO2U5Hy0Oslyu5B8zi+ejQhp87N3aLOuu1IiChaY7SVd43T+fJOvpUwCGcYS4Trk Adf1kmihCGQk1mIfN9lDQeHEIeC11fIEdgVpUeaDmMu1/2fzRDVXqthdVUjGrIrHydmaPFEBaBae je6c9jlfOVtzvWyKHkat6CYuF/kBgnqzvTo826MwajSskIeFofUJR+/oVRJKk0VCTSf1ldOZJFvg hyqCebp7Mvvh9cE1LOW9JuvVdqFM5/Kpwh3VMvVpf+0dLShACjeQ7yFGIFH9BJ59zo6FPqu0lEUd AAJcGtqVKrn2OHIVltO4rc8qWWcP4UMtBlrCyg+MAMosKrkC3n2oA8M+MThii1CcRLAa/TiZxW5h Gnw9il3T8OTGMBn0qOtdJVHwmuRshiojsv25NdPZo3KEldB/TGmamWNFb4lCE7riSQCbx+ubC/L8 lmKqgOPMLNwBG5oo0sW2jYQ0/Bvja6eL42ijdSt6M9OqMzOTZ6MUeH4CWOTXMvLTNicRvhUUphgm xGhryrFZDJNiagLnoqNVqMMkwgmww0FeGQpLS5QwAB1cCVbW3jCKByewhEQAEILc4a2l8f/H6/+B N6pJpN905arHCYEt5TvXF89GfIr+OV7VrZgO0+thAIvdg7ig/4E+2YbyxSoxWScnbfWA2bBRxZXb +38D+nSW3nm7ZiRRS+NaWstufkcb+YR0+8Ig5xPhjn6SjVZwnavbYTBS49lojaRbJklm+prBYhur M4pfzx7/lUGY6+A6aw0FhNus2J7jjKjgOrVh6MdMpyZbUWBh2idGOJ13aJhnW4GYYzra5woGBMHb g1oa/hcb0q6N+kuQpVZwX3IrPBuEr8NbngIXiZh/s5wRxa+0bbmXDEyDWIMbds2mCAVZ1pK9MD/C kquTspaPngm31gEYZmHl+0zJ9FuIhJb8ux+MjZLhEVKzdJagE22jxSUn2OmmDdwGz/4F1JPkq9QK vDgwQoBrlt03UQLVZ4zeFM0WgcWaavRSl9GkRFVXnH4m20OjysRcOifIWue3FaYEq6elQkTDIHlI cNxaFagb8O4Ap0dHi+avj7YIm+4EA0Pz7B+MCv35hDbzTkgUvVKUdc8LtAddpxKO4XClDZyfbI1E sauhJ8awUYUyua6dcEO2FOcqRgVL6ArICVfuyUF657/Ou6OaPGWjKm/ReiVZAIia/PoJO3j2j8tG W4RQqN3piCJXuQ0b4bf/jnylGM+fYVHaOWOvr+iIAtdpPfG9ffRf8Ny/J/uQOOc5XSbxAL0s2PLU 3fbTt7EK9IjqrXQMQD1qJPfYFqbNpiNrnKuLh6MV0j73L/Xrfht8IwxcBQmWSJKM/4pCEgTA4TEj tlETRgxNZ2sUupraBn+ljX7dgAKfSITRujnTLC9WQvDclvXu7zKBt8Drg12jqgDkYRvvBZxMbPCk BDwbnCP7G5iGBsYN00YUuS6pOF+hK2pqXkHqAqNABObf7iICEb6VpOzcNGLv7cveEzn6RNwCL57J 3qk1VRnzrwjS+0NWm/aJUeuG4jFYGRs9z+4tmzcKMxJGruvJb2hUyEbAZyQKXOkuz9FNTdx2J63P LuM3OaEr+iZIG1HVdarcXtXwpHmlIK3scS7gbrwBNCeWqBQ00TPXIiBOv2vQmMq8PopJQAlsKQps d+ahpmueHycb3jz7R+vGYLGCyF5QyYgCV5qyTrgBQ5721XWdVwnsc7aGgavdv6X76JX5Ns9LZA/Q R89yFEUBb/wuY3/fKdkC640wbuVAnEvuqutmTye0WapF4xbJs0ESXNPnKKEf9hyuUdhKdSuncini WJXY5ZsMWkPCamai7Jp/1l1pU/voEUk6h+vJ6yRG0gYmKFRKzk9LBCgtRitFW5FxhJwstGrwlfTR mzd7uINDrzz7Ryla128FzOsp8AzLrk1IgWG+7yCmshhr0PQ5PhCJVyWYjTNDrStjl+gaLumioCVc 1mTXecJu4DHCJRG7DAkafqh7074xzoF7aTv7aGfrOVPMQ+icTzwbTEn7EiQhAhZWVlMSxa3IWS8K 6iYoUJe4v1mx6iB+bU0TkvSOAAUtqRruDBu9dtRZMIuEvbdz6Zr2INdmBjRTPxmwCfjMMGpd4oOO 7aOB0wYkQQvlB89GfJv0OVtFxyh+28woaKVksXS7S3m+uRqadIwcKNC8LT5jThZ3DUVDG710NJET QAeWcsk5A+TOC/wqNxnbfjBHzb4w2DWyOlRvXKPevk9GYCXCkyDwbDAhy5sVQlBU4XnznZIobEWE dJmcwmC1GBMpuyHkQI96uR7aDEuuwnKCSrPRb8iJvmoHDnRynFKGKtVSJ5rSPLhNTynxzxAnsCGp 4Tlmo22aExQo9gG+x7ORQtz/077JrACbkChwpf4M0Khdbd/hMKydfJZo5lZfJaHwFbttb0Vp+4nS CpapTW7eHddRjlCclhZWk99ytob6nSErCx2TJFmBNL2l1egq69/UaODMKHBt7epwcP2e3xt5AJuS MHAlAU6auv/kX1aX1dJSohrOKpnsRlWOZoQUkPtdsuSlPDjXs9uB3OdmThxlC2+S5UuJjNCHg2Qb J4pbKYHhQ9B9tI0z01QTHtANz0aFo/rVwqE+0e51E2EF0Jmc2wmvggW5Nul2yFEyVta5f2dUcV3J TpLmo22b1ueQYosMlMYUKwuBkl9kW1r9BPLVvzCupJ1soiwfDU0ym2SifiF/8ewf6a9CXCBykBls RqK4dQvovqxuNASzk2NDToaeyAt+sGK0GQpgVV28vftoMzKR4c+gdLDwK6qYndsoq1/xS6R5zSuK fWAE05N3HwnWuiTxApV2WOdud56N4DWf3jg3PvGvV1tnBBegkIaGQDfxjSIP1YewB+SmAqfyszUK W4caD/BibfRFciYT39Rk9kn606Ehbfwrfh+9OC8azShqbeJ1VgTSNGpKOqg0HZhnV/JsBCi5AYm0 MBjnZe7NkJhFepfzJZmAwpJ8bcG/9sxJwwBamnFdb4lq0NP5NDbeUB6Tik16jU6+hKSHjmqQTQ/o 1xQWZxS2JmGOUFaz0epo573GZTw3Mc8GMdplAOuy5cco3htfUdiKECOK+FY1WtdOqid3a0DxL9s5 siK0gOlvqDOj0cskBHpbjLQubIlMmtUWgTwg22Qvk0igdYXlVtpYi4ajjV7MrQb/PXd85dm/qFkm WXsuukuJXlHYCj5+TFFL2OfZMuGz/6eJpZ0/SVOCelwIFzBORU0+3r5NUnscGNZZeSfPG26iqh6n 9Dld9VmCPisMW7kfJhoVNvqUrOWiz7vybIQ8Gl+B/JZTtM9IqNIK5iIZhlX6uk+ttRLySkxARCp2 zYrxAlogyl3so7N2DWme0AYntQHxyrGKOkVu0l/6NPjoCfH6IE5LomfqLCnPWdKlmMlBW/VpUSg/ bgasYwfUsc9JyM0iQqhUE01NoJo3ElxX0dVkXoPdk9ZJiHHtOkny9vFuHdp7TUCbcxtDXeEKpgFQ thT8PpqL1T7yHzjoc95PH60PjK60CSzkzbNRwvdFRyIG7n4Dr1CvtSmCBwUOcZi8ncoRbow2NQ2n R0HlV0zOMpnB5uPFYoGLNOINgX1R5YCqZWa2pQ7jtNfqnxhUjiaZHoRXG60LfLZiN9Bvyjz7Z00+ 4+zIzWoTEsWtxHVTqgUEaUvAPdI9yXUp3WvXOmqFWgIyQ92t+uiBPDjOIZf1jn7tAE8wJNpAxQet +sfhvtsnRuCJ9r9iAifYKYYUOPPCswFS4LZtJPdshpk+IyHENSEmQP/h/Plbh56AAtWd6Uqb3Q2S Vhi2FqkuCopVn9v3LIlzIxA40wHOsvAZU+aFIs/DprhwkmKfGMxIByKQZXWZffmfbd7MKqmn1Hg2 um5uvqdGFlXhC8ZaITkLYKzQL4An+Cej7pl1IQlPk16zHSQhzrWruij0xHzQE9QTYOEIjNXOJjJ7 amEE+Nd+KWFR8OD1UTAPKJyg2kYrL54ZN8b36ptn3/cNacaXzGCRb5hPSsjOOhO5ZfGBq73g5BKQ ayIAV0k93rAkRgrYITJ89CjtXJIn95DnGt4AZiulzkEqEtfxZSJ8Pm8PZAa3IAKK056TZO80FaEg msuzkbjvrcqzc8ghrhDligJXThF0eK1yJBUlc7R/KkdILJikbRi22pYRQavmR+q+UqxMS6jOs1ZA NQ/V6ACtmE+G+yGNYZ/4L4qJimCzlQctn40pXWYZPPuXyoIe6hLB1IzsKHIFiTyFnRKBL1+cKzg/ w7mm4kKUO5QUUHURaL+NXks7f+jJ13WU0NeHoXAyPQD9tCzkdWUp8OJP4/VBepOvT+GXW+HeMxmg GbnSHUWuu14wSbKv5xs85dvvoavRo7lTLHbNiIlcUYHlboUTTUxdOTsUFeiGu+8+ejcLjotSp3Pl nPyP/vCQWn3mH1EJ8StHQTqvjyolCtToamm0SUfG1dQT9uTZ4MrJN543nXDKLVcLa4fhKz0+9/SE ME13RZdNxqmr6aytza0tdxi+7vGS9J2cd5wIR/42uM7L+XIPEU+WUDYeqI1tnxjNCW0oYG422vna azcMbzlZ347pWTegZ8XQSKt+De8oeJWI1bhylPKDUK3EhCmrsj7H2OwQK5CFcF3NR6/MnyWI7guB SVkFmwBunLMnKc20mj+F+W1fGHArziKhbnRHnQIIDhr+oafNs5Fa2v5qA/MTF2/w7RDniplGWYYw GuIRSVdAiYSsBgCLCyK+Y2qWEQiWj148KtQAyCmwyT2RlXwdsVbH7UI8f+sCKzzk7bF+eqN266NX A3Iq7nxaeTbqi/uu0aej5/RIhkehqwKybARxUANGZZwqlCQ7SdLeziPYIVagWz1t++hr5ERlLBIK 85Oy3LSCndg4v0/rpm//wiAkUUUe0T0bDU9CbWvYzlw8G4k+pa/rhqs13QmJgAJJLWMwjoK2lj1d IG1ka3RKVcmtw3YohIXj0d6Sw9qP6mIpJ6fGCEpEzLSnvPnkJlsgnzEfrrVAWYLXRyo2oqpxmGjU 688RrQIPXK/Fs0F6M8rNgUE4kSD5lISRK2UtZU7/waVXnVWc/3ZNyXdxvZYdIwXkV7K2j7coX3aj eihz352x/UWvxETbpTd0SZ7SwdoRUmDgmnDW6fLRzlUECpTepDp4NgrmfZFIbCaTSu97AUdxK0nv HNkikEnLX+dIx3jOnQcE9ux6x7801A3VuZ4eMEHrHklTkrCBNocoknSAle1jZyNN2x0ytAgi5HVi o2EnWrOOJwZ2PBuUBC7Js9y7t3l9cUdxa6P7kErziCTlZMulJHlDynsApIOdIxFSAHTUlKTMTO3R wmodamrW0Qp5aAqZlHH4AQtVn6OV5oW+MdIU0PIQ5GhfyFFa22iN52BePBstki/ML6S+Nh7vgShy BRCVIYO4U9jczaECLOwuJjAFREEXb/j3Fqbl/xXVg+TJWasWX5d7suATCRKqusNfC6X7Zwa8NY6R kiQrkOgdSFegnS8zXYGWp57+A7InvdUGyxvarE9NSNU6R8CWhJfU5WVGMEXgqepYCPnuFmopFsUS WkDbqD+GYe3keltmc8h8ZomWnEP2/GTIVEBRvx3ymv0bg0IjNSTEHH20Q1YlByZo4EGeogB2t/rZ QvxL1xWTMwfM12c2jOpkKd+qVyl7CB4n2hY8I1PKTiHS1XTTRAxfz1XMz1tHFrIE6QkaYJjlDnf+ gd7sBQKlw7z/H3T6KR+1eX3Uivog6lidsFAPBwHKpyZ93iKh0KuVnaIYFhvNJdWVMxlbSaaqjUmH 7nYjApPKTqFdVjXto+rjE8TiuC7sfFnIl6J9BEqG7rBZbdvBsu43RkA1ThYBgDQavKRmd9vLqenh CIR0m6BaMlRE8rOBokCW1BJ1jGE6rj01l4RaVkThs5NVmOw1wbyk4dB4G2/XDzcTyJLoyhGxEcsC +YLporz9aiG35Z8ZKat36fxUH20P9bnUg0DfXw8H8X250RsnekbJHJ2rOzVRSIvt7iat5kjZXZMg UwLEVgSnF5XbzpZY2lXKCiJuzUvcAiFacNgRD3SeXFaQYLnLEtTQL7lVpT39IyOIFsftRolBo6FN sMA2u7nc9PD7xNT0fRkhDfiZlVh0QNBG90pSh1dAAvDN7kzQrgx/isJac9FK6pun/mAbJ0GcEIEo oZ/fqMpmjQMd6DHlfOsAzjH9I6M+hvTlMJQwGKaFcbMOb/0uPRyVqeunTI123HhE51MoPSDbiW6+ L7o9XaVxupnWEk3O3IHCmuwyxGfy0WFJA1CmCaudBbfxtRsSGs50FPK41aWCYLF9ZEQFJYhbKjIt Jf+aFdPHo7jS9HDU8roqr8JVn7Pv63SJwlt0BkBLu8BrQXZTp0uT3IWhpct1lU6RBIFprEu1X+O9 oCveWlL/aTV3xB3MjDsD8+F1vlzcwDL9y6LgnHxt+GjL5UyoAVrPVaaHg0108UkyWEBG4BKHcwqr sxzVeYq+Ra1piU+OzA1ZKgQVMppr3JBCJpfC3CRd4PRxT+oVtQ0VaFvDRbkpzD2xQKFedHNDETb0 9qikAkKJu95Ga/VUeKF2yEw9/EfzayrOTR9N/hyabHFhzimFU0AGV+q0i+FsFdp1N1HgsWVOwecd wsWmpyEIHB19zyJBzxNUqUOK0QDMTM2VB3M2KYHHloNydpcKUHelgzJGX+Zbcl6ghyM+9bfJFsj6 fs/b0GULhuPo64oROMwCdNH8vW713R2lApst6ndMiglIj7tQ2tkltDNEvT9fAv3WFdm5lfMjhTv2 /cQIXcCJQnfVRpuTxYmkGsuoejgIcB/FCvG+zlErqWH7N4YRLhwAXTSqG6Q1rJZQzP5aKkngsewa Cp222A8nR013vPvnJAQbJ4szLRszSkQjVEmW1XH7QPzUGcyh2Ra/zAnkuIzOaEJrdJGGtwbr0MN/ uFpo94MxuTD7HJhtGbUdbY1q0Vxty/6pTJ1SZIoDhWKflzDKXYr9n9HnBQpx6ZJfb7gXQD2QzIkI +Cpce63WPMgCyy02EbZ29HBstJ4gpAOdLKlkPRxdz/8naAGrfN2UQs8t1svWSXYmY8u7hpPlXILD DevxGLDTNrDcQg7Qgrjuo13P52A9x0gSbOmck156qlUi0F2L+VFcq/6RQSPZTLbParHRsAbZj5az m+zjghbhFYO1Uoty+DsrkRwsee+y5k/5XfnqnIx7tJTcpTre7SVR9clO2u2j54kYm2OJSoVydCz3 KMiBN1vyeZ4fvEHxjwz2kHnmruWjw3RKN1ekswf1cGRf8JUnMisP5D4H1ltZwvKQBYRd2llGj3w2 HVAyARwukkGXcui9ZV4O8gnej+vHudEJfKba67OuhRrBECkX8S7pb/vBMqt/YyRQj/LLlP3lww0C 6eLBWdl6ODpx71LR/hRyud8IN7TfImFGk1Xscoq2K5mJcCpiruBhUMaziSKBAvP+SJYu3sgcz+7z 7auaKDtQeXmRd/EzlgitFsqpsGSf+S9aMZo9Nvr1n60nhM6bHo50Gx6oOWgOTtD5nLlhjItYapOu dj+/43YHHclUOsArlzstMfZAp0pqPvpng7yCqyFIJIVFeXBRX06ISjzp8zT7utCDq0sERs6g9XEG xUzOdsFcWQ9HarnfbGs5yD5+qaENF+VmI5ZJm867yXmYN2bB0eDxOIxduNRYlmvwvthWTHXpT4AF AjN7TsomCpxkfMH4tifuN2mcHNpwFdhXk8K0jZ4mWkOc2mHRw0Hcf2tQ5VOvvLsodOKiIwDm17Ln Xi4sQ0KjFuJeFeEcWnEtyUxTeR6f+jMS1vBukuxi8jb+lLruckPLT2Vu2okbWnEhCXfmGPD+EkhE t8/KSi+xTcx6+C+5LV0YLf9SIvRZCau4pIB1SpxAFMua3WmJXJxDl1qPU1hyaMg1ZNq2pViwr2LB CdhBIBVFuQWaLlX/xX83q7AFQcFifzVP9P4onFOWLLG6e6i3jhivWCznqtDDEWmy3naZKCkq5vq8 hJoFsgFcSgnB0WJ5QHJY8hTAC5IPqljF1ksY5grllQUuzhdcjJ7SOscWRyuA2tJIr8mfEYUCk3Ml /Yue0fujGhSE46nTZfrpch4vhitDK1APR2Hul18oupdZEqv2b4zxCMCgZEuNd3m926jNq3z5GB3k wJnLpFGR3Bo++jYC5NXk5dzPPyfBn6h0/6pfhD7krSqU6h8ZNJxNpK3JwE3Kxnp/lubC2UfZPi7K n+t3lHt+uv7MShjlUpyuzQg9ElU33ceUs4MSNL82KyGgtnT3D7LRI5fEyklmI3MidTKfMzfdeBTl WSkkcXp5NCWEcWhI26hjkuTTxKNPZKWHI7bCeo6WYtdz2c+khN6yAiZ0BzblLJVJST7uab6ZNe12 C9yhRdeyq8gtVZ9pGQg+FqF5FuEpAhALldhMH0xs7P595sZRLgwdkDk2Wpf1vMNgoOdo0sMRs+VG uVykmyb741MdRbnivlalxv9JxY4wECRPB8dGiFdbLzduCYy6DCKIEsry0Y6WPc6O2Uggnt8Wu/cm tg/1wiF5YY9a8vZvDJrxWdQWDIM0OsgpNUNsnExCD/9hBbFMOOe8aj6LJQpyaS1MaaVJC/Mqp/aa vIy711OBCny6yIiE2TBC5WNEzFcPGq0CfuVsfiEkoCjeyD/FqnICw+XQqQsYY08022y0YksVlpvd mKoe/gNIqgc3VPcnZAlVZIXacIO7Oa++0vm31wtRSLeCG7h14UFnDt7TR4cIUpgzD0VkHiTPO1RW 478v1xDrJQqTnkO/Lgm/Toq+Nnr3aQzjdeU09XDUNnsEHvh41ugzJ1F0i6BrNsK9WR8sQ7AUEwUy PQPkTO1QiV1m1SxQFSp9iFCkcQNYAiH6hlROfMtWJcwEs4PEPDdQ7f6ZwVpBcGiDC7LRC3OYE+q4 bUMPR8XKr2QIr6h1yWE5tO2Sl0bP1U+VnmR2f9a2mOck1Ce2VpLY7TVRNiSvHYmW19sIUTq0RrIk 8aQg8BWRaZWfD2YTH6+7+5XRYUv5STJ25ZGx6ysbOaClMfVwFODmD1iQFfCQGHLo3cXFvKsMy9bv zsuFuc7dMQ2d3mu6R21g3XXyTOn313xHm5SJzjUBv5UUtqmG0POX1jUXtt1AckDT6yOmCxAuWPQ2 2g2EGpSukJbt2yJBrqtSxmKplDXGMyuxqmz5Fb5CEPVaLkyh7XLFDbLDwXLo3yUBz6V7eX3u5QYy fS50dvu50aaA6XqxpGLmLVdeMn8OLbyEapgEOTZazI/+iIE30tDDEY7yJkNiMnDWPw3W2MSrqwSe NRtrupVKXhbFkbD03u9iCWNbwYqzmC+5POJtvROXL8HkTtiM6PW5OAj54WrvD03MSpWBixe2sxws UmTXaF3nVdtycGnTw1HX+UuROfOOp1T5Dx8vuak4lDRDhXSRAxlsSeQARyBfLbGyrDqsyoTmkwmd MBZxFNWvZSCEFu9Uh5Xb2JS7hkUsyz8zqstJYgh1rPRIK54wel+pgqGH/yDQmXcBrmNP9Smw9LJ6 14YlhbiuivVFLFzp4VjlPzv5NIeOXsM9rKuPt95PxkkZ4RwtBUVZVovcbJUcyra5fvxmcmDp5Srv ApV2h5Ymc+No02sLejiq4X5RPQY58y3hhp5ewMmnICX/6Rx0jZBuIhn8a8xNRFsowii0ZmqPxUfb QnOesLyAP8K4uY8ivDr2jcYNF9bHCtu+VkKZLn7p/6eGWyYcNzOwmlsPByF/zp/mEL3p8VRZAlcv 0sNzdZRsGLCJG615nqtNR8nyXK+3ZRbbegl5O8v00fEs53Qaw9SqN/inKe52EeNI1cqnNZSWf2TE yiVpVqleoy+VlqznVXbTw8EOWj4rpkFOXPXUEkJjL/csmF5kKeivyQCuIQ9rsgdYWxqIMPb2UgXX oYRXtb/sTZSli/MsfdSap/ogeHshV0Z4dWuV3T8zuIjklEBcbKNBw0o11Wq0oPVwEMuV/glaxvpY 9ObQ3YtOBMKcZ88qb85SJpYuOucEwLA088U/hfZeXdW45tLvDzDsbJlzzyWBTkeXGMvA8wuC+Vmc +VERRTvO3h/dzxI2X9NHA4adINFQ6E1bPCrhjusDpx+1SW7n3s8tLuGyrEa6JVyvbPeaH5PNB+rT IpRCl3DIFF7ukU1q55iR06G4hmfNb5mzkLvqgKGEaUmi2VnlFpVwh3CERUpE5TEQ6857gNOoh6OE 6MvPWYf8xfmEFl9AWQc0IgMpzAekIJadQAqak24viYUiz7GkA7fdA5eaLWabilnOX567mTPQfUps q48GUa/LPzLAEJINLWzSbNQPLMCZ/qyTd+nh4Ba69TjV7sho7i0UunwhIpdzEuKUpbWLK1TlcWlT 5+/od6lEEa5BK4sKcuXxBzgZ1TnLUU6Hn7+LOIebosLVWG2Gwt3+jVEnPiuESz5aJ35m05KDzqSH /z8ImgFL+1RYAqMvjhWuHMqr6qqy/V2buHIO/yeR1HIv59jra0rVW1d0+fBisLDDc0UonyrHlHNA UKukSv4YBPglFFh9cY+oz5HuaGdt3V4QGGPr4T+EVjUrFTryzZsDry8Yh8T8XerDv8pjprDJWzMB zb2L5q6FEga3U2GtHM8/rc8T0LJ9hNg+xwsUb+CDQz8DGIj1aIhYtyy0+wLz0CUoYaPNyrn5u+nQ zqqHg7XyUd8hYsnlIyOSQ7+vlh4/4/YrlLlliCL1mR5CuxliYPhFiVJQL4ED974xf0HqXGrhLMG1 WPDgQxHpoA/V92daun9kwAbBqXYivmGj5UInuzCJiE1BLnT9WtunRcU7oGtPwBLYftHmKr8nZjM4 8rYSLj+kxD9NJnE/iyUmls0XMAtVW3DEEoGHw5jNYlKi+wjCPr0yCVTr/ZEsr1yu1h3vwWJao+eH 23o4KlN+65tRzqHncOclKt5SZeZ6mGbH0rwAB1l3m8J3M81pm5kIoVBVlGsySm/j4SCem3l2eIBn oZ9gtEjkGZ7RkMvWerqrlgsFJmBmlYTF3PLRdtGs1Sr9c209HEE3yudq5rIazTfRz2u4UgFVyrfW KtknbrnuI9lJ3yXn6+X7GqzUZJWnDAzORgtWaHebUmodDdK+Lm55osg5nhRplo9e02usUgFdEKss yTivmxxWMzOBP7R49GU66iOXmEVBVHGZSoLmP5oNvqxuKUQSoBgldTnhXW2PRUXRdk8wH+fcODMB r9VGv5EXhuBJTO6TSI2tHGmICFUpNfY7Hw5Hfp0PzF8RmwHoaaMtkBP4WNejUJ18nQ8zdtV8mC4+ Mj7JJ+Q1SKlZdg3gJz37yabepZZTSeKWnZSru2Hta4jCAoETgOCVj7ZAQAatLVvaIUNf6wFAt2NH pw+xrNoXvq+PIiM0dqKNlhGe+1BlLRorP68BClO5fT7kMXkWWM42G6/RSc3mA7GdJuXqZoCdqGu7 SmJ2AZ7XyKTS6djMwp4++nI+B/1As04a8GkC1DyRCRcw1TwpFF8lTfkZB5NBMHb+G8sQpcQXvP5k 2qbH2FfPP6+BSX0Q/NnqbniHIZEmF9hot1BhJ41mOoZ1ThE937ehPPN2tMprUMJPADBQCog23tO0 jVa26gUDrSZTh1+iTIMk+5wd0uN9DUmq95M31W8bVZ3NABZUne0YbgazkYqfHYYOPqsDZoGm4zUc qdz0AihTLuWPP4e2MaRK3S5whmrscCunYEKgppyVUbePN/NLqMQJc3GmTKZu5wThagEuIG6qCigo LegT3ydEHMzMj2ujYxq6cbLKCWt+XkOR+piii8IgLyYnCjMjr6EIBzAzIt8b2V2f+HtY7QREidld tzavGn40I425QGLARj89zmGGIhrYAvjG1SxaYKAlpYAPOj1J6DzaMLTUqe/66PWkYeQ9rKd/XqMQ Dp5VP/ulgJ0HaMx0vEYgHKawgPQ9Jvt+SwMtP63S7q3S1+iDoxS52dxVBOnXj7WB7qqynuKuPYms CgMI3BERjE83A3LMz2voUU0SomsKbbTFkYY5NhbKsK+Bhz4r37k4wUcVOkhT8VpVqyZvO3d7Qvf9 VEku0K1cV57Xilo1G+mprTx9QyvsSOf0yTjRnRghrVYbDtekTAUQdkap9+ILZA3/WlBjNqiOLGr9 NtrKoBg9bDbGz2s5jQDg3iu3KIn7rs3HaznN12FOqHUbr725uJsUt4yVS9rtvPYo8Og6TKFpaLQZ maKAbNmIcKZSdKEFCNwSws/4aHl3aahEhykArsSlYKNNCGLj2Yq8PBpdLdMmRFgL9nP1q+Wd7MM9 CYzvRHxmn3GJpxRWRIlAD3Fjs2vRehSaYlGsDqiPftvuBaBTZwcmmzDVxsRosdDB+XJJ6PcjgzUC GF+Ep+60J9Vru2VMSPX/vJN92DLTtwwzKXQt5iJGjAjmhL9gF+HMz86GvVSsT0w3j6CsS8LeyBvh jOiqXctHv1/O35t0mTIjJZn7l4zE8D1Y8AquLZ5hZqPzVL3zPZuPNiM1G6VFElXvVJ/v8JTJg+BY KIzblLzHp+eGOe8177CzNkTC0f6ZaTsnrNABdvRJMCVWUh6K18cTryfSuwXSHIEMPK4Bvo0mp4qu apGHIMO/8H1GpGkheo+N3jgf2aWYOujHKAi5IZkE8PkP+clgohi1itidrP68VYhR+t+6oYoprm+f kShItQyGzWejw7ZqqciNbSm7pcQK5mg5Z4jR6+8SGdYdjhIYYFtiTdnoddZl9GfkOH/eyT0cJMIb E4QQP0FVAnlkE/IepVrd60x2zVfQTQeqMRNsuZzlP+4ieQ9UgTmd+OJEcstHb2wNNDeXeqAZb0mQ KZimyoFVua/p7Eh/953ac88RIY97eQwHWtuu+TFVZg2mxKg3HoZsCC2oO9qURJEqv9AJ/3AxJC5L RUrmvL8hTSABXiI8O1zfQ9Us8bRZBAgt6bJMGmbfHSQbq+QE4AsSJb158jOgoNekaNgXBpcNxVU5 ctho0CQsnPj3nKSu/ryzeojD07ppnfxaCVTvjISR6lkkK3XXQ1QlVnyEpMqI+Aj74rWiQBViL5dt 8tEriW1zCImO0OB/ZbEshRDD663ccwTbIL39fUaa/M5kRqfR7xp5dICnzvvnndLDGnnCM7NCFwXa I5J3Ro+yO+kgutpsS46QVUSVTD1zuvTfO52nWjuYPHf46KUQ+jRtmtoswZtwKAPZryzz0I+MqMSH 3tk8HAfweBIzY6NVEbvUT/VP8+edzKOLcPucmNCfKK3pXsHvcavJG2B0ZpogJ86UCsa9evknHPk8 x3un81STCSHfnT7atKyFcvCQv9e5ys7+VPtCXPWkksvHl2fYRwbTwpEsdp2NtlRmTcNiNtQwoth1 7fnZPEDtZ7l3cBS7IhyUZQtTpYfYxX9Tg70MSWGcmx3AppZKGLwu7hyk6Gy84Tze89Td65kYLNEF x6cOD00mj8cSXU2zn3cuj9LXc8R2NCJstDL8ubxtTjYtzyh8NRyVZzfg+SQno6JUFL7ikjwl5wkq djXH2ncFzkbkyS6D8c7jqebwBcxo+3hDtXMJnm0PXwcm35T+KpA1FVBA4dxwXobo7zwehT3UirAB tdECkzONBkYC/flO49HmSb5KOFBU6/YT9p3EwyTKw809z4ZIq4KXGEALH6c6/YR9Z/BopXG2SkYm PzIy5zzqo1URAwapH9zgc3Cwb8SKWLc4ktXf/nkn8CjDkbBOu6MlwGc16oQ4+eT8eefv8IObkqit EQmTeDHgnb1TjaSJEoWXi86B3A1in+VPI29ntI4Fhn0n76hOpdxm3NEvnbwn/hr85WemzuUAM1Dt GP6Yqba9R6/TPjKKTEzafPloiLUzI92bnu3nnbqjyn3/TAlX3fCiwDtvp1pvJQNwdhH86ezac5D0 oljlnARIkHC6vhN3FDug5Evp0UbbNwWmQabRy7afKauPQwCa+d2JBX2VSBHu5523w+vhpUN989H7 nKu6cdlcP++0nf9TY9WcyEBz+Pn6ztvhSCYBwDmXzgzkI2Pv7yRcfZPDiSNK3mk7BN00uWUFb6Pv HORSiqR2O8hry4SQNqDS/THUUAvpnbVTr5g3MaWNikfOR00z6dqt/LyTdpiQ5AeJpfD8+6cH9O+U HW01irFSQDw5nxXPOPiKl52LVXo0H1GZ1c5WgUnyAyZpAFv3EqJhFCTgFatx6WbqwTK5dvld/8L3 GcHB5Cy3NX20y6Y1a05CIvt5Z+to9fbbtCLDYWqnT8h78JqFazsLxDI9A/RILrOL7jbpVk9nML0z dTxNPT99GT56pQT071LDEXz0oEs1tNJLVgXvQ0lvxT7x/RxZw+Bpd7RAZIueSKSW9s87Vac+2ABg QhQGqAs+hYF3qk41iUfSrmXd7y7rOkKRLL6vZPBNTrTrLUGgxuVb5Eak0cutJyA5sbtKA2ujW0+9 AsJyEVnk4RkM+8JgSmZWj7f5aGH8uRV0zJJI/bwTdeqj0Uz0S+sKOte9f6OKKxHJiSOXWyQ4K0cK VpoklDOFo9MyeY9c3dGZoqSPN1PtFAe0TEof0F+ppQjt3H8fqIQke37eaTokHJDccPTy0QKSs1zs YB1MyHvYaq56tkbYPZT2Mh9hUxIFriReqyUL0lZxix5cl/0gyfK310HyHra6YIEcVWz0IO1k1KVV s4YHYYPfVT7L/bcBW5jlSXCKf2G8bdquan7fbuGZ91G7Xxbj552go1TliUj4vfvnIHnn5yjHlPnb 5bfN5VGreY4wIaJwMSHv5Jwn5YPzbKMXobH6HkM+nyf3FSPn3L5nevEXGU840lWTf6fmqOdC1YiS tY36QxCAtuSkn3DknZnzfxI+MhvS37tA3ok5KjehF1Dr1TOvj/79XLZYzlafrvT+zsypJuQ9C81d G21GBgrCRcFpn9gFkO1RQ/o1iCLoq8eR552WU03XBVHV7qMneHvI6Q92y887K8ez58+EsI7WnZAo Zm3shywCr/zO7BDplDElP7ugcm3Hi7yTcnSIcDwgz2Sjp7/jJBGX17YGM6sArcqotzwmCVSw9fIo rTmBWedDbfRCnUDVBGq9/7wTcnSs3ohV2S9mHN1j1nc+DgeAnBOz8x9lfWt7pt1Mr19O6DsdR0uz vBTlKY6cVWKZnlweLmVUxrwih9spYvaa73QcD+KR9JMxPOAt8dgaGTWrBAjxzzsd57sgwP+A/sge n72TcfwXGK2YkMdQqCTkvMCmQvsiEyO07zsTh18CikYR4k2jzci5QED7EsP3s8SxslKtDR9J4qmr 9C6k4jsLp5pGOLjeO1p0Jn9XRWeskChedYk2kFUK4H8pe9h0vIer3PNbYADrX2UBZ1Qyoh1nkNai SqD2TFRsBQaFJvDw8ZaMsE+XueaJaGmICUPDsUXXnbL2LcqvaR8ZdClkXJyFLco3sz4fbTsI8NbP OwOH3zt/lUdYHkKdaU6iiBUplFwTG5FUBgSCAQNGuV6SjYKQEr13Cs7Ty8I82kbf6rhmE5MQchdY Bcp9QW2SqWx5RlgZTfzYdwaOJ+hUn6ePtk7OMlHvBQDCzzsBRxf3PUl0DvPvTXffRCGrIAzQje32 3Rce0MfVN6QWaMskLLhKbmvLZHNLbgumfjo7Li8y/8oVdLYR2i+ixhJtasPcToVETt75N9V0G08g rIzvltHKkqSkruJaf97pN7pNv+oBogJ/AFdh1Mo5YOEHmo+PksdorhOEDKyfrmG1Ve3fofrieOTq 9rkcz3ZRrZmS2hIYXKaAwru3x2HTfFjfmTc6TmjtZQpgGu0KTiWL7AjZ9OedeFNNqORpjIMMQTbf ZiQKWkVikjSNccvXdqpjLjJg2ZgnXp+Ed94NH20ggT599K3Tz4GYJQ1GB6cYmULEQO4NlaaN6ehx WlRtJbTCdzX7aGUjrjFdo2e1/LyzbvRp7dMGZhLnLaW9c27413EqtyEOufSqkUwQ/RONeuGMsCQw lOI76eYTuZbio5fSKkJjW6H8hCMj2+8q7TEkcehVWpnEaI7vpBtvb/da5PBVpNkqMBpORb8cu3X+ vHNu6iP3kiWXynprHpa8U25YWRWmALGD2sAnIZryQedYwU+xNFiXAl69M26qMX2myL42+sZZdDgq 5KxRi7qUKsmzLWkIMRluTSsa0jvhxu9h6NfVRyXA7ZxT1f5Fffy8822UmM+LniC5MV8km5Ewdl1U i6q1fAHjOtJZstjUXWGCW+PmnWyj44g8rlmrYn1anokFI/jVuShGE9Mi0WYHYjoFZXWNR//E4HSt wsOjf6PRsawK2pLMXH7e2TYODvlE8+B6hzez3sk2XNwFUrd0hM+MCPtsUAHyU8leju4urO9Mm6dt o2tHoxcEFgovU8zo2c7nS+9wUcWmQUG8+3Btmn3iP9o20s+w0WO1XhShn1ktP+9Mm2/UkdY3PNN8 75t3po2CtSkZw+5RvCg9AgukkS9YoDkp651qU80qcIKc99FPV+xVWGWABWjvVU4aBIkB+oKqmBbM V/vCIONT2Qi1HxsNPlHSkt8DfNqfd5aNStf1U1tU67LcHPidZKP7hgp5oVmkulGS0Bq1gKSeHuDY Nlxx4J1l41VN0MDNR18m57vPF4u4mGirrovEKSqIeca3Fc+/M2w0Ixv0JuuqW4tTOPjsRZIByjeM XetXkaSYUJlPSAwVOOdIahaSLFHvrWrUL1SgVi+SvNNrqtFeTyQGgEajtyhOAN9P0ifJtXO4JkEJ KGaBDaA+evObZh8YAGzY/LuJYXPdbcrZDG4TeC6Dn3dqjU6g/Gn/yiRwedj6zqsRAIUmcbcYFRtt S/fauOROEXuV7r2TahTJMxNsDRu9+sxlg+EZ8VST8hoVg+W6lvcIkarEzzujht8rSb19Vh8tZD1b zmTpz/H6806o+QbXcCHgcoxloc9HGLKSMJuHp+TV1CrjNO3DJdeqlCpshYToVgtDBLGazwrpHX4A HIkTq7Xz58giQTK8RErOFMARd9onBjdvoVezpYK6nQpYENFyu7JVeTYIz/olTRiB8ZcCqs1IGLLq 3KfTKYOibHYiE2QsltxwxfOYLvvTokprEs1oqNM5nk7nGvNkV82av4jnKozflClp2aAX8PgTVfvI oPqM4XBnE9toNw09dufp6dmg+Vvm5+5FRIvwxLgCUcgq8Mdq1WWzyrUbNZwNn9iSl436e8BqXLET LYMq1/iUWjH2IvUfqK4V8aanS3//mn6757/JPjFiXiE3dyJWHw2DNWcyl7+zYHg2AMd/YCSQsKU2 4zMSFltFUZ5XyX46Lxp1BS8bOS1Y7whSPYDvmOn56LkYSyFjUww4FzSWUj1EvwnP1hOMmDJUj4qt iNM2zAF8NM7EGAb2OqHw4tm/+CTc0oRmt4XV42KrJBaU36rYmr1PU7rwekgsSPVPfJKo2KqrBs6L j/doPfeijJuol6xUCeKlCSs5SBoBTzd82UdGARrKhFvSp/tK5iB2oAu8nRCQZwP+1brNX96OK9f5 25bXjnpIwhKwvlWnpIGAMbjRmLI7M5i+gxd7FLdyBgE0Sj7evs05AtNUf2ZyHsoYHpprAdFIgHsJ ropJehS3KtlrhFg2WgKcuHz5/c9NxrPBCZvGJyYhk113pURErPNTnoyGJDhBEJcIC0tmSvhMolD6 hbR34qBVc6FSdNs3/UWObDRxzwfZzJbKvRgOyO7ZVfS9eaKqKxWxXpopouGao/ju3IRaNP0c0jwb zMhtZXHDiEJan+MkjFv3+kRnq+xLbF2PfNhaLkfeYzIW1+sWDu/Razo38El6oT+TiUxqAnDD5Qo/ 1dZ6unuSiuT1wTVMrLk27GONHhSPkq1yNPVpf+0d1hMitRen16OiK6a0G+YFt/AuJp5GhYUtxwbq 85Zce1xyNRJn99F7WelMCncQzvY9LdR1MfggSMCfhJv/0geGfWJ0wlaRj6aPdppAMV/+TzwbwY3K E5gUXXPoOdmUvMeuxPLihJOCmXXiSiaQpdwV6GLDAIlTRW8JCARbUVobPjqB4FwN6KYVIxDUptq8 YiGCw/ycsLRn9PZgTop0JtToW9fS9IQOy8ph59Tm2T9SYJH2IF3l/JwmEcYVe7pd3IBpAz01h4Ol tp8URKR3xDqJcALWFocyxKjM1Djh7STB1aCXgEs0JQTZ1MVyf8STca7MvD6CHJ2TsUHpttFL86Uo tYQ9yrPROrnwcEURYkN5gbGHESxIAWAeNG4WElwCUQwzwKDrYaJ7Xe8IbhyitQyu0EY/TCq/5Jam z0wcLlIugY8AGuMjVi92LG9/P0s4kak/Tx+94rqM0HJS68azfxZKkrE6c7l9zxEFsFz8cy1j3syd n8r8uuCJcp27RlRxnctSxzvevieeF6D4wHOeZFdslNFwt0a65XMHIyTE24NqGrF8wujQRgMLlOkm FrkVng3i15E+Nw79bkpWNiFR/NrNy1G5buWfBEIrXN/ZNg7Wyd7AGWHNVRnfKM3Hp3Q0t7xwWCAN pRZWrRTlwMvNRxCrKxkeIT+LEKnI11mjYWzOHa/CPHc9zwY117W/No4mpXn1aIQgV/Zxlu4Ld01u lviC0UPfToAB/7/qLe9hvZUYHI2uTYq3dx+w97ZcEhMCuDqGcXOc6l/dVgXtA94dYPVYJVip+2hX Du5rxvOsmWejsvx33ofI2LwTEkWvyOjPVQ0lMJc06qU96B7x8G0dqzfC2BWRVwnyM6o0TPVIReis 5vigKj/UPJ9Spv/9yGDRZODd/+pmAbG00aslLRlI5iw5nv3jHDENl3MdNi8ejShylf4bAFSmw/AI TEeBUmWo8FTudESB67TzgeKRRi+mnYRpZLCzJxhLJ0koMtuhhjOAme6nb2Ml6BEVXOm9CHjASE5u ckbnXBKBHiwTD0crJN9zJItO0S8zeoSBK/8OgferzP+m/DILOCfarph06eRrOlqj0NVoV2CebPS0 D8U7AV4J2Gqp5p2SZCBtzfu7TMAY8Pog7UtCCuzlo13AtKqnHViTZ/84R9wIvZoasCYlhLiCiyqd s15FNbWbBG1tZ9E1imqVwqwpCUQgV0mQFFRTbPSAGyOaMUWvIUXeTRhHLAAlq/ehrE37xKh5Uygd ITio0ZtYRQIZFOYmz0aF+fRZJpxj47luorIrLVYJI9KemIZHA/J6hZLPM8lDkhEFrtbgU489N3UA VKzBURFdcRZJRUwgS4wE8pc16+5lA7Y58/ogJiFmzUO6YOPxzznpgckAnyXKs5HcxPqKSc5p0C+o ZERxK9zcuZxhMk2ThaO1b2/wJcBldpaEcauqJaJ55ofmeZLW2VBjaoKUEHlXtzPDreyLLy7ZCN4e hK3NdBenj4ayOatZ9w4Gdz8jrLveGuPyFnC/4hth0EoomoZqRZQGeve7VyFeNRxjcTbFCMuuSmmh yNvoZdc+cKFTyxMcFpku+mkgEs4fs6Q5MT5FxhHysprqR8TzGu31Z1OaIdd5nGejssBlAyuyO/n+ BWPNsOxKwR3lf50Z558IsJXwycWXTt8mMlGpZIaKV6n9Lz+6DTJgY6qMc2F2A/0mGW7IbfFD35v2 jVHCBwKaWpONlvD1Iqf2k5C2xrNRdd7vG1PlNxN6m5IobiVM2ngTy8da4imqtkrE18x4ab+ybWZY dxVtr4j9fOXcUK0ZeDZWARgXDQBuGwoPGa+c+kmBTcZnhsQsdlnFAsFGJ2ZVwxfjgMCz0UFSvmcE xJ5vnBkFrenkvmu63+FqdbuuoNrwQgog+icNsBnzspqQettHJ9yc0xTmq9r655ytgmtB2BZ/cn6k ONTim3HVdcl9q/ko6AT8L0ttTjTJs1GU5j0tQbhZbFkmRJqSKGxFZHZtN08liLTbZvVmdbUTh2Y3 mppR2DrFj17qAa/5pDb7rIXRpfWEDoXIFlmSfFM8qbtEbNPEQAF1+Ury0TZNG5LFR++z8GykE/dV S5MHSrokpBkFrtJP6bKgNQ2fZpRgytHW72tyc7EpCWlZrb2hOjtmMFBdkcsuOIgCIgFESPPmI2lr uN8Zy19x86LSZaMBbMyQSBStyrNBS6v1TywPchDqpk1JXHGVbhjpFrleatMD1yQUBy3QhaGMlLJn hBSQuQekSx/9KAGgW4VRPLneENIISRduOMKXDw/JNk4Ut1aCkaaztfXPxknbsso5Bs9GhaNvanRT zuVTEmEFqFuunPwkMTMLyQpUD0mMe8gFPKOSq7I986XID5kM8drWy5Bt3bk5x1ap+lwTvwqD6yeQ 1/07o6gVkqboOz5aK3g005vE7ZBno+Sm3JCEnkb/ZVfbhIS0LG7OMr1qJASpGTY4njNLTVAx2gw1 sIqmQufIfM4RlA/POiPwwPmoMA1nheDlgNr8/HhXFPvAiFDBOSJ4TXrgNbgiq2KXx+48G0Xx3wyT usVDsvmIwAICOxYIEeyUE1FVZ7x2WS9RNDq/ZfJjJIpah0EwxvTRC9AyZOY3Ocff+R/cxEHAijT5 2z5weZWMZhS0SvFJnn822gpZWntcvrnx7B/MeS0IysbzNm5myMrifspUU5Tq5SHHy4GxyURwv6Es LzBn11v+gQ0vQtBovBEJxmtJNRIuma5bGlITiosP4tdEFmeohEW0ivOqj1ZEO+FeMiWJuXk2CtDW DUdIgXDk8zWyopgV/4YphzjZVfSb14BttZJRkWDpOURWBBUw2cmifK+MR10BFw+kFsl8F5rEZjBL ZEygnD8UYGm0rhAqoJSGJNTG2zs0zlprtfJswMta3twTWRd7Vn4Lm5Kw1ipbjWSgCZwmbEqqGbG1 X2nx2qZZYcyq7QDywkaH+4Iy2hBUOFdPgLPlXI14FA1OSutX+FmaPitWwlIpXljO62VIka4oEK97 V56NYEfzQqDBT2E34/HICoVamzGzuoR8EGo13WevCJre0XKMzQrBAiQruZoGpWqY1raRprEMcBoI lSIINEcVpzW6N7e9x+rm9VHVSKGIWCbLWSYnlm76Jc+nVn3an/KkOnW+5iSkZvGFlfaByQk0g8Wf f6Wx1VToBRWidRIiXLuQWCJnzY+HYUNrExzX2TqtSuzs3L/0xdHcAoD7iC5W+8io4Eq2t+Dba7T6 c9lteHVg82xwmLSvC4eDtTvsaIWSrWeZrM1fRCNLnAfBwqcMb0j2TvhsOPkVcbOyqdcomu/zAgUW 3muDsBE537LoSbNxUHQgW2pP+jsUkawIKNClW0Nl3EYrLaY1h0FCU+bZP8qtWisnpilXhzIKWkn2 F7hTE37K7nozDTlAhAbgXwHJisUEVBxBUkCjt7HSOUEKamNVmgoEOyf768JVNn3a43Hf7RNjoniT PFpzkTS1wmV6oarr4NlI4nh8nSTkA+XumihmpXK86ZfRxtprGU08b/XXFZpYF4vrJoxZtUSKeJ4l PWU06kR9imx0MpvkKsdDSm4N4OwHS1LsE4MZ6aKXTNGAlVwJC05H7JcEMDWeja6bb5ljTvcLxFoh Mcvcu1s25fhuIr5yuJFyPLeVO1F33hKdI2pPjTv6OQJs7NwV2jYT6eetijxXMMfXlxbW9I+MAnnY 80jA2GjF1jXNoKKci4xn37fNujDXrorASfauKMkKUa4SBkcLReCARO8+W5E1OR5ryvtY6ySGCdhR Un30sKTAN8k+J8ivLontJ8kWoK7jy4TWiL4xAEJv5OPUddGYrHy2l5ZJ7avybNQAvq091gkZxAWG ryhw3Rwl4ryYnIArL4oq40dJc67aCsNWTQV1ahu9Q3H2Dc4ctJDzoikOCAvYigy6aXpdP6Qx7BP/ QTBZ8o3TaIW03YpBy2YZPBsskluALsbPIVx76AM7Cl6Ztmm6nOBcW3Gcq5xvTVKguUb4jlVc51eb 4qFTdKR+CYLPKiHBAXfLnwJUpapn4CmwdLh4fZTgEKPRoLDRagJnuxpZDc3SHQWv+5ZJLMok2fRw fr/HrueRrurBcEkwmN0uKrCmVY7OZ18O/Q5FBbrhF6ePfucgn1n9rk1cDeUS+IAy4qHjd85WnWSH UNcKQhzss4025ednMxvhvSfP/iG0YBqd3eRBNSch1HUo59vDo1dhGaUrQLJCOQm1Tr+Hdxy9yoii dR/91jn5U5IoH/ixc0GrSm0gpCVNH4/TxrZPDGaEsGSI+ToeAblSdjHu6jm7efYvXQGCTCqVzQ+T HXKzAFCZwwDNamn6DKmXZm9m9eocgh3jBAyst328Nw5F0aFT9OQ5cBnhZqnsieJ//ZRcVRjYUeTK FsZsoPtohQHSaf1RZ3vy7F9yaZ711Q+YZIcwV5pMYrSAEBcCWwhgCU3JbwCCtxDiO0S5Cl/TJE/S 2sMigP2gSv+JSwp2WSa9mEwoEyyZ9YAhquvtUTAvy1PR+Ea91BuIoyYn3yrPRlJY49OoQKMTnx2b kFgJS4C+5FQToR10lDQTgepIC0xHYe0QKqBIfqs2sMeT4MCVrBKZ6CO1uZQAgTKl7Nmf4tFO9oVR CZoWMGWA/thdlDVqMX2SE6DwbKRPcgsD1kGnDpRu+LojpICc2GBdG7pV7gMKTAac3aqKGnwNBSY7 Qgps9YGn9AbnZYOco5M2fpK0QBVwSfCJJojYmRSmxOyUCfb0kUGVkWCtCz7RH/gENqGKQWmD8Owf OU42kNMv7USbkzCARcZK+dM5Q+aU4iIVpDoMfsQz93CNsQKm45t99FBN9gDNep7lrAmpPNamIre6 /w/RU2pYO8IK0FHriVKtjRa+njdV++3r4Nngwpke0k/hSbhw7lES1l3hI4rkDWnN/I9Q0DTSmj5R 4hxd7/gHxlVqpzY6xObsFjwZJQ527jXNWKLqbH4ZH1sbadvukKMFoXmDTbLRiozn/pXQ9dk4ejao C+QvBoFu4H5v4FDElaspjctm1Af//xk7ryy7eSRbv5+x5NKCN/OfWOPbEeDJqmJIfR9Qun+LFBMJ E2YbcyOsVzh8rXRvnAgsgOc6EL3lo5fmM4j/PsyZ/byGAyctVfqKmZcsR2IV+8YoUGNFcUrbaAWS TrZAUHKyQp6N0j7fNYpfOEWG7xoX2X7799gMbUtwX6H2umABenxCYslb2RT3Uxi+Ih2eJRKXy9dV +iwVfIbFMpvkaciUMAMFlav6e6F0/8xINw2kAG2wTs3BtCXbSXOqKmMn95l6+l/gX20ipboX/KvO 1fu/WV1yEIz8Lm7JkFctqh5RJEAgQI6eKYxhdZgUMfrKw+jbCJPwP5I9OqddSeKOn8QP0a62nh55 vd8YVBtp5dQqwYV6WwALEAt3Rxu4kKcoiN1fy4qsf5QP8EmJzQjyzzKNMFwJRru1pGGWLxSDvLmV U4h1lSYlXA0bvW5cGtRaQSkWgvOTDJAOa5b0rspuViZQTsz7g1kBVb5N6jZ5OZNuk0rn6r/p4QC6 Vx44o/qmP3IfslmJqrBEbdtEw/f5g6RKaRdCWBc+uk0J/yKYnULbLNG1ps7a+Zy155cEBkkytwlX D9UchwpYyc227WDhgNfr/4JUS0NiA2N42n0+0ZD0Jaemh//R0rEoH/+F+qyVKJaF34sHuFlEIZ9p nAK8jEypsiVqkz4vUS12qBcqEz+NHqecbC9hKSbgTVU5jVqs4QPNmt0VkdvyzwzQ0bS6MqgiG30P VQH/UVXJejiixV5DD8LDTExW0SD2qQlLsjLvLfT6IaCQzLs5ASadHC4rL4d65hQWZWU6J6SeI3W1 j07mmsSKP9sFCGylKKu6jBwp9n5qS3v6V0YoLdK4IoeCh7IOIcQ9lHPTw5HVyXPoCgN7xj2fQzdU HoCItsZ2NEHvjkuqaV/KxbiK/CmKbEUZF4DYRk+SdzphOTN/foZltaYzQZR8yGnKfHgoc0z/yL+J zUGstdG20gDSrUs6Lz0clKtvJGdff/73grVyCvUHCExrdyZ1dfRNbpIoEqSAiqa5e6S/GxX8Fx0U uPQJJZfKKSVVSRGgFyNVSNTnb4mpoFxsHxkE/FJjwAeOcf04uLFNtY/7eUIP/6P1pZWFIGJ+Ypco wEWIEF21ZecL7l1uVDC9jl8FfvR5iWQIqqKWnu94y0zIb0rxH0vYJl32JNNgofs4Y2b+5WWZQqsC oIWZMNBGq6qkvk3Y91xmejjYRY8uv8oq8je88xLFuUuu21tqfHJ8yG4wjdKT9QTVDfZzN6rTDvM8 VeiyxnO6nDS54SlgocuukmeglEPxFHqrZYe6YvT2qLKS5GY5fLSODzxLJUNDAV3oVnDzQ50sBE8X lZNDty36mFMnnmTW9lfE8prWq9yrtRKYbZ27wjRfDfj4UJdOhIwYC1v+5GnQFeV/0iV/gHbcE87Z pARmW5yZQvghdZralQcGk2yCeucFevgffTBBc7g9+uO2FcW4tIuH3J0oN628XZDAuMQCk6q20u0l kfCNfIMkZ1lv7bqdq7qAUlLteu9ShULAnoB9k5/QX+w9vT2mc7UtTsm+/RPoXCYeVeaoevj/odtI o2ndrk+O/baQtskACXT1oHNiFafShqnkVsmR2CUUWm5B7zkbx4KXC+itNKZBX6vf2+e2jmqV5ix1 2vZF+ak/mEPTLcDWGBZMjcOO23Ob5WbHbR16OKpf/2oig5UcF2qfA9ctamjAT2TpoKqTTKc4bifC 6DKuO/nGDf5D462xDaI1fPTjdiODm4TYOqumYSM+9iQLp1tvwIz1y4ss8N5iD/1vR+z8Mh14UFPJ ejjaQw9MljILcOV7DYXmW+gZb2rP6DLs6sJiebU23bl+T9eOyoH3FuUMdTnqHf1yPrEEqkZKoM+t hqLD+UQhdU7sKM/iq7pW/SOjBjusloRwsEY7bi/89Nzu9nFBo3CVX8dt398OUA78tyw2XWVbfCJ3 G50sQzB4OeekPe7JEqMO1v/CIOVuq8KtgAG94bpEV4xTb6jt90UdFP/ICMDU5QyTfDSwTtqmV1jO HtTDkbBl+YYszAoKPT4rIcfrHFq7C/d3QpxmMwDwANlJCSg9gv05NOFaSpyTEEzpZnLnSDnp0E6K 7lez1t7YpeGncX4V43uwzOrf+Dc9OvzSbHRwyplpi83K1sPRgXvhOgoTQWgBNPNpieJb2Xz0JhRX UnGmKHapOt+anAw4uX0TRVYGJu9hXEmJ62gTnYiJF/J7HKSjZADg6UjQoTGty8g/G6P6Z8bLpZcp PtR8MKizuRL5TPZwBK3+KsGAIRTl61YWAjMuopb2I7si1slGhzJbrCKhnE100Oedl7CKa9rbOlz6 c7jsc0GfNFdNwrMbC4SmcxXJgpHe0JNAT/OxC7246GSeIK4vH23BlJZsF534Vw//QzG3mC70Gfd4 TpcQQNsQqBvZBer6BZ3PMjxNJKPxYC72ktXp0rKPfhOdeJ7yrWhfqaQhEx3oICrI5q9cgenj5NCP q+gwYkZs9FpuHUbpOWtSDweBf+m3DHVLlrd5mENLLoroEz9PM2+fbkDVx64Xi5/vtISeXBwVVPuz j7fmj5/s1PFC30NeojofcpWuxSMIY2du6MklZMaeoglOkcspJGHboUpuYbUEplxc7r6JTFWdAOaq CefQlmtaoYz1JelcMwPpBOYEAoZGWO5ClUNnrqHuUJekf/9K+iOSjRyM6QmvTZ3qPE19Z0iV6Ab/ knjW+6OADjggmXl/8vN2tmhTAgxiSA8HBbr6S4yMkm+77ZAcu3NJtV0i6n/UGYGgREBXivJE8LTI xBVbL2Ggq3lJAN813hI3JSlZLgzsRM/5LfWt+iNiVJsXK1mkhav3R1UoqmsQ1mzUvCy6N6ZRPpoe /pcjhk4BFMqoRPnMhMgEEGxrWMdMenuGTJj1uphD5vJ9FIJqVcmtgtbWy8TG72vaPFDlJgLewLz5 6SXGPh59mFWqf2TQd1bYkjBc2aZ6Kvrdnsqme8n2cRFrofwOdM+NeIWEcmjSBUJjSAH6LJdhtf+u mudybIKuZpuV0PVARkLmX5bSs4vOZ1cBP+q5QxZJtBhy2KwLQPQslrn8G6NJIVsk0rHRS/+zmUNO m1MPR2Tbcc/c4jf045YZW3V1c9V0BqXBC0yPbJj+MqXG6mlR6Na1uoX+y8dntdBn7bJGPu/jcEX6 UaJw+0dBjdVb7rEbh7roGDRpGrTkVa6Uwe0q1F32cLBarrKfpFMB0O+bFoWOXQ26dS3FT93aXDXm nJHqhZDsrp7vvIRqXNSXCjAVGx0teKKXs2SEHE0t47JMmp3lEvuzn8iFAEIvDzryRUoG2B9otBv6 JEXm937OLD38j9qC4v+zgfvldOTQsovq1rSKE1DBdTWYrRVtPfnngv57IXe2O/pSGaOWkwZpC51r HolcM0gAIyUjFSvMCRWXQ88uwvz/XigF4o81z07EqIcjSOlT3KaXKW8sn5IowoUDOuHxu42onyq9 9GdK0q3LBZ5dt0e0JT8l2J/VKsGE1V7MhBe0evP+NGRulTCtnyhweg5du8gmEdxPPloFd11/85Ph 6OHoUPklakC+OZ+tE0W3ic5GEniCaycJkSX/g+o0IIAK5W6dGGi7/xfgc6LydI5Y7nXgLHkBSz9H CvEt7QQUk8DScAHV7p8ZrBTxCAkEbbRqJaBdUyhrQw9H4n771wWE3vTTUAy9u8T877rEpQOSq+Ge KOp0KUC0RAXfArnYvst4czLPTI955smEoEVpXsBpqsibOKHk5MWSv553yb8yZkWBAak+Wjp0proY OHtMPRyJyubvtBQpSd4dFFp47VYoKmylzGqgI6Gad1HGrBN33QJU4OCVraiAkZOPtyxX90nHpKd7 DoPZ5CmZnORITOfXD9KX9o1B91n1J8g4NloVd2GfrrWS7dv+pcr1ozydkvWdlFhZliXlIdwyzBOd vuTWbwgc3MsndPES2jYhzGOjnbTl5FNgEGQhsmhDIyxbkyxEKOe2B29rBYXQyEu2TgoGbbSQH0lj 2VeNNPRwMCt3qejuBMG8np5ZbOV15n4ZMH3/bAF6JJCJWIWKua2WddfKX728kk7b9Jy2FV2alZYS 53b+RF8dcQxhqdvP/rLFrFYZeHmZUlDPxuxPV45lQiOxGA5QS+DlZaq030QIe9KnVhm7ednhl7yt 2tOy8/acKyO50EEr+66WUOkgGXyw+eihbQdBatJL5+gF7s20oKqBY+1WP3pYtLL8M4PCHBWWIomQ 8kiEZJBEj1NiDly9QHD8quHSO3lMaHNg6wUrV2rw4MXlw2OIU8oIqskbTAF9YF3Ooa+XqhK4nPvo VVyonOdE36r4G6d2bPl6KTn8SjCZ6UwOjL0o2lPr3+BbNNp6Ocm9WvNkono4quL+6hABlk8XoxA6 e2Ftv5Q8IrtrigdLYNDkVRaa6L6HIoxCUwNkyR9j1ZsdNvKrc+LKiWfttVR8QmdOEpEG97HSti+W WKpLYm60hzR6G2QVC8TOXaeHI3Lub0k3nLQeSEtg7UV2eH6U7ooys6oIJfbsNT/fLT1bKDZJUBde OXP/qpedz6YwpLiewEh+8MIoDHXO0tMcSss/MthCKCBtNPhstLu5SjGWwsduejjYQqv+Qq8TcX6v oRCjwPIyhqSJulUnoXYQLY3g5Vyy3WGEsb/XNnzl9NFWCwryaySTuqOYtYRM5sRF/oki1FOq7P6Z ETRZFQPgzCVfKZ/z1cn9AmmyBg5fSdLcD6JFclm3lBA6fMmpV9/bpftepVEFLlTeU7JLoJHZbFrC CFdmKlk8ofxQeICaDvH2zslSzzkHk3p16Rqq2PsoiUooW+//G7uOtNJGO1l2G67Bqx0eVXDH+I8T V5I792wJbb6opTuM/fxhSJdJBnm3sJ0oB1o2FLh8mUzrLCKAlPb1pz05ofEJsZEA5KQTiPhSGoD5 ZojmaZUDl6+kwAMCavPRZv2k4V6QW0sPR+nQRRIWy4fqU0poYQG34J+73G1zlQelIB1A2SYkt18x ZEhY1v4f0Z0628kBhEbGjKarMCV1fGR+fgsRwdC090dHS9dRu3y0bOjkzcYvPdmoHg5uoXY9Nynd kdHcWn/o9cWnZVNZZjKykBdSQ7BiAi9RbcrWShzimmJV9fEmQ1aFk4hIqctwLzLc0NXgl5DsTvXy qBevGG7f0YpOa20Tiym76uF/yCPqsqA2um6GGNh9GQvkHODJKbtEFtYwm93tN/k1D7+c/+L4pXKC rqH9XENF3AlJiXTsvqxFAuwH16/yuAT4JRQYftEB4TwRbaily1krVdNLrWVsPRxBn34hFFAw3/uZ lVCB9pxEazn2a/NuGeWt0i9CYZUbxf3d8AvxZx8vDBdaYJLMXUFPo+lqFsKHEjm4ZdcS8f0TRrcy TwAUYKPD5GbVDsDzRQ9H8MF71mpicpEgv09LFN4SAKzUi/MP19XA2/khM4+bIgauX0kJ1ZT6l40e 3A488shFwMueoEV2LElNROx5xnMF+c0cOn81w7KjTKbRrqCeqrmHbcpxsfXXFVmphlEgbX+mJfZQ qD9CWiHXtNvyvbSlnCtt/CH9Kq2WKLzVPZa7rtt+T1ssQxfCJOwgARFoPGxAaGcxAgx/mmVUL+0j I5kV4dixd9Jo9YR6Wd65bT0cFFmus6JQYdRzUG278xLrekkEb0hM5Uei7S6RUGTKUgRScImEHPiA sepAy2VjEdWHwdvoqFK0OIn8OQhKMWh3/4Euo+qit1f3/cqIjQhTpsHh1eiRnErtupC2Ho7Wy++u ENdR8+XyeQ1YWlbjcWUX5KUrZ6qJU70doebqJXq/hisNqdEJupmNpNFmZOP7uruk8MuJ6SStCP2O OEpe2z/CWl3hptdopaFkVWT4PHy8YPAikM6ZGB59mY6GaKJu5aJ+EB4MypkVMgazAYbi3GjTqpEZ JWpV5W69liIijli2e4L5ANo1C/QOGz1SofAhDZ5zzfSzGKspkcIlR6aLUMrmwwHJr/ORBGHteLr4 aFWEhjqshfrz8xqlNEIOnw9Bk8BCQ37UhLxGKS0bJ02hvHrMuYr/zt0vWSVFKVwUkuN5jVFYIKi+ ifdlo4HXTnSToQ9JUHQRo6j6L1wc2tBfcplIVK8xSgPcRntZ2poaHe60q9lvniDq8xqhMJXiGyu0 AohAj95m4zU8aVbAXgqExG5QwWmqcnBvnHxz5NfQpHE6Mwu6w2t+YvuTglUkrXVMdIRERTjj6KCB Jp3iq6cpV+NgMsxPAjHXc6yiTaOIHv1VAUEIez6vkUl77mBbUVlllGbT8RqXsFvOeT+6g46HLABN xGp6Q3kBbzMTwWivcM9URKdt9N0NWnBP2Wt0Gj/umSaJYs7p79mx7AODs4POoBRwbFR99lzZSSly 69huBrOR1KtqiguzQGYAUDUdr/EIewWMguyjsoQSIYdYTXYXM0irROZu6BRMCNyUszLKHR2Mfe6N BLAWwdi0BisD1D4WVxA26vIKSknqhb1GI/zGOEZPuLh89KrVnq4Q0ffnNRbRReGHh0SKl7jCyybk NRJpZt6Le7sZfOUKHUigt74JE8VzX/kq4kcTAi69wma10W+XE0+u1YWs3WfnaAUVeHxyLhqPtiiO 5XxhsF9I9wYBjo3e6zkbRlFEy/nzGoQ0s3S1w0Nbnero8NvlNQJppuw9p+8X/4P8aHq7sJTlsJTX 6IOjtOvgIJbXaEdpprnTOCfPtliYIzMbuAkSC49vP4PG0Oc19Gie12T6uTZa6IG1lbEXVv68Bh46 4es9SdMP1IXpR8drXY2pIDdTGqmpuADAXq81TyoC1yJEFJykqWgSevfRFwamlOicGygsIaMHWjQL U4iWx1NsFAHk81pSYzZYoikpQk35Lo01shnJ7DU+rwW1ZlVQm41kaTKBlc3Ha0GNhTjk/Vxdeygt v1soPVpJ9syHGEFw26PDlAQBMWYfbXGcaxHsuVQhIaA3ic+IaQmXnmjl0lu6xFSiw3RJGXE1Hy2T AVrgfho8Gl0t+7s8chMZzgOxKC6lGUMnR8ogHq17r1jOXvSK03BhxHe+T7su1xORN41eSWsno+tZ 2co8h8gUfbtlbeP+2yyhO1UrWiOiP4FRs9GmhIKLrZGmZ4MtI6V6nafUUpcsSG1OougUpcLdJIO/ zs6W5q4axbKoJS21/6NwzNGMUPSoYn1p9PsFD7Xdk9zxsKQBeqCWaQbyji/Y445noNnoQC0SiSzb R73+/KjJwBIIVb2TfdoDDrVVwu+ksB9sSqL4lHByrWHh19oXfTJN4RzOnLC3hj4JpmTrhsn7jl4Z gaVd5dp7ghT6OkIdLAN0/HzDdSe0RPFpV0i2Ye5qfGpoZoJ4Et7PO83Hcx+bkaYW8ZKAjk9JFKRy YOAyrFNji5/P0bqvgmThN+NTEkWpMIJOdg+MUqPvmwGedW/DPo7RlNIhbUshkZKxr5FhDeJg14Df OEm7Mph0Db5OOmTkTeTBPu8EH06Se9EYlaX+4Khi8/EepVqFh0+68lRjW7Jbc3IdhIaCxi20RjNC UtdUgtFoM3IOqDIkNknKcVKapLMNAiAMs31L8l1CvO/snnvzInLno9/qK1mfYarOGsxIvoGqoH3U OLDjtSkJI1XC8rO8p/k34cBsMviZixS9jBNrc3JpSt5DVQSbuYCJC230RbLOgil695CzkA4cCmhd tcPHqWjYF77PCDTkPkgfbLR5OEtjGgus1c87sYcNkO6MFCvHLxXafU7CYFUCGtmw96umeaURt58k eSYvhLwze27mXzlGbfRs5sTaxOVsGxq3ctwoEsZCsJTbZrrvWbUvfD9JTMZsk5lp9FIiMuc/Ak7u zzuvxxPDb+pf1HvId+dE8aokUse6zazhO6iZCCD/ECYUNiVRwNpFfU/1jl6KP4fGHnOYCGApyodz 1W8KmeFfkqKSIHqn9LAGwSWpKWajt8mnGb+W1efnndHTDF/33MBCPpYbpr3zebRKtpjqw8pkteh4 5eodkqNVwJVvgyIKXZHUocWeffQiwHkH9Qp+9gHiRAg38V+IdUt+iojqJn7eCT0Kt8jyaNzbaJfw 2TxSwDvHU/6883masSE1KVLDl52hZ3nvZB5OE4ikELHVAs7CwUhdVb/wIWXEyVGthRIGryoSkSza 6OH8SWpOyFetiji7udVQZzUBxvEYo9Pr1eujOSHHo9Vto4fz3TyT66bpGYWvBtHk0pF2r7HHvW4W xa+datcuLiqq4pxgsXYf6xung+3fmTx+c01Z6tnosRpuR4mYD6v4tQm5zh9EweOsHumJ5+WL/s7k UXpOr1OVxPRUEs85lU2JGvznO5Hnd4qjho0s/PzSeafxMItkv6kYom/s7MWzaqSMqqDNWdnvHJ5m EvITDVgf/YCdiL8L04TBxuCun+J4Kzhr61ZHRF/X6/9Sa05LzhrLnTVqkbC3zto2P+8MnuZChzd6 hbu57hKJYld4eediuvoXuQ1XG5JaZDYQBc45NMbf6TvNGBOQUpqPFr2ekARTPN3CC12mrb4eDUPw YFPoLA9fp31klOLITg+gvUZbJGc9G7Ik1/Z5J+8003t+poSbbvgl/E7cIQVAzqx2YdLo/65iDNvC b/gcLwqoijs7vzN3+HfJ9yr6HDb6voGv1ooc8hbCswgaFGAJ5nDTH6sv6Xd+3ok7vB78xADob6PX SVb3kHyuzztvR8dQ+zUlTeYhnuO883aaSYlvg0+cP0iYg7tnF05BC+iLh6/vtJ1mAKFJTuOjh68U daowRqOtk1os1WEBltDwfNBHhKGfd86Odo0Me81m0Gm1rVryriy4fN4pO9yE65bgdQgSS657kITR K45F8lUj57PAnnPPlAHZPwr5NR9RmdWMaLaANvsJSzaSd+r/VyD3rSgsGeQcKL/THJwuxJvtC4Oo RMY6kI9stEgNXvSyDKd93rk6Wrx3hZgyohDXPiPvsautqi1dbazPrLCIZKbkTPmTvALsaH2PXTla mRGRiDU6dO9s9ROxi1RQz4kKEQ58AMk5MPz9NXG6n/h+jvB1vVinK1+85NilmlfXSvvzztThxyse k/DzqygByM/+vSh2RTLfKqvZs76qwxV1L+v7tlKSm428U3W0PMn35Mna6o2kztV+spxOwnB+gvPb RD19NkocRdL6D9Fg2BdGMwLPAJEXG7Vt1jkP3A6OGXkPXakEjV+dK/DNd9NEBVe8WDOnnl02Ce8m ibklEjQRu+a+PsbvPB0VRQnPkLGw0eYDCEnR1j+BxzlJIBqiBl/EH9pPMU2YgHeWjqf2MP2Wj3bT nBDUbJgG8/EetUKF9fngw1GN4Ef1GYnCVtj768q+rza2HyPGZeIYEV9cx8h70GrInNkEx27lkfNe cze62gKlnZlZqsh2GqYECWwmT25Kti/8ywpJSwSddQk63O0XEjM+7/wcgjujLdkKyWZq483NKGSF HLJqutptcgkYOlc93Ssgbqy7GYaslIQqCEQbbYEMimm4g1Je7NDcFIKcWHCdEHU8sYgCjM87M0et XtWe7YdJLq/UUnIN0LONPu/EnPaIqyoBhtGATKdHI+/EHFbVlv9q8ZXRUIRSUX7Xbv/trKHkMes7 M+fJgNEWttHPkPO2Lgzn2ZPtbCBoXnQ+mWbKJH18nXneaTlqQuHMk6QiepUyCZqksMnO7J93Vg4/ Xf5VbhXR696876QcVojsPpqOCUKXdjXwq1DXrvhxO+AhHMDqI3SA6hck3lehP6Xw7Nx40/hddKw2 ioGPUwLVQ708SGqAtQ5axzZ6hjCWyCc0ij7vjJzmgpd+9SbB0vuNWN8JOWr6zgsJQNLiihMPNedU NrKu5zlF3vk4zVWaMRT20VdITiey2nCHz8JBKkbByBbXBRm7eo8R89h85+M0k8U+Z2+d7g6vn6X1 tKtCzpM6lc87H+d3PYCPh+5bLkYiClcpkYyZXQJfmFRD+4qLA9p3by/Jv1NxmuFpid2Hj37PnKMI ih/h6rkh0spDx8w52MQPuXrv0vV4p+Hcpk1qup1auZEIlp3dAnl9VxSurm/JSGvjbpn3YNVVtwq9 Gu7Yk6uT4QgUgCOiEK21pHusRqXWpCIqYqU2esEITclJtlPpT+AoSR4ub68hmPhTkV/TPjLoUcC9 gS7go2c0OZus/zmfPu8EHH7d6V40BqpHpO25aqKAlZA2V4lT/kmP9CNlNELkRpzW+L0rz3vn4Gjf kPpqlZRnldCIq3VxxZyEPZ8oZcquiNoC3gtbulNWRhNB9p2Co6i6ykhj+miH6zlTt0k1pPp5Z+Do 6vajRGuKf/aCad4JOByuaomncYnU6YpW8LtTxWhcOPg7/+Zev6URtRajsBlN/5ymAwox1mQnZDXl 1KrfgYi482lUSOTknX+jGg6F1i2gwPY0EkhoU2PrXPD1806/aQ8enNNVH1Z0kHnB9Z2Aw0qh4Pcw 7rWObVbylfIgYrFZCcutSn7BMdp4y609UTGTYMdZgUtEa5kqEfYj//Y4XfsnRt2bJpOv5KMdVidP mUa+afq0KNu7u4e/C1hFlhr696LAVdWh3LoztHJRcRF6ORwuc3het5T2zr1pJsDPYbJ89HwPKOuU rs5YRmFzx7hMExirJGc7eqwW1Vub3Hm2RA73bQ4BGTKDnZMifd6ZN/q0duutOkAI7tMtk7xTb9qV wmdZCW3UFDYoWoNgCb4XH6NsUMV37s1FDFQEzGy8/YqB5TWi44j4pWI8JGANALY4yr1WYmTHd+4N SYe4SIj7nFHWiLhc40so9aQTRn3eqTdeQP0ijgYCoZ7jvDNv1B7n5OEekF4deewf/SrPHTqExAIm KfzVO/GmuSd6LfWOl42Uapbh2dkku27T94ZNLuPrIiOw9KUjvfNu/PW9qjBfb2G+NbRpdXSeOPjz TrtR98cLjGKCoE6M4K9NSRjDLplAe5ZjrSzsJfu2k7cQitreeSfdKFMaSnC3j149OsHOuZIFbD4H eTPPxUWwQ417CtHqao/ZPjE4ZdFKSpxwNlqEMuc1hRvr8866UWXA8xxBpSGI9+S38TvrRo2Adq5F fE/+CDHWDHOzEzeGVA2rjK1YJVEMK4ZRLQrqyw3qu+hue8ikt6PeU+XNQu2BVdn3t32DDP3nnXPj MHU6fNVHu4sbxq/60wlh3yk3PNueMjQcBQGt7mES1lwVZnddwhJ4Wdsp5SC4DTSwpa2V9JYo9eMw GfWOHqA0+sEYoZ40Eo3UJQgb6MGzofF3mhbVV/vCIPXDsKgW4W1KuUpJeTfV7s45VD7vdJv2uIAX MT65KjKVV5uRqOoqTW5UJGWecba+PBRJcE6eZZr3G/ULWyZhICuuAL0RG32Z7PPf19StA6sTnD7J cFJBLd3Ubyuwf6faaEbwN5Lw5XiEL+ve5vKC4evnnWnTHpO8i+Ysw/xoNCMhYgCoeskuYnjtrPKj x4xCvSc67zwb70GD6E0+OvKD3TKk0nmilK7uKbf0mWfSmvHNdJp9YAS0aQI+Vx8tWjuL0mquu+jZ 4LKpN9OxHZZlGHwXSRTD8sknzrSff4oyJs8iiZ+o2mheV13v+Etpvokt0B62AOgGkEhZXOmJLbrq KZKjTj+P3WaTH9w7u0bJylLtaPpoNcYzwwbqPNv8806ucWjbc4zQPMrpOUbC6JW/xhr0HFgZNyeq W8N1WXy6ZVGLka6ClZDtaLzZMDh5dq9QmEVtm1J1vpHPGWsA4t60Twz4JDh8VUSVbLRzZI7LiV+V Z4NA7aKxVA9EAOhiGFsYvMqTUxQmif4nM2w6126h1QFYQPRe1eVbVHe1GamCDNSr5HqCBiB2y+ry 8HSSfCQoRKlLmr7NCotJouCVClUfo97x9m/2dtKeng36wOVX07Ng+Dn9/u0hVgCl/j2SZ371Stt/ sQJqQbFG+nvcyr3PruFStdGxvyfA2QvVsopkfsdfboIfgFs7fkwYyHPhZJ8Y9fiITousVoqLihZK D1JdKydC4NkAKb9/3b9VVo6XSRHFraCVpyyCrYY0fP8IIWU1pOTq0z3CCmCoQyZTffS0DB+0BEIP m68py2i6YydORhdvPQGJyUT1qPJq3Q2KCTZabf78xeHiWYtnozrjvCDGJAgLyZJNSBi1krAoLxWZ M89iku2FSrKVkTJFFRVMelR57TYlffvo2waLnT4Eh66L1Q25ZAyZ7k1cqL73TbWPjAomAk/L7688 3SF0rETVO7c6zwZcrMvVE2w084tQrcymJSRkURvSFFStD8OjQTffymsIpvSDaaVEseuFKFYf/cZB gO5sHVYKAsBTDkXkh4XjB1PvS3ZVXNKj2BUDgn4u7u2jp8JlqsN9lnLn2Yii9quN07e0/m1KIlIW BLM1OZDTOUwXtp5DkOhWjcF4clf6KJqRMHDVQgFxZuNtjyNYCPGvgkubdr6qhIQEH8jV/9w8UQlW zWDZXjKaasK5rYqVlMAn82x05/xGUFAaqM9xEseudLaq8/Y4bi3nq6N7Z6tlV1jrITFL1+sUYmA+ iAFOwzMvXVQI9pGI4qh7F9rwMz29vikWY48Ar3QLe+o6T3q9kMC1VaM8d+LUpwWLZJXvlJA8jluk 72EBlrJ0EpMbTYGhYJ6+GHu42Q4C6qApieuvSlyU3uT69C3OQXqSFwnu9YabEvtGpinkysCvHy7B sG+MaiUcJEJRlAdFsfYyjxKcknk2OGIv48RQPFwn8x4mUfCqY5kFeSYA8u+Wq1eD4drYRFBOUJPo 5Hw9Cl+3chuY3zY6mwDuTZG960iA+Yr440TVXKf5K3k6kn1jdO0QrSE6bKPnwWV3B7FOno3y4HuW KFrrchlyDHCPAK/kEDBSTcC+eMMviy3jXL7RfJ1EqAHJ8NUpNKORybLhGNPYFtGfBYi8AlMC40dO c6hZe02Nf57XR7EJ3F9lfxo1J7t4gI9xIM9GELVf6Hl6F+O5c8II9rxsSY/4/Pjy85ME39iSaz8z UuSxpfM1Kr4uECNl1+mj7fYTf3coMkqDT+DahWOUwr7WU5/fGen2iUHit8R7bdVHu3EQgTfLqtR4 NuJ5zm+LS/p9udw644giWFqMy5Kbzh+KE6O7g7PMVlIR7Igqr4IwyoBgfm0IYGk14BSy1MxifZIF cTRgN9G+l/D0L4yY0Sodkdjnixw9H3Xie/3pLDmeDQLYZ5GwJtCfHhc7P6IIll9BbhR01PdrsO6E t1nToFhceMNBWSOuvepsVa6bvx2uhu5el2INzjWCaeOXDjZ/SEL5Qm6UDo+YrYXIaZUt63V+Kluv 5AddbfBsUHvdv3YOc9Kb90JHCHjNskniVP5jruDG7isFXEQz+EBxbZIRFV8hvMwGhZuRA7aphFTO tdzkg8d1ZhCTzQ7kr/7qWzBDvD2A7gk/kJUA5kuyGMQYCrjO3PDsvwr0P1a56ldvYsRkrYV0jWV8 rmEjLcLraJzzBWWNKHzVYdIkGXBGfbAY0gnQohjSDU8i1SJJctRP+cL2zl/g3VGzvEihpftoK6Sn PO2+mY1n/8kYZ4dRGfKwZETBK8CGMVzSZ0gYRBhxNaiEEcd3zqYjil05n8EpZh9vcX6mE5wp70NE 1myspLs/BNV/OjhWiR5R3TXDScKUDz2S5WcChYemYvI4k87D0Qq5uD01LCSKdc/WMHglSi9SM2Mu CpQTFV4b2Y4kOAqaJE27Jgpfl5FNevPRcxwSpS3brpk2AvVwGzATy+i9SzLI1wmNaV4fpH6K0sDi 2mgVkxPJ6hqlRsOz0Uni4WudPilq02lSQsTrlBqjoeOJodayo0RuW8RvrJlqzb4RYV7JzCayLD76 lXPuuyo90yrd9CK8ErhTmY3uL4Vt2idGNw4niSSTx5VMrvVsy+npzuTZiPj5iAvoF3oWKx50Nich gIBwYi3jVcydTSQYARGHransocBkRNHrFFgtZxuXh2pn+5z1k4pmZFA+oVUuhe5m/IB74QBWyLw+ Ckz427Xd0eqv6HbqF73W5tkIOn8pJ8pygInerRMFr8hGz3X9dBT9/BEZdEwnsOXrdj3C4NXoN2v6 eFPhhXUm5XNKKdKQPsnxOc2X4eDvhCgw5+1B7GoQT+5hjYa8SdVstU86WXk2yIRr/4JeaQcPryKN MHRdgrXpRsExhiQNeHSRvnxVsxyzKoXzIyy+ioQje0yNHpQgX1NVLJrU+5XhUKmna4SEd7sFaSs1 jpipBQypSia5PsaLOxsxFgMmno2KA+O7Rgo8gYudn2HxlUCjccha1kfppEpvAYHahmrLLmtYwWTG GlhKcSjCarST5OTvKMe7LkdBTPpHf/ghzZPY8yX0TfvGAEyBoE8TELhdIDBQnqRGDoIXnxkBX2f7 Hae5SbJNSRS7oq+zyzRvpa0WIweJaABdhyz2q0qDZ8jUgk5fhKnReJHRhNxblm3KHFXnlcUCJ0/N T6nRhH1myNRSBkzqaaPtm3N2Wx58jiWejYBI5feMtC9oYP5NZkDtcBWQZndvUbOlsTJsLha3zr8S tSgr+ug53zyH1BJ+kdmo5BqmgiWW4/yKc6jXN+PaK4ZcgCZs1PFRQA14Q0fPRifrJdWz5eVclp5F EkWu1C52SSaefYIfF44zr1vQSB3vLJuSuPAqJLAmpj/pTdtgjeSxMEhLAJTCSeH+xY3nWSK2aULI AG7LGe8BG60ssMc29/O2Cs9G6Hm/f63PIe3fK+4Txa6UdoEMeHGxbwn9qr84jC58wvC1TJ9jhkQt MaX/O05rqDfQuTAR+sHXzCK8MoYIv2RuDQs8Q64WuosSYeuPFNtJTTOG3yyYc7jOKHht6y4Tu62J ZfMN6WcYvhJimx8f6yMtsegpttACRT66SPJaJfoZ4Qb6FpkPRI3Gewtv7FCXigMoUwj+iEYS0KSf +fXMtM0TRa9VtSPMnm3UrGCtItJtO7cKz/6jgqRiPpF080BtRsABtG1W8SxnyRbBpAY8y0H+0svR M6q94lg3KRn5aBOSaYasITzn+a1WTCunBBazRGLSd0Z0Cc8oeJXyQtrK/mzDCOiZluBI572NZ/+l NcBTJ7T4WVe0ZIZ0LQTPerkwz3Yd2+w04RtT82LJDLWx1OozgZvH+PCE2wVcapWJUEbZizsYtjj1 wfHo2xrIc0aFV8FtcoX6qdHqR3nLaZvqX+fZf8BthMwRN/BeOBFwQJS3ikQRewXptGFcz1ElmKbo Ink0P6PYlTIVciXLRwulzk3bpvg96EIVpPnZNaCYVKVP336fykczCl6pAfcK5sNGzQlCSoaHyLnx bIQv+S0JJUOae5KEhK0t3Gu97BPzPEHkcANMIRHOxPMSnZ+hzoDWB1VOG/0kOYf8+R02IW5IfZIC l7NCELF7kMCmvjhjiSySvSapgXZFYs5vv+tMOenq5tkgTuv5YrLYavsH9IwmZEWhK60efpFWdU3d i0e9OeeChM8BWSvCDQydIEkI6fTI662BdHMxi62O2I/kbFki/FwyVfZqicRbV1h2hayVgD7a6GWH PcwU84Q4PBtRtm6cxq3AoiL2tSkJy65dQs0mozaTzKoBO8iNnfh+gsdUVLJC4IDEsbrUbfrXjKyX TBglskVHGVYyEPRn6XZm6auZJLTEflZYdFWPDwipjbfTt3SSUKHj2X9hkPh9yiL6krZWFLt2+4Vt Y72i8ONJHzma2n9VKtY6SlYMHVB3XOvEHCayMVBaqkRLMD9pbElbbhpv7qdLhq9d6cHM64OyKwdr rUIh1YtCGkN27OBLqj7tH/H8sFMHswifk5C1RampDvMaY3nI+HKroGmcFFRSigkgrZC3pSjNtLL6 LR+12fhldtO2GXOsZZYF1O5EzUlfOcZqHxnVXolHiD5ttFL0+VZH5OXNs9Fp8kuQkSZfv4dJKOZ6 5ndzzYALXxL1Uoqzt09NQ1ZG9bQV0bZo5kGxyD46vgT7rknnFKHf0QhuMM3JogDJWuPyYRWUrAg2 wNGNCsXw0SbkLA6rwZ6EmGejoKT+2jmUSy/mZkWRK70vF4KSIpTzLZyEUuXU4MXoFesMdEkPVh+t LpDm2RViV+FGMiWscQJYojHDWNbHoUEc8hWhBkiKTr4nM+Z9OdMDXINgs6MPno1QA5dl8WMnOs06 m5EocAUVvaWR/UcU62QyJSeBNVQnoIfkduYrjFxNB7pPH+8FnLAcLwJSnLS6qKAmbg8QiJIfiKe8 m3l9MCUdLhumOoymEX8ChNJVhMDxhGcjVZuHtcVPJd6uT0kUuJp5NpdBVcDKHVlMuqUoFAEoPt0g aMWYVyGQRvfRN05ZFbCOwo98ptbkKJgTY+J+VbIId3n9XxQ6mtVM8zWnzWw3BWpnyng2OF1vn6+q NnAOicuJXaHWQDOtgWJGhklxg8qtQ5AKUmqzNEx6SxSopRelSnTfB+0+AhBotswc9wP/YvnZzzKZ tkxC2Ct1gU4vxUZL+U58V22LrsqzEaDxt5jaCftHv2dJWHmlQW5l54bYwGN43/NzlriGy4rlXYlJ p66TeY26O6YDJh3HWbIAGkE1GYJ8nbtwPrV5CYTw+qixhRxUkVxleSp2JyjcVgQpg2ejRdKeUC1Z BQntFM3JDkEDGTX1brHqkoSv5mRd/47SrrzcjuquynAqgaqN/tENzIDVotOZZKx+Z4a0lRd7sD5s cqk88fogwwFakrZYNNvNdNv5Xc39yJnuKHzdF0jh2njpG6vt9/AV2UMibGESpDhQanXFgeYpMfBM bwjvWOO1i4UzfPS2FqTgNQQiQQ8e25EpOsvJYgWrv5eODFR4fVAtWSrN0ygvD1393L5Nk3KOzcmz waVTbk9YFgSoTd7i644ZW0sBbFPbE8zStly44I4sUaictlOodxzA5pfqwLm4BjAsXZYb5W8FuDpy KaU+odrY9onBOuEcmZAPbLQpyUCcFL6exG/HjK36q40DlOlqRYd8Lc48YdFt49xiibTOdc5SYTep 6Bg0wOrAZM1Gn451tnmb3frBfQ5Zs+nGQSy1iTttfC2VBnYUu5q23BAzdsxHv9MJ9+d42TwbKamN X4EJvcJLxdkh6BWO9z03xryKHdUAnmxBUVi5g3dM1hLaVbd+2Q84+qzYs7q2JORGSTRFz88BGINm CsAy6warycHb/0YSXsr6lmd9VCqrrZCTCfNspDrw1I9Mu3M/B0kUu1ZZrJRLJQBhYwdJz7qEOrID xWWA999BA0gn2eiLBGuDc9ly1dIVFgy4cvuSZP5Ci+9knxgEJdnMhLuPVixZjiQ9SeDi2Ui75Fd6 Q4hOMOpTEkEGklpuKPsL6Vrz9qhkgMGociMA/arodYcyWcto09PHOyUn1c2As5Ez7BhIsW/ErCOc VJnRCPZc+Lw+KjNKoFKaA+NqDuwzr/qnTq69ePYfKQ43PCD8G7zuMHiFwWqIASpJT+tCH2mIgeX9 8R0jBgwEfEcP0yaW0pQ0kAZOwkWDMJFkZ5fvzD1IlOHsCDEwxNhCeMhGhzIWu4HPqhs8Gwm89u++ IazGi8lmJKy7Ug5T6AiBDcVfI7CNqwIMWMEPkjBwNTmXnn30sgDtU3nOne0Bw5FecREdFTWv9rW7 keLtDtUGFKaRldto2+b8V3VBz67RsxGbYN8VYpcviv82IVHgym12zr/l5TPRmc2mMGf7b3gauS7F jiAD05RcRGM0qLK6FWvi3EpUOQo0CsAnRfa8OLzW52ydrdk3RloDnCTYhNpozWCqn4KKrL54Nioy XkTWlUFql6+VUxS5IhB5YgaSVdLfBoPXMQN0koQUVytIirfp7/quyuo0esPipNNnRYjZeG7dLTWP 1NCIEcPi90rp/pkBjQ1SfYNGe9IDfo9SG1CDSLlwy1NPR/C9e8YqKYb5LfavTU2omQV2AOGlIfc5 VdM6Rt50R8yjgItfXp8plsxSWKKoPj1RPVsGKKDQFAWtJR2ypKTn96F/ylvlNfs3Rr3yqR+++mhw itm6YuWTNjQ9HIT1/UE1Zv2jwDl8Ut4jWGsnL7Wrz85Za2avJIHc1BaSCrQJaacQ96pmeXlGT/8g 9HbduBNBE9mcGTQLhC6w41sjUELM+yOK/ZaASfHRSrCkmkKC79b1cBCiXEqbrTHKxDndaYmqsOP8 avcY1ibfNPdVTVotWYWp9CanQqS0U2io1eQ+V5aPfiHTrDHQ5ERfXLCKAotuU4htj0gjLEH7xohD LcWbXX30syWb4H3JqenhCI7kF5AknsFm7/7MShTLUhOjNGx6lVBVzVAKYPZSxNJyy9egPEW1WBwY IUhvHx8gAX0uiYrRmFLf9ZzB/EMgK0DyuVRyW/6ZQcV+KXDTobvuoUvLXBzGuhEZTyGU4FkuRIcZ 6USaEHdqoqgWCDxH4rRDBRTv9S2gqQLqZCdByTU1YVG2G6q++Oi8pXZ+6izVxoVvQd1WlJWG2wAh +pSW9vTPjEg65CzCOmo0MOxJKrM55eSmhwMXlCcdJL4wo63vzMRaBPSzW3ZIQXGAkmOpr0qDnzBR bDt14LZ0x7uVFvR7Guizg8yRNx1g0EJIrSVjvUBxe/T+v4HJq4To6uOCXs2GmCbw0sMRQfS3isc5 np/CQU6hHAG9W1lSClUwPVFuhrMHu72r01JyigJcgUhr6nf0jdQzxRWV8CmREQNNIQOkcyppM93S BWkN+8iI5gZCiQOqm/rUj+GEp0gD54BvevifWDat4Z+dn+glinH5KyeCrn7cVqFcTHxNorBd3Lpr EZMiVYIqWHTV7NTHbYpD5fwcWw59iURAeDZwLLTmkP711eI2lynUg+V+rkUYg3IPGP5kAOhz7uvh qIbQfhVVzjTu55KOIl3UBHPB20fqN6h32Llbjaezde6vu4nCKq2QSqI71JKf2AVBy75ksHR255RQ RqajlqGvaalYgih9b70/qqwIpVSyj94Eww1Pf1JMFzoZtPTdRNgLUh62eQmduAhX5nKHy2mJkGwG 2rW0f+S2c2DExVVvWknLRz9azk5cFK2FuuiSPj7TA9iA9sCqT0RnLiCBERd7iFsasyYbrdwEXEm/ 7fMCPRzJjf3S6oN/iDSPT0oIkaXSMbslhUPigyZQoC4hsFIhAxTmBl5cBsabDfNQG20HITZ4Inud t6md4E5q9hKvmCKOXOF+lVdybMWlc3Yrnts3nlNq0Wx2qh4Ootx7CwnClaWUfOckjHKpywrHa7p0 cmOVtIfMrileq7TsSyWUh1UJ1oxB+52VNs/lgouTtD1OJpAANRWlEKrWti+yrdzPjHgZhCxN5Wtl Ndo2A2Nrnbd16OGoQ/grg6beM243LAeGXEmd9VzXsjg3V5Xz1AQTXP2PJCfqNdIJPbmG2NatZB9v K3meWJHyEAR0Fg/NdYlCyBBjPbKo7lMW2HKxhzhYEGWy0dbLsOfkkq2H/9FM1sFCHHEBBzk05qLw tGF2odOgNFct1DXVQq4giLM3k3Pgy5UMOFizSpT5KVE22FhNuhCUr/va5u++LIdd6nXkr6RFDq25 0K9FeDb7eLuDggNwvdvHBY3C3zELhGbQUj4rkWAsqdcyJCR/2C6fy+I3CaWisqKdLGGEK80+WMI2 +h4iKt/TeuwJArbxRgkzz6Xa6AE7Wifdj4zOFkyaitCQ5aIhqaOaDu7Zg3r4Xw4HPxIqA3Z8ZyXk e53Te2O7JFP7JTndLuDBMIm6qUzFZiVEHoh1jQO7jRevg8H1VCQ3aClR21VfjGSNibkHy6z+jVFZ gUCOLoSNdjOvbkc7PWs9HB24+QYsJL5E/Y/TX2DQ5Qbn+M1bHaqbKgw0WnwqkNE9B0XPdw+FLgcm VmCCoE/IQjV3JtOGPfHtFjM70ybUGV/WZaMXiVfq/cFqySJrcO5qvO8fgqSiYKyH/6HkoEUjC+gn lgtsugAzTYpCyQEIIG0UyiU1ZYl1J1bIPi9/hc/Wuu54OSxpyExLklCl6P42s2fW4HzU2aZZ3IU2 XSot9C4IQr9WzyfNMR8zWvx6OAj8H3CkGbr1n/3ULUOnLqrRSx1hFOvqsFuZqtpjeVDSvZ9jAK0Y LP2OdiKehPnEeE1Vy75QH2a1LPFYCDrbehCjefpHBi0QQc+xQbPRKy6tW6fsJAN6OMieyy9Ld6tZ Xlp6Dr260Cc6J/rF4wuRAAm7PXh84ie7nkOzrqVibpO5bWtPmgiuuJqT+TpxSwI1WgTjrCq6PIsl 3W+M+occLkm0Y2mm/YjfQ+ZJ1FJYLIFb128FLn19O6tg3XAu9OuCSHt+dzwCcaOBVRXGC9ksFWDq TmI9dXtNhKaVVup/e91tcMXD6lBABiQfC8QiK8dqT+gvFQ+9P7qKlmzuko+CaOCNbqJTuUw9HIT+ tw6lMBfW8VOfC227aPSeQIj7wkiUy7LDIgh9VZhLa7WY6WwY5kq8HL1tG31eOrLRSxJLmN8l/PBS IpzDEhNNbyvnQu33z/xLEWp8R83Lxv3GCgyj6eEozP3lL4MaZlZeZBMTwhJOhLvS5XDMa7g61Ecx K4Qr1Z0D7y5OFxFtVVt49BeQTJUFdBV7P6dxoi8kUhoxOP3VRxlFJFq9P+g6l/nLmsnhgQ1N9619 lO3jovT5ni46ZrDam/M5XmJDBKA/KsQJr+0exWXvfcEJVxwlBwZeMBCNYLp89NgFcKTliic1SgRj apnorBDV5K6Wufwbo2a85KfUW+xOVodrYhplKPfq4X/IQHLT2RX9FP9DFy+q4eeVygwlQOvaF+c/ deMSopZ5HVdDI69lx4vpQV7LvrYSfYU2DMeCWo95Z2y5y5k6tZVb7rkbRrpCGy/ljOuhdGBdb/X/ ZQ9HcP1f6m18wr5XdGjl1UT6r1IV4mtpWRtKQUcgvsUdyUCfltiJdv2viCr2HzJEoAc9JIjRvGuC DslXg4q9oJcHPXniuTFFdpnXdaYsV+Ks59bXw5FfxOXLiQ11du8ozxaKCrkg4uZeptbGLeoKmUbp Vw3q9qBzYOZ1A5cin+9yfb7buYWE+6SwUNPJAwAAFWpUaAIL5GJ1OYHicmjnJc+3kiSBmByuetZZ Ny9TtG70cKQw9Agyk+cgLXKnJJSWRWpbnQiJhk4/VXrNtyyHm61PSeyMIGkLqQw95pGQqNv5EbKg CqiH6jTmKuI3b6QgU0rp/okRKk6R3L6jVXD78u5xTlMPR4fKYxdhAPWzYtazUKIIVxpuyfx5ubVK smAONEByKCWNbd8+fyeJtXzHS2RoJCvKn9O5MFQBz9xFmXZdkUueZmbV7p8Zie7KB48+tEZDg81m R6+sV3No7fXVS2FeJpH8vZtDby8d0b0q+6EIUoqZvp0fAUCwEAtWg+r2mgjaIuc3RIuq8ZV0C6HY 24x1uvB7LCYQoe2df6QEmL+ykDk0+AI/dOJ1SpbtliyrsgqxxdKYejgKcte35F+QeP5OSxTjYv24 sVqh5L8hbilh3FPEjiq0QvE0MTD4yk5omCI0zC+hAV1IoZqJcBPgbDm0bjEdM5Azu4GEfdPrI80U oFz8jm1MXo0zZUiUK/XwP7R1iCugOdMG9EmJtWaR61iulmkexnJIuLbf9RFzy6HJl+C2RUeuRse2 nMS5pGEOeARgVAoTND0px8xbsdzaUPaR0Q4SWl3a5sXrfic6HobnaGdT6uFoVrwCpfIvOBIqgj4t IWesw6Ryh5XNZ6qciyy5Kbv1pFWjtRJbfZlqyvbRDxZKUEUI7LOEVu/STiGqLWR8+9FzA59o3xiE cbJwUgat0Zvyo5uQUgPZElh9mRrSl/2CffGVzMyx2Vc3PR0X6jKHUyGyJaR7/hs+CbfSEvh9JQcr /LcCL1ClExeq0gLXGkAkCrwSTcYpJ11RN0XEen+kBSF6E4pMGh1FSEvOfrChhyMu3S+9EHk9rmex xEgF7iFMuQnZ8E1odqAUcogqt4QkJrN2USh7sETNVt1SYCE7WjCQ6bZcGkgxqr1J7Zd+O/K+i+RG kwPjL5MXpt80fLT10k5UbiAOqgqB85fZLH+VeBc6NHdaouiW+vASeAnGcmlPpaU5DSbP7x6KYArN nANNO+QrpLLbPgGJ8cQ2ViNdFQViIsLk9qWi+mIJhbvAzmZ5QGi0RgioeDO5mlsPByH/t46LIw3u ls+shGVcsjazHT2/aDOimerfXDw/oBYLWf5i/XUb8b/b8ecMLGPpppknJRxkZ+qj/YimrKaot4fS 8o+MFN6E6F/JR1sqJ7o1nMGgLBd4f5nJzwPpLxTYx82EQucvlGtOGiEEIWIIyZw25QSbjO7fqUkb ljA0/1KD6CQny8dbUKiLKq7wYednqGJg8o9l1NzLV3xWqod6fwQQQ5G3S0mzXwUvaDdmkF5oswb2 X5ZJPe1EYfiekCUE5JLY5y4dYgmwJOl10WXNoC6hHg5p4HV7zd/u54XYnkY/cc8DyCMSyGLxjujd yZCz8H8I5T83kXy89P6/nSwqK2jU/ZyxS1Es1rTDoyruuLG/vr4Jd+zI7Rz6f6mLIaE9ib01L253 oa7cP+8WtwP7L1ywZeUrV5Yy7y7q1OUqs0opF6iCzEfUupLm0U0SzesqB/ZftEnBKSSr5d7XU54x 7n9dSw//IyPSUu8/6H/4nMQ1XPQ013KYQnM/FmMuCKYg2Q8tldAAbI0X7R0qzgX+lGAJ48SGpOhF DFqoQr8kiRQT6P0RklDSKjKMqx7g0oczcYTzjxQ9HFxC7RcTBN7SdcLOLYpvIbHms80d0p5XNgIE 1sqGcqmCsvlS+bsiwlTkMp0biNfiouKvtvs5GrK5/+oAVuf98rrb9m8MqrdJXpxqO7dbNT8XZ7Iq 44l49XCk55V/BSwSGb3lhMADjHKceJHJDSawC7GW2ZTU5h9BdC63O4c2YMQf/8uyO7nc2sMYQ+dO XtCpAPr3H2waygOs9DsocAFLanp1WdbZ6MDN7ZfIGFsPR8Xb/2BRjR+qrj4rsR7t2W0ghinwb4GI iVdWF/62E/MLVqmFEhLLtpG7h49+A9V9UkSQmgBazpohiMu6ECTNvh5louH7JwxuJYswYaNptLWC T6wJnc2qhyNR+CvqdassnJc+LSG7bKlP5hliydPx7PVhM7d98eyBFdiVRhgSHxoXEnYi5o2jN13U SVVuiP0gyCJdtTKeG8gv5tAODPIwxezko+dCyfws6qYgF/qBrW8DBIyC/HnurITBbabpjFrSOVm3 HMVYIlYwUuAC9cUqLIEhWPbF8l9hHJlQ7xtMjQzSqLJwGdPWhsFc5N2SbAsl/8hIaIVlwiVjo2VC 501mFXE2iR4OSizrCeOSyd6oJu7zEmp7cRwZdEthLZRHSSQkgNjdUArTxVZy4AtmIphnhYka0sfD WB1nxaShTsco5xSjpF26WpxdZhjXf8NSocAazGi4vcHMt9Gu5hNgJu/Gbz0cFLVL/lWSg3bfPL79 vIYr3cxyVu+WLq82zRYafSIvJ3De2h56DVY6eJazd850Fh9tB+HCiesVQEHALMqqm+6xJFaooLlf 7abXWKUjZiUdVqr8Gi++15AQJ0fk0Zfp6I5LobiioBmCBtUEhUbBbCz66o0ivpRpG5F0Fp6/qr1K lt+vnuRroNLB9RO2LbhmGu8XU4hDT4Tq3GhFyEKp5QvGJPx6+YVIfp0PHC6xWgRZYqMds6nI7YBA f35eg5QOtK7bfFjXUFVfm4/XGKVn9SqybB6E7Mmq39JkPqHJNpu0XIX7OfPxGqF0D9wa8EMbbT5k OZngwZ71MQBqcGVDAVkqOH3pZaJRvUYoHWzbWRiT8MtGR6+sWSyUTe3zGp/0x9RIe+WHlYGkHbPx GpywV5YEE109I7vENQANr0jmPp2n+hqYdAhKSOFRa7XR5qJhFAkfADcwRFyR8S1IvgGlNMHiq6sp u+NgMhAQ6FJt7UqUlAeebUi3g7j0nJKf17ik50sS4tb8kYrJD54imo/XsITdQqdobte1FpVIvAap 34rX4LZ6/fMalHSTuqFCvX280StddYlBn/+8rbIkjkzG7xNw2N0rEjl7DUm6c7vPTDQflf0V3N35 Gc/uXp/XgKRbo1vTYQjbeeIv3yuv0UiH/kk3Hfay+AzFiGRAhQyDPYi2LpHsNRrpphx9VkbePtr6 GDKSV5lgcZISCmK2SOZEji048hIGTr2w11jEf2HwLpuPnvfN4eF835/XSET3RPl1dogOVHy7vAYi HL9VXpPSdJPH+xiublakSbQoDAGLksx3NCGozfQ27+gTkk4qnIU0OkcpTD22lWgvVL/H4xcgLYrP axTCfskyx8BUcF4feZKoZB6JLefPawzSH0wtOqumQSdJ820z8hqCcJzymasZ23Ku4dCUvjw1Bhjo G+Y1/NBhiker3J00+mF60r3UpL6zMLNEGsjMSUC6j287g3Pg8xp79OQRvLzBNdrVspd5BuPm/nmN PPisfs9SdhYgneWHx2tdjcuI4sVet04yq8+F1QT0gQpBkCIKDlMzm5Q3vEYPPLBjRiPWEty+RRRD 5O6E3LIReoqNU+bxryU1xTUym6zbR50eoMTcg2WNz2tBjWNNrDnh/ixP/iGy0Hy8FtRYUATquV1e SxYI2RxJi2U4yJR5K/21nNa9YXxCouKjs3J2pjxPbejkvBBfhPliBYN9JQO6BJcuOZVwu3B88Fdt 9NrrbqqW1Dp49B+3ixKZ5pJmCsWiyJTfYIbeZkSojkCx9YobZVHi9USz1eL1KDilWY+rRvbx5upn Ugd4N1KxJaQEdOVJhF1+GydISPvzzvjReQ14VjIzGn2NlFW9XK9ngz1jQtb1xxoVjHgm2axEESrA tj00ASfNM+3d2yoW83RyFhrjPwxQqaH1pLA9pbtvaNCdFM/OTsJcrqLCfYvdzQ9Q8scwz6Cz0TIh nG1dNgH9sQk456tJHKJW9U746a5jxxQwI9TMC0UVm5IoSEVWbstH9KyOrf6RuP51meoOOn4PKieY kq1rRkwojd4QhSg0MMEAUbCaRHgl15vlpvCEIdNIUFGQ2ocpRjYf7WDt3XyjzlIEBBkFIuYlUVVu JY7LNH9tRqJAFeXGPZyzsdd2SbM9usVqZSgFs6ZfcLhSLj1Xbko+egk6rfM7rYpUB4LHOoIpI1KU b+lZIsM/MEhicJIACOajoZTYLpJTOfHW553j063OZIGq0VnOWhl3Qt4jVYo8Mgdtzgkbqp6IoSA5 tT9kydTsvVYUTQltig7U2UbfNwLGilIx01myU7FKVpMYiMQtyneFqu8MH06SE82dlP8Z/ege1Xrm U6XWYEqMU+GZjCyJ6ZXYlEThqmSNJd2ickjaahIDj0UjY4jpT/Zqx+t7vEqxiaOkakrqMyXthJQ1 Wa5bJg0volo5IahO9jgXDfvCKHonLoMnYKMtElQLlemebO/zTu5hB+Rf9w1LWTpzNiPv8SqNZOxZ Z/tvcUQJh4mWIJizlkgUrkotEkKfj577IyHauXAxYBlz6IIGt5sxfua2mW6D5l/4PiP4+GH1Wny0 k/WEecISYE7/eWf2KDf0kESRJdkNrA7796J4dZklmcerO/mMdKWT6gjP4gnvO6vnyfDkWqzRN80Y 5whNuoBPLI9Tijwoh+y0SNcedJ9xbt9jViTXoPOIplEvTYPMeZm44YlLP++cHn3aFeHVkqCvVfq9 gN/jVm4K6nlNzRohYx1qUmZTX0/7vV70QBS6Dp2u0n7oX+0HkcCktFHPodtQlKcmAtKEjgsl48ep Z9hHvs+KLLCrALIaveiM5L79Q/nzTunpDwWsWnV1CHPpcxLFrl09fOn1qUy0rv+1WlldQBxgoF1n SRy8MhsJtKNGv3E64jI0xypVnY0vHpIHsmaVjtfTttnDPjKYE2qqU2JEGi3ZOy8S4PRcaevzzufx kOZ7nNCkv3vnnc3DXj17fKnlDMkpzeLAWBPbEVb4kpzeyTzdu+Nta+/sZ5XQfstTRFXsE84fpBhI xZpKXRYgx8J5+rmfdzJPN2lQgMHdR4P0NROHM/jnO5fnP/YOMwNYedxaYhS6kn3N7MnMTMUvY1Pi oty6Up12mrzTeHQFmy/r9vECys+JJGxvnTQK0zI1Xvkqyb/skZPh7vi8s3hUbCZQU2O8PI1xlFYt Ljkn2OedxKNf+HjikiSYfbprJIpcZU2JhaoV3c/XVdd6mF5GqqWqhdX1liANlhMpYmA2erOTFvCW 5jMmfAnK+EmD2ZlZpqT9qZFIL+WdwaOqAGcJuFEbveCckgBy6CR+3gk8Cs3zd99w0w2/hN/ZOyQA S/nQdVSspowCVwLRZqmsSmFT3nDv9J3ukgbyYLTRj5I98MOg1wk5cMANnk0xNZYZpT+akZrGzzt7 h9eDKpmEgzZaHS2v4vZuc33eyTt+DD3H61DSD3dfkxKFr+jQCXYok6s5DPxJ4m1KTOcQW/csCSut dKQ6aCsb/R4mkE2iXE/45DK5arCEYFSMB31Ei+7zTtvRttH1C1RNo7Lg87pl0edu5fPO2uEmXONX OI9Kzbqx6ztph0UyqMcOOzbW6q6zyg9iZ2vNt/D8zth5ujSCq2l8ulaFCRFWfHRVAkENCAfHP6GJ Mhr2tk8M4pIlo2dh+MTA1Q3cTM9KVqmfd76OB6JPOE/chs64zUgUu/JP4KjY7UxNgoRSDsCT1P4k DwE7Xd+jV8MWAwGYPjrEhneQFqswMIecJqR/D9gLCeCvi2+xjwwKamyYOiCParQbeK9mXjsr7c87 X4cfMP+6gek55Kcw8E7X6ZdtAEvcKkiruc4FEphKdppOdjte3wNY8wSZWF/4aIkZiognnWZZT+w1 k9qbw1Eu0oy5ZINh3xjMCfHriRzvyNs7YuFWU2vMyXv8amw5q8nLhZiRYqdNSlh3lfnHuH7xyaYn U2jJ5hJ+MpR9V8p7/Gq10TMd+442KdIpTkAxz4KgV6W/xSUAD/CrYi1wwDtf5wZqXdmiRgtL1qq2 +gdT8h68Uhy4pwn/i36EMiybkih8JVpaqxhoxCkH7PU8XLWspqtR/E7VUWCiEIhDVuM3eCWYlTaZ aO2yFTMZv59sBASTn832hX/ZOQU1KRutPdFKvy5V4/PO0yHKG99aNP2RbowUNTrD4BU6j0nojJ/V 68O9UKcTpUja0No37ySdG7z2ZEH9w6JpXD5SsqsQI+2qabQbcc8aT1CiMtnnnaJDtXwp7Rt3tAnZ AmiKnd8+7wwdfZhvG/2aQK+IeqgJiWJX1CfPgi5eW2xyChaNaxdbLvh/+q55p+h0p/513Twab43n JEwUNghLTljMFXFyqSIuN9WSPr42Pe/8nDsjTb4J7fFNOB8nyi2neP+803O64TOfqqsYX9vjtHd2 jrqdwgP07S5oa7gzXMVRp1ptrTnD7Z2eo2Oky2/vjjcNxr1NfFZcjKr+7w2BF/QFy+OagOydXh6k N6CLlkoDK32lEGYSTK+eCf28U3N0tD5VVzX25CFlMxJFrpxqS+YPnCIlOxNy9Ktqkfvlnb/zcroT 2zpuljb6AjnB6lJKDPNqZxrBs+pw40ISUdyOEbPdfOfldLPVfjT+IFQYSQn1Bv6lmXL5vPNymM50 2xXseORc6p2SKG7VSjb6ABlHzo77lfrKH7PBcBGhd04OvwlQbx3OtI1+/eaTXyMLY2qQ6h+eJaQc lLDqar9L5OOdj3MbnnloPsb1Rgdx3dw/pH/e6TgK8O6xqoiOEdEAm5D3wNWgMEYmkKhqkb+iMAKy R2PXtPrsmqjoqvQWxqaPF0RyAhJqJaLjzLQlSSUndOy9qyCLVpxf0z4yCF1hhUK68dGzG6XSfOqJ 5t/JOFokN3S1fXJuuX1Lau90HHYOQXqlZ12lTJZ2ujZozCgRW6fgo5zvnY9zE5wmvo3Ge5b0dLI+ wYnq+Zy0pHhI3E6Cv9XGsZKayLLvdBxFJeR8JGw22vlajfsN0Kh+3tk4+rVfbI2tsJPEVc/53tk4 Kiec44QOvnHNR7l6h/c4SVKz1HES1l7BVDUpqbaqvQNvXxpKu1BlXHvvhE4DZBwY/0Uw0/n0LKR6 8k7G6YZlBoHffbTi67lRLQ+utX7euTi/82CjYlBIzuM2hd/ZOKqAYxXgDNCpJMLYFdV7fak+h2xY e806ZMFg9cflCu+sc65Wqb0n1D2lzktFCiugn9Ye902zaH1n4nRXxpc1h412YEFEVERfmj4tyvz8 jOWvgl0B1mAzEgWvSFRm2diZ3KHwyKKa89lqcqVZHcL5zsPho4sQWKok7QcFfX4hJwiGtXnSvnFu XYkSYJ4C+PfHZBDHr3Atqr1K5rAiU26jwX3PQWNHykmVPu8sHD7tXsWKzVjzzKoB08L4VQZGSyZo S6n7FAOybOR6wfpWBGLNR/CdhdOdy/bftzHSsmr3cfWsXAQsQ2gKHTha5lzTVjUx2uM7C0e9bvKc Jf+DJTl3VU2m2cHiM/F5J+Gom3Mnhb3PfNzE752EozKh+MJT0IkNCWmqTc5POeSUvraDsd45OLow WSBD6KPxoI/w1hnFdFJPJiyiFtJ2Ut3ZLkiQvsSkdwqOo996A75vo+pIgAiyebH08Xln4Og+Tr+K JgnygN/G7wwcRWx0S5sVpJ1TDa2iFBPJKY3zUBvnnX6jla0SvYpJ+ylID5FutyR1B3pTYpokTGgQ UQId8gg/ZvvECEshlK7wA/kScPBzMq/JPtbnnX+jGHZfCGOS3ycHu83IewxrfkmSwgfqfML3ZpUT 7RrpGw5UXNTaeufePJfOqsVHn5EBzX6pNIBHehPcF+iG6p90CR/2TbNP/Ms9XPVMzRfDuCnR6R4+ O/Lzzr1RW+zXGpHnSb6Y33fuDXc3wchIF9w60nZZoLy6VdtYqh6yvZNvHIBwcr5cfbwwpDQSmugi 38D+1NQNgPukV1zWdg13+8Qo9QNwA0jVRp2Kjo9E82X0zzvxhgX2RPVCQZtVkCd/78QbVVlwYzHR S/401KMoMsgFO0C9hpjTFkoYxhpqYHcfPdlBP7ZKuHzuEx9PzRm7tHZf/WYON+0LI1g40VrjsNdo uR9+p0bRAvkbxrD17hvdludp8C02IyHW9XzvqsvikoXUoEVr252/wA5Uh0L/NYDtVHJttDWyGr7X grwBGcjoL1lBheu3jG+q0+wD349W8YMpbftoodoJC3WonL2gZ6Pu5y9QlkgE6Zbo39k2jhWc02X/ 53b72nNtcRpTbkxDoKyud0T3rwR8VXld5bltFoSJRpfz5IMne+Wk6ea7Mn4e4035333eeTbe5e7n eN8+WkSC06ECrfOvfN5pNv3R07JjhNo89XafjyhwRTYSYqPPAz4MxvacUmb6o9bTZXu2EPEqJ4Gq 0LU+oeuJt9dE0F3V9JLBsJACqhV/fkAjEMDgm/aJASgLxSctiN6uRGLh9vI+zKo8GwRpTzwihReI OXfLhIErAXqpOVvWV9CiyQK8aq3+UQKO/5TWSFR3BRcxpSNk4+30rVE27B31F/qWVoO03uhPScX8 mhf5RwaIeTkq7n1HR9wU2d3LBZNnIwzSr04fztmEKEYhiOJWNCHQz/Ok75Yb+3rUtHb2xlZ/j1oN NgU+rfvo+Yc4h1u9mowwBfLmrUjmjaLant88ONknBr0+0EelkgdrdOgeb/0RlrHy7D/QnWycE879 gFG0GYmiVooRU4raoo7PffePmn6iIhSnjvcINDAUkYD0s9FmZCCI2pEbw7SASukSyaT/YLADDPg6 PUsuqkeFV2LEXtQS1uipb3NxvXMw8ew/WCaCRatR4XWBHhZe6ZMiBWsaUaVkg0XzDyUrIRW7maGZ RIVX6Vu2XYuPHo+c/Aj1BU6MfH6WKtEKQtyColn7ZaqY/SP/FqQBkulfmUjqUwp92okfeDagZT2B vHQ6+EWUepkVPeRmte5grKr1kSWYheoXqlRIu0M5KybT0aPYdaqLtzQ562mAkrOeW3gLFJ4xckOu wnzSi+KMh/aqqKRHsSvyHvQju49+6dStC+hsy86z/2Kr6dbZcBJ9TiJ+1qjZ+lo5/aHDxYZTY2sM 03DEeAABj66X/KUk3VCotdHD+XmC0rylqZbwyqtSMwfvxp6uX2NF3z1RCXZxnJwLa2hMFmKslatW CspLPBtcOsMDNSVDY4p7dllaUezKFbxU6wPlObObTc65Pekr+0qV95ClJZoFovg2ep/8BL3QB7Ii V0pSkiohm5rc2+np9kmUltcHabC0hBOsZI0+5c3UWGqZ+rRgmcz160Q5UeS4NK0ell+HfHW5GtQR TsXLr0lGlWwiBCSMVNDD6JU2eaNtbaNfO+fwQAeJuvOJwzPChCf0YU+T1Ez5ZbQvGLhH5VdEDk+W QIFAo6V9qxjOkwXJsxGE/kttLLrqwCzYpLzHr1dRjEC+KW6VjZ+RcE4YMFAqRDb2/F/5bUQRrLhJ Pffto6ch42z4hMABmnwNlytgnvwakITNXwXUkewbo+OEcononhptTjhmHc86eTZIhdtTqJ8iFoBl vW3QHoFfYdNs7IO4e7bRkc7OTklAJNIRTn67eiLogHxJcSTTyPxL+27O1apUZ4B4r+E6L0SURVjP p6pGWMXrIywSij80MW20SeljWaJzZopno4XiB4o2PiTM5C2uHkaxDVMalwrGa9b587JGkRz3kCd4 1zuCLigRm0x/bfTLuJ5wSYr8EKSrsJ0TMxKs2ZGumt8Z6faJQeonizx1hsvTGcY31XrlIzWejar0 7ddxIsAJBGjjfUZRLNfAmsvwnQ4j4IiVko7Y46LQsHNGKCqA9uDg9LPR235jnvRGvaAJhSupcwym AdklXODvRQzggLdHRGmitbW2j5bqzDQsiD1LjmcD5ue4SCQOOeSoQQHZjERRLH5MGV1I6/vpgBTo ZldFr9wKdbm42oirr1k/7fDx9v3OmdSSEenbVQ5evHdawf3B3SglHiFvCxZb63Jr7XfnJBJsKyO1 wbNR9fUeJyqaMPKP26yE8FfJ6prZNbmgZMelgAR1oiEynZdwJqyUqAKL7825bQEudsltkfD2fZIG TNok1Q4Qp+iyJraU/vF8OhfomvL2oBnKaTDxqLDR1uEu1Xq8J+7h2aBOML61tSQSOXeHzUlI3Tor c2Xv9q3c05Um9IilSFrF1kkUxeo8GdRWGdV10ozMs5vJbSo6DBW7lDNjnE4CTT0YvvPXeXfUMl// 6y6Ds5Gt/TEbzwaHSfXDpCYziW6SpPQZiaJY8BPDwJ6NDNBVfpDhddi4eagkvSOK65MgNXd0sOca CbEnqbA3/T+BPXEzMebLg39VTXpEBVikVgBmodFeidOtOI8dO/8Smuk8HKyStp58J4twQdXD5iQK YrlTCD+sKg+ioFq20yR2QH+LmrhFJyMKYyXM0WV3rdGznYGo1UxWOzkbR7pr8EIznAOuxbtUOIN4 fYQjkLMxgo0tpwddsY3JgEw7z0bnyd06PifPTTxCCKzI3VMVIxJj93hDRBGkKLFbg5etnt+IILBV 3jmqJ41vPWmuvKlyqwh7Mgjw0OfcOjGKHIf3l9E27ROjbs6ZiwVkw0bvsqKtprSHGQmD2Jv/6bd5 ot514TcjhBBw5sktmHvYcDiIs0qUhplJ2IopNhlRBDsVrVmVLQm1nw02fvIFEH6gX0+ioAiW3lBu anw/0q/Cn/D6qCxt3Zw7+p3TkvUezt3MsxHW81soUHGVPqpPSRTA8us68eW8qgOe/XX5L4jRlrcz 2kYUwA7hKeTPpfEix9Pe2a7hDPhVfk1QD5eUdh5GueguvD2IX7s02SFdaLRo7eSSkrHj4ObZICFu d9f02xW+ExLHr7KRoZbBWXpigG13MAYYZiPjqSEiHWEVVoIlLS0fb91kzxvBdijBKhLImYOQbkmX YnxrjiOkbgGjFynERkujumC56khUno2KBL/hA/w6Lph+hlXYprMOjb4/whFQR2ZxgJY5/00qUCit snNmLIsl6rSAa+0BrpU2aX8mkbd6q0lVSYmGEgvX9pRhWVm8Pcj9cJoeItVptMI0enumUd8az0bL 5GqXKFZjjdx+zowiWDLSTVRIeW1LEZJbeGFO1uHnDL1H0iUheWtbEWn46HWNtWn5wUc8AUUW3xTp NDwYOQ3zU3QcJCy8/i+V6UYh0EbbOWM5y/6cTDwb5Tl+uirUnSgyelwyQ9mBipnKtoL0uR3c63qJ AGMFWfnGMCMhdWuIK96Xj7e4Vs79K5fLuSDUSOJzaFUyOV+5DjmkzLgKC9wTPKGNglO0Exdv63FN PfsvmpJiQ1JwbiCbkih4peG8zUsHHMFazlMaDq04V3Fz8bQZlmAFGC8KTkt9amsIJWdJd85zWiGO RTh/jjiVfMuzRGzX/As8sH3UjEB8SKZRuQrPRmpyXqu3Hx8BBUBBNiNR8IqdGxF7ssRPQESjoMie G+GBmgSYPsfrjMJXgXWaDhSNHjesM9VDUHr0bypK+0Aq6A80fDTLVzVs2kcGG+fEPCfs5yput4MB k9AQPo2Wzoyi1/ZcOcyAeMDdC44zLMGSy2WRv7l8szTQ5SkqQD9g+iTXBJ2vEXxA1rxdZNz+iGrg MVDOjCp0X8VNY5ocRwAazq8/r/rlMwpe6a6ejUi9UaPm5Px8Jk/fzn/i2f8H61FKsDcVnhF+gJhi 1e3ScnXXqz3QmkvLrYunmFEFdlkTtN7R4WqNfnBSYQ0ng27OvQIid2mpf4X2kn1idLyancP20RAE Z0NrxdQTA35miCC4p4kp3ZyZ21cPaYbsLZb7HG62NIX5HFLwzN4LpUaoUG2Galld3WGh6hktcFg1 T0omuB5KklDIYWxJiIzHo3hrQM8ZlV8BvHXscnw0dHTZU9ntOV46z0bR/H+U6ZGIvYdrhCAQJbj2 bvLz2TIyKgIn8pRqKR22a/g3w+BV3WFCLxs9lJoysc7WFz2J21A8t4kIpcb4bfyphjSj6LVlQZEI S9oNS8oJs61UUHJuPBslwld4wOpNwJHu+RpStyiWSeVNSV/eKkQPNH+QUpKINrwcydDPWHlAgApp MtT6hK9nQ2QgnlA+zxop6voQ3koH6ME0SpJxRrErgPMzvayS+XhbIQZjMzLm5tl/BGpWP9o/CMxq QlYUu2IrMk3URvWj4QmOYifV6rEY1kGyIgTBUFt4SnxgricHlteU1VhXM19ZOsREyDrQ+1Mtmf6J QRacrHiUfLy956qlfy6UyrMRd8uLr/K1OMfqurWSFdZeqaunYjPCMrcZkVm5sAQgZmzXrBBB0AVV y3e0XbMT4tldDT8k51XBlz6/up706K9ItLR/Vlh5bQJnYjuVv9QFPGJUvDspPM9GYCQ/ScwLUg6D Xo5eIfCVdVWSLLcoYcMpEMm+5W6JYEUzzkoDK8QQiJZFP5VxeGngnBp9oUqhC3hvQEcSAbJlAo/q dvxolvD6v5WPwH3ZaEdJLsMEfM4tz7P/D7k5eEfjkSMM6VtNi0IcaUEJJN8JCWULD7u1BbLpIa0Q /NoVt4oyfB3JgSOhvycSytk6Re6kOJdRuhMdN30FGv0jo+prIh45SYCNVlJLrZqb6jn/eDaCaKXv nCBm2u9ZEuq7Jv5iB9G5yXDoe5LhaM8zNZ0YTvW0FdK3muCb6oOmR6ORgtJZ6uqAbtlfTjlAMvHy 3e0PMVZByYrgA+BYztFBOVqjA01SbY7Uyjwb7JzdLj7alEya3zYrClypNyiAUpQmm19L+bIBP4k8 vXq0Qt0BgfME4WtfCN9C1S0NwbPOtEwRhXWblSQ/o/pYNohPviLsgPjkGViDjdb+nF1ZGbSewbP/ gJio7EqV8qKRVhS3ShkhVZVaTdk7WWMrwYtSeLLKFb1dYeRK4b2BzbXxAj1PBrmqROU3965AfFJ2 AL9R8oP1HNSBeH3EntaPAoivAgvVXtmrS9lK9t48+w8lz3rbWs8VHAWuXBAWpqo43xHllNA8PQsi EWkQN0tvVgx+FVxNdLb93AknvjxxwErGyDnJjS5hUBUnzyDH+epmcSTw+kjCBPcKWZH1rxXZHNVE SM7k8Oz7xkFZ1E4SaRKeyd/rbp2QuEVvJtGnEGxNlCRJ3WQ0YXSaLJBftnlC7IByvqzKWn4S4bnH OUGohwNGImZb7hFzlmZBisfXiSzteXsEgEVKoRDCalTOR59MgSzyKDwbdIW/Yp7nf1HQG/fGiWJX LKOX7Cv/yOnQ2PaciuOeJgQkum/CyHVI+DgPHy9mvJz/L2lNPTvonFroa0vrNXOZjf3cN1TY9Il/ oZ+o42ijg3pWMnbnLINno1XSHxh9sgpSvSfsjsJXQsfVHRu9LNUTOquYhiXQAeda7JC2tdWykNRC ery7Ny3PZhZkE0kTtcmh6MBCVvvA82CgB3p9kOKkIaRn9dFWCdOtOUHedEfh627lQoL5dpSqHa+2 38NXHmlSHnA6ebPJkfLAtmk6Kz27dOWOPQnE7NPdsx+HXdRNa5WfOaDGLbGGIrNOmnSy9rNbRwws Xh9USzrorCYsX7taD3hLqcp7js3Js8Gtk/z+5XbAqHTcxtYOMbBduZ60B6FRa/NJfEA8ImK1krZr Ne4oflUf59wkzcd76+DjA0IPlApqJnYOE2idk/srYjK2fWIwI2fe+rmMu48+I6MZtuosP56NiFuP b4Xs2PrlO+6Qt4WCSe4emNTu5aO+W/fyEQayJh0dogbU0FqC8a1v6HqmoIhtU2mXF4nRVTSQcpKb Rn4uYVUGdhS6olnfSSB91AkAztOL0Wnz7D+k1bREqGdf1MAOsa9ZpGarnk0+1bZPcnlxHAOdkLND 6KvYjv9t5AGfPAGd5yA5e2WYyxQVrvOSn75uM3gB3uDtUSxPoy9J0yVdTRfwF81x45Vno/b4Uxgw Mc99gSU71Mzqkh5YzkwqoxmQghqpoU0Qf3ZV4B1DBkzvtfp4M9Vz+m3gMZVa65aGY0NmZqbx8ws1 LpnkHQq+0lOT313/ut6dX/cw2vy5Dnk20ofy4pEhSxSVevC6Q8FXktJKZGwVNTnPym55uTtq7Wk4 GHhHiAE7RiBn2uhTcq6Yrnoc9jd5FslWkhaLX9dVZTSOPduW10fAVzADtNZt1HUDQV0drpPpLJ4N MpxZb4bDaS71XZuSMHatUq2cOj+M8WL98bkcMkDty87VEDIwq7MYbfSYpExZuuuaxYJKymrcfxlv 0v5FjFuGsyPIANCcLvS9jR65npWpKC3VwbP/KB8ZrKSLY28zEpZdSU1nN2G5KTKDAMHza3BfthFQ dgx5FcQmNR+fm2amQmkIiJrUBzBtaOLX6Xx7OErLvvBvB0mTCkO7V03mj6aTvPRslPKtXxPSZZPp ExKFrQA88tkspgqMTI8FrueX0Q2khZ+2S1PsCDEwq8m6FB89SDtpy1jG20pn8YyhZHmCJ2VGnrNV QD/eHsRoqhp19bX6nfAzwUXseBgcPPuPjE9/FbDA9IzPMo7Xf29KZeBC6IVLrfIqoObR5WuPw73p 8qdYMktgAOXB4+bB5zLuCJpIvwXmmWpMRT608j/4vVC6f2bAZqNwBDn9B/mpbbjERsNMqUNveerp CL53i2raRIKZXMWbnELlLDKwfX6P0II3mhQi6Gzs+mhaFKxM3T03xeGrSo01+3hjE6RdSJSZGGrT SSaX+N+NH2OEpq+FlCD879/YuXLQU7HRiiYQ1nXaDvzKUxS/7varQsA/uspjbfEewEIX7j9rix2L d8F22UYnDjcZ0/oxm1MIfFUTJ6nimPq9i8/2Qd+wq/bcds/icCnYmTi1/iqtKRvm/X/R4UPP3kcr wJ48e1lQ37oeDiKUKz6gyi34EjDfPi1RDZaLflPsAEO/jeoIxW87cLoMQBKmrJ1Ci60qdwthPdOD 9RzczWeBU0xafY+iOBbqG4GpjF3tZFGEpNdHaPqhJGf7aKFJK25TeY53PRzVYH8xh4FvPuJIdi28 V2rA7G2RcqCUy8RGpyxVFyKXRhfJHR1TVIh1pX50XjRe8HjJg3NXqDXUA9btDoNllIe7Kye35Z8Z CZ5SgyVRsNH2UJNsr/6U9XBElf0eLcUipJqvoklOYT0WjF7akgMmIWmSo6OoBOywK0smAmm2YmIp WCs/Jh+da9BOAC+T73Nyr5MBUmTJWxBYIOfaSFZW2tM/MwjhyFgGZHgbrTSdixEv6wlx9XDAmS2/ SgZmvDWeiQnlCDiGspNlbcPK98LQ00VIi3TPlyiwndY8F/2+fJl/bXCeCudYwWVTlS2C6fNOrRhr A84x/SMjtRcVl2RwP5706iTfCgcgF+rhiCU6vjvp5E8C4vqshJoElJmNSg3BN19r7naNYhIZn9mi pNjPQPUATl2NXpc9+3+lKccLbHmzHOtQoSAY+JGche7ogsKxfWRUSpFLjCTI9TlevRoCcNE40cPB FX2rkMV0/k/kf0tuhsB+jQqIwVG0NeqSKmzmaFCniTrWITy2TUykTaDbt6d0x1uKHGetJN3FmztH sNihZJSUjaLt9HR5+mcGwUuaEiboPtrBe85y+8HObaaHg110NT1UUkFb6zl3Q2QsdWmVTqWBU26T o8hXTQ3BMla5uyiq0A7RUwzol66abT/n6apV4dtqXNRC5agHmSUOVG56SAhs74/qKuALqHPbaC1B eSZYsXbq4X9wD4oJLDTspT1tzqEzlyB6Mp8TMmddpcvy7KOV73IJjLkg1gj0KImPPJ/K9dig8Ajb 5mzADwCicAUiPW8SU+mbE+XAmMv5oqU/o5ebdrfm3nmBHo6yol/KDRjXPr3SHBpzYYI21jBxvplu elQNwcTRnev1uA+cuUDiMSlLcJR1wd9o5p5HyjBpuhOhYp3XoZtQK8pP+D/MhSo25ioSk+rdRwPb nyzLujZzVD0chLl5/ZqTDNnxXkOBMxckWjaOwGLaQlqT4p1rA1O7rqWOu1RClVhZCxexaMujsdUF UJJwxTn2Tn6IElclB82ywGhfYJvagzm05yKBR4tpaZx25E4Sk6Qjtw49HKSL+1exFkrluEqxOfDn oknb5GSa7olLiIcM6LpI0bPKMakwG7cw0nV28X/q050A+kS3Sd0wIBeU4M5VxGlGKqzytYNlR/bP /MsemlKMnvmCufbe0+TYzhfq4f/HBS3X+e8eirW2OiDzJr0G0YvVQV1blDc44216LzkHLl3Gnqdg f0cPKwoaR5hpCBc6rPyEHkXG/3Cp1ZG/0hY5NOqCM9MrhGkbfdaX2cYhuayHozbh72juPA2G0Wcl Eo1F130J7fGHhuGVEJoiT8tlR8e4nSwx7EBqsS376HuoZRxkhT9JFdESwDrqh4E3lS+Eg3VS8Y/8 GwXOSlHTO0BFHiyWFvWihyPZy98sY9bJk0KHbl2SzkVjT731k4gSxcjoHquAyp/wdfS0KDTsWmqd diHe+iOEgmpJyjJPWdgEaw8V+jgYBTI192iZ9yujyoKgBxy8Gv12rkME0BM3bj0cHLnFETvmV9OF mn6WSxTlwj8430Uo+kf2ETTLpMct9KBcD1p7tlFke2A0/WqCU+XGuWnXE/Qj7sv9XPcApSDkOT1a cVwtnCtqEev9wYLBnKrLOUSj17pSUnUBUz09HBH17+Gi7UQruH1nJizlSgu5eFB70sV1MQjy9pBJ Rn8KDIF31yPSrR5Ifnog5/Jtw/RjoWAjGkOBAdU3FX4fobZprnehd5fUr0ZXztidtXFi8tRMnP7k LXr4H3x93aW1o9ZwpyXE0J6te4JQUxpefVwcAqVgSxbhEPodHQMR1HQXbSM98h9pnLDFlMlOIjBN OQc1nR+EQQTgvJjRPP0jgzvaksV8Ryf3ZOs2l7NE9XAU/V/4+VO4vMyNHBp46diVp5igCM30HE5i 51DSk8glx43m0MHLKLZJV3TqT5l7VIhfTerl5fxZFFuVzBrL7im6TDt1Qwcv4QEL6S9j0vnZyjmj NEOIMuvhYFbS0x0i9D+L4DYRc+jhhTfdCSqWHSln9rt33rdMtsgVN7Qj20KhjdfQamEZ2+jFqBPd rtRNWRf+IZ3XSl8nM+mlPdG/LkG9/y+4FZEvbVQZF/cKZXstU1oIjLzQQv7dACBRfIp0oZMXvd4s wB3quicqcunhswXRZyXSLUU0dS2XMNJlITRFuu0b6SIujnW8KKRL7OwfZK34rZVh3FKVdIukGvT+ vwlQdRmed1eBlHvapWQ3PRwBNX6DV7hlKEX6xITIBPQMSro1l3VlVFtzGzyJAbk5b4iqHeooluTj U7vcKFEyLaOjKsS0NLSuYWz2r0AK/1/7yKjxbDAnG4fXXM6lL+lgkiU9HKlj3uBFbEoS/f1YFofO CNKGWj4txfH6WYURgyes/ngW/x1bKxh27497Bti1MzOUQiTCLEh4A+sInwWuyV0sc/k3BrMihL5V 6eb41v+TsZjanHo4ot1ek5Xzdl3Q9bEbDX29mvLFdYWYC6eN8QZhNkt1t+GUanlRaO21xKdMzln/ 5cVTT+AimsKa+IoKyUIDtCJBMvMtudxTN4x11Uqn0mmj3UVJXgvEussejpaL76L/Y+zNsiXHkavd dx9LrFzom3/+A7v4thnoLokWcV9QKVWRyQNHY81u+Hp8tW8HOofmXkJ21iaHiC3mgtu+jSq4/hTs vt9pCRW6VM/tSsX6eCou5y8464/mJDCo2gywTRB+puYrRSWqaQ69vdRUXDIrWw+T9WTlhps5v/3Q w1Ft4YejzQE0HsvR0NwLVdKlu0P+mvoH6UTtdItQO90dFINs60uJu+5zIORmdr3kAexpqa9lpMRk t2K1OaHicmjuNaTeTU/FRttBTcIRSX6XejhIFa+SG/9bpFnzzYlCby/VGiVNaoetG1nxo16sggJd zUlojyAaoS2DduNPYU9SRvWm4vjVWI2oVa0/hC3wtL2pKKnqHJp70Z3tuclztF0JxIaRmm6QnKYe Dg6V2X+P2nOcjfQcKlF4m4gfzpc7Jk4QFBWhilgl7J40+i22BAZf/JZGZLijlxXWyYF2EkfsHLqV MJq6P0EcQFVJ32tiVu3+mcFaWQpW1F3sTlU8kRz6uzpt29DDUcHyF9cChvaCn3Lo8SUFuN7kQKPP 5XYQZsEA62AW6BdZAv0Xmy/JCJU7+t28V26Yr8gn/uSHqHw3mSSgqiLdyPyVh8yh0VeWzkGVzkG9 OgcsvGw6B2Pq4UhvNv/5aRLNL6Ehh05fiPjnE5ubGDHiwXbWpkuNMtshWy2B0xfxoLYPsAWNDlRf c1Y0DsQDWgiGaFaGtIYIB/wGAmZnr4+a0KA1AC/ZaJXcNptVtxsBS+j29YAHWTOwXr8Vy9ju60zD NppL+7OL9KlEBWqP2EFxSbccun0JBtVkItLKflJ+Kdcm9Q2hvqi5mjnF1MWd6atfVu9H/kXAOkte NV95VVUUNCtnooYeDmbl1nF1F3GxGuRI/8aQNFbpsE3TOdhyc/1PeGdNRpbGwrprJYxuFaAJIqHR O4onimlJWQryf7gQn0ARbsm5rcsfdexNYad3/8aI6SEV3tR8tMb8+cbsLs9ND0c54o8iYl6mKuCT EgIWZOWTXRMRgS3zN67F4lzKwMbYJUcMjL9YLRLYkT/gfqrbSMOtvCUdk1vbwpGdVJx/Uakqd7iw m6B6en8EbxFVeXcfLYoruKHZHzb0cBTe+oE71VjUiBqHT00U4Kp+kpbseChfLlkGUmJZ3lPEUPCm iaEBmLyc5ZAyH58UEMhcc2TfZ2o6nRIZ0wyR5r0z7xtJxjQ5cABzIswEbmej46H63m6VnvVwVMy9 Pejzvz3Bo0hPNi1RgIu+xYI6LtIyZRUTvZvdT5diEhFaLxFcoamhWKT0VtbdRmfGAUwsuQPQTGVS +H//kVZIbl86qq+XWLyLvhm2jTbaeqGNqxD1XPN6OIj6ry+aeMvnTBjj2UehBO05TpaQef89YZ1E aYk+dCXxEj9cYgswZX+qcu+HILRRlEpFneazp0aV2qpMhSQzQx3De0Rp+UcGuyjL51gWevNa6G3s ghWPDepygQfYr4CzKgqImj5bKEQrcI5Kaky9IWoWAkGVAZ9WZnFDAFILW8IoVzCOrtOlP6fLidLF HlUFas8OTm8yVX/MzPKrQiubLb3/LzCxplquxptZGHrxRERVDwfh3CWAqJOZ/T8e29YcmoEpR8yY eXXFuhTqqlpEpCJDhvKCD9nkhMVco/7/L83vjDNpS4ImNFR4KOIVMkUc38z4ypkPu/hn/uWinlWY hepNl0Yby4qxTfs8KuaOR/ZNq4ZacrpS6Dl0BDs/JXWZ6puJqNgYMqU96eItcgeGYFz3JIpqLfan tYiJ7RhzqCeyKetO6UhQ7iCb4Ka2dNHcr3JgCJYUmJ1ZJhHQ6C2XZfHHyaaXHo720oUW6igQyfRO SojNPd84piYFzEK75gpDYivCLIzbWQw9wZZUaXu7o1f+z3y2jh2qBOL3lkIclYFMHRz5skd2ZvlH RsBCTtwh1t24qrcn37c7+1z9RQ8Hl1G/GQDTibj+AxMLbcGMKdOKIzj4NxjAvV2BnlrTd7GE0e5W dS4VH/3kxTBuZgFZ5nnLlP2RQn91nC/Lu23/xiCNzgZZKD5auWXib8FfdTJRPRw0ifYVWtEBgIjW 1X/LLQp1sZ3OxI0GQ61rmIkpmAUrTFWU3PyWbnEpVxqsY/noq+X8PdKKN9EIKS6dYBhiUxaY7fEG W/6RQT+EtTJAJNno2WJqlgWPsfVwcEdfgQRFpOcq308dN3AHw7r05IhG+sddD3V7WchRLiOFLjoH LJyLzcGmYtw5fPS74oRbK6scjyJ6VzhXdaRIrX09OkXDd1AY6UokoeU7eu9smE48/E89HNF4Lxaq eM3lYcDn0CCMEHw1P21XK54umgCOUZuvZVoO/MFcS6NRGLLxRrkn+zwLRuiedIJS8yghvqKsVsaT GPkVHXqENZGb1zMaLHe7PRb4fz0clCzTL0SMSPy7WMIwtxpkoRrfLDUX0keH1aP/ZT4D3V7zNxnF LV+OvR7cLJZHEKyMTaQa4yxq/hMyFckoJNtEyT8zEl4hYYQtbqMvl1Ks+5Xb1sMRwOUeuCpx8uvI jsanJhT7GuJUyeRXZallknA1cVh1Ay2MYuorOXAMgyhKiXuorzievuKJ7zh2VYuTvaYSbmFCqJWB hr/GHJYYBa5h5DbAFYgXbbQKxvky60Kf40cPR1XuS4hnI52zblwl/c9L1LL/X2JaKgpHxn5Yu15C BHAlk7laJuKTPi8xy3kFABdoa6DJbLQDF7fbCmeGFd9ODDYlC0YfO6lIBymtfMWcXkIWfSAI/BMV CUA3L6tgnFeoOkBh5/MSsOjDtuQOz7mjELphygE9hfmPZgPmQ1tyoMQXAp2HbFR4NuKZj5ZUM9Mi CeYD1NQc39HL2wsS/TA8LoY2qbhZFGZzJmhs8+Ew5df5QKkRT1eKWTba3jmXpaLos4vm5yVU0V9X nVZl/uouiWwT8hKp6JG+5f3b97VQY0nLYGHJ3BaIchHtrPOSYIHQbT6XQfLRfsKBz7ywg5Dhz1Uk 7SsqeFz8JX05Z+JWvcQp+kICwJOCwvrW6GCWsps1nFP7vEQpNpXb0BqcOHx6bobxl9FwtELOcbWa czTPQnFN1mH0Ve6wdLXiXwIUvQImAgZJ1UebjoI8wiR1ote8F3t5NqjfGS66ZIx/dSVfAhS9nZvi 5HFIjECZ/lOMHHPOVBHo+ur58xKf+B/XfUI4WdU2XDYdL9GJnlgGFHASvGqL/8mIwntkJ6NLrjDy EpvYryAvAa58G728f06SE73K3zYB6xHhG20AYK1/gCbf7SLls5fIxI4POYQhEGSjEkFgPeYT1rHp DGYjmQljM8EVgGcboSSm4yUqsR0G3kuge52iFQCOYLdDgG9AGn0WR8a9RCWakGkbhRxQo68Pshrp DZwsbaDFovIC5wc6lmKuqqBSpEb8eYlJ7BcTQxPdFxu9PabmA3F935+XiMSWR70ToiaQmELVZ+Ql IrEzWMWUdh04IDS4jw9lQyldd8hhIlC9xCM2I1hvKHu00Q+Qkw0QhEwdIGftJRJEhCrkHjoeFwH1 Lz4vwYhtGPo/ckmx0Q6Qc+Kbh+K5xT8voYidPfeCkdugdM5Jc6TWGB2oLf1UCcB/GlrF+sxSK7rO NS8hiB+n2jA6VOctE451ZrQlAE2oI5zVOmVaskhwmhQSrv7b0ue93y7TocfFR2+yC21Et3Dlz0vw 4Z/ltwt/OowGSiZMxUudzTYLv+fepho559yXLFW3F0zqdbR9qbE9u/tMQpo+OpvhBLtEMCR0G1l4 PqrSKS2iNmJRdEEHxb4wmA0EvR6mlIs5l4awl83G+LwU2CwIuHfLtmzZROFFKQ+mI0sLVSaXpL9W y5Fb6fZCQc39TshLXc1jD50dlEo02oTsgeSMADsbAoNisSaJFZAoQDUu6aVLYiXaKnLa0Ami0fOZ JfETwF08Gpwdw7eKlGubODcei0WhKdlVpiJj9KgujKXax6Q5FB3Peq/TI/YoOhXKeGRdL/leLxgF 0AfBNnOf5XIy3yxLo6UQ+9dMQQWnzxsLyA9ruAuFbaNRS+RkAtv+rN30bLBjTMW5/blOekBNbU6i ABUZl42iF6XpPRSKqnWMJwbH6uwSBhC2OZqRqZuF7E3jBdJWsPTqm28IUyqnoeqMfOkfuIyPj54B aaNFAmCwV10z9S6S86mm7iX1qjcKkB2oe35nZJLZ8ZPZlLyHqMZE3c29FXffvn+2vERE6VBPzCAp wZRsLQ/Brkd/El6QS6O04aKaE0kIyq5bXD3kTjwEmUaLikJUlhBOFcVHO1TpA/qVCyYyCkLk6XZj duJNaQDZlERBKkXys7q92JrULSSXU+4i9r90L7yZHpytHQnRIcEIjR6GJFhLTV4K1EySapBEN4ia kSf4IhnWR4iyGATfGlr9NmppABG3/t+5vj5vvB87SqZfNDrAbKRbY3PyHqkaPQVBAncMGGiAWc+4 DWuqn+tT4tYqjUSTgiICAiI++oVz7v2z3tT+zOf8UGVksDC5lGq65fmuUPWN9/M9Szo63TZ6JIKk unJ/lVyDSTFejociKh1BfrUpiaJV/hjIoNUaXTQyXSUfFQP1clK3gkn/vPF+/JiGeyB7Vo1+wPLe ss1zY6LXxH9fSxagqnztjIZ9YRC9JxkZjeWjLRP8J014ptXPG+VHz7qkF9UpiXBWhZ/3NAnj1YV5 a3Hz1iElVuIzdUvUR+95el0xCldlfTzlpD7TA1haC/CJakQnnsLjsnmTR3x7VWHdH63aF77PSUMJ T6I+Ntol3Hqzgsg5tz9vfB9bJe2er8beyEYGtimJQlYwMgt+naZEAlSK0y4MsOTHWPCN6/Nz51Bf s/HmNFBPi8hh5wguKgE02ewaG+OrNWpk3PewFbS/FL3WHe3uHWiga8X0+Xlj+nwPhnvnKD2BB2VT 8h66Ou20zmVgEzLSYoR2KX3rWJlC56lVEUWvrOJzitAB1miTgthtH0gHU34u3TiYQE9yInVkS10D n2Ef+T4plPbwbmw+2kI5v2YyQ1v0MqLwdad6S2fJ3NFuJeCN5GOniUkm0qQk9y1Jjk/0zwA+deOH YTql0yQMYIci+p189AP2TDDdBOYE49Mqpi47KIsdOx4rddFpPm8kH69wkOyhm26jzUlq4g8AK1if N46PBzb3KlYdARbpugHbG8fHrmJuRsk6ASLO4wsi9ugkzeX05DeKj4ewCufp4Yxx44fRJ6YKU92I k6sKCon3HVuTo2mkJ6gHHfB5o/jYb40rZ5XoYc23C5Ig3j240DeGj6c5864TQg6DV2hCogiWKZil Wmwy1fdSxUgqjTJbpLWj0+SN3OMXl2J69N/GVxDvpPlr4WPGcZhPIGydJAoQSjbWrZCcuKvYJ/6t 5FxH9dFKJGPVZbztNj9v1B47nYvfOroJt8G3bEaiGqtgsrJaFLTvxCNWPipNoK//lIHfps0bscc+ urJlBH0cD/RxgBWAFsZ5kdJZ3yZghYpNMbffp0giLZU3Xo/HJorqwYN+ZRkB3yiqP7d9+7zRenw+ f6J6AEVUfjQlYQA77XwdZrrRkoK1puTM5VeF4lSi80bq8ejhxPNTgGmNvm8wE9w7S/+i0qPgxJH5 IVJqFAl9mTSdJW+cHns9wL6Ti20fvUPeVrcYdK7PG6XHzyFPh5kBoTopx9ukRBEstdZ9vY6lOuTe V83y4tJX8/LAG6HnKUvMKR+SWb+1RZDPsxRdOTurQXOCUYnZrD/jQSSBffm8sXlsPqY6NcjDa7RS 61ly0pmjIP95I/PYVSitZZVLiEuIM+62CWutSIncU2NLuJKTdRrKr0iq1wlObzye31aNnKD7baaM k/idtAsuM2o6HaoTWIEl4Ds7Wx0GI2dv+8QgLIGyUpWba7RUGLbqsCynfd5YPJ7pp29AL9bLDdTe WDzf+mwyAckpFEwycpMRsfinTUvaDtf36JVsVY0JZcTj2TU0TNMWG2tDr+UInRWIgOwyaJk99r7F PvL9IGHd4la4fNQqObHCMC+R8y/5vLF47A/MPyVGfIUz7XOblDB6bTIklaQZVaStbA9/NBx/SXdO Zs+fodP1PX4FEsaOacr72pP3nSMCPpPsffc5aYemjuSJ9Q986OEgDPvGaE5I+QBy28jbey6zZINP MCfv4SvrZH7XCRkdXU+bkqjw2qBZJpmDcOHkNNxSIa/pR8lulwT3xuKxj6bcjv6Cj5atZqryieLR +bPP3YxItpUiwaDk9JTVBBF4I/F4ji96JTMy7oycSyZf0Ccz8h678uz4niVdf+u8bc4oeKVqsdGQ Upi2VIvuYqg/h8lyXtMbf8ejEtI+5TDz0aejdEJBXmiSjNAmG0cnAOkNKl43xSnZvvAv+wbIiI8K OPvJKK/fzPi8kXcswrsXsAA25NS3XPLG3bE1wkoqLpR4PnvYZbOuvSAuo44ifyPueGaVldkMH701 2+auhcARyb5intjYe58foP8Z33Ok+AcGfV9WiBSgbbT5aGPYhXG25eeNtfME1N/shmu8ekTyRtrx ZIpjhJqKxe8lXWZXW7ZYEO1yxNEbacezG8pH4ORt9D3TwMsbrqgVdqEAFSh/CbMIVeRx7nlj7PiE dMVmyUc7WDmjJNN1rrfPG2HHD9b+MyEUSZI3b974Ol6GyKoxNTfDLtBpJCwqDSCmBoKJT0gIDSBG H9syhydqxTSiFYSSVE+bqPGfEO0sj01u8PgogE7Qy4PEhlNnE/nZaHH8Tqbndg6T/nkj6/zPY5V9 TFePq95mJApakfVZrbvKhbzGJFpG19hae1Q3dYa8MXWeYgSVw+ajL5Bykus0lc2dROHEaiKVLucA ijn+WxJ4Y+p8o/gC6Zy+ynlCTfAT8AwxJ+ZJsz9vTB2bzhuRcLxCULslgTeejv8ASaoThv7t91Rt AtkKHbCyU/PfSDr2Q3Cnnhwm+2gzciJUQPSSaz55X1HyO023kojqysFL8+ONoPPtdhYZ2pfvbK/R bYGUru/6R8RqDS2xkn063iNW+j0gKyWgyh+vZNvQAS1ZV7xKOFVVozdqzpNNnfOUJaDRQ/jRJuqb CuHPiaJGoNi153qnjJafyvya9pFBswJaAboePlpWgyZytRO2fN6IOb5Cyhc/cpb7H+QrbU6imLU3 Mc+T4VtzLVcnZ9LbFdmiU0+Vfe0bM8cPdAVeiubbhVwNMEpU4aWKibUa4NkTvEJwm4Bp87NtRJ19 I+Z8q0aLPrqNTkFJyagWJx/+vPFy/Ee/GIFqq0tywDYpUcyKWO0P81yNYMkfznuS1ORFozdazrcI PYSmHSatJvvOc3gkAypuwOFgaaaBMmoRzHQ+zQopoLzRcqyOI4zAVGIyHcNZtpil/FOt9fPGyvHL 9Jbmrc/X/piFpiYljFrRJFwOs5ojTS9Dz/bABZpDjd44ObZpExeNqLMaPUQbJ59ZM6tYVk7iVUVL oZI7BGJ4nDjNr/WNkuPHq0pG8jWr+ekOnZPBzpOmTwv2zm/rE8QKJQWbkShoBTKBfmi2fC9v9+Is 0ilPqrgCALErOKq4ToCbA6c8Gy9/q682dUegTLy28PKZjjXXpzyxjQXpcVpUcSUbOpkeACyNVjii Iq0Vcy74zxsRxz4tz5+9I1ktqsqGSIsiV4pdWKZmQxx1MctMqPmscAF9UxbiKOktf9s+zLCNPivj xGptSxDmbNCe5ceJwEYpRVYut1ZiBMg3Io7lGxI+hKPC6LJK3IviWvLNnzcejjdxnt1j1C1QfX7O vhFx/BrNf9AkV3F+g+mdciYF+jvoDevcFArrjYfj12VWnWT4eCsDFVir4Fbniu4CXDSdJqgtwpC/ PrZiJ73RcH5KjLK96o/tFZisbUjOPj5vLBzPz8cN18hwQD17FvxGwrGFeRK6LYIIhHPBPESsWNuK 9UWisdo7bwwce0dTn0Knykw3fC1zARcCwb5rPfeghNrg+XNG/VkiRboSZLZPjKBpolTn7KOzBla1 e6eP9Xmj4Hjxc99oLcn/E+yQzch7AEsjACOFLEVDjBSmIcCTIP9aI6O7s+8b+8b3jcCtMKvd3V03 8aQqkAUP2LjpaY0g/yJTQSTLH/6Nf2JwE8MYbkPyOBazkuTs0eQ4huT55419s/8HulXrGz0Lsheb krDmyqlMaOWoAWLYLJLjVMgmBPryRt8b/cbTPmJYmq82em2enmczV+NzB6JMTPhyDg4rCIMVtYu4 2ydGAGiKR9x9NupcRInMnNBPNPt5o954CfsGJ5wkYM9INXxOosIrZJ8zdQCSmZO0VT2Tih/m5v+p ezSdTf3GvdkXyXRmQ6yBXJ64npIAvGEOWLK2rnagpGZl8meJn8ornzfejU8J4TizbqPDeWY26/MB 6DeMYVv61koo03Ak24TEmAFMW9t0eUMJ+lE8UifaMANXuuKNcvPdN1M8LY0OcZ1YNMoUeMlwTr0K 5NS1E8c3z/EPfD9Zqfp3cS5ttA7f3Oafjmzt541usy889olLCGpwcfcJieJX1jSb3PRkJRz8nxQb Wr8gVykndb0j2jNyRarVR79s9tkn0jOpQmqVpFprF4Xtz2PDqZrM541k82Sy2Eg3H51DkaaVTM8V 9nnj2Dx/m+d9aCKyAbKHri0KXWEwZHOrVzvLAPMDSI8UMGV43r1300KwKx2+CXDdxluDJhkwFY89 TmQl7VCxKDOtKWMOQOGb9onB5SvUURW5pHYXBxoImtmqX5VngzDtNrPUnKwCofiMhKGr9TxlFC84 XTcR/IL0g/FKquS0tUaiiqucaU4ivn30y6YBQ9jaNGcvNTdOIw9DuXamBxjeLSCJQldcaPummWrj A3edBhZIejboAz+hqymfn3t4e7mkh5Ermud5FE/7ktcah0hV2jZ5ueBYf49bOVY5UGXFotGm5Fwv JYNY41gFKlBEMAexrW47xdibCSf7xKg1LtnLPnw0QBY6EToLSq88+09wZyac+wMuxGYkilqloVCT KRZOSeFaPzhNp4+P5JL3PQILkMJBtGk+2kXTzqLFlIqSyNjnQBL0aJ1UBGTGXE9AYvJRPaq6Gk06 S1QrX1Gtdv5Uw/+e6IBn/0Uw4aKWXIffvT0MWsmVy1hWa6VFVL33i7gIO+isfgxlCFt7VHW1KqNQ jOOLYjxnKXJrnKNb0tTUDhpApMKXth+XRQmY9yhslSR1h+Rqo9f9k9E720mneDbgZN1yiRohmR+i 1Fto7DErC7YNlQBZf0mzX0jGiR+ijpOhdpxWShS7en4jPFZ/8FhlnWumNb6Y0HvwI82q5iHQAdzW L+1VUUmPYldoQahBTB/t1oHpZW5XqfBsRFX77fIh4nO3TsTMOt/9Z21JmKuDc67eIVF30waa6uBg YdT1kgguoP747j5euGtq2AcoC25wTZr64/CvgWd8jRZ980T1V/U9GxHvGc86HHbAjqWSx8krC88G l84lFKheMgUhcjBW/1vkuoZLLMie1TTGzB4N0ngToh5yVszOYjIw8rTR45LcqCoIPX9O2IW04zlk iYIlXJeeNt8Um7G/h65QnZIUhbePfqUNV+goU5/2j73D/5SOI0xDm5Gw/DrV3dCSIJRpza3SM9u9 /afo8DlP4vKrNo5qjT3f4HUWmF10Y895rb9GyoXk/0JcyD6jfVHAPSq/GuoIITgbjYZzJsVoJydS 5Nl/4OcV+JyfHmFnm5P36JVwXvLdtNHlw1mKwZBOJH5+u3HWScND9/y3/BhR/KprBCF7Hy0H4Q5L qyzTcB9pykpO4M6i2tpDTBrJvjGYEkpZXfj5fvHz7SSU3fDv5wTm2YjGt3/u4b5/YNE9ArzKfDhJ eA+0zRIwjYtBYk4KZbtIOUkv+cu1gyUGo4WGnCaIiW/wPtSRGg1VpgSYRiuCSj41NTDRvD7CH1FN E/9Vo1FxIE1q9Z/FyLP/gKgZCBMER7mnSRjDoim2tskGL1laAKeYS2kfzNsqqmfXOyIQhUgWefh4 C0gF5batIHMMCkooVOiQU99nfqek2ycGid8i8bMzZV7fOdgKdpOe4Ilno2WyfErUFE7kPfgvGd0z imFBHylNlV5huZr2UugwUOOaXpEeUe0VOA6mT9VHm5S1Csg0HbH0AYZqs4v6JVSR9r2GSZ95e1BU a9JWFpWtpiuZOw3hzsFXeDYIYe9pIrgRaKinOjCiGNYcYFIzMZvzT9nhnXtRSEGsMCFYZHMS114N EZ19vF0/BFQVvp+7vkJ85CYmGkJVBY2Ei7dRRjxC0lY27NHw0fA2j1PeaoNng9rruguFE2ULme1z EkJeafcWqcjLtkl2kJwiNOo4WAEP2H/bPyOqvuZsJQIKSV3Qnq6ayTlcSHLAd6YzF6rRVnmbUFOf T+OCoJ23B+A9TLS1CW20KPYsac1+2TXz7L9K9MqPhDb3KYlCWDrYS1Jl/+kfhisULqdxlfKIwo4o gNVpgrGBRhVsuIdxTJ9bljIYBnJ0THrD1lH54vbO/5x3R9X5Inz48tFWyEZoU0fJbDz7L+K4Ck8k 4t1v4RHFrySiXkETe7dZrCZnCOHE9zRdPr0jitVMmaT56OEr7MyNfOtZbUgLSDRWSheUlNFuuYDX 5J/4l5PknKsqz3O+ba9RGSubogwPB0uk/yDnAYcCirApCcNXO4LF/kQgK8kGQxqwpBaUpXtSZ6vr LcEikbyAzNPHY54+2INNXISza4D+t+1u87ktuxHvOuF44PVB8kfRBIFpHx1TkczA4azrybPBOkkP psLmZD5zEmJeYf+VnRwpjmWYFZLOz3AucOjCDYscdftGhHklNZsyHLTx5jk4VzRr15zYTXqxk94q yZVmJH8JsSMWGKCMRKvHRq/+9+I9C2YkDF/XvXD0c54fdz07J0QPSLfe8WlLzoemkjvNpPVco6rO d70j2jlD7eD0NLayWn0FwKuwvvOcoqSX6DeySEY1DRuXDCYa4vVRXMLty/lto183qxsJ7exIno3a OE+BnixnmaG8ZiSMXql0IJvgSgNX51SWA8af3g6LHmHwKojJUCo8nlQYEy9Kq9X1XYc8m0BnKLf9 0shl5Mrbg9hV7Jv/aScDQ9haz7SYeDbIhO/pKkg3v8OVKRlx6Dql4qluHtyD4VE8oDidLueMn9lQ NyMsv4pykiU2kB+xAeRrCNUM34kTDotE8EAOrCUpivGtNY6YqyUooxFPLnz0HFImAXm+tPJsVB24 NJw/AhmcG9XD+RlWX6twA6RCqjGKISK5gXyekVLJllUJG2eGYlgCqtV8Ry/Sb1LhLjGsVc4lrDMY mI9kngnTLn5v2jdGaZ/cdWry8VL6uszT20nfeTaYksv9VJh29sBTL5lR6EpAvU0yrZx/ULloUKnS NFRufXpBEisJy69JVQHVGh8LmdHPYQRuQGoliWxQcoypmi1g/RpUiRfA6/9SkO5N0Pl2geJn/5nc HmUMng1OknYPVx0lws17pXFGkSsUoT29k7PFzFYJSeojKsQWoYCZkpCqJZrAFsdxPxzHQWQ5ksK0 RWYiezzYvrnpsn5ASAKKz7D6ihZjEeCmXMBNA19dHDKuZ//VIldgyKVDp8mmJIpcYS5tuY7DS5K7 wX/6X3oMWwY8GJuSuPQqUKMm5pvypZPfJFEFKq5drchfhuYvPff2tRm1XRODBoAgLeV966kfISml gK2twrORhtxDJrA2DqQGn5AodOWCNP+pbCE8URQFpFIkp806I6oQ2maGulj15wq+ov0Dx6hpdiCr odopgwfVY9SoEr28GHtt2kdG1Ho2DpwvG22ZINBXbHoqzwbNrVa+2BIqclmWmpqUMHilqpapmEp/ kXqpiw0MaZdw5YD6UYl+RqgBGTxIBctGvxManeBmUnKIDBTBjZNcx/Of/cyJ9clnFLsiw42FRvHR GGxoisoK5FwqPBtVkH5SPknAtjslEW6AKGip2U85WlGkaQ1Ul18vlF5Vjp5R6XVZ87MkH63MCAx9 qfIMoe+kOEUawBRwC5F5+orJ6Q6eUeyaxDqhZG6jBWppl2mp3Gg8+w+xAdVKzsTtK643Q7IWoWvy P3+lKyd3zo9xga/Fk74ZymMV1YXUuVj3k6knntsGNhLAAf6WLbEwqT38GY/SrSE8Z1R3LRa4CjmQ HTlQiHe0g/AE4dlgPlL+HiXo2shvSfMRAQfkw1W3yq5bksj18mDx/CHjI3P1wzUKXSHo/R8oRT/n aE1V+u0TY3hcPGgZEw/y96dvu0+1oxnFrk0nCYUfG60nfK5GV3PN7TOjumu+sWtSmQkM0g1KQqqW feEuTjwxSUqUShE5UMZXQD4oC56hzoCKIEUzU57C61kfZx1AN0E22/SiT4SG6BKB/wNk3P6FwaZZ JHysWRstJqnJPEZO0LR5NorS9p+fytH+M65O1ooCV0KSBy3gfjlkN6tZowvlQLecXRFsAHQIjN/h o0dp5+gA6CrZhfMhKj6fUI1AmbhVUdr+ariuEDawKR7pytHoe7Iu3cDnMqw8+w99DkE7EXtKXoRe IWyAv0PXw3/0aou7/dU9TUjtJJp8KgtkhXGrQvSt6PXRP+/ol07p0p2IZJ8JsnLnUv8e1an5aENL 62eFBVeqiyCvfHR88RRuGN26yrOREMXVcVXBtfK3+oyEOq78rwtmdDpIZC8iL2uVR6WD3IrKSV1v idrBiuVpaA7b3dk2zVkS7Hyg4r2YrQ5yC1olFpK0qz2YeX1QceVYFcnBRqvM73Oxm4he1adFkeuF qekEgqi3brNiRZGrZqEiLVpUUFMyRudmIs1VBBuAdSYBpBVCXtXQSopJ0hOT1NST9TzPqZ3RUFSn T0AF0pz0pcKqNLDiwisCA9yfNnpB7RyAlgbnzbP/OE1MjSLLJ9PmJApeqR5vFHAG0TzlAODhED99 bjqAU5XT1l85W/+b+HlWjJjWqBCuvRAwkUQ/kyEJ+/Y9TRSUrAg2QLu5M3E+ev60DTSOVgbPRkHJ rR65vZL63JqRKHIFOr/EjJAk1PZK9JJvkVADXXso6R3RGpH+oOCb5q5oHfKN8RZcik0qzUKflbi1 YFjfdMyYW4N4fStCDQAd7rUIKF686kBXKYtgD8OFZ4M1kn5Pk/xDDl4h4BVseVLdT+UjWmfK+U7+ mt2tmQ+3OQlDVyMSKPOzAoZBxReYPR2k+FckWRUT1Imlhb7ShYpzAvH6YE4mhSM5qXYzupIyYzfN rJNUNp6NCML7JywRbsGD+RWFrnICpEZsYmp9itKWQcM0hG6xSwFUpPxmhajXLvj8qD7epA8HmC0U NL6HVWeJHJ/k3Pqjk0Vczuuje1gaAQiaarQyYynJKoWrb5593zkrPUkfpYECi8TnJMS9qui3HaNm OBORx/NKlhxDu3TC44orr5LJ0sysfk+TgV39KtJeWBtE0ro5XzrH+H6WCXUvfWMEfFXWnIqPlvOd yVG9A/dang3awY+wDVNzljAyETYlUfRKbreFdxcEKXvON8ctRVc5berCiUVemZA0mo9+vOaiujvr QHhPVHErkq0FZcJZH9sKHcm8/i+hSdWM1DsjwPfsMDlZ5eDZ4Bq+hIKulcWleol9O4pfMSlYUg7k xtEfJMjA1GpJ8oF2C4Id0rWsMa59Mx/Ev9UCsGkxqZ8hEXEBGwTXqA+TnARZr49IbBTmQf7ZaMUS CMgmGlc7z0aEgltTc65m+oPOrM1JrPM6hTxy5mebbbjcQJdIBZgqYgublVhuQLPSlo/3otw7TX4p FehTknWbXPy2jN7TvXXEdeX1QblEZJyuutqTNJyjc0vZ4Bybk2cj4TAHDZiWOGFG80LjDoNYyVrW ZCqV+eTdjkJqRcS2ReU3+bWzwyBWXTxR6DU+1855TRK6BPsXv5ZAIwBMrE+wNrZ9YjAl5wAgZGw+ OkyjWYhfTjTLs/9Q/LE+DhSWKxkdMrbOSbdqqbeC5BLao+bi0oyqX0oxOgQNCLY37OJ5jtcGgnlX BWsEP1N8cgD3GRJoq1/GlqoDOwpfXV1u31HzgDy3Jfg9bZ6NQPT5niZZNbV+a687hL2y2Ntw23u5 MpnkwFxXcuAaVO+YrsXJaqfs48GCCtSeKXlAv3tSfR66VKbB1J92sBqNvD0SHUiyZqg+GhnnXI2G ljnJMM9GUBu/cIwkAq0kXXD0juJXiQtUK6EJNiDNLFrk25nltRuinEkJYQMiKAlMM/OFko081HaR aoukZKnPDhjkdIZ+EONSSN6hzmsmShuCM44r8F/Gsjk5u3rxbKRd4lKV6h1DFsjpgrJ2BBtIOo/p TckoyUyvZL2cJYebZEHhvL4dwQZQMQZZcsenAQq0uonseOKbJOcbCRsIwyqmrFHsQSny+kjRRfol 9Lb27W2d/+wG7Tmft3g2ynNuPizPN6b3HiZhBNvKV9516TeWtUnqxXEDpd8bJ8YNaOsIpNifw5Up GXuIbJFoFfV5u31oevYvYNzynB3hBka20GT5aOsE/VzFG6kOno3qSPmn/3lW6Lh1pB2WX8VRHFZ7 Brlq1aM+dazoEylOEdHvMHrdLyJIAw+B2ey6GUD35F2xtHizvKweitKyL4wOE/PnGz7ahCSMQjQh S89GiV/7iV65gvuVM92hyGuRV+g2MWCgD2Z6X/B4MyYbPUw/SyLggCyV5RZjo9fWVsMnxaxRCepV jUz7+mSve8DO+41/Cda6lLL6VcqquFkITk/tj2f/oQyl4hZYgKvqklMIHOiyZjbdfUloTAcOFDmL YXNPCd5U+VMYwjYdnUp26roFR7jefSShKYCaqG9RQdkWxLTr70rp/pkBm437U6oqZ2Sh6RDBN9Oc K1ueejqS7LjniZ22YFpvLSmnUDXLFjT1GuDAW7NAjW6Yfy4IRIrt0lxPsaGWNOjbHe/VA/i3SA1q DEAVJIBUminKklbefnnN/pF/0ZrrEkXSqNfvsqdy/TawL09RHLufc1b/sf6s2+TKKXQsWIlemJWn t6k0Tmxc68UQINhigtopBL9KE4tqlI2eBKK1JwXC8xcsyvcQLcQ/Zc20nxqb0mLe/5emeRWOr14c 35ni2oSsh4erh4M4Jf+Qc7TPH/noFHK4qgiaxR3uU5ZYlv5VzTXnBuA309ROf3fXsrNjP52MBpKq Lwl3nIx/AQ6c5IdwXoq88vxwGfRZ9P6o+Cj/JPEvnjv/nFbmkn7uoqaHI1jSj6gnir/7kRpPUUSb 5DzPJhdWC91nc5LKeGobmoAemHnSpagmy203ZQtrowe1i84ogHuOl3PYKMwHwpJVrCoPDgc3A/vM SIJ9SuGl+uglyHNeKSzbqI2nEFBwmZBCPmWVgsvNB0296HVqSG6gEZvkeDKLewj3yG6DVSJf3ga0 4DVRL1Ani/qfj5P2SYUSykjS3uOfYXdOWRAWgP9TW8kqTHv6Z0aoLYpLWM3baKitgR6QFk9uevh9 auoT3srEXKZbVwPHmovviSEgcoeOr94cpjRlgaYZanndIyYKb2UhxQXh4wVblPMVQ9AttAoReTkZ VxIbqNiSMfj0HNM/8i+ZEGBPH31JpmaNvXMg6uGA7LZuOdJ+1pNt7GcvRSEueIpFd8LwBcPdtzrg eGdYZ9eQUnU1LiD8H87OyTnW+fSmujUdV2JHA+YgWprHrTUV5I3tI6OyCkHukqWuBFl9OZpvwjl0 mh6O7umHdy50sRL28qyXKNJdWzYG1QTpznGYjdZUllAcUigwkKimJpIoEI6aApOPF9mG4ni2WkLJ okVOqQzIpAR8tK8Yt75MoSysxOm4UGw0PtOZX+PUnztNDwc7qd5LSfUVAPrPVR0CZeUHUd28D5X2 7vKn1TmTZ/uOcndSWLEVeHi2O/q8nEyOfEirohkRdkp1KCuaXOUmiqRO9v6/FFkWMGQb7YTB57BY rDT18L9aYnw9qtrPegm9uegSriTxCtjy4yrUtWtrkNPwikIOrLkQbZLG1mo+elOMSkhVpLJgAuPH ZY1TCitFvubpmxrlwJrL7E57tTjmGkuecyaba+qJze3bouPl4jAE7AFuOO99FLpzIf4/9vZ8USwZ kyuQbCynN2KE5nYf2HO5px0a/j5a+T2dfXh211LCeP6CLDQPpwpBZ36ygGFWVH9x52r/1+Cv2LlH gDeqHo70Hes3rKPrtG7zNMf2XLKsQoZON1CZl4VwfpSh47c2ZV22VkKhWPMXEqpr76dZiHxSBUPL fboRqgMzu5WkcjR8cW5qFubQo4v5PGdKEZq4+KE76fbaoVuHHo6K2T8t1HL+heO7VqLSLfDec84m Z426773UNESVLJJKdsZXDl26hjzL1t4+2rycMP18uSSakN2albJMBzWapc67vtDZkf0z/8K9lrlw d4thwf72UmkNuo0ejjbRQ0in5DKEdvd5CRW3zrzI2A7Vhk1mI7KTgFROdiquLZUDmy40DXWytO6j 59KzkTRPnSxJ8e5VqiPYXWp85K/ARQ6dugQRbXgO2OgZqdxXlDzaxwVdw7m+qwXg7HjiucCqyxTE liRuQOKn5PWXuYVBoBQ8pEzW7SVRnKueh1wxUnpSo3M7b3yjzYRpFU4WOWwJit905Bh8JxX/yGgP IeTAn2ejpYw4rRmzthc9HOnV9W/nUHDi602dA7MuM2M+970ZcgmR4DYhGWyJ6gu75nRXSwhEEMa6 iIr9VBVPHomVLlCU83sOSLd0Fgio5BH2xHNZdU69PghaoJA2RDJs9PBfXQOWZdl6+B+SugqJBH3M z9kSKhgM+XNtc8nE/8e67TUvdEPkdT9rv/MSmh5IrTyp8G+aR7aLUBkosEVP8oi+Q9XZQnoBKWFd cnoRkFfvj+4iOjpS0NFo7z/fKDDtufPs4X8IOyiVpjHc0rONwoIu4VsyGFyVEb1pMZeSJEgGIAHF GJ+YGJEg0HW+4637rxN80SIjeD8LJMuBN2dzeJ+PWts007vQuIvr5GT5wOI1WgTQi6Xt3KV6+B/u B7qLzo+8nwpmaN1FyLgkDEdahOaOgRKkyG7uB3PeGzpG1KrkopbzvrzSmda5T5egK/tEHMmqdFXq DgQuX8VUU8/JoXVXMb96s1S5sf/J74fBjHstejiI/R9bJqfdQiBOj7VoCE2YuBIM6w1tJAu1bs4y ygaGazO5C1EO7buW3Kr+F3W/456ZNhKY53RdvbIAQcOp28+mfVaLnbqhfRev7FU2b/jaWsnt7O+m SgMa3no40uT6KV+i1rAuUT2HDl7G99wmtkxAUQ2UTu+9qfLdEnL7tolCE68xrXQ5fbx3KLRpg/Vg nVENYEvDiZ+OopCH/0v61Dl08RLttilyaTdywcOmiSYKL1EPR8BJj3SHi1/IrcMnJsInCNRUkkBe ikChe3KmNNnF0mitirTMizYMdYU8lj6mxgsNPqHzNknQNLdyrgnIlJZxMaqpKruIg/tnRvUFJA0o RdqYFOCCL7Ny1Gh6OAjpLonBJE2KkuKbBAR+XrCoTq5QH2W7WR2nIIc/s0aYNwUI7LwuhnJIqHo8 /ionq999S6h6d0DqhH4VmGCBA9jHg9pfpfpHBk3oKkFHlaO20GjJpJcUypzj1z4uIjI8wKcs7711 HTRyaOgl1+HuxMHZr7Fmk+y+YRWeJDpw9EJEThQGkmiNPis5pZNEywsvs3O0proUciAUpmexzOXf GHXmOW/Z2DY6JrtvQ+m0OfVwsItW+gYvuqPrU1oIfb0aQV2VtJZA+0Ucyw3NZlhHQDB8T4xCa69l yrH/q7ggb5ETWVqV7tzS8E67tBioR9Fx92D3HrthsKsOAOmTjX4djW41k3M86eF/iKpyBmy2xLUv Ds29GjS7Kh0mowJ1RyyMrsmYXG073WkJpboo8E+OERu95nJip3O0iuhBdWFJvEp0EICTT7Yowrze HvXnm7AKxUerz6GWJ6blmXs9HIW6tz6npFkkvqcHEPp70e9ZpXtJV+rK5pXQLmWMONs30V/NEk68 WXy8sK2zVIogvXBFlwSaFVZnPBk0LVaey/cbg2kRr38BctFolagTwbpEc6p6+B8gUzYMJKvy3NAh 7lYSltlBUGu7NV6v181K3Fyfk9ArQeo6RU368vBPx6RHasjJNoapNAknB4KVpoC3FyVanUODr7oV m+jIXdc1+uTP02D4JzTXw1Eb7RF1454tf0Z+jpUoxhXix3yEZXat206Ks31aA7ZmloHvnzjKXQr6 m49e/IfvhhumNIdGpf0CQHto0sHMQijTJVS7f2awVLh+OsvLxosOG4bQxow1hz5fY/cfiAtB/fW1 yqHRF8jS3KnbiqzcmxD8oBemwpdGqCRVxG6v+UuFG9aPjx7NZRxHhUle5cT/iv4HENizu5Hn/Brk Jf/Kvyjh/58W2jnP1Wk8p9fUw/8QnrW7gjTixnKh39cmwqfOaoV/NArsuEVr2ZqM/CG+XALDL+rk 8ttMd7ytRbhvXQrFZ++cJMnM0ZvEh7KJ8BhSu/tXBs3oKdVmMuj+ZNAJnW39XY2QJTT9ehyMlOee WODPeBAdse1XRfagWf1pK64214Sxr/7ButiF0PWrS7otSUkzXaFVPO9PyEzLgqPlHFsUZKra3UAx lGld0nL1j/wLpr+q7apRof8+y1CdZGw29PA/pkUJHVEBJ75PS8gkI9GWwp5wLmW5BsLj5TuM55Ds JdEeaqIHTR8vzgVFQRitSGUglUa/FehBASq2H1g/4g32+r+QMIWC6o6FIixGvGba0dL0cJBAp59y S17UBe/VHNt/TVV9iUX+E+RO/0SL+JpwtpM/13u0hHBcTcswzeL5FFuReWtCi+1Ud5PHQlOuzr8R jI3rvMkQVe+PmorSmWnTR2uJcFn6Hzb08D8YdpwtUtbED8cnJgpxdYyqKFR0F+XquAV4J9ZVTFNq b9zQoRPYkHNPUTu6fL02EKRf1bS+kcKTmxGSO5kSTf2KNJlHTQ6swNhGQ9p300dbMD07q2xTWQi8 wKjn/jocL1w67rREIS6U2yVVcYjMlg+d7TOhL5segi5TlRUCKzDSBxpntDps9GpL5i9YFracpBnp tqkmmqpx0mvwIrevlljNS7TDeUfH/+RkWONzzevhSAJg/QQu+CjN58QNi7lniRNbGJQjXZ+arn+5 MP6lPEdLFOAOk3uTGu368Q6YJ8YHaVAxSBxZel4KcIWSp+HlbaK0/CODPUTgr+KGjTpxC/xXa8xT nAvswJJwJl8uSOH8W8+0hKVckA1Y47oY3rRJUMNhm3Hc2Y7TsYWhIxjnz9k9rfh445ZO9sP5gc+T DNLO2zDqSXA96RTdqmX3zwxuaB26AGttvJ1/Q7njoK6Hg3ju9lt17FJVkNacz0wU6UpqNXPXoaqS 85WKKOxd82cpMCQMFRX6ghmIu6lr1y5wGQU72iyULTfq6ogPnwsE+PMmqcwPkE7SoXp/EOnC9kbA xkctGUoWJjTU/OOCS3r8jyWjf7iqZzm0BpMmetK5cv62XTwXGEhfOPxnuAtHDpzBuOqHOvN39Dp3 m/jWdAvpIIfcTjXAZfzGPFc0E6wcOINx6HKy2CmTn9ZiXcbfAmmoh6PEyCNd/aj9p4cWGoOBFB+S oBdmYTjGXUKshlmQcbN2UegMtnToQiCw0asKG/6D3GtPAMOJRZlrC+fJmVO/2qN1+UcG4MIlMFS6 o95/8kNXkT7/Sj0c3ESXgKdCJ/IgdwuF3mBiLtIKsskoaxhPs/SW7P9XW7s8ohzYg5nAD0a/yUeP /ve525qZYTVUQZq1Zatkzx6xBJ0Qevlf4C2YufroGXRx3PH5ej0c8TS9H9KsRc+Y9rOBojCXwOGs PrVZOXT3MqhCWZCk1WKcWcos7N7YJKyLSyQ58EfSYNBV2YOKttrzGY+Es8MqMgTnr2gPR8TuosAj LFm/VR9ko+O5l7d5zvWghyNZ1h97H1VJqAf5tEQQXUK+rc7Vf7L3PH+XkiLIvmTQRWryFs21mHWm wrbENcb1Uz/T0YCbyt4HEFQWmShNoy/9UVrggiO+h8Iwl1KlgH02WuBSUpeQKFU6PRxJ5aU//6Pi MtuziaIoFy7YkjGMdBNqum4Lc163hXlTxcAmjKVCJWWbqdF6BNJPZJfRBhCikMoCMW6CjDPoiIwn KfL7ObQKa7KyaeottuFdIhQNunv8VD0cVCzTA+cW8ePcKTfIDczCuIPs+DPp7xMDKikCs6DuuVrQ dLksKQr8wrJLsQBWt9FXy+6cuVuCPXlV7OXx2SOgIy3fDykC6pq9P5LxlYcLCq0a7fZHB3c+YW7o GVZuQJc0L6SqUJ98ZkLxL6ooHWU/KcT18Sgo0NPphloQ1rvba95nhvADiHvx0VcMYeGZEwmy4n0m AgCs0CxAUHkkFKZlRYF5mDksnQfpuWm0mWHmtRHm2nr4HzVuRXTnyhqX0fp5DVoygS5qBhK5qkYb kejGpj4rxAL0IDtxX0OWDHiOMrCi//VE/7OmdhYa7ggCWVaV+7EMNoS5CvWzfNWdXiOWTF1BSoIo o2n0gGgsFY1bWzz6Mh0ZJoymA+cXMLW4c+j/PPMfzAb96ayGjdS/ugDx3DupS7IHWVMEkK0nH8yH LH4WFpA2ejZUNjB2DpUT1Y5UhfCmqJsVjgnYXn5gyq/zkZTGnt9E4JZUnoMWkykL+efnNVTJVFmK zYdQFlnapskm5DVUyfA6JILWtzupcaAZNAHfyCZSvPzx2DWvgQoLhP6HPBps9AWyzuWjViiZQym1 WtcoC/1U0pd6JobVa6CSHbgBX9pHe/kw7iA9s/Z5DVOYSoMndAEIkWHjFtF0vEYovltW35cRX4oT H4z+TA2hDc+WX6MTZhSg15I4r0b7XlyCkgzkKr4tRRzGASg4d0W4X6ipukGv0UkmwAANNuRACJPA ZNH32FXV/n6CoM9rcKI/zreL7hsazBSy5Q4abRdqqK15J0i61f9ZU8It5dAIct/BaLOA0JAy93R9 bqsy4amQzRWLC9ja8kYjOCd1fg4PKa5+XqMSDg9hKJvwlO0ruWmQ6fO3YdUZzEaRDwKzoU8/cwlE T9PxGpJkM1Y/NxiIdFIbkdlUqJ1WmxSlJzno9jUkyUkiuf9nfQyUnEAH6sfECUrgU2Wms1ajr6qW UlKyT3yfEM7qPmBg2XjDevs3ldL35zUcYXnUOyF/LlMIKSf+ba/RCAcwj4B1NCOOk0g5DG4QoSCE fVL+VqxW8BqLMCF0fZak8DTahmnr/KmDOnUFoLGH0KcIYWRABeMxFEAznS8M9ksVUxsDRY0Ohhue 5Z9Y7fMahrBATDmwE2lSym9i+jebkdcoJJsM+Mou8Hy2zxVlrdWZU7lN3zGvEQinqZzqQeXY6PMB gKePbWJffRXNB/JjBAXj69RCtfPzGn1k86gzszQbnQHTijXIqcm+xh76LD89+CKq99sXx2uJjduo Uy7pbsGx3LT0fGBzkkcazWGBr+U1tve0J5ePNhVnDrGop5FNnbtD7GC9dICY+Dn09CAO5C7/Wl3L F/uGdaqNdnrUlCwWOwnD57W2lq2r4IvD0mUJwWo+XgtrLEVg/kBFrZ2e1/QWclvZRHwBq1zye3Sc kiYs7ggbfT2fUGyoQNLo9bJfdHqAwgRNMb7q311yK+Fu0bnB8tBoua85jAnbxaPB6THyz+VCWa/d WCwKTUXzBXXoSMBWt/eOlyIOyVVQgzDWWDQjqpJsuWPv23+ZSNwWmSmfiCyjZkoqQ8ujgLP7sVaQ H8DnnQaUzbDvXLT5jlaaHmm4j0/Ts8GWeU6QP+aoh8+4zUkUoMon6xzxZqOwTbqKt8tumNUykX+y +DQMUGVYAWTAxhugnsu6SnwU76jFH47BLR3cgnRu+xrqGZY2WiUFyDX3kI22SnYp7uVTwfmGIWr6 TskktcvPOoliVLhn2yhAoOe9w4MWn/tBdzVdDY8STAl4U/TOk49OXhidfg5K5WuprdMFVs8uPFZu FDKNFxXFqN3s0/cd7VhdAAHt1gUTGcxIksgwG0fKeOI73UDknf6TXdooleFwpdSGnyVp0YCSNMJ6 JiUKVaXpvNQaXU9rdKZ0oqdGeE0ocm4Yy4W5/igfqRDt62RYWyfYOp0a2jk6ko9aHZtat/VIF6iL 8DhZ39AdgLkpFVk1JohGOpT/sapbpcnTWQ1jjFYExKCWUW4lLZoUel0SzJmPbE6FjXfSdVnFwZ9B a4QT+GR3BKg13fq8hMw+78yfe5oM4mAbHYiypyEmpqquwZQYoUBbJwMrZ51Un5IoYqWtTem8mKyk 3JdEg8JO15o5Ij7YEfsestpBTeqffLw9C7wRq9p/qcMaI2JJMA+Zkv71Nxr2iUEIL7gftWsbbZWU UcxeIbX6eWf9sA3SPWCXW76i9n7XyXvUiio9lcVp9EoMrZ2v0NwpulQrxia9IzhiKaQuuoY2em3+ HCeIQamwuNZcgjXJnzMticb6eaIY6PPO+eHvGiqzmlr8rYlsrnizQM778075YZm0WwQwCgcF1+eI jcJWBAxXv4R/GAQm3tRa9rx3XDmrd74P2bZyPISqbLxdHHwSRWzf/QSsyRJf1jJt0f4jPmqE3PfQ FcA/OAtZP2k0sFIRGYQKYZ+fd7bPb7Rm1B6xsqeXAt7ZPlwZXTW27B3zRuxvpPYlSStlIOMiCqIQ FgF2NGmHj3fvIBHDVQFz81zMUx0wgB24QnMBPJY+wz7yfVaEaetThdZ5DxR1K4TG7+hmRDHsTk/5 LJlf2s1u3qk+9zwpLEmJFSGbY23zBqhHNhyF6KPrPAmDWHoVi1K7jR6ylXOyCiMsLMFqIr5AOct2 sn81A/ewj4zmRDnfOVdsvGi/OUwcnR5oFMY6tNpWL40ZuJpWQYvCWFQCVxF4C8hkahdC3PuFEHdP +d5JPs9xwr6z0cN6yk1lD4VXHYyoGKmakSxttSesJ9D4vJN8lKfDHoQxbaM3QEt3GH4bn3eOj7a1 nyescJJ0MntNSBTDItg0m3duZt1O12ij2mFyFvz2zs07vYeFhnziFCZAo5eNNt0JKxudr86854Sw ALOppSMaf/VmwCp/3tk92ZWMkWD10arw4FmyZ9ufd3KPLp39DWGBSt/T9Z3Zk93AkvKwkbWLWJki I0uG5D+VaHC7U5H1PYSFqiFkC5JM68sanucY3RuxZ0URkgqD8cRtwz+W/hRKpKfyzut5QhOi6/7V Sa6pzmKrpbbPO61HMWz6niREWM+miQLYqhbkFhgWjQNhj+hjLeFZ1Apuzclx75yebC5EJ4mHCLNz fUpHZ1n0iXkLd+aq5JVn1QLjxewCERtfJU0HyTulR6tk/KGQX3y0sD637kIoc33eGT358cKyfHjo Oh4emLwzetimGb6lHEqxwprufgx1wMkaeTlq4J3Qc3O/za1l443pYZpS4xD9a3PMndyQBYJJyANH 4hT7vLN5mI9lu6b7aA2aM9Xd6iWtfN7JPPnRHu2GLTnnkVSMNR1h8JpoXXnlfecrxjq7S2SUSlBi 0xFVW2UaLqDHdLiHrpomiiNbfGM5VkRYURtZ/q3Zcg6xs7d9YhCUoIfRhmZk3BlJ2H4uS3Ha553F o8U7fuqtUkq7B0kUuRJpnh9VBbQpbXQLTs7VyXeqkVUvgPqdxOPZ6rlmVvLRl8i5a5J6pS2BuOPS mtIoK3iTrPocJL0V+8j3g4ReLZym6qP1xDuu5ArU0v68k3jyo8ba/yhdFMyE/qrNShS8UkC+LHXd iiM7UFhORP+hN4xMmwK1dxKP4ktOEe5xG73PVE8A0mWFhQJpXWpyYfZHKdUi/fyN0945PN4iQ9Q/ +6jw4lyUS/EJQMTPO4VHTQ6P0/h0WGziZGtKotqr6gNZjCVunFzV7c2SNrsEjccQ+p3Do/qopTfF R5sSSvHr7BgWCiqOzWVO8cI6S+/HmUQggXcOj1J86BjKheeTCxesQnQ+DqbkPXR9aOqe5HBQAIXw SYmCVyqNCj3sPCleiPUqfVHP07le7wSepzow1h09UEt4Tddq2AgwrE3NjKT8BhjFzXFkvvhO37lb p4thq9Hqae2aL4Ilf2fvZFdt0JRILkVHkp+w7+wd5ZnnnhnZyiQb6J1JJhZKAQKsOTuwf96pOzph rRZdffRFstdAvs9U/Ib5K0zSXmA343uWqED/TtyhB0MucaL/6qNDsJK5QJ3kr33eeTu6CX1CJMG0 Jbbi8xFFrsRA9GPnbVVMu4sxF7b/34k5k7O83lk7Sm+wAU86T9ItvyInSTtc8dhGQpIa/YJiVQRc FNDx2vm8U3Y0IVTTaLLbaIcrMbDOkX5OuHfGTjZQzrdEjzpt8nzvna+j3KYKFJBUhc6lX7hRtxwQ GOZMLgX4TthhWasmMNXXeuhX8+zYc7EUaTuAkdyqM5KkKRb+CqRs/8Qgtzkfd65ey/n6ZQOJSKe4 tffPO1knm1jWt0CfBfzzGYkCV7KFNdTMqpRMsgclpZcrjZg823tn6mRjwXOi3tG3TMuqH2nLQK3X lklakvoZ6v+sCbwzddRhVt1ITXFhoEVyO1mSCZrCa/i8M3X4rfZTFEC1QOBom5EwapXL3ahXzM3z 327W4cK8D2fnv5N0VJIjapXXs0abkTUb3ohVkJpzsSSaPIsCbxNx5KrDS/rjnaCjxGYp7U0+Wjmg lKm0spau7wqi1l2/5QDURr4L5D1qRYGKXk+X+wjd8e5pX9ESEdhosE4VjbyTcxRqD81l8dFjtBNG YKCnP7uAotii1xYzx67j4Z+DDdFHBg0LGKIDmIWNluydvMCCrBPhfd6pOSobze8xQpUWm2Cbkyhu BYZ0ZkIBB+xzwmPhBCbEsPaffODo2mpOwpqr2lpFlffyQOfLquSouCQlggctEuQWaIaQoOdn21T/ yCge4QhBQdhGi+XPSpsONKqfd2aOLu5+iyRauGdOPGp9J+Z4iLbUdxfzfI+rgbhcNSfbymHbRCVX zACmrNzOqL7IVCGN1QFGHs/F7L7H/N/Z1Mqok3q7Qioo77wcryWfKGI0H602j66ifvta6+edluPh 3bNM6MPzp/mchGErv50RK8zCyu/h9RwlcsDTnIQFV2oCa6pMMm+Z5Pz5ZzFMOKEcrjAY5TQA45k9 rvq0l9Jk4frOyLllEun22OiLcBhyBVHezzshRxlf+qkJnIuECNFmJIpZJy3kIllG6R/KzFCiMJYF Cp+n0nzSW4JAXon+QNNCo5eOTnyDOjb5C2CsahauMLiRpRrCHI2fKC0quEoyf8jPR6PVSVLZTmpb +fNOxdGn/ZywHP2mTyxUWhS2Uns4S1eHKKlfkRjZlIRQMahv4meUzeA7Eyc7jXjhkG7jpRGk87PC U8YHi8MF1glkk0LvBiMbL5YY//GdiZOv9OFK0smRl4EcskeXWuyJlOfnnYgjlJ/vHf0ngcl4oHoh cuCcFtt8bbcgBOe7rdh6znpMGMugQSAo1jsTR/ggzYbSl/bAS06KV8/pXcwbrPQp6TKI5hkLMiuX pC896Z2IczdPNxne6XD8Bhd32QXUx+edh5NN7vXpCCN8iXedTUkYvSKRPppRbjQ36uScWKI523zc QvQ7CYd3dEGQ0PbQ6DMicU+6P1w6aPhSLEEBpVDJWSQlVwsy2ydGiBsIOBkIksaLuKkez4/1eWfh OPjRVgnBSZIihs/Ie/RKI0AdJiJe4QZUWmBtJEOVCDcwvdP3TsB5LmIuMBv9DKzlBCRJ8fxqFBk5 YiVHyk8FtPah4DT7yOAixm9msKlttBwHNykFbOuEr+8EnP+JcaU8I8FDv4nf+Tcqu06hBR7cQDaB v0r7TOlOk66FTUpYeBUqfKhYMm6xZJyrEtlGyWOCWkMxjVo0eBu0Gv6YJFdWOfXzzr65IGhEH3zU ybgAv+m3P4f65518oyr2E5vwb+UWGY4beCff3EQ4A0+mFI8E+MUNkMLjxnF+6uoltXf2jToWXYiB 6aNF3ueg2sYKIeBM3DzsrW5mqummfTI3/LwzbzQjAoTjiLS/ltNobZtLNsjfMIKtnvYZXAjZ5WdG QqjroBdYvcsH2cbyPuwHrRidthej31k33oY+MQggJI1Pu4Ki/9YBeqLkpQAG3NbghhvfNKfZB0Zo myFPie6jdW32StbM3UXPRl3Pn8pAFQQtezXtnW/jcJR1neKWwKSKX1fyWK10uXQyIXH8qniv3tF+ xLVRDEpSH2twb1r1SkmVG5GfIgqUP+9EG6UpIAUk4Ncf6WEsjazYcVLtzzvP5hdqo+oixcB0USUt il3RY8knjnDSJ30RI326FIFcJJtDslqIeLUtM+548crrLOwm7MiY6Pep5Dolo33OfeMPQOKb9onB 9UvUOgBp22ghFy0DOxpX5dkgTrtweSKZKi1En5AwdFXXc7qKYaZ5pQI97eZl1BJaJdb1bFHB1SZE cPj1aDFjRHEiV/CY57I8WwndIwQbdLudWDc98PBuEUmIFdg4LpLV22j379KNlYT14tmgEZxvhmMT if/IZRCEoev55FX29qyvlgsWWFf5fgzP+vp74Mq9T5kEIoqNfoycmHc39Xs3zlUmSiZRSQ4QVIye PDjZJwZdPlVKpgSH5/VRR9fWNk7plWcDzPxl3LB1cKKA0mEzEsWtQ1S6an/+rMJRaP8MV73f/Sqw 9QgtMKyXNYuPjvioo529wv2fAIlD1zCx0C2FsyceMfmoHtVcaZP2RopooyakpwsBbm3xbHD5tv09 WYGwtOrZTQ+jVhENhLdUAQmNRfMvMiejIVjPtNZ4j2quXVNCQ8PGiwFG9CIpq6NIgqPJlD9YUefv x3JRGuY9iltlKz/OwerjrXGbhiSWuzwbIEouLJpNmfkditC2mpWQmUVZqMKkr/+ZdEe2iGRyq+o0 GaM4Ua1HketUO7gKBlyfGzix7M6kNoGDTi6MxrnMuAtoLOGDnfeqoKRHkSvo5nMYnaPSRrtzTmCs H/sc1YVngwM23dYnd87WhrUpidhZ3GpbEXz6L51/Qm+WrXMCiKV8+CxS6GKakRgvsF5mpG5kxpZK A6vjkCv1fVYbaVT9Wi763omKr9bRKkOqUcyLnarbvAzPzi48G105P3w1tJSBifichIHryc1dgrqh dN+dNV5nuazxG7b2kKGl21cKuutR0EX3ptdOrwZC0om2aFc0tLwL7WF4FpcCLD5jf49ck5r4HYao j94NmcbDRjGSZ4Ots37gaTAK4F7ZjIS116VQXmxo6d2TwQBjLGjotf8MRelImx7jXZkTOVctk6S1 ZYLt4mLzpjPzuUh0U0Yr5KLCAV9KwbCP/Eux5Pxx2UdDlnBxDUuDJ88GR+z4OU7YNUhX2py8x670 jLCyGFKxEQelmhValbvLQIM6s5Kabp0oehUJfOFXaaNj1FjeAs7KtlSM2jnFwEYfVnhX4yaNZN8Y TAnQkjnkLD8eZ/lzr2tFcivwbJQFP3gsKAUquXsW3CO4q+RxU91mlncCXs+CUbUx4XJQpU496RFk oLMrNrr7jNqlxCYnNzrZr2KTkzAVtOTmlBsonRyJmXhRDUw0r/9LbDKXFO7Xvu1PjJX1Z50NxbPR OtnfoJ6+BdvW5iQMYSHPWx9r/9kicNEfX02sti3r8GUBbI9qr8abL+KwlYfDhmNzyxL82biVJjnf ChIkAZAxvzPinxikfTIUzDKhzNe76cxDcbmS1Hg2Ynyun+BE1KOyfO+MKIIlrN99GRZ6C/QkkJo5 fQnUqBM26R3RNcz6GOqTjysOBYkWZh/SexDGR1YiDC4Hc3fg1/cW5jDn7RFHWpnfuqMFsOcp87vL rfBsxAq+JXqzcG3f0sCIIthGdRezNdWK+CeTJzy/sErUWZIgyW6dEZdeVXRNyUc/YalFE4f/UWcr LcWw0JszaBvSzYu2UTo8YtIWWd9SBWldah/cyWK2tm3wbLBOyvoeJ1mS+neVhJDXpdmTaiUnn6pd ZMOtS19tSCl+GrZkRMVXScVsWVqdUQR35gTQKyBiUMBw2YoynWIElz90pLxtQaGZtwfgParRe1Bt 1GhZw9ymtIr3C89GFfry3TqQx4FS25SEnC3pE+bk+oTiaaFPKBVZMBWlXYPsEcWvQlIgLqFRH0zY fQ7UnDELlG3KKPrvYfqpofLF7Z3/Oe/+W2cLbIKNHryWbASLMRvPRifJz3QAgcfC0OcjCl8lXKWc +z+dfWYrD1DQ9RplB2/zEUWvjilRwDafcglJf0EfmwrjmnIQmqJgS+ZIEE8HvKoSPaKyq1yte5bm qRw6thV1h9jMf/Ca4OFoiaRvxQR6xbiV6BFGr1k9WnR2pKJm54cgT9hREKsN0PWNG2dE8auExv9P JXr3Tum1iUl04nkhsk58Qnl+2YV41wnnJK8Pto1ofV3uCP0W7U7MNU2g5OxOnv0HnqL7nKD4Z3MS xa9oumT0WQwpXrPVR0iHz4aSUmOHp6dm34gwr9JQ3nJR2Y+LyrllJ+oTEsdteEJyC2+d+pxN3yaO 6mojFBkg80pLpaT1OMC2uYyEs5iRMHq9Eb01LLApvBsnRA7AOizFQMCrSA9LJr/JusTw47dFJSOK XenOT2GkGNVEYI2c35YMSZItu4hBOeVJkJvcVh/cHpLKmddHUYk2jtK+fEtU3MCSpMCulWf/Ebwa a5qm8j1ao9hVPf+d/ChZpbnI6SrJj5J+QWojjFy3mlp7+OiFxo0h4JI/CKIzxBYnM0hYeM1fGrlM i3l7ELiSBzcTUZvX7+HcG4baaGtVng3y4DK/SwRmYLrnSBi3Qv2VkwvRBzapVfIkaHhOs5I5gV42 vM0IS69Z6JJn9IgEDf9F5f7klFiLQlqSuFo2YmW71WirM46w9GoaWGv6aK8/R6CdI+dxnv1HaUCX S10mVS9hjrDyyrLqYhErSqPDUQWEripHUyVEb0LlkhlqYQnKKEG59QjKIQPVQFNklaMpvooKqwos gNz2Re5N+8Yg5+NQ3Zx1Nlo1+txlCtLOfDeeDaakPbQk1PulZOVTEgWuYME34p3ou+5FwCxivRxy JTu+dcQiVhIStbRhgFbbeBcJpCTXGuCAYZHo6pIrYP36U1FG0SdG+4b9j7uVjRaU5GmtwnPSDZ6N DpJ1cfMJghjwC5+RKGzFgnqbBav06b18tEtxHmxfCuSZkZinRapHY8HGp1SCRrLY0ljIVL5rKt0w ouODPxJEfMaFV8rQVFVsNAjFGAZ1Kn3q2WhCbsOCA0UqpfkqYs0obBXMI8lgXvUjOYpLnd48MJYk KapPyt8LrxM+tEavqVG8TRLTX3viOdIUyU9pdravzahtmxAwQJg2gEbZaKUSDCcVr7VVeDbSkBtf 5J7k8bivbEqiyNUiSWJAZNfPgcVxoZQmm1zJeR6Sh7A2M4pdh9CsXUysfj1MrJnVm7VxztTQAwYJ J8T2+QP5ce0KlqY6rw92ThFYYC8fbaGkoXLGn0YbZ0aha2v1G85LRgauqU1KFLua5Df4POkvgoi2 2LXCphE1WIYUKtDPWGqA6ViCIa1bzpiloTTesvU/N+qubB7xfigi/Xg7qEk+o9i1Ug9fG3E9jVZn LEn6Hn/OzTx4NorUfgCNkoC94nozAg2QVbLGjVYvjL6UBmpzuwvdwqoLzKjyupx+U3282D36OBJF 38Tyksca3O6ZM4XA7lHXS/aJf6mooZDjowUmavcplRuNZ6MMZ90MhwP2/OdzC8dcrfLH7glmhPvO hAbmIzTQrrPojAWyxDgxBY6LA8YoYnepoGL8jdu1bl9sbE4wKj+D+sV3zqjsqlxeBRcbHZZYl+BD 52zQhwXzkX6bfWSN2Xs4M0INyD9HqCDpuTZEG40Hq67Tf5Za3dM1il2h2YGbLT56AsKErCqhxQGx QgKM7KqMPkNJ32afakczCl7tZCVltvEW1JbJ8+fceDaClnikpmI8EcB6zteQqNXlhCi3BhEfBBeH joQSulK+IlcVHSWhyoA6oMSjNl4IvRhsIK/2uam7TAumDi9FbQ+ScTf7xDguGamV6qNBXgdHthVL Ns8GkdrFqFkuZOVNzciKglfoXOsy1ladXjsaT+1IWjxKcFYEG1gqMGKQY6OnwKmUsxJEXcMgsgnb KevtZuIxD3dNMq4rhA1sGTe3O9oaTE3i8FimVp4NqFr7lo/4eHgW+9ZKVhS8ys+xTlNAmnALTKaj XQTB5idUFrxC3IDU1EB12egdHNR9cpJz9ZJ9DlcwDE7pOmeQFC4PLbWfFddcmQzTGki36DAwzjAh il15Ntg3Zf2E8+AV7lmyouAVXu6J56T1i/WhPMtYHIO0u8j6sBn0U2/5K8tCm6doi2ZZwlOcV/Rx 7ptqZK4BkFThq4Ul1unjUuD1UfWIhvqEjqfRkIxtVlv7perTovj1t+gKf+J2K1ZI15JC5VYmTPVo Le9+Sta0CDZQqwsgrRDw2g2BVnx8QMAnJcOp8/wLZlZTZJ4LzuZeiNxHlLHaR0aqjBSipWK6v/CV R3Yv582z0WFSv9USTsdbh15R9Epjc5N+DFQGCHlBh2cpsWhq0B22ctoK+VrSKxniB49HsxOkw9l/ qkOjq57lFimznYqCTfueJQpKVoQaUNfzZDV39Arm9glZKfPsP/SPBHJvP+WSFYWu1KoXWrcmCJUv aGDcoKT25i2tFQoNSDoOlryN3tI6IQHVARVLzpQAkzzHPypcYBMAol+/BnH6VgQaWCZYgr2fRiMn kVKaz2wfPBuBBvYPaIBI7zaDV4h2VW4o21h5EVOCU9JXKta2smt+RFxWGLrKAVTGdBq9Or8pPi0V S2B9yQtloI0khhaiZhcnTsDM64M5EReWDgJj0qkgW2wdJT2lxrMROXj+YOdZx5fnuKLQVS38vryA lru8TSUwvwQV4OeUiKKO1xDyqiunCoBW9xPO4x7UuiCu5zrGn4Arx7ylAGB/VbKIv3h9FJeQIy6R 6h/INReAdepW3zz7vnPW1YMqqg6ULz1phYStISuyaVCBs2AQWRH4F8BBNk6O2MNJb/kbuETHp0ZP +jDFaXjDnEy4mEsQxytoXMo0zzLBTEJvDw4TikcTt2QbHVsym3Lu2lfl2aAd/F0m4PZPGnEjtbD0 em6vLWY2FST5I+t0zcNtK1pfDotesciriR8NH30+MncrdX8syKzoMhtZbBE9pT6uFQJX8fq/qLy2 bifKDUvOFG8D380yeDa4gnf+XsGqINXL6ttR8Mo2PgeKtSm2kLVCDLTlppgdWJ2WyA7JWrpqmtfo r/hRnzixmns3t4K5NoOJzVQzzWfWZMSLf2LEYAONtaRvsxwGTLFkWBMGSdMdBa+7PeE83444sve0 9nvsCpkgS13g8hulXSQPWe5l0xmYV55jxzoDwgrUO/olvJDvVCaeoONI7WXgspARCAbj51eOeg+8 PipGD5lTTx89qWxmqnbOzMmzUUDvV47IvLRRgXHYpIS4VyteVDNiOyFUcq0BOwNMa+Cq7+8ofEWu bC7TFJ8P3OakBRCnm/TmN9JKArIRK51zhLa2B/QANXl5MCVkfRnMj40eB26zNi8nXObZoHxU7unK kaIXefC6o+AV+wfT1JAFjkigQ56Y1YslVeZa6EWHiAFj15Q73oJaxlRL6uoz962y26CNhvDeH9Ef /Q5WaWBHoav87lt7Rr3+pBvDVFh62jwbVBnL+ElxiNQu1GaHkNcqo3o3JJg9X62Ba8GW2iWd7Jio JbcK47BdJAzcgbVLUz2EPrAko5B9BbYH1egxpgPbz9sjFAX1+S5dqH5jnnNhuYTLiY55NuqOl++E SLkzXcmSHcWuFRhrNacK/dPwJvCcTsmBhOY66zuEDEh5UELqGn1O2kk6C2vj7JqTwEMvoa11fgM0 0b7lI6kj71DhFYPhQaPHRuvh7K1QRpwcnv2HIJR6gqxPiK02JbG+K0e/4Ns6SEAc6Z6Z/ITyR5Ue uKqMO0IMDGMdKXhdP4hXLB2nup/nSzA6kFEf3imSztvXfFkVCV4f89e4YJKPhmQ8nyjARkt18WyU 4qzb/QR0/LNvwtiVk8RcQPG7z461GaaGBGSgX1mbHUIGRK/AJ9rGG80D/bXWJ3CKLOl5fSGti/5F iluGsyPIADJEJ58p2UeLXDF1tp++Dp4Nrpt5+xVTuJJz3ZSrxh9WXqXdW9y5EFFNVY36+nqb7GHO hTsOXJuwv3e82+acn2moLlDQp5B/N/SCnMxp/uEmLfvCqCxQ5bVcfHR4eBtKL6GyfXaIGbjicvf+ xXneJiSKXFHPNKiAuRaKKCfIHrxm9yfYLr+wI9AALiJTYFAbPVBDSrjyB9U1y4liRYPFrARpBwFO 7HSdcrPasdIAmmEgZG20smtbVfQi4j+e/YcIsEIlidhf8FFOUexKCyB37kQJ3naIsIYakLEx3OAE 8MQE+VMYvnZpI6uVU37IwQvvTNN3PefHuXmoZEK6QVi3tq9F0rqf+T4vrOMuf50uUI/Z32ATohAI vpCejtB77fZAsROrUtO7MxNqZSkRI/rvJjgg5zUEBzZVDQK3swKS+62lMIhVaa3oTi43ypwpzXO6 AopW3/wEfirBZlXV8Jl8nOjMPIr3/0UgeVQxH+vdohhJKdlpA9vyFIWxe6XfiVlSQfR5eQ9jeeZk O7r5ZeCw/ajdgK1ddKB7MSmnCEMgePr/titAeqHSAhnCNTbDeQ5M0/KUK+m3vqaUmPdH2Bt1/Urz 0To6faxhRKXW9XAQppTyrZ2QOc3bv8gpCmWHqJmqXGm1EKYYioC+gZmAppsF5hSaa+lSngJJzwck TdhGxV49cxx6TWyOywBBEHm72vEijo3eH+HWRGhLyUc7X8qyplo5r9PD/+jrKEVGe6I/8xIFtIBF 6KxMc1AayxjC8nmD1sYLEtQXiYynqB47lO+stX2862XCesQ/KqlZvJ5ghXKl+Bgul9yWf2ZQtVep De12G638eA6+bIQuZMZTiCbIXkRRWz6rDFwflf4URbYlq1pJNVkalrl8vQuyAwpkqGJTE4W2wwIW IbJqL98lgygo/YNzz51DZaowi35npvWhrWTVpT39M6M0OQMmyHe0A4a3CHxyvlEPv09NzekHDyvP rfXcSWFxdmJS552/NbMF/HlaWigpgrLuTorC26km+hQ/dD42Fwkx7Sl2KTJPIuGDn+YdYOFlYmgX 9Zj+kVHwAuCxS3tNPiG8/9x3dsafq6Hr4ahiXb7Q6YJa3mPsmGI9Aiovw4v4VcqN6tjt9iTL19Uh hdXZpdywDh8dpgSSjXbPHzmizK4yE42JDP8lP2ZkBVkpe38Q9cvPHWHuLokyUxuveQjz2M8Tevgf 2ibG8VG9ddxpCcPcLf0VTiV2EX1Yoy4Jl6JK/vJabddr/oKfXl06Uj0/jB107hoyvXDmS1ZZZUq9 qKgNVu5ycc/L9Fcfg9GFWeq3THFC5m3lonOf6eFgF9Url8RuIqUa885LXKclxh3d9G9yEepYIrBL 7qBSJ1j5LpioVGvlpip96froS2NGhkUUQlQIvyuxHuLEZLWVy80ScaK39wf1FY66TYJno50u5yBT 4aIOxXWhmUG7/pe6TxtSfV6Fy6ErF+fdKsmClZUeO4MJstZ06aqXE3JgymXUGiak+ujTcrJ+UPGs iDZPjKOKPrc1NAQkEG5QZ1YggSkXqxFgudSU+1VTLnt38+um8aiHg8Nl/+K4KOh/XblCihf1M2kR SaTASSuADa5IgVj7CnUDWy7z9ppbNqga7UgEf1Gk34q4Vk/VRJczMoQQNx/5frOgil25lD/3Un20 KkvqzTo/5xTXw0GgW269Vg1/RB3TjV0CXy5kdGjslur+DrW4bQziO4ZUqV3UMVsroTqs2uqExzZ6 XQEeRqEzhJ3uCdi7pC5lpzuEtflKCBf/zL+0O6Quy5jtzOWC2Hbm1qGH/4VM4evPO8Z3sUQiW0tH bsne55AZqWLdJUQNLUPppdrRErpzDTHzh1oe47Y8YFeTJ3IWQnul2kBmxMHdk5MBHTQ7sn9mzLke CQSRjVapJJA25F/JejjaROXb9ICSA/vK5yXS2QJ7seVmSh8I+KwaqRtEsQK7c2ROt9MJ7LmSKFK4 DHcfPZM+cf4CCMlqOcGw8Al9mfh2p6f/1WOr/pF/EWpvQAxtvFA684Q8V7x9XNQuHBdxnsVqfvqF ObDoooaEioOHblt5pUQcsgq5hLsCY9nREqIPdn2J5qTbUKdumrlyTULhC10HCr/pKjLQTir+kRGS aasLtHw01A6qq0ap7UUP/6PzYT735waq5c5K6HSQJTuW3Oc+E0qoGVRQiKkCINTHfCm06ZJnmZhB NvrZkirKWEDMz/V8DmOu7yEBxTXlTn2Plln9K6OopaiskH20E33v0a21XLYeDs7ca6xj5k5dRuE3 zA2dujBkyGxYkTaySBESFS4k0k0293vnOzGR20E3Bku7o2+jszrODiEC3ZV9yaE7ZD9C7Y5uuMVz JdXqnxktGJwOxMGel4NduylhWoFHDwcL5innmrQDfyIVZp+aMNTlcE8rFwcjpNYdjNDluYsI0v6e MLGEgagKghfPR2YYvMBZNMOocXkrB5hdy7Qaf8NlHczuLnTsEjVuYQNro62Zk2wYAO78EXo4EtX9 lTes2MU8WylE01K32t30T3Ya3REJ/SrXtZ6egC6EJFilW6zBL2MfeOdJ6OTEm1ZbclmdcgOiWkYX 4BJt8/SPDBIjGRPT/LXRe0QjGUSp16KHg/i/7O+sqH5J4dGmJbTtogKyKQAADNxVEuXsReRHqsRz +lVFyaFvFwhoSLZ39G10wjt6iQYMPDfdNBwcESNEqqfsMu3YDX27BAzsEqbvloPwZ9VlxJZeWCuB cRe55lV30Nc3TCPvHgq9u0i0qXd3O3c70aiMzdNUEx5Y3byibTm07xpCGbd+RwfwnUS3t2oU5I0e vbgt/GHsUF3flgAs6VLn0L9LMZ1sWWxUPWrjgDX/WB9ND0d8yl/Vfw7v8sxLrGdAa7wCAhEgDmKc JJb4O4xRyX3h3qKBhxchEPhA2PI2XjJHg5kottw+fxqApgkZhX6xmxWrritKp31mVIwiUdwcMRoF hCPpV9UAyQU9HMW6PwpUKD7lcmW5cmDkZbYjq2cXtJOIoygu+RajSr2eVTnw8TLtmWnbZD0S/edn KgXFe4wq+lgqS4HiwO5TtOAHrA8Uyj4y6EB32Z7LF0EwEyu71Gm2oSXbx0UMhp9iFFHZutqYOXby UnUtFfdEqI7caPZP5olQ76yEINsuudBZfPRZwRLrpBKG9Do7xbytnEaAbNxdK3P5N0Zt+SJHhOmj JdFUihWqtjn1cETAvYqhbCPd0PVr5ByVdHVdyjBEWP1qrhFCYyc3wpOMgGVGoanXUjdtKNodT7Rb 1QbYUn1AQNlqlxCXMwLl3DAe7d5jN4x2q5jJIp3OSzrl+jfx7TPnevhfy4Vj93wN9AGflyjahUHC vDhyvxmGv+JUCt8CauEo7WaMgbGX4wa3StkabV6QueeyV8Z4ArypBSMIJXT08lxGbGK9PWrQ44ZH 28/G5AWoi1JfQw//I9iV/hRn0PpeRlGsi1vSqt0tEmQvbhYJ1/g7t4tsyYGv1w3nxl4+ekn3HIUL yW0a0th6WctoqwIPovI6JAyB5HJo7DWQ7m6grGy0zAialLrMK1U9HOWLj1xmwluzP/Xc0Nmri2k9 HQEla0whoNpjkWCtNc1J6JGgRvQW1/LpWUwk3htUS62Gc8ZuEYaQR8YOYDzNxXQ/MbiEzLe4FB8t 9kdCwLZPmno4OFjmr30xhRnIvz4pUYirSL9kKb1zbRnBkNu5dkdWFvwgff/EvrTbBads9Nv5/Jwp myOAknEAl+c6Iimhbwf1ZWpihPvX+/+CRB5oNtloFZeyp3nrYMOaQ3+v8VuJAnG9rv59Dv29qCpm xGDtXOkXcVtPrrgU+DaZCVk0F1p8Kb4FHOmjR3P49Y4kDCG8SzGZmTgzVy4PpsMkInNo8qXiwpm9 5qNn0eeOU7ybxtTD/1CctZCLy+yeK4HNV/l/EnJLdK5V+0+KdSQTQrkdTjcq+Lf2H/h8GegCkEvy 0XMi0CJIFEgx5PxfIMRUzZFxL4wEK/1L3lmvD3rRk5oL3VobHVqZsynHNoKW0Otr7AdtyiFwVu6f ff1Hc2z3VfCDcx2VvVa9hgnpGiZMJUzJXvKXA3cukx+eDwKoDUzDumL/ZWLW06TSIFLMHwq3lRZC w69pWG2listTxRM9T2sbgdrWw/+AWJoy4qD0dmfl79iF9GAXSvd6bs7CLkiEdt+Oa+j61SV6uKjU afSLqIBwq+atgSdjlfYsRCCigP0IzCByYK+P+Jd00KZk3qanoieQaC650wC6BK5f1IJ/dJtpUQEG 82mJY9xK61PgSukhdLfdRF9Ku+hkfnnfw+WvhrWrK4XuN4Wemc6fTnRwlpzAOEjImUGytytdkTcq hfb+qEaHwIxIiqNdLhne6xdkPPTw/w9RlYm/zveKDhzAOM8o5WEcIpON3ARaVwruKqxV3piWK4YW YKbMm1SiS+2pQ1XpQ2RFuWDHHG8JdBFiuiSanJx6PzO6jcQ65HzRaK3FKYFcNRmzHg5KdNdLkMXF wfLkRKEJGMLbS/g+OMzK1KV/p3+fWHY6C1WcCzzAUKaQqplEZ8Z+GvTnYGkNYPJZezgaDV3RVD+n caieQrevllDKS34sDZKqRg/9VzHJmHPR6+GI/z9+dtFJTMb1rs2BDRjsEfmmLmd2t8vs7mNcVUDR mDUrYYyrO8ay6P5Q3dfJV2bOgv9UIEwS+8aFPSOMpeaOt4rS8o/8C1ysGUmmZT9bTnIyrL0+qM4F RmBEdOknosPxDBKRT0tYy6XQWeW2xB7CyMzURBYgPkkArFKdbJdDM7BplX+5A+yLi4LVk2oRVhus fxf6nVSP6Jm/J33Llvczgztah+4QuvALAEZG1/yfaboGdmCog1wulVjvLFri+Ds1sY2tcLoca/8p 7C1WlCrCdgyS6NqGOz3l0BPM1gxmWzZeSCqGUXi0osvTierkpofEADcTCZ7zIHbxz4yOF7SsDL+w Hc+Nj840XFTaUw8H1/T4oYZgQZnZWzYxsS3Yxlshe7qYHO2O5OPjrXAd9XLgCgZhXOYK8k//ehuh CQgKaBrKfTbhG6hAgbSUIoCli2aAlQNXsKQF2RuldxsdR3OuDEOLraWHo6LL//Ct6ap1+6SEBV1A ByByDLng0lawSstFLkhypNtLInrIfDGVJzWnMy8uxPk9MYacc1gOLWO6b0i3/CMjeKEk0aUdsS9p 6xy529qLtRU9HFxFvwx45PXLxbiExmAA2rAQ8MmotThpZoimTHex9wt1z4E3GLufoGVLRHFfAXDA PwlhTE7WKp8zKTxBqVSx7BK+2/ZvjNrzKkLh46zR9mfpy1QQTwSjh4M+UXuuZ6CowKavnUAOzMHI 24WVrd4JOZHjdmRuy9Y/o3a0/DKK3cGEWlacu544d8pbvgoavjFOLM04rv0PNMTy5ZvZVRSYgyUz ntgife9r2NKoppru7hhbD/+DOGPtsyEHtDstEUSXP3kXVSXx9eSuEKA7D5MkPT/JdpPsHLuDiWD1 v2Du5yRp9FWa+q1Y5RRJf6tKym3AmvFgzndQGOVKWdJUAtbl9LI/zVSgzaqHo9XiAF1VRiS6PJ/T NopyyQkXXWFHoa7rtLDXdVqYt+LSQtwClVwApz46CrXMtFRhOefVasjTgOYQVBrs/HiYzn4/t6iS S60G69I7GsvqHLjTjSiqHo5AUX4/S/npZDfru1hC3AIQ7axb14zTiJMVxtFBNZNKRZ924EZhrp0s V571Xs5bwBYkH5DRp7FGhdtcBYjUU3oU0lPyzwyWC+ZYo6kS1W4l6mzHnb5hbugWJpW8L56jqOD5 nC6h8leR0P802/ATvohwhnxCgYaASjrWsh7RBZZh55ASC22rA7CfC/Rkx2fZq3WrulFSxKceNHBu pdduziHXzhzYhhnnFpb99tGLl920Gsr5l+rhqMp9ryIV6Ro8X8+LPq9RS2HzSWlyqgK15cKgMm4R ynKBptteinqNWQr9kHXWZkcWWKMV0M4PiKw7yRn3UZUc6a5ygERsg1BuGrRQMJfXkOV8oIzEB3wZ Gz1kGZYAnznm0Zf5KCDVFcYNERVpJqKzJc2k13Dl/MsW2GGU14wPIS+BLLtBxTr0n2uSyCJ3UDAf 1MhXSieet9FX90RhnaikoZE+quxwdbXxp0jc2ObDwcqv85Fs70x1oKcVjayz2swnulCufJ0PlxBh Amx9QBWe2SbkNVQ5j9C3OcGnloC4yAK1LFGrh/ke0e6yCXkNVFgg538AvSb5aAsE5u25lmFKImPU gd0grrgFgSrpyz4Tx+o1UCkwWekLIbw43JxEwaECQUFQ2+c1TClWELe/n7+eNyBHKnP7aLecL1rz ch+ELBL3oY1LiV/Db53X+KTAIdc0aI3kR7F2A1s5cWiTrDFwHwlgFbEnh0ka/0pMvsYnxYwBwH4T trFQ1RxrBD+SE4Wk93kNT8qjzwqWlpNVt02x+XgNTs4jW74hs912kOc8fcuHsEic77owBotDXbZ0 1oKPN4dNJ9f//xg70yRZcqO7/s+1PGvDPGj/CxPOdUdkkgx//ZlJUJNiVEehEA4f7jDMdBFstZmr 8QdjVgsR834t/oLv+5FEX5WFeL8qfK1hxq2N6fh0BtuRJO0ngUSBb+efXX07XpOS4hxWT2FJ8c3s SG2DbBfxiQOXHPOalPCBDzaEBNXWJ3xUOCTSXTrlqAHEhHVifiMOq7opUnbip79vCG3SDo/cV7tg UOBTTCx9f14TEp2P7OHjz2ULMQOSWn+wIbIcr1P6b/PhwjMNx4MHflmVZK6aBa/ZCBui3AfYg61+ v+ByBGJdFCqEAzEkBN+LXPCfhxSTYULzhsEHY/PFU6PYenc7m/LfqcE/r4lIeRIRUZyha8gxwfbj NQshnJ4/0crLWUKaNqlH0C9cJYNm0/fymoEUk506B2MWX203BslTlcV92mRp4LJ32U31zfjatNDq +7xmH8VZU1j8+nqTd+cf0pN9zT14LWn9azMgQv1Bw97kCaNvBRH7rPmoXvWGjpl9K9LePkl+ba9x uro2oXZfndFwCg+sBcGKneRloeiF1cI5dQL0cmQu5kDG8q/dNX6lLIIH8htaPXbUYSXsyRY/r701 gpq56Q1J05LHUYVrO17bapxD5JiKhG7UVqvN2QzmVE7oOEfc+0evTbViTTUYHc1X2xC6ijNLVQ/m 6yoSCkTZSVAUWr2X+NKlthJ9Klm+V89qta9UKCwH4dEgdNhYfciAL4MAaR453olA3JNZI2MFDFIx SSkSMKTzDyfzfJt9mXTiOxPI/4ZEhe2rxw5YRJtpYEOdkaEpwk2QLPXrfX0VGOfqJYMPBq2HRCyz VVsCUMf69bvp2eCLudmpblsEk+bdkyg9xYooK4WiLa1yqWhwLFM/TstKwggK3xwdki30C4cy5Vti 0CDpCGuQnmKnAO7tlDCaGXND50cq0NxI3olACqhTQivVVzsl59PzDkkF5xslqGl8gwhTRNyyfE+i DDXTlTz3jPVds2XcmE34f6eCXa0kYVKCTREUXdL7tjokBZ3AVFTX4ew6unjxfKo4ANDosSRkGjsq ylEpKKhekq9e1VG82KULLjJKQ5bfuhqkQ5oEL2B7EqWpfM6I/thYh3bovjor4o6dy3Cn7onZOwNI b01OhtiBrZ5Zb/Eik3TgThltxvPcMlkHk0a049yyAS+Cb2cIoy/m8nxQQLUusxLAneTzzv9RHr48 FZFYIIB9HM1tV96TVf6F8HHSnRhP0ROIKEDqhcU4+eC8INr3fJUr/PwpsvL3/JO/n+jSwV0LQwsJ hl2DkIM9ZU23Qd/vK0YXMK6LUGNttaGoedqJtkzDKNgTg4nz8bA3NIQzIk72L4wyVknX5F1NMiPL dtJY7lxhmubw6XqUfU9ZT6xOOiPzrp5EoWRctmTzz5tnZAnnFhSOqNe/9kb+ikEKL5XrIo/ssi7b cklTjklOq5937k8xYT27hwXTYFAnk2z9C8Ok9dxnS11MM3F1mTw39qGmmvnCUKKcVYke6qK+em/+ xNdVupjcMKW6bKGw28MYlcPs8QRzMv309y1p0s/gUrXVu9ClK560mffnnfdTHl+w8VX5omFtOxKl rUgKrq05Fmy2cb3jajUFtFJhIlhjPspbmUDwmXRfvY+zTpgvckdJOAogLnSSC8HdoXuV9MD+jJT7 nrqeIyh5+A1oSavBlWZu5vy9+vy8E3781XxLuKHU57jXznv6is5KFp19uO63JIXBEm95w9AzWnu6 l8A72UfNAJW9agZ87WvOj0ipQGKAvHn+c8/uapolrZBvrSc4iF7yfVMgTWCRO321cHILP7QZP+9c H37B8tMckVcaaBj966IctsNiKRx3axYN6V4LzM2gmhwWARkylf555/o8SWzDqV6r70nP6jUPb58x jDyZMYEbiQVkuu4IZ/tLxnsyMtQWW71+akk4YPyCPu9Mn2JQweegILKDqL31z6I0lk7FEmiBqXDz 5ISp/7fi8zLnneZTzCR7qaSz1V95S+eDkpOLaK9qNCCSZY7KeNrxoMP0iu+XDm2bU+/Jc2JcxByY p/3gQt9ZPrpT5zeabOEcfEOiHDYrsdzGM53CwqvuK3I70r+lesP5nd+jg0bJuJhPaPWe0ZDovDoC 54ecMJBkwdGF8hGm7OrNAMT6vNN7VOjAe6LtYKulaz2boCL8mM87u6c8ajNW+TGE7J6WvHN7itub 1jod31dFkBUfWToERJIhPp9arO8JLLck7fWFEI9Wv3CG2qwkjAmvCQZPczApx1jzz/w66qny/Lwz e7zQ6eCcfLVkraTazC2tts87sUeNtPLN6rFDnX7fvLN6yF+VYZsDB2iBInUMbEn0jWsUDERHhc47 rUfVEp2SrSbBviwwWMMn3Sl4cZ09O2UlOLct7VFMEuRFbqdENnyfd1ZPMbjVIJ/01ZolY1z+yVyf d1JPebRULJAMgZPmPSdR+rqLFTrJbpwkPzJuHLljaWozenay0zurR50JkjR0nmz1a5j+cwemW0+C NrNFHHDtcJTGg0figc87pUelCoEEFXVbDXNDVyxbNVw+74websL1lH6kJSfUlRtJotyVUwLEwZil ysUJrXNcCUXlsLYdUbPViuFUt68XWjJ74UbW7IqNqjIhpO1JFpGt5hBFe9srBlmJrGsS8nhaLVED QdksyLbPO5PHj++zIzKVvu3ndyLPDSUSYtBYItdimVqpNrOQxHN13fx3Hg/R1dpq1mdcX2A5cZNR TktAkyDQABkgY0LjoD6hBLcC/figrUamRu3kq6H5SjEHFDoYn3ceD79g/gklJBUZPzHblCh5lc24 BBQEtzdxUJn4mCITLg0YFVt8fU9fyS9pVyqkaPUZFoIyUhvGHfHcxUrokeRWIbW/NU7xd4z2hN1o oLO0qlopyKIqUWvsyXv2yjmZ30SNm5HU1LYk7L0SHuC/2ZWTGT7qyilLkHIoGmjo2Dl5T14xo+Sr 6epE96cTvVG+SzCjziHZmIlT9SHaxHC1/LiSCCTwTuMp7jT430T1MtoyLOtgS95zV6fi65hwUlCX yJIn0KZE2SunYV9ta9eJh5E9llObzrn23vw7hedpDgDst9XbjSdInZRexGM4/UujaMAjmofM8tQ4 Ml98J/Cox75knz581fcCF+ie/fF55+/8zsK7QDaJvqW3G9/5O/w2J5DsXU3GYA+hSpBnXMmoK+fD 5zpnR97JO8WY+yeS6pDU55B00NP0Xv8Amz6ZKy3pzcmnbzG+oUQN+nfqjk9gzr8A21KtviHFet+n 0G6fd+aOd4bveI9fikvLk/l35o7OlYab023j8G23BC2j3MF/Rw3uEg/vxJ1idGPulOGrp/Pnzi1g 7eggrbWLbiZusCLgIsfp8fJ5Z+1oR9CmpY9vqwfX4W7t3d4r+GrSzwgnK6b5OOudsaNGhMTLhLTC Km1NE3UrhLGTnp1Xbv06CbxTdhRI2IqmnKNdtQ7u47MN0zkYLSPIeM7IyamlovFVSUEa5/PO1ymG cB8ZsLOttg+jmr5ePRv6eafrlEc6xncE/PnyK/idraPLE1+oZATJJY1xJSXdMbAnxGZPSt6pOt8K eHVf/YBgedpGYcQ3OSEy8BQsDTafGOTX5tdfMcrlmYZDo+xdzlkaha+ZttoCM+Xyeafq8LeSiLtn Jfxl78jznajjM+C5s8XVlZs3paHUewWcLtb1naWjEgIDurTu6lGkn+xscxvTJzmVH+z4BCS6iTly myQS/3hn6LAdylrpVdjqP7tYdlxL13tFWav3oeXjA4B4eTryTs/hPALJq4Jq4d5aNYcTRiA5AZth jN+97+wcbQifTEUvSOvTODo3ZDdBlHMVow93EngRgmS3+HDQiVL68cHAYkniujVfrbY5aXk3PZST yb9zc8qDQrO5FgwscHK2J1He2jU8Z4hVVAL3dGECNL4bML3BfyeU0Ts5x9skJ/kqd/VcHihn13Qg ncOhbiu8/BNCzn8+BUS+VC6VLJ93bo7DY4YOk62Wy8+d7JzUVD/v1Jz/mI4Pe6k/+yKv3qk56iTg VdrNA2zV7PnIKdTX7RxdaYt3Zk5xiPgWpfisRPEpzT+QE1MT8nLuYhPmJYPPQNH12915hZRQ3pk5 1MBNlU2/q3VdmZgZcr7WzzsxxxO8n2EfPbzmJd87L4c9GRpwG8R30lsx/ITVwgINXHujd1LOM6zo Qg30L2qgzV1M0PdEkF6N6F639FXrn9YetQ9zcH3n5DzRdUs0Z99CAafIYZycplcL7ptUftoC5+pH f9R2JMpaNVmvkgIhJUF80ko+lAX1TxWHYQ8nUc91Wh9639W7AgyCdzI2zmJeLoo1Hyvd4SHM0fjJ 06KeK5OfzuDUVwsnbdtUsZwM+fPOxdGr3ZLPhSSN3mGorzBztS6oShj+qdaLfpZDKOTQtIQ7Svop EXjA4BTVV++pQT5adOlOflTyQkT5BBQqyCYF/XrbJXK3+ryTcQTHBDYgB8RUpDlGC6jUJIhkPwHx 887F0Yd3O9FSQATwNC5WL0QPdA6UcM/wiOGCT66gU5ydxxnjDCyahMV65+KwJ4U9AdVnq188J7Ke MJKUz9OcHyIb81M4wtYwSV+G0jsV5349o0kts7lZCyr5JgNaz2f5eWfiqET/aSGhIgcozrYkzF8B SAlVzKhPar9inK9pDftT31y43jsNh5/RtRd9+urXzsmAV2MA3MTHS9YTQFQpA/Cr6SsJme0VoxBL C6mLQNzHnfWVtYyyddKozzsPx5vC3/wVWfnbVHtn4WiuRSOUT1ut1yQ/UWq9THkA7KTsNLwb/U7C 0b0DZC8/q7deTxyA8EV6siZyMJIpSpKIhmD9neHQwvq8s3CKMUYYfDZfrco5CYoQnuB7Pu8knP+4 dpRH7Kt7qF2Je6/gLOViRp3z/FOtUlsFFy7gmu1K1Hu1wo872daLvFmd4R6HoTML1W3N2GIjq8qu 92l3cbd3jEo/zEmGvBdHvoJKyEEKdHUKzM87BUcTj/EEWcA+EtHwxP6dglNc2ztbH159x1Ev0RzU LXVx6cU51e8cnGKyxBDMm692VBi247PY1S6FDc7nRSnR/G+s2m8rtX/n3/iWIKyZfDUeC0NhwyKB /g3T2PbcxSZHRkPMNuQ9jeXbOb+KZktkbFuSOHSQjAuqhvRyINI79cZn0SeOCiu/H6w8UpinOqFX vMHfdMGlTfuDvP5b6jR7waiBRHSdpl724DtRpDJEY9GzwRGZ6ZubCM58/v2+IVEKyzlYzDrM2VYj rvFYBUDSklCINuRvuIElIw1bb6Uz92wi12xsZqA2kM4NdfseOp884T7vbBuVKnhz5l599RHOatZQ 63193sk2xQSvH1xWlxvNxWW1KH3lC85cC86Cta48aCwlzP9I46d7e6CFsNdi7cO7enA9tdJJc0jq uW86V9iUFeh50/NrGoeAMcm0V4zoJQRVrApttZZa54vUL7UqzwapWt8/UQQuxU1fW5i+8nevoGuL pa/J2vQnfaWxrwaSCRsSQ6K2K3Kw/4M/OseFT4Zh7knUFrYU5s3Y7E8FE/zxN6r2kgFmHi0FicfY alcw1BWTqkh6NpgHl/y9gsn1+NcaiSBMXvlqmmcgq6x1C7/iumMlD9cd6++pK2dE3wsXcE7fC7gz 2UPxHLTNAJalMwLlgztdRHJrIUnpsUepaxIpC0SKrXbXIPZs7OBeeTaAzT8dJO5JxlHcnLYlUeo6 tpnzGo1c3GW5pWmmxpWTmuRfun5GUPfZQEu414dad3KZfP6mAE/PrTWQw2oy36gW3yD8eCwxHake dV6rXDVEsNeqLZE/hREJ2uLZ/0ueRiJ/iRU9zFxpG1Uhl8hI6vA2PVqGZiqB7Zk7g/Wo89rVRir6 fMolkxGez3t3jfra+falxIb3MT9j6gZ+7ptqLxm1TLrAnctXT43HULOwnUyHZwNkyX9k8/wdirx+ tSshP4uMlGzBvMAqO6CUZIr6RzU8dneWZ4+yV7G85Axlq3cIMgVNQf+DjE3myiCkGWoVfsNHPtbU hnuUvUr5PqOpZau1j7DcFdRqp8KzEWXtnhT1Xzc+fL4nUf8V48OtoWf6J/0h2J1ir0KVFqkcigFN wa4tiVlaKnJETWL1CIu9Da05sjQlVjRNpIgwVfaN//p4oh6sTbZkTDPo8xs9+tTZzVQVVuHZ4NZZ P016nZp2E5Mepq7MozgSBvFs7pK22nVJkwyRLp0e8rTg1O+lydV6mEO7IBuN6ciphOGgA4oaraMy imN2eoZ9uvz58cFFzBU8ipyvvlN4ALUGHZh6tejb+Z1bnKRIIobakbAFu5TMY30o6ACpFczX8+/D 8hsgcMaWxeJJnL2yJ1vko52+BJSaT/YHEffcYiMxsZ6SICycK6GBL7fAXzL4coCML8nsadVF3NqY 1lY9SS3PBjF2rJuvFd10wHltT97TV6+FT4jk9+e2acUkF6pufj6iJpvKpqs4SmCZqizgnL46WI2v rlgCe9KkpN4a4y4qLyTEH47SSPaOwZYABd7qwO7bgcXrspsE0AlSPBuUwnXfTj3UAoHPbjSJcK+0 IE5h1kybI8sFQeKFMpIUiOB8zPfeiaADXYxgSAQrmTFCEaUvp4cEO/D/pZUv210GOpDFbmcNaDQ/ PgIicU74l9hq/YHcuwlG9bZ5NkKrecKmUIh72/PthEnsudi3Si8kOpp6Awrks3ovVpZ/CrBRB9bA jBSPtvpNvDkY6sphpbMWCvhTiNEmT8r53ZFur/geTZiN9CHKhVabgi5PZ8spj3k2OCbtprCi52Yq 9kxOYNTPKI3lMe+tbRrZxcAm2yjk4BvNeSXpZwQxdmtkmO9q8aSdC/a8u+BZWFICVF0JTx5CbG3f i5iRBz/9L9MLjKB8tenF2SqjKuVWeDbIYoeHWFcUPmGseBdpRFms1BX6FP4I3PLKZjFfwa0y3ELV TJjprp8S3zsnkkh0ofTvmOvEDUho3DvUCoLZLnJBmlcE24u7UU08Yv6WCDmp+mpD0NLEg+CKHDwb IQh+KShi5F6A9Ajhr1khj5gvGycTL6ECzMMkF2rrV/J/RE1YTHFAebI1ZigiDAlygh3BjMZMSng4 Y6BkkbrmM78AU8NPD2B8HbgMuZetVjqc3M8GVbtmnv2XTr3xEFAl82pnRFkstjbgQYxuISlgkyoU jLxJZeB+OVEOK3BW5k/Oqhfmyzmvv6sBG88tTM4GjYnopHT7QfCd/zk/O2rSL4nCVl8tgd3byh6s Q3k2unN+EHwc+4y1lu1HlMG67980yLj9g27m6dKNOd0J14gS2DkMU5F9ta+GUocUX21GfsqyBFaM B10+D/RVHekRNV/VQxpVBoJiMZrPzLl/1TcZ57zxcHRE+k9Sz7Eft5U0wgyWjhPq0F7pLLkRqcXA uJ3m60SMrumziXJY0Bwncvbq663/TvqwgQigwnf+czOuBfr+yy7Fe1CSv2RQ/yW1kcrw1UGT1hqF MTx5NpjmXHGO7XvCrWd7EsJfqYYruVkxXbV8cWrETKk2Sr9MU78RwV/RMVq568voVytrdebly8gF KJUvdfEXlmz8+t9pjtprI5QbUDJOQ85WLxpyGTowix0JM9gH1ajBxTnJz5cTYghkGl2tvFlVnLat Qbuzy/OYrgY1ovzV7xvh+IpkM7I4EOUknLiPYGJ1AoYs0ST3TOuqf5kF8i7hx/8tM1Fg1KodobFv wIi1Ns9GMPr056fO4Qfd2Brlr0NopN1ddsCTNtjx2ekn6wKkR5S9DhELgOXZenv0FcS8mmsDrbku IbqzLkTjvpxyyaTw06PktQlNcVdHlwwjurS1Ks9GHdifI4JrZ7pxJMxdt7SYiumbnn8SZL5jRCpH dXQHpOylHQkbsJS2e8gxZPSn7kP1tGBvdnI3TEmbZa9MZweaCO02pa3dOELOlpBVmGXaeje8TDst vfJssCXbEzWDuQJ0GL4pM+zASgYqq7QjZZP3k1DRgA0lA5Wo4tUzmSF8QLBGLgBbPZCc2/1cFILx NZR5KDHAWYBn+SMt6Ivim/aOQeFXbao1fLWc5Jy/bJI/rfFsdEzSd3ZBH4sxgW1JlLvyUhlWoqQX 9kZelb3ZlIJdFw8plUrhGfK2Njti3Oi0nj79uRuoSgglM/Uud0XJeconsH4Nq5Az0zv+rSu9+l3t yymz2/z/pPs8+y+hRK5Mctn0sm9Gmat84gwYIr36Zq4PZ0uyd5FGVceALQl5W0JSdDXW+rexRssE VwMNc07UFXWarywjIj6/ih1CjM+wAbvS/4CRTniezeCJferZSLPDsxLFFFKbnC+zYEapq/TE062F kSlKRlJCI8uKYU1IbVPCBqwAsMZD6Y9p+mgoCTG3hD3dzElmc6aAHLavnLR9ODF4gGCilq1BydgT TZlEAG2r8GwwFF7pNgdsmpPzJbPNKHuVvbWQNcJIT6b56s9XoVT/QekeaV/hbmbYgFWmVoya82zJ lDYb1jnnAqbfaD7z1I7Vytp7Czf5yM+QuqVJKHQEWw1307OJEDQGOjNKX9t/VDhKY6/azQzT1yXd AbI/qr5snpGKz/Q9uXYKhY8a9TPCDnTE4tJQVHnk2Enf0zkRhLVzf+6NNw32m9006vOP14PG5TNK X6u6aqI+arXe2hqmEYxDGs9G7cbfkQ6Hs989ieADEpA2lv2JCTtf3YHpcy/gt86yn1EDdgkY0GVw 1Mvz5UwwO0lN6XLyeM39Fkg1SNrKEh6tvWSvGEVYypyuCPt8mAAFBdU6SUrj2f9DIZyBt9wh1wyp W2QJKxmGHkk/S1+nCdY3eG1Yl2tHQr2sahjp7qvvSMb4u5iP8R4LabcpG0bGQ7JgrV+w5wy7r+Lw EK5sTVbjL8vbzlevF4sABPU3eT1fXvbm64zwA4wMTrFIYirRATQfRbfY0oiX6MCyWXr/zDB9LdCT khRM0iM6gILY+UjUD9nn1hyisqnRy0SmpO/QTw2kGeWvTcOwLlBFvzXlqSPl5S5FQJ6Nzsj62ROK 9UuLnSFtS44/Vb+1zrO4wl0UFC/6Ktq7KoRnLDownDpgq4fXfrZ4t2J6HavZyIfiKUvE6gE17mav GOclI8vATKs1X+uypJA4x7PRjfOLH5DNnnfUVpS9yt6sCgfcMUd0p4thwhS0j1J3/ZIV4QdgysDA mb564xUvwWz9tBNvZbyIw+YyPSR5MDuTTZquK+y8gkWkivbVgFnUTFsxtlae/RdtNSWvDXVsv4JX 2HhVr3xbQ+2ngQTBWTuSmgqgrp8R7Ihgnk1FX/tqRfM58rmAQwJiIn9walo8NEya//qh+CsGOwLd UQOK7mMKS41F4dUnybMRNKt/5zh5/7RK1l91XWszDSj+abtQ9IDdJsnbATdasXWF8AFjXOz5qGbR Gujnqj2ZpQgE+9Q7NUnxgd6tfHDmV12Nk8OPD9qu6IkLGmWrRdfts62TeOsXjGCetzWQLOqAzPNN iblbkhdt2/tHW+A0JrdDDDfB3UH6SJQwZm8poxeCrz9uokzFT+Swnto8VTFSYsgu/MnWBf3yYtUe WGHzVZhGgDa2Wt2HZI7S15NK8WxAQ0k/zVdcUG4rekXJK7fnboIM8A+pCCieJY+kremQnW1DQvKW 6Yj9F8ITPYoGu4AsDWk19zFQ6rrEK7uxRDnJirADSFidgn3d1eerNfWL2+DZ6L65isg6LVV9K9uR KHPleKzVLqZRtD4q1ZkvprHLfyrpZ0TfDRnpeFaPruc3pTlwXiS3szmM9DC2g6kEeqU8EnzqpfLj A1hjEgWFRLrvdiHjUx8icbYPno0AJh5dxWiTr+SNJSHsFapNxss6mzkxIBzl8mk5TFqim7YnYeba +huxoBV8BKZkfyZisevScsAKFJkIGWScbJcfH+wJ/D50Pli7e1mXc9XrX3UqhsazEVV4fas+TbUu EGlFmSvKWNmsFUR2XDKuo4yG3eFC4qB8dOeE2NchqUblJvma5Jz42vepJiCunfjKJFbteV6yMTzL +3sLZ3vJKC8BO4DNTP+azdRUTey0rL55Noiv+345ag6UL1VpheStpbypmMIAOhXm2FbKEvORFt1I Lp+9/g4dqIKs1QtZO9tzvklpEYJsbAjNq7ubjfm/H0QwgFP99ECUkKHWUk9LqyNM1taNX/uqPBvp Af8gxk80HuvGkih3XeybzMZpIPWrNLfWbSCdy9OpsSvMXDH12Ogt2HrHwfgz4I+BB+oqW5ZkjAPg F/1hCufXDd1QveLfBltSqHfJfaefWGl/AjfPvm+Ips+G9LQpstDP/unsEDdw3m2LwgxuQFa/UrlJ uo3VVcseTHbYetW0r0oyul7J6Ane+mRXY0syOika4F0NGG5bDeZlME4o+vFBiZNpzleb+XmJc262 vJKhKWrn2SChrz+KWchhrOqX8H5PX6Ei4DsonK25EriDdS0tuexAbcknwjvWfRViIBVffRh3UrKT K1WpiK2e0AfHRlVIDYJrupeOZiX8+KgfnWX9OH31LU+peNScPBtcOqP/zPoYtj+nJGZuSaPAREqY +imB5ZOhb47Hx/nNul/EO05gxQKV1ELfj7Q4FXymH0hXbZwkRQ3r83mi081o21N6OiD88Kh91DTl q7569F4uDlxO2bdj5taDVsPF48+4YLUdJa94NC4c/37yEkhOO7muWpmy30U+OgQNSBYqC5aVL/Zj gZwoVVqiaaIKp1EfwkvnDkIF9+uepM7AjlLXjYjRSII0pgtpLCiYGKs8bZ79Vxx9Vj8NMI3tSAh8 HZqsGI6eEYsLD8zxCA+0K6j9d8qWKYk9sj8nHclfDbGTQ3CT48fG+Fk6pY9LHTkoPz3K5UGLb2MM 38y4tNWuaWrl2eACLv0njnBNpKuVvEPFLOqtalrrwnBNow2fq76ZMCE9j3tKoux1V+vOL19vK/q8 9UxyBzopSgI7MTdnDtGmH8i4pJJ3rPYKZFz2uvPa61KnKvqQnSye/ZctMWwJrd4r6bIjzIC0J+rO hnbNFRUqxZEJX0AtNb9vTiG8Q8ksYTy7KDn9oeScTLjNPg0xfmJKp1BeVLW5yBZ2XzNmVEP0kn/h sWWgNrbqviHjNRx9qotn/6XtSsoDP+oKD+wwd0W+qA4ja616qeVDp1KgATrSFlhj0IA8cMb29cnS zjXRrDPfTfoSN2J+OlQB6Q7Mb4WzI9AA98O5ydGn6P0WCwWCmE5JqoNnA7XXZ64lYEn/gqN32HdN MiDcRj9RpS3P4VT2lR0g+yaX33HeqpREGX19AJ4nTx2jUJxAsU9iKQJ5BVqL1ul3VCH52x16FSAA P5oIOe0ewJqaccnPV6Nng2lw8rtGkgm6frO3SnaUudr1a4kI+D0RQYTaMwdMYAMYpVkkiWADU13G LeeofZ2jZtVQK+sgkHNivoq0STf526Y0LVsy3+wlo050BRWNYZJWiyUT7R9SktUXz0Zdxgsb+GNi SP2SCnKKMle0erKsupiRYzuZHTaARUuX370Q35KOTqFkluaaJB222q6c031iSZGdbke7Tg7nBc0F GYO2r1/S6v6aAZ0tyxqVMehWNqpaGKc3pWr9nB89HQH4flxPcMXKTOF8Z0LhrCwuOVYaGgvjZ1iF tsnNoBVFsqLmvpbCFFaA8K0u/J6PO+opa+aQOQ/WONnyky6vBGIv/wafltfsrxlpzoF9TaJApktf YJyvDjg6BHo4SOz7L69NyKv2bMx7Ggs54BQ7Uj6UUH8SxY+Gj5nEUO0g5Gti4ylEv6rD9l/UNrxo 8X0CApT2hogvR2/Gz8K0/3TYVBTz8yMeV5b6QPPV6H5gOKZy+9b1cADku3Mu3VWIZXy9P6JkFvDl Kdy3Y8bTHm6kdOoTV8fdcLJ9X0KvLXWTOA+2+nkZJ4TQhVUZeG46qBe7IAPC/SGrV4svpAD28yPs Gn0CQbXWA9Vq3VQElV/q4SDsjp8OCt0zDpDvS6ilJS3LLZF+mRkQuql1CrcTfkqtY0Jk/nQp6sjy G680NdGYD1wLAfbkvbYFr5PcTWD4LI+Y8uBwNADXz4/E2IU9YF+0Wr4y7VCDUsp6OMr0L/GPAJbV CGYK4lsT9mXpvqhw19bkdql/57JN8qo+pQY7bFsTt2al45HvekMM8ka0WrDQOaEFo5CZKf0I6FOf kvWX9vTXjChM3EkLcIZWh23VYYjhkx3p4fet+Rr4/bkOXOtq96dYlWCjU/7ACoo3rI07K1UCxNLt S4oS3Ckpj64ebW/3S0LRAwkp8f+GkNSo96tjTZksT0O7qcf0l/yLCNuQboRW35bzgRpvenc9HPWs 74mx5Ot8zvmJvKE2AYoBhtniH2q9IHvpN3RhC9rdlijNBU26mG376iX+5qfYVY1K3TJUTpdSlcBA flVbAq+fH1FFFXKLUBfS/ta2b3EHkTpoejgagf246dCmyrk8kTdKdRVf2xBHRSwjjUjxNSjbKiM4 09fHPEUaBUWYnCyQ9INzXplfGLKbLB9PBkNevagUmdvTxbnnxT0wU6gOuyRzIrXLcVX8x/m+zZzg XGh6OPiMrvo2QQBxkMftIYWdWtBDRZogqpzNRUaisAJ2SadgPZ9R1KwdSnWzmtePeec6/8vzn7Jd 1CfvbWIhyK1EAw7OitWJYzR/zaDDUiCqgOq31QJvqtuGg0OZXehu8PC8zPZ+f0XqcmjTBeZ+1eUq dXldEW75tKu5T5yx0xK4dHFPv9hgwE/m1hb5rY2TKMoGQ/kLSEj6tjevM2+QwKaLz0jQcHijWq0m Gt2QDWim6eF/KY8Mk3Le5irj5NCoSylob1evYD16BVKsYxOq7My6/ZBgbioKD+HMVtuVRaY8uqCh fVILqPUwmnqE+akChllS/cWnS+4xovKYNl2SxGM3CPCpkvRwkOuOi+VSCictlHSx5jnw6iJFltKE xPqGmi1OQ5glWwpcpTDuxyXUi7W07r+MqU40YawOFDRl/kEgN/XO5YXRviA3jQpzaNeVERReddvq 8XOBq7RZYR16OGgvXHkLcw0tf9Br9H2JRLeWfEOh8irdxdjOgi4eQUp3cYj1nksODbuGdaH69tXH yvyUJWHSNGatCMDMRcyTUrx62Q6cHdlfM0K7oX3ZhGNqF8d0SrmlNgHgFz0cfUa/DVx4rOM5L6Hs VpU8WxGfabtwA4J13ZWmsG1xi53AsAsBQfGvc/b1cpwKQNoqcZg05VcwJbyaaSOu9RQBpnGRQ88u ZI0AQN7VrqITf6sZyvjLRTPD9bMrWNOs22YIPLvoSW9ksi2+7lwNikDq2y241CERzG4/5G8olf8q jZCcOj8dfDGyW03jR0pGQT6wUJkPUz8Vf8kovKDlsJ/VSsZeLgqwFz0cTT9+oaKwnO6MLIeuXWox 5JHNnzpLVk+IpqpUVFbvNaV7WmIA7RRFdPh6E91akF72Tu4A9T3Vn1Z2Pb5i1LP6W0aVtDQw810t zz3/S1N16GXr4UiSbH8PC3+knK8lRA69u1QintM4tAcZBSNTGYb5KHxk4x/vvoT+B+lqK/8CJKEw I7IkeEZpDb+mqViTYf7C57KEjgvPXzMmxvUtLvZ+uNgdnJED7ezhCGz9LRlBNSWRq+/OhKmu6SKX 5ngEoNBqLdS0q5XVlMN3Z8LGrkqjKppPvTQfiE8dhMeUMVvG/o4WMmQh/mjzkWyb9b5lIMWMMv0C 6WWrB/W9TSzpnCI9HE3gx3eMiEUunrq+LSGg9uQoW8RyfBDqdGPena9jFcjVe0XHqARJugsE176W 71TLGxTr+YwyrChJmEN6oF9GG+vSbfP0lwwmIkPSU9JIyVcVrlWZ/TJKrEUPB/n/HcHX28LcV4Eq h0ZeIGKR8VSyv4dmqWwLNxAkDqRMprd2QyevNR9bSK3+FXEI2BfGiZQWqAkstFhO/cU1/ZwVC7qh k5cB0ZHfYE0GcsJ4pphhGWclsPIiSf5RdofWiL2sb0rY16Ul2YmTAl/3JbjKgLOFKjsmvRCw3FIz 9PNi+EPn8q5+RQ+UaZfI6owaTlZHGb3860aBwfN/QWj08yOhB84JdaatKov2HFayt1MW6+EgcWk/ oEnav708+xKhE6TsILc6TQKK+WQwHoEfaqxKOkW+L2GiKzmQJlOv9ph6jXmy7kZc5zJqXbRLkxhC H1tMU/V1i34//fxYT2dkeMW28vPPYSxiDMPPbno46LrUG3R1l5ZhZBLfmRCmkGSLMFzVfF+Si1Tm VS+KGmT7EkJsRZcz2Q9zqbPp4jzJEDYu7dTW54Vg8A+Kr1MqSvLyOS+l+kv+ZQjd9VBfChYK6Sgn 6DvK9nJBGd3LtwCg8lh3mpYDby/oV8SFaTGXC9Z2pZu7laAKT8wNrL1oDnM5L00BnkQUHuVODbEj LALP4RCCHeQ7PuZqdvthmcvfMdiUroJRthEz+UeK1KQZdLU59XAAoXzwLCSMuqHbY2McOnyJWdbU 2hTfRdWm6qKSTWQHl9k7HglNvpaG0Ujb2+rHBXR9Eoc+nXiCbAq5C1d/Q5VLMPX2G3bDXBfoEx7I vvqccTvL8oQnPRyB93/qIgq4/WxLlOpqFIpnpdOALKVD+4+esJpRA7aDb0so1qV+y1JLat2e6+od iyXQpEhibGtewpGC4fpHEs12F5FA6KcHI3pTOgD7UfIDwbPXBqe+hh7+Fw8Js6IRZO3uSpToyoWs SWyWyNKv06b46O60+USWv6rNJpPX7emLbcnrXMpiNIwTfMBUTd38asDLecX6c8LI5dDniwq7w9f2 NXn7qesTOAel6uGgVrzkU6XEJzsAAe2bEirOdt50entOAmFmvjK9+Z9kQ2+bEjsmKOvvd/Wu5Skh ZwPTAoud2CIayJS0xR8GRj5clGx1Do2+sPHjWuy+Ju9UbtM+yWnq4Siu/KCg7LDdzn9o9CUaddHA hSB7siIbidANMDR/bXnf4WLg9UXeL0WZ2ny94ZZ0i5b3yfvrqSSwP1tqzdHQVVSZ2pdVu79mBEWW bCYp3dzXlpUzrHMjW9Yc2n3Nh+khThAB8BqR5tDvCz4avjxmvgI7p134fjYrzhNqsuuF5NDyi9t1 5aS+ZUrPlH6CU5Cq77le2zCpM3WFirzyvlZ5yd8y7s4NUJm+egmNl+cfDaKmHo7EZ3/6LRISvKC5 HLp+aficejUxGXIvByIDNaA6BLxwpcxyYPtFuSnDL9ONeUYi7Wwud5F8R5LUEaUnSetX7nO39b9G 97eMaDBC3vbuqx0XaL9KUhs5S2z9VR60i6r1n85/7P2FruhySaqc1N0084T+lT+4E7TQ+8sdamrz 1VPcE29xBpDHBto75p7QpFax/8wfCnfr/pZBSZRVIqokyjfFTTQuihVHQw8H0IX1c1oIDgii+baE JDIoGKlt5yqncf2dihQo5fQ0LzA5hx5gw65dgYDmAwKiVFwitGNMWgGAq2vJq5yMaD+ofp0A/fjg I8pSVJHHYh7XzKjJFETBpenh/0OliFc7Muu+LWErd9tUyMi5KM6bcjFCqxwSHBR6mje2xDq0Qroo 8y/fzP/84BMuNXBVli7xOwzGJAYBBtiF3mZe/prRXJEkbspXcM4rvnPuoMv0GXo4klXxrEWqbFLX 7N+diZJc5hRZuQm7kEUiMBuFWU0Wnf5c91oxdATj38SQoPt6MR3txHPruIyzv2ALTpinxCJgaEJ/ +andXzO6jpiVwnS21cLuyeakPQMDUQ8HDbr8XNMJOPuj0JtDTzCqt6UmFyzmXZ3FDN3YeXYz3d5c YAlGpiwWpgDt/QLap8x9G7fOOfLnDoW+63c03TipNXiX249LKOdFTTQRVrDVupanmrVK75xDPRwJ AHgBLQUhxtXzmunlwBUMH89E898VvQysjZxC3Re2sK4PSQ5twUa1Uqj5amcFOGttXDtnU+pAH15i Il2qj3802vFBUVr+kpHuG1ro3Fi2Kroghmzyw4PuXOALlsxWRNuitoJsze+uhABdvjgwKOqtMEqr JieyltTwiIvQFA0XFVuDic88xRuY4wm6IFkh+cvw6ZQBlaALKbYk5OBH+nYtu79mcEdviyvJ13sc ZYWI4knVw1G/xQtFwWk10xSp3LcmbOfCuitcGdJrKk3bkEW36H/GP+qb7uS4qNAhrItgJglrrQ7V HcDdzTVt4cVYmesht1D4A08KPGdC7OKvGdzTidkiWAFbjVKVatLf/OTRUw8H9/T8qQIK6Vm+sug5 9ghDPKY5O+bkrNMJMzIUMuTCvqVRYBFm9RWwluWrfUmzFITPhmb0DS1FqkryRt1J+7HXMzesHFiE gXOnNtpSS9jp5ow92xSt1rX0cPAh3V3R35QL9qa6oUXYFDzTUe1zJk/umiEx2QIJMeuOji3C1FfY JvF8sTmL7nzOWdif3tsW9n9LaQ0/N+hjjwbN8pcM0IVLZMRxV7uj11imdlBb0cPBTfQrGQgBt96y KDQJQxSbvkV31oymMIZaSNVRC/PaDubAJ4zvt76ALk/5WYS9popuTTnwEj7aPOweynfb/pLRbF6y vTyn1dstfRvP8kR2PRyJn80vIKotfT/PWYkSXeqS8yMvJIohl3Whzk5lNzJdxeV5cmwVphkRWbKt t7lwLrJpYoonaet0LkiET2VCYfhlnNlNFDiF8Q00QaGqr97vT8MEzk/9qIeDC3r8hhUyg3QdkXNg FYZY7cRU1PQiNt/7lChc8xL6WsrprIS0MwlbZVljGRBAVVE7290AO50SumHRIV1chDWApmSIuJ7M +ScUprnCLEBqttU/IfNLondZ9XCkB34ZALfpMtcTbUPqGZ4aKzsKlbzFHRdusUh64EVR4BV2dROk i6DV78+lmGGj1oFx9lRRVP6IoVnkelt/7ufQL6wNMXrFZK3uFd3oEqupe27JqoeDnmV5PiJ5qFLm 310Jk9wkIryqIoEWprPPqkEVmKSl6drxJlbxnvtrcpaarw5aODnuRgVXERc/4CodFqi9ZOopPTrp KflrRkIsakJJ0mlfSae1xN+6aW6L0tynbSllRbo7BXqx70wo/dUFW2BOLs4zKmASUBCwu/+TBVvI zhgJ3MP82KWhfu64/VwsB3ef5ltyEroODHcKGp3Fo+mPlfaUhWcOHMQobODSQBay1ZqXEzXlP5rO bz0c4Tl+YQvcWeOKKHxes5aa/x9mWFt2wkznBWGwNq50WxlD7+Xf0WvOUl0RreArZatVcplmgrSe MOlYsnk1s+1zApHYQnOyfLWdXlOWirQTeAV447betuhWTdra4tGX/agg1ZX5o0fEDwdrwhhCs91g O3CTPZ+1rCmFbjGUHIUtrKR/zv9tZIeW+AcbIiorLlC+epZ1iu6mcVlD8mqXbGBmwW6GKRzbhjhY +XVDzr3fZFeClqBWmw5lkwGWzOHnNVmpzGeXbYiauDRryLT5l73mKtUDiqydZeNSpVEqCwoaYU29 bXIV7cdrpsIB0dByyTzsmWfJfzIvHEzwdzxJrPQEFlM1tIzTl38mltVrolIvl1WejPnxZFyYq9gl lNrnNU2p1AjVzwe/fT43e822Ha85Ss1ypMMA3EnxLoSNxeYV6ynDG/2v+Qk7SpWMfY2vnuGvkxIr +2yoX/ciOafNzUVKK1njX43J1/yErwVO1ezqN0ECLibeO1PXkOcE9vx5TU+quWvZdvA/zWpNFtuP 1+SEz8VUp7zmoRCxmqeNO2ee7TpUBodjIKpRJFtb0lenJ6PCDEOeKhyJCuOiSfujEFKej0Xx7TUx qcxmxv84MuJfrb4dFcz6vKYlPGpuNtP4d0DPiK/ajtekpMJiXRr2Nic7FBAqtA22tSebajmfL78m JZxKvKSAR/nqzRSGWZObBDGn2c7PIYEl2MOgVBWuZoq0nfjp7xuyJEWDAYat1mE6cdsa0OfcfV4T kmqG9doQEZ3FFmp+Pl7zESJwFRt+VTfjUA+T8DFxX5EL1DAxxRM+XrMRNoT6r0inp/Sn/pvn2kAa 3kgOhFAqZ+zTMsfm4cRkQhhvGHwwp8pAPrv66j98j+pjjvx5TUSIPKYEPq2bT0lf7wF5zUIqzXyu Sud7rzLb7RFkl2SVhK2+l9cMhGBKZVNAtNpqwfTkq+M8CkjxXFmyEee2xUiIuPaYtRjh4zX7qFbh 0zwqvtrVssoyYBI92dfcQ6+Vv7EUaC9zXEkjRd8KANAyPHQovxFEZV/gzrkUvF3y2l7jC83aCpDY Wu1vt3uSvAMKZ9xSDMAnMAQ6MCQePT2YA9nMv3bXqlmenLw939Vix1jV7BFO1vR57a1VG/trN0xY cEuyX9vx2lbjMDHRQk7ImgJF6q80BYYMuwgdaA87AT5MPHQqKPO0+oaMCa8jadwqqKe6kvytZKgx vurfXXorUSwFOcot5avNeNhpdRtPcvZ5bajVRz2RAQFXS5OAo2diUWYqAbIuYUlTKRLDRdPjaUoa TfqPzvGOtgQtHpRtffVSY5TRNlc+Nl7nquMes6HrUqvkKynZjOIdfjFIseIRa6t1ps/9a2Y8u+nZ 4JOZ42Zj5qrXID4aTjXYEwnMyDVDfemUREqVYAR/FkmvfPGRYX4KVh/FGV89Py2zYKZFidr1fzgm hTO3CqnbIxdoniTvTCBCapHqd0u+2jkR0kU9kqpXCzJUUSM9jOiqpJ1imxLlqJxLbA+mQgmaDs1G F0nKFWzPMBkWoVKCTbHtkIFNvlQ32mk57Sqj0HS+xCWWZ8JdRX4Ke980ZBo9KspSezePweyr1XU9 T6PZnpTv884A4veb7fl4GBtD4+u3jokyVXDWGaK7xRPJtyueCNOZJI7H/No2JUpWkU07f3zodFr9 doStsbgsGtmYcB1YR/NvnMl60Y50y4a9CL4eYdEFCbfV20ZTOR0CLDTpw5BSfm5fWScMz97f+T8K y4zksoinTcSc7EPjIsXAydBYWsbqpkWbMtgOeYMVQ80rpOzMHA3t4LQacyqyFv52iz9dui367o2K KKCQwMO289U+niQGrYjLtIyCLcm3vBP0j9+IW8W2JEpZJTJ10oVmvk/iWWvSdbZkS10DLYBhkqzv 7B/Faq4cNam0Xugf01Wg9QyLt3g/K3PXyKKzfz2Ohr3i+5aYsy1gE1sNKIrhpXt+1c8780dZhtc0 ajuLAov4hO1JmLUi2y6NDWQUNYaRjGLpHk5aWRfOFSWt8nsCROSrhxN4dHuI4E5eBXWRmAKwA0Ha csNJb9Xe8D2ccOXiMbh8tVMCjZPfqZ0j/nln/XBKbjh5hL6UK2lHorwVEfhtet90o2lM/aN7wf+r 0sszPI8S167fFSKcrV7XnDucv2q227wVc0kGKMNA/Qs+z8NIue+5q6mzne8bvUCthlfK24dTq8/P O9+nmu60tsTI3ksgPt+S9/wV7qnMU9ZyLPFycZFzYS5DFVfNF2xTohRWc4rCkNbWOznPjILlrwCD OanfKI1kUn7+4lf9TLpW72SfeulhewxfL8jCmt0no8yfd64P6cne36tYhmnPMYmS2C4HCEoBdYuK ObPAn2EG2Bmbt0avS8EkzGIpgEvJd/U9aais9CUC+okiNtKQbTljcFzO7wxnD3vJeE9wBtu+evgu JoR2dmd93pk+ntr4twNun8mDV3zvPJ9qZoNLInXUOaK6CUZsXRPGwvmm9e80n+qUsKLwqdX+jG0n 2meS2tzznDURmKtAl3yF4+nHS+D8807z0VGmxNlyCNtfh7Bs8q9Chr6zfOpDT7aWIlLGy2+cd44P lU5TMumC1ylfbsID88v5Oqa9E3zY1GZuettXb8NLrwGHg1OWcDSQUdwat6JXglTsFZxBlOPzzu8h 41oC4My7WpNk1mV6M6d0+rzTewjNNd0uSQJ/T1fNNiTKX6WAVYWYl2Qg7CPxBqelauSYVUQxeqzv +SulgZghQJOs5fHH5p4I+Ghmc+ppXLGQghhD5w5/28dWbwx/ybjQOUka46hdHWlesWoRtqLm2j7v zJ7qxlY3kvCvfrrOYfa6ZbsuWCNhtooeBwEU9Df0OHapGT3undZTXfCgQF629ZbD50RstNVOIGlo /MnT1BSipMBxT0lTIHln9WgygSce+hG2Ok1DA1R+rbk+76Seaspg9tloT+jzUhbYrkTpq3TGU37M sEoarmVcRV+h0FndC513Wg8NV4DUBaSPrRcDkgHaaIR1QsoAXML0Qi7if8aDSKKe+7xzeu6wZoyW fVVeclKeZRjx3crnndJTH7Pfs5vKSxqcC9+QsN+Km0B18teWornAoGbNISmi5BSNdzLPvW0yabet ftucTx05A1rN/SQh/N40BUkDSSOy1RxiaG97xSAtqcrR5l1tBHwqTAslp1b9vFN5qlOtbz6fucqr 18LvVB7FEoB1j3VcHlLEWAri1lDCKjB7eH1PXgmvSbVL99Wh5SjhrSY8H60CEJzoxHcwCF1/rgdE Xewlg8Yarh27S0fxkmJOglAc5bjS/rwzefgFb43DNybRePkNalOi7FWNa3HsBbgfRd9IYag3bQrc qubICrDv+audzwXjwFefYiGen5ChO39VxpIwcjNnf4qF8C1ylKm9M3mqccrOdwKsTqumNWXKW5mw wp68p68MOX56ryi37OcSDruvsMRyX57IZ2k7iatem5G/qiSU7Jy8Z68mbnBytHFX25JBNrLp2rcs G/HFzSTBDGrrH2cSwQTeiTxsOFfOkvmEVv10NB8ca8OWvCev4K7Hzegzulb0Qrwh/c7jYU8yGYx3 pE0SS4xsY/EQXNXxVzR5z12zoY8Y9PpqOwLssAuCQT6/0JgFIZirNHvR7741juwX3yk8+nDoH8Gy tVVBdbkrIk+MzzuDR8Pw+hNMkhSdfNgZpa6APNHWNBzW3tKO4X8q5X0ZIXMlqVvyzt65DdhiV3G6 xIN9fvc5OvPNhiCKWrHnFyGeA9z8RhJ16N+5O+SSwOsXZhi22oY0SKR/RNtvn3fqzm0G/fGWcKYT 1T0teWfu+Ik83/ZyMbPzlRtcmj+t5WytiVtGIHkn7lTXAP/vC3iPc84mvQKlJZUJ3/n/lwy/kIuc wsfN552149PwTlHtq4XWjki8clh7r38JreNq1LZxj0iUu0pcsUqG458is+zr+9QkW9tlTObU0XfS Dudagxy+a1vvEA61RypPtTbIAokj5z9v3J+/Gil0az/vjJ1qqJhR5vm4bfVkfiwpGjFy+bwTdqrx qJ/bBrIJh8Z2JEpd6e/BkLB6T/uunGRNFzCrREBFkXeyTnXRyFLUTiu3nXaSp3ES92Tjb6Tg1YsW wRi1ITHIr9XvsFeMeoxkI/zvT7jCWEIggVNNGuJ1plw+72QdtvM3KaHSvzPPd6pONbsJMykwiv7y Cji75NAPkfadp1PdJaksnZB1T8i5ChZkpa3LF4gDepJ0Lv/ICvVpkmR/v2g7pJ1u0Tg/aLRh7dcT 6/Re7wfEpSJpdnBCpIF8v5n3rJUoTr/IDCbwMKu1XR6XCSGSf16UwDs/x2tUmq3LVy9uFt1nRBFO FNk0ocX/3xoGUNjkZ4iz/CWDgYXMsbsia7/KIie6JZNzOxne552doyPyM8Th+th3XPHOzlHniOix VeWCjh7LcUao6/5p/0hVALK4ImvYdNXUe9KM1npxhRWLhUlkPSX1yUmwaZEaJ64RYBSczdVFoX1n 5zydo6nO0bzDPkwfjG5xLvnPOzmnmoPMRZMITIkQmW9KlLdqRN66k61bbv7hrGvgWlJztMA7Naea xLU8nVj1njpeDf84Q9KgVNwEWJRfHYIG2ZTzbV6R/B2Dik+ivJjR2urN+SEbINLJ+nln5qgI/o9h H0287hPQd2aOOt+a51qS5taL5PQ1jwc24IYT77QcgdoIJgKMaPVPp41k9miUfFw0y+VjMt8Pw+Pb TpOJ6zsr57ZKhOW31RBN5z5PxspperXg0/ltulK80pW0HYnSVrGs1Q41EcRWhiMHUjahi3PbXbu0 dz4OL40owvlWs69OsphwiKv1Ss7VYxbZmsTvc06GUEfjJ1GLmq68VJdola3eK2nJvEVOjfR5Z+Pw avk3nCgnXQ4eeCfjCImlCa3AeIAH5FQlBS6NTlApy2QtjU15Z+PcvisfiK++KWsWDhw/o7UtAP2U Bk3B9hLWpndLutC+72wcDbsZbA1zc5Vsh0yyu7UZT1o8P+9knGoSRDbY0k0MzybdTfk7eiCJz6f+ EbqwXEGbMfQgbTvHoPimROnrVIVLtm2rN0zOYR076aS0Qr9NZur07SD7sFvX3FYcpXc2jm7jrqFW 8tWIWxgTqD1/0uDPOxmnGk/QTsqQ9QRGR8nTk3c6DkdlSWy0dyeem3ToUjlVnHj+EKzf2Tj8FHWk t0QK9iOu2hZlaldMISQuB4ZzLaI5VNNXFTLbSwZhFo4fnlG+OvYmVaOz9bE+72wcb4N+Bzn8iOpR 9p2LU517cDIqN0FOy9RlASqMbWl9okWnjsk7FacavQK0Q/HVi+Ex9/kxspKb2DwmuPqIPGT6ebN/ BzkU/Z93Lo73uk512buvhr05aaBCOtiNzzsVR1d5/WIZy8Vg26ZEeSwtmpOqCpXWnn8CPaABLpTz ke4Y9J2KU81e9H96JrjayjQYNGM7IRLV2vMLnL1Qvg0evU8HTds7/qX+2wwJbbXrGC0mPlREHz7v PBw1b70g5qRQuMAl8z2JOrC6fEpi1KR+4yrjks1JDLh88FjyPQlz2WL9kO6rZ/ecijUkkt/R4srJ W9Lm+url387+hlGQBR+OQKytTmRLxXLRAQI4TGTbRQ8olTi/G66stiMheGDSinaXMODJlrStduu/ VtaFRId5LA6dhQzVVs9jl1xK5O0zSd6SaAaTz4fU/lvuNHvBCHRDZp+lRizQlAII8qEG8ix6Njgi D0TcSmfGEZ7FvjNvhLDtf7aNKs4/1Ob2T6v7KLT0dE0p32k3TyQBY2Xrja7oJtqYHNUqZh9QUJo6 fg+nTyS0zzvlhj8YprSri0/RL5+iQ+dXcnGu48874+YXccOX0+kKpie2RhmsdPd7WuVqeNO8o5m2 hxuq173vFKeF2FchsyimbfUcdg/+gBpbZH4FDQWLWGFn27oRCeDz+SsGd7B6rmLdL0OokXjNstyz d1WeDbK1cfuM7Akuuc8NHGawkA3PgXbYQC3ZVB9PLk5vX02k1b0/36LWqwagCAH7emFZA7/fJBG/ vdKW7izAQNHC+f94bI6qvWQAnE8Sg0LbUKvdwJjadrvW9GwwEy7lN4M9H2z2U9JD2ACSCuOp/Vq+ sIF1dfDHNdbr7+kr3St2BCcXWy2sFgiCFZ4kOwKQSm01LkTaR0VscmsjSe6xR+krqhFdYjG22l3D BESh4PxEno1wnk8gySR1f5Bbth2JsleGeqYt849ULK8Gvm1SlkJAMi55j3ADA9AI4wlfbUem6Fji yjFKpQ8DMAF0JPAEIA0eSkxLqkfdV6C3aBl2Xy2ADECFfwSkWDwbZGntZ0gOmoV2p+1ImLlSPzc9 +I/pVbhu38krsxKSio+VNeh71HwVerMkg45/Vd47c2Ak0hv+6Vjdks+LmSXXj68Bo/TMe5S5Qpk6 uQj+Gjs9fsJYGukLOtUEz77HknGnOJpZ8HfA78N3JeRoSflXar3/6ExXByEh2XKiCTxyQ1B3/ZS/ VTmAKG313BV734x0fsu7FolFTuHhClZyAKsvBTb7SwYnhXZ0pddtq8EpejVLgPNvLDz7L7Q1/qJn d+sTTCKaFsd+Q83M6Z9k+L1B3be66I2KtBBPtSNh4irkHt+drT7/nOfWWlkiqWA8u2TYlpS1VPeN //p2oi7sEkitKqbg2WEqQFh5c2b6CdI8+293Dl2TadgR35MwczUCuVH5dvLpOHSyfQnk1dF7PaZq CXOz7+q5/DiXJv0kBdgTbdkSUV2K9E7SM+7TjJEfH1zDuPzNJnmXR/Racr1Kf8vUqwWfzvzJ1LK0 M284CXuwAl3mS9ZSHqkeLO4D53PZuM2nYaCbHuauHqanr5eUc+Igt+UJsbQ4oHDMhf4tGbjhgS+5 YNhLRh8O5Z6VfpI6J4i0ky9ty9vG5Nl/wSEp70Fu8cKj+3vySjYv0S31oLlsuqBpDdo4/S8M0opU ihVio/R169oZmoOPa1SMkPkY27gFgNWKbEiqyNHMJvdDUxrJ3jHYEhw2MZ3w1XlKwoyRpc/Fs0Ed XL23ph4YNBj0SHxTIugrSl8ICCeNPEFAuFd2yW4vcpJQMXOSfsrfBsNT7C1TpCvK1/r5wy6GKJnO UTGEdJYKk7CeT3MNdDQ/PshOgNxs6brvW2e3U11ug4n0tnk2OCjrtztw/hDzOShhDouan2BOyHSQ LGpE7H7zEKbhckp3oUc9WCowDsjw1cNJSgiXKMK2zIaYfDcMf/wrx/zuSLdXjAo/afTRql8PSH/0 1EzRcaTGs/9yTrK8WVT25X570yPKYrUPJyW84oV5t4tYS8lBjuk6zY+oDYtY3vlUGf9q9TwWbbEh FAMDnmrcSuSPibLUmfcqZvTIT/8LZXrBO7fVYCa452pbzofOswEFtO+LWWNifnLF4iiTEaWx0v0c RSQtEvqy3BuCMGsS902WtNqSuAkrvvUqvvpRgYfUKdLPUYEFvpW0UaTSru/14YF21cQjpHA1Od0+ q+2J1P/URmqDZ6Mm7EUjqXvCgZGZpHYlxMBSIUkXRbtS1ZRVcwCSfRP9ERSrPqARNWFVymBsq1UY bRnmnEeZbsGtP5HVPjA03zOwE8ZTPsNg2slPjxgG9JDQs7PVKkCA9dY4qZlno3b97wxDybDXOyME EtDesQRF/kHbBQs5YldrwKc6I8piFVEqvltnFdJOfnsQt3eSy8qJvxsokUVic6d6UHznf87PjsZc ykvoJs3mUkgVcQxD9Y7ZeDYKJxdqosjMmhF3sx2J0tg+JAGWDDku32QZicv1UMhxPnXbkSiLRYaP HlH11T+cEwdHZVrRJPtHBTjlFCXpI+QdLgBWPekRAmCFHAeTf1bIQduu+jSVYoxz4ng4OiS33ajh BZR0z+xHmMVyipu58RQpDjQvdpA7kT4H3RAn2Ed57NIoFE15W70EPHcDCAKw4ymhL6LWCXPatsRV f04KBRI//i+N+rUESlr5gdi2YdjxUx/wbASw+FVhEA2l3i8nBMFSudemVjwVoOwBqHLQXXcwAWde c64RgWBpUZz7BoN1rXd6AbMa7ifU594xb4cYxlGGcvrltPkrRmNzZAeoF211elgxfbuzOZNng+zk l3JRGFFemY4RIgmY7tJQkMpn20YrAPNv35AMhTy4RkmsrpxiXbakabQixYnvwN9l8osLcjY7CA4J AeJLMOAWz/z4IDsh+E6KdVvtymEuquh6EkOejaY5689PsbMEgrUdCXNYQUVycRaKyBdLF3O/LJQ7 8xthBms9Ao1qHmHCjXb9qLjEAdPaZv+W1GWhuP1SyyXYw0+P2msaga67WosAKWVx/NaqPBsUxDe+ qvGICtmt/sbfElhuYD4VqoBUHcnXAdDJdv4UV065GGETtopojxaUVq/+yha6YtNgKwxzl7QaGbdS QkufYnxbjiPkblWaSSgD2OoDebqYKnV65dlgT55mkrJVsslL3pphF5bSv0uzSX5ENK20FWlKDqqb UbF1TmYokMVNXgxUfwnxlfYRWC2T/GkMRVU4SwxWOI4vms/fMW46nr2QANK6AkiQ7fURndKy8Wyw Jz39YGAp/IqHkhnlr9yDWZ+5fLHp95oS7F5q1m8a3BLJRcQkJHCpIp6GDLwJ5klJJr7AQmrRRZm6 hvvQ5HJp4HQVg8l5+fFR8acLeBRfna3Um/Hl88lfZ5i/XmqOEH1QlbpnrzNUIJDqaPNognOp02KT 0dvocqzqktszZHBxv5Yq9mO96itrn0ABPYc9gcVqVuIACaR+Mb/iHcKOz7APOwXRyndV9goU3ZCs ferZYEt+KcMCf5tvLf++KH2VfU5Sta3JcF5WBDK4MCmC89EaU7R/ZtyG1YArN189XesoTE3p4c4T eoGNQQldf2S89tWVLv6Kf40m/yG21zCqtGhykhyeDUbDc/z5j5FOzpfVNqP8lTlHnmk5qmJakTPI gASWPp9PB/ipvGSG/C2BXJPk9tLXwG8hTSP3IcAs55owdTnmaSjYyH2oGKvNXzL4dKD9L5kPrSvW hruGKR00pjozSmBb//l0ijS+xz0nUQYrS0FwJebFWqrGgDxesaSh8quIkqhdPyMEgXx2lIbZepGf ifNNJpy5vZBfh0c9TK0+/9g+aGY+QxDBQKwe8qSt2pTedlPpTR+PZ6NmUr5dx2Ra8E88iTAEABS3 CZq0P9vQjmKeXcR0M2jOyeln1IdlX2mHVF/9mFSFQb6cc/WepGVbz1F4/6lZ7aO6l+wVowgLOUdy lVq9+ium71tPBOTZf8GuKV2boAI8O5khhWuhxLCciWIKHlmoiuR6hLJf1o6EyllVOyI40uMgtRj+ gclfSuj3Zoq/JCHN8EtOrPW2G/WCEcyE/SiqdEq9Tky1C6/D3FYvFuxH/j0hBKVbCs8IRCCtvmaW XdRGMhUkW9szGbyv7r5cF3hGCeyw5iv+OuURYT85xPnyiE6urJbEjQSsiBrOn5K+oz91kWaUwbYk k9HefbUBMch666zlxrPBngy/cnSemMXuC72ZUQqr9jVgPSOjFExn1EQiGbK6r6Fl0hRKQvmBPV4A Wgtd45KlU3HOe6XnvRI2EpnikP1weONu9o6xiNa5lgxJfgd/52ebFeD5922ejcZc/5HBwsu9lKUV ZbCZe6JN0xWX/bf1TOZ2tn3pl22/IhwB1yjk2OWr1zkDKD2WevRMUtW9lLjmM9NhuTE7q00Cryts wIIgGBi62Gp96XU1Gk/I4tlIfuAHswb9Yt+WyQpxBHIfq4bLWsXNusx1lHiLDod7Uq0QR2AEHYXY cqfDJ4OvA/GRZCC+JPzFqaMkHO06/dccZdgrRgpa0uGjYTK+DZPmKMfzdVaejYLJL2kYAYLivPIV pa+9SXZguZdOlY6w0MCEzCwBgnkvnBXiCLYQwUUgvXz7A6cUgAAmX/Q9mtwQzFBG6avlJRZgKeL5 8UGyBtse2SlfPcCie8s/nbyBZ/8F7WnZ/wl626/gFXZf+bJbloZ4c9PIrOJmJ4eSDwGbdU5CBKzQ SNXonv37hzw/BDUG9Uwg5dEzEeaLmV3+YciqRbDiFixoJO6q8aSC51MsZgfA3vDsv5R+fCcnz2u3 Ib1CsVfYmYT8gWMxw6ahuk+OkGzNKOoPoNgY87j0yZTpq9c4J3ae272r/QrlQPalQ7AwpmbtG0qU lawIRICHHp9M8tW+y2Km9vRhM89Gukh3Q5SdnKK+XgnLKHcVz3d1lzIxhh8YAlW0atH35k2kFeoP oBOmpNxWD67nX54amtntZG+SOj2fDYY0GsG38jVyENdvRRiCBRryfHTLVweO52o2S+dm5NkIaJJu Pzopnvd2z0iIfiX6ZAsbWdm8DUJLVVlN66TkK561wtxVXSTjDe7bHVgbGc6mcAbqSVSdpZGiQANF fkIGHEdrhR8f7Qn6A6h7sPY/VtgsdB7+gFdMjWejCyf/7AmCN907JivKXWUPjvWMVTiy0JD0vImB 00SqyF5ZKAkhsN1kgbuvN1c7RR+e2OL7TVQ3dOUAgWGkLxCBX8LZXvIvOrhpiTGx7h1fsVdXCnrS H54Nwut+NBn0W/3ZTywJaVxgTXR2xYFET8Kga1V2O0DXMnMLOydhA1Yq2vtZPVU7m7IFpj0Z2xhJ IoZpSiVyo2N2j8m87/h+TED09F0kfFO8H3OKbLu8gaRWng0Gwxd+Q5bJcODZkSh53VOuh8WmW1sG cZIf6Cl7C0l/VoXXWABWaAkNh3+Kvg0nZ0+x/fKph+UHD2Ct0BAD3OD3jVh7/PiIhWKIz+qrduTU 2NOEO2YZPPu+Iyt7prZMpp4vYXpmsqPsFUvpLe9p6zWmCx/Q3cCmTEYNOiU7ZnEpjqiK2Y/CS5Fi fhe74Fw+zfzuNkQXpNxkDmWVsAyp+fFRlcOmbHk272vyQQvUhCaQO91R+rrbTdYsg4Dv6aXffs9f XQXIxiL/mFnBMpGoKmlJWRTs5p4N+188CtSGXfuiPjdqOp1UotFLrtwxNGA5h7TCRrrXzlbDZIdI 2EZutzQiXg90DT5Hsrg5eTYKsT4rL6Z707/Uth37FAg4PodPL0Z145MBdKjSZOsMTmxTYiiscXPu 6l3pXvGzpnBEZzzPLIAOTBsaQl9tbYC6/PDgnJjSOFC8ejsEZ0uamQCcZGjzbKRDML6T4bG+YL4d 5a+WmhRvISWJWaIVT/Zrqcmj/rpj9IAumzF8/TakAe1xRjpSYvhcJDQJM1lKq18zJbUHdpS9yi5I vjq22pVz/kqGnelp82yk6/lz5QitMu53E6WvUHcQFDREfbnCDENZkZjDFlTYkRADK5H5LZG1/Yis tZ7OZ4N3KixZPMjk4SxGGyjBZygMiUFvGKXzjEDl7DEfZ4+aqykTnoux8myEunlKHFf1TFeucUfp axV+vBaXzWq4C2hssU3Xs8uYwpkoOwQPiKxU1Uqqjwr7Cbq9bgIGE7JzfjmPItgTuX7Q49JN3lHj lYMPk635au0SVFTNsC4tnv0/SEVJWFpjam1JBB2AlgOeYnkcQZFAcWQln3idTaMpSim8Q/2sLQdA tQfqAx5H1BXXMK7hdTL6bVbfbDCprJiV5s2Mc4deMiD5bUUSrFPrNa1tJAruWF0Xz0a916vEDtxy fB1gdpy+4mvYrQe9Wn06SNmxA0y5PLDG2AGpyam1ui4tcY0tvUlJ3pRzZS6QwFutkkHTUCIE81vk 7Ag7QB/zf7yqy7nru7Uc6+DZ4LZ5yj7hS/pPbA2br/JRq+5quN3OgUhSPZIUCXl0/YxIhc+a0d1X b0b3U0PXIal+VMaXOm/8XTNfQvvOKySGu0PrAnn7DGEHHsDxqUNc0iQtPRtVff0ntHah3XxDQuUs art60RRgB0yM4FwTzTan9n4pbTvCDkwNyIepI40vJoZcB1VGmmpIWJK4ZPl8IFFUH6N3fOT0kpEE QZfV8F0tlKDAbh3mvnj2X1RONROGXZs9kgiFFnSQZN6TKOyS/qk4doBUn8EWzQ4HVOQUZq9K1OQ4 lh/HMVzTUGdYhmnEKgOTC+YoBTyAXMfuSen+mgGvDRfRTJ0+MmAiRfCGBLP+Zb3lqacjIN9jdIH4 VZXH2t2ZUEaLgX6Swbx0CLiB2JmSAMPrn5ZBYLv9mGhnJCWdpq/+CS1EjdB7OLXNbEWnCvk1d1uE pOcD85r9NYOOIzBNrNd8dbJYySK/tIGneYqS2H3ba8plEdDvz4l5T2KNJgDk3a7jdIXHYWUNLwHH IzyeQhCsRuYgmmz1JBY57aL7OCO01swImb+EMMs/LbbnLYNtEUVnK23bN2079+bSsCrv1vVwAOcr PxPiJnfYxwckSmUJyCeL1xRU/3RdlaRuKf21xMXp+xIab4300noE/475shROToAUUg4shyVQMn61 8KI4qp8fXEJJqpYM27XaRHSuahSk8+P0cDTa+cln26K/+nxGUUJLNxCHhWpInJnNaouUkb8o3JSO bql51aWoJTskvka0stWbKKhIJUPEThA9NFkS9XimZpbRu2spt+WvGcCml/jVUurrlzhLsr1smIEG eQoRBc80QzIQWa3gdvsGOYWdWVryRZ6/OjP88UyXgO6joQoAtptLdYqbs/RT5Eyn1c8MEjBDAnkn 8Kw5RfBCHqJA/J/6lqzDtO9rRmXyFqIg+eohZu7suX/TwwGH9rKKSUPNj2s9H1PYoKVpqp6qoAXl svGbE2vN98E/pSi/nVKtr2P76tty/kb0EviwG4ilia/qZucZIevIGIh6jvuSUSGkDlO+qzWtSzHh aewI9XBEGv26PvL6+cveySkWKRgIgZlY7q5KbTE4kNeT9HP1e9i2xA4H6yXLLejpIZ0r4XrQztTL 1OcZMWs5puiqLsKx6+dH3DdzYzZn5qS+9Ql8s+mmPflF08P/iuFKciTNOsC2LVGmSz/whBVG/8ph 0lhucgDSSO38Dc3TXGRSJFZQ6wtbZWOaDIZG5+V8P51CI1GQZDVyaEtOr5vva0bYNgMaVF9tgozO ueLDudH0cPAZXaysUBjAKC+2Laco4ZVNaDU5WNFVsrOZRlZxiGLBHs+VFEMNdFTUmVw3492JHLeZ vQ4ekJQV7jmkGQeHxepEc3Pj5wcdloJmQUl3tUKxl2zemEOpXeh10K/tkM57UwP22g6Frl3qGfXm 8pdShJP8ZZMiCroFvVzXocC063HFUMMmfxUZz+ePUo4SB3AWU2guFfaItNOF88zOrEIC1y4+JAqj Oe6qn39+oo0MTxZsLxfVR4+S31ThUNnduy9Rzis3LaVI/+Ag7I25izqAZWhmRN1+SCT6IUEHpTD7 pjDA709UgdzFXKz2ogQQ4iReo/mpBIZZVP2Lb1cWD/tRyjmVkinQnE+y6uEg372XkSml/udhCRPe qgwruXRdM3wb2q6aZqsBhVyZH5dQPtZyOrlUlcelaoyzRakL/JdO4QgUF9MAShzIa+0LddO4MIfm XWIUbP5XrKbThuDHMJ022gs5cO8yWMTTX0BQaTzOd4F5F1NUze+6q3M3GVMSdk+FZ5PVatxphZfQ vWtK6f+/AMUnntTZZTCLJlkVk5Q2FNVq8ma2w2dH9tf8i35dIRmw1dou527J1nErWQ9H17SHXZEE z3GbT1YX+nehP7MFD1fdSLNOPngbASSBRpmUu+VO4N+F1KGauPuu3rBsqaUtJGJWD9qkHZb0uUXA +0q1VX/LSMpdzTy5aO677ScB3e767i8XDQ7/Q/P/bPCVCc2Bh5d1pfEeU2zZ2VsuJwUR5Jp2MO4X Hlv+6oNAK8FXr6YnrVtUzaiml44/8g5MybgmmQk7b18csBy4eBk3E8Gp5quVjYBQlXycj1AP/8v8 Q4hRju4lgeXAxQtgMSC07ZK6F5TQJDbZrZVbGAf4aQmxCFn4DGmPtQspoccA6A08xNmfMfs2Rgvj yi0D6xtbZvW3jGSFmuTrsq/ODdlb/dgTzLcejoLu08zNeHD9kXWO70uU6qLEhzxJsr4UUraC0NbC X7MhjVnbTHdf3pPd8v+KysZW7urJLmXjWho1n905eaLwCBBU1TZfl6xeUq3+mhFDjmRs5bvaV5Sq FIC46O3h4LyMn2mI6H+PcHcOHL2cNpgFWxcmAT9P80Sghyagfs7zOTB/1zWADWCrT80AskgE/HxI UDITaYBOJ4nufNTbppnhhZZeTD4Qzs++3tprmGTu+SX0cFQE/PR2z+9Fu+luS9jcxeeyu7vm5vYy XMKq69oimEdr1w/5SyFdpFin9Y4SR++d7iIKf3Cci4wkmcFIxWc9tNt8XzIyAjCqz/DVcpe+tmG3 ei16OKgA2vhpvhDb9pWPyaGv15AuY5IsGfsIKV37skVlWJgj6CuS6WhIDdOsmR6Orfcr6tBaXHa3 FBgL7AvZElSZp/eCloy95F8K6cUom7UY0ukURrOZgRmHJbD2MuXEZ+gMVGlfJbccmntNgROK1MsZ 48gWW+oG9GEVXNouz7bEOl0qFdP21bYFr3BGKwou5ylgT6eSHtk+b4aWXgCoHayfH2V0auoKznI9 4M4XOZMMU9qpP/VwRK58fNClhPGnX9GHHHp88eEzFykWdB10zYSEjlHVhGS0ffclzHQ1RzPhx+9n hALxHKQXp2BMWyoWE2buH5m9fj0USxZ8MgcuX5D7KBo4EsOLRE5Jyi6pWkfTw0FGd/VDlWIA7SrX PyKHTl8ivZmMd72FI23NufZ/2yXkwOjLHTXybNtXz11gEkpKsSH0ns55QXOoqVTE8e4R9EZ81l4y mEI3ORpDdpldmYKUH0ZR9nhyInu5aOJ6c5eqTFcl3N2W0DOBLKJed3ShZswkr/XrmZDa3ZYQbCuT eBysbfXUJSPkAX7nZLyoe1h3kyxerO38HJa5/B2DXSnyiaefOh4h6JyQR+S3anPq4YiNeyW7OIu6 oh/BuxwbfhFeWr8OaK3N6f2oVKxHpQGQF0ah5xfnDWer4av3F0qfp2S0ydFAw1p+irqI0KMSVL39 ht0w15V9r2MX8pUULefytFx32cP/qrGaMRBc+4kuUarbTAWimWcCQknF0JSzCwgm+7ZLZ8+B7ddF q//XednwLuG3Z1MCxAEMJEc6lx7Y4vLcRWQQ+unRkB5nGQThbL2cCeMsn5889HCQ6V68jwntEPef wxIluoPjLwS9JDRvK2o8iUvGR8a/odg/4S1xOZEcygsIm3NroG6robTUjkDr1+ueIA8e/fhgU5rA Pnv5av0nWTqpt5SqHg5qxdvpJopAlmzXPz70/ery0EnuxZKdF3QqKg0CBF1I/e5J6J8gueamJl3r D7wlYxEnvfVzBzFxvHwhhn7jURUdUrDOoe0XnbJT0TJ81WqJ/6lBTd3ibI0eDsLKLZ+lFgLSqD72 8VGCi9DCOdnVr55anRJ00qZrld4B5/jXE6a44gTlftc7js77RFw1586ZadlMWvm8+VsoqEzty6rd XzPI5QSCqvuu3m2pVmPIpTWH7l/zP/ByTCAubD2H9l/iFPa5TQUw9+lYqBMPuqngtaLCVo2FvxiA 2Q2WffV9wUtmcEb0/9q8OoN1lu9zeVAdJhuZQwswfudR0rPaYTxXhLik7ZwaPfwvIzTvoZ0zd+1a c+gCRk1x3rmaSDH+L8UwYpLO05RRV7edl8AGDDoN+yJcnFb/iuZJYORcqzqRSwPgh8D/UIDH7f2j 2WM/PqLCSAKhDF8tyNaSTd++kbPEVmA3lWNbzhXwZ1+YWI6twDSBRM3NRBCwtzMRBGQfXQSh5bst UYo75ATXlOK2b4pbQA8nbUs7iWYS3mUxxpJH6Q+Tuy9/zUjbWjvSqq+W+p9CXLnY+dlDD0f7kr6w fuD4Oz+fUcgkoxeR9gWxi4Mg+ELZcqQk4O+27r6EOa4zhKqv/hmB/25KEWRHx3ycriWvnzFHveBk weL04yMSZpcKwvLVRq6Q94ZFl6aHo8+oP8kco2iI5LeZG9iCWQ1Ga9WE3k76MKvbKYxkEqSnrpFX SbcfE+2LLGvy8tU7CzC8mRgxou/g6EDqYbIhUQiGuS76Jpapfn4Ed6Htj3aNrQ6lsz4NTww9HJHs yvc6Elbq0UzMgT8YBQNXFxWAqIdKLsxFvapyRjAiXd/FHBqEDalJGoKh3yHXOnk/cqkbMHs/X9XS gRmyUeceErHMOardXzP6kCrAMe7YXB93D1zq1KDb9BYCizCTJn/GRVSJD54utAijs89bmY+Ato9r aZXmEisNEJs15wKHMDJlpXM6LeU5LRNCSVlytgWKmqYowpw5/dHaw7brflpCXS/caxb/FlsteUH8 U2HzBDE9HGT+pf30/pPJ4vquhN1cGDK8FZ3KXfwfgB94CdDTfHblr/YKeSnCrIeDqEb5pBBoUGKk CAVDRnAROurfQVFa/pLBN1TE9DUqohtxn3y8G8P75FlFD0cp3VWA003KrO/SQXJoE7YkAl1JxEXf 5cDrG9qkFfShkEhqjo0KncIo9PCqn75e0l1FR3Zp4HrCGB31uXUoEoo2AP5v27L7awbpPx6Mi2hk q/38JOkTsjtGrqFX2MjlN9cli33803JoFwYLRh2APx0RmjJ9EFD6kiy4wCGSydPOxNkuF7R63Vod rLvPt7Obefu0Jh/MuZifCYuFxOOlQuzirxlFl8lYXa6d5ZErOllXNX2RPfVwgDK8zQVDLjAHehq6 oWUYdcnSr2I2lc6/O/lCuciFdUfRgWMY9ZXMFiQesb+OyCd3PtWPDkw/4Xdr1pa0I9t0AaxcNG+s HDiGOSVxwmWw1T/U0c1VsK6lh6MPqfx+SNgV3bsotAzjTzdFJxdsgeOsevHHdOGBLYSOYSYvOSXO Mx/XhUr3aU8FfwQVs0BCiDhJfQW110eKZvlLRtIAhBecAW315v+J6hZ0W9HDwU10tQGUCFbu1rsp UaYLag+smwN1m6kaC7awjVUEr+s5K7FggiCXBr/MTxdKEpPcw6eMPjk1Fx3tl2L+Ml/ed9v+khHG hYxFrO39EAzmUD2n5LPq4SDPbZeCJzhqM/dQ35Yo0W2aT4hUZHQiMK2yo1ianzET1R2hyBK7hqmC FrhH6wWL0ajcRnQ+SWlpijzZxIPKg7j0qygwDTPvedSXs68GiTrXmiEmx9h6OBKlqT8TkSo3vXsV BbZhWQnqBs5epAzOB6U50ZA0QJM6jQv15L+4hklWQ7/0ao92UToBYYITl77V+YxoLjBkFb8fLZab zPkn9Ncsd2zJJ+ybAWDBJRJxPRFdD0fyGvkbbrMuwyfahp1cBl+XnyjjW8ehrnXtF6ZzWHPgG3YZ ADknX/0LmkAtpFBH02ImCaZLCo06usgDt/5cz6F3GDo2JwPq21cnWiHabYey6uGgZXlVndTJ05s+ kSXKcaE9nTfv9WIWlokmFAyuLPUvSSa53X5MxD/T8Fn+0Y/SO2puCNSIxzrOJU2NdorqpcOIo196 FNNT8teMAq7cPBkuavVbbk6btirLDT3Eyh6/+0JJsx7oTwsFwCSikK/RXCd/kIiCqXZjvS7UgnFG 2nume/6iarrwcdjq35H8S/c0EsCJwK4/AgOwSXr8ceyQo2duUaZ7avFTDmHEY6tF3TYdpjLX1sMR nOPm/8roSA/uxPXzmrW0rK4gsgGGxj3xSFV0kQ/ucgvlmb31/5q1NNeKa9DMbPVSrmTZUsizY+Gw IV11StokkwrGCuUr8PSatDT6q8idU1fY6h2dtSTMebJEHn3ZkfaoLK4/NrEkD6fHrVgWbQhZzkBR XPY/Y+rDwWRqSJUUV3u+Ccv8gw0R6arKhk+rZyyMFDF8NRnbflIAF+OQe6S0jm1DHLD8uiEni5Pu ZNdH1C89tFUJrSnrP7nt64Ywk2+2IVuzRNT3qu3Ha7LSUEaUrNCyOdBJsoY7a+MUZwrhnepINLTX VKWZOc+qnEZb/YDsipPcUiNYlzWfFeLnSz5RXw6amFavmUoD8kbvtsmjptUvkihVkwdP7fOap7CT 27fDrRqs3c92vCYpze6qLQdV7h3B/3Tv5KshX+E/mlfy62Yw/kASXCbSWh1WCeq4i0uVNwqcMt6W sj2ugtI3/tWafE1Q2rVeAKF6VvH51IlDLVmYjdXz5zU/0S9n+Zp0roCTSF2Y7XhNTpqpwiopsxlZ 9aJH0BUrevjvzIowOBuM/RcizL56SO2MHHHCPRtTISxq5Fo0qCzq99xvRVpor4kJwaOIN5Sqr7qC W+t27Zxsf31e05JmWtTaDQMOr2k+9GzHa1bC58XH0jbId2AarT8dWmM5iO9wKXivWUlLUiNfDJB9 vZC+E+kKvtQoOs0FzmxpsJylaC8r7SVknAZkrzlJs85G39NskG5e3xmomIxE35/XjKQ9Ji5L4tfZ VqAF2pLXlIQQTKe+qVA3cbj0XCtInspODQqFOQxEW8Iv24TQbg9C+2wIyq5N0sYj0ZhM+FQMOcWk P+NRYJHWzOc1G+GLYbzYMCC31RuddZq4W8v585qLNLPLtSNCP5+qXmKx7MdrItKM1ruqpL449+pH 6ovJTv4WBF1fzGsSQjRFpadq/qPVeu0INjUpNDY6kEm7gSoVkN5fmRGRPl4TEHYa8DFGfr46iH9V q0/oyr6mH3qtbJvBr46PBSUfW/HaZONroa6vjgxc2SUCEL2/IJVx1SNeG2zNgL2rCmOr1Qlw+L4J kn5OyKk9uAng8GJAzfErPT2oA/nOv/bX2A0N1nu5qzUewUP7oH18XrtrpABSbONPOaxeBqZk+/Ha WuMgVlmtXWWRWryqKWIIigYP4N5p8GHq0f7ncJzK95yIcziIX2WeWJGnNfcZodDKG18Z8C7RlSic wlZJKNjYahXNmMZpPskBjwbRY/jlotm25thYZhv5M9gRyfJ0XFk0Ku24oPgIGQFqkjEMbS7TO7xh 5MEB1FirlxtJJCluuCKAaBOjmboA25sfowVhWT7vbKBmsqpgWO9qV4w5+HFImp4NvplZvgmILMRh zBr7KNiTJO9ZbN6qicVvKWuiSylGPH2kkVwY/Z0KpOOpJETOWGM9ncATmXqVVESppQuTg34EUBIR m7+DjGqA2jCmFl242VcLUadAMVkqRK3eqUDKUZftCd/bLAL3Z9+U9yz13DIakpIkJZUxJnqGocrc DgScargYMiXYFFJzQvLw1VtHs5w0jc58g3WMyDOpCFhlYgKiD5aJTCNIRXkq6ocnYScyarXZDoOR ZDcv4MgoFzGjML9oumLY9j2JUlVOb85y9COciIaicFKNnwoevT5txihb7dW62d1Xx0e0NBDnJxs5 YbAC/TspCeNtNJ7VjnasWzb8RVTLFEIJWki2ei0zpCWEZxh9+jCi5J9NIdWi126b8p6wJgm945u5 xOU4/zS7T41h2GabGvennxZtCt0nedra6ptyEhmaAepIj2aOHDCD+F9hZe1deumsfd75PzeeJBqR ttq3cyoD07Wa6rwGW+LqvUt6GlvS+E/BGyWt5mRGq1QeUKVew1vyzRNQmBdj7ypl1nf+j0I1AX0r d939yVq7Et4t3MWp2LeCMCRXwi3j3Wt3NOwV37cEZYshBv348ugpoYtNc1r9vHN/GlJf/RtOGAXk +Xw6YdJ6Puhdq1V5u1VP0haibcZyX/PSuaOcldwfelzy1YPJwhc5CyR6NqQvs5xjVJzAoJcbTHqr 9obvwaQRTKgXfbWLmK6lYCgncH/eaT8qEdct81zrS++jHYnSVpLhLRPwE0H2lJ84o6csRjftAHJt a81HeSsgOEJF9fXyCREIrNx9hJINIn5J6kxIri/6PI/lr/i+JWJvbD5eWw2xdPI/S13PNn3eCT8K C+mGEq4nFTY3lLynr0ghiNwugCj4NokjQQsTaVRCivjk+aZEGeyQLeUmfmr172aip410VqMKribK MqRaVAUBfgTQJG31TvdRSc852TLluEyIE6anq2d01DOiFHbtm8KKl8D0AmEo25Uoie3NLEO6MThw fXR1Z1rd3ebD4BsUTcIsFkBsI9u09XaIUexmpnBq4AJFXt1YwLcSch+P0bpo0Z93uo93ccbJipev XvItw13yX33e2T7NAINPk4R6EQKItdCiNFYC3hqF/PNMLYAslYfUPq4IwjvT5+5IJSLban/IBoQd GhRJLFANzQTVv4ZuoH635/XbXzGIsKJXVqmsVFdZqRoWPOjQd6JPezSN7R5G0vjpKUY5bKaCbd3M s6lNvPKTIYRcBVL1nvM7x6eZwvrJ53fx1bEVZZ8wAciEvlFeBkoRxwdVbcZDV3eGmurzTvFpZvbc +cm+Wp9k961fip78553hoytnfKMJEvrdC513fk9zrcEmBLcxtrPrXpOQW1RZKBgplrzTe9TsIkur KnfqlVY6FY2kTwpt1oS08VQ1jHkrJMz5tdiTm+bnnd2jxAQypRD46UHg1+74DZLJzzu5p5nfy7Ml Q9QC35IwfZ3aEvxDSekb4ubi38rLVI2jEzGzN56j9FWs/YYikq1POSydAwY2mzmcbibBMhIFYH/0 JZsCyTuzhx+/ob1AALTV2yUY6erXmuvzTuxREJo/W8KQEsCBbUqUvupaTOWC11K9zP5EIWucjTy8 znkn9pB0M/FsnAhb/SI+FdKWVkCDgodUtTqQlMXnD/9gkshlPu+sHv2hgZigLmGrtUyWLFFVDZfP O6mHu3Dd0KqPHn3Lcb+csOV6DsiuCqTnH5AxstgqbJgIYOMKhrzzeZ5iWIa5Wn0/TpFzygKC2XmV DQxfvW5Ag5zAbEWHrCn9FYPERLev8CXr4ktO9tfNHuvckO3zzuZhO9Ptuf4RTfEP/UPbkffklVhC 2p+3c75QN7AUredtDSXgZ656/U7m0T2e/+e+UbedDeUdwJwk/B6JJcAQFHyeWKJxw+edzaOJELEE AQ9btSf1bLndGSvtzzuZh18w5++HM8mJ8nMFh/lrlTtn907SkKg+ML4yRXFi/jvnDbDvGez5S05p mWqO2C+eb6PYO1AGI8AOdU7OTrSVpUnBlfxwEYa9Y7AnoEpo+/lqiKK0fK7X2JP3BJY41797Ap9x P5dw1H6VDl5erome8wMn10Zoc/IarhLyTuZRi3QrRxu+Wl5ybp/EL0F/AOejrOpQZM0uD4qntyao wDuZRyU+3RJJwG1LWblykNA2uyO25D19zZJDfmph+PKG4tOeRNkrf6gTX58+UlLSJlp29f78hERh 8eQ9e6Wixi5XypLtUZYEfTRKQoEF/MRc0j5A3VjSvXRkb50jJ8Z3Ik8zCBKQ9Oarss5Tj67rBzc+ 7zwe9cnmN1UDs09ssoFnlLw2tdaag7Ewr3L+QVJ/Qb7I4zLV31k8qobJ1jjhtvoxgfCxuQgxBjxp snF90LEEv/kNJurSv3N4NIZppCS0B7Rac/5EBTsl/SQm7xSeZprt1pamxIH0crsD7wQeHcr/T9h5 5ViyI9n2P8YSuKAWb/4De1zbjH5OV7plotHs7EK5hweDwsQW2I0n1YtECOyGmagtO1iAGtKwqvQ7 f+dJcejb2WgzMiqJNFhhgjWQ5FzVSqeEX4Q08hj7vJN3vC/Vty7h/VzCJzI2uRocg37euTvNwDnP SSKNt3EnJIpeG6eDIkwZp9Uu1j4GUKJOqXFRbkD/ztxhXSsqEem4fTGxTuK4G7828k5njWgh4b/F Wi4foRR+tZ932o5Ku9ihD9lmj/6E8ypZErv2/vPO2vnfsxWcWL+dnHfSDjOiJezHCImlRSWgPW5U 0jwqeWfsqG2hAlJJPt4t0zDRztoy5/RWn7hInEGKweXBGtlt887Y8Wj+/CJ1qkSPoCXv30W+f+de Tbn8vDN2vksDCrwFWr+LJApdtwIKV6Jd9HSMTjsudbTQoLMpiequZKOrgXy00adk5NIqiDE2SsF4 lT4x7UcRSG6lRBog70QdL7ueQJCO37pU2vNUNVmNc6fru6LAdV1YTZLsiFSMNR3vcWtyacTRzLcG s3PP+HY3xAT1juxFxneSjmOjFtA8H71OUuDopCVoKsJUWZDY4jjcKgSjtXHWtI8MWhZqVmCfYaOl N2M2A/GeaO3nnaLD3zunb2zN2Q/DK9HvFB1+HNFoS+I+cqIszc4iCzZbW/Ju0LWak6jwavsGSQAb vSd8boEuL5Zz7wp6BEYPjR7EHdDsvIwuidj+vFN0PG0biNv6aNE8Sr0WnKT6887QuX90nSRaU5Pu kVde3xk6jilZVlGEdpvcbcG0RmzfXCmHd4JOc/hvLVomRQKa0yFHo1gwP7PSYKakqfecrIJ0WxYS RHkn6KiizCkyuEU1en0eC0N+q1rrzzs/R7epzwmrQnDEccsl7/QcVb9lOWpzMreq8qofjUtDV46g OQkLrwrPUrvj7QqfU7sTwDWQGJNWBRoygmicA6U9oh+kg/rEvx2vLd3R8fPVMK61NH1asHX2d2Ug VTPE0oxEgSvVxYxFopPQIdwadiAV49FiCOfs2XdWDh+ddfmqr5WevlYpuA9WkWcXlP0tii0/kXtp CHo0vuK0qOyKOPZIiDjbaMfJmtUiixN8/7xzcvRpvkxcTJJKjWc475Qc3cJdNHmvRXdaMgJBUwUz 0O+ZqW6G2e+cHEWI5MDSLK6PTOGmVjJQWqY6AHyHUyexTwo1I2Rkn8prto98nxSEGEeFpMloEnXn zdta5T3X+fNOyWmPE7KH88ihZz9j3xk5QrUpiFc0JiABTGhuoK2QW55Y7ZmTKHiVmaugjjZ6xaQS XEEWpYy0NvJ4sLAxEsWbiPa2u9zC4vt5Z+Q8mweNORt1xg7ObIN09vHzTshRjn4xv4K4ypDO5ySM X8kBUmnZqedNnT8gqOPaHU1aLto873wc3lJNc2f4eDfPPmGfyp1n55ywbxk2HEqbCmzpowuZ7SOj MxZIZ1WT60LPT8Kxms3JSSd+3vk47aHLegSLX251RMU7G0e4PQgEdN8U02d51IOooCRmMX2u21t+ 72QcXTzaNc/omTDEhCxEBYiQYu4UxCIZuOjsnz5OavaRwV1cFWrV4qNXkVJV+w/zj593Mk57WCe2 TkR3BS5rkxKWXymyTqlvGHqg7oseSMZDb5hz+aRE5VdDD5DT2Xj7oAnvwW7yQQOLNGrSxCaSiqNd Pu0u7vaNf0uGKd/ZaHexG5DTw9aXBetk1895smgglVtGemfi6Obhv0bsjk0asmLdRCw6QF0cOtC6 8craOxXH65xLfrk2emS/ZxlNupK0+wru14Ans5DJD8FP1fafdxqOZmRiQvOMdhVXS6IpXK6fdxaO spZ9oxMTJeOKtAkJwQMdk8jsgoct3dxPovwOHnAQ3zsD5+4bQVJsvKibE4O0Lr1DUHfQf5esxKdc KT+ZTrMPDDA30gylymSjAZHODjcd9zPNP+/sG2EUv2qvNV9jIU1IFMHC9H6EH1HhMMz8Vu3PbH6m 4zzfiTfN+cJAL330w7WB/EV4wDxpsdxYSo6p9z1Gck1Gcu+kG2UqoI9QA7bR5iP1bUJkva+fd86N frevgpoYluk5WqPotXNWdNM2R8O7eNRG0dQIbS3NBzYfQl9VPsxq4uSnQN/P/YtTk3w3KiIMXWLf KvCec9+IBBD6pn1icAFLe2zJwXR5DgUssrsxxKo/LYpe58Wqqf9UVcP2GQmjV87iOhWUEL0KkqSV gWIbViRftmktKruiik6ppPjoEf35bCQwuYDPATLwv1wFkREssuQN+VgdVfvIADmPDn7jeLPRO/Bd YuxAe/Rs0BEuX9uGE1pnmKgEUfAKN3Uh2mP1kkudniBlrIQkwwTWSH8PXbn3ieQBQ9h4eTdjIhBK vwIXOVQTrTifKR2V/Whtdek99pCZJQZfwj1Bo101NU3z2Sy98mwAnb+gAXUWKtoQzbufPYpdycCW afbxN06GHzhrWakw53PRtul6R5D2LUWu444XR3HSviYP85NbcOtsZ01jFYAa5j1LTE+qR5VX+jZI 3FUf9ddFEqe7B+Pi2eD2LfODLAHMgrS6zchfK6+tTS/Ot359kLeV6dnvqzmcsUeVV/mW4kvjo2OQ aCJuVj30rBOmySkL4HHhS9uXCaMUzXsUuc5BRIJqnI0GSwDuKSOsk0TxbMDQmusrw+HvcAIBP056 SNIil0Ocxjh8dUoZlRNMiFUlw1TeVKDvUexKQYdgY/nosWunr82WaUCK5fkAe5iulngCj4isaQ73 KHalajO0K8dnb54JzUodzmFdeDbirc1bb+QS/603KOkRUWtQMG/yujgTsclw0OogEE+uJAt4tmtG wsB1KfyCF6zxHieUp+w4acCZwQpnWT1NZX3jf/ZOVIFdqsAiVMWYVRDCA3Q1h24Wng0unVE/1Ual gOIFaU7CyJXi6ZJ9KR6388Mhr5dD3j2W7yFZS5U1EKI2XvgedtkQgs6do/yGpA+yP2vml1rvJQSL 2tgj4Ovk+lxkkzZa6Hr2ZLEq0tSn/XPrGLcAQUubkbAAi4NtIdbSPZxV5pd9UcWDWi7RcnwRf+3v yFdV4TV6AZa9UgVHPt99UmRBB+RthRbelJ9G+4CBe1SA1cbJxJ42GsIE2Rtrbo3Js8ERO74KJlx0 6xI++3v0ipRuEbywKA45u1MiWqS/rFQKJidAp8SgIzaKX7d+FrbSNtoZeH5/3OZlSVNpbomzJZkI CZjvh6Y0kn1jMCV4Q5+AuvtoU3JmW1Vl0KM8G7H6vk7YSuxWL5qiR9BX8RpNrxS1KJEspDNQtIL+ M26Ue1P2CDqgVl4jnZUFMj+LdXLy63wOFPDAiehNYgTKgWH34t7gSCQy2sLro+gkq/25fbQmaO/N kPC9bZ6N1smdFIVWZ3o/0UkYxOq/LmSC2Ytcob5Um0sZDihPEl/oUQlW90ltwgO3Bw+M/HlTC4Yg tlHLlYspWMGzzef4Kkt3+8j3A8XpJ7v4aAdKHt04WCeD4tl/8T9VRcoSfvVO6IjCWC0vOTgIZZLa uIi1JQXMDL2tujrqiGqweCvA373jvYtPUIIOEI2/8ycuXSyVs/A4ZSW94Fcx3UbeHpTWcO1K4M1s 1NuR/1vOCy88G8Sx3VdKMWnhptKvzUkUxxIv5QFfsf6nGqVLLZwD4LyliYQC4VlR2whrsDpgRZqv 84E2Uhc4t3EXaq0iAKqojbkGuorN04XeKCseIYWrkhVX1ZHqrSPJG9LqSG3wbNQPvZGsjhWa4d1v 4xFDYIEONGk3Yju1ilXUTg64hueAeIpp+4yoCCsxlybN2Gbqv+gJIxWHn7OUBlpFlIGTvwooxyp5 Ghiw+Hh7gOQDN44hn49eg6XZqspJzTwbVAr6N8UAjctn74QoAlLV7VZWi/6pSRaa/ZeJDTiqYkRR 7FIKKPZeW4piuWPnOeDOKWK8nBPCAdyj0sS5Oj6FNSlC8+4gOkF4btNRsNFAFecenkajmHo2Oky+ MmLJHEj7QPMRBbFkN6tKTUzHo7l9QcrzgK3U7rjGEcWw3KTgS5qPvmsgs0H1Ewml0w2VMB37tCL/ Ux/4qyrSI6q+0vzvWBMyUt4TLxaSgs6ScdYbD0ftnG901qAv5AngCGNYLqhmTXG0EYbszqRoD9jt P61qOryi10dRLDpBSG4XHx37cMLWLdYIh8o56LZ80mjnENZxK951IvOmERVggRSNRIXARg9+0HG3 pGfybHCU3DrB9jkxGD8/LgTALoGQJKZM+mcscnBqYtci5jKWfLU5XiMArKgUbQveuPeFVkxO13Oz X8Fc4PkL8MmvWjqfZo7qayPUHOBYxeTUR5sRsPSCei5mJIxhL1dJW54uwVXpGFEFlp6+oD7slyU5 BC7jsYb9RydJEYu46x3RxtFFI87+1BblFz0R2plVyjcn35JauRoHQ4FJMk0bWyPYYWde/5fAZG1B CPaFEGCdZBCCtTbP/qOVY5mO9N99RqIIVnTFIlHlpvvRj5I23SpbWop2lITxq9jTd0ZukZ6GJ8Xj JNj4OZKKuegBZt/ftHIxx3h7EL4aw28nH+3+bdX8DtqJTng2yIZr+hwkQGhv5jfi4BWyVpde2PqG 8OGCKGLoSdiGQ29GWIFVsYQii40XepNSlj9lw+U7aUYy7gcZtoAShTU+9cYR0rYaCU7Od7Rd05sk YEjIKs9GBYLvCiyoy3mVOsIKrASXQcBY5lepUjETaatBTp1+8zdl38xYHIurl1qAjVZwJLXhbyg5 FxAEqlpTlhFsmSjtovimfWNcbzy3BKqEGu8arCoQUNjk2WBK2jeucVK/8aN1RpGr2A/JeuGSWTnB CTqwEIuKbBVPNK8YFv2SsAabpzNLbLxH696tktETuZr9ILK5WBGy0j+2VVg06yP/Ihi2Rl4++s7p 1TpU55Lk2X8dJUuTgmrlXSdR5MpOhXreHD5QhmNvsGtw+AASNwrnZ0jeAtPURHppNwkBYFZXmoj9 aJEMhfNGBMU3YH50OwQan2ENtmtOhKuQL6fOEHR0FWz1qWejOfnqCkurPS/HI80odJW4IOUeO1cN nktcgo+N4ZFUvLE5CUuwQxD5nXy8nfKTiTp7ayCdpuiFPUMnr31kpW3rhOCBRjwmpSCNmpJzUw7l UlSueDYSlrs9rl/r5wA29ymJolcZRU+zjKQ2Kr8rseu3dKYXWR+/AEfsDLlbVYo3u/no9YGzJcgG qlnKk8+jUUGBBihfl/dQMULbtI8M9o56oYYhKO4+2M4Nn3Wag9Ln2aDJddFIJvIhoeh+F0oUwC5Z dvRhOHGKj6603baEGcnjSSBVq58RfEBXcSsG/H1Kjv3s3VrMwetcQCnLYgVxlEztKX+5PqhjPqMA lpbDCd138dHqa7NUBScnZhg8G5WSPKg32ZuqVNPmJEIQIFizm7e3Ng5SLj+QHPLZhmHt+8+MirAr i1EuqShLT9WjPNdZFaoHge2a4L07X5iThTj+0dxL9onBjEDm3k11pHbrSBgM6OSqaTSejTLh73Bt IsHr4ckM6VsnE94yoUG8n9Rb/S5zqpA1SK3OtJ+hbNbrzumd/SIUIAIuzbwUkXeA3y8j1nqLjfrA AGOihJ7PtNHqAWuar0ceWx8WoW6+GReIO95MeEYQginOuyzWhWxMAiI1gW5VNwI8I4/ArrdEPFAB 6QX6HPlRIpyIC8M0PWcJjrCqMMnXCW2Xkj59P1WQZhTBNnmCrHFHY1qUZEjysyMbz0ap8Bd6/HzG 777crRlyt5iNCviD84OSlxeQJhBnNS/6ogSkOQmVB6bAr0r+0gPOWmcXbhxuzQswL+yGpMhy7uQu qTm/hsWOnaF+lmCeC8U5jQY1OUeKYeLH3Dwb4SryFwL2TP24coQrimApQS9BPSggrenIm5mvSjKC bpblrAhDYHKVyAfYaHEJykGFihFxyUIB10SRgPDJlLY/bDaJu64QQ7Drn44X85wfWjDtBIQ8+w/u lkITLp6L4Fth6dXEnYx8svryGRl1O9CzlOt3scLwdRiLbfhoaQgQ4L6HnFFANWa54Umxkj+UVPqv M8qwT4wKrxCFs3p+uX9ev5L3WyvP/kP/RxUkpAeKty1WKPBKo7YVc/jmguJjmYllx+xSqcYP1xVD CKRmKDETLThVB84Fg4K21BgWhXprDadq0auFJXa88lN5fVB43aLGruajHa8nLDGe/bmIeTYKX7/S HLwgdnIAwYqiV0SvMtej1aJbaV5Vw2JMx2udxAQKSlYIfpXyE39OG/0K3ifIwVYFhYozJTqEiwQg ROT44saqQLDC6itmq1UF+noL9GdjqpbLQZs3z0ap39UT47N21s1vcxKFrzj9bKq2AxQff2N6n1kA RxMEJtdSTW3F/C3F86n56IkfAD2EDym+zt6KPM2a5DTJNOkM+1mioGRFAIIuzQ7Vo9dTj54EgQYH Tplno6DkW+0VEd3mQcmKQlfgT2t1ryEtLmvhB2b307X27qDxFUoPSFhNLm0a/brBikrHBhUTEkrI BUsVRv5Q5WPjIJLfCoWzjH/yf05XDBCMqQ1MnWcjkEn6WiNygrjEnBWVXoHAZGB21hguVnolmiee FFRaiZpNShi7qvuJUbONvkzamidMWVomIG6MmpPFfJwolj+occB/vD7AmRDYZSaSsetcaBjDq9/d z8Lk2WBS0ndcgtjNzXBWSOCS9AAIoSrCY9KVSyaNOlQDNI5Mmh8mIQC25zdV4DVVE9ABi7Y4AFk5 fuVmNmBPMkxNh9fHzfIh5QIbvdtXrThdzirn2eCA3fcwEZSvCDR3r50QBGvqA81kGPArG4Zcq1R3 FMtmpMFtpcQQAmGRlOvM/HRzTqpUJDR+/oWLkwxHuz5sA86+C0Wix7w9AEpvIQh699EqBOcvbnbW J/zg2aAvPJ5mOToQvwoMNCMxhUspabtlJN1ttC1S7i6LNLHkMEHcWP9VpJJxxwsLBhOM/MW51fC3 qLhs8MfFZ/l31sfoDxCWPjIIThYZX6GapNEOlGk6OYTag2ejdZI/e0d1JA5sTcqOIlhBuZIxqSk4 qqrMTZzNq6xISNrhAztUgJVM8BQldD51JJjC0NpUHjirZi152hKJLDp/9elvQRvV64NEJ5up6vLR imt8os4E1E53FMPu6QeK3RBwPb3nt99jWLBEKiR1bweP0V0i6kTeJiDVRu13TmL9Ac2GirDzqwjb z22DTV47ic3uWR4F+MVKHwTlNr95tkomOwTCNsMGFx9vh8vooefknDwbHbLftLbz2z20th0CYYdw 47puiKQkzqfCgIBlAGFHucfJjqJYeXhBxPHRA26ECBX68B+ey0UlBDi1CHd8lLWnAJ87imFRyRnY v/jo3YtuKuwn8tk8+w8Cl0AEJJHTM50dxbDY1pgpHEUkJRLyI+uX0qZo3bSkQ/BA0fEqrebZboHg rPEueKPBqXqz3C8pXpPm9nMRq0CwowgW0jWsnuKjXo+DiO2bnjbP/kNjrSr3q/pb2IyEGFgZlAx3 aGuPAsEsV3pdMBnNSMzdUmiy7+gXTqXxTsNTCkBbEv6FiAQpt9/xtIWpqugLI96jNKJm99ExweRQ qqi2yrP/EM8y7awsrJXPSBTBNu/TJpuJNl3gsyYRHNg18iuwOQnRA93EGruPPifcvF1+yPn8NmVL 97Oe0BA/mS/wuFSTd1R6paSAv3P30QqNKLHqFqlp8WwkFHUj2GzUBDoRt/q6wxi2rq+UL0vVyBLi qnAex4JSHRi8Q/0skS6ymn8X9E475+yWQlFQOuwbEbGzUKZK5TLPuv7M3OP6yKjeKLuCdkcDN56M v5ptdV08+w8kEidVlZ2xT0kYwhJ2yhlZVZPsXZ0hxWZCFMwN/WwNy68iC9ut8/jJArWhIm8kg4qI BXD6SsDHdjUJgvnJdHaEH6Aifo5/MJ8abetksePEShk8G9SRxt06Okwo6F3mxQ4rsFQI8zIuDgqT zkUhynTzguHOhjuOXlVCUp6znjynn2BTbDx5D4OEbW59bly7jyrS8i+M0FlZuKzpo80Iq9E2w9Kz Edv+3sDJr2ByDpuRUPmV39McbQTgE4xbsJvqfjkVaU4/TCIIwRStDX8XGx2KVCpiHmaZOmuDWrPO kTJND7fVx+9dar+8PlA0Qb3yHPnTRztOWpJHJWbgi2ejcuOdFP13ARZfZpvN6esRSyevD0lWoqlB iFFlYQC1twMiOHmOQ+pzCkUIZOsgFIlGLwqSC26+69zBQBxVJ6ANCOAMIfXPWun+mQG5DQQ5kR8j qbqSYilfyDbofKSe/geYT/uIk3Pkx9shlNEiA0zkpV1KBEIfsnMSMhL614Kt5eYO//DakoJnH08T 40T3WGnIa4sKU5KlnQirWKS0T8W+Zv/MqHMOjgCNLxsv9FjWLbCgmh7+B31YUvJg+uks+cSEZgbb fB2c8XcmJnkiuN0sBZFPj+8Fwn8/5qfOlXpH+/Dzx6UCK5RFaywglWRBXguA2T6Fe7PUSyGkoMER RfbQRmvviBCpCL91PRxEK/kJ31SREunf5yWKaCWZldcwOUv+VSwXLK0b1J7WZr/zEvtvSal+3dEX zInsWqd+TvUAY6ihU1fid3JpvSeMzF/0/uAmIrxJCCrbaFHtxhqAX+y8Tg8HB+9FTvv8DGqud2Ki wFZVt1myWaKQGm5TkIJPJvBS6yjvm2ddiqqzQzZ+VYp0td4S0/l1zgkuOXRMAsBSqzo75Mxiju+u qPx8ZlDEVzIIltJGi1nOZTeGl230cMSgfShvmBSwTNt6tlJYoh3C5KtbTvCH6LOhC4BZdcBcde5p mIucwiqtqJHdOmEPs5gGRBf5lK3UkuROEqygwtk+2+MqO/f0z4ySZdP8rD56sJir+zLnpoffZ6aW b9TwUpHrmZhQpGAA02jOfTNO8ZRIgXsvtblcjS2nKMY1eE6uzUevSZ7AFo+ypXL+ORFYMJnZIDey BWNY6jmmf2Tc4eic0D7atJw3dXfCsI8LigjrS5Uc0PKsz0YKlQrwfZh+wOxZXRp1m02KtCLE/kr2 kr8hdEyFbNwiwjp51InsyXjP+QKf1hx5i0Ta5RPuV3UR+EvvD0J/A10gubW1DfX+NS0NOKdv08PB Tb0edRwBNgBpXXOdFMW6gKUNbaDp6JKfkdNBq2Zrd5L2fZ1kUqRYYHWTaQZo9bEJ7WmhEKbzJTl/ v6h5r6YksozTk+fpn/kXjNteKiqsSxKaJTWjbJ37TA9Hu+grfYYPdCFuOYUFW+7pqqtTAe/UKpEW ovyXhOFM666XqGKLxhBN0+3jnRZyAKQumgSZOkieIqihOh2sFcsUzdCN9/9N+rLU7aOVbBEB0BoY iuxCt4M2vsqTTZjPu41C2y6OjjXLcsk6bSOkC2ghmnSB6llmUBXiDhTX/U+XfXeds0uu72fFzy1D XmpxyKKToz9x3bofGdFIZXAu3E6+DljlTIpdJucFejjqjT3TQtZQ4QPdWYni3SZAQM6uXiBOoNQL YPf/Z2r8njHm0LfL+Ajy7WrXt+vMyDyhigjvJ1acBbierHiR2mdlPgL/5lD1F9sugTF289GyoxNT ufXQqHo4iHXLAxNN6jyZu7lNShjrFskWeKoIKsC6qMVLl77C72IJtWONz9Orjx66wMFZUGLOtPS1 KVmfxSJoKty19kG7qWOYQ++ubEJTeESmqd9TN13K6gR1qgs5MO/CH+ObdIzMzBO4BOZdMHKoHUqR REUomQuI15NdppuKwb2hQ/cukaxbbnd0jABSVzQOBd7poHOpQnEbSAl2fSC0I/tnRsRjVbPPVrXR N9G583W2pJL1cLSJfF6Gqi7jd85nE4UKXNxfiTCx/WdQ9C3gaElJtVsY9iYgSqgbGHi50GF1ROD1 DD43/9lUdcqgeaxN5f/c0aUqN5Iay0errfpnRlLukpFFaU2jo5pwCbfQ0T4uaB1e4r5p/p9zez3z EsnJcgLu5NIoWz42HC5LNiAcLlVHlh0uMRihvO0i1L0mRIMTz50bmmNlCYOfly7J+RD3RQPLgY0X x8uU43v30epQlZBRmVEvevgfTgg6XpAFuk4IOfDxosQgReTiMMkiECP5M+R4K+UCQ7+rJcbS0iTL EjDOlwq7S2ONpGTiZFwcMImIWZRbjo809az+lX9RlP0fU01aLE18BQDzejg4det3/xCJl/w4vgVu XnaL5HPUZitKjeq8n/NHBlVvbgiKXDQvoR1CVqNMcsylPQCn0kW47cKPVvmwLuUDWRpOlHQU0JVU q39mtF4oSoHWs9HRksmENs4X2sPBevm2TEQHOD+y3Tnw9DKNpyxsmqESJIau7bOXo/XV1PKJiZlh MvUqd7w4py4TPK/UN8qXIL+SmBLicrjag7nhhaZeoN1HogRk41MMNOHCubIejvR299eCOXuIopJP SwitxVBC7mRI2qHlafWoXvo1RfjcRbGnlym7Dx89zk2o8qDlRMvFcNbnNq/JJTDaepi3efpHxjJ/ 58JXeSFdwibqQlaB7LXo4SD8r19wDVUw99WPyaGvl/oGqaq60BWAbiOaJsiDVfK7Q01nuY5GtV33 CPxf9m1roNiGGcL3JLWM0tRTVE35WS2p+FdGfQD5RST54iUXmJ1n96iZ3gurJfD2Imf7P/E/OOyb LobuXuLfjilxULFMpSJDOQod5XbWC7fsvtMSwmz7m3aoON4ZuC5ixCdFF0tsCw+/pBZw65dy3tD7 Iw6usAlj+5gUyZ0YRGCIdi4rPRwBKP2O1lFEAZgY2ScmlDiAEVerPBGoS0G/LZI4gEmvrsAAyOAT Ewa70tdJ6s2n8lxHW7VJs+aBtalqlHzUGoQ4+SjKID4LRZkDny9SYSClCXqURk0MtPjudO2mh4Og 7polaMHIiugpYAZeX4Bg0DdQwRutO2GxIbzoslXC2Pdj3hv6JSimg91jo2+js/0J5QRWoAyFrF6S /9E5hn77RzllleofGXSiJe0G9ZvR6i4tQUYRbLBk+7goj37A+1m2fI/wUA7NvjYtZGEZlUYXt6Jx uTvhFVq5sxJCbpUZURG20WflhHpdeg9N3LwhBTjOFUQyVOzWJtK1bd8YtedBLBQpNRdXaq4Yiwvm Q5lVD/9DKZKAUVd0a8+sxJgFWSyAU/5vPf8iM1qy1NBNO6dXXULPL5yNcDpsPnqsW+hgVnl+AbWV v2MRAqAhSCXAevs+deNYlzJSUVBXblCH6qIZ7ZzTSQ9HKqtfGeOWg8idlijUbbBDWskOgKKB4fA4 9Dulej7HZ1pC7S5hZKoUiiXa8+t45JPpjao+Yy3ZKuEUngmLenmuIiIIvT1q0pMuduE/pJ+vwOVk pk7gXkMPB5Fu9tUidoHbkfusRAVd9XrkWKctJO0hmSdcdzj4HncLxe4J0hU1/5In/Cc3plasLXTu IbnMgxHByZcG7PVOGALK5dD2a5gFi5wm+/W9SYjlyU3kxAB6OMoVL+fy/HcJfS5qPYe+X13mCdkg pdSNHAaVV73IhfocK6F7gqhjVMNt9HUClihlAW8nforGGkJsEOn/9bQWJWGdQ9sv9hjKD9NHC/xn S8bryWnq4eBUmV/C3lRlxpMohr5f+A1lTIrcPmGp5Ur8VvwyQobwuYLCUq7ZpKuUu59S7sBKoiD/ DHD93HNThcCiUvqyQ2VayFK7f2YESJbSOdwvjV5u2am4uujQw1G5ZX/NCzHEha7n0PzLeIZm9EUP YCUXPM/cE5S4T9SeXdMsh+5fwzXeko+3lrtgdFhLZA0V006CzWeKhPVgOkw3Mof+X6TwcGC6jzfd KiphtjSmHo4i3PKZFjRO+5WqyqED2GYppHkdwGA5WV1BlEipn69xaQ45sAA72aa2j3wlP8fhidHR ZgIfD9wUA2VBG6QzKhbwLfyLU6nXR3SYIgWEO/rdnLZpuTcCltAG7LE08ugS0+87LSGVrKo4ty+C vd9dlEa/Pgo13bMlNALrpnz/jP4FZ/8jFcSS4wrSHZTY2/kcm7/zi8zd72dG4taAxAg3bVR8S9lE 1dhzkA89HOkR9c/VjC3ZfvohoRsYfN4sjrEBF+SmIzGEXRy6fe6MezeHhmCmN1PUiy6PTG8HRbjx yT1bEZNgqg6ZYqzomPtB9sODs9dHTEwpIdAt0mi1YlLQYYdL08NB/vzhxdCEhkr+TEsY33J3zbp8 F00j7EL4X6Iun1tkqJhoh0vsZmve6dNHP3Q3/Ax59pSz+pZq3FmGDfQY6C645pu0ffX+v5XngKna aDg6ptpPzaGH/0W04/9O/fjLjcmBOZgVSk+Eg8oYHDuE/Ay20KkmKZirxnro9ppIFWELLpZ9vJc0 aR14CvR6a3U7H/gqKOmrP3+Jqt0/M7iOqEMVzJNsdNhCN4YmMjR6OKrnfrHerZ93pyWKcclSdl3b QQsCcEPWEIBEZV1iRKvNBfZgplKBu9H08RJk9gLfq5ZISpBKuKNpieiP1h7GXc/3I6OyAnCFraLL ftwE+jSp2nJuej0c8XfH53ShWQ1DyWclLOZ2XNcl931mRag6aSMo+ZayM7JDPit/9VeoU5UFOdVb cQ6j4D6lm4jDbaXgi52NVJJ/1drxRlG6HxlsIiXPQFlt1FLprZuL7vnEoocj7abvTUTEvi4aNYce YfyUzGFuwiINHTvtIdC1VoUS2cpAUbFNmFEz1Ywut8N1dk0DkWzUoTG3DNYSp09JckNMn6pl98+M dM+kiteLj86T2bOZ7SAt19Ao7Cm2mP8TmfG49KEceoW1LqYGCYf08dTmFrqFPAxZ8Dok520zEwa7 wtEVhfgfw0QcNNo2u4WTEu0BcDXBWEV46ZeA8nIhdvHPDK5pMP+VQ9BGY1X10RTF17SnHg6u6asH bkqhTQo9dyuFhmEC7c9+vfZmcc6MlPwNuPDAXAK/MIuCTiK0i492KiLzhUS1DhhCdus90vUkEdiP 1Z7Ux/X6OAc4i0a50bq5Edu+OsB76eEoN/oShsMLcdygLjQMowWykmh30pt1VOowAINS6H47i6Ff 2BJSTH41Gi/GpbaM/68SIxAppjRC7LjUm/uo0dyPDKCFaAXJLcNGq7acDzOp89qKHg5uom8dtC6K 8p2UKNIVpxf0iRNnRm0XtjCywxak02hLJY50l6tH2vhwIgCiys8UUn2Snp7AcMoZH+532/6REcJF JSg2gUY7WlLbphdSdtXDQZuofd1E2LVh2HWnJRSspQzZmggz+tee146ibNeSaPNeRrFr2LCaZfXx LnG0nZkMigtbQuycPEmyH+UBW/pVFJiGJdFQh1RtbDQYKnxhU60dWw9HddzvbNHynWe1hLq154t2 HwZCPav+ZCvyFF9KFiWyKBeGbi/5S/usmgVDf1hWdaKsPxT9nxAssRBXcS8XssX9BHP1fmQU5nbV cKuP1j5DytPk0GbVwxEBYH9fRJCLL/4/NA6DoL5U8UA+geVtEFSzOJV8Qrog98A37PIikjqt6em0 noDwhOWWK0JJU2sgCw4ysHSQAW79up5D7zDw8OdIEZBjXbdXtMtFB8czVQ+/n7br2nRIA6qLSXtn JYxxubBgIip241/FIQso0NMtOku+XchCYCDmgmh/1CzPGjr3MRhQ+vV9TLF7OSTPYuzQ/h7F9JT8 MyNJX3TirB41rv7XOcGXSVYpyg1NxMr8Fp6kSlDWvElRaCNGPHBiLowMpHm1p5Va6rnYu7l51pld RyEHTmLUFvuLKguPJokknCWfZi6q5gIxydKR74+t9pSdZw7cxMxz6XzlGD7aqQueUKfuXFsPRzXu b+jP+bvNa1758xqzdFesVGDBMiFzd1l9kIDqu6Jk7zLhrzFLT1aJwqjWR4tZKFyeHIY/DVTOIhBU Gzr1yDco1U8DFgri8hqydMQl5NUxho82JejOqeBy4qKf14ClUyPQFqI6+ytUOnjSX7v4ggmBhnD+ hkCgZdKYEDtkneiKbaLHY+xigX8wIST6S9qvNl7g3JltaliAck+cOE1+goCFnE5qxzYhjlV+nZAk bOtQ4GOjhSsncjZhiULB8nVCDHFnEyJm3hCiyibkNVjpHClgQOtymML5dZIr9mzh6Chu40YnCtpr qMIK4VftRgSt5cqAnWC5onIH6zvhyNXt5p7CP0Gh/z81uddIpcNm5UoGQmSj94WqufHVmtrPa5zC VIqqLeM1OgMkC8Om4zVI6SZnum+RcsuA02x/tou1oi/qRsmvk4GbEpNhag0X3teQl6RnaNkyFku6 sWUQgXKONI6/5SZfA5QOP3fLIFlmyeg4GEcKHC5n47n8889rfKJfrth0GCyhYptr0/EanHR0nYYU zi3nmev6zI3lXtouyIIXYbA2EH46lyzStBo9Xtvn68Xqo/GMybNBucWpppP4nB7Sb/55DUw4PZqp sAwfNdlirqm637HvDGYjmYrgtmjtXInyoGc2XoOSjqxAliNjVyeZqkG7VIc2nerQtnNAXoOSDuJG sPeRffTlcfKb1DknztJI+KeYweuWpez5D9cFaCS1x15DEv5gtNMS2BEb7fDAvksn4pnrn9eAhNWh UqFWR5YItuCKmpDXeKQbNANX3mSHR1OAyuGBq6QJa0BgNwbVazTChLDGBnA/G31CaBXkLg3BMk/M Jt9xZNEVVI9HfkUsmp/XUITtggR2F+uuP20OGM82Hy3nn9dAhHPHZHxtfVQJjjXfLq9RSKd7KkMA LxG0dWU1nu5pkVO5ZI2joxRgUxfho1/CB0fpLshMSosKv6kppP8ActW+VEaM7vEafTDTMmMctfp4 b5Zt6tWUZF9jDz5r+GZh2lDjJ99jKl4rbN0gByawralIjtoZ0jfUVKBuZnJFwUFK33V1BfEavVaC 19mCPAoFnA6YkhrEEArsIqRvLuJAjvOvxTV+JTgeC4C3jVZ17DWbyt1e4+e1tNatZWn3inlZ7l8B AqVnEswHd4rE/+0ErVdN4xw8onhgNLPnVRgJA4+mZZF8dM4bc3Jm5XxBJQQmR8J1gbMDldXx0QHv 9onRXoH4DsjQRuMCne9TcbrWwaPRzdKfSEwnI9vAI7EoNqXrj9TysmSmi9XwnzwBt5FVYWffkD0K TqUt2bYq9rveNkOHr5xom2Hoc/aOatMcFRjVfhstyAX7550I1M06cDAJPtr9Auxav9ZuejbYM+tO ikyRFHosn5QoPgV7csJ0VEtM50uKveAuQDV2qyJJX0Rw2r9NSof4bKP3XtAghWzIHTPWkk9YQcYn L0fMPCZ7hqYNFwqwyCGKt/azFsq5bwxWgqTVOxFIIWq9p2r6Bd6sPopNShSj4rKKLqEhdXIabptd zilwMcal3YJaFKQi0namAwhx/4hHzFoKFSNp6J9lQ30CyyxqabQC941DptGjoiiV7ti5chHD0Gik DkrC1S5ecJFRJDLb103TlTxln5MoUIUwjmvzsPOkZFfTp6Rh0nCVgvRtpwdHbEdepE8tl7nuEUuh Ej1xBSMTO+QhzatlIs9qjTrQLRv4IkplEt0/LisbrWy00jJ24Tn7ft4JQBwpw3cPN2Wd+rvfI+U9 XLV+SZ4qNCq7g35iTWPu/qymcVKApsprOCmEIh1Gnka/d9BGaNM6o3U3fso5hWXxgyjSLdF3xavv 5J9uAKRBOdtH3ztpmg7TVN01mBJD/SqdQaiWClC7eyeKWeXagkOgeUAV6ZQAL+hoMSIxUhs4bjtl 34PWbDRURBB8vCV65FboeYItXLvJPgvlBTqTNHev39GwTwxieAlL0mO20TBuvS6dkvCIf96JP4QZ uXyOky4ThWfrvEetNJfRb1/msL4fQPHO2/oY5wodl5gbBa1it/SpNTAfp7ATVZ3b1kgc+IYl4WuH znQy7HIPE2B2evv7jIDzRtEq+2iFxZPTbMPn5/3zzvlRglhupOZSX/m5iqO4VcTvVLOL1KakOaHz dH3lTuCab10xCl0lEXFS/u2jHybjPJvE3quinEloUbT2grxLeexuh5Fy36NXU3tE4PmOhlhCHFhn 5Pk7/LzzfbiKzcfU4xPwMZk0zmblPYS95q61dme2C+IuPI5q+/+xcSmP2qxEUSwFlfNtmpuZxp0V 5GjwS+Q0GWsbdVdSJdTn0a+8EmiStnqn+3QzP8A5e/noxTmXuYIO8fPO9ukPaNaC+qxT78YnURhL 2zOfe3KbCbAUnXScDDprTbR2GtxdJ2wYx5K+aJfZ6CfsOU96mSIqb4QcuthA2Sx2ZXp+uzh72EdG c3I2zslQmo+XHGLZZd10QaNI1gB5PidCeC+vCrwzfditVEZl2EWqs9UN7e7hYkUS6c0wI+80n09k Tw1V4w2pOESIPBpU/Gmu41K2QvxMPGWP7PeyT3y/dTD5oEByR4cpnZtdVynY0HeWj7Z1fvYOYBJr 32hGoigWLtASpExlIwVulgd7uEZ10U7Yd4aPVhqrI5m367pNChToc5WsF/5vRWW0QrIhca+2nn2D moteH8Ww8rrFokqjIXG4H5XsnAvs553fo0vHY1j+q+jo3yvnndzT3ejWnNwNgC+pMykJTBMUOb/a cNuWd26PF7tWz6YD+yBlVzsrI1PsQUdxTVFRC3VCRAN+58dlb0hS5Z3a84QmqE3baIsEXQhtm1zb zzuzh09b5bNt0NGZd43EAayawMplJPlPq4J+5xZwA0rYWnSgtUqiABZY5gk4qDFq9OOPpA9bH0rx rB7xCok6M8Q2NOR9lUia+Oed1sMqwU68g/yw0Som5zpzS+O5ft5ZPd20wZ7iM2i5XG7R5J3Vwz5N Ig12V7FKrT2GyDKEYmJbclLCO62nmw45ygZ39CvnfO2aS1Rt6B6C+p/r7axfmt4PJkkmJe+cHv2h yf2KibO6jgoRjuH8zwIsP++UHmYke79G9wLN6HknJApfqyS5qwGEt4AKphbSnaNB19+nI6q4inzR MWyw0QuMU37OOlvTPq8WQwxRuIzeHa0Usg6ZUy77xCAuATG8hyR3xgU6ZhgB1q9Z7eedy6NQ1M9W sQbA5YIEsCmJwledJaV3y/JyMfwRHRvLhqfSHVc6e+fyKMnhLOlC+vf5UZU6F/wGhIPIF3AqWUCR 4Oluqh99SVUa38k8qheCrqJmYaNeD77EcreV9s87l0fLZN1lknTZZCxpbFLCCLZKLWV4Z3xI4J5i kvfD4e0mMZw4YN8j2CxZqDUAudrop0k/E4pNpRp7E3MbWjtnk3JiEkc+VIRh3xjMyZYUTF4+ClqO a6dyntqYk/cAlhypfoUlMK5vbf6dyqNuhYmaeShfhJOQvBlVNZsciZponbyHrwBiuIYX2DeNtrrx MTwZq+rROJV0oZSWqtbAHNNTXhNU4J3L0w2HecKkfUePS1IxaNZgSt6jV5KWG9P/YlECIz/fSycK Xyuwq0SZ3ipJ0G2Nrd6ufdpUx1YHynv4+hQItFj6ui2ckwaSEGPbcC4YVHeyN8xFBZ+fPEdujO88 HjVT5SdXi492rpZhKqQFSPk7jYf7Y7TPnIDJGtmj13cWD8tErp1zmzxV1p9ByyTbjdwpUaPkzpS8 k3i6uS2d82J1H21KTqQ9wcLSwAItICbpSV8rciS/43OYqFD/TuG5HeBzBhQfbUZOMFycvN9+3hk8 /bGB8hwHWsft8r0TeFhYlOOmHMOkmJj7rSJNOrW0+UZJXjJ55+/wg4cIuvBzhrH12DiQU07qKUGz sU/Qpmx5w+sWfhHSyOPu807e8dYUZoPDRztdq7oghm38eefu9Ef32j6ISu7TBH6n7qgcIQUzqdtl EeCywY4mTPeq3kVSeSDpLUFnKysRHtXH2xcn6m6SeCgLvJAAsigQQoD/6KRQH/t5p+2w8Cu6zJjK 2ejhvF1TEJv6zztrp7tC5rNEMk30ixKIglcUYjblC4Ul2cWvUQj2Rp+Tbdky76Hr3TLTKrDjtlvO ZQDSSEV6TNWH/JCky9mrBGs+5r/DPvEv0XxKOlrx+tDvglm5RYTnD1Z+3hk7qgzUr7M1/0pwWjMS Rq6gYayVVc7urk7zkjSQs/SXx63vZB3+EJRKRpl39CQYGEmi9IXwEmK9bJklZefzd3rqJFIAeSfq aDrgXEzpus102ZcnuVeZEATTzztPpz90N18ggsx6PPJO0lH8g9mdKkhsmZ6q145SNulMZNjL3TJR 2RVs1EIF3Uevk5DtFdnslnGONNCRhoXNkkgVgNH6OGvaRwY9C3Ti/xdp1DBq17o/l9jPO0NHK+RB C3BXnGDtBq7vDB11x5kT5ESLkmBSMUMLrCZnW4KG4WrX7xSdp7cl/2eN3vA71xaR2mLbQGJEyaEg lkXq9Iu0pjO6umi07wwdBwBhc7J8tDUIN38pNkn1552go5t7fgJXc8NO3hZ+J+hwtipLc8vFpdq6 8d1u8ag8Hgvv/JxnSiTN1ZP6cSwveu1rqFFfOVC3WEuy38L2N5t8vvUspIbyzs8hCx6qG83qoxXo KUNrnZwU8uednqPL9Bbo2TyUyiWtbpMSgwc4FC94oKR5mfn1ggfGDdLeuTndBYv7FHpgPuiBk+Ry xKLgX1M6SVCXzzjHneiA7VH8EOf2552a441hgEvTR+v3zT29tdX0acHe2V/nCU3pfWvR77QcBcoq Gw3vVbTmyLQTCTCxqpaY83rSW4JgvsrXsgwfvWsBalPUa86TTO9PJNIhnhhmpM/esTgtqrvSEhui xNno+JKpeBtIUf555+Twabl95kSeF1xdBk6LQldCrHOzy4mRkuiQqtCA/oMs8H/C6wIxY1LeKTlP eUDSUho9E8ZGYMjjvbJi+pYMIjEJNSOOiaf0mu0j3yelStCCROOMxX6/E3JNzeW5W+v8eWfkdKML fsJ5hNCzVxrfGTn9+s2j9aYCfYKdMSWcr1BAUdsad06i4HUKrkdxzkavIJ37ZGEmrr7wOb9lxSf2 BrJf/KY+J/xUvT6OTbAIWz7q9fi6WqXxBME/74ScbjzPp1meOadvivNOyGFdSjPfdKyFJODg+U9X Q73U82fzvPNxdCgJTqGsrpUHuNf22YJFZaS6FgYdLlEmxVbClEcWMttHBofsFFy+3NHDwW4abmdK 1s87H6eb0M4nQIGPQIKhOXmPYIHuES5s1YlInUczY70TudJjId2RTYQKJu9knOfm+d8q0rlrzswK +Ffr2Sk0AFa1gu85Kmf/NHJSs48MLmNAv4VQ2kbLc86dJt7Imdfy807G0UWePntHOg7UlW1SoiCW eiR9hHLxA2JaGH7A/KLb6LdM/07G6S4ID2HTR795zpz0lWSeVhEmkpHYhqGUlIGjAGSXcbdvjHI/ yANJVaT0OCuUKe8q+M76sug8uYcsf1AIgkofbVKiEqxogRhJmkWl1LeNb47yUKd30Ub20to7F+cW 6s9ubz7a8j4Hah/GrKjUp0ETGWSpCf7hyd9WbP/Ow1F9oPwJIDipaXLSFiDgMI7tt7ulfX8uRXm5 aUZC2Cv1tzEtPNnmsNcxtVCzXACC6cibdw7O3TlDDnoj3zp6QqftRJ1k5CXPMzdDzXQE6mVM+Ul2 mn3g+/mKzcC5ydP20bLhc8VbvrKLng3WyLwlaUucAaF5tvPOvvFm2jl2rkIOoadrexSpeiOOpTNK U/LXMPass+qjp2joni6sL0C5ryVFhz6lIlF+Hze5LiLMO/FG6Yp6W6qb9PnMCILhSnX6+nnn3XzD bvg/YlnSSLYZiSLYbuBGB5mcf6m8OOSBYhIFsFrvjMQIWLYDS9RGP0nONbMUFUOmQKdBGCSIWvkc J93IBJD6pn1icAmbFQn5jkZFWcC8/B5d9adFEaxThbfM1ashb2xCwgC2SjouO1S8ChyphaGqLdXo ObKzP1tUeZWuYYdzYKMnf7oRxEpHsbei6e9tcmaQdP9xOqr2kQF6/lxUQ63V8TRYUQgyvWP46z8t Ag608gWmOMexnOyMThDGr4TjkoGAPT1vFWmO9SgbZq+Z9PfolXOVa6arDSrhaX0yWQGB/bY0p0rR qclQlwy7iFFuVSTpPfaQnYV8fVLPIt0KBMybbN69pVeeDaCeJuKxfyVZDY4GJplNSRS+yjxxdieU q+UqHfxFHU/ENcrjIpT3CDmArf0y9IhGu2pga5SCCiuQ4Kkm6/m/QwKd56+1nqDEFKV6VHzl/jsf JuTAcOTAuWOMFQmWYvFsEKhdjoUhbsCw30USF18Rwd9uaHuig+wUPrxxzAt57+o6sj0qvqol3IdK Z6PdqsmJ4HXLwLHfsMwl8YEdaEmqDH9V16p9ZBCoIQ6ZZY6XszPiSEiHAE/o7PJsgC+Z66IpkB5b WveeD/eQpzVl7DmXQtWz1pUEGgwM32zyYeQYVKPvUfgqHYbeVINvD2Jt4JawE7RpWdImWZiCmy6U is+R+xBhFZf0KHxV6jcAztlo3S1rMtOMSIVngxP2UgzUrOwy8PU5ichaUGQ2izen/0A4dvCd5GZJ jiOIvRRUADUlYfCqwpqAT73fOC0t6l/ZsuEz6Wh/IgkKPHIq8xv/s3miMuxS5ke1/owoeOtcbdtK becaKTwbTMnYn8hE3s/07G1OwuCVIvtSeQ0+n+AU8PnUgxOPfA5XBeohY2uKyic2SinP3iGGr81g N6nOKoNoYBoFEwgkQK/Bk0zk+nv0SlFGzjTtjrZ3QOvrX2Xq06K9832eVFQL7gkbVmEBBKNiZBcx eiNGBO5wvqrCedHNRGILw9epKqxS4ieDT0NUJ1HrASWdgJMsA2uEwuKU2/JlGAz7yGDn0MgplKts NG7Oece0BteYPBtBkb55fRQy6j1NovhV9sQDQiB+aKZPX6RkCCmCokkrEhTVGRvFr1sYvqrgpF5x J6QdKvwciTDs8z9Srlb5vJlq0WUrjWTfGEwJu4XSiI82JSdbd3TWXDwb5MLtCxMsYlC9qMYeoV/N 6LG4oUiWMKCafoWz1nsZQNW1TiL4gJpcCPRr1MlFeHJ2BzZPFJJO5sv/ijsuM5MhAwdHI1GQK7w+ CE+2ApN1RwNKj76NS3IOdZ79B+tCt8P5O8jbQ3MSBrFdXpQtOTyrjn3hWePWY5lZqXX0qAq7su2a 6qMXktJGi65wxKYOZVPVoyQtmfU7x1dluttHBskfCOlE7GejM1HOka1D8ixzno0Wyhf6FRYqfwu/ d0YUxvJ3PH/BZHOBwK2tjyLDRcUqa9/28IjKsFNwikXVXqMHssPsaGj+7RNQSoSpU1zmkJX6gl/F 1N15e1Bdk4keADQb7SrG5VyTklvh2YAIerGN1jFvKv7alERhbJehhRDLBG1o9pjCDemVwhPMjFzl fkRVWLkiy/B8ue25A9dGmyhOn81DPChlVJaLyvU4al3wzfKP/As3NsMbtNHmZJ47zoyr2uDZqArr dzGHIcSJp/03Qgwsa6tO1VktF5wGL5l0pJUBokxvm2dEVVjiqIX2BKOq9Sghwaw8if3WgSJPNDWQ hphRrJGng8Hm4O0Blg/+FhKTPnqVSihJCic182xEvJifvVNkHXZ3ThTFYjO/zTqP6MR1os7M53LV Bpar3IwohhWsYgzVTuQ++tuUs1YsJ4acM8q5z1FoGHJAk2nDrUlz5fHuqMnFwcqKstEaOrhCmWne 1LMRnfyroQPyOGP6ZvMRRbC4FJ4/q0VrS2oBzMeUO6qp/kwHrI0ogOUaBR5QfbyUNmxUKMGfBbJH TxJKpfoj7SPgTxf/qpL0iMqvWdR64IWDfPI3m2fTSewUg46z3ng4WCLju58zZL3nUxIGsKqbEFZW 0xxwWFLZQyp8w0Q7TY9vRCEsETBkterjRT/gHjqGkVC2GrOoC5JwkoblT91k+EcG6V8WHind8RIu zF0FYV6e/Re4IvmkfI7XEAI7FcIUb17ULdES7IPSmIYkmOj+VB2vEQRWlpNj6kCZ+VbXWgeA002L r5+do3okdaTB7/9p56i6NkLhAYRPZaVso0X1JGBmycmUhCXY/H9aF3zfPUlCGAHVjOEyWWs2B31O ycgSl4Af9KM1il+nLY+kEpuSj6wawT7bRtlw4Zpp0GirGPBNqnMPik/2xLw+ikvAmZjuXL0WHDWd 08Qqj2vz7L+aOfqsShbuwdqIAlipoRl0nDal/KDhzIjarkCWv6QdJmH4KhLK/2bD57mz47cRlcpZ fFh3tywFi/1NLldZlbcH0as8Sfe8oxMu8lBQfvLLyrNBMty+qiZgEeq9f6PgVRL1qAWY3xkZrHX8 JrVY2KGUTLoF9COswArja92c9NQbEZlLaxv85kwElaOzWAxaqgv7FqWt3jhC6tYEIw2DzEbfNmMt M4HolWejonS9MYnQBr/rYqRnWIHlzu7Clinxa4IfqZVN0kiZPnMFq2YyQ4EsOON4P/ro4NdyPiiD CWoomJkfQqcpDBlSqtAXyDftG4PEj8k4Ocf20UEbpRY/sBrPRqvkuz4g1+U7JVHoWoW9qOLlGBtx Sgr2/Henha5FLn/KhWdUgzVQRRPFpD164UiaYwvM2brHMt/ayt85yxLv41ul4j6vj/I+0DdYs9jo O6eP7EpPg2ejs2R+z8n5nabHJTMUINjKcIp3czzvk3b9AyBA9VPh/IzpW003SffRS2sdnReom/Bj T0YvErWY65R+50e8Q7DxGZdglypr00e9nXDGbtE+9WwE0vJKvZgXVcaIySOTGQWvREI5S99P/olJ La6zsYmwDJJUiowgut4SpX3iF7B3+nr2zskoTiBFH4RS7JSQzOK3MUX9j7K0bZ0YP0CvvAlF0Fw6 rMHlEG7wRPiFZyNxufUFcKRWkG/NZEbxq1SCTsSWjdM2QbrLF7DJE+s/2tqkggLfzJC+ZTR7R9Q/ EeyE4MtFqHt44li+Ksh8wfm63IeKcdqmfWSwd6hKiyZvo4FvxjR2BzhSng2aXP17UrhQKP7ZpEQR LAyIc4hy3rF5irjYUiAAYtcNWG9yUXpLwLmQ35UhhFO/rbmTrSbyHN3EOD7LRYTtibLMb/7yfVDH fEYRLB2Hodbn8AYo1bU1TMrjTM/g2X/KdxCh2+K0OYkQBJxTe0yTN939I0HQHfXZ+V6VpWdUgpXw UR/Cj49rgLln6icW1O4tSAYU+QcuilHUQtEGemSTk31ipMsINnFLiWBfXcY+2nCF7dF4NsIQlBuc sCH6L0gxm5GYwCUIgVNOAM6azWZJMl3TEZuqe/fOUD1LaR+SDjb6KsHKI7HaBJjeEqNT3oNknLxY 6y026hMDmAlsttxUL2m3XoKwn7LMcxLqw4IZSb5xTApoQ/zyGYkgBMr8uqkkW5RisKSas1WOQDcq qOfSiSJYCSGfC+aOF7V2krOTxGbZPMyBMQ7CZcMUXkr6NP6Wf2QA+VRnGHyOjYZGgn5rdbXcePYf SBNd4Pkc9nSEbFJCApdCJ7Q6xc3BO+EGscO0X0+ySSisZRLraJXfPxVNEfHFl0YB27nPzMaqS6mC zuZ+bmJRZGcUwxL2jka/0EbDmgABMXmkuXk2CNg+qDVyorNeuk/JimJYDrm1XAhoK/5U0UT8EBVN 6vaiyYpQBEPA4Kpsp87bztnn0Ma6ENAdXLxsLfOt0AS0SX8obZJ4XWH1NUmfcU8fHaA1DUUACZxn IwLXV5ke8gWETpuREESgNS8uCsbcK9/Mr/qMFOohOklWGMCKHzuN0fi4da0TdZ/jwwxpzxkgtb4K GRnCryn1X3uUYZ8YzEgldG2S0Grt8S/N5g9KR59no0Jj/YBvwODP2/RbUQDbRUcZwtxQT5qqIlXI q9nsu87v1v10XRGIQBl1h6vFOL1AcFZGbbBS2DZnE22AjVWKTQSwFpjUq/OaeX1QfN2SRa7VRztf qyTiwJpU/YL/INubBxzySHeVhBwuASvowVk9WkeL6Cj2n9FvWVcyeoUIWN2//xeidf58Z3GfqAxP 6UK7i21NbM/hJQeDD0FWJYL1VxZXQ5rLRu+zSmaYkzZvno3Okq85QT3uklFWKPd6UgxVEwdHiWRU 5BYz1MeBYg50XFW1FZK4VH8lybLRr2DEHPZeVjIpo6njl7nShCelM+xHicKSFQEIugrr8gDc1Sfk /OVK1d+5nhOcZ/8Bp1d0cjLIftdIFLuqCWc+XA2NFz9J/EzhbF3ba0grqr12RFvOLVJ89Mump7oG iRqijWNtgUz45Yu5xn+cHMTzWxF8YImWM0yS76ITaOuLTk1OzLORPnK+Z2vScd6f2ybEvi7J08mo TU4xYlBLT4yKlKL5Nu6chLFrlUBhnT5eEldjF6pInxBDbzLtXeI+bgk4X9w4xySvj9QHqqDAWWPS BjB/ONHnU2o8+y+5G+YEuZsLRlpx8ZU2AtjhamaZNFSopxW+swEbryZl0vWW6CSRfrnEBWp9MuET tFl8dmLX0TsZL34f3IV09T/4AVDUen104yzkr8cdrWSChaaVX/vm2feNs56+hQAEtD0A+9qshCQu 6mVZXCcOkYIMmZrBFK8ski0A+W2lxAACY+ckHx18Q96HJwwbJc+tuaN+AlCBEOHB3gCo4e2BQKHo sWc+fbQCwckWiuFMVuXZf+gDMyfn7iCrsBkJ4QNstzQuqDFVp3OdUHN4g2uaRRcHbBi8Arppqjlq 9PIAYgFDkjcnQqt2ngiTWE5a/EuO4jcOzQR95F865WnmO9p5gkyeAVvL4NngFt5fPT+VkcAJaVJ2 FL5C6MeY4ErejHLRAzt7CrjIC7RMdkziUoMr39E3z4BvKskbytNLaDD5umD7rTzMk2F6SXp9xGxj OhTSpxvSoyfUqzuUdZ6N2H5frXKThffywH4PYDlkQUKq6cacDDUHQNzQj9K/Tvwt5bmkt/y1eSG8 fM83djhnYDtXPO2Tcw6cw01BPbWtjIsn1Wa/eLZKJjvEwQo5jkiqjV6qb1NIibM7J88Gh2z5AiSd GOhDbNsxDlbeu6u4h3VZ1UUIIHa5CEF1n8z99xB26/rZ9YmnMmT+bdYNY2yZ9Ip2wd/gI68t5Agv D2YE3AD+Zj76jJwbWrfpOTB49p8UrkxJYky/iXcUvxImAC60GpI8ZySyttO64IHqzOEdgwd0A8u+ oc1bL6GzXStiEifvO7c59NRzOhYFa6ITP/fw8k8MWBfGlRfR4B5V5ArdKAY9bZ6N8PT1lhoF9f2F X2YzEgJgSevnciE+eIrOpb7CnrV3pw3vv5K3ZFK5en0CbnDj2bWzNkw2wtcKkAtqP/2361tHaZK3 R+E8TGr6bTbahJwY6tqoVp4NGuX3HDGV1qq75O6a0LIAdCehpk3F+ZeVByo2NvrPEMt2dcIdwge0 QJaULNen+ApjoyEOfJIcUhyxVagb6vb4lJCknLxj+VcpelJ61WjlEuRYvUO8ePYfimLKmiV4dGuv OwIPCHeEr4wfJCra65pZEogyKrX7M+9QP8tLSN1Hr5ic155TlGitokCOHiESllLsOokL9SPzZ5aY KK+PKG0Iz6GuaaMBG1cylw9a0DwboUweBheYqt9++1s7CmCp1i1BIP4zNomXkLgVFKCUeQ2rd4we kPpr3z7ek/VkIDo6IPh1+v26bSjH4CkmDYL5SXN2hB4YYl1gzWOjrQ2y7GllgsGzQQlp3y6oQUz6 77iZ3w6rr1PSvcmIKNU1+vNM/DzzL7Batd7xd7n+7KNvG+T6J3I/+KaeLJv/VmNpQJz7bR9VJOnO 7ZC/tcFTFC4ojXaWnNtm2owsPfsvdsH2C1j2AZqRKHrtHMjCD2gmOBRtcZj2HxfwEvtcR0mEH6Dj hCBS9dHranxu4oSjTQ4uiXqJ9QSgY9bH7h2VT31kEKiperRB+Wm0w6RU0+tHoIdno2WSb13NlJEe VptB8V9/3pYzstIYNZ2kCwWAoFHzFM8PyKJAFTmF8lkGpM93dOh4OYujE/icrd/Mi/UEqtA+QYNj svksle6fGRDbUGbAdYcRtIsy4pOFN6VvveWppyMo3/qqm1BEGfmZmVBFi/ZFMve1KdE1A8OWRICo f22AUea0lcIgVjSualqVD5AADDrewyonnVhQbiOVqm7GOrq1T62+Zv/MSIFO2ib0qvZFSyPcnc1Y aWBsnqIwdl8QjgVvwIBWuRMTggnI3ZNuN3W6lNWyj9R6sSwwX5gjrwmAsOJyyQ2z9/FAk86jZw0u O29NFnapAZAlXd8+JXtlxrz/L9z7NBTejxven0/M+llYP+vhIFYp6bOVGsIVV3Utpyiepe1tvuZK ekq52qfV5gW3ldbanZe/22/NNH28yBNABaqAnvOqq0SzaG7IHk9uen7ACEOr9wcXEfFeAXluo/VF C5c9v9h5nR6OKExfmoX4A+2bH+cURbVUkdED8iNmElXREYQFUsyremQWu81LVJcdRjWXHt3lhBPD 7YmLQ5dC3zlnub/hniOnMs3v3fWUzYExRbCCIQpglSZdfTTpSI11HW2EyFOIK/gmqGRI47Wtq+Of wuosUXyhqyH9l4IonykTnOvkHDtKNlAHsZkJ9WGVIdd+x3sE4MkghhlG5w2F43OMUokgLJrt8ZSd e/pnBjJbU7467Y5emwCmoyAmNz0c+aXkz9mblysY+8SEDgfnJ54F77hygaIEL1DXWvACAbKl0Z6i CHfK5XAphllXm5PKGAKoaetO2hIxO+ER24UkRgvGgNRzTP/IIH6Rhx3utDZaoQkoocLUM/l6OJIL +hgO8flGLvNpCWUKJAAtJuZ/Js7lpaYkQJBk7He+trIpLNRuXUayxKiX1kkcN1fHqxdOFmxuWoNd urS8SqF/ESyauoPeH+EMJGpj0jZC6/J+SG9WvS5ND0eqqOUDNKjShKvzTkwU7CKDQ3fOGTzWcTOn g2LJkWxt74qJ5ArKq2rQWLgQNIwosXZKW+6qSeIjlPbRZZyePE//zOiuzn8oFlRMNy3XOzeaHg42 0nPEsOAHCojPlRQXbGnY7W36nyek43spYU9yqqKakzSAbcFEFduRzHlo+eiFuBOu1EnjHnH/MgT7 OkukUiv3yM5yRXN14/1BmaUjMVXUKiy3igPXXfEMKlZ6+B+0FfMmaN/yFjk07xJPa11jyHGNIZeF NZRakECy9RJ4d3Hjy+tOV/V4ruo2CY6ynDLnOey6nP+wHz7xxrl91kd5ywxDAvMuzk6q+/IN0Wg5 I+VUbYTzAj0c9cbGF6prKa24sxLFvPS7SVssaRzFRTCHTKBMvUA8/m4viRQdxG2qd7RzUbJEW2v2 nA+7GLRWOSCaek8mMMymKvbuUh5N3c9GC1/QfFS8OkfVw5G88MWJ/qq3w2l6N1Hg3oU065aAgZsh dtQWBJ7dKrqrBAUcwhdLKB9bTSh1+uiHS5sDx4BpVlWdEODEL/T85I7RPnA3tQxzaOB1dre4gcsZ gnbqtlGlUtgpMOTAwQuLZJ8YK8afn8ix4BMTSXDJ8K6ZESBT1D3MLSpw0F5FM+re06GH1xBjX4F+ X8/EbEy7JwKYDc3hXQQX3VS9evKCtvcNR/bP/MsuAlvp47OLjNxzUiU9/M9dROVl/M757KJQhUtt MuzGmiPQrb5fVP0SdHRiI2e+O4GN1wkRilTJrH/4aMWMMToqbRISy1vuc6sI+SYH6/XhxknyIodO XktIBEFcdrv4y0m90kQN/OP+wSm1BsiZ2ytxnwMrL0rTkASL1bLBCtnpgv+HnS40vO7pEqMR2lsp F/Em9CzkIXLSpEbRi792FomCzrAT99Pwj4xIpfInJtjVaGkjrX1dsmcb6uHIDuFbrBsswvVrzqGb 1xS0dSqeNaSe3dZFHHyVcxsClL5aYkSCGC2y1kyPZwYiDNUdeDPwYrkD0nShvyPOgp8us/pXRqEL em1ABGy8Za9hxbpeth4Ojt3aPpsIacezrm4OEHh6ucjoqDLQBJ0qHARALwwkz86SI4JUIDQvkSWC wthBr8lG//C2YYRW1TC7zImkuATaF1wkTXPFdOa5pPdHsIRz7FbKRDZawY7Z1i6ayR6OkNeeBRjZ gTWzrqtXYOt1Qhdh+fG4EC4hC72n7VP1n53FSaXgTkwIrDU5cx2+86NDPKDcmVFvGrVMBbvS3CDQ nY+C2zRPvNDXq0vZYItnupsnGSiZmGz9ua/1cJAEjEtx0YqpAlQ/524U7crWCCfqXzNHKMN0dwu+ oRbVzXHhCfkv5l6i6O/qo9Ncznog2pWCDI7DtJRa3tNlMNp6CLh5+mf+BYadWNg2Wt17o8Dyq9y/ 6OEgCyhfFjQqZQL1sIkJDb6MSmAESibGnIuZGBLyqhr4lpOG/EdDmpiuoqmW6/zQ+VM/mUtWYIc/ jwR4GmfEOXCIl54Vk4p/ZZRPi37cl0YLYKwtxw/qhRUTmHwxqR+CZZYXARI/Pi9hiZeSivTbrDJV SnOVA6tMnRegnXbnJYba/tmEBgELawbsHaD9878EvFXrj00OItsrmUvq1Tm2+hJ/vQrCUf3sPbFW G8btOJeHHv4Hg04QOSECbsUudPsiVDVouuaF40RiO+c02CZYVSd/J5+XMOIVqImE0Eafl4VVPFdQ w1sI4jYaO/TnECMyP0U5xQuap/dHijI0BcC/22jL5HzidL/npof/CZMz2cxcnlJm4PkFrgXIwtOg 39ZAomnu5isNRLjb+MaIWy2XvH2803Livd1lgn1+BehjyM2RB51j5lfFTF8upfpHRv1oMfxBcah/ m22RDOGmfsHD6+Egm65fBV5001d9ZiW0TiAY2+3OyrR2ACaHj3XC6HdWQtht3S/lXdTjkaOCvC3q pTVp+cO3ZlVvTUqBG2rfGE9K39jI2mhh3R7JMEznnNHDERD56dIXu6bbldrJofNXZ+P1Urwd0Esy 0eaapNQGBkri1lZ7Cc2/lvlcqb/WH6Gq8/MHTR5d08CQBQxDrBmRdVBuj8Oxn7pxvIvmHWAVGy2f 3rSoFO8uezhaLeMeLhkjwQe0nkP3L7Y7qPXh6AVTmgW90JKrnyPFfKcl1u+ibCNfvJHLVdsZJ2Ze 0xw4IV1ycJ3NiUYxgOvyXEX5fmTQI0HF+mSfw0cHQu2mhKDmNfRw5CzxdBtpwxbpFPusRJVdaiDW +FY5al8lzZrK7zWcvF7YgfsXcyK3DRW85759APRBxiR9aQXUIGfValKtoCQqPxar0gkul0P7L/NL 3+mORm/ApEH95pWqHn5fKf2ioVhhiPj1mwCE/l9dtiDDWopL3Dahk0evF8HQxp2T0EVBRUs66Tbe dYJ2iCF/Ov+WS2dRMaqIKOU9RklZ59D+CwGfs1cAnmu0FHptl+/Kaerh4FRZ4+uoBWP2JIuh/5ec 5JtCYNkolGUSMycdby7Quz4ll8AALCkgBNJRfXx6jG3iEk4SvVMHjegFXZAEOlSmXUG1+2dGsOQq e/Dko5VccGDSL4Zdaw49wMb+Li2YNLvPS2ACVv4fALEsUrpOlfPXKIbll0NsEYxhSg2+22uizgjw Ie4SGy80mbwZpATJIhBC2YQTRCMv96WQL+3IHPqAQRc5u+gZfdrLVtCI6JEejiJch8/ZTXGus3G3 UOgERmEd5+7hpNSxbFo41pMg/ifwH47DzYEVGOgLpgU9fBs9VTyx6EatWIctxuEShOO/S5VijVv+ l7acXh9QYiZkmKnC7rwBUT6XruG9GgFLbAeWvsjL4AT2dW7NsR8Yx0Uq+8ohjCsykyS4ZKDc5OLW OTQEM4EWSHk2esmFkyUjREK7CMwLBToZ953Z+Z1flO6+/DMjgWtBo0iLNFrcf5IsRWLnZBx6OMK8 fGnwoLcIGtrnJWSUcSlku4b4FwekKSIIiCAEg9y9bbnEpgqSWBGOIX2k4c/BfeIdmSoUgBjsokKW Vuil7QffL99lvT7Sztj0DGA+lOu5SP45zFOkgXgJjMEQ5iyfiCUvI5T7tIQABp6ZRmSWv4K8FCrW ME1aib8Y0KR7uMTKtJdS9k0so6OCeYXq3H0l6IarimsCaoWCt0u/Sb5a749KdMyLaO8a7dA9yenl rA89HF1GNx0SG6Tqx98uWuASRu8NtEMXbKFIS6EagGE0kd5Rjqir3ZkJtRF0PSuY659grtcTKE+S Y/r1EA2RPCuWQBs94+Gqdv/Mv1xHBf8/Gz0wWib8erKtrIf/AWxneUiP7sa4oVEY1N2tRpbgCwph UEot1fqNRX0Oq88FPmHei/tDB+7kADq8ZXB7NhfEQwqXAtaoCfMUun25hAJfwruQbttohcuTaxoJ 99z0ejhS+Pqq6NKzBhPnsxIWdIUQrcMI73TevNCdJF8r8dH0FLr/YhYmXfQ2fbztIlhxiLFAR0RH pz1MGcpz+dMuSss/M9KCo9pS1KR/1E37hAXxK6pM0cORhNOXf6kuzKfpGpqFyY2yJ5mGK9QyqVEQ sN10JSkzFgcaxn5hguEiz2bjXeSwoLrsGJl7yW0UMGIFrQoajU/ZsvtnRsRV1PGwcLfRcyJ6Lfyr 0HgNHcPGXS8i4mUKC3l+LqRQLQHgcyXr6tLJa4IV0j8jlEIcvJ4lU00tIYe2YUaaSYJJpfwggU7E skB4ovmFyzpLqtBGLYjfATe8pIhd/DODixqXddkgDzdDNvUzu51q2lMPB1DDp9atwiW1/Yt3z6Fz mDAHxuutyOV5b3qSTV/nhQt3CYzDEA8jrBO4Q6NfpGufGxhOEpiFOaoKVYjcK6TYj+me9EX1+ujU BR+FgJ2NHh5VA9dBqNbD0X30vZHkW3TnJKzmsrRNJg/owbj54n7yRdTtrL0YGoeZzmhVdaF+DKGo lM+tdtHm/5MAi6QsEcWgj/SI0iz/yEgjgEkBeGqjlVvOhrXUpraih4Or6FsNDeX9q76ZQ+swOYr3 vNvDn/GE8YTJD3jhmnTkwDvMQ7qhkG58Qrp+lutkagQZU52BmiZRVRHN5zLAn4+M1NIlSSNdp3Zp VgtHTBNO2FUPR5LP8ytyoaL28dHOgX0YOPsmeQe/jEy7W5julo2hV9d+uouxf9hQX3EVH706dwJp 9GtFLEI6XTghsnY0F8sDvPSrKLAPswrBmbt2R8NFzWoqeieg3no4Egv4lgevw2xCfFpiAVt0nUR/ p2XE2TpF8E2S7EGnBvqJxXN/MRBTldaYaONJo6EDZECj9OjXNioNuJ2soABWrsdzvonCSBeXIEwX fLzMESvwQKrRw//SktfByLifwktoIiab0+UZ41ouEJepMV4hhXJruYGHGPPC5YyYpI2OozuH7Nwi 1Z5fYiOiR9iAkA6lriI73Pp1RYc+YhTLKAsvHx1Hl6zWgMivHg7KlunS8ySM0s37z6cljHSpGZRx CwyAAG0bdWDmAhgihXC3URTrwhBb8piy8YI6KAdUy6TptYq0KF7lprKQ0iOenpJ/ZrBgIMgX4Ds2 emyEzMkT64aGYg+CWR00ajxlzec2CvXAWNIDVmtVMyQJzJFpOiB0aPAFEWu6veZ9ZuTK0ZeR9Z6S UYM5uUBIADFExNDUSCxaEmzWK5hT5p458BUju5lQalgyGr1Nj0fhr9r0Ww8HS6Z81Ri4tuZt0v/8 GbiUdH6YRN9OzLXttD23p8IUIQutwM2ftbqV5Z+BCy8BrTZlspR9tMAFL4Lz5irzDvq2BC4gmfmB Ev2gbflRe/ozbrFPTPLhQxnJRosWz8GnqizEy58/oxb7sC1hN3auXk5AibStFmcwIfjw0o/Ipi4I Jd/WScM7r4kqL8NJ7aBgQrrMyxEVtdEhC+f3PEnX2vIBWmohAGzOhqmW8rFNiAOXXycEJ439pUaS b8zSrQ51Iv8T3r5OCDf78glRO1GAM5uPPwMWe0LOA1UJCvMBbtxzQ3YeWiR1yl+DBfJnuOILhMbl pGZmoy2Qc6+d/3fKQQsUuwJ+o/JRJE71/xbm/oxW7AvpEw887Hy0LdNd8+Rk5e3nz1jFZ1IohW6C LnB0sbjTdPwZp/jy6IgPJrt3disujrYeEei2ulOt/oxR7BUIUpxlwd2j8SbMqdSUFeY3jLZlEiSQ PNRgqR1/K0/+GaPY26lUnUM1WdVp/hrt7BzQVo47qVf++TNEub9c/UwHdVIJcjMdf8Yn9/ig1Zzs zt1PWLtEIFMDfmdH4v4ZnPheOacmGVP30S9hzBTTFI+on99nmjilHN/RoszP4bEkjPZnaOKHh9qp NKts1NsJ3rLVEbDyDGYjVT88lOGDNDihk2bjz6DEnmiiOYn2+Z+uJiGToT2IsY3tTy4SQsbiJpgP DL9P1kP7feb60V/qWK2DRkNYME0TWAQ/jZ+RDJYMpSGH2J8/QxL/g5H+CYNpo7eX57K+een758+A xFdH9dVhASwn+PLd8mc44uevSmAzV5dEawbLpstBsCCvOZBaIlT9GYz4hED7m8omNd7DFBbVLHIk 7EzNlmGFMXLUM3QAe5JmerRdqOkPWLY22uWSi7kvlJbzz59xiJ87K33OUpH+a/ft8mcQ4oepFJuZ drWV+y0TyBteZYJyt8ufAYgfpV0bpVYfbXnMkx2daBO+84nTTsYndVuk9dl/H8ERY378GXzcpUeb MEuQNF9B0n5Wnk0Gddk/Q4/PCa/J4O+zqrThNBV/Vtn8JmIqcK2yionbmZ77dtyp6MkJH39W2O4G 5clCR1+jTYUApISnZ3dnJAIEPeZaZwOpPP7ADmQ//2eBzWeDdmnK4sNn58O3PksyJu45UX/+LK/5 maZqCbOxLWH+JV00KY9gPgStaFA4dYI2E2KhMDCGycWxVRy282dhzX8uIgOTcNNGm5E6O2GI6Lo1 o3+owhtbhQOW7PASYLoY+9FZiuECVUsfLZ1JJescqXXwaHB2yH/Hl4cxjbPHYVFkOswsCliYBez6 VxGY1qirSCEuD9ij0LS7j0338dbU0JSV5NiJTRcHIKxmCrDkv1+OC70Z3TtaI7ANO5GbjXa9tDnM 8utECj8vhCDfMrN83bZKebfPSRScJimlAZVTaTpV2RsVrOHPud6pDOwitXAhasNVwhGCxIONfqCe LXuWySyKxiiaSLCCbusSaObTyagGqA0jECqMS4j96z/Y2InJC/b6tH/Gp+mX1lBBwdomJYpQk5Rr uvdy6B4/MOPtgLdZ2iWPRSEqWPMFddlHr6idvwvFQNn89CIpdtocGKdWAWc8Cpnbv/F9ToDXnHis Nx8NmDLEYtS1CzQyikPm9z3TlTkVn5MoTFVHvVhHnTpAU/NrEp46VuVEx8+cRJFq15wIhKHRNw/u mhMKxIk6BuLR0lCnCQsATt1Rx7pl/8ggj8kG1R8+2nVTUN9UHrMo04cHyl0ochhAHDbdaOSFCHQX vi5b1otyO4vUpBcvIuagcfxsn/eA1XReaSbc8R4p2ABnzQppNPPsYS35erpV+q5w9YUEdA+ULauw 7KNvnn3V8lR6DebEyCi+ULZkptvdPFHIKuj5uWOSsTrKKldMH9SeiemTVNkp+x6zclYXmQQlH/1E yVKikmHJpgBL2XXQRadGTIP3Oh8N+8Tg2qE4j9iPj45zo3qpf7X680IAuvsg3ZjVTMXzHHdKwqD1 XIzbqKhS1s1XTF8YPo6TgQKV1RajoLXrdJVfqcanK3puHXmm1LPMl7rFQ101qMBcO3acUPfV29+n BHemkUV1zw/V/VyMgkL/QgH8eSH/3OPZr51H9etzxEZxq6pCqV//tGT+aVs9S9MULHOlS3SPQldh RGfWxsj5ZjZZtCHcvHGjOf9TVKY2BHh2/Lmh/4ye+x69wlu0CtHyUevk3IamB4uR888L8ecTOH0K AZwtcMBtUt4jWAhR1antyvaGOSHxM7OUnyWZIqHJrrcEW0fBWiNe1+iTMjBYAQ/TcEUesBPg/xp9 EbzYI4YmmasX2s9N6bdk0O5416Gpi0Ck/3lh/XiAsv08KeYuLoi9T0oUxnYzg5W6F9XPLWwOHWJp LqlDDJS+64gN41jaOBPCg40ex55Qk7CNSVnkuclqsSTdIqc9DsmSRP15YfzcSQFOvKVStbufKBsr SZMWoRMaRbLW8O/p18D7NB98obzQfTyoGRmeZfIiSfEiydxX+oscy67iF67P93nS5vTRZmQBlx2N PlIjPRiOOKbZxzakVeGR/V72ie/XztZRQqfQRscqlWrumwBEX6g+t4DzFZ+gb7z9Hn7h+fgczpMQ mdxXRQX76ZaXm/tZYJv0juAW7rJ3lUfaB44DTHFjZyr01pkpOoFN3BKEvoBGX/kZfrOfF47PzXVE lyvVR0PjUH/WEjlv/nmh+NxLJz9VZ5pZ4m7bjEQxrJpgPUn2ABT+Vt+GDMIKi4smg4Chpf+8EHxu eqDZUPdzPd3Psx4yij7MyaTJTQyLfs65b84tMT+Ge1JK+Xnh99zYRPbZVEzKgwvFMUftT5CnPy/0 nlvEH58DFrvUeRdJGMLSmcB6xrBsbSV35thwuuTMceLy6YXnKIQV0XQiVGaj1whSV4ND1UVoJ+It DMnD8QP7ozWpI/nnhdvjrwc+MODt2ugh7PlR9mvN9fNC7bkhrN85/A+QOXIkn5QogkX1G8NoR4Qm RZMSN5axs6RERnfK0wu35ybF3DaqmM2rK3uOV6L6iiwTnntNxhpLwB6aVuPBJTX/wmBGppjaaIdo 1LtPzjcs+9ut/LzweuzZ/R3AomoD4NUmJCy5IlrbXedsV/fogMLnR0kr405HVHG120ZVCY0XwIrB UdYaAYQwusGVtlRJsEpQ2iEd12WfGMQleHaiO+KjH90j21FSVvt5IfTc5Vs/M3KCx186SzYj7+Er RwltGiWZ4q/rICD1G3s7JaGT0Nrx+h6/kvqxRAjQbXTczVnXZ6FPxWqrZDmeVmGG1dWoH61JFRpf CD23XEhMr3Wl0QLYPYxRVU4s+fPC5/FfMH91KSh0yr/IJiUKYEFknZVLa1TFJDr/KiZVgt+i7m+9 WMcXQo//JSEiLEg1Nnobq+ySEDnmfB1E+8U7O5Ly2Z88R6HaC5/nzolav5qTCxgEc2vCFoBMfl7o PPfk/2pkSddh3XUSFWDZOZjrFlMLQXDOrpymIgyTU+rVInrh8/hH63wVf0WjT8mJsM7x1rROUGoE 6je6DCuB9aenvJb8G4NAjWyYLNJHu3E63keK05mS9+jV/AufrdOBjIOrsjmJoldZtiSBwY2YXaxY AhHBz5NVLpDvhc1zCwRgU/heG/3OmZn0RoL6J5KVqcU53845RWyHlNhNc+TL+MLlucuEvu/QLTz8 Fj77cIz0wMpfqDw3zOtfxwkZdfbI5IXJ48tExkwSdfpP0GJh9qhZkYTahQy7RzWTFyLPza9UGtAR 254jdsn3jBZdM4cREEqD8BZoyfgcJqrTv9B4vhvAFVC5RisQUMvQlXP20M8Li+dehfOzcTjsORls RmKEgKpIBi+i/abgHfqB6PCiH1A5U8nkhcPzfels4CQaHRtUsJ8AftPquTSXyNoTbYcilBHEkcfk 54XAcztT1BlXqz7a6XriQN3B9HN+Xvg793TtXxNCe+32gF/oO75EqrTMZKYn3Em1slrBtcnp6bN5 2/OFvXNBJFYrmT56pjp7zmoFUVY7awe1g0G/DLOO8tFLoTz580LdudVdcj1IQDZaOF+9JXz+b/95 Ye742ao09c5Ipod+QQJR7NozKIF1o5LhZditSFlRiciG2jLvkeut0nsd6VbpT+LfiYSTOhd4XAuJ xKmSlUSWB2lkt80La+crmAcQxYjuqipkOOoqbTuJQvl5Ye34dKbydbYSdN5NEwWu0NG3yX+fP11z p8oTSc0LFFi33fdC2PE/RKfkyiluox8iJylDegzgBFF90saiGQN96iMaLxWQF7LObX3SFy9iXzy9 xHMyuX9a6fquIGx98j0p2TYp8/h8vMetnOLioEvyW3+7atKRIKZMeQjpSsddvRB1blalekBLPvpN cxKa7IjxE+o3E8TbVHqlmCoAo3Vy1rSPDLoWy0xM72jZTRrd8IfnXv95oencJbI/Hb8C1HZ4LP9C 0/EfV2Qq1x3tCtDB0AKb9kWTflmhu6A5CSuvdPzAKfroDfK08JNHR6eNVdQ5M27NudtJ0vOldXVx aV9oOrd2dE5VidAOl6LV68syh5+a6s8LS+f+0f1sVb4sM/N8JyWKXCEqLlkJqU9+ETbTjKIFGajO RH+h6HwVXseCGDmWgp9pcLSOrR5HScaLjNtZkWeuosDkh1qg8/jnhaLzKSkToE0fvUKfTE2j1Fp/ Xhg6/xOmsU5oyGecSmxOwtAVUA7nhTHRU75zcpkFyPf4nIR1V0Xp/3PdEOjsLlk4YnnblmCmJZ9B lW0+BTX6kT8v7Jzv4xUMlY3W8ONOUNPi3OY/L+ScW1j+aoKSN+9bi34h5vgsbikhNm9VNCmPC2gz u6kjnsBo3+MkKrtKSkidPRtvG4cyMSpKJ0Va57Cj3yc/mrw5LwU9Gl9xWlR25c82CugDG+044c+m E+FkST8vrJz7aTfp051LIy9fiMkLK+dzDWe0uUV9pPeyjQSJ+qVhfgvtBsGPXmg590DJApgMH29n eDKjeADXc9xnClpn94C4o2bEMfGUXrN95PusmByiHE66BV/q4DRz5ui5zp8XVs7/9HJMsgCjFL94 Xlg59xI1pzAjygImOE9RN0p4JAylOFmCbklvCVYKkfr/HrInWMMFuatrce7hTDtqqX2YiVMqLW6b E2ghen20e0RSkiRXc0kuulpG2iMD/Hkh5dwk/auIlCV67MHJCynHF+YSmGLell+fJhJzrgZXpC2T lot2zwsnx9/StE4MlPRUpM+JK7EpoaBPZLHFNihNoA3D9D/6kNk+MjpkOVEEq8gfWEXzQi+A4p8X Ts4tI/llrAAlUVfwE+WFkOOHEIGp1PMV1LvvXsNkKXWzpKiluWjmCx/n3jycKFWlvHrtsYAT72Y2 aghodo6Q1YVuolknePVl5DT7yOAyFhZpCvr7aOZhV6fkDS7Lzwsf517k+asPuiWC6H3QFzrOV9Sm 1E/6zbJENgCBRa+kflzRNilh+XVIXEbHynx6/GmfCxl4tJT+et7KdEg2MeCSQHefdhl3+8a/ZcMI +tiYLMFx0zDEH35euDi3nP21d5YUtW8z54WJ471h7CcKuFIB5ks3DSUBfZq8Ok5Ynpxe/ULFuSuU bAc5Yhs9tscja9K+OcuF81tlBCrDTaGUJ38yCv95oeHcdBicCfqmNjr4Jk2LzgcY4DCMbfkzI5z+ CDDahIT4gSEt+GSyh1vJPXCklJb3+wbacwaKjqNYWY+1O17oDS5Bkl4sG2UZ4QsoOE5ZVH6SnWZf +H68SgtSMgE2WjY827KO3S56Nup/flVfcW9D4dFnJIphBUxJqGEqOklNOham7+GOlZOU1qYkjGJh sS1wEjb6nZPObyOqDbJ+s3H8L8mpU/N7jOW63CZeaDf+N1Mggzurjc+MDNOA7OvnhXXz9ds9yXCR 3vk9SKIIVvXIIb0DCXor6SYyKUYIbdar8xkJAbCqDg0tlbE+SCQafluKxDWJMkxVjUIXoVs3LgGs vmmfGNzBlOAIbHxUkMUp7sbxq/JsEK1dIgF3ZcUP6PIIwgCW7kwzOxZJKsnSFYlDoFlIP0IPcZP1 FlVeUzEcUvbR78gKDouQGHA04U0Rg3pIk1Qkqcf2qNpHBuD5RJ8vUZTW6Df8ll8VfD49GzWFLwJW a+Ic0vNOSg/j14K8IScvDOrt6ub4g3jRRK0XrZH+Hr1SvaJnwUa10aYEpYa969A6W4AIqLwO+V8M FMMfdcNe/RMjchZ16GoeNjfP4SZV9FpKrz89il4lwf8AG8m0ikdqPYpegQDtlK2KttZwxaBZ1QfN D2q86x3vM6KLdVZRkhitsAYNHqbNIslLtas7KiMk8XQBEtyjpPgnBvF8kSLD3j5ap6L1XV1ra/Fs RDnJn8MVPAuKsjYjYewqZjdoJS8kLesD1zSbRWznjJS6CaskKr7KU/x/WQUJ+7UzG+Y+mMxKHRq4 vgQi3Fd1rdpHRn0crpoqEKx6tIrOKD4URfZz82zA0bo0CylV8HcogGBtVkKalohCSD5WgQeSIONJ urqUkqSF1Zzt2aPodRpQut3Ro9fzYoCI/HXOMVhE9mtc6UomSR4uDVZxSY+iVxTExuTosdG6WwjX CSW/U+HZ4IS9jWFhCHDyvYdJRNXCQmtL9yP9x7/Oxu2mgiNF3QGskZdoRmLkgAKwfUdv93VUTaSZ dGK2JOHEE8+LYKC8b/zP3omqsEtVkyrOFjoXJnyP8AO/FQLsPBvMyAcmfcK9KTW+OydR7ArhBRtn Y/NJXUZsvnWN05qM3rhzesjXGuKvCNWYPqjGs3UyTgm0tTHwzNK/ITfARwAV0Ov2JEO5/h68Jnnl nQyWIkHOVysFZK2DpKc+Ldg6T22Np6AYjGeZhEVYZg7eu9UHilAqgg+cdOfsl/UrcRY/T8LwVVFY Elcp9efWgWIlOQPm48Rz8noCIIyMjxyXbl9YkOAeFWEryHHMQH3U60/MJxNUcuHJsxESaX5iEzkM Xc5nf49fEdQVlk5ENTCfSzJjlWiNkha8PnM31pxE6IGkYF2qWvNLVWtR56cz0qpIEtQ2O/wR0zHf D1lp+DdGU3LWSTPlGwN3MiXnCpoWqM/Fs0EqfJMczpTqCE6fkwj9Kg/UXLfh1LIZS0l4ITsm6YQD 3eFZPYIPqD6wtE7OqNNcrfK+dhKd/mz585OIDc4VKnfdISOHG51Q2+P1ERiJwvTUjTzLNTI6gVfy Bsbm2WidfOEHaGDMS+HqYRAreUfJgBs6azZHZ5XliobTREy63vK3RmgaxUeP6vOeI2+tkz0xB5Nq hbyH0QL66FF3qVL0CD/QZT1E2GCjZcPdoBhgKhrPRhTQ9V1HIvujfmgc0CiKFbYxS5hZKoZFdsDn 8xQKCDGdTPM9/X/Gziy5chjJsv9aiywN89D7X1jjXHfwvayiK6rLDBmdGWRQEOnw4Q66SxBmwawt KXZo9dT+HFqjFdU6A08Kx1GfhI1JaPscxYRL7h4015jnVM0xar6e0efdK82Z4YVrAx7o+OZxlfNP Xzz9iNJYYyKoa056MsXq4ZNpjGJPGPkFz+GC7iNswhqevmdfvSDeEyn6peba+f8BhgUCC4qFTmh9 yKDyZ+P2wZ5Izp36wVZv1w+bn8O75tqgCfuBVWSav7/74tZGCIEFYwSC10wReMtvBQhzkYbjNCZx 113eg6xk2s9XMbTyyExSd0flQ+YvJ52grqe3to2yxDvyTDDgEHD3AMpH97XxMdvqCSFK1Gqc1My1 Ua/+C0Yg5vRtrY0QAjtADywXG1CtYulJ71dsYLrIzYhyWEWTJXX7ZZLITfXf7kgugDOp9UQsi8NQ AdQCvC1p5qXc+482fUlgx7XaG4J9iTXWpq79B5uc90Gaa8iZ2X5EKSz5/FY6wX6Y7yL7Udq4+5Fc OmtEGayxyWX+oNU/mnK+lCIFX1PnFlSNtofEj7AdufBXdaRH1H018rRsVjQdU0J4Tkk0yn/lp5G5 OHpF/BxuNruoFAa+J2EKWyQjtocRuHq5tjynQqvS58BEppkk34iS2OX0guSr7cooYy/+Omh68aol ZT5kr1J1KD5wz20P+b4rWDRS+U1frV3CiMskOk6+NiII7C4eSobvCZQW25Moh8VM0FS5DZAkqWZC yUJ5VCSUxay46k2JILAgN883oy/jQ7ko+HGBlBYj9JwrWc18UJvg8z/THHXXRqg7gEsGiEBfLa3f OJ3oT+xImMGu8qn9ilR37pcTdWAb/R1Njf6DUGEysEmeskeh9qsESwutUf9VVnnnI91apTEvmHTf eFqpkwTke5iZqazokona2DsyORO4/R95CRwQX+0IpnlhkOC1uTaC0qcPsIIhIUMI25Eof7X5v3QX wKb6vDzTBL+4iu3g1xFnr/1/T4YzA/K1GC/RWwNCID895bD7m1suIUTuHiSvXQbIQmnWy3s8mdrQ v4SeJdcGxXD9yuiRPLo1zghzV/pGrZvKtqQ6us37zr+zRbdHj6pYPj/CBmwzVk7y1XPXLp9SQDyt YEpEvt+WaI/Sd2y3J23dxhESt6pNtZKv9tXMabO/8z1Wrg22ZH29I8iXYFumPZlh/5XOUAdka3Wf WjuSIMCTs0nPZYjM1nWX6ATWEKfe1RFD+Kc7mY0DZ6lLTz+X7omEoS+Ob9ozRu1GAQeAr2m1yA3p x/7UGtcGW9K+sZ6onBbP0maUuSIhT2+DYEGJA9wHLdgi2/mmIEsEVCk8oxbs0JwvG+9iPKF1dZIc eW+KDJmloAs1W854H+sqDTu4/R+KYbIStdW/nNFsdHEKVK79hwaSenBYEK0r6hIKEDShxkdx+IDJ QzHemleNkLGJZfMzJG9J8Uf+b/OZZZOooeRRpcqQioxNz/lDcxtB6vmR7hBqfMYdWCGRhG/MF994 jlZDEkA25doounowEdWefAOev+1JlLpKQa+kYmVvLgaugFeesv13tUogXJ9O2IAdNhW+q/fWFlxn 3OSwZuoSPCVpwy2VVszzltiXE4IHyCkqTC1bdfM2tw3N6zkIuDYSlvsWDpMB4PLZxYyy19okVi/c OOABZSkmyS5EBUUfxGohb2aUv4LYBcdYfPUtOZGxq+Bh2HX+JXWR+CRzpQsq/6FihLZpDxmR7Sn6 SrmrIW8wvtKhwzxnRumr+lXPpjDaLjdVm2H6KrghR50UTWoSMYf3BHq19Ae6wKp6TyL0AEjfE1zV OFm3z3PSKiqboh7sKfZqkQWyZEbNge/j/KB5+YzSV9Gni4lWzurh6lRQdsK1OQbX/qONJLXKZnWE 7UkEIKBL7LABiDxrX/0BTjzhByjklJrMqAG7lJQ0E6G4XemEKchAUM0alr3IQRCAnAM9PiJz1R8x irAgCJqQwe0ig8e5ZTEP39G49h+gNe3IBCnvycmM0lf1BpLOHoHWsB2TrGmaIsUSYWf1Tv0MpbOK dqNOX590jSNeSvUdP78po+wTSSAmy5G13lajHjEa5gBrRDbaVu1IImUQ7GZsPVgEu9lfO3LOgQee NSMAgcrnYbJyMthZxo49H62cZBQbi7fpZ5TAynN0ZaO1Pf46JwKekgy4RiNI56TUVYMAAn9Jn7Gf OkgzymCRe0PgtfjqUKTRrdObc+Paf+BMrNmEnZmn9DNkbw0NOTQApoe9V/YUVlBmQu3kNFcpPEPt AY24JLCt1RuN1JN5quzDoLbIGA9hNJihkpvzc7j5M/7x4XSp8PXlwt1nM61BTMtkc22EqvgWqVhC IdiWrCiDVYqlw+18NxvVaatyVttXJjm7bMeKEARDmWuStFiaF8N3DoVzG2qthmBPrtL0F+7aKGOP naapu66w9YoNSBafLV8+G9AmMzbiVeTaSLbjG+t5jh+YaLYjYeuVuT95gnYke6qW1RK0HenZYSYr TF+7XhHl9f0hi5zwchI+sv3z3k/wwUOSlUKhNlPqv/4owx4x6g3geEF6YKt1S0ZNlpicg5Fr/xFc ZQSC9sDFq61Q4RU8eE/LFCqJcw5qRE1B1WBjpOt7EiMI1IwW0tMcjdQdaGiZWEo/0ijLVE2JdzI6 nx+hNVrg3D7ovAJZq/1Zb3jN5klVqn7AoIN0UY3Doo7YhbYnIYFLwpUjuUOv/GcJtAXGprsiAitU WrJC9KvLmCxf7T05RSCiRUKOl2qKoIQS0balOPTZk2oPGbVfGyGgb19v9K7WdMx5c+3/IZZs/uSQ ihVLvRaICCdbVlbCqITZxV7VTHqLdF3UUlshg0ucVzWjtPoTTzhXWx46p24956c8AmlF6AhjLOyh pPojBi2kiWjHFNN+lmv/B9rc4MApc+0/eq9i0QC7WXdHotw1dYGRvB1tntYT3Ma+6IFyzbnWn9oD J/4vXz24plOckNGf+Hf+gDHpL/pihiJVmX6tHMTyWxF6YGlH1H7V6gOLbWiW8y8Mro2AN3dgoSMY U8XpR/AKsa9Ao2tORiXPsldW2TcEFVU6v5InrytMXqtm5DP56ona2YUhY9lz4oD0TObbi/wPLar8 gD2h3+n20abIoZjsDp1ofQK8eUWxFt8irg025TIfJcqP2s3V4lshg0ukJqPCMjAtsnOgkl4abBkz wIUsV4h+VRRB/MlWL3FOanNqYKVqqmJlIt/UoeckKI/W6aClw+2jSTksFFDYtnrLxF8UOjNcG/QH Hr6SgHzSqLzJ2goRsECgi/yH6dFjqGr6Ja0kP39KWU4aXmEH1vBICijlBhQA0hVufNNJjF8kzLdE +cjRs58XBZiY7h4IFG7JJOPioNXqvoTP3K8mXZVrg6nwd2qCJsq+4SRKXxfbl3brV3ygu/iAfGEV UJZklxViY+ksflYqOVu9P3DK/r4Q1ATduAHz4N0GHKmdfJNqws8cuVJz+yA3AY5U6HjZaoiKbPY+ YJsG1/7rPXFO7a/mrdqVHWWwQsIkhUahByzMgh6YijJZBgWuFrWjHqx1Teq+620QkJMgMgEP5STw tOC6ADBSFavPfEvy2Nw+KHQEpW9CTLdLJtzYSVtWXzvXBq2k26q3IyJ9LAp2yOHiTZlTbwVAguw4 E2avFm8BQrgM/Y7gA4qfp7LOvvrRQwesDIz1GMGrMAHzOdWAoMi/R89W02SHONhmYqfDV8vr18kX loXOybVBlG1PQQymtf8yZbM9CXGwyimzo9VOxrZchAA2kEmNz5XunoRZrMToh+HqyyUPn5q6IOWn gngjkUTxh5Euc8+PuvYU3nNHOSzajkPOXbb6jjQDV5wXenNtJELQPm8JNeQdcO0ohYUVIvMkZSd9 ux7Qqjldxv0VgN0heCCXlwFXw2U209E9B9eJMVn2Qk1ji0yB/DmI1R/YUQJr3ZKa7qoNOY9lJNly 6gSuDZqNaXya0ln5mvfVdoiARQ69OG14l3q1oooj7BHHdZPZHQJgTZ3fpbEe2jDuG0se2nWhJlYF 4kMOBZFCvSHmXHefMMroTYCv+Gob0pMRDMr5Irk2gtxcbJaeXcKeV09sRyksefXJnq4kYddvgea8 9C9lR5blVaVNCdEDXZgKZW2jfuagp/zrpMASOxW/8iS5eO5S8n+aSNsfMchMilqN667WMRnTfDFP KbW4Njhxyhc0C1czrHl8SyLwAC2B3EyFgTRqUN6ZE5m06DSnvP3XHepnLaNSD19tS853fyIJTZnW aCCnIUCFcEj91KS0kMyjWUhWbh91GwWagdKmVbcnNgmF01JdXBtVOl/YLBqNl2+/wwwWnznTEQMS UW4XSYreQg+U20XaMXpA/YG0fL2nze6nvKEWxgV+CjnexD2l+jEJgvkpdHaEHhgyq6NDYqt9OXQw VAufYoproy7SvDk9vRpSYM/VdpS9WmAUfUvehs7jmqbVLwUCdQy67vGXJpLsHGfNn0Yj+OJy8RRJ xidiikkson1EkaQ6t6PcdUvZhR/NVsNm4T4mDaC0dG1w/N5+dPHjd9w5zo6SV/24zfSy5PSx/dVw mFYzaxIPJBF8YKrVuFv11WFIHc30rlYj3idMlZCJEmlbAPsbXtG+00MGaZriDthrWy2UnKrWEY19 cW0kFHVBNxoMnx+038FwTiGAAN411FsBKM6filOnM9AzplswwZeBKlQ1B2+Keq8KJvflZpiD1Yx1 YBlvYamyGP8Ju8JY8fOqdH/MgNfGWLgXaSRBrlVAaQyGhA+mxtbV/wQFS0ZPdMa7M+8pbDFGeoLZ 3EUJBQFYNdFBdrJSCO7apttspdjKYP3vTkHa54l3dkmgvWBfKcyquUbsfbr1cP/sMYMZ8TQaV/bV 7p+BGvOngbd5irLYfaEEMvKjhbMf04sQS4DmTaLM04GcJIioIrCsK0WQp6exOYUwWJ3IVVI49XJl M4L8SLdKlq/0JkZCZ6aTZaTTPj17FcbcPwLiwEpZslVa+ZLM2Xl9SaeI1cVBpvIhzKoK+53j2Zco m0VAATvDiycYbq1UsAVVGVRxsL37Enpv2fBPBPx2HR/B1p/yHBYE/QLcQDTcsRmxoIQ3wEBGs/sH x5B4xEOE8+FGZyfqpm4C/Od2ujjoyV6NXH1IpzKWM6H9g1FOC6cc959lEWY2KUpNwV2GmVUPmWXZ vkSNWfMkWyLOroc4m086cSKu0pWERcNUY5ahI4RROb67mrLo1dw/aN/Tcit6X8p9Xxi3rm2K28iQ pxBX8KWnTKuI17R9PqUot81Cqmz5bckLQTg2gV1glkgIVd1U25q4Q2uJy/TVhz2QuZQRYlOG982W nhS/5AWV6TGVnXv6Y0YALroGQx2EcRs29KxslH6+Kl0cUGgftT5NwDijeL98Z6IUN0mOa3YXKKBq NVPZ5tjH0W/On1OU4Zp+hWzqtPq+7IZ/qmm0I+iKztZZEFMB0FoeHeE5pj9k0KW14bF25yO2ao1k Ok3bHi7KYNInpSsnzAHr8l0JVQqY+STRxgVtq9t712kIeY+I/XhMDlKU6A5l/gzJbfV9OYF8b3hH EpZCSpyauYhBox6OH9aFA9juH7wvJtsAIbDIVFwfUKdvw1l9rtDF/wDKZiPKVVRPniDznu0WGW3x mGb1wBTGenC1FNfRldDcfWMiuQKGOah3FF/vb3RijCmFx5P+n3tTEy1hUhgkocs485crZgrVYqf8 ZGUAka8BxDyh3Qqbc6bp4uBLulkMR+pIYmj6toQN2yTBGunBMiTUKILMZZkwDvlX/5xJMeDAtJSy r7YtHfO/vcUBP1n7bMZH3wJheBFgpaJ5unH/P7QtOtIPtlrLtpWqkWEdSu5Cu4MH06UjtW07Em1n Qu8uwKdbzMP/SATXhQtWuwoo9ek95cC6y9o1ZC/b13sm1VnOL0e9faT5keWWmy/K9qBPHySG2YUE 3l32OiJtkX21khFhC30H5wa6OAow+TNTRueKDrPvStS2bWb86UUj8GcrGqkATLygVS8ac2DeZW44 a2VcQ7TarpwTbcJUMXgK35QQChLvwL/1Ufjf9xEjMMb/lsE8bwjqCAq6o+riIN/NX9YYsC8+8oY5 cO9CLUeqBcnbk/2CZ9EP7N6ByjvflyVWj7WULvt6i+nCqyF6oPosQ2qpzL7ljdE+eLd1HzOae2zR 4KbWYalrgolpM8M6dHHkkPEl0wbmDn6D70ukv7XEYF9XnruN4afRFj5cYpi9trsvYcKrkceUQfP8 kizv55jurm1vvn9LtGZEBK2d7WPDkf0x/1C7GCgp2Wpzw17VG4GElHXxPz6iYgM+VrDbvjWhCheH e0Kyq8mNqLmXKAOp5m4z/WrF5MDHC0lBQSRNMuaxj0RKo3QR3xqjYH1pTccRkIK1PuQ4aV7k0MqL sv8kQtQCWu04MlcfSZnawwXjw/Vfwv/n5XusdwIvL8tUHeNVUDR1cZRlQ3iit0lVd7vJH1qhc6S7 +iHdzvneETZswpoX/lZXk3kRdJgNu6RsGv6QUYSRjB2IhHzZEFiHLGO4nXvr4n/YIWj63vdHHyUH dl5Z5uQImpqeEOoddl6fTLKaMCRjs3rflhhQC1EFhret3poCOAocXVwfJB8us8X8aD/61LP6Uwa5 ixzf0L611W5/EnJjyJ23UhcHgbf070MaVjVdO9+YUNWANtxolznITMqYg/w6JLl0dlcTM21MJGvQ PcIsX2/P7gTyDFyIkpoUbErWgFQX4NvantWhO+mPGbwwQ4kLUwCt3v8/GbWG8DPZxRH6+ot0i4rw Z+KcA2Mvn1PnqbNY0odrODSBVjlvEf3ucTcmBNeKtkBJZ6sfSTh716Y21CkHioZno+qfrEbocL0H c8ULnb1Ib87rpzbvvpEXTxuDXJxd1sX/UN21WZFU3J4vKcTXdnF/SnWAQhvXaPMhzS3TfteR9LdJ LcMyW/1Iygg8YPqDhswUxYejergOBlpjzsDN0x/zDwHEjHK+rdaDOTWtTxVr0cVRGTA/c1Y1M5nP 28aEBl+q4DI60rIqAgZvfFOhtUD9nPJmukdCDj2+ljbmf+DTE+cz8CG+JMxFOIHOxqgvRY7w9GCo WOwpg+GihB8G1I4yihWOp2hcUpL+7YUXJjD5Mq2ip5eJKsK+bO0c2nyJUjgZLirASFhKAaZtuo0E mJnSvNsSZbxTyKeiOqB86gD6l+5qBZKF3+4JCfz6mL0ByvZe5pJ4dQ6tvnS6ykXdVu5/Is2UODpn 39TF/1Id0ljgJK/9ehbl0O0Ld0KkU4o17SpilkXUOdRfNCBYSQ5o3W4TkbYBi3RRLuVTbJGX+XOT UIh4gAxzVuVDK3yw5qcop/hc72NGCI6CLxU2Elq1MaXNqjzynJ5NF0c5b7vFgAln5vLMSwLPL2hV 47xY25Uz03RTcCcs8xNIYsXelxB1a++L4m55GmqndJltV7W5TxWch/SaCfUFr/D+0U9RzND9o4F0 EsVfq7S0La4niRv2ku3hIlrDl6oMR9t66sbQ9AsG5K7dquktF0ezy+vz4hbqraYDzy9IiuzH0kRg PeqZi9f7fPkn6p7sO+1tbHJg1lLjzb4pgl3aMwabUgm3dNpsVdAtYILNQHBOXRyBkb82Rad0e3oM sfOXqpV2LfO6dKKJLjl5qQT03GVlcmj+teT4XEUNquUx/+o9lTrVZThvytxVWH5BA1ClEm69fUfd ON+lv0Bb3lavqHu2ivpEJ10cvC3lC+Sy+SRuERDafzUh16ePAfh3lsMXzE4C+EK/CNwc+H9dOP9S 0F0fFkxBmXxKj/cc4xU4i0E65DdVnqMob3/IaFoPcl2cVK3aFHJEDWLRytDFUZvhm6Era/JnV6Le LhrgazvpZQnLaY4B7SppmuCoPqHYRkGloj6h9hGgJbtbVXT/8+Z15cPSPpZCvQxZrE8nvFwO/b+G ZmqN6bRWq4w4iPSDrlR1cVQv5s+m4CP/sU3/C4GLr7fvSXY41CyPuiiK5r4nsY2CFJvb8tWL6HXK IogaZiU41LSaSfofRWQpHzNKyjqH/l+0/VAWvKvluCdnNjnMs7+6ODqav6kw2ZwKfE+iFBdxGTOd 1F60eX0Udr6+6fvLSz5OcUXS/W85zRMJEDLsCioLKENTTVSKA9gJKtOOoNr9MSNgMnWiYq5W77rs bmhA7FpzaAL2SEfazEjeIDfahjZgJEa8wd1QUcjyO0hb+YMEi0leLGWJfcBAk87d7uq53D4HTBLm tJ47950FquHt42X8ksgv9yn/aC6cOzRf79GfNBs5udHUxVGK+zUBQLG8P1bhoReYqEVpI3Sgcf1K DhZTWVsMxpCcBJIDM7DsbF0Kclt9W9DekViGGN1N8UfTDLWj1rgTAPVOdPtIWoUelFxK0+NSev5i Ms22RsYSGoLtWxFV61r97osXy7EjWJFLePEOtzzgTRHB7GyliJBuwA0twaRKNWbPvt7RKypp9NGl hJdkLthA+2eBC9MHItWXP2ZQEQk0xhDXVsv8kShR5n+Kdl0coBi+Xxco1ftpdse+YIxViw5vviKb 9YntLp9AjdSwnPDXJcxwdcQsYcXXI5ZPpdoTZE8mUecsJeNrdPwLfev9QPx5o+z2wVckyTfeM1s9 esF4s+jSdPE/viIbSNPMvQ3d2Bysy0zBJb+xVfDg0uF+FXPU209w+dvWtmle38ZH2kt+I5oBbCI6 mvpNvB9mIxRKLv428/LHjDQ0OmOeVHy1qLsw4bYfbOjiCNxxUZc8/iRLG/XZmRjDQIozxW8oKqGu uQLzAXbmlANKcrvdJkKj6oXRqMz6ZobjLgVhDnthlgTnl6yDNA4RvOMSVrs/ZnQeSZFH+9OGh/Vk IskEDVoLgVPYf3ncJqDt7UGPhU5hnOTXYgGthOGI1G2K4DR353Qbjhw4hVFBGMy/++rvOewYpv/U z+dAlRBnl0Sqfmvt4d51f19Cja8qAcV2VxsApGZIenZeFwepf/ovq871i1yJb0uU5YoXkoRzMyEa fUc83DBgOzIJfd99CREMyexauq/eoDvHYYckQ1vsJOpT2QtwQ+a6v5ry+MwoLX/M4Dsy2Fi9q+7f zyFqosSDBl3gF5Y05P+c0sy1nv5c6Bc26SL2Rw28r2ISAafQmN7ppiflYMPYMmzrOEL8esxH/BqJ a7zSaFwm0485XxFoDDSREFT9NC67P2Z0TEtLfw1fLdtdy+zJamH4GpqGjfyl+AXnWryguzOx4S3y K5RgiDhlmegUDdBoKXT26Px4LpmQQ+MwLK5OZGnLV4+8J+ZNxq947tHTlUU0BxQd41/GkZcWsYs/ ZnBQo0rT+cu26v5rz6IEpp5/SRcHB/X1WVf2BY4wPxlMaB3GO7yB56s42qJFkHOZYADFkabdyl8C 5zAqrCLVzeGrIS+o5NBdoL9MjmeST+A7UMCUTIAVjE1uFDlwDvMqoKhZo9V2vZkNnE4mXRydR9/Z royL7p6E/VxoAJLEkB1FG14wtgsbqzLS0lEUOoepabkEp1v547vXB9OiZakutqbyo9BAeGkk9RGm Wf6QkVDAC4VGXVCdsbUVXRwcRd+seAQi6x2KhN5hIPfP09d+GTQyWQe/0Eu9+IVa76sSE8/UnjMY 5nMWVV6PZNo0p3AceVsTnIcTL/uywNv2h4wwYzT927yrRZYJsoMf69QoujhSB/9istL3+Bhp58A+ 7PyLWyyaaRYUdEdvG0oBnD6dfHHtKIr9w6SCvQW+3M/bcrYF1pxcGsEvVWXCBN5NcH2gl34QBfZh dpaMwXFsq+5+6pJlMOQxti6OOrl3tKjPvw4DIPm+hBy0Ez+2iRZNDd1OwSJzqPIIGZm4U7e7/FEY TfnYaL0f0TksUjW3rJPRJGEvIWRlNiQzc/V8zj+iONNFe4TWpq32EaVUqoGkZtXF0ftyM10NX7U+ TLQc+oih6bS2g6IWxZSJ+5rlqbwYmtN8c2Aj5pyaMeDxavXUpXKcWWUERbTqiJZyLjKwRYa49euI Dq3EMCgaoBV8tYh+tqZbdUyPLvYSS189Osl2X22WHJiJZRFSz/sunzmhF5TcCaJbhFmg9Gx3SB/Y ieVbGkkq/Hah2wm/vD2goJDF7lNes6b/Qj+Xz+rKp6f7mFHU3ZLkvKsXAOft+aS6oaVYucqcUpWn x3MK8OeEDjXBSJAHPwzKTkgHVZdV4EXvBl8Q36bbbQJQqtyzpvAu88G74GeAzbHgCydd4Js8Jxf4 eYHG+mOzPeXumQNnMXJyWDWEEVttZ/jefUy/dfE/EHUEAo6teaf0P6+JS+bTldr+tj5UPgWk+QEV NDOMGiA8nT6k18QlA3ZBJIk0xFZPXOAAG+XqHANliqy+ANJlRnXq2M/ykXx6zVuya0005JVtte4/ IAiRF89Z8fOatWRGYYot9gIXKhBSV/0Ggv1AuYixbjKBQRnt6DVpsCvaf2RwT5DXBxTsh+mkIRhr 662ITmFxDiJR5RVvDdlMZ5VWMy+f7Ycjl1/3A7Y73Vyig60WbTfRxPL+k92+7gcHu++HetykcFgF sx+v+UrG30XSaNNVKOWdoMpQqS0CrpbxizDymq3wfkCg2RL73elOnmGyjSa07yl/skxqOLaNbPML 8fC/+nKvyUoGv8GLoTZLe9osqOPYcOjkMj+vqQo7aUpPFIb8+HLW3bYfr4lKNkQ8kkPWSdCLK7FF WYhy7PR2Mf+vSUpmBoK4/lKa/5Bbzi+jEVER9Dxbc6J1Vw+mSq2vmODxt/rka5KSzTfgpJfCh7Fx Gp6fM0dGoycRPAH75zVHyY/HKX47hFaYIcj4yGc22A9GjLtmO3P3qJbDnr1PPhUaYpnIlzB4O9QZ 2JK12o8Rbj4/womv9BNQ1x+rCPbeOM9akpLy87VIHu01N8lmejiqQa7z8rufQ8uIQGej189rZqI3 q/jroUc/vxpsibUdr1lJhtcqzFhzm5tugum0DrprUDahr/SCvGYlbMgUf5r2wVq3oVqyTKJaJnzs Llw7jYWiKMWRvC5QQ4I8P685CRFOuqRr3NUmzOn8IvXOl75/XjOS/Bj/WPiQtgwAG23Ia0JCBOb5 emrD48eUtj4TMvNTq8AW5TeATvifb4g8sbTeNK2vInIJkB7OA5lWVFnwZY0NHcWeJJwefTCcuJMG ja12vLCJZsCX889rJqIXZNt+iBpPXc9cV/vxmoZks3JZ65ksp+ngjO04//Lx8XxNQZ5oCmzTVns9 +sYbqsi18pwKZahwZh6G8MpHdMToH6/pRzZ80ihDL8e4LweJjVV+dGZfkw8eS9JYejmAqfxCZtZW vLbZOIwG+hptOnrn6mtMoROFU2nNAeuvLbZsYg+gfe5qW1GkLp672oQVDvsUF+YEQ5FXOcMu8kAW 9K8dNnZjS0x/V18tdpyqwyY2Jwf5ee2vKQVY/mpkK5l/cQUzlYZgP0RfavuCDZrKUWsNVBP3lSKv c+KDl0NDP5mA2uo70vep46GXnYAn1xzJyEGJn+lkAOOjC94lwRIFUyVhsM9s9cg0LIeH1vjz2lYj dkxPPdQh5pRay3OPd16QTsomxygTvs5D4FlqGFetpfkP7tZy9ig77bIAZs5gq0WPDefgfK5bZ8A6 OZ2KGYaiYBu+fBeElft55wXxkqBXS17nq27PF2PxYzddG3wzN4CoxJOKr8ePd1aQn2c07Y3/LskU GxznxUcnDQUGfoaSjDJUvSZLxGWtjsOYFasOjOswlNLRfeIqXSi5Enw6SGZX8s4LIqZy6DKj99WP 9NnNTwKBq3daEGEhzxtUk6RfisDp2pQoS03E3tT71UIT4ENQYzFmCCbzC4cRbIqEJAQetNWfOp0z tqOHJzOoLPnjKb02umooQFgeMo0sFeWpXYkZEsC22njnvHLdz13gkcGeJOtJK1HlC1pGdrRNiVJV 4iuEJm81luUGSHiCb/13qIleSlCUreJZf2oYOkha/es5u7mQwiB3P69N7+pHIkkBN04TUge8ZRsp BF9PpxPQQcvY6gcOGscqZhat+jCk3BOHr6gSzSA92K68J6wGKcmTCi2bBMsyI0IKvKQ4c2IE0xhr wEa7MpCFBf5jq2ckZdVzoA3R6sZ52ZmQbr0w1Ozpduql8PPzzgTy/G80plC2+vi1D6OWTrVfgz0x po6nJDsN47/blkRJq5gcJznuBrymFW20KDypTkjRbwSkkcLse9aadeKdH1atAMO+K6R0rEVAkMpp 4ByZBB7YsyR1DHmv/9GwRwwOHqt2CbVabY7DXF6zrhO9f95ZQNl4lE9AMSu9cbfkPW0Fn0oWWfL1 6JALsUjvMlGwgNLdTO2dBJSdHLUlmbc/knkjlc4kUxPAhRqCuc8JENT4xD2gCET9884BygbdHFWf Tr2fznnvlOMA1c/7550ClB+ebtb4z8S/bnryTgCicGiaLE/vtCZTBNjC1RWLLGha+55E2atZx8H0 sdVSiFRHA3lREMw86UkSIg6JllwwQBAK3TCARtN9T2BRjsByT1xdrYZdmtuGJOW8Lz/v7J9sTJPn NKbhlkGn2Ka8J7GORRmocal/5qyoQt9harhFs4fpk76dKI9VB3oXaY2XqxyaFyjugiYvwnl7IWKB 654EUwUGfjTRpHb1zv1RXS8rCs1z5iPJXod1S8/HnX/eqT/8gPnr68FPDTql7UmUyHLS5fPETUC/ 3IxMt9QzMrEeNA1OoFaIDTNZmE5bPej99KBBhDXSLHju4xRLmFycV1JULRHUHqNkmjt6yGhPGONI snWYH6OERWZt3l9cP++sn/yIP9szAdB8Utl3zg8fK5Aqg8rCutyX4l6uSUcVN4AdeSf86P1UIKEx tG8GcRLg1GTKiNgXZtFV4Fq1G0CciLjsuf1e9ojvxw7MpYFQo6+OV0o7O45r/Lzzfb7LHT576vDk 2ck724dq51yxy3TuhmKWTczXMzG/3LB3qo8+dqKIjc17e6xvzw+fDapBpbMZSJ4sVrIZzC7W89no JPl5Z/rcVhpKnr5apwSjDH025wD7eSf65EdvMgsGia7+vBsS5bDTCArCEND0s1KPw21M++9afrwG 3kk+Xh6ct1FBdjzp2tmIU7NOfTX9pGlLFTEg3XNazN/5cd1T0f/zzvHJriFfmdPa6u9INTcQmKA/ 7xQf9fG/Iglys9OD6zu/hwx2ipwgaVr04jZSuzC39xJRju98yu0n6S7BlgjMP9Q1GVfEqaQBiJ8S j/7iyeHUL8EWOyeEG/sjONkUSN75PdkEIMdEq8tWy2AJtPZjzfXzTu/xIPTZEsaUMJBsU6IEFjny U+s0f0/SqMWP4bxdE3sq8dOnEzVdBfHbU752s65nU86WzyTQFpW1IH4L7hJzq/kgk2Ra8k7uYcNp PmdI0rbq3mOUYZ4Su5Wfd25PfmRJb8+1opTv5807tSebydzWKJZQIhiMxdY9vJEEGtT2I+q5Upku Wia+3p4rNR8SMyctGWejpPQ1ZTHH6ZCt7JBb5bJHDNISCyJ9++q37xqUUua0n3dWj97f20qS4CRi k8vb0O+0nuz4e95Ci6rVXIHJ0JL/dyfHHJ6/vrN6/CBfjKN89WBy3rhZt+Y2sEGZLJ9gws8ucGT9 AKrVa3yn9aiRCVWQzqet1jlJUqUnV0v7553Vww9Y/AhmL7FOgXftmxIlsFNig5ah0U4as3quRkVd NAIe3XGg77SebF7Vay/lJevJS1o6VUWFxnMiLJrg1EELZ2OqOc77h5Mw7BmjPYG4jlmdrcowTkaT 9C8hYfPzTupR7M9fhc7AduMGk6gHC3g2FxM5RlVWAr8AhhsdHuu1oQdh78l7+kqfVN2BdlffkoY7 qkQggDnWoWBChUDVVr58S4QWeGf1aOQm7Sp5AvcrAXEi0TK3q8GWvGevcIrbpxyWWyk9RNuTKH2t NFtEyrZmknRQTFMxe2d6GWiWgPKevmbVfqf+xz9A621NnxOo2QwY6i1GzKS3JuaLUuMtc4o/Y/Sa yI1C/jbLsXwn3HlFBVzg553QQ543xmdPsvxiPZy803l4TaYqxD1d4sAksJFTlA4Wcq1ZTHKqnHc2 z9Ob/h/lcBmnZD0ln2DlNA5kuwb+Rr474xNM1Kp/5/Koe460utqw7bZhK1ovhpXpJzN5p/LoMLxz LRU552N9Iuw7lUdvVhEhUBgjZZbZSQh8U1UkBHg6apq8M3l07Ngx3Hy1LcGZUcQLzYKTCIjnLYGn LqgRqeHj9/NO49GO0KxHb8xW6w+cWslytX6C3DuLh5/uVn0f+drnJYkSWGRNznlZjXKAJbBL6i9K m+r6Zt4feCfx8GZn65l0X2/PhK5AlU4gNmtLM3RiycZg6aObQmH1887gUYmz5Hs7fPWM3j0Jz1HW f94JPLfxfjN6lL76LYTf+TvqnZ4YMJNPuNDbseG4FKeII51iTXHknbyTnRu48aGz1d6QDYU0MYJh lr17ErZvGTeQNPIBHNl5807eUUIvaqBYx1vQWc6OBMGJt/EkgOXnnbzD72p/NRv5fOZ9R6LkdcP9 FdJOUpJ9+o4YdLoomk/fkaj3mpodwMlXn3+ez44Azfyz9PN3LNgoWzrR9umUSA3knbOTTVMVi4/u q8GvTiaiIQZ9+593ys536ipcGpi/4sfvO19HuTyc6+7OJDhGd4cL2Isx0Gq7bcZ3uo6mAxwiFHK2 3k7JySo3EjtnQ86B0mVCIEEEaacKxWjDnDXtIYPBxWrqMC5frcAR/1qR9aTz72Sd/JCvLSUppiPq exKlrnp/256OeW3SoNQYVMcjCKxErqei752sk52QjtO8r/6SFBT1BUnr/RxoTIJXB9VRkGOh4+Dk ri5K7TtXJ5vt80kfSda0esOudsNg1VR/3qk6Orv3J5DUKaX2izqKUlfC2065Or/AlXTySvl2S2DJ 2HcTd14pbsS9QLyNr1vGjOk8LgB6kHQNGqb4S0MqWL/ZNPVtbCFllHeeTjYvQfjFzVc7gSe+BfxU J1X4eafpaJTTfr+Gfox9142uYe6Kn1r2sm+tx5+jPuofQLFsT8LGa7aW2l399zg2qs5d7ZLSz2kj /HQWRlkjr6elxoTg552ic2fDpeW7GjYtTaMA1nOa/7wzdDTy+MIuAmHZF6v3Ts+5u8iL7eKIaLob HX24i3ZLFLoWTqLGK9t/jmyNc+rHY+48bEOvQDQUMlmVwowipRgq+NH4StSixis/+/nbGuWsO8o5 u2xGzDBdft65OTzaTUv0RtHipKNsALUodzXqw7y6zv0qPKPsNgz4WyTHwKa8c3OyWYcyFE6+egud Mikhs9AY+Z/aB5nehT48dQ9R4um9+kO+bwrdyVPkwXSbKbvH3EkIDd/Tc50/79Qcvruev/J59NGz g1zfqTkab0kIcXqHPgEgm3SOEjnl+I8mJWX4nkTJK2ChJQy+rT4aTiegDkg+FIBjGPtY2o0EWpBE vicSxfh5p+ZkoxyNrEir1aZa53qNRE+tPX7emTl81ybo7HuiG3lq8s7M4b1c002jbeSnU5GpRdoX 2zlLdnned2IOd2nqmK27ekA5qSsJMWCTU+Cc9Gzr3OFMRIP0S7Ig+0NGMVbpGpm9Vs+Ol/Guz5as n3dijvpI6RNj8WegxLc9eU9gSdcYII/iRY50XdWA7VM2FKDexK2iY/LOysnuurDBaNh6e2udOQMS 17QJTiUsOmBXETF/Z/9MclKzhwzO4iY7CgHnVaGrztk9ixN59rX8vLNyvhGvesdhlOc7Ln/n5FzQ zmRTpOM8x2NVmZIJOzS1a2xTwgas+tEy3tsf4z3MQPEX4Sc4ZR+HkOCCzPJJFBiZTzuLuz1jBIoG PCBRu/aI2mXgs6pezp1/3gk5AnndFuz5D46+jJqb7UnUgkWkETtaU1bNdKAv8RwU8X/03Mtba+90 HB156oYIa9Lu8OKcDBlpKElbn2BSGP6dFwlCJAiXW/pJl/7nnYqTTfFmNNErtBr8BsEpBckBDjjM Ymu7zUYTJwMIaxsS4l6nwQau+OH1zCqKtQYgMN0CkNExgECvB8Wd1gtdgzlJixdhGNBOAAjYoCmv yk+t0+wRI+gNmGisGGy1HQEIpyx0F10bnDn1QqOtbhZYwrckymGlTJfG5cYm3gdlJ8yKrcOmwa5t SZjFEib2shrw9hr5HMde1Qg4aKAo/WfwTtPvMZjr8p14J99k48+MRmiz1XeEMl2VTl8/79wb/XTf nUZ+s6neSBJlsFDMMftuduSMOUzBDhcHO4ZO+nlz2BaDYA0d3X29iIp04gbAiXMUj1JlQr6ARGRU 3bsxCrZUJfSIwSGcJTErEP11OCynoroCuqtybZCtjS+4OPzwds/gMIEtkqnc1dHisJyljLnqFkYc Guidgbao9WqoYNGNzL9PG4IWWW10gBhbnCTCCAVD7+75pNODF+8iUrcogSW9GwMFcVutR7Apt39F 6tO1ERbpq84BDvBEkh7mrwXkwDYatavka7o173SrNn9F+nv2ahqEJ2Kqp2oGBcYJ6VhtyLtzUBgU 8c85x2keFVHLLZJI+rHHDC260TDsbLXTBsVKfTfn+/npUfYqD3PtCOmDVCSqj8p72HvNIMfH9C1Z 107N7ExJvqXiQee1h9iBpdmWBqD5DkBrHv0stOxQUCqQtlC1IN3DUpOm7w0l0pbqUfOVtxTr5u2r frt84IbhO6/gT4+S11S+ELDZLB18R8LkVZ2/MZc3kqaPgk8gEb4RwcYkYlLXXf7qNWbFk4+Hyrkr UDQ1kjh/8XKHLgtHC33ML2tGSZz3KHmdwr6i1WirVcOnrNxW7szNtQHAZH4lJZnfQ8Fb1nYlJGtR zrUpRdkiIJJb+mzZTIKAXapJtCtR+qoyZ8v8SqvH13Ow0LccouPMQuJuHJRC/KHZcsmwSkx6lL7K oGXBl7JVu4KjzzDP8FS4NiKwza9DB0ffG00ivhbqvVsOkulsxKa51oERbCM6MsOdTA21IzF4QBmY TuI0HlpzOTdhUmlz4YUawmLGBadDJnb/49uJmrDLSCizXiqKwup0oHo/T8q10ZlzaQZ0TWSKULwa 7mHyyqhOdjZw+uqsrg6USncqeStOme4hZ0v0AptdrUcX9By4G5FU5trnb0z97x3VapBaMo25tk/V HzE4hguBhLmBrfbpFEiUaiRNPVr06bRP04TASCpgOxL2YLmkMkxUf6CW6qzPKaWd/6j/BZ9Gp06c vcJ1ogCw1V+TDoSP1hTR5LwnNNdkbYSUz++UyUb9YIJ71INVz6RTtNlq7JxzQhazWx+Ta/8BqNfv k874zU16lL4S6zJ6GLJJg5RpnDZcjc63+x+5PQMn0p5E6IEkdoEoXFrtPSnrnL+1mTq1EBZLzuPT 1cz3w1cayZ4xOnUmUobrrrYly0C7FICLa/9B7hOZDaRVvRSDHqFfGWlhFl+N7pjzdLmBSuqtSQYa p9ar7xF8wKqcLQLotoEr8IGVYCzVYvTxBjUW5DifdFUj7xlxAZHm9lF2QiARwa88OkyFqbtO03P8 cO0/MGs6Ms/vAdFS25M/m7Bp3SiS5rz4LMgsBsLhN2tHcdSE1RgUdUdfb6MngWQcYhjhhSuXCcRb M63H+VGlViHA7aPaj0N4PKtVOuPk26aplRrXRi/KZU2rj5TlSeZfz4iyWF2UxWDguMljV0cQ5Ok4 x+yjY90lOorFFOQM03pBFbXjeGXeV1BBlf+fr4sgi3rHPYn5VXD3oLkmPFI3Pvn0NLZlVwU/ZWrh 2oAKOtZXkD0RiLGdbUmUxapInNSnyk4mwFTJjDWAD3jVtLHURzkBZYRNWCFIyO1svQfPiU+U02Qn 5ST5YnLhulvk3FAfPqgEmrh9sCfVePXDV+fntGEU8dUG10Yggu/GNEiY4ROMEYJgyUyACl26sIvT FU11mqTue3agyYiasIhon1CiHsFWE3zwxQOqYEgnhuz5f/q41CmG+s/B5gMMJNW4e4Dlw/i2yYxe q89HVCWQW9bMtf/gXeilQO7yotZGlMRSoe6RjImyrStNdlK8t3QC2J0QjyiFJZqcdObEyW2klt8m zFoCWSYgXwatR/23xfKTMMJtSfMWce+/IMFdgCRWw1SI+GM1j67955kjg/Jf5UG2H1EGC9Vs9+xa juKraj+yiLOS57ktkxFKDghDjw2qrd5EYqCTMNJEkOLsr3SBAClIAYm2xAXAqiM9ou5r1mYgB0Oh 035V/52YzxSeH/S8b1wcvSJfpHKFpAsdH2ECS3+y82zmG93Fm14wpBC9kqiYGu2ksCNKYZexldSs L/NjuX7CxrQjJyFOPMelF4C05Ux80J7bHjKo/orVfdlXo1kgSyE40nkTuTZ4T1L9qv7OnsgCWHsS ImBpHTVjx1IFjuKtpK3Gl6S5h7jDvCgRApbU8Hwy5a4+uiinJhzbND9PvURpsyRoMJDl+gxz1Fsb ofIAHiILb2hbbUdyN/cvaimuDRKTb2BjMTNI35EogW36KbLFD3fLkL9S9e4r/SCPrFH3deodSTYM 1RGZ+XBOMMUAmQ+nTUHI5Vyo6juZso29I5NuBLeP0hKEYeUsrtVOYNH5FS/X5trgHRkXarJU56Be fbOSKH/FZ+lkEsNFxLbnbEzovbeWt0/8Rpy9itBGLNF626/n7EYq4PKUBNASBud8Jl/s8i0Q3wiT 1wZ1q6vw67fwqwsZa/6dkxBzbVAL1/wpchA+ujXOCHNX2u5NoJ3/6AMfNtoqAieKcJ9kaKGPJu6/ UuMgJGfrBVXgBSE8Uk+nJplS4WMVf3BJomJ8uo0jZG6Zz8xavtrHsqY62QwjKtf+oz1gOmOEOh9t zbD/ypHdpzzO1GRT+KCfgcxoo+6je2ItkxlKZAnWiH+ErZ65rnRyIn58gJ47wbRYqOj+Si6BLO2i +KY9Y1D3gamYNKNstZb0yVxNmTy1xrXBlrQvDgo8nnZhazPKXCUDm7p4OUtklCRB2JKkPq98DT6A SuEZdWBJfbA1Lb56bJ17nYxGOkgwlbbp5/L58eXUj4GV6CTc/o+mdKmSO637oSqNaqiIcyJwbTQW Lt97cn7N6+q6xBIE9Sn2JKacHXuTkON2DfvqLZMZ07fI47vQnv1Be55z5JyTU+cNqNhVpR1DV5qU fn7UO4o/Y4SkFwuF9oBW3X03z2JLn7o22pIvrGeVV3q+exKlrlkKBDLjJayiVWmQim5eRJNG5/Cu 9Iz7r+UFAZsR68C2XiKN6cRX3iawR4Sv9lGXti8nBA8IEaxUrT8i8DR0DWRyikquDcbC86sQZpiT KS5sS6LslaboKfqyT7gmBTexttIzVWcNppTrIc0ofx3dhAWSrxd+OFF5KdU8oI1wMcs0Q8vfLhei YpS2aQ8ZfDqZ2maUuxryZisw2fvItcGEq30jPpvwI95unHEDFtVKHlSie7UIA7zlwU1gBVuxu2sz zgg9IGPsbZx7+WdaUg/2qOFKAf5mTwBOa6IgnTm5BYX91lWbUf5Kb33grOmrbn8KATltne0Zg2uj NtJ3POHlvEjpGQEIpOSZqmvW55N9tkeBYF4FgusWPqMWrHDyW6YtWr3dmE95s+nzo8jQqwqhWcW2 32j1frTmdBTPKIO1wd9STr9uTj/4avT1pNG4NqpzyqdTD9dh37b0jAlcIjwCxDefELeTL7lm6xhg zubj4RnqZ9l8a2dfb3qCFmMXgQvLi5JNrXJIuEnOrPU2G/WIAcxkS3lACh7VFZLqqZvk4w18VA8W 7Ej6ptqfaP8AtGaEIJhCEPRV3WenXxYK8u8CUNM8vRE2SmFF29ty79of96658klQhk4d5qLD5Mmn Qj+P85n7qYc0oxyWF/a8FFDta7tUe4yjTLom58a1EdDke3ixsDXzanjG/K0su0QTmkOycjprWKLv mvrhsqRqeP4tpCW2mlZP2DqC/U0tk1OUyNN0TYfJdWnO+UksiuwMhbQkJQ0o0Vb7cM4DGrvmnPZc GyVsX/hx0Z6uqOmKclik9G3KfX763bIfyEv9CE24iuSBk+4RDMxLfpnmMOKik1bM/5p+GmdOUWpC y/fx1TSR1xU2X2kxVvU7tPpwOC2dGkgccG0k3PGVm9BiQ8nJdiRsvmJ+zV+1mV/zrtq0GlDy0dVn fiuEEEgNA6a4rR5I8NKtS3y2ts9ZLhF2qRMNinZQFdcmZdgj/tF6LZIbL4/cOC4mxVxSduXaCI00 bp1D6/XUE9X7jCtUeqX2k0FitjonOQGUiKtPiSZh9T0JIQTUueTzWtttEJA7SENM0rdng4T1HNaY 8cykXq3XzO2DdE1ahvS8bb0cg2F5ean6AaMM9r+4KAaptD2JElgCI5OVfdvRzcTo8XdrhiJfhoTt ustfoQT8v60+y+kntlbApI3u3CrSEcEBOAsT88WPVYtghQ3Yc/vzcaXtq90eApeR2vLm2n/EEn5T O39YfitKYDH/zEnefPLSAc0h5HgqvjezDm+rrZDCZRomOfl6d2Tv1doQhWsuEDhouzRNoC2ZvLFE acmKAATmjJL15eRrjbjS2W7/U+ba/wOanjByFU5XlL3ibWeKV2wIspPeoHdj2qIfxIJrKD8gwDj9 SFs9uHapviL52hH0lDayXB3kFN3Kx9FBLL8VwQegSp9IZCCCB51wvngh+upJG7g2gA+sL0QF8Zy0 2XYkFn3FHKazieq9Sk1GNjG1mAoudCVX519h7lqNXtB8dfArybu84jiBwUdyAhcTT1Fd/8DGiZPc PqJQa4yD80fby6ICsm3FfHnP2c61wYGzvxFa5/cxnyM47L6a/rxEb5sGCtOExU+WbD0TijbnC68Q /apuiYFNHn6imcKsQt2LXsU5jZucHrY548qm26vh6Q8ZpSUkJFWGiPVaUNGkNEjw6ptr3z+cle6H k9QhkDil52oryl/Hlv4AaGJwa0U1iBB8TaJRwCt6c/2BFbZgl3pImBZo9XCy0dfl10xGj3mJpPsB KzD628+LQtdTdw/iaxKjTfzHdPlQ3c0+EWOsXBuJWV6BTw7MOSVoaVsSpa/yhMTo58oPLC/8dFQq oCwhaxVh4/RVLPo2ffWuNJTqSpeonSNzV+mOdVnY0VmhvvczB4EBPWTEQ1GLnkGpVof2OKHrVKaD a4MX5erxOaXWBM61KTtKYIdoq8rDia1COxh8oGtqzjkHSEnvyQ5JXFsJm/gDJd0om2pPJ0FpbEoq 6CAy4VLvaslO45lwSUSO20d1jqphvSjrvihM3629hurpDp0KWvkcO4IuD/929nsKCzkHJOS+QkBT cnfgB4YMAmVVULprje+/FQjSs/pvkh7j7GrWV8yWlLC54RQpfbonj8RyuH3QNamaC8/mq824+M6H hc7JtcHJk9I9eUSO/pVDmfYkTGI1c2p9eu23BC7hk0E6qxp+YHrXZIdJ7DLDqrveDgFyQE22MDjk 7b4c7Mfk86OwPYX33FEKCyfkRFchtaqztU+5AKFaKewp/XZM4dqftB5W25Ug2CGDCzN4AZBJTuZ2 v4I1ry5ub8kJ9ztED0jTU8Rgk+n2JiDAc9B7aEpXyZ6SDHP0ZzpLn4NY7YEd5a9bvsT4TNpqEBOU QHRq9LS5Nug2PhATszw5p/B21sUOIbADDYLuqgyzXFUGCRWbBoHGXmxJiIAVJSfZxtwO6fmLCMCe Ek2zizrMGZEZdBa+tz0GdjJl2bEArDGph682BmV6qhz0HPJcG43K746YSH92/y1tSaigRdVl+rJy JetiGUwhBk2psGHp4JsSAghEaWvSHm+tf4VXw9I2pMIRtSTJHfC8s/bEQcGST96hAmxWINGAuF+Z /rHO6WvS42lxbQRE+mq/ygOFprltSYQfAA8MG3NYJOmathA/kpwq2ZIERdsiSYQfkNfHruWu95yk 9YIAyckjTgBm45eQYLm4FJBbNff7kBEEViDnXnw1EsOQYyHNgrq4Nih01tUz4SWXu6bnsDvOYaGR dGsjWaalpsma2REExD7bkRhBoHF5u6vtSEv7vHMnqmo4fArIJRNnssGh8cH8ZPXDHvH902Hcg0xU 9dU+Hcxr9Zqcr4lrIxmge97IvI76YHmHYIcNWCES16WiaMCFOpzhX8XOMZ9i3SM6bkRC2Xf1VmNq KJpvFTq0XTUulQ0r30L7CCNJem7HGgTUfktDv7WupQMVk2q/tHRt0B5IX8UwJ/C4s5wdpa/q+mFQ YhthXQip0ksvxFwMbvq6IwjBFIXLpNbGnVTmjhvuQJlLo5w9pGWB5InZTClTy5bSN3vIPzQIejHi cLvt11WKlP9OeF5cG9V++wmwLo1009ecQvjrlo+Par4tEYLpIAIsiJlwtTJlOpXsNlH5xztgn9C8 BNmEmzn15BCOD29wkjWmCXAyfoHaP69K98cMqG1yMWCccFZmVgYyGcssYc+tp67+x9ScA0JzQL5G 35mQy8VZmxEk6MYKlcgLYOtSTJC87EHXR4ZbKUxjJW6yTcVxfEYYHViINDvOV1SzCmOmr5nYjW2F 9+uh/9ljRqNzoQnqXW3n8b3le20Dk/MUJbK7P8LSMkPjMLj78p7JnmtUpxZ1k6AodreaKsquDE+A II6pbacQCts0+hMgNpc77NoQF2uTRO5CNYDPbdDLwx2TgPN02lQbc/+I08WBrHagVpvt9CqLXqSu ui4OVBrKV2upoVxxi56cwpYse1lTNsVxWqUOrzfINqjHZtPAZLeJphlS3BbhvDz0e9iPOCHJou38 oikkUNw2tYxfmZ5agEGexu4ftSBBFACys9WiLixLnSTndro4Qin5h0SkARdA4PB9ibJaYLx0MoZF GM25JZtUNfvGeWoAG/AIE3Vmh4vlLl/9fTmHcmrWdDvRoCgOTfkv8APJN9EllcWw5v6RPjute5p/ tnrGgjmzKkOkyFOILahXwUIHNAjB+nFMSWGDdsvfoAncyKCuVRcnwMqT1htOaa52YkPYP7pMNfvq r8xecAHxXernTZ9gyLF+JIKh/tkee9m5pz9mkMwp65dYUH3GBEDWu2W4uenioNH0aH/KRHWpXfIc S2GjduBptm+rqTTP49KY2zEG9Urn5hQlubNb3n9Xz/s7RsFNnkOJAT2UfBPGSGyI7B/tsB7Tn/Iv hDkalLY6MLmarOYpmO3h/g/E0XJ+LfNxk0mxUoFAiaoHhTjoySk8Jte+4SPUemNM2KxdUsZZ1Vc/ k2CJUddJbfZ8QzR7JtN0GRCj6WendUH92O4fYQ2oKbP0c+0pJFzfh5AYp5xoujg6rNsn9tKTyLk/ X1KU7VKek5D7xozljX0UdI1wTPo+7gsTKRZUY6vIWSaVp3u4gBxAXIKfsSv6CcucWmSNVct9Ydwf M8V+B3QnOxuj1Vos5zLTfD1nmi4OvqQrbaH/pEytz6EU9my7JpliSTL5nt7QLozKrc2/ybf9hQmb tjbrEPVrX8XO88p1kkO0LXpZCXszBsokMbKAWOVWi+btxv3/6LQMHdbjHtYnFT4ZtV6doewuNj3w 4KvfKnPDdClOOXTwIpvjRXbdunl16+by9lMr5b4ugYEXhBtN2DU/Lflp2+Klm43iBNEByCiu392M tcv6aG+ZZUjg4OVc/YqogK1eM3YzCyvnBrr4HxRs047VsX89iEITL6RR1262B1uiiiZgIDd4ttc8 eLrdJKKSijApWaX9yCoBlBsYAUqSbDJbFoKF0R/N7Efo39yqYguvLA/Amnx1aFfdBiA4abUujmQf H59Z6Y38aojpmxJmvEAj+5DSxZCGQfUmVB0memGqc/62hAKyxYxEm693lHrOumU10iiIBIKNRNo5 yyGjfSBvltmFPl5ibTRxbPHAsrnhOSKmasdOhyEHRl5fLW39Us8/SP/A9yVq4UpvCklW0+hu6/b5 TcqDP+0tBJj2Jcx4BWXqakj1axd0vmOofPT/qAQQeGBIxghaLUu1tH10OO5jRhj0KQeE7avjrZNJ JiAxq4ujIXP7Ci5LUlf3mA6tvLa4A2jyNzFtUYthuHryC8DKGjgvaZdROgZeXnDrZZk47+qnETCp TqfxbM866RV0hgHBLU/xGz78OKle5NDOiyPgvHIc1lq1MSdp8Y3Z/nABr3SNC9DI4jyPp3QM/Lxs pLNrc8WYVm5PSrWqosvQmLXbTf4EreiMbs8ZfT4c9KNN+3+2KhXeyUEA5fQXsZFH1H34QwafkWQg SVRt9cJxF2Md5F50caSN+XDkZPzzUUjJoaXXVBVgMqlkEwYjVYorbovctyWRol9IiEogd5E4oq3e mdqABEl96Pznjf7pGkPpNZ3Mj0D1rP6UQeoyJWAuy/N1rZrSOeya5Wll6+JoiNg/A7OikWl/wm6s azCV0zVzfxu4xog8WGkeNbki9F3vvkTCBt2U7G1ytu7MrC/0uovelxO9pWmOizN8Z4BvfLHK6Uqq 1R8zel9ATDL3tdXOo1llasRJbxdHbLl0O1OMZPXO3GQ3sPaCQUhnarWLTUiCxvH5TNVEHQHXtu7G hN1dbUwV3LheuPHZihMQz4unDwn1OZnFW90G/ecRcZtmjBd6e4kHVZPkVNOVUz3p8zZC09llXRzJ 7nrVaJJ8VY2m542JAbZbMOxbNg53syrZBgEYJJCK+oEUZrsSUwWTZasfSOcVoXOvUiIJJcxBXSUH puPvIeHm6Y8ZaSDKzyo3X60Fg32gTtpeiy6O6mmPMAII08wssCJsZ0KXry3sBmNzkU4zRg+CHpNE 6myq2UD78iENyWLGwf0fdnD4bLac5R2Bnm1TfTSHeST9fohRyMrYUwb19FIPpqt6FCiSJu/ZamVL 5+mzLg4OpF0/BxINgweQnUOvL7HuZkM/zHpTwwzhsN+tFmI0OPZtiRLeacKP464P6wXDFak/AFrV 35oyeqYkAJbt+yK3bd0/yuzk0CpOQ3F3ACBmVrufoDV1cdCz+zS/pYrx2y9lLMeWX1v6RGpMkZvD 8Cpizw2eWmiFB72fA88vn7uuqiOpPkdSE928Cf60Ti2dmRqcD2vq/HdLRfnFZ6Epc2D6xXTYpiV3 1b4UDLUtxxtNF0fjkm8FFYq9cr01cmj8BXBsq+qQOZwGjQMcsxoxMk6o5br5hrDbYfzC5qujwlAB POWQ+nUn1EJOPsVjk/rkedCPhIp0U3X/YCJd1akTOqwl/4xgeAz7jLI9XFRN+2ckTye+9PbsSpjv nh9IgAvtigmeg+UQgcCAC/OWAYHxF1vL5wMdxVb/iJL8ZcUIWuCHjJtZirmSgVpUE7PI6E23DzZF Rldzbl/1hpxvsFpe1ubUxcE3dI8jZaU6p9t4vqLQvraKoNudz96FCye6ZJr8wkGhq+vNl9ABbAnN n3Uc5UfWg9l2m1S60pZZiQRnSqZURGgh19t31A0T3irogo6jfo8jTNEMzHR+Bbo4wvN/iUJsyZXd bQk1vEhcujr9AjD0bACGsqfUzAa84NLvtsRGCmzIKHf1OuBkyku2IezKaOhP4yrAe3nqto+sWd7+ kMG4HneaybTWVkt3Qbybn+Aauvj/0mWgiivPyxJlu+dNBKztXnGmhtAFo3PTgDo+31DspKCTWU2c 8sgQncemmSZFQCYBRY1fjSzVw63XR2EIMpdDDzCI7OfVBSem1UojtJn0g55groujgvGLRAa5/WOe HsrQDqloWpPONEpsT3q5GIay7p7ETgqC/Sina48rS21DOFN7T2baSnP5ViUp+0h4yaVcdw8G9upG pWd1dlCdhkE/+6uL/0H6Vw3NfOUTa6McV4xNoCiOiMpOWAbGYA0q0DXPmxLDcG3wun31aHuyNySc VVqdPI5mnwgyqLhsCyrTzqDa/TGDVK5qkIbMaHvs089z9e0yo0MXR+iOL/VIcUSvOUsOncDkWDlg OxnhEKqWwbR5uUleWq0SBuh2mz9GI5uP0dZnllZLzpJDYIekB74kbwu9+kslXwKSOTQDU3Nh8vux NXn+ZpJvaLbo4ijDXR+QJfSCfsFiOXADK8oFzlfudeH5QzUaFQ3LogFA2ePKm+XADiz/vyUf6CFw 4Xj0vleXDh4DAPL1XEV1Vz8v6/y//X+w7nb7ILAkMcqQdNZqhzNuqkbWJmOJLcHSF18XUNN+8rjY EmzLq1qNbhF2HcAtIPoVRbiS3zn0BDMwexcBsT8ghnGOGgSuLcGdplzU4ciwY78Ikd6mS1/+mBHC X2K9+66W+GO7SHRpIw1dHO2L9xZUzmHNtusdAMTOYDQo1T0wZQRDd8B4z900EsDSP+9LmOKKbUf8 ttUPorbwbs02jTu5MpnNaHpEXJcfkD9zMrt9xMkk5QcEYasXFmlnx6E2XRxRH74tOAAwXLpdDuzB SE4JRBIC0wkEfPKa6m0DXY5V040uf5vb/q/zmTH0RgmPbWmSbzmFFmUViSLVjAvAzbz8MaP54lJ7 rvtqA6OU9pU/HLr4H5Q7/o+KT+JivjFRjqtyoWxJ7xThX6o3uOs03V6o60/YjSQSCAAncphHdHkU Wc9fnZlRHQSIlkUHH1PH0TKKxsNY7f6YQYDRVKTQ8NZq6Rw4KWtL0VkIvMKop/InnUOUvNzGQugV NjWorxd1mWQYqPgiEyLFl9GfzygCMDSl/gDhbfUcl2ZxkfoLLA7YvIDHqDf1a2sP+677+/Kn0BcD RV9tApCR1eYHO2e9Lg5S//wM6gG+LLkK+b7EPV32Za/tQgkze69bCiVS8oVo6a3L2DFMVp1JsO70 OGc3vsEi2cAOJQYd3lMlEcZpVmjI4yOjtPwxIzavWEQShnsYzqeane7JQYcusAyjWHzUV2gsnN9d ffYlxC+YWOlyQfAh9hEDNNQwvNeNGI6BDUPXMEWO/8XWPPuNSscWrnDurd0bAHOKUC8jfTqX3R8z OqdpRJEf2uo93Z5FZycT0MXBKO0Dds+SXP1VPPOdCXu6IguS7+KIdQr+ZM26IsGHzh4pbW+2MzGC IQncv3z1BAaRlN6Ed++pSp1+ab5b6Ocyhb3EiF38MYOd2WCQyVVtNYoVsgOqF9Oeujg4qS9GSsdp k17PTWFC+7AhrwHPVvC28qZLu17A8la1BCZwD6NFIQHBfFd7YRbyMVJZNoNk/LBMbMKJVrdglKW5 bv9H2K2qpbU6LDgVb9CtpYv/UR0Z/gL3olsFhP5h5Bb0QmzCaLbIwi+Iq6i+cLoTxtA+jGpj7a26 cV/fkrOxaF0t1QCnFMUjSg5IYIPlT/oY/qhHoPsH0SWZtWn11fr/lBsm/taKLg4Oo0fGSBwF1nYd CXPoIUbX43xxuXnVOF2dFNOy7RCG/PQXAhMxGgDyhmp3vcf0nDghqRW1Slvb+NHoxCS1/50L3rY/ ZEQakYSRyIqPpO9GV8LcOnbVxf/AeFdBU/mGyrMtoYRtkqwgb4JxAq7xelIOR7Z7UoPsx1HoIwYo cUkGzVYPuwnM+5QCSTqpuvTkmYv8ospXHvilH0aBjViSbvegMPfVkKnSWtRhNLYu/odqgFJSEg2Z QNi2hEq22HotjCGEfC/M/WQRBeKzePIyPKeLjcSmCehlXy+SGRGWJfbIef2b2ngTl215u2QGr57T +WcUJrvS0eMHtNU+o3NMD0t7Z9XF0evy6C3S5iY7uKpxOTQTw7FhC84LZ/EqljJU95qxtSt8lQMv sUsIqM96sXRk0TOLwb1P0kvuMhqHJG2IIlPc+nVGh35iDeG4gsOIrRdO0+2M3jTpQkOx9RmK8OXm j+dNDhzFvM6sGqcYfqF6P3fabtCi3+35iKJcV539LcsDrbdkpHNpYvvnJBrCN+Bsebad3kJKj4Z6 Sv6YUdSlF9XbXb0E2EbGslw3tBV7mpciB9PlKcBKfGdCWTB2QtJXyPpSzLm0QgEwLofPRs+12ocU IRiY5MDDvatD34GkFZoUDVDQRv9kSTM3CzTWH6ftue9j/sFgRGLfV8d3L9MVRsJBFwe97g9VQunZ L0AW7cvPa+ZSjEN18r/inkDqsRp+QVI/iNeUmn0u8pq5lCRVh00/y1d7XTYWHnOIHj5OIsB3g3SY cFt064YU7h7Vp9fEpbg1Q5c1Q3+sGRLqymLVnBP35zVtKRCb1V6QpZyaESe3krIuv4NgRxgAw5Fo pjM44Q7oRenmBwRjvkoTmk8o2BHGihuDdF/9cMYGsi45fGZpuWqA1oQC2CaBbDvi4OXXHUkmLi8p b1ttSjTqNlOTQufydUc414vviGIt2vLT9uM1XSlZjIbM/MbljVTbqjjkKzZ/JHpg4oy8Jiu8IX1L TXr4audmBuG2EDxtcgsYApJNEV1B6NX/7s295ioFW4XN0JlRiFbr+6+2TMnnHGw/r5kKOynytrbD ZGHEXZRdc/TBoAmGgJnOHWB7NnXWTyWlfVxuzTz5dTOgFfFyIERj662Y64meqBe2U/6cXRKAUCgI OniSPf7WoHxNUYp1gU52hxi0jOyKyXGeZEjncl89/7xmKOVJ3Iq7dlRxarUdr+lJMfzT+cqSo3zS tAz2nBVtXXJI91z2NTkp1qA8gaNuXx38BLEK0w5xZia0KE4jmhYM0lt+wseSRNpralJMDoFXq/mq u9PZ03aAlv95TUy4VD0y/1iQSWYype14zUr4vLbmHdnoZie3Hw7DLUpCIFhh8Gbvx2tWUswSEezK 9tULwUFuI0fTsy8bWCU1T1enaTGSv1CNpDnZa05CfGuC9Ghqb6gTjZirVzzn/f15zUj4Zaukv/tB 54M6VBvympAQgSXdglWEepJd9QzFTsKajwYLrojJaFWv6QgbonksJbStN5qCLzGYKYbd0vRTgpzZ pfEosuCLzs2D74UTl0aEr1bpVMPGUqTmn9dMhMBjtCF6WnT1W7K8WRvymocUs/N0Nfl61eRpFRh+ RWry01FxrzmIgikybIK/aPUihEnY4tQ+xy2D8sJbhND3zu1LecT4H6/5RzF0xZBj2GgP6RmEs/U+ aM6+Zh881vDDlo9DGsfNtuK10VZsXosrnnVNqqwqu6AqV3aktithFIRSPu5zivbiq2ceTXqTaMSd nTi5E1LYU2JaxPhTZ+cHBicf+tceG7shPyiGbLZ671Gm3NqN8fPaYSs2XvicLHzLYCbZjtfuWjHb 7mwKL+TuXe0IozZsEwxvAkwbKT5MPPitbwkO7Nv7qulUkedowW79fAwno1uSkKdYYL4LYP3SYLpk WKJYChK7iwqj1T9E0fYE8uLSIHbM9HW0NFngeSIW5aYgSU4wBaXEewEjwzoC56ycZjRQQaJayh4l p+D7UBZZvvorkk9uLNzkORTw5mCKPLY4QcgbfrwXUBbT7YNXBFDtAvJnq27fQO7ryDzJ3c87LYgv xrrT5+X9NdM9LCxsT6LsNDX1uNK25nSiktTkuEgL2DQURF0VrDbak8luTEE65jMSLCrukJg8p8pA LUDqxkVGsuV3fbpH5lnyTgviTVY7TaOM2h5QHQJaRq2rerQoQV2f74bRTclQpQw29eem9PFgjftw rLGBeCSD3R8cRrApaNfg1Tl89QEPA8ZKZ4TfKqropnAEWqoKPONZyDSuVJSk4hBBsZt9tSQ1mxo/ xy74yCgPue+Jjpmuc7f4nkR5Kr+p89eq43Sqegak7YOecdIw8JEweucD8dTSBJbwtlZPRahkBp6u VHZnbyXEIb1DqHcakDreLRsGIypkmB2PLpmrfhE751OUveb5zhed+iigmJVLcU9guoXS2dCuvKer 9uLnKacg9aJlAKTZcRbbZTA7zhd7/Z6wXl1gjkpbPYE/h26fSVqtHcgexI6Evi0W5DXdRn1XuvrO BCqu6zQEBBwfiOHg1uoAqPMa7IkRDDxB25wV6KHalkQpq1yawIwb7rqiqiOJ1kHzqEkrLmXX1X9n AhGrwQykpdNnjbslJ3MYJK1K0QalOsc1W4ScIkPe64E07BHft2QDumhbhgPblWArzFIjvadWf95Z QEoy/Nvh7RIKlA22LQmTVpBLqfdr0yG4h2Q1REQWd6HUyxmLslYkUDfy3756kJ21qRligJRqDSXe Eo0uOHcsnvRW7Rnf40lTmQe81FY76E+AEsCrzbx/3ilAvCafMu+qf/X75UR5q0yZshyoxKMTWFp0 9749sqCo43sSpa52GKuG1no7RUv1DH0RWKrVgMXYuRSoj0KhGwTQWLrv2WtW83/0pVbRuvpfldRN B8/q8+ed/lMefXBP2PRv77sp7xksXCrKvdGdLjZQoDVlfblK/0eyd3RwFU6iJBYqPsJy21fblDGx fVrFvKPpbNCNBb2K48OvWN2urC+1q3fyjwKlUvrafPWAMswpuXbUNKIsdm0PKNL1FQLCK+B34g/x hC3EG+/2i0R4X9TPzCaZ4yyxn7UnYR5LqygnlXwpf/JYcIs4RJ5XvncOIclhTevM84B3kLOHPeQf ezKJDbZa0TeWiecBAPp5Z/0Uw2jbnhjHge/bg+w754fTeKIly5SeUkcwKZU6lrNoQNy86nsn/Hzi Se2+etm36DvDKTrfzjyZilGleCVBnIi37Kn9XvaIQZCFmov8i6/27WzclX4dJfrO9ymmmfo5d05u n7pvSJTH0gc4CZfDiWWqYzSF4eQNtGd9Q96zWFIqWbzm5OvFh/JFaENOoO1VvfqlFAplduYgV4Zm +CNGfWcptcpsYF2zgbMz1hjARufnnehTfJh5v5ttTl22IVEOO+k19dXHJSiQgXL4ZtkZ/UdQJ8FE T3x9Z/nwzLyNCaKrrRek1MtSh4F216pEXpMSLAAN5sd6T37yP+8kHxU7Ip7CMNbq70hJSoJOodp+ 3jk+qh3zJ74C/p0eXd8JPuXCTQBKeu+oGFQJgeWiMU5L0ntX5zlKYekFnbQ9DV89hcVPTlwFouvG w0/dEkGipHh635KmSPJO8OH2NAfWVhNp30jST3Hpwudz/bzzexSF0teW0CAUklibEmWwHGvnlKgu 6JukG6xz2DQEaVRqhqpPJ2y5cg7LNtxW25Q5z5mQzochWuVYXRksUAEm5/NBJsm25J3d479oyE7d V2uaoNQ/rSIuP+/kHo7CnX+/Ooya7PqGhC1XCrbpPrdbQc5Ca7sNtb0dPPxO6ymGwKfo6776dpw/ YqpLaJ3AtZjqwY6jHs6YJjziREpL3lk9xXTEBjqkvl6oxpa21cm42887qUe571fPVUzt5SfwO6mn OGG3SRmXmGoya0rQLH2lOmNyrSb0O6fHC9YtCLat/tAn0KYh5A17MgTyOXGVeoGBRf3gqdVnfCf1 FAP2IBLUfLW+SZlG3MDz8Oed01PcAPrm9OJHlufACfPXJlUiqmLNf6cwjkjr923qOwy1PX99J/Xw m1yMKoad+eVpvZ6yciIcCHQNjTk1VnjfqTXK/tQ5ytTeOT3qB7EnyO/bmqxkqZLPpr+8f94pPQpz 8xNL2HvJwWlL4v4rWrL4iGhYcT4U82IobTozgQrcZ7/vnB71SAklWS363B9IDKPfJPYKSIq0KYPo yYLp+y1fviWCCrxzeoopP9KI2r4anCQ3F7YYbMl78krJ8jXrlHAuxZHtSZS9Qr6nzJneStoO0yoy glM8kbyQxZP37NXJUoVZvq03ez0fTpqGnzhZZNfYXBUOX+z8VDlyaHzn89xPpwOtsdV70ciM/zqy /J3OU4we6k162XP+jjvhe2fz8JpMfWD6oZlUS+1RYooy1BCvp1xY+TuZp5jdCi3p5ut9TRpOcDpx QIMXNSZTUmPyd3yCidr071QeDWLAehYJkxafqNZTShVzqDkp2887k8ePwufDyQiD3wD7TuTRi6Xe kcnmAxGYbkNBj0PmYaf8QehTOxI3YbvcpZqvtiNUeltesSjinVt3/nd1GwQzIrN/7H7eSTxsyLkf unF3teiar7nNKSZ/3jk8iq5fWYlaavluSJS8NvF/R+nXR1vejJXQJ1VWvvR6XQbeGTy814oREOlt 9bYaanVyjxNAZwnruTFR5vgsH9EUBCZ+3uk7KtWJqkQBWz2bn7OaAVLvP+/sne/YKuFfevTbR1vv 3B2dnhVVFxvz7XlnW3t3z0rAmFoUeSfuFGM0bcm32WovyEjzRCUQRhgbnzeuy1ecVLJXWal+/ICH PeJfyfxQdwBIgn6WDlNCnUaAXT/vxB2lod5WUxeWurv6CfzO27nNEk4CD6119rspd/Y5a/b51jtt p5iEqSGGHDekTTnbeV5zEJkntK6xNBfMZKJIn3w8CKUG8k7Z0Y5Q2GCUbqvPLVbLlqd1Pdc/M9ck ARLJGmtD3jNXNJ+kYOaKMRR/xUs+kM5ZQGAkye2riTqv2JBvrD99dWjN2d+C8jCzHMSRzS0YpKWk U4VhtFnOmvaQwdwCKBocWl+9vrH6lDqp/LyTdfiN3yrY+LfnVzJvJIlyV9QXz3tczDgNvMByvABQ myqevmQZtSdh61UxBCSXrRduhGsgEvgnkNQm4CteP2iZLsr0fLldXYzad6pOcYvkxdTDVgcBLgPs nRhbf96ZOn54P1NyNlRChLYpUe5alWLNy72uzjeGwXsxA/sGk7DzSvco8TtneKXEGSHAdPKQUbuC yTmKzf4IfrHcgLNp6tvYQsIo7zQdb+ggErd8tSN4yxMdSGetP+8sHRUst8axoZ9aqV73vdN0OHOo 1pqAWA9bn2CSigOPuoCi2pSw8yqA3hZ84OMu3hG8S7JI7nkXzgim1VngZPEwnpZa9kf8I8LWKerS fCzmgFzrT+dA/3ln6Kju++oggWDZtxv9Ts9xLNY5Blu92ohiifLtNIepwWe8exJ1XqeFzpp9deyv Qgh6oIxBRzKdY7q8WQIgQh+Nr1Qt6rwiE3fSVgA6PV96Mfx209E8hdLPOzdHj1Y/MRbxHYUCw6dF 2at03DsjKQWUkRxKXykBDfdbQJIKgPTOzdHXI+SivqFHU7+Ae8BgmLoP1HjeRv5bNB2GOHhP7zXb Q75vCjXYCSVqIhXN2BjhqMLWmVznzzs1pzwWyd4gQB/94m7emTkcGSjRJtNrs7nT+efJ6BMveVez HhVf25Mof+W0ZIqTffWmyQkktZP9ohVz3hh5wcpsiT4MRbLviXLen3dmDreny1jzar4m25NmInUn 3Rg/78QczYG+5qAZb4ubwr6zcngvOYxTVXai6d+el4XeprNEUcvTYfxOylEiLGBFvasHlH0OcjVJ zubMUxjDTpmMQAv9+fWlWZCzPWQQZCGe4N3iqx08pwI3YfRTX/68k3LKI4dYXHsKowafg75TcohB yietAUspIHY/0lPiiVeBokFnq2fyzsh5Tp6lk2fdX+SJzSeR7VO2lejyVGMdc46dPON3fuRhUB3U QwaHMYJcKKv66qVOXgouZ1/Lzzsjpzxur95upE2Y77z8nZBTTEiLGXJXhQOYoF0IgcAEFH+13E0J G7Aa5hQBCcozCD0vCh+7qbcxeSmEnaKXSs1eUi87jLs941/1cCIL1WoD83OoaZKGBMTPOxuHdyzd 8db5D3hSmV+N7UnUg1WnpRZlaOKgT6sEgYZAFp1SBXYq8Tsbx99Q+iLLV28RZAiVna7JScty9tdJ WDbu5OXfVmr/zsQRRlwQgll9TZZ9zWZp7AAFHKaxdd3yz9TJ0Ou3DYnSWIxDOBluG2nMi0iyqgcE gZI/4aLjNJahBX/PVv9yTgm4ShfuldYDA3yA5Ot3yq7yU+w0e8T3+EoNOzpZnq22I6VZpXk+Bl0b jUC/DuKapaZ935EoiRVFPS3N9+Tm0g1KUDAaMXjFzlfn+51/Uxy5BgDTV5+V53FS4CpWNexN6KpG RaHU/7iHyXfinXujcmXJbm/46jvSqyk29L5+3qk3jiJ2KB//sd3XVDsSZbD0/zJVqxNjyzDecG2y lwXwicOq70gIgS3qD4zmq+8IWh7otamtTQy1+RbkOjDbxieA2DftEYNDOMmhpCRfrdU4UPPSD7Uq 1wbZWm+f4ArHqt0zOExgSUq7ZExNIV8N6c7ggteaGWhK64aRqPmaFDRh6Nvqzdc1CmCkJvh8ha4l 6IDe3fNJpwcu3kWkbiF0YCNh3erw1c7gfA54MydJujYYC5dvjMkp3Oc9g3uYv8KcacO9w8o1Ilxq d5nQ7J329ffslf4VgPFFB1ar90zO23JOAtV+tGCTAWWLsz+LqOUWSaT92EOC1pLoY72rnTanSN4O baxcG0Dod/p6SapNkGxHwu4roBB1ctiRWpxXvoo2iR1Bz1u88h5BB4aYSMuOkpvPVwl7IPsuUDB7 I2kLBtfQtTnlbiSRtlSP2q+4rI6WpLuVqt2+nO9h2Q91ssSfHuWuskh/gNLUFjcl6XH7NamyGdZI Gjj7abZVimvjCPBsHfoetV/Vj0582bZ6+7URWs2hpSLKWuQWPHUPAPZfzbVqD/nHJAdgsa9WDGMC JRrfnPunhzSt9ZWnZX4PhfTXdiVkai2Zu0rvXbafxgemKT2nEdd2V1w50aRH2evUxGKKjDMfMs6G mARIQ32T88pI2lBqfeJ0wx50Kqzykh5lr1i8DKmY2mrzrVKFg1E3g2uDAJu+vp0TJuBK2ZZEbC0Q nXuBC01nIzajOKo9NOxNIOik+JwOek9i7ACRdal1sh5uI4fumpsGwfls1GsTxQDYjeq+8T++nagJ a5br0nvB39AMoDfa37z+IOK4NtiR+ZWXkHPldptr/a/c1QSgIPS161e5Mc4xIjkgYR05PWRsCT9g TYI+L8YE/5TVjLF1wuypt6DXw+QuGMpSTV0FTP55bh+cwjJ5xX/NVvt0ajN0RS1TjxZ9OvnTg4Vj MC6cvoc9WKlFQ2AQgq9K5In8TMKm9T+S71eGzz8cw181BBeu5rGSwIAb93kQfCDvrOyb0ufg5Zyy 2KgfTHCPerBV0HHGtbYayiS1PgzVOCbX/gNPb2Xf+XRvatLfs1cgwTBQ0AhoEgUCgFc0+sTOYBBi Kz32pj2J4AM22BxqnIwH6Xm+iFqa6d+fdDM1N5zLrma+H7LSSPaMwZYQReTuZqtvyTBJUGx6uTao hNt/oekxaqqXGdwj+CuQdAqy5pNhCkCpDdTu5uJ47mxr1vcIQEAhYoDNrf4qacbJTgjzmV7b+Xj2 OcfqEICAV5O+f39kQTsYaW4fZSc6i8X/TA9kI2Gqpay+ba6NXpSv3hpn6WzehO1hDstALKdrQp+k zUpvrch1QsRpiiALKFET1kahbS9fHXxDydOXCDqIvi55fIrZgtvB/MhSdwlT9AhBAOMWdnD31avh U7UqUzmfEdf+kwQqDXOJZfnnM6IsVlpFxexpQFZL14M+Y5MK2X/UDb957Ii6sDqLi0oZrX7ytI3+ ehdReGybmCeEJ4my4GrvUTz9Gf8gTg+SGlvtKO6aJYocXrg2SGP7d3Pt/ILmBdSPKI0VZnaOPIzJ NUd69O6JBGDHZ97O5BphE1YnDwmvrZegczKHZi/KeduYifKi4GnPLwxI7I2ysl0fIZMLak4H62+r T//K1j8F85pro4nowwdlSsD0z1O2EYJgQRmhHOeIz5TdGmERoZss0soFwY6oCctDb7X3/Xf+i2L5 Kd81ya0KKCehUKILS5bX8ZfhlA8wcD7g7gGYT+IL+ktaHU7vHauya+baqFf/PcAAoHWHOiPKYpv0 3Et1vYHqcWVLNJv0REb06kqPKIddGulsIcfNlFIKvAycd1EHFvg8tSjHLlPh8ftxLsI8jXtHdCW2 g8airYar6GbkfJ5u6toolFweCldJ0ZOZlW1ImMM2CQ3csi9R3FqjXhaq1qi/rbURJbH8O1uMG1s9 Yyv1PBMe1iePKB31EprSnOdMuBCjuxhYNaVH1ICFYUOjkSR2a/bB/aEU6d0f55Xj4ugt+eolwWkY F5E0wiRWRvRDbectRU6XzMrM25ppioFP1IcTpbGcS9Atiq8+1z5H80pV6vajzYoAEN6mgjlUHYsP 5HPbQwZfjmhcS8oD6yIV+K1VZw9Pro2CyVMV255wztqehDBYCZfgbJ61J0lEYuDjvChVJuOSXNGL EsFgi8Qpznnj6612cG8fRs45J5DI9lkcmwGc4ENsm/aIf8xzYIf5ajSUakrn0lXh2ghPn76TWGC4 Xv6NqAULTMvMEf8DMnE4hnyBOlcDVq7gFlyjBqzMGtMSNNt+cUxQTwo+zv9SyNbQ9h4y1MBLLIMm 6h+Ggapybh9kJshxtyllqNmeQ1iQQAk9ba79ByNUpTNIhDu4GGEGS89Lo2eia+0utcxcwEEESTpR Sff4CzwujQqtt0Mg5TD1TXo1yejz1RBQJBHx8MuVIHL3IH3luMH/zVfbkIRvBf/O2WOuDcrhq1/S DaOFOITtR5y9Cn7TnHLfa3FkY+ouN4fyb/GkJO7AJvFP7urDLdzVhfcFIU0DVpNhcA58kGs8TWnr N46wA6sqltaCrfbVnIBlYnPncq6NOgQOqzDWCYPaO7mYcQt2ODpLtV+ngaLhp4ys5ZpdkONX22TG IlkEEpOta+XBSdPZOFdPtddIwZvq5SLKkASiL5hv2jNGHcfOMSEEwZ1dnNy6a6CNtlrj2mBP2ldX Gu+WdqFrM0peDbg0zI5J8y2wFDzaRqlU0E/0bFUOz7ALmwxVsX310qzWuWWMASb4pJ3SEZWmHZ9O /XhY8e/rISMCF8AUuR9odbbSqBYgzzHLtf9il2tPzs+07msSqhDwcxo6RIlJKzcxuewUtJC8bTJj Bhe5WqvNV2+b7KUa2KblRacxrGHBbs0JzoEmgo7PsAk75V9bpq+6+x7ZHJvK+Zy4NhqWt88RLFHk clEVM8peZbxUVGqLipKa8duK1AM07OrJnBV1lz/qPj3jztdbighLSg/yXPnrhnwL+ktD5N/2EZi2 LyfED3DWDLSBbdXNOybe6jye3zvXBpPhyxlWsjolFnw/nSh/rU3VXnZ+7AT8Kp29hkIv3TX+kA18 M6P8FQgJQPrk60WudWjVIoNmzDZwUuHbMjhflxFRMVbbtIcMPh0FV2pqW3X7hWe1/0OVa4Mh1wUk mf4/5IHhM50Z5q/SHAUrpGJYYlSSrh+SiYGKMsy5tesuAe0CMbJsaMn6yFaCJq1A39kUfpohlbks iJwsYf9bWG1G+asszlqT7Wa7oPpT+FV1pk+mObj2n50k8uYqwQrbk0iFAFwZDorrIpLqo0KwHeK4 wDYpO5lRG9b79Lv7ansC4HVmpMAatkziCCx4XgJv/CIz9AgoJ3vIP2Jsp4trq8PqT14ilFYajWsj GYL8Neli+nQHGDNkcSlbkLKF9mQX5zzmpcgKPScv767NUECrilYuuElKt480aUYtykv50w8OG4gG DZGqX7mz1ttv1CNG1AsKYgSBbbWcpMykwEWDimsj7M1t1i+lsCcyXWLbjFAEzA7yzM0tvGZS7Uvj i/fS7DCqHEi77hLEE1HapuReZnkYkHntNh0JSzxJxrVWz4TH+Qz/1EeaURbbxOahe2ur4V/Py21j mZwb1/4DbKJMEnl7+EO2KSGNi3Z9k3w75067irjIICYzTdzw8izIhiIEaiHlfVfPT07M3gWsDWzq WWRtcKI43w7MrP1Rwd32jH98OvIVttWasGCdvHOyuTZK2W4T1tgFC4quQ2FXlMdKoLVIrYN3emQv dnQWaNJFkFQ4WRGUYAhWQaPB1lsOnyjbu96Tih1uY0tk1AJ0QR7NTm2T1OsKoQRIdzQgdbbapGuV NkzrtVaujYhcd6qjxqNW3h3blLANCwSveZDdEi62TRn9f47/VpjHDnVM0l19cs5tRdQCRr4k5YjB lxCpzaT7r2PKsEeM5LREHB7TV8vo8ey2ymdXro1wSfOrJkaJoN7XJMpju7wVl8CMEh9dLruGj4dp JDHC8D0J0QTCew51C4zLqj4BZiBJ+RnCKLttM8mYoo95glKv5Gvm9lEviYbjKttXi7JImCsknI+S a6NE9muCgcUVxo+2JyGdi6+7bUm56E/F/K8ZPgzDk29E95WfrBAJK7hnVqjNdxQFkmGdws88WMcJ UDp35FoDLjx/cWXVKlhxK7ap+qu+WvuuNnOYOt/l5toIrPWFOpHt9RVvjPJY5a2JXuUw6VcC2X/0 gyt5WyD067T22grpXOLc/w+wFkzkU7EitAEeNg9zl6l0c4HWSPTB44nSkxWBCUiAR2XfbHUGBvIM +lPKXBu1YfOn3mFAfCkpK5QioHjXkUYsKVcryewZFEvQebIAG0sRDI0l7uoB9tR1aE4xNj8xMlEF LeToaHtbwX6NHUT6W6GUFniTKvmbOj8Iclp2ytz64NpILPlbHZg50pXwWLH8K6dvmsYYplbNNg1d 5ugmY1RJGCbdJcrX1GFrxVcfcp2DEpc+4dcqmqcy8SVaMbPQUMcR5MUf8i8+9ZZqlOyQdMxPbNHO n07O07j2/8QePsfwuB9O3IXlBEC8pko0Sgr2TECrBOT/I4ZR8VpnhUDYzsivm5T0I8+A2nhRDQym 7yQT8nveil6qxNJTFROTuX10EJOWaNal1duOzcauJ8fdXPv+4aD+46rr6hRIp/LuSkjnmuKuVlNl QLduuBC9DUaBWpDi2JsS92IFrRBWYFxwZqkdG7st948FCV8RtmmQxFzyeVGgZOjuERqWAcapsX21 VgEC42rX91W5NpgQX96fDuTzQdCRsi2Jklj4/Bk3uqtF4Kaip9hxYjHju//P2HllSY4zWfo91hKn DrSY/S9s8F0z0L3qp2X2C7p6pslkwCFMXOGylisMYqV8yna10SP7swDrkA8VxTFwoRSmkQSCLDbr 4/8nTWRe/0dKirFEL7a0gCowjGsZPBtcxFd9XWePlKJvELvfg9hyLgzwFWObNi5g5uZIgq5GIFrb VCe1TnbI5xKyYkuHbj/0i1lgwyLHBka4I0sng2sqj1uImJsVEwnp9UG6g+IyUks+WpkNYJyxDWrn 2UihYX7tHpQy1k13dhjEZln+dm/oIMxiUzHqcHfrqTNRkxJhCVzDUXfxeoDTmVYXy4Bax3kNu5uy PVxLyh1gIuzqkVYGrw/KJ7Bmu6x1NVrwesI+pZvn7Jw8G1w9V/GFjU9/lhzV5iQMY9loTdmxMYm7 29ttk2bgHydXtzkJw1jBkmBp2XiRfYQkpUjJI5mRw1YzEUjUeDBsU+DPHQWx9JsGGZ+PNiNQIxRh nPOOZyNNgvyZEYqXz86JYtgESGS1p2+uqxjrgnxtrfu+EJwdQglS1yU8fXQoeQP5PUX6Iy8pcnAW hZVmRKufq1h1gh2FsIhko7CWfDS8Ca68Vp9Om2cjcH26xVjBfT/gih26F3C1pFzvCVvqvpIEe1/h 4HLnJAbE9jci5D6z2WkZN+rUE4F+CAfQUZMLspudHTcJbw+iE2prjezIRpsSdO8dS155NjhgU/2E sCb0eRVwdhTEOrquXHuyqx51jlU+VFACdeM1JyGUoIuVkqaPt55UceGaUl0roL+nwlygWllT4hBh aSnvqBCbJFW41CRet0l8TgSZzcG/XzwbqZusTxBboWnl2zffEZJAhUosI6zE1uv02FUCR/KzTujS 2lESIQlkEih1ORu9AbjyCYARCDk/7vlfzOqO3CGjVs554sbN0lnl9X+QfFlKeDTa66+8Gx5CPBtV Yi9VR+hPvLwcJLxDTQLEF4vbwG+5jKpuUlxxGk0CLybtGEtgQNjk44UIIzQhC7s+Tzwv2dFF/y0j VmKSBPOT6+wIS0CPZTTcMGy0GJZiktWm6+DZSA32S3Ibt3uWvM1IWIjF1VldI/FS7kG7JcphggQS s+h6x5+E2amP2HjLa+tcFYjq0CQ+k1MlBasYgeP1I5MkHbodxq/T9E2mj1asX8VoKWc169mIbODJ X/UbeFwAzo7i16EWi80IhgYKDymVGL1EhgZtucPDjsAEIt3nZm3Rh4BByTHLkhkSZF8Yyp4Lh8oO F1tTqGbafK3ZRwahGjfOaELutE8V1sqj8KwWz/6t4ihwPcWo9JgahGgCWqIjC12Pr3NyHxRM7aFe VJHVkoGmjYz7vlKyjs7qo2O1KC0uzUDHnoJusjPNSa0wWX+WSvfPfJ8XsQ0mKcgZ6WwrK167FnW+ OA31dAQnb5/VokSLQo3PzHsUe0J7wcnZbJ2bR2TxquaO9AUpG2xpCEhiOsW6Wmafc0cP2U6aTXlw uCAO5BkEOxUKwbd+8ORTZXveH8yMlC0lNa3RARZSFKQhiOF5igLZfbEWknA1Ac5+JyYKZbP0Gkr3 Kzn1eTuBaj0KWYBEgrkdphAXaxJs4iDsp0y9kBrsU74xs6MAQXwPOCDTKwEzdmttyo55f9RJJ0ap QvnVfVnnJ4DKFuC3rocDgF/Zn3u5IWMxrnJ9iiLaIccdaE+6e3DAsVywmxcvdPknxM8p9ONSYem/ h+6JZlmBaVr5vqMNS1lW0A5Si3JPGHgf9v7gHkryNwBErdFB1K1Yo+e8Tg8H5+7YXzsJ2uJ+NlIU 1oK8yRP1Vh0xc1gIi3BidsDSIG/2IyaqzQ4BQavoGXU/wg0jicAjGJcMiTl5xWXG9U5G8K6vLLq1 Trr390ueTnyV/vBV6lmZ29wxESZPIcogfwNCqYcKPuAzEwW36iVW4jcpn0DCN04ge0AzU4cQUDYz f9aLnbIKmY9VyEmPKyk+h+86RwN0hyV0a6G8MttjMzv39M+MmDwkCIY3qN1rNudXFVsbe+OmhwMH lfqloZtVQyrPxISFWiEhyi6eCUHq8SNGVBbABmU5qprXBJf1TuqVTh9v2H+i/UzBC3pWKfL5W4sN k7RkxiOkO6Z/ZqSoxBEzpUY2ryIChmt2ds5tH/c3FqmdkFmMGZ+YULZAKAxTqFtCHDifJ0/JFtAD Sq3eMyYs1wqAMdMd74rZgxNXINFR5NO8pPohJ+I8r7xFkXC/3h9E/+qH0bpjTFbDFraafwnssx7+ G9hNOrNdFbbnVgrjXYom02TJlkzovOjUjMnDXdivnl9OkX5BTWbeNXy8Vafz7N63FIcxPCVbuEeS 9UKrceYvq8wUK8hK80OOs/sqyJ6Ixq1izzrSw9Fe+gJZD+qiz7SEVdst9+q2vH2avKRdZAmVJWJQ 8nMpxQYIVlT4l0XTiRFPbDil13YWfacRpCiGNZ1dCtOyRbN54/2RHiZsJ/4eG31BLlM15hTUw1Fr LH2yI8QqwCn5xIR2XrKKtBxAKnbFSy05XaFupPlstQRuXufO6PKFeEa/k9bJCLiK7K4mReKuluAk oqTrI8RlBiKBndf5wTGZ6eUZPWcc3cT5zgv0cNQg+6bGLdnW3lmJSrdNQmuj36zR0V5LDTnTd1CJ v9tLIl6p5DBF3/gk0ufc7Ry7BpCcCIyI2IE2JdXsR/TfnKtiOy9QxS1L9T/fMuWJks2liS6vHg4C 3o8lEdE9S+WWoHJg6IVvrzi2ni9mjkmvQckRiRpUNTc4LZZQUFYsBfNh2hc4USX9mLCMPrdRpqYA n0X23nLLaB/smwV2oacXa5i8QvazOuAEzJC7PIduHXo48sy4yaO5i5ZfZIV9YkJFLoVZFt0ap+Xy bBVAUegnybkTE0a8AsmW1nz0UlSBrk7FCVuvKX8MrESmVSxV0/bm4cj+mRE5e2OHINicwZnUPczm KHlO/KyH/3JN6+vNLvpm1aGxl/ozCQ2WJkA6xydaVOdnac39Z5I46+SOgbOXix+eld189BWDFaZ6 Tpg/gnTCGK9CVpxy6f2Q5aSBkUNzryVvBGopNjoeoa1mtX//uKCFeKn8KnCzHfdzvEQas5LdbtMM NbdOMStKyR1Ah7dqud1eEt1FQsDpeMnP8ZJOVHSmeW9d0TPRKDyJIz+YNtd68E3ASe39EcBJLlaz +GiJI+aoij3OgtfDka5d+2CwmRWSap+VqKALLjMjamkabi0XJ64vrlbx+levLvaXQ4evJfg1qoA2 +h1K170UJdTEdlMGNLhzULxY46NYPat/ZVBo4IpWB8HG5HVcwcVIbbceDs7d8tS5MwmxvN3vvITB Lri/Qe5PfwwKbbfEsXYxXVSx2Nf4LHD5gtosNly648U5jY5TOJf0yQEwoCF2EXwVCAhtYoV0hZDJ PjNiVyJ0gE65jVbH3GmYH9xM9nCwXu65a01ZrZl8JyaKdenRnMtLYlwCv0rpgIlNronIbVvuxIQo W6NW/od1uikvIJ8HaIPEHMnME8Usuv9N5A7XfzCbvNDpa6hVVJ/Rz5S2jJd/ZlkPR834cWH79Iq6 XGbuvETBrnoiCcdESxvXNPuVgs+dRXXbnK91H8XBro4WEZBze4LdNqll1i2RnYVIH5UGac0Tc6I8 5mzcPP0zIz1EATfG8NF7aGd2tRPOVtfDQQ5wa5kKSilmFghuNjOh4xfdnvNOKkXc1Cegc+1MGMZC /tRShKKUJ2nIGlv1f72eJR4/5IUu6BMapVL3lhAERfdnxaT7lVFHgH4rZQXGYRHMOotQoVkvrJjA 9QvO2dd9VOgHXWxpDn2/pJs5uyC1Kk3t6aoHFLF1wqAtfqclRtzOF1bDHEhbLsEGEbKXzqp7tdKE H89Okii43h9pQUisCtxgu7jBjsigsAr0o/VwRLv8km6mFNyfwC62/5JYVRdOf8nhfqlEhdJCdsD6 yI7iz4H/F1A2qaTIyTZdhFLB63gWEFaal7Oz6ApQnlIvzPwVZR2v8FrvDwK7JkaQxA+2ix905GAN AVVH08MRiGN+ZY0UOR77+ByagPHr7eVlbnEBDbSw6r6ghScRCDzAPn7H/7adxKbiRNBb1nn0BKgx KLkuyIv2j5yK9Bf0/qAh3fdXjcETAQQXBDtHKUwPR/yG8hXWUWV+PFtDFzBvdKneLS+FNHxepKrs XgqP43GIvq2SfABKmHt/ynXnu2dD8e7c9iCsrM4pZ3jO3uxlTCR6/CuDaZHxPKmNjcmLl80QtG1O PRwhktcnDdA93cazjULwAg8Ns7nSfw3rCJyjalvORDXS8aY59ANDtIheQPbRT92KB3JvBuivOm/X VoUVfesi8Hr7PnbjgFc0B5lBz2sGPa65LdGFHg7WyxUIZGK2Sil3WqJ4FxNuDGW3Y6FkPC4AQ8vO ERJB0KcllPSSrGpbd7w43IRPlHqOOJN3LRe1towM6QYCUizR26N2/ZkUJOR8vOGuGfqcfGDo4ajM kG/0Qif2nDHl2URRtAsxdBf3I9nXFjsbdt5sFdq+W+iPvgo5qZGXHic92kUd/Xwai2jOS0kSDUZJ 1sujxcp0wszl0BKMKwz9nunjTY2K/qmzUKoeDhLG+aX9Bhjqaibm0BMM64fd0vLjluBdczJcb7P0 rI59t5dEvO7+v/H/OaIywqLJ+XWJBMvUrRC5HU//VeIXensErpSzoDz1LiAAjlCxflhOUw9H/P8L m5O4Cjz7+kxKFOWKyNR7vpAoswKTKYlDcnG3f26hmE4mrSZ1YR8ZVi7PEyWesEVQ4vPLWtBC9M+P oVNl2i1Uu39mBE/mWq4Goevef23Qxh8H1xwag830hSekqH/yM5+X0BlMck2DGDzrtC3LpdDV4REu qo7tiiI5tgYzwu64472FcOsjjD7pYsq0TaRgLEJ5+/3SzJeeZA7NwdgfY6E1YKP1jM7VnOyEGVMP R/q0+asYhTvn5e3m0B5sq5QI/yA/SteqLhTu2KJewL6iTTnwBzs5J0Bc/E99vNDTyVktqh1yeKOb UlwyrXaICtYAQE/DXh9QYzAbPMHO9lGrpcxuKNdz9nU9HHTr1zeKjtLGE+LGJmEUE1Lt7fe6qn8c Fq5wU71y1zl0CSOmOoeIIP71gfgjcwa7TF6dee4qKw4Zy4CTouV2qy59+WdGu0hKgYYd87sfXbmt fws9RT0cyRRdeRFwdHQpnpQotAqj43LWqM/G2aE5u0aCSNREL22VZ7mEMe5WMWoPH30XnTi/yc+R YlQdZMJrCSwij6wH5o+bnb0+IoSgtAne0UbvvBaDF57/0fRwkCl+anS0pM8uyrddFNuFEcpNikTa RdPaIPgsmGEnPgtpuKhxDvzCAF8Y17v56Pdz6WP1JYzhOu/hUqNGJ6BSRiP3qsGBF7X3B+1FQeHA Otpoh26WBL3+sKGH/xLjslwkrAikxScmxDCIBpetmC1hh2RaCRMiJKduHcr4bWIisQRNTKpSwatX 7hnxszErSvTkRBuor+xbTFTM0B2XtNr9M4PIZas5UpqPDpNaMlY5L6C0EDiHUdT96hpRMSzPZRTK fZlDRr7yK2aRjL9AdpOoE5WVebdRhGCAOnF2oHxe87o13ZnFlkHvq590blhlAQxlxtU+C4Jpdmq+ XkLJL4L+DkvMRmum0WDQPjpXvR6O9AEe8zC0ZtYvC8jnJVSula2JCYFLMqFb7H+yt2TcCEp0+V7S MYBBwYvkiVZ6lgvye7hxCPgPS1G6XyiAUIZRk8dbRmn5Zwb7SAcuwZiNej83kymtDkp0gYGYiZJ5 oEtl4aTx9fYYQ/8wGQCzZ00efAAFyoIvgAxQJWqoaWzzEsW6y0zo1/TxNqTzuYGGWmkTvG5OMqE/ mwVWIUpVT+my+2dGDNYtg47qo9d0qQbpwqb5GpqIjVw+54saAHk+pcvQR6xVSZSg2ybh9KbLR0AX VE2Zoy0/TpuZONzdygOrjzfcHQjpGfEMxhV2noZVI9tS78F5Eft+ZnTASLin3dHq+UW1YZqMe+rh 4KK+K0bXaZNyzz1iQi8xRB+3GbhUOftazQVTX08YZ714l8BKDGdQSQkSv+R94xe0BCv0WBE3Cb7m FSeRdO5+bPia3ClyYCWW5EMJv6r6aPd0Oj+7mkYnUdLDf9lHikm5Ye/xEnqJTZHGujcYVdkx/EK/ /hQrJU8YQysx1VvymHf0vkjBCpfQ52QA57qauqvYO2qegHN7RGqWf2SkFqDalVSP+74qNR2xJB26 rejh4C76Fm3V2bBuxhi6iUnkaVgejUCyXUEAGGQHLQBDftAugZ2Y0dfhVhQfb18knelNZtyB7WRS RknBg2TiuYpG2/6REWZMtYVxRztasEbRXVJ21cORiMKFL6grQuaXH4h34CjmluNdqCdRApT9IKWX mvQmBI4Sb4SjJbYU0/UsNRozELf1ggMQiuOkRlR2zYadncYh8qAv/SoKHMWsRnCuA9TUV314kCMl K1uOsfXwXyR+jXIlU7lnWiLAriqwW6rgegBDGrpocpAqyoyo8llEF3uKqbIga9z0WOPSNStnQcj4 piV+XQhGAs2Ix0Tb1SM630VhqCsvduwFbbQu2pqCRJ57c1Y9HDEC0tdVJB+ip24Z+oq1LH1GF1RQ e9a8GdYVZ4FJYhFdYCuWzIw98Qfb6JiOhS4+enQNm8JFHH9ubZqgAykpGeTWrxs6tBaD2DdQYfHx 3nPLpmVTogu9xSTh9xwuVAkfhGFoLgapwUQVLnrB2tLlSilwLKbbjA7cxbKXXf7bjJ6LZk7RRTQT 3MgsrC6yOhQWYDZceaPknxksF4mCEWTa6NWWE4mWJ9INHcbKBQEZqoN0hpKZz0woDybkLLjyKtyY VbfRIBAS7x/xDiUy1+01ASRVOnJFEtGl3/L/mYOEfhzHC1c19ewl55QszFh/jLen3D5zYDQGfxFx BXp1NtrMnDkyeNNcWw9Hle72lQOcWwvqpObl5zVuqfn/bS4YLgpDL2SJXAi9UHUHnY8nFLON9Bq3 VFqLUo+iaKDR0fqc5o1oqtG/kBkVSbPuaEp1QLvLR/npNWypZDaQoCH92eiLZYmRSx+KR18mpAIW VFLE/6cqEfQL96+kcl6DlgqcA781KmDyK5xD5M2sLjTKi+LLQ27XDgomRO58BbCGjc+5ws0jVZJ9 rg81GZIqpcBWpIRsE+LA5dcJSeK2DEFxbLQ8sfRlFIBC1fJ1QsCwdp8Qsz89rzgnpybkNV6p90jp UuKUaraLUhapGqFJAo5kW1v+NVqpLh9eEAy00SYEN9ia2BJtQAYyv7pG7wz5yVT/XZh7DVaqHQgn WwO8Ogyno7N1WMsMDY6f11ClPqXt8zv9miwM1iuajtcwpdpNB7WyeC3B8LkIe5vlNrL7++LDXoMU 5pRKAqx4Hz144x1DWuzntwSMqjLMLoZRkALytxrla5DChgFcOUCtwlucpmfcMNfR5PTV889rjKI/ L9mEmIXHuYbv+ngNUNgwTVzTcukhzYnyJefu7NaVHvPGYH2A3zmxpbbNXA/Sn4Mp85M07PnScGkO CQdBEX1OkCWZtNfopBKm88OmuX20AgKG12KUdRw+g/nwCL9KcB9bBqm4aUJeI5OKugQBfnc5o7NS 0nLxkS3MHMoQwHS1Ql4jExYmkgH/s0JOLozJBXr7o+k0lIDLzlZrErXV0BoiNf+8xiX8ZhI06kL4 dOfKkPRMK6GVvn9eoxIWSMtfJwgq2RnRR1Mqf50RCAUE9LPYSZo70m66WtI2k7XzU1KKN7n9aEZI BCtdexu9c7jl2NGltgPYX4nilgY1ie4jy0JNky8MtgyHx3+91vYJCAxu3XL+eQ1HqgHIbEKo64t2 OH0+XmMRVggtYVPWJ4nr04sFkiNWsaBP7y6/xiHV8aUFTJ2Nmg1gqyf2q0Ijd8JMkSG2kiHXlagf BshrDMJMi56wsAjW6MF8b+b2RHn2NQLhs/q9cM//JdYUedhUvJbamAoSd8G0mIox52V+zKuyb3QZ ZIyCw1R+9EW6k+XRnURWf9VFFt/gfJyNT5s94wWl9hvB20XCyZb+tcrGnyTojtxu3GBc1UeZtWk2 xs9rjY1TzXob1TSgoakPPzte62vcz+ynvm6lulcXM+JucN/XQpXIePFR9EGgVUDT2uiX7TyZeOEo bOjXSjOA7mCXMOwv0IxLhOkSYom2ithBTeyg9rCDwKeYwsbg0ehyGZ/VQUmPc8iisSg+HUVOl1LV kM1ac3hKIRVHYR6Qo6srvlODqitOFqn6lkfVtw4ihAKNAEFROCs0wAaV2KUm1EdxsmX7yGDDQD2U up+NthnhGumO2E3PBjtmtq85Oceh+l8Gcg7mRMZaCbcelafTEL+b6rZsHv9RKiY1QSFrwzlRdAq8 VuMFHC9AXjQFMbLbQ0QP4OYZX5b1KSGZf8k7M4hlonL9kmfHWrfYaL6NrnP1TgyqT0/QghBdG5yR NilRmCqJ9SSKmsIQUfUVhhSuT8He+rpw4yhO3TYdJAoab3p3EtZt6Z1FrOIlZgm+ZOAzHoZMo0tF gWofwusLUruv68BCFMlEnToQyWBOjA6oOSEZwSkND2vNSRSrNiWgtbsxsGEO6XvNVKz8WEe9p8k7 I4gtmISFIvHV6KEISB1JNSJr0CGtc8nwyxWBjMeDeMsGwoiymSwhwdV8tFjkbG/DjZ7b7eedD8SB cu9e1gucM1P1tTJ4EIxIlnGubFLY57/43zlROmV0adhO65Gq/hpMirnD6I/W6KX6PkUbm+bsIkmK cynJRnIKl2KV+q5w9Z0KpPOEyQCZZ6PtHTTYTThP1ddonZT6WSdSQyfttSkJI9YltejhCOMq02DB DLa81YgqQbvYIfsesnJUK3rHYU2jTclZYcgbi9rRl6MQZDiGrgRd3muHNOwTgxA+KYSnLqLRIClt Tk3POTDqzzsNqD56AHac0A/ImMHalLyHrAbbpUxx0zwM6oz0juWLtYyXVgllgChmHQhHALf18XZG 88kFqlCRE2o3QrciKmSgFCAA7TgBT6pvfJ8TdDBH59ay0a6dms2ND4vAn3cOEMtk+DIxSodagM3n JApbpWycheeWviJ5t/lXFrfGramuW6GPIlfpKxIv+Oh3cTvnRMa18uQ152hNgqwM02QYjkM3DKDR dN+DV5pOeLAT3dloJYCBiCt/1glQf975P9VwMpoUHai4OtWb7L3Tf7gxCE2mGWxzsJRhBgS1GZhY tQ2V6jlOohh2WCBPrV/jc5zQHhb+bw78TMTlTQbw/hWt2zX2JXf1zv5R4KVKK2Lh/SPhn12SDhW9 n3fyD39g+bqMJbi170KJolh6FhSKqvmYtHVVnze2xCaJjRVI15yEYawsPdEztfFWFU/YmeFAkOIk lC+oCXT9Cub/dns5EkV7J/7cOVkovNloKd+qpu50/mv9vPN+FNx8nyjmj+BVtCiQhWi51S+wRGc4 enY/OZ8ZVlBEC8NYjDAKs2mjbXgg5Whhk5bQcDnnLA0RbA66ouenLM/W0ye+Xzvy8jyLdfhoeCWc 3S0JbuPnnfBTDUv13MQA7JLX0d7pPtqoZH7L4rW9khO6dy1+vp7dOH1C3mNYLAcska4+ep+iELYq 9UO8aEBfOzFsFSKHHu3jmiz74593qk91pePOmrXRQpO9p/6oel728870+delw2ehrn9znXeij0or IGW1FjlKhpQBVDhSe5AOaC3Vz9d3no/SYbYNtmE2elx/8mjaElu2NCdlXohmVCBa5azd+XHhk43A zzvNpzot7CTT00dbJAiPK1w7wcPPO8unPhgl2zZDhUGfkiiC5ZtQKtheOaqK4DGdww66ip3AUpVc 0TvHRwkTpaM87+gJcV/giShij5MGYiv9C5JaespUHh4Hk6aT5J3i43/WTBD/bPR6iam8nyfm+nln +PCbr/01JTQqKRfapEQRrPi7aWqd8F+C80p3BlSRtftG94rrO8Hn5n9nPSUf/cope3apZbV+LqWC KNW5AQomIu13PtAkgDA/7+weZgQu+5m15qPePc+ONAOS3crPO7mHGbmJjn5N0e39vnmn9lTTJd2k bnaUVIdW7JTWU0RywtM7r4c8fCnnU+nkIh7o7AOjRh/vBFv5rMYsl5sqOUts/vIjT6Sw5J3WU02c hCZY9tGhyaVUw2qt9vPO6qmmaaoZ4UShgtVvE+ud1VPdPleSdjpUCQot89sCh5H5QXCzGvQ7qUd1 SiskTR+9Kq8u5pDhwMhGyjhHCfpDAwBB/eCpVWZ8Z/Wo9EGXUwqaGj1+3d7rPFfCzzupp7pr+C2b iJ8sBL4mJYpfZZt4lmD2WpIa7LT2qmm1qhUwvDn+zurhl0T0DMUKH2/lVesb559zKZ3YD+miAmad fYqf0JPnbPvG4A4GzbegeNgoiDlgcxM+a8zJe/jKMbduTH8eorC6PXp95/TolkI1qcn3lf8iSkae qcjQRGmxzkVbJ+/RaxIF/iwA/uT6mNxQXzv37bCQvq1GOwjlt/aLAnD58i4RWuCd1ONVQwBr2Ue7 cc432/E6mJL34BXm+u1W8D+SwV19TsIarLQmrWpERUFHuipJkhUTcf3R1n9n9DwFAsoMNnr0moq8 YrrAnwmFL+YMPg1UmfnJcmTW+M7n0WlFqFalHlhdQ7mmzDz/OrT8nc7DEhvtMydoxQF0tZ5nHLyy OJB7Y3Gcrcbxz43TU73LhBUqFNI7mUfhq7LhZ/TwtZ8AesIBxUL6xOLKhtmlW85gz2GiKv07lUdN YFACal3M6fXGms7hbRXDc6f9vDN5qvFGPjXYs1cpFNqMhNEriIm55HwLdBZ9CaMg4PalwGQiT6CS yTuPR5V6loditfrEatBrM4p6XU4d+FCo88WfLqQR5JHH8uedxKOCqJ2ry0d797nFpzlSnDPuncOj O9iDVx6CGAZ7xv65KHgVg7Sta6nd9riRGmAPY6nv6yH2zuCpbkkoirWNXjCpAI+a5GROFLu7iBuU 1JBuKh/VFPpIP+/0HeU33Ddy4tZo4fzC91Wha+8/7+wdna3za0ZQ471Z8Dt5hxNgSjc+3cZ4Ktbc OrtneWN8YE2oc+Sdu1Nd/6LKn02j/YwNHEkh9z13sCzW5CBcZcwst5SPOfCwj/xD62JwnJ3TGhYH /8I5n1YVweGk1OXnnbtTnWp+kz4S73oPkjB0HdJiGuNW6Uf1ORHXWVX6vb33+U7c4cfQhhF8vD7w cS4cPltXcEYSpGsVdUQWTur0lEokCPJO2qkmqnreo6NnPer0Sa12kVz0XdG2+e7lNBNTswl5D129 /TOk0idZHdFhlPOJtCI08F4eqL0TdjQhVipJPt5zpJ5AHZHtdiajFfPKRX08SzxVOEbr5axpHxkE r7jQd8InG23jpOECkOfVP+90HZVKvhIcEjk5NGpOouCVqimxo2Neu+F/h+y7OVG3BBSyJX3vbB1V JIoct5aPdpRgpkGlnzwYf8YsvXQkJPE9/dVrhzuNd/vIP0QlOIv7aOvkbCQrH53j+uedq/Pfnp/5 ZRfvk79zdZQJt6sByP250mVfr1s/Gtk7Oe9EHa9vntCFyFAdtbO/OV3Ri2mcqcTznfIr8TyRoWRc TFXf+hbSRnkn6tzWcMeLyEatEwrLxic5adTPO0/nX4kwP5W0lOetIb0TddTgYj25R4eKi5b4Sf3f Er90JyUsvaqcJoV+jZ4HcwFXiIWUbxGPAWkj5R4F+fQuvKjGj//zTtJ5zpMsX/rsNbvzW6KUrPOk 6dP+cumoOJB0iXjF5J2hcwOTIfar6SNO47rVXIYT0mnw+qREtddZrBK9fPQi0upnJpaobvPc60VG YwXLAoqXVOPu5rFgLaq9iqE/ACPaaBWT7nbVeF38vNNz9GlPpZFe2AAt7mnOOzuHq5gwvYMG1Yky rKDEibIBqVCkryTW0nZ+p+foROnqlVcfHc1NEsyFIxptGhS2oeoDQd/zF4P3p/ya7SPfJ0XTsemg MtqPjqmSEO1EYPPnnZ1TTZTo6foRnqBZbXMSogiadLykM2VuZ9g1LlQSzo3RVSzATdrmJIpgZcFQ ervjLdJTlKacRf53ghyZIBWKMFLpp8ttcyJNmp93dg6vBzpPR8jH5HMiay+058bPOzmnPnYu1cVz zm18i/Tv3BzW5RKC8SqtptEvURQ6sfHQEcHUbfxOzeEtTStkDh+9EQoAs3NwN3K2bFVp/v7C9b++ VAtyto8MAnuQFV0UN43eHc5GMKCN+/NOzfkuERi8k9qCB/bvvJzb4KqW+3ElyBDGGPlyIiQUaNJ0 SHrLn66eIUzSeDBJaDhA96VEgPBkkrKxsk2Aq1MKMU7MafaRwW1sboTMyXzm5ExKU4/qzGv5eafl 6Nn9Ce2LKLHNb+N3Vo7y7y4QwbwgAhWVSP+0c5X+wSVSKemdlfOkf/gm2vgslHbuXNUI6okONClF ZX3jMeMQYLdxt2+MEkDSnfaM1jLnKbYpYPSfd0pOfbyBrQirP7Ome6BEVVjNAQK6yvbOQlE/C/J5 B5Txj6KI7ebA75QcFTtVRbK6yLrltYRd8gAudm7OkzTspuXEHJFn3gRwK7Z/p+NU918fKnmMfPs5 q+xu8LMBDvg9jiXXKV9laVhY7QKjozhW7Pu0600ASX+tkLSvrvX5i/wqfufiVFf7Rl7KR985G82g LkgSiIKtThgA5SnPyk+20+wTA/ANRJwxBBgfV413NhNTYzPo2ejO+YrsRSxI5R4mURQrIdlcigt8 56ZC0oSY7VW2msTv0ZSEcaz2TVW8Xi907WwbVNUaAEeIJ0VKxkUOiaCUHgMxWU+8E3CqubCcOwsx u3kNZc+MYCukXKevn3f+TTU17OcWVszBJWszEgJgeWYuR3fmaTbjQ8ri5o7Uxq6eEbcYA6v6wCo+ 3u7FPAPVsBOanDBuSUtTgCcs0LtRCrZ5R/Dy4BLGGHh08U26803USOh2j67Ks0G01scHZwLb8dk1 YQDL0dAvPUscIlPH3CJBiAdKtGn3TVR+TUWYm3ZHb/lRNca3glrj3lLJ24p3lIGRcDz63v6RwX3D TbPghdtod/DJF7KBB+zTgs5wubGaobmaYkSjFPwpgBUW06jUt8O19nQqtYPXzhrp7+Hr7YIKJ10/ OOlFa7tkAT5pcFWhGVDmRpHrt4hebkeJBCB7TNNaOkSaj3bdlOzSc+cLefavgE/aMTSR/AbuYQGW GVleSNtSthe5XCkEMzKsNN31jqiVo1K00PjzCrS1lQYCqh290UIjREbKW0ZLZ7POVT5HybRPDAL6 NmVfc0f9uHMXoyUjj8OzQaBW81e9ccAZuTMSBq8qJRltT8Z0yf6rFqsy4h6GioCK9D2qwEr7pQC8 stF7fm1ggKuNA8dAbkNJAgxJwJOv8pp/ZLBxCOX7GHe0K/hkITq0ziztnx6TtfZX44LfodRxd07I 1yIk7dLBkGLFUCcYWXwI7I1ZSc1YKP2nR+ErooPnhxMpp18fz7PNezYScBvYTCiOl9NcAWALgOkS YhWY9Ch8JbiaJxe7oyNvelXYhk/gT4+KsO6+50K0+1cYcE1JxNjiHNlEUDn9oyygCIoFi1dkx07I NoQk11v+uFBK8dGvnLN7J5eDIvqzA6T4SBSSpzK/8WweabP1qA675AA1RULRbczrE1gQVajPScWz wZSML8gaUKsskSBNShS9spz2zfz2zA5y3NN9j6iSNYtde0zaIg2W4Et5BF8qk3DiXqyjxokfqgTZ ZLxeqGXw+13vJ9pNvD64h+lc9CwDkpyfvQNE69cE2ng2wGfN/qmaqBo1vJLUo/AVEjjNyoviEzNf xE+U9qpQfF3tcv7huAwrChvyKBp95/RCbTqJTXtuy21m9KSR48TLsz0+yYYL7lEZVnYS54LPPur1 Z0cm9ysak2eDM3Z/hWtFIG0vTfcofuVW4GRtUgFi65sIAxzps0bF7Uvnp2makwhCkISoR7rRRmcZ nLUBalJa7y3BNnKNWdM0f1BrcDT1jcGUYJmwIIjZ6FNyVqAF6nPxbMTQ8frAFMtgG9HS5iRCwLL5 c5Y1B8dJrirXczhPMds2NeC5rFzfIwxBN1gF+JtqLqxFrQ8k5EF4NfzKC3aTJ4KlJOFmxE+fC5g0 r4+ikyoQ3/DRG4vF4tnS2+bZKKzvn3uH0uHsjiHoYRDLPBpoQ1DpVB2iVUxBlq7lGg4f71EVdonT VoRJKg8mKWPamdDAozS9dJBu2T2xemRc9sxJt498P0+oyVDa7j5aqnOSKPMJH6nxbFQ0KV+tLjGS xq0QjCiKnXQ5i+V8EFiUilFybNT5WD3nsEwu8ziiMiwojF2nun/zdv8ovOBQM4SsWEhrcOJw+HPI ItTvV/FUvX6EYWzTgZKyj3YVL/Cjv6KIF54NqKDLJyVb3xyDBm9hjCiOVbNLCoaqmkxr+6F6X2U2 CB1FEtJcxiMuwyo+EVjv+kg2etutpirQ5/m/GDJrSSA1kav4hdN/T1l5r4+QzIXN05TS2HyUxs4y WV5KaoNnozKsR7IqKYFAPWG7T0qIhAVq1CTNI6O0srbngJB8OHrBZ2/bPiOqw0rXpZLj3jLBAKl1 /hu6H5OiTahLW9K8EENpUHkPAyl73v4HRJ9kRGy0FBB8heXHNfNscKL076boiRTG7euMkMsFRgVf HS9NmwMWbUsxDk134DaKRxTHrta1a7ZGGRZwYyVMRpL6OsgaNWWKpC9VoqW3Lg3FnHf/CUoABsBG h1dsI3mge8Gzf2OV/0rbAgkOj+xHFMaKB5tMc40Zqc+MTNdhw/TRs+IRhbFTOeBWYXo/henKbbmB 4pIwUINtrheVSaF46CJhVZgeIRJ2apUYUAtlXGmWJMGx+VPPmuPhaJl8XTwwG8bFJY24CCtt++xU rlGSKTDjKGJqHeePwwlJyyQGw5qDe/PRO10AClaWAOg5VkoxR3KZQ8L1yJ/qydj2kUESKKlY+tA2 6vVp1bysq3OCthHqD9wORrmTMp9JCdGwVLwUMkuMugu/C0cnTeWFldpNKgbWGhEatgrySZXUxosi P6csYoXsnZPmZ9PCQSdsoArywX1O+8To2kF6AM1wG42N0taUesw5wybPRrD69gna4OnxApuRKI5t pj9snLbdstELThDQ3T4aRqcfr1EVVno+RbXY0vUvZZGxKxadQtWfbdSqVIe7IrbpIje2SPSr8Pqo NM0U4hVko13Ee7v41Tn+eTYKY9tX9QSC3OVxjTCK5bIYYrS1W08i/5PKm6AEs7qe2IhiWDo+Zy7s Lp6XKTsnJiXdmLIZctt2AVmi2S+W+b5fGLBRhtTbha64WYOKBHamrFV5NsiI69dJQs2k3RUS4wiK NDu2gaX7VF3pfGe+pVk8ap3XNsIy7FJbQlCccpHBapLhJjjEWKKOUmWTts3Pb42nMm01xxGWYaWw zITaaLvmnFCqtoEX4tmoSFC/7mC1T/zGmWEVVm71xE9NYQm3S1WFrSBAQvZXpKXAOTJDtSx1uBQI abwZ8QnQFkpaEv3vQD23xAkEWydUu4C+ad8YZH8V1RNa0Dba2QpicPl/8exfivXqDhN+Fo/UZhS+ iqCTjOo3xUrhz0dCuKBjJEF7KSxIzCQsxIrkZ6Ybo9yjdarQaJwl9MR7ExlECsLMRX66XKoe8vo/ lKZVA7TRdk4ew8hp50Th2b8cJSL2ZO8m2aRE4WuSFoE8GRSZtHEjk6UWIJHJuJzQGRO5WCeoYNno 6wQgMOJQnK95itNvgi4Iz8kRztEmQpDPPxdiExtIo94OJ8Qi0D71bDQnN6QnOKSdQeRscxLFr6oe 2Q0PBKdKXYHc7yQU3vEatXt1eobxK0ukSrK9PpLtJwSEiz0EIZeTqHTGzHiFqvezTGzrhCACRVhU Q23Uy6HoaEqAhPFspDSXb5/Lejo5X/LFjALYpqrolBQUa1rGHtb6A9SCtskEbaFYbYZ1WFN7EQJ0 fpQI0om7yxQCJ2GpqDoscZ1AfV2GRMXIbdM+Mrh1OFxP4l581OvXyVlMVYy2zozi19a/StOFDncZ HqvNOIA1BaXkKIKxq6MIuD84WiqJucVqM9QiaDpjRXHLF6p1Al+8NhX+Iuw5yKaMfiJRZYFivwXW ZhTAAsBALiv5aCU2NHp0yJ7NzbNB1XHee8dQwjIU9UmJBLRID8+BsveFTe/magQWqIAkYB0oPJlR KXYJED1Nk+DqP9NWxdC0NFFSZmvDyo4C/p/DPH1U53QZzzCEtQZxrT5aOSllI1fXNBrP/iUhtkP2 XH23izGjEBZHA5qLZv1w/kvKQFkyKpKs/9U5aUHsDHW0BBIYlu9cuInImz2nYtr1tcEtw4n77CEZ Fz9lE2jpvDxC9NF+qKn6aHjYXpYy73Mi6sOi4vQXmYtGG/Bem5EISkCAei4og09IEc49d3qSFEGT EGf1IzYMYrV1aFLY6Fvn5H6jtWaOvpuQVpxr9jRNo/RpAKqUNKMoViVY4YBs9DbxTka1ybnxbLRK vkI2OKa0v21OQjLXknpldnszRJmcllKLGU02GQfZGRtKEUg/6r9FgpUw80Bm+BwnaxjKMdO/OMty SnvuyuFu+8Y/hCdLjngrXfFkbCWMNXBCZJ4NQrb2qM8l+eSuW0laURQLsVme5tbpupnOWtNjk16S W8qsCEsA3WmLN2jjzXSo1cNNO4cIgvfgh9OSZ8CQdshDb5v+iVG9cSrrGz5aXF+GcYlPWFt5NiBz 3U6XhCXAuUiI0uYkCmNZiXs64GZvlzMB1exzMtL27G9FQaxMmcpUW3R+RF9PcIXq2rTa2gkBZU9K 9QK1I8n3X9eUYZ8YCWrJSz43H63eiKiViiZ7V579C5xPGwcxgsvUWVEMi0RRHhK9VqdLhiYSXmtS l4aYMq6t8YrRBKLBStezqsWQVV1D0UCKldSUhnkq1p4shrXgpF7h18zrg4hNh8lis2m0A/acr1Yj OHEgz0ZB7Hf+R6/tHrArZHRxtNK59LI0kHEV11ISs63ToYbqywG7Qiis9s7SkbLWDdjOVYXZioj3 WIRIIzJD1stVgi8fuuz9yKgMC4wcZJONtk7GNjYxmqo8GyWA63MNY319w/oVqr9SX0xkeEORCUYi 4x9dCArclrT0pkl7rpjQZVvnjnfrsHsgVSF/c3J6/UgyiwOlxIV/jxNFJivCEnRhCST10h+pl3Uy Jx3l5xzPPPuXO2dr6X4q9SuKYLGL3m06kkDtAOV+Zu/A+cqk2VkSFWDZAmeTrDveC6cLJ03uh2IK Fbi1p8hLlKplkGL+DmL9rQhJsGR6vU2l4UY951yYBiE/gQ7PBmskf+sB0Ua6Kh4rFNNa8kFqzjLv pta/JSHtp8oeV61/ReHrVoojAXY/S5QPj3mmYSgf7mVviW2hOCYQ4a9p0RmEnJSO1wfAT9oXE+UP xqw/j6rJVvZ3koTGswG6YlxkkuF19kftZYUlWHl6DYmXNNkNLRPor7KRJVrrqXtRekXxq8Er+Ctt 9Pi1n4OECpuxlzaA2zMpAGqBuMi321NiKju8PorpSYklR7/WRxJomGxFOQc3z77vnFXLk+ioRgah 4srBhnBYvrG0bsoMmTqERfWYvF+m+bXqWiGYwHST+x1vpgOIAA6beNWFWjRCSUby/93PSpnC9a0Q D2u6yRDONVqdoCsDkfJL5dkA6Xh1K9hE5yKl8WUzEhdiyXPableOQLovZxUXE3Gs33IEKxbTkrWQ fFf347sqm3tw9FQaTpRMhrNEKSz0G+nX+6WjH5/XB+tEgD4ZdWn0htHuhpqeZfBssE7SV9VR1SRU KDQpO4ph4XicVdw9di1luzFiL9PVtmuZnhDvmNAl5KdoXTN9lC3X+YV590mXTnKw7EShLyQ2YX3a XPgP6fVRqkNd2mKUeTEn5xRzFykkUHdI6PoWaUArA7EUm5P3GJbzEUdLyRLTy5n3vJWwt/7rRG7D t84OJQm2ujr9jn7zEKoUEKbn5qFg2uUlCT2UWvsXlXircrJDTKy65l390H6FK/a5zSwlPuc3zwan 7CNtqcrjOSNo39mkhGFskhjBLm5vbfQcJDyGThHCh31dv3cYxqo+vXUp78dsCfgAJsacJ3jQtinN 9mpiHuOBsEkMk5dHywTFQjC6NtqUCLmhIPakfzvkc/WvSiz9oWfnRDEsCSZy/ZeBX/YVXlP+YaoE 19t6x3VYrQ8VAfpTX6MAeV5Nuf9c5+cS4oCViCamlFQln7tYRYIdxbBbImPLujt3SqhTWWvm/Kg8 Gwmv+ZTIrVgRm9P+dgiI3arSr0vUMdqfVAlyu0iC4UfsjgW1rPuXfLwxWyHny1vs6rUIMKAcsIAh IrXH047DjLf/QRO2S6Kv7wuvP5u1WE57cmKe/QsEx3rbUvu8NccdimoZnNw5S3kUB7Od+9clpCDF uMrYDpEEmo4te4ddbuVkqMKLFTceq4j2s5z4sWikjw9XR3rKOxSFNcuPdEcrnEAAVBhb0+LZYE5K ucuE4iTViRvH7jCOnaoU9+aHidWmkQFDGl8FtmytsKS3RM0uEfiFJV8XS465xZzIb3PC9nGCe5nT 8ltha8eCcvdmVc14fYSIpfRUy/LRXl9aUX58zr/Fs38BnFjINkTptjkJdQlQm2wubbJrcsQjDrq2 h9q4FLcdIgns4JzTRz9N5rkg1lT7D2WPnMzsQmEzuen8RPbDPjFAErShOv0dbevktav99nXwbCQN dO8cYxGfO+eWCXZYh5UqgU4OMAXFXa33SC770k0mp+sdf4DDnsAs+/hACbCn0GFSCCDgXYvRltkL 7SOWJDW6HXsaiH+xu4+2cWoyUNLZN3o2yv88gh1+CT+WOTsKYYVd62rQy9XA8FnQ78c2gWX6SC72 uSMsgaHW0O+y0YsE53QlceWvP1ONXrhK9cKGZTbWPWBna/aRkSYB1/AQnny4R0BFwl+dEhY0zwaR SS6fEBYo4bjSFeYk//rvbZ2qsIccqTUcTFDPH9NF1wHaK9g0r/kDF7LQvrHRIu+Twex1/mBTH16z ggoymRNOdMFh70rp/pnv08LtfW7rpZEGvvJiKlWqCZ0ce+rpSKbvKz5pzT20fWZCahdVKKp48jJA e7uLZ15w1dFs1VSk/4LOdAojWZ0n0obU6NH9BvxJTHCSwdTlab8TQWwWcL89iHI5COj9UbuYBBB2 to2GtADAK1zlwPY8hRXZ9RXfN9X6rkp7CmEF0v2kqaQbOY3qmaD52ipMAddqpocphMXKi2vOO17i zqLjJbU+tOtLk3kr0c7UPvrU7pUd8/6Ii1+knXRHK8ruIfeBcwy2roff52V8a7U3hCzGMy9RSDuk 1L2SS57UuRxaMFIzLd06UHnxeQl9DroITfKVHI9in06mjfvCCfLJ8SvoLQ6LDGVfLqh2vkjcVO// wzUk3IeNho/FSkxHxHmdHo4qs1/cN7HvLrwgpyisFS5wDoHHJXovz4NJAQcHKeBKJy5d94SJirND 1HMpsdYrmyZl1CTNBulwn7CHhmE2/5ppqJArsizCNe+PivjioK/l442HxBMABJn1cBDt1/YV7QOa qj0/J0wU20quHUc/ozYV8z/cMsZwfPmAZNtsZsIqrbCeXUyD/swMHXXkVECjnH+iEi+es3eL+N9+ Z/vUmfb0z4wIpFvU0eSjnTCjZ5W0TiDe9HBQaXqAsszMkvRlvxMTRriUX9K1OLjSwhwxJl4A0ED5 nJTbUxTi8odhFpJ8vF31syISqqtnYnZTmH6OGLo7FLWqDCHtqh7TPzNKhpLkp7OPVr9eKRvWZm77 uOCyXukryAUgPedzW4fCBVOW9evxPhjTCT1SsLE7qeR7xsTlWnVMrXv6VFfaXoBHxCNlS46uci3/ pMT+5xW4KNp/ev+fEAdJFQXR2i3kR4SLy/o8oYejjtiXpm5VWfRz+EbB7hLooKdbdRIjh7JKlzE4 VadBncxXTCRg0JJZQ3Yf/RAo2E2iekbyfKZIFqLiY8mLrz4wfHfMTKGILGqYg2TZRjtazv1gyd6Z dj0cbKXHt4rfdXApPYdvFPMCLMm9dCtaoyY3jeGUdDPD61HU4QsmqtsaEfu/QczZg+2E6ypRrozN sgyJpPyYHZ1iyaI5vfH+SKmbspNAxfOLingOdwnnDEV3oQ3CxYuagnTb5r5uMxNaei0hrb2wso0P h2hbW64A2YtOmG4vCU6YZOIe1Ue/lM6RUuDsmnsVLivkR0OFLjh3Hy0ucxEJLL08ix5TrjMz3XLL mMvafucFejhKkcoF5JM5yC/tzkoU9DZ+wu6ud3uoxELSaMJT0jMQf6HbSyJiqeiTurDb1YqBWYHc jSAIJ39c3mdlR9Ame1xWh7lXxZZeNIMEBLfRM6TekkuxVT0cACQfhTLrG7qHic/Ke8jreqFDPDwV oXrxzNEiV9FZZFBiVmehrGwTOlKeM/PxnDlr/ATORG8Nhg4gJFyd5VdPQbt9kG8W2oXGXhx1IFUu asWCVzSb7NitQw8H91F6LFdR7i8fQksOnL3OvHCGYMbtHVWJB4tpm6Qfg0RmvRYAObT2oivxP3Xt hineRDlZ1xEqKSpZ0qzuySmD3j0c2T8z2kWIZOIxbaO1D0u1isZ5b9bDkbDBN+12yengWTChMBfN Huk0Qp3EBs6MnEvWpSw8AuGNeRQF9l6IDKr8Ys6Sj3HT2ZYU6aq6IBtN8ynmLVpgRR7xHwm3+5l/ 0O/ugk1oNETChGSvi8k/LqCZbp8Ya4NsbCfuvEQ6s3K6x4hMx8uc93ixDYWAzOr1Hi8xKkHcJkEm 9218wvIeJ2XsinfPcaWKYJKdlTSs14NwAktq74+2kQytyh0NG4i0nS7ps8z18F+k7cwWnnztMVIM iWHK4Ey7W4YJAjkZZ6FaN15HsV3Soc0XrWnT37sqfEL0nKgClaGhDAlFVVYLnZeN1oAKuv86XWKp WSl5U7ObOT1pwDZLhtrL1sORStdXwxlJ5C+jr9DpK8k3aMnGmgN4Lc8cK0UP8qPWiRx8F4VWCQpe tkqZe9/8KKVzxCwgKCCdVgYGj7dddU0XGsUK6gqoKvvMPyCOxfS20YGTpYkeeW56e/gvAFsraCUz 2faJCaNdqk1F0pmCJ5TloGPMuYxTiIDZnZgQYyul5ikntLlvqaGfqRm9Kj+SWhylThXoMood81Fi muaVF9p9dWlD/qfgfYILL0bOlfVwkAXscoHH9IpMJPTOS1jh5T5KO60rdTdc69wEMNVwzW3e+yhG KWT1ibaPN36BQkN2csZ8luASsaMK2WtC25eMm6d/5h808env+2hR3doWQaKiroeDLOBagRevZRYJ 52hiQtsv0JknbpJ/LUCFvF09s8G74GY6P7Oxp7peE8HU5fyV7+gnDK4zjcMcxXPiKdIjCPpZIM2n BEOJxb4yuI7g9w/d02M3xz7hjiTLnXNDZT0cQVq+72kU19q9jmLzL348KXXqgJnLubknZml+wEhd 06cl5I0Zbl/iMuOhA52LdOZhBjUnSGpN5G3TlaPlPB7emATe9f4Ic8sFvVXo3S7AcQ6+ZBJQoG/1 cFSyuxGvGgjn5OB68YkJlQ+EVzeAIBoIrNgi5QPJJzExydSLu70mWC9bXlbgr/Oj31yBIDaaatS+ 6SJPghagPbI86uUGdiULUJkDFzD7zWnNFx81MWUi+6vK1Gh6OAp4702t35XksT5dgdAKLFWV6L3S vacU0GFYV49qcFOo1983BN+aXrFpwz+E1Hz+4hOg66o+R8yqUoXHbkEuFP0jqYIki31l0JKmfz1q tTHZTioJlISmKNvHBXf1RyufXmOSa6xPS+ioQJ0hDTe/4r+qz4u4parYcY/6vIQI3CKVDBN+yI/9 1abIjXiNRFVglKo+U82qDJaaKpkFsqh95R9YZH1KH/5qtiCInEzd4ZxWejhi6n5VMnVTt4sjzLEn mMz0pDyv9prdQ4v7WTiXrab4De1iWzCV6ijd2+jrZYFb4PjHY2tAShdnCnwl5XYB2Nv3yRuGvOTU Iz3jJ4SxkHfZw/+H9aLo80Z2oTEYLcHMb2kQhlHoKYGqzKk7hL3kfntIgTMY6UR+MY/ro54pNYDl RPlDHRVUkJBel5hzN0DU9o+MOiWA14eADON6cKSzXbtB2NfQw1Gh4a4WHuMUWu1ZLVHAKyRgE3TO 5DFdX3Oo/o27QpcflvZQbK+wXy7pswYTom8+KVOe0Mb9ULmy3llRSUyvD2aFa2hwDNro2ZEXvc9K qXo4yBnHFwMEkNhTwQy9wTxlNFDUXtlrMNvgP4IxpO0pY2ANRlNNhF0p8Tw2Gecgwdm2SZj2ZOhT kPmzjs5ty8XytGCHFK5zaA1GkfMEDDSQNHqg67pEGNzq4eBYuYGukB3n2xBJ8DmJ4lxg9uQJbjQh SwFzV5juuNCKQj5bKXGcq1tIqML+WNui0YJX2FL1cqYsWoxMZdC60aky7Raq3T8z6I9IEQEEl41W dyFP1+2Kk2sO/cFko6ulwvV1/rDf9RSkQocwzAQz7AqTWBnq9UsYj/KcoFEygLPEKLQIo3BzEmUp reQHpnzutFlwKTY4u0JU655lqNZfyvnSlMyhSVjmXhbVwEbrG52s03hlaUw9HJVd1gf4IrfBp20U 2oRJfygrc5DedfZSA9o5WSoaFMGcbZcDn7CTdja1YCWKXj4s1ULuTPpMIj0k87qlyiPFdtgK1gRQ F0+vj/gx2kY1+2i3c6rZ8NeNoOUPXmH9TosqV+d0ueF/bBYm6SaAryaQIB9q91m4SAZJGmgbhW5h 6FZDQs0+3mnRX9xFd52rU8BZUjRH3+aX772Fl9n8MyPhaxLFKv/B6uyHfjI408U9m3To4Qhg+C2S IL2dZ7mEBDNYuWgsWZexmo0LIgniaxO+jNzSXS5RkDskfi0N/fJo6J+LaKW1pPJFHWkDbVubtKaY NPSDV+7dvzKKWcRUBfq1HumIAgh/2enS9HDUli6/X23p+eGY5dg1bKk2xMUujXQuVyM22y5qmO3p cOn2muh+VtVFMN25HizqSYROcggHQpFcV/kyyyuU+i9EUxOEAz9h7/8DIGgajqyVa40M29GjsaGH /xLk8qNOcYOuNUcO3MOgmsFHQEBDWukIHBiOYdHwZ2LA9TwTE6klGHI5ybAkPYYl0GBnMt3aeYJd 4BJMjLB8xtR4mKvdPzO6jpLSoe7jxTEsk+nZlBdCA7H02UcomkIrudMSGojBbhCC1o6XRU1Wx8tM 2Skz6bONIhSDRLLKVtdoP12jfjbRkCg450DpG/hHFhYCRmsWCNNc1Xy9xJpfAIKEYpgXxVBnr91C snPX6+FI9Gt+jhcCSRBCPi/vUS4eFrTfBBc1yQQVo7iNmkVykkyo95IOQQyifXeTxN4PEa9j4tds uWAAJQAZR2UWnyB/ukZp+WdGgCCiF5jRNur9tBbMemRQpQt8xIC23pxIwdfZhtQ/fGJCxC6qAOPK OZ0lTrRMO61RZlA5apD9GeIwtBKb0vU169/8FBdmL1V5NC8Bc5jE2oQ2BL8PdPdTvuz+mUEGIFr8 Fup9O0Kt7laWLtpaaMAGZmKkD98LhupCntcLN4d2YlXq2RKLlnz6dGXBsvk1utwYTKhUMxMHvMoE pYyWH2W0KiJnEv0sATbc3EgwUnDJ/Z3isxq8Yxf/zOimFraORECjUa0qigHMzDkL9HCAOZzlMzMF tx5iJ5uY0FJMwueAby1jXMVZNGk2z45kiaIAJnAUM0GxfX784aNj9YsAmEMCvyeaMlNUeIByvd6P HZ9MyPT6P527XRJ6/YIkMvqKprO4lh4OLqR529I6H/tvu46nOfQUmwJnL8uZ92rpghh6vyCGckEM oaWYat0VTx4bfRetsyZmMdXjeraPJkVEXTAnVNwemZrlHxkcL0qOuCBtNBzmObrsz6qt6OHgNvo2 vaGjAWvIZyWKdqWjLVtFAzE0v45oEBrRCBuOdRdLHO0K/z6LjxciVU/MDBIHSgB+s10iaizgrBaA M8Lb9o+MKrrEukPyEuOKkBAjGqq/7KqH/6qlADqVHfQUdANjMYhacGjqsL6ZjMCcTSOgM0dLLtkF fHLsLIaMusieNt6U8SQHZC6qXGY3+ahKL/Gt/viKLf/IiOuLkB7uZzbexZgspjvnsB7+G51GrbRh lASfllDWVi23JDp8Fy2t/k46AAkKGnjVssicLKaLvcUU7DYhGNqDYKg06UYR+p1iPdJm54CRNht3 IL1Xj+l8F8XBrrIiKGwabRedNMBQuycg18PBevnI/VLo3sqM78REwS7XzBZAAl2F6injOQ7X1VWg CGExXWAv5oVL+YS5W5g2EX2hkz8rdjmXkGJmhLLF+DlRreit9euKDi3Gmsz55KfsVhVinq853Bio 6uGodLlvDkA7+qStl36VQ5MxSjoZPV6HMIxyIQytmABHlV+Q76KQlKYeGoUCGz2mOyt4IKcigxOs KLfEXHEjoLQAveFKHCX/zCimk0aLtY2eFGC2bsxdxbqh05i67BeYqiLP+ZBnI4UCYSLYcG1Wlbq7 Rfz44YAj+kfifteiPQdmY2fZuUB08/GBv5/9gynQ+RMGJy/ld6mRAVcFPXtpJHL9zIHhmBFyhxj8 NtrMyFrmV836rYeDFdPvJa2orpE6+n308xq5tGwRcqly8wDDUEmYodcMg0ghQFiK16ReI5dGmF2k bdJ8tH205COMwh0mnU2bBxWxLjnMXxXtZ/kIQL0GLs0EQ+grZh8tcCEj9n+HR19m5HzYFj+3N2Ev C3WzEwJ21XmC+VDLdVJdr/JynFK/Us0GFWPx5pkzC/6D+eiQamSRYqPjdBti6vRwFfo3fpxzHPOJ YPglhmzz4fjl1/kAso7dGMGOjZ4p4itjgf8Jb1/ng3qLz4fUsCCfnANC8/EarjSn4DTlJzJgS6W4 7wv4MYRJKuaD1pt/DVZYH+wXInwf7XfrZ56t+3TOk4nJm0gl2CYhQJkeKKp0qH9eY5VGM0OJ804+ WqyCy8i0ymX7eY1UfCY/y4OtN315vIYpzYRS8fG7tUpTTqPBKqiXapXrKgi8BinMaRFLFrilxpsy 793djJwq1JZzkFpy8rpbHwBqtk98nw+x3FUVG5OuhklzIouqldhXzz+vMUp7QP/NjTzqL8erJuQ1 QDlPbNnltXyxPkLqydbSYIV0nOfNfV7DE34HwhNJAtl4kT59I0C5SAjLPCeHXLmpAGHuzeV/94vE 0l6DE86Poh8W8yiNXkFoZixzjv318xqa8KjKQcyHjj5YBoL5MCOvkUkD/cQJQqFKaNwh2IzKB6lY heUsn+z6Rq+RSQMuMqXEXn20GamU4VKjAz+x2SKiBQ/JQUU/pF5XoJLUK3uNSzj0BdWA8WyjJz19 W42+9P3zGpWwQnQ1+gmCUHaud4m8BiUcwkXUlWIXbhYNUBdLBo5WJZTNlpAq2GtIwoRweDclvxqf PVPxq+AIOdnByuKlcbDmpDv0QbPDF/x5jUeaiXSd8Pf8NTbaEXLSJrPDOpfNz2s0wukz/USVpWWT XK2fIa+hSCPPJy+e+1IeXHJj7Ssu3/Z0UtVrGMKB2gFWUdm00S9CaUpQ5WpkO2bJINt68uPx+LsY DeQ1BGnGvz8X8u4+2uJI06onhfrsawCiz/Ltoj2MmTrVNv6x11obF5LEsbO74u7dbl/ZMCwUxKCE mZxRcJzK3bM1nR7tOT3OdcvNAX4SGZwt+yQj2XDSZ7jWFxBX7BOD+TBv7X+ZFDZaQAZO2mv8vFbZ ONfm/hynRa6dzebjtcLGciLhH/kSProZzkFx2D07xQFlGSPHh+GHDlLoU+1Dn1rYbyZ8008Eg620 kmCyGWwIfvv4iIVPKShEmwUazJQB7LwGsA1jEBPGPkvl57W21h759OYguCahR4/HogBVCqqkktY0 HT2vq/wlwckhyxpXRntnCOmmp2eKkaiNNiUnFzszgD7kmZLSdZBsoCXSKfx2Y2DP6vXBGjkzfo6O 3H2015/r3EDVu+nZYNPcE0RFJKxO852TKEhVEKbCiCrUYCYUnp2Tm8NHpXthUg1gGy0TGJkK7m30 asYJzMAdi002ZwU5aQypjE7p+hSRzMTknSDEOgG5P8Ra1ejt9eayPQhevfOD2AZ7f+YEM2j8Rn1S okg1NYs/+o1EhHjrUvT3SAT6ykVjBJOydbJW7Z2nO3WOdPTFZLB9IogpGUpTCcsU1vZ+uKrGmopi 1S7wPueyjc70aI5cKx2kZBSLzHTnhHQE4b7tp8k7M0ixCCmo8Xa77PsMoVKW4GUslDmuw/Y7MaiZ txKJXfXRC9M5nzC7Khih2ShFRvN5N53F8cDesgExonwGwMHJwquPXjwavVsjY1Guj04U009vqh2p aEXv0CblPWQ1AVAS8WmNryUYDydKF7qeCuykBWa75z1m5ac8J0onALXx5jRwds0FF8NtaUVzEgi9 WdMt1ndFrO+MoOb6TgsUkY3eVM/LpBKn6q/BlBhu3NeJwnPUG2xKopi1y1VkLBPUOFMoj3GgBrBt mqAGXS2vrrcEeY2is27oufSIDYEHnYpPz496UnhzHcNhmynpH0+kYZ8YRPFq6hBh2WiAt/N9aiCd 867+vLOB2AbZp0SwW4JW0nybkjBqRWw3m2YEGbr0NI38fg3F4D15KS0KWyUKQOnMx5vZnKy/yToG UMqSCBQsRhrVWShAS2xoCekb3+cEIWlUErqPvgaXtrn0HH7eqUBKE8tnmZgK2Lg7J4pcRa7MW7ZQ U4RwA88WZJMc+kakbXMSBa9Ue6hHLh89XsMktwLuOLuvjKR7R4aomW6E7mLHARpb9z1+NRoA9YTp o1WgYYtq76w+f95pQO3h6nrAJiWRdM/Y9xAWVioRlAr64rw3WQ80hPabPIK17u9lHAWxQ/dOL3f0 hZJA+FAJOG9oWfIGLJRqfFnRu11oX6pX7yQgT+zPlWPXz63oEvFYs7gjqxFFscsYhh6gDLqyd6FE YSytUAh+17JRsArunb2XURggLiDpw5yEcawWyjQF5QtDbxh7FskitdkzOraifdNdEVgRW0Fv50gb 7Z3/c+dkbWrRGq3WikWFTpRNQzSKZA3N6XPSKVxlP2PfyT9Kdc65h3W4IWhH80yn5tsjFipNdbQw jJ1WYh0+epwJ6rrJdeos+N6xtzzJpMRTxd17CvN9L/vE92sHWY+zp4VBuTpjtQ1ZQDpS9J34459m ud+vAqJ1o9h32g9zSCH/BLHXGKkoOKGWVhx7XYZkTDUl71EswQlJ7hZmbT9cBSSP1y46YftcGLIL Z61KCX3axz8ZpWd9ZHDtbCuVJB+t2FpW0YI50fL8eef8KPr6iuzR2d9+6bwTfliSBvWa7jgwquRE Nhed2udTsm8OnH3n+3jB66QcaBdp9PLziW+GenyU03rFM3SDtiQhnr/z48UnPaKfd7qPgpOsU2T6 aKuk9F3MUrq2n3e2z3cQqyYklqj5zkkcw0pZTgKtWTqL273nAESKBEVs7hXoKIZN2jNgv2y0nXNm FQWbLQ11IFrqqkt2mh8ecwxfJk1nyTvTR8uEChKVOBu9aJIuN32un3eiDz/6rZrI7rR1M1GxSYli WFVakup1XDo5Ofn/RCdSt5UqRfPA/p3no7or3jrc3TZ6VtxQliky7kACvaqbAfkTp6H54JNYkT/v JB91bWA9dXEJH1T7RJPdU+Ly887xYUZS+kT10ppKXlN7Z/jodNWdmKtnf2Ib6nzt1xRJ3DSbkKjs ShHkfNkz3tBkbSwlsiFLqRiAXBKYrdgSvumfQpN3fo8K/2Dwi/hg5bbhC7Lv0zKd9vNO7/EF/MRr yob3XSTvISynCbTPdDVV2vTs72z9boxclr/rQryze3TAKoXhtNB4kSYNRGyVI/XALgB2YYKEQC13 1Q+uevhHvp8mJievuH7duP7EsM1Jbivtn3d2D3/gDdeYgbllP3RvnSiGFfNzbJNP8UK9gCZgUFWh bqoa2hH7HsNmVfbo0XQf9dWIHPXe1CicJzOeUuJv1Gl0aO+vXGfbN0ZzAtmJIoiNgprD2NSVj53b zzu3h3WSv04T5n7fKsE7t4cTVlJBcumSE7sMtzlCel6OwiE3to7FO7lHdVJFJXBNNdqUnLhkWCR8 1onstgVyO38/SMzyZWQizMA7uUeJPpumCTLQvC5TEW20ZGUwJe8BLD3d9TlOqO5liHY2J1EEy1eB ifW+Vk49ezVp9cthf4T236k9ioM5WpXwaLTFTTGdVaHMAGapbmqiCyjvoLlupiPXxndiTzM55TGF YJs3Pj6Z2JzXx2f8vPN6lLV8FU7QjePfstZnFMBKA7qYL5JAA8saW6WrCqM9JNInRYJ3Us+T/XmJ elxfxfOtfVK+ZOeME+6QDlXBlkS7eA6T4p8Y9IIhOW1WrY2aEdzg7NLpJzR5Z/ToMqxfaQ4pzrrN 4CiChTGOyXu2ztac3WpK58bs8Pm7kA/JwALvfB5dOo1TpGwfb1C/elPBh+O1sCtlJCP64W8RieTx /3kn8zTXOFjSFtfo7z4ZpXKcbt8Vna7pa0LOh4mcoQmJ4le1JrokHczbZbtPsNzS1epLjyjpO5NH cJIpT7Lko/f6TqRWqxH5C3Y3TBgz/Yu+BJvflwjH8c87jUc5DuiJUpKPFqnts8yEnjgT+vPO4vnv 2Qrdol84yTuJhxOgmpr8NWs0B+kuCSIXgNbe1TnyzuHhbNVcLFWlH8AUye/5AVUdmCdmM6fTKrKK cIPlgR1ZdeCdw9NMT5T2rxo7ZIsyuSmI1bNvkPH/eefwfJcH+B/D5IRtTqLgdQ+JMfVyz9bpCvu5 dmfvLyABNidRAVaOrl2gkv6ASjqJUl1o+INEOomB5ozIhxbGx5dQ2iDv5B0mZFmK03y0QK3WZlry peu7/hK8GigYFqsHau/MHU2hPH+WiQLmYZLY2JbMYub08qjxbROVX0V9a8LutfFBYbVESpLk6pLw 0dIiIdGUkOrHrKOvaR8Z9C7ASE+JYMzHNxnJ6q7jsZ+A/p22wy+eHTVgUyC9N0SxNStR+CrTuY4a a5HA/ioOSCumUyuPK6l3sUyiCqxyHORGfbyJ35mRybI5V8NczSzfqsyzVD3Jl+elBurPO22nmfvx 2IhU2+grZQ5lKvAmf95ZOx7m2UqxqoIEne+BEsWvQqPe82Sr3600J6V50QPb4TbvnJ3nDqb+vEGU sMV/JcNErygT0nc4u/xLxg1BfCibxn7/kJneOTuqLbNxgH7baNdwVZ07SXT8552y04w399X6o1Vz s+F3xg7XDpS17Y6VW6gNGXbkx8SkFw/V3uk6al7cdvl307xLjRWpMiAVoENkYaj9yhlDB8NLaxJ5 e2frtCtS+xm98ze7s3WaPi1CMa7PlFBfl2OITUkUv5JwgKvsVyvR60e1CKxO27zKuVuTElVgRUYh /vDRu6GpngOF8KgBwhTixLileGTKJuJunuIfGfQvzE5aAL5LqUX0p5ny7kmWft55Ot+lejs/YCbl 2+d65+lwpCSVQxWwMSswlPmvWtBJEgq46qjkoH0n6ug2VgNDzqmlXyxSP6d4y02Nc7rc1LfxdmkC 9PzO/pEbFQj4najTTG303F2ra7RfvU0T8oVyXOfPO0+nmfbkU4UlQiG2sDkJwQRSap0m4Kbb+ASL 9P7EtwBMUDaJuc1JFMTCWD0HrNZLfchLZ8Of35ji2DlxZfTD9qGLIWk86L8+Jzomft5pOs/2yRK9 eBC185yyzUCeffy8s3SUq3vUxkO4PMKcszkJ41hqjInmnVPSu/fNpTTsHkDJ49h3jo7ac+2l0VVg XUxUnc8xW9JA8GvLLq5ksK9fAgY520cGpyxSdxOxbBvt6klUem0frZ93jo4i2foVyaIY2byn887Q EYxPwvrVtLlyRZxD4mWrTDfPmlO866S3/CmSBfRso5ccKZWciRByDSj9MAkQqlaVvVM+FB3/yOA6 VsscxQMbfcoRMNWBewLZd4JOy9fv1DZcIXMBam+TEoayVBPm1qRUoQqSk9FHMSoT2Olk1aR3es7T JV6itKz5LJQ1M2sgy5wiIewMeonMWR6wNM/NGElgz3dyjnLAotlYPlrnnOteG2INfVlwnqQnKc4m JFFvKfadmtNM0hk9fUv4smwCTE9/Tlp+ElzrzeckjGaTkh3hJx5j3IZc8bna8A8bEAK7oqEmoyQh MC0HFJnn552XI8j4kgl78dEuYy574xSDCA5D2dY+M1KEYrgnbAgloNaSVdDUCWv/hR4iB6DVkqSZ KIx0iIC1HFDh7LolR/Dj5+YpApychbCQpt8qZVKsr+OT8PgnRpwCSkli5MybYBIfTLM/Pmf2zzsh p5mOit3ElkDTyrtrJIpiBRMyWpmkUOTrxgFbrVzfsdGqXpp+Z+PcOLYDkbfR+VuQiqSa1zq6mdIF bFh8Ufv7uM7ZrolqsMhXwsEZPvqMTLNbOBng+nkn4nxDcBSiUB1Mz0kSxbBSy5l7O7NgmqT1oEbj 7sFt2k5iRmIsrAGki4/ewACWBDxY5ll167ypEuVF1aUbuQCa37RPDC7hLMoJ3ND1aE6lQvtZf9Sq PBuEa71+4aTPv9m9AtvCCJYlcsKo6n0/utrCVSS1+OmFnsvcmUktqsDahAhzpNHTP+4a1Y5pAdLW SJLpSrZ20Rx45HirfWSApEedYG1h1/aFxuF2L/hT3fZpESrp+745RyPeIEYtCONXemG3AI3Z8cUQ qE4NMXtfZbL+Hr26LHyXvLlGT3SoGi9znEPELslfi4ZVpoZU9qM326UG2UO2lm6btKePdtuA/DLq cK88G8E++xear3ajPGpGQr5WMyyM9fioKjhgaxNNaE4m8aho5j0CEVhE33S2tqedA1f4nBKwzBe5 JGtxg0mQtfkvtdhrTSgXpB5VYasYKPjM2Kifd53T2gLQs/54NqKgfMODz+Xf7lHSo+iVdBWQf/Jy EgZvcpuorVqBujX9qJqTqArrkRqHq0avwqa9mqBirJMTt5radRVhi0voU2KjlM3ro8JJk77s8NHu 4L22yoYntt88G/G2viCOphNdL7K+h9QtSb5SwcM+DA/lZLIeCfqQZD2kPq1ifQ/jVxXZRDBvD8Ec sn2rFGSFwDk3sTy82J+F7h+I00uNVWTSo/iVBva5YsgXNVqbqzWZG1PlKT89KsTOVD4rpW/RTm1K IvLWMHa5FvQ/UqVFDND0QptlxmVxEnTNSRi+ir5V1SGuT4cY5d3aSjNsBTw88Q3Er4TPBjLRd4+E 2npUjF2KTQiViNaGmr0tnbNJknDnXig//T1+TVeLgMuT2on0S+YlcEUBLA5Am+vHkr9UzDesYBDj 9aSR3EGshwwu2NOQcHz02OTcCEhUkBBnejqSgedIKZwu/ITXDoquE68P7uIqIxHEq8cjXn1ShLrM OJsbIAphxwVNi4VRBdP3KQlrsVu6g2VYkaCNhwbKhaNOV5oilvMPh7XYaf3w7aNfxlgdJPBjbRG9 Ibq3MbaSus+Jrx/vZIMI96gWW5X2TTW7HgfvkzpZfIJhC88Gx+won6uH2046oZqT9xgWhLCO2WKa QBnJACkywNU5ZxvNroYuVtOcREgCQTobv4KNXmIrGZUpCXlQsptyz5Jqj1TOH/iaaom8Pbp5IKag tWijT0k2VAGS4Twb5MP1q20u2ZJ2c78egWEF/MwmBZpUkXNRqWb1aUxJKEXKZa6HUAKuHhBqGrUm yf0AdJYitk4BZ6v6teyMlSr0RykUvFHh9X8glOMi66NNOZVwE35vm2f/DywMGhnUJmxOwkC2G+Ig OWpaInxyC6uK7Ad+JsNrBD0qxS71dZJV7h8owTlKsJLl5p0JlBKBbl6UahDfmh+t6i6tih5hCYaa f6je2GjnyTnxpkmbpMaz0ULZt+ioS6SbU5mRQqNQVsWEcrUez4JMrm7Y2xpOmC1X9XGEpVhAJyeu 7z761ZORl03D0J+mU8XVNAzl2J7bmCKyvjGosHXRMModrT5dAG/8ijBeeDaIZceN7q19fsL7dYmy UTBrOeASr4u60lQnBwEJCVhStMdR1AK3EZZip/B6uo7Lcx2389peqCo3iL4nFpRsKlXoJLmVhx7a Zcc+Yl5X+h9uysklh7nVwMXm2ag3eidFarJIJG+P8EeIiSVNolDqeWDb1hLFS9DjtgQGXNtnRLVY yILnqhJgK2tSBrCTKaUX5YHndMtkYCeZ4uCjfF/n08g4a2fw9gDYJ/E+2lA2WhoIWd0Mwmrm2ahk Pz9hG3fIuM2dEUJizaKeUqipECSvnri4oVQIhqfGIwplV9KuEYOpoV32S0MLYXe8L+SyN7usu9HE ZL/M34+nER0B3h3V66kkDVGJx7waFSc3tA7gmSWejY6T8qnX68qbN5IdUSQLeA1y68WSG32Ygn29 GrJrdLccGVEgSzd5N4SDbPQSWyUU12mCzV4BCoZ61FID6Xfux3fQitMjKsS6MgMYpSm+wtYyOb+5 qNXjrDkejpZJ/1w8VEDGhSeNMI5ll412WV1j5uHGPcKeKQ+kDaPOzogCWRPvQD/IRr8tQcqkKlm6 BCZbPlBQNXXnczM++M9tHxnkgSkLdVJ9tK2D7aXTiSfPBp2dC+zrPifzYmJHFMjqagRLaRYsfciN Ejw6wa2hySWWrCM2wsQSPp1Nk4qPngVuHGNVZGSumyjnbQoCTU71QX9O+8S4r3PC1zl81N7ZpDvq dS1mJAxj1xdKuODz/Vw6USkWu+gtmbx/BFZ0jwQ5gSg4OSmzw2FHVIidQkxX485aqmqAAiWAXFsE 4c2E3buwyC54Y2sEk+bM66PgRGImOmTnrRUw8SZJfdIpno26Ov+iVy810G1GoiBW+v+7u7aY1Cal LbaGV+tHbfdwjULYocYE+FEb7yU80TFpSv8oG0j6fZ+D+FxC33zzLVjfCCNYAFuo2vloZYJzWCvc OjdQ5dkgJb5hfTW8Vr8B7AgDWGixQzYqHK6SJxBhVjuFGkojG7fkb8SVWBF1hK55bIDpn5/wkSot 3VB10OkQy94F7fLxFKet7jhCNpdcS5eAW+vxdK2mLUXWUXk2qhJ8QbbUry1eTZphJZaK86CbjHX2 +S/4/f/I6rqbafSJQqnUcY7MWDiLq2YLDLsvGLYhZ3lOkUTlZO7zh4n1xbUzxdNtH1jftG8Mkj8J mgDbtVFvT6DbRQ9NrfFsMCXtC4aDoQu1D5uSKHptSa6M2/FaCcIEOrGoAFqOXEDqWT48o1KsmW9U 9WzqlSsH14fETdLGOSGFlPsK5OSMrJEVk0xPGPAzr4/K01nO4sNH3znJ2PhoBfDsX4g66pHjtPF0 zWeoSrCkPt2uYJRhCiRuXx8kwfa9M0NGF0JAXbY8PZWLruhgcMykfOzzh22ppFINyhBO50fQg7OM t0dbh0y4ouihUW/fay6TcO9Tz/6lac5yodqRy8XWzyh8LUldhWoNroyTtaV+qwwTw6lSYFOaM8NK 7BLTwPCdH8AW2BtB1U5IezYAZOVd2Tcb+c+P7LRtnRBHIFhfVvcvXzjLWbzDAKDnaufZoEM8b0Bv yCRQ88mznBkFsChtn20mzcqzClYW/pX2X5IQtTpVSgKT3vKnApsxdnq7TXNc1nultUhcgoYeKBwK bAL2dRkUFWO5TfvIiINPaU3WM2tcFM46p6wqS43ezozi19afahItD7g6wxsZMwxg0Wery4ryKCvt 6UiCNlWU5iBcrv0yIyRB10LZ6onux3VmI1mBcrbk1k5mIoUYRHqyJC3lbfsltzajAJZ4BhZ/89FL bNXELNtZyTz7l2qSKiesznVP2QhMIDKLFOYdPJ28hnTW2AUTlORY4RlVYpdOWeV+Gm1O8LI7s7Vl ltHEPVfVEbYHbnXpI0Gny3hGISzV1CHtRBst/ctrmkZQGo1n/wJhs5uHHPUeKCGny1yay3Ype2Sa JHuK95zJN+a2nDY7Q1GtKh2p3n28KJyT/O0towz8nFVIoDT1u8GkPRK54jjy8gByslSV3s1Hg8Qu +q78mSfC5dkIhPM9I/AXLml2RmgCl7GUUhReBEPUcnBJZPEi4I+tILbrLX84TiSLaqMfJ9hlrm5C hWn1hoJQJdmBpkKb5GkBqpI0oygWkZUT4Ozlo7WKQbrr5si58WwEOvlm6xAtPLJrIaWL61uoCDH/ sG50csp2HXvM0Zfp0M0/ixIsCTWsR6gBjDDpK6tkly5Bfq7ibsccy+RK4277xj+EJ0vNHY22cUqd Bt8888SzfwnZdMKeqUdoT1OyoigWTeo9HF8ibQbLdMoqV0V5e6azIjyBfH0B3fh4AzZZnmctNCql CthAU+SmHkF/SG4Sf11hDdYOkVJ89Gx4W8+YNiPPRh3RWzNR0YQrNd9W1wqLsOjNpXJZsyf0uu2/ 1JdHbIDGbFbCMFbz0dQEbFdw42wdmGHEWGcSzoGF3vk2jzB5wO3HHVv2grw+KiUtXTfZR6uaiDqn +dmVZyN00pfISUaXoPlxskJEAZUQ6RJIJXiwsU2ELenQpXBYth+wK0IUGBWjNBWmBYSSde75JdeS 3eZJU7a0wrYklBXFWnRSrw5s5vVRJQnkCfpPNibP+7ZVCUrVH/iXMNYK+5TG796JolicJaD7Ttc4 6Ybg43Zbhi8nX0kWnKwoiiVbhK4zffR1guzh4qwgOFkbl0qUIiVII/LMZ06qfWRchz3zgn+GRsMI 0xsxrYa8efYv5wkPIV1x6X8rCmJlooc7s7qfWWyJTryWlu4fQnw6xzYlIbHLohKpDrQruFjXohAL lJKeDv8pjXJFiJBO29PpUmLB6yO5MeV+Pftona7VzOfgxECZZ/8P8HqquVcdaEUhLGfGpgalE9ba XdQCxyNxQghqZ0msSiAb5bR9vFWCdTIC1kxbKSUsmn7NxawQEzb5pZjbg9h/K4ISLBBb6FH76Guk V1MlOLk2z0ak2fH71eWikXRFTlYUwYLmzVbuEElUvDO6XOpQZzV0EFS0SQkj2GIQnOTj5XXRJa7G I25n56PSVhDvQAv014TpDP7JXcLrIwo+V7Bsh5bKDF6tMiH2fuabZ/8CT7IDlhbBPUxCVhc464FA TFWJzbAU1L853ZsYgGpFaedEEWzvVlzrPnrRkRL8WtYjPkcqaPVdZLhB7Vde3p4UU9vh9bGkB+WG 4aPXTkadrqy1efZ956xvIDmoBLo1PikhrYtbh2DKtekl7ySUY7oVyPPP34USV2IFxFH6lz/pHw2d xYIjiAUsiNkBNQIRc/ezTiSawdv/0DcfMh0d13RURQjzGjoBD89G2sFfMOET/YNltBmJQlhAANmQ dUp0cnLJJHOYMlG6q0qw/qgPi2mBj57onGMKqSRRFkAngYlMKAAXuKdEo37nqDPA66OueZWHWfHR zpMTztqJMMvg2WiZfFViVU4ayRsYOwpi6RRlmZYZkmA0LycNIGc6Wdpjq7ljhVjdN8YnWLcUW05S 2MuQpPLJnZpI50V+ptA6ZCNlGfGi1cvro1xn/g92um0uNV2mqKHu0MWgfmNOzj+LVZ7NyXsQyyMN cRph6RGl02kHpmKIpErJfqV05yRUJjDWrIQ96r6h/Un9ACSIO7spnG4ZBhJBUfr6YhTv7B8Z16ex 5Eg+WmhPN6jbwTl5NsKwfYGTSGNAMticxOIE3ALlKgn3UT2ITUmSWlu20k7q2mEQKzm6IV7KeHgp HSoX7Tqp5o4mVbJG2NZ1uz5umoJ/7iiEJUkkGK4+2oy03e3iKCf92zGly5MdbodB5d8T4h1FsEki Uurpmlt8K48AW7/aBJdysGMYgfX+mo+eEJ9ACliBNs6kmaGao/RoKc7WDw9DRYIdRbBUKc4V/IwO N1kGszhXwebZvyDs1R8mXrtR/Q4hsTKjnfP6GVx356IK2AUSuF7DDhGxonKpu6fR54TQZKH1q1qu LFJREZZK0zCBdjO4o4XK26OgXoRZBO412pQUUeUFJ688G7TMryOKrkvJfm6/dXaorQVwYuDbaFzi OSwjrk1+O//IVutqWOw/4wgsLx5PnYDrveq2PPcwNRlZhEOCE+zqWSVdwso7VIelUj97qj46fI3i 5q9o+ItnI52T/oHDQr7Ned0piWAE4LmwC3MVqSE+pdp/+M+BAsXTwE0edgQjGKIdyMpNo5+vq0Mm lorUyUaK8FzFjHqwXqSQZFbOXaJ0O6rCsr5mwnjBRgfHTePnopPBs3+twiKF8Mvn2ZSEISxMm+5S 01vmGlzHqDDaDuqpOaFrxzgC2V4IupYf6NqJUgZO6jBmz/5MzbyMhW7BuV66BPOT6ewYRyAGhth/ aXjBsZ/AxKomqQ6e/QtoWorQECDmbRLvsApL/Xxfus4CISrvNtnUCEhgWv5d7/iT5Gfz6OT+iCDJ qSAlEy5Mm+Tv7EdRU07y9xFMkiLdDildQmgVifmX61I8ZzNh/7Nt9GyU+3mfa/gVTLnYJiSKX5vM D6GxJUMSdOsBVhaHkdykNGarJEISLLGHt+6d/aignremShxIl4uOTpXdlCgOlULTg7+ZzT8yUiWA KTsQ/tToczLMXOicUItnozn5bpsXSvUeluQUxa9N7tJNLGFhyugs6iwh4emcJXXr/y3Za4KF4nIe d7Q8B9ef7PcwGBxg2FtXsKy+hIW9K6X7ZwZUNztHkIBM8u9SSkyurZ3Uz+LT038B9WUr+vF3ljsz IbmrioSdTIaAppeQ44iwDZutmpq0YNCbTiEgVhymvKaPvoUgAC6uQMSFz40j3Ie07zhaSI2vaZtq 9rw/KDwakpz7eIxr2nZCB8ERoUQ1PRwE9/0rCZQnx1VsMNPg8JlUt9P/kjoF0iY3Rq3wnzfjySnE xMpeyELVfo2RGkW2kx0LKFx66dCIEOIGkKx99KncKzXm/cG8UFKaUmObjxpbQbvZJNZa18NBoHKt yrLN5i/LzOclLMkCYlQhWsACdHg8E9w3ZKFqducl9Oeq4jOpxVOfFs85mM5PI64YaAuLZKqEoGFn yQ/VzheBT/X+6BrigJmycZt+yxHSyrQJZEjTwxGRdnytl5NSP/qOOUVBrVCBE4SUTphp1VgCOHB/ AitNYKJ+wkSFWSmy4bzto++jss5yAWcBkmtuGWLULAXwaZiQK7Xcln9mgKJGeWRuAbn2w63LW9QJ 8qCsh/8S6yshRCOvfoxUUlienYKiUDT9R6mJskMQBiecBmwBAHwOg13kFFZolSi3XHz0G2nCuTYD s37mAFunnYVVp3o926fItKd/5h8y5TGEMXiSzrQMTYQVTtPD7zNTrwy1ZmaJjDLvxISaBVDMkVq4 MANH5hTJARvMQEhOKbinKMKdam/MdkdPhFbByRrsxQlup6K48yvTjyYVr3KGtKt6TP/MSLmOiK7U 4qMD702IF2iVfVwU0l1VMrs5ULh4bus/KxcsR9znLEQQZwwMR6s2ZY4rP2NC6YIljWERU8rV6BZY 9oRk5uAlQ3RhEUFDEkjkeRUuimIovT+K/YskH5fGaafoiZwNe9DPE3o40uD60tWtMhSdz1aKgl3u rSw3GSs5SYPDyCsythZ0L427YiIFg7LNKzL7aAVVLMJO8AiEC2m1jDAh5Vq2OuXw+mDw3TszhUKy W7bwAh2MCzrYZVRDIZ07TQ8HW6l9qRiMJH6VT0ssxKUeh5hMki/njvpHiaZXok7g1PNdL1HN1kQs pPDYSr1pwIAKtpPO3pNMNgIX/L5JFfFz4Ey3VNE833h/JIupyuS6oxVtCWGG3U5TD0fJ4neBUnpS F8eUQ2OvBYRGVn6ItkkHTVoGaznJ1gX/ur0kOF+0ToZ4G6M/gt0LwME5vUgFEBRDnOqEu/IbQCv0 I8VlXiKBsZf1Zc5pJTORcs1ETtqdDT5wXqCHo2TgG3q+CA+vcUZo7aW9nhQJuJ6BU1oM8CA9gyJ7 wG6viUQecCcq6mSUpy2BKk+mtkAyQIdZvjQ0xgh58mO4OszF6g/WXjKw6slHy5BGHaZncP5LD0fV 7G80k6Qg6zXjCcy9XHVnDBcKpVPWLHFEEtYSRwnU2eESunstYVWa+qlyBfs1AjJy9NyrJlDfVZfb 6mpSzG4f2JtFdqG/l6ljdglziZKk66jPZNarFBhyYPBllIHPLjr/y7wMnxwYfLn4ajfTVQKu7o48 1YJzaWSWPe+8hBGvaXdL529enb8mFMaiVHtiFg5WtL0LJnm5Cx75AL3GyP6Z8TaaUp230TqHJ3m3 yC6VrIejeenf8yKQ+bNgQmEulULwgGsGRld4W0B4t+VeNMmwG12v+ZMAotB+Gn3BnF/m3Ehqla16 stJhrFtuaMTv14cmJ1XIHBp9ia8AXMVHu40womh2L9nHRf3D9ZkY6M8zPfMSSc3STd0qFP9LLuUE P9QfOV6G+bN2e8ufBET/u1461hmpycLpTM5eljnSv8WdCmD6I/Y+/CujA2ZIZfaOjgxcLgyZe9HD kVPCF6GSaWn9MVQMrRLMsEiga8A8qbrwfcpS5Z1gEp5bOrT7WkLgI0hio6N5NrQweiM0EXdSLzoT zIDJXqrn/ut4CcVmoQ4uPEJttDRgT7v5ai9bD0fn7hXM1OkoUvrjhh5Yfrn26NjNFP5wWKrOIZRV F6ljb+vZR5HKQZdgCvRAGx3Tg4VaH7JVnBj9iQ6FR7OJuuzkUV1JtfpnRgsGhQM2xEyPseI5tCSh yGX//xk70yTLbWRL/4+1hMkwD73/hTW+4w7eKxU983W1ofKpRCYDgcGHM9jDEWnu60ZCwPMsmmfF ROEuCOoTZI3s4ISWLzihTSNKcTWVOzFhedc9fLePTy0TpimsqHMtnbT0AydlO8zH9muaaV7o+8VV dk6WZ7TzZW4T9KFKpIcj34QHnU6vSJWmW7MLrb9o/55IsfcHn+5qvMV6A0XONM+NFGIUuuTu5Sg4 r98zBakEvk47CfVP5FWqzqkGnQH5Mafi5umfGUkACrVPp1Wj99CSmRhBgNbDQRpQ53fNzv4ln5jQ /wsU18nsiI7/Ea6lmTb+2Ykyvy7AFMSi6/aa4IhRUxF1RRv9iJnn5kdFlOIUIkdiMyS1K6QH/ywY gkG9PmoICESpClXenjeuk04XczpjwQQeYORujxIgeYBSuDstcY13SengHjBu0SrojNP7V9I+km1r jLc1o8Xt4wPeH72gUE7Ae6IBaRDBXdcWx+LH5wXPAfvMKLJTiUEVzeIgOVHBu5UcytTDEeXy5kfq IMB4TjdxDL3Alrn3qNAt2Zfs8jIJ/yExldO6YhA5MAMjQtTRMYePfvIS88phVUVeIeS21IbLWUC/ vVyiVMlCU+bADYy9IDq7oPzLwUQdh9JlAuij6eFIxNkXjI4BLIjqhXHkwBDsXElL9JxrH5BybV79 Jv29sIV7wgSOYNgfS51XkW95wC0ntVkYMW0VGggJMFFd3HCotfWPoIrwGXp/0JIuMtObJoTgl0tB 8NfcFbJ9XJBRf8vM0OZbj4dr6Am2p0wpUr0nb04O5zDzOGwVHjhHDkzBEJyDZcgRbaPHdjgyZEQx UfJbvahTi6A+SHVRl1TKxOzVvzLq1Fe1A5qPyVbJSKbufk4rPRwVv2/Ey29VV7WYYDYxUZEXRT8Y NpYQnT/t7bCw5OmS5Lo9uAvNwZY6sf9lpqZzh7aF4v2JYc7FSrYIuQ6VrC5Zr8cG2Y/eOOhlqRAh 22gxDEaGilrPEaWH/8aHke0vgfetNYT+YGo+j+RMwzz6BUQh5mII9rLHLWUGBmGAXcSsE/XQ3HhV mkoyNhKJeVXUsUT/pil7/iRB526IqO0fGVxI22K67KPFvOd6F0PrpKVDD0divftTyOQYWpfsnkOD MERQt8TurS7lCvprX3rd+SFvoSHwByNnNUxH8vGW6wr+G1MCEZKLF2F3y8Fvy/fXJkVYdL0+kuvl NurSE+leVqdAKrtiLK2rHg5u6asRwXMI/j1VqdAhTAj07XNyfoJ+hUcF5DEkQ71ZY+AQdi0W0FK3 0SelK6sQlIHG2lCfFkND6FTj6cKaXm8OHcKqkLjc/TY6mrBav+RcDlMPRwoA3+56Jz+b14gjhxZh 1DjzqP3CoqqqU1gstGX9WMwE1l0qYWVXG6fKY/1jgIcg/JkkCcChXIMQ89nSLLjesh0r0+6h2v0z o7XC1dyEGWvXZKEtOJw6cNvQw38pYUohIZt3l09MaBQmC48xnQ9zzhUAUEQulVujWLHuiqLn0Cls ihQDqNpGr+3C4UWfUhOT6C7IKUzGde33Sz1fqpI59ArLUkfg3LfRKt4nm15+xEw9HEW6t7Rri/1E c/tGdKFdGFo7ObfmBEShuSSOVyAviqaa2pVtyoFfGIXzTANWF3T6XND5xOgr7ysGMIn4WjprRXUp yArWCUBSw17/Bynwc1JlH+2CbqkaALsRt/zBM+yr5I27xr50kByahqk9lcSflUSC4aRktjAvmkFl CO2j0DWM3+GuWi71s1wo885Cae3EcwT94GNOxAjHHtRw+iCl5v3M4CJKItvN5qMFugXWO3/TSEMP /0X4zLQUxZW88xJahwGWqiO5GXBVimIcKrVgQTO0uu9yieJcGpRE48XHi37RHpTjQhfnGxcKlPwK UMH9gP11z+r1ET+z/AqC5qNtI9Uw7XhpejhqTX+BX6i0sQp8WkIwQ1J3kTUmoXRxNkRt3uKq6jfd 9j1dwsqualFd0KD+aMejjU6nJQmiq2bAr9kJ4Hb6i26NK8JNWSDlwELM9Y0X/SkbnSzTywVQDj38 F0EagYPMFfopSQU2YudXMaQO01wxvTa5d25lLlvn7vn24roaOfQRgwW06zThjMcxCxvtlblBMZs7 K4m8MpMoZTIpwTwudbX7Z0Y9eynxynNudE/VM9eacVcpMQROYmQnX7W6YTQ3n5YozFU7VEL3Ol9E bNH5Uow+U6VLM+4+iqAMTfjlKQfp+ThIA09rVbVdCi8rSeNqyxiSfpSQmO3jmZVDJzHZuSzYOzZ6 U60t63Gc214PB+H/xTArgkkofz7L5Y+lXYFyXDVhu1ZrNR6ePBhETtRGCjG7wkk1oTHbg8ZcoyLP L+cfpCHZQhBndO4SYn2UWNLyz4xovWrVKy0anhY1wWpMmZRKXeAmRvZQvwsM83c9HfvQTYw8n+1d VGShxDpdhRPFADBBaCcURx3GhmKoYlcZmNSPgclEyDoZ2/ncKOLvcvfws0NlG+lTwez+mX+4jxa9 DRst3gVca2bSNGFDSzF5DT4HrzzeZnlWTBTxwgDOki/DKgvL3u0oTHRTOt3Gsx9ouWlm4oiXYpRU r+pH9apif7Q5EM4uAg6nm5rkt/DOKT6rQTx28c/8E77uP20SyH/qwtYT4unhAHc4v0sMlNqyVNE1 M6GxGJqd26xxcaDLVpHKKBNdMMN0gkQOfMXQFMvSE1w+ehcW459OmQ2BwQ71RVBw7oVkkgGWNCrr 0OujaeHERDvYRjt3h2JnQry19HB0Iz1NNXocv9RUfE7iyu6ZiulQd4AXF8kAE8SRDNLg7/aWP9BG dGtuvzuNbzWwfs1be3ELvWi0EVq9IOI+SjXLvzIAHSIFJjsuG60RsId1YM9uKno4uI2uGZDS7gYV +/YBQm8xgEYZQsbDplkWzAGNNTYNndS7WGJ7BtVbVGfYD1ViIZ6yqStS8K7DAQ+U4bk4n8uIAMk+ MmrYE+aKiDY+RLQTSFuJoOyqhyP4WPq0AaQAzt3m0xJFu5UfuY9pJi+ciNM6sIA9dP6iW+BClDn0 FyNo3qqa2+jBSx14wI4sbsAJNbSHZN/OdvmQwu0uCuzFkljMM1XxoJ8S4DnDpruQj62Hgzu6f9V0 4U/n9EDgA4Ox6x9crmNhIjibNOyRuFa0iwbCjelChzFzhf0v3DDLL9c8ps8eIrlW7ZI6PE3M9agb Dd9Ff4x2CXR99F1UpDp+4t5Z9XCwXtr4rBcO6UxP0icmLOpST1TejrCC4d7l0ZCHCyvMy2/NgckY aAhJyMNcqlfgu/V0LpiJs5aY0OdoN3gHeQe96iKCa/26o0OjsaYJ+Yy2XjLSL9pQ1Olip7H8Vaej h7WenDGwGiPWFXohJ0cbpp4dx0Av2nAM47OLYmKadV+HjzcFqAP+ogxiMX6YQqcSXm/qC3AcrspR 8s+MYjronBQnbHQgQ8smF69YN/QbK9fPsRrAg14mEoI+NVFdF5LXWVwmPwKYzCrb3BXX+7N30Wy6 veZ9agTJBenuo6fT/fxI/UwLVSlI4zD7qlAklaZ0f6y4p8w/c+A7RttIVYm0fXQyyZjbO/ZbDwdL 5kr76JBp+VPG/HmNXHq2ymeR2RYxXREoGo4NsZNlAd0MU89Oeo1cOrgX5NBEnh8Pef7kuucUb/tE a+d6pjuX5TnFES9GgmDe5aMB9Rq4dAqtZ7Vs6bZo9MBFpH5dejz6MiGdXqHi/+6qg9P05rVpw/ng epZbqxwd5y3NdZOblxkUvwDtoGA+gOHtvhEL0Hhj/64FILQuBlWqdBc1bbrrIdt8OIj5dT7OYQ7X bsP9sNEyxfOUHbaFyuXrfGCR0m0+7KidZk7OfLyGKx0ECRl+UnuME6UMd+FOincot6QmZskJV16D FdYH/QNzRdJo6wM0+hCLoM2TLw6EBpGaPyedVGwePCr0Cr7wfXnIzXElqVKmcQv/Z6qbkSNS+3mN VPpD1+uqJqD4z+rXdLyGKSwPwpQknUdzhl3zUq9oTlmTFRykrNpfp4NTmph2MykaLysCBVdakmjX pCEJacGsMlqSkkH+VqR8DVI6ZSAqckkKppxACt9gWpuEQz/5989rjNLztek7sf2vKGZalJqQ1wDl PMGv6kyDDNhEmOd8M3NLqW+A92lXkuQ1POH3gOLWyf6qj5754Fa/O4cqsJCx1SNBrjLjeMBNf/eL 1NJegxPOD3iYmxKJjVZBOImFbmGqfz+voQmP1n7nI8ucQQByTchrYHIeoSKVZy/WWT5ptQgi2HHh rEyBBT8SP1BfAxPOLaaiL4Wx64ax50Pn4vwES1nw3aXoUiU3RLGJYrCjNgQg/3kNS/id0dARLcRG O0BS9TC29P3zGpR0d1FjAhQ66H7D7JO/7TUm4WdR+VKYqX8kml1c2rZIjklS2ZI+l3x4MCFTSoWw GGy8CGVSHiYAS6BVpUOPig2wEJNddER7kp56tGNEbUUnzkbPdqhJ6trI+ec1GOHwMYycBCYAFBA5 +wJ5DUQ4UWmAmh+7aondawWP6EZHUEn75TUI0XmauGnb8NHvWzhUSxLb0BwTHT6z9kCIZTwGL8YF eQ1AulPCl57QaKE8xX2VhSjPvoYffFbvn+MUDYXziKbitdSmvSKZuH7VNsT55/BIefjhMU0JGT2j 4DQFG3pOUZpNGj2IP4nMCThUTsKnpktYnqyycNCfVDI/mDi51L/W2RTbGIt1++j1x7lNovdEvj+v VTaONRNuBZ1oWfPJWDz8eC2xsRS1WQzGb1r7xYkOs1+iQ09+nL4W2LrTEdWPttFmBIYplFgAfQOm gxrxmLOL4YzG42XFTMkoRLtFS2NIpGZcQ5ATlLfqjh08+pfrRXgMhB6Tr5B3mhB3JVnzgJxuShuU gF36C1S9yiUIY1vYHgWoPH8Ohme8fJhzguKGdmakI8UrTUFWRkEm9suPgdKcXh/smbOjpiJ9G30J Fj9Aziz/vLOEWF+z3pDM3PjUA9OcRDEq0Rg20l6hTgqX/lGBW7rYXSKUwzGTcZBaFJ4uHz0KOYHk nuJOTQSkJDtYhexcAGg+NSSzMXknCelQlduNTDvWPaPymt36VyhevXOEFKamz0FCp67ke5S8U4SY FCv+1X0DkeSBSB7Di7BLIGaDY0QXLwClc1tsH73cONaqnJzGhJFJMN0OQmPagvvhqxpzKgpVu4qv 0MBstIsmM+F28QKWjEIRY6vKNkjpCHTl6pMShavIi+UmbuM/AklX733hQ6l/Rq/8kjKjeLXbdaMr +KOMjRUsgapWSi9Z9Oam5VzkxzAe5Fs2KEaYz5wDZbMEbfQbZxUjwZyo5+edHNSt8PQkNCpa0fSw SXkPWZNA8ecslJ8JVS7RfzhSOrReUTLnTHf7vMes2VT0JhaNNnqI1jYtHgrTEymTc+UQ02JlQh0g 3WK9oNs/77ygbnikqb1no3fVux8oU/XXYEoMVE9WBRIwCWtefEqioLWLB79UUqSJPLo5z5WpSPsf EQ7SPWXfo1byGgXwtNs0+jEI4i1RNTuXzoAS1eQ7ln+nSiMfW6RhnxhE8ep3iayo0TBvq2+z2k6t /rxTgrpbk9zzpFfnl9iUhGEr54mEBKxtbDrQxoB3WMqmpmSltChulTcuP7SPXgnABmx22Zm0BLlZ JmuqCdNxbTexEbjv550Q1M276X9UBU9UXN1EOe+fdz5QfwzFbOeYEti4OycKXYEeQXVpLrUoFCUI g7rcyLEW2j42J1H02iUr/5/0t59lCxKwUWA5ofA0wwapuiB/oMvYkYBG2n0PYLNo/TMh4myjA95S N87u6vPnnQqkT2tfBYEhvWVPgN+ZQN39PqYMUkV8R5RB/J8umZ1/hPakR6TjJIpipfM0ZKet0RdK z7gHFiWNWGGo7qilCXdSJG/X2r8f+T4pUxRm8IQ2WiUaw23VjDraGlEYu58wlgjl/HRr3wglCmOJ LdjQ2cWxzXgccWzEAATSSYBpuuYkjGNRPhNLwUaPYxdad9DM20Ibv8uSW15wUogd9WnnSB/tnQPU zc+Hvkfx0arQJ8Y3y6hNQzSKZK3vf08U6jnZA5R3AhBBzZbbdr2VkuSVEi5OD1CGhJVUSYsjWUKT ppynuUMAczJB6CEcQRY8u1EzwXFQHxeN2WP7vewj3y+erdmQQ65G3zySPHW06Dv9R+nOjdr47xMU 0Tm0OYki2WJyiy3dclpz07mcfZ7K4sNVLHkn/9z4pKPjZ6MDCs5cL4FPuYrPUV2MA0O+o1btY6Is EMLPO/eHm2fr5kl3tHLJOb8Vq5wEa/68U384obPvHa2pffYORvWakyiQNbiXaLWCzw6Xz8C20f4Z tULv4bzzfpQjcMsSdNh4S9A7wdqQyO/5FJXE5b1bsCKfH0u+IeWVd9qPqgScJ3yajQ5vS1ZOOoFp +3ln/fBp42vv4Iua/S5+p/ywdWBoYnaljg0+m25nglWFSbfWVP2IfWf8dEMObrl+7cf7i57PCYor QkBndsYJUJosZ7QwEWrtD8tdms4/74QfLRPSP4ASNloYS+BgUddcP+98n+8wVlPCzczNZZMShbHU t3GT9suYe/nXfaW5Zejh7HEFV97pPl6j2GM9o4exBR31LdhsP39FVmvnRHK/5MjzwSixcX/euT7d jbYn8sU26t0TB4hiaXH5eaf6dHNz8M4N4UmDheUTElZem2TFy/QDVtrKVk3at5o0m5fW3mk+T/Ek qZyUPuWkSUMZpnBbyG4Lr39O9G1pcSWV9RRQ0ck7y4d7Xny5KdTJvIvwHHLFwtiy2s87yaebyunn gM2Qr9Jt37yHseZkxv277K7p+WKHs4DuIvmk7aywd46P3+dU17aPnhZv9DgBJNETO+tHzrgCEdNk XvUDrlbJ8Z3k0w3kM9QmsNFLEeL3ErKl/fPO8eEHLOtTU8JJN5fnKo7iWPmNKtZUUWkKZkOTr7mz y/mN7+Jx7DvJh18l5aSBsL6NtlJAQZetkG2R2cO/3eCVfuHWlv2V72z7xmBOZvof98bze9xFCk5n /e2fd4rPvxYKD1E03x7FvlN8lCIR2uvUJ4otS2QwwDGtmnYR0aJr571TfFQsVZk+DR9tSiaHycQo t0EqXlO3EpKD66zh8uVmItzAO8XHT0msk6ePdunsZXaiJ3raP+8Mn+46TLdxIa8WWC82J1EUK8zE Sc7SI4I2vaQkh1HzWru9rXeCz1MoEAN3PgzcTr9wmqXfxGmNxsoeJE/Es3l+sh2Cp593eo+WSVe3 r/moOcE3+GrejZ93do8yl/zVDQaTUTw4eef2sEym6k7ZQhI8GexPZciUHDxFp+guPNI7tcfTrBN0 terjDWLTYqlUIUDLOU+L4wU4+8fnMFG9/p3YQ0ypLUNz3UbNyFna2ZD352r/eef1KLp+yklgK8+m Wb5I3lk99yxZJhhCaLmG8xFOLFFl53HmLLtI6Tuph79YnS15Wmr0GUFZ0excMG6sS3VJekdFmCOY JI8J0DujRz0qWsH4pNp4i99bcNba7buCbZO/cz92TPaT5J3Pc6/hLvMGaRK14hMiRjJCBy3ni816 p/PoJNESUQb48XcBGnHuK8WvWcLNVUuEfxdmzLNEtn9ikOUIQVE0I+VCBqab0mAa1X/eqTz/PVuB tIj5pb8uCl+ZsxOopeaByehXVH5eMcX1RGrvRJ5bNjmPZh+tEZXVEeeSofU3ETujCis0JdXu8iCP 1JL+eSfyqORIfoNf+BkBuBhN/UyzLmSsPH7eiTz8tnb7RGss++YVgncaj34FQ1YrVycENuM9W69O CHG3zUlUhAWAi5XL8NHmJG0CXwGPTjQ20e4nW8awF6zjoykvjZB3Bo/XYElulo9WMklkH4rUur7r fZGMfbeN7B15R7ur5D18dV+kKRUEkpxZ/ZCtXJpmsjahl9i+iWqwhKgbcLSP9yChPECRg7yvCO/F KpGqcvv27JDVxM87eYelvDQdMlkb12TtXPXL8M5nc/68c3dUR/IOhi4XKb/d9t87eYe/T6g9om3h X6UYbuABs4XFSmJkr9W/c3d0rKtFnIuPjj86uQ868FQcqbNJZL1K5znZHy/ZSz2rn3fqjgpJRXdN 9dFi+p5NGfskl/Xnnbmj0Hd9bpxqhb07KVH4Woklhne6trANqiPVfKUTW/aI/p224zfdCUtFOZja pVM9HRo5aOm2dULVhMLmSbGJqlFCzqa13z98pnfaTje081hbEM/tEE+w3IowUUutP++sHcVqX0cs 3fk8bzb8ztrh1ukC51TXk1yreu4nqLshCURRZ1LCImzR5qnNx1uZJstmE9MS7UhkU5nmiIUzhcfE La5J7u2dsqOiiSheamGU28IAWaz2KAT+n3fGjooX5atCkFRA9LLJO1+nm7E3x3dyqsGu3Yv0pl87 VBFyeMU7XYevzjo9Fay1R8N3ITJUVHE8l1gRvw6iE85ImHXyg/jmsXAtqsI2AxL8+0Sh1mNnwkmW ft7JOvq0r0qScMGACgyvFkWwPetESc1PlKE8rws3mQwHjA+QST6/c3V0GatKoKA9tafkiDBlp5KG 4nNHMZyEWB5aJ0oQqvSWYbN95Puk4PJ44pGTBTBm/Xxwx4qqywAXft6pOmrs+DHLfxGgzIvQeqfq 6BqVZutykKdcUoco2MCdO3wDeRDZnEQxLNDmMxs2M/OiIM7Fd9YYdVAFKCuJsE8unEG50cP2OYGV odf/4T6eEqjSaNWkEy3YfXxi4Z93oo5S9fo0zykgLolL2KSEcew2l7oL9Vzbe+e5eyQHoMDv43ee js4lYT1n9vHunglYFQ+txeFG2f1k9uBoQfysLxmDnO0jg2NWBywBjY129ZwtP6yvM9bPO0+nP45R HsnKZ+3OyXsk6zVHNBgstkd80ETMdhVCmECT08zmJI5k1eXiZx4PQa9XXEAmZflzEYNvNHpO19/I 5ikfmk6zjwyuY1S6tnyl9/WVZm9WGaDCYPh5J+l8I5Q0A6wAQbM1KWEoCw5E/VfDE9DiMUb6ktAd 5bGSvGD/TtF5YpShE2U8J8qGZ5GLmTcWGoIkPLKhkxEsQmkWzCb/xhgkPQl3fLTuOSIdClBP0vrz zs8RoODJAZFIBx+QPJh9p+d0F9SsLHASvnx2Z3FhfWv7CWuGc5HmJAxmk2ZDKc/1akWr6YQgheYg BkkqnhLXITFK7n1zQAmQ/7xzczQjXMPC0mvUjKyBWaaKSaCCw0i2PbQC0y1DG9QmJIQTABTNEEFE h8wW3YOTnipYk++si/t8J+YITrA1FcvHm8rXE/kg0nkWyU5VSMMOV49qfX1E7gw3/s7KEQpbRaSa fPQ+127NkJ9Fz/6lH+oJNLGY1+vfGTndSetFaRs3cUEiSs0/k9q1O7l4ePJOyHmaxPsZLzgpQZ3F RAsDh7q0kuDwU/v7mM8l/8KgTsDtKVN4G21G1k4GV+x9/bxzcfpjs227pssmpN0ZiYJYNnFeuZlR VJ7bMSd4j5hJuxABPiMhIJbW35DS7+hPvadiltqyakmD4itEpg48VLFbN4IBVL9pnxjcwklFepqh Gr3euGq3lb8qzwbh2pifKRHxxMuNLYxgSemGsU+S1POHYSvSVEntRLD0pP2+iSqwSZJetdzRjxG4 zziXwFE92VoVKbTr1mdLpwc93sWsbiGOAM0p4OI+Oj+pqMHPhahng/5wqd8RbPsF6G78gjCAdYsi qxztta4Y1ypXwrnfLld/j1+tirWVGtjovVAKSbMJ57gQXSqCqg+ZpJwfX2RzO0okC9lDyhYmLhsU go1aJWc3d3PUK73ybAD+vDAC9SzPzfG7hmc6PSzCJuEIHrJ596iN08Vl81cX4K/rLUH6p6Zf0VFR 0iWKlAZ6CGOntlAR1FGD8B+/BcR4n7ikyxCpR3XYKmMxNLxs1C947Wn1XqgMPBsRUb4QsYSI7R4m PYxftXdkHqt6kgCyIjyamlKlrTlcQLRHddgbq/2rVK9T6KyBJgeXMiuZinwczzuIVcbTNJdZMa+P Wjrcv6Q7NlpKjEXespRn82yAN/mAtbIrRlObsFkJCVxc3X1JBgf4blFBCcV8cFtS9yjgzVSu71EE O9UPTULEpouI7VyWu8mj/pyHjUMRxEnX559fF/pkTpBVbNKjCJYtgAHM8NHRFdXEXM5xXXg2iE3K g+ujNq0egU1JROHCficLoJnTP6J9AY5U8cT8cBu7h0q35uTPASw730aPTVo+B/SWW/BZJ5Ii2lWd J6xYqpDC8yPY1qNyrPoXKBFrLL/mYTlKFt+0n2/+6e8RbLqGNvZ7gkKq1NpmJYxgRWxL+6Z/tXVP /yTIqYpSL97G6BGawBhJIFds9OhknKMmryWGeUJtcrs6TgELBvbhOkPRd/rp70FsksbG/3RE96wm Ynfuuv7ToyD22T6XeMBRaXMSVmMB2XW8mo0Mao6e0A04otXryksGqPzFYRA7DZpUfPRqbKsFnfwT xO6MAqncAzhJ0Pj5ne0xUTagcI+qsTLG3Uv02HURFicTWWaW1cfk2b+B7PULRZ5/eGTf38NYOhmg tJAiQK2aL3eh5orL16Df1eDlN01KFMbKwfN/2Bj97J/zwVlg3pP1qU7QZKPZOLgeCJsaSbw9mhPq SfTRbLQ5OdGgKhJgQXg2Som/6kmCubbLO+gRJlZi53l3Z5UTwpm2VDMMGy5ZtJrkONcjNIG1iaVx MrZdkgpSTlgvBy50uACCspwQG8y68vojGQqTpvD6KEgRcRjpF43W4DkHnVlB9bZ5Nlgo6zsDZHZv LNvDWJbYFV1Px2vZ0SK81nYS8TJfS07ZqBqLxvbZNj356AdKB/adtqh/45yzBG4o5HDSLflUPXPi H/l+oHRhHalY2mhZ8clbzUV8pMazETf0VmN1oqi8xMI3dmgUzsK0gslSXOawyCYA00bVKcScRWBT 8eyI6rETadKzB5OPNzFmlUlTCnysIQqGhBEAWbXnPuZ/0Df+iVIN0NtGq1F3hId+RRwvPBswRPud FWuhnxB/+5EyonBWHrgT1IOqJxPFK5MgE8/3H90bxFSEbiOsx5LQDLa4jT4nm3yqyJBFFhXyUJCK BpxZBGfuOStn9hETvKzM1ny0VmBdW10vONk8G9Vj/UxRgR1EGVGHz0oIjCWy6U31+iSJIAfaU/yw 0C3jhaYNNKKCbBawgMz+jCodn9k8F9uZ0EUBlg0ER9ncrEVmYpk83QzuFd4ewPsMYV+Xj1aPxXjP mIA182xQMfimz0I2H7fDM0J+11SN+pqEJVnVWo3a24NFHsa2eaJoFoXYs20oG0zrMDSmRPpRTT7k M+8qEOYQ2lHGELdAjeMy746q9kUdwO2jlVDO5jbE4glCeDY4UKr3ATmHaBxm9ChtRqJgFnIDR+tF lJv9NjOy3WsO40oX8B5RLDud67Z9dBBoAmoCA+4khOfFSSh7SqiZSifaEBcYqwr1iKqx3FEgHdlA lWRsq2RAy4hZOZOTefgv/R0zIifY8Qr1CGPZrF7lnG4Aa7prGMAS6jdRVLpClKS3BCGKnZ5CUeer T3fWwtl+C1mQdoKgnbooKg3TUQxruBsfEOi2jwxSQfQIcNb10cooJFSqKZ6AmGcjtHD6QrKBtdwe oowQGCthjSmim6KG4ZjykqQji3x3usTiEQFj5R8xlfTMT9JzfuBOvmZwZ4gI6ihvOUT/lk9zR7W2 EeoSVEVtLfl4o/utH6ouZiSOZB9pEwLZLFcym5GoHkv0tM3PdFxtLdYmNlEKT8769PBkRGHsVNXx oqd14wnseEIT6NBsHArJAilR/z+L2ZVvbI0ITcfrg/AEGdANW81GbxY36wcihcazURzbPqeJnPou onxEYeywUtuTA+buOWCq1UFKY7PLtW/+HMYmdSlSfdpdG3ndKkT5iTgXxtqYBezfNbNzz62PIXTf CKNYAZSa+BjtxiaoxgqI0taqPBvkxbV+FgmKsf3umjiIlQpaNUjf+ZN2DZ69AnQJUjCK43FGWJCV hLncnfrH3enklUQnqPouiOJySKvoUaCz87vGU6O26uMIC7JVZ0hOPtq+6U02y1R6Ks9GpYJ8T1eh D4C/2JzMsCArHw28YS0B5OqGmH/mJLlHmkJxlU9mrKGlXpd66GPfaI1ODOwus0pGwVszd1InGDhS kL7ovmnfGCSAeC9mjlIb9XbUi6Y7AzeeDaakf5FTEH1rVzRqRgGsTP/E8CuyXwRw2anCyuOu/qNa 8qyWE8+wHpsE2xKWLX+wbGdeCzZ6wiglwf2pKNE6GdsrSiYtTGmI10f5H3tGVjTrsaIh15guBzV4 9i+EnaY5Qd7SgSczFCho8zHSbkIWFI9MypiX6yYDMOYk5nVxjCyjHnyULPJGkVvL5GzOLcxOl+Nt 4zb8SHsQYPD2qBwrVe7WfdTb9152bNFz5dnofL3hq7j4ReBTryjNKIDFtDs3MQ1ZKK35rVMczDYk UOCZzgwD2CSBgrl99IrSOiFVxyoDZlcFtg7tgLOHm+ijQG1bJ4QTdPDkpQ8fb7nKfHkgR/JshLD/ KtvT3jmJxV0nUQRbidPVZRB3dhWJwQ4BtYf1vOCOW7A2Q16XVey1TspdJwgmgfBTNbaPuWB0YJjG hQhZcz63TpMl/QypXbAFNp44Nur16/ynOvix8mzQ82rfPa8mJcB7xoYRLO1zpDJM8qSuq20/YeFw tJykAham1kmEJ6B+Cbqi+ug8I0BEC5whwmsL1RNI1kM5pvq2/xZem1EES17xP7KWg5Ko0fHH4Nm/ VB5VO2Fxbk/+ZgQpoIkAkMDVk6Dju0KBhCMFKaCwoehkRtXYJbJOEcaiPAoFoxU8uSRGd/KeynGL kDDUIPDu6SNGp7t4hjFsVv4n+vm+Fep5/iM4TU2j8WyUEN/dYzePcjKflJDaRchWkoKRQvKeTAu1 NBy4JfhZRvOQbYYCW8UIKt1HD1DO5VBzkjkcJvS0Y3YvEr9MpjZWb91RL/9DdydxLtlopfo0zIUO pzKeDabkaY4qiD2n9a3FzghUMM1PNVt4hrblNcxAGMcMM2j02hEbBbEjK8Who6XRlwlZTYEy2yZS 2/C5TiCYZUDPT//pA6qYNKMoFvMHMuLu421fuMpWzo1no4T4CxqLtN5+JNhCZhfbAVKnq023ejkq XfIVgwY+ApS6i2N9AikT6Iz9qEoB1jAJL3Q+CioLrBLQCVz0LJOrkrvtG/9Qnt5dVPx+qfiIyhj4 ZMzNs1HIVm/NXj3m33WvnRVFsVDO9nKYSZaivwqyG2yTKSqDkNC+WRGsgNBfdTQfbUYKzPCRBSsA epIlfArtW+mfrJyd7CYh2BXWYVE6QS/JR4tiz1201C0+U82zkbSHJ8TFSo78vcv3zoriWOo4J1Ib LtaeJDajBHBPmykUaJwVucI4VnUkmII2ejUJe4yW1NtZ57rl8DizUoVPFZ7jEeyTL/QKC7GC2oP0 tdExoNvgpuSZPBuhlP5FeDuhYvMTdoWKsF36BN3ofnxrd0W2kU29XbBFKxSsEFdg3WJhcpJqgSoU nEjzLJcs5s5J8ZdZRcCmIY61+KReTdjM66NiUhH0ZPho9IOFKD4/Vqn6Af9SKLA0gHDxrpOQ4bXV GFXrU8zsYibzFXsYszw7Z2Gy8GSFuFgpnVRJkNXnjD1r4oSaWeuECgyNP4picDKEAf3QZ1UpWHEp lnJSkkpduoSmcg4oHZOIVvHs33JAlgUNjAsqX6EyLPshgVIcUqkzBAH5TpYhnFTItgtur5DhZWpS 0icoV59ADk8n0BfQHjCKucQhZnzi2Cal53uiKDxZEaqgKwekuGajlQqSmR3+oojFs8HemU+9nhT/ d1ypoBVFsaCy0cGufsim6RFbrle2fo7hEdsKFQqoEfQl6uM1Z2jnWAUozEXWTlZfJMq8KwRYI5CK HGnmDyICrghTwJ4DTZB9tGVyMjOrnow+eDbAFCxvdglsIsnddiclRMYWcRV183CyJLX9EEuz/nGm ClzupESFWABDUDHu6JNytmTZRXIvheQbzcmmRIxjTAK0jgRFaoDX/wF9sqtV7MUAZRm2KlWwEw6n xrMRqfgLG0uvC1SuzUnI8Koq2APvlgwoLG/Fri2ppER/BuNI2zshNLZLEUdAT8lSWK3ghPkjoR4B fNqUk3CFoLGvICU9iTEXCK+P5D1UTQIdq9EqjzPJVksXI8++7511UUoyxCvWxfJJCdGxYIyLWoeS qgfEpdC+1zL8CprbCRkrrMYuBScmj3M9H4iA11hNQlsn7DxzIgPXIpIqG+0B5Ajjt2J4rM4TocrL AwzjVvNSdeXZoFM8y6exg4jZpYyuKIwVeTD35sRiSYJaBpjrbXY9AgUrDGMRKOgyo9Xo1w41sYZr D3Kx24BbhaO7mPnQYxG4xrCPjCgqsqjiQtZobaNUuqmHzTJ4NlgmV3rMjmMWDda8mpUdRbKycCpC TpHwFJMkB1EwZRouK6/udOv9Z6Gt/0qenEAfiVfVqBOWdTLYkYHWTpaReV7MCtdHRgmPQtl2x1tp m0YzQR51h64G9UvhEfmMp7ezQ4YXodmqAsOSDs5LLh5qJqGgtIrAoElviVaKlWKrj16QpeTdFwHK WZDnKOR+qrKPIBNDRcNvHrVgef0f6ifg4Hz08L6ZhS8BLc8GN0+uX11RMp8byO6Y4MXF09QM7grf XFrYDQ2wM5Mco+YkCmTllTJaueODsqAwLSOmXsDH2yFMDEsX8LHXFBB0R2FsVe0Rz3QbvQPYumkD l5MD7v+DUAEFKWxufUJCdhf1kCRzU5PQ7dYLLGnNeXUKqhMydliMVT0kK+fJT+/85AaJi9mwsbgE WZtYciKwuD+cDFUKdhTFbjp352jePjrsZCRL4nraPBuh7R9AQVaRjRKazUkIjrWq/bpHbEmPBkwf F0/QXFZ4h9hYuZihSGCj15DHHOAzNCdlFDMEaebhM0yx3RzvuD14exTYc8KaXsG8Fs/n8s6mu3fC FJ6NlAr2p3NuMqBX3XFHYawcEU++miwrRu/WeMX9CrhTH7tzEoWxS9WTJnhS+8CT0HTr/A1AtnaS RVOnkwP8Z3zEG6S0vEO12CLieWo+Go4NrzijIqTFsxHspH+KJ1DdjCCjKYnQBPJ2GCZ1wp+qsEmE NlDEVWSr/ZJFdxTE0tPjFLnj7QEujkPKJ2f7nW0s2AllB1lIQ4Byb2fRK3h9VHiU2XUrPjrGdBr9 raW6eDaqxX4FJ4CFm+fEO4xhCSuGi1xuKcWrniTGJzuIHoqvkRBOYLpzouWna9INCO4E4eTBuD8g 6SiTTcqAZE8mUjA/qc6O4AR024cKODbaxsFZw4rTdfDsX6WCaPp2OVTajISV2CpYpMPH4fB4Dems 8sfu4LJU9p/hBEXt4pKfqmPB+WFU022vGPSefaPfWkLO4aOeJH26HWsUSABGGv/r2j+cUNDs7s6+ 0bNBkSDtf9/BWPfZlEQRbKOMM4bcEEl0BE+V30FxCs9ZoMvFLHaEJ5iiEmtfmHCmxWrowdas/h9F Avm4uf/UOehYfX6+Kuji9ZFEgahdQpRfzb5z+m2TcD+n8+LZaJnc4vRVUEJkQpMiCldQYutygXC8 lvAWQhQgc/3bOU3OtBSXFE5hCAs4qaOuaqNNy8lZT2Isy+K58ok4ZYKHWeJZNsNQsXep3M98nxf4 LeeWQas85/sjNiy0BfQ74fHU09Exewuy7H41Bcej8p+iQJZAKkuKs5ubs6aGWChJHhSN4dm3+3Ol MJI1lcfUfPSS7OJchdROSbYXtXbo9apqw5J6bNxUu5dWzPsPJ7NVwJQ2GpiNCqmgfgNb9BTFsvsi /FRYoqRR0p2X92AWfjGxW09Opk1iO6i0tEZ3dIFc3OWImEJ0rA7tqnmpd15O9oe1dZUpxJaHCIFK ltNRhd/wKeArPeb9wbzoeGmGWfIyTS3NsXP5TLYeDmKV/MQqwvr+gtTweYkqs9LVapQFDGAAm0HJ 4NylOsCg7HbnJbbsmkKOVx+9sZHmeYRdA1PtHCPKBjdtSzkvIr1gJ4yV8Hl/hLunXr3U8lnzeiCc qTaMeE5NDwfn7rUQ4crAEe6jDZNTFNhSWEWstDkmVCb3woTO4agl1IKNosFrookxPMry8W4kcp8q PepEkxCdroa3UpbHoixXTXu5Lf/MqJBP/6vWO/oZVqa7xSNcnkKkQZ5fdzSN3PpxV0lhldYMUIFl EvJXRcXCGqBQUi1rLtUAGLzmD9W3//UlOjGipC6I+c+skxLv839WkLIn2WufStOe/plBNKetJJ8r jZYt0501PZTc9HDksPJtBLikSPCcMbElQnuyQuVCo3m5aV0F873GdRNJYZSrs7cLgd8frkZNveOB oIlpZQ8liFldZNo+cou023pM/8w/CbfhRW+jY/CLU9Tnto+LWHFfWTMNh5WfMyYUMlBfpLgHLZgD P2OaiiRSXJLFuy2YsFxrpm97+egL5py4cw4Fu+kcvi2p4sTKVr9tXsGLIvErvT8igSWhdapjdgz5 N4Yg8ugiND38F8Cbth3tZdofPjFRwLt0QyxPD7HQ9dJ+X8m4PXioprtgIkGDKkY2VTIbvahKykwJ gSzg/FKr+mKIHWWUJLjsfMG4nWaKzRE4dgmtbHS86MgGRzp3mh4OdtJttUv68NxJ44lhoqBXQngn uCru0run8eQqNW3roIIfv+slqtsi/oyoUPfRWx4VKi83I6p2Q4ji3aTzILsI6k6WLZoPHO8PKi1C Ri7x49bDj0tsUt1Oiu5Cg4Qbw5h8cpOr82NsFZPB1BYrBmmCjeIqbjV5AWo0s6W1twR3ksRUm9xo 2tMgA8lwfr/isyAkIQpQpxknU8eyPuJcZjAS2H2xkahQDlUrryzNSRuz+bCX8wI9HCVJ34qqq35o tzk0/OpsjdRavvIGKXniuCXkJnkD1onNS4RDSJqRrX20n320qZ5Vs6Vdq3SlSQr+rJ/6OAKYt9Uf DL8kICpC/7rmVifKWvbbPlGFHg5C3keLSk0yiUPeCkMOPL+sFojLR/dC1O2nnvR3uFteVyvNjNBC rdkmlVnc0cfjjt5HOUH0to2EXfRaZootp5wsidMnT0r+mVEHhHAX/TBGP3jzApipg7cOPRy5anyp HHA+QJHweYkKuXwqjVTrdlAr61btLyZmDYQ773nnJQx6FdV1ERf6Q1wYJ8KgI6nYblGKFnGBJKkL KvlgvsbI/pl/UrnDastGpy4ky6shjOjhYF5u4VK/1WXmb3diIqmutQyNDtXJtE+3EusC8MQxCah4 mIFR4P2VBDJEcSr7eCHBY3J2DJ28J6sAGFaR7c3ULmnSPKJu1T8z6DaDq13VtDF8K7VU+vKg1z8u aCMuP2B4igI8J47Py3vImwSDoCiS/ICRbrYOGLWxmKFpHuHdXhOVpnTk9n8ZxZ2Vc87WVpHKPKHA 2ght7CYG9RINdX7034d/ZnTEiF2pcnf3/lMt5vtKltSLHo4UNNu/56X1Z72EJLEupEDeflPTcFBZ Fz0Io7tIu89u6tAFTP7XXZAnjZ5Vz5YmNBf13s5tzgF0QpbxuxEeUFn3X+dLqD9LS0lEQhut2ACb XBXsXrYe/oteiK32bqDZOzGh6IFWdRIfbEtkdHj2eH7Zlj12rhTfSJGFglRoB9qtNl5AdlEyTeGu Y3dFiNOIWkzlZSeP7OBh+WdGCwbuHApRNtqdxBFj1j3JHo58anxm9C/TOdCJ5jMTxrxcM6XI6znL e8/NWM7mMDXAeo7HfGcmhiloE4mS1+p4lsx5dVEX7czMyktEKijcmd/AfOzAppnphX5glAbP2SI1 leppe93wuO2yXlkPB7nAo26tnhHlpvlspRhyCwhMmEQzU8jLBfAkOa3kUUGj3Ulh0Iud8WgSym+P UP7Je/EzQfF7pUlmIBV0bgX1d5Ekc2Zunv6ZfxDLXznd0WK780bDL53DTA8HuUDzidle0dQCtYkJ fcGQ3UNR0aRUctmCtZxnZUGism9X1KWzNzQGs3YahQUbnwXToQIaoPJEY+I2CJ7YCBKeOgyASXv9 H7SLsaLT6Iq0C8qeSr2FBRM4g8GS/ULKFbWv2p2WUNJrSNQJ9NE/0tdMzU4YsEt2wqyyXWsmh95g ZvdU2h29cIccaK5FWqOIIE8hkqmhkoWzfHxeVpr+mX+AJC/AIjYmzQa/dz+Fpx4OcoHmaH7hX1lz j6NrbA8mDZ4ihML8NeMQyc0kjOFlp56pjfpyCWNeXUlSEtd44S3n+E6DXAA52TnkiEzUUppYqTe2 KxKN0/uD2E6yzhsArkabl51M//scgU0PRzHvl3aiFPHrRf3k0CSMng7ohWsm3orLSZqFirss7GsA HIJwhXGBA26jUz8SiHNaHZTtKliUMzEyWabv2D8CKyqF6f1RZ1rC6FKBE4hX1akTNQ/bSNk+Lsqq b3WqqOuYflGM8YkJK72yiy4Sx5PVgot/F5MC0snbWrsTEyJxxYlRX1Gj76RzO4PoVb8eEF02/S9s zIYRmVTOLBBH7SujeZGjnKoNw6sNVc+Z8+CcejiqgH81Y3VTt8fgNfQLk5LmFPTgH9OW2BcdtqZX p0px/E8ODcOWKeTV5aPvJKmyUsWBBdLtxmpK5Ol5lvmAou7JGwa9vbolh40WwwzYcAp6lz0c6bJe rh3/TYHxsUcOLcPaMBmrZYShQfnMsAz4GMtyvc5LesiBZ1iSEsceREw2+nohAD5bResFi0r1KCW8 j9R4ufYCMFjt7VHrHhQDHg02Woo09wWzr6GHo2rDv1xtyu96UoHQMwyy1JZDnkwX9nbVzbJ8D/Um C5duL4kOly0hiOHjMydIV5EgIb6ZEFg7UR0VV1Xn6p2UIfxcDj3DhnSK0FKy0fIjwPLWqE5VDweX 9PiCKZ8fjzPN5ySKdSWrLgM4O3IVd5jjXr+uC9KWt1kJbReyVeqGj542Ul5AQ19IoBP0qtyJLAXa 7eNpxZqGbw5dwyS7AQLXR1sprRjUlWK9Hg4OlnVvaB2458Qgq/FpiUJdFQ7Y9LZExJVRF6DWZKDU k4vk58CN6WU6SnXspvL0qBMm6ZUsa044EfQkGzSVjNKqDpZpN1G9nxlhlZmSLmmefqV5+glE6+P1 mkPvsJnuTWTqm5Il94kJ3cMQD8pSM8iyNp3y3UMqj7NXNBkaZJ4bxfZhyo2GTtxxT1zYhxW5UzWp 8/mDWGjYeGSwJF+S+hKazKGBGOfGVLnTRufJjFkNJjWmHo5C3fUVugC5fNZLaCGmvqjkccRGzFUH LnKm2bxvpXd8L6LAQ4zZZQMZZGpeTjaR+bmd6X2di2iXsg0UcvJTuXnDWrB2gGq2en1ElZGPJ+qK Gu2CPhNkWI1G4BL6iI2bAXgB63dfgZ4cG4kJqTPKVV/ZkkmQuFXOVzCh3nZj6CQmq44+5HQzPuAg mJmNTgPV3cI/AAVD7QxCm2Jpr77M5p8ZbKMsvvczWqTbEBHjbxpp6OFIuGhfrGGWVOtuz3IJIQ3c zE1tWmUAVYEumgnDLSpOIrPu/Rz6iQ0pCRpHpD/FOmyLiF2m4XRV8dhV0qRErvtB/c/nKyPit4RM paT9GJqfu6iYr0sDARP4ibGLLgJmqT9tunT+N4Y+DMQtK3XfRlgDuBNftnqLBCOf0yXWsdXtrDup PmzNE9efIAVqgezpJRdwgo0kMxmCl3QV4qaMkXLgK2bdxplAaNjotJm57vkw9HDEw1tfx64gVFgw +sxEka4qk7ULMYZ6griwIBoW3865e7K66SobOfQWE9S9i0Ol8ZZ3z35EGo2dhGsjUgJVn8ZBLayH 81jb/cwgfNFNjQifjZZBN1tq5wXUGAJ3sf8aJBnhzaclCnSHHJRbslp3zsrZOWBK7xbS1GSosmSv eZ+WJswUZ5KNF+hBRk4o0OY6sa0YAKiJk3PAfO6P5ZovmFgGDJII2BMbLQGYZ460YM5tr4cj846r A8Z/08aeT2YUGIxR3R0Sb3FHk5KktsGFtJ0tQYWt3p0UAxrUIJH4WX7stPo5JQHFbXnVn+VO4eqE vRR5ugqZT/8oLf/MSOeJOBDMuY16P/aZNjGDWl1gMmYS3h/ge7GCu89LFO+K4jOrozHPuhRYl4yR /FkKlNNEWjQvUbxL7HR20Ng+el/t7JKMND7to5VOJMxxSyxQ2FxU7p4a5v3MSCVN2se9+uiskb6k ZHF+dVUPR0Sae/RaxDv0I1+oR+g1xtdmqNuy0KJAddGYdJC6yDTbZDrtNX/ShnZbus9lffZoGr1J gh8sDI3sgms0ZkxCXl+mxC7+mX84YjZUbBuNdsWEG7x5Tz0cqZbeZNowDYJU3KA3NBzTDbq7u/Wt 4rS0raqYQRqGcyVy4DeGibDp224fHQi/5lQXhFzgHAdZ/rDYFglqvh+zPonB6fXBtGgPVWR/NdrJ iwGnoB4ntNbDkWTat9Rg/237mZOwuMthmsoDaJhteL9ROGUHNHRPHEPHMRWjJkLKNvqFhGRUAkmO 5PzZUgp0oMvicQYy7qNds/wzAzVXOXtQ17bRTl6UwbQNait6OLiQ2rdEmCjI90YKPcdEG5ll1wto KMkzR8rhDmjIz2qJxRVUpJN82kpfDHFEokWaP6mjECNo/hBcZbUCnB/etn/kH3Bk2Jb5aMcL0GlF Zufr9XAkrrDurFDB17X7TEsoc0vrb6jAK5aApNRUkTKLWEnSPW372HdMfUZ1EzXe+IUCZhOI90Tr qF0DwEuCH/6WD0PcbqPAdowLRWh4XEA12tF1MgqTvj4HvR6OCrtf9uRFu+MzLaHWrXyWkMsp5lCH fwxpkeRoi3nozuZhXew8NkVL05m7P2fuCbewMG3WOcryuZdWxLlTad0/ckfDd9GfAt6xuigl/VJK Zi3dJKDarHo4EhX/djPkpKHY6RMTxbvNdDFcBHhLREgFb8m8S2bByBXJ3hIV67imITvbaOFFwo1t FLnGnv9HnxqUxxB7ZSBb/sxLvl8Z0Eoguq6Uko+GrzuxYnXfoKqHgxJmemCHXLf5dz1wqcCDzOKO 3NX30x3dU7q4QyEcQDPMcZv2gQkZ+edbvCtZ/mH43XNL9y24VOFAg5tA5evRPUr+mcF6kWoL2AIb 7TI6f7KCneLd0IisrPZJA1TqKbRRfWai4i4iaCRSEpCjMGW031+ZZpkpaMcFt9pOiuAM1Zr2YiO1 x9kCWcpSadTAPsDOgVaAEiQsCWHiXFaJXEFz4EcGpRcVT2BZNnqL0aET5YQAevhvQA/+gwZ+9QLv z0vowl92rmlwtFCDTHEBRJOwDJNSo/KAIfAFG+kldCErU9EPz+nqo20j+AH4eWH3kWjWNV3lWTmK JAG5aT+aUC+Riz4R3bwpxo+NNiWr22VE4+7nJW7Rh21rGo1fhfv8Uk+29yuBzJe4xSZkSI6fMJzE CLykrROxets/6ptKN4wdFEyIKK0TS3EbHcKwyyglmy7/wOPjGodmtnf/TIjDmV8nBOFHqlc0xGzU abtqsX4Pno8/LxGLfrpqxahhKwStspptPl7iFT3RpaNWzIGNs2UaKgowf7EmfTY/0BOvvEQrtkAI kOeW/Py+de6TKE+8yoWOGoB/1EiiAcY1R8x7gzhcSH5eghV9Ia38s/1EFy+XLs4RK7whhLqfl1DF ZtJgLtaixQWA6o+m4yVOseXR5Z5bbkFBwDIRJK7xIzLJvl9eohS9hPLeFuDfRo9SNtBlCsq0RYac uHkXGA4kANYHjaom0UuUordzPqBjSg0K6I42JBxLw9D1c0P/vAQp/uMVmxDz96jAPmxCXiIUPSFU Zdr9kajsF/SzVGFBbEFhrAwNo/1CLx4bDx89XW7nfu9bqMIT7th9I4uyTCMOuvTdL80+MThAKnXK kaaPevs5opfq0tTPf15ik+dRP0CyDBsy158m5CUysS22VaN0zY3zt7TlOhwlm1DliXW70/ReAhPb MLLtE9hSowcmINATLtg496XJiea+OBScWr1mQSWpY/YSltjvTHaXdE9s9HDtRAumOdH3z0tQ4veF T4i2ui6Pu0JeYhI7hCmIjSEmOGBuZN50gkBXFLMTxmozJcaXiEQvIUjcCw0iGz07pn8y3FD4TDDL gk6IKNEmxOjI9iSF9WjH6NKdagpNL2SfEyT14X2P/PMSjNjhYwphNh+Vn5H42SSYoxNV+z57tUBA CLWZH4a4QMDaLy9RiC0PrlK5iNro5+ke5Hq0Uxd9LVUtJ/kbFLTxuL4YK+QlArGZlmppbXe0xYFa u1Y8JdqX+MM+q/fPcQpUG+SKlIOCqUATFEOXfQE96bJBBJxXd3lNb6O+1NpsjxKMzc0RotGXRqNw BO+mSRceohzO7dR+qZUXAREMGCf7+pdSm82HtAOscK3ui+Z6bYMkQIf7eSm02bG29tf1grrSXRsv NTZbTqQqY/eHLN+cLN+MNt8keu0QypcKm/+1OkcBrWi0L8b5eFWRqdCgzJI97JJfokVFKnTJMdM+ MThNzQ4ICTlLu3j7icCLwTLq4NHodvlaH1q92RfIG13Irspy2+tWF1j1SlFOSTFKinI9ZPA/zcik 0GqjLRF0t/sgfT6RWcc+NMnsRUTq4gYNhlpv2T4yWCID7RLwozZ6e2CZpms57/x5IwvZnplfIQhF fq59m5MoRE3sGqN6ycyRtjxdn8IBINJ8FYXTcJNhjEoddiInYaMHIZ2LlgMRhgOSlqwSctdMlL8+ NSRzNnnjCtmZWtTMkEjnowmWz37cj/rVG1XIo9T1mZNJgpfvSfJGFLJJEYFq13HBx9Xdt6UcadhA vLUdkRFMytaRudIdPb3bDVwsBQG814Ypm3KwZlFn9sNbNQJVFKli2ntuXLTVUlq3rFabBSLn3gUx GUUio93IjDayRJVuKhMFq/JoOJ/u9sGdNE/B6t7ZkCtNgB5vrwfnK3nJ/2R355rB+32BfqNTOkyg g+67KubqlDr6LRsYI8pmEuU0MkIbDY69RdwR94N6fXSgDA9XtfNVtAIIbrPyHrEa6CavfA1OVsmG xTgnl4D8ch3cd/u8h6zZJPUWlHobPUI7sRPUW5DTmUKu/veE5QsA9XSr9RKs/XljB/mB0mXjmH20 M7atZb4VU/XXYE6Mu+Mp3k666qpPSRSz8sOANmyGOK6aV0koUPlrlKcnhAorjrwHrVl9pRMecNGu fM2VKbPWncAaktbADdJZXDD8pK/2cUryT3yfki3uy2c0bEruwzwaUqs/b7wgCzMMyWTniTQmJdOm vy+MWmWb3rJ7eaD85InenMk7x8R7VmGMwlb7YcUr1OiFtHMfQTxk75Tz6VtsVvGvuV3BwFpeQz9M b38/T5q6o2BKbLxHeFZ39KQ4++eNFGTLxJT3h6qupgo2PbN5owRZ3qB+mBCqYsF3AWs3Dg/LYSky cLDqYhS82mU86x19maAKtmlYnHD+5PFdRVlUUTPy6rqMHQ1o3N33+DWLPjJz197pt3eBNJBh1laf P298IP80v4ztjlqmv2yT8h7DYg6bhTG2HUN11QjeJ53q0zEpGEjbcRIFsZArz0GB85pGXyiwL/cu hjCQw5YWinJzIYMf8X0rf74HsRZ5nX1T72iF6FnlUEN9Mv+8cYEsQjGkzrA69EneUC6wOYnCWLnT 99EcjdLnVR7JotAyJ5l2V9echHHs1JVDB1Cjx7GodyXVWjeQ/VEMcKtmmlnD3XbO9o+M5kQqaeuO hhol/JmW/62fNxqQRzf77h5BwWAKeB0timSrLDDLDVCStHcMSTubt4nH8ADljQPklRLNCWLZ6xHL HkO0X5qK57ZHdFCI0l68Pi4ys8f2e9lHBqes0NYS/E2P4G8/n53dwH78vFGA/p3tcBieHxbxXpuS KJClAImi7brFtHXP2DSvuG2fbsD9xv/xxUaVpGHArdFjtvPbn31Kn6YA91+SBqvycuIueoyVifn0 +ijf2cp0mo9WbT1HrPVwzs7/eWP/2AFd/Dxhx53fDhrTNiVRGCsH1KcpnIc2K9Ujid/xz1onYtIR +0b98bKVxfbJxwvLOQe4ZAFPJAEFVF0KjtPC73d+PPpE4fl5Y/54eIK9CSeQjY5v683QJ7m2nzfi j1fz9xOyJTDXRAI2J2EYK+XFzA6XfHiVWpw0hhSm0RveuorZOVEYi6z2XiBObbSd02qi2TxUg67S tqZmUnQVC/J/l0nb/pHRMjlh7Enfh48WxhYiKP1Yc/28cX78JGqf+ITqvqxVbFKiKJacgTr09ZqW pQohW1FFmSWT0kWdvDF+PPjmcMXg1cZ7F9PpLwLj5LoEKOCuNl3z+YCUeODnje5jMyLrKNqqNlrZ daRpTd3dys8b28euw7U+Qaxsae89/Mb1sVWy0nchOhkkn/OVapmTw54JiQqvVnIVPUOjJzugqyca 0bgtNlIf0Etd5l2dFf9kgApO3pg+Xs6wrHj7aPdwWjOZ+vVqP29EH1/AX6VGxN1lHqw5eQ9iqdbS PJDamBLAvpymUIb/s0bbyOfkPYjlgCXVSaX5eMFs55qVOMq5h1E0k/A+XfJC0WbVD7xa9cY3ns/T HDq3DQmgRgMnoBdnIvNp/7zRfPy4LJ+dM1FdRYrPJiWKYtXyRMbB2LizXPITSkzqcqEK7+4mbzwf +03CJ13WuOi36nj+4Sqo/hZFsVTXOYipOsLghyT7ZDvbvjGYE4qwuSs17g7v6bBYlwEqmJP3INZa yJ9sh9Ri39gkrsPS+51yQTX/L+8Cd9Piz876t4v4PYalDcwJy2lto00J+hir0CY6sclALpYpQREa K6ny8TYZzb8xCNeG6Mml+uihiZQzCdaZkvcQFvmE9Sme9CQI6t07UQxbTTKtPAUlF0M+Qbd4lnT6 ipnA9J83hs+nTLC5Rmy0vYPD70IIWXE9vER2GEgLOY3OT65D7PTzxu+xGcclFK0WHzUn56pJ1058 /LzRe7zU9dXJIV0fN4R9I/fYMhkyJB0mLJ9Rz7X8b0rLXU2uJNBw11uiI1blacJ2jR7Wb7J5Sbmu c0ksOYNOhPpwZBqfw0TF+jdmj/djJATRk4/JApK1zUugn9Dkjdjz7+zPnJJONrx9kbzxenxRInpm ir40+3Zzl7HBLOHs0URXUHn6jdbjVYLmv34bPTRBpnRwQ9Mlb5YxLziDRYAjMv7HEuiN0/M1IQVU uEbngCy3jur2XcG2+a7DkvL0W3J8Y/R4xMuN04XeUw7oCPOapf8uuMDoLnz2RuixdW158Lqj7xok ZdYwqxegA/xb05yoz6mxniXCcfzzxubxhF03Tdk+WoPrJKRCVZ1F2H/eyDx+tn5FaiiA9ZsLv3F5 PrlwNplAtcqXxyWl5YsYaNeu/o3K45uG6wZcpo0WTCWcy84qzTpbp7B3aBbzO6hyZ/2YB/tHBhG9 iQjl5pVp/TQINk7FW+d/Kj9vVB6b0FQ/wRrpd/MOxhuPx55oCl4fyf3R550TBwxXXZ02J1EJNqlm IgzsejCwaFfNWSB6NPCvhfopmOzzhRTwH3V5qYS8UXg+FdgEVsdGC9SovBrGpuu7guB1p+821zm9 bk36jb7jNRZII1J5paUz9zXvsAqYzhHWqm2bqACbtEiQMLDRiwMn8zk5zGLbbNy6tEhUK5GuquCM 1tJZ0z4yaF8smVS07aOlOGiImy/DCejfuDu+Rm5QojWRrRbisxKFrxLWGWaXRfOPpF3IgSrsh1i2 kPuV+L2Rd/xYN9hR8fG2QzN6V9QHdsM3PEvYl+olNz2FEGd7KcD4eePuPKU1xLGbj1arP+eeDtuz DuvPG3XHQ9/6WSl1SgLQw9c35o4Xs8sXS92sG4EPjDoufKB6VPJG2/Ep0aNCSzQpt0/VByb0n9UU vGJwC1kRcsMJg0g58sM2MELTG23HCzsK6eFSa7RbGHiTea7X+vPG2vEr9fYvmIEpNtWFmLzRdmxS BPlo7rKNlPfwYC1fDsZcyWVI31g7tnGTWuZr+nivHayqqyrT4MiNbLC9U0+q8hTXJPr2Rtp5yiYD PI+P1v5rw7Qw6rnVf944O97++ArqhzBX6W6fKIJVcDL1UW7isVw7UbLvbJ8+r0bgG1/HvlpdHYE3 NT7ZH7o6dIcWTUQcSEGw7F85udE2utvHAraoCmsuSUuStcsx9SeKvFWCs01/3tg6/mnf+AqAinQ1 DK4WxbDkeNAUpbYkHLDi1TP9OFoKB4zYlLGY3sg6X2cKHqw2elTVkR0y5ecT7mSK0iiCVNRRTwrY P/qjggG/kXW8AY7ZBDrAjC4niRYAofcv3N2fN66O7b22vuJ6JNQfCF8IKCADTluRe9LFfO5xoenp lXbVYdXp1ZxEUewEcDKhZ9lo5ywmqG5tQsUjcREgkSd+VPXSSTIGU7OPjEOUk/lhva1Rrz/BtWnv nzU9ft6IOp6sfzUA8wkWATzYnISBLCz/NLcjcVQsNWr6nJeaPor3/95oOvYWKmsT/q6NDgQ+eweD bDdyLBRo0B0tUjL6XV9CBjnbRwbnrHij1JxstLsHISdFKWc//rzRdDyU/Z6TRH3hzsl7KOuuBWCX TdwBYVm30+LXqEJsehyE3zg6+QF7TvU8Nd5TNgPg096ZYGeMJkj00yp7p3xYOs0+MriP+bczfHAb rZyEw5hO2ZOs/bxxdOzZtr/w4uAqKRPZpISFWKrRS5QkiG5LpFClgGlmD91oa6qc9MbQ+UoBUdC0 0euOJ/YEJin3gTrNfHvi0gBySlLe3aJZSVK98XMsCRzMSZvLx2TFxr7NlncNfVmwTnb7RPeL2hLw HJuTqBZLizzTGiHxpWSgfBA2etaBAtSMSMLmJAxnYaHIlma7OY3C2VbSrMgRahM1EL1nIcEFVOTg SaAwGT9vzByfEQEJBB3f11BrobiouGuACQ5j2bo/1STJH5ywzyYkRhPocmpWOAKk4jI6VUq2toem y16/0XK+KidNzb/2NP+wGzonqopJeL1khXVqgOMJ9ujcGWr8jZPzdBapIjUfbUZSSgaC3UXPBndO /QKxib2YbvPvjZCTL0AsF9P5IFWdTpst3TqjqGjOa7v2xsfxfUN0MqXPMK9I1LldajHup+zIC/hw wEtdxb+PD51Q0m9cnCdngYq7ffQZGW7geC6xnzcqTv4XCkf1acqD6QlNoiBWoQlmtnblQBC3eE1m 0wJ/znW9PlsIh+3qcKmC0m7Bh7Jcy02W9eefnkhFV5KcQ2V9ZvQCmH7TPjEAazEZaUn4Y12FmLQk VsQPtSrPBtFa/yo4yorCi0ktDGDlGFQlB7+l22ju44bFU3Wt5mvf2KISrE1I2sPHW29MIFk4NiD+ Kswih0qmFQ8k9tHmrfaRAZjeeAVos2o0oOM5+8ykY9unBf3hW6rXQQqh5+Icexi/cvik6m4cOIxl 51UXCZahD5WHL5L+Hr/eoxXqjY1ecTw3AnBaFsk6+5C+BQr9YHBoiIhtbmeJtCH7nylba9/RIjTs rhzoWHk2QNTvr2oSQgwkyTYnUfyKrhrMk+ana3LU1olf5cSWJZzj0m09whEMobSoi9roJbZyYuC+ rE6gspFcL6eyzCGpjVtRkjFSjwqx6LLTLu8+OjRYfBZBKxbPBnfwhZskxa9FllE2J3EhVr2t5FbK 0wyU6R11sXGK+WtYrb5HhViv1dfp44Ulnax+Gsqx0QdFT6dLmyyhQzuepjknn17/J1jSkJjFuNhP wLajeMbDswF36zIvRHeUajSJhM1KSN/Cu2Zkaug6UbpABQsQAjohCEKqIap6fY8i2Gnt4XLHew/3 E+Q3a4gm2uVSbgaTBbCUgPbSYxWb9CiCrcjlV+IuGw1eMaYJf1NG4dmI0fbd/NuKD21KIgKXILtJ vqlJcHKA3d2U4QUiP39a+MZ2zUkYwBKmzWXt84exlGtFpk3winNt9qE5UYmH1KoKKTw/km09qscu Y6VAwE+cRayVc7vJXEmYzsKzwcWzfKWofKRcsF/iQQ9D2KVpufjPZA1z5X/L4VoLoxKjcUVwAkS6 NlGljzes79ht65wdOAqYxTQd4lmkqfU4RBHS/PQIFDsF6IPAbKNB2JI0n6QL2X96FMWOlb66XSBJ LzipR/VYLNLyML0BY5ebonXNlLMI9XH9djWCHkex6omv5aOvFJAVCBWf3XP+ALRAooem8vM722Oo bEDhHtVjVTuxs1nj00xbBnUc86dHcewDstd5iET/c/e8h7EO/8SFSlxY2tHVxJorJ/BgThpkjKb7 OApjt1aIoIwavU4wgApbTnzW+tA5PKTXQ28zPxA2wgZ9Y3T1UEjiPLLRKzO56lA4v73Fs1FKPL+u Y5TXThzpcxJBYmWIUdI0id0spVeJSzXJsdh5W914rkdwAtgzJ6gX4NH1nlS3P4doLbp7FuYKqiMI HEadAFXS2/ICN83roxiFWwe5Xhutw0MfWkfC2aE8G4HYxtc6OT/dvLFsj2PZpRjFPXJzdsMW2oAu 1rwQn1JK3KNi7FKyK322+dFnO1EElSJwy2eVnO3DOjnJZvu1nP8jXS0WGa8PckCBcLJilOwxyjla 6zRX5JEaz0YL5bs1ioJ7HrfDM6JoVqurtu0CzdU8hM+vbOz6oc16EjiiaiyCrajTZB89CTyRqzDT oNj6+XZAGGL2w/EU49SuYxkN8vaIT910lBQfDXiClrQWSm6FZ4Ngtn9VqMl454UUjCiYFT9hJZMY 4JoU2hGmBMqGjar9KtkDtxEXY+06Xj76QgGLdQ7XTYgyrbK0kcf5FcYPBcZ7yMqifcTsLlFTUvPR qvYDdWYVlNrg2ag9+oDsM0VgRR8+KSEslmJlH734zaNKpDgYlMQly8Y/tN0zompsVtbDTc7I3zV+ 5ehTWa9ZJ8qJbbvaYkVMJhbJ08kgTuXtAbZP1/CUj+W8oFtSEAP77pp5NirafzUC4ZmP290ZUSjb ANISodwCtZSZRW9t8wFPu3bqiEJZHShri3m/tSabrgYAq1M1trqaINJ7gWWqEr+91WluPd4d9buq zpHlowMtSnXTvaln/8IzV7/LGlkenowokhXUPed94eSlZJ+RVh6xx31nJApkp8oF/OZt9MMkE+pP BbK7YjMnBKhcuISLfRCgVp4eUSk2iwPIKQVypfyKQwExcug4GWfN8XC0TMbnMibLZy/ZpIRxLJOC NbZRu+Z0aWYEDYtlPJNmt3o7I9QjGMp1hLRf1xwXB+WxdFQge5NXlnOACuAEt1yMDwR020cGeaCi 3i5CRr8+hCTeza0kJs/+DW3RfFJY0zYpIS52SmNMdFDuKaSLDFHOLSZ+Sl3iu3GcRLjYotAE0R0b Lawq56qht85Kqedf0Rm7kErABrB8No8qbSPUJCDoRXveR0uNOVg0JYspCcPYG9obepWc65bsRwgr KCatoFDEDIoBcG3lZSrLzunMrhFFsdPYbuqKmp0kJlF0cRa2eJyvDV9COjuyHACH3z+0A7KlzOuj 6IRVQpBko0PsxxALvZy/gWcjiP03JodfxgWUjyiKBdqMJeOVvjdxgqEqp2eAEwi2MsARRrHKdhTF zvnIB6QME2NI6wW2wZT59EndfpdMWMrTxhC6b4RBbFMbo24fLTYp6Lr9KkKuPBukxbdo3w221W+r a4QxrAyytuwWqcyKIyky/pBsFICCvp2IMcJ6LE4QE2sOGz0nzmfrLeCDJzRpoITR9EYTgy7s7xpP idpqjyOkdTV1MLRK6l0lkh0yFEqvPBtMyfhaJfL7uG2MGdZjifAG0BjL/6CSVOmKcf0oMsG6yqon M1TQSiraE6GudkFE46wdDEdw0lhDN7xy5rNJcTOShPRF9037xiD/g9WFHrePViag8mt/ao1ngylp T6rDRQLXxoO1GUWwkFfP10rYXhaMJ24AWFEyvOJq1BQlzF1vicA46uthBqbR8/hzbGKLtlW2PxvJ 72GI3MxFftpdlHP1+j+lf1hQ22g7pyObaVH94Nm/HCbqnk7EuZ06O0N5Am6RE1UNLyet5NGaAREN TjB868yY18UyGYpNxo1NYNTsc6CkpU4zJCZmLql1Tgj2Efa43xgh7M3KKfko0gENBuvh9aln/4La 4hKWpkC5SLYZRbDZRLKnpb+5KXUj0zk/gx21LdEAUKYzw2LsVKS2qo9eTlqgttw6es2WpEBGIYTG cPsIUNvOCcEEgB3VSLTR6999WuXkZGI8G4nPfcf05s58pyQKYWtT8reNJJtXFcICU3ua20I8LjSp FK3NkNVV1evq3ccL2WoTYQUlkHCtpYJPzJZpsfX5XDpNvvQzJHYhbJ+LJuWxQ1pkxOZ0dU7YGUWw rX0RdlCVy+WWHWeMJmAlW0YMFpEGlakTcIB12CmzTZdunBGaQAXHVZXJWH9Bk1IWx7MkuSv1GQnI kiLKk1MA2W/RtRmFsNXgSaP76Cd4acqOz+cNno3KSf3rjGVxbi+xzQhQIJszlbgMQd2Sh2lFvvIC FABNUHAyo1KsJHBxVPLRy47Er6jjsFAWdOLp8u4wg36p+j7qysk+8k9twColutpvAjhr15yc3L3x bJTqfDUysgR97xkbErsA7JQm3Lh8OZvprZUu43khH2d3OvEMtbVEs0jtjr5OEOpLUxYI0DKqgF3U l7dQnU/dJPsnBrCTRcUE+x0bDYhTcCv/VWzVefYvQJypEPYst0t1mxGiwMQs5aHNCXuC8mF5DpUg lalP4iZ/iK63BMeJyiZo/Nroq6R0qJXX/aDAjz1HngnA8BN8oPaqJc0ohm3J5CzvaHOyk/FRQfDy bAQ8qV9NjGUuHTYnIa+Lm6qDelcQe4697blf7la6b6jKmRzdjLUJxHKr1Ue/dUbvRLHbGqPwXmUk hljGMD26K5C77Rv/tHG66rD91mHpnxlb7cQrPBsFbN+g2DP16946K4phtT1SusCTJOLUPyoKNqPT FsC5tnFWhCkYQtjr7pkPEOKkAyejqfRZcZupI+EdolHZn8ycnesmFdgVYgq2RAlG89FC15MSa4+2 VivPBsyub5W+LC+m5afJCuuwpvSZja2DhvnwiM0EUBqg2GsMvcIotgtOoPbO/jQAzxF74vomLUgw siYopZo5mdReDylSxtArrMNW4LBdTOu+nsLJMiGlMzmVZyMNi/R164D+at7EWKEYLLf3RGLbQAWy QRM7FDl0dhEQI5eXXiGoYBlsuj/gaYERGqIvEpU9xxRuM2oVywmJ7HR+1Njo6fD6oA67VamX53y6 nvNkUeJb03ji2SiyvzGboeolVtw94VkxxYsyoDr9/0htoyersVEqMJE6ef6qnLRCXKxCE5EuND5A +wTKSVpBivXltggGt2YD7j7TUu0jI11Hrh5Dx85715dujHmUWTbPRtCtexkzK2Sz7W6fKJLVZZzo iw6hCjDE7oYpN8UxF8pV0LZiipdCE3Vu9kOfxVpmFUrEklJFzxDsFguO1ANZ1HumSLh+RaiCLlWP gc+BRqsV1F3MK2SlzLP/h1rs+YBxlaVXFMcmwDhuWssxKxsOkzsZ23PAfuOTFSoUKCNWnKrxwtkG 6mmUCk6ghFUCLUCZGiMn1MSONO8HCnO8PgJayGM+39HaokmG5pIvGzwbQQouzVpAlYz5oLcxVoiM TRIzaKYkdb4umY5FzaaCytzm7DiLFQayRYeJesHzXg4ntqd5MeQZvssYS7IN8uKjbFPyx/mhFPvI YFJEjwRLw+iOvkgUqMgJHIpng7vn1qcNvHPu4yvbsKJAVpZ3CPAI44iskSEugBSQ+9GiSaLTdr0l WCjqY31Gv3pOMtyLmZU0ikpdc8JbGpFDSU9qzIbg9X+IUdCk89FQSmfNGVTiTBnPBsfs/sJZIACT wcrbpIQUL+miALdypfrRPLoXmYSMp+V8d09cjjVJ5emjJ/TngD1PJ4vurVByDhRyBMnZPetkCuO3 YngsuQB3vo23PrPN3uxcfDwbtIrHF9CCub0QvxWWY5dA5QrWqlTJ3Q77LOzuHcDSvd+1wkhWCyTr Ns5PsWDJu8doOz2xkc6/VckkCu4Ssz6Wb2v4R8Z9DA7X6qMTaVO27vksg2f/skwMzUR0dDvFO4pl 4drCUJhWVKo1W1GpsOxN/GTIS14KulE9FgOLPb3rNdKdlDbRty06T06MIrOmriUp37CWbmLMeaSP jFIeThKgyzZ6pe3sR/1YiKPu0NOgps86QT4DXUGbk/dQFqkHbBbbNGzFSQMhS/8j1VIV2rbEXp1d vEOVAlWfSRxt9Iun11nM7XkDn+HsOsud2hRqx1Ra/OIRVI/XR0Vq4ajVA7zu4IjxLnWlsWPn2eDi uQx0eUlCyL0x2w7xsYT3fchciGagKAwqmyxlOriZjXHnJA5ll9rEyUdPA1Ht7LQsNK8nFazOyOD4 GQ+SbQoHuqNAthqnWKydPJ8W4FhWASknC9whu+vb6AFFxNsA3CG5axgs6Z4mxs4nYGu7XZ2CR3o6 xBMUYydPH32RoADTqVg38fxoHO0h++tKKb9+KBmqFewoiN3SHBsp+2gtwF6NwkM0y7ORCuiXMCpV NmwYbE5CbCwEdLLXW2VbTis+K/5BFEwH2+/Y3UAkQAtRnj5xmgWB+SG2dc9yHKMc2xDqnSbXboZ3 HPC8/U8oi6KuV2kXirPL6i7ZV3n2r0oFVwR0e+98hzpbbG0LxsUqXtWlP4c6bGhKrdHvOgkBBd26 4slHn5MTusvCWL3z1PGdO2sFsARgyk9FSTrLO6rFykQHiLqPBmPba5h60tniPBvcOfmrQE2zPeeL sdgRnEC6wQPCh50lo1rhETfwYSWlVrPXT3Yos7Xay5T0dM5XUQnPNgSrNIt3ijN+kVg2u7tz3/6R kRAMRcc2ko8O4ZDAhCopi2ejXOeLAwhUuHl9eochrESjm8n577kMV5B3v/YgfXXX99gxnEBAAruK r3vWaAN9fIL4tktGPlvMcyCmYMFMpMCDev/ESHhawCQ1ivMDJ5gC1/Grr4Nno4LSnZBirmX9d10S 4A6rsSKNNBckOPlf9TrSiTEvoGBlJwHuOIJVSpzuePld45x+6osiR5b5u7Y4rxkxyfbRT5JA3Q41 CuQqJH9JjbZ1FoAFTcrSsxH34NtcCGrHLcbuKISVPeBMty06RBaS3wEXmPkdjOwh7I4QBdMW28g+ 3mhtr5q6xD5hXMuFdmAWkMVSaQ/LWoQ+Xh9Ea0InbZ2w+56wCxdKY8/2xbN/0ZRiBpBQ4mzQnNiS e/375DQ3u9mrkoeZGy2Q8iQ6futJwBPUc1OoUSAteyvLt8t7w+UaFdSsY3qjQYTpAbr+hfUoWOxd Kd0/MyC+ZREjRZIUrE5ZMaaTWpX9nLx6OgL4PU5DmeOR3VvuzIRyW1RIRdvshvDji0EWlCL9z/M2 CSO7G0Sst2UX8h09My5JvglWvD/HAI2gIQQvsF+y42vipuo9749oTdK0wPZvPwfXifYsTT6/3qaH g/j+dkjtgAaD8qyY92CWVjP7fPj9m9P2bXQywTRuZSm5WEFOIT62mfz09vEBDe+Fmyh4IqT3ltwz iRZUgmufCr6yY94fU/PxK14+WmV21m1Ysd26Hg5ilfLVJEWwkCPO5yUqzLJSAMcMu338T2iFSQhI CINxE5+cQr+uIhh1v+NF3VOiotdFFTIX7DxPHCoVdyrkwCvtfLEiPu+PqNeqWNfuo6OpswFyz++w 6eGoNnvDWn6tRKggNu7MRJGthMzmnpbq5JVE0WD/c0DK1g12hVE0eE0E0qEB1mU10+dTYzoh+RpN Nevz203mEj64M/mXiA2u9nJb/pmRmDuFN7pHNtqeaLKtEVUw6+GIXfuNlkU0r37MVVJYpWX/1WXe 5yogbNMumMCEKqXJSbfEbK5TLCSrPBkOi0ZfMyiuZwk/nrP3nMMAUjpgqEJBZLZPpWlP/8yI0yN1 XYx6NdoZQ3iozk/NTQ+/z8xjsMKKzyZ0+WymsFLbJWRXr2hba37IVIFATMOgeFCXUxTmWh/5v3Yi csvsRLNoT51jGZB+p4yCz5ktGVfYHdM/M9KJEbBLRhHjdtxQbVnunWEfF5FK10V28fUmeOHzEgoZ sGDKuDAm8L92yPSUDeR1LtYrFJpTFO0OFVZko6LR5+XEJjR+q5loEu9SrqV7TbEIDxq7rgsqyfaZ ES+Ozo/yxCwPPUX9BNZWwy5ND0fwrnbhXdQx+fVfK6ucwniX2Mf8Hv+RskGyAlQd6ZadpulDamIi QYMqPYul/bQeWdlOt6PRqGknRT5RtcwBwU5KHID17AvGzTRT7I0gPUjJ2rUra7fnsJO+nEtNDwc7 6QIQiE3Jra6BZE5h2ZbkeOhMFWK0uNhulQGzBGNUtfRLKarbcrfpK330lgdRHXYr8rSCMkxVIS2h z7yrbOmiucDx/qDUUqhiT7WD5mUQwZNWEFOHorvQH+GKUOnr2/V01sSEXl9S6ZVlpaTtdnFRyCQN daVHIsLLhCbw+qIA/FJZYMcsmpAEd7WdMxhi7iC0ktwDwMELkjR7kcDsy85OnIqKj16CStvIS+cF ejhKkb5h6IiejcftKwp6jZpN5cvSxt2uugEQrf+oG+TA74u/XdjRfUdbLxCeem7NZHdhLlS1n6cS lfxYsA5ztortvrJAXmv7aDlSpuqnU3dUPRyEvLfmojBQ2pDtWS1xzEspm6KVq9t596O25viMkxWv a24VOn6tZnply8fbTkVod5hRxNlp1JMxGaFCBsBbbGSjcVhsF3p+cV9ipKjWYfPWYcHTxor+dejh qHf46FGdr2fJ7WdewjouO2MYcX2Jg5ms2F9Nwuz8SE27x+YljHmtsv0f8xWU3ErqAq7gQ4PWD40y 8n1BJR/lB2Qj7TP/JHGHZZeN1j6E0K8/pZL18F+3EfOyhDS4ExOKzmbRwzDTEaCHg8aSx6wmGZ7G KbtQVw6Mv9L/s7QR1JGNXvKvEphUhbvgNyL0SkWshdLlWh/ej0Qic+j9RXX/xC1SplpXxPUkFMMg x9s/Lmgi3vjFvNG3tAR8XiLtWbhZ52is2c8XCdeYeoroQFlKd/2eL38MeP+nFZLA7e4qJuE5C+qw uE4cVxlhzwe+ku5nRpTTIeWU5aOWST2fbXSVk9zp4agdUv49L60/6yUkiXFDn6RjW8O54yOoqm5e aoNwT6d57+nQAmwJECfRrvkl2sWXq5KBY09FYJS2GXjCcwit+XQSTaMqhx5g8p5M8PtstDyge8Oo 9rL18F8OXi12ktbypI6BC5jnAfPRUJl6TqmjbFRIHdVh9X0UGSggLQlif/jonXjsgZsIievcTL1L 3Vs6VRSRC21RhXUFGLx95h9uJATffTQMJf1K+QrOZA9HQhBf66WJ9vRUpwInMOLdiuS28Mcqzwtj Kt58cscaYdd9YmLdg/a/J++ZByQ4gbg2fEWH9PMn92RGvGM+TmCz3q8MVJzNv1Xp4yOHnAqABd3U K+vhyEjhkcinYUStaV4XytANTFjbRDfYnRRK9i7auNac5Lv3RgqBCsOIHnf0G6ljw0cgdy4PhJy7 qjBL1JIql9lLzM3TPzNqkEhadHUfbcGcNeKCELXo4SAPKLch0LyeWdLV08yhJxip4gkQJKNCL9RS gYITWFF9V1K4w/DZOTQFW32/1O3OQjgXhbRHFtJe/OJBpTAzTE9/Vgy/Bb0+6gnQIuErGfvdE2mq VADbUA9Hcl7XZ1BfL7Gh54wJncFkrTO3vL+2GDHOWsYB3ctTAF+sBB5agw0Verfa73s/tYbzZ5BU EiXamE0Yv6HouGfy78zAJLbPjO4kFgusWRsV0hXb5RzDZerhiH/ZvqLeczNQAfB5CXUQplSrcKEy yhQS3LJXyMJSYq9ApuALJgx6Jb4jurbGWwCfJ8bMyBLtjIYsUNOmg76JlXqDu5LF+8iBQRhZsZpq z6h5qZijOp+76eEo6PWynW4Okp/6eLoGHmHnUlrSQNhXAFxmDqqAy5faXRbGtf+NbRa2qgx3dAzu OruwSK93o4qFUvI5a6CzL23yB2+6pMOaY5cwTUkRfqGocyMQbpHz3ZnhbB8XkR3KJ+pFBQhojM9L GPQKxY4NqZ29uv2E6jAJeYp2Dlfuek3E2ZVyhqQRRn+Cu35+khOLgSXMVOssizpfRRIuIpOKmUXB iF4fNewJYrJEEbILuNR2TUoABejhqAC+PkGM7mqK4D4vUZW3mcpKdeGZZStnybLNegRNQa8Fd6Fd mMEYkkzl0jWVQ1VunekV/uccBpPMd4sydO4koGgPJuoevWHQa9Y+YsjUdO+kNbtFree79XAE8P8y UeMMrLdkFxqGQe7N5g2hpDrrQspyJtui8zYkmO60hBJfqvGqeaXRl8sSJgjnzhPKJMQjFBPzb+Hw es0FkIuytweNe6xbUNry0SKYNQ1xecLzoYf/ZkTBqYul+ZMJhI5hqBKdK8M6aVhrWMlh92sE1VfO nh8FhmEGN9jSibbR5wTr0XQScrbQwuJiiFcm6fYz4/VOiui+en0wKQafy8lHS49SVQ6npa6Ho7Qx fcq7yODP52AJxWqLwOz5qiNY1ZtqnXkBSx2BzWizElsuKMyVfm+5+r3nLsbXZgq4XVS2E+b9/FKH LI+fhqMlR6FnmAVzTTXMq3stB0zT3DzXhB4OzpX5jRfjhNrP/olCXeWZU70C2z/1FqXSvJYLo+Z7 3P7R+XbKBUnjzRlPHjPJXMmlV4FACJwdFlurdqxMu4Zq98+McMqq6o7soxZLlwGXzts29PDfUP6/ agHzDT4voXMY+hYZ7Lwpxw/VYiwhwhlCOI+uWIbUKLYOW2LdqYZZH3dG7KLPbSrUWD/bSDJ5U7U/ aNf1U3qRxmQOzcNUYiiCoJYHgnqmOSkSOAHw1MNRoJtvOMfHI+F0+/ahfdjewjN0B6ECALb4H3cN g/wnbCnsuA38w/L/kxLpUvFAo+NfztEyFKDgMj6bvOi1iShOEeZ5JwCgqr0+0l1RqzENH+1oQTVB UUcjaAk9xMa+tV0vX/3KSsEnJkTnqggsJsc/n8BO6pvlUmVmvvso9BEzrJQACxqfOLedm78Iedl4 N/YLIFfzUqLyAUvN5p8ZZEaak2rOhE47OflQKSpogsrSw38BX4oEQSDRnwUTM822fBeWSyZM1+k5 56WDHJD/LHfBxBYM5EUWrpWn6I10FaqCCnPRfZbRTV4Sl1YEcXHLZjYeuInBJwVkWOU8Vy8qgE80 NHYD/xK4iVEY/sIa0qaBAOvTEke5JIn57qMliUx5MFSrt2gLpHu8xG64wr/MO96efQIUrlJdQldC CHd5IY5qjGMXiJtyRcqBqZgJbEy5XNtoYe5ZgveWHXo4CnOvXA/rZaqy3G+cGxiLWQCZ6+PEXgXC kBPDUoVBXdLmaoI5dBZT7WA2c9p+ghcKdbuyV07COEtuptnDsuOkruUhiaCNZ+8PLqQ1/wd22FiP phSwKTEE3mL8/+fkRbqEpXanJYpzh6TixLrX+dKLq/sWaW0J2I0DjO+jCMvQJDlpOmDlqS+ctQIS o0rcqZ8LRD1YVXmmSZ48hmu+YGIRMJCH/OZttPB/n3tUF+35ox4Owv/0JVJKB3s+PZLAXMz83yFZ uZkJwlRGsrLjUaYMq9weSewvJt3JLRfyXW+pruGru7a0eyjbSbazo0aZUQtTu8ebR2n5Z0Z6cXQB TA+sP5ov528y9tigVBcYjKWvGqaCUpS3LjkihwZjtKCzYq8q5vOQtsSSx840maeJMLEhD2OHMWOC 9DveiakdWF2TRxJrUF71oIJAkhU6jreG2f0z/yDgKuFDG50ykrMWZy20YUOPMQFKPgHvkGz8c8KE 1V3paeMi10xSnVCUUp08AzqZdAHGZXip0GZMGLLZVJhqj+XnJFIcXTNzpqiTqKB2i+ouHq9kNM6S 2MU/Mwp5ZV6Yt496/+5TrCjCrKmHg6v649PHXhCY4sYwodMY/JQT63DOK0MygU7048RJMDzDlaPM gdWY+YfuDYjbRoe/TIJoElPaAXVyPWFvUdGMh3p188Ym94ocWI3dkxchGBsdLjXsz2e3Lz0c6aXN D1YVmerrNJ1DrzHpUSbRYOTVIGMVdRuHTl7pbqvT1u01kSClXD/3Hf1CAgZgrEV5GZqZQ5tS3Fqg 4j7KNcs/MwAeLp0wupD6xcHPVotJGddW9HBwIbV/6flMVaV8XqKIV0CiKQyluZI7/ariOvrAGS66 LjAcuxlSr8VHj+xmPfmV9uhqyO+IPU6Vmip4fu4jSUrr7VHTXnz5XHy0fDq7K+o5uKoe/ouS+BBO VfnOvZACyzFIW0kWMMUlB9V/EBY+GYnzFz1jd5LKsedYl1t73T567wicYTK39gZ7AsT3FMOGKfiw w+06CizHkniqM2/FdfuqcJxNPk047Zx+evivQke01IaEAe60hFK3WYBvQbrVUqOuzIkC21ABL+1C F/bJf3Adk1jL2j7exHGtjtwvuyglkgL6I6TwNPLzetSOhu+iMODtcjsZyUfbRWeCDUN2zl49HDEE vsqXdPlzLs/xErLTlGDW7qrIJ5i8LEZJMitxbGZ0aK+JJkZycVKfqO2SbAB8VcgUHHTwrUB8d8LN k8MPu6d9YuyeDu3HmpDwVHFt/P+MvVmyYz3SJPbOtaR9hnnQ/hcmuHsEyPqFyJSpBFZ31+E9CWKI wQdbMV0eEXWjWhf6j63r8SE0Q/6pYQYOZFmXe0chzdAM1VCHKNgJzVDIAtFGiklq86G2B1dhsFxx TacKs7bEyhSlbCZaDF/ho2SvGYm3EOVBuFTyiUcwLaMORryhDVn5VdxjtacAfWozE8uG4eTlt1IM GAKBLL3UTs0S4Z6K1BZy4EQmXuSGqbCNNjMIFWcjeaIBs4DQbyDoyAjiQfF1UgktQXPgRob/MN4B P4ujZuYsJCHk5tp8OAJ61O/Zyz5LM7z35xm+5Pz/QMb3ZKMGTj3/rctAqNCLj6nAbG6A+Qxe8lmq EEg713Cy0UCHZx2WXAlSPet/dHpzsY8D4SIW72f5akI9Y5cMlMakOnKx0WKXyhsT3Bk8+piQDPQg r+j5RyRZ1LIzRIbwEwQTAn/T8ytRwrRSmN/WyQBDtKlllJx8FExIH2olZBu9kQYRi4QSwwJqrAgL zjuyo/N6J8TAzM8JgWQxQHU4OTQKhQnJfykooID5nBBc7cUnBKftpIUv/9gzYsmZZUFIwG9zZxvF UXQLRFLAu4H/1B30jFewQNADgia0jZwPwAzOelkyjT2hmoyZAeBYUKVMF5ZKOunnGa5ks71M8LzQ qHAlVXFsIKz0eQYrmElJT8w/YuCcsAd4d9qjR8uDqPi6ppUU6nJ1HxpFyNO+udvyczpwSm8ujGGj 5YcFTkcgh0D0dlKySVlSRmxKXeRfkcpnnJLdyaIBFngODjA3uE3mJKT4z4kd8+cZpuTsXVZNCNhI 0KLjhDxjlGznKjo53nqe7nlJn3lMSJbwOuwMg/XBX57Yin0RFmdiJtC5i0gFUBAHeeJAzp3wE+vu u19wIX6e8QkOEHiyFTZZS/ZreA5md4iE4AIazEdi8/NMgBI3IALR4uGMPIOTbAoECMCldbTy0H+r 0NuV1tFa3aq3z9Aki+8F/Eq20Y5U9JdpQQcC16xiTaOEwJpTq+4VVBJ7Zs/ABKd+w2FaYfPI0RrO ecmHovT9eYYl+L2bzQj3eiUHI2lCnkEJTmF0wAbZi2K6VpO7rc3Aua2z7kdB8WhCBrNrUgP04VUV OibR8nKi1U4hfmiFsQNBzU5zbKDGerRpDEeYbLSSyllt/Fed4OTzjEdw/lA+EnuGvPlG2U6bkmc0 glMVwOJds/Wam5m3722OjwXOldozz0gEZyo0B/dGRYCjVcfOMQR0HItMrZoSLhyAIeYyrvOLeCHP KCTL5HvSMUejoSqTuqsFhdpnDMLXshMEb0RdMNsuz5obriSKv2/K1hDT063FzNDJcIPF1DeeFTfs U56odCbgaGtjgb8Nha2eKsgVaIicfJCUWah1Eo0gdBz9658FN/yjEkvWdAwtxaK+kycUiXudS+vz LLchFFDDcP7RzmIfXBPyLLVhKU5WBjghZMtPgXlql/RGo/C1Mc2ehbZstPBNlVuO9sbAOHWoevRU atmy5yY+cdIp4SsiPsnoj05U1GQzoJQa1frJkpQG8guPBueHxPenVU3OfGSbjzdjCNclNjOF0rKE 0nYxJbDCNLiyGu9V2ShIpRD2RolUo90xGdxgJLywBCpbHTpALQuU6eTRIOR6y3rJYMucVQcjx2Sj kuzzlI7U3fhssGdm/u4ZlPrxZzUnUZhKJm+WuzYdgmTIDpomNh3tc3n5C20bzQmymU3v7f313j6p YkddeZG+CocxAJvo/IX+wPpWkuRu8qYLYSUjZk+smKRbMSkURf1jAlhvthBO1f0TiaCcWEDJ0aS8 Y1XAA1lrnM5dLb24kE/1o4SCr8JlBJOCMtdJapH176sGfyblxL5Z1kAQ2ZpsNxNnxDrcBSBPcaii aJWO3SzpatRFczJf0y3tAE1G0YgKsYzdoTMHXaVpcxIFrBJCbo5m6tSIUPxeddA2KhZYjz04XzsR vQlEZvuwWgnxnmgGQO0J1nZU58AdWLJZahkGLttrBjlNYoxGtUHPqysQUvzvZ1GjcB8dKcuieAI+ WbzK09fKO26VEfpZzs0EoultzUNlFAgCUuCoJsfYvgPXzGMW8rOr+ocFameOEqaGmpQnyF88js81 veCcnbxu35uqFn87VAqCXY0GVclWAJqsxAazIm6TLZadyFluNilR6IrFeQ5E0lLRUV7zavCj44p+ D0AVViR5x65IbxiZoOUmeqdOWsIOBnFNZ51U0LFg7NqogUilwfw1/nwThLAHhDqgY0N1Hi9aXixO 47L/vPlBjMzH9+6hAx9MYzUlYfAK4QU6ySrho6guE75tMOSTaDZTLn3Tg7LRpvYAFJujVaYXHFJ4 0CKah+wTKtfca1ACa57fEN73ebOD8O+ihPigANwYF9HQG7UUzrW/P29yUDZe+zeeR0tw+pkSRa/E p1fKkNHPUSo+G3aao1s/Y13BjSiA1Zz83yLJXCfYwnF4ThTobFAJebLLVlGrwIVssEBReN8x7FmF rKENUg9dkrKe40pCjxBO+7yJQfkKP1ldYCypMGtS3nEsFgpAgX3JavpMohVca5+mMtEmhNp1I0eB LGzmzsLi3pl375TRT3TFY3adkGXI0p3egOgJkPNtVtwC7bwDWeX3J2QbzUZVpFOSqkftUNqIItm1 f3YPHNighqk5iUJZRpYd2QlxKcDwieABCX3NSUFLrHNOwlh28ZDFzrcPi2b7SYY3tcRRV2zSnMiS 1ZVJnLd2KJr25gTdWdm0FL6pFByyJei60R2N4lnBOxHf438L9sT2OOVNCMKdTC5rdxHGhF6UceCd KjV7ti7gmw/ERYo7hdZJ+1onUQsA0JEqqdEJepSodxla6+Q1W4i/l17yffegzj8Z8GjU/kFKafCu 8XnTgfJlNNvds/8sLxG8qUBMegjVWQ4FlIIcjtlZqx2z1+nkzQRimMLoHkHIzl8WJlVJoYwBYsf5 16OqQpnozC7Pug7LZPd/3kQg3D0S10s+WuG1KHaDPc3nzQPi3WNHCrXV6x8ocGtKosorLSvQjNYp Oyvj2g1aehWOCc7RhtJ5k4BYwWKIT8rp18KitHOYdjK8YSG8cJYjM0bdLlfqMDozddhLRhFKYw1p 2ahVAks2yuudK/rzpgAxnB3ftAcF92IXz5v/g42D1Hj0oqgkDxajCDAuPE8G7Sqnztg3/YchxCRQ g4xofmjrFOx4Glq3fc7WMUm424x5Id/aL+2dQfTnzf/BHwCgoAJQoZGTMhoQHPyHzfV503/+bwV2 UA3bWxZv+g9OZuLc2rL7OBMshbCtkFpDKzYZ5mBaoiIsNt+ZD9Vj+GGBG0iRyJNpiroJDt5U9WdH /IKW8A/9vMk/3DsU1mOM8j2tAERVSamVz5v7g0txDa+hIEZp35rBm/mDlbKpHNeKs1GrKVLiILBU ELexpiSqwqqPQ60RjobKSewukygGkm6RyWGi+g3E6a4ozWSI8ub9MFDmbJRko8ootY8hHNdqnzft x+Jgzgl7fRBbS36gvENZlG7pY5ssFRzcoxQuaktUhtZKNS2NN+snC5y8N6Uy9nT4/GiwvdsVZfmz ZU6o38joxrYBwWrVL9qalcc37cdaRWArVhsVoYAaKqebtD9v1k829/VbM0C4AnScJiWMZStlRchU 4H9D251mJysTQICYtJrn9Jv2wxXKBBDQWPuwJgOIChvVujMt590Hb2uogkDXDuftzXq23vIvs4Lz yUZC0GGqJxXThll5B7MoFf3MCunV28/ZqCbLdl/ZgOX+R6F1qh8D/LUJx8f0tGld4Tfrh1VTHiiZ YA1+2KRAp6d21tzgbd2UCZ5JRvG4fL1OuOU+b95PllwVCCDLRotQuol4DUzKO5i1FMYjlJ5cG42z EkWzFEDJ7ba6sikxlpIM6HZ+0Ob75x3NZp4psGHazT/sVCm4zmsieosG7rqe0BnGH57fxAdR1OdN ++Famdw7y0ZOS9ppOjZifN6sHzbM008vA9JyYJypIxpFs4ifcpUhHRZLq8vkFykCRQV6FKu4g96k n5sNEuq3L9QPotCLChrYPwU+U+zuACZ5jrvxPVRYvn9TftiioT7EXDZySqB4rqLbySM+b8ZPlo/R LeBDvg/scc1IFMyCdAshtKme+UrdzMfGoG8sbQgB/OGMxMVZ4UnX9g+L8OHXNSdtLNCfoioUAFzn 304oEmrY1ynozffhnLC2hKOIo4oGcJiR+pteLdg9ufy0idEi9jrkm+vDGgWuqZksPe5SQUN3GDG4 OO3Lsfhvqk82K8OT7BUbrdqGLDINyApuFq0oDrYIaMM6vKsE9ZDPm+fDjKfTNclH63qB38f10vvn TfPhITu/SBOse8rXckaiYJYHQW7Fj5PSTQkNlkl2nGyXz36TfLJ5E2ySmzhaXAXAWofO3TliF2Az gC1BnZw1e7LP3VR46CX/UoHM6CLCRQL6UiydnVfk7J88u3zeJJ9srj8eoyAb73bEvik+/AnQzBjG zgBs2OakysMC66UUO2LfDB/8GJ2VgoZajD4swAfZHLgbANg6mvDbThvM4ld3Pts7/m1KBg0bxpXM 69uWSel8syCS3eln48DWuzng5B3J6jg/P2GTysw5VggeRspKd9D/JL9qBcg3tYe5FhcIUQXb/V8m BW2pIo4Kytk53Dhk11NwlVBHdXqWvWTQ1WBDEHUNjQYBzUvS8f1E929iT75cbQfh4LQrVqh+M3vw 9wBTI6SgsEc6t7jsJxIqdEwCrKM3JYJvYg/3joptw0YrK529g/819s65w+pi1xii1CVB6BWn4jCP 8q6XjMpKqJ2A+aJR1fu1qYFF2vbnTevJQr7fW6dSMrH55olCWZQYsJxNWLE2BxUsO07AzbGtExdl sU7K0Mg4GrHJ+T+caG7TBQubH9fwgGJ6xm7KkuPvX7LTm9Nj5eaZQaTXyIVSgC4X4r7Wz5vSk68s kQqQaNgT7as5CSNZrKu0DGmRkZhYHriaH7LqLGNWwqosAY5kMnG0iA3StjONSnWvfG4cdNOHliaS qXlLbVSDe/N5spv2UU6FoyHYci06URpfLdg9e34XCh1yICWkSYkCWcCG0ProxkIY1fX4SxGQGkmu g9iisuwU6rP56Ci2kxk3WEjBuGEnidAAvEbwJkpXvnsUs0VF2VZ48/Rio4ooZw3rtz550+fN5OGr 1W9lCQcmCoqGY4vC2I65s1SvE2/A5h8AwkBs/kc1OeBqcM6+eTz3SNHF0ssXaw+reTSmoX0I2wNU IAEcKoBMAFR4i7JZL/melBO0oqYEUYwzFh6Zba41KEV0ltn8vGk83Hr1m/Jk4owd2xeiDBr/l8vA n6ydDOnKYD4lBp19TqJAFk021E98tMuY9MmNHynBfaUQ3YceT0ZSpCpKErmp6SWj3ZNZU2o28usB WzduUx+fN4eHefvP3ZPPqXfxsG8KD9MdqZttq1SXSyot3Wx0NxB6vI/fDB6Gw4SAku/VLt8Le6JR XB5+bBDeJI4cZQF0MxAzX33JrJcMjll6T+PVNOrqqVvUR9AZP28GDytL+2dO0ONpPifvUBYIv0EY hDlQQ+/UgnvxsWmzlUxn503fuXcP9u62YEp0jLMVYWSPquy5PAHTReGpQXpo0gPsEniaXjK4jtFq LvTmLldZDjI+UzagJ5J903f4rM0JTw8UNFBy06SEVVmcOws6Y2TZQp3B6erJuBhL7J2Tar3JOyxB MojNKlZnDyMGxPdxGGKtQ2WHSoI4VP9QdQMa313RLMWq3uQdpoHUwaDB4/DIrZyskgclVCM+b+4O 69zje6JAQz1jz2lWosIs8fXAejPnOyc7SfyAW0FlBt6xJ+XaVm97M3e4RpnzZqFBc7kl/PMPLm2Q u3PO7QIXWqTIFTfan8sL3NneMZiTldnhSTaqzwPFem6AAcBwGM3W5lePdM3QRdCUhBADIGNRmDWy ZCdZklYtWdKs55Sd5hj05uz8dEmV9KSrFX7y4DO30GJtuCfkZr7RL0H5vl4VPIHK35QdtvToac+Q 1k0vwQCqOih34bPBzVPz90SBmDC71pqUKJilcmahNC6uY8v/Bst64vPXJl8/4MrDcFbNDHrtpK+h +Dli04TWZpE/6iKtZwNxj1rg16eOKOo3WQc/HMLYikqORpuTWfSv6n193lydX3gOS7lcx93nJApm yQc8u90c3BdPA+wYSCgIGXqidJ+TECtblo6S6h8GHT559zmCaUIAY8NM1w9UUDLM1LsYCKAD2ksG 1zHao7ATtFG1trSLdfVWxbNB3DYcd4HjlmvEw7YoliUscXRitiiEnUQirRmSSqy11elUwBYVZdkS 3lTY5GiZICQ0B13twUspWBV7EYyciAXeXxelqpcMrh4i7VU4uPDkk3kP2XhsvVrQOC7tB0CMTGFY ItjDUJbsa7ITZUG2tGLO5FNrJ7OPYvF9f4eyoqIhmejFP7wm23B+s50Oz9tJoSYkmdQCKGSl60ih gGT/K7GrgBqukSulnShiGQuh4tkIHpq+Vw9acfiXaVZCZhcC/DzKtmO2dlPALtTfZTBLc2Qcsz2C GMBd8KwRBm7LAzf4S6G8BD/SlOlrQmtMeh6Dd7JukNKbvWQU4AN533QBGSL33MbUGybqYuHZ4Dqu v1XZITMrzUlclQUIQt5ryAlnU6On9mpxS5t4JaIge1SV7ZoTNgZXuxEKaidsjUBA5tzp9HdHDbDQ mW3cdjoTJXx9sHsmdg8uEY2CzK5K8CSLnXg2Ynjl7zrJ+B1KdWxoD0leuJBHJwyS7CusHMiAFIAB yHlrlNtGjNKjcHZyVqBfq9HPlDMHfJGzUk7whYonJgeNr4KQsl0SbbaXjFYK4AXsgVU3Q6e0PiuJ 58QueDY4Zx2MwgpMF/5FcxLxvMj7S1RtTf/xeNmLLnUlZwr4NoLcEcJhUmKQAXvpyUdNCkgVS3BZ OEgtYkPJp4WzDOBid/tQ3K1HtVn1vhajlHVuZAn0wvebZnVnPeLZ6PKp3+0zqHeSfaVE0axanNMs Hs8thy1L2FLdt7zkSMgekr3YlMXhqdH2z8mi+sQhifv4/IcWmLAmPMuGbrDXRQqbAl8f3MiUo13U ulguuoIyrZLBgksgCmeHIyEJ+oMs4909UW12oEs+lrnZg42+VZulZxI7PY2CNzxTwnB2I3Kn6NK+ oksDRmNzYL57OgHvxKm/4V1HOaA/s13XZcGIe1SbRaICNuCy8WKUmyXI89OjcBZWVSIF8gfdJi3E SXmHs4jxqW5AFDV15tUQNdOogYMWOCxgrPunRzCDxH1TWWNy/5UTvrZzyXCa2zqHTkaT8tzMhUK0 OAouyWkkvWMwJ6i4VVRMNTr8RyJmOLQ+PazN9v7TOIaXckYBT5MSQWYhLpJpiUamZJYtKtqYkxEL Tly0JmlP1yOYQScrM3VS/uhagoAD9OJ+4nxkACgcJOgTkRnYVTjI/QqMQpuh4A9E0CV6AfVuoxo+ K2eeuqW3jWcjjNv63j9obsAXSrMSRrSTav9kbNCse6qLDm6IDHbPNVSsn96j6uxKzZAmGu3HBKyg g8LaoOzYge5DrQXFFNyyX41rMqnx9UE6SAkqmi/nr/kyxDMZapyNhGf/UUxRgQn54PCOz4hiWiSr uUqed4KkUAVOKZMeekQZdMe4jag8O9VMh0yUfViRCQLOE7V3SFtAMw4AbMjzU3yq3UuZbEh8f9Te YEgLS3eOgqRsCZQAfFbwbBDSOjOO9AwIV0KYXrMSxbTknyzaabLGBGIeIxWUEs9hAlZsIyCy81uC WWH2OyhBNa6QM6SsU4fpWKPmFARE90TeUKjtWS+ZtNPOfYQ0MDl7o6/OUZX8OdZUiakNPBs1TD1+ ow4tMTFeyh8hchbxbF+MW3HY0s6GEOJN/AXKkWJgnx00ohItRRVTalklBCKtBpqmCXsnUwZlJHCY WXnD7KI2WedtcJw9MvD9AfpPVsOqXjuJspwDT3HprhnP/rOWn0hLR+1TkxIFtRT5U0tJdevtCOvR HGGdtrXWRxTUGqZrqRxJTdk/DUnh6JCiTSq81VwFSmmcifXnK84LGCm+/m9w4sJEuQyHYPQ61fQ8 0QieDY6V3yoTHQfncGZ6qF4gdk/zSaHPF4r5lUoyrCOQ9c9JiYLaSQAbyA0arT2IStVCSAz9kxPp l+lkW7A8IJzg0FkWrkdUomXPtKCce0b2R7hSgO/HvwtCBng4WinOt2UBFCPgJZqWsEjL5upiL4zo gzzNmAO8pMpjBbVN9n1GFNYucpAH08LRvr0wiME0ChYDWoxqJzy24OKCkyp/iypj6yWDtDCTtTG7 jbqWS1eVtp4TBs9GfZ/6rb6xtXKP2iispTTmaFbEh5yzi1tXYjPguAZWC5PCEaFni8CQiKLtw9LC Cgj0kiovDgHO3TbvwPLdP9VeMur78MbigTvNYuGc4lPWjucIn3g2Cmt/QxUwjLyYP0LEAQtNcvoZ ir4EAtxrGaVlSOMacxIVaUXbh0sQRgYFuJLPYj9X8aD6B8rj2j6Lbj8QJrngPxRbMr4+qlxjNtTn KPNaOdYh+OtaG89GYe0vXufsveE8sBEFtZ3ezNMEDyGC6NpkbHgjvF23IDnioBZz0tlc77e5PlFH TQV+3GfDQHaSjKcOOkk2sroaHMT+jTCi7YzbWFdpZoBSe5+LbFu0pfBskCZXWybEkKAW6XjIEeIN iLEu3fRmQZA3An81KZBGWLqilLBGS42HQnJpcQ80nLK90N+9IVGcmWZA0ATCj/BnjVu3plAivj5C E+Mg6UTrdE/CExzzDP1X8ew/6ta8Z8AcdzDxDGu0yEMG2B6N/rATPx71yLDmKDV7/kEJymT8lr9e x0W5T3HpnLO+GkpUU9pbZECR/3P2ACrltX3hf/aWf0sJgaDQqNIBIk4ulJMu49lgUtqP+Ba8YdDz 1qRE4Sy5J7nAKJzXcQY9l+aNCbUs3EAJReWGhTJDFphwOtxB8wv+Q7H6zC7KKXv1VOnYB955RjSj GpN0iXF24eujsvWm7EWyUZtnrVUtxh949l9Udc7Jidk8HZxRMJsaNTSri1gnCoXyohwXbwDiFsVi YhpYURTb/cOaPtA9rRQPAobpxGrdoLMZyhXzKwhCHbkZ1mgBN0/E7HBkVws0MNE2+uSzkSTIxc5m yolDkdpmJYpmaebZNRdUJk4iP51wbTeXNZhWZJphidZCtmGjVSPP8XIyZQqeQ/yC+rIbmAb0jdtX v7rYK0bwPyQ8sLnRqPBnJlqbo1Rb8Oy/cPh/1PTJxWuRM4plK3NByKbR0n71LB2Dek6zaUEbqu8M 2mYoxUXdi/8jHAO7AMBUNk3DTvCkAi3RupWp+715KAGDr4/o+6i4NQYozQOUNVCoUVBb8WzQCWvj Z1Lwf8D206SEkSzjBSgmE27QZDFHckKC7CPYsIzEuU5CRQOKPCfmePqwEm1dJ2irpoh5DnPUAlfD EcvwkGa5P5JtM4plGdynCcYgR8vAO8WQaKCOZ/9ZYkqy4/ILeUaIA4Q+WetXom3ZYpSas6vYgQnG GGVGJVq630L2w0bHMJ0wJRdSNs7VDK1a89DNKJUCOnrVmZNeMiq7iXq8bFQuCAk0MVpGw7P/UDUg aQPUEm9wzCiWXTx4UJOgYXsBhNE4T8WUMBGl2JzEqlxsgqVpo2+fdK4HtA5Bocwb7Yi9cBVvuvPc QkoeesW/4FIKXlSjsDo9yxgCoFM8G8yIt3yaKvn7D71OOSUR3mBStF/wWERwmxEczj+apaC3Dgan HbJRKAtCCWH4xT9sodRF5bLGRLDsTfJ2GkZ5LekLyGd9aUbRbOOZAjCERk5LTbDc5IWcG56NoCle SGGUQiUkv3tCHhiOgT6sWA2OlQG7Cg1JqYUPoXqdKaGqAfXKUzL2jt8+AzL+hWrk8E84cRwF7Sib hagWi8VFdrfeMto+gwSFbaOXUnT3n6/aeDYI3W41nxnSCaP99llRPJsJsakz3bTHwpQ0s2wJC70W uX1WhDkYlVXrlm20rs+5P88Mkd8DBge6A3tO+rBKN+BS46gku0LEAUlxqClqVIzfBzMz+LlWPBtp gtw5QSESf3ZZHWVF8ewgsRAmMgQxYQcZVhS5GkFMCeIBmpQonp3s+kyoLXP0XHCcs5RxNTQwaM12 wl013yjJfGmUNK9fYXmWQg+pDRtVh4QzBeFa54fAs/9AdokcdwJGhxysUFAWN8Fswwy3J7XpcQ+j H26QA7H5S8e3xE2fTe0Pb64DUXBuchQhGKbARQLUkj3RymA0qzCluqRswdcHtVkw+As8bjTqpMXX 8/oolf/AqGbwg8hH2PatWK+QC4YSEmoSVrFuPFIG/uYwiTvIS6i4tEIAbSd7konPugDA2WDZM4nI r0Bz0Iybks9VEJ/vpFS95N/VqIqNKrihQK7+YN54NjpRys85u6FSbbfP+quyLDvqHd3BhHp+F3Vj yBQAEkwWuq2YC8arWJbrV/9iDKwAxbPn/WelsQYILxkJCPIPP1Eofr8iwIH6YPTAzfnqhuJ0YZC/ UsazUZBiJwquCLgLZ18mUTSrLG7a2ZHNhJE1/JsL5mnSsiuqy6LxgGKAj5b19LNKaNSH3PgkLJX0 yU1GNq+06x8BOBG+/i9cdf5aGrVMmuc9ow88GxE3fvYOzvVxz9golgV9D4xBNYzRY5/WOh5EoQNu gAaZ5iSMZcmMo6MpR6vKggjW825sgZ3kjaJ/TOKpvJK/5hGl6CWjOenUZCasq5sjcAcMgLyNExbh 2UhM57cGCTWdZMWlFcWyLLBN2KpJxBySXhQxbzTZoYQoZW+4dWLwLK9hJoT1CiGebDIvuiyfy5hy xLyMcZ4TPQdRIMuPUefB1/8F/odekY2qo5ybR/T9E0nj2eCMdXVIuuppV/ikhFSwSVEDXJCUuqea IrCQfeViogbIw7RQ4sIsIRjZR0sE5wameYPZvWHmjsLCYt8RBl37rhOS8PHtgQwidS/y9FHffk5b Bm21r4png/7x+oayZ07P5F7p3bAwixhWgaQUDfa080TGNDhPRnbt3TiShRoZs0GOtk7AJ6i9ikaZ Jgn+Ha0u2IzhN/drhyBvfH0Un2zqo2QbdZ4AoiNEbBl4NlonHrQZN/cPlcc4KzsKZcngq83OVngb ZhM0YEYhFcRqs7JDJhirkKNMG22hwAGMgAxo3p9EB0yxyZ4B2dJgbyk7ZoKHrw+SnkzOIE+Uanj8 M9EnILzaqju0RfCoTb9o+gM9Oc3JO5KFuh2yI+lzEZWXzJJyyrgI2CNruPNbohOFQAMaLbv46bl5 cjoHLX3rUj4pODV4J5LdLNvFa6S9WUXZIXhWhNsybVR4j5tHkMg98WwAdLuQSPx/KN05WX2H4Nkt C8QhXWLKZ4n1hKgcnVPI09mBsv8Ont0Euu0foBu1LwqzwHMLdbKiKhU80RO8Jp3ZXjGaEQZr7IC1 m/AgRhbE+iSB+588MGwhVmF8QkIaGMv3FBI2G/pkxwltgWSOkAxisEOIQVGslm20RYJmesFaAOp8 AL9I/05s7cIA417FrBbsKIpFhHOuRh60LjRynizJSBtp49lIxK17nyez1DZcqHnHuFkg22byUtui aRHIPYSSiSTntOwds8BwjNCiiaPnxSfuK4sIUdDWAb6CJjF0fmFN3K5tHjIkfPtfFQ3WtlGlAgs0 ATqveDYC6NxKm+mHFpeF3KE4FyvVtUiSCzwW1QpOyJ1FfcIt6nMSwwu4Zeaw0bMdmNjjR24b5p6b 4sxI1cHwH9+aEmWadygzS3DBTD4qroeQskilaeHZSB2lf5s8kB2kMaOmJEIXoKl0/lDxswSORnJA 29m8bXFb2FkSanNtWvDsbKNFsecIHAM83RNo7lRp1CMf3cKsOLlHdKeu3Y4qsuJO4sDXyK+nCI26 gXXh2X/hUBCx4ZewwH6HQWyX8I2wOVBo0H0M8pqIG4PVHy6SEFtAUyLNS3dZdsBb4T4PonyC82Rj nYCqVyDfSs7A4vqhdwziNWi3kb+gUTsHL00RnVQHnv2nyCyT/z/rRiZhQbYqEU5G7IGciGwV50qG Ldh0n+n8liheY0xCSepxy7FnViH7x3pSgw4isQUgIWQYqLSv1hJF7XYsZjBZsC82aue0SkQEZMf5 bMRLsMNk31vY4eY71JgtdDCl6BRQS6WawHnjUcZcpzgwdEfgAtoW4nfL/mGV+3MPn32pbgbJYPOa WAGG0S4jG0Q0vmagkMJaEuB3GgWYPbmkFZ77wrORBNX+6RqfZQasO2clpyiIhfYzZHCrIFxMi4Uv WGuTuNH6prEXpHdTGMUSh5T3sFGzksZCuCNoW5LL9B6os5VaDS/ri6XbawZONAQs4ZXOiBZCVj5M f3NQz/Pk01Gp7WcLwbE6DyfHSf71+RCbX6iHdd7IYG4W4atZiu0Ae2R3+EphLNtdBlOjZT3n3742 DCAa5FYrrZ4WTZpR3kSG7E5wLN/j+yPWE3ZQWclGlWVTq0wFYXzDh4MI/6vqzSP6z76OEil0T2g8 XKp50WRuAkZv8iutJPi4k20KYbNs40z1Bm82eKakZywRoC9OFASnvw3bbOzdBh6+zwtDPn5/ROOn LkgqNlq3Z1GD79wOTS8XRCv5p7HRwKCaromfotosymW5T2P2wODKOhubfAr01fPsrnaeQtMvKj78 H+YToJCQdiB8acBKAVX81Wj8iZIpVBrMv4hVfHx/VIkk77b6qLh2bROdPUEAH47Ks/MbxTUi2K6z RIpCW6o8kCZsKFG6ZU8a1WzCmhrJylUTE1Voh3S9gSOwDzt6Ed12NJYBdjtnDCN+FJ7pTlPLBeyA Pae/EEnB0zuQ1Mp9fTiHClS4nDIfDmJ+L0nySoLCXoXGkc1NWKmlzIF51qKagJWOQ4aWIZWZMwBp 8stOsQAtL+k6bbS4v5/MDaBXhC9YQhCgHSg5k0wz27fctP01/4LvSiv7aNSwXKfC3Nz48Htmaho/ M0PvlXp3Uyx2QIjXVb3r3aEHbTgLt7rCm8zt3yc/I93/6zFRoO/f6FwDh09cRNAK2WhDFi0ZU+Yd /ppBFEP7PFyfGnX61iLR/PMr6uWCWsL6aRWWBG2Mu2BCvQNgJE+yoBMlQ2NLRaeOPogFNNW9a1JY s2U1obEv1q467+zjXEcnDUEdO4NFjESRIGQ6xk5XxigMXPn9QQqwGcBsJgJM4RH4n2yFx2c/T/Dh f5HEWMxkOuwWAimMebFgVnIZ9IVWDStPgzZCqDyxGmUTE6kewMsFSiDFRkuNaJIB2Szo8xbgB9AH QjcO7sU4R23BrPuawbW0SO4XZmU6SXmzT5CYqvDhYCfVHzY7fJTdhjKnsHSL0IfgasJVRsf5Sqdf whPYMVzLfUlS7K0gn8Fpox0wUJfZkIaE8EGjcyR6y1iY2frKvz5y+P6g2lJAckEZVqMVb+siOeq8 6uTD/8gZeTw2Ol75egndwpDxndN8mYRkKsWQ6EapK3TRtRwpB3ZhKALLaFDIr3TNBM6qm5BExxED jBRZudBVoQ01ZGwuYlL+JIFjGFbkYi6wbdSFDbtyHjHnC/hwxGv/cSjJpAn4ERN6hlGvT7EkBRCK CamAWLhcZ4ashq6viUiouq+FOa4Xc9wW2JqVYpKQpq60YTjJ8B/ApvJ1cx0yyIpdw7I43M1GZUpF aT/U3CofDgJfV36rSSgxmRr71ISxbyZdyH0Y52yWRPYyVWw4KbEXG3JoHbYkJZmnjY48ztBYSFkq mxXl93PIYOnRjoOkZbE7FOOF5mFshWTpIfBc1K1EEBXxtoMPRxVur8Dwl11wchs+MbGyVyJMPVl3 tXf1Qiq0jHkiN2ByfGLC4HfLu6VP/7CqLloqWYSGjv5KYd8MxbBO8OTViIBysl70b3IICWUHjuom Ip5UFa5kPhxd2F89BEwNHSnvogkFvrb202JH9Xw7O+9KJJuZJDd6liCRDDzE1PPGPuk22s2UE13W adWIfYA65skZN5kUf9b6UoIoLplDE7E1JeE7bFQP4HwJoXLnztfLBU1FJwVhEkCZnumumUixlnLl KDTaOZOayc8UJuVouW4Zr3V9zd8BciX7h60Z1O+gps87O2/ULjeMJM45CLIqmsaGZ0nDXjTYTpSY /D9efCA1CJOSe+HDUXvkJ5jBzLR+V0zowEBhkWpq+t4xIrTIJeGa8qmkrwmOGepfl+SjrZizRk7W ttFwPUfBhIIbBBVZJf+z5u0sStUqh3ZiskPqJDh0t3PHmdi1qcrmw9EB/FOSgQFjLvnOSxT8Us5t Vta3N00YujLJhrocyTC0j7edFMkjdNM+082UrpF0a/RWowvD+XkrlWpg8AJaCuj0yaK8gpqeXvQv d1PFBGpUvXd37StUfvnwP4DaNOTekoHwqYnCXwVdcm9B8Y9kif+oQteNgNiK+1PkwFcsCYmYUpIe WrrmLnAFP3O85MGeabkB7BgLY+ffeo3Fpmz5QmcxQORnbuTvXp21NGSphJAr8+GIFpO/fTVakKSb GITmYpUBYWrdMsnklMMy6zbtlZ49Y4rdxWxKRJBJ5dbDUaYavRv/cIEkhMoMxAeIPL2OnxLqyaG9 WMGllAZT7eGw7XnWpLsPFD78j9SgW4mzoC6kqQkNxigLUFcywl0l3ZWRL4z2ClkPhRzErq+JOmz9 AfBHDFChJU37m5OOQWNiMTxvREbdJYOjiV8f5NhMmejKkCngxm2Rm7Q/TziQ+fA/BMDkn0DPxBvn hTZj4JGfZJI4ZHqz72HEZpCWVLJa1chUOfQZG1MiYD56alDoGqe9dLLKROYDuPQkaI1bsCIzgN8f XUwUbiWqsBiqsJduimDtnAJ8OCJo/vDgceOOG+aFXmOL7gxA1BQJuAJ3QvY7/iQt2guamLZgwviX 1Uso0Wi0eUkAMkC3GfHYmRggCymqVUDRAvjaXOuJoOT3R+UqBjGr2Mh5qXkqoT8xaePDEY7udlGk zEmDcZuYGNKQeBJauerEX92K4otNa5o0uI9UDizHrpFU7zbew/csBqxx+JObH8HAWj5p5NnxXzWW RRHXHDuOFZ6+NGxvDGLxi42ZyO3oJevl/sGB2GxEJsYKNi+xUQOUodf2Mt5ajhwTbgqwhuoWhjnw HLtalE0xXrvtAvBbTlbQwFk7G3IuNhXQ9kLfmCQnFjjR+LT3jPr4hXzeaaNCvNalx3/W3+TDUVXc Vww2E2/s7iCYHFqPsQ8FkJcVrFprVrDaRSDVtnazVn4OvceESJ1y27qiekABACdOUTDIZk/sNbqB N0Da58VK+ekbB78IeyfBUu40eq6MUa1fsPRwsGTK+O4lhAzN/ZZD9zGy4iYdpJliS2AeCIfWZePQ AP70aQl1wbpMpdb9cBAZtNIaobplzl6IH2IzCv3O4v4EZGLy+4OOfpYtzrJRcYxkpwFyX4MP/8PK Qo3ak2H3e/RGse+gvky+DgVkzMq3gZhu+DZUusp2fU0EN2RBvPto+wilKaC5seZgK5VJ78WfZM2u +rTQ9YdfH0wLrcfE3kyOh6knXqZgE+qzfDhSNvohbiI/mTeCCaVuGZVNt3JPO2Wv4gHXIqRD2T4r sXFDNRkNjd4/maTHJ+KMygTWCF0EyL8PMqwM+69EKTQgQ5o50di0UYlS72IunWx38uFIM2B+txCq NnCNslmJQt5Euc5WbYXMdlsD5AVJSGFcg/u46MtWZPbxtiJxBa0tsPuEtvieVBiAQA6Plmkt2m6v GaGYceim1WxU2gjxGh4OsI7NoQXZT2UTip0QwPfbKLQg41xO83Mk8j1bakTtfOHdm3GKcuxBtrvk TO6H7aKFahSYiWDQlNblQpaZj8Gq4KtulO09/xLXscilUV2lORODa6in8eEo4v1xU4K52LilmNCJ DGKgaLIYrIwALyYCcNIQJeDcG37oBlZkSEIlMzGHf9jEYJlAJQxxe0/kt8k9hmo5oDQIWEahKP6B oHk9KKc8k426pk/oK7puQ/wSupFRze3i7Siwk71/EvuR4SRVa0/Fu2xd/XPzbZdVIJ0TWyk0JBPK O5Obly83ryMZXZlGU/Oc3osN2s4SVQcv5gumms1eM1LTZmuWXRTPNE7CWNiOIhKHD/8DmylNRkTd fsT8xZWM8f9FO5RsdJqsgi/QDnDgshUTBbxsSS/2Z9e3P4vSwOjIpFEJXzQ/O7cc2b6FgYTDmmVj HtiSyXkAMuvNRrWVBss5PGEaH4761vMHxbsgZXeXSxjvoiKyCrsjkF6Hehqx3mMkw2cuaDPZCRPL 35KNRiuU5VYo4xy9EKmrkyfvWTA4mSeqOnmwV5BcUm7SXSkH3mRZbesiu8My/eQ9ubKjoQYf/gfr l7w0Yg2/d1LgT4YHIQIxqfKUyZZYUlgA/FZJJNThLHUMDco6IWaQDdDo/QEo8HSCEk/KcRbjoIY0 dWUpKfv1Cu32mtGdxGIDjae6qwehq9skwY5iQ2BR9n9qvRAUrXdaQhXcSomF3u184T37v8pyqSfP AgKLMtO8QMfkflgIk1qdsw6Jy8EkpbJxshgfIBm4zm22ZELJsMaYjrih5LghmH0pwTlXPh+OXAw8 sqM8I8JDp8/nwKcMuSOacqVadeoslGJELNC/pbSAn8X2Ugx1YN+kbBsd2gsRc5q5pnNkLcqKUaWA ko/sAVlHKS17zWAvJXYgKTv+5SFk1Kf+kGFT+HCwl+aP2VLBMZjuGRMjHZgXoR0oMfYqNQEIiJok 1AQDUcDE2KyMLf0q8t64771P5FwAHuS8INdCPwneFiCDgPp6y5ndXvMvlNeCM1OjMqTUpTcH2iUf DqK7XH6j3kHsrneuQ8OySjVuZAPw4RIYr1DtRz5U2JFlTkNThY5l8pgtjH6vn+oY0FtYiO56ktgE zLlQRTkRYJYArNEodrHX/Ct7D45eotKxoAlktXrRe/Lh4LL2JCnpBkPjxLdSaFpGPGNq3ZNHtfTh VLiTgx22u6TkwLUM9HO2ZxlGJyPqctFMEMYrNfjyZvOEFxdbrX/yvq5/LPrwD/wlIyhATGlU4Ht+ gy0rxLX48D/2EmM5ytH6vMReD4zu5rIWJAriakFKl51QhwsCCZ3LIFgBspRO3+6n74TtTaenHNrW tSB9g4M1vQbRHL9OQ5308RyYl0nfFtyjZqN6A31bHeXEwHw4uJbaz8TgWjmHts9MFPdWwuV3n450 yNmQDmxbCukwqq+YKO4dqtjRJUIfjvPNJ+CbFN0GNjQp4EE8jtPs3krUA+X3R+AY1L4TuQRKAkgw yUMeZGVXPhzhzK79HzGo0ODw0zfwMDt/EXknddml7zmdFFyBccpEOsztOWRsYiaNqGLmzZfDuxeq JYWEPsDLJ52MqTuA5PpLJNetFLiYaTPMMihcP1y4/mRKWpzlxJJ8OAJU/U+fDb+du4rmwMcMXqvU UuzqCGTyuyZOFuhzSsQ+AYqnAC82MmPP5P9S2GD6fOK7nIWXH0lqUagcs02+rjbSsJ0Uhr5Susnd Ru2kOZJAvicy4MPRivnpDRB+kss9ZEIaG3EOYk8jPwYz08wfRrHeQO6mPJADLzNUNHErSafii4xB UpAGzH966vBPAZpq8tYfeM9xpUzsvg7dzBr1XTI7BNkr7A3+AGY+VPnw++xd+39QDvlLUsqBnxmg c9g/lVEd1XXdVKZA1dagiXP5TopC3yGPtzTvhxOVKsialCzckEMExWuiu5+hxATY2VVISvaikYKw ZibZqJygrCa3KYa+oakZiyHfmUGXc5c7N1G1l9r3FECtchktssk4VyCYK/+pqpKkzJADXzMsPRo5 szmwb3Ng9lJaBzQIKn0nkJxCs2IzwTWoX9nPSZvRHDibyftpAldmo1U2h9Vj5tp8+B8YPFbwIPXX DBb+ecYx5z8LBxPgx6bNQGgVUA6bLECkBEtKOGcrPaOYctYqWRP8t9mHtlIHG54uBQjwWsoKczov d3AdCAsvX/2oZxRTTPmzkpbG0UDhixhB0Gzw6GNOCmDvrGoKsldINgMfk0daNCd9Gr2CIh4kEXGp wGP3hLo09NsmOP2MYEoySExmYqgPB1GNc9NIPQoOo42kazl/A1/wnRIDPj+n5MQBIIZXdmSrd2TP r9fdWwYVzeeU3DIMDJZ46M4/ODg4Jc/gpRjAp/ciLkWmPCJxdlnTtLFIm9kdPEOXIok+zL79CM1P 3HlOp5MvFSyTc08X8qgTJc0W9CzTRbB2e8n3KinE25HSxtFClyL6aa2pfZ6BS7m1b1slZ4GA9MM/ 9oxaSqb0vCt4qMxgNom1mto0eObub/2ckduCKuyp5euNCt/IAjs2Nu7TSatlPINzGfp01FX+lbd8 xiw4EyhgPyjQh/4tu+2NtXTK36yeP8+QBf9A4TyWuWJA+qbZxnkGLNg4pKKMK0NAejKSotay9aZL 8579M1zBT0Hhrgy8q30YyjnBbHUzWzzbZaszDVRkRvcSan2+cXBBfp7hCs4S5M849W3kt5/MikRe uNevzzNYwaPFNw7BqozOhqbkGaoUxAA4S0hmJu6lDol24BeQ/Fr7hirPQKVYfVCu5NnNyTElkAXp WHBnSnAibUrkMHfgLV3dgKgkNtSegcr5zyYUflLecjpOCnV0gePOBvo8wxTfBpgCFkUqmRtLU/KM UnAiIx+BKrT1jMS97wCAdjHaGoxbdBM/YxROCSE2W0fKvs6RbUDnJREKtBr680wHqBUC5xpKfpr3 A5Xao50DzlqlEjNHnSWlGcj/fH6e8YkdQ3dKIFwDcWdNyTM4wfFadUtsb0UPa7qmarKwZWzXqniG JjxeuWOGDpNxD5Nx8ogMHjgKUO1MiKTLUWUDJ2+0/6WUPCOTYm7fFXQ9jYbAbEP8TdRxn3EJ36xo RrAkFvKerPl4FuSKyX3kuh1hmE1aGrdDda5at+rKsxxXXLONjGL7sEJlH3MiEfzTofi5B5GXcFwv KPzkQsyCgHQ4yj/PehxmZKc/Epxz2Tk7u6WEdXbj51mNK+ZV8IfGV0yqAXDUlDwrcViQOHtm31bW BqjGygfLiRJjZzNMedbh8Ge7fDEJ/snD/clRz15tUwYPynF9SbUe1xfSZbSmnFszKcsQna0sqszc bVR3CLogqjYNPBocJBLRgtgTayrnBZpdwW/GUbEi3ER0zcrBpPcN5cRQSmRvaIDmbzzYMFLjfmDH Vh+2TibQcwse7BAgmCB1gXmMoz8XM32oP93nd/SaqOY7IaBso90z+J9ynTQ+G2ydOb6BCdoBcBXX rETha8KVQ9MFQi1p54Pw9cRY6FsjpEdN2qCWcfw6FLhW/3C4z0oFxiakR8DMGf1GhK0UTVrfSpMc U95soyIkE+QsffTKZJeiD3S03mQj/EcqdJoVWFAUuK5qWqIQNlFyDQ0x5786alnaN3Sh7d53jmJY cfXFUdSHI1sKGhMUD4ZS3xTrEUuzU9L98l9FwopiWJLJK43vqjCElLWkjDevYgAto/Bk2Fqp7Dov CTRpVqIwFiFT7qtZVbLvYVXJPKcq2q0MF/F4s4zw1gT+n3/b8A87VwCUKTig+lkpqbVhtjVVRXY2 Vw01Jyz3O5I9UWFHXkySNUfdPogmiT04f+PzJhmVC3Jf6pWxupX9uH1zjLD+N6WSmgzM4GnarO/c s+KVtkZuXnyLJoacyNJZUeGHTQzsmztUANFehZxO8xMZB05NXt+nBc3nTTHi0YKFMlg0GH7DnaO3 SWNvslobTIsQkBbNomuRof6rWYnC2Q6R8EYQHxX69l6u6p9hcACFPrRBVUV5x7MoMG2FKMs/LHg7 MecgWxVSY+eunzqSUQ3BrPSvGdPQWwbXEJ3QkSBoVPqHiifFHFOrnze7CKFHTt+jhXEZoA2alXdE i6Nl8EDxljMqosoFk+F0T7jSnBIchbSdQt5ZTiH5xykEZc1RyYcYLbWlWWEADVcwoGiV+NBO7fOm F+GfJseuumzU10M+giCo83/5ebOLmEna9awLQyBki2rf7CIWl7DnGGZwtdBLGzy94XqXtc9q2oVv chF3ojRcmG/xw+Pacq75QuvvsxWraK90bQcOkPezwQmXveZ7XoZuZlT2OQq7cXJCwd5Wn583tajI 4+pbOECxp2YLbt/MItwhCNQnDX7/0/qaqrR18MUA5ji/FOv6OHOj8HZQkiqTN6wPu4koDARm8jl7 z2ZCXwPg1kpDegKMr/23wD7v+BaFfWq30VNzGB8YqvhCvZ0Zyp83sQhxy/6NWwDCTz4tUYQLWiTg sGZfPArFKKA3Iv21DHF0hKg8W8IQl1VrIe/0oQQfEvknKW4McRtsnVXILRRr4it6I4habG9SUZEs wqQPi0bjW3Vt2JPqrc+bU4RdVO1wIZ2kE9zXLHB5c4qKwK4S4VZyuFa6vPpkiNxGFFDit0Tzwu0z VV2ZDsRZ8L9DAQW7aGN/KWEGpR4YtD8kSlvsv+0933cRWpsT6ZSN3EajpC2sMcCnb1KR73EPXUCa rF6QjKJcwMfOEUScMs5cQmNVfxt+5irKTfyW4H7m7klb/+irAgrzbyjaI09N/BdQppxa6hgo4WwS OOg4fd6EomKV67LogbfcA2+fsIJS45CW/7z5RLyK7GxhBwZZareo5U0nwuK0C0vnLJwkszpAvV/N jkXw0zla3mwiZs68iXC52IfH/tB3yNCsTRV+EEARLshpAlwFVMplulLl5U0nYtiSeDUPG3XinnRc JuDn/P682US/US6vHXTjvCz55hIxyMXJwo4aD1yy4ekgSZV1QCuJgNKsREEuZVmR81T/0A5KUOOu CT6wqfZzH2UuJio7JQjE9sulZx78eZOJmD4j+Icsl0btoHND2u891+fNJcIvP37ilkHTH+9zvLlE RTTDLFV5Gl5LfOHMSC1mDV7TcmfaN5WIe7dxQtr2D8ufK9ptTUi5IR9V3FSAvUHG5t7OANl83kQi TAqwyaWNZaO+fIOvrey5fN48It7s4xviAtaJE0FzEkW4NM3NvXiaWPa205ZiV+KdFathvzlERbQz /Fv7/TD8CupkcKpjqwN8XVkrEuMKWNWVvZnLXjOIWSCQVBpF/Fp1S4heFR6h5PJ5c4iKkb59VqBG inhcsxJFuNS8gPOJ0sRRZzP+g6u8NDT7bFbeES7SxK1S3PSPC9GAa+zCHYTziXS9ldRt739W/aK2 WaJ8c4i4oFF/2gRWbufNMgziz3226udNIcI/sfxEcsxk8U/TtEQBri6ERtIDIYQUGEDyTaQwgYMI k9lwfzOIuEop9SmPbX7oYKkIKsgpgt9MaUn5EsIvgFGwR28+tPWawbQwZAE9TiOx7DLH+kPhhf15 U4g8t/HarVKU5HsoLN8CTcoTkdolFd1OUed5pbBCh0Bbd/M7wJXLGWr7pfuHtWoWjqcFsePzdXtR KQOW2PPP6jTN+EKTh14ziuRQp0Q5XKPdQtv8JAem5R3foqawvnuoJ5dg47REAS4DOTOj5dFSp1e1 q1Gr9rqb6B3gZhP5KEiI7cOSZ7CTh47bujoRpxCQTZ31driT+IGLoOrzJhFxteBoISWkXErI+abh bsTj8+YQIQYcPzUFCNgN7wa9KUTMSqnoXYctlp3F1SxbyTNUryYDubOH3gyiYkqtCSK0/nEXC3yi ieZZhMV1Xc4noDqrf3xPFpb63wSiYr3lapVcD25h5Cf0Vz8Ry5s/9Fvsl4/I+T22VRTe9CFbmlBc s377IiCFBnBYIIAltPNfDJH8Zg/dikJhLK8Pi/mRdcAPHDdz3olOE2fW4MpENBOKDdeW6M0d4pxo NoqNqmv3QqE4sB/4asH+yfNnTs6bUdqRcxLFtigSn9uKPNZFZn821X80TyrzQwJrcKq8eUPEqjBf HmqpXkr4HHAL65AGI+FBsm7npgQ6DNbFX3N0hEafN2+I3U0khon2velqTizpokHgtn/etKEio2XL ggAHOXFc8kmJQltCMmSCwzPFLc9KFQ+aVe3tMIR3aHuL/aos8UMLZUA4aIM21HEATCShztNDeZ/U dvczHnrPKOKnr9cQdv2sLipNnJBQMiUntSifN2+oXCzcIkgQ69/rlG/WEMv0uL/R8OW04O60adl5 GhKherH/TRrC76EztrCxyg8PPvuqiGlRp5zn/lFpCsp10J77St2zpv3mDLGjqip/sVEx3AKvjzFc 56tFke3+2T8NJ5kDVt6RrUX7C9kSoV6rUdednFtjV0H3yqAIb7pQMS2dROK6fWip9ASrDPTtz0F7 In+dxAlwyUyNV9QRrDG0pt4zaIFQ6RJi0RptB/UuPdx+Av43Xwi/e7JrmbdN5rln1/KbMFQMg2+q LPRs4qkLPAIsJCq5vYkVb6yVsHqr4Kyn5B+2VsDKpIX3SW+BhUt0qoXpDqR2IOdgFLNOcu+bLsRY k7HtmDZqtZwjLxm6qX7ebCH+9OW7WirjJU+a32ShYtSPVCgmZrgTxyRsE+CYDA45LWHxVuVJaYkR enc2O5vw56c6O3Sz4zxPxIJpyRTvxf9WTgD9y6N6s4WKYZwqvkmj9g6K4fKEr/XzJgvxmnXYlxqJ aKw3q1O+2UK4h4j8Ku0qk1AXFWHc2MuOXGSNmpiwfMuj1NyKpT+oewhiMwvl3LPeywnkCE9uhB+j MQLwp5XjKD/3Zgt517kstUK8WHFyqt40Q40vF2yj3/7qSKpn2LRE0e1kw0ywBHrWpm0pIvWNsY0m pRc5LVH5dqr7MXQhDcdnTcTyZzFOadSPkWmiR/1mwPgRZvo2UiAXlW8hdXImhI6KJEezxnIiTNk3 nYTq82YK4eVux0zu4EQAWij3ZgrxeJlWcioEbgBzVki1w1yBVgUXsyUK1Zso9C3fopdvH4Y8hk9t SkQeb0TRFAJKaI3BEByEjFu9zXrN97yAUjAhQ8Kx85cH7WLxJzhrbX7ePCFswb5/on7pQdqsxCAF RDhs8LH0BHuHoVwIiR15QrIHB1QwCnAnrY1P9jD8w4BxiLgWcI89zXNPQ/LorBbEwRuGOvnOChUH P2+WUDE16tJZ2e5OETiLpWkTnTj58yYJ8Yq2Q1ce0eeKbpY4vzlCWJxLjHiz1IAclHHj125W1G7D itpvihDT7/2GHkMTeTUZTS4gswfPXBS3zpeuei01yNP9vDlC+L2pSTLIXB1u7Xku5toV+I/1eVOE vIrqNzTuLkjgalbeMS6kUElCXCZg0wkgZggHXgQBg+QiaFb+EeP2tvzDQOrnPoPEsuzyzlbMtOfE kgZmbhINZgyhptcMLmiy8+BPp9GKT5P+OZjZ8nnzg3gRzW/kj2YMBWo1LXH1Fpgb6QYTq7CcGc8u PXLErW7aScTe7KDimqGZJU19WPW2oHSbh8xrodaiXjwId0yhYDegKJcSWW96ELNElrO3jxat9EyI AgQqPm92EBbLF5YNLfcp41xNS1TABcLqBKJQCSQtfrC7CoHok7+ckwWIk1R8WsIwVxCFrVLl9lLl Au81Q2OOymFwBdEJBH8N1j4sS+QTnzc1CP/R5cwYd3mMu6EeyqhjAIQcxrj1p/QEOTWU/jUnIeSW CrtjDmNljtpulnhZmexHd35LVOhXeiisykpfgOm5+zd2EAAKZ6moIAfmBX7wetX3BFZ/s4KKJD3O dPRqo2DIrZpI3C58Nuqs9p8Il0FE9Q0URbhUBq7S2SBoYFkLcSz656Ej353D+2YE8VwpzA5H9g8v KKAwXCjlfs6nnroSJ+SuJ8P4uuYRmv0mBBVxemaD5KZGw2Z3pQDnUlqfNx+I/8CfSenc4d0nJYpu O+7+JX0j6m10Q2oMn6i2DLvd8S1/xd5uVVn2rbLMBQDBkuPkOLevqGZsXuFA6OI2gHQ49ZYBHGwj 3MfJo1HluPNzmdzGqng2iOK6I02xXSFxZKFtC0NbpE/wVFXlabRqKkelUKQRdlQX39Oiwq3lQEMn xvCmzbl6TvI80RsB4fBcqJTOYs0DKn0A4F5Pp6rXfB8qaOye3JB4je14DSh3LOMb8tmg1+z7Rw13 bF2HyPUwsmUKs7NxvZOIHzBF60yJAJQlQB9Lpb8jWxS9uHEoC6QPO2n3WXIdev/n2zq40UtLhYc6 KnLznitUr+wxe4xUKYT8HLlY5AH7h5jKimcjpGn9HrYn3vuDnEHTEoW2wI8Asb79sG02LYVukPIp 7aYr1yNkgoTbyZ+3Dytonwj//LikwezO8wM0GGHHzl2wbrjS6Xjco+otTBlQSfBR3dRFwDPhGgvP RkSY9RvawiPRF0sY2jalh8mIMFBXzSLVTemRnLQX2QlnJareehA3k38Y6P7sm0wZS3ioL0r67J3E HgMM/LbgaRaIPxAEcUQmoCWtUVnzWXUMwwDcxLMBicyDONLqWE2vlx8U8shwsQzYLcgurlA4GVIG OJfgV3RiSFrInXClR8HtVBRX0/24ANxzNxMOh3LLBO4V9VxUn8izvqYZ0lLuUXCLgsYEedlG7aEN JzEsgZ0Kno3IdT/VhC6RP01KRCQbBKCApJYTapWJuw4lp4ystlAkbBHNjlkJY1vVZVtJ/uF0hxPc UnkRwf+CoR5NxdHMBb0OKEjbQyXpPaN+c6eg3OZY2SNtAFQkQ48WPBtcQ6N+Z2VQZyVbv7mHsS2h kJKnRH5YsgFNy77K28M1wnpIJ9NhkZQ8JzeiBFpjK4kDvAdrl4QHyrDipk33aJm0iuvv6BZCyGyp gptanJsKGeOi/LDgOoiiW3OEsPwQqpBOFupRAZcIx1lo3AqgT3E8Jaqj0lAGQtSOlji65dGSSE7m h8VxJ2SpCdAHUJkrxPbAegeICnf4bNcSWqjkHhVwQbudRKdptALOkCU0WEl49h+gJ749rDAd3NPf 0e0JHJEHTWqbEU65JMNImh1qLTi0wchtvJ2j6HZrC5FTqA+DbOwBuVOBks+rw2nm/N9NKmDhQLgU qpH0lsGkIH9pleZpdd+q1qjUDAVy4NPD6m3bP4EcE/ZioVyPsLckMpeyxcLMJMJQlxH+XOo2F0CS SRnqETRBB0up7JuWqnIgymVlnVhxifB+jsZsMQvicDJB+5U4RfBUPj3CJgB6OOmLqVHV/jPhsmM9 0eKnR9iE5HwHRqLnp5hepOx/jXBzM6k0FOaqkNplduM102uTSXOPirdL4NIsbmZ2MVwYuUL4JpG6 ezJEMBXP8kQeyRvlK7fdKanRI2gC5h3MMh+VDJ1zU02vkRqejVZL/wJZEDxQKsOoqlGIyyuotWrz QgFSghPWZIoIcAIwyQxxR1S8nWSY8Xe0DztaSobtFD0oN9Dxah6h2E/xq3ZvZ2ji8DX/1gPBOtSo onaq0vU+l2bBs0GEO/6nE9/+LG86jyjCpYDDArCJJZZVkqDsddAmHnhKGOzqwB1h8XYIwD6mf1hB 7lzPfSTy7iY0ORadMbBf6I1ZL2G103B+xAwzwilXs9FK/SaQD5o4ng2Ktx738xoiMsubziME3xb6 0ZAyxHvIyEPnF4GdB0K5QvFnbKIRVW9ztkJCV9MZ74oJrQl+vwQwppYWQyJcVLj8APWs83ZAzl03 8AcC6CB8XAvuYo26neEdygv2xEF49l80EPxPF6RwfVaiALfxaCGUxGraw4DaMiVCTZsmKdxCUYCr QkERfL1MujmiFHFee53pILn5nIrwjeaRDMc/hp1XIPj87/H1UbOMFX5WtLtrMZ74Z+oiGZPPRgfL L/KWgsheux1RgItQAeTjZJOy+zCRyt1sUqClapMSxbdTjO+pYst0XeMTocPnCUkdKgqwa0Q2ROMO sEbYVzHJQZa0R1S7zU2A5EFmzPxD7kaDpwzhXOMsPDwcrZWf4i2WM6qQmpYoviUjbKHXSHrZqjm5 S0hNasUvXBHslY0ovl3KmauSxOpJ4gnL50bPkCXtMSCoQU9KXEOLFq5fmOnWawY7iDRElOk1Gvi2 TGF8zlGGZ/8JSRYx5nvchuBbRBuDpwsF0iizQfj6pgwLwLctW4o4IvBtUUGpC77eL3y9pI0DHKDO VPOm9wPKuxBBGawHXZDp1FtGa4UkRK6Y4Q5QCV4SuqUxKWF4u34iOchtbydRjah4yxpc8gQoE2jC mzkVtyMaO1uZf0Th7VSPrAr4RFTzn8ziLfLCvBCxdPT74Nu6q+yHKixhfKnwx8Ef+EvE8n8ImhX+ IwLPngMdz0baGn6wiPx9Qpfi0xLFt2PSQdxr2hnwbnUQaxdG7GTy23THx9/jW5gN+cftlcE0sPG0 HemcfGDrAMMC0KgY8Wp/EDk4wui2qfGxbdSsjKZZAf4BzwZ5s7c/qsBg3cU1RhjcAuAly/P/SOAh OAOZEI5IAp/G6qajN8LyrQAbKd0PO2zH3NCTYIllEr+O0hPPoBMnrXFr2qDk8w8EpSfyPoA00qgN dLJP0n/qeRzPRsWEK9bD6IR6Isuu5hkWcHFCznP70oAJSizSDABIPCtg6WCbdSyWGap/JRX5R/IP q1TOXntHQwQF3FymILoQp2a7s7YvdnDqNaMcEdkhlLQ18uuRKpBxB9tAPBtMTNs/xy1gFq4qMaPo lvKk5inJdjxjWuRCAClVuktuCMxgucywfptUuBU0oTs04UwWuhPUDTrDHmzCb6jEZeAsVXuSTDLO MfyBvzHLRvfR9lBqOjLP9+LZ6GT5n1lpfyCspVkJ5ROoKkE8nmalVDtYwJQ3WW1AhTkrMbNMzsBp +YdlQud3gjA9eiAZV1AV+hb7E7Xu+UU9IVLCH4jqt/Sax13EkVSHsykF3ihnxePZCNP/A02gFTr0 4jQtUXRLv5xO3UJiE5bZZVf946Sf0CwVmmH59g2qnHNAmg/f1FPaZVKfQjrBaDC3r6S2dlAITaB6 wgKcgaOQ2osK0KjjroJnIwG9/ANNmO2HWDaj8BYaUIjeqqTpF3tegFR2yIVUJoiDotKJ3xIogVGR JZNUow/Dgp145UQYNHlYY81G3W1W36G91ue9hlAs5h+IdALIi5nDRkP3tMLd2tAYmlF0S314TIO1 SwBcdAj7jOJbHpUN8GkKjTdJsaOcQBLjf4y1qklfzVg+Qc1VofZ3vj7Q51pN0ADEJoIzkKpPhVRe 4gzb/8rGzSjARaxw0glyzIp/P0BympizyfBsEMt5F0TayEjUfRNF8IQhEPuoV5olWYpYUnFEZbWy 9owKuMuEE6yI4gjcARQLrDNom9gheQ3OHQBnGXVA5KNXMzrpNaMTdxJj2m1UXAu1a9Yqz5rEs1Ey VH5P3E5SgWYlJJfhdzO1foR/SoaQjY5mCUDvDnuaoSqYuLzy9JXryR+pYJ3I9WSrqD0t0CoE2qA6 /fkhxpX/RaGS3x80hiiesOmvsx3JAoHkqd7g7nj2n/AeqqT9wRLQpETwBHosco8QC7ZSa0aMqUWm F2cPJJNlmVF8K/V2yX3pw1a49lOWdsLetA1O8MLAqYd/xBfbz9rTjCLcxqOlMxvqV4IESqs8G3Ju eDbCsvzezgshth8sUYjbEmV8shBg8FQ2bkwF74spIk55O1ii+u0w0QTJua7s9QRQpxeN9Tq8AieN gBNuVUi4Li4WF/7des2/dOLLIld8ZdeQGzVJQ+5E0ng2gm14F5G3zpn+VSzAXVGAm5jzjuXCLKlZ mQUxhrKhhdCZW2hFCIWRFbiO+2HZECq4XVJYJ2BnN25vYjjbpJ7rZdxR3XaFAAXKpm3aO+x1I/+p Q+YE4xXPRuSy9k2dM2VkXZdlhQgFLH+wVRW0FMn10yKlSk+h0kZB0xJGuEOoW7WeZc6h7uq5gwCR FZ6lbiZE5Efgl8h7XX4mfjj8gah+S5gp+ZnD0WYVmBDhWXbFs/8QN+IpBEaJIxRWqHFLoDZdY8mP Sd106AdNYGhRO6sREVeMUFDqLJBcpUk4WkMDTMQFtziUbxPPdXBD0FXAqTe/anL4MfEHouITLYIY tGwLWs4231O1/lL5T4xgpv17NxNpkLwmt0KGGTKYk4skk2UhLRdJEGWHeeJCdFPVpxWib+kemQ3e f7UB58mdzznTqTzYWICi8QOnr/IF03deqt4zKuFSmZIXUXZlw4oYS8S7vPFsdLb8xCyQtxi+WEKp W1J+Ef3DBCWnjWSbIJ88TbYmIXHkgbtCgtkwEsjyD4/k0joLhmSq84UNvwF8FGmd2ylj7SdLtdcM cHKFAsBz2aiTpYJOweAlZTwbhSwW+OPcBcfClQdXFN5yx6RdrfiU6D+mUv/IVnxCI0bnSqidQDGW nLSR0tV72rSzI6E4g72KBX+mBLAvoHUbuNrmcYEiCP5ARHCmVxedRq+CH6r9VTl0H3g2wrKkn+j2 LNtxT9sQfFtoOD/UL4Re5VLnsLZk50oHaUKzEka3lWFtEXqwXPQgkDvoLaPKMmChl6mC1QiZp5PO BZqWotcMZoUNIV8qZgrexyLbixc/no3ke3z/rD9qly1H364ovKWG49QeoXinCT+dOKUiM6xAGYDo xx0Uom9V60+6hNK9hKALUCEmR6GNMz0Q8Nkk6iNZp725pc54Bn/gL2WWRiYARy6RflJTtZxX33j2 vYPoA38BCuzSTA9ZQnYZ8Y30PWPUr/jt/OO73K6hndDv3RwWcKWCpUCNHxbJAQ/aSP6DdUhFaQE9 EXSj4K15F8skeHDF+FtK91B+ZPlaHE2KYtCerHg2aDg7LwaTA5+Z4TsoppZhr820XThhOeypbT9X 0DeTHHCoDEZEZRLhgR82JxX3EX6rfk7X3ZHGb6rKlkZ5/Wt1B8YFXzOIVzr2Ti0+Wluoyl6onG2F ZyO19R+MHGtPoxtoY0fR7aCd2zYh4GxSLJPeDQroTsDh8j07ZJZtteHb/bAqywDhbhCWXLOEfNAy W4gyIdmQPG1GHM7X/Esq5NRvC57PZZ+XaFAQet2he0P9gd+CGQa9T81KyCyDu8Fih/g/dhPN+7tO wkXBt9sSsEz8lr8tlm9jyOOVk05PaJoRfgv1NBqQAmOaASDicaZLaLPEskP4Lew5wGCyUTfzuftY YoF0Pp4NLiHnUGUp+Jzv8IBlh/jbTnnXJWgcKyKmniBCIkhUJRm1bEfRrSl3TjFB5r7TctbhwDyg 9LTRMdP5A1+JcxSNi5GTzOuOglsoJYEmVGy0JmJrOm3LSRB3rJ7QfhpDELn0DRQSy6ghx7Ycz5XJ ChRIiOgHm4dDNgLIDqEJQj2JJitZecuagfNbBLC3c7uC0gwPty1d3la/FBDWEnYU2aK/dmJaeaBc Yb0NOiz+YWch4tmgTPm/Xh+kylrEv0P0LVZzEd8b4gml27TUtYqLJzgFZIfgW0lgFRUTyi0mgIOY Go5XTEulIBGcUBLdbyVHL9M/VAHx/ZF6AhtlgLdxVC2hnDXIpXK+Fs/+Uz3BxEyLy1PuUBgMG2ix kfOfhBQECoNRnxJpOHf6YgmxCd0kkqd/2KxU8JjR4z2RC3yGpdSOwwwYtPGtO1E/eofSt1orpPG6 3x/IcJuYi3qObzz7/0OThSYx0N3XrITSt4uc5mIyyZPFZkZxvZsEe5cYe+K3RDKMph99Pyy4BWil U8Iona2zgHw+4Qo6jYVJc3Kf605lvR2Vbhns05lFoxogZ6lky4gWno3YzT/nCgDJzSq3O5ROaNLd cX+yzPoK8GvViGVlog2qpRJiE+zu0dwIECslU4ApkQ9A0uicMIXoHoqYAiZP5QSL+IdeMwjijAFS bbQdNMfQAqgDz/5T9xZ/t/9ZN1wJS7eZKbPJ0pz/ZraHEE53AfbK5dP5LX/H9JtY8vB69on10Smc 1JA7IZyVbmkJgK5j+yo9LXvNIA+SPwzRLNU6iOe0q2RgQxCRz/6D6TD9Xp4W2+6wcgsY07x2xZNx KZWRxbyDLSTDC66VCJxAiAOa79s/LIiDXv9ANQVE5LNf1BJqvL+RZrXL+YZXGN/zL7oJFdBmjZoW 1FZVpe4Lz/7L7YJZ8/mNh1fjpF7y/IPKD5kVDpwsU12zShBuZ364ikmZ4mv+dg0lOpzpw0r9J5KE ne1W4WmiswnLNkqGLGFvfb10e9GAdketJxxASO270DqQjU3kKAOaxaeDQ/dGuDhlcBnm4YTEnKIg d9IgZSO9VccsC7lRTjZm8hInHi1mUZZigTAD+Ez/sOZQObcrJZJB0zznbwX8yRCnKPhdGDuTMv6F qB9PoY1UbBTYdKrQjJSx8eFITS59W8+QON5e8c/pHecipksszFltO/dx4aY8hYFTKMviXEr9vA/9 IfspQ3H4m8+JLmFFs+wcvj31c/AgKyqqYJyXvDV/OlfzL0RyAUSxM5F2xwSWhJpk4lrnw0EAk33V CAyTvnRNCdM+b9lNge1tkDkKbDMzQtrB/zvoKGyfmtC0rFpqNPzD411Ey5RBxLE7FRcnioOg7kHb WPNeYuEffyJigjf2WH3UzQR2L2PWnBofjrBQw0v/KNnz/HdzkBTFvIl9RTWZkT8Sfs1jGDUAWoCv ySp30tf8zdmgCP3FDzuHz2yfe4dSnrn1ragYJV8qW6BjegWlm79pUP9nD21TUXq7ahgYkFyjwA/z 4UhS+lepBQD1EwJtn5wo9KVVaMPdQThH7b2bpAK0oCuDYEivygU8hYVdk6rv9+NLxxsN5YATjp3l jyvx5EmZCl6Afn2rUsRz4C8E6aP0cMkPaV70yjCmV/SbGx9+z031PYWPTCu7eg/iMP4tFIPqy6EL y0ve1J+yoMZqMDlFAfDUcTOUFnzNpEgd6F3g3HMkQKEcQTFwrkDs0C1S1/eY9qJ/Q7hXqh9VN6M9 C2Ao4TnBAR/+R1yjdZ//rO+OitUVOjB+Q5oKgC+oIlMA87QQp3vRLqdYXkElTOHo8pX4ObMBGY4t zWCwRhAFQ1yaVNY8XYqjQPdZLxpRW0mbGRqTCt8Zrcumi7zx4X9gxrI8EWh76E4HKQqFUShBfz2L VrQkTwgCDV0EUKNaud+5iVQWigTaFePyw9Kmc2EPUEjQE0gLhw/SJrbrUTKuF/9vHqPprxYQiHlt VLaUUPRl7a5NPhxsqK8mR/4DXrV7auYURcOLvRLyi9hsnEAdysGYOBjEHj1lv6LiUq9ksoR9KZ7w nZthzYbkGuDLTJ8m1B4K7YisLf3rhUe2zjvMaLSPr91Gq/U2uVedxTT58L/AQAzMkAlml53LodvZ Yla5kh01qRczCcxQQpUPBNVN6Y8R2J3h/lBKurd/fMvgIPkV9npa1R2W7ALFYX/ZaMqgcmB4BqYr wS+UzO3TNdaA82A8e76AD//jrGGucH4NYJRsaqJwGAzsrNdiaqkkqtGWoErfhlL8NjURoiGRPg9C hH8Yknn0c9VuqPaemL6l6qI/0DdGDf7aHsjcK/Y8Y/qkVKrZIVxX62uYoFzlw0E0nH/QUhUJD6ga NjNhOJzpZdyzVa24FyTnMgXlRTXcVPlyaHu2xARo/X7YSVOwDmFM21EpHZAdkTE2BawqydFijyjk C43PjMJo7GjTnyszFcaXHcWIHDif/diHyJi1UCvKZiYq/sKt+6Qvzc7gkxBaNNzKVvfk/C5p+cyE 0bB690u08XVp43uMDsKIdByxvTgzKJt04jCvIgXLLfwLf1fmWzaqAwntPAIbUsl8OKLT/2Ca5Vq3 PYcKvc9QsDv/rxQlJGUPuxFXd6E1NoC8aXVDwuTA/CwptQUyqPiHlWraBhyDOnQbAX4iwXMwy+9/ 8MNcHbpqLxp18icbkN1GXU+7DUMI2esFfcj503JDljLTXTSRhi440ChcJTtofBOhhjUleQNisx80 McRBjD1FffNqRgE9Via3dYLSCzLuE9TgN8TyRFfCwTBp2Iv+BTvF9q5GLhrUxWTWlXvhw5FG3/zf mWn9HjQhSQ2x7ZBp4CZdzZxnKl2EcHO7KYK+5m/NlLNGl38YbWKgGA3IWT9HOhC92E5yREBbcN5u pPS0cuiCpox7MRxeTjoueUnI8Lzt5sPRGfyTI7BGXxz0nUMbNDamEKLKkBO+f2I1dlFvqM3Y7naK bCKsSL76/TCoQ1+w+F7VKlngXgG6WinCCh19i/ZQfrYX/cuiaVTValdVa0Gcn6nlTHo4kqhYP5Ew De3HvMsmLAoLQ5q0WDK9IgVylhdnRSVuTp+bsCqsEHit7h/WVjk/cduD+VOpcIcmBmAQnglMx/VC m7IUDM3QpEoN6rhGpZYFwSqv7pX5cIS7yz/LhrSGdW1cQ7uIQevj5qj4vCzeKyS2yC6i3wsqNkST 4P3K/uHlGjSwKz3mW4M6EAUeVanBMd+uZ6m0gXJoiUY1Q1SsbDRY7xYjufRa+HCUJfy0+FH/LBBE 0dyEnmg0v2tU4EVF4uT4XSiZVUnuI+cODHiew6EpmjGFm7ZU+9r0AEPeBo3VIfI4KCe1sSIZD/e7 alB04R8Ism50ssukowY7EtwaZSUzgMOqCUzRkN6ln6wbqXLy1lMOfdF4DrMuU2Q4n7pRqCEMxMNm m2xq59dEWYIqEeKYjC/HBEXPPqmsW9FOJH2NRHQkXWVcUbaVpr1oJCJLhRPzBVBs0MtYIuLAzIQP RyTQ6thEru4/w2Xec+yNNllsw5GIgkQH7L9QnmGSlMR6SLtrJgyFlRcNwYjGhRFBKWXBnQ+5JRKP M0d7go9bEPpAllozU0ie51+I+I5QH7P6cNMN1aHrYUrvo/HhKBT+SbpRAsjVNR9zYJCGQjvDvH0B VtmrWLUkB0Ikr0cEDmkXjFdd2MNjYTD6mzUnaz+px3lHtKPaH9lrf/VfFrVkc+yQxqkh/162xLy8 4WCq5ZP1ev/UCEXPElHDnZnQSAIHDUTkDQsh2iOwEGVafa8Q7K+ZCYG+4j1iW9qHFyRyr6TYtHOB J9R/eBKdY2Ww6OqulIXdC/6FYGYyfRdJ2XJKGJCCRXZE5w7lwxH+ef+UzHHsXDuWHDqlNeoP9+VF LPnILQIipJJzTrpqSMUcWqUt4UTamP5h++ncSfXcumgnJOjkFJ40lTI8C3C6ax1th3AYC9PZFSi8 aVg8BovJrqdzUvHhaNH8NLpRfW3XaDwKhRu7QWUNcwWb15ZeUR745pfOlgO3NEDmuWbEO+eHQ9BO LMAeFkihrVEOG3K7qJqfc7m4ccLM294z6v9jRjqxItea+twxMns/ucLgw5HThucIeAzn0XKVqRz6 pQ1y81yJAJ1q202J2l8IaQYMYZQ+BYZptyY8VvIPi/YWOcjqdsPxVR4klPxmKa/61AyC83LomDYE AujLRh28sKgkOm+lyoejxPLCfgEOpMqiTUwUBnfK4eftNj41XWuw20bIc/vEhKYSSRMz74d3WMb5 f8LhCnQR7GvUDT97aADRctu5ljyFlmmVoHkuma+bOfh4kv/JafLhqDH3q4+Zz4pJd8VEQTDljaZo 59xLy3sHZRrq6kQ81c/fmNmmQE/wxZa+N9M5Hwv76OcqL7ieyA1tf2imzUNmWju324tGiGgpCBUb VaVB8s6rBe63ObRNG5cIKUQnGc/3cgqt00iGnMwLcQJPdquxVjoCRVJzJqVymTz9xTtNAg7S+Nuu 8QczOZg8T2krnfBRkJpB4ycQo2+dRrS/HLqn4V8+z6xPG5VzLzjssGIzJh+OwuCfExiYx3HrNKF/ Gr3BwIkS2pUqhJT5q5tGHDje9nVkDwzUspU9S6rLP+ygSbB5haI3kXVtSIEJphbojGUQJYRLo8UI /0KkD9NpLbFstGu7FoHhGwKa0ERtrJ9SeWVNqdxFE9LciuQb3DgZJkcScpjbARLdDU5z6KOmozwt dvf5YX05UFIWrTPTgD4+/Y6oGI7iIYArjquZzV40yJ0Y0aD4pVFh8JiDYWwbafDhSL/NWiy4XaHb c8WncuylxsCnu6RQHyYpVAvdIoiPwIK3RRNGwQzykhlgXStCYI2gfl5kpgbwzgZ/Cc3s8y1/9pW1 m7JlD7zUxGrFPCcbhQA4IZ4A9Q2YmsBLLYt2c/mi5yLtt/MUu6mxACnEeGO7sprTBEhDdGZfi5kT z5l/yPEOBXxj+MT0c3ycRINQrJkqsHyo7XXqY6E0nFzZbmZ/0b+gjSr0iTTqEEatw4LYwYf/IRWD 95+I+5eL2+XAVE0dHSDoi9iRFRVpKjrsyjYlOq2QiFJSGbqqOefNmMb5dhFQoSmN99MqJ9ZuibZH dEKc6v+712m3F43uJ9IjWRDOrrsCrCd/9bpRiQhs1ewW9oIwLEGqB8GhqxozGYUgOmbMZRoZlPuz fzu5ga0a7AjUrZQo2WxXieocnOeUZKUcEQBy171ZG+X/FgxJc5vL/qLB3QT864ntso2WHZyzS0pK c/PhyGGh/QA+zyzBFcNmJhTmrVSwL+bbUukc8R/lhLE3aTsxqOvA3fQPZ7XGEJwfVimvM+2CqYXo 8SzQ+UZHDoiDTlDLbTwlf9FIkEp+HNNGy8sg+f+HxJ3Ch4Pd5NZqvEAAUc73nImiYCp4TIgZUR5l AssoXVHG9P+ZfpKhGmNrNYOMKObLjt1bSBU21goO4HT+/wmvLIj2seSI3+EWPLu9aHRrk31N4Oc1 Jk4oFErgGn3c0FxtlJ94hr2kjNPG5iauBqOrkuAcQg+KlKUYXylm3NGurPiRhMEK/dWs85RNqTdf vuRJr+GljrkpbOrCGwokdZosUY7WaBm72ItGBw0iGpi1auT371UZXVA6iw8H1/bwQFiqOlAbqn4I hxZr8tKb09PKkRwZATkTQ0a422cOPNZMAOBEdnn5h9YN7g24Z6iJgCKhsKHEDQGqea0KGw06cmCy loy7X3kU1+0OHeB9sF95sis+HO2o7mcNiurouPvExDbC3PAjW7tycYqAi+AVI1wENfC6viYq0bBs Vdk84YdnT+PcQqpE4JJiu/yklYRRgP9Zrx9Sr/6mfxO/A4hLo1oI05zuMOt8OLie2vw2uXH0N2cJ 5tBqrRLw2ZyrvujuSmAEnxEwIt01E8o9SFWTG04ffgrDlG9RX6iCzlttzZwXQ0zdL1e9bXvPqPuf KX9RbTSe4DBL+xNn8OGg7+R23IVQWBZ5/RQO7NaQ44MfuOnZXmldmK185XKsrY/suVPst6aC3nYX 92/fCUTME+RQvWtswYkTmivMyL589eTvGeQHi5uJEU31iKad31UCeOer+fA/hIx5iRBc+J2ZULU3 SdWAkS42FmTV/mOZHar/rNKMbhp4+S+WawxmIKNmH9Z1OpM+xqD/AsxMcKcjvUSQgIrAuppMo/qL RnHwZJjXbVRMc+47Om6cyLjy4YiKbGfwZpl8/+JgQ9e1SvzLqqbynKbjYAspF2pWJq95BrZrX7aG 4NHbSYOLpI9B+09IYuUhBXkENfSnGFc9xa7u0Hmt0drlfxPudpL5zUbOOeYrH34fwevbXGGN/Mt6 yoH3muS2MzwoHRjRHBgBehaNb9piVomgJjBfy4TEo7WtXXWBCxMGXZCRlO/y+YFpX0i7UKRtOD6u NlOyF42EZUhc50lT/aSBWp1QewyEQ/+18j+6GLBNKbvcVRNKnFGZFeiSyuoeGN7SgADzkN6ok6eb NlSEjDDDyymq6fzqVsEwttMqA0DGqv9BooQritAgoTqPhe6oObBhE4ZjNsBMNGpyRrfC51ybD/8L ucekG9BRXzefZ0xTs+BpUA/QsqH3G5dNUoe7Aoe7jcfyjGgqpFk36+01+Ydvp4FcCX6kGSIHaZif xdDFzbL/LF/pqmdAU1GKgH0HTQg5Wla5qPeChY1HH5NSUVTgpLAqzwYVXnHi/+L8DtGkEM1IoW5a XpY0tF4GNDYbW055mxbRM5qpCN55V2+Cg8u+4ODZ27mYpFt1lk2tkp9kEEoiO2JgTYrBpp+Tci6m RLEQNP05iiqXtwR4TnZwIuDnpOA/WZPC7OD8KgAHckqecUy1kn8fJiSZO/nlwD9QtpkavmhX6E56 RjFYJ9TurZII54fWyTh5H0INSO6kBfcbsWlOaLzkrXXjO67mZxBT0REhGg0zwlFBzNk9WXFvap9n CFOVTnAKzMniDxAsnJFn/FJNNjvTVZO9FPaU2cwe1mSqTP1kRP2ckWzao2XP5h+aEWyXuiEUruya RLyzEdHiQ3BHqedfjc1n9FKljg+dJ6aQtArTRbQofnkOh54/z+AF/8LRvnMCItRZuZqTZ+SCjTOo 25qd0t+soVI6sDuck0oYPF0do41DyDhjLfuw/OisNXRqKaByoofkrVzAZSrPycs3wDX5eYYtmJNB /NnqNip1PBk2WwaYnM8zaMFBJFPHs3GY+UrYwSblGbNUXBvIMycD/83qiO5oOvdImGkTkEQvoGBS hKMvm/AqfmhSZton58QB3PNca1YVyikXjwIv7izDgyQ23Z4BC346Zkablc3t7oXn96zD3Av35xmu YJ2wek83nSxNcBCaOSfPaKVacQoVWbLfYPS1dJy0QYpph6AqFAQoqx/NCfPFKlIyP24Ut3YjzDbN doIWzgkcL/+QjUzRUWsoUT8+WidCKAIrztFyoj5Vsms5f55xip1EnBJ69aIUQOcDqlYGywS+B3i9 ZNUFYJzUtOa/DKHtBOmee+cZouCEpf5drazz8sOoBRPMLVIcEookRVZBDR6gWboPv4SUZ3hSVW2b jZaOrRpSABAHq5mguvsMTvhmyxdJ+oNUftp8PKt0uKOI7l/Tz9dBWR1AYtK2s4RRuNSYgvM1Fd04 5KPzw5bI2QwnqD6nKMA85/IR6gMaBrj2cyG8Qdg73NyfZ4mO+zJRLsVHh68ZAPqknp9nga5an+Je OQVdGjtJnsU5TAk68pNxIUn8zXpIdWB1icQPiW6R+MO4hGujsedYb+lsjfPNm8YtHQ2QxfY/vNjo 7waxwnGJOZOCD2GwhkUCOpxG4yyd1Fy38MCjwUnSi8clichQsFQVqkUB7OCcsA9H1wUeRigjEETB MsKcYEKIyhVOCu9hXbP8sMbIhI0lXRcy8ieEcScdhOIddGtlRSGkfBMPO1onoNM2NtPabaadLT9E bDy32edNVcLWmb/3cB0E+WlWogg2Fd5MFHBDu5+2qKDSVpDdu4x/mAWRQBDNCgEC/98Q9rzQnBn1 b8RrwOKyFcnrEI3p9a07yc3lzVTyI5Za4hqtUnn+p3Jaq4AcR0EsxRjsRIFtTYHcpOYlCmPpAgyu qwdt2x3KaXosoap+cR7BvGxCpqqstvlhieDZNoMWzcA6l7JUlGqYv07Ix6XRisEVBbKDbtyTbtzT 3bhhvysUd+mAZkYhyvy5jQEqypAE1LREsSwUkdChF0wqyxYRB0tRcxpxxPK47c1RwrfwUjkbb/uH nyzrXD0VnXHcL3Opl7QnVZ+s62oYO0HA39EsoGRwwoS6jUaVb8GUY8HpLMDPm6KEs2Um30WoVfDX t/P2zVDiBkD616YKKOd/T14S/UmXGEqN1tNWiYvmhdWmVtkoavU2iqBOB7w2lsvoiLSKjuT2B3jV mrze3xnOvulJ1TjXjWbC7ZoJQ+xBxODJ2m0wK6a+qlnZqFhA9lizEgW0tMiG3wQDtfMN0i4DKh5G nVg3CzRIlVPeES0KVrqAVDRot2iAxuq5xOgl3CCNLpUjOK5NzEr/GkUNvWVwDSV62aMC177YOlgH 4sQ9uWD9vJlJVVqC92hBTwGxtc3KO6Q1NpPpgBALtD0fJPeCnejr3v4mJlVvt1byavVhVYMNCj3s 5TpqBjMLCrTZZ4N4WfOiAWXgP29eUlUR69yR5IYWr5afQ0vh84kT9+dNS+JisSSZsUUV9smmJQpr ccKCM76Mnz+n8iDZZil6GZewFcW1QhKi7O0fFtee/+1adrRUtEZU80ZrqDa7oA1zKDbxO7SVVttZ X+jScxSmY1hlBY3pz5uTxGPC5oXnCHZHzX60vMNbu0NQDFJBZZKKhqb8yBRKzPzRqxEoovhWLOua WWvih93QcDAqhfF+OYtlqy9E9VLAx0hDN6dywYDeAS5CMqTJaFFpVPujTyoIoLSZP28+EgIX8WW3 +bdXHjCalijE5VQOoQnxTCPoEjcRQjROi6REeLaEMS5LA1VwTH5oucBDbNEKrLMeXORNTa+vSWWz ettCVHp7c5E4LZVWwttG5YarqFxRN1qsUZRrTSE7XRhcNQtc3kwkbFtq5/IHEEurN2flV4M0w9pV 2+hNROL9uZ5n7gnazvoDjrFDrydVc3xEtRRbiCRri/330nu+76LNqgqV8DlyGw1yB/8YPvVNRPKK qUcuFHe2TfRmIVUxwnIR7VyVp2Zn7jb9gpOUSVKe3xLcz6oPyGCMH9ZcPTEGHPQIVoBCeJOk76CA WsF6umo6CJM+bxJSNWpAI2CsOWDs7NBR6OpzIpj5eXOQeGC37yYCMwD/lbMSBbl0E14Vr8mohSr3 jFpwN9CIboNqwuLKm4DEFIL37mIpgR+WEu09oOKGtVJRWUyJkCjJIKDddSmy1Ip584+qjHlPhgj5 Bo46cScCAPy38+WfN/2oyrf6pkToynll8s09QrZAHn5hqCJF8Gm8z07yCCpOOOt53r6pR7zkGfkv 7o8qUTqW4XqFTG9B4/Ccr6arWfr/y9iXJUluJMn+51lSKL4v97/YmKqaIYJNWNW8fgKwe4gIpIcv tujCKQUZ2vnw8Ac3lnfq0ZM9KwEIPlxnnVIn7D4/78wjbko+VYjUYEcQzrsamKxmW+lDd0jAohZn k0E5UqIhYGrtxWP/d+YRdhaCMbrA3f0Bdx/ITtXOmLKAbH70L7A5hb/0OZ0Rt/68044+eSKlPk6o JNuHT1Ed7Pt+3llHvYbSx3UZFAgkRP78TjriomuEcwdTbXoa1Npoj2TvcFLsO+eoR5uZf6zffAlB kqtTwAe12llEDAXDvEJms9NnWYkio5Z3zhGrH3Rco6jFiUHvcEWRwPcZP++Uo5jLz7hAIwyfpnHJ glzQl9H5HJ4o7uU8iXEjUVyUC+K4vAe51dFhvY0eN99w262wRUKF36L7ASiJZb2Q0QOr9PQPqJtl ynfKERtNLD6hNserqi0wpOB0sezk551xhD+x9s/WQk5Va16qfCcc9WBIkMzKwtwOTxfL3A4jGdAc vPn+zjfqofHRrwp0N5RYr+0jx3I52HSAuT5khQN9m19QftFHe1Iif81kWLTXluJXdoAGeLHCa2BY 3mNcHAdfOy6PQPhfaVjSEi5GrxcmQqxDkHOFELk9XLW7PPZ/Zxs9rQ/ATePmDZtRJtRJoNVgAUxZ FHxri3gJgC3LB7S89JpJLMemB3oouvpB1B27tDAs7yEuvBe8qsCxpFtLj2FJy7iEy62o9Ms6WptL dMlKCxrsO9eIWbgCRwon8eabomVTgG0d2vPZslQfbaMLv3kGPnsudvqfd6rRs4goq88rh8WOuBYe 7OvnnWn03XUnVK5AwMjz53eWUXeF2nG6rLNsB8MEpWwkFcFQyC2gxnANvZOMomIDcP9z02Sp56Dw VHBAw3CgC2s4gOeGHPhnZ2G5/51iFC3mAdFNXTUou3VZyU0LWt4ZRoxvfQlh/CA5iE6nBiULcIms OyOiltNCrWIT+PgPg1CcFhyUvI7LeP+U5+Zh/z2bisY0ShidABJU7hqdJhsZLY8l0ju56DMms/hV uy2UNbSS9GrJbls85hfjEZlZrJ93alEczpMprTDK042WQWO0oagoIRfPhd6ZRT18HImP9ZuXFCwY 6pRpQffQgkNuxyic00yxfdzbUa/+eecVYQko2qeyZL8POA68G86ZOX/eaUXd5R4xChyUarFcjUFJ S7hIEyDBFe2y6a33LrEcbCqydgEc4T2+9UpG6ZTm103LZ6AM3bb2WttOm4gSNG1lfYycV3dZXnrP LOqny3Ilsh3bc1eJzSXiLAtvP++sov4A41StRK4etcp3ThF+BRaZx2MycIZTgQf7ekQkzOgivlOK uiN/7UjeJ24e9lf0gyF+BrmKxjIXJhPG6YDhE2r6LGu/84m6pGQ35qxfFcXdLQKpRc98tSS6PSdq cmiZYSuLTeU9uEUY8KlqI+JEVUCAhLae/LAdXz9Z/VaiSJKi1s2PZYjI1w0zYZuEcxWCfhrVUagY i1qCN4fO1nu+7ytEvg/lztVh8raCQOjkXmtB/zuVCL977CsiDDPB91LlO5cI38dWIortjYjkwTOY 2rWYqCTUQ92DKeI7laiX6IK0+dy82m+LBcLLQ7UnelyjaUYpGngXYo9c7uA+9Z5Z7YmYHph+8qqS XPN6Trdk7eedScRD/amxIK/EK4xYQ1l021klGy2QCd1tqO216WlCZMINpFNewuU82PywtrkLbrbi FzJdANBQM5+V2rNwd6sQkK0yGpgfjtU7kQhJIuyEx5CTVhiYWJrlEJbe+887j6jXUHOOZiK76x71 v/OIcA6R8E9DRMVxPUQ7pFaBZuIIxMY7jchTOE6UGjc/h2D+O6aifjvaZMpNgQHY3UKM+anJUb/u nUZE1AZmCmqduqr6BEFSJYmDb5cliV+4OHnPlIjksviWNuMMWlwMkrMEZHqhWyZT4OXjktVwt8Zl 3Bo374QcZJ2NWpDr9CZHqQJdaiqUItKMdaRYLqvh4lQAjuX41UstXUa94OD+vDOI8HbfWSIFooAq Ezgui3ABI6gb0b/2l0U49oAVXVmClUJfW9yqd/pQD1h/l29h//gWbogOHSjDT8u4FsQPUZfDaoE/ OQrWTwm36jXfhwUymyg4DV47/0Lk5pJnA/bu55085HBD33YrAxcoKGlUUqgCGZzAy1PGhH6xi1qH jATJoW8QRyBmMAtxyQMsiADj5ie0BTGb2dFEteIKWlqQO9cLLnR9hgXVBr5nsoog3TiEHayBiz8w 4BKsZa6fd+YQD+nyFfnDyjg23XfiECcL59Rq3k48ozixlUk+S9uILnhIv/OGuEUJNkibMd68AgW7 wokQdWLbKoXohAu8CHogcH15tDGrXjPDl2KyVJ5FNc6idvZRZXuu8/NOGwpw1gdfilqEhy7vpCHi BgcdBdR8twCC+vkI4sryKq7FTTEqaZTrR/R+bk+xEroCnUtotusKUwUhDq0ZiQpz1tDQeyZHdOca anH1AtS5aj4fC3LfOUM83s/X1nJZK3AAxztliOEORZLaA1QoJajzJABDkQVlIybP74yhHj4dXYUU 3ny2tHUmm9ED9hyiBqAOAPQg/l2ITCrQpbDWO2GImWL7b/bcURJn9Gq/5s87X4in+xdSgQh9oNg1 LFkZFwVcC9H3YlZoOxcTIPiH4hsnkQrQctewpJFuUVI0Tty8LleAzEAZeGIDI8aZXVjLysmI80Tx Mvp/pwpxUAhnYVDXPVwEXPfqGFqAI6dh7tyfQSE/IoD870QhPEKqFSWkyS5bj+2asqQKnAJWBjqs 7zyhHoTE/4wJYIML7nM0LoFtobSuYRmPen9//CcEW39nCXW589qOAietUVuIac01ZFNpw/PzThL6 N6aFiTYXuY9KFuNS9ahLmg6AhTOcWLapLM7GvBYVTqE0xlWhRagM3gK6DujWpGhfmWtTed6CGhxL oFpHwCJdpHd2EH46HKgTrFNdNSgWAEnofs7z804O+l4+NAtCVbFE8jyyAJeSumeGr/3poz14Uyev njmcvDpSDO4oKkCuuEXyvCkMj/YqRKnmFiGavAr0RsRyACZ16y0zHgx22UOiwwndtWo7+NISOB3P JmHc+irfwupsRxCXBrcIwzePBOp5A5fEilxDwMqK3LjbGYgjK96qudqHumajRNC/ANOmGaStD1s7 290el3qxvyiXPX5RXe+Z4PkJf2KfbTydp2aTuAqgoLfLWs71O7i1gQ2k3MxjWxmUqDlmScrtrlhd WYiiYvV0ybX5Hts6bNUyzdri5jtthWXLgvcQsERVsqENqTr1H9t99EDJPsM3JO1VItgn0Rtzhn7h kjUGY048m4D679cJBNoWpeg4LFlwS1xyay0229uKM+N76DpaZDd9WDKAgpQm+qg1bl7Uvsu2LOiS TTKpqyxvQk31F7l2xCtcQzMr4dKwZXRiffr0YqXkNH8J2zh4Ngnj+heOHaHKiJ7zzCu4IMIs6tDh n6gi/Y/Ml9Q5s23xulT1zCq4XqxsyhDbkyEeO35sGdGX5Nqa2lucmEsFQBzOTzOeXBx8wx86QwMO Dboqc4a/XVXOdfFswig75TNb6ib3KnaXmZLKhLa/7kZnR86WrO4Aso00OzsDXZpkZvEthxO54Ymb l6DgMNxAgZ8W6pZZmErSe6Nhd4FUVrB4GbPMLL5F6GUfBRd3XqPQr3S0WjKOZ5M9t8R8ofGN2OYa lgyjsLoYo/gd/2EdEZDmf4hj83JdL0zAOS5pfHs0U7ziEgITB6J8UA7BOkLHbCrup08FpJVBdYlK bit60azDyiYIdegAmKbGsIVyKilM27rxbHYWfa0jaJqzrqOBSQNcFODtnY9niWO7ZXkX9Y6txOqt kJmSy7SdHvWVT2gNH9ty7SjA6TSxozfU6y1pxohDbww8qHDMogvdfA9xoaM8/ytk08BWY+5sQRae zZiZX5UWsB/W9mBupoVcBKeb/Bpy4SklyEJLo6jCgawbzK2466YhLldHO73GzWeLTflGbQTLdiw8 H7B0vIPSPqwofcSyKKY7szoudC4wTbZfBcW18ZFMi8WJeDbZdNf5BC44/m50iOZ7iIueLN0D26HE EYIvCUxY3A/vbEQuq1cI/+DnyEAKHtDTMV23qCjAm+KS+7Ca5b/jY6A6cC49WDnEXHzNPxxFMHvx q0bl0LOFvdaDZzMm4lc6RCokloGGJQPi7kr1H2KdgCYkjhs17Qk6iYT50EUigWhmIAUFr4NK53YT vpXsgDUvBIoR0DU7OlT+Rp7MkgK4idE4A2b7Z2YohcIqbiX3oca4QPeQrDOLhe7PTKu4cRbxKIXg 4YphyeNc7Cxg/AoW1o8cw6AD5anRPYxzseNmRVw1WZt2bt48IVoDrLvNgA5cZ0rJloWSDfaw/dHq nlTamBlKAYWY/2WbddBiVba3//PPTOlm/Xxw25DNAQDYl9HKIl1JQ9+2XL6RUs8ozN11NV8GPCCU FK2siru5uQxymHTTwHTE0KdQS2J11HGXzqorcazxnNDUn8AX/In4Dc0LXQXERebCP8wmI55NAt31 UIjQkx+/6PNoVLJAF0cVoNVHlZbDP4yaasipwQkZVKLklrvSKq6qClXojRrojWMvjmbhJjBsA7zJ wAUNjFbQfe0PgXUef88MSIgBUW/+hnTjhpcxKYcWTeDZv7RaOSysgU0P6FaKxaXTLlNgHkV0/lPx FkkpZkvryytQKyvjVgIEYdrKG/eyJUutBhprZY/oEIBKCDOkcwkifFohFuMtfEGCI4SpBpxX/KoD Grxh4St7xbN/qflzbhwI53patLIwl8YfsoIWV6YeD1vYymJaVEusoSzMPUoTWWvDjTE55hkYlvAs Zxn3Yi/Q6CFvPr8f2yf7VQY+PmubERZGq7Dj9G+qbAoGaJsXns12lv4p+DNb3cFNXFmQi9exX3EE mF0Zog0K20Os+HciJzkoWYwLvgb68STC9Bly7pDGRU+Iynt2nF7hXiC38UvSL6LfkCVkbXvl8gks 4jIpoh/Z5WS5UPHAX2szDw9nZagWcAVIUc7fGzn0SmNcRByHkqPYcM8Q7hahJ6ohJOEBtM+22cpi 3ONY3P7cFLdYNgUTbRQ4yriWuGw5vqOUPqDZWD8Vl+XvmSSLENlACOVXx+JCa+uX1LONZzOM8lfF hR2Y4q2QlQW5BzvuQstGxGeaPjCcE0uR8v/F45aVQXGb1kYTirA9KELbQuBBQw2FC0qi2tO2mn7h DNw+i4iFhZVqKDAiPiLvPcwHS6ap/GTrauPZLGo5X1GL/Xk38qGVlXGptQFNMx3OZWwHs5fdHGQJ /xTfbrMy7haMR+bcTuuvPIaG7SuQNrZtakHhSfKWKIFC+XR+uA/kh+IbkvL26TyAml91Ot9zBBq1 QAnPZv2h8oX4sT8Y0nYaljTGpXElHQClkstdFs1EoP/VTGzXeTLrzzFurwpJ6lPIbeg2V4HDIH7a RXvGe4K6LpK8OiEEEq40xCWAAy0vXbXjVvoAcVJ2PJtkz8M3FnIIbQWtoOCtNMTF5rWBKqe70+Zm Rl17CZND1x5QEMUsaSm3C9DSS9y8mbih/gBxPSBOl4zGoMxCEdH7e9ZT4KaQP74hayZS6OnElZ8P ZbEqA43Z8exfigrSt6Qxo8+WndZySZXpd3ui2Kk1eIGDItR0MR9bKMDwU5INt3kXccZNRUvb9Ntg J46L6BbXXEC8iiygjw+ScOs1s0QRAyIi3g5PLTgPcnewTWbg2WRY5nfNEg6Uw/tmO4twRRmfS44H SI0kBdbapgIWsARAqQzMlp2Wcss79WEtGMF2NuRHb93NlgmOOJgl9emcLUB48A3JIjrMELnB1BME ogWyowL/hWezraV/wbaxdqfniTtVVMDB0AhD09YSjbNO4gW3ltG81rJzshljuEJ+Im8RtSAaAWBl lm1BnYavDbrHoTh7HlALchx8QbKGqNMyoTHMq+D6ll2pLjA3n82wYY6Bkq7aN6tqZxFuXdJOfxQV ivyLeqcuNYEKO5zjdhrhag2JRsabl6Ag145gBaezLajJLnyDF/yt0E1/5oqWUApTwIYLWIJfBVO4 47D9ZRlKw7PJ6Vzb77+aRCBi+qhkIS7a21DDPWTyQhaiC2G56EeMneVABI2h3E65Zlo8fT03L1cW YLZAg4Kynq2i+7F8ghHF3M9BBEQUvyFZQ6zMzRJXj4naJkZyoEu0swh3xEGkzsny7+a4pCHuoQlX 61IkH9QEJE4BwkTzHyJmERBwtmQ4BYfiHucohrbmsUVsG/epi/yhC/8vzBZ6OQqVOf4tJrezEBfS KJYMteNXhdAWZbE3Ap8xPJtFc1+sZyrmBvthZ1AFNCGAq/uw8FbsLb1FdTscrnZWx+UBDy2FEze9 NnTMwR2gdjI+W5rlBAxQ7Lx8NPbYVNxZkFuI3x4EtwTlBH4KcsHsts3g2b9kzxwWmGvtOIlSthl2 6U4vB5pB0IsRzRDLOkOfBHm0hiWVChtCP90SN6+1FAv7y6Adzz7wn+GW26DUi7DqUQdmbonPT2At ABCis+JXDkpD058tonUnns0G5Wtvgdr1iux5Z1gFkrNtvxxChh2CQDQUhfE+TpPpikc7C3El8d5p DqabZ4nd8iGWWqdtKJ1dIW64mCsY6vLB+h9/zwR0WpQKHb8KAmXLUq11W6h49v8zLAf4zlhCKddM ev6sP0HfYMSwdMrhIUu0SMkbijvVUxBSbip5npE8256E6B/RMnxDziQMDQ0nsCYBc7wfVWB/zSxo IaSdEOVyIvI/tSjusC0Az2ax3PquP9kx3bykcLIIl55v5QbaB4tJ+ZClQwpa2l3dGRAnQytQag1V 7Rk332/tfACclG0zGBnwvKosidLEkcau9yN7e9IiLqEt9Ry/KsQtYynEtXMCz2aiJPsLinsDVMNx Sau4OCpbWUqVUYKanifS/Y4xLkQ9NC5pjCt0UxUYqobamR3MQFcvgU6hGyAfT8qGwToHbczonOHr 8A1ZCYqwllb8qlLLXMoy7GDpeDZZRP0bdQqYWQRzJ8XiDmIqKeJPBc+tlNFy0yK5ZDg2uDHRSeEK R8BtEUOKwBFcRFDe6vQcb2AMy4sGde0K+ROFLT3kbxu+IatAoXVGQPt8AO2WSjShjFvn3/gX6b2m 8ibmNrZwjUzKOsMGuOkeQ89x7brgg8NChLsuna64vZwUjzslBSV1n/FR91l2EM/JCYNjrnLoGkew y8H9MzJd75nVchtbz8OvmjBt1q2mYr14NttfvsDbWEIB9D9ZmMviTwUtclJ9D7pJ0Nxr7BVzfArg 5AznTk46EzORZou8eU5kSeFFJQrby6DgOMstSBKA3YHGdWwvFPA/GVphaQGV7lcV505t8lA5peLZ v2hB0fPB4oIWqygLcjmhoejpm25dUfRfo7lA1gjt/pNqKsRu2+PmaMIB71rAhyYgfxdECGBbgEWG 6h9y0PDCwNLDN/wBw2GHT1zVaG27kPBi8cTCsxnoJ+isLM6BoV9itmRRLhVWICAvlvwWX/MAreCB HdaBY9pPHuVy01UG3T8Z9Dp25jcQY4AovPuKudnIoUURoX6cMFrTeybjMumryPJC2do/ba1W+T1D +h7PJofR/Y5cqO3jII6Tss6K2HhYJp2uk1Mi7EBfWIZI10lUMriIUkSujFOkPM6bL6JmJ/QAHM8y aDuV6AFlw4IiOkkT1CVUDo2SEL7hD/WWCSUfXQWFsoxI8G0LivBsph4QiwibQG9CTvrA5KhcQJVZ HGf43yg7gSiJUi5UVTihJ33SYq4voPHcfM+1/dEiqsli7gBPhAODJVoRjtxnutAzHF+QbC604WyE FLao/q2JJiVH6HQ8mzWgzyd2Abs8cqKTxbmH0gsneL421T1VbNCu1eZCw1yqBefauAzrRSnjzXOi DThRJeQHVlq2quCPB5UAsIY2hUm6jDiXXvMPdJkOR2hdvUdE0WEkcG3h2WSy1K/2M8tQK6pzNwt0 F8XR2f9HP2Qslvs3IP7unDJKDWHPm1LOriKXXePmmJ8FA7jCUi50I2VPBFgQIYC/NJlSBn2A+sI3 ZFQ8dZ6vX1Wes6NfgH3owN7U4SEiF4UX5ffc0FHO4lwmUpqJlFWQF9Nhe7cwyBt3RGHhZmiFUyS+ 5/WFHmHLPutCfRCt1muhXWty6sQeCOX2vh5PcWog4huSesvg6hE9/FEg30324raPbjybHEXNCwsM uyxA+kX/TeOS4nIR069y3YFzTXcP7HR1abQmHcv7RDcNdCXYMhW/zBH0qoOBhpAN9dTuOQLYwccL ycp6cHNSgb1ZmMsq1ITWg67RU+z6u5olizflnD16ExgViDI3329vFuOyQVFPDSHye8M0+3yMHoIF cXOwgqK5veMWSCibEqSVQ0u5UB8T+21r4j+P/lQW1vH3/JPgxCRlZgZlhtZmHBY75/BsUrSMYq6c Yyyc6zEsKSYXYXHjF1FZYa0TygqzhrICe9KTn/KnnmLzwsEtH7gCjJgoSIVYZZStHBr8PxR0qFgv rwcUTvAFfxLebpTyby3aIXNdMTwsh8azf+nK80ftanT4sGRRLlp6dgocT6HP8BQa36lmgB2qy5XD bo5WkLiC5D2lz8TTGW2tcmh7vOHrQRGBRoa3hYjrU4WiwPRNxXErSfJEzY1Azd01tYRguoFnk0wx xEkoGkXV2xvnUCqO2wmBac3N3tApJ+AfgGvliWvJ3Zaf8kfdMBeDnUFlP8Cys7QAeNgFrJCWOgTT N2bQJYyyJ9X3blbJbXLTYdAyImiB/INALnZq4tkM2vIAlbHH/2KBaFTSEFddr+78qtIjIyp7ChrV NqVYOFdStMJ1mMKNm2+3iOI2nIOBZIWrmdzOWG6hO+n+RP5L75nliVpD26++hoiYp1XUwrNZGSoc UzAuC6SZWEJpKbeRl+gEGZT9j5NmiIzmhkufMu4sOVpBwyISxFM9s68/49xBx5QGYNdksOfQOcsq PlJQ1N67uawCoS00wziBsyrF3VNsCfHZTD7ga2fB2bzDbuhmES6YjxDIcKj/Pl0qHLYOilLqsdmp 5GTJ0Apbtg9sGejmaIUC/QpgiqB6NJZqeK1JCIhV4thwCdvDN2ShHItQUIPiVVvLlMcY6YR49i8K WRQMtpP5EbOpJUUrLGrvXcUn9k/wYBBaAT19oThQMqOQckljXAmCLUIkePMYl+AwhHD2eXYoNWoS XoD6O5Qmxqd3dqa/aMLIa8S1UCardcouFtp20sYDBumbT2eIwq8ZM4gqPSXGJpUPw8/XiFIV15cC p9D2rCSD0wZiunwYPuZPfPl2XXfwww9p11Yp4toJN9ZSVFugmwAgzEikw/iuxJtmTCtuMYQWtmi7 2DnUWOAZC2bzJQt17352XpRqLDeLDoAUq9+ra4jqxpRqZTDz8KtSX1SQqFASYA8sySS83nLj9hzU FzpImDaWsC+2+UqBikPdXE9PD4DMEn5FMjREcrfT/coFZQkTw8FfuG/w4SSE+W4vDrS1zzM0WcBL K1AUpQX9X6SxUAd2bKWPY9ToL9aSeptJRqAI010et7dpuwvqF2pHrynbwEpL+0JgR3/8mdgGwFdk LHEeSRqgoP7uDZEC/G12tvLhDBrlqcAmuE8BaIxNFvUyBz7AMcAVG6ocUwXdCcUreocDfxx2M1lt d4nKKW5Rf7hFZC3CPQjURYiLaQwrZCOgpPtLsz2XnB7H3zSBdNMG7xLxckNVCIE1U+p+IdxeUhBD +z6fGibrfFxESlrhJX0DKvSEd3QC5sEZOTw+KLdQCZEq+pg/yuYWpZEl1NUhfmhnHbjzCPMWkN1Y U6DQtEqdvU9tivgOfEWSQnYNzvarGmvrbOIvIUnBhzPjmUcrij1nNBCfiZNGwFU9gUefr7SAvLPl CCwD40EdU1kIvKczxk/cYmxWx15MczybNVT/xpZ8EU12TRyXFF7b3zQTugG8Y0FGi1dxAZAbqEZ1 9X5ZATwKvdox6+8JRk0tWRzccPZ2Cq/TI4IVV7QdNz2jCBAa98TY5LVe5ZKjxM2PKXCjZ6NJhB1E a5N0UwlFJ8Rkh1RHI4OIX5HNm/qlibp14EADjOMEe3I+nBzhwWR0bRxkzZ+Jk0XD51D4s2jntfjJ q1b22xSvVZ1+nsHJVBiGW0RIPUp9L2FqLc2ejd0ki4HmkswYMSbEhvSHF+B2pCXX0WVS+W+PK4ul ilwN1th8OFlU/aufBDu182w37xFxsyWlKMhxMIvqi7Q8bpwsaD4W1Na03WRFX5kitCuNhfvk2qDR 2EbAzon9WBSmQwkCk5W2RShWfbvm4SsyVwRsN2Q28upl3+IAocXIL7WLCHwDD1q64o3H/yuLismk qjWqM0WVcRStwugKsdtSDlUTX7Sq5YwaXo2bhzcQMC/oGQP4cfUjWLqgcgR3/AeYKd+VxBkN/x/z ZbOjv5drAi0QJ3kG2wfw4YwcPD/hDdCuDzm4pt5opF3KIvSfT9MA6SXTIfRPqgQJpj4mI8JyJR0p eZyoQ16IX7UC3e9J35U2VB4+lUD9+njZLnmA5d5oRMNfZt03su4z7xX7Ya/Oh5OQuH6Rsog86VH9 rYk7mj3UKMZQHLR6pCaLg6N3pZizkBvPrSa1RztUl/mvFtuyfQRAcAZ+004yxs6VBNxKE4f1sEoU +KUGaThwkXlw6hwP/OFQF0nV4sPJpAnNbh6y9mOcEHiviUOapNOBhG/ahTdMetSkZc0SMfF6SjU1 tUhbwoAsWZaux7L0Dlq3Ys+rMCXXrKrUzJmEZz6SFWRx8Cv+IM0AE1u/qh8J62oVa1rlw9l6+heX j3SByBdSl7QjG9zR2JUVmy+yzOUu0Y1dA2SZiU0aaiKiuspcrwWi3z59EkcF2SKIm1QRKUgwh9sI LMwfsbp406SFfYgbYtmzxuDjEGZoA2kCPpw0JfeXLhuCzh1KmDWxSvMua+WsVCmruiyOhbXbxbbw 0r7XpBGxCLFTDadZYtpsWA3AGBmHtx3l4qJUkK4q8GhgiwRApix/04wYiwB6ExGyHVLVKQjA49cG nQ9nXZVvuXdEOI+JXOqXtvHX7lbceX4zH/hHqa14OFCF9R5cTQ3T1Fdpa/W4aRtGVx9G0BcOSwfy DF1NON7ATHp6k1Ldqqllmsszc8tpPQJitPnUyW6XD2cb8YySVv2lfHv77DapVAN+7w3VkyZIyHAZ GAvCi7LMPe6zojKtBrd6jU3nIfexkmXbvHw2QIZUzwm/APZUoCAU8zX8S3rT7JBCtQ9gJF0d+rxF OQeXmA9nIhbfdRsEm2s/h1QaD6MW09Z19erGLJDwhzXD0kesLY5Njn+Qcp0s6dcDDLFg2qa9NHLs OLlbBcHRuZAvGSeuYyH/wdQ5bV51V5ZftdlQFJvn96l8OMkVwhxYrSeEEE/hJjVPk7EEKowuSFyL S/tVYuiRY9b7HFK5e5qKvve5xdAgrYRKIM7vu1ZV4YbkhUlVhIdCLB/P1D6tMbscLE4ML070O1CB xt82e+PDWaoQCM7itdBGDSwOTuqgRrPxwZ+THItF6z0QS/vRDLJjYG738kwt1M5UHiXbgBq2ARbz wZEA3Rd05s4twkJQvYbFpfnMG2T+/Iasr0DGOcVyGAdwcRCXg9imYd4kHmrg8341chvD9lljaNIy cWUd47hb8KHQGBnWgFKrqIVarkroqY/aEj90yLty1GdJoVJzsN9D7MOiHjas6qS7HFpx61FvYyuD X5HhZ1Cb2ELoeYqJEZGqnR3lmw//hSJKqYeCeDyShdxL7ZIkQ8wzfsRG2SAUhyETC7bOaChz+axJ Q+Kt7pIq6P2DoCmg/FL3FgDXhhMJ2ucw9EQtp0XY1yj5wm/I2JB0aCRGr3pFy6I+WyvsRtnC5cMZ PO8JbSQjCnZpDE2qxYtmTSXNSJCrPr2iRWSYcBEhmVkTS7UntmnCR7T66OXva4cc9vlp/yOOu0aL drCiMF4fhZhD0dmaW6qx+V+IoqdstpKFfVlAhwE8H/6LYAGLfQuBw7PVpGq8KES0fbZDI/ZZDo14 rOtBJY+RSQHATa4TrcbNRwYU600BKkvBq/0Z6nTaKc6geISJJRCx/qJ/HBl2XYp3XXB6VgdH7M2H /6KdiciGp/d8IpvcWA2tpEOWNKtZsuIG8mrxfzuAR3RHGNXUWU14zv+aLNibzzmvsNHQh5GzmuSW 8CPuB3oV23AeEFOQl2PTY2yOrUY5GNnE58N/qdlgQcHQYDwjk4qWIYbei14kGCM2VgjmnIz0Bpl2 Uc1KvNWApRcJUBzJD64TIXAZAtRYNHCkH98QTWEdUNl6CnwV75mAAapgRtWvxUugo8nX5iw+nEXD 3+QLWMUHmL6m5mrqlQgEQLGK6tan5bjGXduT7rlTH5PtM/KuUEVrPOCRDu3/DYgDAIeT/gbYoylD i4pej5FZBOvV1F6NIjpjEU6/HE5vweQ5VZ3v0vlwll0+El3w0/yF+pwPTAoEZgtz7Qj39qPkLDwj /Sdu1PgSfzWZzWNEvLPwGFCAtQeBkUuitK3Z5kR9uHltcrucZaD8KfVXQ3V696uWS3DHWtmSpW21 bD6c7DJhZy+Xwtqo/e0jkwXCBZWlU8r2Qlahrxq7dFeTB5iAHhtwTnuTXqQIte1DqEV8uwrlaG3f LJLXr6zCw2KVu8z25u70N83EiwmqZ0OhhMka7JXm45dbU5e1r2oNFRt+yZfT2KQ+a6wpbblnoYLC CIeqF4pjOtYE6QbIn1KjtdWk6+CS8esB7lkMA/obGPtijyryYdUcpOmnWCNKYE2t1qpk4mkt8Oxj EOXncrJx33z4L3GwglWIUkWxJjVbo7lAE4VpEBKgkYF9lPS7ekNjVDtw4rYmnAcrwjVuHgbbWdcn yhyUpaKIH6XR+y8fAXlCIDVIg+gbkrb35oJa1a86ts+6IjwOBDR/cFybkVmy5MXsNoYmZ8BVCsiE ysMN0TsI04bKw3BLl5p6rrkH0Jo1bt6hs/CmLCxuMAPt1GLFopDjAC9HvHOgbPbwN00WlIuMLr8y DrZNbRAeYPvy4sN/VXirNBd7zJZrbrwGQtK8LOxBsrdGf66PIUiJBcZtxaxJw2ARVQapcC0U+2wg 5gIYi0qJdoivKtsoFOQbVDPuo3y3ZeSeOK/BWVi+Lt2vAgTsLnK2bTWDD2fr6fHTonDD73x6UIn3 GqqC/PmAQGFEA/Qed5pNS2T49N16auw0uWqv1K8V2DyoTAvFIDhKgQRUsTqrSYDYoK0LawpQY6V+ R305fkVW4WPPssVVNfPTd8jALz6c8QO/duFNZevH5j7xYNPmVvslCosUd4rVHpi5krpDCEx3unpN TdicDSfBZ94C+1nA/ps0up+2sXEMC4sXqHwRDhDmqNPfNIlqmDetEVf1LtE41gaCckRiw+ZNsoj3 FpRJIg5OXdiodV9ZrJSgzAqtqjbd073M8uzCGVBiDA/3nlt0deEIT+dNmLrfIYV9lgM3wgawJ50n SH/UmvqwVc4aW5F+9QwBHUD8bTY5+XCWIXz1u9EW/5o0aVkYU7vLxZH4miq+V9sEqMmk4rRYUHkk vBXPlLh5Ewrwo43G6ATd4RbWcyqrj9Turx9dmXL8TZMFVYmsWcOvqnZ0qcVRJ5kP/yXk447QoG08 Y2hSzDAW/wY6hgoq+7bQH4UiAEtYBzGBkI65GZvDwHRO3WB/Xts7i81dZHENfTnRBaHqZR8Dzu2q n9Ln9DfNMGvQ3AEMSlehJGBCKXkhtHUTOzZEXfUzNAARffnU1dSQDfrONpstPRg0TJUi+KLwNpjJ APQBCSlcVurItpQZHfksP06v8F62429S6g0EFiBK4SVFcmmTbq1zNW7zF804gwQdES2xfMlOiwMm ZT/QM+PDyeG9A7PWdJ6hTRCpQmrKRkWpWsPEr9we7B6oWAgoAViCIpvElQ0BkgQUiVnjTRMHxN5D mjK2m1Y59XB6gwEI9OZjbsgtit+Q7cPoP01aeNOThuHwnGzNW7RxDh/OltS3o8ekto0PTF4U3o9L daO40w0UPo5gwSSWO3rU1JjtLClit+fmrUv6+AH1YwPTEOKwjyeSAk6o/vgnTbLYa+LMJnsM0IPj KhSofbF6SBai8uHkhBrfCBLb/MeNwCb1ZmNt4NzHF4dhAnESrU3HSawwfqyJOdsjCHHrc/OhWeTg gjhuQwFTBWYSyLYnadvPATXiPTMnP+2/za/aatZdErmzXIoPJ3nC/rLyY9kO8gs+MlkwTNk6C8Gv 83w6gz9kJ3QEJ0yCdTjuNLlBm+hPS5z2FT1XiyWRLxUq+9ryGVXaiyiBICNpHyq7zqfEn012gTCV bX5VLIwoTHoz6/LhvxF9GJbxKFjPekrlfemQAxg0wbGVFbR/+DNMF+KnnrQivtyjzUH4TDJ58xTK jm/bp9icg5Dr4CFfqTdN6cLzCDctX095NEwKIXF8c0dPF4qTXfXhzoeTaTP2d738foNjU582NS7L jvQS9h5hY+F60AVwS582OXB4CwRw4/bUPlHwAE+BcjwSRy4U9kDTuK1HYcVP79SsDdp1m0LcuvIL LK0d/CEsi+18+H0X/rKvRrW8/p75nE9pOEwvWqFFCJNoJ2ASVyo0g8mnYr7Er60GhXuporUeCsex aW6RjWI+mydNstA4r9FYQMP4I+FU/E0z9RnsM9jUdPV+d9/62RkOp55tbe+vbRi843YfNH7q2rap EYGgqJMThRlKjYiBXXwAG7uRInWtqQwn0SWcN4TKGg8qaxR7HKODWpZFSENofDo3o0EGGkDwW+ip WhPrtkqs6p77uWpwdnPZr30uH86q5v1rTcHSK4j/P69hzQBKAqkMNSgwbZD3aNrYauxC1yCT0Ip6 DWoGgHxYT4ww/OYQx40eFNSGZoO4yiS2eLD9CTUA1v93+whcvcY0wz0tMEP86qyfxdIg2Ap49GVM BiAyQ0BhoRnpNmLHIb1FXkOaUanoDrgn0wD+09J0WZBtoAHvJWSKaykZFHn8DRHFxkMUOwPQ6Xnq pdPHct5Lo0MjT06EwRoUB1K/DooFeoLUgNQyR5BaLtTQuhIEC4JfBwUTbWpQiMNa4NTrv/68hjL2 BE1uplARqF7T14WIPWAH5U9QltchXgOZEd24WYi/n49R1gVz1t6AVQja2gypvNp6hTA01ck9xEPy 8/MaxwygRdaveGa6avGUtTllum1gP69RzEB9vfg0wQDU8QtEM0fkNYTBJFnkzPUQnbzXSbmj7KD7 Q21K7tWvI1K9Oj7oFaKbb7drgktIRatFmRaJRzOYQHp6PpqT7Da9BjAYEgiJTOikQHUGPR8eRHtv OU2dWX9e45cRUl8xS+xHQCOJY/Iau+AJCvpNl2mCLpg3tJc8coDd3Qrw5s9r5DJCtnVK6pc3303G tc+Hjbr9JZatu80deteQWgQv52Eg4Ht/XuMW7CYQQKBcmK76+N0KO2gAw/68Ri1DyuOaJlz2BLTC FAmD8hq0DHCkiLJ8TOxYSEOTYLMIyPLm7l74fQ1ZuMU2zZAaN186G9kuPewsmi5bXne2huhstWFz HMiQwvbba8CCgwD4s9lpp9RdnBxEF8n9wgT65zVcwa8+fDcRiK7z1DsalNdwBdsyfmTocJEVB9Uc CXL2KcYputgITbnFvgYrWLTczcETjJtXYaDsR96jLY6N3qZMdMQXG9Im9d4SheaTMQG0zAZxV796 CzvEoO0ff17jFKy7OHbYIUA5AAxADslrkIItlrJ2c5ToX19H5ZHHwQrDKcFueg1RhsS37EOUbPCm IaFRcNuuNTNn1UHY0LuwdbPGvykqr+HJEBfS5sddflXIv6YIKg1F3tfghJu/jwieQma2/RR+LdXh kNqUlpyBjqFigdAxxYVDGikElGpKNtjCUH8WovF483qLrZDdUMidHR2mtuTsBGwbff8akQ4C4gHA 8/Nap8PfxZ4s8gFdVcIM2SZo+/+8VumGaKkckqE0mwgLjslrhQ7TqlLQlRAYwkBuOO3SGptOu4Ip gtyfRiY+OWrcfC+B69AFphgByrLY+CoywTehUYsmiZN1NoUgsg2Wkr67xVXh2j5SbLK4DY/+5cyh cJEFakh6FaxlISx5Wad4ExbQwCZAzGpe7YZsfg9if3bqsL5PFW+/eZHFlsvpCHIQw8LUk30A6LY3 CEPJtULQ+SGCdrp2VNDdflVKaLuWurF38Nlk8ezYYql4AAWTHkFsFsUSWcoeMdGaMJcQy9Yi50bB A1s/HBdyCtLJwoNnyf9l3ceIwLJ/uO1u5sr2KxMn3WAWXXFYnU/5SdYv7/wl7LIAl008r2sULEWS p6bXO30J64GARG6zdnAhG8QPo3F5D2WBRywUY3acUGtBeRst8IjaAgT6SMblagOlF4huAbq70GKR QUPBHy+2Cs5InMr3wT7v66/5PizgtdmUgaodryrKWTJW/EAGUDMLU5ZvLJv9aRzILaZLFs8yq10w pOLWQkgvt5Y+t+uG2IYQBe4soJ2UxJut77hF8GZ/QwFOFWaNZ1LoB3YfQZKkqtf4qHq985aGMKh7 QiJIV1VxsV+pL3JQ+892lziDKL1Do44T0+U9pnUW2CGGnduL2JDsTjN8m+TyzajHZePCEGQpqF2f oNamGuSvFKoc2P8yN8LxDNXvXqLsPxnSvjOWuLlMRLNIgHjVIoKGAo/XzRJuMioCsXucT4UthAUa lSyopQLL6MQ7Q4qhTRmdQzqz0scPHc5QDHmParF1N/61d8fNT2dIztjxTDEVyFcXWbdVerf/UkbR XaWW3jI5iMg3OawenB1Iu70UwKGg9fPOVcJ6qD4qTK3RWyADVMPyHtYCKMuS7X4M6yIpJOpWTem+ QxIji2tV8Kfsu98CGbQAB6L/cEOdSQEtXZtYMB5ROQA4gF/wPi5jCHu4/MqPtxUveUkLme/PO1Fp RFUbCve/j9hZDEsW2lKSkvG35CXFNz6/QierJbK6y3q905RGiO7DeSBuvoagS1qR/k3Ym170/8nQ hv0BJJVxRDsEUSTj9/BWzIS96P3Oq/Ad98i62pbT/nknKXGfqF8VBDQm4MWjcXkPcVGcQpomY3cG MawXoFjYWUtBQwXMKoUuWYzrJaazdtwCfngtyKYHTbn2ly+p8y/XoAE2+fE3FyboPcattEGygL9d vzokaDV3IIZGSBbkHiKCfFxgWXeJssPXZVEuyyYs7rkv83U1bPulh4aFysKTW24a5rK8b8nsc9N0 Aeem4zSyibHQg5rCDfG3wI+4+tMeogrcOztphC0OdTB49XpTOWzX94tWaxboChcIngB2F9J4I9J9 pyZx0y3UIoqSk1y80YOmf4/7nHix9p2ZxN1FR/TZcYsCyGSqiUgXGM8m/zYmKYh/Sb328P8evef7 YXQpcgwlbV11RLcjZhLBqu/EJB7vvu1il6EAtFcS3llJLHFReqZ5TgR/Uy/EjaaR6g3bgEblPc5V uIXAnyV+3rwS16CfWFlgsWN7NWES2bDE7w+9jFDaQev0552ThHyWhxHseHX1Lutq5KKCDPPzTknC hk18lCfP4AksLya8E5IwNxFpwMRHwLFDRSlsLRvcD7hhTnYjeUC/85FYKlO9ycuUEbbYWTro3U0o h62oNqX+fHjU2fb5cTikB8XPOx+JgQuqK/PGlXMF6EVmArC//XmnI40wN/fon8IAvrO8c5E4jhQL r9NBzYQlE9RcyWoD4LtdF4F7pyLhe8U0oLOObn4QLVimLhooQa9qy3hWTQd86nzY+YM7yzsVCd8A TuicGptA7lreX12Sd5+fdyYSdyWfK1wxrKW3qFG+U5GGC4lWQRXk7dF1QnchVqHqtatH/+9MJJyB PJqn1Kh48+lyTjm0vpjoArXiyRP2CQgyPcfz8JfM2kCbxeziV304fOmnMuj2885CwiF5I/Rn1GXJ DoR/NChp5ZZjV1rst2qTUdE3eLI0M9OgZKVbtVQHKS26eQbdod9AMzRwuBHGsMaPYwsdtU5jZqWK DFveKUj42wrL++wHtQBLd0BnPCUaP+8MJFa0xydVBLYMR4mGJQtyGW9uOkz+Q1uP4UWoyfNSi6g7 yPudgDQeJNRlI5k3RxdaXGuZ4GaZH+ezCi6w7m5ol5yv6J+1yncGEuc0t1qWF7ZL+qLVdIS7POX+ vBOQ+DfuT9SitoZNLY1LFuWiiI9oznkBWz0yJuBVDUTL8KYzzt/5RyOghZP0dd20uSAKbSyhTVTj m9SpBhJ29Lfa/UqKrl4zG5ZDN9niV4LnLM6sLDL0gWF5D3K9phTDoiMzytrv9CPuuVDRrkchf+0U +0QdahG+zwFqxYP/d/YRy6wMV7y+MEdov9v6RI+dfh4LpDSCUQccNsEIaB/rl8UM+p19xKavtAmu XxX7W/SqHHhhWN5jXLDw29ewECnYY1iyIBchpiWIO+TCJyFhkz6hxYO5GeX+d+oRwxb8uQuWgH7z ektZG84DnTQ+O6injiyoowDbsT8pEdHL78SjZxGBu69r8VO5BWR9/bzzjhAHrv5VzMVEGdFUzUJc 1CsgGeXnEKzr/fQpLpCNBNgVLd5JRyxaKsSlwhtvmiyI4yzCZdl2gFjThca0n+JCLPzDHmHJ/51y xO4OhuNC6YNXDQq8x3m+2l718844Yp74XeG+mCbdByXHI1AQt21PEzvdgaC3BolBYBTsB68OWn7n G33yoUUCNW+aKhYDwTUFPEK47iBOZ8K0ZBFExtfHOumdbPQZE2wr89HUs7Ro0f4IS/TnnWuEP7B+ d5qB5+m+2b4zjViuREZSgp626hJcpTf2gZEjUvyDu8o70Yi7Cv9W0mR181YIbNoIDrez+doyZP8d ugG/0BFpH693yJX+vLOMsALYVT23+FVxXAeri1Nmzp93khH32vOVOFvYgO6SBiULb9E8RMAyQ7LM kXIYk6DajwApv1OMopJRKNPiN99qBzhX1AezU9nCLSm2sovN+hgpsG7JvPSeWdDPEG7KmcFmBZ2B Bhp0LOTbOv15pxixoHA+GSJy9eml7XeCEfNRDMtxuwE44bhQ+GTLhrCERa+2yU/JciFOksUdl7dY P1CNBNXV8goAvKaAC1hQFoB9pPZZ137nFg2HmtoYVL+qxgIWgfu4zJ93ahHWT6BX1DWznWzFnvIe 26KjBEQyi9vMD/cojkogdgDl/ru6VyrfeUWMyLmZDFKDeYupgto/XGQQkI7qKjooDFSqya76dIfO 1nsmbZCjYZl+9RUEp2putRbzv7OKRrDIPT9sVd1MH5csuGWlY0NpF1BbOzqPA50mDvlOYMKkTzWW UFbB9cJ2UV2nPKJ/dgA3G1dwOxHNnSE18UJr0IPUvgYXbZIR/E4qGhJ43fgQv2q62B5/VeQu/eed U/TdiucmpIDJg/53ThFRLNwpr0N7LJQIdEJzhcR2WujSvlOKRnD0EOHzxl8BUPpeIfqHbpCNbdvE sFEV58jdpMqEQJ0QkvTeKUVDnj126hDdsxzd00E0Vlmt9/7zzihif+g76ieidsRBlIa3S+CEEOzd UZQbszoOTLE6Byat4Sq8lfnwfMyHoR4FxuxloaXWygoxtNUXrXFRhX2KcrXrPf/UIWK6zauKlVBM V5I4+HbJQrpf46JOeYmFlMW3AlECeesGJ3d7lngc2AImcuDjsiLu9sqo2mItvKSPHci2y7ABDz0D ORpDjx+WUhPnwnkWkmK5rIg72Ekklp1XFStvVVwHp/CfdyoR367FUYQmG4D724sK70Si8eh3sNwE uD0En4F3sthxV4FLDxGPxMhlES4RzWWIYzU+HKtuO8zi8yCK2Vxk50y2TIPQg/4p4la9Z1aupHsS A/9Gm0Xmr2Xz4IMM0c87jYhr8H7QTwhddqRD7zQi1iGodo1ATMxxSEfQCxPMoKEC1HQbv3cWEQ9R IUw1XUpMF/RBbIurFDCZth4vYxvoRsMdC2oDz7CAwsJv+FPosgmr3CPiRSDRhW2Z6+edQ8T20vpu hRzK8GhY0jD3cjBOFLfrdpbraG401VuLhuI7g4iFrPVaxoWQ82iFInZ2KvUuiX3YQTQE1OixPZKZ Ve+Z7boEyTEj2tFqsTSuu4b1Oj/vDKIop8YxDWeLOR2N/M4fYlxdnr4QwArU3EQloV8OBiSda3iE vtOHhtuI2DTpLW5egioWqCy+CSoKi85eaDLCqq6z1vYhEA29Z3JKD7aeGdSdCOom9N949Nr+9fNO Hxpu3/40FC99OTyqeycPMaojRGGuACvUB6yAqQ7RjnuKU+nfuUMjuENTlGfevGLZ0Gm6lUovtnmr GDMARlZ1cz+5IqW23plDBGpjJwL6U1ed0a0vlqDwB/y8E4cw00pAoew/qMjWXr2q8M4b4rkOjEIl r5cs+nqcRd8gEkO0AvJUDUsa7Oos6vfGzRuK/faBwwirCF6HW/BNi55pVRy54mUC8E4Z+iTQtfiV g3LtnHNZdMCS00i3fwFbIMwGsJnGJEXgsv60brgnrenF7T6Gy1sXUYcmPyVbQp4knrh5UgRN2EV8 ZV02Q1i8sIgPDXbst489heDr72Qhh+UBFlb8qvAfDgYq+Tc++5cWq+fayEBiAWVhLnW8u9zdB3At RZRNi0qZPqIkT+0Nns5pmMsZMLcG5zEHvihdnQoYCzvyQ6huxu8IC0aELFJKeicJDfF89mKIu54Q F8SSJdruPD/vHCH+gedTapkK6r05NLIQFzIK4VWHrJFum0SdYgcV6nQHNGykUFzh+sdocfOpUixM aQxZGlaRNK0H28+0ohfbAXzErbdMTmbssgs4GF29KDdCWvh0PJsEcvOrrt1RI/Wu80jDW0Sau3Mj oS99d1HZDlXFLkfzyJ9HVr4tGoxyR9w0JgXidhfVCaIrzxBcrk+Jsf2iDfDYSXW9Z4LrZ+Y8WVyY QZ0CObbI3kVvl3SdWw2oD8Jb+4jr0e1Mo9tGGtOMChSKiSy1WAjZfVeBrxF3lfke3SIiUPNDlchz YqddALJdeAmiY4CaDQMaKCVXVJ7afRRCZ/f3TFqJZMQcAjjOdBThhD0gJ4sFiXg2A53ez2YLZjog NxqWtIKLQ6uN3YIkf8NLgPY8TBKJfOCwZBiFJchGFTOmPiBCCDF28VZbG5TK52zhngvfufOJV7iG ZopR6EK0xJXDUjp4Mr9Ebhw8m4RxLeqVCm/p1ejj8scq7mVaB6TPRRxO+uGhOew/mP9wW+DBPLMq LkfuvyD/g+LNHqCqTpTPS1XUD9+ghmhvrKcfj52Q7/knABRQGLoqea7w2P0l3P/i2aTgv7+mS6XF XI/tZabsMuFLiuvJLnoIQ2p3oDQFiyQb3FLlHzWzAFeWj0O9xPHpJUKXq1iswgmDrZsAzAbDdba7 sVEEm5dBy0z5ZUDjLnTZdNU6GoVFIbo749mMc/eVDkFA4HitZWb8MvohkP1eCwI5aK+Rvos2+hI5 viz7wsn5ksa3R634veLmWWIBYHtSvQXnRRF5ntgI8AkB6ItibvMXzbpmDMEmhwUlJO66Ux7xAJM2 PJsMS3TNWE1lzohyukYmjXC3pHVXVKFcU8w2GN907DyBBxjnSwZVYJz8Bva/OITo+gjp0aoEoaKO 3thfL88GQ2oaviE5pJkhgkitq/JEUBxVhbIAYmZBLrc/jAPfCySIHTComZZzsQK2tN/xK9LXmdWW AtQw+ombNk7ceP8c5Y4lmuZ6UO3DYhp7GgRnVCeu2EYVANSG4vkeH/ksSuzOrJzLaovlVnHl50Ou qXn+vPHsX9D+ShSlg6RheQ9znRW9YcDYpRZwfPWgSPK7aKoAC4SBiG5mYe49Kh0rYHuYPpD8srzY tlyaGo6r6l0ndG5gKykPtSpeMxmVRiQuBAN45ccvoM2kIbsPnk3S5/59SuNoGFH8nxkel4iGNmgr Bno61WhQ2p59uI9LB2BHu24GVfDjSLbl8zDoQot2b7bkKxHJts2IqzmaCKwUOX/6ZwCM4BsyINRg dfv61Yv/FnHKwntcPPsX0ByjDDAcVwxLXsotFJD1prw8QrDr2mAI0m1b5XZtiZmVcoXgGLvVuHms iwx3A/RAzSyIFmLXJR4XCNf9UfBmRf1nZlgF2jxOMI90VV50ThF3zObkz0yJZ0FiZbYNwBE0P/xA Wlm4S+voKaVh7NOzyX7NQlQmjgfKFs2dFFZazNVMueyn8uZ1qAXYXCWeEEaY7Uq9AKsCEJ3xnNLE k/6sLNqlIQUYVX7lwKwuzTzQ3dvPSqPdtb56i/bVcFLRqGTRLo1dDxrH6MMjBRsusnZsdEEOGVcs iMlPyUZFyDCeNeNxk73ddlSL6eBMjY1g05WsEADcUEwHdiAYecgc8A0Z8wxHCJRnt5vP0YrkXv7e YJHj2b/gCVm0VEnI19HKMbmoVUo4Frj2Qbg/NFGRrXQcRg2UJq6jlRVzqwAcEszy8hlG1A56OFPQ yQbSLF3bMiqUUKv9RdfLOyIgPeELEjjhVHe++VUpI3TheJxYkI5ns8r/d9HyIC/y3GilmNy9vd7v mrGlR+hSQ556BmhhZaGudpfVhIdqbOSgh30tP4bYoXRRT5Wl1jzsJZ7fjyWUBUwDH5+V/dkNIaS9 PZD2cwX1hxkrns1OokCH8adaUlP2UclCXbZI296uHdZYPcaoTDK0aV9DMyOOShbpbiFxO+HZvGkN 4VBeJGYCCAVdSLUVsVUtlPJv/2BPu94z21qw5162iVhSJIOo10HDh99lUw8PZ7Pl+yyyn+RGJr3S QLfR5hIZLHZcm/5uebTQsOuk49Von61UTmGpREtMAG8e6NrmAhVQWseiin3cbQKVf8he10/dZV29 Z5IxsnAJ/29dFehaxKSAzqJlPJvsLeerP88+TPW6y0oxuQgiNlSYKPm5Ba0ksJ3Af5SiobnAyGVl mNzGwH8V7jC8eZxLEu+gMNbqc17BPqD0DhxM+6wilhdWKqjQUZxDjVVXfjqsAlm5R10XzyZxy6lf c8WW3X3OoRSzQIY7TnGezmVhsaLsX2uALQ+137jfZlHuXn7qKltk1YVGFgfN8wa98IYzQySeBnqT nbfIIR84IV2a8A1Z3LL+E/zb6tt+PJ9z8WzWJfoSrgGpeXVvnq0syqWKjxOgCXAnNuwAJebsX7jq OSB3pVHuUW1BMkcnfFzPRcQ70YhHrrjKFOsMRq9nH+fLqx9CQOFKg1weQ+KHPIafKImU6lkGnk1y 6O5Bi/oi/XeF5tNKg1wcMZRW4Ao6lNajjEA5voJOY49o8lOSyiVrUO4ix5sHuZaB2Mwg6QzOJUsO qOJi2WHA8+g48KfpPbOWYvWtVledzuhYepuj49mstOCZomhDmAc1mmc7LenqwCJr6FJzYlBRACYJ 2xFR0oct/JTsfOaWMetz08DcBaIiCstYRbb7LqXa2D4RGPTxwRRuvWZWumSniOMyYlxsjtF303aZ MfBsMi7jmzIDRaER/bOdBbkwbgC0egnHUSHeNBi2wEu4y/tpI9yd/JQM91PeWtDXwloo3TMpshko i+XSyH0FDFulKGksw6IE35BVupklEii2dqhPo9cvVJwFuTvF5cbmok0Pyr3HO2g7C3JJEWjoHHo4 t1aEc6sHHY+CapOfkjVGGFFTZEs3X0eQuEe5CAf0njZAyqFxkCMlgO2ew1tw6OILsoouQjmUfnRl O4wexTxi5+az2bB8FVxod/IQ5ncW5dJXevUirQkk054pQqtIcChuohqWtKB7XLrlf+QVUP2Huzjb rec4p3XARh7BzfgIcmsRpXgF7LZrwEuYVxVcLGM5kvQ8Dc9maP/76SxS/6hFHWpnUS5plIcFeW66 JAvTx51gP0ZzXbnR/Nm5Ypj6InR54s3r//YxNnUaxRUmWCbyaZEghk3m/ZxFRKfhG5JFxLLl2Mev PhmLxLgH2kU7C3LZ8AymL7uaPXQEdhrlIgIceLJRllGgbViawa514lgCUl9oqJ0BFkRmnZIgmfMR g6oW/++7qFFyR7lUUSmd2u1e6vy3utzOotzOJBFLQFeVLbEFSkhgLTz7l7KlFJU71E18WDLMAinh rvlPzsyOKncb8yFAO+h/Z9Xc0xX1qxD18UsbMGS0YaDJnuVfbcnCET1elF5Q9nqkpv09sz2XgB+h XFY06G1PVN2yrIFn/5oTYcu1BbxjtqTEMzrxkVPA2VKWLHItacRPSvwPxJw0LGk1V5sK5ZN081yx 4hS1TZK9uNlclsL+84sdfT16wSha8vOzVhEFbdbxKwelnUaVS6JZ8WwyV+78jnItlovK/85AC5t2 BGS5E39KewIMxVryEqFTafMNN4tylxAatJHVTXMFhw2KfABuLMsrqGCMDZdlY/wRH9w/i1A7C3Oh V2zbLiicvAoL1QF34flcB57NEC7fRSgG5M8ayuLcISr/ETexUguF4zKKw+lAFnPlvZ2KKzhJ6H8l XwFt2737QbRswrAIRX9H/OiYLSETfPWafwpbOnGW3XGWHbhNbQ5rXzybhXNfvHAgEJB0c1ROFuSy iFhZIJGbyHHjokYsjtxEqsM5ToZbWMTTDFpW6+bRHOHPMA+G84H9h/iGzmRjsLg+HzoehXBPWskV nIMg1BkU6Arcs3oAvePZRFrhRL+VSfQlV8iny0lruYgkWvd82b6JGvYCoM5IFoNnddIwdyp5Fmf1 hrratc3aEmr04WGv2YoKCx1lXPsJJrSlnwba8ffMSgvszVO/coQ0jB2Spwnncjue/QtQjBuR0nAf llQPl6UuHmFoRF9qGvNYboRcdkoAeCn35MCFqca8WiI8NEnmg8j2AOQW8wX0PHJXKF8FvoEClx6K uA3fkNRyuelSKniFjxaKT1stETup8WwW5n5tuvBXAYfKxyVloE1Wn7aXuPegI/lCsVKF7V+0T52q eFJg7hQuTnIC7VH6QdnSfknS8mBx5RIl6PVUAfzKZ1y63vMPbIgB0Jaumi7kWDCLrhfPZmCxL1wh AGordpcszOW0rnTEZgONfwH6im3TZhs6yo1oDoxKTkAjjOOqO38f2Yk6KSsA+WQwiQjsB/wHtz7F 4ozdhZL+J4MtTAW4pPyOyLkqOtpDWWPFs8kiOj24EBUJx3r23CzIlUChmmccnxLCLQzhmUGXqEOd VF5Bii0yGefN54rNlkK3FMj8QPRHGBck7ABF4RwMfww0OfANGZjjUnAirtppF+kE1GdbeDbBLESb iJAFdCajlHtyaVyaNF4Z3tqpPp0CPdhv/0fAgOLDkga50m3cyo93eQTnkB9RXorKLX3Kt57mIoCt tg/Ghep6+IZsWHQI6UBy/3GIQRCROksZeDYjQQeU41fdM6gmaFiyIJee2BtyB52WISw8Ayo22bpB fW5jJTMlOiky18tLs8XN15A929FCFxMCBQweRJjUsDSvH8wCMTz4hiwlYn1uX78KEXX3Lirmzotn 39fQCdUJNqaazC58XFL+GZd5PTJQgbyCJonFBKe6vEKtToU4eZzry6fFzSMXIKF6Z7MV2hbqxnZq OiBavY+VCs288QUZOpcyHJRX2C6vYGtoy74JJnp4NmtBB50IBylEYK5XoU4W5bIdaEf5o67gGiWt PVWoQpkaigfnUS7DFnWYefPE35b1bpOiEwc6s/KhRDOwgT+0qVHS5dG59J5Z2LL/m0DTxp2HrmV2 eDaZLcGEUIiDOtSKA/qmiAXEOoNSVYjnxFv6h2ozRcm0bcjNu603q+YuRxSKAHLGp38G99IixGWD YpCswID0Q1+XzlNKoQ/+WnxDJlEIrUfU8XVVfW5BjI/xf+fbZWyIR44Dr2/L6Xp57r6HuYAywWX8 klPFBmN3vM9hFwwOIXdXd5a5uVjuUDOkxs1X0dowhyXyx+bGCpV25O0X82Q9duOXBZebAnS94HL8 qg4a+pZdO+nGs39RKKQ3pwUGoA1qWFJ8LvY/ZHHOs1qustwpSYQOLHTA/Ii+WZhLs/LC9/WbhsV+ Wdtb6QbfkHG5BhsmMTRn11NukSTszYJcgLb3wnvoGm3FIlqdBVl4NqksRHWO5zT8cn1ruSn37FAg q6zQDy7uM24rMEQWZnXhlpviFapiWwlQtNgR4V0wYdtaRPgtxz1nUNsEE3r0p7CwWFi4WYh7u3BQ y6/KoBsI1vjLbJXi2Uz89ATynzqvvyt40Df1fsDsaugxc1hYNuOwTDmPE1zIjWXyU/6kTT7iOGqx 49oBd6DBQ/UjW5MivnYaeANuRAF7eT9Mf80/AjkY6LYdQA7LQpsj3Due/Qvm50v6NATbsyBXnlpC b9Afk8VLkLaZ7rHCfZYTim6KVxAjj2e7btFWtMDpAlM+K/y0u1IEBmVAO36KUNffMuu1ks+Kuj+v HBW8lzShLA7Cs5lUVqRDfKpwdXjsfzO8QkVlaYdzdt2izyAQ2MUlPvcI+szN8AqxsYio2IOoeIF1 vpJWgw3hmpxOloPScwiF/xIe2pNCfDfH5VJH4FS/8vPBmaXYyLDxx7N/UcplNGcnUJhi3DzGxW7w 2GeX1Zx9Vut2yZJDJXFOlhywMJUgnrhpVJblBItQwdlgVzUJggEE4pcqjdJYwHRp9MHENySsvEaV kh1XLSLbeo/q233h2aQK9dAhuLfYu54AQ928lruJYStOnyF5ibo2UqGjxgJmCfeWPyMWJotLujm6 ZTP7Hqy2HIhNszpHsRL7mN/xkYWiDt/NJRYuxdqLX3UOwdVTtLLDZ5OiQlgyUZ8QpzO66xqWVCcX 5d9DAwfy8qQxre5Z8PJmYMRuBlnYEj+aEpybAbM+9v9sZ8E5P0Hogm8IS7lkFXX0Kx9yONgqfM9M YgEl7oM9hlffXc5gXxB7Ip7N9GCfsoKkodZ6DCGyGBeuGHCEmBIn35cYHyAWILU8WfrfVEalI0QW 5E6JK3S5UfXxSMJC8nDLEmJMYFOwagmmbR26E+M5jKgjy69I2HkgTzCv38CHizpla/PcJkmkuvl0 BiyMsWHNhZY6tcfopFw0/FngiXEkKiB0HB3bKSFOxAY9oDmSbi+5nJiTikbcHP8DyPKd5IrY1tIa Oyi9s85QYSH8oNyZB/IrMu6i2PPNr0qOLAeYyh7hRF+yaPdGlY4MLVvLNwpStaTYBfSBarhWwRpi e0VquvGvhWDH9196aSelMAUx/6GLdPge43eGfKkdI9zuQdHhJEVRKYaGWwa/ImnUS2mB3YAo7nQ7 l2ZRDXvo/ZI45pMfob5n29UJSe6SFXYpMr7ZFaRyLrmqWFOtN/1vsMusLuFecsMzNQLkY3Uf7xnL liG1uJkKWJxIYTcbLOoAkQnQH8+mE2+aoekIBKJNxPDDz8KkU9Q/ta/lw38hAmOEhox3a4xNFvoW SrYiVwKhCFTDrqL3RJ7dmTzyd9XYZPXdJTLwEoxhPTCGVZARoPMzmYFJIbRzHaNiCzRNSFCP42+a CdzDGw+OPrqqlHnvKLIlhZB7yYEMX8r/FXFIn+2ZOFkATH/50Zk7o1JFMiKhDOsIPgbgwXRT8ZKW eZ0NIGDLnbGmioXRdywB6yweqwJKUVUH6orgdUSFihgPyoK8R18kv45R/arq94G4A4PgOvjw++D0 RzCWluto+SD+88FJa73Ir2vboTDM8jUBDfO4YV6RNzI3nCwO3oy+0XGNmw/OPdC5pOtKQ8rKyJAS ww2empw5DvNe2980k6ejXiyM13nlF1CrS4Wqq/fLquAPhwR/QP2ougt69V70w1gqpIFnBO2A0Hik ri7DnD0iza4lLfhKX3i15+bHFAK9XRHdgC0BoXcB7BYLHjhJPeprEIvWm2bAhm8/weW7apfXK+Rg Bx/OJMfOp/cIX1rqqfnYZPEwJRJOr4KQIYBS3com+hE1y3aN8J7Ex7xPmy7ZvqLI7yHYXTth22R5 F9qpTY5apTNyJxCxP+wAtygtuXOEFIGKX4tnTdvNncbmw8maGuNrTS0JMfvQpDDew9PJeeSQ73FG eZd5KXQZwKDwcyqr/C6FNbKIHo9FNCz0dqWjM9B3o4tZAUQPwgXvyn476eErsvoMmo/oJujqHetT vK3E0C+1j4i9mH8AyrCf3SZ1SqM5IBvI2m3qCckXqqlLcri75EtNrNLQzBf6X7Cy/sDK4CZ+ABFC 5LcBSnhsXOU3gozbwZnyYUm80oIOW1nRqz1MnkpT07PZB/DhbLc5XykDAKt7xtBkQfEQJr6OyDBv 9QxT5T20Y+gNp6HJcA5FKypQQ+G6bieUbSxOoL7r7lA9R+bbyfQOt4Qb75n0C0jY/B8Q1bGn9KPv 1flwEhKH0ZNXavDFMw7w3C8N6c1t2ytYZO6R83mo4fKPpAljs0kN09wkukiFuDwrqkNQZyzKD989 LKwgGJGML1qOkEPt2VS86R9EyFZltklJX64o23vZmJ8oS9TEM80Pioj8UCNFg8KHJisFn8NO5Bge FM/iXgkPERRVrBVDkwXFp3p1739YWpZ720JBLGHHNzRJ1ZSURjYxmo9+BaV/+BV/UvNDWVpX5Qt2 9DE56bZG+XC2oPrXvGmHIq6xE6fGaWi6QA5zszkLXh99NSAwtR3lC9kcd6RPnNOcrWJLRW3+G5oB dxUbtgEsr431Bi5JyRQLi/AJOB9WUr3+pkkn+wjwcP2qwE+SXtiu/P2S5uT+3m1w3NVIGBL3NO9C 1zVCJQf9QsnBTKpkViBQdo/dJo+JBcIrz83nje2TE6Q+5JloJS5J3yISRz+B3uWB2Yw3zfqT3ILR jOOV82ZAMYRToc7GhzNhv28emw3N+Ow2WWEY4qC2kJZjWfd0heI+mTixMAw6s87v1ELtSB9zqHM7 ns7tKeBRg0MzOxrandDxNrmyEJjup0EpEa6amqjJz3MR6rtWnN/Qg1dHu10+nEkTf6kTgGT78Zeo qY0aUZTUxqWj54agHLmPtLcYRIaABekrKnWYcONXB1l9MBAWBcCpmwRi/E1D+Gd0/0DQgQahwj5A AvxN/8SsrjrFvSxvQV/vZFfYSa6HM2C4jw3n2+CEaM+aSmNijGjb9zgOgp9CHMQjzcUQ1wcnBUIo GB4S4h0hxGv5gmX4G5Un0NpKuZKeYrpZwVR7vNS2PAlTM7VJNXjQCXX1NBMoPx7hp/LhrOffI11A CwrvcJ+4L4X84geXOYF8w2gwQam/fiLuC5WCmhuq+YQZNW4eE1t+gEyQ9XMo4jMI6gz/sOKxXD1d kJpQTR3VGqPhO49fvVI8p7ZSW7N8OEkX+vxkmShWkNmosUk91cihlkUE9hv8uNpvCvvUVHAgiI7T JjVVO+L9qWfAm9duNroVkKkEcxalCnWikCZiGybwV9MG6Re/IUvAWSouujYFN3fVQ5LobJg2iasa UtT2FdzoB4xpkxqrgX4PGYet7eaAmMjtZqN2wO0GmgNeRk+d1bYof1UQ+no/6YJt7lRsBsLToigy 8zuQDOzKtfWIuQHNozdNthtOG2o4j9BwnjjvGPjBtoEPZ1zRgNH8Uvjjd4X5RM3d1VAtWFAqIQud GqnUz2lgFkNkdLQ7neRWE3u1Z9aQaqqbZg3IV6NCQciWZT+XQJECwz+AOTv9AjU0jV7g/IqsqMUS cSt+5dCg+bzdi3vw4Qwx8qW12sgy+UybFB/BIvq9NWpaH1jnOg8+IoyEE5O14o65UxYtvPluYysW +TbnPPCMnM0VTZiG3v/8yMUcqtDW3GVNrC7qxVCjRPlCu/KjaFXv9zelCw4P2rznGZvUiQIFuC7k PEES17Ej4+4ZIInxjE2KBG7SF/3cfGxsUvRDlATQxrt9lGjJkRv9afBSjqrmVmuQGKUhyn5sUTrs q4S4G3vz4b8omLGIjhNyPrlUbrbWCZSYnkuh+ahcatOvCxvxdR8tfUym7OCWC/9WAD/XftcJ+gjO 74FKAoMbSurAUbPtB4IVO3EaEzOL2ux+7+h+W3Aw1de33YoPZ1yDL2wanBzHs9mkGmaVTczuvmKH KqDCdULfkgTsE2LXNTFce1SdVZXhzRMp+HzYp1/KF+/ZVPqC1QMWMbWup1BY19/zT6gApHS6Ft97 dXh3O6n48F+8Osg0hoF80N5qarjGs7ts2pPL/8rjmkqYNj0p6omiTeK4Fhb3s0mFqT1ygCDV7yG2 gd3coE+7Nct6PUaGZkr8hmRkhoSpjl/VT5h3MIuyV+x8OEswv7p1WNr7CWpSwV6EF62OGRC1Vn2b ISROeIm6YmBSUwpVs5rEndsj7mwfYwf/og/1qJsgCXquweELaoAP3UApVOq51mnu0lvzq5oKQO+I +1Y2H852mX+ZDNiMqeFxn5quEbxwei2OxupT7QWKYQmNdWiipP03jYS9fOdBXwiO2j+sc29jUwF9 zEHESedRMaGGjk1me4N3+psmU4ZGHUOmQGFFbWFBkU4DPHRrary2zpeuDgsJiNg0Nqn1Gqkopx13 1DqiNGH1dzqiQju+NRdJr6n32lI14n/co+DruCbavJB0tchpHXWCyewEW7w/5RoxA2vqvsaaBHAX flXiXVw6ZVjQz4eTJCESKIWrWEoxa1L/NWCOLRBAYsTlxOzxHwTS6KeC6GS7Q4mjKTFgq1EBFSxg fmABDSt1YgzsaGpdpU/0qs4vIhigCRysBpUQfUPG36HOG0/tGaf2xPqX8TICmtyELUykuope7BTG 0OQCvmIRNpepag4QaEMAAao9lAhoUh82NqtQpxlx8/TJwpXaSW0C4esUWsBXWHmh6/WLZkNgs/bw N/2DPPjsNNbtLrRtg1SWqgyrLD78F+SnxCTXLyTifGhyxATFm5Y6ujAEPkJ+jnb1v9ki7oGY+IMb m0yEBSb5kny4d9o+dFjkW2DYit+EmoylVb/3UcHbMndP3NhArv7v6TQsiXcI0QDMJnFjQw8x0J/E rVmoNj9Dk4bBqHQfdqPpgYNWmWjqgDjTKfWO+2w1KVhYsulNJZv2INcutBHmmipk7UMxZYjtgPkM 519QZCWFt2kmVRNXtupw4c7zu4fU/oJMsP9tiw9nYJLyOaGoR3oCGFsTYzZnIlvSrXGwf7rhVUHp aKyoA3KEUsvUmU0uTbaJPDcvgMJ2eMqZbV9s93QgAxSLXTlCAsIwdfqbZkfUf3tRA8e3inwXFYnE m80l3iPrtodHOATV1JqN4R49wZyjHXsNZIODoz1bLKgMLDHUZiFfUTfNGvSk16SnOZyeWxfEnO0O MspBo3TCID1Ta2rOJjecy0j4nih/2rYjWTsLAfhwZnDyBZZAc/xRf6uJOxsWFLg1vUszvdoXiQ7W NrVb6RWKzq4vqCwSlvPjcA/d+widbNtf1kF9nCa7Z0yx4xAfUjWkfgRmyvE3TRYUKxHyN3/8DmyB ruD1ND78l5BP9QgoHUcbKvVn4zP2ykXeL4duQ8RKwBOXVawj+U0OTRYLU3ewDDGxefP11IgllnPd KJQ9REDM8iPqhlAQjeLn9DfNgGtYT1h8ugorgcoASzUNvd3Uom1FQ4E+3ihHoHcSg5NWhhGZLjQU 4bBVOSSoaHVow5KsTDaKg7NSlzY1ov6z2RxLWpeUpmCQCW8mHt/Yj9E6koqt0zZu8zf9UzxcNHui jlVQNidyzc4wPpwc32G81XWgIeKMsUmN2rD91SozHKK0nDPXGn2QVTVfAQZNnNqqo4hJXfGbQwIQ zbXOJOrCnmlJxAgIPUQO9zE8HFTgrIlTG6J9RH2HzrsnnHcbZKDYvuzn8OG/LCk5F//OZ7NJrdrQ 4AS3sjhWYrThWAmiAImVoG8ZT6jUq+2owHfGiFskUSC4YE7akT5Xc8HoQdcLnFD9cVSapLPXxKxN Xuq2d1HRYTzCivc4dNwiMT6cMcO+pGyx+TO/1dBk4TAxMXdcmZ4ANdEcK0FrbmElenRaEr+2R0F8 yxRylwdADMz8xYkCemXfDhzAamdxfj4H1Lj+nhkcgNa7TKGegkc5tqXyhLFMig9nUtlfBrygu0KI KUYmi4a7+rrbc6i9ZhB+CM0jVALFOB3euWXbEuPnjrh575JCf/h4C1zvoSs3J41Fe3DcekCgfj4l jm1QWMPRLfWZB6Qyxu4q7q11+XBydK/v5JKb3dOBSjzbqihvtTGkIywAyxlnd4d0TSMYAG00BXx/ MG1zwn+Nm4c1y/aXOelOdmxbkF6CsCQipz0CTsuXUxoMwwVlwRVDVwcflctA38LjzoezWVO+DqhF 6Yc4vFPjNhZQanMwVtgJUUywXe8llB71msS5rUitpQyKkegWGBK4bgLfCaDEuaIGNXoAY4K29eis +OGdurcJNjxZyppRyrLPdOmMixJfat927tcmjFgC4t4+NGk0PGnhUF0mAiySAEo0LS3bQp+QLzFw Q41vvS6o2WGJB11Fmn03IfY7QRgXUwbEi1ByijdNpk3lYtIB/jjPWhAg7C+j4dTErZ31PTRoj96g 6NbUxo26/NweATDHMG2JRdA+ZxAgC9nVrjWVISW6yy3Kjqo9qgj92J5ss55rCv+FFRv4R6lDhlZ7 cFzosloTLzdUJCGJTNvv9dh+I15Vf22fy4ezovn4ShWIfvOi+c9rVDNd5nZ2ThukfCg70fe79eP4 2AYzJO7DrzHNBLoGA2I5Y4mbB8P7DqjaUxehWQp+dLYTu0+9KeDN20fn6jWkmShJ8OAmn+5BZKHf 0bUfHzz6MiYTkHqOSZX4lytD/goel40Jyf9jUpYI/0RuGHEq9t3DW08unv0azkwPwMtCRdlvPlc6 9J1AsJ3A2UqSD5DiQ6M0jFSMiYOpX8cETQTwCidZdPNh0U3HSdhHWwT8OiY47qvGhO0GHEnLh+Q1 kJl+KM0zlCvZEqe1NyB7OP07hoS8JR5Kr2HMlEJMhVPoiZuidthXl7KJL98QK52Kcy4kom3HKg8c ljWzn9coZsqawlIlmsT0QPZDKl8yixbk/LzGMPOBNFaFvVAShycCRuQ1gMEkmVQ0bU7VaMWLeI0Y RG9rB+b+NXyZNSbJIKWQN0+wjx0aF5J/2G0tb9QkgY5xRSOO0tDfipyv4csE5xhDsliTQQLDXcTS 9U6nnV87/OvPa/Qya7RtqwJe+DEOH5PX0MWe4Pxot3ir334D5/wv2nVQf35dP55fA5cZUPsl1jJv miUFcl+HUr8W8leQTViVqLTLsV+uPuQVMvZ+XsMWbCZgwy+wq3T11HHNocGBsWoyJEXYEAQhRAUD Pw+uHD2ukkHBe1lQ5UQM+9en77CbmDEgGC9grLLiSgZl01ppaR6sOZ+3Lgvb6mTAv21JKc4ldBjh 2UAOLGRIYfPtNV7BT9cpCce1E6wYeIUprrO9+/68RiuzBkcuBgUlExx2HJTXaAW7MhsZapygm00q AvaTuaK5dCC2xCrMa6yCQSHmbovitetz7KxTocC5We/t8OOhF0ihXSLAPPfTWqLkfLZ4UALfBeco r9pPxupqR45af17DFGxFe8TaqZDSpAqrhuQ1RsEWy4r+qb6hlB1sDBRkvHvNzhO1j7MtlhjNXQiS 5s232I7yqFAycG4b2oPtLFbNbPybpfIanWDEAXKgfIKumiXwKJIVzKk/r7EJ3mzWzzmMjPX4kfNa qMMhhZZTk3Fdp6S211sGxQqxmXTKx1OyKdlgy9aRoxlwHo7/sc3NojR0H20r3FUempD8+mV3vDbi HITEw3L4ea3SYUgu058RV2VZ9tmi6du6/3mt0U1P6zlJtMYcc8xRea3QYU5e1VhdmPSU4x1ri27V dMMfF2ozySyR3tC6pFasAFZO+/tRvYEuJIza7R/kFwmGrXQi0CRxxs6mTka2chC/bsLweFWUVgA6 50m88Giym2wfFErB2XS+EZu885h4iu4vGIycpmTVQH18xLB3QJxZ9LdsUK5bBbW4eeYDf7MCHJFF mxZxXu7BDfRxpHPuYCH0/PD3zFaPnKWqX9VYm3fLJ/YOPpssH6E+tHzQLMB3OckjGRYeVg26zKQh k3qB5nQb/IVpTgyqqQoJWRwrmNBSK3Y9rVjYethInMuIte0i0GKHQxEtm8+n+iQXmHcOEyYL1KvW LXFVvXJDCpYllg4QcrbPitulGAW9BNZNNS5ZLFvogDUoo8iNpbj5yxDaDGiYQ51Sgj6ScdE0WGve uHl8v9AZ7ISx2rE5qpLFgqoWJNfvA37e4nZl0SyUOvame90O97oBCUKp59tH/7yTl+ZjjlPZaaRK EKqkGpYsoCW7ctdQD9mtOa9r9OU7Czc4b90nG65EZNYlXoA3D1Q25Q+BV0LnwnYXh4UsSmap/+p4 O4HC30Nat4Bfl/FKCAbYtOORRgVxVP7TzeV+jQs9Ui2w0ri8B7XezDjbZbGhO1Wf5rSCFrRkogH7 HtXGuGwCOHTzcRm24RYUZiwdhNO8diEUKSGs3ktU/Sdj2nfOEveWxorB8KsW0a3KKUCS/nmnLHEB 7s8iuvxFZiyiLKqF3VUdMA8E4BB+lQzrwQazdx6sca8RbbT3sDYQZYsSp7o9hQNYYRNu2PqoXUZU lUQA8Ok+BlNLb/k+KhA72gDz+lVAO/tsAvItIew/72wlxO3qu+ohyqIe+DRwVN7DWvQIDlmKXu+v Z7nMZCc9QjKTN1rSWVwrqPPypTTXI+y7wH6FizZKuPZ3yA2FTTsU0lDVVuWAqczPO1UJfxp9t/7H R9WiRRmfWXx1f96ZSswoY8f9qJ7VmC1ZbAvuZB00Vid5n2L2FH0YV3YwcJ6PccmCW5WqF1sFukXq hqfRJ7ed1xL+JpbXho4n6KI8oR2BKKLxe3yLBpENyUYnWVfBO6rtY/zFLUv5eacpTZfAxzhQjYbG g9jUNDDvQa6D4zd/SIn7Up5pUEW4SvfsdFK4sOlmUe4ii2SJiL0epZALW038OpowQMedZ9MlA7s+ LKUpUNB7lOv2OJaHFL8qoLtQOfql0kz9eeco4W8skQuFfx1ydo1LFuZC7gKmOMOtMVkzYiOaIfo/ JPQgeuemm8a567zUJaflisBzQApk9mmbr6wS4ML7S7Q33jH6Q9SDeycocVwwYVCu1lUpok1wUaov eq1ZpCvMJCbML9VKWPPyMlwW6tKAsJK4IZep2Z26BTkuNaF3yBm8s5NYm9S4sF24izvvWRxkeUuD XTsW0gItT3YOjaV2/A7tif/v0XsmGy+tzg+L2aeF9S50LMUXGOvnnZw0H5YJLAmAib+/5xmWLNRF BGGn2AnYIa0W6I5Zw66hj+OIzHdmEiYdmx5LMhi8eTWuXVseZTADgG26uH4FowigPWDbIbiDDf/n nZjEigJjl1L8quliUVVTFDP2zzsviefR+JQowRSIGss7KwmTE/MZ3j7kIsERamtzOahgAOoClUgX 338nJeFMY6S2JjfVNR/qrGWFezHcnoB4QWGR5ZXNUwGWxA8Wc1FM5p2TNEMn75a4atelAhT+yfbw n3dK0nzsqxW7UB7At5Z3PtKMzjN1yohrJjWEpcm9nT6BZaAt952OhO9l5XZ3B1PviOfsX7XcqLBy a4ELoIEstBDri5N/Phx9Hn0/72wk9jxYiyvTr1pCUA1U9LHPzzsZaT6OZD4qg5DqWENZnEvnxoYW BAk3LnYGG8i2qmt7od/K+P+dijQD7r1Z8tLNZwuEPElUBKEEKgyqZEL0BM2d54Cm0uQ7D4mJDQZl MgWYoe95gH5oSqHbzzsNCYPydQ5hjo7fG52gdxZSTJbWr+uGtxpFqE7xCeaKcrfAoGTVW/l6LEF3 efNBsTTIdhQELlBM2mWKpT4pOX3RQfjY7h69ZxK4cGMBelVXL7jcQZgB8tmfdwoSF3iUFtiKhyop jM45LlmgS0jS3tdlw/doK4gTLNiBg3SD1PdOQcKGO1Xj7yNuvuFaWmSxRWdV21YLef2lo6gK3+nf 85UAsF75zkHipEYSDVCnriq59HOEYD/l/rxTkPA31vZJACgnSk4+xyULdDdicTLuxM2q93gpSlEc +et0KsCW+x7oIgxlYXuSIsubNheUXDb2SBsXC1TOEohsMl61sblfedHVa2bDQlzCiis/fsCoVcgN DMt7nIud72tvkUR3lLbfCUjTKWvo2gic0BX1X3B6qXVMEP1zPr+HuSi1cjw6S1G8+bDAyrgiFANK oZVTVcmb6xekgPZxgWEl/eedf8TywGJRYfpVBxFAIapEYVjeo1zQZbzksvhOjVYmPixZlIvUvko7 WNHcCAGmGrsLhV20it6j3CgtHJa1dPOjaKH+cmVIPCzzwfFfoPn7y6Le/mRFzV8zmS0I+zdUAnTl sIAlGbY/6+edesQE56vsj2CMyuFsrGYxLuyf6txX9Aik+G54IpkJQMPa3C5r8c47+qpx/28h6vTR UTxr7JhZlrcExLTzHEO1PgQSlv3fWUfe4dk0XtFVg4KyheTULWh5Jx1NGTx/BoUwwumDkmISyMYC nU6J4jg3cNzAyrCLOLtbBr1TjjgoDFeowqCbB/7tokSh03nOUVWtAsWP4SM5Lo+L0jvfiGPClUN8 5eNHBOzmkJqdXi07nddXtxkenT3GJItvQX0HEEuqJzaLl7sRoMAo1n1HC4y7yjvXiOCVJTjCjZsv H9szyF5AbtYqYBsCr1j0jUDx4/wODNPPO9EIKwBkkU2i0Q48VT/YdjkoNqY/7zwjHs3fgwIobg1Y QhbeTtbvKE5KLaG7m6Nxe4i6VdmHApfwHt6G7j5cKuIWJ1CzlYIiP5rw9t+FTJ3oS7JGRhKs+zMv vWfWN9sMbNlKRK7tAseHPdlfCwHazzvLiCWF+TmakaxPz4XeKUZMSGnyOoUSRIPaBdRXi612su6G gsI7w4jZBoFOo+24+fqpgA8AcgcZwEa/d0wmlHUtcPvI7rO0/c4uYpe/v1RZOhFLdHSZP+/kIsQM 935NFWRBKzba9+BWwVE9RIiSCnHInEZ/gkwlMjxhV6r1k9VwCQGUdKbfNCYXWSBxmRMCDeVKjZQi BRSVBRPCG0Rn6z2TTgjRX9SqXR/LapvE6oTYXv7zziviTPmKVmgLjcKXxiULbmmQS9QQ8+ZNepp0 1MEvJDiBwixcQmkV92hD0Tl0duBY6oT3MAXuOiSICoNgVMvtYw5S+xpstElS8DutaMqQb5NRqKu2 W5vZ3DFt0PvPO6vou5/ImSWCj2fO76wiViCw2M9yeE8B2EQIhePbTbtUF+W4pFXcrQart1spigoz 3QaFqYIIzZJCmPoJYTpml9NJlR+BmiGk6b2TijAlqU9GofAVQuG2l+NXwJ/We/955xQxBoyCAsP+ RrhorKQsvpUlGJsRzgh2gj1EiB2rwH+HI5OWcVmPW0dl3DOfQG5Y4gFdb4jYwAymCdqN/AQ8GACY oyxXu94zq7SgmwglHV3VJbKTkzVruCb/vDOKpquNRdAiOmH1APedT8So5aDutMLt5NbtaeJyuBzI O8V3mKyMu1WBEraJt2hBd0jLI55tCHG37Kfwx1Sm9vhbfCUpmMvKuHQk2JUd17qCoGfRlqolllT9 vJOJ8Ha1f84iikZAIU04uSzEnaw0X3owdyoQdFaebE+ELB5C3AteCH3O36lEnx1mqV20VpgRgytb YaYG/NO1M5aHeYewTZMOev+Ucf0938elq0U0O68uMgUTZZZbbLLtn3cmkS/CBwKF2AVsLw1Ljlgg TuEItIFl1H4XtpVeKRu5IajUHab9TiRiK5TD4p3UfT8wU+ivQ6kDLWj0KV2mApVm0KPrMyxgsfAb /lCwxDHv1wfZK/KZ7e4/7zQiLPJPCxrNEFgVeezyziLC7KTegEithC607qT6fcOG4BYv+r+TiNj0 EyBZtagewPu7bOoQgEx8i4W2cn9HMtCwFtDPfYQz/T2Tbbdzd5nDr0Jp9y1gPzL8n3cSEasK35Fu IRffh+U90nVbt01uEBaR+oIoJQyoXqNvZCFC9ELeGUTMxWqEan7zzWUDXIXWA1h59whJNlhMhUze JkDMOURD75kc0/i3N9qTujrspxzxOC2U+HlnEE0nLjzhC3LAGmHdO4EojvZz6nI41P5i0x95EReQ kZg/v/OH2DtTWFdn3DQudmzY6UzFzNo7rA+FJLxAErLo+ySLlNt6Zw9NCaXaeQTNLV7Vm4dwBlHp Z/Hlkr0lziL8h+A+LF4NS1bK3Ye/XFFmWAfLniTSo58zEd+dS6Q/hiWLdr1nJmn9XR8Mu6W4GBbC +gE/Fax/oZs4UOWJZJEn4M87bYiDgoOI9e0d9W1LGi0AYOixgE1OQ93hS4hTnYqbnkG/k4ZIjphs IpYQnnXVm4YAVdRNoEiVFb1zhp5Sy26q+bcRbVZLsmyiFcrfdJhN9SYMGcqpOOye5jMx7O+EIW8I 7l2Exg25pGPHp9Apt/HZrMn61TWTJ3T3Tsg7W4h4GLLnhxsRj9W8m0iDb+63B8tfMPY0ziXJbFNI UzfHtlxAHRqtZZFC0pcZXdgBpx/miusjlvROFMJPV9F5JuyHVy8rLKa4FnjO8/POE+KgfCH7p5BY MShpCZdJERaRgKeE0zCU2+s48JQtQA5KisclbX63suIWyFPLvqscVPdqo/8fY1eWJTlyHP/rLPXm xb7o/geTm5k7MoeEd1OUgCFFZKIiY/HFFsXAkDGvFTAgUR7ASdx6y2SqgDNPKPh+AOFQ1dveJD0d zyaB3ByfUUHvYcfBnIa3WBKbinaAtexStL9aJLC3inKT5ho8gbL6LYnFNrNOi9tDAtkdLRzZA+0m 9EYhWQSYyfIpQZESPrLwFpZue1F/YoX+xABaWw3Wq7dL2s4BDuMAouh5/WCeaXRbyYsI8fw6h9uz QeNMu0qleiR2lfke3WKn5a6i6HZHdGtTxda9LeQtkVP7d0XofsrXY7DvoxNKehi+ISOCMIyDpyyv RUVKxHS/RFh2PJsBT79OIIhqAqGiYUlLuHjDdlxxzdLFGa51Uv7G5+woQc0MpLCOoOzaS0+PurYl j/bnA0gwex2QRSGmpbC3BtPP84lXuIZmVsWF9Nl/4nGppMKDGR57eDYJ4wIdRtm+1QAm82FJo1uk 2Be1N/Jj7nKtkn7bVkAHHUJvmc2siuvl/qNW4nlaiVDrhc8owjhQwaskpWA/Rub9WE8/Hhsh3zOr tmw2V4dfhd2wzywCze2LZxNIy/7CElYK96DmooFJGWY0fj9U1CUw6QyX9ybVCcBTOt6Qhjiz+Naz oVmem5ehToHaP5XPOxpjgm8ccMwLijDQYHNCL2OWmcW3nT0Q9EZ11TKy44vAf+j849ksea5fJ9Gl sJlGJeOY0aUbi8felvz4fgo5mY3+yeLHN0jlcr6k4a1X58pz8yRxI+rH9g2sD1qi5IizSIqdD4sj irmt6EX/1Hp27Cl6Gdx0z5LG97SdG88mw7LLZ1g4eeisxYFJ41s8I5yAExJDZ3cFIbFRkpLjkhLN HO4vftB4rKOgEoWCC7sh9zQwfmi426FiCJHHZ3vZ3d8zSZ7ZXoW/lK4qcsOFh0Jzlubi2WQdna8j GiyIHQjLmRZzUU04LCCSF09JDpRaKAhGy2pbY0Xw7ZkXc7nryrWQt+ixTrhWN6yiYxs65U1QaTgg LkCb+7FWWJTYnVkxtzPIhfmirsLk2iwhOg/xOJ7NQFBfNQWcfzcQufM9xkVfFoVN7GmdvgqDHgro gjQWXeCrgABjIJybWYx7tYqGT5rH4BytOGhoMvJHNCQ9P1rQoqDbHsAceaj4gmRUREskcWaFheou o6ijaNMdz2YgqJgsnSwIANZHxC4ZIJcdUueaXSI+xCWyDYcqLtCbAVJBm26GVFBRYS9liYpdGmKX ukn5Jmmm2BCJHc7awugk9z7tM6C38Q0ZEIohruqWLVYRXFCkjD8unv3bdOHvCjmGG8soDXU38exX lSe4kWiELG7q2mc6Da+0u2SVXLmDLglvrI/whu25NuvB4kWFu233g4Q1XIU7zP6IeFPhAt/wvrtg X99YNn5VAm1LVlJrFkfj2WzClK9TmvHng4RaWbALNQs0sJQN2Q94BaCzg2IGWmGHmcLKSrk6pYGV jZsvJPtlUNBuEgoqjvhBp4BiWeM5pNEN4Gv+qSUC0qWugrYMdIp/yXhvPyuNdYPgy944FEwobc5h yYJdVrgPbFI7i1DywIS0AYKLIdZM8W13paVc0Z6XanTrBlDZtt2BUK4zeCk0vKMUM14GsV5/CK1U KP9ZKSK3s+bPskvdjvhHvMj5Aib5z0pLufcreKku6OXjkmNyoXbTAsch+R2kjBAlQb2fTgF+Sq+s lltVhdpKozfhJ+ilHAD+JixA4H7eRy2+0C71n3/R9fKGCPQN8AUJnnAQT7iqX5Uxbnf5gPoLnv1r 4b+QIw+NJY1KFupSQLCV4cWFeo/zZkZ9dH1mgBZWFupKa++ULSAUjwsUVRamim28k7Jztp+oY72I rKQZu5e3bfoMfPyfYMqTYzJXEM8sEFL7a20+m9Vxv9qtgEOzy6FBySJdLplew/3dEf4wwhDaErCH NbzdurJAl6dg2TSf183jf7hMTXzSxAlN1QY2Fan7Z9vn7R/sadd7ZlsL69sVS4jNA+ZDECBh0WXZ zMPDf5ksPDLsJ7rbj6KVBbpERwLGJbONw/iYPiTkbXBrwf+XzbOVBbqH5bm1RT3bD/XMhsSOtMZC ri2mIXiQ8uhh2wyOy6i6rKv3TBJG5UXYqnerQYK2wa1Ogt54NtlazvzaWtCFiV7rygJdcJHllMWy /w7GYpfEP1jQWwixwk9531maMJZ7PzfPizrYiZVsKhSkqZEDzRaIx2BUPsjTrbfM9ltUWwYnywg6 Ppu6jP4PBiUNc8+z31ZADX5RANagpJAFNr1uFUvG/ml766yusNoAicm32yzM3cKGLe+3EuJC2Q6E tDjH5C7TiYoudB8mBGh+KBAcL3zDn8IWZOe6Oh2kaViaZeV49i9hi5Ii22uDp7iyKJeM+UYfPBqb y7kRYL8z3EwXcjkqXK40yuVGu+bpcYvW2YU20ySrqUH79FHYhTSBCPPqhhBPuNIgd7FntrZffcfd 6tLZaux4NkmhH6kW3CxcmbHfpiHuYqBShhN9yUGj4P0tqvAOKpYS9rPSai7nwKrcTlctT0pkR2oh NRFqW2CIKWQRFPP+nvXUuCGYxfdM9pUlQOHyq1dzryQz7cM7nk1G5ZsuQ6mWGo2znZZzF+FQcDSj gE1h2EbF3C2JLPhadaWK+y8aYd15MzUKLotS3dDfYOV/06ADfj74I9HYmx9A4dZrJqkifT77mn7V sAAAqj1mDDyblf6/MBzwwhnLl9DOAlxityvFJIWzLJVhbQN6nqPSW+eoTH5KUs11saP93J4yVINw LnCWxLezOjemWrvLy1BSWEashG/I1hDPZQJ/ig8LkbziXtqOjmf/srMQqwBDgijP7Sy+5Xne1nEG dBO6EpHcnGEAcLcLhe2Uc0bfNCZwfouWyD2Q3uQpBISuY3Kxy6PNus8DbEFBCF+QraFLoaPpV466 LQWYKyB93nw208X6Ops78pyHLr+zAJeCi4suOKzlMh6g+P85OocsBCaZ3s6hnddyJQ6g4+g+UGVI 7oIdw06RhVtHqjegb4LSPT5q3FpCKVIBamH78HB+tHIsvy/8Z4g24Nm/AP25YDbF0ULxKItwO4Kq s+lVBBBO6W4EuxaSUSWJxyO5nVLOBN24NBBZj4WiLU2Y5G5OFtvN1yzeESmUJvud+zmHxt16z2QN VWnaD7/q81EcYKiLRtHOAtwxvnqt8HKvcLTTuKSl3EuSPPCN/1BmAZEJoQrwZphsjhSm1pOfkvBC hMjt48YtGtC2ye7GIhROJTpllkn7BVZLaSX8JS63swi3TyIsqVN+Qsl6ww2I57MtLTybBHOBgxJe 2TbKEltuhlaYxL9QLUdOcr26ukIPeTmQFxS17KyU63j/pXNmHS8q2ryBvOAimhB4SxoioQMAPD2Q 3eWjuce+4s5iXPUV/x3jdvgIcWrCrA7P/g/JMyxAEbFqWFLOWaGVG0GWEuVwctVha/QfgSWcXLVT 5bCmBlotcdOw3NUu9PsYzG1b2FNwAduKLsKqRy0YBUt+foJsuSrJLb8KcAovR6U4d+LZDO7TviPc +7ui7L8zuMKmfRw1xOTsVKcHc4dKUAhaYKGpcyiLcKVPsqcKBjN0VWxc7dBZNu1oXjTb6QyBqTDX gOUsH8g/6087C3FHEZQwrkJBjVXVXa914Nm/YFs4LOz3PmsopZyh87MIqv6H+MPuQe4YDl6w3G65 bstOhRVccq/UuMVBBK0sIX5s3mCL5GRZCgo4W0Ik+Oo1kyXEiv+mqtoegW4pZQq0s/bFs1kw9x3j 2vCDSstROVmIW5DZSdNZ9achu/bWa5VMFAjLjlM+GWJBRsqLctG6+aigdT5RlgK44Gqk4QrbUN0G OPaJcSWDe1LEgrZa0qyCUTAqKhabxYXe8WymT/IwIXjWouDms+VkQS7D08aEF6uoU7+NyFNIeGCs bA3sGJc0yHXBFnWi134sDwrCT4I9bQXZueFmGjj9WACxXTeaZ/jp8A1ZVYHV7R1XlVosQCRarN/b 8WymfnS+glx5A/iwpGq4WD5XCwZjSV1WNJ6PpPcGPRy6ormTQhauFBVc2vNEk6iBjGibzaGwQoV0 J2N/9IosGvO4pYcebsM3JFVc7rkg3uiqzWVf952xEBrPZrH/d9yCTlELSdyTcs/QwsIM917rDuLM rEeteZi1u+DESRG5kvUs2ntLfaQVaAYM9wtbRr0vwkPswEfJUci+8hmXrvfMxLKgQI4zTVcBlVd3 WShb/3j2f0CJAZkWMhwnVcUtlPFHhot6JauIU6j25mo/FZmfRiWlnnmh8t+odiwiiywGGPJoKbYa HhD4ESCJCP5mbC7U8z8ZYGFSyfIQOHoeI3KIQB7tvhXPZmHLjEVUkW+sIFmdLMbFdgrGc2y5m3BL JIoUuGOi2IISflJlBZGJJMy+Iq4AZWY18BSpZAkdVEq79KsGEdSqz+ONAWQSvuEPMI61xFa8nhPZ hxYeetBpwrPJXImtBY9hl9/Rlz9ZjAv8DWbDEFP+0OsRxZa5Q8Z/H7JFCj8lC+bWS18eJ5FFGQAn Y2uxE3oVFSxJ38SG9UG3rNb0nhknHJNFMj+X0EbKnh5KQvAHwLOZwE8PoLL6Zic44SeLcTsi2Y3e ahclbwjh3ylhO0jJI60F4dxJIblClSoR5M1nS7+nsWhha2ihUkHQD9DgCKd/aRbuGfT29/xT3AKA na5qs8IelX/ZmRfPZgoCXxVLcrxqNM5OSjzb1HZEfIxwDmpWMvoiWsKFFcIL+OSFXJ5EXQd035+6 wjq21RSOiy2hKi9PpCV0vL2PjQqVC/AFyYZLFFRjeaG5Vj34a5cxUp+n49lMSnkFpBAf8outT4OS xbjcAqlM5LIKa3ueOKrzZSq9qagcnMa4PIYQ5sfNa1B2PNgcQTRnOfXdWmQNugYon/9uipN0+XMu vecfGmfrUNKGZSBGLejFi0rWFp59H5UTrDN2mlmFwm/AgblZmEuBuDmm0/HmcndtmD2otzgaXKsl Hpyrh6kbP1fcvOwPbQX6QE2UcZtA3oM51MWSB1VMCTQlwfANf0iKJmUneNVsPLWJygxF2Jv6PYzz WUXgFsI4XMPyHuWidNX4hsv33D70T/ayU74YVAPQIrqptoLkGld/br6IbE/EVO88io6gaGXQRxBg 7L4ep/HLcstNgbl09KC21uoPArW0dhyAsPFssufW9hkWiDyd0D66KTAXWduiZ/w/VOOLVLEB5w5M Klx2vdxysyhXTNGt8OWRUbc00SJc+BBgWOxoXEcCwzCRgEzheootkoO9WYwLpgCK5d2vKvaDEnEV uF48+1fSWYXpJP5CjUkW4RIU1EJUrlLoTIQZYCwcqFBDfjsFKkig5lJGgDc/hyxg6VeJ4rXJ3uSF BgXtigNh9KeswAo7vuEP+nsbpU5d1TkDPlz17XLxbFax/IrlUJlb4ftwc1Auj6HulbkuGyIYP4zh 2NO5KKk8+Sl/XEH/ITUN5wdLqwb1/LvtAtQyRmUO7StQEtcjewQjBH5Bpq9ABAeR7TOQ7fv03twI sOPZTJ/ku/ks2VOPcW+qHoY1fs6dTn9eW2UFi6Wb5g0cHBwbdlOkwlSVZYy4eeTfLwQtDqWDC9Qy hVHFqYIO8KcERaHpm1VxnVhFDcsSGpYW3l71E23I8WymkRVzhZgGRGkt+FU3Vcllo5XgPjZaqc+J MuWCAqdET8H80caSIRWWZKDKKnFzYIsFh4CGAdjSINRAWtoEMr0SA4R2l/yzqayFb8jIeIxxyVN8 zE/nhFMVh6gfPPuXhIjY0/Vx2LlpiEsF0OukEPgjedTi/Dzoe9Vna0mhCkd01tLjplGZB4Z42EAm ZAkPBJB4OEPVExqOFFfAdGm0wMQ3JBQrJogy+103oAoXlo2cAn3h2aQGFeIKGBwUBxH2aFjSQu4g V4aKp7Rdd7YZ/qPu0goM6Lm1/AWqcEqNm0+WvsDmLSzNrVGaHL422TX2g4yPHBQF+G4a4dLrgBsM r95zXnfKj/Tw2SRNjPJ287PZEh0flSzEXXSPXj1sIObQftJ39QkEkKSHuDfDKkh4b0sCizcPcYFx se0EpJlhRxE5FzBkYhINwYmHEs5MFd+QKU03nMt7+VXDUlvjXwaMBZ79i6AyoxvUcJe3Qtyv860C hfkM5VBxZk5jO4hYBUjP0wpi3hZWEKmygiQVqrwg6uMFASYRiKEMWratlSNlBehVQtuJeFzHQZ3p b5pw8hpd1jdd1lE/JAPGzv4aSkibT2c9aC/mYndkixE4ZB+clICGCQFIpQ1EpfAcNWyAA1lF6P9O gRWqcJc00HWZaVW7Vw+Eyx6W6M5DJMe6pQ5SoGclkRw1l/GA2zer//iKrBHNfgibRc2LOjbp2qaY iwWmgw//JQOgnZP9m/t4H5T3WNeeWTzQR8AW5nDYgoUJ3gBoLL1p3qS43KGhqS1ungMA/lAV7OKE KkwSRmWRG4ofKC5oaE6PN/2DEveGpreuXmHYcyoJGHq/BFb4HNdKHX6xVfnQpDQ0HCV7XKWKVTr6 5EHUIYm+sVrzilQtqdWZzN8EWeZNQ2MJb2l3cGha75cqYgVilGy5/dbPklITAF+RgeiYSdfiV4e6 oOqFv80GnA9ndd3vCqbW9bPdZHEv6Y5nMR0SFLVKpnw1Ii0vnQJDvr1ktV0XzR3MDHlz0tUB4geG hxMsLvAkWPFG2gJ2cm8PCgiTXm+atALQMwJXya+KZSplpygxUPlwxgEOvUIaSzacivNxUSxpiRfH BjC0crLFpigYw4GiFiFSBzDortHJa7xqH50Rt6h8I4XsVCvHGTXFYIQEK2RvflFbjvIU8R34iiyJ XP8FkoLmwupqmdTBhxM3xUekD3O/Hop0hPB/SaUXsJvUPlqAGXZYRajdBjDDCv/AWrIo2IN+EWYe PxSbKZYK9YOCOCu9+6rnCGhhKwDxtMfKieUxfkWWNaGaOSjUMUJ8yeK8st1aRO+XMfb6F77b9uwT VapaUv0F4mfBHWHaBAU8dR0PWRlU6thRpiLFIdkOnKy34ubnFFg1NjeF2rWNogpbh2ODwNgdah3w HvE3zUANFFwu5DLyN5JDXOXQoIDKhzOlsW9fBPjEfvmulCwepuLi6bEdH8L/RKwpKlaNc1eJwcmE GITzXvKjWR8/GjSOBixssajO3Z0n1eyUP2ZP6aEFuD1pSdV0KWAOEKeuiv0mYI2s4o3Nh5NF1dcn wLE/50Svupa87AsNBuoQYruRBjlNfgv1gBqNL2scVFnddzUVw70eVT/p9rBDHNapEzAjm/4iTGCI cf6x5vttooevSKoz8l+hmV752KNJUxwiYJsPZ5llhMWMXgeRC3FSpR5plCeuaEhpuxk9CldMhuSc HRWampikRSt/S5CbN6/mgU++aRJqg2NRRZXTL/tLGHMk3I7MlAVL4pLm8gN7sHQ1ntJVBVANf5t9 AB9OtpuPLAOXCcnTPjRZWDxoHoFOijLMsUIx9VCkrpLyOWJoMpRDceV71SP6U4/YWEinF1kv3uZN ObYCCUV8XBLkB/YHnzQODK31whoaCNilQotF93w4CYq/Veo6tTKevSZxSoNLJ2rU9EjFRnz3dKrn 5SpEltl6NFJqapV2ureuS9x8Rd0Ngw566C1wdhjzzaYTFJik9cmmir/pn6THaLrO8gw34g7gzfmV FBEfzuSYv9Rk8e3IYXxocvGxxTZk8aiYlRYmmvQ7RFR8Wkh319QubTmQatS4RVQMhYZFWzALjjGH ODQ4JCbxmY9sxXreNCOWyyF6+5UTYC7Q7TgVWuXD/8N6YssC55l/YypARlUpO2V/BxdUYTrOPJN+ jAPdzeHwmJpYphUxa0HNmnHT2NgGc4EaYkPlzHuGkimURGA6cs6HjFSvv+mfmvuQ8dFVHZU52QtC AKD3yxqTARwicggp65MxJL5pSJ5xXJTQxoEmqW82jW05dH4HXfamPuZPuIddRO4rj1TogELzReeZ +swEBLLBX8UbwBJ78JrF3zTbbkhGopTSDCklSD9IiqzOxoczsdAvDB41LWdYPqXmaTCrh8aG4hks qarze4o/TehDtOFq6p52qhdtRtziiGpQ2cVuMwATHlSwGBTVwzAh5omdmNJbNfVP20fZd/erzu8L Dg4XV7t8ONmJn3SBJ8lkUfPZb7KYmEpYJOE0GYWxLcdME2XKf0iHKi3WVOYsIQs1Scno5i2WuTYo tpg30KibRYINOBqhJ4hRUtgnEX5+RTZvGBOv6VfPwteSiPUuevgv0gTcwOnU0yLwS0zUEPihKUcj cOaZLPUDBLHrrM6BpC2RxiYXbZA2mRhKAcaF/RP4TbJonKPCnBB7MbUvKhDwj4nalhth6qI2aUf4 n8ytA2gbT/BT+XCSLpz1Hfd1YjCe/SbH+1KB6z5p5gjDsEMpN8R9pDLpnMrBEHIMk5hdaVHYgmnj 3igIQLgBUrOyJKwkMGwa+Hq6IBWhmlqpNSKKJjER9AdkutCubNnt5Gt8OMvBHxCn6qGQSvCxSc3U Fj1ZGT5hTc3lqGgbEULowcXv28mhNXVTc4XvJYDeepjEQBSOCpA5aJC2dMkMRM1FTGKifjVvUJfk N2QZePsyDvbo5h4UhX4lg8aHM6WyFSA0pAsSHImhSUvFtJuY3FBY4qIgPDjWiB1pHVYK+Sn0yE2R v+vdW6FhinQLhtn8LyjtYmiQwVYAUNp6NNxO2f6mWeRHlAj2Yl75BUAUcGxGbZsPZyRR77xI7QHm 2/eZNhkuguEsYcss+s3ZvHje+hBjZ8BwyQ1hE181IOgI1Dz3uTmMxsKsMcDUoh7vlDlqA4KxDU0m H5tWyUOpibEakuj+XwJ3lsqfThUAy2oGH85Qer4VMz7DofXJGBJzNdTRGdQwbyL0qvl2Mzp738JH RFicuKuF+Ow6MX0eFvpmTDcR+qEYpOOso8iPbfR3ftXRqT5bc3s1tjERY8oxSxlDb5XSQLNVvV/G vFif7aaiPBHEyJr6qxHu3ykcIYzEVn2rTbSCHCMxn6FJccDynpjKwOeTgVvERctc5GStEq3HsBmp DCpboz/9XQpR1dxjjfp/jcZzLYznBsjaPIBtv+fDmXLZF/yKBzhU2XxoskIx/UjOnSIX1FuKSy0d VG7wT9gamkd+qc3aESLtv3TiIXXf56G46JwLJuXciAvVqC6Qdo/3tO/EaVBMrERjDt6eHBzNdUa1 tlvx4b8wDfAvODiOZ9JkMTExWO4ixj4vncWI6oTEAnGvfUVMnBit4fhWbeI+N0+lLJyvdzhkz/Yv kddRDr80Xw7Dha1EKvVZW1SjLRLqLQ8xBd6NGCE7QPjw/+DRgQ3pBOetpkZrtDCtMoTC2XGOZFIa XZrlRQHHTuVRidNaIF//0yEWrFP4wx4OjEU7V2SECXRGZemqx8gsYvZqarW2qP5dSGcvxdNvC4kG WRQ2YTofzlLM+unXoYG9n9Mp1enFYrdoqTtCjW6D3IFVPKcVRe8xMKkXhbYZOcA+Lw64EtRh0Law beZaEEt26UJpmJpB5SEbKIdKvdY6uwqH+++JWBvgf+kQ2Jfy4WSXOV/qqyjsQMHPByaLhBlcWCA/ HIwl2WJ0QRghEoz1QCZq4rb2YKWp46Sbp5cHhmiLJtQ2ePOKPkqnIqAzuMlsb/FOf9NMxJhyve36 VUVhCiVwAx6LD2ecg2+al/t9+diklmvMmX1zQR8TtVZJxgv32ulsGtll7rlGgZRd7oybhzQL5iud eBL4C3eFPiwIgiren4KNaIE1dV1jtCeI2+qRJHQ4aA+mC2vz4SwS/gLYN9axYtakvmuo8KHhKW4k gCtTeoCDLHxQENAe9A04MV6zAVaBT3I0O7pooElA5vYqt1yI76S7aX8DWzPgUOhkgj6IviFpfrOU dWdcVebrQPBz0iCeSc3XnjJfU9kLAWfsNLn92qEl5u2BmejLyYG7PFIP1dGNNfVfcyVWCaHw5s1v 23QRSlIYZBIiTPTR5TkI+esPNmsPf9M/qIL/B9DeYsejFuSwRcuHs7H5Qk7zZAwoX81N2JDerR1+ 90t6ZpDurS6bPuYaM2ZNFgYLR2LJe42bb8LEe0B9xX7gOUWBg9AJ32b/3kf9bsvWPXFhA7Oa8Uwr fhUsAJr0jogdfDhJuoPHwyjY9vMZqik192HD9nmOc43jn7DT0B2XiIlLUDl3mhQqfNX2njdugUGy s2mB4QDYWiUoEXLPiARQTQeCwhXwaJPLr0iKfER/IgfQVbtwuyd8wxYf/gtLEEOzCdb77DVZGMw1 MiorDuiAN44NZB/kFIQoFfLfSixTQzZ3G+5CU/cVrV24xdjgNEJANyQZSbcl6gS+goQE+LQp3d80 W1BEO4Isy6sXQNciE8GiysqH/1IbxlO2qMdT/Uwd2UCugfLDCbJgIALAw9NWU856duEMLDGGJEL6 c3OwBEj7rZDnBHYdGx1Q2qfqDTQV9sMWbPGmf9DZ3IPCVcPz1n5Okxi2BZWXD2dp5ffZbcP0qL7V xJRN9pIWTBaxveC4p6p5g+KJpCUPKDA+NHkgLFyW5B92je7lsBN7VTqDAiYP51V2vYfkPX/ZOvJG VDn+psmCInEFfFRdOfZYW2L9LRT4Elu2fyliV9KRH4njmrqyob4E974qx5fDpJo9OuTZXjNvV8Tb mtqyUbcfilQjbh7xTSjpF2o02bFyK9PKAfUDmKj+wmj3UVCf/qYZ9ZZdFiZR01GDA1R5Dg38TPlw Ru35YiZUVCOokeKDk4XDYMDWBam4QfNUlt0QDnf0FyeKnyh3Y5j0MdlG7LtMiZuf3h1AKgQ6aCjY TGF3HAQotI5sIpCAKxzJbf6mSfWT8Boqh3wxfPtlbckW/t18ODm91wctwQMNPPbYiXN/NkTCdRev 1VSlU6T5tB5oiRW1msSgDbkYizSHWHveIlfAlk5KGFSb6M+ADQkMYQTX9zE6ZJbIb0jGhk06NMt1 9Y7CEDar29Lnw9ma2l99b5vGzxGVOrRRa6aRwsn2JVMZYSXYACdWgribqY/5U+1zT7Va5iMGZ0sG VU0qB26qm/IoqzKeJOb0o8Fz/E0z7QMcTovqZyu0A0+RrzR/SD6cHFGBsWFegO3/U8VKbdqoZnUJ qMQhdcM2qJ+7d6AlerRaEp+2gK15x583x5FYMGzhzCF3eyH3FomM/0FjN8GPqHH9PTPQGllQd/pV m83tLgVtn8yHM1mI+pkzoLvW+lSFE6c222tQrNlSIwKYmFawIvwUabcOyvXqjMqt2qZcg9xD6NFX AYKxkMcPbdJWXVijWbhAfb4HBuonVOLUFkjHSlW46qpwY0yHgTbbS/hwcnivrzyhKcSInnfi1Wb/ u2hhcT3kA+Tjd0lWfYsKZXEJnFq0nnLmnOs8j7hpF7aDvKEIR4TNhgwajyggJOD9gaLrg1nz9ZSG w4Lk3+lXD2y62nO2sXc+nNEVvhku2JLreMYmC4epJlJXbx70MfRyQwuxgaAQEVXhxLENYyO/WcV+ bcQBMi3PYayH4xsa/MIDQEayAubW1iOz4sd36tombCwiEl05+MtyeZefQY0vt237l/G5/dbnyaIS 3zZXGpIMqqASpP9cQCVGQCVwMiuLSozbqrtaoBYZNw/62r62Mh1hAwmAyWmD2O+CeV0+kU0p/qaZ CDJxR2xdzgC212vJ63zi4dS87aH+a2jQZ7tB0a2pfdum9Lz0SmlyQblJVkMapOeRYsI/q2tNZVCJ fjzFPHGL3aacXdDoAmqtNO1Kk66zICPz/5zmcuNNs04LlW3L8asG50Cx/Jd4gMuHM0Tfdw+K1HAf mp+XsKbZl/0fiuQolhwpxU1pILOENdRXGLBz04p6CWrsQ4Cv4WFMQKluHtTYsrQUgfaqULwYoq3K mImVPtRa20fl6iWm4Vvil9v7sGJ+vPBs7wVxG+7HB4/+15jw3a4g1Toy21Cbh//+5yWi0Zggej6o 6nap/jpdwV71bBoL2U8+XDb7JZ7h96pfeZjA6+ZzxSK4QTVam3sFT0qXhaBduYDEmDia+nVMLMYn NWFwDx5e7bAIuMtWEFrrPy+xDP/ATrwIlP5xKkAVA9paHJOXSIaPkEkxyYmiSQEDOcDuLbwZblIA vwmeSi9xjOYJYzfbzE7cOCYVefyQNwzO/9tJatmQiwaSAqzOCPGw5n5ewhi+ZKMDyiFt+Xywe5Zd O215/LwEMRpNGTf4NLG0FEVSjshLBMMvI82iEeslg+8W5kr9SQhmsDVe4hd+CDVHbHqQXHTqk8pM C0uHRe10s7D4dAohzPIPfgrKQn8Lcr7EL/wC5gGH/dt9sf2TAQf+Ir9rnll/XsIX/wt96eC/SSA3 DHgxJi+xi544kiVwSHlfYR66SQum2CL5xXSETGYJCRflUHNAN492F6QgAP6ao+CoU1OXdT+QSmmB 5jwE/Pg/L3GLdhP6km1Oln3Ks1m5ZN6Eo2oyJEUS4k3IGRwHUNXimLzELHwEAjP1FjYjUfQ7UzY5 Fgr4DgsyoMcsLxELx2RTUP1QeUM3vfSFMxmKSWCHItJ1MM8mLc8WJbJgIUPYg/15iVe057FIhfWt q0OtbC1KM2Pen5doRT+6jCxw+DHMRQu9+Rb7Eq1oV6Z1KL2z2Hljf8jdzktocdZwJsgGhbv5uVSU PHfFRAFcfA/COnsB25QE+H0Y9SFYuJ/eEsXms7VDj8QOtwZe/SzeS+rF9sP+vIQp2onIxmeIC/dp JIxoLlGWO9thB+F7Z3iBoWzv0qLX7O3rE/vJS4SiHXaq880AhDftsJgoECgCU2VtaPuwHwfhUwQN 6+kOiKfyEp1oxDe9yChNGh3aTvF92Yyd+vMSm/ibfW2w2NeOT5GXUp3WDXLDTq0/7q90QCM4pjtU ugNCp7rCS6HuWeowA2LrmjefIhaE2nllP6ptsxbZsm9RwB3EeFe2rh4oHk7Jn5c6nYaE0M3Bat0I sMAZ7coeypL/n5cqnUKEmCRNSfYvEewclJcSnW/nhbpEXlA4bI6yoLCviP+zQt9UJP8sNGGL5BBC rZtPkm15ycGfO4dFSqogIzSRqfovKPDB2NmUycg2WBTmDtDUuipphlALMfQWC/68FOf8zLmxcOiY 8YuoWtFaFsIudUVdcNPWZ5C87C9oscHScnfyU5JThzoQRzLzvEVhrpSBPBk7LHwsGOtCtNL2f/JU PtKbQ2y0dPFgc8UU09Uba23K7vMOPpusnl2/hgVCJiOGJYtiC6cxgrtGe7brdltoUxfqHvQuxBBp Bdlc2R6ZtLhFgFLPrZWQRCiqU1wSGHr8FrAD+xSfZP/yxmHSlG7UaYIN2WluQ4blM+Q7DWWvNwqT b7PnMywbySDs1zUu75EsEgLEbSiqal/ZVMfgvrJXoGFmUEmzUPZqh+2sdbtVjcL7iUsn623ZyDRZ OOMDMRD3AT9vcbuyWJbKtbfTGL2H9DYSbs2WNgHUzKKUdWJjQX/6uMC8aurJdEEL1EKG2Fmgaq+d ZaI4QzNvugp66z7Zb2kJDue+GTffWuwFNjo7WEUD80bspkNDRW/AOt5OoPD3gBbrFAFtR3uaVx5B G1I2SnsOSv/Z5rLm16mMWAovoHF5D2ndRRgcPVcmuufpTouTg90lEp834pLGhdW4KwFB3jymBU19 c0ECGNEFJaIq1IEreYmqP7HLP2+sJd9byFbfxa+xiByyvFnATUZFlCJfRCw9oUyqUcmCWupywf7V /m64YA033LJQBWIw5GMjKlUf7T2qrQ5mOBxI3XzPtZxydwobd/gbVjUFCPEAe+AR95piV7wHtSLU 2zKDaOANNagOUi3HH061P290JQ8/vkaFChTnWUNpVCs3guZ6TfWyKEmVyf5ohhcvpryxlb633K7K QX8qB82yHnSoKQI9LGEtTk5pnFMsaqtwwALszxtXSX8aE2QUGnRV11UuNRThvD9vVCVNFlYOfQm5 5llMliyy5ZyafWpDqfA8ZZHSjryqnJlEZx+WLLSdyn2kiHFCEQNkUaQ+2xa9/dmQPhQAhKl3pzlZ ewCI4hm/R7cQxKAyUe9+FbyjlyXmvSXhP28spX/HcmpcY8vdMV3eI1xXpTl0CCBvn9JBTII2T22K jqCbxS03C3FFMrGMt8TNu6GwfnThfct9ljsWaLp0IpMfm3NBgt5DXNlI20xh4DLnA+24R0JWE0Ih WYx7rs8XQlttRd9IDd/4Sb63oMBECicSZtsMlnhtHUBWFOLGQD1kcsdNg1wSTA61EXTTdAHtq9XC EMo2gn1cjJJyqcAbrf70hqgF98ZOekJVG1iAeXlVetiuIt5+0WjNwlxnC/ju0sSM9wpcFucSoos6 uSeI9wRfX3RsFJxQMeIyeqMmaVwUyQl9yJuPC5T8O2FSFqrP4xki0vFKWNBqT/B/j94z2XVh0HwQ g+iqtpBtLtP77evnjZnkp3uMC05S6EBvny5vvCQv+rHm5Ozz2uuj0xRDZbvA8vrKGy1Jk44gFhgw xy1qCRNAVR5F1LJ1r5PLpTvJZwi9nXjPrIZN5X2upUdhHtNFgscWHf28kZI+NSSWWHBE2yLaXnR6 YyRpciJUu8N5SBaQUvuZMilFQJdJ4Woe0G+EpO/0ualK2T6SINP+hjZ5FCH9B/CSdn4QPQOm5+Ny yEb7zxsfSYELuvEH4ji6KpyTwwFpd+PnjY7kYe5XrkhxAA/m3rhICnKpvklZAoKayRFkVbKzNTZI me3acd+oSPpeAleu5sp95oqdRBVKwfTzs0juyNRjq0wFhbn5MPQHt5Y3JpK+gS4Nm0WFEEfoZ3Ih 4i/b5+eNiORB7v3suECUCt3CccmCXLKqGoJwsm2+zB8XIfEHgTWJsxiXrHArzOktBAvz5r1D6LJa tMjmxoRRLvdklE+xjj5mBFSZfCMhPT/3f8I3xrGokOVKLKSfNw6SDsk7PxkRJHyAh9aYZCEuQsyK k/NhTXgtG54qnicSxskxyQq3XmtRR+P0p9oM9lS5lNns8IIhDK8sggQIQKvz47V79J5J1NKYOUNT h1edzoMlPiZE4+eNfuQz+atMCZqbhU0+LO8xbpWJ5inT/V/2rjJpsN+QOOZB60cXG3pjH32KneWw n6+bbywLHXL0BS2qQJ92CHaJDiQy0vMV+7NS+UY/8ikNEWiItOiqagtADVxCtsX/vLGPfPP8qrbQ ewYqtBqXLMjdrHwMNjcIRy8BaAHAuIkeW+IYeg9yK3keNi8GvUt409ay0PcYje33C6VWIt8pSw7N KKAvnpTo6jWzYaFvHTk2wWefthtpZiIF+HmjHmm2RKJINUr2N0vsuGkVF/F8H9sJ1ewl04ls02CJ A2Q/pQ/Le4wLgzaXv71x07BA/KFerB3G/lCz5vFtvx+Sxfaxf1nMn9+oRx7iYhENipKOR0Kxuny4 rc/788Y8Uuuk+IYrXRmkgM9BlMW4ZNW1/lCyygo0oXDMjZofsbm8x7jVNUkvRTx10yo6d86D+jZN GiCZpI3ZzlQ2EvcnIyJ2+Y125GVH7CoX5CNedSbPu8KJe/28sY4UBq72VbIsk2eROqpphIt9gwAw HkOTOx8Pn8tyHfpZ6DZxsrxRjjxR9Ai3x80j3GYBzypda6jfLl9riHxACm99uCMs+L8Rjrzo2NkQ un7loDQwU/hrTwtZ3vhGfkTWT8nfgsnfFW2hN7qRT83OovZV5/3QgAtR7ZZDENHqw72C3thGPiiF QQhrCzd0d6fNVDvrQUOkKOCcMoW/oIw0glSQtj/2SW9UIx8Ttg9bXGO3PfKimHq1ZLeN4JZghAaw iC+fN56RLx9Y1hGTT6Qyf0ouGkAMOCYwU/eJksIR1Ghear6vp/lu2SLgsmRFwKrhyHMWPAsE7u3j 9g7Q288bx8jze2wn0KzR1fFxg3quRAf8vFGMfK/97oMQhRsTJQtuZ6ERweihI4SqMYG4o3thu5JW TUDCe3DrM7SwxeQ332pb9bwHOsRjO32NVVSWx8h/dVfmpff8Q8gP4gmaiCDfSNzYph/PoF1q+3kj GP27nqDVhiuEMzQyWXiLJnbtCuCQWpapZLHZ2ey77Rrk7k1+ShL2E0p5G71OeNPIdOgAF3QfgOjp +5BgdIBzAHD3o7nPwvYbuehrWDZx2ztw22NMukjAzmX+vHGLFDac/TVbgKNcsde+h7fY2qnjc6WL Uw+tgwFLeEC5s5CPyiWUVXCL4pBLYBdvHq/AoWKgczBHGZeSrGA5YqlRVBZAam8Pna33TPog57+B CaDXDwnkWCj080Yr0u9ev9pDEvHpXk544xXp+5pKvW5ArM6XinJzurU5NEKYIr7Rir67iYtNXd6i D3KhPNBRZbFfdA4lzqCuN4tbqEvtZLRJSvAbq+hTfdqbph50A8B0WVDV5I7bS/95IxV5ENg/gVyn g3Rg4t5IRZ4OAaCG/rOqT6SREJ5A2SKK0zIx5rikRVxV5aSveiQLsLHlzgs5TWiZjAE9SEI2JioC tDmpMiNQK4QsvTdOkUprUMs/lQ2iGg2isdqU7q7FXD9vlCLPnb/KcjgAyJbUwKQR7mSKXd1aqgEk qn7ijoEZNRQR3xhFnsWpB9KfmwN8LJeyD5+otJyBYrQMUVDWRFI7AAb1slztes+sRdRYqKx+VYto iymLcJNvlyyk+7W/yL6nev/5jU3UHizY3U5uhaREF+F1A8dOBQ9q82mDycq4W6Undvd182bIGmCK Vp7RE+ImxOQW2nBC4LOfZyEpnMvKuKNhXNBs0lWYlj5FXLSfs/68UYn0dvUbXIrYCkLFgshlQS61 bg5Cf1bmDrhaTRvMHmydWTwHM2hi5PIyrgoKCnJ7uBxZ4GKpYZEVGRjb12WUUH4aKLYA1xJl3Kr3 fB8Xtp7/y86P1CQde/vnjUb0310iRC+7e/TyRiPSSYLQtULbgCiOhtFc/7BYY7sCO4oNTVBCtN9Y RH6I8jwSip03R5iOZgEetgCoTLUjib/VkFDSQrA+wwIGC7/hTwXL/6j63+UdBjs31s8bh8iz+++U CEiFGbMlxd1iX3AePd1qqNixIRI4lTx2iAtqFb0RiLzj98A3/oXiAA/EtlcEdShAn+ruUlDnRCME aiePbmbVeya7buewsOi/VqgwWExNTD5W088bgehTT2UCrVTRxiRarW/8IY+tJzmNV235XVZzFRzy Z7CKdg2H8zf6kGdFmi5CEM4HQYhyZWcQYLtLQ3InHyWaD+CnpwyOE4iG3jM5pqG0ddRZpJ77r9j0 sq2w72k/b/Sh9iCMFesKIUR6XSyktJbLfPE+cCiwTwKwEIGdKOOWlL3Rh/ygFqiyPzfHt0xYJ2Mv h25HnUu0PURaQkbtJ2Ok2tYbeagFdAcygcOvWj2gmlJ37Sy+XDJh7vhsL8zi0HTQsGTlXJbF4Yor 5u8oN5j0GJb5zxTv1IcljXeb0mMi465EGplG23G0oEwIfCVkP1WDAJxmEHTiGSOpQD9vrCENCjYX QHX9qrMI4rM8ZReQyWmw289nUJocmXxMUrwCXs3OImmN2T+5vj4kDpuom7Q8Yl70RhnyRbSVKta4 aUza7pDgLBSeLZX8GJT9kQ9DjuIxqhCC/Y0v9PQEbfHU41dlAJfxMlcFn836rOdzQMsR+jmJskhX ImCnuojJLMMxlqU4aGwUCnTwgM7hCuyC0P9MNw/oUPYbm8CEvaadSTyq4O+EDv2IqEViSW88If10 YAid0+OqQVmjqMk65/l5ownpD6zj96v5fL+azyOLchFc2vIoM4CnMShQ+1TZn+G7BiWH4zbliSVu UbC0sGXZyYSpYvvHZWttk4BQoTomwgOq91tvmRzOcAk9EHvV1Utz3e1eLFDCs0kst4IUg4fKB6A8 0ggXk2CLz0CfgeY7bUcxoAO/sR7TupEVcX1MJssKvDkICgiONcW4Q1bukum0LQN2p3zqUKSEjyzC PewQgUixHzoFKKACh/Wrt0s6z619UsVGTKInRDMNcAk8LV5zQhdHfSEw+5bvKvxlsavM9wDX1Y1t o2dAx5tHchYbnI5hsgT5VoBCWMVFnIv6U7uPTuikFuZMSWWM5GaPKycLwA9K9Sy+wrMZ8PQbSWin I4RMNSxZgMvWjmYiy3P3Ok9+EneFYRlEBHFYMpzCOqoo3BO3gLUAE4W2Gv7JdhgWXNblQUUewydi 4RqaWSlXZgtw59WVw4JQWbC3MQ6ezegx/wpwG2BlPixpKRc9wkuldaB9LqSl2RaCfY/Q262FUvPM SrmiFJ5VnpuniQXa7YdOu9DMUAdp0aEEnYCxnpY8e+v4hiSQA92OnaXttEPAN2w1MGgcNlZ4NkG1 nPoFgsJPgbTSBybllw1qAV756tbd55Xe7hJTFYDcu92abWYR7t4e8t+4Bdbf1gwkQVGIahZV0h5+ oj7T4PiJDPJRKtl6zz8Ecoc217yqdQaAuLzcS8OzWf4c6DAeRZccLg1LRjFbpIDLSI78eIQfA3gf IADE06xEA3LC5FgFJs4O+wkTBBToFmv+yJ9RWNP2InomFJCxOqKe24pe9A+d1nM4bY79aUsZkW3Z WArT7ng2GZddvuotqCugLKmBSQPczbS5RqZI0RjioKiLISu/kIOfKdFM86XN5+YL6bAUym0XBtOX sqADOrUNNRrkM+GsRbu6mYFydxfSf/tVGZFlfVWn9ebb/WUhsVVEEWNPoGdWz2W16nDW0JUDKAK2 zxZoVbSsdoLv5Kck9Vz+uaeIwIqb47fh6tIh5Ghbr8WkOsWpdme7jS2J8ZgrLGrszqyeCwaSTTC6 2T8wDgsuJBFjMdHGsxkQqn1CFxyAt8Zp9B7kIvTvxJ6ioAK5gECcwnCos/AyWJkaiOdmFuQS8Ay4 3HPzLNEC24UuKxrQFhduTidRPwd2kgc0h6iLr5mMCsVswPTUVaNi6RYhubbQD57Nirn12Vzwh1G0 y+sKM8Pksv3VqNqP46gNxL0oQ00ZuxxUc6HZyV03wysIwk1oH2+cnAhebrMzHlxjSxMvuA6i4WF2 DUzR+fhhQsa54RsyNCFWERVbzqPYAjEZFfDnuHj2L/QQkaHsRzkltt001gXcg2wiVnMb5V+B4xiK aKAVV7vLS8ysmnsU5LaAFYZuFmwnLDmnUMDpDWOD0wgOy/abUY/7My5T7/m+u4AcDa+p7lev5kIe kRl0GXj2L0RWlaHw2zx4qJVFu3xI8BnqOo4q9IJFeqc7ZuEc582srJy7WbC8g2ixG3R+eK9t0KsA P23n2OTRAALHCIQnzWXdsq/6a2Y88Mp2a/erTunZRSVudTQ8mwS7sZAkxjxk0cyvy4LdSfVYmBF3 FqGkYk5lg7VYzYV6rO+6K63migkxRH4e5wFagn3a0COaA6fRIOtITm4o1U2ixMTJQ8Tzs1LyWRXw 9PhV8NNOh3CEX2P9rLSa+6+m61Vg6eOSwnIr4Qqzu4zCJhS1U0ZhSkbBtWNtGa2snFt9XznaZVgH WWin2K5boOsKCAWKcsPX2SUw4Bd9L++JQN3gZ2XB7prMGJggtYBa2mGtwNcO//qzsmpunevZXQo5 8isYIiuHLgB1WraTz/gjSkuhlYAuzIAurCzWdZSYYB1X8ScIsaNbBjGxJ1sScCxmZjX8Ymun/PlT 4rYffeDj/9BAw+HsV5VcbJ+Q2+nafDbZWgLvjynGZtYO1MLKIt3p8jHFge1zCmmJTGyq9L8oZspB yQJdtjTLufu5ecnFkrvS2VgcEB65UpjA19ERBhqeDwC16z2zhquQUFhDEBIjJMrWZZfG1bKph4ez TtFXKbfZ3wV6psYlC3SJ5QXD2h12yeqjajfcKDobaJNSPxiXLNA92lRmnXHzQLdi0uFQm90OtMtj ACxgt2jBcRlll3X1nskiuqosNL8K0AFuKWMXi5bx7P/UKeq/J/qtKwXmokdLtLgAHaUGtp3ejYzo 6CHNYcmAuU0YbvXpeYs+NJw1wEsE/b4tFkDKAX4H7Z72WUREK69UU4GYKFQ7deWg2N5+RZo5GJQ0 zI3on/uiBVE3MFErq+USZ1kpLYokuvbi7bPWq7us3Vs9KVpZmOtJEV0hCzG+OMfB3ux23l82W6GH IA/MBSfGOijl+GAKecDjG/4UtgDdrKvOIRvyJerZuXg2axN9wXJR8IaqsYYlj3LZSmoufN82d96D uNGHymb+8q7iSqPco2huPzfvntmmPxZORAh9wh5MS8j+CzC3F2Ne7RCiClca5DJ5niOujv1pMrez 1djxbJJCj2fHtZuF/VHiXmmIiyl96VBNa0sqVLFxBhVOIrhrZzkXCygv55L0wf6kbk6CsOzZVlEV ZeYWSkMWcpQq8O5nPUVubhb4hj+1FDfnyu5RzgXiRznR7Hg2K3Kvr0wRzRGwfzkuO63nEinWuaOe B7qAWI7W6dhYLlsXFCZJNcKK2kOrxM07Z5W81oXD2SLmS4CfrXTo+aOxhFAuYIVbr5mlinRS29ev ilkWbJ+4x4yBZ7PJ8kX2hRkOgCAalSzC7dQvK6QNsd5Nb0tWueuVkzlUjxDr8lMyBrR3nlfcIsI9 90K8hpHcbfLqXp3eL5gl9eme0e0Z35AlisS0z+NXbbjQy7gK/BeezXaW9emeVWxzIRO2swC3UBWL QEBVoRz/A/jVCbxCCJbvnHcmytR9bt4T6VCCh13iRJHvqqyJMp1tuOSQPeAWFITwBX/C+xeuoRLN bYAhJKdhUx7PZnWFkG3hemuEf8W4ZBEuKey7hNXIBl9Y8v+7SNdmrNs88N95NdeVW3rcvCkCKZIy iONwkCHHxVYZSkHj4wyrNZSjFS7LT8OvAhXaacGqQh+n4dlMaO+Lo4iHUYz0UclCXCprHiAWqHWK /rhYigTmosZtAVl30M9OBcO6miGitK7HMdc2KkjYdhLmz1anDraxasT8zv0cROTs4huSRUTnK+K5 eRXox6Ig1q0HWkU7i3DHd1WBan/Y+jUuaS0XYf1YVAVD2Qr5mMAKlIT7R0a0rle5M7DC5FZ6qwQC ao3qnCVAkEzc2FwmMnI5x7JaJVzZ+Le43M5CXFTHwXOMqxctu4BR9k8LzybR3J6f+QLU+kchK8Mr kPpQyVmWlVydrq9AeQxiUE+IVe6slnvUcVet5XxqLXXSJ3d0Rv6gnTOaYxuKEKny0dxjZ3FnQa7i Fmp38+rN+TW2N9MGnv0rdI6q7R+u706pZ4V6/9WlX4esRS7Fp1THHNSr1LCk0mHCKmvSuHuZZksB mY0MkYaIgxS1Df4XisjrkQum8Rw+/w8FbqZtugrwU9qWoLZldXg2A/w4QmwzxL2/Kwq5OwMsMP4j 4k8Kc9SNkH8RRY8aqNvXK1A7C3EXTb5QtY2blr7l0WtuGme0ica2lhCMxmAcgR7a0yZiBWpnMS4Q 4P8FWej8TJ7PdeDZDN3yHbYwGg8BsZ1FuXR/XVSo/UfD3jQug44aQLfA0kt0op2qK1CRnP1Dv/lB ZGM0KY6MaM52XMLINtts4CxitoRK8NVrZmFLYTkhrl5skbU5ii0XzybR3PzecW34UV/nqJwsxgVK Cfgnh7dAMFx5IpCLrtwyYwmdDLPA3pJlQgKhtg9mAdV+GE+z7G/bDAnktEap6JDTNNsJedTBPSlm AS4rR2DL6piFYRuXXOmBXcCzCffslMgTqUiJoNXDlpPWcbFJdkJ+sYpscxwOP2XYCdgytxuNSxrl ev48StyiBoVzCD14tEP3vUU1KExOsrLuebpn+OnwDRn8lNL+EBHbK0TEoDS11AC4Hc8mq6h95UQV 5L4Azp0UlYsZdjmgCP7v6u7BaKeyhCwtyZ2OsTwpaOF6WWHoxm9jGLqGJdHAINmfYJ9NQtGi+jRF kfZHcg4NInxDVoJCJIeWhK7aXCwLVSXWvgLPZmGuV+YkAKqw3sclreNiXE4tw7mKxSWyLMqlYn0F ZoHoBYxLisplz522f36LZutdhM+JMz98c+kYcmH7ymdcut7zT1yr+lyVQh87JciZt20Mz2a9+eiG 4PdCK+9RsszCXLa9Kg7oySoU2lsI4lonAZ62aH26GMfJ+WfCKqioP0c0z+aCWzLyZpg4jSYox6JN HSqiqH7F7kJF/5NBFiab8oVxS/G4ZcA+kxXubv8Zns3iFj+isZgshkLvR6OSBbn0UpK5rvZcF4Oy hbM/0HbvnJ1UYEHVJ4lh8eaT5cCoiFbbtsDwQVT4pBIMSqODbjNyx5j+nn8iQVe2RKorqsIgrvH3 7hab49m/yEqzNoeBbXEU5dK4UAkuRRU5MH+dBL3A9qeQP2y+fctNg9zx2mm1JWKxF8q3KFlCV5p0 z0VCLRS22gffgvSD35AMC0sKnT0iigeyJDd6pR4KfAzxbCbz0//dOjvBDT8p/wxnwwGARaLsFF8G X3HhaBiIWy6qSEyJTs4/Y72liTbTghteEPYX9mRgb0CIO09oTC6q1qPA7Sk0SkL4hj805rdstvsj ItaW2wFbYI1nky33wbVjeMh7q5FDnxSZ26iwEAr+XeIbiJGIoqXCAnAemi85YsH1j0bcvO7f7cXL pRD5vHPR0qQsympZRPx7HycVQF34BcmWCwuVQwseXpUqjkPhJsBOOp5NGtD7BOwHg/sLsKcGJYty 0ZdA2f+GvsJxZPsoLk7em/Ze7C25hhhruQyMdfPZAmMlaljaLnyg/I7/3sBB3uDGsz/9Z2Zg+Ias HYK4H+5GuipuselShLdtC89muhMR/d+oQz2Ry80CXTbiYU6tgG6Vq0C39+a6WaMTlUIB4VwsVym0 4JbjgVt2ps0Q6pl0LqerD8qWDDhR0yiRQjPWxjckaRFUpKAD5dfYvZyVB1XYm1o+9P2ZLqBRIK7U sLzHuSheYRhp5EQpvjGVTHfpF/8DcD7PUiyim4oshNjPiVuU5yDYSPUWi9BF7wZZEbsQlhZkS/ws uiy43BSci8h/N8pwtx2m2q2VJrDl3Xj2b9pq+Jf9gSfk/G8Kzj3sPrIR0inK5z3FhqocwXMWTjqH 8+ZxrpJFFbnPU+SeEChfQG2CJA4KF2dVI3He3vQpt0gS9mZRLgJAy8AZ627fuYZl60uKlJZY4NlM Z+FB/Vc4T+4IW27KPMNm1OoswfddrkveKb0jrAJ7r1hBKVahSihXwdx+tpZbUJiD0xTkiG3NKCWi 6iwOBCRgcT6zsHCzGFcyfGSr8aqW4thTYap9FZ7N9Ob611RBNDe8NndzXC70NncJ64eznR++GXyw Ec2GIc7nm8JyJfJZFb3UR50Qmq11o7lgU4V7FWNcHufAXK5H/+jgh8EX/EmEe9H7YbkalwVCKJD9 Et3e8exfAD+MRaV96mHLTVXE2LER1l8W40X77WmPxThbgZwtWZB7lTk7g+quqOTaBxeIeKs212Bw y3FDK6Q3yvp7EYpi0zer4zpDgNXcNmMFVXTjVKU7ePYvYlnizSBPDP2Wm2EV6ItpGe92UeVelkcq S1pQRJ82H5VUREx+Q6esuPka2nNMmtDZZIGtDKEeiz7DgJggZehHWgLU4btZIbexeYbtWVehLGFD SIxL6QfP/iUhwqTBrhLK5DcFK7AI1QLwU+m+R2Q7zFZZbClkHnJUUrDC8TbIjJs3tw5EEJDIW0LU YVWqyB9nM3XoqLCA2dIooYlvSAh5RFdSVvk8ssoWjSxRoG1G4tlMACmkybm5APAT2NOblnKBu2gq zjX+hMOZM/vcUFi4Lt9y0whXSrBeuZxxOJc7GywesKwtwt2jXJlUYQuHKuz46EIR2nJzJwj7rS9R 6beGkbDlEGXLlPTw2aSoELHciLP5cYJIJcRwDt0aJctblvQJUcZwb0lqq2i2ZHCFLShhoZw9b15U mHuC/A+kskVbW2paW5APSHyPhxnOwwvfkOkrQIQba1VXDUtHrwUhi/0SePYvclmsXUGOZu0QbC9p iFuJCoNAiJT4ABam8ASqhDA+gCSlA6HoI/qHKtS+FMTiLWJcSDixmGsLFjQ6eoqx6g8pFGJyAws1 /U0TYt6AuMIhwBlInyplhQZX5V9W/zaf/os9E7V+B36g3Z/RSc3NUChpkxYQrL+QBbEdatlEXyTW o+hjstFRjPu5OcwFtiF3Mn6Bj/iV/+ThokLZZTwI980OAL4i69EzYzzHr8qNQC5gqWHBiL5kwS6Z dJw5pGcN+H6uGJv3cNceouTnKEGhoTGsKDR3e0WKOY9mTgrPnRLlkAhFDxEKsuTtqUXIJZyVKGWO /PqXusIgOfrYUCKMX5E16lF2oVjUCbEoi69ce9pGXe+XxDG9fMCogw4TO4Ymq+wyB6Y0FGHuW9L2 sN8lyh7A5Q03Hmm4l9TvbEmsXCM05gNg2IBCF8IL74Wdp8TKu3qNv/WzqNQJwFdkRUy10qZf1QCY Y2kntTydD2f4qM+GQwoM8vpnTWWxL2mPZ5OluNzii4hUcGCImpql7RI7TlbgXdqEq7CX9cFedmTS R5oudk5Vik/b4CxqtiIbe8BAmPZ600ziftIye/jVaSPTAR4XUu4lRTK0L6yurRPU9efjzpOWedGI H5O2XhRe4AIClgERUmfXBNzmrsHJhXTVJek9bl6LqR3JAdrTFiXBMp5qkwPZIgRAAO2KChVBHviK JI/EdnygXqero965NhEF18GH3wenB1Gag4OeD30VNTZZHIysA9o0MwANyzl7tHQToIH5psYmC4S3 GzqVHreogaN9vyTTPW0GDjmzY+0gKgH8Jugja/ubJtVe8YHp9XvvAzosQwJzth/w4Sy66R8sJvQf TtBqasmCYS59hzJMQq+1zbQr1MdEgnJrDE0WDbsQ238mlBC2HxCORdPkQJCda0/MDBoQ7tDsaDyM +RUZYZqSfY1KbNWFkqqF4ex8wLeDD//VkoZ2tN/mKyULiHE62Kc700gqfkXsGsJ3D8BJ8xmbTI3B s0X3nJnRZ4Noh+3MnY4aZPVRQ2pzDPlMf6gBblFaUl3dRcLRnH71mHiIWN7W2Hw4WVLzm6dmp+QD gq8lLfxiJmzpuhOzOFyGWS7OgH2sGiYJtWSV36WcsihZKE8lr9ix3S21pN8ijEXVyafRGOTxWfX9 dtLDV2T1GdYgiHNdI8Ib22xYteqLsV/qH/EMDX9cmsdGG7KmTmkbXbRK32JuNzLNRlW8tQ/NpimR qolVGmIBx/63uPm8QbRzJ3FlixBngT9Y9wM3Czm3wzPlxJJ4paHbM/47vqFjH/82+wA+nDXdzlfT zX6NT9KQuqUR/AacveeYZ0eOKcUtqDMcQcP1MZk1AClIlfUa3jQ0mIf2QSR+2l555LrO4jKjscfg dskVLHdLY3MWdVldlXvb/wjwY+uND2d6mF+isr2wPxybTe6Xhsjv0lGVsPjrNCT7pb0qYWdleLrW 1DDNG5Lerp0PWQBkaki/UuZk22YsQSnqHNJzBNo+kU4Vf9M/apBRj2CxPsIzalci5xBH8eFk0kQh WKAhO6ta5AuJZxrEaDG/Gun1m2INvg8vKnIiKD43UvCamqYtaRGIUs+bbzb2MNyNZEc/KyVbbWgA qpjEaH5wvTJAT1zTHHy3OTQ7REP6xJbO0Ka0yof/0sTW0BzalMbYpDJklz39DQo5FczYMCClb7vN EWT43I8+MU6DQoTKNbLbmx9DUxs0ZPZs7yOiGU6nwKZv8RTIoY9y3fU3TUIbKcxybO4NHx/7Cbvk cfz9ktbk+e5kgwkX4N6amKe5CKqNQwg1j+tyxLMMF8jp69bYa7KI2G00jph955H0btBonGgD2xm1 BmWVUCunEIJlNOPsD2Sz+JsmKwpZ1KX7Oq+aNu1eIaPsHOTDmazf/PfQAGrrQ/NHEttp9Tj4gbkf C8MknKkwPMIqLLVQExN0q/D78J0xNKyDAiozLIVuYv8taQ3Z+GCFxUZM/a2amqjtI3Zf8asyqVG0 s2GQ+HC2EX/5blBro/Vnt8ki4oLQizDrph4lpwhTP2aZF/KfEBLWikotJoSUCSpBeClgmJr9omMw WQAtQSBFypUCGYIeGqM+KufoTbONmIZhYFfwqhTc0jMJn++ih5PQpoTiFGvs2GL3ekKbNCQuBIFV 123o7MECBbFndRrXvOfZblK8rwuU0dyCNy+Hwo6wXpW16gCDCzOLCmAo9+zHS23LkjA1U5s8njCg unoiNaqQL5Y28OG/ePuoAzX/tRPniF+iz26g5m93geIxlpfPG/W5dUrlITGHxtsL+zyZ1IXvMdQe 7ZSaCIp5gFdWbYD7HY/lqZSEauqo1ljrE8a1PKVi2+ml1m0bMh9OsoWoajWvhrYS3mE19VQDYshe y+2O6uJui/2mtXFcvQHwME2b1FTtuB3LvXHzyo0FZkv+JOCigCuqaihzW+yKz7Qp8aJZ3xJiN42J VGPhl5QFiy8Y2zRMm8RV7cuNnMGZLOIjk0p91bhBUHCxCYcmdT/QUvuVg5hFVFHUSo3VvOK3lEmt T9sF/b/WOG0QBxdJxBxQm7BG2np03JDT6U2zU2pDIQT9/xX9f0hOimgNc0g+nNQm+o3OC0U/fnd0 XmpqrsYa+mrcidGAXi7m3GmJBsqOzZri3IKauKth1jCvpn+1blHvs4gVYl2sTSyIraAMCXR5g4IN 0H8amlZJRKmJvRqqUjifIMKtK78A484mL+jLfDjrvnxz84Eq/aQLicUajJHRAa6fktZ8bLPWk2PW EfbKKQZY02arULyfQnFBKXFXQq8WDG6lb029fuRn86uGTgHampusNVnxUc9hO4DRNsvN8tZsVe+X US++a6GoTZw4wFOXNXJ9+13uKNbvUHGrLaDvHCIxYyNObNYeDdrmHMnH035VyKJDcta2A6xSR2ch skAVZPSnv0spqpo7raEnsGGJp6vCvtKu+BNjbz6c4aPX1z7MTa49syYrEo+pFqbYBfWyu0f8VTva myFBFu2F1G3t6Nxe+7n50NyxdiN8BHal63Ds7D+VizFAKo/9dIk3/WMC/i8+rSWtdwowZJsVH86o Bl8bMXwcA1ZTU781giQOu3vsZh5XSLdNAjMbuNcDlrmPTCrSy0adCJa6eUS8bQaBzsQc0wIbFSZA v76oVrRwXdjKolK/Nenz9hVXZ6ZA2wEjZCcJH/5rPIyepCXfQXqrqeEa8SHE/UtmtB7hJuzkXlpN Nl+v62nWxHHtwY/7zDmfiA9y8QPQQ5u+EAVhdr43ZepRt+oxMouQvZpari2WJcAr3I9Qv8WnjX55 yHE6H86gr9/m3ADGlRiYFBDshhTuE9uuA40azLQDLlGjlJV4rj3m3FvFvv0IGRwYOIJYC1YtyuhD pdIut8ldHrKBMqjUc61T86JyJdVgptsGVtQTsMHmw9kuMz7oGlR1sKR9YLJAGAIJFsOXqGShg8VK lnQxgcWiFbLvvykq2FWp/qMTZQ8U+AviRxqUeZNgL7sJVBjc1JJQe3f6myZThtIxrR2/qr170PPj BjwWH87KNb6Y8AdUAeoipEmt1yhjdmT9Q/jEXqEaDxI9VePhraVAOPdek6rOcXrgE+2hbbnK3eTW QtTMQTb4r4Mr3p9qjXiBNXVfq/QYa3P5VfkT2ECSeVibD2eB8EPHwPtDmuoZmSwOpq56I2yIy0kd BabtSxLyve+n850YsKFnQ0tH9Vxue+i11w6kyygE9c9SHRiKw46xMDgUOpmgEKJvSBrfpExi8emq U9sCCp26A/FMasLGGuRTGiYxLUiT9Q82bIXmFMGa3HM7O5Di9WLw9FhQqQ+bLKmhBxS3mDRz7Iby COJgWAOwxtcpRQ49u/KBZu3hb5oJg3MpiVQaja5l+xUDS7B5+PBfxJjIyrA5h7qAD02Kl0C1bVcn qFeS1KT3AAk/4uzhAxWzJndjE/WgPzdPulG7HZh2UI8u90owEbJ7DQwHYFhV4tvydk/c2FBVIiOD 4hlrBbtp2WBfbTWDD/8lsWT9FiUMbI4+NGkYPGRQUbWgDgLi0NkZ5PTYD91qbDV/UfBdUvBdoeCL XABwV+QCHep1sitaZJvhKEYQ6yp4m05SNbFkQ7mlIaVcw6/ahiHU8dhf1sSTrXzEU7AjMMsEDdDH JouDCQ4Zoo5SFaG6bmJpGhE4HzAln/qYBBnrUZwAATUAAXVslIEv25e26xznZ1RiQFGtRITm86Z0 f9PsiALasRHW16JWMy00YInO4sjKh7PS8HnmTQHsfjwgm9SYjRxbi4S3o2OP+0m1Tj96UrRpQK4V lWElhscznl+uDyDAFuSEHuOEnfmW9uTCpltpvkkl3/ExTa2pNRsLghcahrqq/InesRyl9uXD/0ti aeP0aXon3mygJCDE6E2GuhUENhU/L93s6FjxyZ5yczZvSkrkeITIMfb1ovoEcqdlmQAxfdC/roQ6 oXPkfahy/E2TFQWzrT1qXDlroMziPruo8CXubIWAwE8Zq33JHNfUnY2CVweLn7Yvh7B9tugOdcNR YWYtWptNGgwLPCw3j/O4eaBLdLoddmwooLnA3WiC1ENPX2C0Hhn16W+aScDJ4KT41ak9lT5PWJmd D2fdy0gsSe5HQaLuJ4dKPdpQD7C/AbwS+qgKNLsQ0YBnjvLnhCHP0MTJ1SCkju2ZwIjYpsCQsEEp YHaEPKPKahBSkpDl2uTgCkhy403/sN1A6tivntefUgSuvpsPJwd48AclwTOYHvnY5D5tiNTq7TcK WWM4z+eERTNlVBXcJEZt9jGdaD5pFN1wDJsoO9hH4seFCA+c65RuVRwWgHA+fofUveU3ZEND+R1K ZQyXyhiWXU7Ze/Rz+PBf8ijpXv3O54xKndqIcWrrahCoVhBQiRpQCUiGKr9MrdqOtI4Fn+YtUCTw H5OdKgRn21Ivz0lkwOF9ZHiOv+mfFDixp+uqWo0tUolo9tH4cHJG/UsTDh5RT4aZurUBBIjdwAHE l5wUYiX63oGVeALixK4NW4GGRmCSXoK8DS+LwhozQptOJwlWR5E8EOocJ9S4/p4ZHIBStmRX3pBV h26YZPTb7Xz4L7rqrK5DrqCG22xN/NpgH4p9uF7Poo7gaYWuh4s55zjAmOiIyg3bFO1dQdbuA1mz CHitKRKHTRBicYHahxY+PJQ+pHYdUIlfW/DDsPJ0FSrLVqTrh6/LhzNU1heLo1GUOvxPauLXBqls sH4AMK9UnC+w7wU+dkieCERwKYFNfUxWleCQeL5fdzQT5mYlojUW+AoQAapKAP2zSU57EGu+nPJw mApOLNuMG8vpni0QiY0SH87E1b/0IQhxxgngY5OWhalcXZbHfHByckA+5FUdkL9bzJo/Y4f33T1u sQcfhBwTpjlz9CUJpQV50YqEoq1HaMVP79S5bTgQ4PhVgU2TgBoRznz4fRM+n/4cyuX19zwJZuLd 5oaxh+VRtucYAlEPoRO6Bl/sdl2QvybmbcDyORqgx813YZsi7aARMEc7czVx6Ah0vGBel09gU4q/ abYL01Codb/qfKouSKNwODVw+1f9k5Yr7fZnt8kV0SYlSzs1BDE4XWIRljRCfh4AWdS6utZUBpWQ NfJLE8rCQTugN+UWLVklMgPArEnB7l/+n/d1abJaEx83uX9BzX77VTsxij3C853Lh/8HGAlOsh0b 8c9rVFMdb7wkusKGN/moNjKjdTldjCEQia2o15imuoIeMUZxC5TjsoMJfWgUbm5jpGAH2JC5wS8b ALt9dK5eQ5rqoqVX6KPaAm0O87LG/fjg0ZcxqciFWMjqv+LzMhyf+Pf2KyRjgkwS4LqQFlHFkwLM dTEynhSpEiUqGRM5UN2rP/nWR7JgNUsMUPNApWbWKycmgTcmXABPjImDqV/HxMI8gmEbW7nNW7lo 12w1WBoKn69jguN+aUyqpPYsjrlLY/IaydSqmtwCgoK2OautKyUnkFElst7L9FPpNY7BPEGkQGGM uGlMoOFqUc281M+ADhjhsuBKH2hNlgcOi7YVPv99msBLwuIXDMx96Lkf5J59xc9rEFMfTKNPE7iH 3qIReY1gsHII01KnoNNPQbFdG308vP8ZPt+vI4Jdm71bCZ3x5icRTIgOmr+AM1rqRjkWbpcVMmFU hv6W5HyNXypYx+RZQlAEjI/fpvqmjQ7R9/PM+vMavtTHb7Zrr4Vaxewak9fYBSsHpdhOnIU62suh RadHR3uDCyhXyGzlXE6PRmOv0vqTW3NGFPZT4EC71HchsgtdF5wfQUPAb/HzGrdgN6HmPHDq+xut zkIy6U/n5zVqwaPTVw7Pz0OXCp8nrzFLdSS52FuI5w7bfP8wyJry5MVu4jvsa8RSixg9tYCk4zff YVsFLR+J2rjbUsOt5AhDs22tDOTAgoYUtt9e4xXueVg6BWPCq6BW6F9JGW7en9doBdNk9K8xQV6L HjXH5DVYwaY8SFjBpP5H7udVEOCFwj114S42Ke6wr6EKxoQbWKH9g24aE7RfUKfeNA+aUJXH4pK6 HtC499Nb0ksmS6eV/5YRaVjxDDNGrT+vUQo2ImXRnZUpYungZcQheQ1RsMFiFOsogcrj/oWl0851 uzZLHhxW/xqgYIOdOoHvc4sNts0DVjsC2w2xFnadUCW6cNgb/yapvAYnVTZ02IOOXzVJ0OvlD40a 72towjeLSYKBRP9x+Cn8WqnDIYUEodNFgHtJuQ4cmuCeq21NGXQKfCX7K4268au3uPkcsdWOTAF7 WoOD6JQlAQwZmlusPyEbADw/r2U6/GF0gIQeynZzcyTBCHrk0X3Wz2uRrj54qi4vVcrtFj+GXwt0 nJMUmnTBg3qlY4WCwh3dCwonIEOv5Tl8LwGghRh63TgoULAqFvah5I3RaVsYCIbctrf8IrALvs6m Tka2cioFeIBpvZFCAO51qqPM8Giymch1t1NlElBRyIgrWstCWHKzDmveFA0nq/EfVnaGQliIfxRP mLMYdrLKfdV0vE/TETMQerUkaR8gzKSmCPsJiKy7e4XQ80Mk7Wz1UAW6yZK4eJHFdqUhXt8dfDZZ Prt+HcUd5WU/it8ZTJz6VCMiKIgObSRyHfapN2UPbGM60TrKw1jOFZRV/Rap8rwH5w+R8xbMEZO4 JW8DS7BP8Yleuj/vDKYqudV9F2oJvHoDH9qev67s9U5gwh5BMoQPC0qEDeesxuU9kgWpfz6OBNxX SsBhJFHEcVnBX8pC2UukdyGqWbcgBHb7F3hcdvhYvHLoLXVY9cVA3Af8vMXsymJZBFGWV9l5patj n9c+fiADqZlFKbt8HT9AegEPqWHJwllutxsMJhl6Fxebgcqf+vmWB7Yob2fxLKCo2FMERZ+PkwKS 5XkpVoveEdQ4WbDDl7bmDVgH3AkU/h7QorOBgBYHna4qOpVGJWJwiFD4TzeXq3HBrKE7OVpXGpf3 kNbraJeC45T2ZbtM3WnVtKn/t6Mcl40L0mw71MeJm8Zl2lyBQwF5gBYHwbKh0jD0F9pqvUTRn4L2 P++cpdhb6O+gqxZRoZE76dgoNyWjIoi/LyKo79jhF4soC2rJ4RyLUm+DuH4lhpbk90o/P3vnEPZ9 ZyxVp57QniJu0ZaG7/NUBDdX8U0Z/3WUDfsj7jVFrngPamFHCm1IYMx15VwBpbZIm2r0n3e2UpUO +zMqqODh1/FRScPaJT+CG+XJGX4ElClkSxqOIipPZnEtBOKxp7Tn9tS0L6zrCrtn6zZql95SZM2F bo0XDnA48Avet5ZBPw96xvOq2uQYXc44Nvg/70wl5pMthuXRPIvJkoW2zEamhgX/RJYZQQx9KWce pB5rWLLYlr21CgfvuPnWUuGihMzTPhiiNU66QLkdZFEe0I5AFMv4PbxFlRBbS2d420Mhb41RZfpj u83PO0uJ24QvIq43wCjo6M1xeY9wMV2o0q+eIalcxbMgTFxmQQc6Q2JUZCHuml158oqbBy6WSoFa T7TULFemmOKfkn1dH47SFCboPcTFtskq9px+VeACmx1ByiASksW45z5brozrYEWjYcliXFbnKQPJ BsguRyDEDrVCicEN187mp2SBCzeVpVmzTgS5bcwF4y2eRMvCWtlcIIwn1hvvGL0hisG9s5N8WOyY hItZqTsc4G0Z8bv6RZ81C3Md1eG7SxNX1StwWZw7tNqCLtCqiwhCoMgzRNujvJDwTk2q7tSAb7tx 07iUCbY1AGNz3Iveoihv2KInxmi1J/i/R++Z7Lqg31wsPV0dEb+m7G0AVn1nJsXeF5ELdKC3h7nv tCSM5GJAPL0lRIVw7rnAAmjPndi5NSrvYa57mlnYv3rcHqgLLE6Q/EAnYm2hvqe7ZUNX45HaYaH9 nZTEmAxWSmUMv2q27Hbk/mhT++edk1RdCDCSItAEToxKVq6ljNsV+4gZY3Xh/QNNK5K16oUVD87n d0IS3lnRXKFpIm++tdip0c4BjW1sO5VoOAMaW0NZpP3u9lQnF3Vk3vlIVf5z+wKDpSvnyu6tyv7R lsnPOx2JI/pVnsTsnF6Je+ciIca9PMYpX4uckWodpNlcAsU6nUKHNtx3KhK+V7kQ2j1+8/rktEEZ h0WWAuUlpkQ4sonwRZMy5srgzvLORMI3yLR6x1UraIOcwb9sn593IlJ17Z9nVMZ0bAvHJYtx2W9s zOn/oTHLFMcG9vXuXt25+3Bc0rqttloyJ3SLWjZOa5zHgO1CJ5/JAUJT+n4+pzNVJt9JSPy5AWs5 JLCd5iHuLYDBKn1uP+8cpPootUbUMn5BvdKY5GVb7CutzkgTR5Sf+nXWxGToxTHJ6ras71sQd5+b ryALWCCeiN0Wssdd4FX0+qF+itbBx2336D3fhwV9X9gNHb86QAEfpnxo/LzTjziTPU8UXBdkvkif 3/lH3FkQqkR1EuQ4J03s4bnjsLTX2x7v9CNWOxXFnRo3nysNkDzwkJEnWmrIVuvC791QeTlfsT9L le/8I1ZMkDmD16KrcMyWvbnufrk/7/Qj/I21fQpzeKCCdKFxyYJcaLyDF38d0HLcUQmqzc1RzLd5 7P/OPsKviTCyMqb2m05nbCgdL89O6urF5xX6Otiw7ldKdPWaybCADrBRsNWVHw/WAdGdfWBY3mNc L6Y+QQuLgzW23LSKS30oHc8MirsY+W0D4usavyHg8M49qq7fQDxC3HxYLmJlKLna3wB0txfxbNxg R9E+BjCL+fM798jb4JbQE8N8Aspiwzwl1bYwLO8hbg2Cow9LoX1TDEsW43ZKfqxVPJajNjxjuT5c Hbv3aB6+M4+YhWMWVIIwdPOTiKbDg851y06No1YaJicT9f3JiAhdfucdcbZYQlMgpqcrhwUw+UC8 rZ932hEb71/DAp08/ATqqKYRLuGnFL/6hzz81f30OS4yZJH/8cnyzjmqrhmOPvuMmyZLHzZxUJBH Jbfe5uczDjtEuetDHmHB/51xhOYOXKUuUSy8ap/FieeqBOPnnXBUnQ/1DAr8k4pvLO98I0wvhMTn yNidW4xs2fqDYoYE6lUo9043whcTm1FJj9bN0yHbtywFolnQoYsQd2S0axpBKuC3PAZK71yjKljO PosuqityoXk3z0+on/DVst22fI0JnGhGtN6z8Bb69fAhOI5GmM0pI500OKSIHT+NJkoKR1BzaKwS twBx13rvgDI46KrwO2QgtywlgWrnx/AdGdjPO8mINeIF3eYS18DHSeQQ6ICfd44R99qv8hPOL/Bp NShZdDtZ8K+ruYxQaTLdtYxrBtOe6t4EJLxHt06+AtRgxc23WvDs+yKy/ULPQ11Vck5YHiMB1o2Z l94zifkZ+A2wYC/Ua/gH2cSbl0HHtmP/551hxFD1/HuyjOMRyzu/iM1mGOIQ5YdxGWRoYlw2+T6s 5aJwxkbiO72outsWtosbN8+b7QNX3YNFQhh562QG3gGp9kdxn2Xtd2pRJEIHyaCuCuPmlh0pMIk/ 78wiRrcnkmayPX6RdGlM3qPbopLcOYxMWN92i1DsAYvbC85Tj1beaUUYk6UUqK24+VxpE2Z4FOM6 AyYQBLs3h/+Aq/40h87WeyZdkMN8mXttuU8tAWZe3Gst6H8nFeF3L19ThREV4KIalyy6nVLSxFii 2n+YBaAkR9cKeZu3KMm9c4o+mVBXuNIjXKlopXZUn1h7YllKTTPbqRCvw6LDqWhsnfy8U4oYc7AH v5tfVcJFgsj9tpf+884o4qH+dTAL0jhjXLLolltt1T5CnMIK8gODSKITwDvQ3pKWcL3KIkzcYYtu M3O+dqwVhCcT3r2VKJZF6B51dOVFoEYIOXrvhCKvT9u2Ip9DB21AqVEiuM2iqp93PlF1blCsI3T9 KxBQGpgsvh00G8QJoUCO/grU8KCcMruJOxD+73SiaGHbyhB8Y8wHtzEse4fQFBZSX2PKOJNtTzRf AHKJolz198z2F7bN4KJ01YZnl9XmokzLB98uWUj3fsZF9Mnq6fM7k4gTlO/RXUjphio4IrnJrQb6 wxHKZUVcqv1hQFrcPH1eG2LgBJYC7cMAF3a7+CowTvG3+EJSMJcVcek/fGXVsCPwt1O+qClvSdXP O42IbxcLSVJd+A2PT5h3GhG+EKvE4urmO8xlxRYCuoPg0il5Eslfv7OIqmsO2M9AvehS68MDXjCt L6wr2Hqy+eG4FoRzIJQB1hJV3Kr3fB8Y2TOjr7TlAvdLrb0pRXn7CfbPO4nou0kk8pktpojo3klE Aaxr5Qi6AXkNi43/ERx8qKHYULRhlPvOISJAiadz7y1ufkgP7DBFZQVokk1yKXlWI/YF8s+HBQQW vuefCpZHq8nJogMlUJYwLGdbP+8MIib33xkR7F+mV+beCUScLcgTWWNkR/GW6Yx6qjdJu2P6Of3O H+Im1QRC7nHzjuKZF6QEiCdNEJmHnEIFpOj0SX5EM6veM9l2bZEcuLH5VduLBUNNbn7r/Lzzh+pj ueUtIsIpPdR9Zw+xczYJVpDygh0XtbgITmehGyI4rTgP5J08FMkYgoIRN99dbI1eC46oJWpJlnhX hz0b+mdRBcfpQ0PvmRzTzAAAx9DV06LZuNPY0Lafd/IQC7kxWxjqIFQDyUIDk1Zyl0xgtqMVCuNa ohWonAk7YVJOuYzySm5VQDfi5t0QC52RVlBOra1NLcjC8v+lZOZ+0sXmr5kBtSGueghXOOEY1C0W YSgDZYufd+IQi+Pz0yJiCterFxbeeUNRohlgrkKMrY56jnsOAEs4CVfAjqNhScNd0RyODuvHX6rZ pBj2F3UsI9TJp6pVwH0Oyrl7uniZArxThphDYw0hKtLVjyIqgLD8eX7eGUPMcXyy8NgBomrFmKRg Bce/hF7SIwPZL/VnK3bF5bTNd74QDyJV5lqJm++4t4GCRM14m7fT+a6gCmFD749DheDr72ShKpXJ U6DgoqsGxQLW7auCz/4PTVYZQj87SxboUvBxSkUKzphSYrNhB12fMd6QiiWP5zzQZWGOoqu6eWXh Tsgty7EaTPHO0UMfCpNmRNAiqaR3khALC6hW0nzhhvlCP1hJ/Pntk3/eOULxB346z/er8zyyIJcT 2jZzx7Uc6boQbT+q1/zX9mrlSLG4TZUFzZi9HwAH5O4vhgJumKtv+Z1M9tegOSa2w6VaB98yOZrL YjbU/ep1uTanYrHT8WwSygU8WezxX+SdGpM0wMVPJ8FXCrq04bKyHRg6ZopLHi+Fn5KNSY2twm/e BykNZFUunwYZF4lvKfhGr6d8ilDH3zMB9nNUqGZ9HzXr3vZWh/Xq7ZK+c/0KWBpRQ76rzCy8nQRP 89jXrhK6+YOIHbJXycLCrjLfw1uEBFRVa8SH8eb5kB01u+EoQ6FyEgyFqYLIB8Wndh+RUGow4xuS biJOoIv9SVchWRAO8QyxHB/PZqjT/dlsgaw80TWbaRGXxJh1h3PkR1VEh1ZO0bAsndqTn5KkiXJZ HmqgPoIcDRYUtquC0wtflNlc9pBQH1k5PgEL19DM6rgCP1EDiFf+hQUNeYZiYxw8mxzMfX7WEMqQ GEsNSxre4ly5lCpHT6jQLgE9oUsvLEC3G5BVPJdnVsel3AQwTSduSoYOVFNBo5IV0JXS9QbUh5LV 5PD5bMGExTckcRzhpoPQ01E96ofY6lbhZV88mzDLovfM8BY/RcN+poFJyWUYGFKbiK/cbORT23uR lkiVR/5T4adk6ZAO3Trj5ofzgRZHJdDfxre70ha6iA19bhzqj0rJ1nsm82Ww70zw9o4VYWd9Y0aD MwPPZpQ7r8+xGkc9eC9DzYxfttjIWpzeSBPhn2bJ4UBhx41CO3x3AW+fP/Mv8e1/ThiEy7sAOQe0 z4LqiggQiG1RHECgGeXcVvSiWeMMEwZ4ULgjWdDBwN/CTbHubIDwbHIWra+ziDkjqpIamDzApZfp GZEner8MjjouhoTjyBdSyjITolTiYbxpXGzfhYrmxf7S7XCSy5+4WuDQkCrrnlo0qpsZIhf/b8yU 6VclRDYbaRdmxxLfLpkv+wn8xYFAjUnDkpZz8ci5zRGWG4qF7J2tw+mCwKXRdGLyU/5QbCkkiPst orkO5L+tkGnr6FwZdiwQHKnqscdjrLCosDuzci6avnZQMwFYTj2xHw5dSqXPG88m226A2gXWhsJF AJXne5QLDgSyrEGdI9oqsFeGQwhmEIsqSACPDQR0M4tyL3WfLtWvddO+e6EOtWjbjZrlmNIUoP0P LP6I9xe1ahW9ZjIsmFun0sCvhjvdbuvKU8F+TzybsRJXYObAgUDWd2K6ZIBcStq3W5yq2VZRWQEM MSkRd4iC+a6bgRUmJVAqc0zcODmFPO2nbFZbbEMXk6AQvVDRDYMlRnTQgN3GN2RQKBjCokWoq1YR gK3eFbl4NpkuJ2I6vj+QEi2mSxrrLtmSuNljI6oTBzY6gUqPOj5Xu0tWzKWSJXBfPW6BJrTFeKn8 PsaAxY2OaSy3QS/U/RmXqfd8313QTDplMIMeTwZtS1HV2FUGnv0Li5W7C9uvK6r/K4t2KWiwhGlZ zz9tVyGhXQAcjZQYrayYy+qEncYkzfAWYKhmqWEr9H6/Zzl0F4otQGHRWNbd+vDH4gv+RAKnLx2v OqVh/yeN6tHwbEJljdNIDVfbp45HdSsLdgnuOjjGOkvc7KvQjg7oGJW4O1tsk5+SjIqC3aZ0sT3p ImS2+4CnAppo80pUYm9GddTInw8hD+R8fENetNwHQHNdHXyKqiWrUGPh2aSWe30Z0SaAB+aKcUlB uVgAC1O6UmtDtqCwXSQFCvCWvoYf0isr5tKsxKZJU4rE5U+Wwx2joYvGXnofxFcVxiAQJ/hF28tb Igg/f1YW7C5GLdjO97OpDztX5BfQbAb9rKyWW79XEfjxq3nlf2WhLkTTLcW7/dH0Ka6jEFkkTOgc trCyUPcUIuYmCypK/n5BhoV0/FzIM6BVWAeVokDBYpLx+zGFQrHuZ6WYBUa4aCjoqopLvUNowrX5 7F92FiJyF+MQzxdXFuiSDe6mn0C1n+vx3KYQDPtFdCTnoGRx7hbRVxrlvHngMuC6hWoDlBQmpT4R 5+I8X0So9w/61N/zTzsLMBh2JVOO5YVJ/3WAVlrFw9lkqZ9KLthMcNHRuKRxLvblg5qhO+se9zza M2RILs4Zds9WFudSX6RSAtNv3g8BbqF1yqehoNWkp8b8ciAXq5+qy7p6z4xKhNWzWlwF54DGH9ut tvni2QzO8b21oA0T9e2VgnI3+QhdkNN6AFYQsJ24LzKJEL4TtrAyUC7aC9hS1o1bTBeL9MhehTUL 9dTIHYH0PBgGn0VEqPJKBRUY5UKoU1dRIIDyVTcEg5JGucGv4nFx6+8NPNTKSrm0J+bWQaRyXdxY QPC/V3mSRR7NvXxWFuTy8NKhyxuLG2Butmn7NwRZgeC+1QVkKciGHi+yAJ8rsAar+IYsaiFSuVS/ 6hiCiRe3zHMunv1bk4g5EYYk5koe5KJlUpYCN/SUroP9VaubCHKXE2ZWGuTu+pwuunmLqPQxewhR M1nkEqKUz3G2vLoh01/zT3yZXYZfvcY9WTmDOGDHs0kG3XuUXKQ5sZwvs7IIl8fHXSF3f1fzai7x XI2FqNsd97Pyai77rCTflAeNTw2BZp9I5CkkG+QqtjerGUC1r6fGTakifENWiMIsKef6VdVcy+y5 Y9pB2fFsVlfYX2dzZxThAe5Oy7mTaIVDO2425hbd5rq9fHPwaSfjF6okuT5YV8dsxs3rls3m+NoU EYBfwqW/+j00msEsnR9I4dZrZoki7Veo6zNGmACQiI9/KmPg2WRYRsDEmgp0n7rlziJc6qc0SCgR xNGgIcsy1IC4Pj3MOzC5AxHuTklnwlh27wG0GuW5gqMCslwoXgIFSIoiedqwFVIZSvrKiBDwDdki 2lRVGH7luBQwqpcC/4Vn/xf1J5jNR9VyZwFuodRAqyHPSCkyyjPO4OKNxjbr5Kf8qU9UqTWmm1bR POCDQSHHNgEIccjwiNhfIMfhuefQFhSE8AXZIsKxPHv3a9C3trfPNp/NRuULVNjb/zP2pUmSIztz /+ssZWOxL7r/wQR3B5g53yO6RzKRrWfDTFZkLFh8Ib0qhiWLcAH1gFzwDsrMdu1/C/ZFKhoQ5dRB tNNirqrcQ7zWsR/xNNujFhVB2T47GHQMi+1oUJ0bH09YraEUqwDTKlhw+FWEGUuCttQ8T8OzGdbf IzkBocYXYWZnIW7H7semIqXtKdhGiuKGURV0GsHPPdJk3FmIy74y5Edu3PwcAmhpwlENHpxeyrFz qFKh2DLQ/RxErGvgG5I1RG1cyk7wKsSPre+rxMi23J1FuCOKUFwxg1bpMVvyUi5AyvCsFVaB65BY Bfzmk90RBMAalwyrMNUjQq/db549D5tHB1g11OVWpSlrufT/kWz3+Lew3M5CXAiG7HuJKLyBKEQX immGzeyFZ/9Ss8R8QYgwAlC4M7jCYnCqujZOkxPSr52a/UwT5cBX+Cl/rEHV3ePmJcsNp5jG8sFd dxIZXY5oOhduYx+9PTYWdxbkFvLBsdh1VdxyhMEHSnbg2Swh8s1lccud9KbQsKS0sympf9fvnCLh XYS2JOYdxytoWFLdMCF9hvDcYz2UVsuU7plkQiAvqnINg9YKIFjrkQpGwZKfn1FmqD94pl/VOLWR ZesHsAg8mwxK+T6HumWIO+ZKhlcgS/swyiJegQUpoqDabiEvd12scmchLryAeACVuHlb0U5SWEOA MmM5xqRzCXhEpF/gj/iA/lmA2lmMC9javlSEuqEI1WFfIfGiWgee/Qu4pbBWhVcoHuXunHXWyK9y kOUuw/nPQOkLNjehoUmQ5c6VFbjXLi2lVUNwAgKThYhTwOZKkdvIBawJrSnOllAIvnrNP0UtpzS/ agm1IWJeswMJz2ZAji8yUUOcHL2zk8W4iKOh1eIi7a1PFXEtOGX9BQW6sn1nORlkwZm+Za64+fE8 LczfwGhOxnSzMU8ExbXqLH+CXGngnhSycFllKduvSp93Jc8HDrcdz2byJF8EaJxc0APzcUnLuIQc UkuGieJpPi6A6yh/XjX0Jk6KWZiq347n9oRbAz0SQNuXxYxDdsJsLZLQcs/TPMNPh29IygryTp7L r6q1NIdy9Hs7nk1WURvfZVzkHb7jnlQJd9GNoXaHWO4jFKqdymzSM/WfDrE8KWYhRE5dvIU/AsBQ 98L2fbBJ1Ac8zRj7Xwa53eOWHlq4Dd+QlaDQBlnqLI5gQtg/rzCCnX/jf4j9GWe3QP6clH5Gxzjy fdlTJOAO8dzqvbuazWadG+OSYnIXxwX5gt8+06VcWKgA+XOLCPOX1DxB+8pnXPw9k1Iu6JsHia2u DlUeMpXuFmPg2SxX/FL5ATQtCrkni3L3PV7TnnQpuu1Qb7zRW4Gbb0N/WqOSk8+EVHBuWTgD259q kRxgLhJOHkN2CGyJoIwJpFVsLhTzPxlgQWczkaO8Kra13JjltX5KxbPJIprj02od39KeWYxbOKVm lLfppie4wuJ2g0RxB/35pOIKwrPLXPA+5oKozdoEdrT/clFC28EHO0SWEtFmRsYYgCbhGxIYh0cs x6/KiWzzrWqhzYVnk7nS2ldDEYqYzfvPJ4txCWin1Z9cb0sXbR5Znfh5gCvEjpvGuH4SCYVcPkJZ kOs/wIjAGMMCFzlENCJekRZ+0C0InfgNybBACuouirILlYGKHHYuckPsK/FsguIo30qWkPgJWvjJ Ylxi36G96XrsFAlCjLvRpBgAtVPrlhnRSTG5IlcVJYzlMd6ZZPwD4or1A02OogOakHFKLj0ZNGoR +IbkgD6EK1Anq7pOVodSqPbMMy+efV9D55HiwMiQ9FYDxnFSXC4JcqprX57QMlEBqds1UNcMFufJ S7lN62fHzeeLHUBnACg3AV1iaZKoQpVnfqE54aAf5Dn4ggTDzXIlqnm6eqZYNmsvkGfCs5mScowL 7hbF0P6Mo5LWcuV327pT8kYPnay1xV/snU1Hbi65SO63es8IKhEahRXCL9hcoDhBaeGyANBtgBru T/uZggD4hox6RvApi1EnCi5QtNBC2G3h2fdhuU9SVKIOtXdoB2eB7mL4365X/tekBuqm6of8bu0Q Ca7izYq5yzlnKjDUjyucjfsuhVXLSSDREmvm0sn7l55TSqEPNBnwDcnAdJ7QbfrVP38f6QFAEfam dg+jfAJd6H8AJalheY9z3aFeexdr3Fyu9Brf3H+BzYcTJlfRzQUWNB4Saq9hSUaBnEqr3EnH9OtU RaxzqE719ViNs4qCb8gKLuTi4TDiVfH/JByaW+nGs8mu20oELhD6AWTZ49ybYnOxuaxZ3JZzUTiQ +O1BWLvFzH2HmOXNsbkS4xgrbqpxtwHEP1Ec9kUwfxDGDsK5wGntp3lGAOrNolxI4hx2EI/3EdlT tDh0K3S9eDaTP/rqKQIP3r0dcrMYt+g8WMH27ac6Z4ZqRMIqRFv+plgFkWWGVNrHo9IOFVsgGNBS hA3WdAs4tMlxIqDsEgc0Cws3FRCj1+T/geXuRQooKtzl4tkM9F+/dlwU5x4c1E1xuQgCRqm+5Vr6 7+MiFWeWXkZILNwUlruFJzwlbj4u3Q40WiSjH2JrcUjhE/UFFHTXI34E6XN+wZ9Cf2ib6KrCAkC/ UvQfHc/+RWSB0SilGAPacrMwlwIAV+qMwC2cUZwEjWyXBuOFhVpOlxSt4CiOeeLmKdHq8DmmW5VN TAal7MW2XzTn1qcKRaXpmxVyaTl0Lanyq5pENuRdWIVy8Gym3fKF96EbJqxoNCoZWKFMdhKHKyqf 6T6lYBNNBnbjVipTYFRSBTFpLEyhxOaNyQJrNnDD2TwDyHIQwy23FhxOaHgdSQlQhe9mldzG+HaP uApleadCRwuMDp7NKrklMNyIHn9XqNjfXGEBG+V0re1aefCxvk2xHkQt5QQM6qaF3CoqhGLdHkIC zY6hVZg0w5+q1q1YDkpQFRgOCSxgtjQ6YOIbMv1tqnvC3oxXL87NLWRL6QvPZupHHrVQCAHb6B0e 4960lovtqANnIVWby2gXzJkWOnwKNbi15DEuT+fuwhznU53rcHDnbFnVsqzOlLITEGW/wPiIQhHb clMbiAqlLEhQ6qpFdIrzwu1feDbTEQgfiBmnc/AUbyqSSyMIChrTCCJ0J+wHHCpk2l9WnKd4M8DC kWOXCyGdh6cI7zsgzTZRc5ATkSHToA/QtjjxIYbTBhXfkMRyC42QQ8DycZV8+MrwWGewhWf/IpZF zI3tEisQ3LWkMS62kEt9Y8nwQeBAgAXA3UiAxj4lTeWS6iso+t9izuxgzlA/+YA7o9Z847YCpRLy ZpcwuQGGmv6mCTGvDRjgQcgFWfQvW4sY+s6QFyoofPovoEJyUCH1hh8sRid3NqOcwBzsI1r8Asgw sZbQ5KadOBS03dms5KYQTUHujJtPmzLbsk+lj1dBict5aCjQVLl6ezlqswWAr8hoi+wr0o/ohh8R agyC/yzY0Jcs2qXiU/TR7KnfO5+Jk9tC8IDozqBxXZtF7b9g0MgFgBMnRefqsObY1QfOBU6RLacJ V0iLd4vtlxISRi/LNqGLTnsMDe2E+RVJYXdgq2mUFgi5b0saj4hpYHTz4SSMiQO7KlBG7hlDk0W9 ADBClVQHUgXBUAmSvbSQDAPms67fXjLd3CWZqCocQ/2EdxcOe1Ml7zGw3qg8zbYaA8tnTakTgK9I qphskWCl6yoCAKAFaqiVwYez1tH8nNmDUKTPtMkiX9o0w+gDzUTsPNSfID4H54b9ayIaif0mK/Au SQtcSf/f8zCvIHO6LnU/G0xRJEVHTgG2x94eKNAdx980gXWjOLGBt9BV4Qz06DRKUHEvKZChBeyF R6ydmZNw/hietNCLOtRY00Eeg+uCYAbEDgRKXQBouoYnC4Gn7zT9xs03HPujFzvyMIDFzkYuAKLH hhwEYVvUqIjywFdk2XUnQ7r5VW0BZpKMguvgw39hBDumHNe5nu04lWAgFYbcU2EaCIJfFNEunk4y FNHoZKGwZwZTsd+ssbAsRWiHFuDYc/CZWzgyvA2wPO1Rj9pr+5tmmn3U6WbFaoRnqyW9XRC5ffV+ GQP2yw0NP8uJhFJKiO+NOQxhyIxB1fYqdZKRB2pV9FvyocniYTK6XlydFtRiJwwXoGBuU2Sx6MtW MOS26g7VjgZhAr3pn7CHkAPANTZW9PNdD3bw4Uxy7KtJwKJtW8+iykJi+ZNiy1DB6lwheJFjD2E+ 7hw7xibTY+iCY/ax4+almdK7oGkWBVXLAouMI1DXaJTzfdgB7lBaUlldyoIiK9dV0d+mMXchOZUP J4uqB7aZR5ZF1i1MjErKVDuE2jV5H9fNjQO55WD1mqoMiEL8qEqLv9pmXYliP0h4lKM6Km4YGvg3 6qiq5Mc1d9H7dtLDV/xJw6MS6lBdIdDCOAsvWRRfjP5S+4hILznvkUmDbaixSX3SYD1cW3Ujzlql qcu6+JRmaG/y2pj6mOSoUi9yuwr+4whmB+6usjJCH8XyZPYT+uM3gqTb8ZnyYUmc0rCdonVd6N5T vDxmk9sWpJzS6uTDyTEenRSRuCwIfIxYUq80SCfYtA7VCtQjPcmUrzhyeMobaGgyrENR1HeFprqh mwTZBCh4ssUEeakpax8J8wPU9LglyBMs90ojAWlS/HG6SihUR5sqc3t1PpxExd/y3ZCdqP3xecrd 0tCpvTe8F0txSG+/213Y7Y8pznCsqV2aA8yaEM8tVGWh4QtUANExHR4kXHiHy5iOIzjAI58q/qYZ u6QwVyAqkcU6HlFsbRKmvvhwkmre/kFTgfZzHpuaxDENzeHF6tXwbXiU6dtw37I6GpckRg1NGhaL hbTKiFu03xpo4wTIQDkEnRX29iEIQJTmB9m7qr9ppuWHXQbIRF05beB1T0xbL63y4b+c3kJUcfE/ 0ybVIaM1LpznBwHykzghRDb02FEPrjr3pia2aaiMiKG1n1tgntcAQmDQ86nLjRrZFFBtIFScDyep Xn/TrMVPDVUKfLT5oKrOklfl9ffLupPfyru2mnZ/pk0mvQsMMVQ9axS0luo1bXLDwF4zbn32mjQk dn8jDc36DM3doCPRrxL8G6VRhxp2mLEg+D+gzeJv+ie+1uxx1bQZ+6i0a4ErH86aK999fma4Edgk /mluRn/EFZDhmnjmsFFcjn/oof9eUwM1ugWUu9TXXh+k/EBxE6IoExFIVad/E6IDmARogbERU4Cr phZqW/oerIJer4LCyIPIRuidXz78l42Yvy0L0+M5orKAGNVISmWxnGU/pXOVbI2hS8wu5Z7PikoN JiRe19dzi0zKNl+bd/TzLCzQMbI5W9OmPZRQSufoTZO6eUHQh8xUV6WXq13tNrvo4QwZ/jVtkLpU hMg+NmmNGG9ph3ZzHMSc13EQks1vFLaYMTZpkVguLVt51H7yqI4KDfrbKMpBKrsKE0CBMuLIHj0L +RGmTmpoWpzSaEjYooVrP8KUzNg+lQ9nucIMrCI6UBILiKFJIb8YCrCgvWNJ23fa2NQWRhPtcTv9 g5uapLtVwhmh9G1DAz93NJMxNMA9yk0NH0SMxbj/VhKqqZ2axKz/HdsMfJnkOix+58N/yRWWV0Mb QMQam9RQjfyr9dgeLSI7/1GyL2TEGJhamjapo9qRf0ANougzNnSBLtK1APJX4s04rCtn9nymDWYu vyHrWyKBQs93q5jKgGY1+YjNhmmTWKrh8B9f0wYpc3kqE6mrGnkS0OS2P/48SvEoFwBXQ/8wJuIq a6W2aktq+VO5wnxyBUu5IeA8aCCG+FgKMRDNqWDqtPUsKexzetNst4GIKMZWV35Bh44IzSxr23w4 w3PWrw4DCkblGZpUxQElSbReVfGbW8wtWC2xr3CBJqXh3NTHZLOGqMVO43HefNZsbA37ymfBInuy 3BZw0Q2QDMSbGppGPUx+RVaZuCwRD7/yCwaagdIqXoMPZxFx6ERy3mDh99BbqqnDWqHhGAt0rGjt 5YnDmOUDkBhhrpyigLejgHfclEgVtNQQC9sJvjZ8Fxj3oWxD2/P5VUVv8aZZ15tKpIyJqVzArXjb aSphzKr3y8gXj4gm+pjl95xnt8ltKICBIstTGImw/Nn8bYSRuN7IrInLGlT2uM1cWefeRxpxsJkJ uYaJ2vMmGB3S4DahsbrGeBq81KKqudEacUZzx1Vhn230UqsYe/PhZEXtL+q1drkeTuW51xq4LJfT krhXgsqIwBpLpa1ZMO8V96Vma0cQrCFR1REzviIwshwKQ2O/MMB/SjKxK8Ffo+0HghU7cRoSQzLm AmGuqxLwTZ1lhMRHD2dVm+jz2g02jk/9PHVbYxviUBGCbd7CTjddIrgB0bFwrhiZVMFscQO+Iqfc IKdAXgiCgp3c0YujXCgsSwYv4O0tXBe2sqjUbU0U4801tcOcDzUwZVH2J/Dhv8TD6vNa8v05u7Nw WNbRUbiqIohTCLCE2Y1MxrWaclNhxjVLDpfrcbi0VNNieOjuTYiZTALwC+VeKsszPUaGeSK/IRsZ at314VfOGHAMl+pTpfPhLL/8ioaBlXtKWanhGllvnQ0r+hY2RxpZlDZa4CVKj4FJHSm0zUzZQc3x SMdYJlkatKNnvwVOsXJcQ7kE+0Z5+AbKoFLHtUHUUadRR3d66mhAIEmTqmw+nO0y52v/RbUw6MY1 tVyjpuzdW0hX2EQ0r2S1qZ149nKio5B4rj3EnS7CcQ/CcQN2scofaZYDiUT2vgeKrtiLoUiMuhAb vNPfNAn3aKA7yJkc3ia1UbVvFo14LD6clT/XJ9wjz7U8Z1NqvLbx517mFJS/YPX3HzKNJJCCmG26 DmvNndfkXr/mc/OYxrKNDSVmulJMVn4Y9CAjAXn6qdaIGVhT7zX83XbGQc2YVyVQ4HVK6GFtPpys pvvtAmpBxQrWcU3d14gntndU0cr+hCvel+2UaJ+wC84iPzfgxH7N4unhYgY7bh4IH+wydOqzPwP6 o5xWiNAPE/4VJxMON31DJpS+SFKJq07t3UXgAbCUD2fgxu/SMLnPQZusuQkbNo9GPKIQEyivU+1h XdeoGmxUcEGlLmxE+ZS7JFuwzuPCAP+fCXA27Pos/RMegKUN8Pp3+WCz9vA3zVRHsdcsQkqeAuum PDBmjQ0dH84gjoESxl8AcugNpH3NvdgWu08+IhWniUs+rCWqE6h9JaZNGgdfUou7BGX6IyhjSVNB RD0FcqRfPAR80fxHb/8+HIQta/fEjM3WRKOI5D5+5YI6mNxHe83gw1lqGWAS7sMH2O1nr0njYOxJ tzrhGGYV1featqR0QIp17DW5hi83mbaem5/cEMO5jcEeSlltCWgz5Bj4C/9r18EjZJFfkXUvgdAa 9KoITrw9eu/H/bImpmxfivJYWbSFPaFYVRNbNqCHEJIy1yNcol/BJSyHr7LyZsThuWXqy8bCBmzM n5t3dutAeRi5JdyXsMIwNhd5GHjcBAT4vCnxphmnhzohrBDvsDNHIYjzxrbmyoez2nCLM6oAeA9R WB+ZLBBeLLLc5ZtNvZ4sAIK/tdmAlhMLKoNKhMOWa6k8GKR5LAiZUDOdth10NfKh/Abx7UGq9kMZ pGVqTa3ZoFZ/yuS6CvZKv7YPS3zKYgA+nIkdfAc2+KT6DE0q5ov3m8H9Ahpmq4xVREVoiMTDebjm 5mzHvZNO3DRpLKYpEAmkOduGqrqI2pScozFXf/pQ5fibJgsKCqQHbQddOWkAKBHhYqHEl7izQb7q WwHOZuoN/H1N3dm4CO3wkFQVGgrNlUjLGixjDeq5C++Y2rNtsZGL0MMl0MPweLN8ntz+ue3YY7XZ znBIa4KqjCPrqX5Of9MMVk1KJd2lli/YAd1H+TI3dHZTg7YH1DcVDy9qAAZYIvVo40GPgB7yXfgX cWoL6ckBVhbqtQD+DI1OXhtmo39/bhwdSBAhEG6DWNk9CDQpC1KWjSRJ0nCFI7nN3zSLiOXqV/3q YNzSq0RV7ubDSXCzxgcNSh2C9pxSqU0bQ9lWhzN9KpsXLGS1Mx0soSgZwU3i0wY/ScqsdbKgeHM8 vk2NQ/FWaGWsXrsbANrv36kvEPml1Ilq4tMGFX3KZFDM6vRAZhVle3AjOXz4v0jCTURdMTC5kwUq gURlECpB0QpBJcigo9j2jPZl6tR2llikSqjLAwiAumrvnSRlQKGvkFtsc4L01vtjpzTJaK+JVZsY O5tqoLo6U8GyeP5tthfw4eSIGl8RMXb/+WSYqVlb57pvrTgmP0T4Ldv3c2u2O2bMmVwaQkUGpQw1 Um8AGmEfSjifRT9or4v6Aqx/YzvBT6hx/T0zeA32GmxMunqn5UrcstluzIcz4PmXANqg1PnTTkj8 2sToBJ9Yigdo8foRtQcOPEIlVnO/tpobtkm3dY/n5rl3qQCsTdTM24CRo7YiEkxsT/nw2nVAJX5t xfFq6NDoGqhzL3jbEc6HMxmEL2MyALPxijEyqdAv2dSk7VByHlCYhbhmCDKBJdxqdBNyxzb1ul2R /z5yX7b8LSw/3GdGg2EBS5/8PYDOqOeRcFq+nNJomETlfeOquMamzZE+3O58+C9q/CzeVraFQuy3 prZt/VByfM+QiSjbMfmj75CJqM+0SXUiivsU9LhFOAyk4KYdr+0GR4CBTU1hxJttPVorfnyn3m2D TZYi3FoNP97dKjc2S+w7H37fhU9oiTdhJepHY70m9m0o0MnCYThWYtTpWIlLliGwEoVtKKRRiX9b jTRquzdXC6yEHR+QEyAe4EKbk1YymjgwcYNW8KPmVPxNcyEaOHJVv6rSB6+l+QTEqYdbC/TR5dAg ZX44YzV1ceOfQ8XjThbQYNUGg4O2FqIdwDeXFCNqYuPm8w/9pxq3aF+iDwsBPNtvrmWYGhzIZkMw 6Zf/z1u7dFmtiZUbqpLAD+DH1FV78YWo4y8RAZcPZ4Xz9WkoUIp7+SH18xrXtCpp3DWX+/KuuXza zHrUWiDFUUvqNappILux6io2XeufeBjl1QOXK0gBAOQkCwzloVjBwKu0j9bVa1DToHEPnAT6xefp Gg9IUbB4YzsyHn0Zk4byAnfh4dEeQ07+/+1XSMaEscgB5879Cm7RzrvRQfDZ0txs6TWgaUF0r0u4 jPWYOOxyimX0Xa1uSHXKY4c8IZxYiII1Jo6mfh0T28VmpU/B9qtzW04TTbeh9Pk6JkBHXI0Jcy4I y7LPjTF5jWWai3+tynWD3KALGEz2f6M6J8quXol4jWQwTyia3RqnAW+aJ/aHdghFw3+qQ8DVRflh HwsNwfIAYqFxhc9/nybQqjssFumqxXM6/XLBXB4/r2EMRlOAT+ZrGALKWG6NyWsU09wf3Lb0YP9T 5IGgxr5XNLdveH2/jgn6M1UT5MbN0+wBaNpmLonK1NBRTrQIfgzqQ38Lc77GMM1Re8S2HVKpGLqM BcQbv8ky75/XEKa5jRRH5ZdMTwDlNCav8UuDEAkiiduOd7W7G8K0S+4b63psr9MaMpknVCSslb5T ujluGiKW5Achv7bhX847ZG0MqqHrYSLgoPx5jV0aUGX9f9iEINBfYoPHhLVqMiRFXtZDIS8tA2CJ wkF5jVsapDMOFUXcR+gSp4NN9tDXAWrihJdxk32NWlqRDiwMxZ+bBsXCXAtsYaY0LbAR1Z0ZEv3q j7i5AojQVevnNWbBttelO9/8KnLGsQFSGjDvz2vEwlPEB0WhLuAFoOdiTF7jFezLnaYw59HknPqX BRGIqliKASZGCuvZmJCr0gZLzrwFwB6TA4QG+JMz5GW+TTpYd6FSbzB1vmR27qDi1p6rkmloZfE8 tSTp5zVQaU+gwq7bL4nSYFdySF6jFOyxzGOiWVJFpXY1kR77yXYhhNcYBXssO0ata0j6MyR2EG8h sIl9mJfCNCxBXGJC/k1UeY1POAvBaB9UcBohOI9WhJvBnPrzGp3gzWb7bCbgYQw/c16rdVg2XYKT 21vXfTlWcaFTyPGYEuaHzleyv1KixX48elS0Gt5+ra1Z5qKpN8TPbJNhhRdbT2s0LZ1PHZOO1z+v pbomNXs7K/b0q1omlkmrMGCz+Oe1UNecV4RBuEq0f2k0xUF5LdJhXqF1Qy1VJ/oX9ZRGLd55m4QS ieifBic8iOFc4zcNyq2g6izIHi9Ym5/uIqbA3SJpXA9DkDDJn9cCXRMx5ZCEpKvaARCbonxgX3g0 2UvWV8AGvOjdfg6/M5l4hqJERmFZSYdzVyESZ8kCZdIKSklzFsW6T9uaM25eTZiW1cICHiAzW5Uk IRRUem37r809LISgH6JqZ4uH5dxxm185KuCTy2nZErWfdxoT13X9Ooo7Sht+FL+zmDD1gf9hukxz nEbQHp090CCdhH7M6rLH7ySm5m6H+LNb3HwB2SJEH0puh7ZXkY9y2bwEved8ClCzC+qbTZYmNYju V+2ycA3iIoC+1zuJCaGs4A2qb7CDimKCxiWLZQtirS7iGyExrca+sryWO3aoNr1TmJq3WSrZ+X7z AH/Bm3CR92ZZTW/CElXEb6jhQN7CvZTE7sqi2UmKI7YhXf08tpRKu8UEXDOLUgSIwVeySQ0fhunH zzuBCfsttsqjMGXQblb04762W31TPyca+MmGqxJ3o1eSbn4mIxsckAmf2HEB3iQqhHkgNRWflpo0 vt7pSwgP4f5e8VvpGoXcI8kZi8l+3tlL2F3iWOa4yPI+5st7TIt6Uef2Egq/ndU51p2ZBQ0Q89uK mlw2LtT06Gxv6+aBPnUI15CsYq3qbNqRZL87/MlLVP4nQ9p34lJsLmewYjniGIK+vNg5m1XcZFSE vIUnzy8U8pakkTQqWVBLDCGhY7QkHkv6rNjG7Ocb1J5BEYpKtu+0peb6E3D0PnHzhghkIFenxewE tYupY0XCj/5rfyS+pigWWVBbCpAzKGvqyrlCXwzJE43+805ZwnqIvYUI4E4Gkp/O75Ql7i3/6ku3 6vCO9uREvT6h/jtjqQWYoVLfSTfvhjRo5hWphiy4fMjVgSh+CHrNp55Crcl3vhL+NCweyu+dR4QP nyhnJdv97s87Xak5KIHDIuIJ6GvdM6B3ulJzpZnVlzogFnY3FSp77U3/26BJscYlC24l8tUo1Keb F5oscCul8Yi+4wyXi2bLBKQxHtGOQxTX+D2+RXFS+tjXr8J4zO0inGfun3eu0nc0J2ISkCj92Vze g1w7RticJXJGapMMaJEHtTbdm6CxbotNN4typaf4v8uIZbyzqMBj06gPcVP4a5CEXR9ixRQy6D3K RViGiggA9bp6REeRc9IA6887Uwmxi4B2Q7RIFG/RPOOwZGEudb83Oa4k7gMMXtWLHk3pIYpwFsVM fsqfinCNYpK6iQ5iOSBqbg3MP+rwiIBNeBUJqaiheouIonDvLCWvAR3KL+rqQOc2juNezs87ScmD 5M/+AoQ/XNhUhstCXYRSUFRyOG/rN/rQkDFQH9rxQvyUZFxQlbIzZpe4eZLIIBGwBTujIXcqbdcp 03GqxT7x/z16z/fTCL8SqBndr6pO2k4lMwpgVt8ZSnw7P6OJi7eR3VGczCJdLBBQOQN6yFYC7TF3 Eb8CNENvDL2zkzC2qhIwm9DNEwCgyTc46BOGh21JBaw34rWhrtEe0R0U0n/eyUlN9gLg3hS/qvVh w84FBb2On3duUmTDHBWsImarkSu+c5NYl6F53RYjCapwXVXb2+BvysSIbAec0e/UJOYRLMeBUOM3 HxdYk9BqbqIe13aRtGIlr8yS4vaUKBf1ZN6ZSYxdMCKoPOjK2bKBKWIv0fatn3diUpM7iDYXjCEn qG8u76wkJA3sdG6h3lHq90Z031Ou1ZAHoCqI7bnvpCSGFkyiVYTkzQ+jg9oBtp6Jsl5n67dKuKdA iejTDWJs9vPOScJ0YcMDxSNdvcXap//g+/y8U5K4MZ3P5kIVPITiGpcs0CWrz5WyN2ExOqTRnHO5 nUGsHsclq94KOEbPC7897dUGBhH15leX5HEFYRRV2N+PMwEFJ9/pSE2q6gfQB79yDUGhUZQb23h+ 3tlIGJSIc8UZgm3R9gP6nYyEdQeyCB0slS0KxMtsMShsiypY7Hxk1VtvB13NhdujRYaiiJ3M0Pid a0EDXV6Rl1FBRcXvY7179J7v44JdCRX+41cd0CRnKCsaP+9MJE7lmCwEjNlPBmU1jUsW6W6pDjXV 5OAxW5QtnuLZ4iyQ9NRkeY903RvcIpZ24ubxPxSb11Wpfx8KbtM3FH4zUHT4ygDiPZPyHEXiEWDp qqJLAzefEV25P+9MpPbI2TKNBjqG9RQPdd+pSHhoiJlVAtB8XHPI9jPp2c4KVJwOo/dQFxLKLG1f tkJ4U+hiU95iC5CabNNF9qMZdYRNtvn/lRldvWY2LqBOUOz3lOjzT8TjhCgMjMt7pIsu9dfmwtQM YH8NS1bNpRNMfzaXIZ9ZsO2OG1D1XQMC/85DYqtZmy71W3nTsCCrOoDwI6KzeyUSlSRzaOm3jx0M 052fdx5Sk3XyAdDSr0oAxiiyt18Ylvc4F8UFP6Jle0EemqfR7zQknkU03Q2GbFs33AnYjJIEZzTM 3llIES7DV7bHLc4ii/oLq/5jo2qs7gAV8hBR709eRBjzOwepSRblsBV3noZcr2ecyPjWzzsFiSlO //3qIiJoic5qFuZSHHyXGenivMPPH2yQTBdXCYnJd/7RU7pk08NvHv4PqEiQbj5hzkS5voop+QsC 7foQSZq/ZdJv5tZSWIgqUYhqCIQ4V6aFLe/ko+ZMw2dQ7EcH1UmDkkW5rF1d7tWS/CCskr1xRniX tFivuLxTj/jFjFdIstRNgwI/YpuH5H7uCSxtVVxDovkvmy0fO6V33lELlWzAxXX1GjeEEJgn6tWS 9fNdcAG1C/V0jUkW4bL1h/anmGprhYsSMaNAedvSVUORn5LsKiSWNxnAt8cA3v7uc3DETZLvUX1i hoD+CgDA7WP/jlX78044YrMTEwXfoqsHcq2xFmtn/Px55xs1EdOfCjcgxmjPaFCy+HbSTqm61D6O VR3ISLsCmDBCUf2dbPRJnqWCwpufQDAj7Wjio5BraecWi5iYU4QvJMO6TfPSe/6pmYjtwa5YKVTm ghQPcy9Ahn7eyUbfRYWYLGCXa1yy+Ja1lkG7rH9oqnp9XA5dxEVwHM6FfWcaEQHA7WSro7ifjuK1 TMp+aPodWjTUGbJUQLt/Uc/7yO+zuv3OMmIuhKVzKbB5H/s6O+mUIzbMlSy+Xf8aE5usWL0ak/fw 1pW5Dn9D9s7qaY5NaFTN6b/0zPIFlJVxZYrZhvaWEUX/DgLn4Zk2UZkr0piE0yrh7QidnybR2XrP pBtyiE6YcVXRP+D/llO1n3d+EX73cj/1p1ZZ+/Lm2TvBiIUWROAiuVb6EyyvyyHT68InHBfyfecX fRqtVYin+iCe1h6gkU/kiDbzy3XbXVBlIOZ7+8NKm2QHv9OLogBVsHPqqu4z1NS4tdiO/vPOLgo8 FqfLVcJNQqUPTBbeDv6EJwjllBkXSgFUAG0uuzlv+p1dxKOZO6kQ3JX4hl+A6i22R8HC3oJt+SNP t4MOPD1PqpwJ1BAhX++dXYTsmVLzgOzpWnT+HAEIwSr4eScXcVRvlKDQVgSUJkpz7+Qits9Q8O3h SNxORHLrBvRpSlIIA5NWcgkYbFWt+frVmocqfGVpDkJoXJ2Adhf65UKa6CnN1a73/FOx5XAlncfw 0CJl5hjdUtKfd2ZR1Dw4LgTusjMG9wyNTBbjwvSglT2l2QbVlqLi/2Xh9R+qPV4vWr7zivDe7Jw1 9Q15e7gz8GkoFP+zUGjIBpBIGSDfAWSPtdT8PZOuSFU8F1d1XLE4eaJYXvXzzirC20WqyD2GR+Lx gO6dVIQ9Bs+cSwe/SoRYoYZHB8r1d7CxCKgy1bDfOUVRLq2Vqpu6OZxt92s5BMOoe+alPmWh0QqN f0CGe4q5Ve/5Pi5dHh+gQVxYBLi/36JAKjWKft4pRViFgVtA7IXwBbAYDUuKW1iU/RjihCD0sHQB 8m2s8GJYbO1udyV4ZxQ15wfWVhXa1UczCPKig9LPUCeDXXhXxQUYPVg21GdYQGfhN2QLCaliITqs RBhgmdByo5y5ft75RDyp40jiUY1jese4pBhcmtWjbaMqNymgNOClQ6ekPCIpeqcTsY58VXbbcQs6 USMKt1NLyXZkN8rhO+Iv7Y/HB0tgP+98IiJO2RNp16+KYCy72koB1vl5pxN9V6KkwIU91w+kdzIR g+tGl8yq9vzehNxWJ3WS73qhQahh+Uu0S6EP3byDZp+HXR3D0jAy0iW4GMWBLIeiOM4m8vdMTmom AXCO11WZkf30Q5aqFuy+c4lYU/qOYK7mgY9LWs0lsb45jL/SKdRFPEh7hYiHVIMsJ3tnEn3Sxdlv 3KIpghIaiaH0V6OYBnR32u+l8dR+EkYqb73ziAja3hSWWn7lMW1ReOG/oXPx804jao/ulkCWdK3B VqVhyeq5OIxshkIaAZ3FwRcnpx5GTpPdETk0YFjSgJeikC1q3WHQAC1qS9g6vWZRthvXp1UH5vr3 ISsy7/555w9xUA5QPwBG8aqE8dBqj0Sk8/NOHwpg0sN4gOzms7OkYFzKWZTTImFcNditBBWiDi3/ 3clP+WO5RbUo3nzHtd0VogDya1toCHLroQoHcoCnBV39Ld/3WxAhbUdB4MKrZ9F3K4i3Rf7zzhvi nvSFtJRBdBSh3llDDCBJpO9uBL+q48SgoSQLrtF22DG8k4Y+KYAE2toj0GYDWw/aiZv66PAnV5Ma HgQ2OUfELBJOeicMeW4DjOXwq/Ii/B8Oip1xP+98IQ5K1KC6Ji9FQ3xUsjCX/9mtbrtVzznqtfYt dAdQYnfFqKSw3K71w1OIN+9A23CfUmiPCSHRKZRdJxIGEmQiPoCeuPWWydnMhAgSJLp6ac5+dQVj p+PZJJSbkUVLGf0X1R0NShrhotxmR4Mszm1qTxcxGbB96PQZAJBZZ1BWxSVg01afav4lav4W092F QaIjzl6T3kQQm6IrmZ1B5VOIOl3vmWD8C6BzqNLo6lDLvbrzEvls0oCu6zvCHbIKIu8hRyvQG+bR tq7TVdnQgNG+0tjowL4y3wNcV+qyCUfNeN68jGszzqImIVDx/xNfRGhHW7uNrHntLJTGnFmAix0J HJnpV6WKdqDoFLFjD88mQP/7nEEM+igbrWFJC7kI5IYGA0c52egYlq0tBrELpfc4LBlcYQns0+5z 80LusBxyeisECJHlaquUNMUx+4lYuIhmVstFpezQlkBXDguIksRGw8YAz2Zly39hfhrorz4saS0X C+PKIRRpItXoUGgp1bGXdpYMp7DOrJbrp1ChLhtvWkSn4fycjG8LzmlRpLFgGirEYz1teTrw4Buy kouwLdOvQnHgaCN7yAJGPJvwzE6kiRwX/BagNfnI5EwzgA9ReCLWcl9XZgNIbJDTOi71r0ggykLc B8Ld4xZoKGgJky2Dem6lW0LBosHI2Km9x79Fm2cW4nYGt3Rx51U4Djv7WX6xfazh2WTXLV8NEejD z2BVZVyzReg1u4eFiWKh/Xsnh/iI4NvhODU5Yf4S4VJHVDcfFgvCBsn2to4sIjoSu2btcgPyUx8d AYD2+KJJ84wgMYRiRy6xjPwtYGHYaPtww7PJsKw4jNgXApanYiJoaNIgdxPi3iJVHK05IIoyqKgx TKTAWkop5WytCNT85rE/xBIpc8femUXTFCcCSB1AqV9A6MJmi+Z18z3OLRQaPKT+6+rdotOFKmyb b5cspf0NtwQlYkcHYGZVXTTZgKIVmLAeOcwi4ZegFhbSpcT35Kf8sXhJip1u3i46qNB1SCNZ+gwn AKbQyNfAYvjd49HTWhTdnVlVt5NAcQlauAFaYIAnP4G18Wyy867yRSqyM/AGZGG+R7oe/x9a1cu6 hPkPjiHsvIvy3iCgDg5LBlkgNAjAuRm3YETYMW1HqbDc6AlIGR1b+OAm8xCtVtFr5ufRBgbar0It j7McJrYPns0yaJ8trC+SCTiblxZmBs4lTrKX66IKTXRF++sXKQpoSE9qoiHWnRlmwUsLV30jV4tD uA0IVC9U0oJ0PshEiF8kDL3pkhGNNCC58Q1J/ELQQiP2pz3YnzVKNEcunv0bfg6vX6+sbjgsabSL sL6R8vKPuAItcGJLlMXeJRqA3SWr5x5Hrmh3Oc/uAgQajPzABrfz/spDgCKWaNn9Ykd8hmXqPZOE kfyzW5pfvZ5bFcu0VQae/ct8EWgBGSMETMVpzeJdWkbuttwZc9OhhrAFCrpDQcuxYiC1ZgVdHdC9 7BE3317KPvtcytpAVrmz5AK1G2lnjcdQCwEmXzMr0JFqVaZfhXE5bv1oJ17Ds0m4u/7Vo7dt6vh0 WVm4O7HpMk+U6hpbjlRd27BUpu35oh3H5Kdko8KMsQhWWOZXK82m/2Y99+4xmL1BbAz2VGAy9kf8 kmUafEPG9kWZG/uWropekJxPVqLGwrMZdOHBLCPQuVQ283FJ0bmIdxfVaKi9oSYsfBgHx2rBOWD7 MlpZPVdSYU0CEk0iKpSRgGXtcsUW1CuPYM3kW4EJtJ+uCH5BfEECLNyIW0arflXOWB3I0G6veDbj inwBxSizFnvuSlloNM8rN0R+Sh+uqtA8j+xVWrtYQ1msS4YDuCVbN0bmpEE3y7cg6o9U2uYGNeIb gkj36vQSN/DRPytFLjAFuBS9vCeM17rTIzEnf1Zay+3jMyYARtcnbllZqIv93wafit2AuhM8jkE5 9Lhgz4halhyULNLdU116/c1jBcMVxoVj0HXNMgCbyoxvCkGZa1CR+wNC7XrPZAlNMoo6UyO8M2WY QaBhPxkltJ+VVnMfYhHeH2JdAUJdWZwLSZ8KMrsoroesdiZGgI53glABGGYHbWVx7hHWsnxuD/Af EFQa/VxaQbCFhU22guCB0zLqLuvqPZM1dAltJ3R5nRCwmZtyQOBDbzybtYq+6i7sxAQAaGVhLh2v jvS7tXN5S2RR8p/gBaj8S1whw+Z2r7twx+2fHXesYyunUYl5HYioSf4HlEFsV1hEX76OK1VXgLM9 zypdxZ2xyV20nDAoaZAbUEtuizaKVP/koKTABW4JLMCiRlexY0oydnWx6TvFD7TdZuVc0tOB4HY8 N38UrCFIqyG6hb4CyAWYJLJOAHn8l8A8zRXaU+Eb/hS1AAGpa5AhWGiF497Fs38hz4slDvUnr7qs LMZFJlJhcaRh6Y14joMFSOWFCR/a5ZYSK49xlRI5h/5R7bbkZVcgliX66UocaDX+0sqQ3Hl1RIgr XGmICxm0srmz7B4dEdukGNfZF3Q8m+TQPZqKofwZ0j4rhywANMyDHNusXMrRSix01CZkYYSP98oL umqKscLAm6+ggVMD82BaMoRtRjHL5f68fw8hqAK59Kb3zJqKnCxn+lUbS3ejtW6P49mstNA+MUsT bTskStKK7uQ2uxWf4F+UaKEzwBUGFWB0qGTNn50KhqkpLzeJ9rhJ9AItCihRI/TvULXUdKJJObp7 84Ms3HrNJFMcDOKo9blc63P0QUICcoAx8GwyLON7vwXiICqXO4twSSRv4AtTp7sBSTz+QWmvA7+I nbcjzmP+vNOCrpAtivvbJ+6/qDkdiBuAC9FZiqV7dZMXnwpRUlzGFMM3ZIQiBCyHtN8TtN9iJ5xY edUi3J1GuDFbBofFdrSIWnYqtIA+c1fFiY4ARJ/CEeCStgi9xrM98N85/axGiOY3ByzYemoVxBzg LS1PYgTM/LcONpUfeAvqQfiCDPKP0LawkfZwimmjzXBsbj6bKWR9VS17my4mzGHJIlyaOe3jVliW +S4Ht+825EZC+RgdRDut5i7V/f8vh9PCFXr4oGxpk+RehsDII39tk/0dH5dYLaEUrgDdsIbsQlcV W8pYOp1tyPFsJrpX/t0qqghYNSpZiNspJYkWJtfQnQRcIqVd6L0QrsC8hZMllQ6b6rfPETcP5dBv JQAT7hF2xnGyFAQxsCP5nfs5iKSwvFP+WYHZCOTGdBX/zBIiFh0HekU7i3BHMCHkwbG4Onxc8lLu RLQZ1nuDogA4n++yYHf+Q2AATNM5LhlewZnzU6CoGQ7m4LJuROWUduvPuHDjJUWBzsJfMnM7C3EB DDvw2vSrlywb9awoLoBnsxrUNxliQMnNO647gywQJwltHoehhrgy9t5HLGs66mdnlVwvPil5VkSo xb8hugkKD2IXgH5cUID1QJRDP+p7bC3uLMhVaxHq27oqtLVUnwkR9DnwbJIQxZbLYdmTZhUalpR8 BkTmPFU7CngJVXDuioIcK1CQAvFhSUXEtNe20uLmiWJDTxQINBsWm/MUzZJQI4Rd1iMejHyVn5/A Wy7SZwFRWfNlEmR7GKsCwLPi2f/AmofKO/oAGpQMsoB4UV650poDeER8X4kjQWNhcVAmPyXZWkQ9 Ew26PTRo6EuC87goUW5/ThevFXsDF1YrH+g/C1A7i3FHIVxhTL9qWNbSD9DsM/HsXwAuHBau3OIY 951yz6hsTLOnf6jwxW4IcMqly45lTOqR8iBKRRYY0rfRnptvLReRxSFPBNUwuX6isYiS8eFsCcXg q9f8Q9RS+42rlpBtV1LsWfvi2SyY+wbOgdUXUiUnC3GBOqxSXJLK9JIhrAVzy3tnDSbyXEInAy2s Knko6dqfDxjKTrPe4KwyMV3OkJNu4y7PBu0T40oT96RVXIrikq9Yopk7oHLMzQFq+Xg2YZ89vTP8 36vmqY9LWsadlPZcSgphWOnjYhNIER5QC259ddIgVyoC96y4RUq0LGts28dl1S2/ykJ0LLC55+md 4afDN2TVbapuA23Jq8NPuzYa7Fl49i+bC4Nc2xLAqdWwpKhcdGou1IIFs7zNay2XrnRU+Uc1ktHc SVEL6hG5WmER0AiLaECwUtGcRRnU0mATmpJL3eOWHtq4Dd+QKdsgygX/VldFcfZf6iyxAA3PJlHu HF+riOyywP6clIQmzAILcvyXyy3Y5K6x6UKRhZvLyWG5OoIk9NnGw8yz0I30H4L+4dTHceF5Lnhf +YxL13tmpVxYeKIspKumS7lrKoOuF8/+h90F6LTr5/N5j3Lb/2NNtQG8O10zWIrSwF0UZy7ihTUq OQVN08ShLvtZRHAqWnTLAL1oCVNYBqWI0PcYH5UFyvufDLIwiZwbPKcfqcLentpcqXg2q+PGCU3T V9tvgztzsiCXyLde3LTTfsHwjttzeqZoOaMzFs9fRBb+py8/ryjhALgAogxneEhxQDMA9MpB5xl5 ZQCdhG/ISPM4m5FH6aqkqNkGSMi/zRk8m0F/9jMshfv8juLcSYG5LLesqtANVUeXzbIoTDCpWR5h m5NGuew8V/lk1I9PxhqzgyIzubkUgvKoRV7oYw3c/4MUa03vmYzL5LgQL3YpJMOkaHRW6uwdB57N mNDf9RZgh0bsLVmUSwM81p6k0H7ZQ7skzgxWXmYF1UqrKC3lHsmrtRs3j3J7XSihVVahbAuTfyVz RWokUq1QOTRKQviGTKsEZahK/05517LRWqbIDGdePPu+ik559lzAJMnvD8HclIKGakHfNOMBAIg9 PzBnNh0QqLFQhtdyT17LZYlbxN/6EH/bgoUnULmA/ZTVhsaFUvBg9txntgDowi9IBByprjCZKs4n VWzuTAfpHDyb9J9D2RLDQv59HNBZlIvk+EvuszEOoAMu4GqizdC+lXtLLiXGQWnjuXkV6sC4Askv JHMP4EPA/BTotKAguz/tZ/55+IY/8BWrkD/dQa4De7pgO7stPJsc0EH8XVGG2qH2c1OwQiWU7HoZ al2Pc/ugJDrKUFPtIigJ5+wz/vpXGlG3xWQpNvmgM1uJESu1ufkgck4qTI4SGTSsyfieCfMXFgf3 Et/1COfY/iTECOVhb+r+EAUXnaQSt9SwvIe5qF1xo0WeQSwUO1M0H79bBMaJQoDW0M1VFo7i2xa3 4EJs2zOAJLH9ac/qqrmsZsDbra/He/xWf8+k3gIL6QM6kq4K/5fbsts+uvFschTV8hkWtuGWr6Kb hrmUxeMJgVW0YfPHMLcB4gfNLAuaIvq/eZjL+hNtY3Tzwn+Bc2yRlFgB6lRHFUBAmMj76Z0RgHqz IBfY79OAhtFVg2JzT42eZqnizYUW9mdQQMIP5tnNQtwyCWr3DnwlIMcF2z8GEN278jeFKogZ0sUo 6vVTyD3EyjTKq91BTahaYMFAKjTq6nE6s65wsxAXzcoDE3C/qqO491Q8NsvFsxnq/ztTRDAXKKib 4nKpdt+Xb7iD7A4Mix2l22UWRnNLuJvDcgU+bSNuvoIse0YMM6XdSK0IluZQ7rK1uh4BJJZo8AU5 fRMSC8evCmwBxnCJwo5nM7hPFCy/NFBjCeVKYmTOOLfKopahBnQXcpw4qNNiuqRgBVW4h8BfLFYr yC2227IPgFjONmBnWQEd1nkWRBGKstM3VcwFn5WSD7qqR9Rd9LNbpoVnM72sr1COvqOPXNZNFXPH dnRYldsOi3OYoJOVbgh77IC23FRHTGSIvp6bZ0QWVKArRrESC35IgrRFBPoRmIdQQYpOK8X4blbI RYUGqPbiV0GV6ynNR+jg2b9lRIjl1ofre9MIl2CFs1yLm+Bz6QgTUS0d4eYoqJuDFe5rR5F/waIJ ET0QzlRHEYOIrVIiC5gtjZaY+IYklKtoywPVpasWkW1N3DQBQcOzWRFqRdCCOuT8vSFRfrMA95IC 3fd05gx4vXKbZIVNlhDdLSFuHuCqmiDtaYnwcFgGiUSN2mrN/nad3jQgREA3PsJQRLbclH22SbMa x6+aIbaFLxX9D5/NeBAxV+SNZ6fzDYOZm8vlgjDTpvOISkjaD+lG0hVCDTVMlwyuQHlEsFlL3HwR 2fYK5S16Edmq7JIaqEyju1vMaM+lZwm+IalwA7/R6AvRHl+IYlsuc2nbsQ+ezfJEHxhySeAvEyFu LSlcgc4QneU3avER9LSoxddogYCN0gHcrDX+KfinZpJuXvpfp3fmQVBvROuXEgvghIN39QsOfQAK z/Q3TZh5wDhc0KZxAOHo+5VdWCVfao66+fRfMIWsuGC+7ihF1ZLriXEcKypQl3aKh4PTQGGSu/hA pCifs/IXp7PjmuWP9jTERYCRQWuRIA5pT49GPDU60Q+8fbMBgK9IapcyEpnXr54adcpugbk1+HCS A8z6OZSGrcYbJlZyOXp95lL/NPCWnT6FdJqE95Y7TYYSKtdBktKptCBLkfNYitjeAnm/RWO8au80 WZDq1KCwWA4FOx8aouz5FVmbvlJ6uvtVsZ2t0CVhuaH3S6CFoS3GFm1lOy6GJgt6Ces86wqAik7p Vn40R9cZZesDlELNmtz3TOZekqG4HwLwgB43Ws9wW4FCB0uY0kVlk+RZUuoD4Csyvnj9X3JRsSmp prJNRT6cbMNhCIezdVA6ZYaWe8kiXwpOcBE3RHhXHori6WEdTaKjWmw3WXl3CR61dWzv59gu0ORG qwSFqYJUgLLlQIuR+NvbAwRiQZJfkXUDCiBVFuvpqhUF82mGbhdy7iWFMbSv0hRrIR1bng9OWuNl /Yy9WAkvlOZABgDAAB2D998WVhcf80ebHnBm/eZIQ6hELjSE7QzfZQOiBCADEIX4KsC6ojwlD+2S QhmYL02urACnwXya6Qsspwcfzhxp7mdwiCmfwQSoJa/zYodYYXWF7N3RDDq/CXqvO/abLAreIkd0 zZ/+aN7YLjxsY76LAG/beYrEozBRwR1COzKoI2v7myahzWTtuxS/amzO6SKv7qv3y0rgX8lkQ0Nq PkOTRcINkTCL/8ya5q1SkO1CmUJvoJ3QG6PaTxKoOmdvxS3AzGtQN4qh8LhLBuMUS2IPd4dmR0OG pzfNQIdoyAKZjGtVEbw1F3uZ9gQfzoBkEfZxB5+sVIZjT3mPh9FOIrKhXu8PFHYK0B9YDuO1BGg8 g5OpMXTnvvYdN0ceXoTEkPG0XNumvyZW2figRj3fhxjgZqUlwzYcuv6iDK2rQw/ncUWTsflwsqai z8YKDdzjnt0mrfoiHNnUWqSBBIkW/9CYuqlVO9ZuK46pPzulVWma1C9NEwvvziAmc5UO4kF9Wiet OQ7m21APX/EHcdACPwVdH24ARwnCbHw4k9f6yiwbxTJCdqymfmnE0rYwKYU+qCvVQb1Kuw0lgquM wVKIgyuWOKvk8QXYkCBoUxoeUAnSVk3rKJqOnIeopjyqJo5poCAgkUKArauiGzAOuNvYB/DhLJMa H7RdhePgid0m9UwDURpO6MMTzF2LSzN0lvnIiV07hiZDObg80LrPTXXOjgZjR4BOp9vKiY1+v4Vd GPDH6HbJGyz3TCPIYVPEbz9aOOUo77YIp/PhJCKO81v6JiRPx1aTuKapiAhNBu3D9l9UF2IupSjt nL2G8WBNbdOOC74LWlYeaNmCPfnGCQvz9T6oml7JOq60HcHxHalU8TfNxgYHNyWUWOPhPoy2W1Uz si8+/BfzEQGGbJQfr5rEOM09N48kMTeFGq7vwuSH2CDNMseMoclC4i389xDeeTwOYRbWn90gf4j1 ZLG1Kp4UPZ7EZ34wvav6m2YCDdhl5P4U/C/LojplnmwqtMqHs9P7X0MjL+8Ym1SFDHE0N4NBaPxm rIe9BoIGpDsOeTPCJixxTyuqLAIf89x8r0Ftv6IAAX1ZaM1Sno1SItjez/lQ+ur1N80kzi934OVX x1MdFtxw/uv9krbk+datsxgJ/DMfmkx6d+oELSEDM1xTqbFeyb1maZCmPubPKudrxM2nzeoQaWQ1 a4Ey2FwxtIo2MM7+wDWLv2myohgQg7erq6aNhQQSn6uz8eFM0u+bMQxlj8eAPbVR2+gTnU3zNIKH CGgFYJCa/MQ98ETl6Z36qAnHWoWx482HZsOjGu9iJzoosdQ6vOxlAjaJoCQ2Yspv1dRJbctegn6n YaQKBeuiWWMxFB/ONuL51Z5kN2mEw1xqpUbs162saS2vaTHJPOhxdzYoVx2xojK1hqiYaz/uI6ZN q3bU0SloMvTTkitF2z/StYj5KBapN8062qhpdRKq+6NidwmRIxRBD/9FxqIJTEIuTtjMJXZq1UaU lm/eqa004SUC4hC+IQ7keLabvEIsuLzzlJ5ECp2FuYBJgrzfnlsYCVIcUKndj53ali9h6qfGBgvy Gr86SnHIc6LtU/lwlixEHsW4lZLB/VlUWUgMvpr9MbV4u5IlSW7FwovjkGWtW8fUX8yDq8ubr9iK 60Bva9KZpEADjtmEihSEiowP4FcGn6mrWuNOU1nUqkGXhbKnUJizNz6cJQsxOFEMpQqUBic1VuPb rhtt/01BVyoIAXRP4Px8SDk1dVY7S33/PuPmg2OzBhFwYenGAuSr8AZ7MiTMifrVxEFIxG/IeguU QGexTnkLYprb+Rx7O3w4GZsafUvOfPpGnqiGpvZqZFadQxuFwyyTGSXgVmfIRqxAOldlrdRfTbl3 4z6nm3cukUyh+okdxw7FKegvwpoKtlFbz6qi5gC/IjuoQM7BR+uqqNs2eaa0wxYqH07yhQAAuOTH 736qobnDGpoSC5VPVvygWKjKOVS1WNQCsb64N2xisYZpM5Ui7bg5FM0CR9jZIQG/oEoMek+jKdcA 9oKgtYamUZGRX5GlUofI3+JXfoHFALIs7xY38OEk9Cu+ppqaariupzyRGK15WavVMbystXfois7Y caji7k7LOQiY5YmuwLg/gfFZFyMCiTJLq2xXYPB3IJhEfsb8KqNThLamVmtoSID9t3idyhlGmbJy wfrlwxlqvH83Mm2EbxxUqdca1YAGZaAIkljDEyttcgJJzMjBE7M16GiyZSe2AW8+ceDC0Cs1V4E0 6sKtAV4AszFW0b3BSyWqmvutUW4JuHNdFfvZNiPVpLE3H86kNM9XXwpnOFQnfWhSnATW/5WKDopa oPcLgbWm/mUH8o7STWq5Rv1jHFAjbgHv7O10GZc3OtGqL9WJVYEh1QPBir04jYsrURK1+VXdb8sE Vei17YoPZ6CAJwuv8HNECclHJguL6QF5WNpiO1OwRUpdM+DrlLtoMTKpftnlQtpyAd33UbxD2dyS BpIBG2VBCCGZsOFEqzScF7ZSqdRzDdTSg+nmVz+/L8EE8I1afPgvbh0s29iWdD4nVBYTk1ygLhJS KUiCKJXqBA65aEyP1ZRr9XIZ9TviFmEfeAAAutpqQjCsrpU6mqjR9BgZJir8hmRkBvcZ0gFL0AFH YYuadqKdD2fY1y+Ol8WM82mAp65rFNjtffo200fswKtP94uds88YmNSVQo7L9FnVLZABiAsAqpno wl4RE5hqw3MS0Z7TDZRGpbZrUPW1VIMCKTMivnZpw8le3ebDyS4TyTfXElbjUx9OfdfgidToR6Ny Vn/KWYhRCcYaDMx0NKXBsMuMCndUHtwR1giyJ9RskCgVbtCFFVqUgho1Nb3DO/1NMyljKl9Qa2iH 1hCUYiWhBCvdmnqvsQL5tOo2zVSiMJG6rxFNcFnzZobJoihPpNsV3kAKPzLM1H5NOn9tqMY5xiMd v+x0np2yOvsC0DgemA3HpT0dXuECUgO2SglxLGxd1Yyy2ccJOsrafDhr1P2rGWUHY5COa2rBRnAw hDu1ATcq4UEQcIr2RRW24dzAmniwwQ1dHFJ5sJ3wYLP9BJ31IaCN/db16tSGUltj2z1OJgSM+oas 942T6dBa66w4tXGA6tRGPJP6sD2KQyxddUxxsAZ8bHIrtkZe2A3MxHBG9qpMNKn2UGJFpV5sDijv 3Gp48/7ugM17J0TYQpo+JTpKuCBoOdS/dXTWjjdNVlQddHhpfuUXnFXUTRo2b/nwX6CfzHPgcXBD TabmjmyT+uAzJB+qM3rQaRCQwiLxz9j82aSiSMqt3C+A1oFLF8wY5tlQdtDYkMNj59N9OAhbLu+J JRsYK6RUkLQ+XCUAeI4jluAA0iaxZMOK+opooAIzn4bLHzzZCLdv5QlpnJt9VpfR4QTgL/aaFC18 lXd/bj5rDghJoOlMWBx2EgTtuF2UNrtk1LsMHmEQ/Iqk0jehKYKGm65O5gGmX3/b4sOZ1k4MDU4S FIfBG4/BySJhxv0DYHhqYgx2Q+hVAVwW88w7yOeZ+pik1Kdt5P/y4jpY2QOkW5RBQdi4ssLBvoWm HWEBPm9K9zfNKD2E9IHCwqvKoL27uclFVSLxZ0OFOLJL+2/tlxnz2YhTIV+oHjZi20jSrt58sWCe xG3gY88dsRFngInh0mXKonp/qE6tWcS7yNIG6aHt/eQINMKgku/4GKfW1KBN3SgQnc9DdwaL2lsm FgTw4b+KHXBFf+hONTFoc5fDBWERlkDnqeL3W6pdXMQK2iExNClgQh26KnY/s0QlCeAdNwh4oeNi +0ITgZ1eVizt9KcbVY6/aQZCAtoR4A1dOWlsPhb97AtlvsSirXws2sTvayzdPysqi4ddGW8P1yIl NZatOoQDg8p4aLwL85i7tImccNiJ+5RTOnyr4XGKFQVH1S47b/i8N4hbtFU/NdDpb/oHGbgC3qWu yqEsYqry80aHN/FpQwLm281hRLzY/46aROrTRmHDhegGlGT7V1WPwZJl2yLn47o7NDh5gXip3bLj pr3YAhoQHJpQjxvtBXp6g7cC9RyK2Dp14zZ/02S7oZ7iPXHVF9hHc1FZWrz5cBLe7K+GFKIUGrpq bFKvtlXI8ajh0wx4mUpZy8ECvT3ufjUxa3O1APCbn5uXsmCWC2kImbX1oiIpiAYbweJ9XA8lT1QT szbfiSt6AroqJgYAhkPTz+HD2aI6UclCnf1XiRy/Ly0Oo2zXp1Mp7aNvC8QEvl3VCVYspj4mqdaI 6P9/1VUaINZg+hLWd+pUClrJIMPHQjbqUeI5/qZJy4V78P/JvQHgVnDSR+PDyRk1vruYcO1pz9Bk ETGCV9vMprd1S9lCA+Ck3Q6ZaA8uIHFsexouR97dx5GsIM2NDXtCsv3LsjNWDDJYONOM9zmixvX3 zErD1CXtx6/aaizHVA+y3c6HM6HsGBjfvlHnjWQhMW1DL4rcSiJpmoMnxPqhdhghE4itdUj9zbVt ik84g0/YNuzOmd3PKe6ChBgRrcMc58Nr1xGVmLYV6rvtC8UKXYWTLbIIsc9Zlw9nFb77lWEiCCmh VVQT2zY3nqXHOnUzAFD8XYSConOPOBBNWbcoqH/wbRMcX5SFE5SFZvE1wDbi5S50DaRpi5SWXvTn EXFavp7SgHhRN4+6XyVUnC5emK1vG3Q+nE2b/XVCEScfwnk19W7jAdXYVWPUh57R/7W1AEXIZ02K mJBjJnXJdfN4eNh/bEcTzGdt7iw6TEB5flOnG75rz9jo9E4N3FC6P7XT+KOvsOVthTR0ILU6H37f hU/k33TAg/DpQ+ZILNwQ4xMx8cEghY/znq4UAY2r6nlU4uFWo9UyBA4YD5ljgRh11aPbyH8Ipy04 lyrEHDGNHjWn4m+aRX3tf4rmEP5ZshxjQJz6uLXwzxHOBl3SO555k6qi4Yy6BWVaHN6HxRTBSVpl sGPRSXdtwZpYuaFIqfKnTMvaw1mYiKenQEilA3QqxyUUvuAHzP/n3V06rdbEzk1il5sweF01OLa6 usMCLh9OKueRLWCMcJTtcHP+eQ1ruiMJ1t2CDeNfPm2mpLSRxUHgnCvqNajpNl/JGxsSXRyP6CIk W05howjsKBt01btki0IdelIjPlpXrzFNhz4gbClgdKCrwBKMtfmvg0dfxqSDzs/cckrklu1TLCyu 3mRMkGPZNngfdZHh++6xbdJnC3585QjJmAgx3Mlz1s3niqWPlnTQIHINy8XbI8oCO+xf6q1pTBxS /TomhehbmyP3+lWA6jLpRURftZ/XWKbLz5djIu/ZrUY3huQ1krEn2JJfXTB7Vk6axJwaaoU0oLLD xBX0XuMYTBOa+PVLdhtvmiabsFWQlCdsrVcYpUDfDBKC5UHFQokFn/8+S8jQRQHArwpj7q5hhT5+ XoMYDCYVCyjfjm4DJO+vRuQ1gOmO12+NmhnIB8S4XP9qbd8A07yGLx0kKEqrD57BvHn3YA24Tbcm 6ykUtBn0Yp2h40APxFDlXHzL9yEBedDW3WL9GPEffRAWpPk2i56z/rxGL/0R/PVZQk3mqTF5DV1i 4XwR/3dx4v+9ztiFIo2zWF4DFywcuvh1NSf7pznJsGWjzgcLUQt7lQhcueVAMnQ9XASckj+vYUvX FnsAn/WrckfLTVkXs685P69BCx7tz5BU2lnUFpvJa8iCtXbIQPXTGKIe05vZAJnT1I6wA26wrwFL L4JD1i6BaN58TJC9gNj+C2PiOwi1tHmCTidkbhDmOTykFL3l+5igcINWbferlg6ES3hw2Kr8eQ1W unSCOSaslaDeAe8ijslrrNIdFnLoU/tPGE9V6gd26LUI3QlAAsXVszGhVN5QZW60qDLYkQBA/OiC zCyyMZBqs/fVXaTUu0tUnM+WDsSsIJzkV+8tQe6HJ0mtP69BCjei8ZkmnWoYtWpIXiMUbLDkUtwQ KcKu5eUFaqKyvFCOE7hf4xNssIRqDnJCdNOQLMs7IF6JpWOpNSoNHJILr2amav+iqrzGJhhx+u+C cXce3t2AXZVs6FDjfY1MYuuPvYQoTp8ir3U6LBugCztzXfatd/VG7S7X+9aL/EgcOK9Vui6SBPeQ Grcg79hhc5He2FbSAHSQnyrwz00gyaeGSfzAz2uRrkuM49hWVP3q7ZJVJNF1z/p5LdF1FyHAIMim Gdjs5pPktT7XVQ2GNo5ob5Ca9J51pdaNWP7Rs36tzuF7tW4KqSm8+STZDU5KFMm7035rle8gsVgh EQuPguAIMjF8rc3hLStOm7niqpR5tVbd6wSPZkeOLxxKGHl7RrFaFsCy0nmpQUvZ8F1ketJvd6sT mv54upxFsMqWe9/P7SnLDagEY1DA9pJ1TinIiWHXJv8KYeiHiNrZ4qGLKoRAdY0GyVLSYnv3zzuR CbNMBUs/iTsxXT4sWQxL0XyUJ4RLbAwd0B+x+ErCB33Wp4qQBrFEYlr60uLm8YlFwhZ0H+LnYaco aj881qjmdT61p9kF9U13WUT2VVrzjkEnnVT6XBD3eqcxYY8oXwEKqHhwA/BxyQLZQoW8EJXEWVpj X+ketgGBGrCPZFykqd+Fo+ItgvsyWhV53baBK9lSapOzOgltC/dREr8rC2UnlYqRw+uqrbawscvz GGDNLEiJ02ezP426zvTd9p3BZM+wsWgRkCqVdiK7X6htlEOVynHPjup2Fs5O2p0MGTbw5l2RbZkf eAVYReBfSUlbCtkUU3y6adL3eucvdTWlTmNTpEVTxJ6kLyCSnoO6fzIutFfEONDma8vx3sflPaJ1 bevL8iLFfSmJj5L2kcMsxH1LKVFVycaFueAQyZu36L9aGHEXw/wObbyi8YPhGsDyJWr+kwHtO3Op y5NoX0tw/Ool/7EUqmzWb5NREeXKMx8K81lK56OSxbTcLcdlWxpxvqM6YDZKkxP8kre4bvg7b6mH QjakwOLmZe1bLMc5rKZMGFmwEUn/Kejt9kffa4pikcW0BPN2tkN4FdTOQuYp2uPoP++cJayH+hWv YOXCpcNH5T2qxfdhy21PS7q7RAZUeR9W7QPOzMLaSVpFn7vGLSra4KFUjorNmHICXEX9wItmTZRS KDP5TljCn+aOHtuvnCzbNvSrf9X7885XioCKk4VbAGXPZoxLFtpeSXh3KRqgduCCIeAyKn4ZdYRg SBbbLpmRbTK6ePNwv0Bd/gLNa3+4/SFCgBxsgp1tmvZgEEU2fg9v3eeQcgi6Ct8BlRbGY7Y7/ryT lfrDGsX6/hVhoUJORQOTxbiEPUArS0WVs0akQfjfGnvRkzQvbLpZkLtYLbFfb8bNNxc7YtqkxpkF dPfy021xIyoiC7s+rIo5/T3fBwYg7lMkDzPCdhfUS5FGJ7RCsiiXP35sL0As3h7DkkW5wkpRlB4l yd2W3Jr7IJIAwzJBjp0MXdIwdys97DtuXmyyLcXCTAa00w5mKU0CJ/xLuDfeMXpDFIR7Jyl14YFg 9jz96iDnzooMKh3n552j5GEPh4WMZISwUKFSCS6LdAd9CcaNihOL8+pAr9hfcNxzHb0TlLi/HJ4x 47l5rRbclYV0EEwlW61byBiFLhiX9pD279F7JvsuzMho4KmrA16WC1EBr/rOT/Lz/SkmgBt3vLzy zk3CSKJvPMhlpjOm4hU6Y1ZvCe0b9ZV3alLMOQv8+3PzXdcyOKgpUjkcySBl+SrAw9Uyvl/Q7UNx B0DCn3dmUpfN8sHr+VWF/QOrg1/azO6fd2ISf/n7OY2I0aq+6b7zkliVaZCSolcd/kUrdxRsoSMq ylZDaM4j+p2WxHqXCk4yJF47Nl0oFqAjxsK+5UgkNqGYNlAY6b9gXgd/lnoy76wkpkUyxql+VUBX Bnv5v9228J93UhLebnlaxF7sgjlflLGzOBegYwiHk1eDalxzx5NDwh2QzQVGhNxy3/lI+GIadY/O ejZvXo6jiwXozXNamAHrYEYu9CNADvrpA3EZ/7zTkfANV9aY1a9aQ+Ae6JTd5+edjfRdtyWabtCS LFZRVrkljairtLKpv++O3kvqtlCFlkQnxiUr3UrTC0pJcYs4d5Z9Jxk3ULJVv6jb4cQ6+8eTgFqT 70wkDgrmyiS35OmNWdTselx3tJ93IlJ3wNavPIoQuWDHHR79vxORYscdghZifKQxyWRxuJCtzX4n T7zzkLjjchYsgnZ588gFFeeNFBEQQ9tflrrSeDeovqEc9bjuHr1nErkAz4yY0K86oKFdMJQVjZ93 FhLncn8iF9BQ4cvjNZd3GlJX4x8Coo70PsvdiC1v39qHZ2XvnLPlPdKNkidtWfzmNRf7EzYZw5Yt WsAuyXXbcoHbgKTDVwbAeuU7DYlVR+SJtLLgVTUX27fYoWy2gf+8s5C6dPU0LngOpU/SfTQwWahL mMlFJ0JgZrJvWIwi/wOF7ba6yxa8s5A4V9lOXWqnrqedejr+D5qmOIwmHG24jCABDh+r+5UZXb1m Mi6XhgTj+pUfP2EEFXSkn3cOEudL1KLwWpO4nxahSxbpEmbbpZ8OETi4qaId0g6RCFL7LRG6vEe6 RSL3dailOp6Wqn0uSi6I7m2pDTi/M2FEFAd9sfaxglnMo99JSJzXm92y6ldlABY9y8pxYVzeA13X VHoyRqasM/aXLNLF6gbDwfcXS58dUzhnWJ0PqMBrHb1Huqgu4BiazCZ0i9PIBqIvYqBstCwj4rgB xMLgeH8SI0KY3wlIXn6Evlvzq/rLMCX16GP9vPOP+iPAH03ESfapGqtpnMtQfGzlhgjKt04gkMOV L26Rqws/JYv/BUbg7sKbL6KDDaaRMwyw2VL5AeCjq3JQNFZZ+H+nHj3NZmzWumpQ+vJBsf/p5515 1B3QF9E/Ugds4BqULMyl0MStJARvRydQPOcQA0NOLKYp47l33tFzFEm5VDcOyoDq/KpkC0+byHdT dNPCl07IBFWVP1ZK76SjGJNSKB1eQjp8ldWHfD30asn6qeNroiA9G83HJItx0Qix+LJLYxO8hu0O SgtFQCSKlud5u/mdb4TZrWCu9BI3P56Ry+1Fc5xtO2Zjw6TjP0Bzrn2s36+/5fvqwX98OlEJPVAJ /dqIe4Q7+W5/22x/WWD/Rc1Mg5JFuBCEAkOzhrbbdU3owROQuAQ63nBQ3iPcYNwPARPGA0ygHFKl Tg7QcXbwS3CS6BWWyciEdYfmpffMa5YH8uy4YtV1foENMw+hbTH2zzvRiAfY/PdkGRGzvPOM8DPg BB/UUsW4wJ5Q43JhxkWY8qV5LGK5d5oRfhAWW8YgXnEEQQrNM4q4CSSxd9lqOmPnRCn/o73P8vY7 xQiDQo35f0MThu2EEnVCq//nnWGEqOF+g3qwy0Yd951eFH2lS6d69s6aGwdBeWBwe7FD+Xru/M4u QgZedK6wnMtb7LQI9KGZYjttt3yASKdGwh61ZcGF8CbR2XrPrBsiSHvzq5JE2MtwFdhO8fPOLcLv /r2E+I4EAXBcsvgWNUP6P4t2BT6/F+YuZQeET6jKEt+5RbHLoyLX4+aVlgM8CHKLCfbYdKU7QHRR 1v1FH9kpabP7e2bxymLE3/wqkOnZjWhfSJD8vDOL+NuXz7iw7wl0usYlC2/JixfJmfFKmQFSkBgg KlAt/E7eiUU8mbmRDqIou2QjN9ZQQfcZucXcMPcmPoTnkvxOqlwJ1BAhV++dWMQ6NYu4ZfmVE8aC nqlUz6Lon3dekQeBTw+a7iM7MD7vrCKcRGTZrxVdRYieK1FctQZaYQUYLK3kVsUqZcXNF9JCnxK+ qTZhsKt0WWhit9oF5fOnM08W1c87p6jL8sRm33N1HGWTvg24CD/vlKLukugRtFBLAR0DjUsW4AI8 ANtaYftbETzZ9sMCVSec1WCARyiXlXKl/fc/4wJ1WpuBBe2zhR2XFB3ENcRG2G+Gv8UXkoK5rJQ7 oFQBvQu/CksJsBpjD8uqft7ZRHw7j3AZzzIlO77xvpOJsMHQ7gmACPc6J9MB0dy6U/51DWa1lMJ+ 5xL14FnZcXvipnGx+VCALHCs9jnyDMU04YELAuNTyq16z/dx6UUqo9NVRt3Wr4gxMy1r/3mnEn33 ilhXgIgMzYPwdSloAecujHeElWuwtZpIEwdk+wcGCD40At6+M4lYUiw6e2vc/IwuthoHaIMTjaJ6 JAXN5iyiA7BgfFjAYuE3/KFo2Sgs30JYfqIjt4VwmevnnUfUH/IZzvlf9sX4c2hc0kD30vXE5a4h Gutk10UxRozLQpbEZfROI8KncD/tUlTVZ/gy6r2hGods/rbWiGooE24M6IZgu3kkNKveM9l2O/9r CnCUQPZPy9WOtBDX+XmnEbEZdny6kPRQsLV4NfedREQYBjmn7MnjnP4UEwj4p8UslC41LmmsqzKK 8gDegg1S7ZQGK9+2F3TtiV6nE2YFvHBTD8dZREPvmZzTQP0Iz8yr8iIIelFfwb7y551DxDqU1/5J ImmcCRHYvXOIeLgTrDCuwxaYKbHMDZHTKgGP6nWodwrRA2EfFPrWzbkgexwwx8FVtIh1bSF2m60E mIlQ49zzRapuvROImC9KHzKuPKb7OAK4QuPi550/xGLwF8aSQhpQcNGwZPVcAgaBIWYMVwkSFZ0e 9KdJv5wWaI539hBnqqrcIj30cDxEiwvOfqxbVsvF99Q+ZNOYsLHIFy9zgHfmEAYFusUdOBhdlS9e gQhQAT0/78QhLoj1dRahvB4x3TtviAUaYhVK5IvtRldxUwscDn/sGSMveqcNcQ35mDw377ZuSF4f 0oZOh4qIgj6yFpACPC1o6ia9c4bYX8fOgm6NrhoUeLBUrQo+mxxEH9A28+2vyv87YYiomItOsIt/ 26/qmkD2l231X0e/2ytz73yhT6+VgvO6RWXOoq8pC7tebP/pyqCgJg8ATUQtEk165woxtaksbBe/ alDKkhKOJYvn550q9A37kbwd0qIdx1AW5WLHsKhlHIefymwAILG5nc4qH3sOShblqqc4ukpMvUWU uwa0oVHVhcMU6vUCKYsOaZuwWA+gJW69ZXI4F/IRaaNaWhTmQHnU33U6nk1iuV0/68e+GKUdjUka 4eLP2LJJRa9gHEksdIhXqQZFazLttFkJt8je9wjffwLfbwEQzVFchsK2EsnSFpqG2nSCb9LjSNb1 nskRdAlWWNOvgWa/Q34versMDBW9M0a44NwH6SENcLEhi4+rXWVWr0JREES7ynYZ/fke4IaKvuBl ugVhCGVtxDM2VXbosHUKoOBwbGTLa1+hKubMsQpcQjOuShRvm/Lctb8Az/4H+CmYaDh1NSxZgLsu tXB7cOVVpgNX/nBVUas8UO0zAyvQSBzQ7RU3L85tGLUBqGZnMuBisvOgWCcqiQhbI2DhGppZIbdT JRSHuK5aDvAlcxWgg2eTg7ntzxoCagQCEBqWNL4FPKCQQvwPfey6RJuRgqmBBm1C567OrJCrmiW6 ZHHzcAXgB4wHCCGWXmz5lcFiuRU7ShEcxWzBwYVvSBbRJkwB+BZeheGwBJDoQrim4dkE2XK+S7n4 KRpgHRqYlGSGpXEuTesQ6lZGb9hxgcnqLNDBJYYJ0cwC3O19QoHb56PkspH8bAgvzAUpSVabyXfG wNgsokbdl2DzzAJc2gpA58uvnC+7T7HkwbzFs8me+72MoA4/Y3PJwAqrUVUWW0yhp0AfIPFWIi23 IroOnNnksKThrc+XUeLmcdw4d8P4BsuITpYsK4CfWMEk6PVRDyDXEt/wh8ZZW09XcTHu31fKe9N2 bjybDMvxsgLmBpPGFQixmca3mGPg3XueSFtoYqHqOJ4nAl+qdZSTzYT2l6r4DAnrXgZWVSNyrsGq kM68BfrwDd1P/JBhsEXTuvke4rqHVIMivK7KhyD6wZaIxRB4NltH32VumoLHrpuWc9k7g3Ys+0SH 3VlWWyra5lhFgBeLCzHzci5DV6rh6eZpoq3PsunovctZo7tePtwJ0Jva4xHRotovviFbRQOnc59+ VTl3HApSIqXaeDbDQn2tIpx/INFqWN5jXDSmSIrHwpTROU0fAY0HPmf9wwJPgTnk5Kdk2ZDGg2Fa D/Q5ilCUfSnYdQFcZn/PrRCh79XKw7BaRa+ZjAqKCgVyVro6XnlvqoR220rx7N9AHKRCQA2reUI0 36NcLwf0FtYTgBVJ4nFjT/RG9HIPv5lhFVRUGIv9sbE0N7HnQt3xwoBnwp5jN7WfaXYA3iQkQ6KB Bgg3viEDFLL13IpfVWy5V4L8zZJ/PJvNllhEjDGgfR2czZlGusRwVCeGYFxuQMS6ZA37mD0O6ayW S6c2FJt63AL0YyEo5ffnsik1D+HelexOIBZRlX/Gxd8zSRWhIdtpHNXD7NwCCeCVmT+XgWez+dK/ GvPE7a3ladHKYl0SYPe6buu9dx0+TSqzRkv/Ny0ayGbNirlbHddzStw8LYKF0YChhQ0MQBefHAHC ULRFclYeyfErC3WpQ9ca264tUhyo0Mmw0YJRPJsQWuf52nSRWp2g+Gah7qRLCQjTFFpzABQ9Ayxl GrLCJMx98lOS6aLm2f/UcruNKAI5MIoAWt7yZ4OwQcM3zf4oXqL/ym/I2IoQHh7kcY4Z/qm283GI QCXHs/+l5YrYvkRpbqXA3E7JhXIc3S5SLy0Y0d4iG6KFe8vKark0kQKCg7NFPJ+FiAIdouUtEUik VvXaKK0LDtCng2bfu/AFCaRwYJ5cygfcGeznNpYsqC0mwrNZ5d9rC2rGc6p46LJy2IKcAtxwt5Vz gzyzasgpNO+grSzSBe8QGCi2Fqc66EMtV7tA43cKzLE1bhOY4fL7sYiyIHDg47O6vzA/26/qQ4/p PsK2TvHsf9haaEa4o7iwskB3Du5+bq+m9+WgXILfMGvuvu5CvLI4d4sV3nQUtflIVEMGkbBKO85Q ulR9mzs0SCX7Mfqh8Q7fM1OZKMQpoz+/GGxxtuwpQU3bwurPSgloo0R1ocJf+RcimRqXNM5FQeCs 3dwBiUBwpkWXIj4oRKGlzfbZyuJclyP5uvm4bBTlLs2ZL8lWcsVEIgHOBY7LKLqsq/dM8sXCEWEa vTyNHkgAjnxkLaBbqaxC+ZdGCzu2PixZnMs6CZgbap8d5kkM6KiaRD7RCPfhlcFyu8BhriVwwmmt gy5z76jsKt5GmQ8i6+yX2zhAsLX/Pp6OK9VVwM7S0fvUVbSZ1rei3INBSaPc81X0R5/qBmV+ZZVc ehXbT6aqNuim04Viq+vy2Vts1xdeWSVXpzOpuLzxR0Hwb1v5hSYqjcRqpTK4/Y/YuNFhA+DA58pG /oBv+EPY0g7Jead7yWXf5X7K51w8m8yV+S9++GHnW8OSR7lELHQBTmuX/ciB+UXTHmznRPfW2Uqj 3JuIHNlWeG0zHXT0gfQClxA7gyjlkjWvZggRhSsNctGSZ8dEV6/7I/9kcnQ6nk0y6DE/G4stIKCz NChpiIsaU2GZG7ssW6DUyy1YWmjVA6A2fQGlxVzxWuWp2/sDUx5lzU3zDtDEz6YuL3MmwqJ+D7Gn OpwBcsI3ZMwznEM6jXbUoaDKSyW7bo/j2WRU/pUodon7alx2Ws1FGgU6O+MTtABcF/ZcqbRAJ/SC +QtxklQljIfz6OW5OfgUCeJEvjqXJbuluAiDfd3eZK9/IIVbr5lVLYFTOM9VMQtUl+evnN/x7H8Y FogdW3Tpo5JFuNwAG1BeBHE0LClVoRCWdXoN4xcZ2Fl2Wswt/GvPeW5ehYIzU0EDwkI6JLv0eq8s I6KnrSqUZJYhEIBvyBJFpIjoeekaG27pLna18GwGhqrfw2I/UVRbdhbgFpznXPEOVigeyS3CIwRW uF5t2SnzjGCFQSSebhqWBg0wOn/j3geNZiu7chVazjj1HdqCkwtfkNVyEdqiA6yrNi6YoXDLtCWK Z/8Dw4rrvAXkZ2cBLr1VTj3hAECDXzoAXP/fQKj33vPOS7kq/Z8VtwdT2KCtXJglriNXxw44LkSc x8cgVksoRSqgE9Ih+6Grii12TrAl1S16wLN/UdqT6ADbyl6D2lmEC7YmnB2KA6EIbcPhc+CmCPm9 SfM+CjPulHcmUeXL3Yy3iHAXFDdvYcgyhrrPjSY1+Nnmfs4hqSrvlHpG93J0UnTluEAo/Mjk3Xbc nQW4I9Ihbq+DJVo/iXZeyYWuwiVSgVoLV+4iAOsAqYDtDGcg4f47QypInwQW9HGLVivSP7r22I4L G0suIpz3FC8hOjfk5YbeM9lyYUBNT19dVbK0Y467+9hr4dmsCHU+bUUgNsdzEGVghdXoCHXqb4hw R5Y4HpWscVz1f2eVXEkn9K0C9348GjcKIweITewtFfnRb8R0DPHKR3WPbcWdxbiFGI5G8FQL95Vr WwBnQS9r4NksH6qfzQUF6ntitqS0M1QDVgvvSogHCsfdjv9vA+gUH5ZUPWyICFGem9daQGOD9B7y IYt2i4K5a3/jXa6n1j9ShDsr5LZD4QlUWnh1tE8Xit4Sx4lnM7TPN+Af1srbk8SdoRVgwAqvtMUN BRYxS535vQmVw+9ZyDmb/JRMdoKVAqly8OYRLgRgjvw87Ti6R0pJYNNVWCG08sH8swC1sxB30JIb pme6ali21D+JnsWzGbSlf80VrtwS45KSznCkE5JD0NxuU0hc0HOOwl26WGprSeUVjmu9rrj5QWSr H/Thy8my7pJhN2Nd1NTXxwOW3k47i3G5hCzk3H715HC26rWWi2eTYG62T++sSUpJo3KyEJeecK2v qD9RCU9ynsv9RBroBlxCJwMsLMX8S0jLFdRKSOQBfUroaYFttpwsC36KQXL9E+NKCPekgAUAoaDH 6FcFc3dviVeO3vHsXxTnKEgOOlMLWcKTlnFZ9SAGQCWoKzJeO9LL4kFRfcc9aZA7nep74ubR3N2A UR2S8WDL47YaNFVFRAwNXu+d4afDN2TQU5SgAADVVSnRlC8B8HMdz2ZYqG/EAowut8ctJ9XDnQQN d3f/Ktw0ySGCeyd1odBQVzR3MsRCxP6hgMTJ+cuXpjVPIUcRtCLG/jhUYb7icUsPRdyGb8gqUCzj EuVCH2NGcRDE4/ZgSQCezRitX8pQLIL14oD/k5ZxFwWTS0jaHPKIKGnTljJoyPJrczkpIlfM5/85 iYA/geEMg38Ao+RKSFQU8qH6YfqKqXjSSi6aZ3xGVydC0PoFGXS9eDbbXeZnXFDNuH4+nyzKZTQH m2OL3CrF+CY7IJZH+wwCzd1hHCflnk1xylTSPzU6RIjb4NBCF6dZJpHUlYSgis0eDM7YXCjceDK8 AuJFmAMvvyqBXpOi4CjvVTybLKIZmSLuCHADDXWyILd0elPegCuMR7qlneuZIvF1KCucXF5BsBYx 7uYDPaXN9gXj1XI5W0xbewsQX/YxFF95/DFQV8c3ZDAOqhO2uCopsqkuG3vb3PBsJiztw4ItD9v8 DunGkyJyKS5DeWza3+4V9rfVxdknYBm+5aZB7vBhGXHzYdkYZ4vjqK5gccuRzCdQmZN+cR9vjNb0 npm6Qv1SndhODoGUBamcpQw8mx1FX5BCdM5OoH5OFuQ2AL4vSRrEtLcAb1M2T5wZzCVtLSkkd0rR pj43X0QNwRfkFe13HRMg2idVHNjsW31SaJQx8A1Z4AL6M0SrdFUht8ytw+TMi2eTLfc+8RwQkjoW fFxS7hkruXeJWWWx2JAAqp3Qx9UnNiRpNF3SSq64REP91vGQFQFBL6jKYVyQqZNWD/zyL51v7zNb gHPhFyTKjVf17etXZYoWF8ogzjZHPJu0n9dT34anAeVFNSg5WAE5m1ShqGyzQlmBwsVSVhiuZ3ly 4tkROGPHzSE/kN9o4O7Y1rKhlUuXr0OClW0F+9N9PhTjO6lWrjJnbjDbffkGknL9Zbb74dlssnzV 5liF2pEp3izMpVrupiUzsQo9qlATnHBWodaDa78p8YwZIGC4cXMQR7HMs0iIg/rSU4aDaMdSWhJk MSXQrM/iGzJCHs9nhnN9RNJlcdbl9gBZ2Js6PvTyWUSgc9yQ+bzvUS4euTS81WAgbCnacm9j5Ist d+yiNXRzdYUb3aGnScQtd8q2CQe0bcvQK/wNh1PUpvt6DMcvyy03xeVSssXZE/eJ/kcjVtL20Y1n M2m1/mmHoLp2Qiz35gILlFXoLmez63X1UxgUMIW2hCNkW26Ky6WS//+6g9iADEy7I6+8Tq94OIlN GVvvp3NG8OnNYlw0Cs5gi3TUILVCflYKIxbs4tm/sc4Y04HU5ZnizUJcauy31kK0haARbC2deoES WFhOgr4pUkE1Obyv37w016dN10U5yznKEZqwQdmMJGhIx8XxzLrCzULcq1YiD+nznEOIcBmPzXLx bIb4/zqHUJpbIX10U1AuvR/2cXXCWar2EwvB2HHFL0lkKcotN8Xkuuxpb3ELHhFI0DB+siXU7e8R d5MU6CnxlHAOxNLFF/wBwlHVT49Rt2l2tySAbT7j2awlP742FoqfRl3hZjEudSUvrYIoOFemYwjP nhqgWZl/crakUIUtgpA029uj2T4gfF7QJYPXAYpdOsWRw8ruMWpQlJu+qVQukNt1Uotvhtx0GXiO 1ahy8Gwm3DI/xZaOeYoat0YlQyoANGBj4ebZcCerHqnwL6WaDYXJOCqpgNiVz4F0jeQaxlgOFMJZ KDdtB1yjFBkaXvTr2FQ/ikYrZfhuVsdtBHBvYAl5FVDZ9uuljmI/ePYvCRGWEubJ9qDlpvIKyIca nRbd03Z5DaoNh/u0FnJQN4UqLJce6XGLFhEE29EMhJT9Xtpu1VAEgEPqCpgtjS6Y+IYklGvSD5h+ 1RqaFhsSvl36wrN/Cfy5swDtMx2Qe9NCLsmdu8j2AXyU6aSZGc6bizhB7ix5gCs1DmWL9ylBwQoH XaLJyTKKkHUsnFPYbHwEoYhruSnvjMLBi6WWOPtHtWPt/zP2XUmSI0my/3mWlBbnZO9/sDVVNUNE z8CqRuQJUDuvEYH0cGJESZfc9OGzf+NAND+c7zNbshAXZXMYg/lgFGKREMDVslStmwPMM82WDKzg omBdyuO9RIgL811LfupgQxE7AdcQlYMgvjXGQwoH/pXvmYVyYJ5NUjdndOULOOf4LtC38exfhLJC 52dFhItST1KCouHOAhmbyqcDsjICK4CGypbiuS7eUksa40qepKmR2kZQ8haa0b3TI3BYhqxSbgFv 09JPqBSPB0144k0T0x1CT5FV2pVfh29Av4mlfxC6+PRffHcwOgR4QqTQByeVEWP7EQq9g+B2xBCN eREOIaL/J4rCsjYraaQrudyt+uUuTz33TLByO9HtQNHyv2tM1WEHAMqel6K4nvkVmbYNJTnG9atn RkubDEx3+XCSAjwkERX20KkK07fyHu26K1F/IKh9BgR19+LlqMlEQRMnheZKofw/KwzQ37Oszr5A 4W4jtgX6E3JyAU80xub06m+ajA1GhY5Guiq0g1C2yilD75eAltsX0kWi3uGCULKYFwKBgHBPYf9d +hPvSx8xurWWSARqye3O1uu0mdNy69I5bezHWnJrtVT6yjG1ftaU2gD4iswgAhNmMfZdDqOzMHp2 Ub1rGXw4o/9+0yLQlqjlcRQpuXbuosMXtpnBDech6eG9QS9qpY/YcLLyrgTu4XIWNwcx4Ey6WD0T uJk9ydKTlyJKyr09QKA7jr9ppnBffjdks/zqlJEiDQM7/Sof/gsLWGcUQpK+P6sqLfISCkkAP8tT lNgmkuEQ7XKAwIZihEYnr/J6S23EzXv2pe5lCRdLDru3KTtbpGKsgGPDiwKVjLNLimUY1C2BO1wP 7xLIcw8BU21n4MOZpWKJOi+7QCimPrtx7oOGhXBY1aUVSpke8BE/IccIoA80NlkczHYnbENm3LxM NcZiNoAdZ9npIBNkBKI0OMAJHNyRtf1N/yAcRZ6lrhob6DjwGN5X7/dX6iv+APuMGQ4jJRdgoFXE 9ELV6iFD3SARzzgHohgxNGm5Vw49XdqN/UmcKncZuHfZjlNhCMZ8ctGqAr3qHXIdjVpH/Ipk2sDu CmULlqquttW2KOhPJdjBh/+CJCO8Ctls28+SygLis+hh2x3ucWtzQ419m1C8MKieMTaZEIN0XfpR RHyeiHhXi4kL3F0s0gafURA7iqVQTrM/vAB3KC2poC7kHQt6sLp67DemGM+2tPhwsqS6K98QDWcX eFv40GRBMelTW7Bm/IrUbSYSM2h8oFOErXhJ0Q1UzOpNyloB+EKyYJFwlQIzUqkmye6F5lTr3nz8 ttLDV2Qpd6Xl1farBypnyVRjMfZL7SMCOiVXEIJOQvu+plZpFMZqY4coA/9LBn+tP9tNdznzmnil gRp0VMh7bn6KlzJsuh8eVHbIFs+kMPA0HEHKvb8oAjUxSwMHgW0CyD62gMSC866tp9kH8OG/eLky aUDCEODMmtqlDdJSK+EfOK9EP6LJG8s0JDfsHkOT4RyK+FhTEOf5QJyp/iLI4YLG4/UeLjjhOA8f g9slW7DcLk3miyeuDiy7U6GbzXw+nETF7auhj15+ReXZRyaNihG5lNaEUUVPVWcU7L08zewjihI1 dUw7crrdrvk+HryQHV4XCERaUw4/v5Ux0HIE53ekU8XfNIOADBr/Vl6LNmJLQi43UtiO8eGsOv4l h9mo7/ZMmkx+DObEqGJ1RcWHEp/sSI6h1AEUxx5Dk0bF14lZK25e3LPsHqVkQMxg3S7t2YZYr04i ND+o3lX9TbP1hIoNqtK6ctrYImUJ+RfRDh/+H5QrGnHq9Zk2qQIZt0QcKvAasZQTonFYUJMmtmzA nes0x5o4p4X0I3gGcYvCp02W2ejLYukZwJp8LXwrIANQnHs0666/adbdJ7lkH796T4VtMCo16/2S zuT5xiXaVgElZB+a94i4CCPTl6sxA8kxop51fK9ZZ4zYa9KI+Hq4d+LmHdsCrpbkZeHOfd1gnGZT 9lOO8+gRoBGlr8jMGQFjLRR+L6G7S1MGUYZn48NZa2X/e2jGZ7NJjSUIB0fPjtx7C0McyHqqs9om 57qO79RDzaX8ZKjBm88a24Vsi6TCbJmIshkQs6xeIL6wn/6kpLdq6qIm+epVtl9VGR57XEkdtsuH M03iB/lccfoCHBQ+p6mNGmjTluFBq/Of+FeV6QZk1OgtMakUwxmb6TU4cLNIn6Ds2G2gd2zZ1GHU BxFIthIq/s6G9kB72KANZjF60+yQAgICdTddFfVBDYYHzy56+C/SBMQzXpJxnsgmjYipEbyopnSB hqjVMRDzXOdA9vDdqImVWoR9o0pfqZ4ohpZq+80eUhACWUAIq8vq1hTpxLUsZEmYWqmhZYGQpvpV Ud9u8spp+1Q+/Bd3XJnviXMXQ5PCfbGkqM+iHPMM78utvgMJwZaBDqncNZgRy/Uq+nmqWhdwTZCQ 5zw2a+jbTDbnLy1cAIj+l4ZQTf3UCJkhn0pXjk2DQrQELXrjw0my0B5QnqqhDQKRGpvUUW2Sht0D N28J4dV2wxFhlLPuc0illmqssiOWunHzaQPIZXUBUXJnGRCDlFOxTxD0q2mDnJbfkPUWEPDNoatL JdnPcPmz269Q+XByRt31gf02AJrgTu1DkxaKy/L9lwQU2sRIvgHHKv3DiItSUSv1VVty4XDNv9If uNW0zbfso0PKTgbFNmyHI8GHOr+PDTZpvWmO/N0HfShdPYmdUraDeB4fzrCc0WAg9td+KnSbfGwy WARoVhVOM/JWnpcqQvCdEDESfKvJ4tbUx2TTRjwKYsZ58524YRaBiWXzs9gMxMlt86fRjOPQLlBj 0ygkxa/IGJHovSAl05VfYIPTrxPQBx/OPC193ogcgQhrj2fipJq8oAy4Cyqm++meZA6QdxweMWK/ SSzWipYmZkyLm2fglgVYLAI5lA1znCtzKJTZoR8FeMBTRaf4bM091rqkc6oL6DBfGPZJXYuq6v3+ KiqKPqZ90me7SS0o0OOZ1Xu5FsNvoQIsKImt+J4egV/ismZf7TZI5bnFdjMaeOIQuQDErl3vQKBl VlRE9/4udahqbrQGnjZMuPyquM8yKylVjL358F+ZtFUHONyofGiyKjFQ7fX2FSUt4dIOXR6UVE38 yH6Ap1Zrh0S2/27yMiguVX7ldkhJLkZeBQMku/0gsGIrTmNiZuCTMJJ5ovl991S8b9sVH85sP7+6 3xZs3JD+rqnXGq2zmGTKUoxa4ApoSGOCBCBYeD4yqXrZVHdBLjfzcblZkIxag8W+UqDCLJFwSxTQ lKLE9RQI6/p7Jo0XgiRQvdLV06jpztyWdvLhLCCun6APO9L5nFBZPLzwIzepFbQv7ZgmNByrWTyY tJryCrFgaWPFzVcT7GBKp34Z8G5XmMfiulS/NLqZimuGv2gyMlQX7SeuzsFoAvDZhOl8ODm895d/ FqRangZ4arbGEh4Qx459PY8ZRYmBWVTR08CkbhSSuS6Kict9cCS7V5TFF1mSmzarKHNA5dNGD7Ge kw1KvGdyOCHSg2uTXxXvXYuqebbUsvlwJo/4FdRUKs5HuyW1W4M1WisrpGjLckvqQesTYrEkDqqj KQuF3Yn6CCBwZuy/B4jifUhmOgv8QG4yQEswaGuU0/QGb7xpMmXAWWsALeuq+qftV/Ox0K2p5dpT /8TMQXuY3qMam9R0jZacF7w/GaPKrQQnEmYcjVG7thmEwrnrms6mKh3wGqEwTJYauICVPoOAlBzB SbBywRBuT4NXuIDUd401CZrl6OocFZkSW5q5Nh/OJHu/MFmsmIdmfE2c19r/FdF/r7iR9id1qeo0 FOcUE0+WULgBJ9ZrNsDd3RxX3DwShg4I3LSxnCz4lJsfKNosQUIwwE+m87xowt6RzgNxE8WPvm5B nLi20Lvhw5mU2Qk0CYte8OqLkkRqwEaSRO/1BGJiuz7VuoGYWBSV5YJKHdgcTs4unm4+aQqMPxaT hGFn7ChyGW5Uq7S4tHywWXv4m2ai4OhfshHVohE1D9TSqCOzyuLDSd7d+yeiASAXMoU+NCkRDnEp 9Xol9zDmddwnQ2fsOpe8Eg1NFgYvpYxbVfH9KGAD3AzLsUq3bsACMHZwqQBj2GKLh4CwZ7xopoC9 CRCefuV6svy+XgfEDj6craevkUG9ZD6tltyIjYAX2jPKI3W4YvplrRNV89ZW1LESJ7ZHjvWI33SC 39QgNIhjuyuz3IVKk2DxI2ezkTmPu9amiVRN3NgqEX37gnquqzN56gmO0uLDWWbpWw3vcACpn6Am cWRzKurggiBagmcNHSoQ1zPJVCLIszu1ZGPVCzITK26eFYMFzwIE+5ejLjkmoRiBrZCIAJ83pfub /uGIsuW9/KoCqP0q8nq8KEkkpmwuGhudKPQIwuqlpp5s0M6FHb2TBRvKedLxIlRGCoqnxTacYSWG 55Wnxc1Lw4XWjkuwPrgRS/QN/x0MeipVfMUWpMdWTV3Z5IJDm+r+2FQX6BEyYrMQgA9nXOT2yRDQ Gz9h9V4TWzbPK9cZYqpACMKb3vRiJ6N/8EDV0GSRsBtUX+mn39BPtxkPxbrJFGEB3SebLRqHSCWu P32ocvxNkxVFXXn0gnR1EhgwNr+k9TQ+nIV80b7kGdvcA8EHJwdLwMsPCu3UIT0EEhEsMalDKkby ccRj6s22i2uot7hpcO4A3nn0RpbpvYNRM7BrDDChjvupf8abZgpwhxCS7ldlUBYTTJk/oreburOt wB9x3tD19oTPYU392Qh7Ab8P0l202j3Cyg5Y/U0WQIFKHxqcXAxCOAkpqbdQUofy/rVZT2epRf1A FmtwhDZIRmxScIUkuc3f9E/0wUG4xHC4BPR1j/ot5W4+nAU3vhdfHWnCsWhsco+2SyoDMRIEZ50w xlFCRbTEcgphTUzakI1xwzkqZJ0PowUkS6RPdMZBR1Orr0zq+tb7KLVKmqgmJm1Yt8y5mTIonqFU 691NxhTn8OG/LCqGvxNQxRiYtDSM9YdwzLESwz3f2xGghFiJ7kyfmtq0qe45lmyU1mOjBEgpREjl AzPbUKMDgiosXANA+KjwHH/TBO946dBW46pazShe9rYknA8nZ9T8Ghls/yia+NBkATHWir3ocPBI qXs4WIJRrcASJ6K+xKkt1hOWXtx8zsCFbA45TNniKuuRASNjaj5H1Lj+nhlmDZsMINi6OmVuC1yN Chkf/ovaJBMuNoRO1D4TqzYAbNjPbTqPgCCWJ6S9MD6BCGJU73VG5V5ty6WsZtwCQTzAFqudbSgL X6VKb7H+L3TK24fTrhMqsWoTBPgg/fKrILK2k2nK2AHIh/8iZcugtRM+HPFw4tUWVjm9KJdENgzx fZrAi3EKDEzo5dU/mLUx5NuSB99hIdRR7APTip0WClyo04KTrsv/94Gs+XLK4+Hx3/LHFzLnEofb nQ9nzctAShxWzAlZiwMqdWwDo8J+RiB/mGE25ys3V9JD5CGqR9HHZEIrjGlkT9Yfe7JRLKwvk2qC tqvZR3I2V5bWkdy29Qit+Omd2rYNWs7iV9BVoc2w/8dd+KLKl/u2lWi1UMgJaUrIztfEuQ2iOoWN uRYw0NocLMHogTXzdiKRSqzbauBImirD7akMzwph9UP0MLCysimuokpj0oB9EZW+4m+aTBxuNzgx dPUTCvK2T0Sc2re1b1lBOq6w8e6Dk0qiYS4gWIUeBAaH6l+XDNhu8QyyTOg5da2qDC3RpcpfynML epRlOvSKhJ74wrbMhgLhsfB0mQ82a9NitSYubg4ELTjKdPW297jCVu1z+XAG6XuE+UHUrR/Dv5/X uGagEIVGHuSxibHZLEljZBYlpyEtuGkBhCX1GtWMoC9PsuR004KyqWKfyFzBUihoAajqhfIENdyw E+/2Ebp6DWoGYCBIL4EG1NUB5+5dZssKj76MyQD5hykUtAwZ7m3fhonMyAaFwKMKIxGKi5C0xeMJ Geegwu1+soRkUCbJ3JM6bLr5ZAGiaQBraf8Feo1iTw0uPBzmCIM1KA6ofh0U28bA+6FXpa6eWc7e PEOwIPh1UFCpmRoUae3tXyDrOCSvsczAvnApJbh1Lq3JyjhKtWfLewpuS27g8BrJDEnn2N8o2VLe NE82KKcXcFnbeOGHTm0jqosBj9fKg4iddLV4DWQGMCOgFYK5pasWT7kUQQdxefy8hjHjUeZZinyh NwF1K4zIawwzJLsM/GUw/3sPjbjdvbXd0EmUy/friIDmczU7npsnku2AM0d3JQvKbecSBB0FIKCG aH4Ympx6y/chob76QOHCruht0gQBTvOsRcwz689rABN/4a+LHIInhX2NY/IavWDhoIY54ACrnnb1 Tgo4hgI4jlqrU1heYxf8FHTYmsL+zljuE34Tts0COmxTBz6iWwibWiSbB9vuYCIMvWWym6Di8B+G U7MxfVR8d35e4xY82mM34dsfhmhHg/IatQxRXtGpnSrIwE7Qu9nBQoCzkkctrzHLKEJ7wbaixs2x 5OjYsj8w97AVNUVSIEAHUlFjhFJR43n18xqx8CBAJ/uy6nBPdGxHlaI41AV/XuMVzBMWkbh2qkTE wQ7hmLxGK0NyFAj7Zb0FJOp2ZTiKugK+WPpxltxrrIIxwTZt4cZ+bh7HHYtIbCNkocGGhuqWSJzR /OquUertJerNZ2sHzX10rf2qQMVnF1oo9ec1TMFOtH1IKN2ERADFNw7Ja4yCHVauLDcqDHUFVGaE kkhjdEZZ9WyHldfJJUKaNw3JGBMgJMT9m/1ansQT0A5YUEMa4puo8hqdDGe1s/ulqyYJhp6TBGXe 19gk9n6NCLyYfnF6SQ0pWzbYBkbb0ttE+9BFm/YJfMy+QfR/LdSNsFK1fevGzcPZOyy8B0kVkQl0 5dhZKehutkZ9vqeMCYVBfMP7kMDTzOIf2Mw20dBRQwSPb2hI1s9rlW645SCHpCvP/iWqn6PyWqPD xALYoJDxqJoCz5rFGPHh+d9QEMlCE4eqMjucj8EsjoUBwqqNiv2PluDp1EG3quK0JpzKKYLMDl/r cxh2AGL6oeLxCREeaJ4y3O994dFkM1n1M0+wjVHwmNFaFsMSm3CpasMNlrP/H+p8cjVdlQQ89cmC WEGhJ5FWunkFaoP0ht9qoiKyKvmVti9e5J3d7SuEoB9iaqerh5qBTAprJIUA9Qnucwef/dvyIakb rq67+rhkYSyA2iBBHFGRGwtJSJfJn5yk9zvln5+SjAuL3LMpaGuxy6JBh2L0pgDpKkNZdSOtlK4L nwIUywM/7zSm4VQdzjJdFd1DU/aR93pnMY3HdlfTBQ2FhphD45LFskCsW/pWj+8sxBNqZyHkDDtL exrVWTBLgYA6SfLUzdFltww4NVDF1kJAd/4gzX3agQ95C/dREr0ri2YnQzeyu0awuwbOBUkHtgm4 Zhan0I+EBUF2qQ85Jj4uWUQ72KUWRJN2bUV7CxqFarTBSyvAmllIO0kItNlZ4xbz5WD+dB5BOOjE cCcoqxE/9fTUJPH1zl9CgFi4uwBcxqsKufapIq5bVPbzTl8aMnp4YpWOiACmHBqX96gWK4BomCmk B6Ijl2m92Awp79tumLS9k5cwLjxi7Kh5bj4uMNsF+5gludI6G28TnB+UW3p5PNqGv2Wyu0hpcsbV yyqjiAywWcVNRkW8Il9FLD+1Z3fJwlqeqZM9mn9kT7DFQCmIsYaNChSR3JTgnbfEBIh/rbgWvHlx 244K21PYZoSSQedZZYk+SFX2oY/C1+z+lu+jAnCG5TiAI87qmk0dexU7Pfap/eeds4T1EFkyAfaI a+mLye97D2zdz74J30Hl8B1ZIWVziQtHPKcSZRbZiqg+KQGlm4+KZQ+QOWMVDputkFVMC1mk+NRT 4jXf95ZBdYPJrv2MLtG+ELDkv+r9eecrMaX8V7hfaXbjw5IFt2jM2cZ83S503SCp98psCMpKkBvS sGTRrR9Fs/a4ecAP5YEFBKUlQe2u2hw1BUIdSpY4or04Ka7xe4ArTYxD0sZ5qBu2cZ6xXK51/7xz lWKbeEoIwKOOGovoPci16Yl9+lCG1l13e+RBk/hmoG/gh8UtN4typTDPs9xvnhyixgJHckwXcHMI zhzkipGDXR+q0hQw6D3KRSkAeSF8aXVVI6Q3saL6hFZIFuYegck0LuB0wEJbw5JFuRyQzZPTq5JX 1SZ2ojksEw5xk3tLGuZS9GyJbrIeusmAaFMBiMGmy7QMYaisyy4EtbdWfxpE198zGxabKHB696s3 6efgEW2B9Pl55yiNx63BdxdEnWjgqQiXRbo0NLfUxHOiNnv1HHE/Xege1dp3hhIjXe65W+Xa/ZRr B84Km29cRgfMPsE3sfWyo4j+h1P279F7JrsujYPIh+wPHxJWaorhgVh9Jyhx7yuf7QUt2+NFp3d2 EnIizI5x3MAPxk8unALtOrWFDuuIHJX3OLfGqAgExVvE/xYIHXQaLMIo7XAuKqyuOI5g7BeKO9gl ft65STgbLvmhtDxZbnkyICRM0SZI+/+8U5O+zyKe0ChkVA/n3olJmJwE8V4KMTUGMEWL6KJcRKxL 2+Ea9M5LGi7LjsB1xs3xzajsb3gz2GQhApES2aBANygAgHcd7FnKybzTkp606NLx8J4Q4ayU3wZX oI+fd1YS3i72XELH4M3nwdw7IwlLiI6kjV5+lBAfCussT21yPJ9EEnDHfSck4XsZjqzKEiRvseMi gZ6UZ5oQ4KRygfAeAM23+Yhwsqrz885HwvZQaBdU46r2KnIihan7/LzTkb4LtxhAePeSCq9xyYJc 1P8g9a2QP/516K3iYNUJYhKD/3c20gjO7H9tuf1u4FpcbvIAO76YTYKcD5rdczxTa/KdisS6wvkv FVvbwecVbueO9vPORMIheeIYUj+eG66niu9MJM4WbC2jtihCjempoiwysSGy/MC2R1a8ncQXTukO zUd3aKBgtOwPQcN5gKG1HnlBqr5BTOjx3D16zyRuEbVvx1XnM9w7l1Ki8fNOQxouU8I1JLnJ87uj VvnOQ2LJd1Iie3iqSEADgv97a3NoSwuxi3ca0nDfFAtY2o1bbLkWIM7KojbmynVjSgRCUI07X+E/ 65XvPCSWTbB+LhkhfDOWXM66Smts//55pyHhb6zjs4ooHQT4nsYli3MpvnUpxuh77vRS1OpqOc8O eKuOovc4F1MVm+2Spy5vHrhA3OISfQT2zmwyC0KfGRXYdr+yoqvXzIaFbPza/cqPnzDz4kk0MCzv YS5Kqt9ZEWYstAg0LGktt1JQh1q2gDQz+/oHBTT6HVHr94Zv0DsHCW/N8HU1Siry5u1Dm+h3UmfS 5mU9Y3s3zf5IBEMfH5g1/DWTcA5tsn56XBX9b1T6GdJjWN6jXIhbfRWiJgFHyzuI7xQk7C6IOLuY sJ2K3h7OLUldwIaLGthcRe9h7qewwLiENz+L7oT/Bn2D7Fx23yBU6lg7RJ0sdl1imN/5RzFboJjj Vw4LvE+mhx7r551+9N1+ZzmXEJ/oq2ZB7kCWtylMg5Noo3/G82cNZw8PsH41Wd65RyNQc5PiGro5 JgFB/WY3E+HclK0fTu1fRKfrQyJh2f+decSg046igfhDVw2KZfmiA9gy/3knHvHdvDonnf4p4hEH JYtxaZZ1heUmOIHK2IxsZ3HTXST1DObeaUfEJHAFkROom1a+nUMAmLEGBVM1Aq0qjiYiJhp5Lo+P 0jvnyAPxU+B3q6t229o6+4nQ+/15pxwNh1Q/WSIa38MPoXfCESeKDAlUd4KLnXv6ddae/6Hn1/Ll 8843GmFEPBnP6+btZpsUFm/QctfS6CWTk4H/4MJd92P7jtbgzzvZCCsAMA37qOpXx8lBVYLh7eS7 ZXvtFyoB5SvsFRqULL6dNKDtDkFAnTWIsCw3iwgbhgTvTKNoTdkEYPDPmwYFaid2VcQCHqgQPVC3 ZNRMinzYM/t7ZlE/z2SKEUCplH+QJc6jsZe4S20/70wjjGlt/54s4EFrXLL49mIiALqpcZl7CKds qUuRztIoahxNfkoS9w8dQYRX8uap86GqqeuG74nzBiENbDJt2D/K+6xsv3OMMBdpitkpRdAde2ef PXtVZRtz5e/xLcYE0gw75sp7dIvKDDGDW0Avy/3LcszgWiJkzVqPw3Df6UXRa3jpOS8wTRvEl1FW LEuHIsmNlcKyIEN4g+hsvWfSCWFuiFKjrsoQ0WeRO7OF/e/kIk6V/RmWVilY7uHKO7sI39fJsm/u 5U1fc/kQN/rWCZ3gcifv5CKuIc0BL85Fg2igithR6Uf5adt8Gd44Q6hyCstjzknjDv3zzi0awl5D rHT7ldPlzjmoam0TqP+8U4t4qJfPwQz8Xy3be8/v1CIWIdiruQF5ag7vaWM5vIcEVt9b0iqu5kur 6isSRbkxLqCSH2RY04LBe3tzhbsls5MqTwL1QkjWe2cWeYn69LHiqkAOHqQiXfX+804sYoeofrBx mw5JO06iNL4lJ4w0WwZyKzqKh1NUWIXp6dA7q4h9bJ1Eilwe8/exsEc1eVUs9Dfvx7EYxyYYMF6X O4zk3klFQ2oclliO7lfHUeJDFb7w7bI08XyVWtDs7c+mmwW4yJqafjzob5Xjkkp2iDBpBmC2bI/7 3wlFeGvBS6/K/jfylX7szwF/EQSIuy5510ifqZEBARb8Lb6QFMxldVy5EqBXqavqlYt+g5gvp/68 04nwdvWrBNUopHY9TXxnE2GDqfQiUB+I2IXrdM5u/z8DRagONiJlsN/JRBFGVhw+cfMONNTqAe4h /mlxa2DHFfxImJYD2xJ13Kr3fB8XKkW2QjGPUlzNGDJWpHhOm4M/71wiRx76OmJ36he5sYYlBSws erJ0yfzZuQ4hb9SgxiFMG+pkk9kzhiULciWlP8VF4S2gctd2mEmxIFRethw0GUnDX5FmghoWPvTz ziTiMsJEGYItRI2rlaXuWbdg+eedSIRFHuVt+l3AYyrSxHceEWcLKVbOGapQZhftYe8pIbe+W7gR v9OIoruPBvyKW9CI0AK5k+DbNdepnC1Ir6nAdfrj7YEX4TdkOA4AFhq7IS26IRNy4NKzXufnnUbE SLd8RS90lvWU6J1EFACMQ3dgntJjOtH1UMKRXM7VPXp55xCxScRh+bpFWx44cXySbS44MVjD6whY qAm1KYbjLKKh90xOaVBkBv0ZRvgzjHXuZbkEtIGfdw7RkLcDh0U4VXbEAKLSyKS1XFAArtIhkgf3 CfkOoiuxvYzhTeh3DtEnWSxMjHjz6twFmBRc9Dkh2yYVoQlzTCoZQ97c00Vqbr0ziAjX3oSuT78W LR5iZ/CDrvnzTiBiefwpzsGlFkSL6qX/d/rQcIvEAaYhVeyGoKb2tohNbaOZtufjPCWO4509NKQb g/GgJeiKZuhECNTQVBSbHtjELUjDABP+96EpXqYA78yhGJQJbS1ddRQVlHJYgwI4OY11+/nUFRCq omCvMUmBuNRNWiVUwGeki1PkqopfZHta9M4aejqKi6hj3XxM2iZtkw3ou0heRQW80rIQPljRfqZq 0jtlyNsKtr6RAPCqHHrQQx11/8Znsz7r/gp0ESKUKEG984XwDIpt3vgYbApLucOW/1aMN4h8EY49 hyuQfD00OOPETLGA0jbzc4EQK7uewromfZWh5DwiaJFk0jtViJkNEEI0axOY7VcCxUMHiS3On3em 0DfgR83n+xX9jyzI5d5xMYu95l+95r/3HQ4PW9UByiNF5BLdv8gC0M0z6N2LPU5mooXpp8uK6hLA C19tcR7AS9x6y+RshnIv9Sp0VV0Oag7aFk7Hs0koN79a8gCq3Vg+aYCL//hQPpcOqguBneIUJBik TwEjoZ02q+BSzBfclucWRzNi50M7k9WltExr+CuxA5B1HzuyrvdMziC0ntE/8Ktaz7uWI4UfvV3S em5fxRbIVsGORIyHPL4lTmEt31XIJGYRSp4UlfQkFx2b7/Et8Ks8gGRUwptPlYWDneBtOws7aY3E 91P214aAbHntK5TEnCmvjA2zyyjnhv8jHM4UubfZ8WwC8f9mDHWEPgE8nWkRF0fHuLM7Vx6iAuLK U+GWkELZP05+StYdUr2FDXfeNCy2oUD7b5MKgrx3SMsOSTt0lyBmFhEL19DM6rgd6RATb10Flbt7 6Wi1TAPPZoHcv9A+ls08/Ji0jtuoKj2E34agtMI3y8CKukNgzW2dyzOr4zp+Y64VN4f6jz5R2Wus 4w5RTaptByTnw+L4wW/QLh7fkJVbwBi6zIluefAbDKqw0PfFswm/bH8PC6VpICahgclqufQxvNSW IUsGT0jkuwE+Q7VHoMPIQ5xZhLsV2g4hLUeN+WIH0rjQJgefqlEaHYE/ge2FPcbxb7HmmUW4TBNR 9vSrA3J75UpAJQ3PJntuiT2Xb3X5e2hYMpYZbasbwv3LNHFxMC5cbOkmcOAmAIQ8hyWHKhxF/D1u Uba0tJ/HHIEtcgGSe3hFkw/DFNXcVvSef24+L14L3e0HCtsEE077CjybDEvglNlqpsHtinLLzANc AB7JoyYQiu1cAKHOPiMSxe4B7kypZpsTpQnf3mZkRABYNiCKse1iR+FJXtl5RoYB6FyYa9Gybmag 3E18ZSfWsu/oEw3+hTitN98uW0j3q6Fof8y+Xp2bWTmXwJ5SZ/HeGTvY/4ix0SSa2hZBlpOfktAS xZaRod+sT/e54GRisdh2oKo8HcOCaQGQyB6Pitai0u7MyrkAwJ4BeICuHBY4hR+5CKyNZ5Ntd/qw iIi1KXShYcmCXBb0LqvwtBCgXp5EODbkfoCbRXFtcFgyrALFu15oMxBn6GNLuAXwdrn9sRoOf51W Hn7VKnrNZFQwWarkkGYQE0+bEnKHaA6ezZiJT80SLAgA1lvsLhkkl+J5XUo/1HqkIkmF8SMXEbLb O7wINTOswjyK/RmxraEiBtvPDWEXanMbzDIql6LeRFjEpjVGNNCA3sY3ZLHLZdl/+lWLqJ21vCly 8WwGm3tOo8qmyAnC5swjXewtZFL/o4J84MMYTyI5AvTC95aslHu86N+fW8D94Q2xtbfMjeo0zqKL 0QGcAyXMZ1im3jNLFZEPwdZSV+XPm6cbMRwDz/6VyEr5dxRb4jRaWay7UXE7YA5K25HIUc2S6Yqy pw3nga9UQkGAQoouVC8k8pCGF+zYzBXtY7o4rxN7L2jmNERyRh6p8SsLdVX0h6qbrlw8qFhUZ7w3 PJuEuvOr9I8ljjq+RiULdSl0BUl6Ca3BFzgcA8BKptP5ZAtt8lP+GLpQWVS3B6ls8wUTAgh3sMJJ VKRjIfgusz+Kl2Q34Bsyji92l0qdn+rAuW6fKConqL54NivlfmNbLpNor/yvHJQLuZtTXUdhC4gK uUJofXXUoKA6r2W0slpuFYBjULh8yRJjAQl1O4jGaKGte9A0utFjgyT2b/800GxlLXxBgick+wjn gK4KdW0DVC/ZvgbPJrWF+VXKbbRr8TR6pcyzxSyxe4W71TDbHWxQSEvhWUNZoHsY4drkXrrR8QEn 9J773kG9d4uXd/HRK+j5l9+PN5RlfQMfn1X9B+Pb41ePcpc63mD64Nm/7CwYgUWjkRsU+SzMBbMJ u53D2md1GzEYHogAMGoNr5aVhbmsaaBEfePm9W3w5dZlt7WhdNllW4INGr/+fhx+6mZtYWVlXLzh aTSAB4T1l6yOgfifWmJg4+DhrEu0omQJEeX5C8quxiWNcivVZYlLmNxaIisCrqWrDkWFR4xLFuWe 6M7XuHmUa9PHjucxKHQEyiLTRRjf2TF9eFpGzWVdvWeSLlaZQjW/qtm6LWVTxcDCuZVKKnxTz9iF CeDPSiG5qK2e4R1WSCIPtwZg1ZVUot0dtLAySG4XcnGrSrd76EwMaPa3Qk+NxUK3EI4wOIMwGWX+ fh8zx5VqKhANhT9PVzFmLENnhNQPBiWNcc+XqALKL9C+1aCkiAXULBsNTjBXWggvQ0rE8T8WwHTf brNC7laOOIrjt6magzUEj7gJRMqEeEiRnGwngxO0EGCxfK4AFljxDVnUQqkJJgAheW0fM48sce28 xbMZ2v9LWQFKbgDRa1jSGJdxVXHzJ/xLEmHtlIBF7R10vJXGuKLjySBrfgyyLHi24xMy8wC3TCpk Aq+A0wSVXBLm1QshnnClIS52lk7h+/4I38NEgoADW40dzyYJdPsKce0XRl1Yg5JGuJMq8NRYRhyx 3ZqQnGtmRhCj6b6A8lquwMejxM3hhHbqQHACZYW7YRPLWm5l8x7ofSJPdTYjLMY3JPvKULny+tVB YrsN73B0PJuMyiqfc0i2mpEQ7bSYy1COqkPcbydbzajFox9M6GlDuWJQmCQPcBnZ0olYN0FPCwZj AIAEC58yWpXOANXLAI+eH0Dh1msmeaLDw5pfNSzNDbvtkweezQr/X2Ao+OGgWaNRyQJcnI2WHRd3 Mm+omqgIRXMq+YbZpwzsLDslnakhtkR/XkF/HiAoAdqCKtxp53YJDlOaGyBBFaGksoyGDL4hk05D vNKJWOhOmYFN71IwZucvns12lq9+CCL4GSWoncW3iJstl3B9EtiNOBdvz1K8BNW761fulHTGRYS6 Sty81rLHBEes0wwLKlZsEnGDGUvWew5smf6ayRrahPqwYrmj599u80TdtnM8m43K/YwKO/ItYpad BbgVBbwzliRsIK0QBgBhYD5JSec5tPNKLjfcQ5ARb763QE7kkEdkIcsCApUNkQpMO/zHnrmiJZQi FSbi/c02axz9Y6MZxy6R5bF4NgP6+1xRYWVTVjlmSxbidgItYSZUWfmnPjCBlqy0kqJ4qSEw+SmZ YJhk5aQb9sQssEix3W1RuMYif0sK5RvbqR3KwDcOIqkq75R3hgI3OI5+FdDydhFDBvpEO4twx6xf W+4gt87zoZ2GuNgwJjo3RCpM6VUCqQAC4SCCDsUSov13hlSQmdEqp8fNp8vdGxIwQ3J7FE/FHoyC OOtWtBNun778zkJcdPbPoDojr6rN3U36yi/683g2kyjxaI5gD1t3EBfUsGRgBfoHtrmcjdcGxSoX Cv9jh7hCdWPPnRVyxfiYU9HcjP4ZbKhtxN1FGHwtCbcQsAX5CTiFPFLTRe+Z7bkMbzks24fFMohy pMFtoQyezRKi/n0UWUIU1M2dks7QSycPguyqJbUWQOo3pXIX0ArFUZY7lQ4TTln5Im+OVjhz2v5S 2T87sBkhpRWLFjkfxdT6R4hwZ3VcFKUhNjH96mifc49ynDvxbDJXQkKARRkYyAWwZWdohd0oLE3o KeiU5zo5ZIO9QJ5v6YzrJj8l2VpYr7QN5rl5iHsKrUWAgcL+RRNIYYugpowG2tMkYgFqZzEuiN2H TSZdNSwWTajLYx+KZ7O58k0P4cotsYZSztnUYDs2bPflhiugWSreXVSB5taSSyuwMHeVRN/P1gKH ggIwH7gPwOdK8XWQPXk4W7ylSGennSqIqfQ04qqEyH47hS22QPFsFs2Nrx2XGiehNZfFuJxgnidi j6FiNgtQa6jW0tsMiOXJAAtLanNjjrg54h/uPPB4QxG3ArnAhOiQjKzc8qHiUQf3pICFy4iFedGe fhDB44dQJkji4tmMdVY/GRF+YpjP+rhkUS7xPGOHp9OEOYQUt/fQ/2bv3KuPS4pY8J68ZsuM2WLD jWrtJXGzlNW7EkXovlKoBT7u0TrDT4dvyKCnwCo0ugW3cAsetoNzXKCxhGeTVRSEVqb1tgh2KM6d FJFLQw+SgdAZsmiu8l+j1FCE6opybRGdFLEgyV+XQFois5C4aQF/pSLULscSLpkbsVMNa0zFLT30 cBu+IQnosK2A1+RXrqLRvdxlaRL/xowH0b/BhFuHoMYlJZ91AhZWsBQLN10a3RU1FwERc8mJk0Jy aSMzHce9Hy/7C9nhMqnraVEesP+MW9A+AGSlfoi+IiqenHwGDT6K2vDqueIV4LLXevFstrt8ERVR zgg47klVcSkoiXB9YsttAPUOYiyp+UkdPiR4hHGclHq2lCqqDb0e0UbbtWBkLx0+GEq4dQgmF1Sm QeCMzYWijSfDK0w2h8Bz1FWjUnqnMpodeRXPZkfRfcL/igt6/BqWLMgtm294IlXcbsCIapfvuXRZ Z337pNoKyom65OZ6yM1ZCgr57MXa3AGpQGwiGAA10CoH3WbkjwFkEr7hD6Q8ELb8qqzoQIvjl7Si hWczWen2WUTY5vcje5oqiFUWWapX5Eoj4JLp2wg0VKlemztpkKtkiDJUuvmwAAtFfMbckCBZ7g2G tQbAT/ugW9g9xTdk6BaKhzGFpn8bPt/2xEuQGP6FZzONn/oV+2N7ChLEyYJcInctKi+uyS6zHQwL cltRZoBt0daSQ3IdC/XcfGuxVMKiWSSFNiIWDRRHWgIxPinH++TQKAnhGzKJEthZAS2kqxqKnV7d VBC7eDbTEHgquUBIypTMxyWlnmH+D3ntAFrRmyaJnYLdpfz3I3508lLuFHyjxM0LLhMysKjzof18 joR0u6hYcBZ8Zgsa0/yCRLORAFTqwo5ywlhwTYI/IFDZ8WwmpvylCMVOrQf/JwtyafPVubOTj4fJ I2GFdm4IK1wnKp4/y+SOExo+zxoq06I5gNgtpAJumWELLfOoJbY/3ecT75l1QwZJIdOvjuGwE4KB 6m4Lz2aTJYpzI+pQaAHxC28W59IlZM/ihf99ivcUF03XpK3QnKh4U+KZypXyYpojZATQsIA6SePm 0vcawzkzl2LfvzSeUgYNhVi+Z5YV8YAGXZFX1ef2cctaSMLe1PGhR5yr98cp7b3WmzLPCnkyPRzH gZfVpjunlAon6NFaRTeVV3AVS1GsZlCsILzf0HeuFJyDUibHhUVBCFT29RiO0+cD35AVuVmIwtZb HoqVJRaqMtiHbTybnEUxLgivf1GBvyvmSxroCq4wBJirG947DFg6fbZcYsF9Fm8OzRWBszy3wPzb fBzMFm1wFkSVVfvHypq/FIRtH0HYm4W58FeBe2vzq9e4bYVIKdeSxfs/EM8qzCd3aFnelHeGgF7G ARLhY4d+op2ybygsPBLcOVhBdHnlivfJFW1jgVHQnOyHAIoqmVyGcw02G58DmpWFmwW5oAniEJh+ VQptq1+yi7YJ4NmkaBn8ELpGU4nDc6KbonIRgU/a/dH7gdx1ej9033NHpb84Ci43R+W6nPKOmxcW LMxqLMkBlWvjpVouZVFQpFyP9BF1APEFWegPbHujF1yLlAiNNEF2LIXGsxngZwfIMpRPhwN+bqog dmg9NJtX/h94mPcAAIQa1Y+im6IVtkh497n5UQQazh60cLXtVgUolCcBD+vU9fcqFLWmb1bIBQMF XjTXr2qZ2TCLdtjLwbP/g04WMKwVKYdGJQ1yBxlE1AogQry7DNQhXQv7bWnLY/+b6oeJMHMEDzsB DwM8vg+QiQCDsk1gqWTJYqBo9PXptV5/zz+w8UYjx6o5V2ks0JEHR6gfPJulRN9S0+tTs7xpjHtY heqO327ELKoKdaZXoXbYp9wcrVDe2iHDUkI74FoB/9kmCP8GlBXwUwDDIXkFzJbGtjW+IQnmGrpn gHbpqjW04P7GKdAXns1Ucn1UZPyGQ8hD3JuWcgFNAoZTtJl+w1HxdJdzQVF3+c6Sh7hyHZL6xP5I t1iiM1tjY95Gwyal7BaJ52sQJv5wrI5e8w86ljCU9KtOIa8lwgKHz2YiAl9zhUfzM1myGBeBP2Rs pcxu/3K/kFFJSUcNatZwJL0ZXEGwr8UClm4R+KN3BrCgnag2W/qU+2Slh8pBXSA2XKjd8T2TUA4u v8OVckPdv8LkV1XrefBsVrL8BuSionC82CJ85usmjWVnU7247CnwuQ5XQAGWiSJ0eSSpXNIYV3/w 3Tdu2lsGLNYXhX1sFcEtmOotDdU+IIP6eE6iy/OZutzvWcqgoTOmDehtv1VkTChbety4+XQGKbyR Q8PmB/ygEs4HJWWg4QDuHfUP2kseWUmiFMUqLkpRQCbK2azkOmIqdAv0Px7Q/wXucpFSBPzpWiw/ dAY5LLqMB91Oo1F+xZ+0bQj77w/sH2y3LQcrONGXLNS9D22eJazziXRrSZELVW59D3mmeHPRQqYa 5JlIjWpJoblCQ/0n2wrVesQtW+aSZ6uX3/imdkZBESCG5vTqb5oBgBDSwUBcV22/6J4LiDz0fkkM U7844ni9U59Zk5V1l5giQ6eR/Yui9hRXWWIYQcUlRO1LanYmoYChjXg8fk0wCD6UJ8OSamsybRxQ la+k1gLA4IZNbAPgKzIcHc4k1A919T3YDlah3Mvgw8km/GF02lRFbPHguWvJwl7AqgFhcJOVK/0j dIpZAuB2IwYfp01W3aU8KHh2PW5BjLDQEUVq0qItZXR/TuxOqDz39gCBoKKmN00w3QtkKbIpeFUs AxF3cYCh4V5SFENdn9AXOEoI34ZxRklLvHjbWRzfYb8jBhUTpxTyOTeVgKqgurWkNV41BEjB082x unDMu1g9dnSDE8wGU0Mg0+xzfjEnozwl2+ySIhnQQCLyXlfVvu0z2R1AusuHExOaOL1Zt8NZO4P0 KqWc1z0KIX1j1UdppEsvt6nCHcEM89lvshh4S+z0P72c+gZu5xQq9RWbP5OMGiDsECswknyYI2v7 m2bAd8qMURZ1P8i6PY6kAmxK8uG/El/xB9RPqVc41/duHkDo16FjoEs48aqTcUm3iHqfoUmLvdcx mCduHtvAgLsjQoC8sB3lZA53uuTQkHGHWAfyCH/TDNRAMjAFkm4IJMEajaBDy2EGH/4LkIzrj1Xm x5m0ZMGwHCMugR2k2HRvD5y63Oe3jLCdrCWTYehFiEP5xa35SAzbvoxSJJg1FgIeysTBWxtlVARL 0B90k0W5k5Y/qulWNER19cBvbk0bCwD5cLKkPlImSGV/7+PSU/7IUNvsimOz2be6muHkror27O43 PEZKWvWluhooBHHTyGyLtdFRxmZz7cyqzguWDah3Hr9N9PAVf5AE7avGVbFNwW6PmbAY96W+EcPT bU57hA84gjQ2qUUaSua2a98QZKhe7W3QGfOaFVVhZQWWAhxkjnCVcN+Hj9XmvTT2JUZoHoJMAPuA 7D0kQJFs7y9+QE1M0rSXntGJh+/ehLT0rrkUm30AH/5Lu02pRf9dgcysqUsa5S2GqlX41+iuVXEA yZYoQwmJ95rYpAkiWInZjZuGBvq8vaKfhFrE0+2HlR4Lso+17ZIbWO6SBh45dK79KlAZkNccGAsU +PD/oIKpdRwFvZr4pEFgCzB4tlQxVcpwwZchzXCiHCQUiq0mNUqT/eYcKgKP83RobfMtLjp87zki nPerEKiJ2+jMLIV9qVUaIGGQYqPeY1++DZ+mXx2i1Xw4a0Z++/ZQAvyZNFkN+Bzuvft6RCxuFj1d iZVZqKa0GUOTRsTS7Jb+C29ekxgTS4pSHqWMKW3vAXIHMDhqFng7clV/04xUjgYt4AW6Fu0wrRPC beF25cPZevoXlQ87Yn2mTao+hhJMbwQ0MNsknbGj+YYJz+bbqS7nURO/tCIA34upkf1BB65GPKEg tXWl54GqPNYCQv1Hr+76m/5B2LyjhquruimYk1WLS++XdSW/MYkTvmTPtMkEd5k3D9picK+pXuqz WcddB2wtyihrr8kxD8JoMk/iLeoFqM1A+AvN/U0KJAIbhgwHROT9AWsWf9M/eDKOSi2/sDcBQbtK HLbOxoczqdBvYuy3pkdNjdPQELTsqSmaQTLTdHrf5ue45VHbkWY1dU47XErjuuVvf0R3F1CsXXCQ DSQnG9kFZRcoup0n5nPZrZp6p0lE9dzhV+VRe8u/AoIqfDjZiKPdxN1yNjnN+ti8x8PoOJ2vQpb9 C+0TlrRQ+ccqo95CrKjUVsKVzrWizmM2bq+OqmdlYXzYjycPaeQwDcJi7aGCQpvH3zSZNo0lrVv8 qj6CBfBSgN9FD2eI8PlVmsDWs0NJtSYOapKYtUnps8Ven+aMxH0+BEiAbnzepNVh5QrXO5VxSKHB ZCkgRGossqmUO8HE2hLTFOPEZSx6vGiSfpf+cWKPJqVt5ku+Vrbn8OEMjDe/pk0XMD2G5s/2Etpt EL5zghEFQR8ubsT98fPMbdQUCa/W4/Z0+xcgHMTLT9vBtrosWJsDudS4/9YPqqmPWnMGwfarNuJR psgkszc+nKQK7Sn2qRDaSuAgauqkRizqJrOZPHziEZgszNlctaFU5+HXxErNZVcg0/3cfGzWbIfG KNCzuENj2M+kAiVqN8+0QUGS35B1FWi2zT2nUCiBnZotGUR8Cx/ONMpit+EfQK5zicpE6qfGFgmA TqzQVPiTunYDGqAgudnB2lwvp6aGams6fvPGzdNvSzDLhYU9jGBtjQ8BFpGrgg2FyeCDQ/orvyJX nN3kD+kaVejGXtKwfJoPJ+W+PqITRbWPXwRsPjTvIXGTn/hysSC87lGbofdBSRg0RoBj9KFJQ2LC rcaiQCRvvtu00TEGJEM27PiTyCJ8H0p26GBoaBqRMPyKJO4b1EG5ceUXAJGvHl1fgw9nIfEXEI3H wQ7NzJo4q8FqFT0a9gOx3UD9Q9vNOD3U4SF47LbKufWE2pe7xi3alwc9NMjmnwqBLjFnMY8svWwy J/cCOnVna+qtBoTTQTuUV08XJnQOKMzVqt7vL3qibNXBEfM+0yY1n0DAJgsAYSOcCAiv0uLYCBQs Ffcl7mqhE/lfBkh2wKFngLYhcMGrFREEIfG7qEw/nsYuFahqbrDGShbmiK4K+6gniD9t7M2HM3Lx +tqIMRtQyfehyUrEKLbWK9kC8oxLIK+ok0DkFTQIdX6nFmtHWPoju5/zyIqi5SLKPjQuBh3ocUgh f4MYQNsP9Cp24jQkZgI+iBwZgRy5AEnwkLLNig9ns+Z+Zo0dAAhOfGSyiJj2NlcKH4DVk4+mFGGR WgAwfYmIODFZQ7JAVRTAFf3mOSbdBBftoYAKGsV93u3lUFHvIRWzlUWlHmtADp7R2JRqw0GMDRV3 +TeexYezwsS3nBtUm56KTWqytmjbPVrzYhZRQrK4eVxQ14piVuKy5pscaLQtbn4+QZnxbMrFEG/B Zie39coSxDMyi2C9mtqsLYlzzbiKfoGWB8Xfbfj58F/ySzyH+XuegztV6MUrSj+C6WWbDg6wPybc Ck9AsGris4ZelEZEsfB5CjbQbO0YE5pb2v8lhX2AOEDwQqjnPANlUKnPWqefC9nXLdjXltfbHGQo a38AH86UEeunMlxZLXxmTBYJQ0iileOsdPxLvRZ4AjgIazKU09H0F9bbf8lR2aZrOdS5NOiwn7dX 8bsQb00ofVNJ03u70980w0mTrk9d2v7oURGoxA14LD6clWvqZwNm0bs8Z1Nqt4Z2v6V50vi2f5VZ gsskYRQUFlqUa1K/NTdxPJJHPJ+z6S7wMKDvABL2qIQlsd5a4ZYB7yvv7QoRkDqu4eCFLVJcNWs2 4FjKMjcfziLh9fvVhrL8IOTia+K51sTE6N1lQOy/o+J3pdSTq5lBgNg34MR0DTAPyU0RKMKbV2uY WsK9D0VzVPkcObBZgQQr208mFqL5DUnXm15a/bmqxlcsI2VAMhDP/MF4LSRBvOhls+bEsZ17r6Hx AJrqb3hSOHlnE1nlnhQR7KXma1M5I4VudfMQ3n7NTpUQiz3WhLA2UTbIYw61Rj+orD38TTNBcAR7 m7CsHXIstwtT/Gtrd/HhvyA+ieGD4ux9pk1KgqN963gEAaErI60HoG+k9UC6lIYmjYMl4jAkbj1O UI8vKsPrkr1v28FR7tkAEWjYDu9DPtjydE8s2EBVYXUPAiG8qmU5qTHIvWbw4STrLvNrG4bJV+iw 1tyD7ZLTedrjjtrdl2LRJ3WhxrdWbDV/Ve6tcfOtpgNK607d2Mi2RCSxbG0O/aKq49L6ZLTyKzJ9 kAOEfb1+9W24jSDhLz6cMQS/TijCtM59FlQWB7PGMEi6ZB1ry7jQVqXUh+Bt3WmVNPUxf9J8mE04 4BZ23RDCISJl03Ss8KPolQQ2OMpO7SkNUzWfX5EdUShkXUZ91wHaY4MdSj7cRUUisWNDaXh94j0Q t+aznnL5XkSG7HMQFSuvsQ2lne7ArI6Tz7fhDCjhrOwt5+k9Qh/82iY2gLpFrwUchObUL/Bj8Az1 e0UUpLtWTf3YaIAzkb7r6ijqXqQiZGchH/6rJQOI/IeuJj40qYQv/tpNWYJ/6FgxnfLV2/WW92xP yzt3ZBNmbYuSsJ/eZUdZAw71MtadkpPsm2BDYnL604Yqx980YyIjbYKAvK7aa8rdUm1eqPAllmxf Ytic9QjoUYf2scmC4XOILi0SY7Iz9DphkK5Jg1xk+tRobNJgWJtNEwSpzWjRWbJrb0HzsX0beANd mw0+BgoTkA2N6uf0N/2D+FsrUvlzFwKQeprLYaOzm9iyFYmhEw4gn61FtdVILlNnNhI8NiI8AkGR IwslO5DOTcTGLHMNjc6fI+LB9rJuWlQ2W+yYIgLfpuddck9i+6BBzGaTfSsYyW3+ptl2w/SJcfGO QpalZdVh1Xfz4eT8XvOz3dBXvT0HeOrORsX3Rj81IrMEyEchi2YLAksEsrom9myu34n+7I6bZo6t SEhNsDZs2QLYPtHh3NJDivxSqkQ1sWcT3OGAtu5XRcQDqnus8Z3Dh/+yqLg3TZS9YmBy9LDEvTzx HmeOgEqg4obaxLkrylipQZv4Gv8lqjL6gi9mZ0XiFjsAlyDYKBWirYPu3SPAc/xNk2YLxqTjfNBV ENmxrlTu7Dv5cNaH+peT6kZUEkOTxcPAYbbCojwzzLYDK9FLYCU+gIDEpC3aUGtozozPnLG9faNR J33svp35sumNwXaCH1Hj+ntmcABQKg+dLM4M/U36SuIvs/2LD/8PenDy1wtxoprYtAUBqq3uQV+4 QQKfexT01UdapeY+bbLcLXPELRq7C7IHlCeCnsLowm3ZdgiYVfuw5XRCJTZtth6OoHzbr+rPjTqV RK11+XCmeVw/YABa7JUHypfYtFUhzei9RbUMi6gtSiRQorIWcVB6DY3s+gefNuWNTsq9Tw7VAdHb LH3C6LzKPehSyoO1xUcuY/lySsPhw2b3rH5V6RPJB1veY3c+nM0aX048oNa/AGupVxuRrwL+M7+k MZ+EZ9yBy8Lx8cyatCysaI89Sd0clXVBaocCtI0L5qS4UDDMqKjrYLrG2Oj0Tg3bQHI+fT1Xzptj u3mT9AyKfLlj23c4jElESS0NTRoPD1aDa3CVxdxGdUk094PjcbimbU0823DKqdWtwOY+gQ1isQpL AeaX5yw3bgDQ64JcDLx21PmKv+kfFJA7ZfP6I5uHCahuAuPh1LftqX9WjQ06pPcBraXWbcybLnqP /R+ZrHrYZ7vdtHAGOSYA1l2jk2ElukASW6bW+zG1brdYxFIptYgddEg7j4pOHaYl80FmbXqr1sS/ Dd0cSBnAqEZXD/tmEfN4n8uH/1I3Z7ZAsVQfmp/XsGaCNoKi4HbuBlrIjrCxbX1IIPrc652W16Bm QsYVm8gWEJQ3NRZZP61ArYKHOQqxHBASLypCsgOw20fi6jWmmVLiOgy0dBXYfEC+kf86ePRlTCa6 bByT7cEe0oTD/9t+hWRMWA2/4HJLVaSx5Ek2I/BS1LaF+5yShGRMtAGvIw7deTh0ANmVc8ksbCBK CmzdBNVHN/fEmDiW+nVM0GHBHEGVSlfllRaHKQRuqHy+jgngtFVjIpG9/YuyF4fkNZKZXkFYVHIi +N592kgC5rKxH4FNMpxKr3EMponmx+Qs4E3TZM1GHRvoBDVgBK+KotAFgUhJeeCwhH78vIYxE5sD Mm2c9br62qG+MvnK4+c1iJnKJ54RwUkEDV+OyGsEM31LcbFJdp56uBPcNSMfuF7rfI1fJqoYzAau 1sWNERnAopVLJUH7p0XSRanUoRdMk+thiHEuvuX7kCBsg/wB7EOxWTfx5CxaZH9y2rn98xq+zEdC 3GcJwE6wbKDPYrZwCvdkck7V2xa2CAKAYrSgF+i09tfIZQbUfjdy/new8S2EsZc9iwJoaNCDx8rQ BkMDN4qBgpWTEHBM/rzGLdhMKrPGuf2q3HF0UdwtEzs/r1HL9NKKhqTSxULkDPqgJWNCuZMrxwoR 5h7lpq2eyiQPnxvsa8Qyi8A3NhhEv/KmlVPaQTEGx7L9Lee6cjS5VUAkjhEKRah88i3fxwS9hUPh al2VAdivpgKczeSf12iFJ0hME/6iiLQHYlYMymu0gk25yaNBNid2dtIIZrATKVoYiFthS5ANCsm4 W8x23nyiFChlNGqf0XxU3rzUG4TLB8VJvblEpfls7YCjDPCjX/3QOVP1k1Hrz2uYgp1IFGXNE+Su FTB5DslriDK9QQAbqKgvrPqf9YUOjSSundcABTvs1FgwcN07XMgndGVQZ0HlxX7qUdiLXFjhIMRA EeKbpPIanExZHtjaqtWvmiW2MFUcQJH3NTThm63PZgIOBqBDFIdKxgNtKosDvW+E3qB6sja0MR7n slUw8SHv+2sh8mM3HTwtAhML2OyEqMwPwQ2YV+Es7UPIamrzKWJCEhnf8D4kQFOfjt6uriphjuqG W/esn9ciHc4cAaL9zKHd7pgalNcCHabkYqO6lKeg4LDExg6i6P3B83otz81QsKLek980SexQwe8P WS87di32X0ud/MEIUVgqJwcyNXwtzkW0Noka4lVY6AFbVp7DC48mm8luz2ZCv4xfALKcipgMCloB 6FWHU6iqCiyys1p3WbQunvpkMax0SNdR/emMT2y/oQoGXS8L+KFXS/g80Brt1P5tXDGHKNrZ6qFl xaBFwwhd7E34BKfK4LPJ8tn76yxGpLR9i32nMOFXwGHRYepGn1C4s7CkAJncTcmDvtrykO2dwcRh 4QqSFMT+SEFs8GDtEB7MlVcl58zSfcwtwGbPp/o0u79nts12Kstfv2qb3Ve8FKp6vTOYsM9KAlrD gkJkA8BB4/IeyhZxJfmi2liG+8CgiDB8YxnrwcMk43KpRbTJM9XNw3tIO9dFE7ICVSUBF8F1APYO mJ0wUBK1KwtmgZKzpKnGVXut5diCw7QJqGYWplCMOI5kUlgxRTUuWUALzWkIB3lH7bYtpq2NRpP9 y4ShRXSokx13ks4PR924ea3S5rwtACodHHh6C9cAeExtzaGIDrmr/p5J3oOmEWgbflUVd4/L+YKM 6ueduoQw54lVMG86IWMt9pf3qFZwBPvfq0soFlLSiIWRwi9gcdAB9NJKNjBElB01HM9HBpoeDZbI sogLOWkCyzYlNIGVL48521CNI9tdEONXVJx1VVmlu7qKrX5UnJJhEczflxGrT+3ZXrK4lqVXakpR UWWyauJGZHAjQGsa0mcqqbwHtsE+2ZK15M1HBRo5MAmT2jFRT3DHsWEGb6M/cipTBIssroX0uSUN M64C21k0t0R0G/3nnbAUCyJGhQQsGmJyVN4DW2DKmBM88rXFpRTbXEM0W4vXe6CDsshWBX/bP56b LyLYSg9sI3MDnCEj0XkJr8Iv8SmnqCCWhbbIC+xsBsydV5UnK6WN2Le/P+9kJUwW1fu3KylS8GzF GsqiW7Ym7HCXMIj90lThhCczXF1I3l+D2tiFn5IdRorjWDLhzWcLGvM2ZTfcdi2Pc+ccZMmwx9EZ 7dVJMY3fI1y1Bi0nRmll1hFEY9gEM/qwRODnnak0HaP+xHM4QSBUrHF5j3Jdk+YsGfbRkGBGIrTd Xqo0rjFsulmYu7p2W0I0efP08KxmZ3ZB2L8sr1tHdkuHek0V8OTH5VywoPcw113O6+eqPghOI9az J2RCsjj33PWpwKF9d3uc0VmcS7/2PWj8Qp4Bzd8nGtFzSGFygWo/ubmkgS633L25d/DmZckBpXDg G5C59O4g54vDHnhvvGP0h6gE905R4rDgeEZLXtdIiCZ/8H7Ra81CXSFKY3tBw77EMnonKGHdYhza HI88yHAJ28FCBotOWFpcRu/8pBlds81utW4+Ls2ioYLq1zwTDvOyQaQjARuK4F87Yf8evef7YQT2 zoE4ql81LoUANQesvtOTYvOL3Bm4+uNVp3dqErMiFJtQx3Q/AidrtYu8jm2hS0Nyjsp7pPt05kWE XA8REpuuZc5NmI7ZYPJBrCo2F/wbnn4htoNT5eedmMSwrP9X4WnAYkNaO3vsn3deEg+jr2IctjfU eDQsWc0W3MNWSpzQlzacNGeAPtyQZng5OqHfWUkseb0nAPb3D9hidhRtUda6aiROUsrGL1jXwZ2N 9/xTVaH07VdvywOEiX/ZDv7zzkmacgR5hgUFr+plhXdCEuoK2A0uz3aGuZR0o54DNdlQjyNikjvu Ox9puk16pWuM37z2dBZFJisVSdEmc7gHak9ABc9HfJO6Gz/vdCQm0TTcmnH18n4fTg3Z5+edjeS7 NUeFxrIIEkDT17hkUS7Q79VSEz+hu+w9DmDqw23JlgCZGJe0eHvG25Y77DSzLaUJnwq0pxQxINAI daKPGQE1Jt+ZSFxBdMQEv4pXVVvO6PJYu6P9vBOR5oPa3dSYZGsXgZUGJQtyO2b9IPZRuWJzitap J4pQgoJPfsof91tW73TzQbFIEJY+qGhD6NiN6yBxVOFHRj+/cNs9es8kbGnU8yUGs4fC2UL1VmCx M37eSUjTNUpivyV7OUqV7ySkZ2cRc3iQhLScOVFCA2QAVa258h7lyi+FOrU1bh7NLVRXQL2BZgyC aZntsgPfKAD4RP8sV76TkLzhZEkiSnS8esVlqAtvAdH9eecgTbWpnzW0BcqIcUmjXODVSiF7urEm VbwSRYs3NOEHtOZ1EL1HuWAy4e89fFg3P5438wkSSi7Eb5QlYNvi6Xa/kqKr10yGBQIOlYjd+rhL Wgwp1hk6Tz/vBKSoqGIY6OeA7Q+BpIYlK+XCfa0KuIggd7AqgFLdkVMmESfVsbrvBCRWWrGVHhqE 6BbDAsNdQKvA8Lu7qDIDWMeZ/D2e4jYz6HcC0hSdHro8268iTNibTQX0GJb3GBf5jQ/L5Y6kzc2H JQtyaRZKPpCY+GM5onDRcBKby6onVtF7kFudiH/kAHM+DjAXFVdLcyV3XIYnk1gSxMjvT0pEAPM7 +YiLaMKHFoc0rxyWBmkVDzzWzzv3aDrF9dNCnL9A3Kutmoa46IVCb9ozRZwUPH02KjXMFG9pnkG/ E48+meLWFrND5W02aMC2W1iGagh5qZ2NEATyB+tDIGHV/5129LSaJ6pzvGpQFuwlfqlNMH7eWUd8 t6+6PyTcV4Ry76Sj6daPd3ATodZmC44ETsFGuNw5Xmx55xwxH8KgnMZKN28aFOg0XDCDgMsFD5lA wwONiEagChHR4Z/0TjiKMSEkR1cdQnY6XOWIerVk/ZTvHBFSsdEKeScbcaIAkkCFGEISgG/mmECs hPBu4EKiAZ9iEtgJ22Lv7Ye9NwFDOHewAIXdtlC3YOE/AIW1ffzeIfL+8040YiaE3BBwT10dJNdU 6cZo/7zzjKYzu2Ovhb4ZjnkNShbd8kxtrACQBdvcvK7NUmugEqrvKe8soxkeZIdUN918othxNGEN ipgfjkxTYF3UNFkgIwvWfZmX3jOL+StXDhJEYCj4B6HHf/kv273bzzvL6LugEALQgDdqXLLo9pJ1 Sf/Kf5jITmkswdVrEKQ8KBrAQO6dY8TqXtfC2HHTuNg0QTcRlSa3Z1AhxrZxZLMfvf3qL/mHRKjD YUlXdUJuu/QRsB9u/rzTixjdfhWfoGoJ/QiNyXtwizCA4KY7XG5/VueJnHNk7TFpRKidNqvgMgOz LZZM8v3obaBXYMlPp7D+KoX6pdAnYP2bRpj1aRAdf8+kE0KUP4FxvCo/vONKMtJG/+edWYTfvX4d QOBsVfxqGpcsunW/uirQV70MKOg/PMAYppjmQqTHNZTWcBXty72QNx8Xy1cINEXxyY6fU+VXh/QY fRtwRZyPRvnBn3diURSfJuiyuioZQqmcW4vtNj/vvCL+9j4u3JoBqnxQce+8oumY7Tbug3gKvYpZ rjfOOvNdjktaw+UhtCWUuQVr3BwXy0AbzHAnVP9s+hJDyfnC9oCcCNQKIVHvnVZE0BOyxHPjqkDO ImaBtu23+HlnFU1Xzv10FNn69rD/nVTEavog5sVhTypiUMijhQPxLSGp9M4pmq6nbUcQobe8+YQ5 wNsiULFN15I1kkNhUQytIjs2oTHjVTlaCf+8U4rYgEaJBXAUXbW/2HkvUHIbfLssS/xqhgA3U/uz 6WYBLjL7VqkHD4J01bjQppp8ECDKenci2jubCG/NrHhvhfX7MX+57G41gkuLjdIWgpKMuQv7xX6e haRgLqviDjp5gJ2uq1crbTy4FCwx+nnnEvHtvqCUjRIr14v+71QibDCFmuCqSCJNpEoQZsnFYUZ1 8IMaNGFyeYgrnJwgP819X6FqgrZ+l1zQvnIIhfUj5ILu/cUh+FRxq97zfVyoutrR+jh9XOkYTyCd GdBNC3Z/3olE8/E52S7IYGvpAQ+mgIVO84HlUGT4Jf1OUjpRvUK9EnTDon33nUfEQ5TTZQjdMh50 C9oglh2C6wr3VOZYgModpeyoM8awgMTC9/zTMoIskK7CD3aIunLfnevnnUUUuf0HeXt+ASPVsKRx Lkpqo7WwIOCfRNKDOK+dxVIHEL5ziLhHab9VuFsCqcT601rAXNruskqjBk6l/VZDXf30x9KD8cHP O4nogZoOHkfDj6Mxb5Ozr43K+XnnEH1XFZQnIhHzlOidQYSTHSH9JV+PTE4GICwlHI9jppxOOSxp pMs/l6QRvwUVZNl/Ls2tM2y/k5JQRcALpC/O3odCNPSeySnNegKxzLyqBgVvSbaILL39eScQ8YT/ 2lxo5gecssYlreMSMU3TNG0uNdAKlTr7lZw6B/S/04c+JcstqFjUn9E4g4cHVSFxoI7uQMKO/BkM 5f0ki5TbeicPMVkkUAEUIl55RvcFEdhfylzMn3fuEEvjTwJdSYiGsKwPS1bJpQzVLCROHXLqjzPp cbJNWuQAaE4QxztzaDpR3cZ2PjcvK1DzGCB/yzHsbFbZ335lmin8PgxFUsh+3llD0xUPF1hOuqrm D1wED6IFZHIa6favwAW7BYrvGpMUhQtCWaO5IJNFlkWZLN6upAgCdo6EeqcMzWD7bnaXdItSS0F7 eOgcYguNgV+l4cRvfywqJgWT3vlCU4pMZ6KvpKsyaEIU8XfdxmeTU6h/LyCshBIFqHeu0HTK/W4u H4Uszi3rBoUHAWJf7TjG8p0q9FVrYdmANx8VSNJ0Kn9v28urUmgK1CMsGBGySC3pnSbEvEaVp+JX LyuUpqBjzvPzzhJi4/mr/jSJO92xq2QhLjWK73TgqYU4bTs4rEz9b0gCvDs0Ujhu17q5z80HBdKP BQ2xuenhTRDZoggNiDZThAdQEv0tk5MZtaeGgFNXL1WOtbUETsezSSC3zldGpBhXY5KFt2TuQE5c ncRNLTAWoPDzsgC1Z48TKKvfFlYgN91CdIv+6oHtHS2lbNwba+0QNsEkbhC7+ZSgTtd7Jth+hLeW eFe/qu18xGGkjj2eTdrOdX+Ht+DFeBg30+i2Ur/Y+2MoQTlaWSbQ2FWa04f4KRlym90Php26+VRp fQ3K20MFsrXCuGYdJEUoPTUS5bWvUAxzppwylnCXXEJDOn+J5ESAZcezGe7U02cdW+ApedA/s+iW 7cfZ63GaPKsXGJYrd0ziCQN2OjOQgtDHW0Ae3rwydzc1vUWOAePZVZ2R2WPHPR9IS/f3zIJ+dNgG 9ZFGFCxrGe6RNcbBs1nB8nwjfRrkcn1Y0ui28zVcaLcV2gezZbbdB3J2wLd4Ls+sistEHefkc9Mi GtzIoZE9LQCAoQCjW4CTG8D2Yz3YDbJ/8A1ZsQXDcqkdcHssol5lc2TfcfFsAvSP5pBA7ZCl5vak kUkJZpMyu1I+QkTUljBQBz87KHd2cGKTJ3EoC3ClCbDlX7hr4HFn3zZ9IIoLama7aKMR1YIfDwWv SXW6L53mmQW4gICCRrv8qvLcaXQGQ/ms4dlk0y1f2wsl4f0gmhnFjMomDUN4UfVvl5xeAiwlpABB emjTcFRymALj/abV1EJGC4C2DS/crVrLHjyd7f/G/g7eXX2UA1juwTdkXTOilJtQypue9sOy9MEG HdxI8WwyKucrasHZxS6txiWNbxGnDeAjlCX2MRwD1dl37fRLcZDyTHlmW+mhxqWcB46Lyu3ujOUO wljCPDqo3vZboF7/pEO0FsU3JEc0FUEHNUpGIObWduE1C3T5dtk68ho3J1ZHHBnku6yWS7hgkT0q 2HezCQOFBLfRnM02ienSzDOv5bboEvrNawrIWID3QZm/4W9wa3Mo/QxYjT36WYsauzOr5dKQA7p/ flUtFzqjnjxvPJvsuvNr18X5dyPGne8xLrwDWIHCr0b/4UH4enF93YnkuYGiPDgsWYx7nds8dtyc MmMR6b2DGhMoh12pbwCaXOGM1MrDrVpFr/mHs6gdiuseDwGGbVeyNOq2k+LZjJb4lBRAgQBYPbpn M0PjUniwEwqrClRxbcdNejoxUDBtZgVqZkAFbS5Hno+nMudvACqcimSnU15i2+xhirDIsIFLDVq5 0T0DchvfkIUuiP4LDKN41SKa6uCgI3Lx7F8Rc5UdEch1aFjyQBeSl3SyIDRszICGwayebTT7i5xg NbM6rszoYL0cNz+j0Uos8JC1iA4dgOJmCuT13l+U255hmXrPJFNENQEcNr+qjmtpvUL4VQaeTabL kz4XlqCQBK6o/K8s1t1SdXRqov3Uwzkzay8ZhUKoyM2QVlbJ3U4NEZJjrCdXREdkyYIDx1ITvhug NOyytEJyPh558SsLdSuT54Lh4VWodouONDfraHg2CXUfCAe70Hb8Aj2hYcliXaYAF6X9zg5aHy5b eHDgD+y6KFFp111ZJfeodTbIzdwjfJAsHrRhmY3rCOSIy07bOLQ+Rs24P2KXk2a7K6eeQfcIRR1d NS7An3UWocbCsxlioX8dRvSFjoLLShG5SLYXCTbUV+uELHQyeze34jHWdanLlZVyaapliSf7IkdC lAv7C+kDkN/CdLGd/Lq4wJG4Tv/0zwCpxhckaELVWlhcuMHf7CAR8zyx8BfPZnX/L0AuaNAoUmtU UuIZlRQ6ET+DCaN77I5976Os5rWFlQW6UosF/FY3vjQqud3iuA0J8rlsbO6qKsyg00KR26huWxY2 8PFZb551bYByeXXWWYWgYKH4L579296CXwr3J3BZWZxLQoDUpCWl4EagsI19pBR27CxZnLsFa3F9 llMefYkK3THYAFiebmn9kYkqTmXIs/zux9ynUpYH3/CHrQWakLjS9ZaT5U6mmb+gcOHhjEr0BfUH FxURhcYli3OJczhwE6PXxiXPRixFWsayEIUTg+OSxblH9VlhEngL6OnZ94AFZfniaRefBKUf/Bgo 2GOxRdFlXb1nki+WQUb49Kt6radI9RMGo3g2w3LU7zQaCmShp5AicjeL5iW6ISdQ7ZuW2qhF3Uss B4YlQ+Q24X4GR4c3P4iK/bSrEN8C3zkd0XuzbIgDBHXA38fHcaWKCoM1KAQdKwR0hx3yzXshGJQ0 yv005gG/qr83MuiVVXJplNKWwwahEeuds4FmqDRi4Uin7TaLcreSoaqlJMn1KoDztpiClnNIzr3k QulHcEIQbvpcATez/qysmDspzzLn9av2Fkifi3R27s9Kw9z5DZwDP3HEXMmiXKbQsOrVsLDEz3Du XBcRsPR9elt+pVHuFlGehQXetIQKjMvZp7I8fEI8TUJ0qLahlPuhy1/CCVca5IInMypRHDVQHJaU 9CmhwdPxbNaV9+Bftiz9FzJXGpU0yEWtvdCLCWdPgXtMpQfLEGKbLY2QJMmruYL+snK9QqoKcEIL DTsYqMgUITRM1M/lQoI0MJGnOpzRUsY3JBvLkJxw8avarPDsouyTPY5ns8JC+QpyKdUCwWoOzE7r uZjQ99ztueKhqvJEYwrAeyiXd8RZg8IkqUSYlDiuYGL3gYnZSdgvhwMojrt0PG805QjF6fNToPPX THJFMgPgnKOrNlyIUtE4royBZ5NxGfNrEdlyGMGW31mISxoR1a1ZWGiXVOfzS0wxe4z4SQBbsL1l p5wziRxJzn0/cu6QZaTnPbAtts+MK7c1CnODD6c6lDSW8RC+IUsVB3UV4qotF4elh/4Lz2Z7yzem EAD7KEPtLMKl8sDYdXoZijs71tNRfAewAj6Fkf9OOWdTwNO14xZd+YKOGfcWGBld6poviNRWpE37 EShhIRJfkEH9MR5olesq5MxwbTlbn3w2GZUV1TmWZnDG9OgV7SzGrShFHtbGFLZs51Td6mqWs5bp Ze6dF3OlnPafyi1tzztgCYbIf6/WpBQLxQCstPHxhW3+llmRGwk0lPl0Vb0F/ScW52x+4tkM6n++ 95ZN9nusoizKRdwITFuj5lMrgiYPyoheQS0xQsK17yzKXWo9z1Hj5i20uxfsohm2QGPBfc0HIYWT GiqPilrVe/5BRgCcOL+qPIcYhnpq6BXtLMgli/nJiAYLKJ4S7bSaSzdAibSrbeTWIgVV7oFwrqIa oHHJ0AqyRICUT9yibAkGxWBd4S7wlXiG0+GbxAmaCbdPb35nUS4svc/kuMwYF9usVqOEGOTQ8WwS 0K3vyGUgofGkaGeABf5B7Van4zUhfVD83+6eCzEnh0LtrJorItHuwlvS6lzBP2I4AOYQ/NsEZNUf 2rmHOz1+8I/SdNF7ZpsuEByoHurq3fkjnqj9iAPP/iWBpgHhnvTd0rCktDOEI7ue/YgrbBdXQBjG KhQbvxqWXDtMq0j96NmiClVsSvQFVys49uwzRJlBiEtd2vtxD156ywTcwpo/MCe6qnW621axxV4V z2aIHy+2EAgDZff9bLkZZIEiaHCCcaJvac4POZUoIK1gL0LtLMwNLgzDXN40WTrMQyB7BHpV3bYT iB+Cox5SMa18UP8sQu0szh3urtf8qnEB7Yy7pkWJePYvKEuBprB0ixN9d0o7w4jscMRF1BH+27vq X/DWmb635NoK3GyPOq4nOq5oFa1+O49oqGZxs4WWJ7CdsLf/2L/S2WmnImI4nBdOR10d9b+KaGdr XzybxHPzW/NVhjYalZNFuXQsbaNGEWq3KEKt7YFLewTJT4ZaWM315m7cHjKE/TCQpZxAMLclVah1 SC8mEPVh41EI96SohUsgISmtIyitzb6pSAm3dzz7F4ESCgTTmLP6bDlpKXecR1GBElb03d7gWm8h pAZ8Y3xcUtgC1cF214HUw7Bh4LC2zaVKFWqB80+QCyqc7Jrf8zTQ8NPhG5LSQqesGtX4nnEBulVb rm2ieDYDRPnuwo3ItpodkhMn1cOd9EcL76/C0SSGoy/hgSwp2l5aOBlswdnyo/mN38Z6iwW6HUMN cbXS1TqZ/UgS0QOXHoq4Dd+QlaHQgEZKoytXEWjskl5pnX9jFv63r1VEgfgA/5ycf8bK//YK9+3T Azo6UnHTraA8ErRwUlQuSUJbihyuQSopDsKVCf6xo6NLO3lRvqpjo/5wfcVVPHk5F5D/LkKE5xcD 0GpOHbDC8Oxfdhc8hJLG9RT6pLq4zBagfjDYV4QO9qBnHAobRC1bnBCjkrLPFOZ2FS17FC0n1KVX WYhbTitAh0ilnPp/KPKPj7wCdRtPBlogyZeWc7pqVCCuRqTYKRXPZkfRE+Yil//dPQQ+syAXWqxV YBrmilSoJWRhjOG54qN4ev4mrqDeWAk8/rgbbjCQUYBuMFgR9HhFc9Y+Bny/89hjYHfDN/yBAD06 ZQq7G51bKjTkU9DXXHg205UeX0Gu7fI7evMnReUCVAGej4py5RwJBgOyIGwUe6a+46ZBriRgOca6 RewPMSUoCEPN5laVcqEq9kvTvPZBuJCCiG/4A8KFFawz5FhACGvrRRz4MvBspvHTgx2i5tkJbYWT ss8m/0ukWewpcpZTR/lurSach54SnRSWK7htG8/tU1mYoPyomDsutx5JoAKyQMNwz6GR5+AbkgMa ewqD2uOhrXqKRaHHmRfPJjvuI2eJnVeA6ZBSPik0F686msf8ULYUEaIdcoxJ6Gw3Ipc0zi1qy9M3 cEV8PkHyadheGM/Z1nvldsqzAVqR95kuZGbhCxLZRkRysxPh0h+Ey9iDX9VtXeLZpAe9fMdlI22z Wu6jknLPEIlB6cjFFeCoKXGFfZ2TtwTvwOaSS+U+hRS/+SrCsGwaSF/4fdHfqFIkqgEsBIEUP4gO lfhOFuaC+2WZF+E/y/tzUECpgmJbqIhnEzXL9lWfYyEKE4jjcrM4F/0a9COmIxZaldBC3yOE+ABl cgXhrJrrVdr/5J7B071cyfyAZOVKAjI34B4BvphSaBD3+Z5ZVoTtFstOV1XoLEIvokJ0vt1fqBDa HMunVXTfw1yIwlIhC+A8xi2S9+HuUvSv+RFWu7nAglpFrg0bgCgLnwt+0wWw5WjwLqWEGMt58A7t NJYZYogMvWdScAEAtRbq8JXQ4cNoNN9JN57N9Kajg4azFDaqN8rcN41zq1LzLqH2Tc0S9BW7SlIh sqBx+Uucu0uNW/QVbaH0RStB2IZsJZUFOEOF4NFAq/6WyajghN7sLu46QpccOE5FuZYr3v+Belbh PQkojcYkZZ5NaizM4VUoHggU4UMg6niF5kK5N8criGGlA3o/B3QDKqT0fqmr1mQKWyf3XQCbR/8c 0Cws3CzGlQgfPRZ5VQaNRrk0SsrFsxnu/yuaQ3FuxQF9U2TuZAjRxJGBtv51iYW+JX0KxIILtt8U metLqO24+TlkwwLHwEqe4qmNpfDJnRmFp/WIH0EDn1/wJxVuOmL0wM/DBsi9zizcxbNZY/7pE4Xy 6fAy1E0lxBCneLLMyv9xJOFtDhubldRlzpYsyqXdHdR7dtxiBR0bJWqcQl25jCvxowXbwEFhfy9C UW36pjq5BALydO5xOoOp1HgMAZ+EZzOlrI8gLKqbCF8C9HNToVw0H2/z9AfbXsQqnVAfiCywDMBh yQALilr2Ukq0HhwH6iBwH6LwqUW8bkpP4yZUoVC9inYrZfhuVsptm4uIuJ8dboprAQzFIeoHz/4P KVHHfhajkga5lYPXogolSQ5ILMD71REL1SUW7l8QC6Tu6eZr6I7Z6K/OskIp8gsEmAJkq18pLHBq kleLb0iCOXjsDJSvdHU0lIUFKnD3hWezKtSOagu+106hFWsoLeXSYpLASHJnug9QuyKOuPq+by05 Llf9IW+ihQzfhGK1xbOkQFv+sl3qZ5IuiHbLRxSK8Jab0s8Oiy1kFNUZvVY4hUps+vDZLFG8kRHF 2ez5881C3EGmr0hVQLmzBEgiK3JvmUGMGedQhljYKlke7btnPxlR2zTc7NQOHr1IsbFfSr5ZOjoe YjhQsHzPJJSjAfIdcXVY7hlClFp2jmezyRKF/19J/awozdWShbiA59n/zmIt479+HLBAtVJmigAc SFO55Cq5rFxLIIo3r/zvaenRoth0sz1mURRoYMttyAj6eI6iywOauqLvATxs3npl0VICq/gG26AO e2nTfkk+ncEKv7NF6hmckG6sJTU3QxDWwc4eBHMX4k1J+t1VJICFWp3MzUoa6ooZXlW6rA/jyn5i FFSO8sUDRRgm0sgXC74UVEMVoxiT8CuS2uViw6hPv2rrHSD96V+DDyc5QLTRmLPBtpfalD44qTcE Jfnu6qG1MLuXpDig0lqorilWS4rQVbn7P4OYYRkeaqOHsEsbnyPTDPoRA8uAHc4H5/Tqb5rBgCqN veKqHRjS3lwpts74cBLH9K+2EftPn6HJKrtM+e7yAbF/LUcw7HqUQkIwPRTcS+p41t3CasXNzybo c9x9pOZi04bgpEXCfUE5GSAGd21iJwBfkcHpUGlojH5byNzAPE1KWLUMPpwVd78cvujjWB4rhJKF vsghGji6tFqB4dwRVe9C/7/RgUZNEk6brMBLT3vorT0375JgnBqOOsjb38NelY0NUnn0Tnp70EBk lfIrMn37DQoveDW8cmwsgKNHC3rUlQ8nSUGfXyc3SoZ9fwYnLfNip5j1qFxXx3LMFMBTl9qFo9zj iN1a0jqv9CtbeW6+Hc/G1BznlG2bcxFoNtjvhqDDHp8K1Y03/QOEbArpvR8FExi1KAiugw9nnooh kETPFZy38z6DkxZ7t1wEWyAaupr4bT255KATlgYni4O3LBVXeW4OaejLQl/IwdpuY6HCYbY5UUhG 7g2+3UMhed40CW5YuWsyX/FscsBmUfV92+z5cMbcC6yHzhPbtR4TzpIKMRRyJJwNAJrm1o4z5B4B +i5ojj42acn3PvS0f7HU9kHkt7jj2EndpZAzKCO56aYbtaoGCo/eNIM2sI/ULq9H26rlMaovT3uC D/9FrJtB4hSuJcYmi4gBAqx+KIkm7H4ah81Ppk/E/WhsMkEGN1lkv0632I3PRWqJcvjFSS03eohZ QoMYEKbHa3HGm2anOGSGyWyswWyES1KXnJolrXw4WVTdK5z4canR+kR/aeEXv/PmrCQSvrgHWJcC IXq0G4enn1Np5Vc2ALL6XY/V74SWSYeyLmXqzlFLm73V2mhF//GIXsPfNKnPoEeAlqZftaLaoTUV pAg2H/5fqBOD/tleE6+pUxo5Mm2W6dIMBLMzvaQ+oSpXJOBPfUzWzVfW/Z8iBOWgtIkKLVIGKr8y ZViUXexofjz4TNmwJF5p2E1JPoJQNa8BiR8S+LEP4MMZq7x9QahgNhhl8Zq6paH6DaS3C6eO4z5Y EMZtUq24t48YmgzoUCTDLNME3hzQC7OEDXgJwj47plwdkjp1iDkfrwS5guVuaWCUWLJR/CpoWSdT Hdvw6nw4CYq/LUe0jqOsVxO/NAQ3FEvw5LJRpoWYssIUmlAHuhxzq0kN02i9VUnE8ptvNbDYs/9z kVrewa5iqUZuHF0sR6doKfBLLdMQdtvXsH099vJt2LbKq45kX3z4L26MPI4OUrIwNUos0+xvQnZ6 Z3gm3Ad4d7vHyeBs3hiaNCYWQPPI1ujMp7hn+Tu6m5Ni1ZYVTu3CdN4iRvMD7F3V3zTjl4NGgV6D rpw2yzasrqnQKh/OTu9/cfowtZ88M3VNo5NRR+jRiXnY1MRpMEa63kNYcBrQPpzYptlarmJqKd0c jxDZhRT+OPSMBn99SL+CswzqMIgvHt2662/6Bzn8MU5c1VOBiRpP5AuLodQ6rT3aHthrbD99mCY1 sU4rkmyHeqXvNYE6swDnukLOaaXGXpNHxKpnSdJvRoFiIkE+tiQ7y3yj0cnAiUmIG4DFeyCbxd80 I8iiaNNY1wq9cAu+ypKygEWSfDiTDG3/HppH3aOm/mlwTrOonZw15lRVdvQgQl8HP6zWY9bkEF/W brbstfeTZULLE+IhBaf3gUpJ06zBCYUlsh+pNglw1dRCbfNsar37VZkUBDdZAp3t8uFMlnh/nd6T gknPikpFGxb9fEDF5EbM4gw3YlTvO90lancOQU1M1GpIHpKUqpvnCndZPA+NM/uBj40ZtfMneNeN cpAPJxROwv6m2bQB+gEiE7qqm2ALSbZYu+jhDBf+taIGWtD7yaMSHzWP+iCApqhv0MT3H8rDTWH0 7Jefz26ToyDG69jYNmY7zKWOkO28hzOvrlmUiJN44ooW8iNMjdTQbkBZYvvV06hy1H7ap/LhjHry 4FrRf6Lh0hPZpGVi7A9dKlz4lw6pCYpGcSTExJHgh1QaEC+x8d20JRwV7OC2vZi/LOTVR5PlMi2h gbaih++/hIRq6qbWKGsxKZUZ5flh+3yVYMfsjQ9nqcLjwKFiaKuBVqypnxo1g051F+V6WiBmFmkB WFwHQZOWVGqodraY9v3GzZcUdEMOBMVoeor6HJdUd4pofchtCFn1ollrYXwZ/DSBrRr0LBf/hWmT OKp9qZUpV6Ae742hSS0nGiOa3kVBuUO20sBgo4lBiZhRnMlVU1e1JXjeEudilYBy2vkNqDPBrMgw OSYI+6C7gaonyqAaG8ou8iv+cEgVFKR11RdA5IAtOtvw+XCSK/SIbXh+Vhp5x5rKrdXwEyxIzJCF vjCDGmERi0VAtEcuGUxTH5PR0EkTlWz0ejTcx6rT9olCcS4SCtmj6Q0FesD1Z4vAz8KU4m+a1bRI 6UJwwyu/YBHdx5rWGnw4Cfxq+RT86LUMRJuPTVYolozzKL7f8OxwmfjwzGojYJ01cVj7RDetxM2P 8NurrTck4LeAYsnWrp0uUESeUy7lXkOnBG3NLdZIoqW+9Qx960EgOt0oWtX7/T9jX5ost7Iz9/+s RaGoefD+F2ZkJsBufY+QriNMys+X3TzVNWDIIZMW/dqL6Uj2GJWnHmu3q2L7QCSWS4sKpk6IBO0P NW1SILD6ClfFmwjnsd0wJ6TUhQULU7yu3UDDm10ldG/vUo2q5j5rKPN1oB91Vdxnoa2yTEux+PA/ 8NEsoePPmeOZNVmVmOv/zru9oEUKL1Op5lCsSZyuDvDUai1ITPu5RSpVC+CYjIlPr+TA45QCAR7I dCLqx/dWnMfEoBizvdCjvWCP9aKuvm1XfPg/zBrblu98zqgsJGbqc9uW1XS9m2xJhFGbkPoGB7zP yKQaZoQoHmahugWw5tRRC6kpcKBesmMDjBXMM0j3STRmK41KzdagD2W5a6t+VRoFNT6J757Fh7OA +BsnDVmeJ+ZL3daQB0De4Xo1a24v2UzVtehHUXasplyp10+oE7eI+TqqxaiBrmNJ1ZXSweC+hGTy GZlFzF5N/dYWS+dUMpiPksEAyVsFqtL58D+wrxgZAU5jYFJE8OGsbpFfqmnH/NKNgaDz1mNgUkOK qvqesNIrsNIT/nm3b7GwofZAISJMnV9g4u5HxcxTqNRwDQicAxEdvyreg4qWmG9l8+Fkl9lfBVCU dR6OV00d12gMVe4pgZjYkjQb+A2ExZpirPBoyrlvqkmoIzX252iyzGlD7Apu9zi5j3rfaIANRtxQ YVR7d/qbJlOGHQVUanUVitx2eHUEYKBbU9e1R2+URxMgd+U5m1LfNfg1QvmTpw9ycEpcg9G0GQM2 BG0lssu/GK8NLaMSN++22OcMQDwxaSA7QHLtIvaogffZnvauYAGp9RrDvU67e141a2ylbiGy1ubD WSi8PkODzQHbnY9MFglTortPqnbhX327oYmdrC4+hGq3b8CJ+xrQ2pQyVuf7fDrfpfVjCxOGQHuh 5idDJXba8GFnxckEIoq+IaPvLKo8TL+qyAfWKs+WgXgmdWB7RIe6il5wBIpyTe7Bhi14PFrpA1KY kntYOyg881lQqQmbFBPXVLNl3geDBCDsxqoFbrq0oxYdohOLAaGB/YFm7eFvmi0olj7b8iu/ALK3 ShIsFOPDydicr4gG8EiA52JsUioc5Ovge+vAz1JD8kGmbBPndg8syV+82L58s1abAbSZB/YLYGzh ILEEkKJN0DkBZ3j+uo8I3pa1e+LFBkophYfO8is/3zbfPn2vGXw4SbsDVE5MACzVb0Q0uRkbi3xt 9rBJnRJOt18bhTiMzJAZM7eaFCosrGvfz823GosJSgEGDsx1eDESoEWtRoSQ9zwOWzQ55ldkzUti Y+AMVMMcpNv+NUNcafHhf7KQ0bzEnA2mYE1M2dDfAYLguiIGqgSu/FBXFaBtlAMPFp7dqSub2pe7 uOvLiCMKu/m6k1CSiXKHNA5g4FVhQ0lAgE+b0v1NsxVFMS9UTXlVARTAPpXNUZJIfNm+TIfx39pg jvVsw6mUb2fzOUyHeykyBm3CahAqsdqKbTiDSgz1ocZ8bp48TWC/ESVDQ8U+fQpgjlkKXZRKLV/x BWmzVVNjNjrhLPaheFXL21amVO0sBODD/yAjc7exA+a0Z9KklWGEsHtv+d5gVg+VsUad7v6yxg5s Vm7NJlXssVrc4oSyid7I6YdGU1OoPCHfXNW06k8fqhx/02RBKVAb1a/8ggJ8nzx2UeJLvNlcnfo5 vBHQg9foY5NihycxppRSoTJecd7ghq3ikAzEcu5tzd3Z1KMrmjYlpg0gshahN9I1cIx3V69FZMdS PQREo/w5/U0zoxNyBsHw4VUJlE2aJaEhtHYTfzYgsr/3YYrVocngg5NqQRw6AV+Ism6H2DR6ncDN Z1I5hMBEDU4OlpAwNpt06+MWhH+3g/cHMxmFCMqGHwKB7Bjd5OAKRnKbv2lSAC1EVbNuXr8wPIJW Ifnmw8n5vTy7VBzE3SMmTurShp8LJodhd9iHgyVkH+6ypBHbJDZtCAMoINKl8dsDX22n0qKESKE4 kaXeSxsS1DcR9t1HrXXceNFsI0bZ/BCZdQKZ1Vdp8t216JIPZ2vqm+Q/0bCOgckrw0gvWcr93Rza J6iEOuH4Pc+TXqZGbSp+Hurd6OZZlCVOl8yQuVF9OoLj81sBrOz96dFN0tlr4tSmRQtZ0uJX5/qs qeJlH40PJ0dUiMLJUdUimx4lidSrDdVWW/ZBTyiUFmCLbnhxYvYbFpk1MWsrbBIxRxhx89N7zY0Q huBqVILVrgKvBC+HdoKfUOP6e2bwGmRPcIzWVScUOvPcRi2958OZsro3Lymsx3zvRDshsWtz89A7 3SLH/jVc+OASKkmoBOAeOqJyvzYnQNXn5lUs2x5tG280rrBIjQaTQPtZuAdjnA9nTgdUYtcmibUz BlVtA20wIMgnseu1Lh/+J+EH0wt5QrA4amLYBjdI+liQcwvIhK3cXwsw4iX3apSzR0jD1dyxbbul xYpb8DhKtW19U+yrIzUQFYqaGQid76OZsXw5pdGw6lin+FWVTxRU2fK2nJMPZ7Pmm1G4/gCspa5t pJuybaaY74zrePzuImAwoIqYL7FtC+zw8nbLeUy+UdGGuyrhAB39HOZQl00oZPRrPGOjwzu1bhu0 g9yUitgrpPMu1SDpq9D5cFL9/MP9fIJVH0d3Yt5WWW6V7gyD4CL5LyAlRtO/5mgjkqjEva3SXQ2t 7vncvD93IIxS0PEGk9ule+YQT9r2TDAvosxX/E0zDRrsv5Op9/TU20LtMlWLYjicOriR58mhYXtu EojwcIBSEzc6flygqzu3m02Xa7YTqMKyMDoooGl0MqxEl7fdXM8t8LEgQEBsBDWbMelIWOncAf8I gE2fzi49Vmvi5KZj5vTGvmPzqvxoNq1Vxdzn8uF/lM2p6DQqNVM5ND8vYU3/fwWsocaWt+aN/YvI TxEvhZQFQMw34pegxj4k8DV3EKTHm/qKtkxsPaGvZmnCwPnMbgP+rgqiEcvcu310rl5iGr4lpI/B Zml+1ZyxD2VcDM75z0tEw3e7slg9vzh++GnxYYtbWzYml0dSDW0RNKPcDgUmUTycoDWkHCEZEx1N FtveuPlcgdpdOQqC9xqPDwY3IzRzT4yJY6lfx6TQy8aGcsRVqJo+jySuGgqfr2OCKk3TmMh21pJL CH9hSF4iGT7B42Qx5wYyYm05ttHiu3BRQS7J2/8vcYymCWtSlzUi3TRN7JUBmsKQoL0PGZpfrskv +9L+YPemXvJ9lkBF1T4KCPOlbj/XTpXfn+Vi4+cliNFgSphHzR64I4AXxxF5iWA0SSYZuTdo/3K4 g/gk6RDMB25A7l/iF36I2ClH2+15tltL/Sx1HBQUOfh1HVmEygZpJPQ/DEnOxbd8HxLq8W9IVwJl XLVtjm2LjzwL26Lqz0v44n9h/5olBfbcW2PyErvwiYN5hfzFG9rs72KWQEuc/9sg8FO2kNnCYWp9 aUyjm6fW9n/sbIb0jE0Q+xI6x+wq0TzwXM9DQsAb/LzELdpMBuMW9vlrdCRon8wIdsJWNRmS0n3h 6Ig41PFaGpSXoIXP0Hr67uo+1nf0BxV81TmAW7lL5r2ELBwUQr0srmZ5hTcfFNurdqFi3gUUew/x FFimWuBXhlBRo57Wz0vAop8Oi61Puv3NYIJZeHglqWMT/eclXPkcIc/aQckE8SnH5CVa0aZMQk2j mSypNTSAR3RV6A0Dvsotzsl9iVU0JhQdukx1dNOYoFyHE3mzTYB2h/x0cDjCtIASpd5bouR8tnYA W6QF53mMOMcAqY5hxqj15yVM0U50fDsh+YsWALHDvoQo2mEH95MZYrZzOS5vtutitp1nCnXVsx2W VcpL2T3dfD+xILS4EM+65XaZUaG8SQ7N+JOk8hKcaMQPj+EbV99g91bvGTXel9DE9/762UzAwZi+ v74U6nRGoQAySUDFeBA/xfG4Dzim1NAPeSnTab0SvXCLnGPLo79foSnHZg8cyeHtoeou8nViRdt8 aphw+8A3JEPCRlKvcfVuSduaJBab/rzU6LTRKZY9LqgIzPHwDfalQOfbOfvUbv5uB6Oz4UZbdwXH fzl486U855EJd1buXrp5tAanlIluDBntOywd8Muh6kIolbMDu94yWThQmbS1NfzKabJsB1oiTi48 mp0535GJLVagCxWsZREsNr9Whte4W5lbEeyoxXMgsvI988lC2ClFX/3NvHn5qVlsvAGQtP8CQ8IQ FtGKbf/Ydj8GFlQa/3njMPkWTqcGMpmCzAtJ7Ls0UwafTVYP6Ss+VdAswFmlYcmC2MKMHok//UJ7 6W5lsan2R2L/YZuarIJsWDQeh4kPb156skj6HJDAEaBANF/QRXTnAEI9n+ITucQ/bwwmTRYUuAl9 07X4GdzlQAFlrzcCk7bZ67E9lXUhC9LAsdTAZKEsA4txy42NpQ3fWOhRRDgMKfiCwyQDc1WulLPH eZw9EM6fyrPLzqGDBpLa9zi4scVDEcKdlETtyoJZKO0e/la6qiZHgLUOZCA1szBl+XTZbFCDb4OS nHox6X5LgO92q4ZLQyM6KV13EZ1w/I7+dLLhTkrv3KpMsEYmaK89aDcweQA14GKYQqNG05oDER1w J1j4e0Sr8NASCYwLryriWvpESKhNeFT+s91lz9hd0IbBPt9ie3mPaWUC2SAzKwIBnQkFhGHmRiAM aSoqHWTjghikFTZWdfNxOdxzgdG0UxQCGYebcqU3H4zHw6ONIe0back3F5jWYTh1VdHfZrma9psV 3GRUBGT3+I21J8AfNSpZUDsXQUwYm9/4V2/aXXqB7TnkN8E9cfnNN8qSb906nj83H5UOgYbKwvax 1IGlPCSElqmCP/AoqkzRK7KgVhweQhl2QBkwKDqUoDjw80ZX8rD9fE4idBYqnTE5KmlYOyWhcoJb 4f6PMJ0KbkUnf6DwU7LzmeX+Q4bFiUTWRqU2O+ssrweeDB9EYQiXjEOw/CmmUGTyjaukPw2QoIUp qauKkxCw1JlU788bVUmTZZzPEpLm2YollIW2B8+Qb0Poy97hngo3TDH391zBxsliWyFflCro5lXb QzWNQddd2E25Bg0KKkDv8YT20uTx93wfF8gSnEW7Q14F74CcvYTj5/55oyn9GcwpTiGlr8Uqeo9x MV8QWp3qVRVYQckA8pAxxGb05L+w52ZBLnu99Upe5n408iy8X6QCIw8q4ttQ0wkvCdRYfWhKU5ig 9yBXHjcHrWe/6owetk8JCQ6ZkCzKPXd915r6LzDiNCxZlDvJ2WeVHhkz0f1VbWhy3EAdRBY9ubnk YS7PIOLNdFOYa0ltuxctUNThzplNKhn4AUkVB8vYu0MUg3sjKD3BKiKfuCodKgQJE/Jyft74Sb7p Xi9L0gCcc3Z5SvTGT/Izev6ZI05nYUPDwGtOfTv08I2e5Cea6m/Km3vkzQuK5LdCNBn2QXY8Onaz 03IG9Zz28PWvv+f7aUSpyUVNIl69nzikyEe46hs7yZd5/2wwSK6O50Rv1CRFgThf0EH/5Z4EXUkR jFVErRiFLk1YRW/MJJ90jOQW+VC8xQm95rmTPEjbWwg0BBMdixawXRC3Qm0He9LPGzHJt05qY5Ne HCIG4KMLBGALdf+88ZL+PI2wlWCEQPrQsGRxLhY+tIdECrB/EUxHgwa67uJUa5jrWEVvnCTPoFVx Ek/gPjwByG5eoqJQhV99uKQiZNZQaQFfLKiz7EC8UZL+yIuuXxXQrSNF+177+HljJHksPz+by4I7 XyyhNMxF4+qS6Mcwl4U1YhQmzV9QgAcqSYXsLMyV+t2l6a9uWkKFoGgo2dnua3OFEj2YJgArQIN0 Pgqc1E34eSMjeQ6N0xnFdV1V3Uf/ViHZPj9vXKRPafoZFYbYEf6/cZG0TVPwAgZ2pD6OgKdakuvA OkxQF+B8oyJ9TkGkCSdufhQti4paIRQeAkY0UbJVBg4ErP2eA5o6k288pCdSBTdr+1XFlnF69RS6 /bzRkHRK3hJ9IAQuqAXuGJQsyu0irN5IFXfxVPFwTos50WJQstqtd4Ik18Cb124B3RyQCLGd5QDo LNgU0gro9dPVL1x3/T2TwIUsm8l+/AxRHVuBm3Viy4nGzxsFyWPkr5wI1FroA2hY3sNc7CxEs+3j EiDsPrEKRSgMq1A3bM7fGEifU76inBo3R0J1ADkmm4bFtihqIIEKCgwEXR0/4T+rlW8UJE+D6SQw 4qphgWEgI49T7s8bA8mL2uWzhjZ7lSvGJYtzxZnodzmQmYjI3+S6I/RCVZvQWh3P73EuJNm5eGRj zpv2lg3gRoOihUXCHZq2S1syrDFZF/1kRVev+b7hAm93YPrgV3386UQJoVp9f97oR77xfW24LCSi JaJhySq5g36M4RQE/pEay+0iUyLq5+zqLeY3+pH3mBn+n/7c9N4D3GFgLjFd9hpXvIpN8jjm6QfP whT6jX6kX4/dZeiY6yq6BCQOuT0sDMt7kItnv4LcWeQ94sOSRblU2RplT08WRcaCJQHVLWlJ8BAC 3shHnoiTVEvojm6+49ZW4dCw6WBx0C/DuMEPnVTa/UmKCF9+ox49TVuLee7wq6osMxQKgHl/Yx55 kex+dxBt/URj6I13pMmCqsxZPQwJ6vRc8cgPBjSJ0h3i80Y78hCXs4TKKrp5iLsKREQ2YCwWze3i 5V5QLy1xWx/+CIv+b6Qj7zRjlqB1oqsGZa8rHTKLRX/eOEd+Rn51QmB+BJ1HDUoKSMA43uX0Ij9f efrMKvkPe19E5xyUHJGwWHwaPW4aFChRNSBhgEhAme4qqsFORZwKKC6Ph9Ib3cjHBOD0SeO6aMZZ xA8gApNEizLf2Eb6A8v+GpMGsJJ3Vd+4RpooqLUsGrNQDpDnGFH/lVUpSxJnCanEN6qR7yrcZYfi uVFjN4SKOgIUtMiXnTrSl4f3xC/q4n583yHR8/PGM/JMCP130vd4dYxcHxJHtzH9eaMZ/e9eC3mz Fa3mN5KRdgNkw425Ljmw1PYnB7b5/4ZWvDcR3zhGPkOZMssYkzffam2L7A3bOSot5SxFcazOsUJG DuzV+ll6zyzmB7QD69iugHeQYT+xb2OHwVH388Yx+rOiUHyyDFhiclyy6JacvUX9xd/N4Ss0I4A2 EjHKACa4xNIbw8iBA0ycN1tFvHlFAUo5sGMmOO72pvFDSAN67kd0n5XtN3aRDwpU1KF9qquqLAXG NNpz588buchLV77TUkN7QLEi5sp7cAu1MmRC6iBye3FtJftfyInoEIAPCO4bs0hjor916FgejwuZ BbSldiT8FvGv3bZ4NkQ7U1IWRAhvEJ2t90waIWg3d6IGefWC/5GQvWVU7eeNV6TfvdyvFmul8KAH /W/EIo9LscVCVr+5FcFwbMJyK+8vbMIbr8jX0I1Cvt8iQ1zNdjsyt7ttsYtH1ALGqWEswXxxNhoD j583WlEPeI6Fcqzi7qiGwp21Cedf+s8bq8gP9a+DubMgeOJkzqJbtJAqrSrd5wSJBgEKw9cVqLAe r7yRir6KT5YFqbhNPOtmvjCJa8HesmB0Irl1lCrYSKhyI1AvpPqLZpgnlLcR9OmqMq6NuX7y3vvP G6fIT9qvPRedf4BgfWDS+HaQo1d9YMbYIeNBSx4iFVoEcm+MIq1g7bZDh++4cTrD2PmAyYGwH7UJ GcUQVLLtGXy7V+UYkv28EYp8f6E7c4+r8CwIWpQlDr7dP7JEzGPabPTrBag3NpF32yo14RTjA2ru gNJCsCM2mIl4VBtMVsal2QOwLDtujvKxfAWbMMHasFOjYtBCOkTxdKDXYyEpmMvKuGAt2wCzqnBu 8PPqUo4BjbifNyqR3q5/zRcJ98Rh9MYk0vddStmdcDkftKhuZIV0FeYG1NaogP1GJPINZgvT0uPm DWgL5vbajFwahIA9fZ7Uhb2/sG08Vdyq93wfl04KERjEuHbPbSwPZQcaVnA/bzwir3VGQkTRAVsb O9pEb0QizTFKRNJTmRKR2FYmNxiwtTuSAFhOa+N94xE9pyihCjduT0RXUG1Bm6hDNk9GDlM5Ihi1 z7hs7Gc/bzSiT8Hy/yAWbJafQ0F4oLR+3lhEn+SeBxL2oooWfdS331hEmi/u8yr8kx3uSymRZfwR xtwnf34jEfkuxfr2Fv9s91hHw0IgW6Vze/usEzs2N4njgNL2x9iD9jM/bywiR3Jgx6XcPq9KACps DpgArPPzRiLyWPc7KQKbfnlS9EYh8tCaOmRNUYsdHlgQohB1x/2Ae+XD8vdY9xwp9J5Hodc+BErD sAw6lOGVKNfiXg/D8dk+HKKh90zO6UE8MrZdXr0KhX4BIxkLdd8YRH7Gf+VFFFZByVTjklZyrwAL bvtom8p1wMItGiHoH/m2+8Yf+s6gWfLVzev+ltFhZVKewlKjKgAMiNPgiFLX3NNFym29sYeULqLZ 2ha033nlHLEAQvpVELf4eSMPeXF8R1gH/zsPETQsWS0XCs6VGosUsBt3LGfSU2uDRjmYdBqWNNxV vkgQiG7BdJgAEU6GuwMcWuYIaPkMhhSeLl6mAG+0oc+gbAj06KqqP1CE/LUXoMlprDu+lhD0RGBq rDFJ8QrccYFlY1qEQrHSxUUbLLRFZg+M5RtnyKfKfG+GbMuawRtCWrRssSwVwO0DN5tmT/eZgklv hKGnIwgp8eNX5dAbdD78Xbfx2WSm9O8FRAunKEG9kYX0DCaUMK00OTxHSFzAb2XMMPZoHs+9cYU+ VX8c8yNuPlMsPITBAGZKWchHFN/Ahss2khFBi9SS3nhC+ukg5BcZtMOsQL5z2Nyc5+eNJvS0UT7V SgJPI1scWZDLMO5S2op9sz7dKPQ05/tOlKd9UFI8rnrOaz83nypof2xYpc6z4OjSBdlWQGVDJcYD OIlbb5kczRXiUai96qrEGY7V+rtOx7NJKDe/Qjm4VRfHKYw0wJ3sAdPbEZkCkbIE+ajrCpoAKIra UrIKrjyZr+JX3hx5ulAdhvQOKJXgbzPwb+r+Qu3mU4Q6Xe+ZYPtBlrdUvvvVG8936wS6eruk8dy+ dxWUPYvHKzOLb+mU1hgBsAjViIdCMZFQV+wqbYd2/nyPbx2/amlxG3Hz9QPFpU2B+IPkSMOHiAPA WHQV9rOvUAxzpqSyTYXnMfyqgn/bAnO2NjuezZCnXzjlDjzV9rB/ZuEtFZXmWl6Dmu3hyQvPDcic QLqTn5KkiV5vmc/Ni7jtwsOvLvXj0UUjeAMfhP8VZnQRr3ANzayOi7aj5eWy9PN4qFe4WTh44+DZ JIxrz8FciBcZUVaYaXSL2mmhkbrg221G0+wIcznhkSV85czquI5pKTp2icBTRRE7NdcHzuU5uLdX hqcNPKCxHvQGNkK+ZxLGUU0L6A9dA2E52AcZe188m7DLvmEKwJPZMDYvK8yUYLabw3AJ4D4Up6SL FFW7ADylvxOL/jOLb+Whe9RNPJ9uIk6cAp0JgH0AslS9BawLhIwEmP+h0zyz+JZpIpPC46kh95TD ZU9QG55N9twoK/ClXBKeo5JRzAhnoE/B/S0ha2i1sDU8l6uvAZIzOSr/4piNGrfYXFDXotDluTZb htugo1QOVihb8l7MbUXv+Ze22aIE2wKSjbZzlntfqvdN27jxbDIq6xu/sdnn8LNoZuEtqYE2H0N6 jfqMpMaz201QIeDSWkYpzUyzZUvFYUfQYmEzAxWaIwmdyyQRPNKGEgzQb+GqRae6+R7homBCg3P0 WnlVknimCFZ2MPDtsmUUuwtnFmKfQEHNrJpL8WhaKDVmQ+XotAY8udPp0FLfoEHMv4e4R3a654Ge QP/IIuWDuOUeRrtU9KZt1oJ0y3j0swiXwDdkuy4aRYWUV3G9Wc29RdVciybxbAb3/65y28vBSkjD 8h7jQnONg4HpTDW6PYPivBtMMQ93XVuQHJYMqqDi01mlxk3DguIWtLtpb1PAJKcKBdVoB6ZGechV q+g1k1GhSgAkenTlbLlRBrQQ7+DZJHeOfIiJCzwsIXPh45IhctlO7+s6WbPjb5C4473eiF46jTBR M6yCn0ZXpUvmfPaSc8GeboDjjFou7G1Y7LUIEj0bHNjrEUsFB7PjG5LYhUw8qGrr6suobdW457h4 NgPNPWCFyqYIdBQ1LHmki12X0CW6hNLkCY4ce7kYR1+IRbW7ZKVcCpjgINtxe0gQZ19R4jdAD9yF FqcVqhco+j3DMvWeSap4mCrCFGnLT5bA09nlJWwhPZ7N5otnRTIjqmy/okjDoVlZtAu2EwpH1QEL NPzAMbRnk9rPLESoksqaVXOhMwNt9N3j5gdSP1R/OBTosylDhxJATSkLRTckL1pOf82/gE8XghVd 1RRBt4gTxjZ0PJuxWSPYVXsepR4/p1cW7bJ+dCd6u+yiTdaecEJALG1g322WRmvfXWkx9ygBXCVu ni8WGmJyIXXYZ8meDT0UiKD8mv2Ru5w03V05/Qwqa/Sja+FHZ/nF1g4DJjmezSCFQeAcjHTwDiEb sFJYLvLt5coAsBas6oX0jmHuOJoGFFW4lFZWzaVMM9xfVGTgz7A4XyCSddmKBly+S07r4EvQhOyf JhpUU/AFCaSQwgGAPeiqcNdWu0Mte8WzWfH/KzkCvxTJmUYlC3ZJWm0j2GcNdGmpKZQxQ02hu1LW yoJdEDKRLGIlw5WGQRcZ4TB0xOyY23Lp00QlwVIddGOOArc9OPDxSewi1M89flUn2s5r5Xxr89kM T+iwdvbjAR8lxlOjkgW7oGVAaqiHnkIXPNdCl15cT4EoDY5KFuyq7nYlp3sfOV1oB1jsQn1qS3l3 W1xlmxqRDF4eh5+6WWBYKS53oqe4SVrjosA5AvVfok5+WZpe8fA/WkWc6zCAjw7aSmu5jYkF5acn WYtboT+BY13FKISRHJcs2KUoXUUkH7cAoGLTwNhPgiKLhGWpFApDMByZXnihmD2+IckZIeMzUHHU VR1XFGGcCL3x7D8QHUQKqRMTqgopMBcp46GKrVoiSwIT/THAhLz0clmFDJgLLSccQrXHLWrcEHWv NE2A52olQe2CX7U2zhCA9H49bo4r1VUYLERRk2S5vAeUSck9xW+48WwSu9zxFbvYMr6RR6+snEuc rDvpEgq/5ZLaJg1OufUuthWx4Wbl3C1SHhmwEO5lk0KaYRandGaMmxLnjF2IQh2QSG0PphCdvvqz soquYhdK1+9ag9gKJTihlc/9WWms+4dUCwxrRqyhNNLF0Tqo8Keu4vaQToAvdhXv9vbZyiNdsWbE ElmPtfkppEEhbtlIi5oM+6hrhYrmhzV/iSpceaBLgyzATyWEweqC7VvMhIetYTyb5NHtq0204P0c g5LGuWhCFFo7/Oa/tiq66Og0EfImgwwuoLSiSzuwQzKZbr6ADpZkA3PtotDLnRaAfwQOjW1T6FGq dNn0nsnGwlZrZTRXw1wD8nWMRGz/6nj2P1QX5KvefQ3ttKSLaqGFW5Ppov2LJLMJYlVk0QNEskFx klwmjMeuQ5EpE8RxudCZYWHb0iLb/asTYO0DIWBG2eio0W29ZpYuwuqd5f8Z5f+BtKiqkTYGnk2G 5XMMsUZHKUuNShbjko7XWQr+zR5kFUwBeQbxYfbahaNiO8tOK7oST+uU9pFn6C/BcgcQYkWIKIjl rOd4hm+jalGSWUYhBd+QrSHqprXiVw5Gg1+mLGosxt0pMndGVqRtCOli9XNoZzEuvYJpgqxi1HH3 NDi7qoNmCRm1uSc/JaOeMYs+wuae+sQtKM21u/18nmo8HqgzgqJD9z0HuCCgxxdktFYAfy5FhG9k o3AyUbJnH4xns3H57hY1IldivmRBbiMPGq0/WQAIp4uciGUQhi04Z3gS7byie4VVPnFzxIJ93ITa GY5nGw3JrB3UW5DFjo85rBZRilfo539zaNh/b8l6noZn/wPgH90i6I74qGRBLjoVkMadZMjge7rz FOkibuMzQdoRT3FnQS7rwhVSAXHz2oIN6JFAFuGjbl8zBBqAwMBHv4Xwlp3KhhVJLHS/qkJ3oK3A 9WR77s5i3PFHRoSdpo/Qg0qD3EKrLPLiwcCV48iGuDULc1C4AaRc45IBFmTAcmeZcQv4XIfcNPG5 NkB3SjuYkTUZCjQUbp/2/M6C3C6+PEB0vGpcSudeTYUbPJuEcw8XgrvukGCZxiUDLTDC6s1jt9rp 70ORhb4c4w5PIgUuO6voniL43Dhx881lTRsMiiAdODs0kXl4TmKF41d45KaL3jNrL6KWC509XRW5 rCXCNcjJeDbJiYLBycLCRk7khe6dks/QUbUdrahEt+lRD5m5Sdsz0PM2k10OSyog1hnTqwLHmxe6 QZmFVgnqUB2CCyz5IsUGpJuSav0jR7izgi6dtC25XH4V6sfi6SI83J14NkP9jK8TGhisEnFLhlqg SriUrqgzR8363/R2K8MFFi4K0jyIsiB3NREhlECfJ4GuxTbcu+nibtcqwvxBWQv/LzQzn24Rq1A7 i3IHjR0wvLpqWOzs9+pcHXg2g7h8CZVQDRGGOBqXlHtGfUCAztFgtWlT3YN73qERsqgJtUXuLanC ghLo5dSyJ57rF/Itk2pzhz1YsaDLdbWQjwUszZ12Fuayym05YvWrlhBk37cKLhfPZmHuV0rU6Obt YcvJotxC96kRliJtN5dvscGQ7H+n4CeX0MmAC9L0BA08br6E4E4ABwGqbiLflV8Y0lOy3mj/ej9i uCet5WK2WFrR/ap4zmY0u6bDInE8m8krzE9ORI2UFvSzk9ZyKfbTbwgskG3Ak4iuAOymleKcvJPG uVPlFkGA6iPXOGGFaycHSpa2+Y6QLMekoXUZ/GW9h4afDt+QlaGIWUD4z6sD3O9YwrncjmezVRTd InWtUW7xeO6koriAlBe6sf7m38x9BkhLFu4o74/GAOO5k0IXvAx1peIi20isooGiRSXw3/be6i7U MDMEGtgjlx6yuA3fkER0Raav069cRqgyC4rdOv/G/xDn0mSlBwDopCw0/NKXino075S4P+KJU9SH RXbmyIWTInNZMriNYtu8eS+aFladWDG06prsTykyBCGA+mH8irF48nounTL29KvmC4x/WJ6zb8Wz 2fbyhaEDQu36WXRScdxJQSKgHNhDg28cwP4NbTu38AQ7SaPyDw5aEWv+IyRmp7A9jahy3tLhJcmC C7HjUFYG8DV2l+7vmdShgFmYyDt19VXU5ePS7SfEs1ncEnEu4i6ICQSP82RhLjTlK6xhPFds7bh6 SynhGSeFpD1/Tq6x4PjtG7cIc2eHfRZKC5b+EJ0AmMvly9hSpeWMTDJQoMU3JIAOnEJzAN3OK4fl DEJwqNK28GymLv01WbDP7wChnizKRXEaYnNFRbk6usK5UYkDZCXqkuha+Cl/C+fOEUj7PPqnFPNf QMzjWDpzSY6vMG/fRP4/aLHW9J5/ERNgiwLXFiQRaDgoDxh4NmNCe8lFWy7C5RiWLMoFusHClkLx dRSilkSibMtdk7pzk15FDFxOjs2VhljrcfPZAhnVe1hZsJkylqqWCFQr+catPkk0whF8Qxb84xDC 3NZVbUUUdhl82MrCs++LiL9W1LjJfauB5zgpBw3RzuhXNVx786rQrt1CNe7LunpMl7SaK1ngRddk 3rzdigh9AGaCTquFXVNJNJMnUKKf2ULIEL4g0aynSRzMM3TlxyNeZRWj21GIZ7MudPlELjBTCzXy k0W59AKHiLVniifkW6jiGgoLLgx10ihXJX9q+Ojma8jyoA2ZdlRza7nsktcJv/MGJDOgGH4OHerx nVQvd7KvSN36G7r1Gw5Z3DRtVuLZ5Hy+QfxtUYjCQcOBuVmgu4lZaM0ZRYcMFoG5ywqRhep9xZsy 0BxE13fcPIW2LGINQARwftxLBwZ3OCAFZZRIoalmhG9I0iJMFFR//KoC3ehHJTZow97U9+FpiSjw ArXWz6L7HuiCQkHJrC7pI2ijiYs2SmvaiCFM66vopjoLEuEupcYtwGLHNpFDiitMqbXpLvgsErSA Y9rPosuSy00huthuMbJ+VQIAURTfSTeeTc6ioEQjwkAxmfYpHJYUoovt0qILiU4gnVvKFjulTlBa mOV4/H+zOHeJH/J/taEWjBCByCWg29LmLveHw/4rnV2if0Yc6s2iXLQPRZTVVW1FkImlYG/J4s3p Z15aiN7ICT7RzYLcIr32Hf4PPFypxfeUoRqshLSG/opYQAzR4ubh3D6kgIDFadNQhjUgpZCmMwDF eA5olhZuFuRedlsbU8ZWArQASiXP2Fkuns1U57yBRhdBdM9WCJSn+Fyks6t1J88secANsjBECABk wVHuN8Xnejh3Vtx8a7GAFwQ0dlsbgMrMFWHfx8rTejSQsAnyC/4qOodfepbgFEEafznIvePZDPbj qSKjLkqgDi+43NwEolHBZXnpv98o/dNVjAou5EBytqSIheValjtuUZ2zTYuaykD92EG0RfJEAI7e 3keBgprTN9XLJeifZju8qmsGHyzRHMrBsxnA5YsoQtvLFqifm+EV6Dp/WTYjSKzN6rEKXa9R+i9U FueopEJiQvN07wCMOKA7NkIgflmzhNocozkZrOHERNPL260U47tZKVe0PBRudRXakuoNHKF+8GwG b7mf2cITyGO5mwotkPICGbYoQ7UQWnAXlU6EqkYlByy8y6vdBRpblbWM/QXKJDeFFlA0kc4Cfalp holvSII5lLYXmlq6ag0B36cCd194Ngn9P40i2L/ZKbS82nLzWi4CW5HxkDJux7pDZuGEzAINMiY/ JavlSvV097jFKXTH3dCqt2GxXcC3Hjol2cf+Gh9pqOOvmRUVIDfX4ErPq44hiyTUmi+Hz2aAhfo1 KjybvRtysxgXzNxWi0u027+qthNoIzgvj1xuTZYMsbClHTxVg5o30mfLyxvKRDSrwr/kVo88qGKz GOMhh+NL+J5JKIfC/4bAsa4aFhhgEWxrJzae/S+KWQ17rR9Dsu95/z78pZO4f/yLXUYiFhao7r/d BcSllUsa4y72OaZE5WYA82EL0ToNRSjG15urLKB8ANumPp6TiA46/IqEnVex6QKWZ1dWbRjl2sbH fdN+g82n/2G+g9sAzf3UFYOT6omhyweBXwJxFXI1kmhkSYRYVNgfDk4e6Lr5QYubn9KoVw41iw4D RwHoUPBGsg0tRq9F7XL9TTORG3SKKq1E6mMlYgPFXXgsmNGXLNR9UgB2a5mtwh3SByf1h6gUcYnt 1yFSKkg5Xuyu5QWpWlKErltmCBl1HlXuDvGJu+hOBHO8Ix0KBP+2KYORdmJwCCrnV/ylUw/rNL9q A6bHMtOAofdLopj25T4DEPIJTDc9d96fYRRDxBZ16O6UpmPfEgOFMV47IeReUtczVekuhS55i6MJ SRH8KhH0gmfE6I4laHRT6Sbqzk3sBOArMs44xe3P8Ku2m22/HCO0WgYfzpjA0VFDfEoX0HKeeZNF vijz2D7DbiI+l8Ez+XqLcO4DHEOZsaiyAu9yhQExGdfDZLRl1ZBwcd5Y3kUHPgwOiq1AdrcHDsSS JL8iwXajlFnpk1cfn7yNpjmrMBdy7iWFMlTPCdiirk0H4zM6eZkX/JtOjh7PcdVdECnSiR4n1qBh BEcnr/Nqr9nPLZoCmINAI1JxYHbVHLDbNLQ5UYeOEpX8s0uKZ2gIay5FpO4MsXs0TgTarYMPv49O r18nOK2MZ6CZZU3+vk9tJpAjEA3H4VJrR51qslWnscniYHnE3S55uh7ydBM4bOg8sdhr6SmVh+oC F7SBC9TboyC15aNasmovOyYLPDxdtR3bWSi6w756v6TycL7gmA39hfMMTarFwEa1cmuiGobnTbM1 5VJj01VUQ5MWfEV/nZJgnh8JZghp7yLvCItFKOZcFyRRcV65GzLCvgbJaL1pkiNIP6qypcRZgCPH Ng4uKluwgw9nXbYv/Vg251sQp2vJAuLTKVQ3mlerBpvUx4XqWK0CFzHGJtNkaII1SKr81lB7hPOK xUqDicI6tx02bje1GzoCJogRut+ijEpLKq07pfXS/erB32gurTs2H06WVOAbcLN4HcoXPjIpjBdR zCl1OA6+Rg2clFO2aMHcjHMqrfxeny4lbsoVJlR4CnT2IBFks2WqwAklgta9K/vtp4ev+Is+6MJH 66rNBgRKjFJfjP1SD4kZHhK/KO4lKyWNTWqXRvivJdrF1RmQfCm77NM3m0b+onzBUpSDCxDIYC8Y ZXaG1wFkGRV2h/1HTOQhhA/VCuiBIuHeXzSBmhimgYdAMt+pflV4031TbvYBfDhruX3bjmCjueHe k1qmDXTLJhf67/YFYIV3moQrhi3nGkOTAR1KkTmATvAdJ/janQ7IlZk32kxS/ED1Hzg86I+5YYKc wXLLtImtprEV2U4I4sy2jqvQdT6cBMVR6uRTXMfrmTTvMTHUZnBWqPwwmVcJcwdjDaFCLE0JicOa mqYd/4vbc3NgvB39Fs+oJoF8gbx8oZJox4LT2ylaCvxS2zSywpEz8bq0DVtIQ/ruL6ip8uGsNh4J A8YBGWugEWvim4a+8Ka96/GYeLo6G2q2zWNi2vdpaNKYWKe2g8HZkOHQwO0RLSysp43yJJF5e+NP m8RofnC9q/qbZuspDJ8+tk+WdsxBCQr7/618+B+Ht+BUbPxFvpB6p5GW2dHV7dTcApePNPxN01ZC HlZx5bqamKdB1UdOlX3EzU+oC9TMI/3eJKW0SEZBiwts/Ee67vqbJm1sV39cflVHxbZT9kDt+J98 OOlM7qfEh/75Je3fhyZT36V2/qDIE6tZqEpIDaaF9NYBYs33mjQelpJSl4NaDwc1mz8YDThRwxfY ts8mWhJ9zCyXAfT/QWwWf9NsRZE5XJtfOW0A1ZLHim2YfDjTDf0im1QqfESqkLiogTUHDZKzZijC TEn8IUEQONF2jBCwq6mN2iles1lx86GB0Cy2YpzeDdVDteFoDc82yaPWJg2umhqp0QDKBqL6VYkU 1JtZ67OshA8nG3H7prKhow7Koo9NFg9Dfw4WE2I+htkEy1pwPkHFfKK8JjfCxEuthkDB2CVukUZd +D+wOLFrrfKg2xWyFYDLtIcSioqav2nm1VJhiYs9h1evg8KH+hehTno4U7T4AswMLOv9pFGJm5q3 wkdxpmMdFCwmBoLV5t/e94p583cQhAjruvnYQNlzCRwCbwUJ9sIGiZkmaSfaiLdcCVM7tcUVhcqn rgr6wEHhktin8uFMofg7i6L4Vcg31NRRDb3R2umWyAxTWt9AQpxoVi62o3VIpQGx0PIqbfEWNS26 BgAGB0j4GDzgNyA5gKLQyPcPMaGaeqoJIH7oxBd9P0SUfgDbucGHk1Thm/CHYigq4z42qaka18KZ WxENwBBFycJGoiwBB8jfatqkrmruMk6nF92iGLp2JTUBUd8cUu7aLLRyjj1lGxIF+Q1J8o1qFkvM uA5BrezsGU0Wcpg2ia0asrwo9+ksGVWNZB+cXLQMAFxgL0hCuTBoItP6Eq1AkVVEN3IRTs3VqM4G IH6PW7S5oSRwFlGLqzFxJTsHTSEEBiiEanRA2NBXJPsNEFeVeqL1UaKxwa4MddFq4MOZjPP9BH6V rO3HRjgVckDejFK0SOh06WpERRxyIg9sjCk3OvUxf503bTy3qPfZ77r6dEG3UdiQmljxbUDPrEXg 1yqJKDVxWEMGDWgdOkW6ejqyOL/RTBx8OIuJ1wcvQlnTHcCrmrqsUSYVphMOjpBwgZLMG+CI4aIo NbFZe5RFu5DAfT7RDYA0AzHsvKgfX55jE8yMhgRtftXQqUJbU581uZQfYqOPLyrbsq4UY+xQ1/tl CbgfU7SMwCEA+X4fm9SLAr/0Ij5dCIktGJaFJLU5QuKGDmBNrNbw4lsF4hY3r/ZtcCtRVJ8wWp1F 5IsDfPLsqqF7e3fHiyZD06j8DSg8rwr8LH+UisfYmw//Ax/NrfiSyhbRTeq3RmDR3SUqWpvTBnN8 3OoVrRsavTU1XKMOJyDjJ24BwAKPkbbCdoyD1MX+Apq+dUBTiIj68b0Xp0ExyBcdyDZdlYK33lQA t92KD2dcg/6BBMB6aD2bTRYToyskd24RmWCCpvwJxeNGMcBanpFJdcykdQFJOL95Ag5K7aryLbQM ZMhwGXaPFMProRqzlUcllmu2WyzKxtzmV+VRUwzgX9jq+XASEce4qH/V4LXzbDVZRAxBU+i7OSyg EXhMy5sZxXMAv2M5ZRXiJZaxK8O0G/WsBlo3DDpsOdkQdd+nSdBjOvkMDbVx+Q3J0Cjeg5IBr2Jh bLiBsERVOh/OwK9f3TqahzxTJguHCUMc93qhbxayjZFhztsDL9F6DEzqS1EdKdHi5ke3BQWWFkNT h65APPwFGgYTbD9KZp5Epb5rnXUWVJh0VcTXprxGLYLbfDgxMvnDvRA56ufgzoJhQCTsNy0nIBNH Ol0WtbpFNTCsUTdPnNcCowZXy7j5WlrDwhb8qji44d0hjBp+QeyBjcqa3uCd/qYZXJpiiSDYzo8h 9RxdlW/46NbUfI0VpWdoENxDFlVjk9qvUdvO3XKRX67lbve1D7dHhd+R55e5/1pAsnbcvGKzNgBa h5AsOwOr+jI8y+Af1h8pJjEDa+rARsz0bDWumjX3bG40lg5vPpwFw+ODa2zgMz2RcOrBdin2sIug r7WTtvVb5dYQHgXeUztwYsIG6SLpgdcdNz+bagEMFq6XoOwf+SURYcJWKUgUOppYGOE3ZPwdoAII 4lsB4uurXamEw5yWD/9LdUitYCz6YPDX3IuN0KztUoBwqAi9hxkSO5cKd1xRqRmbezHQdVW3SKHk 040sAflC7+41TFlcqI+uD0b4+psmORRI/KtQPqW4FLZlIaxRIvAriw//A/iJP4CtbJT6fWxSzATm 2pUTEMnIpFFuKmAo8Jv0HfCxyX0qyOSRLdIJnd0J0a0JfU2Ewh1zqCkUhlwvak/3EcLb8nhPPNmi zndu96u4GeDsKdwD1CbxZJMI8AcpfKhwEyOTAiZYVSJZUHap5/hew/IPmwqQWfO9JtfyLdqAT9w8 gbLxtbAJqRLkzXqjqsxiToE8E9g619pn9Z5fkWk/w6UCOgi6ah/ertPY4IFZE2s2RML106Vjonk/ KyqLhOtlA6rK7t7+JTtdi6Dgrd60I6NSwMM7dWdzQg/9a3XzBGpVYBw5ayxQmoVdukWKIvgIhAT4 tCnd3zSrShAVi+2GVweT3LtVOUdVIvFn+8N8mDWs8UTCqT3bxaGDnFV7DcTaHCxBi1+CJWZbsQ9n YIkh6ec6V9wCNAwNhctWFLYDqoJaqoB4j0AkKvqKMEi7rZoatOHPPuwz6+oYpKKeQrMYgA9nYgff AFnKA0T6lDi0uSPOadH2FraSbW/yG35TjeNJEnKLNknMt9HjFiywBVnMyWLNbVtM7k3N0sMWeH9a USXeNBPGo2E1maY8IrjX2MBMJ/Y0PpwsqPBQ4m+LiL48bbrUpI3d2CNnd0rjNenN2LkBdK+UIMCa 19ik0fARwbSWuHkxxSYIGmkkg9kEGqxcrEGZ5cXZGRXQO4e/aRL0YdqA5ORXpVAoAElqCN3dxKcN DzscdDIeXkTVP2sqLw9jdqOOSGoy13Gj40klWBbaIYD0Dw1OFhEv1T6HoNMjWBsDkPPFzjmaURYe Y+xtyVGa2xbDJglXSJLb/E0zuumk3eHyqwcIS7YZtnA3H87Mt4KdPHSiAWsVx1Rq1zZp/j1IUEaq 2T1JaOP247UsAiN5gCd+bbCVrLLdvXHzdAFA+QshlQlwDPSs1ByvVPit91FsHQKuJX5tTq7cgyyF ESwF22VWE3DtHD6cnVLR35WD8a/5JFKpYRt84O2tVvcMk6Bc4iWAQ3G8RHdEfk0d245cXo4i3jM+ TAXLB+EuSf5G38xFbF5h9sAlrvenUTd7vGnScYH+x0SooavTffYWFbCPxoeTQ+qBgyJPhzdCj/M7 9WzrjG1285S7jOk5ZhE2C7ENM0dNmjwiVrawZtwcFQDpcOjmoJKFGEenGYwx2Vmfzxk1rr9nhlqD MApq67r6GXW2+Pr2PXw4Q609kQ258Pz5YmSyeBgaoRD/2yITXloOUHle7W7gJXA86JDKfdum+5k/ N8+jYBQOkTWoQ0wIZUii3oIwSNu0D22uxHsmOw0V1sEF1VVNOjBn+JuvdflwVhgOmz9Or/Xlr1oT 4zbIibAnw3gYuSbJdtSeH56PdxKXFQ/nzm2S+6V/jm6BdWy2u/L4RvXzTOlmDJQECZe9j27G6vGm WTxMMABThXAq7RdZiBe1Oh/+h846UwXqPtdn1uT8OdRoJfWFDLNcF/26x31XLYWrgehL7Nswa4Zm Q4+bb8IWiKIOhBOKMFj+dwsAe8JqeHz72FCBvqYWbgPH9/8RFRxU+2ez5aLMl3u4tW8dV1tedz1D kzpcIA0ouyittH/V6nCJ6fRl++1HpFGJi1uNNEpgx/uAHScDlgEwLPxnYW4tJVfMcOiUQy34qfQV f9Ms6kO8tzlAuz96KxZ4fQLi1MmNaoKfocEffR8aUOrltglca5BwJw2IbX92FA71IiZzTBe6qomZ GwKkLaDEjFvswxY9TkY2x37uLUjfJsYSSp6IFaK7S7PVmhi6odsCvASiBF29cl5kSdls/vDhbN58 1/rsaZiycGh+XsOaKvIIWLJHvRY6OMr+m3URakWTJ4QT6jWoqa6i10AfjBvHxXLGCasGpCzFApzW BU2n5yLltAk5bx+tq9eYpkJH4/xP63IQ8k35Xzuzfl4jGnu3qwlzhVgjuufy/22/QjYmSGXuntdN C6jbwjGxEJOxMewQI0lIxgTqoXZYU1BRt0ifkHQ07jHwFeDWhxyCWw00kU+MieOpX8ekkD4Dz5Di V44J5ZaXEgSLgV/HBGWa9RkTaO6gXsYheQ1kKrYFbIGMDn/TiKi4G3pHFIRFZT8CWXYTH5JMEw5J ZSClm6ZJt0TJsi7QuS+aW5V1inv5o1Po5cHv0d3iNYqxl0Rpx14C8S+vWjuj3qPIt4yf1ximPtI8 Vyc1KjwozmBEXgOYKtVYwPNdlL9tbx+0uYZjaTqBj7T7fh0RdK3o30BDId0ejPCxM5u4PUsjba3w pAJ8saLnQxvEkOVcfMv3IcFkAN4EQ4IEgK16ioqwEgEJup/X6KW6ryFV+jBLCpxrisbkNXTBE5dG BSeMCsggRcDSY5w0S+QOmS0cDcbhLHG6DcYEcKK1WBq/FwzXLil2oos2iEPnISJgCfy8hi3YTKiA jAKgrvx4G3BBES0QOj+vQUv1brsWTqWlBRRINSavIUuFzXdho1aNawCDjzezV3Vju9aKB7qvAUsF FY3p4tLQrGeenIICOMALtsGefd3WeaBsiNMH5S1HhxT2317DlQoSCbyVKvUR6wkpEWzVPHbavD+v wQp+dIZZEAXi6Y20evqYvMYq2JNJWQnLEzi6+G5ymjPDJjkM0ldPx6QSUtJG3HztlDnsz6Fgdoe7 UpGnDqkHENy6n+YSNeezpQPw+AGHR1dF/uj9qOtW689rkIKNaMf+yhbBoKatr53XEAU7LCsCiNC0 n6y1HCszy/L9hGay1FbPdthZBLM5cYu6lG0im27w9ovaiY5CBViKlrSBJzz+JKq8BifVnU9Iw9LV a1KWGnKXRJH3NTThm9XPkQMehn6J8vNaqcMZNQkWcakDC2KHGO1w+hQzw36BsA99rdNVl+AHuWvG LQ5h20YPogpbNzbLXf0Axa3GslSbTxFzN2pZZUMCjAN0yP2qEubaEuGEZfPPa5GuPrpnHpnQf3cc DcprhQ5zEvWEqsiM9YTrmr4NikpO82+h2JRtsJok5J7q5tHatSG4h563q7kLKdIjsKjA5FmPovpm ZvhancOoAz+/LjfYG4KKFvULX9j7wqPJZrL8zJG3H9YEZBcUrmUxrPye9pRbG+x9b6Q+gReCoLOn PlkQ60UWCnzp5pnPRXgFpKWdxbBA31LKAHYVUduXiQUD9p93JhOXjw6e61eOywH3gRvlHXw2WT87 1g9hIqgTPmFsFseSwt7BhgXbokK4RYYWG+iYSdzQjqDtncdU3QLeDh0KHfGmNdQtxUW3l53TBagG pwvNAMEEPp/y0+xC+2Y7LSVsOx14e9j7gUMpHBT0vd55TN+hLHYgJCoga/i4vAezcD1EGNXmiq2l zthaVkiIcNMWJCYZFwL4bEBaiZsXWCiCBvoGnIlvk1D/YR4COzHIQridkgheWTiL0us5SLR1VVHu lCbDhTaB18wCleXxrNM0wL/xEP+dwsQd97Ak54oHlwQ7gnzP1IYzbVO+0aJOttxJnx3bS1rcPEde trPshTjhTtSf3OgCeKHWHI3oqDuBw99jWtGzDgFvuqrsBOURGgdZWPbzzl+qTo7WdIEfEgv5sYze o1osAHzWWVJntb+JDDf8FtSSAOhurods8R7Wunpyo06b3zQukDoeCwqf05IdaHtRYJLRBwyW6+PU xqD2nboUZ3M/9Dw/oXpsyVQfzslGwSkZFbEg/CS6FEbanv68M5f49xz4WEthnw4F2lx6Lbuxkwa3 HPcleCcuYevWAb20967zbC4TZjBHcPkG+TYhFi3oQLuhP7IqUySL97jWFikK2wfdB121HOysKCK7 jf7zTlpC5F48sL1MEFAnifP5nbSE7+NSk0Y2GRaAoLMtfZ629F1R1s5CW7ZeX7ZciLK2wMlf/PGy c2DtADj5TzmFUpPvjCX8aagdwO7BrzqJhnRYcFbfn3fCElPK+VlC0j3bsYSy4JamNYfZO5UmicYn fx/FQPL3oT7rw5JFt6Ro2Eo8PW6+tbRjWwqq8za2EE8+DpraZLv8mkRnqjYpsvF7gKvWoI1Im34V wqPTJRF8x7l/3slKzFs/QT9OrUMjZh+Y9ygX8wUDA/o36yrYxWQDCae4cCbY7jT1zlVi3s0RuVTW 583TQ0tmG/dsC/uxF0vuijgjRHeouIelkmBB72Gue+R0bJi66ogeMppAfbP+vFOVELrcr90FPF4w 5DUsWZzLEHVzV0DSDCNOdT4mobu/SSAEvIibSxrougPDeG4KdBvMHyvQIbY8gdEURRv0FiK+yTX2 9hAV4d5pSiyRbBiZjbh62jydUnHRa81CXUFKfVhm8/KfqnBZpEuNi7bqkySW601o9hXUhL7u1vbO UaqCBqIAp6OoxFG0KyyaLjD+q1gatKdcQOCRUflvWH06af8evWey7UJt8rA2eZ7aJJQIA0S2ft4p SlxH/VNj0fbto5LFuQQ+qctCY4Iz1RXqECFQ2amV5rjDd3LSk4CXoXLBKE9xHxjhPQjk3b1dtyUr mJLQ3oDNfCjugE79885NYhWbanB3+1VVbNSjWbHdY/+8U5Pqo7ejKsulikJMlqxmCzwFFGUbiQH2 r1ncUQmtSJK2+pzuqPROTKqif+AQ4pzhzYdl28SwyUGoywDosItFAR9cO5AQxwR/lnIy77ykKo4I pFqHX9WVB4BJ7t59/LzTkhjnfp3RNvqgHGpU0ij3kFLyUCgYxoOvdQ+7Y1iLwDKokp1FucxyGm2p /RYdDzuWClJmi3LBVeo8yQkERpGhzUeEk7n7zzsjiUk0oly4Y+uq8v66xWOPfX7eCUn1cWtQNZuW WC2SxXc+UhTnBx2zcBKN5jIy7bDVicP6lNB2eKcjxeJttanE0B6tFGhVnUphJlun0C1QxRvwJltp H1MCak2+c5GqPMuhmVH8yg9vOEBlRzzazzsVCWd7lFvEmB1oxsegZEEuU6LZrxPYJtR+ud/evlqQ J6qzSt6JSN/hXIubh/4WH4wFgUYYQWJ0q6q3wM4ixEUMFs67R++ZxC2SUv/DfGuQ2VeUEo2fdxoS p3L57LdALCAk07C8R7ko+W6UoYqzhwuZ4yxDHadTWGJQvWn4zkKqDxQKrnR+852l2xqlNARAdBgf ye/D3QSkg/MV/bNc+U5D4pyWxWzzq8LcRr4Lwrlyf95ZSPgbIydiYeGux7PIvi8Nc+lht1t3J5jj 8vuD5mmsawPS78fze5iLIBQLFiZscdPeYpHXBfMZ9TkLRY9XqgBmpHja/UqK/DWTYdlk40MiZfSA zNjWtbmNgfn/885AqupPf6IWlAZRjdSwZKVcuHpB5ML5fIPYLmg6yHqSnVUQvjUs70FuEa8fQMET N4/mBnyT4NltQQm0bKd3XFGShXDEB8/CDPqdgVQliYQUvPpVwX8dRdJbC8PyHuOiZfxVy50yi4vN JQty4RYMeQIP5kbhKgIzaFWv+CvK4Cp6D3KRh2NqtsqNl7doDa0JK1Jm0CCn+Ik1GelBGeXZcwlg fmcfVdEobVgpqB57LrrLI5Lg9fNOPkIcuPpX6F/EPVVbNQtxBzAW5253x0QK46fPcvIExKCdh//O PHqKlrWzvMBbhP6QyEY/1CYL+vnuEW9b0bUNa33kfVn1f+cdVdcnOI3d1RYGdg0yEYzGpsUs77Sj OA6iNldByI2g5Z11xOkFzsjxEguKdCo82dwk2gmlORbPOCg5JIGJkLLFumOqAAVmP2gjn9DS6kKx UltiAH4RqAIY4+Oj9M444pjYROnCLa/A9Sw4NjC8nRZlvhOO8Af+gUiw+YRKqcYkC29Rw7B0bYl1 BXWSIVO/MciFvVBQC0bNO9noA11p/cZNy+deCJfjz4cX3rHvYcCC/wBzsz3e77C/4FtmmRBKTzjj dXWMXO9Fwe3ku2V7bfsaFEzPaDa/04ywGxRR6JrTYGnyShosa2tCJUTA8s4yqk64twnAbJM3Xz3X /i8WDlZPw6Cw/gRoOVFm9VNoodDOzzvLyBva5yBPsHgZhxZluRjK4at2qe3nnWVUpdL0x2RBCqZx yaJbQo6WxNQbZaEco9ypCCL8ynadpXeOEX4QhbXUzNXNj060qxt9QQ+UqGig1FhiAZ7uo7vPwvY7 vwiDggW0BvXUR6Bw7aM7rSB7w1zJottdvjcV1Cm3R3Hv5CIMI1311EK8FC8L6FcnlRGFs3K8VvnO LcIy1GCQOaybB7d2AmF6c1Ox39NNCyiZTFnZ9bDsQU/iNyR9ECjcjcFwZXi4AlUrpvnIqNrPO7MI v3v5KrLAAsS+OjbbLLqdJGd1SpNd2hHs8K1b7kRcG5VgsLHkNVzV5G6NW8AThm2PcAZa0JOZS63n S4rIkXSE89EmecHvxCLP8uz0gdk5r/z8ArVa7re99J93XlF1uRGMgyoPR1B6jUsW3bIE4XsLEU/B gJgMrLi37BAafacVeTeFWZDqCYQ4bvicFwvxy4G7SOmlXfZBoPlKqxPpMD6tEBL13mlFVXocljQz 7D87XLfaFo3G0ov+884q+m4Q8b2Q5+5nIaXx7WCWGDInQ+0y9BNPWwFVGN6Vf+cUeReboI0dN6/K 2X9rr45pWwB0cqmPiuIojs0xHt3ew0junVLEQguy506CZ3z+sL1TSLzeBt8uWUjfRVzGkpBG0bhk AS7yCdsmXaMC8sDL+/J1u4LbPKG/+k4nwltX7ixEW+nmeLAFuNOk/OoCf5TNEEaJqOr8ArYuFpKC uayKCzuDQ91WXVWtbMjJOV9O/XknE/HtviLcJgqLH0bvVCJCwoBX6F7JRsK4nMsJaXkW5oAJkwj2 O5Pog1fYVI3mzfvPtjXuQbzexTSSTcyG53eDjO8GtCWquFXv+T4uCJsfVdrhuQ0OPsYxgEL9vBOJ 2CV6YLeVsQsWloYlhStgx+3dHQ7t0xtACgNJPxpZ2IHBH9O++04jcpAFu0Mzbn5G235VLk3RLIoD wUo0otWoAoE644NuQYXm551FFLELMgm/Cj+4ZpG/rG3pP+8kIub251OZQxdsR1v+nUPE46iw8uQJ 0QS5Rra71NNjQbcPp3O+U4i4R3HbJc1aN2+0gopXRKq3CQ8ZUGm2FS7dX4ADPeKZVe+Z7LqAEG40 snVV+G+fz/4iNJd/3ilErEHdr2GxUGZFK+SdQMSIjp7ANaIXymWj1lJYN1Z1OwjA7/whLiLW5BwP t8O7Yu0OGxiA0gHnv1MtokOXZtRygI19GERD75mc0ihxUvhY16hByRDOhrb9vPOHqjPrYlho6rdi 032nD/nJbvP+agzsb7rL6fT8W3+LbOjyh+/sIaaKquOqddaeDvTYWCzAa07YBe6m+QSkDvw2KW3u ySIVt965Q8Rqg2tGXQtexRiyI6JLBmfNn3fqkJfGnw40JH9Al/JhySq5kNBHRot1wt9QvoaoscIs F6uI4ZeGJQt2OU8bZIrj5psLzA4RrdBf1kZoCf2yKjLgXw9BkXqAP++kIQ4K5gpky3X1mv9oKrUs IJPTSLd/8xzs98DpqzFJwQqHCiZ022pEijmucDf2zRCIstGBpOidMVTdlgfOtDNusYSgbTFo6Gc5 3mUx1CI+lPvZMntaz1RMeqcLVcmvo6zX/arwH3xHxh238dnkFOpf1UrAvGqJAtQ7VyjAMKcSrgEo G7jBjObWOIJ1oIDpdYV3qtCnAHUo6FrDlmWia9Hx26Isd2257HajOQ2OyIiQRXJJ7zQh/HRwCzqd Q9NDRQrxkCoDFlf9vLOEHG731XhGTrS9rjDSEJcCkNuz5upCbOjwsf6BAlSlbRUHJYXjCqsNuLDf vFbZkT4DxG/LB+KHrGkWWXqDVSXCAxiJW2+ZnMyo3cJLz6+qyo2x3ALpdDybBHLza1SIgY0tJQ1v EbLqSMCYbC4nInxQCUCeOA6NIrilZPVbFtRtmx47bg5RtlR5drS24eQ9rmv1srRgue8vQHUeK7Ku 90yw/eisXtRqdFXYX+plrxX2VXg2aTu3r7ICkK+ol4nvkEW3k3/QchcBVC/clGwWThoUe1g4wq4y 36Pb2GnR3YhbZEOwaGOpxaJ+sBLr07VH6amRKK99hXqYM+eUkRRDjMKKE8gmmDRbm51seDYB+N/v fQWJw/agf6YlXGx/i2hrsuQJciRLntYAOKHbCEfMmYEUyFMCxW7HzSlUKEItqNdBcgUF3SGdNmT2 dnrg+It4hWtoZlVciOzboqa87PXouQOhqbqA5bp4NitY7k/PDGgRS4V9WPIqLhuIHsbpeFDLrBUx wucAa4PgyplVcVWwLNSp1s2x22X0i+otyXZ2qG7XlKIzE1y2HuwGTd3wDX8ptsCiwK+CV0IhVIyq ffFsQi77piCyoYctzgcm5ZcNqj40MnbByq7LfaQGC/2NvKGlkv/M4tutassuNW4esGCyDciNLyQP o14psCFjBwth7vGnVPPM4lucqoengK46idqSiwRs1fFssucGwpInkavCc1QyhtmiYDHEAy4h7XD0 oQX8orQ9yfEYqclRSaPbo+GYzy3OITgtFBb9UcVtXlJA+gCiBxvyXsptRe+ZNc0WIYTYYtCiIbNs W/pK+ve0jRvPJqMSmBa8FMq4dUVJYabh7aaPwH7c6na41ZHRI+m1u30ZpTQzCUz8T5Jo+TI0hwd2 FwB9N4FhGzto21Ree7KhTbO6meFxEe7AgbX4VUminZxsRnXL0fFstoy+xoUGHw/3Li3lLlagdAij 5sIjmrE+2lgEKnR2i/DF/4DjNrbPeAtWFQRakMkstCRZS4B81qZSwKL/Q7SeKbI7s1IuCpz/N0mc lsT1LSeotfFsBoFqH2QYjj9gkDUs7yEu2rJAhjUS3kEjcl1zFBJgS0OPOiQDg2dRFuLCMRy9U/FD ehzRNlp2WPQjhYmOamiV9DBQAJgZ5aFWraLXTEaFJi6EfPDKyXJRyuFksZ0Uz2apc/2gfaA2XWdA 2mcGxmXM3g+1ClGAIigTQI7Tj7wOO4AGPlsynII3z3b3G3N3NM/KhX8863IHmDnhDQlexOEKlaZo nmGfwTf8jQ1P7OmOut+w+ELBHfyB8Ww2W74ZrPXK24bDksa52CYtBy2ODCtkiAAZ1rcA3XYHL4F7 S1bGPQInC3vKmxegbNe1hTxFde40U8YR3Wnue3+Bc/4My9R7JoniQasVlSNdHYzbBmt0bZWBZ5Pp 0r9bitixoSHoLNYs0mXp9cpVjA9033RP9fkCwWy3U1hZHZca/qA4rLh5pmgZAPziFnmslZk0K5qX ilA0Q/J6JVnxKwt0K63f4Uahq9ohW2ZFILs3PJsEurG5cM+19XCrR3QrC3Rh9Y5UcUli7W7qOeJw AIp+EKsAK2ECLFdexlXfbDy32HPJmAO4xs4kC+SI9Qfl5Rewrij6PY42x98z4/eu/9Xu3hApI/XQ dnM8m2EJvyHttEsuXrBcKRwXk2pXqT1RRbAoXeyTuiQdgHpMbiyjldVxqQXZFN/bjW2/hdkyAXZW Bco+e+CP5zpTpepX/zTP4GGKL0ighKDhwVnUrwp0bRrL1P72imezov93MwSyLNNrUCsLcwcP1U2z DSLau+s4wrDyD8AC1lAW5p4iEFQTCIpQQpztxw6L2vHboNqC5iV7Sci9Bp2Yo7Rt3zXw8Rm3Cgfz 4JgMHxN7StZ18Ofks9nO8oVsARKa0E4NShblIrgM9YSPN4Ct9y5XKOooXGfirSzK3UIrwE7Vb17D hWvPHkJxdIj6SEgBKwlg4f24+1Qaj+Ibsp0FmS/spSzmoc4BSwv1CncKwi8e/g8dogbv94hyVxrl gsB66eLADZdbIjfcA9VoVqGgI8nG2cqi3LNEI7ozbl5xWaBtY4qwljtYe0CjFYfeFXwg9IWRSOEb kjUE7LYdO9Wv3mjtQodZWLPx7H/ZWkS78WFJ4biEzElig7qySyV/LJzQ8MHhKDmFDI5LE0BIUYy4 +TmEbnxFYDnhMdJ5OEJwwV4L1GJ8z5eR40r1FHAAcTR1VZfV5jYLC5Dhw7NJ1BKQOc6VW3/dyJ9X ilYgL/d2YRTw/kXKWFOrqeN3WJ4RrSzG3cuFN4RWIGkDxiKr2Tl8L3GE+6ixgEwRv4SFqL+geRRz BY1rfEMWtXRqs8RV7Ich/VZY7F08m+H8n0YIMqJDyX0NSxbjLkrINLdwxL9ch7qIVTTYhXbQz0pj XCXQXUuoxxKCSEtFr5Jk1tZpKsetpYIg9U2Vp3v4z0pD3IE8EXGfruqE1CKDk3FO/1lpJbedz2RZ MH2OfSWNcIlnp4n7bwos0NcdsI1LpVhXFPQFlFdy2fSBrq/fYgGh9kTkK/gzFtkUdZ6RRAO9Q9Sp zmZkCz8rpZt1+tKx0Vp7EOUtD2OtBWZ2PysFKkRVgQsGKBQoOnJcdlrKpZc5RESVJw4Y2HKKNIZ3 HbJplIud/JTscHbk8YqbalALkb+I8vcui1W6gNuuW0a56KjNbb1mlj2T/UDB+yAo2XYrRwdoYg08 m5X9fWc5qs39gnaORiULcDstAAirQCBnGS7GBxxfBF1NFgC2YQzsLDut5KqVSCtQ3TQqAw4sFzuN JSzwaiC597C7Cie0/nEKg4sx3zNZQ+gmbrT3dFU+1GEtqbh/4dl/MIgqxxBHH6jUGpgswqWF4lyu dlqpGix1RvbtELYUdAcY+e+ccFYF8B9x0zJaa7R7QPhHPrTHVeuedVEQUPajTgJRUH7BX0q5G4AK XYXfuC522CxkxLPZuHyVctmR79En2lmIS3+MKxIRsUmM6xCBzuO9s962n0T775VcoG/i5rsLfEAg rozaXCmFAo72AZC2b1gHz2zRIkpxCjDnPsLlbncEspNsCkGDSY5nM409X0RCa6AW2eMk2lmQ21F0 KtsFuJGnb6cnYsPGMqKWhuiJOwtyxZH3hjtvXlboYMt3csJtLNww91auXega749qC3EtO+WcIeyn 0uBxvUFgn8qpbJYO9Il2FuOOSIikFotAewT+dGdR7iHfdvHk2fjXDqgCBFwGAHRCLHFgMqjCvArT Rolb4E+bZd8g0oEsb6toyTkMC57HOt2E26cxv7MoF+S4s1EQ0FVFS7jMSkRgLTz7jzIU/lOkjeCl aFgytALxkT2cROwvmk4/W2c5/HRSLhCRy85quV6GOgL8nAfwY4N8bBWx9I+AjrwA23bR6MMSnx9z EfUVdxbnwpTDtusWV8cr3KYSt/1/49l/5EQM6PDbAhujcUlJZzwZiou11ENlYgjMQStetLwzhgOh dqocJhzC/8C4FzbbAeoqjEUACJdwOf3KuMQf/+Clt8zIIaxWctPdvunapNyTkh/VtjE8m+F9/ij8 Wwjz7LkZXkEGG6MPZ/kCp8diC0xNFNFVVgB4FGVh7hKOf83n5hVuaPo2COVPeOKt04WC6tyE8EfM p090/D0T6GmlXstsfuWwYLaopWExGp7NwC39K3Th0q3eENkp5wxH16ZxFL0h2Bgk0KcGTmxTVJ17 Sy6s4JCfHTdvzqOr3S+0wi4Y7tQ8slSSmaXYk9FULP6afwldmMfq6k3Fs10Ral88m0R0AZpTEQoV bk+gTxbn0lSzjaMECGUiL0Ipe0eu2G5zoPLJIAvOJdsOKTwfLCEEsjDyQLf0OY6OIgD/BxU55kPF owruSSELEFY7k82i2R5bPTtJ6Vc5esezGeusfbIiFmta88r/SUu51OA7Li0HZc/lXUV5HkBYgRRp 5EUnjXSlhHvV77iP5YHFLZaCLKJ+JorYzKHt90bJi9yU87TP8NPhGzIBMWIW1vSrJwB3sjlvoV3H s5n20XflH4WbKM+dFJEL8ETp7l0vH24uncK5R1V/GnNhEZ0Us6BA7bJCWy7jJPaJNsQBYCq4IFpp MZgchTtJtMsjlx5yuB3fkIR0hSZOJ67ac+3tpVDTOv/G/xDoEoiF4EPjkpLPJiELFLOhS/ARNIrr 3TddbGyELJwUkitdz9JK3LwJDcg2DZonuHltS9leXIRK1/bPuHS9Z8aDuFSa237VdFmXvn8WZtWL Z//D7kIrE49zTyqKCyR6x/k6pOcPcDiwLtA9FuFq8zflqKTUMzVCjtTVTv+E/0ihQRMGnQhK5KQg AABa4c2MqDo2F4o2ngyygP6ojYd8nE5obEPMXEDlUvHsP+IWTHZICIRQ1smC3ILe6mDQQMACU3xu LbTuI2CBaQW3llRZQS1515ybz6hYGHpBsKAuroW2U66U2OcbyjSDVjOamTh18Q0ZkAMlKOJ+1oP7 gUG11uuaC88mgIU/Srm2y+8An54syIX3MNhiMrwF+7/wX6ipF0dv93r8JEqD3KYmK89n3jyYY9ES 9X26qnSEpDAEQ16CtnX74FsguMZvSIaFzWd0CXAtAvBYOD1Zj4VTOp79h+6pAEL3A547WYzbAfks sFYl2KeQ489hAUpsyI8SLgTccVNM7tQBfUfcfLacA1o4YE+2nYCgx52FqTZ9eFp9kmhUhfANWdxC Kys6dUbJpQMEqhKbnU54NtlxI8olYoF6dzNOohSWi/7pmFuTpA6B/amE4og6RE1ezT05YoHha6ey gjQwfzlWuYGJjwP6LDut5dtOOymcIfeZLehh8wsSxUZEYfve7Vel0LNO2QHP0/Fs1oGODRd3dGuD j3eyIJfTHy5izserjuC2JMCVs7qce7m35DK5cmoSprA8JiEL3iyXdKYCx8jJ9i9aR7/AGP+Fhp+f Q0xM8Q1/6Ygc+DToKhyHHdBi1Fmwi2ez2RKt1qcSBaEGDszN4lyotUGGzytRB8Tt4v2z+2gruIfV TYlnUptzsNh+3IhGByNvUG0OTF15iBzy9y6NW0qk0HCx43smWREp0IgHdVWFzqZOd184vl3Gg3gE YfH6INR63fK+h7ngNvJ/PyrLWYiyBXCBIof+NWG3q1V0c3UFRxOOuMV8sQOoQn4GiAXba4oQC5ua XBeY4ziLLgsuN4fmIk2s8C7lVa35UQYRYzBMwLPJWfQhQkPlZ/6iawqHJYXmYlvYQdWse6FEQPg2 JW0h2jjn8LPopmGuZGyavB/ainDOEsS9oZmN6N9iF+qzA/8Lvoi92v54uW69ZTIoEFW7nCs35spo kIQcilwvnv0n7UyG480PopuyzkjnPtW3lk4omgT42sOBXiHAncIVqmhVTrJ6thYotFvExWLLnhbW Fel7igM9ATh+zufj75nJTsj3YfhVBxHmnmrc5eLZTGqufEYFtTmI9mpYUlhuo7xCccDCLmW5vEI/ cmRH+/sK3H7/TjqzM/TEzTcWSxon4aKAn0KkjLwQtHdYdlqP8BHonfyCvxGgJ2Wy5tNrvUvCR832 LDyb9eW/5opkT2fst6l82CUUivkzKv+zqgcNkyzXsmn9kWtP8QpKiOj9rltEczh2GkUmKiwPRHkF KALgw/WRnaDO9M3quLRBZuhwRnjDgolPszqQNQ6ezWQn7qc01yk+EujTm8EVYFchBlGlvQ71RBGq HO0r0FeooR52M7iC1+aKZJXLCoAYEHTzwDYUNTo7S9dWQtRUg0LtKrqtVOC7WSG3kSByaQNx3aHb skRAoDhC/eDZ/wBusVUL9RWNShrickqdEtKep4a6guYP8Qo35kqKV3DYXNlxc2Q7WiPuvWk7Sz9s AB86OwHFIXEFzJZGC0x8QxLLSR+LvcXtSxQk4iuVHwsf8WwS+YeIvTzf7BBacQilhVzUDh2vQBGI EG4ppz02EEBncGf5O16hVjWL6kNnvcM2DuhhYlia7eZSpQBOp4LMMj56UAS33FxaQZzw41eBW0bv lBG1l+WzGQviC6qso9nTxJtFuIPAm8pG4iB0wVWUG/u19IEgnouTJQMsuNR4F0exPxxFNO8aZUSm bY7AWMqZc1N29II8FhsuWnV8z78oK5wy4qphQbFZLN958GyWJj7DIpWf9SjYlyzAhYOSTfMxHe8v m2N4A8FmaxLIgQBXcsoljXA1DZpWUYtVNDdK0HsxZtltL3qU2BigztLxh4znJLon3jQh5WFbWWXy SgAeY9w+ifpDAXHz6QxU6Nsudhk2GU+glWvJGWiH6G37CRi7oPsMDAcUg0WL6PvQJoODk8a5yovW fm5+Su9lQ7Ppu7NQrhhib2Kvgvou8O5eiWKgwK/4W4ceCC5dlRiVLjPxseBBX7JIl8IAUXWhNEsJ P6JaUugCNsLBXIbQhT56lKOqk35L3+F9UFJ07pCcvUrd6+Puy60Als+oT5fZ1V2sh3gA8NBOjA2d ovgVydgg0t2XGhTX+/TEPzKXtnNV75cEMaFWQtIaek81FO1LVtVd4oRVF7IHV8wF+WSGTDe87cx5 qgD/rTvipanzNBhvt+kPlx3aeq1NkTsv60J9ig6i7tfELgCF7pMdQ63o4lftNhZFq35Sy+DDGRBo Rjet/iKwtAQeVRY5r9OGzb61Q2IZ2yETo4LQj1JRc+8dSyor7q4iyIv6aZ96XbOBRTqBwtQEcVwd EjDiScvr7cEC0aiLX5FAUiFX0oFZ0FVL6sJ6ggc4BNxLCmOIHglPqKZT8Zk4aYmXkAJ6FFD2897A MdC8GmvKFsAQWreWtMbrCTUb1Lw5XNeiVTv4oGdpYQRQTdyMUTFtSCZR1YzylCyzS4pkACuNHLRz QkETpHGtKYvMBh/OHGi+MmucApAij7FJY2Asg14C4d2HI7zb7u6jDUGJEvtNFgRvj37/j5L7smEH E69w4kDgpYjIiBwAZP/eHs2ovba/aRbZkJpGB40aolELzRJhfa7eL6PsfY0NSrXnPEOT6i+gM3Ba bYFnGK53sxjkyyti7xiatNh7dHirKtOe/GCAZTHBnAYHy0aE5bvDNIxWdjeqVJAk8DdNEgQ6rdtm xuv2A2fePlUEb4MP/weNborXtM/YpNEwltBld0YdtiauGpJYtQwmpfl9bDIdhu7pQV1x8/rDua1Y Fs74xk5NrAvoWaGEQ3g45AfdYlHepCUX0wWvBuKAuj6Rn6SXLVDgw8mS6tGrRoS24RjxDE1a9EVN 5ajUC9hiCyNby36n2rMHP5gfU2nVV6paTYDvdkNluFpMOC70vxegvTY6nDaLKn/dO7LfHnr4iqQ2 I3sR8mzKY3e1j6TNbIg2H/4vrAnGGaF9U1ODtE3fyOnmVtCqPJ5bCvMA2wgqJcoILAU4SHq53ho3 75+glk/GEYgTx37b8ZUvdPQ9Hmim/FcSizRtpudSFug+skCwBRBXzT6ADye7zbeJK5oVCEZ9aLKg GCAeQOxcMFVdG4TCtc7qsgyt9xiaDONAEXU7uudz07SZ8AWBggFW1LD4unh+vn4t+rw/HgnyAvuL Rxq81jd96Ler1AJpcyRPYrkIH05C4sffSdkoVnKoA9XcJg2itizISuV9bTcbLNcHaQ7YWmqzSX3S jgwk/scQDMacFhRUFjsBKJvuNoIbauJjPfQsBX6pUxoZjqvSFoD16l/krN3B1TVRk6iJVRraGV8U R+o6j2fWZGXgg2bsPVf4Osk0CNJLE1nGxLW3GJo0JlZJrwsK3j++PZa7WyTA49s2nSnGwB1I0ybx mR9U76r+pn9ZUAeUXV05bxbKqQxtSqt8OFtQf/D5EJiHN0BN7dIODt5B9D7pwnR4A97hlCPjNIie Rb6Q+KUVusRXmQz4zTcblGmwqtBlsjNzyQaYVJTNckP9CNbFmyZN7MP2NdVC+4zWQQchk6sL3kKp Z1qL8gRBiXYa7FCsrolnmreIhrBT2GxKE+KsXRJQCSEqc8Rmk0bEgt9VEc3rY0N/beO9E8hvGid0 OISDeI8hBHUAavsPWrP4m/4FUUUk73E8L4IUiyWlQFFn48NJW6V+78PU9YhkITFOEzvWYr4WsnWH dT5Bnbe0QteIHlxNndNIFUUvb8fNVxQkZjahXZYx2EQ8BFUBIVsLA7hHo03KWzX1TgOI42wUZXX1 TOpu7hZ9tsuHk534Y59gfwALb/NZUalcA03Q5esEeMgu3n6jXnlnlnmqM4drYp9WXcZCOHvdVNUC FGRRx8NCV9v0+xJpq/NPAN8u+KCNzrP8imza/K+VjyWPVRmnxQB6+B9CFty+cZbvJ5FKDNRcGX80 935F1786AuIOAWaApmsxb/ICsZor3mp5FGFKWcPyKMLCbWkewaQLnVWBg9iPgdqWEWHqoDaFgaDH xHVSy1iWHwiVuk/lw5m9RGzEMt1DNhdYopq6qLHF0k+413TlCJM+dkVhHyqacUrlQAgd4PfGzZPM 1uj71Cg0O+dwR0/u/2jljfunhlBNfdQgM0D7cr+qsz3bEalm9saHs2zhqzOHYijZERqb1EmN9IjD JjGzTAKuicXbs7l2A9SiNG9SK7UjsO+SWf16zOoR6dnRTFMSuE5sOTXSsBqolw+Ji5qj/IZMFB5D Q2mYrcIj6sS1ivNnQ1T5cKZTFvk3NwWKJz3RTeqntlGoups7CrLMSw1IGsHu6sZhm3ziqY9Jopsp ak5tcQtKQYdIJjt022aGSKIXg0VLdiB+fHAIPeZXZAiaDhUg7MUt9mLkNNJwsP1x8+Gk4te/1KcQ BD1A8Zp7qiGTWheTDR1dyMVRutlGlai8g0yOWihTH5PUibv6TJQt4M2njcWUhYZbtvNcuMICt7iw 5hoJ+y0CP4SL/qaZeA7qNoCr6uppbOlD6vBr8OEsJvYskyEIcuEdgrw1cVYDNoLGscsd21s4tre5 73ywEVG4SazVAkdT3WuhPBZilnMjwMN7lAkw8KRAPDSsGxubXzV0as/W3Fut0ZhQ+vkEOrOmtRh0 wFBM75dl4AEYL+xill/3s6RS/wkc9fsRFd3F8VYQXZf86piQ9XHL6RQFrI4dNWV183mDdp+tnQ1Z 3o3AUn0ItFfx943xdHcpRFVzhzVKc6GGpKvyBVhacicde/Phf3CMuRPjBH9sXGrqsUYWCUJ5r2md 6XZzd1cxmWZr68ZOnKs6cExKL3HzyA8GdmWSNrpteXWaM13ujJRb2Y8PRWzFaVCMnt3Ygkv0UKIF F1X9haOHM9XVL/s5sqcitklt1lhHuYR1uFXhjPyJBkgNJKZQ/q6JzxrOb27Ai0WgugJ/CZ28Y/ES axP2MRb7FR1iNqMBER6hGHPP9vfMIAEULB5xVR5lZ8WSTtdZfDirTewntCEp5RfgeD4yWY14cf8k EIMFrbNVkLCtZsdWw+Ray+kfIr3Fp86znGivNtmTAi1rU7QKPjfMgO1regzNImKvplZrS0aotP9p Yf9jy1O+5TZjOh/+B/QVuxLdmZ4pk4XDYuwggleGOeZ1uETvPeAS4xmY3ItC+qL9uQWMZKApVQk5 quC9SesAzWdb6ftRMPMkKvVaw4qDCFrxqwI+22gElLawmA9n28yXhhkKO18HdxYLQ3cN+kArEBPe cBmtue0arPlWHE45822KrfPcvHJu2ZkdkQAvQtxtH1nnVrbAQL6knqY3eKe/aTJl0IZaYEHoyilj p6ofLvDOranh2kM5IMKG5LKnBpparkHHDIG9BEYlHi/JeIj4IbyBx3YY3Oeea7KLqhJ5DuorbC6P ZZhiqPeFMh3HRiBIJFCPDJNYgTV1XatUBUGArqsoKlXNCCilbz78D81eBWV2LoWGTE1819r/gxKK 5U1T41E7D5nfLBNWt7unN7V24MR4DYB0Hkps+ejmIQ2wRwXUzQmYfqd+rr0amm2oHoJCoaMJX6xv yMg7LGJBhXWHHAsIwAoRLPCffPgf0Eax5WjQ9AxNig5GADfrdDcgC5qCwNNbICaw+2hBpf5rbpNE lIhu0VPYl1qsQE3bGS6rsb0kgwHJ0fUBCMebJhlUod4DSue88gvgWcyjaqyy+PA/5JikIwlYQIld OHdhQ4io6jNxnzK5R3kYJTluNr0+Lczcho30nEtHE918bCr8XWBiCEcg7Oe0kILXVkPp6T4SeFuu 7okNGwil5B7c41cRM2CQcrTXDD6cNb7n1zYMzHZw1WvuwwZSXjnjukMqTT251RA3T+AaoFG+1eQC vmp4S0i+PELygwCkTRW8W2yyqALKyAZELwgRucA+1Q/5FUmZD3l33ySEhlUiyiU7EJyLD/9DVaay f0lRrmfWZIEwWOOAOqpcXtFBEF6iQaRJBkE75FNqasgGixyyvmrcvDp84YIOjID9vjBaJsvpsPJF nY32VIdBHNVXZEcUQj2A7XRVCdSyTJFRLmoSiSWbMDOfgM+SNuj++9BkkTDgs/aJ19mCfbkYqZ3d 3StZq9K0u+hj3odmSGT/qlpzn2pNsUPbfmPi+ixEGLKSugXLnZs2hXxFF6TFVk1N2SjOdDHqujod YR81BWwG8eGMi/yNj0UE8KBsElc29/04Eu+CcUVh7Ie+N6UufpOu2FcMTR4Ka0WpjxmtV6iQTuqm s6dwUFlh+lQQISE6YPPIW1Hl+JtmdGTabM3rV202tplLSnShyJf4sjmw+aH14Jws41lRWTQMVUD7 AgYxXINHYjOdmsRDKhDLbVNr7sx2fEU9NyVQFsjDhLmjIrEqqMQsnAMQgtwbKIcogAL6p6/ITE5g hNOYWjZPLUe7i15GwHl1PpwEfbV9wbNIz7vtGZy0Olw5uztknvkvx8p2MK1+TcTG1CgdGpy/a0GU PmbcHCsLjXse4EAiW4TM9q5cvOkyTgtVYUlu8zfNDnBCkPyselAH/SyxDu7mw8kB/nQVJMGD7aNH SJxatE3WsmYNr8NRp9eySBIXYuIpnScebfDuYr0G2vF+81UFCZEB7CxQXjaVGQsXi5Op7Vvvo9Y6 BF1LPNoQ8GMThiiwrgqJLZC8sqY4hw//I5GSaTH6+TEweW0YhxREH5Rg0hGaeIkyvYXpHbupj/lr teZ/RL7gQAafNswaGLIuUZiZslKXpD99Oq5DfkWGeOR204pfHSg7jhouttvz4eSQCpo/u7to/4QK Z02N2joqkUW8DbTp5nT5nXI+eIlgcNTEqQ1MPQ4Nfa10i+5uQ/zbsBMPSztcsQdFArwcurF+RI14 zwwS0EBPBldhzoercE5TI6ndzof/YUnGminFl0MXriZebRgZFLKIQqGXxZ03vNpYD16wIdpxRuVm beLLgTnpt4D0FcItyBzcgN9xNfWLUBgny8eq7fh7/o3FMZ+rkFn3LHGZ1rp8ODm8n5iPE6T/gSBO 7NrcLaeTcc7IBtCaRc350iRehFZS9HZzv7br8hAzbl6XuHZqE0dtxzeA58ol4FlQaQ5zH9GM5esp DYhBntuwNNO1eKinyrAdfp0P/wPtOFgzJ2wtGgqpZxsB94PoQWpEjCfFJLJDGhEteruJadsjEjHq jJuPjUW8fUIKAtIZgIQyIGbdGrAaHt8+NlSer6lxGwR4zkYlT1dOnAvgMAFXF2W+1Lnt3PPZhYE6 g+6FD00aEAMLRZ16atrWMgMuIWzfIQGox7TJAuKpg6krneonWrs2byyhA0aI04abDKI+wk9BpwX7 Iip9xd8000JGIevQSvQ40nRAP085IgPi1L+t7W9xHshitxs+xTV1cNu09yHRj0kmCa3kcyMBGKr1 YR1rcDK8RN9i6X5uHvZduCEBcIGQuK8mZ2v2reA00eeDzuIpzK/Iui0dBVC06nhV2IeOqOxkz+XD GdN9f2ULVE31M+rnNaxprkEPBVr1WmjkIpWI1QWXtYA4wprXoKaFhJ4Fpy1uGpeDfn1B2gLzsqFA FA4YwNiwvgPQefvoXL3GNM3VvxDq+VUxzaH2D/+FR1/GpAkqU1mHZrC3tQdvTtFsTCDNXPCC0hYB HAgJuAVRdTA2tkitb08SkjGhGmxrDshtD/EHunwEhxCSNWtX6jmJHl5QRD4xJo6ofh0TNBIgZEZK 1HgoUZa3ji3vZpQ+X8dE5/0zJjhwt+2ZHJLXSMaeYJV70XsB9SuBnUnnPggMEORN6hcgyHuNYzBN +PM3ISR445CgcroXRBt/gcJRdlcoCJQa5RtLf/B79LV4DWMa9gZbOxdNZl21drB0LiPfMn5egxgM JmERLluDXxlYJY7IawTz/xn7siTJkVzJ/zhLSInty9z/YANVBehe1UTmkxEh6/Uk3RnmtmDRBZME v1uvNfgaIlwixWtdBHc7AE9YfL+OCFjymiR+/K1oyi9ArjY0MSz267NeaVSyEoQdmuaHIcm5+Jbv Q4Lg5BakSLALO79N3mQQnF8c+1l/XsMX/oXtMyZ0j15VY/IauuAJnBpL+GD2tIf3tMe6XgFepXsF +DVwwcLhxtAWTb55800Wrk2LbiMWvUDORmojjdUYYN/OQ0XAKfnzGra06PWDraIrP95yXFmKjAlP 1WRIZGfHIam0s7AY82hMXkOWphwA8a1XYy6NAlBxIHSG+k0NUqIMWV4DlhY2XI06gbrFPJmWHmFd LeDqzxGkmgcUorMxQqeoFfbfXsMVe0sQZA/FTnXV0hljSMSszfvzGqw0SZBrTHR8U3XIJ8prsIJN GWVaivEp/G+Mdql6cqXjNKnZLHn1bFAIzeuEYummQdk2TyAFcUjMsJhNurdEqyEqWvfTXaLkfLZ2 IHFNc6/7WHyNie4SNwm7/7xGKc2dE2KeUHAX8SCH5DVEad4haOsE238Hyh6FE29gz8DKvAYo2GHZ T+q0e9DNSQe9gj8Mg4Zi/zkh5wxLhwOT5vX7FdaSqfIanDSV3CARFFdv67crxh+qvK+hCd+sfzYT RCWzazxeK3UYQvwNkzEhu0kKTCbKClfjMSA/r7LCa50O65UpM9SZ4uaZ8zoEyE6sm32Ha0GjUtoo lAcX64jYGpWskiE5IKZARs2v/Pw25tw+JOvntUjXXO7xlxr2TLJ/CW3ioLxW6HxKovt+oqBwVPUe vdwSLP85neWfRib081Bm2J7M0JIdCyQGkuZlUQmMvT1pZoTYBKdyiiAzw9fqXJN4KtLu4lc1A2yn 6g40w6P/lzMHsRO2XEVrWQi7WF29LnIMUu/xzKdM7bWQinTxzXcqUwuaVyNoRrcozNVtGy6PnbUt qqJ6yMHfRUWOLwcL+BTwPZPVs3ji3LhyWKS/x6ky+GyyfLbHJwSJdIIKfFiyKJbmEyhvqXlko97U zT+DpW7sgogh1TxKw1h2AZos/dpj6beAbqeKODbZYUmPCj+bemKgCnyqT2Qv/7zzmDCnSbXdO66C QeOQ47BA2uudxsR9tn6mC6RLGhTVNS5ZKAufR7C6fKOFuIU2lnIdAz3URhQgJhmXO2UsxXSZN688 WapsqQ6tlKAVvOTRNgdhHwegnXBSuv6a78OCJ84d9EmJA9+CWfc+sc0MaM0sTFn7MyxMMNDv1LBk 8SxcrJpLntHZY++nVnl8ayE6VR3qZMMF6gCcDZLa2pe7LFhLHZAbYBLxQ7MMTmZha45FdMydkOHv Ea3CQ5B9jl9VdJqFQk+W9hyU/rPdhVZHcSxvJ2pqXN5jWtSKDoVVlBZjY1DUZrsLe0ekoaynHJeN C1PjThcT3TQuNtVOIcYJlLdWZYbYUABCiRi1bfdoY0j7Tlxqqtsj255+9aL/nqpTblZwk1ERdwav YP/0EoC8Y3PJoloWXu30kayKLaKiqm2nhvrg+ADqpILKe1jr4hOIZ0fcPNQvG3KSZ9OPzLYAWaLA 3YTmj4+myhTFIotqAdaxvMc+R1dh7drxuVJG/3mnLHE9zM8aYqMPGAWNyntYK0om5Hwd72wTegSH P6zrFk59lSezuFb6eK0raOkRtOwKMFwF5RZQcPy0KkMhN6QExqeYQpXJd75SU9PbNhVIwfGqg8im MbcZC97vzztdCX/ijB2XB6kkqjy0facrIbsggf92Vz07cjlBR2tclymCr4iPSxbcyh+n8RzTzfcW 20xgklOZLLNsq8SQCAEqqj2lSZGN3+NbdAY5MEBO8SqExxhHmKkz9887V4kH5XedCbxowJg1Lu8x Lg6RQvc6uUzZHtOmp0F0rFLxjbLh2HOzIHdpoohx0h7GieXK2xYA+sw2VtvmvTs/YhhpdlwfqtIU Kug9yHX1ndFZcOojuiAgMbMXPSEVkkW5NNV7IroF5n6c0FmUy6Hc1DOlLybUgKv60CQXgz4IpO/k 5pKGuZ4EjR43VZsqSMYL+AWL/QtQIk6aBKcBcwtMY+8OUQ7unaPkwSowRdOvjuyYygMswT8/7xQl bhXna3vBVMX3qgaXBbqD2+B2MN13iliCtN+BfuEyeicocaJy/cgLkjdNFxSuYZxiy8j2Xtt2CG6o ZJ/SiAsmn87Zv0fv+X4YXTjj3EnXk2jk4jjwGjbwqu/8pOY4Lo4LUfHIwD1yeScnscYF9lQPrwYL GCVM1Fv3IA/wHadev3OTPN5CDa7suEX8DwUW0ByhxAkgXJWUAThitsJRsAjFHRS+f96pSTiNiMik +P4O8f2Bvq0MoffYP+/MJP704zNdrqsoaFiyOBeYUZQMG4Fi9l9EqsJNaeCkIGcLByGP6HdeUmQR CPxv3CL+78DVsWa7wKJwhWxANvCyIF4HfZZ6Mu+0JBYVAN6dYpnU6Mrf2ou4An38vLOSGOh+lW0X SgJ+FL1TkpqEhMFfU70JKs7h1FDCBqZD5luF7CzMZUfAYsfW4+YpNLxlK8nNgPEW31u4gcGzps1H gpMn3887IQnfYL+bBbgquHjWZaH46o7D2OfnnY/UwqlBawgwfHqSxXTJ4lzu02M2Nw2CJo46iRdc V8l7zZDff6cjNVdyb+0qXbyRLu5tQY2F65Tft/xn3qZsG9AvC7Q+jgTDX/IPS+gAMaSrqi3YcJdS 6PbzTkXCMRnlFkaj2NBQp9CgpMVb4LzQoPBccfTpuaKMT5ArdnIEJj/lj62goXN6PAGdnZ+2gu5B aWFzwrOiTVkFlGVo7Be2u0fvmQQuANJtVqF2VKHGvlAeUlI0ft5pSC3Ql9jYmRfRqyHGJQt0N8OH 5pkzdO2a16FaEcR5LpAeNVneA11suZwllL/WzQM62IaCLq5K/7nkPF7awwGif74SANYr33lI7DiR gTTjqkh3HFHd7SvvzzsNqbmL1lNbIPpreQLwzkLiuLAJj8Ace24lJlfJkJYOya7ODngnIeHXZALQ VXrpM/ZcnM22ktD+BY55wuKLZU3bzEg5uF950dVrJsOySccHcINXLxKXIdfQgWF5j3MxXcanakkg BaathiWr5Q4klZZddDWZp0T3Lyj5JdrNJ3TD3ylIOCiuNl2mPT0q8izj2pxH+8emCyAKakMDPH0A gP+4wCwm0e8UJJYHME8uUYXXUYV99ylckWUc9+edgdRcdeDZc6nPLb0hfGEW6Ha0ugbbvLQlQNlI dPwxvOZ/qbjFZfQe6FaXsh3ocvot+iCI0JFjg4EE82oGxA1Gf9ifkSv6rksI8zv/iNMFdQHwLXT1 QsvS1EFk8fNOP0IseDzOpakbXNqmZ0Xv5CPMFpQDLirwyhb36E7/VGcVnt7juK3fO/eIYS5nC1WI dPPZAle1jshtQclzV80W4Iwhr7c++r6s+78zj5qAKHZmgnnEKwcFhrsqyFrE/PNOPGohZOsVF3Yh mi+hd94R5yb2WVFE8F+Fp04DgYQCre3XDqfmhsTvtCPmROwPTVJiefMO/LEFuFCuIGt4yQyx0yiE UBXwXB4bpXfOEcYEeks2ia9fVcq947CyYzE4Xy3bbstnu0VNGNVSjUkW4VJqatNjj6AEqiap8Q7v TuaJpUcLPkUlVKFWSDvizZcPkNA0sbdY7u7RpFqAf4C4pj3W7xCO4Fsm2dBAEQrJtq4qWFoW5/Ht 5Ltlm+138ozpGe3md6YRd4P26EjihW/3ii7sWgjHteFZXt5+Jxqx1sIJ0Ile6f1RC7joETXARZYl hvb/c7wyhziJCeNTa5lt6T2TsB+hHxGgFgFBDg5/kM2vSrdgCLW1n3eiEYPV8e/Jgh6vxiWLb4ld gRmhxmUv7rVAsNzlMOXFWgHHJavjFuqF905V395dwBpKrJBRQKAIBBjY9p5kA5uLzS+E91ncfqcY Nbcj2FvOmF6wtJi8DUKqesNcSePb81VRQE4M63mNyXt4i42dHgQ46siGYMuFpzF/S2wqbRQPV97p RQ7OQvzGkj5vEd7aSQzsGnV8LarTXBmqV8Lh8OHZT/LJ39lFPqfPRGiqq5ZQ2VO/tk3En3dyEX73 2Ff4T3FG4jfUuGThLffHO+jacSmvOcK2ziVQ4GTtIr7v3KJPHXf35+bjAnspWBwxvN2XXAAoxhEs jvT+oaRNMoPfqUVRl7tgzOnKz8cQUyQHxaCfd2YRf/vvHhEOSyTAGpcsvO38i04LDgSzEul5tBp6 HrP6hpvVcef6V0uRcdymzaFNQNhtAS1oCSINzivkywCzodT/Q4KY5Oq9E4tYEsKxjOxNVy/kbhWN Iej2884r+leTCMPAKjJKkRqZNMJd7IKwgUjuKzCwRCvMOwKtsD0heqcVMY0TfIOyC2rp+1FUO0yp CZDrlZhS1P0x9SByg4K+l+aYq/68s4ocSHRgPepXbTAAY8o0avDtkpV0zydqoRr3iKrCO6WIg0nP Ok+DLMqinvpF2kx2Z6Nss0f+74wivDVD287OrW7eJ4IC9gUgDpX/Pc9xxMKlNsYvAOyxkhTNZaVc FI7OPqj/88pxAfDVSXqn/rzzifB2DyhMEF01fB0plwW55FbhCJUSInvPInRi8+mEayMyoRT2O5vo 050X44o3Veew4VqkC58ri9jPbiww28aC6pyNDvDQTy236j3fB6YXOjVUqkVWCePY4tsygZ8WNv+8 k4l8Faoe59HLjgT6nUzE5jwNMbdnigPl7IlUep2iE8mW8XWc6TuXiCXF8QohRLBPIzLsMMPmfXeq +ZRCGPqMgXBBAvbzTiWKoiXrYbry8xEPkdYBpNbPO5OIB/VXYQGgzB3N+XciEWZLpYhHV70fWt6u uE9lBoQxoH97SvTOI2Lfj8PSFOm2J9LtFujb0qEfGfpwtEiolE9v6Eqc/rh7zFr1nsm+24XiKH5V ArC6WPw2KufnnUfksMynsACjC2DRNCzvsa6Yrva/7+O+QaghsNxSaaVME8gSBvDvJCKe00fosBU3 r4fQStXeHiXuvrrQ6wXNeqgb/yK4e2hEQ++ZnNOdfUUMzo3y34DMiYDJts5/3klEPOO/4hc2CNGk 0riktVwwp7BTOmaBoCYR8e51z9AbAkrvDKIW5KpefPN96gqj2YsXIMChZGeBDOsKjc12HNkQOPd0 kapb7/yhwGtPyEvoylMaeELmAlC4+HmnD7E+3mO22M5dicT1cPedPcQaDUj0gEQ0lLgnAby0H4CO 0sQqauPEsKThrg7pVkbcPDVa9lNNZC9QCwJMVA22DjMPnBCeLtI46uedONQk8XMh9eZXL/zDuIpF KKCT01i3729E4frd0Zp/pw3hkSUvYkHW7b9CD2cjDmK6uMAEYVr0zhqKggYGo8UtlhCYZ1jMdFNS nmgxHwqyAHXtpwFN1aR3ylCT7sUtcHnTVYMCpja3htv4bHIK9fUV6CKhRktTo5IFukgygPfwuv+h nhfCuU37JrQU7whV/Xe60KcDPcdz82MIi4VQK5uLQLpJMt5imV9oOY+IWSSZ9E4Vwk9HZfT9XJUV WfRCAojNl/PzzhQi5ieSRabdBJ8+p1AW5FKm8t6mxNlC9RFmofG/zcYqCUclxeQ2wbZVxq1Rxt2U /y5KiyyUGQK7wzOcukm/U6wH0BK33jI5moHIHbR+H/epVs6yfA2cjmeTUG59Hc0dK9k7ISMNcBFC HNTFqMhmUYow7fA61T4zQAjzPSUr4WpM2lTAMp+ABYygO+j6a3Eccjzi+7Gsa2Ep6FOFOl3vmRxB F2sH9HVd1XyG9qewCnq7rPn8TY5B76AE6SENbwm83nN5FYoZKeXYevFtpVODBdvKfA9vn6lCAyDd fKsdwFVigOGUVfDrquJP+BX6Cp+Npft75sSySyvf+xj6EpUk8yN7XTybgU/nZ7eFQAiOXQ1LFt5S Pn1NN3SBVtx0rrz04u2I7lv1TH5K1h9SqZJpYguxNIvbKpAZdtwD2TJQIibdju4MpS6yASNg4Rqa WSEXeyyE+6pfOSyWgy4V7W1t4tm/cmQKQSMj2qwzL+TiNaStgGRoN8dYQsdZ8croxIe1+TOzQq4n Q1Kq482hCgd+FJ39IWC4qmSLC53hBk7nB8GBjZDvmTWIIMTWaNTW4hjq8CHFXzYsvsCzCcNsf5cs oSWE2pgPTEoywy56QWdCbgi1YNW6oYR5gNxAgW5VMRFnFuBSKxrbSombn0MHy38fsiDsEws9yKDp goGBMeb4t1zzzALcRqAO8j1ddRRNN3+HOAye/T9Q7ygNH6OS0cwWRoV49gNKFTzqWO1vu3Efxltj R54clRyqoOFQNWp+uHcLEi6V5xB0E7dsiGmsjlIdu/JezW3+nln3eRFhiVrUsN+QVnXInFm2nLZx 49nsJFqfsB9kvboC8T/z+BZRbfGtFnQEt6yj+LYE2O51xfyZcs2oZNh6vXHTMjooeO/C8pz9xlvl uYNOGqXikZaEuxYd6+Z7iAuZZRndHL8KrVBtU1cRyqKHmYW4HApuulxHKGRFn2im5VxkxhX4AnlA ikbFaJ/CzANdeWQpiFtmGuNqFRWxZ8p84hZMmIrSgS2S0c8mE8J+e0TrQFIg2vD+M6V2Z1bO7VDJ v0L+lGAU1Dp2UftsbTybIaG+olycf1DU0LC8B7lhIgAsXyfiv8/qNGfIxkyaCKCbOXgYZWAF2XE0 gvB08+liS9BmCRW0DnhQ0oxHacdOPbQwH4LV8tfMziIUK4kU4pUfX6qkDnByHjybJM/jSYig1Yf0 b3tlbmagXG4I6JaLsNlZfKTCo8rdwORcauxitmRgBW8/T0I2u/bczqb8gF8wS5bDkt0u66SLf0fd 4/UopoLZ2/ENGRYK2DD0N3XVKppbSNc2x8WzyWzZ0Wxl6AVe/fRMcaaRLvbWsWZ3gNjwEbLsqzkq 6pbhNKuZlXKP8ITUitQtIl1UWOArthC6kKNUveENKzzi/mNcpt4zyxUB34bIk64cF1RwVZ61j8ez GZf16yziDKs3IIUri3XpQ3jHKq7tOJ0504+UzxcEFIeT8lZWyiVX3GbKPHHTwMBp2rbETeYMaFdd YDLsuOgbI+/1kiXJ8SsLdStR/4XY3OKkvw7Ur6zObUvHs+/jQl/6T791kDWqUclCXWSyFmQRp4GY jsL1VLwEnx9+sxbTBdBy5ZVcHkbrc/MalC0iIHOQGMEO6ZIEXOgLgErV7I/i5aTv7krpZ+hAT8Ap dOWwgIjGYxZUcjybVXJ9e1GUw76m77orheViAWxojAvcTv46O2dgGUBwYsAtV8toZaVcCmphX/Eb 8a90F2tg/dC55SBkENi7KRMYqriogwboLr4gQRSSfoZmnq7KF8uRFSmEtvFsVvfvn8QIRbYVwgEr heUyxaN6MjuL4opQTYHCjgR1BKBwZYGukIRdOhP9MCAYDHRt2+2DxqAwP9r6d/AfHrQ4jeo2nJDx 8X/ozqN/4FdVodq8ahJZhI9ns50lKgtYbYBE0+lUo5IFupSCotaG6ylUSVQjbG+hp7C8DLWyQNd5 8kK29wfZDpNqO4z6PS6oII4aNBZ+uV/tx+WnbhYXVlbIhdTMObCvsysKQFJlQdzJv9amHh7OZssX Vx4g9RtYjpUFunAtBUKsyHPjyuCSxSH0zrG3QNpRzbOVBbpHXMXlehOP0PC+GJRGeXVY6w0JEhPJ Nq5ABCE0DEgNviFZRFBFnZNl7hkmNgNgPLnIWkC3Mlguxeq/eTOgwmtYUlQuykKHQvKELdBMGqWW Qy8zIP7LE7msDJXbVcTVzstb1OeAg0IeMy/R/0PdWHiUKh7v58vRcaWyCh0lF2CMdVWr1daW3LIO BiWNcs/3XIGqSaTQKyvlDrZ17nbl5V6KWmcNDHdJhvW1XF94ZaVcsr4tzmkq0BEAXNE6Q9WSJw47 0EcYyzIL7Y3BPXvwhMDzVHxDErac9j9qE7aF3aGSi4UBeDbrEdWvc8jG91FXWGmUiyU015neURQc Cr2Q3qd3FO9wWZKVRrmqRFU1Fut4BN437d7bZoV7WTRHkSOwwyHt+cWZv0QUrjTIxTG0Z49rbLnM vMGe73g2SaH7+LSfQa4K3M9KQ9zBvpmbGzWU0wSyJNeX5JCliAYLKC3mqh/W1Oto54nkFv5c5EFQ Ji6rDBf1gbRwo70KfnFVLZveM6lDDTblV1zVZi00aGKPo/+sFK1wvqqWVGupMS47rebSDgCpmzJF VA8bp8imSIv9ohMznZnizlXCBMVlANsfCwnQzmznQqQPKlGtUxkl5ADJl0YoF+W5rddMMkXWFSqV BGooCQAXQgA2tN7wbDIs46t1Bi7gCJWwnUW4CBqAJhbz2f4LmDagoXajXjnaRRThwc6yU96Zjp9L RhFvGhU7Uy/EPAj2R6wgAx9w/mjd2D+GYeAL8D3/RJq5lFm4bpY+GlwhiwL/hWezneUr8Bd6Lvh4 OxVYmFSp8kYiDH9KiDR2KUMNcv654+4swqVcZut+GvWnpQhj9DGAuF6WXSwyzmih0F136BEpgYAQ X/MP4LnDhXdkn0IIx25X+fPmsxlG7GtY2JTv0SnaWYhb6aF2y3bODFUykRCBYKTu2dgR+O+8lsut 9o4eNz+d7cVJD0d17gKoS7DlteFABjs+9rBaQylUgTVcbGG6crJA75Q9eYuFGp7NpPa+INzUoOrD j+edhbjgs8GciShtwE2YJnYCxA4XETQ1tliKOwtxl7AbDuU+9ZksIKBS/AIMzjZPc5tzvBvUYfdH vIXIlp0yzyiRi7mhq2pzfXSGjQONop1FuGPcLwjqYPEkNpc/YxU2FewphMQqFM5ngNoG5W2megDz Z2dYBVnet7tu3Dz0H81+UoZuZYBhNKUcjNIle/m0FG6fzvzOQtxOli9Ab7qqOmf5OL/LJvTCs1nN 8l+I5Q4kog9LBlcA0CZ0FTqbfU6BBpkuFBaaI5Z3Vsr1eSJJ7zbvg/hBhXZDyd5OIjvczihqt3KB 21T8WIyor7izIBcK9hd8Yb8GYIG6z7YTroFns4ToO/LHbwtojcYlJZ6hfnhGlwZhPex+/UMlSSfk 2Xk2PVPcqXxYLKMSNy/OLeDDcI6ASWTLaUnE3N7NwjsJqvWPGOHOSrmoBRzgtv36AH6Yk1ZL6/Bs Bvj5niwdZZbYcTO8AhwKsRd6b/6yvkpSFU1sKfYPXTKWoHYW4wpF2FWo5c3bihA4nofYFiSNrYkf siVJjR3+6ROxBLWzIBec5DMORRbOjGGB6Yow63Xg2b+gW+QeRlxA7C0p7Qz1EcDzlSfCmFR54qLV OrrQG4ea9pZUX0EVboJCdYsw104irg8ULJttU3KZ29K342zxpiLNnXYqI0YkFHrDumoNDYmAotxy 8WwSzgVqTqoBEMMPNOHJolzq+TQahSJuoYUka1Dj3u7odtUcCj8lk/QklIOYKd38gN7gKzQpIMHA RdLlhccBbbnmQ8ejFu5JMQuoKtB6TlfFc2teWZyO3vFsxjz7SqCrAASOJjxpJXdR/GGHvsJaHs9V BTPQV9jFUf8nBS1ML7PMuGlc7GBt9y5Ol2oRIlN88CBQhTpsfz/ts+PvmRUW0CjC3NJV4b9lRRLE tR8Rz2Z4qG99tUvTDR+WFJOLCgdUwtUdKiM80golhyXuTy1yW0UnBS0oS9waFvlBdBKt4G5AZU/g /OuWjTmp/SCmKHLpIYrb8Q1ZEQoyuqTQ8KrdBagZ/uC2dPHsX5QEBCjc1Ln1cUkJaJjRV5ot8vEs Tp7plB8vRIldYRZOCsqdriA24+bTBSzZ0VmFgr9Fk4Mak22gVuqH7Suy4kmruYRwQ/ZAVxXn7DwQ 18pmBJ7NtpcvmUJg067HuSeLc5n8QnrDYjeGMNi60D1znCWOUBBwNCo5/UyYBc2W+SyijXRlEWNQ jkUqjQTPchm4IIYcH4UFSjeeDLOA5tWBPqFftYhQyyWC+5SKZ7PAxY9o/KjQEYhw7mRRLs4q1FQd sSCiKLeWWSJVFOYFW0uqr7BcMKvE7RPOzQnHxFXZirpD0i3oR7UO6dLHIQPYJHxDxoAGHoraA7xG 8amy7Ggh58KzGb7lG/hju/yOuv9JQbnAfAJk4IqWd0s9eKBn4fjt1dzc6qRRrjqF/6MjZksLON95 aJt87pYHFgVoJ5HjH3uM1vSeybBM+n3BHeOwg8Pg/4KMxv8qA8/+Rehn/ap3Jg8/fF1KPwPSplwK YhEmtpQTDarqD7pSghzCuOWkqFzpZE1hBuejf2TbBaTmBnbceUtVAYLEHNoC0zbcEQuscJ8szC2c LTymeVUpt9sHKhiZF88mO27MFowmWW+PLtRJcbloQI+9XGJ6qsQNGCQcYVxeIVwxTo5YqAK2PDff Wzrq2kVKP713cgXh2Id3q7AtfmA/Z+g1/6B/irPMr/x4QB+cHHI6nk0a0GfFbLEbZl0cz2kt93Br cd1xKNsM11YI/qIdSMM7iidlnslP8Nzn5gl02YhRLLFfkPU8RCxCsB8QV+g+gHepY+hQjO9kUS57 Z/hXfhWMY/OzUUVpC89mk+Wr0coy1InJcrMol3H4gUSr0AqtuFrWFdx9Ul3BdX5uSjyT7qkr28wZ PcWKab5hNm6TxT56qWpJ6OFlU6REBg11e77nH5KiAwElXb08t0i1kSzsTT0fIilynSI7o59xeY9y UbwiBvWqO1TvcXjLqEIsH5g+DF9ENxdYcCnLHjcvRHUuqV1pcQBQt1ysyAIkFKrHUXRZcLkpNHcw OLVFqCvnC+T8q4MQNp5NjqJHRQwZ3y+9iEtwW28a6KI0vfsSXxPporhVHWcz4aiIJr3mcv8c6Fps MuOmkbmw48OOK/VGNwuGjgm8m36pC9s+urA3C3MR8d8CMLSuyopumUqiLbTGs0ltIXgzZEWs84n+ bxblFhZ9a1ChbVtsToWWJ5EACy7XflPAgiRZhKHjzZNFdJtBhMIygm7uYG2hoeWKdY5CTxzRrC3c LMpF3RaY3OJXnkUXKQpPWTut8WwmgfrULSvrc0hMNSwpNJeU5Hp8191A+hHgDuli8aCXkECTn/LH VUTRPt08+GddcVJFDPr7UqUrW4y8LfEWOUBMf80s9qcI95h+1aiAky5z5NHxbNaYfzBiIYA6Y7bk JhDYak8PiNgKZO693fWyLIGPTTcFLPja2S1uAYayM5/yqhP4sFm0OYNeB+b1+qhPXH/LJG4hDhCF cF1VcLkAKf5STuDg2b+oZfEoItRkhZh9hleg9h7HQvRNyR9d1ufUgoX8qRctb4ZXkLstmdp+86PI TvrdDz2R+x6VjmqI55bqUHSD8nYrtfhuVsyF1I/lKDeuOqItGOL2Pko/ePYvHGhKTtuxeBzccvMo F4HpGSGyIHkoiiysGYCFMA28KWBBwK8lWO4KWC7QyvbHSyx3tUHZTKDbEeAuiPxTY4FiS9wu8A2J /1BlINfiqjUEFR6Gc6UvPJuJ5YbmHMYFmJ8dkyUt5g6KtS+pKYACvZ06M08oLHx2lhywUF7bZ7Zf AIPKhsiyVGZpw6VFCwgt4yMLxdj/pkEud5ZB980R7psgwnIVoLqFZ5OqQnnWkA7n+0yWLMpFlxrV DzUQG4UHWO1vfct10pLj5gn0zRALcnroosP32sM8+7TRGlhKhHFAwp0wQkK54eMzxkMMB7iC75lE cx1t1stMMdpQtiQHEfFUhMazWab4BW2H2M96xOxLilgoLJyX5pD/A4YREQugkqJ9BiV9N5ipJQ1z uXxs515x08BghqAA7f2z6baXOJ8bBND7eE6iy/OZgd57YQighY0mw9mkLklvfEsu+xfhBJ/+C6yQ cC4oGxAc4KOTiolhr0TQCGwCilFXKI626RGO4OUA1eyjk3tDiM17n1u0izqtDlnqBkJMxHlqfiJu Qj/Uq1HkrfIrsib9+t9y1EYRgFvvgh19ycLd++y9VbU9xL2hbF/ew13vYk/ZJw5uOZ4gUbJENSk2 wDQ4KUKXrN82dDqNwHWQjWZThn0AWE0OwoYtTyU6AGy0E4NzevU3zXr1SKghuaWr78AonjEVGHq/ JIwJBvDkUiy/iDZ9aLKglzg5CwhEg8CvMFyZDxB9It3J75SOe0ldz4ayxv+oltjxNhtEegQE2lXu aJViWzAspZmoOzedeNOMBMB8GnUUXpUNuKotPWL4cFbdHZ99GOzFCiK+j00W+dIKtELZkiuJKlPM jUgjQBM/anacNlmFd1WpC/QRt+g22mG9CwHv8NEghMH2e2SiSP16e+BA/H34FZnKPRKcRZr0clt3 C72mJC9hg8aHMyzDdwOp6VwMX4SS1nlRc5srQO+zKtYlMw1AoE7d9CHAbi15oVeLSX378fTt7ewu oBihogk21pAlPSqaDR034OWiRiX37JLiGRrZejuuHJzVy5CSrr0wH84YweMT1lCF6GkM0B4w2W8A HusrTCP2cOj75voXpCFEtZS4v++UCoP/4+q0gGcChVT9tQ5jNAZ8qKKiUNnbox211/Y3zST79v+o alkc3MdwkxG9X1J7OO1T2ETRCUBQH5pUhgGn0UFNWqgG0knpG3FKd1TDiiQbH5OJGYpjRd4vb4EO QvKHUbZ822Jk5pGNPPC62cWKYlXjQuRXZARYNJNAJ8S1//qBsw7T7GlP8OGsy7Zi2qAOyqD/mTZp PHyIfO8z6lWB+BAMB/WqBj8zH5tMjaFxUP5XpG6BAoDjG8eUrburLj6YhZWuS9AhdLdF2ZSWXFYX JE2k1rp6SOzmwhh3PpwsqYc3wenTf+9j8FRy0TFMFhrUAd9w+pDoWF+1CRE/Dp2DdUylAAfp98lf sD3+ggcBMRQfYCAx4IOnaTNdF0Z13287PXxFUp1R9lS7X7XbIOVmxr0Y+6UWEqN+tmL3mY2xSc3S qHAhItU/EmuZkV2WFSCH4WlUTdzScIRL573NuPmSmnCahKO2HSejWjbOyJmBLSwL4E0U8Ex5sSR2 adiJCaECgLEML3SibbrEf7AP4MP/B1K5hJhjt0kN0yB0YL/tOi7OULorhLbKVQZxhikBXn3Mn+Df vdcaN00b+xS4sTVEN/2MOeWRhZgKzBlIj7lfwo33zHAOwPPCHE9XlWnmbqJm7dX5cCaH+dXRp01l f+x7Ess0TFP874/dSCEpBNImlZV5QVejTVtTz7Qj9sRWE3uP6LydDWhco9vtmtf+D1U76bSBwjiO b2donXjTnFwiIWNcYx/GsUe7WxQlamKb5s6zD34VgInxTJpMguxQRaIUhcQ6aInqLSxbIuzrozxD k4bEXh0XCbREormBKwMFjhVPW02NLGo0sZGjDPUMHNi7qr9pxi7H6Q2laV25niyykKNEL63y4f/D eiLYAgxEH5tUcrcR1gn+uywlqM5wsQlU35HPqq5HUBPvNOdxNIrJ+M0btndZviCT5Gs/AZXSLbLA Tgj7kYMpE7J11980aWTDZu98rkoXBlDyqgxPPpz0Jvf3XgPa/Xi8GTPlXdY0BDVhNWsw1BuonBIt DyHIFrJbNTFQK7LlBsXkxM2bKpZkWhy5qQRT79JmVCbN3g80ifYHshlv+gcp1TNvXDVtgB7ibmFT kg8njZXS/j00IxQga2qiRisDbAfqYbsXIzHWZbtk6J49Zk2O8fU+dolbJJmWi9Nzc4Hb38UZLXSA xTI++1Fqk/5WTX3UgAI6B5VvXZVIQX+UgLPZLh/ONmIP+hh+sNOxZoxNKtlAaZa7pVrRWE1Rkokk rHuS6dSKmjipVfc67aW3uEW9z7biCvYZHMNAQeHYoI/VYATUbuhjNh6O/Ipk2iCPAiXBrwr6QGWn lsUuevj/4F8JymXd9xmbPCAGP3O45WvgIS4q0TNQELvOmDdphVg43/+qHtqUG+gOo3FwbWHNwYlV yVCCcMl+zNS2TAlTNzV8wyF7VVdPMetRPGzjzocz5sn4CvqQ7NWAE9XUUI361aNHs5KVP57kdzQP +iyMv3FI5YhfbcTtxk1LCp0JW1D7Uim/z6riOecflGewI/9LR6imlmqN4g2FYXEJh+m+hDmFeljj w0mu0L6WFGqhOCh9bFJTNfYar3ThcYA3etYTc9q8plXH402YuqqpxmlbdotblCYw/zZFRNuu9KcF eqbSBwVNl2faoCLJb/gTXhGlDVyrwFZ92GZAJd6GaZPYqn0Z5TIAYRs5KCg1NVajmw2ECil5bv+i yqhwkLtEB7Gxm4vx1tRZ7UH+1rh52xKkv7W2mtz79u5Dcxl8/4JC4mPD5JZfkR1StnHjMPMrvwDY NjH/att8ONNvvlGZwFruHy2UmpurYSnsSoIsMNGoKzZiIgYtlQ8sjFkLnPqYjILOleIoo/1RQ5no GE1W0EF8HagRVbKLCSqZLcK+Vnu8aZZGIeC73G3ucwoC6Ub5nL4GH84i4gcwgr8AUSemoQ9Oio0g SYd9dXYwlW1OpPrkvFG1ZEdMnJishf7s/5K6huXKliAz/4YLQEFgWC/6pg3GEPOrgk4B2pq7rLF2 vjg4iywtdXblFTVb1fv9hadDeIStf6jI+tCkITFiuq32P6pMYhvA/UKJeKP19Qrz6RQH3GTlo0Oq PdK8MOIFGaXSfXqfJVNDqJuzaTfG09ylFFXNndZYygIlQleFfWC8yMNxbz6ciZd91UF5fs9wtq+p 1xoJrveyakOPPuKi8bJ3bC9oId71jfjPIIkmwy7eovG9keMQDrAgTUUMdWWxD9tp248bRezEaUhM nMSg5fJwsfh+7UOEc7bdig9nhJ0WfZcKJ8f7RMSp2xpr7jeYkV6g/AfVkIGSyj/s2oecc03s1gB8 la1YSFI9RjcXaVgn6w2555HPLlgGIL7QsnCqlbn9PTNEAFBGcCHSVYc3OO+S3j2LD/+tMEEGNrZM 1AF8aLIK8eIKuCGo2W5xt5t5RliiUklD6ynFBAstccU2uB+2QUONGLp680LPkLllg3kMEmD7mh5j s4jaq6nl2qIEONuZN1JYWFHLoc+mTOfDWYbZPkUb6pI8JvcpJhiH6dzTB2YKTwK4RBmPIcVqMTCp I0UVgbTXuEUWtdoZsL0H5CjEZSAB8bvE+X2U0ae/Z3I8gX9BwryumjMQF+PpUsvmw8k+84wLflgU ds5+9pksGEYq2JSHCDKxxTlAvn8dMsEB0emU2wt7MavHzU9W9LTLpUOSfeQmrKvxf6hsSFFU0/u7 0980mTMIhjd2I105Zyz3KPIJgI9uTY3XHpgaTycSzMKUoqbWa2z6s4xMl7HK9JrC8Z2o+0odSBdL qbn3mirnR9IGJ5R1tiX1kKUmOtj+oxbJUomljASqPf1d4QJS9zVgkwBiHn7ltBlISgTJWpsP/0W4 V5HH/l1PBzP1X8NpVGlmhPFAeaK4KuBZ7k59YF6qWZMYsNX/d+QfNd3h8URM0+z3tfhv0j9q2pHn ngSI9hEygEahw4le5PyGpPONY+kgPtXVy3xty6NlVL1ekl1+12s6fYlKlPlyGzakE3agFsU0Uzq+ 0Hu4pQaJhyRcDs2f5XvttDhx8wUFFC+8TFHmo46S3ECx9sUZWR+I8PU3zaTBkTw12oE2F/CEmOlg MQpaWnw4AUyEkbm0JIEKCAZyzd3Y2EbkH8PKuXpzpKx0/W/2L84zbdJQWCqRIjrx9kybDmsAGprY JkPbNoTCEFWAhsOjgrfl8J7YsYH8iq4uoN668vMhbK59dABok9ixySTmiWkqUNufrSaNhIHkluu6 rFKbU4/bIL680yo1SlmJIdtDRDhCjZ8WdFtLOiDrQZ3N3casS8VhBKNQR7rnMdna9JKqiSmbC4Xw A3RVI8r+fSirLj78NyIyt+NOO7EA2iTGbPAfoHAK7UwOzw13qmhLPB6AWbgjT33MH7VlmqrE7ZHy so3Y0j/7UbmkLKGSZxEL6IioiAmQmBfUj/UVyRl1aD9AvMQOvAR06kXquahKJN5s/ykP23k69rOg slgY9EWw15wx2E8fjpaYD1oC4ADFwok3m+1ZqklQTUc3D/jgLAY0P04odHZpQlDwidQ6gohmUAbp tFVTczZh7i/1VO4IHdLJ39L+NosB+HCSJQT0nlBQrMoegU3izgYrD8CpWc39h/YVw+HUa9IsFKXU dQKdlduzCSK724qbTxrLdzsE4FCTaNNFvwpWB/CbrJxEK6ocf9NkRRWyekj6qwHHQM1fZOuFKl/i z4ag71sDDpFfefLu1J+NGekFkrdRGq9VX1AHyFCWsiqqI11jk4bD5IE12WK2xxYTOuoFwTa0Dhec rBkOF1SPG7CCCFG8Anrn8DfNdOCoRIqwmNdIotwutKG7m1q0rfo1NpWGRbc9g5OCiNEWgoLQ70AJ dAPZTfmqiZNmIjbeELcaGpw0IhaUpLhO9g4U8YAWf18sZvHIuqzXIFumAfymlaqgJLf5m2YH+KDd 7varL9rt2oHlbj6cETe+tawwe0Ha0djkPm0sE9AOgrWs6RwOWwD9IwrhNMKaGLUhDqAk6eJpxVvk 3pZT1SLf0GUT39MJiFUgzrmPXusQcC0xalOyAP3l7VdFxBa4q+FiE50PZwWb7843gpKYNKlTG7fO eR60hOSgkWCKrQy0BCFwPKJSq7azBJMYz807mBVWZJ2N70nHIKYKTKMQqyAfeaR4jr9pgnc85IuC MXeCMUcJGBW+Lb7kw8kRFVq2DBGx/c8RyUJq19Y7cbIOIW6FaFXiJco8jpeAcIxPmjQi1myZsoOc jx0kRHguoMMIXqGx2sQLgtY6Qsj5HFHj+ntmkADLokh51lVHlG3pOqIs3+fDf9FWJ4BYzovRUkgc 29SJsn2xi0sIG+utk6lAvwlplJ0xPY7v3LKNfOz/9RCyQB7I7YISyV53d6GvEZJid2/7U5Y4/p5Z s4XIYWjm3RqaeQtjLPbpunw4Kwx/a/F34oef9ZRq/VaaWlw5zVaowv0uJpjjDsfk07+R8fAfTNsk DeF6pSv2yL4PDHLgNV4s5UBTl2WJS4n761jH/lGIqKltm1xngRvQVevpNvXooIrEh7NZ074CG+mS PbMmLQ0XLr3rkPyx7wPJ5/5DSP4IHGji3IZZI7XSXeIW6wncSFT5oLUCLhTGsADcTFQNT28fG2rP 19S9DTpF/2UtDzvy+3Cbpc6H33fhc4O2/EtC4+99ei2Jf5viYeGBKGpbmwd9dujSk+uAALRbTJss Hvatpvq6CpsFuHDZRgWTDpzhk1J6iIeXavW/6Cs+QV+8aaZDA/WMQ1WE46oIA/QWFRYYD6cmblSm 45IiyxnozV6eJDP1ccNvirc9FFbHRrxdMQKKg0POH/gTNDoZXqI7LGuOuCnssyACmpZrktV9ixQ3 Kg8zgA76fNBZnLL8iqwTBa+lzopf/9CXR1Hp20aMD2e18/4V9g2AEXxN/bzGNd2FPS/dV9BtOaRM o20JOSbOoMkMk0vqNarpobc+hCnlTeOykUVuwEYW0hCRW+wEw/AU5qTAnLeP1tVrUNMFBLG1ua9f NWcsbSySujp49GVMOmD1nDFV0kVjO4mDv0IyJgc0JEqNabZQJ42GKJex8YTMbXeNnteApgcka1DR UreI9BASo/Rom8yy6bAdKYBgGGF2OzEmDqh+HZNC96MDpX+/ckwAzpxyCEXp83VMXNr7dws7AO0a lEs5JK+RTK/CqW0cGzibUaF0J3QWQOVWcFilmfiQZJpwwx3qco+ny30Yul0Q5BYa3pXMKxiCdxS8 8QM8+D1aW7yGMR3uFNPmB7z6dNXasanHHd7GfPy8BjEYTCo5+Iige4E8hyPyGsF0l8TqY4YCpf4L ze1zveM0WSqk0/friIRI3GBwqZtGxOZ2s4R0VYLTINuulAqHOrZoOiCGLufiW74PCQ4Z8ZrtCrFX lsX36JOlSvvN6s9r+NJriFv5LCkoxDWNyWvsgicwlzfdM9TVrkIKWwI5/XzecCyQMWS2cHjqjq6h CUaxZQKgyfVL2ewB82+JjUO8yXZEYt8eJgKOyZ/XuKVHs39QsHREyAhmOHFXY8JZNRmSwgYZh6TS 0YLtUo7Ja8zS0c4ujG+1rdoB3bZvsMSeUQbtBAnhNWLphaFWbUPwQEejcp5YtIIoCCsHbX6exzZP BtEFMISPY7kVNuBe45Xu7FMYZPg1ilRyQYbE2M9rtNKFDvpssP1IxpBj8hqsYE9uFD+YgnHWy8ID CO1wGWSp06JgKJ9QXz0dE+4m53OLGoxNmgHz9mVpVlt0trWwgt6mkIu+n+YSNeezpdMg6YaisJeG OTl2c8DrqPXnNUrBRkQhXkwTqjchZUVPkWPyGqJgh+UesL1TYv9VvVcwKe/GAoMMy6DcmoyJ1MNt Rjw3jYnt8RfOp2jtWzC5p7TXD/+49fsV15Ko8hqcdIlOQ7C1+VU1KfjMsN6GIu9raIK9XwLi2kzg qQe0IsbjtVKHMwrd+kUrOIwH9fa5lyiEYuP6bu/ov9bpugu62CbC8i5vvpfYvtFR/f5dIEcRvYFw FnVBdj3RSomIrVHNKhmSw1YSW86nBjSp2ewTPuae9fNapOsyM+aQeJKN6bk1KK8VOsxJ1sqaOzNI ip8FhU6dZfWsA8D5Wp/D9xJAP5aCthXG1YjWYBpqa2ORcXolGMfqIToDxFM5Q5Cp4Wt1rsuT+5xF VPSKaWKbU+iHLDyanTk+JqqyXLGFFK1lISyOegyKZM8aSXPFhbGrpz6H9W4E91kMOxXbH9mdnE+P xJadk5FxzNC2GxB61CZPHd8eFlDT4Hsmq2eJTDr8qpywQ82GU2Xw2WT5RBQbbocosmpYsiiWrmWj cWvFQXVppgqwLySUBymCk3JNpBakYSyPHIKBdXPgx4bBXOXJU5nXMuUBQauisX8+1SdaRf2805i4 z1KZaBe/qsQyp0zPKfD1zmLCRnvPZ1g2TlFE+BqX91AW2Hm0qaXBgyLZIWUdGwt/P2wsAmIKEZPt tEORG8WeefMa9LGcsjSG9xVAc8cDM3KzIAjqFu6lJHpXFsziZSyMZR13RR0XZCn1fSwA/3knMOGn p+2eDwsEHivkqjUsWTwLRqb0MQSHETwItUqy+ri1zDajQ51suJOb5xganbAMnqdsOCrQ7hCap8Mr Pjq8m6MRHXUnaPh7RCty1i2gHeuqqpOdW7KBtMX/885ewu6i8rbCt87+xLO7vMe0wrDYIirdaSjU i2TjdVPQlu4/tUZhJRsXdlbnZMzKm4+LTURMGrn6Fag3c1PGVgFn5frYtDGkfScuYW85qN2u3v2q qn+vTdofmxXcZFQEZvfZcnlU7thcsqgWUD5LK6YSYwDMipy36kbISY1S4AxVUHkPa0PkYJC+oFvU UyyLsqHBItpn3C1BGqAc6f/4iKpMUSzeo1pgCxDfAD+sq8B2KPVImmj0n3fKEuL28rW1oLVALTGN ShrXYlZTRUX8CjLaReGfDnjeLVwJ3hlLPVTPBuUPdPO5AsHjiRxwQdx9Sa2HllaVKg+fYgqlJt/5 SvjTBnZccP909fN5U7wDKdr9eacrYbJMD225AxAOeDySe2crYdnhaKXIJlXPDnZgInp3c/lJ+6vY ki38lOyAZpLcNTr9qfVbZDtgcohhabDhcsEVfKn92HZA90fzWFTj9/C20pr7ArTnV+HseNbhL7PM 6OedquTbxKeAAEwgpI40Lu8hrk0XqDlAcEDGQXeyFYQ4nJZQbEZD4FRSIVmMu7TXToVz8wnnOpgz dFZFzL/Z1ibPG2KPwIzUh6k0BQp6j3ED+ILGjK7KhOAVznhuQigkC3Jv+SqsgMQLOSMNSxbkEiu1 H+HwTScFVRGYHV5MUcSj3FvSKJe4zHFoNzCkv4BhOVSzQmfLTtUNXV41zSpLF43vGM0hysG9c5S8 PgIwdPGrTmjs4qrxo9OaxbnCk4biDRYy5X1Zgsvi3M7QVCc0tSFa9QwxOAMdinBaRu8Epc8ymn3E TeNiw4KDaBbWagskFnlCY+fjP4bNpzP279F7Jruu/W1o2w6/alzGkLMi4arv/KTurU7F//WXZvJe q30nJ2EkEVlJX4MMHKpD4SRCvU81p0HWMhbROzepO0za4pP93LS5gFnfyJNbMOsZR0Jg5FOgyo+e XMjtAI33805N6jK5gd7x9qtO6BmgeNt8f96ZSdiw69dZhKwRjVMNS1awBV6nlc60p7KGrYLtKHh3 cbaIVsMieucldddlh2jVc/Po/947Ae7CsNjL9CVrTPQKQRbFtwd5lmIy77QklhTQ70ANWleB6u7p DMi6veLPOyupP17nGpaFgoDXJ98ZSVxC4M2WMp1BUR3HO8BXlCdBH8udYN4JScysuONeFg14i8oT dPHBcl22kI6d1JxNVNhC6ZzKRtpaqFn0885HYgZdWWLpfuVkgcq2h+/7/LzTkaIwHSk0J2ovHs69 05GQXvLAogvnP/IhKeojwmLN2TflOrrlnY0UZyAK+zVuXqUsiEhQo11oz84ixxgcsSyzP8czhSbf qUg9fGAKpMZ5Vd0WDFzPn9vPOxMJh+RZn32FhHqIo2pQ8srtwq7nYpsw76meKBbnlABx69Xsdx7S pw/U2nPzQaHsG5AXLN2iyiC4FFDeiHARgoXr7tF7JmELNAPPea4K58Z0F0iLz37eWUgY0/LE/syK f3fkz+8sJO4sqEH1B8osfjVqUOO6hu0uoXTxTkLy9BbnD1He42Nzvgc2xcPjGQZrMoRmzw6+dr/n K/hnrfKdhcQ5TaYNalG8epQL1hejuXJ/3klI3W20FOVazE8K2Y7pkka52EEgJeBA5jGal6G2IAnE pcTx/B7luix7mxSG043jctExtNgWxTmbHvdMNdEQyKFe3+5XTnT1mtmwQNsAyPDjJD6ec13SJn1g WN6DXC8pqa6N3yvkmX1gskouOzSQvlKLeTan5vfSh1fqCioFGpj3MDd6zLMwP+VNAwNNRUvpYO2H SgV1e7C5wOV+Anb5wbMwhX5nIHVBQ2/pdCXu/TzRf5dZ+cLAvEe5whc8PRD6lqJSq2HJwlyeyLNX r0ON6wZtzY5Vl8gu61lH72FudZwPlMLjpu0FphtIqijAbwfWJVR12olHwWN0nWPXbf6a2XxBfAsk iK7eSpUSGp5YP+/cI+bDX713JLIob6irmgW5TNvufBSyWe7i+XOiDNVkGlr4KX+sWlJ4RjdNlnIg pANdloWWyKXBASYLuqRFuuEq5bLo/0478gbPhXyIXzUoHZY7v5QnGD/vrKPvTBHjVwHEihbiO+mI UxMTGZYmLOAW2bOhUNmb+OZztuE6re+co+ckmhR70k2DYnvWtA8CN5huFuImTTh/NOJUQHJ5fJTe CUc+Jgebk1+LagnzKMC1Zf/zzjfqDiJ9ssR2Ba7kmGQBLk/n3e9yRAInDrvuxDliV1msRnJMUkiC I1fac/NQ7vYKwFMjocaiXTbgO2Iaqq48zu9szv28M42wArCd1GE7s66qVp6mc9qCF77b33ZbDAqm 5zNRUlAC9olOq3AK+jaX3adaPsG4qDa6nNA7y+hphcxanpvjNCxEn3dPmZ00KqugLIcYkxWyT6UF I89vyFohKMlBA81mH1IoghEQDxFLuy2K/nlnGeE3+y76Y7KMAPS8k4z4M0ALSv66oItRBoTwldM1 LhCwcBbsO8cIP4gWBhWqdPOSgu22KDxvwr/gg8TdB+A0gHU/svusbL/zi7rA18DCDL+qzNLRUWAc h7mSx7dfFX+oYEBQW2PyHt5iYwfak/xaUiFuqCNeepSBTd728GLlO7XIkVkoWO8bN19Ak5r97IOc A1MlCdnWJgIqJK+iP0Q6+TuzqMu71g4hhLe8agnVuaX7aJPm551YhN+9fpW2ZVs9AteTxbcwFwft 8Ki0jb55+NZhN6QQjISysbGkRVwvs/wnvgWnqGBwkAtNEEO6GH34aFge3v7w0ajp+fPOK+pSC7Uj +TS/8vOBFqp+RPefd1pR/PZPg2gzP/Xi9jutiAVxFp16qHmcUrz8pLwR5acd+rTvrKJPOiTz9yEG MkKSY1uhTbyuvWVDh/U3dI1pfyVDAvVCSNR7ZxWxRM3k+Vy/KpBb58jZxs7pn3dS0X8DOZ4KVJzi wKTxLRJuaDk+vFfJnADAHnnivY7ceKcUfXohUjkZj8rJAVgBohUI/NcsYwniszCxdgHE59HtZUHw 551R9OwvcBnSVftL835Rt1n6804oYidlfxUVMBNGFBXe6UQcS4pgdDnVwV/eo30qFkrArYfs3zub CG+tzrN8LEZQC+exxWKBtq1+lP0npLc4LqTewO0LgOhYSArmsjouWDIHiA2/qtgyJRYIRFP9eecS 8e2+YC1N9Ek/jN6pRNxg2q+bSbOjiGSqkfGK6LwjUVyIayiC/c4k6jJoRB9EkLCPN8447Ra+Ozid i+hbJtAHLj/2rrN/6rj+nu/jYjsI6VVE+bOohFK1HXU6rW0J/7wTifpjd4JX9+AFK1HjklZyK60x i0pPdnrsTYcGO4VqCJ2gg8zi3DuRiAVFjkvT/tvOB1IJhYdSWPcv7cjcGg7ZNIhAqfFBtyAI+Xnn EXEd0XGXTm2PFQTgrJRmAErr551GxPT+O3aBCUE05t9JRMyIDqED3j2bEoakZnoT+xV4Ba8rvHOI 8CkE8wyFMKNEA3pjz4VPFreXBs10TRcyx2HU1x9rD6Jpft5JRF2CNhaaleVXxf/QylPbdZ2fdw4R y1Df4QtqYst33XcGUZeCkvAKVQXuHvKQ1F5DGWph7mpY0lBXcUtXotgfbWfb0/uiRDggybbrOLCS fGwUuNHbDgrR0Hsmx3QnguO5qgx1KK7MoW0/7wSibzSUB4C/pGFrXNJS7iZegQEudpfRvcTd8FMS b7ol3VH4KVn3jMvnCE94QggA5u/odJD8O20hDUlHAstyURWHtrlni5TceicPdUnZWBQCpbYVSm2W SRyZQELd4uedO8Tq+JMY1V8q6EFlSMOSFXMJ/YAVLBmtKBpPZ9LD1WliFTX1Wgs/5c+Vf2aDI3rE aJ7Z0xUbJnRWN1VrHP9CaGFki5cZwDtriAB2JUTXrzqJSt8Et7YFZHIa6vZIoflvoQD+bC05XgHJ AvFn/3DvvUqL2mlT3E3hFZgWvXOGPifRZZmUN08B1l4gg9DRb4wrLtqgRh8QXftpP1Mz6Z0w5DhJ OJs1v4ro0Ic0GNptfDY5h/pXW74jxILjoEYlC3QpdnNo14l47pIwTWEXcu6hSFGIoeSopIEu/1qw guIWo2LZXYf4EITFLdU6ivtswBEWjQhaJJj0zhPqksm6mGt+1aBAT5LniJ0XP+80of8CfmgaW56p kgW5oKXAO9n1FrTD07mu7eJ1uRXiLiPF4woud3uJm68fFOaA+AGa8NigiMlKxecKX+2HyUos4UhD XGjwLrZaeS3aN4ER4d91Op5NQrlvugNt6L0TMtIAF8nJATMQ3cR6UPWiIeYEvwz7zDgrNChGVsEt zSOVETcvycPTAik4dRYspLusttOeGRAD5L+PF1nXeyZHEOkfi3j2FYE/XNKKcmm9XdZ6jr1WEe74 hS6nGA9pgIuGZ6NAEcXYps+QNsk6wQh1eWJOfsqf9trJErhuPlfAaKSABZCnECBTDbfTNAXixJ+N hZqY84+ssgrkqq4cDQjPC/ZmqxbPZsjT+gFwWMwH1y4fliy+pbY7VQ/ElCdRi74C9O7EGT0p9chh yZAKVAmyROicuHmDaNs2AEoZIO21o5tLdBgKQ7Ai2OeDa+EimlkhF53HA+Nrv/IvBJL4utzYwbMZ QeY7kENP/vgqmml8iyph4XYH+LaHdDj4VtV/wXB3CmA5s0LuPKILjefmq6ihowii4aLbuRQzaanV gBQb6wFwUOII3/AHFNTCvquryA/H+SCWmV48m9DLvtFhdSPOGBGyzD8yzO6lMRKBYg7ghlkoiKwV BbrWREOcWYRLhVobEWEs+4OxtOD4Qm0aAwP03JFYMyKXhv723OPfYs0zi3Ab4xVGuDUiXCJymyzd S8OzyaYb/Wc2zlwXnqOSccwWpgecyH8PoAod6QVliTe9GskiAv554CCaOVRBAT/hPM7KZrUF0e3u LM8NCO5KGIi0Jtj8sSvv1dxW9J5Z32yxOXR4bSycIJAWWmHaxMGzyaj8C9iCqsIKuP9MA9zNsHaH Xd1x+yhUoZpXoahzwNmSEs2W6Krim33cmTfgbDbvCOEAPZGl/0JK4ab42pMP7e7vmRzRFMAEdFBX pYkWr26Zl+NXy2Lcf2fPHXZCMSxpNXeBEmKxpxtAqvsxCN+WaPNcdzjGcuYhLqvX6hbx5ouow+sJ HJcF6ccmL5cCG72GdjM6jdF9ptDuzKq56Bxe0gR1VTUXaktT2fPGs8mmu30RcW4AH78cHjbfY9xC GUOIUdqrEQi1liw5BkQVKPUzUbcH2ZmfkhzRPh5Clj4UPFs3C9wQOnKAdravSBCYoki8aHQuctUq es0/HEWHOy+v/PgypA4MsPnBs0nyPB7KDEIyotTjiM4QuUx7bWd28/fOvtE/TDKaOzUfWopytmRQ BQf7O5GMT9o7zgsBeAJxUYKyxUNX2dYX/t1AQXyVp3+GfQbfkEQuGyVu+FnpqkV0LgVm0BO5eDZD zZ3Ycit7IqDmaVjSQHfSrG+4Nv5ArikER/UmAPQJXKNvZpXco6S4iiJSP4JiuyN02ajkWi66ojJ3 JPYhyH8My9R7Zpki+Ylszu+o5MJQeziEY+DZjMbqkQvrD+y93jiiVxbpUhztbgfiwhi2RlZ05QM/ G34fZkUrK+Wqtj0F6eFNu8u+dvpvubd0wJ10hgO+j8yIdkhesSQvfmWBLrqJEIRbfhXI0s56924Z Dc8mge78QiojPAVhVKOSBbqI/+V+0hjRcVekFxKyXFjNAq7g6rErL+QycBm7xs0TaCiFlMH4f0+4 DkoRqlJX0zbB/ohdTnrurpx5hhoUOpG6OvZ0SwIJLHI8mzVc99dRRO/W6h20lWNyaSiIAaW+GstI VMGHpoUgC6jTalyySm5VXkS3IdwwW5YK3BPq4HRNvRuR7+/DUAP559M/w9jhCxI84SANgpvLjc0F 4m1Oae0Vz2Z1/+9+CBRAQzNgZWEukjQoLYbvZetaQ5YVkSpP/MnwysLKwlyHh0lleS55YSCgQ2Nx bBKsFrR4yPqaCOgGzU2juG2/OT/+T4CFTqx/D6x/sThIPaK1B57NdpbvaA5loRM1qJVFuQguq0tZ ctKMUFIoQ9U60HQc3bKyKNcFWa6KuXfFxtJtREgm4kFkR496Z6T3Yeg2/X0ce9r1npm+BDG52BYE qyaDCHksa1KWwVU8/NfJAnElm28B5FhplIvSwkXwQL+Nu1Gg5obL3RC1OUTr6p2tLMqVzukYinLH E+WuQ98/gubs/6CqHUW9eegJQRASw8XfM1lDkDdaDF94Va/V9sTpFOiNZ7Ot5WsNqQcT0yXF5OJf 33KKYzlo84I6C33VSIGuOqAxLBkmt1HVUibmukUzpONclvjTAjhHAi72zxd7vCESKyvHlSoqWCJi kRuqUbyqvH3OIMjL3nXj2SRqOV/1FhRfIMWjQUkBCww07tFBXOXhhEwR2uVcV/Y7rDiGsjru1vnT iIkajdYOFf1n26fqWSwsoHxxZWqIaWxzBQf/AyZEslrxDUnUcrC3bAI59oljaF2JGDfLu/Bs1iH6 Ghboga4I5lYW45KO4HCF+dhbohNS3BsAf6x3QlYa40q5/PKQ4U1LyGaCxUOHwrnj2l++tbXQ2rR9 s+Uv4YQrD3Gh7E6/mhN+NdhxpbGNDhqeTfLnPr/QCjYgwdxcaYR7MI/bFRVPagJEWLI9RGLI6s0R list5VZlz1KwCdXWCRIRHBbBImqouoiUBpdwmBVYBE7gqc5mrAV8Q95NhDVh8ytHZam9zwZHx7PJ qOz9qbXwD4TOGsdlp6XcwbL5np4nIsBtnCKNmjXAcMDfi3nizvXBVPYXufmGqpwFydDRcmxLhc3t ju40/dQQyUVtbus1kzyxEes/ll+13QJQeDRtxs/O8LgPX+aqNgd3UB+VLMAldJtBG7GnzGU4Pvsw kBtuAjCws+yUc3YdM9fj5qMyIDEN2b7VuMlIZXgId7+8BiWtPUyBn51zzhjxEwnVHQk1oBWv6pr9 /D87heTGzvKBzhVvEu0swC10zmVBlDWo3T1p1vykPuMN24idk86KcKfPzaOWDaTlYpMVHrqX6VAn IR91r/3Ik6A7x9fMkP6AtNDY9bZAzgE3I9npuflsJiHwNSxsyffoE+0swqW7kp39brZXKRyIfMgL /4AqtObajDst5IrQuoWc2z1YZ3Dag7MTPcIseRHxtSOpRJlkfIxhtYZSoALC/lqfq2otAOKJGHIa ns1E9r6gygg0KkqqGpUsxAUfvElzlIqVFFbRFEE9CKGcHSSuybizEJdeG20423euKORCIIxYXBzP p041BhrilYoUeO6PbEv190wWERR+FrWm16M1TeT54HKyLXdnEe74VzrEMDLwpzsv5A6KvDViTSto lkIq1MuyNqQVDgJ0jkuGVJC15zgsSPLm+FME/FCDwiLCTkAt1A55N3UYaSbcPm35nYW4nUUFWFbr qnGB22NlNWotPJvVoOIoouvRgDCclyx3BlZgajdUcOqszTkDjVRxiSvU6cCWnVVyjzrQQ7KMI2QZ TyMAXCkR00H5hi2tcCoUfHSmi94z23QB9l8M51Yc0RZayV61lzXwbJYRfbPl8eNi9mlcUt4Zfrpz puRa0G6R3iuwBcXVFcb1/HlnYe4RP3EJ2bIC2WLHsKXMG385Srn2/6SmhsI/iK8UU+sfHcKdVXKx kiFIvvzqeB+v5NZ1+W7JqPyLHdIhux1bbgZX2ARx7yZBBcC5p0otlxqulDVt130ZdxbkajQm+/q6 eZ4IeeCqLRcieatpy+XYsIE2ny4RS1A7i3LRKYD31/KrhqV5xNtsI8azf5ksqlYB+dNiEaWkMzRQ 97ieKO5bhJ+z8PTIgWVs8MPYU9yptgJzoqnS0nzEjw4qZ+sUbbrzosnIAjf3Ipsq6+P/qsAlVRBj Z35TQmCHhAD8hwXCtv0Lz2bxnO8tPI5hS7J8tpwsyiUgpikrpGWlO77C3WKH9l5YDp4MsLC0hCSN PEK810ZlgYcHhVFoCFhQK+FKBsWDhlzz4eJRBfekgAXkvSTM6OrxnO3bzIlG73g2oZ19emesFfx+ mJsnLeQiBlzU8/2HPqdreE/xbKlQoKbhW8tJEQvkJg4xeXnzuv+yc6MAsI1WE0XImSoisyRW4n6a Z/jp8A1ZIRdOTlxFJ1bRmABwyHzmdjybrKL6jVgAW3jEsKRiuEyK5GKP/1ouBDXcMQ2y/pumr3ZC nxSx4CwhAdsnU9OO6QLWzaVxHIYBIEsOC4gi8GJU5NJDDrfjG7IiVKXVwfCrNhc7R5UGt86/8f8A PaW7CkSuNC55JZeABWoqhIenmDOIRphEo2qkzeWkkFxlif9TnLsDBlpoo9p0sfCOFhBwLYe8GWLH D9NXTMXzx2Luf9OicceVcrLNCDz7l90F4wJk2vU492RxLkMwyBBb7EbeBNrbiHhPHe5MfhZLloWf knHPtKvMGjevzUG2pWFGLmhkEoTOkiUb5IMMzthcKNp4MsDC5AndqbHdH43tdVtXQapUPJupQt1P TxEqAlGFOlmUWwp1ZZpvLZbf14ArOPASytLuvnhScQXtKUWaUCU0oQ5QprWQM1nvWG3xwKo0tLET GxH0gygEMAnfkME4YE6EcEJXwbfv6ox47dhceDYDt9TPSYRdfoe+50kRuZMiAm502xpt7hnyL4qW oA4F2KROojzIVYu17LgF9nRCKBdtGVpM3C3/ospkHUnYg26Bvxi/IRsWqljew+sWktSiFRltg3yO ZxMURwwLWeE0f/IY9+Sl3MainGyb4AbqHcWGX3EQ1I5OGHOik0NytYZWi1t0FPsqNB7BsKxxjvzt sXWzW9cecwNJcZwsyi30ZkcepatWjiUMEnWy/w3PJjtuUKywBZDyVp/AJaWeHVabXbO/QqpfO0qh yRtlpkcIzp0cryD8huAI5+m0bgtVOungoJ6NcTwnwvhBLuI+swU+A/yCZMMtFJyTomVA8xDFMRHo 9gvg2UxLuUYtF1IXqHv6oGQxLo3jMdFDWOG47fjdjOs6hBWuExVPrpKr2FXWMmt9miG2bw9KCACQ fzsT6MJqBo45dPv8GCKEHN+Q9c5YnavXr9paoHjOTXO3hWezyRLH84o61AnRxpuFueyRQlDI0QrU ioNySymugYrmWagHp8Sz63jlFjfPFC0FRc8WlJk+LFtS3EJxx4udCGQxZdCUcsA3ZEmRtpXuV9Xn 9lwqU0MR9qZ2D+Nbod1CggcLdbMoFygmeGZWdYdKK8P3XOLf0DwjyJiL6KbqCtpcqpMcHlGoVfos Ve6ytopWV5KIah5UuX4hL+LzhahSfENWcIGkCRp6uipssdRZllQWeOHZbM8tnwOaGo4leK03DXNR GN+zK3OGHILnihaUKcy1WLL6Oro5MJdx3F4jbj4uA3LCIIxbrrhRNNbAVe48v9SDbR892JsFuSxD wVzYr4LlwpmpK3K9eDZTWPhSPwJpIEL/m7LO8I87wCcqQnFfoOsDZRIcrOCOXjcHKzjXt8bN9xZE /meQdTagtSh2FcgiVPoY/XM+s65wsxAXMYIM7N3GnnnQvDLIs5z94tmkaNn211wZaPLGVElBuYtT 5W6HKxy3aOrApDhcodHedvJTsiXkItMzbl5uWRDElfMvLA8aOwPS3gLWaD3aR4Qg4gsyldxL7e3j V40KQNGqz46OZzNJqPI5niV8Or17dlMFMVRokLT4hrubS2XZHhPwsHscHnZTtIIyoSMG5+mPxALp sKCDIiE6jSkbumflFw3Q9dGdoNL0zQq5haXtRuGW4Mta0HgmGVLQK8CzmXCLB/5sPzLeXH4+3wys QI7A3U3xLKra14UnSNrFqFSimTkqGVhhafEsWaesx3f92rhAIYs1KGR1x6stXTUoVre810oRvpsV cvGvbUqh0smrQJa2c3MZ2OI8eDarzX0rTdu7nJgrWYgr4u2ZK+QV9gp5hTsCrRCIn5uiFbzI8t/y dt+QIEYAYDvAhG+ADmdam9lBLXUFzBYy6Pmeie1Q2f+rrmC/3OJ3WTq+8OxfpI+wMUK484ZTyE0L ufhLHKPQfkVTEGmm3fGIKzhp5uYRLoOVJZLIOrHhzjEtZYEOJtvPAHMz2kPiCY7V+AhCMfC/aYS7 SXtmVnTChx5sCJYvbAnx2SxNbJ+5grP5PpMllcgFdp1acxJrb1u9RYv1h/43O4WGa4fdDK4g0bAh y4PxZXkAlRSLXt2odUnXoHfsUNhdxng44Wga8j2TSA6Fuf/25bFnb7F858Gzf5ksTBMRVoZio1rC 7yELwRunCO3PTqvgCqVKTACeTA7jYIM5if2VEGk2nBD62QMhhlzw7Hxua3BaVdTTGrwJ+3hOosvz GV+RGO5wTHC6gg7aRK8DGGJQxAh+eHw6gxSOQPyjw4sG0CPwX7I4l7peA5nP+IclKXbiKwpRSxxx +3rKcHNw8kBXjhDzufnG20u5kCJAZxG6WGSoNQoagnUFBxyvRFG6h1+RNeixxwBypat23r6FYLTN fvDhJAOYX1UXWqejWuBjk5tCUMOquIrwZChO5AJarUIuIMmV+0FJsbmiz9S54+ZjA9Hzru0XNRKm b5YO4AbQI4riPjanx5smYwMMapHVWXNnSey/lxlktSiJDyfQwmgZsevG5tMI9faSlXXxUJNiLbFR syhTGoWBBeELmM6Sby+519nDtfoX5apbiA4hGFZf0EGS1BpBhkT9AcHghk1sA+ArshImCREzruqP QHBJeJcy+HBGuqqfw4lqcuXxWClZ4AvAOc4PqfA1uj0igrG9n2gxluvZZ+S8yaq7LO5ZVE6gLm8+ b+yoYo2SXel5hCzrQFyRyQskQ8hPj+NvmjQDkN9AAdGvHBubbqV7FFz5cJIS9OhL01uycZ/rz+ik RV5MN8CN1RCYPEgIZaBmKQ9vIDC7RieX0FWVV/aBDzvKcsnTJO2OFNLiMqpVVJTrGkwDceJEgUqu 2SUFM3RKLVNheK/w+V12BjGw7XXw4ffR6cGnxx9Ar+tVnqMqjYIH/Qn2DDiD99agsHUCztDDy7Zk YfAeathrca0WzbV1LKywvaWLsLeaDFconQmz5d4e2ai9tr9pEtvAtqYg3tZVu7F9mYI2W718OGO+ rt+vekyF+nkMTRYKE4Z5LluMkGK4Q8DdLqMxIhrmDCuaksXCrHlIm8Rv3hyYgEUD3WIRH/JMxsL1 sNwxllsgI+qTyCm/IsMdAkO2hT6k1jF6JkBjkH9gT/DhDEr25emkMmLUNmtJ4+Ej1T5twfYDH0d7 XHnTbwCP6zM2mRBDu96MXXHzCoS97h6NEo8wtF1udUtdyUKfy8dkUe6kJdXTtdgeOeTwq4fEU1MI wRMfTpZUBDhMo2xtzmfW5HJjwEdShAnQhkPzIaSVq7NIheLqjiZ+LWnd97IKfmU5c3twJgBuWKx3 Aau3lwDQthcT+uEt2W8TPXxFZpCAwAbFYl0dxHtobPcLGCwf/ktmyR2Bq7/HZpNapCkt3J4x4Rf3 zGHeFdp9LGDKCyxFOAxhDwVz3vUBT13IgmJLAQTRRkGeGmCA0b8NqPmAZsqCJTFJk+iYHWbwcOVV h1MpVVAf+wA+nGw2URVnw81+jB0urjW1SZPKO10KqMowi4z0sOcXqTKMQ2zM1MdkVFihVeeIm8C8 Y9q4HOgzrsqS59X5idYkDtX++CTIDuwPNmnYO4Ex0dUhMbtInmSvzoczHcz+yaUoAIyp6iOTxsSI YBQ7UXUYctpyBOtbyhWWJ4U1dE2d0hxZNsdz06S5NvnsLWYXCemqGdlpmEwTFpzeTs5S3Jd6pWFX Ons1Xbu24WFTkTDWiZpETczSvixHRFlrnypwTczS7G9Cq4s8GGHubr8REoMrirCvSxeGQ5OGxK79 c3fcvCxh2cIVAhz+81y4GBpsWpMAzQ+od8Wb/mk9/RvVa692uqMRW+XD2Xpqn/WEw6kCKeVjkwqQ IU2i4mknOH4PFzo8xFew0AemivbhxDGtiOkFEd0eNz+h2io2Mh1oKjup4MXyS/onGJUwHsSUCcG6 62+aNLFJFJ50w5peQEQFpTtsCPZCqWtaCzwVZbyhaz6fbTiT3AVqss7t/tB18gj9h6oSBEJApW2Q ujX1MX+C3w3nD8wbBWE7AW0zgRaaBTbVziuOnQxNQRyAFsuD1iz+pn9YUXcRIh8gVjuYSlcXzUJ5 Ppyp+X2rnQC0vJ9ZkzLYCs31XIPYgvgpbMxo0oNpcJXoI2ZNinyoqtxIbfh83I3K3eeA1W1zZ5zZ CAevl50w5ET70WiT8FZN3dNAjLClSlnM0CQYFncMqSnNdvlwthF/xTWyc97PtEnFGpiwA9/QWO3r cqfEZKH8C5JMpB2yIEwM1GrYs5w+4+apQgebonAjBsmDPT2wQfk2EFQKZczGOiy/Ips2xMkQcbYj 5qPIJiObXfRwMm0CRMTCDaL2HeCqmnioVZ0ug80+ICDsGHEVaxWnaS7RS4l5k9PZ1KVUNrVHwJ9h /lYKcJCwUcBS4PyiHzvkjfbjobZlRZiaqEEoHr5uxa8K+saW0ZHtJpUPZ6lCDI1s9yYMJsJHLTVS I2No7AcIcaoL2dnP6CRiGm/4KZVHxMJv0uF1hFDWtKWGeh+UQyDE1SdHtzX2/QcZUvffCkI1tVJj q6VUlrZq0Ks7ZNC53VgIxYeTXOEBzVevhjboSmtwUje1idTnyqTlYzkBWNgZnmS2GoKQNbVTO0Ir 1t7j9lRuLKLHAQ8O9AJ4jNVQwt4we57CDduz/IbklOpoWtLe51LskoXi0+mWBK/pyoczmbJIvznz MVI9GPk19VTbVCPvFM2BTszskixArlzo7jkBZHDr4NRUbblV435uDzpvw2yXSeaBdvPkhoOTD2qq mA0+OKdsf9Nsw7HNeEI0T1d+wQLlmnpLtmny4YwmWr7xeUxXY2hSDQesxI3oiQT0tcnTqeRHbepd WJbI/sPUx2QMdNEomEnx5q2XA+Q8QJsL6MhJOWsoaNi2gk7mbBH5cY7rK7LahIxbpl99sxcjAc3E wYezoPhLPIfFgh2tupq4q0FUCGQZmtuxpFVYkQBDp+zq4Agq7HC/SezVHu3ZqULx/BSK56rXph0z 8IscnEX0ApCihfryJ/ciOsVna+6v1sKcEFdPGOAPoYShVb1fpinqydRlF9NG+TNt0joxCnineC/B /iu24tFK6DmM0P6ricMa3lsaq+O5aWgKtnkg9WUjfJYqpjSCQEY6xtPdpQxVzU3WIIZ+0eHTNfKF K5LA2JsPJ7YCZX/vxDg3YG/oY5OiJDqlRRnwYd+hKhiTqXocf2W5RxRuUqO1o77U/0TFdsRayLe5 EwM9oSVFeUo7phqAdo/1tG/FeVQMl2UsB10DVdOkJWs/Kx/+S+EGewIkbZ+uVGq1xs7e3fWxK7yh g75oQAE+PQtwGplUvWxKg7Y+Nw+KQWNksIPAD1gSzhoexvZNdCucamZuf88EE1CxyUD4zeXfeHxD A5Bhn8V/fDgLiWtUz/nD/FLP1kcmKxEvsmDv9kyq3RYFLbaxWdBidq31lCOCfbK0uPk2PEBkQnUN Lu42IfkPOjkJzCd7DA2BePyGZGhoANQIx2qud9ttT5MjHdovfDg5vUOqC8MAVFh59uBUp5d4iTrc 6Wa14niJukM4pt37uNvnbhRCSvyHm362BWW3NEKCC4TzjgxTIZgIxm/5qKJPf8/kdDrQdEP1U1dN mTlkRgGENx/OWnVP7k0Fhd+znx04C4YBXGh11OuQCdi/s5oFPKlDJvrncEqDYSVR43N7gmE4wEKt F1x9x403FoFo7kI5Te/wTn/TZMoQEj+Ax+KVU2bv1Zaj1BYfzsAk/ZNgVrLLasR7qekaAiEYFspL y8am19CML1IfnXsU13erueuakqjVn5vHe/DtaYTAgh88m2rrTGMhY9UfFaYlYEDqu1aFJ7lxFQS2 W9bHE7yszYf/ItmreNXe5skSUue1O8i6cu6F/aqjuiDgYHkPe8AMgbeaWK95lgrPtR43P7exGwOn BmUdyzKbVH2JxUMJAxwKHU1UU+Y3JK1vxCOQnvern9vzqvU9ql4vUxx6JAGZ5sOwI5q7uQEbNkN0 /hXSTLZYCZmY1cmB0vDT0GSRMM1kIPX93Hxo+oFA2na/jj34DyhZUlHj2x9C9ladLzVhQ23JohnO neEWb3DpltiO/bqLDydj85SHCeXDUfo5n1IjNjAPIVJXo3bejks+7EfyofYTg/NnJ7beVdWiV6Sy y7st5gBAGz6GZVIKzE1eUGC5jwTelrV74sQGSilybvyRuiqnLCyKcbMZfDhbUefT+K6kFT0rKrVi Q7hOuW23SW1ClY+OCLBS9mGsGXtNLuAr/3KZDszHdMD+6bIEsBCiZUHrlawx0P3gsPwijnWF/U0b qZr4sVVq4dqyu3EVmQdtOj98Fx/+C0+QeVSnKNcza7JImBvIJMGCdB5Hkv/2dmlcwfI0zS+nPiYT lqlvCK2z0GQ8shy+9HZn3g22uqNJdih54RjRV2RnFBsKlE6vJ2hxCP6IAr0oSiSubF/1YbyYHeUj vOpqasq2MBFGIe6e/+VtlobUx9ESo0a1JnFlc0C2TRcR42Z58FmdbUvOGki7QeqJ1RrC2umI/fAF W7xpXjm/DYqjuqoEeuaVLKTFAHw4UzqIBIoI2fJFSaiJMZu7rN7B8O5QCsLx1JusIoAey34aLrkz mySxCfXRzZtR9qwFIjijbGhWZzrSoBFBACcLJ9GMKsffNFlR+INIO9JVm810BzILxBofzuSrxgef RUZyGc+8SeESOKSAVSBx0NbgkRUz0d+/nToQ8toq+pgEZSMBK+kEjPrxk7U0sy/ajYEj1qRCD1mF X2gqA+UQJVA2v/gVmXYtZGaaMsweJVDLt1WuaejvpvZs1HR7gNWoSXzEa2tq0Ebjvw312kE9UmB1 GkUmAQmCCPQ4aC8PDU6uBnHUbLlxc7RsQXS35KF0kOHjHxRuZWyY0UVVWJLb/E2zE5zCvpSz2gFW 2WMvrmBL0DYfTk7wb5wNUheojfrY5CZtLCPsEm6HqzeH44/5LQmhPCpxaUMcoMDvvy4ww8Z+AuSL vgKycHXHUQpBAl3vI9Y6hFxLXNqEebiQxPSrQuI1KfBnL3kOH87W1JfdocWoM9zfa+rShoJnXX2G i4X0FQHIv917mIPwZA1MhiJW3fx/8RK1oKMLaBmGpVQNTKeiHwTJkZo8OjzH3zRBPJLtg7asroGU PSpl9dH4cHJGhXo40ec2LOgB+dBkEXHH0Uw0OFPMckoLwERZAZg4jsqviVUbFjPHpO8SN9+ICxCP hxaZxbZf36mhaARflfoxyRnX3zPDBCBjBnRD1+jSddHS7Yfkw5ms+lPjIw0GcRhAUD42WUCMtnYr rIH9g7eiqsU/zNm8mzlJq9Mhlfu1rad5+d3DRORhSw0VR3hNYQdkOoEGO/eb/alLHH/PBJtFsOOm TlEAnMaCmICOqHX5cHJ8hxC/JhghxCtGJpX6xQzvl5be6NIh9lj/ULoGBTuquEJPUwHxHxzbhKt2 EZr2yGbYGWQxDGm5Fa0nNaKoeohgD4CkyL59QaUBsRxn6/WrIEgWihEAbGdV58P/Bzn+KjRqgLNS 1zb01EEXKQ7Lr5FjAvcRKhErYPmJbRvGRpg+oqx588IEfJXozWppVLf/D/E5LoYFlRse347po/J8 Ta3bQLU6YOP5VfDqfaVJgZ+XDycl0PIvLAk8xp9pk0bElXhzNKoon9fPdMDEdQEwWytcUJw2WUTs zd0rA/T7GKA3aAkOaSJMsLuogdVQMiGqGArDT9RX/E3/oIUMlotfOTT2vb4kGBGnBm6UOY8SKD1X oLwVg5NqolViSJZU1RFzKOwbFEEcTDLZKtLgZIiJLj3SQxOYEaaWE3ByeKySNsZChVABBaWCvljg fbq7N940yb+xC99Cq9XidSFIAGyB6i3648NZ6Xx+5d92lj0mqz+vcc2o7votSxjgh4FBkU7E9glk W0V1DYDXqGagz4O9ZtHGVzeNS9uUzwAOlIqUdUpsHeupEOQGEHf7KF29BjVD4r8W+mAXruORz66K EwHIxKMvYzIAluE+I8MdNGYq6DCb8WU2JsCz2OHafLZQJJJmKBBDHyzWwORZSUIyJlMh3iYflTc/ tYH67OhKwK4AZGaxdDE0QJDAWM/HxBHVr2NiYQGUwDee01W77xlzyjgHtc/XMcGBXzUmBGRBVQRC cRiS11BmVPV/NzUeAOLbNP9GlDcAcOw4uOkdxd3lNZAZEeOtwTObN00TKFzRahZZ0yGxhZEOmgGb dbMHwUdfi9c4ZmBvIDX3xFVxzC5FOOpexs9rFIPBpO6HjwjcEbC4OSKvAcxwA9H+gGk6us9qbs/p HadFJ0y6fL+OiJcxLEs8N26+cGDgTCmw1UDlc1w37etRDurnoz5JncXX8GW4pkiDNOZtdMQglmqj C3o1HevPa/QyHpCRzxL7wdGI55i8hi5DJEab1NXHBKAXdbVXP14CPoAjyikzmSUCldNtyG/Rndzo QB8IrVjyOKTICXVYxOOgWJ2HioBT8uc1bMFmQoWVTVbYYyKE6nIXXQOuqsmQFFZLIOqDl0ftANhQ jslryIKVg/Cp1OPVmHspgIANlsofkCrqD67oNWAZhZEWBoPqrbx5vcE++DRAxRfKV2O48CQqhpig qG85PIRB2c9ruIJfDrrqa6N1xquWzroOtGvz/rwGK5gm0rRtHxlx/Bock9dYBXsyXvPSLhM5453t uFMbZkwlaaXVsCdIx4TzZDFGWyuM4G3tQEYMvWvAQyCYx7yJHF6CGu+nu0TF+Wzp4LTpBawMXnXm HBAUeZLU+vMapGAjoiYkhwRIIDaKqobkNULBNOHeCFEJ1Rd2i/rC4v/G+sL1BsFrfMINltNkshm+ ZkhCXzhtDaCU4AUJuVJVIaBejJziK6olU+U1NhmquF0CrHX12AS4PPxVKPK+RiZ8s/GZJDBfmb69 vhbqhpjbIF/4eCwxVGwOtuEMldHJ7MVW8lqmGwGPAWk9bt61Phf97wHk0MV4LEkqQsO3NR0+T8DW KGWVDckFMAbzV1ftJfCvKhqS9fNao8M+1+MQljANFNWBi8aovFboMLGIiu4tKgrcvlBR6JTRFM+/ +aHzWp9DZMLjdQ3NkvHMEnhtWwZow2vH0gSRhKBpogOgPkE8lVMEmRm+Vucw7Cy0oLSqq5oBwGtJ QGTh0WQzmd+RCU49gLwVraUhLIQd65jyIGvCsnvmI7U8lAZdfvOdy4RR0RmMnNdvwYAD35bSgSiJ bPnyUlcRio3fDhbUWvh5pzJhrizCy6BB5Va7VMYDYpNzZfDZZP2oMOfjAgGR4lPlncg05Alno0Ky LY7IRrv3AdkzmB0RUDVaIBPTMJbFXG56fvMw1kYGdlDaUlZ1lXnSmSA3eD7lJ+5DP+9EJuyzjW7E ffqVs8Ui2iUFTah7vfOYxtNw1LAg6wL/18flPZS1wweJxyLblDuLsDHYWeRmDUQM14YQMcm43CMn Y7bWpph60lNv2D0oeVyx0WwHAqNcgVrSfZyURPDKgtlJis662686ka8lz0UnMuCaWZiyfLaw6wr+ cIXqn8YlC2inGrFemYQ4ptO7OpXKsbfsux9oQ7LlsgbeVuW0WXWHHbElfwusa0RvgOcfCYurod1c HttRdwKHv4e0tm82iFgh/9JVZVwL+YbynoPaf7a9bN9eqKm4BTHwcXkPaoWkAJSvOhPlDIVtkIka rMfZr95je3mPajEuPN+Ffd4P9vli/V82n5bt6wXdI+7K6P7AVbk+Jm2Mad+5S765HBrd6upl/7Kk uLpZw01GRaQrD6AuixvQDuSopGEtVZnJ0WdrWjUCriKaEQPagNa+Kirvca1t3VsnswoH5SkcYMav hXnBqn87skJsyNwxUR5ZlSmWRRbWcrNtzAhbZIQw3axbMjyj/7yzlgZUB9tnb0FvoZ4I4t5ZS8P7 tdQ8EcOi9/OQ+D2K22g3qKqdRbZgR2Czvc/Nw5YBTOYiPtOm0JKi4qBHL4UePtUUKk2+U5bwpw1a v5/lVz+gK4VD6ST3885YYkbpW65q8uSstlhDWXRLtsPdN4TPKMZBBv+9SpstjaXTYeGnZGeR9hZi EVd9ZPIm4C8Vavt2FllOJ8WQ0egMbWHBJDxTmseiG79HuLJaA8s/rg61a/KwaGfun3e6UgQQyg1x bGHPHR7kvrOVcIpMkk542uC/1vJECOLWbktAD3ueRVmYu4iMX+LwP0BkW0XwKCgQ5xCjtiq0LQqr uKs9fkqCBb2Hudo3zyIQkVflQruLMmL7YP155yrhbyyeH1ZVm2xsnzM6i3MJ+d/XvWAAlFfhCS1W r8WhZPQ7ubukge5ShsiQbj0hnaUNFv4v6BYt6PIDH891hPOAnX2wjb09REm4d54Sw1U7izoqaLrq kAYq0GEv5+edpsS45yt2AYqnIqdSFS4LdYGkq0hZPEukHAGzRFKiBXO+jrF75ygNd5+3wIrFJ940 LmMcS90r0QsLmB1H+3ZKc4Pd0h7a/j16z/fTCETr2yi/w6uXE+aWLzcgq+8Upe91hEOJVvIe6b7T kzCSmBNyaaUtQduSJuqjez5tB2H3Pus7O4knGusE+3OLXbcCmgqCcQMDZ0k/hEpSB5H0fYr7xPT8 vJOTRrg0b1pkBqUQkOFK5KrFBPvnnZs01E9SAo1dCSkZ0lmNS1a0JcinDAgOA1pXWHiF+lkBbZFg lzGadz3eqUlMonn2ik7BWxSyGxy9bK+1g/pA4IsmdoCPAGT2C/J1UGipKPPOTGLsgv4zpYh2SBF1 +48pC3iLnX/eiUnjcQ7SKlqI3b1G+c5KQvH7kqtPr11+5lEUZ9kQC1I2Kn1X33PfSUkjrP0WVUB1 0xqyXxH1WkYuFtytofo/WEqU2G3zkeGkgtbPOycJ33Bp2IUaTQvlMHjMTRfo3efnnZIUxWkmABgV NpSrNz3eKUnD2VrjTkUs9l9VZzRs0PS/9ctskKsord4SlrtIO9At4txlmVaptPY7cD1SXwSCOXDv eQ5oqk2+05FGOJ5MktjmU7tFGfsqh24/72yk8Vg0N+G9sZ+Bf6VBycJcsu/XCiHbRbdsHESEMqsM tXQ48VOyg6i9h7mWrJSFSudqdlhNwcIhEP9LVgCd/TxbZODyzkRiGw8ABcgu6aqIbs/Jv8ySovHz TkRikHw/4wKe/Y7z+Z2IxJ0FtIDhKpOWOJ/luaJYJZP6+96Hf+chscbnSKoWt8ClWj4Fyy2cz8D+ bBGJ4WeOfu35iv9ZsHwnIg3ZEiAg3H7VsNw21Ea3uOLnnYfkf+OntsBmw47pksW5m/a3i6JviK8o pYVopXcXPfv/jL1p0is3kiz6n2s5JsM8vP0v7MHdI0CqLkKnu80yVVVKMj8QQww+wH/aiv1vFlKT TwuwZgxLeBNCHVZBKP5Ran6DGsoy7m6Tsn1l/6RFW68ZDYtcQ5ddNezV6gznIN2fNwfJN74btqhw 6mFLVMxltxCRrLaW3lykKk2j58PHzcL/NweJtVaGcxI/GU73GNhkMzzlxxmWuWjzx63ljDg6juXr AzOYQ785SPbrQVym2FXR/14mcjEwLO8oF89aNMe50YkPvVtuFOYSBthvD7HX3Y2Qn4211lh40DJ6 h7nZxGynNhnerOp/hhS+dRSzPbulOu4DrH8qhuB4sE2XGOY3AanJ82QXVJ115bjUEyq75cL4vPlH 7TpBehPxHIvDwrk3+wizBe32vdL2ZDEZM8ssM9vZ8cGf5Wx5k49YJkrqC1W/WbMZ6t4N7Uwof5yQ jUllB8IQkeb4Kvyy8P+mHjUBUTax8rpqUHofMuU+8fLnzTxiiLu+BRc0MCEOqUGJYtxJhaFFiohX /mVIjHROhsR5WNTy5h01d/aYaWy/aVAGCEUQ3qQ7ZiUDCVOlCDJBFfuvkdKbdMQx6VRcmnZVNeFs J011yBNmvjlH+APzT8gCPhtwDBqTKL4len+yhEhQwqANQRGf0rj30P9QCz5EJbDgdbaG6TeL5NL5 LbdkUAB6z6r4QskCmJJyrd+h5MG3DHKhjhoUqhO6Kro9+WKRu1Tnu0WbrQ0KtVypEWZH85tphN2A FRkWl/8h/CgrLcJ3J+JxK1NfRixvolFzM7Lz52e/+URJZ4rT6RH9srN/cPUU9DhZI/uWWnoZes8o 6Mf6gQDfBvzjD/+gE9LlScdPuMp/3kQjjOm/xgWTJVl5+80zYkpKem02hvCStBAsCSb1PTKxCdvG JSrjEgZ8BmRVv1nvrCYonaAxAwQYsBuMac4OCUTdV3qfte03xYgdVvCuIHyhq+osoBSqto25Eoa3 +1+tsxMkeBH3zS9iVwnQcioME+iURjaJHKZV4JNXJK3aaaMibrKVM4ffbAHBhgx/B8YEOvnaaen0 RYHQcYn2nYTyN7uI6COkhgkyQrwacrsPmcOe0fm8yUXtSt05sgelLy/LvdlF7L2gGEfqLFkigy1V vCmaIlTxLSWbiu+bXMQ1NBTX3pviLDTPZ6mCO53nGoOFCsVjYMRRSnJKWic1+M0tYpqHFBFiSrry 8zN4BJwu5+D8vKlFzRRHvCNP5gcSTo1LFN42dgEluU950mQJQMebqPw0p4EF38Si5uL7nTz/c2M2 NBH2F8Ra6KcOeKdWDdxJFZG+Fnp7XBZEJ1fvTSxikZqxCuxOeFUkt8pUT/FE4583r+h/YU/o/gPH ZAMTBriYMKMZzxWuBEmB3Fk+1dEKrv/3ZhWxjsvNRYAV3ixN3HUn2J1h0z1bRJWcOF13kNW0drV7 FyO5N6mIm65Ep7Jdtb/AZEb7S+PbBQvpt9dKPH3zytybUcSoeNDJ25wx1cRiuI/35gYzkMZrg4nq uJPsu6EWGm9WmZv57LO9oKYAvaYtbgjyoUwOFv4WW0gK5qI6LqpGsO6adlWtpa+hjsbJqj5vOlEz YcK7wXATTFaZe7OJeEjjs7YRO9F1zazRNRBs2T07h2zrEsJ+k4maC3GNZOCwfs09ENYmwDHPxjvQ OiTEH/QTiirBGObWcbPe8z0uqJ9ukhY2q1cqVWOsF7eaOj9vLpGtQavjKnaZXm15c4lwknQaDLl1 dUOptdEaM20l0pUAZQa5byqRwZQwHiym8OYoqDMNQIVAteUca5kCZhXa3IUasvkOCwISfsN/ITla L3bl50MeLAto2sfnTSTiMW3YMMqbwBzHd5c3j8jrJJiMSohGMrR2zdQ5oJ9Foxpg4qcEaWJRYVtE q9l9FQEid06Mxfo2duGqOi7oiuiErHrdPaAEyG8Idt2K6AU9XV0V/p/zTrIvfazPm0bESNejF+ZE 4CQ7ivDNIcKOxCoUKfisb1OMj9TxRl5RB//bOURvCtEF/gwZqvJm0+X8EYMSOwP17L1oSFY5uyAW jt7PJRE1vWdwTJOMN4lbmFblOrtvkgPKGdvyeVOIrMN0dxeSecB91LhEoS76wpiMwxAL81Lq2xA0 qi/JtSV+SlS0VOas9Gh8yQ7wBOxzUYwW5u0sU3XktDzSoW9u2SJVt970oSYL+EWS2TKqGUlDU8KZ ULj4vNlDrAPXbwZNFTzgijUsUS2X/p1nz9wkteY+ktquFZvEn45lVKubY765Q82Uu1BQuDdrKqYz ukRaI12EChR3IXjWMrX0bHEzA3jzhgw6ehZM33bVSXRydWXBA+DkMNS9FUtusEBhOdXhTRtqZmDX ajZ9oIZePtPFJWwhYDKsv3LLDUNd4TgmG8y8+RpCo7XQfAu+dqUL0rDwo+Gjb/+ZsklvyhAREYjk GvGnVzs+gcYumGXhs8E55DhLNZP6T+fszRciIoaM6SF7UAQXhj5dEpaqDLOHjUoY6EplnfAa3byy MM7GdAIZVlvG0vnMJQbuTPOgRZpJb6pQE9tnFwyurhoUlLK4As6K/LyZQvwD+0Vx8Ej/Q9sDjUqI yUXlNBXTij+HD0+fwbTIC3NidCJqiUG5BthufvMFBCGcye7zCY2WuvcdyM0MWOGXzko8YQtjXJhK wKXKrtxWzloatgZWxbNBLDfyzwqqzFxtUMIQFz/eoqIHlfzKNO8k7LEym1pAb2pXiWq48I15xCxr 7n1+zkw7bzDETS6eukAwJIOUzXUkq3rPoEOE3lBBh0lXNZ/LFn0ekvp4Nmg+l/qNWegf7yX/Hka4 TPK+gmw0yaMgG3MwKmQKPdb5KVGXlSuoCpNW7xnU4GAHV1VE/vv8adJTogoc8TL7u7NQFrOHzDJi N0D01JWzBRq/6vGUXvFshD4tPzsL2g3TZksPy7g4qiDdpWGZxInTXWASeJlJLja2fI+gCjRRwfGw /aZOCGiwEJ5GyLInTIll6AbWLPojOLQ9ZOEi6lElF8nDTn34NWnvzLUZgGPh2eBsLj8tedSk2/Jh iSu57GgXg3ArkwPGklhikmTabiYC1KNKrpyIIaDgN4twcx6ZruYDVMfKUuCJdbtAERjyO1vQ3sA3 RHgflLZxvukqBsRZ39wzT+yy8WyA93ciImuWCEYIM9bAhCyzxrpcE4QDuCWpjJ2EkTDLTMdQoyL2 KMSdBrNULyTdXghKTwAAIiOaaJ1RQQBmzRgY4ub/rdjcoxAXAQQW0LKrKnQntSGANO9U8GwwMPun GwJ1+GF1yx7xzAa9klCFXoS2QzygSP3HWwCrVQiVnIOohwHuUsS/pt/seD5nRaJsFmrcW54WpUJ6 LON4Ylfeyrkl6T2DxhkAPwWEDFwzKahtATvEU/ds3Hg2OIrmTxmK0eZ0yH+PI1zyA921bsj0faBZ Z/W5E98tSxR7SDYbIiUqUVw3UWwQC56QcTqnIihWkosCKbrgmwGdc3stmtb1d5Crgg4c2aZdvU80 lSgW/GpRkHuXkTMhQF3TsITlXJA/6HJFF8hENDGz5k2NZiDbC9GnnZ8S7S5beU7xmyN+Tn5V6Y4J J9UufNjJvxb0AgbRFN5/pthuj8q5rLakXfzKzy8py+cc9Bk8G2y6y6NckUnO0C7Ln/s7ykVFDzW5 Qs8A1qOws5I4A5Zll5HfxDLq/JSICSHiDDWILcpiFeo8Ok/yMOhVl1qRqCqlHtAeAQTNKFYj6TWD YUHinJAt6irezN5k/EDIdOHZiJxoce5kCoYpPbqVoXqEyqU8ROvJOPEQCTCRxzE94k1cRpioEVwB rQIkbgQ6TdUVKhrQK8P5pJMUv8/0kdcfgWJEAoNQbS007DT4hv/AExJtoqvQLeS/MgFoG89GuDmH ceP1z6suT4p6HOlucliSoziyozjgxkW7Wbi72CEdFXNXst1l+M0OaTgND7APBkU4ZPTC9mUGWZm4 fx+WrveMkkUMy6aktwtYQJhWoFyUePDsX8ispMlkGIRkaH6KzhoFuxBPKXDKMDgUJbY4TVZRh4S6 nkqMRlTOnUYRkQxqLRexgFoRegAs/++zzaqyACU4dAOR+VrZkvT4EcW6mTOlkznTnTmzoA/OPyy3 gmeDWHfW3/Y8x9ZGJYp1O+Gn0OYtDOoW1Qshf4Q2QGPxP3ebLyOs5qrInVWly5cif6JFdDuAKEH/ uRezamZl/WyCvV7NSyrc4xtiou8J5xi/z3odVJPUpkEmx7NRNbf8JIwZRwQw8RqYEJeLV51EQNLP MA052lT8FdScaGNtk2oZUT1XNIiZiHY6N4onoj638on4t7xlm7CsBDRjAAkovE007M34goAM0ZAr ogCqqzLGNqYgpCcWxbNR8f/nlAZRfrh2wIiBC1AgGiYUcMKMZdyZ2VN1QYVqaI4RhbraXk46rhun S+cpPdZZhKpEAVxUrINP05M/V3G4n0nKj48q/6QSUdPR3YQgWVVEszrBCZ6NAIU/SwiQaMolalCi SJdIjbmrDcqksgGJEGtJdu5s+NUA/yOKdE0+YKbkNyvltlFP+ryAnEb/LMsmHlUTSp1MOv0Y/rTq PYM1NLDnIvo7V8zIzckyqjBi8DzBw3/pFIk9h35v8tbiCGPdTJXLpQAOKAar5u7OfKhCSRLbIkcm inVNkiSv5DeLdTtQ3AC+gdqKxjSpVnLQ2QISuNowGu/4hiBlPKO9Zk3drmq5ZhIySYaeeDZCLI/f 0wjNGIfmjhCaiwrRWbDZ9CbkIkHRsKX0+kQVbDdiXCJobtkSIZAqQfEcAC4jtD9ElbuDjirqESqd g9hXF4yVr+MIxRXQKqqAfeiqMvfeYqOfaHri2b+Euop0kWL5oITABdaHttlT58oCGxqLnQQfMK4q Hce440aRrlFDthKBTZ4V2J/n2E0JcsnsQ/eclvrQkwZUMA66oMKJZBPf8N5ekGNseIzbVSc0lEn4 l6218WzUKfpXYiSnDw1LGOjSm5gqlGwsNrOMPRkYYzt0RHR+J35KlEd3dRSH37SGEtRaEooJoEKX Afoknb7pJfJLnGf8iC8I4lw0Wsle0dU23SyZVygL4Nkgja6/qIVzBjmHc4RhLuJtWlpm2ptXLiCy womvBNKyTxcn+e+CbhfBtXs3tG++dYGI56gN5o1y/AE5NQPItghA1fFc7T2jriLQCoPiuSN9XWN7 smJdxbNRndvnCiM/jFF2RY4ZlnQRWNKCnekiFbxY3wYmQ+4jA3OO6eIMtcKyekRSn5hf9YmzU8FQ Y9BPt5a1NHKIprDgEc55jW7qNaN0Ed3WQpmFYkddwzqXVtiZlXg2GJfef4C5Z/0i9NCoRFEuf0D6 t2YqUTeePlAH6rSCIpnzBGcUzZ1RRRcG0dxHs98M2w58DCfegB56K/LhYCKL/bx+fcMYOX1mSD7j 2QzrbF0tK1pNsIMTCnxmiM39VeUQhs4bizMKcomUHHSHo1QjyJyyAxhNePfGviu33Pnf5LOx2715 Cy1D/hs2uqgbt02x4U6vAkAh5pUqwYzia8YV3Z3glqiroBxnsJQGnwjiM6Mgd47f+n/hwWJJ0Yyi XLrFpL0sVQQsn1uuNloxZ6ZXumdY0F2SUPveLKA7IcxqBAqjwrwkw9BRpkTjsn1tYrWGQsQCckSs Qruq5FLnZPkF3mZ4NuhCL+9Cyw6eUb3vLVGcC0I4StEiyRSSrzPnSGehpYI4Q2gUhiUmn4nRSlV3 3ixqmef/QZ/H3nIi72U252jdoeGNZtWVU8t6z0hQADY1SCN0VYluLIlqN7SLZhTmtn/lRCiXXYL4 jCu6CwWhKdRpHlTd5gmNmKwB4l4rurwclwizYECxbsHadVBbMAkbi93Fc4ac7EIKwp1lZBDk2ndc mt4z2HTBP2M+o6uQqDjrVdsdA88G8ZxTZ9gqOD9Sc+DyjFALqgrVZvZpoEVqPS2Bx8BTno6ImlFF V7ki1OT8ZgDdDjsXZsdnv11tS+a9JZrenxX6tRpRd3FGYS77ilBLtetNF7k2wILEs/+HFBp8oJzu YRTyz9DIt+CflasmASTEkMN0FkhcpopYKCMmDmftxW/WjD7xCgrfqiyA96hNF0JpYATsr5vw0FvG wJ9NOTJdDfhTZG6Ux+a7RcAfGxUeW1gOKEtqVCLcAmNAsQiJ5qBdFFKiTctAqizIEKHzU/6rnjt2 Ln5z/FzL53fuSInOjKxD6pVSz4KKTeq3XcRC1Izi3MYkMUMzmFeNSzOqG7xu8GyEcvHCpQZmUfff t5eQgIY4YpJRDKD7BGqWIzPmEIwBRnlb7cUZ6ixIeGKq/Tr3bS+mM3k6AsbBTH00MWgU62xOGOsu LnvNKHYBHGqvZVctoxMNSDnrfCWe/UtIJ8/yDQqBRmVFgW4mIH9OC12qmvQDFXX3rawU08SorAi7 MLpkSrSKruvKPiH6iZ7Qfx+lQi0zybmGDrk06OqXl0dR3BViF1iag76GrgrpAAJX9aVWPBu00XLy YUG9gC0rl+QLC7oI6mcZNi6TQCO2F1etprNghhr9s0LwgsjQRW3Xcu2D+9lRRlpUtxkL4qkyHiED e3EF3z4afjp8w38oiqUKDQpejUXTrI+2d8WzETDqJ19E1RPMWg1LCM9lnZs/N9uLM5lbWmZPB7HL yclMG3fF4AWeQrUaGZouH1hEsOLpOGfOdAGnc/AwonkZpBAVu1RXx634hqCeu5EwUiJqu0TU2XbP pONfVir/xigD+KFx0mzlCgqssKBLuBhPd7oGp2qawXsuS6QLoehcRlGoO+yQ1vCU7Yc0It2TS2ZW oiDiTvLE2WUqVywhxHdcqt7zP5hoGRU+XTVd0jCp9pw3nv3L7oJxAUht22G0okiXdfgGt7gGRAf0 6mnZfvJFOhchPE/SVOanRDw0FSyVReebRTe4HoFoCYo4uvWy8OxMTlCjbF+lBWo4rgi7wNocndV0 tfKCXDZQ5ct49i8F3cL62B+MkkYlinOpwKnaEbPFsUzYvxYKUlSAl7MRaFaos+DY9uY3C+gkJ8Da AvaYboaDSexl5MEXXNjtPSMqNJQ+Ny24tznbtJ01mGRW4tlIY3r9tNCgkOJU6BWicynq33g+A8NQ ugxwzwsbpwYKGlagW2Gcy8nSJRfGm2WLE+a3dD6kycFU+I9sA/Z9f8oX6ALRPX5DMCzMojtdeXqS f3LPJ7ogFPXsfg3P/oUMzbhFXpc2LFGYC/1ykImaBNppYZ4lOYf04R9WH0Ee5Y4bwnO99r/8ZrWF vPv5T1Box76yVARvtGqXjee1OpAqx4oCXYb/CUJPuno5d1s5t288G+y4+0eVg/Q3kkU4LlGgS2wM ECOq/feWpa5QE3s+mDgZeARNl7Cey8i1y62dN+tD4wRdlUqoJ1MvIk6gxSgT3H1NVSbpnCtC6A6K uADPpys/HvKb2eQqK579Sx+aaQDdNWxQwnrupj7htFyxtyx6EVDYFrV8fSlXLJor4yZGc/3mRAi8 8mwopwz41XRaqJxUEhx5FMbB/bBjaFGUb0VBbiZLBBqypiT7h1olqQrNf+IuPPselXU11paXoiAb yIHZIWqBaZGECZEs4lWls8DdjKgFasVRTDjUWVA+lNq9WW0BSsoVluQIcyEcYWrCDDc38xRLoglH xjdE5LxE851pV5XoIASt6L/y7f5CidAmkOSyzWF5R7nQQZpmeSv7ccnZY8/lgSIjFTC4sYh2bP+g JlEpfruQywpbDLrNFiBdWf8H2uVMGuy31efLZsllhxDdhm4BNLB1VXt+98o6w9lIJ54NjiI3gKBl PV2snVW0Q4wuQtcp83FMNNaBieOWREkDcHMayGWHYe5ShTtPvzmK7jxNAcoT7iKhkFYhSG/YZ6gO W77qsDsKcisV+ZBH6SqELrwkpLJ9UsUdctBcHZZwd5z0nkDvKMaF2Gmuy/vzLRlR5CRJPTtoodnm skPQgjLnLvrM1RHHlrHBk2ZPBKziH8FPtDla/R7QLC3sKMbdHBbElboqEVq1CXnQ08azkRDq/Jks KKL6Ab1DgO6k2EIxYZtVVzOxhW3CFG2pw9b5KZGEi5TnevKbIQtPDAeLL/Bn+jwpRDfYwqAllDRc ZASBZ/AFUeSPkxna9LpqVMbIwmOf9A3PRsifG82ZDGrpFvvvUE2MmSLhVtxxF812BoW4u+24tViU u0PMwhD17Hvzo6hBk6NQsCSfMMwgdvB3AWj3K0JB5ekdiuYWIBbAKNJVvXkI+8lBMC08G0QtpXxr uZW2qi6atSPEAuFPW3pqKF9yhZPr25t0Fzrcp2xUIsTCqBJOJu9kNIeTL3wc1By5hjq+hzBUqu+X mSiF5A1XCvLtqJgLNPWugN3pqsr2OZ5ZwjxLf+HZKCMaP9HceZflp3MY45LjwaKxtBa4hKC1sHJ1 yEK2Uu4OIQtTRah9b7azELwBJYcT+oP8Q62FBr3kjChSUguYLYWemPiGIJgjr6iwQldMUKiilysk xznT8GwQ+s/8HZWM/v70nSWs5WICjDpU5Ue/qxqBho58UlpYJm+zw1quOGjNDIm+8BbIEHdUCEHc HFkmNB3zI6ey/7SvOhQj/x2S0ECCBhzRrlpDZ+Pm8XqWEJ8Nagop/YwKpA7vZAn1ckEQyXIibeRE aztpoB2KmTeAXdZkiTALs0gvV+2z4e2zc+ycPQTgRFS4IUMnj4RMTcuGqOMyxOHqx/eMQjn0WaHb pKuGBSxrlttWX3j2L7qw/FfhX+h6AjmFkAWQJ2FyyCo/XGG6QRbQjGuo/E9ByKBpn0KhhW7Y9u03 O4j26Ck3UhYb2G4s/YMU/QdrCYBOH5jN8xlfEdDzZEqU5LuehSeFkIv03GDfwqcj2PL+HkasOgJh Y4MTctGA9uug2EEKFrOniSCxpOFCsARhqBycMNLV8byF694ubgn0P6zX8UNBie5EMrK0pdEJghfQ DVWKAvRKbxrp3NDSK2W7audF0Midd8CUPkWx7m4/84bNL6TlNjbvYNfsHboaI2TSJJNALS1bPapQ 41RjE8EXSlJcYMmA662thQOkNnNDOMuVJ+WJ6Mn2KsD/+thQnYlfEbXqZY3nV9t/h9yskF/z4SCI cT8rWreepy++MKewrIuXLKmJCVFkak4vRYKnqbqA4ZKWe4oEdCkA86hgwmQFjM5MWeFBHhf8zygt gF+lXTc4tQHwFf/pFMFNuPkmjKhOcq9n7+LDUWV3fAsNcKLNOd39Jop7gVSD/meT4nKG2CHpeicZ zpQd6KB/+ZKKqrtDiloyXRlf05WNmtpEV2jAMbBIkq4BCIQpCprzlaJuy940gqXCN7DObVcVMjsZ UZxAmQ8HGYGLUmCIUF5G9dT9RVIU/XIuDEzvLP5I6YZlEJIMAhXQoKoUu09xkZenz5ZJws63f1Rh htYoTYfqB7M5EONJ/jo/XbvJ0pSHdgrRDBV4mUS5Adcba2eTaizU1pobH34PTk3eEsAgVUIs892M wyAYg1PlMkJEw7ae42LmKucIR7/jY4JVxdL3IFRBN9uMCdKb1L6BqD07JDijQFeZRHxeEsmY9qZB aEN9VISeutpm3It0A+bW+/2F66nTJP/5OadCMQaaJJB5TJOESnYNCgLVxYazum8cmigUtr58l2NP /wmFoWiUyceaJ+iRzNRJ2+lzOdgcVdBXsHT1phH0EFEf4aqZWkYYmjNfJfrSzxN8OEKT/RiVVkoj f8cmCocpfXJy6mLFqmwNgjPtirZlaKW6IXKKNBkKZRzPFjL8ZlWZkz1Vph6QBeqlZytATCFhoBnh nosyK02huO7Y9BbsdjXEd5bgJjI2PhysqZa+uEzUdvrdiqOQmF6UiysA0c1iSRVZ5WAhC9HNhp2j HVNR3ZciMVC6LH7TyFBSrAF/gl5tIwsA7Eauq2ot2V9TPXxFZJaw6PFU7apOWy+TJIo6GPmFLhLd yfYMXpucT2xwQss02VZtV30p3TMHFN8c4XA9sALPNDCEWLeS6yRvnkYlKG7QeGQNqBwyfuYooy9T 1qWsKY/KgWkadlNQBAgx4lXhDeCfXBLnA/hwtNukn5Th/BrTXV1zaJuGqjL2tW4KDTRhptOt1DGh 0EDEmIYmQjmYTNCu9yZAL3h8SKk7j/BswNWBdv6gX831TJA9WGybRjPkxC5tugyKE7CbUfSofPgv opjKRskX8PM7Nk7Lss42y5FzHFr1Knuc3DtQAdprQuc0R5dp0tQ7aZCJpMlJU84vnRZtghvx4nBG Ic/RSFoK/ELvNFRkToxtV4/88zkDybtHTSIH5mlJKoxf6lr5IkBy4J12/iZ0dVIpGhBAHdQ9aGl2 neMdbvI+NKEd8H4m4LtNLC8xQBGFtEoMyKQuHDGaX2DvyPam/7GeCihSunI9jWVTqKaS+XDUwf7X 0KwfsmMO/dOWMNkIMv6Rw8SQ6uEWVhNZDqpx2ogDAzUQOQQAke5WubpbBXqkLctD4UyMTDBaHUi5 ICgC7MKVr9v2ppFcAwBm0KfVVfnCkEgLpQf5cCQG8wvXRCn4nlGBiZpJrA2SFFjMKlbtk34w95ra e/O9JoyINSZVWUO9CtaNAEcScCC7m6XoVgnyQ86AysdFbCZ70whShRo5/G101bQ5Say24XMY8uHI YKJ8IxsMDTSCbWhCGlvJ5qQgDbtCNiicFJgEgmwya24+a2KIL1sIQzj4ce0Iz6iiO56w61ZQfEiN rGy6JxrRXME2qXDl0EyN8KE0iTabjjY7OSzV7yB6vvlwsBGXi05Ew1gK/j42UUDMqP38mp3A+EL5 QWaZFTCzKpeJ5Y6EgZ1aVhMQvljVb1bVOjP3rPRNJbsB+QlxTjLVvyCu5DqZ6NTZm0bTBpwtbMS6 akXV2QSXmUkPRxIF43tIgXSZ19f0NAyIE31jczIIBJsW2GNUhqW4NQRibd7EYF8ViLUht2vBN2DY OLAhnAsMVkTzoq4dZCLmdVSbciYMLdWAslAmavkooz5w8Rm0zZX5cJQruMGnuk8UYrljE4XEDT2P XoojIYTkhM2MQFaFtVhPFv7DVk0AztH9ZonU+XcnPXdPjomDnHSDBtl5IhWBCvuXmlAOfdUKw5oy /areNkrGYuXUwoeD6kSa32QBxVCIYtnYhM5qCLzznmVbkrnSsERKMhdgce5szi05tFZbQwU/Qa32 vAg0miDswi5U2nVVgVsxROSO3cINSsD6hqizwLgPvhOZmd0fVoc7X/gPzIT5cHRI9X9lC1lyaTY2 sWgZwj0c/hRZSjSmZOCH2kQVIgIKa3LMjYG/sm/83rxD1+eardNhGXg0a+ciR8Hmi66SDQ4Fx/kV wX4DoXiiM3TlF4y9BUtBE5MPR1TRX4/l86svhy3m0GKNvuazZyn9oQsvkaFKFFZBCDhzyz40YUw8 zd80+806LxswTgiagLiU4GyPlQ5ccgHRELLWGhrOcX1F0HrJgIkjH9aVX3DOu7TU1R2ND0do8fpz TCHCmvcID43WKPRf1c1FccuRRmdBN8dGNIeL58Bpzb4bKJ/hN9tutMlgu6mQu0gMEAsKSrz0nxo6 hWhzbLWWOSqE07dt+QKkF5lLnT1e7xdl4D9gV4j37H6HJjajQAhGaiLxETRNoKxDssSzrT48yQzM 1lBu7jq6k9+cqFOop4MT/GQghak8mHRnHuGR1m5zl4pUOfZbK0RdwRaVV0sX9pb/yAnr+fBfWMZs fvMEHy6NnmPLtcVCFtvdk/9GsVyqUdhsASsIvUDtxLGyg/JLHVb1uiKdYxsSUJtLKs0hv2MQp3FK FQDtrhF18jeNUnBUz1EU1FVZwjy7GE+Zs1vx4WDalJ9KKGbedx+OYmISCPcyaiSCr8lCVl2KaCAG CEqhjUwoZDZkArSX34yYAgL2mS/ch8HwbRLG7rDhrH9oXNjVy5z2nkHfpaNaQ9V4XoXBmiBhYoRO UMmH/1aaIB4YW2aq7kYdG6/xV9zLdDULdZ8oN7oMcHQGi8iaro8J9hrB6oW9GC5KDQjNRA5OaA0i tEY5kM4RYj5ZfWwGQXs5tF4bdEalzwuvnDPn/FsEYsE7hg9H4FfHS+DBTXESH5lQtBepD2ueyjF7 ESDgrCEjMzFN9JH5i8dwm/dmoGDU/XZju+5Mnd2zqUCclwMxL3010ru9Z1CYgN1lSkyjkqdRJ1yc Aj2cecmHI7HE+gNRO6MDPQUbmCgaBl6kiKpIzITcDEkGQJRIzARtkHQ8xeQ38VOUMJRLC1zQ7OqD jkBnVECFYxaFqIawBGprWou325sGc2YB54zwWVfOmZMgVBn7wE03hwZsw0M+Dg35ZbdmE1qwUdDM upfNoPZSkC/Jdpq5q+ml5NCDzYiBVTXOWr0bBcenE71SX3mCjikXKYGQQFm7ckxDyIDQhS2TnwJp QV0V8qNChqFpaUw+/NeSDd4fkNw7Mu9YuPx/iczjzZGhzdKQPm0FxMHcdevt0wVGbOhOMNUXvmim 68GIg5XkUYwMOs5JgtAAo4LcjsK5DieUR/WikQoe80uAqvK1HRsTFUJOmqzXi8CN+wfGh0WQbwsz tGNDFJw7S930Sk3O4SmpbFd8KI6ZCP3Yhko2nWAk3mxBnT240QQUZqknGunq79LX60yD+WVlTxX6 Qku2SaJKIzvDkYInuuYGjyw8DT78N8k3tHcRlSYXCc+xKxsAy2lv80uiRpZkH7jxU/aBf7HGJoyF xcnIGqKcvGRzpgr8HRs1JRPq3EWxMIpoAM5fLbwpo/fAlk38R7hUZLsmBcAn9Wjaaxofjlrf+Z7d Garo7GnY0ISxMHj6mTJ/8kyt7uIxi7DmCFyL7zWhmq/EsKvw5dV1Qs/MOG9fB+vDhcuLRVB2dgHU 2Ou6bbGbza8IKn0TDQVEprqKztNG92bS4MNRMOzThvsxtsTd7+CEmAlQ1dV9ZDlriqgCXtxgCAzO 0/DUO7Ro0+ndtWR4szIo3LGQ3/wBKxkuHOx9E8slQMl0QS8ARvQV8SEF/GSxq5VBa8nSl0ddIjBp U0XzlvrOnwzhchuZKBhmSbdd0/eGrFSAiZ4UBZ4Xnw5DCkzaAGVRdinARP0CJgi4B4PnHN+QyRBL uyHOJDQIREqjDNJzK4cubZnhMMU3eVVkk+gQAvGluflwxEf+5WmjMu2aITmwaQPYH7WsZdoP4N5U 1UBXMrvdzr/BhibkxymyYd9BN+u4nJz+BASToADCbphBZeW0i6UT70alZW8arKgiAL5ftdsgneHQ DNT5AqM2k2O7RQlsnqnf8zvEDy/KqA9RBzOdFbmgNiHP1IJYdN5K+pj/Qq/1zdp53147PzkUMqdJ tPnGMHEjrjjTCurZZVxF9d2bvWkErEZeSVDedGDfOS7O0pClNxq8oVnb8FoWpw2qEtCO98EJC8Ro QEzIojQUQSc25cKyBKCLHcHxQgOxaXDikJiDY9CJkfwER5mmpkFdlQ4ZKIZ9Bdsumhh/Jj1VhSbZ /qbBCU7vE1QKddUXlCaxovNFkw8HJ7inUXakoTblZYnQsk0+SEoNqI2If1/eh3U6ZAIsdu7EgWcb EMl8mO1x3TRxEM/AhQslG+AF9jRW6gm7EEXuq9raBF4LPNsEetgVQoq6ct4gtubeVutafDhKpDwk VqEduHEfmbg8jGiPSs5ETDQTzgCLy7qYI9/cO7RtU+kcKq1+08hA0z+lyrLE2XXm0uxqiAQQOIOp d9V4lr1poIAAYcUMLoauxoJKSbC82gofDs6of+nxnO3/GhTn0Lmt0omLsqJ0bV57O2SiXcjEMHpY DqzbUFChDKc0kOdXAxnWD7Dh2ezU1SZD+IEqBtV0v5Y5bdt7RqgAuOUAZq2r9emKcPknbqp8+C/q cAyeURmpN8MMvNvOVgP6EWRQGOGdf5rm+JFWUzezA3BmZ1Rs3iaf3SH7nOEB64IjUkblnN7neSfr A4O8A3eL+S1LLHvP/xCHKIWYgOKYgJlNhuZ85ebDEevnVzUDW3Lad6MJuXOIf2GcK7WihiZ1Rw/8 /NyC5Z+EbJicSI7t2+SWmbSPuCdHh+DfmH2ypXDOyyZpvdaJ5uPhWG7ybcspjofpVQYSHa8cG6Bg ZD/bZuXDf1HmJ4ZYceedNTGBbhKW310morgCTRrzykR4BzPwcHNru67Od/92vlHlKxTnQieK5ijM FdDIALCGp7eB+qhBn0MfN3T2dupMMbv3Ik/+JwjCibArH45QAV4bJpUXBdjlJdDAyQ2nWqWM41Dd PCsMBmIiD+ctrzV83oQ8OgMfKfijbZMOKNjBk+4DKGgtRPIiICbX+IxJyt+oL9mbRkI0qGTBFEtX tb7RwU43IA7t3AgA+uJskKSgkmeDE9aHKWfRaHpIOAmL6FCMgG48tegXa/UanAgyUU1Ib3a/WRX0 zMldoLQOyYjRl2RXeKRhf6/9ArQmHVdz4Oom9uX/YwEOYVRxVc7xx4cjuvuFTICqe/5x25r6POOa jnVIr+uiekTeoxrdXU16TJtGNDKW1DOq6efsJlN3CUbMm6EdMYU6CAwA+oHOobIX6MHWf/iDBvTV unoGNd2F9DqlrrpLXfWC6IAVv4VHH2PSUV/gmKA2xXAPSI0MSix+hmhQIBaU2TCkMbp00eCMggO1 sVyDYryyhGBQzP6vyweE4DPLn2DhSk83qGf0ZKaIZQlDAg8qGxQDVT8HBWEBCt3AROhqEFBIUStD OEHwc1BgNVNtUOhGBYow7STOtz1jmfMI9e8m4bFU/6LnI4Gx+7oWTIqLnCX0jGS6B3mLisO6aaJU TvF8fqpz/KG0NeWZKL+t81GX9NPpcfEMZM5LggO+QSixqwKZc+YtBb+pfZ5hTL9gYYnXYFcA2IAj 8oxhunmztuQukS1VK++t7CWImbctnWcEg2ElFVVuELoZwHyd84dWmoP9FWs5sQIIo7O6viKUlFt8 RjAdQchZOi3DK+YcjeuPapwnzEscfVSDPs8Axv9CDAKlOc+6w5zmmDyjl/MEK0hze5oEHTd1tmdx fu4mDonOmdHKoVXQlHj2/Ipnd9T1d+MsOedzk19Bpzh/m/CfWZeOgHPy84xcum2yULC2Kz++JVI3 uLGszzNuwaOizFU1DQBfAB2WY/KMWjpYr4j9VaoChi5bHxvtCfURThJQrB7zjFk6+GjcRiZbk7xZ xWENSCpClaeerEIeiAD0Dyr0AffsEJHEFtwzYsEvV1FpWG3aVUtnwjmDOUDfn2e80q86nC0drG0i PCnq/hwTAz5usvqQNG46OP4jnRyS2tHLHq6yHo4J5smixYFuduyUxT4KyuHnzKkUJYR/JJrJ5ywb +9tf0ksGSwcY+0ZXi+aw2jbOshRrv+X8eYYp2IiUSLu4aaJGuIbkGaN0lAa4541xKwzdKwxteIXB +yfPCIUbbOLOurLfNCQn8TjHArSQYFKM0rMMUsipHX9+AluyVZ7RCUacDn+IhXVVdFK3afGgyvuM TfBmfXxHBO7R3abIs1SHZZOzIarUT1rGPKi1WJ+/dXbEsZU8C3Vc6Z17CKNa3mzZ7JMX1kb00IID D9sCDcEahIuwhG4Vk4Y3n2edjrEPQdFr2JWfX6dbwpz/6vOs0nXTNrzrhsToaZPkWaLDlCTDlO1N VRSGMqAGEL91radPkmeBDvtrV7hWk980SWAmdE49WJ5jJCDjwGY+9hwE6oRUGUuQueGzPNel0ouc u9hVzYCzlZDeVM9nf57Fud8jR2UWMoa6RWtRDIvQpAhh8A9riT1b6lPIFYSTJWqySn2iIBZK41gS DFF4s1Onnt+1QowGWPFzYHBKwWkZJ70bWZh7kMja0eoBfh5Wk3bl56ezBtUJ2I3PBstn1u/ywcGH LEvDEkWxdM9t8IFXJaF5xJYwNxuFZ+SASJx4NCxTarisLc152wDtBMOoZ6CQgO27c4l18GXp2rq+ 5adeBfeNttnCBglMlWp2UyXCqGSqVPl2wT6753dYJlCz4KFqXKJINk2anQzj2g4Gh9hYyqSOOCAx w72J3zwmnGCNh0rXBtOvZfNJ/1CkZXh/vglHMsN7qhP1LWFSM1USxysKZruwQjT4nhdHVVEx14kM yGYUpgzbbVmKQVcGNqQalyierWwXEyxA1Afhy9xbKNCAvUViiGpSBztuZwAyiePS7ebIaBpR+SzT MdOUbtnGN41sA94JHv6OaIUQgA/8tqvqTjmJ73hOBxT/o+1llm/4Vgm79fDtzWDqkuYFaltMAjQG FbW50gwkysgAU60yGhf+vcRf2M3GhSp5i717mLDnaUf3yUDgsZyvXRtD2jd7qcso70y+sxnpaokx mKosHLCGG4yK2cFr0xUicvnuEkW1VLgbTPlROBjsVHIVNfB4yUfpzeR939wlbN2JSfKY1W+W/uy0 4KxciPaAvZ26AzgfMVGusEoXz+Id1cLjdDH7SXYVDCYtaXGcfLB+3rwlBiDrOyroLWQIdmlUwri2 s2ZbnMc/pmjrZadkbaKdL/8kCmyptH2Gg1sHb3YUnaSnntN7k+zWV+qqRyGYo9bDuuUUik2+SUv4 0+hgh5HUVeVJUMToUXzS1s+bs2QJpYaFRwaSuZsCvTlLXX3Xk/k0hbRZbjwk8RMxSX5FvajeKLqV JviUhhdvdhYVuDZvsmpPlNGnwCASVTij1wnQlOyxCMfvAFckBYS2y67C2sE1XJzHPj9vwhLf7jec Q/XnbHg2Lu8gF/NFkmcSyT4ZUUmWB+2qmlOvhb36zk8JVhERdosEf91sFWGXXWA+Y74k6Hmqucaw Crv95St1AYPeUW6mHAqNyOyqAtzavYrlBr2QKMxde/yEdNDyATKUwxKFucQoL/L6sLksBsfYXEai McECPv9scp3TJYxzudeexPDeLD/s8JhtaCnWtGavgv3SfQmgb7yj94eoCfcmKlm0Ck3PZlclzTDN FnIOzdYo0s3fSkL6oyU8LNJ905R4RNOQYFmOaNYE4MikbttLG87BfrOUujufL4ZqumlcEM6eyc4j ugGfrO2loprNhiL8Po23v5feM9h2Aexo6HbpanW4KuAwIatvklK/Ql86CWDMlO0oehOUuqRz89lh lABBpLSqLQS9P7WFJpFUHJV3oHsDFxX3509xP51saGbiQ2uaMJj8Q/A3VFDhMr9vcZ/U2M+bntTN g6ugF66rjugNRUtuM21+3uykbj5j94iuKGTYnvsmJ3W5wZREvSYg6xKh4EConmebsC6NqjhYRG9u Egte3HOFX+bN9tx6MruK3HigwzRlG98wGkCX/kEW6hRaSsq8qUldpqoQ8y92VVv+ZLqEfiMl+LyZ SXi79RO5DLr02aiEUe7if0/1WrIozPOkpcm9F6MCewTuuG9WEnMr1Zw4KgY35hJK2EE2xcPpfk6L rpkWm78o5lwZzsat5U1KYg6NIjaGU1dV91Ht1Vky1+fNSfotyHHLg+Ha94h+k5I8w+zJYPDnn0xB piZWis1b1cAKb05Sd4HfJYGhVa/ALxiVCwEu1cNhA88CFJo5LLTf85lyk29CklcWoJ9oV1Vuz//L teREAp83Hwmn5JqeEyFuafpFNChh7RZ54Mwez8Ety3LFUaRB2RqtOzhbouKt7Smk7epmg9LWPP8H ZcUKO7sh3igpbwDP0N7PDXiX3jOIWyDDyV6jrgroYBm1lRO1z5uK1I2o5zlRxrbi5/ObioQJVsn6 n821QMwVHilRdjzzPYfeYe7ZcalWtbLO51x8WBZ0mguQCFD6RKOCalUoTUL64c/6Cf9ZrnxTkVgO BTOg0xFeMF0bFm2aK+3Pm4nURXv9lhY2fzrvkYVhLoDVWQRZJo34KViJcvdz2MUarOVNQ8KPycbH Wmwg8qbNBbgPoFpRiYIdn7n0Yp8Haqbsn6xo6zWjYaHfIbIiXlW56LOavx+G5R3lWlXphi3c9Iuv oqiUW6nBT59Cbi2qMSzs7cV6IRmqqxqWd5QLMQeOh5IA3qxseX7HMoEZBgZqFmXQnH+IdMvXCWYw hX5zkPDrMfhPFBtKLjaE0p/+sIFheQe5KCz8HESdDlLZZ0sU5aK1AiSJbS7dBRTPzrasEFUA49Aq eke5t7BA8L1uFuWeX7ZnaKSdP2A2OCpx3Pqi6HFGomh7LhHMb/6R9RTOGYSwhVcOSz3POgJ5fN70 I+bfPiyk8yFDm5ZDv9lH2HMHeZ/1mysuQ80Vr3FDVU2z5U0++ga5zQ7q7EEuhEPhmckmIugfKlRB ZxKR5vhK/LLs/6YedZeDhiqGrhqVNQ2hc+Llz5t5xHezTJGKKGeIhte438SjLsmHc3x2p2SxiImw pQyVnkh0t0TxzTtiRsR4ZanEs5JnRAD7nWMjAdOdYPituAbwukKoCrLoa6X0Jh3ZmCyUnOxqldwm 9gh8iT5vzhG32/yTJZ4Jhe/SmEQBLnWQQAtibgjHU41Jhf3LGQlkifC0VgM+xCQYeIXNDt68BAUL IbRVBkFiYudPtBRQGS3XAZ7J3ufNNmKhuCMLQsjSPGRpCTsfo/4zpp832aiLmc5BITsU07P56oni W24YjdK26KxC3Fv13EUqBoZnZtfefzONGLHYXntvBtRAl5nVTsASUE1hFZdqnCyRfSstvQy9ZxT1 I5JDH3hXwL35B/WT+C+WHGfK5fNmGrGk0P9nsiTrJr55RvwZkK+xCED/ij3F/IRhYxVMGUUkG5eo iktZx7KkB7hcDxAkaXiGwND4xIVQbSDgdAGhj/Dwq73P0vabYsRBQRiHNoSuKrOAksAFVDBXwvB2 e12OLaK2/mBn0KC8w1vE/EB8btPePzN8b4Mm1Cbb814l7ZH4KcGgDAv2u99sssBGJTVYPdJ+d4uc tYjBAl1vXK59J6f8zS7CpIYO20KZWleliLVNoeL6Cfvf5CL88OknYJF7tZfl3uwi1gI2RWBUa4I3 TjLvuiWjUBRseARhZwnLuNLwZ46qm8e3Z7cFfgWwOFSwhUdFIaYotbmctE5u8Jtb1GVWv+kerys/ H9m4NVpT/bypRTzW63dcWOOBoaTGJYpvqYRfdlp/3JXAMQprL6s/qRGAcYnKuCpXTubbRdWakwCi 00ofjkW44Ib2lHn6EVMO6ly+NAimwZ83s4hFagwJxXJ4tTouatz400748nkTi/pVV/KeIipK23eX EKyAOT1YvWCeWLynWOlwJbBCt1DuTSvql2QiNbLVkjfm4UUAFzWgFfKCOJ1U8IDdBBUJ326FucVY 7s0qui1odAd1tQ2mJxUsz6T+vElF/84TubpxzBhc4U0pYt2fGuFVHbMC6wYlRHmz5l0AKujWO3sz ivDWOqJrmX7z0txJJQbks+AMmWiRhvx5E1yEytS6C0nRXFTIbUXCA9uuqracsEznyUmMPm8+Ed/u t+5PMb7kMLkoxkXdA+HckhYitfkogV0m2Wc09kR3F/PlzSbqrvw3KXqmm0FMoUFLPv1Jl8+RStet 89sCkg1QMW037ZQmHvnNJsKCp70H8gVck7XCzronpv2kF/PzJhP9q1FkwQuMjDUsIWQBp04bpiwK rpOACmWN5srGWM6Mct9UIrZCBVmwqsut5G54tk/EVGd/mbAl4r5bianJLDVefAte4fNmEhkabxfw /nTl53fY3yoN6OPzJhIxu/9XO+TMlG3H0ZtHhNlJLSw6lCPQHXWYBHZRbtSAWHDllzeNiHsUp4mq LrxZVxEYIIjq6jjqAqI1tEOwYP8AGXglNLPeM9h1mUSBYaOrcD/n97T4f6zPm0b0v7sLQBLDMYRv EhFOdmxzdI8QdTwPtePPyTqMRMRNVMMSh7oGqWx+8/h/oEKHkO5MjNG2KYdnaradmdzLl0XU9J7B KV3BVoS9iq6qQjF+ZoXhRLpvDlG/1h52SiPBOZuejUtYyYX4YyHFiLahHNp/qIGbFOnBV9jEM98M IuaKzJzr7H67rfkTtInsgNKoLA/P3wi1PpCU580Wi71mBNammerIdk3KEZPOayhcfN70IdaBf2YL VdBhmaxhiWq5pOAP2oyQPcRzngIVyAU62UPCQyV+yn9X/pUItO7lFtAdUYtCsHum5JD17gQnFAWN S1KkY8HnTRyyQTlpERZSvQ6zIMvS/gyG2Z83b4jr4Qd0CmPhlSxbfLOGyI6gOuM0ues2uqF/8O3K Fjc1xrnhxoGuSnLEKPP2LStk9CtR9K+ZAhuM+M5PDkjXvN1nyia9GUOO+KmdFRdvzDUIkqm0dkKZ z5sw9L9tVqYot3P2pgsRD1PIDTdkvxm1Ie4cllf3wgY/RyVEK5DVthY7HbxZYW43CN+lLd9dAA24 wk6oBDXn5iGLJJPeTCHmNZvDMe2qQYEXpLi7fX3eRKH/BfyAcJKzV6BaFOICY15S2w49ZVUUMJ+U h8HD2vVpazEgV+uG5HDerHGGA6ckeh2ehZTNPA6RVAaJ4grfDIIJWxjgYm6xIbduWw6llmEtnlXx bBDIdZsq0sJG3cfGJAxvMbkYDGUJ+Zn3OwRHJuV5267Nd9qogpvEAVn6k1f6hrfQHM10aZvpZEDV OJ04IM/oYwpdPzJ7z+AEIgwKMkK6qvPcCi0aQUfks0HnuZTf8Lb9WT4sPYxuMx0qa7tybKayBV6l dpWemtWg+ju69Z12JxZoebOddp2dvBb+QGebSuTFngOITH6Q3/Z3X6EqZg9pZYT2A0Kmq9Bh5xQT TOGEhng2Qp7+ps+Yb9MOoB5Ft+x5rmwWC3mOrNIcbDiNKT8ZZHNYIpzCIA2czBq7cVgyV9CaRLWc CKDr3xsEwWBdgdTm8QrXUI/KuNglz0EFkgyvHBZ0CZIpJiw8G/FjbLZUMcuwVVp428PwtgjKvg2/ nbpkStpNHKEDZYLWParjRk1WeJLV1OnSBl54NfG+jsblGfc2Ln4DOyHfM6q2YFdhX2ldjZsKYV8p hs6NZwOsv/eH2AeBiQ7E4GxgQoZZk6mLcsNzblAiCltupVFShmEoNgEyh6IAdyoSmeXebB2dJdit bzb6yciLqUtB5LehS9T+LdjcowCXNBIayOmq8hw0v9XgSAXPBpvuLwmC4vAG9+kRx4yUuYZK7JL0 D0aVvDvYcnL7pW1Yw0HU/xuoMJdE11f3Si6IOgWweYDDzu4tUF1HQpiRwLInb8XckvSe/9FlrZvy PxvyxsyGTvJMyc1+dm48G4zK+EkSmTHCYUbjEsa3i4hcw28Dq2ACbOfbp2EViqdDPSSabWFPRVsd X9rqGF2Me8RyJ+qnDkejuA+keFCKdX8tetb1CJOLGFlATINjcvG0Rc8yHNYdz0bL6Ge2APe1nFbV o1ruAAq2oMtGd8NEZDpTZkq7Im6ZjeLWnZ/yn7sL3Njt5mF/gRczbEvOvlh66pLQAsIUKflEtGHd Z4rt9qiWW6npDcCQrhx2ZFgalj4mng12XYe1i0gyJRjAYYliXBwJhbTS+k8xlRJxnIFs6YRwoEva OCxRjLsNF/G/pJkTu0Kmnc2zAV14UyAjVRNdxXTZVSPpNYNRYUnh3zqGJ1swNeJ6dlI8G+TO1ZNE zJeKXwa4aI1LhMhlb5zeKiTENyjqSuGxjGQwqD3NFrNHWAVNlzUF4dCLV/SJeq4VJn5kxMMbiTEf C5dUtxjpVuaw0eAbIko8+vE4wHRVreVMSIFHT/KCZ6PpUn8GplDH2HfdMNQlgWUbtPLkhBwhYDho VUSXQ8wh7S5RJXdJ+EgChbwZmnADr1EpS7Iq0D88i1ihQ0OAoH8fl673fO8unc2Qf1udn/N/KCuF ijqejSaMJQCs+p6oCXXkadHuiKJdlHfOgWnpUEkE35BdNdw7oA03Cx1RLXcS9LNT7X6zDQYusPBY Uo17Vo0gLdDQ22nXS2uSGj+iYBdp/C5QHtRV6JaRNgV/kGbg2YjOmn4AC4XuQTYqUbCL8T6h3OqU wYcMZZNrQEGTsSF2GSiOMKgbUSmXLTioXiy/WWp0zuNNRemBSncbLIH32vi3Iy66kped1rsjZJ9l 6JCgMKarYpdz/stAdbWBZ/+CKJTXKtLoYhWXEaNyG0XWskALS0hUNM6odEDQAgJwjUtUy6XzGDYW doomY6VBaHvZJ/TPFJeovV+YJf51oglvAw0IGHxBACiESlZt7EY2Ry3glFbR5MRFeDYq/O9vrAuO /HBg+4giXYBhM6HVAravaf6yC4hP01Jw7tmIIl1yHU/OXEW1Yqm1M6bbYxJPDBHQBDFZDluhx/Gf +uVvnn8fH/8f3fkGXKyuqriAz6OtZTY8G20tvwEdCoJoe2lQokCXYnGrcqPFP4nNSqocjyKIKVRv Ko4o0J1i+zYdRW1cckia7YQJoISfJAI6ZNTSglgv6o3s1lzwqb1ntLOgvg3U4rniSNyaLFsK51B/ w8PRZMkeukBhCfW5bG2iEUa6aDFvqJqykrvFw8PJvHBOnME6iT92AA5MFOkusazEd5v763rZoT2G 3iEiF9ARpYCJgBcuBlixrjSMdjK+IVhEG8h2SAzrqm4r1OlJyzy/I579v+wtaMM4KneEqFyJW7r5 0ZZDNX/BIjubngkURUg3IlQuZbHPKhndb9aE7rSXG0Xuw2eDUFMWQqDAbmaXipWn4whVFcA9g7+F XcUNOVknC7vnRJp4NoL77+85BIcYlLw1KFGcSwPpEyHJYeP8kztLdHfbrZBMVtwyojiXlqdyLuGN EBsp6ALbS/Ymwl8wqpAtFpLXARO5eMKJHxTfEMUtGJaZkl11PO9tBitrbTwb4f1/sXOYuN033CjM JcF5LCPfITfRsCA4VVUKoiI+LGGYK868jpfpelWQswR8abDEXYj3195yogF6s5Ayr3ZIt9cMotxG oc+c7GqV/0aDhxPvropngxzaoznO3xMueao4YrQCAyGLVM4/ZXnNwQVwiBsyUOaTKklczpWQx85+ M4wYzHwq2ObjzJlz5GxDKwAOBQz3+GHMF71nUIiiuhyQq7pyVJBQSF3uPI5ng1FZPw1FirUgMOS4 zLCei00DLuzcWwscILjzQnxaXucdghrKFWeoEsZC7pIuFm8al4FSBcBiiHD76C0Z7RV+amCX9m99 buo1o1yRygpUhXXS7Ek2lzr08A/Ds1HtP/+rPvene5t1RhFuXVK6maavwIYwSgxQbuOoIFNsksud YTm3ahvlxrEcSTywglZNwLKPOkGCasT8kBMJHb/69QyDrBq/IcoUEcR14i3Jl1BGlMTNh2Ygnv3b zsK5QfRcthB3hgILOFvGal6IqllCArXIxhGNVijTMcSdIfGMygrLyBBjeqcoQR4XTDyq+2SK/yOF LlTlrvTgM5Ii5sBnhuVcFhVY/c9e/e9wxVNX8eyDnxmFuP+qz7EtX52mOKMYl4bzmT6INAEgMx8i hK25CcCSJTWGJa7mSmSvDb9ZOIfCYtXxfH7ltSiONVGCgUBb+1rEahHFYAVULc132VtR4A4SQX+2 r4JnI60923FlBc9+jJ3PMwpyK0EcmzppKNTJ96qabmWhNCOY3ZRmnFGQK9krJm12s1EZsCuayp7P gspScBRrvaDGfU8iYVtmyDyDRi7cneyq8hxU3FnXRa9oRjFua+0nIcIv11ylZMbV3GHV3CLMDyWU ifmp2GlhHrG7j0uEVujWLMrZb1afq/DOBnKdcUvb8pCF1dxJs9AxandckN3gG4JNF4jCCu9jXQ2C es51NUXGwLORSslvjHuyneayEzMCLFDqtw2Te2WxXstJJn/U/d/LOq4zqubaUSR13JW+dkYNiCro BqC1eIIP+QIUN7zvX5MRtRZnFOUmwdv3sqvlihSXRzFxNDz7fwHO4bcFM0PjEjLPgMdU7/8fVa1k QV23OvadtWRLoGcoHyYhgdTuzabLyV9AXGPsX8+RpyYberKki++vkfDQWwboloVpkqnS6BIotacs mCVmDZ6NID9e+mcRCs0zLyvMCLOArBsNtGIy02wpk+yL9p/kFQaD/85PCTaXzCoLPeJ0s0RxnNGF mgC1lE/UK6p04b8O+ZrUb6uIRagZhbkIec4Q72JXjgt4BKJ55NzwbARwGT9HNByRcnbo3AypZ4Mm jlkO3OehatSZmeyf2snspjqLMxRYILFrqfjGm/fQFloAg1lRwmHEZlKR5M/mfLHGokKXUEhspf9H vqVhJVJo7SzLjWeDiK6tn57IQo3bFtGK4lz1+sji/4e2AEZrLcDLGbwd5FNuLivCLQxNAwkc8eb9 1nTmHYigA5zqaVL3PCcRuNBB2xh5/p4RCxpADiLueLWIrjfGHq3Vimcj7pltLjQE43Ho0J8V1nIB qJ4gQXBc6C7NgK5NUoAhsEAhLGwuK4x0Jf6aFP+nW4iq4JhsKYl1iJA38z6Q/h/wV7eFhh8E3/Bf +FPkfboqAWhb3iLQVMGzwTK6MBf1rE8QM+wwWlGgC8YTmPhN6Pa03Lsow+UCUUzv1SO6FQIXlg4j 4cQS88VKnFiixNQm6v+cp6LPJEoMjfGv2AWtHnxDVIcixrIsu2p7Oeeceuyl8m/8Pwjy0WalOrF1 hRy0Rq/wJHyldH/kjUYwD7ddzGHuLisE5kre0xBjycX9MV/OSYFRB7G1FtGPBtUUqTvwJfyKr7j+ s6ALSwy7Wn2uTCmJ5bzxbJQw/gpy5K8e34oiXXZ4GpISdD8yrOyJ9D9pe94GbkfHSqMSMtCE4t7S 49uXbNW4v6IfO2pf+WTAcsJK1CAXj9N3F4o3rgi30CnyWbtfrbrQ1ZM6e2LGs38p/WMTAM7yqnxG cS6dDka+zBk6hnJvSabQd/azYuItK1RYGFJt6ctvFv93qJ7C/Big+XIm5hLGhQhGuj1eYCEgIPiG CM0BQ72TEtnVQNyVNndoqgw8G2lL/xYXII4yLIdeITAXAALp0xLDXacR5SsL9MBEGWoh8VOCeK6J aZWa3+yALrBnwJYHPmcf6sd2QEoZ75cvyIVacviG/1JYQN8N1ywUT24SG/4D0hKejY6iH5FCtM9Q 19CwhAQ0gJNgGslOGegJMkRrFZLD7R+6tZI3gx03ROYK9EO8tG42LHmig57ZVUyjJDOw7EQtYCiv yYH0OFYU6CZSONFG0dWquaVLOe6ER3j2vYaA2lYXGqgFHEvZZWFXCM5FObfL5pVGcVkSqDWzQkCF hYttX2E5V4ISTcXuNrzk0qFUT802MKLO26ucS0IbnCH39VOZ5HGuCJ0LZtYGHsmuXKSwpWReczab imf/0oPmDgNfah+UsJxL2gxB4gL8bwNEZWBB5EgpvCW2llgtV7mOK/ncfggGAHYnIGWfPJQuW2ed DtoOd3XndQwtqvGtKMrNrFuC5KartpYMaijrKGXg2b9Mlu6FqO2g/x2FueLHzeaABVrJUWCBPzkB C70ab35H5dyh1vxUC8DdwcHirHvCxR5h7vkPohPJxJAbTLthC5DEfM8oKwJEDEVtXVWggwmi+q2V bxeUojz6V9R1du1pUcsOyWeJrrdVyJ8TzU0jQ9fWta7OH+EahTuUWFim3dj95lvuWUAI/1nPLUWi cwZDxVT5anBvsiF2CM8luB3NYF29q5hZoTv76MSzwUl01VsY/uMl0WDSwIT4XOIP11Q3EaJnrrNA cgUCu0mJF86XOMw1uenuN1tHqNB1am+c5QV8ngmwbVT+/lAWtnxlYXcU5FZ25zF2uiopWkMgI0gK 4dmIfHZ1FjIMKC8cakchLl0Pm4weEI9TIBKradZiqeLEtCOWe8eABY5JVdmy1osqhG5bl0Lh2VH2 JGdvkFFSC+W+7/nMysKOQlwp8aFho6vqUHma+2RPG89GmnP520BDeW4sy6B3iM1FjLvasGjurNpu Kgtc6/J/oOdi56dE0ZzkYPPwm6PEesmCuFO7XuM2iDlH6WtcAaQFbgK+IIhasCFAnsmuBliGVMkf Itwrno10FrwlwmBUyb6PSxTlmupcS7blpmxbbprNttw6XaJwh4gFYf65HnUz8syJMYAnQdlyomas aBg/BsBp4ys/QcXpHdVyEcsudCbtqnLLCc7ZlTpR78Kzf5HLEqlR/B5DLOwIsUA3DTAKVYbaq4ge Xnk6MYEu/JE5LBFiQSLCq7TkNwv+23n1PancDm3/JU+0Kr5IogwSFA04MEPvGdQtt6Dt264GWW5i RLRUF579C8BF7JI/AHZoVMIglw3kXW0Rld3da3KTGUHIQrdyyw4hC1t5swYnpXUnS4PQ3JT6xDlj uSF3kigBr5bIAqZLoR0mviGi5aFJhDWjq1ZR31KiqOdUw7ORWO6FLCBIOwGh4yx3FONCGOa84TYF lzGq1KCQuhu+vddkhhA7RuZyZhayQHizYSnl/Jy14nyGbrs8vFTMRcrVvsJQDP13yECb7EK3ZFct os2+KUZl8dmgqJB/5MkJq1rWJ9pRkAs8QRFzhcJQzZAurbjyzzk1HH26I8zCNGmFvfxmO+6Jjxel 1MY5sUdqJAVL7yc1tBUvNxw6THzPSGGB/OB7tb1lizIP52A8+5dEUbU5MH2TSwinKMptmwkiD5tt A0NGHrbIkypuuEKA4U85+xRqLLBKOyVoOb+ClmmNuk6cm6RnX0R+bSjKFZDOa7uH0eYRja8IrHcy TSbZqWWWwySaNu5kirQ8+XSELKzfSLcRMuhyJTmFcmIIynthhZ8uEQCCyGVyqJp5FgNaGBqcMNg1 hfJa/Wb9Ish9njSdgtwF9RtW/ql3wbILoCQqRtFbgF8Rka7Yia7drtp6Z2lVdSk40qco3N3th3WF nmtOflTn9A530cVe9NiyavcgjEAuk6sbfGE47DKnEKLbXrQruFidvaAnqf9UkJiNj4aa6fk0IDRt bFbN9qb/0asvOO11tQ0Y+m3MBJreL4hjXFGYi1FG09dpJQp7cdSCh2qpI9wOhWEo9ImS5MI22Bg+ Jjixu1ok/xYuObkAAC8kXkOZG7oL4jBOmv2C9nWN4NQKwFdEeDoo3TRKLzgR+KT7pUpG+uxefPgv xV2uKew+Od2xiWJfYAxL3kSlEitjIhQNAG2JRi2o0tiaigq8Jh3WJarVx2UDgwJE/20Up3qWipK8 b0eh4d/VoW7L3jToB0inL227quAAii3VYjfU3FMIZig/5SnseCiguv5/Csu8FF8oDntHw8fgDI1M 6YxFhY44he5TXOfVTiMNk3E1TIiprUCBwI1mkVlw3g1aGZAf+kOdaytRyUA7hYAGHLu1kVjTnFgz ++rSYKu58eH34NScfmp3+BvHNZtMYa2XQLLsOO+mLj5MI1jIpmnEZdbjY94Tx5LJrLZJ7s6tGecI B1FYJ1WuXcbsOAJKwmIqVz2KXhD8iqhhguW0aMCy3IdznvBLLdWz4fPhoPawqqODaAbx51aq6IgX dOWQIMykHRjyPdNgDclyKSBwlw9NWPBl2X+KMz2dM42mI6C6+EtGpVwFbRjP0SuvS/ZHFfUVstn5 Ff+RInA/xLWzEN5QjGYJoZ8n+HAEJ5vfXjXtVsu+SyqOhyXZl6xe1YpZt+IP4X/Xv4ZxbG0HU9aK 4dVvFhBD5oklB5xTiLt5hjcUM1CGB47b3RblU5pCYd0zu9YZ5WpX7cUwjpOwbpt8OFhSF4KI9YP6 77ixX1j5BWhmsftHeMMwhUNsMUXhzW7bLXtSWPqVkFTTtGkXCr+wUzZOm4Z0SkoFfdEvAWKeqONZ 6TcXe9OoPsMVtfyqoGZ5DjUY+oUWEs12G2k+CbXj8U1ol4Z5gyau5VGFrQyqM4xdHeVQrEaTA780 HCJmVDT95jyBBZI9TWrGbq1NoT5Q3cCPD/c+B2jKjCUwTEMiDKoN0Pa6Kr6BXazU3nPnw1HTzfFC iwlGpZafjU0UF7M/eHa5ZBINbW+TaNh7mEQDbaM0NhHUQX7za0gAciwv1Jw11Ignw1YMNO4yoBWD QdAx3TJB1mCxZ1qe/290A6UdKRSeUJwPB1Hxdf9lQkrKgGcMgWsaxIUKZYetgpWJ32DTwIG+J05u 1jTIoW3aElAzKf1O3/R7gKQJDN+J/M65Nzm7BhFGKA+Q52gsLUV+oW8aVsUCs4DXpp34bDfMh2G4 N/hwkGq6sqxYF+XPuh4+gXEalHho8OqndmJ6i6CYwnv4786JQukGDk0YFEsntH5vDngGeTVNK5BD jFAbcaNfg/rXhu0d2d404piTu0aqiY/9yTXaJhinppL58F+62NkYKVANuisq1N2lWgO0ECoDPzfw OcHNrFbsQyVHW3Fgn4bKiObNHn6zwWmYD2eMUClfWEmbJzgWFixIgA6/ovjZ3jQoClMttDPT7Ddj WFmUR0gV8+GoO+mNFRnTA514xyaS3+XsHt0YjqRBqLxXC0t+mdjw4btNbC+hSp/hiNY9wbGK9mKJ Av+X2N7tFMxDyayhBe24zWRv+h8ejaXxsGrmdXjC7bEUnZxgkw9H3ZUfIk6mxsfdbkIuG5rVmVJw qt4k04SprMQAFj4hbWPTJgb6qpUtLk67XJyzvhJCAFjfJvDZmEx14p/RDFrzCrZJhiuHXmosUBD4 avDXPxI9NBBRL5sPB1txua7j5w8QLOGOzTsoRoECG/CCWRfpOIsBMA33sHiZaM5R3dgzspkwcW8G u7pxf1ywajw77ybY9yQ+Kq8P7iqgvUL5SAd4YTDHr4iOKfZuOULLgYqlGzvnfIgejtDhP8cUiJd5 ecs/B4Zq1g/vmXkTTSe2bTJ55Ws1Ue52ExPbjI7T/HYLW22vRO2pOUvNAhzRSgvci3kN1aaMCUNH NbRiTrAN4AyvCvwmG8I4wVfmw1G+8GPZoo5quUMTVopRieraddG9GtZ5qmZTAp5bcYOfHLuqyTYs 1+U3B/2evGfDRQv5AnREGfd17v+D3NmL+pVPbmirVhgOA2eoK8cGdu8yXum18OEgX/Can859LJDq YxMaqyGvhPrctCNc7hPIpYo1dnurxZC/OXRWM8wvNHztZrWb0gbOEXCtU8aGw7Ehnwpb75fLRUVz fkOQgW+UtQqtYSl3hM8/h8QkiKkXTJvAWu1Hs4y/LfpSOBptaMJSMVLenEqTyFJWDWdwHW+Wj2Ec k8yiMLRXMzNLqahOd4eAGHqiEt3W0HTlUoOnHRpMwIvb2Cy2o3LorwZkyZonUrIrv+Bs+pV54gm8 Jx+O4OL/Uis+qapDF3PssIZe0pQBB1QdEhlL2PSRNkP3AkIyy4cmjImZX44tHOu+Fb+zDM45BPDy GRoUtVj1Qoft/Hir0TRQQ3OmeLI3jTIpsLpQhNZVO33e1pSqo/HhIPDz85snCVpEQPvY2IQACYDr mlxPETKOImqKKlECSKTllZvAaO0aUSSZ16R+s0wwLsZCvgDv30GjmdIQvhdSjH+q6MXfNOh60396 2NUqN3DL4LTpJev9IvaFLanBPubZ0x3amUOnNRZllrrebNdkldNrv74/4JW5AXWIBC46nHbymzUY zojMCkmhAUZQbSb4DJAyusat3f4uFaly7LYGMA0iDbsyoEHPSzSkNicfjmro34g46/wG+sjGJjRc A1w+1QvCqmtbLjW2zu8OhUbfiGOkhIqgKk7k5UtqzQUgANrwJwFZnTZwkO8iq6wBbHddqG0nDkNi yCYttD10dWRNlSzmCVb4cDRtPJfCVny2ZWyINjRRSAzHcsjaJ3Mu5O/6jwopUgjshZu1hiZUMlN9 WDpvvFlIjHL32rR2mXPX4mcZXq7+oXFhVztz2nsGsIBMdgr0qXjV6Z3myvJzXIMPR7UJGxi297hn plvvC33XBrU/BRin9stywVGA+lTSSt1LWoHxmkuBLyp96GbHd4M3BQKfc2SPXbZU4BA2Ef9K05su ocRmLxoBYBupTMOuyqPgRsOO3Yks+XCUY+Yv1pNiNm7SHTqvkU07BCUp/CfDY9WyqmMmSvaBiY0p FOzt7TfNmQnlSJOQpBK4qPsQxEENDdGeo9SSvWdwPm1a9NG/sF/VLhjsKL9Mkw8HO838iYYz5Tk9 9Q6916DtVRhgCDahsBjIOh6JhE2sGwwH5muXAZfEr02XXzsHDJwhRIQG+AJwglENyhJkx1Bb05q8 3d40mjKgHKOeqqs2YZhF8m+Dl24O/dd+mAewfKs/cM8cGrCh1Hr2LxwvHBvZU6NDBz4svcYWcM/K L2MHti3+zr9ths8enNPZwsEmQYF45sye3phGD4MTlPd4hQ0IPdgoJVNYt+FVO81Mjdyvc2RMPhwF w+vbqitsq/qsCV3YgMSC7Gflxos80/oKiYEDoAFJUArMmsCG7SSp0nxYMr5c1/jynNFo5FPa4Gw5 Z3C2+uOdnpfwfbbDCSGjXvS/VPCgEqurFfp6lvZJy3q9COH4oyaJpwkAtKGJzdgWwPZuDTRaN44g vPW009S579DEKr7aQbTDti9hvc+ZOrsKtYLXQ3H1xkmKGt/8MrPJpuJXRBLhFbtMmnbVVnaOPmb5 baTBhyNZph+CE8W34CdiYxPy4eA7k2sxs6SszBvKD8Ozy8lKlcYmjIW3/LNY4hzrSrNCyHcloI2R Qp2AlV3MxuyJjYKrhTfl8h6YsoGMRQ4Cfaqn5yEwNBVWsQFsE5iySQX4gqgRckH00EYmDIWRdxcC RWDhcYKaahYe7KSjzLfZc9VWE4v5qibRst8874a2WaXZYyly9YSHB44pgAfgSGZq+5OGUjmwZlOu d47pvOzKFbU62i/62wYfjmLh/RMLT7qLjbsPR7EwCZ+dssysZW3S4ABEGiIhY0cmgq3rY4LDuxkV YfrNZjx0SAn5HPVs+W2xtt4priZAyXRJL6gL6CuCJYVuPvy17Go10DpJnYXxGx8O6nx5egqVAL5v txkV+rPxT2oU1P1HjkHLEBMyyWHHfnp/NzBogy6HTu/U/eYZ1G5n/SCsgWIe6jU8vTHBaRLQ5mUN 0m8rhw5t5PY0HC+6KrCpbag9e0aLDwdZgnviMJjHf1runpoDjzY06tiDcirYpvApzqg1rYbeS3PB sxyatLm3lJATa11GZYOKLVXf4OgB6UDMGrI21lDpxLtRadmbBkuKjjgnZrYrv+AkwRL3AvyRD0dB n0MmuF0ipk/7HuChIASa0RCFIX/wRPhbqiqN7mqVzGQwFaoGJ4yHt+TgRFCY2btRFYhhAGUpqb5J 8aWMLTD/g5LQXgNFMUdfEZ3gwOIjidZVsU25jt7o8AZWbUkSBLfjQmbavkXQ0KyNMjVnRDY9t84/ JdX86oBOWEd0vOBK2TQ4MWpCiFlChXnTzMmzARvOdhTTeSYLJ15TJP5n0lJVgJJd7E2j/YbFc+46 7nh8zgDCFtDH3JMPB0f4rD8tXmaOzROp0LCNMLsz14dj8rdh8keenmFCwFWJVODYhkhA1t57+81i 4naO3r3Y401w/Cyid5P4ibT/6rY2wdcCxzZE/ERL7G1XzpsOJDq7mHUtPhwsqv1TBD2vAPShDUxY HkbpbrJKT8TEdlR+IyiMiIlC8FrXx0QFmyS4Y/abHVIASozM8jD2aPOFoUQOyodgh1xFnmVvGqkg IFtoDG6c29pgGiiRxNoKHw4OqZ6+kwb7f3eJxRzatoG7W8D7U46Z9jWyprerIBP0jkHYF/i23eBm qeGyvg0X8BRqJ9DmRCSrGg1mLcof56smONq294xQAQ0p86h2tS5dlRMv4iY+/BcltKozHwQ134YD 5zak+/iwSmOpzCpfcdD5kMVFb7RD5U4TW7f18UBMnLBvA480CxRFzodPdcgmlgBs6cqlK9sJFTi3 WbelDspEDLfLnJAs5G8+xubD/xcZZAQhZwb4yISav1h8jXrwxILCErQTmE9TeZb7YD2lgDg2b5Pi jhBpvFlkk84goOciBt2gLDE0aKZ6QRkUSUu+bTnFATEiG+DLddVyAt6LWJI2Kx8OZk37rUswl6se D4cGbpXVk23rKUMhxYD5qySXihheswkc3NzYblaFxfU26frZdhLKNdSKO/8Lx6YTUTIglP1l6A5q 0OfQxa3R4oIGILwKeJQmjQIBXq18OCiBXkcUQUkISbgHVBgSD+IwDB9b9IoCTIxu7GX2QDRxQi6d 2dsJudaWlyagVprovQkxmhNNUDx5YL5QvA+n8A37kr1pxFo4ywlYL7uq8y0rEQ+JQzu34u6Z1IwA yxwprw9OqI6GAnmGKEIRmmSbbEQBs7ZRZBBRXNXgRIgJGYauKgWj+lUwhfdHheIKfOJHI0IQhils fKFOcBFak36rOXB1Q9sMGbjy8LS99Q2koIB9a/PhYOa4ASDhdzjMgFHl2HwegU07qRcpZ+e/zzZv EmXZ0PkubrnaOyU2sageYc35ELN1QPu2+o0Dk892MM8eg1o1wNXNRm7JbZqZBRpKX8mrR1TDt0z0 0hlUGRzFlbSrmd2h/PF5xDR8t63qcPtjPSuUQBv+8/kZgjFZrJyAYSSVkYqdnGsJVcuGg3sREMSN JhgTWYDAa8Fv1rk8EwcAXyLWzrlapClNXS75ZW0fE8NVP8fkRHrn5AGhpNtVGFB4z0gLAtXP55hc mkJjJwGoB9BtOSSPWIZP8K+Zg4LIjWJgYv5XyCVxVcES1GwLHpGMpkmnFjfmuN00TQo2rsauQQXQ celAR7WVpgjpsn5IiPg8Ahm+JNQyNw+gbccQApk9h3W5U/s8whgNpgrlQovCfQTINo7II4bRwsFO 1Mp21kZNwxrcoxiMejKepuX3c0Sy9BhgIVz9ZjtKOWHvJHkb1c6Shc6nEg3yq7q+QpSUXHxEMPwC sNCgUp5wxbRmmDYBJiC3cPX8eQQw+gtH+q4cLGpMAo7JI3rRE4tI6+Kd7VlV8oRolCi68P1zO9Fo 4bAOtSWjx5vGBGW7xlxtAES9tiQ26PKDJLIBLmWEBByTn0fkos2kUDieOaSbrJ9jPhcW4k+ytD6P uMUeXb5wMq0tMigjHJNH1MJHQMaAn5oQaeffWC7Ik4ikSYx1rR7ziFk4JnOLCE6Iv5xJNSaUn0f7 EzCIE1VNGTEhOZ9nz2zNj2UpMn0eEYu2PAiQb2RUutrSyYJMnnR0fx7xin50Wf7B/5PnN0qVZ75x UB7RijZleuZoABBBzKr2Sd0YLhzNvei4htJ6NCiNsqxZjJS8ffGcCVgcN9PXOYWbrHUwNlDPG/vb YKp8yWDtsHaOKqOuClRglco/68yYzyNM0U6kMEXbCaVeoCHDIXnEKNphVRowQUVsf8lZ/9VpGUSI UsE13GFZpqB2tm42JGfOJOBkWOttJsqzkwSq/vxEtkPv+D5ywJ2DpFqyq6qZcD6UEe/Kn0ds8n2z P9YEPN8FPBjH41Gq0xk12bGcEoHL3Pu4l7TiKJnRt5nwPgp1Wq/aX/nv6WbrBhT2gtUxztuPaURT NvMl6dFvGRO0EXzDe0iW3JBI4e7eksFmohTvnPOfR5Xuu9FxkmiNbZFcOSqPGp3t5whNZE3MmsIw sykkFaopzJRsljwqdPpiQjv2ZuTBm87h8/5w+Dp76eiI6rXdTJScMvqrBFUZU5DZ4aM+p7eElx3Q AXblPNkJzuE8iAceDXaTbjss/UPxAnCKVbgWxbAophfC/WUgOpkFIV5rXETIfeRUgPA+CmKVM2/x J3e+jpANZlAJEKETxJ40UZjfDrMh9JrMzcIMhETYjpYP45NE3VaHsp11hD4n50rjs8H6mbZ+yGYC gMkDlBeZySa/CBfCy5+cimF8gzjL2ZEQxkLxy/v4cRzL3ZM9M928MnfCqn2CNRw9Cd0RwhcJZkRL e+UfO4up9wxmCwRbzwme7Koqy/KuI3S+XlwmbbTbpouQiDBeKdgzNDJRNEucykAzRc1qdhywt5BC LKvMWZPDYoKRIaVNLSe7XfDHGR4BHM4WO0WRm8Ti8AItB3NWEs8rCmgxn87yBASrqZpGx1lalPBU BmwzClXUc8SG9ofaekD+bRuYKKjF2i+QJ9L2gqWk7aUlg1ShStK9Ux3sul0by9TAzOIQs5FAygT7 HCI8Z76zBLOIO0LFm1U5Q99R7OtFZLpbJ/Bq265WfCITHbnPQgMgDGuLn80QvK7E1Nq4vANbLIKz 1YEmIjoKOXcsWLbeVJYDRMEbju/INou9DhpO8ZudRme2nwmR6H54DlHQOHB8n7WAYxMlbjNtY1z7 YjDZ/gKN0kJJzjJullyGfu/JQm4wKuKJ0P4g/ZEnlp9FL/7S9+8ZPct9C9J5Kt5C70NeKEDtN+um vWNbB5edCd79ZrMFZqod5D/IN6EJxt4A/hjaQfYvsWvoLd+jshm0dOB7eRUWpleCBM9m2OrnRV1S 8O6JsnqTC6xiH5V3bKtaBRznnGeRljenV7fAJTXsUxyVKLgV8HmzUqWbtRgXWmeTjNEGzoUqdayl U9RgefVg0v7kxVvSn4a9pW6K/W6Pbneppcm4Ie/Pi7ZkUUjxzNAk0FBG1bBE8S1FW1MjGEjBfzUq vzYZSKBRBobDEgW4UhHc0uLf45pZw/wcjViCGWbqtJsEehUVhzMQnRhNyR+LdfyOcdEeTFQQ7HYV 0APUXdEe+/y8KEv2o/2E/YWmrl5YeTGWND0l/EJhbIwLOwVEkpGEhOCFaZ1QHlGgKzWQvbXl7rvl Qtm/UdB6IFWcaroukrfhVwDDMndXEjjoHegqMFsbhrW6KhtKm16EKHHmz4uvpL9RJW0tI+D99j2J okC3I19YhZYVg6KTtrdAbZXD0lY7gW7ndAkjXZSpkRtOv2lYNlYMkYdQcQKwkVjFip0ZwG/8L94j ojTci6tkw4J9BcGOrkqcJzVfCH1ZnxdVSctIDDdgLLG94O8Cxk+FuCjY5UDUNrYRK3qyPHEgvmOe 2PlROKJfTCUbGEsBut+sYjthPoY86IzzPmdaN209tF5QXh7lsvf30nsG2y7EiTp3GV6totAl/E/c 6ouoZMe7zRfuJpuWtTYsUbBLkMMqTJ/pUeDNIcJ22BySVgGH5R3sYtZJeq9Vv9kJnQakRFHhR4k1 uxpwZr1rQ07iiu/g4P28SEo6HeTGlbtddUafz5sC9rb5eXGUtGX7MqLmPBw/ui2jF0VJ07PQooCV WfzJVEVg5I++NAEvyEB0RL8YSlb2Kjqbk98sB8gncm5Iss+wnD2FSwf6BpsY0z9IyZxGS2mZF0HJ QheQ9pk3bAfEomjVmSbB/+zz4ifZkPbvsEDbrdhZ9CInWZg7b/+Z1TlTtG1pU9wBey7M/7jnvrhJ lkWo8DS336ycjRNnYvc+ZxE0DeTVRXeIBIJSv4KcjZvLi5pkeTS2ykXdyeW6kwB3mQjVXJ8XM+nf iTRHBeu8epj7YibZPs2GYxIWPncmqTijU3YPt90dFf8iJtkZzfiWRh+6GVuAmnZQ/RlQGBlUOyxQ 5f+DjsvXn4A8vxcr6dsLaoznmsdzvZ1AWX/WbuXzIiXpmFzDqwsIXLDhJqsuvChJmizUBGnL4rmZ WrZksY5iHAqsZCaLL0bS74bb6r15pX9Ae6hwCaUzFqSGTh58EMugz5/b8C69ZxC4UBMErThdFdDB 5kMmdat9Xnwkm8o/OVGmcYNVcF90pFv2LXkMBzUnM8tpTdhDVKLm8HPoHeYiVdQ5lKvfbGfZKPOd hAdmmbWmop0FVRjAFYiH9/B/2HsGBToCftBR1lXDAg9FxXNpf15spNscvXHLZF9iWrn/xUWycQEc kTktZOBKJZ9k05bHsC27DqMSv6hIFoVy8YzZ/Ka9ZZ2BASQK0wXGGFM7MwA/tPbdP1nR1mtGw0Jq PjPG5Ri9tSsL0ChZ78+LiGSFpZ8wV3CK4mFLVM5FCPCV4AfzRtW687dQMBsd5958WN5hLoSbOSww 4LCbVS7Rj03IEAfk2vfc1nzNf5B0la8lzGAK/SIiWXEAO+4gQWs4QQt9LKV7A8PyjnKtI6haFAt7 RVUNjUsU51IrbDQX+wWsS7vLiceT8SaIAeEyese5Wf4nlQAju1k4d/ZthObIFkFO40GOLvag+nFG pmibLoHMLxaSTRdUohrBhZ6Mnvm8k1uMjc+LhPStk93o/+SoAL2ptxoFuY162cNbICk7DbSUkQ06 x38Hs+XFQPqWLs/e0arfNCotYZbADmWAFjhpwVWIq6IO/Vfqt9hbBv3miSQR6ABdk1YOndOoU9A+ L/rR95DEKEi0nyUxG5QQlpAFS+jGy6K+IHlZ2lmgaNvdze5FPrLi/+CWsrvfbFDwLyeatmGCNEBC UPcGjodwFUya66n0Yh59xySRXZOcXdPO1ikObu2lf17EIy2gZAvIZX67x7cv2pEmSi+GVCYuAVJA 8iZAz4vwDXSnTJbqxTrS7G7r1TbLmBwTMsHAc58ZkwXmwCqCs2+5RvDgnfMtg1wIMh+d84tXlSux 37E2e8b082Ic/XuzJVYD07NZLvTiG2k3wP7Z1DArlJa0dtEaVMpG2ZM7KSfKO7y9YVwr96ZBOekf JDU6JsoGaY04uUX+Hktk31ILw7PPi270rVcyIdx0l+If1Ml7w5ed9Kp8XnQj/Wb75xDiZEk+LmF4 26h81OVEkHc15bs62dIFgzq17OMSVXGTyMZuLF99r60FYJ4TzhEFdqI1ybivs+2ACvkV4Wdp+0U0 skFBUTsReZq2F3HHnDqYC+ZKHN7un532TGr8FxqTd3Sr8Ojsr9R1w0FZmUFD+WQ0E1oCSNp22qiG y5rnAwB2wgiog5AgDCUqhfwL/KVMpdBx+fadtPIXxUhz+vxba6Pdo6sSxG6uZNDs/LwYRvrdvYor cA97io7uicLbTvnPRaAgmCLy9qOHXRMtAmx7U/N9MYy+hYxCNUe7eU8REr6LBlyrQYhAnD7gQGh6 WS8xjVJknxfByNI8FFioz9WzZ0Pw99iSq0318+IX2W9fv9OlCpRn4e2LXmTZEBEGpToRYllBd3Cy EqbQqoX9L3bRty530iCKpm9tgxPVbaQAdAgZMPwkU4e+xJhXhdJOlwnRydh7sYtucW1T4EFXq+NW VRfKOTM/L3KRRYHzu+dOckyT9RRf1KKfk6iYs1QecuAFXgEZr+EVuhWgXswia4ZwIclokTcrWELS KyHMhmDZaMYgSZMZKaikV8R3MZJ7EYtsf8kEUW672v4yzOC7NL5dsJD2b00hEaruoVwU4IIDWAqh 6pTFBvuR+VCRexCU3JDnaYOJCrmkWgEzWPxmC+nMRMjkSMF3rJUN6k8nJJzb6y6kYu8Z9EMA4Ugk B/MqOOU4h53BmvLnRSmyt/spzBH7A0EjQeWiELeLHbJUbyqU/KQOdoEyDIwhpaxARewXoei3Oz9S 9pt60GfnOnsIMvGz18Ink+OCGAamP+dd+/eQJiT5RSjSgmcNip7NDc045nzVN2FYQH1efKJ/94my xS7IyzQsIWiBYCkyFliCQuQPqAKwaMmkYApV1Ds/5T8COuhnZ79ZCaq0BYI4AMkJ4MIpOhHyQUrJ 5noRLuD1fF5som/s0qjA2lyBtXe3m6pn0D8vMpEl979xLvymkm27Ly6RZifTRP6+tIPnakVlDnkM gxjCVLSKXlSibxKutNhu3lQ8QTI0WjAsZ+ZsEV4haglHtD84/LyskLPeM8JyYF/JNA/NTluEAq7K 232sz4tKZEWo3+gFdPZpVYUXkUgbEqqEeVkihB1lGn+cMjfEK1y5oBeP6F8QF9WievPNZSV6QrGQ u0fpxTxhJidm+wNvXNtcSGT4vIhE2gSJVBhELYztRahmHotnaMvnxSO6z35PaaQoIPJqXKJIF3xd oBREAobDrxGs2hjCMAzARW1cwkKu8ApUN9HNDqO6zmg0NlvZJlGBGzwQSuNAlNaSRWpvvThEzWGS QLNMu1oncRdKbUPl4vOiEGm27PrdW6ieUB11+mIQWYWG3PYl5UxkbcMY9cCBdDKIULfRsITBropQ /3tG53ym35mAFNrvUF+luBRUPf5QANGTxc0E4EUe+g5KR6FRVx1EJ0MytXTAk8NIt7bvzoJo4cLY X8QhPUJWVZpyGsA/meS1SR9m+lsZgfPFG7JAt6oiV/xmhTnYnp/JgmRxIjsiynWDKLgA6pq3+5zt LSPAzyKhddpVg4KuHHfMXfhscArV+YXOVRyEJ4KyUYnCXMJh9jIjO2g6S6Pt5EJdaNxOo3iNShzm qsiyqt9spvR6hpQyohUxYzP+zPnXTwbKVHF8tWdfZCHLa3AGLdDoedWgnMxfkMBzHH1eXKHvH+h4 H6YfGCYNShTiQqoS6YmJJqVekg3K6oItn7W7LcRtISZXBQWYyNvNdhV4Ge7B/Lm3KZ31QkWyDHD3 Fb8hRg+fH5zMCa3ECRc7XrVtnrTfnLlXxbNBIDfatypHlVcP48LwFiHGTqZWkhfL4SxAtW7GJptO DdxSovot/afO0SNEYb8tMxhYgleO8mDrUq4DoRPVPfSMoOV8bcmq3jMA+IPu0AHT0ZVz5byi+Pl1 6+0iFNT6LiAUSyFgJNLDf0W3ucqWrDB3U0/o5LhcSSDFsRyD9dPf0S1qX6zzsy6om3VY26znr8gY lgXMhNJs2gkC/VT2d1+hMmYPiWXcbDdRCg6EBhSvqd5fesWzEfbUwrj/n7EvS7Ib2ZX8z7WklcU8 9P4X1nB3gOfULUJ61tZk2X2ikooMIjD40JXxwY/VlyXLbtl1PXO798JhIUMa1SwebM8O74WZoRQW iSdwWogblwU6vvZ/mkQqb+Ai3WsVVTbaiJDtDFQLv6GZdXHpvNDxoK5cFtD8ZVhuuSaezTgy+7Ms ZLTFuTzT7BZDTUu2rgO461xeI4rxQN/f4zJAM+vipkhlEOXH4RDEPqVJ95K26MWERtBYD3iDGxY/ IWu2gCWDWltXfUSFvD3akl08mzDMvlEKlVUx3PO0MCnJbFKNWONCjAmGe0rBFMBKwwo5zOFdqJnl t4oadDzxm39G+7DNh6YCM1wViYD6c2FwfIVkM3OWmeW3DRgdqtzpqga3HXA054YnNZ7NqHf7a5pI IqGvSkYzIzUMc5bfI+pddXHiIy1DZFroyw6uSg5TEJRQo8LPIAROL/YOFe4uHBNzNg0HKEwahiby 3sptRe+ZDc0QbUcjBArBisUQfDqGiuiGZ7OT6Cu9ZcEIcI3WJU1v0bGn+6sjFZY71w049wqpUK4r hM6Ua0Yd4tsdCjXDoqNCWOtAC2lB4HhTJq5N9ixB/MP7hccWrRZmhsjdbD5Bmk9XzYjs1Js6q/Fb yzLctb9aUOBBPIDcmbZyQReHjIUbs+mwHpibwelKSIXuCMuZA3K5XeRAcR8HilrRMm9oRq4J22qy ZdpcsBdahDmeZ/ZMvd2ZtXLZaWmwf9KVLQX7r81fATBFePYvaH9GEqTJN5blPcWVRXqj/2L/B60o JqFkzZDrydoZaLXBoJuluFcAZRGobo1pSJnwioGwDXdLYwMA8mOYEg1CXh9+1Sp6zewoQtHcOBVp oaVQtzhQOJQOns1K5ye0MOH4JQxNy5LhcXHOALTd2F+xsnSJpWmxzIHcFnmAH2NwyYAKDC4uC4kb m0KassKZFSq79jHBjFfcRPw5yFYCxhh9OcQZ/IQsc8FEnr4T4+M7YW+mTqwtD57NEHPr01LAQISG 51yWLM/lNGvVGw2osiTHDF09acoOSFl6bMnauKcJ5C+Y8nhgylYN9X47jdg2jMFknkk660BWtfZn WabeMykUjyxujl9FmeF0jtVzGXg22y7RxmVwIX/qBiB3ZamuFBSksftvZ6Tl9dFcJUbQK2vkUhsT ppc7bj6CthzdtrvkFIE1XSLVUIF9Q2flaViSG7+yTJcd3I6CSFfNQyymq0NtOxPPJpnu92FUyXiM VckyXdSxsG0hhxf/xc7c4i5BTIE0/+kuGLCyPq7znpe+kjViHDJPK8XOeJzR5Y5d1PbnzB+zqdkf zctJ992Vks8qkQr4jEp8RkBt96oW1Fh4NunjfmcuFEemui7XJQfkUlptK4/DL1QcaICBH8BCcVLe yhq51cEZl525QeUjUALtxSG/DPh2t00yOiVmweqwG5GEz/QMAhT4AQmYkCTFQ+bZCeYZyPtUQbKz tOLZDNY+Pq0FYK6foLtSxALqX3jpuZiCLLRAsFw+PEPm7lPFlea5dLYrUNHnjVkWvqFrv5w12Fmw XHoGtGVjmIAj86Fv2p/HX5+1/Pd/Rq0DXT8NkdceeDYLLfPTmMN6UMJXi5KluWSEn92cAX3CQqHv 6UrnE3QgX5Qszd2C4f6XAmHl4aHK1rL4aIfAEWMGRxrgwkx1A3ja9Z7JJ7RJaCUMCj0t12S5ncq/ BETj4b9wIBgCMF+ing8XJs1zOd1TV4XNuVm8KsI31NGH6oNmsliYLM/l9LtBXC5ufhYBf1KhJrZg qHTPlhYfRmyAvuG8DKlhFO34CclHdIFZWBRVWHEWoR7gR2TbZuPZDMoxvqvo/slzV4rIRbC8VLQg ZGGRSYTfIA8/oNoBGVeeuzJErgwBy97PzYchVixetP5Jx7PgKgwm2sXI7UBaOF+ujitVVYAi6uJp tGpoqkEDmBpi/WBR0iz3CbjM3iAa/6xK1skdzMTUtSVI0nHKlgp0mZP0AXYsE5eVpblUcvkqocnC ppAAaH2Haj4wTbjuFYoqBTO72R4wIc7wip+QdbgbzEMAhlqBEcOwoCsls6CGZ/8PWH8s8KOusLI0 dwGxs7tnLSDPa7raBxsnyOfWCDzuytNcLktXPteffM6OpHLKJO6nwTu3+DcEyaLplHkNQwgnXGmW O6nVgnSOVzUWzu5FeoOn49mkhO5fY1bILc84hv7UzG0kwpGLxxS80hjrzEBYVn5BCCxZM7e0E5vk 2SsMg3aUTqCGIK+AJqNUZJEpVQDYzvpizDe9Z9KHwpS1sGtZoms5wEySa6w9jmezxsLXOJFqLTXW Zafd3EUZLFSfdJyjoC2mZb1RBqs6hmMgsuxUJayzjUtTNd0cJFax0zoprXbGTgdvwx14E200P+25 rdfMSkVJEl6/Kt5aSkRgIcQJ8WyyLOMbZmm/Yww7tCpZiguoHPRYp2s1QpCI+nKX8nkopZmhUS93 p81cxVuKS+vmq2Jl+ByVlaIdGlfy3JuzbsSb/jENWyhW8ROySpGqLTBV41UlUa1S6gYpAs9mSKgR hJmAzjVvz+1UXWHQfNOtRsALcyuArtkipqxg1jLF3SnnTKNE0sJ1C0yu/S1lirppFdF0dhVedICd 8WiUTBKgd9rMXfSNKMOvQnBgMscsdW4+my2Lp3PtGco/0+edJbmVDZfpkv+tlRviCiKGw7cRAVnr kiW5XhLd/dycSGR5Sh+Lft127/4VwYELHqzjYxKrjyiFKkwa95Be1QNQWJYL3YO49bOzVu6jtSep FpJXjvOrdpbmwuC7gXeuoNsOx0IILsBXMLhcIB4ozrizNFf+cmQj+82X5QIrhwTflgSmRkuC5vhz iBdzP0eRoC075Z1Bbrr258qFAdKXKAwI5v7sLMsdT2dBKoSQjov8f2dpLvA88IrospdbrAf0Hc1C jW4GBl+YDK3AvjWmRDVuD+YHmrZUVrawsKnLRlo0gBFQqx3PwuCzxU9Iwu4UFe/4VR06i1fkhlgi vvBsktGt78xlgKUb4SUDLCyK4NGOWWG3OQL1Ui+R+got9BV21s6V+co9DtC90eVG8Uz+JvotjC9y sdEIH/P5j/IeR4s7S3TJ9J2dosM9mBBgBFGorJc18GxWFUV84WmEqqh4RrdT4hlOkKvpPAUWeuDl 4EvI8NIIRKaAWKogpuRlK7zsJ7xMeGnbuUZ0uxUnMlo+6EHBsZCaav2jR7izdi5URSywUJ+8+ySq 22ckNY66Lt8tS//PF2cGfJnl4/mdQRYglNEK2PLI48D5vcH0xQYg0xetENZEO0tzKdP4XypEgeWT nT8ogSwUI3G5irnYVPTLnc+oiG2oneW5SJDPBVpHV1+WItVpEPLx7F/wLfyGkDGhIte6pLQztNxk 6/APmabNa8UNp3VCxQ6I7eSF71xeQXS80eLmMMuDpsskQMxWvE3vcnNdcEJ9rGCVumSJrsbz1Gyf j2Z7pcSfWi4XzyYZ3fxqWkIz7oR85cnyXJpZ9TYFcAGUoHmtCOcraSsgUWRoORlqYRHMf5fgX6tH nmspAngEEN+2BNpeXoI2hWYm7DrPh41HNdyTohbw+UwYlOiqjK61wZYJmKZ4NiOePWQIIlfA1wkp vrSZiyB46uourrBCXGEu16EYh00ZhJaTZro6on0affozn6+gfrYQO+2UlLdiETMAoKLBdo0JGn3k TtrMpZ0yUx5eHds+5bFj4abj2eQral8kEeCNQHnUsqSiuJOd/+JU30L0HYJLvZ7DWAqKzjMO6JPC FlRDH/WhjnwakOhaibgwlidL0eolpjab9Rt6md+ZCyY9+AlZHwqcvIuWLq8MLlauFAEyWue/8S+t BaZvhDxWDy4n7ebKxHPIKNj+BL5EcmcarcrhsjIwbOBnlKJyFUx3e24ObbdfI1pH1GlHBlTcq7vp 3eqH7Cuu4kkbumi5DIjH66rtQuw3y+h68WwWXb4Eg2GtGgSRk+W5nKDxsBn/oJWI9elELVAUHLM0 CKL7qqTsM2k3/q8Aku0WyKtBSn/Z9rtkudGSkBrkG47BT3ChduPJUAsoSG09MCji1ZsL57CihpQv nk0+ol0/wYUyArFXsiSXaKE1ArOwBlvbwCycov8NIg+uOHdydQXGFDg9+y2KonIKOcOgy9ctiPcC XLHhJ8MTPVCF+BjwEzIsB6pFihS2R6TwWiZEAeFusQ3PZgiX72wO7e3lRdFJUbnU+dzey7XIvvVf 6Li4avDGKEknUZrkOtp2lLh5LxfOrJME6A5lwSqhT6qEUOruA3Hh6Bo/IVkWuKjZ/wHLUijDhNx/ OOVi2omPZzOVn292yIWdbuyWlH1WCl3iiiuzHwq3bIgitqmpIsSyVRKdFJY7leOKHbKjJKpoWGIw silnA86V/OQ4hWY0+tjFscl9siyXeUuntVUPayv7ukl8RDIyL55NIm40uREYSXpDPNK6pMhcxMDJ Zv0/BBFQCurCbXdvV1fAFEfbJe/mMumXdppHQUFcKgpEtqIsBx+HxQFI3bCGtH/pY6iySeI8GTR3 MeCC3KgrAzqIYISJdfv5eDaZQZ+v0IKOVsChTgpZAOEDJW5IK3jn37Kw4ZJQGJJ6aMly3CV3uCMH 8vPY5+2yIPsCSWXb7jiqKRsMNnRDzY3usx9DiB18zyxrKfTNu351cgiUPthFaQvPvq/K+VbyZx/q Bg36Zlnu4vFMTiQLRdlRYjTPDogAC8XX5Wbd3KVYO1uNm/cVbFGHRQ3252CCSy2tjQBm74bc5Ulb gAbhe2Y1EUIuFI51VYNuOxOaurA3dX3oz7rg9W2BtxMhbso8K+xw0rcUwRdBkF/OKBweHdjeBsXq /sX2YUipPbRJF5ABINFRcwK2i2SEgEEMKBTH8o8GN41I8ROSdsuQB+X2ayS5Q5bZ9248m4Xc84kt FSlCDSTHTcG5iBenTBWIaLjKDriTAcS8bosdje2SZ7nqV2qOdu/DsVp7dPJB7PdpIaWJyYiZOkpm KsK2jyLszXJcNqFgz+1XQXOru0JZ4nrxbIZY/ujwVVhQnuvJ3M1SXBqVjj59Nj/mdvjPPqHWDnCt gNw3BSxIwZ6cR918swB1eslfHQ1SYhSPOrJpQtrSP+cz+wo3S3Exk7CPk/j2FpOigrjH6GBlLZ79 C+w/enPreAF9U2QukvBzIuRe6bYASLAeA4hJt9fJv+UPvLPGqbXfHIFaoXkC/V70oKwKkL0V1Kyh XS7hFhlAIEPDD0gSf5wfHXJDuvqqVH1CzQpoPPsXjQUJ2lIsPlQWbqohxlHBcPpm2HMuNzxjyB1t xDeUIhamGGcSWwgwJBIVizRAceAbgio0jyLAon5pIfKRnqDc9M0auUBe/AevAO5Tl3dgOXg20265 nxxXLn3PR5Qq5WKSV4qrtUNxXu0oFOpCRc02o2N5UwkxAldQFMbNc39b0E35BEtyAem6cnuV71Ch ABKiA9dl6T3/oGljyeDxq/DKlgIwmwM9Ds/+TeOTZcJHkeOmOa4wo8P7271Ml1hzq0kCFq5joW4K WFjqsqwRt0C332IbBOYYg7phjMubppiQGZPAAnZLI+EaPyFJ5kCVnxge6+rIudKK+tt94dlMKHd+ kjk0u29QfW/aycWe0tyfRpPF3X/tOBzH9RXo0snQkqa4OzmGAGQviwDUhci7RGlFCCzt/o4HxkFV evyAP/UUAOvVVd8QtLH1YRw++1cmhE7n+2yWVCYXAEs3FB/ELiicYL6r+audTN3lw26GWKCJJ7h4 O24BhSoVBnLE/AC94U1/9HHLaLKXUcQFdpbvmakrgOOLE1FXNXLh58mk5cyDZ7OOZUTc0IXaIatW SwpZgAIrNbArLd8IYWDKD6DRQA+KYlHy8CqpvoJAHEW45VCGggH9hDf0lfFOQ+L/G4x5yBL38ZxF lyc0fkRuvYPEfxOYuwQ+nhiOcMIO9Wc+nbnvPPGFtum2ljckp0uW6mL9AKCH5THR7q56amn2ceYV qVC+OGmuqwJ6eJehx7Y5BUYim3U0JvflfupFdl0wtFQvCseG3jRnXKFWXH5V6D1zMnwCosSHkyJg PtkuTeLATN+xNu/pLtTqJGV/Hb1wvToCPt0bUiB6uQVCSRG6y4nOPW7PHA16/J3x1xawUhFpF06L sDPX06c7vfqbZqICaDLg0NRVAXhxto1CYOj9kjTmW7IEir4nIrDUm96fwaR+VTc6Y5krVT5+/QQw PF0GakEl8xF+TFNdqfl0pRAwYRNNUPeYVA9jYxdKx1jH8fjAaQ6AH5GxAAbNvbZfFYWXh7ZmwYsP J63d6EvhjCKUpwYWqJYs84W1TWtQ/yLJqJF0SZLRIK4bsp/7kXEvWXvX0UC9j7j5jAQcGhp/oWMH 7lvh2qA2A80A87sQoB7H3zSZBqCTaZ9l96sAHg1EdG4gCLmXFMhQv5pTtTHKzbBbKWmT97C1W7Qk kgElkqHdogUboxHJMPXXZMFYPMZe4+Zj2A6+H9S6F0kkhcy+Nag8RGmVp1zass8uKZYB5AYg8P3q 8Ubm0nCbHnz4fXF6mZ+NQ3VGdE99bdJO72L9uAL6fofUl7tLsRHOcJ54k2XBe2p8tG/c/AjHmL3h LwBcCtKoR+0Y/DX4mNqHQLK2v2mW2oAUDK1FXbk2VsJeCQbsq/f7C29PCSuRi7E0qQhDY31QFVvq vSFHfcUKgGVEedxoSu4ZwXjD7EY37+Ch1bIwlcRwYED8FkvTcKoRDojuEpO+xmYZf0TGf6WoFvQY OsXKeDjBns+lYAcfzsBkX6MkEP+QmcbapOkwqS4EW3DGRpKgbAXJLt/QxA7fVvw1CdpbGtyr7Lh5 LLaa0spwdjcLJvfSG6uiJwHH+bAD3KW05JK60GPopE73GSLmh1RAtPHG5sPJJxWwTKJFoYlyeixN iuJFfXzgTCVswxneDLdkQypkUKlYcUyl4IbQED5xUwVl3zS1gNBvQDpDjWa7cX57nHfkjV8oAfNH JN0Z0OxBCfSrog0SbpZQi5lf6h7xiGvxoFXDMdKb1CuNGWYfrlwOGyKVUXDCfiAOBINM/TXJORXi 7ituD/ID0Qo6dahSr4t4kIgJw1e4XwY8Uz4siVua02EnRYV4VXpzKdZP8P/kw1kpVb7oE9gz7Vma LCumjNHhJ8cKU5EY4gzXNSvGATDblybLiu+VMOgacdO+sZqyWSi59C8F37xuzf1RXaH+eqwSZAqW +6Whlurwn9PVQTFzil61V+fDmRZmoGJUjxJI9TjJpUkxBBpqC2wZbUo0Mnh8weZdcUiljmk+jzz7 uXm/E4NZDPUZbSyCdTfaRu6Frh4OcOdoKfNLLdNILCm0vS1y8iYgxrU3J7oSNfFMwyf/haQCUu08 9j2JZRp0aPG/D05TCHYYCr+DNSH+tznmHrE0aVLscjjSOaw1PigGEY5jIORnee1QK5gVXHG/NAf2 rupvmn1QlCMY16/8oCxMbgoKdFt4Ppx9UPVr30BCq0JN3xcn1yFbJE0J6VCpYeWF5riOeVjd9dlq YpwGfUWG4qOz8czH6XXbkURMO/zFd5Xx3Ca+FkEMB+Ajh1/9TZNB9qYWMQIyrzqlLlXCaUUy+XA2 m/yeZEO0OsC9NTFPK+RURHWpflbx5l6pEiOG4E+PaJMjH/gtybGNN31S3ZKl44r4UCDVH9iMX5iz D8yfA7FZ/E0zxhbjTYurAx7mUbfc6jQ+/BdnieJLM546M3VQ2+zdnK1ju4Fj8Rt+nsslQwFv912T ox9ETiorbsGsGPZRAfy9ZkNVT6WLTXQn6vCzH6k2CXDV1ESNYPnGqVN7pk62P6UmAv0jPpyF4h3c CvsHCGf8bJssJwZmy4qa6dzHViTNBgQEfqOylyBEkTs285dg09zijJNDH8GpgoQYq4Vw0wetSQGX J9INPjU3BDIb/dv5I5JtQ+EGAFt19Rr88reJv0QP/0XPgsI6OMwfjcyaWKkBOYEtRnPMf4iHuNI6 7NCLChREbbFvchiEpnJuY3MetK8VDODJCwK9+1J/4iAiA462Hyu1LUfC1EsN5Yutw+x+FcQKXqE6 wU/lw5nNxL/M9xAZ23NKpXhfdL+gIawqc7Jmo1XjGuqocxoQp1SaE0tX9aq5ddfT8bP6aV9ogaA9 Ybul9odMwEn3+CB+5ZGbGqpBa+D2TgBN3zHIhYKtdC1648NJudDuJxCjG2ovEhV46qjG1nBZtUYp VZuXUvgoeYLP22PbpJZqZ7kVy41bAEWgdIGJB1rFnSALIvMoZ43xx7NtSvMXzQpwVJmNlo2cBf+S sTR7kYgftk3iqYYS9cvKEqPg2oNqUVNXNQxKbQkBGHFCZJeKQwfSEM3jaamIq/HW1FZtab/sVuPm S4Oj6IDVZ9umnSHprn0GFUbsh+KHaG04NOCPyGnF5+KU15VdrWPZKRMdCArw4Ywp+jWkQw4E83hf mgwWAcmUujHBp5bo1jIQxXTZOaftRYulSVNizmoP6da6+azb/sEwKqlUzreCk+7UE8PvBrQE1Ky1 NI0SSvwRf6BFWuZ7/aqlsT0p8lpfgw9nKfGDXay/dFvezyGV+Ku548to1UGdsuUl1FXqf0BHLB7l iDaJwdqDAP6Pej44tVC0RiVlVYddOvt92Bxg8syvHjoFaGvqsAbvOsvBOF/YXerW4/SrgtPimN4v I1480aZSuQe9PF+aLCMmRtxJ+kBItOuiDovORERIgKHn1tMpDLiLLqr6uz71N/w4boWP27L/GWKg wlCAt4NZ2BjPdJdiVDW3WYORWMeJpKuqhWnBkRnt2JsPJx/U8Q8KuRmPb+iG+dJkXeIBeTkyZgnA KnK5RyVV3CJqQpA94nCu6yAggJvcr4eUYiETEYeeC7CdYs9LlRTwx20/XhQRiNOM2MFX16+OH1nS BLTUVQ//ha7DMcP14YavTZYSQ2K8lUKzabzTZLGNA7UPeTtarjmjkErs1lB0cLzARrpujgrAuAXe NGBJTmjed81m7Ou4oDGEbMwlwLOmbmsCBYDSoKtOb/vPKWb6WXw4S4jrVxhmOv6xcs8SYrJtevVe ee1yL4TSaPNODhpR0bVJDNdQR/FsuhIFvCfiMKYIfYjKVBGVz/wkfSiZeizNqvGiydKgjJpIt3RV GWVp8NYIvHQ+nJze+9ueG3yzJ61JMcFUeBjVO30biasAE631AEzsEguTO1J4yrfi5lWUlU0LeBJ+ TlaWNG4Z1N4L5oHlg1Ar/p7J8QRieiMouAUoeODk02zf9gAfTixM+tfxhMbOCb2umlquoZnSoCDn mAn6vDGpac3NxXZ9JryJ5xq+JXWxxE85LfI9iJnYJ01JkAW5aLLeDgicFK0DLbpvn/BOf9NkyxzK UsF1jVfF4LtVk9BDt6a2a5+ODf4f+rD1icGp8dpmznzJAaS0/jruMbZdhHQeiuCwvMyd1/zI7s/N v6YF4ijY0OhmWaEt+Nykfggoa48W0xIwIPVeQ8F1R6cLQ/ce64DrOlEItn02H85y4S/4UVNvLVYm S4UppjvlvoYyU9M5QOzLccfu2kOiqib2a0B7SBjwPDc/uLeF8nopCY4Uf7nyGcYm+Jnnkb+GTIhe NJl9owMKRrdftWlsv6s9PKpeL0M3ni+4GsImKgpfmhQhjIqUsURA+1tC8IFVECLNIBZDS5NDhDWg kw1Dfdik8Eqy2riwcd4vMWAVOl4Au+DoLE93mIcxf0SmDX7A3wHgjFdf+yN01rANyoczubevWAO8 8UcLo+ZebCBD1HGud875yf9DDMw5jpngeE5rk6XCxAWAN3LjJhzSsShvVVJnv+YMQlHh8wJmCucE jw7elr17YsYGCXKUlZtZ33b7WIJJxa8YQNokZmz4oL6RaxQrjHFL4saGFs8C95AtTrIQp4eaQVg0 dR/Oik5WYsf2THevpim37mfXVMi/FxZQo8G9+zeMdaludz5kHjpJ1cSSzZVCagWyj1d+UZBPCMDD 4sOZsMz97BoI99T7NIcTVzYnoy5+KuTzsLQmK65QLxB2suy88exObdmw+RwI7Deft0yEeGAhkLJa 8itCD9w6HE2yQ81rl+5vmqvtW+KJzTNrCcMXxElSei56EokxmztoR7vGTppxnl2TJcJsuk3icAgl YZZAuERx661R0GtRIpwYs/msz8Kr4KDrkTmA0FOjqh6+p1627Jg5UgaZESOlIAzSZ6umzmxVWvuc K3Tvu0Bprwt6b+c4H87sGdbXBwVZgDAerok1m9RXGg07pf/G2pdw6rbV0Zq9jhFLk2fCopb6Co0o LSH6MChyBCQJMBHrQQ5TTgWzI59EleNvmnxQ4Av2TuRad+SaHRVlipyz0ONLzNnKhx+nWRoy+lqe fZNlwzQGu5xjYv5UirARyBTQ6gcedCBEdi1Olg3L4f56tTDDQQmmGx0EfBjX2al7m74oYPobIP4N IHw1QO+MN82E4C5nl82v3De2g5qcGhrGu6lD2wrHanWyFqnsz87JTYihNAmYJlRl7L9IWmfRZ3/D RG58oYowtDi5HIRkHgTIL8c1lS4MU3slJQxepIODvrbgetvwiptGqgKT3OZvmjEIYbgFrIWu6mXt JV3LXu7mw8kB/i1j22jjOeIAz33aKMxLPW72stpx+OMifU2Aiae+TIzawImX7W7tcdPGgbXx2Cjd Iau4iaPGZ0cGIQQaHsXWVZa/aLY0aEDhfNBV+8ZKby1NP4cPZ3VUD+gamuwUF/CFSXvDKGj23l5f HiXEgyZfJeAS4aZac6u2VSUeUuLmA0zLbNBrvAIRQ/mMiPzGeHiAxfsI8Rx/02TccuS7tf3q7Zo2 JBtjBTEfTs6okG5ilW7hH/KAvjRZQgz7DLBaHVpThcUnXKKPgEsE87Qmdm2FeFu2aUrcfM9Y6rQa MMVWYUIwS2hR9Ha5zR4ZQTa/+QNyiI1tP4tpumpEZ79S1ZdWjvPhv4qrEwdI5ZRYmSwdRulnZ9R2 w7ZC+ug/bNCT6AMiFNDIOqNyxzZtmip/mPpot6JbAy4qvibozy+nMnf0o+yveojKS4VCYtiGQ4Zw gHr9qrQG7VpxT9flw5kKQsQZBtxOBHEMFBLPNrgrYVhWCVEDEBSDskk5wXmWN/saWQzIh/9g2iY/ i7nj5vkwuppwfcOmWbuRQgRhq6FJEBLbqL39e/pjPjznjqu+J9s1RPShW8yH/6KEzI4Ne7VP8Z0a t0EFpS4FYYDyu/Pn+pikAVElYsf4MnFu8/anbQdRl+sjE2ER1kLvZSNgw3ZWqU0lbBkTlw85d1F+ vqbubUAlwxHv+lXo6npJzIEGQOfDSRT+l6A4hqelPAdUmhEDnUBjA/GWaZNEtIScQKBx1ykix32T 0uhkCN8EQGoPAAmCnHA+JDYLw3VurA1NQTD2fiGF96R9xd802TdA9MEyxK8ae1usksgVM+LUxe3h /7OTTOsV9E9idVJVNHTcSPiXYsSunvfBuADeFsBL4CjR6mR4CeIYO9S+4hYwG6R6sLGGcyYa6YRx kBKD0hYdoZju0me1Jm5usr+8fYqauoPXjb+MX4Wdf3z4L7A+4vLtX3tCL+7nNbGp6EThPSQvjnHL uo6R0BnJfTOaN7Re05palFajrd/ipnXZ88ItiinfXTBBV78L6+IMzF84dT1aV69ZTZWzONh406+e 1cANjR2/g0df1qSiHuLXhLYd8z0UChCN4wecLUrhJiE6mOan9J/ComzSxmz7UcZMzKhkUdgZ7pVt Hd18bokatWBbrEHhWIUjcipolIU8WIvioOrXRbHEAMUBMmm/CgE6ViuSgUDz83VRAPi+vij4iijM 70vymsxUBAa0j+kthNgLEAxPKNi6VHcsmMtlS19TGewTDp5Ax48bl6RZlnT7pnvOQCOG+nzw69q/ NFkpD+Vn0t7iNZOpQI2g17Wfq4ptGBGxDdHL+HnNY+oDFdbWggUBPTNpup6sCBE4Y7YZ5P/huNgb 4GGYuvtp9JrCVG9kYHeMuAU6rTRL7zjcHkDByYeJ805IR/bzEaCk1OJrClPRf0AlAFnai2Tot0kx 2zIAjv2mJec/rxlMFe2Oa8IyEnZAIM7RjDJZEwags8PB4RKKhBLyUBMGcbZSSYKGmckuod17rzxi dIsoe5DkHWqt2BfUBAzArqOxCJQKgo2Ac/LnNXNBNBG9B/QTXnk6D1hCMYmd8FZNloR6xPpwKl0t rHgcWpPXrKVCwARvQWEp9hxYbxBYFCKLc+gbgmdOsibkGvY6FExGeWgImGB3CNIDsAdmI/s1lRCN dcXNFUCkFL3l+5qg28o19as+nWLLzw/C1vrnNV/BNtH0Tc5m1BJH/cE1ec1WEJQ7uy9bvd6qERGi yV08axaNVZ2X+5qrYE0GTx2I//vN1+RuO4JJzRgQRMA0C04gOHwg4rLuZ7xE2fns02nQ4r/0KIiJ 51hIECXfW+vPa5qCQMTszeMri1pEAi7Ja4qCANt9ghiEf7Y0Sfif1SfYRAtTuDUNsNwfjBa6+ZIM QMbxVSwkE7MozYOGjq3C71diuygYmpw4VMxgn1hXbRKAzxgQ0OZ9TU2q4+JiRVCzTg8lr6266sn+ Pids7I4LIPSJ7IrjpH2uh5LXRl0Nl1nI7MfNw+sABQOssWVrasUztUY2xrVNNjnzaWPuRn2pZEkO 2V6HcMWznEc5+t3Dl2T9vHbpkCDc2CRyGb1Kirgqrz06bCwqwq0ZU+syQz2cfQhOrUlAJNM/zUy0 PVg386Zj2L574M6B/7AvA+FEpCfMTAAaJaLKWYIsDl/7c/xywPMH7FxX7pPbwHrmObzw6PuXcylj R6XoQsConYm+U97ZTDxEiRQfggdBD6567SPpVuETA7qZJbFT3IurFtR9WlDNNstG2xuIBhiqygkG Ik4Nv33ZWLh1kLja2ecjJ0hymYZzmUAe65rJ2o/8eacyYZ9JU1GBGRODFfnJO5OJZxUwvs0Hanbu Mnp0SFquQZm8gfFAgMSzZaG6b6Vmp25aFgBNTwe1HLK4VvTxEzrkEEJW+3waUJM2s+9Mpiq93f+I Np0Gif9fV/h6JzJVWY4+y4KBAgggvi5ZKltcQ8Qj7ebyI7Jg5qjIMm+7AYlJ1uWqlUt9Wt18jFwu SiD6WCyhqPgRYXcx1S/lcVQSxSvLZieHRkRf8SpwA0ANfiQDsZnlKev7AMIWwEGudckSWqLLGtsv iC2NjlaMLZ2tXFhBsk+rIXUScqeOIML9dItOruVuDb/TZcmahdrq0uu4RUvOgXcU+XpnMFVHl43G JK65LBSQQqL4d8vKft4JTMhVhGyYv7iJlviEl/ekFl/AheDBdF9iq/Sbwstko/8fh/tEtzJbF86q GuEDuvm6wF72cgqLiXu7TbU0UEywEa6PV9tQ5z89mwGdQj2hq0rkPTWAsWwILadkVVz1WIm+OELH s9p35hJ/z2jc0V0H06JFGRA0/uGyzrkRImX1Udp7XusCFBaQZM9Uz7NbLGxBioeY8LF2XRIXh34f Nsr8MLqW3jJJ9SH+sHCo6Cq4HVs0+K8y+s87aak+KgdaFUwXoHrnq/Ke2ELjgPguF62tc7qFneVa y0EwdT3iGFlmS2EkC7Z0g+HNj6INeVXYby50rsQ2BvGW008Y5UXnYNP15J2xhH/a5KS+D7/qgEa9 iv9GF/TnnbBUZWDyKYGIQB6e7r/zlVBdwNiVhE4pnzVnp1uetKZz+E8I2b7TlT7LQkU63XzsWqwg qmjHrQEYeBdKkRk1EDqT8EypHotu/J7gVtpzXwiz+FUYDzsqNCOyf8bPO1mJbzc+mQsNRsaMo+g9 yYU2Dfj7vbvVFCY6DiKj2Sa6b/OD8Miy3EXl/UorZt086wcYnn1V2y5WJU4VQiSPopBt9eEqzenv +b4uFOAp67mqFLJoLg+7CbWQLM3lrI77hW2ExbX1dcnSXJLxDgzhGVwOc7N/iFrHpALVM5Xc6O39 zlP6dOAuedW8aV0up86HKpyIMqc4jR0WaOjEfLTyUPHwPf+wLpvUyF17KMwcKVkD93J+3llKnvY8 RzSIHBWQKTXhskxXDdRTrxeJ9GSk4KTM/eC9TYkjrMs7R4mZLptOu5W4Kf+34xliMCgIYVDWunIb ZjAT00WYfTpt/x695/thRFOyBVCWrt5NWJp/ELL6TlGqj9DXDJAzUjGtSpbokmB2CNenMQEBJP9Q YsBtMwfRplqV90Q3DuhaOVSsodcMiDO14NhiKbb9RYY8FHIHABxKBCG6g873zzs7qboetNVB2686 ohsEg7Bb9tg/7+QkHkb9E11IFwDXkMuS5bnQ92rwx5UYUaGTEMSImJB3BhccqDyh36lJLKHZeWJH Sbc4i7YFWJCsbFmsapTn0kFBCnTpL5jXwZ+lpMw7MykylwItDl0ZdIlnlAB/Hz/vxKQq/+vnI1rg L/pR9M5KwmZBNudeMPg7McqTXQO6vvKCgYwOQ+47KSlqCIsp6tvep2971oTAg6TmG4rFK/FGmPcA 6TKf0DIoN/nOSaru7rEgOaOrQovlLS5dvM/POyXpO8vF1qCeOyEuXJcsy+XUcVb39ICqgErnLvgR Pqc7w2f2nZHEj5dfz1Hf5bRnEgSPTGIT6PK3i6qEAkwbYFvP+UyC3zsdqcr6E7I/za+soKE0Lx1N qwd+3tlI1f1itSjIW4ZSJi1KluSiyK8QfopScVcvFUuUiqT7sFR85yLVsIHHesQtIsuACi+wdBZE IP+kwTRqNwxHaO4X5rtH75nkLY12bTiHRo9z6IKGcVUSjZ93JhLWNCKL9CbRVYi9kmW58HuSrKIL gXjAtUrx6ULdYPa905AYcLv6TyNu3lk414Ii6QG2QKusLrELsM9Bijtf2T/ble88JOxpdKDY9tTV 01zvQ0EF9uedhoR/Y/VviJJDsl2M4zlLcwHstboZYwGCmadL2QJee4RruQD+Mc19JyFVN/3trdNs rPUgPNqXX2z/IymC5BAmtd6SGb/oTrX7VRRdvWayLJimdiBQdRU+r1PXie3q+/NOQYqO6pO1UFe2 xbJkrVwmnIscJZaKaiccKNXPGdPm4QX0OwXJO622HsQ566ZlmfYWQPtaqQgHsSXLyFpQ5GOE8DGC IYr1552ChN8eXXftEPerziHM3oRHxbK8J7maGH9qRcJDn2XJklxibxah1SRMPCTQRnUiBJd+QjPm nYDEn3yJR2TLnzc/iSwnPAfYLqrpLPS77A8AE6hZbntiLiHM7/Qj79zfST8YXov2CJE2jnt/Zx9x +D6/5sxWFWPMr6lqmuLCqrM+/syFAzpCNbrLnM2NY4Bdy3fqUXUQqv1re4ubp/443Otk6g9TYg5x 4bFKbL+0wzVVZdf/nXhUQwwa+nm6alGElKU+wfh55x1Vt3qKyAL4K3iAWpQckIBFIQydbX90yETI ugTRwZVghSXxO+uI9RC3CqUYdNMXZOfaBakWElRWFQ0qf4EGJ8OgRprLY6T0Tjnimiz6vzS/qo87 4DzIIrHx1bLDeX2tie2nuWJNsvR2oKw7/A0z66/uTdChIiBEwqnHa6F3uhGjimNWZtx8TZCuLDAR 6dQwaOXQCz8GwNYe93cgpviWSSWETsuiEimvjjodQrl1W9Ofd6pRdXr38/VUpHKBSciyW8rqDxVA GIs/6NOrKSLQsuxrIWF55xlxMkUHvMaPgzcfEFmldUDORsIC1qoKRCJU2SD7NFrAIuZPyEYhWBDY FNoVplQk2kOMmZmcffTt551nhDUt9TNw5mapsVmy7JZteo7FuS53H+UpduhR+aQCmNCcBvvOMqqO AmbUiJsXzn1vCx9jyqTNAgIL7HUQay2MP8r7bGy/M4xYCNE8FVo5vKo+tKOFQFyclj/vBCMkDXd/ z83sU75eH77TizhSWmw+iR/SygzxyELxOqwJ5uc6lt/ZRV7UogSqz80xPR2WcpXOA5iwFmF62J2g QOh6iPaTdPJ3chH2NPmvRPuXQPvbOX9cxnda0v/OLfpuPinFp3X1cwRl6S3h0/cyjcO2uSwR5VzX acM72+3elXsnF1UxOJDXlhI3b20XCBBDi39ho7TO1thZlPKEmWN/KGmz+3smCQugXzjF/Kp54unC sXQ7+3/eqUX85X91n9AFqJDF1Lpk6W0/ajAW7z5Rg4kQhRDk74OOA4y4eReX+X5jK4t8EPve0VAo lqa1zY/owl+GAtEn0uDfKlMCzULI1XtnFqG1BoO2JSrECsY0FPS0Y2zL/7wTi5gF7s/CbDZ5SnxJ aYKLAdtWPUQVGG90dwD9AqvQPZN7ZxWx2NQndG7c/CjClH+iM7ImNHxvlZtDZ7sSJNJHvPcwlXsn FTHqNqq/TL/GjKhzMmIpAd8u+ZLu17osHjNP1M0yXKYtrS1NyRrnJ6yH8CtwCTcEBEWYrI27VTdv Neb205hriI290BoTksaSVLrk6tM1B/8W/5CUzWVtXER+/KHtVyEpt0MjrASrP+90IrxdGzFRxJgN dWINlFyW406mczCCqQIsFLHq4etnEZE5Lj4EKmG/k4k+k/nu3YWHRI7hc5k4crAulqlKk5ZyHXCK 2PNzShON/E4mqtIFxuyZah4kqLhTm6Smat8/71yi+gjT+oEE6fTAtrxziXi80oZgdW9B4dMHNhua Ji4CU051MaV3KhF+IZo8N8EH2wMfBNC2LBz8wHHcACzgu2yUkK39Qbegi/HzziSK5GWyuTDDG3NS UZANS8uWf96JRFHcc1nw0SDnRg9Z65JmuniGQAIqYO/W5U4GkzVxIQZ1V/kZvfOIWIVXtdxa3Hx6 1sc5tE9ZpOx63AUQHWXjL3Bz0VeoVe+ZATmgxoBDS1e1WwpDfSEj7OedR1SFe/+qFO3FHqzpe64L BMalDaRKoUYyAFlE4wzNoiHC5STgdxLRp2P5n+YcDmYoFyDX3Qd2OTL/mBRsw1HZIrqgS8ifkBzT wGkDceZXLcvcjXUjzqWfdxIRB0z7E13oCbQj6r5TiKrkVpG0H8crUOfnH44aPP6uwhqG65J2coWZ 49BAN18X9Ic6/q8gABcM0AglBKqJHg37KRepuvXOH2K5CMxcpe9JbeEBD7807ByUYj/v9KH6eMA7 mhD1DNgbWpasl8uDfU2GWvajCIyCPgUszifZQ2XHV5Smu6oX761x8xLAVtcSot4YdMetGsZiDVhv P8ZBLAHeiUNcFFRE9PRej6c3KnH1SxagyWmu28cXhB0QrICIvbOGqtuczAmML9ZkAurCsqgG6HSQ mcHE5Z00FA0NO7p4QLdnctZgPwSwHwrXtRsgvfrGLKgA0bWf6TNFk94ZQw6UvBOIPF11PM/adMDe xmezKetXDd2RyAMtoVXJ8lzqAxdltxQjc6+T4c2oBoLlcZOpd7JQdTfi3nhu6uY7hXbVlYEF25be 2p2cUPSlxieq+EsmjQWILcCyyq++KJP0ODvo5/l55wkR7/OFDgPfpIJLrkXJctxJ5ClBEegnlOPd Sjj7aMg6J2MBt0oOyBVm24vGHTpSB05DGAwRs43UqwmgDGQLdGgfMiuxhCPNcAsbeQgtvBYvhCTA bm/d8WySyc0vAAcleOJgzvJbcbP5riQ/UyCCLah9inSSSi9xAmUdXG9YE6Wnm4+HoEk1Qd1ASLGq sYgUziIWQ/FanibU6XrPBNyPVVmL/e21AkloFafLc+jtksFzVNCCkY1fyLeL8JCmtyRw0CmcYmyy I4O7wCSonZSE7dDt+Z7eItKqWync3NgfBX1wQMFqBJb9FLqzwe0FOwvN4/uJK9TEnCmrDABL2kHo ys2ygV8TIHt2PJsBT7/mIADwUIOIy5Klt1SNIG9MVPnpgIW+hECFRiKOIi1LBlMQgLDq0ONNYeWC OcVCfE2YSmHepV4D9KzXL6AiQXvmNzSzPi5cr0Cza37lslRkPvyFW3qFZ7OW5fqiDIHNFh/RTLPb wRFidXglKhUvEmeYnM9bnbk6sz6upKxrc7Ty49OBXW7bH8oK0PC7kEGt9B1BYD+Y3z67BUkIfkLW bYFXEraUrvqIyj7MpOEGhmcTdtm/5iCoQdqIifxMCWb4DZWuDBaCQUszRORfECupUEshltAi7szy W4ZQrEiPmx9Dq9HmAuUQxrayDjmgnHFhALYLsWbmLDPLb1EN3k3zG17V4rZzlrB22zgNzyYxt3yP E6ELH9slo5ihqrfS2T6/Q9pdbzLWagfWJVUd7n4FDZspx0zQyiFU+wj0NpIXUOMqZqzFqqHisvH4 oWi3cSTvzdxW9J7J2IyZ3EUzd+GsREixwuROBjLAHfFssirRnONL4azc0YOaWXpLPPVieske1JgO gppg0giqUJqfRTPnmQn9JGrII6kA/TfAKmkYahX6Jumq7YVhKs46vF9A5uixMN8zXKgsQ7WF2PYx QxDJKmoRZnrDby3LcJ/PKEgQQEhrWbJeLoRBG4foBEHBwj4c2VAmCapApajJvyXr5bLIobusbr5d bEXRHrJlsR9kIbfJX2FQLQD2TecBQVFpd2a9XFBUb4e0q67sKbRxCuOmVVQbz2YgqO8WFF4V5DGt S5bjwk+rVWC9OzosnI1X6QXYg5PFM3Lcwaib5bh0ULWzd5a4RY/bSuYF1CYMiSEzTB2KLsosuH8P u2oVvWZ2FtGD7XS/alkqMaw4lfbBs0nt/A1UJqUYEVTLkgFySSb1L0aemC668fFUrWSHM7pkUAUB la086brxBMX8rMANDIED0QUl7lTqwkC2qR4dnTkEGvyELHWpNERaflVPodL+jjORi2ez7fLVycVI 5ARlZqaJLkIrYBbqQFlmHAiO43o/tm+G184za+QqRatN4LD2gMNgSII+/yJ+G640EvnBkQX7PiL+ Y1n8PZNKERtl0kprPlZa9gH27hCOgWf/sl3EdqZS2PH9srJUF2dCqzKWoDT+DUuk7cMR2wvVVWRX qp/Azn9bmiuuz1xx0y6yoVS0j/EulU2YKYKA1z+N/01e/MoyXTus7ANCsqirRmigU0iqejQ8m2S6 65sFDtZNi1XJMt0pfBjg5MBwVM0+oK2LXjRl1s4KDMdKG7mH20WiG7x5cLHABFwrakULLXPLSoGd YZxwGN+FqQ1dd1fKPKNlC854XZW59Fncj3gsPJsNXL9aUKjmJazLdfkTIhetNBqrEdYug3P7dijN AkMeanNyXbJGLuWrAc+4umFdFltQh+IsQPxYpC2jiKFGVCGhhM/4DBx6/IAETYiG/4L8m64aE1n8 ldne7RXPZm3/L9IMCPJrOXx7pbwzBIwhxUIGwiu8KdyKHiGF6tOzleW5lAuFJoDaLdzYE+Uiuv0w BkW75dgXRTYAZi7AYfz2D3fT/jz++mw2T2bIPn5Vu8WKXFXBaw88mxHk+2er0AUDiqZalCzN1Qya OlFUUigeTiyYeTo3q2SIsShZmnt8Kt+emzdx97Xcvx+5F6LTyNYClDcr8MJMdQN52vWeyScEk1To EuKKIoRGG7NWuUhCsxQP/4VKxHQUEJzSPHFZaRu3cyRPiA/+VuoAsyoa+AWgD4WGBmxJJv6WZGGO c4hW3OIoWhg9cwhtx13v0k6l7TwVr+sD/GEvED8hKRdBDK9AUeuqjwia4vKQtYRuZZDcFRQI5t8c wgTaf2WJ7mF3YRaV0fWyN0W5MA7LARFrnQJIk3/Le2xh9tiBdYybb5gLzwQqDA/LV4oXTui9gI4K 2sL58nNcqaYChkNWm8RV/W1Lb8kztMi+8WzW9F9feQvKzdBUWFmWS+Ui+3fIJxbdVg8tqy11d+0M DaG5lWW5WxNWatPhxoMQizKtpDqdGDGao94mvjyyXCvboQ0TmwVfFn5C1uFG8czmJa86nzsJkAij 5+LZDOy/v4uiQ4sPLUua5eK3TEQCCTObhjMYKM6xhBcb/N+0LHmWK8KMgBy1R/JfLMPfHIDQj4+I Z3xDaPtzVdozDCGgcKVJ7mC+AgEOXnU8X/+ExjkdzyYl9PC2JXYwsIRPxM1zXNoAzC0uHgNYJQiX HmBIWvag8QgCS97M9XbLiptPnzcMWi79Yhcs4dwo4VBc3XLw9UWXb3rPbJwI5TSM93TlqiwYF8tc Y3Y8m6xKqHA0BzbYD485607buajO4PTgpeK4sp1D16jIdWRDrXEgsuw8xxV8e8y4OU5swwa1gC8/ oO23muOCLG3gaHZ++nNbr5mVivULrODiJJPn3K8wl3g22y1fY1ZsL8RMrUpKOiOhH0gjCjXyv6gW JmNTmTBXV8vdaTdX6LAjpuJZH0Sh1babNrFot+wuR29on1W0p/vHLwzKxfwJWaVIzZZz/KqSCCz2 pdR/4dkktPwr4mru4OfQTtUVkG7u5XEEtA5nQAOeJDLeKtdVJ3bKOvNtslrcghtyoKJJr88BQjhr BCFQkUPvR6FkkgG9sxwXrKPLNqKubCzMA5FAFtCbz2YR1/O55rhM7NJYlyzLpTCtbFAQXkBwUaU4 qzsMW1bevCbaeTdX1M3a4+brgrTtbIIKLVk8ImAV7GtoNI6PPaw+oqyXe1g6/49LmMW/KkKrVeN4 Npk/n/KZn1EBqQehdWdpLtoyje6DDLqdstLYIyMcjVaZYF1wVdJu7uJyuK7NfMyWO8zXStFU0Ypc OQrftcSVoL7xo6JW9Z5/0BFoXB1euS4Y87GYHhgV7SzLHfP7K0KfbcRhtNMsF1Me+9oHW5R1EQfC rwh93UGwAt0GuC4ZWGEKSriFWQg/B3Rzt/1yAfO3E3ottuQ4K0LzC9/8l+AeEAE/O8tyOyVt/t2H mhARYXQBGvRnp8SzRySLAgf4DIAk0MJkiIWFbMPiu9dF9jvQBwWBBW9z1x627jtLcwu1kqnq6jdB 56CRt9DGxcKUdauUBM6UBDNc2T5y00XvmYVdBFzwwK+zwSnOOOREaD9l4Nm/lEWcn3CBihfRO6We gUhaynZKnpVFxxFzhExTYWFGvbhT/TAW0dWRhfMzReu28eAXzZRuLkkNlEma95GiWv+IEe6snaus 3YVtvPlPpXAeTJYf8d3+D+k/JTy314o7wyxQ9rVwVEq/tOWCc6NyXAhhqDmkVsK/JdMjFOq/r7j5 V3SsVuQcD4wTS4uGmuH0ICGEZD6zIvahdpboInu6FX+zrr4sUITnwVsHns0ALn4YMXcAo4KMQC1M Sj2jpFfpbhJxMJfn6GxTB/MfynWxVVf4t/yxzf2/jDz0ctqgd5MVix1qc0sQMQ5HCreLTxaVu2Sp bmHTBdW4rqoWKyp2NV0uns1S3UD+s4K6VBLlqpws0QUGoPZVPXUZdABHtbjDWI6a2YotJ8MtrNY1 U2xxCxj3XnOC9w+c5XSzT8UW2GHTPdsZeRTDPSlugdRwTJ10VUrXm5Qc7OjsePYv5DOyffHLboHn OFmqCzEr2+xVKA6kFz5aXHM111c43XH/J011JWG5SotbtFzsO9qNsWUcy63kqsEUh+5l9zwETprI nVxIDJUiEgddVQGcIifYfm/Hs8lnVL+GIkAcPR2Xk0rioq9ciWjSaJGSLRV4vSIZF/t1H8/oTgZc 8PVwbZvJvLqjYITLVYOTB/Sy7LjpLmozlD985y4Y9eAnJO1cuGWQU6qrogt0iAVz6fw3ZvjT79yl qUrxdUk5aMjcyvCMBeSo5fSZwfgLfwLEAgaXk+JypWHZxaLp9zFShgDnATVuWUprD0rx9IqbsqWv 5ocR2wvnzy1doK90jQ4dySyAQF48m2HFvnAL0GOK+fzJMt2DEDtQ7w2q8REk6264kobtF7WTViVl oImnOCTKMcJuEMrydnA04hbgtHtleSD0Lhos4zHDla7/yXALk4lLp+5ED90JiLiIVHRKxbN/af4j BlBJIPZKludSusp+jdU50Ke7wMIEp0/VYj2etpxUYIHE1lrYvKzlPorBcxYg0Q9XxTIYGQ2Sa15s Mw9UFo4rxJwdPyFDc6BQbBBn59Ux3KVQiReBEM8mqIVQ4yC3FdIoMYY+KS6X0/m9a0C4l5uRd3Kw gInasBnWSZShFmCzTJbWc/NmLvxmupx41i6NPat2O2RlKHb3AbnAYZQ/IeOGd3jooVxsbMwj/Uc8 muLBl4FnM/lTB3Mwb7lw0fW05aQEtMrK+YjeC4fNJRn/gdEDFC3R77mqik4OzBX2R2jk8djfgkuM 9j/ZrZi9uHcwfghnVO3jFccu98nSXJi53rEpyhE+51BgljI+nPvwbNZz+fqGwOqrqAC0Lik2F+36 VY5IMhBRKYTQQdA1Ng6hOtwuf0YtlCt3g/soCeAoXhsRDsQ8y5fVumSHlRPUx0+F42T8gAycy1ZU nX5lsQiAHrVQ4bSGZ/8yhZYlGpha8RGl7DMa2RGsw9gS+gHISD1t6TAyU2zJRcRk2TR73PwjsjcH HB0N3Wu/0kLP4A0PyTbp2/RModm7xk/I0hagWyCRp6tii62QugNWpuPZv+yWEZ0oyBhwXW6W5gri t0Ou3ra1hiL2EbmrFaxCmmsIp+wzp1n9L+AS8idgimO3HAC4J9M55g4X+3Q8eQuts/ATsqoIYCg4 NOqqFh3aFu4Px7fLuBAzSmi8fqHRo5blPcsFFwIZjkQ40PAW4OcQKC1iNNic/hHdXGNB6+FQlxI1 0YXXw0JWCo2I2cgptrOf4vAgF340uC/ZEPeP8FzqA+qqA9oCusMt78azyVH0UREDZX9q9qB1SfG5 +PIOZwX/sA44rrMwt4tojUOncG6XFJ97pfIjGbH9yIhZ6dxhP4Kgi7zlTgEQbZegaKYobPuIwt4s yWUjas/nqkoIiuldmevFs5mmTf2MocHIu96euyn1TPKOx7tQg7xbgVDLDsjCdJmFm0IWXIBbiO4d krD0DJNSi2Ulo5auEORiJpBC+ZzPbCzcLMWFSPFdDchlXjVBsyNSwcHODTybKc7FrMibcyu6uTfF 5gImdiFEJNACzeqostAf+weK43BZ0m6udP2L+L7l4fuCqGQRqrD330fjloKhKYXLrsRbZP8AUAZ+ QJb4K+Bev/qqFNkqWCXa8exfdBYkaUv50+J5y82y3FGor7BLNOeWVNZQ4jlKbKwTETfFLIhQ9B9N G9TOmwYbUIXtvapkAgl9U37h6UJRb/qmWrmAoBbEaF19Ng/4wi9ZNAfP/kUtS9wZ5pOxKqlWLsVP qztoW5BGaxQQ1EKbE+yWxhSWq5KqiCmN23LG2I8zBpS2DqTJqFXSFmuERi9hmHrB3f0X0yOuy9J7 Zpy8AnnPev0qxPIGCJ0bpx88+5dWLsv69YuGhlYlzXE1Vd/hAwGaokQW+PMEWQhDpptCFoT6X1qV FasCkQ/YQoJRZR9/m5wTufkQbPKksYDd0miGiZ+QqHA3cRSrX5XjgsPFisj2OJ7NpHK/Ai5GS5/T OW3lIoruzfFZQ1N8emiZYcA59g2fyZunuJqbCb2yHzH7teEfUqnENwEYk/8ZjQNBtRoPkINKg/gB f3DImJOOgbM+39B0ppUFGTybKQn4Xll+OIO8rFXJUlzCBuv2AWIDYUwg5d7pMA50+3w2S4ZZ2JK1 KXXFzVO5dRFpGUOaHUOVSU1BTVHL6DKXccv1MfSemcACHNchX6SrpiGe6hAIiWf/0rEkvgXpSvNl oetzUhBRVsEx7ViXgCy0hgYMAKgNWHzqB5c0x3UfKllv7tCytzrOviFO9xFbxmDlhLmg/Qtg99PH cxRRxoM/Ihm4NmKVocgnqwZpLMyu3s60L5NPZ+258cnnxhBQMBYn5aFhkM/EqFNmoV3pnvZSiyvb t0I5Pi5Onum+6yycie8Ubr7AFp4+K0MMwRxsuoBqqFbULtffNFPkQ4wBzFFXRd5rSRaniwtu9CXL dVnaRX+BeLXyiNqX92TXCQ0Lk1GhF2hgTovJEAEdbOHLA6GkEN0pqNi4cfOGFATPN2ZzFmSqJQSV SPdNTVpYy62nTcdOGH9EBgPCzJX6sDP0Ye1vP8wybB8MvV+SxTya3PwQy2c4IrTMO1SFsgJuwwOB geHCfLKzhbgl5e+1NKnjWR+vAKlpRd5dCAiQRRqHFnWdSQF20S+9RN22iWMA/IiMBYAJAEZwuioI rzklCmyxiw9nc6OHGw3vYrq2Pp9UlvgCkt3a3MKJ2X9Nl1oeMLfHCH/BhtZ13EvW3d30nsHgOW6e +cKOZ10G4gWAh44nJCMVhlkdKqbqTNGIhD8iGQYg0jB5vE8KOWG14jMSKLmXFMkwnk+KY0ZEuWjx 1pL2eDepN0RwQ0n83hBe6BTlhj4q8pCuxflLk1dj2PoZw4JrZGXBpD63hVAoKgKkiQ53o//lUy1t Ht74EVlpTXH6vfzKjWOlr/5tllkPPvy+OL2Wz8bh2AKTQF+btNGLhGSWtgPOsH20hsrH4QzU9NNH lSXBR9W1rKJ5C6BHwT+EQ6RVxkbQRzMGmxJTvN4+DJK1/U0z0RvEm4KDnFetDSaaEj66er+sBf61 NvCzuDXcaEqqwoCGpZwX5BlRlyMa2BgjomHPZ2myTFjzNUy74ubxBq7HHSeMFdkFEkOcDVx8/tgy mI0q52sMr/wRGaoBc8fNEQEJlDycbPNxC9lqDT6cKceOyPrQA+0CmvjavGfDjaLs9gs8UtRtbMPK U5CJGIEN+45Ym0yNoUvFfLjhYo14A9nYi9QGPTzElELtm8teH5956AFuUVoyaAO4bbegV6yrz6qL AEKWDG4+nHxSMcOnJqT9RffZNWnTFwCyQwY/YfDUwkMrfA8f1M4ygqlGC+h8OGHnNMso3lQ/Yd5u 6R7EhtHTgzGYPiie41MtPO/6oq3KH5H0ZhpLhXv8GsmNy6UuJn6peUTUldz20iaN5Cb1SVO/SZ4R +Cj4O0DdcEqdgW/oLoJZE6M09I+lQCCk8+mR+EE9ai9yp4H+2JJ7KZNDWahsnfWAM2XDkjilARYI SjkqUF2dmEXVRGL/Jx9Ogs0DFBKLC22QyG5SszSshW3m7poVpy+vLwm9kzjDWs/aZCAH+QI09kN1 077ZdrLaWQkDxlmKJf3q+U3aPuHPPjRheYL9wSwNgLtKlHMNlHMDg0XDgtX58F/UMFWio60XEvg1 sUtzma1KfUmOC3pzsXfoHanGXC10dmvql3bcpGaXuHlHYkBMsyyRKMa9wz22UQshp8Hx7VhE5X2p YRrXhsLbuC7FYfvYK3vAEy2JmjimfbsxYh3sl3HCErkmjmmutFWAA5FWdT+eEtu3oLphauaspUlT YsE0YWjjNw/DVhfYh0ODTigODR7vhQpuMFLj6Nphvav6myYfVDv/0ZUiR1A8ajtc+XB2ev9rafCL eUzTUtc0YLRBmdpEOQBfu6R2eMlvJd5hQUuagTixTSuiTHaIo8ct5gb1NjLUUUlBCY/NYMrTo3yD Lt+jhl/9TbPZPrAOgwj58SDkyy5ELdj5P/lwMpf8Xhv7nj9Ek5pYp6k+sZe8Hofpr+6NPdfHGYvZ pGLNX2wltkZNO0ZNDV09izGopABRpkcoNSwwvTngmO4PXLP4myZfFOzkJuzrdeW2sW+3SIbCkig+ nEn6ja8BAsU9HsvK3FkCweZuza9B7pU6MVQEinrC6NPHrkmRD2pKVJ1UdcbSoFtolQISm0XpIHWq 0Q+u8ME4+xFqk/xWTS3UhAW/9NsLYqWdgOcWCTa0y4ezQFwiENs/gFoaN2qF1EQN6tNQUz1iPkJN wItMsFu73CXwvvLzzOwl5HNapWVRHy0LOJ4WyymplGMbsV3Zay8Ci3G5oY8Jdoq/6R+2zUApqqsO qdM4c8ZfooeTbbP6Z9vQRvI8CXFipIa0D1uMsAwiIGhqh7Sv8zMkAgJdOt83aX9Yw4SjL+s8doRQ D92n8ZDaqAeoNlTgVlNBTtmPkdqWH2HqpIYyWKm1rsr6CvSbeH6fyoezaf9DxcfsCUl5j4w49VLj KN9NpGkzMRxiBe64SkwoUMUhlWbEU4eUHI/O43i0rD6CcBvN1Cosvpg5E3RE5cPxQfvKHjd1U2vs 2yBP19XRrEU2QsCy8OGkVugxmBt6jNwmX5zUUA06QK0GRwn2SoJcQQ9J/9vcIxxbauqo5vYBTaKP 7XHgs0gPlVxA5C0NQSXPeAPTQzJOPiwuupfxJ/xhsPA4/Ci3AYS+UtsRZrx8OBMr+xJXbdSBDaJF TV3VKN9QAXihvFI9LlswOliEHTUm1VDV00pt1ZYAEVMid/OZWkLDzT4W1ZjLwgFlZy/Iq6ReoiXu a3M4iaqprxqcH2+5J67saUFQhHF/1Lb5cEb9+6YV4AyYkfflzmqbclBHQwV88zyRoGmPzU0dh/5Z mjQlJuuvuPH0fPB5FdrehLkht8FMTapCAIQj8mCio6VpZN3yR2QdLfTO4eCgK5cGvlBHM901+HCS 9z0ykcxcr/7RsTgpNgKLAxFHx0aUoLxdZhDERhCpxHiTOKw50adT9N1vPu2ey6oEtdA3MHUcLxxk JA1tsPnVQqcAbc0t1qA9y0MD16164ax+OJzFPJAPZ7yLcHE5HGJSM+axns59KBbdJ0LWYbu0aKcO uhASLAi1c1IYsJCdR5D6syMrRkv3lkrxSvuS5la1BTISP6wxn+ku5ahq7rRW2dNa1a8qGM5VP92C 4+bDf+mhY2l4hD9amjX1WiOtuCzyuegWG5rf9HBlT8s2VXjEpmZrnvrt9txi3wD4coApGqCns/dj gfESlg0LzcePImJxnhVvRprqV8eP0Ju0UM6dD2f7Znxm3zRyPM8nlRpS0D63EoJFHhOli6nt0MWS tBonlK5rYrj2mCKxm6ebFwz3gGA8GYotJugIB3qaI2gQv6Ubc4nvrKnfGt2nl6Z2FPbiSXypbQtE /Vl8OMmJ64yFwZwXM+b9nN9ZTuxUTqfnoDchAWNQSh9Livp4uedNYqfV3rj5IbXstzPl1WHLb0FZ E/BD6CsIq7E0i6C9mnquLfaygDTTVd8TGKRE7Z3S+XByfu8vxyhoCbZnYVJIMGnYjAysMa+zd/pE Y9vxEvfEwqSeFJq27PvcvJDCsHhaiOS07u6roqLQpAJygB+AWvH3TA6oQ2D9uX7VlqlQjeC3VDYf znQS1yfOoLXzQMhr6rpWqE11mkvSwt3R0a80vyZkgiFLx1OaDks2fpUdN59GbQjDVGqZzd2o+MDp N+W1K3nRIPNzwhtvmmwZ+N5Qm/I+CpX9lCMJbJro1tR5jeXyw/PClKk+sInUew3ajA0NLbcZo0yz bMZkL2BpFb0fWWHm5mvqZwkyXb8g08XCO2RqsTa2pxtxJxYc5BwLE6iY8AoYkNqvMQID3uVXUXeq xXdBstbmw1k2PONrwvvTYj5WJkuGL1o2czlCDQwHOTuC4DcEgq3naYImDmxAe3DA24mC5U0rA1/h dUBch6h+HVvxqG+5Xdbz6F/ToYw/IaPvAGO/SMxd44kzp8tHbVS9Xobi+/LsgAxXrc8I8w8+bIg0 5biN4e7rOGSiH7e8B1wmliYFCGvUcjUBu8+mQSPGCvGqWdQ+k8kw0c624va5l6c/zLjNH5F8UJi1 jEpcQA27UfC9ryZ2ZfHhDPj5dLMqHQ5q+axNSoU7FH2Yjg5uFJZDTjNbAD/PCHnAmvuxyWMBtsp+ 81cHOrhMCuxjULGUC3O8iwbLndHo2zNeNPmgClVZyUGYDuWZ8Htbbtg9+HBSen/QJDTipvOOr0ya CCNdsVN6uFWq/qtCZ84NmWH8+oSaXMdXPVCBSnZ/lB+scBpiZ8Dd5BYpP5CJDIkfpG3B5aGXVE1c 2eQbcSkdoavC8HHJqgb/y5rYsiET9sqbN7TcwMzztckyYX4kC9VSZTOLnVt+UayGZChbXcqrps5s Ux4VTeJv7RF/g8gJBlBkxS3oJmuGSZhGKwIFSM2L5qT8EdkXtdk0H351UID95ZxhXnQlEm82lBj1 A+xbh31pX5lczXfTr0JzJ/xXd7gEDScVhpEsMxFOrNmAY3HnpBU3D8OtkTxFS86+hFWHLB4Rp+hl 7YcvSKetmnqz0QsHDBi/aqhwYoJpKQAfzvwZ1tcHBY/sz6Z5z4QBd8OLbFLWUS0UH4F39zo80Jw+ UT79wZ1NBFMJzIxHYMb+DTRP3ZQi3fQ6wtJw3I4xPaZHPosqx9/0fWkum1hQwdWVsWZgRQUJQJsv sWdDync/S0NSUS1PsEnBw9B1KY2tLIBKPORSKsT1SKGZL+5tTQ3afNLSRVHpM1A20DK9YFICSjIn PBY0i8LbAPUFCL5aoGTV8Uf8QQiOLPDrXHAkNkvAZ+C8Oh/O+jX9c3xXtiRAAPHFyTHE5PeQQLnI 9Cns+HWO8ic6fhdJX9cZlYtBMJmTnypvOqMsHwByndMoO8Ux/kMzC/pn0Fj73bRSFZbkNn/TLNqg X3MJRLquBwfMoZR+LWnYfPgv57d6oHjZMN6qqVHbItBuLZcm7cuN/SyLv/dRhIgqKnFqg5in8r3Z 4hZjhXItLmPjoLg8Q9pnmAfKWuRRbCWThj8hqxU21PfRCOVV++Y0uYlAxpIPZ9/U/ECQIKD7zOlS qzZmiofNIMIlMH8RXIJftOASvcbCZBjiw2jTSl9xU3kJ9CvcVAQKsJNQlQIxhMglcSI+OjzH3zQ5 voE2s5eJa/ECYUscxTYBH06OqAA8igNFWFUsTZYPd8nlhfEuleyEl6DhF/ES/cn5Eru2MLRo4le2 D79yz9Pt/9M2ehSoQPVodFEz9pERZLHCH5BBAoDMQm9Z1xh7Tw3Z2u18OAOef6kfaxr29CQSwzbU +uzwFTdsK2uIyz7ItaykQe1gtdfcsW068OjEzYctlgza5qexEiJNo/w6wPrAyvy2h6a8VCckhm3Q +0Qbq5Ot3L2yhwBllUTiWpcPZ8is9WljEaz6qaESyzYPEDBWlJjgQLN2YgB+2YNnq0+DX2TDf/Bs kxWkxlD9GUN1tLRPXYKBzk0wZCNVviL2QqszKm//nNJsGDUUoRHXARLU5Cyb7NM+dufDmcT6d15D QtZzPqW+bVBpBkFHHBeA88uvG1u06xIRrbT4nnL4cHlD9IHFCft3qmaffSlDDxwoDnkIg7UPMZcZ P39EwnLZDDKcYl5fmwkAj5Q5L3p8uXtbsH+ElkDeV559k+bDOKurEGpsaznxf1iIKEr6NnaQ75uU Q8f6sspJiTffN3aszLbYGLZfsCK6IAF0WO2lfpK+4m+ahWHwlS9FkW9g8lHRt08+nJq4tTigRBfC EUNRVl+dXBOtQSQCNEM6C1Fzla0+nOhwFrVgUVxEryZGbghb/KquJgo3JgqNSqircXUgd9uZMxdi QdGe6PORLt30Wa2JmRtKIcKqUS3wquN7HkmZWPC/fDjbOc8hBWGZ+vH9+3nNaxoafTqZpu+b5u5L sM50/PAh2ADf1GtW0wolNOHvRzkN3hwjW+zX2TAEX3ZWreKSrxB4rzRuJOi8fYSuXpOaBiQI8j0i Jnj1ZHgSgYh3xaMva9IwhGS2t6T+hV8tFmYziUrWBEBWSIpIiL+RSUEZVzLgILk47yH0CHEmWROh JJoEA3nzTM8KHPt/mxoard/r0iz449D3hveUr4kjql/XBGNR+sTA2ppXrgnAX9LQaOh8vq6JBHK5 Bhyw0AVxaEleU5lWRb3j6IDMjUPnEADV5mH74WCIAOVZfECviUyTRgz2B0cnvGmbXHwqlRWl/U6h K8DeKEQGEZ3blwYlzS1e85gG1AhnKrAr49UR5m0ymwTU/Oc1i8FiqhPsu8R2GBDSXJHXFAYfDkZj QzMDHNQ7BBcvA+A//A0G4fI1gWmI2tod+8bNs16r4S1OAkoDSsIWLlTUBfx++/moT1a95fuS4AS2 LwCI+T2g9kEFZOCv2H2AmNPPa/7SHkrhonA2aFIQBeGavCYv+HD2RxSuU4PeAUZ3LhVJUypdtMvM PhwyWAAsipsH2QHiFHpw0KBaq0spuRFdulHCn4eKMPSWSTBhiP2f4nF0eKwwrMBZNVmSwrkhP5xK T4sKqzGuyWvS0mDZDDyw/M7RcVhtOEFjHachzI8bV7Imm7oPMJGNmw/f7oASTVmUnoFqpoR7UGah 4QhQr+NDClGMrwkLfnMUEdkQQeZVn04FHoclwLw/r+kKT5CmNVEXFPkr2nJclNdspemgAr1YWpOW QTTph/S7nRJmob078fQ1V2ku1d3tVz7jpkUZWNqDtMs+HquXBj8e+YEU+z2s+xkuUXU++3awT+DN 5FclKpZ8q3E3av15TVMYiYqvCVNhVIwRYV9TFERYoeJdGZttWmf79+tCIla1enL7mqAgwnJm0jGN 9ZuWZFoKgdk4MNIDUAcmKI2qrQSVP4kt/m0/r8lJkyec/YbQ0ORVu2S1o6k8mryvqQnf7HyOnAPU bdN6vHbqmoMVBdzCepzurlv24xwfM24PfMxrn66FBj8+7bjFFrEc/GBKZPmfpeidgqRXaSL2M3K5 yNjwwf68tumwJJf8C1SIs/rfPykzsbQk6+e1SddcNyk2CXoDFXKeXJTXDh22JMqQKsEmmtUvlw4f 3f+3uWdka6/9uRZ6in1wKNBHGFd3ZiGgUtuiWKnchopH2qpDcYR4KqcIsjZ87c5h1VH3tEl+6Vwh kQcBEZEnFx7NzhzfJmxckvE7/SB+JzPhECU88Q6Xa7K4EqXPJawVKex4MDFZDjvp6dEUTdonmhDI euxUQIgFhFMVc4eEL5jgMrFw4yARtbOtgsRkbeopxnxqUnKD/7I7+Gzy+Zz62SvEFBTfKu88piZf P3ulIdu6Oguz2P4r6GVnHwrnpoPEs2VhX84StBE3jyilDNslwIgvAp5U8iCM1APWxaf9NGky+85j wp5G92lvaLXyqh6LnTwSuYO41zuNCUGizc+yoB2AesPX5T2VRUEAF02Ckv6Rkog6uX324VPqXdaD h0nW5UrqmH0M3QLZYAX3hK4QRJtXF/+rkg7PxgMUHNxPSQSvLJvFgXAXg+16gq19k01cUAveP+8U pubWC1gHUUkpUeW52zuFCWsJeVQHO4NeMJaLwYGCothiv8tAh2cZLT3ELdQSFMObf0Z1TzuCIR04 0YlYGrxRQqwB1rOegZr0vd4JTE2WNbA4Ln71vpPVkgSyWlb2885fQnhZHl5o96TroicMi/Jkx0wS yO8SfaBhlyvATCof/uMS4NGtzFaGEvOD7o66eV57LDuGgTU1OHGm6fC2KA3xTPS33att+FtmhzNw mpWax2Gqgmje1J/e7OIm6yLQNtblF6bG7HsdX5UssWWLcbG8phMk53Fo/INgK40VCq6qSn7PbOv/ k8lLu7L3uKG/D4zj3Gye2KpYtuHKNFR7hzTk/HC6/C2Ts4iKf0i6dRUKxo4liqKAffzzTltC6l6+ ogsbs2dOX5X3zBZRF7GWqqfkWJQogUCPUHRptwXrOEttpwrCq+hyn+hicaCXChY6yKMNwvDsfCNM kbB/onewp7/m+7IMGvs1ehKHNKGdkXAlw39ZZvTzTlnymtLrwtA9e5Yly27RYG5VFGyk/nM6P7J0 F8yDg1ZwALP0Vm4EXdhL3vwTapgMdVIALaoCHcS2N5cPDXSiM6V5LL7xe4YLaXI6WAO9ugMnATHC rvnXmfvnna7UHAjI7aIYi1gDIJdW5j3PxbSeAxC6B2Flzj2OIpO6zLG8q2gQy78l+YyoF9LH5+aJ blu21mVT5cu+0KHBI9BxFUiYVh++0hQw6D3RdQUeSvvfR+DfwkuVdIgtf/15ZyshexEP27OXxa3n y5JlukQen1XUp7X8kwtEsQFWjQfp/y4y936nKrXQ4OxUI9RNywJZmXtQ9EINrjTXR6Yy0eaU4ZHK A92G75ksC6ZmBzoCuvrsTI8B93J+3olK7QGAe3xBhlUj6r7TlKIsGnW6lO0gVJx6kyBZskzc3PdY l3eW0mddBslKPVxJbF0s0V2cHVhWNyBqLRg0BjTUmwffx4n79+g930+jizR3E2DFqzcUgBr9dcjq O0mpOWo01uWyy+mrkuW6FYPAW2MydEubTqY4WwXkLDMEft8JSsy46lvUhTk2amlYpaISuH3JagqL AzI7igv/iHiA/bzzk1gZkX5DTGYLDQw41zSdS2P/vNOT/vc0Il0gEpd3dhI2J/D55VJuCH+CZFPw RIGqFnFrbnoHFf4tSRHNplKT5gdvHnXtfS3xgRaRhW77LTfOhNg9B3QPPz0otJSUeecmRWUE1SS/ cjFsB8lGHIH8552a1GSvo24TXwryTr4qeaKLPtzccmZohZBBesEgCZMXDHqYjLjvrKTmnGZL02g7 xpsXRhc4eLLZYMXVOWpyz5iCKnQ+oYWC4z/vpKQmExLLe0gUGAFtbs0RNfbe5+edk/RdR9NolmOX 4SH3nZEU7flJhgXV4IprGMCuUKWAFfAh6/VOSGqhlwKF/bj5ujQggw/btzAOJk9XFtdwE358vRcp fu9sJP66US3SmmCHKxEgW1SBRBHdft7JSDgkqb8b3Vv81inoxUVJ27eQzDlMkVgtUuASkWWIOIBq Ee11VovvVKQWI+dedA6V5xzqAykc0hWLLBsipxRKAaaDcAaa+4X77tF7JolLYTub/PwQbJ92wk8e 1VYVjZ93IhK3co/NArlJjAxjr2RpLgr1Vk/AmWs70YjiPIIedmSzc6+8p7kRcPukNilvEVkgB4c5 4lpIU0jThgIc/VqFhI/0nw3Ldx6S72mwDY9fvRV1/BiynffzTkPCv7F+ZS1uWRTHc5bnYmYC2QWx A1qTcQNKRZXOFiuLHL4L/5Ykm2P1PEh90k0dOvSC7fHK7XIh0sii6FKjBKOnr6ro6jWzZZHE/PGr 8HO25JodDizLe5orPuAzKqPRHh06uSxZMxezGXzZ4gPYcXBFrg7mOWarM9L/dwpSC241GAxx82WB bNIkm8TO5HMpbtMbZLgwv2gfH5g1/DWzHHfTdrf4VeeQFW6SJltYlvccF1aQX0mL4MItdkuW5MKd wfLN4q2oNY96/vhFu4XdWNUZSO8EpE9jgUqOuvn0Hei5g0UHnqVSTQzrNqFfj0S3PTGXEOZ3+hHX nS5T/fiVywLgeVh/rp939hHywPWVtUAyD0q6GqxmKS7AM/DHdMsTKNfpGxrD/8ti5XBO9Tv16NO4 3J+bn0MbfQDMlO0cApPatdSRZ9Yq6XCHEw69ZTZsBqAbJ7muWpR9pgTJ7Omfd97Rd+cfD1UC+DyV e6cdNQcuQ6VHhKxGPCKSliHEHH6n47gn8TvriOeQ0K4UUORNi2InELAI+PdDtvnIGGVAlBTTlkaa y+Oj9E45wpogYwEkwK+KtgCxcXVm46sl30/5rhFtPz2l8zvfCBsF8fHUHaAEeHrTj4AYMZHvyefk mqSohOqohBE3X5MJoXNbA8zMbN+3Lid0oNUBsXns35Hx8C2TSgiHz8bETVf1KxGwWCHamv68U40Y a7+/nopUzkPtO9EI0YAG9XL0brSrcUnoy+CH5bnwh2HC8s4zaqEo9HIC2RczDsRpdQKRLN8bOP7E 39ZPp2U2f88s54eKOtWoNg8uKnPZJqZh8O8utf2884zYUBj/s1lqbJYsu6Un4yWLiczpyliLwSe6 E1gXOCx4IvfOMorGun0YPIl407oU++5hcX4kdbx398r6QmTh9yO8z972O8OIPVzgvjatVHd4V1il raKrN+yVPLttnx4uVAGhfqc1eU9uEdhZCZXQ3ZfaMbAJvWs0P8fd3qx8Zxc1eRwhxLbn5msyKSXU QIaws+j6rIRpIQVC18Ozn6STv5OLHH0EBEP3a/HWytbJOi3pf+cW4fde+2eryLn6OYGy7BaddvtB WFBiNshPkm8doiGy2w4vMp1AaROXTOgunEqPMwIObSC5HTZxLYTQugWjs8n2H2Lnw0hj9v7zTi2K 5hO8JP2qiSKkn6gxb3/nzzuzKJKpB6KAIhrVqdYly25lwUelZmIUWpAgwHZR82myO8yAm3dx1fLX X3aJNtnU/0NuQtbgxOB/yGu3Olfit8qSQLMQUvXeiUVsUcNJAN0rXdXGBeKcYdN+Gz/vvCKetI7x YQOBBgswFtDKpAkuxllQBI2horflLBZOb/vDWc5XJu3ikuzbJVzRwwHKjiJQH3YlT8/+QeCVsy2H LBM+F2M84r3kQP+8s4oQYGB1svqNq7NDRhVouw2+XVYmfuEV2JkdT9TNMlwENDSKqjtj3uH1UCN7 F4iwvadbKb0zivDWlH6xAnHGzYdEk7IMiHUQ8Z1uj4PijqhkQNjjSyKK451QxFQC6m2AS+nqYEpJ fgLUVH/e+UR8u68viWrm6KoLKJfluJNw7cnzhhBTIcBo67c5nLcTuHQpYb+ziaJRauVxW3HzIfRu Ez6hpKEdtwqFtgccyWgL8DmliUd+ZxNhXYhWuCRAcC74S0+C5p4Ete+fdzLR95yoe/ICc0ctS4pZ WAR1z+ItKIAyYJLZiM52DZjqNs3vXCLH5GA91F2o40FBFfu/4EMigtCyZW0XyVtVNhofgAv2wM87 lajJUtiSlzX8ysBLiSYhXOb6eWcS8Zz+mrVWmEyNgFWmiS7qBSh8MabYtlxSt0NXWkoVVjOhFY+v 6J1H1Ny+C1iFETf/ijqgPpC4g0yQRbErabLJMqz/olMXbYVa9Z5J2GWJCNCVrsr/7Ze3lP+v8/PO I2J0+aqfgZJY0Wx5ZxFxxgDiZ91q3+Jdr1hEk+ByIhba8vTlnUT0zFoh4Rc3H53ZdzQ2/EIWSCFl iHpPgCLTzdkiuCxszp93FlGTmP+dmLnpqmVZZ3GJbGnbzzuJiEd8nEa/buu3qx9G7xyiJhlT2Ncd FdDwlfV1oS2jhd9FkTWtS9rI1bCVUw7dvGeJ1Ziok0Ahgmkoz2fEIuZa0Hn1apGqW+8EooBrDygV 6Mo9gn7flBDOmj/v/CHstGhZ4o8eHQ9+Fr3Th3gWNScNtX9Iq8dvXPIUADfjjJ6gsWtZ0mxXzUoJ BPVHIMjylGt/unLSajV0cZSdBeJfih8+tkGsAN6ZQ1gUzNUPGXm8qulvCbD+WQvg5DTVjXki81qC sGJNUiDuIkhhjYArhOtuU/efcIXhHah31tCn13KVvtyyolqEaU0fZPxa6KiNGR1Uk35BG0AK5rNn aia9U4bYL0FcuWBE8+qn86ncKRBd/3lnDDV5an7yXBzVAHdpVbI8l8KUpV/15cDcVl8O01YJZU7g ahzHnua53mWZM25Od4Ac0JVfaMEcSrQZoDpRio1PVJl6yaSvUFA6w/VGV1+UsZSk2tn5804Uao/H ufdw0VkEJ1iLkqW4mGFASPMGm9X9GIZlLwL8W3wPk7aRInLZUegEjevmZzOJiUspS7H4f8UBwW+P JmUPmZVowpEmuOAINWps8cqgAr0qd/s8Hc8miVyg5pjOdnhORsKS5rd4vwvwbSX7+bo5pgWG0aWT VADMUkzJOri+KFVNg0BXWIgtFtX24vcDgQQOakDnXDIj27U8TajT9Z4Jvh+b5aB9qKvAhJZ5XMlz 6O2SwXOALIUjG79wVhDnIU1vER0HmdEUYzsz/AXk2IEFAk+UH9B8T2+9+2VfDum9vPkHVOD1SCj/ hKa73AyavjfkuPcTWKiKOVNe2SbEvy6/+hR+FA1Jbfvh2QTjf/dXYLHfGTWIuCxpExf/kjvGcq78 bd6DgnGjelCWucSyZDAFirDjRF5xU7SdZ2FZFsH1MPKrcmfAn4NDGYCuwXvmRzSzPm6n2DcEEXXl srRyu9zbbePg2Ywi853HLQuV3XvbM01vkcfVNj1fqRx2s0gU6ge5tTza2sTfkhVDW8PVGjfPVyzY 7X5p72I5ZRlEqJZJUxmLBUC/xG5BVYOfkLVb4CewmOisL4W6xnHg2Pvi2YRgtr87lhBiYZ2phUk5 ZuxDTUldUi3U+1AVtKjO/hy0v9n0n1mCu4ls76XNuDnYB26EC0RGTFnXCQtTzOEwosapHnrNTFpm luAif7rogPpVLW6rJOh1iMQIz2bV8/kkLSENz1XJWGZUIoTc1e8hBKpT+ZKWu0tDEdun9rcSGjbT 9FYI5Sq4Qn0sd+1gHhayMamCm/emtDwA3Ei9wXSuj4kUBS7wE7KxGdaDkvlUVsI/ELbeEgdF6w/P JqvyvV0WEeslPqM8v+VQ79wH2K6ZPHJqZ8wsdEXENEupZhLikM5je3Qe4e5iBX2jmV9Dx18qzR14 ZGjSoBkVkDnaLMz3FNd+QodYH2D4uhZ9PO0WNbnxW8tS3PXN5gUP4gSvaqbNXIzboXPsDpCV1dAg 93sSpwzhQO8pzDzFrYKr9LgFacbCfgeVz/63SYUxSWgBqoBhDbINB0FRaHdmzVxsrNtJD+kPPcSC IoEfKKk2ns3w/t9NbhxMJXot8z3JdZmoRqlwVs9kKnMSD7jp9Oq5gR0/f2YGVVBKApHVuHlTwXIa ++4Zda2U6EOpC3ugAw2/8vCrVtFrJssCmjNaoH7VsqzbOUoDZhPPJsXzqLFboNQHVbPtae7M8LhU W5kkdEBybVKhEbIbTXNoJLxrR8zNoArkS1vO4hkMq9uO7aL/kRZ1VidhZsR1w58jM2CVpzOHQIOf kEEJOYcccXVkSy3KPiwxwrPJdjkRXZjAWbIGfpHWJc10J0H+DmeHdN5wCMeIHtSAQoaiS9bJlWlA I6NeN4dY4my+0JuA5vD0aWyBTiOGmb9AZjzrMvWeSa14aKjAjuUIyRY7MLvs323J8exf9ktVEwq/ lRucvJUlu+im2uZoPguh2ox0ZI9rek8ocaswWlkvlwq2aEWcuAWKo4PbNoSbg56hY+ChInhACn16 liTHryzXlVPUphjkrgGyBJ9Am2A0PJvxWcf3woBNETXAypJd/J6AU0AZBL/QeqRdaL8MvDNgHBcj Y2Z1K+3lUqWlU8FPN98wtiuQpeCYtsO6OiaXzh5IcUALCWsbGu+ulH9m73k7qltdlbxs3zDgkuPZ DLTgcfcwz0Ed3T2pWzkol3TWEqgF6ntxdAYzAawV7NNcqWVlzVzaa1lsHOq8sGJdHLpijHYL21Dw Jq5CLZBnRTThM0IDnhk/IAEUErZAIRtefRS9h5gMFn/x7F86/1wDDPSikF5ZqiuDEI3NqHs0Qk5h OpQB8BQHFK4s1T36ekbciCnAAK1Y4WnHMj2iJrCoTP6uZTeDHqcPg9P+PP76rO2PNQFBS1e1XCx0 OVVxDzybUc9qsGaQcJNT643clWW64JZCKNAFdS0v8KniLdv7UK0HJ3xlme6WasCuNW6ByC3QFt0U D1hWfzWphLJNavuK2W6AT7ve8w+hZS0SOyjfSu1le7fDAG9/d8XD2WZZ0cqtmCS7nC4XJkt1cS4I wkEDJCvjphxJyqHNmBp0VUqFK0t1z/Lx2Yib5y7nWkoHOQhAxMaivw7mSPIx44HpOR3PQ/yEpGIs HCiSNPPYVtuKi/0HTACeTeZE5yun4xwm8P4rReViW9/lPkf1HjKI6AZdJOtjmVNzZPvKULn0iLEj SBiw/qj52Mc54V8ICifaLUUdbqi1IK3Ccp8vT8eV6iqA0no4ieZVLe4BBwUsysGipInuvh/kHL5n yENrUbJm7lBz7agDhbAweTxDzUqobiCPve2/smbu1jSkSllBNkIVx/MALhlTdyR0IHKSMUMneZxO AEbEXkGDAj8ha3Lbv4ZIn8D7FAHu1WU75+LZv8H9+V52qkLXSuuSJrqo8Kw8LVqXIxc6HA+DPboB yELz1uVKE105SRyBNM4jaGkl4S0w6gRkzf49QnFUfATnBmteAxFiClea505SZkr1q2Ku7QMesFZw dTybVNH9fM4hfj3eW1hplotdi36R2Hh1V3nNjd4oXI6uyGbZiMCS93O5KFfQ0vs0uTnIoXA7srpS Bdkt5JJDi/2sT5ubk9aV0s468AqUMedVbDxLnKv8NWbHs39pczNzwxLV6LnstKGLhKRSAQLouYrN Q4ovmgvqc5MaMRBZdq4TpmNXzf9nXWBwbo9D6QFaC0ULAmMJ28Ns03x16LZeM2tcgtB6aOB4wsAR Q8orN4Ax8GyyLOMba2krgia2ViVLcTu9HFhYyrO7sy6EiyZRUIecIvuQ8AnttJ/LAWpf88bNUT/Q f20wZwU5xP4UJ9T2FyJ3gADUxzNsAcyIn/CnNnfp068i48GFZSn1X3g2Cy3ta7cQPxfH885SXPZg D/hLDC1bGFRMWjEKp1AjDhOluDvlnSnWShyrf8SxQAyf1CLDqMjKFwEaACWt4FbsR6aEpCD8gAzu D7YMinFdheKwv0iaGlZX4Nm/iGOx+8/WapxEO8tx6QmDdfFCsW/xIcYkFIukmdu9z73Tdi5lWf5L sLKofS3fIgkaDItGdAtyXbDqfsfHIVbfUI5WaPTSGH4Vk+jeLQyqnXV4NhPb+w4t1IEORuvOktxO M0u8IkMuULUiQI9LvUrmj9NJijtLcpeUfno9cQuIGKa3g5sFQt11upw5GxBQxWwfJbWq98yUBABU IOZnPJgf9MpYqg/MinaW447x/REh4UW9o3VJ27ko2dbZCrR1aTC0IOF8KzUrLRIAlMbdkuorKLhI daI/qhMQ9AEsD5kCaWJEzMA3AT0vzhc/onv48n52luN2ArmxqXRVg273QsTCsBP1Z6fMs/2d4w60 YuMjyhALTIvnvd7lnpJvQTSkWRcRC4uFQeHfkoH+GVukw90/OtzXcrhDSAsgrWc05rhVRLVieUv5 CO9xtLizLJeSlZszkf3MRIiGYGJX1vjZucKCLwsJVZUG5j32S0o9AyzL/lgPiYUbrmk11KYHCUf/ n7EvTXocuJH9r7N0OGpf7n+xQWYClDxDdE+8eGTbY36iSiQKSy4UEEsVxNRo+T/6LfaXC7C07Oda KVClk4R97oINcL9Gwn6XWZcbO/TacRQwzIIL27lQsMO1ydPyXzICaEBFW2FnoAXCnGodARErwfVt zdE/4I5eVUQ7S3KpNt67HGn615Hm2uZuERfdXA5eD/eBJrglBiNlPrMiNqF2luWC3I1MbvhRWCjo EfOb2TfAtf9AuPBhwQ/Xgr+5szwXPpVA+oljZYnAjslZwZcEWOxiEqSgm8or6Cm5ijHyO9bIFdr2 GCNAD9fy/Su5OfRtGjTuv0awSlxSJTGfzxc/eqlYpt6CtS+uTfK5+SNyiqkYYHBclZNluTT56ndJ sN3eQo8tbXMjpLjCDD7EyWALvhW17ylQ3HO2K2O0BcUPWamRnQ0hLvpnOyGPargnhS1QevsAxc2j 8jmLTUwGIFOLaxPuWfDlsZPCAY5Ot1qXtJU7OMXt0+UVKHSGmLsZzSivcMMp7aR5ruRfqWCh0wMo RMNSKLEzVpcSItr+lWDZex7+Jj3kTi4lhpki5mw6Bn0GBqp43G7HtZkEUv8+LkAcndihTy6Kq+DS HNxeSY5HcKFPKxFRvbko7klxC8rnujQKuxwsmM/RvHoIPAddXG5FTOvGWv+VuWDSg09IWrnQKARC 04+KuZBVYKZqJQau/UdnQZhCsb18XVIKGnDThYO0/wjAIDX7UcgRQhE9aBnK1yjF5Qos2AS0bI8T I5QnKReOoAslJzcBECfiSGHN9yK2Fk7azgXbalN2YofsBCCgR925Wi+uzarFH9kJ9JVLBJdUHBfs BYjuE9FeB+lPhC0ATyWDHrzYWpWUgLbrf/Whvtj2xrE87V3v2cUTF45d0UbAzMWDC8UbTwZbwGDv ssmho2roXWQ8aBtExbXJSxT5P0lRUBK48bBkaS4phrs+AgvVTYL70r9QKxLJz9iSCiyw1vg/attA FcG9iby8C3FAPk0FSpQNXZpBGqc6LkgC8QkJmoPNxrWXHx3ELSlMzFQWrv2HurRonGj7e2vhpMBc 6rKzQCeGm5N1N6+XoqMVZ8Uh/ydNc5ukbJSX3Af6M3qx135S1BL7dJPFMvc/mkt/QS6rNd1nsiwg n3EEjGMXQaTa28B6GiqRuDbT+flpz2F6doKxeFIGWqW+AkaLXJbejw8VYfE8/kNiEhk0CLkpMleh pdMrjiffoa36B8+F0/mzymlyVJmcSGGr/HrFscd9sjQXr+ldpKGtEOPrF+8jf3BLGXHt+0t0vtj2 CtjBT3/upOBcKhnSRpJGccwE4ErZ6X+KMmCAGazHJW/mSgNVL9PesS40akAHCusy4EcnK24sCd0F H0MVEgzwARk6ly0oOFPyyFoRoUswVKv+cO2/NJX5MtmfCGDhybJciDMhorim8iKMjSU02SlsMuzm crkn18slvHSUHScPuUBwdEA84NgJ5UayrOB/2fBo7+8Imu8xPiGbiGByxqxulejmQq+wqI/SFq7N npYnn/NG1A2CyM3y3MVuLpTTpd5CiWNJfS5X4zvU0KOMcMo+uxK1EYKuPAg6q7bsCWmVfKJu77Rb j3MwCDbJeBIXFEy8z4x9RpFPTF15VIOulqumCaRhb+r70J9WlCRAbqT/9z3NRfeqP+It7NQt1yZx Rho0Fm518ZabayxoPaRfXx79eluIbj+qReSFfi4w6YS40OEAfZyvCjdtUfAJGSQKU0V3o3Rasf1y XdAfC6Qb12bSE0/MBWXfBw9al7/SzygkQrX2c4aE60EKmsrsDvVc+bjk+FzmuQLS9fmMFQfmTRQO hly7LbBMyWk5B4/2/czPCEK9WZbLzty5jDDX5ZuxLRR1HpvVivf/QT6rMKDEw681SblnKN6GzM46 xbJcCulgAi28QumuInxTvEKXXuP/kuJuYBW2AzAYoLnt9LD2YtdyY+zytdW+us+EJUKBBc4WeVQb qpal1r/Vbbg2U5xzhDtHBmhKQSBd65KCc5FxQALNIQv3aAgAubPjkIXRYl1ScK5612yy6+RdSyt/ bN+gpEDFaEVJPw2kJv/bx0EQbyw+4C9IjiVrzhriymQoSdt/dFybgX7qT2Tp3hnUsmRZLibjUJrb 0ZybLq5ct7M6LSdpjuS4OWCBzbndn9ODEYOqFCfziDPXRXXtd9ucwD5dKEpO31Qst1HjEzkuj2q3 QBpG3oHl4NpMLSviLYsnuaFHZMkAC2XzRk4IUFS31R6lbXnDzS6xEixLBlhwJsRQxB1PxB0cE8Er EuPFTcdVNFyoU2LxBzEIlAYujN9nRlVEbCGo8DygQtCV+GWHvei4Nmvmzm97Dsrtj8h/jlgQ1qCE zMJxLJRtHMMRCzVkoe4/EAvyrOLJYwtoIgNiaAu58llVP9UhPHb8kcoCHpdGO0x8QpL7u75C8aPD /j3JtRxr4dqkJAoLImZzgAXfSP5v2szFznAgqyX6TDSk0CjtLrJAaSPGljzJ1dMi25DHQrsBbQr5 Ce5D8FEi8L/RvQOtzPEAOe7dus2/xRZYceiot2haEUpyuGUEuDarFM8398f2DBavViUVEbuU8Z3T eXknYMpo8ajvD6akPy0ZZGE7L0+QqP0oQxWre6CE1EhWBKSOFTYBocjoBnjubro+hu4zSeYqlFyJ iOJRywJ3BbwH9gUOrs2ylhrLIr2fHdpqteSQhUXBieG4/01JT0AWkMkMyohBrEv65CXNcrUHeREw Z4mtqFiGuxfc6C1NgR8C+RAo3qxGgFvLsxddbtH4iISc17ANofi6i2JuUllYQyQmkGh5dQZa/kl0 oesNvk0sTspEa1R6JJ4D7+CgdS2QC+OsUOhoMxYnNzkTaV69y/Itjc5GCkM498HycFBAfhWJi+Pb jSIyjR+RjKPpZ0sdRx752BzUAAy9C370Jct277jfpi41WrCb+NqkBhFo3m76axO9cFy2EDlrdKRK VEf4MwmMzi0zxo6Tr80FGnwRo4XNekrcEmGJ4kZgqvjanF79TjNCGh1F4FPEo7oMuE5N7KH7S/CF LZ4bh1T9OWfH2mStXWwW9vI79df+hdwJ3QVwYhzrzga/1ib3PWt6YEacootpheNCeweaC9CVl9AN kgHU1TQUde8mjgLwEYk9XmHt2I8fPZep7DRiEjh4cQaRurFnVxQ3f4ij9rXJUl/4itmKTA847VBw GiESo1ioRgG9v13JvWQd3qWu1Ja2yz6PJ5xFYnQpxWPc0HZkwxvJIObuIG16c4q8Cn5EpnJPVVRs 3326sMMs9lFFxGdouZcUyzB9bUiWqI1x7j6rk/d5wRo51BJALwb6BA5nqE48ggmbFG9YAya7t+id jhB7qNK2F8EGkh6CyPS6lHTZjAFxB4Se6FFx+8ZHZOW1VLWuHxVxdtkkCENQhhdnjjT3Gd3jOUVS FW5otaTdXuAQJj35EHLmcRFm6EZXBzUQzKjXKkuEt0/YNDLpz8jkdICDLocDHZanVTZxlYz4Bs7d QyJZ2+80S24gewmJGB21OGB68bvtq/vL2HuxOPwCMB97dqpUiwF6HYXtAlZO48jidhRy1+kcMXuY aJe05XulCSvF3P1gvRFjt6WegyC7fXqVJhDeec54blDsW6MgKD4iU7/E3PGg82vHwlb4sJSdOhKQ hB28OJuz/ajqdgINyhON04SYqZ80gJDsDEbjgwEkGxP7jxXS51mbTJOha35fXTbpQZQdYCPYqUHb F/6CQ+3wpruDf0E4LsqqtKTKutJ2h5Y5j5pYb3nagCm5eXHyTv3alSJ0hVBdLWnnF08EnEAcCY9m G/vhe8f4pNzewhMsBzioD6F6+ittCGTQHc5sgbIh8UHk3tV2vO3rrV9w//gRmVECDZ5mHPVCQbib /MbF3C91kAiijYxBiD2PFk1NDdMAuq/odrg6w/a4Y3d+j8McRkiE1sQxzXaRKQECgWPClhei5vZA 7UmmcAHkSYUUxGGJv+EC7R+mQE0s0zDu6TRfjKNzs1oTPMz+AC/Oaqlfyj2AZT2KhtQ0DY01q4Td cbEe8p+p0EA1Fio0EOWjpcmgDpTKA7/oxknPTT9wTLnEaNpeOJmuA38Gbij++XCF5Q32F9M0hGGU qDrqfapN+5UtT+fF/w9JzE6mwhNrcts0qqQprmBmQLMTmsjNgJfZvhKxJvVNO8qKJcLQJSNH+aRB QMIlGckq7Ln4RtFxuCKrwf7teERlfqlxGqcpDX8AR4/DgJV0SXP3xYuzSvNHWAq4kTOf9ynrBQNz aznG9TBchjMpoMYjANGcbfZYmjQpvvIz/V86qtBMWWiqbJc7ETUfhI0//G85v3Zo76p+p5lQA7WI ge3d93HDshDPhN9e28qLk8fmV6EN/mAVLV1fm1SL7JAIU4V1gL2Ekj3MWZ1AfNfwmUpNzNNcJQLP y46TFwxwA+2AKYDDZq8dk2J7RQGbhALWedoTU8VU6p8GeJitCsVU7wyQPDhsAg/RJTFLilugqhhr 5iVh2ZcmU9/ls7mpvY5YQ81gNffIsQSQaFMxS7Hm79AHZHhx8lgDg1P7DNSZA1PuJQZ+4QgH2tj7 i9ksfqdZtCGtYg4/Cp1o5aW65VbX8+JsttJ+pvxQ+Djh6Jm6qB0q+0HVgH3hqnkCLD3PdtnQ0wP9 UFMbtSOU9+0rTs43gW5ihfzDskq+3bZ8+4ZDsD3/Zz9ybRLhqqmRGnEysLb3o7Zvq+7VA7VdkBdn M8r+kw/j09uT2qRWapCBbfbUE1eGeSWxZigzwXjpspi4I3w9M+EGH8dJSpYnx7RyZTrfKEggNhKN IeGEP9PB/PXdu8FATHf6FzjeGvQ8Gic6fvaaNmkOF138D18ftSc4gqzP4mQZMafhSy7KmzTW6jgI jeWAg+iBDq+JnZrvAuDi1Dj5RKEsmIdxl4Li7FZqg+ZJhdYRwKiuatHjRjO/FmIgsEI8aqJgG4Zq xH0qL868Jrx1wx8XpXDrT7jJIb8owEetDoaYxX1Pu6zrQRHp69nAc0s10ZTEPw/dRqJbYdQ40A+F X6O0orGTAztzaOYboF/55Kaeapy2TKArddQkd9SmXu/sjRf/o1jQto8CacTapK5qyPPR8y9eSNG9 jWgrajBSjhftHD02qa3a8ebEnHHyxwY0TgjN00CsduJpO8ls2BH/fIlcbIzzEzLIIvBnnYKinXrJ REQMmrX+sUey8uJMsexXJYYlS9AtamqtJqcf2Hw2Gk9UFQZ/aKEtDzHM272rlXqrOfi3aZdqjx3H IP4eeQt0HNq9XdaNmINg78I8x9fmcBpVU3M17lINsFgdWXVbtqTRlz0+mxf/A88psWLAfx8j4QwX gZBiQQklDaGLIBA14iIwJpD/xD7R8Ev81fDUEPCgGT9PDnS1v3cXGKnAi0M2/lBxCTZq2Lwhaa2l abUXv9OMF0ljGxq3h+Yi8iXVUXBG5MVZSvzQLqDEfP7QPc7XJmsUE1ExRMlB37ZdbxnfM5TwEBnk 0SZxWXuMsyTowJMeG9u2LevroOgvoFSRsFVqdwHq717l3kSnCm3NbdaY3Fz2te7UKwUaHWlPtshV 95dxL/Z3behMWZ6WVmq0domPbr42mHq7W+FpLWQdRMiY+jNJkSmzTxoE6RSslLPsrYVa/BitnCJn 80NYI1Ar85nvUpGq5mZrIF6Ql6sj877TVpHvwtibF2c99O8GXrWBr/GsTeq3BheiArtYYrDKeVhM 2zd12Jk+z02KlJCE/rjPyZ8bKxasvKTMqCVs64hrQJQ7KHltP54UEYrzpJimhbRFbaEbAx1V2UrU o4v/qUpbZeb4zKVSzzWQCuy/b0qAW9nO1OlXmsYAS6Aq903q72K9g7IbOnkFjjB+Ze2y7C+d4fC+ xYYbJNkkHHOJ8ayp5xrGfiihth8FqwdTUopdZ/HirMiMYCOlIWTkz1gqtV0ThkFCVGJTuErtknQv 1HXKilIq8V0L/GsfgtmMFfgasMX2GFt8prXZ+HHGMaumHmvDLhg/IVkbaKOHJmBUUvZQXoLUAGng xVmR6cEG9wVUS39epxQXTF+Kvq73s6RpjH2D2FJCJsi30cKkxhRCB1BgXSevMfG4b8Qz270bVHsl 71XZ+/uDdC9QasXvM9mg0OIDW8aPSvlADGSkqWXz4kws8WesgNbOgyOvqfUaQhjQAT1QE9sBWZ1e pURN7CcbTrzXZEaPx208J++BbnRqgHy1XAAEUPa7iFGrwH41amv6kHf6nf5N0Rj6JTp6EOZ4vshJ t6b2a08PlCgbPN41zHRrasC28fjavlfCa4zrUKl16mIPFzNbVZipA9uSsVaXQ2p/HFLRzBoUeYXW 2zjs8XW4D6F5jnTkmfEKG5B6sDHjs7u9flSk6ZOdFEyINi/+h36v8jLA5GJOl7qwsZMwOZb/zyX5 WH2JTh9gImEbMdh8ahIbNsel98FQrpNWph1LhHuVaKIFHO8fg0aKp6SeRx4EGiK60YTEs/4vcRLc xa5kdlTd3j+cpKoaX3inwsb8L2ZsmJipqKT6KDvnQE20E0apLQS8aurGprYEsK9x8hEmvMeWrF5g WaF5nnZDCi+Xpz9MTX5+RPJCFQo+UN14urqxlRpF1m9jlcWL/+myVelzUEEj8rVJCXHQiK3UOiJq gnMrJDWT9qXE29PjRmuTm7IxkauS3Kk7uPwb1hf2lgKjNQ7sNmjEjKEmSIl/aAvaJRE4/UYzYimG l23HkXUCoo7UlQbANokpm5rLvj2hxYgX6nlq0lQYuNjGCPAf/qsJZI6aUGZ+9kLsE6EmNx3mizKl hjfHQ0Ww7R96HlgZtG0uxRPLLVSwBHrxS+iho1RNrNk0NLsDzRYdFYYvO7T8bosX/4OLzBNnkyH+ VhN3Npf4W6JRopdF03aqqFwWB3CV7aHmVVN7Np9hXlHj7tPLOtB92MKYN/jXSaGVmBxggwgKkKAX xwP8iCSruWxmzeVHR0wcmi7Bqa3y4n/0hyl1fFB7xcpkmTC1h+f12Zx9hVpcA+J6/IGlR2TCiUEb VDncIGjHKWLNtooPQwYIzMDhhJt3GyzyqVP4kAZpt1VThzY8EndDFExH5TWIbHwjLAXgxZlLw/g+ NRiPn+9Dk6r6Mq+hnzzrp97ELUVZop7WhCBSLE2eCUsaTyPeOmOH4gAB8xUgSeYpVbMoclnoH16/ s6hy/E4zbTy0smjNPMOaGQoVQzT0hS5fYtLmaqlPTwIJPWKqr00Kl5iUZGDnHGuzZVUHBwug5IAI BexMDNyau7Rd6QUKZVMDZQNrzIb5CEkba7nqQdm0m0dtiT+tDigduvkRmYgtuNrAU94HVYmNqfOV gD0wL05yvgD2saRgU+KOJ+lLYcTUbAL0fGBQdyCbB1X1TqWmiT0KiFdJKtbUqs11sidND3nSO2X1 n901tE4R0ZAlcY8CS7/hFjeJuMKS3OZ3+pdos9kD5VHNLCDlNM++mxcn+/f6GdRRpauH925N3doW wS6KN/hN70P2kZ0FdSFWVFGJXRtM3yisyIxZJx9iDvipddq77nubioWOBhHFYe+j2UqzB35CsjR4 bg4KOh39uelXpXc/hxdn79Svj5393jveqNSvjfMPyw6KysuL8ZXgEp0sOsElTixMBiMWMaMvMQnX V4sf6Ps+BJew/0isgqWwqGOQqqDX/6jxHL/Tv2ggwHvTj455bY4ft8XixckW9ejZUmIFRj0nInFq 2sY+bWVSyQqzr+qACTYWBJh4KszEtS0gSNCuiJP3JKw6Pm3LcRbiys5nsAgx8cfms0WN6/f5F/MG 4kp11Nx7lqVGlK06L85UbT3WNKkx8n2K/TsxbrOPpEoz1bb/w9oL1QzyGQs7m9OFOYnPZCDOndtU fC+BbVaJ9wmUIfiHUpbHgk1d7tyM7oL9qYetvFQpJMZtkFpDjBlEoBN3yO94jyABzX49Xpxhs341 v5CEfKuoxLoNPG54NlOlQDLI9tBMjMAhZu7dvs7tG/lw7t2mDlYdPU5eYEIWZcBw1kpvi8iTzKkq R1Zg9MGQ9NrbX6i/5sOHzlw8evuzXhbPfezOi/8fnAX+PN8BZurf1mlaX4ZnfZt0V/pbiHxJpYhe 4oVK8RIidCwplq6Q/gLSFgbQi13ydZb4U4WIUUyv2nrKKCrs8iMy3jIH3yOOwn9S/of5MLp8qYvb CQoQrqrI2kt5dqi/ZcQNWuVBXSZCH4CJS8VFCN0d6lzxucmZdO9SlJjuQnK+SV3k9C5QAOI2LEU6 GBiR9hW/0yztI22Zfqs7Bt+LJf2TEadmbu1Ep0+LgzKlPmyX1M8NvA3ILV5XjRAIiVL0dreQY4ET Q5NqRE0M3RC2BAdYz8kTP+bF6AYDoIU5OFfn8u2DIPh89Es37VZrYuoWE5dJPe3pry38eX11LMfh xRmc5KfKxG52Iif+vGY2PfTRJLG+qPBanPJOTBCnuzLTtHfqNa/pRXNQq7pZffPk3WFLhqkRBzVi CMhNGXkhP+UfJey8fQWvXtOaLpqovUk1jr4q/fB9Au7/85rUdHD6uXVv6RfhpyUcgBOMbE0WDWOo MYJGaOOYEsYowOcOizOWhgH0wjiTrMnkKzQ29yaefG+yxwX/kSyOuadMujrZY8A5IkT4mjim+nVN ivT58TP5UfH3IoFUiWBZ8OuaYMevWhPNWIhUm1qT12SmV5EmDwk92JcO+X/AqgHu66NuMpjwBr2m Mj3SPOw7cdJzYrnqGRDoxzAXyKYqKwx7Sw/VEb5SlLS4eM1k7CaBFbDNCHgjHj2TOVLoBAfr85rH YDWpckHVQowbxh/AtrkirzkMnhJE5ilnJQoAXHX3OoQS1e8s1Aem4/friiBsU2N9kVw4Ivta8JqB sxdchSCQcKjqh98UnwkA1vnKUFJv8TWD6UpCbDEoozfnlKc5fP82E0lLY+vnNYHp8jXRY/KHFFg0 KbUmr9mLXQG/UdSa7iZ6j3Pbh+SAMNju9Xo58Jq74Kdgt4Xir37yYmBbxG0wzFlw/d4uD1wk+wup 5fOwEbAPfl4zly6e1t0c++9wQ5twJeluW3A+r3lLd2FvLUmlsUWFfjrX5DVrwcsG+jT14CnkVDRo AkcD+lZkIkxiq+mbk6yJaLnjyko00KnLHhmLsRti6nDmsQulOM7GBq6B7qxDRAqBjK8ZC345TN4o SaKjg19ZcWHvnPfzmq/gMenz+5hQThwDLq7Ja7aCoNwoVVpdlbOUPVwgTv+yMAi3MfcpyNaECdyc nPTP6YPmBdHSjYE+dFZqw/CNs2E4mVd6Rt7veInK89mrg8fkQgRcRyUq4LdLIqLWz2ua0h+pIkUT Esgxu+eSvKYoXZp5aF17OOlQhxPn//jwtk/qbFK9NQuwbNfNzleHpxjTXuhxoqEJxtOWtfOA8rKt wp+v8MzCOOLzmpx018u7eO901ENiObcUddDmfU1NeGf1+5CAYg81fkpFZa8Nkqez3Vm2ntOEH7KP 2x5Kyghw9Gunjm86N5K7Zpy0HuiqWxLO1wZP+eUuXQeHEXiFgNaPjA2r+Hlt1DH1Qb7WyfXvzvW3 3RiyE1qS9Xlt03Uv7J/3hh68xWPJa4+O0fwyt69/woJXMzZLudyyAYIXQfXP4is6YHav+zlxUQYo BWdc7MLbtt1LG3iEAJoUOaTKSYKsDV/7c92HSLCW9KPwu/YiqjK0cu3z2p3rj9i8Xhx8W2Kyla1l KeyiJFzZ3vFupBKi9Bkss5HCntNGUL2yfI2ztUGGuk7+qACZA1lSe2TtGzRxKysK5QY8sowsXP9A bO3s7VkckjxHPir2zC03sR68Nnl9tr8+LHsgIoLMnsuSZbF0HJvTxUnhmibmbae7ReeoGhKrDhPP loXkgrFlZ7dPpGxlHXs/0IizzXgBEkakPVt8h87jT/9pdr/PJMwCEXNRWuroAPq9JI8Cja93IlMX Pex5hQiCgvy11uU9kwXVFogYSWIjsMikmHqT3V0y0YMNREyyLpfhekz5+81HRGRs4GBOkwyn5YjX 7VEwsCI8sDyeSqJ4ZcksNrRr72PzY6CojlwX2gRkM0tT1vxJ8HuA27QwWULb6QfZvb0C72MneI0z 3J3g0nlaA4Ak5KrHPQsbSzz5tPGiSwM01gI5YlQXpMeTB0UXduQceFf9PpPCh+N70OV09MYTPKFZ +By0/7P4sto3fxvUTLQdT+vyntQKLwdlVZkqNSn0Ir4sFUFyu6nRrMzWhfjnpR2JJ89qqXcAlTiL AyD20xlxYsoO5hka3G7XNtTj+Ft0masuPypbgViRGgfs4iar4m7weo0IwEOfRauSpbWEynE0VNWM W/Kz6+wuAow4AO72Ivk9r62R6+/xnPxpgQwf1D3RpwSL341jGgxhDvTIHlLX0l0mW1Fh+4xSHDoJ CLNb3xLjGf3zzlzqj/7Z/iONBJoV9ud5SZPbRXmDAMIslUP2GU1i2TATWiX2oyy7nWqlb1WGOyrD hp5BK5fgKXtW5tLwmiRc0q9PtA82zU/eiUv4cniBNpv/W8hMak72emRmXe/nnbfUn9a2l0FUBIr8 9p22hFcPUl/Mg8XjvydIkiSco+e/6gOdyjLcyRHRJGNbJ9+mbUdC4dPZQihu2NCZVkFzYxKiKflj cY7fk1wMCNFE6FCe5FE4j2XFOVNVq6U/75wl/mjXHxhuXSiFVrxI74muHhdbDY6imdMNl1RstNZC 53ZBkFoojyzTpaaZRXAVQ+0phgCTv+XQDnIDKi/kGdtNRRLDj7WSsEHvma78X29fzOpWZHUWsMQY Bmfx885XQvpy10/6QpvAyOqyVBd3g7RTkJd6btUCAVjZNbW/QN/RcOqdrNRjDjL7fk5aFvy/U6C7 hM4kROc5gJzIYjYBMI9k3o77zJYFegQwIdHRC2d70DlxvZi4ZtmuYKUhg4sYBU0X9eGybBfthAqt E+dVKKZgFD1P91E06x28Ru9EJT6ofE4kKc+T9xMslymrwNBibQsnVYAqKuBMCc081P17dJ/v+9Fl 4wldNB19XfbRkB2o1XeeUndh2VgXmBx0r4veSUrddcfvrG7cYG/TdkYFK1oo8VMEXKvynux6k9OC rcrF/ZSL5Rw0fAns2PaOH5fBR+cJo0D4/PlLRFe6zztFKRrZG+mijkrqLE0q2pfG/rwzlBCwY5fG YpIy8DRts66tu59UIqGIotYmDcDLEHdrgc9FwMs7P6mLIMM9qMfJl6XtiuwfjZbTkM/SJhOUQHbP Md0JFu3y+8ySF+oR1ThyMexHFAIfugCfd3YSl7R8k5dFoRxflTTRhQ5zwTYgHgW1Qv5DJ1eN462C f3B178wkphaKKXPEyV8hWwf75gOhxV6l28WzUMSF8uZ8Qsug6OQ7MYl1NKRsMdnTkQ9LgxmR9pJ9 Pu+8pP7Yk/mq8C0eXhm905K6W//MetRTsH8NN4SUuA8mrfeEmsw7K+kppG2/vXHyljYSexBEsS4b KHuuC/q5HFM92zNZfu+UpO6OMLdAwYBHNVzO3sur6PZ5ZyR1ubFqURgX0djAJFCrkiW6tCM7BFGo XnRP7w5EitK5c4Y3ot75SL08ocXL6VBWx49pazQYWta9R/oFjRCuA4QXLZvrV3H+nY7UJYIKd+bq R82cAb6eKovG552N1F2uJPI58MvxkmtZ3rNcdX2bhMYoByLfKVSLBIvSy26GbcM7F+npesIVJ06e /1dLtMHq07K4YBXr6gats/NTAbBl+U5G8pnTHUREjQcRBeajIMn26H3euUj4jtWfF/xPqboBQRCt S5rmgqTVmHtTBO5SORxQ3cEUD+z8HdDUdyISfkwlLIdScDzpcTkXOS/w3dCV6od2bRZhrPSFKHe7 P4XR1W1mywIBr3abH4WhuwQBs2V9P+80JHaWfhr+WP7ang06a+cOYpmXx5FKoiXFP7o0FTFxLtUF Hd5pSNgnrvf6a5zUuiwYKjdJXViCXvZSy9+eJ2i4t68jDG3FP+80pO5qDhd1p458Wqz8nMo8Fpbl PcmtAUnV0zIRatBK1rJkWW7HKm71XOh21HyI2OXLS4+/5sox7yQk9hawHouRSiffis6825K5QfR7 qV4NQW6F9AnUiR50CWN+pyDFS3SoC31CFxpJ4Qz7kvV5ZyCxvjm/U0RIbnja8s4/wsMCE17I6Liv EmHkfIdABaSv0kWg5Ab9Tj9iyGVQYQdUJ63KBNSESleYrZbZvOVrH3prlYS4QwqH7jKZNot2VIof tSgX5pB/qFIwPu/co+4sl2dREOaGtxXeqUfdnYkbiWYgDTfNlsGUYJ/c3iA4xDZflLyfq/6TbIFK iVxugYQB7XpanttDROeTiX0AnkKNVJfHUemddtQlg2NBhA6i5Rttw5bYCtPPO+uIu/Pvg9IIxvY1 yfJbjjtpYc0m1BluwGUlCqwcWCRydM4ZfApL8EzuPieHJdCz4g5MzSbNmxlVQIgCAaM9JvDYp3iX SSnUMUJE21BHBRXb6jnGtRSA9/b/iLWVlhoeat/JRogG6FpZwFI1CO0ldW/hedIkK1Qeyuc71+h5 UOYhjIUn34HoRQayjFVWp6LDgEXhVH9SWP1ptMy2dJ9Z0g+/80FoAjBD/ELQKxKCZVu8+rxzjdhR mP/rYanxsGTpLURgIcWsdaExrNblTs6OQJ+WUeTkX0nS/qEFac9J67LHQageND058mhl/otgZWH8 UeCvfpPZokDLF4LROqrLAg17ZXF4VrL0dt36OzyDKad3E94pRgzsgCTIlBlF0Q1wAt4l6e9PWE1z W35nGHV3cQXr6jl5ULnkBmMYgkz3VPa2rYgA1gl8vfWQ7efx+0yGIeivIG/2o14h6D1wZ7Xg/nnn F/VHtmxL0Y0G1ttLxHeCET6vkWqPt4OgDZJB5GDHJMV+VIgHeLDNe7gCiGjSWvYjXmGVlmXJg8yr ZhGGbRgrSfGhB8PTh5U2SQ5+pxc5CMkekzH9qEYS8jFHPPXPO7so0FhcFwLGcJNgK2lhsvS2q7qr Xg318cAU5MiLjKKEo9I7u6gHSWR4mrYpnrDRUcAbVdBDscW1b0/kHcALhP1jnlkfKgSbPZ93dhE6 QlgZYOj8qDYu1Az41SzB+ryTi3yr/RkrDo00tTBpgouN2ZkQLBPdhxfdOPc9Ad3OFyZt41blLGQY 8eRv0tqNvysWppy7RUECYqli38Sne1/uMJV7ZxY5kghuu8WP6kDZe0TXcoy5Pu/Eov8uE+mzBoxp RN0sw4VFL9TKjhM8S3dgcuNMh0pu4JcrwmR9XMn2WqrW4hTIWzgpSWfeqmd7BsU+ukW4ZLTF400i juOdVOTdNZAG4uhwSrf3s2yrft45Rbi7GEMzocXGiba6kHJZjjtBb6ASViVqoQgCxtlQoyg/yr4u Oex3RtG3rXDLiJOjTEeDXvXclEUcR/KJpHc0q7NY38cuTUTyO6MIL3wjhXxsHkVmmFbjHz6b0+r+ zzuhqD821va8NM9e4NOhdUlhC9h5oWPiTSiUtrD160UAS8iOP825d0LRD15Oja/7IJKt1IU2cmNf wV6oJUb1JFWnstX4YFyQvn7e+URP9gKZaB0ZeRdciRhNLV3+vNOJ8Jafn/eowm4qIMnvZCI8nodO BFVBBR4fQvpjlCyCEVALXj+/c4kYpIrgCidO306uZXaQfln72MMueD8NIDCugU1V9BVq1X1meFP0 n5Dr6BgFwCTcFE6/n3cuEXPd36IICOntw7N3IlF3tquUhWnvzX7IfwjivJpMT2L3tCxprst9ehzO 0Hhy2NyE1AOEUmC8Y5nvEKkRQhVWdf0BWsmjCwa6/IRknwYhZN4aRy2LRfGmfclS3Xce0f+G4VJc Aqw7rUvaygX1v4drXUNTXuuyONJEsdh6sIDfWUQ9pP6oX+4nb1piCn3Ej7YqSegWC1aAByIUQpPW y0Vqb71ziAKwDb61H7kYK1QqIHLxeacQsTv+AxU7VVNwX5asl7tlKU1xTPCOVpOWHTolQPYfTDRP LEua7qpeFFRsfqFixxLbsvQW0f1c3TvoDgF981AVb/Wb/Mui0CdQR03OLHGRKeoCPDnNdfsPkqM5 DktrksIVkPgtNi0cruBiZO2MHnAFaRDMzztxiK8Q5/IUsdDJO3OWSyHiak2AauWGhboDU0GkYD59 pnDSO2vI5/62AcE86NSvedDupG9ZWOe1yTbUf7CnHQV1ian8O2OIo1nxEgXHbbW4L+ZoVHVE2jIl RYtVyfPcxjYLQRyPgpotxNrjXuww4JvbOmvZ0KOaFkjGN6pM3WTSWKD/Fl+fE68PHJWKDFHtDj/v XCFifn6buESfDh+FjCzHBSUIsiPH4acrnKaa0LlWFe01HKM8UlBuV0NOlcQ8US3C5mzQ1seyaOAL 6Ys+WHFU5HIPobX7XSb4MFASB9o+OjKogE0iJFQ5Hdcmmdz86UF1mE96B3ek+S2q4jsdym7vNiky aEHRPwyaArQnVEjJOrjkFXQK+PrJI63VRgUsc/YqIfHihE73UUIGH02o03WfyQ6EVbmI4Toq7y8k 2ZCSyGuzyfMvUnlj1hCkhzS9RaZu6Ybrjo06XZDt7CEdfftdUWYhjZvv6S0ygiq0XImTR1oYeVOG zKKLBazKHWp0TpOAM7rfuEJlzJkzy7AgaHHqqDE82GoyDZ0d1yYo/98elCULf87xYDuz7Jb2bvd4 b79eofzB5ylLkt+TqspalgynwPwRBKoVJwVbMOWtdoEP5gZv9To2DP+7Ys8Qtr9gPne/z79k/RtG DTpyWWC2zbe1jXFwbcaR+QFBATCCtqCWJc1uG2V6qyzOW5U7JtirMQSYIIYJqzyzPi7xUsjfbpwC q9wwZUVvH0/LbVIF7+zxDO75z9OCzRyf8DcMFBhHOvIlsjxIadzY++LahGG2fybyFUhqUPh9YVKS GZVCSe4FiPtqyxmogSBVi4UZpHUh4s4sv93ebtk3Tj4fgq4siFXoKgCeSycPLP8fTl8mReok2Vz9 PpPnBf2Ei1ioo/fnCKQuNKTDtUnMLT/5LeThI+ufGc0Mmgr2tNjdHQYXtOwpTnzGVdeyk6I/uCo5 UIGPi3SRxqOL1OF2aMcBWMu2TZqasMiGMDED17k+TlLgH/M+s7EZ1wN8xLtdtnFAS4vx3V6LhmuT VYkeFBaQ42C4X2pd0vQWAh5bMmPsQV1HQa07XeH7AL8qqlnONeO6TEe4j2A5A2yNjIhuQHheqmy9 wSqHIDnQFYGZo9XCfM9w0c1BDwr8Tx318tgLy7YjNmpcm7xGZ/w0uS1rPYE8nWkz1229lxtB0roC L1E/nJd10iCdbTbTDFecqVGfk0+JoDZPCTpbGoDcWTRBWQSCP/YoI9lwFBTldmfWzMUo5WJP9qOa uRY3CBRD0ohrk6A773f4TGXD8jwu7zkuJrPQoqMbz39ot1C785zRGpocs0LPbzDqZjkuBd6xIDVO DuHooIhADQxKu/ab6jWi5cGAhUF5GFar6DazvQgSWkBU6KhlOYQiIZ/fB9cmtfN4ikSo9dHh1jO6 mQFy2ZzWaFQdqCUKDWiVwlpavn7cN3RmUAXJtkBjmyf+CJ2pi/2ctlMjdbGHZ08i29H1QyDb1JCO zhwCDT4hSV0Oe/9AFPKonsL0sZFteRfXZqC5nzwXM5ETwJaZJbqckgJaoQ7Uos4HEBxOsxr07/NR 0cwauUedynV7nHyPxmi/dAJbxoRSH4ms9EcaFKnf32WZus+kUsSoaIPerKP2aMsHZOW+ysC12ePy 25jD7LWV4OStLNUFK7K1Pl0SCnHSkVD0c5clZvUh9Mo6uVulYuUMjScvFS9QJ+if23No6b/08Sf6 f2hN96+rwiY3fmWZLjtz9MPQURhLBms+A6Ph2iTTXf0bXaBicyNzWVmmC7F6SG4UiohhyrXdNmCj irNAvEo7Lhiwsj7ukXzC6TtOkdLBl3hzUARJZs74Om3BGuCqwCqHtw3Nd1dKP0OmOwu9Jkp4TdSy 5dkFJjmuzQau/4XiQA3dY11ySO4mUKE6ZOFS1gc7J0VB8NxcSkpzXbJGLgVM+tyCzynjWoguxbYp 2+0xJwJabnYFZ2BFGqGEz/gM/Gh8QIImBDX4gGaio+bQtlmrLrIHGtdmbf/z3aLlkRerkuW5Y1FC YXvmYmmcc2ZKCxxUYYjkO5TluYcA5NWEg6LAtRUhcAnY8ASthJ5iNqIpG5K5QZvT6G3bb8E//5fR mT0Q1Y8+InJxD2zUuDYjyY/vGwQ0NLjNvihZmktyoReLUFOgri0W5WojQmUFrq0WJUtzlc7N6U3L BxzWj6Wh6Bsh+R8AVLG1QB4XAMNMdQN52nWff+v5g6ptR4QxySj0S7f6P8uePFycPSwecgVZAvQe JH2tTJbo4sWzJxHevzRQ4OoiuFTGQ3bnMGzl8Gxlie4RGJeQZp08uBTosINsT2FUjAHYdJGTmfZL z+hom4RPSOpF2kJd1os36kW059ihtjJj49osuPhbtP6IM3OiablSTO6msKwzFS0greuSYTe0P6F9 67IKGSaXLjFgw944ebU4J8jynSY2x95WcbDgqg2dc/AWzo+p40p1FTBmwRrXOKlvafuXoMoHy5Im uvv+BBfKFXueu7Je7tA3wUwBIbevpUEiMAvqetsuG55QK8tzvYg+LnHHRkjFSHFYYl6x6WNIZGmX vAEohgpiCIZo8bSg4sQnZJkLWYqY2vpJe7Rte0fcs3NxdTYmitylsDI6rBq1Mlmqu+QFVwXYhjDE 1FRxYxxOEHdp4ZK6/p7qjqnm5YzmZcNQYWiqiCl9aSJZgatDixYy5zURIapwpZkuPfnQydNRgdee 9KKK+nRcm9TR0Y0iZgGJZLxFaUcX3QQ65FUi2zkW5BiE8z90dI/ECRF2846uiJtSoNjB97UKGhQC /jwLCAypmDQO6DGoOF8e9OKodaUdXXRb+nmOCi5WhMo41lJIXJt1F364RNRsQXTjuuy0pYvhDCDK DLCNuJNGqWV3xgKSwx4oMvJ2rhWmlKU9Jx8U2Q5k0Qa2c5Z7YH6u9wxVLMWPfnp0fptZuchJEXnz NXjzq265KNt+P3Btsizjl6doNRJmx1qVLM8ltXAS/fMfengPqQv3MkI2rCK7GXiFdso8u4SIUUNf p8D9HDxsyKHWpqkEEXTkMwOX17/OYQvaDPiEvzDPWEfoqKni2FNMBrtHXPtP1nwg6Jpv0TvLczkH OcP1YNE4U9BFCiKbS0tKiwu775R6RqncuZTnruhFASsH0WhgBFYBWLkx9mBzszTa3vJHqmSSBb3T ji6Q7ZuKJTwKxmGlm5psc/PaLOLGsFWizEw+43nJMt0q35HqsLk2gjdjO0hxlYW6vdW9047uEbtK ej8t5LlRIgHTsjbzf/CpZTRxaVL0Z3zFuZvfZbIsSP+hS+BHLotVRUWEPPvpcG0G+P/FWzLHuj5Z 3FmqCzwyJKHdcaSDpsGYC2lkxhaY2DcRFXeqHMZqZ1IRTCdtRBQEszKmM/+3/+DK3fzfNUhjPjuR 4C07ZZ+hZzmxe+nIdQFvUCaVGBftLNMdw9dF3lkw4ooNeueABRjDVsm0gLCBR44WAJAVHVghAAIl XLlzlQXRfO+Nk2/Q27IiauNAk3ujH0pUIf53NH37Ed5DaYZPSILupHwLGpg8qkd3izzQ7HsuXJtl dAFbFm4Z8oqe0u0MtED3SSt3t4MWaGSGdWHHSKAFdGSYuOyspXvoYTnreU7+vPRrecytxOdS1IZi ApL/LBBC/6rvcby4s1wXnhz3LLqYrxpaJfeoYrdia3z2/0NkAZsRCfs+SNtZqouiARDi7qy80oaL LFz335vzhOveTmXE6ESI1C9OPo1GkUQXVqAtQb988Nx46Sms1r+ahDvr6DYKKmNX0VGwny7CKclh n53iFmJaxOliR7iNlyiDLVDPs9LUiyAxOpOCBtFuqE3vth3MvbMsdzGTm3v1OHmfe5NNREnlNW0H 4uJRW61CSBLmPTEuYitqZ2kuBrl34BodNYv2xxz4xIFrM4zL+enQIWFqj+JcTj5D9o86meXiUaKL Mg4bK6euBSYzCrqpxIIjf0qPk/cXLEtZ4M7hYQEPWPRxSgY3+GJ97WCVuaRyYsxcUJXqqEgLoyQ1 dPfFtRmeo/7sRed+R/QnS3PrpAJ3CPENzG1ZLZ6wl+sY9+gVOhlyQVsRUdV+8nbuXraLIjQBD2XJ 3ZRdHX4xEBtoo+2cPErinrSfSz4RslodldDtoi0aKTSuzehnPZYFmBX2dD2hO2lDFwXRFd6fqs9H 62K3vh38U290o04KXRCksDKU8uRlkdWAsOXBFt22l8+ABDEeUEv0oXDSSu7kemLkzsAjgkfl/60d TuwgoI9rM0GO+X1cIEtztldFJxXGpT0uXVHJVQws6iAHmPh2ajoxnzspdEEvURG/tUjJikjLAVLu UT6HJhIzFxoMo774zVww7MEnJA1dFEQDsy0dGVzmdKf71jq/4z9U+YQqFAjW1yVloXHEt/C98PKU BZYMGTSXCDGrou1pda+VkyJzXfCUUzSeInNZ8PFstMbdfatn1cX1rEcya74Xsbdw/tHUReg9gaQZ 4HVp6FrrxbX/iC7UzaqkRGhVsjwX1DbsRIWY9joGc1qot9wtXG6/A2BrrkrOQRPcf9U4Bbp9Yr5F jTWYpI5wJeyqTBqaox5cqOB4MuQCeAUWbYlEbStoaJYqqtSxIh7XZnnLjK2o/oGWQLDQTpblUmBk EyssH+Xr3X8fN6JWHEHjPKnEwtJMUR2X9XRcUPoD9Y/Owj1XthNAuYDwBrsbEjnVcQHuCJ+Q4Tkw g0aXRkdHcQ9fFXsUcW0mMP3LbiUqN0JLluQCBtgwChUqqkHNRabkBHqw4QKeOZPckya5Ko13vXHy ZQFuBj06hBZEc7kwokystEj6wlzYOsUnJMsymbFgViRtdxaLu1CbzXKIMnBtthP9zIpQmZznaUkp aGCUNrHvDieLXWL+s+6YLEJERO9QCs2dzs0bcQporpW59K1eaxd7uRRZoMBYB331vo5x7HOfLMtF XXP3qnFUM3fATYvJyLy49v0dOsG0QmAEGh4YE1+XFJ2LvWERY0K7uNvFmseYoagKmKW5qcpJm7lC 3TqxaH4VswrMwoEWxcTVwmNV4oLWEPQD72OqQk9MfEACz2ULCm0ZHVkqTns9ZW1lqQmuTebQofXj +9HtsQ1lOS5j5NZIntj/UV1gYV0NGzH6coGFk8uIMeBWCUPVRxiqTXCAieO2zzhnqUIEVKzhyd7f MTRiB+/zLyqolidcPxa9OatrBrbbwrXJ9nz7N2thG+oG/eymoAXo3ynesQ1FbDpfIqoYE7TQHiXh lH4m3G0M0uIlahhabqiFYiO6m8KH6LTgQUZZTT8hpS0HQQ2fkNHyMJjfbLjsaLhYKnSY8VId9qbW D/1XpbBQ0M+X5T3JBRkCCQ4NyKQlfNw9j2B/8mbKuo6gu7n3g2DcEpb4csQBtZyQKcREHNQIB7kQ iAp24VeI+5IOcVN8LmwFBzJUHbU/Yy48FUc3rk12onK/LxGwnl/Uwk2zXIrmEkhMHGpxw86+iNDB a3RbJP83z3IZc7tsIPqKmNtsp7PvjxaCVUdz+yQJAwaUzJyItK8y7M1y3O5mOag0dVI2N0nFYep6 cfU/6WcVPpTPTnRT9hmK4tmCDD2HD+iRh81ALcxYlb+jFmbrz0mrAm5LBY0AA/qzjoB2fWwNjEDL +bprX93nXwQoDv0Wz44cF11ZiVJavYFr/6GBSkoPOjS7e4/7pvhcciLuUu6GJKu50sK+zYELrbgC xU3xudqCJHDKk4LLHpa7TFASF1/GLe9XtNoIyF2PBhKhE/iAv1A4dyMMtQUMFePh6cbaHddm0/lA c/NnpQTqE3RzKTEkdMX1YFshu4uOcM1VHJF9ONXqprAF9z1oz8kbLsCX1kKHRaqtK/yADgCk2FfR kp7H+PtJ3gLmWadNE4/BP7v8ty30wbWZYlZQIigKSl+gFsElgy2grdkKUa7/UU6+hf4vs6uYnp2F IJclgy14f049yRlcDgu5FnPvrfQ+6OtIY9Zeo8I+FChL6HgJE0U9vps1cyHoCom25kcuzKlTzwvc XnDtP2AuXJcFdRNflTTNlZtS9xY3eB56bHZ1SUswYpxsdVPUggRQlbnMJ3Oxb29V88arA6/bjYjF 7B/9KnS8ZnVJbssCKMh3M9QClIchlnX96ND/y7uE6MzCtZnQZxCL6FlF8E/47twsz0X/ubquJ+R/ ZvfosvderrTAWQujS57negeqxMkbUbTfrCRxlmrhUuEHWowwvv0zHjQHm0v4gMwsAzgxRAYdHeQC w1iuy+G1GSVi/UQX7tGgQmldslR30GjmzmDnEU1PtLLsFaHIx9qcD0yGW9jdVbOek0fde28HfBv6 Nnufzo7VAFbLQhZwnfXrgzB0n0lKhwE91VXvo7FqEXDdI9LvPLj2H43LkP0BvIPL4j6eb7lLob4C MhiSiw6x28AtgFcD0q/lG92Fs2pJc90hz5S64+T9/wIF90mrJgszzXtQ0BRqIDf08exHRGbzIxIT HhoTAadoRxQlLrYwC6OZ5RebV2cQw9ip8dsyL7uhbllLKitGmwh0AdGHQheRKEvMpo6TsBqxvJTl LmnKK8GFfWucvJzugOlt6nIfiBL6KI008QaTjqcltcv1O83IV2QwQiqWR4XfNqieB3Dq4MVJLRDD NPpf4acEf9zXJrWKuOxGdccwgN6hlvcad3tfqgSyu5YUrKt23VFlfe4jRAfzlcLxq9V3VhMVLwdY rXQ03GJtTq9+p9m8fro+h44KwhavGNfqHbq/JJdpPzKXKNXOfR6blJgGAfvGV5b1o9gAm5AST2Uu MAa+NKn/mR4b0fd58opgge7eqUONWRjRWL2z3iRQdTyTaY0D8BEZqg4je1hZ6uhxeC/97Ba8eHGG k6rfmoDqcsCL+9pk6S8eCYv4lLeB+EK5TtqbEPBB6FmtlCfgpI4RwgRJooSnQJBBmQKS/dAuP3PI jnKjzwdBA/AavUNFeVZ+xF+07gfLAx4d5rGmi5dD072keIb2KxqL/ipYxLE4aa8XUWoXihdihi+U FBAN3SPPWHQT1eKkzV63V7zPyaMxcukBkx6YxKE8VWY+uAdY3jWeomnfuNO/8I5ukz2NMw/s7eVM A3lwHbw4caZ5yiY08HCX62tklEoxYDOd7Igg3qzWHdUA8RtHNSiz4UuVZcI+opaLIk9O4DtoWBUV ThYnmrSGkeOjFgHB6eGSrLjTv5ZOYgk3R2Te0uZ0txHdX8aE/VkboPRvqALRuimdzEGerkTpdJoD G2j8zdKpzCcUp11fNaykVDcepbpmtw7GKzVBF2TAMa3ianED4IxUaV+jcDA/IqkSQLRfgFDg2Jip 2EO+9DtMu4IXZ5O2X0o577KcWJsU37Dp3ErYN/61mRpjTlC4SgtE3u9jk+kytOuaSStOijdW6oxK fz0kOGNXd6pj24IV3kMUcMfS8g8PCUQdobD/iD9dJDNtNT4vTl6p/sOI3fRbiJVJobyLpvS1OiBe 4jf0/6vOK0eTO6zBUsc0cfSmTLDCWXRZOgZZw0UbuQ0xTEG/Ae6rKGLZy/P2LwpifkRml4A6oXIY WWNljqUFBFD1xcwv9ZEYj/Bj5ZAPWYSvTWqbRomsgWRDCg3qAA9we2igRpxD6JrXxDcNRCEVp/8b uYpXFLwMdiMghy9YDKMWjUcwEto/fIGaGKeBXE51hjb96PDvUwSDsj/Ai7O52y+l7/y0r2pqnYaJ IGxMXUH1EjGHCvOM5ioNKFNiaTKsQ5HP7e4rTs9jYzHeoc6ghxef+rOLg5ov+MJyCMut0zh3ATxa R3Vq7PmUYK49nbw4yYjbj6UcbD4rWn2+MmlGXCnPsLbjy+Q5gg4WhoAyICwz0r7UPe24OcCccdJD c+GY29ECAPV+FilydbJ4YVOMVD8AiUr7Uvs05sIdkxgcNZS0vF9IQWgxLl78jxa5YEPtzwmSSU3s 06BIC6zXvo4WKhxAC3q3r0PvKLympUkzYoltzbLjFIXURl4jHmhDEML/rrPrhf8VJ9iO7V3V7zR7 n9irEazKicN9gxqmpl2rvPgf/Hu+PMggIEbja5PKkR0KEe/qRSYRl8iN0Rpx2ANHL4zDiYdaid5E vSNO3vm0/bpMTD4x/txlyS2Z4waYkJzz9CamCqnURu1wYwHiTkd/pWqT/u6lV2KWEbdfbhLwBQ/Z pCY+aq5Sfmjvzm5W9YYffMI7Yw2MtcOOMDFSC0XVKcFUnrzPZyEYQ4WFGhNAVgaYBjI0KS4jtinw Ko7faTaiRHWJzVRHPTbAEPG72fW8OJMOnf+9NCMI5zUxUpOHiWXzW4KhEPdzGfhRqEcG6dB5bzw1 KQBCAgX/m4kzKqZCBa0KizW3UxkAS4OcDVIIZz+KbdLhqqmXmppZkNDV0Xfv6sCQ2S4vTgLx0zPn HstGbHnCTSregMYjUJBEhrfGliGLTAAxuv0L/Oge9p7vCXGVEL3t284/uY9EMYl9EBFfIER2GZeQ A9kIIrghlEm9It3pXyLxPlTLP4+1dLmuibCLLk6em1/3AJAv7Q0Jp7nEUs0H4quTcYLe8nbHRlnK Myjbn6rx4OS8Nr5MYz0nR85YXgSrOa4NcRbsDCI1qSBqAo3qyhZyJ0w91WhlyWGhjlyaDZ4ff3bb 03nxP1SKef8YuaEG96VJbdXwbdd+8BAnDCcGZbVJuaATmXapFBAxnxHuf01yoRJjD0+hf9geVzBY +FoBPXNo6RuoX7nlpsZqgH5e4jV0VK2AGSt3mdkbL85qBc/6Nq/qrI98bVJrNeinWxnYVDPZa9Sj jgLCnXUU7KD9sUm91Y4z11RHnaeOgv2J/bbkLE1I6WgHZ0MZpldfKhfQR/qEv4wWFpoPV/NCZDTw suAmZXG88uJMteyX+sdaLjDRNfVXQ70PndUunaVGqDLVHNAjhVoxMFcOoa+pwRqlVHowh8vj3mjv Fu6CJaZt8kPyvZ3CjXhHAEH0taF/HD8iZxdb6r6HH9nSOhhGq7vZNi/O+H+/48vKTeB5bFJFB4Dx 4JvJ1h6S+0F8q2UlNRQdEIt8bdKcWOCioi5xiS6xrTXJi3SIsmy+yr4b3nxw5tj0DdTa2ANb/E7/ 2tEq3Y9cG+hpshVqadTgxdms7onE9Q/xzufp9iVWa9ilMJQHF9bB9OHLV2YPhESrDr+qiddakdns m12h7dyANNJUZkJvkGtDJ2hSjH866FSirbnZmnSuKzvo3JG535DtQk193d8/IK9shdLm+WlppXZr 0FBptg7dURKzqLnVz3G1LgiR+RyzJn5rGtfTO2vGKeZSbXT6Byz8t/BlYM9LEzxoMD0DXspS1dxy DfSlzUbojkZoh225hEItFvDi5JUKpSFsVtzAESd8aVKgBKnGZS7vaNXlXrGt+QY+5aSsxyYXeNCM N8wuv7UUrCPWEqvWkj+RDQY2q3EIt3u8qD0Up0lxJViixVG9CUCluTb2jvDijLSzYpeqcnS8kduk vmtAjNu7S9RVYyO0hsbDlYI8JJlXbFKpmhkhavOKjX1LzOvusZhyoN8Gz5tKCXnsYnRTQAkb+jH3 xH1msAAoAg7GmhH2wvPQHAK4+rN4cWbZ8V9WQEjIz/PQZC3iSfKELMbc8kkNrV091vRV+oj3Kdfs LW84EjT6LLcGetUWBo0bTfXYGWPR1GNpFrF7NfVeW8RKwPJGR71PyPY4rLNikBf/EwJLb9TVnw0q BQZTbkYNGpSYx/W6+q7+302oKcXC5N4UR4CUGicvMSHNuTF5gvy9FVQEJo0F4BrMisoXpVb8PpPt STzCUfyojG9P/2b2p3lxFmf6Twi2/fs87eHUfQ2NJkyFeiAmopslnVMiJrgfK8ykybCHmXLi5E8M iMGt0ZXOauxLQhA4TYR02EdTXtPnu9PvNNM0HnC+uduPemQ6OapFbro1dWB7sGr8BtD7qmxOcHFS EzY0WyzcTsnoN3gliNg0cM8MNBckRxWYuQtb7Nn/KwZbJnBQIWxOXCqM2LA46L9UrFB/VJmWcAGp Dxuh04drc2JtgPmcbG3h8eTFWTb84AIo+P01YqupExslu+ZtgkegfV1VXlJNVt7d/XEyT6zYgPZg FnzXc4o64QJWTyepu+HI3DSQObS9hNC3b05IGXWjGYsHIWLeOKpjA8anNu6q28sQjj+Nc2px1yB9 1dyQDe/+Pi6bTl1MR0yU6bzswzmLliYFCisJXlLyXSFBBOOkjakotXYsIZ2sseQUCGGeXZ7+MIku /Ii/qIRvNCJ15AcUsJoJ5rNyhhf/w2kLLxSsDmoJ74Ga+7JBbE2/GSETCAxiZagcn6hTZom1yc0q WBtdwRhvi3xv2b6D7iHqhH4tM2ZUqvAwbWgi0Bq0Syhw+o0m+R5dQeEroiPLhDbubB5sBi/+Z3kJ djFeqGdl0kwYAlpI28Wh7BjTyK1CrC/bSCpUeD3U/F3Q9/9mwhc626XTxw+ZDBVMOkBbmMpz4vZQ emgqVRN7NtTBUAuEyJmO4vRYth+MgsWLM7rgD2ACY6h697M2WSpM5451qC+/2bARo7L7MAHy1ZC5 UXWZWrS5RtN2MlhIY9i6DNDSybu9nL2wdU7JHZgQExMgWS9Su/kR2RuFpjk6kjo6XgJOGFwldCUS k7af/jBlmg4ujJXJMmFGh9VHcbREd+Nq+2VJ8ukkykV7ODFpQ60hFqX0mm57AI/FouyVODY8VCVF 01l2UPllfIWaaLlVU5c2vC33ALGuo4+9ZxV71vZTXpwJHvygJZBcnO9DkzaHByV9piZQMPgLWz8F ZPq+o/zU0qSpcCjjrTj5bLdue1hAoLIXyhZnEKnfmLWz+1W/s6hy/E6TFwrjhAW7PR0Za8jY4Aux 0OVLfNrc5elpSSCjRzbua5OrQeC/P5wbADBLzSDWluDzdcBB6Z/dtTZpNiz8awiUlsAPT9huDchk AkViWZNEZsai5/wGyCE6oID76CMy5NoGWbtWP4rkg5KD7ZqG8W7i1ab664dvuogCDFhf6tZGdesz 6bnFVAPNN5YKGIHM/1D7BImm9qg8I5YfAwd1POmdQiMYjW7CbKj9Ta1s90IrRPMGd+M2v9Ms2iBw LwqULlcRA+1hFMGr7+bFyf79X4JWKuQi7Usd22R41071XlY7vpOfvUIYgqN8RuLEss0isQR8jxj/ 58TsG4o+kGeUWbMVr0Npn4UalOP1PtKttF3hJ2RLQ25lj6OeG3vwXHXyHF78z3cKXXZUQLEwOYQY ehDFqT12o93rS0//CJfYAZdIPdsknD6HbHjHY8O77eWaMJ+QwGK5Ckdk7kKyq/dnTkcsGD/iLyII e4hrGZC+Co0VRtI+Gi9Otqhws5NMkcXQExVmatvWOXqgPxwrzEftq3E0RLwEaye+T4lvm8sdwY15 xMkH3/Z8HKCIuUe1sF8CTnnibuezQ43r95mB1uxtakihddQOZVuenEza7bz4H/IQrLdU7z0PTar4 WwjjG8rv0MfqjjYvV6ZckHA9vkXl1m1K9tT45clBsojrFyN0S/NKjVAEbQU4PbSHrbxUJyTObYXd fdu1IeHKo5COQz6WFs3X5cX/D9YPhvC1hpFoTbzbwFdgdNnq8OGRsaeEYAmiMlFo3tVdUaTm5m2i zx2n6IZ5W4OHyIHvMoAkoHGX+oyhKENz21N5++uUZ8OAkFzCs64bIgyo2LMKtzSz8+KMrvCzeQPy VlvIW9XUwK1jVfZwpTz714z6kgbJUorYMU9IHNxifEn9ET/FU9Op2r6Y2Jy7BANFO7ouDNPXU0Qt qtDX1MVNQWbf4kfuTwV6K9y8L5p8qY3b424ttET9cXOoiZEbNjXEmjuk+AXhCH+jRvX0bx4I7vhz k+XDM9z+Tpx8bez/YGl9UxUF1phUkQFyw+ITtB1JX/E7zcIw+liLrfPQ6RiQcVEcZT6curm1L3q4 iPDZa+iu1NTPDeG2tUlh9UPXiyPRiAHI4kCJWTAi7FqcDC7Rt/Yo6S6OR3dxFfvjYNTJ5s6KfAHX iF+DKPh85EvJjeFH/G3aArlMHX33LoJWWpJ6efE/sCTkjVlwPUGn+7ymNUOSNLZFuTyn/Wv61Hve rqkLiPzeBH1NagY4zJig7FZrnNQbbg3qExxCAQ2wSte8AVt4wRNGyHn7yl295jQDZhds1JTlRzX5 7qQZBIB9uPRlTQZY2pyyHAppt0GNLSqE2q+QrAkFbQWMpwwA9R+4JsBRDzA4gB5yUlSyJqJELT0y PEVbeC+aXNDqYsNJh10ubJwWZWjG52vieOrXNcGPDY0rDi55FLMFL2NRfWAp8OuaSD+AayIHWstj 4CeBJXnNZEbVdsIpd2Vnj+L0xKktFpIbvgXLezSveQwek+WPyYmTHhOIhlhEgSmK7XHzisu7UPkd RMEfHcqpm3x/SoATsFAJ7x0/KZEZlWofIFKMz2saM4BsvN81gf4ezYppMp69OmgDTDQhxf8X639C NWp5t7NS2Yue369rAvYV1Zk6UzyeHHa/gDspm7zCgr+tmqqTr4fu+FeEknKLrxnMkI4k+r+Y/WNU wWH9OPPIegneR5/XBGaoWP4+JwVOSUdr8pq94NVBd/diwqypNgHowKVZruc+6JyusBh4zV2GF68g 4p84RSlgmUvH9mHhBEg7+RmTpQXbMJSvQUXAr/J5zVyGdIVtcemcWc7TdihKrceEx2qyJEWqIkdN Bx9raE1es5bhXiiVySkZqJS9QzhptMOhvd3Z4cuVrAm/ZIcjWZz07gC5NSfaDPZfln3d/o4NPxRz qCMdH1IIY3zNWPDLdSjEHdpPHbefwvRBfCcLgvfzmq+Mx2bJQyw2PbynXJPXbAXBi4SesSP/b3Ru hj7caC7KSaiXvAqyNeE7sQdT2D3Ks+0cuJ6ji7M2HEXvkiMIfjUwltf9DpeoPJ+9OmgKlnLKjpN2 Yyjz8AWwj/i8JioIRhRBdDQo2pRsyHFRXrMUPCj0olvT0XmdTz45/2JV8pcM19nXHGWEPsQm4Vkn n9Pauwu5FgaUDRcKfgSsoJjxPtozhEp9XvMTrPnmmrBK00kPCsbXLInQ6H3NT7gB1G884RhnaEVe u3XYqJCNXuJo6YHStgOIDgswhBPqWTCcvPbq+Mrym5IgrVPQDS68G5G1QSp+ytEOdvAQRASJB6aF nrYBx/N5bdUNabjYmsJGlEfGEwgcqkVr+c7ntVGHWFc8PTmqtC0JKP7uvHbphjBurcmhDF2FfpS8 yVtJc+sWhK/XHh3SE+Yl6j7oxEWZyOltK4TI78FgoEiMZyGe4NkjpspZgl13maVsUCdCS0JHVc67 S9IKtqSf1/7ceCzPFU9kdhvx5J3PNISlhWCT+tuW4TdXEbFfYXoeW0c0FLJEVjMky+FHnPzluYDF ksduoddiIfsJHTpIDRAxmVm4/IG42tn7QxURSiDzyEfFyskmxNAdvDZ5ffZPioKRATZKLUuWylIi xFn9rCWPdDjBiZ10chhtnAcnni6LAhNTVZ5iWewdmRytWVwApp4Qh4sJ23H7cW9BUcnk885lYqil +QlB+DppfmQ7kSzpIPX1TmZCmIiXCBcR/td35PhZRosAAvUQ7+cegNPcLbOHW+Zt0c/NUtrLHsJq xNHz5DMSAD648SwMNpq01Qe59lzGUh57JdG8sqR2Mn9Do1hHR1JVjUsgOPF5JzLhO8rPWg9Mx38A ClvrkmW1uNPWxnDDZlEQKQ1X/L+baoxoBpDE3EmZWA0udfIUboFMgBoH0DsQmERcQUmA6Ne//idS +3qnMQ2RtLDLNz96M7cMNgHsB8AEIM1r/UUiHJf+1ghwWpj31FZu7q2PJSG41qczU9C6EBaEE45o WWYLoxpI43me/FWyKA6b+aop9eE0rRNIiB0dTW53bhtq//8tvuxK2ckaU6PdloM+Nlu5ybK4Kfyh EsTFOwXIt1YlTW6pDUaYD+HP3f/lbuZIc3dVJT0/7/wlRG9l/ENxZpzHjBfkJwt7kzCh0jSmnYvb gW1E80vsWrrLZDMqBOVCmsZPglAVi+UaGI3+eWcvDSmzP68RhgwNv4XWJU1wF+EFZTjTYh3PXHop yuXAfA42QZbhOqhRELM1H71sy+WgxU/QHXqfR8j6gbqeLOMTPQRapn7eqUvD+5QHbQ0d1acsFnXl 3FDv5525NB6HAjynf0IEDYw3rkuW4x5ie8PgDyOREdje4kz+vR6eZJbkygJdaAidPPPvoLpDc39Z LgTLMmezS6T+zyRMUxLIx+/zfWGgUgB+ZPWjnhfQC12+dX/eWUv/XSNi50I9tGOjfs90tZG0KoOP QQ90t0CBjj7fI/sustPkX0neI04Nl+S8lprN4VK87yWfa1kI226ByNcCcBfqfclfSfCg91Q3IDDA 3OvozdtzyeawR7B+3ilLyF/uz3uEHgl61FqWLNel0Mch2gkT6VsckGjhTHIPMCm4R65T73ylEdbw 61LiYN2QC4Fevv0h7tKWqluSv6WZgUcZrLH6Vc3b6jBn2S5ctyHSVZ+T1880UyT+5Xze+UpDCBEu DN4a8q+wtGrIZQkv2jcQgV8Pt0LWvO0Sg8Z5NPWy8CK9s5VGmLct2cqu279thQJ9jM6WnJVE3VFV LO7pU9we/v71+0zalMxfoDClo9bFiq/lMovr805WGj74pJvTH6Kd0ZLWqmT5Lq0ZINiiCRHAAQq7 V/YNHZbaIUz0TlRizsUHZRK8wVM05TAxQ7cbhBPIqDC88EORT8Pvyl+jiw/+vPOURrg3g5Wio7K6 1SS5BKDE552mhF+eYRGvEZ4yEgeO19HvLKXhcF7LjrjhINBUBZoBlghp2UC1u9nHO0mJgU37EPGZ PHnyggbOuKwDoDLD7bZ3NLkBM/0DakRwaSkt885RYvoCKi0YMDoKYWehTzNFW+zPO0UJd7d+wstC ZeO57js/ibku/hI96cimIHYdyEMUBhwQQfikK+i+05NYY7GGFppll9D7hTIvlG2px2/harGzBSkn BF3ob84nuhDu8HlnJ+GphnoxGOl+VKt/yPPZrtjn805OisgUtdESCyjeoizVpfDCFNYFAgNtiXYD bp73Ggp7FXyL0jZu0S6kpO6eJ9UFNX/CxM6yInsU2RyT+R/ax4/T9yLX752XxJ+bLSjqDuvE5AWD PIkBWuT5vBOTxoPhxfdk8oKY22MIkiW7lDm59Odgzbhq1IzLYy7ABi6a8s5LGoGJX1O+pPNxWAUi vpNYYrsRzEAELqPUIn4Ruv2FJa/fZ5K8NPpOre1HZXWbA0nWRuPzzkoaLlsSDe6KRHtGcHnPdYOp X2IDqupWoiFFPCUbUmdE0H3PdRF0WRKp4cST57r2UxUqoa4Ny/jBmpLqjQ197/NTBbB1+U5K8vaj 5URoTPGolhT8sqVmUe7nnZM0HOUUwQVS5BV/QeuS5brwaW7tOGyj9RIgl7m20piFvrJqgHdGEjNR bDJ7Ug5qzxCtAvLSIizwqwvGcENWomjC/MFe1O5PcXR1m8myyKkAEyIehYW3YpDACJBRP+98pOE+ p6yk+e5BVNeSXy1L1tQFHwz1trBQgOprHmK/LEWIOGitzs9/5yNhpyBCYXc1//vT/LdqGdqD4Dna tyEek60ZDBPhYf21hmHG9HnnIw3patnPQ1CVTnxeCuQ41ZLCwrznuk4LfmpGvFPtSV6yZLdTsYTA ctXSQQkdNdj5645I6d6TXXQYSOqubDTw5CkdmtoVhqXg9gGXuTU2AXISCW97Ai8Bze9spHhe7qRU ynRrK0BFamjTrs87GYll8f62GCrrNK8Y35lIeFwOyeftuH8bQfp8i1CkVjak0HXgJv1ORGLQ5Sbd Cf7nyfdDy0N37wy6zVJvkKTR+kXWCiGi+QUXDt1lMnu+RGzU5kctCszm+L3s6s87C2k8OsheF6HZ MTz7fych8fGiC4rP4yGfq0ka5nUsnhFalvMC3jlII4C6UCGNk4cWCyaDKo2Q/5i9sH7aYOhjsNBI ennMld4JSByBocQbVHIYxxEKF1aILInsRf288488YX3SFjQ6ZrRc3tlH3J1BdKSzi3bnK8s/u3Eo GZKJz84f5/EpSIGT+E05D518TWbph6bz0CiwHMCHioAgwxjzMYUHJpF3mdRDk/5UnF3rpM5loe0O nZt5d0m8rT9hBcP1FdPnd+IRW7FI4VZ3fO7s7uHWq1zuKmEK3uh+5x09M/kteNgOOhkQLErd+P6g 3hJXn05laJXVb8eFHevPO+/IJ4sXNAAcUSp3binwPcK/dqnt8847wqoWr6BrSEPj07QwWZYLSl0r GhmRS927YxUARidwuasOmPwrSfZPEhowPXF6tucKQw62Lifw4qoSNsKtRfJHjp9t7nfK0RCBHnLz 24/OAkArlKkcHpY0xz0/7X8MvwCD0Zq8Z7iI7fQoWC7GT5iTsArCVRKrgOEW9uZ3utFw2R5I0vY4 +RZ0Cs2bAVawAqINuTBR0p2Coeth3k8SzN/ZRnioOV0E/1VH35r3VTI2LfV/JxvxWdnfZZGf9fZK 8Z1txOQUf0vWoXhqOnWfO97bLT1xS19nxNusnettbiCL/BT4QWCGkCjautir2qWxicK0sVPQH4oa hTM+71yjpw9V2n1OzP0b+Xt/CIHqn3eyEX/9n6Db+TZ1T/7fyUYjMJGnB2hhN3efhe+CgxYg7qao mzV0JfEBX9lvZ2Gj40LRO5THls2tsgcb3V3ID1TksGiPyUj1G82BUFiTvuKkni5EyZm49N4/72Sj 33wO0WTTgL17T/eda4QNadCMqXiL7kgukRIfK9AL48TSpD1dRd7Ra5w8wHRYIm2i5qweHERp2qaK tBXeORiEeYvuMKF7Zxo5tsjylBNHxZjiYzXLiHh3yct0z7d16RwZLwDeaUZcS8zqKauKZlSrnKld yIJhV6SyG5AfCjJZU/duAV3Oc/Iy+lqRfGBdsNDe7Zrp229BZ6SBh+p5mYjqeCcZeaPtDmCHdVTb pdQi8L4l1593jhHWtJ4fTBR+EzQ8hJ3LMl2mKZVIL9lPEa6Ndinsw9ikO+AuURz7nWH0bekuDRDX w4kAUMH2JIpz8WXh5AaumfAAsnud352aIOV3htGQuvW9aCPhKI3jOawO51ZrSe/+vBOMxqOV801g MBvWsqQYBuwzVl8s70YBVQP/TJAx3UEHho6Kve/8Ih+MYj0Ee1o3Yi+EU8pCo4IQ9H34WEGVGFA9 9hwfwAveh887vSg+AT55N05qSOGdYmZnWfPnnV/EzdobUrgMagsn4GLv9KLhVJFDkg0NCgbLZ3Jb CDEcgDAAaY736J1dhKecsXSpMlpPZYR21C3Qc2Oz2/7dZfmH9gqqSsS/aDDUqvtMIi8JsItUmrV9 BHAPhtKsA9b5vLOLorn6haCCHexh951bhMCAZKpJTx4FI/rr7OpOdC9VMOJh17L8PeFlU9xPnsN0 +zkvFNCAbId2uMJLIbzGahRM6xVeFqa/n3dukbeHIEM0/OjLsgq/mS1t+7xTi34hUvePm/7BHlTr knZ14ZnpBg38V5nOswdolO52VAbVumRdXc2iNzlZOsV7BLwi+K2QANzKlaw0wIiDdh/7qRqpxfVO K3IUt9VpPY7cpRcpJ3gEzpqfd1YRnpY7vru020rE45I1dYlohOoiYy3AbwKgYpy+SIQdGz+3liVN ecV96ISu86THBUYTaP3gLWoA2rFcWGiHUhHxsRNiGfDOJxquq1AsHb9x8vnikHwyOqGfd0IRKx1f Fk1CgMzqAeROAQz03t6h3baWm33Ags7xUWJQIXl5pxMNd+yx/9mscXLI/4K2FpJvtl0WWRrYrRo5 K0jDfBxNNaV3LtEQARDqrdWP2qJ7LVoVC+ufdyrR79SV9QN8WZnMa1mydJc65TR7I8C9sgFGmyUK DaEQ2CPwC+9Eooccsik2q5OWxcLIhZEzRSItWrWrx8lSB0BJxjeyTN3kX6ghlyCg+4CA7GefctWd 83zeOUS/ICAVDgCkDm8xjCzRRQ3byG93JaW2fFGEIwNx4El0R4rTbfqyVELc/TErsz9sD4hoeRe8 jqPIsnxO/gjicD/B30/2Z6QtHL/pyF+9w2BGG+zpuDZJ5+b6TqI5FY9omya5SOOu1CBJq/T9qMvb CQ7ocoliWMmaueSyAHLZ4uTR9sDTGtxnS3IXHHPkLtTcWAkAj+hHna77THYhlYqUBtFJs2jIRTtX kVcns+g2v+vSLBycGC7ONMvFyGAID9XoQaB+lL2sCA9YonND8nq+Z7nP0JVKJf2Rv2fjspRbltK5 ch31Xb2rBxrtE1qolzlTzpnyOO6SOqkqvivsRGfH1Qn8//70Xizz+3MCYDizPHdhJlxaaIFfOikD SncOzT3QjyrTwe4zwy4QqQ0wy46TR9wNGVEsAbLDXskkwlhtERL3Z5+nJaX3aGZtXeqIHnREdCxe JC4NCMc4uDabF/22pBboQB5xZ9rWJXSBrWbSZ2iLwGqRMG20pLZ0edv8zKytO4nYXlIk5slfJJBn SNGFKS8sv4l3p4oq9LzGeiAdCIa8zwwZBaUOvHQ68jWCyxyXxTKIi2sz8tkPYqxu+0/QWfKFyfln EBUh3JmuvJcSbYPtXAqYoCgLmuLM8tx9HMwx4uR5bsHrA7w4sN32S7Np1anTDyTwpHydtJyZu8ws z/WkpTAL5EndOlC75PteGq5OlubunwkjlOODbpVx0EipG+g6HkTeQX0RBJiDtojUMrF5DK7LP0ho W2XRfgZGkNeyugjSVhvyfk1kcnpGwP6BU3pv7bai+8zG0TJ2nMSmgirPB+VYtinDP3uKcHWyI62f LuZS0yciTJ7qEpgfjsVnuTUFeiZqSFlidhyUOlMqGqdGkByLkzcYJpStS6FH/O6FQ+7e0MGmohTE VANNRx+G+Z7tFtK1rRAiKnUFCXrfmEg3/G5Zrvvfr5L9IbBotSxpc3cB8k4CqJre18FRo1BgH+kL MMhsMMw01RXIsPJb8+Q70rWNGja0fyxyYTwtewouC74cfuwAR8V9/qXvMil1OEPqcDZ6D6mO3rg2 owL80ltZTTyPS5brwqytNeAtOgrpRh8BDAPGst9vYl0oSjEYebNcV1yZVQVlrsFcBK4O7TnBo6xO rBI65AsHYYdWHvLVKrrNZFmoQFHo1FYcwYidsjlmbB9cm1EXfzveWCNwT7QsGVQXT2Fd9D1jP+ps wf+tyHM8kEV9chfxnGb4BQXcvZThqx/VgV8oIOvCWhHIy3KGWLB0oB1oEq/ytOkQavAJWQJDZBR3 NJ3UYWAewDpgXFydoen+iw99/5zAu8w05UVSsknYAVh3d9+z+7gud4Po6cCOmfV1T2EpOHqJk/ej LH9vS5iBeoatwxb4krMtu7e1vwszdZ9J0XgohM55Y3Pr3wGJJFl3rzJw7T8eGPWjwDhAxBPZNUt5 ETHB1HHfpLYd+zLgEvLH7TKrA6RW1tjdbGT/36IR++iiNxVqgXqEjFlQswfgq39NF4gXxAckfboJ uRIoxOro4EsJGAHX1XBtlu/O7zYNNtcNDMPK8l058y6hFLgqQ64Cs+Nj0I/iZI6J3Ur7utqNnslR 7NOFFmFAHWKftpK3SlmfbuNQtsIUzK1vaMy7Um5apTwdCAE8al4/luwKQTXHtf+fESzu8ZmorRSr O6m9NtWrrJci3JyjcQqJFbprO2xsZW3dqoaU840mgzxovvaQ24OEtwbk6HKWqulOQ3QiDJ95GnI/ fEACMiSZpnSx2bqTAgdgAErNbq+4OpsD9J/wwmwq1iXLd8eiykLZrrfQzwm9Bbess8x3e+tlZfmu wsu+6kjJ55CIm7lmaZu2bBZ0W9dcCfXJoAlq9LotAPDPZ0MACi1wNhLF0cDetkUG2QPXZpiXn9Rl Sbbbu5crS3bRTG2lzuuCC8X9MO1B7zUEF7qLUKws2eUjh0n9jZNDmE9Dr1ybEaQAiiQhJ4X87QW/ 4wtJ7brPbPqKohEwjXsgMcZ82hbd/aOw/+Pi5GGZ0b/E/TNtjuHISnPdyupIEtXANLSi6ELyERIa FKxFw7SV5bryEFgOZZZpMDcjSPNNOJ8v28Gx/7jJM0qPqw3Tk7oNaCI+IXmNLuCoBEnNByQFI3pG F+jn4NoMxPy7GWEmEzyjlWJ1MWi/0qwm/KWKGgwxximZqA4KkIQXMqwuo4W9PQJf3q/yQrfat0BS fcHwm007Sr0w2vwBpeH8mD6uVHlBLlx843XSewQRYnpqHSxLmusGT5qR5AL0G3IUWao7uKne8N7t FOeEIJKMoql0gzGGYm6W6m6hGNb20RFHeXiNJhD+VAXdA+QaTSaQ/VdwRqAcE08LNnl8QsZ6XSoW W5y8lm5X3dlzLq7+x9RItRESBq+NVpbs8gMvfRC4GbXhM0bwPITtrqN4ybjyZNdVkFacvAZoY1vI qgy6G71dvkb4vWjgQlq95iOEGq40153k0wC1yaP26E7pJvLIO65NKunxg0kFIy244+tviS5Lf0YU e9d3cbIeZ8Do7dpNeOdl5b1dPi1DBeN4CsYCFYY5OTK6B+BUsoxougRq2vlypBcHryvt7cI+a1Lt hkfFllumONK2heLarL8QscXBDmi2+xa9094usBQVLI7OoHtogdTp2bfkGnDQPiRdb+dyYpoWaTca z250VoGmTdHQyOouEUcmfy/yyL+tuq3bzDqYtFwrw49aF7h7sANTxsC12STg9x2yM7o8WpUs0YUC hW1hRzQjMLilPtz5Q1B9mDFu4B3aaWNXMbcKW1dXgHUhM2J7NEMsMhi6I/ZB0Rt8of51FuMMD5+Q FYwsaZwsXVzUeEAkZnoFsHB1Flx+NiPB6mIkvVMRBsCWT9htAFKlwgiBQWEX6D53mdg5LY05btcs oP8QjRpeJmpd2s5cltjjAC5QinU/UiaTLOn919buAdRMR+E6ADXisszNazOtgfEFSHWhikMHKMt0 oVAHhRcn1DR6WVCDIdzh58XkRcuSdna5GwEDFadAYe69mWuAB2zJntiO+PVBnBxf9W69RTl+AXJ8 MBvVkatiexJxeBAAaLg2mUhHl06qBNxyfRCws1QXQ/TWKdiObm6/SMpoWXiuWnd4apzDuLNUV/58 3Mz9FPiFCstOKlNASHyJ8cqudW+gXz97keAuOyWmwdOeFIP7EA0m+umMugNjo51luuMXHtUQ+Eds 0TvNdHGne9IhofJfxz0CwIiEuKXtLfgl+LRkAAbB5Dx68OSZ7tjNwktjpguIhxy/qfNA4sKPOh/a yfiEJOpOabzcFifv1B2xjiCMiKuzftQvc8RuAh13LUwGYaC4yNK8CBAGmJ8xuhBnKAgDlLyYu+ys r+v9qFtHnCJ3Odfeo4v+pe0iRZOCWamFWSiV/uWOFN1nxmSkxAsVB040GKBRKmM+u0Vc+486enI7 QmkUE9id8tIQ6NA6c74eRjvUYLDUfbgGQyehBDpjqdCYmDJEyOvkUdcedKi7L+Z0QO/ygQHOD3kS xdf6V7dwZ23dRoo0SJA6Cgdk6Ti/V7U1/+yUlvZfjBpavsdblGEYgNtqld0kMqTpz4l3p5MlIzeo 6EbtLNFdIgFL/oYnPSxjQSgS1pNrNVi0q0dHd4fGJuJ8pkbsRu0s0x3AvE88GjpqKI2+sbboOj47 6+l+ES9sXNU/FJzQuuSkNJLbj1q5FdrRwjEcrZB0jZtH3VSBgdF0D5Egos61ysgSQ5CB5d0NRUBJ fyLIN39afMio3CWVG5MuHXEaxfMVGg3PK9CL5dK4OkvqfsPuud9p/clSXeJkhl4dFv3UvFy4um3X XzjFGy8ngzEsdbk37QB48j0aRM8lEDMeRTV9Lffo2hFote1sPSrnnrSpWzgFIB5AJy3MBSH9D0fU HVcn1LQzAmcIEEuRKJ5WJu3rTrYYmqvel+488k5/I6KBegnV+5Omu67ZofByI7yAFGEFI7qjVmZv aFxon0YjlODeex6CJx3nTtrXJSwVGGIdVQWMSQtgOCp2XJuhpH6mr/hV0CjSsqRoXQCHHe/PXBpb PQNMYdOyIcUIYfeT4RiW70Yu9EJ8ZEf6YpGr0G8FFBILDAIE3UKwzf6v9AUzH3xC1pBiwwTyLDwq 7paxRLxrnd8xqwF+2t20ZOlBwzo5Pw2NOoGiFlp2fTq1hlhxFNPomSjAnCzZnWI/byrQrUDrw2iu w4i9YDeiS8JS+sLGlNRanv2ILYaTd3bhqEGa9A2atIV02XehCX1xbRZffoQvYTlfQvgyFdIFUAYZ EaHuthKNrUq0s1yBDEVf8VX5OzltuRD57lEzFniMbyuJgHpBo38qvLBAQnU67hNeut9nMktjylFI f9NJw3rLJBhq8L7i6ix78X2aqgDo+gRJ4mTZbsGt7runYxhKd9XlU9ybZVymbIwuqQaD2ABDGgyj Pw1vKypIkwbOHKQpZsVwr8KfgT/FfXovAK/gE/7Ckj5jxtHR3WOz4gCWD9dmmJffvi5A5U90yXJd Oho5BBO6dqfpXwCmO0rq7OMNzJPmutqOSCzQyYujZveNcT2Je6ORrAC4FBobiCRf0Au0J/gJGegF XnSYV+Do9HhoU7FRZ2n0wLUZTzp2aWaAYFxX7zCclJ5GH8utcTxZR0PK//Q26CgaC0z/9B7l9DRG l8OZ2jpfgXvbRO05nxS4r0t/vU+S1UlVa19vueP3meUvYHgiQdbRZ69zq5N/5sW1728R+2Uh2dGh YQNLQ61LCtndFD2kdCxUB+qaPqsvhB1ummi6fdpJ+7rqdPe74qR1mQCSohJATwpxd7iDN8xZAdd4 DFgIcsQHJP3uq17U98SaEbm0epjzdFydDKWXb0fTfRHAxte6ZOkuHb3AqwsNhuEQqTGOd6R2CQGp k6e7cvWkgBRPHnetDFpUu1y2PJWS3Ngi0eKitvp3KA3KF+/zL7P6gydXRy4LRHmkN7DbwrXJLh3Y 1BEtqRucvZulu5B0B5XGdftcXxfvEUWOCWGYxWvGm3V2V9FkpOw4eVJ30ORa6mGiCcMANGjUAnov PXaUvbADhk9IyiPJgp44qlXXfO+jjOxNrSJCc0CPO+AhHnbve64LEiKEXVpVY6G1QWInuB0hbIjh oJNHbq7DoCmjkpcVyQvmXbvRWA+7kWV1au1CSQRkZHuHHtVu9iXwCUnvpUOwbzPs7hmIOitD+Wha KN24NtmNouNNT0+8Sui0a11S0O6m1bQPF/F8bSEv3cuSu/TyWf1Nk93q3M7/lgQaEF8tMFDEdmQB uLr0TZeUCecj7ashe7NUVx0pPlJ+UlJniYwzZaxovDk17WcqjW2teHC5KTMNX2SuIATP4+QRK4dm IBi0QWFVUgSDhqpFU5LyDF/tNYKTC3Sh97FQS5yaa/bByBP/l8eL++o+M80+JLn7xFHTtAVuKr7Z LBfXZup0kbsUb9SBoqx1SRG7Xd80XCOmS1SMItcEwsSrI99vzkwTYkx9qdG+HUyo3HMMsIsFqeu+ lhjZT6qQPa6DqE/xAZnMO5I5EK501LJYkFL2YZU0rs2AQDf6dKGV2ryQvlmq2/EDAarvfTp6UtM+ jlZkaEmtez3VvSmEwV1XNB1p3+nIti2m0qfdkpd2ioIQOJIIll/pS4p94O8nmQvIRhOmPzqqvbDJ wKbgwMG1/1B54VCfu0KLhyVDMAjBRaA60LpFrFegdOQyiGa7nLixKqnamETYrutfPuam1x6F0iiW sTvY0e7ujnobpmz4z1Bk47os3WfSv2THhamYn7gyB97EWCVA0XB1VhnVL54OwnQlnpY006VoBMUk /yPppxBi2OOBMETmclMIg2yL/o8gqG0a+P8kHB0qv1NJkIrKgG5JhwHPS6OHJj4hSekqxwBVY4B6 A8IAcR31u/vC1UkNEDoMdI4Tgj6emLS1S/vT2sKhshS9PZ1qNdJhWE94+Xuua/H2xslLRvg4b6iF oSFVa2syuUJ4QZtrPNiOe7duM5N6oXdyOX50yEs7gnfbAuHapMHw1TTxPRrK/1qWLNXFHg3V5VB6 L57qWsno+qn2kqzYjTIUw25u6jTjFB3vzqqCgubLFolEastn8FaMJWOasEwYus+s442s5aDxwqPW xTZ74WvOPLg2s9f4kWEAQ3NH6lJLTlBrFF9wrRf+bAQxQP/5zwBECsMLl2IuqQ7D8Bb/jZN3pKpt o20RUwf1tdulwwD8KeSl+niE+y63aXxE4tkj5PsI/Ht1JYbN5iskaTav/gfcEEs0Bv1VQr67pMJj SD4mlgTdKEtmKr3hELy992BFMTT1qN9d0ox3XlUANU7+Mm2OV8kLhp2JOlezBq9xfBtTu1y/01yp Ggpb/cZJ8dciDSOFPdqDl2dKddFscIu5P4SZ+vK8573I8OBLSfdiAho0uQfDRhAqOFTe6u8UzROT 6k7r4hoENcYlwNTuiVfWCgL7GRq744OOMOjzoPzw5Tm9+p1m03tCVPkEzXDvLMhOOWC9Q/eXZDP1 Z76GjA/f2Jcm6/MCSAcNTLdN7qV5qdTXnF5BSm6LS5MbpkkS3ztVQQ8YKJiPPzkkB5NNPKkPiQ+l Fam7PnEugI/IQHYwlUDlr6MCDigHDKUWvnhxEon3T1UwDk1fn7XJEmDyjBADFHI6i3IkwBPq2gCw rjYBClTIydq9y4FTrcUpQs5FLdlFnbiD2uFW/KBpiwkUmvTepiKMkB+R6eKzsp7FjwJ92I9ZJHMO /feSohueqQmC5v8wdl3JkeTI8r/OQhuDFu/+B3vh7gFk9UxGc38KbbObrCQIEcJFLo1x1JHGT2HJ FzGYeOEAOOCyFcBBICdExBO1RLl3plh3t7+tHNhJIOtiaIOaQ5fQCaDkqKj+oJV9qlW8wfEVEbBs v9nY2G7M5AzXmhsfj3xs+nOLU0BjXK/KFDtNgBSUi1esYJLtKAeaGxPlQAN47asoIJ4SOnHA87pW E9WOhDkQ0wEXjyuLRw6qmwWiS7VcmSneAPyKIMDpk8Xw5J+aG5ti7Yu59X4Rr28++wquxbf2Kx7G e5duU454ewJFTQGaTfSxHOgg6xJOTeg2IR34IgRIecxssFvYswPZxpYN565xIExwHxJ+KVQPpRFK 8JcH6QYKnPiUuy0siGdSWbw0PhxBzNJXPY9XQr5HThQUA3RX8nKp5gJjdT+OZ9V/66vOu2wi0QYu 2QqKzRkclZjsBqqb6mTdXqdIF7IyOBZz63o1yuY0xZYTmc2C7p9O19pJWA7LTfhwsKUOX4vlYrxE OsRqhWTvBVI0uyvlMSGzL+AQOz/dVRDBbjtmYlEV2NeNfl0OjmOdwMNCrw06MIicyDkH7T6DCsOS nleBocHArwgqNRU5Q1vLP/24SXUqb2QAGNpOnBxT2lCCAR4bpNBpDegh6DdU12+gcxn1G+YR/Fvo ico4K7Bac/qQvWYqZ/BSMDwbMnrwY0CGVw5bfTGNhrIT2kPzi0SQA681rE0xH0c+g1ds9tBdYz+C j0cnzrfdGhiG7dqtReEx9TDQWvVUk+wWpJq7UGMp/1hwTA3arh8T9G11kXfRcrovesiIDtyawFZN uFAeNAAg0QTBXiaxTMVivzVw2haMu/Wpos1qTUZP9vfjw0FofLTtlK6jyneweDnwW8NKpdG0E0FR qNQpY/cuz6CGszg7XiaHhmvLbYb+beViyXNhwZwewhs5J0McBmC4vNut8nWFf6HjGkjjOGkqPyVv B+2wldVkqYMPRwnnfhJO+MFBdN6nJioLg+pgdykjYyIg8vFEyu53iT7guaZCz7WhMrlMbDj4UTxg IColhzwA5mRzir4CYNOxn+2I35H9TSOqNXOqLmmU7hJ3FZMvXk4qmY//0tfmhY3Xzf2eN6FsGQ7j jtZf/YcVWzmgYuHxolqopCXvVObAeC39n+CZM3nx/Ap0AdIKTQd6GELQfCu+oZ4DlLKwaI6OfvY3 DerDSxg0JqscHD/fREkBv4iPB93KdZKqJnN79LfviRMp9jKqXWpOoucynB9pKwAgOACM9qLdQNeP iYJjtVpUzUnloDk3qmWlJZqk2iqS+4KoS8vuqnbuK5AuzpsG+6rwloK8Gz+1dCwfVvxmz/PhyJYi PzFOpg7IXTkh1w0UnFJOpZimLvIELVvgzg4czFk5MQBY0iilnMGvcYR8iTSqhR7DEAeQ1zh6Q2te dTfpdeXQho3a173y4KlHaRTwQYn29rL5cHQcf1tSsxWW7tyE2g44/spkaxL/WscQqAOsUuVNkfsx Bg3NKZRq1rrP4BnntmMYISB9HpVWAqVX+DYD5GC/xQvYG3rTaNmArFNYriiHUVvJNOQCSno4Qo2n 56oCMTOjZuZzE9aLsRFHP9iIQT1/hH9NvW5gI9oxqMiBGdujJN/2Gc7coGAMkqJlVPYXdoB5QpgI EidQqi58IVfD0IyNl01KW4b3+xjezyaXSMqu8PEgb1jpK6UC7A4tOJ+cEA9Mw0fG4QRIlOE9zF6P seEYR+stx5ZsXWymf8lIQloEXZckeZ1NyDTYcIircGjkR81gyGk39GSjwMOqdHmv3uBB3rAleGKb lw8HecO3sBmKo5D687kJPdn65oGTHQmMio6DsOrUf+swV/eFE5qyLfVgps6dmY4kU14pFUJhLfqz rIi06NqJ+qc80yV6QWpH3/CXVoMlH/pMQmEh2iZKExI1fDiSevgq/hV1nc55ExqzTYqyduKwGsUe tos9oGNf/4GZMe4WVbhCZzaXY50SLZgPUd2mAT2HLSBWU3xIafhMa+h51WRoucCviM4btBsgZa1P Bn3wICdsouUy+fAvQE/KYMCKZ96pCfUeFsXBmU9iRy0SBbHMIa4s34rNknrXj/lbn9fu8XIGb8QU zxtoXIH9SswRQGwFtzda4JqaQhtwfkWs/IbIZpQzaHL2lNox1BT5+K8+J/mHAnnrSKfk0KMN7WVb 6M09t3pyeZmaKQ1DzERrx484MGm7iptJJMp091RDS3xCVg8UlpmEgKxoXhdSkL8q6tStzaFLG3m2 m4K3W38m5uJtEXrUS9b7Rbn4t+0WWxdHGDuHPm2bMkn8UxM3IVgA3Q6Liz80Cgdp5YQo4eLJ1DrD KYwWpOOQu4T0fh6yW6XmJs2k+2353heNMAJETkDqmJ8M/Sywl8M6nNX58C9MZPxteYdf05McWrV1 0nnqdGBWlr03ciqiN2EcOmjFomUTgieYgYMyfQbPGmz1gwqXWd4CEFYAapSHeMLP62RxzuIwLCZ+ AvVrfapGYRmsDLRsj/DhYNkcPUUEaMhccrrG51FYDGRMsRUv0gHaGa4DnSoPYDQOeePplor1ziSQ IoXffk0+QPAGVIiY2DL7EHYWVXWAVSDcJn0ZEnP4BUEbxk+bss/gkPs1Wfmrluzw8Sgs/iY7YT+i b+ZzE4XFg/1Gmncim6pS3mwAURR3VR2bp03Xj4nmRllmucO5pqiKAbkbyEMMMsPp5gxcLLpiZ3IG 8Xw5NG1z9Z228xm0p1CmqKxXpcrHo1zzC2G+UT06xfTQtg1dOsjYLi9tEcVCFAX7WkJRlHvYxHYW RRFfPoOXtkBhGcD42Y5Cf0C6lK24x1F6sGvJ3zNCgKKoRVE4firs292RsTlNPvyrqiKgyuUHvWKf mCgiBojXgj4nsaOSLu2Q1lhhJo6C/rk6amKT4qXOSzuD47TSgmIT6doFjvEkRvUE6QCechTi9J5v 9zeN0NSQKB2QKOKnlky3DOF68ebQuu1CTHBWQh4sQ0RTcxOat0HosUhLiXNTvInXGJDwrNljOekp /8W9TVfT3Gc4+PtEHLXU1Rv6GZibgW8B0q1e2aYhtEDo36bixOQhNV1ardk2JkLejsYx+XAUEX8B 8AtylyN+lkMHt90JpOiyPoHlhjyXauFthLimqA2MVRNYuIEnxCNmuhzyQQrYegNlYzHJnHZFSQwf Ogg0zEQ10O8nyJDqRSO1vEwAm8Qh8uEmWC6y1LdrWS8YJJmlHpQJ031ExeeKCq3cwJ0GHjc5yYfO IjRaXUnHsN3no5zJCfHDKtlIGGJcYQg7cO3ILCDwQCQaviU4huuUGoUt6nSLxRR+5VdEWwqiEOps zu4dHjs42DKiiw4fjuYmP5FNJXX1yCHn0M9toDoLZXFH4kszAzUJ8kaIoxB4iXMTBsRbW0XtleM/ QV9IFPz61JaidCO8nIFQIHWjn3rflFN8YOiGLYX0En9/fTJXKL3JMxICx3w4aoVfgwv8Uext+t1S YTgM5hqSWDEsq3T4KXnTRVoGimKcwybEEW8XzOhn8LoNnKIaTPNodTeT6n0VgGQLkMEivWSffN40 xiZtqFj6p9g++Ft60Db48C9a9Fw8qLztw27PgbEb/hhILbOzLAGeEg8KyqJLqq4TqghKMkNnt4Oh 8JLoTaPAsx4EtkItGkgA5t80Y4TsClECkv4CklVfEewonPV2pjPA4aCmZhKl4gdkPD4eofLr04Cx TQJ9LZ+bKCAefMtRdFlnSJc5goLa3dQvgkCYAuLA3Q1GOnIYkjHkdQJEExbQWczN2Gu5Em5jFo0j A0ymwymkUVcO7d1IWYYHuH8qtqlTXMtiJxgfDnKFPzCiFv6vZ9m8x8Po2oH2s7twE3Y1Ec+GjjHB UEijpt/k+jF/o/8PVcg5+P2NgKAnarraHC12FiGWgOOf/g6PKH06bxpsKXheTwTC+uRpAxqOYv2B al/g7uYiovcCR1iP7qfPTVgmRpnHYo8qaiGJswQjVeSF0C+1GHY6RTeH/m6TN/iQv/N4/J3trkOK TA7dSrY8eR5hyn7I84TVjldCqVbHr4iucCiYImLUp/Ko0Yn5APqr8uGgaVe+nK8zSxNgn/vkxGVi lHcAkoCDjv1rSITd/jsE73BLUYa46pYKg2IFflnQm3yhN1AQz32wp4nUQU6SFR5rtjLtdcnTFbxk F3/TyBYEvG7APfWpktYcjZlQTXvy4QAIeRiGbn3AyNznJvR5I8Wn9eE0ILRcdJdbdtWvdMQBCQRG bxBb4z3Fv4+Gs6ngc4dzAH9i2+SSsIfSNMLxvK/CK5lI/Ia/sS/TkIL0OArSlnuuoXrfWnz8l2SK YR7c686yCb3emGRsFWvwTqK8NLqQDMdP7NLO1ETw4jVEdpFUcrlSyaiZ29Rkmvjaj5TtUCdPDVpk 0Ey9mj3nTf9y3qzJwtY8tBeb0SIpRduofDi4pI5qjzhSE+TsMzVRTAwkk/1lWQjlKq8HQEE/EgEo 5qloBXZvN7ppqoeePuywXLVRn7US55d3df0N+9WgY4vGrN9Rbft7RqgbJJno0uvT76g8FfaVXflw JCDRniXTlghsZ2ZCYWBExImSGNCpT5tCACDrCysA/MRIB64VOr71o6zXz+AzA6fHhEwNiKRUsp9J i3W7n3K5zEO5QmD45rdMSsRgalBkg/yeMa3tUT7+C1xLqQHiEDTCfXJCgWCAjHCZSHzQ5tQWCkDG m2k0Mk70Iz0ojm3fvPEiycXZTp65NuyS0fawoBid3iS+FP/vi8ZHNwWv501jNgPsQaTKcZTTGlIw ksHQfuXjvyqzo4K+YT19Zyek2A3adiSdMui9yFESAtHrqEnUk0wF7m/p//zyplK3BkeIVnvfNplo 0mCaaXqjPgAKMGXcbIqm6PyKoKxFpB9RSfwUFmksyjzjfKx8+P0kXn+os3fWDO+BE4bFjSqnQ4Vz +9d0m9YGrgqlghcIB75yQqadpJ+KIALlQgQmVCXs8sgEpVuaxTO7bRJRkGWCmuGwpJT8Tf9yFtu1 tPxTt5SdYpKtZFgc2sCVPwxDqLOSjzxLDn3gpsTrEY5yclpyJHqDrBJE9yyxyi6LlQMjOKhrcVay zCDydd/pyRaN/VEHi37DdbH60Yr9qf1qnU6atebADE5OWZYrlOyfmhwLtsVatXiMD/9WRMefFycQ ekycm89reNOxEREXL/drxXEpHG0bREEjZ9gz+Z56DW46QDc4ZBfveg2OR7K/DQI9MGAAJ6w6tBWi oOlLOHp5hLFeY5su6VHLm3GI81PTkiYVvcD3waMvk9JB+mfQt3+8bArYLvwUefpHkwK5yJponY71 spp7qQxE3o0MDyLLeNAEk0JlR5Ty+hm8REyHGaQs9jt3qAa7pPAW+BESSz4pDrZ+nRR0FZhEwal1 HkFiCFZKkcQSBYuFXydFLiycFGmRT/pxcEpeA5qeZZizSJMjco3UMiLX0lZO1eAAqvPlNZzBOiGY cUumcx9zKYi+to4aKJj5gHo0CfPZDmDaW75UK2mK8RrNdIBIKEFOZo0Gh5G4dAK0JD+v0Uy/eEfN CXgDNDvGnLyGMt1FdDtbsOx278Mfo94DC58F+s+yDH+dEzfAqq4Wsa6UZ2t2EvY9qPoEnxnp4dJS JQO6UtejWEltxtdApkvMn2pPshHCjc5NsyBAjPO9r54/r3FMl9IiZ4WXUYKLUNKsvMYwvnnsTnDd BOgQqVRj10ce3uem5AodoIOVIu2RVdmOXHWenKBbpNEo/GgrJcEZ0nnwQBzZF6GKeJgKuCs/r/EL TpRC103ULPmpCkTfgmK0DofWYEoS6/s4UfDyamJszclr5NKhFItTlpkHWarN5ftbldA0bPHm8Zd8 jVv6YXsvn5rhKpujw1LDrh8UgRf4ilpRg1qQ+KEk7wozkghtfI1a7C3RP90UfN9X9h3hQ1c3rfT9 eY1Z8EdnUQBqBLzDqZzRNSevEUv3alUa4N8wEZDDscVwaW55y/Qm5xSYG4RzQslQmrVoOEhYpHeZ Jc4FhoIWUqKBoqUtYz+9JmrVR5sHv4plEYjLNXg5Jjki0fLTz2uwco6jn4O7blSGG5qU10ilS3cQ zVU3fYMxjqoNi9+NasNc2a/j1ziFxyx/2UWSAQctFItNVkOJgZtn5S0YMZC2NGy9+jRsN35eYxTM +ZRIQr+DExJ6Ei4cNd/XGIXvlp/zZNF6QjPyWrjDVYU4fg/Xc8p7niLDYtcVx0mhbyiWyWvZDluW QPLVWZnicFHBvZPtMRCno78k5Cv02VCPAGf3hG4Iaz6vVTv8XpkST2JqOF7dfvbaUiPaa3xea3Zd BT9OSVfKjXpm1aS8Fuy4LBGWZBcWwXniHlVdCnvoYqNzIzWAvwcoeEMftEymHSmDeoVoxeBf6h8g CAJCjigrJxEyS3wt1mHWF7pJgBHrUwm0XcWcH4sG8WhwnozxbB3ASG3XnqAtCmVRdEZXpngKJEDn ZpTvKKLdjmHiO9UJs7J5vArKunK5Jth2vYN0AwA5VhBMbdAYgNjiyt3tL1wiQWzuaP8Ikdd5tHDg YrHJLIIdWpb+eSc6YaHN9HUho24I+iAnJgpnyRPAWpS+E6z8FLuxbA93nVbaqgc+Hk2MAlmV6dYt 01ny0yzCB5gEriAWx0lau7M/C07GU43q9Kp95zl9HbZUeCr5KDwtOHnJ7K3y/YKYNnmkgkVDo1WE R5qZ96gWmLxKS4PsrWsp9gOiMXLx1vUa6cBkgpnZupipY6PBa1Eo4DeVWxoEwepzMXcJHlxDJlHA osC2n/5aOoOjq+wMV6GuA8sZBSzTz9wszinQgHdqouC20jal9+xAmZnPESM1OdgarGMp/05zwosr JZSADweP4zLSBXCnBogTi6E0pH3WD2X962ObIlmwd5ZTl3rfJn1GnypDte3CwBaffd4pTjxl+ola MurBEiXWvLyHtzKULFVmrGD/LwLpN1jtQ+fNKKw0qpYQzQspuOCPnME3kx0o06P+ntMUsGrZ//CD Wx0Vb/d7a+oGhGcMbZ9h98BPUQ0s1pHc2WRdN5iVfJJDPLbJd0ge878Tm/j7AGZEGR2kh2gr64jp 1PoFdnwlGeLxpwS5EMm3S/I068rTNDtw56COCWw27dZWHaFSx8Iuo/6Qvobe8n1WCJpNlT1DDY7D 60oBLD2sn3dWUxdWTduIuHs08NA408S8R7lufT7m9PDFblMFdEAtOzimgTWr5RKFuTIGXKqfcPAW SQE9ufCEmWDDZa/bAQ8HJP06pQSKrH/eOU343Tr7ajTZ2Q4UQBikXQSf1887pQnrpY+vfKjK492n JYpzQbqFJ7uT/Yuc8EBol7MZcTH1YIaiQFc4caLxfTh1J1zQcN0dq8PrXCWWIQoGXIDqVUwWLfk9 1kXLUP3G7J+OhbYfyPPB1uXnnc7kJ8WTE+FP1++8vEe7uJAy/dMdgkeAk8yqRDSYzNYP8yIKdwcL /4s0VA2+jxJQd0mN2IRQTHDgfOjayJ7dlEl4ofdwV7aXG/Ur/9T5ggCGrcYOZZEo3t0lPfEuDk3A ozQtUbzbmQRQWvIfAltx4QjO2pqmJSECobnxO42JfxDuIqpaafC8yCKADhdsWy4Vhl7JzTKQQGPT P/J6UDPhe0bTUuikxBYlB8+hk4u/b/Rgo6A3nySaDB/cnHl7dvTOYsI1jYO3rVODgpyUt6dHdWeD XY5K5TuJqR8I9JKA07rS493OK8S8YKwv6Jv7+ZKY4NPfuFx+/156z+Dobe5Ttc6gmWnupkw86zuL iSnS18zgwq9emnunMCH3RvhNqrGqUOXopUn8hr4G49Tm3hlMWHdKkaSHwcHDl1XRrB8QhSjLTsnq MnIIIlsnjsk3EuHpn3cCU5f5iR0t1ITYRxMCji5UIQdY6PPOX+pXvdMvJBAK0j5l3KiOOwvrTyRT 0D7d0b4A/1dSSfsk8QX30Tt9yatnwNuzTsvh0AksHRj0ewBPe5FEVLHBiDz9AXzmsGypP/POXvLC Dmqe51OgO7C+KV1kmdfnnbqEtzvJYxE+HoYlp/DyTl3CRprk9e98iBbJ8YglNaHvYEvs5ah35hK+ mkfHWirqroeZXQuYqYQjdhQYmixWcEWA9GPx+zliGpUq34lLXDAsu1BAUsOp+1fHsMz1eWcu8d7N z0YafIl2tlIU8ZJz2OuSUhGSON3VNc0k7J1FRqft+s5b6s4nw9lSz+AhzAbaX4j5TjVPQh5QQ0FN 5dqEDzIB30lLXZLsYGHR5Epz+EMx6Zm6KjCtfN5ZS7gw1x8hDLFsZ1qikLeSYtG3S3ju7A5w9Pdx ikVjdbPzp0QhjGJd9hQ5ePmfPcXCadkbIspSJsShiz8IjQKPme/SewYhjCBTafqnQjsLYdSLLqt9 3hlLXM63JwJdB7umu1d13wlL54ApxH/Ir2oe7XE6TTNvzP2slveA99RBl/iR6/IjKaabNjyeUcK0 zHFIOA5+auBgra9cgEXMd8ISVjV8vKBO7Z+O7shLVt/2LZ93thJ+x/zVFIGvYEaEqXkJI156G8gK A8jnvB330oF5y+Twl9MCeOcq4Y9J3gnVjnzQRY2zqTWS/WyySI1UCjV/0Acp+ytF8teMpoXC+yDU 8pM/3kIZoabsiNmfd57SqbJqF2HrwaO8eeL4TlRiUlUYZElOJU+RAGFtQDkFNl1Tdv/0d56SV1/R USSmj4NPy7b0hz7zkEOz92MiMLdtK5S+cLGckjfz6XeeUndjyARw7Rm4XpKlx6oUDEzMe8SLU3dq YqoLhNnM3IZrFPNWlAjsL+iZ4ySDl9x9GYcUpNT7RDDvMe8tNIgYwMFjXntj0EdZm1pQ4+H/D1Qh Vu5muQcvYc7vNCXfR4zo7pBUZII+0Y/j4995Sv0Kd3ojGtDi5SvmnaWEFTNIpGAukCkUUnRRd3oD 84AZ01Okd5LSzQW2sKr7YlUt6rYzErrjFO2EPByvLRTcgRAZ/YEcNr1l1IoGpQ1NW31qUspw9LY9 /XlnKHUn8dxJwZ5uHr28E5T8Xof5QGLVpVQqsKCwO4rkHex0WXR+7vwpf8unN7euBu8w2maBg2qh X5XNCSdlQ+wccXUhHeaaMr2TkzgnIPsRy8FP3UT291Ru1C13e2cm4RdMJwOgnUzZAqhyUqJYF1rE eU2uFATmwqJmhzWDq99TPYHLOy/pgls2ibcaNCmwBhwLzTg7cndvm5AoS7EBVUECdlcKTovPOymJ mRFzxopGsgaVMC0vP87PfLvgzC3eYySPNBNN4dMSRbpY3EDoq58IhS2RIWuRrwzaAYLRdf6Uv7UD dqP47T6mSfbtexe7ltFjBehl06ejThS4lTI+tZdeht4zSAG6uMQ1H0Azf6XO6jG+bKZcPu+kpH5h dL6LsGCKH7nvnKQuKwUL94dgCoD4OHQBSgwCNLe+HdD8TknqsjfHlDAx4+AzM6pNMTutFtvlSZ5g paEyjEkfCX8WvN/pSMyMuitI69NR3mhkMp7Daonj3G/cj4Wp81R237lInEZy80mTReo4enPoQsnl QBe6E9DfqUgMz7V7FL6keQsME5qenUTiBfMd5UXUUqa86LjU/E4G+jsTqcsjAArAxT91P8/VhZzr Fv6/05Dwd8/9iefkhn2voSjORYGs0EwTpyvMMI8THo6dQpgLYP46ccPCLgXMlgR2ODifBNyjghMb Cv7F5WU65GLRuf/Z9dLXWPb8vLOQuoO0E+t5PojaBz19dkhqqp93GlJ3nZKzYEgToZ0MZyaKdCuS 2pbdIAQYBi9JreIAoIomhx+7v9R2qdFoAyum5OquAaFNND4XIUVcMV0VLDY6aW6gJgmZfe8spO4y HklUIA3eDthyl0EH+fNOQ/puUWNqAA6giremJox2cTTsfkyON/1gqAEyXROuF1L3OTVheVfRbmGR gcM5epddxxbDsfpSwMdntW6wjgllo3l1dRjUvXOQ/IyBUgELwxy837h6VVut8f2C7bTX07wHviYj utTMRNEubY7LdA8i1JG9eV8pm46ZWYTx8piJKrwerS0tmuMRBLcdW5QJgmS2nUB0kgcRHVotuwY0 /m4nwjzeCUhdqtgb9hr+qeJLTlMdIIsUP+/8I7xd+YrryHYoxTfTO/0I37dZlncZRczLIBPfgmsU 2NEoWZCoqETTxdGu6nVpn8HT6QrD6kUlJguUOrsUlcK9BQZhrAn6ZU3k8jv7qLu0sCXkuWqQoo7N 81BKYP/D/LyTj/o1xvZ7CRL1+UxMCGpArtehOKGqFDrIcN+sSZn1QAhV3PjhnXuEP4nOX2U/qz1y gbCMrMgTUeCtmWxJu5/ge0ER2lwvBgaXzeedesStJDBqWWfg+Wv7sYgoYbHz55171K8TkaM97K+z DgzmnXrEFUOfDbalGzEN4n7aDmgK9MCS8J30zjwiYvEd04CAblbAVMF4rLnQZbEjgQfL6QeSTKfS kLPeMzh92StJxIFrUD6QoBHBfGCszzv3iLWGPxJH/J388H1nHjG66zR9m544UomPiaMaAQjD+Afm xMRx7zgX0r2XGMnYXoaqJtKBajOmiRnkb0AqF60hP2Ioof55Zx6xUgSYO64xfTpsakl2C5ikzzvt iHD+r0YJFSkQuWpewvouEuoqjeiJf7n9W5u1qRhDS3Sfl7C+qxb1bu0MXvleGVuJkQzIEamrvmvH LPkK0LT17JGSXe+MI2aPyBvRTtEn72pLRbnSwUDun3e6EYvmfr7graSuceq772wj3kioRxFM+w/l ZYmaQl8HbP/G+guY8pqWMPBNKkkpJ0g3TbIUyaJT2EoDAJPygSauQl+Qy2zcTAbeiUaYFMYuRWQ1 Dmqr4TTnVTsAZA7j3ttwFPRw/AALqmkJYbv4qglYwwE0JIUwDUZBLEshq1YI884z4i5iclQ1O/VI EyABsDWCqhDqmLuIpGUZQv+BQme9AQwxnJ93ktHpNljS2PsZvEvSi2oou/DpXxqxRfk38oFzukRR LxWhWIxiw7FQeJIGTcWbkJBFd8bnO8XoqcAMMSTGZUhASClRscl2EVTXlUihYgZdh/YcLV0vGdQa SCzaFM7ZRzjHjkTxzG121uedXfQNC1J3GjDV5lFdi+LdnlSrcx1xNyKla9XwLuwuR22phejdpVrd Lmc4YCkohDQKCk1LfwV8nwS0smx2WbDEHbYw2qWTAyzg9MmTBYG1GxWvimeDmG60rwMXcdQ5bsNI l7RuUHtokLLps/KPDnYlk3boH93RFtV1pVb2X0TQWrsjwMvsG6ESQ8zhoC4bHKNyunWpVfWewTW0 WZDKOmGyZ0d2WeYq/cit94t60+M71m0/6xy4PQp1UfcAM0Ks+tzk90avgqW6lO2I5GDM/h7qYmKS tk47gx+4E+01SKKQOVIpsGG/iZwNKr7lni1U1uwhGW2o1SiyUXNQQIVZMDVPgGvC0wEpYH8lAXB6 BbVPExOFuoOS85I8Ic/ewfB1s7TIslRa3mnsEZaBOr92MxO3zEETs+xwq1nol45eiyQK3JcOxNNH 1oP7qEf13UrBEwBF9ek0NEnkA+Gx8GxUx5zfgS6qJ37i9jDQRctT8FBWplbzjlqdriVksVpxymuP yrsOa26lnuH0pUdhZxGRZYKhLzuNm7iShrbUhXjQ3hLfEGwkS213B+NZn+KkwdOcamVzbjwbkNIO howxDooEkGLyiQl5aWxtwTIVmWJJxP+SgTURmWNiIMUm+mKPAt0p6Kk05Dn4VbRtoyJKR5UhN9k6 1Y4CL10JOyXuJPrM4KVHgS7dKOy/P4OKdm0uoprzTgVPByfvwXvzPoLGvN9GPWKmMQdrsO1bwME3 GKNBxdcu1KpUoG7IGDTOSxjoLoUkrZ/BmyQdwibQGLFQuoB2w449tngGo4kde6/wlqT3jFpqPHKp v5Z4e2Kv2tE+xSwBFgNPRzfSVy49GMSmc8LEsS51u3I/WIbuEm5rF+fsZcjuip8WE9Qobyfhv3mF /+CkkBG1JDJeEXqRPA6QBkTPf/CXPPg6ujb0CMALZoVFLgKrHrA3zPW8KoW/WxTs3q3EjLGOJ5Xu UY2XLnhFxthI/Nm5xUaCAy+XTt9pudNbD2Nd0azEEuDg5bpuv3yDiIEF0aBhJclwAQRAFU0EHQ6W Iom7RzVeVCM2/WX0qQovYBJqrI2JZyNywPy6qHnn3+XyHut6n6Qg8aoq1tEkkQVGO9O6zYvd07kI jtmjWFd2visJ7Z0u2rtOgDkHwHUT3SRpfAwq6DSKKF9K1kh6zWBaCksDlR4PHDgxlhYXdpernYd4 OqI0rq8kAL0orCBNTATfZbQlN2sUpXD1SbWjUvHH04HuJ2+EZpBKL4V1MDAKrQhhsh0sFfW4AWPi LFLjpCBtq2zq3WodDht8Q8TMIhpzMyrk4PCXPsVX623j6WDJrD+Y0vuHMoycmDDoRbw2t+dEB8yA 1H92kdjsHjzcrB6Vd5fql0VFqXKLUghiLBMgBSnZ6ZlF42MdGsQmsNvvxHS951/zxsXOQFqnjwTk tOIQS5Xw9C9LRkUpZISofooGG4W9KBBa6r2nQ+F3Tgcw1bJD4dXYtzUzYgkGhb3lDn5bEwKzKGoC wGoj0WaBcYK3rY9DA/8Q+IKoXAeGDbSa9OmAzJ4UhlguiGeDmPc7SYJ2+D6AhhHFvJ2SHZsCkDQg Zb4EC4Ltem0jr6NnMuLyLs+WqipCPVIvbSJS6XDhwk5aRUW9PhloQ/wKDTE3yln+nhFnDRQbyHTp U717+2dXVaoNPBsUd//oUKMOeptrI8bvFnr5iF4D5ScJKQHLI7uGjjqQNtKIqru0+qibloE2EOgx QP20wyChq4agN1uSXoV+wQ0ILFm9amQIhwe+IAAdNuIwKdquQQ0kkCh429pfEU9H7YCvfYQsfMwz L1HMS0QzIjDXYljVy1IZLr+uxcAoD7soinmX6i7kE1eXFu4qv6AxxF3UZqPAKiYOlAMaqJ6St0XF /PFB+LIoxcDzXYMKMJBI4a9qPx1PR6fLVxGTJet77o4o5KVRBSA2R4yhZIfBX/Z0o2IYpyUKeemP CexLOcMpNpSaC1I320ZglLgrYeItiD9Ze0CqVe/592aAbmyil7aqDRYv4evgLYPHIwrStyQDm5fj TE0Y8yL6z6kOmSplSvpiagr8Eso/yGSotUP9gSjmlZHHkmDSuoJJzXbSarQVG3YI1cSU1P1scbHj 2vTgjlVbfEOwleClbiH8+dRGsrW51b634G5E+N2La+amY39mnZM3BPAuHILJL2hwKJI7DjCdQ32K 1TvpMkQA3rJVwZQ+wxj3PrIwDJJAtMXZICTyhN5sQWJajvKs/CJHLMxAJCbtvjSo8F0KlY4hjzLx dBTBlO+gF5alruAxovougrpMMTC21YBElmTSKl12JwA5efdoRDGvUqTVePAuCfplXtO4miGdAokG ECaoM8oNBTIJLJXOckH+iW+IIhhmjaXWM3hSPeT6bD964+kI1HBual5fskvzmQmDXrzrZoqCmdmj uyzbzqfIi4jbZyYMejUlWdoVR1XCZsCiXksOULezLBV6KTKvtV8MdnCi3atVQvThCGNeCfohXvFB d3WTmy7y64qng6y6foUw8P06ucAIQ15cjiX7qVIKfc3J90yUHwOCcUqSrOOn/FW5otQ7+NFrca3d RLyp5067s7o3KL0CYNl6CNSDfdgR1nlhZAf3Lv88F7Xy92q5NZ6Nag3zKU/pu7fPywzLvJ0qxcji eO6KLA3Z5uoVK1tQ9v9pOF9mHO8KgkpLMg5ezYR0Ks/tAfOafMo0wP5RWf6p2qEXgS+Ikkd1kGY+ gyYGdLqiHdXwdDAx7Tu0gw9D8XLmjCJewqZ6W66w1eU9BppNF1gKa9VWXsM2miFjjYqha4sSuw8l Fi5hbcCcQhFvpqKAtlHGYVMfPzJqreAbgpOXoKBCGycN3nK0/E4mOBbzzhDH27+qU4LanQb1jGJe xh271OmIBsrTUA6d9TAcMJbeF+UCM+asjTcIYpujN8BHSC6H2ISk5LE8WNyfV+2kk0M9/1rn3YtF 8CO604E3EgvaDjg8G5273nF0DCdzNJ+WKOQFLbXQ1l2JI/1gkTgOdWcJhO9nWuIyL1GoU90BDH5R t10glruUOPbCeaNhDWjD7bGh1T4K0QwNnguZngtHaxNgic1yr53nBc8G/elTaJDlPK7d5P3pGUW8 VWiGIYJwafQpodGhoN6wz0lw2eY2CvXHxIQdJKNx8EpDQUKIUjNajrb+kkus4v9nsUGf9zoS/GWG hDU637ArsE5XAEJ4hSkx+Hx4NmiundOFZyw2YjvM8hmGuzgYcFPYesgUTxWZ2rLeWoicasQ/Va6W CM7QJRa0FLWtfWp2jdTJQbraKHDvYCmYfBnVVB4Jv/OewbkrCjWN2jV40S41Hp2gIePpiPr53UYC 3i+fczcCNIxKBdrjkTnmNRSg9wVvbBznDF9mVOR1Ccyh43fss2As0gNzmhNjewequ1gwiu/YvX9k /Nh2nFHEm5jW5MLkMZebPE7Id2IrWcqDp6OUen5fSPhRp480Q8paoSKoCzKUwpgJ91DL7l3XdW5Q jCxUIyuaGeGmxnW6BrO8o7RN6LcdLzKXH0Q/JSm01UfecEZVXtSs/+NUbLuzLukLbL5bhAv6Xi5Q IJ6eB8wI0jBdaHc4UJUEDAEyt2SV4FO8/dSNol2v0xVBGsqBNDToJgFtJ/vvmcQ8twMYlDHWE/tt Ii1/zwCoCi2PCfCKPgWX2ouOXOQ2fGZU4P1DiCDjgiinVzJDuhrOk0V84z8LLSRWFTLFjuXI29NG xZHbKJZocBraOINf0svCd3R6UIABQntSZgQ4RrImx2M0q+gl1CSbUvKjHEHJpx1bq/wYUYLZnxnG u9/wOmD9bvN+ReEu+dqNIFEWpuRSQlPl7f4Bux1c0IpQDVovq6t532/zHgoRW2ybCTqoYIkWAjfd CfTodh4fFXZXiGrYEvTWKVNdUN0Odt65VIWveDrSOrnxLko3KBceHtIKS7yL/z07OCjN2T1vpDQH dhJqDj4zIayhi1CuPGleq/hegDdE5QsHr/3sRCAMoeGDuO91uZ80qVtxiRftRpQh9ak8gO4E2FN7 Vzz7y7HLdHpX+oxrWkKlXfoXk4/KpuM4xki1URCnANbAWq8dMCuENYgAPIYP3P7YSEyJcCDYtEAN XnZbIHkCcfNHAIMGEL4hqktl4qW6f+rcLRYc8Ygolb9jFO6WU69LsnCpR9FjhbQ14LcSzT3/oVRD GU64qUUevEiePLBbIXxXy6VLaaqnG9jRE2lTSWk0iFWLO8Fmv0Tu733EOsMKi7yDpW8K+mrQgmml VfH58sbTwQnTv+BBQHElTwNWFPBShK3hyqnAqcLwgzoeOGBcyxveGT4vMWlNMI+9z3DguzA15HpZ 9odqkvwYS8tmk/95DhiKQa4I1cAjIhGl4wPnZWZqJNOkLuPpX1oCOGdsYQLVrnmJAt5UKJXgJags 1U9ybdC/5PGSaZjK4yXWZ+DBS66bhtNBWrA62ERNgWff1UECqAM4u0b+p+ovqGXhGyK0B/h8qLrq 0+HeY5NFZAfxwLMBpuHRrUg862/8sqJwF5FnKXmIXF+oVSfb85JkLds32HoMd1cY7lbpM1MRn4Mv l9Qtm0YOA/r0LoP/v8G0ATiI8oBg4PfHbwimpYPPhxwXn1UoH7AZyNEATQDPBrfR/u43Qi8oH5XZ KNYtm0Ixa7vku5hIg5bnnTtrUOpWuyiE70ov6LD69pX1yyBfoF8PS1n4wshrNnHD1h96lLsbHeve K4p2pXOS6OSuwRuxUPdiUNI3ng7O3T2ea7qilQgnVM1MzFlrNKMTi8QOxrFcoQE+TswHRjqChysu 76quy/SHg9el7OGE2jfqUoD/1HkDGDoYXsMW6v7jCwI1fPJICkGkGpg3dhaPf6hIUPF00KE+DQEO vOl9WsLyLr5vUSbb5RnczpsGlTQjWaIM4HiJNXjJjh5znsH30YTHSKMGb4V/rPw2KMpJBfanQb3Q ycE3RCRHmQ7XfQbRbOxUF6LBsjw8/T4vLLP+wSdB8Yczs6OIdzCA2S64VVCMOXUp/299UxGD4sSx Dq8upH8zs+A7DDoKthIsZamIUwcWSYZCAy15FMCQ/4dvCDIkgOGnFll2Hk8fEGqSokfl2wWVqZaf jUSVqrNg9nu469J+lRkq4pcyu/w14EcuQaUBZxLtox27Sgj3LUJfPQvGrrLZKyxAIU88U5ZekE00 3h+8u0fde5M6sWMULxJHdBr0qVvawuymGHZPPBvcR3/qBXX0Ivzk3WG8m8iEzUJg4mxswmLKhQbx bqJjHJdLGO+eitQ4w+EIgEyNdJEMx7IFBUExGZ0ydknKIzO7o2hXJOFKuTQNHtXZLvIgduPpCN28 n3mxgwIeRpqVKNpl2uj4MVSltImAZ+CZLzzDOV52iGdQVWqpuLtucReSo5YTNGk2zz2rynVkRhXI cD7X9PL3jNQrCGnYlOjmoKpUykWSApb14+n/RcEOWxeZgWYmRvEixOoX0kCTFKKbyTQV8X44Gn6H KF61G0sqZ/C00TZJ65lePhZAz+b8CUwk/tLjmg0vIHzwBX9R4N0FwQc/1WyE7Tc3goUDeDYCBvm0 MPyiTMQJd3csRwZO43ABa0j86aCBxURRN8BrvlgwIZxB7VdXtL5Y1bYtUIT0BdMj26tbRT1ax6BJ /IhXUMh6R9VdtDM3EBH+qRqDbT7yBVGFwbOR6ta3FgETuOoqDTuU3+X5x9ABybTUmsARyKUI1mCX bHUC0g7VyAT5nkqm57isLLuL7Y4njKyjZK/kaCNfROWuow22hJeiqt+OirtFBL4umk4/gMwFDwsC YVJdeDpKjr4UVXHgJq/W7VCjAR2Exn4MNRpycr22VR0uVSeEwzQvMZpBE6IuyTxdEqiRjcm/zgBT De51zAIQSQJEK4kGrBdV4PANQVSXyLUpEpkq4+h6wN6D7OlUB56OqlL5ieoII06nDbvD+i5OhN2L q+Ps1mWoAJXu7BINbGLyeInDXXXVxjyDX0e4ytZmHXNDeEuWYoOgjQrA00Uz7KnXjKBkDOt2v4Pq mHCGJE3Yklw8HXEnrjSx39O2znxiooBXult5Hy4fy19CNE/PpxexKVwyEZ5BBrprK7rbV++wWgpt f9ZBjgBcmEk7mZ2yAg1WbRdkN1vTe/5FoWHSe28e771W0LgTIrMvPPuLFQevI5y51yoshXgGyDHD LYwQ+ALlUMczwN6swXlvw+NYks0pjHeJnYM6xRm8gZQQzjXKTMEZc3NiOi1yUZmt7Ur77XXeNPD3 oQZBKj7gsmdWDSl+dmYtdZ98PgIg9jM7mX+QDA6pT0+oTIYVOuD1BbEK4JwkZVHTPCZIpZOlBaXv FMe93FBVR029Rw3IZcCRDeoRWEbAC304hQbmH7c+BQkxvWnUvydkSsqq5Sqr2smuwh0qa3w8SgnG 06yG4ZNsqfWdoQEF/n+LVoKENgj83WlpqdAPBPqjg55CPG9TViB8fL36HugsVKJpbEbAEpXh56qE +HVSzX12KAfKr4j7+IDES+Bj5YMrK6iyMzFoesMgpPmWzETYtw5QiFfP+zNgSlTyfYkBlwUSotPl yHjLN9adnNhhTSBwtfTrFbdO0OMrnR4UYPlIj2tmSlTjIGi3Z60OAb4iqG2uwiRy+6fOnJ2a4IR2 gvHhXynEGVe+LZyTY+cURcHQjS6VNyptKBTENCTZg70B+G6D5qBTJyr7Ok02SyUyjxsGw5GPfo1A Pk/vI8yCcgBqekBHecEKS1hfEfQJFvX+kCPzUwCQXsksQ/Mr8+EgQTjydrSlyagTIWg4sxPWfgl2 GFt6fzBeyw52kCvSAGsMQGvqxaew+KuieNGhUy4KpKyF8kAV38Tuet72HUx2tIZ/Zrvp0+Q1jq+I YGbCOex0BtV/oVcky8Lc+HhkevPVdwOhNF/9P1kWhycV5RyVW66kVMGOvL0d8bDaMadOUVQ8lStI q2BdrQK7xWcpfVFsiULGwsigGAZWKmh6l3Mypr9p3EuxQGgm/9TcWNwqEQc7JPlwRPibP181mvxU gXOKVRwq3Si8dgUGqh851JBg7SrtfKYmrAOrjYIj1ocDk7FVU+jahBrobCwUD4CtM4PKfdj5hfar /IoI9oBthZ4LPuePsDHJwgGKJNoTfPgXGXBx2/AS+S6bKDKmkLBFDckLWNS+IRykdmGh+xYTkHMT qTlI82M1IYnatR2DR1pmqjAW/Bepv2LrhBVSZnuXTOC+qClU6wUSDxAQ/+Sy6Xk0aQONNvlwsKVa e+aGIUG7yyYsBgPoscktI/hBUDx0gOT8AvFJANHceiysBst7TBIGK10SV+8QnYFdH7ltu8m6umJq 0JFmYc+rwehQ8SsiBZChQk05g++puYUiGgwBQ4uK9lXgK6J7HE+T0JgN3I/cWHaksANdepBSpTKq QyDqHu6yFTizodMvbX3trfW0KBM46bAIsfOmg5QuACdR7IAUo080v0gFObBmA5GWnV7SODU4n2sI rmibrvPx4MQ52g5MH9BZaeciD83ZcATYfy8+OZsodmqOyvsx/9hVOdqZnAgGkcT/K6KOHnQYpK8h adyorGyT0DxXx4kxKMV/KcZyIIvN2RqldHgdaPDaDX48D+NR+Xhk+nIuch45eNt27/HYn63yEHY4 K7Bo6Ra1the19jUhCw3alju+SHCVHHNOzli7wJaddhUZMntMOmGDQyvm2m61rysCDB3a0BvcVDLG p/zJEUUVNmI7yhQ5MGjDvj98N95LC7bHJ+8MDNrQyAUcbB/nbsv3vRtX5PhuV9VIhzeaQ4c2SaLv NOoZvLaF39muBRoQoP/ERsyEMHgGbILNbUcAj+xvGuk8MLEiq0uDg9GqeDt2DmQ+HjW5v7D0sBLJ qFv57ISiZqiPdEDmgIVAuJk97SzNBWzJbtSJHPi0uWSjHTZKrNZJrCjkvWzpoMI1IRPu9AvMNxwQ 8PVXcD/7mwaNbtmpLjlXnM4FDJ8oHIVYoPPxoHN5/M1Z3ejodR+ztsCtLcmtTT7tPHOy463sKABQ CGdOptqSzpwwPHbXoLXOoMkBAng18NZta7W66d5UB5VEUNho58raLKnrKyLsFWsVEDPzQUvHIkwJ t9lP4OORf8X8c3Laob/l0LENlIgiNRLir6g1RxtR2jphcjaCfF85MSBYjEBd6WXfu3zatgS40+5y +M+Sj1QHzeXgn7vm1X6TmFcOTdsmIRLo9OlT5Yq1hm5yi6j4cHQgf2WdhaF8ursqCo8h6gBZrCXO ZOGpjsuqgyBTZWLR93ESjVwsup85bgffL2nStlGuVeKSdkzLXHsAHl9A3wCpUDc5qu7+psHCUZGr 0beBg+M8+2BPE+ALPh7hyNMpkCI6wm1+pFpzYN6GOGdfrz/kn+Lvw5+QpzjOZuiFnJUTyz+IwiPm 0m5P8jAtqapkZPQ9aUgMZXVUhUjtfHQxZIMYurcRn5LsnkhnUJPXAjOpc82V+XiE3vtKOtHmy5Bi 8MkJ8cFIOueVPp4zudXqyNV1AyfRBrquYsCE3IoFb9w3zgGItiW40g6YRTYaOdkawqui554foYMh e97QxK0w3QSxV5+KcxbwPPjdLIHjw0H28K1j1YiEONTSHJq4DZWQZzmZFXMsYLKkyonMCserFk7o 4uZc5C6sWr9YNShirDkIyiqWIHRiZQfnkIK9l/qFtaRviLoOqKpDBgefWaAsm8/K7wLJjA9Hymff knAy+DknTujjBhGcQoRgkbHFcB2IgX5OtakZFIhRnSs0chvD5TXzGTzKsdNmMWCzG6uWRj3NOrin BpKoeTfVYqcqh05u6F7brizdP1nn2pgbwqftjuXDv9BLSUTI9SsAjK3c0DNck66qEIXgiYKkc076 CMHeAleWT00YHLP2N4W34XCKXBNRYKG07oJdqeSJqEG2Fg0KNTVMbfUVUZFLSlZ7n4FfgQyLRZ1q 9x8fj1AlX6i1glh5pevsHKr9MlBd57zpzcvItcgUEACKeXRKc2Doltw/Z0kUj8PBxWLlcOHsBOIJ gY4dEiMFqWn/qqxT1zbHjm6VxOTS1ZbpXsvZZRaSKxHF8vGIrFGf2cnDhWd8dkKnC+BUM7s22FY5 V/cA2dLoAYhiHa3FHJi6OcbWskne5xy8m9dSs8OXeAmEIyOJrgEaFN6w9dv/pbJVjn3dMsH3yK74 KbRjouY5TpE5+XBUWb++Dlm3+Dj6tjn0dWs0QkWHlIdxXnV7CbCxYQWM/Dp4pBwau2lb2ZG8z+Bd h0Vt2CSdaIisMMZh34+U33kdL85pHAfHE4Jf/Xyq61DZeEdovPRwtGzmA6hGPJDTPXGi0BgEBAt9 3ZPWfo0yjjQETdIXeon13lOxIBrDv3+TnywraRAXWbynSqtqTqxC0Tf4Lx7xGf7x+QUBaoCSvQwZ fXCwVp3UjILxPR+PQuMvfSsenGmfnCr0d4NWXOb9oSJXKV60gEz6QVQcV50cGLxdXNJ/DSQtv1eV DF7yFsDxJpvceUyf6pkcQlz4DcHkMKUimdkH7ikLEhpJb7ZsKh+P8s0vFA6M1Q7AL4ceb/Q43FIX RLo5q2o36P9vh1SsnM/UxLYXwiapirNvFceO4j3Z9oLJmwX4SZIs2GJ2YvAGn19dvNDkDTB2W2jI qvjpZWMUobmf0uTD0VmznrMGpZ59TdNDi7dEeWQW6ySPnN0rsRFsifIWRRn9qAljYqFMhu6oce+o haOgd8rz2EHQm3rjBIGDxFKo1Ond3+5vGiwasJ/6olLK2scHGxZGCuba4MNRAcenhmUuirOVA/PL oc8b9Migqe9W2KUudfNQWKCYCLq/xentOTZ6U59heq1iXubcXrDw5WFj/8Z6wYZqOLZxBNWLNxnp vOjfbGTws86ghbOzIn47HScf/1UQGL/BfNzecmj3hpoEIGUCs9m/SLvcsPDq4ojZPVDOwgn83rKj /cjY8kELZ2yIJKIaOpgTDqH2gRbAQsnrKmuDvKIXjUg/1OcR94eDVg7S8K00QC8YASG/WMvUQ82H J5Zj2zfE0os0cxJ/KCZLTAU1/DA5e88T+YW+b56GF1UAS/HjBkZbHaQNZJo2N45+66SRU5Ar3aLx zOdNI+HxKiROOgO/Auk0y4Bo5vPxIA8/KC7MD+Wr0s3DY/c3qUaMoxrRTodzPHKDLEZpdsK4eDFd 0NKZz9IBSkMa7Xba4hhjeavD7aYQat9P6W/KYT6wf4NGEw0wevFP5lPo3alB2QDGCczfvMl4ELQZ lchxmg2x+xsB+pD/kEPraE68nM2dzuxv3NY5cELpiKxmgwDp80okIKu0YGKyoG4XOAWlYBACUCTc rtfDAaJvVQ4s4IRUshgIQH1+KiiGn5FHboMP/8owtAERyb65ZuACZ2ccVupsTr5ED7K7GQaVbkhj QGvR5yaWj5CMkcxTyjVPgY9a353sBfsDb2elwvIjg/pMyIB0wbBn9KYRD4hdhin9q+Mz3WxJJvnt bBQpAis49+s4J46ddxAp9rkJVYIB2Rk7uf7V2M53gQuMY7h6vlFxYAUHUQ9Jg9V5Bj+MLRFH12KR UmfhjlPq8AtCtYYadc40pKtXDr3gpLInTSQNqm31vBSjWDTAx39xgGCIY7/vOmzDHJjBYVOhTkzB a/Dq8srOSa0ifoOGkY+6Ro7d4NzASrFfu7Gf/YFzQxyJsl+1fUFwAWD8uKXo432jv7T8TYNNhZht FQIqioPEepuS1QEdqPDhYFPNkzLwWEB4n2/BOLSDQ9BbQPNitaZQu4DgJBgLU+S0b2ZwmpwwMBaY 1oEV10zF0lV7rQlWxIAlROoui0tMPCpqgO2rJop6gL4iUpUDKQjdGn0qn7K0lqSgWtD7Df3gLvZP 7l6DYMGTh4eOcHXz8t6w9eqERg7qm9rdav+t46LK2DNVF1WsKCEFDYfXtnNR4WxoE9YKAI5aOIwD HSm+OPc/kwRewU128TeNjhxtK17jHFT7swBQ5a20Jx8PgpxDhndiCO9Ln53QF26gQt6ouEUA/1y6 vMueIx9ZiX4KOIExHPRBJaPW+xm8nA7vs9LZawAdnvGSy6jRv+QKwVJvnN8QZw7oawrBvw6CH3bC LmC5Fh//RWFOstQ//fBVc+gMhwAM0b1Sy5LoocXa1jzdTaSPZ2oixLEXcPZqZ/Cp6aitE26yMoB6 UuFmTxWsrVpvA6+TEZ8Db7isrGpTU3kfTeWWYR3ApWAhAh8Obqr+VU7HJQBwi09NFBpXmtDnB0+R iuMpqJbDhJNcNe6pwBzu4JQQ/Z/Br/Ey0afIYDcAM7pVzIAoDEVm+72o2nnPiINosV9HcUOfuqZG mvJ2KLvy4UhiLj0onLZknHpmJgqLK8npMG+WOVwivgQ8ft6WOG06LGx0T/3FHU7FCTV/9wViTxRw MuufEMuw/SWrhILf07553Vsqn/cMUgbyvzObLxp41iSbGikL2qzz8V+EctWLwlvke4kHDnE4JSAx IYdb1LZgdd3R2Exk42OaUk0nMv6LRdxWYyqdwScHDmCA8tNqZU7RFcdWJITTptxc3HdUGBkPqRLS M7qcOIG8Ozb87civfDxYOq08RVE6H5WjkpVDozhyQqGl4hh+ccKRBO7ajtDEPHDawCnuaAdM/dYc PN+E8BOOTsR/aWaXnEsAWKKtVcZNqQbF7XPoFgdaJ4SnWz2DFo+tw+KF9crH38/i9YdaljOPzuyE cArqZeUpcBL+tQ+cwoUE+qJUqtZOSMGTpMJ/eEMW4FS099mlGs37vgNu4cDSwQ70wpRS8jf9ixBq R19an7qndnPYJ2Pj0DKOdq48dFhDRcBbrz9aDl3jUDwAFXy67ATM3BQBot0IVb7BgEkRYGAbB+1Q sapU+dzpdMULuSXQtqN/HDTKCOJaipKBh7+tX/q75sA6zuUzEy9TH4QZSHa0Mb6da/Px/wFugkvt Crh8XkKc/n8Je5n6aml5V1z/gvNS89UEv07HYr8EOPZDXH0OMlL7DA7DQTCwUQYBCBACfQqEiIzY 5G+Cgf4IZ73EN3zLBOE5yszoU6sGVSfyNtvCo/+ZE77b5ppZibRNkgzgUKwidTQnQAFQPpsZQ2W1 nHOCPnCzq8su2yMq/BLZ8Ht50gBfeofTDLcbdhWuFqBqk65v6YRAGwohsebEEdivc6IWw+Yy3Hcx trKaRH8sW7Bw+HVOULiZmpMqIzAABLbm5CWo4SOUPhYKjX1wJsjU46ZkFNZJwgbhCfMS0midECOS KEKogXOC8syoHYAMWyddxpewBrBMe036vl+cH/0zXiIavmThxVQZOWhQkRg+FORr2in2eYloNJ8k +C8sLMwBUGK2NzgpL/GMbx7onLXj6DRU+kT7m6me61A7d+olmuEPofSYZQLEauwj2TQgNGHxr80M cqdtm0ZkzaWqNArnj6glxRtfohl+AbAxoJ6K5YvqKRtetvjKJBKpW5jweQlm9Dt2XyuyW4EbU9as vAQyvn3wJ2NDhtoBY3fXDtjLyb2dGHCaRgdLBfa9cLVHwK/hzAqSbehIDMhZOKlqFWnxgXO+LoEB f8jPSxCjI6XwfpbzYjrOi1iEIhKDHfF5CWH0MEMYTkr+cQCdr5WXAIaPNHqtSN2TRnrdiZmNuBwq lY/piMeX8IWzQgqEhYF1n0EbKLVEfaz6A1Ef+CJIAQglElRNGuJ4wUjIk/m8BC98SzRP98Sdrk9H yVa509vVuT8vgct/FwplyrttR87JS9iis7kQU93cLJp6E+yrZPbhkCl1CjDTBSGak84lgh3nw+lY JiAmuX+WrcKkPgz9SSDENvbTe6KmfbR9cKmmSuqwBt0+EPLgwWBv+3mJWHQiUQvOJwV1nAxaCSfl JVrRSUupYYK9WHSoPXuPm+BfFB3WPIfKS6yikxZ5q13FLZ/BT1qLZkFWgORRGpXUK1pHiLj16NdQ /vvzEqf4OhR9l3haDloocBZmnIL670uU4u+WfE4wBYv2QJqSlxKe9g5ghCltB9JAUPEUG6qmpKMJ oBPlpYCnPVt560jJORWXVB8ASM2EFtzPTPD92nR7HQqMUP8tcEf0+A1t389L/U6zwhIVjPLOwCOl z1klzLPX+LzU7xQv7PS1fej8iysI0/JSvNPKRJZQWEZloWFULzT0sk5n+1EjCeMUVLfTpI1Xkro8 pmXUabu+UegCsnVuUk8eGy5lYq+cYMh88aVwp7cEKXVahuWfyoYAi9KVPPBodKRszYmEprEBzzH7 xoPSdcpzlmV3BvtckDxnxY1CQEsbTbHEollh8L5J0NTg6Blg7ScVoOALW+ioWgcRgEh+5ZThCgri ekeLRbW6yd4tB04M7gV163fj08EeIrfw3MqgOuYT1EZRLQlvnQjEf5g8ZTWxayaPAk3/0qARIlh5 NDG6gQjJ0nBCuAQIB2yiwUAevHrQs0SyDs/zpy7VaXD7xoHy85bRG/GzGry3RAfEJM2wNwqUDlzC H3zJzMKbyLfRGwHKUwRJrrkMFOIphXGrTD9eEpXoBJ0JZkYmx1sK2/sGtxZ8Zjgq0kSECtwiK7Dw R+FLSEG4f9P293yfGcIkU+kuwnf0jgY67ZLH6kB4RjHLyE8iVJmdLL+K3uhPShTghlmGq7NAfsux MxCx4H+D6MTBk0cRbvdwhTDxdFSPsZkKJH3QV9r26rUraqFNA07A+tirSDjsjfzkhydyoUwSfF6n HjWLyIXVrs7PG/NJh8z0FYObmo7aAERoXt5DXEkRFABdFMzhOlG9ZdJvjP4HAH17ITOaF05IZgNW g+YFFjwQJMTNCJRCUdoE8W+ASVD6dn+4prZAeMQ0WrhO/+SsLBAXxSVkgTeYFbWX/YDZzNuTx/1v jCf/fTY3+5YgwKLyJnLEQfAFUoAF+Lyw0u8x7kGgbYlfcdCsLMQkMFylGGzdgo5s0PYRGNWr0NJF zIhCXNL1krDEGoSW2WNKDDa1+nkjO3kYv555QesBJSuflzDMRV9npnFULFXP7YgHh6eJvXd3tXrj OvnJu5UKlTNoXvBDaPlH7ZrdyACsG0syk4S7Tj1h0lrljemkX42FyyPEXPMRsbSlTGvBNvP+vFGd tGDG2UYMwBBlwztcMxPFuoB6Ayp+cDLaPWS7l+riI20cGlgU7LIfYHuQOSAHLz/ZFdyJpLXzpUFf TvI2wj0A6FKvrLI4y+/xLpq/hJ3R3V2DKrn241WXW31+3nhOflp4aCfte2RG68zMe8hrawZ0C+gp OzCPpEcWFLoMFkGQG4d3EMW81CyAQ3E/w5mZVC3mKAQBA+YqK4CiwgmbVtfBSQii95hXIZrlVMgf +Kl6JTrqPHk7hEeikJdsTN5IiWUo8sGXT0wU9HIyNwlR6FhvBqTsWDfCoydctIvbIr8xnPQnGZqY Xs7gyYCtkrkmE2lLCtIWRJhC7yhX50eED00ivmc8MWh1ESLT8oHI2M7dYmdvtGSjyFcIlnPMcCuf S+mN4KTLGuu/09SNZAwp+aA6nw44eivXtsv6jd/0HeBRklHDzRxB/QJCBnTT5eKEDGN5wcNo1AUA 9tJ7vl9Lm9jowgNKg5LHvkZz1Nn4vBGcfDPtr4vJFl/1rfTGbtJcQsUsEdKkelTTcQMlNHe2QsXE 5+U98M3ixDVJIWnw47dZUgiqhGQjstoDk02uZVkINp1vJZJcP2/cJl1M1MHIlNXRoOol1P0Ys802 P2/kpj8vJmwcUQ28+vLGbdISRaPa/m77OK9PlzeqiRkBtBXHQca8UZs8r/YSXT/DKf4v3KgF0R0E 1gTFm/h7A5D6A5G6w8GlQs0bs8njGGSQiJb0qbPXoiSewzXX9nmjNXnU+xXHQJRtnPrLG6lJ+wgV 0kTiHgkYFHYCRJHKwVmc/+VVqTdOk744q/SistS4ZSk7JZOsaKHAkjsTFvRmsRugN9UfmU828N8o TTexhrFbXmdQC8D+oA6Gn+vzxmj6b7lukAbbzk6Kwl6u7Q43JTqvd7qmk++/3VbE/s6n4PDGZ/Id rKOlzDOc0q69uhfsLNmfQpNbYGMXJ+j2965u/pLRNpLKp7CJ/XrEWSQhzs1u5fPGZtKNue7BSyVp gNvOtERxL93QEmUSmD8Od2CHp1k91Is0lT++cZn8SuIGSvUOnj+uBeg5lJ5AH6l1uVvRZkNcpoLH /3fpPYMoJkvgs91BJQe6LitPap83LpPHzafkQGQivIrG2UrvkS86r6D5F2+LFOmfMH+s7khvAWs7 R+975IuKqPZQnmfwmYEWd4VjGdhvFtBsqWeAdgc4zPrKCVjOfOMyeTkFtFIsLH063IOwI0R3aX/e iEz6HXP5qjggpCknr37jMfm8JNJsm6OgyxYeug3qpJHi3w9g843GpL8nr+qMY9AHXdWA0+Cq77iS EhxddWfZLU15qf2VKm29ZjQtMkBI6wz8gtSmGq+WwOzPG4nJC079mRj8AbjkNDFhpReLYZapMgOg t9IRqW3TaxxN2F48IXgjMem9qXyTpvKCeRLrAUNESOkguss8dHnCTNubwLEixj7lb2bWbyQmrxkw UB6UE+GgikPZU5TJgYl5j3tdqulnnWUGLszdSVHYW6kmMo6B3mLDimJ79H0n36LcnfQe9t6KA5W7 NfillGyeOswUAQ6yQ3mpUYuOLGJo5I9++BL9/EZh8hWjwzffgVf0gCG1hyHj88Zh8kT51MCZEEBP aZ8WbBT1NliFlkYhFWDKNk0PSA1aKjr0vZlCdv6UKOpVS1qJ0rVcJC5kTKja2hGT4FFJDOcGycJ+ odEfFGLTWwadaTuZ0cNN/pmUHEEZ84dyB+3zxl26xaa7XGD2iMWpSQkRDOiaVwBjMwn9jC5Q4R1s +JDQLz3Yzp8S3Ug4d2EmfgZNCtw3Fo2JBoCMm3lco5wn1MoKaTLXw+mNtvQ1J+gj6FO7YaHtzdmx /O2Ns6RfMH13pcsmZFVzEkW7xPxv2UKzyls1JzB4yM7kp6g4m/UhhCEzeNk6YXY6Z26vFutuVHHG tgtvbFKSOTWIjco1ooeHAt8ySI5IG6gSKqpXqMiSY7Vjq83q542s5Efu+N5AmbeBz0sU7vIAGt0r UbbBXfQLvOlCJK9F78WJo29UJd9AqmUSAsTBj1xAOxr3yrLAC+KFmBeiYyjh9dRgcK7zG4IsgAm1 BeZKGwfZg7hY+mKn/wfMn88bWcljV7+Njuo02iyamTDcBWIusWrGRKDV5ECGQtPS/A1keKMqOVaA 2u9ECWjwmQEGeTZCnG3OBzk1Ft5sah/9PFkAS99vNCVvxYK7D2cUfaolAJ81hXRYLnGw+9UsAesK fWXNyXusi5oNZmK66E7JIheDaqIGLI3Fp9cy3yhKnpWtc7/44PfQoEYwjdAsRCw0BKmbnFpqkI7L 2++kp78xlLxMhGxxASPFT+2i3GTSZ6uyfN7oSb5Uvmq8ss9eXvt+4yfdHofWCkpQYH5sN84D+6tw raTtSeMbPelpO7ZU1V2rp7tmEwG7dhRVoYSW6BcC4Z1MtVdIC1xaWyfJ+I2dpIOTVbay1bnf3rnv kKvRgqmpft7ISf0CuC6cAeEnClWamSjWZbm1tUuioBISXRB2GgfPsDxyeeMm+V2k6rcKdsIJTwma ThRGoPQFSCqtJ21mWIUpLIPt2y4h4++Nm9QfnFS5bFqn/C37o8hHstb6eSMn+ZVbnqmhahRMSDQ1 YbTbiWu4iWNzSVyo5k3HNbAbxqkJi7yJq2UrZtuHJQraEACGFVeS/XkrNeaqHTqwGkvoiM8ru8Oo 7o2YdAswaFLXdgadMmUmaQta/vh5YyZpO+2vRUPdAAhOa2aicBenmh0FTWZ5pQhjt6lJKYxQ3zC6 0jETVXmnAKl93cErDRbY7Q56JdhV6DWyNEXbIqCeAa0824mIjzdWkv6CJGuBjaJPVWAsLZUYva39 zxslyd+uPhU7QptRbxC0Lox2USGiNEcWuqEQyUB54EHx/86sphJbF0e743sz9duqtr8nytNAwVsS VkRIGihuwgTsZ/bnsiaS+Y2QpE2vUsMWW4tMDRwzveVF0FS36f688ZG0E9vXisms//gp88ZH8lsW mIZWlpemsGSB7K6pkDMwYGHavPf4Rkfyhc57qeQ7eC3TYpcGsg2imA05e9IIwDsm0QcH8QHDoKzy eWMjfW0lC5rvwPMXlvRi+dnf8fNGR/ILuz+dJHTc1oHYvbGRtGKWKPOyJ8qbBHjU7BYpkyjyJklZ Jf6U9zMGAMJMp6Yz+MVkpwwu6O14skFxV6KpCuXykNV4rSFnvWdw+upwqbQ+cD0vZsdZJV+bl/V5 oyN5cWp/5UiJRAWfmPe4F20IcgG6utVosBVPHEljtIkZ6Hf4xIRxr27sxBoMB49koCsFCUHWYFIT G2AyfYCENXTd/YgB1JXfENzYqEqtRjZ6m2daYEHDS3hZ0PvGRPIi7zf8EFcjXJ81L1HUC2JQqUSU 44ipBByToU/2wj8wokJ1UvMSFnmVULPLruF2BXKD7yIVbztSpVPk3fzTz5s9UtHrjYSk7JGVBprm +sDtA8IBIZoolnzeOEhaL/urv7YoJnDKvG8UJA+dbNNPdq+oPNkpBcc4HTV7BMG7wNqDExOGvkml ldzOcG5r3NAo6VlU3memklaD1DwNRC7dcTMdeGMf+bQs9hv3PoPajrUKYobC6OeNfOTZ53i2EYSn LAr3WYkCX8rvz4IWrsANzSUnG924CG6YxRWS35hHXzdSToQlcdCsTABGVmYMAyNhEogb0r8fTF19 2tQUZHqjHennS4yzKLYrHts1KOHLOt3+cp833tG/wDBqOGHJXRR8FPdSHIroELYdy/AqOBr4QpoN cBR8YmJsg9LGsc+gfYTjBT3oRcvFwWoMap0gDdp50p7Dpeslg3KDmEZE22vwHtLwep1dfZ83vlG/ 0OiTU9NW7TZLWhTzduIzh5vxgIwlS1eg1odPS77TEoN5hfZu5Qynu1ZR36XwG4owVKjAhQW5KMR7 lxxLEGKLIl4Y3W7Axv2Tf3cE1+6EvSqeDeK6Xr8O3Q2ars9JGO1iqSSC9gGc2mrE4nCnXgVKUwUI fJ0sUXGXxR9gMtMZNCdjou4N02qL6qAQJkwD8zLwkOHCfIpTq+o9g6uIMJg6qdbGQR3qPTc9Uu3W 4NNBh7p8nbnYgusslh6Fux1XFoQzVJtqOwl1aOkdF0smJ9Y1tPt7uItWbFIBs55BhwuQqnnYooH/ LyovtCHfbsNTQfO/x0v194z4aTREbgJoNsdmYY6bjpfSK56OMKvftzTwDMtRMD0KdwFIKjTac/p9 0/mLmzl5aSo1NzToEaZhqBM7HQLeT2lqwcN4AE9jmSM6URIf31Kzmz9zPYIf3Ec9qvFKlDRN3dLz 3NJ2eUvCq7S28HRUzvzOAwb0Mj2l7nGVF0gGOrt5fcqRmY1OzKTZwIuPKOceVXlPgqT6VL71KZtc NnWZIA1LxpoEAjtJamxO3RWDL8Y3RHUY9BoRjOtTt3QftBZhrIVnA6barA+SN09qxTYHIfaQq4az Lm00E2nSmanxS1YWHAz/YXbGzpqduz0Kd1moAcojncH7JFCacfh3H62TbFGha4aJsUmnCp6UoRnA 9CjcLeeMmWfg1FhIkFigAmUFT0ckvrNiuMvxCb62piYirA3cvg2w9AljmTbZecX+Z2sVBzEcPiyf xNT8AmsoalbTAEFTYy8OFwOgEKGPk9hCWsx3Jtxp8/WxooIPviForskeWbz7CnQl9ctAmWQfotsp jqeDa2l8XUtgmrEIpJkJQ95FZHy6yIbqKm9714NsqNNzpB6y1qgLvRkFauDM2CJHIaayf1/QA5Uu NGyjIY7OavQB29Hfob9HvYlIJlqXrjNw0SxkBlMHTsfTv+ynQ6aArIkmJiz3Linz+24ihYy7qcmF EjTJOl0xu8dRL5shc9zhNPATzNsmuDfTAkfCT2G/XiBEYBOD2MOhU5T27VG5F+fvhuC/f2qZ5DQU yVpsgGeD07d/nb6FqLS7YN6DXihRMW+ADR/rdjU7dbqhCtiVVPcpdGaPgt4t6RMi8zV4tcFOKDjJ UBXQojwII1KMibw6nG+XqDX8Nf92LZGJ4oMmxo6uoi7bXHg6yKrb1/lLD/hxMOA9wvNSuWaMIhO4 TNU1ikk2KucqAGZWjZUaARtGcajH1MDiK2CrcDAAjQ31qXrxvNQApqQ41A28cIfjBt8QoaeyaDf9 DKo3JIj9MCFoG09HaLvvJWPx7DpA5x7GvohnV6VJMhSoSpVUYgVcQ+22hTaFjpio0ruEtiNGSYOX G2xWuYRYuMt7SqFKnFGoHI35TEzXe0YZJCt29HTWoNg3FXmy2KJvePp/WDLUDi+wTRE7Nop+ES4V GtDLmpNeZc6+OdaccpCxNTOiYi+xKi2zgqfBUyU7qyZ1asGnSNSmQMEB1oaoWT9eDpOk+xEFv6BL bZh3+SdPFlstdTuRvuDZIPT9vpSQTu52ZiUKfcm1q1DwhlBZqdVBmQ3a1izDjDKOZO0IK71b/SSn mafLGbD5HtA4wW29l3rUEDng+/cfRDTHVodOwCPksVVKHKfhn0qsbZq5a8FOx7NBnXd/Twte9fbZ RojmLQyOKOCLu5rcMuAbJjyBCllsUOTiRhpRoZfE60buKQZCvgbwDd0ytonmCzYSZkk0N4RDUFSu V6sM9s4DXxCAEBvp1Gip+ZAU8FZJR5ZdM56OOgPrqTigl477T/MSBb4NNZ9Bo0+KNNAlSCINLpZt J+T2JtuIAl86DTWWmTBQraZjFxV4Zg4ChOBlNwjfzPDobTRcPdVvW6X88VFbgFJTMv7N1/i3gP8u QNlseDo4XerpPXrVDAId3koaUdQLXEFJ05sAAFToTGlsz0mmoXQvxIwo6uWBCi2PdgavTy27lKA5 BTyMriRe1VLMgFJYe1CrVe8Z7CMJKQHnjwH8KIq+dKiyYsXAVA6PRyvm8qozZZwyIOGamjDsxYaB rDcb9yWrmQ9gfD1iJwvVGnbZRhT20ujOLiNRTdK1p58wUGygbNppCSspGZiKkrl1b3p0RztWfENE S5o4c+HewE/tJNu0zJqqxc54NmolfR8xaNUc1OoI0byTr9G9M5Cal6ka6AqiVtN2UnINEZq3EGMH McUzOB6Gpg1JTdmF6WBtb3KTY1aOOK38JUeo18CgrolOxIHTAkNuolosgZ94OohgzrSIsG832/BC 74gKvbBYhD+6S9M2xS0DAIcqLk5ds3iHbUQxL0XVGmhZGvBHyLymM9bIIsBh7QXjQnqd4f9uk4US 2VktCAvxDUEEswhaVd2PgzJrgNfc9W/j6aiVtL4iGFqyeC1mhDEvdJQSHfJwUycJnYAkIORzxW26 sk9MFPN2ZQFExGnQNmqWO9rxRZtfC6+mG7pCtgoaamLiq2VCHOIIQ96mzqNADteoC0ganTS2GPF0 kFafsxfrzBbbPNXeEUa8naB4F3cGtc9VTxpVU1Cismt8KRUYcbVXoe4znAabZU8bbQdUYsDQlz0y ir7QgF8PqZonPr7hL43H6aK0rgVnp0th8IkuSMWzUbHhW80Cvxaq75yXGRZ76UWAMl1VQ5YOeBVl Meni2Km72dbv/ClRJsDcKLH/yMHvRBgF0PwMQKEEg1+BHyrLmRSr9sodTk98QZA7qtawxx0EhulA THBDtYang4lpX3wkCBZinWpeooC3DlkrTUlvsRpbyLqhpSnoshkODg3baIb8NR4YaWq9zLNeoLuF LgNsCHazbeSWiuTi49Spj3EZlJP5DbFIGzCrdZxBB+9qSzgfOxrxdHC+jC+Us0B3xUPeGYW8BH0w yxW2ISXxBupkfYPYBhg6MxWYIYFNRCQIMvngfZNmZ8qaBMVb/N/oC+xMLTTi5xVAob8LvuBvbIFM 4zQNzKnHHlJALJa54+loYs5WEtRKAHSfmSjopZ9lyUevuBCVgqN3FG+hdPayNDNhtVehCy40H7Rm 7FSpCGhwVc9uAXWRipBNHQiz7TGt1VaKoQ1IkQAB3k4YJjKo7Cx2ySp4NuIKfDVNikItD3lnFPIi awe0QfCO0ujtjZPXsojBk5fYdhEeZyhNViXCJYRDPSdMh3bLwHWNAGZsN3tbjdLFUOed90YSFmaG FLYkIaE8zsCZabYY2dBvaCfNKOJtbT43teSO1jlj4kIvUEKFaTOS0zyzWw80uvsS27AQ8WK9RNgG B9oNpz7mK9oGAbEByIvNTEJjql4BX55hXwJ/lKucUcTLIDlVFrY0qHCHG5DFcAuj8HRUnvoC9iKv ACNOExNpN4AsnGc5En84EXTENHqjEN4ACXDGMDMq9Hp5in8ZDR7DJAsDFhVQ1lyglbpxOFZOgqbi o/FX/T2jw5etR9zZPujwTb3JjctCLjwdiTf8cSthck9vdoYcNmgRl1aPnyZV1LBQenFvhpEK9YQS f0owM1LlotqPBs3Mhq7VbDx8bfV4/rgR3YElRPG2+mgfzqjS63Vr6hWlq1eE3nXjHGWLkvB0MC+H NECAvN1i88DKZoRvoMxuoda7KuDFk6RGg3QiV2d1maUZFnpJ2RP1WIOmxSI7OI9TMn63ChsxnrwI 7eH1gFjyNJNYnppR1NsSEQ5t+aca1m1u3bY5Nzz7S06tSlaW1ZTmJSawNQqHSY0ri3uH8pQE8RH1 5tbO2RvqNojStyX1sR+pjw0LD3DmLUiZaRKxY/OCyS++Xrz9qCAmCnrTVPsx5zNoH8GiQmXeuT8z DHsPcFW0UdS//aZeUdSbmA6LRiF2tZKkspfL/dnZNr3IuyKIwxBitQqGWC8VNCH5yWDEAlVW25B9 BXVcsZfo6u3MPirwrhDiIN4Ncfga1LK26JS5ASBmnxVy2Oa8QQwQLij2Dq/crbDSCyBKAnRL+nY0 QGH+2Ia81Dvd4TU1IchBFaqm8K497gtpVBgpoZc/gObrPHtJemP9FW1xZ4Oe9/ybQqQmP93Jt3ec g0RXdEvxdASiOsU7pvz7qxKzQjXeRglRB8WXLF1ertMuhd6+8nSt+BWiHFRhaRJwaHpXskHhBQXH AfTasPa3AEOYSEgUfwUyaAXhG4IAL6k1UP2Th4xtJKnc2uHI3/F/0ROlnNyR+lghlw1AFIoRADQF uMNyFk4nBHFTULTqkFkhpldSH+UZ/LaekDQCQMESAgvaheldVBR11aR7K7HksOJyr7pIPZ9BKwaI JOrmWLqMpyOAma8YXM0QkjhRzIoCXyZ1PaF3IYQDnW/s3fZwQVr7+yM64LyETDah46kereHUeuGC iCBzyFpUJktkl+HUBSn0HDKUilwRwqFTQbNQ6liDig6lFXZWYfaEp6Mopp3AN9sG+FmHTrGisJcA oyU3XrCq9zgEHHqs44QpDFZ5wvym3OA9yBv2tpXLLoMwqop2mITh6QqJb25khaoUA3ASviGiVbsm TDuDd2UnT220Xgae/kXZWnQK6KU59XFFYS/uHssGhqsV1zQF/bYbuuqosWN5eHC3wrC3qMmmcyZf zRzYl9gaBDYSjkM9NxfmgoARPUIuJIZJJ74hmBjIT826yb/Z+g07bgoS6W2CGp6NeNXjK7gD4POI T62Qy0Yv0c2a5WRvdstJoE8q5tgvBOle30chprdLz2/XM3jMO4D8LAI726IiP8fOF7aeBiO8a17H GviKot7EGm9mgV6DmrJzOtzZ5g1PByfvwSOSLk+sRDnyoiuKexmgz8o2G9HxY7pyw+pqDrQh4wVs pRDXm1wuP53BQVTD7tCZCaJCp50kPVBOKXKHNXCRQuSFrhjYy8yRhkMaVIsBrITeJX1VPB0hNc+d hJnhpjyM2RUFvuyUrlW8/0jLXPYfR/HeW7Og3vmPKwp8RxITls4bu+2r3ACdePg0Ai0FyUuCewcS ugLIwnz61eAK8T2jNj4PF2l+cNAZA7lC7gcLgfF0tGa+6I/MeWBGwZnZUeiLs0tlXnfJdE8XiDIu xzek6Utmh2Q2ESXAQPDBDxlL9kre7FSAJbPlh4g6NCXY6N+jKAakUb5nkCplJJ1wONWnVgzQ4Dw+ oUe7Q/uJw6jQpZposq1peQ97gX6CuOjsngfUlN08dA7fSTY/2UF3O/afYEadhOpId8Fsu7hhvkGp +FmkZACPayI1k7r4WjC8f/ENQS2mwY5jlOGfvKpttReWxO1AnXg2Uru+lRhoCcFfu3rQu8OgF2fD ZkELNapNwvw/5Pw0aXV1EUi4XOKgl5c1XWE1nK4sCpqLHjf22+SuuwvNIUQl7JqUR4p2RyEv89zU dltn8GSgV4WtxRLIHfLYcn7mBcoY53jZUchL1gA1cQRvWA4nsyOlCh3eGotDnJUQ3qC2QF938O5A sSRmkSm7+4AFoUJeVmMKaP/PTc16w45CXiADAVhnuY+DEA7V7hcVwdPG0xF3YD4hL3YusKKamBDV S5AV2GzEN5DDL/UGXU2FgGeHyO8Q1UsygK3lfAYvOEyw+SBoZDEvAGdZpArcCVBWGdefmNcfviBK BWRPXO/gQCElvoB2Vzz9i4KD5HSZibRz7oZ6ZQyxZGxNldF0HNGzm8b3tY7DwA7hDUqPpig48+LK bMnD+WFSr8ymCUcDpg7waohgP8IW298yMujAwYLzXJ8qN8xMAwvoFCw8+4smF4PjxNzRA5gdEtka M8blIW9CV5DMASVzyB3bPmi7HWqV6Xjp6in1I243EMugwN4pnzkZLzJHQlg3obSI3FEAKrwiviGS zUkMXfIddPDC+44l8FQXng5ypO73ETss4wenmeYlhDfwItHdjDOzVRH87EL1AwbwBvey3iG8QfdQ l1PUcTe1AwbSiGA8qf24N4kDhGqQTCz5BqyXkpu/ZxDZKWlkjKlBbXwAp7UM6sDT0YX0R4FqqL/g UxPWejPv9OTSOfBh0hGTmhtj2qG/XPhvxwAHlcBVpzoGIwjtIJo5KbO0mvTbWAKXu9FPu8CPvade M5KI4dFL5IgG1TSrC13ZyKeDakNKZy/5VZ1Oy3pHMS+ylSJfEKkXo+lPjHNbTjrfbKdyzUQQh1mq QGX5DJqYsilVLGckW4ZJ1kmVNdtG9ejHhKHpPSPJa5wudNxd13EXPtBZle2+8GyUPn7p6QM2Oa+v WAohDlmiDZOg+EJ/kcIrGnyzRi/iSQJB14/5S4GqblY2NWhiIK6wOir7KDj0vkSoQIhXiEZsV/mP MiH8isAIiKqiua1xE0kpONhJyvQYDgB8PoIk3uQ68w+S96nG5BTKluFMGwDyQMkCcEoWd7lAXWao dNBjaDeQ4tBX0I6WzuAbCj1aZkWWRCbEY4TGUKIVtTH08bxONdP2N43UndUmSPMMOoTBYWE1fLTG x4Os4Iql81IDPOawlHKKbSqQSPaRj5JDU5KkW8SVHPrxlU0hwtfxiLucwQNgeITPsrrkYgYpx0BS 4DJMgyx0n51Vs79p1Ndnk6CUfgbOTrKohtoxeTe9YRDU5O+KeKM0wLV9ieJgvmfl4UawfDlZU0vt gOUJrtPshG5suriTmH/pMv82y3mJWvIobsilYC32WxdvyGsndd80wuEhb0JGpk/Fe3vKogH+uHw4 qv3O5zTm7swHJqMu4WvIAHAt5EqkGlNJl8I9hSODGNfB/9WPnaj+K+2e/wJlGrBVCBEoAgI2GmMb GMZnbOEK7VTVrZgP8SsCROsAXB4tFH0KETJBcWMBC3LyKQQ+HDo6BWohRFB3uWdyWAPGzb9IWERa ORtreqzPiECL2Ao/i4LyKSwCT9lWqECzb74N/xkBo21ywD0lOdHyToLdEBPfDIruKvyKCPygpiQB aBy4dGxpep+p5sbH36enHj1Wbq/Kv864aycMixGhDgJ5BIBgQgUABN1q3LyinH0VxcVTdpit7zN4 QxsqVbOoCwfVY6E4E9mqqI3TIlQ0lDH9Tf+WSWV6fGrQ9HT4wLCAtfWGUZV8PqsHokG3HJxTrPEA By5qoqqCNaYfOtPJSyP1Xs/khAXh7QTAcYazdjY1ejPNDlcaFE+0tBN/CPzYfZj7kJzyN41wEBAI BLsNn41lOuzbSnGybk/w4V9acTQuwBfXfBdOGBwjgyk9HXzIrOdA3o4Zsb93u9dVVBGuKgUXQYvK VTdLtvwGI18QDNCaW6pMYKC98yUYuI9qCtV85V7RzyeXjd2HYnnY4Tn5cLCr2pcTF0Pzw2ByS+O3 4Ar7BYqPjoVQdMOrFVceUs3ST3clpxAM4SZlggSu68Vl0TfSBICRUZcAGI2VT5zb4MywvOc1YdyS /IqgaqMrvMmroZXTeKogHDCDZBQY2lgcmzJeKeLeHReh0MGNpOOenWAMmEJ10QcqFAgRQXxN14+J +v4CL+rgGUdSBsopUAmilhfinObZg2Crnayig+uUK0zg4YYTlf1bfpMGr93MJqFI+xF8/H/py4GN eEo3OXRxo+CbNNAp/FBb9ZQTQReFH9q+XmWBjZuLuQPROs/AyZnQG6oVaRHKFID1CLEGjjalVC7v eJ/3/BsmIrupy2VhQEpWily2PPl4ECDnQyQloIgMX1iL++yEITIE6UBq8rwzNbclqIQ4sbLV7pkT WrktqVY1aXu1daj8Y9hvX+HDBa0QoL+5sVgcRFiNlPTgGO+b/oUdaFFH5WfReQwtby4itOr58G/V c+GMLB3fd24ivTMUIlAF1fVdQBnWeQwkA+8vCyMO0CiHZm7TzZvlLPrYFeP8sc3Ei9zulbHUoYMY V0blno1uRwWP7G8aiQeKodLnGdi9XDnLBd0S9MzHg211PVc1FyiRogDh8xPqnm062aAnj/SKvnRK PiljjuQz37ZLDkzdoOqo86aOM2jt2FU4e1lUyoNJr+ycF8HnE2YFWDdHlT/7m8ZuBTYxNCHWoL2V Gt3bEQ50Ph40MY+III8dNNDzPZMj2V9CHCz69jOZCkVkNm3abeHYKY1shK4f81f4XnddzkclesHp 2r1iFqSyODlQk6KWSzu31mZxXV/xN/oX0Us+cPFMe1FJg9tP4OO/CAmeyXlMEgN/N9SpJEGvKjHO n+yaM+SZY3K2SBtJP+bvHHZJW6V6Tp0CM4c1Cq/zic5A1eQsMcnXvOJwUvvKocXbBEJ/DvYzj48G NGK7LKl62Xw4OJNPesVLhdiEoweRQ4c3NC8LklvWuUrFicfUs+OAqHK6yP24jkZWF+5KNaXPP6+R GaAAtgaJ8CxwvWNlbKFaXdBAKPsIcxZ8s9404pmy8Y296IOqgRDlIZ1yJj3+P0gfULxv3cQ8cHlz ZsiQeQ7hEzguAJpwGChAE/Wgy3Ng8+bFawg4tTN4/tAtFMmbuPtWa2WPvG6wD3glr0cvQ56Joc2b PEer5OLq9o1r04x2Mq/zlfn4r+LI6FIhDj2CRTl0eoN+CsRzHBm7stdx7A+RPfPc6SBKcmz1pj7V EmvjaIEjoy12ojV1HsbuyN3ggUeJDarpPZhhWfmGXm9FmIl/y89Y6pklpG7XCh+PEvMv1DBqpSUf 0mkO3d4QYODQ6Qd+n4+f/C4Hfp+ONE8O7d54l0CDZJ3Bo+S0x9qd6RWiYyLzKhjdjM1/HlYYAgJ9 Q9R9KOjg4cxZhYcf3ZgAj8W/ChZO4Pb2DbgRmUWIzDM3YR0ZYXyFPXuR/QW1rjq78Jm1ZXhkJa93 hZZvo4/Dxb2UXB46hYIriaIiNMbggUyDCeB0cBwdYe123jQ4dGDRumRf270n3tFHYgIAowE+HFFP v0iWCHbWtSKNPd/QBLKIdfA8tqSjScIIge2SCwZpoj41YYSsahfp/Br8xFkWCUoomWorjP8higC6 1Vq0MtTU2Gl93jTiWVLClPYJGvgVCR0O5gR1ND4excgnyuGdgthk5Ts9oSgwKjFDSRXQ+Du74BWM FI5YxDU8DszfEC4lMaD+5Vg10dhM5CxvzAD1CCr9ZQrKpf2rxk752xy6vyVJGNH2D8P0i6c3GbXY 0a83jCRN63MiZ3FZz3UV+7+B3I8LxCEVigfZ72ze7+w9e78zBwZw/upQmlln0OygpGknTALaxoKN zmYGvELKjxyL+m0FU/Uqxx5w7AVLxztdHW+Uj4uK7G1OPh5srOlrB6cV7/LR79KJ6sjokdnlMc6B nNupBRbXUgaq4i6dX1Qj/u2OBy5VmhRbG9uiKEYXqO3Qd2URnnfNsv1EDkNkACYtXTufKlpMEDgZ IC89HC2ce1ll+Uze3kxoA0dRxrT6cVRs2R0VkwuvEIpzDJADHzjc5CJFSc4zX3AonDIATKYIOQVg BX3DL2j/hO6bpGk2saE5tIEbwlRUJVb1JFYW+AmAYrM++HgUIPuyaVIzKs641+REETK9FxuKGyp3 da/owJyjHHxFO6lVYAaHI0fICi2f414BXFuvpAChWV7nIg/GdgIOMWZR9czOINwvh25wXuaaz6BN BYFWVbBS5eOR1NNXlAPGYz3JQ+gGJ1OVPKsXu1hGQiXQ/pDD8RXk4WtqYoMMUd//n7H3SpJkOZJF /3MtLRDn5O1/Yc9U1SwygQnrvoOR8iMHiMooTydGlIwTg5dzBhxh0B+2ywraLp6AAaQDWYDyhbbF eyZX1aVP3iGomINKyH0ulRtq2Xw8E0u4P2exJUz3sVpP/eAKkejdASd0nHAMl6o5QC2WQBXXxBAu bJtKd9+ZqHTNcy3vYeyNVjl0H0WOQoYBR+hGPU9vBk9/02TZHFhgWczhP7VowJtWSDcWH85qOb84 UcRZbUVHL7WEo9QpHSCrS0xvuZ9NZn//YRTBrAo5Z+4Jp0mZgqTPcD+ETTFoHRSqgbECFD9gRI/D ELW4/qBPllAEqSvcU6t4Bq4bqHlTx9VCh83Hs+D416sU4ejTekic4dr/Rx2QSbnm/5B93cUhg36r mGOjQXhS6yaxhqteIa1SXuTgreALniEllWF0C7gCI3YEpkj7ziPADe1GvWjSJaekMlrwMagIaHMq CbRR9YL/D9ZW/bYfBbX6d4u4c7v3OgEScYRFvYr+plPKODk5xFiIRzpkc/DJKXbmlaNNZSn+ot70 RgsODh1/dnnKx3Cu0ZtmNAYVf8aKgR8BsA97nXafLD6e5OOPlytitE5d52Dw1twpDl+WYHjsds6y o0YxFCbPu8YzPWlwrG5nE3W5PQhsC1YrBDcoMTfBJZlEL1ESC4aLDzB9y5M+sYqTM7LlU3P5T2ZV FuDU6UfO4MNJNl7Kz5ED9anvzKSBMfKpTlNU+rmSREHPDDpK4sTpm8pYPHFyCeH5BpKcEBiZrkSC 1pVc6eGN8IfFdBCSghhEj6ua2MW5iUWx7XRj8Mh4j2A9LT6ewU9+JofFgPvknIlnHL4OWIhuBn2Q HSY2jIUcyduzvruf2UnVJa5DsUcMno4DTLFggQarFfulgpCysg7BVEIIjiAEpfubZttqUTTs7hhU Ie1VsCwLPCofz9D7Pwn5gmbGcx5noTFcf+xLo2ICBKGbi4fZjmpKs8ZEOKDQODGOg+aH6jeiZraH mjm7JZyn1E5h2LuwlqmYSwQB/sdfNSh6gNXUOU4GPY2KjxpU5FprK0qxeICPJ3lD3T/bCoXjICHW xDoO2wqbqZxIqToDYtS4GsHZqHGx4KLJyeEVsqb/H7urBV0FmESQn2k74EqwhWEGpYXrV+a+HH/T jOiMCg7sCfSTJ46lZTqN0Xrgw8mmOj8pFZnOtT0LJ4dXELrPbYO5KU3bCz4ahTTfee90PYWamsdt CTeW+wwe5OwJEVD0fS/6wY1WyZvqFuABo+3j1VF8ij4iw7sB04XKvH56TjWnEAQNjeDUPG7FlqKn DwsVqGf75OSlY4oMTIqF4Z/wxkgcDnp5EzdVha9R102VR8dbukYrBk6OnVaQlTrE5bTpto+2UqBJ iNLtJrFX2JPb/E3/duJYPHljUJULeQS7DuVuPp6EOevXU2NQxyzi49REjlJgs4Tj6yzNwxxx3emq UcOvviYuchAhcI2SGoO2VYfjdgH5GkwqKPPxbIKJNMXy7iMVS1lcfkI2OTprqMnRzg4u1XWtdLTi +XiWV/3AlqDdG2LUNbWRA+4X//56xnlH8QoXfTUFr6iRjKc+ckf1v/+TccKdGsLWi4AuyGvzSiMy D0hcWAg+ej/H3zRBSrLBWRBz+KAyziAcHsfxaHw8uapGixJX4S0wQzC2plZygDdAuDD0OC7T8UV1 lOHoCtLmuKsSLzkn+cO0Z8bgCAIQBg4IdxblADHpcgMHuqLIWp6balx/z6xyzIyzTv+pZBxNax7G 9gfw4Uyp+4cVPqQMGIdx4iWHAgCNAEeYkFOmCx3gBn+USmyF5576NVkNRxmntKnnDZCkBfX3nsP4 rw2IDjKzWAyK7c84zzWltCHxkgMLh0yQw7ShHU8bYGpyHeu2Lh/PdH/qz4ZiBSn0kGriJ4esgfUt mhvxtLFdOImrGO7IIhqmor/cUE4iC12+aT1802xl2G4dwMJbymchwhKxaktSANdAe9Jx31J/j437 EBJshIAhnF2WBOl25+MZSjLKOIs19YtiRcQ4qaucupw82xAAnnM8ALzESlOCYvbocia2cg8lZN9n iIR8AzVJFrQdE3dtQUgRB8IbvH3pvuwg8iMSsswRQZwUoBrwb5imK6NGUYePJ9XR0NJiBb4Sy/zA j1N7OaQ3duYcdchx+hTHVpyq7HOyTuqr5x+0vC0w6Y7S8YTJeUfDCqvHTmDCRjpF/CoM1zsoHI5a KvGmmRjz+HMRLPnPCHPUU1V0nDrM/Q8mB1lLfehEqcXchhZnQ41FchQ8OChHAdsT2J8umMZ5DJh4 zGEJck8ttYLXDn+sjnO4oUS/CE4X0PRcXlpYN/PRTOWC40dkDRl2q7Y0BgKhAMeLURw/cPl4Vllv XxwpTqETdM7Pa5BTXay6Efav+Ph6f3zJZ27T3tOLFa8hToXqOz6ukdWrQTMDb/JWdY8f2200QUQx GUr9eIao9faV1XqNcCrkxgDLBm9XPxXfIN6mzqNlEJ/X6KY+Aph4QcZ+9opobh1+EcmkAAVpRyQ6 Q5QW6NftWXYj/wwoT+jiiWCVTIqam1WIfplD6P4GPKmcIzu1g0OaOQWOGqAjERr4pDgi+3VSLOyb 5EIPqWDKSpl8xSE5X0sZLCZ+nRaUb5qmhaouC1d31aS8hjW1qlx3JTTRWPWrFI0i4JBpA0pTDlF6 DWqwUtigbBSc08BJsfmwmHrCFwyFrCGV6WH/xqKtTRH1B/VHl43XmKYCYtIoysiIeDxyzNDqkguM Xaef15gGE0rxDPsYN+SQbTDm5DWgqa7Gu/a5oSowtvfBpUHRSMX2MvFrOFNDlK7q7K0h1rksY++w rCzsu+DeEbGVbRoUefr5ql5S3fE1nKmIR0jTdcsw9CTY2Ld4QORyxKP18xrN4G8UFbrqzC2dOg+c lddQhtsHkgKzL+9/18gO2inS6puQflV28BrIVFdyGZWBuwbPDSyhtLVC4e4DnqMrD5A4zabieQgN uC4/r2FMdWVwKH7cGLwaUTcDYAu7zuc1iKmP5Z79P16fcqTQ6aP7VjIreDG0okZc0SEEOkhoop65 IH5w8ElmheSd0dj81aBZsYktE5bMfzY8CQ/td0GLgSzrpmh6AEooDPB5jV7w3TV0g4FO0E8Vrwow AUwK5v28Ri5YKNLsrn9UGccb4KblpLzGLVVgyYYKq2RAy246XuB4MNiXAj/XIUivUUt1oTd05kcM mpRr9+ZlT2KxDoHIzdYSdXcLtB7vtwNF6fts/5BJNgvPWw66fw7mmZdKrZ/XkIUn0vgetDThAauL k/Iar1TJFsKoq7qUwHJQPgjd6udKDZSnymu0gpOWQj+WBs0YNCl7okgl8jPYQMUNJkB5slME9SqP c1H4+LxGKpjzrej/jBh8pcwpeT2UgF/jFL5b15zgmYOia9eMvFbxqlJVuwOWmwQXGrCq3hCImm+9 4bWGFxt+kC7ng88IrvqBSd9wfAO1g03cS2NiGPfAR9EDOGTwn9cSXnW3pFKpsKaBR8qEAJeILmd9 Xkt41bsasVAaXYKrXz+v9TsszMYjxRVbWsV1JOcrwBzU3e6RFL1W72ooIMHBOAZdyYg578FMb8vJ x+qSpiAdBHkYQVhOOWTG+Fq7qzIRv7DU9Z9Kh1B40Y288Gh29+zvjcywscSV/M6Lqi5o00gO8Gjf q+EsIymiRdPDWWPZrBArXBd1l6sw8QxpB7SyUNa1g9YuZ5TF6TNi8w9hEflpuKiCv2eyWChF3es5 MXBi7Nd7FnwHn062kChjHr8BKQeIu/C3ycSop81tzZ52IyTEXrcVgjxRh4H+mmPMs4khi9sCwRGD H7a4xthm29hEyxX46VxGiPe3MEUjwc87J4qrmlDGRWoUB3WXkGnyuIWS2DslCuft9ZsZj9FPBkQk zUwW2hIefdeKhraUbFB75SlB/042+QWgSWYGDXEGcCUGD/gtQLbjeouCib9oq+GNFJaQwvJ4PIku lkW3k+JQdjSdGHQRQUN/6HoG1jOLWZbPjJta0kcpMqEswkXTu7Xtx0lrlP/TEXOOU3fHU8zMQlwV t1qcwI+rhl2FsKe0wHQXmDPTMx5wPkDfm0vaOFqPemLvZCiGi6DsAlyinypJVdh2MBU6aA9kp8x9 ciFbNgjXV8Qt7zSoqp5HG7RNxSkzWnEyyyaQgR4JrDuoppDNC/UTmoLX9rDh7cK/gP7Ti9wOTfsj LuM99ANh/FIfF7mh1kB6xpDkrUZtfRq1x04xGZ5tFnmTeXHJZUX+l52t4qH/OwMKfxH3O4gylAk4 xPwjS1yUlJSM4wrg9HuYizOc9YtVnsFP34bodku5BY5MKnNCLh1Sn31+uWFLb5lcSYUGZ2TZaFB7 H1LIIlKO/nknPyGSLz8hHRoQvII1L2mgi4rt7tvlLTcgYjxiBrV35K7RgvyURbqq7tZ7VwxeguIS QaHYZhkUDdcCmkfAU5S+VVLY9GB5Zz7hT6N9XFuSQlqu64EeJ90KwIW/n3fqU5XFpTKAP66yBrVs TUwW7GK7NMZcQsvgQiVaZpYqBVBw2OO6zqJd30hk8mrwjdTRJAbfb8PVYDdR6hpRwas60F6ay2Ix vwe8qLByD3XxnnoLrLRg6ZjiuT/vtCe+ny+ZIXX8A/i8B73vtCesGTpgEenBs3dcT44md6YiGVpV Tv6WZC/RaRsyUzH4mumQFF5s61sSMLcsJq6U/RFOnsfqSUCi97C3Mim997T4qTMGaji6aKBGkkW9 5+6f6oJo0HH2ZmHvRL59iVZj2xr418q29WlSQrK/y/Yo3ZPfCU/VkXM2IfUZIkGyxL9vUnoOJHi0 ZGBpWGFEVL/SfIA08j2zecGSmVN544y80ULpxc0EvuLnne7ErHN+Z4ZMT6hBqkSXxb4D+34SokRe xthejyrbxRzRgfbb+p3s9DMz1BXj4EUG6GbZ0i8Q0QXOXkI3CHkrvwNABVwS4B695/u9dOXN3sg/ 4KDSJRTMhVoc6/POdmII+hRfCJG+w3fSO9MJQWEnqXs6I6PcK/0ju1tccNi+nesCJO9EJ95tTAZE kas/FDmI15TGQt21bLKp+EKiL2phYJ/5TiIo8/POc8K9RMMebXkNKl8iD2OytMf+vBOdWGGaPwGe SAeeLb0TnbBGD3c6NWJhkXBIPO00gtwUv7e8FAckjt93nlMNqkol4E6DH792j9qhawHvBmmOJof0 1WgEplp8/pQwGX1/3mlOni1dRIH+U4cvervcSZa1f945TuwezG+5oUF1NBoA7wQnhL2bhcsWVAxe Zv+hpVSNTjUB3jh63/lNDDO4kQZPEA5+xJSNkJr8po4aFXv8Vc5w0IOZX/VPNvHf6U3MrZlE3jNj UOB7qCDCitr5vLObeEB5aRePkWABvLdmJgt8+a6Twm9AKc51JWXekfMoNaBSqrZSVt2Vgm4j4EqD 17wb1VUnQeOEnnFmcOagr/+4kC8SBt+JTd4vgladXNpXiHQjchTk+472eec1VddueNYL6ifIyDUt WdyLelwrkwKO+K06a5C5AMfrHIzjKeQ7q+kJY6pctzn4tBx0W2eDGjXOXl7mkDREsA1gD0KysAk+ es8kjCHXq7HEqEEBHmigRZnS+Lyzmrign14ALhqLqqLq/U5qqo/R03LBOUnpKIG8joa5e3iL5J3T xJIog5hOwjEHx9/1DmVCmMndbXfcrm6ZZpsIR/v5SQlYz3wnNXlnCl5Cx3+qSgUMgNQxyv28M5rq o+LoBy8UnWqLzPqd0OQPgX17HAwtvuAFTLyp9L0qe188ed8DX+CbOCNsNmtQ9Y7ghS60L9iUoPrj 0oIHCnrz9ydVunrNbF4Y9xLzr0HIu7kXgWZ9YGbe415cDOUniAFupz2XdVbsHZ2p0pGwe92qWCG7 k7MRmtPE2HNi3sPeIj+BIZ3b8ejcLhBKAfcA9eLCtmeQ9VTRzj0AeqAY4wVw5tbvbKYaMJhJsLEG Hr12Nk4VYxYm5j3urQFm9YnBu7ZnK2VxL1f/LX7GVGH2KcGn+gx4FwyhuZXe497q4iJylh+Ps7xd SvtgBdGGBax2queMtuicA2R6ew7f5q+Z7yRcRlwxHHiwwIc4VKXX553KxH79b2GzTBkoswmbRr3E MNC3WY64R+0koKmk4LgYnfGyfucx1dAX/j+taZiPDts+KEJDqGBQrhP1EzspbDet+YUiDr1l1poW 2ZY1vMeAoYOywEIe4EufdxITL8zyLWuypRU39TuHidAOwRuVNIJJM8Tv2l3KV39Wp3QrpyWHMWAZ 9HKewQ8YaO6dRX0weKYxdB3InKhg1kiXeSye3vlLnJU3fCZkzdqQ0YjlcO/0pSo8+Td7tDWFMFmz kgW8YxG8sOV4Zfkdi5fAk6JE04h5pl8KW/ZpwMtGXqMKigbNCtSib8cZt+2wtMXDXca2EeRL2mNZ D7A93zJLkDAfk10+DYrrbFJYVwZH5/POW4pwHPNACFnl1+XTkgW8U2XP7mDntSJ+6ZeUW6gTq4I3 +VuyPTS5SpgOcHB4h0XQpWAp2jXdLL9WooAKDBG8JODrcKFFw+edsxQNcEvGh/qO1bvNCENFIkWd 5/POWsK8lvI/C6bHzGQB72XuGMw2cQmEZuDfB6zzkg3A5G9JFgwBLb5LxNXwmYGECDSL7aCF+8pU ynAucRbfPIDl73e+0lPoLZxXDd6ibuIqQJfh805XQhBxfy4jCCYhs9esvMe7EkQBjV/ajA0OgY5m YElaaAbUr3BJv3OVGKcLDlRODDpzYaHRlv0uJkjj1iku++ByhqbKw+KfJKu/U5W8VgRu4fKffkdP waUsAG6fd54Svvn6g3yR1/aJuygLd4HesjkX5ucPusxN+TSRddAQnhTM1KGb1XklIdzEGWhfzkBl Mo42ALTRZpW13i2HwgEQGngYbuTHf95pStFZteyc0QsHnrt2LjKNA1Cqf95ZSrzif2pTKGrLl4Yz k8W74EHDzuwGqiFKvpfoJaIaRgusVF7oVaWBJSq3ld9osgFTDz8whHXgvtLj41IMqrPxSYeE6UR8 vWgGl+LZIo/gHh7BVBeQrLblHJ93llJ1i+iIXwhnob8epyaNeIHRL8O99OoNGNmA4IHDG1SYwdSk hV4iptpRQfM8YpVwd6lgY2Nb37WO9K/IccMFCkXq0OFp/p5/O3ybbPY4BBBzNpGUBt8vSx7Hd9H4 Co+ZyUJettA62zW0CZ7Tcc2dWlm0CZ7dQVPvBCW8d1MJnJfx4+xg2wleUfB3gLZVA5HfDdoRYtm5 CSR8bCcCP975SfgG6Y2AUFU/dVsPSSKwP/R5Jyfx7X6BH4iHwBkQwi4LeXmEtCKfFcwLBcpRXMat 0ckxRouyE2KXgxwYyh4Jhpxg/FlqB7/nsV3etAyJqCAjaFBc2/N7XV9/z/d56bQZ6fQpwSBFZcjf yCEMKd3nnZnEnfgLPYSASvVT5p2ZhEVG5a3JmGXRJnHTcLCjzOzlKYjB8Px9JyZxobPEe3lkcfCe Cbykb2fyCOs+AtdQriKvpaIO+WBiwA/6vPOSHvTHaER6c+D5uyHTp/N3rs87LYlZ/391TSxxXDEx adxLQ7cWDNorqXK018NUecISVjvpnZRUJVGDbJlicRx8Jx1Q8SZ9ni5qmoME2jFhwAspil6eekP1 9/zb6duka8BBlRhEMEoI1vm805Lw9df+C1YFvTjAqu+RLyCI2EBrPdnjddWdBeof7u4FdoJPzN8j 3/+zlRZklCGmixVzbVsN1xaUePP8A+yiHzFoWfETshsbzQ5sWf30AtXpLCvDuu/zzkjisz9obyJz wL3UvKSFXlRDBqnvRDiwlIN5OZSxtFiPAHyfl7TQu4Q/7DcG7+QflLtxZa1zLZCW4j022x+IiVFk 3RNIKny9s5GQQEpNkL0ZDUUxCY27CynKn3cyUnVXg5gY2bWN2ElZqZdVc7tRZ/D0t7cfYX5Orc5x qXXKiUlDX8W84/YYvD4Fc5QGijzKDXbO639nv4HWIg/x8TIheGchPdMyidbS4L3H4damC+jmNPL9 7T2iWY6YQbOSAhxQut4IzwRwWOHfOVvIka8b/lfvBKQoc4C2fmLwvPri/O70pLEjfay5AwFxgF/5 tqqpzvTOPqouCV1kaaPBORPUWEBjoPHprCXr95GiHTSzAIfUxGRxL2VmoarhCCpaCiG4m/dKP28V 0f4wMWncy8p3l4cKB++wWQADpjnKMIvCk0wZIASLmuf4Hi5TL5nUG6qkOfczeB9pFUGgbAl93nlH VUppP61qQFdHRDBZzDuleOtTYEFZjWnpq/i0nF18WnJMrzDf5Rk8gQQtcIFkbhHMhC8NCw4TUSer Zw9JlljEkUa8hSSBtnsMPF3GWkXyOuV0PJ1EdnHsKqW631rmSANedG4Kk026d5J9AffOAwAlAfEN oakOl6zGq2mxUOXEENNybLVQKdCSDDsgDzv4EHAGrR4A6KdAdbreM+EJkHU0Vc2cj/Hgatc71Vfv l3SqW/05X/ZgT0LsiTTiRUQxGYaTH9uuPBg71cZZoKpzu6L/fI94ER+w/kIDFg06X3DigvWJuh16 PV3sE3Jc2Qu+T440Kcg5c6qaA+NbDMoeAbIRr3p2PJ2hV8/PRQ1nvetl3pmWeXEHk34iLv6Z4t2M QqFCVhz2cgPPmWEblg5eqXi1R8XLMj7LA9olrBfV3e5cfepN2RW0z1f8g1tpZpVe6sPaI7qvt0vo 9oa6q+sNHTz9D8KN+GrIiP1GmmnE2wWfGl6iouEuObGPU+WlmyVgQjND9U41zmhUq8FTgbo7NETQ w98A30nGCgA6MKHRo3pWDEpw+IS8FHPPpt3Vjg4+NNHZX7NNevFsQlnb+6ctIFzF9NrdzFlrlVp7 krRtKEFKbJwGhB2u9VDFE71xZhHv9onxgsyOiBc0G6w9rhj7kFYkfkurIjsRJjXxJBjNGGZmEW/X GUOldw2cmrKbtBCrvSSeTg7f6OIT2wwhqxVgxJkx1xaVk8HV3AjtBgAVFGS/q7vNKSt6g3spBzdw L0mhvn0V6sFAKlCmYuvRLhGZlVMwHi0HdvG93NuK3jNrsbFsN8R2HGjC/KGc+lJHf9ohjqeTmVk/ afXC1wg4h2YmDXopFbNuMHBkgTsxH9F77DM0hmZOXxNEXj3XGcfvhIjZgZoiquCH1pLSpuqUTMeh /GDuur9ncmET0tuWtAJXaAWe1qlMApWriaeT7RR5dfkjVsUJrtZMC75wLOjsBxFARU9dbKaBqlOj hyf+HQsOM417tYsIFXQnXdXuMBkWshe7mqFoRoY3PIooSWATg9jDAVQU+51ZwReVGFBrr/9k+tjR CbvKqjeezZgD44sTagKTxyHzHvYC8Iy0Go2Ezsodr3zScNBAnbZgLCS9WyDNmcEb3IBnOrUi0AfY RxbibsIb0DfoAmkWZpEoOnwl+1fRaybT0un2uu4zaGLWLEONtn3w9D8oj8wH8OG4RzUxGaqXRKu1 q5S57NZ03Ecf4VRpSweTx9M3gzfoWmqXFbxGPKO9I0D2MH4FERbo1dapRQJIFdYXFul6zDtRdu/4 hCyQQeg7hAfm4BWH5mZmc1w8nWHuzg+GCpDe4xCqmYa+uGLPdlADhKckQNB3MNwG/iQ/YrJar0tu bu2kHTsJhlb2paJBYJc2jhtJgDAjGCC5rf2dmKn3THLIwxYkNUQ0CKRJGhhT6zLw9P/DkqExSUMp QCzZLPgFwQGIW60PNNvcinyqjAeQ/OieLK2s3EsFVgjB1BiiB9ntP4u9E7uvJ/mLtl8h7ouq9dfh gSoG+ICkdjdZnFrFfzpI8y5XzB4NzyaR7/ohm0BzHKGWZiWLfKGMZuc6IQxw7lxsE0yQFKBHggoV igYK8FZa6/U4Riz8W7/GF7vb/9fLyp2dMIsLhoQoeKaAYhJmO3QJXjmhjRiHK+THjVyp9UuHWED4 Fp7OmrM/uRKttJ5e20pRvbgmbncl8Qr2s0AOG0ZT7ELCLVRbaWXFXlI9BzhCHHiXLlxKC3KjtrnY zUe056czUEygQJyn04a6BD4g41QUni1ECo1ACg37wKIQ7faKp7PuwE/VARz8tYNtnkW+rDISlCG9 hrXUJ4D1hfsWjLUd/LGyyJfmiZZdoE5Je3Ccizh77W9o1PJdcB0GPpOHc0HQipAjKuB2DvPX/xXi 8L++VaCxCK9sb4inMyziz6yQtAJtVU1LFvaiq9YoUiXBBoFXJxKCsl2wQYUITEsW9tKlB62MGoOf vGieFTBmQPKz3yjVNza3gbFj6Bvg1a73zHoDDD0kBliZ+1D/ZY0p3sCy9YfH/7FguODpXR9tk5XF vcBXNklAqTtQPVfq1zUlJ9GmbLStLO49Cu/YONXg1TsYcoIkyyQSx7AsuRkmw+C8PoAhoLn4CclW uqxQVbZmOWgrDZh+spZgAd7KcL0rGJA0aUe/JgpVK8X1Yh4LfBbZHij0PUR7oArru0nJ367ckOF6 O+O7Tl9TDY4uO1CuRY8QNnBn0F4XDVXscizWkKqV9+RKpRuG179HDKr32iZVDfdgWtK49/x0rBuc qFdMS1btZZiLRExttsfE1b4Gwp07tRuKn7xZtTf6jxKsuCzBVtTv0Cg4s2222ex2c09TypLaNp/t QSPiuK74hKwQTpI1K2YalFvb+0pp6pyLp7N+Uhwyop9ja3s5ZqVx70WtgWhj3NZlBl2gsD6JRGns kPBdadxL9QZV+jR4QmABx+poxK7LPFjO5Ah3QTETLV+NEwISVxr2spHEleaDIEO2VwlOxKLE00lq 3X8uazjL7ghi0qgX27yNKTJba72LlY8qlUg5805e2jh784Ivw3xm9hq8StVhbQvnLMvELB2ASzy9 k4+bbnwJ1qv6eyZVKmSOB6Vn/dTxgszDeyEdz2YFh5/2I9lRNeDxO6/3snqH1IsHbz1Ur0NytIvP S2X+OPlb/qpMpqSAQ/SToFN7CDC7HSSCop1WWdGkdrUX7xBg4wP+lj/2dp5BdXBL+VW+K2Pg6WRi /isZQM+meV69s6C3IzqYc4RO5HRZu172EBrELpgB8mPhb/krVmgqwpOvIc9d2BwW6F5vqNzOJkNB uktDR71/Dc3QWecnZOkjEaxkjGjQyQvRGeWEFvTuFNj7Kzol6F2LFZOqOOCshwCoIxx0N7HTswLh 0CMd2DmVjYn10opZD13WoluLegE4tZ92VbFLPVRFhafRftRQiFPAByQbSdxqKee0UM6x82pp9TT7 RzydTMwu37zaNTZCTiiLeml33iiwyCOG2wAn7xptuoZDlfXkxG/J7iRxb2aNwREOzA1QsIRB4DlV sQ5SI1Q2x9fPVjspxTcstdqo8sJBSHA7xNVRGqfh6Yw28CMp1NwcyuclC3tx1ALhIF2CBoEYHb2b TDzmj8gVyH3cqVAZ9Z1bLTcGzx8nxJyHwGUondIEpDQWfS0Ynvu5kgSJ2SmbTc0WyLr7wJkZd5ML AlJix9NJr2389tqwR0bILe282ou8AWuzUcUhricoi+FA3oA44NrgiklVHPgXN+lmcvCZuRY/oKkE iAO2VXdhTZxnbNB/1f5wweMTktN3qjVQbwyq3g0qn9F5c+HprEb1s5egI7qimb8zlAM1wfcMpZjd l8p4tjjdmWogSFMQs7NqL1u5lrFIzK2tqFHZ1WiHLlQUAO+1LFvuyMIM2SKY5av4xy7kzuJe/mkF 9a8YdPpamkwOTi9r4OlMx+GXVqHf4f3ZndLZKLskE3oAHm4bLrt0PUdYZZ6YmVS1zFUKzjN4ooQ0 5hTWey2/2EMkHNSwLmGKTymGSog7K/c2955SP/9EP3+jFcw2ka1IPP0PtBCBRRbJ7pAW2hnMgU2A RkQpy+Bk2f6HrldHdktAlw0/e7OoV8p1jcxCDeqbXFt1dpwvONfaJl2Q/MbZi68MJqLovUVHiTWq nYW91IkrvPl9cNCzBwdQccTT/wDGqJxV3X+KM5Oy2ZBDHNaVbZnUOxx3ZxFCV6N2ss6m0zdVcRBr y2sP+xHlleZaGRRdOranxFAnt7D5ivEmpOKYVL2M9e8pZMx8kDGWQnZFImtfPJ0hQH74Js2+gqef f7LAl+T/2afIsfje/Igp0x3e4CRVVYw5GdBhNV1HtcTg3VnoTuJfsNYL/iytUCZLWtiWUL1xmh8l eU8KdLgqg8uFfteYGJtP5oA2RR1P/0PDgeR58vSQYGtq/lrwLfLigmzBmME4r3O4jANTU05NGvtK 6Y6ulxo0NXYctEVAF9Dgtqnkh9dpkHOJy3+ooRRVPmnBtwuTSOGPp5s3RDj9w5p4x9MZmuoHAwIt K7DiNDGpNi/gkWSAuLV6k/4SHGDWH1mr14A6nBTqoCIL9++QeSh7SutAPB51dvSU2Hhjbk1MJxSL fyIZNITwCUmMp+OFZQsNOn6dVYX0l3/lP+QKpP1HGbUg5p+U2AYItswwcS/xnCchB07ZyiPnYpZZ +FsykUQpl+nGLs+NDcOLRacXWzMHmokSB8fAniQaEX4zse5w0qovb2z9Ag3KsEtVQaZbzoyns3Pm h0NAxq4HvycLfulxwPyuEygPOwxk2na0FCk6AI1bVHc4Ka1NvPwi+aWy45hZ3aZ3T5bCL9QNJUhF 3DjOXYT3ccxQPPJkUAcqJ8HZrsWgeelqnKIOWPF0VvJ9ukq2B6QHoHnJQl/GkrcfF+q6QBGJi1NP C6DDdRzrSYUcBGdWzsTB18seds8BPWVbARjlSggI8usGi/hBhqjqMYjz8QkZBIQaMS7YNXoAwtu9 vAT7mgtPZ0AHP2OIo0FTNCKZk4W+uLmaxN0Bp1KdkIw/xu9ADd2nfnfS0Fft2buewRfMtqDOgqKu M8Z+p9RGUTmaOE++2JjVmt4zmRj62SFiEiVUmFQgWScnqAw8m91K+/fovX9ui+s6i3sBh7BT6143 F6CsI5NrtHVBPVlVduqFvyVbL0oiBRmqD2TIjjxLFgHGYhOyjSrJJcZ5lFP4WtqxEn6yyFeSKNDO jMG7s+1IouLMi6ff99GpO9aLfSBuF7s4fWZSVhv+po00HKcJipLFdRyKC7GORXkG7qR/FHxJydYQ M7M3poPeAntZFEz5skkyIlgE+0EMkSF6MogvtwIwsSUGbqSF4JM3oF2LeDprW/vEIJzZkln0eck5 bThhWhsu48D1zOT6bnXgYDUV8/J32d7aeFlz8I00YO0HFsNGSozOG45cuI81kLX2t20NFBnfM4ti iGRt0qltzqyY5bRGIclm4RKeTlbMLzWfhSqg/zkzNwt9ceRBiW15oWped05as4QWYA9v8Juz2jgl 95YYPLu2IM6igco7aaLuS7YfuQ4USgd9RmEMLDz4nhnbD1Vwx21OZyitcaraiNCnvakfRf+5kXCG 3iiF3/ew1x5Z5N+45FLr8t2CHST7fEitiX3gyXtTIQdRJiiRp8HRH/AYxglmV5KdIdflaQeRKaOo la8Fw0YUPiGpxgzvQc4YhL2rXtm072Lj6eRK+lLzAZgHt6L7nXRTiC9bwNyzkDS+dHwiWp7BKS7r CuV6LZgs7l0iEQzlBSMUsJERnGF7i5VNqFHdR50JLEu2TtpXm/ZmUW8n8GNR5UWDh3bEkjKSvXg6 o7SN77zY33eDm39TRhsqqVDr8yLV488LNKGjHPYjC56Kl0niolP4g4OvF5CJKSi/4SIPrihDXkI2 m8UD/XtTs+Zws5BXIheC7WjwaiaKDfjbbNfj6UyF1TPI7tU7MII1MSm8F+3zytI1cQ6EuRP4rF4B cA7UuUVP6eaUNl5FS6thfc+XCaVSFC8h0rXopEyzSITXUJ17bIuhzc8PyAAgwgutG4Pmpa2pOpOl 1nj6H2IOXO6kwUfx7qbqZUemUcMP3rpdpGtst06fsnfkiklRDgzq2tjPELjnDf1M+kCuZvtVUCuA KtCz+upp0o0Evz9z7ACElQZS/CnYHUQFWdLs5eDZTCnmxj2N6idTx1guGcShDLmXyBEJAg6u0GUH oVeoLE+L0yWDOCyJXrfeYvDTBcZIwE8BFrOhOeYe85BDsRAeOk39CEaFV8QnZBo6XpsqMXBm4BnN bxy/FE9nKZKfu5zE9WdHC/KmSg5ske0+XclBTlFQcqCtsvwpupdhbo5xYDGzSQIkCmtwye3w1JpI kSxZorgzttEmbBYuQ64LfuWxjU9IIrs6lUs/g1r5k1xWLIO+8HSSC6yffVSZbQXu7qbV3k5QTC/O xaHiCA6YSic+CTncOGDykHe+dtqgLb5GJZd43DNkplRFTuuwQH4wDnfrNbNag8hJ9RnUHVi9yMGv HD6d2STdb8jLi7qEiM5NJXsbUQ6PjPwornAxpAfYKRfjWfXNUA5bMvJLbL/1sP3QQhlnMhdoEB6m wgWMeVEz2ChFfk0Zht4zi+yAj8eRq5+aF9vmArqcefBssmBiXmhWAcjFCCXjkkW8gwcvC4WS0aFk DtEfdncOtCAtPnO5glrSkNfr/koGeiQD9kbXYufJM8Ze/rKW2Q/sLBoSsz4eGUDSUvkRmTGQTGYl HAmZryopB1szjGhsjjaf/wcukZgEfCN0IvL5ScltSBxWIS0JxfB1GLbA73d6+aEh0JETW8ljXwGp So/Bq+G2Xzrt3eHgp04MylQE/3Q6BEaZioqn/Iisfc02maaJg4LfU4R+tmx98PEkLfhtFNCm/NHu laf6+0PAyV+/ouvdwbkW+lqaDtuv7VpSnC+LlvVo9ZwnlYQfm50OdoYBPHTYEEBmADgBAB3Yzj47 BDLzI/4GeuiTcDwOgptZrtaEax56wySm+eWLoip5I8uuJSv8whK7dRXygJnXCYzDoPXlySRvCE1O 6s4mB5hbnsHrD9e200U5DLhcWGdfNbFxF7NA/jSx1S3AR2SEAkQ0eEA/dehAbEqdtzL4cIatqt9r aqDuVh/N/ZIFwoWmBVdakXRIkeLooDgQkK6L3hZ+7GTVX4X+be0TQzT44bG5JYhtX/clQLxsFDuB E0UA4VUrEir5EQmuVcKAFLHXIFQIUNRyU4W6fEnBD4+QAS0xG867G9c4XS+TYhnwD0Q9Af9gocpx /MOOmbKDtQr/QI3iJIQQHE8cnP0goiGDVSucD2x+DlKfIVlsXAGoDY8nhaJhEj8iyS153sym6lVr EfvtvbkzsOz5+Pv89PKTXFIWcq1ndlKpBwQm1NpSfikU0YLS/3lAEPfZWVlgLJBra642vwIUjavc Dh/SJC/clyVyRv9WIHI7XUPFR1nb3zQJdKhy1nZ9Bs2OhQnqatsu5uP/YNfqXrGI5/EmKLncAxJ6 Ua8FtlKJBhD15WCrM5/JSQvCslkaUmgaN9y/z97r0tfZcilLHgoZ6pf1Y3KebjD46V2mN03SBnLe pMmOQaXysVHO8F7C4ONZNy4sLVgkxdUQxXIniL1FEWABexkCpaynEjypnmr/DlrXz42VyT40UVLK HjF4ponyyhrUmy92GKtfV+gjw4ykP1QD91Ytqbwv+NgXbiT6qQ6uhd365i0Y58PJturjiyxieD6e XZWFyFRUuJoaZJvFURD9ys+BWpWzhm9ZVhdeUkxf+xmUVtkhA74BaNm4gaeHOpRWA3mGJT6vC2Nv 8COSug35TN1NHK778U4QO+SesxgJpsYW46fQR7hfj8pNTV3d4D0NXa/p8g9o7En+YVDXC6gIUjp4 YyW2bqgAiUdAJwcOnlqNZeviSm4YDFURlas0ACfpRQHvlE9M4usGnYMjXmCPQdUbMDzEn62Tj2fZ 1a9CKYiJ4zF2y6JkWouWuyLtXOtG2sl/ggTEujcmJwNGuF0BOcYatHQgkrcXWIHIIsp1SSIYybL1 95TNl+zL/uLsRugrexYaHDKCZiWP49X5eKbH+dtSKCSzRhiYeLupyAh50sg8u5fRLQPZUdoSUQ4n TmrudiRgxeWqQXODK7eDq4JqxV6NarYW6uBYBo0HtbfAMioMTN3dwG66sG/iz67TGLhjRssTxYqa mLv9V/UcvTzbT/eZmqxOfNhXJQBG0IjmEXJjd4AmF7xBNTVphCy66HJr5xVRzgEf4wpPsyrkzQiA 5bWPfg773A4NXvGmf1OCECNCg9BpfRDzYouhVT6e7am4x9VjYUl7PfOTKqAh8Jho5Xe66VDtmUis y5ogIBI3wJ41MXmDvuPSYXNj0NIBdhw6KEg+F8ySeIdeVlHxUUjEHo3+eNOk583O46gSFax+rA0o U3uUTEvHLEpuQdYW0Wii7/0cOpkCMJXd7G2bHzp09aPuTOMdVsGQpYHK1K/5m3AegAUxeGpu/4Xl +6QWWWRD5D5nBy3Tg+bXfpA17fibZo1MXlSMxjVo9VToljPDmo2P/8P0osXszCf7TPzeAIdFK5Ml KiKyKg9iiM9c16kHeHPF2snhErJtaCcGb8Jcrh7d5pCamJIS4X0Fkcz9CMVJ+aumlm8oBtqRzk5k KU/teFzpH8x2+fA/JZLtD6B8akiJ1NTyDbVK+z6LSl32T/QCR/ZZ0B2U8wWzT67aTBtiSs51jh2D 5w+HbnUsIBdshyrgPXYqgioEj7rLG53/+BHZyuGFJXX6Fur0o7PRg9nZRY9nAPMf/uDAMXmCxVIT 1zdEOtj/hVAAwCaG9x/6JMaSsImHQVgT27fATbSh3HzsL8oRl/lgl8r2ffd+cEOiTObnVzlDHoqp 7RsbxWU6Br/P4IQd3axUbuHj/3DAUJ8KYeh8tlUWJMOwFAIxrpR8JDY+qPcoI+hBl1i/snLwhLN7 agzee0DzcVy5+04QQlhIpqqozu8vbHjEm2bZ1RHQpsWgdhXUp3lo2MXOx/+RQfD8Zrm0ReO3pv5v 6Fk06agThL/LcRB+9VseAIpwmUwN4I7DHMeM4QFV97Hptoma15FvKZmcFF78ksMg2KNPyDoQiHUK jQPJuPzj9kxTcC2snMT/DVP7X4wWvsMzNXkludANY1KTB6STEIto0km2WBbnukpeqQfccqPJ/gx+ 6MB9aAxiBdD8ZQl5yO2Dlcj97KvDrlVNTeAq5RAKc1cN/Aj7Ryr1ItHZfDyjoMZ1hdsKOj0ntlXq AoebCLZtPHMBSaLJGeDOh7gS+FqXcWNy0iBZKou8bzVEPXDMzTsKThC3N9nAcfOMc9yF87Cl14u/ aca3JJ+QhrgaNDkDsmOsd63Bx7MwOU5kfsF06OtRtUjc4EAuAwR8u1Ug+rZuvDNKGSEbEdqmNbGD Q4OIF1YXyK8/ID8IXy34YwCBM/CN4zI/4Oa2A7DJT52dYrg194NrTK1Ym8HgWcSFRfTmzqp6w4zH EfjHyaanSEQxPalDBppW9TigDfhxh1XUkH6dm7RKrZ4USywYThVrrJbYWsgcdrfz8s86m8biantC oJ05x3z6wZTAqrktHBuCFWBNH4SBhBixgBV78/EMZH2+Nxav8/UkEakx3CABaLTtR/KIitegSDR0 Ei7/DK2dFFshcJJLstT6RREcKASSMga3g8LCRSG5ZuBV9+OUEWdyGiY3hIDo5FwXpiFjrApqYV+r Hs5WTvnOjawno6aTWsOhfwRzi+Umi6isuIREVWcCwsXPbZWbZTC/Otpd5z6mM3fN6prkmz6mEkUF Z+zaiTZDpeYSIFpTazhygcuQRth45P0tWihiptqBycczzxlfNmSN4fB8gG01dYeTDZW8ZvB34a1Z wLiU2RTGgt7aU78my654k3dxXfrDdZmAK6E5DMG9AbYho8CK2JOJVI/JoasOPyGZHPJ1BxknGrSn Rj/eMy+dj2ep54/s04VreqQPqT8c0DCtUDuSiSdVPXDcsOoikMW9kXgmBnGYYeHb1IXoK1IrKKUs euhCi7tXBxOAuAfLEt7j+6etlxrEdeqEWdTWYlAEuKDHyh1VNh9PTptwLeV/bC7vY76eOsQhVW2s QTnMgt6TKHbF+pkXp4IfNv+wRi5KIcp5VJU7cKOo48DodpDczCBHdZI/jdKe3hCe/qYZ6hrgWVzH +qlF0/vergW7+PA/2Av8D5Z4W853qalLHFVPbbntP3LTZvMSssGzkxlFU8Pr8gA1t4lT66HeEYPv KITG8HAnyA2AEbYeDsDeqMf1B4KyhCRIjeKqhJ9mfwb1HmbbDBnQZObj/4yO8RfYMg4htZpaxbET vMqQFyVI2GKS9db9dEYpKQKcxCsOGBGq+TCg1+DBMZS8R2MlEMj3La48Q4tOqb+4o8Bq0Ysmy4YG V5MyaBpUyrFEUECAUfWC/8BGVhXFfrTUau4Yh7jk9hHuPCG51yEzo/Om8RjT5OTsOoYtS1CUNSOp qtuiM1i6M6mSu1PV766oQO7yVJDRQNebZmrlwuicEwM/go7gTCPtquTj2eys7x0Onbtavtsq5deB odQoJuiY/RGY/elFZLtnntn5e2xcrryJrhcTYPBKFVcSyYC5GJexMVyZGmkeDzh9y6Q+cY6DmBNA XajL6WdRognpYp04gw9nffL1g3mj1lxEfrlxHMjWQ2sEiU5xUfdxWlOgY5cd6LU6cHI1YYY3Xc2Z XrzMNSFdaTNDVO1EfDMpBgK0EeNS7OGgBtHwqibucdXxgPLJ0qDj2A7EkHNafDyLi3+0N4jzuqHE VxMDOQ8YtyAo8NMoxSUmDqN0rKCLQr3PTqoxIYms/0MPslmzlBNYS3SCQZngroLOPP1LCSKQhBiB EvyIZFcxp5pbVho7rDQs6yl09IKvMx//V/kYL3ZQoIq5yQJj5gN7EiMJbeg5twMsWLBEkX2jLqDA OHGRg/KHWJnSQCqPi5xdeOgMri4izBLxQUB15jjjKwrV4k3/dleNPnYMajzsXSUlbeEAH0+yhvLj zI6D74R8bk185Lyh18ccUeOqDgScxV2f7ILtNyYnj4x3iutaFXaGtnBubXRsBE2OVC0USb+C9+X4 mybbChzECxEP/eSJMyzc0d+2UABMfORcTVH0hiquc30KFamRHPQTIZqlmg3anjKpGVB6liIqUVhd c5OFxluTcsRwOA/DwYI/6DRMXEod/qa40gA+QfsZiRqg/CqP8gTnR2T6cwSfsNShQTlVvdcNK9EK TrzkAO3uXzh/ZaHiPrl46iaHjojKXOM/IqQVSsf2AzWnibuKmXrXXZVrTgibI6GjEcr3tHmybKGy zDXs0HHbNNFXbUGR3Cv0yW3+ptmZww0VAu/xEZZebTLDe7mbjyc3+fppPLRBQbO4yVNPOQjAWaiw A2LRt1IGONoGxEKd8qlfk7Rl1CmX1uVjEgjDYKBgYIZo91WDVbt2HuxGETSRsKmUsyx/0Tx3QK7J th4Hz8fLdq3Lc/h4trN+1ejsOz8RAqauckCOIdHUNLTa3LreMmYy8AiwWM/UZDBkAfbbWCuG6JRX O44GxUqWpfWXplk4UO0PBDGsP9085nb8iExhgR1AFnQ1CH0yxSdnCZyPJ5fVOD/7Ci/0VNZTZznA viz6YNN3PLEgks76kIcoYcRdlVjLPayHrd7DfiQW7MIdFvBVgCXnOXfqVgOpjWIJ87mrxvX3zEEE lmzSZ2OFzwaQhoJktdv58D/QboyjJREY93hiLWefCAZppZgRNAztEQG5RiOUhQCLVQPSlXvLOa+q 9xj8HodLR2nUvLRYGA0CHkj2RbMke56LSnlDYi3nd41lY6PEIEDXaksg0LUuH88AXb/9GCJfwnWv JvZy0OXA+UDW9n9w7nR8nwJXBLKf5pKK/3J/Offa0JYqz5Zqp9oGAx4NrGpHno9CuzXSJLCtPB/3 LZVGx9SMGlM6WDNoraj+UXXEop7OxzPFvv5fNXXWAwJdkZrMUR3qQuxR0H6ln/DbuN6GgEfBiU2V 61BILrXuGJ6ODLKHRiMomytG3yiEg2S1aC7xaN1QDb+mTnNuxd2kA9RcB2iCN8fKr22Czsez6mg4 NjIGJMJnB/MhcZtD8Q7wClknbP7TiiBwVq+qk9So1ZNy82JL7Rg8t1qoFzdGyBY7tdulJouFDssF 6C8FdKkUf9MsCMSpA6lB/fQwB9yKJz5ODefaL4CApjC9juciT6XYOt0CdnFJCsKfGAUCoTGQeFZw kxQFJpZzMGwWgkBd4DEeJGkjF6QQDjjRRyFxkfUh3uDzEU8lSoIf8beGTDskTXDQ9Mx6pdpoGRwf T9ZOW98YubKa5Cvn8xrkNGzmTTHZ6JDX7TJ1KDKIS19YY8C+eg1xmt3jlHwcBDVp0MwMEEomDmSw pO1ikaoH4EqV5CQi19tXXOs1wmkh31cap4eDSoC7XKafCDQ/r/FNQ92Bs9L+qCC2UR0tf6hy9hrf cFYaWSCeN3TqxqNJtYE+5YoZtKrgaZPMinoIqILG4NHNBietHJGs0KQTjX4TInlpGOiz4qDs11lB 3McyxSZfnIOSzSoSIXIGC4pfZ8XtxzALBHGB5AD2GSblNa6xJyghTSUg3lB3Vikzdzo800SBHhk8 Y16jmiYXVVsj4nKOh8t5Stm7QA0XS8UyBOHfOmACCJnbjwQmPTdeg5oW3N/F+0+DVkof0hawI2d8 XoOahnrh1pxwXUAyCvOCOXmNaLBQcOXs8rh8Vq/xdfSzlSNYfOax3ms803R4429lJZ2DZwiWUKOO 12jCZ1Gw1JNAdqgou/fzlb+kzONrPNNc6L9AnZoDmiKdJZsNmXDcTmfWz2s402owqjQrQKnhszkr r7GMPYH8KOCzaICvK4U6iAQKk225oepb+CXJ9mHS3RcDFQ4OhLRrqeFeQyX42CV+nE3EBiGFzB5O Ax76vMYxOFRU3pvqfk+vnU8gJxjl2fVwPq9RTBNjTJPCosERj1TT8hrDNMDSOzsu3S9pOnDxkh5u xWfZxXAditcIBmuU/HC76VsMWiyACPaygCmB1HbXLVQ39hFCroFQXpiSQgTka/zSQEnhcSJ9JA46 VfCg1Dnm/bxGL1gr/XcHYY4QD3BWXkMXHM+NZuHbLe0LvdyYXBMRh3TJvpvjxgnJrMj3iavCB58V u4Un7AhtsQC/RuSiZQw8OeeQUKp3oSiDn+0g1h/E4tKgixlub5wUu/U+r1ELziSqUvIjGR4Xgeek s5kdtkuAhdAUkG8C+t23RL+bghhYK68RCw5b9laGdHXHo6u7JyTeL7rfNisbdWW6gDZIVEMOaDyx LuR8P6/RCpbiEQq9nRj8/rELgRU5FIJfY5XmuX/cP4dYFs3Iay2vqX2M3qui/VburUHqmK4lMG9z UsdrJY/blpexlDk4eKSywLEDxWMDk4reImEjm2E1sZj9CeJwrn1eC3mYlCujBMmLRaVqAq6m3M8i ls9rGQ9nXv85ahuNg6tPy2sND4uLUpdPi7tiCmSFdfb1agM4WlISSBaKiJmDwjwaNC0HV3sHzHrb SQKfrfMUiVGaJhLLqYfMGl/rd5j3Ix1dIkdKxP0QWRwM5npfeDi7gJpmheJ8vMtgkStSVjItS3hz Z3CATXn9rO2jelwL2Jqzx7J5IaS681DW4MvltFvRXPqDWG4O1WAK4Qag68lewwUWRHPLlouEqaf6 BnNEH640Yc+RmH7eyVHYRBRcjKsZeg/V76B3bhR3AIhjuNPV2uZ3juMEdXKGu4M5lYPNs4khS8E2 RI/BF8y8827mQijNrUZGdKV304Fv+rc8RfPCzzs36jlxJxUjNTgQf3lZEqpi79Qo7Ao1tjUxgroe P3HfmVG4Q9BdK9VpG03uUuxrS1EASpdjPRCj7CZS1N93j8HDFhQ1QTREr6CVea+40PhFU1L/j+uT aGNZiMtyeyFs2ge/i7ZEY1B7/LwTo5rbGMZm6pPcIw/834lRTYJdrVEIgcZypRY/Y/ZtzuGlxZr6 BMnZO/3s5R3NwfPnDaMwlDs3Wh+SZERBE8I/3eFFDtmjsNg7LQrHp3R0SRLW4JWpzgALgl/oEqTH zNDMEM5YaQNbfWbeI12V22CK4yGdfeFyUsbNLYILEsXoTL6HutV7b2MTIsPB4fcWOSATojg1inXM szsA0wBLogruvnJDHYK/HjIAEcXAeTkIBMRMYK03mRdxlTgv5Q/lwMCS17xkoS4RU0QlUDDgzOKn DI4FhXcX1UIBqN9jXZSjGPtLX4mDzwsUAuDDTe9K0LNZ7YR3JTQD+vzyxJbeMssABu8Slns5OP51 yiLe/s7+eedBtUdwzcH6VJDAtuK8pMEu4s9NhVHmi3e51uWkHBPNNu4KCl0W7frpqz+bgyM8bVLu wY0KtxpL1a/cy3G7k2d5orBAV9HPOwcKf5r8lAubJyNYUOBqNB4S6Et+3klQTDdjI/EPIPp9xorJ Al6YHcv6wi09+3x0AnQOr0qcN2cmi3jVirTDZcTgK4aeHbCFBafCAjzXxKTc8KqOuJf+svjM70Ev CA8EE5ELUh4uiK0ZaP/hbztzf94ZUM1BT7GXoKdacdppZt4DX9wok4hpt2VpLBSxsrAV10EjYIc4 SRb5ysobjfsYvMgAbMLSGWOxXhHQtm0xvRFRnsf6SYii98g3NG0qa7QadMrMTflQyGHUzzv9CaHM Xd+ZqeR6tDh9s9iXKg+X+oPsX8uTcZDeqZmZ8J+Up/I7+am5sBlKTzMGzx3HvAUNftAQ7NvtznEp vBmAKnqQD6Dv8D2TmeH9bitvxKBemwUCU/r3aNBm4a9Dyf2cwZEFnJ9KdVn4S18HdxpBT/C6JF2H eJTOmdabt0veeU+8T7VmiHvl4PnjQpA3WfEG6vo6Z/WwYY6mTnvUAe7Re77fTJf0lUETLw1C2d9y 7wN8fSc+sUQU1QZeTUC/RQ0zC3/rnU/jsbMZKaAeZP717ywYa06Ye2c98XrjMSODAA4BsbcYDP7M qGLuMwQIrg2bCZkrQhLfTDz5P++kpxZ2YZ3EKw2qY1JhE0vGrqvPO+eJlabxXTJiH3ga+U55whoF bptqO1Jeq9xBrBsB6EY580F0HibmPfr1mppdSXKE3YGvtxu7W7BRmRfA0OdKCxSBDyCqf8AJD34u NWzeCU9PLFMWEVYcODEFbAmRD/r4vPOd8H7r/PlJsA9QKj4xafC7uWK82N3QFRRssbGKgAR786DE 8fvOdcIHcyUMESg5+FbaF8YpFVvJJr1JG6nxAgMADQdEqIGyn/9OdcLKlrMcIlAftJXsGHUyzj6f d6bTb5GXqDxcbvDV0sxkwe+hBsl1TD3EJ9ygvCHkZYLQqHHFJZPVeXlow4F0xxB13rP6HZct2XKu 55qFhAiQR+K+Jn3wneP0tI7GLTUG1WOoxqAEu33eKU6Ylvpz9pIwg+akpiUt86r6PSKPpGMi+RjD xQHmZIjEBZPVedXI76po9qeiSTz0An4NtTsoArA+bose1D3gWBCWhXvw0XsmkUwl/3/rpNk7DOam N9ggj/x5Jzhxp8/oquHUtxNv+3X9zm9qkjxs4JQpxOMh4KWqEb57hHlzvbwHv9W1C1EdjsFxMXa4 rsOaTMHUXOkw04drNVldRFrAsuY7v6m5bu//dd4rDR0qhnjlft7pTVwyv6UHRDWgVWpmsuAX3ecm 52xAo/us0kkYiwGtLaPVACRh8PtObmohPDKIONbggYytEZjh0PR0d9ft7ria0SFp9ydfunrNZGIo q9GWLGFX8BmKTT6jpj4wMe+xr98NccQwaIP8nCYmK/qCl48SmvC+MA5pTv5nM4KNauwFTcx77At/ OfanBRkfD2R8W5SxwRdEVnAaqtY8iqDXgT4l1OijEM4E+53axNCXfYHJQ4YDz17gzZQiL0zMe+jr BghPgIfaaov2wDuzidVweoS5QUI91A1EgLdlDQCldwYP3EvvoS8KD9hEAHnG4LcStHoqCmIwm0bv h5UJ2NUAOwU57Dh9CYh+pzVFgRLElBoDs6V1p+OhgaR/5zX9du7VeQSuyzuP76wmLBjSL+irJJvc 0BlZYgfijIGcKG/rd1JTC47BkLsIB99Jth03RFKhh3AggMEAGRLvcExY8wtLHHrLpEt9lSqpA9mC YDCPu5M3e/7zzmhq7kv1pEoXPDy/qt8JTU3qbYAxNAUxfbvoythnK3taHRQOVn3f+UxPpjTgeemD 7yP748e2uxp4zWN5NnVlBzYNTs9G8sxj+fROZmKfjFmfvINbeAdPtsGJnJp6uWQXtfazWGxNoaKo WcliXjGZ6J39HyJu3YKQ2TyWiKVbZLmye59CGtR0HLTg5iBIgwXrUKWxxbMhbjm2AhgAipEwtcfK HvZJfMssR+LhQiCoBlU1lws4dpvXzzuJKWpcmIclayMxUjQvWciLQlrdzOAxL0t4Z8gjjyn9NAtA rlfC3ylMWC26jqTVMx6tntOgh7cBOQEleaGXgnnBvyCcl3R8Fxmm+sE7hYkNSIkLU4OZzVL+SRN3 HAszcAv7vJOYMLG1foFBXDHdq1TvHKbmZAuIVAn4zNxFyIbiUny2OUPk6Z3ChO+EWIVxWBkb55Fb sfMEIkz70s7eDuHK/wEakOAHfDMBlsHf6UvNnYlLpZm1Bm8QFPewa1gwacgbZU3iD4FGL3Hovke8 iAuoKefQsdao8CyZFUf4QuzMDVneqUuRmw3U6mOIywjUSMACQCPAeck6zKGzEciA66H0TzLX35lL WNfHbU5XDLqmLQXQRTstF3gnLnG5/Bww8uA+MTNZyMtzBBG7hIWLxK46ehKoxyKrnnCM13WU1nvV nz+RKQUUZndQuphVF1h2yAW2wO6c5oe3P5Q3wtE/77yl6H0A0N9j4NFryaO+B4tN++edtsTvv3wv pM7v5TljspCX4rpEBArgILghoKRliLU+pFvPs/cf9V4WBUbX2biBsZuWnJam2p1FAQJtFky1aH1y TVDzhGzAd9YS8mq61YzNSIYD7+pze1f32VLtzzttiRfvT0cfyAEywTU1adCr769dTyChtKsaVXOt gznp2MupSQu+qjgsv4tD430D7A/GCa8lu1i3iClgW1DUHf8QyjwM7t45S80Z+aVrO/VnO4FrqPaJ xfefd8rS/6YDesvmqfU7Yak5n6vXJX89cN3cgUTYU5sZyyBrHDRZwVcChIMFEw3RbiPxmNvJoo7t fC8COMHCAjA+1gwxIO90JQYWbOhSgFWDX9lnXEdG1c87WwnvF9BM9eaQQXafmXeyEg+ayvKdK5g3 p1Hg25ySc7J0D4VUQu7yuFf9fIHLVoDLyMu1NURVz7KP2+OWDlgWsp09nyt7EuL8zlXCti9S/+0+ eNIzVyMpw6K9vj/vVCXuxfYT+Vbw//yceacqYZmhXDAZYqFHMCFQCRfCXkCBlVvuvd6JfGcq4YRr OmBIcePg3RPkGnB6IL5s0SLeqzGNwq21PwCZ4e+ZXdqEpP2Pepydb73p0rYY+vPOU2Lq/1PXrLZ7 oROjiUmDX7zqhQIAm/qFtgqo3t3r7cnp8mCFv+X9lBldwRtTgl6flKDYQplgeyO1vtACYzUGON5G Wb1enqJDrXrPDLxK84lL/TgODh0C651ZwTqfd54ST5lYMWRwqQDtM/Me/gKRuNlma55DNrpL42Rj 3oZqDKspmpk0/KWqap93xeDhzJnlHCBGbWZ6Rb2BSwZRMJTjgPf1S5tCIJ93mlITr6ECOF1jiDoV pc4xv+3zzlIidPnnZqIhIaJtzUxe8Z2o1xMOw8o9tjEp/BH8LbSgfWayii+B5GMQiq8h6AJj7jYp wmOhTaGbnWijl8j//SSSyOo/7wylBwTeTnsGXdmoQFB06Kz5eScosZB+vocMjT5BNtLEZDVfup2c wYUy2deXLYIdFTyID9Xji09MGgETCD6awzZDEsPSnGIZTaHaDhxaKBJix9CR58hDhrzMC96ZSc+0 7Eoli109jLQEZCs5Q5n0805MYurTf5pt+FIQkmtaUrgDcrp9H3j8PR7kLUoFIMg7qlJN/pa/wR3G kovIOr5ebM3ZV3UPp2XbqbId1UlPY2BYn8Y1NZveKUktuNeTYCgN6pys2h2D1/h0hnb4KVKRYE6R Qc5LFvxSsqTd5hTRXq9gIDDF0dm7CsTXGeG9E5K+++ho1ZxHnxw+XnugCIMmynVJU8D6cGwyjVxf Jdx3MhLzHek1EYjHwRtK9Sqqtyj0885F+kUNMZeYxLLO2EZZ5Dtx3/R21LaGuEfMSykSeoBLnLet RwryJXh3DPXaxtNrGzDIIGMI8ntrepeSMr12E/55VHcoKYbfn8DMxBigKoAG4bovtaSIYe94Oonu 1k8a2e+3pjnSqBcReBlVfUeYmQlWBUS+QCGzA2avwyWr9WpW+qISHge/j0DNKgiBdrHsgCZygMZI mx50gvIUqtDo/owU5kDo81KmtCJTAktLJY5+9X5Zz/qnCo7a0xnes55p0IutMXW8AEa5qzZUr8dp 6KMxAcPxMt+D3me5KLseT3Z9LPACi2JSU7oAK0cYBHGXwHW2+yRKk3WqmdPXiO6lxbwGYYbaVj8S +G08nWFZf5r5HYfb9QhmpuVeAJHr2i4JV0nJRtmhSjqlov8yXYFyZigH6h/ZPXRmDJoYwGBRdkAG 2YFXa9JUZjUOofD5SoJwJ82s4tu75DlpmsehqNiwWnOphoOns9jufCkEwJzM4UHv/FvFt0GLwitV c4t4g0qVGgWT4S/r4DOr+HqaJDf7/rjZA3+LHvNqqjpsNzpqdOoZ7FU9KwYgIHxCVo8RXp6IIQ6O GBqcURARL55OcDH/BQsnmfEB4M2UyobikFoS6EGyS0IV8obkiSHM6tWt5WYW9W7hnre6+WEGDW9y OA8jT0TVAXVBImMoTwUl3UmpPClJM4qZWdRLo4uyIFbkgwAgl1gBnI+l4emM4je+wR0+fUXQOzM2 G41eyaPe9NzrVWxHFMeaVg/FJAY3Uxr0kqbWz3gGj+22HY5NGSTu6iKtGThnUr6J/Xwv+7ai98y6 s4TKs+6DodF40S69s5tDVBuezq6lnwxyoeiAg18zk0a9m4j5HoUq2vHiuq47hG6picOLaeaMNrGP xolBRQek6rbiNxDzZZ5FKdd+EWrzVMfFG/g7+kHM98DXPkFCDoVONByETBxd5Rg76SeeznbTfy0Z xL1x/qZlXyB3eiVZ4FILWEy2AastCm4joHHM0PwHzLc7o8BlKOxisswXCGV406Id2SQ7BGPvtrBM EXs4mIoKwDMr+3aKFBQBoEsAoGfvbM0zt954OgNT/bKVePnXOGXeI1/5OqK11wgpg0hbI+AM6vK2 XFjBQ9makM2ZIR2EpupqFfRvqwAcP1szLqx9zpUk0WFngapMD4lrFb1mMjGyrZTm+AjN8dn3nZTY toPw4OmMBemhDP+nCLhWsE9mhvKljuYSLwdgKikkW7AE4Lt21YCcj47fDOmgTsHQxTO29NhQ9LVk 0SK7i4k5KJ0IWU/JK8qircfYE1Tijk/IYhm2IbsI1t0J1hOVHTEhLf/H09mS+Q3yULx7SLNp9Itz 4RLD9h/aex5VH+yoPAr37Ixojo2ZWc33KNaX/i+HwN+BfwLoNoxDUM8Tx5o5Fdymvlrjk8IfM4M6 TEEdAOXzQVe2/BOIARl4+h9LRlAH1LAhXyPmbBb/QhWreeeRiVMbAahqOomBzfH+9cqKvmoATJXD 51MOPwBg25XaiI6xTJKd8MHjE2/bv94Pm5T8lYW/lf3Zwjq6BodsFnnc28c0PP0v9ixmBpbnUY1Z Wfg7qdiM9K4xvT79uLcB+kUdiPmOZIVR3spqvvt4FbzEEIfMsVMMeYJFedxaTJgK6WO2EEE6CSce mgivnOPGuBfcUh/UJpj7EkUAAjuezhq1kRewU4uktEbKtFKY76BkK5Mi/NnVVX8hy1Z4W03K0XI3 razqSycRaPyrxcTKw4KKA1BUp5BmMS0ab6rg4ZauDaSIbwPF9v3CByTYRIrorEG+KAfd2Di3eena HYGnszbBL8oX6qQnSOhZ9DtYqSorLA3kbb8g5DCbCzn06LmtLPoVFGQWMgVmIUR0Ivrt1I2WOe4t Li8+EOkOepFGKdz+PX991iPgdURXWw1ekVmC+6J/haezI+YnK6CiCWA1mpYs9p20Mlh7OLuYFBDs pE6MKEoPp4Xs0sohvursU4+Lg+dLE067h1K/B4emuzuRF2NLmfFvYFm73jNr0nILDSETBxc5aw8W 3rMAtWz94fGshld+Om5L9Qufmiz4BYWokaXb2CUQnQIxHoC19Ai5mHJ64q4s+D3bsZg9hpgaGXOz F7ktv5DKxWHmiU+uD3wIzW++Z7KVFJhJtJ+D0CAXOnvMti3GWxnMl4oHD0YGjZvr7euVwnw30l1C MYh5YD2INgZr6+qecEJzQYcM5utgzcsuCgfdSsUCI/jhsONmS6d4keJCgxh3ScjYypdypYoOXDGb 1tQaVAxHQ4IwhoNpSUPfyAlUH65/kPRrWrKa78D6mPUIMFRn+Aza/e1bCYoOXsVbWc1Xl3UnUOOx FKCkwwDjf4hEW/otEgDm9MEzpT3YRKLc8AlZNVyMa6oKzUdVqNqFuoV+PhdPZ12l3ziGRJhYL2nk e7Be6HTERiRrYuzLEt+GM2ZSz5hnTBr5aiMNcSLH09Tv0O+z6xpnzILL66QnDzwuAGAUU1/dE6IT Vxr4yqt9SI1+OFYWGsu0WYC1fcfTSXrdow+J+aSvmJd9Vxr44uxty3tKTfU1euscCnKxVnWHMoL1 j7LvFFJ13hA9tgmoF6sdKLyDMifnZUoEvlH6KMrhbNCutOzL7LoQRqlBBwxIotxJttXwdDIx+6e6 ScWYGnD5ndd9qbFuuW1Xg5YFKszM3d1nBhRWVmR2qlom/IccSjl43Av/w725Yua63Ss3wCdsCV0+ RTzkaPiALIkUZKg8g+rhtu5Ibht2h+PpZGLGD+0awD1sYc1LFvcSejVJjWI9fNG8XgadRQJmFoUh uS78LRlwaKjee2PwShVUatnIB27zSFmdYQ3EQJZXqiQCGO/5N07O/xHpGnZVCIdXLe7dady7+p// yiHR4/WZycLeohbK9fDuUm8YM3O7V68mI3xmBPvv7Lahgsx4CjLb7rNeeqN42dgo7UizlQ1L1K8e lZRJ3vXOy76i5PQTg0AgtgUVudoph6ezifkJe7uwgB737izupe2GxV1Ou263OSdnLRewteWwXHZ+ p1Xfo9RQKkwl3Nn3sgOlN3zdNjHlWPjBiha8gSD7NL6Gt9pJKdJBPp5sEWkQMnwcmTF1SzXwdEYj +IEnkp6M60HzkgW+0HoBpFLsGwssu/h/Y1N+jUgHRGfUjNyphpkLNqjndp6eW6EU/3WiPrxApKtD 11L757mfW0nomJ3S2+TI+T+2inNC7a0qmex4Oum5jf4TxrCaFpDWnRZ98V0fyX1cQCmOkmtbrsTE LFgzg+bNFZNBHXQfDenUjken1oIXthklqT6pdPyHdkTkM7N++yRLuGnwCcnpK7z8JMOYg0p4cPxs vKDWwtP/IIpKcwgErNhKGdgBEpaIBpaDHXakkHMu1wvf+3o1fGdF30PaGiy0Y4j7usDrCrLpZeBw kJZm5Y1ksfIsXzFAdiN3FvkWIc2WIL+U+GIWCU9hbiZLuPH0PyB46i3pavOZSeltk3CH6bChro7B kSyjizHBkUUzk6qZDca8V2JM9xFjguztIQl7g61vfxHVQIB/uLQfeqoxFEncWc1XvBO4GcbAZiQM Z1WNsVwIT2d4Bz9kuHGIf16xlTK4w2YxXKAYIOjvcsO3KfwQ2FbqNmErpew2FXunhzPlQYcDA0Jb xXVBXGoKAZm6NAm4P50l1ql2FvoO1jSrgGY1gGZo5+soRoMZT2f6Bb/dSARTdKfizKT0NsAYroBm hzBFh+CVSq9JJDSwEdXxmyo7iMbljNH54KnwLIT90VlqcN/U+UyxKiiOrq+rbbzmXwMZTcyKiQHa egoqtvbF00mEN38DGXQIorF/ssiXAmxzswQOf1maZuKMQW1ALX6KnjFXOhniQVZvXfyT/uWfTDi+ lk2n6FFh5kymBaNyGieDYeO8P+r1nrTky8bSLOKkl5DdnxaIbk1R73g6I7g9SSSEZknO8fT6pDVf 9EYqqtWqVO0gF9e9lgs7jO2QqpPGvsoir/Qd7iOffu3OQfubK+baPzPgaWhOgh6FiPKhitIG76Q1 X0EeKNChQdmSbQrC3u3I75+Txb41BAJZjgFy6JmYLPRFyTukwUnTqX7I2OlQdMiUQqVjO2ROCnm4 zAZK84H5CzBVFSi8xWL4PHbIFE+vseXWf0cy0078z0ljX4dT/c8hs7fwg3hz/pVZ7OuHDG9n5LzQ FtbMpDS3LgUQGR9j8Swn57C+jUNm0TsNh8xJcb5aK6rM9fNogVA1Gl8rIhmAlF03HGFJVyD7XEys PJy07EuCxbiq/t4gAG67JYqakfXi6eyU+WETwB0rerQni30h6oZvq9N73sIJEKoImX8c15v+HbZS TnKT0lApMQT+Gc5Kndf12UD60B9FTWzk0ugr+SFDTcmTAR7kQDClCj4fVfA9QepgCa9UPP2PQAZf LFRAuieRJ4t84VNkK3vMSCKHF8NbcbFnO4Si3XZSYQfXjpnPEOhn20gTdbtdoVI9JBCIZIpmmaM9 gQyJGfiEDAhC0RjCPjU4Yv4Iw2WZ7sLT/y/gIZx40bs+WcW3XMoZV+f/dep7Ir4bXPS0kizNVc1O GvkqvmPBS4NPDNYiRYtRCkcYJ710ZAOsHaKx5HCz5u+ZsdHHn4v/488j6kmDvQExqhbO4NlkWgKe SLAZfkWLfZRS3JDPsilL34EuOhtya/SUQEKBLMPx8yVF+QrVfFXHuy0QVafZEpkUAoG+Zu9bvnjc dlRX+PrdsRR+ssC3sDHbSWbXoP5sG+pH2Kq5ePp9H51gK7GRysY5ImlNTUpxY7ttzPboOnTXdaCz Io6YBayytlJe85U4imAg88sYBWl0UleoiI+uJBK5Mk0SnwWzSRg9KdKX3YHFeqsG7qTV7cbkCWOH JJ7OOtf1G8ZQOqPGvGRx7wEY867igPmr1gCS6x2knEquDecljXsVzxZJyJQTR+/u4IZApmc3tCLA uQSiCoVmJOT727kGtp/vmbX0Zf5yTgw6Yi5FcVFlaQtPZ0tmfKMYFqpuVPBuinWAhG6jwikLVYu5 AQtVzhy1oHt6g/amBDdJn3hrf5+HxzUWgGVElR0YSbp6OjYdeb+g0SiMoZgdPiGj/i0aasZPLRhg zZUQdL5dUqgajzYrXl9ldZ+XVNAMGCYUSDgboOu6buLRnoLfLcpYPHtvinUgVr6zjKTBDxm7rBGM QRd7HfAhCKhCgNXge9y/vjiXJIubQn3ZPiE90Ad19O1w4b4FrB1PJ6dvCxAIVg60Q2oN0Yubgn0R E97jLr5NvszAzR+y0WhYcUK29v4j8qUakAZvLE37qscg1gEkSMZ06LAUkvXZPmlf0dqbxb2Ej9ge pcoDB0Ux9JZWMHvx9D/kHdS7+HOjrXSzqJdJHRXHVKaaTVsKkvBOvJ5UiuWspEgHSepUkfXrc/QC QQXrQTT+ruWpV+xiCu6jsgmplMcv/Oo9E/oJ3SqEpdAgcMzpU5o6dtrg6YxN8EPiwu7dIRl+U5wv mq/1OFmptdLcYgpwd9d2AGufoPmb43y5hYau6/Fc1xWig5B7Y6IEnC9LvgetAkjnr8fV+KBOhg/I sgHuoPUdNC/Q7OS1Yrk1ns76+fcb9VKa9SG33VTQrFDUoRw/eqf7ito64bHDHsH11PpmFd8qmZTK PImD9wi6RXg271SnOu66BuVsC4ThMf9VvaAs9k3FfAcnpEn8ooVgOKAGcsOxixBPZ+ox/RveEXvQ Q+jtpmEvALcsTTDsrfShp52devsXPP2QMLipnpkQDjKr4+BBDHx8NrrO8GuDrIMKvjjUmkWWcxO1 pZlZes+M96fwRepUJ9SpYK1IKaxh846n/x/AVH1RxEDzksa9zOju7S7tcP3StuhjSJuq30vQ0ORv yZAO6ikxKxhSXmN79nDF4NytdlNN2pYPymsCP/tHyg5YMaQy8ROSdIArZqz+DN7RPyqigkeHp5Mi 1berBMVTSiHETsrCXih3Q9lBwjpojKmQZzf09QwSbg0+M1nYuwSnKj5BXzL6BWl0y28VgrVq0F6S SOycGQ8EhG0FfMBfdXXI7dagDgHUyLU/Dp/O5Au+qqx+V+Pb08ykYAeAJNtyqUQ7Y45UAgFIDUak jLCxlzKww5FgTu8jhoBsbhBEF24lIOWvciVfANCPeLpKewy9Z6aMLXju3DGonAnUAmGYZx48/Y8k MjSq0AHgxNSSgh10+o7hwqxD5gyAg9vJMehh3NAFo/xzSSPfJYa+qg/167UKeKbF4EojL7wJ0B8F IaVBfa+PRx3w8sLGR7zPzWFNc3hTiCodEncAxZD/ZP8dn0/O4PETy6A12Z7wt5aU6kaToUosyGBt /DLJ7pUEZ1QheiNID94EJZc247UtWhIHv53gF0I/5k3UD79BbFeigHAHPsB5wGb1pn/X2JFA6wmB 1gNTbYqq23fMx7Pk4IeGQnIWmgE+OynuAfHZHeEOU+oN20zKQknkobhEK37N+75qjoHuz/C03laD bJ3uKFAlcBZD3tbmHEn8U8tjl4Efkc3OVJy3YlARYm9qV+Ov0BsmcU3sLEKxKiqdOyYni4TPonM6 E0kAxtnMQuokETemlDKm5eRk0r5bZJQ1ZwyeINxtp3Enfn7BwIl1q0bRuSIu+2M+xZ4BPiLjFlDt WKwUDjqQ+5SnKORm+XjG7XqCm/qH6GEivDQ7WTiMGr+dANulq6Qcj+o4BcwbPRDbrXHuZGVglyEa 8qAajwcV9FAsUBgk71so0rgB6eFQ4QIAtwcvX1Hbkh+RIFwXD+MrNcXraooTFuwyB78Qni8pCCJS KHabQHzqtz87Ky0GS3CXk0J8FknZqNQINGKX+oXMH2EQjH2Tc8dFAW8M3nCaVCatrJJDeZaNqYKv myLdyGCjhsXLHB/xNxjaWooCV+Dz7i0SW+4WXPLx9+npD5Ef5T1cvWs9s5OGxriId3drXkRUwnRa MO4ayHZ7RcpA5sn71lIDV3j6UZ5zpyygDmBVAFDnln30IHMUBnIg9D3clLX9TZNYh2YxONFj0Oyc qt6cBc96w6xa/tOqhFDMbc+Nnqo/oG1KdqDOnRLnzuQ1iXyq0hdck5MFyIvnCYH4PmjpLAjp2/JU F3fBE5s1m028xmBDVXFgo04MPyLj2vLAYWUegwKXYeeaFEMgasLH/4HUkzI7kbePdUxJg2QEPL0O t6SVhxIrwtV9h2APv2J6MhWILiDNEeTsrDiWN/TnG6zIUaGobZJ3yn9R4Q1W+0M6cPvVksv+kvE+ xo3BAZ5H7UuL2jYfT3ZW/4G+ynL82VhpgZiVt7KdwF7Ik0dvzrazE9j7ro+vWY6M0HkjNvIINrLl hucAKwWZpM3PIR2ONQcQaVjr8wpxjTfN6jeEAFATR4Mwe5aWEOQJFVA+/o+0U/qirL490WBq+0am yURyKUWI2SRvBe8692NtbKzx0kp830D5lhCPhOLa13jo0KZ2IMNC+KfpaQTbo3LHKdo/9IOaGL9h ebJ0LlmrEbJW9rtWFcbBfgUfz3jsv+0oNFOjjFNT67cBU4WqUjFeSsIZcFCUaSBVIXqJyckwEiw5 WojEHIqD1s6yvXQqwJbIJM4ZKpwizcGFXp8K+pK/WW79JmF6Bp0alBAgGOd1bOcKH890OgNWw2Yn MqIfW7z3SBlPSTbP8SNuHbhI2a6egEr+C6dOav92iE4brvAa9kDLUiM7bg9bL7UDych7v00U3KF8 i2pT4BoVDKb+b1WFrjKvhhOHMjiEPJT74uP/Mk3BTOBwDghJTQzgYEqPGLNvWUu2CtQhj+RenZw8 N8lbmpzU6Fj44CGA+XjcmYBu7AQHQ2F/1iEEH6CvFXLm5CE4UHhVf9O/MdonHSo1CAmwqJqD5dAq H08m5/5ChVmZCxRfTU3gjjrReEnKJZfpoSDAz46XgGaAzuTEBa5IwBodhhODZudW3N6902wSTV+m oARHVFg6w5fv0e+v/qZZA5ymil2mij1qpAM8bin00PQxi5RbBDssqk/0wCPJSpzgVA9HKb37oTPc ZmdQcomHztihJV0TK7hH6PVKbvA+3gbngpEMRtxG/bUJ0wbqA0gJaIPtB2XTjr/p39i4Q2I04xGj sdC1C1xlv4GPJ52Y2FcxObM+KyelyuGbarsFNqvd43I0rXfHZhGhr5WTY4ZF7qG3OodYOfDKhpCY JRM2ASygDdI5kSj9OfuRjpMWWE0d4cjr+j+eGJbV7iWsjd20fPxfZzL+BNyuPdRFamIJB7M8SNU3 osr5T8tVNBZ6bSj3rFZ3C6vSTCzCUaDU/9cQSYTlIAv3F7IrWzpMIijU05BqYM3qMhe+hx+Rgfo8 e+gxKD/ft7EBBCglH/+HkIZc2XmhP7OT15InNVoUHFs6fYZDKGjlwoCZxp1aO2kxuTwa4z44etgu TazMQ184EKKKism8sMBfe6Q0ZLKY+sKJULjE4eCgule5R0XPfSofz9wxSlR20LGiF/Nz6mRhMowx 6iHNivYY9HNEHHionY5CezlhNVNTa7jlhsiiQvWgQtl7d5gRVpqt93ILMTo+R/zxRRDL/zf1hmsM /PuViPINEWUYOIn/ZncIH/9HDrGiZtrKY3SblpQ3j52qnFyOgm6OXITIAZbi+NJJ7eHOemgt/8Vu gUwquL7U1LDIh8IPrPb8oRTjlydG7Tx+QtbrZN2rUMm+sKiAAMc27WH6CCIwH8+U036awI25zpNC pA5x0OlthEA0ahDS8ZTiEVS9QFUQQuJe90ot4pYKynfsGAJpciDWfgYtRG4bRwVl1LBwlCJND/lt tq9q6hFH9dUifWoN/AjcGGSnIufn4xnB8CcQpGZlwLZq7hKHAIKOiziS7VJhuoC35dqjYwZc1HUk JzZxWDlcEefUGJ4m+T0TOQiwkHXTicn+FQq1llG4Tedhb68Xf9NMWo68H1rmatDkrCpBSPvvBx9P AsHx3Ob1D9fReUqmiVWcXVg4unepISIxp0rLfcx7Q0QiDNFq4hX3uLHXvmPwgjKEVi5qFFhFras1 WhZmBxH6/GrVnNb9TZNmOWulNC7m4IUdyHyTvzJb1Rv+k9JRqR1Eh2+fndQ7A/pcxCcKX9HDF80u cbcsOjCgcn/tFFfc5O26nsGvczQjJmi866If5K7ByI8Q3AKxFm1himLV3DJOhWQnHcaFZWdzGVLP s6OAj2eM5hCExcHJ63yFN1r9u2tc22PHmewyR2OyGU2OFK8WrZ1UT0JdiCOb1/PYvLaFfYZ+P+An pW1HEzCeBllhPyYacSincTLT84p96IOCnYEmB+Pko8eztfPTN5c3ZZQEU+c4dNks69zTPRi3I7kg vHfY7psLxE6/sFJBtSlntP/12b4oddmthUhwdcJq2cqxOYFNO5ThpFpzCRetqXMc8MfoFjJD5xCI 9OpI/bP4+D8cRnhC8fisT3KemsehOWn3am9e8yrODuoQ7Q24Raig1sQ9LkqCo6r0VUP7H3rldixI cA8Nj8vSRuedzIi4x+wsIgBrah8nyNKUtSkH31fQP2QZq3Q+ntzmoRSAibhwVo+iTmofJ1JQaE1b ZHSrV7zm8DBwlRLlwMQ/7ulh1T1iCCiKRWm1Ujp3Q0FA6wbl1LXwL79Qt+LvmVxWl0EgqU4aVEi2 o1mw0Vo2H09OnPvkD/YP9sXcb5iTRciUGIMhRdS7RmiHVVf7mQ7S4XmTFpKlR3Ilu3Ef2Q1o8faG QAGG27aGCDBtbCeCiNeo9+md4elvmulQMwisRBdw0LKxKQ7A2+LjWUnnl0yGCw8CP5qd1EYOpBnI FCrhRKzsfmlzdm9+FoJ5GOf8xUdOntJCHd8b/as1LUwfQklagn6XDJSZmMMFrT+KUEuggtRJTnSy weNcg1bOpcQOGuhr8/GsuXd/why7HdYDSEm95HgALKEr0A1E6MoWRJsE0zYoUgXsrSZmcuhkyBeM IHUOflNZTA+7FWJ1LIUe4Xp0aeEJ+WW/qNjT4SdkSjbSKGTNwhcL0/l+pZw8ql4w01eL01hP/WFT zicnxRyjoHv3CfPXcoYDLuSO28hAj8Qz9ZRzpEVn4MIhaha2XFARxLYahUahMDllusuc4ikjAwem N01yK9SrLISsz8CPACyX14+dPouP/8MmDGdOp6VASGbV3FhuUCkLUZRA/Kc5iH8/IH667Gp2cmsN 1ocpzKrBO59w3LyyqZkVCAOajgCF0pAl3gesvmVlnzjLQd+JkoVXgIvrgIsJc2kVZAawOomzHLZV i6uKdQgUKmNu8vC4Pt4aSHeqU6dgb1dEoqIVtx85Kf5YVp3FMYIz1HQXI6QlcKBf6DA3QsUVuSoi hKAL0RCrJv5ycmGi2Noz+D0+W8ibLj6eERJ/8k520O55Dp0sPEaxuB7QbGmwcVQfxfep2bE1dB9J x5p6zPmB/H/k1uqEiAu6IYgAD8gOrJSimwOLUyAZXFcMdnh60yTKIdxyy+KTgyqlG/hMzhNqFonL 3I9hDVXr6BsSc5NFx0BNQita6VSlEaeEJ2Sq1kGz6O7kUxOXOQTZYrDKjlFKPEw7O6anyWYDCHb2 9QS3YVl4fJWiaBhWU5u5yqN4Mu/XoP7DuXKvaBYS8PHMmeW3/2BB2bdikfjMwbaE5ZzlaIJ2q2tP zPGgCVqY+dTcaO7IPcG1oJ6KBS7aU5DtQHfNZlloZN4dLDDWrxZ+Of6mybaSadhgesWBZ864dn0L TYBKYOI0919CWlUMaFxtPjs51qIQUnpZu0EUWLWZJm55KqWyoNk1O2mAfM/77ACD1RDDbssV7G6R +BrtsSAFDCEIr5Py0uFHJNuKPfam5LNVnx04iF2hSxqawonbHMAyP8lDZcniPjCm1G8OLnlwh4Rk 1nAgJSRlIRvV/0yUuzqqkl33VRokK/6rOnVqnDqWFVgCXpkqgDYDS3rcVyjCNvgZbFJ+BUW58abZ bU61G/KzNKjc1V08tZe7+XgCn/wl/bZBlbMIklPPOX4l8+wAW+wT9hsqXjSKUThhpiamc9AYZVq1 1Pdc0feEmCzEGy4Vb9qdzU0ecYUpynoSz7L8RTMaJ0GlTS7ULbhnG8eiqCHn8PH/F4k6+85PLJzU dY5sxXK2dH9aDWA7TobhUIseQP+a284ttYTrM3h2BQGOeti6gvalMtNG7DNq0/DVeMSAjr9pJg/K fUUxPA3CocDrgduij8bHk+tq/Cwc3ATrAXilznOAmFuUP2dg/bdLdYztqSfsoaLUlVjPlZCQItdZ QxCKwNE89A0YENcqM3JTuKDW+dxW4/p7ZlgCth0akTocPCufYdtyOx/PpDDD4JLLhPKNKwTZauI+ h1rAoSK2Es4m8yr0hOWQgMQcaYBfWLn9nAC3Vdo39YtK7nBHx9lniXGxy1zdGyTGEMBs97mulD8k 7nOu+VbmUDUnkKuA+nddN2tdPp5J7v7CUBCU1JAeq4n/HBQ7cM4QQvEfYEOw8aeQFscthxvFvxkF /sWATiYlurX2DaQFlAsQ3zEKvGuqntPoiUIG4/3m5b6t0hiZrM6pbTW/2+pcoS663Vx8PKNClC9i Gx72tYUKQ01N6DqOubsd1VUZD8uOgxZ4APsPksC0r3JIshtxtBiCRAM+9KFi6MJ/2FCnQFWFFmT7 coEXpfJr6kQ3ZMghFs0IFs3E75Jk6EUlMLeiK+sbI9dJV7tndtIoGRDgRuNWOqTO0j0QhMESZYlR B461k9L1roqA/Rm8X25hggWDNLxs1/6JuFNb02iYgVwHYodjmErxN80CQdad7c/XT11WdqRJcpkx cmpHRxWz50CGZUzHRPrk/FWhrRf6oGJyKOogiqfN7EDy2Qhk1aGTQS26kk8ocvjgBXYLjO3Saqx3 LeweNj0HyH+8w+ejqbrpH1sTVzpcOGpZ0f6Hg6YHv5jHjl2CfDwrsfuxg1gN19qJyfm8hjkdQAvM SHsyCCa5WDjYEd6ZIQsJ2+o1yOl2k5NZPyX3zcFxgZb6WFJFBs2xaKkLOEjhSLKViGRvX8mt1xin o2Ih+quIaS2IaetSfpNJFh5+mZUOhUzOCr48xn+Q6wdfmpluMi2o2LRRyAABTBvq9pSYPQCwUt1k TPbJcdok06KzZnY2HTg4SNvupepy1m30fiVlAUHbiuMQuF6fFgdpv04L+riU26KengZHvJUjLZyG +ujrtDiEHLMgg7H95wBbgUl5DW16lcvT3ezx4p/OVEXUNgbuWZhoEe7PQ+Y1sMFaIbFzFX4fHLRW 9l4LbSooBC04c/CKnwj2MfntRxqThhyvcY29ZCNfZk8W1DloqSzAoRgOl/F5jWq6lzf+UKsKfQlb JMvn5DWgwUKh1ksP2SToKXpXfIfgwGJPiHbmr3OCGgfdSQozKQ6eJIC9eBdVkyCc4p6xRC9PXtdf WUzKP76GMz0UTRYDKhtwEQiNdYvQhhYN1M9rNNPlhKUNxMpEhwC1ZuU1lMGpAuA8LAu9G962wmHJ srFQ/P8z9mVJjuRIsv88S0oL9uX+F3tQVTOQ3QPLfDIig5qa8iADATfYosve2UZTz0SmWlF8YnS5 i6EiIU58LtGFk3JyaevpsJyFwiuamM5xwIX5eaYxiCpygZTEVnGOSQfEmAnfuTzX55nE4GGhBKo6 EmigQM2Vu/JMYSpkG3gP5WSXNFv8VApNUyBJdGusNHgmMDWRS3y2gzFZi87KOndygrXwH3SzOgDl 2pUCtdZK+JShS+ip83mmL7wRGFSGehHDexFtwOyAhUHfn2fyUo2w6keF0oqA4Mne4rkrsNGFUF01 A9CCqbvmLIUSPygJBmoaOSpEu0KpjsHOkBZHpp9yXbUkIG2A/f2hDxDT+84pps+i9CWDF4i6qat8 F8tbhmDppwrKn2fWUg0F55sife3zudyUZ8qCUItqqKcuOHHu7K5y7F23UcwSlOt5VJ4JC0Jt51Eh qlGLNgWd7MVx8YTKe2XD6MQdzFbPnxttK8t0caN8nslKda2Ozttciw5KLVtQCfSCn6kKv9v4Rtqz 0EOMQoHhy0MJzCb4EdQPlgNsis2eAI1RSHm28vDS6jfVXJeLz7pPxTJoYVN6h1+ABrqLmpJEZdab wyEQfZ6dPGyKht2djDsujCnn3BVxwvYan2cfr1orDdswVYBj3G2R9tnEw9kCkgW6V9ZuIOWVQyex odFuKM2Vo6JEhaUgfHJ9sYNyEvxTVGD0VsDI70IdobWQ0Z0mJsuYiCwZnw28Kg2982IT1qRF5XQf bKoDr4aHg5gipTFLVNhkx8CJ6VuU1oKTg8H2tlCrnh3qoT6KpbW9eJ8hymuFGul0U9GifWmAz0CC 4Q9I4qk2s/ZBcY7iQr4bprggZnh0XIb48q35wo3BQRfTaTc+HbxEy+4gPITJAqlAQnMHG8MKQ8UW 5tud1wRyuHNIOs1+zu26vFCMMluxM//P1Xyu20mxa7xHJ6oA3qXWHWDKMFX/9qc6zXPfNCkGXNkt E4c1puOwdi1dzSVojb1ZUvViY6vs3Fn4Nr+H3iQpbA0Y6okXngw/sylgpr1cAZNkBOFpgq3ZKoIk RdGvFAWqxARLKCb96yQMYidSBxAS6tRGMDsoUciiDJfG0LB7yb7YsGBl2a2cLfq8GVL1ar+f/yft E0kpPAdZWxNlufDoPfFoW5SpRF9rkrJNJIkUQRttB9G3k77SZTDMRacGqO5UJnmZa/fZVA8R1lWq IRsNvke5sTdBiueyM8CwtykSJxtT554jQKKeff+8+VHMc7edGmwRR1WIINqad6qrdltp4rZAVYQ+ o+hoLgIbWCkWdz5606OwNZTmGJArs8UupgrqW87yL2+Z0P+GhOgPqi90wodep6YpQRhnKOFD9Rot oinsU7nIkpzd3mBjspeK+E/Zn6rAYHFfomSXyp7nr1kUaBYN/RBoJsVaMCRIyJiFNH9nu1mTLci+ J1+sMgL7eG1ijBYkm43MWmHQDZrIlzQ29C2Di4n2NWtTv4+LTfur/hkw5M+bFYWcJP3sC2YRcGO0 fXmnuyYevshNJ3lDg1oc8sZ4U2BqnPxmivJdon+aorcWjzLntoQCISrGMUuWgRjx4iRdLu8sTJq1 vClR+NUIeulVOnW1uCnJ3GrvwP/o82ZEVWNxKrszITb8PG1MlPJivnP+fmOacEDpjhGetkWDBHtt TJTzjmQZ3l2saKxwOZEAMTQEmmlC4gbFiyrwvYSZRW5+p73G80yFybUWQ+ztJGPg1efnzYb6TfHk mooCqXqIeae+qJCQhnIsSNIG5TLYWeCfh1P+UaxCenOh8L0JqO8kRmjxSeR5Y/YgJO0kdc18gKh5 RxtnlNNmCSVg0Tv3dQ0gOmzaoiCzKpVNz1sJqZIo+d3FLiZaTAh+42cmyn55B+65pUlyMl5k3zSb w9wZDbsOQz15Qr2JUNUpCX2qTpq3ToLfRKeUBe8PDFJ5LxEbgsDxle8Dl4efEOwM/fiWRgLrCmv1 6iolGzPaKAE2ULmFGeRc0HxSqy5KgKnlKAN5sjXoZYwwY6MB4BivzsSbBMXLjeGX83ctdi2d7Ou8 R5gl4VDsJbo81TcBg8N836UC9tL3fF9MJoQ5pYw0XRkJoonz4l/fHKjftwl/MshWd2vBvAlQ2EtQ lc/Xq1ZG0uWMMudoIchJAfQr7cs7/0UmwxMjKbZ+pdhWggx5g7DByWQSiN8mUpcop/MHL5W9S/BU 5PeMOt7U4CIdWovamCeV2CJdtvl5s594Me2fC7uamhY3Jsp+J+CChcLtVGKTQDX6RhtwYeQx0k3j xryzXwN4nbhLFjcXS/HITQJdBIXByWi6dEngykIp63I7mYOaNm/qEzMZNu0yKbtcuDHpZCQk9wDF +Hkzn6oZfHvFVKBM2n1jwtwX91KWuwZH1iTqghNS8N7gxMzOrnfnTwk2Rs3MTakPLtoY2FNAtxrN TJQ2U/Qo0Uohetm/EqGc6L9ZTzjZQsewWtYi5spJTk30f67Pm/RUr5qNTgzmchlAVu1M1OXlTTEI rqWru1RIJscOVfVBHS62+6Y88R3mzgxeO1w8lemoCZpc3cvoWZBqZJ6ACN/rmkTCN9/J/+KpS4qk uxRJh8SJhOV2K5833anKB/fbkcHrnu+2xG1e0unN3hMersPgMS7TAo31pjryzXWqJgrdCDq1xQAg +AbzbAgOzMRR0UwgMcQUGRW6rfDS9wwSGWGkFxmWXJTijapR1SmW2ufNdKpXEQAnAC3w8/2m97/f TKcqf25MGLchpKHyYc2qNB0bMz34vnNf1L8Mvrw5tDhuaECEuPFSGufeEeUdehsFZt7rpypgY/PN dKrmW5yySa8xILFdhQuOSdpK+/MmOuG3zD+vEnOTcwHYzoTJb+fxqmrLgKEr2kEbrGUh0FL6siry zXLCX5R9zaHuJRfr482TjIETCn2WdEKlkmRoT2FCUvZPubT1Nf+2MU1AvPYF4s1pskcNG/POfcHK +S2X6OLQLMN7k5x4k3GqVhVPYAe9TQigFIM4DPQVtDHv3DdJk/+cMl7HI7sOABi+ucnGJq+BTeLb lsBoHJR5vK1w1tdvjhPDJ9uSpONoUeMBxtm8agY25p36ZknSehoDTDTebNuYKPVFGQdsuQin8BjQ W3X+kMsIpyU5pfLNcGKVXjmNYFuBi7dkTohBxkdbkjKFuB/4ZShGiRrSwi9R0W9+0z0xoxGdyIXV EgTgu6Ui4/OmN9VrbumTx1MC+uTxTW7CgYHLjtxJZZ/bTXVk4P7MjDEgLPC6fnObqptvDGYZWuxN 2qUhyADU2mCeuzRPAubivKjjihB34HY/b2YTGpRLM0c5uxfrLNcBLWwBMU8W8yY2/aa9WU5ZZ1+G 9WPexCY2t1CJo2tPlinsuI2BUY0IBhLtUOP3zWtiqcR9GZqzjTtnGxsOs+igAn/XU6bk44BtC+RU Ckk01wzqTWritrDopEKZFl1Jm7IuFGLhlwteo2LxhbtyfitiWfmBUdbLzv3e1psqifJOsuJD2ofh Y+1OFHwTmqr7BZxLvfrCXdlwuDh/SFB2kP6nrUECrgOoZ5brct+h5fF5s5nwGsg+QZph62qGYZSs LtXZ18+bzPQbdqk0i9qk+WGJct6Oy332onQFXVDN72sX/z+TQNWVwryZTDymOixU0uDiUbfMSuXD CdvJuakF0JlRkCNP1q1khymE8GYyYePZOB+F46VBwD3NjubJYJlinzSvfN5MJjy+/cC4ZHW/71HU 790CTC0ZK/s4v1ElOxu0Ybnk05vIhL+JJm5T/d55+73nJJoxI8RvMHrTxQVg9gZg0msB9sHfJCYW SYvV0Xexnl1pTM5qwYGJk97+E3XZGPKo+8558YcgrT8JPFaKVPExb5NeD6ENzVg6bwITZxMMK1mv U3ZE+DpHBZAG+PBluCmUbaB65OOJwqt3rEQS+5u/hIPN8Wyh8a4Wu6fHlBPCSR8/b/oS/vae80oJ AHf9sgTmzV9iq2CRn7ekNJyJ6KQPHxoOINueO9ibd2/6UnU4eB+l+WJQso6rLlHgvKBxQaMFKv0V gOZ2vcQ3zs0+b/bS+Z6bN/TkgEELQ2+tIGr/IW6qft7kJb/mva3JFB5YI+1MlPOye97LvhgHm7ed St4c58DvtdH1m7t0b+reVS513oikJIIan6vMfU6eRH1XsJAhglqEqXCKRScn8M1dYjdbQ9rVfFHH FyJLfCFOMPu8qUv/i54CeCCv6ocmzHoBVs9uY1PQGFOX6oQbp/brKsfWhB1fcgOGBAe5GFRon4t7 ItHAbOnUBV3iYZkCLzAMmFelh9ndm7fkYKRTonMo3/bViB1wTGKcafx+UQlZfnoOvDyLlZBv0pKl yrB/kM3nicPTCiX0THiJc+xsgSbq+E7K5/ZV72I9ByQuGxCd8zqdnzOkPQfwIVjbfzB38zNDGMib slTNoifRcMcWBZqdhggAJ0//vBlL/H4/EbiguYrJliB3UeLbyXbjVUgkL4qQIivUZoJyCQl+JeYu THxtsNSbL9oZmngksrAzuomQpwZ7AB96koI/s987m/ZXnzdfCYWLsbjq1DJUDp4jLuXb8wrPz5uu xHex/OR4lNkrtjEh5IH26oLIoGkPZ5uKEjKpmBx0/LQI/GYrOdoJcab4YkfmVEVtslLKcPhp4l0k El0AUMj1YmQAkfq8yUp8mUjlJ1NVizpV51YdisB9fN5cpXpJbpblgf3nGOc3VQlnFA2FRBEO+ifI mW+esLtMx7K36Zf2m6mEnyKHbjbY2tX6hdoyPeY01e+9CfxN8GGhxl79Yu/Quf28qUr+N/8/DLee IB4kEMNYnzdTielv+QHJJHwdq63fLCUbSiC0DK8h3aB7SASiQsMcPEZuTJj+ajhbmdRwubV1h29Q odLVKYRlscAOHjAnGFjZnc2r9/NmKbGfRrjDXtMXbQzyJQ4JTmHyeZOUqmgRt7dJHf15g0yU/S5Y A8J10dAOpQ1DO/Sm3G+0SaJF4k/568BNXGsud6wEFSq2fHs+BbtGkfvcoJsg3XnrSBQTnzdBiXUk hacF8OXCG3tBaIOB49zcnzc/iWi1n41ZmXbLdmO/6UkOUlskSJPCn0kYIKOqy2SiJ1pRcmPCBDgL FU/YFBcrr8/uYsZLScZTpdP0ChAaFGK4/t36iGXBm5l0t2XxvteiMWQ2HD7apJ83MYmVz++lhNns 8OMSYntxJ69q0QT9aUvxBunxBDsUL5berKT7Ig3dSePeSRvenAA94U4CSKtotI0whoZf/c6tqd30 piTxztPkxP3uvbw+JbtCxC58OprOzm/zjjBmJLLalyj1FQKf7TbiqZBxML+DCjv3anydUN+EpOqu R0MoRS4WeTmShQPFBIA7k7cBrgWDJovI8ZXFfZOR8MdjClNQ2tliAyVYLLNW6uvz5iL9YobY0ySW dXjcjdJeEMyK/IlYW9dlCDyal3JbCllY3JYQ5MsrelBEQ4uDE6FUOYUFP4eEN2Y70RKyUiftudI7 bL7j5wf3tGayrFK1JLWmigtFr4qng9Suz5+oi0hno/wWprybuMQhVThc2Ep+68boKxOXCJCGQkvU 6RWso7d0F7+NQJscnCc1cBgYlOswThH6EN+epn3PgDhAM4TFMkKLmg4JDR8VTHw6GFn7eeE7g9yl W/7Sw4wXjwxoC7JLNbKxTCB1Ih46DOSsS9XfGS9irtpTYhAMN/vc8Gs/2wFpOASu1FUlUcuW5tf7 VkmdXaoestc4YRtUSNBiiKGcmzEqKp6OsKzzG3bhTE9Je25M2OvFewRcjBH0mzFkG2mOJOhPsuq5 MRHIYWyGl6T2XfL23S4Lal8LTC3UqEPo51YkmQgA3lcVhG9Sj9q9asNUelZo4cZU4EGlRdkWng6u 6fKzMUCcoOWnjQkzXjoMAadkbaoseCLMaUREH3BIVhO8R+1exdNTsRRfLH0pIAUOKC1mzCWNroXZ SaER37joDwy9+T2jcZKqR4qmqAjnRV367nqp5sbTEYvtBxWTJ0n6nsD0kMiG9zpTCYx+wizwyK+g yAwSmAEbSuI/epTzstnRcP34YgkMZC036uhTh+0OlV0CYyBria3pVMyTpjRzmB7lvEUjtp3uwg2B IFaWe30qeDramvWzNedqAIZJGxOhHAZNbGmpI1p+l0beSYKbqe0U0A0aX6YY5WDA3eGL9WKQiC2+ TKBTty1tNDItJoxu87XCQouLn/DXSdsionUgjFM1e58Cg1lrP1EcTwfX0n/hPyahz3Zd9zjnZW+q OCUHhbWwVL3JBPS8HNvqxx4T2poKx+yLdRyA/ZiwjMVc9tzbXeYHMCySYGe56Ds6Q/R32ns+ga2G LguELpVaDL76yCqsC450lPaO9Rtm0LobfmSini84BJj8Nr1LmTrNeJd6IYC1EudgKts97vnyJcqc onDxtHfN0jFOmSdvXImUo1Nqb6oUnHscuYdhqSgG3KOer67qLMYfF/V8MQicKqwnnv4Hk4A3Nkcw xY/MO/EFlLVQWWQTUVaUg2lOUKA5lDEnOAe5MQBHiS8L3tazgMW5+pE5IaBDIgaJL8if2RzfRcBG VLskrpH0NYONoTRepxGlFm3MLiJ0QAQIT0eFdf65sVECISJrYyKML2scSL8blop+W9CdhGWM3qoG zK7Cb4Rz6AYA6bbwdLN+PLnXQu97AhHfqU0AZJ9kVKhy7d07BBx8QsTkGuRVszbgopZD2YOSnOfV 33j6/wd+B26Fz096mP0OqlQZuuEkT+REghAyjXoCko0hY3rU8F0srHvtyxebLJ0ibJ9cleH3VGUU C0cvBvuDo/1VHScdCJ8QFZEMv11tzV4vxLdSswQIkIanI/hd+bmXKF+bPP6OKP/FMKFUuscKMb+X walkukeLz2RTghF1fHVlj62R/r4jfTCWJjiczGbO9c1pAiruPxBwqV8XiElC/ojS39z1K9XlixCb pzSVAeVJBPB0kP72Hygr6JDIgLQvUfpLFVuomMnkoNGPlCYHe5vuW6vThHRG3PAVuLctX6zhO9YG VChxsHT+cNy/AjdHgFkggXpNeegpPP7OcZNiqhbNCFaV8T3o63j6H1PaorQHFbajhkaI8kVtvNl4 Q2cz8eX/T6ZubFabqna/skfU8mVtj3J6amHOgJtpo+EAld0JAEyl/CoCNAYYoER8pydntwY+IIAm srE5BU2cDk08N/ZKlJk8N0jG00HrwY8MXxwIlC4/MlH2C9bWr4xDMYLb+bPznyjjMKzzMKLs12Bm i7PIsdgN6EQNZYiUL6YyZ5vk43Sqd/TakXc4+u68V/zxf5u2dTbVtKghMxopK38gsYynI2p++8nw 0Dpa2/p3I8p96ZNSaPlDdnEafheVKQBE33Nan2pEue9U4y61u1i9lNDWECXyXH1ls2NTOQmERQXz X4ey2veMJgRTvRiEmEoeMwUcULGwSoawAh6Phko/vapCqpzrfowo+R2kRNakIdvJZMbwHK8QXUab GbPIHVHyu+xCMkBm8oZvbgmG3BxEtgUKt9yvqBACVZp8sUPsV+ITIrqStmZuX/QqoSzg8OQEGzwd TZV+kImc2twzE4J8N7HmQ+pBGBsa0CyTUocQ06cD8EYE8i2KLTNnXwwgAx1uemDSJqSJgjzQ4h9A /mVXspVF5QgFHZAaQsMw+yLeyV5yFqoL2xKmvr8zfTSw9zC0wwjRDrj+ujh+mEe2ZhEG/piGdrgI 3xFlvtN4bUqAEUVxrcMKug/aiXPYtnd1tzSkMxuSQheZSGgkPiHKY8Qf6NMX1dfndCr0nmIVT/9j pqT6mpJYtjFR5kuqfq7NtPpzsRz4/GVNP+ZUIdsgeCPMfI2qrzI7exV5/pq7QkSS/oKwvyBlp2Ka C9usH6b+7vY1g8SXFP1GT2wt2hdsqBQQV8XTQXldPIvpki47R8b7myNMfZtcUyQLjRAzxfvrkNFH 2QQn5G5vUtj41SVc0/DF4PLtnJLROZ/tM0t8tiEhNgf1L+saMAh+QjSGJBUyEbzJxXpUpSVRcnrF 01Hn4adaomRMdrj8DDu/jW47CFsc0DYOY7Ezuavo7jC0UU9mhrJlVDE4h6L6YgX2OvVvm4SbdcD6 JM+EUEOkdv228ZAt4wP+WkZKn6tffS4ovhLw3s5BwtPBxvzO22Dr050POaPMF4MdqH1nnhOJ1kLA 7NyM0qDCW3UCI/mQM2S3EQMyWN9rsYrgHIcTumnzulAaVHOfhno5pKi+3mfUvscn/K0jPsmF1qLo W4DiVEkw8PQ/gswXhlct8Z2huAO6Q5nKR0RU0dmH4g41GVy+p5RVE8yY3qbB7O6++BAFNhdrLNKu YXRHTdtOzBm0Wq9OSiftekaJ76LSMZgmvggDUsYmu630yacjqJkDqsTfoUijNatmlPrSgq20y7um vRqrSHJTiRua3aT5Z9j4tZG+YHi7eCJz4tMJunhPMHRrUDFT5wFm8kgk74nRqxRCHbCjqcx8F2GF znkkVBeJOp4ORtfjp4ik1U7ze2lGuS8hQU1IMxRZs8ig6fw9KTO6CJg39fAZiphVwRPX9kXR92zL BCaaNoJtti0nd8ggZXxmp2WVSbtlfc/gXaLmRV3ylV6urN5zoy8kurEVTwdjt99eVeEMbNm9NMO+ Lw05mK1T2mExtqCBL3P2DqFc0KO4MxHWwXh/1IbRYu/SuX3GLESHN3RlNHejBGSXofitl5DN4hOC 8CucQ9ZAMttAErQnETraObR4+h/tTaGgMXv2IBPBHTqS1tX6MLhDdtL1uKpdaztuaEZ93yU4vAHO 5rV03Ynek8zx6oCuvWyJUELg1u7pqwbIgeSMkt8kjUSaQ2kxwEMR++QkCQ1P/6Na0r1EQ2HjWcyQ 34aufSXKBEVBra5PO0bXvzs5xvCdCQXNinYmL18syYM+50Zii7ukVoroNfiu/AHMCqmHNWSokjij tm8ROlzYzdLs559jncS5AFsBT0eIhx/1LqjRr6vyFgEe2NGFZrXR/qgCL6CZ/dPgeEyxN8p9JWo3 SCbSogNTATWrSaq90HlgE7Sx+4B2TEn9zpbYqZpR8tuIvkLJ6Ism2L2aEHrODU9HB8bnkexUUdbH mTkz5Lfhkt9MIOEAvNe2rUmDHRmIjWbylaCAF0o7WItqVV+s79vBEcGbdsIvEtUmLAiSfPwRxtfi VplMqGzGTGZJYHNlFzQ+V0TVr3beWzwdpXh2L4mJs2A6btF3Rbkvj9koVc1vfD8JzFfIMmnMX0kb wcasCPUwxJ4VjJeLRV9gt9nBQiZzshWKYrTESQpx/f0y/6Z9z2hSwIY44Y9arCG+ZAEHVRo8HVDc rmgvBU1oHgditfYm7PwOwh1cp6qkkq2WLEuCO51cJu1NCHww1Qul/bPcimlA/x3gJ/hT7bXNpRNX /EDo2OvSRemJt+LOLxtV1F7XosLg1KkU36+ngsfTwfvkPDcqpeyfpswKpXsHS8kkkMMpJbs1ZTrV xGj5tj3QrBD4sO1KUvxl6tRwaBpIoolW7JCcTwI+sOMIfOJvMnNuq/ZZIfCBRiiVioVa1Iw5EV6M z3OJfFaY/w5vcTKpKybAra0JuW5gI0pYC/lvph82wTKNY9vz9cfwbGaFYF8B5kW56N7LBwo6JZ1+ UJd2ZXex0fkw058Osm92OXX7nlH3Vy/SKr7ozKztM8m8PyvKgG+koVJK/ioFrljDt4PWfy7VKtwD +tIYvJ3IZhqT/AMxAK+Q6DYUZ6SUMq5SSsVUHJqJ6P2ip9ekIIOLBj1fsEY9zlBackW4Byo+nYQl ZV+s+5Cq5p/nb4Cno7up+r7kP9ACaR5jouyXyP6kO5s/cw1DPch9CZXkdi28FTV+u/blf7XBKZkO 2gW4OciSqqwlwSgsCMKt3GSG9Fh8QoAHEQZ60wKFiya1aCYzxpwYgKcjoEz7EroQ9IEy0MaEYF+8 1YXQFoCraqPSJmb7aQyLMRq7Jf6UKPs1acnii11M56DD7Y6N33E2Su0q2EyS9Mf5kqHOStH3jEij 8vZNtkwRUCDHzMPTU2p4OuJe/wBl0KlCGauNiZLfSlgr/sb0H6i0vGCFTT0goM4KIHqKMCHatws6 RHFELtoY9PFWp6Vkngt2GIww1qNAPvP1vmNPfEXpr0mlcBKoRYPaVpsUuM7H4Okg+PqJwbVa8edD l1I7E/LccDCA9LnqDsPUHTBrIBdlSIgI71KMetC7tJov9i7lPQfFLiaRRbSvPLEXf/8TLP/se2Im WaMrQvwO4eYTaW5c+CqdwLTlP9VXxdPBBNu5kTjyU0K4ti9R8gvnMowMq4k7VJu7nd+tKsfrJQ+T f16xrpnyfYE91r6TfVw/HbEbMgwpLapeZJQcBVf8rLeQJOobn/C3KUrfAljt6lYnqYggVU7yhKej E/N/2lXbw++O0l9iPc7btC3Fm8SIs11lcHro7RvoYYetX1WS7ORoset6nogADCdfpj2U/laWB5vl U71bg5wZnxCUTHLXXOkuOjODbcYkAdsdelZ471dhkgAK6z7sd/IrsgUKGV3OsAWU+nHbNEgi7AET IsbfHSo8EDt0LqDqiyE4T0Ah8AEc1orBLeMvBHEK+pT1a5CzSbfYIeq3ssr+HwHFht4y36Zz8Cee Di6m9AMhogEU3Ly1MyHsdxBPMwT2hexQNwR9NZ0Q0LPsyt5x9quub02+eMl0QtgGD2DC5umEPh4q ZDFglnCMUr7itTvKfdWu2tTc06JEpo8mCGY5peSOaW6/AM71Zztnf4csN7wds01TkJkrGTkH22KY hzxsuL9DzIPwMXo5uFjjd8L3eLKNN88FLQ3BRv1uNDiBGr8G4lvfM1K/ECFy3EXdqrRl2VB62ng6 4hXUn2IJMW/adGmHiF92xJ21BFE8Rz30nQz1sJxXsGOSG6+jogyvXPeXs2EnR6q8r4HflCEAy0r2 7cY1OQYSnR8QFQTSkOHlxEXwITQ4TTqx4ukg+KafxJdXPs6fNiZKfdHFLEUWHoi9y23VR04mNp/5 6/DExJgH0f5m9cVOTD+xfPJaygVOZ2KsIBOAVMJXbJN/Bfz8qCFOKCr9OrWo8TAH9UuhZLDwdAST +eHRMjmsN75EkIektkOX5kXJxHGYX5tae32w8OO+hLpm25RZky93hA28b810xoGYNO8hipegTQ6b FYBouDND3zPob07ZV/y3jsy5kM63I1g81YWn/0G95m09qNmofQkTXzSZerGhG/6gyRQe5lV46G4U uUPMg5yAlu7qde/qcw5hoI52TDmxwLRSBKdD61cCDzgxhTaf+IRINpxpzEx3sdl+28LIpDrw9L9a VfgfooduFhM2fjNVQUoxho6c1MG2WK4ReL6GMXR2DHrQUdnDF286AH1GAiBAuoNnurUpeNSp6S4Y ZO+prxlZfBAATVEeLercpZOf8BScxAZPBz2H9GORw6sa/VVtTJT5YjoNhs7w9K6a8kWHPwEpgEm9 GrxKEeZhMnQMoUHGRYPM8x6d+04eiFCEJmShNWGkOn6zO1uarel7Bukdi6T/JQFCMZjdR3CBF56O isifqRt0h6bH3pyixBci39iPK9DasjAPDXVCIxoE8idSgU6hwIPR2AwB7kXBuRXLPvHxbP/ZIKBa 5LoKw5UC2e3arkjg5n2NjwiG2NL0pQwhSPpo4iLOgLPAP/z56pPPRyH4Z8AE36KCGYZtT8h5oxgp Ss7KWLOY4w22q0yLCOph5tiW4vSXDbymrKZdv97z967nRecce9VTJXA6V/eQUhmaHFezKm37pn+T yZ6J/YcpRUkQdVIn1B9tgcbHg9Kgu/hb5/agmL0GDinEP2QCf8vVenBFGSgRuNZDdfvMFCJ/JSJe FXjqbUKgl9nhzPUHRvB1Txl/IAkt0PVq47bzVs32TSOtbPXzBEBrDkBLe7QtpHPTNwwQi/lnbIuJ wc7XLCbkvgEqXkk8IwSCxSsqJ44QJT1/jblyijR+x1DPSpjOdkkGIPCd7Hpzon1e/UYLr2ZmUpTN uEoYnBvgI/5GVWeHyxblwr0t6dGeEMvHI/Jxd+RMPhUXTAGqGzmkUOY3U6R5CR9+/ilJA+NE0iX0 KwqUG3iiTvDIZtFVfbHAszZshjYJyKUVG97S/w/94oreu/pXvI35EQHWVXzSwlYwF+Y3cEFgq6Vu CNCnEAvx1SfFiBIBb19x/hT2g6lxMJaEAyH7nQkErnNQTLCBdI+3mY46KWwI94vP+y+YHsTyABpI 6kjsykNW2E2EqhhSY+9i8TLHR/wNjrboMKzF0r86i6wRc+Pj7+2pPt+mLVDF5Tvm3Z6wK4zm3Jxj GyaiGPDqxNDhEhDJDUZzipJjE+eXpi8Xq6YKaqtEygHcdDqH4JVGEeB9VFqMiqYypn3TKNlh31Ov GBfF5V2nAPJz6xv+kyeIXwH4pnunhzoQDDwqFxB4vFGDgsqaWbl9o3JoepFFoVzVF23OyW/A5Gc3 q8FunlR3eMgBt9E4VVUiWGhIwo+IoBGMOFMGO5NGNjQdOhtsqrWNj0eTuZ8rvfJvdB1kUpQkLzqq NKNinADNmQt2h5LM8L0olMHV7kR6EFWFg355LtY4PxcTwARdjfMhOmGjs3YFpLVe+oHZsKYIH7Fk eSEbr+I2Xki4xfSFWw0fD96sKyFCu6rKvMB3J+wRD/77KQ/JkkTyXyjihjTROpXfzeIsRkgw4BQ5 7BR32IGr2YkRAFae4ADRfrUpyEAAp4a9PvX76LPEjwgaONRvGuoSj2+XeCDss5ZkOhjaX7TvVFeD wdyuLVPoAEfI32hZFVbmgITiELWYTmerRPPy1gos4M6VIuHjVLovFpbhXlRgBTLBQp8itDd2VeCE xS2aP0yEHHjAIa5qoktsGxfd6bC+kGVg7nw8mtT9woQBP3KCXA5d4Bq0DnNPw8rPMraVn43/RIEI 4vu6fkxkamB648UXnR0oLKQ5OpLlCZk1JdXwO+Ug+PbQh5zOYhe4rGo6J19UZW3yyhGSR+XjQar8 mwuSY4Mcz/bmnSlfinLzJhfPK73O4KAjbR4EDUWd0AduNaNLTl+0N/XswiR851QsC9kO0x3ezei2 1nb7f13JYGgER+WhJKNxLMNi8qiVrQAY2fLxfxWhPCaQsE5eRwROcBAAo7Ovx2QrriZdA9U+Pr/d br47Yaq8VaDXu1hMRqhpiclg7WNTAQMkH/T6ESHWFzA8sn3TSGdQFGWyK7kIswb7SbYBU8l8/B8D cAYYZunj7k4oj7ZYhKI4AWZikG9MRZq8p+3TpJ1K0o/5mzzlID5Ti81gxgQNGRorwDYV0+rBXzsj lEJU6wr5Z/umETpgsLyS9NWlswCfurRP9H+MUuVyCVAaw+w/u943KxIJRqOypNlkc4ae3bqyNF1R p9NcSVEnzJTVJ13pLtqdVSo0wDo7GJN2KkwFccYAY2l+a4G3seybRvgsSkVwMKpFMKSVsqYG5yfw 8UjY9L9mVBualr45IW0OQVDTMLZ3WjJh005uKpQREoKzHZ1/gIerpPXqldZb59U6KT9EB89hxqxX 1zkTF9ym84rISRYsh9ZwpF+c4hMvtxblyb1JsP2UJpuP/yMo8++Ln1VdLy0H5nCYVVEmDQkh1etp b4ULayDhp7gpe+1mWxoZZAhz/n+NbmkIN0n4z5NqWNwdaIUVDPoADNZtXtA/1TeNriyeGZG6LxCM bpZZaOKkxyPUuTMVtppCMo6y7QkzZfzGM5uzOMaRxXAUKzmOovbkhyfsJwvft+tdDEiMwThFByem /Cfh22ybsoEAgN1XV0N2i6FFnAD8cxPfN7fh+87GJwlvQdmFj793h1KZ36EVjZnv5sRIYmj8a/qL feUo7j8kti0TU86Ex+nKik2SJU5OBR8u9madBAz2llJTPm8Zz07rpN2RrvnFEssMOLSJK1QL7hTG 1qLJVWe7EZPOWvh4VEb86Fayb1quH3BoFIeG54k7WUBZjBHNlB7aryqy0sp+ZYVOcYsXOo1JbbG+ 6egDwlEYXu1zUzXlyaxloajxpYxx7MJP+NssItNxA0sWbOuU3VLhPjE98/FIRu1HFKtQs9YpQDl0 i0MWiEGwhZ3GEhFhZ0FWsKIxyKmKWl+hXZw1Bgkj0mJFBBzWIetLctTeRU1lnnJYLAM55ELcnGDl 0C9OWhJUobCFH5HK3kSKnoA5+XjQGKy/RQRuK8du5dgxbtOBBqg/JDub9lgsQNm/RCpY5zZKXQ4s 4yCqX1U5DV9sc2DrOimIi1FWlbByJu8eUyI5di6O92qybxohTkgEajS84qLNmVVYLlgj8fEoUbZU kHETX+OiRHNoG8faaAociqRQAnMd0LY+XFGimKJEDnzj3HClb71ee1+WVF9lJUKuC4g7g/5yhRMO DOj7V7hmFf+mwbycKrl9alwj9yr2vXqTcFjJ+oaR/ukFnkhIKKd2z07oooHLqBAtQojF2qb0WSVH DRl3oUa7fszfpD7/D4sB4v/w6YTeUYIy/OS8ouHeGmy+9zsZpkJWju3juCulyW6wmeZGBdlIDfc2 Jx+PGu4/FxZvcwxebHNCAzkg0msiAnKQNrW8K0jlQpClijPic+ggtwRAoSe2Fkt2OkoG6JKfv/XJ hVWdV3qpNLzN87ppeEwO8+SsvhdnNWX5rAa2uqoC8tLjEZFhfY+ObCo9Eww95Br9NIgvZeti56+8 hCD8fYDAYfdVKK4mZydd0FwMG1l2H0D+SQ61ZR0tANUxK4WghxRsqLbNDwgwBYRGzk3AJxeD6w+9 FSAv8fEgS64/xoMMnpcVn0MXOYGha6/W86rFMp00POYkuv7prQqRxioell6u9YVgw0wXrimYng/M KLLSQHw7JMTVN2cQAZhDHzl6EaP3On3RW7VJDOYUpfLx4C53VZJsvq/XxTOHRnKQZSoUOzNNVFPi aKWW7IiLkXxvQk8NqSns1nyxLPAUE0iZJl+qQcNV9FNPXgXiBC/y+TPhC53kqvD6UqHhwnMzCvrV fKXS5OORmsKVF4assWzJbGuiBDktyt236bAuSwbP77OSQWqxXRZvwgRZevfDMsF7VZ1MdJ89HtIm OddFtniDETayT2p/2my42zcNzg3FP/Osd9FsOFsZSrfgHNrJzWs4wrsKdTUgUdqe0FEOCONzVxVV nOi6CDPa6CnB+Sf0ji3PiS3ltC8zLV8cWZBOtAXBY+ZeBjGAaAhuQ9DXKw81BCwITeWUAw5y9bQo 5IBqQ6xAGpOPB+VDSj8dr/NOjttIDl3l8LrmIQ8f9NuRnVO2EMRT0eWp4q2jE9jKATHC/ppYQuPL Eiodxq9NbMS9qVbCuwx3KGT2r2Y3esv6osHgXJTnyvyYiyJOz8qP4ULMx/+BlhQ7r/z4G+W/mMvx u9RmTCF6D1BzwgG2ve3h93joLmcor/xdLMkBw2ls4o7Bc5DRROGEhkYt6XaSIVmubxq9V2paUEaL Cz8CKh8sVqACwscjlaj9Rauz3E43y4k95qhuQ5sTqtuwo08w6bZO8ki9eif5LyZzfF0a7ywu1rNA llMbB8Pnj1sk9kIeKOy4/uwLV59ytQ9M5swOIpWsGV82xeGOuXwznG3j41HhaZuDJVONzuvO2GQO OhhNc+FNm41qZo3DxN8HgLEecmLRYc0gBEhZ10N4wlRmdM2FM0AOjMiF9fipVSH66JQhWmPlwGku K8EFgKD5Yjd5Wa4qN/h4lB+n73vFsI7Ptt2J8mNmnYvHnV4bmpDj7+mgr57Q/bfdiQQoZO50Kqbh i+1OQvSCkQ1MoM6HCW9LZAYQN0Cvm8gYwoK+aWQVwIpT99W699WJZEWqJRs9i8Bv7qePXBgN4UDs exNKDyOSLg4R/0Orsam3qkIOQN12/rmVHgd+c27qQzigLRaQwUwmrAvilyiDTLYE1ymD81c0itZh OTSck6vPlBMqFzW7Th0hoPXJCfh4UDukH78AjNbPH983J+wiwzCmsh5WYcVBOXpdw3tdGdgv25wQ bqHJQ1Ovq91eF6bnqQMPBMeAVjTGqU0AITBVvsL4adk3DV6rrC4XR3tcGHPOdTFETB3oBAaec2Yl ZeOZLCI0lD1se0JQMghEBS5VhRF5E8qPiEwoNepO8DvFU8yx7ZzmM7Kd61/bOVBb14ZtKBo6exmD iLcS8A6071SjFNpo+oi/idQB9eaLMp22Jyv0WjAXDozngJex90rkVpxb6ITY9oTQZJLyYFDf8Gpt dBIK+8iQMOvyigUYWhdWnCbLY5pOjVwUdjq6XCNRXWufVE9m3oWFFTkQZP4KjrKLfdNI8Lxp5rl9 Ub+r7ZI1+tyTj0fX+fjzMxgmHmZ4mhz6z7EJM2qW8vtXoeL8LbaB/eGoYwVWYECHtIA5oJSax1ep eYLF1oRlgn/7slMG8DRSJ5I5VXymYV/0b2zOIaktLjo8QDyQ9HHuET7+jwpLHfk//cad0IFu8m+Y p8MtloXnBhS3wS3gJGpbE2GTRZwZKS9frIJY589a8eU5NEfPnRMIXIZIXtD+vMJAy75ppBZKNbWR 7sK9OUHB0uTaCh8PLqxflQHcBeMCt0MXOvA+wYlujrfIzatPYwGc1/XiLQIbOkPGwu5n+uIoL2iG byqp1nbOjnAZQC7CETX3e1+1bd8zgukMzjvl6Djc0RFcLmmhll35eCRa95PpQGMrQ+rD9ibKkSul XskIhM5hHsvs1mondKnBOKN7syt2ohOnc0s8dN9mF/quCEGkQ2QEZ4mznRcEaphl3+tK9UNgRHet C7dABduqq55BQdN1NTYfjxBe/yUBiamii5DlwIoOZlHQp+B0/z+csjcYCHRQz7MD/uEnqizwL150 SnHaXWxvTqQHqROZDmxGSFcFsr1C17RQd8XrcnupwhzZFIXL9sUAXn3JjbnNysejk9P9tkKxDX9s 12LIoR9dXVSpMHPq80/OYSzTCJ+908pIb1UsUyFI6Vy+2HDmbMqkrgMkMlub5nqJXYQXffmygdl4 5kdETBqOHwbpnVx0dtrOhkRBLzB2pcvN4QTSVSWXynYnzpIpVdHVTT//VJolghTbQ6YD/WU/OzFj T41S6QW5TPmYpx6GLptcmVOZgg9VEsU2+HWAgxuKKSX7plFAxiuVJCGavhKiMKfaN0sOzenKSj9X OXFbNfcbk0O5NnZzcacWDoV3l6ssRNcm1EOhWFFNsSIHBnXnEE7BLQzOlD0TBNUY/btBRuPJF6cc pHBhse7sV2F10kw2ByZ1RntO9H22RYCCZPLWAM/z8X+cHjYEkSI325zPM89peh2hPTttYF6rnZ1Z yrazs5fBCZ5ZTkvS+WyTfRctjpwEPgxdgnnu3HNO5GXHMQVJS4Szl6/81jPJadDy0MCKRRYX7wWK xdfOFn2eKU6DkgsTwCZnF/x5y+L/ff4Swa6g8i4Nxuw8MY26aJhZYd6h2qGnbWpBzwSnITXGeZkl FV/svJzauSWI0J3vvzpTS8o2EDO5aS9ou2JI7eeuoM9F9WYTLaRfHPdio02huuEkxs9dMePiP0vI d0A10VLipjxTm5YpTVSS2A3kBGwJ855KwkqrDp1xhZlnYoOjMiS9RiTkdC+wsTmu6Mh9ZyHKQvJ/ 4A3DFLv8yGTSn+OZ1zRH9m8WLFrspEwh+eqJ6p9nVtOu1ZiiEuj4C8L5dMaOXh8c5cn6l6oDhIBz Ll6N+QrVfuNaPRMabKwMFOTfPa5/94LmQN1AB5wa6hxGmqOef4fw1XlhfyUyKQX5TGgaLCQIUBoG HsVUFL9Qh1syM5p+8oHPM59hiJjaFbm5QC9gaFeeyQx3BaU3AV6ah2cNY9B4NedLKRXRKDU4KcPe G6KzuNiuTHQq0XOe8OyEiAzBgawjkFcAb2VEB1yZn2cig6BCWGTZaohuA40i6jZuD4TJP880Bg83 f334V6WCfbIX6JnFNKnTwu3dvASqBFUXfVLljwT+hklUPHOYlqSK3GbjJcxFL9AJTP1kQ4BEngpp lKx+DkE4YL8CQWUAE+pGf54ZDGKfpOHFBW5fLvAyr0cYFnye+Uu7ZNcm7gf1z9Fi4LY80xfE58JZ 5tTkG5VlU1wpnG9QMh8WmBKGj7ZFYr8SaFtfe8d6ft+G1BQg41Yn3YLPJd3E5uUo0+dRlV8yeIWo cUhVVlusR1OV15WzU59n3oKgREVP7EplgkwYne3KM2lpWczmXparDTSjUNUkZaACEJRfzM+UBdGW YIipjISLXczn/jnlwMQ7BOOiJeAAhhZAIPyku0Pf8X0BTVmB7tx90VFBGcm/PxrCz2SF361qUxBF MG3xHXk29HBjoYjLhMfRoE+DXaoMDBtAgV+oqPJs5/Gl58UjQaD5FQTaJwSc+zLz9UHLXGqYoBgX g2bWm8UVfc1gU6gU2uitroVh5STpEns4mzI+z15eM7CCx9pCn+FiwfbZyMPJpHvAWt5xQNaiyVMn w4MSUtmFb6JchWODSXcTLToosGkDjGFhW1BEWpd4USS/CJhlhETWjc8mHvad7dvzM+7CXSFnTW2q gYeDqDLqT67S5JVpGVyU2I6m4f+womgt1++bZtwCC2nvjkeZrWqicyc3X+wFSifSMfmcCOOta6oC 1go/88eJYwom+05tvdmgLDHdLJH2y1IN241PBy/R/M3iKlr+0zYmym3ha3nSh61p3EmnaJ+FQdAU KdwUdw18Hm0MXWMnC3gt2hiAjCZEU4HMPzfi7urecZCDo/xtUdFV7vNmSzVnLUx2cbRo2oQKWizE yu/3j/QWDxHZgACqnXknuCgUAMYidZwTblkO9+v1g+NObp1ANcHO7KEbRh08TyvGOnndhrDsRsy9 N3iXqgyFF/L1hxKRLMpxqeqQ5qQk0JwuCXTyuy3HiNIBAI0yl/4TYtiYRg6onYnSXNp7VpdFAsZo WIzJ60olVZcUiPLczjx3Vl1KtV8LUAD1oMaGMhFNXROCwQVRqoEbDcJH0bE3S6q59E0VEqs6EusU coVyRhh2YlQQhRkvFDmCJn8e30Fb8851xd8BZlVU5wJhJjU1l1zeYazwncK9k10pGmMXOCfgYtfS qcfLnuxMwXyCwy/0S85fDI7J6LzoZWoaE/w1yjTyOrXoZcqSkgYbHG2pYGME6LUKemNUCZan9iVK dhkgNnFItFXYWRjHExuGXPo6FMYNbP7OdjHVJlN1irE27+R2wRRydZvcnt9DMlPwncB/VPuXOTb0 LYNrCWECulrNFxv4n9SGuVpq9fNmRiGhlySQUphOfvfy8Btmu+icr8lWJqlcfZqCgLQEKsqy7ecl SnclEjql2zKzuyqseaJMZtl7Yh6Qaka6w5tH6uXy1sKkdcubFoVfTZjGvu5i11IfWdEm78+bFdWu DnFjk7eovLXi6E2KQt0BDQi++1IPqNNwwn0XaRJDvy/bxkQZr5SIZ1WaV8vdmAldGdxAE64zZcju nG7v0CMV/l4KzWI4v5PebAM3/ihbHCOyJZB1/qKfNyMKEcpfJZnzLbK6bWfeiS/wM3SyQfD4DxUW vEA6Vd9g42UAyWCvUpT5Ds4EZicWgotFX7ijL7TGoQWESMZagLUFu8aop80gStiid+aLRgGjC0tj LRZkumjgtUOwJEp9l8zMmzV32UCv/jZFyS//3FsNSg4GmirGc9l3A89UHHhaRL3JUDw0THtFGONi WR606mAzCHZzW9uyX9qOUg7sq+IH30h+wl+2BsqpxRfjQvVtYwFMaaP8V+N3OzQ4qejDW7Muyn8x Ss6D8kT/zdiozRDV6C7ZIPJNhGKcUbehLl/sXtqobXgvIbHaaoB0Em94wmBnaoIBe+l7vm+mTdQD jp4vqiGr65QABPvmQTVzFff8F/LVFD3H50Xpb4ZNdlY1gCqSdwq5GmXbKGmyGuK+vNPfbID7mZip zHSVo042Bssf6o5hps3XvTXO9FHwUiJbLxOY+fyeUcs7s37k2JuLD2iFmD9BYH7eDCj89fP+2Zcq hWhtTNTeha885tVTwkgsqvkqkeJVkMhkUVaxMe/sF/U1C2uq62ixA3Nen5qJC4Y0+bRRHAoHgFUp v+iMXSrbvOlPTGUYfwdVFOpwFYXUs/vz1fZ5s5+4rZ7jqZe1ULPYzsTZ72bjodrcWo50FKqaisnn Ol4Wf9/cJ081YNK0fPHG3TjRtpH7VBa8LGTyQuYt6sn+lQrlUP9NfcInEGx/Yvj0RZNZuMLp1p3r 82Y+MUQ1u7OpuYshKtD72poo+2V1NoY5Q6ESNj9zuiWbzGwzUZs38QmXIq+cSZE0LTo0A6EW4lmY y/bzpxMcAhNqqLTMe2OTTvhmPTVXgp9JLu+ONOlwV5L3ym7l8yY9NQOA+atEViBcGbUtYacX4Q5I DQsy7DOxVSVIOVpVAPOyknxTnppJcaKEHL7YtmQUNMAVT3LsB7s2jfz+BcgG8jJ3Gl76nkEuU8nN 3fLp28mn1bMKNX3qpfZ5E554orvvDFoKi6m3Nuad/QpgSxsng0lno4XhvA9vViVrVr3pToi+Tf1M 5XrTmw8ng0ynQO+Jo4FT/8kWqNGxAFDS9VMXDPue7yBD+lhCH90XtasgAynf2LQ/b7oTfsvf6Es9 0+qzgTfbiTuD1K53cQ9KnZQ03PDXrMpp4JdWlf6+yU5MTllHUoRHi4IM4IoA12emvx3YBi8cMCQp +6dg2vqawcZIVoysFy0C452KTHLEDRvzzn7N3e8WTLRz6L4xUduXVijTDYZPopWbqQGU6vOT3qxg ejOd2JWd6mwmX7Qx85SOp77oQCTOfuLv0iwOCMFBucfbCmeF/WY6NdfBXIsdCC5qPbQ01VYZ2Jh3 8ouJmV9LPGYgy0wPMlHuiwKppGzC3iefaFZJLjWDT5BB+Wrv0jv3zZb7LvrjaLllwdzwyADSbJ2b gzA8iPH+ofYYqkiLvoRGv1lO3qJMkwMaLcnSl+VsivF5k5zYTfsZ3kNYZXob781wwoGh5NFiWzOx e2/aI4OqqowxULPjdf0mODUz4jknhQJdXLwj3hFXJhWP4CLARGag84YWx7hixN2/ZTSoZoSRZE11 yZoK9yAZafSTxrzZTf+b98IDDFewPjBKfOlSLiMVsv8lAQp0yVwig50KES0C9H3f3KbmALNFWowW 25ZzVVTIcAPQerJcSsmenwf5RjhxkUhzbaHexKZmCJ/UmItoUduB+CmWkPpywVuU5rfpC+Jc9wvp zWpq8mw5/z5bbpeAsZMx36qSA4W3uQ/wQ1QDwylwQL5YdBkl4a5BAYnmXZWF7vnN/rCov7b3zO8/ b0YTi6TBsWNdvlhmt5uKgbOvnzeh6TfqSg4hUWVT2xKlvASjmIdCoamNEhhcUJMY3xMj01IC82Yz sdnBbWlkHXOxlJffm/q65xzORITOeT3JoUStS+6t5IcphvBmMzV3DZgkayQKGvNXgq564cTghIvy ebOZ2HPoP9AGHJhhHYc3mak51385tqGqywtJbwGmCssky3jfXKZm/utnS0gy4WIwGCg+wZQefc0J tSZWC7iKAAn+VgLsg795TM3VRQp9V7QYCmY00bVL55f7/8h4M6wdkg0I3iQmSwtOOKym94TUy67m RmwMoA0k3uOSfnOYmKgz5goIMy8QZifIfpzrHsFlnVhTBMEjZ4oqneNy/DuJ7G8KE7vSTV277otu 6ZPAyO31ZBKfN4OJ1c5PfJFV9/I3Kcp4ZeJYqXo16aZgHarK5gHdFPA+6jaK+r3SRJ0UCtBipcCp nXfBcHWeuhRa8sp4MW6Ex/Cul/vGafDnTWAyICASl1V8YeStoybOITEV/7z5S8yXf0JMpbNms/zl zV9i/oJmUTfVjNwlvnf2PhO+RoQDZe/4JkUNX7unifuChjP+opM8bTBQwMaG9dzJwlhcNva1OYWW oYKMJkgLfNOX2DJi6iIzBC5q2ZUmZRpIeX3e7KV2xWLPbvyh2xBqNN+aMOdtRMqbP8u5Q/g6oUlF m0rWj8udP9/UJQL2CIaBFqIt3nHIFdqRlTPaOrcZC6Degtx7A8DUhHqY272ZSwy/TMqWPNaWe6xh 2Fk0b2v8flH9mL+HRj4gxe/rKOnFbp6gS9Fu7HxiM3OhmZkFRzy/NXR/GWiihq8cFSAt6Ys3HHY/ vxNJyBtjbCbHDTr/hDqj8+Bnptj3DOYn5EpW+cXW6xd7KrJKTHg55dbnzVni9/sZ69PnBK1nYe6i tBeCCifDoFrlMCuxQlNU5upMeyHNWwm6C9PeKtAqIfJcjJVTgPUv2Bk4feRhvnxoRuGvMfu9stk/ /7wJS5zMsxtTsyjsWTqf/Vx7u6v7UOfnzVf6nSx5LrMcXvbmK+GYIXb0Vbf1qOCwBQjvCWxsxsBQ d2xTfH/TlRzrdEJv2r7YJBJ+gmCvsxmzGjFrMIuC+yrgCblehAwmIp83W+k2NudQt2qYkxCEDos5 /fTxeZOV8LJ7BanpyTkt0y7tN1cJZxQ9ywSSFKf6mX4LmLgZpQvAu8Teb+JPeUeZVrdms90Xa4Wf fcF4g7nvplXAH/rpkvoDUsMXegfo8ufNVWqmyYQhZPZFzRiA7QViGOvzpiq1ayVmG0P7RJudvIlK uOcrRXO3FQWlJdHUYaAgO91BvTVtTJz9EueQ9Ublix06cXZiogr8N1t0Q3JOw0TkoEhpdzZ0sPgJ wZ1dFV2IOOOijTkVMIXHzvaWz5unhKfbT5eKfoXzBpko+8VQoDS1M4l7YIsTaAfWahgSdJQ/2pmo 39sdBJ58MbBmQoNtUml5QM6sqC7AYIfKVfOWkej5fd4cpVtG9krmKBfe2OhRUdQTBObPm6LEPvrP iaEYJVoI2pio48sGziI4l2P9OpXm1dVNZa/n7Haxb4ISb0RlvqRkzysGe/KYtDo64FRlLCTmIgpV ZNi4/t0AiWXBm5zk23JeAYy1tGgKCf6diG1APIfZb/1thAPLNfy4hGAHfDk1wwR2mDaEnDouBYe1 GVX0TUxi8suyOhOLx8XymHJu7gQ9dth1n8zAwDENZAbwBe7cOtu3fAdeSvCebHl3XzSCTK0ZYrPw 6ehGSj+vEUptqMVqX6LUlxrh5wY0jaKq8rpzou8AefrTI797E5Lu2HoRQabFIu+5Qs/e4Eo6meOu nBjrPQPNsH2jS9eXDJoOSUCH1H1RClONxwz0/efNRSJm6GdbOpGsw+NulPYCRQkIjY1NKqWtsS2T gxpsS6ViE7clhvgyumwySLjYNH9VtO5ap8Pa3sLQDE7zMpK7y50lMLGFSS+hmmJjJFO1J5KuDPMN WRVPB6ndL5YVOB3vZ7Y45UWfd00hqWBPahpxifY0QFI1cEwUWqI+r+1K3s0Xi7kw1iiYNp9rep0L X6JErat1AM+1b0ez6nsGtxHZ1luindtFO9spbqkRCeojn44m1uM35T35Qbf8pYcZbyaD1Ig2YGom NamqIL4QtWK2iejS3xkv8oOkWcn2xUYmBer2yJjBM6ll0uFxDFp+QtFm3yqps0nVQ/baGKLfFF80 sYbkF3+30iueDsgD+6dKwn27HCjfw1Yv3LqANzeG/tiCtJ77lIpx8IanYgM3JsI4yJt7Cvs8Hft8 fjZULlKjl8Z5jSa1aIExo4L22a71FQbhm9Sjbi+TjgTdAF/UaTj3m+ZkJyvC08E1XfNvxguneifg hBkvBn6Vqg9qU9Vto4Fmrxfcb01rp0fdXquR6py+WJsqUZyMQzaghbocbDAhKNASgJKBn5ht3zMa JimjS3fRRQ0sBqlJJw/F0wHGbP2CwtHdByzVtiZksnW2HHgZI8oQcomRdZX40PjT+Zcm/KNHOe8U IFG2TrMObzkAIj7hpI0z04mZFy5maWs6VfMkK80cpkc5L4HdJ0NjlcSFWwOnVpbGeaeCp4Pom35u JdQVqP21MRGZjVYxHSj+8Z9F5HzjFlXzjQBeHr2kxpcphDjoDv5f3smeCW6ogAWBIjuMaaCwBQ96 zvKt51uSvmc0spY2p4wizi7Q87XtJokg4FMLng425rd8ZC15y6Qe5rw0oqYCgFAOht1ERWyEnBOd rRjoIaFtiGLB5I2LDfPnKaAaOmGTBn8qwE8u36ir/gfXsIPvaA/R32lvoiEehDmJGOLCI3M2LLG/ cWJ7x9P/eJvGH1Esbtrbw54vGZaVqEQwId1EDRaM6DPA9pPKzzwyIcaXSf7/EgkmNbx6gi7AhIhB GsbHB2gYngUIYA6loh5wj3q+RAEkfVEt6vl2zDhVWE88HcRf7/kyVMu2xXfmnfgCyrrYtENE2cbP ocp2Bya9U30SjYLGABwlvltw8C0Fmd098T1X60kaUctA5QJuq8plxCdAVLsUrpH0NYONySaqM33h xrSThTGAntR94emosC6/DAvgMLe/SxHGl7n8YNIElMOQ/fKJMq2OZkCqiVSd4TdCOajlsGqyhV8A qe9OQLQTlpjXSfdkADWTPMIodO3dOwQcfEKEpeJ8YEiaYnTvxdS5usbWbePpf6DvmLkAkuh4+R5m v/hl9+qGMNuzimlRt8LvKawLuV4MMlHD1yrqXO5i9xICS5Ev2DlHWwLJbU1VVn/mV3icGtD4hIh6 whHk4rvExa7s0WUJN1LD09GR+a8mFfgKyePviPJfKLKdHCaZ5uS5AYuzckyA/FTOwzQnR9TxncxS 1tAoctxR5ICIZVnsOTSwE6j4OmF7A1Z+/RpBTDLyR5T+SsakiK5ULl0pQclc56AVPB1xZ38A8xCw 2d33JUp/qSXZqLf2HylPNvM5IAka1L+2XQ9lhA1f7ouUrLRYdY12wiT1pJyst8l+paHILhhcgXHi xjy0Fh4hw01+YFMyDtNdi87bW4p0o9vA00HDd80vZIi9keyQoRFifHEbJzYp/iM8qFqcdS82NgfR DtbxHVHHN1s/ho5EK7OcH6iYGhpIkDKc9LRpRVgqHJwCRsR3eHJ2c+ADAlgiT8yuJC1x0YW9U1X+ umvG01FDZn0v7EKJUuvHjCj5hdI17gSz9Zw9ZZdxMIlt44DyTYqSX0OBqNG9Ok9MJ9saFpPkoGe4 mVEc92zchLsE0g6H3p3Xij/+r/MBMXO46Lig0mCIGLPh6YiZ/0OIBMQ6Q3NO2xKlvmBtQkIxG7WY 55nCOdXkN3/M40aU+k5h5aem+vM71YcuAa1HTnApUColh4DEEwLydvvCWKu+Z/AiNWKpRBWti8wz VknnvyVTH4bHePz/o4MnqfJpleQIc1+8JQXNgEKQGXMRpniL9WPniKDLJ3dEua9MDaZsk7nYUGlD xKUx9pZTFJj+uirOTaMAT/GgQMLvGVSSalYRCatFnJyTRie55J4Ub0QI37HaT4w5Z2s7jnWECF8g +tNOIm8V6fygW4UuB/8dqPCu5hAhfCmeBMhQ8cUupZ5hFsE6EuV7EqwTBQD6neBDrB+byhHKOWBo BYro8sX4OEUqyBCywdNRGvMzOUF/BkpW2pao40tcWacWOOQ2u9xfYeie0nQ5h27zgRElvoZ4rprO qvOQEWFOGrPP7mAIeTZmFvNNYwt0Q1LowhKpYIFPCNIYp1tvX1ReA5XPW+WcTTwdcQh+h5CyVrCN CRNfqPvkmawVnum6hhhTOcMiuYK/NmNMmPgykz3VffLF+TizSHhhAnrTtkoBiJBjxvlD02efGB8Q 5L30cT/nY/oi4FA6yYY0EFfF09E8f/0AE8/ttp1CMMK8F9CCsm14dH6HaqS/zr4cJm0JkAS9R3/v +v5fCkEFYiYNjk7qAs5BynibJur1z/pSrjF94Sf8dQY5NCwYycVrd+2aWude8XTUDf99k4BkKR5h Ztj2XZzJDtlSnrAi6XDeSlWIqo3BExsyM9Ysk2AMiWtcHLKJJGOxH474kqxyGIuNTcpbWw8PhwAf EDU3JQU976KNAT13WQKMp4ONaeOLlEemgB6z9iVKexH5kFktnhOU0Yl9u0pYDYUdKGNOLuQMu75i Blj2O919+2RhLa8FPXVwK3ZTXtw5lIf9Zv16n6Fjwk+I2uFmXLV90bgN13VRPTDwdASnyv+Lwas2 QZlR2st+Q257OpwqGTeJxkNqVCHRY0EwQ2qbBkpLMXhdyYtzB53sMFO67PxNq7xySWhBoXCOzaVC knM9w64vZy5DB4eLACAnadYcsk8+HcVea8fwrWMd61ogM8p76ZBe+lxWQVK7FcEXNtCm65BcC2SG TV/tCNXTtBjQATUYIUJwyzspmGCbJxL/ga5O+5rf6k0KYQ5EP7dMTk5TvKXj9urqxpwsEk8HY+v5 m92xieiX0owSX0x9AHPoYlw3ytUhxExiYyXq0Ew7fIY936YBQb6LtalgvApWEeCsC75nVDDjBAxT 3E7LKtN1y/qekXwBr6NEWU0u3JkOrQ7GGEyWZpT3tt+mQ5GAls3cZoxzQBOGwsXohm8K15N0gsFh Ic6hEGCV+FMC0omOCsAYttirdIqnkymBdFLOXVLJaITXAyVxOW+9xRIOKD4hiL5M4zebW1rU2lwS AsJfcuDpKMH7naA0cK+s6TAjqANYMHk5rzgvjvmk62AecFBHN+fJGfV8rU/VCKbi4mCqeRJF1F8Q j8l4l/5Q9xmGs+eZnr5SgBxGzijzTSTWL0LUtSj6Ziil4WU6WQGe/hf8TvcSA5ZvTUhtoyZ2oa7O pOj2MnC4xC/gAMfJHLcm1DKzCYox0uc9Mxt93k2Ft/PPYIogykCIF/LGSD2sG0ONxBn1fElhT7Xp Smk2B66AZzF5zWN3PB1hhtpPm6rCgti3JUI7oJMJKE81xh9mYKyUphTwIEu7qhFyZpj5cqK0CHLU ohMDNYqTyk36fuQ6aObdBgVV0IxB3e6DJbapZpT6Nk4JGnFMWgR/Xve+zg1PR9oFfmJYrCBdqE4n mCG1DSnCHtXEu9ItItEO4tnpVWRIiN+Fsg5TDSpqyKx8LQP3OkV6HaQTzGI9W2D7gLOdiUfGZpHK ZEJRM9rhnaL0LqoiMftp6shsPB2keH19geHoegB2yH1ZUerLztEQfbbRJd2qyCodJrD1czEoyIoQ D46TEhO9Xib6yQ5BEiVABklYk9GrIV9RMPfL+aNc7wpbvonSVAT4pQvwO4F8yNII8g54OtJIcTIB 1sy7otiRWWHTF9dPJvyU9RJremJ7l/Wqzo2xrY5cYfbLnubsEh3tV3QUjXSUzmj6pgKau3l0QsAF /U04ZRhPlJZ4K5Y1Y3xZYhav4VLGvVE8G0IyFU//A1XFMAMgyDZdhxUlvxCBBzVHslSQNGkGZqUX BkduGzQ9hJkVYh5UYEt7fyrvapR4VsuXVK49QfxjWcCoBJOfn1zmnPeGTwiaviREQprTF2E2IffG N+T8Eng6yn7txhYMnCc8Wdt3hSw3RGB2HJDCwJpACV+TvxbCzERgZzKzovSXSp0Pbs7aGcZRS1pM MBRlMoPJfCbaCIJvdjWx+bD+0fldYrmt4QPsc4MwhNacN56O4swPye1sMnw1tS9R+kuLLevSYRiZ kkx0KuVp+VY10GAYflfIcSMwfDalvy1fmAzwQxi2McmDByePzKReOq3C9g0z1JRcEeTBmlVdkId+ ew8nrSGl4ySl+bPitu/y5iYAUX/AR9S+RMlvwiQ9OZrKofMAPKyajJbDO4H7Ess6SLSr3MXKJZj/ wP/7zwnwEwI4MiRF8QohplZuKsMmGT4holxzbi1PqpGbqxeAFUn63+gDT0cq2L8TNwDStwffKPlF L+28c6MbrqpfsU2Sj/5De2pHgqww+aVvonhW7fKsqJp48hhgSibEh5NUbTtku8j342zJAGel6HtG GBlqPVPuNhVW8qwK0PCnJEFKDU//g3St4Hsu7GIF9go5bgScsWXP1JfCDBzRTpJywIsE8koRJgT6 SjHRRFr7l3SdmMDAmeHcSecbaUywhahBNvN1vmM/fEXJr3oyg4hKLZrRyiIL6UnfePr9Jq0vqir/ YRyEqqB2Jsp+Ob48lX27sg7dZB0Ei54mYKt3Ke77spDMCjUu9z7AtVn46exvno2hJhmU5EwKad8T QylnfECksY+jwpadLXyVBuDUDL19VTz9L+Hns/ClLNbFW1HqC0TEed1N5fgkwVvNmfNVs+LOOa7D COkr1vNlD2pY0n+7eA2qNJUum2z7yo0TzKI/IOn8mfXWkZDA4Pf8GylHt166t945OT1rNnSOJJ6O TowPCrZ3qzBB49bsKPvFNAoi3sVSvDWHd6vM7Xfk5Fuz/y7pO4X3nfVHVx5wJLARTr0KFRnWmx3n Hy1btgF8a4BUxScEBRP3pNAVQYvOzGyyxqBy7Q7tKnr6XkuFN1y2l2m/k9/zDEVIx5TTMVQU5d3R 9igaxI1eXdRsx9oOvKmLaDnlS8uZG3LyKCVrwYkUeHMRpdKShvq6mGh3hU8IujImDaiKMiXricME oxuMYeLp4GJyvihHyBT4yy7wsEPI7+a3NC1WhytuuFbUaeh5ipFxa8L0t4iY06ovVjKhop70xD47 Az6KUPZw8Dk/bFwknoRrd5T8kgud6W9mi01RikBcwOLg6ciM4JdIu/5sL7B3yHDD583ZvVuVuhFz AFd2wMPyAxMCHtiFmhId4mJR5uTe5zdqEvTdq/E/6NSWQ10G1c1rIL71PQMOiqjXa9/FOChIRfG7 9bTxdNDgLD6+TtbHW8mDTAj3neT+DcvxavIcr888HPPQjFSwY4abJvpqcCZvcK7zP9DWojz2Oext 6cZu+DXRuBvX5Bg9T35ApPDAMpIzCS2aR567e5qNb8XT0Vx//8QYNHaqDyR3lPxC2vZU1S0ZZ4n5 mVIZj76ws7EjEyIeVGDP1n2xFwkSvhudjZPQLOB9eYEBpoUf/xXaJCkeP/9vph4tmzWOv0gn/ZQ2 NtDseDoS7rIqkrcSerFIMrUvEeABd0+RyuV/OGZyB6Vzm2z1qsbwRGaHkmbLGg/FF0tkauuAfxAk c16ATDJ/p4ogoHEoJiA+zZ0Z+p5Bf5ODyE2EvhZDbm75h59XduHpqFhyYw9W5eOrIbNjfQcom7c+ TN+BLVvqO5DCJ8hDMw2ZHUIexLLgfFmLzWlPAJ9EEwG5mSet8zBEYYds/pG8A45MId8DnxAhN5n0 7rl90auESYE64nXg6ehS+sl9JRHhgr47yn3pOyCePPk52plGI0SbR65UjJ+z49xXVYGmb/07j5wg ohIJDn09OGiyIS6WMCBKly66p75mEGMk/syOuxaNCmB8ylNwXlQ8HVEtPMXDO8XbGvWzdibU86WN OtW2ZJ9ZmkdfU8eGdpcHmQj1ME20S3q+2/V8F5SGWkl0akMHWIO30bamaJhBf10b7HtGKR7/0KMW Xww/tJsRjPvC0/84My479JWZTyHqoVB3iL87oWcQXCPqASJ+jXiQ6SKkOYXZb5OSugHAXZIJ9sUF 2hSIv6g0aPMFg0jan0ES/yoEbl7Z+IjAQKhKZzPJPhNXgRQeTrlM/Pv5I08+H2Ghf8AyJEwk7+Tl FDq2obIbyLwruYBSywYsoW+zxa4srehQkMIUWJV21snJVwk6V0imoJMGMiCKS/WsRKCASPkF0ENB Rd804nZx8sYN1iJEnqbMuKta4+NRefCT6zVsFZQFbHdCZwtSSOsqrvTQjVhbesmu9DBMtCqnEPir BkTWJC4bQOEkigiV8OViH6JsQr1PJYXOM0bUbdyOHjVi+BHR7vDw0FdNi/BnmcJJqBCavmGQ2DjQ avKpRKCBbU7UBWaDDWNaA0F4ySQRD8Hoe3Jz0RS7uEkyhU4OXLx7tc+RgOckZ9qlV9ElOQcg8PMG HY0O8BFRv7ORlLu6L8qGT7IklaUTi/h4BLbK36uKFj6kM2l3onwYcREibU36VSd8SuIAxkAcNJ3c bF7x+RQ1g213tiqGnT0kj3JumQ6OC3CLg78ISgWccqAl0UCzFhaUwvURwQBhEibSpR3Yr3ZgLbRv OT8C8vMpREOU/HtfgSde8TVsf8KeMDZ1bQ634XMhBfrG3nOWOHSG0gKBwDmFTWEFnv9TMKyzO5mq m9AnrSrKGlJl8MoWk2PvZPE2p+Ji0ItkD6vJGrFZr6ync39Upca58fHIUcehengD4DfwpTXlFGbH eOpUOcNhEdthEbtng0VwwqeXK0qPpwilJqky7rUFAM1oSL5BOWhJOMZepH+2QO27LJUx7Zv+TUoQ gj6+aHsgmsQ//vnGfDyiCV6ZL/wKgDhdi6pQBoLGDq1mizxibCNecn7Bhta8tjoplECjOBzkj32x szNPHthE1AYKZYuPTIYoFTa3U/uhTGnfNFIrZUtrUlClkFDJ/gSyqc1tKo2P/wMXTGwacXTuNiqg 0juPQP2NbEC7s70vDHY1S89Rs9sl4sdEUHKNuU1BL1/hg3Nvn/qwNUr1N+wWsUZ4PQAGxLXqPpKy YU2h9i+pTSf5KL6oe3NqEmv4tcnHgzfrF+sp9ad7o4dt4kJS+dzW1gKUl1lOkjQl/ODpqKHNifrE ZrJJhXQtVl3hO2yMKiGn0ho35FRXuP0AlGG7Ty0/qrvwI4IWDlPlRdMSLWoUr723hlHMBkPvi/ZT XxUm9t5Dz6H5G0uesVY2ZYi61LU4v0YTGAu+8FZg5cD97dwoJjCutGf2SwED5GgDxoIpVc/bIUds xgySjhzyKTOZwP4NTNP0ynfgw6LRyfkRfDya1d1mMYqO+lNJhAZwQEYVNP+s+gQ5QNWn9DszkBKl +uZEUAlpFa3KiLuqOZ3PBJPrRWIvksFTK061euArWXl7ODlZLmd/MYATIKAvX1RjpVGlVnTSBT4e JMq5fRNlKincwXeOLeAy4/Bs1uSicQKbXMWmvnBW9Vww9IAzrJr056ezboC/z9Cj6qyyzj5VgtkG gbHwYEYkcHyjcsHQBE7zl8a4h2VaRMaIp6uxM/h4NMv8Bd6fPwgyGNucsGmcKN221BAtdK1L5hco QHVfkCO3zYkS5WnK2sIF7IsLwIRhnfKpyhe7VgmucA7TER/WFzA8sn3TiNhODRra7WgRtOYU3mQr 1pOR8fHoLl+/m8OK+e5OKI2GQhfRAMNc9KCSVLXhoZANNGF8Mf2Yv0pTWgtjfnXH+ymnOog9JyMA c45T8s7JJ0wFEeyuhH+2bxrBA3jHEOSULsjp/KysiQxcT/l4NNT81R7vwK3d9yrSB8aEBfhv73jJ Zh5Im83JQybS5sacKEt2FJJ0O3u9Y03oJtdOdcpzxVcaa51fCKQ8VP3NryywNpZ907+KDe4yfNHR SZaUI17y8X94YiTbHCChbHNCyhznmnupb1wKiSUYUUEohSMqtK+rn5wYO6zqXPHW7eAx84W0K8mE J0Ueu9NthdbCOYGDP69+nBTBcugKRw2kdN6e6Yt6F1PaS5Bn33w8Csk/hiFAiaOp4rsTJcnoS5z0 lNp5GFb0amzugf4kdU2L5K94akNnjGyag80XL7AW5tfq7AwQZFhBUE014aYkC5UvBRSO9E2jo8PS YbfsizpYEJCUZVPS4xGEuHyTZHZrV79RJ0yS8fvMbDa3+cSLZTAKDqlVTKzuZyfuJXN3Zr2LdgdE xfMegT93EjEMI1hqqHkAy4GvooaMFkNzOIns7cTbnIuNfpd0CCEMwMcj2k/7OTo0ZL6OgqE7HBoH eTvvJ+9u6FC4rWkC0QsprrqwYns4TX015lx3zHl+pZU6rDsnIEWZ1f3JFNQBwv/6AollAhz6wxUN H+SgNzzTBOdSrtpwD+DjQQVRfm5ztkzL9QEOHeIGXBiKpF4HA5DB8ruE1GAmjLmwjk5oEbf067Z2 F5vodSQxGEuA/IZEWBCcnCRz+uWL4abUJ0SzTkSdYrAK9WmApzj1yZK6II5O4BGHAtCHnby6m2me 2O6EGmoQiGg8z7TLkII7RCSAXKroCp7PNdXgHBrFDYHZ/nd3zhUMzm7i7qRTmCeWEDxMGVIiwKG7 BjfHVzl0iqNuNwCmzRd+RILQAAd7uUw+HnQF648+OXKite/mhDoSYFFAbIcxGdzZJhmfNNljP5kg 4OMWkwOzOCQifGGIOmsXdXYSzDJAh5BA+SlCZalcgR0vELGRWefibK8m+6ZR04thZ1PEkos254RW HodaR+Pj/4D88VrZNNi6L1aYJ6NsnL2b9eKURjnUJKZzvcdwKHoODOMuHGdqFjHvFAsO2ADQo1eQ ax9SbmmAYBWk6P2rWUPlL35ENC2nZlihK/tk/0ItwSpZy16yvmHE7Sh/fmaftG7xGyu2jIOETiW1 iQALzctBB0QvRgYanQYaXT8mYjab+l7xRbvTT46fCWc4t+KJ/ZthZ0BfafAY9TsWpjhWjm3jKM9C lVZbnPfSRRw8fxQ+HsGufzAWvM7RoLbNCV2TaYJb5zYgV71YyDlVbGFM5zdW6By3dHSqkp36TXag 65mkNgdXAUb186/ouwFk/rxGGh6UwzxZE1C62GlRspNwIpknLz0eHR1PBbFLdKi8Y6zQPY4aXviF TJdbIyvO6itxBIBDJC+xAvs499Vbha10Ltb0AqW0DgLTIcFnLEX0RjEph0Kc5Gs2MaM5dI9jmzrt tu+iXCevKUmuvAYfD9Jkv801Hgac9utdH6XJnA11DTvB/u7e8oJghqMt2Gjv+jF/Bbp16Wrwx+m+ muCSQq+UiWARHmPQspEZcfXNGdm/aLA5gnQxk9QiqPHJdrNG56ny8X9Caun4Om4aGDrIISCe41iL FZ9w5LOGl1nhns0iF6brx0QjLA0fDJByZT97RVcTE5lZiH6Vgec+P5HAwPTFuiX7ngGogFtCuwZb hF3qFHTjeG/y8UjC0XD7ZKqg9bMduJ9DE7nU6C3t8hsFd5cBLrZkf0Yi+lUBJ06RLRpvX2xuvqBF XemOMBrb7Aw4hdD983tQ99Mmw92+aXBupFJIAJQWnRtw7Zjiwig4h0Zyw2V0uTnYKpwk7U5oJTc5 gkj0xCXieBt3qpuuJcyeoCLIPCf2klOrq+sA9Xor88FeY9vUXqYgHwsIxETklvVKQw2BCkI3OUNj UxpaiyYQJ8VkL+L8m8nHo9le+eaAJQEu7C2d0E+OnmSDltAYfNKwloqFlZ1hBJxKtjhPTmAohwKW d0+TJlbzynNXCKsM1Inw70nk8yKDBncfM+J1BUrgPaQv+ld59yHAxTDART0vR2pWEegL/gMrudUa AyXGx56hrRx01s8+dneZTiZ837KUkaA4Ubb3kUNfOUXjsc1jcLhPDb1pqH5wEuSFDiOnwkyGAFqY 6faR4QilbxqpmavfNe/Cj4DdjYRtRhp8PNgdT5Bx2VaF9vtahYgLDGFL98mealwC+akBjdoq8Y+s 3QkTZCL5B9G4WrQ7Jx5DKY4BGfUtMKv0qjmfijpoX4G+KUP7wF4OLZlBzJwk8JtVD6faGd1Rto2P R6+VJzmEFECKbt/NifNjzLmk5bjNYkM+jW5pOTCLsiQncJhLZv70f26r1QAUqIsjCMisFem9AxiY oe6y15czRFusHLjMZWW4qac2fbGbvC5X1hh8PIKj/AhzQO4oI1e33YkSZI4DV5d3MvAEgknixWRy M071Ml3bMYdOc32bEeP2xWFwGZlC4u7M839wgtPTJiGyopnvCmN4cfRN/3JfQcpz+GJwi1L4xziF RObjUSN5fPMcEGkvBi60miP4YVFBGezwtWx4VQWYINaiu7pjDrzmYImpu1xOAfU6BaTdob4md2Vo mIhT1Za0E/EfX80o2obl0Gwuy6K8s+7kYhOI0s0da24+HhQP7sPHfBE3wm1ZBG5zYhKUSq1gVlb5 drsIRmK3a3035x/5cVGiU26ic47MJg4Zic65rrJeK84daY6Xv6L4adk3DV4rWggXCitq4cE5r2ht Rh8qfDxKA39QXiRC4w9luxNqUXSlgexnYQKa9TKBU5ckmVoTFZIYkcMUWbolVSom9frXNHRHMxJo WAagz04zPtw2BVqLZXiTPXMexI/4G+cX2ARflOmMrKnuCWiVjwdpoNtMdHa78FcCrsS2J8qSG+gK G759DW8W1VKhddNov9yhetOgb1K1PTHaQgJI8nWf35hcC0T7yOOkmieRPBUYJFplTTJ/BUXZxb5p xFcUrJCIlHV71edAsh8F07PJx4PrfPwaz6Emqu6ImkPnOaa3BMcKbTFNmLleOPuJoMk7OoH1HNj4 JsU2fLH6CqYH7GxRRiqbkCigP5xXkMup0jMN+6J/KyEW+vK2GMtKOeT5wmvx8X8UWBLj+oP+gG1N 2EXu5QrjF3blhSxoReUV5p6LpJmuHxP1c2wu031xqD+2GInNpFxKIYtkkGWMcVKtd7TXSaXPgfuc TeVTYyNFi1gQC/cgQ3IrfDyioLVvlozwDqEZ25yQmpc4yiHcttE01tTHxrX7KSV5syswoHMO8Eqq INK3gjiVed9DWqGjcAZD5SC8rSCk3NuqbfueETnPSs7qi+rykaUuC5lGPh6B337m5Q0rvpPtTShN gfqSXiRsdSHl0Uy4UpoTEWfRfJHXVehBB80Ngm+aL3Zw8gk3jVNPWDnOmUULOJsHIcyy72Wl8iGw oEvSAzs1JllFXITu6r2L4jzG5uMRuit7+YAjhlTp+1JFkOTOERLRzERalIEYzOSs2cyz4eJVDhi7 0JlXicbmNV1d4gTf2EUqRK+VirCZozhImjbKrnhZbi9VnCEPgvwFgUtuN0xIL3PA87l8PGJC/MzL M6YKmEbb7oQ8PTqaD8ZgilS4QXWdRYPQ84sXRwYGVnTOYBw6OeOenHOj1Ak9BprcZLh2Uv6c2pgY dpUvGXhQMT+HdnQs6dMYxJaOsZ1CjsqY8h0bncDYj+6/WDRmSOC7E+XIYO0DaC5+NMStzT+2y1l3 anDmZyfKkY17Zah27ykMytdhPkPu1blVqO3eqCJOA9kKXodhmFKybxqcHRIEShPktpn9EkThikCl zJFDW7qyfh0FwB4iWNa2J5Rqw+gRcmpUfinmUQ3Fb3CyXK/C5P1y4EwHrqjRO4cvd2J+yrNOqcwG YTVR0/h+sOjsV12V9yM/4m9Ez1OGVF/UYy9G9Dxv8ObjUY/9ipwgCuAfreP1eaY5XRCEgsGAzctb taOjJjZl7KgejhfrmeR0UKbxPm1qPWox1ORJIPcG5Blyn2g0SmN/UaAafARASstXe+uZ43TXJKtT w6uZ3fr91OdstbdzRX6eGU4HLZzZMYfzHHAh4kz8i/OniLalUyuzb5UOrQgeCbl+TDhQWBHxqNIh 2BZB2BcrJS06MCPXAlOgyllnpZIKtWEa7A4KjQVtWwyk/dyW8wEUOOmyuun12nOgGhsqG05e/NyW 2x7tijRIiOHNgU15pjbnic7KhtBBGi20YQKiiXRNDsihzccw80xscFZYQ25Rg7norJwAvM81dd6X c1+fBIdOhG3BPXFxMP8VyaQzxzOv6RilsDVBEUktdlQolEaudPs8sxps6Pajgi2AQgU087Enz5Sm m0Ct3k5pDlACHWPxbVOrczSdZ/VMaDpqDdr0SYeMi0WW8yqda41mAhMCU2qlQ9o2d17YX4FM6kA+ E5p+WxNbcHU24+iedf5wlezDvnr+PPOZfmXItCtgYnV/fZ7JTEcbHdcQEyeOw4WRJLytddMboMYj LVKj14fwrbXmXSwFRgtrb9hMYoAtEH4DvP8P7W2gqeQcBwTpzzORQVQhFrsqn6mOT+q99MLREuCE n2cag4c5GEFbGl+fgtvJduWZxHT0oJgOEo8+afhejftRu4TsBlXdeVaeKQzeH7ZAt8ic28mcE4Z6 aN0USAtAaaCa1gB2D/lsu8rEJSV9y/euLMqZdDHOujPO4NNg6hSl788zfcFRabYrPBmVDUbblWfy gvBc2BCYSnxLprcU3ptCjUamdo2q39CED3ZlEv65F+Xq9ipuK5agV1fQDJloZtVlvkDSFh6cY/ow ilL50QuEUQ9E5O9ioyijbQFU9XlmLf1Od/X+VPbtkfFiU54pC0It/gh98FqurAsM5JcZMbk9mJTw qDwTFhwVOSX0eRfblAHFDrilnhfobAPlzGDxcuokEBq+uS4EQz7PZAV7Tu3iVSmLuRRHcBWPUiVz j17wM1Xx7+YHZUHR1o7Js5mH6wo3ct7GuUPTIbu8QBk27+ZcAMfk2crr5q7ZttzL99e9HPIcBf/7 vDyZM11OdIn2Ei4T5ouWwxVKXYWbwrenaHxQbHyAudgSAuT8Bp9nH+883JddP0MFOPAjdic/m3g4 W+xxcrQgN5tmbjaT4GOy7YZTEZ8tvO4FNRi9vuigAKA5Klq3wDom12orQqAXgbKMiMii8dnAw77T PrfJeq5d67nzr6mAAMAaHv7H9UP0eds2jWP6FqW1ixfQNnMWTLslxKa03NLa5Y3xKK/tnBZoHKPF 9gWulr1QMATPFQq/dJimkCP048AxhZF9J7YYF7GWHqLPDxNO7ZSkkQ9L49PBSzR/L+Y6pIIptHuw MbzzBhS4Eo0/V1UOVysNazGSa9cs9k2TwsbISm6TssDFIgvcxc/NA4bdBKhVZlkkWuL8r29/iuTu z5snhYDLKcqmKY0WgfIbZWeS5MbeNCnmtvWb2+K1LiD5aWei7BasgZL78ul2K8PkBJxy1ycpGALU BDsjGbFF6zstnvPD2H4a0wW/vZETIScjAcfrCyUKWZTg6mI+dcjy5V5FTKYRAz5vihR+y749baHO HyRsLMS8KVLYTWBazvkYzh9rLpIkS1TGmO4tzSjJpV36CbqkLOx8xYA2tAsXxtCnSDzHcGwBShCM imtqGXyPemNvglS30XlCw88XNaZOJawxwUnTPm9+VL9g/M7imaUscnvtzDvPTXJtgViJwgx4KGpo rkWfH1gqrDq8oRntDCGcm9xNLXYrnUt6EXwMtAj4u7q2MDRFuwJdF71LTSOCMMhQB5PJixa9SwXI E3YV2OoN9kWwumWCJzvRz8FfpijV5ZHeAj5gBp4oEcqoSHIzg382w6w3M4p1UVVBlH2xjYFNcZFx ACJYl3c11C4gCVr7lzQ29C2DaykR6EdbeC2a9Z9ITHgjDME/b1YU0vn0UyxiEgGJQtuXd7Jr8NtN TROSN0irltOE5XqdLQAdmCjbtfArife17oEBhmLNRejVhodmv3w7DhIx51dfgcXO502Jwq9WZbu8 ky+6ljAcECMz78+bEdWvn0I3WguvgGwbEyW8QPUVyIQbL574UmKERQOCXsluHmOijFcg2C1Tm+0C csAYncJqgzw0wfZpWwNvGnaD5CvsvdSZxW1+J73Q4yAhYQshvB0hjJG2WYn1+XmzoRgv/MLmAcFt AoF9bc0788WVwjkSlS4RiHHJy7elDTUyUcRbgfQmQ3Vz/D6/OAUBuNi7tE5+VwbfpVPLlGUDyyKQ EKY01xuq2/d8b42cfjoV+LXYlQ3VMd42kCqJct/123rJ9POo/jZFyS/EGM+/n+KknvKUCJoKxkYx 3AzKJJlDvZlQ3WGem6R1LXYx4SXaSK1npRK3vGLJeplolX/1+zj1/ryJUNwZKvQiRtiiknqNXgx+ vz5vHhRrz/kTZ4B+L57MvGlQeIHZlipGSD0XZxcCtp581YDTHaUCX6c3C4qvk67s2XzxM7NwZtjA ZOk+zMUEAFg6L5crFbCXvuf7atr0/VyDr9MaF3APlWSGCuBf3yQoXvjjm+ZBunpYt+5NgMJeoqNb WJapijQhYki/W/zddMXjvrzTX0nsI/CW4YshQkDphrgN9qXPU1BLQgHvHApeBDV7lzZS/c+b/sSC qbJ+7NMXTWeBCGQqczb782Y/8Wb6OTGkIRTfmCj7BSkXOZ481SgvrVdpVLLlFjkaQw3vN/eJ1YUC r4XhiybHFYLZPZq7k/NraadWehoDQHMbmYOKNm/q0y2YembvgYviL3WfsTEnTfq8mU8+R7j93fPL UuyHGxMnv504e6NnZCZAKJMqhNIz+w6wAWD0fdOeeO9zY5repDZv17uf/BBYcpQF9ZTbdNdaZMPQ WKm3ay/Mgf6b9YRPEC9jU7yPi5LfJTLkH1jCfN6kJ0ao9t2YgXK2bkt+35ynLn38TH9OmpgPKPHQ xJw7I7x99tHRm/LUXfBxl7x8sd43sswBbDoLSdM9XNCeWWcz572vSSR88534F2e1RNCkFmYyEFAX hGO38nnTnXBp7t/zgiwze03wZjvhwEAVoIjtVMkYWoaO4QBYB6Zb7/tNdmLo7Sogky/W/G5nmyH5 yAMzUpYniRwLgUhAVub+wkvfM8hkMnO8JFPUZE2ffo768mqpfd5Up36RnMrxMgDty3oyb6ITzhjY M2WZYCocTE1BYozkrao8bIb/5jl5Z/QEUuKluBgpA8blE95GJ5TB26ZIaAPJNDo366cqYFvzTXTq xjODWcv/Y+y7kh5pciTfeZayttBi73+whbsDSfZMompsH6Km908yv2AICBc1BhWrYFnM+9ZCyc87 z4l/Zf8uGZByKiqjmpks+AVCxRY7myTgEULLgmmkPG/BHmSJj1nBO8tpulQcoLg9Bp0xC9rmXV1Y QPVZc4COEvA6Ngn3J126es1sYsjnXrXEIFR9kYgAStv3885xitQnKr74CSoON01MWvRFAW4Pd+hG mLVcCKAvFyS2eL34xLyHvvbe9AO4i5EtB00MqFITSueYGLtMfM+hUA5LURTJohDOBPud48TSwRIR 98YgmBlMDfibL0zMe+QLpYzzE9+h6QUCkSYmi3w7DanlJUYt0a0kqd8HFe3kbuyl98jXCw9TlEAN 2ksHgtv7UurcVuNgaRBGSPcPW5XIIf30JSb6nd7EFSNhBEo83pDGJ3R7eei6Pu/sJiY+P1U86BDs iHvfuU1TMO8mLUk6mNNQHjtpUZlHQufVDYbfqU3P4XtpcKzB674Vaq+IOjcgp4v6v3Y6w/vY0uv1 aBCzSfN5JzbNUK0GHDQGTsuC6z3Xy7Qg5p3XxKi3fkt41XYvKl2alhTOADjyiMOkDepbIYi5lMLC 0Wvnm9tlvbOaIlGC4eMzOMwDvaWGzATisncTSm33FA4XaAORQvMYQr1TmjArh+dK6c8QtfAlBZ+p l0t2UZk/XQIYjkYx5p3PhMWCDV0e5m2RDSq0BfpQHDwHlR3Yu08BDYTaXTno3nDQtddZFsvS/3DD iqTSfUswEBgVtMfsfl5/yyxFYnWKEg8alCKVcmQUa/P6eacy8dT9SZEguYbivqYli3jpPHXI7QDy eZ8ieC+QuJ3w3qHKEPfQe8Tre8hOM+whDT4taHvPxo6sLZrZmDse8Kt5/5CY76LDlEF45zFN56IX ZaflEsVLUMPp9TC2s5XZPu88Jsxr+bmouWBWzEwW8SJbbcLKshJzl2eP8zoPd/Gq0ILJyr1EFcMg 7Mbg1xE83uH3Te3GPYhbsvtqy3bjaxbAKvg7gWmGr1onxlGD+gMdsiuM6yZfLol44zLiehkwu/f6 1Dt7yQ/51nvbAWtwxyz8OdJvtIUTvep38lIE6vbXqnS35qO8V5AUQYgUNAKLc1X7rMAPUZtzPez+ SQb7O3cJ6/oodfwODga/Qx5gFlp+3qlLXC6/qQBVxk7MTBbxsijMcBO1KEDmPanuA3ke9CyhROm3 UVbtXepWF9WnylOfwr+xPJQ7Ik8nO4e2OVCHu/0hvQEazm/I6lM8G+hYpIEn74C3L5eMff7nnbgU 8XIEdsB/1fqcMVnEG7buJfANxQ2hVH8VvuE6FOadt/S9p4v61lxtfzZB8rDeQPVli2XLZEH0pc7w mD4KSqvJB3znLbGW3V5IXdBQlsSCLfz+eactRWAYUwPgQD3P1GQx76Cv+y1+yNAQg/njGH7IzPOd mrTcW3j8MujWECHMtmNrg3fSLfFaU2Dfg7MUjf9B6WVJ9DC2e+cszRAwWuQ4atA5g0Up/6vB9/tn /khZH3uJ5gnkO2FpSkbdYhg33wOiN9huxGvz+MVtyWzgna+E9xZKtSu46y0KDvCaaxv4Kzsoj/2f krGkdSdQzsBDx5ohBOSdroRfkKfDEF1pBF0JcrNHDTNLtz7vbCW+3/w5aGjf231m3slKvLLBw+Fv KdUrJ/KPCbcgysmhjQOFJ35Ksp2IA6L+lA9RirkWUW/WHNCIVCthou7Z8M17Plc27T8+71ylGcKw h3LEGM4fN1HYRCRAV3B/3qlK/91XUiwDnThNTAp3QKC7ylOjAgO500FhBFCmTxoZTn5KsmSIGsMx GoOfwJuh9CID8NGippVxo4Yrms2Oj0FE8nknKj0t2rNrj0FlqltotA7W2fq885SY+f/cTSihwERO E5PGvhDGAe1MPX3VRNxZeDihAqEJ99I7S4l9QgFX24zBV0y38+eCkIHMGuQDsk2g4UnuH5xFA3e3 /T0z4B0Kd5ugAA0qxmy795UUrPN5Zykx+v0v5Cp0XjxVeucoMcbDISNvblBNAayVgXnpyw8ZEgo4 MX+Nfu26J9iBg2fWc69esZ6o6DmvmCisuEI/jjQE3dlMej7vHKXpNJoyrjNHi2dLZW6xaGx62+ed ohS9ukCa8d6HN4xmJq334vgdPPv+I3R8dbCDUHkHmPjjvet3gtIMCo5bKnMIrgAySvjxbHQhbxeC BhPJSUKo52kkIubPOz0JaSTjX7vZbgy8sc8pl8Vl0CI/7+yk6eIIsWIOvd2m39jv5CTeSyDtUzKQ GHC1ZQHP3tNbBBVhqyYmDYCVR0727Dl4WgBhvw6wBJBDiFUVDl70g3CAhu8R04J3XlLUHCoFqXxQ D3LIpBRF0vN5pyVFny7SAiCBHmD8OymJtIv7QEAIdZge4p0ZdfBK5REevX+HOlwmwRq8cWI3o52O ZdGNz2anKgaEezigLOebLQ29ZYYZYvYoCBYHZdfwsWPwehufzlqzP6W7XqdkozQvWehLCePeThBE 55Y6iOAIwsYzBkB8905GinmxLcOWKwc/efek6Rx4xafYZa2+Cip9EFsY39PFXzIpOnhpirpEHBTC TIpGI1ea5/POQyJk6OfYJe4L3VVNSxb24pxtg6pFyq09I7Dc77pJFrl+mpYU4NuUMyuE+Zo3Wo6H vKbTvNGSYtosjwOrgVoR3D20WcISRxr0FsJ72dDXoMqd3dluxXM6nk5Cu/m7XC7CcZ+VNOSdlJgm qYQV3+P1zCL3PftV9TKclazOy5KaHdNjxOBnLhAkBWhVu6ahkiX3bhdpsPer5VvR7HrP5Da6RFJR YUGDkoHTlFvbz8mnk351FKnUX4FRxPIK+ExDXvxNW8kRqlTF4c6WWlOCp6Iqcx2uOd9DXg8QcPOM GLxKVYBix4WByiaaDOzLDqSB4Ju0+6RJk1WqmVPXVAK/NwY1rPc4OiJsVeLphDpw/dwVStruwmgm zbTWC7ib25aTnV8FNrP8g2GwLZk7qwvDzQzisNQzmYILTYcL7colOHBOQh2uoDhLiBltijBd5ysJ wq00s3Ivk3I7W6R5cbz50MEelm+T/Y54Ormn2/1ODPAmM67pmYa8TB/HkHMaDt7mXbbq9rlQOXaG 9czKvX4hVRFO6oxqDMDPsIPENW3JTLsUG0QF2D5mUvHuWTFYZviGrBojlLwsIs5jEbEdAQnZVDyd UNi2d/JpnoFkuY2AxcyUxQZ6dZUwO/2Ep+sCdygRUvqWsTfRHzMLevd2aO+MwWsOZ/RzJwtVcO+R k9oagMtDpWhSL0+S0gxiZhb0YmVUJkgxcGrQWZB9sq1IPJ2x+87P1HTcKJ4mzYzIhiQDkbgl0Mwf SZVBA7LBXF7+B6ArD26mNObl6QHQTwxRCz8Q2bk4fyeSJqlOky2xIdFeH0thAsXwDUmjjWoOR7BE nvAUSbYgppGLg8AcTyf30vaOEn4xtpF3hDEzDXoR0LK37TCH+yje1u1w+RvOEDODOfDesCuCYskc PLNuEAQ5tPu0VGBviu9Mykxi+eDmDOwdjSHme9xb2FuHDDCp9By4ZM6BcjqTHyzpLO7lMfjLsEB/ RxOTFn0J1yRK/z8Em3mHDcaKmA7Ed3U6XHPmce944RHYNIBACK6WpYqIC8QZnciWGt1Rx+POvSgF PLOib2etAWCkGLRQ1tYlZdnWxtPJ+bt+OG2NYoRRv5vvka8FlXDy7Kg5NM6Memvo4VeKXQBLAFb0 4AGcRb73imEhvdtHbwgw04VCEtsn48TNREFC4I/bV9V/Fb1mNjHk5IiBcgJHMS0lmOpD2qmKp//B gORtNsNQjhOTIXwlkH66ROvrmkVeGTAud50LwE/8+M1gDp4S0OkSsQx/RJByoGkBFUr687SmVOnQ IHYM+oo+5TscOPiGLJYhzuHwlOGgmsOYTX/bHBdP/wN8x5AOHh3RQJl5+IvbU0AhADJq2D+U7ozi 2am3xUMmq/gyjR+HapAaPIe0y206W+mg50Zp5LVJIkWJ5qs5Pqu/Z5JFsqd05ZV2H6+0iXtJyXUZ eDpbMn78sn4GWT37z3rQZrMAGJhJ2ECuQMw7SgbWGNsR8yOgrCuVbihEC7GCp8FBQxWXMcXN4K8C uV4iF8l5A9TncYGg/S2+IGugsE7F2q0Gxb8wEJW952h4OqPOxvmrLu34cyOTXFkADB3eNjpl7zrV LI+bHOCwkHZDPU5xW2nNV6vlUNRN+oM8ZtYhgWCxgWLhHpGo8MfgSl04QB9XHnoKr5ziRoa1SPkc lGO3IZ15FJLwdAZNbN8KHtG6lu/7zKQgX8xMYUxPqjV7rsiUCjWOKeCAHJDbaWVFXyHrLuGfGKjl iELV3bC/45IplgfPorsd7TvEBD/9E9suC1+QIBPVjjzfQUQCuPOoJtMrns66BPOnVAV50gCCrCz8 pe2UhSLH0fJnOZpqFS9VjS1nPWylLPwlwGMWsrRsIApskm690WQ4FBdCxu05Z8HEI/AI9J3tK358 1iIghPUQS8XBcSDbtenta/B0csb0n74+dUMgvKppyYJfuDxBLcd5fw2S2TphSg0nTzZGOS1Z8MtS M4ibPQanV8wJ4hlAZmjBOPR5Eym9oOEFXGIgWbveMzti2GhjFGsDUjfKN2wLFYcgiq3i8WzB/MR4 1LpBOU1Tk0W/2H2ydG9sRba13L+JjRX6N5EfwjMm1XJQkHcldHHLQ4lECowqIPrXtml5jIeBN765 PuAhmiviG5JcUn7l4l+vkB8cKPV0qaFYkLcykC+VLx8wiP1yNwpWKwX5QqsFlVQ1CSqtSuhmQK9D YKoWrcGo5pCBfBmbAjU0Y/BMcqJmTChrO/bnXCVW4P9BZhyEiPPjULlyOQeWq4hc0OCEnCu5fsvL Np7OApn/in2hvezVqpUVfQemYRVHUoEV4yq2lb0+4h3G9mxpZaHvVu9EmG+n0FaslwuSP0rKaOrv q2xpy4jbtjmu9VgvmDF8QxbI0Fmm12cQRqa0TpySfcfF01lXqf5mSwAPxRmThr4oprEKoD7kJf8a bVleLOhDHvW1ccb8NfS1+51cQw5RlNlQtqmQisGNpKY/6Fx/Dufl4elTKgBfkES+gy5oxAVq0LzY rcF0xyKEjqeT/Lr/AlmBFp1eeFhp5HsENZMsIhqS6iThWLjMmixCWw4dWmnhV5Gv/Jo4BHSo3wva AM5eqJdJLIb/HQoj58u5Zk6Ob8jakCyI02tXg9LrUVXRAzkXTycTE5zrRy4GOk+cmZ0WfinKgUJR J8R3Ef/d3OELOTfqNV0lmZ1LlvEsPQKDnEeybG6ou0DuxVbMRCtfHFvortMm/FvFQ0iIL8jKmyzF 7PMMqohbBE2tzWEviaeTiYkgpqiK9wdVZs1LFvbC0BuWCpMhHXQKZVrVK+8X7ilgOEiG3Fnd9whs tgQ2C4w/ciV4sDb6LVoq3KqkQAaxopCh+jqfgYPBb/hrQXwpV1qRK0GUeXhCsPD0P8RARNgi0iZo BDuVdhj8T+/0WlW/Ie0ghiRqVQhMmBHslNw2BE1UFW89VbyCvsFE58ry62lhzaByGTMeODzuRyRl knW908IvAUN7KgSZK7hKtudVbZqbT2cz8wMCYUt/hBrIzgJfylg2tq4kVjtd2cGOyOsRHg5ITUxe 9x2RG/rgYcwF5xp3ADTF7SAg1uwAow//7EeQihpRfMu/QR0m7nQfhBXalx1TS0ZPw9MZi+D3tuar xq20s8gXChdtUFCXKSTbz0oDSP+jrsNyxcidUtu6etKzxuC3EiQ0D4IBJkrjyu6LLQWYHk4aVrms W9V7/kXAAK3AZ+DM2AalFy0pKHg6abv94qkaVSuP30s7Lfsi62PwiFkAxlLGpfa+RN5BwIBiSZyZ DOswFclqQXDwrYQ2HgTtiZm3U5ea4Rt1ejKJ648KIAh2+Ibk+J1sEUzJAE4Xj5v9FvWy7EMXns4i vN/jd1Cz2Scmgzvw9a4giQCBXK/c2euWgDsAocoIb2dlX7+Pmk7h9ghU2WW/OzcqJLuA0ZLsMxq2 SIpn+SoBsh+5s9CXhVs7dwV4WA/goTdJhwMvi6f/LxA8yiRHPWan7DaXXx2BD+878OFh/1bhn6WZ ScXMWIi5rY0Y/JDpBULzFDa+gFoRS3pgpYRKH0IPr8ZQIXFnVd8mtOYU8GEGJ2fjhmK/aN2Jp5N5 +YWzQon+BCdnZ4AHKL63ztxDpD9KFmhlKmeCJXycvVnk6xf1vs+gabG5mJYbdtBnG/wHJEBPcQYU Y9Djj9YSy1Q7C31JJseueQYV8EpXObzVOvB0hpAZP2UqzLGtX5+ZlNzGDB4K8lwwIDE4L2dNAc2A Wioqh+9U1kHgcPrLaIhb6U6wxQdFNSlsRqAZysdtV64Yb0YqkElFzQh1r4VBMwclkRY4u0TVvnj6 H1gQlalgOO5hzMkiX2II1J1BErnXFIG29zuEFrcMtjj++WSQh9XVue4zBj97O7goi65md69GjXGL X3TSoL44H9YfxXpPCnkQ1qHpiGlxxKDLw5MePp94OqG37afoAH1pvGxISJ605ItYF8mYV6rkUYWI l/g/yjqw1YEVc1LMA9MkS416DH5fI+u9wwVH7eghTnqTqrnwH9/zEEXphnfSki+bkYPgRw1KCuDY LZP62/H0P2BVTCNv/4JBTirZC5B67/36IUOO3X+K02Z5yDRA93nInAzzILmGy2I8tHPYWybxD60l AMVRCy+yYoTfFVtu578CGVvuA9+QlHxJtl5N8UwrYUsKPy1uh9b5V2Y0i98KXvvVYj0py23TgYDl KSg8bNfXGeTsIrixwJtWyFgyKc53qrOvW6k+t9K2aZqs1YF1BWljKVSheNuZtNTnXmLl4eRlX6ZL fT+DKnhlK4+04/Ti6eyU8eOXz12cbh7hnVS7F6+6CNVGIAPfHxY0e1vDd5Ut2OYTk5LcxOOSYxAH B+KhF8nTG3sJaaWsORHBEliFxpKfMpSUPBnkQZvoLJnCBNTPbn6bZNXwSv2cvOj7sCzqH/oCxumb Rb4F9WeKciiJrMVbs0OgPPBy6CXJeUl1HQQ8/N/AcJjgTAie2RkJWyGJGoOl0HCLj/YEMouR78kA D4dp0m61x6AmLf0fMC92iX5ODng4XygIjnzLU3xissgXyk3Ntv5wXNVuzv+bMsMDabxGbfOkka8a baRua/Br6d6F/JopAZoWQ7XwQ9kJlJj3AzhDLQHf8Dcy+hjkoAwkgRJuG26ZOC0jw9MZ6/o38oXY UPPs+qQkNygpgAmBvUKvmeX+U4W2SpfCXZ4rnRTpq/SxqY3S5oOAtiUPFgR1E4f97XI4c6NW9twe zztWw08W+kpdfx+tmFOjRXuvVg+YLXj6fSed+qwY+0Ia+4YUyEk5bvgpNrvNruswXNehreO6b8S/ cS+lVd/jff0Sg8Naa6UPJu5rOFCR8gd7QULf7Zd7VgyjWXxBoq/vbndnxcCttGDkKHjH6Xg6613P gCjaBUmETKyYLPI9kBArFJSirAPBJ5R1IFgJccxgW5XzkkW+dE2GdP4z+IqBd/gAcGvDHqpLMX2S mjAJQnuSSHZA8Q1/6Z9AvbfE4OytUvSb77bwdLJiSvni8FipghoWZ+ZmsS9KAIDK9uhFHu9Fgi0S larpM3NzQV9d1/MZPL3eLLJRPGZ0WLjJQhH/OYUjQKTxmWn+nlm2RENfXdc9rutpx6qua+rW3tSq ot9v7NtI7al+X9/32BcegCjenK5coA21rDfBf1KatwO/OJ/g5toO2kRStLjPKYMiIrTMaGZpRzE1 JMaC0l5DWbV/1cIvmRY3x/tK0qy2GHRfw7ep60zdeDq5l8pva4mCnXHK3Dz4RfYoxwFwamGZKvA8 hawhQjprdU3JmwJ+lVp7DLxKCO6jDbTvEf0agtCBrrePtbtiPTg8qdbeLPSlewc8IlsMHsecrSpc szTy/p8Ybvadt8R6SQlu2PWnFpcGhLqLzhj4W/1xx4ogWtwU7CDOtQiAHLxDa0kzbCsGu/riAtOq FZJidv6N/kBaF+sONwt8KS5t6eN8Bqeg9KKumYU0ePof4qzXK3gniuE3xfpShYk+pmSgkOmCAG/1 YKDYDDlh6eb8NgGG+ojBiTkNQGiEpmgsXVs8zAjY9ELJbj3mxgS84Av+qu9wbo9BrcgNd/Y/xM13 PJ3JpMQ+4i+LhinNEDkzuaTZIqTVYXgWMe1oEywXgG4qkWPJpGgHKaRMlThn/fZPoPQBtU9LtC1v uJo65EpAs35VNilQhM9Pwhh23KYssWfYVw3EWFf0iXLw9P9Bt4ten/25lFI1307Y/I4DZpG9hEVe r/63yetJ85IqmtH+53YxtXp9iuEIGAZIuGjSLjCY/rjVH0UBkMFCnZAzs/SeGfeP+qOQDfVBKcHC pzHU6wdPJ6lSyDDxukbwEAdvru6Aej0DSao7nOG1cAhBh7pDeGfetOIrva4+VgyaF0h1oTzFgAWm rvXbPgETWuIOWDGN9p74hiS+I7H0NInwq67BpklTEwuyGng6K1TdKG2i30qYY+yktOgLCLiLOwDH Q2QTxR2q2wVZUEtv7MlPyQJfFcG1oUJBBuziBSIXaDjNjvnt1jksojGJfGAgNCfCF2TaDg6aXzGo SQBeN+tU5fDppOjwvaur7uoSIsc3i3zHJoyKvgTEO7hgrSU2Loy97GB0aZ2b4R0Ut90jTclTAu+w 7VS3GZkUkWGizTCnq7TdkMN/DRuG3jPTdiACpEpSvQZyyAIsymVA5/Xg6Uz/+UfbAcWCHb3rWnK8 A/QkqYUmc78deAc78P8MIkGgfyH555KGvvLTGgI3j/Z1U7qwUDmFHm1dm2FMqF80whPHIw9IZXp+ ReYcRMT8kD8k7YWZYa/umgkWGGw+n3Wwz3M3sUqMDOhx+kj5blgNC7XwTtxDG1LB6I3m7TTOJJpG 85MHwEeRb4nBT2HbPnANAbZqNpsudvcJF4C8EpD5j15Vuf6mGbHLqYA9BpXybPbJlUIIyceT5CAa /I2zg+ZD8JcoKJ90v/HnnhU6D7SFpXHm5F6jzkPzq5vUgaRcpnBGHlvnoRuj/9bmYQV4Al4ly1Xo NtpeBrXjKecxQ+FX/K3LD7+mGMTtsoyKiwfSLnw8wSrWH94bajxPfaaWrAYMSKIFYPitCaHf3RfM VM8WEPpTW0xOat/mff5WY/BOk/0fUFElJdAucHGxN/UeC7X7n262+gb4ir/h8gZNvTToQA45S7sF Bx/PysDRnsQOYy+wfk+eLCAGYsFuwuPyVYPHJUrkG5ZAAL7arhth4lCyUrB83JA6xuARMWyMicUB x/bIAgwFLFadWXCOAhZEOfQVSfuAPPV5Rcu+nitMlOAJYbT1WPn4v/jH+H8AMnQgD3x6sqi44ttu KWJk2/pePaAQxY3LKgSxiQGuJa0IX+kCzhZD1CQgR1B4MMNbfhSp7iCasf8ZNZynjMXbHF+RgSFI m5yqY82oY5V2C4uXvdfBx9+nhxi4qEpQymXdZ/Gk8g9gox+ms5R/KDfkH9gElChGq7G3suDYjd2G 9tZ49palU1gwRIpUXDAyg4YgREMNqtNaVASVtf1N/6q90zwYdMG8WepssquwH4KPZxXzX7cLO71v QPVqSTUgGjmC/fjB00/1qifIK6pm3fkcPGlpWAVQ9wpf5dtkYcEQ8UVHeUX+kgvd88oq6A1evwBw /IokdaA+RqdNFIbyRxRk21vE9NoiGnz8HzozzKrYE4r2fy1pkIy64yguIt6GUGnwSWRwRsOLXZ+l k2lB9CLBPKVX5T6egKDAHQCk4JKIGjfzqoVDoKNJjLPH/SNlv1pS4V9SDubQuTOiRrGBmmM8ZxkW H082Vo/iDc4YQmjPE+/kKmi4W7f79LaiZOJAtbQJSzInl7RmJy8S+1VeYnAALJL6hU7utnvGshI1 u1mMBJuGxT4V/HA16k2zCg5xAIfsUA46lav86uz0YjiY+l6M8bOx6FfeYu2kxm80UN+tq5JlR8Qq LgtxZhhfrBkuXonzm4WykkJbgjSuB9K4LViwI1mWBYh7ZPfVWdREufE8x44oCDWxfgPH4YgsuGNQ fWtNOVc1+wg+npHZ50+Bi2zFuLFS8zdYl4CQvDz7pAkFaf6H+tGQhoBbtU9OhpMg6tDOx95i4NKx wH7DJlQ3VrEXlKLRQIqMms5TQ19yOPuH+dv09KyXoGdYLFhdFa/z8SRQjo4UCxaF+hDPwkkDZfDe LL11CElfXrMYSyqvwADeFYdO6v8mT5CL2pEPXrSwE8U2EWDkfcBzh/1dejWh2funfzPQqVgwNYCr KqRT7tOGrj4mTMIn9cInqhY1cYD7H41M6BncEjZniQOct3jr6codGv4Sz6zq1ik95ZKoyUkDZR3F MjW4YWpgZ06707JEVrq65X1XgTIEUuCoIiqCQ4VX9TfNdhVxn9y4GhytZgeISjqt8vFsV9XfyWEy 88xOboQh+vYgr91W9xWUxP4WFgQXnYQd+lkTG7hHmHKImTBqNBps3gEMIPbTjp6lss+iKCGCQGRf j4B/9TfNZCIY45DMq8Eha031dQBu+HjS0TwjOppowtw/lhnH5LxHyYJzgS1Qo+K1hcK3DUG4ViVs YsSZk0bJQvN1yXz1Gv0GS4EtpUVkAQgSsEjUFqHUEZAQI64sEDaOv+nfwFmb0AwNjkHqS5VO+wQ+ /g9F0xGzMx/Xs9QPbi9KInTpJLfGEj716tFcA5FjUf7Tl04KnuiOKikxeDdmz70XZa9GJ0xQfU3S uwBp3o98nATBamoJ56o0hElqiByCyRla95ePJ2dy8+tK6vKTYVY4n6WucLBotrucfEEez911abjH OkXBkMK4W2nmjOHCplOV5Dme23xUEoyX2r4gvAm/himAiCfaKLzN5XDBr8gWD8sW9Y4YvGLapGZk H6PH/yGnwcBoICU8TyiYOMNVlWk329h2GCO0D3uMO5onFGij+OrJy8k6eOTaNEJR41giswod2zfY M8396RuSANxZ56uoIZ/F1BpuNVK51YfgoB4n1Lx5Ie9T+fg/ZJTlyEVD5vPsrRxGTOXe8NC+BIhg 8ZR13DF6lCcDze3hhgtWjhj8Rq92+FRm4h1K80Vmi1cXO8/wB0gsE+DUH07Y8yPTLw4OmHUSHSAs fDxJI8Kh8ymbtvD7qqlDHA7/1qg6gOJOp50DUVunC1phdw54U1w7qUXcCYu4FUNgcCxPAUgRKSi8 o6XGwiY5O4APXQxSPvqGJD0/qrQqEd2MXsCKsidYQUX1lI9nCmpBi+IZTDTzY7mY+sQhvbTE06mF bTDKooYE2ucdlUHQ1730lRrFCYx1m4Sx2o7azoSx4ASWEG0Jm0OpcBMKsBD44UtchZstrJo6xdGZ Hk7jLQZ+RYEQAePC2jYfTyqDoaMmTeX+54RaTc294tAOLiK6A6JNTpAyUNlQAldxnU5XE7M4LB0y NRr9fjn4qWwJmoU7183iYPSK3wzmE82ODDfrPOzv9eJv+hfMif1VJANx0ORcvwS6hfl8PAuVHxBB hXM7C1oxO3/FV+zjPtIWoZUQkxi1uZgEGqI6dhLHuEcn93/4Idi9dxp4wXDDGfVC8ohAUfghNHRX 51e0BlpKetOsYc7Cl7RPD5GputIX5W6QqesNM2qHLx1iIYj0rY9lZ+oahxMH0orOxew0gyeM9nqC bn8LjWqmPiaTtNSB03sMznvBuWCzc+BFY6eaIOkHR6TU1ebTG6Y8Vs2d46SPJVTBCDuaboFHFxTW Lko+/k+tz6oLHb1pn5yspDzowrhcyad1IutY+SKfD1iuRpcprZ0caeGGnT2G4EpByBLYPmBp7dJl UL3Z0yTWfT9eGnEqp8Fy47GDBMAHxTuWM0kn2ZYoH8/Wzk+LmA6VPdKs1D8Ox3arIkexjjFOSEtQ mVsihTVurFRZbVFbAkgcHzwJtfgJcmqFKve2o7o87i+sRfcfSMRJvYbaDfyCDFXAFPS2FoOCHfs1 eQHY7l18PDMa+aWSEU9bniM5C5RRt4CRkNjMlc6jLHvVU28gLsazq/KCsqrtDu4qjxO5/ccXykRw Il8ATHPFUGqbUV+PyVkEAdbUQw79R1Q5debcQLuVfmgB8geixXz8H5haPAU7svUEOqmwMG6rxv9/ 6aESdYyi1/b/bZ79FL0SEzmEsEpAJYgawE7bJgNYRrG/D1SCdOBA0R2dLASAAXcr/p7JXUWUxpIf 4wo/Rsh5zOotvs3HMw3HhxyE5de4aH1qsggZ4FKQvlvQv/sKxFuJNsQ+K46bNEJ2ewRREeuTfaIv 1e/kcbMs5pbFjxBRDD+p++nN4XjTZNnIjJECHxocoo47kIfxWHw8K+uU73HDY7GFWFZNveQ8N5/D JTgoOUvuFPwum+QKh3Onam4mdz346zFEWeceWBCqDbGID0b+gCQLsU5/hKGWcAWpnZwKF+KUlIdT Mg4Y98QKWBLHx7MIOeTnOBH7Z1OlhnJE+63VBdsHL3OybmHX1HGhEtJitHISR7n6/44L/PxPKyw0 zbGpaKR81hV79aIzy9jgPPIkEIrWiyaNc9GdZbV3w2rP8r7i9bxR9YIZXPIXqo5f8jGArbmvHMrs 4CA4U0jASchNQELE5SYCkV1TY7kQtZHx7b6PfECDmthl63NZMHxdga6waADFsS+YqV5/00zOXIkn qfUc+BUwgmfldFj+z8czfaiIcRCmdeJ+bo/pSZl3hPLL7XRyX7kP7B6OxFhVu47TkwbIpGkeBYIc HNB00Y+pZFLZjSVtb8tqKyHgdi4++nx7xotmPFbXFesxsOSFUk4gbQcf/0fbnOGxLdnHvrLmDnNQ +B2zOl9zyCeMFSH8GYJcUNmw6GP+XtGRvsLo4XFk/5q2tdihsRe3YJIeR8ytcVtBtCA4Q/TFqonN nNqQ0JyoMwZtLEy4b4zFx/9BTJxqfZLmFhdWYjXn8gO25UXatDl1+qb95tS9WXSGiXpg6jXnffP/ hdG2aM9+XJDuAelvQuqAKgtECmIc3L4SGKNmCr8iow4xNKLPWfVuMKVS6yWqv19ULRK3uZ9iMuX6 LOctkVilZnMLRbLb/OKGEad6D3ZQlgBcwEHMl04GuBjimVVPIVbMzbGliQYYrysIeuu6okM9+bfj KxlF47Ca2s2JPXRlLnEfcwkUL6R2akEBH/8HDRr/LTYD+i8+Oan0cCekYE1PrOiqJOnhqv8NpOMZ k/MvwzlSgjj4XQ7dqIPmr6ulCqrNBBvpFlsqHgaW42+aKfaJOcR6DgcuHKiqyOVqoRaYOM5BUPsH ysTeMskPmp20jswyeyNHU/j+6URFSGp1pJ2dBCydyGmIrBIpkWAaAuBfcPSwgTVhviFnNcZXkFps hPhfybANf9OM9Ev4rUhE6yERWfA7xIZu6AwnrnNFnucPg54lC9jU+PSkhWSgawsytiEVYkj3o4VV oMs9oUI8oR3cNT25IMURTOcZohg4ZSwMZV3bSpNeN5M+DiTSkPorPMpt/qZZmLxZYB8jBtW7QEBX M/xuPp7c5+sn9eSH9PBErbn33KVePLlVNOK4nnoKpkgjDlwmyq8S87nH1/1Rlf3Jr8ai8OuGj/sh sccO6oW6O8r6+0k9y/IXzSaHstUUtdSgMNlCFk6UHWuHjyc76/wwrSxunc+pk7rPbcYcx7sNEKlZ nnoSBUm8BamwmpoMmqxC6R3zxOBHsp0wljfQpntPC/LZ5rJQiH9gA6zvKwp0/E0zAKVU4NuMQUwI CNfx1LCDiI8n19UjCyTbWNSp4jJPLehQnAX6NsTHZIQEeVmRGdnce0AFiQfdY64AtJsPvnAgo97a oGSSZUJbZzdK6xT2ms91Na6/ZwYpYAWQiDMNSswPldRB0Ludj2fq3uunO3PoJxEpROJCBwYN1Slo a1kpkFnUFx5UVcGRc0Z3zaSa29CJ6DoFvZ0P9NaiwIvTE8ps2ABLrHvcMOiXtfvcVkogEhc6VB0A 8i9FUs0lFOFhd6gi6VqXj2cQrxohMtYYLsoHWZr40EGWmJr1TuG03NM+ZP4Hy11u75u1ZBdOqrkR nd/lEkktJ3bVHrBF4tz0doubvMNtAZKmg8IrkZj7rkpj5ClsFyWbOQjiZYGBmsJjdz6erZz2vcsr Elk0SXx2Uq6eBHhb+HKUYDGOud3YEdYXsatSvMUUXWQ/g4fIc962KIfZy4EVDS0vWVvE9dG+fOBV 400TKg1xTJsRiwatndOqg1FQCkwt6c6vU1+lvcd5zpw0SEbcReMJSks1mrMyDiwOMrV7uZ1YO1mQ 7JD2oX01vpB2i33nArAJJM9GO0M0g8lxR+JJ2xIBmUrxN03WDkOcUaSbX0I3n9DS+QTJqTMdW8rf 2WlUYn3u8lyqDSUvZQkICbHWGQguCOZTsaJd+sgWfUyCvOVxcifhFBx0JPd+Lc47TD8tvNjcTra2 kCgz7ZzfrjCNZGtiUIf+j4AWPJRPjUP5QLlNEMFz+XgGEXwCQbwj/uk1r89LnLP+XxHYorU5lVUB MCXQjszJJWNHrQ5srJcoxz4EtGnUPiuV8zQIOglf6FlwpmHNWA5LmhY9CCk0w4r4dvAkYsCXIIdv WeQmUCg7y8ED5L0kim7H/eclxOHbXTl0rD+eKlCRHY0ZBlbJvAAuYEEa5pJobam1QX+g4oXZKl8E oeDASeaFkhV2X48SQ6wYO9QnmJa2WCzdl6fAZVgAiT8gHn1eHKv9Oi+uxQmb6hGDck6LNabcqlEj fZ2Xp5KDc+oPG3l/DsBTmJWX4IaPoDoF3y+3Wih0VUJZtNAYTFYLxYvHL6GNVsviaqEVqgZfLR10 YMgbbsr/91ZVPwWiC5oHX5VMmnO8RDZ8SecFAyzkgxaLRX3Tfd/H5yWu0Yyqpr4UD1uCCzAZ5+Ql qNEOQsngUDxbygPX1U0WWS+YnVuPO5a8hDT8kCXUMYVcNfhKWWhhIyiHcRTm4jzogskr+6uQWfWW 75NSFcuMrgHMCMJMChrv/JdNzuclotHfSLF/nxUQspCxclZewhntH4R6bTHAQ0Dcp0TiEWkOZ77e 4q27l2BGP4Y8JSjIoiGaDHBogMwN+ENnsLQxziaE1H4OtH6D6zD0lsm5wr7dUMGYg8oSIJpsVW/O 5yWQ0cM9JoXhBgP22EAvcQyfGag89tslB2R/w9MKZ4OD4kmUkaUnUjItLFLOctVmuI+PAGwnCp0R LdSzXLMrJ6dbAkLa8WgTt0Jc5EsM44cfdetkYrjCxNCOlarGsl0T9/MSwWityJNjfdXPV/ct9BK/ 6ITuzCjXcgcX2Yw1Kg4ccc72vU52fYleNCuT5wmzAg2O37dbwv7ixcXSbKlctmU6+Ru4mr6m3ax+ voQu2kGViiYb4A0NOlbgFcOEadT6eQlc/Ez62UBdSHG/gV6iFp21g/ATV0iyuNMdSyzGWCLVC2zM pfISs+isRT5hh+yaMWipnA7fxc408shpgZNioYvNw5+fcBeB9uclXtGc816+S7n5egicd4ut2FAP folW/N265gRL6kDU9mpGXgp62jyQdGrNDW0sCZ4lZAaaa9Xdzhtp4kPeT1pwnu0vpfWpBgc+2jG7 AYaGPMdGWskEiVUyYTOh/+xhHH78z0s17xsNAT1SYuChskBEH5qU9Xmp5fFhB2ctkcwbIwKUOqmE kcwLUNmIb13IpJ1xvPW0l07d1UiMospAslLQ0IatNDAQGnylWJiD/Y463t5wZxCjnLgxxCrrEYIn BfLzUsXTxLNCtYiz1aDEyKKh6aYteDi7gOrPBSS0RfEILgttF41+CPjjWVtvZEW9dQ9tN/XxCz8l mReZ6A5d0ONLCwLuvAECDMnUU+ZWcRwccehd/7hwbAFl34NbHOfED8vtigMnxlIJWbs3W0KfN8aU VpsQEjpu0V5YcQe9EaZ8C1ALs+jqgRdGY0Gm97McqA9qbuDPs4lRrEIIrAa/haCR0hqhfAvMaGJp D39+8IjOt0ZFzezPG2FK67o3KdTNGHi6QFlgP6pjb3wpD29/IjlQe9qACwdn5j2+LVQJRVkq1DB5 9VFXYD9CJhSsEaommZnLQ7cgqfLBsVgWg54xqCJl8cVaUmU4pEpSeaE93lDikmUhLtw4UZnqNwYP ce+SHVibQIBmgcs634O3oyQyR8xMFuX2I8nd+SfEkry9vdZ8uL0ruL1ZmDt1+F6nQI04fO2gAhYQ zi0Wctld7bAklLlaaGs5hI+6Y29MKYWMQz2UNWNQcQo/ILGxtnY+b0Qpz4Y9VSR8gQR6RJmamvdQ V9pYdifTbRn/Qk+em+gW/uugu72fqmY2NTjhJq2NffBFY7ELhMYGNfL7IgDPLi76nDUQT/rSZhr+ ltkpo4LU6DFoM42h4jJcyz5vHCkPfvZ3MxHLB9ic5iWLdUmqka4yCGRyjPgPtZO7VJpR1Qihhfdg t0YO0Bhnl3YeS5sLmad7MC/DQmYRFejEhgZ3n1/2mL/l+7xcHrsNts0+qOMPIXKqCNiZ/3mjRykk oT4EFgz+W/QjQOz2iUnDXey/O1Z1Csdk25YiAle0jtnK09PO4l1WeuHofmLQxCz7J8yWgU+zbyqi yd+h1r196onawp7+mu+HjPw3rhx1OeheOn1JK9uigc8bNUorZuw4ZFyRDT+NJiYLeSGh2UZxz2Xw VpfzfbrDzRdYmn7IZDHvFO7K4/x9n9ZJA74I2CcY/CAGvn9CtBgqF0LgS6RZLOf3sPfR9a77GRwl QpU3UCzn/ryxovR+J3IBzgMWA3SRNTXvsS/uFMwiyUwCmM8V3i1sJi0mjsc3Uxb8UkXODl5tpvts JgvY52hlyaHEjgpBAVRXQsqKhNoNogQveg9+0RxgRYpQAQ06ZtaYvLNhs/R5o0Tpryy/YZ7EiDwp eCNEeZ6EPjZxU1SXZXkJfWxL9VTnnRPVDzpEvfGhfNEwQyr7GfxmahYDHLhaolRnJ7ADHplTA+32 FfKjafPnjQ7lM0NAOHXdNKjhVqt8XPpFozYLgIVTpSWVgkNcjL5o3thQ2sGVdiZ9B2ljuyjmkBgv jNfYEMd+eiND+UGjRbNmDH7Q0IYdddxNJ+YlSdGGFcC+9xqPasA9es/kDEYMAqL9M3gaWZxECRzs Gxfqv1MmzCIkrFdUMbMAmAlTo4IKE8ntkiUW1CyFfsi7vZv0RoPSwjuM74YnCA+Frly719Yg79v+ sjFVnWLfBTkvMn/fTESKf95YUF72ph6bOBuyJ+WSsZtJwAf7RT9vJCj/9WMzEVau1eczkxV4N9VC pyNl7F9V22os9R43xb2Pyt5vHKhvgoGjt8QQZATLZYBAsxWDTvNtEvfGDh5Sn3iIu5S3eaNAeTTD meFPoIEzU/uUuLfdVuPzxoDyeY0TWMEyLB390n4jQCkAhjhyvac8vWvHMEL0kUfxPGD98AB+4z/p m+mmW0nf06BsElx4WJWwSDX7ci1n2dhDpmCOx2aYjf03+pPWdhErjD0WN5+joe7djujY5/PGfvJD yuMZdv7QR+1Rp3rjPnn1Dmdhc8ZTyJRA/GEsT58mayJcM2mtl9V+dDFiiKuJgi2dLqAWF+uELuji QIpzP1c2GYVvvCf/yRXFsLLJgcEM6sfyabeF+XmjPfmF/5Mx0cYKP5CmJQt/kcnBs8VzSbJXHSZz rlerHpjMG+nJ76UuC/MTgwczd1e0jhjlwfhWfENMRoViIZ0Lw2f46D2TYIbQvC2Fuh0KddMi9+vg szM+b5Qn3+pexGPMwWZsiZl5j3+FALAUoIQDM3WWmUuK4I1ckp0tLpj3+BfphWqarcSgbjV+XJsZ Kr9fKHlIJx8BE6BWf85PasDi5hvlyRtVXaWqE4PQrvtUSSeccj9vjCf9lb8pE+EA6JNrZrIAGEpQ rUvdB/wjNMOYSu7h8d7q9BNBLPPGd9KnFE7J0QSdUD23Q8Zm+oKIDYmAsz112OhyoWN/f3Kmq9dM Jub4ktkxcOpxv5DvCtGBzxvb6X8sGcwmKhmQwtLEpKXfzkhmy6oFS7q4JsB0A2ZkI9sn5j38ffrU VAvV4BOz4TBM0ortTmiQcGJQGjnUpSpPOXz4ayYxnlqPqCL7IMDZGrqw28LEvEe/0Pz0Uh5pqqU5 n4ffmEW/wGDDtUV5o8XB1YExJcRJQP6LvfQe/VaPfhstJTR48RcCCZYSUMyQEsWXzRU7Pil+gDzS j1+io9+YTr6VWLBiz1dDYfyyz5h+gK7PG9HJE+afFQNFvx2VvDeakxYMFkOnHiX9dOdyqNkm90Ky 59XzpTeWk4e+vI2YzWjw4i+a+XbYEuNfSmetEcfz/AM7+fUoEkPkg5+ftaqlu0vMOAdOCxoFU4BM C2PeKE4e+LbvZQ30EyS2NC1Z5AtptzYoWUcFAFKQyMToVDrHu+PqYOn3jeDkGSzn47JpxMGnBRx0 NE8A3QQRbEkXAUbuiPEEhQlzqDd2k2aF6fWmMZcGL4gvlvAhysKXS3ZROT+LBcajx1PIN2qTFgvC hrKW4xJRwnZrvsN/gc8hmxu08FNcA9mqkiXUEMduOaehomfH7lqDgi0TELw/YE20x/UeTRa+ZZIl EUnYVPvloMgOKi48dW1eP2+sJo8818+01CLBc05LFvJOhJJnDledO+SW/4dJ3XEM9CVbh3voPeSN PdQU+XLQtAxIoHXe0+A629HIojDNyClMQfqtJIgpiPDGaPJkgHkjDzEoqwE0iQVzFpJSHmS1fd4Y TfrdIn/k+sCKAYpNU5PHvIcCl06GG3X14Jj2FvCG8A54IzTpN+EN3ZBQ+eBT06BlDn2VDWnyS+SZ Lizs+a91AGvhb2Smn5Iv1DdjUJcA0HxWHNrky2Uxb/k5XQZyJA/s3ohMfsrTGmoEukG6+ACTTUck rr5CxPGNx/RtT0yoP8Tg+CAUIan+jn5+E/PbciTyF6HVuR6e/ySZ/Y3G5Jk7AzsY2fmgJoHFRMqR bLd/3lhMXqT6Cezk1X0cDfNGY/JgFf/pHi46XOnvRr0wUD1h7z5tJxS/jtKS71FJU/iPvaLiAJbb GcBKQFukaA/BGooq2e3P7Q8BDiBxfkNWpKKbFH5IH3j0WgInPpnddP3zxmH6BsxPY4nVnBk7KQt5 EXFWNgkd5tCVM4LAHTCHU2PNpDVf7pCyCS0rW/Q7CqmRVFoW8+q9eDnQJgodDN1iT2JNbuAbhcmL RlxnZT0D72o7wreqtr33zxuDyS9e301X7UgiruMATqNerJpGLrgot54eQapgB+AhlLzfCEye4PGa JuNWgzdqD7hpEG2xAxiw1e2yoCgV1gqB+Ueuh9HdG39pPYAkC4mIeOCgg6bcwrSst8H3S/bT/bmw FzlmUad6Iy+tAKQBcKP0yM6cFjpPt6rLtFCEVz7wxl3SeyuAKWfH4DUHy8CwdCZtC0ER5AlIQVwA nlFGj0VDKMgbdckjC7YIuntK72hHrlsd73zq54255O/3gxpq+Hlg9iTcXRb4QuQGinvHK3iFtFK0 9LHoOpNr4As7gXd54MuT5qj6QKF9lR2gPT7nZW0TrC63MkfhCxnLnk8PZRLr/MZb0r6vBAGDVc6h eDpYi4DcltLvzxttyTfj/O9o5kTX7Y22tB6s3+pTJfC6UPLrxFPBwNzJBAGSf2MtrQfxhPbrjsGB muy5Afu6Qf6Bi6woBti3wCig5+wwGezizxtp6QsHKZfNBQ2qVF2Ue3gEz/V54yxps5/fVAk8t+23 9htjyVMl3E3aQWj13OuMpb7rdG7FCI/3N8KSPoUaaIWqbRoC9ABzuXZRdAAT1vXwSZNChwDi284+ hp0WvyGDg1DYSR0mDirHnHY5STYv5/NGWPL497e1VBhm+cS8x78Qey+EDU3PIZe3rccmD4GHTKE2 WOGn/C3+LUvdgnWiGL6XxbUdCZldTcOSD4rBA8zKXPMP3J790qY9zeeNruRVI/bc5FDCISaGRBAU ddrnja30ffq5tFGIBLdLM5OGvxDlH2sWhzzM0xzy0NwsimA6n5ms5MueHVCJIwavOkATg4bC0CyC V/JUZtD/XMrZ7yeRRHj/eWMqrQd1WfaV4c/1iQEDZ1/J8qz5eSMqrQfw+eATK72z4vTNir4MQM4t KjFUuVVCNNcyQO8S1AcL8kZT8rX6XqeymNfeobJNa0cLtIvZkkNTiZie8EFiXvBGUfpOSyVRzgfB ey1hYo4AlPnnjaHku+LnhIEp9oOQf+Mnef0GTCFV73CFrojxLsXrMCutP2DwvwMeKiMpDZ4X2KWB RUOB7glureDRdTvW7JsuDb3l+8E7RTO+AlXdAFWNPlwp1wL0zxs1yU+nn/y64+KGhJTmJYt9KfDS IZri6tzbs8jd3a/PssjtwM03XtL6AvGoi+dDIGQmVh05xqdPGARwn9kBAw3z8T1dpl4yKTsU1ajq jsFDmFH4E1gSeT5vlKT1X8Ah3ulccTs2URr2AsdAxo0n192n5VQn+63Ro6E0UqBvF6C19xh8Wmy+ 7IxctHdHDt8l00NmhP3Q62HQEp040qCXMF/Ia8Tgtbu5Vbsrp+PpJLSbP0GvvQFVVDgracjLFHJc 1TEbJCZ05tZWxceZQhRyVrJKr7dmmX9qiGSAeHCk+TBdBA+H4BjC6cAareVb0+x6z4Q8gLdBSf/G wPWCauny45dPZ03r9Rvy4or2y2jmEW9nb+A4Vb93L1OhtaEy1aKIA06X+R7xenww2Tvzwc9cRrmo WOKaRmWKE0gYElsx98mSJstUMyexSb29zBjUsy5ksrBI3fF0wiCIYq8oTPPPjW7SzCJeAOohhLaD qL+u4+UpKwEZ7nLJIJj8lCR9VPHpf9G1wDaBugkqicfmnPE1dKiR8cCs73zVQbiTZlbvlWfElGLn DK/YDpOX7YpEB09n7aT7U6daaHj4uTvzei99sUYgw8+e3hzYZzkLB+RgpgIzq/c6/uOWZ/AcyfaJ HVmT1Rh7GQLMbIrAJQSXHDDXWDEAjeAbsmqM0sYxYhD+o/TJygyIyng6obIFmJUTg/pzGxHAzJTN RmF7Nvho/kmzaBaqLkUoJ4XtqwAgM4t5tyBDx7PIHaXwAtE2+4HRaAP/cUujE/2/hvUzqZ2nZIAx zMxiXoYLMLU6MWgzWezFMKTa9sDTGcvvtxjeocngWdLMCG3sdy0qrv5nuDYcCKEoojrdYgA9M7hm 0pBXM8JLXUPEMB2i/zp+EeVR1P0c+r5Ar70+BsNgPfM9k07blih5Y17dKow86fvUNuNImCHi6eRa +iX6kd25I4qZacy7mT/yYiLQYbsZ36S8LJExuEcY886c1CYGkncBypNYF3D4OisOBzUzQsMhU0F9 dey3B4BHn4iZIX23k6vvjEEX011HbaWGJZ2FvZyM75JB2Bt7KS36bh4zvJ3JImImCZ3/Qo4swjvI qrLoO/OwlzOChqAPWjITal6LTYJ5D/n4JKDg/lm8rh6v7kVR4JkVfTuTKoDUYyhaKHsNUQTWxtMZ m2D8YGPIqgu+33wPfEXJDmkm3FFMeETNsb9vkJFf1vLNlAW+VxyuOXsMUYvpEEzeap8AriGvLAk0 4bMeItcqes1kYjq1/xiTaFA1vKu5/gftCDydESHvt50PjlNFHqGJyWC+033opFVf1yoyv7Lz4Ljc IggBfvxmOAchNquqdzbwOiXrr7HWBsz8Hn1SashCX6piELH5uH6CTdzxDX+NZRj8alDJATbmPEHn uHg6WTLnJ5ZBB+VEA2Wm0S/4xGVPhXa2OJzWZcnBcAGQCXKADpms4HtEJiBMQ4MHeR1J5OwkuVkM IxWVM2UrCxeq852YqfdMksijsmZZMSi3XkPQmLbKwNNZLcYnhriGCkcukOydPZsFwJuk4v7A5ucJ PBXhB5SepJgdFs3KSr5injRaDWnwZmRfbRFEBvmbMkiYmBV2IlA06F9DCP46+IK/UNDLpIqjBqHm T5fOIsyv8XQS/66gc6mvP/7cAM2vLAAWH2M0HbZtUDgIB/CF8UkHaH6O0LpdaclXcd4UaD7ee9tJ aX9zA3jVEkpoX9Gf+RSCCZpt+/7l5tNjeOVENyEdzo1BKbaFjIQX4gbE0/9o1FYZyl7XG+LUpEBf vuVxoENjSwDgB/s5iqSB5xzTkWYrK/pSj9wuDNWrNlf7IqAK9Rx6rHTc/UtUdd5hsJ/86Z/Yay58 QQJOJGi+EcejQXQCW+QCVN1e8fQ/OCjyvdpwf/F5yeJfqIjadFfn5px2Sqg5OJ5q3BryXSuLfzmH UNBeGtja4AEMVYjBxpJle7dc1bIqZPcQeQT+zjYWP/4vjX0EdzUGR4JMSYC0tQee/se9xBgPnd4b eIeVRb+AT9mFvfz47XSc4xHT13bIJgtQnJYs+t26kFp5Bi/43oWbv3ta0JdK4WRMLEwWkIkBZu16 z2QnsRoz5Uk9+ZdSH8aOrs7O0LIfA49nC2b8LBhyCLdn2SsLfyElZ1NT1WZDvXopY9pUgST7GnAR GuauLPw90R5YMXjG1CEwjpgMkHkAqSUU6LJvvD39kGFFFN+QJJOUYN/Y1D7oyoYWhOxyLcpbGc6X UnqkGSvN7n8Q0mtmUpwv5d7aFokL7euqNLuHLJX9QjxjMDMZzpderZMIcB8CbGZ/Qy2FFu/XAiTV PwEMX8xIoq0ky8qV6joMWnyw86FBSTbQwWSMHsxLGv3u2EycBUskoDasecnKvpC4q0uoZ6SSdCSg tztfVcoOK87eLPrdyiEdq3oIsEA6tMB7oqv1HuQUuBNzJXHpwj/6WTH4UfENWUGc0d0SMX05kc7y 0zHUvD7n4umMSlC+eQGkl4Ap0MSk0S9qFK2vAIa3E5k170EEeaIX8ZRJo19hQWY9MXjDrTQIejbC n0sZBGFArgi1tPpL2Kd4Bb4gCX4ZyCAAiMHnZVEJHQ5gHU8nKfYIuNkfKbzBIUbzkpd+K7k40zX7 2SNkXZPHAdptDbQ36aOkpd+qdKmvGDyOQcOtLuITNxg6al3zv0Nt5HzJ18hW+Q3JESOkPFHCGpRh ry6OMRQr8XQyMfs3K8BHtWDl7LT2SxuMTUkuMnnoKgg7XCaHSCTLpcTt5Kf8LS2o3mCaj36ZbVHI 97OzROKSGP1wnMYvTaFrL+Sh340vyBJJWcQJBd0Cumn3Yee+HZaU4emsV/AzMQBhzkiwdxb5UqFb sBOYWS3onTWCHWiwSrADvHYp7rtThtvlmUujqfkYTdlFsoAuq4fMPwvrGlWpLnU5DjmKj+IdlhXf M8sjecRsyVJtFwWxmHwVkSQsYcfT2RHjh+/4E0i8qP3uLPAtk5STGTYG0rGFxAMVb1mtWiG2vlOG W9eK6TcGP2Ma3OFgrgf7PPsb5NZNwu2AFvIjljKnv2aylejwcAjx1iAUyJyiAVqox6ezifkJfHmz YVNrYrLIl443DXU1hXj9dFeRma6zsyqWjCYmr/xyrTQ139pzyNhqWaevyiZtB71PfH47W1DRe5Sp hEPB52dYB4YvUkjcoZAIEPpmuR/UVjydEQl+WvpMeUZcSjsLfbsK56SdN6Ccjv41Dm3IJe9A387C T0nobZ2X0hknBj98LcnHpxe29C3MVhJJ4l8HeJ3mVS7wVvWeyVZqnBmm8Ro4M9OuTt7WA+2lnUW+ 478iX1Wfve6w08IvDhlZwMAu5UKVGBdUp3M4Uu4p1STOTAZ28EOG+uoa/JApfaJNi/5Ss4h4DzkI k8NDwfSvHiAqzviG5PSdRLOu76D6Jszbj87hhaf/oZYi6SE4Znurdmd4BxInL+XkiHfo7YTAw3ar FPsfHTi0s8LvEfzDj5oSykMF+lGbxsxwTkbjVpR0nH8I+2b51h3YkdxZ6FsE8+WK1qDT17IM1hJQ zMPT/4dkqQrh6GWHnRLcymL8sv64FRyDYCDE22quyURsHe+lVNVsefukxeCb6WKaAOKz09dW45BJ EaYaTDpEHm6aXP0tE4AMpaqmZAFnyALaZK9LUiPOQTydQR7+q1Ng524UqnaGeMDF1waROOi6DbJV /0O3o64KzQIt2M/eLPBdamGT0KkhGpIW+N5KRmSFAe6RxT1eEXkrwqxoLrFQtbPIl2VNC3kp+7aL y1QiplYy32odeDqDyPzcSoge4DTrM5Py23BDFkGgN4uhTs2Bshdnyw4JxF1cMKm6g0OHqDvEwdtu NtW2E6eEWBG383iGPCb7B+trdqs4JhU3Yz28scqqwSFVU/RLu+cuns4CvF9Ilf0EN+RpTxb4siK2 6dmIHHLfLj0mQDgEHRprhITByUAP4iq93EobkvOQH7DcejRcSJJ2oLIx0sH5EP8QUOAbMjo6A7zD JJuDsutV1Y4co3c8nUmltLivYcmK87eFSGBa9MWP1NYI+7dePI6BI5DimEKTFs5MinqgLJagNRq8 twQ2Wz+ko9ukL9IXxiXsdQHPeM/DFaU13vl70Xeqx8RBOQHAA0yW7NzC0//AVTG7ti++ASA6qXgv nd+EMUPJiscF4ayzuwtThxAYD5mToh6uMPPSCSzEJAyKj+576Y6G69oO4ishetqmwOvnJ5CxuR34 hr+p6+xFE869RqA2LXEuwsl0/pX/h9CXVjEwCtLMpEQ3lEDqJagX8AdxCAB6GDxu8PaQnOMhc1Kg rzrYJA9q8M100PSZDH0tAABUkYEM6lpkr5f63EssPJx/1H2pTq9B1XBERbyva714OjtlfjW8cLh5 gHdSEV8sMjraIJNGKbYwrOud1GZsqsnwmPOSstyGMA+qx9xnK62GgHcWqfhCBkv6MVg4RFahs+SH DJUlT4Z5mPJAcbWMkJWD80MRM9LiGTz9ry4BjknKyft9fbLIt1CwdoS2g/8LzVnxr0HMobs4JybX dhAWT2p461HDG9Rkgy0gar4WSPL0PTRDhhrTaE8gwyPtczLIA8EghcRNH5Qtwa2eE7Pm+pwU8nB/ 72tbzzaNPjEp0rdAnKqsOGOErCIvp4irA/iya26ePPIVm2ueGKIYDldueEYApNgvuJa4m3AtofHK 1pJDznC7fE4q7UBk1SQOB4OzTyCoww4KLHQ/J+O5zXN+D1/c195AOSnNDYWpvkmj2CzmDTejQiOV HgRQE1WudHJpM526ahuVENYBuxPZKKWYOiynB48YaghALZhO6e6Ax2r4yULfImdJkt00CFfVTgtp s4unMwWDJ1eyL6TL742ZyVV9O73Wakg7uExrZ58spB0iksmLvpIhFZh3PwWZw8kAdg2W5Wex4+ne MQD432fFEISJL0iEJXno1iFkdzgDTsDZqutodjyd6T+XKPram/xiZE4W+R5spdq9E2mRb1iKF20q IB6oYky141zXbAvdfGLwyLfan02LRPwBiFNpCkjxfvAy9rd5DQQuvyGLY4iOudxRHHTGDLkmw357 4el/aF70qFSBLMCZuVnsC/wgIryoVAnmS6tNR7guJfvUO86FfSVCKpGq+xWpssO3VELLBtp6R+Q/ yuzxDgOTxmcGXQl8Q5Yt0X6KPT0NWjJQZuffBv3am9pWBBZE1wcO8uZr5ubKvoA5kOiC9NoidzF0 gFd2yeNJKQ7OTCrvoEDmqF9wHj/AC1f1QUIBlGzLVplooNgDK6f+lQ2/pFrcFPFLkPBacoVe4Qpt YbnMo+xM3Xg6uZfKL8cN667GKXPT4BeiFgXkTV5MVRrql84VCoMn+32amb9DfmsXvuprl33s4jiW myArOEj/HGdkswBRh/Xt0RLVerPQt5N+3Umx0eDY+SnzIGAr8XRGcfuhX9tNcSPwvVngS1vuM109 pp5T3Ltiag0B7dDo9oFZSdEOap+0smPQGdPLPIM6p1CCPkQoIfDFwkZtc/QH1EpJLHxDwkERSxQA LR8EkIFosDgo5eLpjFPg83K8gkcZak5MjvYlMye6BFBkcX2HfdzFrdKzGYfvTdG+Lt41dwxaLvbT rk75SLSuG2V+6P6H+k0HKOOxRkTpHV+QJQT0ryDlQoM6kQBAMna19BpPZ8ChEe0Tl2jtQeW6qaoZ wv0uhfmvVhXO3htdgo7MXismRTu4dld5Bu8SoIC3SzlEbi6gf1mPuYKzfrU2J/Wxb1bxpcRU2bJT 4qCqw6xH8oC9HDz9f1CQISC5h3TtzbAOOP8ayVo6X85wQnpfVcGwpZA9zpcM6wAXJBbBVwxxvgxA hRszAhi2UODh0jUP9feJFtkRoOr6e2bcP6rHsJ2hQSiQS1lG1PL6wdNZqhQzc5g9/NkBTrxp4Ltk M+y18IWsTvoOez1gB5ZjJj8layt1RbwnBg98cT33Cdq1Bb4wxKb5MXVkgXqWvAOWTKOXJ74hie+a aNfUMLjh1WSpFmqmXAd94elMiikweAjEqtTH45D5a9W30e6V/Bzy6cjPkQ40FQJZ/538lL/BHVC/ j8HTaxQv1qWIzKbiMW/1QgVEZJEPDATeTfyCzOSj026B8PAT9sAop0n03/YSn06qDuW3hOdpl09M FvoOVqpmc0OLHrq1A10gnb62WmMzZXiHrR7kVGNpPo2lQdMtGfcCIVt1yNCculjCM8bXaX4MvWcS 4PHc3UTtatAx0+/hZrLj++DpLIu839CXzoyhKVlLineopKR3mZG15o6QUNi0WGPI0+KEDHRJY98p zqNoOu2JYxouI4qkbLv7IBfIgi9lEQhQHI9E4OWNja/IPIRYpCrioVD9SQIPFjfy/p6jbj6foRR/ CpywwGxP+FtLKm+GTbyQQTQVrIZKevBBq8ol5w7GBZVS/xYAUzhJg582006vhaMdkDzLLIXC03bv +PAHPw+0nb4io3bJA2/2GFTKQ6ec3VpLb/h4lhz8YDjp2VL7s3hS6AN+xtqby8m04rrzNruzuNAD BUQpr15S0K/4FssnacUl1feEyhRNHBpswqkNYkEJ2KoF5I6nnkcrXH5F1uVXTikNjPtQJSfOL+YH Q2+YBDb1d3Zs6d3oGtSShcJwQGr9uo6XhTi9+IKhloW051s4jJbUyK25RNOO4ckQ7j7i1yKN3ILM THGSUN97utnqG+ArMooBwa1H3clTAv27j85jS/oGH/9nGbj+of5fDflNNd/fC57QDSSkjonlGE4L 3FcqO0DSowmkjZWVgulCNO0mLjH4kcyUERalUCYdTcSLS1WYBYUyqKyqfkWuCb8i6R4w21bFSAMD nAaJXAYtFwL0JcVBVI9wMEkgFtoD81k8aT140eBiqMQJ/Ph2JEQ7EoCwgxt6dnTUKXlB+OrAeQYv SaDrNFxDBThd3Fhjs5rYCJD4VrF4l+Mr/kJpqtQx9UHxHxzSxCyug4+/T08P7TP8wl1kpROz87fY WKIYgkOMuV3+YTDigfxDCXlFxr7JkangeJYY/NyxvGkOmMxRSOVST2QcSpnTwL59HarW9jf9m5DV nay2cHCMNOSzWcu6esOkMBF1Ya3/+ueGRH8tqQYEWCFQDfBzh9Bael7s6gkVGlIxOWllWNUses9q iOZThRryobOO5azupg7wcSW9+Aavv7HAy6/IZDnJzy7Lh6rjFTLCZ/g08fGsMfdrgUfMSnT/a8lC 5MM+EG18aMMqc02bndOcdbsGncw1O5kWRPfek/xY7xMK7oVjuckS5I4b5w4O584yxXiMJGXEWlLp XynSr++gdq5Nj8R719h8PNlYUe1jfroFF43ZSUvEqLyRJSaAfXO/oUFtORxFFsmsHrOT1oiv6HA8 nEvAXXYF6sryEzJMC/4vVkIvMwiwaVjrU70Pb6I3zbRLJUfPYh0HncoWI3DtWJa8+fg/oUaVfcGv 02ZN/d/oGbfpV0JdiNKa60KMo2PHDo1d3MwrMYCzC4Xty9rFP+j9W/MbHaUzgY3aHWJwFJJpASDF DO0fBkJNHODsx29C2LcSg8pbB3bSf0grmHw8S7HGz9qBKBBY/D47WagMu0A4X1xPPsd2g9bB7SFx iNFidjKchEsVnTNi0NqBHGUHnJGS2o1+cg4IxYVex8NNltPZ303gLGW8NwYha2zTCi9ve5iPJ4Hy r4QeZZp//PFSG7jOWHBczz9v+Bi4ygj0Ak7oLtbUB+7ob66CZdWHUQnRW/iQIdqBvBCFfdG1QxiP a/ybgE7FgqkRXJXkYjASmBWgYAw8RtPFvvj4P6xTGBVDKTRKxjVxgkNPWWAJQRrtyNl+YQ2VS+cf 9HqfyckCZRepH3JtHUHeRmHYjk+pUqIvxTwQLQ21acREcKjwqv6m2bZikYvhqAah1eyaFlbYMko+ nrW/+w+63PtjMTuZMho3Ag4HZaAWkV8JgHUBzQGZAOBZ3l6JG1xhaQjbRW4Y7Ukj7q50f8HSsf2J ZhWuc7olIAqE4Nuj4V/9TTNsQFdyVWPQxgLSUIEy3R+zQLmFixUBkfbL2+UZk5PpA08gUev1E7kB fC+o2tApXQGb2CPOnDRMVvul6Mwpz5lTcLKfsUBk6bCCVaxD4hsY5iPuLPS1j79psq8aERNHNa9o gdmRcJYatvYJfPz/IjY4cQ8/k5Oy5eBD0dsVYKK1syVFA2akY0ArNo2vnBQ7IfjRrjWGWDno66IN aJf5BGpbCiMsYeFI3I98nATBamoMBzoSpcBGDIqS4aArj692+Xh2JEd2ztU/ab8WpZ3EGw6SEYyT mWPiX5s1MBqKo/DNM7kHb6Mm5nA11s7/wvVNCideQUtQOt6aHhre46q8YWYFuXV/02ztMHsYd8Xg nJayuoSRix7P8Ob1u7Eg92Wp03Obp6Xky8NmKjqGre0QiGJV/gsgCry3L56/oyhqFeGnOuHHpsXO YmAdx5/dcE9BEwHAap6saAF8FTV6vGgivX0VCJLQzUF1LwIreZ2fysczGeX6u3boy/ydnbSeTJAz JeAIpRhuYzoELKNJRl9R2/mXR9zQfT6e+5ytuw7TXyjLwVeFmFDaXw2KjnxhxLICTk3iujJPquJq UEUZNnFqdPbGx5Msoo9vp5NFU1CzNDupSxwBs53of2LyaW5DMZYyBZ1ddVFDoehjMlC+YH6Ogoyy IGQQYQRBBM7qdmM1+VF2eUP8+XLFUM/QN2SNCGWelEmAqYJAW7ttXiSwpqx8/B8KauqM0pEyTuXU Kg6i94118EbDjEV3QUhINEjNoiw4yXOWI3AKJ3bglpjv5WG+94aiIHCVSCI2+5qoKGMN0r8ap7Jr cLN/VVOzOMnjriFLkeE1/WWHzhTLpbbNx5Mkov0Ciu2rz33ckrOCMlAejQkjz+TCa4+iLLR4oogE oiidyYldHFYOryx2NTR4hmXTY2n3ZYELB2kli467B3amMuw8bO714m+aASuoE0azag2cHAC5WHvo fQ0+/o84mSEJrc+fGyt1jBO4ojlIFNZlUvCxH7p6/nnkJ4dTJ7GMQ7QjFdj5DD47CxTyjtYntsRC kgJwPkJP8pm/mjXUBOVX/K1dfmlEjWFoYxVwIuik0are8B+8js7eJ5bPeGYnd9BAJ+seQdnAwwgI bZ86k+0Yrd78rIltnJC4ACmp7vXknwVMfkbIsKKxC2vJOgF8U6QNdcynL0xxrJo7x9F9EfKpMSiL KEs8EsucNx/PFNV+She8ztGX9snJ6smD8valVT+S7wqTKyXnsGHcNYqCqXnc8aTJZYJPnDowMIP/ O4qC1a7ULUS6aLxYP/tx0ogzOQ+UqSrRxcfsjxSJrVNxeurR48nSCfFGnA2yqIw2VmofB7G/pooD nRipaAEeQ0eDi9I1QNzHfZXrqkm0hop8HAK1ZM9cCs4NO4Dq0OmE8xGNcgjESbrmEjBaU/s4dqFq I/pIg8Bci3ASoPXP4uNJmNw81MFTPDzbUxHMDeTQtQPdXjWv1a6qOp2OAIG22LGrcjsN1dhlwVLD ggXKasuiV6iTd4qhSkCsSPIVGzomh9hPfkMyOYM2GqM+g3YVxHlVxSqdj2d4Wj+Q8avZT7WezDy1 kAOjB16DkXwOLwei+xmY/bGiHJh4yNnHbI+NbwyeX9mig5Al5UgoLyHokv2+KMEi/AuoW/H3TEAF XeCR8wwKAe/1qoPtMz6enTc/vtuo/KBk5lOTxceFZ7E8elDvknUc8BbXJ2m1HTDAmrjIfQVRdY/f uMehBtuxI5GXz77vJCtHenwA7DSqfnpjePqbZihsIkYJHNOgxrAlEopS4BRcUyO5R/eTxzEKINCM 0+ykVnKoQLXe2aCi9tFxG4BFkWSKFXYaRxR9TMLOVO+zlBODL5wB7bnhei2H8pmwYbn0/0Pl6GkM C1OQusnRMNs2k9yUH03UY0Elk1CIr/LxrLcXsFpdS9DRidQqMZRrCnLWdbSbLe6pf8ECskqkZDKo 5dJJHOWql0otM2sx+NJpTCA61Zen3eK8xqkvTPjleaRJEETqRZOu+SYQ5XwHHThwlz1KCPSCGSYw AF4qjaFr/qycHHSM7aocs7Py5cqonSwTak1QvV2Tk8XHSyWdcU8M3tuD3NekN/kAWpIAXIQImBh0 /MoXyFSvv2kmZn7I91AxsHoxcDXL3Mhyx+fz8Wx2Ii0n8WNK/DCmJ4VcdIm3XG99SoADWNJ11fCz fTEjLc8N5i7L5zg6fAggf7mXsKWN4ss91LXZBHogmAXKUdXALU/7xGAOUQql3psKXi0KXrYM+vBT Z/DxbGO1n/j4bP4wPjdpeAxYxVhNbTxIF64wanQXkgUd0jh0UgSy9kuVj2XdDwJ5TADMKwHrlG6T 4CUmGdcVuJBBGKItVk1c5mTFBPTb7TF4lb3PiG8XH8/kkH6wOmhHNYT0PjtZfAw5Kdvxl+0pTCmb nMRqyFEZLr1PMTB1mpsSkJVyGAcPc+wqRP0YCgsXPq4yVyZoFv8VEQUSF4Nuv970LxcWor8Vg9dK j8NKL2oWidccko/xrVmARVt7zE0WHlMy8aLzJrTF7CrioOodaIt+n+sqQ1sMYddJRdDguQNubvsE 8nwbZEAlrksTcKWgj1oUXcNqajZXBULRtcVBHQiLx4Rhs6CAj2e5w4MsJcvxD4q1PjlpFZnbqgZB pvfdvNbVmVgt3OU92jN/sZtzB74eg08O7I7uGLJxP1MCFAeihaRRsZ3iYWA5/qbJtqp0beR61sCF Mzvcyf6QPNT4eCamNb5hIGnQSHl9dtIq8q5OTWzuR7JFUjy8GJF2QuVMfN+aGs7xMoEk3Ygh4kDQ bnA7AIviUlTj0O4DwWBbj3kYKJ1602RbsU66u2efYWGzLY4gI92itc7Hkzgwlg4VU1myQHbs05Nq UVyWke0SHyojkxLeLfwcqLew3HXxN2h68jCZ8XFfz+AguGHnSgc1Av4braE2AsYVdOjglLVJ+xUY 5TZ/0+Q6Z+pJVo0PqgUe5vjofN7NxxP8ZEje+OUGYogTrGpqPEdfCxCWHW4hC5sBgWA20iuB0ZF6 Js5zj627qwLNJ9ixK6pQmxnSqdBoaw4/xRWGc24/qWeJF03WjmaFAYUGhcko7vJI7ufw8X8kWHIK pSOrT01aREb/ocktFvSZ6jLWYzAZFNbiRuqZes8dGWWJy1kfLmeBxf1pqExZ6nnuknr1ZSewgC3W n9beJJG+JuZzob0gJlyZAQ8cwJkLOWsBDx9Prqsxf45kKHE+wNvUfg4xWANaxJPP4ybvY8/SAmwR Jkg18Z9DkKwFIzOkuePQAdfBzrHDI9n+9yXTMVyscDamQZRuq3H9PTPOYmG7k5QrDjpyUMMXN+92 Pp7B38a3YjFwf6wwoKuJAx0YpMRpryh1qTVz0TCnbwtKXZI8LPqYv/HQahstBt9TqO1sevsCd3jd YwDFM0hgtvtcVkofEge6QtcQ3uAnBl5W9dbmjmLr8vEM3vUry0aE6o0IOfGgs4NC4OAmFySUVWwv 2iz1Ti4vkRYzNJNqbkKn4uiRy8J5IuRN44kBCZxhoZodN1LZQjxHP+b7zct9U6URsnJOzm59HBzg qNKouNPtIuHjycpZP1c5l18L5Y6aGtENXOLQbvfUc4RB9exLpMZ52QPTrvqHREXjTuHgqadFSXZJ U5a47Xa27KLoJIFTtH2pwIuC+TV1oxskJFn6PmIQNLCUU5VsoxKY2tGd+9u3IjLhPGdOGiMf8sbX 9DCwuGvhkEUraTRgyvnaSel6khyurmD33FUd+kZAG1P+fE0CLAEmOGQ2g0v2YJhK8TdN1k4jY0oe 3vPr4Q2Bv/XEyKkrXTuhRSaYTqNpxXPupGXkQQIWiikKk3G3S+4baY/UKuAvonMnA1v07SqQLQYP BO0mG0M8moJkYnTJVWBvLXd39J4wXWRr4k6HBImXHIvsGrzIfiT82/a5fDzDopxvY49dq+BCfF7j nFr/H/qwoT6wHqUpSNhdp4ws8L60s16jnGqrdtBlgXqUGjgz4DK22VE7hizDKY7E2OI/A3cLUKkD JxEDvgY5NXQ8FslvGjQvY8hm3qYfD7/MCh4mGBa1NU4CfdahysB+x9+mhSIHTUjt7b3gy/dFDXkS OsgDJ5mWRXHHNkiY5uCX+LX/Wrr54NnvIkdQiLJBPZPGgj4tjtN+nRb7AhIQTzk9BhXXEbhLKxUl 0mRaupLxraMGsJc2NCmvsU2txNM0CWhDnrhIe6EhY6gtXBboIGvnzGtkg7VC7ECX4hYHh9iikXTZ XLDgFXA97jYgL4AtbT/6mDTmeA1sKq4KNvyrRAfqIzpg+cZyz/fxeQ1rMKHXl4qsXDAfTXPyGtNU 3IaLSgNuBnogr6y2OPJG1idKD5Hv14imSgIEpxnZVhwcsg6ajZ3mhNZOqPeL9ErOCG/srzYmNSBf I5qKkKTSwbMwV4AtHKtDhPHyLLXsvn5eA5paI0fYyi/ttMfC5qy8RjPcPoPqDs7N69JkGHQucMGB 1orDsV9jmYpKKE8V8gE1+KxAT2p0xMDgIVWhS8uUfgcS2PvQHHBnfl4jGRwMnb3wLem6kGsGSpcO wIBln89rHFO9Vc+lwmgD/xjo9tLnLZkWcspGGzpYG9jpzv8YDpRcg1gy+iEl00IglgVwBN9w0LRM GBh2sNCggLeWC8dT0g8OduNRJYZHAt/yfVp4msNSrMegQtaB7AVTg3k/rwEM1srwHcT/EnO0qBlq 3/cavlTHp8sNBcXhSkAme7uTfAb2FSAKRj34bFbY+KaAhg+alYs6/D0km90CbU/MSlPxG7Wb8u1H USY/20EseQ52yzToWKm3yLBn1Pp5jVuqwtfnWOErAkfJSXmNWXDW4ppiS05CA5RlQv2h04WJJOkS rrGvEQvOWt63vVKHgYMmBaYKSB1RugKnnm6xmJT6x+bhz0+0iyPz8xqtVEewsd8dgxZKJcwdRI9T P6+xCt9tfecEJA+4tlCzKpmRTgAgS4fk+7IJpLLDVSliFYLjqSCVnLTs7duu4cRw0IzAQtdib+SO E6aLACKRC41vIi5zPkEunBbwDe+TQoGlAiemGHiooNSoEO6e9Xmt5NVHeGETLdvIoxm+e17LeFiY KKhZnL+84MAePqucY6kDjtaFs6Zei3hVUHZbIfSI0aBpsTTfgmRADe0LzgROmXkl1rOl6kJlORmR aeNrCQ/zLgLr/5TzJpuPWPS+8HBypkgYX0uF2XU51eO3LK4FQweRvh+1XcY+yInWdswRvDm81pAF tn4FUUFcg58qNknlHs7LnXafuqoW+HRAzv64b2xhZN8j2yKL9Sot3/po+VrYvI9bQg0+nWyiU35i OLCRIoZ7Z0rhl+DBcqomwY4YBicVYghl+BSBh+HI82xi2FiHL14MHsVtmJKQGD6XXU5I6witRocL OkXfCtXs/p7Jgcsqf23zGQSthu+yGIid7/e+ZFjYiJBlA8tD3VRBnLKdJEjNCUEB4jEoKLADV31Z zxWkJpmZO3WWKuhvT9AP5mHHXsKZa1NG1WlwF7DCSNRtjyuUSGRZhMtSUZVsYn1kEy226FUic/Z7 fN5JUtVV0rlkRG7Aebg8bnlnSeHspWULsUyC1BAXgQz63OWQGspvqFeQHL6TmXMjbEWDRy5QBDtE xlrkciiqQ10cIFpCVcsBfNXf8303EY9f9iG3l4NqUzbLXY2Ug05BGufGlUR0I258SEBqat5DXVXc 2tgu7tigEKai5qUEEQ0VEP56UTObGtY04WEZg8P3riU/F1Sfbf8E3kjBHxTiYJWMvrZ201ANJD1m pMxwdgzaTXNXWUVslnuTiRF5yQ/gC0o7tA01L1msy4V9ZThHOYGlqA40UDX/dY8Iav4e7ELHglGu JKTaIyFV2c1rwEBAYsGOM1mXAGEEwZg+v7yxpbdM7iVJ1LEgpUHtflsn7hkw+uedGMW4bn6PX9kz tthKabSLryJ6Qw1tlXfZ7K9qclv6//ATsnBXDaXGjqwG30oXNpmF1p8W393Bm6tCEaWSPHeissCI 4fPOisKfJkQj96oGgUROIfyaKqafd1JUfUSI0Sf642JsUALQzGQhLw4AOySDitkV1kE7YDioZgl+ ykMmi3nVj2yTKrocfMWci/wX9YVpIdwekhig7gv2kOD30mcWwfk97AVmkjpJQ2cNQ/Q/FGYZR7/6 mfvzToiqLnAVawYWhJCk9pl5D32xZsgWo0YU0eXXCy8HEkaVugGtLt9LWey7dDPRc2Z6Z5nlqFNm Y20BorJIGbmXuLYgrYW4NYyhBC16j339ALVzV+3s8whO2PWt4veEWkkW/N6yf8I8HIiQ2tDMZNEv QJoWiTUpnFsCQ2ldm5lWXN0FrG1oSRV+SrJmyPJph/xUDp4noQi6KjFXMC8dxUVWzx/qHdavhN/e /p7ZzGA72W5cMXilzpnNdrifzzsRqjpAKGYGC7UiJ1GtLguAeTbtfYfzNbajYPvsZ7peAGccu+md BlXdANjum1Ni8HMGeIcOn4gNcenRpXF9GdTQe3k8cgH36D3fbyaeh1W6ZvXRNYM4z/Z67VifdxZU XPicGcwotKu3X9jvHKgq613LB3rUpmQDOgma2V6bKuE18U6BYiyj+I57hYPjXy/MILAoNti77S6i gyEDXWFtg0KPb6YLj5jPOwMKP7rkZA8bShy0ZODnRUcFSxY+7wQo/Pxk4PgxIyqC1+ze+U/VBfw6 gT1sk5yq6ot0AtA6ARS/qub9Tn9ifrF0Jc0Y4pSZY1tYPnH+Lqx8WU1M9sttHbWnlkm5kc87++kb yxT6mHPQ+WtvWcRE6OPzTn76jX/lqHAEX+QXZtHv6KR3txt96+OuLYNu9iR6N8SkOH7fmU/4Ym6R TladBm+SnAGLqbXIC9t0zyKFg+DVBfPxx16YTf134lMk2ZBf7TGIvdL7dvfOfT7vvKf/mWXT86lH keqd9oTNi4PDdaqJl5nKt4GSiV3FRjGXTFboXfIX5krX4Fe2pRnoTg+qjNnFXrm00M9B5Lif+5pc wnfKUzSPKqG/PvBWslUdFZnRPu+MJ9yat/+sF4DAkCdqWtI6L0pVnRBAZpLrKVVpqlCqoioTF0xW 6FVRplGXSoNPS+kdjgcASqNxVl33kLpUQCUgLAt/4aP3TCIZAs5sx5cYolkt4omlS+PzznaKBa2Z wQ9nkxIp9jvZqYb1ZwCGWiOFXL4BoXXeSkhhvnOdcPayNdQpCKnBASAHC70s1XonfD1YaUWZh9Cd n7SAhc13slN1bFLZ6gzspzOAQEMyLRZJft65Tvgrm1dlsD42ImjcLJqZNPhlj1qu3JSnXJ5GbhKv sGSgKqS04J3pVF0DGfnjjcHrVbeDJ0dL6i3jbp7OwCajWX9/8qWr10wmRjIbQyr5owfzFNLMAn9g Yt5jX2RbP3uJRQ90+TQxWdmXBOu9tvSxLCF30fMurUDSCGdgXN95TmyacEYkjtqr/6C7NeitwWTF biXc+mxf2L+odgs6cHlK4Uyw33lO0SOrTXCfFk6RA7LAOj0XJuY99FXq852YQq6MVzjfaU5V7mW2 HFtxGYB7XVaWwjIsV4FW63vpPfRF4QF7d0gFc9RHuAa+JBOuf1SQsKk56q/Yf85iJ5JIP32Ji37n OFV3t6tI3mNgvRfa2OsB1L9TnHDB7J/Il4F48cD3neCEeYF/Wm9VnnPNtVKxkxCVVYmdN7+t3/lN NYBUvd4Vg6cEFhXhisIZM4/tK+441vav/Y/rkSEGqJqf/75emkznVlsxcFoWFNl5ek4LYt7JTRGW P9MCTf7tdd93alOVvSViaQffjXmdZ3rZqsC0zLm9ef/ObOKdhLC3Kx/o33zgNBLhyDGYC7Ejgx1s XlTzmkAwYQn1TmuqrmxXDulJGnQh2VoUoGHq5ZJd9F8JJOxGr+eP75wmdmQHgQxLs1KJwv+PbMG7 Qxru8GTgndL04F/YmfMhQjv6Nzbe1Ba3sLc7QYX+A7Hd9hgpgJLBt0xyJJm64zb3QYEdIKA8dW1e P+90pojInyYBBDanny3vXCZmN5NCLTpH6iG8H93HfbtLGxWqc3APvUe8Lu1qiZK20g7CNnytC6j9 auDfJmek5pExEIH9ER6mEsI7lYmpAOtadFiqdRMdjAVzS+lEfmyLZD7vVCb8bvf8zEy7X2DDO5OJ zWqJeXv2CJ9lj3NXf5ANwxmC70Qm/iS8p/1W2hHa4dhC6ELlWNQZWDmbtKMEMPtrGFD9JbNpIeCZ 1GgNzie4c7kzDV8ui3h/OrOE00Zc985g8nipyeGDRXCpwAPYQBkbAhuk/Y5ZyYq9RdAgAg80ePQC jJ0FdFPQoFKd6163ipp9PQT/Ge+ZBLxRmdoxqD8ABKJ8qC0VeKcv4bevHr7w6qFB94mZySJeQLdb vV6ms2sCS44iYdRzg5PCmcUVV97pSyxzqJqpogM7Z5yZAhGtjt40PElWI7gKwp881eyU6Q/xbY6u 90ziF1XA2+wx8OS16HleKemW/nknLwWoixA73u04puwTNDNZxDvEznMJ/Lqmxy+9E+ojhMODnMrL vUPgOscKsd/HOgy24eJOup1iXswFCt1aCHS9T1pNTuA7dymK2ZVWFD7wqrZAVNYtKCZ93qlLv02l xh0+oBgcx28a84LX1UaoiVBKmvnjXK61Ygu5eyX8nbhUncJir8jiJocIYeAUsHQrsaTj+tWoataK fuej0sPY7p239Jwzx3HKIbBlydyQYE+33+XzTlv67/wRbB6AZnvMTBb0HrZyCToHVqiTWaWeNTdW hZTI9bb+O2cJ79280jBieLpti5I8SJMmRPeUWePUQN4BaHysGYJA3ilLzFqmOpAlBgfJz6ENYRHS 552xhPervzUH5MMt1sw7YQkHTWM5i4gpSu81sflhM1qkKGd3C0S7Jz/lr9tpqRSznlIMhHTahUvC JrBEbC6SShu6m3s+3ROeF593vlJ1zW1CYDnU68kgNAiFSez7805Xqk6y/I1lTjTc3ulKWGaIQpao SahRFfoSNlyC2+9ui4CvAt93thKxTmpajx2DF2OWZQGgsWPJjLaODNhaYzeiohj5IGQArf68k5We WKbsMWPgCXxAjGe917bw552rhM0ub+FA8+JacuDQO1UJa/SymFmiGDOGCARQfVtOqphUvir8lPdT ZkjkQEWoFqac6NDetS6MATbOljYrmbW0/UR3AI4EzjqGMAO/ITl/VWw44xm8GNMXVdNtXs7nnan0 G/3SwgToyBZb6T36RYxXqV25PYPkMSdr4aIm9iJVXhOTR78K9vszONRs2DVdFptKG6qe8tPyoAbl 8RZ3Ngqj/IbkziZQc18dMuH5B3rzljnAseD3naZUHyLO/tMFFWe7KDZTGv7CMGscl21qPCuFdmBP C4HeonkUpyZF9iqLrIqC69OJnMgNoL8BtANobk3df8gbELC5nzwSZbjPO0eJxy+L550mOBx0ZcOp Qno8a37eKUqso49vNEPRVDpmcWKyki9thc6tqjDUMyn2BYi2jNUawG7bL6Z3ghK+WRlko6U9B+UF Fb7uNK7BmrlVYjMN9l+DoVd4H1V/yazosBXdjRjUa9vdacILgOc0/O3/1TlZX3D8OzOJzT1yhEZ4 JdztsmiFooGYlS7e1uSn/K0J2bWhOAQKD25onTDWDmyAlgtEO4Uz+6ZLQ2+ZoYbYN2lrxeDptV3c nBa7rD7vpKTf5mxTJi7tKM1LFvtSArvPEhJFO+Zlz/nFx3uA905IqqH51YV4kOCRwhgZxZKwZffq XkIVwVh0lsUscn2Vcd/JSNXFxguo/zEohtnHRVDmPJ93LtL/xAxNQll3HLxZ3AsjJ1WmPLnebhJ7 5tUSWoPwI05LivGdOlb6M/i0LCA7UNSAo885riZCgSdQUdfDne3+lgnr5gqBWnsMKt1dFklJjOl4 Oont1k9j1nbdU9AcWcwLA8ImwUya8fUikiigMqpWzT27N9lGVujVrLQhEMgIvxEL8aFP0AllhVdN l08q9fjARIFvx1PS7HrPhDfAvqN2oQZhzODyTSzVLXw6a1iP35gXpL5gU+QhL6gNx4336ub70RLh /zP2ZkmS7Liy4L+vJaWE87D/jT2oKmDuVc+QeaWlm3mrj4V7MGggBh30r4qe7/HoMt9T3hhYd0HC +wMJbzDSBhYRxYAlU1ZQipCE2Upn9+4pkya7VDNnrx3lujUWzQaKIE4AbHY8nfC02v6pra3ivHFi ZpbygkyHoFKcod8cuAndHZ8/QufRNyaDOHiT17syxTU7doP2tl11G1KdkNQkBgmy7rhsocFzvrIg 3b9n1u4lxIF/ei3Cgp9znVQxDp7OGDj/hReC7LGnvDNPeQmfIo9YfSqfsh3p56FP1Y43wWfW7vXM jrIUWjzAFHtJAadikTRU3KB8RDdmEu7znBjEOHxC1o0hxOxIh/LEiYHd8VKJvS+eTlhsp/5szGbK tjz2zpTIhsKkkYLGKKNrmY2qOaV+e9D2JPpjZklvlEmnxeJEgtsIDEel2PHP6kw2MvsuItgjKl39 e2b1IxtVYB/4opcJOAqygm9peDoj+PmZ4fnCPbYC4TszMhvNPsCp/LPYqNpHCJnuRGvS0C0UDR6a NOfVoWHrUYundvYF7FIiYh6YdnqY2TWNLwr0Fqf5nsa0ou+ZTdquGlSsrFHJk9kH/jZT/GlhHE8n 99L2Q8NZCcESVu741qRZ76GiwxgPJ8elFn04C8g8AF7MemfKaBP8rpB90srDPrEiy+rpLmTMgiI/ GX4g5zU6mgMy7/A72kPM98QXDR9e2QRjadHVBFQoa+uGQ50lvmv/8k+QXUYmM7O272p03UPiRfsD pmp4mxbMwptAZmur7TuzxJft6on4HYuPCZDVXWT/GIxfdLJl6t4hVSB34cBSUQ94Zm1fNqGRxIxY 1PYtRMqytt54OsNSxdia0ZqA2OE1wXzPfS2tBKeKUlWNLTx+NmG+UKQfEqAkZBOvU4Z0oDj3hIVC LIG/axMuLkzycDTJObDMjKxv/KyHxrWKvmZ2NxEudOazaGfslmKhbXnSwdNJcb0j+53cRWAYauxM BvPlIdnQRyHUwS3TLtBUJfQuMItRCM6gDoKG902iY9+ClyIEA/I9hQGxW64csY0JiWATZz12nyAT d3xCks9QAaTRzlqL+g4WuQUunOPi6eTQ7P/iWNuVfeLaTjNgNo0oEYRySeZDODKtH8fMr/WEmazr 6/iyqWt7Ptf27bDSpnrgBMX1ik9MbDb4xPsrPT6p/jEzrMN0haqyYvH6uumeaqsMPJ1RZ3+nkZCC ANneubNZDkxuk5sLCzTvTbxFrQmC5mEDzOJgZW1fMjmncOVa/HKCzMegtTvUxntTTSWtbaB9HiuI TVL+ylJg2hyWRaC2FvEsroVSuXyOhqcTNtf6GbxBnPwG3GFlKTDmyFblNAVby38QSeR0gHEwwKxz EzJvUWblXV/xK0qNxdMZi5WnQifWCvaCov1KrRPpjKULYJ08RdPV98xobsLe1RqLimzbZImcWFaD p7NR7U+RXSnOeL04WCnMFyem0vaFdGsmHOhuVv4AQR6uE5ZW1vZl1Ia24dHCpAFXE1R/z6FT2kFG U4WTxndsIEV8JygQLsYHJKNa6gv1RvlfLrqyLZYKEmV1O57O5gQ/7XB8OKTAtC8pyhe/66H1Hzt4 khinkkNfoeTQfB65sgSYsqyWaanDSfWvP/MPfa4gvHRoBGZZNlWjwdMff2hF/eDv7LXij/8rXZTO jlq8VdWaejJrDzydRRhPZIhcpbnjDSLXytJf6ubAjd57VWTBMsIwvZelZxAJVpb+sts8GwfhWjz4 QhwLetR4k+66RRrshI9D3mQDmhhg1q7v+ZcxbVlUULIF8wiKk22rl6mPvOwA4vGsjfeT5DWpwHrT d2XpL8p32xoKtwq+6cP9vWiiAu41gieNcleW/h5y/xolZrTEnBZuehgPqDMjrkGRLCkq/Prgh1jq 4xOSd4ns60OctRZd2MgpVXFblrcynC9V9L6jpf7njoi+Kc73MLJcVxUSCRp1dgeVEf/b3PKNw8Zk OF9ZE3U6X2rxMwM10n7oPr0oiTejQwFRW3Aizo9T5Uo1HQirGtSb0KIqe7XF6Gl/z42nszzGD4ww 5PXPjTxmpZAHDFkWaan/gbTY6UK19j7LA3koDvJdWdvXLyUhN9tkalDRfrAfD3UChl6kvJxyF2K5 gDaHgHGcF5xzfEKWx9ABQXbu7bFzt7xuCPFgWQGezgZLnuBRLgaOojViTJ75AvBA+iVHkZPNTmje FQdB2JV0vMW58sy3v44iMUDtA0nkxtRwq0lRkG+BmP5D1r+EJ6408SVQflNyU4v2pV/K2vyxbKnj 6aTC7r4veAxgibu89bBSuAPo8X3f42L9UJSmCj2Q/ywtF34dl0ZJW7/KeGUb3Hq4xNU5VzvEx1pl cK16Zye08L9Da+R8eddMTPAJf6G3weGoxsKNOXc6kcDOEJ7OWuLjuzHUjJH0EqRH0t4v2tAQR4Bq GzTvpDtFf0HfGfDsVEXuVLhMkMNen8V7v1BM5Fx6ywJNY392yYGQ6N9GXvOvmalRUZZ1fxdtzIEV MTPgMfB0sjHzt8Np53kGiWtneS80NoF2UE4Hbqky4N4peYPLySLGlqrvTlu/7FJ1aRRw8bwXauIX stPgvcKixuUmmTotb1ZJChDJAT4he5UIAzmSrT0hWwuRDbUorVrH01mI8dg7HyheDZDiTvUdYCPf 5CVNfYca/gWXcgZoVvGF45FJ+W3qitMwVIu/TH3RkQmNB7THi9xmUH/jbrFz8xAiybzeae8XpxUG LDcW0ZVWmxK+mJtP/2NnnqH+iGnkzjJfenAoJ2OUoScDWTm0ChUrBxNLbkza+hVH9Ip/fZ9pJNS+ UbFTXPNYueHmGBAbamhpPCem+bdM9sWTGDoon+Xyi5RLYwfCUouGp5Ph9f0tCHCu8WpqX7LMl6qj gwQejFHwUUG7JjWS0g4gjuG63im7bbhe14nF9+U2CzSX0vPYmTukwo5XD3XGpG2Vi7tVfc/kXSKc 9XJQo4U7My0UEgeCqR6efj8xs4SGjEYuvAPiXfpb4xfqDoPbgCqi8orqp9PGwS5sSSZxazK4w5SU 2dHQ4OxAKO5CNRPo3s3CgaeUSRmOLiXkn3oJ2Ch8QtLFo/CBRjX1GdVYerGUyVimvvB01t/8ZZ+M /pXX2RniYQGrXjQYoI53cVTrHctNUgg4ZIq3s8YvAJ9EMtxYAu8L20DhfefdUjkELc05ZrN8+w6c Se4s9y1LPl7iKwWRa1jiKJNdy6YHnv4HDI8tis1iKaJMynBrVAKsYczJziSVRmkMSkUmOkXyZkol zZpvyYzF3yZ6mCJvhGg75gYMv2Bv2j79Qe7hXskUStxp25fWMRvScL4wtpxWpvzorZbC0xlyaP/s C4TvTmxLhnlApxhIB5/uD4UWOaupaFpsXin4ZqmvdFL6IJOWi49QCoZUaM/Af7zRQBVDbARpcJZa mc90iY2qneW+Q3TrRtdSLurg9XuFY7YSCk//HxQMKj69P+E3S347h4NqbSI6USZVznhVuzUX5tls h+9U20HtcKrxavEYY8nvpiejvUpwJ9C7JkVqSzzW1+VWmUwqbcbp/mB1rsWbvm6GYAn2xdNZiheZ DOuq++eG5sXJUl9Gw722CLNVmSSqSPSsGXYG+k16kU4GewiILw0KuPgQ295My8EoYGBBYGmAUpnO QIeFNuHO/ENGgU/I6OiqIuUZU53kYq8mibkipuPpTNmhxYmBkBDjb+xM2vTFaejA3qoZDsKGysj9 yFT17SHmpMmv5pACf7cbxgTgF2GUCiuqYaXBBO0EO4NYSOLtPQ9ZlK54J2/6LqrulxaLqgLM+mWj czuezpBV/0X+swBX/FY6qXIvedfMyRBkrI7ZHmTovMMg00Mh/OS4B91KGu5v+fBSv+vYO4LIjrJ0 X2lxFpId7BP+QM4qbiV7pwc+IWtUybGrP4uCDDoPkh7t/C3/NXTjf4qJX/GWzMmZbhjPUlQQR4Y4 DVZJ/TDcgJ/Trs/cTor1lfL1Hj0WDzKAbS2NS66ljdLLq5y6dnb+63MvsfVw0sYvtTYtSy+xqIXX F/ESVnnVi6ezCrt+7yV4VxcPvifLfdHBAzSBYYR+BCyM2CoM7TcI62hfUp6bw0H2jMU7MhAautAV twSvl8Jhq71KGFTxHGKw5EGGupInAz1QTgnSXS0WvUp7yazX/owVT/8jj8EfFgoG0zFnJ0t8MZGz i9PzO/xrh3pMD2oOjUa5L6m0w9Jgn8BMLhFi2rr3MPG1Q8mpGuQT0dyAFNNoTx7D+I9PyIj6Qjz0 HQvPC4aozF3BAMLTGUwmwCAIMWjfPSEmhfoegubrI2DgKsdjNbd9W42mqkh8T5r4ipIzFGnGedTB J8rIRYnAipyD6V1ZpKuwdtkP5gzX4efk0g6Cs26pd20531jiKAzJH8gZfU5GdHtuJW4o7rQbMMWT Jb6wlcWgerv9wKri6AxMgtx+AJx/1konBfvqyBz1OM9DjrToZMkuOsm2MxP/J28lehyMxanb433H dvjJUl8lMoWuzlr4KuH86XU4835O2vUNxU1q1inChbLOyYlugBkxiwfi4VCYmuIOodIKHpbPlk7a 91V4mbqw53NhX6qA4X2xrUFHgl2t2pvgC3/uc2Z2fM0M8csnpmLw9NzXDiR6qH+ootnxdALgfGjp 7NMTJOPDyJMiHi5dodv2MEPlQ4o7UH4P9fWmLjHFjnNdsyrI6rN4e/P2NRowXhvC0t2ty+D90NBE 39/xNajx/IRshELZLmF+a2B+J/o7yl8toOHp7My0b5hhs+ppid8s+12Ugea76v61DnhwjRDL8djG l95x1viFnDZuaqlU7Uelyu4lu++R2mx4DwwXUYfBKREidP3xncEQFZ+QUVA4h6zfRW08aIUyUkC/ 9mbZ753jm/2SfwhDLO3Me/aLZzrIeD6ebejO8hWCBvxWgb2kb4CdyRUeNFaSwOTjWAZ59AU9BwxR wKM9MtGBqHhDrGeM0dV0Sbe4KeqXltD7OntpfIcFrF9IE8HTydVUfhmAqF7wFbQzuXEF6tNWriPo 1+qOoB9UCcS7TFNR7kya/l5X7tqxeJJnIReMvy1CF/4vXl5AJIM0+p3SVv+Wia4v8fNQRY1F+zLL VFPFzime/j+oPCyokXi1dLPUlwJq59ZoVbUuOmSHBkkAHkII76aAB93YhMVr8RhTO7r5h6K+6/bO epOTHbY3R39wrRyk4RMSHgor63qEa/3h0pYu1pIV9Xj6Hxqt7P3h/cXJ1c6kiF/e2KTHUCJwu0br 2GVPp+fQZQrR9+aIXyV541kcoojagi1CTAoseyH/ukiXswOX8RgjYryBD8jAINR3INZEi8aR4P/x wFiFjaf/MdZnJMBfpwef62bZL45DQ0ag4AuDewXfo4ntgHBc8JZuDnjgi1TGs3iIgdPQkWXksEry ui2BSPx/vmKbkwLZNxX17cQ50LhZixoPexD1BOD2wdPJpdR/cl/e3X15HnMzvEOZpNIuZS+oIp2W bp+oLicACxFgUl0zqTycXWKJOe2FODAEWjFQGqQF4H+AgJYdSIRs/GW4M0vfMyMAsknFxoMWXdcW VMlVgyMJnv4HqIoN4kVgpPYlT30vNR6mazxwAEqNB1WRBDxQN3zyp2TYIXViJHZ8Q+wYONAJlWOS CizCsOS3n0fsEKalNSz/Go0+8QlJgteUxuxn8RADESweg77wdFIU7P6tIivri8Bt3rTty76DJPeh Lik90iG/4Kgjm1N0bt72lZBB6Nc+iuq9gZzP2RJGBmJG0vinQhx6PEgQGLjxA7K2A+tH9WW4+Jig UNPQNubw6ayMPN8Qw7saCAptTCprhta8C+HRQLPUoEa61NnqO8YEN4M8EOlmu9BWLJHfYdDW2Q9f duxvkZSMfOmg9f81mR9D3zPL78Qtph0FFxFz7BASXYdYhqczAa8f8BCUh/bjSFayzBcVGWjpgsvD YD4gD3XDxJeWFhQymPoxybU0RXucPRa/lig9BCWEDSGVu2VEDxoqbef7eEQCLy9sfETCBCTdE4Uj F6KJmPzCaYYiD6NuPv8PJDRBNYP/UYvtSQXO6NndCLyD4gP/tHTMka4vEYsAKGt70vx3iq9fZyxe Z4O3XzpBRL3b35ldUI3eoJgNRmWIVpXr3/RvYtCVebAWQVuh3U7I4hqDjye1wW8YpjsWpiu+O+8p MFgXx62VBH6gLj4ZBa0H+AFjZomrlxT26zo7dcTijavR0ay6lw29ta5MjtB3gvUltKZjd6jvyo/I 8A9Unuc4XIvaEHsISwQxJT6epDX1fq9vNFhuf45OlgkvAMFHcZV5KFd472pSNUfK81TJ4+akNm7K V6bzDB7xlN3hUga4LZpXq8jRDB0J9ub+0CzVTag4OMBH/IVhAGzSiUXDJjuYnPm1WgYf/wf5GCkI gd81iIG1ZNkw4GNWzXTXhR7UiEGL/LQqjRmrmeuMFyvrBUvlt3MSqMWPDpLhKs2zA4J2DPvpHTZg YhgNLIoK8yMyZX6C6Yc2dnjtCrZdu5qrQH++ZBnx+DJtMR5FvINGt29PlhOzq3TF2rlU4O0Bhaii UCJV6wIB48dkcUctz//lZ89lgX4BWWx1t1XLnFPChJya1FQqerpYN75pVnhzeLDms2iGMKCVxby4 Dj6e9LFClQjBgarEj2eXjDne28IEmTtDEL70rlJqEb04HkKqGXy1stTYJQKPN0FX7A6AQBCKpJjK LFBzRVQGn6nBGae3r0HVim+aVVNsf0qvlEtMWK63sq6+YUa6DdU8Xbz1C5OuJdWBoBY9hYEYeKY3 aiDN331gSXa0diftDctR5/8DvkpwvYl1u6G0IT0rnFA41mCsqjywsfjnR/xt/L+Y0GM5un225bFM d6A/zcczWNoPhhya73XUZ3eyHPlArW6MoxDcBrVbqCq4ne+0xo1UED/m/eywFw2e9ozl6WjBCU9g xmX1dyMslxwnQsr7o6PtNqzlH8YXiE++KBlEn1Xqv2Pz8f+DWD+KiVqezUmhwZAzqT28JKXvh5IT iqMqOff83llZi3hJXXyEn0yQ/JHaWFwA93bCF48UglkITAKfhq0+tftImeFHZOqlkqUn2p6LovLc 9Kr7A11rPp5UnYGQYE6CdHt8Tc6yXPlgJLDPri4NoV4opCGumwWil+OFZ0383x4JhCqJiPr4vy0r ZhpPCsGeg6hbDF9ombLJOAq0p8xkEgM494asliTdWKL0HPrb24/g48mNXtpPIUH7ox6bk2KEITTb u8ffhuzEa08hBCrlIXpsToaToJMcUBAzFh0ddI0BjOZwF2A1mTddK+xwn0Ow07nJ8jn7iwWcrC/K jsUxwqtK0NfSGT7+D7lOItALuStxXyUmcMiT0ddqTtGwfy03gTsaY0KCZj1OZ6kLXHgZCCpxHiGn duclhZgR2ZIdn9aRuo9b/Ft/TqWCqQ0cE6lypnRIZ/WIbPmTQE64ufh4Nsn8gaqhmLlPQE5s4KCX yzbX1MgbahGeJ4/jBGX77UKJpqY+cK5S39iUEFznT8glQ5ye7T6r/Acv/drFx73iIjhWeFX/ptlb NWnoNUYsKiJgo8CJnZ15Pp5d5j/e2A316wjKf02d4Mgxxy2rAnSz/AVkgtAaFaDzsZxMrODsjdZr 5bI0JWIOkHywCL9LbI15qphylZ9lpxzHJkT8q3/TZAbOEUOt8las4a1oxYUiKty3+fg/WupEB1h4 vjOaF4kdnEaRSDKW97uo5002Arn7iDmFhqeKObknhsbfe8TyTMFnpSH5pnXKlage/wTH/n4jriwF Jn3EX+UGidfWoi3BoFTMhNn4eDKHKT/+Xtgc+Bz45qR0ORhR9OEWpSCzTM2nrKCRSvtqeN395KTg CcGPWIBo8frzwpAX/Ay7y61Sn0EMQ+RonXIWEZIpCVZTWzgyUsome1WLkmR7q1gC2M++fDwLyfFe MXAS7vO9r1LFCEBkO/LzBpH2TiVIuokDOUNp0z73CsvSTDKCMm8gr9dYHCNr79OGcid7F7AXZ7xB 17qhfY+Omy7zxvkBPyK7seSIzOjDxW+sISN6+zF6PMOb/2hgEYdxwoKnJuZwGKIz7CxZidvhn46h WBJHWHQ+qHF4UhCFxNqLcLKRh9j52wMkVqICLKHqisZVaqVoc38FNWS1mLrDTeFBmS9oUdvLrZyp 6cLHM3Rf6EbIU3D+2KrU1CAOf7jGLp27ZIQVT1vF+17r5z5PkRS8imD6G4u/WlaTWJ1JwBYGMlej GSoWMoX6KiQsGQGnFnHEPwAqcGLR1Aq63nwz7HP5eFKdx/x3Rs8U4Uq7k5rECRdKY3BCk3pTCLKP XLKRXlYjhhll6hLnE6stU2BhWDUDXrYT4DvZ/2fYNSw8SlPrmVChODul+RdNinNOLhZs07lMobYo E9rU46l8PBGQuPfnPsfccTxROTWKw7AKjRhKA6P8XNclJKA/3VF+AhThfa/UKW5perXbisX7Xohj Fy+F1RCYgsnGiV4SC1c3orLLcHN6VXOruC67IhlTbq//7YAv8bst79l8PCOmBkCJiOLavxJzNXWL IyC0oM5q/+F/ITN6e7EqZxCDms5OGquJXZwrx1vySiAfF6+wFt6mRpG5ZacIXHJMEkAotBzX7ToP Z3u9+Df9C94EPmI1Fu4OPIuOhJXX4OP/SJSZkyCGnZgH18QyDm0vJB7bsVooDNXEgH+qe0nb7eBY nJp4xiHdUdjRwLw9DPgDGjNVNzadxPgrYmSD28r+MPMrWUO8GD8iGZbLO6NRT61yqsEb3dKWojer 6htmxI5HK7dSQgj2lrE7qYowKPmjBrpt1OUg2rk8QUTbq3gymPjG+ZwfJKASS6Bo7d7k/Grbn7ug YOSoy34JEPvrmM9YmOpYNbeOm0JvIbHSIjw6xJz4px978/Gs3b6/rQve5yv4QDU3j1skBNXtMK62 3Qb3yBBgw0sjsAQ1dY87InZQI1qLv1h7QvAXIHCQ64cjaYn0htpR24+ZRgTlNFMWJYhWu1o0HJ5b I9Bm55SPZ0fn8RmpblH5WLRnmTKF2mUHTSvGNbtzgsAOoXjNAWrGL6xUUXjLE0FiLY8UlOV326J8 oUSsZSNlyREWmTkG5VCIk3jNfb5nAiioCjak13FxWPqt7lF5Fh/P8uRfCxYEz1aeyzxrJi8aapwq YWX8ob3n5XbtBFvU6HklHnKP18gVBfG2R9Dd6sSF2wVv1dmAFQhT4IrHNO2ZEgsY/kWTzdH8kxQ9 LXqrqG3NNlbpfDzD03477fR8XSF1X1MXOaCnLc/0lK/pfaAj43VxH8D2Z+xNaqkh44iracSdsTfw GLllUyt2QFxcKG0rc/8Aa4gEMKBuxb/n31AFu1PLhosaySFLDaUdPp6JOM5vwGF3CmWO702WIoN1 Aa7UCcTFPoG4CFPGzsadAk6aIqsmX9K5XI9K97YkAYIHCDjw4xaytBxGbfA6KP3po+Hp3zQ5OIfI 0V1qLD4arl14YXgF19RMbgXoAktFdt5CLaumdnLURe0S/h8uXMiIY1/mavrZ5Ipb9GP+OqL5X/lP y3g6DZ/YLEXvlIDjyssC9Or+KEMtoQpSRzkKGNmLSCg2Fy+vJgWj7f1am49n072HCoONsFsmnJRr 6imH6WjdEtGlecwS7M3OLYegFVCGG/3AxFSuhgqHaGNcIstBZSivPbupOA3GXYZuE1LW88iTgNSh L5qNzQnsuiQ9V0lbEh3QJIIPnAcf/wdUsqs5BnxuhJzcWm4KCehJTuvRSR4kWxJxQdcAbU6WIC+J 2ouXz0WbY5m9pX7oumzoaQEWSIMjXMZs9ZQvkqle/6YZvYElZ90lFibIDZ4ORAWusvh4tjvtmyB3 6WU+u5Py7iBx1k7M9uA0670KIpJQXNUdVM2aW8wx0PLd8MUvK6ufkTlxdzqEQAlmQpiQncQDVt+y tE8s5tw+BsFmxsKO16gSQkPMGXw8qzwDyoQsFkd2hx5HzU3mwF4aFCj9D7EX3Ah6NW4RN9cEYMxj Tqo8IZSOMG5c1NI5F53SSW/P1S0/4WS0wIsVOnV/MAUKyhCtsWriNIemzBFTs8SiqxyyQv5iLD7+ D+Uf7CQuf2oJ++6kgIvKd5+dUdgU6pgg82CQxRSioKRV5Zm6zUnjBv7vsTikwNK/SjcFOnzS4gSq SLha8TISUiB9MdAo9U2zF4vp32TY6dPDjt19V4hEMHL5eNIObP8N6Z/1ua1S3WFO9e9cAbeYYoPY J20XMbR0sMXJSZXXhhcP/8tnhTH0IkJ7ooe/ht/lgN5Rl+6rGEXrsJoaznGmCpXxGotapWPLjwuo ez6eFA//lQRitH6fDDmxnBNyDniK6e2usXxmvsg0RLsLU+nYnTRDPqKzKjKvmF+h71csL8Y7bj/P vjuhhJAaUc9AXoXKA8vxb5q8VwhoBW2PWHhyJrFMf8geanz8H2LevHKR6mO7fXdyJYpNWY7C7g1I 4hwBA9yPmpCF5wF8RiE5zZGV6SwBmdYDZFqQbrlw39qzgosnczVc7w1+Nm09wgJ0deNHJO+VhAwJ b9DicAuW+kD9YDCcGM+5WMZTXbFpcc8Tdv7eSUaGPdhJpqEuJlhk5k42vA69xbg9eZos+SPpYRI2 pvscBLxLi+N57BeAIQH8snAckVdtUn+FRrnNv2kWdjj1rJRp5qKGF9xyBNq+m48n9/n62R4gt2p/ hp+p/RxpWZvdTQIu6FNAwIXcHKFZV24UWIn/HOj4j4Xur5MukOsbPpnAednv36vPuOhxQ52dp/gs y79otjlFijc3FlXm9juyaQH3CT7+jwqLm4OBd0Sd1IAOF0hrTDoJt9gP1H8Tegu4BeVJtDUZMFnY t16IZuLi7xWaNwVaHLiv7M/KNNpeNgLTwdh/pnukxvIjMvkF9rkm28lchEWBHBOjTh+Njyf31fwB JuMq+OItchM62A8N8SCItyiuLnuYABBvQfU8vlWJC52zAu1qkz51fzx/EG8GK0ULyXDXFlMCRtWT d9xzXY3r3/OvukDMMLQ43L8WkaPb7Xw8w7/9XOUwna7AHPvepIrEIHQS5KlmV3fbS7T/pppdt3WX C625Ed32kd6JxQ8OwiSETCDMBtCaCnhkHaSS3ueyUv2Q+NBhOMMGO99JLQJ4VU3bLLKvy8czWlH5 QaIgALSwYa6JEZ0FCo6PpqfIUAy7FoOhAif4G8AWdbnCbM2d6Ag2bt6vmQ+rHi5j9lZRzc/+cU4R 5QoLoa/3W5f7S5WmyEttrtJi8S4ppYoLi2U+npyc+V/kIpgPPajb1I2uUx5YojdSqXCpQ3Cj9VYV zjL1VmU95CXkZDk7Ft+dfRqAJ5yYo7Kg/IlFZxYQS1e5y1RQMb+mlnSE1dvFQAIOFz87fVMtBCxP Pp41Sr2lQ63ZKde/2J0UbiF/R1LIkQZWF9+yOssBTXAyqHF2siR5+QslJfTycCEsL7a/KeKfxRw7 KHdJbhbtuAuuVPnCmErxb5qcncaoU0n05OKJTr0yS2CSnFrT9fab6IA/BZZGbE8q1EbR2cU8kENh ys8SvQQRQdercNnDmrjTeSvzJexY6UkLQ2An7W0oiwkRm12V2zK/U2FaydbEoQ4FkoQ/OTwooYkB azfNQNs+l4//A41Ceho0GabH5M9rmtNEMoIhqA9nmgg1wBfVGM40/rXwYr0mOc1ucm7JUKd0PJ3S Nm076gH8ZC9+COHanehgJpRoiW+HTiIFfM1xGmR3mnDIKxa1AqdEC4jX+7xmOA1oG+7K8fSPvinr j1Cs2a5s/peXQsS4ztlJ3/hEKKkg3EAc1SuHZFdYJc9R2R7m4udlooWDo0yfhbWdgoRdQQsG3oK+ Kw7Tft0VCHGyV9ElrNpDvXlhKCMBCjRIX3flGTpoV/AXxk5yU14zmwbRAQ7IjwZUdhlSWaqhXb2X +yyc60qQr3lNC6q0na4Vi47KoosGagLI5s8l1ygyPqH8034EMqe+5PtJAT8fqnXkXI1H1Y/AVKdK j89rUtO8zuCe8HUBftTyTG7Ka0rT/DK8rQQdpF/XHDjTsdqz0sudzuavm4IpDDNhkpm0+EkB/KbZ G+U8K6bAmFBRNhQX9lcdkyqQrwlNA+ScfRupmnTyHGVNPSpNkmGFVj+v+Ux7jHWP+7jgrh3alddk pgHLD0TcdYAxFIIcpLQlgwO9Afon0yY1OSq6rIcaxePbKLZc7lhZhUoJ7j9rhSwB0Em2NQOQIqc5 4KHPayKDqELS2SLMVYt6Enur74fM6/OaxuBhiZ8fdSTYD8W0l+ZUya4AZmqxpIr0YaXa9EH4BWmO Zn3TzaPm5zWFaWFINzrFFUYPq+E2CrDdgyz21s6lytCUACnkL5CXOL6EokSf1wQGfzuqmdw+ViyK Ko2TDxQG835e0xe/Trgrj/I5gpRcC153RZa6kMFr6g1XepohrPTLYQLGCkhoJAmf7QoHmJNiHFo8 rFyrjBZgncQYFwbZOdQDB4yrfKdRVMrPXiCaZ03Jns+v7LkVWyJhjlo/r1kLY1L9htouIunWprym LIi1g4zf7nCbXXy8C8Eap3sskvspFZrFWmKG7bNOLL4psFDYl2J1u+A6ZtwBIABz+p9cF82cz2uy wpNIoocIu20ERwiGq1I8RzP4NVXhd/vZE7A8StWOvDbzcF+hOyWyDUMKAZ1sOtTbfeDNniQFpJJA yzd+wvE3Fu2I7cEYZJxChnRQmRNtYExXCMyk9LPncEABfV47edgUeZc3SQg3Fwi0/ME2U+yXsz6v fTxEPDJxbRuWCnCcNb+UX5t4fjDt8PXis+5xVATACtAVQoeQJFAXyDIV6o0NCXaP+8SUCYERvDRg 89t6XAgI00Mg2+ZXU3Z3fcsk0nLOfYnJ0sJdsap0cnDc+8LDSUyhMxww7+zCiB3i+VuW1mJwhna4 Ulj4iYlCNnap0RiXW1bhT0n2hXLeo7Rn0b5stK07lc4t2Qcamkrn0NWF3fuvAccWSPY9sQ37o04y ohZVi5St5XEZfDp5idS78xyus9vvG5NltkRy7+oCAhBdlAYxdKxd4mUPIvMJPU8PjO5kkhe4+MbQ YRw/3Q5MtctHw39K3J8WLuZDrTvhnLOA21zOu8SijN+CuKb3UBt7Z0oxufWMxVFQIMP7NfROlPIy ATqkHmCappXE8LG/iQAjnQ4iapKdoT6lxdK5YvGv0M7qvGTAep7UZsT4mycM+RX4/24LJRZZluGC F0k8xI1FVxEgI34/A/2ZpS0r0hYRVxEIgTbS9CaNvSBxzFBJ6muGnwJRg2T1rvLAIpLgOym8PJTZ iwWrzAUaIw3jSHsbLCLoPxDVDN3A/nVtkeDYO0mquQheuYPBl4vavVY8UwygW572eedIsehb34yO byQkILUz74mu6DuA70qYrsEyUi+RlabDU7rTYrD9nukCO4CdoWe5L74zE4VQJd3Z7uw2J3cQvfUD s2RMtfUyDc0I0iiDgVmhE7oWf5n2lsLyZq832Rc3vsflZ/8t7erxsdqYLNeluuelrC/amfJy+A8N IJasXCYp6Yoz78ludTmgfrg/XPxt2qXYe0kgzV1WEbqvC+zn8UXnlzi29C2zCoDW7kfV4vnRgG9C UpfRP+/MKOTzJCF7+KXu8mgRZdJsF4JjhTxhDt16e+QDqiqjia/tByZLd/myWeDtK5bIYywFqkiN LLPDGK+qZcX5GY7Hic7CpmfLOy0Kv5qSulJ6LA4SwSXFjl29n3dWFE7MmD+1ESId3mltTJbxojUG bHDgZ/bx4mjRwZ6SJWtFhzdLeTUagJReLNoYFC9IvRBjqOsiSXSi4DBnE/pe+szxPd93hkzKcpj8 ahHyCvKjjJ+WdX/eCVGRaT3hl46s+Dx95Hvqiyk/wq8aL5j3U2gbA8hDaRnKBhxKV0/+lORdGgq/ 2qHx6BpC1XZe9EThTbKLiPHSHsMgGK4K4Q0laNF77htcultVQFaXAUJMbCwO7Aapn3c6FJKZ+5vM oBVCVVnuTJb9YuTVanMvanD8vXZsi5LVCyNsRAIemjT9ZT97EHqtxesk+9UtslxOsCuuc6FHcAII n/oK+AFqwO+Z7AyOW7UfcmLxTl2RIYft/vm8M6HwOvX+TYAnRfK6X0zvRCjGJsKOh+NmTvFspk/h YqEXwLYTduadB9UC5DnkNTEer4kG69i2KtBoFormrN1RoMoHtTMuF3D9e75fTVeoGTSMfHHUDMSZ /zgC9p0G1R7VMRVM0K4+fi+9c6CwlzTrPjesoU7xQdLmGyHq5Yl9ec9/kcqwYCoc0nKJ0XW38qnS eXmuvY4I3wvZIEBGOEv+LgFqyu+ZdbzFgCJRYwdgacBMW4gQ+76fdwLU783EoTqnCTN2Jmvvcohk F4YiCgie/i4d3EyNUQZgTPa83+lP+N6ULKTKgC9+Y194tSAm2ImBKa1E20jjwS0FoEVQdqlr885+ YjKz3rreaEJr/GhX1Oed/NTc6ptRRr65uLBXvEx5/gtxAFKJ/lOc9U344qCbuoVie1FYNE3+lGRr dFro56qFW4NOBnqBlGiGJlgRZGQSMg/lyzkei2EO9d+pT/gE3vNDngojPBUwmW0OPtzn8858ivAd AXhRLybaVO/EJwRtvAja+P/oX5o3dnRX/oTErNPC3nlPzNs1P+o3lmCvlFvomGlRmFx+5YF2vaKS 3M+VTTrhO+kppke1yR1VypkIpKC6ioNwR/u8c55wb97z7X5TSwcAK21Llv12+rZQ5Zi15PV4A3E6 ryXrWF5LvjOeWnB0R6POGBffFtj07UkFLYALSZhGf2ZSrUumhWEx7N8zSWbq1ku0YlH7AXDTqYJp fN75TjzQ5VtlV7hNRJH9TndikClk5Xr4bTu8NzYdar2SjPD7nv56CYw68Vl8XtIhfwS9mg0V4g7l UehtQPNyWaF8fgoDtjbf6U4xpoKX3YpFDSuYpDKDtXvj8852wm/J9oC/SZSd7M/OpPkvaRk3FHcZ iImN2aco3Vtg5Ph07T3/rRLtshKSUZiLjsyBcx6nBbCJWqUrCFWk5RjW35+K6eprZhtDiQ0yFbUI igfDX87XBjbmPf31/mucGLY9gNHWxqSNX7x/KlRlqXC3ywGUofYemk5eMb0TndiXZWy5epduvEud VuydNzZEeEhqR4iBaRWUcyDp6c1w1tjvRCemeJytkdlaH2brwIuqZGRhY96TX0FVnyAD7wzyV7Ux WfKLRAJOP90xMWO6AmZ7nPkOWwp8l96T3+rJ77xtxBK937Vg6KHY22K0AtYE80aUkR59CYx+5zg9 J6ZNifRNh8/CjWhGW2V93ilOTczab1UAMGnxA/NOcELyMy9jTPXbWuCGS/rXYaEAX76q2/qd39SC qWwJW4nF98UuCzCbOKgGyo6poL1PEBzqGp5oIgsCyOed3YShkJpUbZ5YuC3LLjYxeCyV/LyTm34T X1lmWW62vfP7zm3iASUwcSqYtLG88zsuh3bYlnk4Z2vz805tegrsKXm1+ZVXgxooUHwkGQCjyFIA gFZKnDWhYMIV6p3XxFEZO3i089OiC+m0qwtp6sslb1H5yWBAm4PDgXYly3oxEATk0VM7OVlhVxoR mOjHMOPW/D5FNRAAMwmU0eKpHTrAdhIAEQfIdzK6DHrOQ2jgcVKAlAu/ZVYlqU8lS74TGF9ISFNg wH4yv10SdVv52ZYKA4NANWQZLwxT69WAGiivMtXnRReiEeI72dNhAvNOZnrGBJA0j0WHxZLlgeEi 7+nSj3gFXRpjxAX1R3qYWgjvZKbmboNVVtC1w4eRv5LFsaoOHggen3cyE3sO678PDBr02pks4704 0Z0sAFL9x/QGHtycAtrQ48BkHd9CzM+ULdR8bKHQogLUY5JbagXDFbIKlGIAPr6GAWyEv7OYouFb NqHVWnxGYLGWNVKb/HJZxrt+8B4Dfvee8L5TmLCR9FFo060tB6ECUrbsNaAN11HP7wymKM7w265Y POZaKjcG1SEm7L4uzW46FRXEQXko/hQc/bwTmIhhotvndTOxHS/Sko4raq32eecv4W8fmCnBg/gz PK97JzDhExFgWvV2FEpgNavGYG0PWctbrjfv3vlLbPiynqbonxanEVxADy+xMB1oUxekATAbQe32 h/lGPc3PO33paVGJHKYlwDCHBJtuwezzzl5qbkL2QBz2+Wk4vJOXmNhdUmxrYBymWjH2p27NMQ4t dCHeuUst7H1Gb8LDsL+8qVFtWS/9t4D8QBuPwoScDXW5B9ynrK7+RZNZ5GBOV9qz+JSgSlTXko3+ eacuMbUb3x4VLSTv8LL6nbnUBCJG4uKpXaN3DurHVW+NWeTyMumduMQh+GQV0HcsPiW4VqDcwbES WlTy/ewQ3a/4ww8qMEuoh7ndO2/p6cUcMNV8UZeqd4EI7K/C7/eP+pENB/wMCHlqZ7Kkd2vgVqoz SdtxqPOW2F6lnKULPL1zlvC9SRB1MywuPiaAsqFd2NwZ1I3FPQUA8oP1EX4XPzOEgbxTlphXaBRZ nkWBxpIhWW1bufV5Zyzx+3nHl/czblFADAS5y9JeVA2t0+f5B+UMzT0oKhKz2tjLJ+YuTXvJHxh9 nVgcdNcHvMlhR21nxnZEpTXz6KmpdlzZkyDnd75Sc/e42oc4XcwNWAz2KXk5NJM/73SlQDI+AxQk Myd64e98JUL1oI4gDUI0qdAb7/9BqkABRJldDufXvtOVvhXkIGuJyzM/KdC0ZWlNp3dxDBBpqeWK gbODZMAa+ryzlZ5kppX7LAzBpy7H81oO/XknK+Ft/y0hK5xsjie/71wlHhnCBY4LZbTbpZFmVy0n 2kB6gkLFl+mdqoSjvlUBicd1Hx7XtQIdmjOF3RjLWinAAviPCIAQzHDeMQ11Pu9cJQ5AqCRHXrQW 78ZUUWttX87nnaoUQ95vCcn33DfmPf21ix7fUaQ9lpBQ6WJVsEnypJ7T2g51fucpfVEyddVYvH9X Nt2Fkf6i9SCZ83qoU1NJRY5LG0hbfkJyaXM8cIkL0OIbcwQitiDTPu88pV9gVf3jboVgGWhn0oYv xZxudcCDHXZN+C2sEW8GTz4YQ2lnsobvXOqBaxZ5nlkkVKJBYoabzRkYGBAYDSAjhuKUY/c6Esn2 552jhDpyuejgjYXVtaVD68rMZs3PO0WpudF3oFjRbZaTGDcma/kSyXXkQ42BG6WY/gOMtmYEAIK3 QIK8E5R4JbLLUviqcPG6YMA8DJ0WwOOhLKSbayK+48uG+xHrgndyEpsOZE5z7K1F0zb7tk5sA+I5 TX/7T7UEwr8l974rKdwBMkWllxpwB6qADWgjbe9RwQHUgeBZ9iuK8ezqPfTnuFjya9cIoMPIfoGZ 4601H6TZt1wa+pYJbqhQJZBFrxavCsZRl+k2Pp2NZ9v3sqb0BOpX7UuW+0pfalXfFzskDjbTqI+X 9RheRb4zkloY8k0i37UE2Ix8L8BALEFaVoLwzjp220FfZXyjy9SXTLoOlUIEW15ZO2StOnwtuS1z ns87GSkg07Etk2i1HTlMlvfOzuzu0dGTfQTmpsX/tzVH5L0jRfk2LyNbLJ73gpMxQOSmwszkwAty zfhuF3TN4M4SmzjSrLcIIb9vLAwucEJ1w/rT8XSS283fUul+G5ojzXk7x4/NnajrarJmQb2tPBiN XoffjazR6yjW7hDoGqHFrogDYB1IWhUiKm4+zN8OfJxavi3Nru+Z3EZMXFCLxSKY2RxlOvWRTycz 6/YzNGk4Kiv4FGnKixjrvGpw9E90qVZxDe5x6NKG6DLfU17PDyyfZVOBizfv6AGADAPNu7kwQQJs FblzZ/fuKZMmu1Qzp69pNlBuLJoNYPQrqPfsePr/wNSCMOaNEzOzjBeXHPSySzD0b9SPVBRC/kJV NG1MhnLQMImvoy/aGOwFkE8wecMov7oONSmvmKScrywI36SZtXup0QVZuBIL3yRLvJbaMWMcPJ31 NX/bvXCnjcHsTNu9ncSb7bjwMbsLplwNk9inWl4KzKzdCyYcaoC2Yom420G0ZpE0EYCZEldoKDew 5cZ64B8QJeT3TF4lGj/dJhZxC2lpq9Yl8Wr3xMXTCY8gCCcENFZEpYbfVHuTUtlQsrXKGQnCzKBK Qae+15IA7gFLlwCQmSW9hKTZyy8wVX/AVFBrAzIcd/W1knTJFQuMv0auO1XzVA0wiZlZ0ttJPJ9E kGjR24RhFSkCdlng6ST8/kLDgazCfF8bk7HZ+OIvwLIXwwwx1NAg6jNADgu/2OChSXNeXtb2X7ZY PP5adJS2pW2M7XCRKyj7VRtK7fXxFaZhJD4hm7RRtoA/ABPnxZYTpzHHIaoNTycbs37KJLDraK6n ncmTXvD8MCB1Us6OeppDQfapyO9C0jtTShtHkF3+D1y8sq790BQVlfWEApeEqzqJ0Pa/AjPv+Dsa RMz3vLc47fwSTqxFNxOKbPWpcKSzvPd5nYg/Bs/iPi9T2val7QohGTTQZa2Fl2lBY6tpTDC87TvT xPeKrkYVkBHTdvtlB4g+oGdt1NZXDfFCm2fgF+E3GWiq7d8ze5mYxKx+YnGgL9gKKq03ns7YBD8s LtyHtYzYmffUFwMUUnPInsa/mhiPtDOGFNHxndHblAEdisgEVUlNDWoOqGcT42parlyLfPKBIrQI au3tq+6/ir5msjEUmWr9zli0MdAo5EVsYRVPZ6X1TzKDMRokPX1jMpjvVP/1XKfmkBxtW9Qhvx9h JuxQZwZ08AEtpaSw8AtgQAuFnTKFALHXUyTiTi/yQcjmY/cJLnHHJ/wNTmVZyYpFMN9drgiic1w8 /S8AnrxH7h/o+Gln8qYvNKM0SQIgWdRqOzJQAhZoflMKjWEma/oqvnBI5YvDfMeZF4pMSIBBX5Iq J7vTEJDeX+3xSfGPmUEd2Dz9//wILae+R4go+/vh6eTMjLi1eTWhX9lqNDdXlgMjPbdKckzHzVOP hYgqhgvi5plf4dZeWduXKlCg7+9YPAfei6p4l5cTiGPq2EClEw23rxvEnv41sxmKnCzl8TQ8zoyK xjIjRR0NTycM2t9OFYhqN+AOK0uBOXcDSZbBtsH14zE7QPsXPNcbcIeVNn2VzVQh8WroI1t9bQF+ A2G517AYWpXpYYzfkJZiAvwUTVff8y/M4nKvdCeDpDusQqevLBB9C09niIff0T7rr+vFwUqBvgDw 11a3E66B3mRzs3J6KMgDxVIKf8p7AK4ql5rCjSiAi5AHECV7bcyB99bODCK/8Jk/ExQkKPiABJs4 BGbdKxbd2ZgD81e7veLpbE7w0w3HFBF9bO1Llv9Sxeq2VVzKoTo1B7yjE1IOEgKZn5Xlv7IOmVvb Q4HuP5N4Ktj3DdYGpYEdKca63U+DHpyBv7P/gj8+I10Ty4p44ou3qnxGgLY0ns7o+Z784jajFvzt XjKtLPsFfK316RpvzUJuUYBZd+4/Yenp3YeVZb9b9QAJlFp8tH8OLMBoGN4g4yolcsAU6oKTJZCJ gWXt+p5ZhOEQktpOtqCMYaV07MZmTb/s/OHxjLP0m8rQ8z7aVStNfyksJLnWSwMIQYZAlF5K8gaY VHTKXVn6K3bbUK+bi28NTH4HTF8t9h7LgKckAi91kTvvTo8xKBf5PZNXiZnZldDFfYQuYE8n8zjL ovF0Bgf5nZ8AujQi+KYwX0wA4F3Ok9LoVMGOlebC4OUceLop+GYwX6Ed5mQE4eLBl2z0Q1SV3XwX GSUbFFDOh05YjJVmfMtsrITrGnbEsajIdgdQvPgbTyeJzGk/YBDLfGCRog/Mur4DheTuS10qWOtJ uBWIhxqIh+as9JV1fQkosESu+ELTOnQfMDABXp6R1zIZmRhJ7KDQoPQ5LzgJ+IQkj1EFOSWDF+Px 0Q4U4xhPz8XTWe77eyfB/LDGlZSlvhAJgZVkCVj4jUHkcniIBpGKMWnqe7xaqrE4NrHa9TRB7yX5 zzIrcq9BogS+6oetT6lufECS+aKcg6HRs2hfYHJEd/ZzOp5OCuweEUbqZfbpwbxeaeaLYt/jCv9F Z2n2NUkfOCTlNBdHSVu/UlsqLmfwsCL7gssg2nx4o/pRQ4s+0xUvx/kyr1kz4xOSflXnIJI+Vlq8 wD6VY+xupQaezloPP2hWqsa06iFmp71fXASd1nMY0aIco5sebkIV3XD6Wiojd65cRhyegGdcAqGI qDIYe2e5sG9SAtjY3aTEtTfy0BbFB2Rl5JGGZIlFG0NPDfyrjIGns1nB+M5Q8BuCeKZ9yfJeGoZv qhP9p1DqoXBfej9u32kHBgkHlWPS1m9Rz1djxjMCILMO7OE2e+IXlfCWNjIVoaFG9XVAgxoGv+df e+JSzX6ciC25K5sDGysIFp7OYszPEKVpyuvv0s7yXrQmLH05KwQebvgXCCHOZlUobO6U4MbGwyx+ cMJ0Ec49sMIhEg+hpUnTi0RqICT249s+Sb3eaeuX1rkF16MvwVYa+qNbUomns435gShypg+UpDYm S3xp4dfLGCHv4OogKPPcL4UlpDYmbfzqFdmiRu4eRwaA6FFCedQSTiL1OvCGt0Fe4zkwepNyrMOU m8OzCBoOg0gJK52GpzMRwJ9LCdMl+bVzX7LMt1NdHY0F8q4nR2dkRFLaT+IOc0s3cqfkNm1I1zCl f2Uv7FZag1opc20UBZIBPAQooiHevuJuVd8zeZXYqiqDpQGXgG4SHYcLquPpZO4WrSrpWC3Cunxn 0sQXv8xtHmhRNMlNpkOPjYnvRB/adyYDOwT8TqXB/I4KcFfPJULkuRJ2hZEM3iiqQH/FADF8xSck 0XcykTluxnUfGYPZt5CKa+Hpf7WqEH1H/8rr7AzvAK0CS8TKdLxDeeQd6g27AsjpMcPbWdv3qBSY zFO4+KuEDtvudCtY9oMoTWXRBhUBYHWM66GMXfQ9kyPDIPP/2Unbxa0WcC9r4Ol/6jvgimGtFEcm 5bcB+9Kpnkt44nkUmSSvA6HRHtX1TiXNJFEl300unskg0WiNo32rMY6S4w4pQKjIIfNws2RKGOys 6yuFqgORC19EVurzMqABPoSnk30J/S7NCXAheWtzZ4gH1Eh24G/Q/mh7TlZOOUJWLZjkeOzNMt/F lHfW/Sx+YK69NvbXxQSFPU+2szrGAxVY0FbmM1tim2pnqe8gFuTSS02LMND2fYUVq3Xg6ezA/GIT MU7pT4KX0tuQ1pYTaokE96iGXOw7bLilAkbDA5NLm3FLKPuq5dt3gFokMXgbkF9p4GHWDj2Jr7KZ 5zG5tBkyuylnFC4OqWrC0Fu1evF0luDVn+Brf4Ib85OTJb5SdLxF6m71TL+t+yT+D+2HTWYs9uVk oIdVXQZkxhJj2tORBhHRW0ujMTbEmCDiQvzlY2pBvRN8wt/I6H3IMmY8AvOFVz8tfTuezoRSouOL 36CiIkHvTFuT9nyRpEhnmxIGg4NJtKroyYdeeCe5hFuT5r4KLjLn4uJ41gO1CMpg2ztm/5IhyObw BL8spLCdK0pXvJP2fFktrcu2DBfRc4DelhzI7Xg6A1b9vky3f/EgJwX6IvUdzcWp8C+PMkdJsKKM Z3gnhT1c3dd8l8ZUyYvgC8BphzkT9CTLpHjlpKttxeQZIllxLU2L+PiErFHFpJcpiRZFmVWLYAuW puLpf+FZ+e7g5OIC0NakTLfJEbbsKgCzKq5qPAAuJz/n7EA9nBTqq6ESbdm0+Ou0ObZdi4JM0FJk VUB5G8r4lPq9mbq+Z9b4ZQXJ4laLenhwyJDsRb14OoszP1AZWKWGgu/Jkl+meBvaEzSl2oXtOvu2 YO7FkJ/YcOxLynPTWZH9yXjsT0igs9AlCQP7P3vVu4QN7kh0MVjyMNP9eya9KprCWHI4YvECu0wN sK3Ex9P/yGSQikHBYEX4zVJfyOKDR+ECXq3eQG7W6lVkrSfCbyrt4P0E8VCqd5IsxkDj7UJu3M7c xZhfAoq8Cztc3p9MZjH1PRnkgbRrYB1OLIKG975l2GoZNp7OIA8/RH18vfMcmBTqW8g6eaBV9QgP DndAoRQtfb6ODD9p6ttc8+LGEvc1gPKLUtgHBDFZVg1SnNRtfjBnyLnxCX+Tdjj3+lLEP7GdpRaQ vVJl4OnkXrr/Rby2CztQiifluVXmu9CSZeareRs1L+wvIfsBRBgWSyfF+qrJvdX13Y8YyLKUFY3q RVwVZikk0XKsCJtfuqS79118z7/KpBTyc7hoRLv31dtw5v2ctOsb8l24PciifjQvTkpzY4wRanMR yakLimYlLu1AQwy+S/9o+3ZtUB9xZLAfq8FiFf8Cn1uxt+vX/HOfE7NJGj0Z3lcA4b5YZnPhq7Rg qCJ8x+mfk+W+bT2h1+IuITJeFJws9YWQp91JFOrCnGdVjzGNM2GU1+eRTz9p6jslJ++w1fag5hf+ X1yu6DpAcoSwKrCiaBizv7NrCCrzE7KRG8ExVVCZGhtj37e7XUVbePp9Y86vfCJbVTeUmG6W/C65 bPaQ7+rVh5H7Vpc7bsz1KXecdX2X19d3xOK3tZXSdrMiw1tQkCgy5WJtANk0Wv74zmB0g0/I6H9E tIKl4ouaeCClMk5AvvamjhXBKFAmhh/VPPze99xXwrNt1rACHKD/sUgqk0wLEnNihHJzzwqhNx0r /igeo9ewqvQTIXG8OFGoMBxhTsMIo3vpkmtxU8gvvbiukp8bnzDAgeYw22LqxtOZQvZv+EUTuMZc /6aY38Xy0SMKNP18GEldfEqRLoojcmdyzK+EQDRKuY9xhWV4Gz6tk5MCSG2ugBdBPW99Z7REtd4s 9e3MYxYrZS2qCeyNHJ7OXjz9D44bXju7kXBatStZ3kt40+1nOtqB8jZAO2yyQoh24OQVMeamaAfC HMYWY38/jH2Ix1QRuex3qW0JbUbRYuA3R/9e12w83CzvvV3SDutZ1KmqdIBAyCoXT2ekAh+gbG/h nZC+uH9D+8LTLrwrbq2e4EVAXvJq4cakaF9R24q6viW6vnUPiwDEa24wspek1xvtsdGzW4/JMdqd /IC/KIcXYZG1CDdUhiQeIGiPp7OZfvleSlRo7cHluqmoGaogIE0Ue0df7nB8ad+K2DvVCMZ7lKId VClJsZdLtMNhwFXWpqt6DwlXIEzBNPhKbU7KY99c0ld5b2+xPMj5IqxDOXj6X8pdrB2QCvXo4d0M 7YBmKlDQRdV1k4jtgfBela8H8hk3a7ipqBlNyQaRuFqiVLKQaYeGCR6Y5O5hi0gFESEUQHiNuTVL 3zNj/0mX4T6LrmvM8yjqW/rB01mp5CUBLzPc1N1lDG6a+SIx2KxuqPBQVyg8zD0D77DjxKR4B3HR ZfHBxfMYsbgab+vd2yKdq1F5GsWRBB5wZqT5h09IEjxpqdP/TItiDJQe1Q3vC09nWkzewyPdtRKE H2Dfm/Z9i0aQErqAp4qmb5aN9Ac53yPK5GBfHRaJX6yveBebPZ3j613OoLkgJCXRd+qLQzcnjN6t r/mXrgP0C57Fp7SEn2BnDp/OuBY/OtC8rfE6a2Oy3HdMFY/FKYC1erfhiAAHTBX/XjwzGeJha0fu ObHEnKAQCM0eHmbNEhgfREZNetl/nRuGvmfWEN8io89Y1N4cp8rB+cyDp/9xZkJ4aD+WZCXLfdF+ tQO5ZUVm+Smti4F4gLHp4ASFUxbKQJdU4UFlAbNvLbqZlv0S9tMRb0HQ6ZV1Qy2M+oUv7QOT4ZVN ZMF7Zk9hng7xFXufGPqq0l9oELLarpvPZzDFUJzna8j/6HH8SPXNgGTf6Gs3NqxaFWimd9noLBeA 8+1JM2CVkZLyGo+UVwOM6IxS6WU3+mSr0u47VXR4Jx78PLyu9REZuYvgTb6UWtTKsyPD62qsMfh4 Uh2MH26BhNoDJV5L6m7BwolTcymSnmji1eaMwLKicKLOanIJKLttMxbvW1mgAObySOgMdwoODwZN DU6JEDv13WEJy4/I5vyyF+XMtj4eiJgzUaC3Wszn40lm0/q3c4Wp1Q1NmVqyXBiAB2BYY25QjiaS tB92DD1N0LQ5qY2b9Gvr2bF4amPFDU4miaTHcj4mww2m4OhA/aFXqrtQcXCAj8gYBtKvotI6F2cY tOmomTL4+D+5x8CQ4RiuZ3eyhLgQSU9YCitLXF1CuQ7e3RdmiKvFi5W1gt2z4IjSdB4xOIyfxV+G ndvdbD3a7uDyw/ClQ2ZVDSwI4esjkvEBy+3L1EiLICJ3iFTWLwToS4qECEs3nP7aEO/uY3RR0oYw xYGElAEdeYwZWIgqzpcFUSjQ0emi5GK/YqcIcdUexNUEuaNDA3ajZzMIc3aFLzgp7/FtY/Eux0dk WDSiIbbQEDvQEAXsF+Jdex18/B88ZHb4KN5VnsOTu7uxuGzOECw14k454Y/YyRvXq5Ulx/LIHGuU WDzugBvLXi5YTUpnULZCPRwwxt4e2BVBXvyITH2HUZkMFy3aHcsNpI2xr75h0pm4EZWVk9Q/N2YJ dFtKBoF0dmjXyTtrnSDv3EcOOUqqWtLesKrH/890aBy6sVakF8DDdrleEP/KUHDD/g6Zrn/TpHbg O2VZytQydPtsDBDVNW+Djyc3eiA8CQbFmRjt2Z0sRwbLCOEmwjICpvwS6xTvFpj4EruTyUF0h9FI Qm+WZ9SN8RaQnkiSLwRuq3oUmEENutw8PpLyYS2p+O8m/FUpLhc1byz1FoDVrlY+nrxZXy9JkK6I +4vNyfJkVF9w5q3R1RpedPZ6uhed4/GZL2mT+PigZcfixRU6zOx4WEAuvcgCotH4ADgFNvvU8CNY nh+RdHAYdgYIhr4oKu9T2avvi8lg6n4xflsVyM7G1+Usy5UpgHnUDW087NOVIVRowf7i8OhM/ZjM M0XGeHXHEk2/DUu5JuGZbseRLyDpupAfR3QOtOfzTTMCLvERjhPuLWpPTGv4YtiP4OP/KrGYG4Gu GASwmprAodNqYcdVoum5peKT4h+FWuPCSUz9mAxWLvXS/xXO6LAlW8LWHJg+S4gFGRRBFePhJsvp 7O8mcND7WrEo2+lDyp1QSOTjSaL8CH+pVEVfIGT0au4DB+1z2J16m2vGgPeALCANGvBA9WKlRnCu mrHqiEWbA2sdWJLixWpgDPKIdRYkqDb6twKdSgZTJzg32rnSGLwsaVRHUHbD/tUXH8966b8EFoiF 1mdzMmE0MENjMjVZUDgTd3JD7X+blyrF2pw0U9aJuAo+9zEMv5BLh4EgkZ8bAyiGZLaXESHO12l+ Vf+m2XtFyx3mo1pUEExYy/A4tMrHswH4M84k3wXop7iwUjc4WjRx2tP+g84X71iYno1Tww2jbh+B 18QODuqP6qarhbwfuasNGWzKDBM4DFt4xGQwSdBX/kOno1Dxr/5NkyG4vFupca1FXVIL8DLkvLR/ zDLldqPl9YeY3D9IAnxzMolgjJZaYy7FjhcBlVREYHcOQcdy7ickp3mytFeWZnfrmd2dMdHBaKzP rTQSdcGOKnJyfFOEZEfatPimWdhhT4cpjxaCQCEwppGt/QQ+nsmaPhYzvjt4kX13UsbcJDRgeQ+5 V3fOQ0i+HFJZROotjk4Kn9A9Xu+zOEoApshQA+R1fnqnc2frFG5FJrYfCTmJgtXUGi40X2npeq6T wyZlMKUY0S4fz4JyzDZ5rQDpNcoTd1LRCNAl7MYSl6WRRkg294YqNMVNO7Rq3bY0U42Y6gduaQbv wMmChI6Cc2CIB3NU2jHA1hV0buz6DT8rzoT1TbPDc97eLDucTfOHXfR4pqjxYwHMqdAjj1ATgzjR LdFrcYuMzakKLTLW0/oCZ8cPTw6kUMARBGeH+GCFs92axIQOtAaJaLBLmOME25Xz1dSQ3WLqEDeF pJiSjpgrON1WwApFbDkhH8+klB8jNHKSASMOi7jUI64vkolKgClEABrAEVeH5G+y73VlpYnyUCtZ DbAbbvTN7hPqS3d2vu4hfGZ2slxwZdSvRMKSF3DqEkfzA3tz1rMoKAOYxN/OUgc+nlQR7Wc2zq4p ijrtTuoTR8WC3q4zdfsIQaw1lo7OAjrSj05qFIdckmnOjcWznWIbPw5tvxYkz7cudPkCM0TG0SnN v2g2iQBeazNdwqICdMLOpqmewNFJnOKQDtSf+xyV3ng67qlZ3MarT6mmRuPFs0NDAhizjgJ0NWIg p35Mku1swU3+V226XKBoSQ5DeJ6E7IHOAbkp3E4Iymrt0PecH5GlglQ2KipAixegcEQf7CSBbMTH M2rqL8W7/kCKa+4XRwo6pFIbCJjFrWbANoIOE+TC8Cf3kJwYxuHksHRawt8zXqnEagCHTO97wWsI /11ZNHO2MCLHzsPpXi/+TbO+F20zLqGzXLg5VuRrBtr7Gnw8y5PHDxoHs7hHmbAmlnF2YSFlvbUL kW9PNHUxLIM41+EVHX80HZ0UV8zdQRMqFk8F9x5iv24gc2uTHDfmgQ0Xxvxq1pzW/ZtmA3M2vOgr icX1uMvamyAunEw+/o/ynE1T1fjP2UlNNKji3k7zIV/3Hs+Yx2VhMcoasTtZS9ldrKaL4T4907om exUDQliIznTR6BgrsYE15jMY3vFFM2odbRgbx6BcBEiHPKZQFnvz8YzY/Ixqqm7zFaLcNTWPG4o6 tTmSay6fRtzShXYDWD86O6l7nIsrj/EsPgJFI+MKFglo5xWegAk1CBNtP2YaEZPzRJltL0I6tKh3 sTlQxbV79Pg/hHNxb7EpHcYINTWQg8mV/fL89QGSPN53Hx0wUgRnq6hHlFiJgxy67dQ70mvDJaoI C2lIAamzjO6p2vG2EWgtQyJO4jWXkNGaGshRao5JUiwOS69bkuUWEvh4kiUHzo1dHQTP9o3IWTeZ bD4dUja96lam06HgGHCLMeOtyh01pkqrG0sMakA9liOY1bOTCv6zT/XNwbyJzWG3g5+QbE5Xz4tC jlz0Vp3L9xEmb52PJ9Xn/rnL7ev9vFNZhryoFgDMmxef+zrcQhKOhFtw/Dn1Y7IJnzpZrcfygAAn Ti/6gRZ8RpMiKsDlQKwi/QuwW/HvmVxVZK+cIox6CRc5+6MeTS8tCvHxJN6E1wiCOHtT5amtUh+5 wiu1Pbguvg1CXDjO1i7gJ0FOjORKsHm7+7E8HESLJRCroHT5pVwh9S0nrTWQQ1D400fD079pBsSm VhZ1rrRwd9BtXI9ZcE295H6EbNAx6QBexEuVusntTr+nIzV325FV3AqAPXOi99t1icua28kJpL5E hFkPEcaqwQqwbqeggiVs7Ix1dpzAceiPMNQSqiA1lFPXorNDr0URB55+TAHtRufjSYJcveHlRrF/ VhgQ1tRSjlyDzY8CDXxTZp34/VFlxmJXwXNyEk85h4ugjrqxeGkFJi9odxjugZgYLKJLnk49jzoJ gMn6ohlJiAGnSSA1XIlHhSL4UEGgL5ihAn/mM8xzHwvYmjvL4S8FlOSfkJtwKvikdYxCTo3ZXmot 55Qy1zQP86GNYAY1sUv0G7qCygDR3IRrOWXFA8lUr3/TTM8cu0Okjy/Mj3vpmx+H48rHMw26nz5y 520QWhw1N5gDUb7du3zyOVwrCoYSzSEXHOlpd9L8mGiCPuaIRbvToHLVHRt4YQzP3UFAa8ha7gNX 3/K0TxzmQGPdMmi8sbDahDlAdZzt4ONZ3TkCmF15YtFW8b1Js+OpGscF+sa+wQnnm4Je4EJHwENO KjwhDtXyyHPCJRcG3HsdeUnAM3dK9Qc2UUiP4boYjKEa3zTpBU7abNCwVIveKytEwipu8fEMjvLT sQB+ix7GvjtZeszW8KFD0n/4ryOKYpe8L0YQZYewY0295jQzl8u5Fm8FHkTjwdHePKeKNdQg31AB YyCiQPJiJNLxI/7GGxqb+mJc1CmtezscBS2LxG0OhdlPmmP1HIBivjdZdryIeyCpQmiL7TpahdL9 tNtAreUnJ0NbDKkszPos3kWuyBOgpAnGvKVhBDw1igXRjmx89aJoHFZTuznxHCg144vapDA34Xth KQEfz5QWfjnQFifuMzFP/OZceHh0okjR6qKZOltdAbtY7bRnc7L0WDYA1PXzxW/yC9QO2i1QGbN9 EnmI9iyHDc+vKn6Jb5q8VhRl3jRM1MKDY5egeCeYBPDxLAs83zyHNOhvYZVaziEOQub4sHXTyPKt st4API1VZ1/Og66559xV+ndPLJ4hD6CMqpUMdlDsO3XtTqEkM5r769EVIMyDH5Gp0xWVmzMWB1ss KRva/d75eJIF/l7mvMgrhhi+PWkXGQRktNYgKMY0fFLnBnmzRRzUnRuKNV3b8/ck2dKOE0vgmCYG nHCysW3uUNjlxBNyVOC8bPJ+hUW5zb/p327zIX81Lup2wRuIUcduND6e4CfPj20LXOfIx9bu5N5z FB27NyrP434T9mIz/6nUpIh+TmI+B/sX+sW2OmPxuDPQXUTttGeBmHcRVg49DHLz9lN5luVfNAnJ krY75Vl0duyUL0HgzuHjWT/nV1UWw+44OKn5HJi6Fmvc0RLxUzUVLuQRWIsVjcDUfc5FQo/EpM6j rgU5Q6gX0JfvOlcRwjfEBDRg+r6SQPFNE/QkQfCbqZIWMYqAhORrYbciH0+uq19YMgIORGl8c7Ic ubPVdb5Yi1o9C9xhpvuD8Eoc6PBK89xI33B+9Q0xt1oX0iMYE4DtJiRYRwKHjXxuq3H9e2ZgAuJt 6eSlRWW5VbnyMmq38/FM2ftHL4kyw+u5yxMPOnemblSwYqeLvWp0ugSuZqdrrZjM5CZ0OjhUKdES oGQgkQv3BsbvCL6UZSt/gNls97msVD0kHnQ+mKljEk3MReMYqIXy725/Aj6e9Y/Hd28aAkALD+aa uNDB+OewlSpdAYtrJEBAKp+vsSRf6LOAHPAvNnReUO5YokV6gNiDwOyCtyMx5RgwoUUq3uRTlff4 pslVTnmg3oj156IW6VnC33Y7RHw8OzmPohS662BPPuPg1IoOs05IVHh3nWhYgU9I6sdbVeuN2irx ovsSRYRtOzveKiDcEGGI9bdSQrr6eLtQP2xd5a5RQb38mvrRDRqa2/+PFoufnSlSdr9oBKaGdCc0 B4iDJw4Gojy+PWmSDFRMJ5dJRqnLkZO7LAlLwSi1xeHJ+XqalssPdD9+oDC9vKCRM+jAE4xo/4Er /4IqVb4YplL8m2Z5IBkCmxoeXCLTcS4Dk+TUmI7a7F+UTqNpRVTmqTUdGEDgezeXqxhXwP9h6c0N uYrjchU18abDbEZwf2GZzsOXPgVW22SST8ADWxHqFl0Vbsv8joRpJFsTfzonPQMz9CzqeJUyRXu2 r8nH/wUPxP9j9xpQvtycz2ue030g3G7xEqKdODpn1BCxG+HE9prl9NCcXaM+i2blE2P+iXC6If49 OQOAeCYTOYwBACp14CRywNckp7uQR7lTlgIzLAWunT5X3jp4+GVXOkZzfKeu4jH+vODPi/CV7Qpy Y3rUN+K06ZfDgdVBAYd4g2jqpUOyK3Iun5fVFRc/L4i7V2XVpPasK/GifWtfD86CviuO0n7dlSJ2 TuUA2Rfd4VaNS3SroT/6uisP90FfCjBNFIrclNfUxp5Ay7GV6woDjVNR6krVu3xQNc91TM5rYtPd 0cluKXpGrcczyhJXloKFDmwFMnhSSofa1WYb5QEC0pbjNa/p0Cahat1gjcjF3yBsNNPhMj6vWU1/ GA9+Uogd7dqT15SmuzL4nWu75gB7b2zd9KX8GGyXcHx/3ROEb87CJ7eGi2fCh96dkNpaBXagQ5xX Qkh5YX/FMRGNPq8JTYd1BIfYY6mAQr5MC0uIjbKfCWj85zWf4e/YtSsE/xXctUW78prM4Ikt+V2/ rvt2q4XhOC4IDpD/Qo/U7PW5em9kBXofK9DVV4UnBxqhdhYGvYigSQZEq+0IGvVBcsCN+XlNZLrD BMqhE4oWXtXgQrKzOCasY5NNcae+q44E6gzc/NyV1yQGb9xV69P5DSLEM6hMB0muSfcTutEluyLq +Cq8grno/SkTPwa9Cqsz7OqRqofV40gm7cVBA8zBJYVwyNcEpoOFIZXmcWIRZGuNOdzZ8X5e0xf8 4cf6eYGYPg3fldfcBdG58WduGYBaYtddD34QXYA36KKpLmOFbFdIlKd9hy/aFYSSejZFO+x/Ll3l OH9H+Mrv8p1FUSc/e4HogbvJg9SiqAIbUFZLdud9XpMWhCSNMC/nUDQuQltZ4qRZqMV/BU0j7z4M l6PoUDfyufc5Tt98zVcQajlCWFSg06JNgf7CwuDFNsWCyeFRtt8DiICKptiT64JO8XnNVbobLAF7 eGJxWOi9yuPRC37NVPjdfu5kjMNiR16beR3kdDqrrfDlG6WFusANfE0PDZPXVl537AtA1TOWeHl6 gbTGgn4UPXqZXVKFjaDMBvNFT+Ew6vq8dvKwKVdeLbPEopiyALDRpqzPax8PEe/E21NUgaOZ55fy axevC3GCVmR42ZD9TgmT7foLkDAJcYE0Uym8jQllXMuNieykjAVdQJD5N4gR3iEmVAfHb341ZTer xtcOHjYeZxXCoCeW4vdPJ6LK3ks8nN0/V9vCHQT6FDM9T+CyvHbJRGxeL4nWmV4S9S112UU9APUa ssRWM+4p9Wouzgc6rdtNiAiyrWy8zGihxWu/PgqDHwOOLYjse2ZbWJsAwjduLKoXb3FZLYsxn3eu FF4j4Ub0GmG2AJKPNiZLbaH2aH+t6wp1dFNAPtfnJmlhoxqjJx9x59nGEBOxan0W35gtzSjYONr/ j1OlDgrOYD2PiflQ827reyYhV9Tes5/FU/7SpaUGvbF3olR/wLHamE04SPGL6J0mxTqBtXP3UVOv hB0NAocViDEFuYGoSXbG85VN0Rsunsx1q4AqzWNWvZBw0BSc2BEkZuD/uy2USGRZijuHG2btWFRD N/zHuqEB/swSl+VvE3s17Nofj73vHCkEXwg/UOUxgsxyDD4FDBBkOl2qNCpIoq8auat/F68S7dKH ZB3U+zpEXwVI3/j9WveuncP3qDj2zpBC/s7Zm5UoLRb1e5HzkJdp79TnnSDV5cmoM4P7elTpN/rW vKe60qtpKESV1E3NJ2G/LNv7g8n2CaLCe65bvVSkZbkv/jpZQmhfekgK014u/gcL0kkAB6ITvvQy DU0J0iiD+EvbZF/0Mtm/xG7a7PYmGyPqkr9M1BPvcS29c6P4GzU0FUND4BLLA+LYkWQfp8boAjLM vGe7iOJMTTbFObg4CB9XXgFJBIqjYzleltMmDHrmlzW29C3f9+VKOIq/kxbB9qBXosnS6J93WhRf i599wSwCEG/flzTdXdSoHzHPJksEnUyLw8LVAEzT/bxk+a7avIvic1r8vGDyuDDZ3wvOsUXaqrRJ I3XuRGdh07LlnRKFX63L5Oe/vWxQT3dmB/C7+7wzoqLejN4CtdhQmGljspSXjqiju90y1GWdGr/O CNfPG24K73Qovo58QWTRuOpjsHaXxZk1WAicu6qUtYZ04tZ27L3UmdVNfE97gbtkDlOpzsxFABEq feB3O3N/3slQ/H7jW0tTsxwoZe3Me+orwQkYL1eZtgw5NhJ9TbsSiAaUGTJAWe4rFTaasfkSO2MX aqW9sOWfED9gl/OS/09w0XmMoQQses99qwcZe3dKLDwzdjE0ahwCQ/d5Z0Ihlbk/pSPVxKkqy53J kl9EvVY1TgN1g2wqFEe9csg0JdDkI+w8++WWdIrccPF7CfaNu5B/uSGVJAQAJzUkqX8V/MCu4/dM doZ9/EXBVS2qqUHc5L10MaPN8l8BVE9khkO3olp1WfoL5lzViSRZQ4bmACQQdEnLnx2+Ae8cKO6M tqQ8i9cFaNwtNLiBELBMtToUVBqr2hkXC7hH3zMJwCKyENSkRUWkpaVK7YF/fadAdcfKPRfT/UPU A/cly35hrGVResR4tkb4PfDwYGcKExrfl/fsF5kMW1NbPcpdYlCCa9TuPJiTLOh5T00g4S9aUfHC Rc7fpYv24Oed/cSGNwtI3Py++Hj2MDzZ7o79eSc/8WLqTyZTxEMAv4c7k3V30VzEbguEBgzgdmuo fSVAtjATUsv7nfvUpayEG0nBpjzZ71qWPd5KtV0L6MyyMX9EYweZ1m5PK3NR1ead+sRURt3uvWNx xPQuVFPotY/PO/OJ2e/xWpKJ8iFNWBuTJb/IJJpAT/9hcr3UALeau4uzsUq97nv/TnvCBxf1vcnv 4cKNGRAPmxCtQ9/O/qqF0EWxLPALAboe7sLDv2dyZIjinSToaNFc1hJr9wPb5/NOevpt8rKEoEoI HDy1NVnyy+LslKXYAo6Dpo1wzgiNw14jyqSNXg+8c8Xic5JbkAzjzrabaXGWhjsIIAyMap8Lm0zC d8JTFDwA5K1YGEfR+ZZ/nG3Q553v1B/wUHQ06asa25Llvig1QeiOQpI+rOxVkfTIXhXH5zwxWadX wZd1kS+BjzmwRKu0uCyrhig6WhUw7aRhYfgLH33PJJUhaaVgRuyLz6rtvZoql8bnnevUXW4ZO4GL Cd1zKEJoY96TXwkahhkf2Su9BUSa+huoI2cAyN+ZTrz12XRYJHVzebAxlH1C9N3g/fK8UGC5AeJ4 fsoCdjbfqU7doUlwS16xqF0F4JpyvHI/70wn/Ja1fytsXrLkdHNn0uyXbTxKQcrOJqAxh60zBJnR AyD9znPCH5QNvE39Ni2eyVgigPsaO2P7smXDBnfHP7hawO156iX/msnGSGRDyJgWyJgF4Apnsn1g Y96TXx+4RCOcPY/2vEtp3xcVzClD0IZ62BWjEMDsXiAcCkdxY96TX2/LogtbY1H0LaC1d+ThUHvc TX08+Az+AbgPQ8johbPAfmc5dVdnAlXhxKJb6c4tmb2FjXnPfR1S8xSSGI3am+cbk+W+CF6Wxbft IgAUqUfE7fJgxtzkEfV5pzhxpovgAd2eWHRiIAva+1JVUBebyejndYzuOJt4oi9h0e8EJ75KLCQX Ow9cyKmwjHEFrHl93vlNXRqf36LAdhLu8xrI5pkvyRecIvGKn06n3ARuSOyc1p+TP+Wv/c2lOnJF HdmtYmzNyiK+SZZ+Hjl5wy0Wf4D1qBDTMOnzTm2KmZBldtJlOb7vtqVLWwSq7+ed2dQfrV3fFnt7 8eJrW1JEA/oOY1HeEoU2U3YyMCB0w21Z9TpE6J3XxDsJx4DS3b5oWyzc3l4AidtWBrAnwwjUKXJn e0AMTDhCvZOauCtUmm5qV7RwdW+2tUcye/pyyVtUfitI+I1eLyDfGU1dtIBWOdYjpGG4ybClTFeH ZS5aSHN8n2Ia2GVZ3EgtPn0E32JDimyjQ9V1muy1nH+ASWmPkQLNEj/vbCa8BcR5TBAOffHMzlIL t6Lmt8ui7vjZFvKAA9SQpbyTiMAQNoJIqTrh/crWsaIMpRTo5E/J3iEGFfZYtPhhAQAIdTRqgcJh vnAPLJbuHzLzXXeYOgjvTKanFhiTbxH244/QDLcPsqgtXNT2eWcy9Qds9z0w0EXQzmQZ76Xjspp2 6MrMqB83SWZCNoSu7juPqYeH2G48D1x8Z6rV1YdcN/sdaC2s8EMO+J+vYQDb4O8cpu6YbpALTyw+ IFgiW9gFwS+XZbznZ1cGzO79kn4nMPkYqrk1wKaybndkA/WUASKjuoB2JWv2MlG3rLePWLzbQNdf qBRtZBpjsduA8ayamkDaxEyJHPZ3+hLO9aESJbFFWgK6SkMvFFvt885ewt++7J9SQOAR78O805fw ibgYmwR0SfOn9FWD4h+Sb2ha3juq30Zpu1cVUhkzFs94YVNc0G21HS/uEzQ7je3sD//n9of3Rm/D zzt5ieUfKaAUptCiubV9X+IGrF7vn3fuEi/59TNTkidD3NNZxiu53enuT4A4SE/O7lZAKghxuCHf /U5d4oWkDrgG2LJU3NSJRaMaFYm9jZDMEIkA8sKVMAFZKaiuJiXwnbrEZnYVeKrEooavvfBOIuj9 885cisTwO4gcjKa+NWnOC8hxfwwD2rihbH6PYx0q1M61NWnDl7/mll/LfvxaOmR5RpWRzax0h2LD F8kzXvVB+eUjoZWq7/mX8Fsup/pa1KRC40e0pcHvl7xO9yfOAH5TodSkncmS3o0B+6TQCHmklAjn VJ9akSiTIL6sauCdsoTvXdVwEJSqr8d+Y4GmNCjQA70Pld6dAQkQJLTQ48wQBfLOWOpulmXhYe5Y FGhQvYsLeOrnnbAU3w87ISYGiroWqcw7YQmRpvLQEARPLiD/dQFyRh7JmwkXSSfmLgc8MOEtqpfK j9oeNKiRPkJ3+RYa586GfgZ1D/Z85ieTGOd3vlJ34kkdtwv3wAwckQYCvZT4rH1/3ulK/eFWfJMZ fANtTAp4oItCL1KkrhsQ9P4fEqPaiPdqO8Dsna1EtBOvYsmrrK+8CgwY7KS0TvFTywQlBwYB7Eah UoybHSKDFs7nnazUXVkHtsI1FobgA0q8QvBcn3euUpT+PDN/qMnz50Qv/J2qxEppPwoidJ4b7n6E g+Kkih0V5DtTiSl002y2xuIbY4fEkhlohdixubNLCgxTffL/oFXsrOMFINbnnarU3Vu4csTti7ox FXBbVgXrfN6ZSv3hHOO4soZknuU7857/YioRbFqWBQCHqSw4zNzIcCO1CmHmnafUw19tUsxWi+9M tRQG4iocXts+ybdkkQgHLZrZ4tZGQspPSG7tzkKJeppatDPwuu8qs9vnnacU2OXohdOtEH0I7Uze 8eUL3wLDesPC/N6u7G8tDO21M2nHdymv7TuWQCZaVgAzHMpjQPOUzXBwbm6lSt5TSC7/mhkKnJKV /NtrYXlt2bsEuiGv8XmnKLGT/tN1QA4hKzF+YtbzpU7NIfKEA7d59S+oTh8eGdjVO7ftnaDEnupS m+VZvDDAPNYyxk6dXNucql754nz8z0OIpJ73552cxPq6MDUjy2SKsl9o0LQcUwXEc5r/9vuT/wLM tb1ceicmcXS9MXeYO9AOzSFVVbqw7Q8g/q6Q+85L6q51gtCyY4ldgW8CNFrBT6fBpXZlOM7sWy8N fcv3yDtdqUs04x0oEOiFKkTcxqeTK6n/XEnUnEH6rH3Jkl+KPHeKkcmRzw3e4Te4HoB8hN48+eW+ VOYxXHxwYsEXDBOEErvCz5h63SyvnvbLjW90mfqSSduB5mGlyA8q0LIDSHtZAMx5Pu9cpP8FDU2O eXfcSFnii0wcYKqorrebIgwo2Tp5dnefD4wU5atjoPDLxUFDdnQABMNLZNtie8T43DlJtExnPdxZ IhNHlvZSRgwOhTMWBpcNrWllZ6fj6SS5mz9dKnujno7mSJPezgGkA1gbJ8kSiCsefed5ZHdG1ukt amUWRw6tCC2rWJ20NJm9iAQEiAwa3QFYBKWgp6fZ9T2T2+jS6HOyTcVFILNTC1sQ/RY+ncys47ww XUFk2X4ZzTTlxZ11ApxZNYMgR3+zmAREpl2fs833lBcJArswld07LtoYS7z6ILTVEphi98aUKcDh fYD23VMnTbapZspe4wRysLmoRcOBAZdrxtLZ8XRCH7i/17QlCXgvtTFZygtMEZq9xRn6NcDyu7te HG4RB8vPDOUgmZ211HxYgYtBtgwBXfhaogneSOKd0m6HgcI+X1kQvkkz6/dyNgwzvxkL36RhVYzs d8Y4ePqfFBykvJAI9UbVTFPexo2529OXs1wbGO7RTsG53RVeZ9bvnaqOaOCqxevHYqksRm1sOkB/ VEKwtAybtLV7TgxiHD4heZWY0RX5qZXwU4OVnYBbkNDH0wmNLQiPchcD3LNB01B7k1LZXF9ZTMdG yUOaYs3CHekcKLlcysyS3q3TUqkKwsWRiQWh5rIhAzzLYUbeJ9VSLChMauapHGASM7Okt13xItjL 4yKQbyHRTvLieDqj+P0eGoyzbtC2MjYbE/ONLtukJhx88SjPNNrT/QVLZPDQ5CgHXked0YaLhxl+ cYyLNqy6Ccx0fc6KqRvH+Z7GtKLvmY3aWFlPsfxATFqsBgqRWkSoNjyd3Evr575mNYk6RDuTJr2Y kVpSeYKU8xgLN+/hLfqWMumdKaXtiPcosbz5iOUdsJFbodeTbVObV15PqNVBaUP6FPg7mkPMDOZL MH61Y9xi0c2EToZw4TjSWd679m/PwXJyRAhtTNr2PcuH+JXeB0xJ8C7Zxmy+S6vT/JIBOG/7chrt +7PjXRqWcVo5CbHIfVYBk5JyZxT0WROa9ucBU1ENeGZtX6Wr4mJrEcwXDkBbpfXG0xmYqv9c2WQ+ DU/x5nvmWyiw2KiT37gzXdM19GAuXqFDlb7uL1OW+VL4Gjy+EYuOzIDRgWXrh+ZpoM3J/J32XAw1 D41rFX3NZGPk9ylgeA9gOFj/FHSB7MDB00ll3c8XGkN+KsQHtDEZypc18ZZ7+aIRnbK8PuV4wDYV gQ6TP+WvMzf69GFhf4RZnm0SZockzVo6rRkKK0F2cNbj9YnT1PEJf6HiAxtWY3GUb9vq9c9x8fQ/ 2CcxQwHYUhuTpr/UR25V8ratASHHNtUQFgT3Es2oGGSynq/PaEvMC54ZbTkWWqCztzeQZJpcd1b9 g0H5fDdm6nsmVSSn1n1LtDS4CiC2dI2HVhl4Ojsyv/dSAWOhRmtzZQkwzUj7XoGZJ7KYvBymCcTM r+lo1pX1fLfKa4kmctHWXAD6Ot4XUPIXrLxYUKFUQLvtawNBB2t8QNLAQyOo3OvmTgHyrd3N0u03 bXg6yX+D/sf+nSVwN7pUK8t/4Yhn1SPbLZRjQhiQy8F25bfVrqd5K2/5atwmjlt5OG7DLlS7izCl tXsfijEyD2GaZzkpOCdPxeTfM+O4sX9HfLAWpTJbVhUsbvB0Nqj1I0OBaWRFLebXK0X58mCBn8cB SqWxKDqbFPF2wEOYY6ys5+u0v3sFeGB7egFOZfH3cNS4kUcXsSzEhUPb5md+YhfkwgckyEQqhEw2 LrTowoakEd8lu5/w9P9hSIAg8XAsVspww+G/akpBx2E6ftUuwjNDxyF83VeW/CrI7KGGjP6Gkzza C2IBlG3B7rL/+wigN6Bh/OeRXkKDkD8+mxAIJd+exftUaBYxwuyBp7MIU780AoCsK4Yv2pYs9Z0U QSfAliMCzAYVYJAOu5vn9j7VylLfrf7TGM/ysJXwHlai7+Dsc4UAufSct80CLjGQrF3fM4swDC3s IZTb6VSBrQF2nQhxK5wqHs8OzE/Dt8kQ1BOZleW+ixIxhYAhqnA6jMo+E/Uue1Xoz9Akd2W5Lyfn diHfHotvjeUyC06piL17iHQCfUBwqWhT8oCHwAnk90xeJaomVg4utOi+vugRsqNgKd7KQL7sAfyy ckDO1MakIF8wIhup74Q8NA82EHSQyhD8DFzJbGUg38Yd2TIP3o95cMfUzgqmTeafvWEUL5swA7Oi BTKWMVSSS+VKFR3IOJE1sxZV2ECdaXSCbUkz31/AJqzjIBSpbclavmDKQiZXLSr81YR97pPit8I7 NCeKrizx3WLk0JYVC6d9aD3YO7YbhvwWJGxtrilEgmBBA/ZBJrJCxydkaQz5A0WYM8pNsFl1h2Z7 zSp3PP2PmZJqJYCHvL250sQX4KEuVv7gCNsNvjCN9144NVoYY/LEV1yc8yw+UroFfZhFEpeFA3Z7 UREU9tF+iPqX2MSV5r0MC5czBi3al7OYFxKGjKeT6rrPX7C8HZjjTbyV5r2Qph2j6VoGNccF6A9M 7ypBVRzhoiBYadtX2kJXwif3mSjZjti7DZfsDeXEJbGQft3H4s/5kq6RHPMTsiEkQVUwpffF275N AHT4g+LprO3we1crUfAIs9O+LwWMrN7gHB9yd4MmemMj4KLihhbIUA25/yFaJnsCLt6QqZDT7NT1 7bZF8q6cIERS+K5/m3gAX+MDshqS9/RkL4+LJzF9ULbH0qWBp/8PcwJ0tpFWal+ytJcjdilqSEOS ir4VbinTOUubZms4MTtt+xa1fdWoWk+jCu0Sy0dxL1ue0YS/s4QM47sDJaqv8xkxzPiEv/fDVUmO kHZA7eGWIJb27hTm+xtiCMN71EB2lvaiU9Hwx/RGVYuSelJCVoiq5ZYXOye3Mfh6XbD3t7cJ0Gdr BIVb1Tg1tia8k15oj2P7JOt6p21f+RXwltciqtJxJRm41eDpDGr2A6ji4XsYXDvLe6nY3evx4rpT rYSMnL5dPqYh9+JlvdOmr26lIRReQOQsyIB9BgNBOgnuIhV28PT/3Ibdfw6M3qQc58B9YQNQi3Dh qOcIaB2n4emMRPDL+UMzbzwhJkt80ZZqk7BCVJB02FXwpRS5ZB1gucADkyW+i0oWq6pOCncXe0Mt 9qylziZpOFJtZb8Q1m6ThlUu7Fb1PTMNM6Z1l2kMF+6MbVFjIB4YLe0s7x3jt4HHLxuCFzsHOkwK Owi9CiUTOQjaZbGV905KyGpnUmEHpW1dcKo+v1jfA0lHcq5Xs7AsNvriQpOcrw7g9u+ZRF/XTh0t Fm9tVjUgrGpaeDpJ8LYHGY0UBuYEodmVgR2IeynHZRxg/HBC2qG6VcGETaSCTNb0PSKKNhVL7ZG9 s4NnJeVlhocOgf4DDRWBqZvl23XgOHJnqW9RGUnEihaFX7vzyD0BeghPZ7XSf91LrJViZ1J2W/l/ jL1ZsiNJriz4z7WElNg8vP0v7EFVASezyhF5pVuuRVenk36MNmDQAafMrircNbD0HLZ5RoiMUleB cmapnlnXzFArhcOTRkLyko6c4M9O0bJZXdz44R6XZOoX7KzmC86VBTDE4WkQVckuKO7cui7fLpmX 8o8uwf1qR+4M7sBEcWw3bUDCN52T053+B8p18cM3i3yp/o8qzIrBD1/Adw8U0+xaOReKHeyv4OKH wSjMe6OxFO+ZoFmJdGAX3wcuGADOeRRDChRPZ/iY3xQSV1t/jt+U3HboSHAkkNiqcL7IISurvxuX YnP91Z0KO2zxINszOG6oNDvnBg+Zca87N0yo8Vd4BX5VzTyQSWXNGMisQzokB+0kO8PE4lr74uks wvtVG0VuMLxMdbLIlzYMp3ntBa5Iahd0IVJRfrilOf3kZIgHMvimjPM0+EaqEL2CHcyGA9jq0nPg 4UvAb30cLRYiCnxDxkUnD5KppgZl13aKsSdtx1bH05lIyhPIVLRz0aLwQOakJV/upVq9FD4omcBK Fbm+KIUPNqU5M2ns6/fRfQaHP6/p7DU7FrBGJN5FIWl2lKGC7URR+uGdvOQrOzymkRzEzbFQbUof /HY8nYGq9pdogUT5hqrZSVV7qUskx03+a+44ZHYcMqgE8ZA5KeRBuHBq90+A4/HSVAK5AOFNCmx2 aBsXRTK03qzQX3luJbsOB74hq1Mx6N27xaBDBvk1t0Pr/CuzpOB8J4YGMSM6bidluQHWAFlqKV6g ziluzhhU7D0kkm0dMidF+eo6YrihwdFm0Bu1CSFkExIgquAR8M7uYqnfe6nrPbOyL9WpCPPToAre LhLChmQanv4/5JFggBevbJ5UvRfbBFcp4zoIGLIfgCh7R3//sreCeck5blwq/603BDuSc+fhVrIL qg/m34NZJ3IO8EXjkKGm5MnwDp5YwzPFB8+vG8VBUQisePpf4hiqvEC+ICjXJwt9qRfZiMYhL2c+ LgSnuuWmHckexpys5LuWmtaSBT+PLPiCGj2VzCydAg1YMvKItBu6kqM9gcza/p5ZbZMSvpLC5iBY OKSkGdKsufB0hpDx+5rzgDP/BM3tZKEv5q4R6CRc1eANtUmLlKPFomEMQ9+Thr5dihfs0XLQkpln A0kk7BAo0gyRR6VeNipgaC054Aw/Cb4hgciIkEOnTjd5Y1KwyIfGpioDT/+LPisn5iq81MRkkS+u dSzH69YDYFTReoCEJVoPjNY9jzw50Jf59SzP4CWZCVcbwOM3wOLT9VkvIj2eYe3re8dy+Mli38KS g51SNwZVNxEmKTyZF08np+99FI7tC1H26JFHnpTktqkYQ4HARa0qd9oEr95rEKfHZsrLvkyT/lvv DbgVUHPgM2XbaqkwiMID4dl2ED4rhsHJ56Qct3pesOGgMysBscXZPyeLfVvAHbDQNgEycchkoe8B xK7x/uMhU0gDhK4D/XIJd1ihnX7y0Hep0tJi8OImoyDoxiH1sryW89IA/yC+CjAEv6/pBPE5WezL lpv9zu3EoEMG4rE8JuxE+5wU7vBLsWCt6gbc92bB75JVRWh39eH5pO3dcb1WNUNr/6YMN0KHSDLx wVfM6BcE0Q4VUjjhHuGgL9UrsaHGMzPovOIbsnSJ2k1lP4OWDKQB1KbtfL+MZVG+e4lM5RKikvc9 +AUr3a4Cyjy7v3oVwNWOB1ebBxjK99L9u7jD4lGgwSMZO8QsAUMh045zbCbiHQClaFAl5Amji4ki JPiGpCaji2lLpnWHReK1KGkIunk3nk4upvJTrWIHDNIXmpkU8Mte6WzLE0kRLS5MAqZSShwSzWcm j345JVuV8V2eLi0kvtchAQW85a3wGLJnqAGvb4+WkNabxb6U4QU9YcSgpMCmmCcxhOXwdMZw+4EO WXSI1apZySJfdq3v3lGpKoF2OJ0qeEA7jO36DjdFO0iAiVr1GhxStWFuMtXWh1lZkVEXjmrovoHp /ZiH+3smDJRBYs6Qqs6IJu1ooLr/IRPt4ulMBW/99NwGelqeRd4U6kvV8DP98B2leZ9gy+G4P4Cq yU/5G71tUrxPgyI8EtzWJj689z3Z27P/y5ypA5TxOCIifsIX/EU0vByKCWgQbqiCCvmHuPmOp/9V 4KFKnbUHkeum3hWA6gyqWVM3cTU/e6+CPkjfnCg83BTtIH+GtZ7BTxgUZQH0YanKEuqimcP/MBAi PYUqKmPfLPDlLW8Hi/SfH5ljW4NzC+tQDp7OdLvqD9ECZ1SPlOBmYAeUqCy3cScPEDkkT2WRmVML 7A8KgMxNFc0Emh9i/42wUu+Qi2i7MCWwxKLS1cYyhMVCFdRNkUMKUIVXxDdktU3qO7BIWJ8i4QTy jpIgIHXh6SxX+j1hoKTmzeubqjvgl97TCW51UwaU6g7da+H2q0zHU90c7aATRjnkfHLIg+N7o0gK lXlLjKQ/Ss4S6i8Sd8CKIYiS75lwuapwvtSs4KATZiwqR9sy6AtPJwlBhHf4A5iOILbUzORF3/WA HBr51wI+QJvJW5GN6ss8YvK418USZwy+k8axWUBUTQmDKzPoya4XjEvHAwO5d+s1M3cP8uuplaFB pU0oSXAr2dWHp5OiQxTDafJHes/yhOBmgS+KSAhd4uwdbqU0UC9w8t8IaZ2b4R0kFb6kSLtOjaua Rbt7KM1qW8a30iQdo0CadXzN5cfQe2baDtTAU+dZuGTWNvGh+Jcd3gdP/4t0l9CscNbwS6mWnOfW mF0PMXPsd+3COyyUUYa8LEhHn/qYvwpKijXKQdeS7cgD9SRn6lPxmN6QvAFt7X+n5j5vmpgHVe/s /0imMPa17bqUatfN5zOM4k8ThSCx8hhalFTaDDn1xiJprFeRbArqtS2hHRnCHjE9afgr6NDRLJ3n ciIkekKAw34qUOuPOAVK59g4e+SqSrxp1t+XV46S7RP9fbtEaGwDp4nBx7NGdv0Cfpnr0wVb35m6 WkyWqnwLwTjnKybTgqODP50a2SWF/HpW0FYMXrWC0PdG9AKNs+Y22dROaOgUAbXks3N69TfNuvwk 7S+ioTmoBlG2TJbAaObjSVhT7/f6Rs3yoem4tWTSCfkRnR8tLFBWuTp9LOlsNSYnNXBjZ3JeyULf HoUr2pR1OGRv1iNOkbNFWdKOUk5Zv20DfEXGUZctl87jGefxohgA/jpbi3w8qwLHDY7TYbBhFW3b WrJwGL7DbZCqx7zytAfjioWC6tVSdXjqY7Jmv7SPxb1YT13PrpBTaiMMYt8zr1xr6RW/oE8GiVWV ryCapK9Iuge7CzJzYuDOQkR8xT2G9nxJgRBfsjq6o7gl72NxUdJ6MJ26WXQC3urM2gIKQcEvQCEQ CBECXEsu9Ks9JTWM8qhhkLBz6NMFqcXj9n+Q+Wjg3ezxLWLxMsdXZFA09vqZkWjwgwftPwbGdfDx 9+npsbUQwEq4KzjItaTaDzoCRmg/INQSHEJAAMAhapBTasliY8K9QEo4MejguYCgrULhHdzoW8dy YWf7Urz4oaes7W+axDo6lhs9mDhodhbwVixlXb1hxg+838WDqv1dz8GTCkCA0w8HeGfuINJ15s4M 3NWjflZLFiCTowvVnR2DJw62hFUqQ4AMLynXiAapFOHYDeM7MH79TTO6rcpYrWmouptPsTeljq89 w8eTGz16uaLCUeXrOXfSGPlSonRJssny4yNtmXEDU7PEitTsZEIQTW3cJthee2an2t5ufQp+Be8L ilkNxH2Vwuv9UdB2A9aS6v4SsVeG/M3GicqNzZoUatfYfDzZWAELnnyxHyhjLbkGWqXcr5e0Wq3C j+Aa07/mue2ZnLRCLFap1N84ePUG0z2ocLstgVhdbkxMINHNYKVP1T7gkPWmWflGLB6qFHFQ0kl/ SiyHxWAw9b0YcSjz3sXuHJF41tT4jd3SQyAprROJ9sKhDE9ZZZ63hORMTZzfnIEEDumMwVsulu2f vYgd6bOUqSIPKVyo+4FuFGBPGckk1m9QQiP+VY1vDl7dOl1Km/YRfDzr03mszGZ8Bdoomgs1dX9D Nyf8L5BUMAalMgTjc+qMV0oLTn1MplNEKZ66WwycHXsU2c+itOsG83x45g5ld0ACHl6yLM5y9zcp Q1BvXIPSz2FxmY7k1fl4EinX3/YCMqIVzOSa+79VqhWHOv0ghofqM9MZ7mINamOlBnAu3tQFhu0P GBZs3F4ltHLGoRcAZDNIzFn/SLOmgsHUAY6SQ3Q407DVxrTQoWxdXX3x8SQH/VVexMbGX+6Tk0mi AdwEJUpNCcASaiwMQBp0JBec7D45aaQsXYit0vEO7f6+DvqXi5poaP22IeMq4myoQPO1mF/V3/Rv 20r2APWxB0DF6Kr7bXcYH0+21YlTh+vk0FX4mZ5UFw0XwIYKT2M3U85MFZ5H+3oZY9SwgUt84Lzb ONeU7u986GAofKB52cnWgHQ673P6YSEMxDZ+FPyrv2km4c8YUO07DkokxpSzl4UEk48nHc0n2Clq w+BfUVeviR2cbhvIIEw/d5q77Yy1aZ1XCcCvce6koTJv6jWkzj52tKgWnSaB6oe84+r8KBwW+C0O 3Oz3A7Zpx980A2hR1ol6ohq4aO62U5nRnH0CH0+aMf+AgU5oMz2TkzLmyE6QgP8hy7KrSbVZYPxP AXpillg8KXyiiUQ4WwyeR9i/N2mae09EVVeTA2AQVAbOfvTjpAhWU1s4rwrSckSDN31Rg2WA1y4f z45lP3i4/vGe48kjUmM46DO1LgvoyorUEJnbQssrYVM7eGoYlmbeGIp3SBT0wXHVx/J1EgABujln OoKtEXbTYA/jF3ojWo9fkV1arH45vrqs2Fqg/3J2dtHjmTbCjmoyHesRpYedYGIOB7AWghy41AhG wSoiYBSbFx2LXzBJ8rWT1pO9A+FM3RmocySLdkOwMzOBXuAGnI0AGnTsv4IaclpM3eHQukGYwyKP vM/5Zy6ob/NGP5WPZ+SWZ19RQAQQk8gjUn+4Tn84EjrpkXFCfhBcI6Wgl1ZzurTSUFlVdhKoNUQs CJysUlBgt5Yqq4y5B07or0LCkgtw6hDXKP7aNnXyOKiojDuFf93sjY8neUSbX/jjkJBTVAZTjziE AVEPpN5pd4vkFctpNWIkuXRSk7ijss4QqHi0iHfATO5FOSiU/C4Lq4NgadKZH7oYqbD8hiQ/P8w9 ZxkausKdfVZliw+1aj6eCaiN3xt9EM0cx07qFIeKGTqe3TUkLqtg0JCAM0xHhr7WiqpyahXnRa// pnP0YrEyLagAD4XMkW4smmBB3bPhUFZxh6ZR/Irk2OF5U4ZU7Yer2i/sVhZnhi0oPp5RU1sUd9gL s2gwNlZuFocTpVaRWey/GPKih28GUfmDsAoX2KiJWxz6wUfJU4shCoMoTIHPbckuZCC7BI6gtw0n ELl1Hvb3evE3zSpfErbnxbXCWXfxCnDn8cHHM8xJ/Tl2EDdjufvspPLBhxISbs1jp3jx5uc93uKj lnYYSefIYp7JV9i2+2Db7JKGNC7gkNsyoyXOc2f/DFiL+ZWsQUigr8joYyx5ufQ0YQWqC+7JwHA2 pKCpadxX+pRnZ2Vf+qmbprZxlDEis9k7fcVhxYtgKbZA73d+coSxmp8i8PYH4wbC9wEjE2ob84I5 xYaXBWI8ocd8msNUx6q5dVxj+rnkpxF4hVFgqMUf32IQPp5xm/u3bMoLHZItPjmpeRy9NNTyVM3d E62rO2sBaVHjzkrd4/xUZvNCg1cG7ZNbQ76wIQw/KW425SnLviIB++P3WM4jZXFviSmo5YZs7mky G7D7Vo8ni6f9wFCkwPscylmkPNjMYtMesWCnQD15Qbhn6WJU+vfKSoXVpPVZCEzi4FeWhWAgfQLP hXZ8dfI8xALsm6AQJ/maS9BoTQ3kaFFiSf2qMTjQrZNv/KfbMcLHkzg5nEaIXML+b0+GnlvIoYS+ 19xe+GKig8LXrGcH5CKABTXxkHOHYjsdPROtT729WKxDbSD4O8DlmIXDvh31QNOeKb2A4S+aTI5S T1h++qAEvZRL3XHITvHxDFP7A0ax5HE99vWpiRzYL01IZmaffbke6sIrO+aCau9TH5Pc5ZI9cvXy ueIut0+5HXQAgi6a23LPQ0iXBYflC3cr/p7JZXV40HB7a1AUiISfUVwtm48n580/eFNENpRn2WQx Mlq5bVBzk1UvckpYr7i1OrJLtlg8b9IY2YVJBAasDxjQAhvcemyBHsjgMYhGnIDsGOcNNH68Pzz9 TZN1Q6JHkTYUB/WH7ZfdjnpbfDyrl/72+BCeo7Wh2Und5DZXzmFlgpLdZDvT5AQ5CIkNALvowMnt 5I5qgv/FK7P85Nx9YX4JjeE+eBFbBkqOJsL5pz8szHFNDeVUtph0e9GgE8eCA1ZJLBTcfDyLkYP0 wYmAZFaULVJLOVp4bEqk/wdoL4quIPWcaj9AkINCjVw5iadc9SBwa0Vw8HopTFbOpHLLsZ0xlMBD VQGyvfU8AiVMIPkNSe9cehOrPYMOnIlfUSmBXjABFsTcdJXHcKT3mJxcaRhg9dNC4Z3dJ8Y4MuWm 4sTT4Eut5bRwBK3U4DGObYYCxAWNK+ENStsR7i76h5UvnKnGm2Zy5qwiH2YRmktEyLDdYvHLorLF xzM06Y88H9MXFA99dnLqHS6MOo63P+dD+RhH8wQo/7N00ghZMqBdzOZeA1gA/1rbVJBwsfzBLghm X524JUSz9wGsb1naJw5zwHcKqi7T6R2e9mM7Cwc+2Xw8yct/0wes2B1Y/voXi7mLlmcJjyM5G5Gb RIAtL5VwPK2Jx9xz5AzdWSPUC9ucSDgnrSQ6OCUSXaO2HAjo4IIGZ4jGWDXxmatuv1evuhHXVVhh ad0D5bf4eBYe/wi50Bq4hLhYTazm5JxgE7nZosJaV0SDAu3U/zYrYSLMPFOvubXkzdKfwauBsEs6 YNpgluxHoOZlh4hchTsqUQUSGCMNgF+RXVfkax7qVHNQrXTeKtfGi6pF4jb3U0jGCWMZ3azPysmi YxAZ7OwOc8LCBiR2VY3QB06lz2WVIS6GfCy72Gb9YZt1qG1fYICgSWe5WpEAEOoO/C3HVzKKxmE1 tZurgz3PTd1hDmrvWZygKrmFBHw801r41aCw14BAr09OKjyspLMOr3bRIYfVLonAE0TZosieG86J CC0vkvX1IoHqBMw7LjsQvZwqbD8+iCJ/9SuKX46/abKtqGJ4lijiyynidvqfLbz1Qi0wcZxz2dLA 3hL7gDqkz05aRt68BuncgyiQP76cN8DHYdZJ/pUO5DRCPu9RYIWixZ6Kc2z3bh3I2GcwhaHmopcs iETgV2RAOCreVKqXcBDiAoxKdmoaOsOp69z6BflXVizuk5SnvnOdNCKgoAcSiAoiE6RuBm/ciXrX Qdu8a3pyVp7cgnePwU+dC0sx6oPugWib/11DQEWvrE3qr+LA2/xNs9uccaCsy2dYl1s+Ohle/0HK zMeT23z9nDpswvawFq659RzbbN0l3yt02/+4DwcBTix+kGQ19TFJh6bLqVAiqqVErAOHbqjuUz11 wJqX+Rf6QNSeI5dTmWdZ/qLZkUwkCjtfGrR2bGUzYAY5nY9nF9avyMAEtSOmJq0io8/YAdOWEwf5 yWxeDZKMiLcYkZSn7nMMzuZayh/Wkz+AdXZgcCXBXXiDsj2D/KHQDvdp7bHwwK9IEJSHiAuCcDWI U9QsQuBlbJktH0+uq0dCVbaxG8TmmJ0sSAYbDFIs1XPP7SwRO3VWIC4gRK8wMLGge5pXhxKQ6+w4 dRaAY4hxUM6xn5XmiLjRzh/Cdr6GPyPeM4MUMPwr88SgvBz6RTLDup2PZ+IUPwkES+UraCI18aBD LYC4beabOMeXSwLB2GGyEwHw4/L76l9M6GD0GYNnnmR2VxRJoQ2PSIdFUrtWiBi/z21V4z0zosjk OVpGDAJ5wQdFcrrr8vF/A3lxja0fEeuauNDJJ8qiU64b/AsbYvwH5nD7uIfhITNLc5OGyFowVVd6 PU8Bud52LW0meZyFX7aEJxHbRcIrAdv2XZWHyGp3tmdQoFPsopNy3e58POt6tp/8AWlsD738mlrR dQrwUjhOMhXdEShK6OjreJ+KTuJFh6yZsbGblq/2NMwn7DYQR+LMsRNZOhWL9W3Iyq756FRQMb+m fnRDfL0jbPsJy0u4o7LM2S8qgbkhXfkRUJJN74yqRWJJB8j/YfTXout5nbG353Etsl5p0oEEIvGk A6ZdG6qXGDzSmQ27iBpKGxaGBG0PXIkVjreQXg8gUyn+ppkiDvU7+noGx5Z2yRAoSE596Vo4glYB ddAfrU9VJ7Wmg44abqzlihWE8BGZDCUCV6xYrlhRE286L2XCfe3E4Gey3bu4qCgRv8EBJWwbPdXK eZnftjCNZGviT4fmFZsGZTyDSl61SVQFWoJ8PAOjRKiDHYCb7UQl+fMa6QxQjTDtsr8EHu5uYXbw /m503k5srdc4Z0DhD0ftabyrOQg82dGsakC7WeC3xKxA468LsPWHJfHt8ElEga9hzoB4oeMCZwwO CixeC7TT8fMa5NjbXap8Uj6BDS4oiSDBwk+RzMpBdDzxczRpb7E/A/kBQoFQ61qH0DgcOMmsqIC8 5bPLIRZMabBHQi2nU5Ba8CaEQmBOg+3ls+Jg7ddZseCPFuetlBqDF9ev29M1VEhfZwUMiKZZIW3P XhW/MiflNbaxJ1BHb5XcfLTIUR+RulRTKDyQ0V1Pxl8jGywV1oMtyHgGLRU7Gg4CMkzKhm+2aqjQ Dj2bhZQHDkhrjtfAZqCXQj7wlPt7gKIGnAiuuuNlfF7DGkwolSSuTj6IFqDGwTl5jWmGu8zfS5IV PlO+dHYzlbLFRcPJ6Qjb14hmOM9rbuFr9xdfS/mbrXrxHXACEqqAxDXe2F+BTBQZPq8RzUBIQslQ 9acmyjzs9Nu1J/yr5Xqzfl4DmlGdaXULUVwgZKG1wll5jWawfdhjYLGUpWI27ZEjnLJDdOCGGsNr LIPtw9Rgy5qOgydPl9jIASgFpmjKkoO/HWqtA5gi5zrgzvy8RjLDXTUB5xkx8FBBaZ7L0vKZ83mN Y/jw0KTwR8XVgDfirLxGMdhxhwq8NZyGzxXHftLwlVZ9q23PDV5jmAFmD+0DDol5HBynDhHhjjNg 74v+1HKjYTRObRVDqNgBJizEfl4jGPx2MqX+GRy3dbfcGdu8n9f4ZYTnjx8q1D5HQZ+z8hq94HSm F6g6cxQjW/KjHpNVcuSTBbr2MlfIZoUyUYcZnwa/gOzevG0SdjNQpqKmHbdURbK8yxP2svb5GrgM t361yWCjjoNOlTOn1O5t/j+vYQuOpO1LRQZkrCTRJ8q+8DVmwVlLi6153Ah0b+9m9kk3I5y6m/hD yoVmZy3/2jNZ1eSgWbHbweb2iEuF4h6zyM2XAq/hG+1iT3xeoxVM+lZ8KjJMdTLMQMYkTzCUg19j Fb7bz/UDScHiZ8prPW9A+4Rq3jNYv5TlZ8f7MkOyK7mzqEAVqeSkLTJcPrKzOeEv3C/aNBdyQ+j+ zV4F1kei3QjNbLBf9CAOLavPazEPk3JZ44QJmA86VPZV2dMmZX1eS3nDGUyYhqMUHIhUv4Be63hD mBMo23QvOFSXMZlF5RiA+soj15EdtaRMncHmEwdfKAtFKrRtEb8BWCleJ64T8APmV1eW5ZXPaw1v hHoqUHUxOMq6Tf6726X3ea3gjSg2XEJr/+j/si6mCC4LbIHZwM1zPCuipR6zouV2awugJ8+os8hW xfHNzp0GP27XBfkQbsenWaRA3gKSItssx+7iHxeOLaDse2gbFkhLlLL1UMo6CClaMINPJ9to129s i/YCdOediZRMDBFyO9JF++HYqUR1pG01vgfrA44+zyZGFms0sdfgSwaKbHaYVkL5yhIiaeKj7dfe bmM+VL/bes/kzKX9xpTOCwfF/LBd5f6A6Ng7X2p4gesPFc2Q4uuI9pnJAly4IQAQEUcMI02ZDLvE CzB4QbTLItzrd4y6//Pp/ts5ZdkqHIN46k4aXNs5Lyo51Uweb6h4z/eZmSRY9Z9BaXSnGB+vaCBA s8hlxcywuYA4exUPXd7JUjh9B3PoI+ahnb7Tq1J7T6nXLSokeHs7OX4nZakP4eQatGgsJLEzAfWj DQHhxQ78pHdGbd0Ldw7ho+7YO1WKQSPh5pO7SdpFTA9xkrFFaaHa550pNURE5dQQ3ljJPvCL+p0n NSR/AtUu8XnB4Pbqi2cF5x/N7fdgt7pS3RF39TzcVfSvGuJRFl9gXcgC3wEpDiV4FMOXNtNQo+Cv p0zv4xk8gIENIisLrPcm8yJ6l58ydBBF7UbzkkW7jGDumCLwVtkM/QenIhtW/2HjGLBwHjPv4S5O cR287cTgF/ZCh2MTbG637Dq0tFmQAoYuKHrQQR5besvkYnLaB4FYHISHBZCG26OM/nmnRg1BYny9 0IyYpmk+L2m8C3+HQl80Mjhac1Ggej22mVRq0nrJAl4CgGCjMmOI9XJhnYeAagPSqysddTtE8/bN J0oL3Lefd14U/jRCIAbPbQ2CidifzPMGCcHnnRY1Ql7LT19CeCB1p4nJQt5TqJbkCXQbheoKVNwd KvfaTz5CuSSLeVUB334I30e8Gtdc6ZMAGru7XaJusQGCPq0A+BJpFsv5PeyFMAcxIoutEw7CiEDv jT/6mfvzTokaLu/6zAziUBRFNTPvoS/wMwA0ViZGnKMrtPCgmHeleABwOSJuZLHvkkXjVURzn94A 8oE5CmW9l52fTtUkcQf0RmD5wh5K2KL32LcqN7X8qJ0YFOUBwCwuHSRLsuD3UAErQhng4VAA1cxk 0S9eqFVBGNHFvscFzzuvX2BnYL/iXew0/GU6cCqXBAfPHi3ngIkzN9Oqe4urSTMjnDT1K+MH+gjf M5sZJo6FWjcclFSXUZ2iijZtFgALhekzg4VaoeqjWl0W/qIuhBjd5erOvvIMwM/dXDTgxoX9zoR6 SpjsPPkQGWQ5ax9GeWiUDIFHVJlC9XqNRzPgHr3n+8V0CZiZg7QNDmon3VPuA4F9J0Lx8v1ZM5Cv Pr6X3klQSMaxemHc6PlS7wEOlqBfp1xAzMt79IsIjJuI578GdZIuaGHQk0XFDp0YOa0R/XAmifex ly4Q2593CtRw6iQA5CUGrZiFpY0Vs8f+vDOgRqj/4FlcDKQiRCXznQE1pDMH+seVecDYW+fNoPto YyYJyQrWvN8JUIyhWe0+5cbwrWXCvHTx/D1Xft6D7TVEWqhNBmWX4jbv/Kfh/np2zxEezEGgabRz GOLVPj7v9CfO6/ppBtgRdbbHvu/kJ2ylTYoG6Rh4Zg+3npvqJNn1X+QoNvkpycSoOSJS4XlIhXbf XVRjCpAy27akvNgoe0AGCpiqYTHMpv479YlJtgoGbBvu8G1AFdMthu0tP+/MpziiYmJIaMCy1sxk sS9bgWdMkZ1QGFO2bSfCg3tApq7NlBZ6GcQcKidp8IvJlgmuI9rzXXSmuloC8w9orw8ljGa+n3fK 03Bn0/+x/wTjqMmh/Y72eWc8jfptCSif7tIz9nlJK71gUY4wsGmdJHTWqtjwEB2sOx3snfDEqoz6 R+QX7B+S7rTEpoKKtXdDNfcQIsPI56C1XNfXZPjoPZNQhl5r5ZRn8G51F64OrYvPO90pVvTTF4Df RPNa7zvbifVhMt+LV6s6F6kL46vAuSzC9GrVO9mJp6/2EAmmHPyMaajjNm2lAjA5VZBAdGrAyJ+f tICVzXe2U3SpLDRnI7YFx3VC6UnQITvYPu9kJ/yVdX/bSDjyao/awzvXaUgUzJbMccWEoa10KLLR dcgMtbALPyWJ8TYvauHJOHgkc9Fhx/29wYCf1LyADGT7gzIw/qgnX7p6zWxiXGujxyAw3qVGJavb 9/NOdOLl0H4CGZzjYIlrYrK6L9Hcp/eQA6CAmeQAVnMeITFpnJj34DesUe3YXTFoYg4KbeRT2uEL XNhW23GBkgE1+PLUwplgvxOdhhQvKn16YxDYzHan6r4LE/Me+6LwcL8rhiYeWAmamCz2pY0KXG8d FNPdFQqOYkWHTCHilnvpPfatbvZziVvR4IkkUFlnzUlUA8BVbNgulhjRymjP8Utk9DvHiStGYlCM Z0YwTm213R1ay+vzTnFCeLh+eveQ89vF88h3ghNinyX+RVzXj0A+fBzEqGw7xFPf+U0jnNaO7BzP Y+c40BM4UFJC9xE+5urugzQI+MV61IhxKvPzkz61WIYUPNfAabFdqpgJOgWfd3LTcAzcMy22eyGI oWnJAl9UgNAoGELfDQbeJGHUI0PmRT1q1mPeqU1PqnQLryYOmpZJrVT8OBCQQBbPIxryoIwZhYIJ Y6h3XhNnRYKp5RlUDC9sAVKNhS+X7KLyc+6CNgeTPc1KFvSizIEazHVMw/AozzYC/wXuOQ8N9u9T UENR33E8gy8W2FAPJKm2WOy3vVoskM64MLN83BQoZvt5JzQ9SdIu30GRXRmSSu02r593PlOE5E8y APm1HfiXdzoTjgX8cKW4dwLyaiVMoyhhqvajAHXKCOadzQQ8RdMyYeB2A8+09eJtahNZNumRjtJH xGmk3kqAuPt7/q2uOWnXi0+wMI7IhjvnYJFzl9o+72ym35rD8BWznuMli3npE9ZPNAnGCuPlTeFs YRuuO5O8c5nwm8j+VYR/Dn4fdYobLTlNoESuUMcCbdSuvrYBLIO/85iGG6xZ9iDU9HJVKcik0m8A /jR8uSTmpZ1CnC4Dlvexj94jXpHz7XRZxavgT/HukqxHv5YeUufvHKZIevHXrhiiqmkZFv07bFYa 2M6kgNEGmYCs1Z6mEnns7xSm4b4E8C6fMfhOmsuPXUsG3hlM+O3rT7mBcun4fTQzWcg7iW/gD0KZ OWIUkFbPK50erLka11Fa71Wh19Ef+1HHH2RK0q9lAzJIZXoIf+JjkPL3h/uGugy/4W8lqs0DUIM3 rkt1Qd3SP+/8Jf7+47uROk/x5SHvO38pSlSWOIejwu7hqMAWOzEOK0pU7/Sln0q4OrSH5dhNH0c7 WMB3Q8i7ba0QR2QLE0JLJJ/SUkGJNWmB7/SlH/gU1XTnHg/b1uJoRiG2aT/v7KXh8p2xaPCXoQno U5OCHSap2Y8v330k9yimTLCDqp6YmrTiK+CHmgTnp0mAo6ri7LOVhMabJNCpuQvLkEEZ5iOSdtV7 ZucMfUkouaFBVSowwNVuG3y/LIFs30VDLyS0vzUzWdQLDFyDYYhzSSVpiTyJhVHAhRYQG0wH3mlL eG/W5f6nrgl/RbjY2BFv2+nepXzKvg6/GvyP8Lf4miEM5J21xNZQUeq4Y9BBM26TRbtddp930hLf b/4cNGi1t+v1u3fOEr4R/g6dAasEmBFsUHMPtSSqykG93f41+Sl/7evLbWJ/3Sbs4LIlN2jvYIn/ pW4ewHfEtMGR+emfTKKc3ylLATytaxJSZcNQNmjX3WaAAiTR552xxL34mxBAW3j4OfPOWBquqrOn N5EqOI22PCBFIM1G3N0rCLbvhCUudZXC54pBE2OHlV0LErIsB3c/qzELxz4FS9FtdowMqvGfd77S E8uMS9wDBxWqcJDx0rYY+vNOV2Lq78cMFVYWeFyeQb6TlbBiYJ7YKTPEaszurq+3idBRptQ8g3zn KhEaQJwmBeI1eDVmsIRXeMrgjGUrd6BaSAog8MZOPKYY8eedrDRclR6N0hWDqjGNeD5y9M/nnatE vMvPXgJhHveMJuY9+rV7XkCQ4ilkhzMmswKVb3HIQBJCh8w7Uem7leSOysFXDP0sgX1H7xoIDgJB Olu10MCaLe5sLEt+Q3JnC+ZAzXQNXqYCXEtZdvu8E5X+gav6446FO4oO7zwlL4w1Yf0U5zUJe+Je PR7nwWhEM5NWfKk3A4nNGLytZGfYpYKnXfzLYrXBux3QP4iDUXXc80jALj/vJKUHBd6nvJBkt4yV NvdkfgBRiM87Rwnrrfxk1zDCpZ2YJiYr+Z5CDXrv0UJTbcmyxeUYiXZbsWTSAFhNAj6sQRMDESeL yYhj7Th9VOcD3GyQwhkWSEwL3tlJTK959Cpb4sBLaZUqCZG2gHhOo9++flrXcLHZ3m17pyaxfAM3 4rY9WbLA0tPIRvsyptdUS+GdlAa/W2kk2bQcNCu74iJCz4+mWWUKBUzhcMLMvtnS0Fv+DTQ0xWzj INAQlColW974dNad/YHgdd5qzUEg75QkAmoYATh5DQqwbmFz6nVCW+dNw5M3D315Jd32DH7AWEKJ +YBcnC0iHLrcZxVY88Ukcn21cd/ZSPjxWIWplAXSoGmx3UAHUMshz+edjPSLGcLCooFRfU6XLOxl 1WHWxzDrrEev3J0dl2iZnJYU5EvDLMukWwwe3MFPdKLNi37SlCe6rUO+m2UHX/IsgYkjC3oXl0uV w1oNh7VOezL94Kfj6SS0mz8BDPCjUXEYacjb2XUsAmc25nUsaHZ6adKRT/cSZiUr9MovGFXuGLSJ oJ3fKfsAwfxy+5bjJy4lyH5tapV7SbPrPZPbiCDfPZkTcOB6ufecKpBD4dNZy/oGoQIhr8UHAX2e WcRLCvCRGC4b7H669E0rMBSpkN3odJnvES/ig6Xt02Lw02Wd0ckLsImxWTku54QPQrm33SdLIlkS 3/C3Xv5c/RnUsd5NisogtOLphD5wH1AiY0DWmTUxaa0XskDuNoJ91FmNAZB1eUVvafVzYjKQA1uf ODZKDOpY23EOn2UAWQ+gpr2Iw4/jogCpeL7CINxJMyv3dnoi3E1hNw7cScNCJEF+xjh4OqPglJ9y 78Jd4qnATCPeRl5fiTIVSbXYQKWV42BN1k6QCsys3CtQ+CF2TEOgYuzovYjjNlU6l+TLJ0VsJtzt HvQHinl8z78iqTYDOw7Kq+0KFgbeNjCeTmhs+6eVX6E1DI0kn5qcyTadWU1LPvheyBnLDkpJg+Do 7YJ/zCzmJYLW9uEoMfgpw4AOwo9UeBVICAJXKDPbmTApmqdkgDHMzGJeClBZHEF/Gg5qtE1gBfD3 3tLwdMbw+wEmIq8AgVwTk5HZIBIDKs6gKoosEajPNMsM7BAqBgOR3cxBDgKXif5Yw6QbHgUw/9zq WVsML5ZxmXR6GWrmexTT/D2zRhtL4VdVKhgNL2XTa/Fvm3aK4+nkWopGG8WZkLnsYG7NLOaVyr9K vYQ5BOhjMy+TCCU5tIWfkiXWzI8kzrS/4kxwELTze1FCpsDanYJwABlAWf0PxFwDfUeHiPke9to3 uKwrlYM46GJarUhBpmFJZ2Hv+qUPg2JxA+M705ovnBuHbJXBze9s4XdsYMDPCDIbAdicec2Xm0jJ 0n7EOS3IaKjMoLJ5QSYeKsVgTdHKGWS4gFJRDnhmNV+olSBarScG5o+Q5yQaypKtjaeT83dGNZxR D121op0/3yNfx7J2aWpTrP2qCA6OxbE9dJBa2+E/eAJnke8l0e9/oTH2S559kK1BH6WOKnIF72zg RNpX3H/5a2Y3k/r4giWGyAgKvlsuunas4ukksx6/KQGpfC2CmQzkS2DwZqtGtBzWwEnLaZ40bQFA Jj/lbzWHS381DEztAQCB7wfFOFEN72dLkohQAxBXIRsV5TucOPiGjIovpCajPA7CLNzJjjeKsxdP Z/C78w1mGskVXgufafg71TZRCNOaEOIw/qVLEy+mdrziO7OK76neHygxeIu2oOUDpRS7Unft6sgx S4BTBFulz8RMvWeSRVIWb+xTY1ByDVk9ycaUgaf/hTmrKhUALTUqmysLgBFpgZUzAjI/ooA3riqb 4Fl7Grmykq/U1kEwj8GLDhZgTfZK0CYADVBYCLtgUMnsXy+ITUr+yuJfsikrVRd88P5JmTLqrKPh 6ST+XT+gIfSioOeoecniX5iJNiCnHqeDEU4HoM2D+7ek/QZOcVrxJa5uOyBz76d9ciDTwIzp2Mq7 jYeMxcT86y3H7l9aPsU3VkpxU5NAjaX2NJY6xKpUphoLT2fQxJgY/rfISlsw81eK8q3UfRtSGW/U 02dps1Lfj/QTiCNzN62s5lsl37DZezubV9OCs73l2BYQCDFPZq4fM0fv9tM+sRN84QsSZCKXDDsC PsSVfVSCu73i6f9DkwDkfGjIaV5Sgtukt2dX3buiDOlCDjUcDvwax1bKwt/DY/U2Ag9vU9wFutIF yKfxPBlbUkZoyQ18Ae7XQN/Z5uPH/63dNrtcCHvQ0MfpV7Vsi/zxdHYrlZ92G9I/CJxqWrLgF10u u65HADZnm37C9KED2U6YaBGsLPjdQlFVwWRksMqdVCCpRTmzHa486J0gcAKxZgOXGEjWrvf82xFT VNkslAO4XDClyWlo2frD4/+yYDSLUKvcXpZZafSL/T4a2/nHZcYZ5EFMX0Ee1esoK7Sy6Nep6Ps7 eMK0wESHCSNg4evI/2uxKDio3fiAh9CK4zckuSSl3iCAFIN3T0YXxc2CaDydYUHmD0LG1hatA/iF KcgXLdomnNDihe2WBrP66lnUftLpm4F8G1VxrspWHKJ5fe8mdsJOH4vfy/Vqny1FfnE0lWRWuVJJ B0JYL8uUGpRiI5Fk2HYwLWnsu3+rVfbAjf7symq+xJyocYg88pQiK3fU8x+4w3GE78oiX0+wz5GQ AZUuKsDywAnDzgAdgoEbj7xroi9nQQX2QSZuPItvyOIY6YHvHYMuJahEMUY75+Lpf2kqKVsCdig2 Uhr5HhbD5442ZAvn4O6GKui2OSh8/T3y/R+5GAD5+uh384ypKM+Q+FdJYL2/RP1LbOJKA19KCpV6 RwyalwpbZfzrnI6nk/w6mie0Np1YMN48WVngC9Ep+DWpkYR4Jmh/tI5mr61RGWXyU/5WDd/00tCg VOk2wIgW63VQ7+hEbE7+dyiMnC/nGoRdfsPfilVNRP32JeqPcg4PGLuq8HRWePiFOvTzE8TstPA7 5X6BWA4PTeK/oc7fyao4aFyj04ETZmdxr2PClzr6az5tpdnL6UoJ4I5bjwS8QDZGSNi/VTyUEvAF WXrN61pq4vNRE7cgaTCkt3h94OlkYsZv39oulxngmJ3FvcTFUd2G9u0bzBjYWVmofj3M26B5EQKy U3Kby4D8F1MJRaphn01E1UDTkEtqgVFXcRD1r/8ZHEz4DX8tiN/aYvA+ZNmKYyxJwNPZEfOTXROF V4NesVNph0F6xdwPI8cbbquzGAxAVSl++O6U3MZD9cz1DD4zaEVO9q03M/cuDhfC3gFB0se4fZJ1 vdO6Lyfmv8WXpl15VfeKfSiezpBmP5kSG/oPhWtncS8teXuvxw9fqjGTkbOL27b3FrDwnZd9i/rR NwaviNsBVTtE0eyy7qULzmpL0O6EhhT+WTDaSSnQgQi8XuRnX8LPvsHbSLST0/B0RiLwy1qujHBt brFgssC3N7YilzOLJwvWOGLOpVsnZR3w/lwwWeC7tBD4iAafl1rsNIe9AxwFLUtcarphgiF5B/by o+xW9Z5/EzDosmvvYddu5/qVmMFAb2lnce/4R6LEAms0l3Ya9+Ilr8yFDiUeJN6LopIaBbPCXUAz kyEdZObwv8ziUSEsWDgz9k1Ymn/ILCZQGojbrxAg2n34huT0RanH7q7yDCpuDhZJyaVdeDoL8P6B gbYYJAK8nYEdwIsB2MG71+W6GcgQ11dcgnDW2VnVV8i7I92c83XyWsNS630ITbQ7CbYXjGPoJl/U 2X+Esf09s9OXul1HhMiQkEN0PqQZXtbA01mqdL6Rb91MlTy53im5DdIOrgIIQohArId6gJ4RNHkl Q80slTMb9Q2BZxHeJaWY9/Wt9GTG6YuVvPHDPUbJlC/YWc23yfatMlHiwOjlwKRGoLrLt0sWzD/k C2xST8AddgZ32HJ/PMc5f+TrIVG68MvEv9bc1aXvdhb4Lq8lCDp0HpH5AwGpLQNy+18pJUamEupE WKplPp0llql2FvlClZMkpRWDohdqAvIOrgNPZ/iY34wA1dH+BHg5tw21qV7c2qKKHQpXvOXQM1u3 1ZuRO9V1YEpw9m0xeM9tjosyXqeCJORkOTNAJnL7flXNPI5JZc1Y0QTYOQbtpAukieoxF09nAV7k kFwgMMc7fl2fLPKle89Zy5PI23z/2Pt2xTazSCjEJuZkkIfVXIBpx+A7qR0LeyHmZWfMAtOdEd5E nDZEo35Yf4go8A0ZF51JpBhL62EsQVOD8Ae7eDqeTuhtt0QzsqKhix6Fn74nrfmSQKuMcaLJP7dn kTfkYwYr0JyZFPOw9lulCpKAcHOkfIFdJTB5V+zLYwHX4HmIosff8y+yZjY9aOFo4MxAy0g9NwvV 8XSymdovYQlXUo2JSWV7J0039/RThhQJnjJ36V/2R3eHP59/wTzQjRwDb1BScw4EaVphkwAS85II RAUU2JtJ980Wwr0D35CUfKmZ3u7cMahL0GAggr+tdf6V/1J34M5Bp/eJfU9KchM1h9Apdpac8jcI naHe872shWPJpDBf6RY4UyuUOmxm7H3nmDSpH6UdonTnon0yk+1SvxdT13tmZV96bzKY1KAE+wCW zwS7Xjz9L8cM/lOAdh6lzSz2hUx5PSxxkZhzaAwI7O3eAchD742Fh5NS3IZDQXoMccjYhjmduHAY iVLDCFY8RThbXLZxyFBU8mSIhykBUkLUNWheSlX3DQBLPJ1spW8aacv0/AE/RvOSRb4w87L1ch1W BTV2x38IQw+8A7uAXC+prIP6+YPoKjXqeMSApA/5ArbbLMQjpcpONbTKwdsY7QlkFiPfk+EdKApp h4sqVfcGLHytRmRUX3Ph6QwiE+tFdNEfwNnJQl/MHSRZHaI4thsPDJYgeMYMwYgKPyUJ8JoEL26P wQsyHZKjYCXug6O3iJVD/3IQ+thZcsAZNgS+IZmZ6cZuTYO7Q09bMOw/29oZeDpjXf/2aCE3FLDw k3LciMSruCepQkqdUKbXkKmQ98Cu7j1wUqAv+bH2SI/Ba3gH6w0EM0S+pd+hIwZdM+L72tf5jtXw k8W+5UgdZZYYipLHc8WLtPnB0+9biTkLIxlSO/l/ITemuUlJbovKDnu4ssOeonIB4+ZFiLtDwODk dV8dM6XEoEUDxSHY2DaC8e5hbm1zwy69nTX3WTOblNGTw315+rIRoUE1GUvGtJvsJ8DTSfc6uLSY GKQ2Jch/J637Qq+kt+rqMfRPkLBDaD+vcsJ86uSavjVKLT74Zir3LFqUWcAKcrqTrlnvAHQRREZd 2BD74DdkkQzdR6nbo0HHzIWfEystbX1OBng4LUgWJ6pVNzQCbxb+ItSC5kUwlma0CmCnGu3I4uHv TTluAra2UmLwm2lMdH0m7SrOHQJGLPoKIsKhq41PDc1rbxb+DinqTAruc9Ca2b5+qF17U8OK/nMz 8S1LeDPc9/AXqrNAtkKpSyk2C2qIYGpx4Lidm8fD35vKO2i1HHG5zsPlguqK3QKdTRSI+jovEpRZ FEV5yOhuuuRa3BTyO79HrwbVxG2yj2Lau/F0cjeVX7lj9h2ijXJTzC8SA+X+gs9Xj39bqcoqbb1y O2Fm8viXi2W2GoPXq2xDSeqJIJndfepsp8JEbn27tIS13iz67VIIpOisBk8Lljv6WtyBpzOOW/sW ZSDuELHvzWJflPFtApYzUMpwBopdI3sG3uG4gfjN8Q7Mk8TH5+AZtgUElhxT4OHaeVDdWwgLr3e4 DH5vbNYebhb70taJcXUMgjx0Fz2F9C6eTuqboQgyvIoHkKwmJoX7YrlAL8mx87W14Iheh5s1OqBy YtLCryw91ugxeBXPUtuDogNxeMeRIhbe4IKnu/pjizj9NZOcAOuxwDQlBu/Sth3W4R1P/wt0SCcB BVqfmclVzfCx12sPUbiC1Hxt7qtuf6ijzW4KeJApwVBtZuzIsLedYHc0QTchRS43FNrND4RJT7Eq 3jKJZJo05tWULKHwsIuSF+gYHDydwfB+WEuEJPeo+94M7wAmZ2us/vCAOcuV5i3SHzp6Zd3CeUlF zZQ/Vt1N9UkLLMY7ARBf0JknT4W9BxLrEP+gOs6ZWXrPjBRJEpas/zioiWKLkq3JUfrB01nd91cf EGJqHsfcNPa9dK7YDqnaJyRIwY0IwMPyOOamgAdxRZvAhy2MIu2qtsD5ANyzIf4wqBQBFQ+YEaLw UMPwr9HnE9+QBHiVaSR9HjToiIE3sOrhfeHpJClYT3oNQzu1wn1m0sLvEQha0RzEqrYjfPc43o2U n93kp/xVoqqI3VdGFH5vn7gWiaqCyP6QGyB7TKgSjgcJAmU8fkF2xrAg3lj+5aDyJujhcl49fDrL I8/PxGA7lueISfV8q4AOxw/f7dKSA3Q9JwC2UGe9GeRh08Lu6Azm4BNjGYblkZUg6Lnpng4CIKnF dmFDcOYxbRh6z6wi7tL7Nwbd1kVOAeCiHTyd5ZG/UQwlFUJ/v2SRr1TNKCYoEzv69QLygKNhyM5i uAipCMzvAR7/ZHEj95cbWQqKMof8nNEhUoHjeaDFBnbqn/6dmiu7vZLFviRM21kknLg0enDOnFHk qzTtNOPz/4KEnrroUf0I54aSMt4k1r+F+K2iOYJ+Pfi6kpgsz/Tk8a+4+pLZWSGzM+wItsmtrOVZ LHxadRARVvWggNAjWFWuv2nSyuammp06OxyUNY0qOJ4dZIOPJ7nB+CFhD/qpREJJ77H3h1B0bcqq O6VHHqIO1Zma42UkrV5S1K8Wz2orBq9cbZitYjKweOZsjAonfQ7BDkKi77NzevU3zRr9ZOxTT1qD 6hBtqEWMdjMfT+KaFl1bugLad9t/H7OThcILJd6hVBIo+u6+XGMBKybh+bWe2Uld3NhU2fQ90OAZ wrqWaOBDKYvTOvuXixlvuZFV1m/rAF/xN2geWaM+aMXc0sXBscXIx/+F48V1C5sXROPhF1OyiLhQ GPoyeaScX1dh2HYUUXloIEDBw/dWVg+WGBxczWPw3JKsFwRewIzbPUbckfx9EUABieFFLHbU+RVJ C4ERTjv7Gbi34HchAfoLAfqSoiFCnpQ3VsM9edczPWlV+NDeosnArB4KGREPQf1Z4iHWcRwwCUl/ O3r23TEEhObAAgRhsB1gaO6R7YXwy76zoqLzFLJ4neMrkhyTofG84iHfsBqyjSaiZu918PH36ell RZKJ1XolFuWzkypAoHxUJkFWQC1zG+PoaZCsdFBED+OYkkXHRAna8j/P4LNzLvIoYvVOaU0x0aQE CTZXbw/0inIX/Iok2qGIlV04NQZ1E6ANxL/OMhQ+ntEE13fxwL/8PhYpJZWBYM9S5qv019k3+Dvn AV89vsYlLQ8fHTn/Y1Jlh1qDeSkgngXeRW58TOAG9Ur85GkQttabZtgIthSGbMP54/+R5RAtBkCg Hnw807et3zy8Typ9PbOTRcmHtfN7pnd0W1VJC6Ka+t/WKu1ZOpkcRJfbUKnPEGGyraOrlm6HsJo8 DOhPzp5Uf2S03Ya1pOK/W3Tt1mJQODhWk/jSGpuPJxsriBm8tei40p6NldLikM+0Sl1k/KtXaUGM wf6AVCF62KWUtExMANaWaTEHT69QJ4Ngyx8iy5t8W92klt6jj0sgozp+RVLCIf12aWOtZ2P1Mpus DBbjwdT94kGUM2pDyD2ekCe1f4M7bz3Xwxuku0I42nofSrtg7+vKMzXxf3OzF9xWLQYPCNG52zx/ dwPhSUxUeo8Ta4peyf7hIdTEAA7rc6ux8AxKP+1sU9/bPoKPJ5d6+dlalL6JxktNLeCGTDC6ck07 gVb4s1YyCCvadeeZnAwsUYoLC9YYvMVANSg0hSB7i14DZw8OULjQ61NFX897Jh0G6dMX9mw4KAG1 3SrfKYto+HhWRy/fqijLHus7N2msvHjWNAfEzrrU5J0sejMF3cT9aG5SSeBABdQYPBpEEceOHaqK lHtU3ljSDV//SLTmiTfNGCysdNFECMNWM7OMqwtrom5REx841NJ/5DOA2gfuxycnE0YDigT9ez+S G2X0cSTP68AbAC29y1BTHzj5u52h23w8tznYJpDOo2QcrA3ufsrGkzo0X6v5Vf1Ns10lqx1i+jgo kbBYRy4hduvy8WRyzvimWezhQdvHZycVR6PnTicZgVL1WB2A369eHCkA72K39kq84B5xyjafIWBr dmYuyN1YAgqdErYkJnMeRIHYgI+Kf/U3zRAChEzw1NegRMJiV1aSLSKYfDxpau7fRjii3If4XxND ODlz2RHg0q5R9OoChenQaUy8tbHSOFlVryYGansMxDt4cDB9oIG4xX6sB6I9DnYCsKb7gdu042+a NTbZnFoSYYkqgC2cWdRlsk/g4/8ia9p9dmYgQWviClf/n4TSm+s5AWOpdGKcRngS7B/6fZZOiqAI ls+JwSPBDk84RYIdkT933jwU3oGfyn4k5CQKVlNjOPHb6xVT4a7HM8QjwT7b5ePJmfy0fXnlohs4 6nMop6oRRw5o1BLxiaq6sCBwTEENoHHcszS1xxBUtvcVg/cexioHiEdCb7pEoyYYLig+NVjE+G3e YBquN83WDus66zv4zuqb1zFUJfl4po8QpUFW5PGPG9IRNTGIs9WDo2Jf2j7g4OlH66jDN96RFF+v 28QhrgQmv30HTg8kBOxHQuFqw+KrT7koE2eNktv5qmrIbTF1iMPiQhtPwK2zI8eyfauasK0uPp5g Bkr/XTydUs7PwZOCiaFl0drxonJrQx0siyNchBBRV4tLK42UpS7Yz4jBDx6o/0ArGAfPGvPo0mKy RXeIr07CkhVwahNHbortVQrMdU0JUbPDr+TZGx9P8oiId0i1ZOnUgmyfntQpbhK7RTljVZanO8+s 617SIN2HIWVqFSci86Fljoa4tSCSMGwXQU0Y0mzcW/RqgKLRlzQGloC+IWtH8DwuRFtXOkz8oZHT EhPejvXKx5PZqefnSh9VkGafnFxJ7T5KEjiuqhd3IHBvPwMydNvQruRTU7+4tVwxbMfgOagtvMpC BZDouynSgWSIzQuOYJzLKu6cEW+aRYNs1oi/WYPnuWAVyDsSQFo+nvFTfWvRzAmg4sf5NnWMO9SS oOf1f8gnoAL3hrId+vmYpgUhB53KiWUcVo7aMDKBqI+nSJl3NQqnoXxhH8kW4EC91H6SsOw87PD1 eNOMiUmgfpc0YXfiHuZZ2hV2FQw+nuHRf3p8DX0tHF0+O6mIMOuCx0ED0Fpwo1fhgYiwkPXK1Mdk dUHvYo0Y/NixaPBsHDZ2MIMD07eK7vYnwGBrfoVrSLzhV2Qtc3rzXBXAaFLCumA5lZSe2ZCBps5x tT+d4Uohodqe0ldqHYdmBPBWoYCqCiEImcSosgVK5L9mJwUZdylLSOuzfrU+7doc9Q5oLE87dOQ8 vXuDPjwkFObTHKZEVs3t40gwLSI0lOIkEjiDbonUj735eFZz/8Fy8T5fjxNu6h83JsUJXSCgTRng guPFRJL6NSN0UGtqIHfYxjoucFlqJBIFacguCnfKYoseZAb8dAModGL2x++ZnIbKUrDpKrz3FfAc yx/EarHci49nS2d+NxZxlvM5klNxNRyBhFtInHt0Z00NCuEiFqRpuN9XuYOyWEHqnu/ontv6W8B0 TcH2e7uKpYGYQbscMnFSsLmEjdbUQ455WT1ViWh10O64dtWS/W2bf/HxLFD+pX/j8Gz12VVZQZkB 7pZ2jdqgQkr2Sf0UQS7CtqcmNnLIsRTqaOH0WDjoaEAknhgddo+ZhO26HVNL254pyYDhL5pMTle1 SzI/gV0YKHxKxdFCPT6eaUL9dEHtcF5PhpX6yC062N8Hc4FqhzAXCJscc7GfAyf11BArnpGahjiO LYitXRY1G4R7FgTRdyKEqXzxbvGeyVWFYle53JMaPAacQ+eNHQt8PDtv9s9hzPyuPMsmi5AhbdHk OODIrh2wixrILrZedN7kfDvJ8clomlAWRTlzwhmB9HhAeA6755NtOgihNop/en94+psm60aQFIEL OKis008RlRt2wTW1k1vhF4wrjssWZUHNTmooB50IRFFTOhydJwK1FOg6Tww/7w8eOKmj3BJWsngo +BUPWHBeWCwlo2WzPX+gDxbg0097WKDjmnrKVZWQpxjPMwBeBQ0bginsrOfj/yI0rGAYqlmRWyWu cu3/wX6wikH4HxRaKBFDDP+gEge6IOspCCa2cgCDywdrP4PvqnshS1mlJ4aepUhWKFks2lrHRQVg p140aZ1L856awRp04Fi2r7R6VL1gJoN0fhpYIjdGPTA1l4O6Xesq6mDh0BWSsIt6HMjf7oz2Xuou 556UzJ01RIyDvvImlvRAP7OLMFTp/G2RT/nCmer1N804DiTdTZkSTp9/gAwvj+Zhi5ePZ3DS8tve k3hFzE7KvgMvUX6q8qupI4A6vTns4ltLTj3mVLTYRQ2sEh2abiGOpfW4M7dtYEv6CT+A4SdyFstv HsT6lqt94jEHLqvsR5iVc+C2mr3s4irVg49nbfMSkNLKFfv4j9TcZI5ofjqg0+UIsYbMGhvdcQEU nrvFkZOLDis63j0GzQ3iyN6gGAD5id7khwV1UwtPAdI7X94QrbFq4jQH3qWQcCSBcHDAzqxhFrf4 eEZO/EnKyYcvT4smMZtzP65DCSwqadGYAlGOBWiEkwJOBfAR887UbU46NxbJ1Bh85VxLmAa17xHm PI4+yPsWQllcvtIYoyg/vyK7rtjW4fGmQcXSUlWb7Rcli8Rv7sdhmS2L82NPWFO/OdSYGmNFB1ws FXHsjlwuZLhR2PRtlQEuhmDatTxD5A4Q0Trk+9pkrHolQ4E52kwgvrJR9A6rqeOcbH3mkbuaqsdy I5lqIlhMwMeT3KGW79KpnJhnctI6MrRkB+HOrHUJX3oAD1+CXtiZh6qv9lUeH0vPhTYtHDx3QO+/ AwsFMIodLyTsLYYORwa4TxhYjr/p34BwRf2rEv0rS1rGFghyoRSYmM65IM9DIWJc8GRWqevcQdg1 Gotdgw1i7Saki0WyqZNMTy2dNEQWf5MGqhp86ViyA/r/4tKx4In/gR0ZmAFI/6xHXeDO4W+a7KtC INxQ2jki7QTWl4XS3tAYToznyhcmSA1DxAOoXkWZPfWewxXSaPw2kELgNqHeDbiGlhkC6XWoSq75 +Zcwua8Tg9/nFoGfO9mkGXYvHvb37CCbMszaJAArErzN3/SvnEUnju8VNt3CWqH7eTcfT+7zcHOc ut4g5Bf1rtR/DpE8SJzTERcsdsuNo7plrEtTTH1MciqzjvW/oh1Q6ej238O9ZbZQBNrApbB2ST6n cs+y/EX/lkNgo8egxbOrBA57P4ePZ1trfYMdO9GxFn1q8iryoTrzdLzFcbkt2DGvwFsEo7OmFnTy uDxDNa/RH0/qttuuhAgCZ0rUNgVw6P7agOv7SgMdf9NMhIG0IorxaODc2JU3hdPqo/Hx5ML6hzuf XQUrdDtq6kLX5ejoIucWlYQp372zBeAiRKxrYkPn5OxJDSofPL063KhySF0WsrkWrS3+iZL51/Vn XH/PTBKeHU9p5nNQYo5Fyj3RbufjmUDF/HaFKde1nsZeYkQHCg2gXdS2kJc5c4lLqTxJWsM8Nmpd uROdVE1ksXAei4VROtWwUPVfG+abfjLBvwoR6H1uK+UPiREdqg6y/mEPgoPinElxFNxW6/LxDOL1 wy1qiEna07dKrOjsoMC5tKdLSQGFdsmDsMDViUbjwuDR5yb3ohPlVWnEOU+tC3qq94o/DhwBAT2L srSEQd4vbNs31d9j5KW7fD1YFEsgBnPHbskbH890mx88Aerr1PuKkk7qRzeA/WinOOS/nRZeJb1N zz3pbKJd9S+o5H52DJ5BQM7rSnnA1n1vyj2pBQcNHd7lLlZB2fyamtINR1t8B60dW9kyN78oBeau dJFesShKUY8a2s01MaarBD9BjSECQbKWqUjWqnh8y3ZHAAoSZzrceUKhiBzcTnkKpbYrNwh3e9MY XBLxtAyDOTiUrQLIVOJNsxOZqvk0LtCg2woeOveJklN3uv+C6qA7Wp+yTupPB8H1huILGpskjLhs BWCzFt8c4C1aYNoTgzoc7dJm1vT0mJ4xuHbggLLZIO7EZSwCbhgBzm9TmGayNTGpQ3uGse1QEjEi ibi2IGUPsM/l41mV/bd3ZfcaqL2cnM9rnDPBT0L5WChSLB3BArF0uF6xdDqPYuys1yhnhvbspTSs Bs7M7Kuh6Ivc0876eYZaOOxZMTBASXw7eBIx4GuQM12OzgJuBcrLZQQn++ZURh8HD7/Mir3dlYFU 9VY5xJQ2ucL2S2Szghh6AuvAFTPuqFJQKrB97zhvNqsIPG+SWVGl606mTRx8vRSowbRCDIHdRVdE JJqYwIIb9oI+Kw7Vfp0VB+nba4i+NwJru0/ExQ0V0tdZcSA5GdNkItkpR6gtZuU1tpmVTL9WBVJH 6V64pQbtAbYaxh9b/ddLx6+RzfT++KLBqg9aKxPiLxXC3uCkISQ5UT1Fab39KGXSn+M1sJk4Iojj ode1Bm0hCNgSn22n1ec1rMGMXl8qEuMdkj2kaXi2UiZfg1qHVB6YTrAv8FBlgWIgmpe3+eucoA3D jVP8T+4BdAOk3G4pYCQttraNtVQWlCED2ppflUyUSD6vEc1EjYmgddhlQHPrsrlFnNvhbFqqN+vn NaDh33i/s4LWH3S2ZYudzQpF0HX5qMnQHJLNW4XtKcrr0ik12z/kkEseXIPHwAMS30iNQV2shYZP 8GpWngCF0ofqgHPt8xrJzCDXF4oPaOCpAi0cErPBovu8xjF8+JmUSoC8VP1o3ZXMCgItED9cV2qS Z8RTZXjlZi2CyWhKl8wKwy87TsaJQbOC+NeC+gq5F1vGEAzCdPDNQGKFsbXjSwpBka8RjL0lMaYW lM1n0BUNupm0O+b9vMYvvE6OZkWXOfb48ll5DV5wPKN52ElUR3W4iYZXwcxGsIB0snI90WAhnRUk P4WRnQadKhV+QpM5gcUwp7DRbnEOM0J7Zpcn7GXx8zVuwQYia/xShkqDmlFQklGnrtbPa9TCI+ln /5Aeg8iFk/IasuCoxX+lahPLD6V4+YESuWx9H34sJUOzoxY7ws7YW2PQUjmwoJH+je2kIo0hnDtM k+efn2gXIeXnNVqZXpazGJcETg66f+yjJQeFevBrrBL3ACahF7HvwTWpTdPyWtObwgSC9D4DZ+NS HUOIBJwrk8Q+ikklpy3Tets6TA85+A6q3TI/lBc3CJAzTEqAISE6s8GI0QM5QIE+rwU9TMyljgkA Oz74wQL3NU3M+ryW86a3gP74j8VDEq0OTstrKQ+rEwGu+5Gw1FlV/gUZMjreHsLMz2shb7osG27k FUMcLKhzwicSbnSIXhyOjY4lfCS/ArObqeNrGY9BnMwYyCvr3Xll06Irhe69Lzyc3UHd9xAdQ/FR UMdTFJcFt6idIS86kRfd6QVy1oJYIAfSTEl1Ft2KVHaJ0tCgibF02l69UnusbEg+E6PP8wy99B8z jj38Pf+2kXjr+MCZsVjzip5xB59OdhIV/rmTcD93FLa3z0wW4EJOGhhozUwFTvyP/Pn2dREyKNwH BD2NcLmTJB/LwQ8Y9BIv/ipUNCEYWASxxl1k+0t+5kMlvK33zM5dmbsTmMUhzt0liz1Ij71Tpqa7 q8bBi4chgOQz8x7kKlsAP79H6BJqJqc62WN1CEI6siaZGeEXbSn0GCJXXLd2dbnvgTI8SxGL2CTU qUF3d48oh7kkMzNZ8B2NaCUOuqZR8NLJMQECzaKX1b6HTFcsHjOThbp9sO7bvbQJmLi3uHX4DlQZ ytOLS07fKXAEafca/Ka2UB0QLJy+6AtXKQhBX4sAuP51cJH42DtZCkE8nd6HruxRQ+hlIO5VWnTQ LsgOmpgZ5tJUMDsR2L1zpabKbnjl4ZEdXDLlxCy/tcMO94zqXTY1i9aVjKE0xHaCSeqhG9LoE56p mDsQKgEAQD18aTMN9QqyY2bJ05HHDAffTLYLRUBkzTeZGOHBfTNdXLL4STQvWcRLePyVk/khavgw Y+yHFSBgAAiS0jHzHvICB8gl09nE5eAHMDQriT+0zQTLP7bqDghREAhFIzoIZEtv+T4vl9UFAQvq Ayywi64s9bUtYvi8E6Tmo8qGxiQPbbmn+cSkQS80TMjCU1+byFQKCbB6gubbJu2fCyaLelXtveQ+ avC9ZIfvAMAI568lAmIpqPxCss+JAsOmg8s7PQp/m8x+hvTqhuvVTbt+VXa3mOl+3tlRzDp9ZnRB U5fteub4To5C+kG3y1XCBZQ4P4rvghMqIM0M68J3bhTv7KkracTgECwEHhNxgZ02HZmSQH5IliA3 KRS+5JrFdH4PftFVxGR24pU1qLdUnDnW7CT+vDOjpvfcnzwJP+NGj4Az8x78AmQOMWKWQNl3Y4mD DlrEq2BmYM/u7I0s+l1Kp3d7Bu/2zw49i3tF5Z0PSRxxObYoeExuFCWI0Xv06+UCmxLlkfUR5rAw krPUJ3RLsvD33PWt1oEjV9Fp1Mxk8S/V8ulLwplB8K7CFESyBKGB/aC3stMAWIuFmFMNvpsgejNh UwgN0RrRzOm0OGaK+2iW7K33/NvMXHF+OChhWlf3d7/o1WYhsBAcvmbIToF8gCp2WQTcaYzZVtA2 mjdO4EfuLi6I1LSb3tlQ3whYQqr3EVKFtFmBHArOW9taSxfT4YuyobXGoxtwj94zOYKlR6zO2wrj SFB4XTYASNh3MlRUijATQ0RD3LAotmhushgY3RWo/YcC5LiOEz7Ku+lrvp2X8E6Gijhsnisk9X38 xcpBAQwxMd3NmzyqF1X5QWUY97GLopTV550LxbxJhsP9GRTp2QoiHLFbjvZ5p0LhCK/lJ3EikuLG qskKvZvyyWRvqom9tbNIbSScxmKp69CZdyZUlNfm9cUzv6ZIsHNcyN425IVW473OmnWDCx6+Pei7 VLl5J0JNh4fbBSFI43WJdYrY8soBiPTzzoPC++3fYq+97Ik8+50Fhe0EG6JG0U91sLf+hftKVfFV O+guOILfSVDTXa7shKHKxn383mFEUezHHsi0F/SW1C5p2E4Nk4A72w2H2d5/50BxyWBiDsXnNOig QQ1PR8U+n3cKFI+pmBh662LXU+CGU5OFwPCiruewED4JaFSG3R+lTDsnirdo3xlQ35rvkvH7Cj1V i1zwJ0N/xm4nsACbE13sFwN8+rm0ySt8pz89JQjIEMXAq+ngxPFEu33e2U+4On+vJvaI6/b2wDv5 iUkTuN5zRTrJljzSyXV2iGPS5ZMrJiv6yvvnDsV74TkOrAwg5YWVvAbgvdJJQiNwTNPEMCyHj94z CWeK5AEEC1k1iPAQDPekaXzemU9c0fdnxaCpc3o0k95DYCkjNkrkSv187Rrkble3WZPsRC6Y9xDY j1/LXXHja/BAD82kC7FQJgmnSTYUdhANgqrnJztgkfOd+cRCZSV/mWdN349EgO1U5YOn3M878WnK ofxbgsAC6lGCeOc94Rn0h2nvI48bCi6zJ0tVL5wyE5+iPtt7CAyxH04JpDZ98KZsKeiyX15MdF9V WmXLnsTo+5M2Xb1mNjFsVrPRoIGwPOicTtkYYmLeI2BUoOZ3M7H0AbtfTUxW/iVSBUdjnDFUNEQP mapNVG9ezcnd76QndqtVFmeAUmpw5SyIBuIDTU3cS7ZpWam/dmr9Qe4N6fooizPPfic9sYRAHhhL HBqUGtjpq2BmYWLeA2D1zr4TgxMT2gWamCwA7uy3jeEw6UbtPJwykHXSKSOjZO6l9wC4CmC/KtNo DZqYAsi+5emAx+DcImcKNlgQOUGfoj3Hb/PXzFYMMdIUJdPAW/rYN4Ru2vq8051YmWvf+BfCfqgD qTmbhb9ATQAp3pxdqbIehUiu/M0hZuP39TvXaTrEFVaxNwbNywAaxlIDLJiBzE9FPywY1G3Xo0yM ieXnJy1rCmF27ScOnBaIBqnIOS2MeSc6TadFPN1ZtG+2H73vPCcuMTLkkAlRB0AKUMUbkZwW6DSq LPNOc2L1gRvoUNqSgx8wdvUDBwPyoP2DbWAIsaBGBkSFADHhE/XOceKsFNbviPkYNTAfUJaVvuPU y2XnbvmZFZiQXg/u3glOWCzY0JUAEcIbxnGX4cacVOKOzaGb7/wmrPF6tDlKDD4rKFUBQ4ir+qKJ qCa+G+W1x1oBRA6+ZZIpEZV46r4xBPxOyox27fHtsq61n7oSRqDPmU9LFvPiCGplBNy3UDkbZws6 70JCj9bdQuCd2BQFGSjH1xiiQWv/76Kvo0WHUKpmiCOLBZIG+6NFTFmEd2LTU91EA48pJLiGxDjc 66YTu9T2eSc2MX6NbdR8xaxo0r4Tm1jlv2ThOiVuMJQnJQ6EHXm9t+EV8XdeU/QjhQ+sARPE1Fxb PZYqbXqaWyLJlr9dTPZ3Ap3+tRBgOfyd08TmEualSma3PjK7h608RnaTL5fFvL+nC/DqNfbRe8iL fhSOLHq9shiumsMmYN7NW5a4yZiVrOjLUN3+2jJi8MvoDPr10tYGNlqeCTSvbQIjE70lctrf6UxT UjGWNrLgr0E7aSI55bFrycA7mwm/fQR20tC6AqdrZrKQF2V2QOwk92T/CgAVPAsJSVysGOg6Squ+ aipJBIKDJwPrFtuniwojw7IK4RCBDLLr1UKI/vDgoK3Ob/hbnerIke5Eh3xuyKA7hqp/3qlM04H9 D9xBUoAxM1nIS574qb6T7FRzrWr7NUcJvMN1zvY7k4kXdeOUkJHtiLsNxFCBtTASWeykMgnjAELz QHKJPtH3yaxJEXxnMkVNG0HdioGLxpbMIFLGTsL+eScyTXeb5tTw1bB07zM3adSLmu74gqnC3GYI KSa5sHAWe+cxRYIHJ8sVgx/AkEldqH3D5ueC2MS6L47R3YAb2lGnOs3fMzuAie3VtuLg2N5CDXI7 aAbfL0shY2pwo1F22tJmn5os7kU2BoKvM0tnvY5eRctd2KENaSUmBO8UJry44HenP4OXxC07aheF cDhoWTwiIX16WIEmh1J6rBriQd4ZTNO1uGtHzc8HlTctslsiB576eScw4f3qD36IXgaQcBMAL4t8 J3LITnsVYp4F/7ioAa0uBGsfVJub/JTsqBFGhrVLDl7cvA0APHpP3Ipcldk1YieUIxAbx6094z3f Z6bLbfjQW2yTWsqKTEPDE/+yoPrzzl767TAVD2egbaUvTJEPWAb7+E0NNSHCeEFbGDNAikG3fScv 8VLlSXNUyTsPtrdbMGNpKf1tDnRXpJ/fQQjk7YS+s4Nl0Cb6vHOXvuFMl01qD5vUC0cCOf/M9Xmn LjH591IVCyIVJlrFq77v1CUsUvm47Or1cIpfEiYvKCuiGbaNcM68M5fYMmRcq/Y9h6fqe6HWwl4B 2FyXDjdwPCMf8PQSPGRULvieyRHcVfWl2kq7IwxBLTToSgzW+bwzl/5RqmIWKZ62JuY9AkZzonnl TlkkRfMoBkERNxLeKDqCU+adtvTdS0PN2icxsIS6Qo6kMQIeMN4mvoryEgjtgDD2a5td0c87bynA l7VTrEWDJgbsBF7bdsF+3mlLrDr9ohSxEfHXaWbSoi9xD63NQLS6G52dAVOlmbUppc2ZSYu+qwug +AxPe2lDShG4h3b2qsIZcTXT5eY8qSQ4s593ytIMJcLp7IoSPhyWMUngFXobn3fGEiPRn3iGfjA9 kqZ3whIvJlxkle0B0vsJSkTxaBRJHtisBTX7na/EtUpI3lS9ZbbIsKdd/YU2BDgxcb8y2YR8CUvE jyMSM4N3rtIMGfxN+KcG3Uq9yHi0LeCf0wC4e2bAuMXO7wcr/85TIuoBBIIdNn0i4uHE7dPVu6F/ 6IJO7zSl6b4hlkPWHoNn2Hbi2ulYsFyOHY9bWF944R4SI78J09Bbvp+80+nGu8WgvKAMwUHabXz6 X7q0Vck4CSs+L1n0S2ljB8kjj2RWz07kcOUDuwBC/f6dnxRokGUJXo8hSuEDFnS4luFssoE1YeGz o1zKPHJ9tXLfuUn48Qir6qwVaVCzbYwqw+A5z+edmkT0UPsWHriggUfWtGSBLysP81GcGbL8QeBL R2z5Z824kFK4L3VAJLu+Htl1wDftGjqNVU1oOilrOOSpA5b3pdISojjSsJedk04+jQYVNeHmoOjs dDydxHZz/SyX+2c/13Qa8yKfaxL82pSCdbJf30vwVvgaeNt6ZLVezopdQ/fGELXecu2mm3JKgLgK AxiKYVBEhMLlXtXses+ER1CYPS7lksurpqg1EiUBJiSfTtrWLep3DFf2kKM56RVpzIsg8vbmLgm3 OCyxw1ValSrGFjxe5nvMi8YED1OiazRoZiyNBBAGFn1w6CtT7e1CJBywffdJlCYrVTNlszGvXkfC SydEGAGPac6w6Hg6YRPcHxwe4kIq3XNispgXgkhRkUHld5xg7F9nGFiAML2ENzOkA+VfICPdY+DE 2D9tE+H/2sTYCd+qxD2pFAS9yX2+OiHcSjMr+SoZKIfUEw7cSmC06YixN8fT/8LI4XOoOTw8pZnG vMDqTMm4Y47GqA7cPF1NNyjTV2UDM6v5entWDst3P8xQSxJhiXCIg4b3i5h+jd0k+zvGejAgqOjx PbOKDG0RCD3SwCUDTxS2noedYXg6obWd32I4q/+gfWlqUmYbTry25SUMp+EwyppglUIpBGWEIxDI zKLefYQNV269HmoobJdt6bNzjZaBSJCLtUNQXCZV9JQOMIqZWdRLkLdte7b2OTjc9zKPwDnQ8HRy /pb2jWKg4fMcwDMjt7Hndfj70SKrD7fIkgkVLyh4Cg2umTTo1WL5X87swWcDsUhvvknFfJj0AbAP ln590GZgEfE9s24bmZDqo2wcoUyo4bOl1NrOcTydTMyKi4nhHBPKG5iqmca9IFl3GEg7ej6u7KOd RSHc4kDomXLc5JKKdrQPTkVpu0McsVD430JgCf8jCYBt1h/K1TgSr/t7Jpe2dC+iahxSVoUVZeY/ WNRZ6PvdT+wVABszvBU509ovNv24FAEG4Y0Ge/Sdoy0lqUuleO13prHv9mb9isEvJ/uD7a8AiKlA 9HxJlBJq+w2deSR3gamiRPDMar9kr8NXZMXAmbHrb+natrWJpzNewc/lhBuxluD/zffoF33dw+gX NRfODBNtIH5RFR+koOM9tJ8yuEMRzOx/0ms4pIwDjSQLO2DPV6R2BVD14EH8cLpW0WtmlxPSApYT fdDEXFUdbWPug6ez7NrPmapJRBFqxG7KEL9MjAnVEEtnze0snVscRkSbcq2ZDO8wBQTZ1Icpm2WO TsZFXaiasCpuSSWtkdA1wJ7fzBGeIh4OHXxDBquq6rmdGFR4sCWjooolrHg6w+H5mlELEkrtw2vi Mw2CKbdYXc/VwuHh4CG0XJUaFAAWdM5khd+juuaSfMEKlZ0JbUvU9hEEXwt+IdmJKt6gc47N11eN nOJu+IYkl5Q/KjGQGjzFXkPZoL0vns7YtJ5L8h4DYqbhNBadNouCgYoA1W0HgP6x4dvFjeY6+OrM D1ZW+aUxygKDPgbHPOC8pVWmHcGj9lE8u5rwEYND7VPfJE1/ZUGwFP7bXT0GNVJAvJQy8Gh4OgmC gz2qZu34Aw8qzUsWBMuNGXrwOGxBlzxhfoDSD2XIeRSRZ5wXflXFU1gzzkN5W3YpLFFP9oDREQV2 oNDckGsB7PLkTVfvmUwMq1QDeHsfFM9MUlMReoyFp7N+bRSrKAZ32UGPqUnxvrQ+6Ly1L+KvrhqV ZfZdIuSA53q1amWVX+ozW0w4BfdgbWwB1grf0d48pbT0RRViZMcVLog/XRTbLQtfkGAUWfHdW3HN jk72Pftu0Wp7xdNZr+D8XE0HgsCxlbIQmDbV6l5R3KHdFeIOrbu4A/xXtJWyENgBMlfCF5ex00QI bIeAxXASrrfIgEgBdiehbfynPzA8+9/58VmjgGmk1APXox44y6ZCrx0xe+Dp7F766e8vsgW6p5Mr C4BRKaEeZCA3n0bBdAqyBXnLG/wrC4BdyODOE4PvJMQoEyYoFv3ClVDCpuxBoYqwAVAMTGvXe2ZH DJMmGWA1Ls+rrMlBXMv+VzyeLZjoSHLF0B37eHKwsgCYANNBMzNiN7fjzMZdEeYtOuNxK2UBMLEg Fll8B08oByyL2GE7CDu6XJoPLyYor9QnzAP7lt+Q7KUrsBn1/Hs0sOyet3PMPUQ2ns5OmTh+mVEi xNtezVsp3nezTDx1Ubcms+6LfLLKGmIRKKDzN8P7NjUJtqQN9ojzF93+AVdwGqax1ySwHjxtAImM 5pIMLFcq9ABgl/3/wE7QIOQ84oGuut7G01ko83vGoMAZjdqV1X4pGGYHrjZOPZKSQY6HtEbIB8V4 hZ/y92spAOK8KBD9NsjGDUa/dvCWdlwrBf+5xTvYsLFggA/FN2SRDLsEbABqUNFqV/GY7JMvns4o BT9VcUiZoqetiUmD30P78u2nzGgh/WvTEfScu7y3tPLgV4Ift8fg68X+4j42SV2w1Jaq1wZ6D2KB P9z9S5jiSmPfwRSSTlEaNC8g/ZCMdE7H00mWPX4rM7PSlUPzkoe+jU12nSvAPKgHadljVeK0dpHW GT/l7/VfRrau7MLTF1aDBUXwTQAVscyoffKc6H/Ol4YNkX9+Q9aNFNhB/YLgLMBab/NX6HV2PJ2V HyJf4i2EvxYEUk7NzkEP+E8XHayAzFtcHhWZpFB4uJi6Z5L7r2pmi0pfPij0Bfhz0kFvn2YJoERd 79BN9YfC117MQ6CGL8gySVnNUZSJg2aGaPw/tOMZeDrrGMyfpMAOnBnZ0s5CX5wf0NBR9ggqyCEY xCK+5RjXwyYQlsxO679e+O3P8MDDyyZbDAz+RlwvMmwebFCo+pqiAYnNb8gK45yYLh2M7gT1YbtV YTCyHzz9bxIhnkZiP/rMZJEv5I0sgpvFW0ylutjmLm7obiunuVPlTpluIqF0TVB/0CAXntmnMY+0 dXOqSIK8vIDi2o+d+yQPe6flX2EUyVDVIN5S25sFGvuf+PT/Qb+Liw80O01MFvrS4bmP00Ps4WHn lNk8xlvLC+M7rf6qjFAE4iwPfujCF6sWXktnV/fOvajgoyQ8np20tJNSxAOFEgdxfxo4LzapWjz2 BzQ8/S/CgFxb+P+Abq/mJYt9Id9k7z5EMIZl8HASthrXVHrAxcEFk8W+Xg53FFG0mFFiAx0XHuGw eSh9u5zrZm0aEMz2FXzz90y2EmHzs4icU5ycg0aeOnEDPaadhb5j/G4llmGjybTT0i/+2sswgVoP LH2w+3aHIl87+5HXcGZSrQfXMtB9vR4OiuXo02an8b4e6MO5Wr2q6GCJP/kSCh74huT0pbkDTUt8 EK/gsBtBOvbC0/9CGiXRzaZpxYW9M9QDGUnIVx31MGZ5tB7CwwDJrM6YrPKrYvilmLmGgA/dVpba khaDFaEYNzmq4AHO8i08sC25s9C3HPUjuWTW8SUzIENKKJH9eANPZ9nS+r2WmCyFyFlKdAP5o2sW 1qP1cJyeI30mmupS5SyVOXPcplrZ52ll431hikrePi2mZfAOcY6NH+5xUKaewc4Kv5TQq23fGYMa /PfK3r6uy7dL5qWUn0AGtY8TGhg7Az4AkArOVXMC4C2qzNj/xLrv/WObeLkV5c5C36VGNvehBu+i bJuZi7DWUqUNaofqvggS0G2CWnV0mFiq2lnsO9QrYCCkgSumWnCgtqIdyng6WzE/vYKKemOPfu1O iW5oSrJmrSRSDk2X5Q65NqFf5ISunSs9zFdcVbcbCr7SWDH2S/cqya+KcAnk66/SmQcyqdQZL6Qj Yvp5iOm2mouqKmtfPJ1FeL/EdBKE/Lo+WeTLyMKuZvX1q3Q9xL6eoh5PAm64k04GfYCGOxHQJ4aI Y6ZNcKcEnF0lrcn1izkPSx318bpAss33/FunYPfTYoj0eir0Hb3j6Yzq9u27AV+HE3j6vXTSui+W 7yA6/z/zUWlCHtlcdAfweS/inTT49WqVKx0/gvytQ5OZYgZQB7ln0eAUeo0U9UFvKFij9Mo7ad2X 6M0i16oSrlW290EpwIFjywhP/wu+in1scN2aZ0snlfMdLIMvwYcgdzwcvCn7ZGIfhmvAnQz7QG/w n9OXaAQkkptsaybYdtG1KkurI6tigDT6cy/Zsh34hqxUNckA7M+gToGdUZSObBZW4On/S1aArPcJ fk9KecPMQAhePJ22r3CcYzbmS5ZHlgG9Di6ZFPA7Fd1JrWuHJumAFHZpUHbc0HawI5jdbspbEe5d 6vdm6nrPv2HED33FNKiI17YsjmzHXjz9fzhmgMwrfvqeLPglc/qQF01Ua/NgzzKBsZ1/3UIW+6R8 N8kXr/MMHuIhjjlN8hdQTm/uLUiAFW/E55Sh0OTJkA+EWkNR6cSg7r6FRwQbdguu8fS/yVbZ/wMp g6Bfnyz0ZYbYlSIB9VAe1MMd11EPlALkvKQiD+uBzP8DOW//ex/QAkdrqZ0p0gH8tdGcgBfWE8lQ ghHfkCBCZDQJQJYPKjyg58D1subC0xnqIVpLYlvYwdu8unmy2JdQodHmcXxVuYItUjPfI5k+vIh3 0thXmoH/3duHEScUccgFxOG7OXVbVmgHkcJ+kGcgTeAbkpmZ6x8gziMaii3DzehgWmSAp7N7KRLs /nTdkEBrblLOGyQcBug4TdEv9XawanAgds4NPooJ00lRv1LF28Rp3l2ehqTdUoeULYChLQgRpoZ0 ajA9aJ7urngsiZ8s/pVZ9Br1xqC8oPclRS77Kjz9vpvOE/8Cl47jbYVq1UkZb6hzn+1RHuIa3UeW D48ZOg+RF5y89KuMqZ4YtGoa+KRt8vwFUazr/B3C1tm7PouGZza+IBGavAJYfQdVZUrZRd6Rp+Pp f1GFJucJ2U0JNZmThb/ouQEg4w3JRm0JLJk5Xc5rNRolUf84D3/5Byt35uDbCeZvF20HULEtsOGV PQHabFAoBRzBr+yDYjy+Ieu84QBmed4Hr/1aRsC/bbeFp/9lyZyoV91o1d4sAF7ysZiPmsyMKG/1 5fUqSvZQAjnlu3k5QYTA2556leVsG8hNHDS23BlteZIN+jcRBD4ztLW9WQAsKUWLCmcMonWVpv4S BW1vamUR7QLdIUXSfJqZ9/gX6paEe7okdGOsy0SpSgIO/YJRPP69udiDBBXHiMGTSYt4gZ9vVIeG RhMrVoDVW2bpzCVdTtQy/twU/Dt0BFNXiYMu7dsZsWMRbDydScD9dN4qhS6jMHNT8C/ggZWRtiNc pUE/oGmnUNgCGz9mbgr+PUyzm2gGrYVsoEUzt7HxALahbVqxl8AIRMFqfTu1xLfeLPyls16VyHJ9 RJYttLiwxGVMe/F0JrPjKwaLC8SpFuslZbtdlgCaBzMOdZh09xwOeiD+EGfMzUEPkhHvJQafFei9 FRCjIE0KEqlcvBYrnHAf/F7ZLD7cLPi9XW6ks8bguN9zpEdrSwdPZ6qtsV6aF/LOjvWSAn8BwBqk j7FZ0FrIPZwznKZTa8xMznZTMOPdo8cScFX6JFIWr8FBj3zBTe0RVO7W439M2yR8wd9U8ZBDxqCO 5ARz8Q9R9B1PJ639cwJZVV2zNWR+bypyhkbAbIHGw571W5rsXxy+u4QOxk1BDyIuUdFag0/MtbBs MV/auFxRP2OTmyfzn/UVwqBi9s0Kv4UBTKdIpQbVHqDGL0ZtOXj6/yDkRYG1Hr39m0EeUJOyOy/Y BRaFuZCX5HNx9FYeH5yXVOLMmUuOtHv87uwogOQQNDkO6EbUSgeBDovaDjW0vuEryZlZes+MH0lZ yCkk/fTixgTjnbH+KP3g6Yzx9tNesmPtBK71ppEvitinuChePXVeV3sYZwTmIfhuN8c8nIB4+OBh jG0ji0nZjzwXvnDaSPzvUHmo4QTY6P+Jb8iUxKnyMO4zeEU8SjKWfuHpTOO3RxiD9iC74TEzaeUX bbfRTnWmTjmhPi+QDA0urqvP33+JfOHt5UPsJKwUHM2bcjIEaM+D9ieaTey7OXP0br1mpilDZBVq kz6ovlnu5nlqO4lPZ5yL9U0JeFcjx9fEZJEvRTBmDWKBHzEQlawuor02kZNcMhnqgYBa1G6eIYgF F1pVhEIXdMvYdzuMA9EihrLaY+Uw9J6Z0gNhm3J04KDbepDpTu3Xg6ezRDK0xP9Ig2hHSlBLFvkO ujldJLBygb4BesARN2RyQW/fqY/J8kh5UCkCLk/1wRYGDhrUhxD4MgOrlhNAFnAgv/5OzeWFja9I GIHCPcgvZnf2mRj8niKj42kfyOczOHT9zg4rkyWgrap3vT6Eix7+gpwUO3XATgMTm4rOYuvo/+/U x/w1zW4M/Dk4+AGqx5U20JZpWEovtCJImjQYQrMv9KvK9TfNmvxC0ctVJ5YOrtXLeRoWFPDxLDfo 36zp/zP2pbuxLDlz//UswiD3xe//YGZEkNU94+KRYeDL48EtdSuVxeQSC2W06iNDX1K3Cwrk9dGD eRwI1zPd+tjKpxDhZO/g/QqY76hoO/UcXx8vtecQKhpHFLAxoFV9d6jIwY/IRv00L8CV6ou6wAe6 JCwPhr5hktbUMAGkbj2aM/uxj8n6wPDEgB93QOmn61eNtV2ffo36aNGX1N5NA0rOX7RE+woyCaht 7QWzd47iq7io2M6NqrJ+Zgf4iKzjyetl9mdR2KlyMoFt3+Djf7CQkZuxO9THsztZOlyGkuwiiRng gBzqyo4R+lcLNBt/s7J28JJSXhNKuoWMoG32gucYXC/gArKvLqtGmyKg6duKBhbCmz4i0+qn4WRn X46LRv5VFDqoMlQ+nnGRA4tGz1qAP6wYCgvJknaFkbdZ9aqpil1TNQAR5zqq3h5rbjta8rawRK1c iufE6akQKMJfmEi9tlRJLczwQPHDrfb0sXibk3f3no+J2qQJFBfNEazEYhlucW3w8ff96eWjF43T CohZlJm1pGIQ4EcX9oYIi6ARIUIP5joBixg3Xq4sO94qv4vE8crHDw98LurMwZa8U+cdTjudn0pB 44epsrZ/0yTbmXJl5cvFRdtTnAUGTAAfT/rmH/s3/Ar2z8c3peSKEIzGw10wuvTQFr0CirezOL7W 5mQp8hLBqX8Wv7UgjlEhGmaRZ511KHUL9QxANy5Hq4o8DU0zfdNMRIQNLarjYJGA08AVyVCLsMDH /8CmMZ9GBThCj6eWNEtG43HKfwiRp1fNF8blTYm4vOSTwd3JlCG6qzeJTHmCTGmvFYZOh7YGxbKo I1sD8nw7hkP9UdV2g9aSSgFzmkt6mi+Ky6eq7WqF/ubjyZvVv+DBLNI+nmcpPpga9XIgQleL8pAo Ogdjh/QhVnhll7RJfL1N8T8CEQMj4wPCzb6W+63TdGmhyGr0JH3cAynKzI/ITB84ppNf014xvoQS lzwBF9PB1A8jEEf8FYipDFJ7TS3hiKi72h2MMJc3KPq5bqw4CV6RvVfiCedUJHT7eixP088OnrCw lnVensJJWfwKlChKpYCQKOokpnAIysJIzGdRf+tI4QL0gsnHsyIrGlyUSz6CbvvuZMkybjpYYhwv P6Wnh/KT9qtUumJ+qt3JwBKO91zC16wHX7MOri1oeQKrBikz9dntXDJHeproS95nuS2c7NW7DI1I nmBhboWE7huL83w80+781uhh/hEzqZobwyGVmVToYJNr7O0V6PJGhuXs05s5NXWGO2K/yUTmnmeW aXeVHSQ4l0LK325zTaUEPlv/VWdNJYOpNVxVC11mpXhHNcwEuKtomNkXH89a6dHp0vUE6Ed54k5i D4dRb6V7qwoIC/7LjZtW7wI8otlVY3/SZFkqI00g/PZwckG0q/gJTAfLoX48VEYITq0iJThoeMU3 zRQj2EqnvLAWlRJ7akjYLQvl49kc/HzBhg+pV5HtpA5x7P7Tr6IRoEWA0H+gjxolF/Sl3Du7JhZx kKpUtiOOZQkrtNmJLt9QRrA3AAqoom3gECERxB/nUfWPb5qBBMRzZ7eUi9qkFjkpKG45weTjyVjz fL1baOx9FABq4hOHhpWIGy5Q0zVzgDgCIZaIO72NGnEnt8kQOqvPWKJIt5KkY1gKn6Ldm6yiCbgA FwKNkkDctOPf9F8oLYuRPRZvkNqfQLTl2fj4H+OY2J15nqOTEueYC2rAe4iS1XDKboLa3A4Cx9CP Tg6ikOC4MOc9MOcTLYtSANGwC/3CPY+bwxYY+itnP3pyUgirqV0cNUOqVQslFtVZay5mPkhZ+XgS llv/SpRx7436hOUsU4bjZAPPDb8/CWPVdTUGaZYdu4NSQ06mmXwEIxPqhxtLlBGWAs5eJMcCS1Y2 k4HZIhUISqy60NmM0jfNjo6qrH1j8Rq9HaU7u+jxTFojDDPY/rpqecT2ZKnywSXNW4RQirMYbYhJ XDGkofOSDk/aUZZ8+/9uD+rzDpwfAAyW+Z3KKuuQ9Yoh3/moa8iDMXWNk1LCbqIAtf0UWbMKwWgJ FR9P6oj1yHGjowU6VoCTauobR+RRW8HvbkT1ogRdHD/TNkMDPV5ZuXOynEQUlOsTlO2LD2xuEZO5 00xM/pSV//6IJawR3zSpsjgEHpN5D5c4O+rUQsOKjyd1xLfsPzun/WOEm6XK8Jj6ACnUWJZ7Ms2h 2ViuO45Oah53lnOAbixxZW24XWGKd9Bo4OGD4SBesEahlDg6pfkXzaYRZEcNTSPGcfDWtVMv8FbD 0Unc41DBfo3Im/CpcWOl5nHssg94fzRaaLR+XU0C1UPnyLMPl2apqXvc0jDYqYT7GQbb1QTdVraV i9UPS7zdS4YZ3iEEZTV3DmdYNbWPo89NJTTGF3zEqqxy2Qttm4//QTcU1wHq/yFBUnMDOfz3tfH3 J5qgS0VsgA3HDAjICicw1MRBDhNhgqkv51hc4j5Hexo6XBtOGo1A64nW6i9PgVw8Dyd8vfg3zaos WqQpFzyRCy47951BrlvE5+NZrvyFFaWnzHnayqmLHIVcSnNCUBVoD2GHDvNCWLCAYNhJbOQevc8u fkcPfgeu29voJ7oxWbF/qOmOjA9MkvlRr2GexY/418h8kRSPpXolAStviYlVfcOsQm+f3aEiRHtq 0NRIDn9meF45/mQsV62x/+kx4Ab42g24/+mqYUngfha/0NkHQ8d4k9jgKG3KBSJ9GfMZDlMoq+Zm chR3raTPaBH7BUay8qzcm49nL9YX0ILXOape35ysp4zmI2K9M6ZmWwIvTfsjK06vrdKCRyfXl5BS ofQl9qMvQXOniqYVjBIGkVdkM0wFxUbQ/vgOymmiTP2azu6+FpXoluxITtoSKz7+h4wu24O0jYpU MHWUk4A51BWl0713kIM4yBc68ra4sFKNNeFQGBK0+Limow9DiYnTLdvb8otF8YDZBNTiJGNzCRut qaPc4lt1lerc9jA97MJlhdQtTePjWfdixLAGbxT0KoLrUVNPOf4dTnU3MNv7I8EJi3N1BuSiRGcn MZVDhSUQit/pK+6r24dV1VA7Qp2IPpgG6PxNcXH32JxFFGBNXeUWnTW2fEO46K0aV6Ba++qdj2fl Z/1sDmB25zk2qczwJEHIkfptTJeNtQ3xNqHlQOvZmj8MNv4fl7BlkQg8Gh6bVezrqxnf4KJqL1r5 4N2Kf8/kqiJ3qlBRU4tywMZkkjO+zcczRYWvMQSvF0CJfG+yDLmw6dWcVxbkKcIu+nZkF7ukijdp hixP5S6adz/h0rj63TA0acRIFkissTCX4buFFqqA+nx4+jfNwNhUqFB11Z/qys702a4du/h40tSJ phfBOrg0etBhamovJwtLMpukHuDGsMjLfQI6ZnPtlpr6yzE6gVTWY4kpDYw2BtsWHfxnRm3qRVY2 kh+nRoGOa+owp47gPnvFImztsmhJNnhZm49nGfJzj2MjIJ0VCXLqMUeo8gkbAHxDLzwXHcz+wxnR cEefmpjMATPCgEPoiBavHmC6MUBRhFmjvW9XLCsQ+MlSemRKIIOqL5qMzrca7FRC2iHeMNpqS/3P UfUFk8IzwOpNvTEkShFycqs5kD76YiOHMqknZCfucphXv2HpU1OvOUZjgHJ6LJ7jlAKpDPI1rRaH qwQxO/iW6FJIDVRwpnr9mya1lSi+vFS1MD+2YN6p6GKBcfHxbHdiNEwICrgF5Bj7/uQEPMAl6ZbJ 8Sd6klKfqG7+udTX1v7klhvsRizRVdfntoJ62YDOi20Ori0ensXCGNnsfTDrW1b3iekcuDHMcnYd sfDFWjTDUdQZfDypyx8TVAZlNP+fNCd3nTs03XA1lzbL2eHeWOTjuNYJb4ma2M49XIdTRyzaHIhp orai7Zxd1xQ+m5v3GuFo50McoldWTaznQrZkSliAi0ZYrriNZxYfzzLkb+9cNKnKM6ZJ3OckXFLP PeJuVjv8m/8ahTRu3F0VQ0CVnqn9nI7OlbERFz86t0F1RbrV9hxNXqFOh4QIrwHuXymNwXFB3zS7 sRSUOUDfoVtgtesRyB+Aez6e9ANDuRpfzW6DVZ/XKkuQpURBXL0gFy68Nnr3xNBpqHqtMsjFGE6P ubFobzh9g3OovAOK0Aen0EoMd9f4aEe1+KZZ04JjLwrbaRHA1PJuBWXLCvj4H2xoZoy8yKMqTyzo hJ9rY/VodzFtYLuLdwLbXaBA+muVZsjKcXwUMR+jS8xSC9rJjMlrbpc1RDZ31N17EsFy/Jsmr1Ul 1kI9ixoFyqQSiHOIGh9PXqtIkZnJsv/xzM1TE7pNAi74e416QCIBAzyJiZ/UUyu7YTw6aZKsoDN0 cY39YNlhvixNCjSroYHzSxMkvPSbItbRs7hz+DfN7FtUcVIriYuqq1qqfGMbZsOJDx3UWr5EgSqa Fg3jfN+eFJ4MZJ7FwwltMfyLgFsrqgYQSRNYr4uQSoWtmjrR+YVedHhKHJ6Bt8xyYYCZCKy5/A8W ZFYaRCp2L08qeJt/0yzqMNxsUaS3w8ks3m96zfzCD5mPJzjK0GWTzi4iwXiggqkbHSEeV5NOOnOs 5qiLTjMggPL7clh7TezopO9GQEGNxVEXAwZ3YKej+gToy0WE7ILv7LA91WdZ/kX/GZPl4M1Fhweg F+5OP4ePJ6/W/k6UJ20MfWvSRnJninmHV5/XBQ+thiDGlJAL3mFTP+YfHZ1VqrgiNVxurHC1shA0 acRk2+SjlEh+LmCN9We6Rw8mfkSmw8CwowqrfiosFIUMO300Pp7cV08zUNJJVkU8+OTUk66jdzAp A8X6U3KQqD+nuyWtTUQFw05iSueJoF1HnyUmNHYl2hXOfhc2pdwgfk7KpT/31bj+Pf9lQtGGBNpG BB1ggIS/bbfz8QwF50WERFDowx6UiJr40sk0tUlBm/0udsbQ71ocT2Nzan9urNyYTmo4RYy9UgMG d2FFcaDxs2FiMqvQ3ehfIFC3+9xXqiESX7oiZTBkYjUWJTpwTZcI+rp8/A+cF18hZCUWkWNvco1i UoyKCFcV1qYWmmG+qEE65547xsKpNd1SduycvbmexoXdhYPkW/slimV6HFHsSwAjOp/3A9321ypP kll4DtXmYwfJCAkk0SiWgPDxTMD5UVFCVLxS/fLdSQl7IPt3JuSsP2tMIM7xGI0JaBTniT+dTyAs g+FtxEW70+FMO6C+aa/VPQA3sYRgRxUKs+vBCFI7mh+R8Wk01CPsv0XCYPVDk80APoqPvwfk88ih kyNAruiJZCfxqUOeDLR/c8lDSM86b8+2p4q3RycPPzxZnrxktAaYgC9+eCzZH+OqI9hA0TzCFMCN Aij08oEyleLf9F/aOL0q2alPsgM6YHny5NSsjqIkHzgKRqT1ae2kdnWbPFhlewQn02uXDgNU0j8U rugObE/86uwQ7vs6Nm+QPhxATkJn9RRoy9CRGLQE5IDz0RPdzzdNynNxhK+bNg8/PaWxiUZQweXj GSDlS7sCN9tjcfjzkuns/1NEU8EMYnkVQTdLORJXp3x2jrjxZr3kOfwhusUriTdauDMLsHp7daGQ g1x5u8Iby34MsNj83Y6gRBr4kubwAwqhgYPTMy0CWyyXjoaA+c9LkmMPP7KZTfEYW4tfSGlnsitA B7fZ6L1AqojA2SQIT7kRu9QU4s3rrrg+zqokhmrxuQw4HQ0SBpYcX9sTYlAuZ85ty23Qd8Xh2q+7 gtGD5CrYxOOiS3zTWZOVg6XGr7siNRfuAusG20VIynBTXnIbPjHphsnogOu7tipR0UG3dvJDoFit 6/sls+F3PlQpVgWpxY/K3FaJQ/IXFYNFemnTQqgYUMT2JZdJn46XxIZfsi3Z991n0RsEcDP3xILV z0taow0VsqIJWVHh4be1Jy8pjV4fJBZldeejFaotcjTOpA0diknGKL3Ok9dnqVnMAasWz4U7MAmN LE/bt07nRksN8RYhIe7Qagl11cVv+b4pzKWqtMwqNcM567eDJnNToPrqz0s+8/yOel/YnOiyqaMZ dLYrGmyGgmi/H1j2oy81OPaEb2r2+ly+N3zntUTxhHyRvccLGejj6kmDjkOozPbDdsCN+fOSyCio VGcD71h4VCyjYJ+Js9+flzRGD3ffFI1l8TNADuS2vGQxfAZxDzhsjRhAA3Flk0J9PgnYdR9OveQw 3BYSUFalGLcWjyp710aeoZ3BCwCXPMkO8XQDxqeBMSmERb5kMIp9g1TgwauIi1/R3SGfbd6fl/zl uU64Lbyt8CMW8CXYlZfkReEZ48xOzwjaaVGlEXzgNVyreEHH3H0W0l3BYcGMPhbtCiQH0BUnaAu6 eBx6VoItcKnspw+x2P18yVv0BuGsWBBCXq1FF9CsAhzDffznJWtRTDoeaoXWJnep+yv0krMo2KJh d+oI3oeQNmy5te3z7zudMvSSsSjYTv62UrWuj2wzsEx9LXn2XYs3S7SQxSGrvU5f6S46Cj8v6Yp2 XaMotuS1+ISX+F5QPk79eUlW/Mv5tUwIQaGOoEfbl6be5wUae88g1Q9XG7hy8AMkZpNlBjmpJNry tX+5lhe0zzv4fZbPwZp5yWEB1zwBmvgTRB6HaPTz0tHTttCrhONqX3hYgHQX3t7S3Z+Xfp7CnlTr oD6tOhwDqKl9eenm6XSy6zCa3KkhmeQlIylzmnrT3oBiA1m8VWDRAJNLHBa7kqgqYL8XxpgCKnUM 8UCfmx+V2c3S8aWTp41noxPKt7GorAbq1C1c8HB2CS1/h4QmRu2Pwl6MrWRfFtUpOF+QYl2Puojn E01g4q/VcHjPbovrmVQmOVqUspQ7qrjudmCsmDwEH222UqFZ8eXJsQWXfU9v4z2C+lIswqEfOTgB tM2nkxdJfnR+P9svyz4VNybLb0uljMkQfBivbmUnxtLO4e5rF/5PDkLPNmYzwWWY1uJBd+1ixwRB 19LbPhmG7CoqDGSWaYJuoD7V7CKVZWGXRN9DEIsWB1nfIosEqI+90aaU4opSpsQfTBScAN+ZLMmF PQoyjEDzkSUhQZMrkRO0N1eAa5Kd4SzczgphR/WBHc1JGKhlyRjkYouk0tuZwiAhAuPdvaJEKcvy XOo71MG5oRaF3nWWWCsWD37eKFNeT93PznTlnbEzWaoL1tqHYYd2QyjWjaUW8BpK6jhRSaIv4Yur yq26Pm7VC3uBzAvs8NvqXUMiQngzgFXuHx8X6Y+9saU8byTtmXxCLepP2QdMVUUHA4MszuwoAXDq MWIAC8q35j3flXIO7rz1G67MR8TV0puGcphwB/L8PeGF8DMCcJvcmjYfI28Y6Vm6OReZdhsyjyqz rT7BlYTxtl6moWHBP6PMap9FpdFVvxq0cLSmko0RncCPzKWJ+PIc5o0m5b/RJFxYewDZg8aKsVOQ kIJ1tEtTmHnPeCVjhrdI1o7lsXaEhvOs6GTDx3vWofZVo7bfN9poisrxnvBCQI7wRuqXaRGCD4eP B8ZuvJ83hpTnJH5fN/b5Dvmovi9pyov6qFJmnTwOTNo014YJDdXP4Snn5yXLeSXkVzshjlxiX0BU HV4g2a8xtC8ERYPt8zRdNl1c3uhR+tWIxF/UkNbCAwNKtbouu96fN3aUF537q+1CYbYbG5NlvaD8 tsEXnAImJPPTWnc+XqAnDAzfuFH+OvJC6vy9ufiAAITJRXwaZpWNJksWfYEqHnPJXMwFmykx+0aN 0pEkFBY90VgcKAKRPfxuZ+6fN2bU5/txZ5i4oBWyq6d4b8QoL5Oa24vRyGVwSEEjl01lWWTt8IcV gSNLftfkSzR0MT0wkXnsflsUa2Sr4TaF34b5EqYG8DMKsyiWBG+8KAVQaZdMdezmiixv2gUukVlo l2TZL9UDn1xmkYUeO5Nlv6AztVZ59UAHfVTtETCsSwiaAyWDzkOTpr+7Kot5Fr+YukWUjQ7KBgkR fmPs1iGLJTl/Pf7me299z2Rn0EdpBR1WX3Rlo32k0QBmtVkCLMihv04gjFSwBNWwy/JfSnHetYK4 sYYkS3jAFWca/rLq2KX571Z3l9MQLl4YWGnUOl0W7B066gxa9Nmy8QBJ/bGLukffM4nAwlBfug5z 4c7sPvxmAhb2jQ/lF/76dDIHrlfUidqZLAGG6KC9Q614MrOa9+0uQZA069uhdPjGhvokYatQHkeL gz0PUB9QTcWErRAgg4lA5XUIx4KnkqTh/M8bGcoTNdJ3Xb9uB7oc6vwy5t5j/7xxofxu+i6aeO6q d77fqFA6pZ1za+LH+S/SmBta31CHlGwA4JfcmfcEGArzpBheaSXdRzUA0j4IMCgNJlyIqfy3Ub43 DB4x+3/YuxSceGNCKZ1ZLCc7mw9cHHI15YvULfL/vBGhPhOFp9ULH/od7e80AcZoujP/oFsfZdOB C16TRASQ1OifjAj8xoLSB6sDtfU27Xib4CQA9y+Ka9n/r3VXLkY2a2+C1DHddpjD/TcSlNfZCjDI Z7R4nIEOHH+3fX7eOFAepXxjSLyjeh4659qaLAHmO38D7AC9Egnc9LNd9Ab2aY4PfqNAeULDWwl1 sy/e8Z3Ae1cO2Ownns6+InQPcV7u70MPW6QWvhGgtDFqVzmi6JkNWMF95E5+R/t54z/p6jzr069q Aqh7PvNGf9ILCMCT/VV9bu3e5gNah/V4NUmSAY9M1vL1QVJTnd2eOhtXMaTbPQHerg9EHa+DEXNd H+fho++Z5DNFU+s1YtHU2pJG8l+tZho/b9wnP9JeM7EQADgPdYl25j0FRpg55MpJzQYjgusoB1qS opq0mOwtqzfqkwdg7szlAIWLT04a+KhjgFK4GsBoJGYiR26QJzhfxQHTmTfuk8+rUBVMWSRw8XRm ufLKKffnjfqk37LtT3GwcU8hTmlnshx4i084Qz7hlGci27bLJ+BH6dJ+z4GRoS52wjlu4+LpjEXF DolDnBmrdtDuRVVlWQ2IB5CDfKqmq6+ZbYzMSmQKGgx72+xJBjba3PfnjfbkDaj5lc3gSwJjoY1J u7+VQl91epBpLthiFQEDD/qdM5yH32hPn+YsJo8lFm0M6rEFr0tkM6j9OH4q2GnMLCFeHz1xltlv tCdPgMnQ7XSg5SLY2QLWj/k+NuY9AZYa1mdjCmfk3s17Iz3pYtrkHFxvi/cWIrwzFNDtz1IcdPbG edK7hNnGapRT0eLdPDtttjeHIkgVjqn87wpEkJAzopT08EuQ9Bvj6TP6tf9oPAuvaUw2gzuwft4I T8oRV/+aV2MmGl3xN7qTDgz+bp1UHOLNmnc5x5lDxcLq4Lnxwn5jO30XBofbI3YOD0zHZlwo1uDA zF4Fm5H7ztAMRbNZ1D0/b1wnTYe2ZPpIPJ1hFgO25pSUpaVgP29UJ78zvwsmzG62575vTCc/YtQ8 ZxldyZErzscgqYzgBlQ17Mq8EZ38UmK3aum4rDguC/ttNxBkHg/kHS/LgSalLhwNwmHCKuqN5eS7 Qpz3JL6Vi1ris1xN0aa+XPIWlfu1Kw0OBn4hvVGcfOCGngyYg0I3UO8e2Z2/Og1hanrr4Y3hpDNe FG97iSXgDZa0WehBT8bC+Co0lsH44Be2ie0xV0D3kt8yq5TEwZXyeahN2T3akbfRpJrf7o+oS7lm K5NRsWpbsqQXNG286w73RQ6p2EKZJiKhISKnDOaN2OTvEG+jcUcs3nawWwROa4DR21t0miQCDitJ ZMjk4UqNmMIIb8Qmb24SKgdVcFqLWRZHBwkSPHj7ltp+3ohN2tcSI9rtJwYuMtqaLOkFY7CNEqS4 cVzLEe7dXkN+oFNvtCYfOHBPWMRq0Yk5ZwKxQVUEQH3Va7BAbGG3gCgSJgLshr9Rmr72pXGcrEUF pB1q9vN6m/xySc4bgBji7aBBEOnLG5/pifJNiZJ64ac4yGF1xyLuGS28NzrTZ0Bh0VZzlPN4d99l bxgqR4qwwKaPQVfa3FRgac9oiaz2NzaTDjZpybRw9UVvEpRpFXatGHgjM+lvX/02kouuZCci8GY5 L060FQ509cHNRLVMNKo2MQEYRza0zHUf5W1f7klT97c9UAdyu3ZTZrdgn8GmA9q2BGjd/vDg6F35 80Zm+jSq0DfasQgYM5ar5Vuh8fPGZfID8JXaiRa/IvhmOS+QTBCGCYOF5u6XdsZ5fBoEJwI2/0Zl +p5Hdk2wO9tiYCjanWzpC1CrFmOA4qYn8SHzbhELzAGTamtSBN+oTN7UHszqRo9Fjd9elhAP9kf9 eWMyfXqjTz8GIIJ6Y7j0xmTyLvxkg+pEpVR9WHBXdyeBCVas9iZt/Fbe0yT8avEXyhLOSzLftlwG Hjdq/KKDjOpljEfW6DC9e+MxffVj2lGr6uwwMbzteKQZ/H7JC3X358bGMJIdee1MlvcCCIJCzxsy rnWJSkk6zBXJEMwyUBC8cZj0vYUHkXxG/chnoKip/U6g7xbgVXL+Yf8X3xbtqjg0hIO8UZj0F6Qy 6uCfQItCzRlXiHeruH7eGEz+/b7eJ0IEUKQJf5dlvrOSr80RAae2lFi5uJpo1YfMFzDAjhTvjb70 dWvTm8UX7UzZ2BFURACG20VCwR6gZphXov6OW5t+Oj9v9CWf0EtZhMiQSyU/RpotoRiwrPbPG3vJ X8Z4nbrnM8AUaWdS5AOuHFcTwb/oRgHj4dnKM+mHeDR3Jkt+t2oCifDVj8Y53S5X4/VkF+FiOjIR VeAtvdGTfLAyw7/nv+7tTRFELepV2QXYFYPn+nnjLnmv6n6De0Eg8GzmjbmkIwOfh0HWGDviIyjs Z+7iHfF5XeTpjbikoy4RGjVkyldDZjaM28bgTHJoPoDCkqaX+/eQEChpOZyznzfmkv/RRZekuAgX QUIsyEwVBuv8vBGXvFX1NcWGc8ce3tt8Yy0pMqE3NAjlYBU5Z8hBkKtOwhv4S4wyb6Sl73epKour gXtGQbPAHtWJgXNqFWwTl7fFfAz3/NYGPZmfkNzaRIPPsZ5FFRM0MnkVH0uA30hLfue3r1u7ERTk 8feNs/R0fVGdNk/1WvGhfpnNuQMbCZl2Ju366tamxrEWhz1guE98HiTl2qLaFaYsjaeZIuReSuJ3 /XkjLKmUpOP3Ip5YC8NvgUsU60MLZj9vfCWdt+LDWuwCTI1gHO8bk7V9N4piC2c6HlWCcMQ9rKZj BIkan++/sZU+Z3XhcojFO1VW3RZQZhB+L2wiVRlYUkER1McTiZXBG1Ppq8ImzcMX3UqW87vnO+DP aQLc5yfC2Lv9y78LdyVFPaA319r0NlWrw1HhUIoRVv6OfRwVnqa/vI3aHDcW7zvYKbB7TpaX7bSu 84T5ySEv8lMwDX3LDD4krDw9FLg4fGgVte+s6v55Iyj5lPb7NaLgWovImyW/lA0fpQTkjKIlJLet GTZ9xMAw8v47+bUcq8bis8iJFAyJhmV66AQTZVQxEAfNbXyiy9SXTBoPkby0WJT4nuEaXnOenzdi 0v4v8BAOFn0g6pPDZHkv/k6ILifAvrP4iPbGhbRcp3Hip2SDSGGfqVcnUJ3yXniEDEj7ABh+IPHB mRLJAMjuHiYtEYojzXrZiYEAayzs3tkL2tzE6HQ8neR20xGKmlheqoZoW9KkF+OtTuFu2iUMtn1h l0ATAWDNyohyYGTN3kJKOnvLvgR0cww1vTcEBVeRZhz1TQtS4fqhXwNz+DOypPdQTw8GbrHont5Q MNcW8elkct0c7aAJPoqk4tfRTJNePKNPIml/uJGuFTuc6VdgjbuT9mfGZaPi9WpDUXc8UXehXqxI WABq3Uv48UKLeTbg71MoTbaqZk5mE5qVTYNTQ7Ac19HSNHp2PJ2QCa53HuQMDzvdKK9n2vEd7Mk4 cQBjtxac/aDPzjJ8DDkztMMSIefsZ/GdsR9vOwMj0LvQoHFpaqJ3GhKbj1IIX6aZNX07+X2t0dyc C18LAAh0q1i8wdNJbte+4L7Ux6xByMmSXrBt7GO6O+pSz43AzTqa5L8gIu+t8Jk1fXUlsRPnS2Qw 9mPOpWyTXUeF2lRWQQL9BwfBQZEQJxT498xmSiTjFPcU87aDBfYhwcGx98XTCa3tmwCJYgLROrYm ZbahnG1kojLOEMEHnfLViTKj1jQxiiArZWmvU0+qyBb1IVtYQQMHpSG20r30hraIQjOoDrTDfKSm mcbMLO3lnK0VwGF80X1t0YCTxHpLw9NJAH5G12yJQ2usOUJmZuw2ymFa4QizQpD2T1OZDQzU8QQY 4NzBtymntzk7dMQSrAIQBptQVbPfLWEnUvjO9qG+ZzKt6Hv+a952KE4ID977KwlcS6eHg1Ubnk52 JlgFfM85Tr5Bm51p4otuS5+PPR8tlXhnl7AD7dT1ReI7U4obh9aF4HgtDjjr1JmWlc+ujShkS/vA D+XgtjyBZtM0YmaQX6qsWDyUzdEOhQf4B8hat+FQZ7nvf79PdstAnVcbk7Z+qYEmG+rz2F/Ce65R zB7MJWgwsPU789yXkfVKAONGQ2YNqyMPWjGW6trtApcRyVLi6oR76njmS0Tc4xOyt4ljtybrsBbW YctyNunJzLXxdBKB51d1TZE6lBLamffsF1UBpyiQBuHOHDatNq00huxAB/xSBkNwlv1eJ+fcFotn vwtWfIO4VltkODgvAXkcD3/0/lfR18w2ZrHjq7u7e/0O+zqpAtrGHTyd0SLvV5jplKabXi/NDPFL tYrDNjasELbSXpB0nvsbt61H4AzvoHEBrU+5EJvBSYpdfizeoSm4q8M2qbM0ZGn9NPEQcvAJGd6s afRWYhFEZqx7ZGw+Lp5OzkzEGZ4Z3Ngj0pk0Bcbx7TNEXqn8IeceymYDO9SgS6Iok/V9NaolItIX H9XawQO29lKOHLWpbm3s8LBfaH/kyCfFQGYGeODWcwwciypspkyssMvA01lHpnzNsKnBjoanuLRZ DgweLLio0wH0tNCj1MXjNNdB32Z1sLLG79ZQHw5jvnjnAXfTWnTtAcvQScgwOEc7s3/8IUhixwdk TTyWkcI8jMA8jLZb0etg/wGeTlLg+Z3owTbjeJRZWQZMVdtJWX1kwJPlHu0PAMqlHNzu1ZkoK2/7 avQ2n8WrpgFyAXCXGxhraKeQqH/5ZfYvsAVP1XT1PTOeMeMvsYZalM1YQiE/gzMWns7GtbExQoNg gB5v00oBv9iUKneVC6D/kD7TQI4jWQdQ3vQ2razxS3O1Zbnb1sKkAcVBgZ72ZnFgqVJZcoW/nGOg I/4Zotgvu/ABCUSRQ8kjiU4uSoHBf9B10yue/mtUgP/0QBHY2zIrS4ChLGLfvPh4v0j9VtIOPaQd wrRxZQnwIdy3bdp9ts3MHTEEiDtwC6p8mAtN3xdiGcSNf/uDwrNLnT8+G7oR0joZe7novFglpRGI RRo8nYSY/kXR4bgXSHxtS5b9TqqjX/YfEHyXAzfhsyeJdvt1rnerVpb9bsHvfI6yH9VJmjBWiH/g uoN7pSg6vL0m8fQfSGvX9/xXiOkkL1Q598lLDkrghIbYB+Hx7MCUD1SGkrKwFNfWpNnvIjGHht1I 96jmg/enUOna6elFOkMry36PUNBtrVh8a0ADnJuFwbKXE+5m0KQckkH7hUyxBxl6ceMTkmqSDOwp NZ35qOlAQVrZryXReDoLMnFhq87uRDBpZ1K0Lz0+73IbiC5KNpXfOIlbqNWvT91WhvaldesSSnk9 KGW7aiBFs4Cy5rtEFTWcKrukgUsjDVs0FKKgVyrygNcB+dyKRcB5O+J8b/vBvqTJ7/me0+KwRItz ZZ1fdKgwuNK7VH3SRrWoPQP2MDyRWVnu67dSn76wkqW3J3YccgfbDgs6zO6quyWdRbqbHxj0AfEJ /0pkzpW2zl3RsbL/VhWPFR94+q/REv17IGV6Aru5suR3UerhbFfUHnu7lbn9KZrjwx8zp5Umvzwx RZjW8mBaySuGC8VmywqALXnNYe5V2n9R99mtxCdkzTy8CFBEj8Uv7H3IPLa6rOPppMhu3+PIiTPj leRKk9/BSnLpahboAVMm2HqqV7P2vg4iWnn/V/IxvcUSgLwOJTO4r9o+QIHokFKApiAFkT40bMi1 8xOycaQ64qfGIkqBBfjlSMWOp//oPgjigEDaovuw0wYwlWRockCCDk4Z3K6GdA6pZYbeBEvJnSW/ p0hKhgjNVvuD97U0V+UNhTxOn+qdVwBE4N/2NPM4/cQHJKWkCqWjsul4hk98KCeKGJPj6WRnVv0a 7Vs1P4MGuLPklxaDp2yNB3DDbqJB+rzesBpsSlLsd6dkNwfOC/NwHswDsL4LZCtkeHfgmmKJjQzv gIddH/k7IjHxCf9SeTt08NKi+DvXjqpg4ekszPxPIVlrqD3sVO2B/V+qpbFfRUlhQjg5+PPGuBMk d0p2E0pxi3a890cHoxdohRaOJO21lL8wLuo6aJX28CNJxN55+1emBvLJbd4rnLYxhOOicc2ns405 n+EbQ9yImeTOkl+6ulhiXkPsYQToTCYHEHugywBfpbz7q/TOZ3APoesAaALCKLoyyMgKcxggisHd G8+btPQm/QF5uBzaceG+wPiItyAIdXg60wX8UsBr9DcMzO/Ost+O3/nhRNq+h1jVVUTeUHqYLiW5 s+x3dR8YnFi8WwUP+nPpxo1JCoGY9iqh94SoAeuPR/Ct6nsmr1KhyMOgFgYXh3B22ZZj3omnk+nb +O7jDToWe12wc8wDp29V3Tv7F5NfTt+qTAeB4Zi+MxnmIbri41kixduAaWACee1Nurd4H4/lJkjq H31AcOzwCUn0JWHpDEmrxpx8knBJaxmrWfH0H92qyXuB7URMF7U3GfJB6XkbgmtC8kLCO6MzjBL5 wHEZxbyy7q9KSXlNavG2uOUgZwJPYnvTF3F4sJahRkeBCsn+SGYXfc8sAAt0NkYsSmZs/9mM62UN PP1Hjc0EFH/iEoXBTslumEyOMuXrgIGBe3ae4RawAHY4D3unOmfi/0kEru75tPJw9AF7x85sVNsS lQQ93A4/sg93UaZtys6avzTNtuggyHj3fhjIP0ceX+vy2yVn5s7vgqnL8Uf7koEf2JSZgikiEYZ6 ryZLxUlMVqp1F8XbWfpLfhb+uxuLX0yW2mHktNgVH20dZ2sPud1AcjJmTOxX7Sz9JUMNfdBn4Ymx cxeueXXg6T/QMjJKqzDBjROTkt0WPQRIQ0FR2diJgGkeZTjAArxt+CRl/1vtodG0XosXBnAxhQEa ruw7t1gXBRVuhWLOR+vMc5lU7AwPtoJc1xenF9SiEGpBDE9nuJD26f0CFguBJ+7LyZJfGkrd4fQc +0ifG3RCuqX1gDYKU5mToR/I915VjgX141gA5ATeJUzzraaed0p4fhOmSLXihwWIpAKfkE0LOEFZ zGVU+zLJg6s34+/oHU9n0ikzTgyuGyRSMck+WfqLz2hjuhGTXXyuBwc1UheVmaSxIsacFP0g5ZSl qQGxmNyZsq6l1oPGXrYxk51NRF/YsiFi3/MQR2mYd3KxM/pzSqWVS/GG1ZK1670dT/8BsdLQG8cl NiaF/AJ97LKBVCadHmQqNfyJfpAGsgWZk6IfxEcZqigHIb+DMscXgGFszC0IWxTBoI8gka2T3pwt JH0HPiFp/RK5OSnGpYVHppcu9fnWOn/Lv5QDi7CKuB2715In5b0Bs96hGS6uTmlijELRZinRqzT3 46FJUb/zvCZ6B+5ORNRjkGLXiyTYyAWjsGKpn6up63tmDWB6FXCkqMXh0LtWYRDrxdN/xBmKelna GRfTyRJgGHXZe0fGH1pWW8Mk+BQMYX6FndG+pKS36T3OGYtfTNBPrEPws22JBwX77+psGy0ySCPM UGvyZOAHimNhUHtj0b7Yq8syoVuahKezVOapJS1vPR8d6JOlv4Xm4714itfJ+ybyQcYfRD4sH7yd VOlh67pRPXRL9H7BcIPRDdri4BWgBQO4DA3ybF9Ge1IZenHhExIcp4w/KEygRb1fkFNZMlmcw9NZ vyrOCzt5EK0K/PxJYb9oYA6iBUUIdAtg6NeuSGVC7Oykya+UHpoaVi0aVpb5guPDJicmcHO5oiLv d6QBJAUKfNb8eyZwmS3Lejb0xlwyGYD0UyOS0wLxwNOZCNGXdLjUIqL7cFLWW+HOkDuAf7GjRODv xVgMO2PRZqtkOinwV0RAARbrA1icC9UR6IZAy8AaeQnKudk2Qqa3H1889sVPlv6qLwPtlViUy3Q0 xJmgzIun39+lU76u7M7KN9RBTor9xbjsFtcitbh2hcuz++KskHoIQduTQx+kclZLLH5mIHiI/A7j pAUpFpkQMUUH/Os5Mpsc0pOCf2k2edhg0aIq26LiEFrmdDydjLFD6gH7g9qmBLHgpM3fA8f4Gvgq oZXkNT5dT6YzjaAAcpr8LunNnx6Ll0uwlFzUlSwH7HJaw20ElwYUHjqrfmMfjHrwCdnwjTc26n1f FGXmOrpxrQLB08mNfR1f1ZyhjHTWt+Zm+e+aMtN256W+l3sFHpoMcC45Q570ppS36z28EosP36Zt GnExkCe7/PtSnQlJNzIf8Ed8a+hte7P8l5pctVPBWAs+wQI84j0Lg87vl9EuyudiImiyxMT2vue/ CMDIeiUogzBzHC4zkUs5TWeH1M79w81iCGE1HoTVpmqr5cCEy1i2O1yECEXIcPKSriYOjX9uiv4l 6Ix6N754KuMVPSaEeDoLwN7lZE+Tngsw09DWpBkwvqUEOghyJcwYxWRTT/gC4bV8xn/zDJiH5vYd i79P8LUi788icOl9K0RD5AAdofWA8qRoe7P8ty/HtpZYGH8vPAuuUtr7c/+/KG/kqMeBSRlvl5Tx 7YSU2rxMgl/0duRD2y6zfnPkAwumW04sXmJbWm1hnGAZDPikVFRIxAccDcX34zB+9T0zMQwmd+yc aXFOCmjwv+zsXzydNDlrtKvk72Z3UvGq4KbQ30ID7eLGFkNOm7ixy5hO1WHqwZ1J27/tCD+0YvH2 79iwtlLFZPebD99ko92B0HhME1Gp4QP+hQpp8t3kooLp0ASJOPqOp7MB//qKMRRuDWmDm6W/tHab wbhocBbxa7o8vuuMrXyRUugDy4JWPos2Bvx6yONNGotDaV07h52aEKl7ulUUzb5Z77c0qWWLs3ND PWWvLv0ay98Pnv5DVKZ1NUPxundPZW4GfQAbQqRRhpg+Q85ryTp7A6wY0gY3FTo7rsrfY/G+jCXR 9lq2QrQ4LWPYl1m0XHfVwCN8Fb4iPiGjAyIlLOwua9G8djgkGvQUPJ0VTP0ryNxfdC+0Lyn2gWrw 3fWOgTrTq9R3IeRK2Afv/N4U+6Dmw1bbao8Y1jYg5otepQXH+8p+FXWf0LmbNdwAG11A8Qnv7xKH L8iQSyxKfu2NVFfGLmA8nfWrPtJ4CP684cLNIW3+gmCBsWfQdWrYXNzmWd7iLckok2a/ol78v3WB XUtogpG9v9clxcui8pb+1O98kjwgcvgB/9IT7xS/1KKdORgXcmcOn86YF449o9+M/u/D8bqp1m9n yyrk+adkFOGvebpzJfcdPkm5Gfphq+2rvL99zEdxTW2QoiihAiARy3H07WiAAazV4+gw9D0zyQdi qw6bnVx0ZcORmPnJmQdPZ7nM+Com2/3oNNWS5r+LpQEd1RcZBsXBD/BdGOhy4lV2feiSJsCE9BaJ /ZaP2C+4O/arT7xQG3qtNLnbiEtWvCM8fBAzvLXxEQkzUBpNkBPBgqyGUQMARt6F9lfdfD4D03+h FunCVj5OICkFjroP7A7851Jh5oiSjSGiHyGQIn178iyY8NXGeMPFs2DLrycp6lZSHsvlqxo0lf6W tvfQ6HUhq3L9m2bqO8pq2o5FgbjYOaTbqhVpfDypEB6k61IyhLAV3g7lPREGC2MRyxnEnfmQbbtz sxdmFy68XlIUsCTXW3kWbU9r0PjdpHt1pLvy7EIq23ApQ63At+f06t802R4OJwd157Qot7G8m/Mt dJ35eJLc1KDzc9iAw1eC8VVL2g3G9QAgYKDRuq7uQfVVjhDGjO4ehW7fP1zKRM31XD/ak7YVdtmd Q59E0CkFoTlsezafIdTPDAEfkfU92b6iWqIWBZ65r0ANtQw+nuGuvgZPtB9GSu67k2XFhcPKeiS2 bv/CjETkpqv3DYKCJ96trCksd0jabfjihweCTR2qCzBN2Z0lqOU4lP4Csh7yq2pkgYilj0gmCQQy wqk8FkGv8BfQiAXi9CXFRbTxyYxZFvV7nsOTt4aBPSYem6HnsHFFDN2QLgTAB9ftR0uuAsyqoatn 3h8dSvweQF1t2BBZYsB9wYQBBS3QwuPTzuKFjo9ICk2BIhrZB2q1sW0DPXMOE3odfPx9e3qo3VKE Arfv7k/kyVUhQD+QWyKwusWlv+yCKT2wETccs0uWIG+h8ShkrMUTZJiLV9T1SJDL5U+YFFxp7IC2 h83DjhE/Isl3GJiXKGDroYDZP5vGJRbV+HjCGjzl0+6DcuPdz5uVS0OQRdl9dDnqF53HYVgd6ha+ OXmLWGqTY8USXa0O/iSURMjBpTaubQ4W/rY37PEaQWf8iKR8YKbTBZHo1HNj3AE7SGPMNvh4NqSL 2optU8h/jefFyvJkcO+t1Ayy0xyuOjMLqb+Iyms+Zuslk4ho6vnJBI+LZ4O8EDHMgl0I/uBqEyNT 7chB+iOv7T6tJdUEZqo8gLjwJTo4VajNNTYfT16sJ+PBg2I0hPdOyVJlJFZQW9ne25KrCoQiCOCW UMQIv6aSNovFrOwCH/XycE67BZsJgDVEN+3d6dKrRwyARgGrTvX9To1vmvRx5PJ16BzCRRnPtpuZ bfTFfDB1xgiqhpyVkMCO59VKveE2GSHbTb3qlToCovLpMl2cTXqvUz8mgwWwhpAZfXvM6Bf6sgta YEDFlrM0uCokdiFnAKgjkCSKO4k7HMZE4nELuBaKN2PaIRfhwqIZH0/izqedg7qj/57Htyn1hxs0 S2PjiBVoL2rsWJhm6VUBmcBETpuTYSaIQoR00YnlgVJPqCcSSm33SW1L5Xv5JS7k6aUvmaDl/nCk yzWiVrSoBMXOMKbaZ/HxP1Q8ebnRqyLA1DVxiJPykeWy180W0XRR/VDbVFkKBnbkgqlF3JGvLSmQ WgIbuy1+9imY+RyFhZZQ1ThE/VODTuWCqUcc4VWwpZ1ahiaadp4Gi9CJ1kVNTOJQhba4sDDjA4Ci PcaCWe/4sN1Vu2fK7bpIO9q8mlUtWn757qSZsm6sqxbpnc9rtdYoaCzwtSpXDqeFwMVJbZqPFf2q /k3/8VphxjVjUSFhwYt/jG7Rh49n17m/Voy/lGsrUYWmRnEQB4dwfaOvOHCggg/jEtfNPkHFdOOv xCkOc0e5Z0tV44SqxgKP3tKcjphsoehShtr+jChIkAbij/Oo+1f/ptk0nDFZOHouqgjqpmskUoLJ x7PZZggbsWVMQiBGlL4/mXgwsLsWJ+b1uFM8LRyHDkLU2ivRX6+JY9wj2b78Yn/kptGC7iAx02Tc stO2xIgCK+KCBrEf2E07/k3/BdbqdJjSwv0p9voq8thP4OPZUObr3UJTft4n8GSdZMz+7Hbzaxxa OE7eED4GxhBLahJFPyYJPCJD3VZjiUEeuqSI9FZH2B+BOCS0rmiVbP/rB3wurbCaGsftLfOvs2Lh hW7X32gu3n75eBKW2xdiAHZ+FSr7vjupjgSOzpgU68E+UfGaRweJECVPJ6acbmma+mYUYYg1y9sx ywO4FPgsElMHXgixLzsp3kBw3DC7glejf9Ps6BCatNqKRa/WGaKMoQPOx/8Q2WAD41L1/wk8aaqM v/ZZZTmgQj12xEn6V3NSg3rPz06OqFCfvdVYuDsDUiN34b3dpy0YFLF9OHD7AdJ9PjIb8mJM7eMo /YtvumPx7teSbq4FlsrHM4XlE3UExy5AFK/YnBxS3Cmpdh1VwTYZWUByyIV/BjNA3Vk5pljzX3EX bnAX8M9d4FYpdYBBuor9nnhDB/XVypMKyic4tZATEH02ZoRcvE9DIfRCiRk+/keBXku0Th/AdU1d 5CDa1TqgJyLvMmSgkNiC5QCjP677rtTURu6I0Lz7jMXnwejkWOpMf2lMmejAV6BMJiuoR1dtl/ii SYEurqE8AEahgjo1cyW2C/m3ysf/UFYT6wVzz9CVqKmRHDhdbZYtpiEE9pcLS+Bd6Rx8rul0zJo6 yYUvo+Bt4+FjVoxSJz4E0tyWdOpGn+qzXsj7RHPncI5VUys53VhDhHguBJ4An8AADSFHPp50Bnv/ OjsEt4VAd03N5BDQWhUcn4FSA73fgZ6e/W8D+IrjCOOauMnh6Aip1GcsfnTs3wuyEriyhl1O2F97 Dek1ci5tEnV2ZMXBj/gX+OSGNmULO6MN1A9bX2vw8T8mNnyJ0As5TwmaGMqh9QWMDC5vKUyU6QYS hBQJZ1E+XtMpyFjGPVXKn/Vhv7R9Yb9mv5jVofCrqmy6AyDaQPWYHyEbDpT5EcngnGng5qWHpejN alRg1b8mH894HnF2GJ75f0cPX8/UVY7OKIM3BocSlNgn2o39PaLdNNGZ+jEZPVNpoOaha8e7xa7r AKRlQzC2kcW7Cm6xxW84nxExRbNqbixHZCR8xmIRC8buRZk2jb35eEbp7Z/OIG/0dZ/NSa3lCN6f SzgL+GyIoQlRQGdO7QfvVlNvOeBwEHHmiMU3B554YzLfYfNrOeCNKkj2exC9P77Dcpoq8/CA3hyL T9B7kyFEPXo8OzwP4bnSwxJkGt+cLFXGoLZ1SvkyGazBc15tycxnUdrQr6xUb42Dmia9n/bo/cDW ZXey2zYrqaKkkU3du38hHCdNG1JS+QEZuICQLno9alFf8JwtuTVLgfh41r8IODbLCLUInqCcNZX5 IpzhXS4Q2dVKtkg5H+RF6KTWxGHucVk+fcUS3AbL1rA9uLIa/7/sfPFPR/GY2B0arfATkt3RoHj3 Foteq3OnD9FL5+NZDfol02cJ6XpKrNRibtK8pjSPOPav6ciL4Y1Ciwz7icj/NtuourbqWc+5sV2x wAd+r/3p7I2VcCh+EDCyyAED+Fb8eya3FRnPlQxmLTo3aJjyBqpl8/FsyvfE41/SAdDaiQortZmD AUsjz1SNr/nBXrCaQKoDlTGPOFmaTAnpVatwKfXBpUy0LwrSDCvODwBvpPjyMqUaCCVBfUY8/Ztm 8o7SFdsrFrV27HGpesJPuKZWcyu8SJiQYHpO9rW2J3Wbgx8dMPyafTayBqijdegpjSnoYO+QMSe3 mxPSy1Xx54NAtuN/G9xOEJDnwQYJggCxmv+yuxcAuaaGc9L9uRpG3BhG2BUOj0I2Mdbm40kR8S2I j7T9kdKqqeUc5RmPjs7+lRgpy89N+aL/KObFZZV4zlXn+bYpMa05op+Me2sSxr+tIoeY99Zt1ki1 recRLaF9Hz8hGZ/vIWxKj0UnZ+8thN+o+oIZRDDMczVNZvkbXa/Uem7QF0oUX6JKp+Ntzx6e51gA enbnDyKeS3uXD3cTYuSW4CySh6xCJp70QO2I8iZSBxWqqV7/pv8iPHQlOj0SHatah5A7EMnn4xmy NKZ8LCJg31DH583KuXjYH5JROQVVngwxilm9p9wJM9b+pHkyrU7LkHvw6EHG4zW1C5Uw755SWYbi QCfR+Pc+AHZKkvATMm6rUBc8ROM6gmFSyOwo7gw+/sebxf4LTu0H9/UPF7pFvO3+lQlSXSvcHIvS n7VLj1QnsaH7hB1hMGZwZcaBtfYF4BZU8TI2oSnSaIHSCfAPwSKidVZNrOiqo5LtKJ9YNMeyP16U AIuPJ5dWiFIwaaQ8dQmLkprY0SH5RLuLrXTwFS8hmLjRCyXm6UxdQnmspn502p7Cnp0WnxHDaA3t L7QFJzITnh2SyFCndhLzJIlZun/TJNvhS3UpnKDFp6B1U/IeUBM+/kdHGbtj98Gqz9HJsuRFie/m rmsNXuF6ryzeNYderAddkBjSQQNA0AvZU6/HnnpdK87WZpaMMTrfjnnZWqX+3/hoSdFarKaOdFRm w3lbsQi4XdYQ79Budj6elBARluX6AzukyHZSS7pC6AVVgdX1usu7XjQQYdfrhgBZzT3pmL7UcZ7F U8EKv8JDOwELzaUIejHwXl0VTE8yWI5/00zOj9CLwrYyF0Ud22jlcgtNwcSUDobIfnDkHddk+hfb k2KUWZuL6cvavIm7OOGcLEFVKASJB1xzWzoh+Qlw0OJFBOgnYADivQIRWO12cFks5ehUZPTeBVM6 fkRypcu6u8hftwRM2a5CBzU1zIhTY7pVv/W2kF43mFP69qQt5cO6FtRctAWpIgcBSLsA7JMm1Bgu /DApuVVTbzoxGMvdOxaHxA17j4DkxpVlF4UEv0/hpy4w9cqTDT7fNAs7zHgu3fu4qPE1diN8E7Rj Pp5c6QFwd38GAHci4Unt6YDag7FkYC/G+jh1rF85dahVOPVjksDDU9M6ESpcvC2IP+msvLMgD0v5 rEVDQXYxye9UBVqWf9FkcwhnulJT4uJoSnCM2BU8h4//oWLHvHgCcBNbk/aTaQbUi7YGWMrmyIve diAv+o2tyXDK7lZ9nZl2wl3A6hiAHRf5IqAYkDxcyHaGjjf4GI9W0PFvmmEpyS4SX5qLUmX7uYIZ W8zn48l9NcbXezU2K2DfnCxRBpy6TYiOqAJd26HbVahBQC+U9SDoJCZ1keu0xs4FF4fDARZ44IwE WEofs3nHcPzSsfbjCTSuf88MWsCp8BZSawdG2QrBIZZmu52PZ0Os+nmnBv+ETzM5samzzyQxqVI9 v9CH9HrL63JHOtzaGZCLfkx2X0mrbfdYPCDbzyuwlofYIYw7yNEqwE8xtt3ntlIJkdjUee/Bcg60 LrQEvF19fQjm8fG/lBlkt4rqtz1vVS5aTMsO7Ot/yDMZsBZAjBxb4/RJ521lgf+wqmMg6fdZfDhs aTJIsMQVHEiEkG20sYChAmeHwG/7W5UnydLFcZWcSJJXh+E8Is7YnY9nis6hAC6+0f2SVKqpXx17 yZ3+iU4rdweyO7a3vcajkFMTwzqonYg04qyZYHzaa2SvEuZYaHttCzuXivrAg1SwnDg7d/UKKurX 1LRuyP1xsP/FRafnFA0i7JB2Pv4ekM8jxcUhFuHO97mu0jwZ0LyhOQ0VGxiA4DNLmhwofHZRnzg9 aZ58XZa4xhL15yxtQ84YuU5vNOVCKtg4E/rt5YNpKsW/aZYKVolXnFiEvcU9eJ88OXWva6d/pljU CO5SEuKHphpuV02LTlATnCauy1ggKncATWEa4Pj2xMAOhEChmUQbPuW5zdEM3Lip0fi6t7ApX+gy xRRwPvqiRHPyI7IxlkRhOCc+4aiI7bnFwQWXj/8JF8RwuP6e41fWz2umUxXK7SKsrm/XoX7kMsZ0 a4Mk7e1+Y73mOTWE/7rCTn/Cjt119vbQfeGAFT6E7GlEywNPxgbwdiAlvuZrmlND4GNOSuzP6XMO WLbKzcPiGx5+2ZUK4M3SYEbTGPx94Y10We0m2wINkTYJnhFj5Ei62P4U18E6Gzo9qh6SbWHmwUIq Fp972glZxCoey9iQjchiiWCULf9B3xbHbb9uSyGlDhtJjBcXZX9g2RVVDpYav24LcoCpbZEFr30Z WOFgU16zm1oJrgHOZOoGr6LYW83QGt0fYcQADTre4K+5Dc4K344uYcwe+JltNSJM7mH/ApGGu8jA Wth5nuL2pZ9J647X1KZiqEI/v1NHLHqFrEK7MqQr4+c1scGGXt8TSfTad7F6mHvymtXgoIDoVMu6 IUVQnB3SqA2KFsWiBiHNz1/3BLQrJnpD3eMRpsTQA5m7HbaNT2ftzJY6fkXcwwAsP3Kri9/yfVPk NXCOENrotLJxPClxRNeBM+vPa0qDGCFiSJduZmGipl15TWewK5e2N8GZGdWdF+AYGjIwHCrTSTV7 fXgdQT8pFm+mozs/7IWxk2KlRunhxkUPogELx4f2gCvz5zWVqcG277Kw7mFhbUdyXuGPJgxmk00p gip19UJ5JeK257a8pjFVNwgKkR0jcXaxNpHZyvLW3sX76K9JTC00lYekrDQIbmgQLOBrSkPtAU5m 2WN+uMG4/EGlcKxJITzyNYVh7JM2Tl+x8A2yfzaxV63C+XlNYHBWrp8Vwb3Yyj4eV17TFwRoajkf 6r/gXzQLATN4UcURHWKrG1xL9DV5wbZQf6tv1kxcnAZiIQVpm6xvGm3CEFdImQax6GlErKIvmbxC +DvDxmHEoivoSNOBM/yf17ylPpNee8Go4jDofla1K69ZS/XmAe3fpT9AMD16EJsBApGllOHTzNec BdGW8PMunVUu2hULr8AO2p4BS1v62epU8Aqy2mY93JiF3/TnNWHBrpPNeelRpUWFpO37caRR/XlN V/jlunaFSIIyfchLOcFkU6AWZndCDc/d0RwJea+LF1vJfbwx89rT46uLgNGL+JmlxhtkR7DbLbwB B73wHyNo/gKk1QjVBMDi8cMc/JrJtlAQUgG9PgGdIk3Vt2X9vDb0EPeoi3WV4wCcCqdRbctrN68K wWIhxGUY2hTpF2D9HtpSHGlKdSCLt8RndXWC+3cnGFQeZPangJpVpIdzgWSGk8n8aM5uFo+vnTzs u8itiyUSF9VGsBjkONLSgZ/XPh4Cy/armRNgdmWHv0HvFCreq+QGteV1UQ+GGcTL1SKH/YW3HLLs 1u8hqee3Rz0f6CWkgxVp3Lm3bwJC6erbwHn88ujYgs2+p7cI6UTZnN5jERy9g5XL4zL4dPIaqYmn pAUzBtyx2pgsvYVZG2KL8BH1SLANf0a9RuhYVbmoAlCcbczWC8R6kYs2xn7xdqHggQNTJsfc1U2f SQMG60CNqtlFLcuCrpAAqqbvU01b8TUEI4EW2Tt76jvDxQu+m4bjvjNZjlsoeSjWnSB91QE2s4UT McHjAtgkO0PhJ4iej1j8MoK3U9U0DhjOI2lEMtOJkgTv3d2jxCzL0lyR7eapz6LAe4s7EVts/Hln TrGe6p+d6UoUYmeyTBdlLAYqrl83ZnOqr5197dGyv+YDAkhiL1kPEGbssfjLBE0B8qaABbWSUXRO EjXAGekfXxeJkb3TppDD40n7j+qzKJc7Wye0W672886aqs4M4c7gxqYEF+pXbc17uuteQHMUZ7LO ebxnVyTqjLyuPQD093wX2C4GNYIOtPhdzWYMBuho3tlGeJy2t49ODphv62UaGhakd/XQcPLEopep ly2V4s2mb7IxLt/cSQii/iv4N9qXLN9lAeyaQGgyUvEfbLIrr7oLu53iid07WQpRXM59sh3j4t0F S+XsFSIeiyRVeS3AoHH/F95oitKR5bscnljYlUHzCYNmqwj4F0V7q/+8E6WQ00txtjt18dC93Pcl TXgBQUCbTq9SW05dGONsz2IK5RF4XrKMl6kojG1qLH5eOjSxoPxmud2EUYH4HhyHgPTzNF02XV3e WVL41Siav2lbroUHZkLsgopbu96fd5IUa04/MAwoHI/aRmtjspwXcbeN07cDaegVRhmT3ULGhKJI jDFZ0ksGAVzAVyw+IjjgpFiUQd+lx8VltemS1+5sH414Cs6+M6SqI1RJkYnFEcMw0cHvdub+eSdI 1UcjHl+Sd9j5pR20tuY984XmISl1141dMAZyYxcgXCq1BDgn5MWUpb6Sz4dMRix+MVmNbzGXutYT DanNC4w7VIAIAaHJzaNYELzzoyKAgh5zYlGSZwn0EbUOCiZZ7ntL+cplcEvhz6edyZJfdJEb7jvt TIN0GttSg2pqSGY4M+s8NGn2y+jb2ejT4lGGgi4Y9rKsPuy5Ld5JdKoHnCnUS/bW90x25rhtX49F 3TpLKHgN9otZbZb/agbv7TrcvbVFmvdOjMKVvdihP+fhb2gc2a8MXjrib3P3qHde1Od1InBUy9Od AubNfhJ0yOBJzPGBKHi8vsdjH3WPvuf7zcQGc6WToC9KZqCk43KQ6+edFlUdNqcr21kN+OjYmywF hjMqQo3bhI7tihzjajCJGpiIFZyad1oU0zBmeGKDc/FmDLwqB3rNpyCL71vOWoXTfdikPJUk9GX4 PZPbibJ3g5W+Ft3aAzwOnJo99s87KYq3kyd6+E/ZlYnW3TslqjpMeHJGJv++4xpAtewjk9DTrgea d0ZU9NZW6+L89OD8WC012IjExtiudFrF25GBLTTlQKHLGyxe6k68M6KQz3CINIXb5yLMlR0WKejX Pn7eCVFMgX0qIOAiQLA1tiZNgQ+Hjy6UBL1PlU2gT241qho1X7g1WQpcFHxJrdeiDjjEcxpsBZgC 299VIH0yMAd89Eilk1Qm5/vvbKjqMM8mGa/2yHjZy9SnFz77/LyToaq8UJ8YzAsDt452JsuAqf5A Sy0WlHd7LtzvDPW6RnA036a04yugzFLBHX9R4B7OLACjaT5bluQF+N0gwfnQxNhT+HmnQbEB4TCZ EovaMhjDbNXZ7eedBYW7837dTbK6O3FisgwY8a/NFq4LY97hjXA0lFRO0tieJyZr+WqQxKPli+/L tBgs2BD0tTZSG7R81TlccjYMM+Kj75kkNKwjOzMTLdyZAWGtqaJp/LwzoOJEc2cocAc/iu2p3jsD qkrvsFmwDQM/3oekhx1vdKKT55jpdwJUlMFo955YouuL+IhGg71Ld9wt3wroRUG+5Pd8VQfMZ94Z UNVZwXAE6LEon5m7KITan+PnnQBVXciTO4NdZIcIiFttTZoFA79tebv3w+Hc7uP8QeA9DSmKl5Pv 9Cf8SZn2E7pcA8GMrUEzvEDznkrxrclBqmEQDOEdoCCeuunqayY7w0zvCPHAheMTuJo2IUGwM+9J sDT5nhaEuurLxyfv7CfcZgTHUf1E6iS7epQpOxqeFW8cN+Y9B8a0mhsjVioXn0BeHBBYIiGZgRUh 73boxgKtBjH76Imz0H4nP0UARd3bYtGMuiwJMwNp/PPOffpudEoBB7XpjTCTpcCd8o+9FcfH0CYb YWZRLYzzNoJa+DK9p8BertvvRn7GeLy1LEJBenSzAQFCjlLgjq4lgUQoJj3+Eib9Tnviu8R66Wj+ f67b1EFbMgz41s876wlZYowhWbVgpAiMvaazWQYMQwTb9OXkg979XxCzKOJZ9rM9mXmnPH0y4FV3 LH5iGuiD0o8oE/L5zHZAC7S3R0MUTWeBb/x5JzxhPMTOzCJTUYv2xfJF+U9Py2Te+U68Nb3/S3wp 4vWOSf4734mFNqkYKPCpCkD1JJIyKLtCfMMojjd7pzs9HYhBxwgt2hc74Biw8b4uliRJdXXA8wDq Z02QmLCOeuc6cVsIquctoEWX0j1LhIOpL5e8RzWOC8FC9kfCgE/bkuW+SOisNGvbEQ68Xv5DKy9q NmOaTxEHTvNTiIPgMJeDax0+LyVtTxa6KhZ5kYzJyJkyBUCrP3YLqGj4LbNyaYqNu2NR98F2Rfos trE/7xyn78DLVLCSBhuvUZb4kuBUW5O5QhPQG/Gl8asCD71kdzP5U7LXaPMYMPEd9fE0PADVWBHc KN1sl5Nkr6HxRgkx8vZdApMqCe/8phik2CVPg+aL35S/ElSENLvepbafd37Td/ehPUdm+p30Tm/y Vn8bNRi50IT/dYfmx3Fhh0HoO7upyp0LTd/2LHqTVgdQFhGKAwNLiTlywfQRQkAfXwH2xN+ZTdVV 6O0tpKIPFwfFFDdha5NfLkl8z/kkvtUq6x1jlHdWE3MDYMMF2ERHnNhQ4qdIOqOlCxuu3JWs81v0 BpGVp8UPDEqvizYhcDEYHrD8pm81dYlWewZMJLi/c5oc0gRReWZ3XFRDwlBTkdcqgndKE8/L+gyw pUS2I/JmeS8Qma2rE467idEb3arN8R9pO7Cg1I2U9n6PepvnxuJ9B3t7NqU9bGes4JOjS0F6BMOU 39sfNhyM5vkJWbeK0WXtZ1GtNKBv8kscVf95JzTxph+fGXbfEqT1ncnSXs6EgBxwwINmBJMdDodS lRoVwTuf6av5S4xvL3rrgdK02G6hd6FbBflzGOMCAo1pCE2hJ6dMKq9JFHznM0VnG7SeZ9GEqQDi +Cts+887nYn5nb9NFFbFm9zgzaK9STPfwatzRLXEqozVEimfqJaoOaK9Sbu/JE8OtpS0eIJnL9PY gFJbHnOpNk2IK15MFI5jPBJHhxneO5fp6cmMIg2fsgOoaeWGTo2VwD/vVCbvcX86D+iVjSf+Zqkv HccW/RNJLz0+bZqFlpPgoxx011kTvPOY8L3VjGns43FxbK+dfMvDaNNh79yRmmqZbKwe8HrPc2iI CHmnMbF2IepB7XEu6nGORuMrAKDqzzuLqbqgIm8mecGzjvQX6p3FhI+El0onnEvTFELLLs2AHMQK M1v8a/68k5j4QrEpww6PFjUf7FZAtkjJfApHyFcLoIKGxBCXnt/ak7DndxIT5/S0It5ranEZUCAq yL6Yte+fdw7T/86ZkM6cODPvHCbetgD2C0YF+MOhT+qE10KZztxex60o3ilMvFRZR3YNDkJhcS/A Qa0ipRDCgS71lZ4hQi1vJwyfHS+DGv/nncH0SWdaqbGwwm7Ydsmdz/XzTmDikOqDUMQ3RUfc48w7 gYlHBtFFPrvsigOWzf1Qvwpd8RH2Je/8peokCHDWdyzelYGBCvoyRG7u3mUGBAARJYwOWYESmkML 6uedwMRpCBnss5xYFGfQoGG/yo7nzzt/qYo6+9xO8PGAsK825j0DBou9sElVnb1EfDFlIdbQUBtK 3j6yfScvPRlwO+fG4qOUhR4h2mj7OtlCkFZmwBsUlbi2aez8885eCvyl7R5LJy6a2ZY1BX8+lgC/ k5cYTL/wz/A1pMGkdiZt/S6app7qqZ4aeZeshROpXneQ1TtziaWkSuz9LB8sUS8YfsGkmTgRltiY 7yB/hCS5F5O4An7eeUuEYMquRGzS4pKrA+6SZAZCeePnnbaE8/ZflQEzV2DWtDNZ8/fgmN3pJwXU fk/1ClWzcEn1SZRV4U/JxgXq430W7+OBsk4pfIu/l/6MhIWMQreShyZJPdafd8JSdUoNeG41Fs+A 3RHPMqbz885XciTDM19CXUGLOu5KCn7Yg2LvQeqnKDZqyUlCJ26lsjS45U/5162E+jMW3xUrwOCY iuCL7vUQOA29jEN+5KdiGvqW76GXoD+ciRaLcry1G11Um4Xjn3eeUn305Pw9IhKp+UDynaVEgA0T uxqjgofjds4+XkjesJR9JylV9/Oy3G49SyQyF0AB++vgZexMx9H9nBTiYCG5Psq57wQlVjxM5UmS 0qJtAUWaAWLO8/POT/rGEEmc7zqRn9uSZb4QzQ7nd2J/lwMUSyv639Y6yzPfkUN+WTdrd/ojWmS3 TLciCXUmWg9dtqnwVSDg0ELXw6glUHFkee9SS7PsZ2HhA263dw5Ox9NJdje/9gWh7rmO0qwXsz9p qtI7gRkWvRN61b8cb8ldyRq+UuLpRZiH8mAe+kHze/MlOpeDJdQDHf85eiu7fkjYx79ndh2xfLwC uF7fd7vsaJhLOiSfTqbXdX8wD8DQw1REDIss5wX4Ay4H25n7pzdn7kvovUKwJOjp8z3njRHtoH+t Fh/RFvQy4YNrKTTQA/U+nSqKDt6nUJrsVM1/M9puE8Oz+Xyc7sZqZttFiqcTPsH9GtEi47nR8Z1Z zguCXZvMG4kfgiiHJpGj+ohgYtCgjcnwDkvg8NWfxTfG3pxCtSNkdqe4DjNlH+zHQOfg4V/zTZpZ 07fTMaGTyayFb9LCm+RYkIOnk8wu7iNe6YvCyL4xacpLvIOGjshfaCpIwvX1CRwbt2qFz6znq/uo V82WapwYuF0vtGhJa4NLkQQzyGNoB+53H3Io7d9nlvKKajEJ3dLir9KUtPLY++LphNn2kCDZxKM6 yozx9cz6vqhPEGaWPPsaO9bQLF9HkkS/sBN2EZWZZb3ywOyF0+kuxqaCb6VmOcoBuq9OEWeJ2m/7 F62x0J1mEjOzrFcVpP3BbizqiMMvXM7MpeHpjPX3Ba3C1b1jRDszgtsiXx/AbsinVDkYsFdFjirC TEUnavBtyvEOTTC8Z/G5UuntFJCnEGaKZdicXotxgfqoPpgzcKD5Cf+aXt9FQvFFUSX/wl0lTmcp V8PTyb30VJAoO3GCbsz1Z5b0IulvVDtzAH3tjqs6xbXKIXKqpHemJDcNpeUN2h5v0DXBgwKEHl28 2QfrwEkF/QYgFbwLA41HA4n5nvdCgnGQ9Vd6LE4t6BKA7Q1HOst7V8wiOXKDpOmMAJw2fjdxVUpV cMBPdxO6I9V/AB7Qp+WRyRu/3oaZsejIdLjzNYTIgz/SovoinCPs+0PRQhp6glVRLHhmjV+lq2tS wp0LN2YPjdtRbm08ncGqvhm0uP3xv2hn3jNf1NZ84QleBd5B2q4bAEAL9IM0Hdz8gxE4y3yvUEN3 tFgcDo2JG11NAeC0cEm6A1GtlZnAeqCtFNPCJ2Q7w5dpszTgop1ZmHcy0d8HT7/vzCnR3CQ4DSnf XhFnMtQvR/KHohOwRTjNi2v7U7rujuXBvJxwWjPEgxdLuHS48Ea1Q1Nh5z2EFLe/lSsPNmq9E2L6 1cJDyMEnZChFBpipHZo1HLKwM7xc5rh4Ojs057/nKIjg2pgsAT40Oi8OBWl9rzC83DeM57DHCjNZ 21eIql6cyBTK2+ugGtg0FSmW2yjBg2wT/hqWeyHwPxsz9T2zOpKQh0uBJi5eXo+lgsnydDyd9WO+ 6kigdkBK94bMylJgnGK8TuHJJ9NzEHU0NQCIvkwn6qys7bs1rGUjSYvfTfZurQ4KOkD0c8iVqoNQ h2yBPpHe3CRPf2UZMBwO6XFZY+HO9O5SnfabNjydMWq/GEygiYHeoH3JMmBaASMUMNhafs3JG94g FzqjIHl1mnHe9XVWwYzFk5l2tt2ri4izdezEsIWHqXYDuhAklKdmuvqeWW8T8WU3vlJcVGOPq9IA 0D48naEUY7xPyW2kNg9QfOWYX7hNju7A1iqxUmCpadROZQfk8nybVtb2pU4zmg5bC7MGOzJQOoO/ CAkpREMf4RiJh9+/XzMU29yFD0hQigKI3/4sGmOfPojiardXPJ0NCvzI8L1DVzV6VSvLf5F4NPoS SN2huMEu1B3EBgTuwUeSK8t/hQcZQ70qSdhPEpggvIpC0WIWoOhkmA6cqUGXzsDhWcDmj8+mBOxR Tbn2TOd4jxnqWG3tgaeTENO/4GZAXNcbqKqVZb+TXEoZ8ww2w6VoMDZlahh80eDTtmTZrxxRAeKJ xd8kNDP75q0EH9kiX1leMQsOPkAoBqrVv2fyJlGrinRxKCviXaDYRbmbzCKrXlrF49mBKV8MJtIz b0gZpOkvXMXHoRP1oXCMer6WR42mLG/d7V66K0t/pY7XrprdNxRL7bfv8NAdixc2JPLZmKm6ntBX LRFkMDviJyTVZCWedZC4z8Uv7HKIDgFSDk9niJD5aftysh8I8ZWlv0hVrZQcUnC1KHwf+bftcqXt E30zvG8T8ZuZmBY/MwVqmYXKeJYz0eOMggf1F7MEMrHFRCEOeqUqD67XRpNQLo6c35ox9YNtSZPf M76TX5gtxKWUdX1pqIPJBl8bSy481bNC0uFDEz0nj7xZ7qtyqRcBZQonPRWjSPtDQcWE8CFMAqSa V1gcNA7mn/OCix6fkOQxJC8V+jtpUb/KEmp1+s+5ePr/J49BXdtiY7LMlzP72dxs2V6kcRxB1Ipn MevGWGllme+SwecSnW3tB0FUIeOHnjU0wCzwEnhVMdKvSAO/uPt3+vfM5idsVBFzr0WtB/TDKFVw TsfTSYUd0ZdpDKIGUlrtTJ76Nk7YtxN0yDJnx4HwBQzcaKTGQnKlvd/pY/0ei5dLdvVA6wU0fdsJ +aoA88MOi/3lPkRsEHP5CUmImRSQOYJYnROcAttl5jFWaHY8nexMvEticPHjrzcfdtr9xeCiAyTX /iPJetlegVJatDUDAtusJHcqZ0biDQwGY/nAWi2JEEzG7gKlvqBk/7LPApxYtPKmvmZSSDYiHdp6 Fu6MfRblXqD9PfB0Ni34lmMCMWjGvmSpL1PdIzc0CU023k/2160CycxCUUIcmZ2S3a66vp7xPz1O Kzg2bIrwMqFHeKTz30l2w0tUnx7nAnsbn5ARl7QjKMu0KPyuq1vJtn/h6T+izPwNOF71zHdnmS86 tSoF1K7iuIZTNynvyJXR68idst1EyZdBExcHPNxBsy7OaLf99lsWWLT1BDz6PAxJUrF3lvnSjBf0 vxOL0HiQDOXLMDef/musLy4PKF0jzkyW+9KorZ8dkLNZu0NB1CGH3oP0WvEupbmvxtbkMWjxALxg HjGJHjoNDCzJMsHAtyN7fU4M7mD8/GzAxGKJJYUWvUoQHmGfxQowPJ1RCkI6heeDTLtoPews+5UG EXRZhZ3HeFZM7CUvd6QcFJYs/CnJzSShqqqJZH0mkjBZq7UQ8TvwMZfK42SJw+RlflxOJ+PvTtlu FJO0ONpj0ZmByQi2aWDEtLPkl/JhTy6DwgsYY+3Mv3q/9fqQGv+iAzfuCsrFUu9hkYld+FOSMKMK my11LZ78wp21AFWNMNPpyEjRceRNZGF/KiZc9PiEJP5q6iaQzH1AMsQncWfsGsTTfzBHCYWbEoby umBnqAf0gVrb0RYHxkpxhn9goR4obU81r6z7e8RAqQrE9QbdDY3gwagOP71ayLCxCIyAiNkIHBAe 1eyi75k18qTr21osKiYB9OOlbX8EPJ1UTPNLpIlGESW4BTvLgFGFA9Bafl2kCdUORZpoo0BAtCiS 2JlU6KxJtUoqcIQL6G4Cl7YilsE7BfxXTRQs3IB6jPTD/ZRpnbKz3q8oOkSX+qKLujiMFo54ePoP +JA4FyiwYy65M+CDeNjgQhRVkmU6rqp5n2Yt4lAZf9MEWJDfWnosfjPh5lhAi23cT4OlMjLkI444 kuwYMbFdtbME2EvIKejDDPe8an8zwRfswsLTGVJmf1WSlMwNKYydst3Qhq7bJbwsFLiYDPSE1YjA cR1KgHcq+OBsFDWt6qMCZ+8OFDIpKwM/d4oTQPcLACkLvh+1M09mUrmzxWkk/aG1qDLAe9rUl7l4 Osvy6lfzAW9RNDhPlv1W3KFXOorgK84h8GZ//AsAznMo9MmwD6tLIkTitX096njQNpmQDLcTYz97 u0IT8qxJU46Pesr275lleYq7mk3uR7bWLixNskfvePov9RT8PyAWHsGdk7Z+ATcY67pB06TLN1vi yyUxllPgLMacFPywPMbUWISEvmjdWRkMkLgV3H1Iz5cuYNyl+8i0yjfv5HpndEpp8m5voRtYQUcR XOZ2PP0XvIpRBi9VSOSdVNN3SjmweJTZzdGbQuAK/bDcfuik6Ae/sul71hv7VUCAN3uXNihdyPIs nEuk084pZ0sLU8XnXrKXeuATktbvJTeSSCQtPi04QkIAJYqn/5A0ELQG8uURZU7KeUNzoVMDiU3O XlxWhtxrpnmtMMrgzKSI36nXSMlMf+Kv/RstMHrfFthj8/6q1KKlsX2pn5vJv2cCybtsySxKwnAR LuRy/oUyu148nYSZQM/jJYQ/QFC7Tqrti/iAPiQBD/YCXlXW9nd0sbPZkElrX1LCmxTy7h2xeJSB KEg/fl/vonupwnGuUo4b8yWPMhSbPBn0QT7Sl+NeLQERlzsWOql4Ouv91k+UgaBBCEGfLPktACra lVmDg326AxWlrtghNhljt5NLPTA/cdLF3B8ZW4DCF8V2TrUrj8CHCztLGoSM9mQy7HLhE/4FCels iWlR5xeSLywPbYPwdHJe/ks1HIcllHZOCviFIsrg6JMAq32vyxkI+nsBfLjeyTtp6ivEA4cdWnwe CY2/sYk8A61rDHk8IO8B5f3LgJzXLj4h2RjvV231q7bTUOwvz6Ys5XbxdKZCVL+6MoSDPq9Syngr bDlAYYGT2lnlUQXZoy5jggXaM+ulk0J+dR9RkUpLYGUsR9qduq0NboGymUbHqFKbgIS39VEHOVny G2BFzlG4aIiNjhKTtDMvnk6C78Pqwm9AH3P44mhrUsLb5CSqSBgPCCblwZAxWCH1cL1eOjnwQXMU vVT3USi9dvRKu9waKxvX6HKIo0Se7Q9hnDva4vyaCe7X++GySW4OzoezynSK+ul4OhtilyiXLN5t CVJrX9Lmb2cvZvpEUr0m0o1bCytOtMolgJxL/bJzqRPBxXPfNrvluJsarde+O/5kk7rxDUxJWOP5 fY0wwk/IBinMCIVWXB+0IpDFvIR3W3g6OzL7OTJqWLXSfWtulv4uIs+oQsAL+3ZP8i6NvtCwGqU4 gP7+W+3XfkyJxS9stOjs8GP0tuCPJOcqGGHhdvml5Y1vDbu/N0t/R3P3zf+yG1/lwu+ddUHn98sY F+VzMRGgDPNM7cx7+mvPTHYIwyoQgVezlLpdQcXy0tCdv7ncr8sqllg8ziwkv5W6KbvABpt9GUy2 GhTBQYvyq+mSdHFT7K/0FJskd9oK499jd5KjGTaeziJwpL/8b/E3wUBEW5MrPjQ40gEBQCB95fGx WrKp8r5wR30OTZ7/ipbPXh0XjzMdJvXwf7JkoyJ2MUbTT9v+dOvB5EnT9mbZL9BrgEpgf7R4X3w3 ATGblZI3pbsF8gzBljRA71bdlO2GRnTtTrCAyayDOOWAKNzDdb2Hm+MenL5fY/EDYxfdLCgccerQ QKWjDs3irXiCm/HHZ/zqeya5b3P7ux2LulX48UxHZrk/N4M+UN2XB0bCBlYvVe+K3yz7lYx0UE+s 0gm/j9P2cJYOkF3amRT4Ky3xK0GvG4JeaEBCL57gKozljxRK4VXPigUtcXdNxPWCD8gwIZQLrPPG onGtvUqFB8ZqbDydYYjG8yZVabeC3aCdSaXOgM6eq4QG/Ri6u2ftzsFY+0y/sW+KfBAoZAtOv5/8 t26rLha6ifuCaEAH9SXM74RM3dOtom72TcV+q5hL91m4MQe9TuEeysHTmaZM/VRLXcfb+5s3Az7g 4mr9FIVcIBVVV489rqLOkikF9yUVOhPxQjZ/XPxd6nexYkIm0yEtzq4M3zn0LCkaeASuwlfEJ2Qd TgRMueS2xyUXqkbsKP2O0g+e/gMrQ/iRBTYcXW1Mmv3idzkUeaTew97T9R529yBTWg/Tghz6ICLb brF4XXCgfAy66CkToDMKQlTOgZFMgiikI9OYHuATMkFxBpmqdlWNdpVVTvIAgwMNns7aVf3ZGAKY 2CX1rcl7v52ygUHWoYUsoYoSFAdWnN0eRpk8++UBberktaeTBy/DdXHlYFpg5amQ01CHhbvW73yS PE768AFZlKGejBxauehl6lbPU+2hHD6dlZIPhLP+Uo4L0xdtTKr1i7J2bofGhOUF7ArGcpIkYJh+ ZjLsw1aL8+hiOs/F1GEw2xrVUxZUhETvgs0SDTwHCJ/h6DD0PTO1Bw7d6mcRUhEXE3UKzjx4+g9F L4FbLeZtjzIiFr1+IhAqg0QY5njAhAn6YJ8FHgpB9NP1oUua/spWqI8bi8dfKpzBfPOUWzA9IL8A JIaG+w6WqgGY4Z3Nwfp7CVNVM7Wmmqnq/oXaNJ1TARHZfD5r5n1hZiBG8KkNakkFz5Bt3yKxY3fT AXwGUnnLk2Eox8vQraQ5sLZnjhqLVwdQmCIoFF4gbU/izwqFqCAqZkn6R8OqXP+qGdGLjStiNLTw 7MxQfRgWm/l4UiBER08eVkQMxOVdy3sebE8BEjdKHZ7XnNW8e1V2CJXSaEv7k0KANX8rmlOWUMpD NWAvFNrRELXr+uPb/4oXCGMiMNZ9e06v/k2T40PGzmR3XYt+zwtd4V9iRAYfT3KbT/2EKRX5iSFL X7JeMDiLbdZ2fX5QeujS08KS84MRXkyctSVxRzwD1ZbloZWWRfAv1UrBuKUe0apkHSASa4BQPwME fETGNyDRgNAkLZog9OoyM7UMPp5Nnc4HIw3r+tof/fWS5cQF8il2xx7pzEya3pLZxG4mG3yrjgg9 WUs4cEUsrLl46LlnW4lwWERh6q9WuTYG0nD76WOhGamPyHT7GY9VZ3LRq9VWHZqvQJy+pJiINj43 luXpSKs+r1baGGYra/GgMAihNUJUBNtTyHM6pCFkP1rSzvB0wMiJJaCMa0KUE4cHfryywSgc4iK4 7vFpZvE6x0dk9Tf1ZrbcxHcJ6+PdB9M6GAbw8fftob6Q6kycVRCcPhZNaXcYDfz6KFu16eYPY4KG JC+M1lu8Wll+7LSMod0Zz+70BhTjlny/pT1L5qyknDWIh7RHPJoUIn5Epm3FwyOqExd1JyxBVk9q X33DjDI4onbAr2D/3OErU1JNiELdpofKI8I/qTy+YdBRDN/jknaIWTzARSoWPzrzQESkLhqerdN8 c9Bq5m97wxyvsTXFj8gYuJvdLMadQfwJEx4Yyir1aYOPZwi1L6Ypux0PQK2WLEuGoAcalsWjMsWZ CRGm3jwhwueW2J1MG6Kp4ycbSVlLqxhvyJoBw0ZMhqqkRnTIHDpun/6oa7tNa8kVgWnTRHyUFu/f 0C0BLb+x+XjyYsWdxYDFGLaehDDtFONGb1IC3iSbu/kZOLQhETGeGz1tFbvEoGqJElpOGygj/B87 O7VBMkLQcr72nF6cx0Lw1ObfNOvisH1zSTy9twZQuKwuG9vFfPBPZwz+Csh+QNvR7qTWcDw7uE5c J0LuXshjqZPEoNw5X5j6McmdpfGuWzLt+QwYcJHDHBItrjLalTLwoIIG9L7OB0WisJOYw+HNEkxi tFjU4xr2M3ij24bx8T/I7So7LDjvZ3OyZJmSCIPeuSw/+wmtCKWFFLsqMzYnw0sI02lpzY7FcdQX BqvD60/IyKmEt7/wwkTiYwAhD7TcHo4DKflTa9FcymKHeCh2Lvl4kik/svWsVRH75rM3aaKMg7NK eDdNVjusQHc0AC+Rb3ytUoe4I1n2KnhsfVSdCKZGp5iCr3dNERNI7gKuon9K0KlUMLWIk0YaHbKx NA/Jlikczmon+hY18YjzTPIBgCLZKu3xFcwax2jlNqotiZbbStBy0YxiSG53R6KcusTJAaJv0XP3 0x/FQMqSwUZslt1eBFKvSjG2SVGajxP9qv5N/yUZ0WgTp0VlxJSksZ2HVvl4dpt/MaDIigE+2ncn 1QomIn4RND3ojFblh3aOy6dNsiLl+5UYxbkYJDTjbiwxbbAz00BSBmsZHQv2AulShSwQH/JI+1f/ psksnLTlOxSSR4Rky8Tkf2kZweTjyWAzkPjaSnR7gWLx7clEg0n/GkQPMeyQKck6Qk7Sld6LT9hJ E2U21/uQqtF4VI1gK2jHolTWEXNTAYCKuUAKQBbzmVPNdvybZjgtNr5Iv9MizKPFTIGj7Sfw8Wwg 86X3hOb8vE/cSf0yWGS1JXVTROcVcNAlQ3agKGacnRRG4R4i+8QSm1MxOj2QSYORC/9mFuYov81m QnmoYtIIq6lvHOc+CE8tFh4eO5JuqGdBjY//EZYZO3HBjvC/rYlznE+s6NaJ/g6wfsVrUEh6UesU LorhaJqZZky3/yrP4jXoGstyHpYR9i4tZ1xSJLQCv3HD66qh06Nv+o9Ly0qR/SwqI4Bu50W0ix7P 0Off8u0gqaC2jO1JU2X0RC3KbIdTdFfJ7XcVh1PQa9ZPT06nU/Ep8nsN8jsm48BtDdxazdLL6ngK pAYDE5IPM0pejKl93JIWLHSbfYlc0BlA+1Q+/gfQj2cHL3j/XFopnhhI1c53XpLc7jUIaH5R76vz VdellWbKQj9OheUZYXmLT2eRE2EZqCCWqgScVzb6v2SO5BOcWsgRMAJZlxaLGu53ypER1RAfz+qI /+2c9uaj4JqayKHv0Kg8KPAAUd2cXy03XVn9BkOqpi5yZ3plXmJxgP6FDNTmyNNO8ySdA6pSMIxE l/BRVGM/gp+Q1Oe3/FdjcDO8oo1G01p6tfPxrHvxpamGTqtF88ctOMuUeVuj/cJeO0kYrikB0n/n 0PMWF/WpqY2cO6owb9Si9+rMCVe0DWTx2MiHRQZSRr2AoonezuEQq6Y+crqylmhxXDio69DEuby8 2ubjGVH1kZW4fml9AG41N5NDg5cUFIblsqh7vwFXRN8HOwWlUQ/LiZvcw4f3PsZ8rMkvXKqJXzoo Rw/b85NCRfCWok+iTg++hH/TjJNZhZ+9sWh/gGamuE9fg49n2XI0TmXYfaj3GtuT9ZXRAkZH1jU/ y+ihL/GMQFd7Ik/iKFfogMlB1orFIw/sdWW2h9TkchgAYciBqnFA1OPpurf4ptncHH/ww/YkFgdH tjMlW2YxU98wq9G/EQWQXIJqc2xP7q0x6NEYYIvtxEO754uPQbs4ZlM/5p8yl9N99x7T044aYk3W oZCSuCKLg5C09A2fCTEls2puLEeY2+Dbr4Vx2S4wL7LH3nw8ebnCjhubwyt9l2dzsrbyoMXG9o6g lMV0kbeIy5ujNp2dXGpC0+GA7j+NUxhE2M3S6bFxYIjFmQSqQkpdglTphtwemNNsWYVo1Wii3v/L 2JvmWJLszKH/z1oSDZ8H7X9hopmRcbJvB6u+J+D5hdRRGenpQedgQxBhcLS5O/Xo8eTstC9Mx9en c5ray4EJ2jrpWswHkbpKboK9OB4dagNrd1K5Ner9D2mPjOj5WuCxP22nzhVJQntPYeOgXWWHFLpx UrS5xI/W1F4Oc5EKUacWixdaiGIIO/UsPp7kymV/b3TGTzDlfW+yrjK4TWhjCi9az67qJfdNMzQB L+qIz+ovThvOCp8PGfGciVHDEB3GaltJDAya8uD27rE5i3DAmjrMLYlSl/ss+qx62UTX2rnpfPwv 8FoGGLuJdn2ynVRumFBJ2UkAYNC3M6dK3Q/y4j57kzttSNtGhPAZhPDdYKNNwXs4bcDIRnJ+A2w+ O0zlC3wr/p4JwKCIKUT1rB7WAFYdl319zrf5eBJxYobOcFz/1dxJXObANNlUSj3e+jrjAV94pb7O bs83lTstyxbgtlh0bjg1L9LkKN3uriYkv7isthFg5G6fEU9/0yQVVOnJv4IWsYVulz0ADYVr6jT3 dfrE37finPegOdTUaw7ALjvlQxKpCDmyERuHSlcYg06YzChRzs3mrhhD/2u3PPbcqGtp7WP3bruy 6MY/1P7ldy/8cU3t5vhJw2hjxeKDrCUuKxS8+HjyWQXTQTcTdLRiVJP6zV3cHucyvYE56XG9antj Qt8won7g/DUxnLN0e0j1vZVYvIgAPKV3hmP7/9oQsoe6cGh4nEezBJmkXjRjDQnJ35/F24KMiCwM 9IIZcrJ/u+39Mh5Hayd3nTt0b6yhQbGW5n3jDpdrXpPUBG1OLkEs9UZN+dYz5YMWZqdCGrxQO5FB SJJZ19nVjFgaqKZ6/U2z74oJjsZZXJgkW307WLMM+1z5+F9wpdwdzLOBk/LdSXl44GT3M72lPHoJ 6yMqzBHYROqOdifNkeW5wZ6zFv+s7prApXJ8fqGYz90B1IslRCVFsUvQcPqbZrxWgS5Oi0VkEGDj mqLO4ONZARpNUwQd6NMFG6Tm7nMMyaOG+5EUgKiJt453BWdQfmtiP/eQHqqXoSsMhuc8kJfGIbmE AXATrYBAXW5bBtvCoBDRM6smFnSuQWJpARHb+3p9NYBZiBHm4uMZMCUUpLCT0G1tkLny7clyZMp5 Xbm2oOEuFSBM+a44MuBJXre5rKkPnftSC1nBJUKyhZsCuItt00JG1Yn6Akix2LlBK8J1xwB21Jtm BgL41QohP1qETBmWZlJ47KJ5kTjR/eoo46DYH+mRPa+pER0F4VuALFobR/XDIJJHihT7G3VSYLLE kv5zXcFSsB1sBdy1LesWX4ZCLdC9hY5p6EjRU6ymVnSV4i5nfhflOn23rn4yo04KTQ4jUDYuADF9 AF+JF52IBQ2mR970Iu6STS+qmlKSGAHbv6s8RRYnTwLo7fQozKGhSqULOzjXiuqlvg6G15c6jl+5 /HL8TZPvShZIi6xFLjw4EIMWNWShJZi40UFmK8xjf6qY0Xb6YneyJFmel1A0bS6aJPXzibTtp2N3 1ub/K49OmiRf6WeVEUvMIpr94sBwWH6PhEq68BAxaIDPYuDhbQsCo/kjsgpCsXieWFRezUn5iJ/e MCFODelW/SWOiSEqXuA5PGk/GTcWKIsUh29wT6Tyo90pFt8mNP0goSu1rZp60jl5cUnxe50wXjsH zQqN+SZlDzedDBF1YHyx+3OfEyHAH5Hd50wBiwxlS9fhoSUtGYi93M3Hk/s8dJu7bje0TCLupK50 k5hPugDIocPJeaMUam/BoWM0ZzDWxJYOUm/S7eg9lmcIOnpDomIlKMooBSb7J34o+U5qp6rPsvxF /8jtLIrMJYZ8F0JwTGCstOXjfymxmBNbllKiW5r60oF8D0qRw/5nY/wB7oIq0xyAQszXtyYDKR8f DKv4XE/xaWHmVkihk11k8asTlNLQBsGvh3vyUQk6/qaZHINDLkosSpMtpIkRbH9CPp5cV2P/us1x FcCszncnS5MxyWmrOZkeljeBvAg6LJAXUX4m5nQPoOmuGYu3LSz1swpUtn3Tzomm7HYB/4h181xX 4/p7/gkKN4UTnNEzsusVdr743drtfPz/AIVjRxGoVd+bLElGoWB73qfb062gMe7BPND2piFJ1n2V +9OFK9SJxSMyBBiuFE7sQBUpyTdEKETg9pguLBUQiT2dM0aaBjtahDBtRwInUOXk439hGUkTklqt z1eVqhV7u0t6bZYEojsHDf3Lk4+Ag9ayJ4F/cKiT4veusXh5dSwDvsig7auaQIlT44QEdlow3acw X/5VpTmyz4THswjPdBZD40+3m5aP/+XkEJ6MHOs73ktN6oCHaDC+ctb0buGvxWqejo8QnvevKkcn Mzfmq2vxwTngI62R6wnraRLT5mV1AhgSB+euW0El/Zo61YlTM4YsZsn3wdmxo3+HYCboBqZWdef+ FkGk1mp7IDuJWx0Afp38qxrUaUdRej9AqU6rcXhy+p7AKOqVjqdXui20N8j90TepFwrtLdTWmAbZ J1W+gKZS/E2zRFAKZYzMXBz5v5c0bvq+fDxrlv5LiRZjUpxc355UvA0gkDFYQrE435KFGYd1D8iN 1O8Wtj1xrUPGJFrj/5D44MMwJ+fxQAquoQFWReOxMgOcX/ckeszWxLku1BCvXJS4aHsQDxhN9rl8 /K9YQUyGLTWN4vzzmui0+v+QfDUFUSpej6vu16DptNcQy3ter2lOCw71rKvEort8QalpAqB97I/V YafK2R+VOqgrC2lRR1EiCXzNcpqrlNl/c5/Fm4FlckZsW46HX3alAbzPqfAQCg5/FZgJXubkya6A Yo05edeJmU0lJkXZAdSBYLd0nZHjJLuiQfCg+KcWz3Ds84c7LmlY9v8g0qdUhjANAJrSd8Uh26+7 Yrnfkk3o2LF47sdxIesGS4xfdwU+MUe7oiGF/UnoW4ddec1tWqWAQKsUvKUBA808wd5rJKnTgGFe 18h5zWxwVnRIOjHJs8+gwh67JSHt4TD/WjiQGchiD1sV44EF0rLjNbGxl6QuA8bVLRYVU/g0NSIv 4/Oa1jQvNbAJw4V8JUNAz+xkUwCntiTBDeEbVWPYF+3UH8ecaqu1DtPz102BUxd7xV0eDGK/Y1Mq qoRRaAvEvjyhpI2+SbCgBub50VldfMv3XWE2ZWWM6HoHtRbRJrOCbsJafNbPa0aD31H04OEmzaXT Upzb8prPIK5A17SP43nwaHUGOnt7u3iwoqOBanJWJIw+JjsTXPwLOiAKgytwmLsK6tUYUkDumIBe OekBf8nPay6DuEINu0ktMy0qLWuZ3B/I0n9eMxk8PL67UunVYS8ztS2viQy+Otq7hGYojEiD1yme /UFgCf2B1zSmBZuc5oi+ROm0h6U4duQPjv70GRbjC/JqECkcaFKIjnxNYvDHW2JvjGdRuO1lSb3b 6prPawqDwxLbwsYMXVQgiY5dec1fWvhWlynGB9QH5FZtmeTSeAoVoie/r9kLdmXwEqKKuBafZh7b ZQIe0YkYazngn3csaMtPI2Kx/fmaujRJTVdomDyLqoJrvx5/1VHr5zVxQVSiUNcVIAUIzYr8gZvy mrUg2g66vu/iygP3iuxqL3s1FJ+UYpLkbBZtMc9GmH0WJ8Y0MvKq7qDtXhUdPTP2tNbDi6ED1Oc1 YWku4WGxmfKhXPwKgszZDzFG9fOarvDl/GImfwaxD0Gfm/La1GvScW2zh0LxpKeZmnpN+CSrQ2Py /drS41ev74dlKxePthZTcdorvLOB6Oesiney+g8N7owhnq/XfN8WN+xb8gddwcKzxGUt35b1ee3n NZ9zxFlphHo1z1dem3kM742moDH07sUlZtttirtQiA35jjRf4bas+Sy+LZgSUfbpACgdmqpojELQ 8geN1XDTYu342sjDvlO5bIl+x0XjgwrPel7NCw8nYWX6FzQEKcZ5PX5a3glUuFdpVz1dSBXdYJml WoXEXvnCtLsFHj1Lb6cOyuLlOyTBwvT2WH7t5+VYFemyJkgWG0BNv/w5tjCz7/ktIjr/yrzHtAiM Xmi7iPMy+HTyHVE+2L8jjBjW9Nv5nTzVHCl36NlDyz4y1GnZd8Z1kodc34lEzzaGH8iQdtJ4tJM2 ROygj4u8f+AqZWiuaE40dPlBR/I+FZm0n3fyFA62RtyHmlJcdBNZPifXPciQvXOnEHWv57jYGoki 0bePsIx0Zw4VmZonLneewNZU1xhY0MpybE2yM3T/si2RN/PjQrFBcLdrqGKmsm1jNMxsbFHyugbp 3TMX7UyW507VQnusWBR5AQI5uqMBBc1yl+lHpmrKrYrVtyZLdTs00cZ296w2jkP47GN0n8e1enmG cUn0nfSLmkRCatHWWHoL7wD7VpHUzVncjYFMhO7NO8fyUYjsnTXFtJEF0aCMzRnePLV1Fo3iLFf7 vJOmmve3sBOcebCyuTiz3Jr3dFd0HsvmqELxzfDQgbnUbmbveAV14T3fta0hu3cRuqXFtwYK1igZ 6Q46AUXkdQ5eK3RwIB6qr2loWvCnMAM5gh2LviYQWhlCN5u+ycaIkEI1r/Jz8Xfu29Pdd7YUfyOM w1qTLRKgplOZXWkOB5g0ClGceU94EcarMt0ViwdgQNBoRWdfE2y41bk6ltrtf4GNpggdWb7Lo9Yk 1alFTCkw1NjStFT/806U8pzkua8xk4CfsO/Le8YrC3Dble0ETbFUONfm4B55TIVRuc5LlvJO2h6N S0U/Lr4vpZQDQxrwpOzSG10aQsi3yPl52i6bji7vJCn8ap1ACLoqa9GNfZskTYZt+eedI+VZyTeR IVeixI39nvU2uqxa0N0BpBl7ODfzBl1+jIcun6W9wkIMdlO1eCdzW+iG4Q2g+PA6HAJLyD4Kjlr7 EW6m2uw7Qaq5SgrcQ1csDhde/OChNrs/7/youDi5M/xv0SymCgW35j33BXuMnDFX+G4zviUSHtnQ hItwNKWy5FfIK5ri+BKgT2DYO+VdIIPTZCwFPU7aEENQ13NfNjs+7+yoJmtXnpYeiwolC6waZ0/o l2TZr1QZqPpHEg6o6HFnZ+kvlfMbb3vsTKMhsWbZ1Yf+59LSBYcmzX8JFZ5MObRwZ0A1PMDb82IC jEkQfbJL5Jr5GJ3Dj5bvmewMy6QGUrkvjqO2S4oX08WwNsuABeSNOEMl9ek7886LwgesHn31wqCM 5ja7ZTkaFuCd4y27NAGWC4Xo4Fy84XDtyNRKE0zbX7nBQiqS5xkMgfVYR92j93y/mXD/cH59YlE2 g3mDz+3X550V1R5Za91Mg38e8Da4M1kG3ChrfduOZKY6n+5yrMyRUpseaN45UTh5PDNDKotcPNCg XQVEEQJNgc8egUWH2cRBXvBUklCH5Hsmd5OUvuVcMsO5ZDYrPGQBv8f+vDOieDdN3xnsImfZw0Pw Ox2qhafLIVwGNTY9wdlukJMLFD7PcbrYOxuKRXYT/HXH4jszoVULSiFmAlbX4Huf1OJrF18r01/n 8FJ14p0NxXRGheTcsTjkqjF4W17Ux+edDNVcL5xbw0uayHIvs9+pUMiAkeb1RV2Fy0ZV9wm2jCig DbGYzkz+K8nWMI+ZVU2ZGk0ZaeZfmB2c2i+cnqTEhaoQmGzKZLoHMcf770Qo/ATOEhpGh754W2ZO t9/b5/POg2ouBBOBBvL3JNRoZ7IE+FDijtYoNFy4V43NARa7WjSd5Ap+TlnD10cm5Jto8UMDu5tx qJ8PgHmT8wAUkaHrAvzoc2+TYvhOgopJkn373Bku7PiWMKIADvnzzoFqsoHS0IQZDccvngK/U6Ba SM7u6hDGWW4EmrqHkzVakHzeGVAtQA9jOalyPp9TgYnxZmlg//dDM1z6YIEzI1vD8CE+es/3nVmC 9PFX1KLJ9RmeBNst9XnnP/Fz96/paHBtX9P0oumd/sRAgxZ4G81DsK4kkMNml2uz/eFjqv/OfmrV ZeKRXsTiGCu4UUxIxGK0ZL9GlwwHQJMwFj6/yoPl75l08zg12Vv2fbs/M/0umKdV9Pfzzn7Cbxll E5sQ6Fv1HiE46/1uSvnJu5q2WqN632q7PSY0wrxv9c594mllnFmazq64nNDonIc3shU4FoCKygh1 YCGedX8VTlfvmezMftSQfokiwamgsfTpAzvzngWj1eLTNkGGWP1C7FB7k7WAKd50GQAZaZiOwNrl zu2oEPuDFN+b9zTYO7R24FRJj/Mke5iXdEw6D+QI+2G3YlD2EO0rCH56Y5y19jv3qclKGo5abJCL 7fjDQTXHeUj6sTXvWTBC8P5mwfSlBMNWG5NlwZ3Unj2jc4UbmCM3yKz4yO2GR9078enbg2DlpyUm Bg2SCRIa2+CP8lQhYtOlhSTv+0VKv9Oe+DVRmo5IJS1s/19Q5/xvvj7vrCckiqt/h/kg+gKmoAFt mgMjaxkUvOGlPat6nQNEG7EsR29eN70znp4ceNKXVIt/TBsSMOhQAEdulbGPcukdPTRI0YD2+Fsm c+vN24RIAy3cloOCj1eL3Z+fd7pT9O6fFrA9gGaTtiVLgOFRCe/q6Q6hEhIj2oTtTdxLwM6yNfNO duK2NPaqCOPkom2BNPEg0hHc09547wIdMKh+1oSKCd+od6ZTc8CP/ZnKs/jI4K5QaeHLJV9Rv99R JGZ9+DNpV7LkF6DeBgiYMIoA5YofJ4VqjvMpvMJxfgpyYFk4eWNoichrG7AndSQ29L/Ia6a5DlAq 7bFaoLzT553ixPayZNDp6FKXC3PZv3MHMzQrTvl2f4u72Bb6nnnQfec3ISrg3No3LiU6GEy7El1n RYIuxKa4Hr+h98Q35gWLuYIWx37YYZh9+TRy1ar2DbgLldOw9tUlpkLCO7sJJcG8kmShCRDaSPyV Fm48dqwsr2mfd3bT7+bDc2CWp3fv5Cb+KdCwaj59tEu7KQUeENdTHTmI0OGByTq/hZC6/3xGFlAm LiPOC86FPstR1EXOWn++hgLsiL/zmjimpeoId0eLg2KqW/a1yZd7Py8rxihM7UCZbnFe3pNeBHlS vtZ9+uE+VaI9u5u5LBdMfac0MVnnbzu4OVy8vzlg1CeMuNV9VTbx/RJXRi2W9syXSG1/ZzQR0kTW /yZck4s+JPBimZxNKwfeCU342//u/Eq0JDri74wmfkl0fy9SfmqdsnDoVR1JZE2UkCh5+SWlnV+f XTsSZH2xZRfacCwHDjw7pK4GTEgD8+9yVqDIO7reM+lVHRqDAirkiwqlDujjD3FU/fNOZ+Lff3yP DA8t5DS0M1nSO8h8IN3pH8q6juOAB1IZ2IGgyQjPTNr6Va9qi4S7+d4b5UBZxK5iWAs+d1dHhvLR lLKenDGpuiZN8J3NFH1tK6F3j0W9376HqkBL8D/vZCZs7A0oCP5b4AigOON7k+IeJn12b/FSiWUO SyVM8jR6uzVQZmnvV01fOQbMWSO3s9vGct2DHBf6DlcMyk5+8YHXzXj0jQ6Tu3cq09OSmX3PWNT7 BQxfReTg+yUXU/k1MAAap46YZL/zmJoom3aREiZjecWq7hI6C3GfwA5dxCGWA+80Jrx383b4jMWL SEjbD/j9IFydWUR34ogfhTxwcHFomr9nMkpReJCtLBe1ZNptmkVbJPi8k5jwftHHc+ED9D+AthEG L8t8gUlGOXOleT4m5VAhIggSBmGsE6TMjhTvncT0nfJPcpS4aG+grjpgusCmjFUELKkq1SypBrWe W3sS9/xOYmpOKm5NlP8moRMcgClbZ+LDP+8cpt9jpuHpzInx2zuHieNvYKtUS0P3nCnpP1TSacFN 3sSGY2Oy3Je3xhpHsfg8OKIOKT6IMqH52+B7I22RQR2+ib7kA5hB8+PzzmD6pjOsUrUIn7iuzGXt ClyfdwITPvcT1xMTPQZg73C+85dwTDXjdytmS2d28Rn/md4WX+R54nN6py81MX1wVjhr4qKdGfj3 5mAQnhaRN/Nf+Mv9sEt5yAqUyhxix+edv0RgCMUz5BzBRW1xuGeoMFjn805fao/ZmGc0dhfDrEUb 854Bg2wLABG17xBnRnlI7Jo7FeBCrk9s37lL39tpqTkezvVWF+xJR29mNAcBy+MMmCBg2c+Hw76K v2dyb5PfDwBbLNwY6Bzq3rYQ/3nnLjma+fmWWOsC6KidSVu/iK5z9e253ghPOjJElOvBo1E78+fW L1wOYvG7qdOSjrVBGWUShmlnCtr0lW6UTymJbPPzzlsiMJyoBxasWjTkhwUjNgaqG5932lJzO3Ce GBwZQI+pJ6OdyVq/zBCuNM3RtBrebxhF5IpDYpATtN9ZSzysKiYFbN0PsPXQvhuNYdxNdkokDo27 YVL6LPyRWBu8M5a4L5i5iaCrhfsCRx6l9wsY6DQF7uMX2hdNj+IzyXe2Els4oJzUGUqWZblw9xru 2wcR1OrQ8DQD5ghgsqGlxXelQQXVXVOHfVf6D3iASI/8lkz+lu+hl86BkGXYsXBbrNyVurl963w6 uZO6f0dsv3T2R2NY+85TatIAgAxk2NuMoBLw+KuUpPsBkrx3mtK3USUpSy6BfUArCcQ/+6TbBv6B nayBXGmxlFxf4dx3ihJrHm+Gr1h8X47rnFlx+nlnKPFXXN9J7ZQxYjAJstx3EtwqbFUnGccptWU8 mN96PfcdOehXhJMyYvH4UhHAl+QxLLp0NcMpuAwk0JdRSzzeSDPfItTDmLFwX5DDuIPa6Xg6ye/m /AWFvj+YnmtX0ryXX1FzsSL78pr4A8OF8OmcUN11Y2TdXp/SypiEi9eR9pcttHW22GJ3cXU3oMam 6/zZ9cvCRrj/jCzvpXBCw/9LLM4l2NIaQimMp7P59f4VXqyEoCwGGRZp0guYFzJTtarslcM0gcJB aFUN+gcivMz3pBc9MhYE0jKdERUtujTEEoFBAD6VTdJg6kq+2H1KpclW1cw5bdSxIPZSiwYE9oHJ prHNjqcT+lZMItkzRysE5Y52Jst6F3V4ehV7AHTi4ZycedTYA8fIA+/MIA9+zYjLx8VbVQU3aGGc ndMy/i6nJLTU8Gff5ysXwk9pZk3fzknkJD1IC7+KjZYAY6ele3j6L5NIJb32Sz1HJk160R+SuxK+ paUQDAC3bqTDGtJb4TNr+gYauu9YIrdrpVK8/cCJ2e4qmZDAyA1orkVBPWcVNL1nktupkQI8uy8a RLoIDIRfLp5+jzFrz18bA3Jpg4eftialt0FOpE/c8kDQy9oekuWbSTtKSGgFSkVlZmkvZSaoTh6L 1wNW/trBuTgzw+ommTzi1BDp9jN/qU4zi5lZ2sskvKH/HYsaeVZ7EC4KWz08nWxNZHecKvX+s4Pf NjOCG8Z/cAPepOkjt+OHg/x6KsubDQFr8GPKs15uzNXG3GdjLpQ1C8C9B9LQFq+kLQw5VfjLcqzv eUwres9s3Mb0jn5ejTYLpPstST4TsNrwdHIvrfsrzBAHemNYMLO0FyHf8pgbGhiSQENWuqrLU84d PNGZs9xYVrNU1+LVNRS4W2V13RpcPJEXFzBJ24USbH30KelBjZ+QXNnk6k/+BC1OLjgae/aGM51l vs/nRKAioEMBeJhp7xfFtUxOEGfG3JJhnGWKnU9a8VLvd+a9X9EhlahMV0bclu4WGCdbjDiQy26D sp3M8xoqEfTgA1hFqeCZ9X7Zj6mbRB8toqNAtvCquN54+i/cAt7Z+LKgh6SdeU99Yf6uribaMJve 1S7BfRb6j/QuRM42GIEzwIMqpf+AZHZv174SKO0DjQcZRrIuoClYOSNcD7aV/vP4CX+4mpoGVFpE 7KpQEWOmvw+e/guPlv8ptglzfu1Mhvrln/mcvZypM1wjuNNCVsyUQ5lyHNYM7iCZpkXhECysSgB3 6BeWxhM7063OZv8WcAcyFPlhPT08hBz8hD/gFFvBH9IXdX7vPjIdnOPi6QyM5w0Z8a5hxhBM2jwB Zo3kiDNQ0EW+GEdKO7CdE2ARRyZr/B7hEwUH4eJH5rZdOkYywIHYG00XMmXUt5IbITM2Zuo9k0JS moJLLrvr8X/CdIaZmuXpePovR4aKF2hMo0HvdNosBQaWHIrw1bdmXk0LoONRHEQ/QwN3ZX1fXdqL 9phafIh9DpRqaFGz0cdT0xzVKkpjGGxEd5NM/ZVlwPhFbEuaACHNf8BAh0qBwq4+PJ2Qu/jikc5A Q+b4t7SyBJilASV7GxH0A/eZviBUZtgX/ELOM07bvoQ8DM34x3nI+pTMqECDk8Ak4Z7FvmbDhBIk lKdmunrPrLlJAtOmGwIX1dh2JokjAqkJTydHpv2GyNDqbsXOpJhftIc0wkB3E9Jngj00+NXyjtpU lsOVvbK2L13WBPLAwvx0WZTpGzIGkCtE/D2S/UQeiLY/5rXfIYpF1IUfkGAUJ7MY8ny0MMhUGDfy xNxe8XQ2KPCN0TAfbdXuUWZl+S/1/H7JO7Coc3kHoTftwm1uULiy/FeGRpjDclm+MbBdwbmZInZB MZlVJ9r+gx6dAcMbBduSoh4k6zDLs+i8nCGMuP39B57OUDK/+MaAXMOH3rcly35BpLWQ230e2W8f HmGqcw3WKDGPXFn2uwXdpJ6oFo8wVjDZXX6o77BG71Lhvk6Pg87rF9Ta9Z5ZiKksldjNAXXhp2qg tDXk/Vl2jvB4dmLuL7wv5vOAq2tr0qYv0JLjEtxwWG9rwG/Z740krzV30l1Z9iuZlMH8W4vfS6Cg jM4gg96SEETt8mIHIhQkCQUZgD74E5JiknYQe2sguX0giZlt3c7G3ng6CzLjV5AhTafEocnS30Po w1g6Kq0TKEi90tHdoBpMOw+/Gd6XDNO1NInlElO3bbFqUlbHdv305UIQqFugHRuTJTqz4N/PjgwJ p/wqtGhQe9aU0efBvqTJ7/l1K0GEHKw8bUuKe0A5f0dX0gKEmDRu7VcY6pHPSh8kHpis7buXSsim gpJ9tgpel30wjVZa0NWB4oX0TIHZRDYA+nscGEA68ROyRIYuEFPYs+ltmQFUAvPgds7F08mBiUqS CMWOIsVnkStNfTHan8NN3mF4oAwPl6s4BfYl9biU8tSXdaQ4ziP6bIi9YKNOF6eCILv0k3np1vKb vX8JUlxp6ss8ZhD/pkUZnn1KbN+OczqeTkrsACmS7UDJtxJKTCtLfg8E8Sa9kpHJwHtTXMAqL2G7 RW8Ljs7Ku78sISVEz8XxVbeUhmae/ZFaG+UWTSMRhgFsPV9bFSAq+RMyxhtzO4qqaVHHyi6kIeeQ 2fF01n0IPAjPF3AtkP7g1uy0/0sGKSXoOKhtlDHAX0GyMlA0A3uTpeTOJc3U5Zw9liADYp6tcaR9 2pvENUikTblTqGDyZt7Ue2al5JG85IqFW4N+D//3sMIdTydbM34NUmA1M2MaubPsl1o6J5xlcFCE BumbynZEE1GRDWdmZ+3fJeB8W8/ic5Rpz1JQ/OBfGVufk3hBsDboD+ONKsH4CVklyRob9GdflOR1 KqWxLFh4+j3OnPZLVEaYvBA22Knmw5I3UUyYilvDjluWU3QWnYwQaHbKeGPjd44zY/H+L7ikE5gq MGshFC0VPETqSar6Q5IkG3un7d/DvrhGU1wckte6CKBz8+kkAJ9f7V+O9kdQAXeW/dLVGMTOIEmO HZ28YOhMkHp5Y++8+8vRmyzMuUSYGZayTFnB4muqYlHWTZziePwYF+Z8+PczLjZtv6iFq0WQh9s3 swO7RhueTibYu34zGaLwH9DZztJfHGZAHhw7vwSxgi2W3HIh93Bo6Vn4ryTyZmw9jatq6K5nUmsv bHUG7shilRjhgRi+LXKH0IhrX9W3qvfMhA00xKYOERfHDzXJ+wzMmHaW/Y5/8fcRcObzKeW9X73J 1LwA1GY3M+gkrkPwYd7YmQzyEPx9yWefETAZKgRWMSQLXXglO45h3SEN+1syofLBT0iir6RVq6R+ H5iMHcPBJjwgUHg6yfJ2+dYFSohiur8z2AObv726/7ZdphhBI8hMUuQJe+jXrdB21vw90sD43zOz K0StiQ2y8hrOU1cujbi/cGci6Dyy2UXvmc0lqUHaZK7cws9qwR1Ccutr4On/g+YDrSJLzAt2ynaj Pmt3ajoslSUEBzVqyWKs0YqPsncqdSb9NzactXiUaQWeyp0EnbHhNYitg5YX/nkkH+6nTOeUnbV+ kU7ZQenk6XDRgN92V02Zdfl2f8EPkZAOtXrMqLQvGfBhy+bLXR0gweXAqjIc7roWtE4UfbP8d3lT RniQ++S/dstZJnOafEF6lVTcoBA1qshW5jNiYrtqZ/kvecr10NlNS1FsWY4gsqwYT2dQmfYrk8Em P/zInVPdYF5wQter9aXG1Zg7Zk230Sl48l/JKgPtTOmx+ImZA9oGVN207eiULlEzucLMdD0NTs9k UsEzzt4a9f60qDCw8kdXy9oXT2cp3i8lDIBeIJvFfTlZ7lswCCjyswcKgpr3lHtgTY9WJxRFlcic DPuwQpqpx+IxBhohp9L7DKBWlh8wL6cWM0n4DwcQPw4/IeOna1hA/hIX1dgWI9lXgXgYns7UU4JR i98AoHjLqTzInLT3i61xyAOKydm7d6zk7YAJk2zRLMicNPudou6PZ9GVbVd5LRZbkMr0fRq1OuBU CaHWQprqM3ujad5Je79NtlVjxKLs196yCBx0O57+y8ckzwKa24ekYirrS27B7W7aTlEchhlUJYF+ mK6+eVL0w5HshgYpmhMOIOjh8gQcMcMMSgTWkjT9BIdv0rqqhbDvwE9Imr+X/GvC+rX4uGBWITta 52+ZIVt/JzM4rehhamdS1hvdxzbNLCj84No7Y5EWg4sJMEDN3k6K+ZXicREZsDxkQBgqHnjxIf3F BS57ThJwqUhb6vdq6nrPrP8rOqAaNOvE7A0kXU0l68XTWZXtyQxuTLvud+BlTpb+Hvyul/LTpGCT 4AMKtlvm4ezgetO+ZJy3KvLjbM/i+9Iw5ERT3ZI8sFDkLTM2XQ473EafMEO9yZNhHwAfQXwZOxbt y/RhUz+l4ukslYmCCb8BaoISG5Nlv4WezioaB0HiPWg6x6GKi5knNybr/SrIyKh3PUa9e49mKe+E 84p9PRZhKXS6qOvQMOIZ7cllFrPfkyEfjviAtJ3Rwo2ZwOezLWOvgKcT5MP5V8cKBtsrdiYVPKvU elguBPeLptNC0WDryBT+K0mOd3QTnxaL9/LQcRjQkDkWk/s8R4LQ0uae5MsE9owQq5NqPSi6FLHT y3K1JUubFqGuyJrwdCZEFEGGBFJKNEYyc7L0lxZdNDGWPQFkTWlPcCFV3BF/F9j2LJlOCvvlLIUm EL741wQN0YKOHSTP4Fulvgy1smlRcB93PDbGT5YAO2BRgM4STlj24k23VDvz4um/9GUQJoFXlGMM dyZlveELgM2TSz3cJlVFO/hDiY2lHdwtfE55A7ipJV5i8UPTR7+TlpOWtkLfQHa4IhxbKNwPjogk 0pNBf+XBBHvJWLwv0ykjCnnNjqczaegZ/Qf061Ew+VDypN1fWpsBhiCgOJ2/cWL2DXH1SRYVVZDz 9FfSTPPE4ulv35bx49bi7bQo2IBBS8d3dH4gTuI3Nmpu/oRsjq2viGxsLoq/dqWyQYdmO57OiJIe ZoKibP9V0LFvlgEvwMC6LKIVgR36QICeBpMjjDhvSnnjwHGyftXiEbiUPcArR5yBIiwxWB1dz0oZ qvEkM/K3vVkGPJr7b9ZYeGZgNyNtAgjb3tTSIugFysYo0ee1wX1PgCGyjpEBEwJKz5eHkk3yBGk6 rbvazk31HjSXvMK63h2Hxv4n5MmIi0bjjQLDCykTlPnQkdlxN93q75l0ZqSs+L/ygXDlYd2D7cfT yd1U+hdIRIWtFgSmm8J/kUBICpNQehpVo5psYcg+d2/ef7hpAnw1MaAD0wxdExBS7ENbm7d2aVCU EeLowm2tSH6+fVVtb5b+0jEDJiozFu6LVXvugdismLy55sNvai20zuJLypJfxK4mO1n2q2jrgiDT usvJwJ7QcfQ3S341j5yjnljiS7IwcwGShnQgxH5ZMLElCrrt6E/0pcvf52bJr1wK7FCMWDSWRHOM AXSW+7kZ+OEr3ipxA7uSmg9sbwr9xaEevbui15ghHXhmUUi2X2O6GMZNob/Cb/6Hwo923Wwg2Z+C aU0tkptEQQihy3Ue40RwID43V/tdlAzkgJ+LEwxOl4ybVdmfm4o+RJInRRyqt1a/sG+qdUYqIGfm ZI/q6qai19D/3TqUEeaXlOW/DiibqrU5FOHOFKgxFQxWgES1+kkycQCdoVW2vmoYt+gtk0TGlRpa j0WJDKIYeY/2F8DTf4FX8WqX8GNEmCz7BSQcROymCAMXyR9XtXUCz4Jtmu9LqnRGeeP/djgbXLgK XG0PcN5LDI3O5jj679QNPMJX4RXxEzK5HZlb0HGzhdAyzE1J6kS38+Dpv+Cr2HyA+nBkePfP2Ifi WqSwla2u+UD7MWk+bG+K3xz7QEED2fvOx953Qzkb5qOUgJv2ekOO68yRsTE1PAEbrUDxE5IUj5Kt dJvyRZ08EH84Yip94enkUgqwDCvJqtoi7uu0+wuB0tlv+GxWF3qAsIFbH1sU3R5l8uSXW0PrBS3R /YXxwWEvzzIDtJc4lJQTjn2xT45HWWn8gD9pii+VkitKyXELig3uzOHTSe8hDJfoKvyDt2g1cPQ3 y3+hlgvYrF9NqxRnBIJoowB8ZwjR3wz9sBu35j8iTQXG3/CKsa2BRpgrejE3KvZu4PE/tg7+nllj nBPJMUssurLvEkbPIvjB01kx+WvIRKea4yN+mle8hwgoaQ1WIhyldKJZEdwwBhCOfkxnGJDU94dT M6rK7fpQjmsBNgXvcSp0d9TmtAN0fxiN8QsHZoa3Nn5Epo/n433O4SbZk4gabF5sRZ3N57N+3vh2 xwmPelJgTcZfHxK6EeRWFJTnEgwxCIFwdS/7ur1xxTl70leiMKsch7g4BG3CzRcshgP0HfgYP2Rg EAhMIsR9hKzK9VfN9kfqMrQE4aKhNtwY2Vu1OoaPJxXCLF+qgVQSIg+u5T0RhgqzzkxxHATtSsm0 5biYOIgaNjK1pDBgpsDQho/Fm+RlAKxAKNoZ1Y1kGgB3Df8aZFp8d+j0wR+RjPxlezHHiUVJn2U5 wr9U7k6WDa96v3c4yQ6lPd9WlhAv8pDHvAFHO36JQzRSE4QlJA13J/V6kzbGrj2WqBMgONkIerXD UoQv7xyVECF7n29LIwT8iOS2Kk45OLGI2KRxIXanDD6ekZF/YYuoWdBDZLGWLCku2h3CXrk7V5aA do9zqH2Q+pUTkSdrCq/ikl81Fp2dc+1rutheCKFNxQ27yjvN6KGn8rSx7jj+psnZKYTVn/Ys+rIw RpQKO/TpS4qK+NpgIHQBpXJDBLiWvDPcWLlqyFJvJ05kcDKnoYLFO8hyyIkolwHW+L+1WPw632A3 I7GFr9cdhd3ACrVMCOr87PFtZvE+x49I6kxOK6skpLk4ZA+21syO6+DjGTH5fttZ1GrdocVeSyoJ IS+p5XVD4+WBrg3uZV3oUMSOTytLkLfQelWycfUB1FgyUtCRoCpaRxk6CBsBdrRVShs/YXltf9Ok P8zxnCD/WtTSKsB1saV19YYZafD8btzY/zzP5uSyEJtiEMfZPP2ZXI6w3RkjPAOpTZmkE1dN8xlL xB1LXi8q+cNeaxdwj8KXLE5vGOQ18qL5IzLeoLpZpDpRAInNc6h5lKtmxeDjfxG9Facdf8yxnu3J 8mS0JyHTF2FZEj1ECfM8ESUcQgi1ZPoQjdvj8jJc/MuybKof6CSguAKrZalRsRUWcR+GY5PcWktq jEFVNKtbWyxKB4Hb4W9n1wEfT76sFpcWHmSi/r3S01ZxY2eoFO9tadh94Gw5e8hEjBG7k/aKBXM9 pcXicFhq3oIkY9cXfHU5/u2EDsg1+zEShHq73jTp4zSBAajpzkVxp1NcATMp5oOpO8aIw8PogHJi BPS+pgZxIPxZxldES4Y1g5rEA3ex6k/pYvPWShzicKe/e09C8PWOTVNbMNzZqwKZGSBv9GPPF0qi wJNYxIVGWtlkQHFRxrPpf0SuweTjGcPd+39ThQf6XOHFk7rEDfLwBVRrTwwaqO6GpDSAmWixOxlo Qk5eU8M7Ln54OHOR6JVVoXurSQiY0+oslgMywX5OzV3iKltcwiFxUUOnLIFXmsUxPp7JePbvALwL +P0Y6KW5MqLy6lXnxe7GGjVoWy7Wc0mo4IeVGsWd7uCAG4v3R+1YWEzemyTLsTnmsl2hqjhagN8i dCobTJ3iHHxP3gyWqagMReyrANQXH//LTFMwpPZDEQHfnUwtjbA0VUYIyp31Lhtex9V7YDZaYney XNm1RoYIhaM/oj3QUO7wxjxQetmNHcMOCU9mhiQnOHZ4VX/TDIbPcV9lZ4eLuqSHbD2ch1b5eDYL 70/YIQUGUKioQ1O7uIODcSEJ0mjL0xxJbKnnVlEx+yCuuuifSfpeVYhzYfHH00K+TT6VmGxuKFYt cX7wU/A3wSf4CPxXf9MMKUB5GnoNa/EWxt0S4L40iMyS5RZ0KOZiXap2z+nJ1IOh5AYl++Jx555g undGINivc2CguJMmyy7Z/r+ST7tXDjwLNXyKbOVXg/w8ZVo4bHfgTTv+phlai/qDSxISy4cyozVM HVhpzcbH/w+Cp2jwzTBaqal1HA3HJxU15Q3RHa5VEKgpRLihhOxnJ4VSqDqvgg3UGVNxyxUQGQbG VRdu3RzgVPIMYdNK+aeIy5QKq6l9HDFXFr3ZBls3gPkHSs3SkWiXj2dTzvIrWca/Ndpzo6dKEotE BXla4X+t7nUo7a/Zw1hfZ9NMSkItnkkbeS3eAVsF3ged+k8YAvPTp4FtQ/e892Bz0HJab5rdWoQN LBVaKwqtBvQgZwu76PH/g8wGLfEw+/LdSZNlV60RrBqqBq4aViptWICowJjBD0+OKVayrPBcw97U zhFcK9G6shQRsZ601N6lt4GtvA87SpaMqYsc9fca6Wa+qEq3ZFwK0pY/8PGMbjh/nR0EPjAnfHfS vjJuiU7eOvF+53oZetjopQCfBLJ4a6XJsgB/hKxr8UoLsEFwdamQdeaSXSWcyEgWa7/UjuQXnDrJ NapYLnn2cFHX3SoS0W9nb3w8KyV+aWSxeQp4hXYn9ZJbdExjEc86lLrFhOlH/31RA1hnJzWTg+wQ tqXtWBx2co7lkpiq2mdlRefif9eXrlQUo8/RKc1fNLmz3Le+yxesu5ywfaRzSXcQRydxk/vlGszU D42oEcoSNbWTo+TGbLyzFZ+bS0vQ7FiTT5J4p/6ZpJBw2rew+DtEjyCgOndF9WMJj90ak3VWO8x7 QHik/Qr7O6zw+COSsHMkVLhWLESe9OvIfZRbfDxpDp44OtfvLEuOIu7knnIY31doGjAqF7pMIR/s 0NBBEgSIRfWonJjKgRXff5y74Yt/WQPulbisD5xGxmRiVJvcRibdEnV4GjUL+COSfJAo/0r+vRbf nuHulX0NPp5ly+tbS/AkfeusxFbOPolDaYni1CA0OgUosHf2KnTPx/Q08ZV7+Azrrlg83wE2p+MC PQ3iVhDWpzAJoFX2/5vraX8hdOtNk9F5JzmINwOWrVoC+0quMjDjfDwr0ssXBMg23ZjP7mTJMvq5 +CsG2u3W8DXiDUv/njZ3OHKnFhua8zUJO7an7w52oIUwGvhAUZdsbkQyiGHwDZ8ZMVWzau4tx/pz FZ+IumbDgGGgtNKsUuHjWds9YLU4/rzRd410MLWXY+YxHyrMlHS3IBe60dcpO+6s1F9OcrFD3S0u nu9MqM819t3tRHXKGC35lhM39aWCR1hOc2VSGoix8IXbsy4Y5syVjx5Pzk7Yn3JBhD8rNifLlSGb Rz8vufmMFcgud9xr+LDX82GlimtTDQzmelyizjoWgwuH6Pte+B+yPQY8yAUlO2Rt7tn+nhm8QIAL ca9Cc2IWGW4BwH8WH88aGP5ZER/HwWroF9bUY27hmN32tL44NcUs9PReA3rx/axyuWHV5go64wk6 dlQKChH03CFSJ1DPYFeXV3ePzaHNI39CsjmDMkG9j1j0We3BMQFMeDsfz3SifuU6ltDBSsG3JlUc pjHhXDEHnSvqz0nMAaEXp8fWpHYbSgClXsNFfS/o8pY+us+yRunu7bhQmxARGMi34u+Z3FU0Cxsy seGiLPACLMkvqmw+nhE/YpKFwMMeVZlPppMlyeAUNyiheeOr9hrgC98w8LqfgJOjjxlpeAq1eP0J yMVBXw06AiDjydASaSAFZFCcbx8ST3/TTJLZ4cc3FrV2gOJVbjcWH89aO+G3TNQOGu49eA41dZvb dOkmNEDgwC13AAzrKgnQa3GuzSw5t5tTrCnizJeHMw+9PgycF0POLEvjCFpRQNrla2opAHJNDef4 pwGsc8eiSVZDDYF9ss+Xj2dZshdYurb3D8aqvjfvSXL7f4VVqvSp948T0onnJ1PyH9pah+dyTTzn qvdMF121tHhXENJXgF4C01TgeCku2qxUpa9s6wzh1qe/aEIc2qrJhVEJm4Zhf8N6vCrQC/4FO1nV IUM8fg5OLkKsG+pEmnOXgy/KA754oHA1tZ5jzwWGyyeW+K6w3+A1oCfYNU5dsnYfRFA+PUEiNvgj su9KIDHJNEfxiYyzib1pkZ+PZ7vzy8cc3g0A5sXu5AZ0Ijo4fZM+t65EMX3KN3dgtGvuQEdOVdcc qz9zLPhvwMmcZrELvBDS7HVRTdmZR0uQRRl/xB+4rRX0vlj4Xd3b2/WoM/h4Upo/gGRkIzizpzxn J02REXSmmjmXIBU3J6nMcxF0DjTLPeikghTtNQm0DbHvCmEZ7NYDGA/7OSTwQUcaXMzgENE6qyZO dFWeOaiJbiy6sk6/AUtafDzLkX+dHeh+tEeQrSZmdE7ku8TdUGCLyr0c8hFtQm9qdCVUfKZudC5K wf9AS+AFMSDG90P/7gLrWyQ1UDFEOgt0kkuP4cvRm/6RSXSkSnEe85bRDqX4UKTz8aydHK4T9t9a DFoPqCm1oyOoqbP4kipFLY68qNdbXvUGK6QmfnRItN3SvMTiUcfCwOZcxkLyGWM5AhdNBNhxYDYV SlJ0FqupIR2Prb0Lx1hcnP/QSF22SMKok4KTy/4W5hiy32dMkzjSofLk59/VLUXH6zjii6LiFKZY YYZUc0s6B9/+by95WzifEBug+O7CfJiIL/yGRPjUr2J+Of6myWdFPApMfmJR0AGUlb/dQj8w8aRz f0h+VsxH6MNVn7OTNpMnbyx8hbyxzhT4C3ULiIvwZYdSitCUqSudbKqlBqolhnytQQ0IMRkegJxj LgqSNgpUrMf0nXLD/BEZEVjBWBIeYaEzK0T48b96w4A48aVDefYr6lTqoD6S1jV1pmM3mUqqAy3B xgntP3TTK1ZLQNfvAmVDva2aWtPNI4Ux+R71AKbY1s5brDQhF81Sfr+ywO0gG3j38qSCt/mbJhe6 fGTJZtOinld375Je7ubjyYX+nB5db7+s6WrqTQc8MiC7Dm8vYQM/yqa3IWw6OifEU/9MEpSHxvWS rAg81ma+Y1tCnbaG4rY76wra/rgE9lN+luUvmsVk15wdsSju2NfLG6tbPsvH/1JkcXPA+Yo0OXWn A8MkWEWNCjAOuzhqBxJ2Ecj/mtrTibc5pe43H3U/aJpcaNGCEWwfwLjqOZPei0ID5f8jFXT8TTMo JVPAwYY7F8+T95R0bB+Njyf3VYjYkS09wECM2jw1qAPwoVGNTdj/6uxgKz9HlJ+ErTHoJA51Ybww t0yQQmbDyk3MuGGTpH6X/J/sRjtAAuEDe66rcf09k9q8MlT0+SxKdOzkqZdn1xYf/4tcBV5MSgAh FV8Tjzr7mZDo6YQIod3Vr3ueD/xGrEeR87oXZs1N6iQV1N0x6QGZAr0NbtSgwipEz6SsiV4TSPb3 ua1UQCQedX7dtMaekRaVnhYYNddd6/Lxv3SR+QF1/iPPR5Xhk0Gdq5fJ+T/4X8Gtt5RqSbwNzjju 8VJzmzrdUqLLcPFEpxfIkQ19VBZ6OKnoeLFKG6b7FObLP6o0Rd6sOtlD0SI0U1vy3gRTjI//TeeP AGX8chiq+fakzL3L+qp6V2dUV96aVe4dUK6gHquOTgq5oEx+dLSKNzIt6Ydj34XjM/h/lvJw9FkR gmEm7iq0kq6gnH5N/epGk4m1W1q78Zv9eWFPxRwZ7cDcsC4gFxpfke/2XFZployMfTb3wrT/1dy+ r5wlMK7VFKXF4cmy5CW8jsukC3cn8nRpYMPSf/fKepT+FHYcMY4AdCXgTKX4m2aJIMd66OP5okzn tiM2rP0cPp6JEQSciWkyBIJ7e4YQqXMdbsIGxJFLWDgokDcWjGY3JCzAX9DpyUAXXZ5+w1FfASvA fO/U049c0CHIxSp+UdwOVHB4kfhsmE6zNbGvg+QtvypoLPsi0IUdVekQ73P5+F+ggrh0cbGdGA1/ XhOdDnXKTSiczgk4BoFPDl8ciyPdgYKvaU6H7J8GvdygFYUhygfQanAzHdo8DMXsKVkBJC6AoziI Eknga5bTXdzD9pi8Gi7eDbSILJDAwcMvu9LRfiAXawoiiD8v0E+X33G2K2CX08e+iS6yuQM0DXVr 4oN5m+blya5M9obX7M/ilzi0JgrKLgAt7JOR0tCmB5L9OkDo+a44Zvt1V6DEQ5Q15v+++FxmCa5v dYMlxq+74kLl2AVJpG8hbrEpr7mNPYEBLirmqzZOpeQRZEXtx8h2a65HJOc1s8FR4S9rO7Bj0VGh S1ht1K6YFwA1uTRAsgGBHTHGMYG07XhNbDrmKbxwqYOoRRHm9CWhDotWn9e0BhtKYYkrCCpLTOgQ YE9ec5quRAi+gT1kCMIUE+oMGlNdaorR+fx1T6BoRw+TwkuZi6fCVgpaLkzMCTJgiePAbxaNLWzI I9uGkhZv+b4pTKbsNa5owhBk7byVGlo2+F9n1s9rQtOfZG+6yQtgRkW78prNdLEDW58uKgX4WQ1k 9vEJ1SAdmh6q2efDwZS0w7U4Lht94YXep+UcVucsgv8BSEZfYuJv/VAeECg+r5kMgoq6EtQs1cK6 csDFlKi1CWvZZFOK5LfmD28LfIGQguauvCYxHRU3QklcyVB0DomTXTVpWKeF781rCtO9xQiY+rME imJBA5ImUoDuDiGy2VEGTITwQmFMqB/9eU1guo8vcJ3tWBRqLf+R7U2b9/OavnRpgnJXeFmhp4Ia jLvymrz0cK5uLqqKA1kUVTZciAkYHZLRh+1CtivEjGxRq/ZDrUIowRx8MNTeo9LLto20Xtua/Qjy LvY+X/OW7saw9vt8F7E/DtCivFVq/bxmLQhJYlXp/iF/DX5X3JTXjAWhdvIw+weEoZSmLZY9HsGO YVHqt/JrvoJQy3anoMhank3p0GRAqLUrucghfnLWCfm39U12USZ/XpOVHnVSlYwdF79++pIEB9rB r6lKvNyzKYX69Eub8trQw43FNFfisp1Ykhr83+bm71vILehKJbFWlrKL9mZanrZDsezUUrfT7Iau NLMEKB3xnZfJfBTi0c7ET3jfFiqQkWwUC8OK/X5bzG8r6T6vvbz+sOrtl5LJKvLA43HltZOH86WR N120IGvCo4ziqE/vOSDhCPmOLNrKR2sTWMzFDwvILx14lGPHcewpJRw0gxvJKOgghqEWS8fXNh52 /hAV0xh1uWh6gMCpm3nh4Syw9Ai3aFPgHrweWN7ZU7hWaVjNl2J/fHdHhF7SCpDarnKjaMxyW3HL SKDxxXPbDrE2ig002AtMWd3AuYTyob8MOrbgsu/JrftINNvjEQs3Zh9pv9qBGXw6+ZD2/O4MBgww CtDGZNktZm2WL9brMGv4ttG074rUAH4HUD4OQs82hsJ1a8g8agSO2GrEAQ0z9KjAWFnCCnQE4YZB JRGn6lIxJ/68E6cQdUl+adLJ4qKrCNbR3BiokL3zprpT0iKZ2+jIg6eunXlPcaFLQFWTGSGmlYDW rADzrTuC2pHluJxIUXQ3Fgdk2ZdkFynbDBOj2qvEH3f+ZH+zP5mLdibLcqfAakdaLcfVvCAxuau7 MwMGmuUuy48MUYaynunVtybLdDv6ZbN4JtdmdfT5LLqmBn3OnxF3En7hMmd7QlcDLTo0oFfDJXwC BIoWJ5nkky5JkClm686BfBQie2dMIYcvrIbknnofg6RNJyAWRQfTgizOqB8OHTGgHHHfQ4VDW/Oe 7krI1a6jMGiGEZ76L1UEPGrXteBLvee71SPwvvTS5eKBBnDEci8HuKOjF8P7HBJc0MGx87L0NQ2N Cv54XaOKiEW9hVu2zJ82W77JxojH5F8Thzloh2hfsoQXcgDwFvR9KT18KMot3qEaFGdhnHnPeENz YfFvoUX7supo56B2gqbfpQKcNxdgj/OFGk1xOd4TXrD76ag1aQ3aZ0gEQSrTHQVG/7xzpJDUl1/7 goEEGk2+L2nKi1FXV7+Ows3VKfOLNtJIZNq60QjPct6pcpEUPy3aF/BCFqICK4FVnStEk2zi7p+e CzUFP+/8KPxqfOJA98CXuLAL+9jQTvm806NYc65v1SiBthIXU5b2ogazyBIC8Q6IpW/fLc7hOA+M Jst75+aNrRnK+koJDECV7qYIpF3Jx50yWeks9l32o9xMrdl3bhTeU42XImPDssLOu/emyZB9mJ93 alR3+BaPDAMKahagdrQ178kvhv1MYQBqkt4We3IV/HzAuSjvAvKDOlJZ9kvNFTsrBBitL1He8sOx iAAirPFMiU/BIEwYrPpYEHN09nknRjFRw5V0WMVpUaVUr8b+lpPWzzsvCsnMXd+vSb59M+7sLP0F gKUBJKKdoQs5ZdAHf1sUCAfqop2HJs9/1bs8z6KdOQOtnAHnEst3aYxE9BHClbc9H+mSvfWe2c4w zSNDS4tPamvTGPtiUpslwIKqRpxBDg2HWfXrsgQYJxsp6lKcKft6nKndC4OIv4X/SvY58bfcOjP7 e2bQrJyFZ8YyHtQGamPiPKM9AVVrd466R++ZRGB6jRWaHWvRztRRj8rD3j/vhCjevr4znU0tqqfc Hb3MLAeumF5A3tsnSsfxjLDJ9YmSbU5TqHknRDGd4cjkCl5+H3j54O6gK4E28Wrs7WLMSoFYWKo/ xSQw6HzPP3W+6W7ri3JgfLJMZ2zfP+90KLacvJxkXKF09PJE750MxYlA/WmiiTzyda49VuVVBwS1 R5p3LhTrbF5LpHRo8ea3XdSlEqxHtSppFIPphcIYgiX7me9LdOKdC8W6qdLRZbdYHF++/OK2Y/55 p0J1uappa5QwY0rsF/c7EwofFOx3+mV5cCmlf32C3bukeNeYoV/3ToRi+cX7SSZJ6zFJAhyExJ6L UGM3uOMdyX0BRo1CmW5EzPH+Ow+qSxwX9LJ9YwlAUXMI6z6fdxpUf7TrdHMvpKNgw2hnshQYFa8l eNUBsEUqExsw7eMqbYOATH5OecuXWyJr6hWW23ZoLMBAA40C+uAaihbeSfu3RAeQybi5yS98J0Hx r87JGoV8tag5Aw2mplq7fd45ULg+z6/rqSk98iT4nQHFIEwcyA33PnVnCJwORMihZip3Jmv7qruw Oke0XAJwj/nROEKhQTepCf4J4gnOS11fL+Kj93zfmcU2+KRDnBZlewj5R3XT+LzTn7CvJdp50gqw r+kc35n3NBjD4PnwWMSEHM7xrtfF0Mls1s68p8FeCqPnu2LxhngBLdWSPpAu7fNkRrI6wHINsnbn V4HAlOad/tQdqWQRaz+LRvpHI3fL9sr9vLOf8FvW9m107rsc78CdyfLgzU4npNckotBL9YG+2r+X ChMxaXvPgwF845ZQFkGLLm6w5jpqJxh1WO6yVYzTA4yyP/dX6XT1ntnOaE5NekftHsnsIrQfISwI duY9D8YVEb1OHjQA86qnwe/cp+6C2Hef4nGGhiCMM1BE5XfVAcHQzrynwXZzXM0JSF/h4hEYSWrD vPhALmEXQiEWxtTosUDKPjrjrLXfqU/d+f1W8osxt6IJAT65uJYLG/OeBQNi4wMDWXogll/vQbzz nhBmqDNGjAhHbsPNzwGu2upcTd6vvJres+DoQRyxUM/DQj0oiwH4JnelI9/jBqKVBa2MSn73/eKk 30lP329pkJjNhUnM7avUB2D/znlCorjar8YVVP52pDPvjCecmMX50tL9bBdrmLFd9qIwyh/4V3hn vxOeepCXeax98SbEHQfWwzS9QddKw370KmE6vx4xEtLfPu90J86ICB9f34X7cnbbomXYsf68s526 A4ifsgm94OgBv5OdutTe1OmkR+ikTRo5GaR4kER4AzP0znXitcT9uHvG4iHGbtOOchWCAOjSsHsO 2Cu1z5owMeEc9U504q5Q0Jq1rhZFXvvnjopJvVzyGRXfFTyE+gmgXu1KlvuORqo7WheAKFZ+x6DH dQk2VxiCdW9AvJOcmAzxsBAKpsUxDqeDwUB/UAhcOUAEssTgqNAfSYcl3jKpl7pMFnDnalH7weKM agL7lz/vBCemn7/gMJBk29eLpXd6E8ICUJmtObsU17OjoccMMu5lzOA39J73RiG5ST/T4g1Oth8s bye7CUaVMj4E2Mvtbh79S8ojvJObunPUrYKUHDohEp3pXd+LjRmL6O3zTm7CvsbE4MSBiTLyndrU 3bZkdNdUQ1vGtRGOjDGJdBhOi3tnNhFMsNXzHbHoPup2q14ICbDFCTFQ/gfdz/LXUYAt8XdWUw8R 9I3elC8qBzpkWZnaTb5clvSeX7tiv8tuntm9U5q6hDDs39xuWWKx7zjSYbBCQEO8B9LhndHU3Z9y SZByPYKUaE6Bdw79FM73b3XiO3l/1GFpz4CJzPZ3QhPOtY8k6enCRVjWSqGtQuHOzzuficflV0tG Xt7REn8nNOEncg5FUjavaSpWoFl1FtFU6HCS+MkvKWv9ijpK/1JflPMe+z+94A9sn4AVK1d8Qog1 wOWUvtXOhKPjxeedztQl42WZy7klFtVJDbv/QxhV/7yzmfj3398KkqxQ4KK0M1nOOxoVn1ZAHmjp QMgDxVXZgKDpOs9M2vtdmkaqm6f8YpNwAVE+qDodSJnM60NJRPWNgDhpNKbimiTBdzJTdw/hVq8k WO6K6GsZqMS9e++fdy4T7904NHy1pr6c702a9QIwOnuPZhXnpoQ/f2dvLYxL3plMrPCmgCA9Fr+W 4Ksw0DbF7G03nsnFgNwQIMYX+XCY3b0TmZ6OzNrSfdotwM9An7ORZ6/+eecxcRCzv98Tjzj+ftqZ LO3d0FNbd2uy35biC0ZvUsJCyO/VVZ/eSUx4b82WznyWaFZZeJmTutWYrDYJpFIMApwFNJjj0BAT 8s5hYt3ChJe0dC3R4tyya7Sk+vNOYeL7+aEJvVDQUTzDe6cw4UfSt6JJiBCzA6oMQxALn2cXTwfV DDK8dwbTt/vbNezv++t1DtdUSNDY1ixK4hL+gDzGklbQcuPSphjg553BxDk952+ddU6nSDNDDSQR qt9Tn3cCU3yNT+pr2cyJ8ds7gQn3SqeXS13BtcBo5R/0SWiCTn9QQCQGzsw7fwl/E00KFpO8tZ4k rw/GHeJCIJYyptwYMGaD4PjEiNXxMriJP+/0pe4OSVAuqrEwBncNBxGD5/q8s5dY/e9f1zY8taY3 8d7JSzgxANqCHukj/j6CxT6GA6zsLao+pnfuEseGQsoIvtkeXRHbDEvtoHpxGl3qivTlqE0IrVQy AqUvt/09kwhM54A1KLPDRTOmsRohirYv5/POXeqP15jnv/A4G57PvDOXmHBTaXg68nlQSfkfCo0i IlDUsqk5zn8l+5S0MdKHaN35AwctzFv0Ka0L7wkOC+j1CcEuDI781oY0JH9CcmtzYy5xWVqEJOpX V5O9d/u8E5d+Y6yackK7GaMyeOctEX3E/K5Fprfc+t1O+Vme6aHTpp1J+74cZe+uAqE/ZeSghDfU FW0tmMwIUYOZIDYJYuReSKIT/3knLXVX2QEZ/8TCOxv1BMMEJDc+75wlttN/IYlYXIyY174zlngx 4f9evuZAW3G4BexDHUUpsF2LNTYmTYF1Zc/6LL4xt2B2UvA6HZmBHEsxWSBw/nFHYmHwTlbitij0 smzqAYYc9s+73MECAjrNf5/QK8ag5b4tQkwKfQDhugsSQox40XBy7D7dG5QkfyHDU+iDOpsSE+Hi 22I5r/19Os0MS7+dvNsFi49DbuS3YBp6y/fISzMCC1HkZXNR+w6MZkE4G59OrqTuLAIXxyLeJjYm S3+pyz/6Csj83NfnkT08JqgqwhTvnaLEjWHJtNaNxZvhHXaymzbncK2exdtZEOZdLCTXVzL3nZ7U nV8Eh8wbC/dlMaNmvTTP552d9BtCRP+kJq8y35Ys8yVtjZeG6muqpwvzu10AYs+gJ40c9MvzQpcT LX5XY1MoEXXwWfYqSWa2tcHB/tJpCccbad5bJBui7Ym26SgnpPUsiOHpJLubv8KLXZ4YQGtX0qwX yBT77gUuQ4NZgLMxaGEp24QwlRhZs9eh0JSy1eJVZOnH/pCnM+sdZTWRSvEXg7zyrl8GNoq0z8iy 3uMdKk7besjHTwsrrFsAZ+fT2fA6pm2ivkHxwavImSW9qE4twDf3BCD3TI4JhIyigTepm4T4Mt+T XqQI/DwY6rX4fACC2UtgEHjPdJWZHOhO4HvvowI72amaOaGNI5NBt2pdCYwwDQ5djKaz4+mEUfCb p4TYD4lObUyW9AIuLXd2iZafMEs4OwLvpPoNNybDOywO0XYj5oyLNgbKAxc+jEh6zyErDxzJPeVd xVacs6/5Kc2s5YuBJTWDbyxCOczDdhImGwdPJzd1hF62fDGdaEHKSZNeCOEsmftscve9gmzX8eKW bQNhjzJpZi1fkiAWmCqxPCCZ2jAP4ERpytgcIss40FuyZA+roOk9/wSs2lt4kB2CaJDbpa3T2Pvi 6YTZFihxcrgA72/zOTN/JLd1GWShehGJoKNB1h0J0hfhDiAsZWmvmBTotcTil7X9t4Vh9kDqrrQr Tjr0gkEtnL/0ppnGzCztZb4Lmc4Vi6cxRalktQ8XTyfht0Qaw72xcmQH5mxmBDeGxAvMe6dUnOYE GPZsKl80Wr/b7c1Dk6a9gm5utR12tB3ObQcTK1iUwtUkNF870lv8dhzqeyLTit4zG7cd0iBpmtUg TsmR4rHChV/TtDiOp5OdiTYe607kXDdIFzPPewXcDI/dMYOpX88Ipn5zIYOZstwI4WSP1xcvlSDe sGFTBUD1WZtnBpR9zJonLq8HjUfriJmhfre7QM0Vi3BVoymt6W3z/bLPaXwDcOU832vImXV+AfKF zhHxDAScDXFmIRNA5Z11yFJgAM4zX17W0s9bj34ejBBKL/j1MdGHjQbViICdbkhnpJ8nVBV1gmfW +WU7BhqXOxZuzIXu61BxvfF0hqqq3xyPsBYgFrQz76kvprqLgjI4FNgZ/k2oY4VJkFxBkQHqY8pS 38ujsLoAZz0AZ2LOdmgaAURssZnMyYHEzsIo59xPBEamjZ+QXU3UoqRuqhb1xAevOGT6++DppLoe cWerRYGpecCqZob6hfZ1vdWBMRUdfWfqNHesltegInAGd/DBG3kmiz5GSCw27uljpQ56Lw2OBzRv t4CO6x/eCO07eKNkFn5Cks7ImRkNI1/UkbndxZPtf+Lp7NAEgvNHg5Tv15RmwBT32tdt1UaQDOwn TnedmxBDUZjJ+r6nODX/xuJEHZSj8ALnmaELN3t4dEkEdgjxJjbG3zOrJIWhvy0WDQtuW6qRLVHH 08mZ6dERH+xVoV+A/FCE2iwHZnUw1oOiFzEFKPrjGJk1bjB1Vtb4FRPwNNLfuPhoH2zc0qXUCWDe chANChcLMOPxDNsk6q8sBZbg9iHaUItS4AL9Jx6E0fB0kgKvX8M3CAQ+eLOVpcCYkmKix8vH5R1o glALRR0mZCiXCy2uvO/LPMaBHuu5mhqaAux64WpqfbjWDJovBZbVmJZF1XT1nhntDZ1wpnW+qMqe oy8pSo+Fp7NxrZ8Z8gjJyOpB7lo55nfgZHnxCE9OoUEGorHyGXhyq1u1ss6vlGP2ksTD4lW4rDrA uHZeSDsBDQ2EuDMH2djeP7+mKLZ9Cz8gwSjSbrjRTlKLBtkW5I8unF7xdDYq6L/CzIEGXRyZLAMe GC+BLKX2Q5MVPOQdBHX9l7zDyjLgQ4rklujSvnzthU9pHkhhLxbaq5dBiP0GoWHQozNQeJa+Lfzz fyR2kQGhReelQOScMWYPPJ3FmF8DlCUH6jguWfrLL4mW45pHEkbACKNtgecnL2JuS5b+yvdrV/U4 a3nAQx2Gn4uFgYXHpq7MWMyC7dxv/AkD09r1nsmXNIUQp/HnKTh21xsQwuovO394PDswvzjqjVzL 4uXkSvNfWIpDgoWzNjSsur6fAoUjpnlI6KU1tLL896ixMEXXmWH+fuD1AmBM4cVkhcJwE2ucLHyf YEkoyFB6Cj8hKSe5J7f1E4vf2PBDYlfB0ryVwX2fINNUaIOoE+TaleJ9cR/3Rbzv4sRT4QYj0Kl7 qc/rlfbK8L5yQgUxPRaPv0DB1EHsg31NluyoxVkR1iFwGcOlSRz0SqUesCNt0IBMi09q29DGHGxM mv/+1npgm6Y8Ahgp8oGKj8cVJq2+2VJvJQ1YNJ2GCYmCb5b/biEflqptmdRXdiDs4piblmAbzStZ adBAF9WjtM10ZPBXxU/IchlWkUs15VqPq3l0f+2E4+m/SIOoZEIFt31j0uyXbQqRAAkVrwL72rfk dsP2LTUfu608++W31EQjbSUOjAWY2TssAE+zM9G6tPOYctd/E/jp7oKfkGS/TGGsmn8WEVGAQCMl ycooPJ1U2T0yGX5LNF+NOcrK819Uk5TCRS6zOBlDd9PSvcV2DYZBzkRZeQdY/UsRJst+WjN7TghW YSgLg5VFxkqnoiJnI4BdR2scFGf8iGwkSYhVZZ3NRSPJXchHsHxxdjyd7E0MsaVlgE+zV8eE7LQH 3DmjxQ4hBA/Hg8PjgD1xSzEm0AasJ3euacYk5WrkeEMND9NMep92V6qnOq0VqFgK9aPLt6Pn75nU k3RLZR/OF1XaBdhHHJsyBp5OtiYEiKo6ej8zZpI7S4DBE4enCoWXoCpTZXjVCX1rUpVBAUtVmbQH LPDZPc/iw5QDjgWERY/9CMy0yQdED7hBrxG+2yETCCwffsIfOG92tu+NRWMD+0MqU6uWAO8U9huB ppH3RAxxvx5rdpYBF8obXJ+1QU3RgZyX/gq8t6n6iFizc9ab8OG6nOpzOVnlNi/vcXh67tvdlgaJ LP7w+zxUSXKyd94FZr+KKZEW70E0EdcxK8PTf2F2OerT6srhkWZnKTCNFlzudzysFDT0+nCWzgK+ k7f2TpvAQsvIYZqLYzlnucBzT2J/m/SqYGKEzlEB9uw5M2DL4AckG8Nq8hI0oYVn5sARk/28cRqe ziQC2xcsQyw+EITamCwJRp6Er1aSrRGMwchuk4BfELuoL1n4ryRQTgliLHUiVujhbeBZa0HKAtUH FOxVoLymUROyvUf7reo9k6+JM6YiDxYughHhEPKewqhpZznw+I2vgoZLnU8ATnPgTd0HHAYkeoXX B8WzbxMGDXQSz4F3hn1w/r5j8/aj+2DH4hxK3FvyB4VE3xkkPodEwG/hhLOKn5AEYIFc1yyx6MyA 0MEAbKUsns66Vr/gioTiPozsncEf0A6C0omPs7uA4xPFfR8Of+DvzQicNYGpWGdbMmYsPrjtcAYR /IFDVtadg0a1aOtClfuRzi56zywCUzCQjg1aVFLaX5BfVi9r4Om/FNoaNiGzuV437ZTyBvgUFYol 1sQeCRt6hGVTh5TlEHcmlTxzbOuascSsyXIYDJkQZiCOrtE35FZBWkH+4Y7K098ya44zjeFcW4sG /VCyYbtlXb5dsi8huSn6Usd3FHd2BoBAyI65LamAQyA0+yVc5Rc42wi/WQ68vPXrSt0n6EuWEQwQ O0msBYRtCGBFMzN1rp5JE5tWO8uBhyAQMt/hothyYfzyI/w5ns4gM78gEBWzVLyCdiZlvGGGLD9R nBjUkyJ2TUJqcUVdyP0zBd6p7sP2ntWJJaAhB/NtgKIBjYJsheYKmDHuziPjw0klM6nsmTBWAwFe izrA6PxI/2NfPJ1leffLkWz2J7gxMzhZ+ku39EJSAKqmSgQmKZKE8aPhCUFhZTIng0C4Jun+Lt6B sNwX9rGERA/A6uUEhmA1ZSr0UAE5TjkpBOIKR9+eRVXT9RhjJ7Pj6UxE5en/Ujkd8jLetjppAxj4 1kHNTNaTUttZqNSqasw1JU9vMeak+a8rhUgQLhoEIKnDukM7M4CH4MVFT4dKu6X7qLXKOe+kDeBO GD07HVqU/9q2kzTV7+14OlMK6d8jU4ng9DbnSZV98akvdRuAoq9TtkyTf1783+1CZjCCzEkxEFLK I5wJ1xJedeBasq3oRyh6pLzKdQYVzzCgnHSvaqHtO/ATkv4vxyiXaE4tPDIDbkbCIHb+ln/RseWX g2xtdr+WTsp8Y/ZbSJwd7llAvs5Gp5+1ZF9hInNS5K+Ifv+RamqwzhvARKIxDky0zKexQQTDlvq9 mLreM+kBq4gkt06Lxtm7CgXcbb/w9F+iDA6IpVM7+g8nS34J6riguKqUhOwCUt5+BRW/lOk7vi85 702Kx3fF4vO3TSVcyraeZXUqe379SvgYmmePJbDsCk4GgKAYUGs0OtCiNifcaeiXbFk2nv4LuQB/ WHxFgVc8WerLMnKMM7wzPvbxauk8eMW7vGV1crUH2cYwjZkh74e5JKSxye8SQIRUyg6gE0VKR3sS mcXU92Twh0NS1uIvqIX7sjC24nx6zYWnM53sCL7M8MDa33FistQXeELM3EbgrEIkrxCkTPVj+FJq Z9LUV4jeIXPFEeaKxx6dwHBCptSihF0i5HdhgxryiYakTInMxYAQPyHZGWp7HYhzYGFzDvbs8BOm PbtFQjz9N/1W7gwj+IlDk+W+zGRo5CV/gtOl6AUH2UMbEChSVdVLJ8X+TiEfZonFD41d+8AVUqfJ zj1pE4tuYdQionOS2+OxNX6y7NfFU44Ef88j+HtLkccZVPhP2gC+43tjO2A9Ds2fiG92b5bmcg+0 8aYtijQWIffQY5R98g6wgK7nxBJw8d2hNdmplAfElmzimH9azXqfM7PJIz0Z/pf3EmiSNRaW2Ag4 YurM0/F0Nsp+2JIWemlz5N2qk7Z/6ajYy4MWn81px7KnAsoKahWSQk5zXxGtz3eJpsx116HTB1tL BC3S2wj9A8AS/MLGoIo/IctklizNdiwefmkZgFZLW3j6LyfmervKLkY/MTfLfmEq2Do9QhmAm4+b 4OHowJDBziTFkHPRX6a9RyPHMx5yV4GL6OGQHxIbUnolQ7tBQIi+N74zzd8zqZfIkdwsWLWoK2Mp tUIotG1vamnRy/db4h+6BDT6vme/blQ/79RwCVR1d/qgcqaoOmOHTHSu+KCCoMxY/FvqGxaugwF4 gXnC7LcgmEDUHh1Yv5ro+4SfkNTYg5NJ9lC1COhqnxJxUkiT8HRyNQWak1gHSgdjEqCtSTHAmBXY Dd0cT9+rcpjRlksjW9Yazr/3zxjgTY6nFv+cGo0W7qLuJKRueYct2q0VGmr7/I0w15tlv4zsgMqu WBR+MWO+Smkvns5ob7/mb6ADhgrRTVlvCDKthd46YMwKMr3t5uCHXhwyfnPwg3rhu8cSua+FYsI/ 2MbbFF8ABss2p9tNO/oTfZnO4if8SQ6jHMFmThSSuOmYUSLLxtOZgOtvnqSlb4/nx83Rv4A/jBla O8LNMPrW5VwdqvRyY3LSm1Bn3yVmBQcOLpCxhV9QV500oDpXEbHRDnfjRNzx+AFZTUCR6KrgWz34 jmnvK/lsi2Cf+1fZBx536reGOtNNtc6Ag2NL3JXoT/Hsd0/BFa32Od7Euyn4gdXS7tKM6U+BbclK 7xAQhAjRgvWCVBCA+51QqntaVbfoLTPfDwYY8uC1qPEAAXrmvnaoPze1uPit+tBVyoZAf4Z8KJ1X Ub/e3ERjVk6tQiuiiqSeAPclVTrziZsa4vfbEMeMfwNth/aDbRH7wjIgwACCuoFH+Cq8In5Cxgck UQcIUV+cY7A5ffkZlufh6b9RsZlh4f9PezbtTZr8UvdhuHAV9BS26z7Q5km6D8ObMjdFP4jaxTGz Fh/yD4hByoHKyv3ukuuXPYoD7F/YAjaageInJDlelds6UXkiyrGPB5CyZKL7wtNZu2oFLprJ1i/l wJu3fg9BD666M6Uog3duZCJjJLlrhJk8+e3KYXYsnspA73sCNUJQXpccJSpAFHT2zT45HnQZ+AP+ AD+DgvGNRZ8ThLFIgLTziacz9oXDojm1RTcXGhS+M1n6S6L6oskyJUrbdSeQRsIQHS+wRzozGfoB MgXo+WpmsB7ODkVOZiPreFpe7MwVYiKhuo6z+jg7DL3nn5K8yx+kRXjFsQnktk9kHjyd1ZKxNT+S I9onlOhLlv5S6mVs78tAKSSwD/gSB6e1lXoYU/9MVhlQM/zoTj7loTNBKg3isD8Y9l9A61AZoOdD uhSIT4GZ4aWNH5FwA6tgRFVKeTRNQWYy7WDykFpas/n8X3CL3iu2/yhwi7WkqmcsejGu7pR+6LJF wTsTYNVgs4mZBxXpS+7sxgi7ZcK0n4Kynrs6yb6nwZBJvO3GcRF7bI21tqSsyvVX/cOkv4E4HgsL hEJXsx8O4wYf/xs5W/vD4W+P/Un9LzARxKjsf+m2+KkKOeuMsK8tGQwizFLUKW+PJifI72dMemYD OcK6cHVIWzQMx8Z6tuf06m+azfsVdfaNReHYKkuRiSu3J8uGV3BLafRF7E70gmvJmsFsaM6nGzGp RsZr/MT8YJOkJUOZ3O6N4upbk5O9Yxg3MCubyEcgkLEJN0EPS1NcAJHr41bFAQJ+RNL2JHP9UFFH i+ruepx2YPUiH88Yyb+0+9no7o8Me8myYgqSztU89EzyigFeLI2EWzT4iOhT6EltMITvlAbw/qUB DP08zLSJY7T4XIducjplwTjlaWOh0tWPSMYIrKBK2y0Wx6TNNURLhkZ9SSERdX0zY45J+z07tueP neFCXhIFIdaUZyJK5C7gCIys3IS0pK1hjRL+M5fr44CeTBGnidSGyXHHrIHylIip0czifY4fkeHS BEjrJRZlgX2TIAUH7sHH37enhyMGMhI253YMoGpJdSEQAtqe3rbpY3rbhkw2WWLMQJRrjp6OeP4r rQ1lxLJpgYH5PwD17Nuwo9VQArVnoEBmLX9EpsrDxjDlpbRo0gJjX/7t99UbZszB/R0pNIwszxOV c22IS0SEi2tD58bh9svteBZlpHxzshx5FY2wlRD2pxK37NryWUDJ7dYCwoD9iUEBgU3IYPG4w9aF 3jQpIK5Iyt7262FXQBVQNiva4OPZhO4XphFtG/pL++6kafJltHHhxaaAKpRwW4ESDunxWjKNiC58 8Bk9Fo/KDfBfgIEhe2vx+pKTStck+vT2R2Hb3VpLqgq8BRsRJPa4KjD8TjTKBPmcjycf1tP0wznb ROiEBU/JBdLYGGohFcEuL0D39gepIRURItu1pK1isSvXHLFod2xrztoFRgdWnsDFimAsCsLywzqP meBBLsEfkbVx2ERnmq1Fd5blV+xp9sV8MDXI+JXwVKrM/rL5TT3iMMdshQ3ef/RaqrEscx9KeNC7 m274lZjEVTnx2uV/niUw5qg/O4mEG0p0Ugsr+M85UD9fGIniTuISh/O5yZaS+uAeD8unbanyW3XP x/9WZ7HusJgTKp419YkD4aGpiUbNiOlig0hU8I1BQQ46wr45GWCiFIfYjFi4ObcU8JMXRYyALxjU ZLQXRTsEEMwHLsF+Ts194qQZcamxx4VbcyCi44J5nY8nqXL9rb8id+/n4KSZMgqrNR0CG7IRKEH5 52AJStE8flepVdxRL/jUHotf5xWYfnuAmTK6GDJlJyQaHcBvDTqVC6ZecVXhZs2jZSsmzzFkXDTR uKiJWZxrjT4AUFQj30w5MYvDsJe0sO7MDfs7Hs+UhXOcvLFq7E6WKW+5RDfJ98T0fh9qX9EO+Via P/eSbk9Xl7KKmuCwYU57a2IX59kKHIpPLGqStl29e9EqH88m4cHq1vbAqiMmMTU1jOMsvKAD1P5h 4Xq7G6PJkMYur4EuiAqJxDHOvmmh+updsfgw3DJ+u3FLkzUlTAF/gtmCPJCz5BD4r/6mGU6AUPxC tSYuurIsBSQU33KCyceT0WbQoSRtxGr4OTzvmbLU4ADAd6maOX1wZ3VjaCofKcFO/TN/mvpuEmq0 aHegWm81lTQkroV5n+GhKQfZX/ioB+imHX/TPwK1SIWoDxViNJgz8EK3f4GPJyOZ8stohVpcAe6r iXccmlaY3d0yHA86usNt4MrG/7UOviw/OjmEWA2e/izRS7YbG0hbNHisjqgyLiJpDOAstD6fsFzi Vf+gIVwP0cBaxG85q1If2ZKqy8ezsByfFpOSyelq5DuJhRzEJDCR4V8EUo2zuNQyfJ3AbKGDJ5pk 8jbNvDMkpAbXjFhUSGy7ti0Bp009QmrRcIZ6ODixCCC60GE64m+a3VrssjeygLj4bHwVIuvtn9Hj yeGZNzrKbO/9UBPfdyfPlUGBpZE3nV+PY4jxQx2o1FttcXpSOHHxJHnGot2pCMsH1pIHFLET4FBm R2yz3ocbJVPG1EeOro9oDz6LAEq3ONXbDjkfTyqJIKhKSQWfeD/P4UkhxZe85tsf8MBw8AC1IWgK NkPNveZecoLg/IfsYpd9R9WJj8tSk+kzckxiK7/rX4pHsgxOzeQorUJf0Fg0C0azkXHV/o58PCsl Qorv6Z4+FKma+slhRmmbskN0oy83VD6UjpQ9Y49rKzWUO16dE6LFxetQ+ArA9ZyBuU9NLiakASrq r/qIq9GEjj8hG0mw7zWk6z66Swp3eLocFaSVj2fyalFK8KQNeldGZE4d5dBbbkBc2u9fScTqLi9B SuAz/FT/K7WUIwUfBN4ei5M7rmWWvPcOOu7gjgh6goriUnU5GjzExPNHZNcW5xFUodfC1jL6vkQc QeCaj2eE1fYEZt1btQbEoqa2chBBwH1ICh1qribEqH0OmEdiozZ6YgrMia8chsPvzVMM0NdFioNC 1PJMTgLJimvoliIr0+lptRd/0wyAQs8Jkp20cHts62nnC2r84ONZwhxNDBmKHUbc2J4UbIFvqxU3 soeZkvMPhzAFAFtQuJqhJ/GWA52MSQ8Fv7REbxlqzlCBs+3pwFyyPYjuQIcg8lxPA4xYJP6IbHxO EDYhSJXqQawnBpiSnR9X1RtmVI+Y2xSOQg+0zJ/Tk/ps0Ji7PMbcpSpGj928TrekhW2MqX/mT5KX /5XAh0052HeEFkCBRljKBqId33A+U2KqZ9XcYK42jUFPLM6DKUfeTWNvPp513n+hLnirg1jkm5O1 lgGVsZxwRAfs+LUF2IUb3Z8ecqA19Zg78phTC4eL54RtgSk06UKCFs9Q5x35GZJl0GHCm9sDc5ow s1I/xC1r0dTGbitR3evR4xm14bEiqXSzrE/CnLrMDYRBl8HH9p/m/k+O6IL/05hRiiY2czHy20vY lDVjjL4BQLFParOzvKRNg6NkL3ZBdAxpm3u2v2eGL6D/9BVP6JYwQT0csgLBfxYfz7Jlbw6ShkYo VMBSauozxynYJYP/n0b3DbUziIZ4sBcrvqpcdVizGsZkLt7EuN3uKQT1A1jsHpIbUNWEy7vH5nDi yJ+QbI4EDIcU2IYLsg6L9BwZwIq38/GsDC3fQgtCVfXJdVLhYUi+z12qBxwprw38QdzyyOl02prc c8MBKSUWPzZ2QVmaOHhsiLoQrr1iQL+ICQzsW/H3TC4r1p7zUrKYi44N1DXFlS+bj/9FYAEXCttU JUisNfWaA9K1SXdfvS/vs8+2Zg34RXA1a2I25z7YIBv2WIJiBoLZhM6aFVG7M1dabIhAQo3STZz2 YUocb5qcm0OkTSdhiIt3d6qEDTFL4eNZd2cGABnMky53Wu1OajhHDMsAyUMWPzQbJARjURXo4i8c ci41d5xjt2RdER6oaKyPqgHA4bgd+5+Nd9nk2BQqbV9bSyGQa+o5V8ny7I//hpdY3f4PKzorCjcf z+Z8Xp+7x9wmLtw3J0uSCUu4TDFUgHKEjgJUN/olZymuqsR3rgbPV/js/cVnW6o3UFHwsyr2/VaZ ViM9RaJ8HtkSkmr4EzLiELH896xYoi9Y9F2Nqhf8C3pyqEmGHY7yM3efG2R/XEf1TzE3KXY+Iubw c9Lm5Dhk4ZXEEtfM1cE7aIewt2MH8IIoDlkFAEhxvW1CvoRrqtffNBM8Z+OLqa4Wpsgb8yVWEBb3 +HiyO8GSoYQEPRzqDkObmtvQgWRLXyLVn7c9UhQhILWozKr9Sdl4V18MRTq4RBJ4SPxB23RBR5jo lEb7CoySKnmKXdKG8aZJFkjM7SG2Vwv3x95Tkh3I0fh4VoCGASjZrfaPnVB9rLkV3aZU/lQ/sFFU Q46Ok/0edAbHuRF3UkEKfTJL49AVcknE7lzKCJw6KcQrgAFlgYEOOY9LCYGj/BFJY9ClKOaORU1l q5iDI7X4eJYjxxyUb4YboT6TvsSTTkN0r1qosnU5fsCkj85DuNrHJngH9WdqSuckoiK9jvLodVwI HE9oeB84aZTJSWkD1aRBAw6UORcgIzmePyK7tNjzAhbLF8FTZq+ENvWL7kViS/cL6I+DYn/v9Qz6 Ulc6IJLgyuEXOrAMjr6o7rywOkp8/7Ay9AW1Qqxe0t6c2Btw6c5COYWm6YYYmQwFsNAXZXzVpGgw VlNfOt1ZvCx8cQ4E1FIYShh4Uojyo8CApLGABRHZTmJMh0nfprPucfB291k6KolQprj7+a7SJNnF vtezeNfrYPxceHCafbWagg5iRsmNr1/p/HL8TZPvSrUnacZaBBmEdYOkBtAUTKzpCmVbwm+hiiDd nlFf6k1HB7aBT5qzLI3+eWmp4W7bAw8JMYFrbk4ngaAhYevxCFsPxLGJ2RZEqjpODUFxmDo00EXX Y/1O/3D+iOS7Ur+rUZL3akvYrmh7y+EcY+LUnm6Fb5ScxujvE3S0mhrU4Vy3hq7AQFewscYBgZwg 3Ql83EXTprOOSB3qZnh0n1g8KttxYRJIfwEr1YcYwYCVAlSye3nSwdv8TTMSozjSij7tRFcQqDJN xu/m48mdvn1O7C4NaJpE4Ek96nAVw3Iw7DpEt6JdB+8sgOKkpjT1zyRBmb3QDeSnL/5t7QubDop4 FEsLa3MtIWwMpRafArQsf9FscwaVrTkt5uLQneuWu/0cPv43HTte/j8rIO41damDYnkbM8AXkzbC NOw4lCYj+IIxeeqfyZo6qs3VvmgzSGlU0wG4iaQ0u7iKrG+QE4IRi1n6oxV0/E2TUc0h/AKoal+U Kq+6NOPto/Hx5L4aAaekKs6AKcZzcLJUGZ66bbHdRAZAfxgAs5yAX5xIlROvupCs2EO7M57dQY8Y xmOD/lqWkV8RQW1j2A/5GgON6+/5B9QObKRPLCJH2IaL3dBu5+N/AcRxyEf1nhMhJ3GrkwGfpa9u Xd0goKuO1yFggPQ0nGddWLldnU6O3EC5xN4s4JNpSlzgkqJhILABTEHvc12piEjc6vyjsJBOpVUu qj/t7yBgiZW9fPwv6rxVJmyIAd/yPHGsQ6wQ8L+6++PhLbWptqh+oJ33uz0P/INlnfLj9izRLB32 70AO4VRM+ApJoZOm20RU3qc+X/5d5Wkyg82RqH4wdyzg90FUdLcrhI8nZyf2hyxhVCL9IUiktnUw 622DuAdOQCV+COfm7YZBi6JIfnZy7IWTiUosuq6gXHNOYfPCgs/YypKJwsMAC6VrCFjUeNOMW8PR Z2kjFqGU4fggtAlagql53YkSSzMsFPv92Z3UvRnnwn5EcQq1RJboNuvjcwBHo4ZI7OvwzwilLGHa SPCB4YZrFyZ6dpnDQ4Vdw4Gf0pi2lS+sqRR/0ywVZOOLWp9alOt0DkXxtezLx7OGaZAc2U6GTnCn wZ/2J+sno1ONhtfmPM9+hbskZAEJIOWCMLh0jHviYodDKHspR389dQQEuS6UwnF6JhIf3lmQdau4 AOgF6RNies7WxMkORZJri85YtD+TUyTCCy4fz7rtv6SEcLWdIAB8XlOd4aKInaozUr/u3WHKoDzz 7MwWIO7XRGfYqSWkgAgLXwSlxDi4V9hSwNPuFHFGdifgmGqqgKU4mBJp4GueM6Bfzaneas+imDwW XXxBJMLDL7tib3epJoZpLouFQYWl/UPO6WuaMyoFXWAb2nVk5lgyyAHEzF2KD/EJTHOSbSH3cNEo 1Jf/z9iXJUmy40j++1lSSrgv97/YQFUBc68pQ2ZPyxRfVz8L92AYQSy6PIyaYZGXiIIBGU3RA0gk YLjBZaVtcez267bE/KES46pF6Z9tkBgjDa3S122Bb0zTtvAx/Dmgm8RdeU1vhqi+TRC7/3Bszh5y xRyRhE9LQzaUqxmGX5MbvCy0fTmqtrnoZWn0fMHgxHblFCgwM22Gqjw9v8eDDqSDx2tuMx73ULVx +tPGwZSc9Um3ePV5zWzGg0deyojpTb+0J69pzRCcDLyfEnIEbB1PdvO3uqOWSvoBek1q7Ies7kKI LRbHseP7F1zWBxgOpwFMKpfSvO484m2wwsG3fN8U5lONnXhav22ltZOse9Iaz6yf15xmPBJly/sT yNSqduU1ncETQJT07UkvKP0+GLe8zEnCg3URDVWz88MLCUTyWDzgTqupB9GAvVsc5hwFs4ZNAhNU rR7uw9C3TMIKKfeI3rEIUoFXjP3iCaPZZFMKG07clMrhT0V+yF15TWMGkoNGNeftFzWJS4wq50hB CKg1J5W/JjF4RTXr9Tx4BhMN7VzIz6JP3Gvv8lCwaxoQBTB60PhyqEkhRPI1hUHso248pYx8EQJw 3SsVF/sVPq8JDP7wgnCxGCmSRgdShNvymr8gQGPcMVRaI88DmIGjKYyS5GM95EEGB4ZsW8gktwuv xuJw/oVrd5K+uOB2cZ6iqgJSsx9qMN3hPq+py3CMAAwqZyxBPxvb8Vj185q4ICbJmE0HiCgYMO+5 Ka9JC2ItGYR0X6QAwanLBQiuu/GC/uMAgdeUBbF2KtbyXeHicWVP2I8c6ZJdaNJyEEyguB3a9dBj yIv4vOYrw/UN/sd7wc5BV13Q0BN+zVaGS5phEyiLwB4GvFC4K69tPVxagwnudaPmSbUvtvV4U0rI 7jo04LWpx2OvgEJ4PpcAqMNwDHnisYNSKLZOcWtqIuOOnY9WPJtDn9eeHvaF0HtA12LRoAVmSQ6/ Wp/Xjh7C3pk/dxAh7scDy2s7bwi02zQVYbpyriaZYEpP7ztQW4/KA2m6wpeFvsVafFsu3C4QaLAt dzlIm+h8SgkUMHbdXIvF42svDxvPFvClwJcWoWehoSiJk4WHk8iy/BJiZGaEsddAWVyW3SJTxNA7 muR3qjIa97qbCcSiokmepbfqkR9SGLUotFh9t8rC0NdqpElRBvbIIZCBAcKPVccWcPY9vy0+ehqN 5Hou3BhgBkWKv4NPJwdJdAa/nvsiVkMbk+W3LPzvcUXiegfzl4vh+vE7iZvlePRsY2RUWPyevpG2 2E0EgaCDBPeC/C55k0PDGzAtwC9Tp4qv2eedQ4UXW+1+qqhrUdStRYk/9cjeKVRMcT3zR5rCG2Q0 T3HfGVS4jCY1eD3uthlW8MDLhrwJR2FC2CQ7c4l0PLIeO/Wx6cDrUyqQ7vba2LleslKiwDUVlXiU lLpoZ7I0l4DvirstFrVh9p4S/LGi5fNOnxou/6xrmqB+vLn7xluT5bqoZKG+EEJK9KtHmCmXWm1o w/wgAZLwq7b4kTYOF7+pYeB7YON9wOwA74yX0iJqrKt/54A+SpK9s6eYONLF/dKXjYvwfMApS7Tv YGaQBZrt8RdbRFY9efTqxCdvDf524L84n3VVZ8LMSssjqtht+ZrwpyQ7w3v6ys6ai3YGUqqVIPHT ChjnurlAm8C1h5740mka/i3/FmZsR0osKqNbL2FKguZUsi/iNHmYQceg9h37kmW86Ee2cq54dpar F7lRDBVx9FwAEklx5j3ldV9j3MgnFt8XCASRMXxArmk1iHlWMuIgzS+fzL9lci+RzjHZtNMidKz9 GcV5KaN/3vlSyOqrJ3ekLWLOh26B9iVNeSf1IHtQOkp17vwWix6j7dPc1+WdLjVEScNVTbkbLt53 KTDehcUXYNW7djXLO9MRAE+ftgvdnT/vXKkhCC+x+C0WXdjjarQ4dr2fd6rUeLjPnsiQBVkixGRp LwvH2VpxMM3uISpAN3qKodcHTJPlvSLZHRoGaAm2gtUnA8NazCXR7OqCfir9BU32UXCm6Ow7TWo4 H6LxFvJFIeYSUQXO5dyfd5bUcIwhd4YHhwxIS6C0Ne+5L+4UGjTju3L0xqEqnV2YGlFRoIZV8ztJ Cl9cvbrJwTaX6GICRVPOIIWsyEHG3hnsEDWA62NIPFkTvHOkRgwICi1ktOjOhn2XTIahY5Jlvzc6 MGQf0sJvelHwTpBirYTEorMaguY0+/ycZgtMbfXBgcIoHaTe+VHxR1mHRspa/DR1Tv4jm+lbghW4 Zqvrlz0aJnvre2Y7Q2WWS2gjF5VLdI75QwjN+byzo5gL3e9xmoxVy9sw79wo3Ni4l6qKgEG3Czfd bdUxxFDVddTnOzXqe5wGZ4xcvIy8sxcMV52WKVFNS/TAikKOBC8WN5C6R9/z/Wa6JDqTZeWLbqba 5cBg4ap+3plRw+2MY2cYjin8zZ3JMmAiDiYB+ozA9wqrZ/8wglRHo2O8M++0KL55bFK6zt+TyxAO C8QVuc5WbzqjtfE6LERCx2m6CPifd1bUcD8fiMCuWHSaYFrEduYe+/NOivq9m5iBAmGIBrN2Juvy AuMDxTrpJH3t/OB0Jv7qLqW5g8k7I4pFNlEPW+axHIn7zhTbYjTDD2Y39osQOYNWTINZMoelQeWl +MQ7IyrSGcig9FiEusLO8KWpfXzeCVH/lQIz4AKOFiH4nQ6F40QhMjnM0s3vuCLvLUUFlFX4tGOb /CnJ1hSFYE4juSjQHOKsIJmMXQJmVeh7vAEgilEx0x2JOeB/J0PhE5gAj0lVDi4KNJZJTqUk+3ze uVCjBtsHaAJ0LZBF05KCW5NlwJg92UUYakBluo7zwJBYPRpXkMd5ylq+MuHYlyhzLj4yKdB7BDsX F/eYe0qxhCOTSy2d5+ImzfCdCcVR0tLcusXC1oyFqasc2GrhzzsRCrfn9RjM/IWilNd7M+88KMbg RtBAcV4CZV+ID5ZBsXgJsTNZ23cq+a3yP6/PiGDSZZpCQA28+EkBskmU8AEdoa6vLfHR90xSGlLn NnGnWgTwtCyfihxwZPq8k6Aih+a9rdksVFZrvDTvaTBCDZV5T/OCUtDyBSbscln0qyETtuY9DUYp zHuJBgBafGsm8DKNjs3A4Uk+fSKlafAmPj8FAlOadxbUcLgS4HIjFm9cwfyC2V65n3cSFH7L6o1O IYPxD+hjamvSRBgDe6heqXKaFNamMcVw8W942Thc5p0Dhb8pu93e9ObilRPupHInLTu2HVZNExaz PcvS2v2pna6+Z7I1W7Nq2vlx4XnC/FuuQANb854I+8D7yWkW0SixM2kPmOi8Ev675UG+FuneINJQ 4J07854HQ2qCQZg9Ai3amVugyzsZhOEqtWUbjwQN5Ayo2kdrfPjXzJI99qw4TdEi/NmEJC+zfmzM exqMOsiLbY7pcCRxILUxWRrcqVAyRwzdQvEaRr9HrSuJgvB2ek+DUbJPtlaJYuDi7ZkO74Ytpvew bec7deFCD1eXSqL3/aKl39lPw13ELWySpXEDtGiFPDLtP46zfyc/4Z6Ja5tKtRDZXt4Ffqc+IQmi +MZgS+9S9qf7rU3OPzGLaLHz1n5nPo0wbL6lPEuAHI7V7FYJY0a7ZFuAVLhooL6+hpi4Gz/vvCfM iOiVVNWEqNGE6FYPiT6HYPB5pz0NmVI/7wvQXHj9tC1ZBowBciAbKk1wHmaG20mtA7ty9mbeOU/x wUAL1Vg8yKGFRwA2xJD6IvTHojmxOHg1CIsJB6l3whN3hRPrqfn1DLUWyxOqLqWpL5ecovKb46GA AqdA25Klv6ANAkzoOEXUZppGdjKMUXDLH4MD/RTlQL7KJWxZi6McCKDaFEHqYPlQeu1g1AQ2F52S 9LYQt/NOdWIDia4LULjwhdHlgB7EIts29vPOdPoNuy4IhPsDUzHtTJb9onsDPFwR9hcTT8WXKZEf QB2QKDK+vPOcvueok8Z0+4n40kHjaVDYxJUE/T4Js2GgS24UebkSw6RUwjvNiRNyGgIxcWgYy/zh r7QqjJT5YXaFf95pTv/VgRjx0lzvc76znNibka3W49M8lAePM327FrBK/tJk/d/C0f5lvqAF3/ZY iV0gk4yayUrWIzvhBUfCP2BJfx0G2BZ/Jzg99dLZQt/FVT3Bk5piGkx+uSz1/Z0y2SWzn6P0nvki 0gtFtTyHuWM53mG5RjHKn8DGZN1f+jvZFU1QJpc4SoB+QDnSjtKAjSorTjh7V/k9tmfKRJb7O7WJ uCYm8lTv0KLDZG/LlWyN1QTvzCZWPlFjS1zr/hQF79wmfGSjVA3VRjqVANzBz+U0JoVPvJJ8pzYx +Apa1m8sPrLFC3MKt8ZOw3Y2Lsgl6AH9uRwZKP6Oru+ZZDEsl6C+FYsm2Sg5pDpS+ued2MTksPzg Hmjutr1l9U5sYo3NLGvMAD6cGcCHpiwG2jsOfHjnNX1bVhQDx2iS2qQoJDeHBiTuXIygedYGYSv0 zkQOGUU2CYPvvKZob4Om12PxKdNiSweYgf55pzUxPYzMF88BTtBoR8y9yVLfQfjDmOHjt2ZQTaUE NVAIN29avZOa2ALmXc1XTosfqDrs3SchrgMVrvHCYg8Ruj74i3vT6jDFe+c0MdIwxJCvqEW3NrRq ST22OP95pzThQNFPIw4UVb1GwGXeGU3MZjp9u1QlgYTh8yXSoXCBb0YFVgXvhCZ88ept8RtLlJIX QjvefziQ1P9DczL08y6nNc9bQ2TIO5+JHTk2rY7QVSfQVfjjO73g3M87nYnf7yf7bTibI7Ah72wm XttMf4HuUKdzS/ccWDwKe8N8AYkl8rx3MtOT552qDaqPzQsg26cXuLIBzLpZ3gJ2BgUWNErWc21z SvJ5JzPFtB5il0NL/yPnBWSmlMeqfX/euUw8jfvnegIYe8XGpCAInINL2XUOJ3HRwOrQIsSqFOWz iGlBa3BjsgRYmk/2RpRYojlur8uENiQijf2tOFeY5MCh0oULQ6Bm0IX6vDOZnnym0xdDC2PwsP2m h0G3VPrzTmTCaQ90CCkFrApiY9IU+LDciN441fNjzu/EW3D2dZbeWUxDhB+Oa1csMU+pUI/CPMU2 yAICJ1KA5AAdcjGzDE4y2nr8hCwCs2NF5rUWhZlanP5mEefzzmJiEvw7aYLl2fQM+J3DNGRwh42J /i/JLP8R5HoLqXjKdpnLdwrT9ygViqtw8TeGSq+VTZlpZ/LI7oRyOEiiAMP1WxtCPvyE5Nae9BCQ zSwX4YmKtHTQ2mmfdwrTkLn799ZGRxJdLu1MlgDDpa6tUt1H1TIq6RlNiaEg1bs44NqZFPFLJO+V xcStT1MGk33b4Ula4MLYRsEIJQv6FCBveDmJWvnzTl56wOHSTdCiSX+F6hheg7Pm5527xLZ6/27M wQfDbU0bk3V/SUEu4wp41grjFDHzNUR1V4sJ3DtziSMD1ZGcV3PxjTn4824iIOAz2wXFgrH3pIxr mCWxMnhnLT1VtqUuzyIwUYFdDC8lAKHTBLiPn0sJfJyYFrxTlsjJoG31VTSxf1rRqmquK0eGr+PD 8/SXdZCsY7l4KWl7AT0DGjVD2pu7ZxcCCF4cazwF09C3zHBEUrO/KxZuywJ9TrOCxqeTGym2RSYB HQ2QHi3xd7KSo2zgXOQI17GuK+5dkW4Bvr+BsXrnKo0gZl+x9rl4HtPt9qdtDwxmrcau6oLCcwxO N9/4MvUlk+4DJ9hludq/q0EOQLa0MXZlf95pSr9AImU+xGpN35Y08yXH7dQTsLw+Hfh7QwOVPVpt S4785YsgSTkuwT2B5DvkR1FKAiamdvgUBP7Pl1hLUN5I816qpVFiwBfuS7WcZipAnI6nk+Ru9W8P z34r9Ju0K2nSi1q/Ey9KGwW2pJHaDfGyaaMwvcAeWce30HHs9PosDgkpuwCexV5VgcM0x/uE7xYo 89QvGRuo0c9IgQ/I6O12oz4GFwee1TGcFcmnsyF2lEriwIFq7Dsz06QXILjallQYgXXT+G20QjRw tbDL440AM9+TXuyMmpbqVc2nVwX3OUguS7dyFHcTZfxkh/M+orA0qsEnZONICjqRFK5FUwLbF1kR W7qBpxNeQVxIXQ44gNDH/GRmaS99reYsbuAHCWqPvVFgr8UGIbcmQz4s0b7FFj0PW/QizQfFrVPx FGBUOazi4kVTm7QlZ2LzMM2s9dulykgMbX0wtN2SxqYC2aIkns7oOT9MLvSKASLUxuSdX/j3TQdA g87gPNquOf/5Y+cBCADUAzPr/E6nK+1n8Z4MJD0a6nRU10AMiJ9DqcoNeYEvTRSjCnxC1pMhDZJq M1qU9lqcJ5bAqviLp9+jjFv92IfhKZg8YyYde5PS3Mjel2XWohaP4CGYLbGoBk6ADFtQl7LMd2+V BEIAlx4vjX2B3S40/o4VTchkZC67aJlid9OPBDUzmZllvnBfrI3cO1+UydQ+OYWGsyqeTvbm6XFy jGKnakexNDOqG0mpd7FiZOeKoCGqVXpPz+4qO2KsImea+eqtmftZfIxiyXvX9LFbDVnVNl+QWW/o Mvb6TWZa0ffMxm6E0nsrHa8d9mNeeIWyvLZQjqezu+mnvJa61vVO3sxTXxSPnJRJALZub/9Gumfv YovzlPLd2P7dKg24RH1tSd4kxKpbWg28LIFEiC8w0gJ7P2B5tJOY79kvlKOlTDR2LDxPdoluh4tv fr/sPK2fQEM5Pe+Lz7T5K0SI7GOBQrtyA5h2GrkdViwtTt4mf8rf2Bf7nmfRKwNtGruc8MpA9GFt Jj0YUwLcYkFsfgFWlA2eWfNXHZlCoXwtav5C08gV8jae/gfASjMmSsMXH6TM9/QXhQHiDHoyDVsz NIrcMPexHzMopge2k05TBnsoSmurqMZ1B4zoYrJfkZgfKPUv/XuLfcqjjvETg5HU4hOSraENXada vBZuzSznqMK2PyWeTirsQEbz9UJ7B3AE7UwG/6VZGHJ2UXbssl6ssJHR6wKfja4XjMAZ7MGnbyTU YCG8HS8N3LIKKhW7tsE90XEjVxHZfvsio8E07viEvwGsOgeoWrwps4aKnjkunk5emkBGM9xWnCSv mGaaBKNMmeyeSn+xH5cwqNPFQdYI6ZSZdX6Pst8iLFEJjKtdFwOQ18K+VIE2LkkzlKIAchbAjmdj pr5nUk2K/daZz9zu+Qz05oa6B5ar4+mMWHt/BvwFVWINmtfKsmCg51HPx7zgtnCOaG6CZOch1B1W 1volIWYXZlRavJG3u30ACjiMJQEQKcLS2P8RXbfxuIhR/hEfkPXxJJ83SyyeBJcha8Y6Gp5OkuCI M+yAE6OFOk87kyXBVOAHipbhtk1RuuRifXiTL/y9nXOc9n4p1CQVcy1xN4HEh0k8qExoalBxhpcd hrzgozyV09X3zAhwwj/QhY6LhkzQ21HDaiw8nSHPym8+c6m246XTyvG/9lXEWETHqtFp4j80EKrC 1cNb2LkpK+v+arB2pWF1B0vYZS/NhvPIXVSTgQWU5gWnUskMU9vvIMVC3cIHJHDFIWBV77GonQCI qziTveLppAcxf3oQYO+Duap9yVJgmljTukFKDxq5Uenh+Iy/jOveaitLgR0YsslBvpslLfZlWpDq 7VAUBEl9c5iEZTKDtp0Bx7O3fOHH/43iVa5ETK+rX0CTxpGKaw88nQWZoGTjW+EKuAFUXFn+i+GM /SXH9hhTj1MMDhXaaAO6wiJiZfmvJJcO7be1eCsPIMuqcrJd2J9TnahxOmh3EpjWD7y163smJ0ms Y6IELIFBwX3VhJjzCh/SKh7/x3yJ19AixsAT4JUmwJiRTIy8K12xIBDB80Mtuya1djTceJKyBPgI /yAn0BOC2BZ67gWXFDI/7VxY81FgWVKUsNEFX0JBBhUjPyEpKKnuX9Z8FnEMFrT82FiwPG+lkg+P ZgqDDCk73bOZlSJ/D9UhxnRmNsfnNO2hjzjxD9A8VvzNkL+yRbwiXt/QsbP4azkdLg8Ibl9KrDt2 BGEdXKEYMHGyiJ+fvDNIDDHMeBYxDMYhyvwPOqh4OsllHuEhTdrIFRuezqysATwAtS1Q6ON5KjTQ w3kqxWXsoTnhM6aVNYB9KjmKL/zTYGcO57VgSMJ+dVYqcy4SgFFAApcSLw1KTXzCX9IZCOw8iy7t A4lCBtVz8XRGsv0hTULd9ASaaGUJ8KImk+b65GiHVFWl9Bf5b6f5hH/lCbCGb7qyS1zZFwhxYOAm C+1reag2RkJu/ZfMfwlZXGkCTCtmKGzEonLSgjnFFdEywdNJod1/y0m2Wps3x1eaASMZh6GZ+G+L sqCUDGSr/T+L8g8OcF15G1hIZ74yXLQzdhXZmyG5Hbu7jww1Jt0lyLSGgkb0x5HV4iOyuaRi8D2x BJpoc7IIbh2eTrbmQRPxLgK+pUeg2WkjeFKwlN6DouaShQ0Fia69WROlFAvKnSqc0Zn7HPWvzo63 Zm8AqNAKYbezDDlqwMK04kyN+bWWo+/BTtEPymMwo/KFb809s5HrASFIPJ1szfgF523QqkNfJsuA ab95ia75j6RmOi3C7KtivsG+J+XBKTCTEuAo/Xu2ADM7ADN3sDF5qY2Blv+VuCC42g31OH7dEA3E 74dPyOpJDg0oHKpFs4MztjpP1TLgnWbA+2fIz6Kth2rIzhJgQBUgtT9i1vSIzNTtiR5aCQo0OyXA SUflCgVxH2zeRalaQcU4ls2AtSp/GnZp0HE8D2dy+tf8CzQPva0bCw9Tc/o0YyWezvBnP7NsDvlR hWpjsgyYXsdD8HmWT4ELaaUF/IyimTxLaRNY+Yw8i87jWXThjG75LlQ4SZISqhFmIkBn1D90u9Ib g44SPiCLMvSkLpypcNFRAmyNUcZ+BTydyQVGOsOTg2A3QudgZ0lwJ2eSorTA0i+2acnNnqoI/iCp 3cr0dsp+07syaovFp7Zwc4JSNF4ZqGj7YcJVgUkvsr1HBq7qeyaHScAHCiVoEZQIuQ3Jsxg37SwH HvO/AjBaJfMJwGkSTFdhSPhV9sdvFxBtOGYG+k/4U2trMgCEa8GN9Sw+Vbng/+EGsnvJfo/NQA3s DNozaM18KydEbXxCEoCptjoKC1Eu/tactaV1sBaezvpW3tHj4Zl09ny2JkNBoApu8rsmCoIVDCrK 3aOi7Csqyp31gY8geUMiX+MrCAdXmEbb02ZXuN2erA9wAdIwY0IQLrS0i75nFoI11q4zFhWVlutt aXetgaf/L8g8/IVLwKN3yn5DF22wkGd5sItGB+OO695x41AcDjuTtoE1TSFAW4tnNJZ5AMUBGQh7 p0uV/CIKLQwykYC40zINVXbWBMZtZhvS5rNo3F/7YqmAmTGezmAQ5YfJ1O8XmLczFAQGGQi7rpQ3 KYgElFW5ISBohbS3OneWAjOi2ldT8TRLzJrKAhCjQK4VsyVc4kLusatGUu8za2LXamcpMAE3jdrF vnBjul3Z0uqylB1PZ8CZaOixwVVxlLw5s1PuGzr1duqLXpimPvm1S2NftxB2/NnkT/krbGbKvXQ+ EhD2p62IwEBCYNLkIhrSsN2db4yPJ5XMpApoEvoCQ84XtfN2v/KYsViDp7Ms74ctaTX/HwCouC8n S3+BJrTo6xlMq5xukZZNNC46nqteL5pOhoNYwtvRkHD5VSHrYKBzwSk/JGcdIUTIkmZ6Xb96Kpyn nLQFLAsDsSVbsCVhDHV5s0BBFE9neioz+Ex/kNVSHNoP00lbwNRTCd0HeOlFc7x6HAbB3MH0J8VB LMnlqY05b+CigZNB0GWf89ZOVz4YQSEGIte7j3SrzPRO2gKmCM+moIYWB88sdcqhXo6nsyizfwpt Bl5HuZ5U5pfutGRmEUrfKXwGDzS5oQEHsaI3c3IchFQOpIRGBaY/gH9YIdo65yfH3p1VtxzlOhuA uJboZ9VC6HfgE5IOsGS+6H2khYfJKtWmsUHr/C0zlOsPw5YMONza2pmUAQe2L9iMwdqZauiNU+Xy SlnbqhncSfG/ovJLw5CL9zrtiraSckhoBrWwrA5QkENIHNDx52Lq+p5/0+XshxkwF7UgMOAjUsiq bzydhJkH/8vJLf4TLCttTZYBs/NSmptWVfQmmdyBa+OX1CD/iFuTM+A0zJZg1X3cHaDUNDZsXU87 OFxFLmlDiAu9MB5oKEF5MhiEHPSIEPDFe50+d7LMq+LpLJf5Ef2FkUwIWp0s/S2Ng7cAQYDfpa5V Ey8Omnn0cmMATtUf2Ntls9gXh+gBVTTJgevD0knp0QwQFiGNiCv3oasz/T0ZCEKs7D0VZeIw2T1/ NkvXvubC0wkI4qwfkoEliDdoKSeFAJMIcWtxtNVeIZk3mwzc7QYO2/aTJr+6kqqTMMLkFabP6OwD AtwHBNFYOMxNWCCpQjtyGaCM+AnZxpCnLi3XS1X/P/TdPmTa/wEuEk9n0kS/JINL4z0vJk+W/HZJ E4mRjleGxaT6DyghkeWBLc6K6aQQYJVKXYLI/fFNXm0N+t/Sb9EuLNmjFXxHCqGhFnPHPHbHT5b+ FnWA6Z+rRUdp3F2kKlknnn4/SvRji6PUpTYW8TfLf5cUGKZbLRZpwtElhfhOSj8EOPrkLWCprcuU fPcHBQzIFl8RoENApKPQF4XyO2VLH0AR+aQngwEvwV3/v1ISnpblCjdzOp7O5tmR5bFl/wubOVn6 i24t+j3OPx6E7Qs1zkqy0wXTMZ0nVwAW7FmSF+uBR9dxoEULBWAIxF6iXuAKPOFIUeiw6lc2wgg/ IZv0E8xJsIUW742XJlmL3RaeTq7sOEzXe1bAU2tnbpYA85Xpj8TMGG6TYofJbaXXpB0SpZGz/u9i rXSWruz1XNl1oBxbFbCZfi1bdAU0CJ3R0vyLtZLv7c0SYCBMgOakaxUXAYoaU60ipdubelw8UwMF Skpj+NV03xNgSGksgk68ZnLVRVxNfY4/IVrq6JCbu1yIg1yfxatJGHIWzOUtAtv/CB0ykZeDSPwH NaJfTazM8QlJZ4biD4UyP1r40mzYMA+F1Y2nk6uplJ8ITNn36XHmphkwGp2N2svE1Y8p7ZAhwSgk MwfqRtqZfyCBSWfV4juzAZNGXxsScXAzFPDeFnzZ/R3bEut6s/y3k+U1z5mxqGa67PEwqb14OqO/ /ci5ghYYnig3y35Lo/7DcPNxSEwoyIyHtFMEapz8KcmNLUx9YQl9y3ioTAP+0Yd9cfsfSGqzL44+ Nd4A4F0e9/Gr75mwU5i61iFISZBf7AJvUzWPbRGe/hfbgHjhAYdbT35vigFGlT3m9onB2LW6/MMd 3Uk7sznb4P4DA8xUX4u/LwPz5k3R6AOxDXbOF0cbdp7wxwszRaSw+ICsLCAte30XjWz36tN9xzue zub8D2ixupxrqGnf1PGC99J9mKQ7BL6qbihkv/Ifx0FKERAkQl7JtN4asHG8I2AcL/K84IgchjuF XMn1Fca4Rd8ySWQI5iyU1Naig1TnkIZVL+dzU/xDVNiyepVjoU/5b4Z/wNwd2x34hxENzqNWJxqc Y8S1lOEfluQNrmtZlThLxVKpOhflJ3Fbu2cVu/9WfUtJ8AhlFd8zaXHyLI0tQcF9b+R4MCDEy1P6 +dx/t36JP0BGVkKZ8+YCEOyOHM9lSmma7oMQOB0AwaSVW/MPAMR2QmRo09t5tBi1QL6AZuklzQak Jg0wAQAMp8BGg1B8QpLlER3d3KD0YQfa69e9K94Xnv5Hy4pZXlWRETd22v9FkW2JvaItpNjFapqV SF5OJqmdyDiT5r9Sg/ORyny2BgAIq5wurVJgd7glAUyJeTuk88nyeJfhA/4WZy7b6lp0niyDZC4D oWE8nWkcPFJWTDhhT+stq5sqABcSdpp71C8x1ie1zTVd2cTM6p3JEBDUe11yYdQSGOB2AFSkzjhg bcqTge+skM6BLMdj9DD0PZMsT3BOzbS5CLTYSObAEZkHT/9D5CuEiXYMUiQK8LozmxwvYjgpqC16 OiqDCtQD0NEyGJ/6Mck7w0sJ4imxxPzN4uVmB5j3j/T0OjR0O5gfg4pWgs3w1sZHJL5DVD7rWwgI 9kiZmsCPiQfKXvfN5zNQvSd6HOzTna3WZ39SFbTJX5goPEr94zwSVg/d6f4fWqsCrqv9SbNgNWgG ASJcvEFzgUbD/27XU+lDRSdoGfa37iIPPLJW5fpXzQb+VBRsZFH25ryvBfoBX9VhP5ePJzXCgxHR /kgdK/YnBUMUqo6fG1oQ109WPTUqyxkzSsrtvN8EiiXOBryPzzjdcaBAhW4w/DEIg5g4tQ3fjmQD bc/p1b9psj2DajObMHsumq0cNJf/ECgy+HiikxH5MHen0ugsZPxLlhLToh74JTUkVtkj5pSsOIE3 bDN0/Evu/9bfC+894WCLjnCfloVIRA/ejJhu1z8IleFexSECPiKD6zG5qZKEqCEJMS07kxReLYOP Z/yvuK66TH8pPRP2DyVLjNn9nDzZnLFQmAJnqhA5RlkIeCx49MnawtJOAdw1Fh9xb7vEz6Aw2sJr xVt8LVyBMC7D1eW9LGyiPiIT9GfRQNCjFvU/AZfkbX6hWl9SaER7rGaqpqX9IcjVkqXHuPHh6CF2 aaW1F1mVFlCXACSTWl16fXJtYE0S6o0l0kAAIqDQSRNX7BVfH+DzEND3fFyRN290fEQGT2OT77YS i1g93V5Q6YDVwcfft4d0Kb4+yNcAwYJeS2xPliSDy2yFxnFbqw6KlqDl3eXBVhcngbEny5K3O07K JmOHTcaB/oTdV9RiHFPsGHRC0dfDqAIZboTmtf2bZrB7UnIp91gfuccJHJ9Gs/vqG/6Dk6u/sP3j ObE5qVAEJQd388kuqjjfHKq0sbHFFpQ2J8uTl3al6hWqj0oPjFs3vb4QeuyHyumAAx7Ke93ioadB B1vfNOPkemtLfGUmMZSw70S4Yco7+HiGVNtfnETHgGOsx/grzZTRPZ8rOsXznOCeltkCLHyeVycT jOiMOGe1EUvQEuz+3XhJ0KoAvUws906GzSUPNHycZOFaUp1ggWs6TXm48NWxYHCU7lr2wMezk7W+ Y0zpcTybk2ulNQp5uitP0wsDtGq7K2Qj1o7NSdvFV+BygSHG08mB0xH2mYDhKSIP+hV4a3iuzmMv CDaMvmnSyhka060ei24tWKpykLmYEqaWGY8DDf/A+MMAPKPtSV3jgGJtNexbQ9Qe2hF7uAKhzgEv rcQ2DgQlXlr/o9lj5RfUiAvNF23r5YeFKsNSDgyPb3nQJAo7iW9cEHQbW5xa1OkCHYp3jm0+H8/o 7uVL98G86QZkrabOcQOif1PK9agsYopJA/g/ENawCNp3bE4Gm6BcPHhhMxaf2/VhGRsondDHtU1S 4x3X00K6/GADqKfPD0gmDdTZO539dC7cGssKiqj8e3U+nol67u+xEs0kiKg1946rHGQOnzXARD2q 0CLtno3mrJ+r1DxO1jv/Aym5MEEpbRIfAC+jTm7dgppPBQmyf8vQqWwwdY8TsaUQWoBFWntzWYXF dsBE76Im9nE/U00BkdoP46cm7nGQCBu0zHCHCMtxIlfm2JZkVCoKaXfSXNm17DX4vYFFsj/snoND 72MRbVeSDNam/NykUs3Xpp4T35r4x/mFJY0hX7xPClQ734dW+fg/huG8nOipOuI6Tx3kDsYfZSwn pEpDCDphZU6NISYTFFUSiYVcUTMS29Ji8aBjWZ+dJtRZfTCh4StNegtPG96bEPyv/k2TgbjGvrzm tPiFVSczT8sIJh9PxpvBipLwD/6Y7Xl3MiVhdHYhICFULKTzm1Pex9V/5/xkRZ00UZZhpRI9Lr47 u5Uz4HVrReYEXUpKR1hwC6PSCeRNO/5Ns5NVFHBGLII+oq+sOms2Pp5MZR7FcoRk+61nQPxqYiaH rmCnTc9xVChF2DGjss9yVcI7v69OjiNm9UmBEC3e4pndUmL0te0636A1U+ETE/HK2ROCa0Tlev2r /kWYsF7+2bWwhVGAqXQ598vHszGnz2Y0Q8EPA77M9yfXlKDIRlNjB8gKl9soQG13dk3BkHOz0wxN 7FSXMZ/Fi9B7Kpzr2WzvAm5g0MnRByqsG0KfDVFS3zRjexM4QJEGz+jZ94IrBYvQXfR4prgRwK3O u46j0ehhJL5y6LtBWII2RBhFsF5kNljLcnnhDraIvz7/6Cr3cWLR9hR78TvVom2bTqH7AmmqKunx Hw9Fqsc3TXS6rxpmXouGs5zdjEP8r30qH8+4QB6XeQII0b/PnZ7lyoM2x2uFU+Na0/ED3T1iEUWj zMrN5QjMOmwvaFHkgYSC3SuUl7Bwc313CPRCQ7P9KB/JQzh1l2tCF/XSY1FcrqdIBsHSED7+jxL9 6Z4+TKma+svJYDl6XfbKUtcdNN7dHEM7e6gu19Rg7oifu+qzeMYzUBLB8AaydDCyZIhCx4gosfrI rNFgjJ+QjSSIq6CULpajsTcqHl5gcBHl48nmPMNy3lC0663P7qS9ZUBUpu50hKuDapLjmk6RiZh+ qvuVmszRXn2BFBVLILnGQaMRRWi3An1M58ZzitEApon2zuEoq6Yuc1UQC3LHtTAuW+mp7gzmrHw8 I63+6NlU7vGTLec+c4dGG1AFgdFGufJH+AOzEHDIIPsFeIDCcmI0h3dHTdPzLP7u2BV14Vhu7w4C dGfFMXCPNQSa1b+Dvl78myb5IG3GBg2JtQibY38LF95bg49nCJQA5/AY4Rq5wbWridccbi1Q+Odj 3Xi2PN3tWnAVTKuzYgxaE7O5gAHSK8IXT5eLFYeW9CDwnN4glIpbayCrReKPVCka7637N03G57LF YpmPZaqYGKeqP4M8hI9nRXr5zvuoE/iovdfcbo76LSBgiKMZRo5js5akgCzZCDpaKd5Y9k//E5ZL qaAZXiIL5qTYCmr0jXEZvuF83h1KaNXcb86HoJSq5qJ8sNcrSY2xNx/PsNhPPlh1o+9nZpM6zg3A 1FeZwWy4gXnTZwJcIHNBvTq58IRwSS5y450p4FEsu+9QbzwwcDxC9CDwYCZwcLweo24Py2m2vKRQ PWosmqGXK/9Ve+31ePLqhCUqN4mx6wk7WbaMNoVdWRS4JBaoKFsekiVEA2PcXmNzUvU1YVI6kz0u XkrA8B5WNhhoWT0Xm2j/xb3gZYe8DQk//IAMXoA9mQCh+aLr3HJW/uEtni0+njUwfg2hmowgY2+y njKIpJYyXnW50IL0zlehQbi8NxDNdKpy9eGtYPMsPs4CMnJeAmxLRazWqUJuxLupx+YwBeAnJJvT vfjcsehU2eGkNij01Ph4BrH17g6esyxnB5Cppq5zhKID0OgB55Tp0Iuy3PzoyGli6sdkkz5hAnuN xWEp01ICu9AL+16r07gYskhgIS1iAgP7Vvx7JncVtRU2qxQtwl4cQOJ5osrm45nGQoj2/aFZuN1Z 35s8y5FLJXPtVO977dqevlePvtd5rqq/s++O+/2w+PgjxjyucrB94ONolSLzIQRlNC0v5Zs46bsS m+dHJO/NoaIzEjNf1NmBqTyzuDEWH886O4+XArTQgEw8UX6m9nOwFYAKUBFpCCh+mQaUQqgOnByR 1ClHzv3nrkOQbyyB2qHxU2M7GQAbQRA6xwpoJT9OjkIg19SBjp8tU1tfNMQCWFUSFGvz8UyM+Cfi AHG2n9I89aCDvBTEbhyCXNpuDui/YSAwOHPkm5OY0KGEZTG5WB5wCaStZTmcVkJsbNTtZD0QvdA6 P49wCVge+qIZbUgK1q3Eok478O9XJYG+YAIuCN9YNb3Izoo5xF+c6PjiLMfbzull1qwCwlE+qsUM K7Wik0r+PkJgnEcME5U5Li5Oh/dhZwuCxHKRnH828V5CNamtk7rRkVoOycwaCxPkDYMPtiLs0uDj GbL0tyWIKmY/GWDuRofCaQiPjSSnRbOiFSc/rD1PtC1yOzrJpzYRUFqPQUSxBLjTUc1+CbuL6TK9 rC6mvAPysIdZRR0SfkSSAl6mgExHtai4AuCHuJ3OdyfLj/tP+VD5zqIU8N1J82OE8Hm9HAfwdrhT CcH2CDoH967vTgpJVs+rKiTXJyS3C6IN7L3Abp2bTV3AduDYBXH281iVsFrhRyQtwcX8hEJUWhR1 wHvw327x8eTCCkwchWEoU10DYloTZzrQjclWpBkqfi774Bzxca6Ae33id1ftmVrTEcQCrO2K5UHF wfeu4GjBBUjbONAohfz9H+rqSIGMnB1+RHZjiTHOhGeELhAsKMpQtx2Ni8ScTleztgff7cDL8Nmc LEOGeEGD3FjALoYjCzb1xYlmJyxfcSeDXThbfIrdMFsINx+rCwEpaJTssKhAmutih4wZ4fjKSdFm rKbudFQKgKL/iMUZELuJtDgZd1J4cv0ZY6FWRT7mm5M2kzn/bNEtHcMdmi0SDQUg8IkC8ZX708kD aKr+nM/8c1rhU2chawaT/yEZMioSXGTx9SufX45/00zVT7ALwuK4OFwQle4fMooaH/9HJshUVo3p 5z5PYRdgWw0AK5rKcqIpcWWBlgeEJQh3RyzgmjvUKddpAqW0B5RiBfmaRBzZ7kChgXDCudElaoMi aNG0oE8kPyI5V42vzuFdzEVhx7KEI0kkzIdTj7oHLcjyCp6/reznRk+byZgJNDitDjQEG/MN8Mch 6PpnYiZxFzmeUz8mS5QfibZfpTaAvOyPWzjGgi3LYKJshS14Owsap8+Qj78wP+IvN3qzvKDFwpcH jXDSRrqdMT6e5DvBYWxKqoHZiZcnN6pDwK3FkeytELLHoCwhZ3h2tKfESpzqUKmx1UVZbS1BMBoX Oqu0boJToJQA0SyBj0qlZ4eqzxJf9G8xuTNn0KLSHMkgp1iWO/Px/4OWvuXkOMi+NWknmUpB7DwS +M8ZLVEXou/BwhrB2bcmQyl7M+KcZ3miDjy+WH3aXtQi6S3CbiDW0/vX6YX0+pqY1fl4HoPPFosS 5TF9imCBn48n91VoD7CKt5tgh59JTe3qIGncYGT5gP81epjtzvnALqLdlfjVxQDrcoimxe+rbc8c SD0cDMeGxJwPcIOkJH7Ngcb175mhdaoicYlFkJRzt7pVVqvw8QwH90MlH7JfefYmy5LBIoPO8lK3 C+wxdbsuaTa4y2kFo+sqt6xTJD5ULeYSbBqkApeUkXbuldTShn4vBqL927ZQBZE41rmSNtQZTyya fMLiUOLo6/Lxf/SQ1WInjf3EXZ6Y1ok1Ui/FbTiBAFO7U2r1XhnDg5l8PQvMXeuO5jPEMnCJAmsR YjqZ6Nj7SRbW4hiB/cf7lObLT1WaJKv8rBpE1OhboFXHySeIBXw80zp51FaJoBaj0ncny5Hp+zru deUKOG39kR1OIQ5jwhd7RY6cWNdhAMHkuNxn8RJiAdFeCIM7wICwJTYK+Sp2UuBJE9IVFNavqX3d 6Ao2a8UicPKxdISX1UU3MPWvO+H6IsNvqnkFRbgmFnbolXKkd7qzp88Mw1kCxJDq3IZei7Yny5ID 5eXJ8gNnshBsoZbVOYyKa2W/eRELyKStfOFMpfg3/YtODhKiGYtSHeh78o/f9+XjSV8npHoLdwcz Upr8aXtSBbdDwCWne2i4H2ECEXhQy7E872TYFP2YBIBL7OiVLcP92jIgobTfazhXrejt2ZyBI+bR DtJHw7SdrYmZXbA/B/uSWrg97QJQ9oeogsvHk0Z7+2le4GI7gd3+vCY6M2wZIObh4tfukD4pk8hX B3YZurBe05wJSVraMQCP6Qs+7pS+e4eRjCWBow/YKIo8Sz4VoYIQGHUMJZLA1yxnAk2ylRqvWDwk L7pZgkGEh192xb7d1Uuz/4hXDHUlGA9w5JHtiiz+qIVD6MzRPHiSvtuR4xxc8pqWJ7uiiuBeKv9x 8eSv21E90JFDalxWcbtexEI0HeFi4bvigO3XXYnJQ6MdkRa9LdAKlCwFmqSvu4JWTtOuEKuE6SW5 5fi81+RmVhaKTUoAAC+x4U1cYCdBHiUDptu6wF9TG7wryIV3oYuEFr0rFeahe9CnwsJ9lbvzhQQ5 5XkQYxwUSAeP18xmumSJK91riQgjP2QL9OPzmtdgR8n7RvbNNwP29NgYbMprVjPVM7LY2h1o24mN Q3BZ1VV7lzNo4IP+uikgfEnlb5ZYHJID38NeORmHcNCUGBXzJbrXnUe5jR2fz2tOM5GUUNOOYMLW aNlCcVD4KZPWAeGmz2tKMyWBqXeDzQk0XfxVeU1nJkRgsCuHPT+oEMyon+503DpcloubqmYHiETP K84MF9+VCoUTFEkWVuxyWmons+kPO68J2JUzHhClP6+pDMIK9YrvKc+iXrHl1ez1wk7j85rITLeq 5AHC10cZA4Mo7sprGoMzB83BpaqS9zSLqM17WgkN4OX+rrwmMdMN7ZdEoLR4/ruhQw8X1QMT4cqK CfKzGGbaG0TyFq+hxn7a5zWFwd+OYaUisvoiooPlAcV9IO/nNYHhfeIniG0ZXgjNd+U1e0F8blSs cKhfG0Sl/If09eX94UG2Of0Xsl1BXAcaa8XiBJCBYWZBp9o2wc6Pbm9kDXVwsvDkvex+viYuOEAI R/YXU3Q5K8QHMDlmaLA88fOatswnbdmc0yFDZs/+altesxZEW0jiFDZwZL6w3OOlhOyJpU/dRy6v Oct0xTDYD+9YfFvmRoKLOWYHwJYZBwhpgJUddrAj4cXf4POasEzHskHB61l0BQGNxNiAlvBrusKr oGhb1MWBGi+qSOpZZScIlHEYhPkc6u7rcyhawiHaghfv4lJJtJUcuhiOWjyRs0vI9nsxXUHLgXil 1eH74wI3j1I8jc4+rx09bMsl5LrQxo+L+p2rT3egO+vz2s9D2DseWKSE0imxgn4nhQ6SjSEfcB33 T21SN8AIaqwWqgMcxFN14G8Zy6a0vC+B2D8DGhQIuKPbnXwl33wpMAOTK5B13V+L5eNrL2+GThsA 7rEINtv33pI3WXg4iS3HUxZqYJPBiXaVOG3JvqBt3yZb8BKW6hL0m2X6kM5e/x2A9CzB9X4MvfK0 KGmxhNb2CQNZ+LIB4yDYI+SrMTX+cerYgsy+Z7ge1SESuGPhxlz4JjG+3MGnk5Mka4HtFiaLyDBt TJbiQjPK/nuvD+0yZfy9fyCl5vxEYB0CiZ5tjO5mv6nnoxPE0mpBC+BYjjLt5SGLgU0XhF20abxV Nbt/zyTwqhaiq4MWBV6LYGqmQIzsnTuFyKt6erMi2kQIw8JEcKfsPlqkz7cV8Job8Jq7xcNbwtAJ XpPszBXX7ognfsqzMwdH6xALaoVIqxLaJ+CBmTwSXU9eun/P950BiaPKDcUXTZxacz5hm4CCZunL ijuJWTFCA5rz2pos2UWeD+a8s6Cn2JqURpiKPJD+ifZmlu1OMn0KpEp80dZgtGT/jygAFAD0b1ps PADooP6dg/mqf8/308RhKCa4LRZh+UAR52Vj+drnnTT1G2fw7gxciRS35ie+J7wK2vbSLJdpg7Kl t2AE6oO6APHy6jFkOwMcj6VwCDdaFGfgeW4VeScpqI6zWAAUjiuhskGArE7TUC/kr2Gmi6XZg6Vp 8eZstcQ3+77JxoiwYxuB7wUd2YriQxuT5bx4p8FgrQ6rUdYPe4caHrz0y1WceU963dkYx+hZfGNg vHsLqeHDcoDrvo/wTlq/gKMpPkeW8xZuyKIXqRaB+KqPuS1v7J93ptTELC3KxsndPGxW+sa8p73y v0Dnxc/SGDHatuJm+2j7PKCRLO9laWlvwjmx+MZgyAFFRTCl+hjEVSzXQQIm7em8MFf+vNOk8LtR O/1eKn9z8YZmJ8Dtz9j1ft5ZUvNhPSv+SqiteEH9zpFC/QGSxuxuaYgRrouhH6rSiJxZg7qaZb5+ M8nsh4t3wu3Gtgt8s/vSLYayY763qGbovnx14qk5+86QwjtJkHljRaFFQWbeo16mXVGfd4LU9MHp 05XCdBSNde3Me/aLm4kCSk3Wl7ZHBPJVogxc83t3Imkmf0pylkZXMdBi8SwP+wHgHvqY8DiW9hRJ 9wWtsPo4Ek8WBe/kKATQrfA7n8UryKooY9teP+/cKCQzd33fGXn42e+kncnSX+rENwINsTONjpya Zq+psf8lFpzvTJr/bu2MTGb7jvCL6d0FKhYaYNsK6CE9Y+ygi1E84iXbv2eyM/QDIrjWF41rwTV3 CM35vPOipsvvx87wFm3L4+87LQrnl1366dczrBFcuKSx8EFes9HoUtMuTYA3X5amRm97shnbmwtA NvM8y36H5P84lzyI5rBicQupe/Q9328myoJBu27HohZvGbeKb9jq550UxQu/fRNg8OSQ0PrOZBkw kRJeENAd3qWTrAyMzt3XdOGdEcUsTLIBlD/k4l3eNiu1ZlFh2wVBLShLywEswG0zv0MTHGt+z782 v6kMokWnSUcTOzP2550P9Xs3sRIgMeH4aXqnQ03N6NriKItTbMJwEWfa5rmC6wIsJBln3tlQbJDJ dlcI/LEf6D00+xa8NA50ViUiZrkfMrxCidX9dYVf/kWz9gN1VWULU8OteVqx1dQBt2vv806G4sb+ BBpwlu+ODniaAG9RC6NXtZzfDO6bu95MahlzZ7IEmMzq/4nAln6D4bPAwLGdmZCFEOSREwT7C1Mr 0x2JOeB/50HhE/iHnoTkalFfZi3VCa3s83mnQUWUYj7zh8RE96PgzmQJ8EFpV653v622Xw5GA99N 52pSfpinKW35asYmcDQXvTMWXbedJqEYyyo+yWWmDuURtIDj1ia/8J0EhZ3ZRMrQBEyLELCQMpNO yWifdw4U7s5bvzsDsSEpZXJn0q4v0MGbAotszYwdwrNBU9iFICXuTNb2lVPHvTpU3FO/ta3ga/By sXzsnkXuJsDYG5R4HIH1dSU++p5JPlMJl8FU1Rf1ZuxnVi+axued/xQZdDR+AZKCg6nvTJYC01pr Va+vYcGynOQ9nO1j5WGJnXlPgb0Oti3sPZaYy27451SmwHBrdBUOeGiRo/BTHTCfeec/TecEN/aL fdHOLFreIdMr9/NOf5qPKK9VgKpyofodt3aWAwMFFtAq6qz2620r1j7ETBOewFv7PQe2v+jmrM1H bsfzsINWsiUOU1YdFdx6hWhcovyp96duuvqe2c4Qfkbia3PhBJzG20i/Rqv7ft65T/PR/fZ8Bpts 9ZDvTNYBJm7RPYkRZwhXYZxpQyTDOXZQWN65T5xY82UhlkWLdgbc3Cu9KIvAVs6rVQwW0ZHhw9MX Z6H9zn2awhxg/nhvLMKeWWqhELqwMe8pMJ7+3RikFuDQa2OyFBjdAnRkZtBQ16OGFDTUvaM38058 +nYgwEz0xRM9kFkKuhoHJPtFP59dQFnQW/Y1vSFQ+p32xDeG/Mo+noUbU8qcAXRen3fW05RPgDaG YFfLbXZ0IN5JT56hhTiUTA7dQ6qIeQmZKAo94M5+5zxFPbkpkOiL3hjLozeRwMjzqv2BBfkEA9Xq bg1SNKBFSPu8M55iRsRIHov2BfkA/+QWFD/vhCfem/U7SkFAhe2StiXHOEgUZDr5lIrDpGVsXtNg EdKhHI2Zd7rTMzKohPdq8W2BVtBAsxVoPHjrkC8MthDMCZtQMeEe9c514q7wtiZEQou6D9BRla+L vlxyjEq8LUx+KVMcg5R3qhMCDC5I5eR4XRqFdtGwGq1pr+bZ3SkH70wnBhgeIEgy+xIwhw6Yb1H7 AS89Ly8kvxD3pESxXhfcip93mhPby3JaaCsWofAwL2DgtZ39vLOcGHi9+SCvqEZjF8/w3llO02mn vTUH/soZDwFmqXVlLwwpoeyLv5OcnnNUmwJM8wADwrPVXxNDTlDA7C5SQYVZfqUOUHtEADY1Et45 Tuxx4t8GGJonCYAd5rEQ4uWx2qW2zzvHie0Hj71NsxP7zxtjpneO05Tpid0/13G/k1JUdF0gA014 h+1SdO8UJ/xZhg4TEgYt2ps9J9gAhIE0KJdfv7Yulei+3gLVv2S2MZy6QU3QFzV/G7BmTPDwzmSp 744EjzOmcQjh1668Z76aPjcaD6opLjYcYFRRHcAKNY5S1vstGl5v5Xfb8zvLgyy7G4TZHQizruFi IwCYyu2xPTOm498zmaRIaVdvDBcdJsg08zRYivl55zXhb19+ujJNkBKHPLzzmniWMAjrZMLxn0gs bfjM/rj3VRcjfqc1xVjS/i5zx+IAGWhjwa4aXRlwoOUeBRIDRSIEBVH8HV3fM+tXUWeEDH4tzO8w P5F9lOUln3dSE//+/TsvIGipRlfmndSE8Ms6En0TWS601QL2UHWS7D5/3pm0+0uW4D37aOH4D70H emDBEe4Acbro0OWcpoPKCboPUWKTLfjOaZqhUzPKGbGo/QuVb4Ffev+8U5oiP4xyCWCChhtSW5Om vkN0WC+Xxl49Cklv5cGPzxnK74Sm6cIKu1zVBndHUYCRwSrA251R4MAk9SwqhCFNg2yWN6wOU7x3 PlME4HrJhNaicsmuYwk02yd93ulMOE6/I1uAcuR5zp3Jcl/AUCxVAGKZNqF3bp++YbdwZ29281kU vHOZ8L2rZinqzrTy6NOAvN0RSo7d2H1Tk5H4EEqV/0EJEu8MYSHvVCY2Y6nsXWRGXMKNAsZLykcs Hn7emUzx/Z5Asyl77oHmncjEWSsxrzT8GY6EQAPPzgCCMxpWmNtDem5+3nlMvLTZlpGmORcfplgY mGy7WwhuiOWyPMdoC2McvAl+aRMb8HnnMRGOyoYVdUSxDP35Fxx4KYpV+/6805h+x0xUj632Ogf+ 4Z3GRBAQfhRahpJ8go97o0koR820drGq588gQjHr/tLs0fIJIZ/rg3zGOB5bA9eQameIxoQLUjdU e5WXgANm0JD/vJOYnontoLySFkbgcVfnp3VLpD/vHCbOqDzMcHICRaMb9dI7hwkvKaaxU2retExa 1cMMvYuIserdK+x3ChODlUa1pNxy8QS4YYQN8acD5Zt+SQykUm0D1QGYUecjo6nHT0gCMEeSh2mV FoWZ0veWgOM6n3cKk49fVTExnyk86L4z7xkwkIqynfBKchYPLiCba6oNHRUf8r8TmOYjSUOFAi0O f1i4VYXJs1Rsjyb1jCJDBvpI+a1NFMPnncGEcEgtmnpQW2gRmIhC4X8olt4+7wQmPn2/YYZ6Yzvg D+/0pcj0Vg1k65JfHXQ/5ccG+AN7WtyZtPsrtOL29PYR67GkyJLeQiXmCf9UTgwu/GMu6gMokns5 idr+885dmi62A4G6EouP+afA4dDe+LxTl9hUb98im76RZJJyY7LmL+bKaLZ7I68M1pAVAt4OicAo 3SWe3olL06nzICw9i2/M4L10SFwCxeKKgTEn50vPGOVW/5LZthD2QLFaLcp/dxOXw3Kw83nnLLH2 +Z1kg47THfvwTljCI5OWYy08QjlqRSkpa0KUS5NzdQbfNP1l8K1VvYf69B7w68IbCRRS+9/stGyB I9ofKF70862Xhr5lwq+g3lWlkY4W9fA6CSQYFTQ+nQ1rfVv6kjy8tGx9Z7L0lzrZY43YmTPcvu8K LA6SZD/eEn+nKj3jWlZBvvgYBWjQjjySLnWF9zpYGPA6nywkPb5Mfcmk+VBEIyAmlgs3Zq8pTAgI M593lhJ/xd/mJuF9ATwbWerLNAb6/V5fs/tK4Fldgful/AO3JQX+Nk0KVFCuFXdSU+ilS0CHmIU0 fJASYFj550ur7f4tk7u6qOVQbyzcF9RmYo6W0/F0kt5FtSRE3v2DMay2Jc170auyy8KjS9tOIxgP nH6xS6BtyXq+pXGqX7wV9UgWwaUSotqUOLCaYF9X/QS3Fdj5+qVig3uIT0guJE71J70rtDjsDB6e /GULn05G2A/TQhw4sHI8vZt505e1bhXZBOoGQeDXS1Sp1evGCfM97xVItpJzHYs3ZCzD6OTnHcsX jxV/BAGQxjNxgu+jBss5CD7hb8P9XuUqUd1Vwv580z0z7E+ApzN4a/+5qpF8lxigzCzxhaBFm4T+ EURUTvD3KcaH2LvkQjz5U5IqUoCzIyjnadHGAwunQJ0erQeAdFWIwz6n2o/5Q9aS87C7f8+kIqAx s6DF9YEW25uyl1r9Yxw8nbFzzk9FYAd5tXhl8sbv1zIB/3R8yg+YVeE/bXoIsyE+s8avl0r3u/gA BYD6junkGRCYpVCMtKeJtEKv+uEWNH3P5DBpgkJ4hhYvr5cisZXLF08nFLf9E30r7VfWw1vKSW6T enSCUoHosWUOdTu+DMaRs2H+ROJSlvhuqlzcQ5A8F2/9WgQ7ZXHoBs9KDsPXctoutHe/wtPVv2dW RQpXdU8sSmQsxLM5Vm9peDpj/+2fAAwK2N4+dZsZ0Q3vInxBD0vG5uNqXBaKLrDNAhhj8KXJYQ8q liQadx5S5CA2FtkfrLTGdZEnTqs6FF/qN5dpRd8zG7uRrk91EfQDDqfR6PdQCB6g1Yan/3U14aFN RLQXSzPNfDcb4fcpCfhP6MlclpHwo+O1zp1J2W6CcZKvpSXuJmBA8EYeOqoNlgT2tgCEi2Juf/3E aCIx35Nf+wS2qQ7nDVoErypAcLEC2vx+2XEaP8epg4vuJcFMe7/AnYHlSUoxRLmXyLNtkHAMAwls G3u/M09+xbxgdcglENH21gygQA8E1pjKQZcI3Ts0nPbXBn5RL3hmvV+KvTUJnWsRiantJgeAuTae zsBV/spoeskhXPc0b75nvy6lPNSZ2lSZwdYskH2snJFDKALnQBk5s+z3Ssr/9meJamlXdPIm2+Jo cUqG8FJ+kZDOJwQDrYNPSLaGIraTMBct3Jp5p5JKu7sOns4K7ABFy6RkuqCW9iYD/05EWvXeSNih FBWgZ4WasxSZ4ZljDM6ADz5+qxzfw3kbXwA1kx0gi46dGoMQ5lPnvCABQLrfvrBo9q7wCX8DWE2i /7Q4xWvOLcvzcfF09trsn2KSuvZeTM40CZ5qi4c4CO2tJGHgnsQQNXXplJk1fw/PCassX/zetnCH Moyush3XFinYNKCnYgiCZmzM1PdMSCmuaL+eRZfT7EzWESIHns6Itf/FwcZsCAgPMWuzLBixzf4G fXsrrwaU/u7pnghrRPdhZd1fkjwtlb4zFr+3gUaywzpp5FPnUnmF0TdeccxAo8dJyv7KkmCx02tn G4KLCu0SLFJLvfF0kgTPn34V9dUx1dHGZEnwBF2SruRuh4DcmRwv1MKUBDnovIpznIEftjAhDucs z8AAfnmW/RbixUFPl50NzCOQHKPw+RZOV9/zb7TjRj0tLRoy3Us4CeDnC0//A/9ALB+xSKAJaWtS 8C/Qts5awrkfS3Kdo7PRgoyGMuts5a2s/Us9w10pt7F9vryQ0cB2uG+aP4E9xOGpBekjy9M/P6MU 0JDxAQlakT1OzTOc4Ml2ghUYsoC9veLpbGDwk9CAvL+jZ7WyHBjcTvsTXKcF9uK+3mPJUQ3wB5gz 6SxlOTDDzK6T07cqbueiVMq8eyPzO+CoA7SlphYUz3HHBh5vFOxKjn3gSZKiYA2Ukv28SmMU1jd4 +h/kfc1eMDUYXk6uLAHGLLbR70NDycbkjmwdt+ODRovPa1eWAPtJmvyti9prbOVVxIDOzh0beQzP ND+ga+umoZGjW7u+ZxZjmMZQR7xRSY6XL/LewekZkLN4PHthfhi20HasNPTm1qQZcGcGTHtGgThF 3JkYlTHRW4eGAjxJWQZ8RMoumjTR1VlBBrtOZYfT7c9uX5AZTKPAAW/sEkFmAxKET0gKSlk3UkRc i0BWlfkESdkbT/8LZMVBCvk6w9ucK0X+2vtvZ3Z4cTBC/wIiymp4LjvGzgpcGfKXWeVmF94Xxz/0 YkUUdYegzDTVv6EqxKLfaEyYJhHRKxV9oFzKuhR94MKNKWdKkbwfbEyaAcfsTYx/ASl8X1L0Ayq7 srZzUgrrXcrAnS5OCizgHfe7sgR4q8HZ2tVCSLbmKABBtUP0Q/NK/NAlDKUYHF7ilUEkwydkuQzL yUNEERfFGfRo+budc/F0xi9Y38NUKYTkUKKVZr+70L07fES2w35nDajVOuXGrZRnv15nn1j8LNUO Of96CBWvkNjmxuCWwHn44fFfAhZXmv3yEXTQY3HqW1sS2D6n4+mkzo6ulUD01c0suTM59uEwrHdR 3yCZpFu6S01w/dkkfbGcXGkPWHJLyIt8iShjtyNYbxid9HEYodeiIhBIBxVw+uiOY6KFj8imkuxb Xfk7XffoXX1ut7LcpeLpZGtC4kBMY/wFYezGvdlpF5hU3ENfK5JyB/2/QPGiBwTyX0vxVU/uf8ib LQ1L1o6+laVgcElrrCetZLpSUEbVUbFjY37t5Oh3sFP0gzSNV30W3UirF3ncW96Ep5OtGedLSgG+ C/Jf2pgs/2Xbjp4qFEculA/5D04+gK9dcQZ/VYrLpNw3aXAM3VBR0YBfAHUngNjZnClbslWwACX+ rPdHR4UmWfiEv7XHZ5MQYnNJQmhxbs2KLOrj6f8DjJ5mFCNAVjtLfwsNi9b2Ady811kFRToQUH+A Gztvpp1z36oopCsWb1vZcemjkRUIAOOZburNDTp/sDlOlyQte6dN4M12FfU+tag5c+8Q49xCHZ5O NiZg9Cz/0QYZIf62s/y30kSyfrUfepgcrH1d+wEO7tqYvAcsbq3enH7jLFXMVy/ohZYDw/ZU8lZ9 EptR/9DlSm9M86+ZRRkmMyRuaNFRstPK02BBo+HpjF9wf5IZYvLRodfOZCkwBEzbomsZislFJoto 2YVoPMhvVteX3FkKDLtgYIhGjcVpTGVAA440plavBXN2raBSQvoRGnyPBlzV98xEDsh5o9SsFnWt JpRNEGUwa9pZBjz+q2RCljm715I77wHjxFcKeqFhAfA45wZoIoqWvS7hVoU/JQkzhy8L58Za/G6C 6VxtfGfAMiiEErGIqpDCxMg9yia8oviEJP6SQDo7h9FcuDMFcsgUG7IDg6f/0bPiQJtmns/OZAgI 2oFABdzn/NKBAGD8qSYtAHvZtLMmMBRbmOHVWHzOfwumQEgkOkr+oXxmULKjgEa2vyLaRd8z6+YR SgRdZl+U6NlfjdwBeGPg6f9DmQ10UC0Bjd459a2z87u9NDgrvLnh0hiuBqF7lgqfORuwtlgCmFcs LwfcGqN+cEqGJBdhFAJLisdcmU4qO+sA02ncKuxSY/FRf2MBw5+Jp5N9ebD0TPTQe7gRfzMIBHpo VkNO6cpIk5QQK54vzvo3snbF3ywDXurLUARYS0DGrVpog/ZERI9T1gAeB/b74e0o85k0sWW1swyY hPPWKJmqhTsDpWiNXu1T8fQ/UDPcRFRQPTozO2W+oQHsNGP0aAYlJi/yryZvDOjC+EBlZw1gqGuj VTWfxd8YWLQOy9+J/rUzsETEZWcOJoxPl9NzmVT7TCirwrEKF/XywIBX/3dfPJ0kebN/ayb0D3C6 uS8ny34hcG3x0WUNoPlddJIgLezSD7N7zXQyDITzapv6D+3pP8C9Yy58dbwx9g7THuTQC5d32dcR l9kUPiEjq0s9kF1gLiqzJ41S6QDc8XQmpHKfJieHFX9IatDWpP1fenaW+5ST4WhqoTMa4706cOak IIhZA1jmiwPG7cKGE/EiLNr2RoWB7KRQ4t1HtlUeeidXPxuqI3ssAs6crd4exsx4OjlMLWomVgYX uxI7k4r8AiW4MKMUCkJ6IRd2JHM6CgJpDcPMSVEQYqaoQQPjM/wZKWg7IcbDERxcLqfsH9gtRtNq 0sqqhczvwCck/V++NJVOvVoUZi7AyfjdLLTj6awDMb9QTvLfZoSZk/LfMEwZbHf9R5e3a8ycJSVb u5ggpM0B3EnRv5PnCF0LX3xue4EnGiQGEoDnxhg49vSoL/V7NXV9z78ixu9ssXjNNJUgWC558fQ/ ikmODMAGicrgpGK/uh+aZ3mFlRabVlCIJEB6juXokJOT3wR+cNz4eJp5APywIIN5MtQfmP+OQVFU f12cekztyZNhIGjqCGGsFYv6nLupD9+tDMHT/+wAYwTyJQWeLPvFt0PRGrZw9VYHFJHaJ9eCkOU/ qfADJc1ur8/i+wIxlgkZTNg9WTCjZsaqpMIUzGseVzgWaPiEBBuCfQGDbsei7NeuDsZi6Lri6QQB 8RTZRNggSS9RZZ8UAIwG9aJ7LKLMpjHrj2PBIQbCOQYnTX+FlyGVSYvDZu6BuBL6D3Z37CG/Spqi NYy8GxIzJTMkN+ATkq2ZtPMiNQxL8dHIglIhL6ky8HSmShTxtzwDOFzH2pssAe58bfqkvg5L7qtS qXBuyEQPxDYWTSdLgB30XDRRKePxsYf9JGWQwbO1V0hkJiK8qGpJL/L11Qs5WQpMc1SI4exYdJzs CpcrsH1zPJ1E4FD5ouJJV/Ebr03OgEN7HLRJiQmyrYaxQa2R6U1mq9yavAvM3gJvby3BgLM0EUIz GDZZVGcCALV1pBQbsJwvpuj498yAwASGsP2jRa3O2yWnbfdex9PJQPurS1T/kN3yhJq0CYygR7Uq 5x/X6l2r6gNLiwHdQZ0n1T9ja+F2nae+w64KvqUINXae0I04VFqHWQ8wRQjM3xYERwH4hL9xdog+ 8EXtcTCEeO/utvB09s54oc1/t5OoH9Dxm2XBa0pnsQdrsvibUtbSfwf0dygjZz1gWMDgvlbG1+cX HmIhYG9Kw0G2rMjh9A5SIdTEj61hD/hmWfAoomUTPsOF7wxEo8V7hNDtTU0ueokeMH4BamN4QnPf k2ARN9C3CtuYPpX0TbqKumbpnr4zuQSwAo3AH6U9hg61QycBgWYskJCljUyI19yHDvJ+P9EHFJ+Q dGeEQLvCo10vnKymszJIehd34+nkfvov3jrecChqa2dSKDA4IegeBq7eRXcscnb3Aj53+qz/5klw E2N9xhLTJiszDkbQVh5cO3Sa0yGxwZfd37lt9W/5t67VZiqphftiV3jT39wSMTydaUD8SFkBT/9I jKf0N4x2uvpTQEAsF18fU6YXQEBwTIMgc3MExI5h7I9Sv0XfPkEyqKRmT9jFcDgJnbgKW7XRn0ub IDh8QqaNQSlBmsJrcRCEvZzMYa3ww9OZYN7vDA6V5HOQUhAw7uqxQv1hHFc8G2jJ/nEp13ALvCkI WK3x5izk+rMxkFqvHDPZpXQ06OfwBS0V1NjupIgDjA/4q/4Da0ot2pdz5D5uJU3H0/+QPmOgpZRr ODvcv0qfrfKlkrbhM4NWYmZwg810UwTEFK5MY6azQuALY0hM4ejeauFuj4fHggn4+upi3KJv+Rcb ECi41lh0jnBbC/9QDp5OLqWY2crFAEn4jDsp1f5lKTlH8R4naeN0br1F0Rg924gvqfCZiuzNlp7k EbwysPhN9XCYO1g2dqWjjekixC0oI3gEsqI71M26vyIGTkLZtei6Puy6Yy7Zz+fm3d/fyIsrtoT8 w02T38b/PozGy6jT5R+uk48njQO1MykAwnltUrqd45EMuZYZXaQvsGYrhU3KdWjIgXHnrJHhMRfm 90y4gdh0hMAei5p5s2zvi/f1ubn47+OSghyUN36cpbT/SwrcHsHbmc17eOo7Ek3UQpb+5rmvBm+0 IOESQQbCiYcaBwSksv1ASlDFzT2fgcGNr5lJclKmVJI9XNTMs2MiOH05fDrpPjxqpX9AnccdVr39 cLPcl62FdQKY5/80wdtxGqXlHjeCTAaA2E2CTfvE4gkeK3jA/sDKtuAjRThyTyHrhFThsXgY+p6Z +APDzBBJcJTo5cHgSVjOefB0pvD107GiklX0f1Vcvu8MR7ZXoza0CJrDH6DEQzj9XuzlTf2Y5J0Z crFtMxaPvwOGm8A8nmFxeEhre9JRHGUk/i+BmuGNjY9435sjN8lbtWAoI6qJ5QFkmEzL4Pn8P9DR xNhgHluD1FRLqoBWyc0GHwh0/gJRI2xPh+8W/7s5B0Wjp35MVjXpqPQViw9uoSpXwD2DnQwE+ahz e5HX0Nq99S8+pFz/qpmcIJt5Yz4Li4NxgI9UcjP4eFIdxBxO7XSCiUNSu+Q+GCjOxd9ndRn6yEzf xbk9x8uDWlIkMOcCdjnPWLQ9VirYv10LnZnsOHFfFkQ0/lA0gDQD9fVOr/5Nk92hQ0QR84CLkPWl Hdfw4u5kmTBpm2rT8PVBp62G4G0tWT+Y1jJI7NTeA8bWeQf1ae8JV1P0YzJrAyfynFi8SrBbFi0I uhHZnS5bzkXzSqSk0KQM6yoOEfARf0ProZ0Xi1/jU7r44Azx8X+wv+T2u34wEbVkOTGAS5YynIg9 tOPF9V2kX4/ikpBqHa6sK7yEvfr/eKYQBEb+VDliscBzGnVxD+XiLrgq+2lj0bubH5FMEtgW7jWY uNH+BN1c5GSo1ZcUFxFDFrxEFCEdT/+zlrQ3DBBnuU1tT9T7zZERdTt+b0HjWZ6kJVcFlv6M4DT1 gdN0AHoG3sgzMLQrUqmnSDPuZMhERSvr+aZ/5Tq1uWMRn6fJRg6G3IOPv28PB+yR6nRcv/s8oSdt D6OG6TOEgUe7wVtpbssDFeUaoSdLkLcLbHvvpkbhYDHGcnraNnWw0gZtRTbKygZuG/BdoTW44ptm 6Q47w2KClWCCTbiI6W9v9wAfzyin7fvyQFb/fm/1VCKi0BVjqcPXxq5uHHLVBIXo6NwnNifViLgy KJJ107nRBbUz1e3m2oo7dj/JgJ06fegIV/Zt8LdsVG/kRyTlw1VmK8TnIO0NHeK+OvF3057h4/8H +B6G/NXe5didLE1GxLeXlPNL+jiV6UBhzmcJFK79eXUyqQii9l9wag0l1cXM2YpxVOlbtRUKCRAR cP2HfdOMb/q3O53RyhcxWM640mayd5yPJwerxzxKWEaEDSjB+P6kneLLxtCJ1tZtGkqhGt0hGXHC wqmkrWI3nCk3Fu2PFZvFQg5kPPGfpQl5RONSnGLWner6oY+hb5q1cZq4yjcW3VoTLEi8EYspYWqW EdWnLLqQhTwz3pr6xQFj1KRh/B9GaFytUEfoa7j84Ka0Dq+txDAOHSHSfEp/Fp9MVVjGYfOszILC ow4hhUPId7jlQZOs+KaZPgKtnCgGo0XdHPT5JWRfJx/PIk8cLiY45ACUIOjW1DZu0JyHtrrYn8Fq l+oR0qyBmHJvz/5kyIlSHIbUY/H9abZbZHBZZLYc6Ihah9EDlE7rgw5YbOnU3DYOXTQrO+qIRSnh HVJPggobH08S5vorrMHrYcWtlRvHQcRt3eJsyyUb9il7cy9E22NclDrHnS6EwJ2xeIm+J1j0B6Fn witC7A0pjaOM+FaiUwlhah1XZfEqEe7KZgDvdNQvh4G5Lz6etdQ99IjjjjhWnowwMY/DmJmOGXP4 vTVcaQNk1OWYGxrHaHvSfFmRx8Ua99MmBbYbhBwoj+D3IIPO/mWqelKp5utSz6FvTezjPPi3RbaI Fh0tCyBC3Vjc5+P/mogzCOP7zrCRrqmDHK4Qq0Y3oeWoX5vMnZDkbl1hi0ksM8LEQq7IbQCYiRWL n6wN0yxg0HCt2xnT9ki5EMpqeHNC87/6N83wAl0AimfRxTU6TRORGUw+now3jwdmhim+5tAt8+3J tISlmkBPUnZ5xlRgnl3GuFJN6BF40oRZsqdEuWiJe6shIsN7cDRYJEv9VBZPN3oZgt+04980w2wx 9Gy5Sm+/GqFx1iWIZj+Bj2fChL8AHCv05mM+mHrJQeLCXtTm2NBVhuZVs23XLN9UdvV3J4cTS/dK nNxRQjbstF7kw3gsZZuVDXliICRcYC/RQ2hGMa3PyBSFOeakxosWdTKgUkbphNkuH/9nYEae16TY 69uTZcxQIwQRc1CqpgE+57UoTkGnNQLIO+51+p4x13h3mlqo7SF1DKsVC1B/zAkrxld/6EcuQ4Y/ VGrhpS6xFX5E9u64b0+JRTnP7tL2gRQRH8+Q6I+yhBogf1ik+fakKTNihSoAaRQiXaEV7A6OxzhB eamJrxxSQsFwZNV4/bvvcxeAZ5eQawSkIas9upLwx37ZQFsujamxnIS6J9lfWjQJRjhTtXUqH/+H 6DKDJ4H698l4UnTxokpWDZ/G64o2szANJbQCdAg/Wzm2QiSpvmNR4JlQgrrFy62hsL/gPvKHnsHt R/xIFsKpuRxfzMr0zxefY3nCDGYDH88KihAsjB4q3My0O6m9HIG0Y7coRo9LZQ3K6OpSryt8T1N/ OfcpB93dFy+3QFS5qIegmgWHQoVllmUYCNdvB7X5F80Kdcady1enEAuDuGOVKOGUqLsqH8+U1gJl jI0YnH5G3Ekd5hYjAPuEVJkAiFwqE4utHYDHK1VRp37M34qJQs66Fm8wW4VUkPo7lIs2RQtwHT9Y NGVhk4dwSn5EFnfYIdxS4NiuwAGJVY2zLAnZfDzjrQYDZvqlxWju25OhLNiNqmoMXiDV0eZCI2OA jwRiooWU64JrNfGZw7sjukWrsXgPDFOtAtl5HDArGynxs4DjbDhZq3+Hfb34N816YMwEC9WzuHB7 9mhLCjpWHfLxJCEMuw3uBGZzj65CTazm0AMDZv+GsELrXXqxlkEHbomKGUqXE685lw3a5WgUer6j 0AKyygHleWyKpVFZAaUYMOsQ7Hna7637N/3b/Lx2SSt0Fn3kPMsODqBOfcN/Uj5IhvwRfK+p3RwG KG2ylU3AG600UYfigtE0dDKa6GiloGNXBK09Fh+hD6snQL2DgkCnpNYfNx5e/IbzeXUoolVzvzlm yWVRY4GLcOp9TolX2i/Lx7Pee/tuDi70iorHNyf1XK7fXJk9sOuOc00Q5EWd6qi0Usu5M6Vqvmss PvcDr2YU9t47Kq2roIy8EmgMGq26T7dH5TRXJuPZDv6KRWV6xdyPufLR49mrE14B3CXad8TYL3Wd g+wwyhaRhAAGcrrQoXUIWhiDmsbanVSAbUqhpe1Y/MqCZQVwADR4v9M9xnDDY6OgJCeBm3vie2YQ A/w+SxJAqwZc3TLsoblEPYuPJ5ly1BFs77CJH3z5mvrOYU7egMTy9ldfgulAf0IQL8AvbhyrtLe8 3HGuxOIzrbYxpYBiFJSZ+5A7M23JGH1HbM4iJLCmxnNLqGM6/mqJY1WJHrb3pvPx5Dr/le2z3xll km9NliUvamndcjziUCmQl3k7Tgw/1CzV1qT2G4HW6bFEYxkEZYBcQPPd8wpnAMIOiiQ6f+6fYV/q PNdFCeeUTYteG/xEiaqVzcf/obPQpC6N/4Thhe9OliVD96LZaXebYVjmee9r3QeEsXscqjRLlvHn OSMWj8eMJYNSYxshk9Nk4m4rBhRA1XPkh2Hx9G+avDmHOQ6FOrQ4Cq4P2fWMsfh41tzxRJAhGb9R D0R/TS3ogHlsk/6Ruq1YgKLPJiXVg6HEihoi96A7LrRwY/H6fEC2obEzCFnizYB8ASup7Cg/do7C INfUhU55IDrTsfDlgeYM28SjrM3HsyzZj5VuJtukUAKqqQ8dRtB2MQYWTgaeNHRc3alnc4UJaE2M 6GyLjzSaT48lwHDQeZzUooAZAq/vjU7hn0uX6ke+BDWIvmgyRZcWhSBf84F8oVwR0G9UfcEMP/k0 3NUj41Qjdiel41FDSlgvzW69p1xVryPq3GBD19SOLgxcyrP4GH136I10+pQMJMsEaWOQ3CDIu+cD 4dlq7KSOdJxh1MvOvhbmyAe62exG2Kfy8UxGqv5uD9HBJzKd1JNuwblq1n6dYrWKywLBcNenff1E 0zQ3pSNByF6hFov3lNEXYmcE6jeW+LPGWLjLG+cR++FY7Tn9m2YkV/baq4Z+9YRTKqatFF/ofHuy JLkHip0jJ7y15xmjJ650MrgGbkf0cHt5eHMjIW9LMHYLzj3agoktncNH4MZcYvGXBzSiXjTMAiGa jZ0FnxIkQbSaCSoRvbRqYk0nAydMsYhv4qK4MzEmxG/HAivxpiuSxH76Xuha2Ul/Ik+WJnP0SIkt RuXC35OzPsCqWagvjAJUf6b+dPPysiLXVYuX5weZ1K5Tbt52TXGMRIAT1Bt3eZxucHb0TbOzNV+o 4/RyEKjyonmRONSh//kjUEGVg2dvsiR5UTlp9BoIjK4SYpx9VXNZeFiRJCcOdRBNLKodTiy+NxZp 7G9OrxuCntlRPqz+aCZMn47x9ZOtqUWdRNoqIbValO/EbAizMj6ecaT398oi0eoZgiYedUDGAVc5 vCAP38dNLr/Cs13r88S5SrNk7QqhS1p8VGPRr93FxkWlHC/p44ggDX1IjlY8GSzHv2lyrkhEK4Oz Yi6OG+xFycpCSzBxqUMyGGkyfwciFeZzZ6VIZchTwrBE4lIYDInAyAuvo/zcsOBrenfSTFkQ5Ctc 5X1wlVZ/IkYjBPY7RhO2aQ8CB7Hr6/GCB9lI3zTJBd2wg20vLmq3w5aS11DDlDi1qqOfxQNwh9ib 5TyRKqdmdejmWq1rJ3+gK9iqi0EOe31sk3C0Crr2gp2mbnXOYpxSRp+PMjpsdYDFJS/44C7nnQWs DmRV4N/8ZIO3+TdNtkdJyqnPwrfHsqlTBXK/m48nGc9TSlzl1b/AwdSxbpGlO8OfAiKxf9y54xZH YKzm3kA1saxD4kSFk3JPLH6lb/tFNnb6oBjtPgmEEgpFAVAwewlaln/RbHdYgnJSqMXrc2iUsyl4 Dh//l6AdNgLUr2drcsAy+0UsqBpdcNzU5Co8V9IFT2xNBlh2P/i9eywed8q2IIOxPIIOPJYJTmlI qdHTRQfSi6xJpn1NXOv87KKaXbGoyLKEU6C/PhofTy6s8YAGwcHadMj0zckyZYqy4dfxAfH29tfs 5FI6+iJurMS3zlNBsIxGLBF1kCivTngBorNobN3S1skA9NxX4/r3zMAFsu+4JxYRJDRFQmi4nY9n yhXnF4wrjEPsTapdvCjZteVkjVfIJ3yXVkf0fCnPDCt3rlPtNPqNxXsXHD/Aa9D2BorXwjLD6wxx vn97F6ohEuM6v2/apAi7FsVj9PGZ5K51+fg/JBo8ZfzD4OF7kwoYd9pZEDCJfwOKChjNDNIv5eCB MkhZ4F+863RbqaMlXLVIah02OKI12u9XhNph7gSXW1zuAeP2Q5UmybIbEPCLi96cBnsmBJyxOx9P 3pzxQyvHTI60St+dlMG31LkIjvBwJqwlcN7hgbxL5MiJgZ1PP2EDNGJxJO6xHSkoKSBiLO/NuubB jBMyejhvIWJBdf2amtgN2c3yz6mFu3OsYBEj66IjmLrYndAjeKRX24P4SnzsgE6njEX13Vm1hcfJ rsqXIb1QYndSIt8Vq1yYnb6+nkG2zXz5LNOZpysg7037l8ob5oE0leLf9G9yOeWInXU8EZ/w9FHv wQI7H8/6pdFoZ71BteDenzFNamd3qupzbAEVlwjaBjMWtyd19jel+hR4MuRFV+NrSwiQOnAKPHa4 LDbQztkqocnjae8XAhTkQ+gK6fNh+s/WxNPOrb7A9NqxOJB7Sz/ZduDy8QyXEq8PjVaJSfHd+bxk Osc+j1I7lhXtULrrbpRuv8jQf2cR+/qE7yXPsR/imB37jvQAbwHPBy/oFlC0GjA7e40uqUCybAj2 RAd4O5YSaeBLmsNvWWh/SD6VL3pzUL3h72BJJh7+n23ht7t6b44iMv6+0Je6jHPZrtCfTHNfSgV0 qQmBx4bIXP/ImU9D82RXmBzvKifRGk6ix26Raq8t9F+OBd8xm1x0LoHqthvAKfuuOHz7dVcs+RsU ESICWItj4FohDMkqB0uNX3fF8YWxKyCcQKSJm/KS3PAJWGC1VhyY05AfCxxoX7RKVB5Dfl3gL6mN XpWld4QpcWtPSnwpUg8cFUAWZ8LAAyMt6IWBkVaegmFOfcn3N4XAPruiNSVfPVz+LLR351GPz0te ow29v29KdY8/OrBnLwpcM3DnqUXR53CqMDSa1aIAlt7t0F/3xLlfdjuTYl6j8XQA55p2fA6ct1CY XUmGwya20sPuPBJuFJL6vKQ0/AD5DjSKRzf2VFnnT6QEHG9YdlA/LxmNfkep/h0N8Qp4L0O78pLO 6AmInHYWvtQiCJETyGc6TXiC4yJr1eRN4bR6V6ln1q96Zr/4/4ClWVDps1JDAixhFE4LWpTnYT/g 0vy8pDIKKsS5QRIyFnVD7yB8+o+dzPN5SWT84edVqZz80HmHu/KSxvARCB5iIn48jbnesZmtTzfK vMweaZ6U7MrmH78KMlu/Zt8dxNWK9oz9Ke2N5Eu3bLsbdMrtmz0oJVGmPi8pDL/lIdXB7p4SCw8Q CjGhqu2Lf14SGL9N/FXRdc4zvrQrL+mLojMajmMVKW7ZBdTc6uUMV/0DzcpT35fkRbvCArqxFNHi wDao4I6j9ueFmhChFvQCAfFvf/Nedj9fMhcdIKJLYNcai2/KbfLKsuj7eclbFJLUwJLbnUjv01+V l5RFoZbCcjM8k4qcVC2s1HE0+50T31/6s2morW+3ctlWqttfeQLtZ1dI07iqTFea+rMedgxhLJ+X bEWbzjyOVhC+6PpZW05wDQ3hl1xFX+43qHS0lonep9hXsimQzEFADPR12eG/23pxLvCgVBD0pZJY y96l3cbSnt3j0dGHYZ2Va4gqw+oBssDhvkCQJtOyL0IUlc7npZ+nbXGzUMqPcmFYsatBeoK2Levz 0s3zoFe1LYIho5zDfA7b8tLK8+COxJC0YU69h6t3zFlDegAiZS49kOUq1DWp6jjU84N9tFd84Vsd uLt0EYUBMUYmBfkBMHbdYKvrayZHqEgJR37NNaYrp9ZN8dhue/956eL5NVu0LcQBEngJ5xtxapN9 WZx3SzoU4XZyQHfwupTmAKQBPVixW7ONYUippGFo8UN04NwF+CfUZu1B6ZtQ7haZ1I9bxxZk9j23 dWYzJnk7Fr4vxe4wNf/v4NPJOTrlJ42zghNADW1MltxSErLwxeSwu3Q38WsMbLSDh86qQ63/nt02 GjZzCeiRBd21GF22/XXVa9izCnwKjM2NNhUD3OeNP6VXhhc0iQS+KOpaKlR9cMAvmIRddhl8Zyhc MuIuemNPaWvQhl60MWWI6dcRJI3iESR4kFMhfE2yNVcZP0VCtQS8xs4h2RAwM6mnC6zOfI4ukxCt 8MxFO5NluVNGUrqruXj/roplZtEeWNAsd5FZnXamE75SPMi8EacUfBdD7vFE19KY6zvTm1CPmMqG EEOW6U6+LTQ19UU7sw50YJB+46Upd8ksaZEbhlbbehAS0iN7o00pgMpfgIR4LWz6ntvEhe6Wq33e WFMeZ3qEX5CeeDq8KnrjTPlBKOy/+CBujVq8/yK/b4gMQP3H+5rZzvBl6XCt8sXromJF7qiU5D3Q PXMfRMKb7fcgQlaHaWhUkN7WUuRtz6IS2g6rmi+bLd9kY0Rm8leGHXWkCNqYLN/FnWJFlKtaN3Kf mdnBQ0mZ7wajRHHmPeGtwi1vsgl98Y0ZsDjsSu02sLdslkNBCYnPF240xefI8t1CuZct0zoufGEg Jc59sb9F/7wRpZTTl58gQ6cfDI20L+8Zr2yO2piOasRMSxUBEKfTx9o3JNHfeFJ+MeHGBmAgFu2L XQgo0nmUFtRau0zSwR0gruhpuZDx+HkjSelXY3ehbM0IdswI7KA2ohvHrvfzxpHyrGR9ExkptVWv Gt8oUl56EGfksqG2kyME0YuDaywTKUGbz9Je4T4rdXK1eBMcP5BSNfCgOOsw372LcBq0Xb7usuv4 93zfmcvpSZ/1WRRjLHOUauyZ+/PGj/J44TFGVxj+Ojui73vq657Ek/5YHLoNthQqfBfoz0ERperu Am/kKB2lwejLFGw/KRhICmhrdWKpJ8AhjtPHK4N2T6tPi3eyInjjRnmrgBAaynBr0Y1dhyKxJcH1 80aNUi7z236Rid+MdybLftGlbJwpc2caQZkYZM9SJIgOxNGWidQbMcrfmaUteRY1MOuF2RioulAS wDlrGtSC3SI5k0fCZG99z2RnFnUyt3Qyd+hkWoUtmQQ7sOfzRovSaert+85MhvAV3bos/x3yXwwm OAjoOk39HIWeCSVHb9el+S/7dfVyg7jEjb1whiYm/FbO3iFUH00xD2nR63GRiu/5fjHdrhubKHyp kvNiGtR9ZSu0ft44UV4w+c5QChB3BdQS9IlZAlxp1ty78pY2W/EpbZG9ANDOsAdjnHljRH1zMMvR hb3vD/a+wpqclFsLwJZLyngMYRk/Bo5MDwccPmf8nsnVJCbUErWFc0tGYDiyiog59ueND/XfVxP+ VdISjncd3shQekmpJkWxd9r4UckIYaZdqnFAEZ0HDmHmjQv17avhS9ZYfJKE3hd4VeBCtTLIZFoL zZ1Op3bmvs7gpfDEGxfKkxl1MtWrWpH/Wm4nddVe+/i8UaF8X9dPl6phcu25zBsRSukvJDmGxvm0 82MirOG1G9+scZxF98aD0gfTeBdysLEozEBEuE7sx0Hx1FYTXYM3VGsSy3RHYk7232hQ+gQOEYDo jEXt73K2C6Tu83ljQXn49k4V9pNWKDNavW8kKAVtYHqo4lBkr8X+DAxeyOBDNbk5k+Vhypq9ymbk a6PF4SAgQBWgTaiiX49mJfzXMX1c+8FZEb39eeNA+QhJ5qftWdTttXCoTO2O9nmjQGln4jBxGzhc iDzvjQGldwbkOXgxecm0dv1/jL1ZkiQ7riT672tJKeE87H9jTVUF6F5dROaV98HT9a5FWDJoIAYd nAE19L+dILEsnXkRoCwAM/9FpLbFiskOsgD6XetsTCoE5MMSCwEYmW8eX1die8/3zjC+nNrzu/DM 7Im/hEqm9nnRn+xM/5wZSlDR+Zy/McqA4cx+CqXtkrwSKQHFOxvFGXHDA/A7A/YAXKranHX4zkAQ sk/8oxe+00WoCAIw/xzAh3xrA6YzL/aTdVBpI0Vkrxb1rBDWpTqS9udFftK/Mv+U2bQagH6UdiZK gSeg7X266HeX466aVhrrn1uXNVPiTwkSPdK9pNanxZpWlcUBh2wnuizj8mFol9EiLPunatp6z2Bn SII/2zt8EdC+VVo9oc29Py/m07fm8gDMA45bQTsTdn8hx2ZmC6gm0cQgybuQEERpEk4ouTPvFBjN WRsUbF88AqecKNMN5avzRSTGI3BJyIFNVyd+sMx+MZ9s9MU2HuVXtAh0ttBhZ8KPjXlnwLKkvh9T R7IJQqs2JsqAwbEvI9OBmPWTdYJP3MzV2BmtGDvjRXqyYh0bU0u5ix2ZNCBxSLOkseZoU9NsfPXp /M72E4AJkn5xnnRkOFHKqpryrZrqaPp02zmQnxflyYb46TtEgfocvm+NZsMEeFAqYYllAGhDFmxI vCsM8Se5ErizX3ynnz5noSmxFp2Yc8CBU2yss8cu1HWY0DuU98z4OmLiDT4vupNNrMerY1XPm6sy OBnU58V2sovzd4x/jur0XOZFdrJSBO1w3vUAm/VlfRlQpDXHxxzSJm4vrpPtC6JvZezQYpiPU8kM TPBhydaR2PFTw/wR/b4iPIwbSL2ITjY0owpvl/Ba9wxvwlOHuW/Xy0XX9foGGAoVN/uMXiQna3eg XCrN0Q2QiWSG11ZXrtf3mAbdfHGcDAnDCeRUfJkeX85ZOSXmSeyQ+yY0NpLmTyDkIrXc97AgTfu8 CE5WLDWCRDh648LDsqs1aOrZ18+L3/TfcdecGeDqYi3xF7tJgQFjlCs8V6BDr1n+LFst8QE9QrXE X+QmOy0UyxDVvV6Djny+l7QATwTsY/KGYjWF7ZF891UAmNRHeHGbfvqbhfIWoCGe52gqcepBmeKd sr18XtwmbWz6mTBR79sHTC9m0y1cYeXitLhm88gGx1ZDOVQfFryITdaMJ4WTdilatDOnoFwgeZFt ujlzYwAamSp0XydM9sNfpCabLrF4VEGQvwVBVz8ABjb98+I0KZFYvx2Z84UgP9GuvLPeRCEfxBTJ hRVcrIZySGNbOxwkcH1HUdeXvk4g2XZfrLuJMV5GLXO+I3gqyBESKLAss8dyh0uktr/oTDrXFCIu Ev3moi+pVRnrnL9n+bzYTHZc1u9xUeZsOxNlvcgZQWdq5t1HiSa0qjYMEejd124T78Vm+q2UBJzP X+A8ZDMmr4zVJrhYS67euASBOREARKG3Vb1n1MTT2G03XzTAXktpwQkB9fPiMtk1376hF8Inmb+d OxNlvZX02zlNajfRu0twBxN57L1fFFXY+NVoRCJHGof8mbS6AYsbmIt1LpKzfwh8JyFblKgx9S4v r7O9aDCRHKoeCWRte7t96kkBOBk+4bF+Xkwmbazf1Tg0ABEgi7WtidJeugB1MLRtWNBN+Ul6SnQv Sc69fdGYrMRjEsMmpBb7nCqsqiVPszqc4MV5x58PJT2EbKxXtZjdvVhMv3EGIy9bVEQmpkiIM43v FxWR+RtnSIhq99BEeS/aC8i6VBaVKVd4OBtSgQx6c9SrYUHwYjDpvTWR1MVUvs5RaUOAeED5/HxM Ldcm8Phik7lQgcXPDOEgLwKT/oJFXgvs2nJRf7PWIWfusfbnxV/S+znOTGkujvgdpLzoS3Znc1zA u6cR+lDZvTv/uyhvcO8rZirwYi/Z98RUv++72CBlAv4MV3vEYEQaTvgBmuP1O2l6I4oOAc8v9pK+ e1Lf2DjjUvX332mKbd1znZ8XeclGTP1nawB/xbnVzoTYB6SgqdIIFP9F/OZ/EBUxcQflojcYmjfu TJT7Thsx9eGL304AWSYalqaWOLaF8DCo2si6aSdgYBlksZ8Xd+kHFNJFKO1OKB0d6EQG1pNFf17U Jav/rcEpGD8x/bX53oQZMFyjR+oWhUf1uhr/x2qLw3FdH9SLu2QBi/2qJs6bU4sAmNn1BJ41BFA8 lzRpQ+BykPS46mUio6nH3xAE4SqTOgLIuag0GJWW0igw1ufFXbJQs39Kg0S2om3MOwV2j7pUxDop nRg+Fkx7KtJApMyYSy/ikj6nrUG2LL77VasGOhbSE5OD7KoRCiINYdlQKL0M9gGng8+LuWTNI7kH 5LsISYTqlE2ZdTLgF3HJmnK/qBCkRehZaWfC5u8iSqYN554YpR8S/Ur7oExhGKsXbclanMzyKKej xY8McHiwTlinLoZoD7cONuqEMUCW3IpJpJafF2lJxaQspMg30qISG+xv9hPW6J8XZ8l66u27MTSw giaJNibq/UKyH76QLmc5TPWg1VJMB2s2t5B6MZZ+5wVMyLRYnCGVBASEUxWcYoXgIYw+GOL/3CnK Zm3wYitZjS3TPp4XLqoNcpN+UT0V7+dFVtJX0X7ubFgi0LyPuxJifRFtYdNjuPDZTdlp05dJFMBl 8kUvptJ/1ZJEzXNxtkmDITymKPVc5TgxnOZWCDeiwP6WTE1vGUCIKHZVGDW1qIXXp/77XHJ8OhrV /tTYlXY70z+jKP+lUi0owVZJplRtIFmyI0JgcK3TEgMf+P1s1Uz71kwJ6LuJ+Lqg3UJ9JzTMz74A HNK/0aXrJYPWA2n8lcqeWpT7QlGYiUjv6/PiJq3/ARCpq+OYsxbmvpsXkm0BfMeKAX5xgQvwW5ch W1uI+C1q+da7OFAGKTymwNCR652tFSAZq4q5L5mWWLwWZr48LpU9dy3cl/PxycCrnCwbTwf5XZ/f muBkgfgktCth3ovCoYoDCezDcvOEJnLF+nMS12TDkxb1e0mCA9J3+WJlZKO0TKFEd4LbjVxd0ItG ajBz/vY1q94zIBKQrDWlcTVd46qDAskIf/6DTwfT6zx+ogvaZI5r7WHWi40BzkGdqiykOKRUFjnq p5zZxTtV/Z31okdmjZjhi/djKhB4lOGB43aV1lMVHxGzk3lBMuxU9ZDMRopSXeSdcOGBOeHFZP1P hoGnI1jrD+CXltWAtGtnoqwX5LpTRG5JxME6weMu7KUVd+nVzZ2JAA+DVrKlr+yLd8LTTjQSOztz /u1ZFpjsUCBzJFXJuNf8lHrU9KV6I+h9zRciw3fd0hkrp5bE0xEl5weLhxHr8EFkD1NeAh7Wsm8J blBWQ+6mUf+kXyRb4T1q+lqhZFXBHJ7ZnW8Z/To0N8ELTfRiPruISRtYApAhuJSCoveMWjLsUiVK wHKx6hoyGDg8c248HWBk5k8CkxElyrhkpZDZhpdpQ7cxuDzUta+4qymRu6Evg9Y12UpR0suuLAb6 1Rfr+0IHbWAmvyCeP7pmtJguneuvgxdwFaeZxPQo6aXbKSy7uy+CQp/Pgvz3vFPB0/8Hxh+KTnXk 8QsjctugOyiEdyq5+ggzVGxKRPrQNQslceOZiQEPRYH3LvYxzRNcCtBUC4p30DlhGw+6O4CFAJDh aUxJes9o3kbWCicSoM1odDaSCWcArVrwdHAv/Z4Z1pNgw2pnwqQXB7v37tWABL7wNWHGJSE0mKno awoZbjorGC7a4ijFE4Lh64MG56yNweLELSCg0WeASLRj8Wge0d95b6JTQYYMfvOFR+bcZ8R//YFY B56OviZvO/wR42IP35iw8zsh90x14/9o9rak/Q+LXOruTDL92fntcd4rmqiG9nX7pADt3XPjn4C1 BsWgWVnjUOF+AKbomnmPae/5t2+pSPS1uBIxvHs520C1NfH0P2BVvIYohFYtxevvzBdjXarfAkJP +jnHMBS2x7+nSdj+7F5DAdmjzJf2zSfyqvP7rZM26uosvMPCxIPqZ5tTjUWptAtsZSMdvyHYGSJa B80etHBnxt5Ssz7F08LTQWldf6JM7XSs8DMTQX4x6AF6Uz0YIC+NF5lzdrQM9AKoWtAjvEO3YoC5 LYewyCsWGVjj/DtkkdXH0hyFTh38m3zB0Gxa4TdEiDOhUdmNGd9uDGTDGCh623g6OjP/xbiG+pAV kT3MfzuH1rkaSrz7gClTxFAd8Wp6Fz3q+8oBHh0qX+zI7DnGApkYzbwTi6hwdf4bwl54N3bEbWO6 3vMdZTrn+kQy2qKLCW52slZLDU9HR+Y3mUENVEjSJ5U2yoAVgKvPUTqbTPyaSPMmTJxkRtzZI+r7 arRUhYnmYnEGUredUosn+4WS4/DhHCqzilzempvdXjNo4NF+pQglXi5KPEHgeatPlfF0RKf9Zbyx D1TtzIwoAUYbslAF20wQtpwuT0xIboJQlp2ZEbZ9+TFlo2DPS0VB2osOYEWozUCGsIMH9wEQdqA/ /K2Ztt4z4hmTUttIYuJiQ8nSqhzS2sDTEerhh33NZhkqe+1MCPkF1ICyvZyiMC9Vo4o5538MCKgO 3oiavmzJnmtVRZPMoAeKpnTCbwd0DaOC2arYgqvJ6UE9GQ1Rztcy8AsCjKJmko0o+tH4WXNSlFOX 0H/vePofZBQmu2t+4SAjSn9b5wisim0NLoN6D20mzuIIe0jWkhlR+is4SC0242e7cPDKhhMzbmjY iKDKVqPvbE8n1dNReOcSHPjxQSJDlFmpwjzU4p2qUav8uE/mj6f/DyGGYWr7jGCEyS8QdnBKtnGk /BqHfI4VYTqvAm5LlPzaHLLmu1jwrR1z2kwUXgdnL8uvGf93CDPAJzqmteo9I8ULxRaeF8qKsE3Y YQ9GsCJ8K/B4dGB+UFUsLbIP3UaY/SLfBFaOxsKINlWiKLmLTAscHi5CfklR9usNGWHo19XjHOcQ QTEaFzYGz6OPKxozxPTwGEOUOn5DUEtSx7WONn0RR+eELo76MZfE0xEixJM8alSRpOP57wjxvriX 6p5WGDQKOKFlBbClCoNG50mG3wjvW7YhzqYvtjUwi+vAr0IeGeaWpgJBNfw/JGKLiLLsLaO5EjKZ JQUZLtyYzDSS1xI2Jsx+5/7Nfike59dS1PdtIDjkZIiqkpNR95tmypR5AP5fwTfKfu0+2rZMArHZ 4DyBErwwuquci47WPJvuZZ3D/QtRnNhT/IYglSGroMtzj4viDJR/GGfW2ng6YhX4hc17qTJ/sJ0J s99FoXG3dOqLlsuUpWKcoaa2q0aPOPsVaF7f1LhNTgDNFn0eIakNKbjlPgcZcvo/5P1NmOIIs19Z yAlelS68Cn8GsgoAQMfTQZHtEZgbg0sCdDvtTJj+oucyQUsmR2cKJw8BSE1OBrjuaJyjlhxh+1em sFnth+zthwSfGbjCYIINj6FpfZlEaWT0gOdXFBkQXvyKv00jwc7xhVkBshpiZU6umPF01H8oXyQ0 lWSghsGtmWEDmPzbzOH+pObvYqPqxIYuLiC+hqpicsZiZlmDguaLpb+1QFdzQNigw3FGJB0Cp3EN Iim53byu9wyKSdLa+5SW/3Szd2BDGGhOLGx4OpoY1P/q5v3BJaeNidJfFCznfzdDKxAAktR+z9sX KuABIpJFkpwh4Y1AEEoF2+L933MmKZF2yqRzcy7JVA0yM5Fef731cIT4nn/7mkaXxLhjrXvHvsg2 5KS/M0x/Pc4wp0M4hhSKduavgg+wpDLMQzOJcRh1CVCPRrY1gGfMeBN6SEyUdJkonbyCzfQXlLQm MdsuRkHGTPGSJEnGnmEDeMhD+LsIk7dB3GWVPfl0tDO/QybkmhAP0s5ECTDt5nu/RsLZOTq1WvYn cVXtTNj/1Wg/awaXL61gQ1y8wW4HoAd0aSSZgakU4Fv0ttKRAVcGvyDqjItqPJov3Ji8K4lopypd BU//g1XAewg9kLY9ykQpMNAfwDyIgY0UezkXWyPaPyCVb+V5M1Q307Rxy3x6X0s0Knj0TQ/Yk3Cc E2KGBwB+AXeWvrwCxt8ZEt6S3D3Yn+GilhV4V4wyGDPNKANu7SfKQBQ4d7+aZpgBbwo+NIOd5cZa spIKuMXFnhCb4MhgRqAHw8msun25zTz0OcnfX+DtTYYZwj03YeLfsgk5EH5DEH+lrCr5RC46MxBj 1DRlDDwdJXr5B3ZGmScocGhrIuTDwKXUyK0j8oHgZGqQqsMHrDiKUgl6RR3gJaHALU2ifWXOkDme mgpcwBMR9l5yaZS+bgIoZN5Dw9nkjHLgJFS06uzSDCjaZlciBKJuw9P/qLNZG9AC1OVTZsh4ozLP aupUYfhj7Tw0bvm/nZ2ZhpSZodaZ9aIkbdBc2uDsCxg5ibyLukw+As1z/MFgQnENlWmdMqP+b6Ea tPqoWrgvUNtipY2DiKeDfXF4qxLg/efOUmaEfQDuE4gHCQMivC8jL9VtU36wYy38RgmwxBPZ973t X3asMJvsa4mOMmoSmlySH+iDpS+/gB2rGSXAlF05FV1JvnBj0CJQCnsKZzwdgWV+J5PY4+ogohny 3dBxPf8/jAio/iGIOoPXC66ovX2YMkPFB4KIip2bL4QTRC7MIYkKGTArlA4pOnKa86Y7mFQyE+W/ Yu/PTtc7LkbVGZq/nZtj4+koy/MjI/92SHG4fNWKEmA4DJTsTvboe2h6AKxxEnRmyWM68acEc37V z8JXlYuvQuPkfFjIxOAufbIyFg+pUHScancXAUFjKvyGqM1Z1N+cvqgBfDIKooyRKOHpf1Le0NZJ Pyz1FWXAMNOhabjXk7Nb34q4ZkrLDAfNrBABIUItsUxabGd41w36J5/aC/g8xl9lyguaFHcCR+e8 FTaAJbpDFyMtAotDMpLY1hM58HTwOZWfdIbSucuAiisU9aXiGVFLRECMLgJcryNtQ0DkYa2ZFSIg JLyZNG1KLEQaspl0vhnwJBF+T53CphPYGFtdq07vquKyvg2/IWgAb4JmOo8MF4FmyljS+SiV/8oo AfbmzGW+de/OrJD5ho5UIxNYaMVcja+TzUxmdLwWA80KYb/qdaZ5F0NAnCu77MZycjY4F0iFExkj lANwZ9y7qeo9I+Yb05lET0E5bfHMTCPW1lMx4Oko0PwA80iAdh3oFWXAoPudwo6cYlQyGk2qbWXm XmMQWI+PKSS+mdaDejR93qIpIbKACLeQ/cqPa1AMpkC6kOfFGMfV3jNoW8nJobMDwUXNmdTkB11P qY+no2Tme2ZOdr/+bOeorygBBnYMdPv2x40KdH1jUqs+J6T4s31MoeKDtCZNqTRlr5nOqTghEmCl dYqwOmjdNCZSybLx9dDyViR1JsArAkCItp+IJNAioDjt5bAx52Dj6QgzU7/VJGNhchrTCpG/i8oy 2cLMrNPIOmVYOoMwY9yCFea/EsFgOavFJ5OtTbJQF1wPFsXigHrHJUjZh+npDCDI/A0ByJXsdOTT BFptmzNNiLRTKPv8/fB0cDPt328JDxdnqa+Q+wYIGmVKC28mKM2wVEro5FYmekCK62MKsb9dsrbC zkzHWRFKdMLxlv9bzRzAnWt2sVLLTEbdHm/Ze0ZzfjlPrrvY2GCqA3GKKr7f+2NarjaPhajF6ZXB CuG/KG2Td34ND4ipQd7bYHrwf7MzE/eAuSVVQDQ36YUSZztlB10/Tjm5ChWITjjH4UahDQEnhxOR SboiAPCQ5s5gJ4KLGp1rFiIYa18VT/9rnI0VGWZ2POeKUuBN/RQAoASMHtnx4tNV59flqq8wBTbz aMGKiAnVzpw0ckD34XxN+P9YPQzSvStrzG8LAmRfvmdEl8TOiJ2rRWdmDlk4AK+Hp6Mz4yohydpW JXsKvKMUeCBXaW0sS/ToU8iGXtnmWD/BbpAackh9Y9ZfssQV81dcccIfsBFUdXLyNjVSAUtbje5+ 0dFyud1RCky9NFgoFF8MUJRVvFLbdoeWFs3rJoVKQESmxeAdct+Wfmyy24m/lPwu1vZqXF2h6AgE ISppnqqLvK20ALfcMK3E1nTIQFCAHs6rpIPDH8xvJ/af8BuC9gyL7FpZcnPR7QTxHgusE09HgnC/ 8BAkN1C41c7E2g+TqGu7nYqk8jZUQKvd4Htt35k4CSZNp9n4sXikOakQSBKgoaBfW0u/aLWRgAK+ UzgiXXeUAuMvdy4UaSxy4deEa1XSMuUUlDvWfnBwCA4zfC3c22KHvDeEvCpXZCTANHlF02rQuJEY CLKAkADvEAMh7nETO6WNy+6CFnKCK8eCA1Apmqfw79cKEShfu/Gt9wwu7U4ZOAHqywXUA50neN25 S/F0pJNnTSv2cTCZdArGDv0tCnlMbojcmFSwm1fXML5O5nnp/Cl/1Vzfir/7xt8Nwij7SqvBL70w Q050EcQ9irTAvBPxLeMXRIWBFHfYG8/eGz/XausiUZw6G08Hk/7tfU78n1LBtbgUfSh51qVF5BTS 1FzyrLGThaFBzSZ5tv+OgSi6n7hYZQDLu9no9XtOXjJfRUBXAJQYX2T0TnrLqMlJh98uumQ3viGU GhuvKGic4Ol/wGZUQyQaf/qnFGr+bnZ9resA9V9lNW3tZWL06JnZxoSKZ0vfkGrJdsXoTxk6xO0m A2Msmbqqlixd+oFLOCu8In7D35iSUw2amU2hEAKu1UaTdeHpf5dM6OchqVl+ZuIEmJIPrl+VQDyQ +AN7JfK6WNYA3iEIQv08Wppr8akBDhBGg3AinYv0NbRmxMwGAtBOTaEd6GdHIAgpizfal2vh17T2 2Fmt8TrwdKT6e68lZFPMi/zCDnvAKJr6asVoO3SfA0U9SzCPiCKyDzp/yt/KyZJlkZLbV9nrlAT4 fCC9U9F3lzkXO3kdBNVLI6WF2I7yXzK5/9fZIWfrkJ2viU8H/YcvaJGydKBwWXN8R/lvo/QDNQJw ZGY2vZBTRWUB9s7d4uC8HWEgJvuXZbW7aGPQwjsVEihwoB7lJCsqwE2oOodQdq0dmt4zkn7gcHJ9 F42MII3JFt35O+LpqM/52xyHiNW2MCOAZbgz3Q4FscDEyKAyIPCBHinFhaJTrPor7VaObfN3bHtq DkgajcHSANUOSwPAayuuzoYC0IAzvLPxKyJ6IMsl0mVhWtgUNE6A7+I3oenJ56MwbNcT8Z8YVxZ8 VrY/ofYZjAM4D6qg8p/7iT0HxDXESDQ+R6bFW9ePiUon7k+SWlX6ujBt4F1xiyw4TpPWhdsB77oZ WusXJJK2vWow2ValTXSXFqbBJxMlvQXwq8bH/1Ei0KPV4JC+Pe9EGPNwIuodQE4pYA39y7TEZu9s iTA5oe97QE5mTRh77+/DS7Kcjws5DEQ5YQi9r0YR0j9SDdTaWzXbmwa7w0Y5h2G2aLpy6u+kuRN3 J8qGh2tzInIDDkMrLdudqCHMZu+Q4kzn5D/ZqHJavXl2JyV3lAnt3gx7pewl74uJAAX5hOVM6teJ OrRxXfimTgje4AhdtypOEfArIu6BEPbsTHDRNQ74q3YnNT7+j54wk/WGjlR3wAinskGFASNSmoUr +RvVQPali9WDzn/z2BP1hQeV0FiN2aLtAaQD6hgc5aLtlGVZz28LdJV5W1nAMetXBMOEIZg9t4eL AAAwqxA1GTL1KcRGlO5jFspBnVwFGE7bnrA5DHTalXE9azFCpXz5/kPYIDAMOj1hd1jNT6I4tdg8 4eSDZ8shyH5SwEV3dGQ4mJainYFOl/ezZJiaQnyEBOY7bQ64GKVnTsq+1RPr+fh7e6orAiONrdTs cGuvnOIGMS0DrUcDwNeyAZ1s8lBSje7O2SnKkA1Zns3poLkD8oK7DKlMwDJCdFB9UMy1K+gZkGQ1 ncEx7U2jqmo9SMq9NarFEnmmNwz6Eyt/E0GI4+3vrR7qQ1A8M1WjPElvl5vTqkI1hpfTNyfKkc1R kcQkLRZ44Hy24F28OoQk+WVhc/hldc5YFXgKpK/1pkH9QOAz8yIuUnTqEC/h1OTc7Y2PR3O6H93O Spe+6f47KUqTYX900mSbcEOxrhtWuK3qWOG5fHcinQjBy4uKSi7+YZ1/yESZBqocSghWELQyalUU UHdtkl1rCsWBxfxvzHm4KB88h1N049EmHw8+rPrrrohOXbsmPCnGC6NSvXqM0t5EF72PPFwuotwr PWwWbzF3RIFaLi6S6wlfKQG3t8732SduQkx48QGi/iQqVm2/hWqSvyJo45AVNMp3sdoTFx7Ow2BC GHtkePHJmwWlaHe97Ry6xCE0nVphmbwpPO4kjdDYRAB/Y4mU2vVjImuVqSIi+WLbA4cimB4QNHwO CIk+ueMUkvGwv3ASxZ3AJw5RmRAbOkRpUZdrb5Uu59PrfDya2v2ID1L7EM0Z252QN0dHnrJMOAJC hyYcQRIAJJSh2OO7E+Emkq50+lNr8bldXyc9Tk0wpLykTIMmBPGRFxww2NDJf3GKI8mSaqtaVILO 0dTpmqPy8SBX9t4oBw142THuyQlzZUjRTg12ke5sd0SrvF9RhGaK8vPDCs3ilgQIpW3FxcIOdOVS pzRjh2EMu8apS1j8hIhvFdqVDYZucSK2lDVt8aAMX3cJjdfBx4OT8+txRROvtN3jKvCLO7tTvmqV NHg1hMnJJma2K2tWv89Dwzg2If8XjJ/gANE6ZgzoqS/K6TEo46unRM01WRmc+ObAMQ6iI1SOWLJ4 XQZ3IrnFII8l8/HoPm+/u3PW7o31HHrGrcVhFkIhNCQoViprtFxsXjXL9koiMI2DOCQPjUFM+rhR BwnghrvMCTg7FYLJTqDgUQN+C+fGdf6zvWmkIsEqVIaDctP84yavSpVpEhmlyuXXnpKwlrGvP2Uk IUzq+5BcO/TlxrC+VxWgDcPZsW9MjnEUPDtWT4yrrDxQpCeSL88Jghx3YiGBbYbnlZoYQt4Uf9Po y2IVCv9ZW3h2zj+0GGGhFz4eaRGWbxWaOyTG79EJuXTQChuivy/O86YBtioDcUKdWLcfnRBKYf7q UjHKy4vQhn7XHuQyT6DGKWE5FwIZIl7GhMOjct72qlG6Qx2JRINgLhqMn0y+ajBeNh+PonL/yZQ7 hXo9GQw85OS9KeJ7plZjR1xFEZqgR1XZM+X5krlp5KBhKsvbANcXA4lc7eSSDZ32E/C3LHoX7fow 5azbFT4L03X+iujOopbEEgp9tespLQkr/Bg9/i+1DYLO0fIred0bPUyWodeVSBVmFSrhPZi/0lqG oIrquOIcWMmBviD9+n0Xq7Mgkn/SUhK+d6M5KLDRk2PbDCL17X/JlzH0khtEFsOOzheNgTuLt0Tt Xz4eiS27cO4f/dpcv5En9tJo1Pc0y54uBRugBygnzfZpws2mjytGVkiPcdzF8p19doL8lhOXz4GU qQ8sWfBXPEfoR/VIpsGhn5xodfB39EVxubHtgplnLXw8KCXKD1uVzdPLlcqho1ynFnXK07EVWyCu kw0uDUDHzNlAXDm0lDNfcnZ+tRiCYGJ6hQ726rTHktke/B4wQP8DIWBvnRZ70eDSEqqCPrxYhqG4 BkTXuU04OoGnnBWxt85qnHzezYk7y52kb1MWHrAzzxzW7D5NYmK4j30OXeWGaQrLdm/daXnDy8Ow nK1TKAuvywtiAQzyl9o7rD74KyL5DTaidYKqEzOh6Zy6XPTK5OMRafULWNKtlbMP+XJsLQfwiZzP EJehVS/MaNOWVdx9y02nA285nB2JH+Xhi52dCtA+hlvw5R6dguJjgp5M5eXR0h3z1WRvGtVZTARJ JdHCuAOl4qbp8Gh8PMIA/nB6C7ZpN487gb0cul+Vmo7W4DHrtIlZfTd4eulOgsmBv9xVAMV03xYb 25xvYC3eWieHmoX12FgUhkLp1Od12aDiAH9FMDs3CbokQTq2+nBrVcjQ/5GJDB+PinS7tRrHoCjW 750VWsxtENO7fJUrIZIizLdTvA8jT/Vc3JU7BByr0qrzLrY7bcHj6GRUq4K5nZQToXPKRnHr9+hM f9FgcyicdfKk5YtGxB3ehzg6OWU+HnxZo30THvPmuh9W1FZuNOYuu1j3q5iGACAXhizYxbFLObSZ I3jmQS0DUoFKdJwSn3+Juu70NgdzrhB03H6jcpgsTwmlMjhzsZFNnlKuzEuP/0OTg98XM8t7cqJk uRWBLdIfKXdzxI9sZ1F7BP2Ltm8VGjjN3RE6/z5abIS+wWcERXOdtBhKg9IbwNgUvD4Efsnb7DXt RQNwASuI2fhZTVmVyx5WHBBoxfDxIFX2Ep0hmYmlS7nk0GsO7w3NAkEJzn9xcxpg/F3GYZ38X/us YtsNyXYr6IwbdBbsiRLejCLVe8hClgIp6DDjD63NGcQD5tBsbqj4rMwEufDgNADIBDRJlY9HCNsf oWrM0RxEmkOzObQ8oBLlnmp7OfKiWacQk5XmWxO1lM376n+8w9IJOAnZJbLkCiSPKYcig0IB9wW+ JXvPCGAgIAlZMclZMf0clqws8BxDPh4FnPUNOKhY6alpexPlyGggm/UUcuRZLB/scGsx8EW+k5rA cC6Z4RwUFXzxb6oAycQKC2lPN848Pq0F7sSyUR9mxN3eNAJmExdYVYZWk6ZrMy2BSgFK4+PB7lyB c2J20Dartz4PXefASoDCo7GGOtl0/6GXBapN9Ns35dyYJMe2cxJbqHRT4GIFaAOokZdVIxxDDWWS 9dhMvg6OAiDn0HdOA6whWBMXzbHWVDfg/PjJx/8vSXKCppbfVaHzHC/yRFX6/5BC1A0FR2k9FZ8Y ounoBNZz2VqmlW+g5V7kBcoCaJkmgPnUNQSFE4lgZl+nCbfe7UUj5hB1xnjZleFDVtCgTVirZb3g /wE6KYiCs81ybEAH7lvHJNvsNwwYCOqJMp+Z2ribE6KQTR5Uwob1ChvWyWwWHdNTXZ3MiYY2E/S2 gunT7Be6M9XXCU3oZiZvqLCO4MIMGRKVjBqoQfl4tDsuLaAmECqYdLcnZOOhgOgoVVV8ymMN4nrN 7Lsh4Vf9uwpTZCZ3CUpitthtdb7Kho1BAXFuJML85LYCoi76pt4UnL3bmwaluYBwVD/Qwu2Ze1Qy Olrl4YlS5HrJ4gidLK3cAzTHXnSNuZ/TxQcHebQo6VMpD2rFuzuhKoVsCRc7onl5yzRVMOkX2OSL sRlSXoCmUHEdWBNUu84jyv6qUVdQuhS8zbkIXXB+qOEo9+DjETblR5oNojMFnVzbnihJZhcucXhJ jOkq6gqi+2tlOrTUrPoMXemG1AMyUx0uHpRhFYUJ2/m2ltws0DSFNjMAtzNdbxvAHfWmwbfF7HhS KlwLD0/NnELiR6B1EfjSoaP8I9tBgYO7N1GODPQJwOz5Ii8cXEDqP3peg517xZ0IeUH0MkrLu9je bJAVNh28z/7heucQAHMsTqTaV1CKFmM5NKYzhGlbd7Ge14n1nEV0xp0QnZyz41ISzWP2bScHznQS iAEgzm6sNquYMtDtsJbXYDKr7yrMkeWhtYnL5mKJYDt7fKIvBA/RUCbCZWyIniCw/uFgxVLB5G8a fFZFmpo1+2JwwZSlPzfQEAy86X70tpjLcpx60ZShOd3KagNu6Up1Evto0EHyBmrPVZCe6ehEafKU 2CEN57XYkA+w9olzvzpAKuyajUWjsQo+R7vew7s3e9OovpLoIb3YuKjZvvoSpKlgQBz406EC+ZG2 znQ0ApvKtifsJrPnhaZBQ0sQ3mCS3YID8Z+OLytRHItVRGhR10VdLBK5Lqa7BV/Dk7/ipsL2nLsd 1H1cWYuT1/xnodFgueAu9qZRplyEwt2+8PCc3c+0UjsxefLx4EYfvxPiRhkl353QpA5U4yI+LpEX mkTArmOn7MiLZfapOXCpg4AB28irTF+8xuoY/tDv/VwyOWvghTuA8MZ9a3NC+fkbgs2R17kMZrlY kVWn8O11LT4eFVm/DcEOuI1vTdhLrtTEWduA/9PsHyEEvAx2wV6ftiZCKS/1K3qevtgY4nwBsNid Yl5hosIagobAwOsgsVWJRakS/opgSLOEuCAqgYsS5dqWwHC1FT4eXFeXx4j/Y9SP88JwQ586tP/K pFMux8PLel8YD7cLvPALKzCqS44zHQKlDG8lwxfo5EzgKJ4qAqmP4cLO5dOpE3mvq7btPSM1fe6N 6MFElDD3h3p8Y/NxpLL4fDDBav5VqYuoq8F3J8qTYUgD1Qp3906uQZBYB9PrhYaEvLFitzoNz6mJ puXeWKcIQraA3dmiGcOmgcJ0uK3uhaUqInCru7IVMmzmws8KurnC3Iyx+fg/uEaip8HhcPmFFfjV IVhUTj9tcxI8rSoxuBzZUbkC5CnlgbFh3RQYrgxfPE0+l9PK7F20k59MIxvxgKMk2bc6H9XfNNK1 4xUsiYbhEg0d0o2aQpxvjI9HZyf/QJSpA+ayHjk0raMtZh+1OkGYH9YmE0MJ0EzULtTuxAhlEonG vovNhqFq29CWgA5ymyREAESPcdkJVZiGun4FpfVz6FzXlOUkSewnQ7KO1JdEXmEyxcffIXnt8Rt2 KAc3vXsRuNfJRNc1LAa1It0sqBhNYibAh2x7QhKfOjtF04hS7xgCE9BWJUiQqXHHxhfaAvgiar1m FcSy8FdEYjlsfBWaiJZiahC9n6xJOhQnuvPxqGH6m+3AZoYWwbY9oZBbpfkAWtyanbdpQhYEwNCX oS3TRcyBi51FPfSRuy8+Ha4LNRrg/wU+12wcsr9M+gedIG08TNfZHDjZ+ZhmcBCkRZ2vU+Xojz/X 5uP/QAtSzQ0gymkDvs8z18kmgI0RrpURYy+j3hcz88Bf3yDKz0wnY6pNw0N6jmrRzpwfi45pBQQX 0JQs2MFACUmgJzqc03CUyAOfiU4GSZWTmUqZZy7WEdyjGYsIDz92JQN4w4iDSMMkkBJLC//D+VME 24JkDMqiyY6MqEVoeQFyWpHn7N1NYemZ5WQ0kqmxr34yF8tyYGtSYQkMUZiTTeXpTXa4XcJ8x7fF cNvPbUnGFumj3cUgcCyLWTuc5Pi5LUgChm2LXMmm7IKwK8/8JiNAQLRcOg3AbtPS8+wFCMJLN3hK bjf7zG5wWOjSpvaGFu7KyZrODwTtk1j/lDJL0oL6CWl3Sbdm6DTxeCY32QURF93ytAjKDnFbGRSn 9nlmNtka839MXAe4O5r7YU+eWU02N7IqozbYH4o1DTsGNUwrtXBNv+2Z02QI2yGmVCJTtBggsLbz trggsScdcsYMLWTMItdbV74NDBK85XtT1FKvTQa8EJlkoXlymlHIfwZp8vPMaPhvrN9dATkLlTB3 5ZnO4PtBG6mlYZlwW0klFAxEmw3GOW6ln2r0/VAstDZ9Rs2Hm+ffAnt69ibAEJjSozqFIN2IoES5 Lu8BYfPzTGUQVmRPMSQEPlwIfJXdGGJaP2nwM5HJ5t7JTeF9ilIMkFVuyzOPyWhFUR6nZLuoiW9n tOWkkSMYFMg8LM8sJqNskzKkIOnr67Z1UrA2kEIuSJJkASQ3U2pmoMhieA8RJIG3fG/L6jJ5oT8m F/VBTxml4dKJh59nBpONu4xd0HSXPNRuceWZvyBCFwKxs1m9NFra/4eVxVSiN85/GELpmb1ka7/O prqgfeuCkz+daxmKW4twsCbxBjKAIDY9v5kvO6DP1CW7Aw4TBVu0K9DhU3cB3kDBrtB5xL8gunRD JYWb8sxaEGzh65p2MhR/2ialdA6/8c5OQuyKFc+cBcGWGlMtaW/SVZ9FWrtrZwMrrzmafJo5mwHE Fv0HS3jx2X2eCUs2z/LSmwTjXUKlUwSGsQFN4We6gpfrdjFLrgJybds+oGdfLyu9BqPKxt8jm6Jo F5eXMEjaiFD5MIi2ihe15+aLxxUkLwhd68RsACFJxYMRU6HWX+lXLx5et/gN74uZSv1gcw9fhC+G XdbWtozPs6WXbRB0zwphvM3SlWc/L4uBczKHNGxCxxoO6UofZmk9y/AJ3bObl712rOz0arFm54YZ 1WIWtycKGaLS4ZVV4JJCcxD312Lx+GzmZSm3Q9eE8YVL0tXTJP4AMdjPs5WHqERNAGoSEJvDjqxl cVF2Oyh7uKZltzIIQWEE3pyN5uq8vOhoYwi5rtS/06LzcnKKU81S5GWkfC7SbTYm+AOf7fsx65gC zb7zW+vEwUZ9+cLzgqRO9Lvd+HTwHc2fpAVTBkA1tDFRfoupPBrFpm2dCF0xsPU0mkcqw8HWfz8x BKJqsUbVOd5pwSkVWJSxpyyBOJSjxSETf/WqSKP+vPlT2XkMk6Q5LYq6ULbldQI1sjd9ijlu/UYY 6XkXz/yjJBdQU8g/mYTdoJGMUCRZqcupjbszy6Isdw/tCVN7LlYsnoxrUaAYjmxoaPMgczqGeEit eMtdtDNRnisHqUqRYy3qw5xovs2sGWjQKHsZ4/s1QYcsr+yHJkp10SiCrrjN46DupZ0pdAk4/5uJ ZGmoEkRfWl6duyjdRTsDjYpz9GF90+GvJSOYUjmsRrNtXJCE5MjevCnmjRTCoSquFsH5MADlVXOu p8+bNpWzYx232Jpw+y7pBpp3vosvgYwX67aUQdFYqYgy352sF7P3NqOt4ffUNjucXGxrcj3Z7qK/ IRL2RDtRsD1k/0WQrL6mpolBFGfImRrlu6iOhqYX75a50Z0KdkacHYszO7Gx2WxjoowXXO6S1VXA f/HSpYsfe2PIfSda9dqYd8qLOM7PqNa7WH20ylzQVUVuV85dJ2VwslphRti/ZLKht3zvC5ju51PU /bSzKyi1UvaUUFCrnzdZCt+FMI4Y+LPShNBNsUTmzZbCr5y8PkdyQkd1v7rdsw23wQ/QxkRJr1lh SsmOi3VeCqwTEzE1J7FuSUkvZcIJn1neYaBa3+fNlGLFQr78IkKWi+LvubBJCIV/1+dNlMKJ+Y0y UmoDbpgbE+W9cJyGJXwzOE2xS+rkq9u0TOQ9zSgTJb5sSMx6WzBfpba8TjJOe9m9To5r1sVEDZ8d 6V97WSohfd4sKZzJqeFbvYuGIdBjZJhYfX7eJKls2FS/mahthoxEO/NOfnGnIJuhigKHbyT6098F 32GmgqhmBJ0/JfiWdFvL36VefxeoSEG2L2Nn+snEqmATnKMlIB/y7fJyOz9vfhTbBZxHkpSnRSNt QHCFhoCISZT+7pR/shna+HXrNrzZUYwymGJTfh87UxaHbBkFfDJ/l40ikzZSb3JUdkviun2D7GOC JM0JwIm0TEgmbakHUWZ8UTf+jpYQYPme0c4UBpi5fTGcSOtJ0wEMbKMMWIMN25kuKRTv2EUZMEBe aNSZ6myB6gG/prYMVH12ptrQ7U2Myg7dA5PCF4u/c0Pfb0Fa9ZTZaUg5SDbWHF+OayPFPvSbGIW/ epVbB1WuW7ZAdmIXVMv/sB+aP29eFNp2yUomsYTaH/IEtDNRCpwF2zNzUMwHLJtJ7C8yAA+Xn3iz opiF8TOiYJn7y7JogisR9bdOAD7VQGomfAit+PPT0Dq1rwlsaL5nVDQRIJLpM8vF+g4rCZU22/y8 OVG/dxOOGrkJ2zcmSoA5LiG1mR/TIEIFYUbZ7LmyAU8cCjNvPhTbYwwfWwjz7QjzBJmwU10PzgQg FrEJnUGjp1KXidmv0XgpPPHmQ2VjpZzDwmkJF3V6q4qYPzA2+7zpUMyA+08ERlkwLcy8yVAoJ2Cp 0rYpxDvNEDZ+tWjiNii7oJ2JEuCUlfky8+eiMMNeZgNc4+QuCMaMQ5k2DziI1Mo0T+Jm7xmNS1g0 SXZ2uuwsgrr+u6S5Pm8mFBPgn14VoU/d271vIhRbOWiXT0OeFbVH6O8yioGFjdiCjyls+C7Vk6v5 YoX2uTcgNIGi6VzsK9E8KJM8BOz6mBduRU3gz5sHhZ3h1Kkzw9aijm9Ns1mhXT5vGlQ2TAmPDLM6 iVdYafCmQSFCsJ6s23szK1nei46nqibKZmhroqavUA+1aVDQyh0+nqNwDt+ixSF4zVK/LrybkPvm 8TUmXnrPIJ/JTPGaBEuawZUglFvlinn+Hp83BypfeCeSKVzbnSoyvjXvHNjQRGMO35orMdEmryls zXbvsTcHiiGYHV+SpLRYQjP2SfsLdb8XxBXEacZ0rdB79qc8YELz5kDhdHNA0GDJaAu/p32qAll+ nnj/eVOg8K/M7Xs5kZdOw1DuTJQEQ4DknM8qvSjIFU9rXLEhxEFBKT5peyfBZk0zG+E1WuzaToum 3GD5QHKi0SWyoszNcCsu+6dwsveMdoajR9VPXPQ9wbmWhVPDzryTYETCnxDMP2PzuulNgOKFluG4 sKoXlF2Rt9EqjHs0192ZdxKMBu3ilICzfC6KwX23Dt1H8FH3+dGZkIiKQdaWN+bti7PSfvOfGETZ sGIbSIvgZykNWX4ObMw7B8ZUfn/rJirxQwtGGxP2gPGHg1azMcOW6f+cfGaZJu+WJS8+pncOnK1u 6qoXe+q3N96p68zqoJ2kkiL6p7ACTQhlZvsJwQRLv7lP98iUycYeF+7MufI3G8LnD7w+b+pTFh/5 HhmkRnNb2+rNe8KJYUFZKdCy6ZbUdGuzK0uq5UKs4q39pj1ld+uAHp0vVhzsDNZyw93UME1QqlxQ PaG5Nb6umLjPPm/Wk4+IIEfUfdG+nDpziaI78udNeuLV+Vs1naMKNKj2JUqAcUQRY5IgZyOZ1E8v KII5zQfKWT3gN+XpghwaFQ202Dh/9AqVR3CX9+L1jf8DXLMFjTDBYtxC6s13urvSN0FnXCz7nU34 366XC74jxzjwtECouPmuRNkvR7TFAWY4oGKpEF2oyJs4wOA8PwQ50IitCTjeLnA8Q4VuY8ZEBX20 CVVRVYCSxx+2xXVYAOD4vIlO+Aw4m12EN2tRi7Nx3IvD0vl2Udz9aeQRUo+mgvYlyn0xVD93n8l7 l+o3NBBhkgFHZ9hA0W+SU3Zzwya70OaSVmcFAX3CvGEB5dDYiUM9Bc8bli1XB4B/kM+b4+TT8fN6 7M5U/L35TxoFXBhs08nQy+fNccIfbrefqgCAaA+8b4YT/xabsHYPvN3aVDBV7Y51aCYK9SY4ceww Xr1fYORwszHwnoPSmo4U7JLQWv66YbIn/uY2sfOrKpJD7JqzC34PM347Aat/3tSm38QX/6eY1aFG 1K68015BvAtwCJbBVPMw6aUm93Tp05TQ37wmH1OcME1SHBerCBZsbjC+R0ccMj9s/GIimOX2WO6A iQT3N60pm6kvxkLFF31JfSvYoEXwebOa2Kz6/ZJIdU1+H0VZL9p26Phkc++DErrc+yoNmzuEqLIp ob9ZTd9aSSzlelnKmAA0+qVRb+RUk0XufY0F2jIUiEJvq3rPv7XxZivJFxti17Y0rU318+Y08e9f v0eGIqf87dyZKOulKEIm/EmQh9Uc8kB8VUGS6PCYN6UpOyuliqBsw4JJrRFUqBiULI5lknDrG//n cEzJmEx5gU2q4JvShJ4Mv6bO46bFer9jSwHq/NrPm9HkuaEfGlTKgAjY1oRpLwrsLgNVsGiIZSMg UQhoYFiLl0pvPhNrPH5HAvpy8exuAo5P7N25w2tqQiTiq6lnVzDOsG4V6XifN50pu7NLWaX5olLp VJfq45XG94uqyJ8shq6nzbviby4Tc2UWYFMFEiQqjFZQdVSwxdtp7W8qE96bn0kVqKpeUBUsb1Av paVSqVK1bSZiP6FsBAimnxlCQt5MJk5PiXpY7IpzsQ5nbvq3nSD7eROZ+H6/gQZxs/vF9OYxcdKK M0NPcXY4CQZiEQnYTKH8MIijFQnem8bkk4rzHTHCctHOnBdPiyGczNu8NOSH4wzgVn8mXW/E1SHs +U1j4pResAeh3akRhD//Pqk9AeIn8ZqfN4uJM6byk+NhiO0R+M1iytLbgbHLkCIWBwzYDpT2jYDw DmPMP43oxCjzlUNzZbNby4WFwFQrDXY4QfSiWhjOJRWf6CVgcBmglz9vDtOFhQxC1bQwAgMXsgRl 7ePzpjBxQLV+RvyDfQerrt8MJhxSOrG1aUJqZiSAObacxzDih6wBa4I3gQk/pamPV5svtjPIT2HW zOr6/HWz3IhxBaEFtuplI7P++bwZTISFUM+IkuVahCQqySQK+1ifN3/JUWsUXGERSQ1/25h3+osk j1gTLyI7M28US5VmKIgyqXWj2765Sz/fUh6+WEMm48MC7wEyWBhoc2OgjZ0xZ8TcyC5tiA/yNwSX tnpUjTahXIQkQujiOVgn+31Tl3jl/8Tfyl7jtsLgzVwinhvpHa0KCH2oycrrghQgcVyAIlE7E7d+ WUZSWV2LHRmUzSiyYRN6biZq4kpaAxArSpJbITnsNYNC0jgVxrBwUEhLU/a7UN74vFlL7Kj/jN6I 10dXQRsTNX5RgZdMERBirOTTx5Le4BBwI7QM+M1ZYq3WdFffxTEh58KWNhjsFU6NwI4W5A4Iy78W SawL3nylbBJEEGBOvtioIBUWZ+fCG583XYlfxe8UG63w5rsS4h7GoN/5tGqJDHe2qUY3ybRJd1vG 3jD7VR05iRDnYvU1KOwd/drV6hqLvOHzTSS2Ov+QqGTlUtNbRhAi3tKt34Wn5YRUk8rbhU9Hg9qf JlWlfavnvm+SUpbzfGmSc+K+tO7jSEPRj1HdB/7NUbq5b5saMU3HgySon57UlE6PIinptDSgKTKr SIsuXS8ZTWnZnJJbQHPnH5jV0tgExe/6vOlJv/ghtnvJ6E6W3bUw793EyTulttOqioDf3mxKe/7a /hGFiF8OI5uYbe0y2zDYypvR6XxE6fwrpN8jvvF5sS+jlli8Fma9gkGLpd6dpd4wZzNL7lXxdJDb dQsu7JhvZk7alTDnRaFUuxsQl92sCd6J46J1Ai2+uCtRr1e7cv7y2xdPYM7tW8kzPol/kUmODSKR Ks2cvz3NqvcMmARUyiXszhblvMgh2KbaiU8Hs+vqMyUlvWdXlqV2Pcx50aYqw10TCkUJ6JrAWgTN 3sSPG+Glv3PeG3S3YPPbYfOFrj4Dk2bc0+cnCknO7x06j4XcfWFk2KbqIaONVLZGBrwWnph+oteU ZWqveDqgE2zfmao0EBAd555EWS+0n/ABqZJ28wRw9zVDQTGzk0XeHgEe7kxp+qKtaaCCDvBM4LKL EE74JnT88/kxf0hXMv41P6YetXypRlYoWm0LWwgb43beKudviaeDm7qmX/gQumR+ZsKkF7OKsdli gAV8GkafbXkIxgmPPeNe96jlqyupCoLIxa4kxNsC+toCoXASgzeTxOo2XHa/9FDMyvEbooaMbEE5 bOOi2hpuElRLnnPj6SDKpPYtBzK0jk5ctVupx+w2GpYkc+0TaIGi5aWomMStlKSj0qO0d6qqrpRN 5nJnBOfGQf8UMXzVrrQXyO4Tczryo6s6zTSmR2kvzU5PhKEFCReBoWsZ7BlCARNPR1vzy287UWc6 FKRHBDeIJpyoTlkvumeRIEqJylHMMwu6sI1nJs566wuleDbg/BMGmqzQSxykRqE9vqmoOoHYvYlM SXrPaNqmEpK9vFPOSWMIpTtrGaBVC54ONmb8pL00A9sOH+ph2kujx+GGskPawejHcJZMzkVyPeUe k9wkniKdylWv+UiHYMUoiVCQE/lYIi3IwFa0Gea6HXEqDeE3BHc2c5lM1SctQilWbekpfybfL0Cc zfF7ZE7m65d2D/u+ODKTyurcGMIJ8C3VTkMNEAsSldA6f8pfS8gsicHsEoOwLgfEA/D5CVEQUhQG mBi4HxCVr5v3oFhwj/q+VT6yqSVf1Pft8mpCvTXx9D+IBbyG0GikfyF35p37mp03fvz5t7M6IINr IPdNiRJF55UxZEYJ2aPclyAE6Lt0X6xSmuhekNbVwdsTvIhO3nltHp8bgAEhwG8IdkatcPZStHBn ToRvS1O3ufB0VFxbo2qoroKFmaW/PYL8UmMh0eOEsiDsWQPYWjB0Z5jpCI2ULugR2kFhBpLdWliW IMysDZMRoh0mLBiXZHnwZeyl0aC38NC0wm+I0pkh5lLxRf2Y87uG1IXaxtPBmZm/ULwT6yAhoI0J M2DUKIN2qkSJy5sZ1mq7eT98kqCPKBN1fRdbc1U+K1zszq7AfGBeSIXssmXEAt0cCoJu9sNtY7re MygkKdGEH+aLLqa9mG9w7I6ngyPjhSRzwkx11uIl9ohyYPAhzo5UR7aubsLb5NT4rMBG2CPMgXEp nnuDYxQudjedCJTXkGR7OgdRtRWE7nC94Frx7ib9jUaUAlOI5KTTdC3koq0ZpzqdalVlPB2kwB5n imb76AV135koBUZkh2byNisEaGcyBBeqhdMKYTrkYUSN32m3Nj8nLlY3nXwGBGwEmpNtTaqcgK3P bjMEQce3brL3DLaGxHSwLX3RUHImQZXAa8fTQePXqwNmeqwSXMVghKBfgEnLMBHBk8tbFtNaperi iVoJQ2x28UbU+KUO3jkFXQt3ZvDM4CIRB3tBY0dEHsLKASqu3yHKua8GfkEAUhQPUNPsSeGzP5TX 6YJEnkqm4+loVPBTG4Cxj+9L+xJy3s65gLyDGXrXPWwkOZe58I2TlZnB5YgSYAmkdAonTnIsbF9O BIbKNrqbJxafRJsFJ/S4O+mejsI7Oz/w46M5gbDhlLyo2SQv2gA0Q0FmNjwdBJlavseFfe3tc4IR pb/MWBqJhhxH0o6KJJ1iaE7oOxjheETpL997NqpTavF2OIT+a220bhy5TdmD0kQCMnnAJzqoteo9 o0GtITdZZpP4zaz3lJCSV8RQGI9HB2Z9h9iQnSTdTlsT5r9UkNeVPa90TIEqRZIFy1gUQOSXFOW/ sqKpRtYZzkU53wfwJZ0+5w2m1Sb4RWNU2HuWm+ZhMMrfEHxKBIn3wsqJi/LfmZPEY05IxtNRjLFq km7xZOlMPzQh3Jff0t52Z4M6ra7VUicLwAdaTzL6RnBfNkGBkOm+2Ay7nYw6Q9kWCMVTIlRhOyfx 3X/IxRYThTjoEeo88Kxs0uW1cF+AiZYg58K+hOnvLw5PnrP3YwphDyiys3uHnP9qGhk0dg2k9NBd InlE6a8k3UQKxcLgj8IAVfaCdAFaS4ANy74DXZbO2f5FKNLYHb8hymUoiEcQgRaFGYBlGD/X2nj6 /zBbQk2wHIs34uyXGKJr68QijUkeHT04wL6KgSPMfsVSZzdRi7MKJqyLEqNM3dKPmZQwyNDU/6Hv 028RvyHIfhs7VjSL1GIgxW2C9SfY4OmgyK6/G4NdAt1OO/NX0MNEo4QcHehWqBpokgscp5bEHrGW HFEDOEvRbK+7OBwkQUkGKR4aESlJ0YxIwUGbzfmVRs5ZLxr1rIR6oPMHFxWTOwuoDwVUPB1sza2y hapZP6nMjGEPtNej3RcCsHSGgPdlu5bpL5I2VpMz1jSTjky/i9XZHYQF2RCC79W5N0gR/lCDhLbC 3s7res+omuR3tOUmR6EXbA06kQyh52Q2PB1sTfttQEwYFFicmVH2i60r9GmlKHKapCqVP40StBVT FTqLkCU5wwaweuPyLGrunbggJ3x+9gbwDHKHIsaSJwypYdwnVzwFZ4jvGXxOqq8JntWSlNqlYd6V J/udIea3/zDeCtrPMHbRzoSaDwCEwDFHEXima1XkUjNwurC6YMaUNzYgdHK42Oe0TmQED1su5sMc LtlSBuIJkrDOkiQbe4YdYOEdyKTSoq+pVasmT92BpyNWQf0SUXj62rDe+IzyXzB5YB7n3g+NgBBQ dJI5LkMnxHrjM2wADxvDFl+szoYgQLfp7OiszADjpKrMSePbbY2j68nXDDaG+mZNsLPmsLPz0Jr8 M9Tzv+HpYIw9/+vInJ/RHZA3owy4cmjQ9jb0PKdjJGM3ivkD9oDSmGnejDLgIRb21MbMuzEQqTv/ Twq/gR3Gf98kxCyDiYA57RV+y3rP4GPibX1ynuKLelZ4TUonYtA0owS4td90BiGn+900Y9wDGuJ0 UWA6w/oCUra10HumAaeDYRnDTIR76FLbGbq1x721N7T598li0JqB8au6efg68yZK/Fs1TXvPIP4K 36qZNhdBZU42SsRSO3EeT0d9zv39mNgxw0nVzkTYh8HBhojG9ao/ACm+TFrmXCxO0ZlRB3iRfl6n QETzykPvwbwXTas2IdivfGaxAZDRWZn3zHA4OaMUOM2XJv3ZD3jG42s6gRhPB0VT/6kniZRFP1c7 E/LdWDRRSI0d4NLUiOipTRHWwcW2gfYM1c6MAqjM/+vf2Qf9mhLK7L2nYGOzJirrworiuirTQGVG /V+j6GTyarlozL/mIvsIant4OsIQje+YH5L1aFhrWyL0AyzQMLI185S+klkq524k5HOVLquYZpQA DzrjtTHv4pNJtjQJVAQIYqlzTjsEKP2V9GUXLHvPANsq/ANTAy2aZp/PUtXgKZvx9P9B14DQN1RA 2pmQ7YbxhRwz/0P0YBfq7KStpmUL7sFUAjxDxQdpkQ7N+ceVx9twx1qbY5OMgpEyhkQuZmnRfAeT ymWi/Fef0qI0rhajF8wqpPiYG09HSd6vHCmVOIrh8VaU/tI/FJIPKpoqPYlBxT7x14qmVLyaXFH3 d/BfXKfdT1clBBLlay92rBrgQlXujGTx47/zRUDwoOE3/E3TtycCxrtYKAxSPQ1p+taKpyO+2/Bh Sv5Dzh1ww9qZsPuL8XEve3s5adA8hF/bmbGWhd8V5r/sQlVr0KzhnRkUqiCioJxEHqduVd7mAoGJ yh3A0TxvxZpnBM+LZMBFqJk6pCcOPVk8HXxN9XeYvWEPbOnvCnV96dE0p7ONJ+FEcG6XbisAEI1e MifMrBAAofBx018edOQyZy8qh/rIZSD4JpEQFGNoWXUaWBVX9m34DVHLSkdGapPJWW8gdrFHV85p x9P/mL9d1lv31swKWW+ggHQJYPPGLiYssztzGcLntknurBD227Uz8y6Gf4AXSJFIyPlatorNwg2C YADGW/dmqnrPv9G7ZpZZpzwAKZDdCpnUNeeNp/8RZniJFUy9/MhE6S/6Syf8Dk/y0hZEpBXxDdD+ UL8T31JIelN4MTJxvSSmRrOYySn/iSrn7NCugApqSGi5KcY2puLkivAP5DOXQdCNFrVmTn5N4Q2c cDwdpTJ3YHAy+3NbF48xUfJL9cDuohcwbzA2SitDcefcucUA9CtUe6DEZqEDhRZDPxAdk4glOunE olvY2Lhk2b5p9LwVP33aewa4kCXYQy2+KPltmnBgHDPwdCSTPX9iDCqM5AXTCoG/GF6O5ZIGk51V mRRMDzKgPepeCpNfCWB0lQXdywLY9ayz6zi7A+OmwmTnJJ6QP8I5RFqmXIZkfPyGv+1MrUIA0yOR BdMugtOiHYano3tp//TF2bnavjNR8guUBOa1iSQUEUjNrIouIDTmpK4XvqUQ+jvqa2dgFAPR+knF sxOKJX3AtnQhcLF+DfLYGV+h2C81DYZq7TFtItxhZs7zc758vl+k31q+3xIhi4BdaWdC9C8K/kwI Ac5MphcOJgZIpVzs4Z6ZuAGsvFa8wHyVocE4Qm+jk44yIMiFl1qT5vH4UvfFEpFEuiL8L7+HstgA 0sKvqWMSSixRXxVPR+LQ+bszKG5yth7nitJfhlZZowoWParBomsyWPRuyTjHK0x/qcFfJAVXvlJw 5w9TMVpBmFn7/KUzDT8gO1kB3Vrf7gNblfgN0YyfnXF+jVoUfs/v0mxxloGnoyPj8AdrWEGBXDuz o/QXJquwLbb0t2s/0MpLZiQ4FtXwKYX8D8GzXbcvvjMnl5ld+pIAXMkZDvOrgmOK9r3vDLu/O0p/ GyNvkU4IF/VlThzn+I3Ctjv0tLhJnq4QgENcCGO/818ApAodVf1uGoZ47YJk0iaGjjzcmn+5WtS7 XAAalEEWMIvIzYzc1XghnrMP9LfdTQR/4zcEjRkhz5aUMDxdGsDoFYM0TDwd3E05fWFWLNWrQ/N2 iAAGsr+s1Qz9UKuEvTBTtDo7LdeC23ECrFnBWL44y+DcqZQfOhE44wLPQj+wSP0zvxNbglx3lP6y YVWTEB1cxNVJMOJVTrvx9D9Ib7zO1tfZYoecNyTiTcxaJL/SxTs5noYVknzYFmR2iH3I4l6In1Su wyRkMSbMLSScQjVhaMwAQgJsHo0ajZWy7D0jMQy1q6SEfMUwMOVJAp+ljaf/wTJg8gtASPeNCcG/ iTpwxTlMycTEz9+5SDb6fGXbJKJ3CP6VpBepV1psY875OH9cavOPk9awgwttzkU/+T/oFph5Iop8 /IIIFsLZW+rJF7XxprBhANJXPB1N+H94tdJudbjijrJf5P4AKNske+RuYuJlJv1v8GI2GbgdYh8E H6oMvlysKV7gStQ14T8p8jZ/kE7lpj/ji4neSW8Z5DFF9aNE4JJJbZxit81pwgYLT/9Dzkv2k2Ro +r5EyAdMtM73syRvhv9S5CWlXN2qRpta7ksodCa2Wxb3Lc9bFTT4QifWbbkBHMIvibMvuF5ROHAJ XoVXxG/4KxuQ+j9aHBMtNdR2alU8HfXxfgfZSMfScuOCKPetkj/Ihq8y8QfWkbMb9qE5kGjH2AeS UuQ2wsUi70bOAbWTszPsu7DATvjkT70AtKidmUI7UPyGIMPjbL83Yha5qI+X7PzUVAeejtR+/bpm kkejp+KXUtz8JVixmuJOn2YFcjKZZkzJxcPIKBNjf5XJiKW+L0u9TnR8IF+ASwn4X4IAOCPEZ9tv t4qaTp8dct+WwTiTL8LLVFyj3JnFpyPqRf/mvpCkLcURVjtKfhuB9IRf/+f7X1Dop7Yap7XrnpkI /DCr2uE9+2J9vDRHXZO20Mj02jSOF/oxnTnB19Wh6T2DFE8oTrm59evmBgdS1oII6Z8d9X7/W9EL 2lXbBaJTlPw2jHROdl1M8YF9R84LAK9styyQQnQKs19Z3P2PwxD8ik5xg7b4gEYpxTBgkIkG07k5 G4o/Q8wsf9OAGSgoPamFBSr/IvlRiY1teDT1+HwEWvzpQMCiCLQQ355Q8SyxhMDRBok/oQAtALkm NHbwv3V0Ecz2OIUZcJcBk76YNRw2A+b+SBn433HymD1MEQPhmJaSpX6xIclfNdIPpL3mIteLC3Pg AfZuZ3bTGh+PiNk/2g+N/nDX+CK9s2CTpB/JEK64stWrOeGum78DdZXNLSUEAaszno2oMt1vHaoY 56eTVtpToZsOCgRoVqFxQ4qBmnqrZnvTaNzPCDo4j+OiaLxX6ubc1vh4kNo4EZlPIe+8HBUojgUl N4a3k/492h1rl0N9PVlnD20C253Q6k09XpFViitmnqzvnCMMs9HAqgD+sdGFr+0PQSIzXzSaxgf4 FX/D6VVpT1bXnkS5V0TLz6nx8Yj05Z8Wp+D4Yf0ayqQoJ070IMXhZOgZ5cJeO6ttFJdodFjoCS0w REyntK8WPzyYUELH/Nzk6KGz25XBl6cQO12hVS2Qis5fEUwRpFnKyZUWa32uKcmDDXn6FEIiyv7e WODunvCRbmSO+8Jst7H2BuyHZqIERWQn8pC8JQ/SFDeGDS/SfdHpWfUkNV3Fd1ln2whFSszHoRmB cOKtLF7n+BURLI0Q+0XRGS48PTAFEC3jvDEff29PTfO7PRXrVeiUr8Yz8mCaC6lLY6wkU6nnNNDE D0gipX5/ihLkKUWe/xFxOv8N9+RZBTOaQ4cHFFZ0eCFtfNUFx7Q3DbKdbg2t7osNWtbWrGRuvWFE G3QFaf4TgPVMd3dCaYghH4xhLa3Uh80tpylIj9HT9t0JG8TmX9WnLxZ5zoWbsEEkm+bRbXeAYOe8 ayeLPIXAGP6KiDrIpnmaYjsx68XJxqePv/0J+42PRxO6/3I+o/xX9d2J0mT0F6AMKrkMcHo8Lg9r AiJXubsTSUToqFYZ5nGxL+vch6eenNJ3hYwpm6U85q2K++mGTTJrTaEm8FZDi2lhc3NuuPtu6RmM Nvl48GU1H0bJCvj8edo3JYySZbSdCq3JWHoS3SGpCEEbJ4c+7kmfYqSEXOFMMqI47b/SOhnh5txf qVcHqm0C1ZrgsOr6ccjOXxGJi8jtWuL1c7vVzEn3VWINZoShO8b07WFai6ja3R4jhw5xUGOHU5YK qpLF9MF7Ez1GS9vSTRQhBxZxuFNYgFLmU4uNpU6QPnUcbvVe6VHO3IiKZ6Q67HSBJIo8gUccvi1i GzVq4KJuzqmZdSBOdc/Ho0LrJyOk+XJy/H0ObeJwwE+atmx3GusW2bhydzIQE7v57kSQCdMmguCa LfZtnZh8bi5osbfzc7IsPCuSImib5osL4JfMXxDx5ZgILqWFyxHDJfclZ6qTLvDxqJtevvkg5Azy +J6cMFtG3opLxDHD05RpmgYNpwpFgmcfVmgUp4FmS6JCpeEDzfMtAelJbEAvRsqFtRVncOBZ3jK0 Kx8MneJk/tuqTg6BBfywemoqtdC7yIFVXFJK9gUhlR+bzhx4xcnIHv7Q1bg+ywJQhwGe7qxJQpV2 J8yW1fIqeflidxbbEtCkO+GngZ8n/1LKeGbTfjUYEse9OXCLc/XBJR2A5ToADcM7pYPnz8nHo1F4 +uJAQWHP3cnLObSLQ2pSsvEpwXg2NbmTW27rmC78pVVLBH5xifBAdEil6lmzZ4NUiRtI9KCFNTD9 JeJGmi3nf8W5cX3/bG/6t2n4EO9yuMwsbMsT5wYArfDxaLT5w7islIS5+U7gGYckF2Z6xKjSgVHS yg0d2q6YPCmtblEntsxguKmmLOduIhSxTh0CYGskdgclb4RjDrCsuhjCI5Vlbxp9WVI7TXfh2Tmf sWS4gUTg49FI5geRhMqy3/s88I2TpLkhfFBInMxvOVZrVpM7hb63HZ0YRMxwsvXd7Hp7yWgKZrBA 1gB+k5LEYxP0yKEjLgOPytlfNeL+sAAtInj75Gd0ChMywyubj0dRuX0ridIp0HujciglQfURZiHU aZxbdVbHG5zqKsMFwZkcObCPw4RHJYTQJuvarSDUdHia0aTyKtYAD8khJ4Kr7nM2mfWmf5OwnFsd sG3TmXP2WxlyvEp6/B86G8RKMp6suztxroz/fW7B+zBNF7f7JIDDq9CR7u6EgGLRFejCp0V1FoTg 5kKeh9YpOCk0N4VWORGioGR6/0uGjKGHnHoYJwmsvvDspDWbAQdW5uMR1eW3zsLV2dK9s2JMMbId Kg2xCu1uXVSEoaW5dOv+aYWpcpO2npwikjtFnDLqfGZl8OyscxkntuSzaIco8X7UjmQXHPrIlSU7 RvwBtCgqQ6xYE89a+HhQSXgLo3rztDl8K4dOcl02GrNYFdqyqWQlisCxzkrFsDg5tJJbmkfQUkeL 11lAjmpUs/vMVcRmNjIXCon0bZ0We9GgRKeiu1yksFSbeLfelm1T5uORttr4gk5obdxdQSyHZnKT pp9wcpOwBLEgnNXUIkXhmdjO5IcVusmxNQW6d/fFOssLjSoOOjsUzdk5mOqsAQOIYtDaO7T146/4 25WF2bsv+BUT0GVe6O18bnw8gor6vKbbnXV20vOd0FKO8bHIrxJxh5NpdDB6A78MX1ZaN+4EnnIg xbODkaS/kb5+Rhh5dsA30P3ahSRaSFELZwiYwh3z1WRvGnW/pIcKzJQWxp11fjXn0CdiNj4egU9+ xhIFGcXu9/BEnWVKwdXl5Jeah5mnrcr+zinRq7iaST/mb3iCVlr1xQ7PBqF4sUTP/XxcTAMTLtMK sG+fF0y7SrU3DSbnVYmOBpikQ/DOAtqnW8XFx/+hv0HQPs46ci3bndBeYxETWZeBLeQ6TeMRvC3t NdislB93CDWumprLhiZfqHHFQAzCdlCMra1Oq9Bho8437PfoUDYrx95yZL+cr3P4YiPiKakJWJzw 8X9qrWVe6MB8+OZEbWUQOMtoxcqsUYsDLpQXDgAumpdZobucfViUMdXinIadUIgu2rKcPSns7rAV S/l04o3bb1QOU2WqOnZBYvsFLyUQfCX7tPT4P1gNDM6s9u/JiVLlxq6y6k3kgpm9ZCT41SwtR2OS qc2JFdd4ZLp6O/3idMa5yiEzQb7dqaGn6Y+hT4P2CITAJGrDtgl/w9/ABUvti/VtX0x4HfLkrMHH g0Q5+6RY+H0xPX13oq4ygZJZ6K5C5IU6Ga0U64Z1uonZd/UPxeH/8ZhbYMPAG4P61Oc+F12RiOOC gqr77pAuxt8Q7A4FOUYmuJaLWB/IsPkPPqkDH/8Huha7imvdhVJz6DGHdmAZaVnIGUr/Gt33liMv qITZ9WOiUZ/q8jR9sUQQ+Ndu9nvQE1sm/zigff2HNp/zZ9AXmsxV6ouUxMZOSdYSPBuTq+QZz5+X jwcRxzlUzGSREFQHHufQZg6zrkIupdpezQaiUL5KBr6gLpM+qjBJVp9dcY6LD7IynKAyk+TzE0mC gj93+UNDVKg2cdaHIXG3N/2bKDN09X2xhilk9JjbtcHH/yEKij8v9EDyN0kOveYmR+i8hYjZYfqK sipvmpEAyg/del3lsdmcRHYFFG0CNJgL3zmCW6Ad8FppaVkok8FW8vVtFPo4h25zmT4BcxCbModj U9oenGKcdHtMPh4lyZ7nKKmZnDDa5kRJMjumJ11060Yn+bYyHc0/iFPi0Qkc504ySeBOTywkuNjm JAgK4DZZwN3T+YeQp1MWo3HPtk4TaL3bi0akIcpWK1XmopMzSpanSMt6wQBesH6NN8RZ89L8L75z uMm7ex+NbeLeJ/HZ3b4rMkC1OX/HINesCj1fQQFwJ3CFJ6pzbHGYT26w+Vv7H8w1HdWU/U2j7wq7 k2V8n934fqbUJmXcAfLg40FpXn6NoTCxXbclGLrPTQ75NO5EnlNWNmhKKg6+wNP2XUUp8mCCU4z3 0LvnOSud8rAChbhAkFFhPTZ7bUPXv0+xZu/2psGHNSzcLF9UQJw7nBEIbVo+Ho3PPQtEIoszu8uN OiH2AtXeYIeTPcHizZyymgjjZ3dIIEr6MX8dEBuPs18B1XPswHDYNLQ5oalw2JUK1TBRTMB0wilE NM7KgQ+d6wH1XaovGvIZyPtPTXvw8SAo959+MrxOSr4VRGBFh1iHTIftLGJMyckG9qKRxICLfYK7 rOoz9KLrktw11fzkI1C4Q+VcEf9O9XlSNjRUcHgqsRfAvVxXG9wpetOIR8TqcxCCwYWHp2KuKmgK WheBG92Pny4TwbWpLW6bEyXJTON7NjEXaDA49KJOgXLHrN+gHEEvWjN/6uKLY7YzkMRbzjatSUoq k5uEtg7MBF1Jis5iOfSjE5eoDmEMRrKjc06MRum1M+6E6ORfqz5M2fftJgeGdCezLuomO257bePS 72ZojDFG92b7XxzpBNfRhTTrF3mBQIxaYXXo1cmXjSDtIg+Kr2Z+WvamwXcldzHKz2kRXhBYMiY7 Ax3BwJIuffulvHQJ/75wytCTDhhbHR3qSfVWqvEWcagqis8Tf5p4izk2pdN31cZdbHfOhwR7Wvba 06DJ+fmCqFQKdmZt13IYKZXeNLJzYdNrfxdFnV6KvquC+XBgS4f6LHvxCawgam4w8W17QpgyAHoF aRkUrAvfmXJbLKg7m15jNUObhs50GjHULA65i46sBJ4rujho6yS0tzMFSBDxKUdNjUlLBnexN41u dBqwcT6ohYcH/s9DEO49+XiQ7zjyoiirBi7FW4KhOR0DbmndQNxF1lo06nBh1YSGvGqswJ0Omg4s roYJeSw/PPAGHcjXwBU52yawacVAnnYr+xbnIw170b+lO12Ddy4qstpagqWc6MjH/4WmxEZ0CI76 1vwVpgyasQH/QUiVUcdozVEX0ztesT/dZseriX/V8i0/94mYFfIb0N3MeWQjmyPgAK6DxFYlFrtu /BV/E2QoKydflCh3fK34152bgI//Q0OJIRkC0tXrz9ChroKIAFix1eZCNp2gU2fdDruonicHFnXG 00aESb7cy/wkehTeajDfTcvmFJA8Q1J4b6vm7/k3WEGVr2wFzp2p/6gzKcSNVBaf/4dcJud7zOpv mhy41GFzEIeV6eC0lWJ1VYIvQjZzLS8/Y5s65sctMTBzsY/q5N0QYWh0r4akxxYCPsMuCXfVva6y v2hEGmFpBQiULfyozgWYZQU1xubjEdbLO146J0hL+m0IBlZ16Ovg38sChLALnnQ6skl8dcNxxI1e cuxVpykNLTm1WAEKREcD4RUuqt0katWeIctwX8jOsA/r72nyJGJCi7ql52pV0DkxjY8Hh6f56Lyw 075/SLA5NKwDzA58eIMKduby2JTzfWTjB6OStu35O0a50H9Kiy4s1FMLesjcnvORZfrvspSCGASa GS5eQVH9HLrWEbBYiur00o3RDw4cuwvwlap8PGiYfls7prgKmL3tTpgoA9c5lvH1TlmII079CtIw sTtUnLPdiUl88hrY3ZcbeM6Hi24aZXqTIN4J3FY4K+GauYimlOxN/6bsTOktW/RxzfNx8tM40Z2P Rw3T/0KlYFBa543LoYLb5piG4vlMBllk0b8Ob0/qUUKyr9ATAS+qqm2hbLlYMpjhXLXlwwtwMp0b CseRaLHQBNLmw3SbzYGJHaok2XfYJ2ZNgPPCe4umONfm48Hh6W7uxwloI4bbvq3PM9spoPMwVU5W SFAJXm7olF7hnCY5wfyZ6xRgdhCPu6S5uBgG9ySUGxgdYHbOc/IdaKB+ZiI90eOcBqREJvhMdQpw mpoNUzKyucAzKBKN1e7JzfDwY18K2EOc6sGwhWkg9JXQtdVhDfel0hsexTe1ngny5/yKsRn2WMDU iDUS7ItwKDCW98USnbVOSCZn5Fy2qVWxJhrLGwg8o72pfTHo9nNfoNJJEBxbuFqUAu5MfSyUDyc/ fu4LEoFi+6KrLQ8qfGTbmWeecx5Dg7yw+cSeTlmuPHW2Rh4wZ7O89HxmOTgxQ0eFHR0uOjHQbJkE hC6I283K6p205A1KWrqlA8E9n2eSU8AXJjWxErnNxRDt6BgxN07t88xwsKtkxouFSMrWn40fx115 JjhFGl6lSa4CvgPNJO3amkb9HJ1oUtqgP3dFky/YU0gWcWWH3AK+BB4WFIxPFCkishVp8CPrW5cT C64a3vK9LbwbSxNZuDUWrNgXCO+RDtdXz59ncsN/Y7V9IToXRC2Cvrkxz9SmoCnOtvS0cqrtPAyp Xda0KbnEy2CrGhyXwQ+kU05EiwUY7MKCDuiCQkih9tkEChE5Deil63IgcH9+nmlNEdIBPgzU1m/u hnOKGfg8/OFQb32eSQ0err4v/MOiWGX/jvvyzGnOQy1Tprctu7XJDWfgXVMoCxj/ZR2YZ0ZTEhVt UV2W4othtCGzCvT0OTBoQhj5AQZaBYR7MBp0KZ29pE1QsC+ramYrS4Z1qQ8Qa5GwXd+fZzZTXNeO 54WXOz/5ZfvyzGaKIO8nxFt9cD4pY6GdSoOt9f1ntEmDdHgxRPtCQH9n5Ndi+1IAEihMhGfbiZrx Z3PwWaDin3dMxS7e55nI4DtCIVP2TnexZjGaFLxk4BAUbAt1nG94IXmG5C1uyzOLKaaEiG9W8SWz PUQ5gm0WtCcuF8NTPHOY4voVXdpAXLQtJzc9l9nJZCgeBBCXEILSkEDouvkvvozPM4HhceQ9lATN 9gEYzJi2lDnQJX6mL/5yf0TMRmcH2m1Itrgtz04f7jDB26YPxCEeZi68HnbPobXo8uzz8eNXdCEQ h4tFlw1DEwwH16mmkKMQNYrud5FGc7/K8RMwoc+zzYeNIWqrDHH0hjHcxsnQs7Qv9xqfZ5OPwc/P i7ncyaLIdubZ5MMRpbZcN1+tEyW7KqUT6105vpbmykF/T2D4j9XinZqaE8y9EXch8s6DxaSj0HcA iaebbbGifHb4sPcEQ7LVbAuPzAalTRayAw9HAcZ3Bo81/nmKb8ybWVXkEwCHUa+XUP0q8jY7PRgh +VAqynk1k+pE+Wuxb+nEb4hjIPSCNiOlrgyQXkE3+Me8YwpM+0560aBjD4K+ilpUS45R5PGzG58O PqeZf4Ivpg9wvrStibJezCxBwrZqwAC0gGFzxofjM6n+IaBxtDVC3mxpn+z5hVDAPByz4jUgZZ5o ygXnTJxPCC2gHlAXq1eRzqIAzDHdqvUu1jdPVdJ0ECl706oQgUkc8gjMfxDJ09qcd+IrT/kT34tJ 20HsXbHm/EltEF7hXmvgm2BzttQ99MVwsTqyDrCSKELASYshKDCawbdL+XhLZbQ3Ue6LZhJM0GU5 MIv7BJ2dkeb1+UQ/b1KVl1rciiHpRMKcb7kU5b+A0qP1kD3cNO9+StYNEg1oQNm0LojE0ohkX9kW fVQnuevgpaKQRPuzGwufWhRoxo0LoZBU2ZtUxWBaeTexBcVFWMhOmUtUTAsThSjgjHIDzql4Msdh 96t6p8Di+5xPNs/boDGAetlFaIFTS7bb/Iz2hlDIIRslLtqbc5uc0z7IA4ZZsaziNbLDnI4gWn1T TQOF8PZWT4+F+XTAXMfsQg5Rc6N3FWyNgPX+TUG7PSPTtK2JsmC6R8G6xpA3VBdh45MNKETlhbfX 1rzT4CxlvROEOQDv+XKA+wKXtAuzBZmAJsU3gk3KDyipi/TxzoLNwLVK+UILD007WZ6NoVr9vMlU yPTT+onEnRkLOiDamTAPRou61zv+HmZkd+5Ik3cbtIbQzkSJMBsdaMl0X2xn5jo7A3PUNU6NjQ4c E2GKLAEjsbwBMWn88qZS4R9XOU1IpI5zUSBuqoX/tJn3582kYj1afnI+abnlG4ijXHjSlXc55qYj BTPZgWVy6TNdRFKUDNPG/aR7HClwMWDACb3wkNlsQeSU1U2H8gOT4f71nh2UpX0TqYoJsiMXKL4o 1hRjROJS/7x5VMW8cfVBMeiyY7JvIH5nxOCZkZPmBlwYeJn9CzMWyYyi9uQtFaXE1sqbtPfu0z3j QeXaC5cVUBN7grHIc4MUG+UmiLCWEdM0/PPmUVmDDQK67AVz4cGBei+/r3Nv5M+bRoXs5t7gm50r 1AnDS+43j4o34wSGAO04Dr63mxb3xrjTzIFAg+8wKWYg7rI56dfmpACheMqEAaEc2H1K+60Sronh N3SgXOpkTr1nsDdI8U+xzr89F7X1JtSN/xBtsz5vEhU+KgKFPBLTmafcvXmzqHCBg+9yalnT8jjJ pRK+1sniwt7AQcPaenFWzHPTVWh2z24KrFdPMKMMzIJLr/aG9YV0OMd1mtpL7xnEYmU3S6ID7mUF BtVk++OErfx5c6iKEQRvwGm4bGFEbnsTpcUEKHTspGJxy5b5pTmsygR5UwHnzaEqsn8Dy1nGbfMW UwM4iAQvZHSy4PbH+RTgMQV9qZ4uZRw8Lr5nUExRQKgRmKFFwfjUZfRkrufXft4MKnakfveGVIZ9 tybqBmPIeA7l0BD3/BepVBlicup2ghINq3t8Um/+FOsOXj9TbPp52fTjHIYK0TsUU6cOpvU7NFsa rH5A+p1X1lgyFW/+FKsp5Iq66LSoN3FSS2o5ocL5vOlT3NnpWTEz6PWHPXftTZgTw+GpM0WgSU7Z amBBmVFyS6ckKzZDeLOn8Kspi05stS3cm6rhSia3jPJAsjJjFodOIMU1zbuYkIA3eaq44ckWWn9f tP5JwbL5v8/1eXOnGMjHT7ghp6e3e26inBijyVLoQslwwxEEzWCaadb23byf9eZOleSiXP+/5Wpp GY7XqJ0WRXKkVFuAuM5AaKAU9ju82XsGgwRxPLZU7+iLhX/l2l2h58TJ8nlTp3CNrt+9KbJP8Vbf mzvFUFzQuilubbfLtgbFtOb55O2qvYl6w9a7yfsuVmhuzL4JxBopgx0kkfVJQaVzPeXx9TBees8g vxGSJMndObnpH96NsH0wXT9v4hTPtV9TlJxkY7h7v+9NncJpA64Fej62N82p0L02kwIsnFBzb95p MUIx0+KlHs5aDpTYsMA4Hyr9RetJRtgfJlSuAOO9fkoGpjdv5lQxm2QQS5cv6mtNGlki90v78yZO FZfy5d5gI0np+XYo3swpBuNNnSn1s6B3bEiAkpb66eN8An5w3okxUHPqmlN3yl5Xikr9/JELYRLn A9iycYOvPGhlkAz6qae23jPYHDaIpxDpXHhwTjSTavvJv/fnzZviZdF+6yl8CO3WU2/qVDF4VqZl HH25UlEIbqcqNCeUnZqpJL6ZU5x0J21K88WC8bmuT6KGomHAu6zYhUbZDAol3g46i/A3cwp/QiJH dmFji4uAa+eSk7HWwNa802KxfHwSRQ4jwp1PXN7MKWzN+HoriVW2jVVG3gNGdHu7OPabOMVSnmnc Iv5hiO7PY9OgTTB4bKDPpXjTqUiDDWs/sZhA6zdvit8UqeFyk2/XTb4Z6+sPbNQ/b9oUC6PfY4P2 OGXPNNWNsmLSWDEDMKam4BEbWXVRooMZYdUV/mZNFYdZo3Pri04N+g7wgdA1VWBXzVhN14TzTxpf L030AT5v0hRHSpSMJJtIi3bmZJXCAZxv7PPmTBWj5txbKsNg5LaK35ypC5BI1NhK7KJPp3ZMVZwz IZXgnO5NmSru2TBUS41vLbVAWxiIeyRplkpU5KDAMqQjBatx96k3X4r7IkQNayAuNr7cxOJA6IUv F3xNafwkxFQ6vonNmy7FhghUY3cRQu1ci2ZZ1kYmWnZB1s5tPt5sqeImzxwP22J9G5ijQu+YA8xm PfYOGBwIauyf68CgffZ5U6VYRbG0pAK/FrUmzpcqpfmzs583U+q/IrDaEATo3j7omytlt245/xbT DW+wPxJ8ODVjr/ZcDD78pkoVd3MbdnL68D4oKB07dSngoP8klPHCFnZ5Jl0tzWrv+bdSoVEjDYJ2 56ThnwSfT0J5QVoqnzdTir2J3/q75POnyffYRPkw/iAnADSDSRjEEc4NjTgswiSGSUu9iVL4wzR9 Tmg5aFGgSdBuGIUyHUDhZoL8qKgMPbuvmyab52+OVDE1e7BThy8qFLj5TPhwasJkeKefGgoJPx3t tS/vXFhjLNg1bOudUxiOuXAfDpPgGJ6fU9QgJp773NftLvY5QTGp0gAFQ5dZiDM5JQjYFDSMLHcg Rar8myCFs82ueZfgVr+CW3s1mS6BhPJ586Pw10/zv44MxUu8vnwzpDgt4fdEEUhAa6pBqc+/ibJt cJ4YMu/iT4m+J+YyTKG0WA1VYBKH+pTTus4gOgG5+UPFCWFIFIVb1XtGrT4J2aW7MN07kbINA2PV z5sexVt/exRWfwc/JV08VpQLg9h2qkpSNtpVlAJggsrBUBGYUPvSwYmaxJy9w/hza2E2jsZEO9/K wlxsDYzCO6d1eSBnph8PhnBefZN5+CZIeQ+8DCGHuejkZExLWTXW+nnzozxfvI3QSdOKfCNxlAwj dT+ntI3LrdMAhn9nNfswZrPNCZvE1A8YrHG0WMaXztmBeD42B77P8oWmGSAAfb04+ujsVdZ7RpGY nSwOIbXw5KTMn46A0/h+wVe158/9zclfu12bNzvKEbELI2J2baYMfAGnpp0PdOxQn6hQeJOj8Obq Do9yF6u+4T+elQ2PtqrUXwBiwzVaKO3i54aQkjc3iu26+aL/9CLMKEfqnzc1iu93sXwMOUiU2NgW mC/KhyHshMg7XUw9STyg85cW+ThUo469uVHFrT96nssXG72weN2JUHMwVFiJZii9M6OadNIRAYhY 6jc3qpjYd1kcY2CZmvSfC6/ypuq5zs+bGvVfYymWChnzTP+m3uQo3r34x24BRxBw8C0i4CQgtCoN Y8BMbtyaKCGeltDRV7QrPjIaw2n+vAVKhVOqDappT0rrUUmKHgUGuMHA/fOmRt3sZlIqRwu/qdEn fXbhgDg+b2YUO1r/NZXCBUAGh21OmBXT4W25K/YkW5AfVTMtbFBvm6qFNzeKuTU76FvcqO146lLx z4AcNqYLqUz24ie9d9GXQgLkRGd0/vieQTDWOJMaelrUtgHkiZ2JPtbnTY0q7mR2CykgtpIP7N7U KEQpNm34Xiwxt6llnqu4W7zJbZhC25sZxRKTzZgt9thenuGcEHPKb+A/14BInqYPhexEqLgAo+lm Zmhoft7MKHbemNoMlZrjmt+18/fncOGU/J83Mep/usREKlKWSHsTdokxzBwcWyv7G5JMAlG1GHIC 8D7G4jctqjjvZ1CbQssdZs61GW4aHGu7Ou247KHaTsFzKzMBmfu8SVEsMylW0nhNcVH5fQI5twm6 Hp83J4rt9/qzNZyGteGN0DcnikPwTBVX02bLzq1rrSRD+p3MyRqhb0pUMWL+ubv39MUS4xNuTiTm NXW2JfNQzg6+bicc0S2YWDC86VAOda2QIfDFJgtDhRSsBj9vNlRxnVURFFhmjj9AitjGhMCJzmHd MOOuK8vWiUeRRhJBTozDcVbMhkMnspqLVwz1fE2ZldTZ6KUBOJEVgAyQC2WlVNNbvqNwJ6K6DTrg cFGfr+Vt4L7Cp6MRb/sppQANyyBs285EKTEl3DvbrdwZZmwcY8pgEmNMiB3qyIS4CR6F0cjB5GKZ zYl+iczF1cqeQ+z5Drb3KT1ZY1qY6XrJoDPBKmGIgDmcgIkWQVOu3/v6vDlQ/Cf+RuD+/xh7syTL cSRZ9P+sxSUF8/D2v7BnqmoAT3TR3G+XSCO7OhnOgIMGG3RgBZ9PrdCidJiU78E5IDdmn42RkZZQ xKfIbDGMWH1zsjK6fOl4ZCC0tyvFFPBNLQ4fGiG8hb/Cw9wlrq9FyfAQZk0eeON44LViaYH7bq2K p4OE75I3xEP8If9b+xKmwmi1VRI76T6ahm5tUH2EzsL80YE2LWoM+7603s/i+5JSBdCRqPN90PqV IkVwEgGY8LY/q94zuJo0uVR/eB/fq25JxyD0HzuEp6O5972amL5McObOtd3DTBj4y5rpsFQwEU4q pjB2dy58BryPYaa/Z8LYG35Mg1UAF8eS7DWheY15gj1n1xHPzMLvnj+ZMgHC2VR/zwgTwDJBUEku PDUW3/phcFQ8HSFly3egIeq8nnPTo1QYnz4kxhRuCyonb/TN4f6ssyRHyvYIL6GycWRtUT5yxbUi MevAvsHPFxoSklNH0of+PBlRzvbmF9Wj9nAVn5C4QS1MZPYqLmzZ2sLTURf0u2mTLcYRs6utCRNh 5FdWh/gn9STCrXqdaZVjcrJuj9rDUkrtwoT1a+hrO10tdhVuzbbgqTwrg5eBrJiyO5ewUPSeUc+G OIlEtSguSoRdAhpmrRtPBzCbfyguGWPUMi6wr4ckukoK6lbzqogXiU5N4pARvfMxk+u29CgV9hIq 85Ph4k1QgGYB1fxZs6w5xLYrtEDt9pP6l8w105oepcJyE9ry6+LCzYHSL42v8k4FT0f0wu9UGCM2 yuRpayIaHUZjhWzwwnSP/hOQiqJCNuuFhSFJ47kJM2ENvBtxNlxOuBkJufASj44yhzghTVNkEO1v XlP8PaMZ3VJZSSwxeC9DVQIhiWoW4+ngkhrfqTAH0fsO6XqYCmP43Oc+hI6eTnE53QAPgqguo9X/ 4NJJ6oiLYwIsp7ALhkO6ChIv5+KpoImFLgQELA6sj54VPYIRE3hYyFn3hcdmWPbn3azJ9/vrm6pE nsh2Z5waqoddYggdzZ0kbA25pSzjgYYWPFTBYUrqI8we5sPaHVohadHJAY7Y8g70+lZGh4JTYNhM /FQ7m5DnvQAtyhT3qEusj6pLnoQL60uw69UltqIBT0cArfJ1cqgCSeUi7c17RnxrBUpHDGbEcgS0 GAR4JciGcD2wryrxTwkoHUtjW8pcc9He2C/W3j5X79mkdCQQO/w8FoWmTzQG2Qk/IbqoEI1haHUW oZDaoKfgT7UQi6eD2rudwctSzQXHjHuJR0Binpu0faYA258qsKxlWM6FH+psJf4pv52bQSgCqgVW fYg4EAwFXhaXuP2/q0PzkW/gzy8PypqtLfyESBuAMhmLOSAX3VTFnVVASMPT0bn5pgJh8sJSV1sT 58WIiy0d0cd0h1Ish+gmDjK/Ak7UItaMt5c+z+KX+AT3e3ViZa1WJjTK7ynw2jfb5741Xe8ZFJkc SrWqeV098zq7nuSvhPoKT/91bNTNytTFOpszosSY4oeQAHCElsoqdChn6b45GXEGl/iIWsTUfZtQ kD2LQybIwEKyDRVCSBQnZod2zCDBCLbwaYPSXmlEebHGLm2TkslFe2NVB3HAdYHCG+bF/RwbQQOQ evZTgI8oL2bmPjKV5yiM2d0DhjIzMmMACULc5rhFzMSG8VKLt/pyXuAAM9xUTBcogjSIP5qA5D72 QTRBHjG7jgokkKLwRfkN3Ijl0tYGno7mvOMr3FBXvc4TbkYIJAZli4h81ZqMGOxnURUPoTh3GlUk /invNQNZSJbaMZoMpaoDuR+kvbsk+wAkdtpmlV3JVN9GUxf7rwZ+QIB5bALJpqzFMxVcgbyVAfzH 00F7on9/UlAKIDNfOxNlxRjwQq3oKCgchce2qCpHaAD5s/ygoqx4CRMgbQm2eXxn9ob/iDhSditJ Osu+LXTMcPwPpM+iwcAf/xtZtdE6Rov6WakM8XjsAsTTQaipt23DdBFJxL79iRElxYCcgQPgY8zG co9EIPpjIdSwTtTOREkxpVtnX8/iUwVQjBaGiMsO0mDLOWMshX9vCj52gbJV7xnFGoJBi0jOLMX3 j5R9MrNAq24zHo/GUf+cGoyGnhnviLJiFeHMoQkGJVERxVSB/Wlxwym/v0eUFbtQizSz+tXMssqg 54q/ALLi1e0+kTMMf4WYgZWb96Ee408Ivii64gyXsjkOlR3NjqWmg+V9I0IRP/MoSdjgepon7xsh ihghuFFtnYUmvcDR2FoEoBI5kZaPo0aEIi5C0QgvO8ZVmQD7NSVABxcw/1bPMmDvCbQgotwZRnXi q0eoMlFJAyLTQIuuqEbfTuwvdibMiOf5phhYKOD7XFFRjxjZrmtnEzYxhpA3lpm5smGnqIDCcJQR ewneqSvbya60fxM83grcWofwETwks7wXiLfpRAZcCDEKroyfEKU2QvbVeRbGG7h+SmrCYhme/msa xesbXpYX2TfihJhqAefQDCGI4ecrw9ru5gvamjghloaN8AHlIh4HGJ4NBoj2W50VMqrYGpTbGRnD l27AJuhxhAmx3O1IxdLCY2PfLFvvdshXxdNBCX7ZY9wa/FnpwrRGnBEDKkGI+n8kL2xvSnTSVzDf rfCJZpE5fu8UN8mJtXXcBaDBahcWRtXQVoDYAtsTmLhnSrjA4vQ00XPWi/5CrYNn6TiLqkzbG55S 8IHwdNSf+CcSY1/qlYaaMWiCfFUggFhlbrebUiTOKhdyUpU5o4xY0POR2Lvh4rhHK5VHg5wxWsX2 u2LEbmx/NbvmaXR8en5d7xlUmYU2LxxpaeHeWJpT+E1BQxJPB3vTzsFp6vn99HEuqRllxFCuwtxi S5wP+q+8mhrEnJgRY1QIwTXI2kSdYtn+dfnccPGOXwX8E8M++0ITTDt4SSEMFuAyoRtxpAsxT8ZP +I1ZZ6cvn0WtGxgn85LJlhHPEEh8pW2EtYE8aD6F1IwyYgJKMHpVvJndZy69kpOBagFhQpfU/J1a xxh8QzGH39BL7YhpsLWDdpRozjgdyG4BPTmMTFLAZ9gqJiq0SKuZiwAl9lvR3NZCHp6OQvE/akg4 ghTc1t5EOTH1xt0IB0XmnM6Ob7MdipT0ZLE3f3SKR73LGfLSVGqxWmDLk5odGLZnNJraHb5Qpwo/ IMJMaJg561mEfLSUVfAZS3LwdCRceLuhZzBFMKHvTZQVV1IyYRRNZP4URh8McML6qZYKVXwmfjOU XNOBkd5lv3qXFrIsktlfYFP8HA4LbGpxlILRMO6Yq0WX9Z7BN6VJL5VQtHBzoGNGvHXDZGpGSTHN DJ5vCtd3v02t+Ttqgpo8TG84c0QbvVLYFvFmbVSijDcRakIQ9E63Si2nc2MFnh1fJMUDUCNVDBg5 5E34+VNOIe/HTwhCMc1Xd+E1xUWh2GoIjgiaZTB4+i+2KrFuuNFRqPjeRMAJ6lo58JzwAEfM9gSg p6Z2DLHcm6hRvDRbqFIOqEc5ANBYy1onK/AOfwvJWDW2BzJmPI+GYfX3jGIxS80leP7y3bdSJE35 06bR8PRf2D6NplBMpZP7zZBZl+jTth2G3tvJ/dJqLpM60N/W3oT6a020MXVu9lfnxm7YBJUfeEsl WseQutB/0FtGOiLyWKfHy4zaxORU/U9y02pGw4Pl0ebbRTikc4FTU0Hd8/tFRdgJuh70TeEfarFx +g3pRSqHYGZnJbUPNGeUFQ/hkAAd9cW/KHSqC2TvbGfAx+S/0BZPDaDt6eEusKc1o6y4yUpASrJc NAe3d9M8MueGp/8C3HAfWZG1gxGYIbEOw45S/ISUQtdOcoHomoFTk4ZgovxTfm2hVya9XHxol8B+ BUl6oSrZ6tw0Zl20+5zPPFPZTSjCNqRvgxCoxft9lOBn82bj6Sjx+8bc0AoKnAftzYqSYpAMC1jO ym7qSO5g0jneot5EZzaY+KdEiqmMxD3dRdFmYV4BTXKU4JgEyBuHIBiK4eTnCufoZYX4CVKHOtMU Ldqb1SpBYXYPVTwdUOsuIZNqaRmg237TmxU2ijuF9PJxz6T5MedSiVhIKNzwz0K4WWFarMmCvq1+ VJMXVCRgGJ74US1L2MYDvJn4ze1LI5PR3wobxTJ3kT9HPf4cUB6l36f9Cbvi6b/AWmoUw/XmFFMr lB+mBaIq7nmFxqAFn9I4AAq0KRFvVgyg0IEZalCw9wpnErsLIOQC9T6LJ3Uk6ZTg0mZbq9NvqxwB 4oafEKR+ym669AP6aVDYT3Sp8lL5t/xLOpVHhLCffuLNCgl2dHYiEIY3OLuEqKY2PTToCgTpNMab FUKJBZwYVOfj4vEm450naR2Q3GzcvEZ8DgGkKT/3VNV7Rs1i2tiJR7YeHfjsTS4Ar/H0/0u8AWpn Xd2AFSXFVIHP0yWQCidn2BCIkTveetFUiV/U7/y6njTwTQ/KuiWi8itHL3Zsslgd0A1ItOa43EMZ LKwIP0FPV/RP1lnUDR3uEW4VX8bTUXJz+loIO7BavWoTK0qJoaVQpH4nc4XkfJfWlkpPwJUcf75C tQkdBgk1e6NJirtWGkzOeS33y0vS1ZkOCnCfbTTqFTOeKfGK0BNCllSeOi1KiXOVHnQdfeDpAD1x lTiogKT++VUNWFFOvPA6k86RhGvtnR1aAs9PRpvCDIi3VJgTS5iujrucG1xOxpRphvkv8z7L4tAk RrdsXjjx5jRzhXITXXJIlMVsZKD9ECBQqf2Ci6Ph6WBzrvKP0EtMA+4lFeXEhVYDmEZTIr8vjzEw 3sI0E2oTAxcZP6kQTuzjb7UpyiVK2TZxS6iHmUD95u0EWapCg5j6WPuxjb6irFgIyLlkLLqqZzcQ /mF2gwk7ng4i8SWRcUZFCOS8qd8KGXaYIGb6TlIlPznOr9V5VIA2YAM6OGGzWPrU1EfX4vFmT2hG TaR+EwZe0nBhyAbzG+XKwSSRt7piUDG1fwotabmoeZNBZeLmrIqnIynrpx+afyZp4PcGj7JikTq4 95SbyPloNq+h2hNyn46cXXFWzBpJVOd2qc4QKV+iCRNBO/kXtMsYmp5WYv2spz+BvIc/4VcukEAU XNRHr83x1rbhePp9a1gHK+Bsb2xBfsQ3Z0dp8ai8uJvrqHbK8pOZeRpbFi+OjuqOesWyE+2UA9Hi m1NLta9lUrcZvUuem0qFzYKX6xdyLZ/eHaXFKqdaIoidi5o3wDtpSln5fhGz4wQc3bQ4M/vMpvZ7 Vgy0FkuR7uWU5XCnISo3CjS2xpG32b9LTvwvdnZSOIOl5rSomZr4zrDxpMMfKGx+U7HGxU8ImjcU fLRzfRfdVG03TjctwE48/ZdEnWxu0Ly5jfQdpsWEo5djJ1Dpek+ofvX7fNCnS3sTpsVs5o2kijMd Yiaky+DAPBCMCQXQTQYkAl53PrNeAmd3lBRX/m3IyvaFn5QFVUlMWqK78XTQoLicVYIXLLu5uNkd pcQpkSO/3ZVNQvTI++ac42hObNec2DF4giNeyc9xcYgAJGcgFQUtLfuahqgd9LZpBa2XeWkvbE/s KCUmIA++B+0samqhrGJy0tPG05HE7C0zecBgIDrOFHyHmOJO5HPzQKxhJDUnVneH1U6yCvfmd3Zd b0qNW3taNx0WWIkIP0qJ05yM1S+gb+gnuAtk99eMqgXC1yAy4Au3xiJ6247Sr3g6AghcgJ+6e0Sw 3o7fjkXYiNDaZ8JQU/dAvKf3J/Y+IrM7hE+oaSOW2DgjNTguNEvZoAVF99m5NIIg4QNejA/cevtb BrkNdXcHJyZa+EG1nBpxLNXuPDwdwW6+e+jkTKPg952JwBOJUiXdYX34J4Vh+5xdoxiCNcdZIJRg I3iiQ+bYF29OgORCCXoLNXZDU7NvNvwX4IdL1nAJqoVXxE/4jZVp2cA6i2Z2diI0X0h14em/QDdC vKGhtU9OvEPVCSgeiRBLR+fuLZxWk5ulg9juNkA7hE/ok9oCFO8LKMZEBNanwAjYAbL8RkZ/7Pgt IBFO2lfob4qfEKR9ueluqmfhN4UiTOjHVAee/lMwSjZ+ne2fszlhq1hDu+L6P52p6X+ENhQHsq3N Jnrnn/KreMBU3TAvbLZk6qOydWPfUx30gGmU+ssdQuKXtLqnXjNSuSFURlC2fqBs9m0uGZ3bJ8Wn I3bHhd2QDb7wtUBR0Dcnyoohi4SC3zmIs0+fvvRcjl9HnSe5iRAUUzdU6+UsXoYvWJmgIQhDo9lq U0UBNCOUreEIdV0pmt4zSPzkNSZHoHEdgcA74vwUFvV4Ojo5/yQ39jcjrIFbk1MIoECnfxCVKjM2 /GoFoLBg2f5DBgJksjStUyg74QwP6fD2qwJUrUzV8GhNy7f7YEwSBQBXKfogB3rDW1zomPesnyh0 l5+gfq4X4vRJY2ieH0WV99/fQQp4bxlFw7obFIqxEbU1IJeNajNtNkApcAxWALZqgpAsYesUpsbd faTyWRwr0C0M2xZvlA2WBTb3SsfXTwWyUh+MSdr+qhFagGU4Bka+OFoA1x/+zvbH8/GgcnjGd4Sk iD9d7w6F5h1g5Qx2qClAMYby496b68jDa+7I6acQX6wEj33qef26aSxlCdqWtQkaiSoeSKBC95Yc BjUAV83+phFmgJo38jrnooGDnUBl/5kbFCXJ/OU9qSBwRRlEn7NBUaJMg5rZk89jJm2ZiW5TzwsK HeodJ/0xkXC8vOvUJlmP4UAFmRKgtgVAAl21UV1BrxlwEygiH+utdd40gv5RgaKw18VF/RxLlJOw OKnx8YgIPb+qCGjL5H7h6TlFyTLRJAO4CcUg6lABTks7FqEqILvsMSjqIEszqU+/xNwiCwOrDveL vDjMS9W5QsyqQU6g77UqCVJ++CMi2wHyxIf4YcMV0gfGj/za6oayfgqBFeXKgAutbhkesBjXliGF nWRgh5BBqOeVHnDFyJejyHiU9MdEBYWgA3RO56IjZJszMQBmFNoA1goFCKINCleA807Tizc8LbDe 0zSmzTvLHjIfe8iN655zK4v7fPx9i+p15KJQbKXqV36+sTBzhuJ/m3TlbRdFAGoM3Szp6UF9FRpX pCh1nj6gUcU1TsUF1RDb6QVCfacDLRHtVq+AT4EIDd1YV0Ac09/0N5EglLdn0YTGrkJRVOfWG0bd 9ucaw9+B/3ytK1IoUJEm7Tzcg+DiLXoS6hZKEvuY0VIBKQgQzse7y6lIFxRt52K7HcrGguegIcQW 604eg4A49Tf9TV9KCj1YGvMZcBUVpC2ONj4egd7uLUbQaac02fOFhRk0idSp+wYNqqAJguxK6lbc jHE2KFKqEHC9D6kYj6NiDCshS63QBAbVdbg3SqMDCmQo4SJ2PKjkS5tiew82v4ocAcs8B2h3iY1a KJ18PPjCbvUl5Btak3cikVMIQ0YxWEneZxesHMEK6mVJsGLOevYn7C076C3Ns3B/arLiH/trHxgA ti4xWitfbw5BbdUipP4xf0TQ7ykKPXucRZfYBsgWR2IwTww9Pr4oIRltrR8pwmt/Qus7qe7v7RVY qVWfVRvdxRpEspKFWeB9BxKUyouRznL2p83V5FOLyfx2Cj7RINDc2ukiUhSAAvM7D7EQrEpnUT/M 4phQE1b+8/GoCjudDQrIsCGXrtFHDg3wWiHwwp1pcR1IDrELAg3SzMyzng2KkBdJWG1eDFq8xwyr 8YnXXh3KJ2wFoIjN1GHNF1ww9nnPYDZBgbImYeN2hY3xj7IWsp/Kx4MsOl+sNqnVfN10L7DYAq/y Y3QeZ4GXqorUxskRitS8Dwwuhx54S6idpd7POr0fGvmtlXl+0MseIgIUIv1QXjxVal/nTaPhDZPE RZU7ENsUoCfS86l8evDxoE69WDjmy+QY7Of7irTcFpUIins/o2frUlxpd7ngWX15REdzaIM3JNOw NcXZ6V5gLdHJmOKRUIfhv9DYF6eZ/bpOMYPz4hz44IFRnijJVdpZ+H0N+l/xSJTMx/8ap0sBelFk +VbyoRXeAgatUNwJYndFHQ00yjmXxVZxKOUGkyFlT3rhNGXX4t8XnAc2/jYWhOA6xBSxsj2KWSzo NdeiIPubBhN1kV8l0DWPQJddADUNaQqVzseDyej6ZopUXrjPBRb44SH3ZZl6ZKAHx/6IP40fJTod RMt6/AlT6Cmpu34XBWiwGC3LLaSWN3jCJjUQBxQJtnc6BG8qy9804gjLSlyO2c1xcQ1+bxK5tj+B j/8llSia0f4ZX9sT8vZYpDb3T3TQOMFf/BVDKxGCref4/I5RbkNNsNEuMM52BbrfVIjZzXIYbA/b zpm+neALnwidt79qRDTSJIcBiAurMEh6Mt5VO0p8/K8IzTumU1L4CUBRBu3FEOWfScPHLxhdZ5Zl laTPecA8OfDGg6Eiay9vtfZTpcJXvNrtRX+UDq0P8qwLoiVnpIgduuALTNH1ptH58RKsn0UJECB4 /GRm0uPB+ekXBMZ8CbPEUi/aKQf+eJYCQUUMCGAenKJSEZjcRtci8CQWDQa1Q2EXWup2lYNBLjpC tXe0/wrdOJsdHYIqK8mYqDegzXQ6ZTKdDB3yKPIEjYN8Fp6gjHxaWfHKfDxShv5nxo59blfFNoce eZVySLTEYY1KNhXnXuMMBTe6Wf6JxSZ53J8l7Om69sh222/dx3aCoOawPAJJbhGEp5siyh45dMnT xlQO9LSoE50dbYmeEx8PSoxyrrB9Wq3tsqpz6JOHFo3tiisEFuZubCRijuIlGGav2p/QKG8xd4ZE 3Vl8f1Jy0x3eYJUeS7OyQwVjDRC2T6O1+Iv+NsbA/J9LUQYEP+qWdJXh+AROeUjAvzFhBX2vXp/t iaXfDl6ZKsijdTXMeqHnFiUucDvp8wq98oa2p8i+tIyzPXA0txueJXyB9ixx7hX2dnkpOT09oNXO m/4WgAa5FlrwH3gTbrnJ2OHk4xFPdn4dH1xgGZTCsz+hzAVCS6HWABMg9vnAr6YhOQW0MwQXfX/C DFqVOdHaWnyUgbZYzi7kVWWnoZShAPAwWroTwnreNOqRVaaGu58l6fzUdpzZGx//K4NWuQZYeX/2 J8ZrgI9fZvMK/rg82BfiDWlLxdYxBA5M86752U538f2BwSf092x/ZCCd2YbGwArdjT4vUBdYSv2I YPROlI9lk+yUrXQqDKu6/PtChRra5uULgWKrDEOetm4FFvrmbdoHj+4m5JBOUgW/6vAKfhBmJhPy EMhcxEQSQX/XM0SFdd/eBLR0SApnOWbg10zLztbv8aGaVw6N85LyQ46otWjC3BjmgfFOmY9HTOvT QcQNTMDQfEyTQ+u8BrzuIPycDTKqjQq1QbzhoJXVaZCF3nlLwLnW+ll8eyxMUNIE4ISK7Jxdeto5 I2kvRDO37/Ac58/Su3gWjXnsDxUmJS89Hhyfe3vhVyx7m+frivLnNgnYWJ789Nylp91A6ZSdVZPL DLcnFIMTqqWwTB3l2OfBpSlB+MKiT292C05JvlL1BhkQev9S2dlr+osG+ITC8jTvdha/2zO5iHZ6 1uDjQfb8rxB7kQHz3Z4oe4bEHsDF8gexf5puUFR2VcvM4sMBKOTAQO+pvlSd5ludQmIfirQs3+sU JxNDeN4dSLvO9gwCDHNooMfKBaysu/DjsrynsD1vZ6fy8b9gu/iXLfbNr5s9FEkmIIoCUILtDm+N 5dVd1BW6YmdzQtOQrF2RaUi+N5flJckudpKStsXlJCdheL8i8tDMdH6NB0MHPTZl7eJg4cVF0bi2 oqzFjiIfjwLPOTrMAFHg1MeSPPTQI85wzmMRPJm8Er6RfLQzK0m9+rJiVPPzxWhx5A/sXie0Qhfu v8rPdbLOYD8IUlIcEWK+3P1Ng7OzpIBR2lnUWQWBVlTqNvh41Fm99xYPEdok7fpb5dBJD9S7IhQ/ jYKp7Ea/OCL0wKRI8/D9cmyl55adpZzFE8MN+pDMKS276lMT+ub99Z/HuFPA5hx66Un8bI15F00u kLThsAL8yscjxeT29W3ZdUHXkrM7Udq8h+KNwxOyAKuW9tTaxT2x2JHPZDCw07PilsiNIQGV8SWg Muyr2KCXYDK4W1dejTuKQIx15VMwPdSLBsP3oQ0lKJ6LTo/tt0g0LesFI224/BWYK8kH/dmeUDUZ ih12Po4UBuslojfYjJMURp1ne2J4M0lKDJtafPg+N9ggjdo7Y6CyYNaM42jJxA8gAwcdpbZPaKw3 SNyqpd6FVUUajotvIw0+/pd2HgVhQeR+LERy7Kw3CIvq3dOekfzQtOkAREzMD3gjtNZTsdkKxxZc vC/fLetpMJEHQKolsCCB/yEVk1PWduZes3d/04hOK9lFGjNyYVWx5kqkHbfK8xNlzeWyccQdtVhG VybfnzBrnkwL8/C+Ib2T/mNCsdRBnBBvPvsTyycza65yD67tC11nsSs5+qfblc/zs6nYiXCL/9ch K9ENLAcee8csbZDRo4XhZwFSxLIr7cHH/1/IkeyX5qesCGz2EPQKcasu9po5EQJ0o9OmGR3EVZsr mubQZ09dsbaEsFvta/I+oaHMxmrtTd0h0kTRrYRV6NFEI5OHPyK6vshOozKBFh6gOt3THfNBPh70 DUv9+sAWfvHP7kRpM1KOojaocBtuSAMrxaSeGMW3PfxEuA2NITphc1puU6NYsU77IjSypYJQGYT5 sbZH3Uo9sdBqL4tGQOKPFuXN3W1FLAUZfDyoKq7jKT25bWt2v0OdwGoP2Lrlqlbi5SQnZ8OQvR62 ujpC+mN+FbVvvZ3FD0+BZhy4YdBhTC2LSAB4bQEmkU10zw3T8jcNPi5Schrnslp4eODwLdm3gZZh YLbnekGaCvKKKfKbuBsU9pwz6RVTDR47Sb0epiRydCnHHaZkjv32eH11jsW0eNnV7Lsr6Pehp7qX tJRLRdCD9UJt12F59+ZvGlzvVFGmz64vij7wkaR4dMFcOXTcG5ehw7oLbTlaj58NCpvOgCiB9vLT IIwL5jpCDeQ57P/T2RVLmLuwtghN9zSvaNtBmic+W5Zif5ClmVNdsaJ5d8bIvViUQn59s8Nd/E2D ripB7zsJOZa86QzVwVo0N92Tjwf3+5V0Wkq1gWu5X1hsuwewetnDweF2p3jXh5104TZGP12fwHcP OGoW7I3TndHydaiZgPUuaoEVNn0UoexGZgm/b91OhCV/wm/FxVAYGtn7Sr1Y2s4JIeb7fPyv4osn pf8QzeqbE4KfiRrj5U/MBiMd3UYWc2dhNsbZnAj8rJYqXZp9OaAElKVw5l32F8rCAcxGogzavnA8 8tKrk9ufA+u9I3XapKvcjq6yhWn4AuFvZykFHw/urit5xZZzg3rwDT6h9R5KRUgzJicWZJcP7vW4 Dc9CcB6DT+C95xzxaanxPotfXqksC+7g24L8BblolRZAhUDj/rm6tr9nMM5RUHbLT5gvsxwYLWFi gH+yn8Tn/5LM4KYyVfv6tKLUuXJ2SfkyDCx6I5AF8xx+R1S96nekHPvvqeEsKRUu/mltyBDKsNuO TJM7VKO6Gtpa9bm7VFkE/nv2bSxGHt43WvhpDcCddXeNzcf/kp5mDlipl3Yri8CBD0o0nY6y44xL dU3BZq4t57GX406Yf7Hgc3bgPItnzpbtQO0emTNEi9hds1ouE3HYFHocFu4fV5g4k+61quwA6kEc WjJCQ6YfjC34+F8ajazfcczrw7wIbfg0Lt25Hk5y7Y5IOMztmdEB9P0JARvsqLbCtgYX3Vy2Ic0y nk6vI2Q/FARIQKtC4o+OQ0c+g14AOfTiaxoE4kv1RePkRt4DTbIqH38PzeupTPEcCfr1mScHdnyY 5DHoNPcpBFHnx+VFuoY8ExIhZ4Oi3JnC0i+IhAbECcQQaP0JqQgqr8CkkLAE0JUOJOq+aaTYw8iz 1jiLPrBWZd9rCdXm41FTdXzFH/rkyOrFf2woLUfIIfVpNNHZEsztCU3nyoE78BhCzQe2fEihFJhr PYs2CLVFh8IcOIPAZEowgacB3yEdLn2iTE/dHFjzCZ6NlhhTIC7qjKVZpH071+bjQVO+fE8EeRGn e4A+r6lPdchPpyKcW7RsJ/13B9fZhjWH9L4mPhWAH0TdWY6phF9eFZy+NYkMmxiVT6kWEj/jLa4f oHuJyERi+Jr3VKiZkYyyfdLg32+3f+KmgqeEh182psI/RhuT5XDOnjNbDYm/j2hj0BMbPOiyYmb+ x1EX/JWAhUrrSC6/Jj3VHULmUMY8bsZcFkq3BPUbyPZs0uZJeMrq9gIK4BvjSPDXjUlORllUbNai ghRG4PI8Qjf1dWOAQci+MS5fSIGR4hvzmvDUzPELUnyXXqlEGUAFi858HHLlvvxGf0136tG9n2K1 z8tqR/0DVA8DMjTiqdA5B2Rh4BFdQKtxcXf0/T+v2Y69ZKFxcqLKuRbuS7MYL5v7mtrnNdWxl5tO 0ck/Tgj7ocQ8d+U1z8FxaeKeuLC7dDNJ9ufFQfNP/pZo+f66KwK/YH4r789+vD/tZVOz62iQmgPJ DG5ba/yckP6tR9wTTbjPa5JTgWsnbLdnNYahzUIJU8uXNsd29ovLn9ccpz5awlkRJiF0Lt+X1wSn Zv6iMV5xxKV9T9sR3/0IRIxKBV3YxQanRawujJbP4vtiWQtKE8v+VqrABfF+hx5mBkgIar6HUIEb 9POa3FRpQYPsttpZBMbIWdPXZv/d5zW14cPnuPDywJHrLfu+vCY2+PZQcgKuqLg7xGlH3CVFitbL fCt68QX7Qo9RmImUszw1lR0SFuRWvnXqsk0qKxRM/OAvpkupJNrFviY1+O0hR4QQTz+L+hVwqeSX YMH485rS8JO4nxH/XfaV1tmY14QG0RqqTW04swTSb0KeNgyemPsNWhjKOyLaGA74qEHii4eXloBv wqBmQutxe9WFz6LBE/WOsQb7pK+5THV4gBU1qMC0eB9HaTTGNPnzmsnUJ5NReCEVh+rI3JbXNAZR l63mebxY8rzKB0LIoYNMxhoVcqOo23lPi4fMRduSbJc3wgzjS81LDC/Gc7RU0JzwLBhths9rBoNt lxD39PG54zowBVA2U9A8fs1f+HLlK7oo993nln5t/eEzkubBbD41r1XbcuRxEaJoCEOxqyDsJp4W zQTmnQnYaal7wrEE4QUUyK3GMVDK6LJzvvto/ze+ZrAxrCobr0otjC+jrKXScK/xee36VQdrPOdF ws7TN+a15YcTSseIcWzCLMsQPgdVTvGBOcXHqXcQpS8sFycVobU4mCClhBkqzsum9AEn1Rjglq6O drs+YawrX/t92Hr6pgzq6WrhxiQ7dc4UHXg4CDD3xHC0JbbYzeuijBc9NjCN58EwuScWErvhw7yZ DwQuSnlp/zEt4Z9nOZ9ShyhAQsprpU0W1KDiDJIJCamKqwTh7xmcGY2C2crQooJyr+IfU+PTwdc0 89ehwUhizHNm3ulZVXb1Jdfm8K4kUBdU9VZSBW5/7YsOjJJejTnxGzyLl0oZfvRV9D5L2DWJKFD7 ootaZjmgbhYhxp93fhbjL0cDTbJ6zRk8lm3XLeM8yKO907MQgPf6ijQToxPWa9qc97zXLiZodsy9 PdTMdUJN31WgndloliiATrA5RCPBvT2d5fSI0RsHnwrWPfZRieEPRFlG2Ka2vacy2pso9WXuYfWK esTl9IgtIRKT0O5sAEujZGb07yiMthupOtqbKPtFjw29h3qIawee49ZPzXYZllU+wQviMCWo0K29 i6d5ZO9jmL3s0oLTp7SNJFTvWk4OC6RA2jstC0eTc4VZldbUetSmc1tV85eF8UKY/07fG/wNUKcV KXGrrg/OjXyNZvIOjSWVGpOj1M6e6UELxTug0d4Qsb2oX6tFe4PBUZvyYLEMkCAFcHCREmKERcCt Pqmm2UIYbth9oHCvFu8+wLqY3YeN/lWwNcLMnGMDyVWSeXxroiQY90YpNTufuGTHDaB9UhWUdyda O/FPiaomXd/E3HLR1kCY0bKrjq3BYKptqYRR0b19QZa6mCJREqxZuJyQtAgNSGk6piqtft6ZWPZ0 y99pTSe+uNxIHGbBGO3B78JH4tt9wqzYWdtH4uxscWeiNLjL3pP+X1r8g7L0pS/ogizQr8qkaBtn kJnQiXW6D8yiPu8sLPzlqvRh+j6L1wdgd/1QYG9/3klY9emZ6/4mwLY/31OUCQM4Dm5Cc4aISoVB DrGby01JYyDWRKnw4ABjatg7v4a9dtVBu33o0NRNLE7bJxXuj3HuoC7uOwMLx1JWCKXdhcdm9zUl p2hf8eedgIX3y+eOElUG/RKQ3n1z3vNhIHEKrUWmgCYSQqE5DaXywJBlLq52cJQQDw/EvMhnP/B+ UH92G9C5sJOPwls3vQSC0NfM13GZxILPO/nqtBLATtpncRjO1FDc0uv8eede4W+ZTgVFnpVsCde9 paKcGFKKpbCXgzG4fQXldK5IE4EnAnD3GoPHSTEjLJ2CtGhz1kbAgYINwAZoccsSCkz7xVHdnUUR OPJ5Z15VxyihCstn8aYeXUKJwVmfd+IVQ/F3edmLZGpOUy/KitF/ANLh+EVQlYHEmelA5EGwg7p6 cVbMSFO1N/XuTYOB5Ya8xgIdoiXxj2hHRU2vOa4b1l56z/draquDNdjw5KLG3u4lyWCu5M8764qp 6c1uGJ5wG0o5hZsT5cWcwHWOKRiNSW7WDGEpHRyTFkkIOe+kK5Yr8rOkL/UVDmJlaREnMS+GSrsM qRvkp/HHoFV2vipCFD7vnCu2ySU7mO7ibYkiZ9Fqd8DnnXLFm+q7ZCBA7rb33glX+EsBCG0xxQPO oAwpAk5lrsUBHX6zbJO/863w4hqrcGKqxaPxts+nwQUM39Susq2Gjx2qqSrfpcMYpuTFO9/qllN2 OupZdHAKeBTYG7v4Pu90qyrtJe2NkAS8pvqpGd7pVuzyUbCgy/7UAvPyoS7hopQNxlfguxPlxUlx mJRnLdydZqUgAKggM865LGeT4AOrcXBYqeXptstEB7yTrRAzEokglSkOF21OrcmLxbk+71wrH5Vo c/BmJE/0Z2+ivBhQINQiLrZTGNvoUFNcRNgyz3I+qrg1zJ6wjIu4ePJXG5IEtsyH/XMTMIAo5kq6 1QVt0RP38860uh/VHJK5H8cYYdddTx1ePu9EK1yl+xwcNSRYedzuzTvRCgcH44Q5jk/sTL45tjfJ zQkzTKa1OVF/2AcJizcWl1OJW5ZMme01NsDZRe4SuKVQ81ekJcd6eek9gyQnu3jwXQQXBUe1qaBq n3eWFfsNtxCv0ilAAopxnXbnPTuWDGcB61u7Q2swpoCwlHa8ejlWau80q6fcHCTpaa+1O0hDXAit EqXHqQL9Isku+qocmOW806zYhNHlKzHP4XOLkfAbkJlT2p93lhVD67rlZvqB9pJUorQ3UXosFdjW 3FJj9OLlJuQZ+U8zjdP4e+dYMXll53wREsnFKweLaHabEw+AUdTUZdZpt4lx0v6qqrbeM9ibxckl m7Na8J8Jdu32vvj+vFOsqqTevqoqZJPtVlXvHCvccLjb8j40EBhXqb/VwAnmLm3c0kpy3rNjTLs1 XBjjLNqbjebYWgzHde5GuLftjf3RmO8WgrDVRWcp/k6xwq9wEvxBDwAtF8cm14eBrXlPjuXB+LU1 qRyGFbcmyo0rSvEpRTjSMlwRrlO6zZVR1vmk3nPjp0tR7uKFAxhzNNcEDgnO7+UO9DARbF/RuPh7 BsdmuHDVXbg3UBlh/gfF+M87u4pl9eng0BIrwa/ilA3v1CqcGqnClemsztpcFaWi5kG/YmwAjXmJ vzOreFFVfUrrLLrEk+Wf9r/pGVHLnPVcaASPZc1eNNpFrvl5J1ZxrMRaigQpLWpTJNG9f4CS+7zz qg5SgTshj5r+w9JROxNiJNjaoorAf2q6utdTVbMvwwp1O/jonVXFgqpyjM3+PRdvbVkQLlTaXjDv nrwYJnkaBTA5YWuOG9Y7peqM22CDVc6ipDil6f40ernga3oqBt5QBZjdkxW/E6pwZPBtVyaZxEiw 00hnwsnu37RqKh2e9Duf6gYatvt9OVNMMJ867bAgvacG2ASiZoNlc+3lKB3/eSdTsZbiFLOq3Kzj KHGXooLcvli+3V8hmBlemWrg+85EGXGH7iwNJokmtu92HjTxqo4HyMuh1u9MKp5WJDZ2EZeznCNj u1IKfAnHspxXHmqDM5QuFveV6KQ+wzuRqjpBHnIqjDOLbtY/soiVDaLdVOXzTqT6p0MhOUZ7ft8i /J1Hdbt+aToOvR/je4zaim+OuFWdf0pQLnAat1qtZ/EGhf0j+sXgMVT7oCRSbiVVozre4/TJHvo7 g+oWUp3OQFrUKC5LatNA73zeCVT18YsAUALfk6Wc89mY93QYUX8TguX2K4OOgkyH5fmJHjpVmPk9 RY1iTmcsZqkdOp52qF1JG36QJHhg5MtqlDQzOVmWO5cisf6dPYXTjZMLBb98Fp8vpKnZidWbn3fy FH79eX7d3IUahLc58c6eIjIAXxS8CViBN2KqQO7Yjc0/2F3Q2oeHJmoVDxqETVlxc/Gbu0E/GlOv BQOsnYRvhG0nNSpAS3LqHYW3Pu/cqeoIYKQz6SxMhu245+WQrPp5p05V14t75lIYTEv3lnsTJcP4 92wfWz+oiXVQEwQyQHFgzUMbf2dOfcGPfMDAzvRE46YDrSNYVrFKXLYAlWBjWsXhSJ0CnLzEd+YU gVm8tTXXnNlVydu2fFq8X/t0P+/EqZMwPm0tYBHIh/XdCdNhnApIxnpbSz58gDj25nKKi1Q37k7Y LOY0bvHnaTknB14udJizL2HVtN0RCqAz2xjYvXtbaxV/zyjiSGySWoFcVEbZNTIFcmx8v+CeeprF fIqEv/tVRfkwsh/LFrJ3biZB7sRVn3Rn0haLpcI7Y4pIQiJi2efU4rDqji5LpTNhS+hE86uC8UqB xhJKtXNwCCx5J0yxaUfxzj3uIlR1WnJUg4fE550v9Q/SkREHmRKdJgXpCxNi6dEtMVehAjOpMEB9 VBLLLBqDs4xz886Wqsd873+bE5CpaEVaDB0NqCENKrDFYSE66d4jQtD293zfG4ncbiqC4ihKQggy D5TUwDBtft7JUvwkT8RheIGqLHG82psQQkGNE+anSInp1wAAMZBhk3BzaJyMn0a8Y5QSS69twvfB F++jT6DI0TzAh1kEora/WKc6RpYPguNu8Al/3rlSN79ZcrTkwm8KRNKqaNzH550qxfbA+s6KK9G2 81zj71ypekxYmMiSrNDqPmyO1Z2sQE8Hbk7ULG7clTGfxdNitPInfkcLWOvO+2ESxw5MG8A5BzzM lu/nnStVXf7ZRd20KODsPpamU2N93plS9eFAW+32I4LUShcm+54Xy6AM368XmYOyDSilgHt06c1y dBPfaVLPTbVmOctBUNixWZCTxNTYqs0pdAn+nmDaAUl1jNQwNfi806TYXmIb3U0/02MTm50/vywt fmdJOUr6NPyUKmL+cy6qd5YU079KK2pnQNNoRxU49Wc4ZOhHFPCdJFWPpeUURYyLQ7bsyExd42Dh s/jB7lkyvgl9WbfSRHj9vFOk6nHEWlIPWkf3tLVjEQn1j887Q4o9+O8Mh1Mxqr9qa6JGMaZzJS/n ahR5/xFCsY/v5yblhrE4zIwza4X8LH5uQAyfRZUm9KiTkKP2R1Pa7Vo+sWR450ZxfqYKkxkgF40X IIqtEnyPzzs1ip/GucAFXxo/AOr5vsQw4sUCqv9TSgF/l44U+2IEYagJs2IOMpcE/bmciiFDnqPQ KQzzBLaIJ1p1UGVALuI5MZWm3jlR1Y1E4bSZzsITY5VaWZotFD7956SX0z3aml2MwDsjqsrFGpSf 5VvTfdxi75qyTzNH92LqnRB1Q80qBFBw8e55RQzGkbM6ygLfWsISFO44q0yPM10vGfQmaIA6KYyp xXsTK4kM1fv6vHOhuDN36kJXKAbycuYKLUqI4YEABsqRh05Hf72kUhxNzKqZOxPCiZv6wyQbcjks Dgu1NMqzY2kJiUSmKJuScSc+VF4C/FqYDgs0QWs/LTw01UKztPvTqng6SPn6CcHMFRH16v2awmwY k8NKaBVlIwXPgv3p9MEUBKec5Nyi7jDBckhb5lk8q6HrHuqBRYXx7vKAnCF2UjyfHmjVewZ8Bc4x c213UTZcWmJ3q+7Ep4MBeLm3k0xYqJx0NqdH6XAHU8w9cgthJQo1bRDhC0JUTcdwub+nw241OZdg JesLVgJW/Noso3Ld3gUFdFwtrUL1AGFu2NLqIYlO6Hy6b2nhuRnQ/mTGVnrF0xFo9husRZ9u+sRp b6J0WHq1qYnqA+ZR83bf7h6GJz8A7k2EnBBadu56Fx+AL2DGZRhSl23ScNU7vGhBC/safeqb6lGT uMrtStzLfriXFg/Hbm6CuvB0lPF9A4qhDzruoK6HyTDMVCaFEDCoA6nWK0wiXzGoyzSEQMbXox6x V1FbugGn/gPEzzKDXti3AQ5yE3xiBQQqhY3G/MNLRYTCT/gFjQSdrnYWJcMwYvC51MbTAeLmIbvQ 6FMWO7eO6jGfrtChiJ8NaUBFfmCJ5HVEnDFJvwQ/KsqHpxLhLYjRvhEHLeNUIMW5FpJhFVoV3nFs 7/YvmWymNj3Kh+lCWRmmfNE9ZSUmmzh5p4Kng2B8pwuculjN+xCkekSpG2zvDMrdoF9A91v6gKmy gmYA0sDGg/M7p25KRXNeFU078mDBg8hoWwN5KjIcGr21AMTp7X5TnO/hJ/w2/V6DGFE4jrAegpJK Z7Fv6UHB08HWjO+twTEqtH3R3sTpMO3Ejw/hIJqMYGuyBtEM3aP4RKrHvDqxTgUsrvmA9AfG6a2y wkRmM2VggODMMnOu20Sf1d8zuMNVWi6ZEa6T3sxk1YJwE5PvF3xU/4x41eYYZ/TSw0YxVOwWJUh8 byRCZtU35g6Y1tWWvFHcY0yxspZZz+Kjlz1n4vR2We42nRMEN6QfwG9+kNwepBa1jXvUKK6cLGDS cRYGHPufzvG3FWMTT0dIrYeNmXg75vzcU+85Mcgd5aoEEFScpBtu9xWkPwHUAjvDvqnEPyUgdzhB ddSznATHcqcNvQF0m1tlRWOlFWYhW7bQNxhjQ/ETfrundmdHlAv3ZsG2UCO7ufD0X4xMdTFAk233 m4owxVBOASsoa8CQmNFjwFBZzuProp0uFRR6hJrQ/HtRyR0LwZpETdRsVQ4hfgMthS6NAPzRe2mw eNp96G7hJ0T5DTnf9JvTwm9qr6YZmNU8G09H56Z+5TcYvuzbJe5hXkxhyLn34ZIhbSOLl9KU5JLB BEzhJuoSL3bQp9cNqV3UBNBmxPwA/Yh5FLt9uAAL/AOAIbxb0/We7+GmSz+BbDUt3BqLykump3be 8fRfx4bE6EyaWL1wmxElxmTRoW/gMK3uMi69iMQAswJOkXGHj6hPPInA3xRW0eJ3eG+0j6aGAq5z NUvtPP1gpAP81mmFDn/NqNtHeBYTay3qTqQkkTzb8Iyng7y4/5P7YUZEDo62JsqLOQYfIJHKx4GU dcRihAn3ccAQgB2tEbaJp/IalQR7nfYEwH2W6UGxbmJIQlDubJSBwxAU7LpbUG29529Eu8VGoRYN NO0/HGaAV4+no2HvP3AbKFDVi+4bIaQYx6bK7gPWmijqCJ7ovYoVbrdMc+7LiLrE3suqAo1UEqYG Uz/oRZHrjOxgNbW0+ItDSVqfycsaPwM/IIA+Nipl1uHL0nwJlQbDcrf/wdPRdOFbSwGiAWRNa2ei pBiKcaWJBE4YRe2OD2jUWcXgiHqA/KCipFhqLatPFZxsp3BnOoEZg1Sy0U83EOpgnTzTA+yzUzDw x/+KD8iSVMh+fcNaMDF8gBiOp6NYk7/TPrSZH/GNEaXE6C6VdieZbS7pKrS9DnvBHYWxMVFKTFfW KaTvvEhfaPdX0K4W6M5AIlE0dFKFAJ2tH6QNFy5b9Z5RqGFq0wUIZZ9j86jsRvXlH9SueDw6NDfv YyKDLCDfSnNESTE+Q1CB+PcHd6oKIWBxGHUZXatoLcUPKkqKma0AGjDOcrB99PWVJ8qAeLFUfLHd oAKjSvRgA4FP/oSg0kxsnOtTXPm4Lq7WBAqrllvj6QhpfdETqsLRut8nuxkhlhg5Bom7vMJBa1Jr aw3v/g1qUEhvIsIS084HYaaeRRXDIk0KYx05WjSHoABGhjNbrpwNcdYjFJxAxljFKdKiMnOVTZBJ XdiasFGc/gWhi3R/ZgsjahTDiKZIWBtfVSGhBF9VXd48trc+wrMjSoodJ1v50dhCGB2T4tZoF4Pm DTqAMkvhoQb+ABfMYcojpuEnBF10zsAX62Atijgpp+L2iRtP/6UiwLEH1FfXleMYUVIMoXZ79+w+ VSM7rq+DKuGFJrse3Js4KWYIkQDFTMePwPIWy+3JVGMllYqEsyg6gcl6L9fOfRP9OOKkmBMGF9BK 6yjObjuxNHazbB5PB0V4/UfxhwZD9e5NmBWjqz9pJfAfB9MHFtrVPO8oNNHDRaE5wm5xFXy6r7Oc rBjeORtM+WUBbFCxCoBrxBum7PPRc87+okHAYT48qOuhRZAkSlr80CQv4+moQ3E3h6kMkqx6a4YZ tosbzwtUVf/TGZJbJ1Rcp1iIe9u5Yqk5Y8m1dMB7vpyTAwtB2E7Axd1SpiyLJnQowemjh/Lp+3W9 Z1RqyoqAUCsuonYAaiajhtbwdLA5z6RXbb+ffmF+M8qKKSNgv1sXcqZgOq6nVtCDQVZsJTqIjzg4 M+oWd0Gsh8qpccspqx3g0QA8sQX92mVwb9e4qOc/iFBHyxCINPyE3zrpW4MYLhoz1Fo0W7KSAk9H AedbYoGwbRARfW+irDitTMpwUsCZV0agDSdtQoS26p6aIdFOgmvSYprlanNszLoaoFWLmC9+TfZ5 AM0H/n/DtatqalFIdYbt4k4qELWXtQhYUgX6RSLCp/+ikjmUFEPw+0lFeTEcWOHBcdlSywvNXr34 nNQ00t7EzWKhbUQJvxNNDHt3BWMPeXHCeSEOkJw5kBDbHcCgP8rXjMINJ3ZVM816Glvgu1VJqK6C p4Mx+NxfxRSHUzTG0tZEmTG+YrAytyD6s7B5Q/TdKM7PTJRYgG5U2CxuOi99nMWHvbmzjdXozGBJ CA1SOiJwRmsQQ94rTOfv+YvIgl3eDMVc1NiyQpbwq4bh1IwS43aPDaNuo/ru6U/MsFlMuB3dpyn7 XYf+qTXixhGJU14+Y5gRdkKuFVNoIy5eNew2LJIvqpagJSqXZEqObZC+xlNRza33DCJxJ2S2k/DK xSMxrJyY6YyBp/9qiFLqD7cq2TPamwg/gd8cukIHij5cb6HT7FD4CYo1cG+iZrELg2YXW1gHJNAW JGISbTitsto6WL2ydZc55bnnhkPNGSXGCIvo2uR+FiEo7MtngwGiS3g6KqjKP7cU6ql0z01IsUts JnqNae+O2wK5HzeWmqkYvvnehGJsQlxTRFvLgQnMJfCaheKMtjebypifQIII6YhYZJ1eMDNqFRf1 s0a5izOlLM9kG29svl1UMlzxPm4Ns74rzjEjBAWhx4OC4iw1xVtFcpOyJjFj08uLkThMi8Ufk7vm yuWC0dGuWfQ5GZiVzOGzCGRegIGmh8TAvtaM0mKqstgOqa/VjzgHDA3dxSw3PP0XNJTFDHUa2v2k QoodhohFpnfs4FbxyJprxg+oReOWZ3YTy08Qd0PXGS3amw5pKuhz8NhAtYcTYcLtKa82n5GmsptQ k01oLcYrLd7z60k3+JgbT/+FLylUcCs0lMmnt7WitBgG7MXSM2+le0WOzEOtdAhQXG7milAUQ6Cb 4vjZK6O/0Fihy+oC80WCufbHYWP4qebnEucEZoXdYjlKdroKclEljoED0+JWK54OaHZ3HM7/oD3R b36zwm4xVYN6ObabxZGQPYkS04miyAo4K0RRqMpsEudoB2GCS9wq8MGTAwXrpmDspqA01r6TO5oD rrBbTM/W/yk2+5jThUt2xdPBV3XZ4OoWV4t3J+CsUI24ETe7Dt15u5R+l8o7UBQlZW/frAhFIcm6 yYkYFsTKRg4DJuOQzgFRflRxxTtNLFBbdjpzlaNH3PATgm4x2WeLKB8tCjjgfvIOtniAp/9S3hVQ BxKz/Wpjhly7Qm1v/Nu8w0kkRO6X2NbHsRnIIBhwVsi1k95NE1u+tROMZ4PFBbotABbbDVNVaaLE RyrOjOPcVFXv+avSITAHvvixaTOJuZo3no4CzoNFJ+Da/m7MlbU5UWKMbN/KPpIYgKegcDt66U1S mUBRABnH5G/FXDsCTKbUIOeNxnbb2anDxQTalF1ZsnFD/6NA/IYb44RnCmSuCEUhStkixF6LkAKW I8tyyn4Qnv5zzICwbFu17zB8RXkxUCywWjj5zSoHmYRTrKkmLDj0TYXiExpOVVVLdbQbiuEjCZ+2 BRq0pOomzH4ggzphlXl58syLVwSiWGxNdNL6tAiLvqe842yDBp4OQBT7a2vA7yCi7d5TIbAYhI5Z nXZoxcNy5YBWm7YJrc5zT4WJsbqhWXEnH4UteP+BUkbJWZD/xNFshPyg5V6QkyjD2cPfM9gc1uGS NsbSNJ5aIJEs3uep4elIKOkbYaLJ3aUirpBuB72vkYmiYB5I07bOgS/GC6BpombVNxUlxl3a8IlX NBcdnNJ2gahflo9blR5Ow39RmJHVxwWQ3fQVpcbev0lSEU3OtuvwzpP3es98v/dvat3UmNJ+hELO JxrHbDv0+0nt+492XMUHDY04KgYcwiV5cKLUWFO74Rqr40qz1UYELi0AqTvLMU0j/sU+OGhMXmgS OawrghcPOdJX/c6viZvdf51dxmqRAU8H4/CrsID/TJLCD6JtxWQ7ensfRDqhwMJdj+HSqgSbKb8J yXbibTTVS+3qckC50vYHCBP0zxCMEHAAnqnMCAGF1CVOYyH8hGh85+6a/SyCQlo0S0IMl4Gno3Pz PdpEb6vkS7bbUV6M7BQqST6EsR+mErNX2SSiXUyxXwo5x+oTzP3+R9GvWIEAgwQOGhIILjzMKNsK VIDQ8j97w3bxjvLixuFdr+MuauDYqVG/F5K8O/ToqPfY6OQjIF8qw35PjKFggD8YzhCC+6UkNC3A G9mJQdQJ4+ZEMAp9U5M3gBYvqexrzYvUsYX7nPonlsmCGo/6Ej5uflNt8jx2CC+u4oW7Btk4MkkN PVgF2ImnIy3Ib2YQ3Ipyvc30HeKL0dmvkv+BLX1qHm+cCwMYRT3OLjvMjItkS6jzw8UPzrJis1vW CiGgDFw+U2dUAAo3d+ZL/OyO8mL1tgr1/LQ4+EZYKuS6G09HGhTfhbjdG3ueimFHWXEiVmDtwwxq Re1zEO3caH2QK4KseIcgCgYbtXm0XJIHYLgVcLYMgDohgR2Ew4wCDt3Mw4Bhi2JHWTHJpqVPsZ6n s54bjHylqWblOJ7+S8xPMgtWTF397x0ii4H0g3S+b00dzn9J2Q08oDFcfWtCnp0GvpQ60+LdG9o7 V9oclzrREdVQEy2UBBjFdYnEIBQ/ILIZcLpUPYuzplrWJM6KFDz9FyiJUYW09dvz26EgG+e9bGL/ J1Sbq85WQqcJ2CrHf2GHGArlNFMl+Lwl+MqQiUIJufrevbFmm4NcIfuAx0NjoJvTDqWKpW9N/qcW fU3DCnshKNLC00GVmS/kmjcUh9P1ZMQ7QlBkd+xwCqLFBe/cUMmSfa3Gu49bE6qxUS4VIsRn8e6E vbqVc7lS0qUuuWtSGplNN4ocLgG28Ir4CRE9U91lNaTKumLFc0oNNNWFp//q+TEI0/R53wsqzIiJ 1Z+HhphpAUUBik2MOm071tmbGEKhKLPyWbzKBMq64sOGsXqb2S1+WZQmir77waGMEt8zIiJyEr4k I7C8b2YpTpuEhwDghqf/6mqx98cU4FZSO+4V4/LOxXlTdqM2p2hWlwcam9Y2jDZxQsww00Uq65fi gWkO3bBxbkrqhJPjXEEYHZC2O2HYe+o1g2gjz1UY1fsi7GxuKsbtt8CnI4WFK+KHK3Y1Uhfv3kQZ MaE36Lbo3AA3o0jcOQ6jfcfIJ9xEEIrNS1kae/Nq7NmdhBzANmVSYqGPpKKCOA2oB8F5/ppUNL1n kPUVAUNzO4taNyQm8jvpC09Hic0JxWrcbObi2ho5/b1GClTgo7pks/0TsbMEUNg32ig/MU8fXdCn 92tK7A6B9esF6xdLmapVTpXlgtUilH1BefJTEQRICHDsDW9wuZ2/ZvxZNhWchW8KMqkIBzOQwL+W J58PAnL9Rgqg9Vby5Xlo2vk+nxo/8ksAcaFk0pcxaCiZRofbVW8qRcBTnBargZPyWTzqbKsfRuUG JbQmNslTjIabV3N9MCZp+6v+Ri5b1JnU4sVmL7xvmlX6H80uX3OkB0rBf1u50rNDsZcHYQJleRMn ZfWKO9E4rBsq5AbcAybEGLMnCoz+WfzO2rtUy9OoBLmR3HCHwM4rpWzJdKj7t+p500hvQekfIW5c nF9WmsRjMzcoSpBHuU0upqgwqszlkUpPUZaMBlABmt7hJrKan/zIBEGZRRiupD8mmsewJu+lnOWU D3Mk+viiNwriMg5ZXTSdB3cnX4CbZg74EcHVxZyHTo2+qJkzRWz/AWyRj0corvSVKBNg2S9G3cv6 1yoCQYjXprgNOMjE1BYOYlB5AsLuQSjqHzsAsIuF168dzCwbfCGxflcH5o3gASIyid5Pp4zYbfmb BpMHaZLJWf3Ome1yHIQO2h8Bqf0UQiseUvRP1r3eeKH4BoVNZHw+RZYwCELVGQ7QOpeAUt+wUJHv agq7yBrJ5HYXr83TsoINlbQFIQC+iVCB7KqMafFrPf0u3u/4Eb9wqtDpamdRV6eMIl0FC/t8/H2D aILgBwgHdkP867nFYoEK1FppOGS9z2PQRaMcOXy07Vh+dvOCAMqcsPoA6zR27NfUd2Xnb0EUsfWk RjJ4ExXxGfqxroQ4pr9pNJ4hr2UQScpFaLeOb5hdr603jDiu7esASbQtPQEoVKlIhFYkn3nCz9hn nsP59WNSRlb7E1Lyiny6dMuve8vbRw6cxSTtzLZFIpuNgFemTTt5ACqsYPkjgrpis+VFIgkW3dZW pfc51GkvjY//BQfkYUMO1vY1iElh9rzoSpvdBsU25QTo3N0GZWPe7/sTiVVUNXaaKGgtnT4GJtt1 Iv0Gtb7VIloetSKoQl2vRLg71KbY64NSHjRjLNeMsQ/IxYoQ0iYfD76vR8tDLVYL0HcUkVOUQQMg ayd9Jkdb8GJA+2tQxUeSFUcyEn9M1DhVU9DDUD/xxz5feOVCwc2CkaV4nGJ1chdQDhFnq94gbFv0 pr/JsCZALH3h/mwAeVmHDyaJod/HRdryd4z/q1/GTA5t8CgcVJuL4ZTCkQmUGWbbLsRaaBnHCyzw wQOoQNI4ZZ/Fz4+lKlYO0JcKM84uj8UlxWeM+tKFoyj+BEZ4lw9MVVgtgvejj8nbxyp/Ph7Fn1Nk CMmE5OcBeeXQDI/+Xl0EcmkRiEkE6hv+yYqW2Vi6d/0xwYQ4CahT7uLwwNRTxhwLiJRp31p1r6b9 w6biBRbQI44/4DeKnuU76yw8PpZtFtEP7TfNx4MU+qqVSb9LRvD5bk+YQqO9POshh8wyHCDYWvGZ TaGWNb+v0A/PB6FDCokHpQYGDUAK9tdHsxAiaJrqAMqBBsVPfUrUrgQxNMSTmtts6sG36vF5NaZv iM918PFoFPpN8CRaFFOjsz+hoBtS+i7j487j4/dXVn8V99c87fcceuJNCbJOiZbNK1pm22whCKqJ MMq2HFnIW+oVg2dLAoQDmsZ5019kEi3H2fksaqeWJOFBy9cyH/9Lw8K5Nj/08Dn7E2odJ6LhqIsD khhtTVCktuHAg5FwPbvXZMjZY3U+h5xKx7yf19opTTg5gwI7YEGrwRZxGJzoPEYF500jmAFpaVU6 4vXcX9WCCI8rtIP5eDARnd/95soB23N/Bd54wH0AzjS7dw7lvorw04qHJGgltRN+YhQGN2Y7OfqI JVqyAgYvXHYXQMtbUtpd2rWWw6rLIWRTWf6mEeqL7Xgwcnzh+ektFzFZ7U/g49EM53t/cMOOr+0J aXtorFpFqUsdhj7FcV+7ymMHMIxxjk+Iw9AcXZA3Ll5/gTEMLWXiMJp0yGYlDY90IQIaPECTEJFD kzzBmwbd7rSox9GyBjmQ6eHjkSr0gRvwd4zfE8GHvkGhosWkYAs+TmwQLwMxzDMIC9Tm7wdukAOf vHwdvUQAqO1OLCryZtyRlF4q2ynmKHkhhUI+Ke/3AnMn/Yjg/GT6XPBi1qICHlRAoganv+Ff+Hb1 gDCh5lzTNyhMoIkbGX5urGwfy2nmHBSoQJ2OcsqBV16SA86cNDLWog2y1MpyQBxQsKnX5OeBUIQC A+PQel29pvwnQ7M88sIgIZbPwgOUrZQWWNnyCj7+lzo0c0EmF+m5wEK48ubQPrmn6wAHUdoWNJLE BZ/2E6BjvLIGOvIcH1e+wXKDPgCuxAWPYSDdt7v7OXUo1dwEsZ03jeQl1ZigJikXBWj0YkQ5qoWP RwXGOUDtdFnbNUzJoWNeJ+mIunIswPirRw8RM04vwMCK1v6ElnlLaKcm+ki7XVb49aa9OS0FISXz Q+zMONfCtOrpsRZ/0WhcKg8DMUEJmsPxsQOpctjie+bjfwm/8awhEParGJhDzzzM/SEYP13hYmSR a+CaUimEbMdnuzRKDk3zVF/MJJ5jujzHVIFLROnNOXsTy9h2B5I6GAGu2/9ZHH3l0DVP99ckJFwL fgQM/dSvtHts8vGogXg+r+n3F1Ajd39ClYvF4TPSX+Y/lX5VNFysZKoBnpFO+Amc887xGV1o/37F kHFrT00xJjpkypMqTwlqhEFpeo0Ga/I3jfpjtBAix0pL0vnpO0kqejQ+HuXP9evzoi3XvgOwHFjn wQuDs+QlWjW8J51gndJRAbHwej+vEL0sMQf1T7n4/thOWDhi+AHsTg3GBvluiD1Apfu26Ev1N42G 7qQ6SrG0Uc+J99eiGCtHhZ2PR/X7+b4YhvD7bFe2IAf2efZZFs5Ms2uB9OWBGjfMcBjLOMqKOfDP Ux8bnec+z3JGyw3UcpanyXIgz5Am0AhIpVu/x4dqXjn2z5MDqRO10nEztTyoN6G7U+bjf+nAsQch VsJX/In6z9C3tagzTn+sOxahVzaR0aBPNINk/RVa6C3BEkiW1OIjVCgwdYpGsw9ZFJ4ZHgf57+16 knt8DvNnaewwBmrxEc9uGmBZ6Ofjwfkp49ZfWbad9bm9QjE4Ssmw3qapVcniTbSFzhVYAuClnvZh 4KGXeAmirhBH4CAiFxCF8JjeQG3AtRSTUrpaITDOzem7VHaIg+BPCMY7uDkre2q+OECsjSLFszX4 eJA93+4PIUw/EtJ46vfQRw/T9SJAKNo/lqmo02HnKum/Q/8pne8rlEmWnitlZLV4fbox4oSu+MLM ahBiPYd8kXF19rNBg9DCHBvpTUqxdwkmH2infa9d3QJYr/HxPwG7eLcNf6vb/Qmd9BBWkRw6PmEQ 0ih57XmwG7Xc3Qm9QwSfW+42OM/ugHwENTgn+kFUWuhCMJ1g4XDxUOr9hFZ6VUUpMZNaBN6wkyo0 sp1GPh4Fn9Nb5b/caB763O1R6oxxMhqqnjrPVbejN9SlJ3qjnNlF4KZ3sJeSp5hXngI23BmNDBjb ozfmcv+N2AlISYJ4B8Erjpe7v2mkQ0lUy3YhDBeGaxPC3bx5LPPk4xGH4p+7C7D69uQ+oaMeTJsg A9XcNFh4700wnaxE7Obcp3gPLfW6FIm6NHX6vKkzrhn2OmBSubqcZ5wKgdr0CssI05xDTz0R2vag VyUXwVtWLyz0WhqTj0ep89Wi1DU+fxQBtT1R6rxR/uTlQG/8k3AKrSUXReuSVefxCXz18v+35AOm 2fu6s3fLmeHOgiQZPAp03lSB2GmDZwCgbX5zUT6fPyHgJhFRlwiG06LOYe1C19ltqBeM4C3f8B/y gL4my7G5HgwY5oHtovh1elKf6yhh5HomO6G7niLz0GB09DP5QiMS3xdVFy3VWXS3wWR1UzP1B/y0 A45S5yc02JtKCQXeaAe8gRJjUjG7WfnIx4P9eSYXbBPh807t7k9I+2tSsXfohp1aB8Uj9dH+UPPZ v67QS4Qd5ZE0OU13cjrQmGkQZ184VEkiuLVkMYN/8sPfYveSPyJIDZmtkM7qiyqLknS3t8rzE2XO 9RIq2KG3qLf77fsEJntgtzH4HG9GUqZlWklCPTqHGUoFvj0hylmaBkn4+HQ46gVGcm3i0Fjd3tOk zaOFe+SECMy0yT00JZqC5cBq73QO100QvbGxNooXHIm0Bx//i4rNmo11ZbnS2zlw20PUQ/yZWTKv haBjQjc6x+Mo4jcEclWahnZ7Cs9DcjxSYuYBsrQnUeAK0I0KKBBLU2rR9Q2O2PXpobsgf0TEVZrE 8pa7iFexN2kH9kegtREY7jlc+jJyFn7zz/mJMmcMRQFWvcCNMXywo2seJhHsBir8RMCN1pTSaLBz ZBLBF6hoXA0hf+qoTX1DdJv4L7dH3aqdN41uL97qHG5rUeoM8D13pzP8hIjn23hmGmmxbD+ZYWC5 h74z88Hsc/deWa2jbi8esQflXfzzCkmAS9sz61m8bQirnoTUEm1D+1CdsIROCU0WCK1zrPzyNw2+ riyxf5HWR/XoY2nU0TlA2zAw3UNbrT5fVxYpm1MU36Cw7wx1yI4OY5Gyyh5OkoQEZGXfEKlK0fkJ U2d9Xfr7c/HkxzI/FGKJ2MO5m84PTwnwubVdq+Xdm79pBApnScHfuhYHJgBThfNTMFkOjPfSF/aQ KhkZArxkhPoGxVbU6IcNK7Xbf9zXzi4YbkvLtDo+sLxpptb1x0T3OzGHg1kQF31gfdIxF2Bjq1hT WgTX46MDLagDO3XTw138TaPwI8jY6mfxzlhL2qC0Jx8PwJljfG0Q2SNfyJbQfo9Tm9pO56dW18ew QoAUL3QO57HQzYH/HkQlJCQy91m89tpgIANYZP8bXt08YRPnm1Al+o2oMk3DXzTaHg6+toRojjwx UufhwLq1+Hj0geWv+GOZOksm35wY+4zoXA6sxV7RfZ+SRMGA2ujptMVCAz6VVHPrdt9XgQa1Vuvw eFp25++9hQAmDD5D1QzJrkqvTl5/Dhz4jiJEV47IRcnzmEWpb22Fjwd3V//eHcz05jMVDB34IMRS pkiBmCqXclAbuZeD2riVaWDB5/TwCcWZs/jltWBRj+kSZFbSyhqONbt6gLrKj8VR2/6eESZB7PUk WSc0Y8nwa6iD+U+pLD4fyQiPr5u9MVd7Zl6BC5/92KXYLFv3I7myiLcWvhdgylOYhjZ8fTlfZ5/F mz6AVDVASADasAtZlX3lQBnzu3nvLlUWgQ2fXz62SYRucBGmbgI0yrtrbD7+l5CwThr10m5lEfjw Ca1ccidmlSNTRmaA3Wga+R9G92L/IzEMjfiO9cj/7akOq8Is8iYqXxXL/ZgYdvoDNfoB3Mpr+McV Zs5dtf5qZ+H+JMCpJLU3Kx+PKtN/QM+U+H6IF6EZX2Xuk/IRztj5+Ftu/+8m/JTP/sSYDZVcLKy4 +M2Fr9TKLSquZOnag5mCyQTuEiDhj3IG/QBy6MjXaOPYO2lfXDRS3k0fc91oGsaWfDc11MwrS7bt 7E+YOuNN4UWk/ZlUiKJ2Bo186f+5jztLDlz5UFhsQeXmWfzzgllsSpS9X5ZtuuJeU2EBhu91HBaP MgfGfLhGOK2osgagI9wPSQW7qqtlQZ6PB42fdU3WuD+Fl90Tf0JlObIKIOGu3HCLbAsbEqgFktrU eYAYniPQhstQa7bD5bRVLRZhZIMPbNvW0ZtvwFLRvoUpm0sfKu/zpkHpTgG1/5FA7fD849/O7gE+ /hfqULcXQeFnqPN5zXwaMnaMKkRFITq8ufBeK/PMdMYxu3zNexogP7iTNiEvWoSIyjA2meBxLDs8 FnyqU96hDkZFKxhKOCQTeeFr2tNQN9HLu1DOiItiM97XWUp4+GVjGsonjbuKzyvYc2aLRVOkYGfo XDXG2K68cqbJkEHEwUHXqSSXXH5NehpmpTwvBOxr0bGxbYHrC+LOSsl2qGtWQah6HtSU9J1xLPjr zljgZyWxeXC1OFCjSznJXtby5ded8ZTAdwZnBnMLNh+4Ma8JT5M6Z3E3lkVAeJY/6qikT2ZklUfD /DXdwZHhX3gXgsW4OAi8okyxiEYJc6sg2IleKB+hSVFAqnF5d0wfP6/ZTsMMBhdMYbakRR8TRKmc tt0+r6kONnVn3xeB7doPzxB35TXPwYcEKcJOqxP2MISeA9G/Je9hzHzIJ69ZTkM0l7UGlajXdcmq e2dYmsM0FogxOyHSyyVCDOnfesQ98Vf9vCY5zZHthUa8WFDIV2U5aXVheHv+vOY4+Ds6sQtxiv0L qMic7+g1w8F3xLGoaP7con3Kh82OBq3Zm4O+X/MbfEdbH5BOwzxziYbqYbNLsbb9nmcTJh4g1Qxu YGcKqMoTkeLzmt009K3YN2WeqkV1p31FHK+3bsnxa27THrNCcTg0LaIll3bmNbdpDocfwhYMcja8 ryOhVWo7UeyChnzBzshhY/H9tfjFZJkBrEIsMbb8NY3GDLHR487y5R/k/LqYSqJv7Gtewzgoszk1 TsdRS1uzLyEF7E79vGY1ODGudm8/S3f8Ou7U2JjXpKaJYVRQlyjC2C81KcJQ8ZdJccffQQYS0cYw zO9OuS8uDtO1u8biAb+lZR9nmtpAxC5AMuYdZZEp9XnNZ3gnIbRUosS1qJWTjip3g8dRsC9l3hPD 1FlpqW/LayqDwEv+X3ZOv8XY4l41bVZhmi27mT7Eek1kGHhp+lTpl8bFb6SOcejclHKH44gKLraa cWjQn/BEGACQz2sW07zFYPGbCDkuupBaGt3RSvnzmsPw5dL3trC83Cfyvnb/cItBI2jk6QHG3bGQ 4e3hEiubzHRqMgaRl5CauQR6Xxf0jiwIIyuclm3fUx08LR3Q8UKee+lX4h6AMPyEYGM4jOtEnmph hLGcoKq+3Gt8Xht/uJHyuZGa2sxTgV8789r2wynT0Dz5nTQPTMfq7d58aE7gDyUPotBLmJK+/3m/ /wPmzqD7rV2oc4qdIWmE1KSEu9rtwlhbvvb8sPdMBf/vTAZg3ELkux1SPBzdSdt3RtapEgc6O/PO 1cJNmxh7x9F/pWOroLhp+ESvjHTI2OG1xKg7GGq4+H0Nxcs0pY9GkeWtUyP1bOhxPGoQIt1FpwYV PaBj4yzcG1wW8jWwH/V5J2rhe5r5nwTPftfEF2hvosw34W2YIXNvMg1mKKs3SnUeCc1LBVOO9ob9 vtXUDG23GWq/zQEFOfAA0oYXFmGmQKUWaqWxKlBPq1fx2KIQ7DTj3s/iVxPaTGzZVODMoxjs7kZQ L8HLUTxFPAChy6LbCaryq3hWV3TnIt4MyPvwq2r1NoujBHh3xxD0s3jFbdEc+tubxpaQ1nPjVIxj IR2H4sMzGu1OlAJ3eSPQjEeLIjG8jqQD1gEyjXKadb8rlygEi2WezYnSYJzqItI0Q04bybuhEj5o P6Ch7TPKC6Jxp4TUpiSbFkXjBixUQpt3LRg5JinVsDWpQDwvRJAiae8MreYk1LJ4L2hxhODSRmGG +nknaCHorPNdYZOYx6V69+Y9E1YxaEf8gFBmzsdJl0NZ5Hu5rYMieE+FbW/wTS+542nR3sCACMJF aGVZ3lgSYbpra5IyIBwJoTB6I6gREYYc2tMM9SHG7UPYjkp4yH4jn3duVnskp3WFb1SYdd2tiVJh uENjLKWWlZ1MXDrI+OR2B2qx3RKnzfeeC2efTy3GTC1eJUAfsC+amk/oFomBA6w+yCMPeKmLNhKl wom9YYjbn4VXDlw4md4Ch/95Z2Uh3b/VNnNFKZC3UyS807IQKIgLTFcmrfTDeoR9ntKbhuKTpybK hqUAu2Wpsa+lBjzyYKpB0hp9CGVhSKl7oijW6UNgZsgf8L43Td/gUJbDdo5isacMwOp83hlZODVz fJ0aKshBatu3JkqIN2E5bTkguUupHIIGy4tM2vh6sIkyYtFploTk1tG+w1x8WEhv1B1cqDyE16au IjLi/tjoDorjvtOxcC6XB5u7qHUO3QV+EZZwf97ZWM35hk/VXfjhl5vhvKfFumC8HU2yNVsYsqmh hjBUTtM6bJEoL5bG1y7cIy7n3Gy6a5Gs1i1vHw7KpeQzZhrXf7mzXninYp0Ermw2erQ4IqeVLeVg iKlEiTHZ7/fgyKHw5sXvRKwTbmollh//BK9f/FOzYtz3prBo5RUeZsb8Vja9cbRobwDWQ5+DpfeE PQhHe5hBZoqqjAuogN0sf0KwN8xsKolKWnSF2yclj8KNcW+UGudyrnAEqk7SwDzZ3zsJi+XUBjfk OCNUOaIOWOJMAXQgPeAktXcOFvaGwnqb1qlavCVsd0jN0ASCbPYueTjXr6ExQHWsUzQQ3vR552Dh fMvMXBS1cSlqHVAE7s0s+fNOwTqZu/aGIkW4DekZqM2JUmOi2Pp0B1SwFTz3y6VV7/LRph0R552B 1S5OgEYiWjziWCaBeQuDMc5NkUII0BuQ1O7pEhz38PcMbipg3y37yuUs/lEtigrY5rT5eedf8aZq 32UDtqreps07/QqHlb5Y1EQlToDCZQg4deEYc1Q3tjfM39lXLMS5NyJ/cDnkvY1itWaqg1jO7c7d GBgRUMmk2OnDlL94Z1/dBGdRikOLQFwLnvLYHLv4Pu/kq+ZeLs9VRex6v5sT58W0i1hymIOsnqix MIDRQBzN/+KbE+XF1GqwyDvmWfRVWZYGfSsATBYoZHyrqSYMIB5U9HQLZsIE3plXzU2KquhAWnxv Zj6A6/V5J141d6q7ezPQeuvP3kR5MfqIpUhyGv8kIWH41HDOBvhWLgdB8U67YsThiem041vdXfJW Kwt7gkrYojEgw1PCaWIeEH5wr3HSGt9pVyyJpFrAkQsX7xG3JU0hu7I+76wrJgF3b+RnhNYoSgff nbBLjFMxZy2niVOctVeTsyGscD1NnHfSVTuiX4vKblocfbPR4oaeF/jU6N8Q/MYIgP48FMuvEfPS ewZJDnVE7PSls3B3IDfo0LbVPu+UK6bWJx7zYso0nk13FPWeHPt0d3aHawGY7TaFo7bm5Saovdqc 9+RYjVX7pVA5Q4v30GFw2ch6WBka1LLxQTjjJHx9VQ5Mct4pVzji5FMvSj5pUYtrdhflXGl/3hlX TbKuz2c1NwWj6tmbKDsmOHkclUFMe5qXm2V0LzfHERF+Z1vx2HJThnKdcfhEFr+gJo+KbcHYe9Ps ZS7yMwjs319V1dZ7RnvD2WURI+SICM9c+Xbsju/PO9mK98V3yMGvIbdyiqp3shWTHFSZxb3l7B8c u94gxuj1ZiuOSnrnWrGlyzjcOIbjwr1B26ZBNAPtP9zi0u1exLPSOSml20znVOqdbIXfIUFJWUJ6 +QjpNdir6e82sDfvybFIQT59kSoPYnu/ESfKjinMPcf2hvpM2ZOcwlk0IBNlLGeDvFOtmGMj3U1M ybV4BkistGQuSuUARiEJWXuHWtYTj5GHfd6ZVuxucopJY1Ut3BwMedn3bSmvzzvRinX12RzKuSPp ujnOO80KwZia5XU5XMvyv+Q0q+kSjDOBIsxr/J1ldSvOTc96LdoaaOauIbxErrBeUI+wspueNYNR hjP9NYPpN6cv/7e71TC0I3YCfLfPO8fq5O6KxdgZSD9Sook/MsqMYSHo81OKEyCZcQ7IlD+qJfmH 4fBOsGrOLYeXVzqLX+IbBMYCCDZkVzb9G6zaJDQVSTBxNscW651dxX1hjKEukxalxSD6iRCklws+ p9vAoQ4zDOfH3ZcoKyZpumq0i3+igiotClUxUDQveXLzzqwiwoaRZlMWl4sPGiynBB4dw8w8YLfA MhwZFNRRyrWZ44/9vNOq8DEw3yv8G2pRO9QqDorHVNvZzzurimnp+r68GefybaS/s6qaq053ZBiS g0utOzKAphWEhpbmg7t3UtWBBmB8W87iH1OBanBiP3QT98XUB1E9d3G6r1wn5RreOVWsF9hIp9AV oqGdNPyVIHZYiXu1/6583jlV/7QoeFNbkOGQVnsT5cRIOux3W3zIMGQ1AjhJSvWgJpb7Rrwzqpp7 U69UxHO7JsM8ewmqPKR81CZe0YZ4OOLwY/nJJvo7mao5lxdJ1zyLI9Z2dfARTk2cEN/5C+OMHd15 MRPvTCpmC4zAp1M8hhuj2newD2iiHtDEO5GKqbyubOJBuRzEWrXfNhBikFCGiqBA6+g1yNCy3NkU WfbvPKrmVK1CUXBf1POzi4//DJeUzzuNqj0c+3NmsM/pflBRNtyJm1BOh7lNb/onqw9pytehQLC8 An+nUTVXF7HUgWa6XHzgC6u8hM4jPqhKlQpkw7ju8M9ClCgMt6r3jNpaRLduIii4MBvuQK0w46up ft5JVDwB9SvjqxRpTDcOR9kwcehEvjh4olcHT0j8FiY7zO95bsJesdqcgqEvur7+TPWKgW5kAZ4a WPXs3ACBTrGT3NtTgZOi+M6huhAt2Rtq0VzbAryUoWutn3cKVZMBt4dizTQb2YZnd6J8GGM6CzJz H/QaFckhnTxP02+nY4n1TqA6JSCup30Wv6UwGs0YtSx67RRJ58HTGKwbtKRPX2sx5XvnTx20U5nK b7iojrLEka0q+3T5flGR+V1H8ZwTr6u9idJhtIuKGgrUxdutO8Qatn/M+exv6A3Rd+4U3jw7Rrac xee9JE/BxGRNqICrFh3oUhQo845xdT068SXv1Cn8DgthFHQV1SKQrF2mat3Yqf28M6f4fverYnhC vUe7AoH7ooQY0CwUIUuGqEOBBuF4kfCbiR9u6qS/E6d4h/Nuln/EzVdhbIlPCX+mhWOm+NKaxG8E ILFJGx9xg4iufidOneFb5WiCy1ApXTJpoJz8zs87b4rf5O35LQmA4D4o5+i8U6ccGGifX29S7CqZ czqrcQrTMime2HFtSIrfmVOnX2mpjJBbqd8Mp8LrADCgBeGxspgdsjuNhpE8ERyA0/w9f8tw9uI9 w0XYpJm30j/7JXzeeVNN9F3fHfaWB/VgLi40zIwxfJI+NvoTc9R2qB3uPjcLh6Q8OlG/WMX3okme Fo85uUF8aqGWahaIBzexUwiG/nP1UqLRAeRPCAKyYF57pLMo5uC7olipHdDPO23qtGyfYso+l/VA Zt8zY2R/QFEUJ8/DJMsJ9b052NpS1uLF1Dtnqh3C7+rjLl5MlT1gBMy21hS7BjbDiGbLLnE0dY6h GhDrn3fOFFtMBIU2JTnt6HACws22Vl2WGL9TpvB0+55Pwb3RvqvT8ntnTDXJsyEZPwaFKHlUgsv/ CccmH1fzd8LUMw9vxBVzcRikHf9GNUArxHd1PhktsoQBX7fUBDz1806XIgBdKIpZzqISvCWRwaAE 8nlnS7ENfyYwpNGjquZkUlsTtYpRjhYq+PGLUoJFFAVtBVBr5nIUXN+5Uu3Ia28ndayjr9Tsv4d9 cEcwTptYHGEJquRlr/kTi4Z3nhQ2ZsmXsNxFE4Y9uiON9vi806T4ZZyNEYRp/EDP0fclhFDgdh4c YPCSyqfQLHQZpLSS7vLOPyXq2iitUdNv7zvSbA0UsjKoy16bBHA3wH6LPu83K6bs1DtB6owk1d/3 RYkf7LR4/dpWf975UTgx9R9EMSVG8r2goqyYQisdUGmB83c7rb7UHDtL8Tvmfe/kqOdj2nufxfvn OC0Trjp2O3W0KSrxXHZwUPz1J8p0vWTQnMjMaGRHs64dTbHEQoOB3tfnnRf1DyCp8ylccfVG4Cgh xk9x6xupcZXhG1PnkaRgsOLGxKhiDepKPot/Smt3yN015jX2yxJIElTijMj5kHoJ8WthOpzUOucG ceGRsW8zu8Hrqng6SPn6dyEF3b2LYWthMgwcR5NwLTwghtN5Gz3DGGIq5R+4L1FvOElAiTYNWg6d o+ZWGi/tDJbylkcEveeBzc83yrAvhZ8Q3EybnaxFqTsuSob7rFnSSIlPBwPwfDIa7sMkj/fcTD3M hYHjaPyX/iM83PXF25R/Y4ZE6HBgaH/PhZ0GCABWPosPFWCRPiC1s2jA3LaQo4kKqXZaqSIgxA37 WT3KhdNQI0vA0JNPgsiY2CFCbYynI9jsPxj96oAf35soE8b0036f4zgTUrWYvb68XFsTSAjfmwg4 MTjj1aU676UKfkuCbDAAfgtA0CSJdnYKUkH/+rH75BfVow4xMUFlSHl9XOX1ZN+UZrytLTwdDaPO ueEQ037X/Ba0NWEeDILUlPAf8uA6T325kne0CqhfTPZ61CGWtdyaQuvP2+qDWXifQEgAig7PRhYJ hT90szx7+Av+nr+AkWDENs/CZM9SgSHltjk3ng4YDKucMIw4jHEYMSJnd6Im8aJyY6Gob8P200e4 AONHGJsFnEF3PpKkolR4anc4g9dyoFoDwk+WE61tn3gpZL10cJkL0Db9SzKbaU2PUmG6fKD9MM6i DjqUeVgy7lTwdMQ4vLc36d/21+VYS3sTUetQOoJX20VRLep7whGZBuEUD8DVwvKy/wGc2KKqbjcq RcChkstmebntMud4vOO97D4ERfh+VCBQ8z2jCS81A6pIqtiPwQpqdyGTrMoreDq4pkb/+qgwgS5s 5Ghv4lyYiNB9+8R7+1elIEQtcXZtsDcxu06wRh2fdHnxVgPUDGUFYENbq0Rj25cLW0V0ItCGOxA/ emH093Q4EcEAPjzLhXHg3EjY5dZtoZ7vF5yby23m+IoZ0jxTzB72iRFNFl2iVUIhbIE+1slOR9Om AjfOyrvH+bDD1/JZHIhuO4D/PZH+WkrcSFZttKuASAJIXneMufSe0TdVxAjaZ9EJaKMzx7TfwsTT UQ11Lipmvwg1FCTX3rxnxMkxxbhAijDFRbtE5/qfyvkCzG4baqgeoSaSNkWEdy5eeltxM2BvKxSb b96ACGJmz39c2Cw6OPwJv1xUNTHsaxEYCRZ1THDs5+LpqPSuX2UUu9X7QtF7BCnGTKPwBpWKHXGp mC80mlng61qYL9CAsEeoCR827bOwh2J7A9/cORB/Fvo4WQnO5EwIUPfy4K3Z2cJPiEBsnC9kNfsO Kd4yBMnrkuWDpyPmy3eCg1R33yZxD/Pi3q72Dz+p5eGmsoMmhUioZvCTiprEqrnX1+JdCavL0MwC orjYrcUXwiwfTPycfjbQimdrut4zKjLl3ESwFhdV3ykVMRAs7uDpiM67v2op5sSl3gxnRIkxRNsh 05MOSqsd4fDksLaZgKVi0TCiJvFErLPiGu+gRd9Us8MxB6RSQY5fndzaufGutOTt1xaN7GH8gKjR N8VZXWfR3syiASEgT3g6yIv/wYVSHj5drM2I8uJOHiJGQu7pMI6nA9Rf6OmQFYwS/5Roa5TXtLt4 nQkiDRDJqhnsEBFhnZA3YJzT62NJROPlEVLt1BqmD7oWpTfQfWPGYt8vnv5r1LuZCkGbYZ+2zQgB xZkug1kD3tKSJzXNqiDHzNLjSnsTNYjJ016JODEsDAUE26ChBVMmZMtAtytWk6SBUe+du0w75gM/ IAA+kpuZR/elq5wuu4v50u1/8PSfowVsw4Lo2D01UVLc5HRK1yrJTnjbJpVxxSbOuG5ESbHUrRPa MVyy7wzc7jeFQBa83ZIchzdI5sgcLnUVtsUDf/xvMOtRCJflIuxaKiQuMSJ8Rtgcrv80J/BpPrCJ EWXE4FHaTzqM57apFwBGEBvS2JhB7gw3JsqI2RiZnrhw8fYE7ITJvQGxA5BHSfBLs81CPDCPByxb 9Z6/Dnl55wJkgPuX/Qk7ckz3rSzJeDw4NHcErpgN1mw91/eIUmJUW5BZ5blADt+mGyx3OMehP7Fp YsLvKUqJmcxbncBqYO0Llp1W4Cz63yy4XqztOqPo80L2EpIwHms4U8ZPCD4oihxuGrBoEUprFSmK WrY98XQUa/o/pdS45pTcnBBJDO8q0tkJQm+1S0irSQAE9UIDoVuaExGSGJQlRJm1z+LTqMSeH6yr pt15O2tEDuVQ6kaXq2pDkPUIRSfoE1t3TWdRKxRVJjPiha35OyNmrNki0JydiXrECJGlUP6MVabM XgdkJ9xKb6R85GdH1COeAjtKbWNTQ9L+TRDJYGo3ibG2rLnUIRHoRFM2Hs5Llcctgp8QpTZDUJt9 FsYbTIOIsCyWk+Hpv4ZRvL7ta1oXNjHChHijN1zzdhUByiVz+l1T8SKT8hTcmjAhFlN+rrt4uIEo b1qScIGMG7umlioNKGNifHEd3ek7jp8QdPyo0z9GvguPzbbyQ6rplh7g6aAA/7erRUJHPcOoEWbE 9f9n7MuyY9mNJP9zLTx1MA+9/4W1m5kDkVcKJ6XuKrCeXjCDSAw+2EA7wS3rEyBZlvcwKYGBiLii Q8GIePxRKdZRvJ6juLSGXijkSrbtp1bldUZvz8FwfT6izjnrRaMmJvsKRAhoYIgw2qbQMoLHjKf/ qk4ofsYxXG/pZoa14kaH6SK1R4iW4FJCF5PtN4bEDS1qarnEwmtqLOR+Bl85dk1Zqk2r12XJk4uu QiI0QwiIVsqn6Nf1nkGaWWhEQItXDZycitLNqYzi6WBy2p0cTitgYizeanKioBjdq0L0d2GeCbs1 XE82IbhdcWXtRBG2zt8SFIs1OU3tuHYkxpBnto5ZQUUUMsbsY47F5imC7vr4vC5/z2Bb4Yux5Huv M6jJsNxmsWQLimcIJ/7nxCE0ud0mw4yCYhC0C+iBSjQXJ5ZWDaAvyqoB7WzeUzNm2XFbsYOlwRPN VHOvwMbZJT7pGIO5QW+n2BX2A/y7J1NEieATomqx88HnGbhwlp0+skGxvYqnI1WX9ZUwEBrQbl1r RmFxphLQvOITqMAx+usQtxZVClL4mpuwVix2XZ53OJX0itO3Ym4aalCSDEqsKdm/1W7/BdVRvmYw NXRPXoOihhx04IDUyXJMWwVPR3KGpwMuyhj+z4dkN6PIuNLdlS+LRHOym0o6eKe8I9mZjG9w4ESR sdyF1t53OJ3enDsTBZsbu4yyfHaIRkR3F/9zBeqy3jPSWGCGmXs7g9YN/kPhYTSnZhQY0wLgadwh k+o3nZphrVhguyxPBhi3V3dnqP7PhmVD1ecmwk1IQxViSGc4Nb8+oZyD5lRDn6rIWBBB5MYpNp6M am69Z3AYd5EzsR00cG7Ac+E8wbIRT0fE1e9rHAqTmQRRzU2EnYDwchnVBcZUD3V1rbQcO1GSZ1Qz qhWTWoNO7z6D1g0cJ20S+qI1g/35RHNNVnxRAIH31lk3bGrOKDL2piaLhhqEnkhVMnXQXcLTf2Xh W/cUG/En3ZwhxY5iV6CBuTqxeyv2krIaD5aNNC9szVCSrSjPlFJdu0p1aN0N6GvSNgf6RlkYNyxo O5HnZZHRZgUfEEBuVPRbZZ9BkTEUtnj3jN3wdJQ13EucEDaGfu2K1UUIikn9VMlgEn7DWdqIjR1A a5969CdmFBuPynxh7Tt4ZWtbNFgLTSvsr09LtF8apKFsU9JDYmBla0axMY17y16rnEGTU1tR3J9z w9MR7KZ/pw3AheLP87kJGXa4oItkBAYR3YcUNIsKFpacL68Wz1h/QnDrus/gBVG0BHcjiQGUe0p2 w9MJbHAG0k9Tc/l7RgEO9SdI0dPgTc1epa0x5sbTUfT3T4CzuKfPYbyiyBh4AOBKPKdqMIwmG3xK 4g/6E2iVMKdaEYpi6HJWoXztdURdYEZlC2IAbo0wSi7kk4R5tFNF8tAtzgbMCqvFiXAtkio1OGUq j+auxxVPR6IuD201o/djF9U4580Kq8WI0zshDMw3WaNmeastsecHnfF43qwwMBbPWfbHO1+JhWGT ljaoaqiC2sksh3L6o7NNuS+dTCaBK6wW0wBmSAt9HC30br9/SH7CViuejnRLzp4iMxOZnZSsOTdR YAzOCTS1DowiTceFwjuK580sENrkebNCGIUAoawWY8CnNawb+NLlSiT6ACRvyiQGvTDkl50WXeUI Ezd8QlTdIvmwC3LdnRfbAIQW5rVU/pVRYJy/5oZMu35JiCtk2lELiLZrvMRpWYXgL7EWj3Uz+nb1 iRXiiaXZLMmWna8qG0zHM9VrF3Q6oCrKbHMwFSbn+bmoqt7zl5Kx3fvrDr5u8uI9U3PeePqv84bF JZsb9hg1NVFcjPYi9Ma2x36sigG01Sh+QQwF/YW4pWKincBYUlno/cQ3llmhgJ6p8223V5UBQabc DLlkl4Qo/4UVYSi6gMQKc7x+hqIoKADs966U8XQU3+yv48YW6L6QrRVFxfAVLp01RgU36SAo9BNa mjk7LmlF5WJd4CvPO3hFtEACHQGTTQucjCiG0wjlAc2DNHDnyDMqXhGCYk1VtWo+g0oU9su6sLB9 4OkIl3S1bhj5MQMfJ51aUVi8qHM4smDVoAcln5w5peo3606ukbnCsJhp0lY27qcNYUkj7b4WW+GW IqTC2wyEA5TRcbqtE92ww4FPCCZHFuwsFGFIjvWEXxxVoVJqePovlSTODft26W6pkGoHiYPRi1su DDKSkDJUfMlVOocU88OWCkHFyhUmYQJrrqMQb8kx1ARY25q5NwmM0m2y0CClPmaALKavkGlHmECS kHO6GvE2S0NWd3aZ4+ngJH7kQ4F9Z2Lcr1ZxSLQDlreQrIZ1gwvLhSdUyMFxg6681k1YMRZWi+ox Gk7FGCR72PJAHxPzRMk6qnDB9RmcjwNLIn11Rchi3lJVMiMaBL1Z0Cjg3KyKp6Ne+BWesBGJT76C dSssGG+qFdfh1ZtUvXpT+3ZdValtc9nEcsUKdvM+w21PWZDUCMqHG7xObDiy41ax8xk4SF3gdKjG J0TBDaMawQTGgQnYmb6T+3SUgaejZVO+LnBUtkq+5gI7CopRGIJNh0t/D7UXBtBs7SAo2HmmknNM s2NwU7hWOfhZDJFiFIpREQWPLKl6M2j0oJL/mRuWi3dIs6Pg2JAW0Bj5SCRZAKJSOBR5d+jUUdPX lkLHlheMz817UHzIUgBGC3qTj6OvrVolWHbcDJcr2aHyRFcpVBCKdSEUpW7kO4tHMQToBb0RvBD3 1C7nnqIsAz4hqN4wKF4C6a3qNAY7yaBooeN14ungnkrl50mm6L6NnexzE2KLUS+pc7rFQKNOqeD6 y+H6ZR9+xw6DYmVRa+8zHBoD7ijMCNwA7JoW6g2JJgCt+4JLJMa7o5C4UlC1UkdAg1OCVpFibLE0 c4cMu/YNLrEvel+92R0S7NAq69ds3Us4/QdiT+1IT1DLpPO3/KrKQUiyhhP1beCoGqvoCdaBsoNW ObL8NLqSifrC4sSOAuKtoIaMag2qatXSJDXX08bTkY7f+jpr4F1GNWBNTQgqZk1LC6W6gqgbmcwD oSBEhVPzO79uLa+Jnka4nQ+WhTRA8tZii4eaj5PKujiCUUxwp0i0M/ABvwij/5cTYgNQTr0Xm348 HYEErro1/l1Kg1x9hR1FxOix26mWXP0RYgp+Q9UjxZZYgORuCgEULjOmNkM7In4VYmz2m6j8nZrF 80s5BRT2LR0dD4WBTls7VCmmh8luSjCbJ5gdsl8up58Wng7up5zu1Y2qKZ26T8i3I/QEuiKliUeG c4aRN4o2BH2zoNWvgfgOddgECS0q9pVT7AMYdMC4WlpjvemtJG+IahvlDZc48nhFfEIkAESUvlT1 y1GIhiEn1dF+WqoLT/8vWC16tNNvW3MThsOM2pnMMsNs9dh2bOfdDaHTODchfmIKkyRWZrvQ0A3z YUrBoGiDhSPKFJkOMGvMJ+Qr9D/FJwQhH817Z1chvY9z1iA947pJdeDpIFX4ktOykSi6i7beYZE4 EYhUjwTQ3uMwM5PTgiSTxcMmBlBwR1UVQusthCbIoAOsj7mx5ZcFk2RzCgqD/TYXyGbBBwSHDZXG lv4qDoLdDNfnAKIbTwd1ifRd6wPLSfrmmpooHAaFpIC2rWUz13Rf0T7WMe9Yw2t9O8JPTPUWtjCx +/iaYEtZyESN4ml/0Vpq7DXqA8MnGETT41DR9J6R7AQLE2wJatBxY5uL0vEInvB0lGGesIYZJhqI +ZSzXBrx7TORfQ9adeogBsfT0RPyOJ5oArk3WwrjYall/Zdfry15aI82MF/sfNmFflwNGSlyIfgQ XeAN7298xPvsUFIBArWE6Q9scyXgxe29YI3K5yMY5HfYh5Ci5KvhTG+/4Gtnmol0j0xwKFxhghqg Z/bPbO1vuklQ/DvFQTEDPymfc/AJsq1lCWtjUIyaC223eJ5lyv6W+gBM0vZXjfS/WQ9l7K/BSR5Z Yg4QEOXjQc5wO74MjpoQlVdVP71HxuCEVwL2lxdwhA+Fqe44WUOj2acMYEJ0saoUVRCuehiJdpWv ncag5E21862zxNPZYYeqFxkMKvytmv1NI7gAazeZyvoc1GiwbER6PpkTFIXH4wq7sJadJQl45ycq GdMgh86xbDVAPezHZWe37i9bmnmd+Qkd7VQYhYitD16pKAlg2lpY4bJshLI38JhA3gfU+8W2qdeA jwgqo0tfeWtn0J1u0Zq0R2yh8vEIwFW+5wf3Zn8MK1IUJif6iq68/AgiBJ9g2lFVUrc7ON8jKCoc O0hJ8iX7kS+Ztnssa2VKXthhJxAH7cECCW/CRZVCAESsjwgaDnJuWzTu5aC8c3gJ33Zz5uMRF/qh JvIegxN4o9utT1FYQO48hJqrmOSZt8MqchdxaFDpzw+hWLpYPTwVks8BATQ2gMabapmbFS3yExEM IJD4gebFKXbxesdHRCg3MoVoHK5BJZ2RliRo7djn4+9TRN9Lz0CxZAH+rc8WC2UpkJz3Og4tRjqr g3BeDwxF7ad9RYqi5tmlsS/4QGoXkwPHYcumga0AJyQL54aCVUGMBGjfEUAc0980SrYYzm22rjio UmqBuIQv59Yb/lljd7uojJ8ek65QniILciLtBQhVuIFZJluBBh/zKNHy7/ul7rVGHWc4sbMdzmnz GoNq7OJhbocRKKbkxGU/hCjhqzeNIBZM1IV6G/OQQNKelF4BAaHx8eCan9+ZOrBGkN688xOFz2C8 lyFFCvyErFv4k8afSHJt5cxPJFNRNqPDrIWU+0G8NXi7sqFhh1DrtrXkCYiJ7pma+9eLSi61KRQy Fqaf/skadEjbNSDo0WiTjwc7rJ62p8qAuG77Tb1yioJobEMIMThasnI7o/41iUKUXMWpf+HXRJKI wrvVfQaHktr7A+uzUFTOgDSy3k4tbOTtxNmqOIiwVm8aVXoE6lLBfZ6Cu/2Bk4FNHYwTQ6+PC+6S 4xhWcn+MUEIfPLQBSpUnVaGtr4CSzc5XL4RV8oR4jQVGeLjmySGiSIYGX0GWxYyM10bmjj+LEwmX 6Qz5euT4B4qiMyhwwjtk4Jmd45yOFHblpUg6Q+fjEcM+/3zn7mSF3DAx9MKDGLx9rz4XhYqO0q2Y R9NjUvBH8xNBLihvb/mXzuh9IV7orwIfARgTVDy22+1sWM8D9HoBFzv5e/7Gz0P35wzKwpab5QJs xMeDKPoqzDMiYC+23yAotsIjqLQ196aaLTkPrREDgxwVVTLfXqEXnrdB67qDr55lF0CBBsOy+NOO kC5GsIhlthWeJLUrSAzN8LIkypgHY5hcBqBzZcKJO4obOXDDwwHQvlZPoRz2vhFQ4Ian1jRueD+f ezkFw0wLANxfk1RszU8YRKtv818yQnZPdIsUO1G39kdRh9mmBzk/zmfyHxzMxDZxDvzwfHfVgpa1 D9pdFpRIyS2VzMf/uuF5EuNKHulZP6GWG1oC8EMgebxUVqiQpiJ20f0FHsNxmgz5etLW5I2pwdeP 5d12Dlfq8LdSpJECDDqWN0WGHo+C7G8agQyacBflDALR2iurArQRw4aueGV9nz6V8OkLL82BLR5C X2ht0JmbNSCydXD6NPgEUokfId85fcIIWvUxgpU0aH526n2QrbJgCdJUD5n0wUCXR3UOnT/3TYP9 VZiEsc+mgevHjrSp0yP3wscj4ZxvtqedhFJn9Q8NOXvIPyczGiqz6iDakEkkr3wThJHP8onByUxO iyQky8nBbPXY1ZJQawJieTaKAtlGQz034QZDoHjmh2yIHNrjqV0xB31NOKjKkehsQ+X5zceD8/km 8QxRcKRibZwJiuJnTKtUzLi/hmwVYV0HEcrKOhkKxj5BkZpFnx4f9jPcDAPIDJjHoNNeBs+fkdlc Q+y8D1uk0CSVH/HL+qkAPJ/hYDFk62q/Ro//yWx02DeY488KCuvPVLTYWavFklWG0jiAdpJ/AVji zqbJgUueXw9YiPsMDm8HHxzMPTRIYQDCMsiAJVOGNA1a8KdMJuvJ0CavCxNHeIMGxYeANPB4tfSX j0cJxllAvKsYW3yFPyFQGWqFzGqUYEhFh9o/7TBqUIrwBRSCMniw7Lr6GbzlBR1xJAE/XEabAaa9 Kg8qED2/5Jfkkxxa5RX1A5PAGameHnLqSuftGi58/K8Eo5w6K7DxPj+hWZ4O6FZPAsY/DdVVxIyq kiXQmTU/oVvekrRkeoZzApFJSjxPbSWJPzHpjoTLC4LFp8p6XjTqYLCIKKvtmZYO2pkm+QWkEGc+ HkzPRR8If4swlbvdPzasQ2fNjwsgDxZ7JW8BbRrKW1QCT7G/QsO80R15MM7g6wf2ihuvLWFxOmyi Q4hfQ5vmWwJabH7l0DGPoGMLRfAOGvARUAsUO67ZtPHxoIp4eQDLL7AMycgzPxE+A01u+waGH9CA zJCL1WahsEUBPuOwznNgmof1wxJZzfsMvn5g2b1AZFkw2x40/5lAP0Ehev2Q7e/NwZr8TaMSmZQU ez0D5ydBNZA5g/0RfDwKoL95Eiw47P7MT4jTYAAtLVJIgNQmwGVPK7kECFgkZ3+FyOUhw53/4Mna +QOuJjRX4IFWcGAzMkQxFO1qbOJTpS/V3zToujMAGmTvYvhKMFixt6i98/GotfwEiJkyUJkuNT4/ oU1IH1e1laUyd6a0bGC4su1Mqkfr10R0UBe8WGc4jbCFGh8tH5JNW3edGRTosR5av8uHSl459s6T E2Vll2fWK1xAaygsn5wyH4+kSk93mcRQ3O8Z39KZn9A8D0xiAtn8/ur5IDaGa4ynRj0rJGChe95y i+BUzuBdnozuBGR+4PAx9ZVZgoFoHyWRQlZA+z6g4wA6Se2in0ETBG9d6VAtPR5xJq65NL5kSglf PkkO/fPocdZYYaKflYzbEfkLTQjPKVZPNT9/uEtLGoSDz8+kwx1wzAMOX0k6/5M36+pswEtjh9rd /IQInEDhCyJZNai+kVDk5vpZg49H8fPlhbLPjErw9/761UMPXicq/xRivMgOkDYnmOjsQGp//W4x vSkRpOEkqC1ZWEimlv3KRrESAHqbNIjwdWt+yBLmJwTz0ySVN/sZGAcP271D+kyp8vG/ALtY2mie PMFzaKKHqM4u9+TAFmcjNapfZAdvEAmqyQldQ0TQd3BqP1LsDak1guYsLx7Qo7n7OtSNYBB48VCq /YQmes3RqLWfQbl7W0v6zzlNPh6cPdfeQKGPVuCdnSh0xomHtukho2+vyFsO1tPBb8x79ISh85By KQuDHPxqt1seASFzr57sjGPpmWX5hfgHufv0BnP3Nw3WjnxNW7+DV1ZnltS8RRF8PKr9XG4Ji0CI RdoVhcuhl94kmg43lvyCidsjiEMV+YUO6iH65dhMj3xru7zbGbyDykC5kJKOE4PuznNCCx5a2T+P d6cwzTl00+O9UBPadT5w/Vj8u3kTgBrGxyPB5HP2uN8Dv7I7PVHovKVHcQRMS0kOqaP9iSwqeRxy /QSOellyTdDcmmc4DeaKfB2ti4l7MXmOb/8CD8t15VPQZNKL/sJMqjnLMjh7dw2lwyG5xJb1ggE+ Ybev7VWJchjP6gmRzYUmrKkdfEJyd1PHf1MHg+x9Tk8MbRY+QQl8S2f12K+xr7VtKOchmaMHo63Y xTIKzscLAZoq/YTeelPHD8tLGhg5Q5ZI3uM2UXw8gqqu7/mRlduNnGNzPeITWGjC/Dh5dkILIymb t7Bunsw9dtdjTZ7cAB90/ABSnwa8HCBlb/+PGZrNF+sF/Pl0vqgSxY/4hYZTJE6jQZwBQGMJeqpc P1HkXC4Ph/NkO2KPZ3f9GjiP5aLAgG9Ut6tUt3mjsrpO4h4Y7N3CjyRD1rxYXrBwLSxk57TWNtgE sUUEckAhumQ9LCX6geXAZe8oqWyKtGrg8WPTI91tO5IGH/+LFbmY0CKguUb3OfDZw6GHfzEzM8VB JIRqhQJMUQ4PcITTaXNotNfploHj5gyHVlGABbf7ZYE20wSl71S3wTMzXXseFMr1phFVSZLbDA05 cP0AYsQya90obQRWe7inz/mDd1v44p/dFcXNEKLD8dkPdIPQeEA3Wj7QDf5JOn0i6AblSgCPT2fw uBlhSoUSGOpicAlnQQzirYVGl+0Rt1JdLPTak3sR/XZ9UF1sDkW9tfP0CSHPN3CWS+762X3f6QlF lKdci5x20lHwVNq+s+MS1m738IlxGy5Jns7gJAL7hXs0pu02OZXLzzYfCkl0NSC4zrHyy9802FxJ ev+lnIGLZ9kaLE5YKnw8ig2v/jbjE+KI5nN7hcAN8Bo6FKOocNXlUQ57EVDtKoEbFNDQ+glDZ3Yk FgWFNHhwCOe0jbIA6oaWgPFfsI+jxqGtm3Y9lndv/qaRqp68RYjq3MX5uxDIlqscnA/5eBAc3vXD xg6sCEvaz/ETFp5B9y2QsW8oHEK9hVMF6e/807HBChoxlADLoeue4HWLgmwavDAP5HYiah6eCKWq JQgZp9LQz0AlwqPDfd40On4I3MBd5YMKY6tLaAURIx8PrvcL3GgKtYH8uQdQ6LsHsH6pa3jhp86h fjJMGBw4X6noz/kJjPeQwrEzuOWDuq8Pat90QRjMvTJUM4TBs8iT2CZ6jSgxTcNfNJoe2UXkdQZv nNZGhkGta/HxCJ35jzyu7YvrupdD2z3cGEWuh8wsSioO2pDZMEEb5YBaQt+9Jb2DUzy8xw+g82h4 IWtXdMhFBjvLDN9G9BI89eok9efAeE+2KKjHC9uSvLBkYdSUhkCprfDxiPr2L3ndssF5BT1z6LwH XqRFWvO0lUX2AmxDAI76bfCeA+s9xIYsZ7C1qcFPHzC0K6rQsLTEuczqIuCjLIQ87kZt+3sGNWcx UviVl8mOIj5jQCaXJ8ewg47PRwp7Z2+xgs8M8Zrv5cB9D5aCWD6tuZV5F6rOUouM6SFudUK9S7dX bL/XvenVzuDTA4RLnpSgweHg/nwJhTsUoEkOnF9N5cB+z2W/K4UkfFDTdKStirFFiHz8T1EINQVJ T7yojcCBD5rlYCHssk7TdFPFEzZzroIgyJdCw9CCT7qLi9G6Bp3NdtrYtBR6a9jfshgfWHyOOK3h lUG0cWi4b68wdGbXaxOlrUHQeSDzWTVss/LxYP30fENDVHT3P5d7aMOHm9WCw3S8AOTYDVgCkZyS 2pv1zE+Me6bcq2xX5rxCyw1cOAqfg7UDcXr6azQQGnCbQAHjKGfQDCCHXnxNOj3bTY+OETMkhuTF t1E1jM34LipBTa/MZXjnJwyegRJVoof5QYVY+6s3L8/PKuNPzk/IFpSicJFOY7k6jQMxVAPoAYZW dpUR1go1BKQWtkzXdRsWkzIHlnxHiXqKosJBqFVQnLVl5ubjQWp6Ub1EJdAmpxL84zMUlp2J22hK r0j3bx4eJlBaiftpzRU0cuDLZwuReF2HzXE4ldWVJTMBMSPbtJKHhRNuxrFHh0vvK9NWNwfefJ49 1cQ4WINqY7BscljC5uPRCrrUOHLFGv+PM0Gf1+ino60M2mAayXF1JEYTlrA9EZuZxmiYn9fYp4O9 LSOJPM/gqCgL3Cqb8PCEAoxkXnQZcaOohE5HZeI1X0OffiQJV2fri4PmprtxOJoIn9fAx95uu19W FWAD3/Fk6MNkOJqXTlIKET1E/rA1IQkNuEmgas7IkYFPMC+8j1bidanBSz7QLYGhI/gWeTtafnXU jpBXomfl8+Jo8Nd5SdIfq6nIuuZsXEA1FmMsROef15CnP0iE+kOaNzPjTD4TJuY14umZ3p22IJe0 cu07JUubpndcJhnpRHMN89d4BwtmaqXUO/iBU8H4h4AEUAi26ZhtbXDw4GQMdcyj7o6CxOc13Olo wuA6r2TwanAMb5abo4Vp7fMa6/QH31zVpbBtSZArZ+U10OFyQe7Zj6pIZ7JMbU/WBuj+yaiAru+v s4JMBGCUxOa7Bs0KvDU6uJM0nsPEdNXfed1jSh5pT1w8n9copyNMGYJkaMBfSMSuhctJ9mG2tz6v QY7/jZoXRsiklg2fl9cApwssVRptodlVV6+4AbbL44XqEAd2+Rre4AsRFr5rG/W7jbal4YuVsLVh d9SVw5NIvunavS6pAlfo5zW4wfGilIofoEGJp11/okJ2C45fQ5su+pqmhccABYLosknTqWBegOc7 fRra1gw/dmurEr6fiQA1evEF8zIdjMrTY1+sXGvA1Spr2K2gukOsHEyl8V38bFTIeSmVRL/Y16DG 3pIypxU+HWdQvbTCvBh/md0Xn9eQprujNaeBpRtUXlj75ry8BjTdYfBtJzlG2NuyQoqMY7vo6YCz lXtHRPOCCbFgF0e2Bt9HC1IWVPNcE971ykqpqAuO+Lx9rOEvGWwj3HMwYOtn0LR08prZpsmf10gG R9M60yIpAJ5wM/m8vIYxOHURWJVjg1rKdDapJQpOax/6eymPG5267HgQLuCDn7q2Z9pA2w0iNGs7 ho4mg4iux6MfBzzC5zWC6V/c/noGFSig8uhYpfx5jV/4cv3OC6upFJNlwEehw2gjDcoeuJ5KoXiZ 3IWLJ+CD9GweMK/FP+5/toLICtDAibFo2r5TcEnt9gEAlSLcICVlpHhTugxX/b/xNd+nZkm/k6GI BoGWYfsyNDXj81r5Qxix9teFVLva2D4xr2U/LFL4JEpUgU3z4iGepeAje9OcxjIUPQgDGE5M0wHc LhmpJ4DFCu5puINlmYIOaOnDHdxeDTe1W4Uxs3yt+WHql1A6OZ2BE2OhPbWNAYbDw3/dSGy+NMEa zhnzztTqXvEb6xjUDJKIePgSmuaE40vIjuZm+dyUM5xTJjeYx2BuwPMrhPIMtMZZe+2lPnoQ4qtH +4lRTMkqSmQvSgzYXIumtxufDjbUPJOT5cMChFY+cxPFvbgKS8nHhwWtEtH8enND85GBiXGYcjQ3 U61yHvocPF1Kddl66TSJRSeMYuYDzeICFcXM3r9KWr2KxRYdwnS9z0SSa1A13S6KrIJEBoo7jH3T 9ymMDoWUSzU778GvgyhXpeNypdSBe5kPsjqwqRpdOYTTCWYHBwpQRKWf4VT7IAgKFRBbQwiGhwvw 4ViCxATk7T2e0eRE8W9v0vwnh4SDx78lC6dTOiCmUUQz/lk5FdW3TYi72ofRUQyh3gnGv04cLF/B dOqQFs2s85w47wwtvHphoMBsRIPO4gLNOJgWs00Oz1iBnBjSdld0coAgNdLeCVpMmiSzIlOsQ0Ht wIWwalwthvu887MY0Z6VQwwx0jUxnDU574Gw3CLLJHyZlZraBLqFb69knWwNXvj/eyQMu1ksGXWQ NWhybAPtveVqiSNHV3waApJU6EZiMdEXQVWIX4+cNFnJ5KBE26ImHTl2G37euVkMjO4dbv8u0BG5 rrtuolgYReFSi8u1l0rHV2aU6SrrAfylItZ7MOzYN8+NNPi62fZ7NlqkFtzQJkHIwYQzqH1hl7po I++xsG1aSeptIpg4sL8ACopYxanVzzsrqz/6cZoZluSoyqqZCaNh9PABW/85Kmnde+N9+3GTWHLj oonCYepjQ3/kDl6FGIiRtGjsxy5KjaIlYijWKUIwxvy8M7Lwx3URieWEmo8T6kb/T2YaeX/eCVlM Sr8XDQXkCBHS1EQBMY1G7Pv0utUo7hzRc28CbluUlQ8dPYqIu9DPjD40HLS/RVkJ1yXofHu4rxgV ewf9wq7gw6Ay7jsbC8tyqmAutuM6jYXUUhVU3/br552M1R9NJ/y3PwxaAElsZ3Leg2JX5Ol0tGbR k6AtOdSwBoHJoQA+d1QUFQ/d3iRSa/B0YYP4iCmw6NLO4qnOJ+zEMxQkoWXjQXFnvvDOxGL81uWF Nc+ghZOhNsabB3oqUVhMDstdOMw60i1fvfOweDWCpk8EK8zU7Mqo/AmmCO5QU6H8oXZ4GBhPbaYx zqDTBsD1ZLuKelczbejWgIeEKwcQWejEHCWVOfWe0dwgvmnE52tQaS+TcEgszvq8k7C62wY85025 buYs7UWBcWW1pnZHAjYWFMGhQQdemwpRidf2wsCYc0O9Fx+8XAODdztsUa4BZQVVPXIAeNOT73hy BhqAfd4pWFjf6iKwrqHBw5veJTc9S/68M7C6q/NxKljboYD8vcDf+VeY0UF0yTwVvulSITB6V/IJ 1VYvlL/Tr7j6mC1wqWk4ncxkL036HvwWG52zwT9n0RMHxqU3As3K9wwSKjbqFmUkNDjGrQ8yjSDm /nknX+Eov3ODs2UzxL5TE5WEJ7gGWOw6biblY3DcNErtKLYBShBb6p15hRevnJMkb+o0r3ePhTco BHduqV1odwyf4Yx8itIpV1NZyhfvzKtTMLP4gtafHLRu+lRD00Kl9nknXp2ZfS4qrF7ua01OHBXj LC5bjW9YR0jEyS6brCqXndPAwHFyoqiYsIaVqY2jwc8bCLgXmBJycjI7JLYOcTRCvIB6noKXsDb1 eWdd4RMIvrEw7A6aGzt73Kp9rs876crbJc/cUKqsP3MTBcU4wS3qGCrZQDLFDWoGpTaQbBbi+bmn ovIw+bxQtShn8PMGPsub9xRYVYm7k9Rh2XXhfjyXOCmN75QrfvNEumfpM2Y/byASUYUa3q183hlX 3ZEpXwEOmu/5NBTe+VbdjUYEE1b9xu2fID6bnS9Mp0POTVQiJlnQJiXf4ZT8kDBAmnIhvKssFkAD rOH6Br5+P/7LS+8ZBDhkW/H1fNDcQF5PmmhYN1FcnFwNpHrfG8FSPr25d65VF5rA7qZ2CCFpKa2C X6T+2YSlrs/Ne1zsSfJCbnAGB9S22jZxbFBDg4RlF2rCti/4IOsrZ2B88061wvpmjXBT7EmDCjhw 3mNgax/7eWdadbWufd3gQeo+UZRRkxNGxvWZkkm4umNu6tqqc006Ymly3iNjt3Jd0BA/g2ea0Cmg rhsmx76+Ldgb7jUqU+6vhGrrPX+ZnJr4CRq4cGzZKNQEx+3zTrNinepkDexboVLSbtbwzrPC9TZo u+yylKXQzYrVLV4ASDVzvs3L98AYKhf0J6tKqOpJqPrYkICn27vlPoBGSjEW2FvqxTzuPYP9qHee FbMbIpga9oMGEUHs7JGT2sDcvAfGkGL4vqhoq8WiseYmCoxZhLMIxDlos7tvmK2bLvjfZDtM6+Y9 MEYyn1maSOMMXhVF/xKNFXR27fT1DjCZUoCqt6/DGDHY551j1d01vcB97AycG5z0BKXCw/HzTrEi GGB/HziIKm6A886vOstm0OaF3E5qpNMQq5bpFAfoXfAOf6dXdWf3LFVENPiyQQmQQm7w79nDizdV dfSh9ovCG9xtn3d21WktWSIsw8/pwhbN8rMu+5488uedXMVc87vtDTePuU6m+U6t4kIdVwyFKLk1 nfyxtjKsidK1Ms13ZhUrFI2rxatbRzbGcvkN1HAGaTrbbcXTD+rCWJ+YBcJrjhfWO63qzEtNzEs0 eJpZm2ypu14u2E03JGaaWSCHeW6od04VVgxiiTpZ7kN1S+LTtCXMYg8N4BIcDxDCJJQaDSWb41I+ dk+jcVsuC2fAY2DGNewfQFmnXHM5gDP4lkEexcS7sf2tQaC+VQeVn6CO8nmnU52u2Vc8DPhIP0fw O5sKMwP5a+hdClHcW3FEMc9vIgIW4RvcS+/x8GkwZJ0iHLRiagWJDEiUBbvQBoAlifHZVQ7LlSHg K3zeuVT9uCJArJED3ax5eReA+hT+lc87l+qf4oTAW6iNUcpAsxNFxLhHS5ejSKXpp8vA2RmxHS9R j5vGO5equ4caTt92hnPSWKowqvS6LCAuKrIjQbCg5Ofx+UTW+HmnUWFqFnPLtPcZlCrYXp1CHWHZ hOHwrWmxWI6GBJ18NDHv4bCiBfhfHcDEZNKNcDgvcWOmuBncUFGR+NRrlEalk0a1heSA3Waay80s rXdSLuRjWW5bivT6dwoV7r/FQ4ZWixpU7xvZ1TltwX/eGVT4+tN3p7dQiTCfss07hYqQLHAtKCOB LdVE+i00HPByH7icfj2FZWLBa6awfDMdNIld3BBm2FT2sB1Vqf06wSiiVoXQJDqHm79nVNLKzC2J /uXAcBj8RAp7VAsDP+/8Ka6A7+NGnlHpHsRRNIxrFo4R+wAnvA1Oq0UHTtAJgusmrBNPB/KxpEUX zJ+JVKHAoAYs38VGOFnEOOIRIOJQ7u3Jv0lOfKdPPdgscmA0aOFkaSLR2vbzzp7C1Dr3RZMDLELh mafJCcPhrn69+4T16nikTt9AFdGp/cXJCevEzDEz1Rc1eH9h06auUtreTvfm0kOgTtWGavRFDy+G fO/MKZ7FBJZMAUvmOIqubcj7CSHy5504xc7NWTh8Ncrh9XLwE++8KU4p8j0W0HCFoz3gHTueYECA Ntx3zBXeaVPdBRVtO+1yBk8ybWJtvrlyyt7SHhRwuKCEAcvPs3CILHlnTbFkxySTKmsaRF2wSRWt Z6z9eSdN8f1OkinDtXHEbonqiwJiWgQ6lrryE0jQ9OJEIToWmQSL6O+Uqaf7UlTxO5E8fQnt9yTi HXF1U/IRWlQIbaaFlDTwESuIoOp3yhR2fyF0ojWlU823RxWhGKFOnZ93xtR/daboSC3zPc5NiKAg 3TepLQfQFqXrsfhAAa4UOgEYpCEkfmdM8Y7l3KxSzqArHLxe4OjAr92TpQoWJ0DYBPABBfADvAHw 7fNOmDoBjs1EvwM31UpZqkXVguvPO1+Kfa1vdB9Jf6QGam7CsHiRpVDds3FyP4jPMYp3wcEV4031 zpbCb6m8oiiyrcFvql5wrZAJnVsGpo1wLVyPONpWvUxoIlI/73Sp7hpSUMFsZ+DcICHhGWJTsz7v ZCkWtc4tXplj2iffmtY7VYrIx42pacoTClzqlUlZPug0+rq4qLBswrj4bKl2Bs+kUBrvuNQtx4Rf oY4bsl6XXeBAUh0fNRypn3em1IF12v0g+fHl8uPkWPOeqcvC4neiVM+XQo8ETpEi+mIn+nvnSTGa xtlU3MbyOFLjLG7lrBuIR/AsfqdJdVeoAKaknsGLobAlHJDmXBNGEUPILpAONnF26yaaiFk+7yQp ws5Z0mJLUYNKE3YXMnmAAMjnnSN1KvC6p/BaONbbvOsmqhPjNixlutxvAX/V0ROMIYCYpUeBpiYM jFmvsUQyncEDY9tIZS25Lhfb4cMpHvmHgIvr+kTIzTs7ihOz6EeIuEiDN15chMH+9/i8k6MOXkrn MC9ru7vpRq+JicETiRmDGxMOpORMNGumgVhBBted1/tOjeKGYoo0lTjM2+hNDV6nMguzhZ+q7vnF Kg7y7xsTU27qnRfFAj3DPp6d5dKqe4JQkzB+hU9Hbd7vSl+l5lW+N1QUE9N7YaR5Zqa4zEsvlMEg ZrYdoNY7JepJwalZpMHR5wCfU7JhQfVoLwnaAz+D6lB/zpmulwxqE4kHjOMnxrxs59nkRdn7+ryz oYgO/KcEysOqnni4hfEwVAQGRbAkw+XS2bBcHY63KUfDrYVo4sKJKarzlVvnQ/KdoGKLkM/mR+4m iQqi8Ex6yLwE97UwGs7sYtZxB50y9rOcYdOqeDoI+Pp34Rx6cvXUP1sYCqOC1Lon2qXuAwodFJtD Nav14wvbotJwErx66PYex/oByQZE7if9yy02q1m4APJDAcvP95hBds33DO4mYrRoNuWD0Guw1pIk UuLTUe/7n3oWlne6BJcehsIokzTizZh881+X7cP2ik2n1BCOmf4eCnvIAAuMfAbNjR0wdo5Bf9D+ hARiclY9i8qoDfHwxdqwntVDCh29nWjO5YOKw7hjnMtR8XTAWtgnTRAou3/3vnsUCiNRAjjfNf/Q ZtcRnHjDsNZHJ0DOTYSZGMy6cz2sjrNuOlSXUiF+zZK1mVz2riUxH0mNcvo3d1SPCsQ8vCGev87A ubEpK7Icam3h6b8IQCycj8Jimk9NGAlTcL15w9sCP7dT6TCH9S4dqXYI93pUIKaKKPTYyhn8qLGY 15YFLyNg6RvlXwa9etEixXF2eQtF7xlsKTIvN3SHfVDqjWLi5AKaG08HUJu1vpYNmu8I3u66Cdl0 0DbpZGqiLtEkIFAoesNSDYxzYbFEdlQUC0+1mCovKA6OmWjQdEVTzY4DMKWpRYqDBwK5kNJ8pLIZ 1vQoFq5EuFYYnvogJLHdUaylW5pW8HRwEqf5lUJBlktqZJyaiFAHdcoC1Jp4qUUy0HSZXAoBBx0x mF323yNhWk75oKkBnLG0bTET6qF2OFD0ZaKph32MePvWiEvSe/7a+t6k1NEtExsJLsVy0AHXAk8H UzO+M2/kmiVd7kKPQ2EKSDkYAMI0ybMEvLMkxOmKw7kJWXVDrDC5xK7bdKkWCM8siX5LqGqWzx40 birKECAHHWgfLTB6BCXmtFvyK+TE9GC727evZVPL5PtFe+p2FlhsT6SN38mJi8SdYNNFQBZc3ov2 FIJwm5L8w9xHqXcPw2FHZ+kOT/cOz9hRDSUSeDXuIvwaxP5+wJDDkfQ0MZfeMzqLuXCG6OHDHWwg BFySy/ZNPB1RF9JXDkVBu3xb3/09IAaekNEeLMCIsy5sJMg+jkhQi0Fgu9CQQ/UoIN6bvaamTfUY NO5amySMkHv3JAW7RRUOytCPx+Fz+nv+ck9VtoF9ENaG+T3mxn4tno5y72/cI0Xw9jM3EZYY6HF2 7tVcEAKFB84i4A/evyiKVM5NBJnoatTpwLE7h28AOAk0OO0Sn8SEQuLG/XkgY7DUVTylPiDI8QkR RkssqTzOwHUDd3cWFoG3x9PRuvnGr6Hxsm8VtIdhMfwRof7md3itzWEBqwy/w0HS1jUVVYiXIFpD PLJxeWR2T3Y71GcmRGsXlc8nkrwC2AFC2js1Xe/5ft6Q8lCWtEnW0SZBpNGao0kano54vPP7Dgfw hk4HTuSN4mLaoc3UHC87j/yqNGmJ0co8yjA5I1SWYCkG4iBnOOcNRHuBpVvkrzYlo+Bjos+Gat+p gtKuaURhcRZcdqr7MtuZm14J7Ec5K+PpICzuJ74pAgdgzVyxgBHFxeg+FCpZystBtk3wcgDVXV4O bTkBcYQlYgV/VUdyPeVzuOhZGgJU9NooyFNIH15fMNuxPxfYs5tPbb3nb0Tn1PYdhA8YVO9AqagN PB3hHm9Pio1exKDt0shGiCZGNR+OpD9uVJBdSH30ItEJqER4QWtENWKR5vJUyY9g7R9cQ5YmFDtw N4HWuMOLa3MgzEUce9su076KgQ8IcI+NB82makuRfg+Qrxa+88CwJdTx9F+tBe4f+2O5AjUzIXSC EPQ2nX3Y+54OQU8uQAYXXS9PjCgsFqikUKUYQ/GZsQy+QgMSM4Okk0KK9g/2kHnDhfXZ9zPw639h kAE9Vs8g6FoBT5iHzWx4OjpsvnkLVPTb8xzEIwqKiZ/uZVbvY1IKGmdN6r07Np8hEicmCopp07dI JvTBMSUFOIxWgSNuHdxYWTdQjcx2HbQQLlbW3/O3Hu8uYmcSas2lYje5AKF2+mc8/tei4d5BjEw9 Qk1OGBUnekhpXSDMaf3YK+OIhmALHLZ1gY8oKvbCL8RkffBbaiKhq1VJeLO0aqp4g0wYsXa5gR8h G/iEINPEFwRRhnkGobTKLFRzrRZc4+m/QNZUP8Lqz7d4M0Ig8SLNZVUnvLTheNBN2SQA0C1ec+rh iIDEwLwChbTaGTwLr8ASQ/McFfRdu+Br+M6QdeP+djEbQqxHqDdBvkJXtslBGVRD9YR3FGYmDInn dzfK3XXvKRzViBtSgUq/Smwp1BlFBepc48JNHM30EYXEU6uGES8GXpIMiTu2FMi8+E9LJGUugmcA IgHR8XDk8SH4hN9im42HfHDMo61FHa1r4+mItvBdJob26rqoiRFFxGOonXCcvGpzPfAi+TUozo55 LqjfI+LEP1mDL5oBxSK0Y9cGWXWTIm+TRElMUA+vnfvu/p5BRCyjTvl4revjtSGaSNEsO+fxdJCC 17NsqPaDiSKsTHMThsSoP06GtGDXgU2qLmaXhjO87vdp8I64UszIpgiKVC4UaaHOWgHzXXtbmkmA 25xMSZgw4lI7RfSc9aLBadNEyGz7DEwXbEFsXjMWPWY8HdUnvlMpyhy0C+6bcal4uZZzkYUO0dSw aSCnCSFxgnlxpYhLLLbG04bgcg2OzEdZyEGhEKMjkX5udpbwcnRQPjW/rveMUBMyUJZB3sERj7Zt m5IyZ1E9ng7mpn1DkYAQG7eLOaOIGPVg6EEkXkjForLK2LgBHER7xZFopIB1M0N2nZp1xRsw5UxN h+FSA4gL9pPV4j7uqQFgABCWSNyOjiGqR/iEKMtMZNS3fAaBbUBA5tduVzye/vO44Q2Ok7mVu26i iBhnL+QPXAVzScoFteJaj0GDFPbtlpohv+4BCfvgm4ou05US4BuKvIU+DrDlpJUZ/FE9lyIbCZ8Q 1YpZ1wKezAeum23nmP62Pvl0BGH7xllzDbZ5SsUziokprg8WvKeZlGYkFai37qIT7SiEzrhUzLZK F0qrX5QWJr0jGqZAKKrqckjBLwIKeFz5H1RH+ZrRcSPmIRvgHHTctE339B9gnj4zqhTv+WgjSYWh gUl8RaOiuLiSmElgFbABE1GHeOCb6Hw4V+TldfQZyq+JAI6ipg8Om6jwpEXtDCR55Mvc7mQsgyWM Bu+Vpct6z2BXMbJJNJ7RICoQhNEpIIDe1IzCYkr/f+0qBNmydOXkhHHxpNpAV2sBLgpe2Bp5CUk7 CiQaNTkRcMIr6UNcstGuNl0FkQzCqvCzbzNJrI+9zc1l8yRUc+s9g9OYAC0yz3w4pzHrTZSgwNN/ kVaHgkZsq9uemhF4gsKyV9SmjJIORICuEDSkorkNJyeqFi/VtAhJ0KDJQR8XgAAA/DL4zUWmr5sX Dvo8j6Ahu5ozCo2TI0P7OAPDvw1ZQKmIjYan/yeAHxlF6c5NFBrThXTkY8kwhMyfhE8IPzs2cl3N TSjGNgRGmusMXtmiug8goDCctxSi89imPjnko3ASi0PG3BYfELFexFZt6wzOIRtMN6h7hacjMNI/ xExiBC73cEYACnAqYN0qLh2oBk6Uwimk2o2dPN6BmVFoPIilydRj0nDCv2RpSaNOncWBmRnj3LDI yCh/lfQwGFjYmlFo3GjOngm90KC5sbSEbAZL8huejlA3381w4kLrJb7MmF9HcZu1HEQhZDEMApuX K2wlIfBgiPOH8MRUiDPLk2uC9WJ7EnMDP6FCmRsA36nahzrnaWoqxAkV2QjPb7R60+BNzTWlAGC5 DZ6Oor+TNgjpiM+vV11hRaExja5bOkzwRgoolSeSa6oO8LmVU60IRTH8ihr9DH5TJWAekSyD3mEx Ni2oNm9jqpzki6IgyQqfELHkmUx1nTfdz5tul6hkrFurFU9HQn7tnjcZ3eEfMoh9bsJqMXoeXWxn pA1tba9uoUQpCxgK0OO8WSGKQucI/Sk0OFxrQckPNKdlq2jlJQOmTV5vVdn2dO7oDrjCanFlyY+n uAbFxkmL3n7Drng6QmxdhSRVi2lOcXbVCuWIG0OcchDFkpFCD0YUswUDhu0nzgpxFAr82H/HwPQY CweWUx3BG3S17DiWyxAlDpFgdnpzlSNI3PAJQblYhCDWiTXoxLHQ2xXZKv/Kv4QOL9WuXxLiimJj ZIDoIW2h9HtxI9KeCkUVbOGMvl16YoWQYsGstyRL9pEsIW21QgoW0hML2nU8cRizI2LOD9tZ/MwV loyZVBU23jUIttV41qOtmTee/gvQxnWDztilk61QlxjYqSK9lkpl1cQEvGHdOIwCFQUGfyvk2akN XpVS1ZtSkaw6cQ2C0duy/BkmNPRKYuB3WYjyXVgRjAKxIeoTqZ1BUAFoCBCIbgsIT0fxzfg6bwB4 uVf4isLiRNhsOmpslnZvTzaPKOTMUIbVhorKxe704kqy69qwo/oDUXg0Ne3M3FTUmgPKouQckQbu FHmGxSsCUQhgsigDqEFh8YTQB2Zm9IGn/wImsVycyUK/h00UFcPAqEw6duOwgZ7RmZstRORsPTkl aIVRcZFafhlnOFUKLI5BrB+0hBg9T7hOoTSCw22d8IYWH/iEYG7kc9e0atphhaU0Se+3AyA1PB3d Urep+eNtO9rHaG5Coh2UOseqDkwaLAYQbo3UuLIZXkmFwY6KQcXCUOx8Bq0bmyZAf0nQtFw5Ex0/ qVZQ6ItSHxNAFtNXFBYnuUsR+a1BOwo8PhbTe+b7BQfx/r7BiYNk7qG5icJiEjQdXI2ftpslouXm YbEFodXXTVgxXi6QNM9wU034p3JP2W1VVKSYbCiiCwzWxwEmkby6ImQxle/Bk1pnEPamuahftbwN TwfN8IuhoFQPXjM/500UFgPmW5bcslHAoUAlohvW66TlQqVtrpswLO5KBdIdnBIEUeIFyTzQpWrp rBh3UIKA3yfizi9w8Ev5nlF0o7Am30G8F/jfCKiPkzgEUVz8LLVcUN0qjP84OTsKi2WOmFw+FRXj 4ZND9TiCKHpx1vOOKsZDSmxb/rX7VIzRPgJmnPr5LSMPkcMkdjJ7/v2ZHFaMdxQWNymxUcNbg4BJ qHar6Vv5fhG/I39tKiKU82Ux7PewWLROwEr6ScNdwaW73QCA42sfgetQeoJJZiI1UMMhTIEHl+jK SlR6kQgQ7WTsQAMJwS+qTYbHDsHFnJRNLJoGcckgn111wE48HVxU6cyNBJK6Ul2fm9ikA7DZ5HKh qEMdwP5aw+Un+nHM3lFUTKbsIgbfB7/EO6w5siz/UlrJb3nopEPuh1NTHiXeHQXFlWdxJ5JSg8A3 tuwlb1Qs1dyx/sT8uqcsfdtX+nuHLLtFE80xva6Vt/t0JLIFpD5x0Po7hFCwoJVZ6NbgEzMgzIrs AEL6s7hBAwEDaE02GpKJ/cICxY5CYrT2GNb0M4gWBKtjldLTxtN/qc1y0dgnE5uoqQmRxZmYkuTk F0ha+9RIVAsgipJcemL/IcY2ZQU0rxWQJVK7cvXD6htXN88aYbQtmkFBwV0iQbXAB0TJguI+Wazn Y7G+oQrNY9T2MJ6OYAI3y+QSwcnDqrjmJrTr6OzX7XnO4VIdzNaHn8OJilncTyGGojvxsJzBLynA tGBhLy7ZZMAmJTtEh+OhMdBka0eVYrJVqgTN6hU0s3i7DuVRdsvi6f9F56YyZbnUoB0hKJAClbac vWs/eXDctq5vyBe1eW6oUIptsXGXKB7FwYsTs1o8k5l/wwiLyla2oRjxtSF5wyWWPF4RnxBVQ0UN SusMaobbOZilGlUXnv5fkDeZmtb7nsJhSIwaWyGml0mm9NegPbGTw5IoXqm5CTEU05dLP8PtTdnl D7Yu5sbCtckiOrC4NHru+YR9hean+IQg7BMwtLU76LCBbJSkrevA05Fu1F03LFLInP1OTlgqzsRO VFExoVHs9Myi44YdhnRO4hhF4ZOTz+Ax8SqtpEaY30BtRfkonB4yVAb7bTDQVwYfEB03zKG6bu9+ IJD2YxlqMCw+/RfFoxP3goP7Akx2WClG12luh67ZT9MvKZoby2Ug36gvAlGw5bggh3QGP22gfLpc BAhpzxTKgimeJZ/4/q8/RdN7RlEfvReaeuLNK0N2e6fkdOe+8HSUZt6QWIWbzdNOc5NTDKKg4ET2 ys2gwIaDKIjQh938KWrlFGpPyFKUpSINvqsGZL7HBjnIFmVh920OCgDhIkX768BveIXjI96nR+5J hTVDG3DVENS47dcxZegtTz4fYSHr16GD0LTk2xDPKZRkg9xagZBIpcahZK03gg/AHKhxSHsWin+n ODCeSqXaGXyCLN+FaQWwFAv8fyk3UxOFfjKlPjiTtP1Vf9X/xmz7oK44IEoEmozW+HiQN1ykCU9w NrrWs4JCOAUCHfhiehWnuv2q/V1b1zoUH+qxfwkRxqr6lTTO4Pur21wgDASAy6IRlcJArgGuBcK/ 4xT/Vs3+ppHWgos4pzOohwsdAUebtI9MVV+DnUtMZGuCFjuPHUOKysbo24OK7qDIBXCnpLbAXU7C cF0LmBRJFnszJhMcycEzK2SgI9F/YUJgVeUK6idiXc58AW5qOGgHRF1q4mnTGXSpW2YteZac2kd/ /9+VY2iTUE/mzE8UKNMix27YpRNowpSWgNrK44aoisr10/VrovnxZkM6g68fy4Mym+VADpTtzvS0 Aa7JmzFKIlDO1UdEkFpZnJD6y0E1UmDc1JGBvn4KgRX97C9Bc9AkajRZ8hmKa8hAt1Kwi2guhk+o rg/CIBAUZhDrpLGf4iKyCOO6oMZD/rBlg/gHuYQFC10zZGE84gh7PVA+TsGLtzs+IshApQy0qNrG Qawhuy9loGmHPh9/n6F65Yux00Tof+wZUihN0RkIVMerD0J6mU7ApUUCDDt5ek4N9eD4VEvGfRoe lyXQllOH2IslWLYtOiND0BsK7v36uFfMMf1Ng/CHleTZyh1ULYVgvVjyW28YVC8u9tgtOHAH1meL hQoV/CrLKN7yZEkfR1DebiA5gP88ExTbe3ABkaWj4fSDoSzaEjG2C8qaSf0ZQN4QuqIcwyOIFCO9 aZBXqCHsqvvsIXABrSpUgaXsjY9Hjb2zxRhMIkGkhLvPTxg8T9rBdD+iIe3jEJR1EIEJ4C+fn0ip QsB1CaNrcCjphPkPGg92PmfZgSGo6gQCUz79GlHJnzbFFh/MSSmdrsEbWPLyRjt78vFgg/XvpJQS 75A9OPMTwpAhEdGSbyv4MeoKA0yyXMGKcuYnqioPTcyFrx+aNCgJbSHVheofSqqy5EMbCQxbQm1V HEQTR28aFHoKS+5bVm/b9WWAracMsu1Txoihz8eTmPJLJp30WUChBR6um9Lq9hTD7hMpM7RdKahU gLfox8gs8MA7oILskOSVzh3fgDmB5yA1IzvtV8mJxbui2bkvP0+WDTkwwUNfSQ2+eQfVe+wr1V9n qT8fD06gJztNTElIDLlBUOiD14Ar7Uf5unRhdCBdsXGMAJAM8PWZoCiKpvY3rDDTGQ7Kq6LkSLIV hKKGICvbDh1a01xYASFl/ICgLcHK+6qy9K3ngIZhl9pRlmzw8SCEvqgL3nZJrO07O7EPHnXcdj5N G/dN7JYeqFY4wd0+sxPqG1cVUCXwkddRPUExxtImOMXYBrNlo7YO3SjRZapPitoVIoZOeGyBV7Xo Mbgg0IZabef5XAcfj4ob9StEJKSOQZ7PT1RmBlsDMr4O2eml+QUPeRHdX3Me+ecceuFJiAyByRl8 9cAgBiZM6IZassIIYKKHI+8sUiAcz8ROcQ7M8NwEHDrY+wzaXnYk8Nu3/z7z8aiPPm8ARLINjp8b IIZueOhClFpEyYMaKO/3Tcs3hzRB7/S4TIaMvQP0n2fQ/FREg4kmBdvuqbrdXx2vN1X4eEwKsr9p 0EqX9QdMTH1wGK3d7zyfN7Lo0BGvrG/gDs7bPC/CNAeWeCprAZ/ptXjoPOr0aaO7dlkhr0f7Kwyg tbF6H2dwpAHsi+ZQiro2pLdZVkVEBfWy9hiKEwLMj4jaoqzDs+qogetn9OVna+6Fj0dSid++eOjG j6/pCWl70MZa/LcgzTrHdOJ930m5PHAY8yyfEIhBj4tEXKsGDw8zlIjxl22IAO6GOxpGf3gbVnPW bYxSRZifEcU/QuH+68Q5UFFiuFV72Xw8OJ/z/EowEMFndBzOBEXxMzp/kJDcFGiwn/p2gjlkbyqK ZJTg9QmKTEBcTXKOdQZPMFIvFl5NOqRAIz0LcdAZtWOdHyevwkogPyK6v5h5gcjig+JDmIBzfqa/ 4V96HzxrcI7ImdknKA6gSf2Zwu8U+HH6AdQI/qeTbHVKTQ4s8q6Ozuj54TdCZ9SiqAlHL6JxYbnI KhoYAWj3Mg27NTLZToYeeZ2+TIUXkQZlqB3fAi/4lfl4xKpZ3xcYY4uv+DAKoCt22CzNG8iz5CNP 1dzVFWrXx3kytsmTZtd/gtztfNnACy632GF8jgOIuFxwPb8kmOSRHPrkFXnBkUalgQvIotcqBmev hY8HCUb5pgCw7NduPzCHTnl4K0u76sHkzqyzyD7MbZLhBOgc0Bxa5e3TJt1n8BPIrqptJyElY5Kt SdoILnJh14br7FNiLf6iUf+C5zO9ajAUQcFQOeRZbRlj5uNRgePAD6Sw3tjguSaLoVseVAAsQSXw llSD3I7CBaA3VLiAM6D2V2iXN7wCJH2zkW6VHhJJTZAnnNDEwMwFxbCSKHSUTgVosfeVQ7+8zIWz K8HLW35ekIgGFXOwbFomH49osucAWn6B5XLpNTm2zANcpLLtyfNHB3SnPwjp5tCQ2/vMT+gkLTQ3 HAJ9OJAwyL4NOhFNCzpVY9UNBi0Mmud5b7Amf9MgQMR5WBspuRo4P7bMCxvMKFnx8Qi/ci4wKdii 0fZIeeXQNo8ZD13/pAIyvVwPoWjhuofNYTobLAQve6+95DOcBQR4GcrNNkEWLLJWaSE5CjVoN1Px wov05bzpb8KKrD5m4c+VYeysBdQLEtTQOY+4Mh1APKlxXqN0dyYodAohEolBlpAs3j9tmw54dArp qkfr1/zGCqVYuw++gsqwECVT1yFZWpeLK0rPH1BNUXc4C4h6Xjk2z2MRenUBdfuBMINvNyRalTIf /4tqzSSb7MKVnxMoqkFDM9ACH5e2xVk9D2TDb7WpxhNTsNBAb8n5YaiAMdrp8jTwdwbK/ODbdGB9 eELjF4HZU8gMaN9HdBhCS2Vnl3EGTRBUQRRCLz3+J4kN1zBNbtZzg0UxdKNpyHL/KtiSuMwOKjas 24MbcGrQgYleckfyPGQXN+ppE/bdhiUWSLbQu6jHOoP9ldXZgJfODiVk+QlBk4dt1EYPWw0OEutU MP5BN4yPBxH0PYBY/0HFoT1NntBFj86rRQBvNDNo+E2CwKkJjUxRVG2vqAI9GDrT+8OHU0Gs8BxF F9XWUqOaJS5EOjGxuHumZxBdmEMbPdaukcTtMygBm2lu2Rakyscj0O6JDzFBduM8cOYc2ujRiWHw r5G09uqO3einerjl/9X1a4Lb3c8eaWuXq62dkbsXtDgtUd/QMRU7274C/LXzdne8Pxja6FU3KqKG NAfFhhYiiKaX0+Tj0dHzjygcus31aV4ERnqo+TE7zZqTglPjwDccCgT4Rjs7K2b6CZla7nDIJRZ6 V0myo6RBxRKAFqjHVSgmBeQR+8vd3zRYO0v9QWoC71P/bxRJ4F9nK5OP/0WiUBCE7j80qnyCQjs9 ABvKJAyO0B/qmJCzldTTQXZaT4M59tOjcWdW8JOf4AfF+enAKNtLCQsJjUCGwECTXm0ZoZpzaKiX VZWv1N/hoOIqFKXZUk9j8vEoeP6ODYGdXBehmkNLPRSrSqELAy4uFKHVu+i01mHzVNK4WD6Bpx7Q J7i4CuWUNJzihv1/VGe4uRYZCCQEth+io9ZVUAFDWS8aSe9QJmRJJmQdu0FQurcnC3rBCIr57cQI A5NcLgUnx856JPzR24TohNwlCtdB/VHm1ca+0xMrJ6snKGh8uYQBaH1pM9E0mIqsSEnBQ7IL8QfV 8YOOUukndNejVpZe1QdFznBS6Dyj0+Djf0JVWSeSj9Cdn19Jf4P7F/Mzj2UaBDE8M63QE/fNFRuJ sCToftL9qFJamABHiNYg9ApbeqYwlll0lpmw0dppfc3e/U0jLm1mTUzGRTkdNVPoqhDxVLl+osD5 2V3CHdqL7Cs0kwOHPWATSBtw7+1CTWz38nTvFZTG1pmfP3DOTcTRdvFjvZAXOTrFXvcaq8sRDFAs zFVaD1OJjmA58Nnz5nBNWNc+CN4yZbRmp+4efDyCt+SvBQQsbyn9Xl+B054deovnT5ZKXCltCOjS 6NuDn4a7GyE1Da32umS3szLU/DiKrA3+NUj8G9NStMFwXEGlDiy1I4s275tG1xddRQZPaQ6Ct6BQ wtrhRm0jMNsDjudkXvRbwRf/HD9R2AzuB3rW6UA3quvN1FS985UgR+jHTwTdgDgZQhqeuBw8rYD1 2+osHMLGnajBuXiF010G7gUucKXCWOi2lxX1iJOzDien262ZulxPefyEkOd0ZaZJkbTMcF+Dpxz4 7bmN0VhVFHV8ow4eE3GB4mhwQ/LtFSI3ZMHDUpOGA8+0zB18YNIIsBBZGEOeUeQbsR+w/PI3DXZX ltp/yWdQ4Qf2a3LLXZ2P/xUcMrxFBsBSic9PWHhutD8dJNDShYU5BBQyENcSuVFwpWj9hKGzCs/u hNWODnej0f0AimjBl1AkH0i/EgVhV3u7Nsu7N3/T4Hrf1CpiYVWDkAms/GB+CjrLoeveuMZyhMwD TlXSfoLDqPLcK1uD9v0Dy1sgq09NMAvrLOjprIwhORN2LPTdY3BiQR9bN3vewk+GwRgUwCCOa2EQ 0c9zwPiu0OOyX10esH31EcH9rtYFaXUauICAmm6Cze/Jx6P7vXxHh1jI/bLecmi9N4TcSG7e00pW Pd62BiUa4TcicHjXrwmO5ypgghTTxj6t0wYf0Q2eBXOvdCQ1bN0QLkm/ESWmafiL/ppcJJGQ0637 wE2edZ+6Fh//a39xJtgRvpMTlp3RZ+ptDScVVBcPgRBsPqiNdnAJofmea/O4rme50NVmO9dOhAVg 3bIlmmQTS3NHQLORHXrq1cnrz4H7nrf2cez0M4hzYeGa9MYtBuLjwd31iOxRnrGhLHfv9tB/rw42 jNzMyIJDB/T2NpqnppWiBjx9AgO+czrb29Uz+OlDJctMVe5qgVaSNPWyaSKx+3E4atvfM0Il7C/F ciiqMh8Yo7XKqrNN/eLzQdfrET5QToLv6bndAxM+JBcshQ21ACH/5clFRsSbVVQdp+0Vu/C5w1wv Z/DbC1ECl8sCy2nQmsTXD5ilpAfOr7Zy4MJ3JDNqkwFJO5KwcNNR2j3G5uNRzfAczjUpksQL92eC QpIgsMaoi6lqaGfpomcChH/VSx3kRSg4DJ34hspd7ibRr/MnJE8nLLYpDJYH8+W5iFLCdwO65sGG +wYLg+fR5fY+zsAZyrOuIsW9Wfl4RL64fVOmGTiNvhqnoR0flSxGSdVZyWlPhybUnJ2VDElXn6AQ uTEppkKqrwaPD20dyi4ZlG1Lmnm/Q9+IlIYfHNdHP4OmADn05OtygqqrnoH3O651fv11o3AYmvI9 BuecTgrE1gf7HNjyuYHNvHX5qR4qOsu7bGdfoAzkExQzBhn5OMK3H6EIgOdHpicokGN7uNElrnmy B4H3OdAosilzYM2X/58XjLg3NCSdPPYv84C1o56PB5XVeflNQzOELmu/Wlg59OfjLTagmKYYcTh8 3mJEhP+wailAeipGDAz6QD+sKjr3M2iKbLZmov3IQkC9q5g+tJUDiYZel95dpr1uDkz6kEJl1n5q OoMXyPApP7xrNh+P0C0nA6OQWuPP5xD6vERA2z7x/9Hoc9R+9JfZJUGK0UdxK3gqjfASe4l/7JdA RRcLpyi84aDJsTAuZ9QQf3aCcJlDzCp6K1meND/ophKaifjwJfzhWyYBx6jbrIFTY3s1T0ENFh7+ r4nh2x3BzyZX5jZdi4vWrC/Bj+YFScXY7BqTGYfCClPTBawSQT9IUsVLCealU+apFKT6GhwyBupG g+wKuBc9TanJkngJ7XoIS/q8OCT8dV6gJspyoTRG8tEY6RBOG55WWNT8Oi8PWqOp246EWEJhmJeX oIcP0fmoTldaKWBHyCF1UrEEQaGlRd5Kfgl5tF6oZF7UsuDgjRxQERPc2xbsLrNqqIzkQfKFnOQR eQdy7/MS8fAlKelaG4MUDdpJizAkcrfb5yXc0Zx6KbWpUYHPRvLPWXkJdbRayEFBsVmFDIHlYSax y+GglOQQ1ZdAh79kZJlI5HkGzQooFTYbmJU9UHxQNXE1SgtjSh6BTwSwn5c4hx+QJdMNMB+UjAAd J2rFDsLNjNROmvx5CXP8b2w+L6SjgmWRt8/LS4TDZ6ASCBq7Qy+7OloNwIPqjT/aVss2NtpFLAuK PKrBd1GdEM/YrJ5Oy2OLZLKwi1C8REvtECtwg35eohudLrTXWCjj+6Da6bREi7Z83eLjl9hGD9d+ l0tmv4idNM3LS2DDh+COaYv9IMKG5CHICmyCZkzaAnO9vIQ1nBfJPbCn6cOBw29wwzo2jTjsYiwh mGq28zaq5LySSqJt7EtQo2+PzeJMEJ8G3dk1S1bOEq39eQlptFyccNtcSJi8qpp9Yl4CGp3VgBbB 3FXHSwNpmsfLLlWo5jHI/6CHRDQxBMpVBoQa/DpCopk6q6XQalwidXMCG7xRby9r8NJ8iWW0jzJ1 OKkEqkGlZPutIhk12BwF83JAzLqNamNENX1aXoIYnbqVBhL1GEjwKkGdYswm9ZVRqL1Jldzo1CXD rSwKwpaVT4cYMTwoGSgD4uIgkGNR/p8KnuNRkRt6yffLyNn9/6GRa68OrNQP4Ur58xK9PC93T91K qZZ0LqOX+p9uMLQWBo2seEkPt5HoRYYSqB/fCsVL9U8bWPNRdRnVexmh6W4HjJ2SG+wYGDGTl8SS EXEC/QqWUzr281L88/CIyQEBxxq4jzYoPGLdrPF5Kf3p9PPOlU+MHGHOenmp+2mFogEw96WUSDq4 Qz90FO+al9M1f6n66ZPZJSsyjijXOML2z0wWHdqi3fDXWPJGQEEHXwG+M9wRbhjGxPKl6Kepp46I /e9xBuG5Id3H3VEHHo7uo/IVvpBJS8tihXVRvDuo/UejJmZMRBVS6IqMB/KNy0OGDK8kHC2EPPug qYH7tx0JvfNKapt20NA4rFBIA6WwPXIQoquHmwn1UGU4GpRQ2q+Us/RufDrYTfMsmoPTobyzpiYK eRFxFNzR3ivP7vjZhgqkA1XDcpp5ccyrVrm2U7rbKUMBbHfZjQAbWCnIyAYZWRz5cG02Y2B+RHD+ HkuNO3gxfZGD9wMI9OeNpaVDI52Tpgq9y9Dt5gPvgS+yCNACr1/Ykj9hV1TpW2qPQ/KLIl+SZ8Ao nmfwNDLjhEHraqHR0DZxKFsiRzBLhtCpxzKanCj2pWMzCKfzDEqVGkwudWkDYhpFM+MsHPZ8QXCG k+SZnCj+rcQRLJfRg9HnEVnZ5egOErSmRkxwErPVt0CBOIOfxBDg6HDgQZsKbbwtfCWNI1zQyeGB 1Eh7I2gpts9cMmzkaHB0YJHelS1vdBmiE2eMJ6QBbhxOuvPuq/cgWFKTZVYX4QbW2uHtAKF4io0A 0Oug0eSwDFon6dccODnQ9bXcGqSdtcFCyh4tE/w24OD4gw4WrRFUf4hOHHyAbSdy/PI1b7RAdKoK OjdKWMHcCDUs9QR8T/glz6aK4mAIdoMv62YsVX6oyCbpsERpvcIGJ+pX74HwTZwEfSsX+jZSg2Dl YOLU7LoAxBnlB1D9IGrWH97a0Fu+z8ymZWPbqsptJ6ZAhY11E7gG188bK8tj/XNPHa9mug2eqQkj YRTZxpHtBBzEoSf0QFNss8bBdUWhsIrCZZIbysEvKstsEnIvdO7s7KH9hGXauHWIEVinAEEbiM8b JUt/nXyxJK28ustK9I1CPFFdliR+3hhZnpG2r3uKAnL9Kc1E0fDihsrbUTljeK0TPCpFPHbBN5d0 euNj+R3OY6YoKi49naPYZnXS3WWh1ZmGqqKJCrCTPsZXb5rquG90LK3LydOGqY8GtaVW7XKZsfn6 vLGx/KtrX/ENoaXQIfK5eQ+JoYYxSbVOUgshpEIuNZSP5twA6qsdFcXEzCWwXu7gPTt0VqhYDcNP +29Y4MqNUCEUNpFyKyTuw9/zfW788u7yjujprJtWhLq1OzB/3ohY+iufO5zFiHGJ6PzQKCyGOnyp uqWZplWJqrRJoRGkUcDgu5pTGBfT30juyhr8JG7gnMMHATrliH2EMkPBEXc4AJBHSWVOvWcwOeiZ 2d2LKEGDynqjbqFNNrq9UWB8SBDKGAj1ptaD6npRYExfp7ZclhyZt7w17H5ZwueMTs01FvbiwJhz 05Uz9JszTMpxA6tuX12Ci7daDbJPJarrpAwIEfkJwWEsqfK50xlU26uD2E4cW/nzRsHy9ztXOCWx cBky5NHcRJFxJhdTRT1Yoh7twZ5FVYNXLJ2ScOC88a+0+mSJunngcDggLrsBJwoQoKlBR5uxH4Qr yZDt6fIbsXT5nlGZXD58arIsb7J0gJGL5qbNzxv7Sisg3fAG+50cyXoSqjfyldZqoVME3feQdKJO wwOn1TqPgBOjm87fEiThhZXeLWrRPgiTju5QpbmzZctQ/KII7KRwXGLAQtHK8ohfvHGvPL4ZUtbL Z9DCyQrgf3Cufd6oVz61Z1NJSxdr5l7ib8QrxcWolnc04FnO6o3ZN2TLUvUO70ShTpMTxcWJjL2a iBDg4AcO3XTB2rUDBxm5cF5As+dBmEA++JJOjMAb7UqfwKZ5m4QncdDcJLRL+bfN9XljXflxlb8O HDbYoKbjcxOFxdDVh3GPp5uV4hOUlVH3G/1XqiVzU8XFYRarCMzT4AdOA94GBxAOYwuEM5u/ROrg 5eZ4XCNIanyjXHlK5K6W+QxCJtWuEppl4uXzxrjSTbr619yQzdjuRfXGt9K66ZSqLLd+4wl4twjZ jfhKz2duogKxgAFKUTT4LQ5Ec2mi5E/77rca44Og1k3i7vVgXnrPIMJJsgqr6QyaG1vnXaBRrJso Mk73FmeoB9xhLjf8eyNbeWWZxa0+zuRUnxy2oDg5PZ3i+RvX6smTkWXOMzjsplZ4yGeyPcfu1GKc gNf+cOut9njNDX/PoOw3ZL5cyhlYpug2tbInsnDh80a18sbs2VTY7nOTJnhyzTemlZ/GxC6sQzVn 51i55pBWuc3e0Sp/I1p54Dp40vRyBh04te7dYJBMy9hVhzBLdACFx3F97HSx0fie0dwwJu604+Mg OH/fvXhdfH/eeFZPw+amDXR9as+6CavFm+Tg7GgSehR6fcv5sEOcH0Y476GxXSMUIYL2/Bk0N3Y1 ATBKjftFqbiq5gJEcpHCPg4+JD5+3ohWfpw24pDoUciB6aatwOn6/5ib99AYYgznFi+S5KHExg3/ otC4srwFUoZXcMoxR5AIdQVZY3oH841m9V2kYESnwU/jgpIEZSohgtblDVXYpwWHgE41fhrj0Pu8 sax84dCNhRQ/DZycMUZxFlFenzeSlQeP5zTmUQUtQpoWq7kbhsYQ2Jn0GQVOi6VvsRz2mM5yQITN nOqNYfXkcxANmGe4aQMktClPAP60SLmFVx9mbFzjz4FiwOeNYOWdJRZwUi1nUJkCRFri0mwxft74 VX6Rnj0lxzCL29Zte8coCbGBhxKqsdohn2V6NmYgeI9h7Bu7Sh/Nwk3lmaBBMwN9bkiTEcC2wATg DHZ6VWAWCK45jlhv1KrveaFriIbD/EjiTHe9XLCfnqj4R8LK3J+alygoBsDbEk1H8ZWWliuirJIU 5QwaSggPEMIkqARXSfbW4IAAW/e5gPGzILldhjJREEc3RCKuxxydKj5vnCrtBtQG7K+nCCEHTgzI qJTTxsH8eaNU+SF86lpiv2BdZ0Q7mpooJO4U+0hTBhGlT29S2eezjwe6K5VVEBK/Maq+MAF11Dv4 KWw3NqR10eLtMCok1TwT+8oVXm7Yx2jl80ao8nSBTiMQbSdYYqjUMOpqk10GfAefN0KVVyhu247H Cq5IIjs0O1FQTL5iJ7qFHU2pngFzPeY4gInpWlVvfCp9NTTQrYr/OPj9bQlvI6gVx3AvlHuxS2yg zmofcg0/0VT+vFGpfGo4J1RS0+BAtSaIUy1YN3FEfGaGXAa7hOkDpXl5D4h17Nu69EgPUgRuE0tK gwQI6JXIDRUVigWhLlQ80HCuJwuUZmXMZ4lZcSVGQOuz7CzLbU2RYP/GotLq1lnT6jiDgLL59MBt jj5vJCp9+/leT2JUY577CWzeaFTeN2HppjsFuE3/CaKIjTU/O0enayi/saiULZBcVjpPYQ4Os7Go Yzf0jXE7AZsha0KAlUGLEpxEB3Gres8osGEBnX1lDWr3dqjP/BCWVT9vHKonnH4a4SRkpbtwooCY thG1znWQE6s5coJAaSEnulPM3hhUfndP9cDZW5H89kR5wpKFQv9PC4ghDoNzZq4lICT0Z9uTgpOg +MagekrhIL7MM6hYbImq/N5rrZ83AtV/tqYYRzdo756g740/pWsKkPhRpksng7jgaWYpCgTHpmE5 JycsFuuagomTD55mkggBvKWdxSAqCqcOeCj10nq56OHFoO+NPfXVmrKof5xBDd/tTDz7EL5fsKv2 WTi0+6ARk6TJOTlRRIzmCFywlUDhtHB/wgYsIuIdcJOa0oU36pReXegSiS6VK7rUK8pVCeYsOIlh cERQH/uACIuhdXEWTvH3DDowVDzJVQX1eqiJSP30t421P2/EKX+/U9fi6TJ5T54T5403pRMH4s7g hh7V9sVaBHVWSEe0uA/i4eSVvdGmvptT7KxoUApuJzA07hOvKTipkX5GWzuoRv7gavZLnFj1zxtt Sruf/d46xW0lbgbbg/A4Ah5znZ831tS+eEltKol/ZDXHfHJCHAWgw/J8+z/KzBNdXn4aXKWJOIcw J+w1LO58o035muc5PNodvKmJIGdKT7FDYkve1Oi8gKOImvQF36C29nljTfknMFHIc5+Bu8riAZaZ Ycg4Pm+kqae59RRvcAPklu/khJExuP/2BbYfWQyrlE5Kx/GLqGS5c+VE5eLGAEf2yhpuf8rCHZjn orJluffgysHdW1CLXfXyoelr93kjTXkThWCtLWjodhiL/SLcfEwaxvq8Uaa8svWNIYbILUXnNDXv kTFiv0wQhTyXi/yR/w+BFRHMEuI8MopvdCnfVNpNuZzB140tF0SgFQXRUuhfwi4DripqMdxrfJz3 DK5xFiZyJqGeA6fGLhMVRG0onze2lD/9PTXEoCNr9LkJi8Uw/JjnfqJkuB/GqxVfNiCV8DB+Y0p9 J5qEBGrwEAf+V0D4gWq3bI8PHUyJFO1CvvbJNRF4ft6IUso1J+taa7QzqDwBpiM7lWv0zxtPSovu KYjivXCw04hKcxMVi3E7Arc4lYQX2fmgq0kyv5N8veX7RpLyJcucQSkBBwffNNzjme1w2+Ct+LmU 4aWGFz3WT0TevBGkfGIY+y0pJK901F/SlNA5svrPGz/KM9V/wcR25u19z5oQQjGoK9lcl33IxJwu ukvpp11cRCV1/pbfbikUCc7gWTiweEoa7ICRwCQCZxpVIwW/UXH2t3w/hpWWburnalAWDjkZ9RcK n46avd+BHwA/eT6ncBQVsyA5SEMXcLap7WuZRPFqX2on8HvjRH01wquiYg4nttkTQP1GZiawGMQC QvkX1cn+nDNdLxmUJ2gvlxO1yjhwYiytrzIDsTT580aH0p9YvvdSL9SSPBFxiyJi0MqO0F0l/sYR xTX5P7M/pzvQuoWQYq0V0vg0+F6a4GHC0wncTJtrgrmy9J7tIP6CsSW9ZXBzJ9F+1h14ynTI4+p2 WRVPByHfBc6y4mMH2oMraWE0jAOkcZPTBKJ6Y8EOmaa73O707hWtFtWHHRXaBNhq+QY1DdWagnKs Lfu903bFCtZo5w8K36emhXwfnxBwFhKhE63XMygattXOaLjuxKcjrNb455zh4VtOGbSH4TCyurbZ wEQC3us1gDhVm0GAMg6a/h4OA39LS4VNNQoOmhx7c5sbEEOAndilCbGUUO4AiKlQRkCgG9a0ekyj YwWzMajZzYOaNretRtXwesXTAXNhn3UjqVKcMixSc26iaBhsggL9VK/3naZmT6Mck9ieXWKhR9iJ sZpaUesMXtGaMLJMsnlvbRNACH1NFPULatiP3ydxsz2qEteiuanjDJwbqJeLfWm/Gk//xQJiUWvw zj1TE8bC9L7qw2VZ5yge1HQwLtmsK8DU8uLuUZVY4luFvEYN5+IGrDQTi94zugDEogOxQldIFLYv faHoPSOsljQ1CUnnwIDP4qU5tIDmxtP/C58ORCQafp3JCSl1AKl1aiyhNtG4o1nU2kSY2IEz+O+Q IhVFw1PRsMrAHHxPWTgMRia4Y7ZwLPyjeEBG3x36XP1LM5uBTY+iYYo6o5aazqDr23Ic6qBZHlvw dHAWp384mBbmPIlCj1h1g+pTR9vY/ghyMC3BJBmOqJKC1hYTzB4Gw4sZQleBoh860EDJwwK+BMgN 2r0oYaFr0rrMunu7Wwq3Jd/zl14drAh9SKwx2P3X6YUJKGzB08HU3F4dn4KmTEqngN7jYBhg2TzT KRTfRKGThUgzp+7BcI+pdbKYkTQHBicxWLRq12x2va05m4zMMwNYu8DXraFPemH0CFM8afUAXZwz cNnY5CfmztDkxtPBnpr/JFG8ytO5w3tUJx50eljOZEYS5VtqAG0NXSAYkWfl3j2OhwkVltVevlZ7 A3VPXrk/wDfaKV2plkRcAC5EYFJvI3PpPaOqDSsSSYCt5HjuCZe07Ln3xNPBUdzP3LhyIgoxgBT5 7LzHxMgWErXnMzU4LPQbgq91S1fA+IaZIvKQhhSzRzHxpqp8YSNMw8kWkAfA5w1UePuNJE3thdwN pw40Mc5pjAMWn/DLRQW98HoGYW462oyYHfsi8HSUft+yTfW868elpDg7Ea4Y8ufweNCKKYQi6Mxp 6mPC/nmQGc/fEiGSGOIwAMFAjBCBJWMwC2dxIjclo/YTfFCXFMFOxQ9gcnxCFOIQtpjogspBxYk8 XESht42nI/rL/jpz0H/Ztxjaw9C4USO/eqF4UiYLdRv7KB0+tgkAWedNFRWKVxIcXUj9cpH6dvFZ OA88k2WVqK+icg3PRnSYbVpAsLlT0/WeAfmFoXGq8w5KwWddMpa2MBxPRwvntntZ0gJbguxV8Xmj 0Bj1ZZBfvMUATpzjSojXEJLtMONHVCmeOKlWk7B6u8LqHRp/ecIhboHMnBgkACLZIO8CVb5bC6V1 04giY5mgJyoEanDyy5RRHapCeDqIjPv6nhskrOlywEcUGXeJqqtqBbGJdXxlwMZwW4djDTvCOjGV jUsW8Dof7RZw7WxREhOQGnyYuzRIoQAHKCBylptSbb1nRHfmOYwagQ+KcFoinBXfyMDTUbv3tu0o fQj4FGXwNDkxrLjTdHApNm7snABBMZO7p4yWjmXBiOrEDrYZFG+pBAjbzl4DInaTwhDoaeZFzRWc yTK0V/GmKxPvPwMfEAAgm55gD6bJHYm1UPvmGOLYf/B01GD45vZCO4BkAc1MFBg3MTyOnVXf84DR VxcRemwqiXBHRYGxEFhVgU4ViQEzA90JaMyz3GeT01TjyQv4SoQjB99nnz/w63/pLXisoUFYJEiN 8agYs+HpSDugfM0LvrZMERdNTBQWdyAxe6tOQgTfSxOT1hKeAgmDYwRGFBbLB7ZsIdT2xU5Aayw1 tLwsoLejpsuxmhgB+MIAFHJBs1XvGZ01PGTYL4USre+MPjMNZclkzXg8WjT1e9EgSpbYDycniovH roTdNJnEjVYlSWuHxPbYL1FbiPspiouXCM9dBb3eTqt3dkBVIEuJ/bTKlAsYRZ8Gdmm5sR9WHD8h 2FCbPu8sZGrg/Z2wkRgt2GbG08Fhsy/1hbkXeUHPYRMFxovSGC27jFbrnka1XZ0NPXql/Q5UJyJE sRQOafXng3PtSJtG+m3rsIK7wxMbODck3sTMivlCsPUIZScI1xr8/RrECkpdBuYA5uLpILT5F4hO uehxoI8jKhQjRCuiqxE+oYhm/LTLeh6F0tzcU1FQPMXMpPExBgpUoUSRcCFJX8yOG3sp6pBR5ACL C8X2Q5fHNYJPiIi9LFFU7am6T2ULMio8SG3S8XQkJXBqxbzP7PRf4xQoRhQRd+yb0ctRzmoOsKYd hRbN3vvcUFFE7HT51tcZvHpjce4CeBIR8Wpryl2YEJo8IXFxodZU/McnBBGxgCW17DMIPJFE7YTG fMXTQRZ+yWRMUVnSJsFMkxPGxEh8p4ASUMWhrw97mSSzUFi+FG/zjrBcnNXflXToutKhLFB0wNZs BZWeeZnNTfsHwsugXXLUMXPWiwa1rUrAVt7zDGqCN1ysPwwfM56OahT5a+GQ6NnS2VQzLhdvKooB FkBrwSJrQci5SpzOomYgC6nm8ofo2lY/bl/UbMVVtSpFeeHMJEJXpjI8LJnop3zqfl3vGWWaRE8w tNTgBAaobWLl2NLC01Gb4bsBA6TYuL3MGcXEAGqhTNd4JRXYcjM6hnpAp+zaQI1BwJIZVot1eYMn 7IOjQ+2GsnBkMM1c8BwYusMrclub8PpYvUJqG5/wCynIMnF0DzU46Ab4ZaaOFhPPEFP8z9RQNbnd LsOMQuIEfMCm8QrKN6vS53UxxhSozX7vkQudIdNO2DTe/xr8lmrQt4a2ki0bIK9V9uMXAKMxFkGU S5Ekik+IYH5kaHZW0jmwQFEhp8W/19IqPP0Xkk1lsP7dA59RUExNqFl28jSTPkjkBOUrQFGWK4XO sFqse4rsfA0+N5QkgWuRpZkoTlRXSCIBEXrFz7pBTxWfEJXSxXemUTkHbimERZvYCZtmPB0pGd65 4dmCeXnExmYUGKMSd6hAmKbtKgu9NpoQIAdH7sACxYwC4+ErRuoc7apzwLwrUxoKUgIFlHNsKkpp grGALu+Vp8t6z2BTsZSeqzC0R0R1wgSCf1tDe2pGcXFr351wVvwfmYUZ1ouxxam6xrpWBTKJdS1C rKRnuCkeirmJwBPScMbSOIPHxUAoQlMLrTuLC4ocLkg53qz4PQnV3HrP4CzubA/s2s6gs9hOYxYY APb4zJBpd+ta5ATRuLTeuYnwE4hHgR48+AlJgbMZ3g5+gt825yaqF4vFUGq+g1B+CGoa9WHWokmS jHFJ5LK8FGnbXTdsa84oME4ODyVeIJ3qUE+jbZma2U94+n/JpzItPtNJNmcUGCNPQRZ1AOm8EQVI 3w5IStBd0dyEkmzaTFs1nD3PgbPAYh9QBcaegsAFGxG0eMepg3NYXLJOX5gZFotV82s5n0FTU2np xKpTw9N/4ZEY+3HF9KtVF2EoWLoZfSkctp/cCgYu31IAnzxBdRaHpWJ1w8toZzixHzoCqNetnSxM q8NbNdgY2D7poTGwrjWjwBh+mHZ5s8isQTw7kPr5tduxjqf/UlmQBAUjgXWyhhny7DpZwKU4CVGW Hhsfm3RlDcu5hgLjGUtQFGGt2xn8vAGqeIHmYJNjq6gtGRMguxjEQuZHu6ToPX+BCiDx62fgwrGH N4s5Fr9uPB3Efv+A/Aregt8T52ZFcTF9ZSG2qYyqQ9GdyeaSBQpQ0eX4JK8IRuEqxF3aJf1ql3Sb DJzHlXMDQ9fEjArfGfVO8oVRDHZgVgijoL3Whi+ODyqI1iEXV8jv4umIaHcLojp5KKp9O5sr5NqR GrRG+jmqN1pDPYmF2JG1LOeErzA0VmwjyxMOPjtrDRS2sK3sLJuN3eHEehlL//uyymQUuGJ1NiIo WAvVoNDYUhIpu+xd8XQE27plCnXP6VNxylsrio1RHj5thsmfyqFoUkFpwYuheC19RVAKtaeAKNHA JIdKs+juDqZUaHixQIG8Adsqw+C23avKtlrDJ0TlLSYMlQLFpbpwYFsu7WGhduX7/SXBq38XZZJx rqoVMu6Q3nQaX7FgDMMyKnSkUmWUPAgA5JGzQlwxWytlSl5sHo8uiwo3VN4ozoambz52H7iT6XGU n7uq6j1/E+iwwDKd4UC3JLqA9BxP/0/pJjpjV8x6RZExPB0LyS6K/lJSPNxghuRICrqTc0/FdDvX WUhn8D21oXiO/iXYL5Z9NoJMuFZRjuO0+GlMncwVISmIQbX7W3qZ7eifL5z4jHAs3sbTwZ5a96qy 0RbovkICK4qLuVkGdy/jm3w82d16AZ7s/SRUK6oXi/NMlRwf/J6y0G90SOHuBM1ZkRhAYf2Bdab4 4E6WZ1y8IhzFOodwP4PiYtx9TKgsRMbTf2KTyHomcnadbHOFwOLEmtb05hTEEHxyhGlbyMQJ3Er8 LVHwxzNYnHkO2lFwDbL4j52GwYaGkobJDjzSvnUinM2u5ooVKITYIiPchiz6i734IBiyWyqLp//S StJJLJrImZuQcAddg0kglahBLjvW4SWBkhYIHojJuKVCaLHEvktbZ1DSsC1MomIPaFMIsAvtFqh6 RoeY+hgCspq+oshYyXsRULRcoKhNv5NYe+b7BSfxfjp3WVjIeSPjFfLtkFBJ4Oz/2L53Jcg2xH2G 4QvqAFo3cclYm0pV0XmronOU1SqKi3aRo3Waedw03N4A6aLgeMBJZLGuCF886FZWufA0qEox5pAX oG0vPB10w+c5iTE7uHbyxRevKC6GG6drr8uJNHk1vTOKp6jLPoz5FcbF8goa7qM9bnRj+6YSx2Pr B17OlNiaaIqBiPeDArJf4PRRxydETRiRnns7g3hBrQ0VtzZO4hBEsfd3dFPpi32VeXcUGA+ZdFyf RNKREPr15kb1dmIcqaQdFYwlFF9cu7g/1fQGHy+IK2ybJAsUGm1KofxUKB/Sn8lhwXhHgXGTs0AW lPb/M/adOdLkyJL/8yyFAbXY+x9s3cycjPzehFcNFg/snu3IjGJSuDBxbConvPwEELFoAU8H1a0+ nsnBn4ADuZzwZr/HxSiKZYoAeVl0iCQ0Gfo5o6yAOqjJCTUoiDD5b0XnBQl6SC6g1WBBiXSk0Bjn 2YYW4bmpdvb3DFJxYUvU2Uy3szlnFWcTEFc8HdxUV0WUFmUke667cEKE8QYcvZySscv7AbXPqhF1 KBiwcW5ChLFiPhdtWacl3oFB73RKglX63lMHEzJ/lsw4NeWR5d1RVExsSh38gzQImVQF2kSku/H0 X2Q7tW5+CKTRxEQxcWrCO9VDgKkXKzDT/1Wh2CGIQiJAU0jauW7H1yajYUshmZowsGJfk2gI9DUb vcnEgWGNYkcx8ZZlR5PczbUms5RvSa3Q/hFPR4p+55Yiupg0u+e0CeHF4Nn2MZ0D02VTAYBJvjiK 0ZzNsGOuHeeGFuYa/LRJtnl6ZS0d0l3sEcKPHv+dLUrUFNwyEr89viBKF3gSN53EzU/iDkSGeMuW huPpP2FJ+G/pDFtOvrBDXTZhRNfxeaFrNQnz0+XI7JKdriawQxRFd+XQfAZfNgmyV+ggQEDKbirp FYMRaqn6z3ioDDTb2lGtmGQEVLTGGbSdoDLpOgsLT0eCN/tr0VQc6Q8qaUcQCmrKtr3PSbOnzpe2 j+CWxeTJUUk7FGXT3bTEZViXy1AxC2US52cBwZQxUKIIF9RoqXS4xJbHK+IbAh4iG70sLfkgfEmG AjtS8VQXnv6LEU4IA0I01kg1N7EGRWGw52w7eO66BsVa9WhQHPGSHYMouhq99Qwe9iHUg+MLa8Uo KvCwoXYvOB5QsNG6KTRCxTdEIAoeNqzUadCOAklba6EOPB3pFZ9eONcP5XNu425HIfEgioLhN+3Z isO3YO7YvMdAqzAeNnFIzEmRXD4Hnxu7GzK2ENIFC3qlF0QqUIbcYL8tBohE8At+kbyBRXg/g8qh XsC1qVl8OmJ5XOws5ogyuv1irncUEzNdWPeSWukA2twIEZYDNDXkuolAFGQavPBXO+gUVbYvdpHL +RuMcfQYbHWhn3a9Kvw9g7CPkQ2MV8+gwk1uai/bSb7wdJRm/gO+sS29bi88pygkhgMLNNmailqW sRwMxQTZRppsp6ilPkZYWEESVc7gR7Gtlg6dbiQMIAtR52CB2AVZnx8K0Dr8hjc4viJyUcrS8SMw HUFBVhYOQQr6b7U8+fxfYEgevtRsKeOZobBejGu8QtGj0s6DeueI/Qhvw/8GPERzsesUBsaeS8m6 b9y2r83PWCyO7QRvWVr02R+EtY32YC71wZmk7a/6G8EMGulnUCSXp3RwLKRvfDwKAG8yrhla33SY nEJLDwrJtelaFEun0ObKVS8GGGEXFSB+JrgUpF0sg9p9/cnsyrJzlwbHGw7HSfl4QaaLkiOZDCr/ rZr9TSPJBVZwMnXBOej4gV60w00aHw+CnSvywlZxplrrdSBIYeEYEcYiWYc5+XCmYm9SsQOIax4M Nr0537+9iOXMDIuDpw88IEDAR69qVJKaJuTsfmhMNvOFuKnlIP/z9+yN57IM/9Ix/BtAariSVmp8 PKod969rnU0UFr98fqJAOdF9lcRLlrqgwUJMrST7KH29adHQ9TGRfYXMGQTkmld4y4KNjD7qprgU TpCp8jHCc5vFPm8WAUSHviJyIkikUuU7qEpqyT6l8+qG2n6KoRUPuxW4UfvX1vZzBIVFZPzxlQxJ HUFdcmRt0mMXDb2yVRXs/JioGMhwsGme2jUuQ7nCflQVSqerneGWL6jJWuSA09oLXrze8RVRcl6F q8hn4ARV4lkYMufGx98nqF6xXpxElRKxj1dDCoNmUDjtz3B07ehOW4QWjnsKpXFI9TlFUfPs3q9a ZzgLyA5pGEwjDUULYgnShQ0GKb36OFnMMf1Nf5P8WyQpaRCIdK6hkt7cesOgenHveHcqAYr0JhXs fAdHK6dll4OxpQYrMLZje1UwjSP1jI+JijtSgWS6nq9mLwhaHaK3tOuyYwPi1yioIl5HWRCQR51A BcrdetMor1Ds7OXBKqUpCyOyvD66PcPHo87eNzqb9ZCe652fEGoxj7mx5kduo0Ch5O0n9OMjmVOk V1G9E5HzGbwTUVvuRFhgfuxereQ8ACtGVRMUQ48rlXxq0x9+H1nCOPl6JhbyDxLVxPh4sMHqXUBC vqGmndqdoBCHjKC1CcWPtFTy6ZaWLsoPSrZi1DNBYV15yyOw38FJIXZH2Qpa9KcdNlX0L5BBFXj5 xNqqOrgQ1fArokoPKURljTNwhxWEpSRqDkaJoenHRTHxb0CnEUrKPj+hHR72NcqCSSlGA7xH6gxk IFK5gqqZvMICPzynQcESLZ3hzE+zjQGxTmSoZVOi03Ygm9bo6e1L0JN7Qw4M8bBGVXFP6wxC61g8 oQDGUn8+Hp1A3xuMGWW+9rU59MQDSs5OBdzJVK/o3dUrpJcGeOBqpxaWQ1O8veVIuscZ/AbbhWEi lHJ4RIshAWYg4ZYXVoADVF8QgS4y1WprOYNSMKDs5R0+Kh//S3hUeoridt8LLDbFo2SZTFAaFSx0 Etk0ZfeZrEQCaHpCoWOHwalWuMfFFljIbFnvHJLGLoK8s+JD8kp9UtSuCDG0xSN4rYq1DhHorfMZ lFHSgjpqGznwxXNkyBegCUncl6NiJOlGpyo4oDqiqXRv3OTisseWIPTjNhka45HTsKoABvUBGGyb 8YR+1g/ZM13Q24yGDTGTpEA4pImd4hw442F7UTCHNBsNXk1FBMolUTIf/58a6SRSjsfJK/TGQwHM zlO2ZwCH4zUCONyYh3lVyzopRmCOBxVL8jzp+qDBJwh9Liwd4G+7fSZXWGJjEzJOSD6uYUH2Nw16 6ZRJhKXcGRwQ1xqF4y1G6Hw8aIpe219e8HTcuCjTHBjkKfuGWkrT+TxrUQ+wt1V0PlvUsubZYDEO g3jBLHG3PO4FD4IwUhi0jAus7XnBAxQN3RIg7+4JlIq/aXQCddHK5xl4PkNMRMgkiyT4eJTDf9si W/r1M/Kzv0IrEByIILn8iHp/1SRtJwgABiBGPssnhigzMFx9nOH0KmYF5qD+sBg/JdK6wNWgM1MG 2ePMD0kROTTKm3QPTEOFxDGO2uYoTRlGL5uP/3VC847pbMveDCywyoMcBc7+LG8qmuZJsrU3ApAg 1GoXazkTFBmCdIcLqvY8bu25QqWuI9YEvn1s2VlbikrvQhw8w+/3koCq4FdE64dgAxoJahAew2af qj32MXo8Qrj/o9UKE4VCjWifoF8j6NKqQIJF7krimVexJCCh5BpMOTDMs/NPrOGa6xmEV0F+VCby OkD81pRiaaJe7kY/tF5vrykTytAxj0kMZqafQQEi7B2Ugq3Mx4MM4+q16r9meNGeLRYClhs1LVrz LTYpFYgtRmYPtxidg7SCYjPpppbOOMNJwSZYUxSJtq3Q6JdiJxDWKZLS8iXEJMfk0DWPEpOwhFtn 4ArqsGGWXmAtfDxKMb5PaJZZ2+0I5tA3DwqBoMCKgYWStGPBquj49D87MtE5NM5b6u44yWZcWlbD 7FLXCTvBMj2uMPhv42Pg1fQUWYu/aJDCT/aQB2dpsyL0w+0vIBuY4JmPR+pvt8gqtAJ6PPPe8KF3 HhCvZbAm8x+W7Mc+JxCCdYSIVDvRBgvN89zjoqrGUW+NAzJbwFiiBpSJDaPMxVpM4RtDNa8BUTKB XxGdQKwbt2cgeqVbuEkEVMtl8vGIKXsysOU3WC6Pc3Lsn0cL3EUaDQ6g7NUyS8qmiPmD8hI+P2EI ze5NVpOQgzcyLPGxJTmZofZam5CWlSpwmR9+u4M1+Zv+RgftWa6U2UWX0YJq8lGxWIiPRyH0dxEa y6mkp0gfWugl/F6dnRncYD27mZ4l27ThWXaHUiJI+ys2DGEG5iq3KT2MiQJ7JrYxJjT6EWOiCE1k mH3NvBhdCPrrK4K2exHhkUZxi/5irJE1SLtwgyFDDV308lMC4j/gdqd5p09QFEJv1oAkiIxOGMqt Ep9UNgbLENSF3Jg8BDFLmnPlO5wWKoBGOGrWWvBYl8IiNhj9Blu/64eqXjk20suC6uocmieCBotY EuK29Pl4xLX+uuHzj9sk3Sp96KXXKFx3OBJFwBnHbDRnapGAxRwsNNNbKrLWdAfND9KuybwFzlaA c4kbgIkmxZvcgPZ9QschNJW9Sh9n0AQV+/n469vn8vGIOvElXpp/JCv8rJ8ohmY029i2+s++JQ4x kooYkKPsOz+hLJzsH8oznBja8tW8oXm7ZgPwmyd4prSrhZX9Su2Qs8tviOAJOJj7ZuGRg2AtCGN4 /uQ1+HgQQaf5nWGASvfVwwgd9UBftfvGTdBgJSKgTxsiJRWAN1hi7fqY31Q6/6sCBNVxMpNJLYbm XNY53imnj+r3mZ6Rz4sG09O4vSQmvI8uXJsokSXWvFLl43+hdhnq2A/OjeqzE+olIzoc9GyTXrKX 5btMox2+cfLTwFQPk6z6WLuD5++Y7o36P4x50I11nu38QRwz80VEqfwTeurVNSXrWs6grTXaEt/e sjs+Hpw9l3jNfchS7TWCyKGpXiJPoLhfu/3KsxwAx0gXwFHO1gpjZ+kYiFnD4aantmsqq6tUKhMq iiQWCocBpDC9wdz9TX9DfIsUrEHVVRiO8uCwgY//2d9hEIQ8uV3Fphw660EkEwDSYx7MRJa0rdZ4 4lhwSB8WXu6htZ4jm/8bUFcB5QX/yc7mAs9f6ok0Sa3DP+5iDQlszqG3Hn+cShl9H1RftYCzSgpj TD7+l3SywmRcFjd0Dt31IHRbQF7WzVVTEnGgoSYvTF2hNwqXT2CvBwAKy4ZK3uuTvPeKzB1oCyj7 9wMAQuFQ+LqroYLkRC/6m/qOXL/rdf22AGR0dR5a1gv+hcWUuTn26NfiiU32UBE7WVahPwwjQx6i gifsY1mZQ5c9+V7lLS+RfbxELL5o9kODomGZFxh6k91lqfYN+mFefJRqP6HR3pQhNwJ6HxQ5W/BN xFGz84CPR/Ozvk4fNaGe4ljstDfozE34GDLTWR2sOhkMUBODHWhtrjByXtLiHHdw+Aa0DSynQmaB ItAQRKqx9sNOczu9L5oo8Sui3UVM/OjjDJyfBN8V7q7K9RNFzjXfq4vbbM0vd4gceO0p30NFdXll Iw/nqTdVOwAhQ1fO5yfUxfCaT2ln8NqYHWaWorD0s4vN2+b8AKqhki20Wg5Xid5gOXDcy8eqp/kp vS++BYUOrIm0Bx//i469mfJDPno8508UOcPxCtSJJREnMDqYurdF0DVwq7bbXUs5h657igzhIXcG P3+WpRajsXho1+BQ8RAELLTcoBJwzXqwgfSm0QaTcTlptRyEbylutVw3ahuB7x7u6XMAYbUt/PC3 8BO67kHgvYxjX4lyp0vO1O5I38kujy+fCLzBGpxdU7WcwcEbE/ZgJTeBN+RoPTelkQgvGjev8MJY 6LvHvQ1R1H0GlVYhM68ThcdPCHou+evyQo+e7UyfnrDyjIUx+QWk5dS8HLpRXLAS1MDT2fnFec8j vnoGP33sgMbh0+T01Nm/mnszWhfQ7kHLL3/TYHOlLovPdgadzqVkSXHn1fl4sLluZ0fFPaQALT27 K6w8U8cTVNziyOftetO8CTRByZVncuy+J3KOQzjqhffubplRAkrDdlexiXK/JzAYCAlvF31In1p+ RYSuIzyzjTsI/LPL3rLfQ3M59N8bNy8lwBfoRZlX+ASFlWeix1DEaP9hNZ93FXyN7DL+6ci8gCmQ FlgOHfi6NDDWvsO93y2qBQbUFk2zqHGS2ZUQdC06P43bHASaTN8Rhc+dqA05W57MHe4NW6WxtCcf Dy74cUpj8k0Djq1fa40c2/ABoNmIriV6Y/hd3xCvOHqjlJObBj58EAggNJyQUA3eHWytrzHoUWiB ZqmOgrGtQDgPfUeUm6bhLxrNj04ggoA4eGVjsCj7U+tafDwq/VyyDndTZ9nyzE4MgEbLnTxHbrDm gtM9iQZH7MZKZ3Yi7IZaNjXL5SinC06wADmjvQhwArIUilNnfiKSaYCOPPuiESO/IoBnLtG7+joD pweNfFH7bE3x8eD6etRWxPOy3+c5oUMrvsrka9/ecnegL4RS3ASqEjLIAyjw4kv/b7j9Ezs79Vps oDK/IZhbuHqmpfhSHoGgMiqc47m+tr9npGEk8QPJO6H+wktyWN44+G0QFebzkXL5v/BwnPOzPrsr ip+x3IujwxLdppLyC7kJsLDab+U5NuRT4XBL6HPPc8Fbzm5JeqEGtWVYjKSBsJcckcW6815gSi8C Qz7cQDx2FjXMOQhbV2sVfXKMzccj6Nj3/V550/Zne0XhM1Tbi2jEbFwUQAMQHU5WKFCYr1SJZ3T4 iyef6oEtnUHHM3j4BZaZgEb13NmWWYn9CwjdS5AmP+IZOTTlo2EXoLz5DJwfaHCw7GcRY+Xj0frJ N/3KNGrIhCL7/IRcwUnSdkoeAIk8CadLJsXkJefbOA18+bB8eKwIupEvdMNCtkXEIbCraQNjRCuS SX/NBtzM1V0Z9AXIoTcf+TuoyK8z8H5vdhUw+q0blcPQnG89OhFXIrZe/dwc2POBF0DfhO35O3C3 nr9XjxohEnI6g4E/Xz75V3ON4XHPH9tctrgamNuQGilChwOMCHLbD+61A44inzIHFn0iqYFXMNYZ FABh4fDnt9+aj0fVn38ckOCaA/21O0FR6Rk2b3aTACbA6hi5u+TGwbVT4A12E3RAR+AN6YfUovpP ufUfC94gJkdyXLNlRvqCXWCoxoFDQ8dL7y3TZjcHVn3e2gEYf5zhMMCKVCktZOPjQWn+ZhgszfNu rwcd/nmNfrIH0GO0Aw4fxSUj4Oit9SPIHKbnNfbJQGbSwEDqhO2qE46OYnNH6mP3egJOWbRLBDJZ rZUfTCyxmQgOX0OfLDdgkJpoSMJByZetR8Iqbabx8MvE4O3c56f/qOcOAoh81/BzhPNCMkrScQwB x0R0XW+VvhIITFEqFi0lmBfdWnX3fgbNC+ngTUk7CHI6t+GgAMjhpLakz4tDwl/nBe0KCq8Mwqg4 KCS0tUBmuv1vFjK/zsvDt+gCI7AlTflHTsxrwJMdqtHSlLg7ipKNV3ubOA+I9YESi07k13AnIxzU gml30MTYYt1rolABJzooFrDqCuMXCFtAT+rIvCO++7xGO/aSpPbBEfwO2kqWq7HPUC0S+ryGOvmp w7OtRloYdtLweXkNdLKLdQ+6JbGSUd0py87PrjbF2PuwCF7DnIzTnMcLiSIaNC/QasQBywVT4Hsu kYhNuiAm5ZH5RHz2eY1ysiPcUY3SgCyS7Enbq40z0y1q+bwGOfwby1kxLGIAdpJ8Xl4jnAxbgEHA wRFCIKqCzS0mHbQuZEeK/rHBelFr67+sawZAtnZ42yW0M7ZjkaYw8T1APDNHd24FrtDPa3STHXZQ pbergbkn8K1MjSCo+HmNbfjw9Gnh9YpEn8kI5+U1ssHuQ9A7pFxJj7XkrJy6q/5p5nq4/q9xTU4K ylZtQu4KASCfjSEVhB+o9FgutEipYKEapQeIWelWIkkQb/k+L6vqZGFwXI6H2wC0TBYiFrt+XmMa LJd6Dl71zfnjUOAJX/ka0eC4JrG7nAOm04QbB8yeSU31AVVY95GIJobiB01IuXaRcnAYtmMPPxHq 7cv2DGeON1ezE2beZtZgtfQ1mMnubI7TZJ5B82LXtpLNBqujYF6KN0K1jVSxnefcfY1jcO42aiwn ZwlUNmtRppgkfbCOPJcjDF6jGJy7NNNtAlo2OV7wQoImWpYvi133u3G9qFYNHc/xKMnhZ/+8hjD5 MvyJoa5uNIf7qKYi0QuUkF8DmPNyz7SwoEh2EqbltQCIaWF7X5WaShqX66xQ9d5NmJcDd17Lf9jA 8hgWOkXweU6L5dsVJiT1x/aJrckkWArx21SFBaLh2gBQmiuamO2cknEGNWfmngKN2n76vNb+8kPu 75Qtom7mpCwjdaSCiWEfxBXA6BnWvTAxafzMtjlrktQ9CAMYRi6CdnO4lACok4ASYMvfDnJWvZBC IcLGUk7jmoYxsXwt+mHqKTlciMXWwI00YUSrotbAw3/dR1geTUYEN7KLQt5BN7W8POSdzGXJyG65 OdiUri6is0VTQyZ2lVUhB7+SLFoHcbDh6MWF1MRmQ90SuCyg0a4ihAjr4WYim4SLU4Myyp2b8mU7 KT/vXC3spnl200HqzBvbvTO18GuA+kMHmeRcY1Vr2iT7zZkkh8wfhr3KlSYBBXXm08yzi21DFxce zMuOBFpdA2ZKSCsgaYduQ6sAvWhw/hYpDtIFioOSSVuZXdWIDBx3dAA7DLermDXpV57Ojf1O1MLN RCmRfjSdFiMmbqlUHYnS4YDhOJ0oWeI1WOFJ7IOXskZJwFCQBjBSl4U1OUd58ZypN5bR5ETRb6fM fSEIVoOypUGIFC9tYEyjaMYtY7u8IxBh7HaO4XeSFsIZhOd0IeJxs2r18BftefXJO/t3asQEB3FH ImMXE2vp7QK47a6zuHQBE2FXkuVJTPwdiFqOpNNxfZ96zyBj4qTUxF4DB+HbEzniyJgWmgzRgTNO pMc/ARWsIqlTFYeChQN2HHh9rusEjVYVaTqBB0yySVJSFSuaHNYeEPyfgZMz7Y8YaD8RnWw3ptSp KztGnW4+qNFoV02VIMITh5pXUoQqh+LdLR6Y6sDZtfN5Z2dhT+Y7OZkZF4fC2pvmJ4qF0dy2nDKr 92tnJi2PaD01FAuPBvk3FbHeg2Gc6wxudElz8Fvc1jCcl3HsFIuuqyeVCYzI8YVf6iKPvMfCqHIo m6T6NAcCdABq6e4gXD/v3KwMn/KbOwlGyqFSQ1yzEwbEKKGP7SKVoh+5wEHyFgM8fnz1RBGxpOQa XeI1eGqZQBcpZPfZGuxSdK/obGZ2w9cpRVCd7fPOzcqub1GT3HzScfOxzO0g/+3FP+/ULCam++vU oZQcebiamigonmT2iUNDgHJXzarT8p2nTu6nevVOzLpTU7eSqX3sw4AMsdQOPOudJiwxlrLLTtYG 0PP7MQJYes/3uaG/jN1TtMbkoN7U2FOOuHarfN5pWbxP78biDmKxf5w86p2VxUQKU0LZRCBPRs9C Itu80myuMTQuvqui2HhQdrG1eQePc2xiKnpSlCAEREcFUgkuLOCsT2jcmTO8c7L8R7RZYbaoQZ2X 7T1gmKd/3ilZiHPuXU4HCZkV5jM3UXSMRQFNT6WWUDnU3FiqUOViMyibKV2nMDxmuZzlLh90ItsR bL8rzkyLATcuFxGSyAjn6hlXU2VOvWcwN4yLp5x1BQThdWXxDRcRjBA/72ws5gDpK6MiD6LMkzi8 k7GyK+1B8sT51oTa0SOhZp1BY6zTc3nnYnFuOCmN9XAOfhrDRBqYA6iWb/u/LG1LOdvQB/RkDsAv 8RuC81h2w+4EmtsRPF2TrWkcW/nzTsXKjmi4c0OUOFlVmpsoQJaWSu5HbEZ3FtLN5PIPg2IuPHDe eVgM0BQZ73WGBw04diKPBg5xjXh3yzLxMRYa9XSJjuT3f95pWE/BnPRLDV6f2A7zn21+3llYOMrT KVCwOkjcwTiFvncSFtaqoIB03yuuJszzplFZkHnVIBan81OCXFwl0KHMc5yaeQeD1TLXqhAQmDF6 v4M2WwmEYWzsNGLKYLxzsBjm8DRmgK1BC6dPqc9Wy50/7xQsTu34OnBoQU/erCYnjI8h7WnbdntV q2dvZNIIko3euc5h/E7Awnezr9yW6ubr1M0hBp/smE2U5u6lqD4MScgfqs1R2lMok06gwDv/Cguc se3IEhPOR9F9jLLcxHOuzzv9Kj/eGrrF+fUsIWhuovCYtmktecHc/skFeNoqLsAzGiVHuaniGjEX DqMGDX5R4ZDtYMnB6sjuPq6sDNBwxs2KNPvc4iQ3vnOvMPuSLZjygZoukDCh7CjfEbuxPu/UK1yk ++RVyt4BJSMuQpMTxcYwWCqLxG/VcdJBSFLWT75z6UxOVCgWQMAWYTqDF/4y5XIT8FuwHqHg1krk AiLDAIP3GjIvvWcQ4mSp6/V0BqG3cPiwFbWxcKLoOKVzU4n3iSZ6va2o98gYJw6TTp68nBvX6O6T 3Xol5Nux/e+kK57G3FRdtdHeDzjAAhzGfeh9gwBKbvMCZABCHvVntcd3jhHOO+mK7S5Wt7qoaf0o 6yGml/mrzfjnnXOFv/JmDphHWBvk9myqMDSu1JJx3eAy5zr2GmmKBjrr1RJ+Z1zhV52qo487+IFT CyDCqfMWh4GvRDEKGVe2mR5nXeG23hlX2ZFhdgr3dgaum5oZW7I8vj/vhKvs5ra3t4ufIbfb230n XOEwhqBVzX17vtnn8DJX6jI/QiPP6ebvfCu8ufJNNuE0aG4sQWiwbMKesr/OlopAb4C4IjwukJj0 ajqbUu+Eqyxas10PCU9q4GGcahIC067T/XnnW+Hplb4OY5oQ1FureKdb8aKiotRop5DjWTk8JJzw QKMNrZv3yDh79NcZcmpwNOS2V7Btq6xhL4pYWc7FqNR+BXrW+GGMIOzzTra664YKUj4wG7ejfvFv Ayvj8861IibgXFSs9vHgGSdreGda4SwGbnzSaQCX+OjuO2dzM6WZMkk95yX+TrTKrvG8GulwGnzd oE9XG+s4dtjWJMwkHfbob7aeAAdb+PNOtDoNJnCI7sC52dBDF0l45M87z4qR8XcfxvKXH/aVNDUh XmLQUyMpqoFCwfEOo+yJhYGzgKehqQkrx0yo+iA/mIO37foAHDTRks/OQ2CbUANDEEbNYMJsjjnW O8WK88KIT7CAsi4EqSBhY6aplwv2UzoZA54CdoX7U/MSBcUoO5VGjzLCJRqjnM2ykWoVlpc3N9Z4 p1fxqOGEsEuiwQE2lilV0tcWwAVIPLGmskwtClRKDixg+2sGuVRlI5NRvgaeNHXIINlWTOfrRadw /1oxBO/n2wB/p1bhjGBjmGAeQotZjCUyQIruhYgJL6e/M6vy4Tb0qhmqtwW+97I0obHTC7PkopyL EgZUyC837JvUbHgnVrHJLtly0hYh0yyzymFJbeIKtdi7fN6JVSxQ9O+jppB0Vu6GioJiKGKXvrxX B29URTmdVTnhJrBqNTtRzZgi0qsL19cvrm8CHIt+HmBrc1qU5vd8gWYeKITH/RMx5uedUoWpoblR 6kKmd+erdwTEWjYFyyaOiOv3hrJdMPfdUO/xMPpaWCtjOiUGc++4CUKgUUvPbJFyQ0W1YpoHI9i7 g99PkJ90JZ0F/4XhmmiohgJ5BDVc71CRaf/Opsqu9lRlFFSvURDAO63LdMSShXcyVX549p5kZu81 +9xE8TAiSzBH3Ye5QRaYWsqJ2puq3LB91/kpv3U2W1Vxq541M6CvkrdUPgYCyuTVCfsZIFshUInO 4Vb1nlFcs6U+kM7Ac3hlC8xYSa+pft6pVLz5vys31Kcqt+v7TqViXAOzsFW8QVWnywRbxHHxE+uu m7BUzLtbEsc2MK6ZyKMSM+SaFQ8XeSkk9amQzvf2ZODkKb4zqbLbY1h6OdcZnMcwRNyyjVs/70Sq /Di/K5ECKMF+m1O6eadRsXZP0ROmT6hTdDd9wmLVsWPnaXdzjXcWVZbKA64nzpEQPEoy4X62iDtK FVR7Wf2Q5IrlBAd3L2tRV+TzTqJi5Yboc8WyHLhwoDElimJpfL9gU+35tXBYL5CBBOcmCoiBVLYb aTkeCZb2p3mXneHa8F5MFt4JVPmIKxKK74P3GDY+BuAMS79t2skAXSRHFIRxY1xpD0bRn3f6FH5D QtgK1Qc16CxeYygRGmt/3tlTfL9vaB8DSDgcOLYvCojRRoLidnfx9iwjDeh2LaZPqI6AgUpwXxwQ C0NR6xmUgFtCX2zRd8p2YXlqU+EcLujFo3HgV3gntvqdOoXNT1GFLnPDTnA9dsewhJMwtp7r/Lwz p/JjWINqFrMFhFdiH3N2QjRFZX2QyGEtdbYwfxrd4Sq4UxlUloaY+J06lZ23hbZEPYP3xOe2/y+w rHcGCZ4EVTuTCc2HnU++hXR8Hd/zt3popjqiBh3HA14dPI77+LwTp1gi+D5xeGtI8o1zE8bFIHZM ctqpqbiP6GTv3t5E/bjpqnqnTeXD+61cvBr8qkIFi+0SWBWWorg4gWdWkOCuelnRYCzyG34D+iUq FmnQ3KQsixabmvV5Z01lh/R/AWbt3fK5qd4pUzimSOkgiec/ItdPdqn6LEm6irPLwRvL5ve4uLKB pMHjYgLa0KNAqXhv0gZWFnV6wHT13uJ0t/+8M6aye+LYyynZPHmsHVi9sn9iR3L5vBOmcr50ei02 MW/bXTZhqXhShKE4UmD2fpZNSx79tbQcff5Ol2Ka2RjtqvB3nY4G5KIsNGeaCYMGR4cSTpzYjV4n 0xzsv7yzpQhAZzNzKfpbHv21WfQbYqr7550shWVzA2P8akz+KJmruYkqxUAQAyGqdBv6mfv0NDGx iHBsv1RfN2FkzEu8yWikXaORAVh+mps4AVubtqN0HmXwY3EnHQ8o4m/eaVLZFZKwacYZTtOuUZHZ wsHxeWdJMU39PmsKhcvSXTQhgKJTtTUV52hKuQMczTrEa53UEWV0806RurdUF9SRgzc0yauDMuiy eLvVpiseTbtN8YUbFFN76p0fRZQnVf0rZ4aDUnBLeBS37cKno1bvLdqw2k4Fx5tlvrOjiNmh/kI/ 0lN7OUq06kCm/sLwwO+dHHW7C53CpRpONgUHKDh7A4Cz5Qq9GliHjP3Tc9L4WwbViUy0HwNLDVo0 8OXjSWEJ3OedGOV/43cXHLlUvYsmDIk3y1i1HGDxcNkpFGJ9ZvIh9rYQWVxU62Pnn8PBJAFis8mM soWZ2I0Cgp+uQvbZD5wt6S2DqzuppbD3GXjODEviJcmVVsXTQdB323UMiPfPujlmC8Nh5pgluad5 4yXBZl32jGqMdOclqg/zNNVK8MFvp1IsfZpkRk3AaqWZ3CjhOADnuTVQpKl8z+B2IlprTPJVORws W5JU9E58OuiAl7tmJAtMpYUT8fU4HmaXd3pJiwm4O0EkL2lNStggqunv8TCKNkygpqqgM11YyYJU Q2ZDaoEZrsYCjCfIvizUEhDkhiWtHvPpJKC9yxlUIM5D1nZ2EVY8HcFnz1nTFR3+UObB5yaKhmE2 Y7lCPUoCyTPLnmS4goIWPCI0NxF0YugIYU6twecmN4BpuaFmHa0Ksp54dduPSZaUM8GJnu1RkZj4 SgiG5TNwbgrQ7VwLrS08/RcXiJmCHRrj9jF7GAwjMZjD+3InvkGKKWgb7d4p3GE3d4+KxIr4qEbl g581ewIxiwqNhQRNbMFFVkSBSyD8LS+Joeg9IzQSQz0GpBqESAehnBJTc248HfDq5vdpk4G1EOxb kxMS6xCAdgbgqE00GuygqGXP8WICH4gKAiBKReHwpO1Do2G9Bl83KA4XHL/ocNuxRoxfouQ7AHP9 SzqbkU2PwuGiA0fqduOq21kWI0dEeAHg6Yh0eA4c0r+htneTqB5x60AXRJteEselAgOMqbFjYqij Oaij1BAN9zAaXs7enWfwqbEkxG4nHDfwX0rq6WUyvCEo09vdUmxp4xuCXt1U2YYoSHo5UXGCisQe 5BQ8HdxS40TDmTc/Ek4WHH12ongYpbACXVFn2G0KcixIk7mOLYzBHFXcQ4odc4XaZM7T2sFqbdSy 7HZdVL6B8BZpOWiuo6T5Aw2Bg/GjK0aPcMVTylIQqPBB+McJehpzo8n3+2tXEfOWZA115iaqFAMq BNOoIcuQCfsNbipbMJmryWKD6pXi/jumuLosa5/3xLEDB8QFWzmQFZdTzATWD4HphPDC08lces/f CjeFZ6gGoUrgyybDhzHxdATVOoUbkc+QR10cW3+PiYErZCRMWS1Sv6X7DJFl4BCIuEH5Qrsqion3 9mpWOoOXJnAet0YSzIQhBtMFiv8Ucqlx2PhpjFMK3xBdVDRuLqOfQXOTtmBbtpMXno7y73/aUpAP Lfk5jSNQMX7yQsYtWwwl9XPksP6E8C/B2a5yciLoRGcFuKurDfMmvIEdOWCjVqIULD+wO114pUIk M2VQ8AN4xQ9QcnxDhNXCiqk6eTjoqlKDnu2XjaeDhbMOqFiS0baQb2eqh5Ex1WJbVY2mUASLdZvR ibOBHBqECzU1UaFYrV5bauUMXptoA/fzpJZCphPYjztUQ9/Ldlp5psbfM0g0SbnLSqo4KDKGFpMK xanh6WjdlO8Ah79KbaeKPqLIGDUUC3EOP2jS/ZB6CjspDpyViC/c4iOqFKsCLDM1DScHt7A+o3C2 EWmn5nglCmaD4nEd0qhriy8Iyn1dVxTb4SKhYW4W/qWrppXxdBAY90tioKICDo58W1Mjiow7Aq7h +vvU0Ezu7gBBerk7ANrGotYI68RbJFYh/Q64ASkVgBJQCLCf2oIKelegbYcZsGMMf+RNqbbeMyLd Mf8mr0+DLm/ILTFqsfwET0fd3lsLFa4ECik3Nh4hqpgeIvUUihs92+ktMw5wtufjnzuiQjFt0yCw ysyqs+o4EOOAZgclegj8Qf+wqELBXgpK3rf7MiG5hS8I4I8Eo/ciclDZ7lsA8WceGBbKdzwddRi+ Q2O0Fqi3pZmJAmMUtcuQhV6le8HBovckObJBCw9tqSgwplfDgmQIB5adBuvEHYols5KOiOxKVHrY XSDGufA+O6IHPj7qLTDFTPsOgrDZCauD1OIXPP3XJVVVW7YVkJ4NFcXF6NIC89FOO9ORfhBa6Qel n52MOKK4eHYv2owznNoNKAZAVlhE01KtTZLileQFiyp3fzCzVe/5W6sXyi8/x09vq0hRqegEaFLG 49Gq+e4uMA7Iz0kchsWJQpmpys1qsHhHw2UJaWWExSjbc0NFYfFykIAKW/UWtuCWuzcSRQuLARKl MDQuL/AQUVm4BRx24PENwY4iqMT20jiDwFo2MwQMVDvJ8HRw2qx/whvxguqph44QUUx1m9Fd7rmt qi6MRbFJwgJjkOrKyYkQxXSMsP1T8xlOPbRlCArQp8lyJvVeoA8JCh/IFkfdhljrEatP4JSZWjcc uKnsMCPszj4FUxNGxeufVi9OrHIhAiOqFDe8YEttO35CQmPAT0Dn1/ETx6JpRFGx/Llb0R9emP1l XuC2+sdizZz/JCVWNmwBJkFZ6rDm0XjFN0TRDcOa5nKrzsu3wKvK76istfF0RGC4W4o3uJ3/62aa IwyKpxBaR0QLpXzJ9KoAiEwTpoOamzAonl4TnWc4qwa0IIjeoLQF3jzhEx1bDw33/mCtN/GPIwyK xZjqkmDrF/+43MCh2a7G00EeXv+p36BEly/WeoRRMYnhqbj+9WKlm1Exi/AUmAdMhpnmCOvFWd1M ssQ4nOPGZoNSH0gla0ukKdqxjOMGQTzygCORmbNeNChuNdXQRdWkTiUmZ9ue5VlqAWTG038VKbhI ENdQlYeTM8N6MeWvAXopbj2d6WAFX68siiaNFujtPqOoeIkVxHaPBhVwgLCp9L2yhdPqnJKeXXhV 0EhorHwKf/6eQapJO69GRW8Nuo6BbRfYujU8HcxN+wZsoXJDmWBNTRQUQ6K0WPzbFRQDXUgYhS0W Wi3un2HZ4BK0ZIZMOzUYZspn8G7mrjiuNnX3LdVnP3IxAS/A6Nf6mL6iCINviBJNFkOn+AuzX9gN sD1MHi0oniGmuH/3pgpWb7tduxnFxHJ9lhYmdhe17FkvFqCiw3CteKd3hkw7IUp4KGjQ3FiwB3AJ /UxXrbuydMo2YEGRE5xhz6ZItMQ3RDg/koEonqaBFYpWt/r+iNE+MwqKHyhbvhCBdgkMMwqL6X00 u8NloVlZDinIs0+Lrbcz7WZYL3b0439JGtqdNVNngwqNu9Zl9UXJBEx1fhYO6uP4hui8YYWCksj1 SiKDbyTIZbV5xtMRgeGcN7c/NS5Kf0aBMVRooLI8vUQhXetE0TFBBEDldjHMGTLtlAqwBKvBD+Ns pxZIcIj9IIIqJ+WdyJcvP+jyXpW6rPcMNhUnBUzAM4gUBEN1XIsN/akZxcWtre9NhY5+v2W/GcbF gI00sgNxUTXmGahsTbq10UOQBXfOTShCoYA4i0yWD5nMosa8oTxLFuJGy11K6gjh9lTD/mRUc+s9 g7OYekBNaK120VqwN+hqwoyBp4Pg766brOQdm6rdhRNBKBBVlVlc5gZCcNW74ZNmyoVaec4Jn1G9 mDxFmxXRV/NjYpEKGuwTQD+LnRpVZmH5hD/RzldYW5+Fw8bmjCJjknMrnYh8EOp69ckLHQ09PP2X CIUuKiqQHdzNDJl2kH1wq232GVZ1RPreB5HOSjQXTijNJp2FUcsZTi0dPledpS3LX7f+AxwOgCPh wDhkMmKh8AVBf4rCHIBYn8FxxXVKemTshqcjSNJl9jJmZyo1b4AToSgmGCdDdrecpUN7tihC1ZuZ oDGowziKjF3ZZenAWffAKYAVW2pGa3sgEZh3VRb6GT+kh8jA0taMIuNGfF+lwbgGL1Ps4rd4bng6 At/U73XDiX4uqpBpR9v2WZ3J0ErfnmuOppvdwtvmfPkZalCwJNqLM4TykzVU20xol9vcTKi8sC5Y caROqjjnR7yk6D1/AwtMXVTzXFS9gUpQVcLZeDrCmPxTpMAdVS9YYEWBMZYban0uRNZl1D4wNy4n NYik43mzIiCF6xwWBcblBMaQxt72m23MDTYUKXYojXLT4xK/QIrBHswKgRQCbbG8qEHnzV7UAwR/ uuLpSNjlFikY6qBqOG/bd4X1YtziQ0ZmyBrmdDPOXJrSTcS3rnqzQiSFBIGatLba1drK2JGwcaUW JJRRpAmOX26i95ue5h0NA1dYLyZANFXGRqkeOSlbjVUZ1d4VT/8lJ0VKOAUPbwFnhfLEg9FQHw6l aIeH2LMj+O1IGp6KrxBKsbRiWBRthW2RhoVTdoewLEllQOkT8QaO+w/Ty067rnIEihu+IapuUYCM svgadOA0ds9QJ6t8v790Fi7hjtRJzU1IuCOSggaHlOKFBwkFOlLtSsVtTSxPxVcILHYNUQlJjSMk BZxgRWuTwi5zZAocLGyKHwl3p/zcVFXvGdWMKbQ15JE8xmnC2P5kD8U+c+Pp/ynbLPOrd7eiwBho Mdv/yMbQ1oSVCtPvRuV4ISn2AZmsmG3Hnu9iDMjh9HxBW8UJjArOSoMHEi6aH/gnaFr8LKZa5oqQ FH0KJErmFAdhBTL3J0HtGU9H8c2Fz2J2KD12ha1XFBhD6wAF4+7xTcn1oJP8CLJbfTqOYsUKFIpb mIlz8IRqsiKRIOE8LQThbzA3kL4FPXgywp0tz8B4RTgK8sKq/iINCow7JHUwN/ZPeDrAUaxvaDEV bNMlB60QWpxICSqy5SqbRg2I/fp2/w5k4l4vXmFcXFQVZVuTg2+pSeEJ+0y7roAtEeOOmUpBMR0R ieIbFhrxDcHcqDzR1MFjUf6H5Bzk+op0Gp6OGOHfVYqsCPLOTRQX07cNTXzhKCb6aXReIOe9kuJB eyHuqRBb7OL5Cnv3tXZrKE0khLFwDoLzHfcU8HoqG9fHGJDV9BUFxtJKqmvWM+i42ZNWsz8gDOHp 4Cjez1GcBYacV/FwhbLFhUS+7NcUUfuM/Qa1qlndAhhS6yasGDv+hmaWHPy8sdsfOgW4pjY8KylS a7kMEvFGr+6LTSKLdUX4YtZQIcGRz6AiRVqTEJFqpzKejoSuLygdCw7pQr5SSSvGUYDBVIr3YFbL 15KCnJgO4lZ1BYr1h3QxEdUa/Lyx+3lX/LK7oM9ShE0Cl6ACuAvGtV/hC3UYfEPUvSNCFHQRH3je 2GctkQk3zuIQR3FBtARgoLpVZDWAydlRYIz7AfRnr27N4m0YuCG7PEfN3VubO2TcESvQGquera2z cGxCLIxBBQg0VrAqWcFBHl06myLP5BR/zyChkkjS4LnDQRWcVrr3fSvfL+J5lK9NRblCtuQ1N+9x sdghdnhml3UZo5/DmC04mgXJfhNzE+EoustAln2GW8GxYB61JQQwaQm4lVBuL8Bot13PRUVbdXxD UMFhGybzFNbglb8uZyKoRODpSA38xDdDfRhWcM6u2iHGGDFFo//5f+jI4DXjtlKT/rX9SOksnDgw ZkTcJa/f25NtWpDcoCKAwNgScGbsFXAKGjBzbsqjz7ujuLgSgGOX5jqDyumpl+yx7sbTkYzUmRus N8Rbj056SLdb5D6vU9razWEUubd1ZCgoFNn5KVFpi1upi/zTL7+3W0o27S5CYxOlLRJz4A89pczW 6FAmEgxLFDuKijf1MRFGnkGpJkJkIdrSxtN/yc8yL6UPSCqnC7NDhDHNGPat+2VXSLeVurIjKfoh NOyYb1eVgJcz+D1l50lHLQuTAyFwiUAWApLhDn3dyYAY5Rf8xgtP1NTWoNrWZP+PO6ri6T+RSfhv 0Vyf5Z7EUViM3xScaufMD74iEW2pCHhiwdUxFt8hjqKr3iejinGNKhoIa2SZLaApEgvFq4IZsDoE 8R5j1qS3DIIbshkqHc41aD85sx9SCwtPR9Jj/3B7USSbV3psRyAKqJuUXtygDWShpHPYFlxyihDx 7pyZUJZNl1NVDaderwoLP9GS2lg0jbqHtAakgitA2NQ6XGLM4xXxDb+5BA3Iv/jACzztIXPolurC 03+ib/huaMdl/IE+O6EQBRKYOp1EVqQATyMPWkE4kMLDmx0DKbRgJGAyHgGTChEcOQWVhkxcWgv4 GxH20RGHK0c2gfiGSCmdm6mJKtTGrReXKQKVZVh4+q/SFsGcmSDlejdVWC9mrtld/8eO5eaaQJUG IugzJBFAOj/lV4UtumNp8MM4ofqBLN0mZ6IlwxJF3RQssqVz5ZLAc+UX/FahKHndQc2U0bNb1S4+ HXE9Tn+KNCfIeJZxuc87iovpOrzycLzfKofGOpRHwNGDnGwunAhJMSXJplyz31wT2CRIoudMCG2X NjI8qPCm9uc2UE+Pb0XTe0ZC4EmA9DvoyLElW7VR+sLT/5P6mB0l6zbEc4rC4sb+VMb1r0ucd9JC DwaAVNrQU0aX1gwpjIubMxnGGU6jodLUmkUK+3SWpqZ9ic0JrlLAjA4Eh7d4TlFgvASlINMSPqNF cFio+C+ezt0ONT4fHMn1W2uLwi1lPBMU6rMtmgZNmWIX6mdgglqnMwkK6pU4Swpepzg4Vlwn15N6 XU9GAQgXPs2o4oCfIacclOipQVXqgzVJ2181Qg2wmE4vTg08lu1MzIwcbEM3Ph7kDhczyqptkwLy naDQ3AOrw7WAJgTZh5rkHUUKVxYgM4Qq+ykEGqsEKEPxepiVa9ihswEPYf83F6obAqiUknReSGdQ CXDV7G8aAQc4PxJRysckDgWRLi5U5vxEMfK4dXU2KMg4vlFyTlHxeNBPiHwtZuX7uuZQQYMGHzij fX5ChzvtsJnv4AsIWOONUhnsbSxwU4kUbp1E/ELb4zhzsevApRXnKDiV2xl0rQ87gBiy5NT4eNSw Outnsy+6ZWB3vQhSFCuD613oZS0xnDalwwUwVxWYq0I0xM+gqIgsHWNoxJxBBS/oyM+K/NVWEEQB +N9RJheIQxywJ5MAy0RfETQfJJoJYwkfVCmlyCKurw3F/RTiK+4KksFbadA0rteQIIWV5Crnsi3o cdnuxdooBFPY8UyUxqHzUlhKZmmnpT7P4Nc7WnwDxdhtRyuMNamI08R1s+sR+Hsve/F+F4vlHSjD y2s/A2eoojsmSZzc+Pj7DNUroM4Amj13EjN8gmLvO2Kzjw7X42fRZPKGnILyMNpkUeg81aIpo5zB D6FSYWLGZNTCXBXjoDSTqE0O85uriTimv2kkisg0tEoAsM6jxDWaCw/M3fl4xFp8RNTxNwBL2p9D OparKI6S9M6n00LsEhtPztWOdVdYU94ug93OcMpfSNABot12mVkGo/IX2cWIako5IJ2C7pbeNGID M2zuqn9RYhrz02yVdnX6SuPjUYPvFDLY1cHjgPme+QnDZwKRd5aIB2yPz/x0NyqYmXgozU+kWsH7 AHXXdgb1I9ACaxNwVVCJcvZiBoWxB5vn5VpUybc2hdLGopILqsFBh7TFT9JSHW3y8WCDle8mH2PV cXkhOcVgZNQ/JKUJyMX0xg1CC/2TnYFHFJvRZNwPWZXnpwbH6gCglhIPIEgAZpZZM41lgOoi4FYl wgWoAL8iqPaUoubnOoMOoAzfPSyJwSgxdP64QZDoLcJD3wkKvfHImfaEvbIQmb3eIydBCFhwWfAO C8zx8v87mRfzKw4HdmsrNKlMiCSXRk8wjckyhAZv6rDtdQAF7niHGZwoyqtB2wpYTN4/lv7z8SgH +6ZNc6Pn8vjjRWF0K+RcZRf46AzwqMraeBRlQC/KOvMTYS+SUIKk0Wrw+YGqic3Qop4v/gTh3SfE 7tAXvciLnfw9g95EZvI+VjmDelp9qoWO7JePBzF0/lZmFcnniYBigzyQQSUax+bErF5K7QR/EF7K FEuzE6odqx06RIQYV1g9QVYWBQj6MVHxA7NDni0kx+qTonbFiKFFHhFbX3ivrOM5IZVWP7QOPh7V 4P/l6yGJS8/8RKVmwo7tjpmOaqrpAHfQLiGqaaXpGXwOXfJYO4P4STqDxz8WIFqiYReV7TO45TWi U9ig6pC1Xg82me3iHNjkYXd1gb3GGbyg2saU11nJfDxqprevHAz4mfzIoOTQJ2+xNLbZJ8ZBDfqr dPnJ3aARCKA5bj8ZEveyGHuKD+eND0FTxQlKcSHa3PL6wm5mz3ZRYN1NC7K/aaRoUQXAmGdQDlZw GzKCRhodWuWVeSpARS5O6BpfTaocGOWhkI4UA61tKZnRVoktdQrnojxW2BTWBgsDaNXHtorO+xSd p03PbrC9gG/VhB2cmhWd2DRoPF0cLgQk9BW/UdTykprZWkeCHlqw4tT3wsejRs4/LHO7N0d+pidk 7+H3wrXyI6lW+h4hhx+jqKs+qU3o6yeGKTMu5OGpwatANjew+kBmOPdmSGj5hdszYcOs2x4lTJHf EcQ/Kh2S1aKB9/tGRU6mZ2Xz8eiA/qdBSprcUwUK/PLsMfCXp8zOphumiGmOWlehgQEAmz5BkStI Fy6OWhoaPH62fV+yHFMsgmaDi/nFYFHX5uW4Ahca2vAroguM4hYKgzgI6DSLy3dNf8NI+eNWyVgm 2+RkPRMUBtAA7BVpQwMRprQCbPNJ4SrgMkZ37c0cmOYhQBQ1QtSaY9sGS5k9Yba4aEW5kro/1Eyk AmGp1+BryowydM0jRLG2RgE4DgoQE60biQ3KfDxIMJ4yq9QFUVZEjHpmKPYHka90cgpJWqdOxt4H 60BC9SR9zG9OD21I93OUU4au6O/kTM9FGJ1MEUE7B8hkfekxyT459M6TBmcqylRLP1KTqUnW1u7f wsejFOMb9MRCa7tdwRy656HXafnIdvTKLI4fxLXv6JUKHI3mJ7TPk4xDky0eB99iZcNOkPIxEGEn RWZRgaNg47X2lFmLv2ik3U/4AUNMDE2QMLvjCV0DaSLz8UgE7h8WcSPHJ9/pCevQMAKCn4drXZSr dVGv1oWKZNhgoYOezuaWpXWWbxkRl1nqSHfgZ2XHBacHloMwpBwM1bwERPc0fkV0gxGlAdCsD0Rp 2KR7dzCXyceDMmK5JKRzhWV6UPgERTgNmKzbbKLGyxOoKduCYQiAFMgwvM7KCQpjaJkAe4jcroyr pewb+nKVNTLAlpY6Gazurp9BnXr1B2vyN41IofQMoTyoBk4QBJ+odIfDk48HMeK1/PKEAt22nJ8Z CgEb4GIOibhO+ijovocdjKue7XG4SDnw0ku0AH1hzY5h15Utr4QZGqiJdleEWz9MDkC4OJX6Uv1N g+Z7YXF9DQ2s6VD3LEupsxfkqKGbXn5QUNTcx7dTePjMUOgdMikHMaejNuRv1chn2criF/lGMioP 4czFs/g7+BqytbjJlINgI8CLvtaAt7EfEVUtX0JU98qxo55wzEmc/eSrFJpANFT6gVgTH49I12cJ 4RRq7ut8pycqQ/Msn2le1+B5qqypdidskdfJNCz01FsKf/6LP2uhckd7hFioVrfKjIUSmahTFJIE 2vcZHUbRzC/IVPFB8zNdqMXOND0eLaAzP1h5Mmm5UXToqgdgSJEo13/kQaO7vm0a2P0HGMd8dGVy YKuXqGpEca87eJEM8W6C4dBOqJVNaUGI22EJYL+SO3tNf9EAoVBFumVHlYMu+DW59G31rMHHgxj6 eqwQ8Q3YeL9svxwa66EeYWt+e4msTi8BQfzSN1ddt8gROOtdP+6pUHreRuGstnxgR4/pQQGIFJPK kx7aefAZ0vQQy8dvCKanU+ZBfdR0+qiQSZXbla2dysf/Au/i1exPm1cII4fOekAElkE1AakmFxfA LZteacBvtF3P5MSm05wVESnaQ6SA5bnNzqRzu/1rdgp7h6RA/pn5oqJU/wmd9cjvQGEsn4FLx9J+ t/LMafLx6OD553IvgEPWKw+cQ3M9iLLCUm8dCMeRbBqkHArCkefZWjHnT9hUlxh8DuYKj+ZN6la3 T2JddelMpHI+YArTm8znTYPFQ8GmssY4gwpAA/Rc/slt8PGoAHQniEcQyiXtqT+HDnvIsgql4OUh XAjchY0cBbaghFuID+fdHlrsyYmmtVnP4OEhveMgswCQy8hS2atu8gChuAs4LOdFo+iQOvbUsdag Aiuk58hQT2Py8Sh6voxRRcqU1b/TE0XPGxAgpMO6uWqdCoLsL2y6uUBRO0dzYLNnMSZFKbsiIA4+ PTDO4E6FGU2ZEsNtuHeIF1lXS2WN86K/9eArsXkaVP7ZSxJg8Pvm438iMuUdC+vMJ32P3fYgWTq3 I+rKIrYaoSFU8JR8iSmr+YmVlKfQG/kMSt/hsqKrFzqvtRSFhoXh1mDmezFSqv+EhntTrIH/076Y HWIwOKgtjRl8PJqgcziz+FLJAHnSr9hzjwIQzW2VbaqWeLV2pNYjjkEpce2vMHbeskWrdzgojoZy 6GSFjGpRFKnkNprE1Y7TAGPrll8RMWs7q/pStj8K8eAr6aKHJgcfjzbYg1zl6ffz5RSRY9c9qCJO dg/ovaK6POijxZ1GJkiOZ35ChQwlFUmE9XQI6xANhtsgN9iApHgThwAIBRkKrYe1RJuwHHjvSa+C mv/jDOqgWnC4hEHcg49HMJcLQsRumpWWuDe3CPz3VNgtQFe4y0iZEg5BjU5SsKAfORswhwZ89MWD v/s+g9/wE+0GsjYtBkc9kUAyULNpb0A3KYmkzVT9TX/jLnWZ6HLgBHVkh6q6o8ARWPC51/qNfxYQ hM/9Feoqo4w6xV1CBywVd6RuOqBR3oA+oK+fCMDRmkhvSqx2OrODmldtiXKVE2Yuw4ld68cpBTe1 8OpY6MEncnYm3VKDlg8atDpSeACF6Of0rZDLf/veX2EBunBXzaPJPbzSCvpIcYACOQeanzB4PkYi /Qx+/sjZcpODnHNj3gzILEL2TKLXg5tf/qbB9nKX93/pFnZAtyYlWLs5+XgUIe6v84cM7ZZu/Bwa 8aH1gP5yplFYwc8t7elKJ3bODyueusCi+Jm6mYiL0xl8flAypF+bzQ5UGVmFzShxFMq5twsAohM3 vyK44ZlOFkIhNAgAZAeJGhgFHebQi29cZyxK5GRo3haiFnyG4vozHLuxjoDqhUyPqmKNal4d50/H hUxdsBz68TmEQ4Gi6Jd+QoPu0mmWsEDOEj2QqBZed9DlOzHiLv6qUQyNLdY7C4kcuIQQLm7xKfbk 48Edf6V6ugJu9HjuFR978qEE0ymVT5Y/SZtYQqm27BAOl97TxwQHNJujXVus3y2Gsw2Bz5Bhoe24 JKFqlFwrubc3P03DXzQ6nyXR2OsZlINhCal+uBYfj3bYRSEyRmxfqnI59OQjwaArQS2M2poDOET0 F4CjnNmJcNAqryJIO4NmJ7c6QOSAdprdM3bVUzxjI2ED1r7CHUMZGEIxfUVwAAng8n88WjriB0kR WSLDx4Pr65EUxk+XYWn7FFdDXz60sstCQq0O8zirpzMrIYQDUGBF0IEx322AbSk97aP0ZMe9JUi1 g9HO2liV7zBin8H767m+tr9nBFDgqlmqzkOVmEnBoGAS7Znt6uXzkbLwV4KKqkiTusmZn1B0GaFs 766bVuCXoAssHx3dMSGjrgssdufT+qH8hwY/oOHLDMFuAIDW7pQCX5UAQAh/1XnvLyUYgTtfYicz ozSbzuAAuznkIGfBOh//i93kXB+cAs/yCZWXET8XJWBU1IBgDE/nVJ1lCoc0jw5/MejT/SW9sHQc kHqHvyXcDyncYxHyFmFw0GG3U5rgoMQppJFDh74pBMf/YRlYHFKSUirLqfl4tH7O6UNKC2578NTP /ISkQUBJBwXtGP9UKmggv5jVtTRKu72LwKTvimkkkdvTJbdjc2WeaRthQmbRZG5i9xETjHo1WEY+ bxqxeEicKGSBceD6gVKsiIMb1cPQqe//uJ5TD69+nc9xAJ3psXvI/2k3z+CJgaRcLAq3voCiANpl +FzOvZYLQLQodQ/0f3nEWHyO/wCdVPLZAP+8ECkSK3Pg16c6MKCrsiYpzlS2TxpNFC475/l4VF5t X+kFHXRqf3o7oWPfpLwlDgR6/kxqhpIhB7hXhcsE3Ic8Qgws+xRG8WTuZ3CMXQf8sxHCMUCz5AQV sJoyIovK8rwazPTczYFr32nu4JA/A3fY3A4HKnNtPh6U58t3/sWr+NF/+rxGP0XQ9zJ2PQjxnY54 xOFZzrq2V4BeY58CTXwSkCWQyuHgozIACsQ/9zm7bMDVIGOtFfXQ6QBNxIavoU9B9sTqKu0sNah6 OGkJSNYSHn6ZmALCulKLocCHxWemG4q3gokheGICwSA1FsoXMDNFtKJ1g+NT7JRgYrpYg1OeAccB YtkEWDo1aKOA27hTVtLCalwiiHPw7z4xDgx/nZgkepBdd2ucQTEhvG+XsgoLmV8nBjFB8Ykhphfx IYt9nJfXgKdk/kkwFZOmEQ5f6VPbQTclBQo5b+c1vYY7WDBDK4W8Cw4eDuYNPBqDZVsR4O+woQEG BiAQoNi44jsirs9rtFNwWGA+Bgv5GrSVpuwwwOJun9dQB3Pqtebxo6iGUeA86+U10CkOlR+dlcLG QPkoflKkAoFgGkdk7jXMKeCa0RF0ULeIg+YFeDM7dUdWlYdOw+gg00IBAeADNAQxDm8ZbCTGf6UK RldR7cAf1DcgJnT2ttzl8xrk8G88M8MQOVWv8eAbXyMcPIPqTYecgjpcCpXR4VKfFHIR8paAcXW0 jygT0Tc5Xhx8Xiz8hlgJxGkaPTwlYjOpEjvF3naCRdNbvs+Li2DRNVgDU89Slnov0Ov4vMY2fLj7 tAh/gzyNZpScmNfQBrsPGDt6brsMKrn+IAgW71JMKSPRpy+YGNn0dfmC9scXFEWwthHtg90GzRR1 tpD8w+wHgjG6lsgWxFu+T8xiMl5ZINHAWxtuU2qIW/r/eQ1qypM0jEfkHY0QzctrRIPjGhd251FG uEr1JjpadWqsD0Roimhe45nionb2Xaz3cdCCGZY6WdA3Gm8kO4UZ9yDVtxuzQ33sxsOslr4GM+XA LRfr5BqULdiZLhhFg+9RMC1lTp8WQsNx6M97vrzGMTh3B/0khvtJtOT1v7bAmaIMQtvNE83XKAbn LgHKo7GNx8EvajRpZpPn0UT1Thc1sjyUVcajKYdT4vMawpSLkStS3Svz4C9GKuLwoYT8GsDw5fL3 tDAUTme1vBYAsYsGXVKvqc9i2RhFekS9OnapNUONxuDYTRKDXfKRXSe7BDRrZSIhYQo1sqQz8oay e1eP7cGfImb+vFb/8LclVUeFLZiePU2AfatPzPi81v6Kq2tqYuThxxL/8Jl5LfxhiSK1XBRxZWmd NyZmZpJRx945IR2UP4gO3s4TVwRADp53gzgEge4f3NIw+yFuEKorcC1DcXteCzFmlq9FP8w9+zFk 2vvgwO6VuGvtf8PD0YV0IhgiVJsMG/IJ7aKgdxBVpKkh5vTQIhFHelZJNKxobdHcLPcbzmfwlGA3 eLOhCZztnKXyD/BMKGUBe9SBzzrKEKKuR9uJzP7R1h3UkYEgIO/b3fh0sJ88Yxo/AuegObHq2VDv lC3fDGhTeU/YYniXWSaRUaSSkg9xPY58ZZPFfInD6XhuWIgxkkE2SZHDRWOzQjkiYgBU06Kd3Oed s1UOpKAm9cwPrXkUO9iWDtYMRPefwa+KEvTpZhND0xPFv8jSgO84qiLdV1EneoTbqgsMR8ROMD2i GnU5gfYvJ1B7Z/vrc2VBotUur6wNog/wuI3bSgGNZicKgTuFwSphUxpUj7CDQMX0hnrWewwMPsC5 uXXiIJ5qJ6J552sVsP0AOG/J+WyL4vOE6+wk0NdULqRuTHAaSyxtUBFcgwd7BWgmGMZCbyaRRk++ ErLJI/B0XOCn3jPImhI1RTprohwEhKspcVtUW4qfd7IWDp1nX+FoQBmrUNfa6/dBXEOTtcnclSYk 7EjIhGTof5utXajOeyScXRpsyi9hXr8E7E0QJApxyqVADpkbD2/J7h8LNdpWU3WI8NDB5d1kKcFB oY39mpIfX+iTR7GwGAznEs+A4FLB0CcnCoZJ1Go1e5+zEcvFrLLW6nVQnLCanPdoOKtJZkfxmGc4 acKCJF1HeAPUbFcZGUxAEIMeFFOv/pbBXUX0ySALVwPXjSUPs7ubcP28c7SwO3L92lMUaKzyXOKX hvHwJBhkXZLoIUG25WBBQAAOxCIKiLVuhvYMBw9xJhk26EZlC3eKJxTc/7B3QN9QhQjyrD7vBC38 dYL/E9usQadxWmoOtJn3552fxWClfc0NJeX6c1VFMbFqNOvAu6YurUG3BCEKZqH0Ds+bKCimghIu 8HqGU6SBtAqoNsBH9uHk64ZKLRRBcPJfR4Cl93yfG6KPal3sjXPw2vmuQu3b137euVnn/Z4sikSX eefmPTAGMmfQ7bIIdzKoYQLx8tp5AjdIKLEXjMJwFBmzIgWN+3UGL9SgkUlaCtC3hzrbSLMDSKfk W/ZkXeHzzsvyugkmZeQz6LiBr7GIfdBWiULj5bAlP27oWkjdB85NFBp3yhpIeYYJ8dLcwOeoqymF vqGLO4WxMVmhQPefgXOzIAe2Nhoe8GwcIwmc6+6GoCWMK6syp94zmhtcUABsnEHVPbTXeU9tdHyj 4PjQITQ3iDqVmKm8F8XGYIfAr9rB/l1pAzjXySVDbCWd4+adjlWO7umQk8S4ThIW3kDNCdQ9ZExp r6N0ycCdhqAnbWCY8nmnYzGCImGWJAYNKvHZh8rT0eKxzzsbixFA/Zqbhssw0dORcxOFxtB5KJDB 8xofa6xMNsVMh4MsK2w4b965WFx9vJ4asSQcPDS2hVE3wm27woEO44HE+Bsdhh8ICvue4p/4eadi sVzO8p40VTioaAMxP8Z+tjg/70ys4t4umhscvGxHzFsWjuJiZH22vFJzJHJjLSKjXs4TGDnVaq7m 9E7DKlI8Yr6Qz3DShgoDXNSBLfaz7IQJaUIlsCqDnNcnVUoY7zQsxjc4cJpoju3SHNFpF5DL/tfP OwurPH5QFq3x5C28J+/KiSJjtPIKpL9UNbf95UxrxObiYK2Svc/7TsEqDrEDjH+eQScOdEeK1IRL sv/dVYdZBQdijBKfApl04gTeGVjloEgn51+DT440JrFL1uedgFW8R3x3FfrmmVrrmpsoMIZTMjoK KtzY37IdpL0lf2oJZ2f7mLvqjxKxbN57OxpO0Di0dwdODDW9aQuHJADeEsAtA/1wbnEyHN/JV3dX STdHg9eIswsQ7FY+79wrzM3dVaqFouA4T7b5Tr1ieatTw/IEf/2Y89V1zPnkiMe5iarEdHOzSfGc /JbP7dcEdywnVipIlsWJQ1kAAFuIoTvOzEvvGUQ4MvRp4w6aG9u3XfoOWDdRZJzSd52YBEKqLmlu 3gNj7+wuOjhxblixJTZ7nY5L73fdvAfG2SUsRyE0m4Nn4r2DkT+HChWJek0wTgCwzXYAausna2CE 8067Os0uZFL7DDyNJ0NKRn9pf95ZV/gr8/eeoiVpe/ZUFBlP4kbJt2SySUjIlwcJkk0GJJyb98hY 6FwcNAyQOei8qQAXNFI+cW1aRkLYABjCGVFKfRx2hdp651xxbqbo+O0MgvzBOsiL4/vzTrliLeY7 2cTPwHKqz01YMkZHSmKl/yE2ch7VlHW8axrhlTyL3yNj9LwZ4dScz3DO4mr3FCS+0FopllGKmoUI GnlNgdCk19Kbv2cQ/c0lLYd+BgHaQORS9S/z/aKz+DSllKOWH/dY43dGkTHL6bt49oTqlmdUnRr9 OG/GFel+51uxR6wiBeHYMx849oTWMty3KuHYPXVp61akACC2A5F6zmL8/J93utXdU2VSzYGD+DLY X4zoUl6fd7ZVecxA/bwB6PjiAd6pVgiLKFQw3BULlo4u5DDy0LIBFdGXzTvTqhwq2iBjS4OmxiK6 NFDDEYt6CbHdEXPnIkzJjW9QI/+8M63YXaJU06aSHgctAAsXZOuTR/68E62KI8U5FXJL2PA+OuHN O8/qBn/ZgxrQ0I6LGC2eAJagz6nmJqwZMyImcsEHr23Z7EChCih1e2wuqnzRpahS9RV0nmuT9c6x Ki4ihPS7nkFRsf0MxOfVrpeL7u+TaXJGKbScT/HmnWDFbAomqTKcA1pC5T9wg6er7qCV4vf3O7+q HLOEwZ9Ug+8nC2iQjVHga0JfkNX2BXo4MoZy9blBH+Fr/pZMTdqXaFDfjpYSXDOdrxccwyV9HcPE 7otAxKmJQmIAFcpQNYvS5VuHTq/UTif1VcjZzk/5LdGcNObV4Pup2zZpKH/a9KCsztZQZ8mXXJpy wz4K2X/eiVW3CVMoUWoXG8oCxMt22EvhZLM/oXzeiVXlAfZ53McGQ72TE8XEAJiVQVaR9LmHV9Kl xOqoCe9QvdOqimOa0d0dZ9DkTDg6Q6sBlgDdblFmpANKx5jxxwQUqLrPO6OqOKK8Ztf2eoyg7O8T o6p0vtz7qjmKgl66sTAMUBGfl/d4GOd+oXWE40Fndv3p3lJSnWJiP/u8RHViVuvto/odTht8lU6X zk18A3WGAFcHGp2ec+X2psi1f+dSYXFTTNn++3QGVfz2ds3pbrnCO5UKv34+FT9Jf1EK5a6ZKB7u hOrTuYJai2XIna+rjc7KzSTUuvNTog01VOrLZ/A8atl2pEC5RQLT1qfEPNHVLNjtQpToHG5V7xlV tZSvrzvwHF52mC0HZ9XPO5Hq3PwPSoBh1e2Fv/OoDtimlZNHiQIFf1QS5li56ZQk47oJK8WeQKkb LpGYSRCoJR92LCOPsn+TVgiE8jgQa/0k4KQpvtOoWAh3gbN0BgV9vWzdvrXWzzuL6p/W1GL6ZX8q S7eanCggbp3Uqa0AD4J425PMWb3DkMpRCX7nUDEFZNCXWDHm4JsqQ8ldiZQF+JWuFrJBL+j+ghvg ZS02ND7vFKrnJJ7i2M9xKFTA/bNyUxrfL9hUe38FxEMXwSkVv9OnOKNInyhZS4m8Ok7XjgVfSuSR 4oly6Dt7Cm+uOuh/ldGTTXunwINteaZSLE6gOkCVe2C4z7ohvuSdO8VAg+06Kvtq0FlsX9a8bbA/ 79Qpvt/3Wcy60TM378wpfCfgr4OpPyGyRWgSQKtpHUHDxOTUsnfi1L3Bu/JrDkrAMyAVA5typwVL pSyICTQDkUQj5vQbvBNZ/U6cOq1+gLyJgeC1id1hsy//MPsB5+edN1UeaeDhxCBJYNzJCWEUCCgq ZeIQEtdJN9T80zrS9wqcbAGwuiEkfudNFRfOgBD5PIPj2Cz6wH5Blkk/ZiFfQEKowOKgNnjgN+Au fd5pU+VYQRU63GkQ/sberkm5vY/PO2uKFYJT1eIVhwsgN1qzcHLCsBiygV6mmZTHO7RxguRpdYmf i1fVO2mKLcf9hjEB+CbVlTrLE2NCRBmTU/iL2M+wyOqQJh6QWJ931hRbKIxwppznpl/jFrkkueza 3KzPO2fqtIufCMd2wsrnpnonTDE3Rd5dL6O+ewJlV0w/Bw7NSbhuwrB4KtwlIp+DrxubBttWmf0X m51FwYFC/WJI6AFQdezVkC1+3vlSxX1V7KRJd9AtboEcsUk20eXzTpf6B7hVFCjiSrhQ4rBSjL97 UdCS0d9mxQ8peHIE12TGzMP4nSzFNJNhX1dS1Q8XEQBcmxFU0elyXqVmL2wt7bQBelCmOdh/eedK laOk2KhWoIHViTn2kpucfe3nnSp1EHF3bhZi0HYv8XemFFNw3KdkWFBngEqI/6HKV9MBjcaAdxje iVJnyaLdks5wAOjw1UXx0w5j0EXYt+uwDRp0mTs+UATevJOkmILLOmzfQbdUS8KKVjvHPu8cKSZF 6TuVosHlBWy9M6TwlBpTB7A1e3GEaJtbrFa7nZc37d4JUmgYMpYb8mEZ14dlQnlqZ2lsNzvQ23Ts CXYYQPk3KKb61Ds7ig3JLWrLPIMy8DqnA0QLn47uqO9WL20G6NKmmYmCYkoVuudBpeCIUxYayYAU X2A8ypmJg2JmClJ34+Bx34DwJrDx2zLMQvs0LBlGyXi356DpesugNpFZr6E8mobTrxNsDljxzzsr inik9pVKAbKRy02/WxQR90Td6J1P+p1cd6pOT8mnZYCeLrQQVqyoT6IuHLwJ3hNsMyl4Bwe6ueQt lorSzC8YW9JbBlc310xlb0yDjplWKRhhz6yKp4OYr3+j0G17iK/KeQmjYbScOx1LCNNaLJ1D6Jqq z3QqJI6G8xKVhxXN9M0DmMMB53fIZBEcAG8aWao16jLhWAfo4dSzkHvhG4LLiZ3vxb6EBo+G23bg ROLTQQP8qWfxJhJo4hw0PQqHlX+v5F58Xcg1qEhScYwqkp0MoM5PiSaH+ZM64LcDi77CAixuNfaj bEMNQUcRUQz0EKCz4IgbFrR6FA4nL9vIlnw5OgAVSSVtxV4dTwfkhf0PSl8grRMN9ygaxo+KYt/0 Yl92nlSnH5PqWe3A9HuEnJCKLfQqzuCIGzA4KqpCgF/UPaqQoSSzFbTqH/tPomZ7VCOG1BzozesO nJsySufBhggbT0eF0Pl1c6MtMC40oIexMMBIk7Zk1Bhf5bTqJhGiFtSggqSLu0clYklwddbqNfj9 NBPpFwDqWwC82PpYYO3AZqCjxvowGIreM9hTsqSkRrkGAYr7mNTZhJ4jng4AN+vcUAQ/Ujf8iyMV s+pYK8uqX5XGtF01LeHzf1Db7WLv9iganjxIOjFmGrRu0FZbaGza5FjSujfXV2EoBQez/iWezcCm R9GwoDbT6+j74CYqStKM+HYqeDo4iv/tf9u5IVlWTk1ErBtMZ9AKpDJ03SRiFgIti0Nm0eRoCIZ7 GAyrt5tFlMoHMguSPM2XGph1thDzlDAHeqc4bqh+41XikvSev7V47UOoT4Y4bKhqk0DF5iQVPB1M zfiuTFAuKl+uVI+DYbxmPb5FkxB/7qmUXTYpYSdoT8XkOq6XIqBWOUAtizwgGgmzFhqn7Erwo+VS DGDzz1y3gs4CGr4huMFJ88+7lzOoNmXplHxRy+T7RXvq3FMUWEoC4J65CcvE2HpboBH6vzetG1hL b5YoJiuAzL17GA9763bcwVsLNa3F9HVTt0eKWyA7o5BV2Ey8fcyl9wyOYhkU0/ZGA6EBluavJpOv MfF0hNO6lVDeSaCwE/atyXkPiVEkRM5deKKQ9V1cT6o1GMwTb4MlpE0V4SacT+bs7348Y+0orh2w C4KtJzRFSeNFkZ0GaRlnjR/G6KbgG4LJkd0VRdM1CDdR3RzJPnPh6YiXeTfV8rQLSLaTY/YIU4xF CbUSx/iVRusvHDnJnQlHwR1YOTsRcsJb4FLsH1TGtPdccLcEdwxtXnsZmIfIDQthVcIvgk/2gh+A 5PiGIMJZ4nfI8qEvR9z0BDofU4a28XSwdNY/xVD8Wrcx1cPIGOefJT+qY5VFHSiUbWyVi7+KxHP7 1ER14kU0SR+pnOGA2KC5gXQcSQEdhthZIFVroM5Vnqnpes/3E8eVAoR+rA/60TIcTc1IDU9HC+c0 XxIrWvhVYKXgjN4oMkajsJC0K1SJvNGAKqEXCnfVPgrZI1SZINFuTm4aDicFL1RfRW1i27/JZobw yAUB33490iZdnEaoMtGYTyUlnCk7QCBZZCkJuwVSbxgY9/0d4eB8lMwfpyYKjDupiBKSIM9jHIcZ JPAV1QmYDjjbOSwTqzHFBa/BMyp4ymS6FxQEbbQCX4W2NvhUMOxuRrX1ntHcEJ8vE916TXSBS3KT 6jbwdNTrvRkVI2NES+3CSkaIKQZroEnpB8rqdVxWECVJoDUhTHHip7yfxrxB1uTViIE/Ks4bymQA DQbSc0upS2SAohGoeN/eiyX7PwNfEIAfiSgZxf0discqEFXgLW7f0/F0UKH4hw0O8QCS1zUzIdMO N4MYoXIsGMULFMkLXTCuc7WJEcXFOm6mVB+nZIIHLvFcMqYdqmx2l/flaCU7LcAGf4CPttMGPv63 fl2mZ40G9TKr8OtICBuejs6ab1QJ6u0l3UbviKJitFftDEvZe5nQFuNRU1JR8xf4Ga/cjCgq1hkz ujB+PX9VbnKDYxApQaseY0scgQDcoaJ4AbNV7/nrWSM9jsrTgsHw2qSXA5dUMh7/a9EwzENqBgSF T04UFoOHZGHxyrKzGlR1QOhX21Z3yi4XoHS5n6KweHntd6UzeMGvwkYEVAFYpcABhJwgaiqhSQhw jh82NIzCN0R8KSJmh1Chw1GhIwPW45zwiaf/ApYUpeEo3d/yzQjhxPDTbLs7KbzfnCFVd74Yk56b PIkjOHFh0KK4UYOvHFi+79YldGhHTRVBBk6VKMPWK2xDoPUIhScon4WL7gzcUxZjquZXF6YmjIov lUxBcQYl6M5MVCdGpRJ0qeTgieY0zQYFfYEnGmePyyaqE7NtD7Efl/7hb8M7qqK+UrinoBcrDZeN KAzNL9TrD10eqDJ8w2+xDZXFfRDysVKvDGfr2ng6Om/O/c2DChNNroDmJgyJuaUmjRfpsJyUe0OZ pnmmiXKU5iYMiVUB3s/gW6rZ/ytAGhCMVZqcvBmq5wni0QVab4IfRxgSS55ujH0GbikARLlLmp1m eDrIwi+VjMUMZK2UitXchDExKteL/yFaUouSHoyJZfUObTpw/plpjrhavC5yT4PvKMsqExiOFttM nL7sYZZJWUzmMvPK79MOE18RlLaobtOSjp3kymuoskoJz8LHjKejEsVtvlyZm3bJqzMsFwPLMRaV bKi8Rq0fVFaSS9RBHbXJ3H3G0mu6osYdHP/IprqoHaA1FilEUiMXmpy0Vj51v673jPJwRjfErtSL XRmjdxlhwskHTweTcyWAsup+rOH41ERBMRpDBcoZjp2A1BD+qQ1w4mC7OCq9s7FwZsiz297gLWfw qUnYV1n6HK2XKlVIeMfbFQV452P7igAL3xBsKiGKmxowzRswHQL+AltlC4pniCju/8Bm8aO327Sb of4EXnPLCAXQJHZCWNraLgI5O+RAeU3NkGdX1HuR3tjOl5+J227u7Ilmm0VsKaxVGI4h4vRkalFQ dYblYgmFUpJFA/eUnTwC3ZQ++XQwN2N+JVPEB7QLKZ5RVEzC+pzL+ZmrVWcvLAocU38CH6O5CavF Kok6SDTtm2dCflfidDnvBbliohYSG/SOD/B1g/sG3xCV0qn0SHSGBp03liLzMLUDv3xmVC1ms1pz w5MXuR8LQZqcKDKuYAzYoeA2VeDI6TRu7Ioi02wD5S+eN1FkPKoWzrrDwdyAXQpUrJ03A5EJpfjZ ogRhAU3eq1CX9Z6RyIKUgOodVNra+AacN2hPzSgwbrdCIdsUoLZue2qG9WIAS1otbtIAkTAVthYM 3WnhBd68z00Enji3uOCh+wFPLDs3LcIGAhIlddk0VbhK5T3Vrz8Z1dx6z+Aslq7xZpeKA89iNIiq RBbGwNNR9Je+NhX1FQD99LmJ8BODZiSsRQklkJLrT9TqHsqVpHFEODOqF0s8oS8dOOscOAOB2k7i dli2lqcfxlSTgIJfepQN2dacUWScpkpaq5/htGCS+3uMhqcjBMWJ/tiCwW8s4UfOTUizo4F7WqfP UFZ1OPrwEtfESeFzE6qyMcIZ8p4c13sSFro2s7mrH46wm9VBLtPE3t1hkrE+hS8IulNEoxcYQfrA qRm2NbqwWptPB1NzufLqTrnvnE9NBKEg+NiBE8g11/G9reDiki6Vy/E9mVFgLEWXQec6DQc4C4gW DE4snQL7jjXlTsIDsd7pITGwsDWjwJhd59oozqJBRYqxt0I3O9bx9F/AG6YzxIauG9+ELLtOrFb3 ZdOkk2Rzk7JDKwYMUBQYzz/0J3YfZ/BiMT55okpvc2OhfleinmjbjnuVbPD9Fd+E2mxs3S05lq7j WEp+bRPgOhc8HYV+Z0vpTlr7Oy5eUVxMEzo0SjyjKu7UZT/uqeAADKE9tSIYhfenKEiiwReOpclj VXeEQXFedgyZwSnKKBdGMdiCWWG1mNWJwmNUgxBbluAqo7LzEU9HPLuDKmaggyvjQWytsFqMAGfA 7dnVmp3g2yXqhBrFpvkl7qkVwijEnysKjMsJjAfWiIWWRKMnfKLsUKCOl4EuKunp3dEvcMXSbEwz u+Cz/aDZNrChMijbFU//pSHF8gmxlw/GZIXKxACAT+I0CKTQlABUTCNQAil69lR8hUAKj2yEp9iM NRt21dzbPpEtGKhaNzLOKn3pkV52upSWo03c8A1RdYs2J4lZAweVKdaWOge0u/D0/6LBy5pzvyWc FZLtkGZ2FqqJTWrF1YAsnchMxW21NwdcrxBVLJ4Yzaw0aFPZnQQ0eidXHp4D8hHc5LJAlyHl56aq es/fxDkGUSoatHBSUtPJTvqNp/86cZhswhTrdu5WLFBMQlBRFxx6UUkGXStlp2h2Ntq4p0KqnbDo bFprOEVRy3chruAJFU0PFhnL1MCvX5Rn6mSuCEdBgQsQENMZNDUgLnFq7H3x9N9dhvzD6/vCIFcU FiNLtAN4H4nimsaBJrlg/CyZjDK7w1eoPqG7mf0xDQdTPPBvleqqKbGsaDfopMKC3cNtPqqzDItX BKNwiElX4a8f57JdkzQLLNQeeDqCUdypYeEPYKN8oUkriotB7LR4r6reZ+fmcBFRnagIcIBL9+Mm jIt5T40sSFu+BA9os9rT9pm7pGa5PbGkFadMQcZXHmeuza7mCuUnjsDW1OBCEgX4drYcbOrxdHBP XTF00cF5rp+q6IriYhquT2YOwlzTtb7BrAgdR8pPQMxdeypEFssReehEHifXHCOlib8aeyqlZImh TF9Qi0DVlR5IbgrIavqKAmPlDL0ITVFcD9n+5rYkkmn3BZ4OjuJ9als4dgiFZHyluQnZdignVYLt sG6g+OTyE6OIHD5aRtTBdfM7iILUMx88+Cu2VEgxAj0IbFMKiPK837a6UJY52CRSWFeELh5CTyxp ZS5n489ELXXOzap4OuiGj/113lDYddxlE8XFkKeGpu0xM+muxwFWmLu9jHE0rlesWaypkYJCvvqh 0CJB5abzvIFvB83uIAFewSMFy95vcPr34huC8Eacqarz5oCfBvavIGkbR3EIotjlO7xBcas8mPQd xcWDRia3szm702A6pf0cRDFdL36HbLstnRvJlpQjWzKBoMjkmYDCKjQIAUrou/NofCaH9eIdxcVi Ihaq+GjguumLjEY0Kyvf7y+Oh64TnMcXC7mjuBjcqjJncUkXSxCEprWLKnseDnUHn5tYf0LuC8K0 jYtp68SpNLoMWIjWMovtRYIgti/A3/SLiiop+IagfjOULKR5Bu2psaYKxq3x/SLRum9iUMbOpsqa 5ibEFzP0E0EKvS26SuC8WctJZpYi7jM3YVisdZPVGM/92VSQbU10SEzU0sDCasj2yWTj1JRHk3dH UXElBnLJJpGDaunVcpyhSHfj6UgJ6OoVU5xhCfjtUxNz7TqVMZ3mAcEIoSgKDd8kQbEclb5DFEWS AKSI8/Upidp8wHasEZU+p9DFDVKBwNb+NJqTiQLDGsWOomJBUgrFPDUo1bSPlLxGTxtPR7qztwVD nxfSM591E+KLM7PvUR1i0vKZnOp29ZbI4KM5OTHZjrkmC0YavNFg0Y2dxtS7QdOXiqaQjgYQwiZ/ XmMyYtk/OxahkC4H7UfLOdA6iBjUHbLftOLpCCtwGuISxKTn8jM3oSzbYt2vH239VI4mbz1nscX8 Dr/ZIZCiixgkzl27MkkZ4jDYRBBXtY+p8pSEPQNU38ZDZqDl1g7lijPFtagIoUHlG/AmXWhh4elI eOxiBcjRTPM7vNkRjAIQAxj+ec7At+ZRDCFg1bbmoB0gpiaCUchndDCa0+DplC2aAn9IlEQLGkui COG9IP1FpcMlwvz294w4movcIKZTHIQx2a1zm1gUsvB0pFf8T6aJMK30e02FKhTIsBsVL7Cl2HCQ h8cFUnS2uzk3MZDCz5t5hgMWqECJ0lQqzToFvW58VfRhaIbDhUMuHt8zCP0ye3eMATR4TVS28LR+ w9NByvCEfswdpLd5F87vBWN+max83eqk116qH8brOI3uOC7mimmqjbYrX1KAFFwyskuEshFOUMC2 Al+iX6mkvadeMzpvCNxq/Q46iwGLlgb44tPBFb6fvqal4VgP4yod7lCvGHREuABo3ax67qlBeT0q HaaDn90RkIIgDmCJ6xn8nsJ9vlCZRXScqpdOyQxBgtRAOz1+FU3vGYR+2eHo+Qy6w3ulAhY7P3g6 Kon+AxWAwNatiOYUwihgbjhJ1Bdin3ATwiigSAO+fCls3XV9TJQ08PXKvIOfxSMT1LMt9qMEPdcN pUIJ3kM7+SBweIkzFH3PbqQ/cYAU2J3MxDPu0c5FlCefjwBKV+uapDRQ88vlwlBC4f17gb1rKBKB v1AqANGYIZCp8L8BvoX4SWrXKY6OPZ9aZ/CdtSD4BNjgLmAfNGamGepshZI66PIcqEna/qoRaIAs aKWeHDyx2tStBQCk8fEoedj/zhBTkGcNhZgKqMhNxYGQoxjdXUYnuRtIH+wPPi70KQQau5uHcvJ+ cnIge+w+H5PUTVtbi7JcsG2WygvZDKoB0qqOXxEhlci+K7mfQfC/wbI9ESeNjwfhzj9ioi1zm13n ihRVj+mXg5dTXr7zKXWBvum4irWPO0MK3e1kmNMd0FXOCmqwK9s4iqF6nbvc2IFV+CHkd+aLclPf AV8RFUkluCUNxDGPxl+Dgj/nJzU+/r9wotGxL/1Wu3KKQuUEdZW5rhDOOizFxsMd89Pw0X4GRTXk IXGBsvsZtH4QDdr90iUoD6cu/Hd0vIH8DiK6k0jstvxNg94Do57KvFqDAARtJd3sG2r7KURX1C9o LcCj9ku1L3uPFFaS8a6N+G0WvVicsXmBZix6wAMQUpypclwKS8kq7cx1B7/CLPJvgBaB5wBRRO2w jB7qso0xST9T1YvXu2xSX2M04k765g7jIKDkbvQe/gFm+yOf59fHb++KNUGiYK54unoY76F6oWek N/amxBC5wyjPACcLqp9oh0VhM7lGa6hAIV1SnUBpAzOWaXvX9iBzcNWy2QuCF/i1sqBrykdFhvct Imq0VLD30X2xoDuJcjh3/yjAea8qXgyKjlFASdd1bEihVgUOA7vJ/Qia/DJpTU0XpqU2o1t2xV4f fvbsM/gEWXpbPa/YaJWzFASfJySQ7NX4EUSint40ogL/y+nMzl+oCtsgxdv4eCThdqqDpByh20e+ u89PFD0TRwv7YZ8fviXnZ5FF3hFntHXmJ5KsqFLxSFLDTkcNe2XUOeHdAL2pBCM4GmoTuULDxnWV wt2wNsWyxgR2VbUlaj+OkqCqqz7YJh8PNtiFIvO2woeNS7OS1HBYWm6Co+A3JcaaIvNj9KNccTJ2 ChcHJThdYfJ869fzrVkyaidQo+pzs1uGuVdleQ6NO8JtVSLENaE3DYo9jJ4H3ds0cH4a7kMuicEo Maous8R4gQUw9qG3ss9PaImHZoCl7aN5arqOSg6EQ128Ip9qTw488QAtyALqlDM4EW0WkkNQ7rGE DoUx5KaURkEgi7zoMO11AAWmeFBzY9VdMMFy/Kl6rSkJiQ5Z7By64j3uvsQWoNf9FJhz6IrXoPHn rVAcmqu7uIdIhaCibepra34i6IXrKzkFlmg/zU+3XbU3fd8sgdypi3POUBbiNuWW3ynKxK8ImhMS K1skQ7h1OP273PsYfTk+HmmPfqO9KqGKTwgd2OLJfdyO/lK8IraOLR4VSpiiAiNw5idUOqZt2aia pnpLYsNWP2gW5BbBy9KVWZsqonCz+/+MfVeSLDmS5H+cJaUFnOz9D7amqgZ4vG63zJmPQXVJeYYH AsSIkguhVIgYGuOJctUG2XptHLYeAmFCS2Dnxcf/aogy1sHV9TSLc2CNB+kylsWq31+9HQps7uug murR2MyhN950BxC30q03CSuoaqAhCd9fxJ2C++OcAuSCPAiHNbFdnANzvKOWCOjuGVRQtXNb5KtU Mh+PLvibpXKtEB/TnwUUa7vB+4NGMyQZ0SOVbYrhFxjMtY89XuCPd5U267rDwScPnEEJ1HtoxFVK glDOiVx7/D7XsiD7mwYN9cnuDX96DaoAwXealdKNPDp0yKMM+c0xWNud9eZggUOeDNzQofByPHUB k1rqWao6FiGOu8FiMAYX0JTrxbymVfbf1syjGO30IWV9FIUqwGlQeLo4XEKB+RG/UdRqVY5RPccA zKALSGsBDR+PWjlP3Vl9rv0zv2xLQ/4e2rVw8PiRUmseDqoc0pmEcCLhE1pAMSBDQB5pN9Sj3WBJ PJp/CLChFdNcAS/DclllDjR+zgyRGpFDr7wpdWjGdRrUBoQcrPw2y+bjfx3R4soj0hzlJmGBXZ4U cMv0KBD/tJ3+SZV+0Gogen3KHIFfHtwdlKamO3gLGRb1O9H3bLW1SWhagP+BoIqz59zxhf0HfkS0 hBgDsVWmQXcYzRt/SMjS45H4xzeYG3LNhYRxn5+wAg0sRC3ud1vqdMHNtuQvDdrRTg53yoFn3oMg rHdw2MoEFSvRTxA7bVJSRxQJiPdAK/bUyep500henIXWxGoHByVhoAHw282V+XiUY5z54WbC5urr 2WG/wpahHiDbvKVoCGUyqheSerR3Ousnxmfc+sa/ZQ7Y1reGliD8BPtgz5BS3SQblS81Jvkmh8Z5 hfYg2QXqjxFoHx0kRHy7Xgsfj3KMWyZrp9IKh2KfoNA7D+Ez/HW91T6p+y7ZocPNqvtAl3NonreG N9nXGRyfi4ydIsBQ0l6W0DOIRlO1oELd2lNnLf6iQRLP+lgZUhkfzRWR7dySdoTNU+bjf2nA8TZH y388jpShfR7yO0j2F9H6ZnOkJSziumtd1OnStjn0zxN8GTXVM3gMZIGYxW7IURFxIGNiDgYUGnpu uKm9CMScmB/x2xVW6NytgQc0SI+M8Fouk49HdNmrINP9BpNemU9QqHex6Us5RAQtdXGqOrX5Kvn5 eAPnBeTARA/rJ6uJUc7gSWpO9MkGY7ZBiH7zCpsQEsM0DqrUq0FYk79ppD+ElTMr8XMcBPKxs091 xDoaH4+C6G+hPDS2Sr7KVTlw0YOUK1UvskvldSpIYX9V+XEvwNNWOfsrhDFL7MyhCX1cTAIi+YWW 2kY1sSrLhxQRTHPsY+b1DKGzFj8iaL1L84LVQAxLSUa1xZTkxokkNTTSy/VqjrODihj6wudy6KQH mHFRj5aQjbWE0oVViRJXNFLm8SaPscxMwhBr+uC9MLjNEDa3bXftpCAbKF5UgomWvOuH2l459NIj 36LCZv4MzkjalIaw+U6Zjwcb7EEzYxPIkKE9ExTaTBfVxrJXyeRYRNzGToevdWRTcuinJwOi7h5N /SIME5aNqmTwsV+lCrSLfUaLZnIE2vcJHQbRrAI1Cqpr0ASlWYS6zUuPBwuojBsBZVl4flnbRyE0 7jiAR93GaTDdJy+JfhT/oWvdOH2ewFLv0gRWu8Pp84BDgfayRVHFVjX7HZ2aBLhs+hXc2Wv6i0YA BSanjThMDkK2WPTJvqRtuMHHowD6m3kNn+Hcnxw+NNUbmUyBPL1GduwpkaK6KU9DE9FXTyyYLH0q 8Yv75RdbOt8kDGLvh7Of/HUILP2QGwCLIU3PIMowh656QyrSDOE1sB26dq+s6NraqXw8wu5+Z6iW NMynyxy66oHDBmee41gkGVPANwphmYRv5JOfBrZ6x0VkaEePdjm09rta1EFccxorte4e7zYpqDjM fDFRKv+ErnqEzdTMyrUGdVDt+BB7xk45Ph6dPLd/wTCHJJ75hIZR7Ew7iEWjMUE49oVw+HEN5bK7 dsLYWaq/01XG59ladj91tFEXXU7t4uLdBtox+k+DslKAprHF3P1NI9w3Ad9K39tN30vfQ7Fza4OP /8Wm4P0LG+3cnwJ9aK8HTrzFzlwhhV7unr63KbTYD+zdT/0n9tcTCrO4w9PdXA2KMzT63hlKBg4c U5cGzZgrMiN4cw799XhcVLkganCQS5ri/aUx+fhfsbMbOcE66Z49ocPehoYMA0LeXHW6d0Zb2RHO g4wKrZ/AYi//v0Vl5KmLicPBANm0bICZ7eay1cMa1xqwWqAW8rpSKtRr5CcEHXi1d3i2a1D1pyVJ tyDy5OMRIPOKqYgoyN/n3uyx0R5eaCVnQcK4UiTjPrObdINvdPpfodOeX+lNRnrthIZ2EIE+vkiN tOB1say5CmC0FBpCd/9gpFT8Cb32pptzKwUrDlGgDBIjdVDH+XiQu6c7QQyU2ChY926P7fa4v8ae PkGyaKQ2RvIOc8+3PPaL356sXhX6rae+2jaahJ2xc0pN6MS8qfNMVO04DbDZu79pEBtSMqQOco04 cIJApCfb3H7qzsejDnz/Cn1kRPjEPrHjHuura3v5cM7i3iJ9u3cuNLxO7h5Y7mF+HJpQz+DFn93A 1FoUGxzwEWaDGV7rpfAdwRdx0hItwnLgu+cuX7a3Sj2Dd3hSkmmuzSAfj0Au5wrDciMKqV6pgxxY 70lGETWx7gYjLANCI2Ovpn8aPF+VvYfeeyQxWJK17nDQq3a6D9TBAOEArJUQDmg3QM/vh0ZSkkhD E0RvGt1gFGKcVP/nwPkhbYxMyY3qRuC+5wIkd34WAITP+RyFzqi/lin1r8bahiPCe/V/NxsKtb58 IgBHEwxxSp6HhBYun10sdLZN1iUFkZS72p9utPwDoeBqXak2FtrvydOo5nYHzk4pRYB5S3sHH4/U IP7RuOfNnm7tJzDgw/ZiV9C1QyxJde5Jr8ePZVIi3Ocnxm9IRC7NM/j1DtZbRWcC50vKcl2uVFdl Ev7QJcl/4UcEu0upaSJLm4PO5wn5PuUWnY8Hu+sCXNQExtpBxeJMUFh8Zpm80CUMWCBmCbIcgVEF ARy41IousCh+nkImrNLPcCYInBZ4ZAGg0Cwpk547TmFqucMnRLUN+svyI36VEF6lnUHF5zmKivMF DebQh+9fDGKm03a+GOgcOvEBXmLBAzRmkZxSloCGamvbvdlx/nRoSlMULIdWfF1VsSrF3HqYBdBk ROQ2xEa2BJMd5gwdI4sPgdUFqNtDxF38VYMDaPvJ08/AJdSK7CdwQE8+HsRA1+JyKt4G++5G0KEd 36A5C4XjkWJ0gooJ4aDiFiEcNR0IR+DHJxkF4J8kSrMPStMi5pmaBUJsXyTbRZLWgJMD+EnAop70 9L7obxlGWaLsrEPZgbwLHeNsUS0+HuntnfSUESJsTe/2Cu34EJUVu5yHswv4qcRviNdE/MZOZ3Ii ELS7HOmY5qDtZTupgF+L+LmB10ENsTaVbGZ09E7+Rcg+PyKAaOqZ7TCyfQhN0gpMlMPj48HtdTHQ InvNH8YJPj1R+IxwzX6JeaygpKEG+EbNzjCoLBfz9Akc+U70M2q+g6ZnEhACdIhFP7anpmSgUMln O3I8l9f29/wN/FPz0IAomaLFG2UrMv9SWXw+Us+4nQtqFyKanQ88KnDlg38slg9N6alEzQYdrq9M qyHurUKRiKQ/E1XGpJzRyhn8dG72ByuwC3CEglyeJHsKXUVsdua9vZRdBLZ8p7NjQd04g9B1FtoL m2KRKB+P9IxuYZWNaMFNbvgTOPOBhVHoOSe+th3TVbYkqBILiGgXWj7ZRWzNJ8cNqQ5y8PTLbsaa iRBP5Jy6RixOgo4MaF+6oFQ0cujNN9kaLBQG0uDwsZ1k6Wg/BR+PSBjfTmsZpcuWn/mJCYOLFrvL wx9J6DK7GCf8AUfG5yfGPy8eKwx/OHjhOSH0RyJK9AaQmbi8INBWgKYf9QqwDPoD5NCijxKUuMLH GbiALIxdVRk5aoehR99jd85CI/1NHnhvYNKHu4tiGvNmp55z2b23Hb7aMyvPSC4Cl758zp8k+GG6 8MNmu9Ru8YbstLbaWDheoMKQy/YDTNSBR5FTmQOjPs+/a6W3lAZvvc+q+oYd83w8Qv/cDca7iu45 dT4VjtCtb1KH73i02M9KNF1D72LQV8ICDJFUeERH6A0lnmM+g6ZoW/CEhvWgqc3MlLG3zI721Qh9 WJ1Xd5l2uzlw7EPzi/yvJZDCcqZQB4pvOzph8/G/4C3qBPI2zlf/6fMa/1QBi4GQGgcCfUQAZvN1 hQ6EE91fo58KPV2cvHO2OxwA9EIBlE4KzaapFwEVEQ+Q+YmC6HSAJqLD1+CnApsioTmpazQvoMAW cbPSYf8KD79MTYX0pxrL80fUZlByWXxmuyScF4E+h4uxkGnP1LTg1Abspy7+E0KfYF6o4LJmV0G5 51NXXThQ7Byu8hdLrGWuTmteRJLQRfN5cWD467xYUNgk9r7qGU7Da3GjwEL88xr0VIQF3edFDXfc WChwaWJeg54qIBOQ4MMvdSoG0DIViqW0l5iMYnHovIY89YA1poxB5zUGtXnpkLyAgI/9RuCmqOsD szF4HoFj44rv6Ld9XiOeigOjsMPAwIeDNlOaZShYTu3zGu5gUt3zfP7IygZVHuSnnJbXWAfrZVCY errQyNgnj6iTDS+YX9Lyinbwr9Ny9PemHI44aFrsPEiDaSdMfqD+zkSVFBDa9D04QwKHP6+BTj0a LHapKRkFnNXjv0kJfVTk8+c1zuF3zD4x5B+AETbvxLwGObXQ3g+hn/f/hkya0eKC/K9aXHLTgmt1 sF6GnAEIQ9fgAEwLQyfqPJZNWR69COGE+zBFYqfY286wwBH3eQ1wqgSigZEWh/JwpGjHyo3UukXI r+ENHvb4b5KfzMIk6yGcl9fgpkLxZbLIux32xCK0VFCLuhSg4Hry8Bra1ETwHfLNdgcdMB0+cfYS WDDTMvM21FMHjAoF/Y0cgPdSSTSSfQ1s7C0XseKEGvmgyNiWtfACpe/Pa1iD9VLX2Ui8fuSY0n1i XqOaKt2OQtSy41W6Sy7n5CBDYLpcj/o1pqnHi0SwOw2+k6b9vYk+qMU0SKrE/WJ8ixb+vB2twXrp a0BTD9Jg93EHVZM3lBB4y8D1KJiX4mh4HTDQN8jPPnqNZHDuwtSxdWIv0FHvrvXZdnM6+xjUe6Ta cnTukmmyCgt9qxyqG2vgYrax4rWKd9txHoEPOh5ROZBdPq9BDNcjEwQ6b2tQnximADLPWPnzGsLw 5c420t2FQ45a1JyX1xIg9hGqlYPhg1yHfV4sB+/HdZioQYo0BgdvYmo9O202OPh9hPJxQgN6W9Cy SqcdByyhfmiikMt64Keo6X1e63+Yma0Cab6DDhj7RbvDucbntfqH4y+le8Cg1kx3wO0T81r6q2q5 WnZw/MN0amJiJvXd2Dynoxn1D6IIhp+l6EeDwy4sKEwZ+tT2w0Jqr6l0vBg1YYuny02iStPnteyH qWdhtKvA3nM9sO62chd1dODh6EY6E5MFWpaz0IntoqCX7nhTJroMesc5e2lsz8TSrVLBa4suJdL5 JvVaNfiiQaVlkTcK608YFeGtENJXgI+wq640hJjr0aIZil942HDgKTMTnF64aBqfDvbT+j5msBx4 72tqorgX5jtwx/OWcC3NhfZWz9MZJfl29MLAl4ViOv/44CUtpBWUtqUgjctbVoL+N25RQgBU1eKE ft4ZW/V2ZBbFDzh4SrlTlR1ABpo7in3zCWW0DRNxbWdyotiX1n2LkiXEo4ycfEvV4WdNJwdEcJ1g cqQ9PQkF03Amx9I7JAbMCgA5JUAPFwpDYigPnGCm+nu+z02XegxZGRq0bnLqDBEsVdifd7IWvuVz PUnxCAj2JwJ+Z2thToFiR9lJBw7C1DM7S7fWFMREzZjgKBZGCaSIM/iusumxi3NktRqGqqESBkHR nhW/4wDv7xkkTSzU9cWYhoOSyUIuIpKmhUZDeOQUnx1cJlCmLRS30Ny8R8Go8WK98MKlAUk7BiQE XEssA9/AS1nh3DCc2ZqbfecGnDX7/51EY6o18kgCKYNK7uz/DO2qqTJEdOKwS94rhXo4KN6zHFpl qIUueRQI5zK/7qnMc0JGEpycKBTubFKJLkLqeEtOIVmugwXS3qFIvMfCBwQ3G5sIHDQ5qBa2LpBF gQQLwRiDHDJQeNrjIyECSRQLU4jBAkhql8+rXW4zL8SC5ZT1887QYpxys23aQRFoOZ+VE4bDVIXV mdPJ0DoyIi0n75Ej0FeRL4qHhy4pGZnPIxGNhBuu5MCZ2OTY0Ipq15gcKJkD0qdCxOxDr/k+Oe14 8uUz6DjOW9QhGGx/3slZWDj9Zgoi15CBec/jKCZexAdK7AH3eO/OD22UZaG6ZznSp+/UrHp8xGaj mjAHj3EsmEe1kugcyFALnsJdhe5Zf/QfBhVz35lZWJokP3Zq1mlQ+Xxwi2KW+/y8E7PO+ynt/iFc lAfjmZv3uPjINKKYTeTJYCYH8fIqoRWo7cHESesmCozlITEp7KHBC58VnqBMGCA8WSizBO46a5A4 E2/hk/T4zzsrC+cppTEa9BB80ImTamWQYvOVP++kLHzLdBbO41qIpEVzE4XGWCcATsgYtbTdutew WpaiOVjm08WdwtiYfZfFprAGP42RMrFBtmmq2ZWdZ/VnwEwYV1dl+ntGc9OUXqYzCLFtmch0TM76 vBOy6sOHUPzHXK7c7PudjoW5gciLe4GCc83EjZxrliLJub50rHc2lt93WDC1ncEP44Q8E+xGu8Vx JrjVBNWfsATmTRtQP+cnvN9UW6nmkpPEOqcxqs9UdbVjK3/eyViMAdr3aYx2dqGFtSYnio6hIgrt ue5VPspDUt5zOEh57FGcCvHOxeLy4+S46+UX3BaEogxAEQLAVST91OQiYdu3p5ts0gv2807FYggn GCC9azg4XKkXHm/V/ubnnYnlpSmfHMykxGVPUvXOw6pq8pVJ4zi2Wnpzt4S2t3SLYMrsmnLvNCy8 uevt6UzOV/rUtiwycfqc2327SOSybYCTQdjHeY1SJYbxTsO6WVWv4oHWfXZVsZUjHkRtn3cWFl5w jFvU4vkCyAcVQzQ9UXSMk6X0PoXgKkiE1MzMfScva0GzUXXzKDomaswiGBaKOXB6drLVuxfMmDE9 9k+uPIxgGlB/SnwKaEJRuM87Caseh5ZFWRcNmp3mlrIlzfV552DxxBpf5zEFFdh+1dxE0fGijkpq R+chefEPJuXCblvO2x3n/87A4pnDpUPckQa/q5LFzR10MnShes5TMBNcg8jg5njcJEhxfCdgVYfx VZiyn0HZI6xpm/Lx8nnnX+EuvX0FbiEcjXTA09yEdeLEzGHeMk52Z5+W/BhCicprFe/kq3oAXLPk eQYv/A1LNNtOzKoyCwvKOcFEsP8Kpa/rzbz0nkGMk1RBl8LMTMeBDtRwaTZg3UTRcUo351QLHJtq 3Ml5D47RpUO9vTprBlBSn5wl7jAT8iPT/U69es7jrabUvhLmiLpXmswcUFJv7OPxlKiok6yv1IFB zjv1iv0u5prk7mrgeQwsqrDVtmE/78wrfMtcvi5yrj5YmfvcRMHxpLMPhVmZcpa0nVe9XfLdkiQq gGJu3oNjAKsY5FBiRIMWzrbza9F5D0ayFiZKq5oICCA362OyK+zWO+8KczPV8aavY1/H17Gn3Zaw JZib9+AY98X3gUO5TZHOOTdh0ThT3cCN50BT317lmk6BGJ3WjzyM34Nj1HRVquDy0YrT3KxcgS3B 3CyGyeLM0jl68I1uOZ1dqXfela9vm5SuUkW/9nxU8eGBk/l+wbqZ8zsAlETNDQCj4Jhc4N2Kxziu 6wnLhOy15DkkSoR18x4cA1TCdYOepQ/esasTNJNOr1S7VTYlQwYDQFA5wIg7hzHCsM875YrrhmRP V0rO46Tjx7ga8qyfd8ZVFSv6lHGQS/UfKQ6yxxvFxgj8sKUcsDXzoTsIrkq6wyQowJbNO9vqnsWL gDcNmpoBajaE7nBPWSw4pSc3ipRhEO7cCAekl88726q6nzq6feMMnJpsky3+i917n3eyFe/RUxuV kZhNTT8n8TvVqopeYZdUEfe1ALbrWK3GJiauAqYWnJoYMIETdicuCg4+NRsaxbi2LOHbtctoY6Eh XVkFBaPnGmW906zuvLRNDC0HHsMt0caLEjJ8uegY/sYFUGo5n7DvnWJVnWLViyMfS6+HoZfUEoe1 88p+Cr8zrOoxAl3yQOWgeWnQXSI6AUgRxCG8yajnhIyhXIFu6mt83vlV2A6NmDNU2XzgioH+vXxA bWo/7/Sq6m7jdzMRvU8BEk1NFBFToHtoN1HI050BLLLJjgzIhAohYXjnVnG1Jq4VRjYcPCK2OBUc +U70mq0lUmhsZlAT3VRYvuqd1G14p1YxXxBoQr7VCyg+giYsa50shs+Uy+edWlWlL/CVTdFzId18 4Z1a5b8IskoHps/qKmidfvaOm2AFo/OvBPkCG747sT3HQQsHsmR2xuOKQr+XhVYkFBaGWZ718/iA Nn/JqFxMXN9/KeN27FfhHguWTRwQ9++Dptnxuc/V/c6ocuiQHQY5O26ijuK9uzp0UUHG82yoqFis JGEl1ic4eD0Ueq+gh4ISXHcvUv6q9CqgHFy5/anl7xn0YIhIb+r7ctDVDZI3v1u3XOGdToVfP6+v s4Ylx3LzqHc+1cEI6HD8j7LNqhQcigJMwYFQ8hT8nU5VD1txepvqsUjd3U6GuuiuVhZEy0VnxBRk cIQJKtE53KreMwhr5I1KPUINPIdtk07WpSz0qp93MhVXwAn5pGqFn+b27t65VNXB+k33NPATIysw bohfHT9Bvz+um7BWvNVdYN8SAqbY/sij7KQBppnlCTsiiK+GMwuhx0CDticBJ1PxnUp1auG221XC qc7khNGUaK/F/uXnnUl1IsZ7FNMeIq/T9X3nUbF6zwM4e5LZ15H4IFqcMZ9FVQ4ueadRnRRwrSnQ zbygmwSKUMc6gVQ5EN1VRb9G+Du+26lrscf9eWdR8Sgm0FH+I+36jzRLo3hlVTscP+8kKrZS5leu AIBPpp6E5iaKh4ns2xQpoERe246y7n1K8wMkaW/dvTOo8OZCsG1BQfeBglpSWS3TaPBkxiaqLErb Z5C5nqFddPU9yM7+vPOnmNOwyTB4W3FQW7PyLmbjYH/e6VN8v+9cAeXlTAUWYfvCYrGo3ISAVpea zi4wXcllmJkcVIL74mIxYbJVc1PP3IwNoGMBHB65gm0FASnQEK9IwgE08Su8E139Tp7C5mc1y/K1 rUHKQhNmpYRrAXfyeedOETX5nWPKrzqddfNOnmJmOkn43RLuatjXpN4NIH4APQf7z/IURMTv3KmL 8JsUtdLgF7j9MyoJOHbbsptcvjWUlAYMDIfqwd/g1v+8U6ee8IaoIg06jNd2ZU5bTJ935hTrA+3r vKHyTruNu3fmFNYqQMR2QCTl34uRgzM7ZNAHg5Wqi+qdOFUP73cWNaeOrwMz8QK2IJsMYIgwT2+o MRXUJBaJHdLEQ4rxeWdO1SNbUYmj18DahG3ULcmcPtbnnTdVH1FOTxjsOzLS19S8R8UuR7VG6p5j EpIK+KNrVthxM67e2ztnqh6nyyk7x3ntHKH9gtoo7I6wWy2P5AWlvGsA/3jvcJZ7P++cKZaXdM4w O+2jHKdLO9/Zp7KJLp93yhSf3t93uIi3F0oc1okn9WBcu8N+29Ju/q2lBL6x96be+VJVorBIvGkz wMH7vRCtr9CChMt5nm73CE3dzBIOsinlmYPtl3e6VHUfTzvX2zgDPqFtgBPZ/Lft+3lnS/2Dv8Ea 4ZJp626pqE4M6KVdrqf9Usco3tPkOU6bLG0prJswLiaUblGdUIOXbSwRqaNR6n+AIr2FCxzsW/3M 6wOV/SUjXD47NYRqaDgklyWRAdv/n3eaFFOic0cxyKNVYT5B8TtLyis9Za51NID3FdnexBNbItXq wUG+k6TuhrLbopzBEwYwGKn5v3FCzKomBGXQiiuMnKCYAlTvHKkDJ6yNwZEGYWd376p/78Kngzuq fZ81QH1mKohobqKgmIL6U7JKbC54CwYmDy5AXlgW59yEQTFp8Utsl3Xa1AuqrRBllX0YaNx0f5+D Yl343Z6jpustg+JEknCrGFLpOGShJsSozxKd9XlnRuE75n/b4EilboW4RRGxHLJqP6uGPEfMjLdd ACu+xrEtxBVXAa1VKC77VM8rbXYA/QIxzGaH+22wUYqZnw+ULektg7s7OcKvnYEHjZ0F3b0GV8XT QczXvwGidmZRok/zEkbDaBx2SEvLqZDa5LSEyG6Wal+seselRdXhVLUSKBvN4cDz7dDdNckxA2qA pLaq/jig5nCbvEAY8D2D64np0+a20KCTZgsuBEYmn4464PsrqoECYSYgUYyOMBoGimDkpVOl9LEP XV5pJ5UkCcjp/CsRFp21mqqCVr2tuo3rjrO8SwOcxI9gHDjg7+J/H8gNC1o9ZtMx1CPIX4Mq51Dj 5ncrveLpiL1w25hD4SH4UWdD9SgcRvcTUnfVq33VE8ueKXDOyVndhRZ6BJ0YyzdUOoNjbhAQ2zmJ hWOZ+Pb+dyNOyt6TLCkng1d/zyBVKFJgF1X1TH8Dpm26ssTC01E76gDSt6jOkOc9x3APw2Hi0ZdX suyKyum06lgktguvwkSFd3ePisTi8fIM9+Fgbuw7VZhjbDuB027uGospgNPF5BXlHIai94zwSKpp PQNTBTsEJHEINSI8HWBuLh6dLRcGLFT70OSEzDpkvLwD3eMguwB77oRaTztxJoM+EKWigHjqXu79 Dr6rLPIodXfmUbCppk57AxeILmb9Sz87+3sGCyfrqKl3UAkdBhPYKLYoC54OzuJL8WB1EMjr1U/d pr8HxIDnQVKTwQZzTEozQF4KzBUhZwdCwoaAuMfAiSxUaDqDl9B35dGJhVOT5d1OpIfyMAJ+SuB4 nbgkvWfU/2ZNi5E33IsmNdQHxPO4qbqdYng6mJtb02J1bwAnXfKdnDAiJiUTmj2qFJfk6na9HHW7 TDMv7qqQYidUQBI6NF106EZ5AgbVsDaASrSkt0ANggPaD9W5HeVHb4z+HhQnWVTWSuqtBiEgkd/I 637y/YJdNdt34Ef0zs0ye1QpxloudA/MRM6SSIxNBbVZlilOJtX5V36leaR9B2/XJQuJJzbqbjB+ 13FtMQmSS9t6SPVuJ3PpPaPTWA1wnjgcBA6A+JF0t8bE08FpPL+DYl6YpJpqbt6DYiQM7CtQk59F LaJDEfpBp6FS1gXrXZsqAk4IqTUZiWs4aCRoKDSaXtk3ylmnMXwfCk0FcNj4aYx6Lz7h16KWOL0c ODcQZHIc21x4OsrAb1hclHlZ0pguazWCFVMR0U7KpDSz0OYIaaYMOpFmAvvqp3GEnPBsisICGPgG hLFlEhChjsSSuguT4qrAXQqw3an4AUyOT4hiHEImar6DrqrWk7hPvW08HS2c784U2i/7dqZ6GBpL MraqJiFYEis3UBpRC5xCU5XrJioUk7O+ZDii4XSmgIYEww1FrYYQRwU/3IlcTeWZmq73fD9vuqsF UG2dgyfhPesSHqnh6Wjd/FvUAl2itlMpHlFsDA9We2+HEEO1xDsMI3XvMLRVvP0yokqxFCJ3I7uX g+cN+F0p3Eat1al6FsWFgC2Eee8phtLIaUShcZbQROfW4iDg7GSjglWtjKeD0HicCIcXPrIymi5p aqLIuBcBJpo7PFDnHw4PXYVihJDjNBhGWCgW104SdRw8+rOLJK80gOMtyWZJAQ40nQoUakGzuynV 1ntGxVAWKJiMaVBHc4/aZAvXBp6Omr33Ek+MhiACdGkMI0QVU5+tuFimrZ+VvawF6q2iP5SlxAaP KsX0lrPjpQsrwCLcYPS3Ya2x5ECIuMDzUkKege47zRdcZgMfEKAfKcRh4XPRkJVS20JMTG7t6uLr RcWb7wYDBATmraGPEFCMH2rMI45NgoeIz+Ooj0GryXdUFBevJErZFlyLCDPMTF8JsvAVvRc7yWzS JJlrYTgl6h90X0uYmBg8IdGxXs7gCLZa/LCZDU9HCgL7a0MB112YHmtmoqgYVwOoL37WjNIcFVrI t2U3E1gkzUwUFU8nyQumdQ0dYCEM13rMDAohZcl1cCB6BuIOfc8Lma16z0iNgyewqFONBwDXym5V XF9sXTwekaYuX54TScrWnZwoKh7UhmUoL03RJLSAheBT2pCTWoS8pUYUFTOgt5x7lzN4yQ8qNmNN 57CO5a1efBzKvdgZftrQswKfEOSajGQ7JWI06CQee6nVa8E1no7aUt+cKfGCxskYxq9w4l6W88Kl joeMQTJDwKGvvBwWOiI4sYtOUGNIgy8cW0MbinWUm4chuMAniYHBD81yxXwh0nqE2hM4G1qiEbkG bqkB/gIBswszE8bEq/wTEwOTOu+qiQrFQDqUJtdg2O2QgEz0hJwqG9AT3SmaIyoUT9EPp/KFyRJ3 xszgoOqJqhzNUiDpmQjIgpUKtdvDmAesBp/wW2zDpeyDSluWBjt/dW08HbEX0ndsw07Qvb7DiHgR xlZ8atzDAT3w5ox5y4y6i/SOOCLmzUNZBw2eLlQ0YjfxAZByIU9u0WSEqUN/cNab4McRRsRd4n2s iHLghkI7nO46OO3xdIQPWF8RMZdgvqnUiLETND878teWIiyFxGMwyGlM5YZvqLBaLKZ8rXfww2bC kglJy7bDHlJ7U81MHDabocVV4B8560WjbiYNzLunm95imDB1LGKTpYyng8m5wkicCHQXaGLMyZkx eIKaqpMW91hCFC9ezl4VQxPe8bR3n6H2mjChlcQNDg4QaJB0niql20lMfVwXYYVcIc2VT9Wv6z2D PJP0wznFe57OtLNfsEtFHQIpeDqYm9vp5aSivsbfXnMTxcSwkAR4IikmrtQUASV80oT+P/TdKq5b PEOiHd3OFpNADQf9CBTxmuyCW+7dhlR5sVoLmP/1MX6FUyk+IVIvYUyshi8H5VIoHPMGttAOT/9f zpuCpLjdavEMVShQl9nHe6mssbprCWTHiIKs4p3eGRLtmq5uKd/Mozq7IERjCfxiXQsXlWyiNqqw UCKE36/nUouyqjOsFtNIsGwxNLevG1tAY4mZaz8vno50Fr7ZUkQItEtCnFFQzNWxEi0ESV5Y0wlB TG5IXkjd04UZF4sF1mr9DJ5LQXmio8dthzEk5JfQoYhuSOXKz7op/p6/MXv75tbi4EyysqUha9OM pyNFw3uH81JDGW4+B04UF1e30zlmr3QLwGncGYqw6Ncp3YcDJ9Ri0zE81H4a+xZEcQlaXCM5VfuZ eTD1Jrp8/UGT94rUZb1nJLTAgJiNZw0qbLXWiTBu6E/NKCzmHfNsKqRW/TLJZlgsBjO1jQOfaGKv FgqlZ7l48btpbiL4RPfIRkn4vEl4gZxYYhJOCq5gxZ2R/57q1598am69Z3QY46QZgwtnDF84wzaw QMyW+A88/VddS1kYNtVNGWaEoACAxFZ+H4dld2o3vRbPNCGwoQhnRsViKpev2csdtG6oPNZxTcOg 055k47NTSgDrBT5IZ92wrzmjwFh9zbZ6O4MQFBCjYrE4jYanoyR8f6VTLMuWiy6ZIcsuC0HhGsWT qCnKc5R84OiUC+PchLpsRQAB6buUcYs3kMGqCfVQi3SWxXiSmce9Bml9LB0RyTr9YWZUKy6CoVPH VgOnhg40PF8twcfTESLp9BggyubSbHVfyboIRIEUukwV+CZR+86XQqdNfU1KB+s0jkLjkcVNoL7L avmKSdlZvBpgf7Zw2A+XdomoZCgVPDQGVrZmFBo35ZrCo/eDR0eRYqv8Ygc7nv5LZ+GBh95++Axp dqhBtnwWTpuXGMSQQ9btiMkY4cQaFMwhBwV/OGhu4EIFF2VK64NuwkR9DC4wlOzJB99fEU6oz8ZN tRvpmRwcVtwXu0u15IKn/4SYcAfhBn9OnBWFxmD0W3gwXfRmDE837eI4IhRbbRk7jVeEpGCFmzou Z/CbasNTuhCGBKCf1J07cesTHYd8kRSDLZgVIim2fLvKHdTzxa1BDqLNF54OiHbz3FTSR9+sIN3J CevFiF8GfEOlepP2mZw1VbkYex2p2RUiKYZLAc0zaHJ6s9eCnN0PlfuApf2hLDhejkoUT++OroEr rBc3JZwihu/keUOCXCYrOHtXPB1pSf2DFhAW/Zw4K1QnbnRrH9NPnHF2lVt8AElB0zwcxytGUqgH o+oWxX3sUkDCadsqZ5b+LPJfTVdVl5JAhkrCvars0Gv4hKBeLLMTFqY0cOGgHKQQpVS+X5Q3nPhP QEj0Na+i9Qr5do0xN8MZsoOOIlBivEuVhTGcHbRCZLHQs/+DwBm0qmhUoOhAVHahZ+nIweZZfu6q qveMSGWqvrOkzkEnzoAfBtaNXX14+q98UyxNi9X31RCNImNFf6RZM/qr7DJURH/O9rCTE4VTTk1M thPFUKi2clFtaNOlze6UhX6AsrBOwbZFTZbUPlREGTGsCEfRhdxqOm+anzcj5ZyI/bP9mvF0FOE8 HI/8Qyb4BUKuKDAGnK2MxrCGJgyPjMDQCTRLW07sXaH+BC+eMWVye5BDEHC2ZI1gRbtQLH+lgdQC Sc6CDMum2nyUZxkYrwhFsaY0raVDtrxcb4d+lcdJHX3g6Ujzu35nVMSM8gTS5MTibPkalAJQoa6m RX9D6TkaOev4A64wMq5O7mhn8OiPGCvAFuBaDz1w2S9l7nmkt+kEOPwt8AkRgpaRTZHyjdqUSDch E0/dhJQano4I4eN7SxFZeduaKyTcQYBnLnqa4J9YDgDgr0MhqVJ/AqwjZlQrxBZLQl9X9KzXeQGe 7BbWQWQ1Y47V8e0AiRY4MtIJyZ0BWU5fUWicyOsdg9UKDn7cLLXu7KLl+wVH8eWwYk8RDEmrJc1N zLej34tXiks7HjCwGOu8sKA/4b6tK64Zu+x3PoPHNy3DEwi+pAXMCDLw7QxCiRBa6WA0HGjS8vcM jmLBJ5xa1jwwBhdwLImzrYqng3b4vJg2G2kHNg7cb8UoCvtju7fD8SA5W6YmDsG2e7k5FXHFusWM Wna5g8fFaE+hLARBZwjpku9QGnJMKJeueTUWQDPle0bdcAnPln4GnTcVkor4bhtHcYSiWFe3bnt1 qzxS1zsKi+EPgOLBkbtZ1RPxWbZrAVEtTJLOoW6xMJBT7Kn52HENyzQHDFlQFbXjl32sDmBboXMH cFY+N6wY7ygsZqpW6cHnA5cN9MRFs7ToFU8H1a1+EW0uMEwsxYmL93tcDNwWSWXlpOKpODN85K7b y4L97GSGHeEozoEjhu9RQF3LLmj07ADZlyS4zMoS2SV2JIKw4TcV2Z34hAi3pUu89TMkP4x1L8Jw EE8HN9U/qn6Ag2f+Jc1NiDAGwq5LHxPZAwsiqKdvgswoQ7GbC9ftMC5WQLxUF12nLjrRoLJMoeOi SiVRtYBG0mhSYV7GzRmkzbujuLi61GFrZ9BhnIt7/Nhc4+mIcHfiYqJveQ5foaQdxcVJOhTDVZ1n L0dpgc6n1KFgpY5zEwIplGx6Y3yNi9uyNMfiYcL2wQWTSU6HZ1sGmKURYyIaDKsUO4qLdycuWBr7 VbEwWmk9C7ZRetp4OiiLXjIijxeqz9CRV5MTIoyJ8xcdsRKtkX1yWDhC7S+xp8TJCRHGyjJpiqbB O3hQS2yIYyxpsCs7yUka13pGs3lehzJARvmavwpRaN3Uu27qkBUf9lTF0xE26RLDBSoBZCOlc43v KDZGOIKI/pzHebs9dBNBplHg/6ycEEshr4os6t0pzkLFD15DpLHmZokZTcbWRJ1y2VcZD6OB7ls7 1C0mbqtzAWhQj6ptdX0slFp4OlK9+SaGV1ZyboCzIyQFCE0FOiBOE1puaEeJc9GE0BrwmYmQFEO9 TRK/NJxWwy5ghNOZrNRGMM8a3P7YT1Q7XCLN4xXxCRG+GHOzRfrgIJQJRESZ9VuGhKf/wm3xLKZI yC1u7TAwRlW00XEYW6ph7bqTxyxHieK4D+wQSyEmDK0tNHhGlWj/Js/aAV0EnjdwX82gi9ESh+um ULIWnxAQEskqK9vbeCcwruko+qU68HRU3KpfgbFDne66CSvGjUIF9TiO9iU1+V41SziLNTedf+VX QiJjBg1+3NiX7gvLBJe03V9Z4SEBbfaZ/colMe3HB0RuHpkMGMlRzFO+AQOPMbLtKD4dYSnO1OBI WPzKF++3o8C4DXbvkjMSPVPohOwnncSd3WMumwhLIZPsJWMtDpoaOx8BESgiUI2dBCf1Qvb4wZV+ TSua3jMI/uS/mVn246ArPKFl+qPFiKcjYd5zTQlCu79NK1IUGDcexESliK3JQ3c5WxPWxzBT8tJW TrEyG4O+lO5wMPswdQS4z2K/Wof6vpQGr7hIIVR4QDi8w/ER77Mj/3mJ40JEHo0cRn/QaaMDl60g Pv8X/BqLGmFJKZccnlMoz5ZJDwL6DhNUhwT8ftpAflXpQ4/4QorXKQqOpfAySMjV4EGOXZYAUmSA jBdw/LjLKn1WUqMn4YM2SdtfNYINDJGE2hl4JAO9K7iJhZd8/C+SeNEMUV78yuynGFWRWaRwSUiI +6hyPKe70k+sJjchSCHSWDXAypx81puT02OJqRtoy3ZENwkvoKIOB2TSGVQDXDX7m0ZYJTf9S2dQ HGhrVESxzAmKguSRvy8togjLFWvLKYqS2afbNG9G1+EpdfXeD7IC+8A9c0KLO+UOZd3hFAJHsS+G tkMFDa8vx3Kx4zBA1brOXGw74CMiDCCrXFmcoXxYiiWvLLp3To2P/wXmYvlnA57WvxZQFCknCnew xsrzObuUPLrkw7FuY69zBkVFZNE3URU9g/dlkiVBaRKzZJFPIwLALgFk5xD4RojteQR94PgRkR2B msHCLlVPJCwILlkVrw3J/RQCLC7HlROFPlxj1uwTFEXLuEZKYwsQO8yWbuK0gFYFtNIAPYelQa6g sJTsXRmpBY2rpIQDHsToxBVkqQWJNKR2FsCQ0Yw+Va993jTKQmVFz7N6HEfl0SwsbOI858bH3yeo XsUBSg5TyWuneicoDJqpOSDvZzT25narj9HcaMjCo378oFMUNXthZ7viVLn98rbt7rJ4mShby5GY lHXgQrnA6+NnMcd50wjVL3b02mfQBI3RBQawV+fjERP4oVfhOwBMup4jKFSrKDT5aGd+5HxMy8R8 7T5yP0dQWFJejiNtZ/Aj2n6kUdAEkmyvM/MG3Wkae3J+BBWqG350C7+uAPkdS06TcYLoINCpwvaA yutH3//9F/yu8UCEIvdyHWtTFDwvqnmU493luh6YnwsMLFg/Pj+RaEUVA206xP9WMhYotAVEEGyw vlVc7byoadqI6pf7U/XzptEdz1u5ua2v29GMmuuSZtRok48HG+zSQnhbIfcfj3tXCkvLi6Tu7iZM nTLolJkHaMO1K05Sij8TBUFiWslSp13ftw5KAgSQEAT1lCrBYJ1C77izibhVhXCh3s+PiORYsb8m 9UA0cH46RF15Qg8GiaHzx4XA8TdmqelSO3Noi4e+QOmMYHGD9eKYdvSNjn5Fnp585cAX795gEEv2 wSuoFVCmTBAc1A6mEMnEwlnwSVjwodqP86bR/mKBuT2DkF4TBsI/SbrYOXTGm48wl4hrhG5e25jQ HA/JHZbmUaxl0xXZaaFzBLyP92rpTFAEvUipvaO9lv3YDQk7UnegVIj2oioGgMaIIw70Yid/06A7 kcUpfwbFQKWqTQRraz7+l/wouwOCcs5nBb0H0Vi37Epmd0Ge2xkQ8L1ojhRM5a6gUO5YquEyAVnX BIS9iA6xb7KLbPo5kaOTI4Tc5klSu4LE0CJPym59Sb6M+LsfGttOuglAR3zw8ShNPT0KbiZEUlxO Pj+RuNta1IWp6RCM9j7QnaSC4VgUKuYJFHrkCZiyiqMGH00C6MI0O5IsarWLrLTudXg2Cqq4EA5s YsM4ByZ5h3i/aXKqwYs/vZCKhZ3Mx6N++okRRURDGeHR+sihTx7YaBbEYT55BNWk7kTbjPqJUcE9 4/aTIXfPZ0Yi9OV6wdmqgQj6zAyBLHgk3KADrE22/cLiOa4F2d/0V7xBTfsMOoKgBcES0EYmHVrl MUJ4rni8BwkWPj+R+jHQN0XO4Cx0XM3sLhdgys0wBen6M79K0JN/q4HzA/nsCrV1ZKnbzmRaKVhI jY0IDEF7jMYBldZHRBvM7/ZxBs5PGkMuixaoFT4etHKuIYj4RvtnXqxKDszyMvvVlrrn6Qj3Ml0g cKZxtFohqOfLJwRkKAJyL6JUTw4GUDsqZUhSq2XAXNGrdtTCaAJe13NCkxyRQ8M8Cr3WtiT3tlzu bSbFVdSi33w8OKFL+g6hO0WgbpIaOOahRyqlIcm02gGdliepyLwKJqgBE+ATFIlbyGpnUfddw4Hk 2mmTJh2sOqCD7Gk0isEOqC3cK74khKT8iN/Wz6RZnQZBnexFszOy9HiEdL/kWJY50KtuXysoLEBP iid2ud6WltvwRmlrB5kBlrhs8wLfvOsNTPaqBge7w5kJnDXAVgZgYczBUDnLwOhCAeTUyeRGGRrn UYq6yt5Gg2JooAK4wSyh4eORUPQFZ/AKw+HD8p5PUIxbpghylqkDnEzd6GGuKRQhKjn7rKAYoMHg 579tXm1O7HSmUCVQBnvQwsySDf53KGJ+6THJPDl0zysSti3KVcvpX1DKQf3SWvh4cEI/PJJ8Sq3t 6vbn2EAPdea5HMSNGCg7YG547xRb7BQSQwe9Jcem/8HnwrBp70kVdtjnZXHXUO1AdvbT2lNoLf6i QRLv3DVpCJbkosggIbGhYSln5uPRDTZukkEoO5zGbpEjtNCjgOCkajvVLoZDLUGYqK52sburMuXQ Q28ILDfGPoNXokEtIHHQvoU9XoULA4bEAhdqDZ8q0GL7K4cmesT1I3mvZxCKZdvRxdJyLpOPR3XE m8Sz4pO7Sv53hqJKNDBJBWp8OqMbnMuoP5QmLYlQSKCoqVymwyCa6LCZ5OCZLjEUwjQQ4kOaWi3C FcGvgloF/0EQK2+DsCZ/0wjJQogu1YA0aIaaUmtw9BsfD1qEl1bCqUBtNF/GTQ6c9ICDICTBiz/F caho9tBKES48mam1NlgIZO5izIo6US8kFYYqlueCx2ZxS500kEWvsP1QKxGNzVOpL9XfNOq8Y2JQ TNKQlGQ05EBUeihIU0MzvYdYIh0rFE3mhWzk0E6P28dhCRSw8rOICAsFiYn1Ei2gEM6sIDqVdIbT RQWzbRKXMHANq4vKvl9pBEzeBUR1rxwa6vFROBC3M+iITlAHxgLKKfPxYIutB+udecfn9aTxsaVe eThb/c4PYRvJndEKXI+VhYWeevShgNP0HXx+koW2VPGB4fSum/9dR5cnwwirENPcvo/oMIh24OUo Z9D85C2AEZR9+PifzCSB1vH/+9PsCX31cM8VkogpZTWotOTkJIlajcVwRVss1IdThYNQAA2+xZZd rgmyX7aH9myO7qV9OCqIxKd2tVPPi0YYBaapclnZ12UF+ulyD7aFyceDKDp9g1tYE+jpOYGiQjSV TCxQcVBUO4wtZEzdERxkhmsBxbrJolJQVpGDlzna2BARArZ5A0GmdiuDboBUyU7vqiMOf9FgeprX oecZEoNhC/6SevGp8vFIz+ruLzxpZ9a8XIocmusBu3t8nSqRddUxHO3BcJR7/MRuIlw8VWXEesuI bQHCi5+AveRJbRkLARIcVNEovLAolYBCcz0kI7lKY1iD+qgWHbpTU5p8/H3trH8thAvzv31TsNBf j4ppS1BM9AlpByMch1deZ6/jLp4wgBZHvasK3W8VGnkjGFbQibMZGEO201VSjURU/xC4gEZz9zcN AsSi1CK3M3gNSD5vCIcHH4+qrA+qDv+A1d6f6yt02YMxGlCYLvk1i0PigapjaQxY2ZthxDZ7S41U qZKnq0o+UrYLkoqvpeFzSA8cNKOCpGK9WjNCOefQZo9r1yJZFetX8wTDgiglfAAH8/G/hJS9nmEX xjXay6HTHpUYWl6qrIJFIHAz9FuqE0fZAOD6Caz2LMqsAgL1OxyyUoK/BBScMlhuQ0BoCp1SYPhK qsAJSi8akZWEU+Cp3oZXKW1vlakWTct6wQiUeSpk4pmSatlv/hU77nX24ad3wUBdVHjoCwnyGLOc LlhoudeJfp9Z3jT5lMjQYbAbPS10UQGKIzJ4VdjYw8IOf/wCpVQCCk33JkscVUCOeoAck0ID+MrN jkA+HmTwFyqlLqG6CXd+QhogEh6XmO5kL12JjOpdVNQwz/aKwmfanONSmmfw6Mf+Dgo/wMlDyVMu Yfx2hdRsEPDVBZu9+5tG7FqqY3ipPtdjt4z4EAvIMqPOx6M2/MOxIBrH/rncHkZgvIfzmQV9SgQv iokIKt8pmsb0ovdnfkLIs5zCRppn0PqB4GZPKC5YeNxhTywdT5wyLJMnkEacuUSnsBzY750aIlRJ zsASkB3JtYmnvwcf/4ucjZejzjbL/j5BISMQQJcqU3cUy0i5Qw0xEfePNmFHFKcMPrTg6xIQ+Z8N tnG4L4QetFKbUDawBQTVtwIQEv2kJJQGC2+9aWSPgKt9ylJjHkuNYVGR+31uVDgCE75/TKnxZgAR 3tgw9OAbggFVP54p7yYQR3EBDVw5B8QRmPDhFFOTWcFN60+FtSZb9qyw1lZblubVJL8D9U3Awl3y SvWx0IUvVx3MdNfgIBCHJXdNxDcePyH4+SFbIJJk2PzEzoEPH7Rr2CJ0XR673cf07D27qQQ9w8/8 xCAOZy/NM3h1A6zyJIOjDkknho+q/7DoNPcDm1/+psHuEsu2Kz7sHh/OaYs1SV95dT4exYdf13sW S7s9LZ7Qi2+JhoCojE1m1pBli0o5WMiWwxag6P6KwmeuffAi73Dw4XZttcYuPJTpixzfUUErFHRv V2IZRCy9aQS0Y29nS1tke/Jlyy6z24J+deXjQY8wf2+vDGAAWT5ngkIgdKHkMQCOEr0HY4aSsCij d5w/oyOnYX4ROvI5yZ8Yfg1eYLXUus5Eohe/C5dYQbZfmdRBs+NEiLv4q0bS7gJwsA3PgUvIoqsp UrLlL3w8CIGe/EvhNjh4NwIKXfkI1fSyGJqoxByx/rOJDSKOg04BXX8mOKAlKcfijAbHAQ2QuoD1 3FhZLS9XHp60AAUCy9NTpHD6hCA9lR9zlhJ1fpSoW22qH67Fx6PyzwmAqmCLP/MpH4a+fFQUtjc7 RiTH4bJnkA8E4pDpWtefiao/LoBQz6DZQV2ppkIQRwOfnwZ+QyUutKuwiZV/YYL1EQFOc6nF7El8 O9q5thglKmj/io8H19ejKoz/GltrXaHuHHrzAf9g8c84LWY5ZwHD0S+Gg2Vanj+BOR92N5dNVae5 zsPB6HYCQeGU+vc7AVImGJ7daLIRfu6v7S/6G8iuUWAMMFabW/p6bgBkqRdsm5jPR1D6Ex+ymo8N Ma8daA4M+gB/xZ6aRf1ku2SavL3tjqmS1hiL1ta8wH5x6BNxR1CO/KWgy8OHlt72BVjiYXoKbhNk wua9v5ReBA59yS2QluwlOAgDZPtXvhD2R/l4JC98JXSFAYJW2XWWyIFJn7sgVcrYsYPKDh47qHsJ XD9muul77NJH9CWsVc/gHVToQiy75NlBtUngPuy8rCn4sy9nUGIaObTpY4emUuPJB+0v+7MUYbFD qPLxv6gYsvqBtNHX+RNWnxEaj+EOuwXtZM8vRB+0+x1CZWd+QggHwVGjqXrRrrjRBGUeTCeGz1Xe A6uyiYM1MOrVYRn0CcihWx/75XB8nmcQCnGs1d1Dq/LxqLh6by+8nMsW3/wiMOzL7J2jr+wN1IVo UAk8HUaYwKP/rPwicOxDkZaRD69gDR4AjZbGxrcBHBqt2MwEFecoYDw4Aw5IisTKHFj2IcZiTYLK 0hoUAMFWVlbNc/PxSB/h28iaHjqQsb0TFJWfqZpBdDjCHrRQXVmDbAA4y9plTFk1ntARiKPKA11m CusxUwC2LufKCNF+i1pdOXbTE3jTKuS0mGm7mwPnPuTSzLxKaWcQF8wSJCn+20XLxyMY4nUJZQcV G+7R5Pu8xj9N8khl9tS9v0NzIqRgS1JZWED1lOdfo5+G8B37a/dyB58di8LnBI0OVKcE7hMPcGxU UMxYD50O0kR0+Br82FsmKTkmHLMaNDfb6/StLTz8MjP2dtvhLcsjQ+LXNv+F/R7RvGwiE2glwRli lAMdx1EP+KeWw1AJ5kUJxZaG0S6Xyj3aqhaAOzrcPorQMVKi6REAgUifF0eHv87L6Vl0igxo8LL8 FmwDSI7Pa8zTEBOsMy9cMXhLmkdwYl5DHnsKjRhLfoa3uwTHoTIWyVLo57BBzBPnNeBpJyAkhzcf 72SGyxbmFYpgWEAIvrLaPThqaPpDWdR0NMPwku/rpVDTfLF0qUHBskVASkdrap/XWKc9eEzUJn6Y ZwGweublNdThRqqkVe7Db3fudm+IvWQPmqrDVV8DnZbVogMlsJ5BWMPKLVoqswYI9VZ5EHeKRGNS Ht1Ptrle45zmsla1a346oHD8DGhcELeOymb+vIY5zZV4704CLYxpBeflNcSxZxaVu2ldJlGEqsoO xG6bd7jEhYN9dbSRWCCEQdYZzvG7CgRlgD/AVqJcCEjKiG3mFIHbaRa4Qz+v4Q0OGNKX2B/wgckn vKsk+N4tPH4Nbpo3/zUNmAR8Gcrxc15eQxvsPhAsVGdjbae6K3OXiK86N90JFq+BTUsSfoaWZT2D 9/1AkEVhH3m5BGpY2aEzAaqFKJTzWipsbn5ewxr8ep3AOelS96NLPTKDJXwzy5g/r0FNe4K+s5Fw yonbRc+G14mBqTeWSZn1Qlbc1DtLoJqa6NNF1F4DmuY+cTuRSq9BG4nrrxbnnUAqjDcS4aAoHM7b 0GIr5PMazWAf4ba1syTnM2heQHn2fk3+vMYy53S62wjl1fzso9dABucuyli2NgR9h7+EAzGEj8G5 axetX9SvYQzOXS4UCNCcwc9dC1LxP3kh2VL07hXV+ZBZj0dZDovz8xrDtAOTq7Tz0OBA75GlmIwi 8msEw5fL39OCmIKXNafltQLYIEdLq9Ti9RtggpWBI0k5x0v14+W1/ocNzON012fw46VbLiCtHqTO k0WGheIiqo7wH14PBBVnxue1/NfcOqhiyZ1B50uaTfbBFmR/Xot/PP3O+cJCD2tstHTExLxW/pr0 NpAZHLtqAVQ6ArvidtWdUjOUQIjWCxnIO416Bi/cwCl9AZa8WyHxnCYkhUETdcvS5SfNqtcMDl7C LUaj7goHTkymTCNv6oGHo/uo+sRQzptdyHbOl3fqFi9Z8uDaPJCmeah/3ckm4AVe6l94JQ0GdayM cvCtNAH8qdAwqrPvJGhhhxRVRdWuA6F1xCFEXo82E4l/W7qn++iejgmLKX633fh0sJtm/pobtCbI ttLURFEvMGbFUjKfmkpmECklJSudpLbOASxHU0McMuKXM/itZH+mUTrBLpI5BLVZDQFMAXgps/+v ghZBGp93zhZWdxEjQN5z63jP2cQmWVbj/H2nbLUHTeAoXq4HWmUJgRdNDpL/RSAIwSiiadkvahsp +Y6ivqCwOsHk7Kq9pIgm7RPK2GYEiCaT1L/HHGzlDZl72OkBWvMJZTQ3UfDbpXf/X8kSyVIqpDfU st6jX6Ra5fsUZtR9L6d3slaTTltZcqxGz2qfY5hWl1I8QJ3OgQTBOdwV9y6SQTn4OWzpYYO1JRbO GhRwpRsUUDIoobNP3h7NtHeqVnMumiUYpDNyUJ3Prrwqhe6FJkN03oz2ZEz5B26/jsJV8SyIaNCH sJvCBa/sEzyO6VeOGi56t4oVTQ6SSTtPcCJpUEgDP90Nuj1qNMWWP+/ujYvQshHkdUB5aVNNlSCi AwdHGhxH8hk0OUDp8P5daJFHUXAu6Ts5AAK3yEyCkxPFwcA9WtZZXP2qTfwmIpEwroEtc6K/bOJf CRInkkc2ceMaPN5bydIUBJ1ID/ao0hummwckdii25xy2odcM7imWrxb7NxocoJPXEsev1c87QwtR SslfGUImyJIFMc1NHAp3ErNOdY/q2tT3nNnpEWN0t4R6Z2i1gyzdku/koLlBZyrTkGUj4rPzOKt5 BZ0dGp6fIgQ65nzN97lpomcNApQ56DAeiK9xMc+8P+/sLAYq/euiAiOfBg0+NVE4PAFxgMmMX+JH Pg14UD9wWk7nwIniYdpf2UxQLW7neuLhaRGArX+QQ8GPaEVazGTxQ1u5P/IP/E0+79QsLEwCu8ZS fLM8vumQ2vM91efnnZnVHBamDAr/7VgHtsTPfI+JwSym+DSTaso1Tml1Q8/O1VUyFpCWTRQUS31m 01ZSw63RAN+4E1EVQNyKwi66D3qa68TEncnCOyurHWWDvh2tto+Zty1EXeId2ipRVLxuVExMBW0L y0kX3ilZOG5oVp+y3HxKz6u4RyoMq9mRGpSZ5NxEcbFvqc2+HQdtqQ5KxJoEdFVIMItys8RcAy1h XF2VOfWe0dxQl3nMOwjNzvTsh3Cc9XnnY53w6J7FCDkzC+iq7EWBMaiyRZRTOklU77tA00AsdUiE u3fNOxmrnWbUnqrtzVPbszMmYycV3FN28cG/hs0GThFQr/OmDPAi4ye831Nb5rrF5arc9hkOa3SU wamVP+9cLAbu6WtPNdyGad25iSJjXCnALHr6PboLulvKkJR7Tily4rx5Z2Jx9TGv3rK73NfussC+ ZKNZgGmyQH2qU4WXhCpbTzfP3GgGfN6JWMynOCnkUWjwO3xWonoBV/2887A8m/6q2KBfTz1rzU0U GJNqNa8f1GT/HudNL9R1gtZMPY4A7xysU4JDrNfO4HMDZjI8Y1HLqpBdJscIUEwEymJBHCIxtTDe OVjMqBgZdyp1c9CmgoABI2Obss87BYuR8fq6xGGvnikXoskJI+NJe5ZUvJzFqBYtzKyYx+IbO1Ob 18ujyJihrmVSLZ1BmwrcK4trUKFAI3NUEWzQPWbhhuqeAph0QgTe+Vf4hK2WI6CxGjQ34Icpdpvr 806/+jcyxnLD1cG1rrmJAuMFMFCnxQUlHuSPirItOtXykOWS5Kb6vTi8tjyI97jNhGFZSM4sgraR kis8wDE2w1VlUhVWlzjpje/UK24qpplJJmvpmKzZjpLmmGXi5fPOvMLcpPo1N5S4aTjWNTdhfbiR iZRO/WbWQ7wq042ZKzFBnJuoQNzZeuIC8eHMjV2BA4aoAG5NzhKsUiH+CuQNbBquMbO/ZxDgNHlJ 1HIGzQ14FrqosG6iwDilExjTuQbtHnw9n5v3uNibuouWHpqb7abVq1TPxEFq8rl5j4vBvdFFRdAI B8/EYZAwCRuF2kmlZMrqaLZUHFIoMZ2kgQHOO+OKbS4Gf4K0jQNpG/gh3OIp7c874QrfMn9n4kzO Wr/tuTAw5twwQWGySREk5gzSB4E2YzmOR+90K4at1GHjh2vwZBN/ZtXJwLjBPlYNOlw31KN7LHaF 2HqnW7E+xXY3o38NXDcdjRAW/hrm5j0wFjHoK8BhsFTveRMXi4kMzN5aUN2I5S3x02Bdg6xTZ/F7 YIxaLiMbYSE4aG5QvSwOlugZfEahuiC9C359AQrTq+hsRr2zrdoB2wyK+WtwMBu82HneZL5fsG4c KqE91ZNUm8/cRIFxxRtuVbJQwZmnfznqds3KSVMprpv3wBhiF0CwpCERlLFu36WjXoYqEVJynGZq XCH6hqQZKq/nLEYM9nknWjVnktaOE9sHzk2Bo5iIRHl93nlWxALce4oGdHa1rXXWzTvLCusGNYqF 7gphWlP6MdBxoFwOaQ7tWDO/k6xQeyL6YQ/2tDlobpp4VhDaqcgY5JYASXOg2Oxv5euzARoo3zNq ezMcSukOnBuo94gXZPfe551j1Vws8qkY25m6+on93hlWXGyYELE9KFHAQxkmYpTi+g+5Es27de8E q5NP7bR13Fyt3L1arziJk0zE0F7DfwCTzcoaH5g81yXrnV2FeeH9PSgkqkHgrDYm6/bohH/eyVU8 Uf8pbEFmuZxK+juzyis+pQ+vn0PU3ZdMHr5kxk7nGH4nVjXnTdo+mukMXrrZFoWAPwTYESyCSVDb QBxB9g5qrAcOgKvx806rYio1eDqIkFkPLQ8RAUFg1ab2886qYsj+3bEjap9GKJqaKCLu5HWXfZTh 5EICRIAUzMsPKuFDdfR3TlVzQ5+d2ALS4DdU7mgycDelaemmMANs8bFn8xiI0S7n806puv2XTknJ CprWD78SKDGJKp4z5fJ5p1SxPvF9DBdqLj77KQqJyVYYKx89Akk3dBpsztPPpEd8518J0oXGdcN8 R4MfNbZESoGg9YZ5N1rXvN/tdxz2xx4PUCyEzzuXqh3XucaKtAbBsXLqDjvCqoni4bG/4xrQL4m+ 1by8h8MIF2jnk45hTW+naSckOnQIVnb7iHce1cGR2bFS8xkOEN3OGQCxMC+jco8eUb1CIbhyG1Nk 2b/TqHgBkma2pUJwkhFQiodAnBa/f95ZVO3h2KumBVdcRg4+N1E4DMBdGcktCiGjsJR/F4r2Axqw gRRj/v3OomqHA7yHwppxSTArg3sHCAXKxX3L6mjQIRGGd8KS6BhuVe8ZhTUyrCE6YHevfgyIfG9H ZdXPO4fqBNO3bkN+ElRwfG6icLhKx3R6Y6onFyjvKbtPC3RMvQ3+zqDyDg66vJTAA1YYux9APgDo J7aOhXwVzhEEWBPkQnWN3p78O/uLRsgsEjuGC5YfV5YCC07hY2v9vBOomvvHf3U0mxR8fHLCeBhF mTHbmZxd08kx3RUeVamDWQsLxYmXN/N9Db5w2oKTY+XCSbBMumbokJWCosspahG/8XknT32dxII5 Vs8VIJ3TWRitpfH9ohzzO/+mIq10PTg3UTzMwAbKbE5tJYOA0lTyZ4E8HoqbLIa+E6fw5upLTeEd 70EMhJalAhs2lzXhyma1dAAGBECxXTLrrhsCS95pUww0ipLLdAadxXOKhWeXyf68s6baozvgN/gk bPnUJt5JU81p0YPm9hLNIcDGDuNKxiKCvow+Hlll75ypdoyOtkjPHITKX1jzVOq04MZmYwhBgV5S xUGB7Mhv8E5M9TtnighXem8X+TEXhln2bva3+GtaMFbn550yxR35D9qR1MZ01s07ZYoxERm/iIVI 6eiF8mU/bQCzA8A5cPT7pyEgfmdMnRVvp7CK6OMW0TsMCm31dgBvLL5UsX3AW7kCnoO6wsHdgLX0 eSdM3T3VKpu9HJh/J/R6pdvex+edL4U9v77rNkr/b73vnS6FtYqtv6gRSd+Issehc3T3jWiUoeW6 iQrFtKlYpLX4cJA3ForAO4XIG7j8SrAU/CJIiEDFwdHDA+n+550tdVGy/y25PcusS3ViC+w/71wp njeniM5mr2Xv69bQ35lSuPlxZC9asTqTXtkTnMMlGjjZfmQe9U6U+t5S4wzee0kW6Q0SXSp0b8uW EgENbgbS13uHs4XyeSdKsdav3FJkhZSPFKcFkZIxs3Dh886Tai63f+ObSr5AP3f4O0uKURFILgTY cdmcbLPPurWUECYvHcXvJKnTl9rJQ+N0OS52ZrZOJQHIdECCirXSwSZBUsLnaeZg7+WdI3XT70F/ Ig26wgsZV+Jgf94pUk0+7s9xwyXTbq/3nSHlpSJoy2QXGGBvjHB89pAor6QjCOsmjIvT1qTUM3ie WcjZy6S3IMCh2OuGQAyayhd0Tu3qzzs5CvdFkks11RPX1f+1+U7irlqg/HnnRjElujwFrhAsWsrN amZC8ASsw0Q2ZUGr1lPQoq2bPKCKR37vzKizo+DR089wC+jINEFtQWcEaSJWDb3k6ZAGQwePimlx +U6Mau7iZDOw+hm88dK63B3sAz7vvKj2yJYt8qJIP+IW1dxEUTHF0KegNtQeb0d7XPznCuv36YHf OynqNBfAUmhn8Lmxg7IkmK4Adm9RjSrsQLFRLD09Z03XWwbFCaot0B7aB4Z9Cf1whrR2EHzeCVHN Zd01M2qCI5m6tb4WxcSkZE5OvWKb5rhZ8azJ46CWPqcmRBQLybZ1je/jC0Ga6sz437ah2tjEWiGP QKJpB8B8cGxJbxksmq00s9wBf98C7rbdS29VPB1Eff2fiHj/rH6XTBgP4/Lpe7m3ea9NVfS2h7vI Dkvjnercovqwz0vVBVXvBQUzkYqomPGwRX0u1UUdfSgF5dvjRZ7K9wwuKLxDg7PqGXTWoATHWGUn Ph00wJ94mOeK+nQn6OtxQJwo2ry9pJWWy7gVlI6lIkmxPAQ2/T0glppORhHlDl7SsiOzD7RkoEI6 BnNf4LcgQ4ykCUrRjrhhSav/zqNrpL9p4OQki7aVZNqvgKcj2OzlcyhAtH/el+cSBcSwiy8Q0fS5 OcpJaJEsnxsYUGpuIuQEOwX204nQkZzQgdKVRdhbqBKbbTR8iZEigsxO57ke60/CZntUJKYEUgVG +QzcUg1IAa4Fizvx9F8cIBGcIc17rqgexsNVh+/xUUtOBO+DmtIIbOo6Ato9KhLLwRFchTN4z2Wi NAxNMku97cbUf9epiYYYf/KKcvJC0XsGe4rr5TGA8MINUuEugN/ceDrg0/1Dd8ngiNAt2CcnJNQ1 Aki7+LqAiLp0UgZzN9MWtRCNBIJUFBFP7RWWeNYtcAMl0CYkszk5gLexGYxTCOUiS6S+tLMZ3PQo Ii46cAh11SCEnyW0RIniasTTwVGc2lciBUUH1rk0NSGnblAsAGEGc8ztHEzg67MwJROCM3QH62FA LBYQZ1ODN122ZQqrohgKJtzuBFYMUs1x1lP5xuvEJek9g16dVLOndhb62ZSaqJlCwZLnwNPB1Mzy FdoM4GhKOcXQHgbEAMwP1oVk7HTrNs2DnEnDE+2pkFgnhNbqd7iYEovXUIWwtUTtDCoCIVCvKEaQ jOkAP5pi9PeYOHki1ZqO4uZH8bCYX96WoHzi6WhPfdcm6EJVLxC9h4ViOBpsWq7JNO2okTGRA0Ee /TvPv3tcKBbQhoYOa+fT4212adlPi/Om2/lbKMy52G63I7T8EEBx+pj+ntFRLMCE4Frbq2YoaMnX 2E6diacjnNY/eBukuv2ygvp7SGzB5mb7klIAuLBk1I2QmCqgsuOpqNsk/pWA3bFF9aaQxD6d+wWE BGxZ1iBI3w4Y6kXDRx5WaQA/pnsWo9yATwjmRpxmIpc1cG4aOlwE+NkBi6ejBPyexUuJF+qNd+FE iGLEXG6PzCyT1ysOHPqHMMtskrHDoo2AE1QH24lgeBv0BohvLB1YUG9B4WZAS4sri5U03KRo7J+C H2po+IQgviHauuNG9UEXFXRq+cP3tvF0sHDuRaX2HNovT6LZw8h4sHRTnRG/WJ/6D90TuNO4chvF JvhXfmn14oYqZ/CVA0rTRm6w60CRhSlFB3YUJrVoWD2T0/We7ycOGzyVak4+KDK2lS6klWVWeDpY OfWb5JypzFvvthpRZCw4UncSUFlsbDALp2AIJf6ojYaVM6JSMXmlwDqOM5w+OIASmwJJIJSlyowU yAe0YmpPtxpKD6cRBcYyHBgMvTWowwAtoa2yVsbTQWDc/6n4YYfSb0lTEwXG4GkJMCF3B7UvK32R YMRsp3Erx/xihJXiKe6CbvF8b/G6Oxw/sW4aDLsb181gPwz4LxDsbka19Z7B3Ii7kOmhMrIz1joI WdzCoNbj6ajb27+SBigOfZW1RggpRkLVJdaCHhWZyCxrQQOiAD7BHcebakSV4qyTBhIEGCR0hQjH sld4fiVZNy3ZFlAzIxOhdZsvcC0a+IAA/Ejj5SUx9iVxdwD8cIxRTcH+D0//2WDgebPmj8iznJoo LiYkSKquEppI7ljQ8jgFipS9rjWiuHipaTeUT9Eai1PT7f5HpQOdcASFEqzbBM0Dr1IewpRdNwMf EPUXpJip+GacVLOi26rTZjY8HUkHfBdDB52Nb7N3RHExC51jpgvSP5ZxsH7WYZPbOFMTxcUsUu/E jE6D51N2n5cFXBROYqgGUS2pIWcFHQkIzYuZrXrPqL/ARGqyiN6J4uX8J0jkEGNiKxePB+um/wNE x08Io06fnCgwBlQT8gdFWwqdHgV/rVDHhkRYhCA8bqLAeOm4caZmL7f5ArrLxtRDUnS0tKWhjg+h BUC5BRycWPyEYE+xtAVXkTPwKLboRkZLcA7F09Fxc4K/hxU0TtFvhIBiuN3Q2k5AdITxTBqkdwAg Oove0pyIAMXUNwQ6rZ/Bbym7luDOQFUbYGsoB71R4kc8Rqtc8V6Wv2W0bABzpRarD9xSw44AQa0X ZiYMi+f+JyzOgFrfLRVViqEYyNKczwz8EYWfqFN3OhQ1XKFuRGGxtzPb9gGflnFJwQmLlupIp2Bq wS4JSDlU+AGy5ZDlcRfgE34Lbnpud+DcWJxSk3h2a+PpKCw+lS1qw1GPKT1KJWFYDFuPmVz0sdhG VjuqQ8nAc80yXd5whGGxUCWejvdHlMMCSShqU6uE2jYik9GBdUJJ4GKtN/GPIwyLCWFrVKbRoOgm VblXQQ4fTwd5eP0Hr4Wpyk/gF0bFyO4kaYmUYTH4R1Q8NulBDPxOQ3OE9WIqY20yLzRobnbJaLJD +bEVtOw6axQIk+n3mtO82vsQt+OLRh1NnjcyFxzXXBC1HKKK0OXE08HkXODNlbhp9yyeYb0Ykgd2 INOYigxNwQW6q4VWXlRdBZwZy64JpF/6GRwkMFHQBbpxQ3d5s/IMuWei5IvMlU/hr+s9g2STKOtN I2wNXDj2cpPXYkut4elgbtp/Ff5g+XumJoqK0RqCJGZSVNwYVAGjP2lph9pWp9csIr8ZlosFnHUz znRx6PaTbtDqmE3luSt9uSFxhxvKDuL6CBoCjIpPiFJNF5IvZ+CBQ9MDAT4tKp4hprh/7yl4APKX 8bmJFSgA7aV7koQEnLXQR/Wra7LJzz01fyfa7aYeTDvJFMhkdn8PcuWrLX4urzUGfhAUOVnkUja1 KKc6w3qx2IdVtqa1+paCE5u+m61QPP0Xl0yKDF2W1z43UVgMVDfUwDwsXms7eXWnq0Axul/hMywX i9j73yBIwNos4oPuIyT3IaYjvy/Scyctse+6wU+BTwjmphNAQSZPvWL1E9cfT7dq04yn/9QylCYk hHhv825GgTHKCc9yQb/ED+O+nLU5RTbleRMFxqzFI5zJZ3AEhS2bnAAHsxgZ/EzqyA/Yg1IWCQDB q06X9Z6RygIR+lo44y6cjootJX7Qn5pRXNzaLRjLZQiXwYXezLBivNheGE4Jb0T3W2Dcdutu4DUg t6HJiSAUpBXigCln8F2FVAEq9LjFNz7HtR5xdk+17E9GNbfeMziMCbeelZEOBx3GOzfWqyyvGnj6 /8JeJVzh66KKQBSDBh/17qq81JfqHRVdgShGdrXHGVWMXR+0UL+Zg5B+oJOBO5bpzwm3RUY4TVob cMl4ZA3Z2JxRaCxq5qj7DgqNoX7MS9z+AzwdJeJnV0n9Gz8yr3JNThQbr0S28z72DPvYV9CTVfYM kBVh+DdDTTZVJ5JQFOmgKFCNGLbum2hBUIHlkcMKAVYP1o7YZJ3eMDOqGNPZuwqUrEEAk70VCVY7 3vB0BEtaXzeVIypPF2ZGKAoYPAGu75CtST4xfanA55W6YbrrJoqMIbVK+b5xBu2p3hbAQ7jFS+1p urcyD2J0T6gT6h0q1rZmFBk3fp8xnsEZU52e3aBtNDwdgW/+QQsQIgrGrk9OSLVDcb/x4iWUIlH6 Edyg7GnDYANLB06oQTFZ3CI6RoNzGXYbEjCzybHLW5p/q1L8GkcbKeH7K8QJtdkQ4tj36fsMwt9k NB54NeeCp6Po7+SbcrXHH7n8lxVFxqhKlMGfmi2q1B21leXCBEh6OZD0FSEphtq9uog4HGSSBWcN oDPKNXd3jmGHEz7D9Mj2a5xtmBUiKQTAocCBhtP1pZsxLJArno50Xc6mEiCStIFyTuMVVozp60N9 dynXDZ+cUrrLoafanaO5wthYszIVBs5bwrHJaFSvE6mMpSi6mSHGYOnv6d/RLnD9oc5W5GZWvII2 IJfoYui74um/hKRYJiB1dd6FE+oSdwp99+Qnzjxe250cP5w4DWUSHsYrxFJIlq0r0iGt8Kch/gPh aHSqs4G7kqhANqGYQiV9bNdzU1lI1/AJQfwnBbLCE4eD8zxqIQmmlMr3+0vx8DLu+tWyXiHjjqI3 O0ngBnX1oWp6pkoYijgrHYGOFYKLvS461hm80zChw90olgQ5Fv76yzuUZHbl56aqes/fasabyZEG dag2MKlYN3bx4en/C6oNNXl2YzU1IZQCyvA9+YRYeEaKc6UDg6vNrsTEs/OvRHw76brIoOIoGdmy ga5qxZVg6ablZvLfFMoETe72kBFlwLAiKEV3yFYpZzhYyC3zVntLPP2XxhYOBeLZLlt+RXFxohCv jExovpDHQScNlQOPt6Td4StWoGAzd847eDUdB/qAAbyF2auxNw6NJBgGoaXRKMXraIGt94yUBIic 9YQ8OUnWroktl23gt/B0gKRY5w5n5Q8toHwz8RWCi1MlEF1oG/ukIQxXJ0xGkPTMbDPxrwShn5KF 6QfyVSlG6ckOhA3AHwVYWDAGKxZbnk5pJ76hcxE+IWLLs6G5GAJKgZHZZmkSF++2sfB0xAg/O4p9 Pl55zy0VloxpKpnykc7fTaJjkBiGaz0VKI7lwgrBxUfKr51BtxQ2ClZEpmk9KHl0XFikI0ot61oC Ln/PKLyRpF+dZ9CWSrskebplvl9wEu/2taUIhpy30bBCxh0ymEZmlhQoRnUFiupaFChSOKtshRVj yX5P0jc4eFw8Ld0EtAInMXTReRIPFnwAtpzzQSeRxrpCfPFSP5yFHA4qikIayHVBK56OBK7L19zg 7mYIr6kJC8YQeYTwqRe3JBwKlczsnlxzluOltMKoWNaeNEbQ4FOzCQJYIE41y2Ikadzo54xi/ZpX ZAEKE3zPILiZ4oNTnIODjhsAt3iUbpzEIYziSs8uL25JJ4Rzs6OoGCEGbNLXScObNzbnjYo7yTwU c44FKCTlp+Dm8QEcHbVQ0OOgsJUyiXKU60CsMCjLc+aG9eIdRcVN9qPHXsAPezvCED/hu43K94uI HvVr2RBDVW6jYb8HxXgqc0b8mpqlt3sUbyeVAT6uuQkVKLooU+wjcPBrKqFWA7TLhvDSYA9uwTQd JxtkSusJbihZhk/4rXzT5E/fjj/9tJMjSaq6Nb5fcE2l0xBnXxMIqXa9OnYIMCbBN/nFjYa4q3Mk 9To32Nfdj5sdBsVLAGMtn54PwRemrIO6b/YblJlU37FdirMDzfB9g2LJ8u4oKIbRGxouM59Bgd+y m88D3Y2nIzGg070jwAOzcnVnd0i4Q7dsFvegR77gPA+pa0uFYrhAxw5hFNJ0WYJgr3n5DOgDVGEF wEIoQ9ZcatW4vpaTYFih2FFMvCV0U9ml6tVlOO0GGVu6bD1tPB2VRL/pQWzb5Rv57SgoBnilDCoi S1Tf03HAXZeqfhktYc1NiC9WWCPvWg6nr2nzNDujGzCsh9xviNEEq2xeUzIgRvmaUbqgmJhqSe2E T5Ye95ocsV/x9F/AJJXSgdZIV9hlhzAK9nxbzQ6/Ya2RxkB8EEdxEQkPcxPCKGTE8N+avDhr7Pjt NPQFb1CVU9LKIBw4HjID7bZ2KFdMyPVoEr5p3lPu6E7wILVoY+HpPxVvROrka14azI5gFKBllFFy 8oyBmxdHcQHihRyhzSId5ybUZZOy/nR5tnaKN2y75ESh6wKyR5VbWaKGVJHW4RJnHq+IT/iF6mFZ GdWnOAhikhAWI+NPdeHpv2BbInViAT1XeChEMSg/wVOGHIPhlLskhDr9O/bxY4iBFPv1vMkLprt0 WrdfFipmstJG6I3Lj0Y4XDmFXqj4hCDyk8FokSFOKcforwyh4GuqA08HGcO4AqK8y4fU3c7kRGEx uR5jz+MzKqFr+vgW/btJ6C85QjsMi6dK6GWdwe8pO4JB/6T4WIWjL4XZiGuAdEG/aklsFuADIt0b RcWzn0FFv9GLBIvT4tPBFf5VSc90YyjjdmB2KFicEAz3pDVCQ0qtm5n87pIOhdZNhKOYArMxDtJw MG11wD6FUAHbprIg3VzUKLqhSH3dKpreMwj92IEhecEHnTh1KSNH0ISng3Wz9veegsbW7b/kFIOL N3sL3XUoeO0TRgHFTjgez4LOvEzaUihEIUGBJdGftW7Tt6HSgUKEpbAoZdHrA6o6PxVXKRS2DwCH lzg+4n12WHiv8JjkgFBdQi2QpCcVpuXJ5yP0dfu6x1FrK+WKFqsT+76v0NoE9hkEBvh9M6D5abMV F6OwkDAJ88e+brCrlWhKRfRJHHANwXCDGOMqHDn8cQAMoKMu2vEHaZK2v2pQFxVXqFPHhAPPZHs7 KZFBEoePB7nDPZQ3J4gAy3wn6D1AxmNEXrejSLGOfN2UgwVqFYCsy30ghUBjaZj8j09OH6lBJXYw DKxTYaDtuI2QlvjCU/+jGBU/4jfcAAp+Z1CHc8OP+4d4k8bHg2inlK8YuXGW0zM/UeV4IAe0UNnZ VLvv0//dWz5uuH/r8coJfe1IBdqE1Go4CwhcaqA0NzUKt2RooRBQMGszX4ibWg74iAgASCOhocCH lrE/FL6mwAXmJzU+HhWP11d+BanWQn1Yn58oUgattaxG+BYgFkyAAartlJEQ6gT/jU6gqH48qh+7 /QyaH5uUuSeUDEBdtGsdMfLqLoYGl86bRux23jQyIhCHk9AKDipboD4tR3CI7acQW1GfMimQozY3 rd9615GIfgl7CgtelULFMHl18F/bjaXjAUchSC9qAYVVZFoK7aaYsN2YcNukWJTCXIJVQkoNdHJM LWsHn+1WvHi34yOi/LwIV9HOIJAkmsFsPtiZz8ffJ6jWcTcYFiyhec/8hIXkTjW77PYnSwkpvWFO kb1DEdUPoChmJq8LgKV5Bj+ALMywu9VzLVT9t3hnXN82N4+RxRzT3zQIflhDJqnVB3GranUxYzsy +XjEWFz3hsd3AIr0KpTlFCpVIBibaxyzLmgX6gDKW+oVaOuNcwCF1eQtlsyp8pyK6QLqeKAvaOsH lVQCTQeqOpY9shvnB1ChvsVHFuivRU+Wx6k8yUGl9jEBtMf1g54hH49Qb9/AdYpOktHt8xMCLTLN I90NG7qIjkFBA9qbNG2uMz+RXkVNKvGIt9gPb3F3C5hBH8IBbR+VBjPBydhDhbd6XankVJtCUeNJ 61FpwXBQ0jX6VN1ztPnR93/fX2d+RFZDoApG/5mgKH5GBg0Au4N0oPmqCUoytQCNPNV5JiisK4sH k90w5jY/MT8pwRR34yaD0DwWEHwaGTETa6v64EKdgR8RVHoAyqtry4N+Hw96+LY3ibswRAw9Px42 Ea9hhCTzcmZyaIfHuvuo3R0Ue3fjcCh8HO0Kdqt5hQV+eFngQDty1ziDTxC7nn4C2SxkZhhzo2wE WCfgU4dorxMoMMRD2k5txK3UdJ/U1A6AlpzT0Pl4lH+N7xyDMPp2Y+jQEw9iz4UoWOWmJL1J24OE 8gzQxbV9C0zx0E0lzAt3nQ++w+xrrwZxSKh0VRhcqKnDV22wcL590J38TSPYBeeHBTcNCoGWLKRw elY+HoTQ+bt/zv7Guql7DmzxUEhFWioWGnoT8kMBcXq5MenkBe3zE0ody4NJ+h173wwVFLwN8T6A S+k1yfkhZQ9u9/XJULtCxNAYL1f5mwkruHjgYn7ylPg/bED4+F/62FwqyFf4P3x+okLzogLpvNwi NYgB2kkuvDnEb+ABFHrjTdLtt9TJOHhVrMPaAnoFaFtCcZq5WqrsElSRIBzSxF5xDszxsL9YD4NA iA8q/YCFpfJGyXw82l/5n/lZOH+e/RWagABG1EkKp3rtKmqEokehlWQ/VUrHczJk7WWv+vQzeIQI 44+KE4bInSSazWRRClR7fPx1LMj+pr9ZFjSqP2lQ+QfalZIWgmdUaJBHq9Cbw9fNGyLd+YmUjzv5 ngIYsFExFPccCC6UZgRU7vozUQSt82ePM2h/LXCo0yTMFMh2ychMYFvVqXisxbly+RG/wb764PnD gfOTYJAlPeNe+PhfOq08n+3i/J6ekLpHn1vl7cAd1IP7msVlAqcFLfUsnyiA5n5Z+N5ncFCcxRgF 2BeUOEbect/t8MorYtKv53wmKyKHPnmSzbZc7w7qAKbaGdPYsPn4X+czozicg+NrgqIIOtFIhhQe ZhjM0pmioplbaF6AMM4nKHQEkevFlHvBvIoolcQItBMhD22JvizYYXoDsCX8X/x+L2go6yOi8xkB EArYZ3DYICmyZGLp8SAASrdrwSIZ4prWnwAoLD4DOd3SEtygtJHdoSmxuU9MRiHXnNs7BCsnUWLT PoMmCNwIkCJwgXVAvyhIP3l/ACkGDaNTI5MHZWiYx9/gf/yrYNAhHWRoCPDxKMX4rnHgc8Ul9fkJ 4cpruNUi+RFLNaANJ2ld62Ctz34WUIzM6Op1jTM4+gBEuLI5Pwtepg475X8H5N2XEpMMk0PTvMIN SVCNDwoQZ5HAuE1+4eNBilH61/ywyPpQJHJomwdYBpgRuqzAEG8HJze3p/A9nw0W+uYtUY+mOLJz nw024M3ZOgVAChibrDVOMPfsz+yf1p4Sa/EXjdoXbCW7RD0JK/iEPEi4BQgVyycwznN688XlQmnK qZaanrAGnVjhoMIbiq27LJe5gG56xf3V+iFm5dA6b6i22lQia7dEtiEBgnY0BeCAnSPEEpJYBbBs 7DOvAC12vnLonUeqYW00y9Ig9Iplj9Q3AVqIj0c82ZuATb/AoDp9JygCacgFrUluE+V6KoJ0CJ0R eIoqeF6nhhj457k+PoVJz+ATtHIlRRgZak+9c/3AgBQlMlS48m0N1uRvGmkP6YDu6wycoAG7KVYt 6mh8PMKwnP2lLukm6fIWWQMLPWQYhCN0l8kbJbl/sq0CVcss+Ev7bLBYHFn4ZQ8U80nhLX0H7hc6 9XbFt1XYYx1gAVUQ0pHcnyJ9qf6mQdtd8Nxapa3NmilqZPbgFMwbGWrooveIuTKSxnE96zM/oT4y MjBHh1WKWHlrubBFqe4pNfO6/kxkjiFCgDAJ82ISLEywcxBgJ9DX7FuROLoYihfUEPuzgOZ502h+ qM/EjoGGc0B3On/UnDIfj4r0+2t+Gmt6T5E+tNJjPwoOTV4jOwRaO3Wm87TKOnZxOfTSW+7+MO/g GRhqJomGuNUS+0UxAbitoyBCr5t+VV39hA4jaBEfhzT9Rzmk4lblMl1snfPxSBjkZmC8hZFwjCtE lEM/PV51gzccjuix0iEkUdmdJ9BO42ywUBhuSAhYJaDylIDStFsLKHqbtQZ/Jy4gsv2Qh4GXJ7kd Yu75CRE4AaquaDmeQU1my4SqCAJr8PEggr72KswwUA5gv9GnJ4qgsZ8gsiikZbG4y6FiqbXyczxE 9lk/YQ1aUtI8wTU42nt1eOhQxGpv9DHcNw6/HuBL7UwPir160WB6pCk4CPrmoATM7sGsHnyqfDyC 7X47Ftl+X+lZO1H0DO7UESFiD36KK9orLv5jWFTP4RMVoNnut1mZd/DyGGpjHR16RIfItVkmgtcg kPszX0CUij+hp576Oq2oOFZOcorShpjBOU0+HolR7u/YWX5Zz9ETxc4J4Mp1UHNH/kzojXrQG+lU nwNbvetTruSTg1NvLDjsYEYiebc8Ug14so0RFVFSCrIh7C93f9NIUdr9GNcZVPyxu0vmOq0NPh7R KE5uwSBosn1xc4vQWW+SJwpJYPZPcQ47T5QKUOSJruwA1Rxa67H5C7u4doZLpEidVoYWO1uAXSRd 2WTpZcfElZcRsDmH1nqqbWxBf/aF/myHSf5Ak5yPB6nFDX10iVu2fLmQOTTXA/YTKYg3dxpRNXZd tX3+3Rj5SH3lwF0P4BO5ga11hoNvgXk1qlpoY9iBJJo2ckJnMp17a43uLxqxk3iv9zLPwNmBza5M ZFrWC/4l2iTHWN5+91z+xWNv05axe+I1jmrTbNUZA51G2JqeWD9ZqAT1vtLpfU24pWXCZWHK3TZ1 wRb4hHQCx8692ChVfkKbPSngdvYANCizSEtiIW2kwceDxP06waoRhC7mfrzuY6e9xtJ8LmeCPNzp yC/VPB1oqvjuCgNnN3nl0cvhpF4NGrKDgXMbNcmopzIcRRBNDR72vmbv/qZBYEjY2OgS4en7lsaG KpWtcgFFgXO50DqO7CuX5/SJA2cqE+VjLFLd2alPGYbBa6jd5nvgtndPn/8+nf8/Y1+WI0lsJPuf ZykI3Je5/8GGZubOyFaHV2veA9gSFJlRTC6+2LIgdHiiHriDFZU58G5AtQO9xdfbl6uU/VWj2iED FraFNKg2tmT1Syt0Pv4vMnbhvEL2tdzSWOC6p1PvhPijGTuS7QnUDpOk/osdQMrdQ9u9YdbuDNg5 WOR8NtiJBrdqz13KxKsPdiQT0CnJpdFQT9SbRtcXm6dE0GhQ8xSGLoQwb9Q2AuO9//YIWwAOXuxG 6LtH1Ooaw+S/FsVNeP7U7owlQqK1fKLSc1NJ9S+mGwDlBc4IOH/ORbnk1oOSVOHdCjS4SVypMBY6 72VZ0lAOSYOwG+ee549xzqTBxyNG9s0rSK1l0TA/ExRqKuOPXwIiyLTRDugmzzn6PTXPK2L3PRO4 F2a+X6vPs7UmtCBouDxWJsoIpR8oSOEsmvuBy/ubRiJgAgwqMc1emodUqFo7Z0Xx8WB7rfF1/pCW 3dqzvcLKM+TsrHaK1vIiUKOZ10gleKNyfniBRbHzUGJa+/LB5gcUFJI3EB2er6GA3KCbMUXc29VV 3r3ZmwYX/Ob5nNRBTdWwCQ1lc6k0obUcWPAZ8+yhZudBvOJzQMelZ5w6ACFWioFNkkqR+gOp3c+/ xiRjmMlF6MKnGx5FeR9sh4FzX+C3vBvk4SUb0QBlqMwx+dkWIO5irxpc8Ywpl2x8ltv4zF7y1qWd 9uTjQQj0CDsp2Aax/4ZAoRPfoOJpbZZfjDItNS0UoJW5hnvp5sCKD5a8U8rcxQetoDEhZQgQ+Ak3 ThqHX8JqH+C4oHhnqSn4afqG6HxmhEhepgYuIKQsSXZza/HxSGZvfZ9A9Y8DOvTiQ9wEwIY5DU9Z 6AK6wdKBoBvToS2hGZ9pnspwbl/DOQQ9C8YsbC0DCs19uKTQjjYVLDGUffW67U0j0VO5f/6XWu75 JawxfFJWPh5cX+22TlnZOOt4XSXhHPrxIcg+UU/33J2rltANmVQDutGKQxMCQz6LD8GRzD7c+YFM LsFjKABNiTmiQbWTzC7u/bXtRYPknS3BLtgyrHp/GEKf37dUns/jhHt8PpLNuJQdtgYJ5H8usMCV 73wvuGd9dTW8St/TJBCKNtWJfyCEZhfYL7Z81vpqPlj8gxNoQLFlI8rqhSjXRblOQLeoQz2/WsuB LV8iiAsMBa4fDuoMVllJI2HZfPxf+hCKJckXf06fUG8ZXa1WDRNeOpcIoRt0vCK2DkRQm54ofl4i lgpxtOs1Tcjwfs+j0+UcDsbqfLFTQprSvkxBqWfk0JpPioSdTSsNtr+WPKjOfVv5eCRH/cf6IS/0 64IP3fmQ/ZRBtQ4S4mQiC8PLlgzdWwH1tAkKsRsiXlRTcvIAiEoje8JDDG2vOsXQRaaKKveE04on YKQN8St+ZW7/VwA0hvIX2mZVPv5+Pj9m55yfTh7vxf4EHn3QadqUhvUIum6PoKUzTPQzI2jkF4FJ 38UmAPtqgxc42HiEtWMDulCBUgeWvYJjAA0Hx0aRT5kDlz50MZmZTh5EHBQAAe/Av+4c9Hw8SlDz 1wajb04lGcsmKCo94xQAz3wy7CkId01Lg9H/fySOWC1CDJz6zjqcVKYuu/lg4J+TmJaM+hCY/ydF oujGAuaQITMuCG8u02o3B2Z9Zl5WlyodHFQgWzkZPHxtPv6/gA95F5d7QH9ew5+O6ckUfbIK0JxU CwMvYhRbPyNVu8Beg58OdcJKVwkknxos+NmYH3hBgN10zrEi5AJpg/gdUAydhsxEcPga+3Q01ij5 yfREg2KfIfIw2Ep4+GViOhQ/FfnsH1GaJ8oqdF7DzxHMC0q20ERwCZbVpfouSEKheTUJzwx8gnkB uQQiPfUOjug9L0x2FfKvIfAZeHNFcpto5dm8GCb8dV5OTDgkhUVuHAd1A9OWHfFZhidkfp0XgBWz zUuRiwToG+rmYGZeQ56eJdktFgzALJ1vTSksMuzRywEeTifOa8DTTVXnLJXUfdDMzIGG6GInEJZO NVdpOp6pAuUL5BFTekeS9nkNdzoaMeyzd+lgddfBOou6WZ89tc9rrNMfmik2zw/zLLiRLJuX10in m4nNvD4tkwGreqTbXCUAGTEr+Nd5OedwZhqxJdtIN3nOy9kzYzJ5gxNdu1KWLAsBjvNgDCky9XkN c7obJ4zJXs4Ar7HILuH8Wy6fq+fPa5TTnyhw64w5256kQ87La4iDnQRC1cDLuRRCMmbyapZgFUj3 MIV4DXC6qcrBHKv4YOulzXOvwN17n38NwfVBQMW6Ij1wX3YF7tDPa3jTb199kCPYh9Fb5rma6Lv4 0/oJj1+Dm27QxzstFInX1YR5eY1sOnwBqH1qtt2INkx1pVcT/ZwV3k1cL69xTbd+/pb7owZLHBBU Emew24CwA68lkiqKyj3VrqWSaCH7GtXwHOTRklj+4iBEfBG9E9o7+/Ma02C5mJzwVs9GDcpp8/Ia 0eC4LsQ9ju1YlS7YXC/4AJaUU3M/5td4prsDSUZnyQa8NnTMQQeEnvXZR/v8vOakhQsMVcR521mD 5dLXYKabwmLT57f7+TBh38naNfnzGsrwcJpfy4UK8c82eo1jcOxWesYOIwl0EZYg68nqGI7dVdyZ 5TWKwbGraWEtQINtowoUKn4uuB7lxHYJED4TGJqThPQbBUNi+/MawmDapeyUlWVm63N0wFPUg0IN +TWA8ZfTLPyYTi4va07LawGwWwI+9j1dJJ3R2TxP1jzf2QoUr+U/bn6uAuSmNti05H1ODagE7BNv np9NyG4Qs88VjvdbD/IU4KLPa/UPE6PqBKtEGnS8NLGAMTHj81r761Yd0HphJZXRAmIKzsxr5a8b 78+iOJqkZpOgWcUWzInsnbb1WvfjuTvk/zR9sJmBD9yE5x4cbNDiYWKZmDexbpIuKWkys3yt+uE1 aWk+VR4VBMvqElOKEOcX/rzW/P66jxplT5pPzDtfi5csGX8pWcwLCqcYf6yisGjMOp0IbWFwx9gl UXyag2UEaTcY1CDohR/QlMhKhcIQgEcd4CwXhBBjPVo0Q5pOMmLuhvcd0C4TlPacYZ93sha20yxf 2wmtidU8tnunamEnYGpYseLU1O0SciktTdc4R+tFKkdTo42EuoMNHvdiycFH/HwuQn5WkQa9IwBc ymz/q6DFPfJ5Z2p1x3nRbN0GZZNJArbnVM0Ackehb8pf64ZWaSL6cXLeI1/nae3sQiLTPH06AK7a UYOqLwLqBJNDa0XIW93BrmxS0ItCvIRiNzNJ2C6yVobSnYcympso+GUWX+m7bgPn5sTZi2YzJyzY n3eSludaz+0EKcp0b6d3jhZmFGfiTsWup50NXtFXnsYynmyCqRETHMS0mJcBhA2GYTpnckkbZc92 Vv3MUqeewp8hWRqP7fvUewYZk5Tx9zMonjn5dpIi90KTITpvxroBTf4BVb4QjKa5eY+AE5Ujiixw /8NZ8hro7KbVOAEg9xpWNDdMmdjVtUEhzUmyd2/gnJ4llDZh9DyrweJA2AztkqE9NVWBCOaGWlrn aGIMvJziPWqxbsNZ++PzTs7qhv1+cgNgbwvxjJqcKAymh2PPJvdfukj74I5kC4ihxe4N8vc4GEe6 bnCdOLu7ufm52Wuml/k+wfHZnFJBGOhHnND1ZzxmfGKORHFwotq0IS45CJ9zjkqJP6RWP+/ErG4i +V9zA3wl62Gam/dQWHkFQDnDF85aDs8hSX1gUwFMw7mJYmHLnUhU2df0DDllAYcK4oPnbDkLSicS MEEZ9Ucg+VSEYFHo887K6iaRV0fOd7CzeLUlW428P++kLKybe94I348Yi+1GzU0UD9Olei1Xcl/s +jPdpicDZQ2oa8wDJwqIu5C2FJPTYLDAhj2G4BKmzB1kxR+qoYLxcw7m/qg+UGnr807JwsqkIvfi rGoQavK8nBhHq8/POyOrW99VC0clGvZ/7qZ6j4qhiYEcis1YFj0JhEeNpsmUGQ6XZTohKwqLKSt4 doaqe643gNP4XN8JjBeUmU7QJ+ONwhsUSHHwpxQVd6YL73SsbqIbgLkWH5RGzSHBGDSsPu9sLJxX pgRru4pOhcXLNO9kLN6OSqSm1a+oW4r8MnGjoCNFNnPl3ISBMaHItCi3wU7jDHvzzoXTRofdOQ8c hqb4N8BKJqcyp97zl7lBlJR9UG0PLSnRX9HvjSJjYRk9+kPMmQlOVmkvioxpHTamb6oxzGEDbE0T K0p4E95U7zysblIhoPRmHzQ3NaFVSrRkm0yVjYmEyxxX/rw5A/OAzzsPC+tbWp5Tzajpzahz3iRh lU4e+HmnYfVHKs2yBtyHafmB887C4jmFOhaq7LJGXfmqPAxj6QsyhwPnnYTF1dfkpHsHQwLWApX7 zIuqVLNGPTfX+UFwMPd0M02IGfE9o1o5JfbkWcPBIhyzKqyzzc87BYtVKc8aeMVt1kXuuolqwkh+ AWQ0q8LJZiHOm96G7BFOEsguVOenRGk4D+MpP5bpILezhE64s6C3D6dthE8kqAF+B9CeKBDOIKYC xjv/iikVQ+MuVcXugqcTCr+cnHPSf97pV3+FxjB9PQndrZeHoTG0pk58Zorlg4EmIpxSzAtq7LnM BeCde8XJYdxHnwUNduCcaxYZPSCk5yhr1VrkuG8QOVDTUwiT3uw9g4UD1DDQZMsHzQ0djfm3zfV5 Z179lYrzomTEqrmJQuPFAyeZ90qh0Jv0TpMhKc7JmcyP5Z131U1ahg4jPvhFBcmgnZg2nAVVu+Al oKjDl2tSDVa3OJmN77QrzM1iXbjKHLU2R9eS+qZUvHzeWVf9QWfvH0U4tLy7myqKjAmdWL14Q0Ea e+cXbdKypHBTNnD2O+cKczM4N0MIk3Gh67AG3xCKQ9owkRuQ+ABQdAH0hvrK7sW89J5BhJOGMNnJ B87NiSkX06u6sW6i0JhdT60bOkCh2lue0zgKjZH6YXLcw3sZsB9GGMly8bqMUfTOt+JpzCuKoGkN 3rjEeWBthXwu8iY6CIg/4Nyt9ljOMcJ551t145SeuI9ondUsawPlQfdiOTfW551u1R/YutUpcNbR S0pzE4bG+A1XMQAJZslMdRF0Km3oxdlW72Srbng6tE3uoAOnQ3iuW74Joza1xKk8B1JRfUx1hdl6 J1txbhgad6VW3ZqAE9KMsh1pmJv30NiKtre5wExTgBJ+Z1gvRveyLbdVg2uQFbjqFrT2hD/OpX7n WuHNVaCQ4DYHzc35TVmpoEfCmalZ1b5EKQftVSRbXkhnO+qdbNUvWn2vOwhuk/lhSUpN71wrPr2+ 1k1nseNmDe9Uqy6MA+RUrwHosg7mYDOENZx6D+P3yDgLqrUL/lQbrC5aoFWet5Q8VyZbBDpXtJw7 1yFqf3YYIwj7vBOtuG6YLuS5fVCMuyGgiojufOjnnWeF2HH7nqJdzfmt1r693SgwxsaBhrDANSfA cX7nnAa4gYq/VSneSVa8p1grpjuTBguMM1y2O1FINWWyR4GTTEyF0dZ4FGSgQ/V5J1mxtSTxYBmz tOmmaoVOJohvRv68c6z8Hn0aDUBjMoXW3ESBMYgqAEhUQfzmmvNCtBJTK1ii+dz8XjMuvCo12HGj VBwUGXQE5CiwiU6vrPKRhebGWO/8qjsxkymeBuH3V5HxcO16uWA/JQ/8OC8QV76J5ju5CmsGL0Pw jKwjyMNh3Ne6CoDQ67Zj+J1bxaOG24miDBq8k3kWfkGUBrx6AVCdrXGURNGMqNdqDu7ofM0gl8Ih RlCBDzpp9mq8keuZ2s87seqPU5hhDM5FKlNqaqKQuKN2DQsDgxVXC3LOj1isa1fp0op84Z1VdTsw hXIMGuwUrhXa5YOSpg2myZZXAJOFzfl4hk3qNbyTqry9fv6nlNUZOCf4J6EHIr3ymXL5vJOquvHd v4Ek+A/DY5t3UhWz28WajZlHwMLDe5oo4BIxUbw/9c6pwi/DTLPIMIODzc5Z8RNpFOWGUtp2wZ/J HPV8yfX9xI3/eadTdXea69Ja7K61eNYamCQ/NObhywUR8W1pCm29ftazat4DYnS0kFvWaUqvJxxb hphI5uZzFpIrmb5TqbrZ+MoO1wZvaSYg+TLAEQW+5mITLfSoCiXgyu1NkWT/zqQiQoqHjJCg05Gg J2wnYixRq+3zTqTqD8V+SyQmM3S4h00UDyMSPvm9a8AN+Txl3Kp05qvQoGyWgb8TqR7AWqt3sLu7 pt4BJEUilQFzzyIyVhT7KEx5aXjd3jOqarGZyV9bA2M+VBPVf6mpft5pVLz561dcQ4YS0Vmamyge ZszXhZFFKk4zD8Q1Se3NSpC1dcLfOVT3gsrkL8N4D+fkRK6ABPxcf5gbZIaNfTtIupi2BlWCLQMn R/GdQ9UNRF6HVCiH5EoY9LUmg6Ja6+edQtVNHlaTo65mw+q5KyeKiBtrxWubHctIru0hCXlEfWcV ++yEteLE04b8Ag2OLMkQEG9ZXc2cJMIIOYICHa+OSpTqWotR3zuB6jmLSYTSYPjhxZrcOXAa3y9K M/0G5wGOknZ/5iaKiCcjYnTPJI1HozgKU+nEoTReNnj+O3kKby5cbFt3sF11lgs4U+z49nPLEF6y Gtl3ZzIG5sUWDtEl79QpVu3YskuK/tJ06PAe6vji9/28M6f4ft9ZJsvEfXvY906cwokDRcnByEjI G/JZgaKgrg2tI5Ark1n2zpu6J07aUq3Yrlqx+oYlJO47ZAsbvi/UZUCLDVJkAJnYHc5g7vPOmyLM lfENVRkxmIHjmmaJ2s/q/rzTprglv+eGvVUSVzQ3IYyiktYxReY4ubY8zGkwv+QaMYClawiJ31lT RFOpOpGGD7rBISC3BrCBqG+VAn1Llm4GXNorZK8u9gY1o887aeqWQ3s1Qz7DIpxZrkNdzXOXf945 U6wQ3HIoNyDCLGYempwwLqYaHuXOyBlvyTjjow63m2vZmlPvlClvOp67UqLAs34hQk9ohtwKyMee Wf9aEyi7glbPwuUiCDHjz887ZQo/fefkzHwH1fxORL+Ewxrr806Y4oHjTQbCbxJk4jzye2dLEfvY qbrvUpy6xdHZAIOO581EhUxTE8bFtpkkJrRHs3WT0bsBWABpJi5OCZ2ptzmoYnkt1fCbfN7JUt3Y PCcYst6mSelOhP4sTNfz837euVJ/looFuej6fpucMCxGtIiAzMpay03fJ6aNgNlZ3YrvnSrFA0fI UIbFHKweuqj/sgvzzBPGZCYNxJSx6IGCglLNwQbMO1OKqebWKTx94GF8ohXJLaGQ+HknSnWzRb+R MdcMyXaam6hWjHIRpMWsAdNKV+u3C7qnpuayHPydJUWQg5qabfngF1VG744Ktyd9aFWoY5zBYErd 4sQm9uadIYWJoZ/1EmZrOWbr5A4gYXFD7fF5J0h5nvrExajpyoKZExOiiWmlO61+dZJCo9KfE6JO rxM7yvqdHnVvKcpN2+B0hY5iU5JwGV2bWGXHr1ZJ/xu+o6iR8XlnR3UzCq4UKbbBO5pDOOvztZ93 chRvqfl12EA+hEqoNjdRXEwyJHXkNTfMTpBnDtDlRF7t2woU78yobspKu1CXXIPh2YDDSagiS7VV 5ufEBW2CV5+zpustg/qEAKIE52oQRqBYrxeR/eedFUVU0jfyhviysr0t1aKYuBMRKDWcSrNC4UJP TpwMO1sgfaKZiWHFyqzZ1uRg2+kcIH2CdguSy8kodJU14fXO9fdg2ZLeMsCy0S9jEqWtgdXP1Xuz HHpVPB1Eff27Rnxu3tXv/RTGw4VcDqtHnD8iWQaeZL4Me8K8rQfeogqx4NaZrVsNDkjK4O4WzAu6 fih9gs6qjdQRl9yaFpI5fENwPyGbaxku1DZ4cWKalkni01EPfHztpjIp4ebxcA/jYVQnWCOSy0G1 fdUrlduJRKedNOLh/h4P+9wUKphqsDIocj8JvTRIx/AsPUfwpIoJDNTnxdywptVDLh2VpkZ5BiHZ alb8d+LJiqcD7sL2ep80BzgtftL0KB4mZmTW1mxuaNdpDhA+N6RMcm4i7MTQ1U1gswbOTQZwpzWg mzd4dcWgtUQVAVMw12P6SeRsj6rE1VDFwpVsw5UAyCONIAiI4emoFjq/5gbxOfn6mpowGs70el9G cF7ZSC4wdTUaUEPcwZu7R1ViNV3OgV58sM7ChIoq2iK75zJ2InB2UjB3nVANynmXwFD0nlHhhuuF mJ1qzrkSwFE3HHVWPB1Q6p5U4cfoLmXeeLiHnDr8UpAGJYbkJIHd1JMKW8Q6b3BWkyMVxcNTC4aS ehqsEjoa0HtMFSDEtSXLigZbATG/f+lmM7LpUTxMd44mRTEN1naR1wDRcXg64hvOr5APyHYqWWtq IlodfeU6NzNShU6AISO+bGXRAY8G2YL1OBpu6nxPH+y42ZCSom0aoso9VfBrhfa00B+6Wwp3Jd8z atYJoS/zNBiU0mK6ngSE39bPiY6ng0vqj4IfVlEpF3Ddw2iYUC34ZZlcbTYWw4lVhDSZ5GlpT4Xc OsrPJjrAajBwwAnIK114zqJBhENUyYA+MZgIP3PdGjpxgfiGIP0uFOWQcSMHhTawvBJ9d/L9/pc9 RdxPzR4Q97BQjGsKq50K2ejbSYask6IFjjzYglYo7jGm2LLv7YOVJs5BBvrxucIHwCZ0fFm0NKgw 2wDH63Yyl94zOIopJb4oLqNB4ICSOjOqcyZOPB0htTy44dGC5d3b3VPvAbEsMNHbnUb6puMH1Vzg cFwZEEOOsSHD7FFAvIV7TMJbp4u3BhkIcQzXDaHA5KrSmYXcyPlIBeCMwjcEcyNa85ai5jZMMUAd icF+PQcsno5Ymf3ruEEuVxRicW4iSDE1thpBtsgwK3pFmUJS6Iozw+ygw+kojoATgs0WFrox8A1w 3iCvTyido2rTCHGlSQbQxABOlCu0RcdPfEMU3nQRnKcP1ujtc1nzZePpYN1c0pQUbbGd6r2m4jIx EOjbnE/PLHSR42GsJXDxHIkrKPFTIhUF3uF0DtZg66ZDjxVIdcAfs8Dq5w5HLA75ZHzvnZuu9wyS TDkzdkpIcVBYjDSWczNSw9NR2eabNMVKfqmXbTeiuBgcdXClPMtcxNkQmGg+YNjUljOMqE4MgORZ MUtt8HU3FUSeOyH5J5eqqVvOdQ4HAEchy3dLofRuGlFYrN7LZJ1ZA9fNOD8/T7e6wOgNw+KbTDF3 YgeHe0VzE8XF+L0AmKhydlj0N4WzA/HvLk5iJa0R14mTTprsgx3GKBpDnwd14gYjSx440OcowH+h AnLzKXvPiO6ssgRLodO7O8jqCUam5Bue/l/gj5mx0i1pjRBTnAkNHV2mDgOATVPOLFZD32CJ86Ia UZk4qyE1BEoa7IYMwGYnZBDRa4W/NuS3OXmF8gjLhKO6kvD+M/AFAfpRpdClB1ltw1cs9IVoWnn+ D09HhZvv+AZB7byh3wjRE7igzLESF1bbV2mimOhYpZARd1QUFS9r1ZG/WuhUyJmBw0I6lyWKfeeI PjEydxQJE+h6lYcyda7CgS/4DSEwVEbnYN3MusR4GrPh6eC0qd+NF8C64WPtUxNFxZQWVimEzUxa HLKZKYZZA3SiG0RgRFGxbagluNZank0lpuRtEqc1gYIc0ttCve0sGiBMLma26j1/6y1M2tGe8wmX FVdmOv+PQKuRS8bj0bL5E1iCTUKtCM1OHBd3lii6jKymZqKg1bvlkwK26NY9NaK4eKueRasgDRYX Q+OHxMQNC4dGI7m1KfLOEkW55RtMML8h2FMshi51lpYXYoBtlyL/CQ8mno6Om3tPUSqKvKDLYB0h onhQny5Vh6KzGbWoO5Edig52E3UnIkRxEX6tluWDLZ06ADaF8EStE+1Mg45CSAj93qtrQ7D1CIUn KmG2C8U1DaoTZwIhsDUxNWGd+I9qKGs5Km9xZqI6MYFs5yssnepy8qT0xBJTSNITuqWisNhEJwov 8lwY3mTcUvWkmhuUzRN+F9DhiLuhNBD0coBccr489gm+IeL2ytWLQPThyJ4OzxDZj5/YA09H3F6f G1ZvWLlJnk6NKCwGnxiqE7ZqYFWsimjdM1mqSZVjzs3vYXGuoj9XC+hh033yzg4ONlhBZxHL/p1m 53DX6Q/WmuY++IaIFcQu5sh30JYqiSseJoUVTwdpePnuL1CcLl+s9QjDYqo7t6lEARTrbWFxZoDc IAA/jPgywmqxGnGsI2jwhh3EZRulXPAf0PVG9abRFRLbfV71fdCD+aJBbYtlv8WMTQPzKdjtEZCA 4jqejmoUHvtxIrBwcHtqcmZYLgYKaGwuDS4czCeVncswwBbLZKzfzFh5LQudv32wuh8UBIj+Aezm pAzmGYd+MrJamip73a/rPaM8HAdOglqRDVw4E6UO3Ivnv2t4Omoy+MJpqvsBhuZTE0XF1HRpTHKJ nug2NeqFyfEeovJkaM6Qabetw7t9sKnp52wYnaQgoG+ojLqwv3BDnXO4PpKGuPnxDVGqKYqdJPfL 9p7dyUlU7j3rAE//L4QpNo2hTWZzE0XFCTOw3QEXqgLm5KUUjnp9ZHFybkKmHRu4OQuInvsFT0A+ pYMDuFFK2LTDOX8PpgA1TpZBlE6tbO8ZlYtlYWqyWgZlOwt6mwtXn3w6mpvxlTFoCW5Pw2cUF9OX btW1TIMiWU8K7seGZDuxQbO5iavFAj8K7tceMSBMFMAfAFzXnCi6h7IFXg7nd37WDQrA+IYIPUFk AEWRNYgwlVJnylDPNOPpSM7QwxtNJF6XpquanCgyJgBmU8wMmwplFh3GQ8ZLqFHQa4vnTRQZi+xz Dt7igx3G5+XB9KXLfe0n+5A+HQPkysD20afLes9IaIGp5maJa25bmid5J+7qnDdoT80oMG79Gz1R UPIbtyY6Y/QEwpq8tlHCSxpeS5+C+Z10kegJzE2EnrBaehH7uVz6KgpD506HhGErJweXRvqifc2e atd7RjXtPaO6X+YFxVIFB57FCxogNEE5ySOejmpbfuDguc5A8rZ8ZwSgGJnlm5SsfCPwLMhklK7h pkLMqk0V1YuttkWXbg2aG5TRT8SK2latqyMVp8A1m5MLyf6ja8i25owCY9X95mLrjgMP4xO59CyB jtHwdJRQ/SFsiM8qF1wyQ6IdsGNLnFX27pbDQz0lh/q3wUNnKMqmpt1Snr2y92AKeBxpkdl7omGo 1SACAnQ2o4iCpSMuWac3zIzKxeyV1UkQrwbdUyivsnVwPhlPB1PzEDR/TJZNnpycmghBMWnbp7OY 1YpuIL82Tex6luEqfjOKi2njB/2+7IOWDQTeR0Pwc/67k5+TjQP1IcRe0D1LD41h2XsGyFn+PVOq +tNV9XuHahXv5XOs4+l/4W7Y5VuUarihX8izQ/G6zaHbCU4kKhz3LJ30E99MOUvjuIkVKFgRTWn4 YGn4yWHRw6RmPCo50jYR8Bp3Fgnh+yu+icJiCsK0zPq+Bh43CWULUTxywdNB6Nf8KFZtGadrvySP FcXF9G4ezcSrz+2WrLhV6DJHCYo9rFy8IhgFbb23ACD7AkCoSIyLG06B5xLvTS5dm9hlaFnTG9su cfZgVgijkMfWkpDqWgajmJUlS5ofVzz9LwkKJp209SlX6zAsF3fKc2xjy8/uAU5hcZvJJssynJsQ RsGLB4gJHzzZHKjS8SweZ6ZIjgaOayOhQuHv6d3RKnDF5WK5mBHMxkF9zcm4AOHjrng6KlJ810Tp xEdgu+YmlCUGtG4uu51kkixUMeHwxFGwcIqzeIU4CmH0JTucK9dNQ/nm/NwNSwZ6QFielbUtLgKQ w1G08Hvq7O6Gb4hqW7zDJVDMgeum7HNi8m8rle/3r6RBUB30NW9pa4UFYxzGg0pl6Gt2nqeUbO5F ifiA641K6SuEFfelC2r4YLDiDFT7JCEc9Jg6uKIrOQlYPCk/F1XVe0YVY0pPsHuiQQfOeX/SEOq5 9/D0vw4ctWCwnS5Uf0Vx8aQ6Rze8LPzN+20zJGNpZkredH5KkFBNk56YPnh7CuX5BfvEk2xWsO1Y pMAhhwlqDxVRBgwrwlEQ1H2S7p190NyMnURgPR+Kp//dZ8g/BLNdMcgVhcWJBFaCQuS94GKQo5n2 zQShR3f4CgUoZNraLXqxsBjwrHNVUXMJ8nVjkix8Ak2k4DBDbdTiNaiAvWcAMbE8fFYfmDKc+Liz ZYt8H09H6BvPNVmiwDWZL3Z2RWHxIolhmEQdGAfTw+JqYHRQk+y4CcNiw2uNO9iWagMYADR3z/Wd 5Lh9UgacX4XN5uTxzWZbc4X6E10NrSEYBVseFJ6VqBuEPRueDq6pS2C9nTt682huorAYtV2gc7dg FIu22ED7DaDoaMrVSzLU1oql2aTJtqcPYgYB1XSSNcY3kF5qkmbD/7wwb2gXWSzhkhXFxVJKAqPR B20pdKsZ35zzEU8HR/FuX1uKSEj2jTQ3IdsO0VYjJ8P0J4rpT3i/AVKQprC14nrxuohhDRYXzzlh F0HZurYG6/0QmmOrb/0g0XVoEjmsK2TbyaaDhUUNYnjUvVgvPjFsxdNBO3zsr7lhceTSnldYLkbg vgHWUza1m87fE1P5cXOucLOiWGFYLLJ3K3ew6KbvNhNcNTZI5l1OL+f4oTpm/QGc1I4boO/4nr/1 7sZgdMPBSFOUu2SVJePpaNl8pwy4bUq51eIdRcWo+LvGArLwasKhfbWWLQsX7Q5izrEwmwhlovf2 S++lDDUBEptgUS6vRYJN4Xz2m4XL5XdHUTGzn0reng2cGwDoFbmNyvcLSltl3+gmM97M5aK29ntU DIoHPRKLm9PLRHKizeAeiZ2sH85NqD/BuUlbqiT7yqums9JP/HEOM3jZriwPV+B5yJ34adB1VnSz SfHY/wAXr5F9UNnv5LHS12qN7xdcU+k7Dc8UB2xeodghuphIyOa6JWNX0x7Lgg9s+NJPu6b2P6Li pMpWupWtjjb3gI7qpitLlUsX6VJwrQIE3ju+BNDuKCqm82Kjo7QNOorPopRMwplrPB0Br9PXniKT 7J7EO+TaTfkvJFNZyK7mDLqpoShGN2bQDlEUSjRHWT4YlwFUoxPoDUq69JmKfP9YyTjbmPJaxn9Z 9p6R1qGsOti5G05DRAzflCieSBVPR8qzfwCvcWHkG/ntEFwMxihEJoz/IlcpzI2WEvVDXXpsh+Bi dXyrVJLqw5rKuAG3FbbOhqqspKszfJbQvKZkgIvyNaN84S3wA/0lu+N6xdMRTuAPjCh2Cn8lTU2o ygYQ+FRQTJsXUy6G1KE1GWptLqsfBcV0ocJPX32wHZUAJG7IpQB22ErSEzBIQMGPh8hAt60dyhVn qepzgjho0cDXl9lCTQtPB3fUzcCpFFqTInifmlCuuHEarfQJhwQ7iMtccjmZKTlraoeabJqTtoYP VroZEzIjhEECJWGHDWMgsI4pdLjElscr4ht+MQdqBCDbwMMGopvMF1qqC0//T6XiRRHIOzehBgU9 h9s2TReEwtpROfdr39H9kooxFFo2SsHHTcHTaOcg3tQu2fucQsIJVMwhWFO0weHCKXRCxTcEGApa SfVOia3eLSPpDWo9XDipDjwdyRVffAlXkLKO61QRFosb6SCUmCU9KCum6U20Mpp4DDPx2GFQrIVD SpQGWzgAbReIru96bpScLGpG3QTAdLTCjb+6p17zN3eT87LLB0NBVollnj3FpyOVBT9uMC7ulSuQ uaOguJG/KtYqGzHFmIizTGMiDvDFtW4iDMWk2E1h+VWDtRjOOXs2Fm+ps4pXG+aAwisUIpDj8apo es8o8GMuNWhex8EOnCHI1jl3Fp6OyqF/MGCgr/V4VaQoKG4E+1EAhrcUsx1CKLArKxUooPQhj7YU RsVdpF1ezQa/E15/ldqBYkPG0MCaYoECZVAcAtCEc/DN8jd9nx3iLlpij6oldsGUhgPrs7nB8uTz ERjygbSx0QfmbruZeE6hNhvgSR3CUxU7qzXlCGjko3rLzmahSEfSx0Q3OVUW6I6lwdu+57IqeaH0 N0+wMxUcAyJQ4JJzLvqH03Bf9XfEgJRWh537A5Elpwi8AD7+L3q4JkjVsjtBoacHqkpXQAAgfisb X0H5CSkS82ZIIcxYJCESBzVY1llO3FMyTT3KyTWZHy35CgLyQTKD6n+rZnvTCKSk9h0lSDio4ZBR Bvkh2qTx8SDayd+BoJDcF4qTU1Q6xk4ugFkpudrXH9Iss6EJyfhLXjmhr52pdUiMdl7M6NxtidJx rvYxuoRWF7TLCtQIIE3jhlzsOeArInKDeOL85Tlw/YB2yt4Y2ql8/F90aPyPYZZU0AH0+YkiZZBM y5omskAbEZWPR0+mtD9R9bIzKKofD+G4mjjyzf3V4Rm+FiIf+qvXtKuEaFHTgiHi2DeN4F/Mr4iM CIg1bkIe+1ecXTnFAT7JWubjQSJRnlIgYKPnB20IuXyCwiIyUokmk0h4CyXg43C3A55G7NvAQSuc G0Vkf2s+FNqsc7ACe0L+DPVfqtI2OuEtMrcAAAK35Fa8eLvjK6L8XLodsmFNFyI5tggP9Zz6fPx9 gmpuXxPExsfOz/zEAhUEfyWzAVwU6tMBlC1oHqwS6QCKomaSEFFCbj5YaQdn8sRdDt74ycwnwSeQ mygUJnuMLEhV5VdE2RbTLGg32sD56Sgv8tefu/PxoICx0t1g+BuAId3XAiXFIhV09xhmSTD31FFN U7kf8/nI2Q+gfxh90PdHg1/yBfUGogEnSo44ICGojjJtYzvODqAC3W69aUQC1sSwQzPY72A1Augf HEbQIufjEf74myVDp+pen/mJYmdeTpOKhDLwZdIOGS4HbJ/gzwVO5A78/vLVYdkXnS0tTfifJLmZ wcuMll1bIor0yK3XlUpWtSmUNOYBNAhe1qD9lXM2/9k2PzJ4ft+evr8k2wFw43h8fFNYVkYrtk/3 2ezLhKd6luooOOSk/WiCwrryVjui3sFaNQvCdWhPgAjJnhM3GEI91geZXlRBJou9aVDpKdRGZO6u gRM0gCDnATQYJIaWHxc0yU2A4uC8vM4cuuGhSeK9vWpse9V6MnONgjuCFM+uj4lQBdJVegabH8TR APhTOjLBy13MTlDlNyb/ipXJuyEHfnhwbWMzQqpTw1WnTtq3l9xxoImdQ0O8h4YmFaYqmyOfnyiE RoEXRKJiyWkz1mI/FzA2XUaQwrZE18dE2krcYGtuHxypDRTciSCAnDzhT1GoTRcGqI6AB+1t0J3s TSPYBXN3lKFtUF2jN+lsnhy+8vEggs73AGKHRyLUN8eIbfEoxU+bOfYmrvXbyEvFVVCllk9QLHRs RY3qg1VSR00TfqcsMp8UuxOvzXgfO7g+OWpXiBga42UZ422ZgOxu3DKeDhJKr4OPR93Qb98YBC05 X4ZIDqzxkJlMYi6cPjOdrleacdLG3t1vsNAbbwjPPlTeGLe8AeRF3sRsn+loM2d54yEVAd6CFAiD NLFXnANzPIQwZBVRSkADNxjsIShpAUoBH49u+D/NqnCXzMeQKfTHWzyhqcIu7dppOIPcDep0DonS fIJC1p5kNqdusvmYOZy1Uwfqu5tYgiwJ0oxwDyWdhcXjhgXZ3jRCGgjOvroPqgCdT5dW9i56w6At ur79kEmMm49daWCRJwsPXPHZqvFry4upd6H/C32qq2+wGIghM2Rd8fu54gF/m4tEGtzxULSHRKsu PNQKHvX5VOxNoxPI1GGGD5wfhENqjeZe+HikmfgtSXV+/R+uYpuekLiXmLhnF2mVyQV0Abup9J/J 2Z7ChzZ5y6rceftgKRi8fwck23HBw2VcZSDWOBgSr+eEzv6qUQhEmCmuXBuYg0FQkiwAeKPy8X+d 0LxlOsFnzwSFshabITRjHB7V1SBOI1GXlMqP+5q6RoYg1gUcuflgKUYFAn3Q9CJPirQyAgJTZaBz fG94EKrsTaP1Q5YRDw0NAg62s03k62VvGKl+3B4p/tcAkZY2nxAorD9TPbG5zG9P1Ug1mZuSoAyg EmWXF/jleY6Ra76DJiidXwq4FdxgJzysFGpewLQAUnNmoj4u0nKhDA3z+BvUWUgd4aAYOi2zBJ4r 8/F/cRxlkIKFMC7YKYeeeZVJGEtI/yFN3SVbl/xAwDpaRPQkfczv+APpMfXpSdgJewa0Cmh+BrTH UuhB7zySei7taMgyOXTNK9YSJAKVg6pkJ+RSCtVr4eNBknFNTNsts177sxz65qHTCSFb1ebPv6oT AaRRj/mBKI7mJzTOIzQAE7F88B22od4ue/Z2oubEBQSVv/MxBFjfImuxF41aGOKdL7mmEbR3vqHA /kk4VKyfwDkPWaJvMAEW0OK5XIAcWuexCj1Xc5mLgvenzEVBPQ4hNCULtb9C7zwDLhftr3L310aK XTprQB3e25XwFdBNC8Uu1q0BLXa/cmiepwiRxp82CL+y+mKz/IRZk48HRcS6bgqmC8wQsJqfCKUB dsx5/25SFz1LJpARYuGcnQgxF5+fMIKWLKshWdzjBHThfuKcSngP+vaEfp493IlVYgp+u4M12Zv+ ygbNLfug+UmSAQMzv/HxKIL+g/OIHcFf0+YnhmokghCMTDLI6ZCe1zT3nVqW3/CBhZ4zJtTJ1uDr B0UBlFCAaIEyLdcPWtUVvWLoUHqRvlR706DvrhRsySeOeryskfUqZ3uIa/Dxf+k6MFBEDjiv/FAO XfSgckHLCgsQt2GhelHZA4YhtXkGFtjoXb3Spgu+5asbPVqDOCx1OYFSKkrhKQoDuYhe7vqhqFeO ffSKimMp+aAUvqVCjALwxHz8X0V6rKBG2kS/AXTopEeLgzmW1xCHq4J0oaBoHNJNMCWHVnprCP+U lw9OKoHve0ussZaTmfG9lgRWyIPqV9HVzuffA+g5RYKc6VKKIY7OAHrp8Yg6Mb4DRNTqRr4lstBM D/RVFyxFNfF6cGdKIoIbmrrz2HLgpocaPcW95JDBwdAJ5KwUEksA4aiC9A710SE451o7e0170Qid ALBHYfijwRC7yHu5fNbg40H8nHz5sALk7vE+PVEJGmlz6UI+OVlA2I3SpWo1FsgbtnxiA5H5QmY7 +VcCdxs0dGilzESPckjpIbwHRqf59JBzwW8IpodmPCMTaMiBqyehoEtRi5UqHw9u94vewMo+9xbK aj45sVQym/BuVgTPB8NupLocu1GSnz2xf4ix9asPVh6Di2NqEM07Rwx0m6Qi3XEnQiPhAqJU+wkN 9ar6yoKMcVBgeC4OJU45TT4eiTzM75uLDO793FxR4AwxrrJkN0j4RlsO31gGMuy43WzpxFw/hjxZ Vk35ejEC/X9CH2Dh20nmdpakILC2UIijoBT8kthe7vamwdohSXShnm+Daj+7rWzSt4OP/6u4SqTC ZPfiRj6hrx61byZ/fBmBNTt5GqoyiIZgIGOsrRwb620VxXr1wfAtDYBlHJ3AjJ0npSVSbBH81Cst I1xzDo31sslgqETvsPLzvUU8irOJJx+PAudbW9VSOXfFussnsNYr/5cyC/nVuu9tG6C5w8VLlbEH Ep8Db70TXxaZ86zigwMxR4W3ciYbshZz7K74L7SS/N6i7Aq/IWInqbeje334vV5SntlSBb3gvxTi 5GyuRtqdnthhj1h4dw8mQkeMgWEW5nMURdWdH/ObJcRf4ARQH88t3ivyCiK225KVCIqU0CPvFwA0 s79pJNVOOoUYNBwYNwNezqzg7N7Bx4P5Wd+nD1HS+ymsxjZ7iONX2Rb3gCj9Y4IYxuefg7qe2l2x z54YxGJwPfDvs3BogIvCz0kwRmXhZ8pWALk7BXiqXMG6vWmwvZLkHlLygfODLjNvrla5fqK4md/8 nD60Dy7P6ROCN5gcylQPNUTZnNLV04ye5hAvMuljotPn4uL/gMcnwMZGY9wD92aKi+LrKvRM2d/Z l6pEZ7Ac2O2ZIspZbMxPOQjdAulW4TL34OP/DpzpWw0vsXu5B457IGNDY5QMU9qKsCuFyiHZY7IV KdsYgDm03FPlMFGVSYOho879hXWD3mDDrqAA92SxFkigScax1DtTtTeN+EqcH0qFa1DvdCU6/P6g R8jHo8rzd2vn/CxETNrsRGEz2H8g+zh0g5QqHj/bPNRAWCq+fCLoRuOtnhgGaHDoxrmuOlB+sAc7 l1YRNAG1JhxKGWhw07dSVSx03csCRXXTOHXhkIEah9hcPH5CyHN6eDmYFizd8qyesO7MxuB0eYxz Otv89GpW5kC6N5+ffxjvFZWfy2Ofhjt3NnROWznbiO25tVBxoz0stFsuWn7Zmwa7S+SKIU+EYZ4I E5AQ+WCdNJOPB7vry245i5Xdnr5O6Lw36RhBjzkGP8LGN3MZqRSrRGOw6PoKQ+dlusHFBytrwFWx 1joZHG4VJc76QVWc+u3tairDE0pv+iu2DoGBDQaNOnPOsk9BZzkw30Palr92V86DaMXnfA7Lzthg DTzAqro88lTq8iCP7udfg3o0tJjLof+e6j6JYAgN1tlB6zRhqQDge76MYfNo9FFDcA/9NI8Pd7FX jS54ZgTcxBq4gqCLROr5+cDJx4MLfuY/0osGmbbb2Yk9+IhNGM4qGN1KHJ0/u5KvJKl3fUxwPlcG FFxi29BKdAEA2goVVSRfJ1VKklkB+RzFJtRWLTMFhFzfEGUXyvXL8EGNnXN7iU5a1+Lj/8q++G4V Lb1bFgtd+CbKqkNNdiYXuxpyQ16gRG4sR7aENnwqq+ap9H3Om3yV1E4qsKh51SurSjA0J3MWPSqU xZR8IRXSVwTYTCWne20fGD73vuWOU86ByceD2+sqc1NU8/xelJS26YmiZxSEC5UBjQDnlJS+p5G8 2toO7Q2M+G7Xi1hHDZZ7Ae3TUNYHfjJPLbKEtFRhXn1uL3/RCPejamFXWoDuBP6mCfgX6xKgbPP5 SPD+2/tTCLbn+grM+ECdw/FMzzZUxSBfouurEMmG4AfGuHZ9xW58auqMunww7DwM5849Tr9h9N8l RbOwsGc1Ber51VUO3PisqdNEldUg3M8uymVwQvDx4HZ/uqZLSllg0j/AlsCQD+kFAL3LAJknz2Yv EMg6qi0SWQcqlk1Q7EHC6HBLp2h3x22kAc11YIhw+vROjaa1WSUZtO+7PEFpZ+TQko/oWAivTR/E ajr/lOtwm5WPRwvI9xclIpDaMGiz+QmpgiTfsrJAPty8kjTi8wCaibKVzc/v0OdEXy0NzmtKZ1I2 seGLQiOVPopoe6HOBCaLpV+DvgA5dOZrTV3TP5UsT3w4E4FqdaNwGFrzrT2+7/euJv6dnzB+xpsu eYixa0rRJ8TP3fR0Qf0wjbAcmPPhErT48A5W3RgUWqBmD5o8iSUNovEroIRzP8Aosilz4M6XJXsI Bd47CPpcATrn+Tw3H49wP+k7fuYJNq+YeQ4N+jA1OJcV9cCgqChA7GjIuz2ACYXlwKEPpU+yv/7L oQ8yNSc5hYcNVUbO/7G/kbhTETHjP3tfmR67OTDps74XwNPDBxXmz2o0lcq1+fi/gS08y35yudDV z9/RT00nY/u/iWzZAOGEhjs3ZQs63ylNaNWxv2MffEgSNQv1kO3DRUVVCMJNygtDXEHwREQwhHui FDoNl4nY8O/QR28pvzVJRWlQ6AO4K3lNJ4L9/B346O22SvI5PWVnaJMQzfv5O+6xeUGEvwCnkP4K oa4o+1BoEaQvWIpM46QE8yJHMSYKNljWhaB5yv3ohKiFQiGwUmZuv2Dn6fNigPDXeUGzohA7Ne+g gvxsEvo8OcWJmF/nBSGBzwtzB3TJCdzivPwd8OghmgMMlkmBYhmkp1IGi8U0cHBxSui8+TvcsfXC KmElU1yD1stJhQbMHFHLqAD9C6eJ/BFEHSoSmsI7CL+fv4MdvSSE1DO084cPKjSfYIplmnN0tc/f kY7NqRCqZxaYc+KIYcjNafk7zrHlAm23KYAzN9Ty7ujyKmGry9pbf0c5+hASPzeATj5oWs4PewJl uoACXVubGHKEyIFi09KVXensbv0d5OgLmC+e/6WsAdD649QP9nVptLF6/vwd49jfSOc830dggZHN KX/NYF4WlQjJzZcJaLbOFrVjBLukDgcNzoPlMtQETmyNcrC+VoHsCyKEE/Cc7K8lgTZ4BM8lxrbx KnCBfv4Obex4IeJgstypgYlnO+cV0Svt/BafvwMbe7j+cbygbkozeM7L32GN7T3ANgReZFmHxScy crqp783aXaHx76BG8yJPvlKIiudg87JhokK0dx8nNc8ynsOvhVATmk92J53MkWZHwbysKhrX6j6o YNrx6fjLSt+fvwMav1nG97wwdMc5x3n5O5yxs5p9geVU0kEzJoR7RSWwhWQ8mwfz38GMzQv5E1XC pxwsFS8wBUal+Ry7HfcQ73RiCMERn7eTxSL25+9IxrYRmchnIQ4fdBtBAJBHaYPNUTAthl+2aYEm X3620d9BjJ26jfyb4d1zchHYPV/mrzs21c+pdhqduogpdyX3TIOdumevnBy8YlqQ7iyxLOhrj6Qa xXULgYHu/Pwdv/hyzKr8dR8E7K5zyk0F5eO/oxd/OZ8WgeS7RQiclr+Lf7aLUMqelF6XxIrZRQBn MB2zQ/QXdAeDUzdV2cSSM8HBdhFUwFImZnmd/zOnd+4iUnHLeiCnCNA+f1f+bGLof96lVd6vVvlJ XYvQkCdh/fxd97MYYt3jRVVmwBYRw3Bm/q762RLtItI6nySZJQvMsK1nPkhVp+RBFL6oRyaoaXGo KWLek1sAzXRu5SIrFmJ1EukxaDmmy0eazCr/rvjZ3LOlt0YqPnBmzm5sXRf1wMP/vI9Ix8YsE/+n wC6KeJH2FvZHTByXkC+S/awJCl/qy2WL5ob9vLJMFc56SVCWhq0RSCCb7EHGuovqlxX97w5clqtB iKwerZpB5eCFS0kDT5k1cKZx1TQ+HewnFUP56YLpEEinqYmCXohgnHzPDpfSqjdjkuIZmNSs7EiC OOrl1DTpZbTqU1MSsgDEtue6hlIrduZJutHKQyid2fpXOYvG8p8XlpYt7yIjXeXa23PtVqlyi2M1 A8IdBr7fR/CkT3n2s+aFomVXE6wkNxmFLPUtk1dGgde3FOtzAukEk0PRW2ym7YOdNucmactSpZTU RkElC9B2EEhyurGM5iYKfunRCWvMO3BuznIUFBd6j58XfpYtgb6/1g1VtlF8t7mJwl82GSA0pONm w0zLjptq4a9EF9SFCU5iZmW7FpK0OPiemugDn6xvgzCYs4TCCHHoQGIB/OZu71Pv+b6nunJrosM0 qMqH4EY9mIUOQ3TgDI9o2OaHxXnJz4HzHgPjS7lwLCM4k7OWtzj3shZnWb6r3oPgbCXQxj9aAycn t3NSlo3LGsJX58dfculrxE4DAEtxRjkjqADx24FTQRX0QZOzTjjEe2ahPR6FwYKciznK/hSaTcPv qRdelv9Z4Mv23YxZ3KxUfI4cc8g6ycP0+t57JGzFRfrK+eChDeyKJ3dVh6iyW3xDcOrsjPH48Ik0 8h4Jg/5DGDrRmRoY20A+qgg60OrnhZOl12vCTGZ2XZLyyTqf8ziMhifNPme/K8eLexK5n2iOTy/u ReGwrqpKeUANtzN1bpMm2v65x0eWryyOJtQfgeNTEYLwrM8LI8v+PM7K7M+g0/hkrfS1ajPvzwsh y5PSZpOjQjtKC1y9mpsoJEblsZCAaIVPihAy417mqdHHZYRGMbHHNnv4YH2pdvZbhwcNdlWhlzT0 uMl3hHf3I/kwKJD7QseycEPdzMXmHQeVaNrU8QOzts8LG+urgvQUI4YsGnxu3uNiXFXIuonqZs1T Wnr5hDiQ86CgCm3vtG6iwHjQEKsK71fLFUqjxn2CHdBml3YI8r4ppYQVC+qU4mIyFj8vTCyP4KSo zLx7uWXbKDiPWTLvkFOJImOrmHuIQ4vCetdNFBnTu7ur+4QmPiMPFrBalQDNIA+MxlgvLCz7XaTd 0Gj+xEGncUXpn47dYDyetSPnLDpkEI2JZMqkVObUe0ZzU4DPJvRcg9YN9H7UTkC3N4qNBfPk3FAa i/FS8qvqhYNlO7kQQ1HFjS1jGy4Hxin67yYhuzyNXyhYvmi1cHr3QZNzohqYcgBM0XuCmbicJbBL qbY3b9pApsfnhYJlC1yVmmpyTtVVDGaloQ7Orfx5YWD5hewnjkS/wNIoFCHU7EThMVvzszTnEOd8 VR6yRYDkKvDIeWFgeXzGu2pQQLiMKyAMiaJznO9BJOBMlNTe8LM6H3P+qp5uuknD4M8LAcur5SJA 5DvoIk+9qzl5Dp/PC//K1kDOX9ExImye75qaqCxMwNDcyRwA5q4iovfO5Ff1T9qGdX5KkIpb5ZPo fg46cQDvHwMCI1BLg/+YFK4Q1rBdwsjY6MMUwHghX3mQwyOH0EoNtq3OocOK+clsPy/cK5/Z77mh VQ5XryYnjI4Xy+t5WlGrZYNIlm06KhMoLKuZR9FxAiwP3M7lgwWAY9ZSwTuA28hZP84tRot3LWl6 CmHSCRJ4IV55Nq6zZicftKtQNtdVM9fnhXflB9b+mhtWSBizam6i4BilDHqB6BofrVn/qaZq2TiV W7WnogoxeP3ssFQfLMQ5Nx/A3Vg4GRqNS/1f/PqoKk+Kweoab/ae0Z4i6lgQJQ5WIu5FrcfdyueF c2VX6frOqiCClCG8aHMTxcbQXCtrmDj52VRDUfLJh2r2poLrnb4wrryKo03Vmw92jcMhAUBGXFWV mp5kpAEOBegN1ZXdiHnpPYMQR1IFsKeyQXNzkjFK2NSNdRMFx+kGx2p8Y089Z/F7aGwNlzVczH3u 7WLuTAGZjU/X9HxhW32fxXQotcGycdhkgtCPUsVCWk7ladRM2a4CDNATB4Y4L2wrb3WhKAoYoQ+W jeNTGf6l/XkhW9lfmdvX3PAoZRlScxOGxjiMV/GcavXhvhrdFJzOn9asWvxCtfLAle0W0UKMeonz pvMDe0WIU/EvGW8sVsOJKypPUrX1ntHcTMXE2Qeum3NRkROG4vj+vDCtvFj1vW7wMwgwqrmJSsbQ e4dN4fzC25hMQVKxC/mm0YRfmFZe0eXc0F9Zg+YG5LtGK7+zVirAGNLRZTN+cBZuLZ0dqReqldca GlH8pfggtE3bohVRp+mFaWVP0yjvzg3Lq/tGf1Fo3ABagpqbFY23GyQgarMqDqtLXDfvoTEyeswN u7g22FmMWkXdZHiWek61LaYj/kLkjDDi8rMY1+LnhWb1vadWE82qXZoVKGmy083r88KysthRGnGY G+YNUEzIvqdeSFY2N1QnmCZlcc4bv8MXxWdJc4BDK9fNC8fquzRa5VVTr1dNRjCMQLaww4v+mvBu CMXwTJmPfAyKjZ8XjpW1l4SUWERBclAT5lzdqv6dD/28UKy+7tHnLD5LBrZLNjUhVAKhy8pdEwKd QdeOYYOfCBuAAzQ1v2MlGkszGjQ1JwxE5ZjSTOfiG8R3Qqqp/DCgJJPn2mK9kKt8Xhj3bXKnOQid tYf+XbteLjqH8x9rxsWVNTFRUNwY29TqxhHN7CK6ethYM+jVGiggBEsw7mvUe9Cg/XRWzfkCnHuY LthKc1GRYgMkSb0hMRyI+JpBMlVZFDV95eWy0x2VINa2ztx+XmhVfgx7Fs7rCNdJuW3eF1aVHRKV oJpmxfS5DMx3LpNtuAAa/Ghq3kNiZwM3cbmaO14gzs0k49HWfJ0lo8oWurqZ5c3HMWxWe88oXWhq fZPYmc61xD9pJoQLRf8qnxdOlZcovHyjlJtapsuzqRdOlXfBiZVY01oNrBR9WUcANSGvc8xOVDGm eDSANN0Hu6TANAarE/WbumDQi4WDLt4J3n4e108Etp8XNpVPjbRw5/JBcLWsohxsefhy/4qIhVZb P+vWtV6oVDadi24RLTsZZidDTfC3pwYBf1/OS1QsTgpsyr6DXVADHmpZYGvIdEp+lkXEQgG4cttT 5Ni/EKlsdS/2M1lJ1aDL+8RIEtE+WeznhUdlv37yy5u7B3kc3RY0N1FAjOuznKA1mQBc65aBV8H3 wARTza/zU6JEiklmpoY9h5tInfujESd7Vr7KNUjMOyonm1QGZ+GhNP15oVF5mpjZzxzDBwZ9BFIy 6DuJ3+eFReVX/3fQx7i9ZA9sXkhUHtgAPkEfbMEnltWKVdkmfIKOBFw3Ya3YWr5KpBbTvslEap5j ojKRwllJJAGUl4AXYBqM09gycFIUXzhUX7Vw6NAlH7RwuhhyIBzWzwuF6r/7U9iL6IIUunBrcsKI GKX+mVx6chTz1O2ww7Ca3/Ko74VA5TkgTxnyYzRYltk3rAlp3A2r5C2DCQQ0BSRguCdbVYuisp8X /pSfNyRO7bp9UJfhXOaSPSmN7xdsqn0XDv2NeBU8KycKiUGROYlUV8eurGWXeQcu+ke6eKWbKNUL ecpe3UroSqWKp1IJvPxRMyfnrJfS5IBZKR96ZmNgYmzhEGHyQp3yoh2NQiWfzMEOYynaoBuwPy/M KX+/+n3iIORj2idoXxQSd8ikQ6nFrCOoh08gBcoHmdYRaAyTWfbCm/I7fKhnV3xQOfScDeeqFK8V mIRkgjCQAgZsBIU8u8Op0vR54U15u59WqJRPb6rCM5k+Bw1L0ydTm58X2pRvyT9Qj8xVk9/gL7Qp u2NwGrcleyec9yxhQXaZDU96RqBj1bBuXlhT9ruQzlqprKzBQr+V6ux0fD/ZQUVejxOHywVyij0/ +BtQlz4vpCnfVOIDidTRjdQBKKUAJ/jwzwtnyksEfuDwf8sjfflN9cKZsrW6qEZnsJtznmYLiwfh EKS0Au3HfOGFMuXBtTp3avn2p+ULt5RzicMS9dxYGf1vKpXiCjinPQ41AxETovZ5oUx5D0XoEkrs cBBsqzTpfgKg+XkhTHlZ648AJ501ne+Weg+L0ddYbGpaxrCq4awBXc46buAVYlMTh8XcUkMYk3Ex JphpOPKiOpFQOmSlmIZN4LygKnT91FCo+rywpexYrPIlpnrVdqWZs2zGFhd6naj4hSz11O6+LnGi x4YDz1+YUh78wY6gVAv+cLVYBl7HsGb4ciGGF6KUz01TsFt9sIrfOdkHxGB/qHMLSAhBtSStJKV8 lmkOdl9eeFKWaQ52ertO5G5t0xO6F2aCVC/4vNCkvAbvwR+2DxOXdskKLyypr2uqy7YcBw9CKTU1 icZUU3NadeKFJOVLVhU/qrdWV2+F2MCChGuptLY8q1IaKIBMgFtxSxOb4JsXgpRPjAR/6vKBE7Ox pGUVu8fnhR/lWWr7Cm4A3jhZ7j2HQwQFumdrDQMmLYqc09eS5xvLxJRERHDzwo763lB1EbvFwScG FiUIQHdDRkjPp10aNdbJvRm+oTp9LV/IUd6SZMaw1x3UeiErluW+wqejbu932aZiGVDyTnMTRcWS MBUaCXPTTe/lBLDdSui9OvXnhRjlnXAumiXQ1rqgrdZO2LtRQUAqDm9n4pLAxedPmZ6jpustg+oE qcC7CZXkaMJRykqC6p1N+3khRdnfWHzVcKd39o3zZS1EMXHH1JhVLIVYjB8F4Kstm4rwR1MTIotp JFIlOs7Blg1I84kdO5izQdgNU1NpCnKW2nzQbPaWweWdxOPgSbMcoNHO4b6bAtpV8XQQ9I1v3sI5 f2lzrW8Mw2H0gNBvNDYmRanIVs1DIKWZ0MXXvEQVYgoYAq8+fLD7qZ6VkVFp3SdOgqSbLFNxHqWz WFCF85IWir74huB+QvTaxNZrl613UpHWzKcn8emoAz6+cwWWVPOtTvQwHgZAamY26QpZL0lclzqm XPlmviYQ/T0eRj2NGVR/BquDnnvyzALqoA3y3GMISIqgC7BR1DEcdVPtPX+FBzAc0SAs20pDbdpz suPpgL+wv3F+tOyGS7nNTRQPDwjczOFzM6mFx7lJJi0wC/hwmpsIOkH7G+g/30Fzs85KbBseARty namqfE7L7nRuirkez0+CZ3tUJqbuNMKC7QO3VEdcYwpuC08Hl3f5brvAkQUqQTY1YTgsgam6rVtX k8FDUYJSXNNWMWHfHlWJCaGH3+nywVKFQrwWdVm5Nzu7vKjk4F5E4eUhMRS9Z1S44dRsqVC49P2J lAZtK37anBtPB6y6h4RJfCgdZeaFa/WYWIetxIoynVWq664XbSX6oRKSDqJUFBGTmnKmJVcfvF/X K63AkCxs1P+YLOzMJmEF9uGKZjO46VFEDMJ/bkrV2k3VIHMpJiZge3j6X5xD4kMrrNS9NtEjbh20 PwGYTZZjggqkoC9dUx5UqegK1mPoBDdTS3cwJNuJPBrEpQDW2rtrgSVgXgq+meo3Vigu9p5Ru056 66rfAA6GCRknKkiEJp0go+Dp6Jry2gSXG9CupdyzOA6IwdJZZsNTJn3aKZuEXp9IHsXV6HtMsFNy KdL3w5g64czZUchRz13boZxNgh3lOAAum+sW0YnUwDcEV3gVeklHcjbOFGS8qJkB7WS+X7Spvs8b 1jkYdmpuwkoxkbOdPHhSvrdtKYSzZMlPZAuqFPffK8Xy99Ngl/iY61zwZzvsAep3Em0q4baFFTjs qG8rc+k9oy21hZkoPgge0Ey6DeADPP0vIoPi5/lD+JPNzXtMDCtLBH7o10tOimAqBn6EUVHPBdLf rNv0KCbmjAKtvXzQ3AA8CJwf7/CcKKOAeh+02njlzEcsADhGfEMwN9THytSg18C5Aa1W3tTngMXT ETXzFkPF8nApO01OBCuGSmFp3aB954WnQWdTwcmLLHMUgvywaCPshCdTDHqrOtQVB86ZGBTT0QOH BL34Q7jXfwquUpw8XvADmBzf8HuAIz3EZQtnjAQoD1OGtvF0hPH7g8/L4LN6ZNzDyBhvA9y4NcGV gQNZSG+I/xDXgr+ACycqFC+dNFux3R43Y1gTuoFkgHdIBnBTQTzyR5YG6Ib73HS9Z5BoCjRB3yYN KhSf7EN38EgNT0eVm29Ob6b2I2Q0jNQbRcbUStw87f8j1L5paE5SUqihyc2ChTOiSjGto0/kwsJf cwXEfQ4Y+K2hpY5KcUqJujYVwuhYZEDIeTGU3k0jCoypmXEmhR1NDoYR2BIYhZQOng4C4/EHdgKJ Zx6ORxpRYNwpT1Ko+kP/i9rM1gHBEeVJBpCQrGqN3wvFtIi3waI/wGMGitEn+ivgYTD627gB4JQO mt3NqLbeM2LcsdTHCEoD45sTtesSR4sIT0fdXj9wioAlZ/X1C0cfIaoYwejATcrJGYjLJJ3ZXbuF 0pS8qUZUKaYdGDASGgibGz+k/MzNfA0uKa1TpwvyJUynTTyqKw8/MQu+IMA/dk5KU/OFAsDMqGFL 3xUFdjz9L/6LOi0nVF+3azeiuLixFb4IESDB1xSSEKfZluqsJXJLRXGxbqhWGNsx2NPUIFUD/ItY dMhpCspPHQxaXjy8qfPSA1/wG9x6SlmUg4qh5xYVDG3Mhqeje8rjG/5mKHKydqCpieLiTruA6mT5 WZwZdO5cnUCzkvPPqYniYiZKZzXs7YMXKdYJ0xYhxWiWtkphRLYj0JxC6eHCZqveM9hSxMsuSfiv QbwcS1sntedEQZccj0fr5pLKeLhgpqldrtkJI+NKdwI6NaBeQZoB9lTDJVKwpwrkr3hRjSgyvgou 0wer+gHdXnBVY0/tzfq5pOZVpSi3hIMzi98QbKotyR9iKHayJsboawpuWc/uxdPRgXOBs0rF6x+T E6KKofozaKVKNDrCLJOra45GBxZe8hMRqrgqF2fjSIPn4vUk+22x7gc3Q9Xboc0+2Q+/6jbEW49Q f6Ix1yQQQYNKoi2JTQKtJTwddRi+meEs55QL8hshhEJ5X/aZEUdoUp5c4KSBv8TuqSgwJkIBrYSm gaUAbKoTmAGgkekek0VdQX98/tBwEYbOzpoHfArfEMU3PL2ha2IDD5wC7KDodmvj6YjDcGlTiYn4 MhFsTU4YGOPXm3W6pAApAdYJH55tJp+cODDWiTOyD15JP3cCjVJR+Wt7ysSyMriYkBS4gGsK/+Eb gsCY8JKxOEdjWdYwGuIwKoSvVfF0kIlXz8Sp4Efey+3AjCgwXrQ9EwZJPg7DAmO56SD2Sy4YNcKS cVYvU4otozi85BzM5Vxf2FInlIQSKY1NIUXB1jf1AFwiM2e9aFDfoiz45lWngSlVgQCeWFMp4+mo TJG+dxUWThtX1iUsGSNrmKmSGIWyKKM/Fle6EzXp5kxdl1B+jYItVTJj1WXGzqlboXSNH+osnAFt XmJvWM4Aqpi2ylamoHXDDCEUVRCKlH2wYgxLeIhcWsPTwdw8u2qq9geJDZ+bKDJGPnMOS9paULiY JNYJnQ/wAlHemkgJSNScYcnYTLZpjMvBy1uw1mTwB+OYyTo6sJH0O4O64SNsiG4cviHKNukzJIrl dCBC3xCh5SV8FgKejk4c31SiLOC6a5c2NaPIOBEoMK/j/R6OS5omvYBiu11UM+bbMV/YEjHZF7QF EyqopuPEOSkf/iwcx7jFC/C57fESXNRVnXHRmMASs713W4gJ2rkilD75dDQ562tTyTft9hpmFBpr Mun8p2yzm1cw1GqMxpCd4jHDkjFzqVrq8MGyzdoHpNywqc7+ypTMBp0DL4eCSH4WDpY7viE6cCRG gTqHBs7NiVJpZABt8YKnI1VDj3D6bVKt2/SdUXCMQxdCAhLTOkuIoC0wBCjAjvBvNk4OTpxQkY1/ dNkCAni7GgpbBRABdfAgNaB7nKQRiImBKXaV6rLeM9hVIky1Z1Dxr+4tzi6aVDOKjdsfdQqK+o5b GJ1haAxgUu8shyZygwxCm/YW2G8gbLW5iVAU0nI+93fxwaI/UIJyIjW8jtQpxodmMIaptr0nVXPr PYPTmM6KS/zedfm9q6TGQ6SdvY+no/pW+dpUHf0UXAQ2NxGQYmAZLxpuUW6BwniUoqB2L6/xTfdS bKqoaGxA/F3vYIXRE/Ke3bPpPomaHUEFAnhgvWAz+7qp9p7BumHCSaUMGwSkwKEuYebR8HSUU3nC yZ4NfuNyy1sz5NsRSFGzdcUljse5Ec+DfLtiHg0zlGdr6moqs+rDkUnzTHqmpPXZU2fii/jjzKxQ SMHSEaWs0yBmRjVjWtmh4Fp80EWFdEGgrc2no7ThZlTlSrQ9eqEzglIghi+8Xon2U6QJ2FYvTn6G M4QtnCg2HlVafsrGx3Zj6ZM6nB90ElQyx6pGft5kSkJjMT10Bha4ZhQb66ShvJUNauDNlczbLDc8 HSFw6teBQ5RouyKQM4qNgYApPQ8XvyEUQmqHq1sHrxAJiQMn1KKYSjdZL+dgeUNPAwI46DacCDlR 4R72ZkuOwJnE8P0V4oQybVi0rbA2qUFdqlS7LKVPXoing/Dvwv1MlBYZwLoY2hUFx4kWNvNKEw+n +NbckwHT2RzC7KwITzHky7DVytvTcaL95FEnat2dvjnnHpRvF93IJ/fWbYwP9mJWiKeQiF2VvjUd IHnk1J65r1B5xNP/UnjJkiXbCsZ8dsLCMfqtM3f345R4HWanLosAJU7F2QnD427J5vTBDmRomEzZ 3cKKx3zLaWw+WQB8unjL3jMqHFMOcgthvA1hjEaRyo3nQq94OsJv+WVFVwZUcNKFQ65QpBjdS3rP CmGMQqgQxs3KFhM29DqQVwSpMN0kNjC3yXw2VgAB/tpEpp9L3VSKgV5Cjauij3ovqxPANnxDVONi cYvxiQYV1U/kpBoXhSHj6PgP1D5KcfyZNDcx847soKKG3Uml8dr/IRGD0iUNqAGUuqmZGUKMtW6k WMzhppywv2ZalUGhKnJFIdaILs75ua2q3vMX5t15TTykQWcOaiES6sgbT//rzCFwgIaiZCpqckK5 4jQpCZQsAqTCLhsO8l2CUgfElDQ5IfFumlVg98FSB/A1C304O8RS2AYBLrdBceGslIeWyN2Mb/it izeLvISK5+NpKRpDHTHj6X9FOVj6eMt0tchWFByjYu42OQQBTs84Rzd79kqeFe7xFYpR8AIvVdqQ 9d5VJ55cuUAN9yRpKyEzp9gqEDM4Fxu1eQ01sPWeAdqEkd/su/rAxCGB38cscvSBpyMgzj2NSfQl Q6h593dF0TFKafAfsSBnM4EjzFiyf5MNTpP4W2F0zLJoLSKJl+kopRMonfUAY7cTqRXIf9MFBkIX BaVbRLkW5LAOgG8IJocYrq2sfBPR9UMl2iXNvBMkNDwdscN93bDjx8Pruaii6BhkJFB9iyvpJzNg GAVrnQ4VhZkDLqoQZiwt2iExk9GvgUetDdUrRMdQDC5so5xNCkkn7MN2gcZSMVlReJymaNBp+aA9 hRoXg5ye+X7BYXxx+zgyiVJjv1pzE+OMEfaRhkQIVzctgZ4UAFKNwu1x1u+F41L6HewSH2OOBBUH wJQg6MPiqOCTBVySB6ZEQuuKkMaAbyItk/XUsiraiT62HKpPpl/xdNAZ/8o48w9rJJfusUJABVFK y2Nj/KSWVAGczfNm0hGUyyaWMJZfx1g+WIWrAGTfwLw7kci54zdtSdHJrbjD17yS+qSo4ht+Ywmt VLMPNjVwkcHftnEUh4CK24wZVuEq5Ypm7igyBrjkbPrZrPlLaz6K3+zmeAqCw6nuHDLvGGnWqgpp Hb6l4NSBog5ZiWcRs8DJ2+tHcON+k3E5/u4oMqbuJYyQsw+q/p34Q1tiVL5fxPgYd24yI86zwf2e 2u9xsSnIL3qlKi4ufhQTUoVkfFBkgnMTqlF09RvW8sG7vzCqT2xUQYWVgr+QfecPkn8gZGXxzSbj Y4dIY1p3wDrbByG4TjggFHZrfL9Iwu67Mso4v10hsh1CjQczqrRNxISyXuZRr3/NBFw5j5sdx8U6 P4inKLs+NuMo/y31fk/O0CTTK7V89H33jYsl07ujuJiCDi3v1XzQUVzXEJv1RE94+n8RBsLqTpc+ v0PmHVQNVy6u7jyrkWF6YqULZJg0jAW9QzxFtS01ffDwBswRVOpO5LdOmi/Dl82mQzetLaPDsEyx o7B4N22pUX1QEWfBpBB/2zkd8XTEbEh/hDdoJOdnT4VIY7ap2NogHWaW7JNTqtFhUrdMfMfUO1VE GRbXdMPic8CgscluwwL5SQ7kiXMJOsy1KAN2lK/5qyIFIO02cG7GCZCrWUJWPB1ABi4GW50Y4DbS s6dCJw/S5+cwycwp+aTBypF1G+p0htkO8RRdwkCKANtlsyI77hm1PjDMVmPye3IK0UB+xsNrSPaW QXDDBGx1KSf14QlDQQnlh6oLC09H8jd/ehAQt3DZrDtCU+DiAFvo8W5TLNxx+xqagq7cnJpQo20b ef4OPjXYU5BkAeQq5SqtLUJR0cEgYHeJPI+H8A2/MDYb7chtUFs890QcfzsHD56Osqk/cH+LmpCe ae5f8RQdtFe5jmojwdFjM52CJAUB0JybGE+hZSPpn3YB6udyOtuncdlsiOLLOJH2tmBR0RmHC6cQ S41vCCI/XuEzaU8l31P9pPYqGp9jH09Hxa1Lnmf1WMX5u3DCmjFgiJMJEGsU2/ibvY3LFmIShDR8 x2ExJyerg5dvB683GNV2biGsnF4kYEdR8Hpuw30JrXvqNYPzRqpAFIfSoPMGNUBuqpNF4OmgRPHl QpApJ1XGbfzuUKOtU4esdrunVjXD0Uk1MRJamUBy3URwChJv3jSlzulbKVABNCP0wyQmj9pzOnOC vtp1r2h6z0iQAnWbxMRBgw6cc9MRJnzO8oWno0zT54ZQE8ptXROCFKIpULaaaFBLkAJC1EJT8Pf8 D2EHtKLv+pgo9lP5RliTdrEmo8HiBz/6yRlGKQC+0hBmQYms/0CvyXE4vMTxFe+zQ6oljKUZ4FQU 45SIt/P/sU77SWr5fASM/G43NPD0YUHoExQqtaEJ1YHCrLSiX7irz9F8futGB8QfuMEa9o/2MO8T pGyqqYvXbhevrwW1tobiH6SO+mB9i1ryFKMq7eE3yLYxReGx2GZjya92mcA21N8knwKKOx+PRP6+ 68aNQdNjRpBCjw/WcYTip2kDGw3SGDC39VGSu62nEHEsw78p0NK8RPqTYSZc7vJqQMeQSkGbSj0T cfi4BcCa7U0jBQZd6ASfcFDp+PwGUlDInJ8oRh6X4orLjmHho2qSU1Q8hhZtQSNbydV239o+5OBA hchS3DsnNLoTfKApkWjr7jCYwgCEBpoDxJGbCqTAnQAjBkFXd+ha/qZRhZTAgfoMXD/nLlQyV3Jq fDyCLN2Ip7MGNyz3tQmKImU66KzdVTZGw1wux324PeJJQ3P1IyiqIBNN8iL8kskbgv4l3IVOzMdW Gnbb+TEG8Ak3j2BGx68Ieg+UqVjUd9RgdYvUzR8c2vspRFjcJIsnEc6P1udzRodlZOqwoPJH+HFr 1KFtIC0i8qbFEPyuq1ZQWEeehj/ePtgKmtRngTdBP5M4qLyKX6GxhITte0tevNzxFRHFSvAK1TCm 1wPBxZVi5Dn0+fj7BJGNd4teVVpy9c5PKFiBEH4l94Nes1jraiFH1AmEdoudQFHQzP7uyUDTHTQ/ J4U9t3Ehemmd/JN0wU3zt0KZssfXYo5pbxpEP2JZ0dBIgxp7KKPxr5u78/Go0l6/5oedjLyfKywU reAGg4SQcCilm6DHqJ5uUeXeTqAocBbavrBNpMF75vBtAIOAzjGL8PMzbbRORAn72ncVqHjrTYOs gjXTxdYThmHliLHKNlXexsej7t6VjmSB9cwWsTo2P2HovCmn6RZec5uV4jlPHcFe6a6i+YmkKySO WLaJdkzP1feEPSZg4BuN5sZXoiMc4ZWAwVybKjnXpljhWNSQPX2w/YXqoxLwyceD/dVu95Px4GRq 80xQWFeWgW33pJQlFALZ2a0nobx77VRuyu8FOBaWh2764ZjbdOKeUeiAC2kuRL6kTUPWI+O/JeZW BUJq0fArIl1WqFckuIvZwAkCY4lUPRj2fGSI/R6EpK8TmnrfVD3S/OQoiJ40XJf5EhLTbEZ5cOvo pmJBxxfeYDmEXViDWGTPMR13C7oY3J6J9O95qRKWCU2G9wWU9Z1xrwMoh6DkJFFWMRqTy0AzdjJq Q//o738/gP7QnV9/lMJy6JEHY0KkFt1yU6ViULKQelkm7sJt4AKTPAQKnB/T2+zrYrYnDTMXzDgn rKtU8MmTyIcOR+fbCN3+phHyYqk7vH3QBjtzrfRyjsrHgxA6t+8DKIlxeucnDKHBCYWSi/UmvNTT UR22Qmq5NnmhT571QqsqG/VWNsCyP6HhYpF5mRUI4LfIE3A4PxlqX/6mv3Vudhb6IlMWiyFinkw3 4LXMx6MS/L3AdFtRuyk/MxSVmilSNool7mWk4cidZFXEE2xsv8JCtzwSpDbRPjYYXvBEcOduWaj9 ZJhzynSdORAwF2RDGLCJ3eIc2OVBDYVEo872AgcVOEZPvIDORFc+HuywS8IqRr0BKWPfCYqV3si9 YhBNiqBjTdEqtbrh8nJzDhzzruomk2wNFiMCg7EyW8bArnQpW1BcBj0iKEReA4Nsbxr006m2Ps2D JlltEmoxdHdCmKA3DBqjK30dQVTonxdumgPPPEluwZLMbG9XKsq9+mBcSfFsmjZqi/0jhpZQf71C /bmcXxeUasTQFVuZhKTUmcaDNPyAcSlnzK/47QhaRT7SxfVsz9UnZuw5KQsfjzQU/0BVgl35ZWIa kvjQRYVXm7pcqzv6a3owPXlqm4tpjMaQrbY41eVyqjO0NzMY4ci79gnYJUwKXFWSXPhzRJMdkUPn vGlko9Z8YBJ2brMxTZV+8/HoiHbQAeM4bInxtb9ikQtMC6Is5mCLwGAmqcyG/kMlyH0nKDIIkelO ZfFAg8EOCgQLoGGOKgf03agVDYHkMhD+3Cu+8EfiV0RHdHprBIKAaz5f9obB+rlVIL4cpHJUdLAJ ioJoOhk3ubNTvTUJjAG77WSwDKiAyD8vMNCDb73EtOVDnq8PeUoTCjICVw6Q9phksBq3ab/zGEvL ljJ00GNz5Jzo6Q664yGvIT7Rynw8Uoz+FpDB91Igy+cnxC03wp3IHOa5npYnqTROBjZD85P0Mb+5 NuGI8cE6OxM1jU1oLn7r1EUixi+HxLY89KMhD+XQRU990tmFX+lWhubprwzhnHB8PEgyyrfFoOqs VyUvhzZ61OVYw2/4RQAxsSulLGdnoTnG+Ql99CicBOfF6oMBNGCQiMyFrqYnfikC54KYjE5na0+V tdiLBveXGW83HVtMqc43APk7pZeI5RMY6SFLrF/TQ2ICoxKbnrAM3WQTMiiJDAHgbpoXsO+uFCCq yfzQcmikR0kxNHaWD5ajnnwVHCpVEfOSK2xC1lsgksjloxoQfbv5FdH9NaWR13wwAMsWCaKdgY9H VcSLQi12gWXa69kEhboX9Jjnejf16CoVoppIEAVOo7fsExTG0KrTy92qfLlbIToZmQf0CQs76X24 wVjyZufzdgerv2lUJGsyDSk+cILmmROmfLWOxseDCLF4ACRH8/Ut7pUDQz2ouhLZM6txSgSyJPsx mSp7owKY9lcIYqb/ZJW3KwfvnpYKBelz/gzQRsiPBC5hQo8rA6B9y/Sl2psGffdCNKqkuSZF4lgk OwuI8ctZn52PR7SSb+QcUWyPomAOTfUg64PSmDcJWUDm+qnd3FUqKllmVR7imKV3m2WSlpvPz4Rd eUWTAyYHRe4oyDBwCUH1uZe7fijxlWNbPWqeLl7UGnQ+n6teDrA5ZT4esa69946/gVJ46+t8Do31 Botk2PwsklGKQpiNon/NSugXE4zQWU8iGIVmWxqsiMiWHg0hTrTRpvi1yZYbvrpfhVc7oOMAWlS/ PX1QjeNclrLGO4cEH4/oE4/SNq6kBtODm6GG5nooNZehXjvUQmqxNlghog8kUaKibX+FEnFyx13j DpZglBMHnh9rUwatn/kpArVIBO8H9DwJ7+w17UUDdIISVEI/NKjLPIxoUvMafDyIn9N3+EOgQP+a nqgGDfBd6RAVlZ3INr2QTp/WRApFnV5jDQz2nuOnVR9seiBdBUawpicZIwhdyYwaHJnpXRXEYS8a TA9VvuYQTWDYAXfOnELOGSqSlY9Hilbf07P3z3oaGKHBHsw2oZZi3kWzWk0DAujJsBvyo+76mN/8 RKqBf64xN+T892iIIMAUXYONXm4HTPrPzBcQtfw9A4AC1XemFclycV9uCLZI+C1NPh6cPNcJlqsE fxB+MZ+dKHJmk2uRwiz4hrVS+yRNRPANt63Mgcfe7cCry8NBs4PUotGhGTc7ZKBZnh+oR8IxFuJS kClhg7nbmwZrZ5nwTvdBwB/sSdZ+TpDOx6Pqavk6mVm+pDur5ie02WNeLrko6i+2biL/nZ0YskVR QtfJE/vs7Vcplcz214J8wYaehOwVwbfGzweFyiszI2RzDn32eOo1yaxrMHxLlsX7ScUmH/+XinI2 MwhkXZdMkUOzvQ3QbG+WRpx/dYN+kydNHZ7FW5ELKHDbQ3+Qwl/MzTTY1XUu8wWrAkr990WdyRNQ 4+xJIvP71YXsRG8adOCH9KVH8UGHz4me1HxoWW8YKTh9W+ZWkpYfBEfouYfWzbnnTMr+bLBlCk5z XWGM7nDMHJruyVmOOZYNlrrvBcFUFn9qKqptwC+eFyaoLBcDNFX7CW33JiWKZhOyrhkGCw27RVXG E1oNPv4vSb1sZiT4Je/lFTvvgY246jYhp7XNOa1v6f9TizHfHRbGzor5FnHyefXr8tkhZjCo4onM nQCItWAkX6hSSD2eKqOwbm8abDEWNXaVul7txuSaJ3wia6RVLqAodi5fmsoAAcxvHc/8i/ceoQLJ G/C8Y1nbIFIWxTE4Svv8xLLKCgp1d0/z0gaWZcKJWrUf0NwWrXuQ4RUWyMGzc8ISzcJy4MBn8igV MnQ+8AwCw5CQGnij8/EoO23fK4jCcLSTthmKome2vBurwjQboXcLJbmpQESzkZZNeyaHNnyiFBfZ B5d+dTI6ip9pNYNwnMVEEBCEWKG2B71v10kDx0Vv+usd1s2RxRgu59PT2irPo7wRGPH9d/n5fBbE nH12otiZJrCIXH9cmceaF6taz/kkCghotX4iAAe8QynlWX2w4iq8Q0mlRfNiymYGAAXUf7G8AQk3 vSvVxkInPut//Zfg1YAFu0wSOg+gEPf8p293olTac8OHxWc6qlFAlPNDvWIpcxfTSoOSl89PGDyr sZzkkJWmF5/P5Q6BqIHVcyLzLNuRBDF3FULmfiDzy9402F7ZaEvdBx3QOwtPA9kKPh5FiF7bYIxL weanvxza8ZFdz/47lLihXimVtHOwAq1GPgE53LrAwvhZRNslKyiHd504bpw0skPp5pz3eTWiMNZG aZ3bF/pAVtvYvdmbRhA7JqU930G1Z5T1pQqB/nLoyPdAEIuottDlzfPZYWH1edIIAEcAhcHIaqLu Pf6A/h/ARAGQpe9cDk35TMC80RqgSB2Q/Z2WodSM6gaAUggPKe6OpJ1SD9jbHiXuYq8a3fGV2A18 hAYuoQ7B4qUTevLx4I6/ho5GX4Fu211CsTEfhTP2tvKPhP5Y/tnsGQLCQbU7TlDgzAelALKYtvqn 2/unBDOdCDHRePjkHj3ZUTVpAwpohOWnkHvSN0S0UkI3hgxsRvYLLJ3YhyuorsXHo+qP3/C87djX u5MTFp9xe0mJjBmGKj7AbxQ2T4nfWMUnJ8JAmwBqr3dw/M86O5Vz0U4mB7FuBkhsDKJRhdqYMjC0 ffQVAUKTt9dTOzRdkZH2UnRXW+Hjwe11dYVF9ZpfnhI5NOer6JEtQm9UOnT4z6jufNTrxdcF7nzJ Lvc6hXJxagSdCxe0o1j6OacOMdQgGMBIFcdtfW6vbS8aoX/kliSePwIq+TGXkiTygsokn/9fpMwh p8xirs9PFD7jtzvn2rLSGJAQur6qnLwLHFVuaewXiz5rmg4frDQPD9BzJWL5nOB5C1+WOcAOhprU 86u1HFj04foZEs5YPuh07mVIVXCMzccj9Nh3aweF65KfzkVg0gcPJCSlTPAQPQ/gBegJWmSmRcMZ Z5jm2KVvmNzn9ME6X7OdIxMxyQZSM22izBIFMNUFu1xBdt/4Fb+13idjJg3cXQ08HlLa26x8PGJg 3PRUblnou48HHxVa9QEdcA5nc2gpQDsrvxDJgQBN3saaoRC8wdKGOuP7dsYhhj9hyJjhFLAGJUdw e6GcBkdxwJs8/xp0CsihX19rVjYsPnh8KB79OSoqH38/nddVRJAEs/k+3wkKA2gCyFbxBHX4DpvT xI7O4V3NHjQHnn1Xhk9UjJovQPNcyVBcbp0T1BqL1Ovcx1BtAMdgP/Co5G8ayfcwcu7KU7s33ztk o3k3n8OCj0eyCN/uqXTRqV8IzdC3Dz0DCGpsixBlw4EIEecIvGVPitm7RYiBcR9OMlOp3j7oeu9w KZMNOjqDq5ikGhYcQmaoD3p7mca7OfDuQ/eLuA3FvhwE31h5qwQ01+bjEbzFIdDUG8FyLJfl9HmN frKRB0/WPm39ZAf47u4VoMmLC9PzGvtkELlZTpGvAgeLfaBVPEC+OatnnS3b1NPBYQJRTRZEp8Ez ERu+hj7ZXEZgWpF8sNCnlmGUJTz8MjHZumY/PI+ZRnCPI0ygpuZr5IOJWdpYVevmxP6LAKAOu13B fsaapvz0Gvdkz7sgAueDbas64F+EvPQE5KtJPCuTfwHdEVRkbWIMGP46MYlcc+S3q/qgjuCc0p07 83NC5mBiqmXsWYCfgtoCPR85Ma8xz3mq606fpvo0GLNREqtNIRNmgmAFT5zXiAcrhnWME0dkH2w7 nRlf7IcAMX+O5yZENPQckbiAQGKq7yjlfl7jnYxmDAmVpBZpUCewpSTJpxMgfl6DHUyqncVZUmHY 0flOy2uoczcSaQ7cSPj5jGrRl1EtWrUu+2ugk1EOKVooyQdNSztToTo26jJ7sekB0gkwLBP18yvA Qgvdz2uck80GAc6rXC+AihI2AKFYyv2epdPz5zXMyY/CcBaLACm2mlx0FA8mBiLj54TPy+UQcnb8 5fD2+maMDOPzaCOxRUGQmQ3W/Ztp9ApvcGgbwYqKGXxhIZEcwX0ZFrhDP68BDg6JzvJFkyxhM1nC s/y2TFpRJfy8hjd8OPlG4iwg1aeGNifmNbbB9gONfG4TPQUNJRn5hF/J7g3ddWgQFUyMPAJwgPpg nT+wnhd4pCcqxhmjdgRIA6Ux96x2LxVWbz+vcQ1+Pq0YioJo4NFbAbaTkl7fn9eohgsm+8QIMkf6 gm+l15gmg8rBFbNMkHAIWrgpZSkxlsmERyL40cQwleyVlCQOtmIAeCpYE5sLp0grdVFeugPMeWNi 1ktfw5lsXrmt8XLRoCuplCqvlQb7o2BeHMqcBWVurPT7jfQayeDg7TSRNTVPyPpPa6TLO7VSbs8a 6a9xDA9e7IyTMk0f7IRBO7Tqqp6AcG7ixGAlceLX8YMauwXC+PM+r0EMpl12UMWqgZflj8nm7Ysi 8msIk61M8OwjiuZSboLz8loDxD6itmct1iWeLC+Qg1Ob6x9IGB8yhMHJm0gebVLr4WD7iFbn2Jp7 QF21DsHfu64+xDEP/BRpyue1AIg/TmapWQQTB5DjxDJsP+UroyspDZ8ZInnZSMNPyZl5rf1hjfJO UnJAySXrY0FtyzyrB4pPkj+Ijl5NiXCn7eJO/5+wL8txZVeS/NdaEgXOQ+9/YU0zc2fovBueiS40 TxVupEIUBx9sOJHvOHdeQu8KOoqV4lyoHSPKxh+F/IVbiTG9fK37Ye435adnvQNnJs9c1Hg4t9/n ter3z50kNe/NDppfSu/ELdy0ILrA/NZATQhNdfhK/hSJZdmX+ff7tTS4qTh40a8BjZIbr6Xz+pzD DBpTBf6oX2IkYz6+Z7CfhpxSgV3QwGNmnTxfTbkT0nzeWVtYc3N8nTOoBKx2pyaKfBEhoWJsU9NI rqdt9Z4uRJMvXjAOfRXKPIOdvwvo3E5diDbOL8CTKDEFA4ApEwagqlav4rSFR/CQrFPxQUWbMZNA FziC3xlbjH6/5waFzSJGJCcnin4TSkhmyUeNd1stJ/NLDklhZUyAnWByqCoNO/PtgyEuZtsLgiv0 HwboVAUtIEqB8QVtwMMZzU0UADPVguhP9YF76mzXxepHbShovUfACGjW9/0EFRUKUWluohCYaIJd upHZtuCTPG6y/m9zsu6kZkxwEgvf3/nhGm5qgMpe555Cu7cKD49WGkqAII1eJ/ip9wySpsxEe0p3 3P3/Rju7q8k6Y6HPEB04w2MazBGLCDLMUnYfxDRAwRYafEmgkfUPNTpNtHFO1MGtkBXNDaXSWG6w gXNzYg7wdnCUQGhvz0bRyobWVCY2DAXfoT017T2j84a6nltI5W3SWuOkhVsom4UueRQICz18zxtU vkq/F/g7RSt7C0bWWJCO2MkQlHklV3YfLgj7ztDikc7DmFmoBls4BZIoaGVuhARtC8GcEXCV86XG Y88n/kgUChcCkFIlMJ0DY5u8icmnpXD9vNOzMjQgyldWmYmzFH+NcxMGw4sdYNNlPAuoGLtmsSiI LAGqk1bgi6LhrjA4PYNfVPD5QzgK32rcguxiLYqxEgLndQiWEz/v3KxsHiJ1y0lsu5PYOGFknvI7 z/vzTs3CunnyBKYJKJ617FMTBcRgGEDo12ufxJXR1bF5yn1OTu++RBGxpqZRhFaDX1QnwhmbuPaz +ksXxoCcAihd90f8gSIpn3deFhamfIdrXj6oGHGyGdnynE/6vNOysvF+NDdcIwQz5run3qNiR/0n 9uYyPSVMubwLTwoVQhSktG6isHgQlNwTFVa6aifcU/XklgvQ7HNEnyVJDbddKbIOpVWISVlU3Jkv vHOyeJwy6kOFxwbll2O2Ki0HKKu8x8WP4r0dODyH6104UVxMNwDqelgJqzYrYRHEC0zOniTXYG7C wJihTZegPQc7jMEnozLVOaJXr0OdYdI6F34P5FKmqjKn3jOYGyp7VhDqbFB1r4lpAkTO+rzTsTA3 1S9xQQlR2Uh+3ryzsbCR6UBS3Q9qZudbt2b2qTBZs57UOxmL+Qrzy5SSD1o345wDyH4W5ubseqVd hQ4kC4XveXMGZKD8hPeLaktJmNUEDdpUZ1F3zs15zc87F8vf7ylMNJwklOjW5EShMZvzk/Vnpppt eqq5PPorq5ocxjsVi7GZjhpGvhysKtwzTDR5GJ/or0mHmdI7BRXwnm6qSRD9552JxXo5izYKkHd2 rNu5snjJ4uKZn3ci1j83leScTCLS5iaKjAH3knmErAtJNcemGsQl0Qx+btOTe2dh4c3JI268nDVY Kxzk3pyYNpxIRkius80gueQp9eURUwrjnYXFEgVD41WbD9pViWhCIJVq+7yTsLwV8VU0BzSYZtaa nSg2RmRazmlavabVvKbVzf5ykh+uqnkUG2t2uuBK3Y19zpEzUVA4KQjuKsihN0lcohqEy4nqnkKZ MNH9vFOw/EBtdSntXONpKAwL3+b6vDOweGBtmxx2EFkgGc+ZEwXHAMSiy5t0kQ/xhiF9uqxiAS9u 31ZRjXgkBb17+mAxzkkDa+tMqk66djJuXeSYywFKAnVhdZGT4fjOv/Lfvs4tFMV2t4R2wjipc57o 7PNOv/Iw4KtKTDnDffdVWCaejG169iLONAx720ulwInyrk1OVCeWRHer2lf1UVAZc4+eGOWkAoXb pYwTCMBsWstu0Lz0nkGUI7n7KZGHadZB0EFu0j7dWDlRdJyaRzlq72JX4YfU3LwHx37mzOHS7gQj SNrdS6Iz3YXzHhzjPJ5vF/lJDuaZ9omuy4kAR1F3nFZVFasHtRTPHBjkvDOvWIeRTQLt4zjwPD4r aMvr/pybn3fiFb5l/s7GJ926nhMnio4BgDmXURoGHZWVGNUHp2WcnTgMzs17dAzaMCeFn6dBJ05t gD1B5+F8A2jvmnMohUxx7T42u0JuvdOusgRewPNNd+C6gRhdV6UCc/MeHYN1+Z05dNrg3KTqnXaF Gw4nLcjgnnA67DivIuOEARi6ncbvwXGi8EFGur190NyAIQN3NQaADeVdyRbhQgTFvrBbp2I6m1Lv tCv8htZ1KdUHg90k0zuBaNM764ot7O/gmMItdXtX6p10xZtqsUpvRWOAYC2r2t28C1mp0Lp5D46z ca76WnewPsNZNRllMyQOVJPhuuFUoqULw0I/jIu9Z7BuCBLcQ96Fw70LKxIS/AsK4J93xhXCx+mV ClplnVX35FTvfCssG9jart2c7ZB5KIPtMMeyXLwUA9u8062y/K9xzqw7aGo2jvayGOIkJmxCvSF6 YvloPkoyKKd+3ulWKFRoS9HiRIM1YWqXreOZ+c872yqbWNvNGyAzyDKypiaESwwyiWxCoFIgavk5 dfAW/6cd3Wxq4qIx4huoBfugqZnAZDXAzuBbA80L5qML2GJmCCD0XJesd5IV50XEQ54SSX06FNNT p/M44KZ8uegY9vubEFHKLPvt/c6wYl2EuMd9e5k7e9xn/keTUhTcTe8EKwJsdAoTDcHB0qlzvp+Y q9Mp4Rw8dKs8QQjRCueWWQ8sAC3lzzu9CtuBhryZu1YDVwwWNuUF65nazzu76p9DuF1ztdL9hnon V7FeTOGP5spMbCiT3inH3QL2WTMXiXduVTZzjz3k18jBYuJO+D51G05AbLoxDeQzdury4x/GltPn nVrlPZi6h2pbSPv5leYJ3etWWT2Xzzu1CnN7Gw08cpk53GP4nVnF/LYRE2AuEhJMZ6pJlI9gE9kn JyoYK7/ubKxo0ORAG5eel0AWn9efdhhNiGXUn8cGFMnJ551TxVSKcIlNaB8HQdawhsyEmi8XBMQX Z8PizXlVQlM1L+/hsGQ6xHlVWJOadac6rc4Q1pytbWHNO52KkTzjmcSyVZdbIetaQMnSFB7Kpidi NWVYCiOj+QJFXGtOkW3/zqbC4ibfY1OORoN2FD6Av3k/ucI7mQq/fvYdpcuIVY50d1QUD1PmZNCQ 8//4r6TyX2/0ZSSXAWqwzMHf2VTsjyiuYfOpfVEZykngmXlvNH97FtYRoUIB1RV8BifjoTj+eSdT edO2FXUZincZJiiHUiKoqX7euVRYAv/ENeQplXw3VBQPN8hUjVoufMJ5HuegaA6fcCHBdybV09bs Aq51zs2kDFwrMCbatMjKRdYjOtoXbkDqBVsKTqbiO5OKRSYGajxFNWjlnJNLLJ9a6+edSMWQ8U4O VglF7KUSw9kJI+LO/ue2LHO0bDbw4F9a2Y80bM5OWC7myulUWtNgmRSUujKFlDu0dSWESzsS8DIg suCVLdLzP+80Kp7FrGxRE1OD+gzdLEdR/vu8s6hYPylfV/ggED57lvlOoeKUsmNHPBJaIpQ8oICO +gyb91QyaF9ULp5VZw0DXg4W26Tz9jjVUZ44h2hL1mcAwfR8EqTHfeEQYPLOn8JvyNpNIjhEA+cG 4kfLWgf7806fImDKj5xueqdwIPHJeadP8RKf/MPTbCS4M3AeNzrnYXIK1KXIL3tnTz1NGJJzNajs B+1SIM8X+wwE+xF+A/d30MsANLFLnDHW5509lU2gvFVykDFUS6ZnIwIPkgDz806eYoOqfVf9aFld 78p5p09hvUEemAQPSVORgXGmpKETSfD5WOcg+mlYOe/sKS9YoorefdDKAZP+JIKs3Qy0T1n1QzsG rtHnFs8PAAdB1uedPHUjnElDLg2OwEmyrD7x9eedO/VvYYuwC7aQbzH9nTyF5YqLaNXmRvCDqTe4 HXOafUSjwDtShnfuVJbCMAEm1QeHC5yoe+LVNjbnZmuairjI/McPMOsGJYY0Gt8zOo8Z5GQZV2fj BlHzSMY1J1z+vDOnskkXa3ImM830wzKQ5uY9ND73P7JIKNfZkdOakihoGdmRM1Ga0dyEoTEzzdas MZ79IofwRksAk2yIEE5FiTLyxl6b/V7kA3fj5503lc1YowHo74MtnD4k+3+Cg887bSo/nsx2kWOH rumgtnfWFAtGGZVWY0gBcNJvFm64WRgP6jh+J0093btG7hQHL4iehUPc45mxthYVss6h3Gj6obzP ss3BJsw7Z4oYdEIpQFG2Qff4KPKBP991ft4pUwTFfYPQF86atu6eiorFkMKG9UY3S2ZqurCzSX4q +JqS5+e6CaNjRn69cGtxsKuqIZEyrdtz5DCXErgLove3PMGL//NOlWIannVBFR90T8H3kOWJvsfn nSmFrTEcO6seJq4MOrJrZkIcxSRca1lfc+2htgsEFMwKYWwvh77zpC4Ysquly8FmpkMSOROAkyDM mJWIE7CJagacHbSjWHX8vNOkGHwTXdL4g3Owvub54QT4K3w66vn+s6NwrHOLam6i0FjoyT4sNJa/ CRNxMX8BaoNCoeYmDo0Z2bDeo8FKfsiSWbGGgOvJOo0mlIBCh87Ic9Z0vWVQozBeeCo+qKl50lg1 bHtfn3d6FBtb3rdTNxwJ1cNdiMJidI1QnPeGryx84OUoehSampQF4syE4GKVb6pmpt6ZwUFT0Vmj 0WVjAQQoflZ94eX4ANqS3jK4vqkLuNlX1sDvCPN1c7ZfFU9HgZ+vGfbs9g/JOZqXMCSm8lQx98Yy umu2lmS9u5lBNtYZHBWJU1Uyxd3EwQKbeuJ5tKNwP52VUiUuTagIVN9RC/G6Fr4gPiFgLyBnPhlm vYMdNaiX/ZCVyaeDRni5dzenkY49FyPaw5AYF9RsTpofu7shX6lmClFwdxAj2t9DYqOtQZyj+WCl m9qYMqOudSKXWqztiVU4sEQgGG3QG9a1esiqE+amSLSsuGhZQVuCF8y5NPB0wGHY3/ASwqfSDfp6 WCdGFj43BV8kWabD9/z4aVlZi37XnJsIQTFUz5LeFgfNzeyQpFPn5RxoS8Y15AedlzubdT0WoMTP 9qhUXKWyIPYLB/YUZtrso0FeYuHpqCCavvJMQGjmhbP1uFSMnkIrhoI8gZlBRKe8qyeFxk3ot0el YoPrk/mmwStbaL6wsjWAgaT+3oY2BdwqQMRfD4+h6D0jxJaaUnv7wD0F+/QlqN/ceDpA3qz+dUNl 9KjKvJCtHtLrWNki/RgHcR9Wz8I5MSWizb4qabw9CohZr4RVSPHBDpxyzhUA+EUjG4Lm5EH86tn7 yP1dQ5uxTY8CYgotnMAR97AGBX00S/qhsmnB08FRnNp3JoXbfG2/pHrEsEOojdyySqF+0KOcEi88 8JBIZWSNTMF7GBArtKHNmgaro5dz2YJahkQqQUqeImYUL0HuSh0cqxaXpPeMOnYsE48qAZMqHeNx VqIQAv2c6Xg6mJtb2MJuh0dOKZeV2cOAGGfxoLs4eR408WDtBuI5hJYUoMe1qUKWncoTRXSPsi96 AsKug6665+AB6Y6oJEQqFdyQuW4lfVZ7z+AKF/8QWkU2KLiZWERMjibfL1o3/4R91EApd0+F1WLQ lzchnuJ+Z4Ef+9TVndHOrEZx7mFIrEnJ4qzmy1md54g8sQgSKYgIjlaoTDZIPOzzB/bUt5259J5R 6UZ62X354AgBqjgiHZt4OjiLx/46iwkq6bc31d9DYmQZ5KpSGKDQ/CdLVLxDXbUy8ENOyMpNj9AT bIydyEbFiX6LE2cbcR2hkg6JVvnyUGe2wFQX4nl+GOMhfEJ0T7GS3hXg+LqZuP1kOnNOWDwdcRlu sViVjK16rE1OhC0GALK0RRAA/lWLHzgpKd8cUxhRLNoIPuG5lLLMoYYRAhwgDc5ZvAmfAGxIvo7w q8VVCsid1/yAKMcnRHgtMoPYZtCgmwoOUtwUvW08HSH9fFPJfAWuvpc91cPIuJMgZB4PAKVb5Ube bWyEA4BD19geFYtXthtq+eC3eAOajXNz4hxz3KOYLvzacVw/c9P1nkGeKbEtrjYNioxHYmYMPkbD 08HCqfM7wAF+TDrFIvZGkTFv8U2mlhhCIxteKzXDss1LSBxRsZjEHega38ESzQmhdaBkz/1Vz4Rb GeOsF9yiUGv0cuiw1wwqfnRFT004gfbgBFrtVtXKeDoIjMdlJAoogKxheX9qRJEx+tPQ/Zl0YQaq LcnnAfYnEioZdFbFwhlxrVjMstJ9sLnpMN8BDu1Exqgdsg1BxkuBFQ4+96ZUW+8ZIUQ1ObX5wAhn rk2/qR8Q7fF01PO9WDb+xwgiETbY5ITgYvAHBkIGTs6kyjdVNFHorthVkMrSVTWiWjHti873b0KZ MN88RzCEK85x1plvDsRqpFk1MXYB1LodGDAEBz4gwEASRpGycCYsmTClrvBNZxzYO54OShTtux8O FYGV7paKAmN4yEChNhsJhhwmg2u5ABCbkdxSUWC8ihp3Sqik6Y2ZgX4LdhACnHb2XVGqDiHuDNWD 8nCnzgYe+IBfQdcEPWmwdngXaxaIaDwdHTffSQPw3SXdnuaI4mIUcc/Nva2sNbsj2Xrr1tOs9Ozk 1ERxsU6bzs/T4FQGCEIiT4QxbE3ErEKvBXdF4fzkBzpb9aJRh0HrpWv1sFHI6O+sVK6bcf4gHo+a U9/98ILzM29XExhhZIzG3WTjnPITnTNR0GgupHeAIDTM3nxEkfES204WzG1cglCBOzsVx3aHKtK3 +8NAoltuCYd6QfiEYE9Rk+0/F9VEdsYSzgmw8XTUm/KVw+wLb1HSXTkhrlgaN9so0NTAlm5dsUwC poMG9BsRrrgIpr8YBHKwyBjBSIUuCkAmOIUFID2/GeRUyA0XBYaI6xFKUGChoEfRfeDMFBz01Cw5 JwCeDsKb5bmmcPk0fUr3JA5hFJXi3Xnb1NB5hJp1cyWbmjr8mopqxVMnrNDFbRD0kbGpkEEsSvKD ND9lelXo2AUtIGxpJ84j/MQnRPGNZIwp6MJB8NBKVzUcr2vj6b9UBZg9EcbxMKBHFBiTpDopTcRs k9ahbIYP01ifZbje4YgDYwV/quTMR4sCfMGWqcd/8nBo+hFFgaMV9Nb+YK43gZAjDIw7vxP1YzUI RZFOhECx8LUqno4Kxt94dHI3qSukuQkD4yFzr2X8oJ2LBcadRDyyNYcRWUdYMpZCkgCgHOy86fms +04hoAH7FDKgM804aYOYiJ6VWKa/aFDfakW3d/KBKVUBZkNeDinj6WByxvxOqSh506anDTOsGSNt mBTs4F0lIWNUVzRjYJbBd5xO7zMUYtMlVdP2wU6cWhvqWghwzvZa1bzSEKAgGaHPstUpaOUwYxgF KYnQ07PBIbRNPN/UGp4OJqf5aVxV/MMd41MTBcY4DUondZEgii45up+esKkKTBx2QhkTC2dGJeNO WlBvY/lg5fQFryZIpQO4VeA0iBMHIVABaq/WR+EQ1QZ8QpRtDlERpw/q3K0BoSxEBScwniG6uH/L UQCICas6n5tQjmJQOKZZzVjOIyxv7ekGDrsahmKGpDv1YXhXarBNhdI53T8hO4YNxrIoWm8FV0Wj f3mSuc7We/5WM56b4R8H7qm1Z5F9eZ98OpobXzbStDv/W79I0RlFxvTROpNvHd9dujFZ96rW8Z2g qmhuwpKx1dOVie8nEwevZSUq1cFQpxJDUWldTq3A/Kwb9CfwCREYkuAJugtqEHEKhsOEtLVV8HRE ZPB1o5IGKtyP/s2MYmMgFZ07T77H7nYa55UNYAIOFUs4M6TcNcn4CTC63NQUBQrQvhpuqgFFE9bd CwegiyBndPXq7D2DTcXC1v+CKGBJS4TiD2peeDpo4DUv4SjtRl+fXDl9aFw0RowjeyopUxiMNq9p k5NKs2xzRigKmVq0KUz+3J44zH0m5iSrKKgD5qcGXpGHAd0WH1rZ3HrP4DBm0Xj33nwQrSwlmca0 OQaejupb/1CnyE27klIzwlEg8oMehQuGzmkF9SnfV+wqnJvaVVHReAnoJ8FLDsL7nY10bhAUiIAz npW6V7ssVcfLt5uympszCo6Tru8h5dDhzc2z5MmdBkKu4ekopfJdRbgf/ki5tLIZUu4wN2s4rWzN 7k6mY4i0ea7gamrFM5RoM7IHoeccnOwB5j0K0ZBo27BFZMWdNgkba9JZZfQexwcE+JstgCjt4Dio 9JesR3UuLz4dTE2+PSrNI07j28CbEZKCPtNT5uQ05F7mMw2tdHU3K7r1WjdRaEwLO8j5NR+0bgbU gWamExPyTTYndx1EGsGALj2UBpa3ZhQaM9U4Yd/oPog7hehPUPzc8HSEv/lGbWUpDN11E1LukMX0 kR1NUcxLsNdhgkFQ9ht2GMd6FIxwpCLfr4o8WrwZNkmYGwjJmHASqZrkN5Acvr8inFCobRI8W3r1 QXSPBks43sy54OkobfhHc4H6W+uS7lYUGaNvD5lP7+BtY69icrIh01Fm4aZaEZpiqBPzX6U2WC8n wkQ7vL15D26Kf2eoFdAu265xdmJWiKZgAr3p76PBwr9VpCB6InA8HZDu5r67incWnAVS9wBwhVVj 0mGADDT9ZlswvREuxoxzu37zCvEUXVQPamYaIFpLZ2a4t6P8R0BF5VVFG0FqOaTbw2PiiE+IahWU 66iSbKuuSDFbkwblyZQrno7AW+P7zMnoictdhJMTShXvcf1cga0o0xHGjLKIqGD9lEsnQlRIywRV CQ38MXGPg+tJ4unGqV7p9gmdIIDuMm/Ee1edbK7hE6ISVxchcfjApbMKiricHEhDhtHxbeKp1oca LtNfTU7IvhusulIgqBDC1Q0qyro39hWOVQU5K4QYi0FF9JEGrZx91n2i0vc+59r5lwTmSWhmpJPy c1tVvWeETcdtVbvMS7vfVqWa63Y9lx+ejg6d/RXk0F40Xdz+iqJjBGsobQ0TCJKKFNoNdBkjvK15 u2GF5LshLZPRfLBezDl2z+09Gx2TR5YeTKahMzT/2+MqRJcDfML73LBSVFenCjgHHcg0LeJNnjKe /qvfgG+A5C81b1OtKDZO2C9juVv7YNlRGafVBGcl6Qj3+IrKxsOsFAUFzVcxvsOm69ws598gVbbO WjiM8SDzUn4a5XkNNLD1ngHYZEkfSH5U2/2oYFNgLIU+8HSwbHL958DhHX6D4xWDjMkPqqr6nR+0 m5r+ZCeOBHG2sHnghMGxCsZaPhxsT2HRFKALqYBYBU0HyBubHgdc8iCHshL4hEhzYQhKsS+wApPT 2pYPfT+XB56OCOJe/yNIiTiwevdUFBzTo35lnii0ZCArBjrgKCVUWpnhPmGQs0KQsS7wVu6gABCF 9AUrCQCw5+jK1wsuCRkUtQszlo7JiqJjwOMhYdGWD9pTOcnzHFbnePp9T61v4mYWLPJhta4QZ4xq ZJ/uo9gFGYUgBbM6CVIsU91aYeGYVdEqdVEOBjcB3m/2IR+GNVhPOT+1yoTI2B6YElmtK0QalzfV rQm1cUOQrIqng874zTjxDRCuslOqqYmCY8iCobRrMumbqpdKqpY7VKDEpQgnDo6pwbEka732o/GC qwpu0fvseQDcKlBKvJpQFITFg0c4uJfxCb/SNikQrcGmZlXxdTfO4ghQsdK9p6rVuApVwjk5OwqO Bwq1Sw5CRO7XajWur2zcSa07VGsrwvxxcroznHaCmBkqFKj/wYaxdFmPozzCOmm/2bgcgHcUHDe5 vqDzZ4Pqf1Ty+iExl+8X1Ljq+LqoWC+h4KDm5j00Pk9typcsd2TI05oxqzX3lqxE52BuIkBFH6Yq Wn2wS3zDuo+SqwNKFRIRMtFM3FT7VnGIPsQnBFUc4SGlR7Zcj4wlrmZQY75fgKct6c4NNVQgY+8Z 5w6xxoPAdKsWQwjbfFsFIUOF65zpRmndMdZYVRylDfNJG868lt65bsZJ+Qm/3zS1gubfmZMbGUuq d0eRMRkRrQzxxYcHOAlGekPB7sbTEffOAxzc/rgR0lUy2VFcnESGac3LxtXKxiN183QbzU3Hdwio kHBmlmJHvoodJ5E/1wGU/c4VPaFKxi0lqNLZUvjJnQ7DQsWO4uKt82YKxD9dODOBFM3graeNp4PK 6KN+LbsgXFGXRbVDqDHVIblGqUqRLA4EvjNrcup2iecdQ43ZaJhKrGa66+YslAkYJO4pGJ0wLT0/ O5bPuSnm9SsDyoKvGWntN6ku3EEYJeiNywOoVTwdYQb8LBYQriET92RzhzptaHpPqiWwg7eubhIf pE5bvmL7IZ6i6wy25XPh++c7zHPPdSjgrAFG0nC47YZR2cNroA3XjkrG7J/+V271bFGSJ6G8sPD0 XxI4quCoHeQzE6EpUEgHC8ZKxhAh10Fcr0dZrtkP4ghNMaR9mJRppptpoqeMSJtkIbgQyAJmqxFT pH24xJ/HK+ITIl4iy1uTnKGqaA/4dAitYAWd1Hzh6Sib+sYZZwRp5Vk1YVQMLMwoS5sHugvJVCmo oCdVinvahCVjTUpm8YqDZQz9PNzFFQIKe27JrXZq4BTZ43DdFAK38QkBnEL1m77uoLLoCTOsnF4H no40jK/fCRcQi6vZIUo7rBlDlnW2OowslK1v1yW2JmcPBAJIw3dcM5biQk0+WOkvneBuVLKgG0Vf WFmigzrwIgT+ic+6p14zCIqZhe8tG4tt7uWwQFegcz6IT0ep5oP6O4ExBAzGbfzuKCqG8gSk/N15 dBcjJk5qWPKWIq6S6yZCU5gmhZRe+qP0gmk9X5oqbVM2pIx+cOhAUpq3lPtXNL1npEkhrX32szko m0qFunIQR1l4+i8pMkbF1Ny6khQ5hWCKQmoZQmqyNin4JTAF+kf/R9hBNxkc/JmotjXVYKk+OM44 bShLLeQM50dYFNVMFDGBwyOEkj304x2Ojwj4idJLHFXxDcpTysQh38FM/Ewanw8O5CubSTAr+p3l gQ3kFNLw6KtJb2byxCV8MyASWJdhKoglp+J+CoNjkeMJcdZgfCrCsCHZCq+lE+4QVJGwNAtlt9Hh cIJD2vaqQXt8CaTU7sDwGPrIRJ+00RofD3KHp+tAfIoIfenO0HuEjOewrehcz1IOy3dUGZAQDHp5 CCbkSZBCyLGcGJomqnXPO3M6p3GG8QGKxye1JOYrQ9gEMe0PmQ1WA6z+phFeSZ5u+w5WPS5mG5o5 QVGQPB6SK+YnU+fgysunkJCHNZmSi+9vdK4lL0rGimQid3MDndDxjsKiIspruH2ZvciYOVsN8k5y hqaxICJ0NJzcpwutI35EUCTdprq6fBC1CsZxmp/U+HgE6PrmchKB/2VpkaJIGcCFwnIay+ubC4Hk qkpJO5BRwSmxMyiqIVN07qSaggRykhX1pJOfVaQN+4THow2ln9CkKeCPDxqzMo9AfKiPCLoPajuw qaJBhYs9m3GkocCfQozFTUD5/9AmbyxE2QSFheRGqYpl7LNGVS9c7nmxQtp+EDJ2Id6oDvtbQXCq oDyfsLDnM+UocUMSu0MeEROUyJCGcy1g+Fbz4vVOwlIQ2YtXr/u92/0+IE7DK+gccI2Pv09QvRc8 u1hVrel9JygsJoMQt7Lx6YEYcZ0TZoY8gZbXBHOK4mZ6cf3XTwe3OglEhBO02jZPKrA9qU33Ux9/ CyaX/IioRaOaF09qDuJZQW2Nge9JXPh4UMK4t7xOCfx7PzssVK2Q+HOvznwYLnB8hWpP1Lr8BAor yuIvUlBeg51ApUI3Mk85KC4FScL3N4gFXQ+vQtdPfkQEuFDSVbcGK7fDjZEF7HPrNj7+V4NPbEfE p/WJgqLoebHgjhpIuvROV0o0i7NWXCkRfyZCsWtiJDPQHyuHWVHZrmx/MjVlJYM1XsiJlnWVw83D NsUyx9xZWQrF2ZU9epUSPNKiyceDDXbLgxIuKybwafMTFpYbOUUXdTFLsrRUyC/Qpue86yeqLA/a CbWWqw/ODzkHfZrs1rA8Il03mpSj2kvMrTqgFFvmR0ReF8SRMPvWoOY5dKuZiA8GiaERSPs+oan5 /TU/oUUeuQhT5S+mpt1SU9jTW/ZVq1udBR55uF4ktCSG0e3YnFs3tZwBIoGC+F60VdqVej6wIYI6 qHPudf4EJnkAM+pklk5rd9MCgNlVXYZMdg5d8qiSaUwIWXe2b6VsHZmvVx/I0bNXF7NIvbnQB5Ow E6ZUWv9phiL0heC3/+2hz7MyEvqVP4SBVZEmKgyiSUYAisKboTvZmwbNCVHZCIfXoB02rN4MgvNH J/DrAvxHgZ4skXXV+XNglgcVs3TP5UZ5aNMVOheYJal9lu3zE6sfK3PnQc3BdtiZCQg5oa2F+z2p /cWUE5iV+mSpXTFiaJeXFRzS5BJDEdGsnqCEbfuO8kYO/PL+sefEVLAekJ/5iVTeFgtj3U7j80mm /XFO92I3GF3jdQKFjnlcv2diVvXB1s+YEKVaErPtSzFSZa0XuA3SIQzbNPxNI5o5hfVpo6pBBVXw WngpncOCj0c3/D/dLbIA55Omhq55QGOdgxnFg//jye6uebQ2MWOQ65oX2OZd6c0iAcVyBRRh1HQy x8W+KBcqj+iG4iuQvmt9mRj4m/4KN/gf/+g+0TeW+wWspELjvPKYfPE/7qI7+fxEYsiow5VJ7D2r QPQvVE992BHdWiq+wcIYWnLqVSFi9RARrb8FOZEk052kZK2gy16Af4a0mB9AqdibRhuMsSH95TRw fgC/Eycm98LHg07O0x5FHehcFfPLyDS2ByEXvxvIHeU+RdBzz2kgd/iP2vKJwcosDFJ4ToOBlc/V lmH1QG9g+EVv1oFwTyW6E6zngCY/Iof+ecwyYVnQfGASdoKEKgH2c8Dx8UgU7xbKeMt02ozdKkdo oZd4QnNjELGsXnGhaRMw3NSC3J5jBB562RqBnRprGhzOPXumPARk08dSrFTAr0bkBU8Yu+MBEbM3 jWjnJB7xbtZgMLAucVvo4/HxSAXkAnNZ5kCnuud8JygsQaM12emgRWDGLAbMKPI0XfDidfRpDnz0 rjcRcTcaDJmxwN4pJGaddGlQSWMXZfNob9XHXVrmlKGRHptqyL7uYFf8CdN5fpxzgo8HScZ6krDE z6Ubks9PCF2mrCL7bTihl0ycmKSOKl2meYErOfbS6+rqCPOeLub9ZKkLVQ90kgEyrlpAjEeAXcZV 60Fi8zeN5DhZQFwSA1nFbb/GucLUL62Fj0dJxjfJWoXWfpP40E4P9Q1YcBtcbtEJReiVlg29Uu76 Cf30jGa9dvLBEBpApU0qf3RgB6h4sqFYeP5MhX3TU2Yt9qJREyOrezE0dH7PEx0SapEIC+XjkRrc nR5Gg6jWQqTf5ycKoReTeED0pXpBjSiqXpDqCxb6LAjbaMUYWuqNro1Fpx0OVoiG0NnJ7CbFq9qQ LU0hmB59Zq4fVYEo5suPiG4wybLX5YMgLB2/AiunZfLxIMm4+2vaDQYc6J2fCKjBJH7Q0ojnz2Ar o4Ou4/LaGdRtm58whDYMqoha+YuoVSrwp7jCGuaA/0EiHA06r1ik3iCsyd40grEwSaWgrgbOzwnz mnycTgTBx6MQ2mklYqMvdAqe9RMKJW+KSDM1BZCFlDnuL1z2lNvpu2/fXyGMmXd7pyyoBl8/53pv kGVDq6edg4h6OwORc2Fz+ZqIwPVbH/Fb532h88JBG2wMOOR2bjAkqaG13sMsUQcVEfTjHhxa65HD Z95flf8yj5VKGwpGiDKJ7fozQYpqRD/poK3rHwzq/iZLHzKMeUxi4KuI5UgxernrhyJfOTbXk1PG UJV1eBf1zHtLsqBJmY8H+2t7kYMNn7bF/74TFJWhG/pd8vITvaQ0h/tUAyc0FseYg4X+etK3aE36 KO1KmVZo+GaSb86RBpMCohMIKIeFaSGguX2f0GEILegGvZk1aILOHhU/OC89Hi2gLwl7HroQpnx2 WBREQ2gbAv9GhqSRsKBiUMDgDqMfsu2wUCZON7wUOTn4DgNMYjXc8LjikyHDaVy/gINz7R261/AT IuVkJqc0Pddg7KRhiO8TKPLxqMbRvlMMpIz9yeFDm71BYco85Y0LHOM0AMeqJjKTYKlm6yesQktl ppnd3jUTTkAZsgkAaaIp0t6uE0U8kEPITu8qIg570WB62ECFErMPysCKlThQzOXjf2F3GeucPIFG tzY7Ia55UlpmWQ1xUpuYFbLZvYao9L7rzwTXuzJTaf1z0Ozgvz3relILF3BVFWFBDIOE4cwXFaX6 T2i0x6YMdBGmDwoOO/6HeytNPv6X6IPqh+RXPmsnCp6xLqFJXh3E0baDOLrrbrc+fe2EwfOqrx2M lWCQC/4AeqjnHbm1ShVPic2TEzxbj7nbmwaLh6aTCf5uNljwPMWmBY2Uj0cYly+0ISLDKrc/m6DQ cI+OcXMVKRPh7MlGGi3aUiCbLJOZzqHjHk8G8AKyDwaPQvUTHGySlHCKESROcRFIGUHAyfCGhDfn 0HEvy10EQuE26Ow56VHX/IzJx6PoOX8nX1w6Vxgjh6Z7VHagCx7vrr6TWcMWCs9Si4cYWK6fwHXv xJgMnv/joJaB0pi0IENV8eRilAxuU/YV+Fv37mJvkh8R9OCpxLiT5L+Sy3/VEx1K8rdlvWGkGvet jFbZTnt68LH5XmVfsHnytZe4FN1MdKGOsUby+fkD5kwuhwarblANODctnxMB0GcjbVRRYcEAQLSj pFT+Cf33ZsnSfZ0+MHjewDkL5JIGHw+S93TLhwy17Qe9ExSBOODXBOH5YSCO1J0+IH9qgDjS8Ow0 tOAzmW169WlwHPgCE4mmWLBTy5zBBCmWQiYgNXmqDMO6vWnErpX4P+vQadvtNdGgZa2sVS6gKHqu z+XOf4Bf9LBrc+zC10k52cVQQCmPiwLaXEuQyfDgJ7DhSySisnmafdAKgooTbG6xw07gQAlDkAho 2rXY5tmXtkTXsBx48aGJKpiLRCuXi1YCmTPVtdmDj0dEST+isbwn3acujyAHdnzn4ENTtxNQRSBZ GVZArKyOnH9BV9ucdHPoxwfzJuo5VR+sxXNit4kQ5aygidYY1akzQJwF9ByikCSWBg1kvWmwxZJU D3bxQU1UEJCJ4tgocASOfLir09cRdH6W+XVAhwVoUlBqdasaAvJ4AA1jFZzj1TU9c2DIBxkTnjxd WLLePXsH1G+Q6gln82wXPAQ4CekjztY1r5q/6a8NMEhh2yAIB0B7DJ47D6AQ/Jy8QSiTIyzd+pw/ ocoyC/RsOEjy3kr1J9TNLl/UnvPn9+C5kUOlwTk6J/QEzIXWaicB5zxS77TQNnDuBza/7E2D3SUC E5yRbMBHnL+Nl1Zy0fl4JF7uFxhDXM7y1/kcVp8hxWByKhmQ1m2cyZMqQfaUnIJqwhA5tOWbMoBS n5CD7a4OQUXUPKEmfGJFk7BE9RCRen2IyKwW8CMikB0bO6tsHwzCUYrc3wsazIExH+Dl6ev0IdW2 5MvVyaE1H0K1EwGhSiXeZGIwiAjo/K6durBAgFL6PofefN313ZMPhpGqwBINnM9A6yx26tdGtaDK 7AIIWgsRd7FXjc4fgt4ZymjgDXaCd4r14Q9OPh6EQPO7Bc82SL+ycjm25+NdSwtoJmBiT8JGthVP wBqZ/11/JjifmwyP1Ch0NR0gn+CzBkgcHKF2pYMSDPzg7VPR1fP0FC1yfUIwPVKjyXL3uRgggIez iClr8fFog/3jCQp8340PQ3s+sFnLTIZmQdVcRJ1e6S5E/AbDQk1OhIJWcbUX6ZmXq9c4F1yQMpkp oF4QFwDN6yx4AItjyr/oy82PCDCadJNdiAFsUHs5zyJVmdoKHw8ur+azw0z//Jis5Nn0ROEz5KWK vE+Ynjbj257fPxnZq68LkAoc+rC3JRGxtg+3tlrQHNpkJYPlpcufeJdO84t7eW170ch0Qy4t3Vo7 AC9ib0EPj3z0kcri8xGK/lJ3WMlHaYytBJugUHsZwc9ADCKdMGaJ5BkkumACpjxufzm06SMu8IWg ckLAs+phwQWL4jZkg1RZpQUZuV26v3WXA5u+ZLEh3d9t0OkM90g5g47NxyM1rPx1Olecsfka7ubA qA+K5o0dU+udDvrXCd7SDMCaV/be4C9Ofby9qkrQ9IvU4dwg5QFkACBfdVhzmWUQMin2pQsCcqmP iLrvKooJh5hMjgIK8mYMf5JePh4BNG9syHOYCLun+RW69aHbcs6fatT2sbuVVidRwaw9Q8TTJiiG QJu2SvFB6+dc4e1kSPQHXRX1eKZflYJKIA3Wq8HCM5YfEdB4BN9Ict9NpoI4BuIrwVtQPIw9+570 izwelpGuZlgOTPvATWCBrDnEl2xhdndUNEN8KI0aZBeBa192vukSNHXd+g/Wf22Jovhjrc2G9/n5 0THFvM/9AKRIq8yBbR/iQ0ppDBXIRncIdO7ytjnx5ubjUXXVFS5JHgRzixgwn6BYcY4YcQLmM/sY HiA23CVoD0JCwgLEwLrvHKC6uuyW7839tBqSrJRZQcRhSlDbyfAJL2Hp+fo2z+1vGgk5FlKc6GvI QenpPMeczNXW5uPRCvrXKx595tu8+LxGP0XCoW4xy39tqfdA686779Pt+15jn5LYgqXPxPbBAKyo TFaAG05umsDkFs+QLnwI9lAOnQbPRGz4GvoUYFOI6yUnQIOFPlYcA+Du8xr4FEnOYGKKwM/4BVkF F6Q4mBeYvEJJo2nZLCqd4WanKgthP5MWfwx8gnnpU54B4w6WdZ3kthVo352s4pw5S4sGcVEBshp6 WjYvBgx/nRe7F9FKbj6o4QUWw1ROcSLm13mxmIDTwOQK+CiB5jEvrxFPsXYF/GFM94k1A2hi1ZTk xjGpO8bz5jXewXrhhAwarGjwzXSWzALJHoyUvTQvvVLMDOQTllOT64XhLd/Xi/xTs3hNHKwROIrs SWpqn9dYB5NqfdJirjaIclC34ry8Bjrlanc3l9JY2Qqps43keXq1OvxrmFOMaIZCxfTBcogFiiDY hhu68kWElQoBjULNp3QlWNjb+LxGOcV8EJrOhQbRsR+eLOQREGBx7oH8eQ1yeFYUmxieMOdpopo4 L68RDvZRZna1vYGjqxz4S8lborvOa5nm59E+Yu1r6PAdz+F7MvN19g/4ybO2SjleOhHjHCJFcF+C BW7Qz2t0g/NFlrJSNEquaAS1JaGgTrq2Pq+xDR4u06aFlzTyEFW+6BEYzAuC/LPUkwmhTspRkZpT ilkZtpas8P4a1hTTiwQ3Kftg5+6mjTtgzfPc4Ihy2NbCVdjOQburc0sLvdI/r0ENfr3Ceakybanm XXEOr9VNSa/vz2tIg+Vi0sJFjN0izaVkE/Ma0BTz9Z6gVkkFNWWDy1UZBJyDt5Rm6rmv4UyxUu2e 1DLRYBOTB1VQqbm3B+x/dJ0js+6Act54mLXS11gG+4h3dKOokgaDWs4iynWD/VEwL2X6epEkKEKq SS4FpUWjgxesqiHtGXTRuwlanhSimM9upq0dFVB/PXinHAzn42AIvb2VAWnDRX1OTFl/Uz0ZiTXq 6xYG4475vIYwmHchL6bogNOlYSea2Tw5UUF+DWDwciZEWH4o78gAod6D97UAiI0kK9lpNH90Y6x8 wwIS7VIJbKQQYXDwJrY/hxTKOVj7E923The68wXgP8P+cKPXEjZFWQ/6FPv281r9w8xs9c0lR1iN pTph4lZtZsbntfaH82/dFaNKM0IYKqhjZl4rf0WMFkjtJeOWZGNRnIRkGLdkjORyK9HRay1PmsBz sKMXnpcN3bATXp3ourIxXOi+yp8/pUtOmswtX6t+xdXk0tYRfAXTyrneeDmdIA8PR1dS85nhOb2H 827EFwumZlA2Y1SHNHFVk/hXnTVBt10jtkVzw6RptDl90NzAbXHTTXcjPc4MrTbEVX8q0DX98iLz VNPhPe4FagIXUuP5rYHnzDlwhs6Z8xN83llb2FCzfd/XdfyoZCMeQjA1iVapy8WEO8VnxflL0xg3 EvYkXDmMfLVs1vbBwDprpUKjQvganBynSiCHPpuLTf3kNS32eD/vhC0s72JKad0Hq9iUxn5nxRn8 ztcqjzdz+VEdDOlVvuvmPfoFiQA94FKMTbL5n3NLtawa4Jz5Ev6i8JdibaCEJh+sGlpO6NmBjDtf B0WsKr4fGP1IB1AM8XBGcxPFv+wztzPt2wfuqbQ7L1HwvvbnnatVHltHu7grygfpXlDvXC2cxEiH ttBdjdYJzc+bbPJOkyQgtWKCo7hT935sMko42KYaY50lCCNZirxLbq6jN3ii2nNyzvF4wU+95/um UlZw4unhgy2ckYrcMxbaDNGJMz07IJS44ZDN9D3i5LzHwWYIupkas885lqFwlwTf0edk/qdCVjQ5 DPznVlyzPa6peAMoymHlbJh688Q56cR5Vx7xrEJoV01VIX49cQqFLzV4lUZ63YA0ft5JWnblPLsK 0RY1O31yomCYYOrRarcqnw7hTTFhawKnib4Ii1jv0bCgGvjSVA/gYFfVSA2CNHKTOCuoqZiM8/3E xD/jcegTgeQ9GgaSejHNpr0jB0Y3kEGmzP4Jv+rnnaBVUMqc36cxYZZisHFuwngY0OzNAiDxFTR1 4MKRENZEg/zC26OAWBqNQ7q54xqtgZ18flBRsMdZJY0rq9NEgALrywsRFCL7vLOz8O26sAO1+GDY gc3N/wPdic87OauYMihnQnW8yrjTA5x3bhb24qKWx5oOzqkuJpyqnzi5e3M8Coo7Y5tOHWQNFvxB iORETWxtnuuc3dZzduMIQ6DbH/mHQcHcd2ZWcf2QwstOAycnpSH1coDOP+/ErGLVfS0cqe0xj7on zntgDPXDzsxbmuXwFayq1HQa9pg/syVS77QsvDqztuEZ+L4p5kQzsxM4cM53F8girB10s/KYQnWm DO+srGLaDWct7ztwck5k3FQ47xBXiUJjIqw1OTSwkX/h9vT7nZVV1K5FTyebtjt5Z0TlUCeEvFls K2mrhNExl84kLFeDzuMEtaKdymBXfGUJ33f60PEKREJl0ipz6j2D2aGTRCMvTINgpZQm+SEmZ33e KVnFMCucismTCj2c7HPzzsjiXk4XxU55uWGA/57scgeO2O6qd0IW54ZhMSkYGizXPPHjWT9oag4o fSzp9+B8RxaKveWJA2S0+QnBeVzJCG3PYNsK1I0f9gfy552PVR7lNPxtzCTU9oowyZydKEDO9KFb 2xPOlY0vIss0CnzSzAsr552OhfWnGJCLTYM3XM4XASOIdKyUdCpVGrWijtHTTTiJK/68s7GKubqf DC1PH1S7AfxQJn1tft7JWP/eVpVL+vyRG+W8c7Gs5EOPEHMwLO6YMEj7Zj8c0vWsmr9TsZiC8LIi BkiDtcPP+XNiHXS/4fjcqGqy6eRw/q9Y2/MKEkoQ452KVUyy6pw2tLLhoG2FqWfhPNf2eWdilccX SmEO3LMp12aTE8XH0JvGQVO8srUMytVE2p8EmkwrnUfxcWLRHKBIH+zIgW0PeJ84cgBMlBYEld1x PVHkU0CTTrDAOw2rOJC00elYg4rnzSyazzpcn3cW1r8ZOY9xBJ/Jo5x3Eha2cqIZ6DCY7axVbSgU jtwzga1Vbqo/6sR5DB8sAjzTVFaj0hVEMKiVtSt9xxA1TorD6iYnx/GdgsVfntkmZDpsUKEYMjhL CXn5vDOwcJkuv6wMkQKXvuxzE0XHCK3Olprd6zjLTZqLZ+QdPAnNTVQr1lU1JIA6HgHUOYG/gZDj iQAhqyEJDPYqKq022uPRvPSeQZQjjZkk1eXU3KXvfIKEczfWTRQeO3pU3ShaRbMCqLl5j46BTyIl drt+Ssnd4NmlGT9k1m5V0XfmFYMB7inKP2i47IczE4DanT21UqOg9DmBAPo7e4zlFE8dGOS8M69Y eGVDCpvfBiXkYHAqAkz780684recX+cNa8XtOW/C6BhoIMjTG3S0X/1BCrQhqzrRjtX/3mlX+FVZ 3ZqidXKwlLODi79N4rN31dq7rhtcuuVLGWTrPYO5YbPuXL3VBwHXM1TSWazA3LxHx4+CufYU8xyW yzQ3UdW44W1OCrU943TZlCJZ80X/Qsuq3klX7Hsz45yE33Dw8K+Ssc3MYa0+rI+JpBoXRWG/TvV0 9qXeWVfFYDeQHNw+8Czu9Rw+5knN94tqFd3Tqh9qqLG75XMThcYwZjjZ83UFpfcM9tTMJt09qWqu dfMeGmfDJE199eloBkijnLwpoSixT15+/iddQjGbushv/SxGDPZ5Z1zdPZWWvPqWC6ag/CGp13Mo ft4JV1bK++rC4Ep4mlPvdCusm8EZuX5Z1eHYorvTvmY63OadbcWMTn0potU5aG762Zojo+KKTvWq smTr/PUBZS3zUZOZ9p5RA5xlnMU6IAc1YpZ8KX+AGf28k628sP2UKs5dsabHxe9UKyuMweyyCOpn qEgQQcjdok4BYDGamrBwbBUuynBzsKxhYK5BRT5HzjkXpCg8MQ2VtzW51G6V9c6yuvOShfbjYAX1 bBDjrpcL9tO/dxS1lu+SiWJicjsk06ewz60LWzY5vUkBW26nd4YVjxrOC+XJNDg0AA5QVLCCIM0Q 07FvqpmhWv1lsYY7//NOsGI21Vnya9sHLhmIo7AKeK4Kvt9fxzC3Dq6T0j20eWdX4ZCAZO9me0Dm LOZh0+fehp+dOxn66J1cVRybPhe5U3M5+wwtNXBXmGl2aKTIGpMWyyQylitNMCnd8M6tYrpAgE2W VDfrAvhKaN9NauvAiuvzzq0qEumy+5u7R6o6d0eFQXEldK1aprmFnUCmqQsc2InhxOl3ZhV+GaEh phbQTHd2TnzcEwxOdl+o+TG4GbDYgGju4waKktznnVRVDFaO/LL6oFZ4GYWbFzYnn3dOFSNiL1Cw AIqDlYLfmpj3iFiNrfO7difFKA5G+24PLaCTGDWfmKhenFSgWKzNcLAbCtCsBUVJCE+fEEnNcJKP oIWP+9FbVCTcvxOqsLxlq8U+jgZtqYZKMb7bSf0+73wq/Py3mM4tRUOpmy28E6oYyy7TWRRAi101 pOCNJsikM5DEgxT8nU/lxRFUbe7gxw34urVkss3QDCAfGKF/Qb1444AwNh5Cxc87neoWtup6BkZ9 5yuq51Nrqp93NtV/WptkKpVnQ0URsfr2tMUjhmJMwwoAD2YYipb8KP69XjxYSDsDN9QkuGTNk/VM KaGUzAR6UyQmU/yCmsGWgpOq+M6lYj2cu2kKfjOLh30ngZSZe631806lYtA4vkMbeg2WB8EWxsQs +1XPpW6WcI6bZNX0Mp0L/M6kKi7HPQVl4+BQinU2ANy4wKTKvXRzRlqkZvzQG0CFrcW4751IxcNY R027g/p3e6tWceIBvl+wq27BmMkBMYisUmhyoqCY0c0eRmkFzdVNHYdB0ufAHS18X1QvhqMIz5ru g0U354aAYw231dlMmQjACsGKgs0Gc2xfOYSZvHOovG7XMgwWbFBNdDXj0J2v8HmnUOH98jfCryBM pbGUIH5RUEx7xUlyBiuig3g+FG/kkwomKErfpJi9E6huUDyqQKHVQaFYKRXOlkgY6jr7iQpWbI6T YQa0id3ibE983glUBLzWf9AUVUTVlJYo5OeIn593/pTvSS0c2XIAqt88C39nUPH6BcWDLs7MNCkQ gYVz7som/HmCGkLDwnknUHlbFinm8EELBwcONEzP+TPbmZk9JWAKDx4EmkjHHYXT7D1/CXGg1J18 EAznJB5cRPUE2J93+hSrBOMrMqZNb7+l9Hf6FBaOyPVuXLiIhqH78DZrqEnwFVOGd/YUlrxQW0nl 4uTl4nJOrTQ79vaZm7mr6DHQn/lBpvUD9RlDE5Ou93lnTxUTBqowm/JB4K0TD8q+5hzMn3fuFCu2 6zubSomfbFPzHhkbDPK8s503qzflUH235cKcuGw1NXFkrEqoYDj5wnDO5mmAlIC1cOY6S9+i8mYD 8n32e41DdYzvGVzjlRnmEGxgGO1lQqyS3+2cYeXzTpv6p1gs4AWEv+eFFIdxMcr6W+qA46IqzrIx 50tgKdY2iNI7aerJNGluo8G6DKUVFP7KD3Q6z3HpxE7s96S0z5LNMew9o2SzsRZWpg+eUY0lPaE5 P++UqSJX92fZEApPcrPmJioWE4c+2Eyl2kBb2fD5PO9IuENup3UThsbCQy6B/5brnYAyXIVaRraJ Vh6Lfovnz9lR1w6KCJx3rtQDz5cOVb46VDAxTgI47vF5p0oxLbqxHw9dlHXTRUO+M6XKFapI1cpa aRniGgrZBhYo7pL6TpQqLgQ8GU5p0MycKT8LY276iJ2pXkIWM7mg+Hq6roWdZpfvPKlibgvQsK8+ aHIQtfMgPfHx550mVcxF/gmMESrN6gnVO0mKWDpl3z432WWW5ENPqCjDWc5NHBiz1qfAmIPD0c+B N6D3smEos0bXFY//A6Ls9Jw1XW8ZVCgwj+fcKsUHdTTRKFU61dfnnR/1DzYJM8N6Y33oC1FQ3NnR BK/U+AsOux7JZP+Rg1tQ3EJ8cVWlj/U8gVN0RZ1QuAGgDmjS4CnDswZBElLM+UDakt4yuLyTSqFr +cCTJkPUQqfoqng6iPq6zwzvG/7/udxUs4UxcSGuJF2ovpN7yza1ScBPDH7TokKxFG1PwFd8sLkB ZKijXn0CHsCdF4UDqRR3RmI2vbIFoAg+IWAxsMtbB+vfHLSjwO41aiafjjrh+yu0KbqePOzrYUxM 4vwcbswnVgdl3dyYr8p6pfOvROcwF4x4LRw0N2ufFQUFP1S2QGigrLRCoMFzbl70DStbPWbWSYlN qtrTVLVPprrM2OpE33g6QtF+N8Ip/5TvuulRSDwILKt9OIKimCZ7q3NZXSs5ZL9HCAo2U4HMuoOa LycchH0R8gVQGRqLtiDEdRXd5nqcQImi7VGxuErqhXGjBnxCW7VOQRnPR+Hpv2hBFHwZ+FZ+SfW4 WDzZqbO+1KL7jdHIjBYEzrWu7x4Vi1UEZnnDBo+I4dwANbSN4JVAWVQocPrCchSQ98tmsPeMqjfq S/Xkg2Ci4Kbh07DK8XTAsFueZuKpDOHfMutdNyHHDiUBEHiUZ6qQC8xWK70ZZmtSKRqcqSgmngbX V4WrbT9vTkwGoUd0GM6Xh4mVBEhBZkRZExI6LqTN8KZHMTGx5SfL5A3e3e+mA5LKico7FTwdnMbJ mwxqTJ0Lfd9GeI94dqzAwXLR0kyKKVPmhUoziIkL6f7cU2FMvBTwCZm+5j1vFmIalHoBvkYGnVn2 w9yAgd7b3VMgZvMToqYdqWSSAUywHsdyGagDMZY6kVPB08HcjLunMDdY2eWpifYwKCZHKFXjNMzp yJvRsqFLCr6sdlXIthOGVh4GHBwniqgG+DUgKM5lxOCZ5gIVwdxct5Y+6ZHRI4AxAaL/8SlEuXgI Clkm3y/YVQ+vTPwpJK2X0NujgjF0nQCiFb8ZVFPbVXOzAZ7RtxuG2epxXPwOvWkSeEHZb7WTgxRi uzJCwAr9ezTQbktz6T2j6g1LWvALtUEwAbiTbCXhE08Hp/GtiaptPtFtvrvqPS5Gk5gRDtWxCxlD SyxwWFafvSQM7VTxpkdx8Vb6XXXiuK4aMs0Frsoi3wN4MN72FcINhbZjOG3sOEbZF58Q3VRU1Zw0 gefAuRkQv2cecM5YPB0RNf9dOJ3KdveuigDGcJEqvTRLNRszZKSapAziX2PubdJbPcJQWEJF/DgG FgJw5Jz1O6mkKZ6mURJR1CqZHqBXeYtOoPiECLPF4DgLJpodJjrOnLPsdy6PjaejhTO/Fw6CQfzi NjdhaMwgjPQJNcOZPCX6qqgzg3KxX1VRuXiJ0WAcvH7T8HM7TQjfEOu38TelKQ7cNZ0OgL3xuel6 zyDZZIdKtToNnJuMZhVjlJEang4WTr3HMe81lA1Ku32YEQXHFE6AiYWlm7fTsIgUYypOkhRmZ0QF Y8as+wSP3Qfr361UgPOrtN07h0NXY/PE3WjEgtTqJVF6Oo0oNqbYV1NzXoPAAjkZiGKB5RvGxiN/ 5ZtsHVNUU1MTxcZESCzCLSSn2YWb7Yu+nNSdWMtAoiMsFy/V0vXNa/cYpwF7RsYpmIlQapVaCYUA MtDv88mptt4zYt8xCU+9+yCQ6In/WZsC4R5PB+XiPb9yKuFbih84I0QXA3o4ttRIKNBTdVOVTgMe OD3Aypw31YjKxbL5XLQfOgOPt4HG5Tqp4Tl0Bo0eoPHHXTckOAtgsbdgEP0PfECAg2wGSDIOntkY YDFmtfF6x9N/tRm4RM5PzRqSZiaEFqMhvqYVzdGdSkaE0YaCikvNJpM0osiYag77XHfMNmlbjplB KthBqCps3aG0ygia35PmUA+B6uyYgQ+IsAKsTwwJjA67CMHW6frRTxSFp6PTZn1lDQB4FzpGaGqi wBhLupibFVEDyxSgR3I0W7seliMKjKdjBbIPVtoacN2BVNzGuTCq+i9UZeIWSTxtDD5b9aLRacOj mKZAZ2CXi9FfRuTEU7lkPB6tm5s2CL1GDn7xAteIYmMm45NMfwpRsEWJTdXZ1sNNxTifPucjio3J 3YeEcffhlv7ORVcY4oxzlSbiuhq7w4NxTvYDhxqz+IQg41Q91PubTmdYICYyajkhNp6OcCb/JuMg CSUvi44oNF5JeIGkvGGsZNl4HVYgnSBs2GEcoYsZbAJWvH2wbLyyvMoCV0aBQWsMGmEocber29uJ ux6hGIXUK4hm12Bl0TqNFN8Hno64DPv7vNn5G2UyQiRFoxqb1ynGdGxxvbK0Obmo8YgCY2tn0tsI A38b4iDZd5w4iveGqBn17XjTI1il8ZER6PHD4hN+jW8SpygnwwqcdLVLQRyZLZ7+qz2lTJxy0/cK D+PiKfHubdkm3VbZDqeij7gM0w/jOC62oG/7oFWTKGm8aSrcTtZWZFgE5FyGCUZ/cNeENOITgri4 UayDlE8NwlHQUY+FrlXxdJCK1/Qd3hDr1+6OiuJi7CBdVCQI7ZSsTDGp705HalQstW7ikrFIDGI5 5+HhzVlxJ/pAeL4noLSLfJBaabWFb5sIoJViJnXRRsy7I0K0WqfKBPGgxTmJ9DwRZMbTweTc3p2o UpSO3p5uzrBojGbDLFRLb8TiJGEF2m5G2QSjU6TEGauxqdnwv+I3YAxDFEhelUXWNlBjAzTpBBC0 W7Y6Bc0cZgikKPKRmdsHncXnhmaEc3LihqejdoMHxuqCTmD+rvxNFBjjzYqU0njikN6GXXW+R+d8 AQsByeezcmZIu9NZXFim4GDFv16ASspobK5UgfUkOgkxE2Cj9aGzonjJ94wK6vLJlWRScVmKE+Ik AWjyCYxniDDu6zvb5ASPOzdRYIy/jOKi8ec37bJYNc7WroJxh4EF5h+0OzHLxmWWweMUmD85oLVd l2H+OotvBQVxT6jos4pP+KVqDHDJ8oGbaqOXoUx88ulobhxFq1pYB8bkzk0UGqu1KVNKSs03zdK5 d4u3fWlByLmJi8ZG8ag+WCZe4Q0EzSF0Xwb6y2w2oI5Kp6L8rBvkjPiEYG6YbFYaAGgQewrxE/GQ bRU8HWkcekVdBu/Qv70k6BnFxljVJ1WeApnUxOiMp3EfAu/DPcIqODOKjZ0irvJoH94SLymjTUto eu+wrWLsRwMstMdBC/TSH3rx+IRgT7FzV+gPr0GlP9gI86ZCk2pGkXFr390GuPLy82xuwprxot/0 tgrOoGc2qlsFmqecmyzbhs6/Epw3kgiyujoGbzbMkWglCazoHMN01dG936wX15tTza33DM7iJpqv yD3dm5uwiKAg0bnXB57+q7pl1Xesvu1Jw4ywFCgOQB3TQpy15vXs9KSKSvzaVFHNWIXy/8j6ZQgl 5gRsH8rss6hKcTLZrq54Txdoou7mjEJj6qCcFUO9wyIHNwJNOu1tgJFrePovVQqGOPiRyxXsmFFo DCdvNGiL5+LNHS1I9UMnZkANjOHfDJXaJCkgQ+55DbkLWg2AxzV2xRHikyLE/xxQuXUFiwloxwcE Tap1q1rfxa0EOwmW089XwNPB1KRb3FI4RJGZy0mcEZxiMtsUuo95uYHZ4E3Wf1z20PqbM4qNKU9C bXQfbOGc7zzZW4BXsMx6yC1DgIrCWnpYDSxvzSg2biyoC/yqgXnDOjtU7clztOHpCITTvrNNzvSt VMyQd4c3RKDgOVWyuWmE1VEMfDA2xmkcSlJMEcOlTNGuYBv0fHH40mbxTAwXN3z0pnyCM/nh+yvC CQXbGOFARd4H1YxXctHZXPB0FP35La4mFS6DW6VYUWSMtAv8cJe5LlbqAndeoKWTj7iJ6YrgFIPy 8NSAtcEjnLPo22y6xeE3RGw6PcL5NfOFUxB/j0+IuPPcTrTJ0KC5OXcrv9uJliuejpRe+tdNRf0d WTBxbsKScWO+uY07v7qZ6WDdNMs3aReAdbNCOAU1QodsuMdwOCSQQ6v1zCpFr+jwy78C2QyMz4AT ssOYpqf4hKhkLFVIpZ3TcftrwJgHa2HviqeDPVVu1sC5wVFzo78VIowbTXOynTerEZhE/fg+DU+B NFnrJsZTMMJRwnQGfFrDLS4kLdviZ3uB8kGIEqYIqUP/It+dI6ThE4KasdYNY28NVk4vNG2CzMvA 039FxgJEEgXkEc4KyXcoUk5imSiBs0u/UNGhXFw1Oq6bEGLMzTSzYDg5P9nmueZWZxcGmBWD4RDh RsR2ujeVOJvrd/Jd6+0Oqm9VQTh/YM+Dp/86byg+QdVAek9ocqLQmJ6JYxnqGsBm64rDhMzEOs51 oPBvhdw7+VZsqrxw8LIotYeYipPVIDwF87hG0YXb3Fzd3jMocKm5mTg5HDQ5aQ1h286pjKejCOeh UeUfxKpPb3NFkTGMUeHE4OKZyS27Znapa0owa0+FehRyu90yLN0PoXX0VMmSRycCguDEKFWEIoCk NWr0GmBg6z0jqMkQab74YIyGXKvAsX3g6QijdOuiLP4RPjbvYRxFxig5IfBwuTYFOJMplYm8QBPN JieMjHXS/Ad/PZDHnwAdjd8T++YsN7iCSg2qtlAQNCwtBaXxCcHkEMDFI5vD4tExT5IpLC10iPD0 X4K03FO0hn32VBQZVxb/8jA0xeKcUgscnr0VC6eDG6sDJ0QZq6lZXCTIjezriS0nI2Usp3PkJKEp QDHOImJeaiIL6isKjVnjPRc1z2QOusRPNlhFEs98v+Awvr1NdscJikTRzSYnZN/xwKHzKq1gZPkG MG0ZyxUpppEaVlw15ilLFyQNhlGikl0lYHTi9pbDLRF02Htgwnvtj5zWFUGNh5BbeWcftKuq9fPQ 1MDTkfb1FSTDiYPgs1xU5IqrxqxOuEsplMztxFmo/WLhUN1YEc7vkXGnGJ8GO4zhAEsI7V5niova ERkl7QoHRai1eISDh/AJEU+IRT/aOWnQ3Jy4Q6n0xmEcoinuwmGAgQIX62uanB2FxoMOHj07mEJn DyRweHVIdnWY7OoO2XeSTtoSz9wunnkmIYE3lND7PeHMyT+LThyQqEjzurm4HIB3FBrjXiOHfvug 4l/qCndAzMLTQYGr7K+bqpCH0/2q2u+hsTHod3Xr+kVFQZzGmzqDZAoRlMG5idAUXWaxRQj1chHq qPcVLBjCaaGZQGbiOYZwEJ+zcd8iDu1Y8QlBEYdZeCpSeynDvVs7cioWrRrfL1Ky+4dgxs5F99B4 h1DjSX3IKrkbJBCm11HlSrDJ4rLG+I5DY7XwRvXBNhVw1/OcmUSorymh5wadqYy1WvcNjSXXu6PQ mGrnrSo0rh4aD+zPYeHuxtORLsX+uqkGO3h+Fu+QfDe5kbLxxJFGmyxFKm76u6rJUuwYTiGyb20+ GAjn3HKVItLYaG1K6rg1cYPHD3qrTohhlWJHkfG2vaQmVZ7PRWVVip42no7qohdpIu0FpFTLSzg7 RhqDj4iwTIyYap4nnYx4MWKIKOTkxOw7LRiRNtslbSb08Td1RQd1ErJYH0LrnIm/jmVAjvI1g6xh 8Z4imlqDKjjkzGpLVTz9F0BJRxPy3O7dzR2aetAOkDkQ5UxAbRHWRFq9wJp0x5rsGEvBBUNHMA02 NR1yujiuNvKptJMoZmQqrp/x0BroxLWjijEZE2dKiFJPF2WMbH6IxpEWno40cL574gTl7uKh346Q FEm55vKeeKnbeuLsAhEvAMqrZibUaRNQYEmVYl1VinSmDNqNzDUp7MdFQ+IbLixqHy7R5/GK+ITf qqKNwb8G9cSx8SkSek5EPP0Xdquq8MeirLd9dxgXM/RTsQb/8lJOh9LGj1t7uFFDCKbQjlpSp1i3 8EdTaBS10No82TBt4c9J3FjA6TLJ4cIpNEnFJwShnxzcslqbubm7x8qJRc2a6sDTUXHrEU/KNF7+ KorusGCcqCjAYJgskabNBcx9cR4VAGvIw/fvYTFEenzw9h2A/5h/tDZP1jXke1KoWtl+1tUTJXQD HxDVKHgSE3SlQafNCfyK6pyLT/9F+SBnCNlC4RLU3ERhMRo1Ze0upSToA9lJDFtVY21Oam9h3URg CoqMnFxbQm3zCrU1tH0LRGYJzQGIX/AkXJ8nXuE15S4WTe/5myZFYTarwQ6cSlHxswz7wtNRrvnN MZPolk+NWNvv0Q2KosSjkWNGbzpCKRbNjidgbSgO0qkhhWExM/C+vVjx2J+c6LMOqGZO2JBKgwDi dj8VWu1tXddjgqT4EQE7kaJSdcmAlM11peINZsFYRWf++HyE3v/uUuFSL484JO3Ug2yjWo8BwNnS CVfFXZULTe9Q/UMlkbg/tXt+naD/KeOwUFfhugp99trZ86f48wnYcF+gwuPkhrTtVYPW+KRlNvWH NTA6BoKP5047e5ePB6nDM0GaIaIP8p2h9wAZz6GRR44ASzllm6XbWsnUKcZK7oSSQryxbit1vznY pYXMd8EoG2Fg6hLKrvByK6jjkNVgNcCa7U0j7ACPHTnUZwm90MutTilgZk5QFCOP8s8KQqG2pmeL RfVjsLUhO6zkCugBS67G7jqkTwzWp5voxKZ35Dz/r0ni+U+BjkT9DMX12sxZswDPi6wIWjVu1oXe Cj/iNxQgNcdtEK/q/KKiR5+Mh49HJWTPIazNiNT3mhOkKFDGSxawMlRf3+zUk1glQ81zPK+xrElO S65gmiUyOroPVtKBrU6BBgisdFDTkb/aJp2rAgJwa4Ft2ZsG3YchY4sxfVDdYpRhyr2Q4E8hwOKL IY2dfVZj6+s5gsJCMrLzwZ1DqyEaUuJuR6fvTMuZoDS2wd2o2RykEgIsremDdzxnQZ+WLBkgalgs LfRT3lR9LrfmxdsdHxGBLKg11VRKds7ogCDllDhObnz8fYLqjZlpmFS3DEjuBIWSFVLwTcW6V3tb 92ptyyywlNweOkVh81TF1OAW68obn8jwXJGbxigdQgSUDKeybKFW2WNwMce0N/1NTz2ZXO20Aga0 Zbp6uien4+MRdfHf6g7+vZ8TKJStSKLIdCvvbNcBnMLtIE+nmrkWUFhR1sqpgpNWh5OCHXLWB+A+ +2Q9PbPJAtYraVY4pl3+mfJqetOIEizlyCy9+SxOyHm5yX4/tHkbH48afN8gbST359h9TqAweKbJ ZMlWN120ZeEJnbZ3aha3H+cnUq8waQb55ozWropQWQPacFg/rL0LNCm9RIQX64LfzMY2hTrHXQ6T 4w7aYDttmbiNNvl4sMHqbYDysMEbPLInOcWqbo8iK8gP2X3g6rYqKqxLrw9cVFkemiCKlmuw1Ov8 UiczoGEBKm1N+uGgc1ByiYhbQ+vcN/1V+6S1Oxj9YavUc0Ktycf/ZIfwGKX/+jNBoVEeJe2mmHpM UpelGH0Nk2qoidyRrj8T3GHC22YJxOThR/RZfADF0Y8TKqRUkzpxF1YDiAQowzjnXidQYJWHRaqt xRJ8Tm7JmVbt8laCUnYOvfIe09/G47gRmHKr7zn0y4OFKDRmkyeo0xLUtpmOZaAvxp2hCH6RDLRt ohbrCrxNuIpC3BrV1UFfZ3YvUMlE1bM8IMqd7E2D7oTqPkla4slK/GP23ISCnKPy8UiO9O4x4lH5 wlfjTdHR+8pFUWx2My5dw7zGOxrolqWqedP1Z4KqWNUlpjBojQcQBzlxaIHDNO/kF6aZTaMGyBI8 aWpXmJh/lXlrsmvEkEXca7BEVU+0jo/wx2ELxW55xoQCSN1EIzDOMznbQRAMaUbTlM16o8cPhTfH 8mssdM6TIMqJmIsPNkMg7TEBpoGnBdIdBga5s5v+YJTZNM6BdZ4rKHbZAnNQonHeWWWOlSsfj3rq Nw7ilUUh5luOz6F5HiRU0WcxTdsJ5qE5pxg3a1ZIDpgnZUjiK0YEXj5YMt/GuSQL4V+wqRdhuLHF CekNQMCulUG2Nw3a6vIH2eLUuEEfDssq4/GNWD/0z3vaowL9kO/0nNKRHjKZ+JRrUEm+TG22c0wk K5JJqk1bLAykp+ZH9/y+9/wa5wAiZvpkGiccZUcGPABKt1II8Z5Byd/0FwxYA6bMB/Gxepbqb8m9 8PFISvGCwOQSsn/W1wYLeXw4glAJVavLvFQoTZ9dTJH2T1o/ISpDLl7uut0ddgrJ8RObQ/4Ykgsp 86iCchJwoqg2rOeQJkMihz561OKCsGT1galYA5ZV4gxl8/HokH6AGfmHAMYxHs/XWOeCxxWV1gdT DnNDG0AIkXXeiS/RBEVeIdYM3MpY95VUHPRUP+EiEo0TC5hqNAr4BUdOvdd8oYUfPyI6o6nh2kU9 6k49gsLCkueXvWGEd/cFxMIRmtVEB9v8xIE0NUOzgzOSQTIgHC1Uz6C2j81PWIY2NoBEUvpFIJzY mVpVkuNcWaZf5m+F/K4+LtOyqAzd9DprHMlQGsMBqJ3kZF7yK/PxING4utqSmUYd/Grc5tBNj5Ih i4Ll/0eYg0OXF6VOmYih62vz84fZtJGtp4eJwHgDxQ1lC5QkaDIBQgCWEfc29paFiTJUDv30ikxD KDqnQUDLExGrzn7iCT4eJBrFg6D5FFuvh2foqEdK6E5GGkb1YVspMTORBwAHPnKan9BSb4lGoq/P wfZXH3AlYgx0Due5jGUNvAFMA1p7Sq3+ohFDv0sIpGow8dE6cdz/UE4q8/FIDy59ba9C3mW+TpWh pd6kDrC4fYu6KcNELwBmqOCgL2T5qpSFnnokiJwdJLmdcdVu+4SCPVICqFediX7u91Jokn4LQYsd sBya6mUBNcQLSM4LmEhZ+HHnyJ58PCLN3pZ7sfsrt+s6k2NfPQptkbWE8xnLVTpEjSqxJCNtF7DK gbGes/T7VgC4b6sHk3Hy0IxSa0U1nZCFglSG8vXYVN4irMneNIgQifIpmYUgDkKynICd2NRzpjU+ HsXQ34LAEFIr5Wt+QsDG4LVOMNimZ8a654+p3s7W7gKKscwk3aipzcECoHP0pIKqzV5p9i2oRkW7 vKLWgMqil+pLtTeNmu/m5GS+TtIgP+uT9ANssKw3/FveFU1UBNCPh3Bsrwc1aQr/KUAkjpHrh0YE DBCJQZZveQxnlh6RAuh+A+iTX1QUo3G/Az4nhmhbNHHDwdPLXT8U+cqxwV4WgUIV6TIcnNBT1vo5 4RUfj0r1FwrFnh5v+PR1BIUmeyBR8GRQqSxNc6IZIoyiVNazARRy6LInIe2RpRGXXSMOUouAZUxp xKWu3AIcK6w0cL37lXpN/qZRGj9VQyw+qNAB31B+u7z0+F/cJEYpyMjG9YbIodFeQ4RIb3vuMMKf hBarXbc+GMYeAQVOew7enWwvabAyR24nO0Mxg3B4KZntTncQqGCAoyfxHQKq+QkBSAFFqNaVxHNQ gHjCN/bCoJLFx/8KoJmu0/Slf51AIeuPghh0uqN5xrS2WK+0wGA7tXUvtQZue1cNTSVUDjY/0LTq gFCiAIseNueHoDgWyZrPD6j0etFgfmgJBm01H5SBnRidweJZPZWPRxnqt8/TRv51w8PQba/TMnc2 t8xlsYAgDub8rCJSE1zHT2gtkiXVLp+nfH2eFrxmpianIGWRbBpEG05c9DPzBUapABS67bErXOGf 6oOxcPaUmG1Ok48Hh8+1hZU6LPfprSCGfnsQa4Ji7XIYx/AC2bIZY1bpSyf2ombULDm08cihdaBe iYQAZ6AnvuWum3AhYECBZJ/WZ+72phH2myiXUasPmp9zgBAT11LNfPxPZVOKMU4TnrAJCk33MPll AhqZpcLobTD4IFIEYran/BO77gnbvDRB65mgM8+4vQqZSqAwEyDOQ2y0E6TnCzkkwjmHrntM/EHx Wz742aOW4YkYJx+Pouf6HfywtnqdInJovMd+HYy0rXxYl5UPq7pgwNaRQs31EzjvGQ4FBmHFB0u+ kEKsAWmMDtWHtqUtR4xJJTDSry5WZfkRQR9eYtMEcmjg+sHdLiHtlvWGkYjTFcdIqqX9yFndJihE OgNPtUSi7XerIfsSYhXZV57NJyiEOpuZhvQxsutjZGK8Cg53nNhzERm8AQ7HtQ5Sy7xAKVV/Qg8+ 7pCKINMHfMTJfZoEYM8tPPh4kL1fpxGGSopFnv0VEgG77GGTZ6cOd97Az6uNWt3bKMc2fGyj9ioK Sr3iwYDdA/s5qMoDfpEMfKQenykAY10wTJc+ImLmEMGhDVZ8g81VBlvjP61yAUXRc3mA8jyp8cLp wUrFRnwIDjeJQEICsVTPPvwUE2VSxtUmKLanFmVJ6srFJwh1iLR3oyUqtHHp2gmRd6qcErK+L3WJ xmE5sOPLEqqDofjygUdQRf4rjOYefPwvtiTmAh3CUh+0XWDIh0Y8TY6SFej72FY/bOwYIP9KINEq /wod+ax+mKXQnZ3Xf07oDCkRKNCcg6nWSsWIgtJvQTyDbWNaadOO6IgUOIh6FltNg5pgkJUkoHmj wBF48n0XoLGZFpytbm4RWvKhxAnbKleEKGU4kMwE1Caq6r58IhhHEy68yArKU6MNp7m5CJ6hWtrZ YayuQoilICAF1NZFr1QdC035YAwIcWXqZXDQ7ABELKMjnj8h/vmeP1hq/N/S02QObPlk6FLQN/9x GyiHcWSX554lL5+f32PnIYknDgZTQCkso5IEADSOCDaZwW2BVuw5f/aDnF/2psHukk6uZO+zy94v uP1NSy46H4/ky/ednyyeNnUabH7i6jNOykaaus7MLJxUR4OpSsISt43urzB8VvW5qcjaHlOfeY7S BM03OEGdSJMNxIpiSAFKvD5k5N2bvWkEtFPMm7YPDp+nwSq61ZWPB/3B669LIhPoxCVf5+EcmvMh FgFYLRPYCyUv9nIQAZ2TupHKRDof84vQnc8k95LgUslVc/OJoJtgBIRxjM0GEC8rOtBlgDo9RNz+ qtH5k3V13YE3WIdVUNf5PPl4EAKN+nX+sCPKsEQTFDr0AbmPPWwwjtms1wxX3OoJWPcGYWDRJy1V pO21+MAJqidjmTjfWJ+nOjVPKroS4y5HP0/ZKTSf9AnB9Ejdvctotxu5d7QJWUKWD9fi41EG5khW neXAf93JiavPmBxCakhLbtW6pw39GiI4GmElmpwICb0EH1syN3JDUxD90aOAD9c5lGAgS7w9yWsZ 3VMWx5R/sXzAjwhwmkuM9pl8UHc5m4c4jDT5eHB5NT+e2ajI55hdD8oudOmj8t5Oyexa1jCWP2Vk LD+lXDyPn8Cmz0U0JtMqDZa9U6Cx8nhGfqHwcKARSEwwojm/vba96C8OAVDKIMCb9n6FUUyHVgSL ZGXx+QhJ/036aiiNresMmgOrPmgF0V2iNCuNZW8OqkGF9VO6a9Pk2KtP+mlDNdZRPXwG6fFEh4PT cTIBurtCdIQGcok6sXZ7KbsIvPrcqrkRp6JBpzMuSIa+Y2w+HmHI9tfZw4Al52f5hALMyMxHub3T nc1Dgf0pRj81Zc/ef7Hrk4YGox8O1nwnwwmpAHSHzzoqAiFiHQpafhmDEKrTR0SxM2tiXa67/aJb dl3kNZyws/LxCKRZvw4fQeye7DR07APetMxs9WagES25mNWQUrPRs1LzE+tpSOuKKsMcrDYPmbma 6ILJ4jwvOVK9oKB+UoOrw8IIjB8R8HgkMlIlbE6jYEaHEF5gbrFROox9+9I/PAxKxhIRaRP0u0FJ 6ldsrl9BtWITdKJ6cwnNgXNfdhGfvpoPNkHnlO9dmhrnEj4XjNLTRSbkuUL2bb6LWJkD6z6Eh+ye DokOD1fGQk9Wp8c55/n4X7VVNQfRZp3lhj+hex/+m0IBBMaHS6K6iA9BA6cufi9uNpED+z7QEGXB Ue6g271miGgg2eKdXwRLOH8RMK7Kk/m2l2nAmwMHP6eeVvoLadD1nsuSvsFcm49HIgD3eqfuCO7i cnH0n9fop1r9cLFEKYGsrrNopLvDJhYQL7DX2KcC/ENpxkKJJw5aPmciWk9lEPwDHQklrzT84Eyh HDoNoInXfA19qjnUtNrZQOWguYElHouHZ0V+XgOfCsa6rna1E1l6ppp/ZjcymhecPLtxZVC1xjyA ThpOSV2UNSriNwY+wbx0GbeM3H2wrBRJV4NvMRT6wGMmpgUeNyemH4Ds+LwYOPx1XkxetJG2YYM6 gll4CuQUJ2J+nReEBHdeROQGAJqCI5iY14inSrUTF1WSSvVMfZgy1tiaolkKt1vHHwkWDGkpq9Ti g7YTfIlPIFIm6/GjbkXTtDJIqLKxnppcNAwf8L5giko9lQ0dDopxYAejVntqn9dYp1oe8iwYrZVu 8/Ia6VQFSGenrmszsVz2c/lVPmszmNhrnFPRxWEcuFVu3q7fc7biiUM2YKt4/2IojY6pKLjNQYxz MTX2uF7DnIo4hQuGYKszYJHTPqGDQk8c77lBPq9Rjh0WmhiWA8EKW3fBvMY4VVW3MofD5JeEChvu cJ46J7/qFLyiA3q0k9gTXnQd1GDZ1Sr4TU9wgmWEGgXrrEu83S0CtywmUDnEBwQnDEFPkHTxgbnn 2FuemK2f8Pg1usHD1eeFuwbvMKufMK+xTRUzTGhCVXay6WL10bfZGbbtFMHXyKam/yfmGxM0G5w8 sJiMnHtpwVRXdfdGeTL0W/bV7C6JxrKvcc15y1Ul9qnc85L+G7Ad5oG5P69RTZWXkW0klicohkzM LsX3XycGgBU2spYmoZCCLq1PFrVx9FYB5qCDH00MC4JLGee6GScy+Qr6MG0e0wmuaUoyeXW1BVP1 GxEnvWSwkcg56cyhNaidNbNRKhr8j4J5KeYIrwMGiS5ZdpqW10gGB28n832aD/HwYwU4J+MKFPy+ XC+vcQwOXvrXLPU5OVgcczJ79HLJxoFUXjdRgPVDxzIU2C0QBmzp8xrEVE+jchHhpCTHeGfEeT9E LeXPawjDW6H58fJjsrny6KMEYjAtShFmdsPUXbqxcKSoAfgO6fkUIwzO3cSwbSXFMVJ5U33iLIaF Yu2GrGodSeXRTsgZGPfrQZ/itP68Vv8wMVvdq1Z8UG2rri1kCkUsg4lh7f9ZL/g2FKfjxLwW/rBC J+MWY0mAMGzuzJtIH6yXyTI0FRDCCIY3kXR65tXpgQxKBfbrJN4L0zQknJG0daGVmC47aTK1fC36 YepBhoVL1vCBE4O2MDfViRPxcHQhle8QRu3X5DfSO3OrmiHopAwIFVeWU9tQzfS0snjTM4p61dNb hKNrsKi30uWIThvn3+c64KLpEBtCaadfamSe6jm8h72JQSjkqnb3gYfMhhUrf/Yz45932ha20yxf txI6E2yeaWqiuDdlFokt9oezYHXW3xjOt+mXDhBNjYSWl3Qz1gVTnpAZMlWDYMETphbeW8ClYwNw qyevaLGr8nlnbFUHu6ctWbntnDYUlsTLxgH8TtiqVg7jXAyuNnJoqk9OFPuiwwWuup01u5ifD5IC O4LZijCsTjA51FzAZko+WLK94UeM0IUAHgCXf+hBgl7M+S0B3fFYRnMTRb+UdGkQ4vCBewo4Vpsb FLPew9/zLY1Q66fN+fh0b6d3ohZmFI0hOeXIt3BmO25SNt/CVVyo552nhTfnQbNmuoPOm3xuosS2 A6xfT6okvAHtXDqzpfHYwU+95/ueohRAa3Ctt8ELfU0Clme/ft5ZWjhwZvU9hdCGBhB0GdLkvMfA KNAtdvGMS7tpRMUyzebRgy5nuWT19yA4G8hi08tWAycHpyZERSq42CdLyE1ZE/lWgyg4qjXKMEFF iPDAod4KfwUNKtJAI4AHzkKHPAqDRe7gwuGJg2Jvob6EZieKhJEml+HCIeDLGQVJmb7E3acZZr0z tJg7EbQlBtt8GGwnDD6nJRJWdB5Kz1tFZFkWnAPgcegTfyQKhRODmsJGjwbGNifrqIRyn5Syft7p WQj3073D2WAgzJKMJk3OezQsJ6pz0nTHV4zqS2cUcwWAOaNNThQOdzZgVqX5OQfLn871Col3VGjO /mkEXW9iWTPOjacQQTmizzs3C19PbV+hmDhw5aydGin4sAv6vFOzsHLGTaB4MSGfmskjnHdqFncj tVWakUOhAWzNcf46BOeo+Zv4V6K5YQow1IMZ6zLUzybLypYmlKTU2uzSFV6Ar6bHFWDpPd8nZ0jY O5FAwuECt6Wws/r8vPOyeJmu75VTTKPMJ+c9MD7XDCwTJrefKp8UVknUIjTDrEahIy6cKDIeSqCa AuRWn87UAmx7d9Gy6hJvi65ikOctjykUXW8+76ys6vIGpbF/x0EHcoeFLC8gCKxEoTGlmZ4gh+aF +xb3otgYZa4iQjnNxGBjJQVzgtR54uDEkLpKGBzzO6+pTTXvphpQLurAMG0UECobZee8xqm68B8j mzJxlTn1ntHcsCs1yYjgIFApGBeyBUDLN4qOc/OFU0SfoOn5LfBF0TGrSjCe8ui46IoC/NMMbOps dlm987Hurlp0odJgmUOHL2ru2lUNBHL2HAAeolPLvIkDrmp+wvtlBU4FkDiMjjlY8TMRuoiDK3/e 6VhVNj1PlEOGa7uZwzsbi0nVJIfYi1lsPFDgU3CcShVLi3LeyVi88Fi1WaX4YBHggtADWEXoMaAv 3thNQNkQXnU93WwTRRK+528Fc2BVfbCC+UkImVWdvf95p2KxNHXvqsof7fyRfecmio5h/gcXiWkH DltiqAwPrl/MTaczLSvD79ExCnHKwVkr4mBIHAg6UdADqcO5reTrjY+rBT8cJC6dSEw9jHceVnVB nmI2zdmm/yyItJJ4NLV93mlY9bGFsuowzYWGnzjvLCxsKhJZiEKxopZd400pJzT3KE+B0/idhOUh yAZu3QdtKkB0C/xmUL4BRaRJHxXvhbyGIp9CmXQCBd45WPgERPpwMFk+6MSBN7A2xVyfdwqWn+Wc CioK49wbNzp+Z2AxUU3UWG524MxsOWfv0/lFaVml4p2AVZ1AvHz9FE/HAZlLE+oBgNjOWYUxAWCC LzcpDqtrnAzHd/4V5oa7KW2JhLgO+FlNo1sNp5XPO/0Kc2MI/0qTFtyP5/73EOede8XyFgkQpXsV p5pFc7928DAltbmJysQylJhblKq9PeWccH5fizpyJ/WcgsFRkLBUem20x6F56T2DEKeo/93uoLk5 p7E0eDbWTRQcp+yVP4IjyY27Lbp33pWVlyH81e7cmLnPlpUN0nESGzg376FxNmL1okmsBgPWnlPl /OI4cOY4h8tgTtoweRWrC/vWEwdGOO+0K9Y1GeHAFcQGzk1qYCEw/Ev78866qoJgfdW4JhEG805O GBsXthdMyh1qVK5AOG2aYL6bbXLeY2NbtOcrE53NQSlnGhAiKpR1gP354sEkq2xgfmr5kgXZes9o ctjTndxb5ssHUiwIXGxhNkzOe2yMhdO/DmPChFr30Pidc8VcDD3MsqonnOlKFjTpYJwAheIOOIzf Q2OUdBXazDt4+JehcEHG8GwjSVtuUt8Iyp6FzToV09mTeudcVQfdZIr4aRAnBDpi4gBnvl9Uq5hf obGZIHoy/s64YvRXkFNVO3C29FIGITcmuLNq8cP4PTS+pYqqUkX1UsW54qBxtOhevc6FW81zFrgS KLV851QIwj7vfCuuG9WNlY0nz8ZHKYno3Zby+rzTrdhjal+HMdQJ9w2M37lWWDbEBFQT0gNqwqR2 Ni9VpuKsn2LZvFOtqivJLGqzaNDU4FdNKREUgE7OFGaS+lh9U0jrMfcpes+g+c291OgBoUHxzaRt OOKbkT/vTKt/mg1FbmJnbtLtfod4icl7ilLumzwia9ot9volU7B8bsK6MduZm6K2GmxuUofOPZwd SVvvVNPbqLVWXtfg81y3rHeOVTUJoSZ7Pw06iGsr5s+il4sucD+Ii3In5D5penjzTrHCXshUdS/F Q7/t3oU87Rj6pWomJO8MK6z3/8/YlSY5sxvH/zzLhAL7cv+LGZlZheYnd83IjjCepdfDJoilllyY C+yiGXIV7Q3tTihYZSp5grUlFnGXyEky9rSgAUiRPu8EK9alCbKhZJ0G7agJwx0um873C07ikv4J i+Fe0/20eadXYW6AlNxk2vK0IW4Xp82iLit1YEWTwdy8h8VWITkJIJvcHCwsTutsH4DKiM4CUF0l HoTFmOlytQmIwf+8k6uqydyerbQFn0Aoja90/uMi+TsIp3/eyVWsUvTv2C8TqHX3VBQX06FltWzU 4F3NDqDLZ0XIiWJX+DuzigVELpz6DDY5DdAJAFY2VNWK/r3VB2/fn8cNFCnu551UxWRKmWZaPhip KtcuCFLny72vGqctatWgYLHK3VDvMbHlCyc19NBGuRRCm2oGobObBXr/vBOqPEWGD1D2wUqiGa5S qH1jXoDZZ4FiIV9A5QwNZ29RkW//zqeqrjcknLQGbqizn7J8wPrJF97pVPj1b4tKMsz4+OccjmLi jih0NjZasPlrEVALC0W8s7MTwJlBEv5Op6pOB16N+QIHb8OATbWpFgOn4E08KG0TCurqwGs5GQ+/ /uedTVUNENyaGPcc1KIaSc419ez6zzuZyi//pyTKWgCPHE1OFBPXzbJfttNmQlhSQgS7FYNQkGPG hfN7vfjkBrzHE0/iyVr6eXji3MR/CVqMrJlRbeALUzfYsnBSFd+5VKzcyQGCFa7iZvAAxLUhMkOt n3cqFcPGW74hxh83ZLmt33cqVTWZjznGBZhUEfDOidAMMFApXc3ZCQvGbMMAIeCDpZon9kvwhKdg 8Il/1YZpTDUXvMMvUnYx8nsnUt3yDWo/PqhJtWqT4HRpfL9gW+0bFbNGkViP9Tz8nUZ14xvykCjD RMYeYr+xSYkBnYbBPeF9UcWYQoPnyFV7c9z2ZkW6cw6GzIpxT7PIhw1VSaRSgFf4yiHM5J1DVa+f xJjDByuKrjZ5CY+1P+8UKr5f+77E8UdG97l5Z1DxEmeAg0iIGgREibN+o/8MgC04PZBi9k6g4iWu bWVlnPW4JeQ8gdr/YX6ZNu+pBgIdK2aAm9glTtrG551A5U3/1hngYpDQ9EpNAJCffn7Uzzt/qj4G NtZpWKQo+Xn8TqAisghbqWxTDxxrScirg2Er/HlG16Jh3bzzp/C7sEi+KMenwXChY8HqAU4S6wR6 ps7UdqN79Emn8oPCwQn3eadPVdPngC/f8kEwHJh5sX5zIuzPO3sKm37fdcMEg+Z3F0/xzp7CYsV5 zIhDF7lKoaB3FKPZz7MHLGl4J09ZWoYSN7UrOBjUb5wrvi9hQx9lPKK2QesnCUpgYjSD+Z7Rccyq 1krZB05OawRGUE1gfd6pU6xRXJCSULNnzXUPct6ZU+xuNBKjzYJusZj6H5Zik5Ksueg0yLkJI+N1 QSbfWBPg/U72CgDuOXAg9UleayeUARWZ2e9FzlPq806cIu4Z36vqNK5+Gp9foTQhrdcJjN95U/8A uHRyw3dpZZ+bsGBM1t2sRgvaTGywbjaRwYRToDHIw/idNVXdZm1tJeLbE3H0TFHDwYEz0onvCzXz KPSdqeyMqoLyzUV3lnfSFPNNcjkqGw0cLKMaUgw8YeD8vHOmWIr3pAFPEUvFgExzExWMQTMpAwZE khtQ+p2hB5ybiVGBmKu5CYPjxFOY+AQN3r2DCe4iED1B7r4SL4BgD36p+1pCEYTzzpbixKjnW++g W6qgPM8NtcfnnSxVxYl/Fg0UAzJvDU1MCCvuTDD3vqmmIBXnHijFwVvTwpt3ptTdUDsrDc9PGg4M e0fWDardTpKDO18H1wTCuHSNCzv9Lt+JUoy9p8rEdxB1AeAVQWgLnw5uqVq/bnAK0cx2F00UGFMS a0vBjMdOMpmlRRliVdKLYdHfSVJVKCfUJrgmOBggsp0IYbQFke0MaLoBJ6G6jd2ZnqOm6y2DAgXB +VUY4+oSn6MBHMTtcO70zztB6h90EnkOhemcpwwtCoqJTVqAwRp7oXsOfv3nel8GFW0hvlhNqZXv YPf3CXQaPOjoU4OJMRI5EsdzyM0H1Jb0lsHtnYT043MceNCcnH5bRLsqng6ivl6/ZoYfTp0MzUwY ERdqAa6nrNWtiE7pT0Z99N/lQRMVism72NMwkdttC8F42ZUKuexM1d5kgYTLAf1wQDa9rLXsPQMG A6O+RsUfDRYRN8dQ6P3+aoWr5sfGS/PIpochcVFbZ/7I+aCzrkXa4RWVzMt48/09JJYzJJB+6w5e uln06iSJYactYuskwAS+awWqdwa+YV2r/06sK6xIaVCHoc8hY5DSK54OeAz7n8OGHKnbYehhqRhp 75oGQofpuRGCTt7jc7Pc9KBHGIohRtAQ+no4+rqhgrASnMlQP0ZBnZoDdIKDPORcjxcoUbQ9qhZX GdIs6f0uIwX0lMTogsLEwtNRPXR/3d558Fv51IQBMWJTXbcKiBnqkUSWDew3sGwY9PWoVKxy6Dm5 tw9W2eoAUQBwQ6nWtukCfw7nMyMAtmBBXTJD0XtG1RsTMek+cE9NlG2pxXWmHk8H/Lrp9zfj4RPH 4vjwC7yHDLspMrhJ3Q062VBIiRU2QtOJViZhKoqIlQUsRroaLLIBcBhyvz/EOjSlE51q9CcxBIfl 6mgzuOlRRFx4gQ8B2oYD2s7lOOlMdf5qKng6Ih9eeIlENusPVQE0NxHJDu33AisC2YVB0kXZAkTp FBFX0JyZgveYZcdJqZQo4WCH8dlVpUGhB3sKGpsUGkAsxd5Ab3dPMTfFJ/zWCs/DWGXnrTE7yECE EOvnTMfTwUU1vs8bFDfMBJ5zE0bEg9Abg5IA2VatdDMt4Jkks2tTxUw7SQASCMnBKQ2AcYCOQ4r8 lIB0xS1aB7TQ162kTxpk9PegGALYbPMS6aJBQMha6F4BOWW+X7Spbiecaku4wOtthfewXozNt3kS mA2WqjiQF9/kPs+5yHju/CvvkyNe1NxGX81+UWWUIjLoV4Clr7FZx6iNOLbzYyIPvj3NpfeMDmN2 pahcrEEwAQCiq1LwiacjzNZl9PLkxh4jelOTE4XFgFsV0leKiWsaCbyjF1iJ9kOPjcWbHmEoEosy 55rbPhjA5Fyx6ApIW6oNSeB1nK2FxBicNnYcT3vPYHLIhemsSGvQ5Hh19JxtC0//SaLSTELYbnoS 3iN8MY1VOnj7SjRJG0GiWbp1fAegr3YcRyAKVf22LFC3lFkrQBTnrJ0oA/LIKXsMkw4YoMhn4u68 6gdYOT4hQm0JPUHmMweViwEwYfh2LkI8/T+h/RBopeceD2NjorZkTkj3h6LUG0RIU4SeSsIxN1G1 WJ4qq47pg+0qcJASApmz13peg1dah7QFaCAQGHjmpus9g1xzqVq872DA9L5UmBqp4elg4bRLB2c1 A2CcQv6T+L1RcAyUYIHgk2Wbc3jTd86HKmRtmBGKTuDTUuIe1eC1dN4vCZnD+a2qHCUXthXasJKU UkmUjk4jio3pyHae18lTLTYewMsNq2xlPB3Exn1+x39YAHl5ujmi2Jil9E0Zc7I9aK7J45iQdbE9 tsFER1wuZlAsu6+VL8RkJGRVgxha6DXwB9wdHg8FmGmwJm5StfWeEf2ZOThg0zYIJgrxKsY45zzD 0xGk7RsKSTfLXv00HiG+uJOlZ82FAutkA+1DbY2l9IJql+YmqhaTuL1FxN0Uwjq/KfKGdNb6YnB8 8qhz6DPGWQ2BFNFatwMD146BDwiQkCwW50mJhTxp64VlczL8ZskVXy/qMly035JRGu5Oj3FGFBuj 1go0mFXSzY+ZxS1i2yZ6+tOqfiOKjRfv790Y6pzEYGluyFGeVPrY4DeWYQEiFiBAseWhUJ2vOPAB UeuOoK08lw/qiJ98QRHK+ct4+i85gckTHB9crppAFBqTN7/KnIYSzaNYW3MUozN0YkB52kShMTOe s2tW9sF5MAD/brY1WaGT2XeD2ynNNNAPvhBae9FgT/UmWJJCHBZGGAB2+R6gPprxeLBybhWHGwiB NjwDbHbC4FgsISZQg/R5xwvAEQNCkSdzRkDOhRMFx0uxDbUtNTj2emO5cHbOCtlmR0y6DeyUSrm6 JZRQwCcEGac8Pona0cDD+PwSQ1Wccz3i6ag/5TcV8cVkCd2kaoT44lkpXmebaubq4nW1GE28QFeO sfGI8MVF2FnaxGuwewqWpyflZPiXgCpSjwYXHv14AZoxrRtir0eoRVGZaQ5CcDh4XXQICnnmHU8H Ec78JsIUErhuUjWi0Bjg3PMzGN7mzNI29RII5tncJDfwHlHFWHp9i1J5GHgdsK9ZC+zuSKFHU8cw +ywcJ1Dor0zHxH+GT4giHJE2pZDUTSEJkIYq7+21Np6OQmOvGXfm4mYsanMTRcbg3ELW6RKokvMZ mjlfzIq6rA7jMDLmullF4UvZt4pz3h1Ap04+wzneuwhU+OEgJN4f6DWh5viEIDJmiyER2aRBkTFE tcjZXKvi6SAZb36JM/jDCZpvvjnCyBh9j6V8M1NPXbnCyQMQhMqWOvm6iavG7PXufod73kCmAzWT 1SaULphS8ZZY+CT0iVwxM2e9aHDgqDfV2/SBOVVFnmZmehlPR5UKnxwjtK4f5ikm8RKWjRvtbihu 02jm2YQW6I0MRUBwaDiFE2f+Ice2lHe6Uv6uHQ12ykMi8hubXED4OuDlTrwHbJGXKigVPkMkBa0q u9Qgu6tBQie8DYGvW8PT0crpXzcVooB1D+MZRcY03JI+PIEUjcuFRwHlwWkiA+wuFs4Mq8Y6haWj ysGm5lwHG/oLBF/nrduXxbuCvml96KyULsQnRBV1KSWJlXg1O3DfiAB1jmg8HR0430ovZVofyOYm 1KVo5EGblACiPwMnoQKgA2dS64tzEzPvuk6a5YMXcaAcWjvSzRMGFjo/A5CDrOHMfUN0rIxqUWV1 hmVjGQx2GZp327Y44SmNALkRPh3NzXfbl0uwZ082ZxQZAw8NB0znMzSLkU8uNLIhjFMy+f0ZV40N JVp98NYmhFVBGsY+Qq4pf1jWNynR86wbdG/xCdHckOpLW0kNIlCVrYpRbavg6Ujj8B8hHLapdrqT E8XGFAMHZZDLBaziZKfx7A4yocYFNaWi2HhItKNxb3HwmwpEX/bEZzuHy5wmU4vk5kRwiNy8+oeA Gp8QSS8wNJa3Rb3eFkALSJ0NbaoZRcYEQ9xUnEjIcUEmM4yMp+O1WMMZBOBL6DCJu4mELin6mxGQ woTrmko5LfmmarjRByRecX/BgIK3ONi5FBdA595zqrn1nsFZTLy+eJYadBbvkuXWfoIsPB1Ff/nr LO5cffveUxGWYlBbqjRJvICD9/SprvBCs1R8RlXjJZAJo00Nhvhb+N8h04YC8zPW/uhA1c6iRkrr ZQq2N2cUGbP5hxJQ8UGHcZpLUNFzZuLpKKPyyJgXtmzTn0s8ZN6hDrdllzcI23dD07nN0oJ8DYZ/ M1RqY2MTDUwfHGiyEDWRlohYwCQjR6HywfhZV7J40bBhRkVjNm9R1+o+aG6gpSq/8s2nI3BS+z5w iEwfl5U4IzgFCgAnGedyIZN+mFXeGAbHAc7EGpwzCo1ldrZZm9VgCwdKh4NmpqMhZiLeQo569FlL D6uB9a0ZhcaNoAEkIT6ovpWr+PPlHOx4+i/hBdXC2Fy/h3HIvMMNDTtoT6mmiV13yklj3VDJVIdx KEoxRRBqdzBUGxgMLE+g8grHbM5Nwauiqp5JEd9fEU6o2EbGpgTSNHDhlGKWwbXkgqcjsMnlCalP NRCae061osg4EbrPthtPnNG65Zs7CdE14SCmTbUiQMWQwP5e1QdNzmBgjL45NaVUpQfVTCnYD02z 7Rqf9p4Rf56lrUm0JwdNDgwyzRa54ulI6uU7F8806Lz19BUWjSdhFGna3Cw3OesM4sifp4IfFs4K Q2NJQ/Z8B4PR5pNvNiyXTfL8kBMIKtUZeglsK+s0XrypVlg0lutfVmicr5zdrLLLONFTxdPBpipe 32KFmEpFNHnV5ITsO8Z/fSw7cUZJhjFmqkJIBV5fCyeEVAiy1qRK0Zg3wMCjZ7CPIMUFva2zFhtT KvqoAM4Ptw+/qk6a1fAJQdmYGi+FVAQNgv3BpogcFwpE/h0bX/bduOy7FbLvloBbzYD78GoRVpTy G0JUUOkFCyfEGKuIo7SAgxW4aqVhzmRn/OQf6qCzh9Yw0+leVeJtrrhsrDwzNR9U4BpZ92I9iwlP RyfO7YxXh1TkfO+qFQsX48ihrqO8K7w0CnV2422Oba3xFdPvpAHOyicHu8fPtoLHMbbVuVayxGAa kIylUXrhNjgXQgl8QgQYFUu8Tx+s/EdZI7p/ZTwd3VWeOeD3RdMiXXD6imJjCHKfCcmu2MEWkxJO r3DREVibKlSlkHixJKY42NQkUEcHMcUgCOlIarh3MG0nou0PamDrPSO4CSFccvXI7uoxC7hrIir0 gacjKM69qqRnh+rWuusmBBpn9vCmgJE1MQynKhk5cezFADWvyQmDY2q8rL6rD1apgFl4AmZqnyM/ bV7gAkYW9sHWhdNu9jdXKEthCGOV1AmDx+T0k4Qw8O8n68LTf+kn6TQGnPbiIlfIwKtsxqQmRMVi TA3s34BBcsXCQZXUTpwQaGx1v1l90DV+YrMERyq4lQ7o7ZMqhCIpnJ+pF3rpiSypryg4FpRCIDAN usazMtWfc/Dz/d731EoXboIVRGDkQ/lYMQEPSl2Eh9MNZnriUNNsJksx3AtvxYgKnjSm4NzMhw2l CiijdVpVLLCWCbmQVw4qqBTTs+ofea0rQhvLpys3GZq1ZaXRE56lIQTJqng6aIx/ISqALkJv3Jt4 6zf63dlJbkG+q2O4VmoW4pzw1sD7K1Yzllo6LywOVqhI58LtyGGoLVoGeeJld+pm1h8o6XqIg4fw CVGII8pv7T7YgbOb8GkbZ3EIqLhtKqkJo8ZFmznNzo6CYzYc1vAWJyzVrcaVuyHcFuVHKPUclY2V SS7W9TVYVgXV0E1/9hO8ZxyeLFUQGUmm103HZQK8o+CYVZBWermD6n89bdE2zsTj6T9pHzoYeJX7 cbzfo2M8Ru3V4Q4ncxhb/PxnxchC04VpdwSpMCuP/+44VHBhzgG/qRDe92YeLtIvC6VYlN6NIe9j h3BjrZkug5xuBjnzJOOVvZ9yUhQ8HdxVya9xYmpZYR1+4uwQbwwkzhy5GMksDyPgVdUE4eHJAipO nB0Fx1oQq0gHsdysarVEMgIKxxUCdhTCGRSFQfUY/413fwmp3VFwrBpXY7KowXBKaarUUObG038S 8AgcYBfPi+o7JODJAcZU0ytsaP0aHxbjEIqjdRNDKqQyoLnpVyMSNYWzJxn+rXmiWlkvZSVX4wc1 S2fFsFSxo+B4Szl98KriYBlnTqzElrO78HQkSzv/iXF4he974oR440RpCoqDV6bjzWgx3BqkxahD g8kJC8fFAG53sKsKTYcuybZBg0PZuhJqAkzttS3DrcrX/BVvUkSKLqZDfoIlKGBrT1U8/b+IUyB9 KenZU6FiGx2jSXwn3qSYhvFJQXMyvIlQgJiaEFGh8G9IXGpccSlozYG70lipOHdYsxia0jg/4yE3 0I9rh2LGaohnMYayMYYwxUqvoPCPp/+UwpExp5QOfGpCLWMo88DzwCpcstVGEWdNR6mn5VsqFGwT Q1y1HA5W4QJxk64BuNtXzbqnSJpGYZsiiEskerwiPuE3emJvwtM2J5qd/3FtqbrwdJRRedrAW4JA snqjvx2GxoTwU7eaRhY5mbh80wqiv4eLU+wYUSG9Xh3JLfk9VUF9alOogfMTS+h50OADdFoaqnHl 0P6O7xlEf/L5HfUO3FNr1Slx+fOSeDqqcPnkcAWRDlC9F7PDsnGirsDsRqcaxSlDq02jDNG/Cqn4 DiNjlfO6tIx7fcI/FM/wtVErTk08RmKN2bVbV1gUGAV+QESi526a5IlzUA2npy4RxLT4dFCnSPU7 a1jQMRj72nxEoXGjg3bydYOdb9zNzDMI4RI9KrhuIkTFlHZSk8Bou2jas9KbaDW8w5PgkwqhoSWE nXTNLJreM1KmYPNOKojVVRBRFWqkVp2zfOHpYN3cXBzrDRTsdfH7YgO8HhUwbCC2MHNPgdYkPAWO rIoDp7HHT8OGFEpTMMeeioznExlvsF9g5YZCxUkS1jQXFDh9AFCxrvfx5iWOjwhYivITkglgJ/1a 2ThUiSlO0fLk839hI+WHhxRiXI3wFHLx0PkmsA2WdnCHNgPSkllMx6GD44fwP0LYgkoO6Zs0G9Bg lZxztJwVs5BYQTRaiPaWCB3A7wfJFOc4pG2vGnHOaK25xx0YH58jRGKI5ypsfDzIHm6dS2biqK/l fuGjJsT+2ntGoQIuxBLg52ql6l/K3s6ja7ymKMQdN0mwljv4rXVCHLi8Srq3qOfQERkW1HLIbrA6 YM32phFkSRLq/Q7WtDq/oUFPGh8Pwp3s5w85ErAbyfQasgmKqsgQiKipDA+U3c723LfTs0+GeHLT ibSNrTej/tXs8zau4MfE3g6YIGc5IWY6WTrSkgT9l3zxbgPxBD8iAgOq1ymFu2oKd6Pt3QXmy6nx 8aiQ/B0PbuW/zwKKYmUASLFsuqrstCsmbh1gNYG61nBSJ/5MBF167c+Uc5HVs3DQn1kFMkukfVJ9 CkYjP2gseEGwLXvToAexdH/JVXI6zKICEKgGDaT4U4izuFxpLiT0HhvxlzZBYTUZa32QVEjPIVp5 /wdioJ20vMpd3kyNP8Uix/MNv3R22IAuF6lEJ1+onaF1w3ormwLQ5Ra+eL/jI4I8VFJu/11qxxtb 1JwbH3+foPp4gGCGxEwZ9U5QWFGm6nw1iG2RpTD1Tui8LUSBe0vmFAXOJHqdpaN56o/ScTpBDySz Gf+QMSK07aBE3Q8ADC6TOKa9aRQBSZVV8lPJ5acgcKmEa+7Ox4MqxvqODtFZzOXLziuWryDdKrsP SK5WcV+pWHN4wjHLTqCwrCzCNEC0Nlh3GE6BJdEzcJ0Uvao7DNY9+VblGnoVyHrrTSPQhRmSbg1L NXfUaoZq7qXx8ajN57c8I+1OUbln/UTh86IaQTdRj/NPy5rnY5hb3rlcvZRBT7hg+QqKLKHIcpUk K2yMSpVRChyIiMvovKmhzl3WBcGZnW0KFY9F1lvi0Cz7iDFTG1JzHm3y8WCD3RIhtxLyt/k4paSw urwomliq56XFwQXDqGnnN3dXh5zC8rLEjNfqPljL5tyEJ46uDBPBQCzmQ45/79xgoIA6Zkd21iki 7JnMUqEmAQfRIBq6/lgSg1Fi7AjyJUWVzYf9AgxyaJhHrsZke59xdNqugiIWH/Iv2T1gggLHPNSp ubO2ZMz2lTGDSd55l12pZ3G+F0FzlOUmgxHEROfe6wAKLPNc461QWV+DVQoFyJJkdg498x6RfkI8 mQ6tq9SaQ9s8JDdlifogpnCzBFXKH5ggY+frzwTN4mySVNMHTlBDV+vkq7SGO7/XyJygST9sKC2D 6OMd0Z3sTSPmnnCCalQMB/3DPVfyQuek5ONBGH2DROX6+CXzdfgKnPPk1QLYvwkMLbbfsIDmSJK3 RZGv+vyEIsjFfOGqD4am3BW8F/a2GlTIlI9wOpGlAjblaErFiKF3XhbuvyiWJhyXVzyyJOZhKG/k wDwPZ8C94kUvYR/xsV2Mqs0I+yHbsKyjnrIgX71tZ6nV6m6dCkHfUSRTM9N9cHx7Q4t1kxRbIH5M cXGyqhlaPUc0IQofZZLvO4y9hUWgEwfVf3apalqd8OGjIPD9iv9WXi8I+rAYfH5ij5BNcdJhjGqh nZDJZ7v2Iaiz3JcypPFRnWHZNDnhGWWOEwWWzBAI0gezykeaoQCKDevLzyDbmwZtdYZAuQuW211G +9yH1I5ClND5eNAeXf9o6iDZmbd4mAMPPdXVKK1lBflmIrcdZlQ6gHp2UZ0cmOhdcfpZ76D5GbV0 TT80U07uXKQXiFLYyIMEDj+AUrE3jTaYQkThndxSop84JgnEdQ5qPh40c9ItdLBhAVr31w0Wcvnw wFYWz6NoSbW1WxMD+q0AXdn6iSHLxDA1gnM5WIh4Uq0TlYNas0DwFWyjsvdIdhsE/3yCSJLIoZWe TL8oiGIDszD0nRiSns28+Xh0Qt87XrYe+HPsLNsUvUfRaCQJkbvEsFkMc5GnniCxsj4ENc67xSK5 i1ueXz5YloGJ2YkiMh1l5i7gARYuzpx6L/mChFAfEd1h2FuwqveBd1iFqjDTsGlvGCyhJ49P4kfi FcozQ2EZGsyWTk0NAjR6chOnvETxg5jrNceN69ASdMjdB8vkYeQ5BtW1gTmhBsIeUsRFJ6c+ZtOy qgxN9Ux6nO1qDepgQMlOofHKfDzIM8Y/nS9cgqSi2fyECOZO6weEYP9hqJUMwbwTaX7IwyjboPmJ YRoqcaiV0YdvshNALTCOyJjF7UVz6kH7o0WzyivtMGSsHBrrFbG0ivIMT2U60b+SZq+Fjwd5Rnmp tt5LLHTWQ+UB8o1Gm4CEm6FYmlEp5gIhQ/MTWustI4mINduzlxLbPD9ugUb9hoEIOTaYHxxCMEhp 7am1FnvRKI0n23rJXGVRJz7BPrpRWOCnFyyfwFsPWW7+TsPw289ne4Xmevh3zvLp4jxC/DarDrTk OA02Up/GKsmhu97o6n0JlvqgfCpUhRcOTvjKtdkMOYdCN1rDXD6qAy22wHJoryeYT0kqlLlJ3Vk7 IxGkc5bU5ONBllGuBnm2O+ycY88EhQoYaPXAN8o4kMWr9W1RfAeSi6rlc4LCKFrQ/1GmD3b+nCwV 85FpstxAoWW1HrhchO5knlqPsCZ700iuiRO0xZ7dzp6FWn4xun7j41EU/ahg8DBGnNHys8NC0MYm /qlLbueEQcPQ3jIHQRi08BPZDIWQZpEoknxFknsJg0ELlfkB8OU6Z9mSTjukTkFSh0nSLdYXf9Oo Ac8TqFfJEtFtAJUOsBK0xbLe8K8mM09qHD7EgNv8xJYiwoddB/MmKAu0F4eFiUQEMs0IvPaE/zUc /IXDC9R83hg4Q5rRAOVKVhvZEJyXXu4Kot5Xjs32hGdZ2mLLttgoLXWRBc7dzcejWv0DT8264dNV e82h3R560W4kh0pZ6d3AG7Mkq5SxT6j5idEbWyWg7IP3wwAoals6/ycXJeROPmwbtKd56el+RIdh tAQxeh0+qNd8TjjhL/LS45FOcP9aPxTVGZeFk0PLPViLF7iiWBpW/IZvyRQHJ4ys/AYLVZR5c5/M ZPlgeXwF32ES+JOBmlQejzMjo/wNqp5EeDYhqjn03GP4Cc7p8EHLZ5nKFVqTfDwIoh+ACw5oTDKW nU9PVIiGaCocFqzMMVnUZRZf3DytmLhT/+TAdc9FptdSsrryJfAD/1sKbSLYD5DIdOKvh9Z58+kB 7EwvGkzP0M1Vtg9KwlDQE2glVT4eYXjX1wV/PhleIT45sZSyMJEOcIF5jGpkTxGRQSFT1MB2D3PM RbPqHSxFPZFDgtgM1w60kLjGAJ0eGy2ki4xSBSh03SMV97zJuMNFcSzrEk4+Hkk/+OXOS0qcp1tB DH33IBF9zuOaHcaxXYKb8SRhHNI+5dKJ6X+cFnWbORiL65wnEL3aNGyEwC4TeFa+sTugM4XsmG3m bm8awb95MovMz0Hzg3uAjeNUMx+PWBXfJw/d1Il51fyE5nuwRDm7t4qEfVZPs5udfM9M5ijWlk6e 2H1PfGwhOparAW6YgzXYfBLkDMgl52dMgF5RRgMU3CCHxDjn0H1P9Y3OfECDktOTRTFSRyubj0ex 83f9pyTeWffiCv336BU2ljmEgdBY7eSRLjf0eMptYQQGfAZDOUEULy4OVt84P9HJ4EnJRnuEJWwQ UBByICtO9+KCxKve9DfNwf8nq3zOaFkpAW/Ox/8CZYoVR1fLGxiGJnyN9bFpfQtstGapF+t5lMho bm6UQxc+ZsFbzUQNVtwYMPVClruhL1sqU7TGRg6qBrNfJNBU+Se04ZvK2bPKiNnILTgFpAiHViEf /19Aq1Q93w8QKDbiA25rEWqs+bkyGRfEMYebvufYiW8JOkdrVA6GlELjK6O7Al3hCe1T1MdIB6cH wLotMHx/fUQQGHL91Mb4kINIBKlRSPznhHCdjwep+9UelNcR/hqNnW2CwsgZwH3Y/1oPvlavbeRh cvcnZboTFEKeaeM4ZZymK5ATVAH1m0v2WCfapCoX3L6QnGJSE2bIuEs0D8uBJZ8jpc7U3EEwF2Cp KSWS9uDjf8Fc6GwGoap6Dc5z4MqniPJsgml6sIOTSiU5xoFQEibrXqlXaMunJvzczrfw2AdeAid8 a6ywgmlBrFmDilNBXxFry+TSpp3QEStQ/CXlkxrUZN6bXHJQbTMfjyrQ4yv4Oa9LGU2bnShwRohf Nu8biUL07Ciy6ZY1wFrY8okgHE2GLGbPkry/UzaM6IhmAUhqg0iA6x2wssLMdzy6VyqNhc58ur9q 1jbLxu4aOB5FJ+08f0L0c/q22eD/l57EIrDmQ/GZWf4yPTlzkgWIjGrrPJ/JNtf8hJGz6JJViWl9 EtNxzuoEsgPNvCflsTcYmdDmgrbHfoDzy9402F3ySuhj+aDzedUkoZ68Oh+PwsN7PvMSRhLQ1m3w hO58cA8AlDVTlLsAFGfMyU4t6kY/b5MUzqE935RIT5GcZekeH7YzOY1kN8B/pqnmdpw4ZKDVh44M Ix296a+Se2M0H1R7Tl2QGsTofDyID0v+usAYf0sw1CYoLD5PsicBr2ZxHnQ46Ff2BgPYJnlYXAs6 f+IAmsWxJb72cr42bOjOMkIZDHfZiSSIIq+wHK+JKO19r/i1i71qcMXLyEZgXw68ws7K28an2JOP B1f8PzL4rGH35wYLbfpGUtxsdrLTg0WA6C9GAfuC+Vfg03cOaCZemye4Bq0g5qEtqzrfIIxlIhvw xkSls3tySsVOfsJv53OVkprtKpoEDNGga12Ljwc7bH4voIrO3j2fQ58+9gdhGCIAx3JrgN4LIWSZ piTZJycCQltpfuoYmpe1DS1OWCni/IFlc5EqAKVUsHClSi3J7rrtTSOhCAkLm8ywty7WHCJCn8i2 8PHg9ro2hnwSmhz7gZCFVn2V9xf9rthfppUcARx1mA+S2U/g+Am8+rC5VdkQxG45xA7gKLCySDPY 552awiRMIHQ0Gc759bXtRX8DAKUlP0PUJZgTAMKnstY4WSKfjyB2l4OLYBJxGuvgNj9R/AxiEfRQ rTA2ib4mPoE/NdZPzdtbO7Ffn7H+/5/OXEIrFVZnJ6rubcpCi1xxyM39tKvcaN3lwK/PWzudjg0a tLngry6E3dh8/K+6s2SfsLGvY3MOHPus9iPlTJlFsUKPs6dNA9G3dNOLXyz7ZHSko2W6YkTpMFgq hfCNltCI5+1FXSHgwyFP4BjxuuxNo+BZlbFcfbC6ah2kGNc2Kx8Pls/VxBcWigi7rwkKWYNaPzkZ BJFOCJSpGc0Lz5CetQmKMdAE0YuKOy8Vt57UDl+dRqEDCq8UCKzQbS6QpUZ4avnXoGVADr37WlHj YtzBIIipU+HoxFmVj7+fzsQNfRFO1cO/6UVg35dJMvYDiJJzoxrGt1VTAJiFNAxc74F/H/JcdS5U Wm23tAolOKYOBHAUWBcjvYAqfsWvAl0WB0iRWZkDAz8/oMsS2X25HPNY53xk+HsOej4eFICuBtTk 9qelTmsPBih08cPljZZFYtxzPnlIUbf3TiXmDXENV3PMgY0fliI3jKjIskn8IQhxAiOFWBUhIkAu zPXJ+0TPCmRo7y/TiDcHTn5oftGJlxAJDcpQe5UKIFr9fDyCuIzvHANbrlwM0Oc1/mmgx2BShnff l7C9E5Xd7d33S5R7jX4a+Nx4pYTo2wa7wBoyFdApER5mqL5wgfGWrmKs1WkITUSHr8FPc7uStkgl 5GAUA3Z7yVvCwy8T00AUUG21SQMePzEJ6FQeeA19msy8ypaQeaZeYdLZvBOp/6hsEH7H0CeYF4E1 NoszGizx2tnoTkCMp66O6YA7Bs9r9GlsXgwb/jovEBnlhDCz0SBgL27aoqzixMyv82JBAadB2B60 leT/g4l5jXlappJKkXogS84k1NFwrCcZdMzaaDjW8UeCBcMW1t6Sc9ztLhjYOVVUrE+WkdA4FXIT 75jQFWVFNblyGD7gfcGYyex/R8sZJmaKllP7vEY77ZE4aqz10CGWNzzn5TXWaZaKbok4Um54WKwD CqRgUE+t8DXSaXIsg6lhvYNOmfMOJ0cFMAueLecitFMG8SfKGbAmdUU1NrleA52GSIVZxJadAmy5 eJyeKLUUXlQAjn1e45z2mCJh+7KOUX/4S8q7NZiYxQXD7E+qCNU6XCt1IcPnQKTPJOI1xsFPgo2Y Upa9TXZ0WIWyWqbLEiQvly2YqUrP2Z7oLpjRxMIt+nmNcJqZkZ5bXN4/2+V7TrTZ2H1p/QTIr/EN H/adJDg9/shcvpNeoxvsP1DtzwdIlxAuqtMIKHu77CeV1umHFEzMNOvdvXzQxEy0hSbo87BXSCVR SheGJQi/oNLnuKfCgtnnNbLBz6e6qRDg1TNbKB02KbaXvj+vcQ1vl2oTI5MWgq6yr5jXqKY5Lp7M nf+wvkNuIDqxrJXgym74y5LDjyam0QIJ6kY22IWNSsuEdgRAuyBDdbXbsagXzNVvUIx77PMa0GAn ZTkZqqXeikMx4BSjewZGSMG8lHslMThsSaqRmpbXSKapxgDLvmR99GxJghNyEBtNd4h6jWNw8iIQ Ap1/+WAnzLl8zq9LwuQAAJnxzoJxagaNGkV2C4WHXvL9RjKQnLFu3RHw5DgMA1jOzJ/XEIYvd8+X H5PPJfea0/JaA8Q2YriuLYPzpZRkRQo1toolCTxfXiuAvoP3VoFr3wIXpAz72Uz5HLyotpfKEmGn mh3VC8u6ITA0Z/AJ7xOzaNInFxENBmBuircLpSyjGym174nBj7KW30ivtT+s0EJYk01HMdMjhDDw KTH/6mH8pNfKX3NQyjaHb/JEtI1wD60GErtJLhO0s2gOiCAC1FK3FGN2+Vr3w9RTg64Sr6GBE1PO Ehxy0B14OLqRsk0Mu8jssBHRJMZhMDMS6tFOQtArTYhJwT2rq3d6K4vaFk3N4p1E3r4GWzPnUbw7 UgJQVhZB3wNqJwQi9suMzFNth/ew15xg2ph0hhrTEHczpTEE9ju/w+edtoUVN31u2NBHd2JtP2Te SVvcCeiZq+bH3lU306y2zJtuQjvT4cq/zA175csHA+vUUgCbpPbBggU0Ww6V0ulygkte1OpVrLbo ANaN3ah/ykEZ5ZhNBVEcwO+ULQa/6WtHoRgBVVOfnCj4RQID4GYyPIpj4iD0YgpPc87uaJ1gcnbT rJCwJekEpdszka/DXHJCRo1yNGx3gioB8QEPZjQ3UfhLyeRGz3EbtKfOppzyTUA96z3+BRVg+9ww icBdQYKnJieKgCshsYQDUXBv7G3nTZm2ctazq6IQGP/GeU9sEBuskn6WQ2LVYS8QVabctSDWllFI gxXK9YWfes8gaSJXi2vOBqukF5Ui6onfPu9UrZav3W5Tq5xGEOVZOe9RMDoonJJubNqtPgNbnc0F 98rtlL+HwZnisAWKj8UHncbndDmpG8jrqK+vzHIUzPXSD1ubeVC0UcYJqkKERw5TSfoCaVCjs/Us HcqFNnkUCGerQTQJ7uFSKP0Gwu88LX4tSglvpxqnXg1AWapR+QvaBJqc91BYOEPcUTIx7O2ex+Ok BRkJ/Ib1BjtfgOkgZAbGczxGfSKQvIfCQDtwO03i3Dkwtjkb2PDbqdXPO0erPaJylm5n4iznvCsn jIYrT5q7cmZ1foRsJSYJAK6UEYXDVopYcpb1CspJoEDW3yDVohSBm1xyCAi7UOd7KjQ0I/y8E7Tw 9apBk5MPXDgb+lpEoJzf9/POz8LC6X4cM8wDFGs+93gUEU84MaRi3moFbq9W/kzNTxzo4GjdRCGx qp9bMuYcHFxx4mCoaEtxGWEh8TtYrODbwGbjmgMsvef73Aze472z+MnBrqpdjRDb5+edmfVP8McN qEDrLpv3sBjYATY2KbBC+DalTmm5vkRhmyTba9lEcfFAtRL6wdkH21NIMFESJm/t5FHyZessYSb2 2zws7sPe831uZPFTl7wl3JfrBDipMBSsHfIqUWC8k8c4BOjSw3DfmyqKjEH3OrkJgaPIpKSyghoW Wck6bxA68KYKQ+PBDJNNAQ06jDt63asSlHMS1ZyF1wYRu7C4C3Uwk1aZU+8ZzA1Jj53ULw1KpkY3 f++Nnm8UGwuw5yEOos5cq8/NOx3Lb/HzrsvB/t5SGI6DOwfBMMTSOxurGfM2UfXIBs0Nqn2AbjI2 hsaqqaTSNwGX1ryiBgAt8D2Dw1glrLabD0aF2OSu4tTKn3cy1v9LqNBYPRHknZsoNiY+aNF6iBmV 67r3wcNRAp/Z+r3vVCzsqa4LimhRDlYVxlKBrQSO4sW1c88bQGd6elJNlBg+70wslss1KRISbMOJ jtAOIUD0/OnPOxGLF9Wdm84Xc0sxzk0UGiN6hpFEN5IR7WiAdKMLJs+bgQq46sLvobGV4RC4FB/s LEayOckROQEIKqmEcrGjTzEc4gWMSkw1jHcWVjMXwlYJcNKgTeXI3pOjtc87CYtT6xcVJwKpXXlq 5nFoDIYIg1l2eiW8TMm9bp3e8wWMxPdOwMJnAzibiByzwQ6cE9ScrKoXXlQgp0kQAvsfSEVqfApl 0gkUeOdf4RPoeT5402kwlD+JXERer887/ao9xqBNZiRUYaUNuyYnCo2RV54cfDXPxkvzbDwNpxc1 31VRhdgyTtE7OVghtC5MCEAm6+TQJ/XnaYzKF33BJtVhdYuT4PhOvrpNqEJ+lwYBlDpN7ZgYls87 9wq7fo/vhYM/whNAcxPWiKljWahFrRqOJGFR3FJdZ57wJdvcREViKRFuw7GvKzEzElRT5CmBJST1 +wkqeKm022iPTfPSewYRjozWBAPkoLkBwpDgrY2FE4XGKX1Hf5BOz9Ti0Ny8B8aZhNGy08p+Glfz sN5MeJmMkxDDuXkPjDNlLM8VhS9gg4GTINu0sEzONqioKlI4Fx4VFacUZJg8bRj2nkHlj5yQRgCH BlVxoE/Mm2al/XknXTXzeL4p1aRU1vSa6DvnioV3rhaTvIe+++0tVEPWktOquXmPjM+vOhnhoI5q gw6ciSscGQHnZjbaMe0Ta0o++aeWL2GQrfeM5oY9b1f4dNDfiZ5qFbAEc/MeGRtd9EZ/rGVK351z ExWM0SyDO1G1w5hWkhQsKFsqlhAt9QjnPTK+PW/wo23Q3ACxs1riLd7BqCZ0UrQ1xFKFrTpV0tmR eqdcMTLmQbPFaXRhqJPmj7SvatM74wpP7+8Ip7PsnO4tHkXGcNStiXhS1nDqsh4DK8XKqKhJyXXz Hhln8q3OpOx1B6vhnKsVIDkqNpVzcbPcvhaObtQAvjMqBGGfd7pVcw+bmpWRe9sL6nzC27SU1+ed bYXY8dZw6HwOi4vmyeY71wpTY9L3pje4aJXMCs40BBfAo8aifqdaWfEJjZdVfbD+yzkqYfGD4+ac xCMLM0n5USSo0H2/9j5F7xm1vpVs5uKDxTdF/1zPtfd5Z1o146rcZQPRe1iL29SEYAlOTTH7kQLx aKOB1CqnUDi4W8PunWbFW4q+aY2rJt+acYPvA2SFqe6eE0pB+BdY0+KFBD7P9ct6p1h5z601lf2a l/3gMt8l0d31csF2Sn7UqOmJZTMvJiAKioELAhnQ476VZSABnJAMN1DwtWP4nV/VJPCFL8zmd77N b+gpQGkZ8uXnCgEURgVlZkZIwh9LKIIMP+/0qptMNRq1aeCamecQIVK9nsn9vLOrmhHfH7wErpPS 736KYmLIDMOCZPhR0+yogSGjl4u3tafeuVVNrpdoKa/pg8XErUIqj9c33FKFbdvILTOPxnJFCVhQ +7xTq5oxfx6JbqSUlbHNuWqYdc7zuZ93ahV+vL2/YpvCkK/6OfxOrGrmz7LolMhGA62gmWmSxMxs qkyDZb3zqpossJEplOWDVyjAGkpbxI9F+yZcYiccgYfW4wcKVN3nnVJ1a8Ug9vkgwBrQWFw1pfPl goB47e+T5qy5VTwefudTYTYXFW2KxzV9eVwzqyVSSBtsXqJKcRKKb7EQzMFyhV7Rrms09a64THh3 s2CMRjRQ3d6cItX+nU2Fxa2mZpHHbjGP3b7SVBUHErKfdzIVq1rzO1egVFa6GyqKhzvV8oglQwY+ mf8hAx/E3ZHKgHXEDPydTPVcUFBttMHu7rqRGdAO6uQ7my5g0PcqeEHCaS8VDznt551L5R2U1uta Pqg5lU2ZstZUP+9UKt78/7TCEQozC9fcRPGwJeCpGXaiZmcCU0qM2InlSP13ItWTY9KuAfLYPAcI tjlRTkaGh0AvtSZ4FtGkMPHIFAy2DJw8xXciVTMxUpxd0wcFNuihKnGs9fPOo2qPRJUOG5Zkyi0V v7OocEuh5Tb3uMCS7uYs1ErE5LSR/LAJS8WsTqTNkzjtC3bEpuoFMBtQq877T7ObBUZmDWi6XCFc Bn3vHKrbDq/8zTWoNzUgxc3zpvH9gk21vyMbfp/x3OBRQEy/y73ndIZrMor0LM1CG9iIGawvKhVP 8YI2+WMcbFOBorhoeLQqelOShgEDtpzUAfjou26KvWfQfmHlvXLPaxDgEW1Tbopz3H7eyVN4v+yb qpvcKfovHve9k6d4hWNX9S4RIUi9JlbPe18UzDuZVNv0lOj8K9GJQ4jJElZg3WwBNo7nBqGRRKLL hrmzFDheZlRe/AonVvnzzp1is5+ZIRVlMCQugXMY19UpK3TS2c87dcoxk89FhXCttjs3IY4Cgeig HsR/1G3grXSWe2H1HFkmNl7DwnmnTtkViza4MCbVMSYnTSsNgGLU0ffMlLdBdQKHMJw2kPk7+gZ1 ws87c6qZJtDJOMr0gadxhawhw+ITXX/eiVO8xL+64fKRlGyTJieMiyeJ9dOIvxSWMP/h3bw80SyX eudNseUokImwW80lu6AlCmUPeOxicgyFcnb82VXokUO6zVDEELDmewansclySnwydQNtNeigU7b0 xGOfd9aU1WufaxwKSQS4aWrew2KAH9nJHFJyPTFgt74dyd3KpdQLx9SEYbH2FI2TNVy6AjDhA4fx CWkHk7tzi6O8iq80+73FKd7/eadMNffVaCSiadAtvgCx/mFLvXzeGVNES38Hf1QOXOvOTVgpZjV0 mpP3iYpd7gR4NANRQF6Bh/E7X+rmmWloaw03WKsAI8EOalJJ8RzFKiWXyvDL2ApKNRdtWd7pUs3c PBEFLB8U/WXwjXiGzPl5Z0uxCP9dKWbhqO07N1GlGISlQmkWcu0GU1QyfQltpQhV9ovqnSrFJStc 6C4+eHniRLQLAQUI9ievEFAd2g4o7+zrBUXozTtNijl4EyBp+GCw/KR0v/Y9Pu8sqfZ4XRouCcQ/ 8yzkzIQAisnqxPaaVnGDtUVNBIG23Aj0nSNlO4phXvLBr3DkT9C/2ScFGThCOTO4QisC03Q9C7u/ 52+4pEYdYg2aHLDIuCNO7vl5Z0jxqMrfkwMkb57PcRMFxpRz3NWL6HtkB4lW08ObVIfU5ISBsVrh i4pyHJwAVE/+i5QJZwzSCUbOoIlT4CQ9h03XWwb1CV4wvYgaVZwaRR1nKZP29XlnRhGV5Ghr/mr0 N6rlrpsoLEbT8xxn3dm9LNeS3ZuHTc1Ar1lTEyOLWembWj4zOaWjnfjxRPWoha6T3I0kkzEkXLkn OHpdNFvSWwarZgvql5sP/I7VK8YlrYqng8Cv+/0tVsyGt8idmTAopjBoS/O2M28F3RqbE21nO2ui KnEq0lPa0weLbU6uAHnJwVIo2IZUHUCecFLEQbCml7VQP8UnBHfUNkZd9cEwAqWoQLH1fkEf/AGz JUl4wXmhe42ih1Fxpg3ocEmBPdwXglreRN7APUa8jveo2KCmKdPIToNmZyDT7PQsPJ+RO0Ws96bm E6WYoRhtyBsWtnpMqmMH3PyfujlznMRmSf30pI4VTwcUhj2/b3AkOPn2F3oUFcMTuGw6YnNP9XzB +tk8C3ELC0DbQwTFUhF9JB8MBlkBIi5UIu2QL6vSBEaIC4XBuR4nUAJoe1QsrlKhqNJTqqanBD1Q gWlP6L7w9F98IGYMA5UXB5f0uFaMTUU/ZIuJq+H8qC2NWjGlHhj49ahWbNcUJChtsJP4vNMJG4nz w9qkQ84eFck3QDewFXGeKpJpfMJv5ZvSpHTnC3NAxZZTcwKojacD4M3cXwdOngaM8MkJ2XWAxk7u SGSa4vhTR4n1fGpI4kYhi7dHUfG0ut9uPjiZAfp2CaoPUPvaZEFS9vrcLJB8Qi/TNLQZ4PQoKqbP FpQasg/aU3kLeXMuwIKnI+KhrxsB9itE5nxqIn7dIHad5ic0yWCWy8CvJQXKE5R9JeE9JNgV4a1F D6rdt1Qj8xtmApivWiQiMCnhi+Svt7ulQMnmJwQtO6NkkgheodxF4YlV4XLGK2sVPB1cVOMGxUxm 2Jru8x7GYVgMIBraJA4uSQYuOQveABR1NoNB9pBlR9Dl7soI+gMu2ajvwRUSkuyjLAkEUSYLBuJz 3Vr6pENGj7DFs5j51fRBEQ6a6qqlT75fsHDWpXr8EIcMk8J74kQFY5hSnMSGkK1O5+opGOSqBM1m Kq8bx7n/Fhgjx1T8Mu6Jc5Zug+TiOYzxP5WEyH2OUKLY8g+YaLejufSeUfmG6VRhMi5IOFLNk2hK l/4kZRNPB4fx+C7f8NpCdm1zE8XFNLjEz4uVQRGcbtocCP2rdIG6lW96FBcLMry1LDgYDaaVE9Us Vihwu6clUBIYRQWFMhw2dhrjGsAnBHNDqYhR2x0MQLE6f/dzuC88HfEz/4vpAVm7dW/xCFvcmWvS Eu4/DL6901AhBchcM03qcmDRRggKu6pQIOfAOALdqbP6kZsRI9pWa9JFBrCkILtCud/rfgCU4xOi CEcsxGdQwRjyIYxtT3KMp/8nqB+6MKl7wbiHsTEOQASxysN38jx8EWrIgjF0xDQ3UcF4CTibBaMl U02x8VmSEEsGrfdkFkVqyOem6nLd20De+Nx0vef7gSMzjJOeZR8UG+e0hJs+Pw+ejhaO31SsZ0Dn urR74owoNJ7s+VIIg9kmq2js+abigH3+3JicERWMGeYmOgDZoMmpZ6sXhMIoUgA8OMX9BU0dSiX9 OmFQnxcf8BtLvtGZTYOgAif2IwnmpMsZTweRcf8HQkFu0PIIZ0SRMcCAaIKrSowKYLLDGK48hbUt O476Z4T1YkU4NCTTYFMzz/FbIc8JHuu5xMm7m4ApwePsBzXbm1NtvedvvGeefTYIIjp2yqqPt4Gn /2r6MsLBadGbN6hGiC2uDGxwSBJ6U6zj0Ft2MQ5I9dncROViVr9SlpRAZkf9B3UIQNxx3CCjyrhg hrQGkFERqXV7MBO2e/iAAATZ/+U+yyUNQD8ihIj6wNN/UWAECpw/lNvRzERxMVMg080cl5qI2tZK F5TUzcFpRHExNSRTLkNYCgYcg7kmwNVgNoBwBzM6o4GYPGx5mFNn9gc+4FekQK/JBxVEYTjCbztm w9PRYVO+DmLAuwu6KzY1UVwsZfU+3V1PUzPowGz4EmAX7ayJ4mIe5ycgLnewfOrMcEmzycT7xN+6 wWkjDEDhuSryg52tetHfTxt6XzQ5GvN7dtMZOqdaxuNRe+o7o0IBJrO6oNmJ4uJBsIBxVREXt2Fg AURbkEo6Vy8uEi6cKC6WpQMVYrMLxRJYfE6JMy9MxNGAohzSOffB1qG6erl6JQjQ+QlBtknaPJQC feBxcxa6yAHnSJt4+q/2FIF+pAfdwt8IgcVEbbXqAFGJYQO1lbs5WlWW27lyImBxEWq2q3XXn9Yd Nf02IBPQql1UkDhHNakE+C3ro3FD0PUIJSjY7J20Edcg/A1AyrLw6gNPR+HN/icuRlvzFnBGFBej pHKOYoOhIyV3V9g5nKoJ8L2O4igutnxqWT7O8CazrVlPToU3g4lBGoumV5PChkBEQljWqfNgi+MT fi2mp7R8MIDo7hKEPDc5no6YDN9nMeWV0nMYh2ExWOyr9HpzTfN8H2ma3HxDzK/DOA6LpaLV7mD5 FAQOF0JH8OwgVkemZiWwOEF65oKuN5GQIwyLm1Hmpw+iB4G7TfDs+Rg8HWTixcMb/LuUqstXBGiE YTEa0JsiD9LTSs3DYhT1KaXe3MZqxCVjrhs5ee7HyfMk3/AxRBMG2TeEKNgOR5zEMwp2ca6VmbNe NOprEkjRe/dB/XCY9DGhminj6ahMcRcO7yWsHN56nJ0Zlox54kDgoRCBs6vgE/BxmLT7mkBKqYYz Qxk2Ff2GcodxSXejQ3cWKv1n5Uyc9VllUWKLF8Tzb5WCKuEzBFIwBR9YajaIynD+IlUMThTW8PRf zYas2t8PUg2bmiguhgPiCQ+KpDFPbEbLqoFck8LOQG4NNPSxcGZUMe46jIdEgTyiR8oAs6lKYa2O Plii4BisnAuEv+rDY0X4yff8tZqepTiWXXEMLdnJpXAmDE//deDwcKJ8wwUnzVCOAtCDlB1GsXUY L+pjGnMKSYM21Yw5d1wvIF/Y4Ii/vGAUjLmpQItSM3QW3AC4KQBet3yKKSM+ISoZ4/bOa94Bn7AY XTJA6ZNPR6C2C04iIAX1KgqNa3Ki0Jhuxmub2hgSKgXJQ6h7djZB0tXkhCVjJQNVJN/qJF/YTsMV iG3fRmtTiRuzHGPSPL5w0E3BJwST09SdIrOn5+26173JuOO8Y8HTkbjhP21fNtg3nXU4OVFwXKUs n9W3A6FJtZw+l2msnkTcVTFnWDSmnlfKljCNB9Z2QseKDGuvc/c2sZ4nSqD0X0SR0Et/6DzjE4Jd lWQVzdO4DT+NsXSYScPkC08HHbxWv66qgiB03Ex8xkiKTjEYQ+BMiv4jNG6VeBMYClZXOJwRkoKt mrOdmK1wMCQF6HwZqtBIHDKK65wbaa9ONu49qZpb7xmV/pRuzjvoMD75G4FXsLbA01H4951Udao3 7Ds3EZZikHNXzfZNF7pOnG34ijm3c6dmVDJeAlGkfgetm4YaeoNyAdQxe8kE2i7q0oO8BOUEr1Kw tzmj0JgsT5Rukg+GpUiSgoeyLZ6OUio/cVilmEyprjxbFBqrt9lSsdMYDgEiCLFlgdD4zJJ1xWco 0FYMndR9sBDnnHtpAk1yrqcOKWSWeGiDAgvzdbWKKa6LDwhaVEIlTSLUOeiiKn2x7VvX5tPB1KTv FhUl2sYFbs0ISmHkcCtJnKx8G3Br1mk8mEGxGC6bMDLWsjEJu3WXTTmrsifSWGcha5wdiYSUpndT DbUeFYtbM4qMm7IGmTVwUHGrWCf17NyGp6Nl8y24QKBoe5ZNSLkTHdH7vsYSl4eXgdrKpm0DjuJQ jGKLFI5rRoN1GiAfNTotzirOW2ZUGwkJ+w+Z3PD9FeCEOm1Tskk0guPgAQ6Fns69nAuejmK/e09V 2e1IhMdmZ0WRMS1HVnY5ip2q+HcOGAA5HMAMbqoVgSmG8NdTSNF5kaLnui0QYYPe7DxpIgWz9uyE BtKg5YIpBvswKwRTbBUoZvJB/bvUOrOUcxVVPB3JUVwBUZZFMRf9Ch+GJePBrl11+ZtiUqKIRizf 7KOaPPqK5ShU2krTBztw1jwnb6Y71YlFB0/hvdjhXoQJZj+MKSeET4jqFFYXXT7oooJ8oMTRd8XT wa66BGiVjJGGT++Kr1CmuItA1ezEmb6r5jTKEKwZTG9rxWgKkWGK2jAMjRvqFAv63IVdcWjg72na +0P1Lah9+UV1XqThE4KasfovW1knydncVRCnZ7pJWcgwNL6CmSJ3YH1TvViTE1LvOos4XcrNBb7q FuF0lgGRU+1uPaoVhcY6WhP6jDZYgQtqKDAtlSlMHmL5QrKQzZrMmnp9KJsrLBvLqLQKty9GODbV 2V7mV5o3ng6OnFsYlV3pvwsnioxZnpxjaLkU4ELcscuUcCaL1oz+Vsi8EzS9yiu5Xq9kSLMNmkNA qgPRDuZmsEjYqLhwYTjAivMTogIXAX8Ex2lQEQcAC17NK2U8/TenAa141attaqLAGHT7ExhPq28B 623BH4B2qm8BCK499YcYBWGGGmxqTjbeGlVMWN2V2dv5t0n3QDjbH7zA1nv+jjTJ1QeVcM7ME1cB 4DGejrAU4/u8YS514dcrCowBei/GXKUomUuRnalx+W/y4Tk3YWBclGbW5oMlDTDFyY1UmHMCn21E fWuUwAvsVQBpNiTtZmtzhWIUXQXRboTfrR4VFB2YbfaTruHp6J7yueG/zP5dnZ5trigyLou2yGIt 4J+meTCQklN5US1K2OG8CUHGingpK6OBkwMXjwVZjU3WJjADxIuiMFrYeG13cqRisqLQmDlRO4f+ 8EGH8YlwiuDpme/3vqfW1U3CNyAicl0a9ArJd8CSje0WimfdF8PSpupqFNstXFdcNJbxFB0Ud3YH xfO14XC6uHDGSbUKD6RBF8S9qAB8S39ktK4QZ7zk3JG7D1YXLSoan4y44umgJz7213kzyRC/11QU GYMOeHaSu5vAjMcQkbRoozfFVFO4f1Ys06bzRpKYpXiR4gR88AyChB3dbSvBog2ca9ZKYQbs8c0Y es8ovtEZLFuLK/ACISkRUjeO4ghKsfKFmUwrb5V+VZN2FBjT1m6t5FCKauo3I7XkSh11mnr8Drl3 UjHps/igyUlntQzaraNIdHapeEJwmixER/abicv6d0eBsboMdc47qPY3QfPFdzuxOZ4Oylv3nlKI xnvc7/D9HhhD3wOZ+HJf2/MPSjLBHTSx1U2eBucm1KOgbdAmN1KDoUVRQTwrEFiKMkHyoN8Uru8C 517ID3sjxt8zKqczRSTzSYOqWzJ3J8qY7xccxpfWIEecziDJ5yaEGYNkca6ibvyy7tS7NqrhkyCn Z3PzV2Assd7cPBVH13RRnfDM+cnXpci9TO4K6+UGxlLp3VFgXJVuFqWbxdNNgJ3EazhfAk//Rb1j A539O8+ndki9w+G3R5HHOIRFHUyRe/fzJpm4wI7BFIyHayk+GJI2Ib4BNPyk3yeNJX1pS6ETVVxI TzobhlWKHYXFWxzxTeYmB11Tuydjw6SNpyN5P7/DpUOLGmm5+n47hhk3JlTJkPs0bSEbhoZsZMOw iczJibl3Uk0Szaykx6d+IG1mH+YcobnKlSGLBHHW5LUqY9kHHxCkDJL16xJe6EZgO/k1HN61pSqe /guexGkgUXB4BWfHRh7cSL050sRw190MyxpJDb6lYiyFSA2t+GDFdLAb4RhE0aTMGIZ01/NfnlN4 PJQGWnDtqFxMIOKZkll84MxUFOoZ3JxDH08Hmea9pWTNMHlX+sxESIpEf9a9DUmxUrnVm5tMUYiR MxNKtEksqWpm6p2ZEzOC11Dln137VvOOZbizFqV7uMSexyviEyLCJhX2lUyNJ5kCcI45f6oLT0fJ 1D9oAfw+9WZTO5SkQBJpORRoQtUk93tjcCU7j+Fz8xuSAjtJubigWxJazSjeZFVvQM2RSSC1js8E AayvdVN4euMTokRTHU0JDGQzhxupFCHxzzU+8HSUMXgLhgp8mZKkNyreYcF4EkDBqJhA7KnN1QeJ ouQJkSqHLHzHBWPWtKCGboNtqRPPn+hvQhwIy15CMDzcMkqF615SpBfiA6ICRREsqfqgS6r1PeVZ u/h0RPdYX8HNogpHuusmrBfTK6E0O4jNcx0eBK1Vp7M2kz3cEZJikpaYsxx58hW9hjzBRK8aDlzA 49Dyb7M7CUofNtI1r7D3/E2RAnV5H4ROOgGhTFZPioan/6qIMtE8P926LV/UJKO54SlDBgyzYiRh 1FndCD5ULa5WvMkpVC/uoiSKPd9uX3P2BFg9TU86/Nr473WmDFCBbfsCIjevcHzE++wsNtwGTZLP wK4kYr/euwyn+pk/Pv+/YCLxy5d2WQ0EHr0/hrgNyTZybTB4KOvSobxHn/V63dvofBKGxlIaq/0O VqdYoJ1S4I9aW5lSx536/qQGfNnlzLTtVSPQAKPixjiQA4Pjgn+m2ctZSnw8yhy+kcaoq30JU+QU QioKqa3L6sa713abeMOaeECoS1I+hVhjOduBVWeDNxxyB5sTJ09fa/Uqphnqw/C2IKFB7ZhVs71p BFQipnZJl2zZb9DPvGeRGzLnJ4qQqSwoBD+ROxRwLelOUFQ7htVwBZfbgmQWqVjoEh+YCpF7undO 7HTHgkUVELBeEQbUKzLgYeCbQZJhMLkinxGicjs9/lxoGvEjgouLKCyY3fmg6vEyRYZy0hM+HnWs 7umM3QTlBvCS7wRFgTLOnLJhdcwzCKxUA/InkhaBOyGBlcL7Kaog05gcN/rywSYIWgnny+AMSuc0 o50vFG87mRWAxt5SYFv2pkHvQaDa/1L9m+fUZ7MLyVrm43/xo5mjl/NKra9ni4VlZEzQWGT5IiwA eQTT0lshgxOBD8A6Ut9PcR1ZsKV9B8cer0acGwAWWDPAmCE9B/WEgs/llrx4u+Mjghy0KDEn/oCD wsKRZcl78vfGx98nqH71yrFixZy88xPqVeCLrWYegKj2th9X0/QjCD5vdgRFUfOUZ0NRaafc0g4k wU8mWgmygPaALBMpGAlWMMDjrow4pr1ppB0klrQUEmu/glzmFHHits7H/2ItZu0CrKKr+5xTqFmB 7NHwXP3SH+h6bGh/eNbeIygKnc0vZkuwY1+teTQhTnRbi2yh0UEg7q0bx6pc/66CuobeNKIDi2SV RbLKEp6aCCpYTjqf0/j4X4LqYucBr9n6nZ8oeF64M+CM6eWv24so2eqmg07Vmp9IuaIqSQda2AZL Lc7Xhm5nJlv6bLBZ1PzUvw6gx9PDmvamwR0mmcQ878AFtICT6crAJx8PNthTHeSNN6mBcK1RUlhY xlk+yV0i5GI5iB1WJkaZljsIJyiqLI9sIkvTB6v0tA4JaOReGx4pRIIAmoJ/7ywFEOUcqwPUHj8i KPWovbfzHXyCCIQAy3jy8T8zU8a6NF6/NcIcGuShv3TOO17tyE37nF7sWfJZnEjhbYIChzzEClw6 UPmzwSqo5yxEL45OF3PNSb9bWo1kVD4RPzjfXkdQYJGHRUqc9l53sJZNGWIGQSQ7hx55j9Uvw2gc pusSRHJoktfoUNopIsk8Iy9LT2W3SHMdMfL1ZyK1JZZPEcjYoPlZHdiETVr5qIUK2uhdoJEOHkIt D35yJ3vT3+h6NWuasiHmB3axsBNnOfDxIIq+UaLSWaRtjy9T4JOHZYvIJ/V9i4VmBTd3aZajUvRf 8xMLHxuFZvpgqG2Ud2jgxfwdlGHm7zhNKvpzkAIyDKWCxNApTwpvJ7MdP+6Yog022D44J3QdfDxK U68kldzRBIy/ExSVmoGBBu6ieSt9NIPutDxMlmDfKyw0yxvS6aIenAaboH1OnyG7lARZmDmF+Ifp RTcqhOGa2C/OgVselFBIMhLfcz58z/OvijO+cv3kwC7vv7vpxB7Sw8DmJ1R6w5ZYqRsKY5FqYIm8 2dL3QgpW0p8JqmPCtP9/Mdue2qQuN2D/ZACwHsG0FrWG9WVgkO1NI7CB7i6Gihw0P60XWS1uhPk5 CqLpFf3UVVEdnrd2mAPHPPNXWqUN22A6oCHfWeq2A4iNcm2wKIY2Cn5/Bq2fviCYhvMNp84WAAJV s8Hqwc96JJggIqGPCDaYBYfUl+TANPUca0vN0XPi8/GokfMthpfPiYI6uU9PyOBLnnlJkD4N011f wxYSKqFuKBia5i3RqIvAPKVeemOqLRVoh0IMGE4hlMqnJzXhanDr9PkhNSKHvnliDFSxPzkY/D+R Eg/W+ubj0QF91w9vGRRcEPn5DL3H0LCH4w3fRaZBxcOy1LNqkijVnSLkmqHIIQQQ9RcXjHpW/2ij ss6BNkw27giaSDhx6r3hC2EO/IjoBiO9UXXEeeuIxLKyYT7tDYMF9K+ZKUp0ZdQnBAqDaOIyqrcu hndMYUJuGmcF2DCboLgEraNHLjO53TS+13NIQ00HyjFwgTdbIuQXqLGiMmqFMrlShg56qBSQclR8 0BVf6lQX+MS3fDxIMuY3K6ugLjmeJCP00BODhLHff/hPrNVvFsqE7pkkQNr8xP7S7O00iUe3yyAG UxOXN7PUk46ynoJsFW1L1K7XFXMYMlEOXfRIiYZS1fZBJ/SJZoSuOFE/Hw+SjPKPVZwqrddiMPTR 6/LEcAwCBdoEXhnTbrAFjJDmJzTSW8qq4KNhg2He0RZNi1L1J/Uo5hfCJBW48daeOmuxF416GOSd y4ZbSjQ/VKqdgyCEc89mPh4VOcb38kFGNp8cPrTSG+hukxghuYsxrQi0EzXO0PJNy6hr+Rcvvany WPHBLvgTX54ISDjLE0pB/4M5KrYX1QtvEWix/ZVDMz0KeADWMH0QgAXOvaxD5zL5eESX/UfTiz37 /iyfUPKClriNV/jgmWmQuU7Rckks7u3zE0bQVDLbTWXW9mjdQp6qzmllVpTjcINt7KzzvX6wp1RF LDRH50f8BmKptCTVIBDLSaCZX9Y6Gh+PUCy+veRofpZ7uz4QOXTUo1CtrE5kN9i7HT8iiEJ7CyVf m58Qwzy4cFa+g9U4Thx15gg4lt2hacwcf4BNWJFygkTudfpS7U2jvruAc03AuWaQFBjN8ig6R7/e MNJ1fRo96J8igO43gA5N9RiqbWirqEtINSDqV8kcrTDE8BpQ4Kp31Uv/W4eIt1JpsJQ+wc/CvcwM DOCiTNWLXm57meJeObbVY+ehSWqxudQiIGdZQObzD3z8rzI9fmNe7+lpY4TGepbBV4eIsb8kdZDi vBI2YzU/IWiDx+5m9KbB5udMfYLbKa7384ckQT5rFzPJrHna9/kcBtDK4ImE06Ae82xJ+feJ9/h4 RJ/w85lXMPb5eBKM0Fyv8fxZVnqG+K0VoRsTHumD3D5Y4K53r/el83n5+dxAzIVQHkUwoNFeLlA+ A04Dap40d2gkwU8Iujziok9uLw5aPvCGkQDaGnw8ip8fXhK5N2jIjaeTGjvs0WApW94F+IYtoEbv SSpSLpeyyoHF3gXSDTmmDScKNBjvntOfJbKzeuQdjbMaDBMUjLtPEA2B+AnBBOlg7rq/+rqOIoir 8I1Xqnw8SFAvwZhmsJvGhz45oXQyfi6GN0pPZazH+tiqDt/Inp4GHnvZJ6evO1h5g3Bx9BvPlzgL sklJGExqCGCR0ja/WoShxR624DmUNTfF52ZAM8J6qGny8UjtYf0T++Cv5OdsjkJnlv02G5JCcPTl CI6ZrDo2WvWlE5afzTdDzlbrVsdSAjYG7eqzXoDSUHEj0VQEuF2cPdN6zN3eNAJ9myt38UHzc/5x GC4q8/Go+HPnh0HQpODEDX5Cqz04csHrtJh3efH0fVCSDbAx+PXa4RN77Zn7tGwIyzWUgz3K2feN AoObJWiVnzvBCj+PyIywzTn02uPFAH2N7YMOn7Eqq8/QoOPjUeycv9cPhbevFm4O7fbQG0HJcF4e jqPqiI2jm3B3V7kc+O0JggK5LxP/8uIP+nhbPgobtY05dGnRWCqTX3rvrjW6vWnUgpcNloyoi+Xu 5+xxFZ6W9YYBRmF/G8tR4rpdpGqOXfca9ZNLvf1Bw3Csado88OxJPj8xxlluT1wWu18mtpTbZ+P+ anvQnOF8IWTt2NoI3R0ipeJP6Lsn8fZC1p0G5haZgD2sn5EGH/8LrqpKEbEk9/yJnfe4vSQQx/kx FcZzoBT1McBVKr69wtiZ33uJi8TBUne4rqAxBYTCQMjL6lindAB2bb1UdciY6yOC2JAilU37q/n+ glWVsWor108UOz+5KecJh1laz/yECA5mQXSulefTzF7a6Fb6WXC+sPkJ1TGyTMzFV5pXOgT5zULZ XLGPBC83i2WFBXJ8aycs0S0sBxZ8Zvh1om5px02LP3ESFfkupz34+F9yRbQyA6WkPrFP4MKHmi4o 62xF/4dNWnTfyJGk9AiEg0t3UeUc2vBBlAdR86w+2AWG7gupAxuz1Rf7pwORCHx9fhB6mULatAM6 lFXWzTUElR8OlT8xrAxAT6yZ+XhQPMzftZ8FbsQtbYQ+fBQo3KOZR+EG9swgZNMk0haYrbZ8IvwG zLcxLXv4YKk7ZAcXF/WJL2etlpqiFYQ1C4itS12pMhY68fGyOZ9DVxYOmp10PoMAoM7jJ8Q9p+/e BYEEkCvz+QlLz8jlUq6uk6FNBfhGWssqY6wHan7iyFl4g/8SWKmLd32j2lXtsLMwbWUU7tAGRejj iPllbxqJDyr1IgeFA4/n82c8NV2dj0e764EgZpGz23qu9xD7zNI82IeF0U/lVdWYmp6TjkwLHH/C aIZ+fFOEfggT2GCljV4r5OMsPMw049n8xblpa7vyyizm8CMiaDjXT6nTB+GjTvyp+SloLoeOfONy CqjOiBJmyQ+ALPTkozr5kA43amObummAzOKQbagdVtQ2qo6fOH4WkTQnHyz+SQu8HTQHwajplMY8 1wGtsRm97idA3MVeNUJI6eChDk11HZp5ktad7HyefDy44IfvMN5VOBH61czIsS/fJg3ltt8VANE9 drh7bHKuTg6M+c6faWblOHzQCkLd+US+7J5mEOFM5fz80JstsJubUg2en/BbftF4U2rQAjqZUJYV 6Fp8/H/Jvyqkte/xHBrzoVQFbUZVwdAbVLreO129cHn1eXPT0JlviclEQSQNTvOCRwT8Q86ElKxi 5tlpuIgqqoZUoZZCd932pkFjRxLdWRIR2RCOZ77PBubeOKEoHw8ur9bv8cPm/c9+Cj+hNR+tBjdp a4Ju7GLQDdn1McXeXjgMvPnEFMe89DvY9JzTpxqxtJ9sTvBVeqnSSg6xnF9e2140Qv4waGZnsRW4 OTAhmNCPSrL4LIvPB32vNr72FhDfUPW48xMFz5XIje4+axAqsL7g7Bb7nCvIb6/QoK/Lu4YkIA3W FyTvHC2KjRrAWMbUrmrA/7Qr1WiN5cCgz26fNsjA1GB7qxcZ7I2x+XhUd97fuVdia/u2BQOLvnNm 4OKmgamgdWyNq/Kzm9VVU/fY+RePPhY1ukLoK54LcjX+/4XUHZIVBBFtGcMs3XQXHl6XvWkUOqsu NpIPVtvoRc4JJ7bi49HyudA6wcRooVifBRSyBSclV/q2GarX+3J3h7bw5NUMhfDnztsrifGfnPFP qmDpaC3QCX4MYRMGfVBODDSe7IsGn/yIgMFTBX+m0khZViCgsp/c+jYqh7FdX7rYOtYZv6UR8bFh /FweKQ1KPg2H945q8FXYQlh2ERj2mR02QBTTB2/tgNuOXBsTdKIdxc/EeCHUgla7Y6PIqMyBY192 77VtGj7uoTAhJMXz4xz0fDwqrf7jgA5K3jkfnxUUlp4Lw0KK0CCTz5TvoYkWJTY25DT43/KIjrAb VcjwLYzUdt3PNs+9svKmYfMJQ3HzUL8H33JVns23t0zf3Rz49uEKol4sNYo1KD0FcJrh71ybj0fF +X/AP7iLy0X/fF6jnw50L+LnsR3+DJEksf+hg6H8Aq7fvMFeY59+JfEnCe4cDBkFDPVIVI2oKAJJ rk9ib1VMNUwsoZmIDV9Dnw7BsMxO8No+6HiGDC1FmM9W+bwGPh1MdWE2+lN4ZhlYGzqYl0l6OyVm KMHMqgqtHqWkO8/Sr9PJccG8GOtiC7lKZPmPGoJwP0IvGEj68za0SgdKGC7f+WeBdaF5MVD467yc wIF9rt5oE8XBAL1sxzGnOBHz67wgeMk2L8JlABJDMT9OzGvI0wHV4EXeXA5LRvCF5mLTeu1yXuv4 I8GCGbKVUFF1VO9VnEWRTjo1sZt6qpUi4UJyEMurampyqTB8wPuCMVPZvLsP4lOiP8Q++/kdP6/B Tn9wzt28bNjCKTYvr6EOFgyad7u51vtuySBQazdTbXxQdK+BTsdpPlhebnfgvPR0MnSp3m8kEE0e Aov68iBHQBbRJdTY4XqNczow7hTOVXrdWc2ms8caeUjS6IR1n9cwB99xfE8Mqq6LhH/akUYHDPqe C3UEC5GT928G+6UFIXK1DOI1wsEvkijYSOceDVovkAxE2RfX0z6Xec2858kkQQpG4rZ8JUBbxgcE Bwwbo632O1jtdOjsPXO1Pq/RDR5uPi28pZGmkTouT6FgXsizXrO7q3c3pb0+gd4ylc9uzOTXuKa7 uU8CYtwGu5UqdZ4AQwbQp48u/QyigGGrusnrWoQUJr3m+8QszQif16BLaeAIw1c7Ke3nNajBeqn3 5NUNjyIU42J85GtIg/NaDa0LZ0YFQW4b9C9mP3STE9jxR4KZIaGr6NrmYCum1CF8yQZOhSrJZwom gxP00h/GZKIvQLSR2Mw691vywZpZeXZr1uTPayyD02nO73mhj9FzJb1GMjh5G2HwtVsTvV0YPAmP oiNXu6pf4xicvMQYlMobm4OdMB0kkE3BlZM0DxzriATZtjjfFUQBC4TxsZ/XIKa7CmGTimXL9SLg BR2jjvbnNYThy7Wv84VsFc4Np+W1AoiNlFX3uy6pzdvndJFU/YZemNAgDA7e5D5HyQe7kMaqnTqf ZyMh2yGg+mSbKGgM3JhfJO3dqXwVzMwiNAWtJB9U3QLSxdBc4/Na/UMkse+KUfcKQczONjWvtb8u NB5uoqQVs4axADsktLVizn1oK+a18oeP5k6Ctr0PdsbAlgLAWUxNQ11xKoph8oTOGmBn7iHG/PK1 8Ndv50qYgFbMz/FMC6gZrGwNPBwcMt0PGR7U7ADcM+adtIWbNo3LlCCkqS87frNRAeF7153VFs0N EVSZ3qcanFIyoLsGRPc+Ad0i9x3a3GdC8H/6ZUXmqbbDe+SbBDg+c82eFQdOTYJ1ulZN49PBhprt K/RFc4LYTk1NFPoCqVlmKVLKUOvKdGhMQG02hOAGVo6mZmq90Oycg9O1zgwM9De5o4BLJ9oLJQEY EqOrn7ys1asIbeEhLDo/gSkclFJ2tQLPwZoB5o5OYVMUca0EciI9nHlna+F2Ap5gEy5FPArdg7in JO/OPbWro3WC2dlyqq4KgOsNgPPZL2fqkTEtiHIvQuGQ5vyAR0rlAQ9oNDdRBKxSTVuSWl7ulAAf WsnBNlS03kNgiDvehdNEp0W/tfuB807VwmFMpOnMdkftdWcHxoJiGy/3EXtnavGm43fKDGs42JGT qBIIp6wTBp8kRBrn2AkZMr0oN14j+Kn3fN9W3Qp9ffqghLJWUxI+odnnnafV8/XX7eKR0PuBXACr EQVLh1sCZGBLEVYzJ/iNBpN6neW2yt9j4WwbqyaabHDQDY46AUCTqGVB4KmwpXWugPrD3mYGk2Ro W01VIsIzB1nl0KnMQZ1OWPqJuIc+eRQNC199kwQq1Xd28jg5UTysvLKb71yRHTQxlLslx+kUJ7G9 B8TZJBpzYx2Lw0UxoUDFPnCD/YK0+BJNznb7GY85nwgk7/Hw2bgMFBuZRBrYZjihceI/nx+kft4J WoxV0vd5TKQlbeU0N2FETInGVu/CKd4kJ6qVxoU4DlTki0JiO5GX3VnbLyvovZ3IYNBDEXorgsGz bIK+QiEMTjKEjHDe6VldWCLQIkjAbskI2BM1+iKTjbw/7+ws5qY3xlHDhiA4r0e8k7OQodDXp3e7 yfc0KAoAggbQWTv5rori4u5eAMsHg5ZC34CmhGBhl1HJclsV5zfar4AHXUuApfd8nxx0hAC65YXO wdAV8Ibirurz887M4vttnxyuEnIUpsfG78QsrhzEfSUPAweOYQLdE1o91FeBaoOVsaLoeJCQlVcr Plh03HCB41Cg4/BIIiaRoIPmfXkMoTqzhndaVndoTs+E33Kwm7xs9Rc61FWi6Hin/b2tsKnJvtbc RNExHQEE2GM+Nd1EtpFCTudCxAiSVgnDY2WYiR1ODjqOaRM8kUTCWjatpCYN4VsneJM9iymrzKn3 DOaGKTgUK31QSsVW4Q9hOevzzsjqj3h5J2oJgWeut5j1TshidQLhMRMTViemiz6gX6fTeIxlJ847 H6vLNhmTspIPlmziUiF3aZMnu9Q0Z9CBdiCA4pY4APbE9wyOY6UMSw42y+l8WIzcVOfcyp93OpZH AbqqyA1pbL+Q78jZiSJk1vDXzsXyqjld3rMOUyMcZVle9U7HwvrrVuUrPlh1GLyyXlgdznA4F3SQ wG6AyXp6Mk584c87G6u7pXdb0i93D5hzEMnp9cxOm593MhbXwHditSk34QHgOxWry6DrTMgwtBs1 l3XgVJZxkI03LpzOvxKk49R0x0ngg53G82TRFa4DaGYi4WqCcS4midggX25ZVMN4p2IhypEOIXV1 NGhbQfmb2+rsk887E6ubwYuOHKl/AZdfSXXk/EQBMpgPhWR6KZjTI5sd8dXEiUCFxzdWFCAn2l6W STknDtpYJ1Q+K34qBjzTQOWEM0k44xC0UuRTaBPiQz/vRCx2XCYlDNj35aDZETiD+Ov1eedh8Tj/ PnTIkB03zHmnYXXTeVgSTWFOvqbRjEqyZu/ILsXzzsLiocNF09nglpapJQ+rwIAHvAyE/Etyc9RQ GpS6avcmJ8vxnYTFcoWxi7YPDHNOcKPC8QnVyuedg4XL9B46vLQl9nzXTRQeI64HuNZlc+l1hbkZ 2WHIgxuAcxPVi3vfmpTug4XHZ+kmNjmg0g2tYeJw6E2LjiYAgtefeek9gyhHwrmUG9WguUldDc2T oqzPO/+qi+zxzA3L31Sa19y8h8do8SIozsPYRatXmxt65npKbgiud/bVPY5LpZcYBztzKiwYQDFB 1pCWmc+hWAwrQFJ8PHdgkPPOvrKuF7ou1D3pzv+fJwvIsso4b/l5J1/hW2Y/jq01gY3padU784pz w/5u9TaDdN5Z55rNLvLiosLvxCv8qjixUxks5nCwIAe0ugIRNMxNhlsfzhsWBYHlquVLGmTrPYO5 UVic2/KB3xIys1J2aJib9+gY5Vsv5TBBxe/wvanC0jGO0VlcPM5AWziMi/3TbIUKDziM36Pjc5Hw pCl5bh80ORVOZBmgGBw4l3dNifyicPHW1Nmcemde8ThVYXTfgUkn6h+CpkG36Z14xVb2d0JOohAr m5qbKDompj5JOZdV5DXsMHYbBaRVxrt6p115Vp8K/Uo0OGyiwE8sC9l2ZlD5ONMAyLN8p1X4/T/v rCtuKsEh0/aBc7Ny7UkyVXl93klXzI9uhwqPQfJpd2/EvFOusG7GuGqn9MvKkitA6GD+Nau7W+o7 4+qmnKVobxXj0yE6HicIRkByDuM2e5biFSWC6Vw3r53EQF/r8864Yp+JVS6y6jXoEp9JhqBI3j7v hCuL3r8KOeeyQO/WpibETUzef10TApV9Y6MBVM5/OtFKNamCd7YVPxpzQtMLGyy+gbhOA3AHaAms fraxIHhdebSA1nOtst6ZVndegLLzQQcx0HYmI8OXC/ZTGl/ZJjTMcpoeGL/zrLhmcDURfcA1A/EH 0fSqOSdApMku8HeaFZE2fKe1ug/evkMRB0HpyavO5pmqg6k9mZxCLYDAtvcMMqoqCeo9fdB+ghVr 45rpfL/oIPYaDgMZLDziSjQ3UVDcM8E2udpZ01OyC5w/pKrGy6rG7xSr24wpk/I6HPwcRmsNxVbk nGdfSX8Hq+jcu4BJ3cBvUr7hnWHFlCHr5tY9jrVGKhD4uQTgzzPln3eG1T9lCinqEq11F04UFUO9 FqY4BqBAjc6STbmnAkCRXPr+nV/lTZ0E+L8Pmhxc3vDaIgGk0WWaNZ4B3SrAWd0LFE25zzu1qruT RJuyBHWv+XFWZWE5vJbOl/srJKbWecNn+wX1zqviwQ9RgmZZE5Jw11bObgU6il9Q77QqS5GhDqOC 8b4FY8gQbM7wuaDA7SCkZBPtBBUOlAq8SUXS/TurCotbHRimxBoETJ9mylr6yRbeSVX/rBmZjbAP mO6iiUJiVLHPGVOrpeH0WSCGghx+YiiWp+HvrCoew6r7Sf6e2h26vWeCaTqq6ZDZTVSb37R7KUDe b5wPxslDQPZ5J1V1E21Fj6H5oC5VJYKSPhv1886p8oD6KYpSEIG8D01OFBMDQeYynY34dMszzzFq pgCV9VaunLhirLpNVhWHgcdEUfR8p7oWGZ0DpppilI8uaAFUaetNwslYfKdUsc60hNYaPugsrogK mDzW+nlnVPEq9iScr4arkqKhmpwwJm60n5uOMunXn6U1VzNt28/isGLM+6fQ5VmD4ykyFAQ3z+Jy pnteVVgKhKHC5HK4jPve6VRP+WYLuOWCxGdhZkqO/YAL+XlnU3kbx1ZOZ0xUf0jwt9mJomKENzW5 uSUCHXd15LVKK3iqkBPlF9WMJ08bbCkfrDfeYEKHexpczk33SKThSBaACwVizlcOLuPPO5UKv2KV YTVxs80LRKOclSPHyrH2551Jhfer320qRkvzwpPeiVTd2AyTIqjUeWW1kCUK2YIiwoFebCXS7/ea cTbLjelnTj1/DqgBEoHP1T3YYwcheMLxMoPm57d4J9D6nUeF7U+I/hxUC54sWPxAowpzjn/quc7P O40Ke7J/l7YIZaYDmOYmxFQgFmXKnalCQA1NXFaVWjbINCvygYZ1806jssYsmwzDB4v+agaHk04S 6ySdVOrYCylbRUcCYrAOxEHC+HlnUd0Ipw4hKoafx+cMm4SK1RNgf95JVGxxffXF5SOZkQfZ5ISh MR0v53bobFomTzWVM/Amx3dGzvBOouLBxaJWFrQ4uw9Jo1VqAd9gw826E2x6JgkXPxDXC9eLMMWo UfI9g+NY4jC8ZjRwcjraPJycPtbnnULVH5c1a1IlyMb5afxOoMI5VeiytuTlCPfIwRsdoPpq581u Ru94509xT/Huad4c93scffGSFktbBVagPI3PL4HDcAAMee9xfsPPO3/Kyv64wM2oxfAs5xxZspKo 6wTG7/QpRgG+bpJiRfhCedbwzp7C7Q8w6+bZxmVDDhxTzVadOk6aE/dUWC6mWleR9hIHDwDPRTJR Qzgf0WUCiHXFBloy7oKyTVIhP+/cqW7MlUYnHBt0i0NWWh5Yc37eqVMsxNev2JgoUWLcNDdRuXiy t6lAGBwzVsTA+u2UUKUiVXKj1HfalC1ZlCbaHSyjSue0AuGAthoTau2MgWBHfELGfQ2hCMJ5p0xx YrqwSdMHXVLnwpraT3t83hlTTFT/7YhTcSXfHRViKToNQLcFf6bnAbiAkOmgbFI+DPHNO1+KO4qF h9G3D3bYJHh9QeJhn4wZMD3JxmBJV1QQ0/Ut7CTmvNOlmM3K0tFSzuE3eGlbp8UufDq4parfUlQx rAiWVvFK+jtZqkvgGxupXPjWdMDosMmR8RcnJ46MNTll+GBpQ4Z5fRuUKR3ntuWeO+mU2nkowd3T xl4zqFFQKWcMbiwOQm+Vplbh2cbr806U6qaRq7mhrQSqQPViaVsYGIMnvmm7ICJDd5l/4biwcGqx fLOFQGM6XaKK5YNVtjb6DBBcQdP3REyikxPoC6rLfoBtSW8ZXOCJifiWW822EKGfLbXMAXZVPB0E fheAreQLJlS35tfCoJjygGNZUDy3Cb/0NrdJwHSaG/KwiUrFNjPo99jgQfE4XxogLQRoJ+WRFzqd WCDZOPs9b+C0xU8IuAzU/u1yqOnXoaZCf5z549b7Re3w774dgI65dw/8ehgUc25YqOOqcS+ok4jT AYrSkt5/6e9BsewoS6pSlqxXWRIC+IC+g30IicxcKXFPTZw8abI+LwCHpa0eMuwEFdhl+6AqRT2f x7jtbFY8HUFp89clRTdv6p1obsJaMRSPtwxAMTermC77qNmoQWP4adMjGMVgkQIqQT5obkDzgJw2 1w3OnnLVtzMUsOd6zEAJpe1RubhmVXDYOeHAuTnp6xJE5FxeeDq4wGv/ThhGYVhlUxOGxI2BsKMh N8uLZJPJtxDsIEpjIu7rUbVYguOgRflgsQ3IXjlvtMJPQt5otATzg7OLUJacxCaJtIrEGp8QdaaY L8jakQO3FMTOCIY/N/nG0wHR7uHBYGpYuQV8zyYnpto1AreMgjhmdUUlqmfRD6JP03zpUVA8l24f WodxsKAY6dNokHzZMOmVb+HqtHwqbC1dMW3GNz0KigkwP3k7E83lRqnnvugykzk/QMHTEQvxVv5+ pPWwb32iR2w7gJdgOrCFaVspdYv7yEJHTNyBCKbaS/8dQlEyYQLF2ZMncjrJ0zlb4BZGOvgmpm0R norjv7e7pTBTfM/f2r2tS1OpnyNmcN1Iv+q87znR8XRwTc3v+sRgJjfvngpjYkrJNlcCXP9H2Hsm 15IjSaP/z1pobdBi9r+wgbtHIA+7My6fPWvU1FfJTIIQIVzQckKMu2pdhpa3QW96TLmTAqAgFOVC KM4V0qgsRRcIpOR0UkO/HfLR6AhevB9dMnoEMZ5UCKqUrtYgoGhtLHaj08XvC5bN+u5OsdZRhwd+ PawXD+j1UHmVc9PTNB8+Qkb+Q41qxHOo3fQYYcwtVUv2wevF52iAHDg5QTtnyqKe1QMLUDQ6UQm/ Lc2lD43O4sR4eG8fhIZAd0oqXGPi6b9QW2qbI4S4mK3+HhXLHRP2qDxuWeLSNPVBxQsW0xMgizxv IgxFEnmVvhcabOFU9O3ADkPkN3NRfINQEuA/KNjewxjBJ94QzA1Jd6zT2mAYiiWu0AkcFp4OkvD2 3Z0i3uiJinsEMKabDvF3SjQpJ42zGOxiJZqFmlicmwhCQQ2mREPfWy6uOHAI2oeSBWrpGzhy3uEI JgHcAurOi37AleMN/4xv6M2iwTgN5//g79bbxtN/ov1+rAmTLhmmx8VimaJOzc0mXek/5FizoAMJ JmxXzU1ULLbuy853sE0FFl+d9NcdNdUmX2biDhAkoCR+58a+M0g1We3rpQ4flGoCksjf9wTIeDpa N/n7EodBZWn3xBlRYDxR9NvKuRGobtcUl3UMe750hMXkjKharGZvlTI9B7upVjq/P8ThcBrXRkvU VMAPBwNOulIqiNLSaURxMTVlYPEzfLAGVRILHXaFeDqIi0f/vsPJLtseF48oLu4sUXTZUuOUwmmJ w3hX0u7OJb5Zy8NFNcJisQpWDJY0WLH4pJmtbB3GJ8KsMG2i6FYH2O+8Bh0lT6i2PjTi3xFYIrfz cd3OT/6RifUG6R5P/9X1JUSfOln9NqhGiDDGjlmcS4OmG8K4J87JYkXWzB5GVC2mQwyciYYGfjgy KlQRKvQo94JstoQTM8xiM8FayzswgMQPvCAAQhJ0XVeTKDQrScCY1EKM0Pnczs+LUPs+N+piUo31 IiFHSL/DdthtuVpkN2ibM8wITCKgLfGnRCATUcuIVwPJ1+amnKVzQgPa8JxF1LdI08TB4v4rD4nq 3FsDL4i6DILrSxFxmeHzCf2sInpC+oanI1UBL1Ko6kOokB/GI4qMIQGFRPxi9h1AO6H9JR3f5MzE EUXGc4jtUbMPllHBGK0CSLkBOKmkJO5F+hSv5cQTxxC0VR8anTjcTckGav3zqiqjSKrtTBIej1bO N2qLB1B9ZieMjScLN8QfYZ4qMwjgBcjAokoSAJ28qkYUG0MNhAW94oPNDlTnZyYdGrc7gVcb5Cpp MJdy5UsQ2vM7g3yTOnaduo4a1PVto8ri88TLePrPE4f4YrL/2tWjCPHFi0j96ip2Gwk37vGRSB46 CXNjFYq7KsIXV7XEVaLhYPnmgvA5uLXnNjxrKC0TSUTzbA/Ff6Z5Q+z1CDUp2H2Zm0bDc3fX6jgH I//w7fwrPB3EOOu79seKDrMHzU1UMeaJM5eBQwGhNRkTbAdh9istKXkaRxXjqcShqPZXWH3KqIqe C3uewxjXOMzPClX8F0kUwJUh63MePY5IvCGKcZBw9qbrvDVvwsCYUdjrtfH0n4Rf5uK4O/edmzA2 Zl9zlPTfGgNjXvG6OY1IP8LYWHOzdI8vv8fPxMNmDc5iG4IvqEb8kNIKEMzZiP3BXm/CIUcYGxuR QcLz203jViu8P37aWhVPR2CBG/8V2Q4CUrfu7ITRcR2mXEdqIgQlLDqGuEaWsHo3XewRV40Jv/kf wu/sBcK6inIAO9lVsH0UHhaCbXSZXT0zZ31pcOgITDGIVeKgvu+ZamHTT96Cp4P5uaJJUlzAJ/UL +5th4XhT2HjL5L0sNEuIGBg0ihAOpxqaYsbKbJLB4cYqt6gO7fGTeSweOihcNPM/x1UFLDYCeK9W FPvOfyadnYKFHHQiLyU+P+3MPp7+q+FQVAH8WZdjNqP4GMqwuE6KgSl0WcFNBmcOcnRQxU3SeIZ1 Y2pKFVhs2GCdmA5nSRVyzroBlot0aNyQUJyvD6l1IJrAG/7FE2pDSgzDRTMbuk38s5/7HU9HZ44X R3n2stc1r0ZQFB0jJDnJYK4eAVYHKK3hen7Fz+MZ8u/Unlrq667i7c2NPZV1kbd2gkpd5FAuLEBp Qzfc8qpFxdX5R+E4z+0D3gChlCqr9j75dAQY+MZSEDDw1HFmFB1niB4ZkpZKDEvQgZFmM9zfovQn 5yasGwuEk7Wl8u1T9bEqwpcqMnTaBPylzqzalDx93aDRgTf8QfhtPnBLnQ1biTKs5+zH0xGlYX8H OYWYjstpnVF8TH2frSCHzKYupAkWTjKkCYUuqC4VCrVJAnJL12RfXZM+TmYHORbqmmT5qm8sKMDu CmiXtwCIvwreEGwqQozP+dV8UJHrpPhs6DU0qmYUHbd+CzncQY3xledVMwqPF6W3Bjt2CwX2dnNO AvpJFEI2xMM4FKdQ6Y+sOg0eAZ6UEzKiTB5OZk6H7C1AEziW42GYza3vDA5j7qoGSqoNOozRJyTB +QQyeDqKAP2mwjyARZeh2mJzEwEqyEs2g6anQ45WFRsHLOSgpqRdFZWOTUJ0CVS7rl4brvATUwLa BvGCteWvs/mLno8jjd6KFexwzig6TsO6v3dQlSuD8IhdlUbD01FadaNj5gmZ2JV9V07Iv8uEJhUH KclUBxk5O2sEKfVlIKUZqrY1/fRSfbAo51xO59CBc+dOIIcL6EVWNIxx15UvZpKNFwStqkWmEOsM GtQaT2DNssy1+XSEUfJdxcItduh4piYCVEyx6KszYqjfRN/pVNSZIc7cFk4UHkuyrQwxzMZlmBEq sBZN884clCLzU6rkssCWHm4Dq1wzCo8b5Uz6Vudhu9Rf4s/lNZ4bno6QON/qs5K+veSGGbPvkHLC z9vSqjyNLdS3Ydtqn34a/6FNgUqVDY5tW1Ay2Zyb84Okw5qI8UUTPpMmvr9CnFC5TQyzLKmg7FJB 5/oYMhQ82wtP/xUaN21AFrBtblYUGYMWDJm/dEMcoSOhkG9zM+YwTOSKIBWQr6TswvbB1s2kyniF JcHEMrYeJ15KQ1EeyLrG2Y5ZYek4kUIFSKENqledG6xItLhWPB0JvqzvdUNu04WbrLB0jPrUmkYR 8vCPGGyCcihrtwyKs8LQ2MRM/ku3AwIbCUE+IBXwsm9brik4Upc0YvwwXryoVlw5lhmKQPzFVFNO Ktl1LQIohqf/QnCpjgOIZL75+AqlizdRJrPYgUPSAA8caf7hwMl+Fq8QVCHcgMK781Za+wlnnOBF Vqm/dRLNJkc0nKyoWQMV5zfVWUwNb4iKXDxpxKHvzqGH9FAhS6xSKTKOjevXLU4K3riifyuk4DXm GEVlvzKnSQadCIcKHvX7wFkh0LiruFW6Dw40BkNDxAZ0mBa752g9YG7oBeAXlaibKywd035pSvlv ZrsJz+o+CRv1Bc5CwNN/AQf6jzAVmSey5iYKjSEO69RNURyWO3g53bezMEyF0ZCBp9BGpoAcHDiQ cT0nym/VIi4pzNvRR6PK/QXj0BIWbwhqXOpx9lV84NzM8/+x21xXyng6IjaUm2+iI4+atUP/VhQY E7Q30XqUu6ITf/ui6wVLXFTV4ZaK6sayLiW22gYLbwrulNYlMZpAE8p0sJC0wNlED6116MCJQBVL xu1Nxu3NUGLQl5I3WT0JCp6OsDjf2D+lDzdrWFFkTA1daPFxjVQYbRnUOC+XbSsuCb7CyFibKaXp g4Ow8aPZ9U0Qw9mU3j0HM0pGHanGunjazSbnCoUpJLtFKRQMWb2qkywUnv3nDGh4OpicK9rBinOW z8PdVSELDyWnRYA06UJkNRL9h7JU5VVVUKPhiRNijU2dllUcDqILnaVSJ+IAhDhA+NFzm7d5EQjg To4UTVYUGifSPWj5ZYOqOJuULCAlMr8vOI1vaEz9LmIjqeJksxMFx11ArnSlKXYyTC2dM5RxopXA tRPXjhX0yv1tp2vquhf3FYlmbcPShfq06FlC9w+ahF7+I7t1RXjjwUuqZdVGs9dG0dUz4bZV8XTQ H39wFTg251Tp2uYmCo5XZo3rep6Qmyxw5DRPvM1TlCsn1jWmGQX7TxrsPN5wN6ATDCI1pBHUmNI5 fLbbmrdbRbFQvCIiDGWdxNMHRTkTk45fbuNAjoAVNBJ+sipsFCKFOTk7io4BlikSaZJuR/UiV9/O pR/uZb9jYWPVja0E4SKjDbynVBsdPU6cTJF98DfRGuyke910XHbAO4qOWxXSWNIm2xwJVz4TLlbL SUrwdET++IoAWbqGJbmvnB3S8EA/TPmauWauIbid0CKVyApatHJyQnUKCbc1kls53DrOgNZ4puo+ XErFw+MpBuWGtuttyZD9sUPIcVNgLOW2YsptJ0o4S1yFq8YPjHTtfuUOnaSsOzkh5tiM8LpJd6Ri OWdvQ8yq2bvry+8wPNasyCgnP0Y5SA77idEQHmdY5cgLJmV2jLBibngs7d4dhce07oVBTvJBhZyR pkK4E6Hh6aBWceVpyYIZtJj08HiHPDzawUzTT6+pmY7HOXLKtLL6dhfp/W9gRZGgS8lXD/FEyhXs eZTV11g5yWyRMAmgbXHJOzOGtYodhce76gqXL0wxCCCUwYZKVD1tPB1URx95WsJ3sAiJZNDkhBYf g0dZckns0uU8BRGeYcyYxG4NJicm4imvEh57Xzx2S4XBDvoxmUBJKTEk/ec/8xpIU08BL4g0KnTi MNjhILTSQACrPVXxdCS/5XuKa4RIh+HtmB3Fx1SYMn1Iyra1aqgTcsYk29b8NA5xFSInFkWC5aZV MP49CadUjUcphr9AjIJ6xXgIDvTm2lHZOFFVoieTfrGqPfrum3cWXD7xdDQzv10bUC+5jOgdgSoS boalzIH1nJStikPlTp023ZLxHYq2bStRVB80M6XDIiSR2ZoWUKlT8h0ZqI8sIcQlJj0+EW+IKqM8 ZqZJKU0DVZxAkF4/P+cvvPB0VDa+DAfGxosqkXfZhLExCiuzm4ck3BSUYJ3zd12rDxfE2VFs7Gew 7RRXUYIY/DlwBI6syMU3o3bAYQpqKYintHAKbVPxhiD6q8o45Wnb3dP2/PA0VFOvA09HJS6vVOhM HoKv+OTEdWOqCxByXGj9YZ6kMw+nDeW8pcC//w2rKElUh7QuVv1EO7smtjjhH5usVIGDFaKL615T LDjgBVGlgkdxVxWnexWn4UnNzeLTf7E/sPRZ/5+XUbVDSeNK/B+LxdUwFTqJIeajDieF6rluIkzF VNS3BFhaF3GMDhuaeDhuTjgMVtUPRYiTEA3jQZzwRMUbguBPsi+ZvTwOmpvzmSxSwxMFT0fCZL9U 1Mv+Wbf5m1MIqKDTHUkIWjZzGaACsCo5RTPIphR2CiNjkVZVkM35qqi3MtDnUa2iwgxt8fauwHji rWgWefDHSxzvCIiK3E8zsVgx6cnNhBzblmKGZ7Ynn48Qkt8lQIDBS7v8GPm9vj42VOeaxBjDDCOJ 51B5w2NjNdS8ZZWYwvBYGdUUjm0+unYnxIEsOBMrxNmZplQDMuYFK/fbS2embd8a1EgncbXbTSWN B1KHND9/Trzb+HiQP8xfkQ5yO0pc+RT9C15xvtIU28oerv+yu93sqLa5N0oK0cftwpV+2xTUczTP zbwcztFDhrbc1mjIkOSgxgwbmHzFvxQZupSVuisrDagrbgOfND4eQCXL96XOwBCq5T4/URkZZYrK 2N7i5FGMYzXMOfq8vU231Ql98GSAl/MdbJPBm212Cp1MVNhlVw98Gxt8mzAC2XfhfuArIn49kaQE BGhQd+bky1Jxyanx8ah19Y1A4U3bL1smpyhUJlwNTHgdQlvWmuBZQckzC4KyDPSGHxPJK0k5SC5e yV28IFoBxVEYvIGhB30oGSJnKmWAYHdLgm3Zlwb4dZa9oPDqgwo7XTVe5GuZjwepxGWFUF+HMFs4 TdwZCivKOGomWRL/oRp7l09BB/jIwF0ovEmVP4VKx2Z2QcdxDrbDeoHFO7EW7fxrGjui8sVyAN3m b+WL9zteEeShtIBrSaWvZKWvMVOVZcqZ9cbH32eoXj1fZhZVnoXPPRYFzuh5wCti2BFUruxJL1bD mJKESfoxwSU/JESwqw8qnO4zOWucwB8g/3wuV0JuAdkBR+pMDIB1ppY4pn3pP2H+XaCU7hIWKw3D eJ2Ny8ejEKj9/KrxcBldW4cUilg0rnaXyoadsyt8EIpLNcnipuwprCxLiErUonypRQ3OKYsSMOhl jakzaLGlxav2ensVtuv4ioggzDP6CkJb3b2ASa26e2l8PLjmf7l7sZkGj0Cfnyh+XoLBTePBijjJ M1pyHwDeUj9M8xMpWVRdXkuOKes6ppzsAtwoUSGS2Cjsg7IGzST46WdN+9IICKemjWqEzWqEZ13s PiwLn3w82GG/rC8K/k5zPidQWF5G0LGSQygXNVAJaV9jG4eaCguan7C+vOR8pnpY9SphhxTmhMki m1rnMEtTIEqyIVCXoqCFUDtIbfiOoNwjwx1kRDZogoCklwIX48TYG8QXEH+JRueNe8mH3nmkQJte F0nmDjJYrVqWMbbX33NgngeGlJrFMgmp6zEvHQthKDUCG4xR5SqDCBGuZhAvdQK+TqDAPQ9Sb7zE qsph9ZbDAA+XiE7WF0YnkGfvkvwY3xqBOTTQawwSKZepTINWeo2aH9USVOLQmWkEDnpAOvKS/x/s 9oLtVEH6D+FEdG+YoRKfBLw4XudN0Z3sS4MGhStb3MHA26lL0eSkHHw8iKKvJIrwO0jcLnw7/8NC Dwd0yVbd2FxpzFKLr59JfRXNT6iFrHJYZtGLg1VTT8yQwUHEAQ3BAp5QiT0CgL6ZkRmcUkFiaKIn Rk0baqzTwoL7q8zKWkdHfSMHLnrpy1+GS4XH/LgHdGCid34xVn+mGSV/SXDCRc+ECnipan+FQbSY RiXfwQDuJ8ooKA7jhj9XIp0PQbPG/CD0ebIwGobyFREbn9IWne5NHASNQ4dIiINc+fhfWgW8q1Ac W5dylEMfPQAFUTo0PtYivUWlw239v1HzcoPKkMuXJaMoDa/0aHgBfjrRydrURCHVdDPiKlT7Blzp uhpk+9QIdqACmSgA3SkAJ5oyH/KNOD/00qP9rm4w/dcdeJlnh70H0UnWVqv5Dlssx7BExovTSmT3 BIqDaBWcR/LBrrBzS6wGhV5AD3C1m+E2yWAdJI5HzyEV+9QICSZdZHEl5nKRlF6HOlW5l4/u8PdD snxtMViRrf7ssNgxhMl7StbqomoQkWAsV6C7TgqzraAQmpF18vbtg6Vhm8JFdK1Po+fCs2fzUCss c9b1nNEkSuTQVG8afURW9sMER84JuTtvaFBd+HhwRudngtCkLdhj9c5QqHmxqFY1trGs+5as69lj ZEhManLeGYpEL9wBrDYfvNAB7+0s8lqWXj056Kh2g2Bz73jo/9uXRguIBAtqXWuwWuKcrAqdH6PH gwU01vcdvxlVPjssDqIrNG/aNHxGzybQNIqxBGYl0F/zE1ahl9j5Ak/OdnWSCyuI9A45PwfYDcaI CJko6oXiqFXKZFgZWusNabK3fQfFiNuVL85S5+NBkrF8frgbcSGOp1AWmusBnQGJQvMB25X9dYpz Ortv1cdEOAZp6OhJd7AdVjKAg7RxPxkra1qFZ9GP5MrXFXgYMlgO7fUKswtmXNkTL8K7Uxaz80Ts fDxIMm4MNJ9a6z2BQoM9th92S56Ete3AuWX+V+AF+PyEDnvLFIHr8sGy+M3gjzqUKI9ZFk8+Jf6r 9lVoLfahURuDBTLcpxwmD9rVe5eUPdJWPh5VObzQKmsrbK35rJ/QYm8yRmwkIeGsphEyNTA6ckjs r03VGBbKQo89RzIr1Ujdk/hxjmiALhfBupvkOCSp3F/YSuuWgehbz1f8M0acQjTPoj8BssbKklDL ZfLxiD77C1rIjHc88xMVosERKmBk6XyeqVZDz6HckamCgWKAzU8YQzsadfrgZbI0xMg4kzIBrWCh dbC/dP4A2FQqJIoBx1dEBFFWNxJRGz0ZK/3MOPU/SdlvfPwvLAsvc2TTgFz4/ESFaGqrbwQf7kMo KVzIs6r4er5gV5+fENAsvYfOFIKD5agdP5Jyn3Qx56YyC4SKsw772yv1pdqnBt33Lmki6gOfoVmS gXCKSUbJ+sQ/mUksd+A+m18rKPQVqST8FfcVWd5jllkvfUVEAkSWEdjtfQmaDh9sh6WOCj29YaFi sbbkFxF7UAijl9tkpuRXjv326CmHur8PyuLnOZUJjcsp8/Fgh912IXZBE2LgVjlCx71GIB3fRvY+ hVcJ3aB1ovCpDvnJoeUeW2cJIC4fDCw2IJxXoC99jp216MsBhIvUTc2xp30f0f8Oobt0ybvrkp/w rA41as5Jx8ejBXR7YQxTsADH01ANbffIT4QXhdIweOsYXmxlCV0hyXDj5cB3D1f81N4aPli7EKDd VeC8DNmWvtUzYyTUWKl3JR6CVPiGAKVAfCnNXGzQ+tlFek7nbht8/E+sIVFROLDHU0cMrfeIaj87 QCm7S2MgjZdLLGUqx/IFFKspC4PZlw+2gE6iiro9CvWzglLOZIRqyoAKwFJG8wNtOX1oMD/WKrSD 2nipEMZpbBUiYeDjkcjVd5VsIwN7dleMb6Yv4TSkAiGpViNjZwMZKnUpmKEG3ns2x4k3gg1WA4IG 7oKKAboY51hWHwjhNiqc5LfNrzZhaL2nzmfdrHBwcLBhldRQTpOP/0U4EWoToWZed3ai6JlKVlsq cfynWh3HkabhOHJNvnTC6Hmrv8wgkYPdXoidRmWFY05AvFnhwKaHHgqEkE/0bG1m/9II/80S/RIM fDnKBZaTbKm2VDMfD+bn8VLjLYaq6Lgi7jl04GO8T8978zXPRuU6yeNgSDRRpbOzJ7bgU49ni5qz 5y2RndxrAaGJEmtPtCFD+ExKV6eoumMOi39oFB1KF4NJKgdDAZ21IPjYmHw8KgDl7+iH/dNrcJRD Fz72/8CeNfrorMI5dwR1Yp40CuZw/QQ2fCfI1LbalIEo+8pAlIEfCb4m91hOSl87UX88ru7dtYZ/ aaTLI+OwvXzQ2bP7kOZQy/rCAKZws9Oqahr2182+YjM+oL52MoWi7+xrewVxMWnX/MRYZ+ETtM2y m6q1cqZnl8rlc/ZWgmwDVheuLNwzIIU4UErVn9COb/Jur2vcgdlFMZ49euqDj0eKV99+fERO7+tV mGNDPtKHtleg4TBjJIIxnUTA2q/mJ3bkIzS/s9DKweZnNVAZoQaxUQZg6fnMDzpmgPLA+9w6YLP7 lwax4TYrx+aDaATn+OLd02rWF/6VnrIEkbEn8jVHzbEp36a5ZTcqKXJIK28U82qBK4DHPoEr3y3/ NFlWtwtCzGhhjCxe/04E+0CgGwkLpW/Rf3T6Ej3EcmDN5xZrfct+ZC8HuuS1RdE+U8jHI6CLpxe8 +yDPXdrTBAvs+RRVwmVKnH6UytyBbmSd2mh9mkxjDv357IQuqflgRXr4PkzYoSIBI+2CGSpFBQBt ZSYm2bRZ/FOjO6zq8ho+qEJ2MsWpsjtKHIFFnymS3DP6fO+8lkc5NOijUMqe3XyZNynhBJKR4EEm CjrCtoAiFEcTfV08nfzwdBq0CbLEYSs6qIkgBRL9e5PrmYtfqT4WWvSZCd2gYjcHoTh6k+0JSgV8 POJq768TmnCC9IAUAo8+Bd1gGnWbn7aHgThE9xfhwuursUmfeIHLlVccygo21wmV2SPMMDkYZFxQ DYDmyIh+HDu/7EuD/ZXfsq8z+6OoaXMSez4eRYgXBM1jlLYW+TmiQxT0pP1lYpuLzIKhAhAAiIKz TurkMYCOjfqE/1k2T48K1jk/z/9QlXqjmbGpggWSBxdQbVd5mUwKviK64jkzk7ZrfdoWHieNZiKN fnXl40GT8OKkePllXBalPClG6NXXcKlO0FGr4KyV5zHyrzO/DQyDBrfKqhMoFtEQjqNlH+yM7gsG vGrywNJwkyd4fr/2Q7oKqxMeJu5i3xpc8/KWqNJDqK6HcPZm2XZITz4eXPO/4az0wPyCI4aWfRC+ O/f8vA4cW7XnDm9v78Q7PSUHnn3ZCHFl1uKDFhF87c+BQRPV1U8+kwSpQk6P+yrfFBX6T/rQ6Ig2 Y7Hmg9bQpkY0am5r8fFok12eKbMS/O9+qoihbR9bxNZHReWzrm5ZKjV4cIlB9d+y1NC3T6pGpapY Xz0P62fRJxBVBlkqYKJUpvDYyqCNS6ZaEt5125cGXZ4lcUIxMYYzMdJJ5USkqK3w8eAOu8590nCE /vG8aVjs3JdpgkmdJyI5piE5ptyRyCSeXkMMrPuSJKQSMAk+WBZ/Al5YqPIW23A5ZJ4Gbfo9pN9w L7FtHxphNZGGVfaezoD4Ei+BXqGgZChR8vmgC9a+8wxSb9alm+bAvg9H0GCV3mzYiBW0LmFPphxb l4eJsX+fSmQ53cG6YKnR3BAlsk5ME2usaTMU6j/tijhakznw73P/5lnEOCjLtWpMHAkS/5uPRyXE 36YTIBKVe8kHDn4wR6J8Y7c0dWV0GXiHJWNaIq7zLP4fFn5MP7NE57KLzp2lsQB1kV51W2WLVslS /ZJ0zUWL12VfGlzy6p5KIrVdidRyMlOCpmqblY9HmiwXDc3jmYonz/aKYug6iadwh0OoVRhMATeY 1DX2vvMTwjikDDtF15nt8bjO5/yB6QJgHGsg/mEehnWG5A/tHsvDxv3UiM7N4LkSLs7Bu8yJZxG2 Lx8PaqxXQ4LSv+TNP1jowMwP5T9a+FnHFGg+oxss1mUwQZPuZLziAze/W0VMMglI6SlBn8BwQQ0L VzxAO0hd9gQ5tSJ1ZCJvUClSLHPg52eZeOv61TjoBhvk9+CAnpuPR0oJ42sF0V6ntf4soVB/ronU PSxMZPbIG4xUFgRBAy0jcXoCSz+sRN3w+beSWIfg2rniyxBOwRrRicJ4iziO6+dMo06+4l9dnvO9 zQcdQWl2yaSdmISPRziXe0JPifKiF3YPoc9LCIQ6q13xdEVmm2d1ac+dkDe7YOr0Ns9LAIT87/9W MjeB6oNNT0flmRFCgsiYC/TR/4bG4KiMTsNqIkR8CYD4mQwowQWrPmj5ABAkktfCw/8zN/y8vRRC D+4uVqFpaKG+QDQxtASinQf1maFXSDbYJsACNcSNSr8SjGBiuroWQ5HPuBXogYCwLHN+PDtKMqXn sF7IL/LPAkhTE2Mo8deJOZEh2QWDF7QGNXdWThI9Kiiwvk4M8MHTJkZgVRAW2HDmzLxEPXyK3JJF nRsjoBqJh51T9d7HNDXQl5hHS2YQitFkYNKWxzz1/HHPD5oSAy1F4LJCIof8/65dMSYXL3hfMUUJ e5XbYXW3Q5RKRODpu31e4h3N6k42MTx6UZxnHYDz8hLtaMW0eXsVrGnkbjWN3N19AvgsmaO/zotT m2omzZKDoZ73Cf82DnzII5z/IxHLklAhp98M+nqur2af+T4xWfK6urEGjVSq6j1QdPyhP0/+vIQ6 +iVNJmvoID5bfQ3fSi9xjp6ZBNO1GyYva+fs0qzZNQF9k3VosGCGrEoGoXQcNDFt1T46wenncp8I 30xNi4iEAaNCt56Aji0+MzhjrMGelg9CsvQuxVEcX5+XEEcPG9liCKpKJdHFNjte+RLhaAPiXkGn ziLA6VyLuYw5eC7IbAnoS3zDmTFTjiHa8vAiGPAvZ5VQahjTAU1mllE39i8lZUn2WkQZ0nH2Jbrh Zy7LO7VyHEaE3LaIw1/6/rzENvrr1/rrkOEViTyNM/MS2ujMBiJrUedWHS6zO+w88HnIjO3yWC+B jWaG5cGWefxysDWTAGIY5OgMxMYsbhQefdCzmbc/QQTB5yWo0Vai88/curpJr/oxhXPx1xrckYJ5 oaS55oURNNVR/Yx5iWd09jKjYgDCpvo0GdDeKf6CjLPxrqQwanT2sl5RKemuQdOyM+o7zIVPDANe izyUNtFhBdJPNx5G9/fzEsto3qdI/6P4oGwcoYyEn1b+vEQy9nXd5oU9GyQtlQo11JoKNxL76eVW cpZXcsjDYD+dQAZqFAZnrzn+UF9fg4UxG9Ful7CRjK27DFwGMsPfzG36jnxeioGaGSVShfRtDlbo WsVnZnxeSoGKJtavFQOhJhTWNDMvZUCtUVbahXtv5OUIvTMS+1/spXc/Yl6KgBbHJF5H3CfGEGaF a5dMBRkcMfAPJvocpUCKtnEhrWs1xiTzpQRoER4TBBJENHBmKsuMLHENPBzdSsNmRijm4ZrvjPCi 2Jfp01oGZ8I/JTt9qYlHJiARn2K6RXNDZl/tRDhxsOpWOyHvIvQ9sapDqCxEWFAUP3PRL1MyTzUh 3qPfJP+Vtji5GsRTGnXKRe2kmZ83Gpc21F02vLLPCULLec1NFP6y7jBHtbkZ2+ytocBsJJxBYpUA zNHcMG+qOoDrcwB3VBULu5ZngVSrkgHgTVAevg6YABW3qKvyeSNxaYETedq7hPG7i2kA9svUAI3W zxuHy0Lge29jSguJknd23kPgczt1ipknj2gQsiuiAV9bm6rRQ5TwnWB2drNdtXywvBs7KVWqzG04 Mw6ZoWOF1bO2AcrxiEZzEwXBnfWIQd8gDdpUpQ/ZhTbUtd6jYHAD/CQWWQfJDBEZmpwoDuZ3wgTC CMik2nNypP4OEQTQsax7HhzGnby/KmBlvcBKRDU9AYvCQBj6Z64CwLo2ZmY8ZvFTHxrkTvTv+x9w Chj+rEnUE5B93thbliC2e+bkH3pDgEpsk/MeC6MYRerNdIu65eStnSwKPEnC7Zy/B8PZSlo0rraB k4NiPLIp3VQVxpVDG7AQGbvov00/BXgpqCAR3uEMairx7xx05pwjTpjahb55FA7rksL/8halZ0ph rqrpiQJi2u0iHXbkzjBgUx9bYvBAFPqx8x4R42DnjqLGlgbbWKD79U3ueqkJxk4/NKOlX0D7GY+D X7XPDC6rxKxb/D8OhO2A3LwUtbT6eSNt8emW+tc9ngm9pMCW5iYMiRHNnmjEe+bbOTdbThOoSCQ3 RX8jbdmRrASKRWMOtq8gFLupZX7+xpBfkW06XDso4A1ZOytJzG4f+j47bJlhEw0fuHRQ82Vy1E5K 83ljbFl+mr7ucmBhKKdkkxMFxuz6prmrlUJZFlIpdBurHzaFtnCiyLirUDNZaChOhkEltNYOITUy 2s4ft8jAhe4LqPYNuhSbZcDSh75PjnytV2bdhoP2VUOuxn3V5+eNrnUv1K/JASxzT08a3thatnIa LQOWJVOULQUYZaGkQtkVuruolhWFx0OZW5vdBw90gMyB2NDZVaD5kbCuqHDgfHkcozrThjeulh2p 3E+NAvCjGd1prFYp5H9uIIiuROHxzn6VMwajvSHuIpucKEDuBAtSl0l0/mFUvzNflApDnxytEk5O GCHLh3OwXFWHcyFHLRP0NZyiKZ//h9UJKkxE9CA3R93TFVfm1IcGs8P0e1Za/3BQVoV3cHY2msBR iOzGmCpp4QzP9Za03nhaustRh9piRODiohq/tIZ3N6BXpaFC50+JJoezsritOFhRC9K61bWGT+KJ HAv1YeRUBH3Nq3WAYgpf8X5dMdxvJxeZPnByes2SOjgnV/680bReMisqtqPCZJMTxchZRP7r4Zx2 N5gyNSS5rUQzPoHOG0frxmgFDJE7GEq5Ii4GQgPEtZFIaj4LB9Vn1PB6enLOUfSdwW015FIyqw8G YbJ1Ws+W/bwxtOy2Wl9HDrBi6MPZ1EQ1YqzVc87wxFGsYJ6hROWSfzTYg+S6eQ+QITemyFiFikvP gidEA3eH64b2j43K3bhYa+Vv+2WoRZGMN36W5Vb7rbYFkxzhA882+bzRs56pVZzDOhj0Le9N/sbO smoFeL5rLG/8um0AKCsW5Wyqm5+b/I2cZUEIFkTrrBhz0JGD0sVJqpKQOed7TMkw4ayHhksnukvq nwQOvHGzLCnHWbNauoMmp7VmudFcnzdqli0Cby80lsWAu5t36UQRMhlDi1QDZuXbXIBQO0rW1KT9 FHdVVC4WpqK2XHyw0l/P2EmdpYnz9yIqGbwaFIcGeTXt3uSkPr7xsrT9VShuYoj6fYiQtUkreLfy eaNl6TZd4+vIwR3JrqZNTlgwptawxPZYvBimjTGInmVazrIzJyeqGIN2gwpXuYOFOX1S3lxtKZgk EdKUCFQA7ARtyWvjvPShQZgj2jWJ7Ro0Ob1KwbturJwoQk7Zuwy85SivX28Q+EbJ0oKjrgEDTskN Fxd/Z8eQaTnlZzk77xEyDmRmVYmtqZZua6qgVtGa7asNcT9x+qhPcXYB2pqePzDQeaNk2RqXhje5 sxwUIcPPlnHKiag+b4ws69l65kn22YlsocxTvEb6xsiySjwbE4432akYqHS2asnVSUyt1/tGyLKF iwSi0blCgx87e4OnRkhygsKHJGYHdV9kWfKkV1sfGkyPwXHYdpilusnhLrQ5QbF8f94IWbZ4fHrw l8Nf4uwszzzfGFnaWUBaW+FvGhjHsEpFMc/s3Dc8k99j5OsdP/cdNDm5woIXnXGsnYlqjmRpkt0Y JV/M/2j2oVGQLCBpvYNRRpryFYo6vTGyLE8a35ND3GXyetcbIeseOxAz9pIO7WJ1Jq/hJZ3kK+c9 RjZ3rtTYw9BgPd8CQ0KhtVEEXAaEww+ChQdKQX4kY0d/3uhYX/sKwakPnJsT6SQ2IdqJLT9vbCzF kPOeOkzMz9+SxDe1U6MQGRxfSN9WWzgULTvLZaRSve1LJhEWzhsVyxI7xDgta27ynRs0p4AWYLkr jb1M66DQbQIxwryWE6wqfd64WNZwopPLIM+Rgy7zzFWISGfkzxsVyy7U712FigMVtzQ3MYgiXftH EtKJ5QINncRjwG9228aCeONhfeVWnSw8DXbiQEcuQUsG8kRtyGKqIaJjrZKEn+up9cbBsnlhzrAo WD3ce3MW8OeJ3er6uGBDXQwFAyLGpNPLgG8MLC2aIn0ZyefipjKziVncG2l0F4p9I2BpuTNdauMZ bNF0iJrDRhKF0hP+cVUVyr+zCPL4RnUcUZ83/tWtHKByzBiHgzbUSkvS+GdyP2/0K53EJX8FgMT2 l4sWeGNfaW6QF6DwbYfNMCnCvmFhoMMGwtOam/fo+G6oqdtqmgAwEBTwn1pUf99wujZsDoBpzFIe 80f6CH7euFeWObBM0a1agRyePYQTlCW2r+ZZ5Z837pWmNtWvvKqQ89A8On5jXtkfBMV1miL/BwCl Yt2rPiUDBiwF5cA5OVH9mCLXZyYU6XTv5w1EEQgIiTiGAjfF5aA08IP44rEMRdzxeeNcffV/h8hp HFRYz1SooZkPPy6KjD3hZOm4QU/rnsLvgTFE45BwEvjNlGpvMxubDMUY2wC4pXmJCsdJVRwhIeuD hGwIbEh/3gD9DVEeEyNtBJ3nHr7dKrLx38hWugM3S1xS3eFgQPWzPXn79pM0vHGt9Ncv9TtpoG9F 8grXG9lK70RJaQ1qdpIrU6z8N6UDi2S8L4OyvXGtbo0Epa01fLC5aSiI4oyXGlqRcGOCgXLBJ0LP 28l6qDd83rhWljAKzEbLUA46iUdh9QfSIvXzRrXSEpjpa92QkESsnyYnCoorRdukZKVCYDFExXCu eSPdhgsnLhxzoyRBB2z7I6OakE3FWYk+VT2/oGwxi5R2EODsywJhCv95Y1pZBY9rRrLCHHQWb3XY fiB3/XkjWtlV7E2HzTltOI/v0omi4taZR7ln/EmfLPBb2bAWCCTMpuSNZXWTQZ4zwwfrOeQEQfMq FmMambFUIva5ID5E3O5iuYz83lhWduSodJyLD55R1aZeXuufN5KVlVI88uOtNuiWd9FbbxQrm1PA vWpPVh0dNJ5dOIynAp25ZrVu1RvDSp8uyN/U2TOHV7kG5GsbpKkxOx3XL4nEnE242A5YqtrSQY7y eeNX6c9IoNKwQmAycMX53GyGEedw+LzRq/SB9xrnqYMMYl6k0hu5yq5xLB3q0hEmiqWTmTMA1ZiF bZtWV3/jVn1d47UZXHRc75+Tb0B7qVCi4GRWLUtmecMDFcSk7tc4CQSfN26VDgAumUU3FgyFv+XK LWcuhn7ys88btco2pW8rxnq0U63Ls803ZpUW3OREUh+uE5cupa/eIIRPfa9GBDIhkVFgPBnw1lW2 D540bOhg0cQ0QSx1JlUqzuFT0d+AGYijcrA9Pm/EKr2iyxtJvbzkvbzznTKaqCfG/rzxqqzZNb9W Diuz/cY4b7QqrRyCudb2Rid17xgcC4WCu5zYHWQNb6yq24Vk0Dt9sG3V0oYzOkHpE840leKCXK+g Gq16Icb0U/+80aq+TuT/Fu88Uzak7AZ9m88bqcrqFPV3unlW3fAa1xunykIAJFIrVcsceD78B2dW G8lSKqBPNTn/jo6NUcZBk0Psg7s/oiMpacZEKB8K1rPfyxx/An5ocJkTYDwLAdgchD2BcwKDwHOF fN4oVXq6/cK6obiFbr1NTlg7xi++haId15+Esovd0RWgQfFAfiNUfeWbpKHaYHWKBUIn6ll7zQlw FL8dXE4K4pPNoJRz0b/ljU9lKScDZGtabeNlnwSnJh7/5+SZnzc6lVXlvzOHhbO9p7twotIxc/HZ 1lUkYMeTBTJi3OBusadd5W9kqq+mQ93jDpZWDWi8V0o2LMCwidspDJnPVbWvaxQhOW88Kk2MujFZ fNfsap3nDp8CVpy99XmjUWVHSNii4SyQ5HFzhzcaVX7QXF5AL7ZWJjE5ZjdGy2Lh0/8NrGiyxWzX FhNx5DghMSYBkit1CROXxOBE6HPdDTtdMd84VHoD8cmQdvXBbvHaVYE5J+XnjUL1Xw1gTiS63E/N +I1ApacoxUS3HeabyXytRyrNwmMw5W1uwvB4aFJIEGoPQaiNem5cLZJz7GQKnZwEVDEPDqHnsOn6 zKBOwV7M3Hv6IIT6SkNGECcM/7yRp/RLlm9ADtub9QJrWxQb46+FZdMMBFiMtNlX54XFZbOd1hCC jlXxS+wCt9S8N75bq+d0r+zFoPlGr6NUEq4InHDUaHCcW9KHBnc4+yijyAi1mNfPufkIScV7V8XT QfTX9/c1RUINMxfNThgbN3HrndpA8CFi404NN7nGb2PDtKhknKT+v57BNhUVprc6wKDPyzKJJWq0 HtTGsxIXgga8IiI3qPxHgRgOAvG3NNmjBBgTTwfd8XyXjvSs4KR16389Co7pxkaYtOHVrUjRIaro ZRw32ejvwTH+f66dWaoPBgMc6F5zTwDIDwILi8ZNfkgF5nsXksMiVw+Jd4YdWMsH1Sv2LMwiysnT 8PRf6Fo5AuG4yV4A7FFwDPbVucVzdr5QE+K4n5uy2+TQKJGTE+EqhmIb6SZy0OScfLUY15dAN4g9 cGMlytaA8PgYhxJe26PKcS0CDSj3TAbDhFjwkMtcawtPB9d43V/XOJCZrChqbsLYmLKlJW+Pjbc5 sK1pZs5zVg//elQ4tvBvWnnvekdUeLOkZuYsoIxT13UvXLkoUc5n4UwCcnoUG7P533pWmyp7Dy8V WOVip8y58XRAwLuJA+OZPK1K49MTc/Dw3xEJhqRzwNdcskvU9WQ1h3YFXDpRdDxVzamapXpxJ4sZ M4FlJw46t6eKx/CkPTfMeQjGWq67zUCnR9Fx4V2+ltCADokCImorG9ip4OmIn3jzKjyGa3NfjkyP eHgo4xT0CQ3p1tix401g/bxJTXoqwvQ/kBVbV7XHrnuUhqAPCGzkVZCP4n+YoHF4ok1YmN19BaQD PzTq/3LRbJnTIapTOR1JOkW3z8mOp4MLa/i+4h8O7iVl3Kyzx/FxRkpu3n1ndqwV3OloKNsRSkRy dkIynjBu1EvSYNAK9AALFE02bTUoKL5ZlK1Q6Z7rFtcnTTX6e4iMagwz8qyMPLsj767slSJXmvy+ aGNd7MAPccoghNzrKqwgY7KTG2KiIDjNt2+aqtD5fqgBopbT4wiZdYq07mCXOeibk14hKU+Y10xO DrAQFU0YOFvfHufShwYnMhvaQ3onHHjqnChNIIuTnk08HZzIfX2FyOA2Zuxqm5z3EBl+PuRD45Zg oQtiq2SKjyp5JZZLumo5PQqR91Khi/0qDo5ZP3ddB5oL62YPgjgSe4xFcvLpnsgAueAN0W0lmxqB ubqTQfYJo6Tzdk5ZPB3ROL9hgAADFXr2aW4i5HGXnWHrlnT2VO3IQXmXSWdl4YVzE6EqpHACzJ8G 4vIIOVmwIBLPDKi3aXZ+8qopBOR5FRBL/tMjVEViE7gTdKrBMJJNtE7QcPB0sHAuqkKC07g/+104 cYisorF0owsoCZqck5pbKWdu2rBgcqLy8co8caaKgPMWASnSBEIC73J0M6aFyE1OfShw38np+tAg 8aR1/OiqWvTpKrfAY0g4IDU8Ha2c7yJgpqRCu1SQEYXIsGGuqFny7K2JtUe2gZt7qa69rA08ovrx 5CUEoQ4frF4BOQbUXW1bLXVEocIBK0NJT6lAShOoEQXITKubyKUa1LMC28A8oDKeDkjA47vMRZn5 fAUYRhQgdwaBLLCx77Bpngp3CF5iVE9cDqsYf1SPO81FOHjmeW511abTmZlzfxKPAycf0DHOa9Bk 8txq60Mjbh7zcQpGadDCWYkotB8w8vF01Aj+JU9BxQnIgdvshNhjZp6dxqmDcChpTfVzW3X37nHv gxGVj+mYclZM1frhthoA9PczOUmt4AE0oQ7sRSAXAFzLezJgaQ+8IEBINkY3VIPEIPGoeZLxzXj8 HJH8vIgl863DQLkBCphrakJoBX1eR0mWPUw28VDpoiWpsErdFJVGFB4vWl820chxk9jUQH4h82ba G3XeYmA4moIzlr74vxNJDLzgX3zXTn89DSqPEorK82Y2PP2X4AB1LdRW88N4RMExYAKQpvAioNSR 0emshraFIZEfN1FwPLvIwOoD90shTyCLwurJOp0pKzgGvJ/ehjnxxDFobdWXRgIe3FQEsgL6jljp R2JTZKT+oPuAx6OV8512FtpxVb/IRxgdkytdskeAfWbDENBxA3dV3xR9wfRE0fESEaSIK10uVxpC J3NPcaVTPyFgJxEE1Ua/zf3IIcoRrwi2lYqkRNdoUNtBiHjyyCeeDo6cfVudVOLCHii3KTNC4DGt 4wn+IsGK1UOmnWz9c3ZQR2Ovc0TAY6B6AP5TmzzfNvmikcRYEiMtszG5KOhRZXoszqv124nKHqFg BeFci7GcBrFktpkXwlkWT0dRzv6OcjBV9WJHR1RBZidgSQJnMP80JjkOHeH5G5V9eBxH4fGUDYT2 V7HbA5dVXWdbgpcIdHZvtVMIebOG1M4pj2jFWfb4W+AVUZQzdYU3HwzrtmT1hJYkno7IDo4+4d+N krOUDNXsRAGyJNxoYS4NguqO8c3k2c9huEwIeYQBMhW0Kx2hNHhmlSEhuMR2AL6/S++WZtJnC/cH k038GF4RqeOI5sDMioMC5D2x+CEKuSqeDnLymz0YoB/vfwKdMEIGtWxTE40iXCgjKELeFDkhz/6u nbCInEWCHsUHa3ZCbWNRmJfq41u9mxMDotlJyt56dKJz1pcG5S7d5lo83RcPwGd0TUYwmfF0VLLo 32cyJuvpk8+wiFxZxQHFhUXkrpooXCCqzQ46Z6rnzFC8LfPUEfStpRshA62EJn8WOW9lE1aiBTDg NojhvWRBifEZQiyo4r86//zLhfDPj2YzFVXz1vB01ICov87kkwyuu7FmFCOjE4BWdtaFNWFWSfhJ hwcTMvXZAJjW5ITkvK2UkzSi9tCIIGk811ByVcb5B66xTGMOCJbUh/TK3xGviCrsggKqZFF8Y0GD QCHu+bd4+q9jR0EflkB/ZieKkQEArYm/D+nSY7gDRE4u+0fRF85OyM8TgWhIx2I8vauCGjgF5c/J VkqXeBfO57O4z/Q3BMrG66Q06wzLyJIcNZ+MbuxIBCJm39Ann/5LFyZLahsKyOuKLEVhMt3cNsXH GQuym08eERJONT2JcuTshFVkaS8s1iQ4GI8ITvMDwdI+72h1NVFBKHGI3zM/SwewcLwhOnSUXtU7 iGQF9XK2rtoqePovWUT5YCLUap6WzyhOrmyWU3fkP9CoJxOezM41q2HeCOOjAlWo5iYZt9m6D9YQ TiCygHuzoemL1ja2FcMMXI3ji9eJUB1viCQaKFxBzxgN4hDNIrgzLEbxdNDWa14m5Ty0oa6izU0Y JFOwlukbsDlzba92URScHCJsapZJZ6xewXvqv4G2ABG0E/WVbhKAJluWy5aDvIQ9LMGaWx8aHMhD lzlJr90Vp2daKzEmb2ft4ukgDlyee6oYj02V7qaKUBYAunq7nD2s4VTyek1VSBnjpopqyMvAkkJo z4vQhg5VIoz35J7QSOp2HuMXPScOPtBrFux4zihGThQhmFmbyiv4ZyeKkgAAXcPTUXaVv/Jy8lTb hRLMkJmXKJljLDyoVwiBApEu68xs0l8QBc5Y1403eWELopVLswcj5jw96ceDBJ1zWGh7VeFbdqln tInEC4KmFYFus3P1cBAA5YSDDMjPIceng6lJ38IeFHYbNwScEcqCsNhNcLyBAd2rmhJGmJpJkSAu myhAlqxmaypZtO7LBmVjKLtVStCfM1lnMftrndP5cB5Y6ppRfNx03tB/S4PYVQCcySktNzwdgXO+ zxuiSNtl2c+QloerZZZucwPmiQElaR1GHnllXwJncShdIaaM9AWa/1XPliodgcGmPP8A44wpGA1W QWj7YspYiBNqu6lUQXq8Bq2bMRKL67Xkgqej+M9zh6ntRwC9zc2KgmMajhqNnDXSehlWZVqpi8Zd WDcrQliI4ntyhjv4lgLkDi4RQN9D0ImZw1TPYdHI1u9wdmVWCLBgvQK6Sj6IK5N6YTzVWq14OmLl fUOzMwWPLohghfVjVN42j3pGf2sYw2pmE9CB8J1BAVcIsOh2TyUfbE/Vc8ZUeIzS/qvVLvMibGQq CM5by1m8plZcPmarcyj1HAZcOrlkkRRBPX9VPB3sqXqhOZoc1HLSVZL8p7zxlvq1hOBtU62WtyEs hmNIV4iwUDsP6vKYHALSGhZO7VDNz+wDnwykM7PIhMYg21W5ori+ccMbokIXAUuD1UAOwi2tXni8 VUpJxpHxL4Y9uXlAidvkRJEx1KegW20J+aQPBWvrjQofxFcg7sWJs0L0Mas4LaXpgxe6IIpJsybC TxbBzqiVAtyPQJsF9vqQOldYQpZ82VIDYhXXg9nQWcLs5LzxdHTkeN7g8IqcL6h/hTrHq19S56Yw dnbPr5GN1Ek0AkVIY24ev2nJqHdd8bJz8HWx/JByVrF+IHuHM6dREP9ic8Cg5iuCSpcYr2yMaFAt 5wSPW/d4ynj6rxCHzexFUNfdVlFsDDlywCvMq2CObFyZ1c1n75zz3npY/1augA6RDwbqR6hXhFvP FQIcxrMiMeOsovZQXocOnQhfYeATaRB01+PBll8iL44+8HSEzPF9xVoF5qU82yqKjSmFs5tRfyuk FFQFXNVRXavdyQljY0kmSvWYg6XjJ4I5J6ZA/WcRVdZGUgK4tMjr8OJsN7udKxSu6OIDCw7IthOz KtQ/mAycfYuno9vqmyvNfl67Mq0rZOjhv18sdhFfwTKSbFKwRlkihSKKzpwQgqzbqqQ7iEjUIL0H X2Wol6Efs1WqwI3PK6PduZHkyYqiY0EllyDsHHTkrLa32CCZ3xccyPvBIGdCJQt5Upqbf/PzUjY5 yS8loVTssoLZjrpWK8ZXsKFAdJMGjwBbr6Kzo1VVG6+Jc/LQrHvR3uiWAMl7XSEGmYqJ0Jfxgbuq bciicXJWxdNRm3zdhZMB6/rika+4eAzYAH1mVePqnnBOq3vNTZYo5yaWPlbjQT2XeQFdpa0TfhdJ H+OHs0C+F8AfFWjk9RXmDPvQIMwR9oQlbQ2aG5hR8w+/cRyH8IrLBvESVyFeg5Ozo/B4dPUdvMTl spIjsfvIbHw552GH7LwtjZydfPC06mS0mb4JoC4OFLwY5iCFYALRbzouC+EdxceN4urn9N0+sACI QEGoo5N+4umIEdK+dhXFmKEKbJPzHh9DCASHcFkuJokcSun4Mjz7ShSn4eTEshWM/cpIPliUc870 3Yn1wLmDagXvKuHIUB3d9TZlyAjZIQBZ7hZdLrDdiPYLWvdbVkuNHxjcVY8OKZn2DLOnN/R2CEHG HUyYgAJkShZJvawb63VQFZ2zEwfIKuTk5oNXRzeC775VAWzaf6xM5E5z7hsgS953RwEy7X7P9mec zEFZJ6IQQdfnxtMROy993VWUgblk8h2FxzIup4TIf4Bekuz6ZLHCyuq7TGPL7BBfYdLHWj97uKwH DCyyjM8JP05D+vy4/Oje3dqlEg1WK3YUHhvzrKnX2dxQEKI86qicPyqejkgP9dfCwfshdmOzE+KP K7Ur1lXNzq6XKF0Y3CfTD+Qds/OkQ1CHD7ZwasWfqLM8eoLorOJy7hTMyeBFXjtKRLx4QwQ/4VlD LocGqwCm5ruq4um/UEsMhQmxv0T7HYXHjX6m+0qXLbPGhWmk2A/nq5pd5DvGV4jzoIbnzlejYdR0 zpmB6ui5OcdmvxgCjTiPfsZDeKCN1w51j9WkanP5YL2qIamrWtPC0xEw53tLsaa/u2dVO8JWsEiz 1s2qxAFBpgxxWZOSnEYk2qGom2BaQ2yQ4WwQqAnhKqkKccDKI5km03AIRmfoasGjkERy+9CI1CkH L4pYcBAwe0zan6LLWfD0X4AuFisQq9UrPrXD2BixvqlXVDIfbEv1zY6D1CvMgXLH4ArBB8YdrEHe zukzuuI/9CEn2zYJWgwMjqEDo5VTSNLBK9731JBY4pZR+TajcuhdTpUAzx8FT0eyx/0r/susP998 fIelY2KWdk5GshqGG+2qB5BHRN0A5OM7Do7Zo/ofkv2JZc4RM+lUtfAbcWmdQ3lD5vKECPeeIh0R L4hKFRKekurJMtWTAY+EralZfDooVaTyFeGgMV7mbcbsKDamLvzupopY9rgGBtk7nIsuJ1w3EbDC iGeqYXFQTjXZE0cKfo6b3XsirgJYQZS2+w/YGNf5ouk7I+EK3eHPoLk5N9BUDjkrno7yTS/jEJJz /nTrwkdN+OLtnYuKXNOFK8ZuBqtAvks8/yzZXRVTGBvTM6VsyRDsm41ndMbpBQxbppqlcwfvC+g5 nr9mQ8Bm4R9vcbwj4C6a89AQ+xX1GObjxK2Ty3oiQT4fncg3ArRaM5RFrmR2CnXdCLElXIaNB2o8 Yvk08UFAeWB3Us4pcYTMHy8aWnloaEhewd2iaDY4mTjfwZbBlU25mFofHlra9q0REU0yrRRL4qDs anbrdZ6FysejDOKXtQyWcO/PIgodQnATn8/eFiTPbeWuPd3IYKGyLD36FKKQVbXIipLzEyUvGIPg GkOldPY8VLYATrmgKUO6g5ozJDPwHZFQA2/zzs7VcHWeUU56qz2SOUFRoDwuA5ZRJMxJUKy/MxTF ymitQIyke6y8HPm2rqZkdZ3fnELDPFGDxy4+2DYDJpshkOgPhdks5Ls2SKsnEiKUQC5fOPP4jggZ yFJ7J7ucg/Vols9Qanw8Qnd994QZnPX9uBNF4XKimi0TMrpvd2M1nrOjG5dx0b5T51BUTx6Owr6D YwPhcE/ER8IUcbILdX+5Xn+w27wq2JZ9atCLkLS4XLg5CP1Wr/0thPtTiLbI4+sOg1Ho2ZX3ElPB Puqdldm7bJNRuBLsrfchpVb0P8nB6vox0TEkIgRxFBw8aga8lBFCSuN8Fjv58OShFD7O2Ue7n3c8 3vEvCFyvskSrbol2DrPB8vtJXhofD4jUNxvlJFV+anqOoVjaAgc17cF5DNVq3fM9tgui5HmPoSh6 nvL/mnX7oIsekuDnRxaiLoDnLiyyoJ9YGBtij5uY4pj2pf8UGpoqZUzXDDx50BI24FxvfPwvwnA2 GwKgk28rVKbh7z1GLPe9LfHa0/Xfdr1qk3VfE7CwvKxyBm0dNGiGwHVFlCsXsAyhAu4ycGjIwirX BaxQ6JjviDjD6viJcTQoKUGqEayofqjm2/h4pACXvjIMODtQx9EnKIyikStuanaoUmhmpmjcmLPK ugJ51EQPPl6ZF0GkHCzHGCc9nlsTBFXw0W5HtNDbCe0Eb2tN+9QILKgiMwNqDoI1wYrNkvHJx4NN Vq5RGq91/Jh5OY45hYVm+pvyKmARvprubx/VFhP8zZbPUFRpHlo7SbivdAUW1wC1p4HjBUYEUjvu MkJzcZugWOYAHsTgfEdU99HtrIrzMGY7ctQmdsRgvBibiKQnXsxycp9PvBg67THB3GUlq250Nyrf LDhwDY3qMxRY7YEyJT9PKbM/qlUnS63Q+EcqBtTsTKKBEu458PtduTO5QeTAag9rwEQWqw/KU/fI cjyAunYOvfbG/h1Q40Rdl5mfQ7s9qqlvQtOVc5j6bacznlLVSiuRrh/zL3WmJrZje9iOaKWnOdRY n+fPNpSRaXVDvq1cSh+YE3pH0K3I6v2ZkOk2ivX5KV1M1zNpfDyIp/MvSTjs+0dpMf/DcQ/HtKhY FNvppnu71LmopGcVn6BQMVmOIlZhvXoyA64HvS4RJYCsVGpCRaa8zu+IP5BjKxUthqZ78rgfJdmQ dEwnIJ8lul4HH4+q8t+QnrKk9nknKNSFw6k45QmG/joDPTlDmHrn7BQZ1hYLw2mWlFuS9lly7TNo WJU2KmmPu8K0B0uMWgW0c0fS7IAntpBzYLvnHP1zaSUfuMUSAi6GQitXPh7MzxUilxkYYs519f1z 6LxHM9u1mumfbdpesI7Y6gN6Ku5oGTL8qhA9wveMKyvYa4H868zSXJyN3a9zHoEs2VVK/vI/yPat /8IgjKTDKDl65YTRVaTijZA/dN+j0rsuMm4yHEorPZssElEmaHa57i1yzGSnEPtQEgqed5OF8fQ0 Kkn2QWuo1HkOiaqkFRKGKP7gjgeKB2n6Ko/OQyr2qcEmk2pTSckHRkAnTtlaASfu4uNBd+eKb6vz tX9Wvxd9Dml+jXrkW9j3Qp1FQcMYgNENCzbntoRCnEZSnz3fwTsYMCkmggQhC/TnCw27AacnPh9a 9z5B5E0oqXwP2S0WGj4I/16rRJzqSYQ/moDX46RcRVz8FojvVn42WRRNM3hZRCXK0ByXhWy7WTGj rj0lDZJ+TFStt5pr9sGT+lEyJKcUTQ9I8GAFDZp3QDb73vQQ7rFPDVYQi2abGhoaVFqsJUtqcNon Rloh+/sYQqm2kA9kMxTjmfmp1ZX/k3dPZynVOALErmqGYsafumCSmxmPU2EBnJ76ifCLqHSnAdYH 6T+QNEgdvXJW/VPfZ8i0yumnokE3PcAxXAAnNeDjUb7xS6wcpcbRngkKQc1Np7Ople9qnhEnViTs kLEiumWaoD8M+Qgp02CxYidmbhCdCtIA6/qUwYVBJS9cjxXlyhwa8knEHdI+Pgjyba4owFYVPh6l G5cTOW7x9QKbc+jJJwtZakUSnUDOryxkh11ki78JJyg05VuS8GSursEy1tEb7Gmo5JkKQnROkGC+ C4Jct/Ja7EODlF7lIDLUMQgshow+k150IrfMx4NbbP/m4EDr5rYLc+jKJ/W4YRrTMF+0stmm8ub5 dysxvGHZLLTlGyoKLZXN1i2b1X42APlylLufyO+Zr3bK3S8tIBWFaCjOd/xLHmPISGxkazSvbEYp PyelmXw8qCveBTTtEkOP5E5QqI8xSVZnfwORYuNU4ZZvbIYtwlSLT1AYSosOsJVJ7Kt3evYUCBWS Dzm3f6MH595oiBYUXbGtVFcspCnwHVE2xlJHFQaoFkONIc8lF/9k2o2PB/2fvb5PIHxpu3KwObTn g/KotDHMvJBhEFxrGqVECpRn9p2gP1DOVbyKOp7C60KfXFoQGxmetCvhN1hBb0Bbzmv3pdqnBg15 Gnz3qnye1oOqeAyxsk/Ir0+Mms6ezzNtxY0453MChSYk6IcnChRQFJbqflS8WhRtxASpRo1cI/Do Q4HbGG7NB6u7roxeOXSWkRPsJYw89Z/RVKQ4j3WdKQeWQ4++pMYhakg2COcCkoY8j1Lm41Hl/llA WXc88AE+PyGYo+zfFobZcrEp/igQq0S5a35iNIe6PqyEcbCCEHj9DQEVYfKQHsPnJ4oJwiRR/j7t +4wO42hl8xTn16BMA/0ltTaWHg8WUPHKPe9hpC3j8SMOjfrQY0QuZrJg6PjpjidSnrJgYC75FRYK ylElpMmlkIMBpVaVsjCusAW/R/7yix0u1u1do2cTtZpDoz4K3cGmOfmg9XOWpPkfrcHHo2LHL6dv QKS+pyeKoilmO8mKpevGdn4OvcslRcgzUesnNiURzaImH6y9ChJEhc3ShpfqWqzJircEO6t6HUnW /dBgelTqaH35wCwMzrLyfT9JHh+P8tQrKIKVciLTtZ7ZCXWXabubWvYstTbLUke2WpnAFMxSA6u+ a0qSZRCV7+mzIQpBqtc+1zz0oxn4IGIH3Hle0QPrGoZGfXWp4yzXrWbuAGC9LZFkc5p8PDh7bimR y4RU76vXnUOrvkSJp9mXFcom54TIjt4M2dH39rUTkwJ16EgjLE/fWmPCn7DICR1OB8zmTkCNLkFH Fx1Y3GmN526fGqweBc5bTIu97uYyVN9ZspmPR4Wgezgz0UBAQ2sjzVBo2LcSVcFWcTf05lk8FbTI KKVYPg+f2LFvqydW7mCVspOenN3Vi1CawDayVEZOKdIwBM+GQyT0OYeOfXx5W2wBa7AU1QRjodbB x6MA+hpp6aZCFfEa8ObQtY/A05VndlLBmNaZlzwWUtTlKNYc2PadeRbZ1iQ0nrZqOldUm0MmYx2d DqZoJRvLipxb3V6IMfWlQWNe2hmMezSoCnT+flL7b1lf+BcJrquo9kOjUp+fWIM5sw9wxTNytQSs OLFgtRv+hO59tNxClecONj9tn5uqkVmQTjg2qaJ9Jg3NT3iO42Rw+JSqQKF/n9SwumzRu9uiL6DR Gf7CDIiPR3JYnsLTj6SKVH9TjNDCr6MItlNZPkOlGrtAaDz1e/wQij38ll1M2wdLUdc54DqNUKEI tzo9iQCh2uzlotLhHTHWwfmOiH+rTk8rPnCGCou4mKGa9YlBEp+evjP+AeBf1rVshmJwx2Iv9Tbm lzfm1UdkKXFPP4RiMWbtHYWIxUPExvANzIsfindPKurhH89/TqGRJOFhraFln/qvruosLqhhOPpx 8sgsRvYefPwv+jamlhACCpbYBEUxNB+YpYh8W2ZezQTnatc/nRiIlUQkYaGjn+BBVXJ7NS+PoQd0 4IqMJhAMgbxA3bDGDu7+gRidiapNO6YjxuCQPGrRKVS81JogWMOGxkadIzD1w0/4dlZd0Iq7sIXQ 0w861ed8b+6R5B6rqJIZvowRvK2fCNjRmjWbpw+WxC+40tCtPVF3ZJOrmyiJ3unk/ihjqUwWuvoR 2Qxpwu2DkB2zD5aBzh0w+HgkG3HBQXiOxZL04MsCYz+QeDIZGGZAsemULFeXtI2L0d2cLYfOfqO8 S6uBKg9RXc7QuQ0yMehAUmGB45sRBDmqftmnBjssZyE6mg86pdFLE1l5dT4e7LBHJ5V/Z9wcvTxb LC5FN2IUuUoYCKm+2hcS2MpQkYBCBtKxu59R3YXsmBfZsRBZidxEFfhcutJUTDpghrVddWYKnvAd wUXPZuHEFWiD1lDes8sgCk3nwN8vfWEUmYchj1MXwWYohEknFsjQuCPOVVLVEPQ5Md5Po03b4lzx EAqDadmBiyZXL00OvHT4OVcdQu0EEmQdLJC3KpHFEAP2YHEX+9boqmenR54UHHgKTdR27ZiefDyi rYznIiPH5aymdKcotPkzWZ/qbr11ukNkv+ZJqjDiqg98/qBlJ2UW9Z3b7Ts3dK1pnL7Pn7rMznIj 0HI/yPvQ3bBcFdJQ+tBofsTLKN0HO4ZmrTK2WYuPB5vsAsyIJT9Rxa7P7IRAaSygNauseYHT2par Jty6uMVmvblqaPSnWmuTDEl7ZEhgY3ICxUxlULIFGTBRvQqqSpKxlsR33falkZwE46C0kw9qZpzb SbKntRU+HrXDrh4xT2kKMT3zE4XSlWGQ5BEq8YlOCSt39STnIeTA6883WMviI2Q3woEQ3bkAqHgP 2V3UFeU9UJmpUdnh3mLbvjTI5mkT2RapTzBll+HjBNqIAj0nol58Psg1Lo6coHNEM+u5xQK7P0j8 MBdLhlpYJds9T09HhkGd5wpvsdjvT20w3eIcHDnVge4dyMWQkyVjWxLoOvsPye/zq98c2P3Z/gAV 4Q4GcO2OvRubj/8lgMS8HSKBJX/NT8gpRI0LSZLlqlQjAwTYUOQstRavJMaWf6xzFOqNatAJjY1w QsWE4tR5VWLvBCIKyOXlxHBB5HXZlwaXvIHIc/VB5SBacuFXbrPy8Wj99K9clci7VW+xLHT9g+FC oYtjMqaGdVMfRup4Kq2B7Z8hQxJEJHyw9XNurBOaT26wcyd28zVZ7FGeFQrOp2VigyYDOfT+a5JQ XYS3clAY3aATxTAaxcTQ/G/tX3ZKrEzuGwQF5n+ZcCs3/+uUpVseJpq1+vmbd0fZB+5/2ROxJXSQ +0dsqDZDhLUKvAmHHHXDQJ2qQPQxmTfgFMmXOfD/E92KrojLB91gZ43K8+cc9Xz8L1RQE+iFmjH7 2WOhOh0W+urb40TUPxgnjkJxMRC+2RvRIR2BOlrWFb+bD3ZIDxA9SjIvt+qrjAknXGAJ4beWM718 c+AD6P2e1Ym946BcFQAProC5Nh+PUC+/INKocY0bSH9eQ6Asp1P0k7a3e2wJnYjXkWWbjWbMz2sA lIFMxG/UCw8VDgqATgh44l0StZConlCiGuQDv2I119Fp0E2EiK8B0PlMqbCd1XIHLR+wXcncOf/y 8xr+ZGRTWjxTfgONGnCL/+L8PYKJAWm/JqFZsumoZppHQveNaKANqSIlGMHEiJ3RIKZkg3UJOy5t 6sOeo2eDmckUlXdcP7sMZGibGAOOv04MxEiZmW5J920nQJ08Q6HPSS5O5Pw6MSgAVZsYqVGUH0ai mpjXsCdDY4w7iuZk5IlRnJgwqbXcGxsYZUzMa9CDFTPS64o5K/1som7qu21mCUVVavdQaT1dg2P0 qPGG9xVjbqz/7ftyFqNjyHb7vAY8OV0pffSTmH90+tR2m5rXgCfL+BemON0LG+xfoLAhKaRBmVCT wnwNd7I5nZ3zWwJjN9w5cSYqzETmgmGwJJ4FZio9aVBRv6ItlKn+vIY72Xy/GztOEB2A0ELlbXU2 E//p5D/58xrt5EeXWIgYMMcWIb70xg0mBpXTs1KSI6CbOZX1zSIwm+6EK9NuNFgzanr1SjUbDnbK rArbBbqNAjJf55bsDVp/iC9xWZg9BWJffGZwyoiSKoXZ6aiHBQc2YmhaP2Hya5CDh6tvJsHDUNhY LdvMvMY42IIoA+7SXCI0TWMXrOauJucPZe321wgHu8nE6Gr2QTODXtSgvumZmb0oGMVKGM4tgHs3 SWCLkEOa1L7GN/gDJukD1OaDuBelVXEAz579vEY3+Qn/fGaIY+9+zrwGNzi1UbyC27kIchvacjxn JnVXmH4izK90FwhmZrLL3uVb3JeVNwFOpSyDXObrOJdRk/4sKj4gg8/bpkAhAS8I9pIu7YmP06Dz t9NhjF2c/HmNarJZad+LqaLAQFY05+U1osH5izRnycuY5m3mpwmwvDVJe/Ym6Ws8gxWjeRnsb3HQ vMzMnF4hXz0HutomVUCxiRVzQ2JUJz+vwUy+GobqUHBQSt4otkhAU/68hjL2dc8RQzgWtWk5L68V QewkrO21+3CWhUnznfXS3ZaWRD0qGAaHb5LW7l53sDMGuuQ9STELNuxT7ST4oBZiO8u6sTC/4fNa DsTMbInz1TtYrasMafNQ+zKYGbJ5NTPV76V976XXUiAWaVU33Whwa1u4N86e2lap2FcxIYplyKNs W7HM9lhmnBT+BPS0dDy7qoKvxp4xS8ZT/nbrGpIx0XwtA2L2dxOSJ/vAqUGirzu7DjwcnDJrfE8N k4N9V807vSubYvnOli+dfyJgjvKFWwJj0Gj2GmAUAQNujEupdx+cm8NQrPBuAgRULkIZf+qKmm8v j8WLehHvIXCimDSywpJ9UIq5lgTiwXP8vJO7sKVm8tmhKe25LTeuFU1OFATT727RV0Nwy2yTM9El 0ORA6c/wzNHkiPPW0/DBrqfz5fjh8s4s56Ji6JMqnVOIpgE+QDWuXu1Lg3NY+SUp4RqsQrqW7BNw Dr8TuxgIt68Dh7CI+WQI75EwdBIoQGxbCA4vVgDcFOnitlrzgnmC2dmCGuySfLClA5m2xKMQicGi pcb5AWTe1LO68cfwsKbah75PTmfhb3KmNXDpUMuQx0hDfes9GM4SldctJfLtkpWezU4UDLP6t3dX z7ymNBzMw81McjLBV+qjBweyijcAmvpgawf7E1525Jtg75QrdZM7S8fjsZi3Dw02lrxFByXXOGjp DAJukUItNB+C2VnJjx2CSVgo4L2ul75HxAYPsL4eVfNTdxyGOdxNEimtthXNDpfEGPR8HsOSnHPS lwGQX1LivYGBYnV54d8AJpcZ+GljTZUl/nnsLGrSatCx000eASWDzzubK8uJ8CtZAEq3EH+u2Ymi YsLkFoXoWRkt3iEevZpwPno0NjvvYTEyKV5VUiNujxrxKhOE/ymeybnOt2ByOIsho/UzHrM/0Uyi sNiUz8fsPhDDA2epJKOAVj/vRK6MDp1PTpFTOMCYjTplmp4wNKbf37DAD0ajvnjqEn0bVSmv+0Wx MV0Dz9+RDjgc7EJHURTKfNxasKll8atWhPEUw+y3ajMZ6rwTufAbij7BBEYDF8/ZH4XMOiT4n3ce F/NUr9uoQgicXLunchQfo5ZVEx3BqM2S/c7a+Ak6d0iy5tqJAuS+dFmNO9jaOT9wziYFpBOjtMTp Q9SDwnaHssrjLLD0oe+TM1ih2PxZGjg5mYrH3Fl9ft45XPxATze5SgZL1/fYeQ+SoQiEIJmyq6xq cVKBTFlEJPLKYoWSV1YUJQ+at/TCY4eDb6x6kic0YEC0rXWpd8zwZ/Dauu5AxDZ83ulbWbIE2FGE V07X5z/76tzsuoQgyhJFyXRvek4dcLnAvra5iaJkJVZwa3SLeS9sZRa7cOiATChFljBM5orpxNlp 0JGM0sS5+gg+hbzjKgqT6RAJuhkKoC7IMu1Dg8nRkSwBVQ7W7lxkxQGzsz7v1K1swEztKqps8dCj 6C6rflGczJrB7j0bf5Q0YDZj1laD7yQ2ZG51/pRgdgjN7YM68Ry8VX4ipU3lwLNhN3rlBO/Ca4nm SdAZdtctwAM+78wtLPEqh5d1B5XRBz06ETKV/HknbuVHV3aSXIuMuozq5a133haLjdIEdUXilq46 aHF1UFbJEOu807awrcQ9pv6PBsd7tROVkCMF1lbKhRcbtQfoo97Tk3uOog/9Vx19dh49HFTHgUEF axCzzc87aevXhcXTd1OF5daLo4IxazCbvRWSIt2Boa80xbCdtFfWynkPk1VeO0tGRU8OFiY33E9W sThR1DmJBepGe7ySdvPluzXsS6NYR1UuFnM4GOp9T8FQzjHyeWds+dxqdriJyhLp2aYnjJOBEKWQ EdGUCrHIJ1GcTBl0HsnnMn8nbDFTw0eN/AxWNR6gr6UqQ+xzKheh5oAVzIumHdtRKJ0wgne+FrNz GkLRL16DZgeim7yvYBP5Ttdiel6+zmQaSPN01+REYfIiVLBs54uWng2hM9btcGarXLyTtbCpaTPf MwE6HKyqc07kcxwWhckn/Eru1AodTAApMTF2mZMP+U7WwuQIQLmlvbLtFSgdmATUbuXzztXK+avf oAJpQ4fzHslh7ZhI5VVc/okkTFYCZeOG7Jz4Ok5OVDy2MDC14oO1NmG3lSE3zxzi/A81EYri1RMe A6lzXZ+XPjSIdBT/MYDUwMlZjXaPONTn+rzztBhk333FKBk5Y+13dt6DZGkn4iQuVvSaoxiOuzIn rd8q8e80LWYiLFaLxsfBAYJporbAgmCm9BydgmCbV7GMINbhSQRjnXeeFnthAnfl5IPiQCBD5N2b 9uedpoVfs+TvU4caZLQ51exEUfJsTN1M4+lsLO87TLqGkEgCnK5m5z1KBvAu87hp1QcrI5+D5lxP mTfWwioii601thFwv5QvPZGtDw1mh4XkxQqNBv2aOQ1GubVhdt6jZOup31MHf4jci6ef7ywtli4Y CRp1pCwmLtI5yNLP8BYnjuT3KBldGW6soVNn+KkzAXGrEtWCSA/AUCK58doByCtfBgCpIJ93mhYj QTm21eID88/zwci8khSf3llaWWTqJ9bplLbJ90iOwmTo/50/w/BYZ3r/YeXt+ZWQTFg572EyMCfs 5QoQwMEawNhLlaXJBN+tLhulBoGJDHEWOCD6kYzY6vNO0bIeM10Qsw8i2ICiLgZSXp93hpbl2F/l ZMgb7uWZ+Ts/CxESDx0yMbhyttFrEKaYGQ5rzVw57/Qs5nZJp83ywbcV0nAWuhPWf1piIbPMTZT3 vNYUYMHzQ4P2+JSbOv/oHJRD9CH3BZB1Pu/sLH7g78k5+4U1ck1OFCUTs71ncgmaRbYIuOkUUmIK 0bZPToypYLFrsxvMQZNzUtu66wklAQcET53bbwDwz5IlGUDXfOudlsWJ6S9IE+iapCrEvz4u2FK5 fp03KOXldIuB76SsLPQYKMXu95eMXHzWwWjWBAbOkVvqnZPF82ZxL7GIzsHqOecgg0Jjk4US/lFF DXE8u1GuBRyAFP7nnZL15FaDhzEHbamTPbBYddJ/fmB0GH+fN4T6E6WhyYni406t3VTbPW9c5HJf kcvmhOJ3OtZNPAchhRpsS6ErXEm9PJNTUHqR8gH56KhUPk6RdP75vLOxcvLDWMHOZFyNt6wTT+rw Qeb2eWdjYW5vD4InLwWLule73rlY/Isgueomol8RwFnm2Qg5KZR1svbVOxULfxl2v0ch6ZqDFS3O 4ikgEZEocq4/2sShWAjBq/OWazDa7Cv/2Q2WGeJ0M0Rg5+gbBucffl0QHa/1taky332XzXtwjB4y 0qq1swc4w/t6OXmAQ7F5TkxUQpazfJexFgerV0D/o2Uppp1wqy6BcBsxJwnY6n07V8s+NGjOLJW6 +h10Epc6ZRDaT+bwTsDiqvHgWH7r2NLpHjhRbEyVMzBZrQyY3O1vYdlmczOxlPydgJVdN7ZXgiI5 WFrF7LtKkBAU9y3nOzbL27kn4O/gBD5Qqj7v/KssAVdsJiGWumcO0Hg26eFUP+/0K0YAvnBU+FnQ Z/Zizjv5CgEOJscoxM3UZpRWSRwVAAuWPbhy4gIyizmrqfHCP8VkR/jkTECFsIAMH9dCITmoOZSC onvflxfCitLnnXvFArkUHurwQUsHusjcF+ev8HmnXjH880Lg4pw2lCw8/HsnXuGuqtQHmcnhJ9aQ QUZkx/FkOYwYt7CCzDpFl9lLv2Yvo8Bhq8A9lpjszmi9QP4CvKszO71cPC2l1T7vtKvsoszyMdcg MAFEQtXWa/3zzrriXfUNQSGZ6CvCiWJjRDjwZK4/rpxvAmmAqFmEQ5o4JycqIYuW30lE1mCTc/5i +D+VVZWeZGlSkCsUiFiiAegrByWVzzvhin9F1QFT8UG1nF32tn7C/rzzrfCB+VfvAaUPLB/DAEax Mfv/q5uqHpAWjVX1cxhVq69PYC5YX38nW10wQa+MYTgoIe+k4wOkAl71uVAKU04mQhX1sK9aTicS +51t5bDYdg4pGwrXwKJLHIWJcp2fd7KVoytv14rWq3V5Pv5OtsoSQ4KkbbLmw6w2OY0XGauA0Gmv REhGsTHVnE+uqVt6OGN4jLNGzldvg0jSH5u1HBSOISjW8y2vM3n5vHOt7rYalEnUoPj4xGB2Ivfx eadascR983He+wiZ0Oy12QkD5ElsZPNueWWChQC52AV/tsa0hPydaWXdSMS9nCQOfpnv1fuUSPXA xSXrXtjJFLCPcHob6Jg93s8704rNFSKY2DDSwNkZdTRxg84f5fPOs+Kh4ycyC10njFg3Pn5nWREn iahY4rmLVcDKQvtIEHRmsYKdCc1NGB8Lo7Nb8sEbMxnURQt01kmZZRVE8WPUj2e/dznzgc87y4pU A7Wt1h0M3ZVoT496UPm8k6z+B3ZMS4J1+53vFKtspmJ7PUTq4lIFdIoS1dxhFu8MqyflpLuEBker t7IAgJPcTiXkLTWGcpwgpC7KOnk5ft4JVhetvtK+A8/jkzDIcT6vOT/v/KpsOhB3bliUfMDq7/Qq zCjVttBEkkoBcZYgCE/Z/HHdpmZzE0bIWcgctnr73H7kILKpjSsaiRWwNFxa85zwaGzs6zDlXxnl 44qLy/JBAFsYBxiOf3zeiVVMj9qvlBPdmHqPmxBfwY4VvVJkG9CyQwhWcV7wcrh6HB5zuVBXUYNt qToqZOSh+L7PTbXoTNEg0JQBYqVRhHYUhTI/76Qqog45N1vwkz3dJbKWxJ48QLCfd04VzypPx1ma rGhdSMOKkxOFx2wx4cst4ySXi2BSVlb+Q1pYsq7DO6HK4AvYSyQHczBcF+LthTjqbCl0PlnUkEFt Is3qOW26PjMoVSTCJRUi79yc0wkXdYZvva/PO5mKv2T/2lHsxVBvQ1MTxca9EGfbHBDYjULlfDy6 3hSfmhCDTKcA3kc2aGrQcjj/s9UjPyFCk8wnNU4okT33A3lL+tDgElfcJ39aDsItwVZBm2JVPB2E f/17cghDKBe23sLYmHd42V5UByjR7J6rKTMNKv3yuInqxur/tilhpnmB6wU2HKsr5wSHE0QZRjiQ WO9yl/MiF/Rq8Ir3E2dpcnZbPnDldPQ3pbWkDwx65Hl+ZVX04ey3btzD2Bin6B7rtvKKF9WnbbSz croVufp7bOxn8Ri1+aAyDuqiY28xZHBybsEBKwd4P6WrgN9Z5OoxEW+pN759sLrxMu3y0iueDggP t8+ZLVYU6M1mJwqO6eaUaCgt+pAh2ftUqoUiV3HX3h7CK3jk9Cn6CZHonJ025klZdVOhIFmq4IAE 6gOsQDNuY5JX+9AgczBK1So+cF9BIE6/3AlB8XTEICrf4d+gZL3PTRgaswAI/S9FOCxtEguYzagE KgSGBexR7dg6DnTF0+Ad8gRH41ZFOjuXF3FxmdVN1Cjns3ImcTk9Co2B3UXGUIcPApJuesMCCzg3 ng5wObN/bSsatyB28dkJGXn0kCoU5iyMcYR8A+VlqtBFQyvSf3sUHM+p3riKgelak/Szg/ZiEgMR 5VLM/4ci+SC5ogh0NQjsQ4Mwh5Efamc+qLJOrTBW9krD08GJnDxxKEL3VxRvfXIiVh6ZhotS1RSj nGTg4R6gJjuC44EyGxViehgcb6MSLR+sdjzPRHWmvieranBLFQ8ffjrYi73dbQWGHj806uTptCnW KO+sO0yAUZn/n7cUPB1MzhhfCTkuuMIIXZMTRseITKwZ3i98YDLlVO3rZCTF91UErphys5msHzd3 BoMvEvzrWJ9EKWevTfhAKoS5VZw5t7Y+abjR3wNkVItkqVWGD9pXUDFWnWvyA//aVzyQUTpmIKjJ +Vf9+GwlWiW4SIx0ylORytBEVqf6cY8DZCqfWPzr+r2QMYNtJkydMkhRY0krFw0ITE75gQf2bXMu fWhUyhFhkcGg+UTh48DEEbF3TDwdIbrSV6CD0CXTzVeT8x4gn8gTedWidDY1Okszg1E4m57NlCm8 slXK6RG0Ql7OXaZs/ZqywTPoXOyFmKV0wuUq/HbhGcVLkABkncgoceAV/7qtNtnWGjQ5aJ2y1XmO WTz9J6tTVDQU9y8tpEcIZFIWTmIp9GghVEmHDltBKJE2HqOcnQhbYe28vG1gMQkxcl/g0u8svtWg WQXucvzEQrzk1e2izeinR9gKGp8DVNF8EFQy8wBiX2bj6Wjp3OTqx/oyXE2anDBGbixSNJucXYdA k90kgzdMUAg8weRE9eMlonSnXhCHJ/E824yp1LmuYMrF+jF1X3DHoxZwJ6frQ4PMU00r7nsNysoB eOB1M1LD08HSqd9Nq0yWWbunzoiCZCC6INiejVFUrJHXxbaQ3WGxMHBEBWSGDomxvA2GH8hA/OzN Nvk8B3RR6gmAFfhkkLryEildokYUI5O30FaS1bfntlAekD7DOTczng5i5Ad4gsdYJS/J0/IRxcht OxnNrCOaodf3ZjcGQh7whOd9NeICso6bcgcrIC8gIAgYgnEWLLKpa0rfVZDBQM+76dXWh0aMadb/ xGNczmOkrD1jBzjX4+m/kJKcHERNz5E8QggyeYy72n216YLMMFAcKwAs4AmvyYnqx7RNQ1izNWDR DwC6kEIkKh2j1LUJ/yS8HW7PAHItb8vgQwbeEAAlm3lG2GAumWeWB2/H8938vr86D3Jfw/fUu6ui ELlNFi2KKxDQJZ7FrrYvZClZz2pEITKFqU/OWZSB8q86cCCfaZ3MDSV3m6XSRbzIyc3xzxcIeC6y gTf8E16RTE3xWq91srpx5MyGp6Mj58KPKXimUP5OThQiA8gGhUDPy8mXVLezqjo46XSvIycKkUUW 701pRHNB8pEho57FkYY11BosINOqpmA35UQmo2Fsq740OnS4nzbl7M9S3/pFJ2qyLH7hbXg8WDuX cq/CH86uB7w+oiiZOfUSNokYpm5AArNV32jM+IU1Qv0K7ReJ/ff0gAH3WY09C9sPMSER9VzqDNWG K3mCbjY/NNhZwnOxAqxBZ/KJl7O5sUw8HSFQvvnBJBSVm5qPEIFMb1WK86qnV8xQjJNTkHxCQUMN zxEhkGuSdkVvPth9VfKCGIHw2f3sHnm2JGrJo309rwow5SzxiqhrRTuxThgpB1FmmvwQf86/G3g6 CHUujFRRMhqez30VlZGRzKEb7BTP6jV21a3ItkJHQkdyVEaejAPP90kshwlLZvdht9aBVQArpNZi QvaQ9ijIURFpOe8eByVe8a9QZ1HpUoMy801LskSQEZ7+q201mZhjXi4EZYRBMtnBmhLSHtL8BV4C 7aFns74eYZAse4jJuhcHO3bgCt1YjyHupGwh+wE0zZgUPGiTQx4lXhEEyYL0z1V9UPJZsHexcs45 iaeDzLz8Ygfj7GAdRJMTBsl1mraSwEv0qGWQTKdxo91bw3PEhWRl5rP4YJEOuictU1y7nKN6yOIn A9CV5VuzHvnonPWlUceT4XGpwweRQgpNKGjTl/F0MDvTrywTMV0/1CHU9MywlIwzcA3Ji/9Q5oYh YacVC+lWYzfT/Z2hpFsSQEe9iG113n1CP9R66bwD/uvoQy4btIY5q/OnjWsyIu3xGcIsqGa7S18+ iPcAgxcWBE8WiqeD2Wm+droKgj/yKubcREEyShsn9SbVlRB/JCKEdlFFHHPDDojmJiwks7XQaaOl wZfOOVmgiqwTGdqGnBvmoBCXBH7M9RKR7OIV/yyzD5EYHcgxKJoreGgbeDo6dL5JjHSq6Zf/OqMg GRDbc+JONz5YKTt4yVp8YHhaBjFjnp4iq5l9sFopYP2UWcLkFOAqmHzyqENYBSdVZ3hSr3X+u5Lc N42x+jZjrPPH3KZF2yefjmBv9etE5iIE4s4mJ4qSgZQpu1yu1TaVhpGlM1SpWW+HzgwLydaC0JU+ rxIKaoCro/WyT5JWaQ2GU4hQYmLyLnEaETa/MzpytgD9yQcdOSf0bhKZWgVPR6SHeyCL0ddk+2KT E0XJcOCGhIWw6rCKthN5JRP/PXcsT2RIUoUsvap6BcMYDhYFnmfRJZIBOJTeyPCstIcEN3h8ETyB R8Irgm1FAVtKq9ngZCLxhGGkjaeD1t74PnJApMwUMtbkRCEyORqrTlGIzj8Rq56oZWH51eCZjShw RjALw9o25p4cDGbRQLPf2VoQ5++sprCwKmBjjoeHNrc+NDiPZYi1xGR0rbSTse7FBvE5hwaejgpe 3yBtEoD6MzkR0mJQmYoNWeVXa9qZ02e3/Gq6U8aMCsnSv+ut38ECHQA/AeFjYo4MX6xyonehyw5v a69asO85oxBZ/M7/lkEZcDdgafbciw1PR9nVnRzyZWb/RujMkKTHQ0c0h8HSl1JzsIm2oZdYgUEY OEOtN7rEjqQMPf0/Y9+ZI02OJPs/z1J4oBb3v9ijmbkzsnrD65vBYNk76KiMZFK4MHEPnfOVy7lr C6FdQNrKBQG60ec1oUV8aWiLJhAzKiTLDh3WYz7otuKCZMFr8+kIveS3FRMxSdQ4smtGWAuqO26g 81S2EL9q08jI8odFwB9XThQiixk8RG3loCr7TOcO2pU2c+ucPU1SMmCT5q5M7PauWO6aUYQseY81 WvKBc1NOcE9NM+g54ekIo+OXFctdBF1e7sMMKXpo30/yDiSf002H9OwG0yHtRGDzPA6VLCS3SiGZ dA2qIL20oaRIIVIYWVOZkZQZutnPb8qMhTmh4BvDnFVN0MKF10+YsJLUa3PB0/8KASUTSJsgD3NW FB+TqAurck4J2N8uZFEIMKCQRbLe1YqgFq7SOrMPNjlIo2qXARZUGofwkl3w+kWrW7/K2Z5ZIdSC anh8KxuEYJrWDWrn6MfTAUXvgVpYVfX8a9PT8hVFyBST37Ur8zxHjkuKT4WD8AfLyZSXVhghq7wG +JoNtq0mYskqNYLRWRLnVZ4Y0qIzdAs6i7fVigXfGCFTklEDb6t24hz+GPUsBTwd4bs8LdfkoKCT 79IJpY9JuBfNFacPPEO1dObahrUonpavGGshvoyY5ZW1x0asRcsnqJD9+ZmaXkmSgLzTDxn2iJj9 tjoXSsNHRNUuFgOzosBsUeA4R36SpBk1JsMIef1qe2pHe+9qhTw96m/2us2akERK1tilHw6oRVtW ClwhDpkqk/8X/Ia2+05LvasCPnnXVU5ZECD111UmFcNzxYVknja93kGTc055CcTkvPH0/3Lo8Hxm DU6TE0XIbMktOQwDdDFKd0ewUi/Ds9ihE9H0soxmWJTWYDf5OQnOC9AbLYP5yy58oqNkQUJOEoSx ZoAKwkdE1a4kRsj2QV1PuGgxzjnHAJ6O4hxfOZgksh4uL2RFATLlxWA8qbyTQjnmCd/d5mE2s5Nb sYyFghehjF0xasO/4Bzn8ro6d+1ak7SatBGPoBvcHvbr0JETIS2WoMgwpbFB4P6dpPxWTxyPpwOk xb67il1PVHLK1XpbIRaZht7DxKBr4nplKRD2FDpzdvXZCSNkk6P6b4R8jhk4HIn6AL+ZyqYxWQ8n k0B18wJuN7ueK5Sx6KpyyUulmJ039D1FYO8nF8TT0XX1u+tJONStWayQq0e36tm92MXdKWRgY2Gd +8oyzxWCkRXRJcMaX4eQk5fkE/nJ7bxRyYyXOX6NQh/ZdmdHEigrCpElvARRNB906PRlJmAnAMfT kchk+QoDcX+WfJtXK4QjZzZntpEf2F0S4nY3O3Rotc7m1YqhFibdsXwwIAoUShK9FWDfnSkJgUMH Z8C55Ok47JXAZS/6vnSGenpFXgal+sY6oZnoweeHwNNBw/xCmMjZw/rjItTkRDEy6MtnNy1XFprD YuQlKg2qXSy/cXJiUWRxiVjoa86jo3jOwrqnKPJZUhPOnmdRb0JJT/q5vgKdMfSif1GKyKm0QYEO sHXS+MCJHEEt1qUUFSNan32d/dTZIdSCqsjp5uVsP8sEdReDWhRnT+9YFZnlrsVEosv3l0sH2TZ6 /wbWXua/RyAKFHeQL/vssIi8oyAZCm1Q92ARmYNqgV0MSKi38QUjesi6YSAhZt/ot/0eI+MpIKbr 7rZ0mlHLIcghwP/Zr+4Qu//WseiZpDQOdiTnWgA2l6DZmW5pD/HiZnEZ9QzvzpAfskM4sroz8rUa 7mt1kvmu9nlpjS8YHck+OZJdIprFI50dxsgqlDanwBLcy9wzDUuvZh++cuIY+RXCBL+dtQSLStTz 71QuqIOJOUR6942Rp79oVO5icXXlO6iis0tWuarMjacjqp4n5kSBIK/qHiLvkKqHExJUMUuuGDbw Ll/DkqtNYATnJoZaiHGVqg8WIp/lB/17SVLhbicyEFwjGKKVHximOnmGRYsdhciu89GSDyronGRE Pcvzi+DpiAJx/XYk2IDsqvuJvEM4cmNFp3VD+UMU09zflxGLFlc0ZyeEI08VStsdbOVAyzbRjw/Z 1a6NmUat7HAVVIGvWyVoGviEv/KHE3XdQUWLVjsvaEDp8PQ/xSy4hXgKXCLjjoJk+pzt0pySlq1J fjaK0dRObu16XTsGWoilN7cPFxl4rvBRpfMxoQ2jnvH51YAoGQ8Bgj5fOxRElg/RlF8lHa9Ys0jo SDJ0SQtPR+o5v/wwANrdN8zZEcyC1OmNfFD6ktVkhToxBRKzoMkiIuQdCr1ZVs4LvXubnyZo40w7 m3pYN70uNcv5dhCLJrFIpHu8Iz4iInmqkqPkvGxX8M8o1/+w4VnwdITuuggdlgLRq5/3OA4D5E0J i7pNrqFm04eBB7aJWdCMnOsmhlnwBpe0UH+khc5FDk02KhJkmMOOrGoXSsUA50IXRiun0IYVHxHE gFl5g/LP4oq/rUigHBfuwNPBXTXzvasyDZ5RbPXJCWvIKKDAhlQnziKjlCbCdF+jMukmpqDzr/wV IHdTCuzj9q0A6x/VAKUTJzFLFiimZ3SZ172qQEbhJ0TyOfw+5O9r0K5Cu0s7ZfHpiByS764SD648 5ZwdxccgcwOK7Jyr5XYq54ZK1vAES00LJ0JZTCkDJgkLJRcW6vAbOUGaCoEnq1rUoaITD6rcHQTf xxej6UWDCLBIwkwM6umStqCbUBvsXH0VT0dKZl4IJHTofKF12Xqqm70eFpSK3tNoRVw4wlhAqIQQ /0mldAqwpzA+5t3T+hw+eJQDPaFNxS5kD1k0WbSwYGTRTgh4rTvZHeJnBGxGgZHl4Lkmxchw6sxm +UNvefL5COb/LdqFlLy0K2eRU2ifx8ScNqNEWrAAg63VQaame9PYDgzMKQySu8xxRffMl+7ZBoTd hQw8sWYDEE75lbg6KMw9xLS07V0jwqc6nqQKc+C5DK9IXujt3O18PEgiWrtJBJ0IIWlx1cZT7B5C garePFDu6hCPRPVeVtp7dY+DFIKSm0g0RFNwcJ5Ignme8BYoCmoP5k27OCC28ZOqS0OnHX5GACpQ HAijcxsUKlcEnT8s3Tc+HoQ8t9hOfB41JvtjIJKiijKsU08QUG+4vJqj4LK5z+zhdGrKXrz/GeEi m3ZZe3ZZpjpMl9dVg0cGMYKoJ5yzu//sdDGCrCfyMyImPgOeIVmd4TXlBXE5Xs05NT7+vzCqqYrE gphNUBQxkyO0qeBORZ1uPMczQcMin51q92MoKivTAglVweaD4wQ7XOKEZxonYlgqK7Mhv8+GHlSq UnGwLXvVoCMh9PaSkNdyIa9+fhzVlTcE/VMIvSh3gljPgGFGgwCsT1FYXKZcFZre5Dieo0ATcz6Y yH8gvvATSdQ//V1d7tLq4uC8iFTh2UY43ELRp2uK6ARJ1aFH1X/7q/6FhxurNh90y4+9CHCHcTwf f5+ieo1omJpWHplfMxSGz5Rl0i6bBLtb+Lx3Td5In9XPoSh+RgcMU2MCgS491IEfOSGypIBPJJ0E KSxAGRSGhxBJMYHF4a8axUFykxvNBzUnoPbEY/acTXw8qsB76qU4F0Dla12ZUyh4gVUJ2SSHfpkh dd8UhNQx1IofQ2GRWQTZrM2Wb6lwwi5oywsWeH8GZ/gD2Li8b69FWIEyuF41ojvyoF7SEuTR9iPN s8RbrZ9n+Hhw14+7yyR1Wr/VmSgv/n6BdiJyDbEDCon1KHYypj7wX8lnKBK+qFlrR+i4doEqPcFH gThskvpKkhU8DemBMCtrPO0tf9XgKhNxLTFonG4jgOq1TNtOPj75eLDJ2hNLJ3arM/riPkFRMA3l YNTfk1fit3fV0+6Xab19gsJqs4Si55w+2AQBp12SWPoLijJLIk3oeID1BLiQQ3mQMfAzgtKPnUKl +aA9treKqydEn3w8ylJ/7TEEj/Oai+TQhW9qBe1qbOtlbOtBkrHZULvOfw5s+FBCkmKykLlrP+X4 WiG7zUMIlj1SLK9wF8sn2P7BD2LgU3lE5MCHD6tUoExicThY+Qcquz9Jmts5NOJ7qPpkVuA8XY9L YejE13jVyxuCGUc1CQwTYIQERlrmbpkDKz63iejyt+zX33J2/D9D8PYTm+Uib5/GziD2ZYXksXVJ d7JX/YvgBwFRH7TFdp2SvzvxER8Pwun8DXniibavZHuOzfgqlZrKdI2Q6kK4O3n1kNApTVAooqxG 6RBLzZVq4Z0Jy7OtU7qtkRJlDyrVb6AyiwjFcZYKFkM7vix/kW5iIZQaIvC9SjGko9SRAz++RPj5 dwVRYiPPHouqz6jAgY0+bI8hJeM9ZpX5c0oPotW0x8JwWje8WjYcnI90zhuGKugHQieOeN5K3STk IVCodOQTW8k5sOTDPUY1jE2c7t7WcxyoIsuP+6x6Ph7M0PquIxJ1ta54Zw49+Rbj2my6RLjQmrUE 0xrGutmu3pkDUz6oY0qast7B6kGoQm7TUulw1mBEkBFBl86vOr5cEZq9659YhP8aMvc0KG6JYKHz 8ahn+o3WRSsrk7dgMxSJKlM60Q7nSiufYacQ1X9ZMEPpzDZZGE2rYjbVAZvj2haOc2QP0usSKr9n Vvge6KMCzwgNznsKpWKvGuHDmGnMZ+AEoVUthmPuhY//q7/DutBZ1esS/nJgzIe26byOLJOyPMNB qUXt5cn2sq2gEK2huhDTTQ22gnhakDl9nlqw9lYEhJXOk7KuBwpPEkUOvfkmW6ZjiWtM1u8Pm4Nl yDG1l83Ho2P6S8kT4rzl9yYL1TGky52k+HouMiopgxTJoEVIqH2nKJLH6EvntKLp4dH0SADAFwhC sAmGCSNXlMB5JDnA9Oqmx9lkrxpdZCjlpMLeIgcj4RQ2pfFn9Pi/1EMYZYImXB7Loxx49J1outLI wXScYAhlHdSV3WltlJuQBSZ9uCZ41WdrM49bGIIyy9hKyPYCsldUfpTmdT5fhOqU/WVo02cChL3d QVf9sDP7fI3MxyOg4V1D/LdRDmahwGYoxDcv3vDFHcV6WZ6yZrn3AcLbfIZi8IYK9//VyQDhZJbB gzrBezSrkVoREyOawJXr0aJMm0OvPtJWUK3sPhj8ewvEW84px8eDfKP4DG2vvvYn3wjN+ga7haMk B7cku8l2JZOU4fRdQqFb3xIVp607WMraz+m6WFyABk1Osh2r1WoAP60/tddib/pXa2M2tX0YxvxQ 9haVIcRCBSsosOsD6LR83/TIzubtGObQr4/By0ZjQWIZeamEhrCONekflIxMDyLHhn0SPbXiUL8i lmd2zmGWpGK+sbWI2KTScilFMZtVhRbbYjl07LN7jG0kDTymT14uJmDLZfLxiGI7bz6mewyA4DtB oWDGZpo6koiSk2EdL3pRJnFKk8CnCQqjaZKR2mDZjIM3OAZQs1loXvjl8sbL9F/D0YFtpcpiyTXZ qwaxYhVidS8fxOmawySe6mh8/F8oF8bNCMlafSYohnKwKcYGMzFYRVWzAd1FsWw3qjU2QSHcWQbO Mg/j4EfQWr12ct5OpIiuM8XTyDtBpQ+RmFfvS7VXDXrySuWtvMhDDBN0gn46aUJSX68YUVCuSzFb qwtenLc2HTr3bdq2sFJKmVjP43HPb0tYn6pZYN0nTcTyYlKMRvyJgHSLNQjOLvGQWYGHxGIvt/NM jbAce/cxEVtTif10JBDaPqaDlTIfj/Tl2nckxHs+XQe2HNr3AZgNB17n29KzQZCOmizdmNvbG6F/ H4DToKAwzuFgODIsSrNSyCAbDUVCdH5Ew1m2P+37lA6D6SrwFyGvS+R1zBCSBi6A8w98PFpC6bnn UQk7Ezb2vedDCz/k6+BbKOqByLnd8xNsJCpUr3xtwAMPP/cpGdLH4GDoBTimS8gZAPGTV1cTiDcn NPD5pNuzl79phF6gbmOa3QeFinCUlJ3WGnw8CKaviwshOTT0mBcxlUMXPyk4ejdMdT9ZlQxDCuGU zr6EYjFmMxrLPliXFQSmzYgsndt9dEVMjeJmyHXqdSpZedibBhNEeZq55AC0rK6CeESy1VhJfDzK V7/BLydAXVf7M4c2fp3AIHa5ma2WsS1bLdu8kSY1RJmtBj5+biPft5xn93WeHQNXe5MS31mQCzpE 1AdFmld/5hVCsO5haONHkUwwf4YP2l7nZJb0w7kY+XgkFHG3FyNm9rHbc0RHYXQiyHc3z+a3xYuQ e2gG8UiOY82BlR+yeTZWd7qDzc+JnWeGzi4drxeUiPAHqLyGKLYClzutA93tVSOEuDgXu/ig/QU5 bNVRa+bjUeen3SgIISIUcq4yVg7d/CDYc/KMxy29ObJsWP4Kjmmx8ye08xtKMFq/g53QCxKgtQ1r QI8ivQjITZF2jxDaIIlEQefQzo+/DpSdsw+an3k+m8lnGpOPB9vrIQtyKnDyPJlqaOinXH4kcd/K EpqeBTPTd1Rbw+YnCqOX3MeaLCGbU3cI2TwnY2OacVL6Lc2+TmJkVgHAbzBUZ/QZEa+pvJw/A7ZV EvJsCIJCX7+xr3yYVdcAa71HUOzsV4hNdBXZTZUqJmLdgHhAQvkBHVr7mTvHaM0Hy+XPtIxNxkVi YUh2U+cEmnBwRBB6q9JT9aDQ208KWaMrWuwWq59M7OxkaiOcNc/HI4G1/XVCVxamnu5z7O6nRnm7 E9TVIxuZ2S1bhzQl0ASFcbSI/RLeq26/jOZqR4FVE1TBuScZPk3qDqDMVC/JHSAMvWoQJi5mGFOM g2mk1nXChUYUzEkb9IpRf75+B0FITnJ+wsTY4a+RgJEcJ9Sq+YNv6pCypkhIuDZZiIuWGFSX7FE3 vixyeeAKaMx7pqXlLBW2jLC/UI0JbSUnOdGPLAc+fyjoGGV5+3Ab9IRundt+8PEIBvPdXWX/gBJe NkNRIM2oYw4zzSyzX4N5NO3xT+en7Y7GC73+aKCaCAiywaIgnERzFDIIE74Jqx0Zf5x2WWjpmdDa tHM6FGtWg36IXjms5DQLkMWcoI1yR+D2912Vxr98fpfZn+mJomj0N897VqEWIPVobsab1uRUkejP LRYBPJqoTst87ZY3n8+/Sji6ih0tN5AaUbRHl5rGfePRy1K1LLT74wYHwjX5oPWDvFUsOZ5BIUT6 cQqXZy9ujvHMUFiTZq46s7sELQea7doMikc1JJuhOIxmhFgFEqoXJARrxOy6UFCsbgaBwfnTKCOz H3z9slcNdlgWst7OIivJQa2WNyASjc7Ho1DxOxAitRudJp+gsCRNDZutsjz16JKVg6RfiGQeorik L+fY9W9JG0rGdvUa28HGYS2wMHGNnQtxagnhXC0Qa6ntqjYTfcjPiC56A0kvHwyJ15PJoaP7HPj+ IZH7Ngw6vxaIQP2mqqHzH/WuTjZaSToFoJknMnBmZ4s2upsQZKszKI6ldQapbsZGG0/pUeGSMsle yec43Ur3oTH7Q/WEDBseDxZ3sXcNDiGqIA2yBzTwEDpXb+2SYNmTjwex0NhfpzTQ8/TisRmK7f82 HRGvxYn4B4PZhqM5S/VQKPD/Q8DAilk2AfDpDfpzhZ0DmQVkqERBB5P917PNfogNyDdXRfCuN40i Id5io95Bp9D5BLMtWYuPR/Wg3yXX/gM7NZ+esCSd6BdOYBAM1lMWz7KTLad6WaZVQ9efiapBVLJJ bJxysO58wy1MWVh0fVoTDb5R4qtCWIJKs9L+rtteNcByLplHUi+Kg3oaYH1zf5ykmI8Hl1j39UPt jUYu3624hh6AOAMgcO3ZqgfQXYJDBHgQI6b1E4bSZlQmRst0TupZjZnU76YJqtWovVim8ItnYOe3 2LY3jdAL2lhUuB4F4RPjmRM9STr+3JmLz0eQe19AhEvjlXAS+ASFQs70OqG6MDUm+MOQeUlPIkLu 2eTjLRY7AVpXXrnWvProJcFUSYc0FVuoJp4qUZVQUyAXfn61ngMnQLeDXqyUaxDSte+hvvE5Yvh4 hDH7iqTJDDq7+ylJB2aA4MOTQWvwTRmuEwu8mO2o4Nq8nPgPN8CGspgNdkYjTgFD2zyh85J3rWy6 2I29rA3EZPqM4JoXvGNL5Ga76x1QHfKgarPy8X+tIM4FcG+Q//MJCivSkxOUDaY4t5kOoOhlcRCY kz5BIbyDhY46lJONq495UosMAExXU6OlRQxVYm8HGhaDe6xqCXV71YD1U7MqQdsHdZ5hcMCC60Y9 MfYFvGBpnkE4yfrXHRaG0jgTAeJx5ncaHigW66tSDFuZRuAMmP0M+q9lDiqKkPjsxHek8/adDVVK jCFLUzpvECoSMXPgDZg906hqzVdrzZ84qDbBVM9hz8f/JapAtiEMelpPzzUWS9YR3lGLIsUTlE5F igNk0EL6t+AdPKUjeIcArKPKyczNN3CNrXLif1O6OX+JQFZAOoFg2pyZ23qmy28OHAKRTLFm1scd RBw7F670zufafDwCwPwiq6JAPa402+c1Ciook2Re89NWUJrVtAOaFYnOrmtW73iNgQpAigx+NrkG w/k4cMEo9Vw+yJ6Qqa6257Vmz9wxSHSmoTgRJL6GQAW1jqFCYvLBVk9eSzywhYdfZua83ra1s+z0 mZLpzCoeRBMzqHAImI5UnatEeqmNKlzQZmrO+CeYGHXiB+EGGuzuGiWjJzdVik5jUs2k8JIDbAX3 q02MIchfJyaZQwNBgjZYo2eb7FhBofV1Yiww+BGcBrdc+WG+p4l5jXtKJrX1rJhiXENwbF1Ffi9r xQ+fmNeoByuGlxVCQB98xeCmGl0l6LPTVEBkuSRnCQBeEfmu13xfMUV2OVtB4fagEGh9E7jZ7fMa 8ZRHG0l+F/yd4BauiXmNd4p5u8FnzOsaF+RCAC6r89NRQK/Rzvkjg3f0ULQzbrQz66JlNh2zCwLk xAZ8BbWiQPADUpAuxsbe12uwUxCtSGBCwQ7pt/hGM1EJ7wcquT1/XmMdfsltM5NY0cBSnTYxr3FO QbZOhHj3vhfjVW6lvA0rTryCbEijrcRq2EzMxDlY0xR57BJSnJYtRSewFDI34ATJLSsAbMdrBmdM EUs73UH11JoU47R+ouTXCAcPm3ILm0uYB1Idmp8yrxEONhP2/e6Pkfh2Na3tZierehL6Gt8Ut/MY 7MZoMPgqdBPOVuSaQaWiy5qLqQNKd1L/WcQe0r32NbrBL2gqzrLKnm6VffKSLPnu0vfnNbYpj7vS +tE9z653t4l5jWxwZjMGG9P85zdx7aQSlj3Ek1tAxMnII5wYeip1ut1NNxQ7t/aCpDU5NxApXokG y0pPT2iB+uwNjBP9BqK9pCubLSENapTCMNgAYPnzGtLwhGo2L/QrQ04uwCq1baMFg++86irWYSd9 lB32nS3rHIwBKMEbnb5EHkz5v8zr/zIrpPoojyltupIFjC7sx8Mk+2oaDuA3P6+hTLkJVZNGT/Pu FiQHZMSGsvJrIFNMuO05fLEda/Mz5rUiiKtsUABzON2ClwMrgo3pA9YLRRkoaBgcvknKxEuNv3U1 +8A87JuMfpi9QGqM6CcQuwv6WLmsGwizXf55LQdiZjYbfqxSaVCtC1VqsXWghBldS8mvpenXEgGm nJnXSmAR2OX81WmKG5vpFk9f2vGxq06uJoUTotOXCwGxqA9aMeOs8BO/IztMtc6R5EVQmIvLSChd JhMtbj+vVUBMviqk0uvjwJnp6JhJqmXg4eCMGflrZlDAM6UWsYCDqUHhDe69bmtS2Q2l/tHK1uxj VUk0uGhueBNPYm412M2EemnvTaoJ6OBICh1qhxUV336JlHmqEfEe/hqkqyf6Y2tQdpmLsktkfp93 ilcxbsbdUGhXkNOkuYniX2QwfrwA/z2nk92LVQLnIsxWmOZw3VBvo7flg9ZNgdRks3AGMmmpC4uB qp9smOHbofoWJSY/7/wuLPDCSKZLCr27FPqGMD9PEBzC7/QunMJ7fU3OLGRRbp+dKAjGTQH1JndW X821oUSE46aiCSmhPMHsUPvgRHm7+OD3E0TFxKvIbAQz+IFK7rk/z6ThTPOYRpMThcGd0R4QdT5o V4Edztp6Q2nrPQ6GyvyNg7kFcW8Q32p91/Awpj/2cmPEbMpZg/mUSuszO5IwCoU7CUvwsvPBT+Oz YCr1eRKkqqqZEECcglq7AONd33m1SaLsKVH+cshoarjRFGzDpEt8jvjPO62Lh44HNky9Gy4Fkrs0 O+/hMJJCknJ46gyuIquLmo848oRNBVFWtaLZkVAXVQM0aGedu4lahkwtcXrxT6XBnArJSaYCgDbW VEUiPHUY3VBeRYOiPig0yWkK3fMoIhZ65546AOoWVlg1O2FEjIaBudmJmnyrxs08BqaAzIl/JUqj 9KXb9MFBqEDkdmHkUCQbjA2B6odLGAQlH8bb0HsG15UogUR5aiCAEDV1il+dH6F+3ulcBSr8/TuN wuONzgeanDAq7uPLG7GmbCwupJgSAZ+ER6reF4XFaurNwuIEBwv/doIgKItZherQzNJPOsmKCfQR brlmMsp5J3Ph+5lCi3yOt/sc10KCKrw78v68c7k8Rb1Lh004yv5ocqLQGNzwmpOdNTWV6sVQrRyc OlQ85MqJYmNz8q17+GCnTmmpgaOFyelnmoY0QgtiRvzkgz7H5jJgL/o+OWxYAvpTfdBtPlaVK+aJ VD/vNC6+oO8rrpJBnaF7JL/Hx1g5rNVgSlnOao5J2cQJsls1yMDp/CvBtlJClXidz3Q1Qhf8XQud ec6+OCmoctFO2WSUzrFjLT7uzBzeKVw8VHkkS2XW3Htxm4+qm/0M+fPO4GLa6DkVTyhSN8kh1uxE MTJV3hfLpcyqqKGAbHNwaqmHAJqAVFniIJmzU3nocNC+OsHdWMYNKLCtlahfpSwAvi9qny7KMqde NJidKUvEuX3g7JDLzixyoxUcRcm5ePFGPAu0WrcfOu/kLdzmu1r9U6h32puyC0OVIZqrE2PCgl8Y JTMSnFWHTn0OHfgrTsJ86Pw8hqgVFXcyU8E5rw8XkEKfd+4WfnyrUrBXxcHaeJ3OhTi68uedulUe 4o0OHaialVFvMTQKk4mM2esRJqYcMQLBkop1gcewUOeduHVDnVHt7PH0aqC8bXsVtbI05UlVaYUC gm1PT945il40uK+mGbEWH3SZn5XJM+4soPl5p23xvvJ9JfYv1a3GPZPfeVtYr3ifXUdyLcy1DArX trbYhCK7rZ33OBm5CNOHse5gtzkEDbNtLJC2lEWo8VKJ/fuy4qKOxjtti8FO1cTcwUo5I/GAhjn5 5521VQyL+dxYhcaVXrN4J21hVhHHrG7WL4h6qvFJCKSSW+2wQ/mds8VIhJGgCsKSBuDOgp3JOXkY J6MhPptZHS9zjuyEeUkplBCCd8pWceuXzUqeBrV/z6fJ1wbGke+MLR7p322GQQje8rLFO2GLZaBN nKkn532Y1TEUqK23KS9b7Ky4bGwp1vLBGg0gs3WukTM5JLVLYATfFLsM2kN+nZMS+U7X4m8vkCCZ tRy4s84/SboMzODPO1sLV+puv2IdJPo3w3rnauFM7jTDqVYGvJlDV5WT2fmYPjlR4diISHIBHI8h K7xk58zDmw1QOuSFhfATvohgc1wbaHvRINZhhZRuGjZwctBUntJZm+vzTtTi0s5PhpUow35ex3OI d54WK87d1OdUJN3J1eKVTTA7Hz4772EyUmdGOZKQnSN7DgHtoXMkby0dYN6btEJx6oBqBf6AJxEM dt5pWkXoKCJO7iD4LZqDDHNX2p93lha+ZvZDhw0cFFPWc2NFYTI7vrtsb1N1sw9C28cSrEUMJifn PUyWbDT8m9Id7NCBalofUxp9JZM2htI6NdJwfpYvTZGtFw0mZyoEXNsHLR2IxTPDapic9zDZuul3 XxGC3W91/Z2ixXuOrRszQjwBc63GICHcG7BJWDkrwXpnaLHIy1JgK8sHTQ7yK/j3MofIkLClclan Ai/C1JIv+n+wV/VO0SomjdYIbLCB6edOyRQ+ofr0ztBiGDm+A0Hiw24h+Z2fhUMHtnCpD9f8Xi48 Z2orjS1x42e907OY4OMbLenOras7dyL8MyOdsKB0rhV0UERwQ8UcSQ+ORT+REY993tlZ1l1GKZDn BgdNTk2619sJET7v5CzCBb5PZAgc7ntbvVOzsHAQy29aZ2jhZKn24MiZlpmTiYuF887MeoLkQdGn KYw5Mwg4mJ8FMuTBsPZMggtitlkcnNegAgbIfNGoL86Cxa53UAYB3S4Btkf+vBOzinwQvuYGoqQ0 VOLcxFCKRfl8cxzdJNeRm840gA53wuBgbv7GUkD93AcveM2eZPMDLTUcNdhUC+AGFixJ/rk2XO+M LEzMMmzJ9kGV0sYNT/0Zvl2wo9J3XxyaCjnNOzNReNxQYNklu4EbTOjNwG26mRI9HrGj3tlYWO5J kR9R/Bys/3sipXM0l6U2ZyYoDPkF72QEg4/VFOIkvmiQWgk0sFVq31ayGBCsFZrizO7nnYv16yxW ooBbpdzE852LhZMCuVrSwcvzho0HXOPZhNHPndctt3qnYhWngy7irjT4TXWOvMziUjpX0TnhBb0g pwXJFJqfLgRKzYd3JtaTO8CngdYd507Cdzq/S2MAAE398nlnYv0qWnB26IYzPAR852Ex2yV+HVAo ChpME1LrtN8yVEU25NY7DQs/TVfykO/A2Vng/S5UKrh24MNFMgnKm3Cz+XnsRjGhn3cG1q0hE81g gwG3RldOXjrfLgiO1/clDi3HdWPjd/oVtsNmm3NVD3C6d66mcY7QwrXo7519xXyZ3zfpRE5WTEDr 4eQNgzImZ9mAS8nD+kwQZjDRf+02rsjSfydfYX0rcdj5DjqKT/SkO7ifxOGde4XfP6dfq2Yr27XJ iUJjVhrY6FQdEHZ/XgfMVgfk0YCU8517VZy8N4mO02BZFdzHIQSm5gMoI4mJA5YXJCNRd3DuHspI n3fq1a0DLgnxLCfhzloTJUqhOlI/78yrIqEXTY6EsRYcX+4lHoXG1HFa1/15CcFFRcIiF5hzLBfr zLzTrqxAy6aDqhVc5sDsL3hQItlE9Hdev3UWSQs6q4Vwtb4vJ6T7m/4F4pI5jwYBLHYW/ht19s87 68qwjd89T1rB3uM4jI0bYdHZsyqg+I0wU5+OsLHS3jlXxXwc0lTrk4Mn5KWci3pJufrMN0PxBI0q 3FOZIbyL6jL8e6dc8czRTa5aaXE+EfiwMn0prX/eGVfMOb2+PnnF4TZ4Dp0oNkaMU2Ehazf5Lta2 Mjo6YpxxwX9RBVm4kklDPQ0+OSiTJZVyToBDhW9I8eF0gg4WpCF95aCi8nknW7HmxA21rRzogNGG kEeCw2t/3rlWfEG/qngtIZaEKIHh/6LgWMZ/snURtL6zqN5huWG6BeDSs7z+zrQqrjaMM8YHJQ4n qu9tr2ZXFc5qyjGjeV1RPf4q5TDZ/bwzrYpxok8oqvI65wj3eIGtDL7w+SLz8060wqZ8gEuskbKn uO/shEgL/NqLFH4D6GhOekeaQ6TxoNAk4ZFReDyX2uRz+GD19TYgqKqmXoU6tGgyqPpSAIy+CwbQ AWjt886zKtf2Jem+SnZfndkFiZRHch+fd5pVeYymlhw1cQuwAW2zE8KNE8sVKdtt3rb5qtOzVv3g Tnj/Cc/fWVY8uwjwI91Ig+FQyJ9uQnY1UGN47YOshKLm+IFriuGNiXr6vLOsrBMADrUkCCkdrTIg cO/MH8b6vHOsGCKXX0nnWXXTD513hhUDR6JQiikz0gkadfZz5PBsXpQds8TqnWB1A+QxpXoxXcxh wuDiRIAzCaRejD/UaNwADYTZ72VOOYDPO8EKpyPVdjTPGnQio9LKuTnB9+edX0XM8XfSSd2wtRxA +s6uKiYvvGkyKBL1FWUUlIk0827m2O/kqls/ngKIXhj5Pkk91HCocA2905Z1r0FhCUCCImqDEs9F v5d3bhUzch46hToOHJRbVZi18xyZ8/NOrcKyS98LB7nHF1T9nVlVzGlq1Wk9vUm1DNCDF5CM6jxQ WJmTE8bIOootGuyuFke2ayHCmCfySFJygrnsDzS+9/WZIjznnVR1MfybgocajNwwEyGlte/xeedU MWf9BvFD8FYGPZqZEGJBFTRx79nQM+fIzhKFGnr0WuNpHEMsunLx5INlD2f1T531UEUBW4+bD8ss E+OcriciCaWfd0JVMdlt3NrNB/VkBhUnxYr/vPOpeFrl7z2FYHstb+e9s6mI6WFGXqrlnNQPFZZ0 WYC8p5tpvpOpHACFzbR80OSciO+EyIIunSsM7Es2JrYUd3AOPedN13sG5YpEpVwTzPWqzDjR+x5S Oe3r886k4rfsX5kVFFoyFak1N2F4zDb5vlrUc3TrBPeUbG5KdZZDDEFWtyFVH6wCeJbOyKQoJKqB dGmhgi+Sacc89wN6S3rRYOGwwkXVbBtYHR1QgNUtvCqeDiLAXwQQLllWuzQ5YXhM6bPpykybnta0 ftaxDNGY7KIxLSodazuNoW75cCXzmaAhvKtYQ2dTzU2WSN1k4XWZzHmhC9kdPiJgOiRup8QKMgcd OaPTxhE8Tj4dtMnzNzancRXeNnCPw2NmDNmbedXoIJB5MQzBppElSSDv4bEfxqsJENgcEHiCVxj2 0CgEaWcrTSh3aeTSS+bq4FPoER8RYQjYp6IDkwZHn3BWQQOpeDpC2v66xgnyLXdyouh4QEE8Ecmr bZWnlblSXV7mqlYi7RHAYpC4Oq2ld3HIJzibGWlnF/oEXUnKeEEeKEMoZq7HapRI2x7Vj6ty8SS0 ZDK0ZIeUiNwwW1t4Ooj/yi+0JKSyht/iPSwfUzVqJGcPzXThgJaITirDMf7rUflYDrWzpumDhzgn 9qvI9wEHhFkSm+iVqgzY8/NZONTwwUdExRzBAeUOsA3UNeGsyBwX1qx4OqA9zO/zODN2mduL6/1P Pt6SZzqemMUgb3L9IlWGUnhcOVF0zOrMuarppjmWu2meGAd1iCRPxNozzaLoGIkcegLiMh/9gakX DcKcLV33mXxQAJiyGZTk0vB0xFX8tXLOktg3q+oRJY8KyIswMu6qVCz+m2UaBhl5neRhehgcL0No bx/ssiqzn3mrRGjXMraBKwqSJ6hjgBTh9eOS9KJRE1i3FK3+qJAwWLKCXS3+qZ9jHU8Hl9X4Xjnw OC2AMNrkhMExnRCzeaefydlOC2H0QGMSQic4OSErj7My5PY3xo1yzrWE+TZayEm++pY+FYouJ11H dfqiAWmE2N/j4/MRAisxltOgbQUhLbasyuQLRtvqu+2A+nWul5fXwwIyDsSEMnKWM9I2v4RdZQ7J tGqpgNz/hiCPIf7vuPzfBh7UoMgnABXjLG6+RsKtMs+/hh7LbXTai0bnMQtd7PxrwEdAR31bP29M PB2cx+M7PgZaIiNPtsl5j4+RPBBHSgQ2Dh8CzSkyDTZ2/X8UXcGJySMnio+3cs6kLnDyLvBAwrnP 6WtpFTiu0tADFYCvSAiyDmRsY3xEMDlFChM6eVyk/ExObRIpP6csng4S8uaEoqosjHKVPjkRBJmv CX635ZxqQuDMaeRMQz1uqeLV8Vf+bOcltfOSBLHRBj7n7flFl26rDNSS3eSYHFQuytXsQr28fnqE rdAVvojT0CAIMiqkjOF623g64sz4tuL5QnDis63CALmTpdcdZEsUPiZnlyHPlnOVF+O79qh+rE7w LOyRc7id4JbFq0owmoEDt4qAU55+oNveyel60fczR02rE5lOHxQgoyzNm/isSzwdrZzvplWmgGp7 yMBRhAyhsZpKL0YoqqVYhExpTXWCh0XIIyogzykaKwFdHIzEeJKQAYlRvtCAMhWzL+CFIDlTySlS hZRGUSMKkCkg0rap32bzsIGTTMnmE5XxdBAgj1/AE4QW5WLXRxQg8yYivzOLTrSqe0dwvUDBg3RM 3FYjLB9PFdfV5U3LVw7iEaiZsGnVIXPP26oVTBGs50DOu6nV1otGZGnWpijlocEQtq0az+4EgSMs H9/sgSpm2B79wnJGjD+uBLs13Vbn5Ld256x0ixjMO00gZ0TVY3a10ppk4S2JHA7guVpH35Yx4Ll9 R7MWBV08ieNa3pWBksPAJwQwSUptz8EEa5L2joXTCrm1SCM63+9/4ssg40zV4+MRoo9pL7ZuZX0X V5kcy8Anu7oO54ji42VpJyO8Jazb4KY62UgfMsmEAu40AgAazomebRcHeA6FgU/4g/zalvA5HBQe T1CseOLMhqcj9YFfISCD9BsCjig+ZklzD2e/wvvLDpyaHOqWXAZ4RPHxHDqOlVkVz6wm9OWwO+kW fpIHGCPgOOYUYTNl8mAdYFv1ptGRw+3EQswZUIrBqTMT5PL5Y+SS8Xi0dO6BLBwODmOCdjVBYYxM 2yE51tAsorvGLQNJFpA3HY0xQVGMLK+IsaR2sq7aSe5wIi1W7cpoMFukQ5Ywb3Q/dSiZh48Idpbw XG13H9R6gGG72bFMPP2vphVnh3yiclt6IwQgT7rNFwuSN7N7qVeUqTN5Iuhlw3NEAOQi3YpRpw+W Xi0oJJO3BQAyQG8E9pMtA3cAxoEmkrPsRaOmFb3EmuLA5uqkA1pFLGHtPvB0EOpc7Lqqomg/sQqn 2YmqyECPn9mZhnpbc5kAsEDWVBDCemIKMaIoeSojn6oRyyUl4+CZJyiEmB7Wzjp3tyS2ayEB9XxD AKWcdo+jEh8RxTqiWVGJnINOntamgKAn+8HT/5LKIRabMrT5YgJHFCazkbTHtWHptTp8iXloN0yg juUwTF5q6okHO6/yFFoOMHQpQpJC627pPp+qVbIvrNnZBEyOMExuMmGRKExxUZgMQhc5nmtVPB2k 5s3LFkL2k3/r+OwR15FZA5zZ+ER7DwuTWQhXkX1ZN3iEdWTWSKmMY4MTYM+Zk0aRmgUhpFJsoKRk s4vLVTdz1ptGLU+ptfbqA2cHenwyswZlZoQ4i3ElG3gqY+2MC7SYYSVZO2twArAfKUGAtdOmTqAJ hL7KOjOUcyM0e9qF7Ty6fY7n858m3ehza7WqdKMTP37CqB84CHnlgsLjMwRaFAWBafpglYu0qe12 Qs6Gp/+1dpIKgj+s6GluojgZzU4o59iNtUjuo3IOaS2UI4XAguYmLCQzq5xIwm2wOLlS5ouNvZJL T1uwQDADCzp5YBK7VCLOO3xEVGXnVcWuigY1rwBXVUrZBp7+X5rlEMDNlCDR5IR6Fp2K4z3ZobP7 NvjSTHbobKJ4ODkhT68KD6iCsovRAWVxLq8TJpvzyqxVxuHogxbsenCKnONJrdYZVpLpGDfZWtHA wsW5UpKYZCdwwNP/ZKKRTmJYgjs9UaicqfVL2Ufmn2pfwRWiFe/Q0NiT0xOWksdruXQgs08krqMp jLI7bywUNAi0+aFvrq0d3CX4iKjQLobeHj7YqTPZ14dwecHTQcd8+I3Fywl31b6KDTOKlTEtmBJx ZuCxZkALlMcN+kbzaYSCM4qVR1fqpNpX3TcUPMt+THq2Q0eEepJYO7BJoYzj+KJ4ghiIj4gkG4RC oVkKBxGKztPsljW0r2YUKbebZKlwTJ38cZdOFCgztl10b7Bgx26sKW9wBDsoZTPYmRHSwirtRTur 3J0Fkd8z4yomn2RxFwIPmpRswcgcDxdtbr1ocCSrxF7r8MGO5LmZZp20dODpqF76i1qOpKtnb0PM CGyh2sXYjvBvHgmuZTDTE/OgiMl9FRWTlYL+Hz2LcSbjHBdTKpsdLnw8n7q+6Tl2oL7ntQt2PmcU Jyc2yzdCRxsEtujm/VTTaHg6SrJ+CTDhV34wOjMk6oHAkvLViZFd2ETmyzMZACYaq1LqLdR6Ewlt Sp1qXnWqCQFfeh9CuRY/VhY2DnEUepnrUtHI7sMnRMSZ17np6DWzZb42n/5f8EtUe6OtgOYmAlsQ rLZFklHn01CBG/0d09BpVvSaUZDMXl2ai9UIDlZor2fJL6AEcSCP0hK306DYSydj4uE/sOg1oyC5 0R9DUFINAmqfLEUkxHO24+kIpVO/dhUBpTID5eSEPD0V2ovuKOhaLMciO/JtLJa/cCDHahYsemVG OhzsMi9n1XQJbmYoQMpObGSatiPRpdHc/op0Qsk3MTy7DuTuLmonNx8ClJ5ICk9HSJT6FQVSwm5v v61WFCInwnSE8QdkW6ZFuK26dSZOBoG4hEKBEdhC4i/n5k4+WHMPel2LMo5gh4xU6DHXoAUmbah+ zawHWzQrBFvoDpeSznan0bGAmGKIfP4ono6Iet6/YuYB1kHJd+mssJg8SLqvErGoqZly7Zkb+9/O NpmWfK4wSO4SGGKTgYPtqwQwcqMgKQwtT17E2VmkvqLUOG9Zh4KF+IhI9I3XVBMNto1b1jkpOQOd sxTw9L+0qQhFwOLO5dGYDLHIjSS9dOl62/Xo8xqGt1jZIKUrxFusy5rhwNADa+fMyMKBw0gH/Adu PSVcKDqjaerXVT95Mz4iKnpxYxlEkBoKLOvAK0qzA5XJOEreX6l50Zb2QGeFbL1GXWjyfzPJMzJ5 6BCRN7iF3AzOqbNCMHK/Wq3fkq0TPkKVpjKSEp8sgaQuYXbst3W1SUX0XHE9WQzPnnzQ5Jzsdche N288/S/82/oR3CLnK7+0Qglkel6lbe29Rb1/9iFoTM+Vk+lM2PlXIvybUOzUxB6uoQ8V+kLfTEEK ADhiNR5YL8idZ8ijX+4MIlF8RFDyUs6x+x24r3DSi6+3UsbTUaBzu+aYJYK02z2SoxA5sVoqu2EE yzQZJYxJRHxWS90HY4ViFkPxyxw+2JE8cgV2nStnwZKW6ksFx29F9as9LNihQyfCW2DzYFZW8UHZ 1UmX1TUffeDpCKjzC8aEv1WuIsEK8ciZmkvNs6thJ02XRo2I5dk4aSsMkRUF0hVKg+UPgBimpE5E g/oJq6oNi6ZQRG9dzO1m73PFWhaclWbSvzxDaAwvlvcJtlPD09F95YV2xo9ZGFVvQ6yQsUeQfyJh MVOMfpgRWCZjBmga3PDaVzEgWQKc7NNw0L5qIr4ajh0NGjEgCJWT+fSdHemgrChIJnSywzPLBxV1 6pl0Qdlzx9Pv+2pdTpqojx32UV4NXFGQ3Clmsas3+NKeVmcXk5qpp+NKVwy44KyIGDOcTQdpbgTE 2ex0y0kZhrSpcFVs+A3PB8dEIuyKEckClJbsg4hFA0E4J2dVPB21zdednPyDRKjcxvCKYuQli71S rOC1thW8djbnlJWSqyKvMEaW40XhtuJgBzLykNQJgIN8fKHZ2JkmQOpwlK2vQGcMvWjUoVEBOWcf ODcdFsXcFRsHcoS3WI+AzrR6V3nqyDsKkscSf8BVzeZoVu+S5TCdX1yWfseEPeZVY2QfLAxEnFO6 dAmANSi80NrGugZFE2vbZ4d15B0FyQRct1Wl2V9dsx99HxXOT4KMp4N611d6hXdM3wi4/R4jQx9k UN3zNmjMCvX8NmZscHIvt6nesZwFUwfqMmowBNykbaXg2gsOqCzDV0ovAp0OnoE3aMgQ2SEiWeIw /2mcn6lf6gyfA58v+C89PBbZFRBcsfFY0YKGBtOvq5pNQGfOYZS0RR0eTk4YIktAp4hYVJxYdEK0 BZqwQmQULQidx0bDsQqh3n1DZEn/7ihEhoIW0La9+aDcE0W0qbB34+n/RV0IS5YdLM1NSNdDxJFS 35ZcucbHuYSH23pO17PYIeAimapQ8sHy8pOFdthMsbNHbzTZv+MIwEfD2dPpMyxa7ChC3sYRLsUH q+j0Jix6TxtPR1qBv0UbkFvdy2qHiOTdLmmGRJorpDi68652M1mqHSKSLamSWUjevnAG4r5B1bmT lzfUF4gOVGGn4Dy7bpVICPAJUfbA7kyWZHR2yei2ctMPfy50PB3JxPwSbZCGs1cCd6j2hj4aD1wr k174WymuUz/dQGWHYIsuVFsvPjjYop11Q4sFwFJwAImtd65IpHPjYUDQ42uHksjG06t3sMZVkpkV Wqp4OlIz82Uj+WSYG1wVlB0hLSh6s/Fl1BBmc5XlHNL/uGyKExl3KPUm8nSSgGt69Dchrb1IBwfv /qS47Hg2csKQww0Si8S7xzviI/7UXSpq0JThFiqtKSVvqRU8HRUCf+2p9UsHb4fRcaP5WU6m2LCs bdUnjYWwbibb91w3MdBCm0nrJt91M08EPDprJ6mA3aIQsUnI7HwVlEu0cgptWPERQQCY1SxXUWcU LyHnTC0b3CMDTwc31fU3oEYmKirnSPfcYYc15MVKYPcTh6IryB22au40EcaiRO6w4/BYd9QcPnhO DvmdJKf3Dj/qLTwyvupgy/qyYPfUe/5Zr1jiUK/m2+pkHEOVz8Wno6xzf8U4tHuaj2dIFB6DeVy2 W8oU6mbrNJbTgRqevnIinMVkGWJKIYeD5gYaKxmFQMpFz9Kltt15J6OEDGXZ64zR9KJRALiEe6s+ WKWrNU4Obj48HWWdnlexmHMWwEOCzSlEWVDUtuRqGjqUe2VKDhQeUf6L7uRUYU+x2JuqOdZ3ufqS Jzs7dxC8qmDbVAGF43dnbAOpFZQdPQLkRY7PCOiMRdAlSjZsAjUILEVjjEIv50ibfD5CT343IIAU L+0SGs3p6rVL7/3yIqmYsc11EWRDYi3mnoZmzymMkcWdoaGqBisGnosc/TDidFpHsQd/4JzIrFkj jO8PNS1te9cIUaAUQnWL6g4ZOwsO8XO2QuPjQQ7R89cSolXio2phWoyvveh9VQIRJ2czgRipdXPu 3MkJseqRvRfcBPNKd/A+xMjQjCGAsiZgosjdg7x4gdQjVT/UpOG+5GdESKapDsT2wbo00M/9IR6l 8fEAQll8ghCMoVRF/oVPUFhOxqZNzP8ZLC/DF2CTeQpKoSktodBPT6ZoRQ6VxRV1BsygWu3dWLGV ZVKIxoCRBC7NThcHh2xYrxrBBBknj3EH8UVKK7LXyanx8aiifBE7mAyCAUZ6NlkUMSeg0vdgGg6w 1zB9nc7KgLBw6O/aMRQVlYfQyf8nZMahcbJP0T/biZkJcEF9ZxM2DoedWxps/qpBP2J2p+/ZoCLG BkYFV9iGqH8KoRdfAnkZnZp+duUlnecUFpYRsizaxOIWm33on87Vs/wYAoNFsv4prCzL9aCQfc7B UvUTOZ/NlCQ/Psgd4QwNIqLR8Hh0/XnHk2rwHqpJWbEYprK5Tl5aJj9ec+Pj7zNU0/iaobplpnQn KPYTad9mK4Y1hTJnzsPa6GSG6BSKwuepQg9ZVhrsJusJYao0vWD1u6kaDMcekKjOxKAKZxKLY9qr /qmxiPqCDYqfR5Xh4UnXOx+PyI6348cvAbjpfCYoFLwAgyOV2R34NZITj64E5U7ZT6EohB5JOPd9 B6tnIM2iKRIqYVAHYbG1sXPK2/ZahBVIg+tVIxbxVMtGwl5kF4J7BK0U7LFz+Tc+HjX9frFB0Vvv j1tPioJo4gj22m71lNpjuuITRCCZJiiSvTCoOz9eg9305yabxO2gszUQFQlWSZmMRBzn09ma9qr/ UBS8gwBOKOc3ZeOTjwdbrP6Sk5muu2ETFBaa6fBJpoqK8MtYNlOTRgbSXD5BUaVZgiAg1PhgZ1AD fVNb7LzTAFruh8pwqPqcjd3oaCQcD6r+/Iyg7EPa2mLZW4O2WO1JQJ7BWDF0F2njRkJZJu849WyC QhM+CoTvNd3DPNVmkdC0pAMNLiun5sCFD1bovL+GGJDDIyHKwYEhy8rPSUNJrYHj0ySd43zOvgaF sojIgQ0fTlkl76r9lFv7ASODdxBEt3Pow/fbVZhinas9ExQF002Yd9aWCxMPy1PXrGafuxaVv7r+ TKQPIpSTOoHLRckXLGdmmkJ1I4ie6jJLvg6qfPX2KvAN9BkRxW+8TNC5Mq0aBLcbPh7E0vm75AzB lcwapE1QHEtj3czqZnxkyjBZZYtE+ikMArr+TNQjlYIeqUYctMVO5Imqs/IxGFF10gE7TzNMPLRW HGOpUDG044OYMWizUt9O5KZRCKNpgtAs5ePBCnrActxO1oi+MxRVngFxLrACtg47vdxYCNpOZ5vj BtOhI5+pqMDFzQarBE0sIkZk5xrLqD8LnIFaBzwOEG456old5BxY8pkEY090CdHAPZZPsMUFcCa6 8vFoj12Et2YIv9GFIOTQlI8zJM90Sultv8fWuKwbbEGzuwwZfwqBspXMhq8hHEKVtrFIN1q2hKQy 6u4Es48vX4Rm7xppYkgMQ37Vy5R+Rm+7ssd2goXOx4N+6VzfU1SF23umKNJV7jyoadvBbabJQr2M Wpw8h1j71zYLo2nRSqQMPF3Y9yQU5cxQTzLzWdDSXxJxQmKKggI1MO0cSsVeNUKHEW5ZidfgwBlK 0OJiLJx74eNBdyf9wuue8GldMZ4cmPPJjgN9C5eA7dPcfDY1sqnG2BwdlkN3Ph3QQ0JgHBzHUs9F DFUDFD2AR2DzWc1TyozW9SDhyaLIoT/flENC6ndQa/Bkvfx+IM3x8eCgXt/QSyorf6+gUB5jMjFi 3bDzJpM2Twd739jYMCSzGYq8RzoxlVNq9hwsp0caea6aLBgU8zPGQjRIgJDndqnTQmMPfsZfxMic SVbnYHXFOSVfOu0VIzj8FTtlWQiB/7i8UU3ce00aGaua7CSpmLbn2WNdjQ1INVafoZj9xzXEUoIG C4ZgdVQQB/KM3qUxGKqg0RW4JuPW87qZ/C9zFE4LXLhokKeBd30dZankfuLajxKuv/MN6VWDdjyf uz7ENjfmqc1EREBy9oyVosKNxruescZWfdIerOUO1gsD3HtP0zxNnLEf9gkL3C7w47QbLcqzOfTq I5W68Zq0QZyBEzeIk99r4eNBvlE8nGbdDLu8X2B8Dt36epdHX3dgS+k/rgnmTebuQtQ5tOvb6jJz KjVYR2xsKNiQ50ZXnioOYGHacT679afwWuxNg4xeFddh1TOiiSF7WyfPyx+YsvLxqOTxKx9Dd2T2 ducn1JPbDBZJA0ThlRA8ymUQkHv+N2Q+XrwPHfsM1KJrfD51xd3OLT9rUkYPXU9KzpEwXJjWr1sT WmyK5dCyzzDOwxBAZkm38qK1NGqpZfLxoK5Yb7Do11gm98lmKNTMQPB29rATJfMWs7ZLNFymJHP5 DIXhtFJV1VU5+BmUzyKC8CDC6dR6I3sdLuA/kPL+GWSRDrYNa7JXjTSeBBOcd+AMJWgHGLm/8fF/ hdPyS0ALDj7TPkNRbTqxBApArJrOrNHyEFpd1dhz0687QxGSY4hnu1RXXLeumBAX5UpB89JgWUza VwUAs2K/IbLw4n2p9qpBT77KeE2q1Ny3PKX7kGtDL1mvGOT0I91DCJ1V4MjmvcZC7z5cWxWiZz/S iR1V2T2k95ZCxU06KPONwLzviqGWfAeDuqTeT7oiEvtZA+fe5ynd2EYGxq6X23me/qrBBGUqICTp Z6Tq3Q3IiQoNnjIfj4r396KnB7iIrY9/fFSahgw/LOqSHdPtuhsK/Ux3w+YW6aGD3xqmfjB80AxB 3QJ2ElJ9h+IcS8KNTEGEqLL+ad/ndBxMq3loYgjLZwixloLppccj6ML3EsL9mYmCsQmKomlpWzZT o4abs3tj0puHTdZV3c05MPG72caqd3CYHRQLYNCIe+zM1jb4OJtdGehTl+7ZBK/m0MRP4IW9qYPA wdBSq1ELrZ7okY9HIESfHxJ1Kmmlz/xElWlEkyelz4ZCXBRrELbDGdvzKZsFPn6O7p19Fx9si4G1 UQtP6ZNKgqVN2hs9joCQrteqBIwXvWkwP00K1ebKYRaQHRkfUTBn+VQ+HqSrN6PHZJ5lvL9WTxRH DxmlZxdjHgZlPasXkRNzVUozMFcNjPxQm1RRUV5Aw7HPE+6P53Li9spoqm4BgRfQS4A+Xx0E6x2G Pn70X24y+dKgxcM/y82VJh8Pjp/5OwjCEmxPkBhF0SnTPd4citHWcLDd3M5nb8/p87fh9RhmeDP9 fD7r/txL8NpEYwz3I+nLFdznAkMH8P8pI4sAsturBlGiqtEuAOo4zRN87y0vv5r5+L/gL4ymgRSC u6tNUGjnR0+cPXmbo8varuc1IhQ6lpBroNMn9vMTs1RuLRysrXH21PlDIsJt0pnw+p2dIAjjIII2 OCIB0Dn088tCeOy9fNACApxJItRj8vF/RdGaCgY/d3+Fln5bQiPr2h268vCCgqNO57SLz08URS+G z+crbh8sRsTxs7OyDCAipOEzljBvLL/69bVGt1cNuvNbBMrUfVBBMY0lAH1DBBQa+40Lg5ZdLfZp vcWg2NmvcdlckLg82ZCGEW7BNOxa0ObQ2k8LaFTZF1e3L4YtNZyNTIoFWCqGiIR2VNiAoCXrCCrV gkJvP37D/yPOvObqctpuIw0+HklkXWgiCyMk8F2KQQ7d/aRzVAzgAVfIZByDWp37T1C1ZigkDLIm 3QWn5+Ct1bMAQXBnMWiD3Mc/UOh7g8lgW6PKiqzbq0aCoGytTmUbzqE/sX7bPLDhLMXHoxts3Bs+ w87j/HN9JigMohcLHLt4PZFVIXLc87Z6Ipe99ljsYMKtYzuoF99jfZ791ulNj0z1hOPs+4Dd/VPo Gp5pKiV2033Xv2RZdhUCuDqDB8KRouOmPfj4v6jcTCnQyint6T4HVn+my7JYLSKtkv4IcrNjkEiA R/JkPvT6M5NwA+T1y447fwSqr4P3GHjLAmyXxTrm+VURapnQ2rRzOuIOGiU3C4mX3TwJGDAias/e znw8Kkl/y2Ut+JM/0xPF0GjpoCWfrPeso2cR3qH/bfJ/sxUUwTto/IZs7g4OVEQRsch4ayJzpgdO hVlo6bR5f9SyVCwL7f5Ec4KVgw/CULUhg4raeQiF+Og7PdKVxa5/UHiB4Z9TeQRpRfUhmRPrWRBz OQtsOAovdvwTTyWpPZaW66CXXkETlQbmiRfaMHYlllGjgMx+sPX+qsEOk5z1Uk1oGUR6nfhSxWkQ pPl4JCj2HQeR043zxCcorEd3GdSyXkjmQRWQk5dXVSK/Taovh6Z/s6hatqoPFkefrKKOJfuFtoDH I7yjMlAECLhd0WaE2PqMP+/5/3Dezw5NlbpOtaD3HNj+IYvzI0iCCah8lJqeUDGESuO7nagHdNPO fxrUv4TEbP9pZFmy6KczKI6lFURLsG9cwb5xgunWltpiYPnQMxjioaxNoJy3n2BxF3vXvw6h2Qnl 5MA5Wpx6HdOTjwdX/QVRKdsAkv3q2OTY/o/UHtKl5ePrqard+e0bTJ4D/z8TGID6xfRBjUPG6SWr +4wjumclY2dHkQifb6pKWAg/Ipgf5fBLqeqyYAJ0zskWWa1r8fEoG/vdN+yUj/bpCcHSlYHQEpbD KXPkMCcrli1JKHT9magUROTLf1X7JmYEG0ocFugpCXAOBXUgPFUsUzLW67ZX/UtXYu1+B84P0MVq adRW+HhwibUrcI3VlkU5ehZQFEzXr3uM2fxyayV2LpmuEq6iBRSzCqXdJ6nrOb3e2mF6VyGxBfug swf21k03IMtRKfJwr7FtbxoVpAvrrKwHTuS7zBDmPOHnUA+6LD4fdcUuFpiREGwnQea7UxRF0wT6 rmXlspOaNWv7rJqyRUKQDtBNFnsBmjbdvoNFQjh+V5dZdkGPTwb0ZIQCtEgi/PxqPQdegGeTKBCq EuR1obdztFCqH33Ssfl4cNP3XxAYcgPyVfDLgR2gS2NS1oyxEDcSuhqbvTTJqg6PhWI/QLl68AbX 4OlGQ595C+p6opbC06oVNhrZ4bikDWq78jOi5ryCaeHuqzmWn48YuUnEb1Y+HqyhXxr7xOGt9kxQ SDJcBBRtV40qzZh0cEmyCWKzTxMUg6VZJ5QYAAdv+6A9uAW4B40pMejOIMFWOi09+digBUEOfQFb FfJlVh8MZrbaVCyNimJsDJh+6ez3/C3HlgNnQBAZ1qXCk/c9jJEAeqlN0O53gmKuoaRt1IHuj/sd GICjUK0OxG/p2MIFchP9rZTeQFQkYubAGzDLE6hJ4lSD0ORrLFUsznnPxyOE0O8JOhukoWznMxQr 1iFjZUvw/4ne0g1vL1TH4h4zCaAc+AOC7spyEK8hDQYzG0xXVhK+AxhOwswW8SebM3ObzzT6zYFD ICqghJlB9s8GlRXPwpSu2vkt+fi/ivYEXCHVGNck+vMaCNUsdNhmU0ii6XOYcsDY2wng07zMXsOg Cv43/vga0mUbV5dtN9guFcXSGyZ+rJNN0XFrlSPpNBwn4sTXKKhCq0Rq4Kn44KtHdcITjuLhl5mp wvhgZvaPimtU6dz8H87vEUwMVuW5p4yUcc6gRhgZE9UiC+SNX1lpRjAx3uqR+cm65iflRIeldElH TVgU0Hu8AaBewBzGv2oTYxjy14mBRmkRy3D6oFrr2Lp3TopxwufXicHzxSbGlCkKJJ2zzcxr6FOz SIKbOTbBv2x6iuRDvAua8fzimJnXwKe6zP4SS2xdltiCiPM5mBmGFVC7Sr6oj5wZJl33Yx5Un9e4 B1tCfom1Nh90qaMcLAWX3T6vQU99cNG6Kkhe0nmMiXkNeKq1ZjZpNVKA3CYdCuuJH1eAtAr0a7hz /juI7lmN4BUONjEnEp5tMaU4mQu0MnnSkOADRD02hUuxsf31Gu1Us+YA9UIyE8gVK9PSseTRfU6v /HkNdvglq80M4+UT69APjRPzGuhgLxEuTr1GBstruEcrDN3Ue5/JsL6vYQ72EiPgNSlbw8FD5XOU FJZ8Ewx58qaE5sisgJzPxF1hxhVgsOI1g0OGxVTokPqgmmo6Bzn58P0Eyq8hTn3cEqUxRQo75dk5 Ma8BTgVVuFyLpfFVWXXNUPqdTOuYvoY3FXUUS0J/e9cuUCtPwslSz0kSt7l3CbOBy2YvR9YxHMRr vk/Mqlwq5NVrYHCD5k4yk839eQ1t6uN2YhNT5T1nE/Ma2ODIJp95k8VM74Fklm6L0BQRCRkaw8sj mhgacu3Ks5WDpZ+wlCbCjnV4zJHSr0Jl8pOX3EYFm7Sf15imGqa341rzwdrsrYu+0+CtFMyLY561 kSoiZCrCSWw8OnsrW+zbUfOC0oG601Mx1LzExSDAG529dA7fhURdDnZdTzDUSR09q3mcK0pitIkL 7GywffUMkYfiA94vJcHo9hRKYzoDvvQiDbyCwvJrHGNv96wXyiih5KZ5eS0K4r+N4e9zwmwXTqAt t+BPMgjEH3k/elNRGEMv3/V4+aLonunSezYSwpnFrdZoFcgOTFk3Dka4jY8IZka99clMkwN3Eq3i JJ0FFczoUrIk4etS2tnP3tdaYFUZuiaypeTka1CMcYLVZYUKFnIpmxCdvV1WSlwPHHTENGqske5B TDNkyzUzHcQaihVfKtNkjvlaB6ze0Don4PCBM9MHBMVZ5xp4OLqUPJARtBm6u8PjmHeaVzXjhE0+ h7Kn7W5TdQxv943LpIzmhsnTWhLOWZdf0fu5hhsmGbupegXj3EbILs9c9EuklKnq553kxROeyG9g WW3Q+QtAg3zVG58ONtT83lBoWGxKpwqOH8xNQvzBzrl0Wohelcbj2j43zdsQcfzLuamam3rnBgrq wGg1EgfqmOLEVwA05QmIebcCV6+iwEWHsPyCCNnToALpCSoJAK84hN/5XTiFDYyhyaE3zWSoydl5 D4HhSpAtOTCwion2oZSWbFNRI1dYnmB2NrXflxxH1+M4eqJngLaoJXH+3oAGBJNK/CbQJcEG9IhG kxMFwd04S3n7oF21kgT7a0Nl6z0KlgXHs60gYFFq9aP4ndlVvTSacZ6Rf1v6ssmpl3+73RnxndiF N6dS8y66w0vzcG/BNqkXHsZoA5UlQJRkLGG7iwaau85PvWiQOyVm2qNvH7RyToKvBt+JEj7vtK6a rx8Op+iHLJqyblb5HgpbsW7vrIgPgCfHwo/m/c9y6e3vsXCWbynC3eGDzuNBsfsqx9EBQG3XiV2n IHKZ9H9tq6lyRHiH0w6nSSTUsdIT5n+SFF5on0fRsKtZbxOWpdEVdQw5O1E8TMjEBs3AqxHGNRFu kRkU2QScnfeA2OUjjJfNweI+OIjVIemsVVEhZd2L+ClM4nj8/8Q0eQ+IYYerJVOnD8QPzp7l3AQt xs87l6uaAP9zIAOH2VLxi/ydzMXjQg3zakunPPgdts6RLIAjKGRBFBRLk3ir/stBSydDZnBweyfo ADYmhmlAwCYTh9FvrYadp887kwvfT1TSLFvW7Kx22EsRzHkW0/68E7k8Pf3KF0iiuCdyFBjD3q7m vJq1zetYN5PSfbUQ69vKiSJjmWUu6VtysENno/NFw77zl2vf0uprMN+GVNTPoM2xGQwsvej75KD8 jASd6lAcVCg+QZMkhc/p/3kncVWzutXkSK2Ppfy7dN7DY9xX7JlXl4caBkUBAyebLktepvX9TuHC q1eZ1apms66yzzihEywdBNuZC5uOSwcRKgrn0Imw8JgI9s87g6uaVdQ5bswD0NnaCSpITAc6VFmi AHknr9qIXkKaU7lrJwqRO8sTjNT+nwBgzgFcXYZcZzugg8jZCWNk21gEJUsygBsLcUIlxhcb66yk IiYyKYO4RJDNuSTLnHrRaHY4LYtKGByUV52wW/7hG73gKEgWaNY3FqLPTOygin1RkEzhlhONZGO3 7fT0YLpglXsUWzrv3C1OzuKsrOmD1SjOHoJEqJwRQRxN0jvEjmfPe85rw4Ug6/PO3WLJgFk48VYa VCIuTWTbc3blzzt1C7HAPZN5QuFaHM1XzjtzC1OKRZ10SxFQsL0L/AVarpZcvRO3sP50SReFg6V6 h7NhjQCszFDnhMxLCqE0tkUe19OTdo6iF43q51NumtUHZRAoHxKJMtv8vNO2cKDfxHNbze8EFh4H vpO2sFoL+STTdTDJ1oDN1EJjJAvwjsoLV857kCy2EA4UGWW3x2YK5jgV355JOTrJ1PpGR7kCrJPb lwsXVTTeOVtWQUP1fBYfVMc5K4b340nX2uedsuVze6NkKu+xk6zZCaNkCqgS8SDFPvTHODuTzSoI qFY6DHT+lWB2qMGyVfvbT+2vw0YAMn08dE7qJfmDiTCVBmqdMC+phDZ70WDpbM5K571lsqlo/ULm TqTalD/vbK2qmvizryihMJZnn+9kLaSsVaUQjwNXc3XZuV2Apbqe9TtXqzpSmReTDd60Kx2Xy1SU jJOURUnyLgHFB+TBb3MSIt+pWk/VQoJ9HIRe2tso57uVzztTqz4G4h7qIIOtt/0SBcmVySe9+qSE 3m1yVt7T8quRDMf9TtOqLvataFqDpeYnb4ZLB8vpJ5ydUu/pzFKRiIKvdX2gl140CHVU/yuyOy7b qZDbHcpOYPV5J2lxaftlzpmguQA1BTQ771Gy26uz1sTZSdN14h2sc75isezznaNVDZqRtpw79uPc caLudlYMkUsFvCaKX50juU+aVcL28eYQDHXeOVrsgGXR+6YPCgTdhx5g8c87RQtfM3sgyN7NHgrS bXLCKLmwy1Bd+cmdXvrON/tEmUqT8x4lA3PHWZlcOhysGsjsUCbQ0NIvJJymxZ47BKJr+RIUsReN JscoJN2HpK/ZKDSNcvn+vPOzsHTy+o50QOtnuKPJCWvIAASgq2jg9tbd80XV00Xnv6b86p2fxQov j+KSsg92IuMmX6tKQjWVbMJidMWSPvF1txtsU70TtFh5kMCsujLe2YSQiJzuqPn0zs9ipvTdqKL+ asveqHqnZ+G/UC9Najww0gEkgYcOX5b5FbcoV857jIz8nteVrCAl0aYM4tzm8BwltQ80PFnVTnLi AUMFGsJPZERjn3dylm8r2NoVHzQ5I0ugr53F8XnnZjFRml/bCrf/Xn6VvzOzEB4JTOrm4ZuQOGLa 9/Au3iq2cN6JWdW1d3e1tqUbDJzvc47jzu+T8MuObQuHLGHqiFxrCtIBP+/ELBQ7afOYofxmg/IH F4muJ1v7vPOyeJ2mr4UDb71d7txEETKbk3taHUcwCvHS63ZvOxbGOTdhHRnpA8zZsg+am4mSSwJi FBhAqB6DdY1/Zn//TAO4P9d/652QxYlhcCOpXA4qk55lqACw6+2CHZW+jxuaJNMwWTMThceN2C0W t7lq5vLEihUr9X6L7ah3NhahNzqL2XfhYDHOCWzgqiScP8ympAa+SZlGvPNYTIFrwhcNEqtqthR3 EGp0VPkFnyiBL/g/9cXx/5Wbdb4zsbilKHJZLj7bhAm/a8jKIjA579Gxk0H3opIjB0vJZx2GiAVq ffXRddygLEIuN+pcJgJKvYd3HlY17wVQYzWgt1MV5MDegbWLXD7vPKxfFQtKvfP/mnwa5ycKkDfR SSk3a0DsCz+uJEAWZuXWunrnYeG3UQd46uSZTjI6t1Q596wBI+GaLYA7/QsQOz1OoyjLf94pWFXu 0piVtn1QSr7VaoP3D98uiI7XPYsFzV4/65aQ3/lX7HYh/uvJHabEpFFT74Y4rv75Tr+qwpsBZaKU fN6UfJdzT+kLYeWchUMiUt9eiANQ1vtWJOm/s69wFy7m4ihK2mCHsVGuy/nTn3fyVX10CfVUIXLl AShFwXHnmcNukOqAdOhAHVDWiKwDVnN+fidfPR1P6fFwsDOnooeaJPwJYF7aYkBCeB40Ha5aY+91 e9E/Kl1td13m3Ur4E2Zw/LhaU/28U6+qeAdfEAIALUr3EOedeYX/Um8vGRfWZeXQ8kyeV7EKKfhW WEJmGYJ61xz43qhXlDGACyGIIJ3zbauEzN4epVv7vqSQTtDmO/GqXgTXVAA4Hdp2Pqxr6dRaP++8 KwaAPjuLCUf7bly9065wItf9JVoAL00/kSW1h9lZyxrC76wr7Gm1HXTecnCkaIfiZB+CnixVR6Gk zCLpYhDvoroMAN9JV08xhxpOGnSXp242rKX1zzvnqj6kRi0dfN28b0r+TrhilIPj2NswEC9wqsPO 2aKc7ZYv73wrvDlZMMiNfLB9lUAIgFEa5FDP8Wy+HRsDcqxBVqxWDmoqn3e2FYMOtjyb+sEtezEH 1RHui3M4f97JVnzB+n2XYx0RMyXwXxwek/2xb/OBQU1HpYvSjIO6MktstHeq1QMlWGrqrdvUgxoB dE146JQxe2dGjr7TiUzO1v8q5nQisN+pVvwU6XxOtYXdLhJiNVL/ynV+3plW9b99K0nV7js5Ic6i EWeRu/UeepGWQ1dzklQ9ylARGhnFx1MJlYrnuz5NPRzPg0iEhCChFCrudmx6+MPLc8HgOaixfd55 Vo5BOUexLNW7gcbOu2Upf9UTaX/eaVa/7nKe3owL5p2cMETmiayIhoULnKZyUvJ2MFqeSh7eOVb4 K6zlLEp2abAzB2LUJ32eqnQN0LmwctSYOasIybpBjeEWwBeNMChqdUrxIpsmAu0ap/KHsT7vDKsq 443vpJOKcz437xGy61kR7sLEirnd/4OKBCVEzv+22ATR3MQRMneVwBYcHG08JoCiRFlAH600ae0g aDnn/M/s9yoHLI0vGlzlCo27Lqtul9Vs1Ygm9fwkn3duFZ5u37kVz8C1PM55Z1ZZEMiyt9e5eras M5mxwGTti+fxO7Hq6ejNegc7j4EILklmkahvCWhKKlImph71BSWeK9mLRiD1KSz28EG51awy4c5r zs87rarKOP6ZnCUj1+mTE9WPUbU9R06XYENZdJ1G52HXov3F+rVNThghZ9UpGO5wsCNnDjpziBHT of7OMBFdFXgt7GsxRXDOO6GKKTmvcTq9aDBiw8pbneA9Pu98KuyO5zDmNKDQS1KBpiaEWNAkaN20 c17rgFnM8Y+O2QKqh/GxpZ2Ek3KwqTl/eOxi1gr1XNXCspM2wXZGunaInT6a72wqQpdsUy0fFAGe Ra2Cw1mfn3cyVTXJ73vgVLTJ183J36lUeIpWRqUkyzmbI0nTNiDcOXGqVdbfmVRfm2pkH25O3lZR JxhRxe4EvS0KHrEXkJ4Dp+s9g3oFWrkd4EgflHXCCEIip3193mlU+Ja5fe0puuoBcmdzE0bHiN0T VR1kTtaG5eO00hPKthqfvMUAZG0mKsOZoiZLgBMZw05ThHvYTogU093xYe4H85b0osHCEXJJOyN5 /gg1o2o1vFXxdBAA9u/J4ZItly/U/o6OqSmiwroDl+ZoXliv2Q+cqHacdH9LzpCDN6x6BVa9i0tV C+FzJ8ZBQxUYidWuSDfWFD8i4DkIEAj5BBvEwIN3r/AVesGgS15uN08qYOuHrEijgEThMfQlgCCd duaQg8NeJxeAqA7V2nn9PTzGcZxY+Bx30NJBM+EcD9tgXXVXV/hkwQJh4NXBJ+UQH/EHB6+xK2uD ChZwhuKZc3JsPB0Bbb8BFsL43tyhR+ExDZ0S3RcFtE2m2rkA8lOda7nNaI8AFmMZEST5wMmhwonI 1uyStySAO6mhmQTg9RiNEmjbowpylekfFYK6HTRoPZ2FyQgQZpR4OrjIa/6OAIfqSDY3YXQsPOCV 0tkmwYSM3PGAaMkwAuxRAVkiHzuNO9ykE3p7gpKeA342LpxOPSB4AM1n4bDsjI+IijlL3hvTB3U6 T1hJbH47UTyeDpA565452INZeuTJG8E9ZuOxnccUE0+APMha10IARIAFsGowR+RfCTIr8nmXzNI5 2HXVewFkiW1yGEdJwqGT/I/kAdW/Kz8w9aJR2knGd9rbB8WAJ/OZ8morDU9HTEXPrIjNqaB13TMn IuRBo/OEgFPYPyBum0LASRKTVO8Rk3PphPExazTsmNpgrc6THSbwLJlYzdZlm9CB0CrjHMHornsF uSS96B994J4sGASTeLAO2GqRV+Q52PF0cF0NjwLxs7FtTeSjJieMj8HWPbmQcaxoWGdQUteGmagg a1/FrDxRZgy+5Grl87w7NIamBLxORDjlYYeoBTEQEM4XDkgbxB6hkElUgPxt8cEQBHlwndYy+YLR vvLWA/PvCoxXu9lDD0vI7MwolqE30jBm3gZnFpJMBPWphNzjEFkQ7V58sKVz7u0B3gwIRWd2SNQG MQQ1CsgxQOz1NjuXXjTaVmo+qKiTzalznTNeSlUnQZt4+l/UB25BbDK8s03Oe4hsbhILYEmSxtey k6YvCrZIgxK/La+rKETeOmxWyT4YHjCNvuA+olLXyZspbDgQnNAgMhOFrCMZHVd8RDA5rANm0caz 08YXDAaGBILnwtNRUv5d6mKjlcAyTU4EQqa2+OrWAEaoZnknPWqZdw5UNcjp7BG+QhULNv84sLyC GBk695mi4qivt9yKBGOQe1PlvlzdLvCjKz7iT7EBzREHgZBh4cvVcL4Dnv4XHJA3U2Zad2+rMEZG bcmcWlRoX5aUU9FaSTnlBjA5UQV5JYGP2x0MZlsbla2mAp191g4ZReBC0NQPnlZ3crpe9P3QoT9x FxZeg2ElZSkDn9CGpyMy8C9eCA3AJG9PNnAUI+OMrKleSlEb3ZoPkoQhpWhbu3NEJeQp+ABYojZY setkH62zJo70dvepYAiINahlwXnQi6S0ihpRiJzV8IQNjg1W6+r0rUatK+PpIETu37UuEtrAwLW5 iUJktMrRIJ+sG1cdeHSPSCiuECsJP0heVyOuIPOemqoHznlb5Se7z8aZqZCkaqogb0C3IEEAAMPN ruxFg8lhzpmFI8gXR9BZhuWXO2HgiCvId3IEP8Ef214mHSEImQz70dRyOGuoehSYUOqWarKTZkZU QqatU4ZlhAb2htgob9B/kSjD2eq7qRxGDAjBXMsbMyjiD3xCgJVscmGTMDkROlw5cJLGRPXzHzwd 9R6+RRkoPpCuwskIQRayOdxOKHqkJtfyBKJv46KNKELmmUNCFQe2kTA3jS3/qVb5SZ9atVOJSCZC cy8Y8Bx+A5/wZ+uBdiwauHAygFE6cmbD09GR810kHdza/eoPRBFyL8JHDq8EjuwI5OL8VwrV8sSJ ImTmIGnRy0iD1Sx6WqVNBoE1w2itqlOO9VEZOFMBz1C2VW8aNYNx2GTiCM9ACMuPqTMwijs5aMbj 0dK5YSCnEgDtcrdVGCMTZUs9U3lFrGrbimghXldUBeL0RDHysuRKMNG+HEiwwARu2cTaTwSU+C+e NaQpwIXuhw418/ARQe6Z8otEw/ldMnu0oJVPPB0dOjcKZDUem6BesOQIMciDNKLss1MQslG9gjeL 6ZYlEYpGhEEulFPaTXd6S36Zt3LO3EJBLEjZtzVkBs2fjTyWeSWAOaf4iKhvxbO4s8guNA8TJTAB qfV3VhCejiKd3zEyOp793uVRGbl1LhjTxims/KmpNyw0hA+C0UJGFCPril6NpfbVKLeRcV9BtbKQ E57Oy2yalMItCxsMsuIo6TvrHuckPiKIdESWWaLOLLMSOIEZ9UJwzK6NpyPiwy+0JGnTFxQ44hh5 snqcnPhQHL3UWjPiA73jeCSHMbIu8yrFnHodNDqNVfsQ8WFBcJ5dPVaWcXuvfSeH5h34iCBGpm86 yZU2KPc8qRyZie3cjXg6SMzr96FDubt6U88Rxsg6k7ManeBdZYuRCURlpZTETN7lcR1ZbKt9B4sC W6cw16CWxTmamgSWqIpFKR9cWi66mbPeNOp5cumklXwQLQR6koT5gTMzQpjF+OYHo9CRxy2VzrCO zHJgZuMOMQ+pUVJsqMnoVlBNZk1n/i3lBsswH1QqhVMGFjxLpVARYaqb4N4HNbXx08Y1GJHu+Axh FtVgFnewpdMKz7hz0jU8HUxO++bMAOABUSebmyhGBvTvREVskbNogQSW5k+DOtKZQIJscxPy9JRz 0vdOg62cXlpK1FkFtD9B7OOHNupL7GA0kFwmEVUafMSfqefM2Qf1rgBAUC2wDTwd5eXfeg0CNXev d80oREa15vyeORt12vEDrp3DBII8PUxOyNOTYNlWl2ZflMUJkTcyIdnM7lampNsJM0S1FKGDMzz9 Rf+qI//X3hHtn0XES+mTT0cH8nfq+R8kwYxiZFrh7WYxH0xSnE5E4WY19tybb4ZVZKUFmWEMB+c9 jJ3o1INU+BzIO8n7iK0W1nEv7I38NXxEMDlqlC+JWSw/kKHaSaI2uqt4OpJI/KYTMbbYV6RrRkEy kilgUIrB3vowQywoGjq4H+gJRIEzCpIpFnRypjx8sHY5DjNYQrBSCog1r/JBtze0uccXwRNJAT4i 2FbSjews4yWv4p/bglC6BLvsiqeDxt7NrqReSzusOzlhiEwgcu3Vup4o1qnc1fay7IrfGXHOjGAW 4jBuSXBxsLw8dUjoJskBngQokQgwAAU8f6dK5cPSq2kvGpzHvaqhN32w8zjRGe8HRqF4+l/lLvbL FVDeMycCWlD3enPbmr5bsjgnVcf3V5a7sK2iKvIyEYuSfbAa+zlcWr/4/gr1Bl5WNCw4Zw5OZi9a sOk5owg5TXFl2BLO/hEDSkXS1zwhFJ7+V16uy6p/A3RmyNIT0CJty8vrNk3W8x23oZfWMi2LGaq8 FeUN/Or7cmYmep5DrhbnM0BAUxYGtFSGis66PLRFA4gZFZGLSoFL7XKvU58zrQ6rdm0+HczNpT5I 5v/MzbzVrhkBLYAmK7yOrOlpALhhmFvC+7vVc2YUIA+GdmfVbR+swj7Gua+p7IMeequix05azJmM 9O1cLXvRAGlLhE7O1GrIDuYd9RwfYsyfox1PRwid9h0gs/JRPXuYMUkPSFtIVWhyWMuX0e5q1tZL spTtnxkKWUxxysUK2ZcVklBDpuMcKEWwdCJQefLuWOgk0GNuf4U5odjbZmC8hGJaboDVzrXVhPPL BU9HKJRf8H70eYAC1eSsKD4GfMmJwagm9z7sslpt2mXFNi8lAiOchcmz9lR8sIrOubNBTCNg8iTS rVZZGnSH16Mmbjc5ezMrxFnsIT2q7INiwHMOcxWd66ji6YCld9H9XDnIcvJdOSsKkNE8hCOYy+dI vmKYVoNiQN7TOHJWiLOQSvbud7BtlZH6TAlunqy8VN5SA+pkhc4F89Zz1rQXjSoWcrakBZ/qc6zn QAAa/1TPl8DTEbjrrhz2H5DhQ9fIZidUPKZAjAI+UvUsuiGr1Kl6Du9fEdJiJKPNcOnIkRxuDueH nRUOxFw6GSXXytnBuQp0V/+i6p0QuOEjgiqyujJbesXuR3Jy4l4lokh5yThC/qW8pB19l04UIYMW euKc7UIWxMGjFEj7YGblk2Et91UIRGYneGthcLAg8NzkUKNiKXDB9IHFwgl0DuMcWA3ZdSWS54rL yOzKJMFkk1n3jjGqXEPOb7DxdJR37q8gkIDvfDGTK4qQJycHzVG19TJCfdZJ2/YTeS0LAlfM05P6 vGTWc3IsAdwOO0SypQmd5iB5uNOOEJJtrV2MDrTK+RFBsUvaJ03pQ/P0Ac5IinNWynj6X9wHAplI mZ73RI4iZFZiVlsuZivkG+7yZpqc1EW1bRUKWZhTozpX5Uo1wAJ1VNnC10GJdYP3VwCYzj56ttWY 9qIRCkXgLgn0NzdKWxVTjsk5MQ+ejoAW/dehgy1VniM5xCInHslpWnIlNRSEyGV4/rAdNbnCEFky ilkyitllFE+CduKQiRjxzE4t6AnjNQb1Fun4tC7edrPpuUIhC1rvbBnMbKLQf0ibOYcOvvDZaw1P B/fVLwHOLK0QDwNXyNVLTK6mAS02EXs0hAfsszJ/uCZgK8YiE2LcDFl6axawXz4XibyANiU4dZnj X5Rp8J0caaCskKpHXCAMQ33QiXw+g2dc7bnj6eBE3t8FHYAmS76Z5wrByKywI5RXGEh4OCuBjDy4 cliaRBi4wiIyEwe1STXYZd7LPDlVzlLoB1oHJzL0PuALBHTUA2AiBXbFYOSkPnn2QZyiE0ixzFn7 qng6aJcPnxyMk8RyxyKvKESGfCLs9bYV2Pd2BZRqLior1WwKKCvWeuNJnPLywYtdA5GgcBZwCpe7 XgXQv6IJTWKKBTpj6EX/oBRBF6b4oEDnHMdb7RYcyBHOYqXv7gOKXeUpIe8oRAbGtRjsmKx7E/kY 0AFy1r2LfOyohKw4h5eeDZoc3oNLYg3EqMn0YhA31nnE3qxcFsM7CpGb/M2bAHAOrkM3vipzPLEq ng6KXfWrq4fvwP6Mnzn7PUZ2Z0apwlAu2iSRR5bWLZZOcVGqHUpZdCEsevXBsqtdwPguxC81+Jzz XutMZtFjh2ib92ZIDtkhFllstCYOtYPrzvmc6zaXPL5gpDL+Xe0CqjO3q9i14xCZ2fhodlsJsk3l 1lkc47+Ndr9DMLLaDlnMmezMGViSQlAoKfUcrQr5Ngs1UFAj3e02hIm23VGIDF4WAGCS1B5myTIg FSOkdZkbT0dqFt9C44R13fRhh1Q9CSK3ZcnVGg4oLdWberAi1NyEOAvVLIoU30ryhYNzBgaDwhKU k+LQdW9QOwe5OVw9nTmz7EUjCUVpESjOKRbnnOBmymG1nJwCT0eytulrblj6Kvey2nGEnHkMO8K/ mPPpSPkW2Hv1yfmbqrf2vIN3PNsJQ2gRir25iMky1+4CeiSJM1LSBkMDn/AHCAVt5OaDoZeyohzA 6PD0P9FLPHzZd720oh3qIfNAXi1fFIrr4K3mCvWdqq6YnBBm0cV7oKbFrldCceHC6uyFwW65WQ2Z xptI3sdDfqC5144qyEnrhrIaGizIafKrrGfN4+l/SZmx9KzmWfEq6Y5AFhRapa6S5CXpkyT9HEys uHo0Wk78K5EgAcMbY3DOKzNe0smZW1OUcw5UuT5BQR3VpowTA2VSce7xjviIiONpcNLmA29y6glb v7Pg6Si1+m4GQ3KeDpk2OWF8XBkV9+kYdpN8Owds35ZaiXSOhROCLKSColYvBwf4D6jbdMFJN89n Jg/4VIgQAkmipVMoLIePiIiMEnqr3QeDk67WpKRdB54OkofxxMeZ3s6Zrq2anLCGnImY7MXIejQz oVnIHNVIRRTqQPKwYyCyug7tDh4DwnuoyEWlnTi5yuOTcgnoU617VRHui08IjhwKEWSafWuwZvBO 8uc+oSeejoghfuQQYUTfHLbONDlRgIzUv6ZEwrQY5tPP4+Z0NN4TXDkRyGKq+WAyit2ByBOiMOcL cFuZcoMk2NF8gv0NMEDXFKPpRf+SssikJGpQOQfoGaWSs+LpSMzsFxC5APviyYMKLK+fqXKOFXEg M9QNY4E6Q2ENWebckGBPoZYFyXcrK/3Mw4U+TsLQzn8EXpooTMt7OlH97qwwoKY8Blz+qgGXUTrI Weqt7CAQUXrCiMmLvbc8+XwEm/wushPzAKkRn6FQ762TLQHWBmVi6GKBvTUTa4DouoI5L7/FFAu+ saiT/j9jX5ZcSYwk9//OQhvDvuj+BxPcPQL52J3Bapk0aI11klkgMhCLL6P44lnyhrAVSF0naqJ4 YDe1ylYe/UYKFRoHIvm7RjqKCjp5+KKp8KkAyYVt50rk4xHHvH7lO9KOu5oWOYXeIUi/cGlYpkxd VHa95rLQvOnlSqX6FEKSrXcxui/W9ur0Ux5ZcuOQuaV+KyazBa0rSn5oSrNqtleNYExDqMnki4Lz KlVNvcwNipLlkX8doZy+o7MIOa+PgbKUtuWBNUmkdNCWTjCMRdsMs+UJjfSK/NDm9MU2qKgQEpIJ 5Hm2nhsJfEBlouXm9l+YJvF3/EGGaPCz8UVd05Ypk06xQj4eDbK+efg4gCfIX7n6FKXMaF1rCKEo RI9vwkvhkZdlp5ezR6HQRIR+RDvJHi1de7QTkBrkA1mJVihzUiauS7F60Xz8tgbbslcNBhLyDd49 +cINGvX8DTSQgJ5/CpEX9U4kOA+F6WQDNNW3KGwtY46wRAkhXbQk6w/CdpRhaIHfLUn/FPeWWWz9 J8BgpRPOTq5I7+kKC6zJFOlkjMTgnTtyPJr+vOQ5fXxP1Sj5h2zcF93yHToaTJ5z4+PvW1Tz0+nB mcXftDw3WdhdRhQiWNukdQg5pS5nMQYom9sWhaL0eaq0SJreJOfxQSGlN1AnmQSBTExbhIGmJ+hT FDp0hcUx7VWjyouNZWLitFj+vMxN7mRrfDxqv1+Aim4bgE3Xs0Ox3AWic13FkV/m4TnQgfAw1G8Y ii1FJEKuAmPcAiPBtmeTSQcnl0L5PjR9lmrJn3LtwQrbBPwdQYUhpDJpDVi6kUcKAXYU+W18PLjq xzekknAgaibbBkVpNOIyRHYcGle6DyhkaMluobt65hSJXpStaTFh7mtfmHs+3+eJNEJVLkyPedbG IEc/0QfxGW5Ne9Xophe2qSRfdISwRUP1+OTjwTd2wxAv9UnVjWeDYt0L6jksd+du7i+3mNryG2M7 ShsUNpsNxi1Tmjov7BSu7pNOS6l2AAiZVnbaHZ8yX5BcQ/Jg9MTfEXV+lozdmy9GeVwsjs4+M1kM rUXa0/rJ5u+erttTaMA3VWvka/dkeJWBoGGp0Ey+QYEDnxIGMiSWLz6pOOciUWoiAUzYZV9OiiuF /WdKDj2VQ0QOLPjwfzX7W3ex9s9QtUHN7Rx68D1+wgxflOpc10IjhzZ8rVHnlaVq4SjHS9WyipWq Y7nRXODDB/wjLzDhM7joCJ2fMksp2YRlwADhIaMeRQVLtl5gBv4J+h1/TbqS1Na58AhB+EVIt3Pr 8/FI0PQbKkdNhH15SDl24ss0paG0a6U9lrqsqOWntw+p2NT1Y/4ck7KBoUUbNE4qlzkblUJI1iEb JCGgYoToi8MslSyGXnw20alL7aClOek816FUNzpaHTkw4/vuy/OwiPR/k8XAjc/1cHOtNmQnMlud oGktxLVdDzeHdnyTddZuafpiG7TaCdFLcgYYt2eaRM2E5hBczFE0Ou6Jc+Qc+PHhbcsbGwlTxGns 2crH/ykU4vycH0FEbItCtThARjY7CESGle4uzCU7+Kk1z4UCU76ktlJaKw9fLJ8Gm2R1GoGevHei ec+CA5hekoza+PJFaPauwbB92ax0+6KuB3gqxFFu5PyhL99jOsyvDC3jdZ0RcuDLh3Jcwaffryx7 xyy73DRqZvvKwnRa+PeqrLre2dcco2LiNQjVOGdVfL/OrBqo/1Ue1YdU7FWDr0x9jynC33RlNGAw pYiXe+HjkRbjQzPG+UOdvtdzhkLKHwO1BoGszjj1ok3Wajb/4jnTGYoRzYRUUkhXixWt4xzFwS7p CULjfIEcLDeWpnRFqesBw993jXgUzKSrLBLc7mid2pOYVqjdbz4eRWpPFxnWqUH2WKYGDn2yVoD2 1zIODqoAq1qplyFPn+soG5mPKBvaWTdadn+NOXBDFaJuIcuDqM/5KZW50cgAQk2XfUmIhvwdf4I2 5PLIRR/Z+aZVkk17xX9ph1AwmIplFD6wHYoSaozbi/lpkBk5nIizW3FmZLlxKAZuKACV7ovXZEBz kX6fClC7nbfd2f+BmuxU1uWSTab8L0OfPlOBqEIn1ItOSFl2JCfOZD4eVByP9gz+yzjWUOfxDQrx zXT5HqYWUmQ9w6K1JbUZAfm6RyhMqJvpFlVf7gi+IllhNnQqsp3NxxCHkeIbaN1bvijH5hx1p4uU y5OMoZIjMaFhI5mME+8+6vy8NwXWV8XBcvAhDuTQrI/Kk+QGGLql+wmaZhk/KYivDQrd+pYELAXs UVZuCXVD8iiB9wr+O3fyJNQErJ4X7U/vtdib/jHbaHuqP8QSHzHoHAx5755cPfPxSE3ODxADMmZk c9wYFPr1yZJuUoqavdc6TCsDUGCoLa+UnM2VQ8O+QfTBTvkuzjtBNUDIDgbNwM4LyYukmRo067aF qNvG3xHdY009xeWLgnROalS3XCYfD1qL5ZmM6RZDZ+BuUATjYNA414wx11dnk7HiAEmCkKlQ8Q0K 02kz/DZd3Nv06O3kiAP+Vqg3+s6kqwJuipR/j59BFung4LAme9Vo/iMFGuk7tavvBAaH0HKj8fEo nS7fJwhDuHZVl3No25fU9Cjdps40eEIIyhxZq/da3IQ38O1z5CGduGwxGgH8C6lQCBQQmBGcAHUk pxVMB6JXrXtfqr1qNJVnCKKEzFmScr6TEU22+M4nlvWKEQXFN4jXPb7Q+SRCoXUfGn1gk06bH67u io2VHC8qNg4DH+bAuw8vr86rVIZpdKIYDZYCA79URfZmjJ4UW2gQWOzljp4pEJZj8z5NJCgdqkU1 fWuyVKgnu+DjUffeP7HktzyA2r5BUWtaRgr7Nj1Wao7puHTbPryvGPr3ye6SZYUt1hWC7VqnaMGp WMtY04C9izSZacY/7TtI/yOXXlI1uoqf5+dr3H1yUj0enKBr/cNUBeXZvLqNOXTwwyTtSaBr6smA HYvkfI4QyRzRJRZKzHXNnvddDPnMUeQgeKG0AmU2g9Uj0mZMJ1yzZxO+mkMLPzoZQLKx+GJ4qa6J 96n2Bh//VyJN1c9KXunzhUWN6cEsKJvjD2x6LrYDLRpiO9hN0QEKG9NGtUh3sUsMA/S2CFU6X32n jxE4yfRFQhP52pSwxuWvCPZHfsVtDV+4PzCx4A10jk/l40ESlPLXHQYxrq/TEyXRQKoqQJsSc03W EIIbquJPk3qhfkyECxJ6VdVGcwkNUG5PVgXED+LPSQqHSBlIO4G4m1cFwWaHoYsfvkE0o3f2xQ5P asNmh5OPB9Fn3o6i2mvomozHRT508ku53h4H/1PJDvAwO6m5xdzh8YlZgho8S6uwXWHU8432itkP MQxQ6OMH2LmhsGWCfmGdNn/u9qp/48PFTSnuyztmUUPoXPqZj0cNoafZgQSxqutrGxTa+VHvn47X 5ldCU+fNE2R+JRQ8UfwJ/fyETFjwPLLFbrB1Cnj061llZFCaiNekdCHYlkigDZJIFHQO/fwsPg/Z AY3m+3M+TAnrpjH5ePR9/RprsL5Y9wCFln5kYBlcnnjxUS/LtFh8ZgNL+xMl0cZP3mK272vo0jGg WZwYpwx3qS4RrU71HCnJ+gUGPzD9jojVJCJcWr6oGQRlIKkyIwUKjf2eA1TULkPD5Coc5T+s/Wjl spxNSZEBQjzyyl6GZcO05tDbrwt+l2VClh9f8LqgAyrjkpqn5UANCus0esY/zkFU91WDOiwJQj+z L8yiTyFG4N5PO98tH/+XQJbZnPywueIbFHIGKxVqqkvs7pL/i2igkp4bFGXRcpOauuLnveKhQgTZ iyot0HL+vxwdNhIMIDJTONaoMiLr9qoRG1fqCBqQrWJ1WB9Tw3W4y/PxqFD1HIjNabRNSJazDQoh HgQSKDXEN4YhtrUTraSnZZZ/Y6G0hpzlmQJr8RPUcbPLxngMnEtiYDCVL/QNzLSUEsOJZmQ5cPkz WZYTnnfzRcPVU5xWQTj34OPBRfbLGojIMZ5G26Eojc741y+6waEXtGjwe+L1SNJdyz8ULLJCLDT6 M+25ohhTriEZlKR6t2+swQKwqlIlt+pEbM4OJbI2LUxH9EF+JLAim75oMgYoG+HRG72OwOoPF/b4 +sYWZHJvGhQ6/aFPCMzCdcBpjjKrXZiPRdNKO0ARvoO1PhDhyxfrtp4yHsdzSIPuZFQUcemEOVDs ZzxaWWqVhV5/WUYdVo1Ru/6HvplTGXXtDEEhQDp/9xKZxT/uqzlw+8PEm9iy7igzhlehF1JxItiz QXEWbV3W6YuhF0pDT4l2fwVEXSlqjYKsoFFCZj/o+mWvGmkYCkTVki8MQYCZJSszOh//Z6bIm4aa z/2JQSFMGvAf0+9JP24WyaHPpIwYWh3blL5zbPm3Tbpm+GKZ9IDEjxkiVghvDCHsUdgSItSuYDOB 8vwdf0r1bXE0tsFFJzJ0qnTVgtlzYPonOZCv6XymiwZVXW2LQrB0Zse+inJ6/hM111CqAlfS0C5b GLJVBaE4lzaLpOGLvrJ6Eonz56Iz0NmJAh1DBCGg22oWAuVJFnexd41uelxki+1tLdwjuCEQDwBJ Nz4e3PTz7hETZyBFxhX9zqH7n1p7HJyZcXg1GBW0zhwllO2qD+z/kDGwJT37XbhF+2wFPXaYTTfY ymvsgXKDmLN8q1XqN/BXRGF6KgAVX5gsoqQpaiiuxcf/+Zn9iDe3n4s+9P+bVCWhA/P/YYI4TBIJ l/OycnUSRNX1YyKKjynZFF+8GoPM/ZCSKhsoSpkWpi3gkbBdpmoMSnX6HQGW04AL+tbqdkWb87jy mHNc+XhwjV0BBWIV6MaVLic1hyaAhKDQ+sFmz6taQd9G+XEFtuUHKKYWcoc60WNcrF/WQOPvvOjL OWVJRh4LeqtUtkFq5xeZv2mEX1CntcnnBCcP/6g53V3z/F0Wn4/k+76V40m63OvZoFDGObNn36aP DQ1Q3k2BNlE63uvV2ApQLtmsdrR4vTpXbxh7QeMaPEZp1BGaDlg1ufDza/AcWAFKBg9kBPG9syvb nNerAviMsfl4hDL7lhqjBEBe96YPzABlsHRCc3ZVpMbPCTMNmjOyGkuu3pL/cAOUwAQj0HL5cojb tN4KxVqYSyNhxj2W5LCEh/LFk9dlr/pnKr0001jJUWaAS5Mb12bl49EBKl+pkGB47eaKoSFg1dw5 m83mot0yc8WevVxlk1gbFIOlifxpTJS5OKsFzkpEpUJ+/XyrlJCvIC4CO/0zn2ps0Hwgh66ATVQE 5uValEp3iK8zlUZHMbQFXPtXx1Xn8PnCwmQaQcP8lSgiZQChc4ddnGJ1x8Qc+AJeLb//wlDhKl+o z3TNw6CYLTMMpYD+Vj1vGCoyMXNgDJjl73aSxFF90SV2gpcaXuePzceDcnX9MrGFO0+DyJXvUChZ x8Fqo/TPNvEJ4e05yGG9CtFu0X4Cc0D0RHl/LW3UWpdwCB2kSlsFZNNzZEqxzk4A0+b1dSfPNPnN gT2gVGcw7pl3URA6KYoymxOO+Pi/mvYMQqhUxzWI/rxmQS1T8Ljs4ig8apNIO2AbH/PkQM7HfM2B GsBBoFfCUskXg0/Vc5h6kerErCcfHaYxAH4TuiBokU5DcSJLfE2BGmgT4tNTIomL5dF7dCM54eGX nWnQRxBHIwmgiD8y+8h0eHrNf7AxcOBJhf4UzuLN6ka7//Fe9CBA/hNsjBluDnquc7Grq03IbcmI ahCVytBccIWD2wGAom2MQchfN+bkhk1+ObR346JOKyz0pLCBTuvrxtw+Kz0heMsVnJlmO/Oa97Qs fukevKzKN8tnp9uG7hzFd/yQ4Mjg3gdVbvliaXM79XVKxvEZcLFQeVb5rXNieDXk8af/vCY9DYhC RuQhVa3hqlrn2xWZup487fOa8bQLi7YjU7sb2HJjXvOdJpkyaJO4K7TgLoRJVZfHzB6NX7OdBqkT ulawz6bFNmZ38BypNHEq+BMuDQOE4hToBGgJuBgbx1+vyU4DQY9HRojxE3ERe/Ex4dOlCDjS8c9r rsN/pH9MMso5Z3X6iXlNdPDM6ldsTP7HSm9OXJviNZ9/13KD1uDEDNl5ULtai19S0LnurCQQYqY8 oycRI+gz4a4wxwqKE3xekxwEGRHhp7TqpjkInawDIgQIMv1kya8pDh+eti/X6QQcNG3Ma4KDDxA6 m3QoMaMTkp0mPfYUhxdSN52Y1/SmiayH0pPlARfD0FfoKg2CV9si9NDAq0SEwpvKb+8TLeitFGzM ooxCbuqHNTOunSePE1S2nO3/vKY2vGFu9GUaU9XXtJ15zWwQswEgohSV7OfXyBZk2IzgkaFMG308 op1BppuzvqV8vyXU4OdrIqcEMgFwg2ErSEnciZvzjikAbsdbBp9S5o4safGu6dE3nTzDxjj585rS MEDdL4lgUbmAYqu5M68ZTbOGw842UceNraS4r5mWKyhM68C/5jMMv/yKQMaxxcNvb4lGtfiYoOGh KfKUH97+2VfUkPybz2sy02RPiRRW4t+7XZhhb8LAo7X8msrw7ep39AV0FpFJ+/LaFcS3xNnWtlEx pivLoODU0lGQodUmlNeC6MukMFPY2BY7MZAgnVR1OFtUYNJEyW86WUx2MO/HlOlu83ltCWJndlaJ 0HxRuwvjDBF2IIUZ7EyXZB+yX7+XyBLnzrw2A3FKE/USxrZOV3Mlm0wfT/pjE65C5YQwleGWFPn4 FvfxBUuy5V1pQwE4YEuSpMP1UlhXE5lmLmUsM18bgdh83UhZ/fbs/XYkAWx0nnwAD//rXhK0GaCi eZO8KP1F8Q2nqYt5ugwLSkybsJg3AaP8FwNV2G9pi8bjUbbGQgLDZAatVzknQsbvFJhnL/qlUuap YcR7AnwlN+ALa4tmWSSK89Q0Ph18ULN8fVAYWbBa0N5EGXBKFAalojV6yIRSyHN+XZ4pjoNAzeG5 KbTf6nexygkmL1lonhMlUeQIjoG8Wy7MZAk2dQBFgovCcFEqs5ovqg4wbWWXHWH4neCFOCw9Etsc FowkUGt33rNgsQYqyVIWbswA+twtnGhVhpvicJ5gd9QJTtTo1eIzCJh1TmZ7cLcrY5v/wgatYlC0 wJMabU6UB3dWCIVUaS36qmpnZXE2B72t90T4HILxOxE+UU3u6tycKBOuFDMs0xm4lHbi5vTiRwcU JhujB8EYI3fc2+kudnTWymsVOWrOjtaNMJnoHWMOihThus5PvWhQPinWSJMkOyVh7Gbg6XoSuc87 sQsxhwI23BwcfwoLsteq3XlPhxOFic9/zYzbcFM5TmXNYnXClZd9Z3Vhd0BbyeBE+qKATImHBQM6 Hp3RSJ3Mmj4DJpcpAaDvaqolEQWdoQ9qbF9sgN66QDgLA/QoIxbd6lYKBO3TmU67E+XERCBTrdUE AJYJ9lkBgd2Z01E870kxYHKsL2vJvtiHlcs5MFvjz/M2EG5hZoOWBHDM4zH/E9XkPSmGUMHiB4XR hxb8ho4SL8k4oNXPO52r4QeM74iM67eREqjNCdNiVlJi3dCBYRTriKZ+Cwa0dDjXi/JijvUyWqG+ aHNWgXtH2xzrQc7ZZG4GqYsQdOm3XzOZ5LxTufDvUyPU2qIuhDlPWjg4cTgZ9/68M7lwdFp/PizU DKRR3JgcpcbQy6+52G1eIZ3it3n1sAPevo5OlBub8bzBwJZjU1AH1t0GAcxEOlUjUaAiBfZ1fNsM LL3o++7wMzjhTWdn2tmBPdOW+swJzZ93GpfFD9sd5jVkw2SvqN5ZXDg7dPJlEUf4oDpaGe2JvNyl 7Ha0ogwZJKdC4WpfLNcZkP+HIBQpJg2JD84OXHvZPYdWhCXI5GB/3jlcTOUYjQ3EPKw3dE7O1gUJ r9fPO4ULuc7+9WWx31zu0YlyZMq87za6Gc8PVgp0RgR0lXroaM1IlyVOkvVF6Tqffp1DTGGMvTjL a8iYlwj/RHgDWo3up8uyzKkX/WtzgBnzRYXV+QVFgwYMg6MsWahQ7IWIFrRW9wvrnb3VRG+Df28z 4LuGC5ujcgdWAtitjl+YJU/tSl2+WJe4EZMsaslM4Bho0Fn1AarlZ0ZcgGd/3slbTKd4ZKpu9WoV xNjDE8FZ8uedu9XkTvicHEj5FkCEbHOiNJkAMrQtLdeRCDpynbpuIpgMU/nO3GoXRiDlYS4G1wEB ORH5xlxnNjmOQtT8pP6nvKWlkurOUfSiUQ89M8nR17VsTkiaPzcKP/vzztvihdW+QzLlrSiipN2J msX4ekBsyy6Gee3Da1Eggh66mTC807asM1co2OOLheSNWfIgr611jMbV4oLQeAXxmOI1zj6mksY7 betJdkgE0WLjlyVFsBPt2+edtcU8+TvqoPeQkabb7oR58pIQS/JWOuAi3B2ObjhkGJwAd/6UYHc4 cKYOhi0Wdc5NDtHH7p1R/jcgtr/golaRrDgGpRNC8E7Zwq/YpoCwfeHmNDgiSOoo5c87Y+tXba6n cCOsO2aI0mTQyNCnnA6Ey+6tXu9oKrnO0Ttf62kbs1GjxYuIU01lii6h7Z2JhIAeG8AkuOQgPuS3 ebMXjfoW7AJSKEcLPywYyOoyPjfv552thQt1ze+Tw7ZQ9cv8nazFkIwm7jJPMlfDpC/OyJ7qVFPP eOdqPSG5ruaLtbugXd6SQKYQPN26ryjg386BhOnrdYFeetEg1clNkIG7sKmez0epy3zP9XlnavFo 35AsQ1ZSoe7uvKfJ6DnLet5aF7s0OzqZ6CArzq2t807UYuWM4iGTBqLFpncV+EzkxrSoOJc7e7gY oEAk+JzL9ljcMdV5J2rhjEvtuynV8THYSQTLkrD1qU8+7zytJg/5r84F4s1qd3OiLHlSgneP7eXn SJ4l5+QAU+fZvLO08HdlDZEhdmqLgg54RxvEaW4OpDC3Sfxg5ov4WepTYW29aLQ5Gt0JezIM4zvB nOpq62Bz3rNkoJO9hqDSGKN78erznaWF72rP6/o37hlibV41zVtJPsenwHonaTXz/4M8z/TFavNT OI9EONX5uCbm1xIeITKLJetVxqLcwuedpcXew9Y1Pn1h+YnCeV3dp3eSFp6e6ysiE0hBExltTpQk 865IK3cLOml3DzqrWdCR4TpOznuSbAU+1OCTL15fAW+eqtlNTUBPsDmdum8nOneqzSoiIx37vPOz eHKY6nQhKrpfPOlUrRQSORnP+rzTs9ja619JMhQO9/a9eSdnIT+Sln7aRowow/z/Mo1DWZkTHYOD 887NasZ9PFfIyr54Uwe1obkcT6iLiyRJ8jRpJvNaVAAQyxeN5uL8EghF18K9OWFMPvf1VGufd2pW k/nKV1PnHIB9P6p3Ypb1yYATWAYEXGbHCnmM63DnRr7vvCz8apacBYNiW7Q353YdkIaTuAGEPipP FoH0gBmQ/nNtuN45WdwYec7zBpbeEcLNcI5c7Xq7KBb7xqhlzOp83a2J8mNZ4qjvN2nG4LaReW47 NtXxN++ELMYbTjjt9PRrUgZ03O4UBTg/BX9ZsvYp3J8bmrlfDm74mD/vfCx2D4Qa0Kiz+ajz/NAu ze+zft7pWL+C8XVwK883FaXHBOIBBuIQ7TUtA+zd4w1xkdyc9/TY/IIyyTC22E11khgMw7v0dtvs Kr7wGWTGAHS6TAq02osGtUPX/S0UDrpd/DetDOIS/hgnPS6fdy5Wu+g/Cziuu+q7E+XHG2fR3FgB WKQtBvHHy7Svzu5Ma7K/M7Hwl2FNlavu8Xrv8d4JY5QixsqIQEwS2wmg62Qkj90oqrHPOwmLgyuG YpPAzyYHPZHDSxesdL5dlBz7PcX0D0ZFt+Z8Z2A5tojYfUtwUnZUZL4MmuUbE3WQky5v8oi1WLti ASdAeuI5NnUm0UN4kApKMdzMPrciT/+df4XzrYEVPcK6eeCwqlpqWEPr+PNOv8LfP3nh0Fhm4H9K NE3bEyXH9IjaO+XbB+xOUENhaEIYS0XnOwGLdVUScmv7Yg323mAK3zS5SqeOFQkyZfLn1g+CqRP4 UMd93vlX7YKOp3rI03vIDYZNDDknNnze6VfMAb7HD5hAZUpMaXOi5LhebpEGwlRAIbqibxdBh9aW MFxxC5mbkwWvEKN7Uhm1tYnMj9f4OLlBYwu5ICUSm3xfWkgnvfGdfIW+k/yCFscQeZkOz4Apdlcl WevnnXv1KwHcbALBDHY7XOmdeYXbijJOO3tdlYpLD9NYmePy2Wwk/E68amZVe+opVp1cHJZTJiif DMjnqKDRwJIcvVkwKfq4LWSaOn/eeVdPM2cTysVFd/k5clVRp/XPO+2Ko51bkosdUb/BXO+kqyYx X5CV/C4vuVntIHb1RnpcTOTqnXOFVxeKds/ti93lMLKuW3EHPdKxhXND2QC8wyAzVkcHTZXPO+MK f0bBaO3WmlZYwU4pmerw2p93whVf0NE56vqh1KNynzCAYYK8VYnfsIPneF0xV+KXBTINKWnvdCsf zuQ0U/XF+qTpBFMZ0GDad+KRELUQmam4Bb/aOZ1A7He6FVGx+1dUVs5yAqsRuc//bn7e2VbtOo56 8UCD7IscfWdb4bKpFENdw8YPEAfh5sxMuNsgH+4ETiIkowxZc4fMnrkWOzpn19uS/Fc9+XrPcg+n 40I6nwr/g0F0EFo/71yri0NJuxVfNNc7SdpSTO7j8061YtNgfCc6lO+5OfI70Qonhx7QvTtPhkMA cs6rycRDxdRKq3eeFSeS5A8tVdzraQQCDAjQlmLyCfRsxQ8gzgrm5ZgdGuKYvJzPO8+KowBdWLKb mpaGo18kiRCQ2z/vLCvD23wNrlL6Rta+p8hyRnsijdQv0EEeSuXYr2DFxL0JU2Th3cqqvthAmIpW xIZB0AH2t2TpUdgD8ObZ72WORitfNLjMObBit9AWu8wr5SjQEiqfd4bVrw6y20bm9RycsIM8yNBL 0+G1Y1jdWaZzzYtf5u/8qjvUOzG1+GKZzjl+gyBvev9BhJO3PhpemdB6/G1Uei46vrzTq1h6snCg ZKkWFVcoAhVG5vy8s6uaKUHcTtdScPeh3ju5CsNycoeq2c6XRZzu//G2K9tuK1YF3JwwS84C54zi i52cUyKWNiS/PKE/VrU5J9M5uefPvlZTBOi886qwM0yRS+FVxYU7AwYYTynKiM87rQpfR785IK8l HOR8ISjvtCo+RqGd0izNWV1pzkkB2cOotFW3Duk7q+qpO+lgr+VG4zZ2EgSlQ7elDKWJODfY6XR9 ETsNNd9JVUzCNdLb1RcbsAzCQSgD+HnnVDFc5e+PCsXrukX5O6OKsB58VDUvA741g1uMrDqLvfW7 OWGGzOoqj3QXm1nNcyvVRE3q85GeFHkSwdORknEakJ6A0/WeQcMic0JeNS+vNu48pdXJwfiH7319 3tlU/wVe6ijJ682PW5gfbzYsWre9cWvN802l7kjb6XsTg5CtCTh8sSbggprgosrp+XrOERK9CBc9 IwylGxz3Zi8aHBwpCP/HoBwOTVLuBtsfTwcZYP8edgoPWHzu0ML0mINyNhl1jU8HrjejPcAUyujA LeoeJ7UhIGNriwWcXqAAymNycpE+u7C29O8FoByUL291IXTgVwR0h2TObd0XxZxpAxok4Xg6GJSX /VVZQZYfsthOBImyYxBaK8+GQs6wS+vUg9TVITjHMdr9PTuWcCSOyK6+2MnZYN8tihGczTmfusgi stKGy1G6Yvi92osGw04OZXLOdzH8yTKB5dIrno6wth5yupLFE48v4axH2TEggOBpXGj/ztbpklsZ Ol3dGzo9glgMb6onX5LyLAEBaUsIzEYu7NOfa5z0ydGpn+dMcoJte9RDriKEzLZ84Xd1cmNBtk/o X3g6uMhvQOabnct03m5XD7NjflYcFfzfuDh/dJCbWbkB1mXSwT3qIPdtWMDiiyU5uMZ3Epp0gQVM lspA/4Bmx/M5OZPgnB5lx1MuQOgB2aJZJ2oHQQLnxtMBI+8XiZMI+LKe7yrk5KGS2b0J4AZdJsN1 Ucczm98o/LYSf0pQWAnEDlVmW/RdAdh4SrxGEMFetRPIfQoKbCNqB1Q3V4Ng6kWDRMfgpBT5KNlF PnKZU/O4XBqejviKt2PBo1OVZdnmRLy8UWRusw1+0qdSQElrmmAnusw8OmF+zAQnLVE516Vynj9P b0S3YXMq6eQsHsCphTcMtEi9iewvGszzjP2QuJztpTbyPPeG5DnOvhc8HVxX45tORJbGGJ7n9Cg/ xlNlCTjKTuB2br3ud/iTVLfU7CE5b3ASXMRaLJe1eL6fvTshQog5sHCjyxaGdxXdlLluh33SDbFH QOQpk+Ndki+qrJbTZsrkC/4v3xVdpHlnaXPCHjIu0vO7hnkmqK0DvfKEntz/oepFE4o95B7jkNUm 3d0XnZxzddUTDcgp6i33bhZkkENCuPjBTXannUsvGgVkm8wMX1SSLxRlKsknno5QXeWrsqJkHsG3 2pz3DBnlA9IbQs8lxY0EidI5rLlolwD0OJs5PcqQSXw+//7efbFO14RnCJU44NSW0AVDvpYgGMdX RCPHIjLGdPgV0ebwEt+CEmzD90PKmsQwNs7wdFCTt4vNkTkH+pDVO+w9giFzjLsk+wZ5D9a+xLzl pf/dXCCS6+hECAsrroYYnoNVLmIeagYMqdjNGePcXRTQ25xCUOm+XPEu0KQrfkWEl1RVZX32bsSZ kx4U7g60wPB0cHRug108EBKdLu21h0myoLb5OklkC8mUEtKoHF1S8l571EPWPDjhYrPFOuyQeRuE gJ/doTYJG4E0EQItEPLHd3e6XvQ96pBwfcI2zrYWJcmoAvmnP/kHnv7X2RHEAvhwDBeMFBxlyUw2 UtvOK/JiYogPzoFwdQfWEfWQdZuXWu5ihTnmeq2o9lwwiU/KGM+R2ehp4vq1Likdo0aUJFPM6NRV JftiIXmXYYZRGU8HSXL/JqRRip7kHe1NlCQ3dH2hE0C0ejUnWobkUczGhk6uuK9G2EK2cntNXzwk 13K+JooYtnNuigiwk0g7iCSBonfLq60X/Ys0zX23RdMHZMn6w588cIQt5CfTkZn2/mHr0XYnxCFn ZslZbiwnbi6pcsJ3ntcUwKTJcF0j6iHT3SkTAYmFs5nxQ5954LoKpw8nPMCpgien0wAJgDcfzczz IwZ+QwCXbHKpk8Fxr+6YiSyAOLbzf/B0NHz4znQoQpCu0smIcmQCQU4kcFJR2snn5VShpNQJrbET f0pEKWfRuasWwg+xNwWy9rmSCXxKt9ay54sYRzBfvnjAk8wN/IZoqMeC3LRgkqtuA6stq8OTy+Dp SIfA7/Kiah4ZhSc6I0qRO7tdzDJNJdBByLl6xKFPAyNOlCLTjRaEkOKL1Q/I1ypnKuerOiVR42tN KEyWSnkYyuAZ0LbqTaOYw++JavhnwUnQaPcETBI1gUTD49HR+W4G4oo59ef9rKIkGQqycNOtLtPA DwycokHQ0jKXQ8k0REnyIiIwUV1LiyXJJ/dr5spycuGy6GEIO3Kkg8CNlusCSd0L/Iqg+FRPh7hU LRoI7yonWtSgeDqCoezvy5ykonpxbyOEIQMju4WI7LcMpYgFuliyOERvA6nOiGDIRd2cqq5gdT7a 2fUGwp78wgc806Vkyj/9ENvzSuUQpD1CHQvaZZVV7sIvi4hDKp+clBZPB6nO+nV0OP54vqyoj9zo 2q0SgsyQ3J370LfBUHazTGdEWbLVV5Rfx8LqV/fVOS2ExJwiHRox1B0Z8A8raPVMtMWNeo84iV8R ZDrLkJLTF4Wd85qi3q+18XQ0ufL7iq59mBDmR/0kTJLXvF4ITAhpG8GQfJG2yUWAR5gkj63BQvFF 31WFB82GKQ2hBCc/oP4JlBZ+eHuvfTeHQsT4FUGSLEZIFpQgO0a7nv1nr7OdChpPB5V5+ZXpdA7O 7smJG8nkT08xiSrZvZpccYDGLnvqfpeHjeQshaU+fbFGMk3bqCqLOgI2iQw67IFtjmfWIyGds940 iDq1CxA4feHubJhBsWYCbWaEQItbfQrPQ2KK3+Yz7CQTbNv45bD3tTlvIH9aGeFc7IVjd2aUI0No Cl0oUoS5eLMUHg5FZ6dVFJy8whd3Bt2S9uUwT/3xGQItiuBvqs3rcFkhyNGxIZhaw9PREMJLiKqG 4M+6GjEzSpKRhkjTQhFZWv40gcIgiXQ0SPBoc0KyngAWZWxf7DofffJzElkPxuOZoxREe5Ad0O9x vUQkAfgVf7XZi7RQSr5MxnPPST8nt4Gno6DjJ2dwOI7/WfLlo80oS064TyG1YGGnEOAPBFO1KZ+P aLA9MVuP1zk0p22x63xAKMaQFpCQ7oKhkAyLaxg3pRM9KVs4w14yNcwom2cLOxd9NE5of5B04ulo e77Dzn+gCWaUJmeZZ2SnXBXC4EAqmnvYcI+jO25O3EpmKrj5fXHxRjtGDdRmO/u3FoEx9D8C5QkH Nl/w20ByjV8RRR3W5P+p+LHKVHPwZJcFT0dqiTfqMABPqv16aT6jRNnUkGcz8BsHkFQcJ++SjQvQ Mnidz5Ct19Sx6MMX+7LOtQvaNL+sfvJNqdYvYV4rqtyH54lRCH5F8GWZmbMag/xzKiajV4SogwHW jNLk1n7FZOrlX0DBDHvJixnOdaYhXI65TtvZR5/dWLAzwlpQKToDruSL3VgJLNVN67nadi3STFw1 mc801T6sxJpbLxqE5M5ruNAYgouF5Eal+x+gS/F01PP6TgS7Lj9vtM8IbcF/1t7m3IyWVzY+WjGd ofN9OXNmRr1ko1wtaTCtq8EE/kM6P4A1xKk+U6Lt3KJ1OvrKgMp446Lai/4Zk+XvyEVoi5NOCY+c RsPT/xMw0MgmvjkhWS8JbeEKgUJbQJ+VrGTZZbjfygzl3opBbbcvlgiCrgdaiED+dSQxhOn9he7w unQ06mHhN0Q4HX5VRsDf7m59ko/KIWg9lQSejhBMNyDLmIXki3tdRXALpAAYeDq+i6FOo8/iUjFo 8+vkRFmywZEbpWK4aHNOnlCa3PfOU31UDfcoJ5dl4veQINjymlGWTPfnXqrATM6zHafyr+QV4Pfi 6Qin880QFqS03s0JyXroIay1XEenre6bM7OhJpHcKyD/LfvG4aUt1iud8HVnvwm90tppGHs2h1Ix SJW/xVCKXjTqs/O6giy9LTo6J7huYbVzwdNRGvgLxJRoJumf1YqSZLaB9uoC95+nhtsYJbN3nBJ7 plhgBLfg/BQglOaLtdlnPRdhl242tFxgf4dEB+1DOgSjMW53OUc0K4RbCIU8+/SFATmNlYjugOgK no7Yev5dsfikQMAlCK8oSWYr99wbRrwHCN2wKG1UzwIdGbhCuIUGV1PSDfPK6KDLVxv7r+SkTYGR NR2mh8G8TR0agOBXRG0Lbg6IT7Zwczp0YqSFsiuejiBe97NiU4fQt+nX1Qrlj6swyPvHDYymgUpd gmmiIWy7E+ItJBFjgjGdJUTDdVWhTS3bkFqQMMtOlTa35F19MfZOGtvwK4JWMseVpezuiwBwmMkz ylJoMs6Rf+l9kLL3hOQVUvbQlSOf0TwKOY9gn31n6+pwGM4PK8Qjs5cMQVZfLA2cM9c6xBBZ56/c VGCR0k75rLX9whLbc8XNZDbatzhG24Gl0Kdi1Dhl0MbTQdRp+/vDkrPcVSiNcmTOk/ZY1YZ7jXbD 6Jay/fAl/Nb5UyLGnu5ouhpwsfKqnohDHUJqt+JOoX8jLuMC2ZjWLlSH6gL4FVHLS/glOc5MA6PM cvKnKbB2ynj6X61knH4aYcx7cKIUme2YRVw4Db45tCR/OhuvcVL+SZ9VLGhBJUAmg1psb3JrW/YV 6ZQOGGzhv9ihhIZy6IdzV0cUbL1oxC0XLFDKDdMtnTDvJ660jj7wdATUuZ8VGyrMANu9r6IcGYK+ GMwI0AUkvpuC0dpENOFhaeAKc2SLxcJOTsdOYpjX0exlTyejjWZ9dgCTGcfXRd3SDxO/Itidzp5O schcjTxTWxOJ+iTjDU9HCkzfl3mWZYRPPlfI2WPMmRR2wwdGQyn6qBAbd1G3+qxCRLIplArEn12W AJd2Oid6GyL55MEUKp20ry6qIi6hkW32FSXJhp2con1OTwMX9x9H5xQEePr9s1rpu4CgBVy+BJEV QpI7a6p6JcZLtZPTTfdjkT7CAdYKO8nzPeacVJZA+WZikyMTxjSRMrBrMGlaPb3NzheNIMnpjSAy z99QqNu+Kp4OZuaXWYRNmr/45StKkRfhBHtfhaH0fFXdEh1K9XFvwhRZft5T/ZrpI/PzJWRgbkme OfkO9DOxe50kN4jEIgZ5ojOGXjSa7UmTYGVfNL1qkIfCP24jHkdgi5WevVG7q4wrcLujFHngDuaQ wMQ+cvN21x1BMK5TNTrk67FZkbM0P/JwZlFpEJ6h3yAqRFhiVjZ0wHclZrtfQQu5De8oRaaUZqc5 tS3sBZ5TN1Q5jsoXDNpd97Ky8SQ+6BuQ93uOLDmEU40PRwdK15Z1+fJOaXHh6B1LWjDYjLx8sY4O SBCdqjAoZRcsFfFZkY+DW41EAxvQkCKyQ0RyVYtU+kvd9ZcKOqxM4s4/Fk9HAdnzHHKYsyDxHnR2 iEme6h4nawbuPi8Tdhorrbrhw45zZAEFDMyUvKUDw/aTx0ia4FzcSV/gWkx4Ibm62x0LE3W7oxy5 0mmpZk6xuKj2PN9rsrR34+l/MfYIdsBob1wx9pCxN8nYa9mCzu4ekJtJSEPCddvehGgLUxiSjQq9 epQhn4zm/GzRIBawaoN7QxVoyN7ik3MCDZsWO8qQgeSg2FvxRQivAgFL/ONO2oyn/ylwy5PTWF3d 3QkhydTQKu2yi+wLQ9fC1RTTsutqh5DkMVR0Jl+syX4qwt6aFPFqP1mK1H8Hb62CHPO6VnZ7z4gH a1IfzRcdnDGaYsYpzPF0BGL6HkDoT3QFP3ao+YYIn0R5YESe27AobVVHv23DouwQbNGlaibGXr6M PQCcS6EUFBijJ+8pNj1eP2iWjocDkew1gyxHnfX1LMpyEKsk458Wnv5fNM0qLcmKj4R3hLRIXSPh ZCNh2jOrKB+ubUtHS+THOxR8E/+1Kxz3G47PDzl/4a7B1bkhSqaF3uTdcSr0H4wtUJzQ7bTpRf9k err5jjFtJyQGeaufGFDw9P8C0slIlepFTe44Py6cPlyTg7msn0PZMLurPBrHSAsVD2v5YsjAhrnS lv/OLLVvWQ4nFu/noEMgRien0I0VvyJIAYvRYLsviji1C/4MvWs8HdxV44J0uEus056jEzaRE3WX ukZ45x/SNXQYUq0muUiT886f8lefNC1t0npagSfenA2QkD9ARq2xT8qONxqj615VzEPxG/4S0ilC +5dpgiunuh/DbHIXnw4aFo+QImpytBDm9IbFjhJkEzXb2YlXK99oPC0a15vlREiLKTBk5snhospq 9NlOdUWCSDlpTi+aW3G3IJaMJPnaYzS9aJADUiOGQg+2qJvTivSXcPXh6ahNetWpcMwQ+IB70uZw Gv4eLqiGgj+iel1TipKYlhOhTVkzx6HkFKbInbCtKUW3ecW7Mm4rMRfgZHGuXc0cukAE4wdit54E 8irH7wgojVltLs4+E8c7xJWuWahreD7SPPl8BJ68LR3OSPFtP6O9nELlN3ILabzKj6sYUrBP4GCL lKN9KowfE22R6s56F7uzcGFNUGfoCJZhdoAtAkahUiIbQDQnQ6Rt7/oXPy0TEqJFmfLJtTnYhZUC H48KiVtlFW4RiZ/5blFoJNIIoWzdcuU2hze+kpGwKDIg0foUQpP7K5dmwR+j1CEo8LlwCDGAWCkU VJCnU/tDg5pVs71qpOGgMVZtvugrwxXAdDdzh6J0mXjSJyVswrZcQ4gU9ZQB764AD/s8wpS94HiV PO+p3U16Ymc9Feh0tOJiIRpdnYkRK3YIiZB0/RsqTsBSILTldmDLXzVqnAqbrNQ5Vb/eYbvOb+T8 Cj4eDbO+ZfKI1h/5OUJR0gyHJUyzkkvrLFP2X5Scl1kagpUCUdRYtjL0v++wc4vN2dnkaSc131WG unlJZ+1nULRK7cG27FWDoYT0Y9RE5aJOxmgzSw4Y2v4phF/kmzhbnniOZAOW0/co7C/j+9ggSZDr uLrRI1B2DZrDQroqm8B/ihvMKin6XXzkNwuMUcghPikE7jM2wnhHZKjCPbA4XvT4HREuTuyRfhfd 9KeKk9TiKVT5+Pse1avUjr2qrHCuq5zQQK9xCC2Enaq7V1Kgnyk05b45nICKgsWhKIeeNvbbyRcf ++V6klx+ZedbO1tU2WPeaNviN0B1xNUWx7RXjeQWhz6v5ou+Mhi+M8079y4fjyiPfogUTLM4Gr5B ofBFk9B/dfzXqFZ+QRnC1G9L8zAU9pmVQFc1N6pzASChBO1edcQy5c4ZqHlR8sK9ZmEFKuF61YhM zJ1hexhLNRbJyVJZQp1sovHx4LYfvzihPIfj+oWlMJPe7GysYhvUqjNmS/f6tLggJXEVwcsLPri3 L3bZ91OS1tVk+5Q6aT9oGXJJtAp/5lvTXjW660UleRYNuEat1jNsk48Hn9jVeJACGvLVr4QxBC4T BsZZIwux3dPtN2crxHrevkFhw1kblCXenqfTZhPGf3uQV9Pg/q7Z8YSjSIbHYxsPnAczPf6OoPkj NvHM3Rd9YrlPjdYH88XQZ6R9lxsyfH82KLTjo0gbvQjd29LsjTI1qcRh21ap5sCPD63rpN7G9sWa zuncMxQcBFpjQyiRBQfITRk1PJICA6DKLSIHhnyIslJ6EI5ruoxyHzQ2S9LfzqEj32MwzGQRP2yN dDcoJPlRsU0CTSzqq6jokCe/xSpL+a4fE4yQKd6Z1ei4GMhTgA54Lo9i8/Vds1pT5N9D24IoTxuT bn/VYGbBE5S30ult6fSJcn3JN+78Mj4epNNXZZD0HFRPuzwnKMympyBhLhVC01fZdo9HgSf7BoWC yiZmMLsv2qAKiG+hdTnaq6hdCturAF+irAIq0KGWy181Qq/oBGXTNpBx+wQARCUZuh05cOZTFPiK QUg8URD6BkXt54Ue1+7TBU6rmQ93FrIWpJ1Cm0NvviFxInTMbdEGnQzlRB527EAtOQeHLj6LZH5g 8dq6BdngKDkH5nz4xCqBloIzN6cY11NvEC959rny8eATW5cMKU8tJK31OUKhahwb9Kk6Nqybfjso 2D7aQYfBfC9Dzp+az9YY6g8gFSFb7BUoP7gt8+DHiNo+k0HhDgnN3jUSxuANbzpgI5mC8BhrCXa5 kfGHFn3lMrcIeUGD8xEQzoFFHzptrDL2lbla+bbMrtUuM6GuH/Mnhz+N5ovOUCtgBRcNTkHylqvP NEvZ/LPKI/+Qir1qFIVUskr0vlpzZSCxEAf//G/5eDTh+WWceh7Z69mfkPfHuXId3eDeuvGpO2hC jYtW63aCYkgzwSy93cVOUIIfy+xmuTsXp6vniKGzT6gp5Ft8g0ikyKFRnzKh5FrLNtlf7VS+Q9lf 2Xw8CNP1CnQzDIF4QvSXbVGUTFOpikay2XrSrstDHx7j1SbfosiFxHjqUwTSecfLUKE+93wVN3JP oHdxhMCcKKhskLLrpi/80vk7oiPEsyMjGy7qepxMkaOe82P0eKQh8i11wKbCvPPlHLj1OXc0mUYa ymx3epxzGEJ1ENTCLzzWyWAxL/HK9IhXFiidgiIgRbDzTyB8YaKAKSC/oX71xpmMMEO/vq5qY4k7 sKwmhnFCl0bKOaF8/F/Vhtj76OTgT+Q7FAOccXCmwS9xhHzmQ8cY8gZ2vV9ZDN/QlFm+hqt6skhB s2EkyXqyavqzJOqA0+IbeaQnizJvDk37inhKg0BVaWX/2LRQQaTXwsejcsORqpRIU/f1MmwVvl// MNKxNOHySuqDuYUWB/JyDsgdKiEX0Mzie/fFPrKTObfWiu3QlsjK+V+ycD2/q/Wn91rsTf8abqgx jcVgY+tE3CQBRhyhEsvKze9cCI1f4vNsf0Jduc3Qk0SQxNi5mmRGoRQEsFFkRPAbC737hvZnSR1s uToYXLRGFdv35EKoZIVxoT8J7kckbdYTWs1fNQpCBLkQWa9Fzelq/PVT1kw+HhFt7wmyeyyzAWYb FKpmcIM4jxEoc4hfO8hWyuItpeEbFGbTAhzSCUqLX2TYXoANqRCWqy76IS7ZidDIENVYLBTR5+/4 C+eSd0q+cINOemhU21PY8PEomx6/kkWM4dq6yWLg4AevIOpD1Gos9rLsqhcqXSz2VX2HQsAz24rU HLfFdghBFOxkCnl0+D6yKURZCpSgALx6975Ue9VgLs+2IucLXFxahPhpfmNZr/gvFgpTIozV53qO UOhSgnskC7kKl5JkCF807+sdr3pJH9j4XQfIKaFqEi6tKYSEoXS1Fc/fgWjnE0FQHSF4117u9JlS YTn28ZNibHkWRenzRW85QKbMx/8pM5f8pk/9Nl5DKz9M+MD1T5fr733FntyuhJmMdijGdXBrquxV a73z+XO3rDqlGgvtqES/4EXHSsyc5QHUvsN0mE1nMUu1Q/nuUM1VdiN56fHgCF26P9MVZNIzP99Y lE435GspFV30MMLqTtYBQo5ic8TQ6BoL1eYICqKgvy23ZJ3NlWM7MKzieW1q4WVkMi7eQwMi/ooA wGBHZy1ftD975CFvrTX4eJBMp19Er0py6S03QkM//Fb3Sec1f+Wq13B8B+2xdIBi0xKG562Uel8c 65zwfeRuwNx2ZRWsNFWkoXy9liU0HuKvCPZHJlJTohHT4XYJXQj8Z8ja8fEI5OvjscG/3M/Oz+5E ifQgm4nDSmEYumMY2EVnAGIzj8VqYOln00NsS/NFp6esMUAU5B2W5qliFalwF2OjSIObX7PD0NGv yqykCiRezTRw4PTo0zhxj48H4WdePYQqRRt0XPoToaM8OpFi2rJX89Varbjk248LevtcI7D1c0Xv lGf1xTao9HkuGMrkt3ZOT9kaQIMQCDwqvsQ6bQDd7VWD8yOnVYOKu9PtKcUWPT1+2rkD+HjUEVpP hEaOCKGcfruuobcfsqdq4iKZojXpossq5dbwgRn7IsfmfnZ59btYhIbnNaKvXCHTbuJ8MTaCSoYc 2lCJhELn0NwvmwH2uIvOUEuTk9GTrE0+HuXR3/0gGCd8idXk0N9PEIbZhvU7VJMtSqUWC9Bp3v2J 8mj6PeY85GIy3D395MsLqov4+MHAaLsJ3ineDN067g3GiTJ/RzCgF4ebFZUW6weR/sLaofPxSAjq 248MbsIZQxXfoFijmRNVU4PHUXIuLityIfBcMz+HNn/d2Nlj+eJzjd5PDCU+seFOH4QUdZrfANiA G9OBVOoHhT5/M6kEW9MX/o6EySELjVNe8/F/bZDLq+JFnh0KeYPUaWY1rDvM+JUjE+kmEYDud9gf Xn9dV1PzRcPV1RbGYTJeHfDb4vS5kwHCYo+DjSpXsm6vGqSJSwYbNoS2zxik3y1z0Zr1itE39jhv ZTTLQAJ7NijMo8GMZT9D3xgHeIxB2eztpigo+sZisWblNuKsSNSBtTyI76xdEYPOfUbaVxpZFLD2 w2Gy05zoTJYDzz90dMT+kgqmiwdNxDI2BE9tN/j4v8iVVHmuNI67MKHA9u/EPvzrt3YDw2AIGtLX TgQ7avuMW4qFvn+g/lACYfvipRggTzx6cPHaZWuHSuIfZmp4KLm1aWE64hAOE/tO2RduEDof06i5 mY//qyvNkwev8tsMCm3/iFE2s2cSwdqNQUPMHhRi3i4LfP8yBVAo0ncXO0AVMmtV7FOcpSaQUEMo 7kA1jEc1S+2y0PhPt9h/4szODVZLkekLY1CIks6XtIKckgIO89mgWLaZJV73EFQufCFl75bRalcb FOM7mEYP5UHjyYNgLLoWdYBhq7QqkcCLBpzALdK9wxH2y141+MBEzM3quGbruALERn4N6ozOx6NU MT9BOovbjQ6E71AM8CDgFSmgOq6cEzYzq63nC1t0ViLRMsf+f8saZcUX2yEghFoZAuIVNF+JoaKY KiFC7Wo3M3Lxd0RAPIofFQJeuegInXpOCrwF0+fQAXD8PkLETBKWZTsUAqaJxMMNUallwy9cpep5 pjFKQ0CgKgbFqhuSM8x30UeGWH9SQOrMNkDlZnmuMYLWALj3XHEXe9coCLERlMSb8/HbhLs6rwVY GfLx4Kb/rU4HdCDkQWyHQhtA5DDotDpIiP84oaiGz5+T0wpz4ANoKgwZWG9fDMGwOvKN7TCzXfrF WW1ax9xiFZJRetO/CKmFLGQtOkKl2RGqa/Hxf35kP2LO7XYPUGgECHPF82ExU0RZtgxu1jfkeKxd RnZY14+JmkGcy1dZl9UbhMYpmpAjJ5E1dqGwy7mOEX8wHWW7TMUYBOv0OwIo5xL0xSxPsvKgU/92 Ub1PeV34eHCJtZsp8hszsuPdoSiXrrzHmkmugbQr1lxXQmycBM8UAztAWGeZwOH0xRqKoKAWtJPA 9YEqsgGrz68Ff46JnV9j2940wi9wtKrxWIIwL/dlLqiODzY+yuLzkQTHo6MAJU0IsV02fA4sAdFH IBlhD58c2gzxROmWrBrLt10WewIOSVjn5os2CLCgeq4yblCCLU9p6rgWUL7zT3s6Hqo1Ak/Axxta bjm5OVPs3LiSKBxj8/F/KWopqSTf6DlAoaRzIhhkJwO60guLQ40yigPut2fSf9gCshiTzygXi9Gj LcgwN03FxpqU5aWdRaFdHigEBievy141uOeJln7pSGfJptc2Kx8PDtBvTWei8K5GZg6dAascGkwy ABpSzkgo1WnxWe4W+jHRYJUZdBZ3I/enWh1zbsb4dO7Lk9ShE3QqYcjroyczn1qMPHz+jr94P5kI Ry1KpE8huc2qq/Lx9xi9rhoHNdlIBv66w8JUGnM2QngMx2lV2aCtuJ8gRwgFBoGZaheIPWn7YiCz CiCN+kHnD3zqUR61SXpOzU3lvGGokr9qpHstnblcfOEJ2tgspnkn2PPxqOPavj4x2vS0np4jFMvW seGBlg0zxUGSWMXUB81h2ZLSCrnrx7zvULVWkG6zcVnOGaZInc4caLwB2MGzRpV5lGyIgT56pttv DnwCfeqTl26zZV2nMYHyE05xbT4e4RR9hyToi9HYldz/vGZBHRWyLGKao/CoQTHRiFrbW/bDeq6v OVA/p5ehuWT2E7n4Jb+wWWxznvOTclJLvxHIDXAO+lvTUJxIEl9ToA5pNv7RO71buaiQn0OfWmsL D7/sTAcUUzuTZZ6DPzLB23Tufs1/ulxJUaUu884hDFTd+u4jeejt6+AEG2PT1KYcsd2B/BrnSh3W J2sT2vtEbyYEM+hF0TBWG2MI8teNOdkDk+YiW6HitkJjwHHGyouTO79uDGx3qm2MkAlIpAcb9diZ 17ynQ9fZp2BoZZw9ouo1xKM2Kc6o31mgYWdesx4cmaGzQmnekr2DeILyKHWZ4MRJEGEyoyY1oyEb HVdLHpH885r0dJvRdBkRadGRSZRPpE1Q+7xmPP1BRWcjHqgHaRvzmu90KZWdg7K2Nzayd59l0UBV rWSNjddspyOkMx3c1J/lYhuDyd027tOqk1MAYFzAAAf+CobursfG6ddrstPRnhJ/lwyxAhQ9exmI M50Z8Iln+fOa6/R8mWHaGTDI1vQT85ro4BkkMZv2ypp8mebEOLmsT756t67za5qDPwkx4qUyXeZi IRiRtUl0tk1cRLzFt9Q0T4GE+GPOFSC14DWDIMOhcjblqGUtybPxgFojyPSTJb+mOHjYXD3w/7AN aKdS2pU785rh4AvcUm9xz8Ryvd3mloYfviVLAV/zm455P0sH9rm03BK01cKXgL5fH1nYQ9gxgguL XqJdTiXRw/Y1u0EwNK53L77wW6pAMjA3OaXW5zW36Y/fSebFJPuDnW1jXjMbxGwAZBLFQq7GtZkI 0Yf+HBloipgpQ7QxupCkjsnF7qUFUwBSEk9+A6V0E1ogfwZt13qbFyPRdiD6lrLxvIsvKhzOr9Oo tMFfKdiYcu8l+ZZRTq95lHnNaBB+8V/bvRavGpKwdJ0ShxZlptHjXvMZhF+aDZRBCEIZF4Kwluy4 mj6m85+kvdETi4v6Qz8GZcTo03xekxls/VLPoi1fVJPvKg4D5gaf11SmSxnkK/oSSNz9U3rtCXbJ zOIycsEWhEphwSs78TgxMFZiw+K1I2ghAPcRDWDKNYDZA5i5PDlc7x36l/yU6LhZMMnK5X5LxGTi VwQ7IyHVIYLuMNodJHBly1CohhnsjAsEZFWauJd28VTmtRfYxe84RWkXQ+ecmGZz9Txvo2I6X/C1 E4jfLCrTpvEUl8T4f77HBpQbWuQJ+pF8IWwNmsZyFErr2pWxznxtBGL32QgsQwK8w7leE+4U5o2D h/95MXECj59FJUGleVECPAg6KMOl1zgYYgTuRRu2ZPQkKly0OxRsKcTSa7HLCSKMhLAo0hAqAJ0f NGaRFfTLpcyz2YsG52aQhCJpEi7cnFO8DFlw7Mang09qeqrHP9s5ETv7tf1O8upyYTihJtnBMSlM 7E1j0wItUpDFDNgcJsHMaJYE2NY11wT/5Pw70JiGZsLas0pbDGWTHJlJE2zqAYoFF8VhtUg3fe64 KNlj756xNQP5HQVim4Rm9rfIl4FOoe1OlAcnVggjOVzFNYlH5j2AzyoX2Xrwp7zvzlYkZnNNi3an Uaq4KxFuZ5sxfkYIRl8WVRoAYZ7WaHOiTLhTLaHKPogLN2dMagJic9Ddek+FQRtYv0IODMFIc9fo LIzGlJAoybrrPTuWh6ZOPDpDMiWYPwThuHMyUyZ5plzs6LAxkYVGnaDKLlMMoCL6+Y4BlXMD+qkX ff+siLc9VzdPTvUPBOE+Ca57MrnPO7ULQWd60MFVDtRA4eRRm/OeD6ujCfJks6yPupKCqVihAMdi Z7i/J8TZ+qIgVvliERlN0gxLN9ZQfTQio1E9DeHkMiUA9F1Ne9Mg6FjfT3rxZV2CO32TkeZigB7l xC5sbfVlZrVHYIb2J8qKMfaBhceV029OW6p3QozQrgH6e1qM+TkPD7nXWhwo16HAO9kX7R3u6oL0 4nYHAG88PoAinERpcSLGuzLB1ZJYPhVItTBzafXzTunq+QrTZUJ4YN/z01hVa3PCzBiDETNExOYo POPwlOkKvPTq5eZEqXGn6lqhtqYWqxmAVRn47lhmngBDlTZyDzP0ugqKB3UmCBz7vBO68O+jiVde UhhbrjC2Ifgqm/W8P+98Lpydub52hzK+tF3U5kTJMd3gIZJrtzkapO4g6dII6xLeouy4D5n5irY9 rq0SBkm7mB005TWI+YLdOoj6HQo0j9fA0ou+b86g7kjd1FPloopq1SWI/8nQP+9Urq7R/lflwC5V qjfXeU+RwRGgQiggFYIPmjr6qX+yi9NhGKueVpQji9ROHRlbLNdZO+VsmugYnytASV+Tfjr1GgcR 6fF5J3J186pDoXkXqzfrTLqFIM4SJclr+4fF8ShNEjm00u5EaTIj7nZmCUhKw5iAnaB3NNP7FWYJ 82R5+kpcg4vnyee8tJql7rPaiZ+Up9ssypHcoQPqwixz6k2j7eGdpTYqFxVXcMbFf6obA+EoUc67 fVVXnOG35GfnncLFTgX7EwZqAtPEoaf06NEwr1sj/Z3B1d0IkLZqtli2A0zdqHL1HUB7ycVjoVsu Rd55HbmQQHzeGVyMj0qQ1fcb3vdL5z8lsSRL/rwTuJgOlK/NQXvqfNjFNydKlAtNfWdtnu2YX+JJ /VvxCmsZl/2dvuWVfc6deiNctDn4qlpdAi6PUTroGCAA4qgBuNxpraTacxS9aHBjCXW6ZcWwLRdH yr0Zn+ts8/NO3vp1Y2Vv/hFMbrsTJcpIqL1hLE1MtxJfU6FoUUyUN9Y7d4vFCLdlz+6LHR1oTleJ 94E0kcD5x5AK4AH012iu5CRkimm8c7dYY/FCT+T7c9EIZrDxCjhTbZ936hY317dHWwEIP3WitD1h qrxIYs+KNg+HC3I+27ltiLn8sqJUmZ7R5/XIHq2uUYDWJUW9e12ykTwhSFrPHDnCjqoT7tXle7z1 plF9PjV+Wb5o2HDOj/FrU/6887b6Y+ShTwvXJaHVtjtRrgxrHDRz3ICUCBvicamMjwFVVpsQn1bU PBYWrhCZqcUmnKOfnaEA5cnCgRynVdlmcxzjMwrB2I1OZuQ7aYt/ffZIgY6xRenOOZ3ymjgn9fPO 2cKluv1GFz2LiMPuyeA7ZYtl1oZByJWCas0ZN21bmSX5Lu5O1ECmqiF4gnfxMqvDJpEYpjZ7bqYQ yUExbJwaJv5uCr30okG+U+UBqKFDtq7XgmwixbtPRbs+73wtT7S5FxqP48MqN+68Z8pSCQS81C2z 664O5a7OJ+G4i5vznilna3yxp2CLpTsZ/e8iy+yG6Uw3wt8iNexntcfsjunOO1uru3hG4RhZi6Jy n0NeE6fc+byTtboc5Z/vCnCbr/HmO1erXyrJuGVEqw4dcC1ekGdsc94zZajoobKsHF9q8Rr0nJXz QdHQDT4/kMfidAJ5L49NqU+VtfWm0e4QEVEFragGrVgJ15f1zffnnarVZefyHB2iYegHqN2JmskQ 3cQAz53oq8llDLmIAjaQkZLoynrPlNP/k2B8mWoOznW9a+tqCGTJgBVljWoKUUhVBcO4fXbOrN7J WhzgUzFe2jQ37mOiYTQiyD+9c7VYL90bfUnqB3Ev3YQnypVxRgDL7BZ3SikWd/LIVmeBjKPT854r ZytCq1g01YfXJ94U9NqTeEjnzzxo1AQnZ/wjzwFAQu5R2V80ODyqs4St4KLtOaeTk6vzW9bnnafF gql9xx32m26q/M7SwuHhfK2w94XBZx3uIEQEgDxytrkBvpO0ukNvy1aFvvtjfHwOZwVZVD3l0Rvj dqb7OXHh8zpWQECDb/rXjLySX6WFu1PAiRNNdOTPO0nrdxWKNzvJ5C53Rh6lyvRJp0SoQIGbXTCq O1b9J1glWvvinaHFOgLRpBFupMU2Z0LKgkefMteV7aZzuJB94/gUMoGuK9c7PaubjcspH9LyRT3T 8zO2qdHw9aKQ/D0kLwTA3LbXOzkL52bkX861mufh3CQzbF2Ybuujeudm3aBTMfSyxUZY0Elek6J8 KCYaIGuoMxiRUcx/GbqhMPi8U7PwWaihnE3ruqpnPaBMz1FEPbv7eWdmMSJ7V5BjEoL+662x3olZ CBaZUsrNQ04yQ8mzI91tYKD6pN15T5PxVSlNpnE2F7+wOqgQrRt1bWzoJiPm4ApD4wRNfZcFpQTE Oy+ru6MbPyEsyCCrJTtyOThpcvm887J+tS/kQcrZe/YL/Z2XhT/KImd2SA4dwyWnF1NWwwDbfnqi pjJJIOcmn3ex/WkbAk/kvUJw7nwTJE8U0HCg3vM4kAKL93mnZD0d5UqwNheV5+A+s8Qqna/3rzSZ Ief8iZgdaGfek2Rh0JEHOi5HZ4i6Bs3VLrerXb7Tsax0Rr+L4jPFPQGg0j8rzPqmlDFOrcX6c1Mk DCUkbmifYpG2/87GwhHnhKZMxZ5pSm0DQuvyVDhl3OedjNUf0r63LujT6JnOOxuLd7D6OtuL89Gt J8i8n8cGGAhWn+9krO4ukkX3NBcrzmcnXpSbA18b7iLkltG6OMU5ClAn8yGb+7xzsXy+20sS0i1Z sjChxSou1jn8n3cqFvOA+rU5lSys7lOsdyYW0pyxrTQ3i03zAkScm05VmwZAfidi3aZXXrKeUno/ aZdNOYAhFGBJbXDEN+marat5X5IItTE/70QsQvmU59Tqix0dQOdYUNb6eedhGQr0yXPolEolAu1O mCTT64Rup6yv5JLN0nx7Eti2jbHeSVjdRCNyZQNIi31XfSZ6iMt1ALwHqj3AQaCgQdrHbScvf9E/ 2zpN2U6z1tGJZmilMea0/nmnYOG7+jUdxreQ90WjvBOwbEtrzsMvcxCydHS6WcIsmSZxc6Ju8lTV OYVHmRePsir8C6dtzjyVVma/lFB3gKsHSbI6OciwPu/sK/wV2bAAfcIXNXVO+UYE3An0+/NOvuoS l/zKdBC5iBITHjDKkNnA3Ax3gpCasFOHdjD/0+LEiey0d+oV73J1kUf1xZkzm2bHwpACHzhJcERI rGgL8m+jq5xyDZ936hU/K6FHk5H4JFUERb8kObCTWX7emVdEWvbv8oF+2Rd18c68IpKw0cet2Ryi UOHqbM7JYfExAeAFF1XOId6JV3bPFjisL18sDawQbTRM/4BcbxW0i4K+57jShsHQOmidfN55V/ez KkUk2WJtIyiHy/eknlT780676sbaurDAyrC+Pea8k65wWid1HfZ1kTSX7PMPsXznbE61XvI75wo/ pRqOf/nihIeTN9GiFptzPgdSmE5hhYIEIR+8dEMfD4SRzzvn6kGklL59Udfi5AfEIkGT9vPOuGKO /Btlm75Rtu8ZMjCTmzivZqUVrfbQSObGSu1zesh5p1t1NxAqoluVS7faA/SPCvYoE2TY9ZG6X3EK II43KXAlQzekcp93uhURocJcrLsYWWYSjoC+UPm8s636w0azqxwpzrrF1TvZigkAo3B3pK1AgZSx bCJg4a9cbXPCPjJjTUXaaos1A88+Tbq35YS8ZnTJEpPMUdlP2V55Ltq/vFOtHtx6UsM0GWcAvYOU FUbm/Lwzrdii/24GLoav7B2Ld6IV20DT9Ad1cvKyojwXK9RhPuubE2bIyv72uIthCiDzzMyPmhfj VMcELJ+sFtOtn32NpwjVeedYcWcItl1qdblA5oCQGDnDpzYfn3eKFYvW+RVvKOorN2juTIy24Oxq OHaS8zXWDsWAX/Bzs9rhnWB1b6paORvmop3Z6OZARU7CjCc3kCYYcWyQFaV3hL6pTnvNd35VNxXu k9yUu2gmvNqQ4csufDqaCf/6pgYNzH1i/k6u6tI0BsbFcf3DZOK80cUGezaQ1zu3qrteUyVjXYs3 upDO5CbBuIbZu9C5lRbIieJJN+B0vWjQsGAb5/yM5otg2pMaUIkipp93ZhVhTN/BuCPp4mROmxNl x/T/BLvnkh6S1eNOGZYZtDYnBCRT/73I7K7MB05w/kbtXElET7bZ6hpNY71E9bItFQeHwCW9aXCP y/+oUXm5OH+gn5MzbfC0Kp4OMsC+v9Ljio+TktvanTA9Zi6W8kN88MpKxqzodTEzYcSJGsiaCTNI 2mL3OIxq866aPjRIdwg8iVsPE0WonHqvC215/IrgrtpKj62PPJyVB+tXSS/pBYOJefbagUhJHO5M XwyxQsL8ODMrNpBtudUmelTFos4aZmDS3/NjEQohZdGbLz4SPh9u3VWGbtC5GLJhQBeuJDqlXW38 zl5XD4l5Qwop6y4WdtKQ/ej5TXg6At569ZCUL/5kggO0O1GCDBHMSq0iQ/p3954YzaQJ8jWE7hHa YnAgVZb6Oatf+OQ5Nr3RqBwD83NX0f4qJzrxoT0C22knlhN526MusqrysuSYSP47vqw5OOShWMXC 08FdXtbX7qB1yGGPNidMkGu6ku/jet8gzyHCQ/BAgMyRIPeoiWzzcpt9dneXgkzDqQ2W6s4JvqFc fSEUVzEUQu/A+a7E6fQoQTbnG2G88sV4nVimGevJ9DeeDgh6d3BFuUbM68u6WIseUvQqadJUWaxA r/ZhtCICJFg+YIJaybeKUuQpDEoTR689HL1zWZ3IXFhbrVEWBOhpzwr0/PnrjzIeSYKpFw1yHTaP qQhmi1rs58rSdV5GwdMRfdFjMmEI0opKjiboEU8Ps7Ry8iRVnsAHGlBnwTBD1CIYtrPy7DHWQqQi tQSvet6G+wDG42IDQ4OIDMYNlQ9MiH+AsfEmci160b+memXpxsLPYU+t487iF3ZiO54ObqxfvUCO sEmj1eZEOfJKVPUaDkR5ZKu2uW7NzXkUP6yQqydG8K7FF2uU1nJ+NNjqBMCBLAlo6aSBAgptDrAM HVjtRYPrXGS9omZycbj/uTvUBTjnky8YfVjfBQTB7C3dqBN2kRmSxZMhjXxO+66ggFKIDgRBnV3k HqfJ2hwxRfZliqzZGsyCcZ0P7BMbZyAegT5+LgyME+/Ec+lFg4isTs6a3ReW5RC6GqYTOPF0hPC6 35VyasSuy2Xs73kyxsjL5KrEJefITVo6qCfPITrfFQInL6woT97KAYvBb6/jHxDt1QBw51aHKpMM XDGA4Cuim2MxGUgh/Ipgd2RSIm/EIl4Rdgcy9JxFnUCLp6PC/CY7Vo7hgrtnJ0IlE8a4a9c9Bcfv YvDAbUnh3ESP8OxEWAtSHjGxsoXJKMuEzCmVuoEtw7dLiTJxesC6lSvmxZ4jfkekQsCGRaUKARdR sMDl4Hk4eQOe/heRRhaluEMv/q2HiXKTKHf9MXOJkQwdmHqxeTm7xDw7UR/ZkChNMbndmAztwAy1 AHO42XT7OJ9qSfL6Iw/XN6frRYMClNCu6mSj5p7Z0/oW5RQ+eDo4O+0bd5sTvQZveT6iRHnWeetO QnCTS5ZPGxQviogxFRxRI5ntDyhsZ1+cDHG+0EmrzlQRg4b68LgKwMwHss5bpcPeM+Lcy0FqF18U k8EWWup4ZTwdpMn3wiKsguL05U7MR5QnM80zkD9pNORbFVqQAbrUr/bJubFG3EjWmaEuARefmA+w h0QzwiXcmoosfs+gqYGzd4usrTeNaNRs6ZSxfNH06iQ7BtE6ueCIO8nzOypTJ23cPvuIYcnqCMqi pUrEkDoWmncSO9l8e6JWMm3VclNHEJw0vDi2Z4CaSc4a5sJbWnA4PMBxE9u1fEIDuMjArwiwk5Qv hdmCliagX+8mfgJTejz9LyINz4n5L/vmRImysCh0yLCz4/BAKpSxjKCCOBLlESXKhkURkeYs1Tcn wZupNCG80CSa6h0S8provn7RgeegD/yKaAihTyrfRRAm+H5pZj4bno7Czq9UkMPp7jfWiPJkTPCe 8hxGCc2ijvpeHHwug6KMKE+eXUwRNS/6bV7ARqt3SryBdz/hbsOYjPBEf9hEbTyD3Va9aVBGMN2p cJLDwlb9D80PW6fMNOxB8Hg0wJrfgacgb3kEYkaUKiOHPPkOQoqgOvxP5Bmhs85BBOUOuT9RqmwS MWnexZo7mHzuMsSpPiF/aTA81SrD7Px6QyLd5osGX9YW/k1T8+Iu2fN8q6INn4wbT0dxp37XoIOa fPfKClHJK12aETvKgO9K2QL9GhmOZSuyRoRKhrEuxuUt++K9nZYgzteFuIB5RJL0Iv72bMbOqw5M dhJ+R3Rp4dQ0ZT3N/wDj1FhENP60k8ji6SDdufM9y5XRA7v9ixH1lOlbmVj+cjRMGw2eHXI5dKMP F/6IcuVphyZZQcE/EGrQ8zWduLkpVgBxxarRMAmTUGpjumV8fBx+/Ioo39GhWc0XBZ6xrKd8Aj2e /hcZghQ1NB/yTQZHmCoPqaJ0q0Eby3JEZUp48EZHoaioHKfKJjGUfDGeEQzqBk2rQIbQcBVIJnZq zmFY+24O8aj4FUGmTA2dU4pMX9TcaYnQQphvVjwdFOj1VzKIFl69Nej4E3GROHAlkqknz5Q7iiBN I6aNhkfYUs6cTbEVosWicmKGgA8odbA0i0yfqdoCKkrmH9MEObO9aTT/5LYsMUbW8NnwpmAvjfwy no7aF7/ApTg643I/Z9hSpmw7nRll2Lvlm4Uqq5s/FA2AEHZmqPSWBEpWiweLhZ1VoDTWiffv6FAs 8pES6WFnu37al+08RclniLmokkoWj6909+vtJAKiid4ano52p3x/WCf5WRePMqNMudJHlJIh6rdT JRDeUBATRdE+Yd0oPMoMO8rZmCLNl4vYhtRKYh3RUOpPE79f1JMGzP96HFIQBr/jLwJfpROaFsEn ZVeJ398Gnv5fRucDfYK8vS04Q6ELxESOI52C1WxWs6vhJzFe0Ic1Y/4ej04bd/HmzvnMJt2ZCRE0 E9FTa0FpoiJW3CprUcd1hi1lAVJkiFAeQ4RUK01HSp98OgLB+TCCvQPhCjzqzChRzhydj7YsF6zN BsQl1WljPom+YXPCjrJyHcA8bbG2YMNhIVj2/ORTKIA5BaJIIqcZUef222EpyhcNNodeR1UCnNUF ONFamUK0n4wVT0cair45AiAjw6NemnYnypQxd8OBSYaC220YXCebNQsoWFO3+Qyl36rgb8IyzYtl WgNsqya1qganWJkiMdeBnPv4Yn4ue9FIykH2vIrMTkw+4W4JEdcwx5pRntxu96IIhYw+cLo0mvkX 7gLNo27bI69iXFk0luYUlPUBg3KEu+iGxBZTpF0thzH6Kpw7U0IGvtrUcgAS+txeSRogVmXNrRcN YnJXR1A9Ze/pTyBgOIlq5wDj6ajzNb++LNKv+q3PZwS9GBxEpubwUgoj8MaS+BltVYfRaGbUU15Z n5Ro+eNCL84bdxlaoRymSS+VzsitB2MY3RPvXnAGOqM8WeKkGKj6ou7FKZ9ZBQBWh6ejGit/bQ7N hST9y80J6XuJbIgrITOKCQdKkNmQyYb1mqEMHMU1a2JbkIvBmQC4HUPW4P1kx/D5pBUSuBoZx9Lp aYsOETPqKNNMqONk+6KuYLVqq67NpyM00/eUj0Jw85L3ZoS8oGxzKhfvX5xHk4YhuUEx8oMTZckw x0VpRamCmq9UAdMe8QVwr+yKT4fa9mheERP3cCHY9ppRlkyL7PM59OKLhufI6/mPO9EdT0cH57ux I3RpvQcnZu4h1SEekZD2ZXBBQL3cXCQNq81nqHKxxdwrzReb8Z1Ps2X+0VNdE7WWZqT4zugZ+62Q UvSi0VfFTGeoNh8X0T7HlFzi+ZzxdIRKyb9ODuOxz/hWlCRD4RAdU6Elq3y8eV3JrmazcWFkiBXh Loa0vFK/iyHaMRZrS3LI5y/A8RMapsimKxmK+17mHNSsEHYh1aoqXFN1ehrwBAKatFrxdEDcuzM+ 3m2AqqJX6bsT9pPZE3QvULPaJCplL88DUzKx0hVmyZbqsD7gojEWHIdqZSxFqX/OIIuMxLejt8G8 bZ3F22rFanCsIKoIWHU7eQ9CEayvTuKKp/+lWkX0Ei086sXsrFAWuXMqvK9o1XYXcExGFJEX58OJ PyUantuQbz8so0Y5uPOpVkKHoKo2Gm19EomUGXiMPm+qAzp/w6+Iml4MN0P54HDvy30SfJliUYAy zpK/ryuS9+Zlfa6QvNfIEmavnUMJNE/Ya1eVTnfiZDO+FUKTuyorore5eB54/srNVBzANioEmKZh wv8kN9t9Jd7nCtvJGn1ykq1FcC+IVsl6N288HUWd8R2SqSGYrnBpKI+8JDdUfMSXpm2OjPoI2cG5 4ObEzD32+caz2IjvHAfQ1k2nYC1uDsbdoGCdaNHaBXuhVOaviFpebFhsiThsly49Ib8WTbFSxtPR ffWIOJz/B6zXFWVaUYacyMDif4nAguxwprySW0AIJ3ju8hUKXOgWEgyXi0Vk3FHn4LMdCC/rOSVB CRRxO8kOJSQcWLD1on8SzbNGWC5oDti03HchBIqnA9TFb6YIk+PulM8VgpPTMH4IQ875bw/LkHfx IQ07oQw5YYbchPVqyRe7yweYb0ktnTTzEDxws9THQ7VeIz66juBXRJtDANzVLi1Cp7eTIzN1AJkJ T0eaTPU7Q8Z4D/p6tjkhd693CzRFYmekM8JdJQGFxcJ84V/EryoEJ4siQmNRLXZbQUV7m2P8ghiI eqWoawqa8/RjMmIj2+wrSpGT0IFdNJpe3XwGnusSO8sdTwfx+AL+OQMAhrKUW1ytkLu3ObiyGxww wezyH61b5Sm3wpMGrriTLB6NRgwjXQbWgIup3VbnslJUSgu2urQOYuFr3UByYlcETqZc5H9nOvP8 Dk52T3lS8XQwOb/6nDhy9G7cd2/CRvISda9av6vYjoBjZCly5ifKvYkFkyl40tf0xWJOziAHMwvs A9GYyelMVBo6BwxSvZ7njKEX/WtAU7YO0DZ46QQOTSnuRjwOIRcP5r9aw6ugJajd2VGOzGbM7m3c 4aezPve+PPxs6NsdQi4EJ/hPYuPap1LYTTRzmDdW3DnoWaBe62yYX3ELeRDvKEduVLXIurRUijHo yDMB08zKF4zoIk+rFP8EsM2v7O1+T5HPU9bv6nZdyfMAZTlqIrdutMnwjiAXpsfU8l2soZPOH7mW qYh84n2TNyjROrgPERZ9QEO6yA6RyVU+PNLW6Y70KqtIwgH0LjwdXFfp1/Sq/+pZ7BCaTC7NNF2U SjkX1eVq8oBlRD4pNyfOkDU2b8sXOzmlNIxQxTICIIP9sDSq/v5nU9odCxN8u6MMmYpZvc1yF5We IAybZMXG0xF3z0GCk3H8h/pctjchd0/qDd5jz2IcIeaMx/Oz+lcV4i00gZAhBBcbXgG9Dvwnc8CF EpoJMjTzM5AGONpOpWHPYkcJMgQN6R6SfDESVraD09PG0xEf4k72mCFTp2rdkxMCkzN3Z7u2/xjO pVnZlbyqyw3tEJgsRZ1GiQsuliGfNPicuy67z1ENcpAI/jnp1Q+ZNFLaRjGP3/AnGKULl9z7ugcn S+z41OV4OsIT/ELqEFm/vbTasYXIvPc3BxDTdVEclyzorfYmBltosCfW535Ynw2cF9qanVg8EHSY EC1qN6BZ9VjFJr1mkOWYU0ZOvijL2TDvY+KSFp6OBHW+C6uKJgO4P7Y1EdQCBIpqKorEMq9kJfmc zbQtlnM+dygAR6jFSeqGLwo453OauK0E8DrHZ08KutIOAl1gGh2vLgvUpheNmI36qFrzxdo5Xe6B 7dyFePpfhRXzY5wi4q+1OWF+zKuq1Gt8UJ3Z2IuLbF9hxR0CLTQJ7poL9wc6WQAC3xp5VngUqNc1 Sbc5fxHIxOjkFHq04lcEGWBilvMf3l/jfC1LNPMT7vH0v3pdauuwTGueA+6wh0w3keZqOuck6fsa EBl1jtEwN5Ed58eCJFFDm4vlgAkAo+4uVycgU8Q94R/EALzuVQVDb/6GqF/Bfw9EOGzRPLhk8WPP V8Wng35Fyl/pMUhxZV7LjB3lx40K5JU+VzQ+mNmjcd0WjSFHr5MTAS0mZw9FYP8rRXtS7AJhOSqJ geSYwWz8oQUNfNvOL0COfC0zml40yACL/tgk0XBRzDkxkoMZXH14+n+RAgaBe18KDRj5EXBSyoH5 qrky9CLJYVd9sZnjvnEp1LZQwVlFhah3dzb6FJNCeFAQHNJKO0lVJoJg/gBb7Ckgb3L8jvftWYVG V8TbAwVul/LZ+96I7Tvf2uTzEXhyfGWBQIsXysTbDkVt5Ekhr042iGRAhMCFEfmiTSPIg1sTCPpW B0myejoi2vd14ZMnOzj/X+0QjghdRKEHzAkSgmO/Da+Ztr1rMDS3gedcvjBNPuenEpPeTsHEx6Mi 4sJLF7cIn/edmuf0nilDckYbU20uPHu2rte4ilUQIZOMfQqxyUt3NfOe7PTDU6aN3c+/QPYZ5xbL IA2jPEe4AuqeGiCa0qzqrxrBCoh/IwtYi74xkJn4z8vcoShZHvm7kmjKDtLdoKifPFSiWzkOBdxk o4iU3F5kJTfvTqHZnmhYVRtU7wYNgEpXI20OU8s0zNOI9usnjiASuEEYkgr+jr94EaWoSC9epIPD JAXJ8zfg4/9LS5lUVBhb+AZFGXNixsyc9heM8gQ1fmSFWaH1TXOKmsoypy4E9GqxDeo4QxI9I74P sYbit2pgw9j19gbbslcN5hFDdTphplzUxQAcSAxriP2nEHtRHjgc1nO3t9meQB12lkHH2oRZoz+4 6BUlyppY+ThCaJ4oUMfayaI5puSLt3naSVGGPObOUaL7NXYIJwn5NWaL3gLjHY/f8Scti/xWLZrY sOT9kRcvH3/fofokz/gQKpsG7TlDYXt5rst45KiY2RDS55WazdFnulEoyp/Nha+Lq9+Lt1DPJQ8V 3Cne2vllawv4hcCO+w/aX664OKa9alR4KUcUG70Pn4fmYTf13J2P/6v9bqBZzNJ7vjsUCmCQftR3 ubWXKwsKadCouNM9DEVJNPq8DNDbF+3QqcpbnUTtY5reJ/S1oL+NDxciyuW6hxUohutVI0Kx6KDD FiORtJOl8wCgE8/H/8ntU08a+eZ6zlCYR0+WYHSmoTNCcR4JpYqFdp/LdyiSwRDcvQB5aIvFIaCs iWUixAmgD5buhVQkwO7WeIZb0141IqRL5Kt1XyxQJ/G1zp5NPh58ZfXXYJRWktcyNqew2awNKt5s ro8O97SOIdA4vkFht1m4wC0nb2nUytARYGRUoQjU9HkQ5gBl6/nb/5DoY1AeYAL5O4LWT+EVljSo SK73laGVKg0vpouh78hXmZrNBP7ZoNCgD/MB9OCntVTlbI5cqJdiuRBB0NygwKFPt8x/yymf/Wml QjiIUai2U7hSvki6NbD+QIQz+KncI3Jg0QdyMZOgTPA7F2v/IP38SdLizqFH33gKDuGcabl0fehC m75G5AGHRSo5kr4stxOj8yXnFV0/JgLoEvCkPkf1PgfggpC7qU09+Z6zJBhR/iKZRuFRLzKDPAT+ kojpJzkVYeVcsQUKqlXIyXMt8vEgob69Vc5+UD49mtM5sOpzZTSmE6xYK3kTqFhnNgdM6Hb5DoXS ykJ2z3EX2yGAo/vZsyTduHNlamrBhH+dj5GsFkNaKl8M3fqyhJyyEHOe+JEFRmROR7sjB3Z9id0q mwfyXhcr99miqAHN9IR4Sn1mc3k7qHGWLEV3j0OhY5+pAm8Zbez16AefxA5Fhx0iWMsSjEoRcaDx oPPk4Kfh7/oXib/CCsQW4eYgxWFs2srHo9v+W+KgkF13gXM5dO1bBOs2k30A8s9HprRUZKTmnFNu mCHtz3Dwz6JIXTdoolDpQcKIWqMwYaREAJURSaFwy4Rm7xoM3Ccn7VP8m2n8G7T9S5bdBtL+0Liv 3FAtrULkDusK8+TAuE+DK5RLyb6zzv/ErtksHom6W2IGzn3XQ2sJQLf6ZZnAtCZt9h2AQxsA+zAh QsxG832VRwgiFXvXv4anddfmC7cIxYwi0dk4Ph5MeZKX9nTvO3XvfowNA/M+h6b2C/jO1Qr71Gcz PDwxKDpDIWRDfgiFKj1cbJLRBtkUi3gW+CYUkqdBRhVFlnoitkEkU+TQv8/c17KKj2z+kutku0r3 6knl+fi/QrViDkCu61LccmDh9+1vmJkQUSWSNmyLlo/sLnavOgIPv+wqNG3fxT6zcwlT+JShGnx2 zVkInMA/GVMm3feFMsr8HdFlJr662LXF8vYxkUrJTcteMThCVzlNDkF81/10PwIfv0ysUVHS9X8y vTaoYR7dYaoUcmBxHxj5XaxhFqrO05W9YV0Bd1IxciBctKaKe3hLnA+llZtUTzlkhk5+Q96YNd/F 4Bvwif5Rv5mPR2hD3yIRkIEfeUSwcujlR6gSZsI/cqtzrYyRIDopLiB8Ce0QxRgO9e9n9cV6jLVC dINkQHKWChnu0HDEXYKyo92ksfmrRrMfY/ffRYfopBA2UK2FjwdlR2nfoVpd2HEjUejn12mOxEil z2xeG9HqaXVzyc8cGvot+YjOPHwxlPzqwEHwtRqmq3tUT6sLOuGtPz3YYm/614jjHJehxTSYIfLM gTE6Inw80pi7hEDe7BgjzSs0zP/5fnJ3sp5HZqhm0qH+UCNhCRtU3Ge1xHBn9YcEshtXK+zk0aey rJIobOlEii64M2wl8EGD7Gj9ocUBmbLe+CrLi0k1FwNztKXAcv4yH/VY3zOSb4fnzE7u02KMbf3w 5xPgwuTfkwB2mWAtKupS+UEbFBpgi3C7bK5crhbzqcBhwyGPJOod4l+P2QCSr3NSUbmyxVhoQcLf ETXQBJfvyxe18aGsJqXq0fj4v3LqKn9N4W2eMxSiOmRk3JrBnut0XV12LdmFLU5hyoG3n4ch/qNt 8ev+/FNGl97cPB/EkFIE7ShBKOiAklkfv1R71WA+r2EQ8TdnYUiEyMiph9lNOxmAXjEq7p/CDIXz +hF/3jYoNC0BxCizKU7TEnk+d/bxbcz6ZRMe2Pvp5Tl+7r7cOL1g2TbFKYC8iEheGJmgwVd7uVNo Cofl2N9PFlKVk1YuGkOnNXUozrfLxyNe8rdQPq96hEHfoKhL3WR/mF11jjMKou1S9zC93eAvhw5/ pnKZ1c7P6QoQz7MLiSqI5wSNVZdOEBxdOHqWKVD7jtNhQs2jg5vRF3U/Tj4k3bi89Pg/JYgZS1Gk zccOO3T5a5TxQSDgVW+WC+DskEaLZCi3a6Ye2PxJzgE8r5198cIVqiWLUxPg53ffQpFPjAQwsALx Qjo+LHf5OwIkg/JFOLvaoiME7rzk1dbg40FGnX4RvhDtvyyxQ6c/zK7Ao0y3w3jtby7ji60cHaG/ XUzKlGzqXLcFW/8/Y1+W5MpuLPnPtZQ9wzz0/hfWcPcIJEvKqCO1tSC77yaZBWKIwQd4lZBdDIRQ 3uzsZokMQdanXg8TGqnwK4L5EbZMfWkOnJ+M8JxUnBO08fEI7PuNEz+zu59udOj0x0ZDGq17yjq6 gxkYVTNlHV4aCqz+MMncWdtM2+ZdPgBiQvyJywe1FJtAkHBPEPxDPtz8aiSGTn80aUarrfugQBE4 WZ6v51zj49EBdLONIm0btjSfIyiKpZOcIYpDYahUZOA7Nzdeffr6CWPpIdH31H2wMxqqytA1Faj1 HHDyxaYaDjAb0H1BA4HN6G6vGoHFVVbUPkveKAPImq37E9BmPh4Vhu4G4y2G9QSUuc1QaPgHrWC0 gYbbmXRvlLFUoRo+liWPoNDxT0LNpRlM8Xajz2qCPFSTKwWKODykIH4k9CYCMcMnEhKdQ8O/rMWT 5TCVXdLnxLqJnXuo9PPxINn4dQLRNpDKdjY/UShtKf2YVvVIvVoJXw6jiXAGz8YC07/sbGX2LzVY vlrPJl5dpNN2DhlU5rDGqOSFvD7dSwyps74j6NabvoaKQ9NA4+Ocz1mI+IYwKDT+e1aQOtcIM54j Onb+ayxmJz+iezJdn5yc5ZS5s7jFQus/4zdVc8t0F641zyLBGtrCewwWd0E5QIrRyqZprEOqVBUK vf+kPFIqpfQ58DsKxOi5gkYafPx/miD6CfdngkL+YCcghoBxZasGci3J7KcgR+fV6dj9T4CYJOHm 5MnYWicBOP8/SZp4gC1AzBAiSfiKQYjEu2To5+pVI2oukdFVdmXVdMUXKro881rNesUoXfVjmhOF GDpfRbocu/8Nmbdt32IsLJJ92rdRwQAPsy0Wazfz7h4S4nUBWHZ/zjm9pSo2acPL/U/AOU/dPC8Z jD0Vfkkk06I+Yr+DGq3oI7K5nPbg4xEi5ql4SMwOnlfrXvWBDyDKdZXMlWw8y9bM6q4U4qPhQ897 nNlYaAQoPHDGoW+DzdEJWJBb8iLDDUf3OBgyyGlZnUQpr007pyM24ZD8N7X2NHCKziLtSjY2ah6B FSCK0+UrEjqH2LwuiTl0AhxfgbQkH5NVhNaVlJjZa9OBFSAcmnjBqyKUvypCDduJ5wZQ9jCXINyD xvWEwo57SlvNLPQCtNo9wP82qBN9Ni5hebXzEAoB0+m7i0jUwVcgFLgBgtxD/u+6gfRtAJWaPBcr 9xoLA2kTVJVEXZu3z5oboBBsrwMyBN4guxvsg2Br0NLD0fbL3jXYYzKuNRvbYl+y5hpbpMK8Oh8P 9ti8yRi3AftIT/E+tAQkn2tTo4NbbCdp9o3EYh+3WKEsSdfHRF1EFc1y9cEbQCBa0l0mw1oQ2Dxp XWO1MZR/yMwovuhLImAeN1c1UWfHnbHzJidStKJDW8DfyMUsaGB5TqGwNL1YvMdiZ9mMuGY5mJ2o s3GbAd9bdQr9A/CxBPhYDvhYwAjVUaXKS7w8F9HEuVQJ0sr7CRd3sXcNLnvkO+AgJB84RfscCOSX A6nNx4PL/mqxCd2BOth4UvrYHhBVoUQRJnMXT56xbr/tpRCJ2z7wB0RqZ4CG4oOn9OixdaK5QZdp pbMXDf3en02I0U1ZoSClV40yDsaLfd5Bi+ic1FpEdS0+HiVl38pIINLty03IoUMgYPuAdG4BPrLo 8dCTJ5JQOav8LPQxQVGIiQYSOh9sfso+Nw40FeQP05LpaNLZAjVMls2UkvW67V2DBtCS4L6iouX2 kpDrUhv5BKB8PLjJrmsX/uVs1eA7Q1FAXWmgA0SXAT5ITaX5Us4G+LgWMTnwCby2tv/BUaCiXzlX OOFLMFU+/xfdegCMElLKeNHusm2vGoEZ2N6ghV2nOyzThHNS10WRvHO9LD7/v8jWNZTN9mXH58As EGUzVu+NeImc1fs/a3lORgVeXmaxW6A6ZHPewatCgK+zRnZW4rn6B1l3ktcC1LY9dQ9lHIFb4MV2 VrlU1Yc5BvUG/HUnfeXjkf61ryDFllX8I5+fUOOZFqWPiBRPYrR/Mq2HJXbjAlv5D8PApSix+2DR EDxrJ2xzsMU6eB2EdgJ5X5L+6yLMbQXF8fS78j4kStndaLPy8YjG8cuXgLi8K5mZQ89AeSOXtgwd nJe71W9L0xbLdjZBsTQH4Xa7Dx8sqc8JOgqZ91gCoBOFZ7hKwWnorCtCKZSSDToT5NA4sBU16FP1 QdE0UgBF06grhs6BlEp9sK/Uo+0PGibwDsTRR4Zdvy1WLF3Gi9N1M9P1OMuBeWD2Nv1/IBcRL84T J3d6/SDLAxmRffqFvVABMf+SFSU7Mwf2gdnT+i2Fjl2cR5ay1T3OIcfHo6z1u7uBrO4HjYU7RaGM XabGH/fWIv4ss4dIcSxrsjL81U0WgT2adOZbnz545QxOIEWuOnAWkZ0eMlvg8niB3Tb09leNGmSS QCSZ7OpWjQmpLW6cuTYfj+Awvwofk+hSX0Ofl0io/L+krY1dMAyVR4IlllCpV09guNjCSxx0PgRo IV7wW13E3a+HYMOmHUR3nePnhComsFSYdgLBjELXNGAnQsWXMIjv6bK0s/iglB62PYIlLDz8X1PD 99sm0iFVGCB98+LUMDgNZ4YxYucNdRl3vOHJ6J1mIa2VE8xM14Ihal6D1k2Dcrgaj+fwGeifMxPD JBR0TQB7sIkxWPnrxKClIctJKf215GfzmfYuMyYUXF8nBqFBs4mRXkVBObraxLyEPnyoE1De6UnK IIje2hBQ36wgdoDklmnYvQQ+WjLyuV2EcXKwJdMbdJKBrEcChsBKuGp5tSQe0VdeHn/h5yXu4XsW LRnFPRyUw58Fo0O57/Z5CXo0rXbeaMlUItWoEI6ZeQl5tGSmFNrcO1q2DYtQ++18lm2eFi8BDz9E TN2qfg8Hm5lZTtBcm/wZJupAJJcl8l5QSYMnqyu0sRH2EvDwK3jO9cYKFExPz79VuZsAzaLhx+r5 8xLv2MaoX1MDYtnib0Mr72hmAIXatAlVuNysxZMp9SqifDIey0uoo83EulhLBCVysEN4wVO06Joi 4q6LdUc4w3lb3BZmZwGBPLxmcMrY7SQOyzYvzrNgSpcy+EkwPi9hjh62TAIfjWlAlLtZ9aHVXDAz XMpprGVrhtgCCbpU02bL1Ws+LzEOZ0YMqPOvZR9szZzIuCeInvxAp2Rlsk6IZyWOv/3s5TCpwk7A 5yXE4XsuE5+VqJ/rVJ2QoC019krfn5cARz9/rd/nTCUEwHfTS3SjUxsBI2FdsqlH6Vj4KPTyoLm1 CmNEGjVEM9M4M3J/4eBXEyCaudCYqhdQTExHCcVfEhfblbqh7tTnJbLRbspiyLc7iHGAEiSh0HPi 4feZKdMXDQkuKAMkHDacmZegRicwot5zkiSLjOd20evdpp0z62rzRicw4WAtP4OfwKuvsQn7S2i3 12RW47jEBNpMNzAGFuLzEtFo8qVb3IVo6RfRAu9cnqEoMr/EM/Z+fjfJ7A2nQx1+a7/UB7WdKiVE i+F96CQqqsruDhCfzMshyhYcwVKSryIUVicUYmpOMoEJwqIBc65Rqg4fDWwvedd3P2WmGp+X4qDm RhiNJPXZ5M4wKLBIpYYimcHc9NX+83baxafmpS6odUpF525MOap96gw+P79zVBgQUFUhOoNJTamT yTYHm5qTggM4S0bzWAPoeerVIS1ncyLDV8rNzKiR+VIUtBfNbxc3eic82WodeDg4alb62lB0XKAj qGK9KAyG1TKUo5plUqMNO4VbUukL/hMOE4viYEF8GjFwGryaAx/GTr2HE+CcRFAHNnTBWSj/6Zdn eXJ28fmidaOopgtw2IsVlUtPojGc6IlPB5tqlu+7+6wAQVjEZAgmh155m3gQLpybZmaCieljRvcP YZ2jyVExUA4E1R0IVCytjaIkZ+UAidm0cpBDoW2K0nfxYlevYshFS0eCHDK35aDZQYVXlYoMNHh0 GO/1NTtA3RGNbtPzHg+LxVzRZrIjZ243qad3FvZVIa5HAJ9geiQAWbcyhZ3u9JzM4KxiVIvogneS QNVKKbsBEBxKGB7daHaiiBiaeueC49WrQVj5DAwdZweFrveQGClY9viGhw7cM1DZ0exEMTEYgjh1 vNQ+1rTZyd0YzJnxiRrrwYnMA/bsG0LEONwA52SshX6nCXKWq0m9bZBDCt4iZYvbI8r2Rvqy8JJr p1GKg4MqFOCVSQ98oRcRRsXlhjgoPg4obhefnfe4WMfpmZPs0d/MBlwRII0Q5/bUuKLZ4aHcBytd fYwb5OyzdlC16aIzzQ1REZzbWAHwfOfOGtpZU+WJP8+dsnvxQdnUiXHUD1/oqUexsahY2ZMZwHcL kRqanig4luQ13Au/TQg2TeUMdEDekBrq79ExJECYUckSubolMg0mz0y0LT+qcyg3oRPOchowhEI/ 6OHDDb3o++xQh6r3TNV0Dolp1Gjd+litft6oXnxBJu/PqQyAZqM3u2YnDJDRxEu5ewWZEvbietn1 5RV2zE4UIWvxNOItNHiwk8+lDkghq38n25RkkITcGV7i+1WjYCPk88b00h+orKGJm9uym5+M6e4e eX/eiF6Wrg6fHjV0UCe9idUbz8uycUC/e3P9sdm9Okrgkk4ez8bfaF7f15ZcheqXqxAkhTt2KbLx 8zNTUifTgLAgfBjfRgRLL/o+O9InaQS/aFBqdV5e+jSrz88bycte8F5a/JextdJT3XoPlKUcdLYx oe904sxexSlJBKaTVWwvb0WRssTAK11SNNyIp68zR1P9q4UWCss4CTEQkIalXl+hziTijeGl31Gk CoqWa1B+Nc8LCuMF+ZYoVF73TmcXfdDWyBOsN3qX3ZRqCw/LPanUw9yzMEImeYkddmytMFi2PVWa DzdYbtCa1Z0+YbbUJc826FRff1AHdO2WOfWmf81OF9+9p+sNU4bAl3WjPxxFy0LT+smDKBQYES8A RtGyFCHLmkZ+K8XhqKzSsbUHUK0qgGG0rJ212x2e7jDw3tpanS6LTECps2W4sGvY5W8anMtiB641 fdCtdY4UWdXPkj9vzC6LCMZ3LoG7cfTssxOFy0S3QojQIh7aSZFRQZU15VnT8qw3WpddfbytvPGQ vG11Mu62aVvGVOJcMCwUUmeR5w666p6BDnvRoNq15btJEA4HTs7JcaWBiPTu80bqsktrfm8stL7o V6rJiYrHk8629XooskEpD0WkVSjqZEJusLHeKF1688owZigZHY9OAkC5pS/WSE/GVZqsWxdtvBuF 3cuVd5baxhuny6oXnBdxuppzusZqnSAROtZ93ihdesXlO4u0V3jA5zbv1grD5cVGHkISSm20Kq96 AXUpGpWzi8q/8bksY9OJwzXEQfOTkc4W3cPn4KGAhFB0mBqYSRHFI2RKZ1f4jc/1tXqqcGC3sjZm W0l6qfCYfKNz2bHVv1YP2BH5iQff2Fw6zHEs52QpRKW9vVC6POxwLGO/2dYK68hWQNYkpfyobCyo eC/VBCHoWHXwDEbKkGqhhLrudFIm38hcVsOw0lf2wSKessyttpXPG5dL1+rqX6lWoYJA93rpG5XL jmUw3NpyKaRpkeGwRgQTUZdCemNyWctqvVd4at6Ac5Bocv6lcz1xFyYaoEG8BYJR1zV66U2DiKcY kmD6wNkpQDzw5Dk59eeNyKWVl757M9BrJ/nBZuc9Ws4UhIdpjpe/ek+O8G5+LMtHGrPzHi2L1QwL TGJzm5tGIeABY4BQbpzL0BIgpidxQ51P+uHatWSCAc8bi8t6YzyXZdjEQfEgPA0VD6b9eSNx3b/z q4iBXfo0O984XPYU0/Tq+Pd57diX0wAz3TU4O+/BsgyrTwaa6PvJwQOecz6sQpAGODjARahjgfI3 102pT6q19abR7Myfb7N669GcSRe199yV+/NG4bKTcX4HPIPNU6+AvXG4dNdlmSG7GR6ZioSisMQP VY1CS0beWu/BsrfJm0ikzUmkOJXP7PQNvVHsrHOhb9EoF8q8jZLrV0OLlcnPG4fLyhCUopXzb3Pn 3wFaD1s2VIh6o3BZyuSXlkxTEKunOztRsMwckLeSidQtnTt989xjotW8sPxG37IzgdULqWNxsO5e AxRuUQrhnHDnsKA7U80E+Z/1AzlPP5QJdnhjb1n1kzuKdVgNmpytLuRJuvP6vJG3FEuOm4YK1Y3F nD3ieeNuae0IR5BUrzgrf16p1XGJEzhOsHbeqFtfwXKburPmc2edbYVIJ6uPVWHqQizhopo1zqD5 BDzT3jRomHvlK/vA6QHNjWRMTPnnjbp1b9WvU/l8M+EAmpwQZDHlUL8MJJiyy2jQsYNCI2xZcnLC 0jIhAn3tO9jGOmf8OYkls9qgWU4xY1h0DbT/zzwA33FNu95IW0+X7r8AcGevnRRZHRi9XrCr8ne3 plDEa92piSLlVvJX0eLEOttl6eiKjdJgYbsFu+qNsaUlT0pbpwCxBq+6y0Rqi5iNyoZk2RD8ZVY7 5nWmoqX6542xZUmW5K/JmWjugzIaZAG1bjrfMDqRfVvx9CUCuHtx542vpXs4iUub7czJu1msQ/gR OaO8CTk773Gy89moImKDF073AKmWTImOSLmwB1ppKEZ4AM48kw6lNsQbXct685wWOAdjgCYA/qhV YWFOetFZ9J83upbVL+bX9FQCDW+w88bWsp9kXt10BYVWdU+1G4DbcDuYnqiu7Pd4uYPtq5OJj9Fh RYjFAxtahdSAaILZ//iTIrT7vBG1rKjM5KGpT9ys9jhBRWxiSHS+XhAlb4+SqbXe1hcQ5Y2jVRx4 BMzk0+mzIBlFGAt0WrHL6o2iZckPKzuamfbMDO5ZGLoIEAhJ3kR7V2hG/NAgFD1x72VRPv2NoqUl rn4EILY2GOq2S4u8jF4/bwwtrYD0XfeCbB2lT2x2oiAZMQiIxsuqgmi3sSqYJ9EXuMqpW8PZCUvK S5V2Lp+6nzCQLdayJHuN5nlhwMh6QAFMG3esk/z61pv+WRUUTbQ6TXSOQt1a2n3UzxtBy1bBd8Wd zrrlwi7e+FlfWJ1UvOCuRJQ+9ZaInl01TAnijZ5ltxXL6KaYIauoicoObKpa4aY6v/csBK2kRdZ2 w58LCrMn56RAvrGzlH7K8VcGQ3WywkVjgjVYeoC66ueNnFUcx/acOeiKF6B9bXbCILnR521PT7CG JedyYOPauUimN2aWhYE4aCFo7YOvnVn6oBAPFP4a2MzyP0OsjCIpe2mmxMs48I2Y9dXLakTpa7AU oi5ZxZwf8fPGy7Jez3cKAWwQJCl8dqIgmfv+3GzN+LPEFGntNEshMmt+nJ2ooixXM8DQfPBmTQLz jqlMojIsfQLB0e7irQ3SZ7V2cFx93jhZluxYwSv5oMLOmWQVZk7U8HmjZNkb5q9zh/RA1noED4yC 5M77ivA7njvjgm2BZNe5MwHIQhz4xsey65xrpxDs1YrT0zdLmp1qhziUy6DZWybl4pwTqOtcO4JO lPYbHUtHgHTmskQdRQTHZX6CAi4dEJc/b2ys/+xk4Wejo/bFX7yxsazamal53xwI1wWz7YtxmgSK oWOBmuAbGesueszKWj54zXTtcwxveikCUVLYcIaGEe/H8z15XeBOszf9K9apXAMatLHO67EGWU+4 /XmjYln14FYuRLIdXDx3fsJImccyFVh4LNftSYTiQ9IgltGu36hYdnyxpqxOTHVaPQ6ec0SgKea4 24acH8gmdCIWVFbqRSQPlCM+b1Qs+/3NGTn5wPk5a6Yyuz7Tsz5vRCyre+2vrYUKI+18NDnvgbKj KNuW43jZY7lC2M7Zzp3i0hhvLKyvnVWlNcfB4521UAFcyQo7CSg4SB9gA6OMMSmAJeM3UBo+bzQs nZCcldYtSXcfi3Pmi3wK+OrnjYVl8YCfykmB448Yb5qdsKC8WCitDjDo5sw+lLowyQL1QLMTFpQp +tWzYBj5qx1x8reKwhl5oEyqOYHEw1Y22ranoEwGPm8cLKWgch6YrCtz4KkM7CBv9Lzm/LxRsCxe /Y53FvvwF53yxsCyYtK8YjNcO9n6fGCWWdlrJIt33ghYtmxZ72InRsOF+gPOTmmE1Dp4TOKRQNsU mL19LaoI3HljX31NTWcAp8Fgpk0wFaivf97IV5Yq9V/553DbaE5NjL3IVyWEDKNHzbpaNTnRp5GA 9jBQJquxU91Ug00NmrcnOa1yY+8QeLcNiPSYZdQbKHcacb4Rr6yJqQZx2T6oQVzxK7IiWPh0cGPV 76oOpKLz2vc6jwJlvl3qV/NqmnwB4P6u6HRrF2+kq69DB44tPnh2PvaJ/4qM8c5ygbcU00+ydymy 8pw5XS8alC5UYydnXAMnZ58/QG2WM/WfN8qVdc+/CzvACZ9c0EsXLYqTe5anWbXJKdPwgqnfycnT pGZajFFWB6J3Hyw3ByolkeeANOIk6vJtLwO3KQ4ZHNYXEZf0psF17k2s7QNDnHOsb4vkVsXTQSQ4 vhsRcAME8ctnJ4yTUboHu+OCmobFybQtIeSrOLa9RcVkJeYM523wFh8IaYWaUudS3HA6IVeEahqw aoQUqpe9AN/EdwT31daiYQOUg/rDqItInElvGLTPH+QF+Wv4FGoZiy0SBspZIo2eZDkJAI7sblTK 3iMWT38PlFFzw7z0xsXDwRfPgqlnUyfihLfnJGIqT/I0Ei5Apxy2w7pXDxl7W0HOLj7o3Dk3gEBJ pVc8HUFx/dxRMn/WDdU8NDtRpCzXrsc4UB4DQP+n7kYVjFE5OxH0QuIg/93jO4fXWZ1VqswnCIds n2yrcF9AXQZFEiedE4rbo4oyLZM6tqAPAgyijyIV4bbwdHCdF88juA8RYKzLTuthnExgiroO7GL1 5p0I9rMmnWCm4uQeVZRvktV98GCn7bMeu+JkNPyoWZ3OckJydW4EhYLiwvqb/lXcKUCXZSKZftjW XUDCVTUl8sDjAXlv3nOZuJlMObKHIdFDAl9jj3iKcnSe6M7JZ91BsC/Y65KMFQXLU2reQvBw8IAH nMyh3YVKbCOyHihLgBl4q6fyqBZMvWoQ9BjEnZ0JDiq6zzyW2gyj4OngbE73WqfsBSty5Z4+EY0P qGo4dySqL0PLaZp0PuHSLIDhKmqcnxh/weJp63ew+ZkFkXERJb+3Wplp4XCuKPHUH8LyrLJc7U3/ 7KEnKhc0aB8MJhNtqZ9+wvKCp6Ora36dPgMrfox7+oTh8iSuw3JOl9Ll/urWB01pmDNnD7l8xozN 04fbsTnxLJvQgLoDgdFk1oUbE+TJuW7dnfx/fEdwsRdj8SUflGrNBfov0iecj1HE/GwvRteJTWov gPWwtIy3k0agmObV6XxUv/8/5sEIRTg7ccQsWuxqPvjaOQkjlJXQsZknKxmkXqeFemxD1x/J6O2D Lr1pcDan63X7ZXkLQ4GWTVRw4ul/0SREx8H+3Hd23iNmZSFnSy/CkVntGfIs3WRKs0sMG47KmyuK mPcSDlezs6+E7sQpOrqA7mc5NzkMZYqB8BVR3rGjGYEpviKYHJWU2SbUoBJGOWkISxjnsMXTERHU uxIqd2yrbGtyIqwyf8o9TS6OqvYGGpzm1bVodKKlE8Ev7OKaImnJWJ5kxnOtQBdNZPwyRxJgt1QR HjvBe14eBEod3xEEPWqhT7rXcTAod1vkkKAUi6f/hRnkCY6wOy0vf/UwYiZWmcZEsqJwyGCi5Bh7 6JnOJlg6UWVZjeIGBSYbbg3j3FW03CHAANk5AacAl8Ju/geVwzs7XW8apaLM0JleazDI4Nzygj65 Cp4O1k77xZLg3dmmN21GFDGjgAja0UXF7Wtf3913iigp0oij0vIk97xLDa2vR737HGMQcFjFihhz qjWIvxWYZhgZevGUrlMjCpjzEKBSNUJWWrF2OoSqh+pfGU8HAXP/5q4xMyVXV5MTBcx9yLxk2YWO pr95UHQvLXfKo5yQcISlZR7KTcZu7cvYDe6dUJRhwANeTZK0JdFfULhn3d+zra03jZh9vNBpxKdB 6cTOFJQ8z8yNp6NW8XemzsbPuIX3EYKVKVgJOXYW3nmNiyPB7grSCWqTanaiwjKVs4Ah3RrYicP6 bVOaIMpFE5QjipJ5+igBEOctG1CgBr4iwFM2MawNVlnMexMALB7K/fwHTwdljO54ShG52NW/kxPF yo37CuZJyibKcnpNM4dJ2JdYQ2tEsfJKSkF5Y3WR7gaXzskgNgRjfuhWdm4qoecqtQww6Q8362yV ga+Iun3WBB0+cOWUeuac++IcQHg6urHK13VOk8d0obgjCpTJ57VGhBw6jANw4pLlh061RHREgfJU Wau25oOXB/c+02+p1h5nFVG7KaHpX1DboXnDxeJWvWrUk1BhcIhAghKaid7OzBo8yHZ4PFo8Vw2E JzDigjG8zDOiWJmAkc3ymzCDefjWIvgAVzonmxMUxcpkt52tIlbjGl9lHjrWMxrswFoOThCL3oX+ CeVGg4wr8B3B3nJaaPWBxzI9QRlCnJAbTwfL52KV14/Rj+pF444Qqzy4o7hAODv0Z8WxPGFhLAoJ fhIePBFWGQVS4HZYOubgVR5ATiGjwXUC4xvWMSrLc2y9zisk3InkHqH4BQk2KCb74AVUGQ+elGXg 6Sjgab9i5QxK+t1cUXWZrHljjhBb2Zy6RpMIXlqAPGvtRLHyVCtmiXC9VChgJlExFQINDszUKgKp 4PgFbQiaIk7WxxziO4KAh9qUrVPuioOKFyeK0tGx1sbT/2ppiawPJvwNB0cYLFPaZbXuSO7iUu+Y XwGcOhWsEj/lL+paZXdHgzIJ0CPWuRMVK+ddRHvMFH5F/2Y9Su9UksNXRJJe7KGXfAcloXAmY0l0 rYqnI5zBd6xMyTwKOGpy4uoyl86lH43tmQTNFbmxEEnpRg+ry8rNGzUXOXirGH/GIiTknDjQUJaR ArBphfEdVRBNtzNnvWpQAxOq8j9BKqVZwFPBrxkhDOOLjp6ouHPOHt9ZM4ZhCEuJCWhG8ctiAZA3 0qj7OlTfmbEcnLDK7NtwsHOnLBQt4DqHCsZA5UsS1Uj313k9GpBaBYMC5jPEYSjUKTJqLcltfiGU ioXUThSCp4PZ+Z1KnL8NpX+bnChWJv2Q6iw6lJMdNifKkvsPi4NTh/IMi8uNMZ5qhBwuNQv19qXJ 2WeeFgEJmXB3FN7ql9jisjf9q/TemQRoEKwS+5TL4cSYeDo6dm5tcLOkCBv4GyzPKFhG6ntu8ern zrp6r91kVdC4MRTGDJl9AjhlAZzyA3BqiPlHUWxz9mjJ0n+viMRBh4QwmpNCKfg6w+qyUKc6lauf yieWGFWWkefww9P/OpWrELkd4jtXsikKl+kTu2X50y5ODvSjOh2wvKeZ2c2wtEx2RGsiFreHrE/h sNwULtezexqhzWcDA84NoFG+6Di2OfEdUfGdB89W+Wt7+QvSsUUqIavg6Uhr8ZKzuJEA8E/VD+YZ BcyV7KxVzMEll+aSVuodA6bCXifu9BkFzKOqc0MMCgcPmDuq+kXA0wKxOGKcCiFYq7IKeauDqJ/j O4LNJUpfktZrSnd6mlZPQ19rRuFya99NUXKG+Emanb8qy9UoEQQP0lgCoTn9AtkUHRRF6/yUgLum vhbRPhru4tkTGtxySJp9ZBObRk0tUUj54a7NrTcNzuWuNN000bIxJRomB9/Xzv2Op/9VACNItUq4 2mcnwmKge+U6GKSTGGfirJ3hau7EQXJrRZXlRThpk34MhwutPOtnNIk3nbuxZHmPUyUExGwwl72I wZ7ojKJl+nx1lC98MCxGljkuflk8HaVavxg28/fsxMw+Gv4Y2xFdv2nxYO/DejYrmwzGDNXi2En/ z2tr4zqEPCK1F1sZyJAEo6NGeYY3njPXFh0lZlRYRnWbOPfpgxJuiKPx2FmbTwdzky6y8qrFMRvQ 5ERYDFQDEOY4sa94S9QKhlDS29niwRkFy/ScPXk0u1UcPA3t61wNg3TrBhjSELlWQtFIutJDlWD9 a0bRsgReIVLjg/p9JzRIutNzw9MR8NRPZVLXCDx9wsGQ2AfczB5XfocxulGQnBSaUHLgofy3CkbP e/tgs7NahUZSMgNWXFtTs4NDGVfqt4SKvenfEQ9Jbz2blgQUyjs1H05cXvB0EA7eAhjrFWR9p5uH rihapruVuY6tG/HgzmpU4kHhvU4Twl0RFmNIZVBBMwc/lRtk45awGOnEOdNkMHAqixy875XOps0K S8sU326iXbdhTusnhTofxmj5vDCejhRmbh7KJBkF7nKVv1ZcW9alZUwbsZ4FkRvJE9FlNbAVxstd EY+2WMtXf4dyM2iuoz4IEveW798WauMHsaadykQO4Sui0rJYSPUOnJ1ZTU75JBUVT0cgsG/8YN70 ZvB4Z0XRcqejH2+A/xNtwhnFpeufrYxmGU/lFWIxdFkl1QkTD56mrsSsnaBi4HhmLqJyQegN8c65 W+eNd0D4b/iOP+tfWzIYLu490KuU0Q+FKv8dLQuUgE19aaErZPfJ77B6ms7VxjQ9MU0H3KUvEyda IWi5aynMO3g0OE5W2rR2Tvg/mGyi4UfTXCR4ENbXrSVm6IqLy0pA5ZBQvP61sF/FfcwbT0dZuh88 1P1HeMrjULMTiilvJhAruxvk8E46HfIYK4OQxGN5heS+zgOn5u2DbawK6i85nRD5BtuRWpUgDqLJ C6n1y7Pp9qJR+UsddNL7OCgYTEVfh9MOT/+TLIE1RHOo5I30FYXK8DwudgDTB6kofRiFQBM5HXpH a4UiGLyzymSyXrwXJ0uEc+oM4t2RVCwEf8BBQpIIlxR6vhdmsPWmf0JU1LvhYAWeswRY4DkTj6f/ KVAu0ThMzyOPG8XKS2vHKWy57msxthwDlrufO2GsLN5j0QYrj2PEIIAN3SpgwIBgJjE0U1EXUly1 Xhe/zXboClUwlJ5vEfzoOMMs/eRMrCxDfw1PR2z0bwUVFtHaxS2vKFYuu1sQWGxnSQAMinrAXqA8 SAg/z50QtywKSZY2Wm43Vu4kp6vfd7bOTix/JerR0NWa8jLjEVBZUbCcVMKwlnEe1tPasDITsiJ3 PB0cyr800QCuLOUmEivk903qB5diV5Yn6yf6XdmRucsa6SssLJP9WEtbPmhyNqydC+wnmIOeyJBr LOFbM4rMoPh5bZA22CuCLVNIqDeG/xrUsYGnOjfWOZfwdNBIH8+NlX+IL9he/FpRpLwS2X3Z5VNk AoVtdYWJpCzOuYnFlTU3wtyWJ49AygnsGvvoJzWtBPpANARCaGdy1rynDo4VvmnU7usvffQJroTU FTfO5BCDscuvNAv35rgAnh1FyhTq2qMtb4dmY0sUmr8wQx/DpadDep+Fdn37oKUzTpgGzjW1BoFA HbRYoyR2kXHalcCQf/GOAuXGejKvORt4Jp+ro6nhMipfMKKSeIKuewWk9MsM3bG8ciXOvdmNRXaV tKe3rZ20XXpnhxoYXfrBu/vghdOz7DHNLO6c4+ccb+xJ0GoE9qptX+mdTSrJDkHLbPaVJumd5tI7 9Vwd20DLfMNITe8X4j3Lv9ajnR2ilgEmyyIcTaplT0vR9xKFdsl2kNMTR8rMH6pQy/XpZ0GJcdND LrVzLnQhC0uluhnA9rgwrVFMRO6OAmWVvgaBOBqUg56wQH9cmRtPRzIY6etMpur1FTPYIblvi0pi BlDoVVrlC9UsLZ1VfG7+xmA0GSBwuHhlsByrjDXqCW8rtRoLbx+40JHybUQbli92FCfvJjxunT4Y R+tkJqq5p42nI7JE/5WBNtLnvGy6Y7gyK0HZTfokYd6p9eA1dzbrOD0hXHkoypnTB5uehBbeoF8T aMW0lGBRefKv6D+4MM0HE7EnvuJviIrwuNPwuANgIdWET4KOpyNwU/2KdQqhnZeGtEO1OITxrCTr TO5XprJdO4DWTSJkhwgMa/Kl4sNdO1CX3Zn5OUjX4v9VYG/hJT0epgSdw3ZUUU7iZtV9Bwt1plSQ TrK98HSkvfONxiWiM938c0f4i6QeeskGqVzb/eS75Vpn3Rajhe4IfzFE0YKvlw06c06auU74R7w/ wF6DsGeg4iAlcEIUuBNTCBL2WU0vGgkTJeHbmw9qgwIhRN2Ps7vw9L8yLDFIF0AGd+GEKhhQk4Up pcc69LsmoLIlLyg7uW+H8AvDNv0+kHFfFXR6lhAGJ06egj9lXXDnLOrNA8FCh1d8RxAIqlVDt2AN wptWidqRwICno7LXzT+5v1S7v7FOWFKuD7eGVQxzlB2kd4mDBPFl2gHsv/EXZ0VkH+70oElMda8E sQE4zhDShDfDIbzufQWhCn5FpG/KS7jtO3DtJEjMarMsPh3ln/uJBDNpc2XeDGtHgXJjURAiH3Yk Jz+SC+uoOHX6NSGJABhyvmood9hgkeCcMHaklURDqXlMSZaXLIAB1H6uz0bTi0YqGMQq60ju90g+ WekgzAz3H56O0s+bnePfPScO9Xg4NzlFYTJ+gyrFdS2d1g1+QW9KFnZgXiNN7hTGyd04e9kHyz8h +AWjFmYR0J/GHjx7lSX2gWBh3kiQ1zk9Jt4THk6PSKW90Ebe5CaTVK1Owjz5fASr9DuLjR4cfaVf 6znplL0/R0zTZqOcJjnCyCGybbS5dsMEGrWE0bLcNqrYJHXeawuE2ZkEHBzzpKfk9p8LgE4EwA1B CNipEmnby0ZAA5Z2yEjWoGxi1UWUyfmyxseDdKJd0DJZNbiXM7V5bJLeQ2aQ09G3cb4somODYpSy zTmVnyPl+xQClyUBKzpklUSiOhMFUDvqRqMMO8oU/LS7QjYN19S4WTXbu/5D9YHXO408Cq+w0mgV QuwRnw+Cn1/ld9q59vpstajCTEBNrmYkCyGXapFzrQLtnnhlVzf8Cc36yBGtVPfS4HSkE6icW41N 49HgHUQUOCVuCpr2+9lrqCbqXf8qo1acOzbokl/nTOFRcg47Ph51t77BTvD7oeG9z1AUPKfsHumq MrMBef4XBBXFilx0OJW7RIrKzEON47yGD76Izm3RYUJImCXPpiWYJWwbzok59k0udlv2rkGPQg7O 4IXboA5OG3mpgwOLgBSCMkq9cRDGc+y2eTUIcwprzVLZK1JLk42q6KKV5Daqh6BspfM6VlxmnCjQ BQcv+2xQM5Bbi/GHWeOvjTsduToOGK+J8bLHl/yVuzfJ5jaXzYWP/OiqAubGx9+nqD4WJVhHyAkL o06bolAnAynR9gZ7wSUkCREi1BUspnKPoiiUFpawLmlUrn3V8NeApLYu/Lmq1ZsTvYwHIE8QWDGd xjHtVSPxInGxZTu23XasQaKJwd5ZsHw8YkXeuqGpuCL4XPlOUSiWwSxVMCcmYskJSgQJy6ckLT+K wqqzFCpXSj5YtnH+5bOLl4gU58SbUgaD3GFBWbtc77ECpXG9akQ6VptLBtWFbXPwTGqncCsUgxsf Dy79W1ot4lHWb33hnMKQmn4T7Zmh4VSTnp3CVeadoUgyowqXWuYd/NLf8/xQq4jittYQr+CEeIRn naW+xtPymvauf+sSru6DDmsAFWUm3yYfD/ZZq18pGXrYeeVnDYW45sWkozr1mPZqsmjzXntpNBXg DIUFaFZY65zLB4dqnOOZwRAOa7BqM7MOpYsQG6XKseF8sr9rUAoSg7TLP6Dvx8FOqkbnAph8/J8o KN45tJO/1PUcWvxhe4MrkL0xeKWehoNZQDSyKQo8/jL9llF91n02lp9EZ62g5kN1fPAAS7ZCIoI4 egek5PhUGU/kwOPvEpBJQdBg5aDd1hXxzqHJ33iK9CwBoOU/71Ed2vzh9gJxoDJJxVS5deai4V8h BNMS1xz4/EHuycBQwwcHOJ/NWidhXthl58aq1oFG3QFfXh+G9k72rhFkg2AxqhNpsM7ykPozTHT4 eBBZZ09elehiET9+W7HTX5Yks8saMU9m8lqLWwhsiq90fUzUPa3v9dY1USyGyAHqrQsm3kMFRaSv OwNbenGYChpDrz/RulqVTASrZ5yh2aTHCqsgPh4V63/hVBfP/X1nKCpI0/I+FTtzvmWx9nbPrZHd 7S+0+zOu3xKOd10cL5KviUI8Z6gBTMpKQGEYCaBeWzc7G+wv58Dvz6UcG6UwNAhRt3p2vm3l49Eu y09ERCrPT0ntmaJQco495p2F1EB7+OIxWXelh0lyW7LA8y/RsIEI+eSDx9VjAvJv/Z6MbIhdZir5 8NAly8K9Fpq9bNCEJ+ut7tl9UAVtFiIAETN0Ph70Uq83mf5liPJdt4Uc2P7JggQAFy+hrdyshEYK tVKPNnyfxSCOLBh498GTs52g1ykuwdxYRxItpOPnpIH9PYmSv+vfBDhiyDhwhk4+WXRbn4SEj0ea ju3rvgeib1+xiBw4/2F/rMtpp0Sf32VpmUo8fniPqkPrP0GeSxcWnr4bPyJ5FUghliUa05q03zzh aGWjBoyCdKuMRNnzS6KAiGdHo13DNdCDeX2XJWsvm49HPdVbSePBjk/b6bnuo6gaqmfVokJxvoYz 26lfjTXEBaIpCh1NbJtpDeVnDWHfQshxSqsG2iBMXwmlRoIC42Dd94XuzvyS6Daz7bV8UK3xXIOq U097x0hx5FcJBH/efkoggQNg/n+70wW6JYOvDmt3ACklncdFzLYS/MACUF7U9A3YPni1EXheItwg JTaS6UawzkGyV5m3kCZ3zdAC0KwFpniU0xg7c21oBvO6X5mPR3nHLaTJtQ0NgfW4Qoc2gBCtA8K3 WR+IfAb5j26jC0I9xBdRGFY3YVilad0eTWtY/0nrnotoLOVmhad6wrZc1zN7yBc6NAIs2mD/wWo6 H9nFojr7u/DxIPG4kmLl1mPnnaHQChC1izMvyTrQqYxtJ1Gzyr67myR9TNRG3IqFqg9eAqkV/gtb 9iZrog+tsFpl2Z/Wn2qsv+pf/Y6zKLeGJUDZmf1EKAliUj4eKdJdkDinE9nUuoC7HNoBTvz4wCuz lI86WraDSJ7Zj2g8tlnoB2go1la2Dz5DE+I3aV56ShcjF8K/BYxuaOxbkYibnV/y10FUxp4+COCR C8tLPyfOmHz8n7TKabdZ7rfbqnD7dYoms/opZmXZ0Ksn7K5ILmogJCpeaixhXC3c1EjJB2/U10nQ mPC+7cwMIC6JIkvU8EaoqFIjBeb1rn8SnLpys15dHAqgMjOnbx/d5+9x9Z2iJKUtQq6eOQqhHszw mU1SIEoyY5ttxemQaNK6OUcxJlrgKWVn42ZnSRWRKqvoEwOVTDADJRQr2AYdEDOr6Zdq7xpR5LTF JG6o+APMblTu5D6Q9Y5RW/rJPdB3Rdfj8YoOzQE3/X7rNvFHmKjzADr7gdgqaiQ6GCYH7oDAG4gl J1vk8og5NwSIm1bGYDBgz/EoYmQDnFft5TamqTCWQ3tAon2wfKYPjhWSd3Q99wIfj0r632I/vPEp O2YzFNWrGw2jZ73eJ8kdGtpylGLrXgIJHQJtn1FsRIPN0InLTlRNBQeEj2NRIv38/oj50Y2Wp1D7 Pq3DuFouBEOmyOOKOqcilEM5sQkfj9bQzc54oiLWn09QFLoENhLCpm2uygKuWdsOM6QqZF3oPgtl 6rqKIGw/c7AEFmV72NYpxc8nxcwsuZFeCNTovBYfmyjXHNoEDq2hpdhoue3S+Q+BcYDKDT4eBNZX TR4PAhmb51MlCo0CB0Mi4ka5huhvbnJaXkYj2EtrKNZ2lgne2j74OYRSwSbJNkFdtBXG1YX5HvOe 7hO08rBXDSaoynlpdB84Qef3KMQEnxVU+XgEBP7eZOdYoVirTU8o7sx4aBu8DECTaiUi3PuWuuKD mboGVoGoMnL9SAKAg6+fExCBky2L0nPQOu4zQ8PgLJ98YVUqEIVOgapQN3KzNSi1P1eaDHDO2c/H /yWRqVsP/9bT7Ai8AoFYJJ6q+PzMWmx+8nb8Rxt3+fzNKayynapSPFX1o8NtPEn9+uyEXKZdY+h2 4L4HxmJaa7rbu0Y4cvlwpuaDIupNoWhUVmvm4/8swzL9QCllXExnDg0DyUk9V417MI3lLTNifFjL f7r3sWOgsb21kspjr32yoTzKkKBf232T73HeKgnVgvjWYYtES+fQMVDFj6pqbM3m9zGgQcsj72y6 ycejkNp3mE6bs6Lyk5WFpoG86NNjpVPbreSbCOKS0JMmKIqoV6Xqvryke/rSCD/54YSfKEv5Gx5/ XG2d74b8I91rDEZS+pKgdy9vgvQMKg+dae+WRnQ+/i/dOv7blf23cpv3sXlg4ym9vB8kODCKjGNO 6weBvqptFroHdumJJqX46dETPX85bAhwi52TrVb4aBRAjVEWOqfVXledTVK0OXQPpA1dZ7PJBn5H La1LGX2kwcf/CX5lqQRktXRVIXNsIIijdc/L2KC/t/Q42qUkZI+FYgdBZqyl2BbqTmc5GwxsnMlz 6Kyhk+qTsUEXYvR3oEVhDTPWM/gdEXuX2wudJxuYcuzzzuSztJr1itEum/eiR86KXXbFjHNsIch+ mfxtucuyH0PFvEwXijq+y0L0NGnftYnv09oNFsF4GI2NqUQyZqVV++aa49Gb5+WKTdqd5cBI8AqG T/E23B4VBFhadOBKHnz8XwTwLDs2lF4owGSTFEXUPBo3K3ZnaiDg2yRFRkU+2dmjeq2sLLQTFFK4 NtZjOdys7Hw2bAoIkqmyUoP3UObf1NRVlFLbtMM64htKib/TyEgDl1GCGhnbYBv1j8BQEJXq747Q CdjmvNd96CcI6QNU8l1yvl7j5DQNK0zTbVtEEfxDUuF1So1sPmDhky2lJssgSPe2OukBSySyrrhx j2oroIWOgmJGKTbXoL50YyEMRwsPohBMfeNpydPi9ngCosBTEPVtAtGKd8wEvqeM5jAKx4lhvIAW mwoq00j/ZUi5y7kKk8Dm4K1iFbFzT/leXAEIhhyJv+xdg12WBWqo1QeuILg7y3r7BEZ8PAoZ7wzx z0ByMJ5SfmgsqAoagY/cZKRZkZUJpGfFJkuyBOn6mGCTbQm8KDVr/QZEC23p3RUzLlijSUqAAh1A itaH8Yyiub4kwuox52hyGGxDaes8P7CYHLWgMR16C47LAiJtFT5opT6d6dBdEJYXquVXGhcsRoq0 QEOlnfuMUCidQ3FYzXi6S9+um/b8mfB5wuhBcmfCZVYWkTELJTWmC5m+IBY0bn/X6ByiqwPxuhrs HNqJHTOoEfHx4MIf3/usEF7xzFDsMLgps2DgznMSFc9bpwkHLRZkdd8HFoNQJqBe7X95EkG+baJ6 o6ARlBHxhdDK3eQj3rwVkCe96p/81SWpl2UTNKEwJQGuE27x8Wib3byVWe6JLvZTpw5dBidVlBRV F7qoTIN/1FQd/sHWfdfH/FUcalOJ/byJPSL7Prm1UPmApCT7QWA+nuWDq56StdIRr9veNTiIkhpm dfug1j10HdTgaYWPB1fZLTBylxEQ/3TuQ59B+ENX/Lqeu+bhdDLLZ1fJt3wWGA3KkKtQ/NmHC7KC tVWT6XTejS5rSG/hh4bFUNtzl2171QhBxF5HqaaSeF6XqGpUDlj7At+fzwcds3ZF7hBg0vX6sqBz YDZ4vnbyurd8rHJD6bpvQ4Xrk5kNv8xit0GRytRZ5GAzdGZlQsNEikGw/iKAH8aw5wXP8dOe8ofa 0oHboF1G0Mq+A5fQhgq1XE7H5uP/qi8akQi+7ve2D/wGoQu9mXVcITeCqisUlVz2haQmxYux4aB8 GCV5w8HysnODt4XWt0QScVTjJiN3kaqlaEob6tyW0N8hdRu6yYbdZGM2eAfjb26z8vFoBe2vCRJK 78ELh5aDWEG4i4vhhXtx6sJwFck8uweMgecgJkikKNUOR74qA0BvATbKi2yCAsPElaY+yK4nMWhV K6jbqwYMIS6dRhyQBoHO80rKOTbKi7Hv4BNP04KHmNqvUygMqYdIQh4wluV08dSM3EFpcZuhKKS2 yussd/BTaI0T+DTy78Y5p0elg3nCJFaobc4vHVJSN3PgPZhFEjsflu+gkJrZH8/pufn4v+BDjTN0 guTW87OIQsm7rFyjUUj8TJH1Ezv1N4uMroZZzeTAfxBrkYplUD2zwacIBTQi2rDNziJqZMrIYYoQ OJDgrStNP+EcOBB6J6hWKXlUV/KYw/QfzhxsPh4hGX8bmaNf1pwh9HkNhjLuT+6ytAwL26fYL6P0 6UV8KofiJnsNhbLL/HZZyXCwm76fIxg9AIKFoT211LtmiwpfwHrXNKAnXvQ1EsqQdTNR+uWDMV9G Z3f3JEt4+GVqMvqBqp1VVRcbDf70D84PEswMwMuVDgaFylPM2WnOOHjqTGqLZ1s6wcx0aZYJRc7h SVcnXE6NOFVh+I7zmTkJWgeInmxmDGj+OjMnRpQ7t8AMHLRsalFN6KyeE0S/zgyig2IzY5r94NWj PM2peQ1/snmFyCUMdelMqTPUOgg1YF26kgGGqXkNfrBoKNXf1d/gYIvmnDgDUaJNzZzWoi7UUkPi n67LMuYXX/G+aIqcDKpKrtVKrsjjzd297/Z5DXwwr3vY1KiaSKNcXzSvYQ+2U+dS2dcKozkIZhiX YyHLNG/315lBDYhT0todfGZO0HwClCrJKQjUkbxYKoWhzxHZygXjUevg8xrzZLef7pQ/h78aTFgw Na3T7gX+gz1/XkMe/pXdVw1f7KxW1llpkhltp1Sv6jGlKuq2kJm6wkwqUncj2Gg7EdDS12w+2HYa gEp5daycADWJv0CFQtgwk4coFwz0D/GewUGjbnNy1zjtWAAfKep4rp0TLr+GOtkUCu7EUDOdcB/O zGugkxUJnkzX1gfwO9kr9L1beXW5AOBrmJMBaVKbmfklB8dJn63YOqHNUGA8iQTVss/8yODwZy/H TZVEi9zXKAe/YJOIeJo+cDd1+F+ajef+vMY4+TFPqSYGzY4LVfrpDPA6NSCIEBedh9nuAJEhWt0k pjVDcoY0aPg7RFPDK7lPpqMcfGoaUIloyRPcCi4+cwhqmoHyifvTY+Sk9wy2ExX6O8CLNmhqTugr tNRJmD+vsQ1OqXs78UxCzJ+6b6fXwCZLFxH1L4+ON3WUUOYp96DJblf+GtbgCGYxdVClRIMfNBmO PeQp4qAZa6seTf9DWj2ik2fBMa77z2tQk2UIBaDmvoNup92LiUyt/HkNafh+5Ws7kXhIWBJn5rVG iCuNPcFFdg/XzMoGGUcHjgeNVJ2o3BYcwYkz0zut0Dh4RLMGsAgEjM9Zzz6glxzArATOAv/TnhIh Bbiiqdnqt2tqkk3Ngs6ZlFQppxlMTbdml00N1ssuPjWvtcGsunYVK59ncOpWtoDdmrXcl1OdXyuD 2VG+vTFr6O0p6wwoN2xV4OfZdOfvq6wv0yB9cMYuhpWGeJ/XwiCmf0kdO20fhPI9u72IojrwcHDU mJRmFR0D0lmFLQuFe1EkjCkAQq0aDzw7tbAU81iGzeZ04tzfs7PZ5OLgRa8zI6WUZYfN+f14HhVY +6Ba/tMv+RJntN40WDiWiNPUgYMoT6NV8QR249PBppr5+/I+Z5XQLAKmB5MjCniaYl9AM/92kZPV TM9fcjH00eRMRTS8gTjY5KBWgeo2M83zh1QZFkEc54dA8pzJVGkqCYozF53FdPfqnVk0BwV9DJh4 wGYgxKPD2IAImp1JGkj1ffVOB8M9hYIllFfszCHqhRurZcOy1HQrplFEbItmyKxp7PpMT0alVywM iG6NLSQUFhtgjhA78OhGsxOFxHT+7BCx90GlihNmEvp8lvj+vHPBsiRVv08deIyxUMHZiYLiymNn shZI3/DpZLnmmn95uBf3OxMsy0jt3FKMdTX4ZYUWYiYKG0kmwJkCSNOOHWodVDhuj3DbOxGMpyrX zmZbi4PV20WOOLkUFCjCsHg8aSYKkHQ2vLPzHhhLuRkNXEknAe5pKUOWXhAKgbXdSmk0O2idIiqa PtjsQHirjy7/Mzjp2cHN1gKyf+6soZ01VaEIzx3BDkgk5KCO1pjiGIDs8nlngHFfemxMfhOAB4UV M01PGB13Hsu1+/S0ZdyUxCxrSnXQ6qTv4XFmNw1/ND1NOXhTtJ2AcBdzsRoQm19C+2YEOegKPQy5 oRcN7qyknUUUHQf8v74h6M0766Q8n3f2VwblNn8FgRmHGFupNjthgNwporldiVUdLNK/crauenYv mXf2V3YM3SDJVoNHyAyPkwzQkG+OIsAvlhNPH+CIVaWYDHbeyV/4A2VitVQjddtUSDwttkOhNP15 537lx5hSyThuGjq52+xEQTLEgaAmUh37dI0poRymg4foH26tKEq2WysRT8DBexANKUSnWMBJFeYQ IzxPTPg43zC+XQuW3vR9doZKFJI45qAr/UQQoiStPj/vtC++oR888s4Fvqh7Eeed9cXrBilEX44u dJzhSSGKKE1zowiiAlcUKQ9h5ySvxcF3FurFeUqypKzWpepSUQggDb7UGyjTEODzTvriwSpirgCq 07YW1cObLiKIukSh8r41rnqdF/uNld8ZX7wpSUXBKlOPD3cf2TqbtsqdjXQz+XonfPF0x6oBktkH P5dPXLEbQOZn8SCMIvoUwt0VnPWGBtRVdJlTbxpMD0OdIV/K4b6U0IxMMuLe6BJH4bIAW37y0DG2 3Wj5ne3FLIuFdQOkoolufLg0iMlAIjOK6WO/k72ye7iTL2ODg5tPngW7naIE9OSyAvygsoby3U+h yrpxUIre9P3WIgGydwJmNFg8WIfcZGbJn3eul0cE99ZqkrVqPjtRuJw3Mwh3mr4Qp3O/MKugPEC1 qvo70YsrkLOTdGGnBxl/1hFaXzIXPCfQFlOFnOiCQ48lJctBR9GbBrfWFAaMuF0OnB0095a8Bdv8 vNO8cKiXm0tsqwSSzmHTE9WPEVafu3V2M3WgrglNrPKwxZOJHsDWeid5sdjGk6cJgNH6c6ef/OGE wUivT2BY6dqEbAKWTCdchQB8uTLQUuB4J3llbw73oWxiWDZxjsXSuTfq2T6fd44X4+Vt88PwBsdq u5XSd4JXVrcJfb3K8wYSLsmmh7uTl/podjC/87uyUHqgbslwsj+Z6LkPeZUzXl7ntilSqU+MfAAR LSR4dTkqb71q1JZhKrrbHQSML33KeRPWlO/0rmz+clo+1HdDu4qADs1PFDGjiFxFx5edzDTdhOpk FMh0Z9tdYS1Z22qu4oMvn1mAQk2GtITSiamTJEXMk+L8utZJo3wnd3F3sYo8JVw7LexcFHhV9auV zzu3C1fr+lVNZgA1vGT6Tu3i6iH8rhQ/e1p3eBN7e8Cm7GXwpndmV5Z3LCtfyQfveO7STvxXWceA RoloJ4S7QP37h/4I7je99KZB0MNp6fSc18DZOUtzLWHc5/q887q4vm+mLt9XbK56z573iBlnj8BN 2SoZ0zW2SvXpYQlP0/MeMSuNRl+BxDcO9+xBexNnC45mHHLchgXVHuhv/aCK7gkFo553VhcbZDxz JB3JQUXlumnXdmY77c87qQt/Zy5fFxdp09T71OyEETODnjncqYliXqzzEHAm685u+lrvlC78sGTa DAqUarCjZ507/awYmYLsfY6eJcoFCn0N313qk25tvWk0O8R5r3oHVU9PssVDGp5Zn3dGV5Zvr80O ox5mtmj5aHrC2jI9U8RZYjI6TYG+yBCNyahbyrxTulj4ZUxY2G3goOlB2X/uTljjWTyQXO8mWAJe KVPYq6012MV653RlFxwdlA3TwIMZohTyB4Rw1DulC09Pnx78dDwKCfjT7EQRM6NV2rCaOFuR1h/A FtvLy90YXe+ELlYy8DdPqWTO/AWKmwlOhUTstHUSC1Erz0mPH++8Me93O5fx2Oedz3W31uCy08DZ AR+wi62U1+edzoWIcvjJw3yCXZJyO8NRxNwoZVOtaHESr7GszqN6GPEEywgC71yum4yOrs5NvzKj Zz20ATgTb/UEYrbglxQjBNcchY1rR1T0pkHbfCoLrcMHMZXMmwx3b/68U7my/BW+6svnmyncosmJ AuZJKFOqzeCC5HYznQDFSwZ60LnS5IT1ZS0dIZnmg2TCLisCnwAtOOB7hoN7MkbG/UW20PX4eudw cWbIlKwia1fPJU4MqYJG7Xq9YFelX/c56wb7Tk0ULIPxABdcY9+gT6d1AzkSlXga1Rixq975W9kF fsZS9XQ91VO4Rjb6OYKetNqSSJKyi8aEol9MAeCFn3f+FgsKk9tpZh8Mi3tyFM7Omd7PO32L4Xz+ NTtoMl6Qzjt5C6dFYnNpeG0Z4lvKtLKbKWfK/HN23mNld8+bqd/BL6xS99kOcnw9eX8xGXZIrmZo sgF26qqi1It4525leWugmWXGr5gH/FHrrMFEGO0JlcvnnbvFKsadHu5BoVW9yPPO3cpSXYWgRrXO xMhWe0+sEUsKoVnL7526xXYo45xJEvKYl4QMeu0+YRptTU+KAckxQcHOqQNa4+NpyjT0nbWV3Tyv 9Vl9UGkZysASx+h8vShQ9gOZr3VSnTX9Ln8nbPEOqBehzOJg9Ry9FZfG3m5e8M7XYoSv0sVuPvi+ gltK3eLWrjLOjUQqhQR9zm6kk7N1tCix/k7XwhpfytFn9kH1nWXfV06G8nlna3Hh+KlDf08U8tYN k9/pWuy24BgGekHVL1UrCnCB1K+BEAtB4wQyRYXlwcS7L5ukq7OaoVN2QlqFyQWqhKp+FbK1BngK V/KZNe3PO1nLIFc09yo+WAUjDwK+wLH8vHO1bBVYIMh1BpxpeQ7lKE4mSyGR9CGclytmmR6C2GzN cF5xZTl5AMMgGN82SfabDUuGjMiBPZW48xJ2fYFEDSHcnqKTEflO1cpm7QEIAwsZhf4gzCMAEaQG yzqh4DtVizfzrzRrItett3r6ztRiuZ8CI2tZmkV2tNKsbj2/QnVhTlBYXKatw+hs23Dw3bXAaGC5 PCHtn0XiB5S6g1RZH7e4TPOWzztPK7vjzjkN1S085zurGGuvJWFgTP/nnaflbR8toMIIG7Wwdc/l KFbGgQdj0Gzw/1Vct6820+2TNhHnJ6ouy610SE9t5PpAmyYtXtVQP3PQtgyJkGOdKxKxZr7rB7HW 552k5TU+YICGDyrxnJhHPc2x9uedo4U3zBcqqHrXpAatN7beSVps+4k/0gximrahb0nezFw/EB+i oPo7R+ve65D98cFyiXOTrz6LTue+cQiRHgFiQgUoFhgLu9c7cdvvHC1CaKWrKg1RujGzioHKLBYS Ss6fd4pWlrPCczrLjrv48fPO0LLSJ+AY2U5n6fB3eF+oYYNbHTw8zk4ULk/CKEfRIirFubQZxVdY Z2t3bXR1iDfgdYU/lPVlA/LgwP2887P4HbzRt9DJLn+zsgzQaTk5Pu/0LF7s6SvTIjKeTWjNThgx r2zIbWuKOjYuF/dCy8iImUy8k7PwKU2H876Dnz2t9LG7qu8TN2OXAjb6bmvhZrz4ZFRC+KZ/4gYz hTE5aHZWnSxnw4Tu807Nyo9RnHXUEwm4PjnvATMglZtoLNGx/GBGP70MFwyl9iAOnndeVpYROOqC 1Dzg4AcP6PsJRoJMQ9sU+bEgwStYsJPSWDKKw6/xeedlZdknIW4k3pSDtyYKFXrr+RU/77SsLKrw F6oSN9Za99gJS8ubLinF8dtM61jgYdhFMAalmDk7UWlZThdjjzt42FOZpDdaoaGqmrzMCjsZNk62 p6KE6X3eSVnZzElBE+k+qIQxpQeNQ3Z+3jlZXrd/bnXz8PFb652TxVhLsmHuc5+m7Sz4Z2nxVHoI cnrCmDmreDGLD754oAB+5ojZRAWuPWt6ziGyzwbc18+KMJ53PhbTdBa/aC+uwSgRdiRDav7zTsdi w7B9HToFNeC8PWB+J2NdJAalgczY1L0bxpbFFfUVDN8eIzF4Fm9GhBwcd9pwFmwJF55jLAtrcNJJ cGbJ6b8BM81KP+9ULLY0WeykmaMGzs7J/U3+dBc+HbWLf2Fy8fVre7L1zsPCU+RhDUqI8MIaTpjd pViaDvicZufveHkoluFwm+kDsPklGhaAW1UXP3VpcMvn59DpetGghiHQmCXry/Qlxm449fHHnX/4 eedg8c+8/T4qq2MBttsubmGwvNjw28lmpxhiZQDtZ2snT6MTtxCzzChnCF05vtCVEA45ry8eXys4 g6R/gBoLjpm80gOQS3rT4DpPlqBnHwRyAsFAh8aqeDoIBvv4PpOlIu+pRAtDZYCmsg4dFt2T275K 5AlFd6KweehEZeUk5n3Xrd5vCQPc7QSfcq6dAYSusQBEE6s0tPMC2LI3DW4sIuMANPdBi6dUNx/S G0bN9AuuZKaOlYgqv7FHwkiZ0Nw2i2dac9jBk9Y9eJrhlvt7pIzpSSx90VaHgy8eFO/yYqSMGCHr 32yTOk+J/ZAL42EFrIccPllUEmGuwXAqPcmdq/SKpyNk7jfpCFblmfIVmp0oUobUR81E46mOsV3L QPblYANUR3X3CIkx2CseVPbXYPWvek5kqKR3UWRPkr1ZH6xIaaE4M9ctgRFugu8I8ohsbdDkA7cW mEcqQ7S28HRwodfv2jIwneuya3oYKJMDtA1minaWRzvF0vVVqqMHe1Ra1p3VZRXTH6sY7K2Tj/Ql iNM8qRGTdBhbnTk5545iQZFjCeLpUaB8HZkyh2ZYrrM9yXAmG73g8YDLN/vXlU7oYNm3CNZDNh/n h3kQtVQ6cXGF2mnDIGBQ0WIRrEex8mSc0xbJ0xz8Ti99w7OKhIlBBRSi3kE6q5S37/lR5GHI06Ng mbh1uKg1H7S3oOS5FcYUPB1xHe/qoasyAZ/Vo+UecfrkqkxWNBGEcw6X42kmkZrhZt64fmIgBoOd JPRp+kKfng1eKCKDo+dsH9SioPGAL4SyKBqAXl+uRW8aNfx4n6MbheFsZ/yd55dJm4lWPwc8ng7u rfkd85AExSatZicMlgcVHubjxHS9F5frzID7SBhPj4l9urD6HW4usWlPyd1V8XMRgpk2Ecvp19Ej 5b3+Hi+jjMTaoKRCODjdZknNqeBwjOLlMf1Wl2ZP+y5h9LDATFw3g1BeW+hJGrySTVAmJdWY+f1v 5LIIrBp8b4Fw0FUAW7D5aDyeaBPWSHtMj8UQJZp7VGCWB1MnXV0Dk/Q+tyyNTmA+8XQEAfOjh6cw 8VLbE63+Hi+jlZbZBS3UbqqJMqaS4CEVgM7KgInx2ori5a3axRLqfT2o9w7w/NxFMuhkA7A5wV4o jxIUd+xknvam0bUlH3cppCYrD557Q1YkiNwWno6y9G/kMr+eHCvNToRcxm9wZsf8KmBx4fjBbvj3 cy4P45/3CIZhuZaUqYdkTSpb6RPC81UhTwXIiUDMSpNhyKsRoGfFQSQ2+I6/AGCDgHUNwvA0AM+Z TrSNp4O1s+r32kFykW4No4fxMgpGqY9p0FzK2GF2TGIXvXSy7rl2otKyNYzTMzgM49y/53HpWW5K FtCaikBwFGyxrO7sdL1pkImysTVYM9eg0jJ4bAx3z0WCpyNKsV9aPIKx6Wlt4ZziKGDGuQzjYM/U 15reMu7OCkjVGuojKi1P3tVTqRQHv7Vw5AvdC+uuajJ7A/VSbmvcwlY7pS/ViOJlGXd16kdqUAHs 7CimvmfZZzwdxMv9m8lGlXtKpGlyongZPZaztUBklUzjcrMcXtPSA3GPihFXlnWXUyKNgx/LM8FV WZfWhPO09IuQHxXoioLcd5OtrTeNeH5CD8q7nAhryyaW1c3nxtP/xFYyJMQ9NOqdnhi5jCZ6a0YK KI5XHtoF/8fCfzMQz4hKy4Ztonc1BvywgziVthK4qlttCdAHxXajVMpi1GtdG2TFA18RYCsplNK2 pL1xpcp4oa0l07fzHzwddSXa19qhjkG64MERhcutE1qZmxVPHeuEEpgl6glxPhP1EYXLOnhm4bE8 5bowiMU4xyYghKRqTSqf8mxS6g5rs4eqdX6gga/4qynRqZitgUvnfGWdOndmw9PRuZO/TmXcG2a5 wMmJYmWG8qmnqzo4sqXpAscxGtyGrBxRrDyNsb+rD76xIDtI6QiAulH5EiyXdrnmb1YeXK69arCz mIKeT2edEC7ErAtCZY2gazRSMh6PFo/XMRgZI/4Z8yo9RNEyNiQinmwuXbTupqYMUXq601c3qYco WpZ7UJfvPQfH5SZo32VHnhaidXjwkCs6YZDjBw9Cbr5psLUkm9ufQdFyBfSUpYkTD44ItjzunX7J SJA7tNkJUcuD9VOzmsLtVT0TLU0eZqW7queIUMtlC5crENh8QGAzsRpI9ynYoq3CiKdBwEDITywc k9whqHvEUhi8zA1huYYTJmZLsq4/n4mno4jnRssq6aBoOW42MaL6ciOjhJKrsihHSVqrp95MvVvM M6J4WeZ/3bHvfPfMO70hhaKU6km5IMRJ7kmhkRt+FZCbnbyP0xLfEcU8Bq4sPggk15bJ3K+18XQU L++vs4cKt/lGhCOOl0lH6t1R3cUO5szfh6juOowHOf6OlxmH2OB0pAowGSMv9PwGEypGhPzxGrAU PjsUN8R3BPFyk6bBYqUQUEQeGOuk/pn/C9R3PB4k6tWPHvLu+d+Z7AtNUBgy06uDxC4Skja5N7zW WfyhV4djc0dYYhZZgj6jGvzwOeFwg/QMCUnzxFhsiuZFeRFsVzppmaBnznrVoBLWeGnBK9YG5lv1 RLFLdLaU8XQwQeOiCHnRVdaYvZQxwxozoHfnkhtMPcFqGDySYTnFlhaxclOFnhmFzOwMnicEf6+X SIve+YSGsLQxBhw2WEUF5Y1yTfQptVIGtc1niMagb1krSkfLciWeJR7MD6IzPB1MT/tO1oEgheiT TU4UMgsLRoVv3ezNheJM6YtnT9XZPEOy31bbRhd8e+o8a9VzNjfRkc4RNNFzOzcXgvkCac72aFLT vxrfEcmq8GyuIgVUq2SckEwcacLF8fQ/2VrJzizE7N6gmFHMnOhisqfTtfJyfSt2RagWh6nm5poh 24+XVt/SRtuXFoBjHdR01TI2JoryD5Vi8hARhbS5JVz0D8Z3/MUz7kraOXBvnR+gTDX/Jp+OkHJ+ szchdBE33TLhjKJmunendANDWtgQKTdLuoSkbNMTF5mFBFMtNV+h3HP/ATJT5bUNAMKU9yR53w0A zHyRctRFwHdERXgWM7TFOOjoqVXmGvV8HJ6OWBN+dUkoBOAj6tNqeqK4mRRr3t2Cv0sUgzZcyYFy UGZgrWeGcbOIWvJp4+Bgnn1+3qkGegfdfcm9lBbXIC4Mig2qTohfBt8RCUAQkk2jFg0C84CVQTtW tLdmFDW39l1jLm2ou2azE9aYp/QXl80OT0xcXGdPV+uNiknb+SnB2bM0LTZJl82WYcXU9BcR/r46 455Ktw/66o6HzTa33jQ4mAk8pUCADTqYgXWlTNMJcfF0EBfeBg6Lgp1q1hfjPSNQBn88Uy3nIe0O 2yklQ6Gyxa69FRWZF1HMmFIf7tFzLpXF3hS0Vc5GkLFAlTHy+IFvjVcz2BudUdgsHjZ4xT4IlHFO dG4NQLbwdJRyfZP9mLH1y0iaUdBMhYFERKfATuuGhRfEnB3EPEMRORV5+s4+eGP9hMm4+cywtfQl 9UbAWsHk/1mXzLZoNzGjGnMRAB5FQRsMAN9U6qlr8+l/YZ3Yy8BvMx99vQiTMSuRGHs5wns4QJcO NOefzQ3dQy2dKGYejAUHGxka7uScnEKl9pOs7D3yvgaBsptID3eChbAZxcxNgozyuOOg2/nMvjqb 54TH0xGex8uEMsIDALV5RjFDqh/ClE1kG2enz2a9rTHcP/I5d0JxDJUJt+LCnb7wPHsidZNVyYQI img3+FPRx8jfyipFbxpsrGEgsO6D1s4AzJHXdC54OgoI99epDD2gkm4tY0XhciZ4WfkWaRRDd9a5 YMw6aVHvnbOzIkjGyJaNVh9sds5sQClhsIgKfQN4diLiwf4SDvnKP4xpbxpk64mhzpI4hvemZ52b BHK4P1c8HenO/NKBRbep3GRihSVmggi3O5LluR2wsh2eW4iIRa6+QkjGkLAKCcQcPBuFb/qoomxN SE4SEFUFmMNxNm+lh950+I6olsE0tCuZ6J5MnJvK9IP3rng6ErwaX+cONZLr7amvUFu5spNeXNFp 5WQ00e2HcqFvBA7lFWIyJEOojAvyKfgtuXYgJ15YHYbt1skl5Dpa0RnHX9XnjXcgrtzwHVEdjCUe LTgOnB3UOCndUKlfGUbL/b/ofvPyRFdI9yNjmycAE3XK5LO3Baq0EvVSzQBohehlSoacEzL54Bf6 PJf3SqpknPSkdQosQw6VwAyucbuzRBVdYY2ZlPLWpO7Zts9OAmYcs3NiKzz9r3OHrS3y/C4Be0Wx 8qQoD7EOrBLSsYGeEhRGQCZa2dDjzgrJfk16M8q00kPAPpl5Rf+T3Yl1ft3NoynDkLoghiRV1Ug3 KL3iO4IqmCjGTT315pnoSSBUjT8/QsbTwc6alxaALYb/Yo9CsxPFykmOG1YFg92Q3Vn0pTYnxGTB 4IqFMSTlznS0fRNpz4reG26TOZ1DB7qc+Ns3WSqbpdX5BTnYetW/4CqoxPrAVAIWQiwY1hMY4ukA kLG+Ax7WvKkDoOkJAcxi0ia70qVOIbG0pah50QNZB08YK5vwqWqF+0m02tpjAA7DEuq5wDrTdEb/ hU4J9Xr8bfZF1z+EMdSf6DxYmaZvaAIgOzhrHE//Uzm369ra3zjLFVL+EsEta5gfAGpi2lxMhFiC ZxeKR08IYWazvBcRk8qjOoOmaNq02oa+EwQEM0vMIByjMEG7WmNEsgS/onBZIU/dogY4THrsPZPy 9J47nn7fXOi/WQ2M/zLCe4pranpCDPMUTdRRutkWzbm3pplEEpWtWz2sMRvbRhx0YYoNdTBQvhEm 45zT1CovcJRQrf4HuEMvEdIue0UYZsopgVBSfeDZs5nrcnpWxdORWvcjvJx/KOa079ET1pdxMKO5 a1CwblMCFnZyrvE20ZkVhstdKQKbfxx8csa5syAVqPpy7VVWxxWVNwAdoHzgIc8YetM/MQeyEu9u Jb7gBmyi1JlPB5f6/g+Sekcv1W/1HcXLFLPa09xWK99bJbBmqTvobCYcskOy35ZArHqj9Zmejjt+ s1KJe2sX2SZUWMkX8T6vNIZ8jncUL7dM7HJq3QdVCOHRoexy8OmIWXJhqArc0jeHf78HzKYunOa4 F5crUxsonnw25/DvUBxDOmlLJ/N6TmZkQFDQYTqxWmN7CP8MR/kCfHNfUR4yUvAdQZGHfLYTYG4f VCGEujB//DPi6eDeSh4wy+SHx5cfPDtm+0Hkk06gKIEVoQ4YMGclYKtV19rbYcDM2sR/l8Bwle+9 UpE+BqRuk9z8SJ8BNWFfzw2pC+8oYJYPyZysE3KwMsZSG/AEwRtP/0uyiHhndv6u4HvI9iMVsjsG NZedvQBW/eChoQMnJ4RkZAkW5eKDX+rpHDZNLBsQSNdkO72yPQ00M+QVnHjDKsaO4mXTXB4i3gwn 3kBiX4JDPW08HVRP06/iMg3lntbEDgHMEA29JBvQ/Yx4w/0k7oS4tZieEMAsnSsFzOMrYD5HGsDu bau4DH0hHjxYmAVeIag7mFUm9ge+IsgmTDa33EFLp26SKLGxKp4OMAeXOiF0S7NkRpMTCslRt38N L566ojC6CzY5mc5KnJwYkaG0uw8fPF6uZ1kWkGvIaIO4ioQcz5ZHAEgC/3y8xXYovCziBJ3/NBji YAkPXM+r4+lIlOd3hQdonpuH7giPAT2Gs5t4T23uq2r1r0bbRxiTAGXOYHmHKnIqiJoSYX8EPs/d dELnLT5bO1doIZW0AhzPRivwm3V1maw2velfXEiEgT6owjPK4H18LrGCp/9FT2eojBeql2O8w1CZ LkiSZWS7OG1bOaU3LywT6YOVE6Mx1JVoxQfP0vcEu3VK0hzOX+pKEN6CSxXqzlo7tFDkmwaxoOme /pYgPDNTZbsHnBae/lf9i+V3S9p8dsLKchYGw1041pRIxjlAeYkhkZhuFrBjNMZ6R4Kdu3xCzFlo DCgSKl4kJh+H8Lr3FclQ+Iq/tE8H4TcauHSgsM9uJqxE8HRQwng2Fg5ObPRCsqFmJwqVW5dHSXbC Vm52JNMQgfKVEoPA2omgGFNcSMlXji/5SmTTF/neYGgjmTSKXwPnDTu1a8PR9KZ/CWNM+bdM92/B 2mHqzAsQT/8vwsLgfe/rLqb++ut3DoqFDAlQo4rKjApnMoGVG+cOYOoyKQlDZW6tNlf2waYHyqco oLJakSqsOJhJICCqoDss6C5bLMgLHV/yPj+L53KFBSMGXOzM01Nr0pSDWx2f/xfOclkpGlfX1e1O MeWP7nSAKRELxrbR2V99d2LB6KwKmS7NURwvy7rvv2hbZ5msc5ISajk7TFeJQz1XArUzYVLULyKD UR6/5U/IQRE/qViufrKJVrho22iNjwcZxb54MKJbUNdd92rPKTQsoZBlqcP6xpK8wv21t9k+FopP Uhk/hUBmVXskg9q/ZVBBm5tdGsOQEEySgUg4bIBVQAfX9btrtneNEE/EoZYsci3M3BqrYWcbE+B5 NvHi80H0k72gwSmiy+bFHeQUlZoRdVRVeaUyXFQPQyBngN08nbJOtbz3j2Ey1QZnioOnXecGg4Nf ZWGQhU9WUxOoGV1QzJt3ARCnl41QhQx+kvhtyWyAJ1DBkkk5H8vHI4LbLWpgV210TGgvZHMUxc+J HpnybmHbgkLemWbzSeX4Ml1pJaeo4mwyT/+NzkC5cxAgnyGjMBfAYKg4J9QLO6r9T9GwLXvXoF2h chhNxjVYM2fLvu4cepmPBxlGfuJEjOcgosW4T1FYdW6yvKmcmLJRIJWQGjFqFFKjKzddFlJcdtbc KAVLTwp23gSijWIgA5it06jwLwFBmnQXK4zxvqeU/nvcpmhICWr3BHU28BxUGTs5GB5/nyIS5TRF iOnA7aNsik9RWHnGNbRbct5AcogPAl6Fi4llSB1GUTQ91bdYJfngd/651ydrqIwXqzh0MMXO4DZA AvR2k+eY9q5RTDRFsL2DNtoJPiXvMHfn4xFXcn5nYrSMWc8MhfIZVanYdoxYH05Zcvut3Orwsygs Pm95tklvrj02xpCgbY0NywSl6VHZ8CrsmXbsuWtOVqBDrneN6JISwiJmo1EJmzMEtUJTE258POoL ekxt1h50C7kGZSmKqiloRvtlEx+e3UusdVkNkS7SmqJIREPGY92Yk/tRfUIWemZiCgp1/pzNehrB UYWKNms8za9p7/rXpS8Jfg3KyRrdBJmhTz4e7LNWv5IytnbWbQ5yQQTxWKGQmuMwU3Ft72kimKvW VnyGYkcTZatEZPQH74MrGuIT0qKBvXGmalileA7RweM6quNd9K5RNUimHaX7IKQqmo7sDw6GjqGn yaWgyBGKnvP1cbmLwms0bbGIklVau4N+8urXUT27B2BgAoh6tmoe4w4PC2W3IekweAyQkI7+MvIR aHLNlByrKmOKHLgAYp/JbFS4utmd8Y/iv2R8st4xSs/S1yICWC3T59tmKAqukfQguN5MVCtZgXLX pI45b/zS3OkucAK85hRTDK552aXQUU/nQqNC1jmHzpaVbkvCsQCuMXpI3kTdyd41YgiyilykY13c lbW1tsycYlQ+HsTWuX6d1aQD78eRK/YC5DYj+l8J7LpGx8sUiVlVtxkKBZtNlW/cwbfZOoliN1UE JG+LoXXdjP3PP4ZQkWMyFTaGboCmPze0zRjx6TZb0rvrKH/kwA7wP01Iy5KR6Z2hsCiNwz+RG8wk FumSbrOehYJeFhxxl4WRNVFPQx6t4/FoLQXWktheWkNrtiQUB9YDgr22HsQ8W805cATELjO6zvRB u+xEpqK3r1z5+P/Siqd+zOPtm0NPQFCSK+hXApAVKX0jPevbNb+7IzNzYAoI8U3J747ug9eIFoiV VreHUVPlUU1xxUIgoc5qM2Jo9rJBO15YjtLuwClCu1cIu43gP/QFLJfypX+5/z6qI/lmCYSqJk3L zWY2v6VSR4upBz5H2yyMqyVCBwC4DQ5BPAFVhnsfmcqor9CLoYAicuIISBxcbC9ZkfyS6CBi6jpF jZtmK3nO+zmFsDzJJR+PJGZ9EbETAnQfpLfvFIWkQSIWlmGeca+ZvE8u2dT68tcq+hv+3Aiy1+Bt 54U+DWSNYISxAemjzxKJdmRDjPKFeJn2shG5QK0NwaaW3ZlrVRO5Ptne5uPRYX09GRhEAz+Ik9fn KBTakD56dvIO6Znkuy/lrSwWLZ+jyPHE8XZSaVmXvYMCHWEw8oNBX4nlbLpHwXcSYiR24xeiM/gl f91nKHf7oILjOe1YbD4fo8cjJZJ748sXGW/Qn2UU1qtVKzLVNeivmTcDKUhEszK5UpIfGAUmS/LP 1TJ98PRsg7XD4CPBLGapkZ/ByEWz+4dGal5PkwlnaBUorOZgd0EDlxHaWIpp5sp8POLwPBauoMfh MNrPYRTCoal2XdhSpRvenJbBji5JJMkb2iqK8dAS5GUh6CJTcBgBm1uWVhEkG4fkrin5Q23wdZ21 h8yjQ7fAwh1GbI0NAiaeW1LyjSeX5eNB8lF8huqPFWWp2q8ZCv0CUQoEnN4RMHUY+C51+2dwPzF0 WQ4NA5ckVbO6ifl2E8/5UyAIzRmCRzuq96rr44PO+mnjKckWe9VIHYAnUeNp3YicRE2sdPg5ISgq WEKBYyAySOdiMEIEKGddZ6EcWgYimEXpg2EPj6RpBxF76lKUJ58Quyz0DBzD+kHFB89fQdJqZUiY d50zQ6DxgZOLEtDIzFQnotkxv+Qv6Y3apMbWrH1wjurC8snJ3Mvk4xG49RfloBMscgPr2DVwsK5P KUMprArnCkCz2eK1yqo/ZygMrMXurmP6cCkZJxxeQ2a3ALoSog9RGMQX5x8jVlSxUShVfklUSTPd n+SDbrOU1UarZ/3z8Siw/tbfqEW4qztDUckac6P0FVjfc7gTZrZpMZRVjn3EW/TpcedjyF5puIM6 yXInb6slE4R3UnHIqOMyw1bBj/+DasB15fR3jbr2VASXaWkjEBjH0NkG9P75gSrVR8fMe4b/iHCg 9QrJqv3MUGiHQuvAtj1qbFuGgWfCh0WNgtUw9Qi8AwElJiAmqxqbv6qx6dz1iaXmBABUk5tOo0g8 9VF6ua1pyo7l2DyQnYo+BW8g60gJ/hTmA+5sfDyien/r//C2h9q0z1BUr24y/jALFHRiPTmjKZ+g io76yKF/4GK03NVd5eDp6zxnDi0IEBDlXQH8QT8Owdpme6hfMVo7qeO4ml2PKWzDNGzDgP2nqmB5 6fGIoPEkZ5lXKCthPkNRWN0KHcnd+rYk752dB43dU3VmJ33MX0hgZgM2WPqaJqLDQlux85mDCRlS BCRYACACTCoRoE2kaw4tBIe4GUXGTMXtOSegcRJnW4OPB0H1k3jg1VBHmfOZoJBXSEZzMzKPYmqD f7TseMW6fQnFas/KycodvCsEjZIlZ+m2gWt2SjOESlA27T5ByEj0qsEEKa9v1GfjwAnCWcJ7CHLk fDwCA38jFvf+2Zd1mUMTQUps4Xf9lbmyQGRltXX+j8sy18BFMDu1ULaAHGx61lwQeWZ/+myKczhT 6qaj6rHOYT6vaKZ1FUMTwSqRCQXT3YPpc0RTzp9dxcnHoxPoe/lA/Lyk9YSKUTBNUeEsqi4LaM21 wvv2AlrKXh4KfASvt3RL0wdvvJ5tk3IjohP6mUVpKwCGQBkuIe2n9aa7vWuwfhYPZ0b5GhRMj82q ycnuaubjQXno0V3lNYbLcFw9lxx6CU5WYaEKQBoUtJ900RfZuaOUT0clHkGxmaAqaJLG5nBxnZXO XgyFID9WyRAH3024znEFXQSYzqGZYK4S5JCwy60OnQ0mI6t2cj4+HkXT/SvdoBdEvvKZOfQTBNIa uDPDNyT6QbKAlptpIBaYadoERdE0QTXnF1F1aLWHjgACpsjs6C+VYQUAmjaj0lwoG9CEuO/2rhEX ikFi40SNZmJ5SDaqGKYNkVDoKviYnxWZvfNOvBf9376CSAWsHURaNaeoOjQYqqw+RTHTkLXV2bIP ntanhspiU+9+n9OHnbKNFj4RsHs9SCtBQEJnwSF1Y91j3e+x85lUTwZKJg0+Hk3RL4xnJ4v32WUx 2RA9IGGCJUlWjJYgPTsKRToULcfmgtS7oSS4DXcRlQER+00EyAm8MmXLgMLCCX2+iGKIVSZo3d41 knaRGqLoG6mpdY9OQSb/H8VMPh5ts/XrIMKngfToUxTG061eaoL2WfOMo5vkX8G9Y4vobwnonlU+ y4/4zZmVtucokhBfgGoQJIOfvRD0gDzfaVE0QsuByaC1lvu5h4YPBoWFfsAPdZ8GHw+us+teUPjY Wfe0qLEpigJqhE2Vrl6irIqLkH9QxtH/WtA5taQsNBq0tmtW7z4/ziAFGCK5Yp0TtbVmdkTQjaho hLIMLO22aYd1xDvUdTY6W4scmLaeYEUcRAiw8/GoUF2eVQQLNaji3QmK4mlimbkNBP9oxQ6iXJOp 0Ofah6+hCP7ReAKdGG76cMPFBQXEaaTes2KSBGuhPgCUFxS4XHxLxbPQbFANs8E0QYOWUC9Np2/n QRTCqS+IqEmyFiyO8qyhsEpd2Q/qnpRRhNqUNZ0BpDWU9DFRSMS5mVJwm/2pL6Zzg80l4+kxZ6M9 FqaIFCB00L/Q+MveNdhlWeWzsn1Q6QPY86Sco/PxCIp2KWTcCkgPoH3vUxRWqRupUTSsBM9XpqbU okfZBtvshK3GDM+x46AsHoTF42BTdKZiQgQgi796NlS5xPkC1Hd9ZLjQWNOXBDe+pCObaX24Fk5e xqKvBY3pwHJQoiK2irBgeHOX+jTvQ9NB/oIJ/J3KyJrUVxmjbYi3ARmLCa86iuLImutHhqYc7EKr E3HvJtDqLCmY1uNf4XKtNE2mWYgFjrvYywZlWPnqUQ5cg84iUn51Wk8+Htz5j9IdY6iGbtTtB8W+ g2gNS7CJd35LV5yiOhVx3gJRYDwIFQdJmqhOtK/yOnqsrRA/IeX1MQVXA5thC+rguSujV37Hn4c1 EcwatIoqba2RcK3Fx/+X+hDYdiQM2/yERt1UVKIGKPAfQCao7Qq5fcd/4DO5zULrwaUytZEY+uMZ ss9JeqaoNhU/gINmAY29DyrtUcZW6uJ127sGiE8lZ/8Bih3tbF71KU6SzMeD2+xRqOAM0Zbs0jty aD9IInuuzfLXnIziCwaDGfLU5OyXHPgP3uSsSVepPTireTKwc6tJwiPD+odNEWiN/7Ayh+/362zb q0boBgmckEnfQJ1iujA3fOQovJzK4vNBu6x9Gzo1VND2NQPLgQch6gpkWlkT2rv4pGx2CW8CROSp R2xCOGTHI5hVeSTYz9EJ1qYIvyeb79KUbgQmAW3anhJI3vaqUTNIzVZ2PJpbKJx8ZncJTZy7gI// u0zN4A8EpRsSBTaEcDWnvXBt3nJlwbUDnm/BEfrijqsOfQilr9SBnLfBc7MO25ySyKA6GQ7YBrjv aW2I9VrShX/4GgqjajEYtqrV2+pQ9KoT/a7NysejJfTdDBJSbzwzFJITAVDdtCf5v8H/ZaZgtWwX 8+g3ew2sCFGEFf6OK4mDN4Mq3EOSmtKrrbzJcYBz7PmLYN9dL5hx0OUgh3aETV0gouE08KBeDNcZ VaPIGPsRXkEGynl0YbTvDIVhtQTHu/ubt7oM7tmSF/JpyKQZCjmKCoiAdLbBa0QnSTybtLIZdHL7 npYshhH9nmwTp8hFWZHBmQNLQiOowubxDkLqnRNa5lVtNz4elWHbr6vs7JHW83OZRYVqu8wYKS6K e5heTkITtzB7JRRcl1kE/lBxbGymphw8Zkxnm05qBlBcsqtv3aggTs0T9svUlabLcA6MCZ3l2rIE /eUnxzraLpLtnWvz8Qgf86sWiyD7QVV/XqOhglgeoXS3xg/KQbaGynQ8bM5uavkaCxVnj08lsPNh uZbzV5+EiO4f6eT4Jw1TCrd45gLIgaLXNKwnosXXUKgA2jKVsC4fhMpf4On/kBeFh1+m5rzfttXT LFKk7V/hPzg/SDQzgxRX7R/KA04T/ZUoINRyiBjj0glmxnSohpKN8SQbaW20gASlPjkNuexUq19w oITuUfGZMbD568ycILHp4jLrPXfJOIG5OQwXlF9fZwbhwbaZYdaF7uFY2WbmNfwpKlSALVXMuXtY lePcXUkmRqsRUoa76zX4KY7zsLIzhxs/n8Tr/MQilp1cdVUB9vEjomemwmty/TK85/uaoRBkp8eq DTpzoPRIGf8TFX1eAx9Mq7E3m1UUMcnZ18xr2FOkjgI1UtfbFO4VGJhlZrErURybnu+vM6NqCX0x lg+OeoXPVVPkDAIwG/K81EDmOhuXfUtTdmNb7DXmKUb6hiUU7ysqOlVup4y77gemhD1/XkOe8kCo mrlSV8AebGZe450C8gZTdgdz8khmyFyY1kjWzc0xXqOd4hIec7C6wcFvqg0nuU2BkwnIS2ZnsW1b ZD+4MMwaA9VpvGdwzvx/xr4sx5UdWfJfa0kUOA9v/wtrmpk7Q9kVnqcajceLwolUiOLggw3qxdO1 QYPBOs4iJ7mwn3D5NdLBwxYL2jmDu4b0Xc7Ma5yDVbpozEjlIBlS+wnsIv6rsENKC8JgZqa1msf2 wdZMgzj+VDZ6zrzz+1a5ddNC6vyfTQ+5RXQijXNfYxz8gq0oC10+cDch2pFfTOn78xrhYMmYBimW LvMy2gf4onkNcHBusw8vPh1LnSinkFk3qAcNzrk4rPB8CKeGq0U1eg6+ncaqe7Upli+2AUkdDaF5 gawJTlYPkXFyf16Dm2I6kH0Whn8cFP6V0aV8vPL4vIY2BYV5P4JZg6+oBqTtZ/BrYIMzmDVnOL4Y o46xH0Wza7KTpnWDKLyGNcUhHFN8Qg5+b6MRSI1+TE3F6mfhAql5oRB7vSqJNMb6vAY1ReaGkEwo d1DuAHkKXjmoN7+GNHw/30/KrUAQoXccPvG1TFiunHhZlpwLhwjYOLGLOGkqGWxUcQvO4MTbeFKB X4PPDMKYqZ7Xgjd3ERlzElSD+xNu0LdKSCWuaGq2mu4i9mYTikPILmuLQnXNYGq6Yep1BvN6EnWF ggbB1JAr9NV3pwMLDmFK6avvDv90KS+EIY2mxkycnkXToYUvenxaECeWc1pNcrbhrXUxrCxNf14r g5h+zslQ4skhqWgxJ6Uxa4UIV3TW9PIV08Anhuw9i/aiQHigAZ3lX95Z9rJjuGbCVxHUMBUSdy6a HIUzcv/g4JCNvqn0rU4gwIE6bBJWCmL9fvmXeapF8R4KJzoFUblt+qBQOLWhDsxufDrYU/N7T6GR sdGy0OREsTCgMuhwaSJOltCtO1Ek2w8+j5l68q8Ek6NZKRbf3EYyyN57nmkZShPqnE2bCpFeBWQi k6zSVBMUzSA8ixn0DYq+cVD/pq2qpdMy4OFhPOyhDf4tK7ez3ul5D4gh38a1Uy/m0PEs1Uli56Zp zlSJIuLNS3EiiLPBp6dBQj8RqzFB5ZWir5CH4GADGuTRjWYnCok7L/GVJImXfHYW1C94xjYUu95j YiRh1WZHrqgIG+meomZIdCA34g294E75A1VLV/PFwwqXmuzBidwJkVuJnQkOfo0DYAgWCe8qSMdI ayDLRudcBvM2/yTf9k4FQ8xP3sVUNWc2w/TCz6eb4cdCQyI6d6afO8zEG64QdqI1Pe+RsXj/Li+F SLAuF+pAlT1JPoBC66ziRNPDdh5McH3wALAxsOPxO8AiHaSnTIKyueXovKOtNVWhCA8eNfzaHayp 1aqsvBa661FwnK0+0UzAjRW5SQMMzk8UHxNxnGV2So3N6eSU1Cw+bqT/sVL6HiC7AMVs7NrM9vhV TmAxs3A+dP1ORcK26AM02FM9noNipkQBMnvjfdHtSQO5BJD5onYECnSfdwpYgfrEd+7AnnGjTaRm 5z1ExofyD5fr8LC90J6G8QjPEe2Q+ShGlvbE3IQRcvBkvJ28YDVtLsimZnF8qNjC3hek3lWmmIx2 3ulf+IJVgHlZExU3WQZAlTX3E4jvzzv7C6unt6+jh267c3m88879Yj6ODhblZ0SIT3apJ3qmE9/D RhX3VhQmWw9LzTsO3oVoC0awCHEhjFIamV1IP6GKeo698W1isPSm77NDIe8+q5QjqwtzgOMq26XV 5+ed+FUembImWAZLB7Sl1vS8h8q4t1BYZ6+a4i6JpGWIu7Cbeu4tVKaSlbiiWFnCN0AU+HC31gn2 iDAjj/nMCEsanZLu8pa6oTIFtT7vpK9izlTI0aoPmp6zTbLqM5B2iYLlfatcxGSYPozPThQtY16A k5c9rhyK5Dho2F0k5jgZuLXCcJlq4kt0eA4eLq/zmya5LJ/gbcMtl+knaugosdC8xWRdRK2MwmVG vPAAmD6oBFgaoRwA9azPO9+LEdP+OnkQh2aBHFkDjOJlIrJA5LcOXyuegFIV7D+i5JlD9zvbqzgC fLbefHCIPELxRuzOmZ0BxVGquFG+cVKI44uIUvSm79fWFje3SRS6meDHhOU9EefnL+fPO9mrCP1o 8TI7oLgdqRGi6YkiZtbpQdm3oKdM9/xSdbDS1Mrq6u9cL4ZrPHkEX+bgCOeNhKKWqnO5bwWPJ7gF nOdsKaxvz0JH0ZsG15YydDG+RrbtuwDvsOlp8/PO9Coml8zJ2FYLzIQHaXaiCvKk2g2kzSSuKTMQ wPLhZ6H2MBa1KsjvATMM45iJJlV20n4OHui6LH4jqPv2LHeeQs97KLRmcmCMtkwVjneeF4Me3ld0 CdQg/PfJslh/BA7g807zKibUztngFVXoyHYXTxgxo3EENKSdy/SMoucXLnWdPKBVaW9FEXNi02HJ TJCDh4TQ3G3EXZ90C6rcDKqb3JtQhIWkqGFUOpvD7yQvfMhWVZBc05Gda1rOzbiEuMx8OkrV59et TmGZr9UTRcwQsq4lm0JSpdQfb/UGtgZjnsGMn3srLCav+tq0ynuj/zBZx5hAvqZswEw4mJzzB2BY v9XJo3wnePHnZzV5r+yDSjwzq9xzFlH5vPO7ygNqtnKygIl3dsJ6ckt3TgRTacbNqdPIFRU1cM1O VFAWQ9CwORx8dhAr92LlnHpiHhmoQL6lwOi6A3rhLtRLbxrEPCol130Hzk5bS7jUuuf6vHO7LPJ9 yjy0CCcvUrMTxcuwiq1JSn+NJbZ5Ed92LmfE0Jqd93gZBkfcUwhDbbhlHnhZ0W/1bKqBiIf9YFR4 wCNDrnLTiWFv+n7wyCGEhg42qN5+tq60bVban3diF/u63r6yyhm0T710+s7r4rnMAmHyIljp5mKQ V3bjJl6nnJ33eDlTAq3AWmf54AfPwlFcZLI8z/VCUkkehHFB2AsX2k22tt40mh0SlGUUUt2EehHh Qexgw+y8x8so7/avgJDJcZ93Z0WV5Sbdn7U9E3WGu0lqIhOlqT1vrfdwGWXf/R4QnngJfQ0KI82C ksaSjS4d20gCu3Z6g02sd1qXd+j6nH37wIAQUkvCRUI56p3VxUKGN2uIFYOjPcN1m54oXkYR2iVt ftmcJqkeI+ShfQAXz3u8LBmBAibq9MEv9RPWnJW/5fFwZsjysUkn6bPkFPLoWEZc9nnndBURxIGO JnqQA6cng3YrTlden3dKF+LJWwVTIYM0zurT887owuqhKRpRrmelIC1yNk4atnoyO7lYPe+EruKA r1UYMHPwTP2EgKUSmHkyv7X2FkCVq6dvSto8Si1Fb/pX33yamUG1BvQ5eKZMcmse+fPO5/rVmZD3 Tv/Z1bfWO5uLC1Z+etWyiVJdXqNkUyDJjPM4OWGBmQ0HNK58sMkBRetcJlQZBXrkbGJmZev8gmC/ I5fp4/H8emdyebOu9yQl+mSk54l2xxQ7QO8XHcrt69iB/K/YRZqbKFiGPkXN04A51UruZ+E0lKoY 7sD2UPvqncVV3Jp7DUbCHLx+Ci2EjZubBULkCzyUN7Y9kPWiawtVgM39eSdxFdf0m52dCQ5cOOcA oYUjxXY/7xyuX4fy9YurF6XzTuHC7ACDliBva84y09nstB/nhQ4rUs3Oe6zsBg9rUqyPg83O6Oci KUBv4MqCvMNkdXmw44ZoHMUvUxalZMQ7gYuNaIqtL0JxB64sfqlzQebF+ulMuXzeCVz4AXf/ineq yBd3Y0WxMogKSiXUmhjbtOoS9QSFu3C9w3f2Fn4aNorP8Vt9sOmZ2EKpytf9nO6rUWZs9hOc7XPP Pw6nTELfiVu3tNxJA9GgNCK5607pfL0oUPY0gj2tkzSv6bXBd9YWG2Hq2G6vDVZXXF21WqwDRKVm JqorJ/IfTkawfPBGMUAoJ9lk3X1ToV5q42iTgQCNzri3tKiz/s7ZKpKeIuSi+mDlnSU461mk9fNO 2SpSK3w6xSgQkPNssxPFyQTMIKqXDH0G4IrQiypOMkwGsMEqkUxhXZm3+KxK1OsVFisJnNlJ1G5C q6s0htIispNin4hLEeuvb71qVP0S6lb7Kpsr95xIc3kmnwX6eSdseZitjh/TeSycWr389c7Xwh05 SbRZjr+otTn+wnNQIg+E9Aory4bXUaCnjHmysjxAOKtD7eKFg5eMNmqog/hSUOXzFJ2syHe6FktR Q4UvFnhoCN85QWvKSrifv/95p2vxav4Gwk1sMmrfaH7CWLlSymc4y0b8deRZrJ+z6UejKs5PWFpm 1Lvos6jBo50TywJ8y/VTRuqawoa0oIBs0MctLdNL5/NO1SoyIUGnWNhtPMfm2U4LBGdutMzHozS0 fE0Poa77VnjeeVo2qbjTJThfaTBo3tPW/oO2oTUm3mlaeHVi+ueijM9cj4zPuYjR65OC6AmZ62BI 1FD6pjILtZRt9fibBm0bHsmT0DYNoke0s2N1+Kz9eedo4Q3vnc7oBsXuL6xgFCp3NrXolsHKO53O CRbsZP9BB4pccNS/3hla906fYxUfHHsLg5CxlIbC40cmBxWsO0KDGI3qTqf68eedoYVjwJyJpA7R qWyNKx05D6Wwc52fd4JWMSu+595inlc84nknaBW5nAJa+rsvAYUjiMEWQrbbMr35d36WAbPQ6xvN h3s0VzhTFNmZQh8zae2gyAnkAdy6HMWDHfl5p2c9EU8ZyQcm6aVXqWDX8yU+7+wslhH84OEpzlhr +sH8zs3Cel200rMoEEdQdr56tRJGFlTlROrv1Cw7vlBxH8OHW/6C+By/GHqIecvS4KRzzO7OXwd7 TejkgWzp807NKubLjoPnDpqdatYFZ3LW552YxXPnFgeZZyWwse/aeY+W0QvB7KzivKxEr1zIigxj aK3CxjzOnXda1rOz1JKZdd6dBR+9EwVXsYzXSa2IR5DJMtRAJsWx5BaX7E2DW53wDVhk+aBb/Rxn rMGfvLZ83llZ5eEcGXobZU4aXmp2wsIy9edqNyhuLmYveC4bb6bXxT2W+Ff+ykKxSHzwCsaGUnqV Nvg5kptqQAAse8yxPQ+lvNXnnZJ1U/SW5FuezLd8gHLLkBDuWZ93RhaL9l7BUAZiUbdPT1RZnizw ZHM2rbABNyTGtsT9hP/NYp53QlaRowgu85F88EQUJIjJ+n+C6kdZBjEcEF7KP/uaWhHF807GunOz CoWfOChcBltNuKU9Pu9cLOaw4yvNKox00r2xQqTycF0+y0L7dlJxs6L72RDWknhnYl2gwRq2gJ4z GZyCRHIs2XxoTvRn5YhE4vuqZ3vT9zNZzPS5da1v7xUPFBjUkih8Orixqlcw2NwZZDndhRMFy1Ss TTShkt6TafKNkrdVBjOTHM5OHCyzJChLq/VYWiHVOlND1Q0EgrBYLoJf8lwEmuY5dLpeNChgUE1t ZgU7+QY7a8tJ+mSh6/POwOLXvIcOy8qFKtv31IlCZTZMIHPmqNzdLEUf5iC3UklW32khYrls9SKK D56iI/oDC8CQp32ZyWejqwTOivTg45LeNMDHqfrFrrwGTg+s6KwdtSqeDmLBC+HhmUxY5U0kWhgp A1BWhKMUPM78TXNNDv+iFC4PnaiqLOfOWXmtc/BGOvgWhSZbZ7r7JRLTLnyBh9WuCDg6hvyM4Mai SOF/mb/uExGoFbr1hlEj3c8dgqHQGgPBxbgjYaRc6P46urWzGMep5l597XQXMOrvkTKOZG6pXe/g 1S949sxKBuiEbuFQpkoBGkKX09Vt7qx+9ZDAJ5hByc0HnTvdRYlPVIin/4XL1dqBLNBt9vUoUh4U 2V8sdFXe50YFSLsY6ehpZ/UIhDHYIV4UNteg2WEMPksapn+FE1TIU2SUKOXPdetfg7jcHtWVq1DL 5RlsZ1XJq5TWFp4O7vOL4MGsAtCJdp1NThgoZ+JStgfKXwZx+eJOUbNCoNyjsrJVeJqYWe1RIx4s pkvXCYIYbuGZiFU+nwUGgDNjCeDpUaAsVSeKRmFAFw5nKeyGlmXoreDxgMk359faybjmyr4Vnh5y +RoF5oaAcGVPMvRp65UMhEEZZVbAehQqz2mHbfPhRjvrhAYU24Rib1tDHoOomlY00cgXvhoGU68a rB4DpyjPWhZSDexe+UyWUfB0cC6nywjguxFn3r1+2iNGH37aShe4wvVDPyFeW9RTp+oVjqeGNLTH KAxd1SyEzS/i2tm24GzSIHeesLktddILTpgNhFO/00PZNnxG0O4z+T1xkLDusYVBz5LmDOT98HQw PZftaE6EP9SVstkJY2WgqhM025LZeN7dVbuloTQO5OyEtD5NS8l38N2FtsQgaj6hbTApEX8CH1Ri 0Cn4Onokvdffw2UUkZiko4Nqg+O/JBACnByejjbXr4AQx3S7vL4eVpc7Md2k8xGFQTPcgku9MH9g GmrgwR6Hy0lI9uKDB4T9JPvQJ8Te2tQhwc1WoN7bUDjd6cu1YulN/+QQc/Nr4Oycn1FGj7gC8HRw MHePCOXXBKWrdAmh7+Gy2mhg26jOXhPLZlLg2cMLPCjA8NqKwuXN/TKNkdQvwRq9zw1+I4uDpZz7 98E3FXJw58UOsq+Gz4iuLR7JSeWvZOKoMIvoTCxO3Lnw9D9JoUrLzjU37rkTgZYp1p4IDzn76cwp dYaBbih7WgmDavdcOxEKwxp+nXHh6vKHZMgzVqUpJe+tdCJBeetAAKxgxVKayIqDgKvjM6KQZ6gP un0QaPmECip99rbxdAQdXF/nTqGG5L47KwyXEWCmaT4wCFGmISvTHNZIl+AF1k5UWF7Uk5lLTK31 MLXO1PZz1FQ5wOW2FVi3hmIHKG0sMPnsdL1pkIiyq7VofaOBl9aabchH8lyIeDpaO78udfSOhacV oTiKl/FY5QWlPJ3YWHWLhwt+srVKRnFUWZ5UTNmNa4iDX1rn4bzZG4ZwU26LtdMFtN9GmodL2Eqn tKUaUbiMkjS7NdMH4bhODKtT+fyMeDrgFN9zh8Ug8gJuK31E4bLK7nRrYk8L7Q9zyiFHApMDQTlG hCMsLOvcmbP74MkESn5pSwwVhR/x+BsU+wsLyxRDLU9HdMQkP7PEKz5YEaOPqW83N56O2sXfpzIl 8ka7SyfELKOIQV9axIO1EJ3Jc4cOmDh3On0cODtRYVnqnrsz1doCoA8lE5QclATZ+SVgk8Clgx+B qK/lHRs0VQY+IqKSFOEpp4ahnsQ5MhvrwmhT4OmoJ+Ebi6ENVfyfpROiMARuqkbSos6OiDbbSVqZ hT8EyyMKloVR2YkYlZ1YwxisYSQ4d9BCm5ogibJGJ0IuCp9/ykPSgsM7PiLq9rGJPgga4yBsE+Sw eWicgAZPR6fON0kLyHFzXODkRJEybhfoMDswrjkXIKfa7dApXhwcUaQ8uxo2otl8Cw6eWK+1TJrN +UcT2pU8kzeFFrp+wAvJrXrVv4RBJhXMYEgBlhfOnTZlvEpAFh6PFs+F8HAb4gd79GRGFCwzT0+g dnBrpWnId3A4m7YWvTOl8xAFyzLBm2XfwS8t0FQGio60Ld11N6mDJAlfwR3HDx7UDPmmQSrK22p8 DTqW00NMn3j6fzp4sBTrBXSPELI8JQAvL5yqcjTldmiMiESr4i+ThzQiyHITcFDiuesRzy3wbGA6 wdmB2o60RAZyNtpLYOWY3g4B3SPUwaC616oEZKxq/WjohAqoeNLagaeDgGd+h4MFIOxR7uxEteVG ETTySMmVEDcrU/E0W5reKJSPtRMFy5ZoScF7Vs5OZppeYF3GPwqRkJmUaFVgCSkSDoFE5+3jsMRn RAGPNtUuPhg6buZu3pEbT0dUifQd8CB0zrejNcJgeRDGcy3uazGqRGmehlbKafNcDoNlzU7ipcXB 09Az7yfab6ot9yottSwvAOr8QkjDpodNInxIEC03ScORRUvPQZ4XawEEVlTPWHg8SNOLrx7sI6IW 5hMPhuGybLfpnZRuEYOdtFrM3r467nSE1eUsEdiWfLD5gTEU4DtM04EKkHJ3VeSDvUoPLZPyzFmv Ghw9VUQkocCWocDwR4fxtFLG01EV478EeDIs5jQ/M6wvV4pTIrqWTCWvKfSLG8UpcfaA8ckiz4zi 5ZVFl+jJB8flnrB/L7jCgS6x0OGin+lmb+L8N01KrYxBZfMZIjHIk+jgrdhgdIlzH3JFnAsMTwfT 86tfDN+mdfuhM4qXK2s8iOfNWZLyIGzcNE3TQuivg3mGHL8tgmirPvjBfE41eNDy6DkH/BCvok26 AfYOzbsrv4jeHT7jr+L7JE1YAyenod7CS/nc73g6QoBd2QdWW8ncvSieGQXMCRDxUs1qu+Zu/Rp4 lZk85bl1DIkxw4CZYfBUHZWD41QKsnLg8AAzO+uxKhVFDw8SIj/EwCjZWtSAnWGBmejTIacyDtxa Z8pVAjt5P5/+XwByrOSTEq7ZiSLmvIl4H56Jrm4AufOfzXqi6E1odsLysk5m4VTmF07lHL4g2asn uk7aWyXvWfBVMTO067XFg1gSnxEdPGpo6d6adm+tBkiQ5F9XwdOR9uI3TQs6noWyy5qdKGQGVFT2 N8LH8Uwliidt/W8Qccoq8swoZJaS3sr1Dhc+eC7gDP0IxDxlZImudFjEFUTLlFa1AiEajviMSPWB yspNKoytuYg50jSeO+hrzShgbpcAqYXWKFbkNbAZVpcBej/ptPn+ZhgbmSaGOXGtRkYwpydCYrhY UbqDb63d2kmmk6rLdS/d/LKmoHz8eFhsc+tNo9YEE60tJfO9/FgGhIrTc/4TT0ch4ZXjITcdy1lW AZyeCI2BSOukWVfinVoczEaLK84wL9XeisrLykbnpsEdB0c5oQmYpnECClINXudcT5CT7/npGbMr OqOImbJYfSExt0GFjLborkjdLDz9r3SLRCSe7sUbfzMk+WWeNzVbrr53s5gwzW3QZaiASTou1I4r YiD9FwVywEIykcyRAJyaifM4YOGWYdK1LouNzoP4iD9r79YbdXu4QSNY0knW5tMRyKl9JVv8Wzyp NTkRGGOyq1WT47rFKCYwt2hy5t7NmOkzCpiHME5dnJt+d1Ymxyz1IhLbHH1sQb8ljsLD8Xa1WAOb UbzcNDsgLNnA2WlAmMkaMTc8HQF5+ncqSuhpvxsrpPhRE5d/X0vHqUi1XX6oaoWdf+VPDtsUm2Q+ bBLgQk96a1QkqBywZzwI0aZA+7eeStGb/sW8XgxTNGjtpLO0RSjJBU9HUJULkMvahT/UKdX0rCha xv6Bqp6hK/EleCx39akREMJXTNOzIjTGoF/ErEK/1Udgr7Q+xqWU7Fq2LLkokFsJXbpojMHGzQrR GNQenIWNYw4KCBt99+j6XPH0P+VmWGmHQl5hBUHzExaYq2zITLC8JENanjDUosTzIydDq6wQj9Gt AtZ9uHWenXBwWp0nZXkk9yZYxpnOees8i9fW+ltFrs80fBDPb8KHGiviTD+ejhRVLlpFHEii9O70 hLrK9QEss6NOIzv0jMdVAmvLbJJWjMiQOi4xPZMxwk9j0HOCk7YHwbmTak6sE3aWEaDu2imUVlxY ueEzghIzuTazzztwehCwZROcGXj6XwKNl+g3L0V0RQEzBRIzlSGpx62eMVJ1miqSybZ5bXX+lUjX QNcWDxYOHjBDkhTmtbzU+4mYs2Snq1wBuMjt3hJJdMU1ZsLkVrqDymAnKVniz+aNp6Oz55uvRTQG fbA1O1HATLBILixacBXRZoOWo1VdnFU3ysBcOyHPj0noUBZ+za+Q/0OUsqK1g2RrVhZmIcqDVYY4 kl6DxrdBtQafEZTBhMYYgh0Mc486fzpJWeuc1RlP/4syge+A9ylXK3dF4TJkZ6vcKAnGSNlkuSsB Jzx3xrLWzYolMUTWEq96PhJyG4nagsChoDznT+GEynBqJwIHxbEHb7D1qn+qPrAsrIHpRM/ybEQL aODpAI1xudfs+3HZ3ER9xTLL/RdlYrqeSpIh9Lw7K/GvBAFhFRsg38GvLfDFNjVsIOV0AhSeUBUl DLRFfsgjFD53syu6Qk0MEUrIN8WweIKgiiS4ZT/ZGJ7+l5QTDxk2/uaV2Fsh1Y9wjEnFabraUI2n ojtBAiTdfJff6iF6We2bJLmZ9MjNoDY+s7DK51zdyCwwPTx40CWmWa0xIVl/X1HALJOEJll3Djp4 OtwGsHh67ng6OJYvwVhmvuiL3LboCol+g0JXxQJmaUjKQCK5KEbtJnS1/q4wjyW7BBoe2OyMRqoZ MZZnG3RJhdVN6tv5d1i2XiGkV/YK0csm92B3l/FE1wnH9xYAZVU8/U9lWIbO/L+syWp+/mb6jXv2 UPjYNpeHzG2Z4MyKRZeJHxx1+nDnB9W0JMGZhdifMNVMPhJ+0YXKjMU8Y+hN/+IjzUqdNA7G1hpC BJ+Dlk9Hqyd/xzxUrH9afzsKmdFlcm8f9kazsbDpmKneaHYz+h0VmIWdXOxkaLDpAYJ5dwTDJCTV QdFaiMvAZkMquHd6WGDeUcjcFBL2unywIuGaLIviKMPT/6KV6HrBHNer1/0eMMN81dsTcmdZ0+Eq 2yzHFnaEzU4oiyHF7sH2BIeLsdwICplQTMh+cvPnBg41ixptXz0e2mfgM4JcnRWMc5IPHzg755A3 D6zW+IbBvfWLRJvl4upba4fxMtSCCk2vKIzBVxTGsuiCPwdJMzDPjuPlLpDT8uEezIgJCVhPowI9 ToHGvkksQl9rX2EMCQvvKF6uvNW3vBO2eycM+EFqdsrceDoSxviFOmDnzwsZO2T6ESY3lps/t+zn TnF5A4j82+SEiAzCVeYS3GL1x9kn5/P/iVICTnQZx7+DOJlh1QINbCfdsJCxo3CZW+NEhT37oMlZ U9klbNvxdFBBLXdysOboJVeftROil7mzZqpOSVqmi3GSLpPszjSo4PT8TfWba28fPBU9YTfWDisZ JzI6Fz7Pnbn5Lc61ta9LJnYyPiJIJhgud0U+3X+BMUctIuqd2xFPB4iDy/STLiwh5ndyQgk5Ggun 4YCVvV0krbieeaHWGicnxGOIAJnFZsuPeR8kQ/qg9t+5e88xXJiIDvIQYbOEwoNb0Sa9aBDwZNkY S+zdTSYhlkbSB9jcC09Hcjy/8KcI2tPNQ3eouIyuWx6m91ApWGi+dESEwZSkurXhDhXk+JWnLI05 aHKA/wdUpbB8SjhDlm4IMoJ9dhdX7uoyWLU3jcjpLA1uK8Fb2/Xkl8bTODlcwdP/Gzl9CYZmsxMF y6g31EQvL4U71Us8bd/icjL1wR3CMYTsXjTgnatco591NsDJsRaD5d4pi499BXBrwa0K+zqtnUKQ LT4jCAezhKjH9kF0rV5FigQiD08HucQV9uQakobEvc/j6jIdSfI2QpJKYfAmWe6CVKGuQ6OA/Q84 xlp38EyrIvWsyrQgItJ5Yw1wlngKr+sPxS2Nj/irhDGHen7Den4TR5dpmS8+HTFKnkwik0FHTQ6b nChSRs/BxQc5ObU4S7S5ZC6ZF1w6ERZjsiy46jP4dQ6fNTb6Mvrz4NjwxkL1lOWv0S+IEPLmfNMo FCTAqY076NjJmy4HuAArno7S0Py9sU4CCsKVJienEIkBJYAMEbfMlh8Zgsyz6N+I2nIF4qbInySK lDu1Qs73v8NlBcAhI9PZeSEOKlsm8xBEAQ9sl3tl8bLkh7zPz6IfUqOCGKqvWTkBoNWZFxgcbvh8 BLP8ZvuhK1bIx7QpCkXkwPNlKloIBZN6E3gThJapzNOHT1EcLnPTdEK3OXjzZjQovS16/yBZ73Tu ZZtecSKyC2dOpG0vG5HaxLep1QelE22wM/fTTmTIx//VVrd6PWSd8jNHoVUJzTj69sb69BpzlVwj AGH4KlLETyEqQ9WMLAGDfEUsgVcG5jcJLweHLSsU4pyG7iJB3qbbXbO9awQ8YELqYpYZ6wZzBEMO VnCg4sLng+AnX2ctWrfRv/YKg0lHK2rAYGY8dJ70jULoDPKitItKy24FFPr4sTU6KvvHHDx2hrBq oqJOAhLTeKMnpm4C9uT85F0Q5tPLRqhCXmOUgtOgBvtZR7qGcmp8PKo2fxd9YKhcRnmWURQ+o72L 9t82C8jUDJOKyoOCoNqdKkAgQRAtGPvvDr7VVj9TTDHws4zO0UMwc4Z0AypTIrh6zbAte9cID0+p WDid2qCCc5Kt7/kT8A5IIUTj8S2xLdeBWsh3jsKqc2NJfjkyFQeqJNQYTBH/dO5R6fRQRTQ4jgTP EMN2PgzbVXDiU+kuAeCTJPJUAacDnfcHl7iXxnjd40Oi9F0SuLX4oFARtTHpWp4cDI8HxbG706j0 j7zyy8Mup7Dy3Ok+e6EIxXEsgOIoWkyjDT+NomB6igE4BNgYT7v9XBt57GkN5dHAh0IwDU+pwnnq t6E8x7R3/UsnDKr4PmijgWrIk2Tuzsej0rwXgLSnGDpch44USmd0SmHt4TCxVL1lWt3BhItRZ1FY fRYpp+Tig6UbKECcJFHpBtxjBUigy2jhTVgc6lOgQK53jcRR1S1tYuVQegozBI158mzPM3w8wtHd IpDkj87BSs0Um6IwqKbpauuereK76rhmn45on+pdZZZggjWsDdbv4PusTraH2FaGGFxlFba3bs5y ZY2n9zXtXf+ksgvS0j06na2qgoQ4Z/LxYJ9dor9WHBU8nkUUkwLnlauWmON0FHh3JCaVzDRDYRFa OWtVtaPur7yjzVrakn/bGF3MikbKBXJWwngN84O1xw8JqkHyoWjq9DSrd5xQYG+ZzQ+GjqGZyQXy 8lvQbP4JHUP3P+kPk44lG+zudO1UrEqfGHJxhgL7P6tm4yt2H7y7fH7X0oo4t7CgKKpDg45DastM ycGqMqTIgf+fdSLOCTTvIMwY6gY8WyDunUMDwLF/Bdc4VNd8ZigKrmGFVdlsRZqKg0gle3hmTdPS WCyYdf2ZoMMsU4rOoobxbgUFX+eXr0uOJsxMeOPjW8El/Pw89emhop3ADwk6GVmLRxl+s9Pu7II8 VDObo/LxSDG1fu2yyt7/rQvl2AWQBMFWPH+t7nNX+Kk0XhBPpevPRH1U4cHFTE7lglbPFJ3nt7R2 sR3m1BrCXYIGHzoUjspcyd71L54XjMc1DDuqz20v/2cUP3JgBGgiUpf1XxiCXjmWHDgBoiJIrs4V RejbRUHlSUobSVeryaEVoAmyNCnot5t8AB1yrsUpVVmQjuhXCzOY8/2Qmrf1IObZac6BF+AVQadj pwbtshNvE7IAtgIfj6779n2ZFeToaT5TFErQ4S4paSorOxdEc6gCEV0U+94uDJoDO8BEsipY/3P7 4C2fQtSG6vbjREbUsztrFWuJ2CCd1ebB4C8bCWsIgihmSreocexRukjKG9F/6AjIdSNeATcafvmd njmKlJvRz6rU/zG7zW5VtLNVh1XREATYRgsDa8EQM3vOHByGWM5MoHrPOYIng3zWeWihHLtI2tFR lIq9awQkkxsgzVtuZxKRVpHyTO6Fj0cqj98amPRs/DIFDF0BUdlGKU0tH4RE7grYu3pkK+/rexfa AprvVFFzvlxxFriIn6SMlHJgRGoBhalkqA7+FHYsRvlCvEx72Sgm4vKR0clwo5ONH2KqL182H/+X vrWoGgXAjltPC6wBodhHVEfbRt7hoS8JEoR3lK+BYplNUeR10vnF56D62nQ0Ez2ozm0I+z6eRecu 2CyZNIhUFFwnqL3pxi/8zvyQv+6zPiWANK2Qj+uejXP8GT0eqZA8tWqQMBEiXH2fHLgD5v+DSjiW jh1FLNszOUPxVGjWCtcD5fiBPWD6PxXJZjaxlnnLaaCv9kzUAXjL54ilPWDZpFXhKwOZ6OU0eW+G BoFdgNbW7yAaBuwruATmynw8yj38uJaSPsAd33d+7HnCRsEWzvfMVjffisI8kW1ERCu2imJ4hy57 qUWkW3IsI+8JhROjn54PottSpwwRqajrWmoP2UaHLoG6yoiAsEE5Pmz3ivbZ4ONR7vFtz8CibL8+ eDn0CeyU0ZIXQ6cBym+la/ShcZRrhkKjQCN3L1WK1lMpOtkmmmZJNLAzRxILB3kdNYhz54+nJFvs Vf9utRI+1akahYOongu/yxoRayhwCkT6+x1Ys0C4rlt9Dp0CJ0tpxcr5qOIsO4gYAqpjNoxxkEOr wDFsCTUfLhMsnXRjKmyEuMoeSl/RN6RQDhIzlYkWW2Y59ArMhD60QmQrB6E8NljSLLGWyceDYuMl HfBYzupp3/w1tgtE3EgLYJ3Vk3lGRSuU/SA6oKBJrCkKI2sTCMjDhyfDP0tKcukTnMTKfwkdbMTx FcoiVm2Egp29a8RDTapWTx9U14ddA6uNdTQ+HkXW37uMeiL7ts5y4BiI24xnNSj7/8Ej7NLxHMom 4nJ2WV8+QzE6eqtrNnzw3AP+myecJlJotxNa81RnY+icG/OHLs1ux1ntXaOmPX/2zd4isXdZ9djz My9us6x3/BdzhTkImq7zue9LFFhDKuSsoTUtaBxJp0/fg1bALCkXwylq/l9fXgbSS1T49eX8BoOF mpekf+B3IO4KPHpIwKf2j3WmqTmmovv7DJk6SfXBMvwi5VIwsj+agfea/jeugfc9RSpthqJ6dSP9 QEqrj1kyUR9zOFKx3xmKZTh40TdRUtt41tC5vM7z4h9kgLRE9iY9AqUiGQ2176M6DqvlpdOqD5oh yADzoD1HIB+P1tC8EVHmHZqpTmszFIXVjWz44S5VhcQus/DSvb/aLtvvslC3ThlHW8sHy1/PDugS BzBQ1RyynKZl6flhQNCQBtAm1jWH7oGDhpxzEgLCQbCh3pN0686C5eP/Cqp5UAOhNdezhEL0B+Xl qf0m9Md2MybJGxOvWDzBDwwEXdhvyVuHg28yiAKZP0xfMBsiYBFYKKjW4SzyCaJZLj8jmCCp7+MP 2MAJOkmMnNvP51Y+HuWu9WuPnd9nX+OGHBoIKnHt1c+gVpJViPq48I/miWvgIOhe9i/GrrAhW4tl +BMLtWQBJWw6YYn6Mx9BUdWHQgPBumTWLnJhMXLhSTNSqtZVnHz8n2oTvPLwr9azv6JoOtHprLTq CJDWbX6SqxnTp8CWT2y5zeJHXsmHW4WFGjDNhnECnfyJnIWGQsTZzEtQ+2nN6W7vGqHJWfvgWtQg CtQ5LKfAVTXz8agKe7uKXEhAKM/LMMyhi6CpkOVpjdduqceJ56sYP6uX6Zl9bCNIcMecsq2aj21V GumEc9YPAggkkY3YGc8AU4pQ2jvT3V41ChYZTstAhgN3GNJiolZPPjn5eNRTTN/hNAJ8WjzZBEXh NGrgVXwHhtN0kJSHg3MwQar2CYrC6SWtkj6WD96Z3htm5/S/BUi9y21wMqiC6DbUge0WQ8CpD/mL CoUz3wcVh8bK4ls0REKhneBje2Z9bNZRbsYRGgrCt6GaMQGhwfPipreLAtF/U1MUAqcpfwgUng8O JQK8oUGeAbBycMEZNNGZoEESda8HaSUMSGgpKMn5MaXdSyQGzlvq2uG/IH/DxyP864U3ZPWxkfTs Z45CtuFOV79XKYdBYCvNNth3lX4tjqLYV3BJGYA+VhwcjbbXOqkklZPOTbP5c4AzBnoOesWDYohV /mfd3jUi8yb1yu7AOYKsBZdUq1nv+E9tdR5FawqA4lMURtSLVMYybKft6TlHz8b8qZQb0zKKFaAV 40glYKevnhl6QIZvKLMara5SrZ4LAoB8Z0bRAy0HBoNZMXFviZuFg1pC2Lgsfpy0mI9H+kBeQlM3 8mxzUvZtiqKQmuc/KT+CgEyztBoCkNiFn0Qdy6HJoCgKbIXZ4HXYuTrEjjlFu+YhVxVw0LGvm9qK 0m6bdlxHzEPeSGdJzzuI/nPyGhndb1Q/ApvBXz5omM+1f+a1Ns2hzSBMcFGFbQYAodu8CtXDvE1J Zbc1FIrbUdxmJtUY01NjXOfiOiGv8ML7HOqsW53kDGUS+liMe1pb/Sz0GZT+/KJtqwZbC6sau45H UYinvqV8uQaDxvGU8gOjQSNnooXlIXVyHscuTgG6pjs5dho0M7RcfXAwGl6/4bijTn+n6jcAICg5 baBh2mNikJa9a6SOSFgDjbs0cAmdPW39oPN78PEoatzPfZZFDB+XypFDr0EYQJZNYbT/AE8EnjPL QwmyxBWlfIKvbJeFYTV32Up0MOVgU3TSjDP/TUJcvZ4tx13W2aGmwt4jxAW/VX1IBNcbqr5OHwSs xonLRVTQmg7cBpHW/cIOgyBDrSufohBbnSiajfck3YXwdWWuZ982g31uSZXl0HBQBkVjM7Lm4Ff+ WVJnxUgxaAHZQioe/b4rCLSZSDcLHHexl41OIlLsNruLHKyW35qBz/fk48GdP743GqUIv1ZRaDo4 aFI0HibiNRLmk7zxk0tD58B1EPVuLp8py8r5WFYiOQMoU8SOffLFJqY0/iapZfhGSl6pgM0PiZIP UqYazVQ4KPmARCLP6hO/8fH/JT0D3W5fZZwc+g4yv6bsAhEgefVlCBCCutUxG44ACY0HpVW2ZGjP 4fY6Vp21UzVywPKU4nDnOyFzJCWJMrbSFq/b3jUSqGBaVlRIK/YhaDdNfb/aCh8PLrPm2T3h/BJl vh2z0HuwFnF9m+f3yREgODGEAFnDK2iB+aBHRHQus8Eb00AOn71bjd9RN/kdJJdRpJEBjN9m2971 L3xDXzqrF7zieG+fBL/yahtnyfL5oGPWxtdJ1KgUvJ8pCoWiucv2uBI50zrThbh6Oj9Q1obXWexA 2AWSUQkt3RIaetADy5DdjjPZyEAYemOBnwgGQmLXVGXbq/7FgRnsJ2gwctk5YHjOnoGPR0i072MI GFEZx9gERchqGGjLWk+KpErrJbG0NEGNols8qf8wIbSTevhgJ3U7cwG7YlnDpl4K4XwNwlcFyVlJ F//haygOqoUaZo7GwfAfe/OyBiyQj0c0j/2d4ROrN26GH9oQskiTmJcwZhQ7iDaE0yIiwFp8CYXw D/Z4RmJDlcNNzUA7rCbamkAQ2gQ3IBA68/Qz60UzEkHODwmYQuK21nYHrqGT1tShnB1VxtCMkN56 TxlWRtfPDMVRNSPF7CQ8osGovMk2usqw07tBgR0hCAxqA4nHWR8lf6jgD1UqoUzamwq2rIqcI6r/ UJ/QcFZkcObAjxC3ylAB9g6GPN9TyIS2Gx+Pmq7711V2jq7WHx5MaEmIiUB9OlnXdWaPGVeyrmvB JhRXKPAkBFOWc4Merg03rN7IX+mlNBARlSHGFd4UIKfKhpn60vQYzoEroRwzYXylvnQ2WY8B/L+k yObafDyq5c/vg2iSrugoq89rOFTlvwpzlebSA0U5/aiEvpuBkbkSvgZDFRKKLLxUfvftajZA6p0b ALjzTgoMrM6zsPvkISC6QdVrGtoT4eJrKFSvtO1S2rosbZ2j9C7N8bbw8MvUVLCoVProFipOeP51 /g/nB4lmpksup5keTCe2HNRx/KYFdY/HvOg1CqoOyF9NwI/2Zd19fs0hDD2w1G3r9AEgE4D8Cu8h nxlDm7/ODNRPWXjlbtCgZZPq5v11opUTRr/ODMKDbDNjSv7nfcgG5dS8BkBVrOZKbinrHeiyixZU 1xIXb0F/QAfPa/hTvU2/C0F3HHzR5LPIK+suJw8DFVheCOK9oX7E0mtyATO85/uiKVNC9Tx7OKga 1FpV/NzPofMa+WBed7KpkRGxUrlpU/Ma+FQ35pEm6bgmEKh0UPGAVBdQ3OT4/jo1yFHkjMFVs9Nd NTgBBpiojAw3ZB+WtCpwu8HiqpVL/eVK+LxGPdVo331JBWYhVCLo4GyolllZ7Kvnz2vQw71Rfdls /Wg/UEXQ1LyGPHho0istW1ssjeHE38pmdPk+al4DnirgBMx4xvLBs/fWclkE5yRoyVdp/Q6Rzs42 xJ1h7higCuA9g6NGvR7BVkY2asKCuaMIhv1EzK/BDh42AZgumCKKrJsqHjRJCmamyvphWahj5GgQ N9Qkw1FDsAY9CIOZmUIGtb198P20gA5h2yBt2LyRPwxFGPwe5wTaNJFbhCgmvef7zKyiCPAZdAjP NGVrUfr+vAY5+PnrrzVTKLhXfdG8xjjV6mKFFBg2MajXTnYdvlDBooFvkvk+RFND4d5dmYlz8E48 4qxKiyqEam3LqhtZCioKExXcGyUn+hpE+4lUnwWOmw2KACs1HpA55fF5jW54Tvn9JKFtTMX2k+Y1 tMEh3Kh53P2kyd3i4zRq8ZMm2UnzGtjgEGb/a7fcfXCeRkcZMJENBTewQbQYqtLn+xNAU6/4MR2s P69hTXW43TSgfbXC/9iQrpQT9cqf16CG73cXzY+p+1Zm6JiZ10IhtlPb10GYGKDSTX5hVMMAka/M CsZrmZCnALPzRT4mB99O54RMlUY+ZzsRH03YOD+VKTrQwLdOSC2uaGrU0anm5GRox4VWmq5j6msG U9NNBLBLxw3X00YrVvJWwdSwl5/Lrl792oIBjTrsXD5p0HDdjugM1pG6FQ3vu2jO8Xh2WiGC5GQO bZK1RET9pL8kSuGPMxrVNV+Lg5h+OqKtnO7AqYG6F3EX5y3xcHQ9+dRQFXWTwOf76Z0cVk3T5PxD B0bJvhyh8PZeYG+1OH0ujPgYz3TSDDl43asC6UDeNKprqPIQwYrsuwI70euXMIUo89G6kWwHVWz6 NWqZMHKS2M9ufDrYU/e0cdAP/bU0OVE0TD9fCBfawknVcs2ylrEvK5WAhICOJmdqU6nrvh6HkJNE wRGw8iCmOKI1cICaBY03Z/JVmqqCYhr8eRRPiKjZoAbO2lUCgC0DIx5GxL52VLFH3nVvqXdSGK4p 1CwB4rYjZ1qJAgQTI4URxG+Qn+jM0WlcuL84+PScJApxxFTdHZRLluYbmvItSfTAgxvNThQTd9J2 d+OpzEEXVVuSvq8N5a73oBjSk+X7PEYdqfa7eKKgGFSLytIqF0/O2wE/eZtQf8F1Zl32YHY66ZZb kpAcbpbZgeWlChe4htlMCcmhAwqvztv9k4LbOx2M4SVTBW2w5T7FABFn69ss9CSic2f0J5tCDXKg An0PnvfAWIXgmofZcVeJemtvZcERAL32Dvt7ZJwlUlYylUc12PSUc/6eNSwuGIqKFJcGuacZppbu O9paUzWK8OChIWNW5yZb7wzm6FMnx0J7PYqNswlxafEABPEjHXBNTxQdd0qP7unSoxLURKl0DTMJ 6UxgOD3v4bFOd3xplrD2w08p7eyitsS9GGhiKlqcJNDiJxyP6aDYKe/h8TkE2Frfnf7cHFRgx7Qz sDtR+OedA1bRu9s2OxL1PWdyA1/SZicMkGltpdIfcwe2ixfF1pe11vf2QnIUIUvhbpPDosFPnnOr Q+dR0INe5hTqrqMNS91+iNGrTjEZ7LwTwPAFq0Cqo/jAK70VOmfBIyTvzzv/qz46ZVo8KI1nkh00 O1GQPAle2UbRRWmhe1t92p3OUFtrJ4qSBcxY0wwN5mMwg5LoSHJ3Pzdp2vQ57zyXkZaMbyeDpTd9 n53B62pRrE6DcquUNmvWZfX5eed+2cVqFS4pAK7vFOKd+4XFg3rtZgWJwBWGryjkUPyAvKYEtKZq XFGoPOizCAagDzfk2a0nKQBCF70PCUxSQU/+UtedqDOJeCd+eUj3mLs7KuMsyDx0FUHdJYqVl8Ew 7eShOWjNPjtRsNyVRwxlnEBnDHNkFIMA3XSw9NRNj6NllipUO95P7fhcJWnSYZwp1omeknk3YZJw 0qIQ58IuIldG0bJmZ9PsW4Ng4LPIhe2cbuvzTvnC1qr5K+ShtQyABFYDjMJlSIcIYSALarLL5N1k Xa1FZC/Xzjvhi7PDAinki23wgwcT0Si7lIB13pK96WxgTUpxfFFRit40OJdVr1i9+KAy4FmeAhqc +/3zzvfykOAm52jCU0TeZieKlzMdGYVzape8TMHjlg3jPB1Y+M72wgoUQn7U4oMfPB2at9k0ylAH 49rp2AxsrDKZsBx0FL1pkGkNNq0yby3TYkUS2lxNfLb5eed68da6O2tYJTADRGnTE1WQZ5FoUhah Unp9clku1v6sZRsb7p3pxRxdKhNMuTj44jmnTml01U4bWGd5YFTMZ6U+fCvrKV9MvWoU8zDL2rLM 3eb8Nc/L80SnAsTnnejls/tcW/hybXnI887zYkFQZUDTlMrEbnJ6pnUhVqUtMfdWFDCzmFUSjZk0 +MlzLvJqBJQ1CPZnOkG7amoWAVtuIBWu4c87zaua/9JZizQy56Bsq0uxGYUpPh1l6t8hIS6SzBad picKmMHHqqV7a5jC6rzVOwmRiHlGHb65olLysK5Vqz44/uKsy31uT4knDJ4+qn1hcaPviZmxW51U yneKV3V/olnMh2cbX/lkEVsX9W7l887wqg/yu/8oBKi06r1nT1hORjJaGquAzCyKi0lt51ecuMXw Ke8ErypPWVzndrm3x+A9ocHMbPacPavvRekyGMSAYzUh+/j4UC+9aRD0sLxzbvHiA6enQ5WSEfP5 ET7v9C7G2/tJt9jH+KGXoE3Pe8QMwjt9uqcjwFIZF/NtNbBCfWtOz3vELMIzQmVSKzjciPksTNxd vLjmydwo/tt59gCmRhCOJRQMet7ZXdVdQlZVTFg9JpwpF3kGrrQ/7+SuKgM4Wz1s7eAeW/uunjBk bmLDmnuT6t5SkdouHbldZ+ud28WlS6XeqhOlXgJlRXkRUhJJqhtIKYyCAQQWkIulPvnW1ptG00Ow 98YBqMHKp1D+ZykD0/MeMsstxkJmzCUBFyN5LeOd3IXNtSn/YyrHNaOGqyrhcMvKJs0N3FzvIXOi syOiQRK3ONj0wFxpYQVxc51/thUZwXMsC0x3ffUG21jv5C6vRvRN/p0GBoX1hFbC2EJA6p3bxczJ axk4hQlUQkJjsxOFzFRGzRAiM0lx14W+GuygG5sE2Tuzixk/Vw2yThsudhDaq2aNNlDNEYp3qKjV f3CD+cmM2OzzTuxiFRRH8k40g+XA2Tk3tGimLeX1eed1EVFwKz2CeQPhcCs977QuREtDXjjiUpQ9 vZRBBK3h4Lsl6++sLq+iQpJu+eBNm0X1GgN5o9Wq6aHOBTun84l6UE/5vLO60JJi7WzR01oDp6dB wYBlwnMRfd5JXb96EzJG65BX8MkJARej0md5WULB5i4Tipmvmo1jBt8ZXV7JKBkYARtsZ52LqoEW S0TcOF8D10cBFm7/QJgDDIE+Ht+vdzrXRRVI+FADT+Uz7YL3n+u3f97ZXNWAUF8BIdtIfuq8c7mY bOHyKOZNeWKfZfIabbne+uBvhX31TuUiTofoRFCHbPBDGUSVRm9tULlADqxCOiEXxG6c1wODVMTP O5OL9SgdN3X5IEjuSaOmSO2dbxgcyvm7CEZqQB1+Zb2zuFgZplQdT+Knq4WAZ9AhhPIsPdnsvIfL xp2ALXD1wWcHxWYcwQSk9AXWCqFyWOWEVKP+ZfKi1I1453DdZGKJCrgaqlOVEU83dWXc7Z93Dtfv OgYvOCa2ZLRzfqJ4md2JRP8adidImiaUiRo0RF70bp2tdwYXfpvGPAK72Qabn7NAOkyICMY9v/9a AmnApg4KRI/VKTPRd/IWJ0cQGylETVOImmfVE12IZc/Xi4LlX9Xlc1dBh85m5j1URghB1l8vtz64 f1yq7ooheCz4TtwycBra54IZtP6snJOTrkJtsnOBc7qFdYeeEv4bfSLvalFt/Z23hTUuW6ssOcjs cpBn2uUlX0729nmnbdVH61CTQ4jxqvfUiSJl6IRULXzFOhQegSALBWWQpXeCQXkih7Vlpedbcd5+ Ku/w5t4LO4YFsA0oNzHKmBDYkmRqzBjzr2+9ahTsMMOaxJdy0KEMcz2unXOzfN5JW79aoqp4gMhS Pdh552wxSyeA5poIt7YdgXFZbVLHTvwrf/ZEC5lbS+prkxxtwDf6kPraucoobYgiBh3jUIdCU9uz dBIj3ylbrJ6r6L7k8T6NS4ytOuS5fV71807ZqnI3+6ryzMLS7b3Ro1hZQhp1uKoxzcaYaYl1g6Yx CjGaoLC8rPpF0eVV0t1d5+Q537yRSYKMnVRI5OkQTlhVMb0r9jIafOdrPUWeKWFsUMRY5wHma/Kg gyDw552v5Z2fp4zB3sit8ryztQiIg7FVGi7SX93YKtekJg7U1a058U7WwqtT52g3Atw53J76yWgh Yc2m8QTskGBBkHcKgJqAQPvyIUr4napVTRwdMMHhgzgSCBvEkVj7887U4hvWr1QCC509PEMLRrGy jGCJSGX1fZvwwagwpqYaFFyApav+ztPyS/08u4oPDsAVUXTxaIYJjDgUbGpWfF9qBetSp4/C552m RcgANUak2zfEIWYVY0qE5dz28/PO0vrV2JIeSVZx0mYnxGNUXunU42/kj2zNTqL7k4DtaCFi7byT tIjNIsJ0koLEwdbOSmfBFOKm6YHR5EHdcbNXwDU7UiMD8qDw/3nnaD1bq6n63qz6virQUyKx9fF5 p2ixLeazw6yDwdb0nfXO0MJ6nbrMvWVct6USxQFPKOoN5VnvBC07vphcJh88DYVMuHwFT6R8PqZQ PaOh8F2xn9FjMIQySBp80+BgZrCzlIYuT0NRIBRl7kzO+ryzs1jh9dkRDBcmqV7/eudmVUlvwwkt W55VsosZXj+9co+dd2rWs7HSuMNdOntAp03w7aauOTGV+A5nwSHacss4lGs/79SsKvYG1hyxKjdy QAdHQr11nWD5nZn1CwXmTpVf5a93YlaV2G1lc9KMTpNlWrWajflq2GyanRCmPHhZVaUUdd7ZOfl5 q1IqTufbp9V0/W/6ytAFenseSkvpzzst6+ahjaGdBlUwki1VdKo/76ws1u2/r6wl9RYvYLyTsvzK Qqn2x2RXpoU8jdYT9GLcLqf6zskyMBk6Ern44Df62ZTnFtbagQvSUJ0M/wQghn19rQjjeedj3anZ tMXTYJyIItUmGIx83ulYTGE9GhRkh+i4fC+sEInRHyQGW6PFop1cbhZKbVDi28NoebIqAXC2DT43 qFisqSxrMpVgukG4eqUY9rViJA//807GYkuTOXqrd+D0jIm+GSuDhU9H7eI7PZxLdEX2Mz1RtExz GlswVH26qlgt+fQgaNP0hNEyul4gxzYfvKcFxlIqvM9P7njCZGVjnV0uSEDk59SxFw0qGLzO10jN BwU7cGnmvuh9fd5pWEQfpu/Fg3syk/Oq2YlC5Z6oKzsMs5woGcD7XE7CQGL0alX3FoOWhY0TwOuh g578G5LykjNawGhs2XhTQnnhBFnpgcglvWlwnydpGqw7COWEhEBbY1U8HcSCvwHdRFbednELI2Vc WaUbg6bK54Y9CQK5CAFDbVWzE5WVbXbyM9ihDLhcaehGQ4Im7QaNBOjN4boA9BuCqV7+QuUNnxFQ JAhzh9azD7Z45BMAJiifDrrp2fMsSjhjIY7hF3oPI2Us7TSGg7p5GbPoDgc7rZ3l3tP9PVIW/RDU 5d18sLUDUMGwNB2AlTWyhOmAZUrU1776zZ3lrx6y+IQ1EBmUg4oYJ/YcOmp7xdMRNDf9WjsoELQ7 O1GkDBuBk2U9fg3L9QxKcRn57EiMHiExBjvkW1aV+7GqrAu6A1BX4ezUXbc0wgk/huzMXI+wCqG5 PSosV4k5VtUwXJb1/IqjE1MFRAOejphHvrP4ZkPmWTY5YaBMBflnY7FGKxr6sGinYkkzUO5RXVl6 IVudPA6+sU7MhiWjXig8aenp0EDbqxCfXOnCVCZBPD0KlKfoWOyrYsqB6EXgMlof5hLXCh6P2HwO XOZCgdso6BV3gkI+X5VtsGjDZwVu4x8V7Sh0Q4mN5wRFwfKULM+WRvretxsKVZeyK1FOYBLvRJhY IWqZUO31gMBI0cCHRGkok/RCLBgHba5zw8sn8OTSeDo4mFP5OnqM0XyJaxGnD0zFMz04AJiGVupd DQpsJur0rAqMeUOS3sNoeQl9uroPHvPwEgO8i2noiYu5uQZpLDBN6P3qPNCXAp/xVy99SmhuIiRk JWvDYgX/1c/5jqeD2RnfuQRl2QnY1OzE0TJi5HrNuSWwi93VnVwN1SHBeHpM7DMmUvXBdhdWz1k0 MrA4kTkR3fB1x/2CcvzX0SMBvh5Bl6dB5Bj6cGAaihREPa2CwzEKmMctn4qlhaZRuQdzWF0mRA4n QDYcRjJF9GGk61UmWfqdfyVaO0tl5eaDr51zau5K36yztcjJ3WQcng0FzdSfnb68K5be9M+dlQTR TRaTw0VNUg9nXU48HUHAfO0wvEHsTX0nzc57uAxobqFKfHH6OW0FmznC0QhW9V9eW1G4rBNnUypU w1P+Oic7zddQCIOIwVLtFNc/Nsl8TmY8hs8IZqdIPbbeQQWevmTLcW7XhaeDLL3d0rKap+fLPQi5 HiGXgRuFRuO0IkbqkpVxcxgUMcgU4eKJYBhIZFFTLsQbGGCNjZt17m8cN4JhTKkRQlzvvB5SPAoU WXUQdxE+I1Iu4HksvAEHAbvP8mE18txoG08Hi2fmr60lp/l9F08YLzc6u1sVGcvIZgdNRybsqJdP NW56VFle/M5oW/lwixgobhIRmSagHbQqAs5sQkcuUyX5zo69aZCKUuJqNxYxdvMixob4Gg+OE/7g 6YhTfHt+jJCojlRm8irPiEJmJDE1kzknRlKa3jEe7re4HOQ0ouIyeUawMik++LXeYQKHihcSir3t 3tqgFKKSSpF4q57SoGpEETMD3K7mqgauHjCeVB88PxKeDojFt2/D5EHin8nvrRGFzCgfQN9bdznE CLeb5qAJQ9YEdUwQ9Iy4uMw867+o+hUSR2VOqjWe/BrCIIKfYjpR+6J5sKdbW28acf2klaOctCWv ZLQ9zSZxbjz9L3wlwVEUOBrzLp4QvAx6XKlL0p71RM3bzh5aXeHsGW0aimdE1WUalJz7CFw0aHni DQYzijrbHrxT08kCgMVgeLSolwLo1/K2DcCoAx8RwCtl90reIIZhtb4CcA1invP/8HRQyej36JG3 GeXR/GQeUcRM8zVYIllX1NFOYJAra19srrJEOKKIWfdQmsIcTPbQhvCDLBvzZD4pw+yZ4NzNIw6i veWha8HtHR8RNSbUzardB+HjFo2lcPTMhqejo2d8zw7R2Ol2JkYUMAP5UZMATe2LuHVmZyQ7eGi7 x4MnCpiniKIvfZuN1gQTSZQ0QHlVJSNRcQH/M9X1DJxb9arR0aP5EQSsbBNuOJ8NAwN85/OL4vGo r/XNTy9YOOPWwUYYMnN+yMZjviUdvUwojxTSFo00pfcQhcymLZPS8sGh3XA+7EM317nBwCEkIEPq BlhsNygkhxefEWwuUkUnpac12MlMg2Yy1Ceejo6e/uvowddEomDTE8XM1FDJnbrwxUijUt6hlw3E GRuCS6ajI8IuV++o38FPZpngbuHAzj5Nyj3gkIOsC/D3K71DaPcIBTGEOVws9XBQIYz6mChunUsR T0dhzy+2HyIeSsNodqISc6tyo3A6G+WVuHg6swyKWTG3wOKJYuaplhZdE7LhZjM3V0n1vPYUXgU+ Hl0uXaglILFELOsMfpyW+Iy/wp7VlVh0v7fgk6Om5snz8PS/5HcoD4sgKN+gcEQhMwK4KssVZqP9 2gNTBVp0NrfoGmHILDTPYGLBwYPCdW6oxXLlya42XBekTURKEFKnlO/0UGsaHxLEzE1y8JJmhKI/ D4y1E9xvedzuhceDbL1dlrrgTmeWERLbBIVRM2nqbG9QkxFtO7FuJPuASmHhBGFzxVVmzQy7Mxz8 7GmzVgb9YGcnRXOAqrO1jmiCcCfT9cxZrxp1RtUvFpwwmeIaVLe6rINO4oyno2qGrx+ulcoy89Wb CcvMWN1gvDL7rJmytBRkZGuX9tIIoVjrmVHMTN09MJLv4CjCvmg4Kal8HtXyXMT6gWITHUutmuFv GmSkFMYYYhoPZxqjBVLMkqI1PB21KDzuIQEJLk7rEv5mFDOLDkmuK8UY904uFwdzAMrmQtKdJ/MM y8xiqY91B58dQH7nIksdLVbEELzYcRdCPxLAH9diRF6Jz4iK8Dx0bAldmGUvpaq/1Saf/tfZw9yc LN52sXIziplRnQby38VVqP3Bw6c3Q2ScmN1i5hkT/hgJyx2RgyPfG7U2XcyTqoMCWlYILtcftOAs 41oUhJ1xoZn3Oo8tDfiMM6ZGTYXSJ5+OpudXKQwjvpbNThQzU+U6seL/H2Xu24FyyPJISeIvzdkJ q8yLe6rV7oPPzjlB66B6F3K5ARIsexR1WXMyX6AclbHxGcHsMKXYlOzVwIOnnZlO0oJdBU8HnfVx r3VBwQBivCnFjIJmxObn5hq6r2opzRArUI02zg1I+Cz2zJDvRxzKJjpQgwPlzg8LB+YkxEodRlOn vDviZTS8vFII2Aw+I9hbXDWdHEoNgvPkpfJJQ4NrRiFze+4tCVUim7k89RnGzDKTbgbKyLRM4cG8 SBiYuBicxT8jUIZEDixh4OA5BZgtw1j8E67PWz4V5J+gMzseQtvcetPgYB7Cvdftg2JmKCNRX/rE Xng6KqR+Q1bYDUf/wWYngmUM6pn36d1RctEoAdGbC75DT0B7Kyozm8TpfIYLd0IpAwKDVKsEHlhm S1QCQ4siP71jdkdnFDInEbY2UU8ckoU6ib89onE8HaXr393RzKpjuVsrZPtJqLtOlw+5QWEtLh9C rDFV5EIZOSMO1+WDtygQIdYsth+aZyalQTYGyjXrstnYdMBHRIQkFjGkdspB+UQHYIiFsM2ng8lJ 3411ajwRBK3JiUAZiD8BDR1Wyqju0p4oIkCJPVqxcOlEEfMQ2qkI2FO+TNrBSmkU9z6/LHTxpQjf JWePs/GhTrAONqOA2SwCpCQ3XUludABYuDHOBsPTEaJnfecThKB2507MkOvXqKichoMsh81OqyZJ feLBbAK5M5THEJmtC+/UH7wTWNd1Mo1ME6p7W36KqPzTti1/a6sUvelfEc+e4vpN5/qdQE+5aC25 4Okom/BLi7Ku/L+lZ5+fFcXL9B1IQg2iy56nCzSmvK0ITw0kyhBGsIxhaJU8ffCDBzoPuNVVhD8x mKqoYIWWSi3pJyJkB2eFsAzS09eQ6tVw1asG43HeWq1WPB2R/eb36oGEULv9rRXWmBuFDaplo+ci aV6Bn5JrBETX4GArjJe71PbU5upPNjrQ1mJMgoDwHC40AEDBtFmhZ95CDzFp+IyoxiwTUsmyr+7S nieJk9nYOarxdCR69UvWCaWVenGWK1RYpoWkH8HItWxv1WzzdCKeZMfyCnEZS3e5omb5PeCwOX8P 3T/jqJ9TuexqtlxAHJyruFMxrbjEcsNnRGUwrh05ty13bpvYp1uzAw3LOFwuX2uHSDDmJZqdkO/X nvyTyrlEHaAgucqyRJ0cPe6sEMHcp+CnzQdP1Ps54HdTkRBmtmvpSuc8Jq1xu7XEFV1xjZnVwSzT LQxeBZOnMLTw8PS/Th5e6ZyY7JiMFeosw1uO0OqiMgYLGtQ32E6cmHRMSvwrf7D9XssYFW0OYmRh RnbSJQnzIM4quN7BK3XWDa5JfEZQBZvqatEKam6zXTt3KhQDeKenjKejAvPN089IkeV9l04UKyfV l4epGyR4XdultZsVwUYxz4QVa2OwZEMWnIZ77IA1S6fhdFYQAOcEHZxY86dx+tP8Qh1svWrEULdg ufigGg8cdLizRh94+l/y5U0eUkgqbgl1RdEyDCGriaPh4KGqgro3XfkFyAHWvVlhtFyUS4zmg2ei 5/ico0i3qJ8pyvIdpa94AWULcH7BdDc7oysUxyDOaTYBegCjoUUvINb8xtCCwdP/vLQ0F+wcZY+X V8j5G7KzmSzpVAEQQYmE1DiKO1TH2CoxrxjFTErJVOXPKeQICU9wcuLizqBnoAk4JR6CE2YOs641 RiQL8CsKmBNJfnA58YFHz4kEGaj91BMc4ungYN4Xp8vSPVLwAoljm5+Q8sdrfZjsQyVnUfIYhMrg 8Olsk3F+YlwGA+EqcbD6kEugNHlW8VCqDjIkwQln1XCa5s9EkGtFQnpnrwjHTL7wWQZz+yDIE3xt mG2dOcfT/5LsxiHUGI4+F1cUMgNSgD1lLn+ZTqHcXdWc/5b5/2B2wpC5y6lFvtn10dtrbQ3YN1AI dfd6bmMyRut5oKGlApyGBz1j6E3/at+smbcPnJ1+0hedrTvz6Wj1XLhcsTKYukqcnh1FzHCEK5v7 Xs3R7tb0Mvpt5PFPU6cOKX9bgntC7M7rXnf+bZnn9hKPv80Tw3f5aqGGSTZXv/IYy980yLckXKQ9 xkFFQmhpSepz8OmIYOKHjw5QhE63PbHfI2bJ2HmC3inW6JJyjjBcQk5xdmJ9DCsSbh9umQcqzGQT pdVO2mH61MDLFXB6QJ3z9g35JTsEMvPKavLObo7nhM102QZk5hsGN9dVYKa0Co/56gfzDpHMiJjL 6FYjrO3ytuj2QFDG8q2144hZck5KusZDERjwEStCE8KgsG32MeZkro4iyr4KGdIY3lHEjN0IDgpY HBqUjY60ikXBG0//S7YIa26w9efNiR1S/ja16XPz1h8rX5PYcneKAo9YkxNiMgwIJmLNeGQOYNiC /gHDmw07YN5vEwIQGVI58JBy8g0LGTsKmFX8WmPcQcytnuQ8XU7QgKcjNcL1nWyhSA03BZudGMTc OTvXjz4tk8cYxhpYmeK1nJ2Y8ieBT2EO5oM5mA3kD2DjCNPts3Aez+/NKTh31r6WmSgW4COibIIN rf0MWjknAZXk0EnU8fQ/BTL4jxmcXbG9HarJMdnK64p3p27HTss2O6U1S9R3iMjQoqHgrAa/s0aq J9kSIXKgeaMKYafL4ABL/fGlTXrRIOAhFmwSJ6JBudZuc4tonBaejtTS+j2TcX4DznPVl3eEx2Bb y5wCQDPJydMJKqX/h1TgYc4tO9SSW6ZNnX0wlO5o5+otcuGYKZ8oVw7j9PHdDe031E+77Fab3vQv SuSqe/sgGGoicYo90YKno1zrFpfFn2DwVO+dFYbLmQdPsrZforaWqjzbKqgZ2nlaPDEig1urC+7U H7hTO9HPydvkooXDeMleHKExaq0nnZi2egotYfEZQTjIg3zKwGVeA5d0fgQpv5+LHU9H6cTjMAas irJ+n52wvlyJ5sH9KCPRLAgYJA6WqEknFzDXgB0jMnQe1+WDI+AXcqg2TQBibToo57mExdo/65pF QcCCHxEdPAoDhQib7m949v0mgB0/MZ6OqCU+OUzUgaAg5VCTE8XKbPdTiNR5W24XxficUnLM4rh0 IjQGWEWs7iQfvDOR1jl02a87Kfm5JQoxdZPYKixTtCiuHUfTm0bFd2pdDaBkNWjpjCzrZCD08PQ/ M1FhdBWma3ZyimLlRia29g419yjYTeYWhDO4dlA/ZZWHRasgl5DDaq8+OEFgLgabgPNsIJon8VAF emOU/2E4auEg73R8SECK5AQNomPPgL9JICrQryz495Ynn4+wlldAxMrRUBy5thMpVJQjYoXK2/8p dvqQQ0GBHjJMKhlMmqMwZO4Cwc07+PEzkaJQLijBOqrRLRC4IUagUBF6avAEJPNTgs76kjy+JGim l3u2YUR/2miNjwc5xVMN43GOT1+XHSlxsdfnFtEqJVlvPbHMw4JYNWW5BjKPFPJTiGaWH/aWIvO+ /Ei0bQBW2YSFoQIpMesOwSJIKAvsbTreNdu7RqgnacuJ1g/QBIlpC1oclKQ8QfTi80EEdO94wcJ4 4l8PgRSVm3kSWCWM2JVlNbEklVS0KoifkjFQ6OrHOukJtKsP3uliVWPRoOPEv6hlUQdL1SlkC/lJ vdjS56f8xe2fS4JPy7zOTljVsgDtJ0Th4xE0rHxn7igblXHhPQxv/giESrmK1UD/Enop81Wc+wQh Uys/RVXnoei5SVy3PaLDZx5QD2BhDCg81H6wjHBiVdzWa1x+/27L3jVqWTAvbfQS5aApalXJ2Iko Mh8PkozyUNgxNohFjXKnKCw9V4oxL+mbo3PaxKqQzQeSsE51WU1RWHtWy0uIZQ6eZyD7TznLzC7D EUdFsSSFdYnNWW2M9z0+JMhRG9s5TTWyZsbbk/wlFcdOGobHI6b2eFYRli2KDem51EIJDRzLWw1A NtyHA31Wc31UvrQOoyieNr+/ImZAGbfGkeCPSk8E9JRZjGY7kNz3Df2MfnvKhBvxQ4KYSIXDqip9 te080XWUHcncnY9HnMmr7c3JYBftOYtCFY1C4tL14dpXZKQb/x/2ccPPoiiiBinjDSBPIZom9480 cVcvOYwjuS8sPBYH+xToketdg5SDNkGDWrkYZN48If68TVS48fF/6fcUMQPhVLQeG7cwqp5EeFyK xWhWgk7DFEJX4pGmKYq0NKrmpqsU1J9SUK/jTEQVA+UEW8mAquwGkSe4xtMAm/auEdiQc5Pkjp0e h9olD56TpE8+HuyzBzDGFYfubX7iohDozO7yTl6GZn6sIn0xiW9atdgMhXVodd3hVGSDJx7QP0cn Rl2emVUPGpNwyHNtM7Y32A/uGX5IUBBiVr/4Fhp0Em16DeNAY+gYeps8qCgeqHKfv2FRaAYIWt5Z OkbTLnt6WFQKDd1QMSsumZoDN0CEDhSAShK0dpw26okbmEmoo5Lkdc5tRgeTNHzMPUiMBliVQUUO 7ACRZPOwJtpLg8pCtct9nkLfOfQDHLfVM6Q6MlBbaHeKQsQzj6LGimth03CasAYFDtgKI32V5kGh J6DJMBclauWxdTtJ/Mlg+GOhqthwoLIyhBMX4iMoM3srFbuFH/IXhmP2cQetInDStHVG5eP/kk8V fCxpGfsMhbF1ppZYN8djSZJTwlCyNTBioJOVZiiUbZZF9pKD0HochM7nQ9Nqd1UWUSziImqbwhGw g+4XmbmSvetfDZ+5Df3cdaScvzrZwvuBMzkfD7LYq68hAaglN6s7RVFlmpD4nJsdRWkXF+AdZlJ6 kpiefZ+FsbXsBoiS0qApOlcZBBHB2pBRzsmnhOXAesQ3I13RUFJsOOfAHPDKAHS5MvRx91nOhDmd ma58PNhnjyi6DL2ALn6u/NAeEGb3lSB24sjK2q79rfAI2t/t2gMG/oCPTqgZaD/yzamhdF8miRdQ 46psEXUAIuiYm3VcmyVDs5f9C7QwV28+qBaSizqsJ2zofDxqq36LOFdyDtNzWr+H1smkJFp3x99p 5m6j9m3W4rB59o0WhtbSIVmSs1nzVtJgtVlHY9y46kkZdpKmIbpoqG4sMnd0FKVi7xodRYT3UutP g6CaSI/4/XIvfDySfPxlZUY5uGv7mwObwGu4lCzNN8lraM3uZuL6pU9P80OfQMGgoQ7qgycfqc0z MVRqOZFeq5Q+PBc/2mAEXo7yBXyZ9rJ/UjCqcEHVcEEn1F1LtdheNh+PTutfURFuaYRoPkVRaK38 TEISVGsp01jv/LV5nxH7qSmKnE+6JAv/m4XR+pLSGEF3sGdkmj9Y74AeW0Nxh1e+8E/8kGgRSSN9 bx+0zWad6j9Pe8dgEa2rqkpTM/S5HzGkHPgFemi9s0Na+WGSf8STgLTCY8Gy/MAw8Popootsg8Nf En5vWsGkczUCtIl/2YCUImc9A/rkBTW5cYaWgV0W0qNnH8TFOF9CekZzZT4eaV5fhAcvL9yP32FR bIFCjw/TAkdl3CQyC3HdWEYFvUxbRjHIo6iPWH2ws6iucznLmCNBH7qylon6L+4TIIpQ1rfIUT7S oW9gGVZzzD4oh11M9bjRBh8P0o9yz6Lpddl+iag5tA5kVyb1YrpISda3OIwS6fDk6TbrmuXQO9A4 hKNMHzxD2yTBSM9v7zMpzOXgOQ9s8vz2nRTKLIfmgWZfX9iXnlwFOIvqORMY6cAsjI8HF9qF4cm9 AhXZffVJcugfCAX7s+LJeafSzfbDqFGaF/rOlUKr2GmhgaCYTNvthvZtu6Kz2Fm4B9BsAxBMX1dq uJHPifRMxaLFzlkOHQR1ozXqU2gQ3GMSJYpCa5l8PCg5Pquo+pUG00yfolCGA/h/npRZbXtqq6Jx w8MZqwhCxD5FYXTNAseSZAAHX0WpAVAjXYkMXrDMXeH2gALnz7glRyA87V0jjSR+scQUjYPhheqU BeWJLvj4vyAxRbTCpBvDpyjEfdChYAyp/xTpFvIsYoGPxh9getgUxVrPUq8Z1Ycn0YftQVYDBGUw NtE6yggVAttQSrsmndXeNWrfMzcj6RqDJSAnCmvsf/SS9Y7/QgMz0wSxIiNa8SkKvVHYIxp7eehY ha/qew0LHTNx2ExAAjPBqy3a5YHbx1dgBO/qauYoKKBOJfr0O4PgXK+3RU0Zshy7CSazb5g+KAGh 9DjWxbk/+XhU2/ezaPuln+aziKK6dSOenLpe8kgxj8WTKszmuLPu7u0lRIBIHTKxmbZTv0Jt5+IC RnjL52ICuNjUxMdZiZ40zYekTavguvwdXE/DBq+rgXjOBHEtzpr4qE3/vojqnSFdpJlceZuhKLjG Fjp7clpYVDxAGuzeMCzqNGjVfRZLP4tFt5oPXglJMLJa4hie3HyOZeoLINKeU5O7rKsVO+1V/4I5 7CzZrbwcX7U7EY/obg0+HoTW6UEugpEwfrlwh56CQlhRd1kwkGUYokzrO+HP6l1CsQ83l9DSpbb6 revDz6suegWkcaLgkXWas2qIjgUIxJogeh/xM4IJatL623fgBJ3boJKEeRZQ5eP/wgXTP2SzTe7T E4XVgw73RKqqTjQN6gDlUceBYGMxfQ1MBUEVE5NlTR9s/ZwLoOxOVMqZm9kyRX4z2Cvsm80rojlU JQo9Baua1EY3bNnT+0Y/UHYXJx+PTqBf6T1U49LV+suhq2AibLpnl/BteRsUhI5ShII054rlwFYQ y6co37jD7ZwNSE1WU/vrjWUyawuRv7tN8W+Teah3/RNWXljRX+4/hxoREaknyauZj0dFogfBmIEp /yFwwGYoNBZEebqWwpo9Ev3dzfACVVPrLe7hJ1DsLKh10XXR93vRnxP4XFgQEFdbCGQd6od3RJ6w qBwPhvG+6l9H9FD7bDjufiwz0ET9efLxf4lA60af6I7fYDE0F0RBEw47zpGHBJZJsfbimetoPkFR PL3cHK74cPHBC/F1ZcpRUMYUKkuSYMjK0AnUJcYYkx8S0aLEpVt3UIWIC1NJROfjAcrhscVNqrgx WrhTFJum7OvJza7QshJRpZ8E+bzgVNgUxRBqHb1U/1v5EnrBOFiS7ESdsbYqydGCImGjxBPAsA65 EhQktBmUCv0ymkK2KsueoFQwuhlp8PFojjxarOJwIk8YT+ssthrEwgTr3/uvyc2Dm9EWIB/nqWvs NSglaElNcXCcQ5sANKpIdHYd3JZ+iB+eSOpPAkhtxCpLNH/XIFyUZdxq2wfOERx4tdMq11EUUdeb dPAoYjH/qcX+YTZIv/vUbKeVq0bBVpLEOtCg1wzFmtBMy9TR4HAz13PZtyQKWakE76FGhIu9kIaK pr5zpGiLlgPLQa80NjoFalD/dXR3L9+Dj0dSQbdGRGk8lIPZ0rU5ikJqoKVAW60m45ZMS3L0QSgI GkMA+pDAmkPfwU7xOoop2HBPo7ML+lSNaMCIgPCsBhk2uqSxuygdt3nfNbjQNiNGitNpUHaf0hD2 c6MAEjgP4u7+9js9seLczy6LAupRZehp9OeTOE0rEC2z9lzQPvI1FOFAmkBpqJ3YcOeHdaEsZGwF EJGl2FRJVBrQw7pKXKqhhc6DVF7r24RxCBXFUugnNDGeHU+iEFl9LZxI0wQcIddnl4Xy0IPJqjUU nb0AJhkB2kzK8r73WRxRi6Gp1mL9ai2ivDTA+GBSdmL3asrrA6b1EH16bA3SsncNNhlJZA8OxIsf +GuyhF2djwebbKbvpAzZwXiAjaH5ICyNzi1MV5BNeXEVigaltCsQadRFtj0WBtXi0C9LPS6brOJa PDFhpSLXOZ825XpQZETQOCiFdIuMvdm7/iUHOAlM0iB89QQemQUftKdD+8Fx15Cy+HOykClzc/vQ ghA5TQVTmYhhpBvCE5/MFbA23vmoxQmTFnoQWmStueLgKGIYFK8pO3MI+Xci+xq8iyotNgGz8chx F3vZ6CRi8lGFcKy2nVGja6KLn2yNjwd3/pXSViUWfu+XV5ZDH8JBhZyZLylxuVS0XBob9Ww9dw2M CFHzVuQoQdt+kY0AILe9iNcFhwG3AlccIyzi0/CNlLxSS5cf8mfysSQLuAxuAsJRYdgHXXM+/q/0 rKiLT0fzC9XPoRshIYZpD4OCUJheUJDGAkimS8a2BDa0I1xyTSPmToPN0fmFJwSMeV6fRA3SrVhp yMky1zBVbSU4Xre9a4D+1F6r6lBXq0Odu6wVSW+eWIuPB/dZ8zmisQFksDPLgjZFUXSNmq1MLC3H 34YFKWhwCwtSpwMdAk9C4SxQg53dB29RDyjbtuHSrdssvBfzAES2iGL8Stv2rn8BHQZd6sAzOw/h a53zcrJ8ArenxeeD1tnV9KeoF+6Y9PQ8AmNCJCC0EKnDuostGeaqZuumrca1wTvtD2dC9Tyk3zrL Q8TDkiom1ZXgP8wcTXZE8ENsTx0kb3vVqCvEjtn/Z2o5T8S9ZfF1Qmw+HtUZfRHJP4LWtv0e14E5 YXbXgzosbpzI7Zjm91Itzadkr/ZZGFtz9SzDU80LBSlnKwFCvKXtAQNRgo0HWnUU6ivpIkF8EYWh tQ6h1qoPhgTJm2lzPZkOH4/WUPrOYgXcm88+C+mKkw7wzUPHa8rcRnKKPfuAvNECi0L5S1DFbfvg XXyoXa6epDa+TpDEWayIpPCVfkjqUoJGvzh+SEAcqgLuyV23G2oJ4qdbKq4btcbQp3A9iT5jxy7+ 452iOLrmae1CwIl8Lrmp1eSxY88+RTFr0dTepg/eFcKZtlI2rdJcZR4La1qo5XemAI65IqczB1aF uFlUTmMRY7nFwhxzL2l0nLuTj0c5rENBKGub4TTQ+kMdCt0KJ8n2rCUyi8WuYvSYOy1paIzVTUo6 B3aF5/M3rzKa/Gjw+Jq9IDSfKBu4lhpIq0heiIfQBTrQfjgHhoXQtGFgbY3TbGXxgX6SKB9n+fLx CC5z9c2Yz+FoQ8KlKfq8xkUNUT07insaQlaGsgQRr5uBZGPFvEZFDXginHzAUftg83PunhM1spSV gE2bqidtamfxB0T9axr4E3Hja0zUXAdlawXtu4LOoa8z6RwVePhlbhoaqErw5ZzAeAgtZbaCPq/R UHMBpkS9VhI9lnkzE2xQUAGpzykdzIypU5HmosEOoL0S5E4GRT5mA9iTxeqJNQY5KoRJNjMGP3+d GbQ6xMfrd1AlFuxTyeKhEvs6M8/OGtKQRmgm3xXMzGsQ1EDvgQowXQ7/o79v8vVNou0nlp6SDDwn z2sIhDUzWHzdonTuWxk6Jz98i7M4QkjBKHoKrCMK6KCNsAabXNUM7/m+ZooEAxdnhoPl9FWIjXpS yM9r9NMePLXWjBYs4QyYmdfYpwltBNKCM1+6F19zMTYMZFgNfPYa+WB6VZ1Gi8IGmxlw0M+MLJVe T342rP6IGBHmV+SMmt5b1nu+zwwjU/gXa0CqUnkgn08jBQb32Oc17sG37NOmRsWOan7V+MTXmKeJ F3mSh2q+sWk+PGAnSWfqCtP7M9pN+L6oNQ8fPGwuJ0LsdJkAzRWRchLInKns/MGNYX4ZFBL5vMY7 OGdIcp1NTj3NTmE4kqm8e0K09XmNdvCwuYmML6+VzWWLj3yNdRrqWyTd+aLJLgF81kE24d8CUWku mtdIpyUaKxY6G/hg0GkURHcilhxp6gYUHAdNpd3oOWhIHeUVVQhd/bzGOec9F2tji4BADXYEtyzZ ibNvP69RDn7/5mtGOHvit7uvmtcYBwe39MZRUJG8ZDX8VCMyFxnpwBkgK4hoahqXCzxObfDbCUjQ TomHtE88OAbZiBMBKnT+caDdODnR6iDaT2igjURMsgaumnwCSmGnTsL1eY1u2oOWHtbpaWrA2tS8 hjYNLQxsI8yjjprivcJELg5VbWWdBsnf6BAGPLHIDUCDlzNOqg7ZVl7cMN1b0srjAw0lwHr1kAeE 4T6vYU1z5N0Crs8GJRBbGTOCj/x5DWp4SSSbGuksopdR74Z6rRk2CReBpuGN+NWr4cize8WqN0Nh yeAURrINzyKZys1L0MQy2Yv2fWdDndvyXFaEJbJfkbDPUC25JUO9aDA3Ww34fgcuG8hJCphK1c1g bhg+6RTOw66owjifk/NaK8RSJZulX+oh0YQ4iFvet4LhnmmvlcJm9iHnLq7JBydqLDSZ+WPj7GlF cr+DPTyKlxD7ZaZpVN18rRPiByC/d1dyUDhoT0H7m2lVhThXeEW17z0FYZqSiu+pd74Yr17IT1XL nyqzeR7FrU9TGQdF0yl14ewwHEaN24ZbAKsnpGNrCUC7sSgelCfrXuBasy/pahVi0UdLR/QVLZ3l S+fkGFXtr7Ibnw72lRVR7QI919YuNx6OAuJEBnK+CWczysGoTFBYtgCv1CDR0eQIHkWBJQ0e952H YV7PO/zcsk2EzIGUoaIekDMJLE3lQXEPwvO4SFsg+aCG4E5iWp9zH6DxfwbFnAt0Iwu8umx+3sNi ZBkIhgv3U6WKkOFbWvWd1eF0YPifYH42b3BpU2rw6A/hX6ZNJ0oWMLFkaRBRbmlJSgge42h6osAY qs3o96DhoEEVC1R8CR9rqHu9R8aWij3TA0ZOqePurSg21qlcips11pEc/pNsb5XtIkLvFDG8euEt TiNvDb58QLI9R6dArGBgGlqaSDAYl87bDJS02ztDDKE/2ZuEXtmg+vsJIqUpeQK7zztBjDmAn8u0 +ECaVOBMYNPzHiCjVIVi6fKKl8lLMhE3wN3Z5Zfa8x4hZ4uQC2QhbfBbC4vwfAFpRZ8bXIdUAvqe zGFurqHNNVWpCI8emXczu+Kg6UHYLdYguu1RjJxL+sqrJJ3O0q2mJwqSQfasxalyEA91+ty0EGid G8w1Bd6jZHSBePgg5LXBE4h8jpmUZB6CmsWSC0vHFsFPOB4/wmov+j47gLpiU83iA9PxExhYmzS1 +nnnhSEXSOPX7Jz/aKl62vnOC+PRwwpgb7Z4KC3MvFNGqIPgXmf0RIHy4KqhgZ0NfvSkBPIOLaoX RG0GMeybQJKNHQnorIoVs9ubvh89KiRvktw1CIcwtkB2beb9eeeEYfH0X6EyWbfzxoPvnDBuSeB5 U3HhxGIAsrMchku/1EvmiYJlLEHCxbIPXgWE70Ml5uPsJwQNRPtMlNlB0fgZ3x4HS2/6Pj2ABDOD SD5YobQO+eWdlPbzTgjj3XqTLP5jciJwVmh63uNlXDmN3PhlhnsZHSPUc05kJwfHlSoryZ1/Jdhb 6omunXxwZHjd52nyQ2A5ebJ0KcARuEHnqYfH05lLvJPBmgstnONi+6CKTjVj2tqh+RJFzDut76iH rKnqKeg7EwwnD4kiq0jmBQ49xc0a0f/B4ukI+9VcDyNm7S0GohosYj7L5dygm6aeZw+crTZYQk6N fPaGCvDVexHjMoqYpyRJ+bfGPd0A/0hdijjoG0chc65e8KKpLL5vS7613klguNVZ8RpLoSDs2oXD PFtry08XvjyGgXrngHF2mE+ojiyhQS/sjBMGJlypEPMvdAACNx7RSWIbaz/UlKI3DQ7mYQioO1g1 sDSu1XN+5c87A6zJhMFudZxSuBylYsLZiULm3JmJNnMtymSbSwp5VYM8o2XAmOed/oUV2HniEJmi wVuhEz63Ek5c64SorUs4ETEk+vjMJ5whV/SmUX1dSGfp4LjFPDq1orSfAHx+3slfONWzr51lFUEk NT47UR1ZwoltiVyheZJTI119KOyGronqyO8BMzg7gmT05MO9tfo6K59me+dWhpMlnRqL5BQQvaT1 FDGmXvWvmGdt2WTs7ZCMYX7hYJJ93olfeMXhi4fRX6Ff291aYcC8JJg4DA0uLRd4G1NmmqIK9C7n 1ooCZooDFZ2zGp46Bvi+nflWg1wQQx5KrtJ/MqNGYpAVmvR93llfzNVZE9zzDsq3QCWTsmTm0/+q J2NacY/Atc2nJwqYobJbC9NkRoQUqMal3oU4gF/acGXJd8ZXMzIKUrXtg6+efP72+QmS0q0NUTrC orKRUZCB+6VOauU74Yuzw7YnpaI1qMwzgVVVrl4+73yv9gj+qtqOu1IevpqdKF4mnKYQNsGThw6I PHl6mZaMIl7W7ERVZdZWC2tENtxzGRQjdlbOyQM5Dop1TVqCn4vqh8ZAblC99KZByCNoQR934Oyc C4w0sfMX5vq8c724vNN3RMgAsQ2/1N+5Xs2JucsEJs4Z/ADA17gH8/9j7EuTnNmNJP/zLGUy7Mvc /2ADd49AsloZ9UnTJnS/eVlMglhi8cXgYO9UL+bRtqmSD7fQM+bJR4jAgL1ioSmmiBYsg6GW7vkE Q553qhdLoASmdnVs+lUCPEGEMConff+8M72ajLi/SxmI7J5mzTvTq8kWHVaN1pJg6cySUcsxIIhs ufo70cuC2cKGuQ9eJTyn8jmc2TZfINpl+cSzEo6vhSrHTbe23jSYHpaXh4hew4le58dLEkqsDdPz HjBbz123OlngOOVlb87piQrMjD4yHcg0PdOa5mBD6OjpxNzw4noPmBNtJAqNp32w6Tn3FG7gxOkB FKlVUXbxM8oj4/rtjWZvGoSE/EbsB9nAkLDBqJcHK0Sl3oleeHqO75BQolaeTrzzvDwkPDfxtqOn 1Au1pJpbpcOw7633gDn/P00LbFp98L0FBi7SWWIusL10SDVBt1FEfbItRGafd5ZXk8E8xPtr8oGz U8CLYjKa8vq8k7wQUK7fRw+K5tR5Vos4ipgbI2b1P4Ft3tU1yVjNEiq+WPf8neN1y6hMfm3wa/3s 2qpmeaJ/1qY3jxYPPT/nE/Qg/f68c7yaiY2PVGRxUNzi4ByvWypA5yL6vFO8eLN6jZlg5vPJNMzQ 5ISgi0GKac+WThCIL6o72zkQuEFvRA30sMYMWEYReUuD7axxUqFSpnQRKwxAaedYwDNBlA1gXh+P I9g7ucunpqMt4IPK7+eQm0pE8eNF4fJMN+Bh8Ee6y8213qldzcypizRJgMFSQWMB9TqsBjYhB8iN 9c7susfOWeB38HbowH6qpvELW7wulDMOeEBSxeAWvACF0M87r4t9Wy4Zq2bQbRcr5yxxuiMjE+Ib /vNU5uxMdCju0onCZSx5eC53u9Kl+odjZ02LeIjc0ey8h8sGqsRtNXzwPvoJl88CnowHz8+7wAag GuD5qhBJYJ/CREepI/HO6LJePQrLW2Vm1M7wpRYSC6I9wBT/vDO68APu/pVsVfkaekD4Tuhi+tsY 8ThyeZso4oklvSlK6L6mJ6ovJ6aimS1PDX5nwbxioeoDLs75KsR2Qwqo/ezzDR8LVOah71QuJlpS rmvNB0u0prD9tXS+XrSx8lcmAXyuPN85M++hspph0rY2ZYTmSXou15Gn+raKSssGLyg6l8t1fzjX 1bnKivT74dOeCxv8iwowcH+kHJO1tSjB/k7iwp1I3vbKkpnN5ksNS/DCm/1s5vp553D9Kn8Rz41C 3rql5XcSFxsu0K2jmyxjHfbUAKFsWxVTINXIPEn8K1Gaxc2ylKSvryR9nd1etto2FVIz0vIF3/Cs KAQb67rOYOPwQ6L6F6+4QgQtBx3KM6Vl1iH1807haqItfLe1EIvKKo3zE4XKPBATtZUF+XKkclqW mi5Os26sf9SWS7IBv9Akx20DmkylLxTANmWHYJWGfAsFFLI0PEsnT/KdwfWgvhijnIH/lyZoTR7R Z031zzuDi9Hgr546fTRrvwdPGCx32WOkey4nS7W2sXEWYAM2QWF1mf6ecGX04UJMz6ZBg4L10/MT G/SLfTccaAgGXMeX4eA7f6uZskpfi/r0uEoFpT2R00w8V88hxMeD/bV/lTFQBNvLG1vv3C2HDBZZ WdLxs5pHLJ1hGPE0tq04PVF5eVKhNmfeXjk/hBLsr7xrMyby+W4kVEzWZPc56Rbk6mz5IJ74vBO3 2jXI6Hv4wGVwQgN5DZ/4dX/eeVt4w1teVh9iMhP11fPO2+KtzropNXpRfSeDgKIazPyYiQIJU1EE e6dt3eMnZ5ulfiuoCzYoNMrErV6hPpKc/lehMUYTCN3q9CX+vLO2iBrg4cyuBmddVNkT/DSWCHuu 8/NO2mKl+NfNRfPu4ofPO2OL1zG9nGiXy6YxvghjnsS66QK+/cQwDbnEO2GryRcGTYlZfXAUWIeL WaJ594lNEAF1lQgRspwdyfqygXlQmfi887WakdLOphJXYlqqdd5udFG0T9z9eadrsZJQvhLRymhr XchpGC8jXoWCvnVFKXovDrsDVirxx5ydqL7ciJGjSYQN3teaE/AmitJuUCQ6C6iDpTeE8yiPGVCZ Yvifd6qW914gI1p8sK5o1i0GEu/nnahlFfCvtZPAyr0b6z1chiI3fYtNQBQi/YYfrMXPHeB1de68 s7QYLg+hCKYPD5pn5TJ07vQK80qWT6EZz3oJpEvdTA74j887S4vXOtPzIoxlKX6tY7OyxHM+6PNO 0mqPN7XhuFHqXPsunbC4vNn029uXzrCGel3L0JUddDbNTlhcVg2DOjQanvrgiQ9Gos4IoGBUOgI3 C2gs+gZtz0QJz/m8E7QIcqczLPAKNqiGAYufzaNkzs87P8tL05oderBkOVz79ETV5UlXp23oOIS0 piDaRzYd2rGHoTHe2VlNogIsXtzBaxj1hDayi0QyQfduL0Cj97Kv4RWBPO/MLM4N0lDmhDYoXh7N GqLnEvu8E7MMfPzFGiFALntT652WZW1GyFkUD3eWe6X1aZXl3C/QPYyXLQ3twwc/ks/fP+uyy/0U nlZtqWcKaGyT0a/tK5onfN5JWUTTA/WTJOvLISnGMR26k1Xw6ahd7BcWQ2sEz/g1bHaiaJn69YmE 1/88qHfSAK4NIUVBODthtMwkCzR0Hy78dJ45wNFGPlZNSYkqpDAzWwX5OXS6XjSoYEhhbbMrccUS Z4JYNOOXs9c+72QsA9k+S6cXNtO8cNqiSJndgUT9cnIkyGzC5ACdrslJZE9zckLgsiHkavPBJqef u+ik6aSP7HME70RX800ZBlq5rfQg5JLeNLjNExmzSaqqyYqzUJdw7epV8XQQCj4oHt78CHOKJ6It DJSBXCh7OQKsJgfIpWZF93ElHltUVgZ7ETeVkAb7QRpQ2atORYIggsqJD46qPyyMQD/Vq1/Y0/iM 4MISBIwhjwatnSVHbRBC+XTUS7+zQwkkZO3jVjF6FCh3ivPN6QIHFLPHuZMoZc5zB8qUnJ7+HijL ffPsKKrravD6DiZlU7ThrEGIeCssIkwFtQ/8HA7iYfmrx1Q+bi2ZC3DQuQOGjU7aXvF0wJbYfu40 hY0/LNjY7ESBMqTyULvwc6cbDBXGik3Vr9pc/qFHQIzBajGKEz7Y7MyzcHpPTI1PRnH2cJONIxHv 58CZ69FZITa3R5XlSqf31YQ0aIY0GOiyTnNdXng6YiD5ncW+OeLcp8bTw0AZWyvPYa30rL4ooh3I 08o9DqB4Bso9KizrzoITqQ+WZEHj8UQ5rA2uDQ0IWRNAGwlnDbjSlyVLEE+PAuUpXlZlU3SqTYi4 5UQGNL/EMip4PCD1zf0dKaMJUwiX0vyEtD5ax9UhZWJUe0TdPz9ltiS0QXGNELAexcpTxrDSTtmP dggoWtDtRL8PbYm6C9OsRj8cYj3WgwEbDHl6FCyza3jCGpEnxvaQpy/dYSeMKXg6OJlvY4KhI0WT 552eiNs30OhA/owsnBKPXVloqabbsyp+8oYcvf8DidHq8MFTCYAfgTAQ1uDEbm2KpkVBIHVuvLpc i970j4Zf36Ja8/4bP0KoyWLvXJEFTwezM7wAtlQNglTZ5RH3MFjejAO3kUrIexZ0WXQkpKFlm4RR Dwl+7Gkl+i5q8IurFjRu6EG0gGgtFBcBGx3WG/v77JEeX4+wy1O23TSc1MA0FBCDLioxTscoXh5P fZAMcFZv0Siy+QkrzIDw0WQ16+bCI9hd55IZ5u+Jna75iSPmJKJW88EjZsjTFqIRkVr0Im/vjq1L idCdviwtlt40OpstTR8+cH7wN/l5J2ObeDpCgX1juwuu0gfI098jZoBzebfube6nIskiYkZsW4lU gTJlIzs0ipi3nHX2Lj54Aayci2+RL4P0qvWu7gRRzkAz5PmczUgR8BnB7DSpqSg2bMmLGLVZEeNs WTwdEUS/mehQ2i5tOxKjR9DlTrXLbDXTmljoFEbOdtmq8CnR2omQGF1ZwlIBbDGcrcwnqOCUtpAY s1VYdlOE7vyhkUxO1sqDQK3jM/4QMBj0LraBQeHJpJvkHXrbeDpYO+uXgkGWoILPThgyS4qnCJIL XbBkAEtSBnEwF3iDsnfTo9ryUkKh3gQHry2fv7fIv0DUs0+ESNHQSYsr5JDoMd7Z6XrTKBcVI6kX HyzdWlPQ45Eano5qPL84JTjey7wopxGFzMwocqnJgSrTQGAl5e60ANe+GFFteXJrIdX04XYmdm0n 6uG9BabbMLAGzhwU8/rDhKR9xYgi5kyW3666vaq17KEgkZZVwDKeDiLm/ivoAaqNtWpNThQxdwqm QX0gy9JrN/fRYcmUCLlmfYkRlpZ5Kv83Y791+HiCr4WFfPLSWQWvhEEWNAtlKOzp1tab/kG+7pN2 RxoENjg/jOFM5sbTQWl5f4MNeE3Q1FOzE0KXsWsKlZRxKtdRDbrcyIlBMjqHu1aMqLRMp7siFZFS VZMazCdwCAEsgaVTTjiI05TZPLAQi1GvNW1Q1B74iAhdKd2UYkNVV+KkWJPHHMx48XRQx2iXY/xj egbpIjFGiMSQX0Wzunua2ZSJBFnE5JycyDp+IwqXJdJcKEQMANj2yQFgUAJ+mJzWeMAVuSdkyqY/ XK2zzQY+4i8S7V77DgI4lSlZ8XJCGjwd3Vg3Ue9acD+oKPjsRNEy+SRJlgJEgiVX0ScaWNrDxU+d KFqeXZjTlH3waHnPAf4sNxYOFpNzRCpYVPyhyp5Bc6teNWoYC3sqARUoDkggt0PDGBN04peMx6PV U75XD8F2N9kaUbiM9wVJblmyJVAKPKjZBcKVngdVeTA/Ubi8FC4jqrDBS+/nqkHfL6uQUeGBzIOH jhbiTfrBwy2CzwiS0SRc9+4+qDGxZB2BY2Hi6aindWuEPHmwEYBct+kJkcvqTExriBKDI/EdJGCM ljsKjsxFR4RcLkTnFCrlavA6zzjZVSHp4Gylc/ZADgiQBN7MCFexckx9h8DuEYpiVEa4bGNqMNhA Ytfpp+0+8PT/FPEwPij31orqy4TIJUqqkcq2KB3CxbNNWo/4Zy2eKFom1P9Bq7AkmZlLIFmvTjKG 8VIn0w862WAqQXP6Evix1/AZQcRDLM8m9VaDbq3UdA6dJbrx9D/1DZioQ2/4xoMjjJbpULGnZ6Kr mKdybdOQTq1s04YfcbRsTJvugzdE94BXQpW8QYf3jlw6MdGTp3O+07MJsBxhuCwR3SmmH0zWeWBg 0qUoChUOPB6k6uWb6oc6Zqa0s+YnjJcrfSmqyEfV1gyyiWQ3Pezs/FYPS8xSxajCB9Yvi5x+Eggw tjA/E/EB3eFmqvKoBt83X3XPnPWqwdlTJeSdiw9iTZxXpqQC3GbwdFTJ+IYQFqI1b51nhiVm+nPi h6ycHs4oW8YoMVARDQoLQhvMKF42sbg57uBlMDiYrSkHIaSk8J+CWNyqZvI6HiYkVe1miMXgpbyy LJUvjXbiXuSCSK3h6ag/cZuiQ2XCn7W9zDOjgBmAMXngSmuwUSmX2QTKxoS9Y2kQqTLDEnOSaAgJ ERx8dsh9RHgMoBylQxI3F3JXmDZDItPlGJEV4DP+1NLbPfnA2Tn/a7YS8+TT/zp6WG+liPgtwM8o YE4I52typXNUGOzoKS5v0Kl9x7UTcv2q0oldffAiT0sJEhksMZ8fGfbuQoHBJvD8e23dZGtRFXaG JWa1/AySkc3ZdZ9fdqg31Sef/tfsEKlCT4GbTswoYqaaUmqOkcvZM1EWmNUWhfy2ZicsMItjXLTB SvrC8aQzH1ltUULAZN/VaZiATZIvRo4KTfiMqADPRJ0BggadO6qnUS694OlIiDF/nzuTWBC/1GcU MUNhpCpIwc4qnfSAfZVOce6Qa8SdFUXMjBkLRedt8IiwzVap6kk20vlOchrYKCWC2QjKnxcI0VXD Z0TqcWQjyTZoOHocmkh0MIaTd8XTQefvFwaMdF+6GWl2wvIydE1Kq4bFKPVK5QpwgFSUqBvOToTF 6NQ2lRyHhlvk2Scm4VEPvxdYW+wf828HC1CiIZZuza03DU5lAXMlBrtd+mclIBR5Kp8FjKcj2Rm/ 0jETBIc8AeGM4BiduoOzTMu2ejImW2KZj9kWnSW4s6LislG1mjDtGHztwDKgJbVFAVqR0cvuZLKh N5GfrjHbojMKl0kSHtRBt0Ft0Q3ZZeysk1Hj6Qg/WL5mJ5OeUj0XnSHPrxGqVpPrhmzTuyo9GaOE hXmJyIUqcjqVadWgwVsTJ3VM4Bjz3GlQaFFoRAZ0QibnPLZF94kZ1ZZp4ofGzR2EPQWXhwTjtfl0 hHLyQ1kKLCAYX5bfjOAYFA8+S9/LGM1wcSMnF1VJVE/m0omi5cGvXJKwKo9hYjmpQz7/mcSAlbOv yhLNn31xHOVE8synAjajYLnJUVLs9OnsdBChl4qf53zH0xGSx4NBtowJPR13dmKWXyZ+cHrPOJu5 C0WGdKHz9uWxE8piEM9dgAGxwZE8e6Eb02XbOia/CZcOFMTrVh7qmipFbxqFO5k7qi8f1BKFDzmx S+euxNNBMNi+q6d0Du9XzWlFofIJ0Wh1LdSg+3GBFVCScJaLNGXOzorQGIDKIwGVevC66sGVKXqh TlWCAFhNlNlbaH8Uywnuhc6uzQrRGJt9CaYfGiwYXJt4OZjA4+lIcebX2kF3pF2M3Apry5XGAGNa MFitVDggKaRDGT+KSmArDJVNrmje4c7O2VsJMQ5qGEgy2PFbm7ozyMjnLfFQLQ+fEdUw2Mza8o3e 1vGbGwkEe1p7VzwdaV352ikqLlek6p6GrihWxt1WKU2jrTWKOyj0MQyOAVoOT+UVwjGEeU8+8IZl XwIs+9klJLetcwVlA9Sp0QfvVEorLrDc8BlBdVlcgGnmSV+yGEMNUQpY/jtW5kyg5vmohqwoVu60 +qXxILPQvps1tSDfimLPahJz6vwrETQ3CXQ6fbiobvCRqvyiiUQtutGRmGXpuvulJfnpFZeXKRoy 1FUfvnigxSBf9nPf4ukoC71NLV7pRAVfuaIVBcuLVzqu00LVkJ7F3ILqkhMmkJCy57dCnh8lDVKT h0t7PFwq9HZblaPUAgSVoioQi/1hrR4yY063QZkPnxFUwKQBlrWGssF/z9886113esp4+l+EiSLW GWf5UiZWFC4D0+4yReQaD0NjgPpqNTDdW+dWX6EwxtBdrVJPuQKoJzk5B+ZmD5xmiTAQA5YHxM7G 9kuaX3CDrVeN0CokajVVCpvRmNds9P3GNPeBpwM4xtWHJcGCkFT6hWt+ooBZyHcG5OL7zWbNG3bT KHuf3T9yhQGz0KdJgt1p32TrZIC7rixRnn7OoqIaGNgkuAh+4LBkEN3NruiKlTGKeFpNg1pbu8yV mfqCFIan/ymfi5nAp6SLX14h2y+xtMOQmLurSvB+FOK+USHszKF4c4X4ZUV6XWiMftEYFQKoJ9Nd S7vrbDc5lzReITiWd7pkSFbfVxQxJ3nbqIwxvYwxETQo6umZbxgczfsbqwKUZalXAXX9CWA+v6+v nWL2kaNuj5g7D0XOTlhf3iJBKvgp5e6tDP37xggQ0i1ns4usNQZhkeeLZnIhp5ff+aqBxuciOFdJ V3IluVW61C+halrxdNBSH46TI0a9VWYUd35iPEY1Cpvq77W60nuqXn/nzcP5iaXkWBbdLK5z8Pnp 0BvvAofMfAIxWmw3oMcb4CKUU7e4Z9ibRnGPpkcKEMmSUfTLzNZoZz4drZ7LNK5WBSszX2XqKGhG w9w9AtrN2nF1Sc+y0YvEwsIdcv10pQ/FPeP6r5cJjfRNlNqJpUEbTbQf24ATqhR2lTFkf7yjoFnO 2ag6+aAaYeqjyXB08OmgCtY8aNbxiZrR3Vz7PWgGsYRstmzNG9Q8Da0iTDxghCSAcHZCaQxRIAsp SRwusQQgjETz9RMUnlOJ9tGTjWZsX6CxvHdDYskOIcyVXKSC5E2DgGDnYje3n8Y3DO6tX5Yk9AHB nWCzE8bMma5E7gxdaSsvfdgspdhznvrW2nHMfKVPfyugnuNg4jpfAmT0St/nvBtl83Dq7KuNIXXh HcXMDMMGBFl8sHRU6raIgzeejrQxxlfCNdj384RiRyFzotfDzn7uyJkeRTBNDhQOWGnk5ISADPko MFvQ4Hc6+DWriTqxILEtezumlqCktnY5SXSVxGdEwiGSnEnZB07OTkSwoPieNp6OqBMeE7J9TnO5 drWFd4hflu9hGU5KKsmkMcgzJ3XiXls7Jvs15aHLh1sFm+faFTdrFVI6KeeELLRAWWs+ruvoxeAj /hLHWMThaNDSqVOyh7gE8XSEdPJ0i+sEr5tvrr5jITnxtFxILpuqwSjNtESAwUk2OSEcg+WvPPMd POJppakWKz5bF28pkeML/h5KD25Qm/SiUe1d/mst+aBs62T/TC2gT4KnI6G0/NzoZPD9lHRT9R2h MSBqVglzJ8KytL782CEug62RaUTIHerISV9vy/FnXzTGCfmAVWFogypYps6BgVWoD4A2BpDx9F1t etNIsYhVd4o4a1BLNLHFwZZowdMRlCd9RcuZBqX1bqwwWi7rl0XdrnZl5WWsyAV8ra2dGI2hXmjZ PvjGAmC5KBedG19EhQyJOp0cAEQBLZ5C9Rh8RhAO6kJf/Q7CnzYTC8B1i6ejKpizbhgWElU2nXWz w/oyVeSWaaJVtaRoUzKZnQJtgJyLfgE7RmMwxeri0vYvwaKTkkM6TuT9DvETEk8oxIBjeF2zKFJn 8BFBoiXdkEXLVQ6cnAqIq2xZFp/+F6cEty5YdGU9x06oupyoGrK3T85WgDzqIyLXnCi6IyjGLAIZ cGNxuKkE/nZSvzjB0lhuATCPPQuoohzwOHE0vWkQC5KXTu17G6w1Afwvq36z4ulg6WzfWAQMomJI HRHODspNUbgjAvaSLbbd3mRsYQ9T3hOq+qzysGoVRINaEmx47qcKds6cKtIWosFzM5r7eoNYJySr f2BP4NEgr3R8yPsELZpxzKFMFLJcFNgBO7eQJIA+AZ8PjubLNOahhdOwzPTMUaglV1m/SGx9Et6d zcCvkZqOJQS1fgl3pzBilmGJzA3Tl7nhuX/bSsTfQVbuXF28UhvI2RUSdlBGudyJtO1l/yK2rS19 jO36GBsbl2C50RofD1KKse4JRDPEH1pJ+BSFliVDCPjmveNtfnVtd/Or64CPSxg/hThmoSyrEve6 v07oeWaMKGJwbcEyZ8ZOaxdE00R5m3x3zfauf8pAUOP+DAXHO6coiRMHPtbi80H4U8pX5NwEDap3 iqLYGTzxSgiPehXJqmAjDbOVWqDduiVQaOonjNdmRs7B8boNMslDkEKYRCypVEMcqQAlDPmeGx+W bS8bgQo3+6MqqSaX6DkJojRosBv4eHBUX3gGK2m4TUe97gopip/TUFEs34q8QVILpSNZkd/dGqX4 M9EUGbhn+HBxhSlBbYO4wo5TL1HmnARAJLTQyPWaYVv2rkHHgjXVXolB6NUwCCd6UNr2A5dbPh5k GBdXaNX5E9WDPnXnKCw7o+Wl/gHnKHG24GTH3xgpGGIZP4ziurOwPRIeLo+ad2+9T/nMnDDo3NF7 LQkyZ0IapTJnpTFe9/iQCD3HVbTy8IFzBH8VslsAmebj73NUHw8T7DieCk+iIfTm62GE0sRelzZA LqdgPtc883HASVEwPSVJQ89nDX6ndWrzNOXwDTjPJVFm1rMWSqJXwXFMe9c/mQNbUJbty+icYVVp 5tydj0e1+dvbsYpG/lY0yinUz5Dg0/BsLBWPqGc3MTVZkOs0igvQ0lNRY3k+trQNhvOIFTlHFS6R LM+DTFpENnC0T+G1wQ8JlhGBDMtOWmIUdBiVxvT8hHiNjwfX/sWoCliGatL4Co3CsJo+fCM54me6 nWaSdE+DMaJrV+cUyWiQg12ov2SDH9gJUhpFnMBxzuxKEzfpgSae2uPpgE171+hOo4zsoHw+By6j NnaSNvfJI/l4sNNa/crK6Kmz8nPrx5JzTFq3X2llC0U32rWamhTU0wzFJoCCJRC7wcHrQedcxa5g 8IhuWDUwJorKMJslkNdwP6hA8kOCglDhDhtiwA1PzAYNg7AsBmPH0NSk3RuNX4P+87dQn0MjQIim gbjkttjMCFmpl+sdeARyYcAUBU6AqGiL8VerD18AjjqS6om5NXN92ZViPAOp51VWkzVFDqwAcd6K WSr3l9QdOLbEhpfGdw69AB+jY4aOOFf3NWbNoRtgq5J1lHkv9M6E3MClP0xRgxcJTYMCO0Dp9xfi E3yw9LUDjFw27QDXuYO6LN8SnXnByqSfnPVR8dn8kKCbIU2NvqcPwriA/q3TelQ+HgTX+RsCVIkB aM8aCoPrTEe3q7i7rP466rBS42oSrOn6M1Er1QCrywffZhC77FzSOIj62Ft4eWmcwQ66X2TmSvau Ub+nuSICh6WzGsVccnU66h85sAREFvutdyQE27oHUWAJCOXddoEu7IflZQ2f7jigjnTfdlkYWzuZ qfhga+jkZ/Uc9X0Y0iURKwQrnGGMAnT4rDDNZnMOTAFN3lFqzTb4LpuE1pyJrnw8uvEfcQROEUKP x/YutAXEnXV+0G2C6DW5o3iby4KigQTZTDdDumBRwNirD7aITqQItmmRC8w5YJnugVVaLPjXYW1e DM1eNigUqcZIPXMNqqL1zJdF0ND5+L+kfeT7Aez8JSrnwBoQRQykH7OqVH8ytGF1tJaX1dF67nef haG1CmnD2oe3FtLSeYtzd8mYNIOTTmNSgD9RyoIkfb4nUSr2rhFXmcsH0DcbhNSckrKjPREfj9xO PIflu+FLpfqcRCFnUKgFs8Wpia7EtByos5hKQu7VF1GMgq4qE2UfbvJxomkq0UHA5lz8bG2cjA2V aUBeM9UeHfQy7WX/iokkjqiBvVWgCSwQLJuP/+uwFr4O65jmVjZHoc4GVUjIIZYObzGrcfL6inR4 8bWYoAUmgVenGDbyNngSiy5mbWItbxQazcmMZyDOIjRmeONDZdTeNVpFJMfBKtYGlRyxzFmtnkmP R3Doe1qTlIA291fRMfAJPEER/uKZeTuLSiomGdprEbzVDYA5RTG+Q/zBOX3wBO0E0ydDJtVgo3Y0 maB1LiNJFqwLa53VXzbSSid4apbhAwtqFdoduvNX5uNR9vGrWYYqOnACPkUhKppaP9sIKq5MQsnZ KsbuqsRvaKfFEA8mH1VnUf06i8BgW4SXnYDrJJ64uNFqZS8R1BZU9S1ulIN0aBdYhNrMooFlp4Gt M8FMHc5GG3w8SD7K+I4bUZVl4UczFBoGAg930jOvp8ER0lD1zbQgAfIwykEOHQPXFBVD+6w9+6yU Cc+6ovTsbK9Etag1aZNMOtjlOglglkPLQGbkXZREDFl1sQbvBpJQCtZQ4BloIcMXnyd/ey/l0DRw UnljEugyrB3tekiLtWywlLJts9A1cEgqndUNDZ57nGQGy9HixlQoPg+xWwQHqCwhNVOpaLFtlkPb QMHLeidMuncXLu5wlJNRYpl8PKLpem9o+X3Wn7M6Ng6c7LVu02PLIITRk+BcqcbynpCbsxkKI2sJ 1unGT+MLAwzOKRcOdlk7fwsFbqQZhU5/P+MWHEVB44dExTSWrZvMpJv17PfJWzvN204a2Ph4FFl/ E70rS1X1xkSBdyC06Dfbcp3lxnO6u/R16cvOIaob2AzF8s7pnTMH0VBoWBDmCpwiIPyYoQ6o2Vm6 EEe7vpzV3jVo3BelC6xdr7WUewCtk4d2WdY7RvSVB0WOThxaH09gHdoHUpvoq/u6urTYTsDBy6wA E7MN15AD/0DVdQn3KD74LkMjP7GfeMKPc72SBXxSa5S1YL5F+R9rTlN2LMcGgoWJ69ZE0bmFucc0 rg+ct/n4/6K3yuv+YV3m0EKwkaVRhqOGiCGVheD0kxpfxGYohn4oFFLJOt2S9VkmFVVB6fptuM/L +RbUdrZZ5DckPVrF1aGJoGDya8ogZRpUepzLtksd66wJPv4vogZ3AnK1OZ41FMXV8GKrRaI2SM6g quFEDZEwKf/vd1koXefcsOGDzVBrJAWLe9lnKW5yivQBnqvcZF1N2GmvGgEceP5AlMcGAatOMC12 YV7jowl4j6rT1xLKgH7MK3WTQx9BdL2qTlYBQFyUNq9lgFe+uS2hv91R6GVug4MWz+E8h6SAJiB0 U7btaF2dHBYlfZ8gtjH4GcEEmaCC9Diq63HUlpo68+dA4uMRIvjbXOecvvtxlg59BDuT+5Qd5LBs ogbcRx0BwpZR15+Jcg4ez1sQkP1AQCrilDXF9DlH9MQHAmF0gif0zObVzRyqD4U+glWQsyFzi5Fd n22trn7HmX8+HulN/IaT499az/56j6Zxi7GCxlpQvQYO/YoGoIImiVEun5hkqFaQOC3zsekc515H Wa4osz/hIs2HJkEqZO5uk/hDe7rbuwbrZzOAacQRcxBIphW6CJxbsWY+HtWHvlSf0QyChfIVxc6h myCNTEu3PmuVGDrZ34seyugrooyjIyi2E2Qt/9xfzQefot7m2hSOhhDOSYsWiwC0qkB1djzgRWKm c2gnyB8IahzdBx1BmTooLD1PPv6/CD8XAkCuzUUOHQUBfq+E9MiqgNuDBbRRzGRaUhqaoCiapoXT +Y/UyMp6DHbqOY4LyHas5J9oaFs/BD8eAie0EnSLQX5WHxIxolTJl21eurZ5tSrHB7eSjwc563U/ Syq2UTrnzlCo/szKhxpm3RAzmiH0wMTkHc8M/Sn/nKlkZIOl9X0uuHPS7AIHa2KHNjfUWlqhRy6O VQNbjWQvG22zrfP5DgynJxh7wsmkwcejKbogENWSAHG4Er45NBeE9pkzwNl3nckq1Yu1fPRdmxu5 59hdcCkGbM2HC5TpJ6aeS9SNE7dvzmZPVNuq9DvylhkKZHrXiNErXp1UXrLt5Q3zSwGoatY7Rlnr Vb9hmYgu9/mZojCg7jQpX8k2mq4wlD6kBEiVDkoiJv2ZqDoknx3DcM5715cC8M9qYh6e47aRkTlg rFAm0mB8VSdH0QktBzaDWSFxh02TD+oI5d3UVkx78PF/kcJp1IbzdfZnp0URNdM85MmWt7ZuOuKj GY8MKnLGCs+h1aAYLmc1Fh8umHqd/2eovULlWwJlOu/Rs9rYVZR427TTOiIfDiWsRCpoUGZfW1V1 aKP2EZgNfleqmcxtuMzd+YniaaKZlSIQAbKqaQqAnaxmB31CbAlFCBCUHpDQW3r/mKFVIPYa1b8B OW8pUc53sj8rH5R99bdUPQvNBvnxAPNPH4SnBgCENdjOgygEVF+XBwaXaLjly33OgdsgstbGrHV5 QO0Kbrm27DlZu5ssNlDp4mUuH7wdtCfuZnIV9snPIF4lxy+CcEkFePD4y9412GOZ1TNat2oQSeoE 7apSn5ybj0cxo+8x/s5IDsZTgQ3tBmejqXKX8DzoZBKSPPcbysgAoyWAxGyPhTG1JKaG6ovj1hfR 5uhZXhEIrmGcwvQSPj8FmQhQRV5f7M3eNVI9Xizgy3XJ3bMmQFAyCinoS4eGg+NXXzrT+LA/DbPQ clAGZTQxZ+seuDOBh0FaaLzwgdcTeDj0HDQWq/B66cHrFYgdS8kXU3Rus8Jt1omprphy4O48atzF Xja68JnSb1WHtsG5WKQWUfPcl3w8QH3+ruMjlR7XECOHtoPg5KLX6iCiYf6eoGAY6pOLQvd94DsI rQKiGypxVhw8M5tnJyf2S9NEtoAwgoY0SfdYwTdS5jqSv+ufJzXr8RpsFVW+K8hwi4//L7lZ4RbL 7c5QWKVmT5H4EBozZkPDDtVqsM8qEUncZ6H34BKgkZrSGpy+sEF8gQwREo/adiOWHwvrh+pIlTK2 Ehev2941EmrlSUQejQbF1UmO9GgJFD4e3GVX6JdVasxQvrpcObQfJPsFqB/LXnfy7LU2z14XDRu5 hmJOItN63IU2+FnNRhP0j5Ddw4BG2T3XA3mCiF8UM1K6jh8SoRs4Q4kwkIXLm9H0ydJMy/bkSIvP B3H11YFhEI6QLD3JWWBCiLICdSSzFdAgYGMFtL2KdxS3px6xC6FIrUMqXSPdC7+hbUzUKgSoSu0s aANBTHPW/NOeCkje9qpBL2jKG0OU3+WmThnabuLTjc3H/6WFrEMLa7nfozrwIQQNBiuHzhGm9muS m82V2cF084gxNiKcUhoQYq+vL9crmHXzjIcaMpD0Iphl6k91/DU/qX0NhTG1hBNl8bnd4vOcE9n6 iW1WPh7Jaf8+h4DUu5qkObQibEkCb44aFmkcTenSnFPPqiA7HYEX4SXVU9VOg8eMQBoCOYTL7FxG fcqAGUWsiuNp1gtmHDQ5yKEfYZO/JxnOGniXAYC7FVOjxhgaEq5Lq6+Cf7BMcDOzwJIQFAYuon1p eP2qvE2n/1LlRrssZinyjF4CxrpaeiZtHOdc5hQVejixjDYRSZ985wdqEq5GRRJnDkwJcVRb/az7 oLAaqtHiju/Fx4Mi0W+DJ3Q9G8vDNkWhEJ7QjNxnxMpQ+bfSwqiq59oT+2U8qyP0RyWmgdZ0Nniv 4/zYaCOyEnsygyGASKJN8E48gS6ygT7DObAmlAgqzK84RfMKBW5A9RjyzbX5+L8AMsTyg/Ixk9/3 n9eAqGdmvDVRRYprKA+X9pjupav2PyboNRzqABAhYixr3sGmB0qkOVHCBwWjMUfnbSfjC1SKUfSa BvZEwPgaDHUzlwM3p/ug9dMmNyeoUXj4ZWo65EkVLM4nFFpM69lbiWaGFjSVRwylcwgcoqUlqx1Q 6+KvzSM6mBm7wbYcaPZz/JxbawHXY+kGaCqV8pvANYn70n1mDG3+OjMnTCTOY5CvpkEtspOMMaY4 geMJpF9nBvHB9pmRlj+F4ItNzWsE1DP7NicKQgL4HxKwUQMAMajLN+1EQACe6Wx+jX+waCi1VCa7 Gxxs0YAEdpJXWqHuc/PyLCRzCKs5mYCiSdVTqes1+ukm5wJpwOGDQObJIPn1nESf19AH82oWulOo xc5cLtnMvAY+XVnqySqsowoTiGQoGMay5LoQrErX99eZAaONM9MFf+37q7N6wupNx1qQgU6oSNr4 BgmswOOqlcv9pY7D5zXq6Ra2DEwuB6C0q+2nSmh4P9HD5zXowbccyaZGRY76QzcczsxrxMPthJlh PcXsH4S3H2U5K7qUZVHza7zTZW1LR5Xmg2cVML6vzXALJ+5fchauUh0A2CC5OQaZSJ/XaAcHTVYg qMDZK4jn+5XFE/l8xvq8xjp4uI7vg4aeJcnXzGukgz24HrLmYFRotI3zjyRqeyJcv8Zf45yOMFYg acqMl8et6MSTucBjEjOD/2z6yJ7LiOEGjJdpI7eIT6R37muU09EqYYNnleaDjuBzAqtRfJbq5zXG 6SLtamZcmb4QFsSZeQ1wukgisIbdcpArEPczch3ZvxmiacRuwvUhmhlWDYtMHzg4ivzES62QOIji xrmpMunSPN4hMYCylMfIia4G0W4SVZwFCA2M/07ANFh1biuPz2tog0Pq1+VUadOG7Fh63dEJjHsz VdPsgIqB6ZACZ2nnTHY84mtUgxOYU8Lynw1eC+vQZa0i+qyWLz4BkA+GcPWqH6MQg494v5ym4r0l GsKqru97Mnd5v6Ha/BrR8P3y98zgiGl3N73WCbmbsvmoGGrcJKZG7dxNQI0r74KMZHACK4orPTcf nL1yruXawYTJjImXLD1PIMzdBF2a3p8yIbW4gqkhBP78vTZ84KJp4B/LGgUam8HUdDOZsamhSmzz iOa1PohvtWnImK7kS7XCRVu0CakUuXOlqTCiYaeUfl4aPNY7IetCXIODpgJgUck42NQxx9QQyWTG aHQJea0N8kWzQHXDB+2nDZ9HVr4gwxWdNP2GNHgONelC+KCivSgQHuAGF6rks1vKmBuncCeOAZXB AUKAkeei2aE6Gb1nbfALakAlZbAweMLRk2ZJLIj8LdSNev0SphBjPtpTQ7IdImWs6VRnLUYsnMan g00176YiQhv/nclv1/xE4TD1NXG62Ymzk+XjbQ7xD0482B15GMbDShEapV+KEDi2sRIOYyxr+jNW AlZxTQGAhSMyk6/SVBe0V42OY1J6qlZRdfXa3tA14BmbAREPQ2KP+1RuReLV/dR5J4VhN6NqWWju wmPHQc8nOm+mNTVA8jbITzA9mya5NK214elOpM62FtIFgOrIQEyE06FQBDau+2BUe9P32cExeOYS Brs2qFjR2uA5h8LI550RhmVwd5fEJhE43qj4nRCGOR3sRyRvs7O0puAv65+Bnuwl0ygu7qylV/wx G27Vvc9+vjfxPrChXmQfyqKKNKJ5sRpScXungyHqT0oZ1h2s6J4br3Wog3ze2WAMjJdvLpwQDdW2 Vu/0vMfG2BUQ6SCfTtqs3f2bpmlKnoOZqGhWKaLpIbmg9X0H31vnbBiDrDT4ea5ZSRcrjFcmBcKL 7B62VDI/70wwCzPPv8OYRYOm56TfOjkW+utReJyzB4FMxeRKweNM8xNFyLhzaiHAi/MzvH/ce7do ZwKxqkLXe4icXbGfvXMNfjZDxSIVA86f1ST/Rij9AI45fsZjPCh2ShQiJ5IJT6B0B+biUJkzRaVW P+8ssI6SZ//KOLPQLO2unjBKruR+ZZsdobzYXWdpjd31RR9qnMxRmNwtCmTIU/MT8uQO8BOydTAJ O5pnbMPTyxDHM44iq1RMRjzvFDB8QfbW0xRZbpri14nHuuDDbeb9eWeAMWetX0cPJbNXumsnipQh pwvR+eFcVDcKOTGKHT3youTaiULlPgQTI3qFg6fkZ5EUHYEZkjj0r2QVdYPlf+7Hbz+DpTd9n50h oxBi/jQov0IRnL/96vPzTv7qhjGzSg7/bb7xs3re42WJlkJpdJizXi1Wy0ncmLi4hLjgxRUFzNCP wolCjBwHXz0nhW67SpxjEOLMxJyhFE2mHtpOZyrxzvzqJhzRUaLwQdf6WT5LvCZIvEQR89rzK2Km KyPZLZqdKGTGr1BLWeY7yLRQrox1KCuV+YnkXcKYmY4G5+pIPnjMfK7Es4fkrLcBY6HSHaQ0cDCe rGU/1mjT3jSYHRo5FhQTbFAZ8ISvwyT71+ed9NVlVPUEPR0pPoU9VAaMYmZSHRLUheTSzaieWSgo 6sRgks/HtfNO+epyL0SWJc/K/nhWnpeCeORgjXStMwGaHXbjcNSycuJklKI3DZKtxpAQFEEbVAns 7A0gdCr580746g9ZRwczRIILhPZsdqKIOdNJZRprGVJ3w7CFQmLW20k/t/o73Yt3HyvmW92Z/XRn Rj6hThrEze2Tds3EVHQB9lmQdDGjcEJc0ZsG15YE6WvvPigV3VV6khCz+ryTvXCqF7+2tlUDzZOR sxPVkBFYV/rGilG5mtk9oLOrtUPZEtWQ3wNmMGgMgTF8uI0ZmLHzCMOZfFJ4qiSOTZcHAnPS4/41 7FWDoIf8tb1NG8hsuicu1SzAU22fd6aXT+5dPIUWSTv79MQRMyclTTt4EqNjCINWo8edGzmb+9c7 z4vxCM3LWR/Q4DX2Dl0vSm/lVEYvdNYA/Bu1L8oDYGoEVOlsEL/zvLrhUpGmdx90LsOCXqLZmU9H 6fp3JWOgkTvzXT1RxAx5mUq3X0WEq5l6G3pMlo0Caqu9FZaTlzWsqg82Ped+OkEycjrZgBVtv0Ts E9gKuIv8VieV8p3j1c3+q29L2qclLWe1jyHB9N3K553i1fMVcVGlHXclU3CbnbCiDMhuZWrHummx miBOnmTJKNkRnJ2opKyTp8i5koOfywWkria/UxSsYDXJcxknz9lbHfALd6JeetMg5snEFgxZhox5 7U6HvDfqOdk+7/SubrZ8t6iMrCnDmslm5z1eVoEIbfNqGLBW/Fze2cpgdTRreb6Tu7rsanCZP8O9 tc5NtcmbS4B/zUWi8mbgCuobTjpPJxjxvJO7rEXGwtH2QZWe0oe8Glban3dul52wtrMWH6M4yp2e MGCuNDMYrh3ZDPkNFSnfWsPNhN+pXfhhmSTAc9GH249IaHwKZ4kWeVZvnT3EJtnlJ9vaetNoesgr liw9B0EITxBVBEzB9LwHzKYrrWQUIQ+iDJJ1NDtRebmlRywSB091Aakmyilmh/pzvLbew+Vzo2wd yKX4oNkBvxpdYrWFz7VU8IPR7gAANWrT5wf7NfSmUbjMric51BoYELZCcmeSfNQ7s4tpU/46eKDa m0e/sxOFy9z0pRl1oqJEYPBKshFZYYaMi7bWe7iczYSn0V5Cgx/LyBQru4/p7NFB6QbIS22Jif2w LWLHMiKXzzuti2uHs7NpF8KBs1OzpL5Bdl6fd1bXb0BB0Q/3Q/NAaw5H8XLrzNSHthHuRTMzqMk6 xsDBm0fRO6erO+irSmejPjobkNbC2ulyMW8Q0WYZTH72WHJzPWotRW8adM7lVklpeQ2cHpTWmiyu Rv68U7rsXv3Ktc7duauvnXdC13MsmyeaH9DgmSSjdq2Ka16t87DArCLYJCuZg+2sc5/A814GVydr b5SCL40HwNkcaOD0IUxB1Xv+MTXnNxAg4BIEMKUEKJ5Iqn/euVz4lmn8igYT27I+N1GwDB2MWqlR jFOnopDChUOPHC6czT+DffXO5MKap1hUpWSrhtsJhbjS1pVVoDe6RYfD68EzUoxt4QqQgXzeeVxW jELpC80JDYLkji4OPWq/n3caF8/k72CQ3ABkMDY7UazcE/E51arLCeV6EdrTtlOnlOWz8x4rO3cC qlA+2MoBKPhkz0kO3ednztKORPSZsZkzil+mL0rViHcKl2cSg366LPHgt2S8k4ccPWfK5fNO4WIN o3/FO5JzfSApUay8KRWbzHAal5exkamXbciLamTtd/4WC/9cNZNYZA73yur4m6tKdqR1KtMUKmih Ctav2SmT0HfqVr/Sq1Q91MAJARdGloyl8/WiQNn3FV+r0RfGZ+Y9TlZ8BTUNY93IE4ALJ1/wW6tW d3+nbRGaximhBrgGr+5k3FeLsG04V/Zi5LdCXEiTdq+1tCi2/s7awhKXAMJO2wcDec1iwK1eP++k LayAXL8mB4dJXs+BHMXJ1PBWMshQp23VAyHZyHrpz5pA7ZNO8k7a6g5zL+pKlK+uBApH59hBIQ7k Woj2sHIKEErlKpDgqnh/fetVo+KXILNt+6CG8XllOTKeC/bzztnyWECnjqodYLKMu3qiQJkQtNRX sY6o6JC/MBjU49CFFVeWGeDtZUBBvPr8kdspDKjplIumFoRr0BGlXwaZbYmuaErRyYt8p2yxdK7c fJpKHbbYjxDKi0Za58bun3fKVpe/2XMsU3OQsr2anzBU7lRi8aZfWta/Ga1xfhrt3ZfNT1hZZtem TvX+XO8Ll9ackJicgny1kYp5N062oQpDetfrZTD4ztfi0UNoOyVLOuqwMos5d5nEkFHE5+PB9trr a3sBKsSf06YnipURn9cigCAJ7MPbNt0aOEsmk5yeqLTM/nShoo4Nvr1aBTJrqGN8rkRq80Omt6A8 eA59mA7Y6kGd5fNO1WKBr2pbFR9U/xotKU8aa3/emVp4w/y9eAoaDfvCDd6JWjx8SCRkGk6lzCxa xFk8LC0DIIsctaL+9c7TssYqcBhqq7vNLzg2c2y0asRd77UvGS1TzxBqYpAVszudIp2fd5pWl3Uk IO2yMG9ZdpUApukcApXn887S6g9/xEJlmnTfhvE7SatLOAgheDabkEa5Wbb8sOABjOtoWjTOThQr qxPKmoQNvnZOwE/TSh49FYEtwSrUxQG1ir+OIXmwHD7vFC3fWtA82D6o/DVwP/Jk7uPzztBiFeGe zKwGMdi6xdN3hhYW7KRy6LCTWdKxTCXmHsYdYVkLedY7QQt/pankXrcPt/5VIWCMgjXApsywSKZF OwS5+yZ1RGJ9mNXPOz/Le5onMmjJB+uIwgSJycRYn3d2FsNlT0OFwwVO1c+dd26WgyqpuKimTc8G 5IGmkuVZtCfFufNOzeLOEmy7Jh+8sgz2nepnJ/oeDckEpXvovHU2HLeYafPiHvi8U7McMwpm5vLB gE5ZhIi6TrD8zswyZsMXUkXiyV5YfidmMRagVIZT1eHDqVO5yQyMVqfdLq13XtbT8Pvv6ldLHdKF xLafLPS8kHB00DzItAhFzUF5KNlVn3daloPbT/RA9yYOvH3gYZglbDTn552V1WVk/5w7aohMb4e+ k7IYZ9FNJk+7s0YzVZrRvDY4wUDQ7IQBM/l8lS6mGu7aARGrcbufA/QsTeHoUNKAoeJt2JBS/Xnn YzFFRzhYipBOxTrFJ9ZYcqmofY/POx2L+dJ3t49o+5IdW/lOxnIAWJL8FaOd64m2DRR2okFXEnnn Yt0ktG6aXNS9nqlZGazHLNosTAabdU0RrJLXf4Nl4lU/71QsQpyIToHUkg2cndmGacbtwqejTvEv UC7C9509l3jnYeGpRGT77MaZrdXR/yCTaXbAq9XsxLEySzaJ1zkHjwVbH1Xhf1onfkqFCDrwDXAs osjynDldLxqhcpcYEcMHAZahnsMT9azFzzsHiwCn7/oFXcvZFtPkRIEycAjMhowa4ey9c78v0SVW zs7BaiFmuWpXEbrMwRL0E5K0s8FlzX3OCcro0ekTh0ShruKDjkt60+A2p6ftpEuXBkW8vSTrZK6K p4NIsP9CutOBqHjRtIVxciFCdvplvmq1inuqdiIvhhecnaimnFhqh9G0D94ohmRVKhI1YDtLVFEE ixQxQNritS8QbPEZAUGCVXLabtigtQOzDCmfJz4dtdG/I8HGdbg81OlhnJzp00dBJxw7ZZugQaKw GddOc1pNf4+TVXdDLVRKsfmCurv89CjKBXrp+f1lubfEI6YN5IXvsPbVQwaftNGp0KNBx85cJnB+ zjI8HaFyfx07KMaQ0arZieJkwmdwA9uxI2IWxAykZwTZ5pmsTdwjCMbQadzo9sHBZucszYlbq1uc jFiQuFOC4M95Q2kS46FXe9Mgi8hsE3dlEc44GLS3NoWLhaf/F94Riler+sbqYZTcSAcYy6PkVT3U adpsJ/2qhhvsUVFZNxYjJhs8xUon7kY1kFEySlVE7wwARVvB16VjgHFjCd/pUZhsLnqVWnyLChFY PqA1FRZqz+1V8HhA5Zu/sF+sYT4Zeg/JfMpBi8kRn12WjX4EiRwn6ReBv3oUKSvLyu7n+UWOPTna uXGpcHXyhNZIVkMfayG52opXr4yBvWqUhJLPl0V4zO4/gAqSfErLKHg6ojp+h8qUOWMBX9MTMfoG ZeMLJF+Eb2KyjurpIAsUTqSoGjMJ7TEGQwUMXdj5gbwPrKFBRwUwJk56Wqc0HsBTPhdz71fkgQLV +Iyo2cfyl6ymUFn7wYFzAoU2GPGcIKrg6eDaGn4wD6608Y1Z7mGovGg+vYycn4VVocFHMqehRulg zk5I6xNRQubT5ct8emIeNn2z2JQoJBueHBQVcpTdv46eSQfG/h4tJ/lwnlO+dR/URoe0k/oMOByj aHn86vVZ9f5urbC2TAZxdmZfosM3tdChpM3aMviINjtxbZlnT2NPgoOnWRviishsM2DUdU25n5ww +gfmQD9U/PZO6NKb/rWzEiUENHB2TuLmavpj4ukI/NW/dlbZ3J4eEPb3aBm5BJHKQt3+yHtOO4vY Ee6s863OzsLBE0XLKikXyoFr8JAnJVQvKA4CCEZSKzSxPQHLhzwvchClX75pdG1Jn7newZCDECn6 oQjMwtNRin6TUHGsN4Su7vREkGUZwzTTlDlxf3FsXPYKRqt3a0UYDEu1BjWc6lCBicnEglAIdWxx q4OwTXkVCmxTl5XH8naWdcVnRKoF7J+zu6aBEeE6cf1WNtE2no4Wz/o6eHhlpn2P5TBe5rU+hltV gCTK2Sks9WPxVBTl2bbpUV15KY3IVl6+LgPtpOe5JwoFQPYZWqKs77CSj2iUAuw+O11v+n7wULJ+ oPTog+Llc3TKb3OkhqejxfOrcsoQdl4+wIgCZpQPIevgJMg2tvX8kMuKD0DyNznFUWF5LuELBLKf TzpxYsB2Dh4wvCC802Euw6jxrCG4DRAybZVTulSMKF7OTYGyWGzuyrPA2uTOOAsz4+mAVXwPHiFU gIGs9+QZUcDcqaoLza+sk2e4Ww71O6lcjeIzCzwjLixz8SQVeFJ/WumL5TChcs8hAYFdbC3EygVl L/YcPdnaetOI5CeNPWHAtpXYxtg5DR0cc+PpCIbh2DhCTEmuopqYpicELbMAXowEcHYW1hv2Vk+k piMXpc8vDuYRFZZpKlHEYS/QiMOLY3r6Lgtm5XKkOkc2pBEAEEP8StTX8pYNQKgDHxHgKm3xqO9H ODYjntU6vcf7+Q+ejpoSv2AYbGBfGMaIwmUoSFcZs0rl0zG5J+aXMNFSm5NrJwqXbVYU8TSBRjg5 EEfZ4g6dyRm9NZJNKld6otTCxQ2ejTbwEX8gDaCsWX0QMu4kciy4lROM4+l/KRkQsIztnW5LYkTB MjSBEQ466HQVFx6kYKsMXh2jMqJgeaqgXA0++PgHDayeyngK9a4GCokMqUHQ5A9IWT3D5Fa96p/N YtrrDWq1MsWaHYBoJlu5ZDweLZ5fGhic65trjShcBhi6JtlOVNLZqog2Z1su9rZWRudRUg9RuLyG RYLdBw95zi11khFpytS21qZSWwLqtPD0KTcgZKSEzwi21hZZoi0frCtRZlJt4gSEI4Isu1+g/XLY Bu1GPCOELE/6uTITLazAb6MhsZZHsXPEc7zTRwRZpqEtqEHDBz+WT0AwJ8UcKezZBTEsvMYI0aZc tRR3COgeoRSGLvNN+VwO3itmV/un7T7wdBDxzP29dnj1Fe/ZjKi4TH9tGY6QwkZvWa6dRVMcWk/R YBprJwqXJ1voJ6weGrB2MlGnCKaoZQ5Mbi/EfAHQjXgQqTMU7py6j62Gz4giHgWCY/mgS+uEB+r1 noWJpyN2sa8dEvdR+efFpdkJo2XYzJtcJbHL7ptcdzWQU1sOUxlhtLyUgarrUNt8dhbsA5YqhKAh DSqYbLR1MunCkKy06dmEVo4wXG7S3pGzNC4vnhcnTGip6bTdC48Hifo9mbnSkP3Bac7mJ64vI0pG yEIxK9p2iIhEtQb20tM0ocHxj/pyGne4BEgQKYEpPWtoIPLm/PDbYSmB55uvOHXOetWoIcoSqhqi yRui66zcTiDGCSwzno7qGJ6pcyooVrN8c80YiEHMIG4PTQ86I9R9kDTaBEzlHInMRWcUL0shrnaB efqVg+2JchdWYJ5nc4mATDSnrFzHw4CktPkMgRiFBQyIp9mgZKtnOSudNdTwdDA7v2IeGGOQMarJ icLlSpPHgSb4fyR8TRjlCZeB5qG5ZEeftuLkmSHFT9c5mow2eIUQQom76FZvCC1Z5NmE4wLc3Zlr SYARMRY+4w8a0qCcnQ0iQJ6bRZ2bNvl0RNK6VJLOUOl8uXYRcjMKl6FoWCnsoKOn7em6BtOOnjGW 4TBmyPGr5TWbOHkW4KYmFHfumioBwkStUxRmGZGaKAZlYGdYYGZlJmVx2LIFDpuNSiXqk09HB3P/ Opi5Dvezs6J4mdIvaTRr3VhPFPi45D7SlSLknJ24vGz10+3DzUQbGoiSplwNNTEVolmiQ83vKb8P f9Oo/J5IAyjFB7G0+pS53gmCCp4O+unDL3VeUfhx0m1szShgBgDzBDopGw1pDqMDFOqr8txh3QpF nhkFzENl5SbBuPYIxqF9fDauCeL3ddaOzIQq43ko9j30UOwWfEYk+kAxDNAcbFD9FNcAfVjR2JpR uNxuuMzwBl37dHs3MwqXAd+tRc7jnB1HOPULU1lsyXB2IiCGKQzukXzw+ilsNatkzE8Md/6/ZNJO XxWIClAtxJKtufWmwanMfh9m3AfOTkJvgqfyHANPRyUwXzt0K6+ELHi4PCMsBsyF0a5xLMbopuWU S86WbJFdxJ0VVZeXpDDkrV0fb+1WZi5oom+1jGGnzd5OxfUMyACbslbFYFN0RuEy/frO7mOdkIOQ KgAksiR6zgc8HaVat6/FIg+ZKc1LYDNk+OEgyzSZlBHpMrkZ9RoJXOZlRPG4UD1OiNxBTVwOHvFA QVRieAmteQle4k7H9JwfYF0KG6H3+IgAx7MMHdd9sALhLBJyOmkcno4gTr9IWtSPo9qNZidCYyCK xC3jsO7eTWwmV4d1J0B6tHiieNlhy0rY52NtN9c+iXuW3Q3+h+TZQqBZJsCFQJ75lMBmFC4TI9CX yLPLybMnGBymzH5OeDwdAXm8+M6VRuhpvxXCGVL8FhvpxHLjTq9G2BqjNL/Tb+dmhooYvKlB1PTB U1EAAlqbutPRylQHjKLYNAn61lMpetO/JIPlgK3B9tYuU/d0Lng6Aqvc3gTxGKiH89bk9KwoXKZX EQQHjMVWtxm04spR9Z1KHpyeFeExhrrpQ7rK46GU1NVho7yZqZ8nKWyDkAdxQqWL38VjDLZuVojH 2IwIpzjl06UZB0p4mB70o/F0RPH7JqYzFW6XW7zC8vKgg5TZjKKQWpxwg6CCOmD87REvrxCP0cW0 KdsHP3nOrh1p69pqFTcXZ2fQpwR7a94qz+K1tcLyspqiWXgMl0Oae0zz1dy74ulgb5VbA2OqTmHI W15eoa5y5d7yowdy/dYzTskQGZ0AHBzMK0RkqO+3dLkvwpAaG1v4IjslY5Ts3cnUSvgVMsTmOmXS igsrN3zGH0UwWe/YoDIPbLa4tyheGcbL/RuPQZofc3fNTkjzI4G+ZEvUM2kXTNTlsoVEHcmMtlYI XFZJXVBCDrcrOvYJGYaAYHUMlnlKptwVsNWZ0iH1kZ1eYYF5smdMn3ANmp0TsBGWdy7fjaf/efL8 GBqjXKWiFcXLiwhLEqL/Q8O2It7WqKOyrIx4GQuWaydk+al+YRXRPB5VA5S7oO8tYvqurOSffwqe LI671m/PmKELPiMogpEbmqgjq0GNrbP1h271lPH0v8rLIuSx8zw82VpRwIyqCwRaBb04/1tzCbnh XgmdBCLc6iuUxOBlBEt1H3zxVITMxPdmVTUWjxxErI11TER6F3Gw9ap/UdNTF3OiW1R+tvNsPE1O hD/wdITHuEcPwTy40R+y1opCZiAdq/Cu0jWYViUsyYLnxaRdR08YMpclok31weZnndAWGvdZjT+I XEsUA6gb/IZsPAqhu9kXXbEohhgl6otSEpOp+jn4+e36ycfwdHRv/VboRrp14csrpPohVc6y8Ms8 egRcGZQSgtvWOnn8VoV5xfBlbq7/Fis6O+asHixEHMzndGS1GrODfBmH8k6XCcn6+4pCZgIEkM42 H4xF2/IUOz3zDd8317oKhITJ46qhLKdmJyT6QVcY/RZbO83XTutFlL8zOy7Gs8KI2Xk23QffW63S QYtHz0S5EOsQMqco85CFQyLk9AI8XzU4mbMq73v4oFydEDNOz6p4OmiqX9VlrjpISp04wstgKwqZ IQBTCYoTFEwnDvaWO2ifs+dGPbGIHC3r8AVtsIxigxnQCGMF2rvIZAOfsgF2gvjCPXpwtvBNg6hn mi3AHVRg7kCO/7Ajw6eD1ZOv+qkyLqTYBJhzfnYIyYBPSqquS02zNAny9OYmrIw3qUsdlZgHNV+r rFo42Pz0UnoS+OH86XM8mLMdFxzghxAO8vlhiXlHMXMj3GmvfgeWCc/FnuS1kQafDgphzQvwJgxD MP2dnpDsR3mD2ayKmoBm1fSwMMUGDnFYnJ5YGENnMrHM5YtZcuLk8wOQOJahmAbtHzZw8Oud/fYD ipL3b+jiu0MQsy5jnUHD7SdP3DEkk3F2K98wuLnSd/MvU4zu6sjtEMWMfV9puYtKmFxMdbHz8EEd nzPN2YliZrqPnigrDR/8aK6QNmgSXgarNrNOSP5cZvl9X2kMSQvvKGauFH+At70Plo+q8os4eOPp f3H9iIhg789P5h1y/dCHzqn4yUMUEU4e5NYmcFBd6mqHkIy63wthkI/eqBCyTAhbWnXVkbdkSBCi uOm8G9YydhQyb+P6tTsIwIzdy8k5MRWeDoqo2bFgzEZR84Yqp83O3wBm2W2QlGRbDNmocScKE0DO Tsz1k2KuSAL5bixIl83cmuR4wAOy9gQoRgXJBHaCmWX2pBeNACtC1bbkg1bOYH+T+6ri6Qjr9IvP htfNl8+2o4AZctdwJXH1yjyypeo9WXeiwDdbkxMCMrRmOkE9HG62Nc/Bg5UJxlYCDUw8gk5ruMUi ofvRJr1oEPFkATEkclSTowjPn5Qs7LlY8HQwOZedztSDJNV1JyfCY6AlBe1O49CWZU6Ho1NTVtIY btmyQwk5mQXMPnywlYM/U8UJhc5+mlNuNmjiw8n6h4bKq8tntelNIyqkiGwt+8DZQdePN9aJrgqe DpKtm6gTDYHYDdp+NjthtFy5r5JD31NxiCWiftWXmcZx6cR4DJn8lOWDl9/LmZ/Jhn1aJxftjecT 1hB7FD8Q6dXaKXSCxWcEVMjMJKIQiMqBs8Mw0/wcB56OJJdvGYM7TAI2nqnvsMCMY4dNHh07a0oN YzRqHtKhhOrWqGPsGL7MIphhenzZI/dEFaCxKHxu4HliTKP7UXV7ATt+abTbXjQqY1jrZvigXKJX Wa1COgdPB2WMy9jC2YzSbVnPsRMKLmeSkqqdySVZ1HyCtW402l49Vt4RGmPqxpK1LAcnJUGFKCXq 661zPm9damgf/1CCll7GbsPR9KaRKgabUULLlXybE72SBIwLsOLpKA+9a4dpOmGN98qiTGcQ7hAJ VpMpPxQkeiwRoj2iTBTYSXk8pzBYbiKqjTt4ewISNJWKk9DYS4tzCIjDuVsRGWKmPBrklY4PCfiQ RaJF2USLlkrpgJ/LLeCcJZPPR0hLzyfEEcd/S5XCJim27qNkCGgCrBTCO1SwjIqjjvqngDxRPCSn MGRWqDef4RbDzgqag8wt4M50s+cxyEgC7reN64w507aX/UPW/Bw+kqYuVmnesCInr7GN1vh4kFQ8 0Ay2UxHNrfEspBCbAVRW7rl4BzndK8wPaUiOmi5+CrHMxkxK1YebtZ+MukI8FGnFAE43K2sn82f8 4ANdvLtme9dgIUnDUkXnDK15NvLP4Z8yP3HMsfh8ALq8CtVq5TDla3eKooLzoC0HFaTYrcgOXwE4 27oVxGLJEii086NZeF4yldDKF5ViQZVnykzq7IlBDMJZaEjVFgKh/KReoCHqZSNoYZW20/JBfXa4 bjHGOx/Ix6Oi8zfXZKNERS1mm6IohAbBvkruWwCoasBUXDtek99WVs0pKjtLY7gSxa3B+11nRXVI x7G2ATtaiukuwK7Jg1jjqonstuxdg54Fitd96OfnoJZOh3wvrrMN/4AUAjW++NkYz+y0lR9fqbDy TBM70po4RVRTAqWiqzDfqBXUzUIgxaXnrrkpPnj+jryxg4WDjXb+BxkHiodAsAMPRJU5q45tf9co gWcgtOkJk1xsfkKDf8lo7GRheDwiaX9Zb2HVYjnfnqm0N96L8zShTf3HXTMthy9tZgsYU89+FkXx 9BSOxXxexgUZniPn3MkVBzi6phOwMXKWcI2CMv9DwWxTcBz+rpGEowlENB+0is7UyCfh/Bh8PCrP l6+IuuDumeu50UL9DAhYZCqZmHVbuuoiTh+gmruOorACTaDKWbrDB6+RnReALTNnCAoUPIzhGwAb Ex7uDviRJBo/JMJhsnU6WVnbnHWeRJB+JornPMPHI7G58n2hsXcxrxdFTmFYTV7wLJdh0a0KnbOx /leuTuyiw2Kwhlmk3xI/349kPvCu4Iix2HGOHNiPs4w4+DvmH8kVri/H3hRqM8tGqQoyVn2fNeqq K0mffDzYZ/UXq5Tt2/JssxDpzLBoG0wDtF6boV6W9cAg/eQzFBaimU+UroTdUTm4z0CD6k2Gmidp HUOq+fSvR0hJLK8hf/Dj8kOCepAsNRNluTnoJDq/8JDwDEPH0M/kIQcyBKbr/L5RUegCuOirSTOR /6D8uYe3wUazUr0glZyiwAYQV43whvJUal/Omu3sYlaZUROC2468jRk9YCWBmGaQVblS5MAHEPuM qEzIKtigqhAkBa7Adw6NAOf1uWN6RMUb6lrbFEXBdWMvTEc0cWRLB9A5rAnRhPZEJ7G7689EIlAs 16smVh/PcFTrob/aWXFtA7oaJkZcQGFEtffhbqOnwA8J+hmq19PCXgNXUUfpSmDUUfl4pJv6TTGt xADc8keOvQApnJrm9Bz2+mwm1omK9ZpthmK5ZtndrTv4PjtXVQahhtcZ6vXEAINegFUOG+h+0Zkr 2bsGM0TboJSrgM9VRMHJovTiYV0HHw/S2CsjxslYrME92yyqSy+6m7Bfq7p0t5ZGU98Ztko93W0W RtaKFxfRUhxsDXXgYFsRWgHkFESjSHRJZjv5aEOPzwrTbDfnwBHwSmt0Iee7IefHyjBD+2G1oPLx SFsjfV/46Ew+gt85tAQE9vac0NkqjLTDVHqWPCQ6G9hDosAT8JEHleJaTvesPrn5PheWosaTrc4l byWggwoLzTqszYeh2csGLXkhOrqix+5E7nOOimhwPqrz8ait6pUizicuxf1lSBqpN8sV8NG1rtWc fhubo9xmILnZNgsD6xlAxKcu/MGYaONrNQbWC0xzVHwXiTs6iFKxd/0DTnbCxdV8EFRzs72P7KwX Ph40f9L3QUTj7PTE1YEzoIrbVeB+yvVRXYVx9VzWG6voiNsiioHQ3DxDbgLj0QKo0K4fRJyc8UTA SLRpVkE95AJE8pfOhr9sVAehFQyQ7DawDnIO6k20Ze1l8/HorPaCGmNooLwgaORTFEptoDOgU52V /IS0GyLXJ8BgFQ1lkJXc1jayO7HUQ3V6DrdUdKI6yGByEYFGvbnPijTrz0kEQQle+IUmEPyQ6DZj pwNHgQ2qx0Ic0OhfejxYRI8xMiGBZNymeacoCqwx/SeR7NWaHZU7DlyDNQRuXdBO9ikK8R2y/s0S a8k3ga29oaI8VSmCy01li2qCcliJ2i7rglqnDDhDk0BjWCYqgZvGLARJVpKW31n5mY//K/kQRw5H 0QXA5NAmcGXh6EVROZM13buCtgGUTWg0R076MxE2MYniNH1wIti5jaE8SFuYfXZaIaSe2c5ZsrhX rrX2kHt0aBRYm+Blpmvj/bINlQB5+pbBx4Pco3k3UT4pUG8dzxSFXoHoV0AVczkArxu2Nc9tekiF flycotAscFHkGx5CPvhGO/82iBniMJ9zdZNOB515QJPnt9GkEGY5dAsEQBvREHtnibQKHEW9V4Zy Pydfynw8uM+u1SQnA2fLvuDfHPoFQkUEV/SQ3n6mxzu1N0hKIs6DkRb3WWgYOFQhkntO/XbPgSc7 kHgMrE/iVgX/lRkRTi6kZioUUaudH/IXPHqQka7BkB5b2Am8KB8Pco9yaT3i5Q62X269MXQNXEjy C+MNhdbMxSp1P0ySbbN0oTkKQ2vSMgqkcWx44B4n7my68xcCdrQf0Z9n0nMi1OFKooU1GH7IH3WQ IXihBl5oyM7EPzjnHB+PQmtfRSxOYrHSXMVmKIZ80J2dpUUe8NV5c8sKj4tmgjZDIUxa+6wpcGxP 4Ah7yHPBZcLuUoJ1YCOf+QQvDSAVCKRdU85q7xq07ot02bTdKplYQAKXTdLN2WdZ7xgxWG4Gy/Yr lUzXnaHQD6VQbr+5KOSeyuyhDDcUNxYCvWTVHkKlpbcKHz0bfJ+1ARFkqikQkT7Yws5o2GfEl9QA sv40xcdy7B6Y1Rwqd7Dk44Rdsp5MmY//L9J1FLCixILNUIz9aPc6oy9Kc1+Uvped1ZLqQHoWGgii AkdfxemD44YgQ1uTqo0DO1amwDCzoVi7/IakSKvIOnQQNKIGbc40aIbabiphnDXBxyOmxr7pWeY1 muFn6zMURdZAvgD2Yc631bFmJ+ls2m/n6xZ3JA9MBHHhq/rRhw++yzoSeRbjQDGccC7lUTXIoas/ 3GRdXVh/1QjjQBqUMhAOQlZtyNxwCa3Bx4O4utw2PmlilMXN1zi5RBVrpAKVd4uBQC5+KNl++3Id UmbzV3amalFLN/doKDR28vmwy3Jr/DczQ20kNXDD0QwhOtOrBjMk9f0l95hlMeP5KUdnhn9WUP2o 2voOsXmADud838Tb+vSEms+4Gwvkr5S8MjUXzoH0HySvZKPrEIrdUTg9o9/BFxAy1gTHRiwgaL9l gY3OnY+e2XzgVaoQhT6CdHb67xpaBmWM8c3ZvXw8OIJmvxuMagA/X3JJOXASRF6GGlq+85OKHdJ1 cYMBB1JuITawEkStWttGKvv1Chs3/O0pnAMUTE72WtgSoh4/+btIj6a1p7u9a7B+lsofK/mgvGx0 bQ9Qzfl4VCHyiJotWGC8HjZUDs0EIZ4IL/FquiXC2iMta4S7ng026/SAOnYTXIIv0sC95vbMEDgy maE9RDr6iWGlzJEI7jwx1356091e9Q9RoL5pe6xBRxAvSk7QmHz8n9rPmgxEQc8RFDgKFgGnQb41 iAMaNqqhzWxczKkgG2sosBRET8Dk5rsPPkPnNgQBk1p/e9FHhi0R2ogitkQdRNcYU2V+SNC+lyRQ lyZZd02ycd7QIJ5Z7xjkrQ/CQUrjpATfmz42FixkjRGgJ0KmlRkbFfXI5kU0bIsoFoEmKaGxEMvB cvuGnnNb5EWls6UAKEKFCLlto8cWrWwMcEV0eQ69Bafp3hBHndw3AvpDFGb/aSMNPh6gQK5FnFrZ gDj0G1DH7oKVmvxXMLI4mrHxdJdgZB2+isKAmvssF+4zDg6UqR1OcYI4nMP4XGRiqyIdhPoamPTW NWPHjh8ShIvL0B/TB6UcmypqZ4Zq1jv+a6Mx9SAOtty7LDYYlJB4WlZmVF8REkGEX5J6yIKFFlGs BM1FtCj3wsGB1ANqSUN+0wPwGIrbzYVGJCs28BB2fhSd0HJgM4g2MeEfC40LDTysx2hLjcXzEXw8 wsn4Yc1/m0DDdQlkObAaRJzZbI6yQJ80uT/J/aS3CDseexp5NYdeg0MgIiQvNvgcneDxhEQiAUFx itYpJ3JpdI+faixKwm3acR0REAepdfSis0HJPRoGRu7NfPx/KVafQ2juZ36igHrIlSiZGP35DnZW l56131a9Zno5cBt0z6bS1KJu69ll5x6A7NGw7v0gcC1vWC6Z/Ma+KlyqoIVugwb5lBoXB/Wm11ZX EYVwPh6kHPk7XiQSIT+JfWA3iEC88Kj2nhkAfMI39OQBNUIJm6EooFYyWiR3w8G7imcual2i2YGs 0EXRbEkaV/h5Hkj+sncNNplo8+iU2MBziMIpWzlH5+P/ihl5mhJP1G9XMTQcRAEJFe0ibGxioRzF Icqws5YvNrf2WBRTi8RS6dKpwWPqtdaJVKogjYuqkkScY6tBSwdUGi8x9mbvGtz3PH8Wu4oaFDOe yI/Y6FrQmQ4tB8cvU70Mm79CYSebohBezUVEXwE274nNlSr9CR8bwWiFQOKkPxPhiNjuyOb9cJ3j KkqM58cvQ23FBLgJa/n4LZEvZND8PGzcxV42OofEEK/LB9Xyz0ZmVHyO6snHI6nNC7Xiqdy4ye91 FloPIpdHBS0ZkGi6pUqeZmy+1J/khR94D8o+FfEi0Z8cHOAALa6J+w36OGfPKokrg8RJIEfwlZS6 jjTsXaPUg+0ONr80aBlVc1I4G3rx8ag+9IsN3RhY3ypsaD8IaH+lKRYhIOBXqvOaBYzNgIAwt+/6 M1EVlhW0ySpsnU8VdncIs5JtC5WTtaaEUMiBA0KADiBKzuBPqw8JUJ9L3vCsVHNQYA3BCx4ktRU+ HlxmvyXqqbiULysxhxaENbMlvYbn99nzV7oxMH9tqfgi+lvLo+K8tcGb0xsiSJDc4X127h7J/Itu hmsOIYyiRvpQ8kP+QDicbIHyUedswPuyDgspGNqfprL4/P8i54HmdklP/z4wIoTCG5HgZVlfsXRD fTbWjZGeoZxmF1rsRDjE+213uMBYoFhQTgHX41zPhZ2RTBO1cyn9tKcGkre9atQQ0kkkZYY23BXs 7F1pQ46x+XiERkvfmQfvl/6soVAzumibueovkB+U3uyUNiJvHJh43Wd/mBHylK5r+uCF6lT6ObDV Mpt7qDcNLONG6gpphAsB8TUUh9XU1E4p+2BMKmuGQwCfj0dL6FLHeXW1/e3WmENDQh4CORVXzEm3 CLKaCmpgZ1TrdgSOhHKUQFaW73Db95mmobT6PAc07A6QnOHerJjYSW69thndDnLoSgjjKIjlEDnM gdcZwQ688jfKjKEt4br9IMIJKYhFcIpNUWi1QoRDveoD2SGNNdmZdK6i3X2bxWRF2WXIrmesZ5tN mNbTuxJR+ygg/SKyHtTKrxBNupJUpHLmwJoQcaMqsWoIeccASNIkfP2Jwfh4BCS6mQf5iiiJwZHh zlFUrJ5UaEhskA3zYhYKpFAmENlZdX2PHPgTolSaVSK6g6Ot+snNdpITPP78UDUAqyfDGRUKgo5w oN1wDhwKRdxByCihj24k+3FC3ClhqXMX8PGonu87jcV/HCnzNs0+LzFRPZ9oEg3lahBUwxSdVKka kZzKrLzOXiKi80dcBLjRPV6DRUQnvYeBCKY/Q6GqV+VvcMLKVGxB4Wsa5BNB40s8xPdMKqNtgfW2 h9Xz3GXD6FF4+L+mhu9H9OuPiu0WDS2cS4XJTjQzQwyzqqQjNx7SuOjpbSEXtdHtkA5mptMGo87e ffBO2UAj0TbXSWeLII+JdXScWvSr1cwY6Px1ZqzJ0ZdJbCerHIw1RuO2OH/6BNOvM2MRwjMzRHaR +oKZeQmB+BBaN7VWL1BT9ZncoMFKOUKgzb4OzuaXAEhrhrd7ayq+tlt87Wdvg51LEXSAzQEPIySE Bas0rPiaHrmul/CH70lG4TnbpRM4TSdwjpN3Fknm7PZ5iX00rWvbzFSBZ9TKtql5CX20aCabY9XU ltIyJjkI/YbuzLrZ4f3+OjXIU7idKvte5pThLfoTZVYWFs5lXluWtE5BiAhR81YuBbizN/YS9/Aj GJiemFkFD1QgiDs4B3JXGn4OoPx5CXv0LbvvJxU66s9m6R4z8xLz8BnYf0Byo1lzPtVkcXPa3pyX 7zncPqPtxJ58mwxnONyZIX1wskq2zpFlBFho+iHV/8GNYS4ZC4fd5yXe0UFTmpSipw+scJzbVXS7 1k/I/BLt6OFaviaGzm0smnFmXkIdPqQ6TKnbQ51hR7AZF01qedg9/hLocGamRLSXahvr1jZQXQXX d1CPPmVchtSnh8tSpbM4/eQWcYq00H0Jc/QLVvYLe2k+cDstWIcytjtx/uclyNGS+T0zKJ9AeEIz 8xLh6NymK0+1OmotCz8KGXas7iEdXbxR6P8QzUzjYhFKsY2Hj9DnOr9pxXVLtu+k2CVAPAP+Xayd 3CiZCjAv0Y12E5kalQ00DQwAS5YuHeiE4/MS2+iU+nU5MdshRYcz8xLX6AhGipOAetc5Q0YxwuOc CQDGOdOu0m90BBMZfS6x5YPNDFGsiz2Hsxp7BZ1Y2E5eTk3XtqF/weD5vMQ0mnxKtRKpbIMhW3sS XA4V55eIxq6I/D0zKIFLa5NCacHMVHLJdaYwU29+zuzpHA3aHFNMMjiBE/OFVvYdbGYaQCSdefFJ /DdgEEm7iY65DTig/pQKqckVTY2apkPY35FvEWzJhKNQaTOYmr7v1Eg6kHkO1RIxOS9VQluo5RKf qUy/1QvEhhKpZUG40UQYokOYGpKttOmDTc7eQPsCWpFRwDgpouHq0QCmETObJWaSRseQlwqhNoUo UP8HVn9uiF6aefHg4eh6ukENQ0PcFoR/K96LQmGKi5ed3dRgmUT96KNbeXAq1CGLLpodGcghfrHB U4V0Ft6wy7vlRuNgoDRRgcf5DkXxq1Ah3ny0dAabyU2ad82XTpptCz54wq/PGz9M22r62mEuNcnq u9FwFA7DugBWwsuQ0HU0c0ibhonGtWvejG/kMM0OHRfhvOODrR04950pom0KaqXDTGGRj1YIAuZM 2kpTaVCo7eg4LpyXSQl2GV0y7ksIbnjGZkDFo/N4L5sePgV4Jm0SND1RTJwA/Su7+rEzHfbTh/0z gDacPBcFxZsV0ybr3NZvLg7uHAI/njsQoBrME2ALu+GIkal94I4Ymp0oKqZjzbml+vBBi8e99gCS /bzxwvQ9Z7LZYV0CJgDCVGp6ori44sKQm68shQ1uiOhPcjnnrbtXTaPAWJlAl3dlf7wr4VKPAL8S jTDSxF1D1AsCBsqFzAvYkJjbGytMcX8iqo55nwbdWIVqRAQHojcRHT2j3OnBtUuTSPnNcH7eo2Mp iNZCa1VTaS2WhpdpUoBdEgysU0Tzw4LgoN20Bt9dCz5giJfRaM8g21qKMU06n2oL2lxTZYrw7KEA aZf2C3u43CZjiXV+vu34vDHC7ALqfjTjX0ZUjS7tPX2iGBkoioq2kOanqkcKcXqqrdIWo13W3HuQ jBNe3oOsvbcv4iUkR1FKU9G9tW1GcxvHPJb3eCwIxVOJgmSG+wOhqg/MxgF+tdZWq583OpilAj49 /JdhcfzTuOU0PWGgjJIcwbZKISSviSZ7tX92At3uZKcoUraLfcmhcV1P6rZnBtmZpzDEtJbJDRBP gLoTKvxWrKDa2eeNDKZvyJ5fUeuXgyAIZ+2zptNOFP5544JZ2jp/ZRHAsiQPet6oYLYpcSKTv2Cu y97366a7sIoqOYl/Jbq6hBbL3Qcv5YAfP2iQfX6ChOoN9l8iSR7l9fHtbGBv+j47NCY7f4olYA6q coE5zYD3hBCfNx6YvaFnEpwJs7D12XkPmKUzBI2ObCZ7SCVYzUl0rsDRjCvHLvYoYh6KBpsy8/aV med2tt0SxgfimFs8Mca9tEN46DuducQbBcziOvaxGsnIy13Bzz/1m6tD5yUKmXf6VbQgKbHetRNF zPSiL2wTYXYqqdiYnU6hEN7rSIEk8hKHzJM3Fg/mnp+DGfLJ58C1iwveMJxAepfDce8HVVHXeBHP MgqZeX2OysKmBqsDziI680bHOIqZhd7y2WGOT+t2FQKjkBlHKFR9jMyMJoGloaMrPFzkx3DtvFG/ LJlhUCgLwvZlQQgFrpN9VoIOTqhTpdGaaKOOTmQlf9AoKUVv+n5tEbo9Slfdq5tb0fmbPRO1ek6v /Hkjfv3fdEskqPbDCM+mJ4qZ2arNSLMV9YhWwBLysnSL0FLe6m+8r5vro6bDjIKDN2gSZoKWoci+ Fs27WUMuEPgdyiicGVf0plF5XcDCXXywY/nE+ZqeNj9vrC+7tuqvWx3/5xx+a71xvrRiCwFzW509 OBC67wP9cZBSNBIhWUd+j5lVsIPVjFAZud7Vc+ZjNkpmZHhri0de8sKvQHn33NNjBUZNjjfK1y2v QTjJZJScCE+vCsIxzk/9eWN82eyO7yo7Rs60pieMmdlGL6Y7CghvtYyrdDuYZ9mGLHzje1lAsnjm PIPHhOcm38D9U+uuKo+D2ASqlgBfZJywBlfp7BK/8b30IZs2V2oSl9skPlemarQnXuPTUb6+vqaH 6mQz380VhcwU6JfeNUPm3c3sqm8D0EEj01KKN7LXd0V5rOyDTU8CYGbQQeXEPA2uY+RZSNnpvB7k /fxWJ6nyjetlOVN5kbxZJ3PfkoPcrXzeqF66WdevxWOuPj47UcBcQUcjyZpHD8I5xTxAS1qlZ9+j J6oqS5oehkE+eIUwgdENzDdqByfMlOoL9FXP1zkHBQuU7kptbxrEPEl21CLEOaTw/HYp6ejZc33e aF62vD0fFYwHS6mte3G9B8w4ejJ75ya7lYZVTWG2rA7FSSdcP/uN5WUnMy5rgjZsuO2IE2TWLrHN 2c4vTRfZBEWwiv99fWlwMOh5Y3lZEZQ3FlvMGpSus/3DkDDtzxvJS98z+7XODYmAjshAzU4YMGMx FlboyK/YbAqDX1HconFS9xUB8xvDy4JZBj2TulscbPFMiHvMrGLGOYR7JdyyEkSNNivABTfd2nrT YHYmf3OK7Wvg7EBTdgudgtl5D5it4/4EPdyf+15bUYW5EQFWtiVbhfAQHjy1+exQrJDX1nvAnP6f yNldAi79EXA5Z+ZAJ2Vza52bsCidp9hUbkAg5PwAwIbeNAgJ+Y0KUyQNDAnbyXcFvIGQ1BvBy3qZ nmyRGs/EdtzecBQwM94o6nsSGV+dHjhq8xJz9mP5PWDOdvCMRG4bBz94FnWhuzJ1SGnLgJitob4R 8zzJFgKzzxu762kvDFgM+MDZqdCllK1wXp83cpel3P3rWKa845Oov3G7tHYoBcAg5T8EXkyJb5y5 ycnR8NvWzhu1yxI9bikW3zR4e2K0k36iDAQ5iYqWpYTKjOoHDMkj21L0pkEFntD5mkr2gbPTdx+k VtRzC33emF12rfq5Q0jT2SvS1+LkxIgLZudG5gJlTWSuAVE+/rOli5rN87DETHnxIVgTB00OZMzO DKVqdiFwD+U0AoyCNA80kz4EK6h6z2hqmIfWsnzQ4Vr3zmIg4ceLguWZxte2YqMj3wLqG59LCwc3 YCXDjgUwHHxcOLNnVTN2gk4ht9UbncuAOrywJqt/HLwXulD3S7JxOrfh2lbtQNpEtbt53TCAO+Ob RpkWbqraqLHBQbjclfjOqE7xDf91JF8HuTr8wnqjcml2NmVaPNFKq1c7dGqxaOcc08Zrf2NyfVV4 +lKVeV37wbNCTrKXAa4gPwCCnILtsv6F4B61L5MZpXjEG5HLyqeq8JCSzDImv9TCTDEpBebu80bk siKGJ6LkoxD7fKunbzyu+5OcUwckYmGWu2QQTxyZHHsBKI2mJ6ouJ22XoTU0rpXKeYEOPxmS/jYK nLLiw2EEitpPv7anTELfGFw2N/IeLHfw0jt5jFT+/rwRuCxO/u7bnCDwZ617Hr+HybgDJlE6+WIo /bZSH12CCL6torpyYsHvLMLqw4UYwBWWwsBIIhILSWykA4h77mNK+FpTi6Lrb9wt3YiS4BtCX4zi JjPQoeC2OOHH5426Zetmf0U6bBzSc1WzE0XJVJ4vfJaRzsrJRFnQaioo7yy4b5JU8kbd+lXeIQaO w9MRrQoQ2LXZbcigiPdAVV332swgA+eH/FX9KvK54qCGMVj/ZhZSP2/MLVsG9Wt6cAMRVmjTE4XJ bCqhamcgjOlSfpT3lroxDOZ0X8V1ZV7RlGvDQPaheNoJvZlkTa09Wek4SwcKLpN8e9qjKUHP9qp/ 4b3yFph7M2rG/IDywPnBBfB5423ZzTy+jh3qX9SL3nmjbelUppaGCP6NkC+ru7fVTGYdEt02P2Fl WRGyKNgcfHNBTOPsTs4P/lIj+IlCKAUUJEQCrtvLUPCNtGUnjzJ0K+/A9RI/+m5YlPh641yOnzfS lnbXnl/RDlGCe91LK4qUbZl3M7gqcqFGLFiSLvezLKuJ+L0xtvTqRYdOaj54DnqS6T7IIwJ6/qSe EhMlVX2fDQJ8g68eHCefN76WBR+cHrbBNehgPvFCt1bD/rzRtfSG2TeX/mUEBvtWB9/YWjp8wCcT FIokCegpEn/bWLOijwEpLSh/vZG1ftV3WDjmYNODqWlZqJREupZSdIhtwwzsB7eR3emdyO03rpbO AYHbp1TFpqmKoSFZpIt9YtrPG1XLtuavvgRqF7Tp0+yEcAztLbQJeKVvSkNQDQooesmInoXYODtR rKySX1tcGW3dAgaI6+jb7K3ioAQ7WN9BwHJOS6ZZhuTBZv688bRsb3HVVIFVqoNVTrBFgWHgh8fn jaZlRYQbDzLrwEH0tPzeWFpasZMSoit7P71sY6636v108ouQZ72RtG6zEmGeGEjjYSBtgG6q1U4B A6+Uf92qup3/2pQylmwfOrCfN47W03j5L4TcgvaayaSP9XljaFm4/DsLTd9I3PdoWb2QmttNQsc0 bs3JKtQ3XnUV64e+0bO+d1azEuGDHpxo68IYjW0JsHSmZEaBYQXwgNqhptGL8+rzRs/SEVmFT6aH nNA1LH4VBiDsyZfPGztLT7f9dSxDMC8/UIw3cpaVlAjFWA7FKE5YbxKep+lp8tkJ68pDUbJurfHc WiUBTUklFwCVB5CnbFyguk8+AG0LODuLDjNv1CzloYMYDDLONAhrAP7g5lky5+eNmWU1+3ssi66k jrxPT1RYJo67TMPG4aqaWjwzd/2zkzw6WvmNl2VlW05PpRhdV4/JIuYN+JeSdNhRs0VcKCkCxt9t 2JAS+3njZNncMM9qe/ogMg1VNrmt9vi8UbJsh1xMLq8sVIDLbRa/MbKsla4wp3rAkzybKESh0lpv Whr6Rsj6TkMn+w0c/FA+R/ug3S/lwWuxJL1ij6KiR3cKbayem940QjmxWUzWtAZOD5AGwnDtwqf/ 1SzmJkTwTJVGzU4ULtPGJ+fLAGhF8qBnYw/rhhYqoXJ2/j9j35Uky24k+19raaNBi/1vbODuEcjq YcZp8pkNLvluVmWjIEK4CMNl6qV1cfk5+MqpJ1aeDDYgjIXNozufPFHst/ycOl0vGlQwMpOI1e7A cCefVSSp2XOmfd54WPoz8/d9TnO2tu6pE8bKm4notBQ90VWTKXprhh/MLKpxckLcMnuhvaghUe59 DtceIg0UKyOZINad3k10IEK6ewFySW8a3Ocy/2r7Dlw6J0MZlmaviqeDYPBSR7akMs9X1+LlrxbG yvBLAu7Ryl91eLMvZePV7OZWuS2qKicRa5paxa1+AQ0SVDJ0ZUG5Z1TR+BLvjEKXOy9/QXsE3xFc WTpxJjui1XfvWZa7TSk4JT4ddNLzbYVS1ofCSNtTiR7HymTLpmH1LzTkhXCSRSNWz8oGH+zvsbKc aFFtp2cTB9taYEkA9yX8VwcDmy2JgeoxmHkA/jqGh/WvHvP4eM3QcVKDDp4NjBOP2l7xdITN/cUI wC2RL8KpR7EyciB0/7sdPHTUoKqBdK5hbAEEkWYngmGIINupp6vB9tbEXDXWcAHBG7MyJKJCBuQ8 f2hgY3x0YnN7VFmm2zPytukDZ+fciEvtqNYWng5u9LK/g8GhZWuTExeWmWbNG+5Mk29uwzpcq1cn 8/WosNwJ6vpvTkCDXmeGjpZINjBAZREDAl2NYMDMaFAMWWJ4ehQqC/+1eWbAEBZtMCZaONsml1Er eDxg9E3fWzyzMKc/0irVDIWcvkbSxGym8FST+3yJDQApNUL8OENRuDyXic8MHxwCtnCb08kOJLBG AzKYxQFOQt40VV1cz2DqVYP1g2yZAaAPPHzgRrPkRD0Kng7O5ltcptkyYlHYkdn0RMQ+tD/ldF0Z 9JDyw6BHUlhA8ZwATJloj3EY3FY6obu3m3Ctn4sFQAYVCSFDY44fAHXijCJCz+rLtehNo4afGeoI QnHO1sEaodmfn5x2FTwdzM6lTZgxISoZjlLpUbgsFnGZ12rQG35gPCgRbSAWEsTTQ3bfEMaJNCwO vr06DQSVp0M2nuRSaDthQvr+PnuoT4LviO51KetT7JKD+EiVHgCJgAA8HdFl78nMpF4ual4i7GGF uRPZhABAOIzm0uiAKbDC3DqIHpyeOGJmClWISubgEXNlG5jCTigebjLYMvSqfhrMAnb6srGwN42O Zq2aXn3Ad2yA7VTGOAc/ng6O5v59NBfzu/TZeY+YwVun6h4V9ha15czutOLHakjUOzDt2lpRxLyX ZOAV/PS7tdoJTrBHda2nCvos7eNwj8B9CAIC92jGusV3/PPioneJBlUx1prCYZzTFk9HifolbCXl ZpBqvXsrQi53mg2xHCn06TKAXKP1D6cHZiVaPBEQQ0o89B7mQPUERj0F9q9brmewLVoSm4WDNFA3 8JW8FUI08vAdEQKMB3Ibd1DHGOBYnhy9bTwdwQe9vCz+CAGf+R7MYczc6ICynbHFZE/wSoRR3Ft0 jiOvOKovq2Xctlp6+woRo3+Oa0UFVED5t/CDYFpTaJe6dj49XW/6fvRQCWJALtMH45SUtaVLkBqe jqjF36SATID+vCXCEcXMvF8pz2mUJKINBl0ZkroTlbVqkouj+vLk5hpiJnHw6ZlQL0hUbYL/4swq eaCMAKc+asVbAZWuFSMKmY2rn2U3kL2Aev7nzN++rpzxdBAyP9hTHDPc7fXWl0cUM8Ok68wOQx0a ebF7XtCcmHRh+EFgZwTjEdeXeeYs0WnXld/p0LDuDQkqVnI/q5T5fAZ0EFQQ3MVPwrX1phH5elLd yjypi5cy0PFQzDI3ng7qy7cKRgpAxk0Kzp9Nzz+hy5WlBdACmiJB4mOa21XsbvK6I6ov070NGBUN hEqAonUSE7hqd4mAwa6K6nE4E8+hSOzX8tYNoKgDXxGgKymORysEDubEUIFTwNf18x88HfUmvvui hTI3F+Q0QjQGZpsFYPVF9xSQcBgYjIIGyeCDIwqYF/t+Q4Ywg9wmTk5fOJML55l1jLHE56KoDNHL D1nrzODAV/wLcCCZVw3Cx53fTpj+k1Dg6b8kDQhbBsUjXVT3iMJlCTXmm6qTJC7D17mNjESHEB47 Ubg8GQmSu2DDPZWJg5Ho8ER/jvg4+nVRIgwl6AeZW/Wq0cHD6wrKnhjww2OPwGVRgoQjl4zHoyrh jXk0majl3/r7iCJmMn6hWqp0K9GnAIwbKgBD03JlqixxgqKIeVEPoxUx/sqjr3diZeSLkxOECH6a OnGmJAU1VP3kQSrDNw32FqunKc07qDtxcrpuPjATT/+F6+bBQ3RnvrdWCFwGH6gQDIKDpxAPQj4S efeUPge/jZf6iIDLhTlUx0Vng1d6TiY6ZLYC8GldWyrym95SqK1i5Zj4zrI3jRpb+L2bhM+bC5+D sUAPop8TSww8HcQ8v1HvFC4uHhGOqMTMYkaWnB4ZkT47RbwtuikjPuDaiQLmKR5ko910a7TdzeQE nP8CDAWrqG2ftSTCDVTFCsp00BpxCj/2Gr4jCHm2ist5+iCUHOhOgnWvjacjxkT6mh0q3gIzYLMT xsuLLm/LwE6leBG11W1gp96a4THGv+PlZgziNJ90AgF4neJBNp4YkkTHYiUZn3A3Tc8mxHKEATP+ QICgJTc8IfyHdGsMRFCcqb3weJCr1+/5QTc/sxmo+QkDZjRtC+1fyUeqzfhIjZ02et7jkGYpbIRF 5iwJHq4eDs4oOdt7bwIAoet7YqAtuhaR4FgP9NUyqeqc9apRY9TsBJoPIk2cq5BI8vMzZDwdTM/w ShiXCim59+aaYZG5LkOpFE4PX5k99U0QDxqj+P9tmJ4ZBczSiuuSVulf0irnMponBu/LFNFaanLq xqmDXIK+pVbKoND5DAEZclXaKqO61fcJFbo8Ls9HNzwdzE7r3/nEXIjlfHKieBkxKzRPs+ExeGle qfzKczkzaU/8lAD2rlmhRJMGP5dhML0WFXkATVuN/2aGE2Y919sPwwrTYgQjDd/xzwo8ofwaODnz nDhUVS9nI+Pp/+XkYXO2XSTYDNUxqOIhGZVu5iZ28jgDe/Iv4tIJmX7SVLEDqF/6PkgBvZ9ElVWw 3MB172rf4M8BCmbdZGtREXaGNWam6Fn1DA4s85yH5WSNliOe/pPJxkIG1iGZHJqeKGDOFGGcBL4j FW1OUG/qc5En2owIOcMKs9GQxPRLX0w/1KfnlhzsACjVFNCRmNDbN1+gHAEo+I5geproABTJ4HDJ WuJBtlXwdKTDeHeWrnBqYjfvHM8oZkYt6sxlrU71W+ZDgT6pEoqOz2ahZ0Yx8yAXoue2fPCDuaKc TF2rdA7oNmSuXEi2QbkZKsJeJcTtie+I5B/UFa13cD6SsruG9taMIubWvjMKiHKWdDs4My4xA3RU ksF5Kp2FMDsjLdPG2LytOTsRIsN21czFh1vI2AhGGMafnP3c7+yNFh7PbMLg+y3fmltvGpzLBBAW CbYX97k9wc+S/FU7kRee/rMMhmklcZoykZqeCJSBYhfcNy83IJu8Xu6mbr4KkLvaXFGJ2ctg6w6+ eNY4iSdWCBYPRN8lfs48Gda09JyySgZ7ozOKmEkThuLL9sEg3mf/cnOl0fB0lKt7BZ51HnJUbswz o4gZUSukqC1Xz8I9QXxwGddkgTdjQnKhklwRE6newWdnlooIh6CMc8P3KeYELUHbWWTrctlWshcN AD2LEQ9PUw1KJxKsblkF23z6L7DTlZIjGVKTE4EyWOVJs1hvNI3t1ra0I6TKHtD3WjpRwCxrF+D0 fXD8ewYqAPJEMOJqwNyYWiyCX9YTxm1tsQg2o3gZuQp7otMHsbVmSeKinSMeT0eZus8O34zWFbf8 PkOmH1plRagvXuoOf5/FtD1P3J6Nnz5DaQwmCSfUTz7ckGef9TKSuGwDbg28tVjKIjbnW1al6E2j 8ntiDlq6D9pYJ+il0gECNzwdxYP7VzIBuZtbQF1RtAwVSFxPzclsy3ByiS40CAgLqOCcnRVBMobE DahcoOEeOxuOUnvp2AHBnm1RijlSyKhfSMZg72aFkAwhVgoDQg7cWQMQVJq4ne/F0wHTb/XvkIca mq34ubPC+nJlS2KYE2npTsDGeWHVdyY5yNRXiMkQp2RLTm5fObm2E/CtXuVJMAiUfxDOF1BWUMW2 U5nyJfiOSE2O0g+VUoQSsGUysTpdQ84n7IqnIzSYX1rsqeMYalc4ZIUKy5VuLheDmop1jVs1SDNk Fo0asEJQhog3imbalHQJIQcpnZ8Ix29msbmqp17Yl4QNY6dcWnGJ5YbviGpgjJeHhBqHzw6uWV7v lSqWcbz83VMn2w+S+TY7UbiMDXzWKcIBCWOgCsg8vZoStQAZ2lohfrmr4WdI1PSsnTJSEfGV4eAq cgJG9aIAYo01/jU7/I5/FZjzegZdWr0Pa4vmjaf/OniIVyE2uN61EwXLSCMqUVmFNbBp4veDdbfM YBmqVKwQrpDsJ8y7BAk5XFoJ/E14R6DmA9QfvSU25hGHQeu3aYxKGb8jqIGZQKNqi7MZuht3pDR5 Vsp4+q94h6cDIOL94jFWFCyTMpWv3j2NViQkV9y9tgNnzCt9xboYnBaATW3w2Zno+UGfCGrL59Sn geLZYQDAsIqJMvFFHGy96r/hKpK8nyZNgr5SESDjnNB4OgBkbE/UqfKJ67xezfsVBcsQfaustOrg 6dsSdRMQmQCEVKO0rTBYLsolxC5xAU7SSlj9JR7jRCRAomrxoJOOnxBZroF0N7uiK9TFIG2fhlEY CH0jY2vS7PvnJMANT0f89PR98CxaongZY0XBMjQfznGDFInFd2G5m5lswXhrTSp38dIKEcxqTaTW ffAyxgnGYaLKjvpccLljgZCWbrjLaF1rdMhlbxrc6YzhNu1mNehYBrJKFPXMNwyO5cveF8sCd2e7 IN0VYpiRCJbuZNoyTQ4DtjYqaKxZXHNmhfVl40My5KnzCXnO6bJTgbsEkIQd7uLU2YOCbYE/IIRj b4WQ5tkrAjEPCRYNeWcPx6tAFkpU474qno566vPr6CFZgaK6mp6Y74fp2R7y5NsWRZ9IR88oN+SJ peR4GXXSImq/jqxnLaJgTveYDOmEShjPuc7PR50lW+he7SHPGHrTqHUj4wT1/9y/a63MPhDbMXw6 Wj0313LezckNfHp2FDDTKPLshkue2IbHqNuapUu0eWpTx3w/Nco5Sxx8ejoIKlROgn0tuE7UIaQy j8jVF3Eg2+MdBcwQgCFbtPvAGiFkcrg3TizBpyNuyVN8x9+QzAlFs/MeL0MZOF35ZZlE3hLhNJ+W Xq5yd6iN0QUFk1HLo42BY2GWmQnTTStB8IPpRMYNh54HcP7euqGb7w4xzPSEhg6mDw4FG4p3z1bF 08G1lb4RB8Q7QGXJZicEMeNQrHI2hsIS5Nh1qzfbZDtJrxGz80e8vMVo2196+AO4OdS9AJSjrgpR vPJcQTS6rzqG5IV3FC9TuWZ0yapcnCsLSNNi4I2nI7WrX0ppKMndhvoO6X6LgWbbfu548T0L9Q2N g+niGDuGY6g1wfogh3ungy3KqYD1GLSumEzwigXgBAQWJ96wirGjcJlFRkA/iw8ibZ1jUdK3PW08 HXEnbmuCKoRoPD+31o7xy5u0pOG0pGnMGxNhJmmr+M4K8csmASEA/P4CwLdzFW1gb+lHD3sJlk9Z JgRwY+drm9mTXvSfRFq5TGR3mTgJWFuiep5EHU//JY/BiUDlId+IZ4cyco3uvdU7ExRWYJpOSJ8m x6kTOwZjNOVYyQc/ds59dZbS3lIOOXGtiCUbtxja0+tx8qPD2A51l4kCI27HBqPdnFtLfplp4elI Ju13eRClt+XB8o6wGIDigcAvzixK8DeXGCrBnwx+mT32DkXk7DDWAurzXugnRoG7G0kuZ5LOEtKZ vKk6A2QTWNOry3G16U3/yYZMvNc5qOfHGtsPG6IFT0eZ1i+0AX03mxfAdqiO0Sk6Yzr4FeSGS4Yc ro4xfV+FWAyZIK0+fPAz+YQiOzG5RfoAxW7e5xYU9h9oO2vtFFrC4juCYDBvZVh30Nopg4sOtkUD T0eqy+UJd3iq/5BgaNMTBcsonIBV8ttHFLmEBEXgU7LoI9r5KdGVJb7EuoOzSk5M0VBeYNeGeQZT VpJXAFxa98aCegW/4l+KRcW8sbOlKxNy/dpZJ17A0xGn5Em0MvlIZV145Y5C5cbSsqhINHGpCprP 5GRjQ44n2omQGJLGQNDng8eCBdIzrfFMngCIqv6FMzmjNTH6PZQp6oLviGJBYpYzNfSrRAdpILqq ONjn6MfTf6Wh3FlIYtMlTeQUhcpwFUD2KYgBZJGSzJ47qwvMQ7EPZPacwliZmwoMdx9sfk7ygHMm kbR18gfQe+g5f26Nhiod9Jg8GuSVji95nyCSgOAzyyoq9OeYgC4EVGzcwFOHz0coy1vmwXOd9j7z qpqnUEwOdN+akTVTALWQHUqlvTN7DXO0aJdGWfMUR8zMRruUIPojvjzhqJAqY0JAcDqiW6RbkC2B InhmUGrsibTtZSPUAcUxulgz3URcTqZwFiYbo6M1Ph6kFK18Z1wwqz8Jab1z9B42ox9Px/bbOU55 +wXm5CSIbpswfgphzI1xs9DMHO4+6+f9pznM7JQS5a9SZonyhGaTkyX97prtXSPEk1z8llHb5g8Z aedDGZ2RkbX4fBD/lG+lDPXL1uMJFNaakeaWlpLVNHBmKnjWbY+slNBzmQLFln5cP007rn1dYwXS 04M+kGi+ggzoBK6yCON9Mi9K0vFb/gUqpByxDeqvn60s16Pzm/DxiJvtU4TXY1Nl9Oc0igJoJCVV CPP/JFNdIeiy5tmsHJ+mlVRziirO0nkSqUCDT9HJ2+nuLB3vnSt11rAF4Xs1JaZrRcPm7xq0K1BT 7RPCZjYIsptNgRWVbj4epBjl2rtwPM+T1eBTFFadcV7KB+Y/IkNJ1QhyDlu41IWmmVwEUlh2VrtL ZUEOXhpL69xmRpLc51MLq2c02q1QXJjzBtL0weSXROA5Zl/skWvgjbYK1hFLYycJw+PvU1SvzQKO VBiMlXy7pTmF0hmgxCQsGDPOnBZLFyqIC4ew71EUBdOCF7QmYQwXn6RneO1dQgzoJg90BAWgQ9UJ wmf9dpPnmPauQURE6yT4oPigjuBeXfqdc3c+/hcPuXFyKSaDTNDnKBTQENO2uXtbuYylRGACkSx5 +VkU1p/Zam+ZQhocbI5gB3dWS1VIvWCJQHtR1n9Hou6GHUWF380vCTIO9QWpnILBNLFg+MP7oZ9n +HjUGPzGYIIrledXYBQCNjZ5gD07ucLT1VwN/wO9wuEzFMloKFE9l271wbFQHVgoQidhYHo2L7MN iDP/wF79R4KF68u1N/3hZqJK/fLQfba95Rp0UvTJx4N9diHO9q9TgeoaR+YUlqGpUdUsoq6UAOGN NiRpDeqSequco7AObaqOtfng9SDUyGTuiRouAsTEtJWW2OdcIo7XMD9YC/ySqCDEs4jSrRqUt57L jSdJHYwdY1OT/Z2a0Xx+Xw/fHDoBAuwFGWZrZCRtL4KilsVFhaw8TlFgBejohF54XfXyyIIKB14S yx7n0MjFoM5MzgYY+9fNTcYUOfACxFoVbIPYFg4GGstJ0nrQ+M6hGeCXzTGPImjzPdF16AYIFAwi x8JMtRLjz+y1COQLSQ35lXV9TCQDJQKKdPS9A2wEuE2qEoqK54ev4p8SqwLZ/lof4jZaCvySiCBI brKsw9O1Dj8xSXVBwsrHg+C65K/AsbL/f6sfOTAEhIgQMfLdU1jhM5HCklAiH1JSm7o+JmqlSiZe it95PmXXOToIpxLR3wP9MKyhhUW+Nryg+4VlrmTv+q9+T86iuGduFlz55wJl+62jAJIDT0AcBd9V 6SKh2ntYB56A509DYFvoXmnSCAYB6iKmABQuGyHusjC0Fn/bxLzHJSjDA4mKeoZyOeuxKtFF8Ief p611C9NsNufAFdCiGnjNdR+0y8AkYMtn5crHo112k492z+pLZ8qhLSDb8YV8KVYYq6t+95q8o4rS uKKiwBcw0e2CHY07eJXohD8DG4w03HMWSLYukT3HNKJ9GVXoyg+dAZfgHEtKhm5BPQFP64ICIbgN rQHL/F5F6KjwdvUpihSc6QyIupbtM97WOIlgeqh9NgaNzLo+Jqrfc/kUgYJKfxx/EeC3RchCQlNC WxF/4Q8po6s8Xsip2LtGUDIGjsrPiudn58CHtiHzs174eNT9+YaHA+AnxVqboZAymAkmczePXCl6 hEpI3vL1OLnHWr6IYgQ0cc2VSqoc/DZLm8KgxLzgv4FmWmB7tUxfh4KPjnmZ9rJRJUQSvCyIJO/B 7XNlUr8J2vqbjweH9YXb8VzGmZCeLD90CEwUNaROJVPYToOKCi8iCvtk8FOuf2JgEQj6jqzG0/bh KRa1Xay92svZ1kXZB30bwW2Cgwsv/EL5QH5JdJ1xagaBLxwUN6ZUSTo+H6PHIxT9N7GybV5p685Q GFlPKmcVc3qDNIaRDHSAb2wzVDc0Q3G92kpF3QcvOZ6fQLJuiBpTymas3VH0qjoqL6B1yoMzNArs MssRZJyDWtCwiOU5Mlfm45Ha9XepiIgVUslsikI8tCCtXdwUqLUYD6OhUiH6IC07NEVhYK0e/ZK8 6tqPbQ4EtNts6tGjtaobHw3oAoYV+PkeNcpAOjQLLPKiWrJnd+HfCaGKKnnMMvh4kHyUb8/6hjxp PDMU2gWikw9SroPv5IOCJJ9sHMTVVZLGSR8TnESD6RnYcDbcYtrJf1mYo5viyTao6VxY4SZt5fGa FLwsh4aB5h7dpAxOjhsOIjAUhS8rWEOBYyDy3+/KPkzLM7SGfIZCqToksJmXH+kYVPOl7Eal8Qlg Hulus9AycOgaS634cGHjk4cPt1nZrdOyG/8Os3usoeqFIoYd/JJ/3Gbn3mS8x4G7bFS7Plsuk49H HN1rEcObC13kdZ27cuwaSL90kU8ZQLLceM5qauRBeoMoMZ+iMLCWWYNUWVr+gv/ODVfqNASFKUVl AALnwAn+QayosLGQasUviWSR2B2iUa0G3WZgaHCbnSObj/8vgTWA8WVfwlMOjANhTYQLX4x3eu+1 delyktdalIKwGQoB0sJQlVZ98IPoZOIJHWlEjefsQLLGnmtDSStviqNdW85q7xr07QtPIKK+MehP XTAgJIail6x3/EuJlkkaro/11EBC70BHUz3NV5OiTd2DRqHf5NYegqSl7mzGKOnRRkI8WuSRDYvO lmV4r9SDzc1eb3N6+rtGM8SpGWKkDuM4nNRjSsoLWDA+HhX19/+773lX+AxFFWspKZTd/Kju5h9o dmc0RlleawwNBBfXEKAMPnglbcKAu4tVeILJpLNK6xJRrQyHJEirsDp0EGRANhJg+TZYCWRXyV6e NcHHozXkMSOjFkDi53zWUBRWN1qS+xUGcVqrWLfduqE/xq1YBx6C2GUsgUjCrruDHYQPB5w0KfAO BR2KzrMEgooNIIjcZF092GmvGgEcSNdVGa3eMhr8Yhlgn1hl8PEoqP5FiEexYF2lkhy6CHa0vdOa jnfNwyk+19OefBxbQv/2Rzl7afnwtM5qpSkl5bD7GjyGzv+EXzDzXrEJWnnYqwYTJFfyqsSsemLW urTAcKhUPv43Hhgy5T/7MZYuUURNBhQnwDEOwwtEbXiBaN4zKLRHEcih7TvY9KwJrtvEXj8pOJaT SrVYcNiWT0doqDxUonC6qndPOooGw1ZloUFOHjM/KiG+A0i+Dc8qjWsu9zKHRoLARp752dXnpyeb HxHBgQGBuq4tnyicHqYAtO5w01aeNkLIUPOJtfxMKweSdpEbTWtOd3vXYP0w4VDVS4MwMlDxYiiU aubjEUjmJhzM8BF6zkuLz6Gb4KQpXDL6E9Tqqi76Dp0+qpAtVv14BMV2gkKg/bfEX+4tQTE/SRb7 ZOE8ggD/JrDlZ+ynNd3tVf9V+shEBWsQeHFVN1sck4//JfyssBC5xnMEhY6CAJSeUJHFIQEcLOEY K/kZzVID11BgKQgMCzeX+q79q+9a6Z9EZ052Fbt2I242dIfxacNvMWpN80uC5r2LkRUfOEMzzSH2 dst6x7/wnRJgNSfvO0UhdLoRHXNJGysNKzGyfkEWr1ymkz7mX4k9RBp8uI1XnD5DWKIK+S1amE5M B10dMqDmDrYitDyH3oJTABlYqtjAeBowyyacTBp8/K85MmcVpK33pg/dBTt702R7su9ar1lwY2WW SpE1+SqKDVOIwMvs9XCwKYLA9gSIGlPUKzzdBjWyyVGtYIgs75iha6h3jYg/CqT78oEzNM4FyVOp 1ax3jPbZ4/maASI6/1yegyiOpycL+MVKjHt76QPldwl0sA2oRRSLQHOGFo0bODzkjbnIeaAV2py9 yimOTvdUOci3lj+zv2zUeMUeWQDE26B+0IZ2O9bFuZ34eBRQ5+ewxiEPt7F6I+rAahCEcN5iOOpv Q4ip/Uq5GQaE0vu870OvQc1RS6oxpqfGuNvZ8/i/5NYlUC9o2YRPhzu6moqSbpt2Wsda0LQHyXP7 YKk9xAl4uqD4EbgNfheqaTJ3Ttmn6RqaDYLqXsEJFAIk81tZHKLyDq1xWRvTGooQIK1JBFoHcXnU FuZETA0kHhpmc7dGCpV6r8DAZd5m7at8FroNEhGNymfxQeWz0ZVznlhl8PEg40jf5xD/W67PORTK QVP7j8I+hqi2tjQxDQqo97i7LA6oVaVezQfPWs9BvzahrNhl2UzlaIpZWf7I5YHj+7sGm4zwoVzU WSzVdZQaMhulHJ2PRzHj9avkccqe0oNoDC0HJw6ixHMLNdhEyiuKQyf968DDAgCCn1WbLAyqpUaR ZV2eH+vyAUJHZ8+dnoND3mAlo3SG65aECasw9mbv+g+0HuwMkg8q5Ofepetb0JcOTQfHpbuQ7YLs imGrT1Fs0E1/K1TRRGJFd54XPg6IJiwaYbNJHxMFjWRqTKndzPFU8k/cjAI+zyFUhZA+AN/Erj02 DnqXHjbuYi8bXfjqKebpgyr5axgA5KTGfDy48OetwqoMi8zwCYpi70H6CVPGXDgiM5kZeVt7cRWW G3jjB+aD2ZuKS8XVdc/qcbb7+ddxzSO3T+vcNoLRIC+i1i/+JOWu/EP5JVHuIaNlVtA4KPeApTiT +5PQ8PFop/3qmNFN5UE0hv6D0g0iLYvujNVMr0YR4yNDv4OC410f8y+Z1s7rXINttBO5g5OYuwQ8 zoFaFRvg/MYlVCljK3Xxuu1dA9DnenVVAXhCKgq1FT4e3GaP/gv7rpgiEhNtiqLIujKy7stdl2ty EoMkJsHBYM9ciyiOrElfqO0OFwFyTrraRRdPEEquKmlTZgDlQsQwChsh+KB3jeB69FRZOpLQYGHC AJFxKVgCwc/ng5ZZu11F/NsNhwOPAJujKLQGPrRC3dnaioMl2UnlIkvQZncd7Rx7EQ6hZEbz4SZo Z+9W1vROVDf1sYy+0ZA5P017qiB526tGDSFWqrcutu242AxtQOkbj83H/yJRiTTDjPJWQQI3Qnd2 qqWaC2qhIjtS/MImkfQqkl1o/7AjnO9R0Z5QM8simfXdyhwimSGRS9SguvBzX0RxYC3IMLVgylXK yXBZ5Z1/Viwfj9bQA65OAusBx+QzFFIUOxXMzKwIc9W9M12sUm02uuh2BI6EV9IjsUjGwVtm0Odf 8po6IVHL7MSBX1Fp+vEzv7YZfQ5y6ErYqrQ89vKB1xm+juf2uTorHw8Ksb8NepCIfAVFgS1hZgms opZjcWP1TVab/W+rrgsACXwJs+GGe1NbqI2n21E2aixygljrnKpUJ6evW0VXHJBz16IijTMHxoTZ hRmyhBmydcwghlFlDndWKx+PQES//FDhRdHGk3yE3oS8zgrFZ6l7whoykrOWQDklo6onE47OgTkh HMF5AEmQnoMX889ljqiFyQf4L4sbspL5v/H77McFi27DObAnlE0bRZGnD6rmwyyc5+xcm4//BZGR UDDqjfek/rxGRBkgIh5a24r5qS3ZVgPcYMX8yl45Jug1HsrntifsY0iddTxO8KjGgR2BXiCq+mfb S9ELdmlSR0BNZxrcEyHjazSUXd22FipNctD6yeB4/JAbhYdfpiaDD6Vu4n5ioTXEyzs/SDAzSB2r LjvCPsjlwjV/zoQlWeQubVuc0MHMWBdI3HAOfsmvWWYaiqYh8knUC8JqyFZ0kF+6z4whzl9n5sSJ qFKePUzqy3bZmbHOP6rsUVCBfZ0Z8/fRzIg+Dvk9/DicmtcIKFsHqLJg8h96PeKCADNo4o2Rl0GS Oetofo1/smSQ4FtGFcUh+RoumpbmSZvKYnX6xCxzUFq6Tao1o6/P4mt6dLpeo5+MU4PpfCKJk4Mq QkB/mVls+7yGPphXK01v7ScLMm1mXuMebCfECeesfCwyvNhBNUvSXahhQu/315lBxYQzk1ku4+AN +jPl0JEXBH82p9UDPV1APyHx0RTM2Bp7DXoyohbcVK1WDdi/+JPmOWoUO59TLX9eYx78ld1Xjdyp 8Xv7zLwGPNkpHDTspRwDK9fcTp5srAIso5w+gzUjBN7otGzk4E0xXEuzIE1GganA4YP5KysN56tw Y5g7BozU8Z7BQaOUdNDeIDtHBGV+6dq2c6J9XkMdPGzkjW2IaYRZqdrMvAY656HGULCs7QWyln5c m2uryFoEwYOfUzAzU7e3sncOnpJCe2pQXjWfwBSIBZJ/GhFJZ2dtOsnxpkr0z30NcnAgSjAxmTuG dSTPETzkCwlpkM9riJMfuq/NTKUpR7GZeQ1wsq60c840c5FDx8fodYjLoNWFy8V0uV7DG8wMSQhQ G/LBceRAYXV2vCETclLRxvo0CmUFmJeBu9ZCZKq/vAY32E3kQjf2HDQw/AOMmCysdubo8xra8JBq XzODQkoh9YQz8xrX4ARGDTLRlornjHRrFwlRBiAnsUryvtEJzHButHUH3029t8Ygkr2dsw3U/gG9 EWvoh+0qA/4iKfu8xjSY/EloQlp3UBFjw/kbfxsKzq8RDW+I/j0zSNBa8jXzWinEjbaYTm2HADUj P52dTNfuQk3xpgLGa52Qh8Di0VvuYGsm4fiYxJ1naLETfo0yISoWULY6QX5/CoVU44qmRp08WE/b YCUwMzYoVNgMpqZvn5pkl1Mh9JNT81ohtEMfOm7b+l3D1BLHkI4bOu9ULab6QhjR8AhOrOyMdCs7 Y81zfKDyh6J3xWTQSYSAevPMxe1k1mi0CXmtDmL6eWEDeeWD0qm26jADHjwcXU7TQxq26IHdlt2p SNbB5CAUAyDZgFGVHmykz9UlYuYC8sLpc9Hs0A120OlQgxeYz6f0mWUSUlAYpFl1QbxdQf2EhviV phBlPlo4w/zLpw+cndzHklXybnw62FQzf8XCmRz+e9y888K4IaiQSH9BgBBJfEHEN3qVWgWdzSwY DqNhzU5SfJOuVy5OrXSSTQrXFgQJYmqeBYtMk9oS5Ks0lQVFNQgPYxLndI8LGcDIZtGLDidsBkg8 CojT9w1OiV3GuZqe94AYCQZ1grphWmoydRzY2tjOWmwqCfMTTM9uyp/W8MFPHRDLiZzH4oFlhKrz 1BbCkQjZA/fB0OxEIbHZNhVqTnHQ4knU2cHsoNr1HhMjC/Njp+jcWT88yG16oqiYHGwleDx41jIp rtq6qU01Kn2q0R4cyp0wjbG0iNaqd/UAOQRvd/bZz7Vr6tq0kkOGADkixxzyIn/ng2WhitD5oxJg yyYAO1sr5gZ7YrrPOx0sG8nFFk/+QfpVVOlSfS1YPLQ7LbO4lfC01vpouztSg8Aq1Sii2WE6NWVk MB8jA7h+nZ1GLQvANuCRJh/4TFAtmUvyeoDPg0oU4cnDCgWxZxp0m5/UTOyJhQ57FBzn3L8P5kxT tkVFJc5PFB+j23KOSLcyqNVjHci8K9bZ2UWz34lgPN95l1N/Q4OXcEoCGEMcnkRNF7bgqdcKFOLI D1Nu6EWDa4vuKa2bQZrpR4I6m8znodXPOwvM0gBND4uAAFadt/Q7/Z0FhkOjq5CcLHugnrC11wXt XYDqWJk0CpI77+oh2V7rwV1MOATLePRAfipJuIv6FoBhFXy/6hST8c47BQx/YGUQONnz5aCAp0mV 5ufEC/vzzgBj8OI5p5AYyCfIRNb0RIGyVLPJMWONtNtEoelo17q827l4okjZvISnREjnA3eGFBfw hoL4JBQUyN1hwQd19fFtZrD0pu/TMxgqt0a0MwfdWydYZrXrHEDz807/ysJp2dHDqRjrOyJ8p3/x ykG9olSzHUzT/U7Pyk+s5Cz2u3WvR+HyoPXOkJ76KA+1CQIxfVHSGZTG0os8q2mISJOph7jTmUi8 c7/8bO2bF5cGTg8IKhIV71B4ieLltX9VLFgyq/fkiQJmqlZXMCQ4OydImRb1UJKF1zo65JJ3iSJm WcyMTWIKB09AzwE2E4TIkGadqL8qAcXXFJy1Yz/OaOJXRhGzpEt6ocsVBysCFsYDAPaszzvtK5sV wp2dDl4ETQRUBIwiZpbgAI9Ujw/6NGrQABMgH2q2j7l23klf2Qm6g0ALDb52TrY4E2kOFOLKkh0v gHnVxO7VftgoRW/6fm9tVbtyLz5oa7VaFcfMkj/vlC/EBHl+zQ6WbmHSptmJImb6dIC/bzEPb0jG PPQpoffXcojqO+ELK7CrgS5vq/54W51VUtKgux/Q2mU2dh4L6RZQZ2U+4ZS4ojf9V2kd0lQ+6Fye zTzezz983uleONXLPXiKFQMzxB1teqISMoXVsxt+Vco7ysR8mrH5+fXR1WQJ+T1iRr2NzfNF+TEO t4feW1rU3EPJ+OQXcvSEc1Il6oQNWC9hTL1qFPWIytS2D4p65tzUIYJ0z+ed68XZXd+FL0oFbC8J vlO9sLcmC/fZEeDEOPyHnPImeCESCms+vDO9svB6DJW7D47COD9uhV2E9haohBKZQKOdGV3D1Aio Qt/2zzvTK5sFE9zdiw8qsoM4o7pN5tNRyHyz9R8yM34Yotv0RCEzKDNQfh0WEhJ7xmSd+CiUTCdn mZsrqiaL1v3fbatzwY81OsSgCbk8MyDRehIRcRHx0LdbnWzKd5YXZod008JelwYhLs/WEgNpt/J5 J3nhYn2uLf7LiqDu6okiZmJoKluy1EgET1/AZjYTcPYQfaHpiUrK6pxD1tWHWwQbuMgKO+cnwQF8 lCcz9hhkVTrQF25FvfSmQdCTs4jKywdOzxxiFZ1PmOvzzvDCMth+b+E5CL1D1dJn5z1iRikacTJA fQYCaz47e2fD71wCyju/K4vzjDbDvIMvnjy30ZdQtcC8kAFHaR1Uo9aX9AZjnnd+FwugTeI/1Qcl 67BeU4Mh7c87vYut3e97i7Zou3il553dxYMZW8shqKq5izews5QmlnDsCJjfyV34XRnnTdoyafDm Hn5am51zcvTUWfTo4CpRQhtr6aZb9qbB7LC7lxI3RXJkx2pt8bdFKX1/3rldWTSkp73HoxDePTY7 UXUZ/Lyzn1L1gyd7lTD3ZrNDe0leW+/xcmIvDDvIAsObbY2x4L69u+xgwadrJjWFkIwEi/xAv4be NIgIp0GZhw+MCKEkK3Ac9KPeuV14eu3v2UHVfQyvZLxTu7JJQ1OcWOdOMXsQuI+5vK/I3NhZ7/Ey Khk4cKbAFvMBW4x6Fk4WLx24b7hhyO68E+SGmOdJtrCAP+/Eriz+KnJ0am5w4OycqRHIDE5In3de F86dMX7HPOBy3hLzO60Li4eyrDVPa9oQG0TBFgYVQsInWzzvrK6bqk9K9GnwrQVt6LJU6NnwAmNM 3Znd0YF4rkeupehNo8Y5SxjswWsQsrIOqQmf4zN/3kldWRDir0rG2VWobNrkRPEyXVSk10Sqiapg aMIVmybQabp1zmO8BdbOKtxgq1xS6Tw/D+q/AgzudMITdr0mfF/RPAPFpA9BCqreM5oaFBXPHzR9 EEVgmxA1wvvPO5sLf2W6eAuFg6irXLzFO5kLK6fRB8OU1msr3VbOkkDS+DmHc7J4553LRZgO/+TG NJ2Db6w2yoRmgdDvA5ACbSyUwDCl86qKo/PEN41SLbZC2e7VwJUD0fUqVnvnGwaHcu6/gmWIwk2/ st55XEySUNmhWrAu9O2K9MPko5ZQbJyd92DZmxNTaDgO3tg6MdrJ1bY6JZASEBy1IZ3kUYDyl0mM UjjincWFg5/0rdaWyh8oi1eGg/0sfLKOUi6fdxYXJjfd+jIvuMJz7547YbRcCDB4JOuW0IEn4GJz lNCLbBivdxIXfhueJlN99PndRz/X2Vo0ND5Tj/4NQQjoLkAS46dfr1Pmoe/8LeZZUqLvzQfLs1rZ 4k10vt6foTJe62TKEh3hzLwHylKc+aayiy5JKvty5s26+yoqLRNOe2JAZuAcfGZA+pt8D5oTUQsY NhhsoCTI96bb1Vr2pkHfRt1iQos1qMAzZpOR6+j1807c4sL5XcJA5b/d2YkCZXSKod2UBJ6sJUll ZMxsEvVn/SRjlLwTt7IzSIecm8bj3HRCvrZp8cDa6Vk2jeBAmAsxpQN0cV7yX7dXjaqDSdnn9kEI jJOJyK7ynI6fd94Wl4FHO8UqHtim/R7LUazM+gpLVCZu7PZEuS6rvReC1zhBYXGZHfXeFOo1cqCm zJsmkvbCNBT00UnZo4RCMs7rn0LXQ8vSyY58522xHKX0XPhJ1j7J4TvP0V7pp59//Lzztji/+zvi QZIADzefoDBcJicpb/f+ygaMG0ywWH3vV/35nbVlSSJj4ebDLaCus4Porg7PI/TCib4FgbgAyY9w wGV7GRC+k7ZukaeIGUlla/bVN9xmGe+eRc/Hg4srfV9cRCaTXq/pieLlSXur6jr9hNZYQJik5Qft C8u13hlbeHVSHwbOEht8g7WElkSd0l47BxNjngJjkAJZk0WXdy0fpPefd74Wq3ycHhrvatDZ7LMD jcnPO10Lb5j93mJojA/ZF3/7TtbCd0pHFAcLMAeVs4trq9OVlioIiey/zk/5J+agU2+Vw52d8x9S 8shnG3RxLxTzORHW+VaUpuxap/LD552qRdAAoRhXWr1xFbBlr711opjPO1OLW/PXzYXbot0azztR i/krV4yIxZgd6oixgIp3JsMGBu+NsxPFy9P6fjRy4mBbC+khIkFmomdNFZ6OCJgJv6nKtQzMgwLK 552m9WwtidVwUAnsLMwlNE8fn3eWFttiv3ItXAeUfbHpCSPmRVeHbW3RwoSH/Jp0TRmBVWKu9c7R YtwtTkRuPty7C3y4TsrYuSna2ITzZKqlQJN8U8dYmn0oS3/eKVr4+SvhPGyqa9D0pCTA8pmd9Xkn aDlcVbkWeSL4v5m66pqf95jZoJV50ABsErKcjdkv9XmIh+KA59Hzzs/KrjAyWJnQYMunzznZwGF7 op3wliX6StWWdBYdpUNNoxfVms87P4vlui2oyh04P+nEZYJknND1807PIiD7u7VFwckHkvHOziIy chGI4aunu5uwWjDU5yXUlrMT1pfZsJpInGzwEuE46SJ8GRExn2RuTOVbLM9V2/uWjpJd+nnnZjEd JfofGr42qJDRAG/lcTLn552alWVmr9nZDAbYmfe48J2ZxdISS19l2LVVfXamREYmlOabgdzfiVl2 ZbKAMXzwo+dsJqThFOo7vy64AAwdzwOgyt++Db1EPu+krAf9P/sdODUnJZHcdu17fN45Wdgg1W90 Bjf0G2year1TsojGoA31doglEmclFLQfYypavfL+zsj6SkV5KnO4UwNGdumiG51tNbOiagQcQBHS nULbquemN42QTmwV87rRoIQCmuD8i89i/LzzsXhofcO5Cb1Cg9NmJ4qXKauZqzkMnnFYIlpl181E fZqR8DsZi9uKGWhr04eLIgRCE0101L92o9MkzyXwsQHOyc+Z0/WiQRUjs/5FhUANSVHviXh4Ife+ Pu9MrGzC9F/tdLbT7pkTxcpihJLt9x+SSPayLH03Wzo0Z9TkhMhlSoKDNOaDF8CAnUZ5QW2JVlHI gbohEG+E9q/0gOSS3jS40BOpRvgdbeDSOd+RrIK1Kp4OgsFevpcOTvdaPNVqYaiMEx4kL4PnksRB QrGDwE68v3xjRaXlVLRd5vbhdvwWWKzyoD5n+7BMvZErdg4diKZ6BQzIQ3xHQJPgKdpZ2tPAtZM3 VRzIBeXTQTs93/tKdejlND5SSKJYmfSWTLsrlsC62wjnMqYtnuzsmv4eKyOYUMmddD4OtrPOym8J lTA2RM+2VTTIZBX5KIpuF8fDElj/g8gn0n69pH1zpeE1WPF0QJbYvxcPfq/mW6uHtWVK9ED6UucO RbgoapC4oPDzMobn7ERYDBkSDMk1crCtdUImSFsqk2gTDDZzGkYp/hw4c90a2CA8t0fV5VrEj53N B85ObjTipdLFwtNRT+s72EGp5any9DBSHqwJ2kUltXTTu7ROxZLDKyLlHtWWjXgkrbn+pTVXFsQr KBmRIeE0JNCbIFfSGgWL+0ORJY6nR6GyelqJ+i5noO4RE600JR0zzm+BxwMgz00lBH3Hdk63SNhD Th/mmwwsCjxJaEQspGJqfBO8NpbBehQqK9NCtcUH7/lB2H0OFjGAdYd7NBXSAf4iHg8msFfMYOpV o0RUDRt21ZtzKs95bfVs9PHxdJSmr6+QJ0uA09P0HhH7UGOvGb2mKnA3yTVo+nE5EsmD1cFEtMdY DGYSkksdj1wqbJYLRNGZiJ7flzaPaFAAKQqAT+9X6oE2XfiOqOkn1WZO0gmPGxV2FugXjM37OeDx dDA7v9hIWEuFCpianTBWHgx0itFKTkZqSoWdxA1VwBgrd35KxO4z4nn3wXZXPZd6gzCPlXi6fHUz VU9QfP86etiMwXdEvQmp6ksytZgj5oJuJH25ACPB08HeWt+8CdmqXAhhDyvMAIkUanepc0PQaWH+ 0rR2emV9sPNT/rl2JNc80pdTzIndZmUhF/IR0CukTyW8TRvadWio3I7o0ptGO4uFwf9nYX4mHmQe ZekTT0cgMJ+dL2s4IhA0P+8BMxrNxMZtSVxBJYQRzo9a1k3uDPhjOD9RwLy3gDzrDl7FOEdbmlUe KBs2CmzuVIB2CrVJ5gURAn3J74guLunpkwLJQVWMbTozAKbj6Ygd+n3ykD3Vyw16IvAyGwX0RiMA NROGjRJYr91bxux5cnYiMEbfVlZWOsqKUuXJQwt0JtiIeWAfqO5NpUMMTSVvgRCnEb4jQoERyIOr zwY1jdcagin1tvH0/wQhpLLtExLGETOR76RNYBURJ4PZaVIoBMRzFL+2QvBytnN5++DJVq9g+8sn 9/zvfZPzVhP1yja8hMszO11v+n7yQJv9XFeLGSkHFZdxBwrMnhqe/mvtiIyOF56XVTKiiBkSk2dR OlZF9uC6tsxmcFXK/5JbHFWXddwuiRisLxEDnjdLDkywLYCttsLGk6mgmodb2MqndKwYUcDM8vB/ 6YAtWlxu1b8yng4C5vErJERCW29xeUQBM92YpZZOIE+q2c5lQm1peYJaEys8Iy4ua+2Ief3VUQeB FqomZNGi9SeBp0o5eZDKel1PtmVv+i+2H2NBG4Q3OMmc1a/mxtN/NY0Z8QBOOIunEyPELkMZpNFC EbSAtn1nDeprnhk79wJdhRI/5T2doAEH4PmVA4mtqFOM3SE7Al1DMG5OPDWq4GIs7QL8tbxrAx21 ga8I0JWEG9RKVoAaubzSWxus8fTzHzz9v/QlCi1Wp19ZI4qWGytgaV65Txz/VDOgaAvVDKYTbkYU La8kDJgGCSpxck40OCGgz3117qktM/hBtY5EwYULHzzbbOAr/kFmG61KHKSaOAiaSyLPQUICT/+p Z6D2IKPSe6OPKFqm5nBmRMVjJ7k5HsDpOnboC6djJ4qWZ1eVh387By8QAiSR2Y1E5pkAvv6hHhF2 Fn9C6usZNrfqVaODh6tHwtXK4PCXzgH3FP7NZwnj8Wj5ODFAswlk99XCGFG8TMrLWfVTydYJ1US+ GXnRmBO+bHSX5PxE8fIyimO9g0c8J+Lr2uO06GydxsIVUg+FhMRyI0J2UvEdwebaVISZq/igvgT0 nVWcOBHhiNh+4xYyymUktctIGiF2eVDuvC5jJOFPM0YSZeOAegF9nJf6iLDLhUnoOSOLD56LAj+Y oXWKOk87dyFlUDsFtilWhJVj0jtEdo9QE4MIMAoE2KBL/dyRBJ6dvGLg6SDkmReR8UOsKntjPjtR fVnupYS8YnayH9FwpqiSYiZ1R4snCpclfTpyN2ISfx8ykhZaV0lAMIBMNhMPfSSUxiA14hx+7DV8 RxTy8NRpxKtw0LUFDTFujXOy4em/SBMk0tI6KN3ZicJlVPzRynKecfMSapt+9HTewFw7Ybgs244l RtJ6GEnnY/asW56TdbYGCyAEhIgt6brFlF3TQ0UzfElUJGT+yPBJ1q48MFDUn6y7nfN+4fEgVa/z 61pvOEzmRfOMOGCm4On0gHmwfIGAedohtAaaKiyEjbDELDOBzj+eg7Mmzt4pTWgeWB4bF7KoAcb6 GB0nJVSds1416okySe/qrHdn8a9z5khieKaMp6NKRv6aHib8kAjW9MywxEzZUyrBaHoIzEBHfYgg Si/FfHLRMz0zCpgXKUkzjTv40dMTkH4yn6pMvqj6RVd15BLEElklgzLnM4RjyMC9UUeRg7KtOWVq A3NXPB3MTruNP9a8yF6dt6M+o5iZ/dpCxVshDkw57iwfKHPRaxIV9EZJnrDIbAxscW/GtXQ993Bq 5w0I5oFa8aQxMuYQtJJz2PZyy6j4Gn7HP0vwW2C57YS2Mz3NHCkmn/7fGFuQoBh3dqKYGdpK8FLK Lq9S+hU5KCavgo41N9cM+X6MmYcubQ6X0FZgEWvYd8AKebdV5h7AgrR1862V7U3/QTSGzGnygZWe Bkc07pc++XSkPnOJEywk0MOxeZlwRlEzbaphKWZhIeNzcpJYtaNCBi1GuHjCGjNPlEF8oIZbCEMT qScCvAcaotmMBEGbYg5/gXK4Z/kd/zp6Wtl3EGNrj8oy3wkeCp6OlBhvmZD7iJLY3RvHM4qaK4CJ ZXXn+8kzCM53s3bj+2Wvos5QPa6qKUqwBQdP1k/4tifcthD2VHg/U08XLG+KcUFD2OuEKJ/hOyIN COIxmHlpUBW1L+lBNLS3ZhQzt+YxMxcKmvep3b0V15gnQUfNKFuNHVEo5U5zdzt/3TBxnhnhMbpW jaiOw+sMnJ2NG3DrYt9olSmnQPQD9DGTUcu5pr1pcDLryGmMfjg4TFd99XZyOzwd1cH85CGoTjIb fq3PCJIxsPMzF6oyrm5hjxx5WejhMcGTJ6oxryx0Za4+eOd4NdxdMrg9i6NUyYdQ+Qy/BC2nrJbB 1uiMQmZa+I6uHcZBgJUpXPo5IEbD01G+9Y3xRhiZifbV7IR0P6brVB9nut6zeU/U7Einsl2oe4ZK ckU1VAPq3jIYyq8LiTQVKzvU9kTVJqceZLp1+WyLzhMzKjBTQQx9geWDIBnn2JEx0tp8+i+gk4Q/ kSDLUJKzE2EycPiiK2G8m7SvsS0TGpPzNMfNGYXMg4tmFu4sDjY7cHbpKqogyJ3II9lWx0KgtDfh PPOpg80oYiZQYCSmARrE2Dr3rhAr54TH0xGcx0s9fDP0RAk10eyEdD+6A0kIH3c6TdjISsqOsdxp mXjIDAXlxGcTBG7O51Q+AWE6QQlVCM9/G7y4wUrC2mE14FtbpehNo53FLbXptcnBoGB1q4ZacsHT EWLlu7eFJLP0W0NdUbwMMwC0l5t1J+jwiVM5UboKvZsiGcvOTwniQens0dJHg0c855yHziE7fyi/ L+arlZGjQXPulc7ezQorzKR3tqXm8bIaKqhxUroBXRdPR2w/n53OGmqSJbPNTlhhRpEiT48Hy9gO lOvmx7VaosDwSdVXGC13HceKB2f/SkbP4TcpZojO1tm3JPk3hpjcsfPWeda0N40KGcxFpxxvphUy VspFhnHnvK94OpJWueqeBB0gz2tX4GCFCsv0HN8XlEETM4Iyeu8GyuiuebViUIYK8NL57ETKNZYJ G5gTxuAvCxkkVZ0osH4u+B94CfmldWa14TuiMpiUDYRi7uahN3Ea0BelUsYyDpfr1+Jh9kc9HM1O SPir00QfTB2jmTpGl2divu4SnZ8SzA4bVjNZLbV/yZ9uWJPJgGOfucqyuUXeXNhp2Ol7dvgd/yoy 18oiYXUI8CxQWJVdUt54+q+Dh0ULIjLYWtf0RNEye5MwWWGnpgrdx2QiEQI26Npmnb8V8v2aTlt5 JM5bYz5hX4K7lAQOTsBXtgoZBIOts1vIazTWDbIgfEeAyCBjomb1trL3tuCboPTpbDM8HUmCPUVC HHp2adrsRNFyouFVT9M4fz3Z1hrL3KJBx7QyzwrFMczg5v+XeUjfP7EIJa8KBLqlHZbQTWksZEIS 5IIO7FUjwAqDnUSRPg4CHRQFZwi8B54OVk/6BXfClVAv62ZFFeZEsuhOprZXCDZhQMg2Ay3/WBrl 4gnDZUl8NkmYt/0kE6WlsZd4JSsB+8SiOxqxKL79UHNLIN3NxuiK1THyrzKPulsbWZssxlNqeDoS TPsOl0H3LECH2uyEjL9KYgBNNVBNpY0AkISM2Sp1eZpXmNcfjL/Zmw9eI0ytozolXZ42apExK9cQ pex2uoxI1t9XFC+nSVWnwrudA08eAAmHtO8z3zA4l+/sTNEnIYl9a4QrxDBjb9Grzqo8eTlKNyuG lne2bvV/y2N0qbz3L5X3Db+osaqwYI2ABEwP5ZrBn8w0I7MiIc2zVwRiVvumIQe0QYn62Zlm9bcq no5Eu9NNRfMPGzdr3ekJK8wIMWHna0FPMX338/3Jjp7JbIDTEyswyzFb1hJ53OnpUFHt7LhkmF23 STxvwqXYgAZFIuNBzxh60yDooUwsbGt8UIF5gvz3w44Mn45WzwUdOOum4CbQ9OwoYkYPtdITw8gT w9jYTbLMyNTb1acOCX9COy0Jyq1HUK4DZtCLHGtzhXMIzUjQJYL7LwWEfHpYYd5RxNwEA6uiqlfb wOdi3DNbps6nI2rJzSf0RyTJl9j0vIfMeIxkm9WcrO6IlbYNFr/gRWPTEytkMFau4ujXaxQA5OA6 B7VEhsc4n+qqTqyfnCBwX2Ue7nB8R1Dm4VW8eOVoUI0wN0HgsVfxdAAlvGR1GiB2FjLu4okjZtI2 3S6qS48HpKSatMs2wROanX/DmMeUWOzMN6EAW/CEmVTmSWd1pNJV5kEdFIp++GZvHBOku6OIuVFc GAoHPigZhehSURS88XRE+Pult0dRnlvI2FHEnOQxsf3kcfLWyPKYwNailTdnJ0RlCAw28/TB68v7 TO252aVkiUyd5bJGLzOo5FHAxKg3y940oN4UtkSrzuVq5/I5FnfiHXamfuPpSI2wfJ88PMba1VfZ IYY51Ts9xOtuRYcnoXFJsELPSU7PH3pyY9zBUbrgZi1qDuEcmlCmYjaK1QZbv30lhnle4SuifIIw 1J6HD1o7q4lJcXZWxdMB6GCt77UDVSlmljY7oUHJpP+ay8nlUh2zQvE7zs7Kdm3tEJMxVBxUnSc/ dZ4EqT7qO1Mk9txaJHh1MmKBX1uPlx9dxnYowEzqTZKNeHIb8fN5hfKplAzE05E2z7cAM/ggZ2Pd cyeMl6EqUUVMWlTdM2memZM6yWtX76rvCJFhxjb/DdEtsJ9Pw/BOOHaYTtSBcwdAQlgag7dE09Wm N/0HVRS+Xnfg7Kw8a7e2aMHTUbJVvmYnYyX129faYbyMln8urm0O8XpbOtS5kELG8nMnRmSoryUl wnGVCDvQc4UClVTm2WVxAuuaUvv8Qc1Da6fQVgffEfEh1ZrozQetnb7LNGfHgaeDXGuvr3gwU8km Xz+OKFxG0QvudO3HhHmGwpxz96IxjmxisEWHOsaOAcwSxJeo3LqaV6OzCEM7wYxWxGpSk81UX95Q l7hU2j31osGxQ+JNLYKClezJBKIGJqJp8ekoEb3hIA4HwNvKulinHUXLXeXl4rJFKqBC/4H2tVST o9Is106ExzB1DNUoOHjxfYJdQ74dyFUT2mY4lGlycaYK3/M4cjS9aaSOYTZawwetnb0k4w1Dezwd FVBvJootqbiwXOnuFAIysmpfmbgvOBNsN3zGeYAq2Cr0GqNjSRgvD5klqEC6rwpq2yAlCdFPlG5G 0+VcV4juCdSgrpQFhMvfNSBFMlc/cYFsn5GUEop6sn1VbXvLk8//BbckUafTMeGKv6eQ+IfjrKJY Xxn2uFmJzODkiY0aNyVE1CN6nyI2rapYOPW52CeamI1m5Oemgms48/WEWlQdFG1E0GMMiuQvGwEP JK4i/ME0aAz87KvK8OfQ5uNBVvH0/4h6AogP0ug+R6F3Ceprhf//7B7XcuthzZPSsU14j62CoP3I S1ueUn091dR2HrbLHASu5FIZ6MKAd/hDBIvpeNds7xpMUWO9kLv+DOcP5TkNq0PGsaBlLT4fBEA3 PGQvPjPxSneKougZTCa4GW+LnvuoFj33aci5Ro1M7bTQ108qD4XdCg4eAcFEOpP7mQtkN1RwTkDJ Qc7uJ8vyUBHifdl/QQuLel3Fe13rrMQpiuPZ2nw8AoeNe5PB9w7QxscrM0URNIqDuMBUfgfPzXC7 FaEXBavHztZlp9h3EAepzS6TqTluq5QevIjjMEUAPVV2LArAnhXYS3guet2wLXvXoGWB1nxf9JXT oI7OpGYTeT2Zj/9F0dZiakAX5ecwCgvPhdUfwpjVcjQe6WStDqsI2ZG5CaRYlll0CuXxfTyr6OQv 0n9iaXVRWKqwa4gmANTmbiA9ed/jSyIIHQs/tIAzO2HcaBteKdLOOFkYHn+fonrFdan8REhEbs+V Fspn0I6i5XLJA81wPrVYuFgLEXacoiianl3WotINKde+rW38AULmsZwIghemaJFMCppIvy3lOaa9 a8SXHCLZ3kH7bO0hFYS59Y4RGfmXJB9+iXyzDam6vh6ztG1s03OxOjygroZwWbU4lT2n2NBEhsa9 +2D7DIyBbsz1tNP55Qj0rQTzDmb1DvYpVAril0ScSfm36UKjb+APmUtntlSgL42PR73BX/Z/nejz Z4ZCzAaC6kztJGWrX44vhvcptM/TDEVCGsVaF2ak8mwzQHN6yiYO31GGYwDFfhuaS2WNp/017V2D +4zKxYvWzRq4zU6sZWtotMnHg21Wf+fzKHbn8lz5YR16EYyZrBqEJozusyl9+A4/11Z9iqJCtJrG Q4aiHGyKznkBbuliITqd/H5JP58WyicbFpbXYD94dX5JVA/i/hKDkoOy1krdXHRDGDiG1iZ3EfGv QKa4n0UUugECr17xY2uGUrEa4qjiM0EYtDUreeTADtCNcaZkRzh44lrOlZFZUpIZ9CTB8kSLVNpG wes6usmeIgd+gK4+1wyY+cDGkAZbrV7vGBxE1zlo8yCCON+6lm6hI2BjZr+T8tRKZ05pash3itoT 1/IusAQUXhIcFInLtlutn7kKqSNRjbpP9KzIElF6pTHsw93Gtc0vCboZioXIf9IgwHOaXYoqJ47n 40Fk/ZRcmesiobuozBybArIZJqhqJRXXHI9pfy4nUmSnNkOhanM1947lgxdd04l30IihumxDM5mI eWQrFDlr5cFlrmTvGoFclJhNGwr/VIpREIWBrhIfj5qpv3SbV/nWJc6BLyBcmZDHLRduLnR2xFE9 UjdxhNWv811oDHjNBpYPtobaie7QDc7SBwW0iWuIPTboVUGWycvSbDbnwBkQu4xls0EYIgfuspNi V8Mf5srHo112C9P1HtX1egOG5oCQv4d7qqHIgK42/YierhJdN52+HLgDQiOUhcWptvx8nDdPRA1K jVg7+9zRg7luYa0N5d3cvuwqdOGH/oCL8qCVUboG1UFqlcMb4I58PGiqrl+mtuwdXLRLDvwBxUg6 a2e64+9O4m9DDn9rn035dXV9zL+6zjNJjy49enTQUT0hQ+c+gzX9Zo4Lfh90AMBIfNyQU7F3jejK Wwns8kEwzVLlFYs6Cx+PZGa/5Y+A8MupPidR7HiC+pA342H8a4vIjAeI6WjZF1EI6qBiX8+ifuWH +kV06hb74izRk7aRt5t4TJPKR7lHx7xMe9koJmKpaJN/wUG91ROMDjXmy+bjwWFdfh1FQKqkr+ss Vtqg9aYUL7GI2M+o1JKoF9iRLfMIjALVSEIstO5wob5oXmDPImrcOKW0z6p0x3+glq4LX7h0fkm0 iNh2Tu0Oqsaeu4b6j4jn+PhfYiTyR6ZF0/XCC6wCEVdnAhH9JKIgFXKzWZrYGGvxCtYM/Vtuoy/1 eNajVkzl3IHfAdtsoWqHSYRpPb11f9jw9lqanDhDt8BOxmgdqheNZh1oKJdZcrYyHw9Sj2uSzHMZ tWqAkXyKwoo1U499GYSqU4Mih2fEIESEbVMUAzzUQCx38Li6QrGim+YGkn321GgHQSkzCqZb1CgT 6dAxsDDSxO3og4ogQPubj8Xg40HqcbW0VGysKBPdRRR6BqKRX3PxSlqu1ckYJIMgrq49m3RCDk0D 17B7rPpwG0LnWAJm02zxLGWtMJoD3enbcFLwshy6Bsq7Hivlx/V5cRBNlHcZExWsocA2EOj4i/Lg udwYc9wbPzQO5G1CQHsW9HdN097YU2LPiwLYWkShc6DAZWOm7sMFUcHdTNZ40F7bU2p1SuMQSiAx U5losWeWQ+tA8Cmhh4hrSQMX0diQhmGFtUw+/ie+lckuStdr3hkK9TeASKl0a+KFj5iYZ/UaTMk6 oB4osmqGwsBaOCpJqHJwoFCBaggZbhDSgnImpiazmwBdDsSKChsL+9j8kiBs7GY0tH3gbbYu8+Ac Tnw8wsJccL00uJDOXahQDv0DE/Ugi5kqQolteSGN6rO0/Bh+EgUGgufrZdqwdZ3tx3K7nSgI1l2s WGNHFGJCUI+FZuWmPto156z2rkHXvqiraOpsZJ4DBDzRsOQ+y3rHiLtylRRYUcPz6/Ekjz0Ei7S1 bvO1WnqW5rawsezt6VlgIoj+v7qv7Q4X1gCxwybQRwP+LSv5wLuh58vAwnrTFB/LsYsgfaoq02gN luJDQgrr4ixSPh7V9H0VMT7AlV/ybU/n0EcQdV+UGIt3760IO8xRkPYo2YuNoZGgkB9vzMI+Ewh5 ROSB6ZgJ+RTTlRNL3yFp0iqyDp0EiV4CBCD7oPxsT/WizsrU49Eqak9+hhoeSN9PChuaCcImGR1G gT5QKe+Wn6Gigy23U3outFjxmVlHJZ7V8Bh25cOyHYyVTBL4BnCfJVsQ6M4vzn3W1YOd9qoBwoGA sy7Ea3fE64RvtQRLznrl40FgnbzY2ERGgBD/JX7n0FBwMAnYM/kiGu7O1IZd+YWcci2iqGItEt1/ uyifvK9TtyTL2esckUv9NdQt0SKsd4ZQ5terBjNE86pKJK8GriGY7bJmBMA6H49AwV4nwlVyDpH9 dQyFkGlapEiIn3lsqlYlojyPMCAUmOr6mCDxkOCzoFMcLggknQ2ADjIXEIykhTGqk06UT09oqEYU OgpWWgnm1ZYPhpApVeionCYfDw6hOztKUrC9cXv6BEVBNXLeWojAEAzEwESwMjRp4zFcUl153/v6 kRaQekLp9oTOLwsTpSYbItCrJMxFyCslm4m5n9af7vaukTK2cHl7++CZmbwR2jnuPqJ3vxeJbu7K 0wgzRjiApig0FgRHErRvu+2rJBFBAB/VnFJ2cfWAHDoLDikbz3WHS9qAh48JD6a6IMlK8Ct6mSfW Az3pdqe7veo/Isb/AjBCqDyzxdLSmHw80n/2tMN01BEtfs1QFFTvwoLxmg5yqMNQeiQaEaVXHHmf A3tBxOasVg8SUTl4GS2BJUyzX6iQbQnFQGIc74bSChv4TdD7bu8aNPDNuTM1H3gKzQpwpDIJveNf mnZiJ1Ke6p5DscVgZgW2mBUabHENBuLZ2jkP5/I1FAKoCUZrdDjT4I3FEwyJ5o+r/uQyFOfPG0TQ Rl4S9qTjrYgvz6HL4JQa68zNB0XVe0n/pp2/mI//L7J/0K0o4xLHcuwziI2bthsH5z4cgD9v75UI Jy2i2DSFtAPh9dqD1+voR5zfeFEKCMq1kw381GiJW9F9Wt42Q3NU7xpEjOx11ERNTQ6coQkzAWZm Nesdg+T15h0MrpmUPWd14DSIOHVzERmWqNCDhAWQWrT1QAKYvoj+LQbdtc36s83QKIMqlElRDJQC KXeMTVyoZ1PyregTvc9viQqN1Oigo5AGdYXg1kQlk5Mo8/G/kleEBnCpgZjjnaIops6SUTIrvUq6 M9N77A+hQBb0q8hdzaHnoFguhF/a4IvorJaEZIMF/ROaSm9dauc4PtlZlITbtMM64h+irwaocL6D 0vvz+4jmslEBCVwHv6vVNLk8u+3rHApr1USr0ImKEBCplKFWjaOMDQ/KxtsSiiAgTfmY2oscfH5K w2ZXw+PESXR9JsAB1Xy2UvdV4VINLXQdlDRrZ+FLA+cHLi1MGMBW4+NBzpF/Az+TieH7FIW1aqhw GyWcEfUsBkejrBqLaM31R3PsO6isXsUNDrecXxc4C5TDKZDiEhNIvSjSZ8uDyF/2rsEm00k9yCfj IFDjibOIcTlJR+fjUdC470lN+sAPBXh8hqKgGvEJtAsX0Z5V+HlUiPCDU0sbmjPDN1kYVEu5VoUi Dn7dozLQoVwhCMj5CKJkBJcDcgziy1Zm7M3eNbjuGSwmloM1KGac59wXew7N6cB58OzRC7XiGiIr sF916Bx6D1a669GLXkxWFHR530NTh9DYDQW8qkUUY0BID9edxsGJC2d+Tla2ed8DeJvEgwb2twK/ lCFN7VHjLvay0UFUBTzfPuggalvqZcgv+Xhw38+b3DN+Qm5/FaJzaECI1nPN+YpypezusPKxpCMP S2y47wMHQuHY6ShcfbBVNEH4Up4K4gscwpLaRvghKY21PXXFCta7RjP0quEBCIYcu88K5ePRPvvG VxeqKj2QxtCEEJGXH9GFkpLLQCC6+QH2Z6GJ+yx0IVQ5f1a67XHw5HVBXYksrnM6bnQWh0ICkNlR HKKarUTGq7/rP4UqCltCHBRW56IZgo0ZHw8us/bLHIPOdk/1I/QhrI05621OV2eWNcFBKkibbnmV AyNCcQSorNR8cBAIFmhWIRZCJ2UIgE0EH/lQCGAUM47m7xpBHFg7m9LShgQdswUIEzUW45E28/mg a9a+Mw+6QH81FgMrQtQVCu3knEGVuqGrz59iuRlcKOw6i70IVWVcQqOtBzq8VoXpB9XdUoW1JY1k idlF3vbTnhJI9lcN8g7peAxCrThwl0ElVn/eGJuP/8WhMhUq1bLuDIWi0YWRUPWYkdE5w+pGyytI VpQbM8Z+hOqaJbE407V3OuHjOfVpRcCGx6asAfB6mChoJ4E0bUe1L6I4qiYGRIQPDqoSNXgx4I9u s/LxaA39Uo0mXu/BgISWhGx65t5NqIuEYVVA6G7MGQLtWv2OwJPQEY1NFZD2VEA6FBTPuUxN9nPb o1tUmZph7QKDPb+2Gd0OcuhL2CSVs0T3WJZ3rHqOaC4o0O35eFSHvdhqvhzhEus5iaLAevCsXjdq ZLgq8YFukMY23Ys5B96ELhM4pdjPwaPGec60JR8jIPKaRLhl1AMUHJJkF6QijzMH5oSurQ3HcR8E +lxFtMWzLhYfjwqxV6KU0ieQZ2mjPEdRqIaXqDPJuJHiJ8l6rw1aT0WUKhQSdVpHKJAqYe0hRNF4 GHmF1Pksa8u5a6E0AqIjAGOB892PFxY9h3PgUeg9IYok2GDl/DolHz7X5uP/E6gR9/+8LaHPa0hU Mi2iILxkfE6Ul20RTbvYzhqeVgJ5DYiKawCvwvR+lZveo+8BPyzWqrG2AI/BUUXlEdLkUNKZhvlE yPgaDhWonzAO6tZ9NUuguYbhrOAk+HkNhgpEShku1mThIuTmhv6H84NEMzOp6DEligzLjC636sb6 EvLWQQwvz+hgZrpkkfEpNtx+KzDoCDsh7dFxO4voii4/ZM5QVLSZMdD568yAd8CCoEywOKiViHPf 0o0TSr/ODOi8yWdG8HSI8OGM5tS8xkAly6en8eZGebrRLhRyXYuV1hMDyUCHh/NrBIRFw6lZglKv B0o9sTDgA74Fz5t5mSME3hVlV5Ve06PW9Rr/FEBimM5XdoE4cNGcw1UaimfptM9r8FNu7Z6Fb/yr VN9JNjOvoQ8WDeEwyfUlM3cf9SWJnyA9ul8D+NeZAaltcErYXuVgi2ZB4/aEzby00gaPUL6ECBWg q42OgeuYsTX2Gvecr8g8ZDDRHMAaqdxPIP6Svrl6/ryGPeWKvF3Gf/1hL5Ez8xry+HZK0pakNkM1 N9Ta2f8pUAlzJaHXgKf4dY5/0QebmQFC4poS6RoT2EXC0bhVoWxGP025ZNBp9PMa7hTx3lBgVdRD bVnUN6AdzGMfMu+f12CnyMP9WTPUg6d1hxw0g5mBygak0qatmdJMsbVIxn8St+BmlsHMTGs0W+J1 Ga1jrU4PwkbLtLVrJzyGokWVDsw0lONNlWii+xrmFNRgWNBYbGNw0BF8NquUJs7K/rwGOUXuTJoZ l6gvefpueo1wcG7jR2tyAWugtfCcAcEOuRZwnRtHqdk//HNm4Jbqg83MTgmciqLqPHsUzEVR84Z/ F+7AGyRTAeY1uinuWTRoPamBAWCDrAN5qSuPz2tsg0Nqpe9zphHKUWxmXuOaYuI46FJpzZwNYOdM 7iY0fpKh7Sq/0QnctZvMt6hcafrz2564ryk4Pmuj65zpKHIX0lHrA5BGwPh5jWmK7LRwX6/kg6oY tQ1RdVBwfo1o+H7ZZuZK/La7m14rhdhNdHpvZd7ucrb8vNMGDO139BRYwXitE3IfM9Dbur33Y2oA pN2qQtef+2RCoQK7CRJA7LCh4fwUCinJFU0Na/GZp7kGLppZZ5ejBXU2g6khFevX5VR42XFqXiuE RRpg2Pfbyl/L4BrIy+XgDUX8ZPoLUUQjdc0hK/Nxpe7ONoMDDG05zs80x5wsR6NnhD4FRQfatUij XchrdRDT7+qayQftpxNQSsqtQo0rvJz8pGFLA72lc1dWj/aiQBgGHZW2QWqX9m4EsSmQFMqnkiUl hS6aHRm9b3IuOHiiAIe0RsPSDHm7WiX7AiuUCswRTOGvOIVI89HCMZ+rdAelm+eHFcJyNz4dbKob C1P2hfxrpAmanSgYptwUiiFmmcvAgZa5SsInqM7LCxZhNLxkAibZ/nVdnM6HQPx4aZEsGAwT9nKW DXr7tIolaaWpLii+QXgYy/9rbh945Jxoidw2BK5AikensZTuLFWYiCK4oAwVGl1UQP5VKnpjelCG t53F2B07a+1+oYfB9GwCeZboGOuhY5wliDZvUiZ+khn86oxu0MgCTw+dPDfD0OxEIXGnY2Vv6Q5c PJD+IVHgHMz7804LwzKg6eZz7EClsXrk984KKzIJOEtm27lTkiHDgciykmlbl8AbRcWdJ+0atPVc zgDAbdUgXmInTIVureSRabWMBKFCRd5Ah7zH3zlhxZTqRpdWWb9aZehKsBGCrsrnnRKGk2fcNBPL h77GT2D8zggrEiOpNZs2BxgB6qwjLrc6ziK4SnWcaHp45OzBA4jDTRqANuzTiCql06OjgCwJYXgC Ln7AxKHbg0oU4cnDu8oEtIUaIBqqFQmsLjTYo+BYwG3fWpnmbGwga3qi8BjyCGehL7cKGd2RPt30 Es/BXL2a/B4fI6dq3FTrDt6R6FBxHox18E4smtBsZUhpbuSHLTf0ou+zs9n360tuD8tpuxVWciQU plY/70ywYnr/z7kM2GYDPMdmJwyRO7vrwwQWbG+hu06NXkpDU/KAddIoRhb4YDUW2Dh4XnXyhXRy p8Jrq509QUDvoOgFYx8o96hMMRnuvNPAGJgJpjq7Dzx5Tkyo3no7Z9znnQXG2OVmVmLwZAOranqi OBkxyIkZ9rCQx5fRCQHbfyN8okBZ8r5TwkocHEcHelOV+hGlungin8lpJFsCNf/laLD0pu/TM3hf Ac3gg8ArZ1XJRefcJJ93ChjecN54kAEOG9zrXuvvwTJuHIStncZfBJ0nc0gjWNtYBd2MZt4ZYHh1 QjNWega/1s/9gplWG6Kc01nGp5JTa7/IO5zVzzv/q5h2xEgUSNDA6YEIo5EIIfEShctrj+/NhXVD 5SjNThQvd6zrCmqOvBmJR4IEziyG9jknTxmm7xIGzAz0FgSvbPDZOUHUuZZI/jrzfS55KS4BI19w l9A60+RdxLGMAmZZvdM53AbVAM8FK53EjWZxFDHrSMb/xT6EtX2GJ4bVAKOAmfjCTOoC7TDSMjuM It1R4mZcs/+d+FUcGbaSlbweatxCf2ZQCiGXc1dVtjnhTIYli5IJyYNGSCl60+BgboLz6FYffquv c6DJ0WCW/HmnffH384hQ3oNn1c4bML+TvhBHYi2WtLPHPNXaxCrmM+ahYCwOnnfO1/mUXVU+lmtu Sc/Bc67vE18QNwdV1iz6ZWU7HbSyXvNDiyt606iyLoHEXH0QFAOgY0aEs83PO+Pr17W1rRSYya/T 7EQFZMTV8ET+5lXy3KnE5KBD3MgFZAH5PV5WqQ45KBlxHG5X5rzzAIIPBdiTF2XuQfLz6yZKMD3+ X1TjeOd7FfNWBLXhDo7DEBocUrCfd7pXuQ5X3nkA2r/tu3jCgFkaAduEpSptiOVHPZYdPFTP4NaK AubEVQPBSR/8Uj8RW8aBo94DoJxk6aA4WzolAjA1wql0toffuV74EsJUIGPqg5XYYUnHoCfz6ShX /05GSe6FsIFNTxQwL1J08jWPy+57CmErA6XOYgSCd54XTx6lWTJ8z1+euZu+31Imw0FUzTMXUT26 YgiD/VJv9qbR3mL5K0nJJdmttVYy87iTqpfPO8sL9+ot8qgHzLB73uZMFDCThlYfEY7dXXVdzT0k o8R/cXqignJXlgBSow1W50mJ+C8yciENM3WztY5tCBkVOgG5IfXSmwYxD+lvhRLlGjQ9AJML6z7X 553h5fG2Yh4ieLivCZDh9LxHzDh7qHNTHfRdTLf2pADbqmAjOYDnnd+F2EBJetfq6V+r5+wINLW5 eiA0kWQfh/ZhhfkT2v2eUDDmeed3FffDaMV0yawVAbvsIdX089mfd3oXO7s33WKuPFkIG14/fad3 FemrVgpai1lBM1riCJu5G4B7YtqI7+wuhrOYn81AWIMtH5Tzy6zi4p7seAuTORsC/AYjxVKfhGvr TYP5kbgND1QNurkADWJM2DA/7yEz2u3e38P/6yyq7Ts7YXm5KEVfdvZ0b/Chu81/2ik1b32+R8yu u76kL87BZgd+yKmyyo2FmQgtAKQIR2NTb+BBfw29aRAT8l7vjRIuHBgTdiihqL6c+YbB6pnf9zq1 MClwp9mJImZa5sKowo6eUe3oqcv31qT/L9fOe8SMuJtrZ+KH1+BHD9LqSa+GMz20AzMkJoH/y6Ie ncwIzT7vxK67t7q0NTlwdhqqg6It5fV553Uxb/K1w3+Z2o4U5FVzOAyZ6c3YjGWCbqibgCXv2tTt ihvvrC6ezDxzFqeHg01P6fmsE0o85hMg9qYIAHKtP1TAL3M9oi1Fbxp0zll6751bi4OwlSfIVxH1 bLjPO6mLIbM3bmSR1n9287XzzugqJgKQt5Xdobph5oNtGrdr0ehPrfMYcHF+8RN0AHChwSZn1z7B ckhE6qycMlVbN+r86GmAYtKHMAVV7xlMDTdUGn36wFMnoYLNMk/HjxfFy+bhdANCvM6toL5zuYoQ ZnCBtYXTCGvE3Gyqk57/zRqZ2FfvVC7CdHQY29H8yGqdlQhtrc59dXLSXWX/yRy5oeoxrxcGL8nP O5GLuRbjQf7eGrRwTmy5RA/ofMP/5UwmO6DeLvE7jQuzA9Wx3IcXeWRWiSLPNhbuqpSU5+y8h8uO yN2NgrUc/EyGdWqH8h9uLJylRb6fAMtsdN1QJzCNUX/TKJngttrE6vRFm98fGqlkoaZmyuXzTuLC 5KZbYFY1Wg15n58oXkaL5qQpfTtk2Qw+h+WnhF44Yfudw4XfhoX3TcS6Bj92JkS0KA+X01k9MERS SN1+IMnSr+MpE9F3+lZxK4wKLT0bLNPqjdVsKHV83tlb5VphoJP2wwwGzlQ+M++hMjrMpLIPd+Cp yfUQulvHVRJcOTNRbTkNwVFI5OfgSKYzvwVNPu4r7gdKkwykUzicoe3rXS0Krr8zt3AnAhB6YktW zpI3FoHu2HIjOLH95524xYXznYayuEO/Vc1OFCmr/rWGGMdojjqzjQ1aSiFQCJSzE9aWlUEsFo7n uuZf4Hr0Jk8hpqEzyeuBmkeVzDL8k3H/+tarRgUwXlQEEGlQTzRJ8xyfVz/vvK1iMnecDR5RBEUN z9LfWVsIdkBTSGtaGpq2BYCDThHmtJwMf/tO2rql96k4b2ayX6acluFC2IWdPOfMIuOILeMfcCrO p9EbTVk6qZHvpK0ijmKGaBVjQerid6USszAYxLd93klbJbuE+G2KonA77/yEoTIoUyfatxJYrsWU jTuJh6i9dxDdNT9hcZkiK0Af+3CjHSgDEjydUXrvY8hruTC0OufOfFwrGQy+M7busVyZPKr3zHtr n7B38qRFL/HzzthiIvp99IBAlffNJN75WpzUzPzBGOxytGG8Q/0WxDuzVaPWvtO18OpZKURdPngN rKfWCo3bMldS4/HUULxAUA3D27t6UGr5vJO1WOnlvKgEz0EHM2oj1m3Yn3euFt6wjO87HVsNbmOG FoxCZfPCMLg25mkIfTvoNS8FBEQlKIG9M7We2rtQxxz87AHXb0EIApsroXugLB0NKHj/QMDE7nTK p33eiVqE0JI7AlQhh85NAqXtxqMD7OPPO0+rXAaJVZdp1E0ZYs1OCMeo1BghwAsnc+3sf2LtoO6M +ukE4LJxdqJYeSqR0J/Owe+tQYULGutBObOrfkq1K+h0Kc8yJA+YUp93ktaztUxIdFk8vuY2kz3U Fz7vHC1WEe7Bw6wD5xCtRjU9YbiMhn8Z12xZ/pWg19A4mw4YuVt5+Z2ihU/hqpkkoGnwlnGG8nWm ENQJFBag7TiZAaOt+LM2lYyl2oeX+LwztIrL9Ar5okEljEmWDqUM1uedn2WonOfgISqN1iWanfdw GUSBRd6IcfqRG2l2mmYMiVZyK+p3dhazUAV5a/lwcWAnst0MSzPs9FqTvCjOmgJhImKkTaMXlYLP OzuLoFGrXUwfBFY5S5Uyz/VM/eednPULCNbdtDLvi21/52YxGFisLt/FMw1vMKpVnNeiABanJ6wu s6S+1aLg4PXBE540dP5IXmsn0FhFXWW8q2m/WiZKw+3POzOLmSiRuBRI1SDAwTyHD7/tHAKfd2IW ll7yJJ0tH8p+oSdr0xNVl0FprVWBMndZMVmRJavGiaNv2rX1zstiosemxMrFBy/xdGSKoCqixIOO cVbceKZin1jotm2orf9552SxgCEsLgOfcSVVE3jebNv0PT7vlCzPYh3JQ8DDD4i9PjchGGNQ6bE4 wLKbwAFIKrfpdzHuYcDMnQWbch9uOtFOIJ+qqKET/41HE2t1EHWjOYV2FvOXzzsfq0i0FTEud9bw nTXOGnIMYeHTUcP4G8lD9geg2jY7UbxMF5/cjGkN4dPhDIC9PU0fVnh/p2IVtzvdpU0fvJ2ezpF+ AuYm+y+Q+Nlxp414SoSD3mOn60WDGkYWX0TkiGp1khNyn+nhcjhr9PPOw8KfmT1Jp7MVtZ/2nZww WN5PabCSpO45em+GIKzNHYlaiFuuqgmqObGeYBlcCSyUokP5zA0XGTbtj+zkV3owcvamwY0uUnFh wZwDl05SLoi/blU8HUSDlzrCpUMQxnOhh7EyMh3z0OvAy2YTyBjLMnYpCGt2orpyqkJ1M2SebqRE fCUA711+0wu4bV79nfQsSBlANtXrX6hF4DuCK4tExyF50OHyoLMUM246ISefDrrppX9Fg+ytP/3Q HsbKIDlC0kvHzglQ7NjJzQxQF3ATRiB5j5VxJBfWQ/mnc/D617nTT3QrNkDqcJoUY5R88Emxhovi 8TcN+qGDN7ksdrpb7JytO4tckk+YgKcjbG7+tXbwQvc+71GsDBUeqMkn21nbGqNDOv/0tRjujdIj JMZg+WIJosNBs7MgV3UO40KsQYER75aZLjOvc97Qu8/Y6MTm9qiyLLvBKrkwDpydc9XVYl6wC0// xT0ivHLg7/Ibq4eRMoEY1bzc4fjrSSjFJwkfBDmdkXKPCssmdjmewWEqA44iYFMgR8c5T4Z8oVlf Y12sP/xYonh6FCobAoyoDmgaoA+GuGVX05RH0oLHAzrf9FiZ9TLJJ+XbTe8xo4/Vo7RMm5i9fJl8 IbUhOrcB1Yl4p0fRsmSdsNB98Gj5XNStSpq4Veg+EZaQYbRMPzyawF41g6lXjdYPa+/SPOLAsweC 63IOOsEang5O5uSXutRAK+U3fXoiWh/FQAkSqQx5WA9nyFPo0AAkD6ovzER7GC1rd+lgWV+GH5Ad SaDciaMFrTNJPeCCQeLS+9V66LXoTaOOH/kSU/ZeuOSwTM8H1cn2Vj8HPJ4OZmd831vIS6VxqtmJ gmVoPZ5F1kV1RLBsPa1RlpXATmxqtIkecvuEOx1t+GDbK520C6I+Q3YoKVE2jcZEP2dNTpDzLoKQ Tow9Ai9PNhWqLIU5KBHNwvWhPtXxdLS56lfMI2bKxcj1uL5MdRDKyRCJgQMG+MqWWHPenJ1isxOH y0oQSLw3qLXWDsLJkbS1KH7Bk7ng52iAoIIPctuhS28a7KzEGg8dOTXgOyh7IEmnPiae/os1QbFm 4GSIl9LsvIfLSCYmocuN8lbnnyiKDloAen6NNR70/LSzonB5b4Fyyx28ApZOsAmcHNcOkP/yEsYN rl4ibnY7mbEc8B3/qoAVNsU1CMbTjUEBuASeDtL09isPRZuqEJGs6Ymgy8iXqykOo/0nRTD0cakG 8h+q1uOP57UeATGsiiGNmSXpjsqWaN1Q4pG65YnfKY1KCiS0pIluardAiKIhviMCgTGdyM+ghvF5 u8Ef/5yeeDpYPNPBuSJnZSPS2fSEEXMn/gIXBhGWTA9ZIaRRM6cHaDldW1F1eSW1tLR66rN6zqW+ oKbH6aknFe0CgbHFDKgB0sg7PV1vGnFuvnui24WuKvCx/IvPGYCno9VTvk6eTCkcMltELI5CZqx7 sNhcCYy6ppapGy+g0SePzOKovKwC6pZ9EAfP1E+ONaGWyirGRqmaMwjuCmoLhOhZ/ZSmFSOKmFkf PtfVWD5YY4v0ApbAMp4OIuZ+WRO81LluhkeFIwqZZdQtMgCWEdEgPJgrBWNpezKMcjPi8rJ4xeIi 1Cu2N+EV34ZEU+h5NtlQP99aYM0CGM960q2tN43IfpierGQ032R07l7FST8xAp6OesY3ZOarIdyZ F40xQvQywr1GTb7/0MfS4bnwReSUbeK9NT1ReZmGSTDqEWCFAejg9PQTJys0xU4fvUopgxR6Yr+W N27wJgNfEeArxbMg9wvD/JFHd4fCN0Ke8x88HbUmvqmQ6MVm4lk1OSEYAydZJuBPagbLUnWImhrG iUVjTk4ULxtURRnFHorvCeNBx3JQxxT2Zg3irkJg4tim4MJFD56tM/AVUWeivNG1zuyLFXBSuIan /9Iz4O/G1uM9lUcULXdyJuoVHM4uOAzLH6MjMejmsRNFy4oHl3wEOXjx9GRtcBRgtNxPYLWXcmrg DGmWmCivZ9jcqleNDh6ex1XciYoYk63pwcoa89KS8Xi0eH7d6vSRZuitCYoCZmiBQt3Tsq0sI+UM qApyK/QmCuElnKAoYJa0zBTKksNt+yEHJyQSllQpyUavQzelyC/nhoTAZvBNg71lqFOCczkYDKwJ 2g03OTz9F1qF5zL2Qbu19xFCl4E4qBRP+k828KkISVVS5wCfVstFRwRdLswwYZ7ggy0fJKLYYSyD 7QZwCUPryppWpl3vFd4hsnuEihjs3Exx/jjoUoc2mUzK+sDTUcxzTx6Gf0R1NI+YR1RgbsxFwb3m 9NAoWIuH70GjYIliYPFEEfNkPrGS+FrWSWHb7+S2uy9pzMDKfXPBNIBH2YuFXKJT+LHZ8B1B+X0J HqcK83bXwAnAHM+ek9bh6f9Jfoemk0/IEwfMjAiHycUVyWFA6Ws3h2PQG5qLJw6Y1a2S3Ex/fE0g lQVMpQT1EgJESe/h9VaieOWdHsoa40uCiJmOFIXRy6CLNg+MvQBu3Txv98LjQa7+qz1B9MK8bdER RszUqdAlRRfTbrd6L7zpM9QxePSUjk+JqqjC8Wiavlgli2n5ZkJRB6ATnfIYMCgvg9Ks6UocAPTC V436otTHaFLTa6amh/RlNFG2UsbT/0spA/QHiihremZYZEaJB44FZwL4T6x+QZCxga9ImXOc2w3T M6OIeVFiB/KfPniyfu5ydNWNdNOa/JMHZL8z9D3pWmqlDKqczxCQwX5o3RSq5mAn85D+zMl8G54O Zqd5CZ5Tye2+numJQuZK2ZkJKAULqWy1yCnw/xj7ziRpcuTY/3WWtjFocf+LPbh7BLI+vozuJc2I 4e5kVxYKIoSL2jApwDyce6dRkCesMqtl1SgXt9qDXj7HTVpV+tTnQC6Qd8PuIh0B10Avt46KhjI/ 49cavATNujsTzY3yI3/8Nvn0X4wtxgDoTbcLlZtRxIwqyVmn0/o3tSU7ezqBaxRXScMAGTPm+ymh kKDTl6fSgqcijBwUFEIonJ6U1Fhp56xF09H1MagIO+MqM2vwbUwfWOlpu5pQZZ98+s/ZIT4BNSkq iWl6opgZrXi0/NKPC/M4JUlEE/SNaR3BxRPXmDU9bfrgyfo88UkijQgVDcwUBWMpoNzJVLo07IFO Az4jmB7Bu9usPlippw5pHLRV8PRfOoyy7ASO8OowzihoBhgdmOJslJvpUrCSLAEhCfhulQlnKB2n 1ujs2Qdv/u2azv8dXDxnJU3ocNJRKEmJC4ezlwkRLOEzgq2VBNJVp2J3lzgAzIMKymhvzShkbjff 0jYEXau5wsEM8RjULVqGOD2zUwzrdGJCdXVOQEesU+dfCSg3S+po0lgp10xpwHvznAyis2V4LbBi 1uGowa3FTNQSrrn1psG5LB7bbMsHlTIg+8fZmWPg6Sgm/G7+IcbOhORrdiJExkj/IjJqWZaNjmUJ F5z87OCJSszySV5dJPX+kNRPyLzOhMji9szVoHZcGYzvQBMgjNEqGf6mvx3LM9NwioMAK2fqdaef SxFP/wUjzJJ1Om/Un3M5ipihZIvuTbJkfWxj0lb2YGQ8geXOgyfUkZO2ytIaWuumWx2GkmmJr1WB 3CQX8iQUgDmeqGpdMhv7+PiI3+A8jaY+GpRPnJRSJPVzf+DpYO1cgK6k85HhEPSg2YkwGWhIIoso Xsowq+SzeMw44GTrzvWbUcQ8hNDdYgfs/UTM5y/uWre0gxFRVaZbrCN05A6E88ynDDajgLlx1WSy ejWoljFG17c7JzyejuA8Xgaj4wSmul/9hxly/Rph+tmUg8/1amS2naaAPTsV723NUB2DlxaiGx88 IJybwEoZ/85ziymyhnC8yAvf0ipFb/pbAX5W7bDqiydBRlrEklzwdIRYGf9kE9C8qb6zVhQuoxQF QcBk6hhsv+FYNp0MFDJQvePsrAiTwfgKus/FBz+W4ZXUDN0N4p+0lzuSnUIcQb+YDGJe8RkRgx9Z BPyafeDsTIA6GS6f0x9PR1Q/TyZYyNhEKfiltcICMxlba27LRVmikQ/pnHYs12F1sBVGy9KvbOpO tO/e1slxVmEdLJ+sfA95bO7FMg+IkLfMw5fAZ/ymJoe+tg9KJobazecv7Iqn/5K80uygzFM8HFyh vDLw0CVlO5ULs0iCMtTPASijO0B3xaCMrqaNRD7FamVzAgwZkrF4pdcuhk4nCBl+txAT8ksLvVJ8 RlQEy5wWlt+ra8fPczTIPqFSw/J/iJZ/jO03b5VnRcEybH4ora88HYJvlqczhqOke787K4Qva+3M codbIjyR8hxyjzz3l6k/DFAwCzm++wsL1vSmvwo1khKgQbNTaaiMvmjeeDpKRL/L78Rj1Ef9NJRZ RlGtUP+JNbDNEiHEHyDykaVa3s0FaMVcP3Hy9/DBA56B0j4LUNCdAUdAgldIuBac//pDukGcjs8I amDSxqBRjQburHYWz9SVnjKe/rO+jO+AciOQoTY7UbCccKOKpEm+38x2Z7GTbsSAYXCnFUpjiBhQ WEDlYLODAt7ZToM1nkUGCJYXSKM/Av5CbOdCDrZeNYKrMFrW6cyBieiJ5amzhrh74OlIvPzGg+yL yl7HmzcrCpfBzsOGMux7ZXGY8WAf+s/O6knGwV5huEwoxkm0lw+eiOa5m1ZxhuTe+RfZNYbZFvga Pzg1DKO72RddoTZGl2qR8CqNNQMwtk5cx1Srp9TwdHRreUAofDc+JtU7PVG4XDaxYCgesP6eCXZq J9BCNaxSl4ceGLy2QgQzC3+zSSO2PRqxWBPwnSEpaaQ5G4/ws3LAwGaOlS4fkvX3FcXLyiaK0qTS q1O2zmdkwd8z3zA4mB9llR8BLQsVIDQ7IYR50rS2+uLpw3Qsxza47k4Um+LsxAXm6kGwDffoSbVv /kowx+hEOUF6GTHHyJLguyVCWmevCMOse6tXlgi768hDKX0k4XlWxdNBV/2inbgFaOOzr/ryigl/ lFPZHvR0M68913rywwczpfn5XX95bEk+76cINlCdbay0Z4imT9ZlC50uGjpMG7xyC3rG0Jv+ShdN 5Jdz4PRsOErwWt6ZT0erx4/m5jWwdWtgOwqYB2mbk6GO9PCtBtZwnppSIy94iFOHhD8JWdrt7jYh QKKmuc+1lQXxTmeVcRd2xJuEyUAOwmeH9eUdBcyM4EBQrz7wZKbLYlOmzqeDGlhdN9nCd0gI1T0V 3e8BM55q11QcF1dPzbm0W0XVNViH5ezE4hjMQZNglunCLE8gvaSKwWv95KGyP2wwnYRywE/bV5SH ZDp8RlDlQW7UqSBhA9fOhE2srOga3zA4mG+mTnt2FNJoBKLZifl+KC9Pl8forPOTk6Sa6qR/g8nO 7DhgFghVaIv2iL4j2j9fuxuNH1IfDAkBMMhwrwDWxLvGhOjuKGCuLA1OOuJoYKK+Tz4niPdJe/H0 X3w/kpLR98seEe4oXqZWYm6Wk5/b3WvvmaaC3FjkLHNyQkCG8Yxtf+3bNIarTS2bYHxcZ3Mn0/ic P9RwAS3ReTesYuwoXjadRhlM9GswkSEKyIilp42n/1IilPkqslCsX5ueGMCMIk9d5heQiTUh8Wa6 Gz2OO5ueWEyOIU8TXq6lp8hDu216wmUkAVtH00BeicDwZ181MKo94yOCbEKGkJRI0aAqxuZUK+/G 0wHgYF+oE4Nj4D7yrZ/uWEuORnXpnsqWlI7CdIa0JCa5nJ0Qj9ENZbl8eMoYUDqglhxudQAs2VdG +QmTth4nv2QvGgQ8WTgMzg4Hzg71EhTEpIWnI1WeG/DIKHqiZHZnJ8JjQGWg1m3pOdI6Y0+ctStZ 1J1ms3B5h1JyS9jckXzwO6uVs/Ykfw8nwylFLPFhASOEnXFdXYarTW/6G1X0pPjVB07PAv2A3OHU Cp6Okq1v2Xegt6DJ4rMT6mMg3si1WoEw1+rayy5Xfb5dtyLPDuEYxt3XnfWIFiGSBRFvqQSWYLrE 2enMPk6SQhQjF0+hWg0+IwgHSZxoOn84aPHURa8g+DoOPB3kWo8qD5Mugu5ulWeH1eXE4MgafJWK GuQldZ3QNCrZZrW6w3B5s/Y+1aGYVwN1lhP+lcb5PbfEQDuLBw+JmkCrrFvkYU0aHxEcPMyxWueD HJRL1NbNq3fx6SgTfcoYmUQ6YEF9cqJYuVG3mzQrTs4qippP0jjNqXfC+1xrJwJjCFe6KJaiwU/l DrQo2/qollcLiQbBEDjZYLp63Tia3jSKBomTI0VYgx88bUn9bFY8HcU73zBCeUAWh/LkFAXLSAxr ZUeXDqtMqZlqoQ1LO+zd6E1Hs5IwWiZPFAZ9Pmh+gD/FLUbbYmDC+qQ4FnVhG7qDKCt5PMhLHR/y PkE83enXqgrqFJD9xPJ98BxC0ZrPR0jLW+mRMxmqVwQz2iSFgnJkqg8SKAjJqCKVjIacW4bYKMRR QkRs+PeEi2GZ0IEcPGjeC8ZjhdWeBn8RRo4l0eQZwXhD2GMUirTtZSPcAbuiMqDnYLiDXTlJJ7hv fDwirN+U9IdmiD/02/ApCn1LWC2sloieybpqlgQVidx2nXpTCGWWz/PId/B9Bhiha/T0WXgxQ6Z6 skq5Tviyroh3zfauEeiJM0Rv5UFOGUlpUCKjMQNIWYvPBxHQ5WWzq0FzkMvLzikKn4eEaKpn7dNE UHEfV4PN3aoG9a+D9JbLRzJG80vGKMF0djOyP6toIN3FaVVAi6lclbI7VIgou9MURdBdSMkk75tk 3jcLxTSJFuTU+HjU6trfQSI7btAE8TmKYmiwmU52ivSRp/V0d6BGhV9iw1o2veqcoqozuTXIvO7w gBDYAtRxBN8q9nNoRowWMP78rRu2Ze8aYeKp25hpJMpBU7Ry4/1wcrLMx4MsI3scTZgYXMfbusBv qjG+T1ElYJfGY2RzYZJJI50Mn8+FtukXSy+BFJaeabu1mqwh25c15PlD561F8KdQKnP9RiVvyPfM eUNpOrvyQyIAnSrz1EbloJ4X0DASpTl5GB5/n6J6xeaovV1xdeZbmxey4f0wAnmd6gPUpWneMqVk O3E+rbooPHESwUlq7lLbB7/U4LGT0iAMqkMBlAl/k2AY4IX9dpTnmPauv8VEfXCmOHCKwBSTbPnc eseIL3lz1R9jBOYvi7JQQSOT6eZE/yzxMLZN87ZUnmbvOotiybl3ujbq8fsEy7zR0Iqbk+QKiGmg SEYugR1FhWAIfkiQccjxeQqjOrNsic8BVmRP0c8zfDzqDV6FXdl7AH1+g2pFAq/RBpZ4puuXpqh5 0lH9uIbFlU9RJKRRlM+bj0p5MlYQLOCALSuu3afcEzvEy0/cTnLg0wCb9q7BjUYfkg2LDhsYOp70 TLajJ0mffDzYZ7Xfo4iQ8R85b9gMxWXoBgWNnk1LI3crQ8vGE7iNkjylJwcubKGgWiVS8v5SqTmL BnVidjFAvmhNeRmyqxPNCMlrqB+ECvyQoCIkWwHqp2hQ2rrBtsOyGAwdQ2OTayApaBfN59c9iUIv QCw+NGdtilJtZopdq1VgV6EvBKcoMAO8vjj/n2bEWLgiAejlSQQHVQXiVVAMVHWun5vsKXLgBojT FhEj4Ag+qKK4s6aIMt85tAMkjeXrJALN54mtQz9AgC3AEKxMVP0kOslrze7m23oyl80cGAKiNomp 2aVPH2wRbaDeBgQuUVU852rOPNWldgueR32429vfNehmSL5wjOqDAC4nFRaL7exDPh6E1vkfxRoi AC5VJ8eWgEVSuze9b2Z612ax9L4DyG4zFGo2C1s3d/LBt1lhT6MI85xg0kVQb6NG3rlzW3mAmcvf 9bd+D9UJOIgnOE9U1SjR0lH/yIEroIlJfVGU6d6Z7nUW+AJClbbeHjONKoq1fMayK25tdjC1zcLQ WiRBteP3047HqjkRUjWdvjNDXUbitMA8++MHlHovTbPdnANnQGwz7S/ycDlom5XVlvFwKx//a5ut e1a3e1aH1oC4E05y36zAWIfjFfpMhgSaUK9QfhZ4AyavgzRpAbT90LgBZs3EUqDcCl8hdpwB0IR5 BVLY8rgxNHvZoCWvzEyGORxUCYHnIKdoI24L7QHLFY2XDgCqyTs/R1Gk4Uzj1LKyrE3gzaCuBtxg dDwtbRNttNjvRMDwVnzwQhpLRbvIagmKwrrxmYWdvOEH/78fRanYu/6GJmPyagNXEfysRVU/Bykf j/o//zgoIltL9TmKQtpgpgleaRZYb3dQBA1bgXXfLsSbQ5PAZW4CbfjgnXm4zeTF7mED44vU5pPX LtIaELn1L6WNaS8bFULkv16zDyyETOA6pA9RNh+PTuvvLB+KIiWtZ6OFYhtoDVTcyEJ2kE93lhMk u4chO8CdVe4RGAW6c8XKhP1wuO3ncbYdJXbQSoRBIHMPKNvQTYRJCG98Wu/pXaNFxKlprfigsLHL JBN/Ro8Hi4hyBlxEtF3crG/nO0VhZI1sprjzAHROLT0j4oaKEjytbYpCOLSMozVTs996PqiPg0gy oV8GeHdYRXvQUZdy4BfTOmXFGdoF0h8bU34H5mfw2VYx7ASmfDzIPqansFwwAng8cWMMiV4sa+mQ roW/DUlgc5kl3kStxKbod4jHaoJRtS9FG1TTepfdJP6xsbI9IDBTUK1l8mFxo0ykQ8vAwnCoKW5s HjcugPaLEEJl8PG/kg/GjYh0x4XA5NA0sNMBpS7vCTX3ukWSZYSD7dDWHLoGiuAN1pEPDoI5IT+d 8qhLgkiRjLoOcHoh++BxnBTCLIe2gVP3mcioLTVFRXtlFmog7Yg1FPgG/l+1uoI7FjGHz1AoV7cJ 26QgXecaylZLuzzLAQEBraHQORByfZya6YPP0EZin81/acO9lEAYEqNLJ4zTC0Wr+bv+cp31DVUD G7iGgDlW0fokgHw8Ugb/1k1njxwQd5+hCO0B2EJtUgbg0TCtlLbJoGICy6KTZiiMrIdVq5cPNkNn U6Fx1qq0bdaYrBNVSs6h54JYUWFjed41KqWZ52T2gbfZeVMJHsJUgY9HkbXvMvawsVQpNm+fGgbW pPWAosViY7rnUCUMgIYfAITbDIUY6aGm4ryDn0OJVq6Ut6nQnBBQkZ3whhoELvnrzVntXYPGvYqN nQ3qRhkPrCGYFJBdeG4BveNfUrQ8sccShN1nKLREwaIsNfWbnRnqI22iiDBDpXnQGHgI4uWNEVZ9 8JCoAUI45ahzgqKOAj9UIZG/MqaGApA1p6k9lmMTQaIUpTejwYAfCEJ/aNub+XhU1F9fERHRkvlq s+XQRbANCdKm2703K6+yjca7ar59j9BGcKl9n2fzwfPXk4dA3Ngy/JYWC0qd25LqOfQckiKtwurQ R1C+FvLs0GDJWa8yxclLj0dr6J7UDOyo+pqeozqKqxvKRE08DcA/5Ga/GM/LC3fn6uy5HFgJ+nW/ ecxq8ClC+ZKCbE6fE3IYBWAE2qhqmRAQ1Tr5GRHCgZWlRs2b4di28yOgV8A1tAYfD6LqNL9Oaop7 rCceCq0EUQSoWagYrqErd8OaDddQGncNhfVqKXJMxUPzxkNjIDuDBD8ZdOh9m1seOAUQz6x3goBf 06sGEySpebpNaVBmBoFSseJS5ePBZf+Pz+s5Qna9mWvoJYhA69xePJzrVWtDiYiePoYAKX4IhQ4p PFo2kxcNtn7QGsMZR4QMdlshbpGgSGyzpyM0lr9pcI1Rr6QmKdMmm50TRRTdm+V8Gh8PjqD5LdIG XFDJ9bnoo3A6ocVU9i2hsUMsEAj5lwCBZJdTz4Gd4CMQmbcPvnzG+dv4CoIugjZDMgv7nj0zXf2h yw+6093eNUKUMxvr0g3ozjFsJ76a0nKumY9H9aFHDwhrFznzvDlZDQvVWOB1sbqRTBpI5G+4eTAU Sm14Zl9DHIgQaEI6cPBrbLcTghAtj4bQ+TrkS8HCAMCWE7fvpzXd7VWjWFF8lrR80C02J0EHKD3P j4Aw79H0t+YN0/NyhexyaCrInmKlNajMTGs3gMMaSl9P0jp9CQWugtmqsJvkTw1eyYdRRx9Uvcl9 Qh2LRzRPIMT8at43ge67vWvQvB9iI7B8yoFH0ASKYimF0DtGCE+/xWS0xwX5NBV/8RYkwCG7Ngd1 NXiLrdQNAwJIlK2hED0tvRYakGvwpuI6S6dWSZeczVAaq/0ZmqqtohgBfWgHWw1/2SAnc1GX5oPi 6ZELQ4uz2Acfj2S3HOCgUhLxDRcDEhoMwgexkl6ipqJYCoPSQNnpqstbZr84DDJbH9xtHJ577KQs zGiAkgQ1nHpywEzVE0bCP9VbZrRf4odEdF7G03lVH1Q/OxcS93WrWe8YNe/vKsKIc79cRcQcWwyi wFf2Y2bVZO0O9LPg5nB1zr6IYh1odnkqrQY5eE4GvZcTIjJahJpGoTxXRWxcWY8DAdq5UTRDy4HR 4NnNnRLig9ZEHNRVBNtV+sV78PG/COH4l6FHTniQT1EUULPaVGiEhJZZIU2GaStoZQTJbApg87YP zQZtipqUFNq+txlu+5OxEd5QBjxYeGhVlItggfQjyfT0KCjlwG0wUfkAf21lH5jYwyhUpvcbpY/A bvC7Ti2Fdlj23PmJomlcAzjpXDhyuu90ZSNTUvT9LqEI/tGERQOUyQabn36S1rPbpZ+bTrC+OJMn A8lokk5Tt2tfxbPQblDiiIN6Nho4P7APmzpZeAyFeOp8JQVYyKdX0niO6rBKTduvmopXz5pXz4q1 8xfqxz5Fv1sOomTvg7eDEjSaUs+WkxX2OM4UoTpO5+lcHjz+sncN6vhZllZcQhx4Dp1sZqkblFfn 41HI+H0OkRvOw9tmKARVd7LIWL2HO+MmsaUC/sGq4qagiSPRYtNBoaplA7LG44UBjZuzeYwotXF2 N9UXN4SKkQ/eGvXuzd71N7BelgVYzsYjo6m1SIgFfenQdfDBM3INkbbcv/ZZWKQmDREYr8pWh9Rr ERKdRfvTzmSdbK8nQ6KFxoMGIpL1GQe/7/cCjVLmcRvxUZE4KmShIMR1Qph2o8Zd7GWjsJo1WBb2 NOgg2o30afzCk48H9/2VuuPVjjb3vH65OTYf3FKurXbfZ3euLDTJIydoVc9bA/dBhA1sTFdJnNQ7 RSeQhrC9kREznGHMkgalGpZKCCHu2mfD3vW3xGMQAa5Bq+j8uwQLnPRg8fFIDPAfkV8Uucu1csqh AeGQ5XJVrQxcVpkvnxScmT2Q/iRfcZ+FDoTSXd+JcE4OXmEs5+snaVScPdfp0YCQgNHapOidp2Z8 C35IgPhcRJ7Lt6hqbyGuPotcpZ3aCh8PLrM2vy6zTMH8JzULPQhx3pxLvniFsbVqyX3lDJ011Nkx 1xqKSYlSShQebe2nBgur6CnX5bwrVJa4ExF5ZQJnEMAoZqRUOD8kwupRN6ixWJ2Z9eJrLZidMNcf J0Tn85EI+/XPJZmIxkAPtjpwIoSDLhOOmS074/7GfXZCF/XQQGPwK/8XK0LmrUPwhvHAG07uBE6M VtGJY0svSlIwE6jbtacCkre9atQMEs2DhHoO3GYVEBP5L4/Nx4Mbf1wkmlBE9Yc1Z5+hCFlt1ua0 di/050kmKzmYtZL327fdZ7Eb4TAw7PDBg6KzgE4Mvcy9KJtDTWfpHxaUUEiys9pXURRWy6CnV3mc Vw+rC9J9aQPOysejRfRvvwxYvat3kkM/QtAj0EFPN2y8FIZuFt6UZVevIzAkdD2Y0djq4eCZBwhA fUvxZJ+lJh90Vu3hzok1cPcZnQ5yaEqIdi2CIUEclvdcG1QTeFZvFBlDV8J1u0E8iRCmMem3GYoD a5w/XGOMGlVQg+7AdN2BjpKy7bKYpigdM1Ybl8uqsufKmEjQ87pPmEagSEPVHpzIHxCzXYsq+bv+ pqrdKtEJHLiGNvTdk5bF4uORMsM/MRG4au2h4uXQmpCK/jULJQQgyNaGG72pGY0aCGUCeVhH8A/q rIMLPX2ww/qsmdQSnTdwnXEPYidCDDsT9r8fGyzaDefAnVDWmUDpEWbFQbXYkwuKxDLX5uP/E5xR lXLfZp/XiKhmMkNOXO1czjRdu6I7aPhkC94Neo2HKjjkvO3/P7sMGIucy5gtQPQIzm3WlKGgIEet FiQ+09CeiBhfo6EKqTcJa4tC7vUhAIbZywYzCg+/TE195EnzEwst1hj5gwQzg0bRuVjIc9mUXtK5 DKaSxIAXZCLthA5mBjJb2FQsHnLwEj6EhDLNr0HEg5QZu/W0WwGYAkVFmxmDm7/ODBoci3d86j6o R9ZaWpZtnEj6dWbMWYwTobsO6ntYupyZ1wioAgwFoDmJwv/x9Lcy9TkgirIyIH4NyfAa/2DNkBW9 p0LpeW8uiCkDxW5aQsgp5tU7yyicqfKaXMUM7/m+Zgg8GGerNx+4Zmahjy0didrnNfSplqDcNSNR 5ZxtZl7jniqMm+yuTFhyGyDP+mIUlhyGgnmNeqqO9TMliyENB5uZASRGz/Lm2diWLAQNsscHsWZX hKqzLfYa9FQ3n5mF1uaIyX/YC5sncm0Ut+2r589rzFPz1RnX1KCUQEluzsxrvIPdhMvvhCWuxlA9 aq6T+CAiF1zJ4zXawW9CpvPe8jnYV39qQtfk3OWFEjknL4KDAo9h3NjntvnBhWH2GGR+f15jHZwz 2RLS7gOrGzgImJcC2Pd5jXSqXFo1MVwgNC0Rrv184mucUyFvQZx9No0T4C6s9gNdH1ZYa81uYxnM jBkWJcI1OPiagcbDOWZQSEArfi5yF9oWnaZCe98uqJLonvsa4+AXVDkDcss26AZHE4Df7WSIn9cI B0um3iXDUwW1k7z8CH4NcHBuo5Zxwo0mQFATMbzAklqoVsi/NGNDv4Y3mBrZLe9xB5uac9KcLAiq AtRV6o2q4mcjIesAA37grrUQmeovr8ENthPlWc9NdweFf+eH4EXVVh6f19AGp5RRxrJUlRphHMNm 5jWuwRHcKWZRTDo7TYMmImSzmiquWdP3jY5gtAVRac8+2MycqPHMGjT1ICt+YuQ+VbfHQCeI+iCj Acr4vMY01aF2Q1C74ezY2WEaKjvqlT+vEQ3fL/uiIWGepRBeDJyb11Ih7jRcT0XaxySt5uYEDSxa dt/pFEMdyeAQluHyVjGMg2+oCYAhfzTAfwqt8EClIw8UaAyiR7xSSDmuaHK2JEiVNVykJvRjxV+h yGYwOX3fHdXtgipE2nNuXmuEVYIf6BkYiw6Bh+ZmbCtonB3hbdPXCiGDGt5MX4OFe4CxAopL4fmT e/TJ8lcn2pTitUQzmT8arUJe64OYf2tzCaOZXVMctNcsrAG0uKLTpvs5TMwN1S4FjRITPZgcFOuE P+TkWHuCBDqS5tvPzvSdF4Eump2lS6rcwXOFk0N1eX1nmJD0XiXPSquHjEt3PtoU9qbBypHF1VRj eQ4/cFo13tJufDrYV/+cOJndyezX1DszDL9IYv8Pa1Z+ubT3BNG5UJSVNR0qKJKOEc0OSzq70S6E g5856UTAnWo/GbDDriutQdGuSipnXBsVwl4/78QwnsdSw5F0xyqu7Zt3YyWytgyQeBQTJ4+J2QZE 24WCtpqe96AYQg1EbCzD/JiuZqfighgsa880HPMTTA/ZGmffP8OF/MDInGCJTBl6eZ5OtNhLoy3s ZRYuzU4UFnfWF0YXsbD7sYPCh0rvDRWv97j48Tz1uBgfXz36e2eEYU5RYqTyUjLjQUdEVeP3rN62 b60oMgZR6dxUqP/Y4IunYLeyxpCBis3mQ0O3diQJCPgdc8ir/J0PVtX+wLTM6QNvrBNybMkInFf/ vNPBGBqv51Q++wtcfYalmp736FgcJZxoxkutfVslZ6jO3H9MX0yVnGh60I8ANv4O9846kXMlB/Rk UBV8f64vYv2Xyg7nytLWmvam0ckzWJ1odxAcaqeiculCiz0KkAVJvpkDUL2FrtaanihEljIMu7EU IN1WRB7SoJUVRvNC13uMLMEPnsjDB6+4N0A82RrPaFy1pQZqQ3MSVeCRH6rc0ItG2YPyKgWCxQLB c67V2lQebvXzzgJDJpD7P7Nz3lCKH5qd9ygZBzNVxU04EqVkZ4Hlbm4Gsq9goTQKk6ENDrtK5JIa vKdVzlEGBBqvrYTf2ZjhIMzi+MGvo0oFFYw/7xQwfEHGyYOOkxp48gCYrzh55v15Z4Bh8fRbxdFc 4J/2Mz9RrAzREhgredNvdVcO6OmCfNBX4dkTBcvmZ1BJ6ObgSDE4hk5jFZz0Yi2aPA1aio2OhtSX n8HSm77PDyFL8J9fPqjIBYQMj47V5+ed/1Vlefxdy+GL3irXe7QMcQ4YM0qAlZqgnImEksVsRinA pte1HoXLQ9MyWeficI+ekz6sNeQedzauxKNRaSDf9pu305lKvFO/PKqD3s32QSdz1g37A+La5535 haBnj+/NRYUG9mk4O1G8DEAAWkE2OyfAMttKCothdk5ISHWXxL8SrJ3BvUXOjgZPQc9vvDIxL2fH QYOqSEYIlGfoOYz9KB+LXxkFzNKZQkHdB5UBz1UyJH+DdnEUMQtk6yFhBy+iFV8776Qv3OqD7u5Z kogosxtzsAgQviELwhZN51+JZoep1iKhi4PNzglHNrXvcKtP0OPYKwb4DXR91Lr2w0YpetP3a2vT WG8yCdCg4kWqW/ZWJ4j9vDO+qnq1Njv0M8LtSLCYpieKmLMk+90UtkizFkGPzFXg/kXxDBw874Qv S/XpL7N8uBjejR6oIuYEfXpWOqimUYDhRS35UuKK3jSqrsu6idIuHITGyE21HWhOf97pXry3PGCW Jj0QInN70PNO96ruy0gRahbYmQfj5IHnj1bPySeswffO9qrO1Nm13cHvrRMkn0tdlS/0OAtz+boI LsBuQaHmVjGmXjWKeoSP38sHRT0NRNcfHpvt8072wisOv9e5kYD3Z6yr6QlDZvXQy3QIuBu9TxrK nkNoJ1z92lxRyJzYkE74mjZ4QnE2DvRvqkLmc3mw/Tkq4UZUCMDUCKtCPcjPO9ULCz1ZKpF9UKG9 UkQHYU/m01G2vr7yLdrBzhsyvxO9WByiR4g7XNXSLFmHt57KpvRl1OaKKspd2hKSaeFw01H4qWx5 wm5UmA0WBb4vNgi8wfxWJ5vynebFzSVPvbV94OY62WCTKedu5fPO8sLsJC+CGaQFeDkvgb2TvJhv kcHkQKearf2Atp6JHy8WETg7UVVZ3attxeV5PU9PlnMOlLXI8aoD0bP4ymBYna8Dv4f82FEvvWkQ 84gEl5iyc9DswP1UcPe5Pu8MryqdmSfmydzV5c5OFDFPwDkKTeWlJbVMbmsIbnlmZzC85ey8R8xZ km0nmdLWKs/WaqDk1EVDg7NtWzUB24EgGYDCnZ6EgjHPO72LXTICdStNMTgoVz/JoJSyVtqfd3ZX lVCm3VuT192Q8aFNTxgwV0pGbkfvrGqA3ZmSyUpsdfowPe8BM9BZmJ7Mjq8Gbwp3BMLNEooFJTd2 LQqFvXHqlfrkW1tvGkwPtxaoYz4YnBlNWpYyMD3vAbNJS9+QkK56dM7U7ITlZSpKDNOfP5+Qtiej Uyf0TvSE47n8HjBDc4NFdxSMbfDZqW2PVVglrOd2302iAVSpb+oOPPCvoTcNQkJ+I2jl+8CQEKgd KdFBQeqd24Wn13fTnNoEVLfT7EQBMyp1lXoYOnikJCW3B0PH0cRJa+c9YM4iKFfG+DZ4czjJh0fp BAolagkScoWqiWIeHcvF3jTaWkxGJ/tZHDg7Z/YVRJwgbX3eeV1Mm+q/MQ8RIL613nldCJVQi4K4 h/dtrgNYcwewhp48Y553WpfnomgkVx/u4jkRQcWmISXnZOpDKEN0IamtOdej11L0pkHz3CDwrGjI 6OWHwcdagp+eHOzzzuqye/UJec49+oNbwSYnipfnV7yMWnOpSiygvqz/bLGLr+55WGEePHdAnLXB JqfP3cALpEwkliP120Cnh/bwuV8HiUOEFVS9ZzA1hL6fK3X4oPLy+fvNlGz6553OxQv932iQ2Y3v q3cyF2PsjcLsNA8eIVxpykgaIkpgFR6o3FfvXK5qfqfMFXzwzg3YByrMZBg0nqyIxTLa48F/RKRt QQvQFvm8M7lYwpbfKTGnMxt1fqxREukU57rlG/51JjPwo2T29Fj5nceF84JeDyLcyg3WXFSgx2eZ Fjv7nJ33WNlLYMxnbbg3Fmwei0o848ScUs3eMIzK6KTj5HeBUeKt3llcN5OYtOyCvhKCWF5Z/fyS jJpTLp93Fld9kIKZvoOVPj75bqwoVia9hJ4ZBlmexreFLYGDL4p1/d45XPhpmi50UJk02PTAEC7v bq6M596YutWAVEHi2a/ZKbPQd/oW54ZZqMg3zck3s2eKuFJUn6/3vnLGml/3FTqGZJVpZt7jZFwC i0T26sSb6kT2af0baWBoZqLKctJ5TG1RDV7BgEABhfDoaTDLNhsMZOKoYABr4D0tyq2/M7ewxEkH sGo9B22rPWi6gFJj/bwTt7AC0v6aHBRQTE2DsxPFyfSeb9TZk6IoLhYy29T8g1w8KR6cnbCyLBm/ QegfB6+7pwpXxqVQZw/i3k6cDJhApfylNFfF/etbrxrFOqaDMHxQRxRRNysYJ8j6vPO2uAzu2lG5 Q7U0n58oUOaBmFhrI3QnXRTGMsXDBaNGu7DCyrLu6C2BOh3Kk5VlNPbERTsn2tlD4gygu/VD0fsC OoCn6ORGvrO22PhXik7IATx49E0Xz0ohvk4w+M7aYg3d73O+HXLd+sxPFCqjWgp9OmuoA4BkWagM ryCxvpcxkN85W+zbcHdRnU+DnzsbYj6bweBZJSenEEUwA5YMshvbaibZW+xNfz16yP2BMvZUxrRP zKD7Hc7dn3fKVpWgwbO9KJG5bybxTtjipMr13vIsYP08GNyiaq+Tsvn0RMVl8PF46iwffHvB04P6 f+SNQiWC/GT6qEDrBTmIrx5cmJ93tlY1cfTzWgJlNAs46RQyJPG09uedrMU3/G4ZFxRl0HUwwGAY KsPfoVIDBAyJKqnHBktPsgApgUANv86/8sudXvaey4cLGYRiG1GeGf9FKkrS0XA9R/74oaufNSa2 ven77EhCtBNLi0HfExYUg22bc4HNzztRy3fmLX/xrmj3aH7nabHsOSmcQbwFBSIYF1P6EQTAfW4/ 3JqNsxPFylj2gH717oPnWev8V4VSnWdrnRSddnqlLwqrnnOUeZYBeRB2fd5ZWjfi6YV5FgehnBZu xB9VNj/vJC22xO7aYUJPEdzmFZ53khYWD8oHJy3Mtzp4aevLySPoizDPeudoVaccr52nD14dPAum N/olYXpOyiVDT5q0oILKiEsYZd4/n3eKVjWPdngTDR9UwhgjS0isj/V5J2jVxzjO7nUqz/aLxX0P lxEPosiSTHzfzb9APqJIEQ4eNRNw8Lyzs7i11ms//cSDMEQAIwTwwXPuFDmiUhQaLEiqhpo8L7K9 zzs7y0pR574aZGdxUP1rjiJI4FlFn3dylpEbvrAqKAzu7j2/d2pWlUEvfkRHG7TrnVLyMLQBaF+a nbCyzDQ0UfNQw+35LeB4pBh6TvpKx++yG42g8Qui6KBEdNFc5p2YVU2cCEH88kElDKhSDh4mc37e eVlYO9u3Fr0yFs/4e2u987J4a2nPepZ+xbFgAsv/bGeaBnF6wpA5qSUquEF/4Ab7xAvIqZmJIjOW +cwGVW6ja3JNurkfP++cLE4Og7gqjLs3hs7sk6ND9P/4vFOy6uO744BlypQOT0TfKVl4jALzu3nE s7zufiI5qyx3mQsD5v47FiPDb8gGn5wzUTM1Q6qM1ZNQhrLSRKCYricjVRs/74Qs4pyIURnlDuoX A8QpCGHh08GlVf9BgaEYBuU9m50oXqZafaZYIkkAaxoyt25D6540vVqa/k7G8n7xmZa9ffCuxIlk By0sUYqbuZFAiU7xednEOOg5drpeNKhhZHayrIA6TXdutpOQkJYFf7rPOxOLX9OjQXbOwU3NwHfa 7ITRMrrZeRqJ+ETLy2eH2iOcHc40ZycELhdtrHkHO5Un+KCJIJqMaKqwWQMHX9Ch8I4rPRi5pDcN KEeiFRspxulwIycTKStnwNNBONh9a1GgjuDBendWGCxnIjxcIqPNloxSPO0/28ihbHaiwrLtl6Gr azzhYIfz2M46dsZMee8rik0xA2AxvQCGL4nPCO4sdtGnbD05JOVMU3oTdSc+HTTTb88mS15sQTXM r/QeRcuqgLFB9B/ddqZCn5EFeoeygdybwCJ5j5ZRfVNFdGcfvAKG0A3gHdUHq1mibQDeCmTjYLXt 0TIrYD1k8ilFp52NBh08rVpLq/SKpwO2xE7fBw+Jl/dK71G0jDgccrLNCmBpqDF64jWqheHn3a7z 1CMkBsT0iN/ZPmh2UA05N7fK7hDtZPcJWwvNYqwpqEQ7H53g3B7VlqtcYPkyGjg7pRYzEzjZF56O CEjf0GWgOlfzndXDWFmGFmU7ftCUwCGso+bN6pUX+omVe1RaFkVkg8Nlg+Pj9lmMk01+sL6G6RlW dLFbZ5BIyVRxZAnj6VGwrAMZzEkOCPwkRHQiQwYsQFvj8YDTd3mghXdcntjQ7V5cPeT1NUqrkAHK AiqiN5l89SW2/m4UXkn8KxFJixCeUbMPt5BB+3hCVc6VfqadHXfYERGZ9EMXWMeBUTO1RxGz7Jmm XBlncTm+E5Mrt0CXHk9HrMd7r/PdEJPmS+7rEbsPNeiKZX9mgLQSGlii/u66BvDSW8pGexgyT1Uy eL9zuNnoSktpf4Z/ZdumSYf6EhCyvV+9h16L3jTqGLPrJ2MUrCReQutEzBSh/IE8H54Orq7x3TGG 2WFh5UizE0bMg0GhFd2RtVuZcFB6mcrfsGhhx7iHFL+hDbaSD77Bzg1eEQOyyLwSf3TYn500nSZQ c827ePxNg1yd0WCrLBZyEEC375LVcMD5GMXMOB+/mGxJlWqfnbDIjLMRWRarO+f8I2gng66Vbe1M eiZwduIiswJhYQn7XTsLCIxzuKCZjZB4SrgXZvVA850zFR3k2xJdetNf6zzMTTTgMzZIVM1S9Ymn /yJOqLsMHY56T54oZCbMsYDhU2kvOOnFCH4+2IkNqfrCX9bOikLmrX6VxAn2fJRk0wbJjNIykL06 /1+jHA+asOeU25BVumczFNDwGcHs0A62eanQiiU7dfYPE7U88HREEL0gMNHZzgbvt0jYI/QyqeiF rfz/hIJPWjyDF588YiAkwoM5AmOwknFu9KZklJWBKqOhc2qd71LNwxP+Z5we1N02YKg8l7czris+ I0KBMaWo7N9wMNYNoBk/Ypzi6WDxTL/YeUVZHOazE8bMYvv1YghLGXkmqF8Q2406Exu7nJ2owIzC AO6imXx40MvwGdrJzIRR9+GxjOgGaAPyd312ut40yEYXgbmJdFEOSrjAVuGlM1LD01Gd5zsozEDX lnmJ1yOKmcEMBo+tOhlyW8xMG1sRA7q7Lo6owjzVDx3CqoyLVVnnBkuAofJchrQDC+/nEDlzjxyb SvFWQh32okGNkPAvKIv5wLVT0d5aqoJlPB2EzP8wtqh5zzRSkxOFzCy/F/a8/xOf1pDvbUn5/Mf8 E7B2Rlhg1pWeFTnne2kBBLZSXSRznLustzoNX4myWQeQZz351tabRhEPLq0iG/PiNubnAJjN+Ghz 4+mobXyvdLl648y7GcWIwcvgitIp4T+yb4lpwsfC7I4aGDW5YuOICsy812pezNnz4qsPO3jW+Z07 sSqITuj0ddYOvZ8X415r3eCTBz4iwFeSQdulv0Nj7vwjwxzp359l2fH0X80JrhM01Aln1eRE4TLt sXMzJ7MqJS1JGqRhfVH6mHByonB5sURIo08Od3LOsj+hSaWJedlIjAqXFyuoiaoLFz949tnAR/zG o51WKnQ3UDJah4w6Z8PT0Z31TzTI0OOCT0cUK+MkdKcc0ieG05GooUQj0+rg0xHFylOlLYgz2XAL GfBmpmZCRksHgoRsiuLKgmthTlTYM2xu1atGTWPVv4jNHeDRMwo8gUDSwTNyyXg8Imzl78UDFPy8 YJURRcvICyFtICUZ4HC6Dp4iPxj4sg1qqGB+omh5iZxuxePHuXxmqhmwKowGVO6dghgTdu9FXvA3 HkSpm28abC3uKdbRbBAOrE9znD73CJ6ODp7+z8GDfdAuqWSE2GVSRfd2RhLqfWIkUZ8NdbDMYJ97 K8Iug0iM4ulIPtxwGafONONAlD6Zam12bQct367YcCeye8SqGNhVS3Wwdetg53DYzNXbCdPxdBDx rG9WQJH/+V08UbhMalCuW3MCcMO2xcMWEjcX3Ma0eKJw2VKt1fLDws48+do5QDcFWlEK3qvKOo8I ZlRFGVIYhR+HJT4jqr8z4lFLfXpL/URoTG5x1q6Np/9SOJBvx4JO9pVTCaNldkVZzmYi6iDmE7A1 81AewGrqXI6j5fKaiMI1AjEyHahwGpte2mB3AkoolK+06aEWFj4kCJcZ69ROfViqy/LA2JAMYEQI jwc8HiTqNX+tHuYk7ENrfsJ4mU11EhYQL1eVMRAvgyGAdbSopctK2IhrzCJspenDZU3AZZJ54Nnp kEUl+6ST7TcQl5V0JQ6AeuGrRo1R1glzv4N11QdtaxBYZjwd1TFuh4J3ONbPLF4pnGGRGflHbSSi 0ymQ5CR0RpmvSePg/FPD/MwoYKbdJHp+xQcPmHvLUMtnwAz7VdKbyqIcDhJT+itZIYNC5zOEZBQR Jgjk4qCjGW17rojUGp4Opqd9w3lWp22Q764ZRcxY7edwQeGbdVRJVG9qDVbMCaVdzregJk9YZBZh K+/mg2dbqFlUz0XP8ZiUbVECGFUvovVMjRF1Y3zGb2J69HKwQbOD34B7o00+/dfZw7AHBYM2POyZ UcCMvh7gQsskDqY1i+HEY2HP5qXNtROy/RjFpMQsIaX1KEAA+w4RQSbG52sQpnrOZEDVUPcFpMf1 MSgJO+Mis/y4evOBhYxx1pzyiT75dDQ7d+3wHK40N3r2VhQz06c6y72dyehyK4rhZNFeSCtJ/Cu/ Anron6nBz54NIwvaCkJED4pU0jhAhEm6X748bOh68zN+YxoPuklrMMbWEhPhnNYFT0dajN+5eqEm 9tVinFHQXElJcnuO2gpLqNxbMxklabgY4wz140w/ZBQffPV0ICOzpM0HOAK8+ifpp1APhYqwFwmX vWmwt0iUkYSXBpVQ15D3VEN7a0YhM/XQTeOAG/FcNumKz8ywwgxfwJaTAVFbykbEntKQGycopGUu pyfCZAjEtKcXCx8JiJY28PNbUrkdUA8WerCGMB+tXqMXFPH5GcHBLNWZpM56Gm6wUKFwg+mZY+Dp iEl7hZ3wcvQQB77KpidCZQD1igJ8spRruMhp9Ybp6tc2cUYl5iUcatX1VdNz9tTRUDPi6ulnXVV2 mZHjgka/f/oDWlFzdEYxs4y4lkTf1xV9zyhJEP92ZgxP/5WtE/2DienTo54Z0v3gbkA/VWXraxqT 1oh/NJ9ACs2TJxSTqwQcDEKDOTjQsu1d5yAbspw1BFFPUkvIgz5n27p8tkXriRlVmMm9PRtVit3b zcqgc2pW7ZtPR3Cnb3kMzvCqnlDMCJQx6ePcW7XJYXGY5iVlWHOUcjSanChkxhGOyEZKe/NR2jsv fv63sfOHw3SUJKVYdjix0tLtHKsMNqOImfI+o0hcpbi4CmsZOkvOCY+nI0CP1zL4Zvj4fns3M2T7 AcZw/o+DMijETCzYNh/AnaUJdtKtGcpjuG7R9sFZSfCRyGSEY2PtSgQhwHNEgRYloy6tUvSmEeqA ySih2RqEBhtpClh6jnk8HQWEt4TKg4d+YeO2J1YUMOtSJ3uFAhmrGwyVJlkEWp5zxOh+K0JlqGG8 h9Snx6M+Dap7AfJAnT+w+cmZyqQk4wbqj0A3uzcrLDFnQ6sMHzg/K6XOuWqtVjwdSc98J+sgm5d2 QborCpjRskfLZlg6Sq081t9Tt3S0DbcBXGHA3BkwF6UT5dHbK7hgEh1MM9wrklXC0EJTpWfeSg+V z/AZvwl8tq6+aPcC/AkYBDuo54jD03+Jq5CkjhJsu4CnFaos404t6cIyWDwnOwAlXcEyujtqrxCW IXZ6VrlQeWJjOjHTPqfMMBY26JyaHXKDBsgT415aaDDiM36rg6GK7wPXToXAgSj80LH8O2BmaIyo kttNsxMy/tCjr7N6qk722X9kN3epY6zdPOJZIYS5myLP8sEvLSAyWldA2JGMyhCYAVlGiLe/4GBN bxrVmBnxVMGeqjGTzuzsKUupnDeejk6e/X0uEyP8KKCGasu0BsPJWNg1TuROAIbayKeAJBhkvFgk XDHhT4gDYebqs7P6PjsrN1onYNsuunLDmwx1qxM6t/4Qb9B9xGcEZbCpaWHXmAN3FoCtSwDvlPF0 FPDkG/DgDsGJd9miK4qWE2GolPOTb0JZBvCeexk5YN5TORTHUBjD76zBZ+ccC1lFr3P5pSTZXPje IFDGjwci7IUcbL1qBFeh9YZO556tjL0h6ix2wEkP8XQEyLi5KMuEtAO4pMgVhcsLq7H0S55oS6oP JynaOqHXoBwRV08YLstaU54Re3+Hyxny7lPS9+e/KZSCH4kdxwHxkOo43T3sTYPpUfmrZzPSXmLe DFiRIYroKTU8HZHUv/s3iC4LZUg0O1G4DE01YMGwPrLRA8hLgtsjrbdO9JbMUmLFIGayJgoPXQ5+ p6fa2lAhA4xu+EZydhBkTuZY6XIiWYBfUbwspGWjZK0GnjzjxL38vNoz3/B9b60rrUKGMqCWhQmX picKmCmqVLc1tZCUit4GxbRm9IBRjBO5whqz6xZMH7wKBtn7RTf5E7L23QYZtR1JchnMm8tTJKR5 9gpJf4KgdmItRzesJQDkS6pOfVU8HbTVby7K0LlhftKtEq6Y9Ad12J1v0JNtfnopqv2sNZtBUVes KMcMc7A5s2SZplT9bM2cF5lJJ8gAy1dmkTiVy1mWKAh40DOG3vS3/s1IqhImCwlPHLUFzTuvzqeD a/3q7W2vgq1bJdxRwIyVgTqeVcFyNyuFE/LsaSEhu7XUpw5Jf1tqV4LC18tMGgsiRr0gvADKGxJY ZCbR2AogYSgI+eywwryjgNlwTiDt26AqYW9zWKbOp4Mq2IU7bUVu+Cvb84n9HjGbyPBJ7dx3XW6s WDzb3VpmWtY73hEoo8t6Q04AHDxiRgVvyrWSUs9FUpbgbMGA6aftq8yzaei7QxwzbddTb3dQkTBD K+pH+QGejsTN93c+gVP+AYPtEMi8KaQ97ejp241G1666wc6dP41esuOIOUnPKfvgJ/MACNuKhBOO 0xSUXWQwAB5DZSDrHJObtKOImUjioWNMg7LRBqKuouCNp4NKxqUIkJmM3t+FMO+Q84dLXVZfPHfq sHOnpF1sZ9EglJMTYjJENoZ/kw0XogvJPzNTOAFWn0kqsUjVQbslVdfINyxk7Chg3lw6M4uEn613 M4FLl6LXuWLw9J8iupgKlsTahTvtEMFc2qXc0Hdsb5PIoHQiyu+V65DTExuUZKVZywfHWc6dq2gu ubQEcS3KdyO+K4iq9lUEQwOJLxpcWlMycmQocTB8d1PADNwvno5AB76xeIID+cFvpckJ1eQQzmdW IMXjt3LYSdR5z2NyWvdTOYZkSK5IZONxycaLrL/dyOUoDdVmSYHSkw+7az1ufrQZ27H+smpgdPHl oKUDtXTxRdLC08GVlT2bUIVwovbvgIwdyi8DytckHIIq/CjV+ZBNZNp97l1Dgu1QTG7zTJ6ytpkP i/9sMhgRKE/v5/xii6dQdD8DRohWBdBENF1tetNI0klop119UI3Hms1oihY8/ScUjGUMhBg0pdb0 hBoZ2ljdDMZyG265uk3ufFWKdHLtxIiMKo+S5sPFqwwktaQGAOmaqBZRqKxDm3UezFw8hb6w+IyA 1ZYlISJ16mYF1Am8hMDvZ9vi6eDKusrvHAdrIZ6K7ihaHsp5VjViUjPFRuzsImKS/KtQxtgxIkMt Cd1cDyJjgZd0llBOUlhJlU2gsiQDco64dWs8kKzjR0THDjvpS8eOi/TOBoVPTc7i0xGtZH9NDt0N HmLSjkJlVhHOwWB00XMZGyFySHMPZiXQsNDSieAYU02/Lk2ifpX2Zm5AL3eBeUoGip9GY9S2gcYA Evbrx9H0pkEwWAjuTvSf56Clcy5EutKeG7Di6SgP/Ye1hXwSZT/NjqxvXj8UP5zaTkRjABJBasDE UVopREhIu+xKwmC5qRHcpg8WLE9cUoV8xLNzGrCEZCUBP9WgYrvrxVluXumkfb8nk8rQZ5PAMAWv EQ+Cb8xc66z3yecjqGX/miL5AaxniqIK82QyulBJZQ11keNHZyfkQwQdCCzX9WeiMqEQPXn44GXC EzytNumQ2YDfVAG+Tppqsf2HkMf4E2nby0Z9dfJKBlV0OSijQFTO1ug56Pj4X4x1wogbkpydnzkK jUsAjS5MIan2SWAWo+ZUzEV0CzKX9GcCKHMzVNjwwZOKebL0XQhlrv1EzRDLgUw1OJ5YRkDqu4r3 fddf5SBoqoaOffshOgMUHDm7nEW7+HwQ/uR/WP2NJ/7N2XOKqs3S9UgzedLuFTGIMVkDme0gbbXQ 2Y+rYy1qVXPwqsYEpLVVX0dufsMeAOdcpocKEMu2l424FKQpFa2jYutooQApUPI56vh4RM9+ACwq Np63Xbfwk1MUQuPMqpVFRmmuZPm2nQuMKt5I/8gr0maLqs7WK631Dp5/lU2MSZOVXSM4EIo9OO3g NAWSl9cN27J3DVoWLI3lLiJptyRv0fmcwd+Gl0AKYRo3yRC3AhJaba1nIYWl58m2hdMGis5sONoV 1nxgpTjoJwCvjhTXnrnZVL3Y+8vuLzWwQnThtwkRKEIwE9vYUDWaN5amzDs/JErhdant5oPWEfA6 nCPkYXg8slG6+rH4B7YI8tcUhRIajVJqxc6jLLgqzuxhBcRza/Na4xRFAfU0dzIaKnCwa21M1x6C qzFUfaiqduLGiqJNlQO9iTmOae8aREVdXHaFjI6YWYhQBfSaW+8Y8ZG/C9CkBOYrDJ9TKKOR2hWK IJzFTHCgTG9Quobf2k6jsASto7rJFqfdwAgSuH3MqXwMvpdb7R2keGWyEeaHUYEgud41IufwMGJQ g0EVevCqJoUw+nmGj0d+Sn5gq2AN/HlNd4ZC1Mam0Mg0m7u8001Yq3eWW3LLlxRpachvAlpPPtg2 WyfNgLSacOCgozB9m2QEM3ulTtb6cu9NoUozWzyJWvUaVKU/S1B4rxOs8PFgm9V/mxgw1rzMwJzi MnQhUM/gmG3YfkOJVFH2Bk7KZyisQ4tROtL0wetB+CYb2na49aGskY1YinrQSc6I5TXYDy5jfkhQ ECosRC8CXDgYXFVKHGeiGTuG3ia3hSqJQdwa6eb1ObQDpNBRrtmtsZtXzGpfjotKDk/IgR8gCFgs mdGvTYOX6ked8McRP/AEXss8HC3I+UF/wiCr8qfIgSGgU5OnA+Kq48aSDlJJfefQEXDsf+Mi/kj7 xo6hJyDpFgRIIlVF11NwulF5b1NXY5EP1/VnIjEo0lAyb34Olr+CF3HSX11n+SyULrtowxsj63nI 2zj7+CERwoXosSwbhuw2DCkRvYyzelQ+HoTXN3QUmxmv/Jj75tgXEA22wqiCGX4dWjtIQcz4uCsK 6Pozv3RTT/oqye/+MLjRqm4kHLGl0cmpBFKBpIuNVK1fbOZK9q6/wVyqOMoyHf6Rxe+WOAIqIDmw BkTV/h++F9tq6V5ngTcg2s1IO9TpoeQjw+yBPHbrAN8nqkm+z8Lgeo13v4F5cswTNloR5MxXpnXi YnkGGVLjYa3S9PB3/XWfDZK5ORBktzfFCpgOVz4eNeRvTFTvad2ffRZK0iGfhAK1aox18lCCRMsy 8dm1oHKlHC0wCIS8g8XUywdnXpyAOrcsLfJ5tv9gigIfJ9w/KKPzuDZPhmYvG2EWeFyXcgcVQ0bj CYqoofPxoK96VSQUiKPMeVmVOfAIRI7OFG2YwTgUrnQUnV++ap8tMCRsn4WRtaScm6yRKZltU7SQ VNQl+SycSjRHGUQFwzAQCrN+FFGiJYc2gTrh+1ZQtF17jZggfr/cCx+PRB/L1z7j+klPfhb6BEJI BptLePkqwqJ0fqo55sCNzBdRiOsgoAOiET74fbbRuCVTDy7N0Nxk6xmcksp9S91Hx71Me9mIhEFk R2JNhANz0QWNN2ltlM3Hg9P6UdHCbKDqmS7wJQdWgaiFNC6d5NgOKmZVoMqAhsMi2ixFM/cIvAIB pJ/CvBQfLLLeJ2UFalP+DG2jfMd9hsopOl2tOEuFUBS9a7CIKLDfhBTmYHFjWarIzqTHIyj9ZRqw XLSp2JLvFMWhNY8iNlQ37ZKlLgGmzTRbKpLVNUVhyVqpa5Fsy2OeczbBbmdqJB7awKdiftIGVTkR 2ZZ1Qa1TdpyhZSCN2wZM0X0QGQNwAp61c2U+HmUfN3LEv4w9hj6ST1GIiq4s6lsfCI10I6sMCrRS dmOhc64pCmNrBY7ou9jgVf11dlfqVUfR2XeFJdw5mX0s8fQ9cGz+rpFKZleVqPggZOuJKWTz0cvg 40H2Ub6ZcugLgjTsMxQaB8KqssrFmU2hQecGhNbisHTAPFw4PYfOgYv05UQPZA1ec9xwfmMnB0zU lCmSDWA0PDmpK/B4tA9/1yDHV8BIjqTJGPNDUhJ9/qcXLKLAPPD/KtYVbDGeTjZFUWgNVh56K1va +0KnoFJEY4RCru4uXgYJ3QNlsZkgz2eDlxzTTL2Tb5TpQ7MMHa21hJrf9W0gA5ofEiwipNJA0hcf uIjW7gS8/5zIYvLxoOJYPIUVGxW7jqruNkUR3gNIU4DltpQCqEuNKZqpDMmWnAPWaT05cBC0VQSr k+aDN4hwIDaGfGjeg9SCuekFEC70LDG5ChxLrsneNdL1Uw7LPshYl+OQ0POTDPZofD6KrZ9qmmyo kZy2W04LbAShSFuJo5LeD77EtnJaKuX6u3afpBgpvcXrKT74YTQTsFk8jNpJz5JoCjSlb8Di4Ka/ Jp3V3jVq33Or0aIHQ1ex6GQ1iRltL1nvGHFYHtSQ6JdfUuE5dBLc1D9Uv5X/ZMfSyHLNwwwhbTfn 9hAtbWgziUjV9uT5q5Y9URXKSKQYArAJSwIUXYfqbVFTgSzHXoLsWHSWmjUoz99UD8SSSJmPR7zv f2jxm6ygWwkJ3QQBcT1ryCg96OabX17NrqZeuzdic2gnqPoG2GA+eLEIeiKDwjk4i07QQmrzRNul IDiV+1B75A9z6CfIH+jsVFbVOChDO2e1NDXO7cnHo2LRPa35Q+PUXY+Be2gp2ERvNuvtijTcMOVj K0ACatKD68BTENuMi2hJYnR9Kz+fBcRCLJvVZxd3HldI4s4xACcZFwSi5RU/I8I5UCFyS/Rmu8t0 wVnJwDGvwcf/spJhtxpn7prPfRYVrTtD3OxUsdxWujgQX0PVcSA5cBU0YHClBLYNF7sIoTdhFwEy 2Sbez3AE1z8EmzRBKw971WCCqgqN5Q6WnuFZfNpKlY8HF/6t6mM2zy9GDWGbnhA2DRY/xQN0CPES xSHUia8wIEj1Qyj0SpHc/JI15eoXJ5NOYnBuARZBCrSfRzH1/pNnn9z+aQsNFYlCV0GmwDC1HD44 PC/LKOf8GHw8OoLm1/Kp2Oj54mRy6CuInBc2ptuxIK3b/DB9JhaEkm9aPmFMvVmv7pTx4+CHNCrW acvRIaNqlKVlhzwFGBHUE+q0LnW3dw3Wz9LU0PiCg7AysHTfLK/WzMejYmy56yej3wGd2rvBQmvB CUZUK7OaX/BudtGvYtI3KMKbuEuOvQVVYSwqV5enXH121AJ9SF2heQ5iYdDZZ4bdNO5471B3e9Wo /sFbrFNXioPdYoVAXdSfJx//K6LWXCAnvBIC+o/fHkMbAbyNYkCHMayItuR6salA4bdYjSLqRbGx XNif5nAjaigroIAEGGOFnJgwfVhUiPvVw2+C3nd716CHP6zlMX3gEbTaVq/6/Dm9418wz6KCG9Be NxKKTQZJ9oAcjTQ64DmqS8x11+H7O30JxVLQZCWoJ8bBW68nbUUJhIZf5yJPjeSNCtyPbMbYpzbI FQHmOfQZnJybbmspXyjy+Z+2hZdJg88Hc7S/YyFI7RVYYvochcTDIoNBq1aXZCp3QOxsay3SNlqr KIynl8Gtlg++zQbIP4XRIggcE53kM0cYKgjrg1oLVZ5o3d41iBapjNgJO9agIhpcpZiv16x3/LOD z6lCOo3umU9RGFAjLapSuMNGy+QrQI1CnSKIdSCfsWUUe3MrIRP9MH9d9aUDp1ZEIINaGal4jSrr rMoV3vfiSNEXLQemg+YRM0qi7joHA8X2JYZd2oOP/y/8TAj1gw15pyiKqBmiAwxr5laJ4CE465HS xp5HoeZ615/5VbGD0qwaHDVcUGUcTSUigPcA9T5HNe54eM/MdGXcpp3WEQeRGwVyW8UHZfcJpo88 XlD/CKwHkbJ8ywUtoLmfkygKp6m3UliuIHAvbaNoNrk9gIJYpheIAutByKkISC67h/LYPWT28+Gc CC5HQkuCQm6ATRQ6mVDmrn2V0ELrQVXzl8ndZeuqnPQOtiY8WngOhbjqx4VahSWEIJfEmgPzQaSt KOL35CzW4Vyg0pfX0HBs2xRFAfXIYgGZ5N14oES4mdvWLktnM9D/83wp4N1pQp3LA8tf9q4Rbo8Z PauFGgRtnFPtCigj8PFgk12ArJIycsTTTcpC/0HoeEF+oRH0icta0qxAkjVqmIE14Yi00IAQS466 vdUHT8rOF4AHoxViBzYe6WTYuWSUtFuqxp2gD4lQe9TtEFCmZOsJoVnAoBcFp8rHg6AxfZ9DGdA9 QZttisJaNaG0lC1kx4OAGwZFAL80ANKoyC5AWmhCaJqAKNPY4OX8k9N05sDQ+e0nc2Lxo+GnoKxf RrfZ48Zd7GWjk0hljzJ84E5DXCtEWtqTjwc3/oXoq1uP03E+pdjQiRCsHohKOt6K5hFSbG3LuUHN eGU5sCKUoAPgDRL7zY9fWsXPlXGJoUC0S6Wu0gkcqfVGbP/23BXFa73rb7kHgUg2cBmdqFEu6uda Xnw82GnXIUNR5vzmluXQjRA7pIrXheuszCz97JNkkkUOzD/95LnRQjvCpSLjlEv1TF8MIdiaN2Zn cC/elRYHa9OQHsWhfrMz+rLwQwLop1SEKimXHBRaQ4STZ+054Ph4cJ01P4vwL0PwJpOpZjMUhdZc 5YX9KhUZ+zAYiOxWIO9Gsr/WUExO7OpLFx9uB7+PBBUnXmjAYi1dfUD2JPbPm4eNJAHyQ6JadWYs VBUZAZxHgzg0KtlCA5yUz0eKHn4WUW0EB2x6so/AlVCFBUh6WH+6LgsWx3RI+jlYXGopx7aEWkTY LzZ4ZN2gnS6XlfMgAMTUWsJPU1BYbU8NJG971agnJOXEeQdl+KmbROCJk/j4X7IVghLhXrl0mBwY E4LfSpeVbEGjapwUwVPa2tHZBPtLuyx2JuQh3esdvAZC60bpkyLFycalEmIOdYF06b++hsKwWj7n iUkaBwOBzK3+RZuVj0d8j+8ElkkHhFR9hkKi4lBKVj1srNkSWLGIOt0yTNkjB+aEmCEujMwtxMFu Mzi6IrDOglef1JZFxgpGdoXU2vzaZXQ8yKE/YZPXypI6wzJ1BtQPtgjkG1XG2KDwyjNgR1Jqm3oG NkNhYK1mR3cmQ1mG++y1qO2BL3g3WUhXXGoHteLDBTVuqFjL0xtEs8JS2yAlCWZmINa6JBXJnDmw KNSt8uIci/aDhCLbXnz8LyBRkfwJrAHaejAOoU8hiq8nW87mew6hW2VnqIEZk4FOMzqsIxgInWYq INQ+eNyInVWJXT/X2YlBpznMspQGqO++Uq4Ui+OH/NYRakXuK8V097DRVP09Sc/m4xFQ5ptYBVn4 L432z2tE1GyC0loOjaVpuVbRMgWdJrORM0Gv8VBLFCkqlf4FNmh6TsoBC9NECXuohe9hAGxcIhR6 QlFnGuoTIeNrNNRML3JMqSRPV0k+cXtKbLq2tvDwy9Q0KJUqFipPLLRIJGeQGs1MZ/WecMVthM7M OrWuLig01G26VK9xUEsiA2NWpw92ywM/fX5W3Onn+oWbE5H5Ewp/tMtB/mYzY7jz15k5caIo0gT+ alCbbJkLBRhhn9cYqKEwNGxmmHkhiyZeizPzGgE1awDRN1COGWmZuPamw9nZXmcVuQDwa/zTPELM PKA12Mws1NAWHQLg+HR+X80h43IaYrH4mlzODO/5vmYgSQsnKMaHHLhmzoVWpvnGts9r6NMeILXW jBlkFpuZ18Cnmbtcbq7emqmaRo1JCvNTYxINfPnAv84MKiayoUnTB88uek9ps+mXUf0eWaI6dAwH RQLdQ5cyY2fsNeppFrbAYHVKtaIJrzH3mRhCVxGHfF6DHtsZmhq9GKhO22bmNeLBM7hKocZmqgyt ipE4kFBba767osdrvNMEncABo/RrPiJvC8XDDGGMDMuABqMYzAzYmwUfhQvDnDJI1vu8RjvtAheo G66B9Q24OzNnOoH6+rzGOni4pu9zhv4ld2ZeIx1swU3mT0u+ZsyPGRJqVTXWOryd+hrnNFH9eMBs H2zNnBUDgxZ6VJ9/xjKpArtuilT/7OX4qZJopfsa5Zz3XCzPn5s6+6ATGMJo0vvr+/Ma47THYKUw xgECqzD24sy8RjhN8iHnws4q9dQGbK5Kq9UE2c+BukyT9DW+wcwQxUF/SBscTH4Sqk7lJJTnoefO E5hWn7DwQoXyxsgUgXmNbrCbWMWAYL8PVjbsdIT5gUT35zW24SHVbWZYgUU5gBgwzsxrWIMTuPLW rmZsnsSKWpCAMZXJVZgcUMs2PIEZzUhonIPfTRUgNcFc6qLFGRl4iW5gaxgDR7c2VuvnNaTB5FPg d1JRRINqGLNuadah4Pwa0Nj7fZ3AoFAClaGZeS0UYjexljqq+8NS34DZ+abCJLrv9EakmmRwAid5 mdP3QYOfwAC3ZAaeZ07OhKRhcokUSBs/lMe4dUKKckVTQ+GGVqVHWm3HrnOFVqWNlNoMpqZbV7D8 VLuc5F3DqXktEGKZIhyt2Ywq4QhmIgyTYo0oXCxKhFGEITqCm6R9FfHVC4heixKknRyoc96cnZaF qh9s9ytAvi5ptAx5LQ5i+oVLoPSZBk5Ng7yyoAYQ5PrzcqK8L5LoZz+9M8Sa0BZn3k2zAyIwpiuu 7YwuTsm3FxjFwZJozdVUkOcjODWRJ5RmzPnVJWoyCx0fTjiJWPgKVIjvF60bGV2J2TOv++A544c4 4bvx6WBPTT+HiZxHPy+zKaXpiWJh3GIQuOvmmsuyIl1z3ULE0fSkZETTM8XroWi/tJT9kto43Amm b+h6QP8LNSrC6ehcO2/DnVjjzzs5jIexOYBtH5Rq4lZkg6JlAMXDeNjPnMHci2Sy5NPzHhCD6Dwp mW3aL3UNg/zMbR7wmx4FBvkJpmdT3iTLCCw/RmAL3fWMHhR/q4IVxHIG3owGxqDVuSOGZicKiaXi RvtyGzg7KI1szQ7KXe8xMYxP/dgx2viCr8H06YmiYqHoa3N3RnQzjDjnolMjMbtioz04lOW5WAiA 13BXT2/wMaPkArAJyC6RMBCUgpWLmo5hDnmRv5PCEPSTWTjbvoMyBjTi1LpZaEtER88o9+jJP5gY CJz77LxHxihRNZp/OX+XmjMsltKBEvxdIFK8jhPNDq2WK6FMGu5lTi0l2YXAQGdT2SyToCjVVVQo tLWmKhTh0SOLIinA+C8w+waNigEvGuxRcJyLBzqYiUzXEooQaXqi8Bh6WXAJuX4h2VKqNY1duHO5 EIT3+DizqYZkylKr66ayhOqlf04Gxz+hfEfDlfZDdbSRH7bc0IsGtxbTTPix+MA8HEKALKrBR+vz zgRr0Pz32WGPkE2lpnYEpyeMkYlgl/kF6qSsp7G9vkhypsLvcBJPFCRbFr5YC+Tgq+fMDZD0WTgf AHrlxrfMyAnkVCtTTMY77zwwfEOmVLP0O+jimq1IiX/m/XmngTWJ0nylVrh/KNip2YniZGpkUCvI ID4WMUOJJxnEh7uWJ08UKNuJnFiH4OA4sZHSkOH1STtbm9IWB48XCTkugKuePajw+84BwwKVgGSl mwoHgVfmHiKWnCz9804Ba5IN+y7jiEXjs/MeK4NQgKZ6gUXqf1RZIO0rgfOdjFDQoPelWz0Kloey 8a4d1i8vZZ1L66wYCmRCSLKfM46NrCHjZJxC16iIejafd/YXgzqqAQ5BwYebCp/4STAHiOJ+3slf CHr2+Fo7tGfs7R48UbgMNa3ayISmPSM1b2nPuKdmZ5dStpTX36lf/GWwaIo0SMujQXpCnBMRrmTy x+g8qnLMAZoOYz/qx6JYRvEyQ94BnqEPnJ3UTgYl1CA6xVHA7J4GCjcAissAY1sJMAqYeWPkarqI EFcw8mChqR8gmLV4dfSd9tWuX8g1hr2N9Jk6SpmF5dEBvU1r5KA7jDpFJXXQuCj2pu+3ljQnFkut GnjupEV5HgROJX/eOV//ZFp4quFu5GLU7ETxMhPkUotHPGV6FkolS0Q8jaE4zp13xhezfOafUwzm eRnMzJ/hFEu0CqGuJXs6AcnJH9r7OSnO3vS3uvrIsjbIHhAC3yH79tnm553vxVvLzx1i+qiXJVAY PzQqIE+KTRBbwtJ67mb5UJlrY/E0OtyzgPweLyNLZ7ycTXIi+fQskEnn+YGZTmwYSpCb2wGUp/8R T6lbwJh61d/SrbooDs1B/eFpFnLYA593rlcz3fYb85BH37bf6e9EL+4twpuXEXPrTtkcqSkgRmLu WJZtvfO8WMksDJRr98Hv9AYObspsDp8EhRc3zRkrCl+AQmBqhFLp7A6/87zwIcTxnDRn+KBrq0E3 iUFP5tP/SyGZ7kB0C9b0RAEz6fmVWuBUJ9MhBP9B+h+iYLqKm72/k7yaYVLNK0WDH8y1n9Ciy3YZ 5oNZGraUVIacK8JgtyoinfKd48VCBmcnS2oi28F8/mYzBtNu5fNO8cLFupdvLoY8jAw82XpneDXD XsCdVEdPbeYGNjr91OnsSQojZyeqJ1uq3uv24VbA4DXQWE9ucIhhaxTyx7gFCuUfH0/qpTcNQh6q AHWZLnc3Xd7pTDqLg3XP9Xmnd3m4fUMeniBkIWh23sNlKCfgvOnT9Y/dxfwsnX7JJ81n5z1czgZM KayHavCT52RU6Jazpnz2UiN9p8zGSTmHzk5POsGQ553bhVUuXz2KyWngwYydLJnVlfbnndrVpBDx zM5UFcwDwndmVzOLtErXYpkP7mqpaLd62Jkvb0W8E7sYymLtnPOk+WDdYETspdLQN0MwiZQjRNQs nE5qmT/J1tabRrNDNYnCc4eDrq2t0i/f/fPO6+LR2L8Cws6Z3ndnhaXlTWO0vk0vobfsegnm3L3P yup2LL+Hy+iVa9EwmShPMsEmA0TYWCPcFd7a8rjEqm50K8oP7mvoTYOAkKI2OgQ18HydRdVfaUi9 87rYqvvuYrF1hOaizU4ULiNAO7Nuomz0O7Fzh1xEnTvNhNreWV3YWTyVK0mhGmxnndwEnj7sl8PB qE9uvrQJ+j+Xm0IencqIyz7vpC6uncxv1KYPnB2wDzoN0s7V+HnndDHhvomoXk2iX94YjuJlEG1B n1jesamep89ueXqDHStDnndK181ES1VSUeezeM67N0S0kv050ZstHgItwACb6xFssTeNuubsZrHd rkGoylyqdCTOQv28M7rao4Cs8jtoBaTQaHJCqAVqPKV1NT7PP23Z7I1OpV3c6Kzkqm0elpcH184g 342DTw5KMENSmmczNOh44F+BwAZKqSRuD+EJqt4zmBpFgdXEtbxnc+7DnpWG4seLgmWSGp/73NSY fW6iWJk9/Z6muEmVCqyYkZlGtfIgtFW0r96JXM2dustQDXU8+4pYVWL3gYM7Se5c4i2jwINWtAjb whSAJPJ5p3E1d3tYlTuSg4AoqKsxFjzT+3kncf1zJitUxnF8y1/vHC7MDjBoBFqZn8F2eUixA5Fo AQSn2XkPlR2LW7Z2176V9w0S4Lnf95JTdyavGbNTyJTciFevwih1I94ZXEwkODskpsFCeMuMaaFP xtAHpMPPO4OLNQxfPJIEIuSweiL6TuDib0K/h9sOZVeBZhiyAhPswuBd7/wt/DY8TGBz78M9dk62 jwCRKkjweVAyBqVhMHCv7kgf9pq/Tc6AUIkNqiw3gAX5dTtfLwiU/+mGnuzlB5Ahm5n3OBmdMPJe srPYad0iFrsx2xdYDjYzUV05sexeUr+D31fU7oVlHvdVKmnKH4zg2wSWf7odLUquv7O2mksfnfh4 +mD7qheVhUevn3fSVnvUDnWbN3pCtnsiR3EyuZENYNjMfihjdUIvNgtikIynshpnJywrk4Gc69w+ OGwSKs99O8JgQqYODT/y/ohJo/CR8f761qv+Vv2CUbwPhr7dS+jbmurnnbPFYMCnh8UcHjnzlk7f KVueomMDGGinpOIADL/OoclqN1ZcWFZlR6ykQQ7eZIFn0eN0VAn2FzL7ALBBgYfwJ3DxPEUnL/Kd sfVgvWT+BfE7WQguHvbCep1o8J2xxbv5u3g6WXO5hfd3vhbO5UpKW7k+M9u8Qvoq5tPNnEbzE5aW qe901nfxwXYXAEAQ3kL3uqF1XM3CEQwH7LT+FN4Xo8F3thaPnsTKV1dR+vwBbjBo3lYuCSTun3e2 FraXeVFremhKvG8q8c7V4qQ28vqr82oBvqEv45rLShjVBfzeqVp49czpSWv74BSSCpItfgMqr2Xe 3OBHgJ8AwBeSEF89+D0+70St5uZoncmjBtW/eqlqh5/D9/PO0+Ib+uzwoEFkuW+/+J2lxUudGI+l Kvv5AlNE2gHV2Kt/kMRkeydpESxI4Jdhk9eDVAEQA/UpGlyd66+ArAboLSh+uBYhbOF9CWK23zla hAuwejqIVBmszmDtgKrMw+6EHvPzTtFqD3nE+hLYme0eze8MLZY96VtAFCmp/YW9YZTPAOVD8XSB D9OQhr4TtLjshfpa0wevvDcoYksQu51AfFFk9vx0yD5RomOiZTCeZm8abC2ZqKDaYoMKPAmwXp7M fXze6Vm81b8LPDTdhe+UzU4YLiNcraUYmrL2an2JwQ4+eSM0b+DaiUrLJPPjRL7DLX/llAWRziew hDYEAWAo7VZ0WzZtByXYh1z7887NYo+AJXdiRjWohAFXQyUTY33emVmGyPm61qlLc4Eq78SsJjFN 70ZQt6/IdXn0vqR3dJLjZbIQ77yspzg4zJv8C1K5NuyHhiiiS+g7KNRQkO3cwZQNNX1etIk+77ws B4yOOWRmPlyIDvrL7BKd07F83mlZjAnKV6pFsvLunqO/s7IIOFyUwbQcvRISY7IixaEGqJVzdsLC MndWGbv44CHhCRZP5iDWGui5uF9wjJKlgV8QRQclogxjPu+cLCSiBOHWyZ3FQSWMc4Yw/jm/4fy8 U7K8aH9zdDQcjYPN2YkKy7qzpDPPO6u5Oxr5UbSDXS4p8k7IeroSYtKUh0mzE2R4Cwt6KKDCgVCz c65jcLzzdenexPC8s7FYvyCofRLKw4E3Vm5ziaHe9/i8k7GYMM2vU4eFPZqtaW5CFAbWdSkXZ1C6 JRNtmhjd2VeuafROxbrwwbLYUOfg+2oDnE1Tu/M2HQZR3IGVnUjcqulaMhJc9XlnYjXJJiH/7HdQ r7hTmQilwcKngxvrAbjrUK6yALDpiaJlWh2dNe3K6akWb4eaX9pq1JLh9MTRcta8bB+8oQWDo0H2 QD77fKc5xBPAtZwQIOTn1Ol60aCEkTktMPmzQXlorUUp9jknP+8MLHzN/B0LdqTo/QKcWhQqdzq8 5+LMCE0TmBGrWsOmU1CPkxNDlitLgusOtq96ZrBMF+pGFTUVTtG3oQFRXulBxyW9aXCfJwry0cVO A08dNG/M+W1VPB3Egv07EWUNrV7kaQsj5SL3ZtPG6M6JGChcWfmLdyFnJyorJ50ljVUwDt7sO7FA oXcwUBjoZ2XzYcYS34UOd17+wqTiM4Ibi2QaOPP5wLVTUx+82+tOfDropD9rRzXoJZFt445EoTL1 zEzFsYES4PWvLGMrChpkwy3391BZzEOU2ymLIdqJ1Xd4lFENFoyjs9Mk0DtxoUMiA7ouHiqz/tV/ J/AtWklpUBVjl7yMPlLxdMCSeNIsVVqxcsplqvUoWIbWLq3X7eTJJv1wvgfWOj0bimPeewTEoPxX hbOHDzY/49zdTcSPcw6fq2rLr5qFYij0sKBhTHQic3tUWzZZ2Uqhp6EeX2I1aqsjdWJSPB1c6cWz dL7ZwML1TKLHpWVK8ziLpDTnWHe1ccaZHJSWGSv3qLRsoPckCGG6RL6Jlm7bjSiVRsvTQhgG0Mqt Uxac+G6RY4u9alTk4Zkjps05ZxBkI3bZu0rpGjBCPB6Q+f4hgJIFVB+QU4/pfMksGgR7n6wHAgLW WBkDNJfgZoQ8PQqXKeZdk+IZDl57H4BbV2pb98mgB4dPQ1O6soMLbXPHgCV71SgTtbry9IGnzznJ WFtgjoKnI7Ljd9TDzISXmaYn4vQRT5OBS6raXHQZGrTV2SwSnvVz7gNmoj0GYqhkTNA2B4+X90QD q6lPcjb7IM5osXABgh96N15f9jf9rV08scgwnDgQkRwQxJs9tH6OeDwd3Fzj++Zie5v3qT4zjpeR p4tuQ0OLasBldHlVApN/CWcnJPYplMksgXFw7OmZB8imilKCdguFVAaEhYionWvetUMOeH+PmBNB ETD8kSZPs4wF8y+VSyx8PB3tLZ8dVuoVI3jU08MCc6dwoxeY86L2dzH1AnD7zq/jgk49jphZvqAj Xc1+aKJACP4aDbCgyAPxzywblHPXNFw+sHK4/dClN/2txgPnSx9YxUBLoiufGBNPRwiwS++TYCh0 kG93or9HzBDeozQqglZKgCaKOQHjBPmxhq21gDJuPJojJEYqopII8d/T5SPBmepMUJnS3cMxQXgl 9NIKTZpwt9vJjHAAn/HbvdXXuAOnp7QyheI5py2eDhL1pwSmmsf5IVFesOmJkMsdbb9KYyRZMZE9 UmgaaqtnkT3KgzmCYpDpCZimmupqrCnsgdEQA1YgLwB31/QgNIbmN6EgavuBaF3xGUHYY/5CYiUN MzZYFZKcMjRpG08Hq2d+nzzF3EZ8dsKYGRG9mS9tigQarnug403XikVPGs5OVF0mEPlMSrnDFwSs zcpzuVLLuIp/iAMIVdddyjM7XW8a8G0coVJ9UL51EkZZ2I/U8HREKv7OtzI6yGVevvWIYma61Jbc lifrhiQ8QZdxIxeMOBQTjqi8PEmar43wSg6erIPCnamVeJI60PQpM0vPcri8UyPe6qc0rBhRyKzO zayl+cC1005SKeey8yvi6SBkHjfmYdWecuoUsdD0RCEzIjMoEtnJXMjhRdAj0iI9TyjajdUzwvqy Qmb5wXG4LWPQTWmxe+ZpwoqJrRu64GL/0ynXM66tNw3mh0dPpTWhBqUU55S2xtTceDqCV/reYsUM cTcL1pqdELqMxdOZjvxHv8Lk2hfaZRX5qCs8jKi+LBKb3BrOwJ08ODsNDdZCwAHSrU0TDwTpiMaQ zCxv3CAsHfiIAF0ppl9SAZVkbl3qo9B28dxeHU//RbfhROJQZedQkxOjMdhJHybapBovpQzKmAZy on4rJyeKl5ey0SIMmEzpOTkjs9XXhB9EoqrJoWMju2DpwgfP+w98RNT044FjxbDuminQVlc8dxIG PB2dO9/V5UFwefFoeUTRMmAoUAQxgFwmBFhcpGQtUfBA7NiJouWpXiiKnDZcVHdDAieoyklc6KEC PglJBKyEUVnPkLlVrxodPKTtE+omzTb+CHOCmcufI5eMx6PF848MBiIgIP5tfqJ4GX2EmsitZx1M Uk0ZKqiZkFOYdKJCwfmJ4uVljJI0fPBba52Dc1J4H+BTCExKcRe3RiaN/0aEZBbiM4KtxUoP7kQf BAM7t5U4ASeuxdPRudO/zx2sxHYLGSMELiOap4u42Ei9GCeArvfsiRYoAWt2IuByFfhUd5e4gp6K rt1h9s6OMUwumdUn8JczvdbnVRnuhHWPUA2D5PJE0r0Gu9M7j/Ofc0gMPB1FPPtrb6nP0e6pHBWY gZEFyEC2ZeefEClx7bAMwr1FoTPOTlRg1rRk6i1WuSfgXYD2gnJVdeByyuARotyPyx8MOaquGn0f ZyU+I6i/09J90WdDg+6s82MQxHw27MbTUV/rn44xfi7QUGx2wmgZcppFfFDkpJBEcaW4YWAMeiDy WA6jZR45xLra4GCeCcWjZf30Lntdog1QwUA+SLCKpocCkPiQIFwmyKktqWLgB+F5AXel1bh8oFuN 54NU/R8FHhZV6ZSjCQoDZrTKyjZxcyQWhuzuZAtB9HTuZnykERaZCcdItFPU8NU03pT7RwKfyBml NAZpojTCoxyjNKpz1qtGfVF6BU5auXPg2bMzJRIoA5DxdFTJ+Ke7hfXDy4zTM8MiM80EUJUq9Cyl d42UhZEvUt4AZZpGtZkoYDbge5l38FwdyF+gbXRztTM92RWsyTGmY6lVMqhwPkM8hpxKdyk+6GQ+ mY+UeFJreDqYnfZNCwB1A/4wPjtRvEzge9nsCxO9Rm0MLB78zE12pWcNanZCrt8WlkcRTbouAqud q3Ohzsn+zfkCZAWCqg5c8Lmhe7kKg2zF4zN+y0UXkS8aNDsnEhEQsE0+/VdPnVUwrF1YXtnsRPFy Iot2rWpVMAlikKw13RJ4uLPbjKl+PJIX8XJ5Xdw7vG/OX+cuPWfPCaR1qy+E6QWVOuB5XBqDUrAz LjFT8mtQ6Y0DCxmQbhEVrU8+Hc2Orx32egjXK16Bn1HATNtTCQsoFfX2zYB2q2kJD8u1ZlhgNhBq zT742tnQjab+HVLRE0dJj5kZZdeSumsHCT4+I5gdNv3mIKSHg86dc+xLGaOtgqcj0sRFq/CQ4RF5 2+ozCpnJB2olufaDHAMA5qm2jM7imSaTO6OQeYgB2XT+tPQ1PVCDgwcQultrt049vU1uOgIXehdY kRB0FnxGJP5Ao+1Bw2QOAvNkufTBurvi6aD519rX4oFMUEmXNTHDAjMoHa0ashKGQXZrQSnJ4Cq0 HOHsRIAM+ihVlrFs8FvrBCRnlyvo2Sc1KsTowsb9B1Tjn1avZhEiDH5GcCxL84G4UQ2C6J5vyUQb OjB4OggJ14XoEq6K32tsjwlnhMkYdDdAedK0H7opm7aUikU9t7s1owrz4o6hcIQNPj37LJGa5cvR YPxLWaMEpgY8V3/6g1hRa3RGETMtQ85tpS/mPkQzw7ZS0mej4em/UnW+GX7oPr3APEOqH+oY5yT1 3l+qw2YnG1f9RCfDVGdmKCFXmY223H3wrXVi5LN7pBsC+r5u/0QJKUTn63LZiHfAR0ScG9YxSr6D 0gkwlXnUrs2ng8lJt4Cq7kQHivDOToTJQKMIPQk7eOyfFteO6euh7WtrJ4qYKbqN/mTxwfG5fZ9t R0EUGHCdc80o/rJdRW/9to1VA5tRwEzdmHGOxuKDqH6ICGWSmBuejvA83/VlIlBpaa3ZCal+nVFg lu79yUWH+sYToorCZBQijpFtzVAZYxkJq/pwc9ETDiLPonh52quof0Gf69UsFzWq37I3jXbWJrWT zYntEocnXyHPMhF3g6ejeHD9k0wgpBh+aa0oWoY7ObyLiilj7CE9DEDMZVO2anWm34ogGex1VsQ0 PtjsnEOzoV4hwtaZaNRJ0JsQVr7QRd7vdLZuVgjJINZgF/Ffy9WcaYMgMphAVzwdMf2u9gPebBOi 4FWwFVaXqchDdwV1RU25aFAthKdyT9koxisEZBCYm7f4w7uOZ2edlHYkI2yd+AeumudKp6Acqzzz VnkI0cZnRHUMkpGScBnJJKMWOrr8dieUrXj6L7UrVZer1KRsdqJombqeV6WoypaJ544s7oDIAO2Y p/KKERlNYbKCZlZNmnIJkLuzpA0GCCfKtBqyZtQH6A9jd9ZZog2f8VsNrElVpbmqyvn7TPapOTPw 9P9Sx5AL0K3yrChaFimJMgrSxRjqZkEP3AjYqbnV1orRyyqd6nAu+SHvT0wOrTtYA0voTsG8he53 2P3M0q0z0fSmUX1ZZKTefBBrokLGGrOT88bTEVLuH7QKVQ0e4dNQYRmnPEm06NGgKt6ExmgEhVAJ bLhe0YqpfqryaIPlL+T7WRAnm2ARo6WNnldnHopzB1Xb1h/KTbc3DWpglHGai5ySuYqHg7NXddRP sICno3jnn2AZOHHKX2l2omA50ZXVTY69/acahjX9zrFc7FQOZTGG3FjTHXztQH5THCtIF5wZIlaF bF2KcQAP9QAOtl71N7DKsFpGLd5Rnz3x4KgnO8XTARxj+cFDKA/FeLaXl1cULKPcW4kCMOh7Tk5I WgqCFkRd7OAJg2WCUF9U9srJPMsJahYXzwBzkYsHL4vK0g/q8gbR3WyKrlAXowusQqpop++U0vTU WTTpJxXD08GltfP34sGnpKsassLyMnQ2Kq9kWmuRcAoU4cykIfHgwY7hpRXil5usoPfw4W4tSPzT HhYClpPdUfR2UEWYzLDSJUOy+L6iaDkxDuxDiJ5xvcfOtBISBvEWPB0cy/v7SgfGEuhPn52Q64fy XOvGSz+B1TDaxBZFADLduHO0duLyMhOE9gwe8EzsrCQAMzScKHsKSM9Gb4mQiHrrg3TMXhGCebBs OrfkT7erXYHjPTU9q+Lpv6S6sYjY+U+3PrjC4jLT9EK9QXKTmnH9+jLYLhwqPeIJo2VDbbfkwz15 ACupOnkSwKKMBzuO/4Z60q731oLYOd/0l84NpBmbD+JrjZ2UKZ3LHU//tXgILWAJjJ6DnJ4dhctI sBHbO4OfLp9kiorEBTTGcDutHZP9lKZLcyZdzZlzu+QCQgDD5XNeFFqSlU35UfL2+oUbyOx4R+Fy y2KnkyMwJP8FoigwrltpOp8OSmBt3r2F74C7b/vi2e/hMuilUs+21k2+9iw4mJWmz+nw9x0qY3RJ NApL2MdXEeMkoYyZAHSaRNhSzQnHMThtCOy8c0MX3x3il9kzzhS81aAC4WxDdLTzSXg6Ope/2350 eenXoWWH4TJa6v+PsTdLriU2kkT/z1poMsxD739hDXePQJ5byiDVz15DVa28TILIQAw+9G6pMSbe pgQGO0f1B1sbpv6w/0qXJe+5riLPKUQAwMBchRKNay+S/TZgYKeYm4KB2ciYpKQdpcuV0rlbkubb Jc0nGmdS8jqJFJ7+i+yH/y5u0fJ8WCHXDxNoiSMy4+nVNqe0suzDasuPTgjGEPAUWbEtDycpnbOz kk39OH9CLSET8UVOorNu2MPYUbasM7OaiOqtuQNvMTRwOXENTwfN0wt951dPOzmil7Q9IXi5Eqti /CNVS6LdUHWIzAn+09yesLdctC/6stb9srA7cNvoMhQ9hejil8UpYAFkYF8hMPSj+KJRLUFmOtXg tAjZPan0yA+r4ukIbbC/6lC2cAEes80JRZdB68hEKDIo9+mcpGpSIospojYnxGIIq0K7JNP/1tk5 L35qxill2DrrUuKIqgLljrqD7keb9KJBvsO2ILtHtujonM9R5nTnf4enIyDPHUuoz3rSqnwTnh1h MRJOdtvW9YI4j/e/8P9Ce8N62fs7FJFbZoA0fHFk94mZtSwhu6EuzDS1LNrbAEEIG2N4ctNntelN f2ue/l+c04k5xP5yHlrw9F84HmbWmcEr38MTamMgHVPfUsoqDnQCakB3FgngOjwhGEO0kp26LwYg TNCQqFnbAy1FusxC0gxhNK0fRmaeHmIu+aYR/FSyleMuFnim1ItgOomnI9Hlcj8tRC75efjuxK1l gSq7MZKQ+pGRdLakiJF00t0hr4AdZ8tMdLIUefIjugybCngCSJI6p0JbBoz8qoRN1u3wQKeCP+LX HobaYNP1Q2c/95f10hefDnoY11iMfgesHdcVzt2h6DKaCJyqyEkhmzHdqYOXEWlXGUaG3BESA4N8 souLL16HgjOxlqRPz6e1yHw7gRQJB4yhEPKuD0fTm0bZIIlak5GHi8LyKKlJ+mxWPP2XTBrzHZRr xA1wdyRl+z6sm2SoN+Iv4DizRAtYlTP0/SOKq+wMU5gtS94gr+aLU7YglkVf7JMPnku9y2EUiRBI JQhsF2K5ealTffc9sVf/gmUtlIUtyELYZHO3esuTz0fB+R+Rxi4l3LtFUYMZORqkVc47Co5BKBjc nKBO21huwZW5UtQ8xWJy1F4e2qLxbNHupy7eBNJA1WZAwAtbxOYk60ugIpw7kba9bDBVlz8ScxYt KilOmGc9enLnxsejmqJ/319QrchfjhMpBGVk2rmYQUCV1ySbYcOGOid76WYfRc3hcPJIJ4nhi39m HbqwQ9ZaqJQSuUkMIgiuP5w+mnq3HDxTCMswHDNtFSZA1qxLzw2bdtesayw+HyRAVwmVvFp6bKZr eJOiXjM7pZW8ZlXtzKMnEYWWPUuuTF9a6OWnep38ES13jLNO/EkcAZ4EYkMtHV0N6j8QOymbQ2WI ZdvL/gYqBBTVF40qBj2pMARMjY9H/ebvLw1zPZDs7hZFGTRiJwRWkgwyKwbu7IqBKIwQBHZkcW/V FHWczZpj20jn6Tg3fBJL/gEN99qg9wRmGMAxQyOp3aZhW/auwbhiCatLP2wuolIUamZiXAEDgRQC NLJPAnmrQaBF9lS2RWHXudLbpRdn4iTjUizKlQE5lyFsSSUjSokGwWj5JOcOdHSK4BTeKZydIQC6 mvSGcdFjPvJDAwxrjfG6xw/5DTs3VYVNr8IWjCc070IVhsfft4g0Oes749DuH5KtfYfCXDrJh9AU aUo294BTObn05wDdV/4lKUqmKWEOp+u7WLgemINPHlL4zsPnj73Vgfqr8LZvV8FxTHvX36TC5k53 EZdrD2E2AMrj4xFR8soU0rGWjaiy7xaF6hmNGpe3Q8YinPm0rOfZIRvbQ1GUUA8JYZGcosW26BTq /ZQTWUiWfa5JZtIUmy9UGikeiQplMPhDImEaRiLC7LE4lPLcuBSWOZlE4+N/oeiUYoJ5MG9OnVOY VNMFJ5WLoxs+VQa8VVNlwpG1RZGIRiXz5CTQwxeHGeJGrgJhAqI6MImBmiPCG7g1BU1jH35Ne9df qex0QtfCxHGm2tUGHG3y8eA7uwhnxmV8Gvkrc/wV4tzLMJepnlxHY3NYRx0N3My2Q1EbegiKAQ6O LR6JwA4b7IydtGDMChwUKZNJEjGcEhjiJ/u7Bv2gwhDEf0wLv7M0qpyCzpUw+fif9BMmwPS8f+6z 0AOQjfqTg9mVn7qrn5voEepW9oC5RYEJ4Llq2GwtRWjM8qAx0WJN1YmBgBY34ZwJyx1Q4bk2bnKl yIELoLNul7Zo+RbNCoFQa9XrHf/i+2exkjEHuxSdHPoAUsUaOpQsVKFnrS9u1MGpPOQ02C+VD2AE 3zBW4JRE1rwSWRuXKpw1thmb7GH0d+kkk9Z6p6ho1PKHBNOMohhEOWsuOkWzFTUFT2XMxyPdVK/Q pFsqEdPrv5BjM0B6v7Q0rcCvQzo17naHPWJSqz0KFZtd0Kf44l/a7hB1LFswBSQjHCgCMZzXhgt0 v7jMlexdf9Oga0quG5u56g91Obp1NEBy4AcoP+YvGAdzrCe3DvwAoWVcWMuZEWnlgADRehX73+3c XLQ5h4aAY9spyr7YKSJEqpgbNDRIBMQDheSc93O4GqO1WtOcNufAERBpDTv2WVCpbAoD8yTuRdjM lSsfjz60/MQiD9dXOyKHpoBQ3vWiA2C7yUJkARhut/9abAuyQgtcAa9CKC8jLd69x/40Kj9j8HPy 28QeY8JCR3nFa/NiaPaywUh+itzOkTwXC0alNGGBkLuFxoDlAYfzvwzWR3nCdSTgDB91uMCYblYd dnagr9H0nW0CwfSdxU4nYmfLoCs/Bl3EzneKmsPL4yShVf7QqHrRQqIPjcWi5O/623c2qamiRZXr +bNKFP8kG3w8mv98kwsA8Mvpy7Q1NjuR7ogp9hWa3FDfZ0zBO84x68kPUZRbZ6prpEZGN5dboE0c InbTzg6c64sO2h16gJXfLfUeHfQy7WV/pV9kmcJkI27uDW1NVmi9bD4ehOvyrQXAzl96CrTAIBDE BEqo9izQFBnZKMtgIlpRlgHZ4U5UOXAIzJ5bM3HW4g01qGFu+l9nlMqn1qeSBAr/Ci9FQkt45xOI oneNWLnEBTWpqrbuiSPw7Eb90uOREsn6p/zYHHg831mYWxOjQ2VjMk8HG2qIgZSyxBad79UdJgOb QJ/QZxGc8kNwOnH7pMzDzX9bO5cAcusBUGJlUVr2I0YiD87QKLCTrzq7NMF7d4BHW3YdnVqWj0di 1/+cInxj+9JUcmgVSI+Gk3I1i9ZMw1HEzkTkArqeM91oHXsFEj61lFyvJ7lea0DbWmP6jNuNfOUN MnnB5U21dMscZR8dmgUy2p7jo3Fi2w5rPaFy23c2+HhUfviFRlwaMK1lXHxZDv0CycbI8jTrV+UQ yXXdllw39ne4RaFhoLVAphw55+ORDH9kgGQ191jAY5md4vmH0CyC2/m1xMv2rsF9VpQyFskX08qH HNTVWNz9nP9V5vN/idXx7kJDBVN+36JQqw7TAtD4qSwGPHQzSSTMGgoFo/r90ELXQNUfLENtcbDH ydvPztB3pALrWkR34uAezSL0M61ZtDg4y6FtIKekQywlLUJ7nHjKWHRO6eTjQcfxidZMo5ER7Suh kGPjwC0nziKNgKbmfvmZOXFk1mCGgtGYtijKrbdM7JNEAtIVCZgntS4V2ZZJjrWy2HJEaIYdEfSX LHNEV9veNYJ80AclteGLfsi5TousFU/iy+eD1PEanDV191Gq9KuAnUP7QObreZroD8Z0Fo1a3dKv gzeF32mBfyCikZRHGI3KV6m/Aebc1K0+qSGAIOwXkR/fKrTV9m2poYrRuwbze8k8Z6mUZFcpwZSR hwBAUT7+F39FoGBccXAv9i2KbVGIiLmykN2KftBOknJHGF+6YXsIlhbede+7XFDexuySEknnFJ1v wiZu0+dDvd4ZNeXHcmwimDUjYsCe+eI/VtaZgn8aH/9LvG7brV/yVzCKOtdM//P8skfZ3nSs05oh y+ExOfQRXEMZkXZoPDt0KpANIfZsiOBCsTcoUOAfAsWZeOn2SB/m0EiQP/5cJCJfViNfnqfg9MTs eunx6BCVJxjhLgXv+0mvYy9BjCQ7qMmCgezrTE6QDnGve7ozeWAm6OC80ii3xcVrtAyrj6xh9Qkb lTbn4NDBmqnAQsa1gDZhrzk0E5SM+q5sF3ExtsbSkBBDBT4e9ULuGSLEqtLHod0dCkmGuFByTe2/ DhFvQR4iFps6RCFw2qQhFbVdgY/KEx26Y/S5KHAXXGwsJSqzQEATEnDaIWp/82cEO8Te/iJUXwsr tHUig37nlSofj8S27oXWWbb87Cs7kUNHQYwlIB3graJlu4Ib39ppMFX1MBTapBjBsFdfHCqz+7lF iAMAC7O3SaJmhmwikEPz6ioMNYpCR0EK7KGJlnyxIFSm7qHz1+Djf+lOiP4C/QswMHyD3hNrwF87 LUyL1fjSVSccpDschIFRByimGjKjbsIytgcPQjhIaxpUpwY70Koa/yw9Mz6z88dBdbd3DU4Q4VHE Xdsizs/J0pu0J2rm4xFv45INee+jFz+fAVpoLDg5Ypw0BkZy1JJZOmxyASQM5E5EOXYW3NIQWMMX n+Wf2hbW8IxCAEZRvKQmxGh8cj+46H1M3e1Vf9OzG/SH0KJDdP5JxuxzD0w+Hk0YvfTQtQ7dlCtA kQNzwfL/MJ4ByNC9dkgRYJgmgfg/NGWtfoYCd0HgStgn2iKC74cI3k9KvYdTneFYpsy7oVeM5F+D /CYAfrd3DQb5JpLNXggXRqENX6KpWkLvGGAd1r+dNAb9Z4eirLohBlQBrMjHacZ2nttnQ+niGfWv xwPGF1TRBIlJ7UEIEA4EIOgcgzHQKk5DKldKgLHlI2TUe0d1C35ffNFPOdFu0hIAXmUf4T2irrHt kRgfgx5Gd5Ni8iGlOtYdMZYr1XGJq1TG1zGKrQb59RSCHrj4d1bA4iCQFU0iyBmymcbvGyJug3IL VY5o3d41+NCWgCC0MeCiXlqHChG2qGa9Y/ShPeJ/kHDCOe63OAu8BqVBfk7RslF+pUkt9ShICSUe hE6LrDsCs0FRtIgFXr54Up36HimrODtvVWX6NdDzqUyT0Cl0nhRN0XLgOCh1ZhDm112EjD1pKbvk 5ycPPh5BZv5xRUNneLUnWEdZNffchoiYgWCcxfpVLr9SUqLeS9c/E7UbeYjW3L7cLy2NjdKA99ns hHFAaWqqEwyTSZdxmxatIxqi7rNVqKXERSU+7GaM4Zv5eNSz/ibPL2C6n0gUpdQ4MrWMdhXpm3es 6xxGQ8w3pQ58BxHQeN9n2Q/mi7oCvbdBRI/SAqdGy7D2BPcCAyGCZucjxKVGWug7KLYUYrov3J/h Rn7nsxt8PCKIt/uR8XifV1q31RgYD6J1geDz1UfLptsBJUPro5H4rR0KU2qhqwUEzf1bbgoQ4m3m tOfR1NkBIdaqEonSH2z+sncNvjE10AYx+lyEbjzfpuZCeXU+HnxjM39nRGKJX7W7HHoPQrMLJh9T IFl6j7KnXwfduSeUZ1b3jyzMqjWdLnLOLQ/Xd8I0ruclRa7z/4BEkWAQqAEifWlXgQEqXfohwYUv I6dCHKgkm38otEnnZlQHGFKH7oMj+2UmscKMcptTWdujsGOd6GWOeoYWeyLQb7mKQrD/Z7NnIWBa 4ECIQ8xYLQl/Lo4pOnvQN23JcoOwMpCwvM3A2CLjFvNAyxu3v2x05fMAbbWsHSG49h6kwyFWTz4e 3Pjjn7wRd/S80lw5dCHUtdLTNthVNQvmYY1+EoSWX/iBDeH5Zxozavr1abHiDLOM8wHgmz+F08JJ yvoYEb2J799evYKooHeNYrW8Z0is58JjNKDTJLedtfh49KVdGRiVYtTxuDI5OfQiJGIlL1I2RSDf hgdJJCpm4EGyz2BDM0J1iYo4rlz8UwNWP8mPokI3f0ljcZGFgu5Cv/UZe3j8IREEVIB9ove4KLfe WxidUlvh48F91up33shhXH4GH6EhIXCRkNx0vkcbVsK2du2diCjUMQpJiopGVVVILXcI2+eJIeeJ LUoDhbB56yFj4/9aCaSutG3vGoEdUOWXpYXYUfxaMNgi7+vnpPiLz/8J30OWiUIgPfVH4EqI5gJi Zhpm/36CkTEVFwns4FPlMn189ostYRCwTz4E61L+xc5NBrtzlShomKC92h66Wd72qhEElElRWs0X kWK6IXROQbr5+F/aFYpbyE+u7kkOjAkRQ+ijUGRyoC+E0XqkLh+a8+F108HLoTMhdTUrAce2XLz+ ANNDN1rKrcuIhjyNQoGmdGnAfoaixFpotiUEKBe1GtHJYmLdZuXjwRG6OyRVQOzOvsrjOXQnxDgc uM9hmSOBqqryjdKw6Han4iOwJ0QBTkge+hq2OI64nf8xk5MCfOP5zaiG15FS0EF9fn1mND3IoUMh NfUG+iq+KHMsXX3HUwZWPh50Y+sXtQpwEHSL8k0dA5NCDAYZiYaljoUmQRQi2MO55C17ch24FII4 e0XXbfFm2sknwAIWBBS5O7r+aD5lSoCa6/B6aJ05sCnExaLyfndfuEUncdyawre9+PifkCIitiC7 +9PTU6GFVoXokMLyqWoIi2aFhrCDuqv/gR4CYcIK1xEgpApOBAc/W3zukYDWHKWabCC1qpA74Zak Peu+cq6EtPGHRMMz0g6nFEunTXonCjQhOE8uz8f/6uoL/AFt+K/Bx+c1MerYon0VGwj0SVaCQMBH 56hTQwJb9JoWdSgHUv2t8T43mgoS2AR+zdk9FJ/nCoPjdLbZETQBrFED7VBCQPGir0lRfxzneO9z EQC0QbT0h3QpPPyyOR3AIMWhKjULXh4cyAukE20NXY0SeUHU3J7NKDEUh1YUSl56BFtj3M4hSYtx DbE2TDoTwM9sEQHwUBitGNARudBetK0xFPrr1kAbswvwWXzRxGwMXZvwa/i8ZkIdWYJvjSA1KK+A ndbWvOZBPdM0GF7bJt51ivBhMKLaNcSHDFlSgH7NgrrEf+AJwdE0F9sa4CLPpUWcVasb3pli5KPN BJ1rNWGTK5vhPd9PDVvK52CRKbRdHkw6IqLB7PZ5TYCwr9ZcVESv/DAZmbEzr+lPF8OtZkHy8J+m kchhoyYtlNUg7Cgz+NedOVGZmku1EoFW60WggV+ElrcoQmh+THHtcaLLIAKtXVUzDslec5+O5MVU FFlr4KLmzTX3iWEE4vST7H9eU5+er6hZNTANqE/JduY17znPQCMJ/S3XaJDSB31jCfakPWczRP5r 1tOBNeHOUNtXyy0uKuB5VBA61ers1pQFsLSgH4dLwwwzwA3GewaRRg49yDlsYaOjY86JjWnn3H9e Mx4+vDzSYBsWGSXdduY13ekgpEmzotiZocIG4VTDeq2r9eW+ltHODEUYITzGRXjsEyt3Y181Q+kO YkKZYzFMxFBobyKFFlGLdNR9TXXwF6RsdO2yyuiG9J+wUxDIp/T9eU10+iPPUDVU5WdcKIRHD4HX rQESdFMYfQgj1EUXR4+VRtuY95wboJgXRLQ1TaeFE0Muji2vsO+r1PWAweVwx09kexgij3a9Dvin +LymOPicinwykGhp4fXU+5QSZztVy+c1wUGUuteT2kToDFAil1vzmtwgBqNbm7ZJblY6ZjHSlOKo 8rK28X5fUxvGYAq2NnkXtXEVYTbCDIws2fMZbHFga+jSw8NYH8A0fsXPa2LTrR9xjrRazy7jj+Z2 V2sdrefXtIbvN78CDRV/8dFrZ157hrjTMDUosw+r0qlfxEk8ZCUYaWYi3BXSkkEMTpJB3jX54jF4 DdjhUaNrpNbKYn0xoUV1Mkz4RK/xtAwp0hVtDTNiGiLbwlCTSQbV1ozPa7uwP+p31d322Lfctjev vcIurB+AuNYIK9WGggOChDaEp5QsNRmipIb9r5p0atI9NSAbwlWII3i0jXBw6MBM8DqONLFNZpjW 9aJBGBZIoY3ti76oShVzAu7wcHQ/VdsbTsgAhySgQPlelAsP9lHZzv4P5/DbaOJbYPtG7bvLzYw2 hzEEoFhfvBt/kg1IIkn7DgqQnFcsYlvQdpMUjOlViEgfHRzKCJ2/VPGFm7MKfN14cBqfDj6qOWx3 CIaRNvPdnSgdRmkL+8FsNo3oaJpNI3pQaOykRNMiUjTCfFi1VEu++DWFLgbvphOLTzAuVPJC3woZ TSc+YPs4p1fxD8JoTOAP3Xu0CBZ9DgKFbk6JAuB4FI633+HYVniWnzrb8753plg3An1rjkJs5JHj w1rCIwJZnx4UYrA9m4kYqg5fPOqkOmHUSKWKBktzqZdC9KuAXQ0xBPfG0O5ESTGlbga9xGzh7tSe qxxiG9pe71nx+T37/o7IGP634fXCO0sMIZntimHeaJVCNgrJxaVgBi1iNHAPYnKXi9xSXry+8uKT tK6lk9wwAExbSmdJ06ofYFIcgMiL/J0jhqy/qNdVpi8qGVqXMjXqwM87RQyBZ/inhV0CFqPs59N6 T43RqKoE+xhZtYm2ip5pM8W3nfmHVjMn2h0OStsig7DpBjHkGESVyQkCbf7kxOIh0phpEZ6CNoW+ rKk2xa+Bp1MuXIvRVsjxQ8aLSXuUHdc2vssGyjauW1K9k8O62Qs3YoipcDKI1oAmaTey4Snmkwn4 v3PDWFTp09IZquVeWuDgo3PcpUHVBq0xobaXpfM98kOeG3rR993RUGtjLm8LpxJdsHz6KdfPOzGs m/r/j5AcwhpDpj777oQpMsbdVMKU2q8CD8bsLI+k9gsEDncnypGFqqtUydbih+ckvicb7Jwhg0na 5A1PkVJo1oBAbH0Kplyfd1YYfkHiw1eTX2O7gq1pS/ylzbw/76Sw/rTb9RTGPESs2e5EWTI8jJGi FAs8eSdHre5sSB+WIQw8UZosAaGiwFOewLMWJqPyQoE/4dqLo9Hz+xQU5BOqwo+h09Kbvu+ORNg3 pdO1qLpqM8uu6lyCn3dCGO/V+2lJd0CS374976ky7hsgwyntAMBhprApVNgreaDkN0NtTJd6lCsP 6+QQdsjFDg8sojBhl7wbJC5o3wMwwg/ZuiivbXuoZPp5J4N1pxRWyQlwUeSZQ2PYE9jy550Lht8z pe/IgxPIX1q7EyXL8HioDR8Yd6eTwEafxpXVSd5MpanC/s4E4+FhxNlMebj47gD0vwzgA5uMRexv wuCggEs606OFLMZllC1zd85/HWdIC3fnFIXLFfzX550HxqLg7o54GiA2VO+PvtPAWGfh8LRlmPks gM+GrNISWkzECx6edxZYd84u99GWuz3wwbOMEGKbEDWgldzEtA1DrP1QU4reNAjM+GVmYo9dixLC 1CdnWid+5c87Bcwy+ufwcKrCNr12J8qXqfRSRLBgpbVcOqANS3k6EaqIPO8EMF7qgoOP7ItXExty vCwdoe42BslxBX3lH4qJ0ujPOXJFb/pbb30q8+EiSEY2EAj+iJ93+hevLS/R5UyNebMGD9yeKF+W dCJ969hdL1QgRcslNVGcTpjddqm/k79YlLBKXxafHzElSGef/emaPDQkzYW8JvAsOIOkLKo3MKZe NUh6SNI9yVzxRXPiLGV9dNHa5536xd39TnqguwdfaN+eMGHGvUEtB25PoxkMtmfx5TG6Knn4txUl zIlDaSQ1vni11RlnStb0YQKwym8LmWGnZAC2RoCVzinxO+0LP4RdjM2EQIsuLshVSngz8+n/pZXM Wca8o5l3zpf1raCmam124zFBSnttcVHXaq7o9k756s5FqVUVe32kS+AzChlA9tlPPC2kalaMJX44 hkC31V2LyK58Z3x1dy062U7zRT0eWHY3lerl8074ws26/jk8VWW/706UMONvUKHJa5ocvZtoa6dt IkLPJkCAuxN1lBVzyNq2xXcH6nAgIDIwt7ZNeBNpQM0U9fsyp1560yDpoYjC6P0u3B3AFdQLPXXd 553s1SU986SEAKJnwdG4O+8Js/mBlWWC9EgJTV9qsv/JFtjVl3pnet1qC+NZX57OKXgVFG3t8DDI w0TqOYAYYCjceoI5zzvTC6d8iGMxpy+q1FvrVm2l/XknenG069fW4PfIJph3ld9pXtwdFFvVveTO KUpWixbTHEfBNFWLvrO88HclDbkpMWzuMAnn3A1eMS8JqJLAvLsqpwYPDjO9Up9qa+tNg93hLBiM DV90bUH5Xn0M7M57wqz+7vNlddlm3S8rai2DvH3S2JaMoLOmweK3Mmc6NNC1B2fnPV9G33dqUH4X m5cn1NEjq0W4AQZ3ExYkrMzpx4P/GnrTICNcKkJJOOXCWnQBbqe4k/mGwdmZt++OrezM15/tiRJm gb+q5zwmJIXAM5u6y+fws9jA9rwnzNmwX42nTIvPawoap+TgU1GqsvUO2WXcGucEdXIEFZaRmH3e CV78tISuNKzlchGXk28xx2on2fy887sIKEjf1Zb1DjzyvNO7uiluZVEEB1VVHBEvMe3B/raV6u/s rpswt5Lv4t/WSg3jYd5aI02otRJgyDOA9GauR8Gl6E2DwbnAp4LsbIfsjN2ac0xH/ryTu/4dTVCC J8tO3HPCd3aXdc9M/IkaN8znKJTUzCrjFBbZh+dhi5n1Vm8sprj4/mCmVemwkXHBzEroQYNrCVB4 pHIPoQrsPX/bnTbZz+CicqJv+b7Xjr9flDDP1P9JCCUE4nsT5ctoslbT0cR7SgtxnhqPErHU/NvT GhnvtC4ce+JvGpNhLT65WaPtQZrbKf3KSCYzhRIUSi5G4RayAMfh807q6uLjE1qQfdHZgSOY0uXO N4wm6LfY4hVFIYl9j06UL0OKB4pP13mmma7myaEt5elocWp73vPlZMbdTa5yrT+RZzfwtFbh9pRJ cx5sD00laIhM+q2UR6kl8c7n6sbhP7GUuFxg6X/4Sy30momInCmXzzufi52Me3qI85I5lCfM73wu NnoyTSCWzUSHiSGPQo6e0BfFZlvvdC78cTieYAlki+0PXM3XbjKyhLkcubfnAjhbCG3xxwGVpeg7 k4ubQwmJplK0WSkK8a7Ghhjgsp93Ihez5fX1ZQHisvb9sN6TZSVZYpgIATfXI47QjIRDKBB3Juou J1agEEfzxXeGxjOJgqxtVHByZMqHXiLwFjwBNtaiCvs7iavL3Rm9L9lTezU3YCYnfO3o9fPO4eLB +R6lUwQZMq62O1GyjNKhduKa8V3RHkMAjMWEB648bOpxd+LustnDJl8ugvL8D1OjibMzo9C2oyxA pE7JcX4W1ZCMBti3XjXqgekuJ9CAi5C4sIpiVD4V7+edwtXNqO+Za6H7yT6+tifKlpEn10ycHW/0 mi4Iw6y8gX80Btc7gatfdZYm5kQj539Sqhbf6fk36QeWYVpEdtKkZeokEi5f3YhOluQ7gYv9KIbl RpWfzeoNh/Qk3qjnkOStkxG+E7j+yQgRdlCil3or0Xf6lrX8AU62uV8epTvRtk2b+8EuSvsT9peZ KAOD7MvFG2zYyc2lSvTk4MLDTWHN4IF6AbjUY/i8k7duk2cSlHqWczPK+QFKXYsklnGS6M87e4uz n+/zQ4W1fRPmd+oWMx44P2gvCMzoNvnraQ7LeCol6xL/lQgVp9ayUHH7QcUNcBkaxSYQ4eRfDLIE EkPgvoTi1vFBs+XzztvqbpHRl2DKy1qoE91x5btj7c87bQtvmL+7POB/UAPbEINRvoxiHk0wCR1V mQwBgbtoLkQ5hA7QBvLld9KWjUaA8qrFl4sZPPUwEMoyI8zQEycEFxyktgbunTudIHz7nbPVXVl0 kc+MRSpjAPMmVlunXp+fd8pWNzHAJ+fh0K8NDz7vhC08lqaZLhfC2yenfEStYSaIFurCiLahR/jO 1yI+i4dHIy4u9nG1IstlzrbAUiptSNWHQixJHWbD8iCT/LzTtfrDtDEVLQPzABAhsCl+7uedrWXN hG+kE3KE9ZyeMGNGtUNjdvUIRZaAkF9tSn4WYPmqtt65WvhXmPKcRG/64uOJk52ccMHZVu/QX5O8 2O4gkZzzvmlGKB0/IPI+71St/iiKpruok3ECZ1Y9MdbnnajVH0M5u9gxY6/P4XlPmEEYWNTKMj3R zMqFNCQSCOBsDva5Is87Tas79bhKVbS6oi5K9XFuz9QUecBhWCREpsS22TngRNebbC+uz887TYuQ aqr5DI2Nh4+NzxVdGFfPv1Y+7yyt/+ovY+x/qlGv1N9JWswGEJfb8C5YmQbjxlTEIAfJERnvHK1b iVKpzRb/tBLUQyrpohWqlMUESeiZhr8gca/cHSq+fd4pWrcW7TpC3XuhgNATYwPFh/l5Z2h57/7u DrKLk/V4MfHOz+omBdU0SkcIIkrmnJ3zaFOdvnHH2O6EKbNdV6oU3L8VUJ4T8k8BukVbB7VWpnMA U/KaTdf1ilied24W94Y1FoRPbOGdVSZNgwj/H593apYPDbkVU+hmfFjtbk6Ix+hMebK3l7s7PPTr ejUAWRbOPc6YWWkR9aPlbk6GRRgnNyfiQ+RISTVhsYiU6Vo16kp/52Wx2lUJWqcvGhqjD6sWYeHT 0dDYjw6LenydD9TpnZLFO6vS/8KxudkEQwelaLk7bflo4p2RxQ/L+srJFx9N5AK+V1OLB6I0lFmr aFlkXMU5P1Gn60WDLoYap+SzaFElCnIBN+dUuZ93OhZ+zew3Om9/FNb98gBamC1LNDR7kZ6ekXEd 1sRYLkvTQuRyVWNQhNRitwnYWCddXp1akqf6ZOXF7woDENoSAax6UXJJbxqg5CjcA10bXxh14ICh jyWtiqeDbPDCc4Xk5anxyUQLc2X+7cowZaN+LR22ZLL6uXERdbQ7UXM5FYFUCO/mcu/zhPKzCJ5L Zd4lhCVaLZsNktsBW/amwY2F3UEbp/rCswOtBHlT7sSng4F6zV+VFn436igZhyTMlWEykmHpoUoL /EgpHBCPQ4WD7kS+/p4rC8WKjugavngDrG5MQVWoQ6HMlPqRfheIk9V0dTI6G2D9dyrfZh9WC3cH nhpq0pysBE9H6FzfHUscAQx2MEaPUmV601OE13wcsgBhBKmr/fUIPvUIjDG0LZKabVdqFnlOO2eG rpEZQvxlmgIbDjrG9HPdDhiZuvgZQSVBgZXJgKVF9zmc2HlnnUQWT0fd0+9cELjOp8fTw0S5ckv2 FepZy8cSwxCEnaEWiXKPWsudfa0yx12szKKYc19JimH73OZ0kyFxv3XqGVJAVTRZAnl6lCmrxwOb FS74hrAp5xYcEpdC0xCPB5S++T1Oz5DSq614NthDUh+6GLQmldIT6zs4f21yh+hxAf0c4sB6lCtP KjydEJl8ubkyEN0cKmKqB40vAJ0GWRNgB9AZ1oFg1E/tUbJMEOlMFA/RojK9zMnjA6AHng4Cc/KP i0RrzrfuyLhHzD6MluSXXMUMoE46DZtQD6OU6OOEXdahPQZjMI8xht984AYjJVwnciPaHbYohMmB PoFWD2c33l4m27lH7eU1JfNkPiCpcDQO/MImlBkpGp4Odmf+A1VBW4Gej9qdMFnmUKu6dTfmf/q6 Zu/KEReBB0Ty9JDeNwV0ksHFmreD2iGcTqAWBjcnNHNMDGUMunwi9Mx7dmjP2CP4skk8kaGixXBg jQ08cqrw9P/ybZFXjINhuxP2lzHqr8zQBcboRtHvsK3P6mF0350wWx6qP0l+rPkhP+4KcLdGoqc6 Ofkgd2cBN9YKivT0ZWqx9KZRYKbCw2R72RiPsK486ceWv9mYePp/oU3QLi7d2UR/z5YxtUF5BbRs /Y9C/5ZF7MCF0vBlbeh9NUbmKFvejMyVsFIt3h5cAHQvDf0yHVIEc8IUAxLleT5mDdPeNNgdTWyq 5jfV3JdPfJxdlJsTM/F0RJu4CaHRskEyvLd6hF4GBgcdvWwi+5QVgDjYos4C7WGJcOb2RGiMoZlo Iku0qV9XmS+Xk+g0gnDQ4YGJJ7vLA2kzZq2YS9rQD2zrip8R5DwUUtlJGO9k+Nx1Uv0h2kNvG09H EMLLKpYDKHLU7ZVoj5vL3B6y2GBYyb8R+oODbq50soD+tK6tqLm8hFKRUggXr7X2CaHNPHVWybSC o3cVsO8DzdnybE/XmwaVKMl+559KvqjYAuBDSjyp4emIWuzF1tCrIben47W4xVHKLCBYba4C2rIB wVqtzg24omAjai/rWj95cPLFK3V0dQbcMK2NkbSTYDMDQILcwdunNLEYUcacZTvQ8l14ejpwQV0d sIyng4y5/zMVJbh7+eEZUcasgTrBVTLvpooKQBIpUVYGWIxmPZ4RN5dFK1EVPnbzjPn8fycjyfSs OiVpm4XDr0RB4n4Oaq/rqba23jRi+0mkeQ5fVE+AmqFrZ248Hc2Mv+datAabt54YIXoZ2V4fRe45 J6U1oO7Yo7kAxnRLrxH1lukxdeIXd6dXmv0NXlsDPkCLOpKVPgHUla9k1rJCXz62gcbNwI8IAJYm hc5CfVEG7keeDIXtSMBQ8fRfnBsxUDiU9Dt9ROkyBphS69BQdHW3h1kpWT2Rug1FR5QuL22OuoQ9 kUw1WGxtlJ9Lpt0QY1GTrPKssimbLoLwHNCBH/ErFMME94aDwNaWhwQYPA1P/6lowAoNRU3xqcSI kuVOwR2i01inN5MFGUxlZQBL2XEGnVAHY6gEXdMXp2tNsIxRuKMSPVktEIP4rni7YZKW+hc41141 +LBovLSLBsfsf2JX5sp2eEYuGY9HDcK7PxoQEtp9NyjKl+GrDRKbJHSh6poUeAonhhiJVmqZcYOi fHkRLElXLlt8g1o9W0SvGxBpz1uRYZGmu/lBHNcCD7J7vmnE2CIvQLdW81sLIocyfwX8BU9Hgad/ bw85SW16sT5C9PKg4yvthKhOaljUCfNo1aJ1g4TG7YnQy4XI99Z1jPq4fZ5BD9MtnjG0hovwuS7/ CnWyq71DbPcINTE4z8zkN2kRbQIfGkLHic8DTwc5z/z+utj1Gd2LrRG1l6l/I5keTIyzxPUyv67J 3TmHJxs+d0QJs74uEKK1UJmNtIlTX+WUxDPG+InigphqQX/nHAfK/xiFH1EFPyNKedgjhA6KLWq+ t7F5WMvJzfB0NNXyYosD42p62bY7cb48fjRGkWicy3uPTlEU7M5YySRDRpQvmzSjrnYuF20AxW2j JJ30vZKdUzbaNJn9H6JVtD2726sGCTO1ZsDSxAIFMgYMOC61pbtg7IXng1q9ft9cjYOtS+IfccaM QTGSdcuYW/WhKMnp+dycu5nw4AhbzJUtsLru4rEHCs+NLMIT1pBvVqKdwHso6JBkaTNKsjpnvepv U9FTNhRfVKzLN4VaABlPB9szylfWo0PY/PzMsMeMDjjG3pR7AGO9sb1zbszBTSE34Gw3JWeihFkX e6MYtxZPmCGQMBjazvnpp4bIMogDFBrKCPQxslYGBc9niMegi9CiwZ0WzYxP6UbmBMo8PB3sTvOM WQgpjEeSX1wzypir9OLwTiJiNynHAS2zUaKfjBnFlHYn7DFLRI+foRanbM12gj0VXkA1xoiRhD+O RfBN9nKxTgOTRvyM3zhJe5BOtIfpzqwMnSgTyOPTf8UeDjYg8F7a8mprhgIZNC1nwUKRA5qlI/jM ksQX2DlPcxSYvxP+qpFG++2DbcgnQFltGOAA0xaEZgBkC+ixAPS4QAaFYWfYZOaA4vyf7Qt7Gef3 Jpb41CeTT0e9DO+hcjRKvYFy6aIzSpo1GF3J6aKKyEDKCT2HsTERJtyesMesm2tJQI5aznZ66Mi4 ZGwyV69Z+sy0PqfIeL5MbEQivmmwPZUoniFBp+GNsEQK8w9ZMQVPR9QJT3u4lZRHvCIHM8qaqcJO i2Oh39M2qvGU+RRYSb2Y+MyMsmaxz1vOd7l0yL1wLwoJdv4UAPFA5ACBGXkshtPeJsSliZ8RfFvs LXdmu1oUl1Gp0NEE060Z5cw0jLwaEARcpefshC3mSSlek42Ddea0arRY6bVp0qXdifAY1oCvJkHT Llm09ZM00yqIKNQNsxeiCHET4iYBmNQrrrn1pkFc7sLytLuok3EqXp5V2Krj6agP9o2xhHxYpgK4 dicCZOCVoIjX/yFiT0AO+rIGPKMZv6yoxWyf1FjNF2+DQXK5NGJQWz61qVr1GaBMDJJ/+oNXkcbp jDLmxBIpEbaiRXiVWqUlgjIOTwf1Vv++0xFKc79KnjPk+7HPk8ysrFK4XrtD0Il5UJiQ8Ax15EQo yeL75YfvV0Bx2KXKX6GOIjppoVIjMKU4NiK00UUWPyIA81DfdJELokXlxLkWxeFfm09HQKfbBON/ GdnoGj75mxEiA2JBGEr4aDR3k55pLPHYygD6SbvzR4e5tLs4/B3nx0SvIA0xTGOYvfcBXmh6mBPs gs0oYWYudqr83X1RL+OccuYQJ9I3PB3V6jddZpOQBPl6P62Q70eYHAXseadvc7Y/22byV7vi+mK5 NUOBDBHaFjnWXHww2ilBQBHCc0jyMtYNMsjM+RDVVfZXyhPKyQ3WWbvdRZ9WyU21eskFT0eAlfwV lgHQOcmpl+orSpdhvHbuENNlBJxHYgcQ+kiCWFZ21xF4VgTJGBqI5lx8+brSW6Fv9/m0NmLYVguV N3uht7xf6dPeNKLwU7eSCgdauDuEAfE3brXi6Uh75nYyWKNt3lxebK2ww0xLgmJY3EojMXXCiFuT NM+0TtgK82VpEQ4lPuN2MtbsJ8qUofb7Kf4GBYkh4tqt0TNvo4euS/gZv3WYZ23VF+P7DVUvde+K p4Nvq9SvjCcDdAfXQtudUGIZc6uSjUBbS7mRB+aqijxrWq2+YlAG+19j2kKpbKKdYALVbfQHlkDZ 2h2Ughh5UeLZ+u/nD9PwM6I2mFIdogm5CHIAxCvxuVSxDNPli89l7kdfoIvPXSHdD8VEk7Ie5TGm hlxjJevJA2iTlPGsEL2ss7N5eckpT2cHyHRIcWswuprsgKpSzILPn3W6TSea3jToMYuHXZ5FuzOH +ybljaejwPOPbhGvr0f/NMqWyVsonZCdRG2VIkCG9GJwdtDW1eBvxWQ/oW4lsfJFaTuBp58CS22M BEBhoXgIZzdwum/9Ss8slDH4GUEXbEqgm6ybfWXdx4kNXZOtlPH0/zSewCSy3w7qirJlekgWIjqZ 8dRr9UtFDsSdhdamwnKsjiHOlvFAL/J9naxiVynggMo/YGAvuBMdtQsNKB7MwdarRix1spGIAdKi qTqEfZnGjD7w9F8C5rrUcWu2K7m8onx5wSuJOh7Cvqsdhi5hM7k9iEYaJ2mF+bI+qiYFmuYoVEB0 Ic1C9DBQqPDbJK2EBGdosEDUzzC6m3PRFepjEN89OJnA0sW6gWAa56Lnn294OmKp+/YwBuOnpMtR XyHjD5GnbgorU6IHGQUwBxOIyHr+d9ATsv77igHMYldTk5DL3Z2xkDGzjbErtID5bcGxEtZ2wI5e PiT77ytKmBO/rZnSXRh5QIIvYpVkvuH7t7Wy99+LXH67bAlse0IIMyXT1pCwgc3tqNW4TNYJMnB+ eMIWs7anmk/SI8zTBmbXizlPB6iMMFQEnQIpSUSkrx4hjbRXjGGWbtFMvjD2ABWztD+r4ulgqn7B YIg+0Cco6fm2wgYz/r0m5DJynp6tCTakswJAEiF8PD2/6y8XOR+VfE/PWrADBzGGDeaJ2IztGchf aVG167231hh602h6Q8oWCQ9aRNkChZN//HNH4ungVr+Sadt7YPt+WztKmAetkYpX6nkt64F1kQOY EdIkCeLUId+PyOS6dLmva9K2yItr2wSYwZgg6yaDhX3q1EwFId8d9pd3lDCTPn1SQdpGcuHurLPJ 3Sp1Ph0xS8rX2Sk0Mdt+dvZ7vgwwGDWvtt9bdBRmA5XjTfqR7GnUiR2rY6i9I/x7/cK/n0ILmlG8 1dFgG1IUR3OwYrANmJsPb+jru0MMM88OeEG+WIcQdTv7Wo1vGFxbD1Gdw61OrxivRXeIYibvbpvm aZUpHAQyEhzK2QTrHLJye8KEWU2wUZovXos26K0tmSBS+41Vax6EsYNMt+edG5OWtKOEmey8c0WV 4Ytq0dbNGPoEejz9F92vqsKHoe89PCHdb7ACNU8flNAyaBsFCEjDOiUzzt6/YzLqUItwPC3C0Toa zIQwnwgEq19q6CbgVuCsBgUhJ96wkbGjhHnbVKIkX5QwJ4rro/ueNp7+S41Qxn71G+y0YwQzmu/T PPzAY5Tyw6iyFQd5gpQr7k6IYJ7alpx88cCTzq/fp8qJBKsPaUCwIkU5gT+22Wf2pBeNECtsgiFi 2SJmCboh9mVVPB1ADp6EUKi682MIm9LuhHJyjaOJ4eaZ01pfQ/qH5GxReoO7EyIyOI9pWRIi+Tk7 a0ESCdpIQGQMyHPa7Y+GAhCT/aLfZTW2o/YyBQ2HGONadHb6Nr+fmhaejgTTvMsjsWb0/puXojsC ZGAiVXvryTKebqJOBArwP+3WnQy5Qzk5kwQrd3F890qgWmp3IEYo/eVEHhhKVrkGdHmvNr1pRIeU ihfvru3DIVhxyyn05LIFT/9VbIlWin8keym6w3Q5E/ub3F+M9F2eHcY9XFp1ORtyx4AMNd+JPKhf ujP7JHFQuS1SQc2liLQFOzSWvb05+r3QHRY/I0gHRQpoBLpw0ac1ZUQIydmBp6Me2BN3CFX5hqvs sL0skOUsRkvSPgHrsKhxDqcSIQ86/5Uo7lTh3+7idxbQ6SUvM+49f2VVqxAO4ORk3UIUvFr+iCDu yDOgKxvszXXfz+Fn9glNFzwdFaIPAjWTR1fWnWrtKFdu4A9WdsuJQKVDGILykKxcpeGFZ4MRGEOK IHXKom7WW6ef2hKJzJAD/Um6q1zGMiniAxSEG5Xhj8U3jbJBCuhyIKXFrqzekwJt5htGdeg/Zlo4 f6lebeoUJcuNJGwI5WQO/XoxbsBOtAzfkJ9AaS23kjBbJm6ZAcYW258KqE3vkogduHwRueEyBpux kw/CE8fzQV7p+CHvG7TUQIUODxb6l/2QWlKK3MbOFvL5v6CW/C+jr42i0Lco1JNL1dRVqknjL0Nk oH/XxA8gAL7rn4m2iB2wNu/i5dYpOzFtZTW60BLrEhmmrTr+rG1cKyASHflTfqO2/V+ZA8CpeKP8 tJNu8fGgpng0RPjfRltiX7a6VPveE8NOpSU3V2jd6EmbvW2McBL96KhxnkIoM9s9/22XRCwt/NU5 PD5F9iDQG8BylBUb+fmj4l2zvWuEeWL4KQIz5w2lWfbDTuHF8v2crcXng/wnf/OOGxnet2bXpOP1 sSSFEoPs1rbHP3cYB8jDPQRSJMbMed45P3Joaw9J6aQ+dZxImuQoNcqiOdoiJCNTBCtdWCHHY/wp EalflSnl8rloVrGGYt8J/o2PRw3n7/wZH0dZNwfKKUqgU+YnSWYACV1IdHCRTShMVCLDiE/SKYpa zkNC1dDBs8XbYucrSAUJK760dHI7GUtBmakCrbofOREO9/hDgnmFdLxpYqZFPeeCnAh32YaTQAoh GpfazyIe3YqGlqlvUdh2rhLWFQen1ur+SZs9WYoZQcnKglHYd7ZRjlViX/X7KdXhY7LtQ9tbqszs yGESQwtw64zxtscP+RU+t1LzxehKSV82ADh8/H2L6tUoxL2Pn02dB9+hUDkDgRoCOIKxlMaBINGX VsufmhtfD51MUpRKGwYqpeSLhaK2AdTdSodOobgLDbhgNEAdJSEvTcRxTHvXSC6MO0RnWS1GyWlD clhz6x2DjGiVrwuNdEA6mtgOxeoZ5Eg2i0SFTgaqxFKyKj6t7JEo7D5TkKYsmauvx1wdffpVs+Bg 0LmUF+HGZ1Ym1bE8EMmUmz8kqDY4D5x03gBybYt5cnKAzU8OGHY+/heMzuzt0A7qzyEKU+pNCvJy UlefNlVuZfsWYZZlWxSJaMjOvUq6p35L94B/1Xc2afhzjiiym3B3YaL6LYRgvr0p9jRhn6ztuyir nt0i7WiTjwefWfXrjCeOBIavQxT2oCnpOJa1gnqb2xplY2TT0QCkw3YoakKPpPGgztL+2qGTOWJC mKQbBqVqMizo3oXhG2G8hvnJxd41aAYxEG06e2kRjjclOcDAIZWPRzXrJREwcYFiBwc22qLQCXB2 2uIsw6qmaR3EQS09r1r9EAVWgMAmsBO91RDaT0PolJfnQ5AeTZ0YF3IX6bRAXxwUZwZWlTlFDrwA zcH5ZLqt+6K6dUmMUkLfOTQDnI8zzmQo+mcMlkM7QDItSuWJIf1/ZVPUwORPihrUDJQdYATfUJRu lWQCLkYQBHEVJq+Eb6B1L/+cAlEE1GtIWh9YXbJ3/RW/Qc9OLcqJsjwoAWKsfDxIrcv3oLDyAr54 5xw4AprkrsoFFvetmtVmY1zADo1EclPXPxP0zaowdbP64hf+WCcLmsWgdZDE4yEif3+xSusXlrmS vWs07SGVaUnYmk7nOET7pIMmAF8HH/9fSlgh9Z7EOnAFhIJ//paLb6nZdbaTKYbuQiKHPrMwsd6S IyYinYufoYRxPENYxjijT1VyorKdXwzkO+9Kc9ScA19AXQjARhGgwkV8nTo6EdD43vh4dOH7DskR h8H6CgDk0Bpw4Q0rSQv/4Xw8uST6dflddBxlYh14A0J/U4DwtH3xxn3C+BztDmaNcyYKJADGiusH LfRx2yBdV37oDgg5foRpZtZcFIp2VtEMXWQ+Ho1U99d9RqDnLs8pigScMQ1Fy9Qkxeq0Qg1i/opO y3Rauv6ZKLFmCEo6Rane7wyq94sixwQsUNmQzAJ06dFFXeWxQqY6Sw4cAhGJWOQ3ooG4sGwFZU8q qOfG5OPR7Kd+RSLg++ALencoalGj/wZfNk+st6sUj2zuHusUiNUPUQjpUAErLBQXr84atRfQQMFU os7FwcasNITBoeSF6pCXaS8bJUXEka1RfGEf5BR4e0pno2w+HgTri1tgKEJDJn0F61BpgzrsEHUg ZKqxYUZYB3RNBOvoy0DiOTAKhJwJv7Mm5qA8MXnjY5pxonUaQomXDqsKZNaU7ZoI1k5PKem+62/X 2UoqQZKV+PNUHIk9jPPP6PG/pEjY9N/s3j4XfphYTwJfajUx3k16k0zmJBJwgnXp7v8bNqulGyaX 0vK4lJ7SboEolalOd4IObdpOIg9EIt2HctmPGomMOEO3QGJ7QEtbvgjbgcaleCcr8/Go+PCGNa8u fPp7PlsUwqGJDYJImoI1narJUlG2vcEDWc2/sxjeIaVijRP7fGbQJ/qf/ZhS3ADrD60y6I8jswZA E3AeSxvlIB06BoqgMtUMmT5SWRWJurQxy+DjQe1Rbks/sd0IKki6WxR6BtIbnch7zYP2MhDDSatM bZ+kGW1RaBq4DNdq2iT5dq3PHxu9oaUr/3wd9OA9vxNaaoBdlwcULXRZDl0DpwBUo9gieBkpqHIm 6AWnKPANRAWcvk8RFJj35b/n0DgQ83EMO4pUbapmHAXKG7zoMfegBA1DUegcKHtN007lYqconcI+ DZNXPSkR2iKcmeG/2XB1YbKgRhENuvlDolA0CPHIyxchPU41TAWEUy9NPh4UH2V+hSIYfOd9hSBz 7B1IDjyQXpQIaIThwJSAtFC20nq+lreBeaA8gNj/qL54o2hV6NqI0gM1GKIYT86Gtin6dthb5Y0l 12Tv+psw0qZRiBb9kJNiLSkjnb8Nn49S63+Eo0j2vHYfOfAPRFufdq7OZAaeWaGo0QcCTOYukSRu UYiQVtVRKMLBxQ7RifrnhMrWYpS2EpQn4XJ2iqsGRO3Yt5fGWSZ/SDS158gjE4i38rBO0ZpDUje9 ZL1jxF35kgdnXgUKwrNFoSUKPlwKFZklyjLQh6loQjuRxCU5tocw6RJQLk/miASLEPsKd52eNGPD dQmHodrrnU1TeyzHLoKcVSy622mx4XQyBsKJSnw86up/W9rjyi/MRG2HopY1C8hMf10G62Xa14Oa YeKGTfccyqGR4OrCms3li99nEKkeqUskfNQsNDUlSgoVYma/grTKrEMnQX7mozVmj00eGlDF2KNJ aiQvPR4convjqxBHuUaHE9uiKLXGNADoD/Ge6hBeiN7kRVf+psur3WexgJ0+MBnrlAfgMGCsXrc8 vaC6yj8e0m36iM6fef0/NuGuOXQTxBQPvKy6feEO9TRkJYNrmY8HiXW6OZE0J9Aoug4pOTQUhAhT zdLWIgLkoofqsENU6XCoQxR7pAjdUIcvnjcOiE006oieo3OOExn0mRomSDToCSd8TB72qsEOVfPe nr6oOutJI9hzhCof/wsSXFix/OxLMMyhoyC6otDk2xaFdlYPdnRmcIKA4FdRFAotUiT6DL0MWxxd BcnViTQLBwiOgsLFIvgALzQvXHqoRxQ6CuJDhG+chvile3Xf85Q06LkS+HgQg+Y/kGDsUu7PBxbl 1CiZACE1iEylzA5RIN1tlBdVu3R8YhNusejIAK+53to1lVN5yyv2xCDQ6AiIwLDx5IqZ0Zk9P86n u71rcH7Y+CB2xxZ9YafOX2qu1szHox7RjUG80TAqXE8bLbQVpJVyT224KSVj0DbMvSSBIEyjGBT7 CmrWIdvF+mW7eLYB+FfpuqB50Ik0yrRDw8x07Gc63e1Vf8sXV6t3sRgEmWzu0Jh8PMqofSikzwmK KfPe9KGv4OY3pr40NDp0e5HxM0XJRPvFQGg5MBYUJaLAhSb54p1YWFHCb5EblArHT0Vtu4y8X/P7 Jth9t3cN5vdSx5bPzr4+OylTzIxlhN4xUpX6li4hSOaxscqxuyAal1V8eIpzZKNtrGZZEUQrHQUS 2guq/ZE3h9NcPKPudMSS9Dz+M0LP+cQQ/Rp85E9CvC7aiujyHBoMTvmkyNaSi35KPlUoVQDaSIPP /7VHEhsdqDzuAL+GnENqS62RbLJIzR0pdDTTERhsWuoUxZYp2hyydLl4Fw1g10UxuvOfFsVr0UWj hxZGBoOSiFXGF83eNcgXKWk3iejWoh+SKIiLLapZLxl9aOt+aBkeIlBZv9X9Ly6DNEsZwpajbDIh isWGDbCwWWq+qDoCm0FnrRINaYsDriYMBKuxo9ZJXRaRMux5sOcEFSynR9EPLQdeg9kcv8hQsoUd kDQMtXcq4sHHg/vsn5kH8YWrPV9aCAMBDvDcJ8m0xGm3wtq1THMQPtVAszZa6DdoauvD1BSuWvbq DYaATUL9aJR11a5QHKT270xXwG1atI7oh/wqTvoj7mq3bvVOkHpho3Gj/xE4DuLqLl87tLb8ZWx/ wl51Y4d6ueKCwOaLjnE+8EDBaJEoAoE0I6/KUm/fWI3WSVokP6G2P/tT9tDAo6EPWnntugSXemih 4yDzMtjDL1+EJDr7Sm7u2fvBxyNieP6K1cQi5PXsUNiqxpEsguOrhebymvRDYguN4HXtUJxQqyoT 8Lzux9gKYn19SiFxn+R3UK9/Ew8LEpngjYbHX/auwTdGosI57dUXgRq7STJCHJSP/5UzavjH4dsz EgptByfkgjLM1iFPhpGoWkWjlUY1CgOB2EcWJtUiA20pMOxHkr43uk9RmOIUB6VVb6GdKIBUnHpF 1mXszd41Auxx5srpiRZe+AvYLjm8Yjgd+g6O/A9DHC7zpe8nVP8u3dERLtlDI3jZnMyh4DZO0riI 3ev6ZyIUCEN1ndMX+86gJLAlXoTMZQ1wr3ib0SwIf1EMAi1tpC5ODswHceNr4iHZoGSyQec48Y5k qJ58PLjw7zFSIxYDqaf9EdoPDuIvKZpA3kvPjvukbYxYQdUr18B/EC6GrO3HvouNpxMlJEuiCxhs UKs6/hhaEfKJ79lKV4zT9a5RqFZxT2oZF82EAJyU+elafDzSA/xOGwsbH/0eotCBcCKvzYTi4DJD FDUQCDC6iV1GZnc8RKEF4RriBNEelot3GRukZmltdRLrDos9tmyp64fuR+23OBtKiQIPwmzF2bJO WrKscSYgZfhLn8SFjweX2aM1gP2kZSOyZN+iKLMmHAyGA1bfd9M7OZ86twi6bsWpZTmwIUycmyAG reqLh6JTZ0JMkz208/2RFkqNnOLD4eZZI7Sp9a4RxgExiKp6WBBz8Gst1MtMicbJ0vn8X1oe7FVj 0kXFe9uiKLFm9tbacPkyuq+TycBGJ7LGQl4mL7TYi7DLT85EPR7e754FnuU0Wq+4GoUvzvAZK3DL ahcYS54xf0Y0EpJ7LmXMuBgPpm5TjB6bj/8l0cXLj4OHB9MYuBHCF4wEvKw5UBUug8BYFJqYEkGn d9h1FtoRDkPEiieUHp5QAs5zUNcxtwURddLQYBCGuSJ3yUO1n6E4q2b9ustduEMDpnXMGdusfDw4 Qu17hwDYy/upzUJHQkbJcr0+y23DrtmScaMB2FPhEVgS4gixfh3zLg6MRUCTROu58MskWh8IB0wl kFrPr6+MXgc5dCVsVUXZvx7nawJ6K+ALuoyhLSFRbPrIqCfU2Sl6AlGYWBdq22bDNBYhiSg9YNPY NSENbF9ZTFQUpnF1X7xLRJEKmg0A6r82+Bn4X8LKC/Q4yMC7HBVpnDlwJnRl7UkNaC0C7J0d0l10 ij8+/qc2A6Xw8On3NJ8PLRTDyyQMdeHxIQmUNXqdxESzfm0u7pEDe0IENPP9zL54N/+Eh4TLh8F6 wa6oiXZFYKoaNg5xoONwDgwKMRJiiV9oS8OFH9p5vSWJ2nNZ8vEI1Ji/YjWAl3nd0evnJSVq5yf+ P6TUkIZJVnsU11IcxaiLcCi0TtpLQnT+kXOCiZ/qhRCQ7o3knOm1ncY2I6w9mRfSs5kSAEDx4Lr/ klJ8SYf4nknOn1W4YU9LQXVdQy65Cw//19bw/VyiVCBIJkPEIQv9Fu3MpNkKYUPLnLzJgBlsddFt GDBixehgZ8wwtklGsT0yiut8UeDUE6Z3irOmI5aJVkO2h7ai7Yyhzl935lwC9BLpnTcYF+EYc1WP GnCDz0sSxF+ymvZAMxQjUrtFBU5szUsKxKeAYMHQ2nxo+iCggcO54mYZFAFCcH5JgHRoBM/bLDS4 WBad97lC91Dz9YQgZmU8NGi+ouxi8zW5khne8/3QFMrbn6w8+aJK7CRoslnpu31ech/tq4E9mjUV cfDwaXJnXjIfHRoC5LY1EmtJ066tRnQdRd6ak+9e8h7+I9Kq6HS+0OKHBvO5RlQFDHhE0ke0QS45 iDlrV8WMo7GXtIc/Iks2cVGxIgkwoV5ZYify55zJ/HnJeuy3LF9bA+7Zztl25iXlsc+JLhDZhvPZ r/GTNrO7SGPDaiSFl4RHfxPCoyDO6osnzSAkds4PMhDOc6vjiA+sQMuHdbFMMiD5gfcMAo2wC13i pH0ZWnFAW4bAqH4y5pdkRw+3e2Z4a6Onl6pvzUuuw6eQ2wBkJl4Ljo9BqFophuaEoJ95WQZbQ7sL 3E3DFy9Kz70BHD6xnIOYl855GGDA4FNsooMWgYq00H3Jc/ievE5GWxTy4KIY3NhtR/rU9+cly9Hf v1XfGh4RtsKLR5qXHEeRu4gSYkaNXeBxNFeF58RINnvf5yXD0dbYcWEu2KV2xK0p55DXTV3f822n njSLp0wRDTLYu7Q0mRIwL/mNvif6EeQqPw13S4PuFIUMf5CEf16yG4Upy24s0pChlaftzEtmoxiM HhvaMnZo2DtDpCne1VjyxKWQbRSDOUTtFPjU4nU6VO9WM6e01KB/90OuNK4n1Cj1gUgPvef79TQl QQp0rC0qH7pSjZ+ClvNLTmPvdw+NRJiQNmAqwK15aRbqUius0Oe0CXMyZcDR63YRhroMB/TSKtSn bLNBQje53JsbjS5p2J5sHzyE8WOzMCraZEIivVdITa5ob3Z7mXth6NrEqaTQZrA3/Ctob5LfUCyT tDkvbUKdVLTFL88Z4tkihZ9qcZksPVV5JcIQpTWszNtSzFnrflFoZEBmE3+w843OKTZZwqwZToWw H31M0ugY8tIi1B+AFnKDTCMt6sWj2c/Lu0KRK4o2495QHI4hKrKONo5jsDkcyPW8xVWBuYHwUSjl JKp0ctnkDcIoHda0C2J/vtxG/EmVditM+k7K0zZNIRL8cytIB5J+MYUKUeejozOKJMWzL9ydc3nL 77CcF/28ccT0Xa38fYHL/sZPzhtD7F6NECyWJyN0NocVUwTxQJAryUuEgPFod9gTbcjvbLHdQdCZ afCQDLBwoLoFvjOmE4D2skyxSU6vIh1E8VhO3WXdRWBoHFH2l1sGXDwKyNuzYsaqkqSKb9sTZcUJ d1Ub3YA/rZrcwoAmBcPOhrGLA3+C7dlUE4COpi+XtXKuwUIf4AwZ7VKFuJc68/nvQf7A/TC0O1Fe 3GUAttX02lYynDIPfX3uDppe74mxidp/JcYL4tDbdyfKjLEbAEPZsB2mmxaUt4u/TDpzatgeROWu 1Fgzrl6/W+95Ah7DAc5cQNAnoaIYPs5nDSa+IQ95lb8RwyzJZKnZWVdxsaqhZUkBnqvl88YLs8jj VxZuFoLVqeSh3XnPjs/P5NlB911wjVlNzW2TAQK4RkE5Z72caHeIEB9CiI8vhPjGJHExSQdR/pTi BCs0QuAX8V9oU+jLmmpThIGHLZwsFnhOXlPBfJcJ7sKQPUqQxUXCZhB+h5y3AMRn2xNlyJ1SgMn0 xM9/AirkJH9QDxg/8sMguIDdwPcUWbbW+KY4/eNyI8+p08eURxrapT5DxSUPwSlStr/9MN74YPo4 MPyBNHD3hYhDAEGyBlutft7oYKoE8j9xGeCqUy3eyBNmyYtfVLMRO0KP08F6shH7KE4wiNJkCUl2 mRr0x9Rgg2UDbzcmg5TtnxJkqJSkGaJgqFcxmfC8ccH0C3LgtwnY0aJWKa4wkpdO7fZ5o4Lp9PT2 bw0BAsa+t3qUKsMVEV657sRTa7exX78qpKM4KzXKlTVeb6NkX+72nNND4AqqiBOKK2N3ht1Hwah9 zKuezd/480YE0wlV/cCPS4sQLJA6lYdcn583Hti9Wb+rT5Mk9u15T5dNhjRzEGLi4uKBnfhhoJYF 3TG71qN8WXbCZx+SL15kwcMS5CZxnM5Gq+GM6UGeImf69rAV8HkjgVlsJbKHTihaFHvQ2BeTEEIv UcK8k0dmojOGf9vanShfxnjv3DTW4YL9dbJeFzMV9roAzpAQTpgws9nV7RPjr2m7U9A0Iy8KzazW inS7wBY6d+RJPfM1DJkiWkYJM7syk35ttih7KXDO/SG4Z33e+F+WMX3nPJ2mwtU/rTf2l2516ioM qz3h7WkEuVqSDJ1O7EgGfnojf31/WptWPFw8MHfYbVBdFwi6kwNxopxRfzD8gLZ/SSlFb/p+b1E6 a8qoQYsmEOAGiWNZ8ueN+WU5Qf7aHWDfC+di2p0oYeZgtWjmSYChkSzGzRJXvwDDN96XVfqssooS 5vIkzBUJk/xCz7V0UgiKmEPcYsDOfP/ASP1S44reNGqvs921OS/monICjSQWW7PNzxvry66tG3iq NQTzal6mv7G+dGCB4i15m+dDqblZ4CnmA7E6ZmtqI78nzMDfSSxAuhPjqgOBaXFK2cmeIEZiE/rg YDRlAd3O558eEzCKcryRvizrYeShPqYWwZx7lcz4Oabt80b5sozZsx4xcwAv4xem/QlT5klPjCHw 90kKObwCf4F/J3hiVDg46uOKUuZE0GUflMbuLr/Lj6sD5rx0fNogTAUfF0r2TqUA7I3gKkQ5fN4Y X/ePkOGUdRfuz4awguQkM5+O+snfDTCqCc47n3mje6mI7RJct6SQ8AaTz/Ze+5rDVGzf2F5WrM+3 FtiJzChMsnCXfZ2vSkqkBSGOXtgkLZpXEVmVb2Qv+7pYSgg0ty9orqABWlSsl88b10s3657f06sq gSrfnShlrkTu9mWxp9IMm+BmVV4gi7D9z92JusrdGoSa7OU72Utw1SsJ8m6gC+Is6fBAAaESdtS+ XKmX3jRIeoRp3iostrszUrhToNS5Pm88Lzve3nJnYATEjjm6bc97yiy3VXQGXXir2TE6L9AMCraE z8D2vKfMSA54rTdO+Xobd3Y+TsaTRQzEnPMULFuiUpBAxZW+0+30KOl5Y3ndORk0FtJdVKyfS13S 6SvtzxvJy+a736OayT7YTQnfKF5PZG7TZBHR4StejtpEYlci0rk77xkzUHo4NaNy+MnFDs9J2EDq WlXKACegsjXfOipRjOmIwnCJkq03jXaHhwcMZ1sEJFxJWJ7asDvvGTOavLeVQQPCQeWle3jCBjPk NxrnBswJ1YVHOUrtJkC+K60puT3vGTO6v+O9l7EhPr3NnvFkPCyqUI6COjQZ9caDABt60yhjZh0q OzAuLEf31IUnLak3hpfN7J7pMIeCKG538Xr0jeKl/UE3oVHdXagL/7iGpIEqyCfJCq43hpd1M/BV DblTc/HYc9797HmXueecTBfIsmwyhe5kCCoyIzn7vBG87PhwNJxFoczWqoWmoER/W8rr88bvUk5p IMsmg8bEEsenfW/sLmVLVO6HCrQmN7WYeEtXpjiIZTYm9xu566uiOHlp9cV2Z2w4SRTdW/VsVFGv JzGOoACZ65FuKXrTYH6+JEG2hi9CWJ7rbwnsPfLnjdt12+B2evBmyHNz9dDzRu2yE5uu5MakY7dN 0OE+rmHoRjam3YmbzPidJwdgWvzbQjWUtTsw42mVafVA0gP85qCUnqAFVe8Z7A2L0HOZZl/UYYaX sPqier/gy0r/IFJY/jX/rt5IXTo5yDD7WBaWz09Vl3Dyo5TQH/aP39Ubp8vQOgg4gxojWmxv2jy5 Ymkym8nt1EhN6BWkr40np194AWbUnzdGl1VbCjjEsnGxk0OjPjIO+IZ/hWXuBO+rfU9OlC53CH5K vlxammbyeULktit9EJzJ3XlPl70WHRL1HVfUFzxk9KzZtTiFA6T55X+As0QfjkzmrcRGqSHxRuay mShpJku2sIupCMutcuLytI36vHG59Ad8EkIiwYtAdb4/Ub6MHg44ni6PQOIiPTHSqo7AKL4/UY85 cZg+6JGqxb8swNRm41z07Lg4Gmh2nD8z0BiP7ylL0TcWl20O8dyTCiRc1OTBoJ83+on4nzcSlyXL XkoQS3nSrX1Hom8ULhuH0dPA/AtgwGS8gCa3KxBwhqc7bwwuq/J4kQ+lzGOs5+SUUy9AERLpTjuH hZtXiIBKBZT/Z65F4fU3ApfO+JIPQS6+6LuCDq4ERnv9vPG3rAM2v9Mdeh6x+6/tiXJllFjwzkoC UVLpytRZRJZA2FkmTP9G4PoqtNoSFG49ULhTzMAWUzpRg7xkAVQgBV2plr2uFjQAVnzV33pgifh7 LRoan1xTYLia6ueNv2XZwK1D1fQASOpOJ974W1anb17j1n6nC7JBMbpBMRqpMAR9xf1lURYEiyPl EtYTqCUWJJy2+ssnbyK/r2x0L8okHi5fzQiWw583+pZ1pKYCstJCFPmdG5QGFa1++joJ4Rt9yy7n +RWYJ4dx+35eUbrciOWewwIzKHqWDpZukANiRLU/YYNZE9EpjP1MN+GpsKFeBFGQFXASZg5GE25L TG6gNOECvkwH36hb1uaRVr9I7SgjCTHLkC4jFh3NBT4fFaPeJtR/Ge+a2gUNRvnyZL6sodaksJ8B wHq2nsZa1eX83phbennK+MFDzxcHx53EGNtCRsmGtDQvtwx2K6Sgf4Df9fNT7E2D+Q2j8mAPRIv6 YFDX4OdxPubPG23L3rB83+voiux7b72xtuxeL2STSOWoduI4AMTd7IxQDQG27BUZ4RtpS5+XGjyy Iur1UfU5j06o4DH84FZsIpPQVRRtMJqv2nyCEO43zpYCAd2KNpvFWBKzu30SZwkjnD/n/LxRtmy6 5dUE5xNoUDLz0u7EoAycGOD4CsXFFmWy0EWDVlRFE3UB8djQJHwjbN1Ll9i46ovXWic090YcFtjs uBGl6DNpVlrUYzY8DwDBnze+ln1cSwP1u1gXrEubE44Mnze6ljUTvkMPgXbrOTthxoz5QFW3fVA1 31Szpvx4COIeJiz2xtXSv2KKvUxoWr62wkh51siZjYxxaulC8+qySc0ANnnTgFD6fRhXfd6oWvrr VwqJFkoac1EH/tztnE0h7/+8EbUs8HzXWg3Zdx0ed954WsoH0NEvlISjjKi0sEFDknMa9Pm364y8 0bTsYlfrlIC5nvNt80Ck7/yh1QQ7GcIJE6JpocEMpAml3U2tN9mbBvd61aHZ2RdDrEhoB82i8nlj aT1ZgZJCATigrnjRYG8sLUsH2AVbdnha31aK7mYl2EnYu6k/vpG07F5nm2eluzhkJeHiMs1wfKNj q43BcgvXWU1ejFLg9/PG0bJCHbXAmKSOcFEbA+IT7IqukzO/UbSseT++ilF2PaX8yN2JGsy4K4Hf 0p5ASFRzivPTTRsTodWmN28EreagKVznPBlcbHc6GJ1okfPSOmVX7RrwoI9Azme6VlfE87zRs57N mZkNCC28s0CgVf3U9/i8sbOskvWorAE6yeoXDPZGztJjnaoHxUd/y/QPYHVVHO7kLNE3btZ3MSrk 8vhCLrezNRBrl6/DudLFGWggrWR0TulUoU9LV/obNcsuRlahm53CtK2HPZGvGUC38Ong0qr/sCQQ c/ZFEr7RsprD8xBuspWilInnYHRbebp6mcY6emNl2XCCNSitgrX4l1V3O39e2jhVuCNT4UnQ78xe X3/iTteLBn2MRJ7EkC7EqDb3O1VpFeT9BPnPGyVLv2ZeX5tDP69+y4kWpcu0ywbv1DenLt8cn9yM 5iJ9LcQvKxvcFFTlYpsz94bArGxl0EJq5qs7FCdAAHqQcklvGiDlyOrrhI5qYdiBGqkExNKqeDrI Bp+pH+v6yr773Z4wWcagbAx3eweySk2wlI2JvYfIAdieqLms7TEALhdPeDbaPNTGocwBMJGEWVK8 axe63XkTDIEOPyO4tNR172qCdW+CwdCZCjjnCPHpaKjuVzqjFJV/qM0oLkmYLeNs5zks8MCPTYGn 9C1B9fMLFMN39/dsGR04dUUVePoTeM4f7ZRFVJxGd7lJJhTCQEh88LMft5TOLlgPKX2Dtah679l7 73B6F3blJIYVT0cYXc8Hm3JHwIMv0ybKlinFW4mqlYVDdpMLKUAA67TcrrtHiIyxOPZb1IHgot05 t/Y8IZgibefvvSnPx29rcU56Dum6bTAGE/yMoJaoQmSoDbatXhmwAM/Klk8t0aNsObX8lQ8C3bku 1KnH7WVyrn3qV+RqjsEEXcc0mHDOdY/aywbmoUOqFiXLTLTPh1MUeM6+kc0Fy691ymXKPfaLBJtE 8/QoW5ZbU5W1cAWDmt2HkyeUtbVRreDxAM7zD+NGYKt2ARk9ZPchlp3btpnUEy2N/wNzF3YfWImC 7kUsWI/y5TlFuJZv9/7CgkGqpQLrCvIEKoZFwXRqz8D4nX6wDgajfGqPEmYSO2dutIHhokI9GQn1 5DEFTweh+ULl+OcjqZiqOtqfiOMHsYVKL/Gqm4tKIeqjFtJoMdnaqkV7jMho75iDE5ahEUada9jq kQYOwAqqLXDKEWK9yVyL3jSY+635z72e6RC80zAkYT8HFU8H2zM8NG8etfOi80IOepww0z7GWAHg 4Jho4ZxmtXNuX0xyuTsxz4+JXpG0SnmkVYC6OJuliwuyy7Q+OdnbSScgtfIz17yHh76M/T1jRp9m swhtd1EtulYSD6sgOkYZ878fFyfiAB/b7oRNZmQcrZhLA87OMpF0TtootU/lCu7O7wlz2/Kk3q09 Z6eWAsdNfFvrRBsJzRECwxEOlPfvVHTpTaNPizz9Opsv7GM0aKRLJGxMPB1hwW4PTNf6eeF82X79 PWNGGdKpMrcRXPCfCNedpG3hg8KcA/A/fVpRxryNUkzpXS52eCYMvhrlLc/ndDIFsXQ4DDylOrvp NzTjMfyMYHsyOxhr3oXbg2GF5jdn//F0UKrX75E6oSMSv+LuRBBmtCCQwXZZ5xbh4yrwKgih3B2g 29gi7BEgo1MlpC/FnyXvSFzrZ0vOmeHsBRN0eLIzJzSVQtKTfPSHBL/iZ/wCBYNaf/aFn1ZHYqWC om08HRye+V1QFObwz+5EGTOxRrKR/g/bYckA3pMeSP/Bpw32uK6tqL0sc8He1M5o84ZlFFZAq3C8 BbaWNVCXdDgh9lOe3el6019r0SY0IfU4WW6ddIZ/jXLCPp6Ozs7+OjsZU+TCUasoxlHGjJhbbVSD Un0Un/5NQ7CcTKVZqT6i/vLkN3UO/PDF56KAo6Wh8QRCkKzhIJr6Q5Oa/hAi6V8xooSZCIGxycrV ouEWRAazmmAZTwcJ87/tZQK8L4xwRAlzpw8siHcSUBFFHzgJkqTt7Bi1ZMTtZY6C1Ufl4uXEuXFh FECMLjSNC7Miyc+hcUHNKq+2tt40aqCqB8a4w0XlRDNvj3IyBDwdtJcfsAoPDyrbeT+tEUKY0WYh Woef1nCl5pOdmYUFGt1FLcIR9ZcpSHkyPJZSUx2qwVvrBJp+8kLxtggXtSEYhgOdWa8NbtA6G/gR AciSTsuaSaCB6pYM8FYhtOv8Hzz9F/GG99MiI+qenShd1tyP/yXWE+5NBVSF0ZL6yjbWGlG6rLgz Jn/zMfm3xOZkmMAk2vVyrD7hN8TJF24x+PCVdEGE58sZ+BG/jP1mIr5ai6bqFSNkhp3Z8HQUdjxZ 5quhKVWu5sOIcmUqkefpVOMMqQGRktjIQtRpRMYy6kS5sujp/9VchlTI3mOZ2fv5gAiXY8sQ/01w lVL/AuhWvWoUd7g9PDQTjVkJVU2QF9giHLlkPB4cnluLMgKzz1i8lhhRtkyXrkzZFeqFbLuqUDgq 9VltkRGJ/YmyZTnCtC3sgd5W+wNo9KjiTmC20mSCRus9WjOWmw9iOMY3DT4tur32UbMvYpZAB0CN rZMPjgi/7NrVTXadpCXx/tP2hPhlzLQ7ZdcJQaUwEs27ShI/+2RczSCoI8IvUyr3VGmcjXLx7jva edSdZ/IzapKiY9OEk7iIq8FDePcIpTEIBSuQ1rCFHxfsezmiBrMQTwcZz0rfh4fzn+795RH1l1sj zoDeQcCjDwqAZTN4z/y4AKTX7kTZsq70noXryfxbZDEnTtbD0QfFz9MlbYFfg6YolOOdx49giZ8R ZDyLdfognZ+Luu+A1ohOuzaejgZb39QAVuiEvmh3wmx5sgk2r3DchRtMk0Y9+QRtuRP/ld/mWo1q 1FocbpDS+ccTXbk7MN2NkxuUpOgtc3xzZVU2UZYjTJdJ355d6ucwjRiSVsbUnto845xGPB8hDu7X xfSYXu/72aKwyYxJa812m9fqIy1AVuSXh8mopz1xk5l78yKDumBS3kUZncB3swefOOOiKKEEGiVa nbNeNWiFsfs+cDxtEQI+FRI9kFtmPB21Mm6jUOwSfNrD92eGXWYqfLL3S4VPyiNwNDrUIDxJP6wj GtVnopx5mV4mi/a+5jP8S1AhI46CXeZcpBvXaAV1who9TK2XQc3zGWMyqHUw5do1XZzxBLLODwR6 GXg62J72zVdfSIOow6rdiZJm2mTWTAW0QerxNnlP5BONBqZooWt3Qt6fiAFDdde4pu77/G070K1D YleVrj3og6H1Dpx8LxfwBF96/oxfeH+TItO26O4CfFF6nZNPR5pO3wUXUszSLk53xjoZZNROG+C0 5nLnc3RNLdRj4Lc1Q9qf5ltVDJz64HRPJYciml3UkwuejSNju+BjLugbY3jvOhlUh51xl9n8pYsv /LQgU63RZp98+n9htRF0wBpMuxMlzTkRiZG7D47dXYnSpBocw3FJuxP2mBfzQv5JtPh4q/fza7P9 CXectqtNKMi+4sS037OD+xk/I8Jk0FypSxjWkcCQKJniG5/CB09HmowXdCAwGLGE3iacUdpMZlCT thNKL8I6CeiZSWD4XWi6hT7YDHXkRFZfbfjinxanW7tY3rMJiAZZHdkfxihscVubEC0J/IxflCCA pKm+KC6DdEZqCcZbM0qaW/vmbZH7m57DE7aYcbP3kuSwUHsuTlbPw4SXG7VwkffMCJMhaeqedIbS unP1AvYRHIOs07PUT8w4PAUdSVT2/+hkzChpBnEcXMjRfFEvo2fzDZpj4OmoD/a9O1QTlSkOdyfC ZICQBEnzZZ8WB2nMe2rxBjybf/y0ohbzEp+29eyLlxQw9xlFMO9EPZGhS2tCXbz99AeyIrHTGeXM 5OpgEtp8UVa4qmTOkY7j6T9lMlhS4A/9NFFnTPrLLNKzpYUy5kK5Prf5ukEP2BTlQkk59jJGplIj F0eD9YR+u/gTYFZgimKM7Yy6AudGpLZFE4oZdZhF9ts08NZiXcJUs5zbN5+O0E7t69KipNy6g+MZ gTImESv1zkab+yn1tBWL0IczjPeMcmaSAk92QN00Lg5ChWNe64RZtgznEaGdsvC20F1/+BPsg80o ZZZF0KCnkxZR/vo5NLx0zk/A0xGe55/JKFnyt5kxQ8IfycYzF8NkgCCJ3Tm5WRZ45cSdYvXW/F0k Y4gowOUeHZEozAO4EQiDooOYDHYn8iX8KeEJVeWY8NCe0xaBwU4RxK4kUEl4OkoH99fuUMh1XIju ipJlRFAwozXuwxxKHmWj0IgBY9FWPOFZESTDhGdg2WmLR+WTKcM1ze6s1ZoMA2oSJIylj1/pnN2s EJLByp58Alu4O3C5LVJZrhVPR4S/b4iudMmGD9VX2GGuDDbmdIMC3U05pqHnVqfmI87OCiEZmhZX CcTWdCG6+D47e6UGfi8yoYLWGMloyBYsKi/eWSsWlaM/uxy213XYhrtnE55nVzwdgcF8d8hMQhxs t9BaodQyWGCF0rOMO7XZ0FjNLMYdMvkQd1aIydjWeFcbni3uxnRwQXyQlGfBeZKoSZsiB+f1UOV7 /x04DfyMqA3G/jvVg7QIcYCsnjBLilnGyXL9znfoC9Tv2QkpfxjddJ5TKmTQrZD2ZLsmU8gAUp3Z 4AoBzLrKp8Dv8wG/Q8C/FyslajtFg9wmgc0pBV8/q3SbTtib/sJqg/5p9cWIE0WoznouDzz9Z9yR RwD+GTpQa3+ibBnSftD/Zi+HCitdgAx4HPE/rQl8ACd/K6b8MfJMg5iWW0ykExlmmeoSrg1lOfbB YAlUQP+DzI4zbwA7ws8I+mCai07pWU7Xs4R2o5zJVsp4Omox9687Hc4ZxObZ7kTZMgWESlveB2sO yFhspCPyLGK8GJdjiQwCnUq+i0eeCcvXhC8JCK4T9g3vVJAo083l+biGQk+EyFgiRW4DhdmlvkEF Y+PtXJcDTweIjNtj5uHBIYCopm1PCGGWObrNJc6vtoely6sbT31ttyVbYbqsEjRLIiOP/nxcc4MV ZrStiQIXh6dUYkHLD3GwQuluDkZXKJHRmfJQfB7LEu/mlO8V4kI/PaWGp4Nr64G/C/CMn1OuysEK aX/gqjRCFNBkPteuZhVjdcI08g/0/c1bYoUg5i6OtVRR27xdHvRgN7MSdHnqNElzWLmdOws/YafL imQLfkUJM5GWY/Ey1qJr/ZRdxC4CZYWn3z+udbnqxtKBQcENzSHpr0kZo3op6ilhkpPUpoetn564 yawO6rjL7fIsuEkNiTsVsPWxO6fOzT9ktOT81SKkkfaKYcwa/wkMNg3Ouc9/c6raOrEETwdz9Ufc 6azQmi+QXLLtCRvM+PSb5FBJ23LzFmAdrAmGLVPWE+sw8z6SkggX72OccFw1kgKIOyWQ0wElBFgI h2zXe3PBOpFv+hsxaVdRb9z7BBiypvb5+SXwdHCv79uA394F2/di31HKjDevUmkTl38np0+Ua0vi ko075vwxKTQ/hVHut9UQnRvhDyhvZuUVeaIR+hXoruV+YQdyQN5Rykw1Z9CLmy/qEZYsT69TdfHp iF3y0NXxO6Rv6s1+T5lBLtkMkdUuruHyPIsC/jT3pSkedyfUyBgCg5ng8NNBTSeCQf2h8CMqq0xZ 28KEvEK8rO1r9EcjTvyMCMU86RXAe4uLDk+uGv7B4QlPR6p7/xQUnaYxHpd3CGNGMTqy07amHJJA EMDEhi1COK7Y7kQps4nF1t18cerNqUdHpxYPuu8FKGR8fURpQHoPUhU+OSYzaUcpc1UxOswF0621 zpVShUE+cR5PRyoZl2yMAh+zv+GT0R1S/shLqsMq9ZMQWg+s0qiSYCeNuLA5MSZDI1E1CvMDdqq0 hWyy1aI3UtVYHc33U6pTQsipN+xj7Chj3rIooX6fFsuYR9HROZUdng76p+kfaZ72z1R9hwBmTFCI shY9AH72ksiYRhk42egVxw8BzCYHpk1q6YIOYKlwvszMDmGGpR/L1YpkvJyD9IO/tRlo9qQX/Y2o vps6YW15sWX9O3xXFU//BXYyVB24G1fOcoeScp14nrk9KK9qQDllzmBttWXEpB1CMkQ1XiX74kM/ 6L1t2dSiYp+ZeMxTVEDSDbveL/pdfmM7VGEmTKELqcvFtqdLpPps+cLTkWaa16JSH8H/zX6B7U+E yQC093y7JlOE/l5SypN1z4MOjFyDKc8ONeWEYsqWN7d7adV5fvFEoDk6GdCno8dNB2QTF4ncA7r8 V+1Ngw7q4Le1yUziwmoLKjLsNbfUCp7+C9DDsEwt5+xosB2my4vGvdXay1lYA6owW8sZQ1mPPDEm w+Z9wxdHEiLrA/+GkactVnPYHWTFqMqg9avTU8hUw88I8sFCGbglRuRyRmQbqXb5iNeBpyMV5qcW 5SnE/+/54I77y5TH3+4quoq+spPw8CKDZ0ljPtj5r/wGf+/SQu1XC5VON7AsmRIFg7cLCbd1Sa78 /Ou3Et176kWjRoa8A/L0hZuzMrD03JzFp4Mb/TorYJOQp8PI3DcnSpbRJ6tV1nSsSa3RPAah+hRr LMNv9AiNMZXoDEMJPkaH4JWk3Mjibxu60hIQoeg1avQxrxzhbPamUTbINBA1rC06Oo0odoTazDeM Gqj/+GqhnE3V2xhSAw+357z+Fvq9LUmA/cAPAZ1BlFpE68i1JMyW5ZgwGVW42P6gHQMfts1aqyEG 4cJYCDxg4KPJdvNBXuqctb8Xk9ygnXSK0jAporWhRkPmzfmG+XwEtvTYI5syZMIwy/E9CmXl0Myg gUMVJAPZLTqFHRGzod5KcCOQO3YKc2ZpfqWRfXFET6WfOKv1sc7/BKTB2aNJ/HLjBPC6AjGZ4E/5 RecccoTJF2bNa81C/Ap4LHw8KirKV+7TkEftfk0E0nveDDVDYJ5a8X4PyfjE9PSlMnVTOE8eFCkE M0unempOMX1OcZLvcx5768KFIWdhmxD9WQS8jdbAI+Zds71rBHoqF8cMLZH+w3vr3IKWHY45Fp8P MqCrRMNRPA2YroVdTmG/GQla3ZYpn/DZrCyta03rGHK+qC8tNPiTgbh8Dbl4mD4lfFqz6xRB+rPR AgdT6ZophZUuspBXBH9KBC2UlMhIvvCSr4hsDCXni+PjET37e9a18YdfXw5KUQoN5f9KugZQYLU5 M3IgiJzPqwGgkY0jiX8m2CLqWnYz/BvPGDlxtsAknJic3QlgSSib6dlMyRVrG7Zl7xqMLAz0Qg1Z LgIh7L1F/tnwE0ghRuOamTAmYazXANfxLQr7zuiKNxrioXFYQf9D43DSk4+mtCd8YIt4iuLGM2/5 rplyr09nda1eKlUCkZjB4I6d1cp74vznOZ/WGG97/JAIPkfQd5KFZjII46Iih2RpThWGx9+3iGWy tggfA/5spXx9aGHvGYEyb+tr1CKcvJRpmkamc7s0fE5RLu3ubSv74rn0+QFK5zjZOWm22a/yA8ev gy/OxBzHtHeNMiKmiyUnX0TpWllyxOfl9Y5Rcz5/fWfkA5I7YjsUS2hgX8oFs0hGH8VYvh2yNreH orD9vORqkpsvfumDxjiB0EQ1dm4zSJ4DxYurbyK3Lh6JSrnvGpQb6h1SNQDLMvJJ6lIW6OcZPh7N Bv9pQFNqczxnKEqpF+nsvbvti8tZA8/irQ4iCLRDkZBG2fMfFPjjU3t+wLkj91WxzqQjF24p5vlf Ughm4ZtinWakRW0QachFWfU6NeVSiT75ePCZXRUWBnZ0unN9dijWnQNsIjniB56v6pRxtigpjTq6 71DYhRYlWR14Ln6dwWZ7USg8oy805fmbgf0ryF8I4zXMD3J6/pCgHcQmWapydKvu6HZqvsoZBFxC +fhfNavcsyDakR5Pt9ARkKHyHH+hWCB6Pp3D5D70rV7bssASEPQrKzqqL543AiHf5ha0pcMZRNZT eQv4g+mqoVXlUZEDT0AjJk/2RmxR8bGljCKx7xyaAnIM8hWIUPzUm1mHroCA1NfSKE1TGLRFaRot dZfUGAxEXf9MMGRmzTqyxOLzIxaP37v+f8a+LMmS2Eju/52lbAz7ovsfTHD3COQrMqOakmnQ4jC7 slHIQCy+QECTn9lJgY3czawfuDFM4y+sLtm7BrMMcpO3DIS2GwitsnZVeTZH5eNBYn11iZlAETrb LvE/B86AUN6lD8xyuaNunXuM4W2LBq2/tEWhcLME0RPTxpGetBGG04mTWiAP4fbLYEXPh3xSpB8q exgucyV71+AQ0Xazr66+RTdRsPPrKQx9Hc2PHJgDJmbIXzgOuiina7IUuANCjwFI8OWJNSWnGau3 H6eNnpR/ZlFiPYU6rAKS1ek7lBFGz//TsLmdkNEIwauoecgHwf/f+9IcNufAHxAEd4GkEGC1JDUJ G1XzsNGVj0f3vadE88bqve4OhWJ0mJLUlm2e2gR6gcdbswk9UN3mLJ4Di8CTrGSNxFL1xTv3+8Qo eJlx6HP+zk1E1UmMCHIjWul2p/t92QiuIKrgrr4oEp1ipwsLhLQtdAksV+tRWXjH7+g5RJGMc+9i KHubaA3roU1NV0G7EKWy66/5C4bYV72Lb5H0MMnaAaZ+FZkiZwx4YO+HZrZHIsqz5NAnMFNidsvc Yy87qQNiv/r35V74eKT3+KtT1Nkpeg5RyBrMHODbQAx9tGSHaBgW+pyh7TaToVPgEtZFUqDty6P0 HJp5dkZjZ3AwyGw+9w4t5nAokZFfyMu0l43YF0TO93wXTVah1SrdvrL5eBSsy1fWSG+jtJ8LPxTa 2PRu3SK3Q1h2OKoDP4qoDrXVeIQj7xPD0KsZwkVbdKqyc9ugQc1mI6Zg5rqUSJFuP0i9dOEX6qLy h0TXmVQxc/JFWeO5jCV0OZMej1D0NyfCc6BmnpS13y0Ke9WIk401hQnyFuOeUrOM9ic8G/rOwma1 SLmDtkpcvB8L55ze2MpHZ6UVVrqgFbINjM7oo0ZSh73s+x51mVdAx8AWXvm7VPMfPx8cH48Ur7/x 0AWQ8z2fpCgGRKOO7Mkgv0BWGjCxDCnQ7lSun2tsG9hkWS8mRnmYGAPOwGRA0iLm/PWiLwPLIbVD wHksbZSTdOgbSADLuZV290WZ9TiJlgquMvh4VHv8ql9BipxPQzZ0DoThRi3yLJUNnLMNinlZnAq6 2bAsh9aBkpPvTfdZ+2Kh5ppOQlGI3Tx3Ql7MiaBpg7QkybTUzfGyvWtQ4TONWXPakhV1T0bUOwFb 51eT+XykVZe+DxFKEWLVbItCsTqc8pqpvUHTH06eIYkElc5CCy+xeRCKQv9AVRS96uav+YKETqSv J5xKmYRuIhwKlYH/Jv+MrEx9InY6+UP+wkY3WgxrEdADxSwnirlMPv5Pnq4EFzjSvBdaaCFID7gu NUzK0Zon50QhKkW2vtrdojizFp8gd19si050GOh6c6oIk1fq1EN4Al91AyApW95Y2KfnD4laaYK4 5rvoh5ycapg5wWh8PkgcS/q60Brlye/QPgcugiDaZSJ/BbqDUaFRmbuutgx5m5p9i2KQtHFWki93 bD/RU+b0DGYmYxPKCMNywFwxhrqtNIpu84dEc3vCGjL7srgbVXzs0qTq10vWO0bklXF3COcQg4+n +AiNBEHmrIwJNnttZtyeN5uNFE+kN2XXXxMxUqXro+Frf4woF8Q/R5NfFVwcG2++SiUNiNiipeWj aWqP5dhKsPCuX88iZAP81Dh+PSkqH4/o3vP7DAHVximT7VDUsWaZXThCULA2rwskwW6Q0t3INIdu gku8p8JBIxdvFGF035eIhf3UaTKhhlcn2jTuPNQe4cMc2gmqxu8ElGtReQY6Ca/rvPR4dIbudcbE Bbg+IgFsi6LUGqhacNjtxh8SfMZQq5mq+qbdhd1noYBdt+J++OKfGTg+vek+g5aaTLgbiaJgXc/r AUJmCH9GgG9gpzojR7RFZ2jXzbEOCJ18PEisr9Y82YUckqcnVEcNa8DbKuXRhP9YLkwLrJ7jP7a3 YwNTQYlX4KbfxRfPGpFXLyC2zleWTqBI1Pat7Egs/HaGbxB1v/kzgg1ySdrii1X4sAbBP68wUEd5 9YMI5uQMv/k7Nws9BUllqfliHFJt1iMSA5wAkHmDUOiSYs0hQqfuSIsCiPB4RW1LkEOdapJ0tHKA FnpGQkMdotBT0KCu/2nQ1EqbUgY9lQEfD0LQLe6FxKoiVvv+RBl1IhuhdwvStRnS9ZSu1aB5Kzs0 Lwemgq7x1zYxm1x8aAaxwoZcGbBOsHeJ/CxkQVMFDaOhadPpbu8aAcpJhZJqySUyTliWm+hjzXw8 asQ+DFViSsHDvCco9BUExVwcL0kCSQ4bWmS03GAPDaaSikCxsaD1qHf3xTHlEPDNqYnffHZrsw1Q 6deLgenYz2i626v+lS2e1LP6YhEosY+M5vPk4//SfrbEEIIp+9YcobfgbqKWTGt/SPgGhB/CeliV 0XaTZygwFzxJp7zhpHzN5Y7vB+59wcrPh5XmNvtc5LWNd5lfY4SV8YcE03tC9LKIqvkSVU+lukTj bVnvGAAcLu6+WMMNzcqbC/1tMYgg8GPiHGXZFsliELyEPrMfopBwyInrORrbFx+8Yk7DbA5YIkyR of0DrZhxskXcs0ijHGxFcHkOXQalP78ax4tc9FPObmm03E5Rw+ejTfrlKSO9yhuKYqNBuRdccoKG 04CJFZNzWzSrtD0KM2rhxkfZvviHhgFBpdc4KAU9QQAaXTSkbZgkDoKKqrwv/F0jRi8drSj0qcW2 CEMCFuyV5yhKqcsF7AltjpBWngZIDXNqBIPW3dKq1ar6Y4CWIixsIe6YVUf9Wwu6kVWjxcFEUFuA nzJ7sZBfJiQrgY1f2XOC2qzTo2iKpt/z+5CPLUba2GsRHBZ4WBZmJ7v4KGl+R8p8D84gi0Lyo29R lFQjc0JDvFrtmnux2rWY1R5NFK2NFtoOqtPIKagt3gFpKD0Wf3U0r5SDGhEKcAqBKohruE0L2BH/ cEiRldpHWljeo4dQ1aNHAyQwHtTl/XxoJz6uixfOoe0gUFJo95lPLkYR3qzezj80tyv9Ne/7IyfP JoWtNvpFOAyoja6sGx+BTs3aTHfnxDnzo8GlHlroO0iS1ETT0heeoDnRk2NsYSAKEdU3pZa6Ga7Y r0AUi0Jj4IG5mJVlvTiZYw8ry8Z2xF7oPDjU9th7+uI50SytjKqp2fnEpvzOMwanlXareT6I/GXv GnxjSYjPdRd18zFUmSo6Oh+PskZvfjCzIxig3qostB4kjCpvjK8ovcCtRTefvdNC+jzRvvrGwqxa 1QYmFrb4jb/h7ENcHOQea5ayUKEKKHtD7ekydn/XSPwY4acTDaaFN/5eaUjet2A4HXgPWlV36UDU 8irER9oWheDqQiZiEjjv/AnJCtNGXL8NLlcdqjNCxobug5qatdzuYp9ZLnWuRWobuoEDHzJvM5p/ ERmWL52MGlv8KUEnNg2xEIsvajPO3ZvU5vfk48GFf8XXGZTxa5sP1ip2INw6n3bhE5dh1AU3sGwE AzJvDCwIoeXAor4J4tAeiMMcRLInel2BXS2WAx38MrQlSkteu4407F2jSM3ifgvYv9NFEtXMVjXM SPh49J19d/MLTUOuQ2wOXQiHuvlFaIZak5l9DmSQ508ZkYhI0K6/JurDEgSS2M3nYjt00lJYwXIk RBUPSSY3ZtboftR+q7OR/V0joQr2znjxauEOoQoV8Pdcynw8uMt+id7RULU8t1noREgwWFnbnWeG NdNg5eTu1GrIdv01UdJIWtAU6HM+oM/zlUEOoMr+oaI3aM1sjPeWMn9LGpmP84dEeD3k1Y3d5bMQ R/dDsBVUPH9gbFoWn48Ae/nrM2vIYYgXty2K8uqK+7btZjljT92dnVqXwseua/qFH/sRDvNBzb5c rNVI/Vz5PETIWCo71RVMV6qrtWsfMvK2V40wn+bgnXwxFkw207STo/Dxf6LRWJ3xvM9b4geOhLBC HZRC1hyo0v1XwpKN3ddz4Z872lPG2JJQ447/dMlIqPA78mqOFdcJqoJjFWpcgPgnwZP8fYjCpHpI vIxbxEVNkKVAihS08vF/CcJwM/DR7f3sUNipriSZm18RoFbJ69dpY8XNaol1R2BLiDas9EZFiWne qYYy4Mnvu4E+TzW7eNrKxty84qzNr8+MZgc5NCZs1GRfQBPYoqSx9SqnjJ0qH48ADvk7EJHLV59A FBqtbNlqu94SJ0dIGq1lDQ4DGEX2lYU0RbU2loaLxLdaWr3PduEJ6VZ0AiUBJJKLOG6CdeWoSOPM gTehS2vPKauVaeIYq5xyRZd124uPRzii/nWZ0S6opwevF9oTkkvQKDbLlAgaHxy8LnRlaXheCOXU fR9hQCqL10FJCi3eyz+fmWYcqDzSWIP6FpWI/jyH8HqGb6DlcA4cCuXUhrF9K77oMzuhTrjfuTYf jw7Rd+2KnnCG5I126POaEGV9nJX3rnr5jdcazpBk7AGtRueICdFrOpRBIse+TAZZLQbWO3knutCL KKKaMgyx2ezH/JaC2ejozC8hxddkKLuOIrJ0X1TY16GgB4nzz2sqlDE41enpgscwF9qsOfhZR1sz aIaKEYCQH2UZ/wXDz8IGmsatCNHB1sgLfmT2YIdbltIvA2DegWIXetgw4SCqgVqKJ8NCEuFbY5jz 161JFExDfwMzSC3KglIzc8KCFuzr1gACWW1reMSQVcOhVjvzmgHlzAoBeLqhQXSntZ1gQ7uZVwZd HhCaX/Of7HiPMfddHOF5Pp4TmLkzJxxBe4BTRpDWM2St1XtNrmSG93w/NEU31kp3UR2GWpgji5OD fV5Tn2zptw4N/6vIoNi7x868Jj5ZOsoY0S+7tGhYQpE3V/9do3qn4zXtya4PCO0qX+6AHsXRVkBe GwBeXm7IYQqQ2SCtuooZJ2OvWU82A5pTVMhJd9PUBd9TOgGMaTQYBJ/XpCebmjJ3gjNCMM82MYt0 YA0/J2DK85R1ZbWJPM1QyanHbB5gBLl9Rp/TZqRJbJFx8aQZiWBudKiGigGcUVlW4AOFNhKuDDPJ ICrg85rtINIIivcfeM490YKj8Hw/GfNrroOHqx8afjtAB4j3S7OkYGcIqSiap1IZMFvzp7nX8KIn rqwsg50hSxWt5u2Lo+7xPVG/BN2e82epv5UEXDPYfXv5FVUoOvN5zXJypgAYMNWl+KIYfI6PpCpK 35/XHCc/lk7dhN0wKyh+Zl4zHARudqUGte6KScHQpjFDDw/58vnZ1GHo+Ev+3JmtO3yvq/+ygQPa 5AxmgkKL/HMJqUb2hyvQc2Tqv7xmN9mQCrPQjksLd2acMCOF6JXH5zW3YZTyEMyYhBxLmmVUw49C MPprWbkfKgla+yHQlOkgcqBqTcU2DMGKMLqc1nM5Dci8tCTdoAqr7cyeNHU8iMOsDz4aDYLPa1KT 5ReVJZthC0MwHH7ULka7+TWl4fv5zjCJxu5SP5Q789ooxNdEfz3gGDReziZPf65JKmdj/D4dO/7a JszXNTfb7T1vCB7QemlU2T9f0ymPBfNIGOXB9wlpzXz6hNTjiraG3YtFwQ0tDDSnMJZ0R6HMZrA1 fXkIrnY7FUAmtTWvDUIeUxQMcqHGuKslM+tGnmaT93RFcaIQLFwLppq22L19AnCnrgK2ZmPcTg5H wd9YCeIjmskM0ugW8tocxPYvyQBSWpyLvicgSoU1gBRXFGmGZ3tV0CgAaWkex2wvyoQHZe5osY7N of4NvqOZWcVz6A5UjVHoot3hzB1WJr44s6dBoLXYJ3Vubk1UCyQuK+gG0nwxaQpx5qODI4sZyOTb IiA0MPv81+3Gp4OP6oYbzpPNsW349kTZMGDgKjbl6snTpkqKctDw3Wx0DCFUPEyHSesp5Ohy8XT4 RCsoRbNSAGg8sVEvgxnIa2ckczbDITHk884Ny/Kkx8Yox5nNTw+IQ2zStAygeBSOt19UDN34ps17 UFCR6KoS72mZ4FTrwwSnIKzKsLPh+uaon2B/1MjB9+iLt03bKIDNc8S1TxU4s0yH0RtEkQnlA/fC 0PZEWTE0FqAUo8hcXPx44NfHYNLQ8XpPi0F59+1hDxpY0jY98LyzwhiUOQE0VxAobBhvbvRpPdM1 3ZnxnRSGN2eJNOn3pMVPTzk1JhxCeJTPdyZN/wbhvoy0BdJdjjrkTf7OCWOG2VVhVl9UMzR2T1FN Lcwlotgzb9GAFBYYmLJH9u15z40ljol5nXVyTmJvVfjuW83lDQES7+RE28OQvBpPxvLhN7ZnQVlz i+6EcoEyZXXQ0ReYWchx1qFva6pLEcYedrq6gBrdEYcbemVKeTFgj9LjnPtXTYWsC3Sr+21FCXKn HJfszNF66n14vVnExtidQFt+W+8ZMiThGJOXmIXrEaIYJ0nJoMwRszrnkOJJJ5uDIlDpAllkhvFO BsvG5poS8tKCn4Exd8oyKWj1884Fy5C6a7+2B47Ged2PK8ySMTGpsuHBeJ1hg+P16czmDXKrOqVR mtyHujeUEeByGSrIAEkcRDe9J7mpqVhDj5/8C3UqJjOedyIY/oHyH6QynBaGnoVUil2cE/o/7zyw /LhhdGPwgHyxbxcnypQBlEbAaZ700LuSSi/VPNJo5qTQE6XKlu5Upcr1SZXXudLmpooB8QIgXCIw p8aaHDqw+bFwWnrT992BONU5NYWtrlJcOftE3CwttvOzPu8cMMaQuzsiXogW69vzni4b8qAkDiIS t0eoQxSf2UgF/fIuonxZw/Jh1rlz3nHf+QXBU1iEZhTslVJBbRKVQWJq8+3prCTe+V/ZxCNwYQxf NKnZU26ZJ0Lkzzv9C//O+23xzXCae7uRJ8qYMT2A4pYsGU8lQC1WgdATd+d8fIC5MTCHKTOnU1Pt cy6+O7MXDFYzk8IGIx7+1iAzB5jPD7glru8ikmWUMrMnMzG59YW7U2EnQVTGxrQ4ypmF/8NmYCc7 LYUx/VYbMEqZcYujhSDsZRWDD0MsDOv4p0Wjdsbld+JX9hnWmAw8XO6tPpAIFgYe4AJM16xyd4ic e2Sm0I34vPO+8NsvZHoPOVgOI3EuQIR4Ik74yp931ld+qPDq6vB+paaSdifKmFEJAelhTHhTDUDD C1e5RDbpPInA88754gkUf+BZvOM1Fl5+Sj5pbER7FBSA1lWoLMPt5dLiit40uLVoFpKKwnKxsAyD qz1lPNjm553x9fvWatYNzJIC5PZETWQk1t7r2myVNgs8bdnh6cyD2UR+T5idqjMk7cLFtudchwVW A7jU6WyQWW8lxIoKOSKZ7HkPY+pVg6SHcjcnkGdfDAXeNyMdqFifd7YXXnF8Jz1seiGVtO0JM2Ya iidjnJzqqHUzAFtb2c+m+o2+rShjTsx5ZiUujMvtY5w772RPUgI81QRNwGVwWIhfwm/BkCqdA+J3 rhePD+knW9aD2+XJEjJfKkulzKejZvL39hCCPouXo+9MryziOqC2zXKeUd16sDblQTBmNCHJd6JX duLy2KX54jOI0nGCtmw94ctCuUBADX4KLgHK9ptPEQmV7zwv/vpJQSkq2ov5wu4KbGtRtV4+7zQv XKy3z6OcR5qLvjtRwswJbSPkleXWpBopOI7F+u87Eb/H3YlaypIlg7ajL747J0FGlUiiYIFiEttl +JrxLdQfuHRfR+qlNw1yHn5Tu9XqizXBCp3cIMG9Pu8UryypGdsdDiI69YXv4XlPmNWLRjV6I7MZ f52I2gwFtqtXo+8EL0bmpo9q+eJjmnM4x2jsZWD4SRNxQgnPjuCe3um2epTzvBO8cMxHkdHD8oWf 1tmnIoHMlfbnnd+VZXX23Fv0FiCfRrsTJsxoRPViziDnTzNbMSpVqfP+7QqLv7O7mMwi5Kwsl3I3 sz2Hh9qRECln5Dnp8tDIogL2ABYYjSFdnWTrTYPdIdp7wVfeFmEIdynKCBt25z1htnm7Ohn4juiE Iacibk/YX95UZm3u111ydXLOrKaZgLkFIQXv7C7GL7YyEi8lLrY9CxIbACqQhJtGlrx2w+eXoX5S 2TA09NfQmwYp4ZAafb8Lry1SN9R7z3zDqJVxt+eHwm6gut9PK0qYK0Ujt7eYKeOsyLOtxSy5eB2e 94Q5W+RZmePNlUe5kQcaZ2l7qb5SYtLTV+HuIOkZNy4jgHzeqV38tHhrFaaEXFiqL1NQ+Wknd/i8 M7uQUO7fkScRreeziXdmVzaB30JoP8c2POA0H9zmcnCqrWTV1juxKztCd1KBXIttT6+kQkmmDTxo XXCnRBXKFhiSR7Sl6E2j2XkV2mv5osMzqSyEnGfkzzuvK4s19BwewB4ykXLanRhxgYHNGMtYXcs0 ksYqRl6CbLqlhO+kLl5bDDmivV0VjEw133LKLDKWTplbwcTFfyVBdHbhH0fmEGEFVe8Z7Q1NzInU 08KofMrkJDmxrveLonL+lQ/ydbzJ807nykbnglWrTs6glSgglY8xI+3Z+WG9s7mI1GFvMFHfkIvt TTu1Fm50NXnKypuyrecQISpf3ragBdveNKi1yLmlToEtOjmCoeLkdL5hFJXb151FegAlYrU7UbYM 2FKlaLNu9Nr0hcGxTlptC+042533bNlF10/Anb747oB+DgQuu++nMKWG6Pl1bOJN2ba5IqMUj3in cbGWMGNGJcvgehN5cdLmTD+CmXL5vLO48AvcN1tm5UGjrNsifCdx8XdC9gSbyeQkN+u/CxFp4Au7 0985XPjdcDqxKvE4XGx/9knWRkryMR8NRiKMUBjZIrA9jqcsRN/pW+wuU1FDBQWXS5wY0qid8/PO 3mKuvL5bPOcIADlkO/OeKkuK7yRT41rHVdcWJcEIzdMB7pp2JuotJ8Yc4D198ZNz6qwTFNgePB8T YF5GYgLZvoDs/4y1qLj+ztzCpUg9v1E0Gy3LIzIU83mdn8P+eSdusf91r3PyKTMlNbxKf6du4Yei ZTQ4K8LB4TUlahtFTACgHDjEzHbemVvZtWnPS9/lKUPR1xEK7mx4JV610rAU08afTPkjI//1rVf9 qwOGFqwvAuEi8EiUJdXPO3GL56B+RWW79rw/+M7bYpUOGAa913Sfe+udJtq8z6mAKLxX3FxmBpya OBMMCJOVxAaXEENTNJdBZeN9nnGNEzKujbIqndzId9pWlpr7CTxNmocc3+LXgPqkMdJBcuHzTtti OnhvLRYTqHfbc36ibLnRmXG5uHG+OutgS3hgZpcn8W+JRjfsX9DpVYt9XgV86l4lMLYnpCVJV0al AjnuE+Ae30rmg++kLcYeMgDoPnGWgmkEMzvc6sSYYi70eWdtsRbNXxcXMURILGx/onwZ8zSUKSaP XSuxcLBmZNZLHb+6bPL3TtnKrgU561y+3CYhOpvmezphdm34ZFxcGFkDuuvnB+2PzzthK5tG+sl2 WKnPdn1PT5ptwsZrf975WnzD+l1soQ1RUvbteedr8V5vNMRwgTraBP4ftHTJZSQog/cuMsJ3ulaW JSk6GPUuD2rwbC+V8k7Wk05GRJBYAYUbGmQ/HB7ZdILw7Xe2FoG0IpCspWUyjuyTYkqas58c+vNO 1vKP80Eo062bWljanhCUgb4RGxmFDIBd+CXh9OBaQQ91p/N3NTQJ37laWbLnKEKZ03C5SNyTLJeh JuEGvYSBqgD/fA5NUYvZAD2It593qpa3UM/305Mv6vMkNkeoZTk+70wtNhPu5cVIjjRh3+j8ztTC 6Zk0ZOxqftUm82Vq9y1RSCCOboPRd6IW/pYuhhahK1wuSu6E5L0oo4Hqv2nCnLHjFRoeNDAQUBnt EL5pEJzV/0LKYYs6GbDSMkWD9Xlnaf3KmSXch3MzvJHxTtJycKW4hFTmb1m95jHrmhZ65jQI4TtH 6/m2xFHj4pkPLqRCZSzEC0T5pNADreXz7537usIOtG4+7xwt9uuYFlKfUIvqLeRTPA/nDvu8U7R+ N5gFh4ev551PvDO0rPUGsreV6u2RgUpZB2rXy655J2jd4dakWosWnxvDPB7e49RgKW0uHTNOhJAQ EMutcnTRZeadn+WlOjyKmi/qZOwmgZF8fo2fd3qWD38MzE2NNnxX+4k9UY8ZtGt4RiT1wVqrNhqF MIGNRnc3XYh3ehZvTd7sMgmZIz+J87nC09wqueCYpU7PEB4DfbV0fa6I6HknZ3F7WIgyhmjhxdX6 dPXZPT7v3CxWTv5t8ZJjB2x6VfFOzSLjhNZEzXjGtSTrMI+xzWAPjSYDu8dpc2WZTig7F097BmIz xZYgZniSHtYfJyVfMtYEztC+LV3r78SsbFz1kyNQXLW4AeRsMPmU1Hzh0/+aGzOII4fet8/zzsrC U1TIVYdZKurmAtZLM4huhxymdifOmvlp0TxEi8+N4egwqA997tWUBOg+FWjnOIfAvht47EWDVkZi GbAIVuAi9PI86T2PQ+/r887IyqaNdDcHaGz4b/jmhBkz+stFGj3cnGkahr01s0iblD/m5oQAZhoT TV1a87m0cj8bv8E8JeRgCPqBew3JGETv8koPVC7pTYM7PXEeSrSAFsaddgoKuRScegVPBxnhLbhE kSRU5eJQW5gwIzWbyYQdAcWxPhi8gHWnTwpkcHuiBnPSxO+/YbobdlN9s5MxiG/ewlnizaBpgALG +2A4D/gZwa212XcHUdwWJczw12PvZic+HUzV73SC1l88iMvv9B7ly5xpZ2a4LLcIAkTcKdu11Vrb Fnf6e74sCgwaPL354h3UjhNMuQ50MxapNJRWR2jM/dsopbMP1kNCn4YThdADLtwddKmzkUkqno5A ur/iDqvS6Vd6j9JlEMYrUrYbd662AZJeujdQFY27EyEyBnlZZi3IRbtTyOqDchE/rRMbilLGLujc OaPrNsIGMbo96jDXIvhpT77oSi8mjAy4H57+FwuJOMKBU+ujiR4my5lwleLUNZr6cjTRzbIb1LWh +7xHDWZLB0u7i+PfMxSSkjo9i17DxGOgrGpduOaLBJtE8/QoW2anZ/RkEsUU92G63DuSPVTqreDx gNk3f8Esmci0i8joMbePWnxORmqyhwMZqVI2nbUo0iwkhD3Kl8H6QLiVZfccD+1xzXNF0GX9hODW mpQhEreFM4O1r2uupFN7lDAXxZyhS334pX7SqzFlRz0Kno6Yj/MXoIdDgX6/rojgB5pWZWZdKWkA /YTMTqrMYBcg+KmrGO0xJENcYtJCufjFVWjwTi80AJjhC0vQwaCidv0hK9XazLXoTaPRH3MeIiAm tP2IeqOCP49PPwEeT0cX13fsQT5Z5vKMsIfp8mDEqXZvEfTHz+v8i8zl6/wpCc/TQ5bfaJrKLF/s 8zph61wP1LqCw3LilA7DlxMuoJBxYs8VeJq0ZOzv+XLiDYsidE9fhAY7+8WOfy2IjlG+/PvjIsKc LrzanbDNjN1ptAZSZB7GLhl0vmEjo29Td+phvixayRQmbJZLTcLGnxBIJCHQB00dV/R8Tp2Vgcj4 MrNYetOoz8Nii54JWrg78JXP4hSPiacjLNg3P5QWcUSZa3eifBlzOrhLTsQWDNW3Wu/nPpsQdoKK ARh5+rIiRIb6O2Ma7/oRLerQZzTuDXKe3GT8CRpa6RxxPyYNiB/4GcHueIm+feHunCxNKej5gBee jip1b6EaO/uHTQPbnQjBDIQkxt5Dprmn+hzi6W+qDWBqnIjj5cUVATJ0dqZw3rOLH04NgxODW+Ns X9zOzRlqS1ROAD53X8gBjUXwMyIRAwWcehdNKJYBF8/tvvF0dHa8UOd/F8em3AZ8D/NlNNVaKSYa XykTgRbhlMI1lFJRuuvWihrMSzjCTJDgzI99xaRe82CZDjs+xGN2eZAWAym5S3l2x940qERVZakg 5aJiq6U2pcSTGp4Ozk67Z0eWDnQ9eBjGUcY8WYuKMoEEaJngDCV+f9xb0AArI+owq85aoqdfWgxu LVihF+U3A4IPS+UqRV7QbWaLx1qoNK8YUcaclQxCwd0WnZ5ccJ+xC5bxdJAxX96WHKmptuXf1ogy Zs7V6yQ7gA3mbnF5IpeFL+xOnOrh2xpxg1l3ujBP+WuuDo7oIOgKzYcTw7KMiNAug4ZWr+uptrbe 9A8K9gnIhOoud50D/n3b9G5uPB2Njm/Kg6NN+emVvFYfIYYZxCB2XfhxwTTBsGBI7CiEMbJjmEfU YKbLXV0yhVuLrz54baGNv/kLP+kP9Ilr9+8PQBakvTa8Qfk+8CMCmCXlLBOpsVjMCw55AqVUTt7D F4ymE3d3mD0SX3RDzwhBGUx52jTIijFvMNtKzlGHDo86qCNKmFcSKENYMLmOcXfAd8V8YqkYBRCP u4PWByAJP9fWlMPggR/xJ6FWaWHW14RaFHpZzOdOUoOn/6VswHwQXSnZvnJzomy5U0R/m8hOlU82 aUl0qaXra/IO4Yiy5dnVXuaFPcdTbu1TXCTqVqFqRwnAPkaD7G8BIyTTu9gxulWv+tfk+LwrRziw iCese65zwbIaHaf2xePR6fE2GGMwj+ATl8N8mfIUrGBYTZTmvKRiwIPVqTtE6YcoX9bEeAhtycVn Nw1uZ509wpF3hrMZ9gcwqwK5WHZQFXkA0eDPCKrRRJY6W/laGJdLV4MIxcnE00Hk2d7n2T/OS0re QR0hgnnSo2J0A6Gu23ynEbcCD6sJnJ4IwUzpDFwb2Re/tSDCPpEk49OCEbs2kIZH1OqeV3C4E+A9 QmkMzm4q3OJsUSm66mTR3nYfeDrIeZ64/EPAKur+uztRvtwkbVJVn5+cc+pPg7pcyA1Xo/sEdyfq LxuZbYn5p99rFi8JRTkn2rTCpa8ExOUA7YHL62w38qDsyvgZUc5D4VI6EWrh7pysW9XLqfA2nv5f qBMUvX2UQ0aYLy+KxW1DPFUHH+B+sYxntmmQjBHlyyZSVKwgHZdsDPQ7cJaEZHRMeIl+P7uD3nJh anZlVTaBliNMmKk4s+khAgLCieKdyd25QjYTRPRu8XxQqpf19XE1TrbqTXrClJnudDTwZMpctxLl AZVpUSLnbqY8OMIWc5aenvo9cj0yzBMUmLMaGaeEW5OwjVPUAZ+LWV6hjK7kqnPWq0aT0U3RL86N TTeEzK0tX+VzG2Y8HXUy7vnhNYUDNKc3wmbYZK5kq1eivm5LDJNROm1g8lfn2Z9G7ZkoZRYPe46W fbHgcz6E8/Jjso2akN4SlNGppY5LiPal1soo9qZRG5VSB/Qq1iL6xC4q8E5p0fB0sD3di3UiNLHH 60JWZpQyA3AqqYNCZhJtDnV6zrXZcHo2yj3tTthklqRe3XfxuXFFqV/oHjRATdrkdlW4ZgDo/UNP A+cbF73pny14Oq1psd0BKAP/uhMF8HQUfL6BuqR5tgvUnVHGDME8RGELPo2wEbYy6FKJJioN3/ht zb9Zf1MX1/y6uNIJzWAOcXcaDqkU0mAgW9A2hqqLy2RQGXbGTWbqm9OLWQs/rT2r8IulTz79L4k0 CX53qmP57kQZM9pJoOAZjLlm522B6GBjY453uTthi9nAqBqtt3QhGalDDKPSGbifHQdekZgDEWiI 3r1nB/wg/Ixgd5oaPc/C3SkIzBIRWQVPR4KM61fgmc2SUm1PlDOjtwAPFhNK62xmmxenpoDny2pm VzqjnHlYzkyRBy72abUJNckqK86TISzBeRvczOhsBDqjdwlB5sXP+EMHQhahtgjPU6SiDVnQiqeD 2V+7imBE/1DWuN8e8wxzZmaFlEVmVti7TyhyEpd/D+4hQ08EyejbuqjTFz8+DRR7eKSQ1walQIYe DD3K+Wxh0vJbJ2NGOXNlOvifksILyj+yDTpnGE9HnbDvrNA6lFcxLcJkgOWH9pSTIpMxKE6SMsUE XLAFs48r6jEvoeSEgZ8PBj6tU0tn1NG8tuBXQ6hl56iy7fXTH8SKtE5nlDMTLj5POK2+qJfRFTgh DdDw9L+whNJ3Qhi7FcWMcuZB2AHUGYSDpyyvivUvBwor1meoKMe5+tRYmMu91CGhA0lVFutoz20l jkuy7zg2YrUt+k/MqMVMJ3r0MIYvhsk4dSbhPCfVwtMR2unGZfnBAPWwvBqdEShj0jZx1ObD0Vp9 ONp8OMoPhrsT5sy6r8ZMvtjZOf9qOB012U2VlPLsPh7MJDKlh0LBPtiMUuZGd47JlqUWsQSgNMa4 cfJaPB3hefzLqheH2q9+0YwyZsATgAWz7ZHlBTLCSmoCQBl9eDk6Y5kMlaPGHH3Ewc6d285vyuxt oW2u+VdCZ2yrbXxZf8p5QmU5srER030R3Am1LQcUJRc8HWWE3y14ACbLuOJXK8qXiQeFg6VkMkpz B6XSiPFecCUtVk+sCJQxyNkaYh1z8U8LwJCpAcUp1bP8yWqjAg2IUPnxR+aNiZ8RMfnpxpKJmOPC sHyO48xSWa4VT0cKNL/KURQ+bXi+vKJ8GXS9sycG3gGwwRrwld7DbIQRm4+zs8J8mdIggI/44p/W qilLugGtit4LcQeNHQ1gnQDSsLBMgVf8jEhXTl53eBktypcphI+zs3fF0/9Cg1HkHbEdcGrbnVBq eeHE1OTasM0JJh2zHyOMeuBZISqDQEIwAbSw9uGlBf1y9N55aZ20TGG5oSTNaJ4Bz+ENePgq4GcE LWZaTcxK6y0uhjlYpWl3IGcZ58u/RTJwDvs9O1G+TKvNLnN2IPZrklEiVF67iWTUZdXECiHMVEOb nR0NLreJipefutKR7zciMU8gItwJ9Jv6jCea3jRqMbMSXaL9LddBPb9e9hkwSdx4Oog7zVvMLMyI yADGzrYnypdxG1eWPUXaThiAc7YlLuSgyURWPrhC1p/E4lBX2uLbswvndOwSrg16LaWvMNsqUIxt D6KHIHz8jKAPZtJXZfvCTwtSq7x0gH7F01HG44gMhmB0HPvlRK4oW2Ybqkh/UBmP9OXGvn2wDe1S HZ5YJENM0LZ88cADOENnboEOchrJAE+DVn3njdPzbQ1FngiSsTS3of64Fo3VISjK7Rl94OkAkrF+ RR4UxzwJ2p4oXcZgqFZPb8AT7dYmpAYrFVZaMvz7CtNlCv4Pdde5eLp8tgfqTsKDJbBvyC0RI/Zk Er1desDmYHSFIhldKQ/5kbMzCkKxe9bNJioA0nj6X0q6EtQYaP3f3YnSZdwiUDHr+rTa2mJIwo4A MI1TajX8fpnxrBDCrE8rm73EI0196oWB0WFVH6MXwaIKRaxBB0BHyGmRbMCvKF9msXSCc0q+8NPK ABZLOzjzDd8/rXUVVppmGZCNvZX6CkHMAEecslWUiQrRSSNPcOTCwJzcwXaFmIzHov6XUz3RcjiR bKFWbBf7ZKRTFyB0sxQtp7fg+UMC+wTabBXGVC3qZOwtIwsMV/B0MFZflzaK1IT2lukielaUMKMy ql2qBmiDyYYd8kWuF6ZTr6QnlmJmhdkFwu3P9A9Mv1M20L527gTrhEIsYT1BGawoEP096RlDb/rX /IYQDFu0PcmSnhPo+XRwfB5mUvU+2B5+fHaUMUNPCA587stai+sXtWE54ajuFbBD2p/0MQoVB7k4 7S9BbawWgzF3WochY0b1CjVNSKD59hR704gVKWUNEbccKn1KlCU1qZO58emIXPIAdfFvIMDDIfD7 PWOGmB2OTJ0OJWT/kz3UtU0KgrRp7U4okyFFOWqIafE2z+iwZiNxC4CnWsWpTbQpOEeWpEyb39DX d4cw5kqGAPtZWtQG200QmZP28w2De+tRd8KbIco/1/oOccxoQo1mxqIQZpIL2QSlSE3CQc117k6c MUtjmNc6l9vIGCc2DPEnTsKZpmzsM+9m0KfRSLHBMYlJO8qYq2rRRXN6LqpFVyriU5e58XTQyWhe i3IOhtnf5fztkPM35FjXHIVqtr6DRhma/bHBws0JIRl2m2u4vr/o2CiHR+0+nFibHJ0KiHYB4hWS m069YR9jRwkzPw2od3dflDCfEyd8f08bT/+LPcGpevs1Vd8hghkz/4JzLn5AvRYlFA4ne2J5VN4x 5a+pBu2++KV+bsXapNh4brMFM0RW6AmQkfMT8Ls298ye9KJRNcG2Ox0vtajWaq0JSHg+NTwdQQ6+ vytOcMuVLtpRukxlp9K2A+WaU9q6LCJpe1hMQmSHgAyJpjUx1dtlqp/D27ukPVGJFqHWYRWFT5Sf SL/od5mN7VCEOUshFrWWFu3O+cVKU66mhaeDSvQy1YleheN0eXREdoTI4GBrVPPtPQHIiPxQB14W dqZbHu5QU06EJBn4ji8D3wLqfpJ9QIeq6iZAvuHHUIRZ9gFd1qtNbxo1UNldVq+Hi/AqJWkE2M6x xNNRrXVLUTYIIUZS7pcV6mSAuyw/DNqMbWtojEpwDbrL1FfX4Qm7yyy/oUHli+MIz3k5H9Zk3Kmw jGcx0ajNh2m64g4OT6E5LH5GkA4myWRIyLu5ji6sgtXGSHXg6aCYGPvrQifXcNyx3w67y/RWAMpB cWdTjaaddAcIZlmWLOoRdv4tf6sMK91pN93JEIE4ubKi8gn0ayxRjQnWzvhwL5V2T71oEHfWndd8 O0GuATwVN2fx6YhX4jcWjj/SdBC4fXPC3vKkYUAyYwWM1hWUx0wm7bQo2sGjE6Ex2F+FMs/wxeEq Jxs8yUjSh3UqRfmyUjyzoIIb88oRztb0pn8pZGzygrToPp9jKFsZmW/4L8k0AXQ3lHT9Qs8pSpWh 1w0yVRH6vRd9Rai0SL5ep9KSLzZtS8JcucmYjzPj/syMwS0G04YA77Nv53/Dyz+BZt7QacZF7dkg r3R2x4J+lGoJCmYlTEI5uYcIw5AGY8uTz0cY73QPEDCrm5XT3aJQVq4SAg/agTrMjsjonfSJBgg8 Biy0D0hxwsxqS1B4Lg7oySVBpIQqPYsQBCRGtEOpC/gBpFlOoBjbXjaCHUwlPNkX/pSUSlftDkVt Ph+UFGN8xWdQhmlX7nsU2pc06ulWy5rpHe5qB91qCskNJ/01AZRZ/iVNek/t0fJGflP6ECoM04TZ Ta06yxyIMdC0vGu2dw22SBorW9gDSK2w7NqnolEzFZknnw/ynzsgpc4j04T8bFHYbEbK0Ir1BsHC caUw9kQ5P94uqksV7CDJVKdwDl/ueH2eL23Qj+fcinLyAkkJHOFMLax0cYXoq+llI2Ah61Kh4rnw kj873ZTCnB/Hx6NB1/eEfSN+rCtmlFOUQNNd/uSebJqiZ0iKZCNAo9KVfhco2EkuP0UdZ5N7or18 vaME2a0ii6PuwamYVlbfBxiEk7YUU1yxpmFb9q7RvILtQhrJaxEE4RQBnOyA0MXHgwqjeM9ZCRGG HG1fzfycwrZzY2uMfn48UFW2ohNz37NvFT5TGNDqGIV9Z932tSRfvCtfEZAKm2AQChjUejrpBaNg huLcA5/jdY8fEtXv4uL0u3CPzh8m4aWY+fLx9z2qed4qDKd2/8gCyXYoFM/Al1lIbiShi3spYZop GdCFfMgsKVKUS0+VpzSv0GI7lGGmk6kODuw3pOxw3gq+KZQgwl6amuOY9q6RrpEQhmn6YpQuqTX8 nOxf7xixJdfXd8bbt1y/SP1tr2EW7lUmVcj+2KwWiloyMmnfJXkoCtvPkj9Hd80W3TV7nRgM4Se2 n8/VszR1rrjDCumVl1tRiiyYU4jXMCclMVAot4sdWpjoqztfGh//n4B0TB3Gc+eHKTUQAeD+ONjH hFMH3Eis0ZF39h2KZDTKtrnF8sXbQIPafFmKIidzFAa84W8v4Ih+KSGYfW+KdZrZJWNDR4tN3at9 I6NNPh58ZU8TkTEHnW5igGyLQpjzYqNsWS9oqBuNijWbHfSe7TG8C5vQgovJYH1cg3UUZdj2RVjh hOK3RmUVXKsCNzf06Bzzg1uVPyToBhV1g2rxxaCqe0s9ZDBxDO1NLgWF/wrkujSU1g6FloBgK5wS 0pHymb8aGbNmM1hvK1vLIweegCJfsRN0F2/Tn9i/F9JT4DEhy83yv7GQRc0AMoGhVWVRkQNTQOMl n0+T6pdcVLd2WBL9JIl959AV8OkJSfsa8nyPeVDoCkjmaCFrniydZEg6cP6XKtdO1XC5AkbojaRe 2VLDfn0JWjf0VLY4Xhh5Z3G7M96Nksj1IW6jNcUfEsE32C1roig3VxGj+joD7RyVjweJ9e0LSSAU KmYXkpljX8BKB26eIfZeq1r0JxBhnkXNkUmmStdfE7Q+GF7OrVd9uUP4ROdNNhXXhq1k5jQILW6I ztCB3kCZK9m7/jHrGUOOcGN7qIbcFCHLkO/g4/9Lv55tZIow2Q5FPWkwa05CNMyg60QFDXsg46Rc e3fK2ekrC/NqjtdXkftduUnj2YR1jjKkmtEcAjqqiZKB3AodwbZu0jg4ac6BO+D5yqjDQ8SrLcbX KdLsg2Q5H48m8e3GIYvU5Q5Tc2gPCO/wWglMwTS1CSoPg7dhLg0LZgBWnAX+gIkgRqQKkobiSNYu s3VepQq6CrlcmriCU4CTQuM3Ui7ck6HZy/5hyjDP/6b7okBUiuOAkLWFFoHlVxsERmt5l+cQvWfV KM6pAtLc9XcP5UHw+TNJsRNpu39mcVYtDJD8xfv6GvvALCqJEdcxM5T6COHmJ136gbqsByKKs+TQ JDCLlVJ1mVXv3p8aWRV+yb3w8Uho9jsQkfD8HapDyiCwUlUWXVKaNbjLTFXzwxN3nY2bQ5tAEnZr V/ne54UhnhC+YaJI9aN1MtlSGKtYn1dNx3p98C7TXjbqgrAqo8iBFuuCaLpEif3N5/+XYF0w0gUv wffoPa+28VgzTjJCEapuojpg2UBUR8W/R5VHYBaITgFzIapiabG8eiZoiK0tNzycIZ2iTJTf+de0 4vQU+sbrXf+6znKi5zgXnqKddiKmB0qufDw4RY9TKRtFmFHnr1gUJtbqgrRtiryixFG5i+4DsD+p 7mCRA8PAJ2uk+BwXL/FXr6B8MWvsGXKqlGqBbGjlMAhNfW+m1WEvG0mmE0+GiaEtvPLPr3nKSmCu zMeD2uOrm88r44cIE9+i0AMFOUmdblfakuN9T9EjfOIW2klfWphYa/acNE5Ml2GZG/xcCy1Y4WkB EiHerg1yl6Hrg0/O0kZZSYe2gWWI0TuLL6rwDX3BXzAfD2qPS7BkzwQT/jIfM+nQObALS9DN8LbI DxiZ9bB2IwAevkWhdeDqNglavvgp6glgFw2Exvmkx5QdJnoQIKGWBxMtdFkOvQNJyRwUsOGSFXdT KxBiRFpUcIoC98BvrTrmiOhawo7BtyjsWqPgo1ouG/u89CW9QQoKxYshFivT29A/cBBUP5P0tNLV 00po4WDL2JGFsU4jelN42YY0+1Gro2o7f8hfyOhRJQhZk7PB6pS0fMtl8vGIpvtLfaPgsyPK2rYo lN8AQ6iTVELPbYVrmBPQyASZ4+jVgPU58BBExiIqs6J2eZi6GbPUk0iz+minzK/kjBWAvk7yBzyS o/AgBW3vGlFR2UPbMinYPoBK7cSoKnuC0fh8MB56jD9Im0ed0i9XNwc+gvAlJ+xqK/Ccz3oMJzNb DIeIsjfTAiNBJEbsM5rQ/Lyih/zWYIPIeD1hk8IOSIE8eEMQGvs20yDApXeNBveSjRIOSkbsGA/1 tjlJgAQcH/8X8Ykf3KDDxU2MQitBthtrojY4vVGyoT4K9AZUw3KSqw8thElL2KeIgVAeBsJsuL5A iEY9O08OIHtp8pmBckBTy4fTFB/LsZkg86jMWKDFYOSdpjy4wjMfj9r6d3if7NIvlBWyLYp61g1t 9dLXtHDdzGPxfBL2n8G2yhshoaHgMqH5sn25h+jkO5tgAqhIARtMBTLyfOitMvsVpVV2HToKauQx Vr+LSjTIPGvysfR4RNPwWKSQivjIbMS2KEqvoWiO+Zl4YZBvEN3nJPhFQgLncl3Tb7RQwo7G9VPO yFx8iA8mQiEzh93GWeRmwJodWOl5jUA2Aa85NBUEuvKkQ5vwMy7cIY76JNK2Bh8Pcut0OWJ4tUon h+c+CwmGGBNwmGUQkGL4obpGcQiIO8PlwFcQgcg+s+qLTxgb9NiHuXtB4U+zbKTVBfTplnyDVh72 qsEGqYk2JNc2jIg2MTgWTKEwVEepdbmIctJ6EMbS048NjQU7XSlr8RI212lAh9wuCkRKXPprouEZ N0g6o1w8bUzlxFYyLU44Ol/A7hI4KfC77D/PWGioTxQaC9ZlNk13MeDibpLKOkGPjwdR6FrJmJQt 2NXPTRal1RwuVgo3cH+aq4af/5MMCJL3PUAxy1D05jR9ubhX9GYRlZhWQ4+M4EXuJMBJFfi8aSNq f9fgBC2apaBlYosgaGNLsxM1AR+PurH5SYjIxYN0yj1Cob0gxbHJvhQBXGi9TSkrTWI3ZncWhEJ/ QTk1THkxcbnF2ehrFc6F+oAURRZsJJOImX9wz/uA2l/17ybIf0CJxjZaQju/Dj7+vwhAs4kGUQ3f oCipRtBRFcAmSEtWpMEswUqzRBF0nqHAYhBAFjrENQZrLnaG6ikyR586Q3nutdX7Z8+GxT5H+E3A e3/XYIQ/KI0tBGy5CNi8khUNLesdI0m779Eizu6X7FaOfQbRvIT6vzETxEdYbIFomHaylH6PUIif 5uCsN7Gj2rV/RU6NAkHjaXxbCZwN4KghVIswAHEPB1wRXp5Dq8EpUsuSTNCydizcm3siaRA0fD7/ P+0RLt3xtIlCt8HOAXWb3YeL29wGpxCOQILkW73GdoOc9vQqEY76YNLOVdxPUdDprzxPgtYpOZqA Pa6YJg7KIlaZXzR714jSy1kSxMBssS0arbOD0SqPUZRUlysGzZwF+V6uV2whx46DVNVe1dxl8Isw aua2xuMue3mfKLAcTOzgIMsRSyE/OjiY/pytEXMV4EluAQzGFtAy+NxKuhQpWqPlwHfQBcVhbeqL xouoBoVr3IOPR2n1Dde0bkNvZ132qniJrzGwc2eIKG+Ey2xWslACG+RnbiOGMxrFgh2ij6W7eInf T5QtCFbwbxpg96LdSB9fTBnhNekybrP4uwafmlSCilw9S/YSv+EMMsCgCVLDpvXTkG1swf2sB+cQ ug+C814rXeSppaS6Az1rAWMx+EChaR9ahARpTQ7TrGFHfXQX+jlF4B4yXFNhmUUc29WVYtoEf7av TlpoPyhNCY1LtPAMLYDyWd93hqIQV339GeU6h1LoyinlwIBQ9jRVMoxqpCUjdNQ13L6S8VM7FGfV IgOt7IvtEOwLi6zIUE2BAcYWCKCwYCz+NBpEGix/2btGQonE7WXBP7ObZKbUK/08UHh0Ph/ljfcM 8d8hkvgTisKWdWezEXcF9RcqvbsrR7BS6VqSkmJiHZoQTpN8UYVW+7NHp2At5IMTCyJYFTppKOPR eKztaTb2Zu8aXPpbg4+yfBEWBJ8yI1HBkDowIURpd/l24voOwo6fxChEWdNeGA0PoPTObUT+PDJH SDg0hKKB5qEwsqERodr6yBF9cUQRzH9TFoz4BEdOGwsdLcHRQh2ZL6uMmrT8KRFlU7yXOXxRLIJ8 f1W4nnw8uPWvToUaspzlXvxn6EUIH7wq1W4ptlpYQqN6OTuo+5w6MCM80VquKoloEC5en0F3rRc5 gtW6OBqm4xWUvjEMeCpY3qb8IVH9QSEPDWHrHcKe7F8YpvPXLz4eCQP+kt1sPD/3EIV2hISrFI4g gQap2dRtR6UXO3qNfU1Hg4R+hNbVh9iKLZ5dn2sYnmCNBCrcOJSW7Iv8Lvq1Va/QhvKiwJBQcAFi 0ZcvXuNXwVvPdcDHI/ZdeSo0MMxQgDxd/dCSkHLfVZjqSuFxd3dKzmaQkqy2KE6vVZrt7ot30iBm VQQpGoDjNCVQlMohHhM5h1JH9mL4Q6KWNQYf55tKXDAmwz9r9ZNTEjN1/tbF5/+l6cEJIxCbxPza FkXZNWb+5xe5i3HHe+lO4KwqZ3cvlAhM+mv+4rfOSibQdCsCKsKcq3xBzgDOezNvjUg6Pq8CTGK7 PiLMPPgzotHQEIeq+GIz2KrJ0EkDNh8P7vzuh0hMfMyd4SXsOxRqR/NXQeVroq4kdkI8iAGuz5WU Tbkix96EmnsM4oONoyJM0YDp00zKG9MoonE2jj8gyCHdk/x9iP5MreGXdhc1G09KQTLCyUMrH48o H55acz+J3Es3cQzNCSnCWaiqIb0uR8zsbE7fmLr4ZChwJxSqBNxeo/hewtl5BbSsiljAi8WHKjRs DNqHuFD8M8v+rgFtSIA0dmi0aEhd7NDWc5z4eNSOLd/FB6/Yr6wosCjEdYYQ3bKzyGsxFvksxvFc Czh0+8xixuIWVKb6cj+zXgR84/AMwvbKrRulq3kXXFkqEjpzYFIIrD6KWDZabHE2w5CAeNuLjwc1 7Bxfgeh8dYCyrSdY/6mJ10DkV30GODFHsGtRfAAWoKt6fRYYFcIknNi9Xacv3tPfKdG5h8G67VlI n+mZ9JmT3hK7Z1AH2g/nwKpQHjHIqnnjp+w3/oTZDe/ruTYfjwAzv2x70KFFaqYd+rymRAVc2WIi 7erpT68+Jmk01GnY3pJ9TYiKCwGvLfweOWTcntIrGtXAUcAR69xr3UdsuMZoXoGG7Jei4ms6VAB2 4dRVFQMXnp/zCYsJ2NrCwy9bUyBWqq0ZTzIEg70s7Em0M7jnW+PRIWRvZ2PCTCpHU+eWMBnc88HO CDC9ksxF0peJ46kyNkXZoUeQ1zT0OX0AoNeH3qLtjKHPX3cGYqiLnxTNnlYzMvAk1l/KtWjDvu4M 0JDdd0YO4KBqY4+5Na8pUMn07Tx/eTfRrlGudn3Cs9Sup9E0YvNrAoRDw/nP6nIq7BeYX9KpH/sm 6w7/IIA/NXTEbxFcG3Vgkyua4T3fD42c4M+hHr7och99UKEWak+f19wH+2o6k+NHFrPY3pJsZ14z HxwaBPkymhtm0JdBKpMmirdm8fb9a95ToJ3CLZFcIBfPnheafkU2T3OD1VmEFEbbcyU5DpuaWdZ7 vu8M81I0qdggYY1Jheyz9ZPBtJ/fyOc16+GnUW1rVBsCMbZsZ14znqKGe81q+lTWGM1R1BweYkYP oxG5fkafE/tka1Nam4snzejzDea56ACdyoI1fqfIezsvhxvDvDKoafp5zXaKnDOBxpMmZbLbkFJU rCtbPxnza65TJCGgjRE6GARk7DR35jXTwTcIrJfw/sp0uoXgLndLnJnajAr0mueU9P80bl6S1eZi OzMxJQSHSaBOKAhxJkZzbKiDApihG6oQgvh5zXL4GySUE5RQWxiCIfYtCbLzkz6vOQ6OjNkMD6m2 8jMu5CNia14zHATuQnf6JlBQNdWXTEmGzLh84gSSAlqIRFtDcaWTT29fHFYOjngiwxHAF7gkaeSB qh3ejaB9eJJMIZjX7KYYYgGzkOwLt2ag/8NDcy6qz2tugyi1vm8n/KZNvgw785rYIASj65KrWc5g 0mzpcVUVeg4Nf8WSs41CsKZfsjZfj7U5RN1OGCG8Hr0eyC2J64pAQ7m1+mCl0aX8vCY12HxO4Csb oVoYgk/CXaRTgqbza0pj7/e1M3gdkiK4M6+9QnxOOMuVE1YOmcuuFmjoMo5As+hKgTPz2inkh8xk eEuuYj++3Q3/fMpN43Oa8H4kfxUc5ApfOejCPK1Cvej71qwkxGbOvjDStLZbta0Zn9c2YRHxT1tT 7HYq1A3m1ry2CHFMCSCvzcTpTQCmg21dms/fk8Xg1wZh8ek7JUFtsa3ZvZ1X5j8nL4QgqdhWEvkq NSaRDJtTGm1DXtuDeFHCECexX1r0PaHml/4LNLnC26l8BWGQpeWNrmwvSoRBlUQfynqDfbVlHJa1 TM+tkxghLl20OQoylaBwLl6Qw1amsl2QZUulOcWSgmQ39RcTqRB7PvqkOJ+opvSbrrvnSFt9r3NR fd5pYjh1hhq3u5tc9XF3J0qGMfyBhMd2d0+cXdZRBTETddRJbpslw2E2vCQPzYn6ym0+8aafRL5Q V+kU4Cdis6FTkAQ2eiGDbWhjnF7FPIjOTlFOw2qqZsuezj7Dqo4BNgMxHgVjUzhRyJlIwaikq+15 T4ihvVDpomLJjbjV+LDOZy2K2Pn9rwtADLZnEw+26Guk5VaZJ7x0NvOY96HPjHA8adlxDg+jj3li aHeilLizV15MI4dNaH1ZHF1id9Dves+JH8qBBWS0AyDuYrsTJcXgKUEXyrjOdZju3bkdu3libMru aEgahOROYfqdiFfh4iEZEgxNI8DZ4HWVNQpDJgclJYQ5hx7yGn8nhyHnTxxsseDVooIBanc8Oyel +7xzwxB4lhdTOEMNHTci9LQ774mx4HBoXRoys9NIlPIvIolB6pF0FHVxot1BCt8SMfBa/NM6qUfq kjaBknMSngU6OT80b5LOpr6sqQ5FGHjY30qUEOJiky2InzPfxYw9yo1F2fKzg9JOtCvbnig7Ji2M vlYERI3ETilSQEiPka0C3q11cN7TY0T3qRSn+eLpMX0Jd1YYxM3OUn2gfshEZ6eLZZEjxjspDJ8v QsdsiZ8WF3bYF5oevKRTq593TliBAL+Xm/wvQ/MJXCK/0t9JYQwa+LiGGbwDD+Wu1NV44RDncZRG lCN3zbIkxsHlMlVm4akhsbC1JceQAfNQqpaRhqE+BbGun3dGWBHTGB8gMYdcbLyeySL5OdXf/rzz wcrTabceDgotGgZrd6I0Ga9ZUSBbxkN9NMosZPOLXaCCW+iJ8mRZCq+iLk55ujhrn7K7EY17NgkY fW4gG44FDLlBWwOzclp60/fdGZKSJJtHiz4upOXMWc6F8HnngpVHuH/8qI0jErrvznuqbNeWyjCq i5MDAIdGnljZpDGY8uxEufJgQbl6Wr74t9V2Ppuz1D9eC9QFjkMzx/4cSPjudFYR7zSw4vCelUgq 5KLAXKDxwqsIUi9RsrzTvbbYVaUq85hemL/TwHhZouikXh5jTy/LPRpbczce5I0MzXHCjFxmFxZb XDxhTrCABWEQVdaGSO4S1m5DdRa55+XvTNEto4RZ5ozn86y+aBbaUcn9ENuzPu8cMKZM++vb6nQW btmbgFHGXKmtsH3KlzfRvJvTdMm9LGqqMid8J4AVV8FZPU9fbHcGRLlaMfX1Uy+oWu1pc86GqPzo TeFHf975Xzzn/KjGvIuGD+fTTWqWl/x5Z39ZTv/sTsO/iG4W2p0oY0ZejC8qeeQxlcTRKZqCpKcD 387I88794gnkxGH1u3g9sXtqRL+AZHl2qbMn1sApqNiiXvNDjyt606DjxS5p7nSlzm6ecOoJIzZD 5PPzzvziveW7U6wXKBde7U7UQQZKCwrXolWqGpI37DSq5bkWHFv4TvvCmxfVE/Mu3g5E375xaASn htlF2CkMx3tTS/YxAqMuxzvtq5jvAyh71ReNZaDew5ww1/Z5J3353OJuD0FB/emvhxkzQGvn1tIH hUR/Gwp8GVn3BB70z/lpRRlzsoyZIHAuF6dyqrVJ4MTZNDi+yzoXlPsCiyPYejtQpXM8/M74YqnO Oovyglp0b52zPaW2mfl0VKt/976GAaV9e6KUma4YdXqXvV4LwtmnWaps6mnw2/pHM1lOV+vL6Wqd W6+nWjWbARFVYCjaeyLyz30jzyCv8p3thV/B0r/IRugGEAbwpW+r1cvnnexVHnCz3epVGGnfnShj Rh8IFHhTuYPBtnIe0B8Zec4/pFrO8870wu5w1rum2jzzafOc27dXjn/x51PhCJLaURuiI/nDW9Nt qZfeNMh55Iaxyl2sAzamitE91+ed51XMoe/uDt2TaIOo3XnPly3y1NZceEtGVzBonNV6YHu69Po7 ycvKaHxT/S5OQTmnB1q28jXoKOcqgReAxAAItdPt8yjneSd5FTlfA/C9mi+CNM8mpRK4SHzeOV42 2P1qZLALVu+tFebLop+kawPm0uuIF/zPTskIlSvuznu+jL+F2wIJB1vuPLh04HWFIdwwI9DYYtND DkiY+qiUbL1ptDuCos7mi74sSP7KvhK7854vG0/VMkL6M+LKIrBN+xM2l/GOjJOi59Qm/8pzeoG1 ofQfJJ5Zjb4TvIorcCwpAi2XjUfJdzJvSAfyVl8Nor9C9iC9pz4JrnfHftmbBhkhDWcaBWi1iHsC USDmLFCTeid44enp+4On2O2jCJR2J8qXOZTtlNon2qJ1w1fONvVtbUre6fS858toZYC+npIsRdN8 avXzW0opCWwBp9whoWRoxpzPrprhjOIy0pjPO7vLvy2Id1VfWKvvSrUzcJfy+ryTu5BPjl/DrKw5 iZfq79wuBGaimLtRTaq4MQR9EV/OQV9yr6t3aldxzNdWvsfFDg8U18saAuiCs9wEtujiZCNtXY96 S9GbBoNzqW5I36ZcfRskU0Mk5ZE/78yu/5pNZI4emw+03oldPHLUSDD8CczGpwO+bCAKir7vTgy4 4CQELUZbPPSg/ZsLX6afW3Q0qtNvcMV4z5KOPwQqqHrRaHNYhFIoVosazDVL4xleP593Uhf+mel3 Qkiy6z06Ub7Mwf4gZwNHZ6iPceJyTTbe2jSS4pf1Tukq7vqwFX62p7I4OtC6LIkSANTJkNPVgmTJ KeGbsbcFLEBx93kndFk/Cjef2hndqcmwnk1iCHS+YRSXx1fcIdqnbm+hvrO5EDHIK81uukwGmDKe xMyQc61lceedzOWDrRNgkOpqsd0BS4JtC3YtCawxZi7m+1RWLVdtlCIS71yu4rYP1EPFfxXPVRZb IGhwo84Z/bxTufALvJgUiUJWuq1tb2S8U7n4SyHkorsCe3EJuzrnA77w4xP1mFNTREY9ocWvrQW/ cWAd0eg5IUzU3I0eBtBgj/MpK9F3ElcxWvGEqbUvqiUm7AH4Zc35eedw/cqW5RiCXOsenfdkGSNm FqLWaa+FouDURahuazAvLOWdv8USerG3s7Iv9+gMzCaESymtV6m0U7OzACw1v+Za1F5/p2/hkDMP nEOj0WFx/2xTakNNv14/7+wtnID8PUrHvzfvdGNylCx3EfBwS/4fpwRd8C40lpbgkyt7uvNO3mKh xSSvqQffHr423DxhabhVaI0mMnKd8nk+x7o8wn7gAPFVow4Yb3R28rUoKp/DyEFFral+3rlbxez5 btwBmIKjItueKFtmfyV3y3Iq2gMOwigmqtGuY8g7c6vIcYLNHVtwB0xNRc9JmhReO5UWiFssVTny LeS50QDRynQSJN+ZWw/ga2poPHGF4ZM4v4BcSZY8BV3/vBO3mE3eKx0xhgl+uwnhO2+LLX9AmwgI JeFmD1OMkCk5AvMk4YgbFDaYCctBoemLJ4TA224TE4eEjZg5HQ/gFP1AAcslfJkQvrO2GJjJYh9S 9OvnUm+W2qH+Z3J47rDPO22Lw5+7QdgMDBJLmvcDizJmfv4tOZv9gXD3vHTDn3KyGQ/5nbOFl9dM VGoHOz89VChBVhdE6CfaN1kfoPwvyFxQivkBwm/2887YYgaC/tei7aUWhp+Bxibv5ZMKfd4JW3xD 7/PwippUHPHg/M7Xws/c1BUl7S8Tk9FMaJ3HGfVEB6OhIiV8p2vxXmfcUfLD5ZIkTskpdj7Kotpp HFepwF1B0MYY3+cTBG+/s7WIo2UWIFVRlPsMs/uETprz/ZyvbH7eyVrlcT+1ZBWtlXZr0XeuFi6d TkxGJ+2RmgiZoofnHujYk40uGMRR0SR8p2rhNyNMxhJiZV3ESoGv0LlaFH3yGJQZAkKZA9mTKbPD bGgePPZ5Z2oVEy3C9Vd8sT5PrWKQnE/2807Uwuc/59fVhdsAql6+O2HGjEu1pTltLiqpdehETPMw 2ml5sfXO0sLfwog7YXJqi7dQB/AuxEKeMNTO/4qd+rKIxDhnhz4GAilTfubzTtLCbx/fNdTsiy/c HfByZCzcx/q8U7SYMd+LnTc7ZWpuLfpO0SKyktwRwxqADWzk/kUiL7VEaZyFwPPO0Ho+Lcljc/HD szLrarVQJ7p7Bq0EZOT8BBAxXLEX3abPO0OLDTvOi5Er28KL/YT6xty5ngzk807Q8rTgwcmhFZnu eOKdnlXEX6y9+FC9Z3N5n/TOYRusZb/Y39lZ92Inms8WH21B9KnQwf5cNSdDWEoBYKFOEAbLPRWj 0Aviz4gg7rQHYVWpRY2MvYqMps9f/3nnZuHw7Pq1OwsZz75op3dqFpMBvB1yeRPtY4AmY0rNnVOM 0piAgSfMmSnutCtjLhfPmc911moV/h+EV3nRLPqSkzKXrtkV4TzvvCxuDhEZBAto4Z2Fe2RqarzH 552WVaQq912p88PyUuudlIWnGJX7cGWR7sansxplFEI11kF952RdkOVJrrMvt6A4+0ExZ0xIG7Rs RS1B+xGWMeg+2oelG/2dknXBTrUoJSxOHOmJ1iboEBY+HdxZD9SdE3/8z7LavdOjnJkS/4WiklJT H9vmonLvhpo6Zam4P3HOzFRnqdHskwMO/k7Y7JXhsLfael5S+EFxWNkJe+JO14sGjQzJ8QP7a4sh lwe9On7KKYE+72ys32gnCmp1gefv9kQZMwYLEHZ2msRKPjbepox9PmhvZbQQvkxeyO5UMeBix+fs xrm0tiquBIGDJPIf/pOFT3+lByuX9KbBpU748tx9+cLIA00aG/uuiqeDhPBSSITn5cZ4wdXCdBmA iBMmzc5hqHsx2dY2w4vFViN3J2owp6IjIX5Ne7ByCzLOa8o3F9+aCvrOzgIkDaCi6m0w9IzxM4Jb i1/V2d/hiz4u1KO81Hfi08FQPX+nPI2qG8vv9B6lyxjvnYQvubwBGwqUNyhmXgCUgMkb9Pd0WaMR QAhb98XOToPtW91qdp/ks01x19FqLwXAtscwpbMN1mM2H/tfVbSJalqkEwJkunVKr3g6wuh6MSEh BDD4l4+2epQuQ1ABOivXxiFrBEh3Cgs8D80mAmRIqPm/Oz01JZQQWzP1AkOZJJF+S6B/5iPGO4jR 7VGDWdsCiqAvAhIOfLr8F59iokfpciq/uu8Dvb27OXF/eTEJtGyZBvXirXEujtHEoGA8suUe9Zel QTM3VbG4OB4jrXkKdyoVw2uyie0IvMpP63JuvFCwSTBPj9JlahIAHESLCzSq2X44QWdXIrnGuerx eEDr+8UFzZMiV7eT0UNiX2UiXgR7hwfwMBhqHct0+TBtIBSsR/mynKYnuwNaHAp2kqjG6ougQujx 4tvKELICOklAZseCUT+1RwkzIeyTtGZbVKmvopbtyWQKng4Cc/rGHFD6LF+0U4/YfYN6BtAmq9Qz EFGLdlbYDBh+DUBuWIv2MGHmx7U2OftcfHjT00nzCcSD0VCDdT0xBxqJ1p8+r+JDr0VvGk3+GHo6 ERnAx5HeubHXRGQA+oing925fcLKkwZd2+Vdnh4lzNQUKKOZxBPKIKtF8zKzL4wVlDD3kOI3JDtT ly/ex2gVBl+8tgZGCZqLQqPn54SkU7asec8OnRl7hGGm7uG5+mgwnD28bfiryi6uIDhGGfO4KFTx tdCwLvfaiprMQP1AXa4bt2QSX5Bha7Ek+ASJwW27E2fMRfDTu/indfY9VckVnl9zSSICVsAMGnwp gI+5Y9GlN426PEjiFmlAWrg7UMxeMtMbE08HgXmlX5c69IkvobiH+TL6Ig0iCZWGFqOoxBobLgqU dapQhdGXFeXLm8POVQXqqY8gTwffVLLroIoiaLNQZ2VPKBi+aQvM+AfjZwS7Iz3QJdt398yAxE8V EuwEWzwdFOotfX1ZQG/JvU67EyGYSZ5oVi8gccbdhEsdOknyzk1QdGKHsEd4jK5RcBVepZIjVpny LIg3Zrp9LADasnYHhuCV3iVw2dbkD4Trip8RpTxswGtLubCNAQ0BKRKf3wKejmCEv2pRRNdysU49 TJdRvbVu5ovyOyXKctHakDYWxKny0oq6yypCTzhOvjgtCWqOiYQMetjvScJfB1GzQPWQTSbfna43 fY87Xfo7wADYIpAloFj8Mk7OgKcjcvF3upyhzUQrSCMXR/nyBHiq7H1FQM2KaXRWTBThgZMoM8IR tZd5p7eE+tkWz5cBBiuL9GIYh8xheB98peiHscNjDVQ6WIwoX87yEmazR4uGW2BQDfXAMp4O8uW+ vj4t6t+37Z/WiPJloE/PkeHED3iMupJR/mghgFqrCKLb+bdEu6P2shgUa1yVhxPI2oDbAKkTK2Vp ZHT8Lks/r0eGs9daW28aNVCTfD2WL6q1aNvNf93ceDpoL2//tEgNANpgZW/zjBDAjN0ZgjWBAFMh ZkITndpFnYBVUFWHcETtZcKSz00AovZZ+LscbPPA+aTRGvtcoWtvagmg24MbqDLntbnNCVA/Az8i wFhqJCGYbknmyLA2cL+cTJz/g6ejycRtEDIzZkP3XukjypYBGakUHfBywiUNpvkOnM/avahHlC0D UgegwRbsgFcsd2flhEq9MPAgMNciDC8qJoBkrrdpBhh14EdEYz91d2r1RYXoaltM4VMu4Ol/ihrg 1dCTkvcrNyfKlUHVgzGbI1CTtZQx/jZOUicYn2EnypVl34U2tC8+FIWiwSDXAbX1KeclSbTJ1kSb ECOGC9CtetW/4k6V6s55U6AGfsi7WZWyh6cMy3g8Oj3fGQ/JbPMJy2F7mWCVlFwbjXAycgMWRR9Q i3KvGXiibHnZaEJk2vGQaaHHWwF3URsD+kRktBWq2yFclZsPYjzLnxGUovgtnbqKfhVcxCuBF70w BycfHBF+2Q07Aeb5MVJSz067GSGAmXod8lam+E538Z1NBE85kadyZIzjEwGYq2bqi8UEF5/cADQ1 syC6mH5PeX0Q8zpIjL2Kw3TXw8+I4jJ5XhRF0aJLHYbNcizrA09H6bIX6vwQ8asnBEu7E6XLmEBU zA80MpZ9DIoJgNkkrMexmg5PlC7PYZIhUwveIWtyc07MSTJJ2YLplQyYAYg/Kc/Je5hyGY0f5xY/ I0h5FpG5kq9sV76ywJCWv/u1Np6O5lr38MjDY0Eb6QbmOF/exuNXJeqOwWMIEkbdwWQ+lCPMlw21 3bcv9m2daDPTWObu3jFARtLYCzsY+B9ErGh/NmGWI0yYG7VhK80oE5S2GDFO5nTqOM7Szu2+8HxQ qpf1lTKzowpfUtugGJCBbSFNEF9XbS56zl4wKZELxAM2wkbYYZZ8yMjJFw8++KiSgvO5rU5wZqkO CS0IDUDznCK60qvOWa8aDUZZhhLdoUW1Ono+bBOeBARPR52Me3eJUMses/fBZthjRh51MnyEX4Az Gmk2i5AMZDsZgucJhWni3xLd7FtDv+6LF+vnYgGXjUBU0PMaRxgDdxiaZD+0MTVAGPXOZwjJYNgR SkmL5sa7JXZRW2oNTwfb0751ICgKjM/SdifKmZnNV440pXaOR3h6KnQIcHo2+lPanZD0R7zc+UCS L5e31eEhJHpASxi7UJZHxQQA8KBPON246E3/IiY1qrlq8am61FbOj+HT/4w++A6RYPTkrYwZ5cyo FPGagl/UxpkRgs/qZrqwzx2W9G3NmPQnDTARRveT+JxatkMDRdSb838lvtfRlSL1CohvV8mgLOwM e8wKOkOO9xfXAMyrOZP0ycej7XGYLotlog7qxaLOKGumbnqZyR3vezK0HDr/PjruRseeYY/ZRhNq ZpSHfJPgeD+FgD/5U9ujSntYkC5OTO/pATwPPyMKPSaQ0XwR+YZ2PPgXt1XwdCTH6KeH1xR5Htmv rhmlzfieq6zQicmA06t5ciaNKHadfrPPKG2m3+IJOeTpc/GrC+5KPJxAHZST+bAZPRjDMUVBS9vb hOiL4mcE31aS3WRavnB3yjCqT8N0a0ZJc2v5K+8BfKD0O92aYdKM2cOggg7OzkjELMNIEZM5InXn pANu4t8SRB4hLTS64uKIlVUJ1+W31cdGpwPfFn3u9ok6baTfMhkzSpoBTqOA/F0YeVZaQsigasfT /0sjrNNJ4DbCZgTKwAZWokP1ZdHOiWkPDzrSng1bRX1ZUYt5Gapdl1e6jrc4DxOzdTXgAe42x1dk 0QB79AeyIpnTGeXMaHRDy14znGYjtNlO4ZxEpx0NT/9PQELsFfHi2p2Y9IcyS05TnREoWbXeSMaG AwXxWzw7oZgcxQu2/AN2fwTN9zklc6C5iuRnnSrF0kdcjrjfsTHitBF9jx8RMW/YYZ75LoJkFI6G sMWbTwebky7kgGQCmsdfzbQZITK0O+sKUa/prK2UnLVVqyHlZpQyKxWE4qsvPhqF329VRXGKiU30 BObGsvshXu2OttgHm1HGzOJ+LOrQaFEzoxhrC9uEpyNAz3fGQxAqIV7anZDyh2FQJ6STxKQ8xNo6 x7WY/AyA06pGZyyRwW3Zo/pyv6x+doQC2VAvqk38iUnUKr5AVqNG+VPGE6XLwhy0IYmM4RIZ/Vwk nMOiJYOno3xwf6fLIFBA+sW2Z0XpMsUqMsM+YZYsBXBpFeLRMReVVjQCz4ogGaNIVU6KuXV8jY1X BSGSc/VTVhDKADsFqoEihX1MkgenNyuEZLAD3+jgqUXcG8il0Yer1YqnI/2Zb1YbM9d24TwrbDHj W4VItFWjbQ3vhCVRBpYpP53Ds0JIhoZai+kyF0e/nz8XDiyEldtUI6mDvzygyqHkY2GZ3zd+xh8t ZjguDl+4O8CPy/p374qnIzDY/kp4Mnrvbd7dCVWWN6lJxTEZlFgnJqMN04UdywPPCjEZ6mFID5dm dud3yT4h5h1oJInzB0AF+2C4qzL4sV3ShC6z3PAzgh4zLW8WAHy2CHHQhgFWqGQZZ8t3aiygJZLu 5/CEpD8iMrZJzZwzn9KlYmvMtTtsJfVphQhmqfJUA6OmB6PbOS+Tg1sq6KOSubVwEcIpSA4KNp9o etO/dNNqEjMymXr8PLUKcfOYJG48HSHlfg23UPS3C+hZUbaMDta5qxYxyvjIivha5/BM5YPrxNCs fHCFjL+qwMNm4arXlywDzHG2rg8xb8ByYsRBh6UAnd8ePA8ZTfgZQR/MVHly8UWD0ZOv6dM6iQKe jmTB0lfggfoNyTu2O1G2TINC+OdZxsMKj1zj4i34rR4qzk4okTHM5ab44nf6PjdVI2Pm/OvPbV8I OQCRlGZ9p5J8vq2hyBMhMhZnfufqLr6wmBgQlOS3NfrA0xGaZ35fW0SlUodN+xPlywQbkcYg8sTN CIcmoxBYgWWSQk+YL8tjc6zmyx1R4NdLRR202E/BwGK1AX9W0SkRkX9IvCjrTaPtYc5DpDeWKeLN XIj3qBBSang6Yqp/5zxw/S2l3N0JaX8UyUDrtJgIRJbpXwKOtTLy0AeC11YIYZam02a5zsXH6gly SBTqxHRrbNr4nt3BfxOdM1St3gNjB35FCXPSwLhK07yaxv4Cca6KWpL5hkFg3t9QOba4YRBnuxNy /jo7hN3Nf9ocxp2YJqS2GzsiPDtxi1kU67Z8uRhd6MMuukZCCK7IvqRSqGYR5TPqRTvRR3tFEGbi ev+Lq34ShjmMH7Aqng7G6uMeHkQI0jzS822FHebxWCKhCyYoPLpgTmBHwDBhsBVmzERjzErEBRcH 9JyvFjNR7g/KCdVlGbPNhthPLrglPcPeNEp6SLlRxpw9YwbotQmysjOf/tfpWd4D25eXtKOEmZLr UNa24Wg3GWaYG3VLCfew4egOKX9bTiQCH+xHGCwhx+zqgU38zhWZO3B9BbZkmKb57rC/vKOEmW2z mURWT05Wz6fmz1WROQ0+HrFLnhYq/hHIL5MnPfs9Y8ZTwPEsF4ut3b0C9trGaiujm3rRDkUyNLjp kk/rV+kAnlptJ/QF8XGt861JpXHRFu6Evbavxd+ms+8OQcyVPPW17qIW4ahJSUtrfMMASZh+5YQZ KSJaCrY9IYx50wG9Wq0ugyrwA4pU8cFM4pSM2xOnzHZ6hi9ebmFWMYlrRHMGIjEUtFxGroZg1R0d k5q0o5S5mskTxap7KQ7She1YVRa88XRE+vsGyyEOlZsw7yhhxhYA5GS+0BD419lpBPbJ83gbeWL/ Cco4IUYWHPORn8FYawIZwJSwoaFG6y12PnCh41N26g0bGTtKmLc0NchH0aLNOZ+pJpsn+8TT/1LS la8f1f4clbFDVMamxahpM0LhXFXpOL9ma773Sw/YMeVPEUeqaftRTUOf/WRMup9OCDrVOpWdOv0P CwTCr3dmT3rRvyREMof9WmyqXreEmU6ljqf/JZLBbwh9ynLh3Tu0KAFTpSwntfF+ElJummD+Ap7I NieEZKjWytTd4+KYA+T6dauawOW7Ce+eLHY40OkX/C6jsR11lzFfRrwp3RcDv0vmER/AwtOROM/+ +q7If31UMnaEyMDotZIewSbPkHUAxHnAOVHU2Z7x7FBSTkTsme7i1cSJ97VV9cCW5sc4OkzmUdDL OqDLd7XpTYMGKpqKcMhcvjAfPNWhOs3nqBc8/b/AedgpIiFCuxNmy6AuF8rQstaiFBmbPPLQ7DRd Ne2ZHSMyhCNcxRc7O6cshUqGxBorwCsgRqCOTGIlAemjs1PoDIufEREiJY7B4R8Xq9MTcw+ozg48 HbXAvi/0TNXQ58sKu8uZMAzn+1G6laykobsLbiU0acBUa/+dLUMN2Zfbe8csj1kpCoBqN5aYxuc/ +Fm3DoWCBX9EpM0j4wAeHS7qD6Y2hDZIi09H+g8PDTuTRsc+um1OlCpD+aJW4rG5ObXqwADd5n4l ZRqXdkdgDPqvn39g275ctMpJMyYBJudXBSFstXhAmQBNAJzdx5Oj6U2jZJCTYrn1puvWe/7CpsHC yHzDfwmm8cMCoCNdLI9ExV5/KCq3zn4dsRid8LhJTaAs8DurL0njpzBZVks9c0o93XcQ7dOzE2MR Mgpq5JjDSEnglcDcZderZUmNF/6Q9w0it3xm0SIFNGGhnk6IlP7M2UI+H0Etv/WLkMCemqfeLQpF 5ZDzNHDJqzrMJHBtXFznyYZiCxopZoqd4oSZZZZ59vZnatxHmQaShufNbHTiwVECeBk5WeNgVvyJ se1l/xA5B2Sz+2IVxaLD5AnPJxnh80FJ8YB1f+iI+EMHIt+j0LyEKmTLlHMr7M+tYG/TSLUkucmA IoVYZimIFGqjcXF6EqDMnd5YmB1T1htFBRuo+NVgPuRC3jXbu0bAgyWBFTbFykmaSEk7Yc5gaOeQ Lj4fpD/51xbh0xn52aKw14yMoXWbFfufkP/QSpv9wjWnmwOFxn6Errw0NeZJaKH0JJM26A+Rm3zy zQpNXbI9Lq4QzAa9bAQsnMQdtLsIlTpXljRlTo2PR/3mbxIXHb9We7Yoyp+BYlajsJAgudhmpl0m 8MH40ir56Nqi0MdkK0qX7Isn0HsVSFUROXf+0BfjVcOd3iDWR8EV6xm2Ze/6h9fC2PBXsUUt53NY u6Y5cBNIIULjihSywwH/hEaAmm1R2HVulNSlUOyibTJdyIDRIOrpxOuB2UXThxa2nXXZp5p98er9 XMatkN+BP6eiaXNDeVpxQ03qFKozxtsePySoT4X8Tja6GIYPg7WwuvIowfD4+xbV9Ey8KLmOxur1 ekmheAYy2cL4SjpXc0uBlaq6iKesBL6fhhQpSqWnhl0iaa+HpH3eF2xjVirIfvqcxHI0YPIq+RQY NJiS45j2rn8phhW54ZTrhrPW7pIPnlvvGHElv2naZAOWrzs/ls/QDpXbH7NIRNSl+mO13EgUNp+3 TICkfZ6u9jkUU9M431Q2LmnPQvBiPIw5BnVQFIgKja75QyIislhLMnxh002fWZJh5PlVND4ezQV/ 6T5hEkfrM9uhMKNe5HINlz9Xbo1YvXy2M7+M3CIJjZpFHNB1Nu51Vs6enHKuaXgBAQ3GPFgU0dCt fssgmHNvim1NWKZ2Krtzsf58aUIon18rHw++sl/8E1ACSn5cX1JMC+y3Su101czWJSMkmyoaRKFp h8IW9JYDoCxHy7UcPVf/ZoNbLehTzw/2G3tDQwihDuwzR/ygv8cfErSCigWg7IviEFq5nJ0Opo2h s8mFbUisnO7zV0I/h26Ak+7zOkSwxb44+Y5WpDg61RuJObADVDebZmXDFw/VgLFTqZyf2Ql0zBsH MxLEa16awqrKnyIHfoA4qSzqCZLRorL1RH8pV0LpO4eGgA8NZTAQQZrvChLn0BGwcYeGeffWAm8f Fq6ntEwmp8FZmhwBo8x6674f/Ia42CFq9ONKyhpPJron77sJh8aM0SoO/8XUJXvXYJAhQQ3TeJz2 LQOlp0YC4Gl8PNJO/bY7ruR7XkRmjk0BM0fwpVpHEeq2pnQ0TOlo0sNeOxSKNleJ+Kzuiwei8xex ecYzdK7OKrdSWkits6/0njdM5kr2rn9o0EEhQmPUpDHqyjstsQTR+siBL6CsNJ6+mQSyL5MpB8aA 8qKEx4C5c3XHushHnKOwweOpryxMqwlx2ZsQBC62Q6gKdhtVZ4h+NirgoMmCyNIeednBOXMOrAFd 4bEN1rFcRNc5id80Cm7l48FX9svkl6G6pCcOhUJ0aOtVdliYMoqlA/DhGj6IbwSuJv01QfesiEpQ 7+Kd1w1+FwV14PJ2UkVZIFOcbeC2JeHCHRmavWwwi5+S/iaSTKo62KJz2pdYyhtZW2gQ+B8+yEgX 9mUL5sAgUHP0Wic/KajRJTN2O8eYtm8F6tqURu/6a6Ksml1pWXDtem8z4DEBz0T7BOzFhnEJ5xqY EkGHhL4MFoiozJIDi0CfqJZJzhcXQ2gmzeNL7oWPR44n+StnBLYvp/Z8ZiFjMBNWweYZnTiLcumB 9OXHtB5n80P0N/yZOGRbntnGAlEQhwjGnD1zh0onF4+xt9cH7jLtZaOUSHpic/piTZA+1HSELiOf j4J1+Q5FwOakxycwMAqEpUMmpmOyPVTtciemI2XDdORbeQROgWjiZ6WLd7m9tAST0yWF/bZLtbza hHPg9WgXfgF7TO8aXWc8Pr3fhado71pZNwB4zseDUzT8O2NPiQjVfKvXwCzQrBQbFRApxku7aTLe d9ZeocB3o8nALfBWHjoiXJzcdPKYAbdodhtPkFKbRGUZ5s7Er3gr7b5sJJYueZ8xfeH0OXUJeEK1 J/PxSO66fX1orMv2fEJRCIaG/HpLa3q03iLpQhlMPTXY+FT/0P7GdsAv0RefdUBAu80m3NT5BRBl BKnijdDRyc/3rFEm0qFjoGtJSFa1XFnVCbM0fWaDjwelR/kWQmr4R83H6zb0DGTjtrCbacA7c+6i fq7k9skZ5Q6FpoFraodE013j5tWg0MNOW4YsZ6skFIlC/2yOpCUeW7xs7xpNPEhApagRlqbu/Lkk OWMCNweHKPANRMZw+QYCPGSM625mHToHjk3l4iLqIJoT8rk9wQMTBFAsC+9nmUnHsGihGJgUri8L +37CtVy0caEloIQ4ioZRS8FBLY9S3eLELIfegVAxQEhX0PYLDUSswUS1nZPFxyOOrpf4qnbPAfpy TI7dA4EbHwnQz/+j8bZJk0wo9UqMbUITSaEosA/EKTLg5vTF20Qn3JzkgYOhifaIY8yAvoFqy7gs VJpq6V0jVSRJKOzki+4z3JfcohPgGp+PUmtvNm4RD4j9uxdaYCFoXf1CtR46LKZsdLnBq5XerpC0 tD0K4R6SlldXfz9dfbLfz5HBm5xTApCvUIo4RQ3qEjzGbs9Z7V2joT2xDATMYilqPaddqFN0PrSs d/zXXJofHOAw+yq45NBFcLPpJwW2Sv8YVfsDFuKqz3Rh6zsLMdLSWk1sR+90x2eQjIfeGGPRqPhb aWAwNo08OLtrdzJN4bEc+whSNgoVsi+GsC9F3tI5ZT4ekb2/8UK48s+73FZa7CRYaN9QTS2gUJhA rbQyLFpDdFT1WWgluEy7piZf7D47v9I0aUzJaF3g58suCB6gp8rsV41WqXXoJcjPHIJ6d9EENpUs jfgTJPh4cIauWgBTO/yK1n52KEqtGw0mOBymDNAkRGaRdlkFdl1U99F9ForXyaKzt7t4jd8w/6YV CtAEfamVNCnYBlDVvAYgm1jXHNoJDraJWiZuiItwQ8Bqkf+U1+DjQV59Q7XUSpAbQX7RdyhqWAPJ d2LxLA7/GBf+Yc5Vq9GGXmcobFjb0OO/vrKkcRClIfu51JoUFRjlKCLTku8Qjy9/RrBDZDXDkdkX HaEGrSr88wojdZRXf+GBOZNEdXYBIDm0FMS0oEKlzcJQ6Y4AqTxMRIDQbafrrwmue/G9e+u++Ed2 jsmpo0VOPbsDaxHCPiemKZg+XWiVmkShpWBlUp0bQURcVN+fjZXqbk6Tj0dByHuxuvbqDwVDfX+i pDolCap7mKbrLkEgslQmCOQJQn9TDJccYrj4RQZus1EM50AdIrdX2poDpk3Px2nj6W7vGgHKh9xR si+GPluVJ6ilmvl41Iq9IAcWsUhAwPCyLQqNBZG6wFLAXSkVp2EXvJMcU/aAnJuiUOwsKBlEFRVc /CZrwCOMLOWAc28WMjuQ5OEbOzEzPcPp/v8Z+67sSHYk2f9cC08faPH2v7AHM3NHJLvDizMfA86d CmYQCeHChL1qdExb6aP5oFPoXGBVqhxj8vFI+vlbNpx1yvKU80NvQRi7IDETWLqK3ihvQZTIKYSY nWiYA3PB/P+YkUF3o/jg5fwGa6UxJR5wjjuzMwfCKqPKr/Z9E+i+27tGfCgSMYvqjcU4VydQn6NZ HqF3jFSl1vcuUy3uXmSxwSAyvUahTrISVus2QzTMESthemIWOgyaAjThIho8Mdt090LJ8yQc5z7o pGtmUAIaDjo6OzjYitDyHHoMUnoeHcXsg2JqeI/yXmsjDT4fzdH8eSogCOjJefc5im0Gqc8xzd60 NsOZA89rAOqdbh0t9hlcwlvxwJ75CnScbZZGJSkDSHygGgl6LIOaSR2+Ysv7ZrSG4YdEdN5O9Afr +hxsik7myGgGPDQ+H2209esoAqakPlWi2GmQ7BbRd7HTQJcUK7OZOdq5gaanHYHVoPD/CHPkYLq/ tI4nukLsAwP9fJYPV1xFB6IySC/yrBMGf9nL/qvWuCm6pkF9oempWdqDj//JCccOBfhyPXirwHIQ RyBdrSgTSbwVVgpcrRqsCJDzQ0LCKPM59Bwc6oftOn3wcmw7+VaCyiCqRAC14ACCCC+2+ISZ81Vw m3ZcR+xDpClMgasPyu/RYBdSBiWQwHUQd/d3Sf+s+1WfJRTF1EMsQIOYoyKYrVy9p/4btdJ9m0Ug kCY8mmCN64E1nvMiQdelEW1+srTEwgeIQRsoVv6lV4JLVbQaStwRR1uHVDtcZXCdB7s0jzsPohBR fakKFHHD6VjSzVwD30FjAaEyajF1G1ZFa7krV1vf930cU4sGZKIvt7N4klEo9BHQDF/Gc2wTuNbE 4AC7N+8Hj7/sXYM9RhuVjP62DTqI4JrFg/uceJ3PB5vsgVXzQBU7/FbRQutBVEnPzKBq959l7HlW 0bpaHZQgxRJhWB17D24Dog0fbI7OIVaTySZNbCspTQ7AZcugLPVTaezN3jVC7LHtOtIdBAM5d4F8 Twv606H34His3ilUCL3+88Rz6Yf46kQRYsS2rKNBvBDnz4R/l7TFJ3DQQseG9oNdfg/q4c+nh5/O 6bPPEYrEM4OiS+4zSyLoddCNPl8+2aIwTg4MCJMtJNaxbNBR1KeIm7DF5OPBnX+zD0EaKIv7VGND D0LEKbXkLXRDFeGG+Wvp9fKCPHQMTAihVqAetdyu9uN21SGjPWnfhNMmMQ6FLQ1aEIARlyeBHcnf 9V+ndZOTXHMnuZXOCceKfK1r8fFoq11ukEnAnf/jSgLm0IiQ90mhaRSgIHB3UgarCwOlxsF7jMso dCJcqjFWwfXr478Mnu8ivpeigCdVUBufejdgXAEbZhnaUFwUWBEa/2miAOKDcvyhvJu4ZD4eXGit PWERyGVT8b1PURRes3VVGTbJ1mmbAfOJWNW4XpN4AE3Rv8U8dpWBd30MvCEcm3JinQgtwTQFNV7U xsLvQ4qo0BF4d31IhHSgs8oYGnC50x2uT3l//4wTqvP5SMn/F7KRsrrpWUWhZrT0PKxRVin8IlRa y4ohTxK7/VL7hyWh1LnG8MFpVAvsqEZ+Ii79UUxaclBjCaX1e6flba8aHEVLxXxZiZThPtUFcjP4 885hw8f/qlc3CVFVAIpuYBS4EprNedFOUnFfSGJxo7MInCVZbP0PW0LWf5r4Zm097N/zBY9JpzII Q5eZxVEkYwEFQ0me5O9FFIfWZnyVfVCev0Yl/RfoZz4eraHvgrVge19rKOQpUvWEotaUzGECwCy2 DitYb/bFNEMhFoSnNCS0fPAu/ol7U5nDAqM2NmXwinyyz+8DjMO3GR0PcmhO2GS1Qu0cDQodgXlg PXanyseDeuwNrakpRDrxU20M7AlRJqRjTr5WNMaLBm/C0LEbrs22y0KyIluGG+UYG55ddiZ7La4h oHAHC24NIjAV/oTrS5GKVM4cGBTiXkGjBC4DPojIsGEHpGWx+Pj/BU+U8R31tG50HToUohQAAEiz 7iIjchgfEIIIwP6JXEmr6vo1AYDYLBxpHcvhdvHbWLluTdF5pSEClgwxzy8mbs9wDrQdzoFJoRHB Z5aWtHSIsM3g6i0NgRPK8/GoqO/5hzBskOLZN8v/vMZEFYy6TjNv6wvlve4imtkh1sVioteIqAJO RFl/FDds0PyACwzfFaRU8KjvqhcATTNdhBfY2C81xdd4qALpwqSDpmwaDF7dBUhrJ83+vEZDFQ1U LZ8pDEijfvTifzjfSDAz6HRCLqEbxYPSIvSjafR3osTtMJHS10Co3m7QTndwtN4J6WZrVK9YBapL lD6jDUVBmwm1RZsZg56/zkyi5xJAo3v6oCIj9m5TxnGC6deZeYAN07r2BVXqYTPzGgHVTIjoCWOX 9aNPYOGy9VRPoGw9O4Q4ml/jn2ohIpQFlw82M9D0Pwe1vAn7WSoKkRYLF6TFsgBrZtWd7/m+ZoSL aV0ygd1lAs8UFcPF7PZ5DX3qg6WeRlnA7JZsM/Ma+GA30Q/Caxugu1q9Y2TTw1siatAD/nVmUA2i XSN1bTV4ZHiiEljG8MrqgFjz3p80FMGZT69h0zFjg+w16qkIWyigSKvFaYB1bidgdNmmP8fO5zXo 4V+5bWqWCpHnFPU18xrwYDchhcZpaVFzsfL06PSMZoee4oe0+4x2k4x5yKvX4OHOuUnQ/WR2CpvF 5ppDxMGsH97q8smgC+LnNdjBOUPgAqUAbWBqOk+ax2u99RMwv4Y6eNhshm03sfXdfc28BjpVGhee T9DEqAncOfj1SpR0L6NwvIY51V0Otoh0e90K2fnjT0LUqaNxrgOoqvKe71BarGit7+U3FJFIeM/3 mWFf9uRcVIFZrgJDwQFZ4Ja+P68hThVP5VkygD7DF9Rm5jXAqXJtBnRwKEiGHYGMGutIqgUh+05m ABHNjA4Y7AcbnNpSzk5MWxWNc04XGpFAqeKsjXOZ/7B4aSFy1nsGuwm13tm5MTWI2LLS5CzBBeLz GtrgkLp3k2JpTCQKY5yZ17gGJzBmJjcXss3dUi3Yw5opD3QmTMg2OoE7DxjWpzX43YQ2fmfbANJB UGgVrAo9DMIx6wOTBgbg8xrTYPKncgYp1Nd1VX5NVLig5vwa0dj72eb5MY3fDnQRZ+a1VogLjS14 yiaoxZxcZyAbhByoFUMCvVYKsY9F8tntDs/M9ERZXwi2wl2byLwGIexKsV4qfXqpkJJc0dRQcD2z MayBB81Z7TKcKRTajC6n/N+XU+EW59S8lgixTAd5mMYtrKVZgXnMyfwc3fdW7Ah+LRBWz6f2VoFn P1y6k1qRc8CDBji7LMn+Ng1oR7Mp90ijX8hreRAvSjvqzaNYA/fTySHMz69CjSs6abpHNAwLoZ8C NJjFelEYjEIt9KxMFWcU87aaZZpSDsroy3l0weQMuVxRBVjDvZ/O9oKyOO+nk/Z36vV3wvmhrSjd F5OnEG8+WjesVLSmomezzv45xrZatOf+4NPBnpp+DrNLQYT28D31ThDjfujXAYOCSnubfxxWE/3j 0I60UDiMhSkeuTdrFhz8jgJwdbJKmk9Sv4Egxq6CQlTD781lXivz7q8ancUK9thPbi7vgIXN5jcA A0CLh+Fw+dpXpNowedFnvsfDl3Dgwi9tmxjpTIVb7FyyFZhog/0E0wMxP0TCM/vgwDFkgHPI6B0q TUmew/BHKkgwwTR0Mwx/0/fZQb0I5WSifwSi4M5CI5dZY0O16z0kPsug71+nDi5WygDyM6OYmBKb gOlYq53Hoo6dpRoquMm+taKgGBLBjPmaD150P+98oh+6ni7gobM0pOFqkAGKQmnZgYfZ3jTIpKjW kQeFKTioFgizKnYAT0T3eaeF4eBZd+0gFoFmdtnrTs97YCw0HNyoTcqtj65++0x08mKJAmeaVXGi 6UEmACxM9sH31gkjUfmVatBJO5tE/ekZREkRSmxqa01VKP558uRGNAsHa231IczYQos9io1FtPHF k4k3Wvc6f6eEccUiw4SMD4+eQSV04sPJB2ZfC5hKddjfw2Mc7zT2BCPFBk82E0Q1p+DhJwAsUhha QDxn9H3J2P42w3gnhGF3UPfx3HfVB3zGOc1MQOUcAfXzzgerj9KdT09B7Wj4lf7OB8OhQVtY1dfJ 6VnFG+xWJd2ZgHZWSaMYmWixs09m98HjnXPB90LNWejTnuRH8tHsJzM8QglQVYrJcOedDIY/0HwZ 0/JB9xYqXfIKyfvzTgVjwrq+YsGC4t3ed3aiMBmtsgpsm0U8qxRr+PXsxa10j54oTmaB9Myx1lC+ XiHnwB8Qrqkiy000t1hlBuO8gBw36GhgHk5Lb/o+O2AgIkKWg1y95a1BlAf2Vp+fdxrYr3BQ4FZB tjzxfKeBcfHIxyALa1jZbIQ7IxXXsuCqJMp1/paoXKEKzr6DTw9cUGs398qZqERP7XHSCEkx8Onp TCPeOWCsOFTBeoYP1g1N8k4/YWb+vFPAEPTs73uL5oyQA7PZicJldraHUAf0zR7DqlywbTRb2LIl u/5OAKvmZd7S1g7b6yE04ysdBpjf5zuXNDQamQQr/WDZusLLtDeNZofmjAP0BA2Ol2/shcPS/PNO /6pGZL1bq9NSuPnaeWd/4VYHkraULmhhLUKr7LO1KuvGG34Pyaqj7+Qv3rhVB3LywWfn/OOCihdu 9RMcz9IEBUcnLhE7tx9KStGbvl9bW7UuOc00d5qBQ1HP8tYr+fPO/KqPrPj8EQcKtYHhl/o77wtz CkrIt8jmslSrAyvNVGtUr+m8074Y80hQgbkCBwf1bLg/ZBWPEW8uIucGhZsgO4h6wKXGFb1pcGuJ hrLEZpapFZ0HT+jC1tWZss876asKdfK1s1ChXc/sRPVj2fCM7WuHsQjOnZ7NCGxN8kFZP36Pl908 bqttt10rI7PRcKZEbhiQ8EmDMAyKWcDcAxqyj//XsFeNYp76koqeZKW2LsRTbZ93whebFn7wiHWC V+q37PXO96pmPdh5VrC+nrdm5Zw8SIbARJm9W9fqne7FeMRu8+KD5xPjLM/WqOkykW5JeXwQ9cTb oGFuhFPpbA6/073qtZppdfmge+ts0SbYZebTUcjsQQ9ejo0MmQpzeqKIeTEbXd0KppZa4Lade5mQ wsCy5uaKasmWq9PIXcO9tk5OVSi8BM5kaqwh1EmRALS5575HzyCn8p3qVa9PkYCIHFTj6VRQYq5e Pu9Mr2pWLHdzFQoL7Ts7UcCMCuJZ/9n17VJSEfl8vZagQqjRhDjeeV68trpszJsPPjtnB2EJTdtc c2rxwHSnAv4Kl+7rSL30pkHMo1AQrR8bNDs77S2Nibk+7ywvW95PrZ0JJBUBNTvv8XImzrfW3vxg XtaqOV9utRrYptkvZ+c9Xj4Hc+OiWep3rse38uQSBSwmymwCe8ltCrrFOecQ8ux06zwKed45XuyP ZeqPkl3BQdcW5KoUEab9ead4VXnaP3UeeosSm6zZieJlUPHPLTKsfUVZYuai0gtBsjXKNKmkd34X vldcRg2SzT54NzihmCHBUEjSQ3qL7QqKkzCgqI9AydabRrPDdvAo3QchCFE8JYmyYXbe42XVs56d hS8iU/BEsxOWlrHve7IwsJqjHqg5yVxDdrtg73dyF3vljHUodKPBZqcxF+raWbMks/1cBPEgxgFI +OK+ht70fWex332uCGWk3VCcJ09uTT4xkJF6J3dVqa7Z7NCYEYWUp13+Tu7C9HTSYJcfPDMZulKA dopK8ELk9LzHyzIlRgWjVx+edk3pJ4siSBdwOEr7gtoHKAEMc2k0o2MZgdnnndp1t1adEmedpn4y of6ofs1JVT7vzC5W++pXvJytT+2N4Shebl0R4bAuH3jSStRTUpkHDW7jub/Tuqox35poSBpsdnBo nZiQ1fe54DSmYqt6UogEgP112ZaiNw265tLMphe9BuEJurDp55Qf+fPO6qoPx90iQoao1NPk7ETx Mq26m0g4oJqM7pQlFgogu16glarZCQvMDHUKFSw02NbKoE1W6f6Mir8qcwLBwiKKFAXhPmSPVvWi 0eTwOOai06DyMtIeJhNdLxjsrF9FHrBbcr6d83c+F5YOtVKkpElhmatJv7Nr/I1tycQ7netidLIy rjxu77wmEAzalKfnavA2sFMZmSAALmRuC1WAsOXzTuayhm2GUvYdtLHOqaxo8Ezv553K9T+nMpug jVQuzk4ULHei4HpNdqPv5S4zkypJuNHBmtHsvAfLifaFZ1om4508b7wDADRcDdkoObHOOeGF/mZQ qDp6uTKj1I9453GxGWqgC5ECGYkw12qWp89zP37eaVyY3PSdp1dCDm9j653EVU0ZoRC0af1Qq743 2IYb7mLZlf7O4cJXowOHYu8a/NI6GwvFUk7PudR5miEmaliV++fxO2Ua+k7fqkYoxhlTfVCBZ/dG 2AVkXz7v7C1Gyr/qXygW7hvtvJO32F9m8pmNzl6p+0pJhG2OTmsR7cypiUrLRCe3nFSAT+s5dNLJ utZSGnqmZTexAWhNBlG8+dXUouT6O3WL1X3KTEhIa7mQFloU1vMbvX7emVtcON+Vd5wm4hJrdqJI GYUgONh0K7zTpguF90ZdJ8SCknbm7MSVZRZNi5Ktcl2ocQQNaE8wUm4bqH12RAHxqRRJKI+gH+hu fNWg/qX8So6e7Tp6AujFpOJ8tfXzTtxiS9RhBvy3Mqq593kUKrO6kqclV1Vq50Rg1GzqRx0pm5Be YWmZxuRoEXBg4X0y2pkrlUVhj7zRb61ZpoxsSaLiCncUz9HJjXynbbF2zlbx5l/WGL50XVqb4uc/ J+rpn3fWFu/m3z1RnJAXoPLO2WK5f9MCw2y6TX+V5l9O9T+XTbb5CWvLurQqG+q5PoKZJ0w8CTXJ v+h5woKXiNs66Xa4gYS5yr2MBt8ZW17iOb9B4s8L3mpTgd2YNEtEGZ/PB9trf28v3q9gDtv8RNHy pDJUpsAhVnzdspw5OznJaGadN/L5iarLU6imxXCGgyeiKUM9UdzaClKthOpx7rArid/tyweH5ued rFVNIv0c8aSScODhc2KnxkpzOSfS552rhTcsv4oYk2efX+rvTC2/1DvCMwrUDQJTwaulVRlTrTGQ LCIefCdqVSOzoXoxffD6KW7awoYtauuQkqtK0/Fh55umsZ91JgjbfudpOYAWgLSpwaT2oQbLs6Of 4fNO0+LW9FyCFCRUhMGtttkJ4RiUzG1AY5Hgj11WWD/tqNSatPr+aagPvnO0uO4Nrb18cCQPWBeg BbPEcxIVuIwCmlzJXpuqLhuQBzSpzztFC5/Rt8jGyweVeOaQ1CGAQZ93hhYLCfM74kHiDTcpm50w XAY0uEkwFC3RkablErrg2RJtlmm907PwW1Sa+F961omldilFJ8/5m9Zk7Z0fQ5tVmhcInswD/fPO zmLnhQVPSthqUEt0ryWc08l3P+/crCqXhq9rXXnEheG+h8ugCQAfR3U3njvJXcwXGQfItJBn69x5 Z2Y913rTEmrjFjFOwAyx1i40BlJUymYTO1XwjnNfO1gCfz/vzCwrRgGxYW4zVmRbJ8nTuQMi+Oed mPULBibkBolhXjx9p2VVycjh3JEeL5TPK9cO2hEKDjc4DDY7IUx5sfKVVcPITw2jnZ9h302Z5wm7 d60yNmFwY5EuokQUvWR+xr+w7ZkgCA3KIDCtLIiedfl552QRYfeNH6Shz744p3dKluG+a6dOHGdH muAVnUYCVNAR3cN42O+MrNuXyPibbfBUi/LCKhlM1mUKrzV26CEpApiTGVxle9FoctgQlb0eB3Vt 0qThCv+Izzsdqz7eO56Hck95NvHOxsJTnV2J5TAnWv+p/GXoyg3FDgO4h/EyFcnJWrThZhMbefTk 5EBKplTGjGiGwGMGqGHfWLrQ37lYdi1mC+M16ELPaQoBeILMzzsVi4dW/tpYKM8UtGJsdqJwmRWW wnaJSLPN4f+7Gvx/zOKzE4fLnBb4KdvgzAj05N0yGDcNLmKANRqvC+yR59TpetGghMHDuKV2ByGW x5JIFjR6P+8krGrq9F+99E3hY5+cKFYmLx3iIZajZ+dGDGpWYHJ2cifYFoKWiZDLrd3Ba4MDOqyD PiDnZj+JqNGyEf/QmHOlByGX9KbBfS5kblcs2C0WHB0lWW2MVfF0EAv2740Fg+2MIMtmJ4yUEdPM aZ64cDWz8lchr5zlL9R/NTtRXTkVwVO0LspD4TsrEQaB2Vo2aZhdNYEfEDLAqvLyF7J7fEbAkFC9 nbU4DbaxyihGA+XTQS/9V/mrMWRafp/3MFJGK5ueXtI0uMdOpdo/NQ12M4hTf4+UDfDeMjx7bPCW DQianC7cgCdHB94f5S+0vpBzfTmksAaHz/hnM7SKlFWNzX1u88kmHAkheDqgSVwMT1HciAKBV796 FClD06NWWmrLt6Gbs0VlDYvHDv1FcWP1CIehXk2mV6cGm50JfmAWvfH8gCxAfqhJRKSf+cjvDn/T II/IwmGoDrbMv/ykVkXH3PkrJp6OiEffVAlwvbEObHLiunI25rn66CjyiaymFBTEo8GL/QTKPaor m46B8FzbZYMJM0BdmZ6O6M+ci57UgQZ0f2NTSVawIscSw9OjSBn0JkRMqHeirguaG24fuCgQuDzO nYjHIy7fdxKKBgkyBK9h9JjNR/GCafyjnprzj+iywCwUyBsiwHoUKys/2K3c4Z4888Rti6Yfe1XI 01PcaRHOXQhfvl650kztUbAsS4su9V0OOnr2iaGSopiCp6P5uQA5TlCVJJfPT8TpGxS8Z2eJIgaz KDIcjULbyEMH6p/MQ3scLTOX4ALS4PEgFFWqXgYUuDGVpS9UJk+a/tPnlXmA6xc/I+r4DdW+yApA qIZvYZ84cdO6+ITlBU8H99b4vrfY3maPVLMTRsuTsgXXo/v2tFYzmskJFqdpf/eY2CdGn+Rk1z2Z 80nHN0i+7PgNSLYMkSbOEdfQGwWMwRcPrRj7e7h8PqOy9DWoVsjBMGDnDmG4XHB3ROEyA5znVifR v9x7KywvD7ENrLyMzcC9BQh2FrcPZpk2O2G4rAoPAeAavFvcO2DhxHXv8333JaePksCSPdtjpy8b i6U3DbZWoaQcEeEaODsnaHMW8Zh4+v/CmaDhRr404v4eLpt5Xgcet9DCIlFXjnJv3E8nDz3n17Sd FYXL2xjE8w42O+ePzor1GPMMs0oRqEwwrHRPZgDZ8RnR7NCdochjsNj63Ovs6iEp4rnw9F9ZuvGx f8q4rYke4ZZhTwLP0SSz3EoEEZn5E61LejPQR4wXVwTEUIqQrbWVhJRlqgW13kzqxjl3Rkmbt/qk ui/dSmCrrZYfoMgVnxHEPCQ+wnHJB9YwNmxz5WnSNp4O1s6vyjtWLfAzPjthvIyaSKPuJj0HWpN6 9YklunCE0KLodmtFleWlQHn25IPXv9B+oAc6Yp4OwWyJX6JUj+7ALuWZna43jRJR07i6g3KtUlUV wFrA039RioU9RQNgVY96RhQww24adunV8nQZUQ+C3v1YBkeaAfOISstTQIwtHMHODxDjrPsTL9OF Ca30QtD7SSTBmEhUih+3eErXihEFzJn9LLoS2KDFg99VVADLeDoImPv6nh7CurdvrREFzD3RHbe2 62uaTDCF4k84eMDZNcp1WFrWiTMEPR0XekqNmsq+AdpaJyVFtx5bq+rbxkX8JFtbbxrMjuqCRXIp xeVSTuyRlgkKbzwdlJYvrJu9HsQ/DxlphMBlJTvTttakEgyBuRL+zOfgA52SEfOISsu5E6ZSiI8r smsZPHjQ8RipK/oqU9H32RgUgEWLZXnPBjD2gY8IoJUsX9Re5JlTrCsBYDTtX8+FyBf8i2zDXQg4 JKs+mpwoWqa7WM1ed6/859QxqFY7XbARVO10RNGyzp1MVHcDG9Qnp3eA6+B0mak3CfwdVxeOMNzE 18w0A5458BH/avg1tqE0WCK6hxm8z4ano2Mn31gZ/1qqAVflYUTBMvvp59ve1hAlO5jBcmtuQ0mP NJ47UbAMNyPUlCkRkvOlBLB5lRbMTJSKShTkJEdT5zj6mV/A3KpXjQ6eJBaSCoTozuKyOV/N4Gn5 c47KjMej5fPdmDBltXsuR+HyoMlJWa6IppIOikttCW+wJooAOnmicBnsA4oZ3MErhAsoA1a6z59/ btbUKZWaiOrGBV+uByWAGfyMIBlNkhruxQeeyydYbk2FrRMQjgi3PC7m/ZKRevZCxghxy7Se57kv yZ1pZKQqgSKcPHUYDXJEuGVqfL1hwEqF5iCyC17qZ6pEPaHcOLPDeXWGO1HdIxTDqDL3pTgjB9Xe x9hsap3sa+DpKOT5DpepCo6ygc1OVF2WuQmrLv+h2WvTT9ApT1LT65WVjM7f8g8NlXPaDh26vAWy oKclQY6viW4zKY1Fve8MtfEOzcnL3sdixWf8q/beqeiqQcjcAQgMD9u18XQULufvtYONWa5a0wjD 5UFXipotEa3FD+Y2qkc83USYRxQuG3t/WT46np1VsdHkZAYYRoL2qEENiL3IhKpoehiC4kOCeLlR DYNeUjPjNOPnAFaV99Rxuxeej9AG31XCxr5WvUFPHDFnugd1ba6K0q/8lWgvDtFTfO12rYcVZiJQ qE5og0fM5x1w5DIXrcATUq2y032W1ONC6VypVOesVw3OnkYwBq0XNChVX1221qBZ4umokHGhKjxo Kmv/vr1mWGPGguyTjCz0/ZaYa0BjUDvvvMBA9E6z+xmFzEt9v0IaLQcPmaEBeEKTJpLxSdVJ6ULJ ByTj/dOuRZeJnM8QjUE+QGVZQQOP5nM3Etf7g4YOng6m51dGwa4WLwzNThQyg6xbEdRqdtAWpfLy oG54w+rZ2AaanbDGrHxrixC5H7wBHDihMC+g3Fk1FE+CPjm+FODeS31IxkVvGlXgxQdYzQd11DcN z5HoTT4dHT7fPWNEmAWKkzY7UcgMSayT12+XN6BoLA6ftaaZUcB6Wntrhlw/SaIh6rXBZgcSeidZ VAX+RA91TFmanm3Faj8ID66NQSnYGdeYl/Ks6oPw/Wn3zRozVNTxeCSK9j09BBzQzVvTEwXNLCcU yS8SuTbNlmuXtAy9vD2jmGGJeSytmuHDLYOhXwblMsLAUCW3BoX5ltGg1hZPsTeNTh6Jm647iHGD OpSEVVbB05ECo0c9XGiTIELvqc8oZq6EQ0gmZFCf55pwmpzeBiJb9/oMmX4KlkvJPvjNdVKI1ZrE HzoQlyz0LLZQ0URB/92LhEgq8RmR+APT0MqcgoNmB0ZW+IuhMI6ng9Zf80IPqzo4QQr1XjQ9YYV5 81wkCoPTsyXQc2J/iHwoHcXpxJMnwmPwYofgTPfBF8/JJ4r4XuduzFu6wdBmLMAIVFiz/NbGmFHI XC2jGM0H0Sb6riQktRN74emIRvuNsOxETd062IwAGcNoog7IAOVfNFEuAZw8CZUIba2owux1sH0H P5fPqTDZi0A+SuVXqhtMvCZYs/2Bq0jadIYhs8Qfuhqk3b6Bc96WLcZNGg1PR+nWLzVG/BJAgGx2 QqpfJgfbdV/PirGgsM9t+OUBuooU5EIJOW0tgrw0+OwgVzt7Jos2gTamGhg4ZE+s+4OJEZeNqEB8 RIDlES6XvSUNnJxzAmRekpA0xNMR0Om7CkYNuX2F0mYEyCA4t5Z0ZTx5faEzCoyKShnyR078LcG5 k4sqy3dwcC6sJSr/onyO5POHcHJOhgove1aHHt4Eq2AzCpjJ+IIw2vZBtYy5p0K8nBuejrA8nqlT DQxhXb/0/RkS/QBh6LJyoUTGEpUNl64ofyeXwU2NXHTGwhhMQme7w4V2r7SbLMjBP1hN4rnMy1Ky XNSIfgp4omiZgpGzbza2OKhGCJ1aMoxLLng6gqt8byzwJsq4hJsVBcuQpT5LZxqwu6xud1Ytps2z hiRgz7GzIkAGOmjURSs+eMADGvdklw2K0kANyrFjyviGVvJ+o7N1s0JAhnAqRSmpC7fAnIFAWThB VzwdEf1+6Tmh8dIumGeF9eVOHNiY3hQtFu+YbMjJRQcYP6yCrShYJuccpP3mwwPsbrh0Fe/0E9cm WQahjFywjuat8ixeWSsWkuOBM9YdFCy3LONfKEng6T/VrvhqyCNZx9L0hMrKjXrNRmGrFMjiwdNp qSKnm26skhVDMqyjLuUH4s6awsEE1TVxaDcQQvIPYKB6LvsfOHB5+f2s24bPCCrMLM1swuU0aGut Sj93aM4MPP2nVtpPciOgu3hCql+RIo/rYpRthmRYvtLFGIuK3NhaIXa56zxewwdH554k7qQ024iQ ycy21kDJA5L15ekZM3nCZ0QlZgU6ovpVd7CFPOuUbEjeeDo6eH51tpCQtFtgXqHC8nI8N73Gy2BX FHQ2ddlxadEOi2snZvpxTyX5IqbriwhCbYHfMeE8dYMjQFYAZTE22AYPmgcOAfyMoAg21RXNxQc1 tkbVEV1Xynj6r/IydxHqX/3ZWVGsnFginN3jHXY0yQpoxQ3/hntor1AWQ7KnJBprsIPn5Ddn5nlm nL0OwAGjwYY7lVZJOT1ba+jkieAYSxXzxqiQA3OJiVofAqx6vlE8HWF5vvVPkd8RC2rTE6KXqZRG 9UiSJuiOyhohQI3SVNkeDq4oWN4SaWwqpLanBNbTXJPqV7RD7G3I2bdTG/JsY5H3hwSLst40mJ3O Eyfp/ElkfkqgW75w/aRieDqYnV+CRaRilkv2W1GwjK5E7bQ3oPID1TWQaGWqdfHgycu4kCtEL/ek mnrxwZFy5x48f7eATomzQqATeDaFaLCdbwGM1fcVRctpyYyVODAO3FpQG2vi0Wa+YXAs/xJKQ6+n PKnECql+tG1qLgXWuS0QD6ZtR/UJ54ZR2VZYX562taYPvnbORXuy0CXFmXPKJJIm+DFFLYvxwOSW vWqEXzYgRvZBWJ6zI4lUQkENTwct9fG0brCtwSmpd/FE4TKQTfDxNZsWqLFbBYz0IFbAajMG9op1 lxkEp2e4eIyTNhQFhLudE3ryjKqAjjeIB2Jdecgzht40CHlYH6yJxAAOVsTortad+XS0eO6dvrwC RrUtTs+O4mXS+ApzOUmlWaAzKAhsBiTT8Bj7D6rf/8BVTlyasFSss4V2L/n79ApA5RmA/js9LC7v KF5WrpW1xThYgfBMPsVQT57OxyNaSbsHM/4IVYp8et4DZhP47OWS1LdbaaVRjZF0TmsTf9ihMgbb xqmqtVXHTbYg774Tu/uQsFxdjORBtEE5pzPkYr13QyvfHSKY2VbYcsrgwNXTsjUaS2t8w+hg/gXI 6KxieLK1w3gZLNaZbSYQNwwdPYVRKNhsizA3zs5f8fK+gydbZ3vhXmfMA48jufjCk4R8RkS5t2mc 7U2D2WEqOpRODE8nJryQzD1hbjwdVMDKN74bv4oUJ01OFC4jFARs3HKtlmd2ivHeFvJcZYz9b0DG SQqrD750Opo4KK6iMYH/F8uD0P0Arq3/dLpminTDKsaOwuVNmmhDtGmDesZ1CtKJqBxPRyKEnmsR i0tOLbIXm54QvQzfDYS1xg0Yo5gyBgVwyZyY1ZgTO5aREwpFimDjFnlOwtBq2p2AjLm2i8OuQiJ/ BU7u2mX2pBf9VzJRReOv2SlJQAdRughKN3j6L20MrhMcBGXcYydUkUPEU2mlyeJpSk7fr/mi5IZ7 BYRoDEu1VOpJ80HJQf8IbjOqYgy2sWmyDqEyChV8udImvWkQ8YiezoRbg83OYiGTuh54+i+dNIob IMl7tDF2hMYgT/z8YxWUUckzSlLrppgGgU7fWaGK3BZIRTurPTsLjo+zUHkU9PQTDUncgG8GMo/c ArqsVpveNGJCcmfRx1GDsCopCdp9Prfg6SjV+pWI0tr2dm12LI1BD9HiqRZ971XjsWsMNquGdNqx O4mqF2P7YFiVDNhgZZpD8HKWHP4irxS7S9bGWDuFCxif8b6zKBgIUZ/tgw7lTp1r2jkOPB1UwK5w CKNmZG3jUox3WFtOxGDM4WS/bQ6rg+KrFF2mVjZ6WjuMlhnvALPjwz2V9waSVfDceUJcIiw30cOI N9ZNQ2kVho+I5IqEj0vbBysPyt4X/tp8OqhhPAxj3CwkOhPSpdmJgmXUOTA7ZhNVq9H+fHbgUQJf WK2dCIohkZJz+mYf7s7q8OeVLSYyiU5KySSNFWsS8P7rw9H0pv+QxTixxWw+qGkD/LzAy5lvGIQ7 V7GbZG28ULoyaTlFwXLDfPetWwncJMQFtCmhOjmQ72Nug4GJ5P26eigGsrl6NHhnAohLVbnPHjtP 8jKvaInCXAwSfle/cvNOx4cEbEhhMZIwqDymBULdJzynx9iJSPh8cDTf5gT/MR3vL3OCxmpBGkIp OUBG5IpJj9VNpnH5aWz7occiI+wURsxK1klJ0OB1sARoZSVfFIo9SWrrDX9gpSxlI1ZP5Imx7WUj 5SKJUssmaTpDAxKii1HQyYEanw9yigsI4z9GcW7fyCen2LCEsiEm0AhbxmwZ+zK7pH1iBMvY6cYT VuJQJJT6THrUZ/b5gk+em6pUIDpryydlR5INeBUkM696d832rv/CHVRijia9cshH27DoERj1XPV8 Poh/biWePQ3su5Gvc0AKa82b8Pdt3eM2Ha7bZbiKZVRddI/C10F2S1CYDHr3Y9B77qu9zy+t8mUb 3cLDzv2Q0Y4u6aLmqFrATwnuMSxjyNgUHwyRulTWOKdD4+N/1Zvx4EYMttqziqIAOksPtUn6Abin cQ0yZUN//kJK03CKoorzEKhnC869n0ZgQa6+lX3tdKLdVbWKzmqFtr2UVqxo2Ja9a9Su4NQMquZx sJKzHKl/wGjm40GKcXGFPJPKiYva/pqisOpMc73MwiEZXRRePuc1zlYVDidClqaNFpadO4MgwMNt cIjGeXylJl+pTRYdK4doxKL4/DOpTqjS2PZ3jfJ3Zl+A6NvAKYKqX1Zt7CRhePx9iurVY8YUIcOE VM6dodjAhPbXZl18bv4qjtJYMnyGHg3td2lgkqJYeqoiv/fwwW+0E+me90iiDaTeBu++ga+wcmbQ aDD9xuHv+u9EjKk8By2iszpl73OOCz7+F1FSUESEq48LbQqlM8jwny4sUmZLdhIlh+8OuqVqm0Xx 9GCIs9H8s8GJpIm+rV3yEKyEsgSEq74gVCqXWVGgaKd3jWjIBGtUUZaoUckZ6kVKarB14OOR1Nwv DF0nkvyJiqKQejFobCk70ifbDA0qE7HQwdaMZigS0EA7EYlYvYPH1Ejle5U/WRmJ2TD8EBeDo/Et gmBevSl0MpnlV/vCXTTbWaWWoE8+Huyymr6OakgkFuJ/bIaisBrm0KiTWRVxbKtBz0prc1z4O7s2 M35NlHXwAFLrND2tUyDAE6r95HV1SKjRKhzKc6hsAfVfL94HSSA/JCgGFelE5OKDMLx9qI96Xnny 8SBnvZcZv2eIdeSL+MmhASCcOivrMvLBbntZsWwsK0OP4v60OXAAFPEKR3TNPjyAKDYdE3P686Ry +nOlAWV8Lj0sdAOqypMiBxaA0IooL10MuL43JVaQ986hB+CjhsAWFMraJV358xy6ALZMojb7gRSV NSfJs8vaNjENVpvkAhiBNxQu5iqlI8kmK7HH8Xz2lw6i3gjrhSwmSg60Nq7lQdQle9eIHcgzms18 DYbekOQihAgrHw/i6vyLl8xXXTf3iI0A0V6v6aoc7e5CPomKGoWipNtnKJRqpqNSrsKP+Q4guRR5 3qAy30Tdv/CoXhvNsU1q+63W056bHxKpgtI2HJg9DqIInmg8K9kBW5mP/5XA8lQG0GE8bm6BGSB6 2zI0MUeuC2uZgjrSZ3O5O0UO3QCHhKCGNMTGoyFWATQss9hlBocoRt6kie6zhtqjKjvYaM6BHeDV 1KDvsQZxdfB/GP+28vG/GvHZj+qSnhmKJejmFehDClKbO7qh161O/NyemwWGgNLdZKyYffBddqLq VmChhKi6AEptfAJw/+nEMG5luuu+Dy0B2ZibbQvAupcX0FDepXLERtQWegKWK/DIjiHqyLs+B1Ek 3AwlT0itTW0zoAd1EC1CDuC3mSijqW0We5wQEO56dOkBPu8Kv1DrGZ4t2xMdYEjtWedkAZ3DDyLq suTQFTDrNstsGnLgDJ3zLAskl3vh40Hj50bVfLfOOtFzEIV0QZyU4LBZVD1Mx/Dkykb+gs1J8UUU g5+5ebLER3J76mjnRNs0CoF0zQRmE13VRp9Wnb39S1/DXzYIibrUrfGYBuurglRmovqbz/91WPNk R904Pd6AgTkg1DEWQR1beKmOnMlAHcAKCdSRPPMI3AEBny8Cu1Qfbi2tEkM/rceRJmlfUDgk/Rf+ jnbjAwFi7xqtIl5n5LJpUC0Whstscsykx/8C0DPz2PySnn0WlqoBHGYpjbTK3tlJTaw2mv5RlYYz ZyjGQSspI+GWg68iCNhgf/EoWmlQ8AUcIaxenAY1Xx1eNnT5Ke9T1CW1wShWg5hxEGoR52RlPh4h Er+plQVFw73SnaIQC42zsnn9DMoJyRgq9DdGQbbQMUAbLQysGwPrUe5gpzXKs+dqZKPs5GYg0BMq DoO5glAXNG8PG2UcHdoEioldmIZqUGANf9ipbTb4eJB6FF9EZGygXDMf99/QKBA+bLB4W5do4ELX 3QPrifhFMxQ6BS7trykh+fkIyZ9YAhVs6iAt2udO2XUhfGYk9QCiBS3LoVWgXAhyl7QE3SZ5EvXU Vd3sBYsoMAu09PdZRDio9/X3yKFboLTAEV4TXkbzLuyuczdvK6SBF2w+nKFdIGmTwPs2H7zWmOa5 DKYMpM8GnCwk1ZUIMifI7EpFURePHxLRu5m40l1Ug1Ae53EWN9s5PPl4UGqst6OobHdjn90yUWwZ iKr+SY2FuztHEdUBID1LGUnEjYs9Jh5FgWcgVhHLRENU3XF5hJwWyPYpxUcfv8q9HjMDhCjbdowb pcTCD4nSM0ZDQ2iG4RBaKHAKHwBwFp+PoDDpe6Nlipw9UxQqO4MWYcI21ITs4jOPKTU2sJip+a0p ip1QGBUhbrHBM1gYFLSminU5sRZVt6qYv9DdZ+/MLTmrvWvQtKcgUuGFMoHuEIgT3wObdb1kveNf vBUe2qjH7QulyqFxIKLgSuU4ay4OZfvnvGW4jQSWRVjtsxAiLW4G9pMNfhSBTNinUA0nAoZOr+BC PE8QbrTbmaboWI6tA1mGrV03fq8O+qip6sbPKfPxiOm9v2Ii3Pgl92eGonp1g/5mzbsb2iztC/vY wi+uRRwK07PQPXCZ4r5A5P2CyM/vO3/BuS+pUnIiJ6DYCIrBsc7bn15D7VE8zKF9IHf52LS018AZ aqis8O87sTsfj9ZQ+fmqEzE/vHTmHBoIgth0Tj1z5MRFbArhdKUlB2ETT6brLBSu6+U9xV/qpFHJ GPlConlXJTYdfNWfeW0/9vJX/Re6oTdCznpzyNk+BxHRCSe4Gnw8CKsvIo9CGJXODc8SisrVFIXM TDiF/iiuc1Onoz+I2NISiqWezb10+HAL+guMY9ZABvxikqkFgAcF96GWfIJWHvaqwQRVyoQDzWPD beGrGl94TkdRdflFS0VZh27ONj9RUA02Sa3dTFAqexDCf/S9HP+R7yEUO6OQclml2FvbV34PLwfK OoNMuum6QPk/lMH7z9MQGqoQhS6CbHrNwvNMg6YHpGDe0zlNPh4cQRceQ5ombLHy47od2AgiLRss 4W+bn2bSAbCnzYYA6Q7Ly4GPoGvwp7rv4MFQQ0EQbEze9OcKkkMBnRvJMAIwb1pvutu7BgtoUx4H iZwNOqSL0XzOB2c+/meFiFOF2VlPNT/0EpwyhGMmTx5UMyPKMi0s2uws6wwKzQSBo0HhoxYfvPEK m8WeWf/Aedo5mZXN5LPFxs9MT2e626v+s/xBTTMNhs5DOYUzNCYfjwLqXzU0HBtlPxMUBdQ0uWjM AWknWJYhXwVLpgQi2kVaQ4GfYP5/ZDhB3W/74AUiNLdWkfZqb+fWL9UZL+dOxl02/BqjUg4/5F+9 +94Juu/Oezj5GYgPyiL0jn/BO62PzaDz5vaxqSDqM+d/GTi4X+R0ol8FWQlU3dAaCqHTW+XVcgff ZmeRQgiRtIQTVVXeeBWz9iMNcCiyO9SKyPIc+gpOzhG9mWxQRI2aFEOcdpJYPh9pbnk0RDMQLONH QCDH1oI0k0rFtNXrTC7OkQ0XcrbETV1jb0EalS4p0HLwOTr5065s2Z48MaHwjnixcVbAGx5UQ6xy vGj2rhHxZ5EBjsBBg00RzBEYA1auoyikrreerx/IqCu39xr7C5Kf0JpYCc5UACeT5UL07xuzcGYd gcGgdRbrnvJDmde8AFAiZJmsNMIbpHf5xFGDlTE6bDSdHEUjtBy4DLpR3H9j9hZaHXLvTHvw8Qgn 8wssjPgfka5PURRUE1bSSaznFDVECTCz6gz/Ua4eC5mCTqNQp0O2nOzIa7gMoL2gjsUKyIRGklnp IZhHHXymK9427byOuIdSTuqNLhi9uSXRmZ9sFgYogARWgyhX12cVofH/sx5UY2g1CC4xsH3mpQcq garVa5gQ/YaHq++zCAPSmhTKVvbBIXvw4tpwriJ1FZgCZq5QTqmi89Srv6UiWug1CPFOCLsKUL0c UI2m9xZogSdRiKi+DrAszxCMUJ4SUQ2L1UAs1l68ijaGiZm05keRFHg1RTEIZIoAtHzwG611YD2G EHsFhGqsIbgZol93our8Bchf9q6RPiIDRnLaNOgoKmDOW+LRPwoM/527MrgjfnQ+qyisVne2p/G3 oxRbKDmEKtrg34LEYydGRV2/JmotMvFYmqL1TNGJs85aUbQGvbw+WSKSi+FgqfwpNPZm7xqlZgTs 6W/jwFWUUenFT+cLrnw8ar7+wuWjpV1GfaYorFbjEGAvutLTk+VWBo5AKlBWfEJCWdjY0HWwa4eh X2eDQ4nOFJysdAvUeHIc6uucC418CWqA5ouvZuuXnxIdReQ/F7Xwi7XwN9Jj7bS0Jx8P7vxfov1F fj53o4XOgyAIn3uvT0NbQRZU6esqStnWYMGJh3VgPXgO66rO9LqDN6hR2hq8NoAhK8XI0vDgyUU/ e/4KhpLeNZqhxMSVzaHuYoDoCqUuz5C1+Phf+0yoGEaNT+MstB+cnKLaZU1UabcpHIjKRRlgnW0M hhz6D7IWCw3b6oOjHKAAUxPDojlg95bICaYzWCcVu3qGNhQWBQaEFvmdlbemD8ph0SngWXvuHT4e 3GdXmIsVGtgGilthUxRF14BQQFnck1gWXGh3NaYnsekmsYEJoXmrNPQffPApOpvrHKhJHepxkkaC 0M+xg+8QyxwtckWOBOfwQyKYQ6dLVaW3ClAkTBoW5MSWLE3L4vORLqDXiRhmQvXhC3AVGBEammjw 8MBxPRiyspBWnTU+xy2kxU6Eg4xfK3K4wySKseet594SWVonzVcCsihqhUJNu+YhLDbwMyImDO98 xdbNY2uU5opI8WNsPh6xqH6BiUgYXM8aiuDV7P2UQilbbLNknipnBZnfAQSjvJ7/DzNCXflt+GAT BNH1k1WIKQRkGqI9IK5BEaTUG+VO8vcaCgNr1og6ynQ2cJutc3pKpKvNyscjTe1fzu9ohnL72wyF JMVBH3T3YC6rqOV6glgrGq1zHVWfoRhdrYXR7uBNIbQoG9QmERSd30Tle7R7sXTP7wNFxXcZrQ5y aEnYVMj/L0DaTuSyMbBOlY+/n9Xrl/2VKpbj9oQCU0I0XxPzVqPiVWo3UwNv7WUZLDAWtsmiyNqK jWnf4eJAypmezWo1tH9bEf4cJjQVyIj1pUZFHmcObAlB2qKUmSyMklsYrVbZluOyWHw8whLdnpAY 5AUYtqdYFFoTDuJAxPRVa3HL6DzRqPM/5BkMjxsDb8KzGLdMCVPywXseZ05QpNYcQemAnDNKkBWE UsTGGsiBZsM5cCcEdlL3mIDoyXQUAQU0ztj5Xvl4UNIv7fs6YxN3X6+ez2tM1MDkmpRmv4ROL6ct hHMiAwPcwtvsNSJqziMvjZYGHGx+TnrfBjzOWSk6d2bJPNLJZpH+LpCxX0KKr/FQg6AZ24mDItIc FFVD4sD4UXj4ZW7ao1CKe8Sjoc0txmwnmppEUVtJDEA3h1k+eqGbWvUooyF50eIJpkbqDOeWqj7c dhnUGKewelA1L9YuQwULbTV8nE2NAc9fp+aEiizAZmoTa3AsY2MdFtqwn9coqD21oaXjGZPCNIsz 8xoCNRTPiG4yFf+z1Hp1xfqmxGzv1k1w6TUAwqKhKGlJrFSXlB3SAOe9c8YANgNcDOSdla91xLQF JxorsOZR3fme74umSJjBjlRvAkFckgUJ0C3b5zX2aU8Ff6nHQekLFs0wM6+RT8ssI9Vauom8wb9b 19aQ6hKarDvZofwa9zSc7JwSHhUa7nGDXliVTldDnWgR80CeFlo+RFKbihkbZK9hTzMbkPOyutQL Ty1MDUQY2d44sXj+vEY9+CutO7Z0YyWwwZbNzGvIw4PmvFYGQ0f46Wbl6ZNONMNPD6JyafUZrJlh B400htu8MJiZCDjr7PxsIvKkNUTzy/NiuDLMIQNrFO8ZHDSlKSfdPvAyX/CYJ2ajn4j5NdjBw80P GkFgFtsFvmheY53m7ipKtSRJagWg0e2/gRhtDI7XSKdd95DB9jwHh5mlSjYreb/gM0lEaAoqeBbL Xq4TXQiU/bzGOfgKG29xCSEnF0JecAUX3vUc9J/XKIc7404N7yIsHolV0HLidWqgOoaG3UIdD4Ey dYNo09gaJwS/LUmACvYP0dzoYqpEdXDwjB2Y1BMpE+t6tu25YwW6xywCzwS7cI+TKQLzGuE0tywa 4xkEwAN9TLlTHp/X+KY98Y0OYQAEIP1kU/Ma2+AQRpHtbCj1LWpWBwONheFHTeOfQyHb6BCWX1Hl NuHgR83ZUWXTZCivc2a1pkSdxfxO79oHJ41Y5fMa1zTXGugmDHgVkE/E3SQchbLza1TTTBHumRmk s9jJmpnXamGz9BNeGJah95ytzVyLGVIvFNBZxHitFeILJS8z06NRw91PcHKiyMXZWu1c1cRHs3JU ATzOmKNbLKQqVzQ1PGQKvSw08KxB70COHxTaDKaGUv+/7ieQAWxqXquEuO4HJyR5Caw3K15QgETF C5bSqMEQxTTsv2c1RjnY1PRV4eWE76ycGO9ExnLXK40V7kGo2XVIo13Ia4UQ0y/aM1JMG7ifwDpj TfJsXTwcnDUmQQqAKPYe6A8le1TzzhPDvUvmuliqBHM1I7DQsZV90wWlYmPShbMjl6s8fbjwH3jW SK7snMKtb9qJwObgh+R3Sb+YQIWY83/sqb584MIB9Vy50EnbPu8kMUZe3zFfnizG+aZ6p4g1eaBo 6cjVk/aksI+DCbXC4XMmTAuHw3h4aj+phjrrPXBOsrnANmTDdPWVRPsdACU2+J9nEhDUyekG3Y4W DzmqI7FZwYGLB2QGlZfP9/B5J4hhj6TyNT1QBee1YNPzHhOfmwpv1glGYaqZhtRNJkq2Iq4AIO3Y n2B6Nm31yHGwwauC563ACpZVCBIPdig2WWJINxudhWWGYTCcYHa6Yr8hCtRILtgPFiY7pQ0lr/ew GHyD79mpdPZFFKnZieLiJtKKc8MaVa9x7iwpsZ8IMo1LwYwCY4EOC0SkbfB7vKKBzDMZNZeWhlIG SFdnIKNamhd7mNVIibKpZK2t4YMKggA5MLE+x/3nnRjWRKuwkwc7ABzlymWo6XkPjrErqPhC3VoE Y8z+6cLTh7CZoDo4YuM9Os4mu47Cqw+3MbGgTdZVUoacCWGuukpxRWVVveT2oDJFdPLISYU5ogbr bkE3mCEv2uxRfOzS2UvpBqq3P1QvtfmJImREeJDGTTp7BiyhWccBPEGOwnMkk6V/J4XZ+Y6EStFg fsoUY0AJQTT5sw2glCL5LpyKDFzSesLArTd9nx9SQEECHj4w2knZjEPgxP55p4RZ5PJ1MgPA2aQG yOkJo2SSDKpLJZoMKZrs3WDQkKB1Mk8UJXdlVT3f4Tl7FoiXTDthbWW2IkArZ2RvOISsVDEZ8Lzz wfAHqreeVW7PVm4/F8cWLLfNvD/vbDAsn/l99hSAuyB0ZbMTBcqLzN19oT7aZmj77WRaiRNXr86e KFIGlouulc0HD3rIIyTe9LxNOScFqc0ncgXpcqIgmx8TJ3vT99kZ8jQAlNwGK3KNIjvp1efnnQnW 1Lq12eE5I/337tPzHi0D5UM0OD26EVdR6f8/cmPL3GVrk37CiysKlyWSmLe0y/bFZCKvbRBZYok0 g3uvdo4u5059JZ8ertbPOw2MKWQntkdGPG7ueX5f3+qJdgi9RPEyaUTP3kIcxxtHsxMFzB0SsoMY BZa6qDbCUhcrQvDhmZCkpfL6OweMVUBmnoRAabDZaW3hS52qW2RUvWhTtFGWRTEeqoku8iKuZRQx T1p6zkSG5nThrxPD9iL/642OcRQya168pNNpKdw8O38ngPG8YhJBGVLgMlhwpCAXv53z08mAaT/Y +Vuig4ezAyajDb52FuSz0c2l9IRKXyBdABmQaJq7H1pK0ZsG5zJlSPtW7ENJIawdlI2Jfzu/PH/e yV9NELZndthMm/dYfud+YU4Xe3vV1V1295IXkSDEG6xp6i7v1C8L12jAs31w0s7JIQAlHconUCZl YQetsop6ea/34CED//PO/GoPlJfUneZe5pJzY3Y52/y8E7+4Cvb3zkLKhqaKzU5URIYRJDwNxLFA D9TXzrSf0Ee3Hug768vKdah3teGDZ1szgeLCNjqEszubOCdNR6eS2tWgJt0KxtSrRkGPCl8E0CUH xZx9DycMTM/ZyJ93zhcj5u/pYWGndz943ilfyEK4tFFFN1fYrg01ESeqh65+N7dWFDEndveKOukc fHoALluMcjNuL84L0kfkpHA3yg1TI7BKZ4v4nfHF3kxRa7j5oGsLFFfymVLm01Fh8LvEM9q1FOb0 RBEzZFXOpT4t5KnbBZBXsqwd/VfrW73Tve6ljj3jg588J/QbY1AWiDEf+oVQeQO6ElM19z15BmmV 72yv5ujdTsapBmGddmebALl6+byTvZokRTU7vKHYaU5eGnznemFHsnWVmivcEcBK4fXk6VZmoZuz E5WUWVeFi3nzwW8t8DHpukt3jCrfmrpY+ALaBy7d14/a3jQIeRgQVnpgarASWCXx+vyGuT7vRC/W JPzWoj9ap4ht9tl5D5f95JGVAc/li/1mF4rGjPQk4Oy8h8uIDJhGdKpwcPBzGWoSnboZ505PwGxR UgqgKIp/7HTrPIp43mleWOWDVTAlFRwEaj75iO7klfbnneWFvzPfnYVDmCoghEpoeqJ4efLaaMXa EaNZO2KWYmbvexaiCzE97/FypiIabHf68EHT09ICHdraexC2m9mOcICw0C8v9dEo2XrTYHrM1MBk SA1quSF8TJogKg6fd47Xr8VDbxHs9bU8FX3neOFc3nLasICwd+YQAMULzkNlRNrpYnbew2V0zFXC YHWZg81Ogy7lrHRI25NYcMu1xg+pt9Qkc/TX0JsGASEFXCbRNhrUFz7zLVdOSEm9U7ys+2076Yfi btBvuQdPXF8m4KKZ52lTb29AviWpHL+xN2ztvIfL+f+p4VtZV9Tw1HkaiuqdbPcBFLuozMhZIEEs rxkdy9iRn3d+VxNEMdOH0QfODnRzqthLeX3e6V0IJ8evRD3xhTzkeSd34ViebO9NtwCrxVo2uIC9 O9xMluSd29XcXa8iwrbBG+dQN2hGFjiz1opy1sRiDcojcz3CLUVvGnTO5RPCnEkDZwfsMWrp1HMn ft6pXcxEfxWYETY95847s8vWK8BkJmzT2DshbUn9Ctid9GzOjO/ELl5aWBFN+rUtX6WEc3e3lk68 TdH+M1lpkg1Pf5ZBPfZM+hD90apeNJgcuYQsMU6WYToW0NLCe3V8fVG0/NzoCge57T2XeOd0Yemw cUJ5MiydqZwLsvRk1f8HNapUsiUT75yu5kbdkOL0wWenQJ51FyaiJ93H6uGpjIgDXVextwUtQAD8 eWd0sWfLsju1ZTT4zspEucJz5vPO5+Kp/J1qEUXfkp/K73QuTM+mbBTTKtR4BF5CwEOzdl7pqNlo dt6j5WR6P5XeTBpsds53C/aNuqHnzBnudI55TLIRvEqjlJB4J3OxFy3kRRPygq1K5lpDcmCoFZbP O5cLk5vq1/RQhRMSIzY9UbQMf5pa2hWm72bSOM4OMWH6Qa9ITk9UX06EGJzzu/tgl1Y5x9cixx/1 ZdTDyDstSHMRBz2Op0xD3zlcN8+Sy7oGw+tMySLBmfTzTuFCxLF/oVJO2rzrPXTeI2VdA2cWm6si 1KrDecAqxI5kXOeamaiynKhZV5YC5jXuwumLeANe5ws9oSpHCE4lApb51dSi6Po7fQuXIi09E0U6 NNi2GvL8gSH45529hRWQb+mUcIRMXY27cKJQufPYIZAYC2cSMAT8RYfjDOSOMyQNtsKdd/oWNxZb xXveweYH9Yxz+S1m6dA+YriDABrLFOugPKJ+dPj7vNO3mEjul6boubD2EiSypvp5Z295PPBMUKVR ybN+omCZXIUsv3ugMPaujsIotn66vAcTf8u/rvTcqwCmLApMrp/RJ0rsCOFPOjtXE72NrvAAD+K/ 3jQ926sGRR7B4BKNKwd1wzonaILxjCBvnYDwnbrVHgFf4Q1kw3Dz9HfmFkOeTESTBYQlDz+Yc1/O lnDJw3fiFhFxTCbUualfnZtG7gQVbyABCf20JD07lN4mrXmvfC8DwnfeFg8ftiYqgQfnum0/bKWf X3+ONGJMzzvz+eDiSt9BD72DCOvX/EQBM6I1aOvpNockgn6CT4kqGtA3rjY/UX15MgwuYiaVXp8q GPStpM2QF6skJHYtmqkB+LVSvssHxZbPO2eLVT6ykOl6NK/wy4Qolwwbxtqfd8oW37B8pRM4Rkq6 QJ53xhZrn+gNMK//Dykd9IJtZ/XpMocaAqypKgLmd8LWU1+urfjgqMHVoHyo2ZnnphrkKE9EMBA6 hu7u7U0Qvv3O12qmZgAXnqah/si6EnqllFw9Eefnna7FxpZn6mSO4jhsNxV9J2thxVHRh97ZMgHb MrEc1DussKPeqDU0lAjfuVpNWh6clumD761JHkkmQrmdjVRomLHkQt2n6ssG5sGkft6pWtxbSR2t 7oNVeWaeZkc5Pu9MLZYSvjs3FBjY2ZFO7zwtnjyT8n0WMTf2/ckgqaaVDaGIpGTrnabFhiWP5Mwa GAdvimbQ/RZFn094lRPg7MCrIMfCyUwHA6GUaQb5eWdpEYwrbzQW91oyPahNBWnlE2N93jlaDJjz 1+w08SA9n3inaCEeYClhDz93SjNFMVnGUJtf4PbE3xLtLC2asn2wiJDZeYO/qSQiSpa7bqKX+HnH ua8pLBEon3eGFk5I9opLE/K/OfL/XFZTZpTndv+8E7QIVfZzh2kr6pDpdv3e+VlNlJx63ssS9S4e 0kK0ZUK9m9hczU5UXRb2vyxS/Dh4GQOl8VVVxhjAt5rFJTVnYGVTuuei8A7hZ/wL4l66Zqfb7EwQ ubkzzrk2P+/kLHb9vnNREjL2hTq9c7N4Z02eyo0BYKXloAT7WikSPViUauW5E8bMSTGzKmEr+al8 7vcTL7Quy9x18i11jDFTYJpDi+naXBHL807Mau6Qdk7g5oP1bbquLPiNfN55Wf9T4yni0tylE2Ix uo7i7KX3YQnFYljH0jsdcbh0/h0wVxGPOHgmCujB2ayyrWznCKLTXIaCRGb+ij6UNpYu9HdSFpua lKWh2rcGu9BbE1hgFz4dLJ2Wv8JBmmxJMIOzE4XLLLKUNTwRTVdFnUgrqqgTf0cWQBguqz4I1VIb /FCeGc0q+lYiRllyrSb4hXL0DJbt1Ol60aCI4fZx0wexsU7QIijGyS8+72Ss9tBCvZu+IWTofYkW xcoosuK7doO07iC5ya4Rta/pU8zJiWLlZLHyuoOXBzvUxZM4EqOtIbBhpkgSRcVWekBySW8a3Od0 I5Km5jQOH+IOyJvqr1sVTwexYP/eWBT5rOPe52GkTK/jNC1Tnyxisy1BGSb6XHTv2rSotGz9YjQO bfBop57zBRoHjAVX66LybbQw4b9DmzsvgKHkh88IeBKclrGpycvBNlbiLQs+KJ+Ouunf0U5Ds4/N KFFIwkiZG2uMm2d5x6+xgy+swTLscn+PlN2/gXIONnjT5lyGbcvojdLdWU4PoGIVlB2/bFKok4zP CPqhAjkRSKxBpeVJxC9ZJBVP/wXOlfJcR4XAs6weRcqUL609ux/sNoz3aBQ71LFD4/fO3xJkoYuR MoGYGmx2egOpldoosDE/YaG5E1Yd0z/M8oyQTnBuj2rLNQsgV5MP3FmbrnE/Mv3F00EsWOfX2slg EXY/dnpcWmatZXgrXScxOGubxAnQjyhijUC5R5Vlg70r1+LgZzIqDqNIWxa9BHHROyLQxr5S7hcB RqA9PuQfJZ6xpM23Bu29cfucr5aOl3AWL3g8oPTNXxVC0AQqlRA1QTGpj5p8iLOpld72NBISZbdx pQMfLAxYj2LlqSOnCM5T2sUaTDRrJo/UE5tmNFeRSXSWLjAhRBs6CIzKqT0KlpWGDsLtNCjiOaf+ 0OYaBU8HB/OvEgZVzwi51PREzD6pgFcEhf/h7Q5EkvCV6kdAvwjlFG6uMFjW5hJhr/SHiXTScni7 UL4IbG182QQbEF11/nOfV+0BuGB+RtTzY2eiEAQ2wEoZXD2g8bLLec53PB3Mzi/WI5LSwl6bZicM liE0BzSSFZjnvAJYPRvBGjxtBss9Zvdx1QzVCcfjtnyCGoQiQ/pXE0iwzTR0rZ8GNsJ89L8n7Rh7 BF2ewmBsuTFu09g6kV2jUyuU3jue/mtviakF34dyr62wvty95ydSCQ0Dzio6fyEJWgBLUDuYsxNH y1w7qQ0fLryyn3MGfze21rkLUQmG/xncYlCY2enLysLeNDqYyXokiF4DZ2ecI7oplxgTTwcH828A IW4QFi01O+/Rsnl5dv5r2lgwr0fIkyAB2kgLQNGn8WSOkBjGsM4Cn+YHfIpwuVEPl8vmBMlNqk/I kgXESvdgxpLDZwSzU1g1HSzwNG8NbXCdaYuCpjeeDpL0Gy4vZWUGQdPsRMBloNlQOjXD3FoNfzE2 UHk0zM2V0FzMToTEkIbKbd3w0ypLGPDrTHS6BchJQThmBykRHUsgU6ieH/5fFZ8RQcAYEJIYp0E7 KxFhhmSibTwd4Qe/dxbNnsullPQwXEaa0+iWiLVzIhRx9E8o1CUpu0GQsUsrKiybskMvd7jwygbd YeWhJ7UmkgkSmBT6hoFnKc/sdL1pkIeyj97J5NDAO2u1MfXXndgTT/+1dtjNyKCplXXRBiMKmHHi V2FLZCzojYkxhwtfbHejHlFpeW5Fyu0Onqe3dS552t1Dl3DvQoJmQTsLPl8q71jxlNYVIwqYs2o7 q99BcIMCWoAKYBlPB9zi8au0TGD3dhzPiAJmksMbC0IA5/bEEjyEU6DzW1AAgyuE0a7/Ki2v4oPf 6WBIQyZOnPRVTAmd6g7IzKECfZOtrTeNuH5IRQsdpTUo4oFtrCKWufF0UFpe6zviwSm9bltihNBl CsW3ZBiwBW1ckQJkMQD9iz5NGHVEpWW6uZxdtGzA9zqYbBXAtXCRo51eMu1dAROjmhdK+9t7NniT gY+I0JUyzmE42Okm+UOeaJHyUj//g6ejKsYvpArdjqofyyOEYoBHRhaJSWCYVuyYlIimyZm0rRJ/ S3TwTIEMhobkk8PpWEQIo4qBWjcXGalTBZTQcdGDCPDwEf+isnUWRDQoET3Z/1ZDfTY8/ee5g1cb DNnv5ESxMnLjinvG0nSKuzNWntspAUxqeepEsfLkZVV1sdcv6ZQTqaHKk3mjE4tRqJvaxWeDGmD/ QuZWvWp07LCdLtVpYFp/2MhCO31x8ZwrOuPxaPF87yz5E1XPRUcULcNr7IQf1fQeKh0/2dLaZq8M HI6fO1G0TEknIJazD7dps2eaEKHD4kmQGKSACoQxC25WVk917iBf42cEqajVvnb1QYQSKECqNHHi wREBlx+syiUjUZFKsxNFy4heofjVdKfPZFStE3FVtUhPENdMkWhEwOWyVVsWjKdeGA9YWhMxs5XA gPYjfpCKdpLsvGLDVBvDZ0SnMivvxLpp0Km802DGDnAfng4invWLMUE/3X5nJ6otU8aW3zZP5cLr BblEo0AbbvS+7tqJastTLCRJ+ZXKtkQmFOOEJnU3kZHOfy+9SvsblS7UfqCb4PR9nJX4jH9FPIOX jwYhc8/mlS78CT3w9J9KKj/J4N1XsmmE0TL6khCotjyU+s8UmaFXJuOd6n2JEUbLou+PvnzwnQW/ unP9KpdIsinH7OA2BfIiE6ii6dnEV45/h8uVjiST/j1Dod3JRMU4BjcRzweJevnmslFdg5hETVAY MDd5LNnmqmz4oyM6SKykxxKtEHmph/VlCRtkaWPkRxsjobYFZAqRPCdZXDidYNyMOsLk5dWuUnXO etXg7BENpJoeT7t0rVHEUZ8p4+mojnFPZl5z+GFlD5hnWGHulBVeCv/qqCZ6fr4hdv2AdkCCWnF1 zShgpu1kI6rAhu/uxIItAwGoJ2ThUkuQfIJS/A9VkgwIRqXzGWIxVDxdc/rAoxlWUOzfn8Ct4emo O7G/AmYK0KzLKZlRwMw0uTIJ+o9MTirllweM/U6CnsH6OMd3oxpPWGFWM3TQ+YWDX+ywJiurCW1Q EjWTUQRD2RHHCZqLl2Rc9Kb/qr/PTD0eDpqdc4ep93JSRTwd9dO/0Qa0xO4XnjujgJnV0ZHdOKiL SDJZ11bHAlU9w2LMmOu3Xxk3J+xJkPNm24+MEpwSSCdQjMZxCYMkF8cgf3/GFWZCUMkH15CUVRab qpPH8fG/lNEu3KA+WysKmfMgBCM5Q31vy0W3zmaoY6CNo+mJC8ys80z2HjjY9IwTJ8MsKKtGCIqA xIIaq+k4svtdPOiH4DMiMEYTCqP4wJPnnAaFTUD4VuPpv3QYJaMyxe/w6YmCZqg2VYZqIiRNYnT3 D2Dq29AYCE14sc+Q60cCLXXwbfCTecHLpMsfEBLeq5ls9/lF6KFQadWKhKiK4jOCvZUsGd0+aHra 6ILdorc1o5C53XyLxWicqqN6d2LGBWYWeJeVwUa3nsSsVJRkd6I5Q31GaIxOGEHJkkjLX9ohiDJZ omDYs+kYQAThAEKgwp/lJlxz602Dc5lsNuAFfRBA9/xOIofaiYXw9P+lDIaDTx03zU4ExyCZrdGf QlurLctGqWRM1SJik7i1ogLzyjp5ZvLBS6gN4NbUuHZQ08A2QKKOZhGaZv0Bq0jgdEYhc5qcnWlk WhO3wU9yJ4IkLJ4O0q31fS7zcCewQ7MTUv2SBG3MKajmNCwoLHZWU3HLNORCEbnKXL0IeFAeh1v0 Lxds7zg75z5D/9to2ueCPxFVcS4b1b3xEQGSh7NCZTsbBMcwZjuEAPh0MDm3r8U+BpKSfevLM4Jj oB8CEMa0QsYgMI5sLWNwrS2L5MTfElGMBXOS0N7qjxtgRh8HzSvY2adx8hUeOxRXQbAMPRrva7EG NqOAuUmzKD2D8omxRRMoOTc8HWXqv7p+WEj90vdnzPRrFCpylijl3ACR69VcAfeiOBXDwVAYgwDC 2iRZ1OrX0imQuaKbJBiRqSuiJgYV0DHmosb0U8ATRcuSDp5qjXIQHOOErWbIkQuejsLBu3YYO+L0 Y5DA6VlRtEw3JhA9DF+Zh+ErK31SgM0dkKThubMiPMYwl7LaffhqbJ01U6WRC837RJMAVJYkEPsl zs3WzQrLy/QrGY0QXQ48lROUZnlnnSMOTwdUv+l3Fl8OglsFoYpNTxQuI6U6B0/yg2cut+IYW9AM CIcYT3SF4bJy9SZ1lTYu5mCe6wVHioyjoWgoV2AEVpStL/PWeRYvrRVLYzAZXeKDLJMIWOeWrV2u Sbvi6WBvlW/FIgi7ZnRmbXZCfWWQMIp6N2SMFlcRlqkbBWGnlVBXjMgQQV2ScjJRbaxktJN+zmwA y45sgqkWQSfgwVDf2arvJ/tu+IygwIxWArrOzQervi+CZyE6M/D0n9IP3EeyA7rTE4XLnJ5BjxlK Y8ymJteJuIjhQSZ6vQFWiF1mafl/8aeV+USZRX5JJ1zeRRRsrJGC/f80jelzjs/4p07jlFvrzH70 UNUa03OmDE9HR8/8ykT5fZGJpdkJhZZRBqs0jqFXNBHQ2FqdcCQuHlpfc/GEXD/JzUyhS+f1ATpB ZT4L0+SuTgZAHA8l7zMRoz/tQfPwtsNnRABUMpFqu4MaW3NKT7iulPF0dG19I7uJL+Iv1OxE0TJI J18RDwEHYgUwPEM8mKA2qbUTKmOIDtB0J7XHGnFm8NVlZokqT6PowrknAepmJpievTV08kR4DOrN z7Zq8YHJBPCOPGsR6uPpAI9xO1tVWnIdFjdex1ixlBzK7mva0dN44DEiXLNb0LOT+Y+uMF6uqp4q U69Ppo50rxHBg9VzNsLg/CzWvQBzFoF/SLMo600j8YfFqrTc6plU/FCo+1yUZLOl1PB0MD3Z7y2p FgLGeOEqK2T7NcITFoM+hD9TLKQJoQ/sqB8gkA2uskL4MjP03KVZ1B+4CvxrCzXjkGuBd6amOmv6 KzPHujWwZW8a6ecKRziqD9xbkK2ST33PHU8H4g+XU8IACeHHuNrCK+T6IebonSULxANqqYM1kadZ s7a5jTWx4hKz9lbOPlwuLUzaaENIhVjNNPqwFR1/XG3jwcnRQHtFAObBC2vQU0mDoXlyNmbAqng6 6qk/SLD8Q02gdCvwKywwY2sN4BN49LSdbhEs2dEDkQKLecKIufMy2lLR29cUCRzLRqV3HD1tnPlh ibCj299Ahtr1XlsE4OMzou4NF08Xp61bxHxWpImzlfPGePov5ZBlNbCakh88OwqYBw1/WvZEnU40 5E2kvqw/MZepNO6ovDxM76rdwQ/mApvLolR0Y683oXmQu4KzUfrVN5Dx8Y4C5sa+RDbD0emWHvCd VtHtZOp8PCiCrcsN0HfMYrVfXPs9Yr5mrNsuLpL9JBHL4xX51vlvxpzYoToGGzckhNrgvT9QCwr6 52f1lAWgPVsDrRHcyY7dbd/Q0neHEGYCVRKVNjRw9QDrq3TpBA14OjiY0/Vqkd4pMOdo29n8hDEz fuMJ+009hD7i8hybRcQ/MNW3Bc07DppVXDYt1Efz6pxrDUpXrMCfbL1JfyVpNZzvHbmet45JS9pR 0Cxu+SKDRoPRkmaVOEqZG08HdbALPGAnDLXUy0raUciMDQi5D+v+nW9127VOb3CaRZHywtXzb1AG zhQfbnsC4VtVe+IcwxvFHVenPunGT6d/pog3rGXsKGQmVQeyg9MHTc6qWViunjaejsgTv8pgmKre ryh+iGDulLFcxkoqq5lhQOdtIGPIatno/oPuV1Vgrl8F5pNZjdaatbb2ia05O5sS3uf2wHdtxpk9 6UWjfIIUUR5jGqyt3rMiupOs4+kIdNC/8gkAw8ojLryjiLniGqyV8BQczMU0905E2LxxPKbxbnYI yZBa2hp3uEA5UHmKrFdhNSUUb+oIMomfm1/utElvGhR6qiC6qfsgIOFe2bgTaeHpIBmt38wSSnjt dGcngmQkgvRdjadOgbonymBNsmkbtCSbnVBLbg0HB9rgbfWTSSG4FGBlgIDIOg/RGKhlyzOgy3S1 6U3/xRRVyKqBESEk/buYRq3g6Yih/j07eVFpz6tgO4yXAacR8I/ZVrVy4TlKl9WXT6DlGyuGZKj6 zp4xB+8ZQ1JlTyntneiwNc0O5QhxcUA7VWtHuGd8RhAQqgqWKKI7ktnnzPOaq6v6XgeejqpgT5mH YBVoC3v1fUfxslhJhCnKbDUp+IFK4xYracFelqykHcfLQi6zhsPBZ+fc56nTYxeeSH0UkQgSxV4z SmyXR7unXjQ6dngmU+BumpwwkWB09sDkLD4dsUr8TMbyRw8b+BafnChahiYeJsdNXDzyweS4zSrY nVo6ERxjsoAK2TIffGPNhD6OJIsaUAJMtfYgBL6BCH/djNH05JtG8aAcA8jU46DGTXfOzck18XSk lTa+EtFMenO7hgEpCpehtAyJii4O9uhZP82aUNfCubOUidKrJJTGIDddllAabH4azNSxWIjQBRI6 y3j+RK8otf7sekUsN290fEjAh7TriuWeVtiHRkSIjyFou5+8l8//dTKz84csE0Rzn6JQTw6AowaW c2WNeTC3AiQDTpONrT8IeMkRO8UhcxZLdvngCRfotJMZ9jl6zsSzWFb75MrBaUu9P+NPjG0v+y/x okE2gwbDHeB4ponNeX0+H5HVvzN2nIOZ147NUehawsmkSh6p/KyhM2UnHhYMk7KWlXtyCqHMKmVM iu5pv3g97KyRtDLlLCu8cbm/Jo41iOyiNnAVvGu2d42wB4y0i5yxUfBjAHpCz/OG+AmqSHw+CH+K V5vZbM4kSF55/BSFzuz+d4qLIv7pqXr84/q6ULVI7goUmvpVo/9lH7wkdsJB+NiT/pcSys9kcIFN XykqWR73iVW2vWyELCwqxCcfdMkDt8hr6BwufPyvijNJ/SC8PATSnKL4OYEB2CmVQoLkcJmeBJ28 CuJ6l2cmpyiqOQ+pGA1d9+O2c05o3iE3gWsVRpEnk8R5jlUEZ5cThlBrxaqGzd818lggNkPG6sWN 1c8XuLtMBDZMBFKI0Sj5+6ZHQ7sRR2NTFNadaUldmH9iikjL4HmNkr4qh41UrqRfE+UY7FYYSHU+ UvnndD2BEP+4k58veHTIhQJHEJCxkwqFqo3xtseHRGQunkWZUCgOXETUDBNB66RgeDyiaLevKYI4 SIGkqs9QGEnj/K90+qL8ZzLp87FpS4cbDSa7ZmKSolDamJJzdR/sKIKC+jnMClFQc+MSIJAFejuV M4MatGk4jmnvGgRE1OJrvH41qHPR4JuHv+/MPx//iysppdnzqjgQfIZi8YzBtqBRlgrxeuzstGla avD69pModDKpUvZ0LMadoRMtQ49GVh3nNFaZqMLEEA3TTQ0UHUQFSuR6138RkcFW1zB4dy8IkLGR AS0EPh5c+fsbk6CK0njO6iiixhUC1wjXPU8mYj1mMUGWnaRWwxmKJDSqMBsQcbHBQ+p0thWwzqxz nKixyYWLpXwqMz8yCGbam2J5Zop6m6xjtw/BtBcVSUebfDzYZf0eRGLnsGCW7hS9x9WFui11ioFC g2EKRUBINps9BcM9n6KoDE0UWKNsiA2aoobLmHgEegCiYiwsJhpQBbUDSnwb6AcwQH5IUAviIU39 RBt0EJWV2M2ug3Fj6GlyJWokB4J7Nd8Gag59AFHNq2WYrm7N7A2zWFa7ooAFmr5NUWAECPIVax1T Deb5NJih5r0m2TBnlZw54kXbEogdGY0M5CIGV5UzRQ6cAJ2WfNL55YM14E9AyYgGIt85tAJ8TI5V AoAs323A59ALEGH7OX+IaUGG34xCcM6O5HoaubvZXWAGqCOfGjXLB1tEgJCcqKhIQv9E/FnLjalW 4yYvD6wu2btG+Jah7GP6IADHhi8uz+pR+XgknLq+Amt6O7Ur5ZNjO8DCqlk3xRF4yyp9PWHjstx+ 43DUDIV6zczqqZ9pg9frc+uVdppU0YfAGv5JghIpCBiSEDNY5kr2rhHTi0eQ0rS0irQLFzMmdVLr 4ONBBnu57fI8Loirb3oWWAKeP03Crs3i6lGL2IGzLttle8uVirssjKu3xJrJUK4PQ/lkiOdUbhRH zlB3od4DMjgkIxQAeJRlCbPmh/yL3j5ZLdUggN3JZySNsHLl49Eu+91shvVxftZQrEKX2Is3hxxW twRAdHnrna6UWA5sASG9yeLiVE+e9qa+hgZsfWVIuuAWr54PVugJlwA3Gbcy3XXhh8aAaveMTEDQ yGYAdk6yJC2YEzN0Ph60VNf3QUSnncduIAfGgALx4Jq3bYYOnA6iRc4ZJbNWGb7NYjxH19wUHxw6 f0KUCmoBuz5nSSFfpY4hes7naEA86QcRpVlyYA1oFluzN2rqc+AMoVsi77rcCx+PdB6/g0aersQd 2wzFZicAQKcrMDt4aGMRzSQGGHg3dxGFiA7ydtJSoWg9hSLA3M+slKH7fjHChrwP+sOZmrH9S2Nj 2ssGlSJglcZmgKXBWqsnyefZB4cYPv/XYc2THZjb9BgEBg6BKJ5sagFuQaYG73TBOpLDOq4VVQ4s AjNtD1C+VyW23EpsIXewTDEMAIGgdwwkbIg+3TB5tAv/5D/V3jW6zkw/vvmgWuwoS+6tM+nxYBVd FWfWiegB/zgjByaBclQ+mSoVNpjhM80AW5r3Kl1PQNuzGYrFNlgnkqoEBwdDN0BXk8qNq0NDbFGo ZVF9AGFtvlK8bGPyUyKldJ5BnXQDDkzxYfQu2ca5Mh+P8Au/XSZxFH3d+CEgGtigRm8EntZzOEtF ghuQoyesWxstNtcWmDVnH7xRRj4hI3JApyY9aNBFRBqLJB0EfY8aZR8degWKjt3o5avBGHIWYuAe 5eNB7lHT11EESdbywDZz6BYIKD2AHc1AvyU5BbUUh3gs15zNoV3gUvFDpWsOD7gMwWKSYDE0Fehp tmGixt1RHlC0wGU59AsUcrPQExaD0GWoiuZBEmAvWESBYyAIC9+NaGb3+7p85NAykHVRYqAJMKM/ BE+ihC+p0j+HNSC5SMfAaGF+U/LhgoTOEa3vHZC1s1MG77NJ2AN8L5Z3zPJixyzHpoFqtypJ4yCc B3gHkxXWMvl4xNL9JWuDw5fQGJuhUHtjMTcivLWSgaCfzo2KYFhGKK0a+jcHxoFYRcooOEUcvPOx qUyysyGFNktJQJFQLQ7fRbe4sVCfjx8SxI3smI2i2KgYFCanAW9vs7FvfD4Cw/hRRHNc1sq/Nloo 7kyfoVFFYD6p7NRRNFalUkk+dz4sQGyKQoS0VtHO1QffaLmcE7HRSmdDAovC8xWqmT9o56t55r6c 1d41aNrTzbWOqqG4RAlofpX7LOsdoxz/WUXovP6ytcihfeAWXbd7d5HGc0jPgG9Weja61pN+TcT2 5gw1tRfbV3txnX++iZnMZ1rOruKxnijuCWxB7e12pqe/azBDmac02+0alOKfzIdwoZpT5uMR3Xv8 141P62ufodBCEK1zqKLaYV3NegiqkO5VNW8pLfQQXFSZKNT00vDgPqivQFlIAKQzW7UJYrK0kpHh UHtED3NoIsgIZhK6aYM6sFCsV2S99Hikgv29hujutC+nOYc2gqxDTH4Cdtli/E/EGXBSiI7OSQSo tt1nse82c/zEKeLgyUdrOOh0WJ8rm657OKyAmABQel7rj024aw6NBNn7OhEJW9XDQQJz992Ed81r 8PEgrn5oLLxu8b/lKmFzFBMMUSgSvpUAkH0BILM6AGR4kh+YCV5r8iYqS/Nz9LwwirKbRQ+g7gCW qULlYRWdSKAln6KVh71qMEWsggy6lWnwNr41vgqP6iiwLr/oqdgYvGVtfqK4mnpA5zJxPWy6ZSF/ HZM8KEJAuuevgZ1gNpJhzbX7YEWQ1EH4BhQJmcf5sQiHhYPy3AE/T1NoqEgUugnWJSS5mvjZ6akj QZODeyxNPh6dQt+SLhX3c37ct0M/wcT5oSos54cIMJbRaDFOFAhNMrV+Yv9twV6lo5X3bZsVtBIT Grko6J9bqCm/p3IAikmQP6LHD/rT3d41wpRTjoNSPNN0bgjrTPSTO0uxZj7+VymW5xA21yOonkNP Qfb1pkymCZTLMk+Gh+Q2LTKAt3QIhaaCKNgTmDd8cE5CZmeom4PV3k3iAVS+g4n7TE9zuturRgGj PM/W9sGhnUQSovw8+XgUUt8lpMAHk3NpvDn0FYTJRaVUrxqLdRulZXcjjqGI7GdQYCx40kKdzwTg afAZWvBIhZEaPXZalnx2IfKKTQc0AnWTUaqcHxL071VhzNQk46BC43l70S5a1jsGbbPsa0it7CJo ss9QrACNhJV5DeU5+C/pvNguM6FcFEhoLtin4cuyDxcpk07A1RP79w0KVriokMjMEy8iPiGCwNBW RJfn0F5QwluNuhEaFFPDCIpNtHZyAj7/l/QW6ZtInB9H5Rw6DHYW9EuvBoNlG1MaHeUSx7Zf9rHF IFDhZ/eonDbTE1MDrlWK6mgnLMr0SDoBcSKaHY5iy/tmiHD1IRH5h/AP1pg1aIpOxi1mHahofD7q 4D9TlOENcH4uz1EUBtXQluurWge/09iF1rjMHdDBPwmnd/ADm0FUQJp4UdMHD6pLwnesejXu6GKZ GfAsDNIRIDk/KvvLBu1XM07hauKgttCJrohvrGfJ8vEIKfNdr6bY1XrwVoHdoNjEtRNmI4oUm2QN YUbask6ZfGedRaFaB7OJ3Eb14eYdC1QkarqcFO9MEXK4M0U4foASmOlKuE07riP+4eA5PWSBMdwC Y1eQyMR8RgUkcByU9fwzQ+d3PaKsOfQbHKxW97SMfkg7KtIzi7c8Str3NotAIE1rZ/DI5uCtV1Qq +B+QdcAvjkQFuhNX0x27IlwqooV+g7rORhJyz+N20FebuVXyHAoR1b+pCjiCylMhCgwHwY+gs53r uKFyqtusLWfOT5IqNUNxRM2LPksUJ7c7QyevGK2aXH8DxBpEc2jMFsp//VBmz/H4/q7BHmNpqNZ8 B51DUPRU+eOEFHz+76AxOz38WURhsRpNoVr6NGFoqhVBGHoyugPEIVWXXNdvf78ohkDDzQe/zoDS O9mY7B6goDSoLgBPNNZaanvqjL3Zu0aAPYKxyZnTwEUECLKJU6A7XaOwelyzEMJ4JGUDXrHPUSzf gVdktY9ltLoFjp2IAyQtTsMigWND40G1PAg0tMFbHgMqX7PxIMJ0s95UCb2lsQi8P2/gSGWcHFgP ut1MGywVcbBKYzZDlbQnHw9u/Pm7FiuLPp+i2HwQVJEylxFfikxzCbciewpwq+yOyzlwH4SHIUur lZE1B2+/giJCHUZInFTgSXlqobkGuBoCBE9ewYrTu0a5hwqN7mxgKhUnIClD1Je1+PhfG42TwcnZ F4QeGhBCf+nMS3YCgzh3sFWpVM3JP6A7ma1KDh0ILenYVm4cXzLRaZ4NL5DDTHBoTAotG8yuOoFh lp0NxUSBBSF2M9eQuHccrD/dl6rxJ0Xg48Ft1r9Jraw6Ql7LZyiKrGthfm/rBRL1nr/WWp3FMD1/ DVwIvW9Wpuz25rz567nDB9TOrZ7fQKEivpi5NWWWpkeNEEDTu0aQvYGuK746DICl4s9aMBtmxjVO 9MjnIzWPXx4HODbzE1gHToRiQ54ZatY3GzLxXj/GFEDzdQH2rAsttiIUs1VOsWU8IlSQwkA2LjXt NTJldwAvncjM8k+7Mksjb3vVf/JgdK1x4C6TWi7+vDE2H/+rVm2MIkDSbg0kMCOELRjgaBSXliby VvQ4JkWZxYzGdc6wOnYjFMYhSYfeGU6IicaZlqYyI0TTKz2q4Q0JlwoUQqh3kr/XUBhV03x5muRS svtgrnZ2Ma+zE3Lx8WAJ/fIYVu58VcxyaEgot2gH5rudN6LGVdRsPDdQ3T5DMQokc2EIjfdY0KCv CA0qWUGcGLsSdQONTxRgz+8Dzch3Gc0OcuhJKOnEVhlrchD+PFfR8E5EWvl4UIn91Zw2S+fnHArj avF/W7Vq/ihKyE6o0M3tYFOES5ss5Cluo292H7x/D2XEVeRzvqEvM7tUYZDvLPhQPXpU4jAEvoQ4 qanuRXCLBu6y1tsWeLztxceDMtH10tUMFZjvpmcRRaXqQTsP9hBwVHfmPXB5ysXko8+O2CaunQNv QhxnMiX8b3XtBlxva03SJxViBKxGJmBiC8oCBMYawoFmwzlwJxThgsWP6oPK+ctsBE7Usvl4BJP5 RuxBDh7L2mbo8xoPdRSXFlnS1r4vw8UCF1hEWkOIknmXvUZDPVHCHLqhZAq1cplC7RwWJ7DCt8Ua 0eqmhctqoQR40fj9UlJ8jYU65KPZSqzPoJC6VN65IEfh4Zep6WidKq/f4kjja94Uw+OaDWYGrYXH nad2FqLJf+lEDQEdQ60gLp1gZvqQBh4TMw4Xpnc2VoanDQhC6C6Rhp9IlIb7MxooNjMGOn+dGbQ4 KG4r28bqto1QSJCSNzrFn9cIqCM8WDYz6hnivuClwal5DYDOUzTnmuxd/oc/7WreaWTNqF2/h83N a/yDVaMW2aK4NgdbNf0s3AIlWoN8dCZAzXS2q9dezaO680XfVw2NNScaPz7obj+7VAqT50D4vIY+ mNhdfG6U+SOEQizOuXmNfLCh5N/dpKsEDko2aJ7w1B3FBBeYfI17ejYgPtrIPviyKVAYRGsJU9PR iOe9ttAhwz7l2WwyZuyNvYY93f2pB9uOZ6ANknZUS1wLJxTPn9eop+f/z9iVJclyI8f/OksbDfui +x9McPcIZDUn4z1KJgNnxOzKQmGJxZcrN75FcD2xBNS8NTOvIQ82FDD9eU73a6T+JcPm0s0mdpBW TK/PYNEMBliNMr4avK+6YNC9yEOFJOA5EKQtSTmvcwjiFDKTDGgB4z2Do0bnMCklGniZL+p14ag5 OfDnNdjBw9WPGhYyELin6fvpNdTpaDrT9c54LZVuDeauMlWQXpuK7vT5DGZG9LGqpnz9asrvzECz 86gZHbLwbIeh3VdBvtrLlaIlM/p5DXPwC/JqyvQC1KBDGKh7c/ncn9cgp5vl93MII6OQUAWdgF5n BoUx6q+saSfNIsPunDRdRhCT3lDTTprXCAdTw3u7iZLQvigJedSzpdFtAwcRehiV0SKxjLN2WLjc KJn6L6/xTZfvz1nbEjrhIPDdpm4cMqc8Pq/RTX+iG8FkUKouhVc3BWKjQxhxKiAEWjR5+UEDvrt1 4Wk6SSXb8Axm7YSkOA1PYAMLpCwzJ3hrqzqNlIuiKuxXGQAYn/d5DWsw+dSkH5Re1HCzB0nTo+L8 GtTg/YYvGpXvu2I/zcxrqbCL6lilO2v9ZVMZGEIEof++iHWFoFtwBCciV2rbd7gzQ3Um8lYhAwM/ HuKkwElHAzv3/VUppCZXMDVLfLoptNT0EliHWHzR1IzPa5WwC1P6HMGVGWC2ufq8VgixTFW6sJLO CQ2s5HwC/WoCDJvnFQUYwqAmKZpZPvjFPVG8IzAOuHcokjJhgOhp5f1JvI4ZpNEv5LU6iBdV7ZQ/ tgaxxBbkL1j7ghxXeDuVr6kBKF8rWfFeFApjmZwfwcXKpm4lWMBIYglNd4LYRaKLJkfmcTL1rI+p ZyVYnDRAoKFRfunyM0jUKJum+mLiFGLNR1tKJtTKyzkIC30OfUk778angz1llVOdNpnChNMXzjs7 DPsBiUJlaZrsMCiS4p/GgjM2w2GmyQqHw3hYMZ+y8fpk4y0NABFopHcuqVEL9RjPvJx/pVEDqMxr ZE7B3M87OYyLnKLQ8IewgWtnnWxYEqRnn3zeuWH96ZNqerApCyXqND3vIbGzDXo2TEvPQ2cOhPZV Sd3owjjmJ5ieXYVDoOgUhxvdJIARKBm0wRFWHyPRpQXhA5QP3AxDsxPFxF2QqC2O4c62s/aci1wK IJU/77wwLIPpgR8frCzQrZtLRVExLNHOiewWaSqR8Nxh8Ymrp+TicI3gTO5FCSa9ult5vLphLQx/ 98w+ewEygcchJRxwMDeqLbRHx+2dFdaFmYGpGclhNVvovVCeZpUB6rKfd1JYl2+DBTkIRtB2qfSi 0/S8h8Y4UQdrTobLlHYlbXgk0dXBVcFVrUpOND3sHPfERcTBryz8umkNMS+hCDNlm4HsD1MFvRNq v8PuQVWK8Oh5lyBdwzRbFjrsUXTsytlbdCcA1szWk9MTxcdge5yjNBWDh7MXBuPKSh1dgH0m6bZc Pe8BMs53A/l0H+61lc7eqhQW3wMseZF8E2vniOgerQ6rJr9HyFDapQqgjp7lRw91y3T0nHP/884G Qx5gjT87mQHdbFT40vSEQTIoGG1kw2O27ZTCfdnfBYmrKqVRkCxJccoA2eDtiLNxzvqZaq+jbGHV ZvShkfBDENBKFZPxzjsVDF/Q7WC3D7q4dhcbsM28P+9EsP6IQ2/j8KDCte/sRHEyXhzOA9kwPtAE VcdPhEtU2iEArqMnCpQHZ4dEOxt8b/XeId/MEtcAo8OExTP7xkji8mPitPSm77MzTMxNYNW0vW2c ylSkvPr8vJPAfsWDol5QB+MezO+xMhRIUUPuvNYRVaHWQG/GSXgwuZaDjg+dfyXYWmqHzn0HP5gz /cC6HORgo5iIIyPIHIELyrA2O51pxDsBrJtJ1SwqJHPQwdxZZ8VVBI2XKFreyROJcs0ZyeDV9ETx Muo4MMuRAn2d5CHRFHYn1bw2WG7SXX+nf3XvQZz7o/ng0zMW+hpUpd8QQ0gEIiZQlymWC5qvC7yI ZhkFzIx5z5HDJg0HnjxtNNO622gWRxFzrt9bq9NQmDxUlgGjiJk1uCLJ48W2umDzA+ki/2kxY1cZ MIyYp2Ewmw++tSDl1ukeV2CIN7JqpJCOqQmXCOiozkgpetPgXKbM1CT1XIPaD+dOYDUAcf/nnfdl Mf0zO2jul3mP5XfaFy87pKHFgIS1yusKAqR7W5tYIG4cPO+sry6HPhoSdR8cW3iuWphykxh3QrdN hXuoSFasnfzT6z142H7/vJO+urkwAbybfdCxDNaFmgltft45X126EU+OTrGsdavr75QvrFdmorVI TRw1L0GcT+y/9E8LfCZdWu+EL2bpwmFIbKLeys4JxWHQtWjBCLJ6xcWNLB1cPMx/BjbvFjCmXjWK edi0IudGg3F19NI/YLl83ule3az57vSAFJkhSmXTEwbM1C1a06ZnpiHe19keS6jwc/Utgzu9k726 rMsgH8suBAcn6gx084zsNQG6YSCfwM8piCQyRBoNp8J7+vNO9uoOTJ1UNdNgRXY/mFPm00HE3L+v LXlbV0+33qleXRIa9QT15h7Xkgln4zxdpqJAmSLuraiY3K0giCqZBj95wE5qU2X2PWHKIKFA9AFR Pp37njyDjMp3ohcTJt7mQ/JbDmHYHQ7PXbl6+bzzvHCx/r7UZRHqlYx3mhezLaagDnJqNEuWYlK3 SgZ2iM1OVFAWqhkBjg82O2AwwwyNe+tcxXUu8XMQaQGATL8H96NeetMg5Mmyw2Dkw0Gz0wbVd39A Yv28c7z6g0bdcrHGGubPYdPzHi9LP7SygW2SW9kwYJs5E4tgRNtyet7jZYQG7ERU22KPEhBkEk6o Jj2pE7lV05ManJXzjjvdQo9inneGF8ufjHmyHAizfQbqukknx8l0P+8EL/Z2vy91mpYQBaDZCePl QsBOMtzFoIKjLGGn5uncNndrvcfLmWpoBWo2wwdbPAvbqVIZ5MxOGaVNab3gFGG3vNRHnsTeNJgd Zlmt9jsIQXgOfF1bDbPzHi+joOXXFtNy/BLSZNaHRsVlyCmhmyIyILRTp+HhG/uhaAsDqsJc9J3b xY456+6lNh80Pf1EXSeygcQZ9tY5zmoSwgfHCGi3FCRz7NfQm0YRIW71xa6pBkWEEHpTdTnzDYPF szwXZTMQK5BBlGYnipeJ+zrxjZ08neAVGj5I8QazU4rHPO/xMvJ9fOfeKP/D4dZ5cO7wl8uQr11I CsCvZJ1n2clj5zICs887sYtbi/2IxltreGcewidVRPeTtnzeeV1W7vvuf3ZSgr01HMXLLAdVXeCA NDHuQKK+irdstszME/9KFC/z4DHQBeXWrI5x8lj4ESdhvio99CgfLfIaCpmPaEvRmwaNc2nSD/lY DCPSw7fbyu955M87qetXvMzZQZqVm7f63ildTNQzNVmzMU3kVknZ2qXbHVvb4EzvjK6ba/VF2C0H m50BfY1K6W1AcTIJmqiTQXAddedMDRT5o1W9aDQ5QyCU6oNVUHdnAw3Hw+edztWFr7VzR74Q3Pd3 7UTxMi6ROuW+Q8HGJMKbkRSIuRACGlvrnc7V3e2hk4ut4UJ1zm1aDMnUT5xbsxrJKKo2JHHzeqlQ D+XzTubqcjWB+yUrqRy0eKAbyPk5E/x5p3L9Ppc5PecbteQHzzuTC0cG4IxisDLZGlckkq00ui2j 5KfZeY+XE/1GzhwURj4c/NaqSLiKvDDOIiqax8ouIS0JSbqVzij1I955XD1dUWgey6NA5Ja6vCcT LYx/Zsrl807jwg+451c6IVXX7enEO4urG8FE3uNsiU6XRmgsLQl7wSIQpicqMFN65RzIrIX1h7Hd WWCGvx7P5UGSvkodkCw/Sd31PGUi+s7f6iZrcH4mThEHTyWWsEwnKvy807d+Bct8rQZxHq/wvJO3 2A2r16a7m8e7SSKsZGdydTeDd+6WZdDn+w6dyeM5k8e57tDaZbgD29/VJa6JfB4TNr/aWsveNGjc SAZ6Kxvd1hyaWIUSRBi9ft6ZWyyAza8zGY6nGSVzm50oVCaVHY4M6vpNamsDfzH4Y/8Dlmcmv5zT E9aWrTBIdR8Ojk3ZEOGeStPTWSqbanWbrIZK+exH0A87h+8axDvCzbIwp0Ftv3NjSUTr7NbPO3OL 8cD34hGj4Fk9UbDMLY//h93ouS8HYZjdzAnhh6O9ouKy7iwiGTEw3pm80c+dMugmg+7YSd42NbIX YYXkJ7Ita2k6yZHvvK3ugpAzD7GQcVN0zs9ZkSym9rMmP++0LUaT42v9TBa5qze23llbLPgPemCY HmSREAuO5drd8AEgeM1PWF5Ww2/zzOXg8eCq6Gs1RsuZMiFk4i4CDiacecuV7mU8+M7Z4tEjWVoy kDsqAwwdwE2llABK4pnPR7nod1edMDW4Qtr8RPEyif6tbqOxV4KMUMforINSyK/d9RPVlynjfHJQ JRU13+0FFG/DRca28QlVdhMaHj8fYF8ox/vywSL+vNO1WOWjKSxMNm0Q1QZicXIeWvvzztbCG+bv W4ss6HRxPO9kLXwmIDVD8GOgvxh4QRYKkmBqTmyEKRU1wneuVnc6W5PXQ/vyeqBbiCA7JxUFjwfY uRP+c9Gcfw9rwpsTBG+/U7UcRwvZmKGhcxUA6CmC/klI5+edqcWt+eviQhkW5TebnRCRgSaBgCZs +1Uew4n15bP8oU13gukO3h//SgQb5NpJxPRwuE3jE48Aq8+9BTWfTB+exUjn5L+sLxuWB6XtzztN 6wl5GPlqUJWnN8IkUIwZn3eWFq/1+rWzqCywn7UTxssoIbRm9D54zjjpWIS2DrwKSltcO1F9uTHi OYFg98F31oClLCHs57l8TlVxjoHbQ8dU7gXCKAMFxTcNDmZ6wfaetw+cnXJOvap0YqzPO0GL5853 hbCxvnMz0Xd+FqIBUrLzKnbutGZO5htAEunyl27CB+/0rGdnCRrIwdbOBlt9Uza2nFmqm/YX599g AHDece7rCEtBgc87PYvFOkxLJWhfg0pg5xagYvzJhMrnnZ3VxRq22WFFCL9jWp6KvrOzGBQSj7Gq 1cCq6avOE4caYx1ajTY9YXmZCWYbrI5yuChuxIVQnaUG1MnljJVcCDgo0nlWLgrUND8jQrhzXjbR 3Bx4LAPHsGXqdSLmd2pWN0PZu3iA/zFVTH5mVF7WsUhNaCbqneFhRbORLH4iipthct+JWVy4rH31 Z7BkIqUltghD5rODu4SQaBXCytj1ZGTl9vNOy+rujganeB/UuNllZ6UTe3zeWVlMY9OvRJQ7yyPC d1JWN72MxnoSa+/UMmFxORs4DAgty7TeOVl3Z/WsClh+KmD9ROQnjJLMPFJaMo2aYmVkCKjt2c7S jf5OyWJQzuN4yTV3XZv3szYV755T9vPOyOomFHnvLDrXStmIsxPFyyyy1ERBZ+Zdw6G5yxXUJ+p4 mp0/x8ttt+mDowgh/lSyzC6gqzo1O516OfRmeI6drhcNahi60OXNyEFkrLYa68zncFyfdy4WW783 GKTWOhYtgQuanTBaRlfphChTs9OSUfvO0WEMiU2DE81OjFxmErpJ6+PguWiZHc6k7Gp1HJzy5mGW TOftlR6UXNKbBig5oTBQr7cBn3GigjqssbAqng6iwf6Nz2V2XS8CtYWxMuWEHBBXJ9WaUAGrLQ2r gEGTwKYnKi4nEUImFw+HC8ZIOZ0bnViVk+i2yvLpSXDZGah0ufMKGA5zfMbb9JT/I3IM+K/kgwXL WWnp+RJ8OmioX5QcnmpJh5hzSKJgGWENjGS2pVrt4pwo/0u4gVTTQSJ5D5ZRfWOJpwtD2G+wfNL0 AUWvNNW3OTmjdOn4ABbSl0tKZwWsh1w+4ZwkADHcQ2NCSFk+26VXPB2QJfb6DgeZG1+cU4+CZbhK gbO/7OChKjZVDaT7gINHypedfyVIRLfiF22tcbdW79TtTIIQnnneTcx10xPJP2inOCGd+NweVZdr lqAaO+G9GEyRrGe1009ii6eDcLDcG52vNlDZ863Vw2AZ8Ubt3piobvJ+7l4DwQM7bQjCHtWWJegI VVEfHIyxz1WdWMVFOHiCvyrDuHMPNLaWcr8wMOoC4kOirhb2VFJL9Owz0N1wASF15mE7zp2IxwNS 3/xePVkS6NWziR6y+qhnsFkHRJtA4LhEHtJwQT55fvGvBLmWiYIyIKzpBoTnhj/pCj0GSZw4AQoV C2cTCRSkzN0uEIzCqT0KmLk/5pnb4YOu9QzpFgUyBU9HpMfviJCSZ5IE5fRE1L5BE6sOAsU/vODJ ruHZY1Hiib6WpaI9hmNkwVSGD96bQDpRlgAH9VzA2oDJeHP1h4RUqzDXojcN2n6UAJ+iPU6QrAdX T+ub59A58QqeDi6u8U3UwtyWr5P5j/FyITWDJWYqvYljbeawa0PJkPFyj/l9XDwUZm+GPJLUw/nm sEGrEndaiRvwfI9zBFEXEa/oa4d2jD2CL0/5dJMCoUHB3S5kpADi1/F0tLd+tSbo91Du7EQlZqgW 1KbeDH26qS2TqYSxqYmxE9tVnJ04YOahI0dqDrdEOGnHhLUDa8iNRVNgwJJ/oBoKoNDTFF160+hk Jv1xrjtwdk7WVrvIEGPi6Yg5Ub9PZhrEcX9pet4jZnSaob8nxjAoJlNX+EnxUH+hptOgVBSP5ihi 3uxo1a3Fs+/igZELmoqbFcK12BMk0gnFJKGx0j2Z0cLHZwTTU1jH2BRs5sDpIYxDPeO58HRED70d dc0lcOW3wNwj/HLH60lu0goZViJEMCHL3NwpiJX4V6LVs5Wi28CyC6Oe86VXYUGlnLuGBk0CgkGd EKceS5Tb+dYVnxEBwYaoockH7a2arYDa28bT/wlFmFnxvlFPGDI3glWGAJVgBiShu+kGRxRhBdtb sxOVlxeXRFN7otXHa2js8zNLHgIeBCg/sLw8QHtGi2CX8sxO15tGySi7ExSW0sBba6HjpPJyang6 WDz1e3YIEPnilYwoZIZ0Ckm/1jTeFyfXmguoCBB/jr0R1Zcn1Zk68kIb7rVVANKVuUeF4khnZkap BpR8WOKxCiqtK0YUMlOJ7CQ68w4GOUizmldVxtNByNx9eqgnR6Gt21IfUchMpWSTvQCqR4jBAjYL cCMogilFIvU6rC8r5tmp+nCz0fObUhubxIkOQ7Ift61Gds6ExtMte9OI8CcbOM4OBwPoIurht5sb Twf15e3JOiNWZEtZBrn80BjAjPK3aAAw1GKhEiFh05SxNZroDdv5Z95TCvq5KPoAXoUGUoOQA3hW 5coIbC34jmeBxdCIGXjb7a0bfMuBjwhAliq/K5E8w1RzAuJ3Uno4/4On/9ackM/ZZMzhsxMFzA1o kTq9/F5BoZSkgTTiAdAtrqw7ooBZnkJdEEF6Bdvk7FVRv+iStzpHnBxiG+HVDJ7HBRG2gskJ4Rji 0lJiS4OSUSxtHqvnMMDT0cHzDbEclEPsd2dF4TLE76B/4QDUtl2EkKSff6j+0ixVH1G4PHkVtSkM 4bwYwnMbng9o1DWDtsyZZp47meBu/vygJF6AbtWr/qlrPCiEPQdExNifAAJUDLpxphuPB4unfyPB 5FB0Y54RBswQbi3sOFMcTTqWmF9cv5k2FfQc586KAmYJWsGB0wcHv8Mgl405XlsDLCXMD0HeuFpZ Q9XJgwIiPyPIRgk2QFTpg3gl5z0lOHzibjwdnTwOdRo/xknql6c+QvjyJiNj26U+KRTIXLSl7BYV qCFpeiL8spSqOpo0Nnjz5vwnFDQojAb0BGmaKDEvq2LOKzdMAXN8RtTawsG8ademQbc64nHy/XYf eDqKmH+B33FMj8ucGFGJmbZryJAE0C26thKhTnIVWlBXtNmJAmZTU9nTBv5AzCfKOvEhWyVncxUy 19lSx6Ez4cnd7tHD3BCfERRReSDPSUnmOV06/9wCQ4CBtTaejlpb3+0JSqNRI0azE8fLhBk0d4Bp TpwYbtB5tpbbdY0wXhZlqwrWUx8v5RMil0pbXFQJT160aHJKswQJfsEtzaZnE2Y5woC5SYBn8XJH dsEDI6d18ixmMMBo4fkgWa++fDAZjc2ty2kbfwyZq7qg4G+BbiFeEi3k/kHUxRIy8q0RFpkzKbL6 9mXtr7MHBl1o7KI9kU7yS13GE44X+K0xS21XrDpnvWpw+DA9y6qjZq+j7nzib+608+4ZT0e1jPl1 +HDpSJGNojNxlXmQgJ0EOhhLskQgRDIxPRnFQuRfcXXNKGReNMJjT94Gp5acS/fcLNlqGYPuYbTM g2Ffhw/AbW9J6nyGkAwGhSPLHSdb6/5srbmZTcJIDk9HLYpv0AG6vqQY2uxEIXMlmFCQDEY9WfT0 M19M1vOPyqCNmjwh5Y+rBoQkH7yWATjYpAHVeQ72WhIUruQkAP5ertogsN/8jCgbJV1UFkIcNDvn +lMN/uQYeDoCg/2qg6E7/SQUM4qYEwmJxZymKvJFnT0birpypKBnHbbWDCl/ReXBf/Nu4MfVoVAt QiSIo3KkJKoH6vyg47tGRrY3/VORufMC0mB5ZZOhxvm6k49H0/N9NBN0UC/WckYhM0qliHUua8sE 82dKDmHOxOBwesIaszhtnb7IHDwqPIt4Spc4I2sfvZo/LpqTBMD3u3iwI/EZf8RkZAHgsxfCzuof inrONsbTQV/9MkvMqrsJE2LTEwXN0l+XFecgYbcb8YZBOzEZxdOtGerHMclss1Qf/GBOoG419bdO /lmY5LZMxBzaKFAo9zohkhl8RrC3ssQxpAabXQ22njVI8kRDf2tGIXNr3xX4jjju4bTNMGQW/rxW 1cHGoMwyoHKdyXrDudytDjYjTAY6QDTcXD7ckwecLVVRgZrbg1ojCVWdcq58+LPchGvamwbnclcF ngfQdBD5gvYcgWGgXeLpqA72DWPuRGsmj3pmBMqAnxtK5ndrNaeL1iZv6fPxw7dWVGNWNkovRBt8 dtqZjyk8zz77alVp6YKtTfZsfyArkjmdUcSciO6GOakP6hwD6yIBkdHw9H9RyMj4ncfVBJsh4w/p ltpR5gpoXkpjNKMlTUROUpILpeSowN2ow6LBDx6AASjHxnSrOZ6nIgFD9w8TI0YbQSD4iKi1xVCw SXzGHVLWWTc0fYIeAJ+OwE5eBaOcJzhbDwJ+RpiMSQdgSmKKaWyU7BMvG+9mM5XU5EQBM+VXGjBM PtyA+fydRCvZvAZDZoaMVPSmkk9/WlvL3jRA6BbjIzUflE7A7YNX8vkt8HS0dL7xPMSg9svinyHf D282arEyD1jTAsp1EjZwKAMnKXrADPUxDO20lg+OQT3/CfVrKuFPyKlIcw4nBQDfSkWN76eAJwqW k5R5KOCqQTXCToUK3NK54OkoHPSAh50egKEhQG/Ts6JoGVDDKvUWdSemvMlG60b9WxN1Gp47K8Jk DEY8RNbY4NEyfNyQhvLcqR3bS80bcsUpRXivdDZvVlhg5p3Fdr8NYt7gvMKPj7o/no60Z37lokBJ kP+s2QkxGewab1e9qlKcZhmsqTQGzJ6VwVaIyVBRXaY37cv0BjIfoLGJs9Ug28wbfeD8hvw9zX91 Kq9pbxpVwXiVb9lN7O08bEihC8+zK56O0GD9684CLjNTpkWzE4osgwJWazEMKmTZDJORpwsJ0/AH B88KMRnbeuo6f7jUG9cOulvI5MRSP/+JJIIMjHNe7KmPW35HJxmfERXBcNcUCVFLUpJbq/XNjL1S xjIMlvt3vGN+QF4EWyHfD82BWZMXwbL1syY6pDKY2HR54s4KAcwmzLPu4G3RcwdCDrWLDbmQGPFY RnMCFfjydI0pno7P+GOBea/pg270tajdijbixtPRwfNLEgzxHTpMNjtRrEzNq0q6Ir2iifEkqQ3z lM3ndygaXCHdjzsLeZoPfiznhPL7pKLwuVaTqu8po4yMhll74DwLoCN8RtD34201YTdjg6LB1eUf irgcT0flZT+WGdugqtIvzHJFsTI7nlBu1bkDfzXLQ903YScGeVw7oTyGoKWqn9anfoqaVzsxCa3r cmqrAmSEHvK5LxrzwPRsrTHtVSOWOns2RNFoYCoBSTa2RaFMgqcDQMb6TtNpa9SuGPWKgmVCjaoa xGRO7OwlQjP6PWPygycMlhUOZkU8+Yl4znYC51osdfAhZYCTQO1mpV8c/iHdoqw3DWaHAqg5USUj J2bdVOougz3fnlLD0xFL/ZcrAD6lXOWZFQXLhWAaak7QmrVuAVdmoVYYnW0U8XT+lWDxdMkKUw2t tnQPHkj/nu8yBHWaIPWxacNlBq4/iq1eAGPxfUXRciJVqzcZhja/tMqC6xLWzvkh8PT71lqXGsB1 VqkL5CWeFSKY6RY5aKiJnvF04kQW329DJ74ah3+F5WXCu+Aw6oMHPBOQtspa99lEJ2TroouikrNw SeMIcqwT7bNXDGE2lnr1wdA8ewv/0VfF00FL/UsA4px3mJ5U78kT0/1QPS3dlBp7Wl4B6+43embQ AAcr1l9mdjl4eRUVpHxrTXSeePIAh6Hi8gTrUlzsem8tugHjM6KIR0w2KYPt4T6RaDJxu+zMp4M7 /TdGF+dtSn7w7ChaRoh6omVD5p6Ix5IuePGZYNpmA4ji1CHdb5suz/DBcXIbQl2tKOJJEDxgxEOD V0bO/R7Lcj3eUbTcqE5dGi8vDqoPJkgYb6XpfDxilvi5bKJ4iVIAPj/v8bKR+DslNkn4a0bfmqb9 DkAGTDU0P6FAhixJtuCE+ypZ9oTeHyW7IWxeYCWig7mxjcZu3W3d0M93hxhmdo2Fm9LA1QOlfeVK rfENg2sr/4oIcWOu2xbdIYa503rQj56Neo4U06h/wrboCepMXWWHAfMyrbTsg2N0zySXQVExeAWA e8RMHfcWgNo/sIHzrjF5STsKmCuZ6ps2HhpUx4AGJIMWBD07FshwvAqB4TgLp+daOyT8LRJK3A26 rfrUeJbFPFc9ZMd4DGmBzTv4tdXPwlzs0uBSh+ATW+oJkTUuxs7qrZg3y940YN6QDjnkczzc5/jE UGlK/aOnjacjMcJvGjad5SBXb7MT4pc783Tk9mQHJHcM6C03A2T0OzshftmmRbSk/EVLApUHvCqe PDgwNvP0SUbtWVH4rc01sye9aJBMzMsK+CIHzJVPSNa1sSqe/hveQKRi/Eb1Vk93KCfXSTRuDpPr pg12zuvSDSYHLV3NTgzHELhSsmnjgaDugvYYNLO4dupaoiXRCDqzBfNlTpv0plHlHddVIQpIg1hb 8B9knn6+LZ6OlHl+QVD56+wLYN4RHoNKpFNlDPS2tcmozLN1QNMNyW71HerJ0Q6yJaEs0xfKErSd E7UxYG4IbTmFEmiF1rOMA7pMV5teNSJEEmog7RkOPHjqSKVK9KoVPB2VCH/tLYRvD757hxIZnQ5j 3Whb5zRoXuUx2tYaLMZydkI8hvYWINg2OIwQpOBCJw3wkuCcwVsdB07B3dFBQ+PqKbSFxWcEEWHR 7Mzqg+oYMCEUor0OPB2kExetwk2GrH9cuuiOAmay6SuBQwZ1kk71sIoGvEpoKoN0Ysf4ZW2qlHzw 2VmQoAFaAnsLyswU7ihLZvJn596QZ++pFw1OHjb7Br+lBhUIT3g+1JhYfDrSf7hbC78wmHTlKb7v KF5G9Q+zM37cyUWrCDYyySJCiUJg7URgDLP/adLoaWM+Oyutc2+J8QeucWJrJ9PWDX2qsa+dMfU4 8BlR8Z0E7M6cq3aXx0B1WQDbkfmGUf30F+uGHOcrmJZTFDCTHjSo7EOaukxnB8AYhFaeiwURoeni pzBillVv73ew+TmZ14mTWVE5t+9JR+kyU0nMoEL8rlfJkrABfsj7BBExNQFj5cBIATEh8xT28s59 yOcjfsB3LQO9l8qGh01RKCoHeZAGskdljVmwSsoMn2/dEPdknLyUEKFPZDBFhKHsfgdvG5/zB76Y DJpP0NPZGqgEaVRWbxpzPhEohr9spGDEA4hAIw0OO1idMtNnLzc+H+UVv3xvGt0d0p2jEJeBP3h+ TxPT7Vy9TNopSIYWV0UnQe4TKUQyi1wiWAGH2+MCjYLMsvPcOJsKicO58aeiCbTwr453zfauEeaJ pHX+MRBXIPnItL0R5UpS1uLzQQiU6z2lE11T8qjPFEXl5tEp6+chUFcKj4KqRCKwjGC9Z95Aoa9f U/tK9jfpy/4GDhqDyhQlrROsL6QhZwEh5bNC6/WgWMVfNrjHyCDNqjhnrzifMxfdImZUqfHxqOT8 fRixn7seC5MUxdCA6527nl10/JyJzL8G+UasfmCfIGHrh1FUdB7Sg2jinLT09AIhoA7on8qqoHBR jwbT3gAKouKK1Q3bsneNnBZ0TFMVnoNxcahhjI4FvARSCNG49H7uN4ThZ/nlO0VRIF0YipCARhYp UdU8r5E/aopAQm7aaFEkPRQEwRTLBschwAm7s0lQ8E16Z0CQaIjWgE0lSkTVMV73+JAohWcjZtIH hwMX0Uk12AFH8Wrz8fcpql9WJli0CMYua0A+c68nGPq54rcgllannLo0O3fTpdndTZ9TFEtPdS6G PNzGhcbXBTgMGziIh9a5hnBowRuBTFIzCTIdxzHtXaPGDlZPly8FB7UuRisK984PwMf/xpYkwI98 wNKfGy2U0MBdpn6DbAVMpWb07bnqyo9NWWhoYvKeoufUm8rXkmAbCDwPWl/pJO+iDSC5hzouW3Q6 ifiT6l0jwAYhvEmyjmyncYrOC3YV6Evj48Gd/8upjMSK+fj2pjCmprhRqdUlWLblq4sVCx7W4zq+ pEhHo0ricwmtsi5/AKcQ9EU1Q5B36EmkQObTAMg+Ugjm3ZtikWZJe/c7KCObqZusWpt8PNhm9TII GNaSgbLKEzrGMGf0TwcZ/xDTYHcPSWujDaHENIja1iSFtWhiVevgWcTBqomIHs8ZwbMImuhD/kJp IRiHID1tUwz2gx3ADwlKQjqErDdcDAABOYuapAnG0DE0N7nQFv7USAzZYNEUhX6AS7Co5tbYns1D scLgCYv7izMUGALqrsFNRoknDncZpXnus0LU2NllNByFNCgSazQzgLI1vKocKnLgCIjjlv3Tf3kw gFOQxbKB1ncOLQHHrdcT60F0zeW159ATsFFIHyQPJq+FtAUkr51AA4pqyCW6689EYHmTd9w+2Boq 0H6ivwy5FrsXOU+S7ABAGSBkF1eX7F0jjiATD5YoNRgcfFRxr89xzseD0PomsFxwLP1eib4c2wIW 3ivueVz78gSWwGXM0FbJvuvPBLUzSmp02XlwsDU0MwItdvrOUYTEnFQmGk/R/Y7KHobLXMneNSLr kMskVG9hy1nFoSGlNcBF+HiwhtJ38kF1MKLLbYaiwjQLIDNZ2eNM1VR56BxEQ6vpHER5320Whtbb DAeKD34QJZhBw6UUIKmWZGfVtKw2gsdHYZa5Oj/kTzKPaxBpx4Hb7GTEjQ3nM9OVj0f9+G9SAZRt C00PbYpiNTpoRO+lVmpttJKTOssoxqVE3Vv5WWAPqBoM4kUpPfZH6XGiipM8zQcpl3iYxK4mgL6Z rAv3ZGj2spHABklNcnoTj5onUc6if52oofPxoK/6W0MC1eR9WU05cAhEP50RblebsFL2gifR2kW6 Yjuzpal9FmM68vtZjT0Hardq1Kg4skybcL0XSPYz5rCTiPosOfAINDjYuXopBMBB1eZtzThEAXw8 Enz8hfHtrBVdI7PAJBB0ZTnmOBRx8CTCIiKPhkqzBKpoEYWwDqLii7CI5cEi0n9QCTltkSekwFAI QbuSaks0/L2ol2kvG0VFTF0TWaccrBBybuMt5b6y+Xx0Wv9yCkQ2kB6nwMAqEFob4wobIoJr7cF2 VOpvgIBjoLIceAUiheUqMqJKuuJ957Y6r5xAvuONj4IsVxG3Foin5C7yxi9sAPBDoga96rGcWQ7q IU40IHhFJT0e4ei9nEZLe7Sq8xVVzYFdIFxBEFrrTqddwhS5chbp0sHfg86HmqEQDi3cSxewtT8z BJQCAnZSl9tAOZyC17iBZBFT89XkpfQBPyUQTN+S2mCexiGp4piaSDzn2/DxKPvw2Jq7EpH2fgwV Q8tApFIgwAw7rbcRdc9XWKqrQauq+0YLA+suPOv/eNafmwbKjuLx7AIZBTUTgdCnNScwPRY2ykc6 NA2UzUeXsjwHD4rKkqdiGXw8yD6u2JjqjYDUrruIQttAFFNBtXG+Qe/ei2Yrgf3E6Y36HPoGLh3W Ve3W+tVuPYEQlkxXvRHiOfg3M8ovha2HBxYthFkOjQMnaSplEcRZFncNTqJeExXef2CXx+eDKXp8 J3+IPpZlqk9RCI1GR7iholXoMsR0nLI22DpVPjrTUGY5NA8cXbBfsZ3qZTsBBN3L1avbaBEJG83q G6LN5V2zvNg1y6F7IJVAp8znNXCbwdJVRetzMfDxoNx4C/siPaNNnC7VMocGgot27bRtoikw4xdM 0TCfbdwXcgXGWRRYCKIvra8sg9d8TS3gHnU2fZNT1YLkBJoqdSMjZO8BK0yBI248e9mIjMqjSKZD HHShsXvAiuO5bfj834JrHtesl3+ZkoeoD+40WkUQB7y3ldMWTzLxUXP2KYph0oTYD5IvOPgyWmvs QVXJc8F2xI6sycJEmwQpNtDcobPauwa9e3bQGrcthmbl53OPMUWHrisfj/Ronysf7Vf6W9ywKDQS lP8teXkyRrGe9DA1RMgn7uqBY+AkCAwAdxj3uQYnIZwz40x7pwzkOfaHUseikhjuHsipWXua8mM5 thKkoc6/T2tYe6mneYL4zMeDjTa/gyJc+SVfOYUcmgkyJjnLvNzT+lo47GU8BNSWlZ+FboJLgKoi BfByLUDaOQ5hlkdGfDr/TDcElEE2rXWyGQ+1R/owh3aC3OUnWpvNB2Ht4bPHwyUvPR4RNfw+E6wZ 8D6Aw32KotgaqiTnd10CnFVuLjbQIAfF/+78Mmgh2Y0WithJ0cUutnWBnegqQtKldjWqz8+tyAnT CM+4H5DepAXEdg8/IwI5MHdlj1mDYc9yl4jdWaZ8PIqsf2FA8J3yfBZRVLSG3VhVtU4gkDEcBFK7 g0CaF60DV0FXGG1ZEM989VfZ162JQD90Ns6f55FVaPqA+lJLPkErD3vVYIKoWYKM3getIfhj8RQp PKmjwPoBBjNZxaXE8oxNUBRYd51DZECxUDQsgZ0UrhEOJGc/h0KnFFmAbKX6O9+a9ZkU5BmzaQWl aWVtyJUDjfY0hobKRKGtYN2ClVO1hIPm57ypwIvn+/Lx6BTyxhDzOJyb+SmkhcaCwGfDas0LadSn FhKkmJHMzo4EyYGzoIAW1HNJPtw+PgDjcodDTV+uK41KSYVKaIjIpvWou71rsIKW+q/lDiqBzC0R IrCL+HhUjL16ZBgn/ZRvVB2aC04axPX2P4yoRoL0mSHIAg3jbeTYXtA0jgWaGY/tawZHe3WxnBsu AmGJ6EcIUOrMT4e627v+sQTShfHsF+MJR0cGOCcw4uPBHrvlap3IU2mhz1AUVOMeh66XlUAaP5fo +2m8TKCgvC8UOAyewLOzGCtICAcv6J/Jh9kxK424fZTfNnZyVYQYfpMhodC7Brwo5HegpjYfVGk8 gaCQdi3rHSMo43cPv4oCcndZbDKI6m6bzgTv7O/hIkssalGhg/gOLaEYQ01mggS4OPgSAnK6k9N5 juZdN9RNoXOMfhBFPFO5MIdJjHkObQYlRdXNuGmadzCgAVN9qJM0DT4fQEGuXZyYZoA/zXqP6thq kL7ubTRrL+ZqFWsFqoRSE4mnSfqz1yDhkDb4ZX9u4nPGNAIdeofcIhVrYVRZQXkaFEasMsBo9q7B RqMQPbJgHzRHuIEZxp+Mp/P5v+00nknsCZVnp8VRNTKykqyNP8Tn3bBXtVx/T5YqmHcEfoPuQF2r RH3rF+pqNUjQZ5aJUJIeQ6kZykQ8XGCr6TQp+qLlwHTQnG7P7Vi2D4yIepmUIkc3d/DxKH/95rrQ lG31m+EHvoPWxu9sQogpBWAw4DIdnlw0UZk0jtZh9BfFjmJ+bOUiHfY4Gfgg06CkfnIQEmI8e52o R10Vt2nHdcRCNKpUozo0B2b4J3iQtcFJBDIfjyrW3zHj2XXrqr3k0HlwELMyzc0d282aHkjF1fQo LEZrl0VIEOn1kjxtgzMWzmEEE3ZSycqaSdi+hIZMTdJQuDpcKqOFzoPqnE3eQRqEBDmH5TCszODj fxOmkGY//mPpz0EUlqsrzTOmIatranafdQXaaFCDjmRTFAbVkqNfwu2tB7d3flVoSwseC9YgUMPw RwPMFwAKpH0XmL/sXYNNxty1JXaHOOirgs2hvlBenc9HYePl0POnRoqAjeJzFBasiSCmkyPbHmup pD9W5eYi/pNBUdefCQBFgly1cod7548zf8TvInYYY8k0hEksyL2SLVKtsTd710gDGXNUienQwGV0 7obVxaNDizpwIERm96sxlKmj+5Rja1iwZo2mE4FG5i0yGAaOCLqpMA4cCwC0+jN/QoJQzsEGRxHD 2wd9WLYXC9IYdoaQzlQKqNZ8YdaL8jiql76ugyKdVupUcFCxsTC34WE9P4qd38EYv8yFJetw77PQ iBB3NTxDdL3XsovDP6VmRsxV8xpI4EQo0QIqrA8fvAYCzAbCX1SJTvpRR1ODBD9fkcib568jDXvX KPtg/kolKw0C6rcivxLsAT7+N1lAXu6N9st3EYVmhNjftQzzUoHBsMKj0Qgd/Cf/rNm3Ax1CN0Ij CU1ZnM9599mZoBP6LAEdegWuXUQGbBZcglU1GMkmNnvXAP3JfdanjFZm9gx/pSrNgNoKHw+us+Zd fBw6NFIt170oh4aEAmHK0okZbDWOmWX4lReGl0ACR0IJJtBZefjgF9poA9h8k1naaye5O9G+Azcu SG4KG1kN44dEcCLMUGJp/gy4EvG1FlgxRHKcdGDx+UjT41u1gj8VIWQ2RVFkjYoxKqZKOlzeY9Fl pbiybeqewsa+hFL+rdb96FeaYZ2j9MQpnZra58hbIl3BWRfJWQYvzi+07O8aNYZ42SdV9JNrUeEi lK3gOUb5+N8wadyTBBDue+UHxoSwOQeZigJYoguR2oouPkmzbJ3RXoaB9R+cCXXXywNrPa0zmqss RdBy6llixKBJQj4+dU/y9yIK42qqMyx2wDQoyV+JFGbSMvh4tIbyr6N6q8jgMxSSFVGnqdkVqSod TZnCpqUS9snORvcZCpEgusaqNBLrExRBGhZSMUnGn+fEUwqLEn+FYQaEuH2b0fMgh/6EVHI508SS LAfB0MtKuvB3qnz8/ahe+xuTlmV/fWuxgUNhZi8JpUbDNVb6URHXKIgR1hDAdbbJYr6iukHi2Y+H Z4+MdbQujPX5FYUEgToMTunzI6wvVari7xod1WRyZvE6hdwAlQFU/qplsfh4kL4uX0PUbwZUvucr 25VDm0KeeKMV86QZXMOwPc/s5KD9OmlmrtssAoKo19Oz0Hv5GmKd2+xsgcTE8yTJcOPQOmP7DLWD tq+Q66TzcA6cCuXYhtUjZvAyIPdKUBbmXTTX5uNRQf+bEEMbvn2B+p+XgKifT2TTthYBGiihQ5w+ SmmjO50cdUFeZi/h0PkjUAFmHKRibH+KsWdqTriSiNIvWE8bdyWgNGjoSaSYF8Wjp/gSDPE9KReJ pH75oJC6mnAsNvLnJRTi+22qiPKkHh4LbVxEaohHUzNYS8rmSEMGufNgsKkqolUU37l2gqnpBA33 QhAIBy8ODYiXF2Eb4LIz5NcDTlBBLXLJMuwLe/46NSdO5HmBZMYHHs/Qe2APHN6cn5cYiF+yslf8 TA1Q7ET+cWpeQiA+hW8F3ahslhmlqwl9pmwS4ImEEOajPJxfIiAtG60X2er2x1a3o4t4djxd1FLD mhSiuEgbfVv91RyrO1/0fdkQhQgXtu2DLvdJtT66E7XPS/DD92Oqq7nhv3r+FB3qOTUvoY9WDc7E ukaya4uK85SZzNbpgG54NSP415lxocCOprsNtmrORNSzUXStZwiUMuAoDH+gEgUSlcuZsT/2Evbw I7LinS71VpKsq3aUnKN/TkyVPy9Rj33L4lPTVIcEc8+m5iXm4UPQn6oyzqRB2DRE/oDdqKHMSW6l 7WewaLQUuvT8OdwNBTn3KU3tnVIuKjpSaRnXIC4N88pA3QrvGZw1jJZ7peknB97mq0zWj37OPl6f l2hHD7M8w5lhno4+FUDRmpqXYIdPwST5rBpTfwF6wSkKvT9SXaY88BLqaL0u3VJz+GBTc84ZSIyj eVCgq0a7GPTEwLRARI54QbfUucVo7xRMzaLqJvSOfBDLRQKE6Kn2/XkJc7Roav3aT9jMhcbOnJmX IEdnNy08KID2D5G51Kk/R00HfcyOGqjGygYimhryyPre2wdP2OGmSKoC+ZrngtIFjiicPA6APD1O phjMS4SjDcXgD/ZQPojbcuadrb6TsIzPS3yjc2r7fmIzFTUBCDloal6CG53CKEQWmFBx0Zy7ZOmo MbZd/4EDhB01L6GNDmFmEF1SHRwcbo8TuFJI7SxgHBRZppdQFujETz5IaVRHPy+Bja1Lsn62oTi3 5w/I4WQIsvLnJazR+8nyyg9h3JcU1uLMvBQLbTux9V6Lyw1sNb3OIs0mxrCpMkdtt+AQTlVEBJYK +xfmDvyMyqs/Q5gibxD3UU4FHgVri9qFt1ZIea5oaijg0bZaFttaFvCyEdu3UHEzmJpBYilmQn6y yHSo3i91jGBuSPywrKFRLEe4DXhTFytfiM8KKYYorGGNveeVfbhXd0Z6icCznPS2wCYBOQPi/ypJ f8ySeaXRN+SlQqgXTQT/UE9GgzbURpTN+hekucILatjciMNJJkDPvqXeuGK6eZEWz1nN0UneSOgJ 9mmzk1Nm+0x0umh+2Hvvjb13Dl5mRjOZQBZ0c5DDSJsLP3cF308aMKZUIQp9tHaYKKxEiS4OQkWj EMbTdDc+HewrUTLPbGCdZdbjbtz3RhSzPdFYE5wK/IrqXOWkC4ktnIzOe/IqfBgUK51i4V7DTacg H8mfCW3ls7O0euAoB3cMFOius3mv4h5Ey4do1rUkC7hMaAY8OubJ6PcCNB4eyPvr2AGVsswnY4ji YtQ1TspgZUCArrW3ZpLiZoHw3twO/gmmZ5tnty6r/lxWQHODkkFaOLSoVF4tApGdgA6RmxtjaHai wLgzW1iNnS4OqljAq0Wzg6rXe2R8TSxvZIz3XXdvRaFxRUKFJMj67d0EoxG4yc4bwECH/kSxcace ad81+XCv8hNhoy1BXYGxRqvSsaDKb2o0YHf8Ia/yN3qYnazsJ5DTpUHZZupDzYkT1n3e2GE6e6Zf 5gIvTFxbd+28R8fIFCm169WcqXsdhjx5W70LbTyv5kSzw3xzktalwa9zqNdmqyrvzk4FwYlE/iG0 BMR4aGdNVSr+ePAwLbFBQSBMSRTzos8eBchiSqhvwe4Wsfz35Iki5EGM9uLFxJJK0skz4V1hfkXF BerfeGGWWC3lmtMHn55zMOwyeG8lsFeqfIj5XSlKRR2Y/FVRfo+RYdGLPbU3cYccGO7ksznliHuy 288bK0yhSx5fWysDwNkIRdT0hGEy/c/FkSPgx43f9zSbnl1p18DVE4XJSq7YjbPBk6t9dtckxfk8 Bz9UA97hS8LOCYReq1awKPx5o4RZbEbhKeLWNfDkOX9xdNmG5P15I4Rp9fT5K4dAlWvf2YkiZVAD aqvWyqpVgptArU4TTVxQBreTJwqVBVrttErV4CcPLDALVHxh+nUOD508WEg/ZPAOuhuYndPSm77P zpBO9JLI+HLJF1isSrfuhPSfNzLYfUPNDrfRIIbfg+U3LpjWziD8wDoztCGX0d5aSU6xJy3czlGJ wuWhW6vt7sM9l6HXsISmQ9KYh3qiSTbTaNP57BBm8XkjgllJjuFyoVkjB5VzFqmb5NfkzxsPTCHP /rW1UAAhIEazE8XL7ElOmt1gdqbwPSiw8fJEyLOpB8OdFQbM3C+jsh3MwQ6emhYExZmbo1oKe1hW dICfA9MW+9elXsS2jALmKTXSve6gQiASE5PvX583DpjFS/lrdgAJzaRNqhAYxcvkhNRSnY1KBr1k uaZozmcNI/lmITAOl23tbB9sdk5AlaBRzzsdkWElrwkiZjhH6RTz8FKK3jQ4lmUYImPq5cbUq1k/ iUZUnzf61z0ZnwQdpeBCALRmJwqXAd+R66nOndqWVbxqsVxrrmrV9Tfyl8VqPHfSLj44CjPVDNNZ ATLgRF2JWWmcnbPxe73nDrpbfNOovs7jmNGTBiUTIExpdtr8vFG/dKaX8r2zEpHOHg++Eb+0XguT uG5d4sp8RyZ7zUxV9nIzpzfal6UknJZMIXYOnmqtM82JBW7KIBMdB04TADIgc+bOO708uhxvtC+F PDK8pemCBkOC96rpybV93khfT/PiubTQw+j9bq0wXIbenCmzoonezSPjfGbOTkZJyZpXb5wvfTgr xIMG1hq8loxgu/LCQSp69qB0A/nAQKCFa9LAKp094jfOl+Xq6g3z2uKgg/nMf5aMdubTUbLev+Zn MOGvHjC/Mb6sMjQphmW+KjgUVDNdzmxCg9g3V1hPVu9KBp/9Mfhs51CeUO6XrfkJnjdriGVTduZ8 wtz36Bn+ptHmkjFsyj6oygOJnqRMvXze6F6anfS7bNpIpffZCSvKILZ0YP5MOymbNfVU8INki789 ZycqKQtf0Fng0nCrg1gSRZsLNDDloAVyntgMMn9wZ+qlNw1CnqSjh8xKDpqdE1DQ3KnuuT5vVC9b 3jdRp6ksSkzj2Vzv8bKpKNTuaiVFkfNALmri0efsKZaLvvG87GTm3iJdVIPHy2jOZNKq0YzoVEck /AKzct5xp1vmUczzxvOyAm0WivAO3Fodlr+M6M5d9nmjeel7Zr/VeWAhfyQSQLMTxcuT+B0iD+gG lrfnotOqzah5GkzujeSlH5Y005l4fXHwtvCJVDKl2ninTyFdUBjDnQEUY6mPTMnWm0azQ+4A5So0 cPGAv7GslL4/bxwvLZ7yHRGSggzHXZudqLoMumTlwc+IsA+j5U6pKLMxTGV1zs57vAwJdp3LVDke jlbPyC5hLwljEdEGbHlVftWFYwJ1f8d/Db1pEBFSAXkvAuQ4cBWcie4y84Ka1BvDS0/vXxEhwnUa Hmh2oniZWeAoSZo/Z56mydrtskTO3XCys7XzHi9nk7KdMk3hYGunoYXAyjEti87yETeHkqsL1rmk LOhYRmD2eaN32dph73Ov6gNnpzJaQJSX8vq8sbssa/JjmWZgyJSAq7LucBQv015TGnTs2exhPZu1 zcr7XFrN0PBv1C7LJjAt53K7g89OB3iZLAPeXYkgZbC/cHqgMAsJItduKXrTqHVOOg4RARo0O2kW YeHPSv28Mbv0huu7QpjpQX+LPG/ELsvvCmsXlk3I64YCtsO0bSBkaLSlN16XXVrYUlNOXxzu2uG2 pd4fUINNkm4DmYOMc1m+lVNa1YtGk8OGDWGsGlQ+RUtVRIETsr6RuuxGH7/iQb7cBRZE4TL526uY 6vo5DrKgOnNmc8BCPtCtf/7G6TKwDi8rOXePlZ/ZgaIp7MC8xpOoDdTpME3cIPnbwhYgvf+8Mbpu BfscONJo267RBkCoBJ7Pa/IN/8uprEsh+bnzxubS9OBUbswdmWttD3jWKMMDHkcXvJG5bGfpzuJ1 PdNlt3dI8BWWurCzCqSjWD+dyMqovyHjXunZ2Jv+qX4KppugF+hQWrIlatSJEMvnjcqlyU3p60qv bB3uOz1RtEzHoLKKK7DvpKIyPBqTgy9cJvKNyKWfhqX+Kfk+DjY9++yItikKDv26VRMVkgc6IFAU vzUMKp193jhclmitF1IyUGTCYUCR/fNG4bKNVb7P5AaJHr/O3whc1gkjDdBa5sDuuC4CNYR5JKdk kfIbf+vmeOf7NupncrjbqqYTy4qfBMKblPsr+PvcYvOrpUXt9Tf6lpWiJHPclw/aVpD+ElS5188b e0sr4BecicWrfRuib/Qta7dw02OVEeqVSjOoF6MKnjoFsRajnTf6llV4eJZ0NUT70xBdMLAaSWnW WaSbiJkTtQEtiypJLo+sH2n1nzf61tP0hcnGHbh4Vs1JUlonHf28sbcsHPArSwBKIQ19fqJQme22 koeDMGotBsIo3VQ1Bnu8vLLC0vIQdEdUZBXeJ/vpDXNDDx2oHY9UpVdHIWZyFBN1tJSkkyD5Rt1S ks7EegnXRGtrHswL/X5JIK0TDr4xt/7V1uIZpVXsidYbb+uW++EA5nCD2iwcnN3hBhRH1/yExWUC lobc3sfj9t4TYC+7Gn5nDjCR2RIVyuEcPLhmTMKX4eAba8uOHjUm2DAesFUjrBiCinYRjHPTft5o W9b3mV+HD4AEJd2O+htpS7PKxk239BO9dUN+dXezXHsXDwij8jL6mchAKcc28mNDCGFaqM/r2gIR jOUyOpoXwL7AvfPlgx/p88bYsvhjs/hFY3MOPH3G+QtKs08M+3kjbOkNy/6aHXh9FAoFCTEYhsub mL3RvfhOB5WKojZPnwIiCdRJafvwxteyaJT7SmgCDjY90KVv4pGUc2NRghV5emdL4vzUuI+8N0H8 9htdSwdBltwIoaaikOJSh88eFcXRgf28sbW0N8evCiqCMApWaXpiOAatMOgRQiO5ts0UI9MUFnUG FDkbKoRvXC2LR1QCq90HXzxgZifTX0PAspmJZmLiYLXF+rJheVAH/bxRtb4KqItqhRpU5Fl9sa8F oZTPG1PLKgnfBVTqC+wLAnsjamnxoIDaWF7h7OzLPKYBGR3f8WdYX37jad0DDBmU6Fpj3fryOYfQ 5MjUQEgFeBL227BvT9K75GMglDLkFfmmwck8WftSYMhBPdGJCjajnrE+byQtQ520r63VyMm+megb R0vHFXVEq7tdVUpTUKF/JdGPBZPgwfPG0LJ4WWtHPdF1e6IdlCOAy1w2fFXRSt027Gfu6w4LuAU/ I7jWmU00bhENqoDBno5AsJPSfN4IWk9X7Fk7uEgQrtjsxMVlIvi2WamMuY3BVqmhjArYgjipZicq LktaZLR2B6+9n6Tt/PVEFZ9zhy3Rs1DkQWOySO5ZqSiLBZ83dpZSUVXAxPTjoKDwrEdy2fLJTj9v 5Cytnf2dp9Pb52FGvHGzLE8nR4rZ1GAFLPmxTL4REMVgQbEz8UbNstKtYEtKSL+UnueJkssmoPZc WgMCNaJPoJxFeYdr0Mgs7/NGzNLkUII9UVBIAydnNerSEf8/Pm+8LMuZ7rFjglHYWZ6ov/Gy9Bh+ tzZJ56dGg+mKnHMnSfZoq79CnHsYMXNnjU0UKgebHTx7vsAikgey5+zNg5aUxKAtaERpZ+lKf2Nl WVezKhRMPmh6OmGJqA8WPh1hMb7bNhv8FaJ1NTtRwEzKz8lakyWiEPQ2AahuLIDVXADqjZHVH17N EM2Yw0Ub1HMsLFk0npu3T5EoqLPK4sZ8jp2uFw2KGNI2ampPNOPFTUiPS2j+JGCfNzpW/w1zUjsd mzTdQzmKljsdL4hvpfx1t8oOiqcmf12mOzS2GLmsMHl3H7zwfmKpSq9laok0oa+AdppG+1npgcjZ mwYXOuGVlUGvBkbIAy03xbqr4ukgGryobl5viGvJWNPshLEyUFPU9uKFvhK949CW2NfrIufsayeq LSfhTuUvzMGxGAgOaXTPfjrWEgJGycBAxnC1e/AgpOSrBkQJql9ugQ44KFgGj5J31k58+m8gMNWh +Ud88fQoWO5FTGKzSykruUHj2i5uMN0upb/HyhKnLGdFMNWaD+y9o42FugVTrXESXaGb26QnEH6a xy2lV3vTqCFKzrW0RDiotgzUKrfGOQrwdITN/b14EJ4u72n1KFbGskFxZ9q5U6YZNLbVXZiPdynu rB5hMcZSfYfSYRysa3OO6HOpA0CJtXOuAHcpXNSCyD8giTolndjcHhWXabnE2NKHpKIgqr/8xieT 6FGsnC6OhzWwAeFTTyR6GCpnctXqdtbaNvjgKtaqOFFKNShGj0rLslzuydQx6pWXPdNyzjRWC2iu R69c8FrPvDQ2lnJ3BlJmBowP+RMGjDkIBkI4fuho3qj5irpdweMBkGd/V8Gy0u96+VkhrQ+dDvol koZ0YpItFBgYeS7Kh3OMMLAehcuT/ay2RLleVwz83Fj9JHyEtxYws6A+gBkqdNVAoryo7jIf9dQe xctG1N+sL3MQWKVA219xTMHTEevx1lAZPlbKJt/tFZH70ISCrk2i/VmVU4Ccl4s0ewR1Yiraw4B5 3QLGrzoG1PmQRmStoLMqEwmAJQ/mLvWnz6v4QN4PPuNPLePFXBlDJad81170eUB24ulgeh4uMdca W2oe9PQ4YAbAieAbpqIyIwLNmjojpOp3lwHvIcGPt3XPAoLlBwiGzXZyqlGktp9ZbwNvIs0fWmhM 0Ets8dCYsUfoZZL04Au7fBAQLGURt5DT4elgd63vGhjAelQGsNkJa8yDaoVdtR3EhpXbTMrEmWWM tI1p3cOAmXurD+brfVzpZjC1Jgw+WEMF+IAOaI08kwZO8E5fhhZLbxodzZlkpF194OycE60ZAHVM PB3hwH5V4CHrQs08zc57wCzgYh00ZAUO7ESt7NKcFA+wS8o6TWDgG8/mKGDeWjSyX+7zcXxf4MR1 Ku4i6Dl5mJyHNmWnWDJO92hGLx+fEcwOI+Yp2sR02gTU0yQ2U89+w9NBot6+G+o4YIXH1+xE4GVU zlH4qqYLBryIBPYrYacoY0AXRedyBMawc6c1NXFYFqg6dwjgkdAlktGyJYuFyhd9S/b0th9KQRWf EQQ9wuVSIFuDesY49uVu0jaeDtbO/C5jkNBOvVTNThgxI3nrbagnUbtXUifq5iz3wMPCZyeqLluv OLHrx8HvdVSNNtj41FCZdTE8KsLHnjOI7F2fna43jVJRMZHY4uKgVNRseEAwaHg6Wju/bnUaka2L cxpRxEyJl4bmljL1YmDCE+UZMmxLOwkR84iqywyAmzxRNXi6BWBfo7wqntvnzNYmxBmGywDVN6+f 0r9iRBEzQVuzsTCsgTWwk3dl+eic3whPBxHzA0Dlq6GHhzzEpicKmYE4xYZqJm1JyXFwbnKm8A6s WkkHJvU6LC/rXJ4qEc6nRAjtjvO/neBuNNtBtkRvAlnV2RQ/lLLzdGvrTSPyNealz1190Oo5SZyB s+fG039rG1PMAHySdSGEI4QvswrFugLu9M22I5npwAcXhoRlVWemR/VlCpi3OaZAK1RKG2wcn/AQ BR7GX73MZFoisATPQzYb1rlBYjzwEQHCUuLDibn6SqRB4NbCMURDuPM/eDqoZNxzmTcUFRKbB8wj hGN0xjkmDw/Dqmro3LL038HIy6A8I4qXBVaZMhuYxL5ociDFsGsyQtKJ+MbQGZXIHc0/BMsIQXgi rIGP+BPiYCponlfdCqDHoab6bHj6v2gaEE9Uu19aIwqWsfcQSDn6dFwV/ZacF8CeAM+dKFieqoF1 5aL95qIQWTvhUtMdgYRKtoM1MT9DrzT1L3Ru1atGBw/7Wbxgz4CTA5fNOj+BbK/PBZnxeNTX8mtL jCRCu6/mQ0j2A7S6QrVS6mioiJORNLZY++fkQ/6unRWFy0vd9MVYuK8rqXtWCdQNaM+JpujYRY7n AFUWXK2soOrgYRkXnxFsrS3N4bp94Ll8TjPj0Z4BTwd9rQclt3+MktSf6QnBy/jlJv1mqBA/tyej DAkor5fBydD8ROjlwnuLDW8b/N46B2iZ1lQHsntnMVAaKVP4qldyuBPcPUJVDPxy0GNsPqiImrtM GNruA09HQc83V7QAj4q2o01PVGFG8HBCUaYO7Phk47N18lz/obaSi36NKGCeIqmvqtXDTlNmhfnc eVBYU8A8IO5Mth9i5AJvRgZdRuHHaYnPeD97BOjZ1IzRwNlpq2SJw6+18fR/oU1kF0ey2QkDZsQb dRoT6axPF8+f/EXEhXTAwQgDZuURRUJF5Rq/Nbj7QPacyPdzKOHPcnYWJb+on3CV4TdRliOMmLsU 4WkGA+PhH54YsLuaBHPgQFh4PsjV63dzq7G5dSltI4yZ2aDoXU4CtVLOz2hJiQtpbcpQI98aYZGZ 1zoAlj74BJ332INIxIKLuyZSAxPFngjYLlTRlWB1znrVqDNKQFhisZmDknXAV5lwnTMaT0eljG/R GQrrrJusz7DKjNPMRN/JjGwq7wAGm4g+ACkJXwHba0ZBs8FQB9WZONzL66yYwZI7dDIS9OExhcwy gL+kh6nhwah3PkNIRpOF6aw+8HAe53Q2d4rW8HTUo/jOuEDM1/rV9ISUPxakJgFxRBOiZMXVQ69s OnWhStIoyhNS/ohiGoXilRx8dk4ceBbLFOhgnRXJ86kA91shKdvLFRwcCLXxGX8qwu89ug+anZqG 9saZJjz93zhbDdozHvjMUCADZIOhFgUa3D25c0ev5ugOUxXtrRlT/pSI7uqDo8FyI9CA+WhvJ59g 1aNQ5OAcJD9tjSuQQRb/DMvMEqam2rWGpMxyjMJ9dn4UPv43/RnuQzIP891bUdAMF/JKEXLlo5Qx piNF666Q0Xk2Y3rCIjN56qMqXa8PSBeO5CCSy/wWmFpa5lGIIwsAf6vwdITFZwTTw7CwkTSsgSdP haIny4TnDsPTkSDj/L7XZQZ1ZyeKmsG4AQHJRNLO1ZuMd7MNp7GXDubOvxIQ/tj9e0o9lw/ZVh/o a2l2IH9HuFilyCz6KDAj8TIhznN8RrC1eCD3Lj3Y7nqw58FCVlFDf2tGMTOnQuko9hHaemVcUMaM a8wsJgwJMJ6fschR8aQynJQG0hbuNp48ESjDq6gSrBxXsBJSRVAWmkknz/ki/NkKoXz7fEVSWyzl mltvGpzLwulKIWO5QgbcK+XaeNLggaf/Jj6Dae0U2c+ej84IlAEt8dqm+SlUOItbPko/WcwOBM9s a0U15lXF9CNah4PXmDN8Mo3GPzp5J1jUVGAGebY/mBUpnc4oZCYWesJj0Ae1b86fJK/2nM8NT/9N IIOhEn5nSphqdkLCH4nqZXcLCrsR+hG9iSewVncp4RlqyWlrTV5JHHx2kKwT9UXCX0pF6j1kq6D/ h4kRo23Rf2JGJWY2emdnnKuBSycV67HXtfl0MDmXSsszCrt0P5MTgTKAjgCzJFkpQ0sHOEJhV+Bg 0uj1kvhXIqIxDxzpynDwc2eibtqEWDnp1J4sojYSnRI2UX9aWyyDzShglpzTmdDmA5fOiceL1EPO x+HpKFf/pY+BUmO/LP4Z8v2IkJWtFE5lfhKQcmc3G8pysy6CWsYM9TFYHRyb9BsOX7UMwK8LiSWr IMwU6gAIYrZj8uX7KeCJomXSsFdiUqlBRcK06GIIGH/B01E4WH4lE0A73QL8iqJlFP1r1SaibUmR j+Toro4P5Rm70VeEyRD8nX5bNvjsnLhgFpoMgO83adhG1w5AURulCO+NzubNCjEZlHNafd2BOyvX LndjiNrg6b8pz4jvh/goeaq1wgIzcgm2itUWpW0g62CVq6hB7q8bYmWFmAzTnWaXgoPXeQrwkKlt WQOVcypTJ592TBSLmrfOw2oKPiOqY5AcwNrpNC0eBMtnZVJ1CIISeDrYWeWbsgXJgtwunmeFKstV hq3JqQHTqCWTmhwkJkHqg+fOikAZcpIkIJwDL1jhCBM6oUt4npNYNKIsKzG7iy31ccvv50do+Iyo CkZBHqYlGmxnKY2G8szA01Gs/KvKU6ZgGTY7UaxM97Y5m9mzT16UdLtpI5k8RqLeDrdWCGDuCpbF xq7pQnQXaDcn68zibZ3IlrCWSqhzoaZcfboTTa/6J2bJEh1yXTrkzFbyOTtg4+no4PkOlonHaJct ukKxZaYShMqzikGyBaVnmkBOkEJEoYqLJ+L7Zeml1We48c6C1qgkhQvqVLzeEAVZNNivqBMAdfyM oAhGqMFiYU+DtbZ6ka7pShlPR92J9r140Fylx7ZmJ4qVAT3GwbMt3snOpU1sp6nxB6khrp1YHYPU 8y2k1x6P+8YG5Y/SkyBtneMUkWXdqJo1wrvSs7eGTp4IkCG4yiR4SgNzCRQJeZ7U0QeejuBOv1jq WIFtepq+YtHlToqoZVptbVGRBivBJkeNsjoXTxgsW78qVx/u1oKKa5XY3skZ9+TWyoAqUS1JHP4h 3aKsN/3T7BT6Q2AwLPI62TYtWvs5/vF0cGtdRTCewfiUUr3Es0LKH3QkqSTMrQVAiSVaRQAwcAMY 8XT+lejgkXbuuIPf6VAJmdMaWWi3+9Y6A9YUJN28AMbq+4qiZbkmjME6KgdurXqWP9fRuds7nn7f WuvqCzvOsozbNF4hhBlAsD5JKl6sSW3j8BMUCshBh2eD1k4YLbN40UQ44uAHD6pHgGNTHQP1X05g YosCjj3AF16sEz20V4RhZskDvOXhg9A85+0pjQLWCp4Omupz3cUD11NE67cvusLqMgLM0Ux0uXY6 0rACtg0Jhv5CtpAnDJcNXCqf8XYNNcH3ywqO4Zx5TuMibWoYdzakK+SBW8gzht70D60bQFqTD5yd hnartWP4dLB4rvDMtAJYpVQPZ2dH4TJ+QPClLUuvZNKTOlG3gLvnZC3WGN1RbXnQMGtk1cHyvI0/ oKP3YNhOLdeVm2GdzpfYyLf6PZZlfbyjcJlt1FnF3OKg+mA6Cz5Lqnzw8YhacmMehbX4M/tqd78H zBAdQYFO0rCd1BJVMWahPxwRGX2aOvWOBTKqYCjNB83POWk26jGbSLkKMg+rGAWcG7i+o113ezfD 3jSo8XD11CnK+lwuzHPeL5tlH98wktv7xvPAmw95oM9OiGKmsS4VNnEwb9YwqZgGx2j1RaGBoLNn xzBmRczzDt4XRXmRQgQIekYpg33RlpR2nx2WbpFHCsM7ipjpJnL2CO5ADapjnENaxXcEPTtk/KXv iJkKbtNT0R0S/gBiaJWwd5w8tN5ijUcy1XDQHNlOnv1nREbf1GHk4LV3gAfX4sEMufeFWh5q7ziA +vllOg00x1MB21G8vIv6NiwuT7epnch0Fe+eExJPR+SJX/Eyetb9IuB3iF+WEdJ2ekDew/QxZjV6 wGCrhLMTE/40LWaIlG+iniBS2ombKQkpQBbkAA2JAmQ9fmtzzuz2opF6CDPRLIXYbFJ7E5ADwQBP oo6nI6jTLyQYpHwKucqanVBNLlG2aBU/l826d5y3mYZf3i7qtENABgkj0Bz04QLlCrxbpsgTucpk 4mwscMoyv/CXQa29aRDyiKWu/oTekxuLADOGMWnh6WB6fknPgCxDZTibnQiPkYhTw6/IIs9qdqfP sQznDfj0ck+FUE1u6Ty20/nxoz9h6ky7CY9xjp9RmdNXGjAh45JpQJfvatOr/km4SIIAGhQRntOc q6edQAFP/43xR/mvvCi25wXUHQbMEJ0t08s8ZV5iUuX9Do2MOfzWCgEZ4vrRY06DrZ4T15AaIE8F sP4oY12rMvXx04mgxuop902DiDCbgOXwwWrve5orbR14+u9FMEJVzv9dkdgdlpcnYRhb5EdoxBox iXQTmZWw+Y10YoeADM1ONZ3h59IqA5YKSSKxqKtl1lhbZ+RyLrB1Qx6y+PAR0clDwSKTL3II+coW usJtm09HAhBP2yaTSXcCyBsQRuFyY4VwZnNUqHLmAFu0DanJoW1kSoQ7AmNMdvKGXNjGlwsb7G1b RZEdPb+CKFf+68hZASAHKuPacTS9aVR7lySYHF2WS8SCv8VM9AQLfMNIL+0bbJDJcX5E8VMUL4Nd Bt3cJCL2FHF2gIiNw+QfVBoSsP2SxU9RxKyIEIGeD5qgc+TDlSQPSZuXJpYK1KPoNTZ/CIS3iJDN CRIV3oN7tkMHzZbO0YilzGQ91yGBakBj+HyEtfwWoIExFsTc7hz9UVSuQdaiCpExXSQD1M/GuGch UKeRaIqDZul9Sb9gfnP5K7p9rMFDLjklappvCB5UigpRiMIYFGPby0bsNiYCSZlpcoJYQkuVGRha 1nw+yCvad/CDgDjvG/zk9B45IzbEZFZvrXe11pG0q5gK+wDQUGUfkEIss0gmlfkXhyfxQne3JJlJ kaKEmkZhvtdx1d4uzqrZ3jVCZvAKy0RmLLgIM4FBk6mytHrO3MXnA9Dlrzuee2dcAmBOUb15EK9P S0XqWrZpl9i55K2BnOnjpJ0WWvvxizf5bbUvv63UAKs2Rd21To7HullqVNSdTFkvcG7JbjVFETSr +rNQrk4Dj+oTQmytgXO68PG/ktykTDdQHOt3jqIgOpHjWtULRWWSGqmwzMQNocoY9EHtNIqqzotZ OXE2Ntgy2pMmL5nSqDunLfFPlDpO5FKQ/d4cg/1gfkjQs6BKWNuEanBggnoCiC6W7YaXQApBGuWa b2E8yx0eU+lOUVh57pTrIvwUapOd8u84sRHsgju5KU7ftNPi0rPayFKHqFcd4pz7I63ZiE7N0F9J JA0Wug9Dlm5Sp1DlMd73+JAohyc0TEZcO1uud9ZmkW41HEX4+PsU1S/BOaxa4Krrs4jC4rMAqlN8 gYpeubL41ItQCWDFFjN+TlE0LXR8b5KHb/Vx6zi3a6eCJYEsqKMjLMgQGaoExeNSNSXHMe1d/0ge yDQVmM7IPFdbE83/hNt6x4gu6TERUUH4IWD/4DMUSmgA7IduqWVj1KbgUVT7Jfond6BPIVxjmtpc 98FmSN0vivqXBDsQVaALGpc0RUSPTicRykT2rkHCQbg4ED0autCU8JMpKtCXxsf/hqMTKVDabHeG wpga1QGhbon22cVFiJOB685Rne5hHQlpaHt1WWP3xxqbYKiR2Zk7oXnF/VZ/nDSJp760EMy9N8Ui zSwhEq+uQWc1ekgKHNvk48Euax450iqAHJRzD16/qRSF1uwQTjqoEsjLuwhZay8ru5qGoXs7/04k 7ShUgto87aEREOY8id5Gfn/OpGQSUBMr6Jxx+zqso6ykl/2ToiyuRR90FBXbdGAx8vGoS/hoO+Lg hIT/s4xCN0B0QLC9rE1Y2sXKj+VlD4bpnKHADTBbM2Pk3Xzw3GyeaHRCcQMbbUIbhJkr7TVxC8IG 3vCqcqjIgR0gulLsLksOioPqQgVekj9JWt859AP8rYuAE+VLJiuHhoCNCnTUbqIh4DLnRJQxh6lq EAMnQ8AIwpGS0OCaocfweJxo8GwjArlJ4x69q9lKJDa1JcuDq/N3jUiCPD2arE4cRQP50SrY4EnW +HgQWpcbFLGlQX7I4z0VmAJCd5X8t3SVd3O2FHYT3lvQaybIpevPROA606rOPtgighjCapNVaagZ lkG2XGNbC2LB1BIzYObydw2mSNKyI9swdVo3tGGJRUX9Iwe+gCif/BJ5RMVrPBlaYAx4vhoV87p3 fWbpCq1n193feBI5Hy6H1oBDavHS9+FgUwRf30ljSuLCyXDCFA3S2ZDKPhqzgw3nHHgD+j7bbOBo 4D5DW2waE7fy8ejK3zduJMEHZLN75YfugKDDQmFQyENw1qZjgbLRKdN0gGYO7AGTF0L+V6X4BI0k E5BXcE7tVcUrACS00EWFtAv3ZGj2skFTnoSL1dMdVEU7OeBSaI3ILXQILPMbwloRqO12c9jAIRAN dbrATCsVtU6Ge4PGxrR9BlcX32dhZD3FuZjbB7/zG2aI7n7QnAUyN+s6w+94dgz2pB9FVGjJgUfg NSfNwtz5jQAAg+7Pcu4GPh71f37pXHdWi67/ZmASaKYnLZmZBw7WbYtI7XpozS4XNcyhS+DiTd+q YKruj464cUOyn4pHBf3VRaxm3fRZymqSfSltTHvZKM1HKRYVFR+sEpIrFz809jefj+SuPUHjhEIM gsUdm6MotqYn+qDwBNU25rrgDgJe4DPJwJnZR+AVmF1xdlIFXS6DmqOEiCFRaBHPFclUtMJ6Bbin NO7hlV+g46Z3jS60xkqsOvTTOvQrrROHEnY3kx6PgPTfFB5K7eavoyiKrZeQ9MSTZQqyixUHX4gl +xOKrtoMhQVreb7R61zDrcnC/Yn6eSjXYyGxEEJ5s44AsOYryjvrsJeNJNMF8aC50PYDb58/0YVo PruPj0ea1xc+xRuNII/0hEUxKBpVtDTtuO4lu0VMNWsz0LPvcR3bbAvS+m+vW5AGTz7ddaHNhU63 tRMbzo5Nmr4HjrKRDm0DC/kGzPFsUFjUUMrUPht8PMo/LluFFUekiMsRZjk0DuxDlm/JEHire2jd vBud6M3FGQqdA9cwQkb2wbP8BAC8jMgzlVtYm6ww+yvsPjzIaGHMcmgdKBudSgUhDFakRyRBgYMf ZEx8PpiidIv7YsYBlfq11UL/wNXpIl276mnnk03cRi7qdBpKrrOaQwNBszlJbftwa45QrCOnAgoZ UNhi+6NW9Rd/pL3PYtG67xpdadQFX7v7wJ0GrJcc2HOZfDxCudavSx/pS0nlXmmxh+CkU1Qx6aiZ KSJVTrDBQEumKFBt0mkUuAi6VkCTDVz7soE7F3G3+Pxk+rBs38Sb0bUBcgiTxsSDzcXqLxvV08jz blSY3zdTTidCMV+U82vw+SB2LN9NosaS+ZcpeVS5xpZGp8QJzWW70jPso3B073OTDJ+iWOpZGkkU kRhuzYFaEbx0IMHB2BGyxqwoUXrlnOZNTTQ36az2rkH7nlPUt8yHxBPE+y0QY7jTst4xalA/wBh0 YOlxcY/r0EoQnn4Ob6hUibQuY3Xf9nPl00qw68/8ifM9kuzg0mMHt7CjKrVJgLCCcydSFNknz8I6 8O1QU4Esx2aC8ifoO/mgPH+vtOStkzIfD/bZ2P+69Eu+Wjf5z3aCN3UFwdkBIPxdZJIyvKAW+glK H2okGVelx7jqBFVnRTUa5sF/fIpLVpmo4YvJfKg98oc5NBSUGFCjtLUGpR8FlnYMrpceD9ZQ7V9r CDQdkGXvDEXBNW6+84LJwWfVJDRnl6oUYnSp1OhGC2XsiOkETN8HLxbBZrjTn+680DnGl8KCJIrh OYmm6wFt4l5z6CnIpPycQFKnbRadrtTBf+UaWoOPR5WQbzn+TAf5+ayhKLQGuAwSuxcG4kY7vfZs MJDl6r05sBV0i6a+DV3+hbrPioIpuEqyLVsflTrtkARrySeISTM/I5ggE4fkncZBzXyyQvD1Cg/q KLIuq37FRKgRUfzN5icWfyZDrHc7hYYr1E7C3ggEyfOeQqFXimTVJzkbHLxQdI6kEzWy8ZHQ1G+O NCL59udpDI3lbxpcZDp8JgWlOGh6dlLp7mQzk49H7cX8lZqxdA6HP5+fKKhONGraeDed0kbfcKMm YEF6dRf3wFoQ64ffeszpgzfymWyQ6XXGk+UpuCz0KIe1FeguKPuxSd3tXYOQkRqIJ42ZPuiUroNw wh+oHPDxv1djGXSCkXVXUOguCMk90GUEe62TtSyeQTNPU6nNKxlxI8f+gtSnHS1tHzxgTGASsYJ6 nhvoeJICA6dTsjFnflrU3d71j/DypeR1Xe15P/NaGpOP/625qNDwfL16EcI5dBiEgWVtPTdvLl4B im2n9C6QeNQaCiwGAWVhiZGCURo87RilnB2cKNq2QZqWdQHF5FmEQDNQ9xg66nrXoIlvAh3/rjSe 4F/q+i3rHSOo5/6KqEnVmlc8Kcc2g41wme0wYTe0mmkaJ3NXkm+1hEIQtVVYVSQaT5Fo0Myti96y JziftE2BO2gjX41oc8NcDX/ZYJsR7TlJAtOgiBosRkkJnAXK5/+m/Kf24pCpls9RzD0kZK+5bmSt ai9CIcixIGl3n6MoogaUlRW04oNts3NHDkDVicPvCIYQDZyjj1i9c4GiLm6dMzKy+CHBPqN/AVre PmiKEJIypD73ZufzUfJ62x7soQF3XK+0Zo4NBydL6gYyh7ektfHrqNp9MFg2SfEcOA5eTXGBFjnY OgKfaWQjAp1Etg3e9mlRmZXJSHl4UnRGy4HtoKu9dGVmt4e5equJbfGa9uDjUfL6HVSzDr8eLEjg PHjCBEDdzqXs+po8JACXGTS1R3pPZz/BZULrQeQAVGfLPvhWg2/hJN0Y5YazgMhXSDS3AcyUsg/S cZt2XEc0RKbTK6GNZQPT+3NKFyNAowQSmA8ia/mW2Dx/Cyh3n58opKaxVydRnzREmqDwKGq24aBe e4+iCAnSNDFF0U65uGrY7a0TtAi5d5ZMXwy6C+RbzuZgIeMqcamKFnoPZlLt1mx3EJwI1y3Tss6D KERWX9LC4O5EueHB7QXug6IR1ZbdEaOqcwadNFXWKOY2vM4Y2w+y7NHV+uDgK+hkI1Bby6YEmJVz nBOKLff1A9TrBeYve9dgjxFG1HRkc9BBhPqKxLNPEMjng022vmMi0sTXZfrm0IAQd14Vj5s3PmWY ztYaG2QvyuIU0JNUQ4sdCLcKjWq/5qf9mqDH0rd6+OCjL+qVyZUH6WttT6GxN3vX4Mbf8hOmjjYH HkMF1SweQwUd6tCBcORvZCP4XBAOesLGEGSdGPmjSvMPzbO7NMtmI2GZRbRE4JrO6jiyNjeZ5IM3 PQpYykVn9TnwTnDIxtDAFUYRu5ovzpqmW/yU4CRiXj9JMNSgQmOXTT3O6snHA/jn/BU4Nkml+By1 sF4Nrlpt3e2Fi0u2DrcUWSf7Mf9c/QSvf6ZR0DZRFHHKllQFkHwOz7NKt9SnzpUmHftGij3VFm/2 SiDSR1/h/awmfaFLSaEXq+if04yq3yx1fZR+vadn5StwLGos3vQsNCOcFLVdsxmPYedlUBCq7f2T gRwcjnMI3QgRFSPpkF6Fk3LR80DViSBYCAOWtTfr2Y12uJCtqhS1lRKMgqLAjjDbDA05wHNQiQi3 mcQQW+HjwW127Yu40TBD7KLYDEWhNcocYOBZgt/S8gRWiRrYrcstqnPgSIiwUZIVO/vgFaJ+tu6m SisSWdRMucIXopuKWmq/YSODV35IBHOgxQHpc2dg6QaLaJ3zVGaoqSw+/1/0AdWXys82iyLrSoG+ aTnH+ScHog9WhiVtCzN63WixL6H6QklVtHSraG2CsZZ53Z7j+BzIBDWiFNuQm+WfdsWWRt72rkHy wd7iklOwORdhm52gzSTrx+bjkdzSL1NLCpDsW6sOnAlxgoCoSEaj6EJYfUKCQEKKmDSS0XlWh9aE JvAm+RMOvohODJHWMpLrOYrkjUCKc2Gfjbon+XsRxWG1DOBn9YHb7JwMi5g7EJj5eLSG6q+jmm7H NygK3QlJvKgUC6duTp6ewRInzVr13t4SCuwJhSeBgptOmXy7ZigIob3YzM0I8oc4MTZbQkh4qCBr 24yuBzk0KGyWwI7qg8JGkIqlnJMqHw8qsb+84Nn8AmTQZygMrCn3RjdtaQA3yZ+cNN0E8qDK54F1 YFGoO5FaZtMHn6Ez02Xx5iiwqq5qmuVNO4qT564vWSpRGQKPQlfYrjLF4qDUjCGJlsXi41Ep9pex LkBBPV/drhzaFNJA2liJ1Jvt5ntevAm7F2NC3WYRDkS+nmPJzHHNe5vhbEY1OZtGuzSXG5nyBYWB tq+Q66TzcA6cCmXZxtVTfFA5HwRCHiNzbT4eIWV8ioRrBKn0Cll8XgOibMbVVYpdTD2S6K/n7MvD ayDeEXoNhzKgRIgYJ+VyNWh6RkWZoJK2D285Bo0/psNNI28m4/NLT/E1GMrgpLANtCRYupwQfLK9 zhPkXI94+GVqzvtto+Vlo0vjd4bYaFY2GE0NImn1MP+hyUduRoOhKiTWjii8XDvB1Oj4eYkUCyRW 15YQ54mQciLRvjJ7w72wKARavrDnr1ODLse8XVYNKjB2UxQ5Qe6JpF+nBgFCsamRSwJ03pfPzGsE dB4CQ7YuqvlhV51YL2tXUZAd19jJB1XyOGfzawCUvVk/5QrLwVYN+g6l0EOmIKauXRaYiZA0BNSs vqrggV//8xr+ZDimsM5BYpgGrZqaqwpCfbfPa+yTH9GlrMor1XVpCoGpeY18sGiwPxuTNEp7yCYD FnzT/jsQJc2P+TXsyZkA3DMl1P7X4KWOk1X1s9KF9OhgipCAhryrAJXZ2Doo7mOEj3ifGZ51EKtn VRq3FFuGqyBNxadBOffzGvTkR+stG4QGW6HbzLxGPLlQhKwC5qSwuWybjwHjBuvOTw+bX+MdbCdC y6e6YvOrKwaNwDM9RJjjmEGZlemrrFEBOUjulYH6EN4zOGmySTIUH3iXr5OXMGJu/UTMr7FOFnbg mRjAt0q6B81rpJOBvketvuRqBbJUrPxz7l5TJs2ES9PxM5iZ2c0GIvngV1RLZ38WWlqehd/XlKIH uK8VXw/4dV1RLJnhPd9nRnlWT5Sr58DddP5IEiCq9P15jXG4ZPygEQsB1RPKGHBqXkMcnNzAiGzm 0/9QHGGZO8+AvHYmM7oypKQLRDQ3DP6m2Jrzi605RumF3gnEvJyvIWdHFCZJkcLZ6lEypWBe4xvs J5o7pkX5fQ6cG+ZcxE2tPD6v0U1+NKh0PBFLWZAncWpeQ5tsupIkmnHVlFoNBtwcy7EGDOulZxud wV22RXSWmX5AspCBpiB5yMCylJ1EdWXtmb9CfWDScMj5vIY12eoQIEEPH9QhHGlLigMl59eghndE +j6DUb/opCJQTi6YGZJJ6hgXB9SadeCTMVzWFqcVym7BGcwjsU3lDfPJG8533+CDU12pnuNsCVGV gfJEXx5A7q9SIcW5gqlZ4tAn9lA5qAY2SxXfi4Kb0fVkHR0dNbieTLofU/NaI+QypTbfNGn68wES 9z3X0zJT88SaAYUYwpiG1/Gud7CLO80Nc1Lan0I4K6v8g44PBfF/Mj/frNLoGvJaHmS8xzJz2Xfg flqYXBW/oMsV7KdtgoBZhjx8C2wXi/eiWHhICjRbcXAVI2TOMQyZcMIaAL2NSvfnO6qy7T7rV9u9 rJMqb0Giz4Yd8lQDWwCfNk0AxmQq7FWDTTUMg5h94Mo5ObKgP2fC+HSwq2b+vr4ROOZ7FL+TxLKa PwC2WNRnWqQZJXjkReA8FyqCi5kRzc4Uu2dOH7xbOtY4YVkiz/BMdEpE3QMMBJwF4uSZvI/Tq2gH 4WnMtkJC+UMDV88uiLl5xGbgxaPjeH9f4rMw9ao+Pe9BMcQXkBVDeoinzllv6r1PUWRBXGm5FMf9 BNNDyWdgEe7gi+cEIDigu7DikNeTAAybgecEhgSCm2JodqKouEudYpHKwUGLB6qZuoBQ8XoPi4Hf 8c2lZjveYt3FE8XFXNaNFwx77cMEzOTaJU+MmZyDGQXGnbrYc9Q73DwcynIoH+O6Ojf5lk8hhBiw kui+7sBDXuTvzLAsRgNk4Fj06qV79+ZE8kPp1EJjIgpzZvqaHbiHnx/KT+V3XliWDsDJ9bwBOCXv BjeelCUSCKMPB/y8B8fZoL1IEXzwc7kAtgp9KxTee8pV/S/iGEmngajc0M6a9qZ/OnhmIpF3ujk4 pdDk+LHQYo/CY8GS78EDZG9hSq/piQJkKPTWQeKfOltmKXcuBmaeYPMA3acO+3uELOAiEk3tsN5v lQJiFKNQ/7XAg36XIlBZY/aIY38/UeDWm75PD3ll55LCGa+BwQ4armr9pVY/74QwJAIm1epR2DlL GpnAmp4wSIZIc89XErAXw4tB41Orp2XXan3ng2UXvUNV1wffW+Ucl6l3E4mui7EGlKeWrJwKLENU qZiMd97ZYMx0yCWs3Fur2t7aJ3WtvNVPoLU/71wwrJ5+ry3RePBFcvbpiQJlKnPBLdB6ftOk2E+A OKy7vhHr6+iJImVptU7SSzTY9MzawEulPSwSrJF4q1PnvQCXOGhtYF5OS2/6Pj0SMzkLcfqgo8c9 1E92Mj/vRLAsyqMdPVwpQ9enT897tCytoXpS0CafvaapSDBzovl7QWd9e2c9CpdH1YVVtw8+PQmM b2qQgLR7bmABOAg+YtJar8R4ZyLxzgLDD8np6QJlctD0rKUCae3Qeoni5f0rXmapHgxEm50oYEZ1 sU5pQ5MVbH6E52YYyzpZCQUZnT1hyEyxxJUZ0nC4ta7JbIKsiwmjA/4rDRNGp/mJ7WBCL+JaRiEz YnlAohHoauDZ09saw+T71+edAJYff1hND8RzMp1eVAiMQmb8mOdVXeWlSlqBLntGcj6p1vZCYBgx yzW38Fbi4LnW2qiu485RTJhFaZqA8MLHr0K52DkpRW8anMxcb5vGOhp0cUGmh4vnpOqfd+4Xg4Ly NTsyxp6+eN6JXwgkZQQ2lp08vSwreQ3rHq9VXJrjnffFJciSzhI5bl1yXEehYbCxB60p+NGxP1HJ iYP6NCxuLjmu6E2DdEvsQRp8alBIWEthAQyadJ931teve8vLgVmKaZydqIoMOi2aA8vI8KkaoWkK brjQQNumEPRO+WLFjUSLpW76ukq2baMICD9nIlR7mVQ+Q5ev0OcDjIf9lDCmXjWKehAxNymNcxDQ AEwHXuu5ts874SubYw1nQ5DvYkgHm58wZB60xujJmuhStd0nHZXVCqkoKdnJ/E74wqdzZl6KXxVY m4YWO9LRBB6PLi6sEghFnGOqOFils0f8TvjCh/w/Y9+ZJE2OHPu/ztJGgxb3v9iDu0cgq99m9De0 NWK4nOzKQkGEcEFc8h6ULdnDZEuAEd7mdpX5dFQbXF/rB79+JpxI8xMFzchEAdo1yyIYwljZdJkB jQh52l1hRVmZ1qAgIAevDS5EzExtyGXqRThftAXQy/qZ+549g022d7IXd1cWnPAOqvOM0mQ5tFv5 vHO9sqFcnpoyySw36nmnemH1AELT2024pKNArV/wgZlwkVTH2YmqygJgzEmtAA5+MlcIKZkqEOrk JHI1ak9gN8j8wb2pl940iHrUPO/tDpqdVZeS9T3X553nlc2kT1GPWsPL5Vo5Pe8xs9vDCrDDQpj5 go1NLWYWwkg85PS8x8w4mnljLYXOazwy2jhFAZBndw+E7q2jGZhULPedbqlHUc87y8vaZEBaojCi wUDNXYddOTfY553khe+Zvzs1RPyz9aPZCUNmYrC6CbhUsrGUj1LYSoKkzZs17xwv/LK8j9aiNgIH i3pOtpWh2KLpWSOrTTM5YdSTKhedQhO7zzvHi9NDDBiTQw1CNDfR0aiU8XmneLGm9X1z4ZfIVDTV 9IQFZjT1O3l3InSvbLoAi2VlELobS++YnfeQ+Vwqe74unvMTn+/B+ujZWw04wWEJF81ruXAfANjQ mwYhM06eBSluHxQTnjUjcBPkpN4ZXgQHpO/ZwQqU8zJnJwqZxYIlkIoSm0Qucmt131o9eb71zu9i zs9Fs4ls4uDZejpRYaWtb0Hk3cwnAsFVXmdWZDujc7nYmwZrh1LIu8i/uxj/52zczF/0B5YAn3d2 V5aLw1Pqochjvq3zd3IXYiWUWRrrnoQ1CWZBG8LVrUGc3Q7sndp1q6ir7Tv47LRzXFqZEHTF0VXq 6awUI08BocO1W4reNGieQz70nOwUPBiOTjqJ7i46l8+nfd6ZXfmRQrZolW61zXfWO7GLKR4YFETG EnPBMwOlDEA5RTcZpVoh7J3XlaXgDXwFBdv2Y50LEdIz9eSDYiWfE46VxIX6m5xzM+lD9EqretFg ctQe1tIZvnTOpnIzsH6C1ndSF75mGl+TA93TTM67JicKmBst18mIwtIxy9OJONSqhCf0BkGHO+ud 00W0jn3n4YOjdaCk1RgygWhyVrRqy4sKDjimyN4WugBL7vPO6MpmjHIOmTp90NqBnpzo7Z1v+K9T WW1l4rz8Sn+nc2F6qBa5crWIh3b2PHeKRzylunz/O5vrrp0lPyIOfmftDrHIuWUbcmLFLIo3InB1 N8sVHKWExDuZ6xZR1+aeBEP1p0qgF+cijlU0Kj/vXK7/qWRUhk/bj+V3JleW9uK5ANp02PK01t+J JJLjL9wa453IhZ+Gor7nmi0+2PRAkR6CrlsECki/cgZhXgVJ8VvF6MxE3zlc2bVY4fnogzIJIDzY nOhzft4pXIyVb7gj25D1Q/VkTc17rIx7oNHUszpoJynIAY/doKYZvu+amqi+nPSFCy2pV7mW1CMD wICbXEjTBYlI3OeY9wLEyPxqbFF9/Z2/hVuRx05jqUeD9tX5bNlzjl4/7/QtLIGcvo4d2szuWyB8 52/hM1FxXNSiJtqrDsNQziYxDaK9ppXf3/lb2SmAU6YXHLzxB+I34WKC7lDwFQAVupUww3h0/Yh2 /bzzt7LLjW1oEtigtvE51qb5htTPO30ri7TwhTYgIvzGO+/sLWZaFESwnLMWmegCiIG3IRBDTQfe WXF9WZ65QrxvlsYnT+WKchWRZ2d3LTiDSWoMACeSFBOFtJSmkyH5Tt5CkW9QA7qJkgx4IMsBCzzX yRjvbLTPO3fLo8l7LhPg1y5O5Z26hXMZ5ahKmCZTrbZMxXeusoxzc9sT78yt271ZjcUwDjcThTcs 4OxYPqunLUwcfhDM3c/APWMivsXe9K8yz1LEg2vrh5s4JyKD8J3HzHw+2F+/Gse6GG5f/Z23ZS3D 2pRDEJ0xFOmM0bLAuFTBsvmJKsxTPdE1sw8+PxBBqFX0yDoBJ6ZIEtsUwH4tpKK2fFBs+bxztvBL Un+tdar7cODpcw5dzU45//R5p2zhDfOvOgZyw1S8yvPO2MJnLkoglFuAn+oCnhwm60TKiYx4stre GVu81gnnYaVegwMHcclMepNBOAseqNJgI6u3grNyZer6tld9nx5iaSE3weUjFdVE8q+YK/1M/+ed r5UfC9RM/DZ9u+UuzM8MQRlMBzfVw1jmwc1AWwz8WJVFVDAQG2qE72wtYrSsCDZ88CIYlCaqpRNn NmBpydnpVKlZKjEboAcR+uedrGUARWRZOpqLHc0b7TLGLCiUf965WlZK+EIdIOnfz+IJI2YSN9m3 Z2c0ddNb3dvEsXEvW4n5namVpQkEiMVoPngB/iS3oG7x5oK9OVWLG/XmK47mTaaW9Ptw/3zeiVr4 9bmnVubsLPcRPftqC0uILsLnnaaVHyc5a0+g1VGXlwjfWVpZBm21NYY4FM1vLtGfTFlDlHsePO8c rWdnTVZHVVxU2IM+wm6VvHVEP1X0ifOBmXXUH8q7m1wvCkyfd44Wq3WbYAzJipXq4oZoM+BYhXbc 552ihcbYvLOjgBnyj9vP5XeKlhXe6ixjWRGseFsdd4vqy5sShJyesL7MVQPWpg8e9ixoZALOfnLR RfgTCThUbMWdRcFnJaMACvIzIpi7DGLL8oHnchlyYYHaw/y887OyWcY/aDmImpaU7uqJCsyb/rUo PdC0qEv8GgdzlmzomR6GxDx5wqCZxZuVWAvjYKvnZKbQBlJzK03YfXKBkbRJe7hr0rgJ6HknZ3F2 lhqi2QcBUIHoFnRyj887N8vSpi+CBErBXNKanBCSAeHOnrrVwBq7IJQWKWaCtSvFtgh2D0Nm1U8H sUwcbpXnnGRkedEN7KS1jAnHwp9Ea6mU4ltLd/o7McthpCdU3tMHm51SVV4+odXnnZdFSMZ313ij w7ZuIeOdlUUYkI57B+hO89Ed4oEToFuHZervpKynpz7YwFnjgcqtk4v2BLn+DEQ7BETZxSi4Tnku zufc6XrRoIyRpUhDCvbMRsGeG5AMBiwnrv+8M7IcE67JkbwoDrHsdYwWxcvwkoFaqyfqMibqOJZ5 QBe4/y4TN2ohfpkUiaXDloNXeTZ6rk2u5ucPpb1NHwpt20n8+gOVS3rT4Eonu1gdaA34jHPdrmRw k1XxdBAP9l9lDByEdXr9tIXRsqyzk0HlVnPv3DZTtiIYzM9seqLycioC6fbtg5cIVwE1pYsSsCHb SqsnRqDQQ13tHjwItviqwa21hQJL2Qd1jSGTTCThTnw6aKrfcFnQqMTytgeEPYqXOzEHLHwTc0BJ DaKder/yas3k+fp7uKwKHOo7bK5z8N4EUtGS1RWFWk8rxvCHL1Du35YpLNjgM6KuKOPktbIPqmWM RMQE6CQVT0cg3fpr9SBCXZ6L9ihcRi/1zD4d0nDytGLUAFm30+hiLDO66BEiQ3JYqzBT4GCzUyg3 N6V/OVrPW8RRqqriLpvr1sEGQbo9KjCLKTtlUD1dvO3suDm6wuWTTPQoXP7NQwKXlLRyTU5cX6bG SrfWRCtrOncN1V66E2xXmO1Redl8l8Xu43AzrZOq90r/0xN/nTx9yvbrnM6NIti590uWJZqnR+Hy lMNFocRKRcuWUfImV6gxUW8FjwfciQcq98NFKCSBT1BM7stEZIiDBPx+FRhsQGDWhPkqNiBCwh5F zETTtSH3CnELdPYknOuJvxSoJaVmhkVApyL+xPlMDd756Kf2KGQuRKImBlAadPhA/KQrkil4Ojib k1d68O9S+6zckLC/R8yo0YDix9n8P9buiM7ttJFhrxh4p3NPMxntMSIjKUefPnhIeAK1BD0MLqCT GW3OYIWQfwUSrc+r+gAFSX5G1DWmpMGQNRoQCIPL58wNERX9nPB4Opid8d2+YZebKhKanShghmYv HKm7VZkzy+4QLaymlY5kz+A8PeT5KQvdcl/ej/syUkWYlDJVr7l06u2qldnAhpzrKsvScB6fEdzr JCQttmE0CA2GrEUQeNwdUcQ8loc9RB0ivuQ8a3bCKjOMGdu0fSRmEtklML8jp3gn4u44O3HEzPJX o8sOB99aCyBW6k4UgIHXZG8LhMgf1FLBe3r6oktvGpV5yEmSixkHFTLgIDqUqk88HYHBvqMemsXl Z3aiiDlR26kzGWext2g/ofK/5H668Ns2Hs1RxLzFTGoskHJwKNiJ2creQqEW6O6J7TdQCiQMnqIY hiRMetNgdgrXTqOIBQfOzu7WUz9pz8LT/yIWq9eDj6/34oogzPKM6V1zgqOgKxVNFEah1yCVBngs R3gM66kX9ikWyRjQjj7nzskuOlv2RKGOlinu3KhaT+sSrF11/sC6rviMP5BgKy0dzstErTcIo3IH 7m3j6Yh68x3zFBLJ0j13woh5sKldVb2AFKjdWudaKSz4bB2hnJ2ovmzH8dzLh7t2cjpLQxzaco4h lowaJPd/2NXZpTyz0/WmAfWGfqcbbiA2KN06wQJlecpJVvF0sHZups7WH0PYh1wyooBZdjptJwfK ecC8hHVqbP4ZJXJE9eUpJMZWZLivUOo4dxZUKqbUG2tfkxJ0kzTWRDfa6/HBfhU+I6gRdqmc8e7i YJiDLC2nk9pmPB2RjP1YZn0Z6QxPEc1OFDBTp6gz9pC4JeIJUG8yxXMREw7qmpF/HdaXl76zSH+P MuEAsHJs/nlwB3WXU6enwbXihB+9PNnW1ptGnD/m6mUlH2zxTMaxbMXg6aC+vH9BmJm5dU/VRwhh JqtsUa0ax8BIokSe8JSaqBOIDAZxnJ6owJyVbZF13iifDwFJ9v4g20hOWUnovBZNJAjtyOR/8B+7 0s/fGPiIAGTZBdDV1uIByUuroRqAgOf8D57+L+QA5lkUNtPshIAMFFBpHaF8ouXtAN3lAF0Yj7OA OqJoWQfPTqTT7sTMmZMDT8RzGIuijsCqbaFUqWudSYNxDOF52YGPiPp+sjiT6WJ308UGHz5+uZNN 4Ong3GnffS1i9Uhw0uREsTKVyMt299fCSi1l9JdRSNecjuUZUazMvmA7z1YfLisJ3tPACgG9nAqF SCgihwAJOVjqXwDdqleNzh21RTU9/L9YKC5p0PvwB0KaeDyqEf7q+/H0qvdYjqJl1PPgxzqVa9Vt wnEw7SSJFibPHi2PKFpedvDo1urPrdXOXA2KWOFYPquqSOEbL1sAyGIFVQcPBVHwGUEumkxuePgg gC7aZIwhTkqCp6OD539oSf2ZnRC+vJ2MxDt9UcFbmWhzgb1CoACnJ8Ivl63Kch4++K11vhRsl6RO tBEwy4cAa33QDPhqDnfCu0eojIGTZ2Ff+aBzuXZpo7XdB54OQp5fMMIC1YXRvcwzonCZMjhgy6lp XIRrSkhEkwC6a457a0XhMhI2tkNtwOLJ2lyD6hXaXDBZrlKXg+oXENeYJify47DEZ0Rs4878fE8f BNAFWNKsJTeejsLl761F2VvKs2p2wnCZynrLoE3o+6mEehIY0z4gU97O5b/D5bFlULEfKZ5zqw8E VMsS0fNGWYADfMci9wKfnk2Y5QjjZQq7DtwSVPjE+cjg7vx+lSWfcc4gPB9k6vV7gsiG3JfVNsKI mXa4k6ZBm/XCZcykZhWfky2iTYZsa4Ql5qzKqMo87bGgxKVeaWeFyTmJ22bKUUh2mooU21Wszvaq UWeUjtxdyWj3ZPRkcOJ/n8gy4+lgen4BegrFa6qXeWZYYm7kBBTuHxTEmLTDcpq6bpT/Qtp0MorO PxNd7ILyGLAnX8QK3ND2ZLwK9aSZ1b/phNfsc5zQxNTwYBQ8nyEkgwXCtaShu1xD90TlwoOdn7bh 6ahD8Y1/X93g9zY9UchMIn3dyaYH7uqCZEz8wI1GXRCZahTmCVl/7MrM/Qx3ds7MVLnggsEDSBir YOjHovfXy5UcZMsQnxFlozyTpV2Us+mMrQGMNOOWNvn0vw4fhmSI2OkHodmJQmaQ4WAjYFWwTr0S VsGmGTGcOJha52dvzZD1J+LN1OzMZ3bQmzuRvlh/EJygaxzkhVGkOxdCW1eBhs4z+IyoxswK/Jrb h6S88qxVnc198vF/lTI4r0Qd0OVd8xMFzVniRcs7x+12jh/eFvI2zU9YYqalyf+21TvMaQsEgelV DniP6okU2hEE/tbgB7YLPiOiYyeiMagtzIFHTz2Ju/Sp2yp4OuirX80rtfoE2fdixozCZvDUz4VV k2HgaUdNgoCWD0AZ0tfr/Cvv0yMBkVXYu+JwixknOdqtNSllwEuAyrr4bwraKAB3eZlw2ZsGm4sO 08P4SWtcq4Uui9eG9taMguZ2G+v4d2GaWsYll8ywxDyxuPO0QthQ0AzbW5bKsbkAKVKpZ0aYjL5U JjQVwvz0JwpEiwi+KhDvBrX8x0h/BXAsdMU95ZpbbxoczJ3aGlS30MCjZ0NDmw6k5x/w9L8KYZwd VvEvJXJGoIxBvBNL5txay1BOUDpQG3Ajz7CtFZWYF3uik+e7hufoOQkj5XFQCIMEM68tyA2SP9sf yIrETmcUM6dh/hzTB4EyKMCBrXXyXjwdJVy/Ugr8zuPCvGfI+WPQM9Dxl5VrnhYVdhMJW5ARMT25 UFBOsINOMWEOX331k5HqYO5nLddhGvpkYpefVZzTRtonPiKi3vDAIfxPA5fOOc3Uxj83IZ+O0E7f 3BIqyslbkpMTYTIY0DexkfhPo5vESt7K2zfMZWxyopB5EPuOm9YHD5l52E4J9MBAw3S90S6G0OcP Omne2WIZbEYRcxMRsouY1J0hAHiWdApOZoGng6VTf5XBkHz1y+SfMeOPJcxsh/KcSXnpnMWgl+ee Sd0YAjMUyVABflJDnYOfO+cwaMBr0b31ZI703mjitlEBho2//RXyhLpyIkRSK0WDdlZzz8Szw/B0 EBC2XzhLFLrXLcCvKF7GHVUrtbzoXZIJdzoBYYe7uUQykNTy3FkRJGNIHSOrfIzBA8INS0mDZBTQ KYaZdjVEHBQkvFc64g58RpCrJ6LAhurwYzmNfxTRsqFLgqf/JUDDCjP8jHr2O2tF4TKcDOFmm60t StgZC2HTwBnnl99Wf18hJKNb7a/74IWefdY+esWsCUL8iorMHWCwAtYA8gw7lRnj4TMi9gRFr2iG rkHh8mCvI1FVAk//61RmHYEYwhvvrChcJpCQtgamDdtdT1gG26SLJjt4VojJYOOPqCwOvGFZYC5Y 8Fs550wAlpGa1JkZsKU+bv29r5+GzwgqzEQQnpMr+aCddQ7lrNmBmGUcLf8SzlW+5oCMFQXLnfhq +WoSaV3kjgzdtm0SGRmSf9paIYSZRdEpzTgODn9f0DKa1hc9+UOSrBz4ogUeI+XpGiNq4qv+xWvb BBdpEB7jpD3qqee88fS/Dp4iKkAjnddLPSsKl6HqWSvBNTSNprg8FWiYnvBS7+frMSBcMelPuaAE u9MD6cGWOatYeKcNEw5mWxUwKwWE/Yo78fLEZwSFsMmJoXGKBjW3Us/i8Z9li6eDzTW+9XkKiiKg 39jsROEypFHAMLOjh3Aiid/LABju9bsb4GmFGhlSXl4q96xbRD1nH26xTihhOYnDOYzNUbvBrg/9 v2d3DZ09ESSDoKC5qLmmgdNzfsXKBQFnJjwdQTJ+XevAdbTpLYoVgphJwNv9Gre5LRlQzIYHk/Zy 4l8JIkJTViEQVRY5trl2OUEBlQShILJg2fZD/Rn6Dg0j8g+BDuxNo9nBdqidgJXaqZBIzW7w/pAh nF8aTwezk/PXvcVGyVNFXSHtL7F/Asw9XVrZ5kCu1Riw8exJbMxQZjgKmIcZBIi+9ctLm2ZbBMCf VH0VYVsqvduQutT9GCOyBL+iiFlIy0l1dA28uCocSIWAzx1PB0fz/lbnAdKyIEez6QlZf2hN9tW3 oQ62aTpNYGaEOui0POTiiYvM8s9m43g8Lr/nyY3TeEp178TOyuVh1oOuPy+3BypHI+0VwZgJTgPd 7w4C9JzQbpnGacXTQV99fIt307Mn3TLPikl//PG6l8G6K6wkHvA4eqg3r6jnH0rMRaLv5eHUwisJ Tq+dpFHoWHCZJfyWDaXoXe/FxdIEPuOP/g2OnOaD6hjwk+Le2JlPR4vn++RBEazS54Szs6OIeZC7 WZZLNsKsQ1T+XbMVwehFSZnqqMBsgp//S4lMOHaeTH1Vl3YC9IL00X7PZRkg7yhibhQjbKQTaVCR MOEOkY/d4OMRu8SPHtPl1BL0+XmPmU1reNQ+nNe2DARfeTkTlSHMBuYnQmXIEmpa27xdGPPZZucq TwQtEwwGeBhPZmwnyG6C9eMNHMLBdghjljNf6dMHrh6gwQQjbY1vGJzM5fvoIUmTrjGanRDHTCX2 1HXMnMUzXTit72rN0coQDmfPjoHMigqVcO2bcA2ytCsbrag1Jxi0qtCjgugPuaPWOyY3aUdBM11F VhmUy+CghCuPLqQkop79b9ofIc+4SC/rb4esPzppjtVs7bTudR551gOwVbedPDtEZUgef0jNm+RG q/Oc/KLL5o2ye0trp9FVsp+rp9NIczxVsB2FzLso2CH+kIM6xzANZEjX08bTkSjhbf0R5I0ydb9B zw4xzCTUpmE2JVU6RoiYadhOmYw+DZexQwzzVDqq6Zl3ejq8Ieq5udhY70C1yBGJoSE6mvixzUGz J71olFDQPICZrQblW2PJswQiong6UqDxfEsAF5QKnp0VisoNApe3NyeSU/nPZih2Lpd7LoegDGmG F6EOyoM6wM2UUpZAfgc4bGhj4QEq1M8vn9qkNw1CHqExENvbYEVCeargJ154OlJN+2YbE9DI6ECz E4EyQLqoZ72bVzbwOzqVZ8/6p4xKt3FvdigqJzt60vg0XMwKkAayVzgHM5r0OJoaxSzBWJJ/QJf9 atOr/sWJTEnog2T6Uau5BPy5uwqejkoZ323jjOgNXHCbnjBgpvvkNpWr80+jOOKpLtPJGK7Ps2NQ hsrvcizZ17EE+dWG0m2S4yHaLCxlQMKlYJHh8NHiKTJF2xEoY8hWoYsa2S3kOZFzkZn8Cf0Hng7K YOMpEhKuAkFCL7/vsMKcWHQ3qwDYW6hNAVdRO3c2Ny2yiR3HyxLdmcsHL6G2hUIhHbRgQNUz1VCJ 3SQpkRQa0Wn31IsG545E02STxIFLBygqYkDOxuLTEbHEOzdUYCJ/Gi19m50oXKbeQGVlhqfy9lMZ +E4dPIk0RK6dCJEh26iVavXhtv1oQDsIqIZgMWlOjQI7BWEWUFrXmaPpTSONDLb9KuNCDkb6W1Ph 8jnW8HSwdva3Qk8mzfmqpuUUxcsAFkAgVnSbCnAVEan41E2Ubga2KJlKdQpVMig+zVzNBk0QRQ7O gbwY8pQTGi7+KwkRYYPU0a5Xz5JXET/kfYYIATp7SWIrKCAQ+rEz+ivEop7cgs9HOO9b68F6Q/ml smNrkxQVmmXNBjmoykKzXKUWvJ8zcBnQByPwhoaiKYyapdDT0x1sEXVQpYdQYQX6nFI6RzaGywDX B8o9xm8b2142Em7cL/1RtOvP9PKEPkuWzweJRctfkTOlKvd45ig0MdkE6nYpp0HZ0rN2Dxg3hS1k JZBCQLMKqk7ZnzcvBfKz5S7FjFVhTUZd1CSlbODKbyeHiFh+yF/4g92WxIyoOWt5u6rPgIry+QB5 mb9DILQ288M1ySmqOYMyXkV2lrzlsn7F5IFCvsAu202CQo8/4k1HJ76Ag7eREzho3B0FVtBD/2Zh F4dePSVd+NyS7WqKQmiZmbRU7mD3fFpi55/jhY9HACjPvzp36AD2O90pimJoCVIBkV4Avxy0JoVz Jl1XURrbqhRrikI/E8c+/X8JGExX88hMlglnmYsQTa6ihh7A7jfH2G3ZuwZtC+Ez0Ma1wRk5XcIr G6YCKcRp5PV92UPStqFY6VMUlp6r6j+Fxkmg5ySd2A1A5AozpYUEvGmjxbVnxtCJcTKHi/OpZW6Y tCFHLRAuFEoMxw8KrZNqhSqP8cLHh/wBojtZXZs+cBGtIkEk1B82H3+foprn1xRVEsW/zLjCaDrJ C9GkQM82N3WaLMN13DllG1Aspyiali8iEU823EU0Ehi2oiPPScAtpY0APGdTsF09xzHtXf8UdKQv ngZj5kxVEM9PoXeMyvMXsKHgGwIe81lEoY5GTt9YsSpTJXD9d27O9QcISvssLEEbhDfdwetkAw0j qgYiZB5wd/uhy/qQPWIpbo9YoMqqd434yGQtVRbKemUEC8DPiUkJvwJHgY8Hl/7w+0zdoE6vi2uN k6KwelHRNV0x4mLMk3ON1mSYH3TWbYoiNY1C+e7/5ZWeI4oSCFWwFsK28a/AQAf5/PzWQzAb3xT7 m3CKZM3as++zDg0lufO2yceDffbwUAjIxObO15Y1pyi2RigHUx/rgsHpSmnrINhIihpUIdcchcVo 1REpz6vBcg+C5ask1goRGqSrAKGAFXRir32d1hGK6WUjYVlWSMlM0KCzCP66BB0Oxo6hy8moz05D jLJRWLgBdmgNiHAMQHnXMBzbIPNLusSAzO9iRbMcWAM6SmGldAdfRgUeUTQIgOUx5OiJO6xM0E9w ANq3wVblVZEDb0A1pmgKeAeDuGzbJtD8zqE54EzfrVRU0cC1vzMURdeN7oDSdqQ7YNK8QB93mbKG mNxdfybSgyJSgXIiGjRDE24dKxOvhbriqCb7TbENyEHS9dPRdcneNaIKZopqyG6pGTRzoYqlFOsk bnw8klGtX4EjJCYLtdFthsLYOtPmd8n5BfrE2nFjkRYLXZ9cR/cZCuWbWflYtpRGv1V78Ch7baZG 14hX+KH4CIL/lCQoZujMlexdgxkSqDfTfrMTrMK4cbXBn6SjApIDj0BjtVwJAGplQ9HMZygqTSNZ rZBt1S6boxn2ebBPef47GHCu4dssjK2XgGQUxeJgU7ShGNdYdD+n9YlC4LnKKdrQEzyx26M0y041 P+SPbXauF2SeGrjNzlKcyTTpKh//Ly15qGKgj3mnKBSlm9RoqVJmwX4z19/FWFOmJw5EzIFVoLQ4 WXvdPtgMVdSIzg+W5D1wZr5ILRR1aJTyM8kXbs3Q7GUjfX0eQZOCmBxUCwEYlL2xjdAtdAssl/vF Kx9raj95fuAW6GoALSfbZ4Sx4yTSWch9RtqM9lkYWk+BNVmf5+ClNLCPKo9JmFegec4yLNxyCtw0 0aLyk4giLTnwCwTekjPUVUzr2XXS4bvErXP+Nh+PGkC/kL79d7koMAzM1BABDNFCa5g7aRFN6p1T cZYQSy2iENkhz6Wc73DjRqhhbpbTCtxpB+sBudBynPC5/qW2Me1l/4qKYGXjg1VCisPuznXJ5//L aU1Mdk7PKnqPraHgh0Ia6Yd0XyqsvcJzMtHjlvCOkkw7NAe+gajmM3Ls0hujGJ9NEm6whA4KGag9 9a0MDeBc7EjwwHXlF1YW+CFRj35IQ+sO6nbsUoVqnUmPB8uo7+8rH93q/HUWhSXryROIrq3kHk2J 9M4CzA9tUFB19hkKUdEySk5l+mAzdM6bBfR7l3P9OWK5JSEehZgehMd8tXmpFsFPiZTTTXJj+pCs 6NiknDFX5uNB/nHVE7iKiPJ4rCdD+0BoLcMKQorOJ2uZzZJYQT8mnWq8nhbbB8qz1DSe55fj9vmf M+1L7cR00lhyLTvvkzzE1fe48b5r1BPi6mlEKHIweGu5lhaDjwfpR/numVEzF/B1m6HQQbCzICFj dlZlDSY9BFNlOQ2cKM1QaCG4rC3Uug9elVUwvYQym3st6vMjkgFQCCfC49Y+/F2j1kei5I/c4GqX wDOQ7WuxxXvmPfP5YIpu8yM7iLPkJy4KfQRR8YZb27B6Gs94QM3kBoQbbQD/LYvO0EhwCBxtgLz1 WJ2BAXVmW25V5/+acmzqOAQKVSmWt87yYussh06CuSnP543GQYCPdrYcYce5TD4eEXa9AcLrHWiu 9DVDEeQDx0w9O1nkbiRqQ6f1Yl1X1ijduT05cBPEMio/b9JsHXiq2m0ZdROwPmcR0QwIrNm/Y+RY CBTgh0T1NFIrEutpHHSjnbhxEWZf62h8Poqux9ccNVbMr/RhDvwEcaNRJYmRNBX5lyDSM9HwHLzU klwMOwd+gg5tWHR61+CYs3a+SgFNHqFjRWuHqCFgc6kCwiaam3VWe9eofX9lDzmoWrRx5lYR4rLe 8V9Ye244NLv3RTfk0FEQxQWcQNkix1QtQ2sASAj7sSlz3PVnIm1RSSX14oMXZVfvCBxJ85n9zBev /D4YJxd2E26LmiJkOfYUzMR0NpERmpERJl2dWAg5ERIf/1dl36/8kvtzWkdlaxwGEM1cdlrnas2P NUx99WS53bRpc2gruFivnoSyarD77MzPgMgk1xAg9YYAaaBZUtCOFkTtEUDMoa9g1hHEBalB2cfM ll6dOICPR2voIYplXBVQBap3hkIdO+SwKkYhbgQZVh20vk1t65xEKH/ahRYq2Sm/r7IjYtxgGRpU OOZiIwiHWhUGa5A9BpeNeWX+qDrNz4hwDpVww3QHQ58tYg7OGlqDjweRdfmOrKEL811LC80FkUWi gmY4kJrKxYGQwgscCLMrraFY/lncbwFB5gWCdDTFznGNuzpDqbmK3tGp0whVsJZ8ggjW52cEE1SV l/XqA5fQoKkyvl7hQR3F1XRQeyjgKH3n9CyhsGZNeWy20Ql2oGcroSBt2jGEqrMfQ6FjimTDq/Sx 69XHHoCG1kqMX8k4UOXkMtEWghP10xkaKhOF9oKV4LO5VU/bliOfH8OQ01Bu5+ORjuY36Qe6dGdP PodQFFSD/esoNB3TFwxi0knn93fsdA4cBq+je5X5dP3S5zhLGY4jvOvPxl+jKcHHlU/dJsItrEnd 7V2DFcSUrIlR18ZF6aHdxHDo3DF8PKoS/cISTTor33AoNBmchBygFMir/ixzscbAo3TTlEK6Ew+h 2GZQCsfZ3MsfsdET7UDbmPpAJ2rpXab3I4Hfg8Ro5qdF3e1d/yyBlHIHzdA660EzNCYfD/bYDYZ0 q58VVa9GRw6NBjcJvcPEw2ujm7zw91VoYUR4XmkMnAbRO2ETIbP/zOHyE2olGkSIIkBnxH9Bn5lF iDT8IiOZmB/yBzUKmODqgyqNEwZ1yiP0jhHU81e5mk7N4zbx/3AbJFxmu3dKa9ugIKm6UEd29k8O 3Qa7yd/UO/guw4Y6ZzLhMqO3IoPzEw/XEy6OKZcHB10RZZ5Dv0FjJzRiRNfV2kmAcIntONLg8wEW 5NlnVM2RWsizjEICYqFSUL1qHcXVOvq2ScpAV9okhTE148CR5QGWxwPbQ39jofoNJD5qyzyK8uD+ 6jC3Wt46Y8+XHxJEjHIvT9RSUmzLOaqtFgJAT6je+XyUvebvoBoZR73ymjn2HUSGNAWoRmQiiwdo ZMkybZ57kV5mTDsC48FzEjJtZ2Pbhpvgn+tmL3LnM6xQK+Pu0tn/JIehPEQp+qPlwH3QRFtwShYf 1ILtCFOwMNIefDyiaX5zgQiUWl9bLYqqOacnGBuqNQ7+RMDLjEL43snvJwWfdRj9rdsxlnwp1yMG iFzgRNWVnaENNjE9DQqOP8hgQMbA1dymHdcREZEX2tlTZfpgdCDRLEDzzXz8v1Ssz66DIKHPTxRT I3av/F2FSiuuL5CcGL0bYOe2yyIoiL41smwfvP4xNv7TGRBBuC8PlWIb4aysP189rvuu0XUmJbd0 B0FBWmYmgMLl4ONB1nGraHKeQwzyIK4CD0KQOnj6TCMEoVKhKtooVkVbe7rUZo5NCOXl1PIdPLcv Y+SUJPJ7dlzuVGCgv16F7DtUYi4yf9m7BnuMQq29Sb+jubcEQI7SmoEABp//t2hZFlV8PQX90IYQ TDSAe7q0cWB4wmLR2ALGwpKn0d2g688EUbVcu3UOza9zCHTEc/qYwElGYZYyDJTnQiBd21No7M3e NYLtUb2DFTkNPIYg9i3EVUGDOvQhHPk7uc+sAz/qQTl0IkQnHJ2OxZriudYYRINOD7+ZJh0P8KoF kQ29CFUiGsZO7FdnvKGtdBJwNYbaPkEwUbQn3kaLBddjzRdovcgOyoEbIWibBKITCqJBlUZoQkl5 fk8+Hlz5dxmpFqtOt89R6EeIn0bmtsJcddPnOjmh+RmtTXE2ntWBHyFMftii1lm9nrOapo9AJJnW ycqGgqSfgzr6N30lR4AfEp3Vlr5mHwTVP4eRxAFPUsjHo/Ts+zYDyhu+U3eGwmo1LpNzXYq1AJ6l oqMBmfnzTxl+rMvT1xbhrFXRX5XoVw7OEurgES75xZ5DY0pwfIAPTfOrSmVbqcEoJmpRtVpyDHOT nshBCeyJ0KXQVlv5KOR5vc2uLrIoZqjJzHsUhbaE4FCfA8j8eE78tR0JgmxGNCpcVraG/qHqwfKF Bo8ay5plGY/q7Cjr80NvBh5LU4owihqREOpdI5gDQqEqS4hKT97CmzsVmdTi0/j8v2TwWGhEiJKf xlngTYjKAlEGw3AONIJTBiuKzCYUxIVPcuxOKHq9NBI5+HG94aJWaQiRYODeCXToVM5LJ9NvV3Fp 5G3v+ldbaFOtWYO2GRDJ3CRjbD4eXPkXtyf6TAWc6FZBAntCWUFXHesUSO5T4SMCP4WPkIbMFlfH /oRyW6lqCtXbFBpMDDZ1WUpB2MhY9CRUUCqi0i21T/L3IgrDaqauqcv9oBsTG8YrRQIE55P5eAT+ TF/bjKA9epPYDEVhNXsztTbhYsAnTxY2dvM+35Do8BmKMdZcGJsJfN8PjfycnlCpolhgKef/IfEc oPkgcdlPAnBxjYPOBzk0KWwy/uzixnQLinbqfcvuaafKx4NSbMrfBxEP/PGsoTCwBlO60l1SSsCp W/OVV6jCRpwy2mQhY3ELIyPYVV7PfV8XfmJB0gCNrUue0XQVOEt3fUlTicoQGBVm4RJnG+UOSs2o 26Rlsfh4BCYqX5cZJTR7vnKlOfQqRCW/TvqyMiailgYk/mtntxGltCRts66/8z5HVYqcKtavL8I0 7WJHdxmU1hOjp47pKWC5tn31XOf2l/2rKbSaVGKaIbkXkJO6zk78yMf/BZVhpoLdupvXqz+vEVEB WbZRqd16QlXuqCilqcaPGggZlbjNXuOhAiwRC2mdXA8OhvuEGVyCyLZYVfBFFOW8Ugyg/DAbn1+q iq/RUIGoIvtBi7k9Bx3T564kpfeE6nj4ZWoK5EpVqi7qS+NnphwxPY9fIyHMDOQ9RxWnFXn9dh5M p3p0hYBP9ZQjmBn1OQCe9cEWDk0vW1cBDUXqXdUrQ2++nwhx0Ya4fEHPX2cGtRXW74tkYorRtWZH +V7atSjBvs4MAoRhMyMLcGgJI7rjzLxGQCUzQzhnw7Z+/ZJw9NlVk3AzSkifyKPrbH4NgLBoyO3Y lNbV4DjPvIFcpPzJeZs9Db9P/0RY7qr6arbVnS/6vmhEwCs0nNWgRbPHmvJl3u3zGvtgXk3bXyuN sNPRfWpeI5/iNEUVEpmxTjUQx67eYi08AWgH/zozcmJDQZrgMw4XaH7O50n1VWALUTGjwg4Zw+Ch UDrfFM3YHXuNegrClsLEm0yMBEVeXloLHDXi6E8onj+vQQ++pXVXi0FoKgy0bGZeI54CLgeQcWWa MWolBJR9n8o4ERBzEtvp/BltJ7Y0dut38KD5bC7qkgj52s/qSyxZbwFgf3BlmGEGJg3vGRw0BC8M Nmo08C6HmQhPYcCzPq+xTpFv69dBAzZJugfNa6RTRDoG0FVqDCBw2j2+CXZAgaywpE7Tz2Bmpro8 /yvderZnb5MGqAW1mSZ6eaPDPMQmiJXkDQW/Xb7n+8ysSjn/UaYPqmucy0NFidL35zXGKRKotSXD AxfVE1r6cmpeQxwc3LgeNrNnhMkLQHg7aZiDghpdccvJCyKaGzV4hAnmYHMzkYR0KKcAb484lh2h AVEEUqRQt/YomVowr/FNkQkQ8FysHxanGJ29OmpR6pTH5zW6wTFlFuc6hFELKXQW59S8hjY4hFFA KoQoY9WQxcSTpqtzSEGGZB2e17iGZzAv7laHDzYzC42PSvBWOafoibmlkwd/jEKmXH1g0oDXfF6j mmJKJycImMMHdQhP7iO6BkrOrzGNvd9z0JA/0ovvp9daIe40zIz13dlhTsk68OxJoiCfUjII+Wul sIgFCy8wsRD2w0KAkETFzUO3DnyhJJwdomIo/bPmc0uFVOeKpoa01UkomQargbUiHQ6KbgZTwx/h mRrkdZ31HaryBFOThQDazQ7hmuwQ3vXWLopHe68FQnyybItGGz7cRXPyHJmRF6T/q7Az1oAhQ63t /Dvl6vdPeoe8lgeLt7s6/Sw0iCl20kBGl5DY+LwWB8tDNyw/sqgZ0C4qHu5FkTC81OGrIhp4FYoG 3cDJGij9YSux/mLSRbPDVGGn0X3wmgURdsX013sGEhSz0ynHBRPTdtvu01h/4Z7i7U1tJQ2CQ6+R xFnejU8Hm2qOr8CGpHTK82h6onAY7QNo2QpIf+7vptoF9Nd5ypxb6gmHw3hYEidLNo1rPdSVE4p5 I7AmGKdJjBTIb3U9EdlaG6dXsQ6iw7io5U47dA5cPBugXxUsMtDi0Wm819f0AI9XJGYrwFp0Uykp 7sZb6ZJU6j/z/M5Zh0576qZRTLxrsHjOu8PaIMmbepxtJ+5TZvJ+DmAgZd0ZQ7MTBcWd2hs5SUQ7 TcfSr6T05IQi+/NODiuPA2rxXjuEwZKHxe/kMMQ4iV2baRTMNvM9eEjrwcFDeVQ1SYNDWTyMzSqb hrt6JjBR9F4ucCWReMVAwwXC9TRgd+QhL/J3ZhjCfmrYnvyj+KCcYbqe5InqPu/EMCaNyaYH09qQ w6WRfXbeY2Nkiah5D0/CJwETSMLbNLAmyhTVkQjv0THQ+JnVR3ArNfidBWgh2k8mzQWGD+vyxLCj +oQXGNpbU1WK8OghzyA/A6enwfxadEH02KP4WIStmzgQMsHOreYnipA7kbXb7YVlO0A6T6ui+EA8 yhTq3zlhPOCZVlXLO/tlp6Z8UqhkkoAgqwz1mps0E3Dw7ycM3HrT9+nZ9IYtWxnntozzHCJVcAS4 ZH7eGWHcJf37ZMZt2ZjDanrCKLkR4pNcUFKIFpRKpy2p3ciq5OqJomS1j/dkOMPBa1wU4qh0nSmo vZsyDHSLMhR5IHdipYrJgOedDoYvSB7Ypn2MBp4954iUnzGk8T/vZLDyKJcV1tohZVmYrWl2okCZ Rr9N0qOUvZuGWB1rilsI9SmXfIki5T4UD6rlt5+W34nWOjRNWJtIYPGy5ddRwymgxxHt445OS2/6 PjtEvZ7wclYfdPSc2ZEj0+rz884DK1K8fPZW4fvSG4Oz8x4sJ4fSsZ1OfEPt5i+seldGYz35tR5F y4Ma4zvL7TM/ru8nwgRmW+SUvE/0QyTQJLmVOUW9GuOdecQ7B6xcRyfqFmlQ+gmHQzGcoPUShctr f4fLROONfWcnipfZhFggqgmKOak6BRBxQezlTo3dTp4wYmbVc2/WhjnYyQOa3ElCKf55bpiz2RkY DTT9sZhANbtCL6JaRhEzez141e6D0M65KnquG+3iKGQW0NZT0E4MZvPpead/IdOSF2Hfl4pqfGZ8 LP9pp9asi/XO/ioO5cW/6YPnEycxPmmcbN9TH4PqvpAygxBxo1nMw0mxNw3O5cqIucq6u26XUjon NLt85/jKn3fqV3mE3TQ7NLKfN2J+J34V0fDPnJgrI6ReFBKe77ENbLBGs3zinfdleT7SrDp88JBw IAis7BMX6EUXGhF3MO/O2sk/veaHG2dv+ldxfZFJpEEhIVRjNDttft5ZX7y12s/vamBe99J6J30V AYmgZVSMC1+GCBYDIt5aO6QLae28B8wouDE/l4o/BwcWnuAZNwxnB2YkhOA1LCOk6fDP5qVeHlGO d8oXY54kOcDug0oYqcjc6YSw7fNO+CpmB/Wcy6gQMnfX9EQBM4PVlZu30PmjUAYZQl7GREl0dOr8 M8H8UGdjq5G+JUtsBzPU74CAZftz5MWEokMg4Fw4yJYxN4Kq0D/m8873wodsbi52mzSIiQLRDylu Zj79X4rJgwzEelsQUcgM1VH46y0rmrLcbUXTaVIKZH5wc8X15KRC8vThxjwn3m9oxoC93M5/WFgd 8EgEQOxn7nv0DJIq37lenB0CDCT1u13qd59wC8kTk/Xyead64WbdfjBb3RT3ZvFixjvVC8tnknTa rJjRRukGdCIGjXKJ9Bbj9ERFZWXrW1AVDo5z6g2ki8miMk1aqPFPSyR4M8v9wf2pl940CHqSaO8S ql/GtzsftrM5z8z1eed5lUdEW/lWRo1p3GT9neZVJCUKIWebHZ6WykZpZCoOyvaT+T1gViKNc4Ub h4OfzHCaSU3ybVASkQ9ow8Vfwd3b6VZ6FPS8k7yKe2MMKhloMEjz6tIIP/vt887xsubuc2/BCQd+ 0D47YcAMfOtZqZIjq2gPKRvtfWq7AUg4fXreI2agsxI9RfMdLOhp5zqaMHPW0VNB42c1SK5KUGi6 2BS4afNVo+nRjUW9Vg7CM58Dn/nECV33553h5a2ee/Lgl8hr3+mJysswRD5bqzeLmHcy2yICjyic 0CE4oIP5PWJOpIlh1SgnHVe2DT1UNCBQ/4dsAqgoVcnokoFtxc/j8K+hNw1CQiJ2Chu1GhQSwjCd 5yq0pN75XXh6re/ZQdgt92XOThQxg15/bg0PevowS4MTbBfbWuD029p5D5gzCyIoYazmg4eEHe5C q4jsDp9zkZwKdv46syLbGZ3L+MDPO7nLt9ZKwN3bINj/WZhJ1KW8Pu/cLgSUpkXmQc95oXwPnndq F9YOtVtqSgZqIvOX7eFdh4GahtNL34ldfiyfH2QsH7zO0wCLy5LvP38TcvKcHXzHiSxurke5xd40 aJ0zRZ+y8J4elKNBVoccr0b+vPO6rAj+VciA+E++6cQ7rauYss2gsBoRF6Ub5KsSSAeyyTk8rI7x zuq6s5NxptvgawfF5EKt8xO4nUwdNwUm8GwMmedSy1NuaVUv+ufkdHYnOAjvNasEUCAa8nmndJVH vV+TU4ruDJ+cKF5utF3H7cGls10ACO2oZjXCSutk7Kx3RhehOuiGJCxBG+zcKRC02V2+5kj+zMmx s3yJ4hrJ28IWABr0eedzMdliM7QyHiyOSgRJc0nQ5szv553N9etUZnDDdl/2Euo7lwvTA5xVJ7Ob AY9E+yUVaeYGVRwdzM57uGx8t3PglOaD31mdGYWUtU7qycgWFdRBhT2EMOXqjVJB4p3J9TRvqN1+ BmBv8aXQfW/kYc2Uy+edyPWrkCEVLlYabxXsnchVJCldq5QQnh5gB2+bzBzALwBw0PxEFeYkn3Do PNpg8wNDw+Wg5bOZulYPoGs/CHlvFYM4+887has8vhi9+aBUAkgyLp1ztX7eGVwMOdZXvIMTR+r0 /Mj3UFk4pDMf2SxV2ijJVo4rSOxyM4l3+pal0AXeRHdwNNNqNCAnQnBDUpbRDvYb/hAMJp6+FsXX 39lbRRQx2MLO4QP3VQOYQxKjvX7eyVtYAfm7uMzSILvYmp0oVO5EFJEHh7Yf2dREYKxkHoToiuI0 4fSExWVhmZIyifRkEmUBNIZcHMCdk6l31t7ZK4OQGlxxrqofCoZ8179KYJlaRhrUND5HmPL0murn nbzFtmj5bqjziL/xzjt3i/HOWT2FLVjCMGgpTRiGYyrXYk7NOyusLk+JHQ5JHzIvZFv25EBrQCYC 0eDJt6YKhAAeAKFCLPW6aTr5ke/UrWLiPoh0NKDYjD2xqHhO6f6Tsn/emVseTd75kepAvXd6GC2j vlyH8WlxABnjZuWRjHFDFDvnJ6wv69YqtfrgEQ+fJiQJPOReZLQ7Cm0IJyi25Sr4Mh58p23x6CHR hhoGEN/eP00svpGBPkdt4iQxn3feFls/39c6iFYl3SLhO2urSCAPEtxu01hMw2/MlEzNDzA0m5+o wDwtmxAu7ktWFH6fOfGSKFBbT5nEnI7EiW4ugO768sEh8nlnbBGmJu75Myib2FtdddSuP++ELbxh /dWdoJpH8SrPO18Lpw8xGew5I9datNqCdtaYzfHbBSYi5LS987We1tbX4DSJAW4nrb8KU/VO2E/P 2NX4MEy9tycI336naxUZ5LC+o2rPlLzYOfBrIT7jBCTz887W4t78dXPRCGz71fVO1irmWdQSURfs qif5WY4BZ4ZqNdQK8h//SgQdZEO0jeqD1wjxNQYIljibcY2xUt8LkdHnkGKF2eA8/qZ/Bj1EU2tg xDxOSkkN+XoC7887U4uNsW9IBi6DTPkafWYYMSNYhWGytUUvCymV1v1in1Zhfudp8QDj0Sxh7PUl jJ1mMeKDjp66dCQCnEKbjU1/Y6n3AfjweadplUdIlGKZHNQWBT5XUc9Yn3eS1q8aGJGGuLM4z5qd 94AZ+j/EJ+fkvZslX/OJ9NoPnmwHzztDq8g1nMjB6oMHzAX08iHkv5zShgArvN7Hz9zXHxZAKH5G cK03xTup+8Br/cw8mUAoFZXPO0GLQcEvGDfqkOkWed75WZwd/IjDSsko2lkq2vkga2BpZoNXvvOz Lvx/q2+19+XSDsBJzlRITGwMCCyzp77QoMKdRVCwklEyfD7v9Cwmo8xCxYyYzoyYZaVJYYi8Tsj8 zs5idfp78SBcLyndxROVl5Wpd3aIwelfVL6u4BoThlHoEdJVA3vnZhURXpAjCNS99tMWPYniuaGo tpLRCBfwB0hmCYvka9G4ieZ5Z2Zxcgrbobn7IO4aUkpjAIzPOzHL4MffrRvsrH0vrRCOgZ+tV0Od nnfPqqSCUmN2V3W6CeE7Lct7xidDV0b6CBzNBGrH+fZLCsbnciTKciGGJIOWsY92lq70d1YWsU6E ghFwoEGzk2o1bG7h08Gd9etKpwGF9DI4O1HATJeIKqlionOHJaKrmdT8TuR+cHbCgHkzA+VX13AD wrObzjcoku6Byk5RyuHH4nyOna4XDaoYLCpPA+nO7bTHDfVffLne1+edjfU/SKeONTtvttXCaJlV jH5RhIqRoU85sk1OS45VaSF2uXBy4JZhw62eLpio8FA++wp2MKoPVkrCsDf+wOSS3jS40AXDUGNi emPixB3L1WJXxdNBNNg9Vs4SD9v4ce6NHgbLgCXuUQzotFBoUmdijGE1sJa8pd6i6nIqItZQnY+D b61zuoNwo2R0YZmKRUKH17PIqCliNTC0NvEZwaVFpEElUk0DV88EmYEBz058OmipF89FK6v2gC/S bMOYJGG8TB+HXR1y0K69FcH2bGxdCf7+Hi4L6ovyTuk+eJUH9oAnICKEuZ8dX7ZwhMhGC1EZF/re WQXrMZ9viQ8wfVAt40zz0GHbK56OMLr5K1yuhBctv9R7WF8GCLSRgkD0O7su1DZo01wudE7g1uoR IMOqxmjr26DZWYBinwtQNcITD+6pGiGvWASfUFR2Vjoxuj0qMFcaoAJA6ANnJ52/LkLxidfwdMRC ml9nD9D3vJ81OWG0jLC1UcReDfXuzLUymzfUEe0hWu5ReVkqRmuW7oPnEg0KvJP2pxVmsYlivbS8 BjaVmI3LlC32qlGdB5FgI9HgDJRD+KGMEaIGJuqt4PGA2HezCXkLT+YJ7c5QzO0jJIPbJ5NWbFC5 SY8EJaPsDiIm7FHIPNWTafLRbf3p3ox6fmBizZGKllWZblHnulJ3Z1GDdz76qT2KmdligwJD80HH D2QkTPuy4OngeE7fNzuzk3KDwh4x/AjLoq5ERbJeqRFEvBM0HkGiXfCIVTbao5B5JLG0aNbE4TYo kMtl+cbt1AtZWq1T0gZNqT6v6EOv9qZB609SBsT1LGj5kihyorKTShP/ds54PB3MzvgFWJlsq93D JwyZoexKzzOVmUnUJWCl92Uw1LRMwLn/g+Y3R/HBKxl7njNmU3IKXfW1uQVRL/9pwLvNdZVlKbeK zwiudqqFAB/ig9Bg2fxia5l8w3/tLsM7//Cws9kJy8xY2Y1atjyayU4Au4T6MER4J1lXdv6VP/Eq hZ4EHLwIP4mf5OlzDrpOegbKqAsI64Lo9cvSYulNg6OZfih9PIMqGatL4PwkbRNPB0fzpfoRQUyz uKcz2t+DZqQU7C7h69HPQvQ1EASg+d2weDYCtsbFEyEy5Fy1y7rDLWXsE0wRFFvgNNqNPgH+QhlM v9MDJUx6079urk09Yw2cnn0CNCVc57jF01GN+burTqT+qPdgjjDMaFFCkcfEweiIwmw0saCOUgbQ N3YuR4gMS7jQ1uDAKI0Hzwl14KtWKWKw4StMyaIuQxTkEtN7f4ChVXxGEPUIkVEpe8ZBjeM9lvpT vW08HS0ev9elgM1m2z2Ww6AZZbU+m0CV5xLuwxDeSKcpltqoYcvZiSrMsovZXUXC/lUk7HCY4ll/ zp0OTXn5D6OUgFtrl/LMTtebvh88dJaFFVL1QRnXCRjkfTZSw9PB2mm/EN7QmPnilowoZOa53MZ2 EdA5urf/mtED8vSUa0QVZgJ+Tqy8sg92a0HhpDjY6WyesZV1UIIRCG8wN72GSgeLEYXM1DQ/0c6c PtjiyZW1iBMzZDwdkYxve4uvhniClm6anihmRmhWB0G9VLesS1oq4DmQFQBMRrVra/yjxFx398EP ZnD2TT+lniDj/G/CUAFYpqYswtCbcW29aUTBZsw8WIDnwDJhqjVbyDI3no5wlh7yUA6d4dMFZYwQ xIzZ2SmbRshGUZPd0SFpokmF1uX89KjGTCu3ru+OawS/5mBCegIScG8oj3qig+Z43sYyITbVvdTP eh/4iABmaU5neWjoKtqcy47g3jPPvePpqD3xfS5D1yDzv9LshJiMUS/pjyyTXSzkaYYKO7lFsd7o iOJlnjwAEDLtmuzkcnLaWpDb6zx5EsV4tLXYsEAOOy6MEFxgfETUnSByOYlPm5o31mtdQvefABpP R9IG5SviAZa8UC1EkxNFy8i0apVJJzGoJRstqdRu7IDS/dyJomWIHuK2qtOHS0sC8oAePqWcpAIi i7y0JEKIEmr/guhWvWrUOKY+86ae/okTgBzA1jrn2WI/ZJzpxuPR4mlfW4u1vXnp2COKl7FY0ME1 hbRGI8RzbZ1AC50sQuVQRtLOiuLlxXh5Vymq1HyTrZphe1uY2kDTrnU1jsFiLIgIWUXVwQOEBj8j SEcp2DToIKpBGN2R5fwKa188HWFWxq9zGUuxX5DuCCHMgwrkcxg/gEUZJqPEgkhibzkfe0QYZsOB 4X6ywfs3YyJ3EOkPgjbTWP/UXKRg91Ud7kR4j1Abg9VFylTboGt9yvz4B+EEng6Cnt+0NhzThPBp eqIqMyp2tSpXJ/DDcvWzuyw4XJCjstmJqszTVg+Xxq78ZTN3F1qIi0502F0TCQvb6kiq0BZCMOJc fpyW+Iwo6KlCqyQfODv9BL9TIjJr4+mou/Ur6OE92hwsN8KAecq2yzqjqEUa6GD0qxznBgMjDJjN qFPaVvNL22pAqAhuAvQSWufuaQoJCUQGn5ZgxiyJjKpXDSJmiWMwsZ20veKJcf76WYo8XE9ItfB8 hDv4pTsDXfy8n9M5hmVQJoDzAniYDJbJTrI1tXlPK+wJC82ZajxVpNH6kEZzhSZ5pTd3JVhRojxs T4pWQSldiVbnrFeN2qNN3IDqg5O35JRzgsuMp6NixvflBVmeTDkvTs8M68woFi75TVFxqkiNZ4Kj ZwpgBdumYn/NKGpehdcW2eganF9yph49IsEJzzkmOuvkckcyTB9TA4VR83yGuIwinKW6G8XFIE5U sqSbn1rD01Gj4vIiJw91RFHdN9iMomYwe7DBKCxIiEYRMOPkCftk6fh9KoEZiX8lQMFvMbLrHXx6 1vlNOxt+EBRp+GeWwgDmx7aEBOXlHNub/kH9W7Af9EHTA8EiSStPPv1fjp9h6FCfnShqpjXEIMxB fHVDd59wN4mlfWI4zt3ZXDOm/tVXBgUkq9dsgLvjamd7nVc7AuWCkKGxDi/aKOn8M6w0E466C08f Dkm55R4md9AnH4+EaDzyYekDm7veq31GYTP19+u8hPVugNQT+k6jUFABU9MTlpnFqpVCHAefHsD9 OsHZZ3ZO2sAKZiPNJwsHfwvxBEDgM6LpIS2JDhdm8/yjOnxVX6+tgqcjCoXvLR4zyovv4oni5kp4 zdxGoQCF10gCYBobMoPXMY+esMys+vKWNNi+3i/Q/hpnXjZTrrPNyGUFYx2r5+QYPyj5eakQeT4+ I5KSYyrKi1CDTc8YRFY09LhmFDa39o1H7ciTHmrbDMvMiyrw1DllYYGu7RWdNcqcnsXD7JHVnhkh M3S1b1XYOdwexQl6irEEoHcq6eG+kJcCk9VGuknX3HrT4GDmkZOnMAgzGbVtn9iBBOQT2w48HdXC fs0OtfZvLWxG0IyBYkuXoRKgGSCR28nT7OSBnKVtrajMvEi03iS4afBz+QSCJ68YSirOjaZ0fQKU yqC+P8AVyZ3OKGgm5nHlNroPDAvB/GvSQhsNT/8rX1eH6/yRcTkCMyT+Dbbik4tXZrN4OVdINyR8 KtWAKzPWlGMprIrCVceD6oGRkuRwCjtfPSmApKb5iaWpGl4FeVp60ai7JQnC0XxQqed8AXlQrM2n g8m53S1SBAEre9CEM4JmkDPas4mH1C5KEkVWphGOK1t73FhRzDyyYZ2aDw8S9eQNm/l6poeZNL07 CSZYJv0au6kQNqOQmSoy51UI1F35AnWTHoSEe8PT0dLxjYV/l0hU9JBsdkLaHyKoyW4dT+Xis6Pm NZEZhT09rp1YKIPljK5Aol8piInt0nOSjshCdZ6Mdpgt/MjLmc2//RXxhNJyQ8iMeQftLLS6BBHM BU9H8XL+mh6wKMq6uJ4VxctwkYIUhHm2N6mDAajbjVyLhqaJma8IlzEkdrAlnrYfXA+wU+X8fxku 7w5kj/o3WI+MOL6UuhF34DMitjrpkCTgaODWwhxPwUtrxdMR7++bM5qBDqF8h2YnrDE3YlWawXqa VweHcQEbGse60fpnhbiMocaxasz9qTGfH3TMKQ4FhJiA8eGNzioPemLzlnoW76wVK8vRoixRHJaD JRNrsTtV9654OhJZcRkREguQ+D+SjSuKlplrtbzs4Gks9tMqYA8Xwp+IhXHwrL+BGVtGdlswicbu FmQCwFFhLgFbOmppzIZ622JbfdwK/PmSDZ8RFcIkzUOLoJmNPQdX2yTHEupZhsHyLx0I8v7mlaJe UbCMs6lK71Z1sGow5iGjO1lNbIuWV4hj7lK9oksCB188C6oE2Ehsje5B+zEoxU6UCOlw/TQoml71 D+m0lWmipUEHzzne1TnOeePp4OBp3wo9LPLMi8lYUbSMBAZSH5PpZ620zKDqcpYCKlAHoMhw8cS0 vyT4bfHh5ukNi0eAsBMNz8k6Rm/Tw8F+5Z34GD4jqINNzQ5uLQ3qboGSwTLGShlPR7fWNyCsYK+P Z2tFwTJ6hBC/9zoYRcglfl9c/L4k0y9aoUqGxGcEXOHgbXXqusMK4CREJ/jJ8jCryCMbG+Xp2VtD J08EyljiBtDEWAOn52wzOTQhn8bTEaDnF1ud9hvTuW0rBDIv1i66cds6O7IsE5ZkIU/q0wwmVhQt sxGMpl/14SaiEBim1gwEjOArwc4x1wz0aMXlH0IdZL1pBOghW2/x0Ghcjz8U7Z6Eaf70s9vwdABZ +QV3KviUp4i6QuZfYsgzRbk5/7QdA08IAU+ezDCFOsNRuDyk2E34tAYPeWihnaWxciagy2KzU6AP KQiMh7wGxgr8iuLlJI8bFuI18No6y71voeBzx9Pve2v90ncC2LKMfPdWCGWeBHB0KYLVsxstT8+7 qZZ6dsY0JfwVgzK4qZrUmNvTvxkQnamdBAoo6EweOa2htVUWwHx5PGA5WmmvCMs8JNoIdWgbBOnZ vRBVUc8iwtNRY91vdapGYHrSBROusMBMRM00SbBzOtyjpw2DHfRBcUvEPLEUM50RNlEZHGx62jhh T6MwE7CEJ36i812BjViDwyqUZj3mGUNvGrVvCOWh9ocGVTGgHiKSQObTwa2+v7GoOGBrumz+HcXL bG1X2nSzBFZMHB8APdep7j47O6T98TvvqZ75vHqoEOiZlXNBsFxLg3i6AfEZAhhLv+eyHJB3FC+3 TCNEqvxrUIUwwfSqKVHn40EN7IJWdHwy47oq3u8Bs4kNj1kMS2hiwxOepUk1sA0ehE1PKJSxhZZb 2wfv/SEFSnTNOYsnQVoey6yDu18BUmiUrM4PHGyHQGYy206aU3zg4oEfsb7dOfPxdHAwXxi8VLsJ Xqh39YRQZirZlipdHui4KNua5NSinYzuQjchkR2HzLyxpHzPwebnXE5lqjQD6TSA57j/RuIiOPOT bplHasM7CplVBGv049XAkzlDflxyuifq2f9m/tHLDc2/qyy3Q+IfCgltbz95Zm9W5Wmm2rMryhxa PDEqg5uq6XJv+cJ0IWm5yxChf60koYMmghsqj9TUNAbOtjcNGDhFHIFVfXAGTpE609lveDqSJfST p0gNAunWNePYMYqZON3rU0K7CKKYJTEHoQxmOJyeWFhO86Il1B6o5UAtIw0xjnG7S/Zy4nqEr8DP LtdCsye96B+U2kmlTRusjtGHSJ8nVcfT/0UqA7ogpd4i2A5l5RoVMsyV9mlNjF2LyXgLXMLJCUEZ XQyKtH3wtZNOQHzWsEQJmyI5bCygXKhRP7+MapPeNAh5mIQOynRq0MbKORnlKC08Hdxa5buOQRrs fs6dCJPBRGrtof2EQqr1tRY9yA0NVnx6Ql25pYC5VR88YD7L/gQl9BKFrE5h2g+AVUZXNJuDQJf/ atOrBhVUunJkU8yYJleyem6bFVTgivH0v7ItvhmW0oPJ2GHATNW9skxopRZj0kLOvphUxg15dojJ UIo+VMgY19AP0drJ/pv4ASc2hOwVET2bmTrSiWWLp9AhFp8RBIQEKkgVWoO2VhJvETaPA08HRbBf fS2yRMatoO6wvpwpV1dlFgB1Qh3GY1JFnLxInoTIJvafIOa3rh/012DpNiVgBP2Gra4fa6frB+G/ UWr31Iv+IdEDc+zmgyqEdS3ZQabFp/9NLcnk06EZ6ZMTRcvSTRNlQupFJtgos0V5rqJuysmJ4Bja LnvSQpyDJ+o9nYCHAGK4K4BAKrcbgDjBwoYX2LXmaHrTSCVjii56BxFvzpcUivSkTng6qp9+27Gx 2pgeefwUhcuN0lyVlob8p6Hazjn4cJbIJomu57IuCQNmSRNN6lRzsIC5FwhGAT6HXBSFeVIsCnLe BkTVrlfRknVpfsj7DFGsagrCOknw4YF8TvQum51+rkU+/y+4JTt/VG+6+VZOMfmvsoQBrRA2t6qA KmMiW2u8uoCHljt2CoPmbop6ywcvFMJ46cQ7zLgmzh+K+SA3hW5bUsXFCW5j28tGyo2sgsH4zgbD HZxtxsDubIjG56O04lswg9KRNMewOXoPnPHYJvPPCz4uZnRy9qpcAxYkbtubQjhzs5JG8+EWxHKd Q9qWJ4JeQ8V6JPaItMoPTF8cmVGzvesfqhDrpFnG4cL5rqy9qAQ3zifx+SAAyt+JKexT8kM1ySmq N+NvVwJtTN8yu4N4NjYp5ZTcJCi0+NPpW0SXLI/AZW+0l5KHEsoazElbhTBpJVuWDu8WIcp1NUUB 9JDKfqFyPgce1a1WubeVc3rz8Qj89B0FQXe6kDlnUxRF0ElWNHRlI3ORtjeN4pHDCmOJciCao6jq PCxvly78fHThT56yAJDjVqvniCNYqJEJ2kDu3v2mGNgJetkAFz/pId5YV+Ug9Bz8QFj72XAVSCFI 42aoHCEs09j/tzkKK8/0gccEl/8jPYfKPQNiboXVeNjyAaqknRaWnkXHmcJpzOc0AsYBWrriLNW0 iWo4W3tTZIou6bc6xvseHxIh6Ghmwu6VBq6is423HHGQhuHx9ymqX+VDrNoNd7trvppiUxO+aTNv gZ5N9nxKjRwt08o2us7rKJgmRPwcQtLI2F9CEeeW6XL0RAERrhUsrxa6x9HhtF1FxzHtXf9KxfZe 1Qf1LtpaOkjOPcfHgzLHAwEnHhq/xENnl3bdez8RCSrvW1II+ME8izrPJ8pYt+pnUailsYxTmnyw W//kLe3c1n2bJOgUsbIzgAKwFk06HUWFwkj8kAiywQoQnHQ4LJ0pENFheg5ENR+PiIG/3e6oLPoE RlFQvXhayxkHpzUhSawjqk8IpCFl/TVDkaCGDGh3zdkHzznOdlhZBGkJ/LBSBv0tZPPzWxDBXHxT 7G9CGoHObA5GIxhDpOLRJh8Ptln9bvGAhVLzNWXNKa5DU9+xGuZnyXAKqpTyHqCkBisDmqKwEi3E mFFM07Xmmq3DUbjSmutsKxxBrAehPAfTzR8qfhvsJ/vLRgUhtk532j4IMpaGiRIPRo6hyUn7Pono RP9tfBcyBBdV1twYsBCXz4LZnsWY2ywYcIYCY0AxsHBI5+LDLQntc15nNlFBOjMltqkM6zxFtSVR BOVUkQNnQNBvWSuD0JANVhSCTuJPkuR3Dq0Bx63WV1UBoBhfrk1OaA6IjkKt9MaSOeDQ7hqjUn0X RbNdLXnNgTsg6pks6tEBVYOmCPEd5PlE9dpo/KghBFemDHvfWssDrEv2rn/yBBkUa+AiOqHnFrxp jsrH/yWjKplQyTPdGQpDa/zB1qfLHrWhgBoqs6bsQ6M6m6FQvlnKxE18nfbwdcDVPsew9NZmOpNN ca3FNAf8DgqKGTBzJXvXv+TohqF7qZLAkwguWuQKovyRA4tAaTPZDAlCTtWl/cxRVJlexOFUSq0x 305iDp5YZWijsX5WfKeFwbWEj5pIce2RkBg7y0Wb9UX6CTEsYLEQggnt0Zod7DjnwCcQO016/OsO 3GnNhO7x+pWPR3f+d4bGdLJcW48cOgUuZPpNZq3AIxJFouJ9T6bSMryzkQOnQLBikmDP1QevwDaI HbPjC7foc9axJNDh3l0GA9txC9Rdd37oFUjD9pOi0RSZg9VCzLL0rNfOx6PGqk8Rz3aUk2k/alMU 6TnTLLBRowtnUZumhoTKqf47lLiK77R/eJ8sSfetR7pvT4i7NYOxDjTkWSxiRoN5wu/vZ1Hyd43w ZCSnTDU6ZrFFdHKmpOM698LHo/7PL50WBGqpPRdayB3MVLzuwj5XGYQRUUbdaqIRKf2gRRTDoEkP 2Wwjyi9EceOikBb9I86VP2aq1H4EvxsgJzr7fsltTHvZiGNQiOmQ5s/9HdI52LpQZb1sPh+d199Q aKBoC7MFm6NYcQM6G50lNfwTWhCynNxUK15UGKC8BBdxpLlhwtdVBnnPlTbOHkiAORXTTejLODw0 QDm/UCsuDFAS2gf8kOhKU6OsZx/U7ABp2ihgejxYRuMb4NHQrM7lOa7DivWkZgsOGeawdHUEBbVS 5YRWHywFaYZCSDSrsqyc2eBd+vNV4DjMZZSg+0a0w0lzCN0H3TFfdV6yo/gp71PEyuoqLIVoUJ4P 4RyugZPs8PH/IkxSCPLIz3EdwqIrpSBHsSS2rW1J7LIg8gR7O/tOi2EeUt1ghsrBz6K0m+5zqac3 9fTP/mvolouq76GjPKVDA8Ei/Wt+Uw2Gba3LhG3K4ONB/lG+4yKknYXMV81QaCEI8z+o719ZpL38 QlvV6mmjmQxtDj0EJdR33rr74LUiQMfXMMIKQIrciYOkJ/7zA40WyCyHJoKUAZmdxBwM+ce8X0aV T/BJuzOfj1TrfjnB0Xz3ci1z6CM4KQVL1DfqaaMtqSSdqAjrFRfapFSOrKVjfLRWj3B4/cHhndk/ X57CHpQosXLaWNRIgrLv8sYZpbL1IdGFxiR2UoSDg/Ae8LQg5SmXyccjtq5faKKegnqVL8o1x2aC eEPzJqgm3MvTerHbIJeC5ep1ObATxDLiIaS2/Xra9h1o+5O4yi7vJCJjcy9C7ZlXKbT5LHIsuSZ7 2b8YqchefNCNRui1etOj8fkovv4+ixpL5uvmaIGjIG407K9FRVHadk7B8E74ko3SXJbTCnPgKCix JcSMqjx+Qc4QaTUgXhk69kUtYxT3z4UGzS010dyts9q7Rsw54uzpqgtmhompF6xPbbSsd4yUaZ+z CHoBaH88wXXoKYg4+PyYq3qX0WTCR6OVFLNYOYF0/Zk/5FchXVd9uLX98xu0xiI+JOpOHCKoPd1O CgtUt0NNFbIcuwqKW2jJrGI9aiUtU4g84Qkf/1dpv9iVX3J/1lBUtoanXW0utop8dhn+o+3rlZIN HJNDX0E7rU08vM9H469UiDRnbjOgdztVJyYFOaiOx05nexQQc2gsqEBz8mtpsOxDzOMf8Ij4eETW +MVORQ9jPzlsaC3IVqyE8k6M2FIqRoQa3cQEMrpg2y+0UMpOGBn+QhouE6qeWKcRQJQRaVvgRG+i EwD8zKvztwl7zaG5IG/FxSPeBuP6AI3NNbQGH48i66tlx241fipQfnyKorI1USpl74u679NxILM6 DqRkX0Rx2VqKZKn64NsM7O+6kuTRGli2rKeh1FMA+WfvRSiZPOxVgxmiHkIif0KDqHR7q1UNxgwf /xc0mHkGdWCfqnXoMAiBm9pyt3OIAa+gIKI9EwpCJ4yuPxP1z+QPV5MPjl9c6HZUmpuenxjCikKC DhRTz1q9raGhSlHoMFjV8Ujcahy0x07eK9LFudX4eESmuyso8d6rWEE3OQtMBmG1UqlVu+ygrn5Q S8yKcJDqCus5cBm8xtNZ5ine2AIZM59zWhbPEAfqtPBAio9Lvxce0Cz9sU/d7V2DJbTU8RACNhsC dgK5REEHQH74eFSRfcSTEJ/TXPm2qUOjQbJV1y4yDYZxiqHvJ8MOatU2Vm54DMVWg5t32S538Nt+ AR2fmJwhD+lVoBGG1IDYzfx0qbu9659FkG6gq+wzBIy2jBjH5ONRVP2L+oM2Fx2gbIaiqHrjBGgU qCQlc+XqAPypJG2jLWhrKHAbzC5hskv24WkNNaguSlPzhBZNdrGN7HCUIdLwqwy/qN414EaZSIdy 2LxcnwwyGUmphN4x0rZLXzNU1Ym74dAfjoPI6tnnJ1C4j21oEJnHoXlGW2QtoRhGzfspK6bODxQW LRwAG5jfd1R0GFoWSBg0pGS0enDYFXHmOfQcJMNpbgo+alBQDccRoivaSIPP/xc8LDBfheImNkch /RBvWCkQRkCsbjB5PQxLX0H+tTkKg+olHkdNPvgqOpdsHZQNLVCrBeQWSVkjfaPD32p59wyVGH1I EDIuVt5K3T5oiip63sQJVS6jKKquKX1H1Uju6wNOC5wHgYpFRta66h115m15R2ehiqjYRLgYE4/A exBHEWv1Q5CZL0/YBkBDl7gvPNFaZorbWHxRW+1xxJj0SMuBAaEp2kx0vn3wLqxc2Wrag49HcXX5 ihqpWPx4YuTAg1DM4Dpow0CyFKOE/2Pnj5IC58aH2p4hZkITQlPkMje5Pu9pjQR2YK8xrk4wfVT6 uinSkuE56Wpu047riInIG2kR12YDU3z8CiJqbhRBAhtCmQs82et5o9WfoyiKqhEOngNom5Jkb6Zm O3Mz2cQNnX3fZhEYpJnRdE8+3BbsGFDuFxXxzFcieK/j+quJknf1ynGpjha6EPLnWbk/g8AgsBWW OSwPohBbnb7zDmISGEbaDIX16qZf0oPqZZX8s2638cnScJZ4/sOIUMIvAjzkffHDDabUG34yLIAs eHxihqQscDYVyCMXmr/sXSOeOOU7eO9r0FeF8tmyxKPz+WCTzec+y2KKr6ekH1oRwg7JNcaBLSLu mDLR3DCIGi0k6vozAaJIsLQhWtC4tKCTVkJWkrLpyGNPuEQy9EAxqkCUAqellxp7s3eNVAGTzp/u A48hsBaGtKTQpA69CAlgf0Q8WAmmwq1NUSzjgbgWMkMoK9bJDh6z13OCQ7gf14X44jyrYx0PnkCV qm0cHFIELZMm4cQT7oOnUFlkRCUTNfwTxVyo9dr+ttFJxMBxCYm+kvM2U5MfzTmrJx8PrvzfNf0m rKTPUexJiDOhsdMv1JV1qceJbbqhrqb7xebAkxCyDvQPwdaxwbKzXhN0hOTvdLbSriq1EUxaJN/q +St8MfWuf84QtdA0KMPvU/Syc04sPv6v/ExRJsr5l1WfQ1vCCdRVlVROIW5flfyzQ/hPGWiQZGj9 HPoSshjbmena4FWiCU4iaMRIzxJcpQnyQ3pGByxWZZWeDQVFgTEhYuPKSuMzeI+6SILsvD4fD26z X8LI6HbxJ/IZikLryg7sro4GIdiACew0d5pdqEasNRSH1vKVk2XGfpQHzkzDK2N2qVac26uYXM55 XWbGNE2pus+2vWuQ42NW4Wuj/YHVX3hzQyKQStUn2f8oQw0jqqdcjfAtP62zwJ/QjHVX7yqfAftj 7o2opFkh7ZwgyW602KFwmFjX9OHO0Un1yA1FNfZc+MRRQzEAzb3zg7RrJ0JgEz8jagxlila04YNO a4TWEq4fm48HV373kEiCVKj8XY50DjwKoSCNTvFCCo7+ayUxgm38xryVZk/VRIXyHx6FqoKk4YNN ECg9HRmraK7ox5IlTbNZpnOUPsnfiygOqxeJZlI1m1exCx6KPIhO4sTHgzXU/D4Ts9F8j32GQroi ihAnVxIy5qwWXESm2ZVUrs5PBhv4FF6W/aRCIQfvULcMEHflQbTQjUUK3yrLQ+haznqxjYPeBzl0 KmwSZ1DLg4OFjXuwF3bumcrHg2LsV3KGWqygW3eG4sAaaOedl4eNZjo8INRsYSOTJc1QSFlUvAh4 mA2X8THhn5HlPzzLkG5Xb3Q+ODOzvpSpxGYI3AqzwIlzUL9Lg1IzNAmmlsXi41Ex9vKBOUMFnrzp omVCw0J0wk9MRN27QpEzGUCdlJYSOiilZSIldZ1FSJAqiwh2NDRczNW5eGrTHJ2Nj6BSbSHEjYDu 7avmShtCfkjUFpLvCvUQOQgJco5tgRTm2nz8X+BGZiqTvFJPPj6vEVEVFafWnnwRDddzBQBFi6gw 5MNt9hoPVRDKM8XCcGBpcOwnyk0sz0GN4GSXaQtLw0MXbT3kmvNLVPE1GqoogAwptE8fdEyf8IH1 +fMpePhlas777ampqRYtQkeaaqXsZAYzswX/Zl8a5C/63JMKU12NU15pXDrBzFDct8PI2wev4yd0 IuQEWjuAaaJLw72i4N6DzJfNjKHPX2fmxImN4U+XZGB3yUD44gn7UVCCfZ0ZBAjVZoanDJ2ed7KZ eY2Aaqawywk+7aICvUlC/2e3oU1CfFWtrrD9GgBh0eDuQulw++BB9FoLRrZsJHa0bYXdh2MwKmlW fTXr6s4XfV809CvA9lw+cNG0RmoFNW7a5zX2wbxa5bVaVXH+UBFIU/Ma+XA7QTx6XkgMq8N04ltr WZN1F7eEf50Z0wo8W1L45PUImsEbb4ALzBN5NUG0cK1lZKkNfM4raMb22GvUU00pcuVNBh4kZn6I PVioUVLZBVWmz2vQg29pQU+lbA5iLxqNc2ZeIx48g6u0iC1eqdwl3jiAJtsa9NpssP8M1sxoXDPl GTzeOesdIhzorFY4GUFukuw8TFAD6iC5YwaZ1Z/XaAcHDZ0yplxfOfAuX4DdMAg+YeHnNdappnjP ieCBjQ8XYBEz8xrpYA8u8bAs0mnLrFbgIKMW/a4CxcC7LZiZKesrSttpsN2UzhnVz01EUuIiqQgz M8BHrJBn28uprSXRVfc1yjnvuaqJkg4fdASfwFPQ+dL35zXG4ZK5u0koPLCzc7apeQ1xcHCjRL8p lv5/aiV0O2lYbxDDZUx3EgnnhlF5I/6eg80NjmBggCmWM/eJ+hprHxTHxwWM9eZRMsVgXuObakJL 54KjJWp1fycwcgReQL71eY1uqkni3uuJcFMcc5qa19CmmrRkEbd3mHcjT5qRtysywDpHmrbRGayZ YclQg5/BA/S3jBrCyaJHK8KVrcYzabHWc1HAyAg+r1FNtYIfDKqLD7yeTrLcBANHyfk1pqnSI/46 g1G/4MbkzLzWCrGfhgT87aRp2US5xlQJAy345laxr5XCavYP58be2QebGaqJVxbkANZE7Zwo+3T+ m0ZQd98PKrFTniuaGgbF/6MxfgLyrfoFNTeDqenrxjTZ7qeCM19z81okrKKHgN1uxYs6itYKMH7Z ixfLuu+vFUIGNSSyUJlTg88NfOsbFdxg2lczjZ9a34lBJuTpgUgxx7SuFw02FHOp2dTLad7LQSmB HBQ4AH9eq4P1KVtUppyNq5syA4z3olB40BAsW+50vtYStmXSpwpzw8PGwS1RMMyeKayXlw9ehgfY d1blCucrFSYJbdBwAnRwScCYUoUY9NHKoQ7yueSaD0JEn70k1brd+HSwq6Zf33wznFni0gmpHkxP IgsKVDrmUsRV0OZzM/wQBrF5PBwGxNM2VPXB76mTg09kmTyLz0qWU2NHPk5pFbZSrI9DserPO00M i4dcQ9QXfeDi2Sc3YUUGJeDPO0usPr1SHcesmEjMVrjHaHpwHnfJ+tIIfgtoN+VVT/t3qk0L+BNM D9sOOEuqD7Z4JiFM5NDAXvtcW2qpJqbt5wRuYu4L1iLsWDA7nYgo6BL4wMVzwkpFOzB7+7wzxPA9 zVXFLqvO9717K4qLK0C+jZ5Rcmosyyh01Egi6kc8ejZJg0O5N95TiebmOT3m5lBrRf+GrQk0SWtW wxnzCJ5Vo/BCe1Td3rlhVepfiAyQoWqweuBurHydP4/GRHTyDL+wSJ9rpPISvsrpeQ+OpQVwApBl HcBFzi9CQHM3R5yTCNtVLSeaH0iBAR0wfbD5GQOF3qGqey8jrWn1ecRjuLTwAkN7a6pMER49tBYG 7MwG5VS9dlUpFprsUYCcTZyrenMLGuz9nj1RiEyX2EnZd2LEcdmK0UNFLVgMr51Mof6dFoYDPivM YXqV8wVEwZsKkU1ljJwS0k/iE3WAIEVO+4kDt970fXpYV1iN5RcNDHcKQjVhEFr9vJPCqsn/383F 27KVm1q9k8L4oZQh7a4pua3yPmmlyKyzw71aqycKk3midJIEbfCezT5HD/DPIvOc65D+5xssNGqX Ff480kxkxPPOCMMXbHJMk39ItUIajDvEQUPq/Hnng9XHGoOe5swiSMO4yyeKlVGfAgXGbvZWl0Gf Z0+O9GnbualRsNy1Z2DIbsMNe04KVGnwAg/5BukFpOU0DYIl9aDBgVk6Lb3p+/ygtUeZ3+aDmn6z DQGfV5+fdzJYNR3up87FDm6+s/MeLydD0+0qBhgoedVMhkeTkzc0Iv1ijwLmoVbfVtizb9gzkR/u xc4aTBuhsyo9jypLXLTpfHY6U4l3Ilg1Jtdc7JBr0Nl81qOJ/ULwJYqY102zaBOLdhpTP81OFDF3 akARo/B/BOA1Y8pNLn8Jko7iijhhzDx49tAZRINND91fW+vKtBbarNmBZGiCI4C4ai/iW0YxM8sy 60T31Qchnusa29A96/NOAmNq8F3vIlqk9VsKjILmSiJhdoSYSS2gkSUuxvqhh7KVAsOYmbXAROk7 Db61QHApRAWVCnQ4/eYaE4KaqHWQHmJK0ZsGJ/PgmTOJmOegFsT5BLmbz5I/7/wvHo7jK2amW+28 MfM7/QuZfSJbZ5j8BNTdrOhVySioFMCwsOed/WWpPhKtlXzweuCeMItlcFzhBbF58BDuVnFHor11 CXJFbxrV19l1yIJi5nSdCHcp6ie0+XnnftVHrxUdaqsI5rU87HmnflVjx8GGQH3is9zKj5waRx62 eOhdysXzHjOr6AaQ/F4+eMKVzhJpLEBga52JY7P97C0EOoBXdN7r5VHneCd+VfOOOYFCTz6ojHHy LTVBz1n9ead9VfOOfw5mBEGdBEtOTxg0U9+FFX220Yc5z6Bupf/uJKQycsT8RFEzjayQ+mwf/F4f aINl9SDQhUjUN0HB8Efa/ijXGlyF6PzPO+sLH7IJKdT9XprtkwVqmWjMKfPpKGFvX7sLDmu4N3x+ oqgZCrMoTlUrnBJXKo9q860+SY3L37xTvqyQgmIyE1MO3turm/huJhVpnPyIKjCLlrLo0k4WegRV IbfynfFVzfFsZbapNajSA2n3qXy9fN4JX5id3L+C5sLYoPrF9c73YhmMGndtespVs2Gd2H6V3m+z asY73Ysnc+Kq6XfwCuFZ0+ifMyhExLwYFEIyAJthygHCPaqX3jQIekylvlYfhAWDIIK8Z+b6vJO9 uLzbd8yMKtO46fo716tKiRIns+tvlWTtiE3FJgIwVjYUzzvTi8GB9pTWTn0EbdHO632zElaB2N9S qQfSueJ43unWehT0vDO9WK1RCWwmH3RvrSStxJPu7s870YtH7PfJM1EKy8+tHgXMiE/OyZMUJuMM snx0kPAnLCEbAZye94gZKD16nyn24WB9YRiTgJGjds2GoCujRxrlUFSqXHhKr1uvGkyPbD678tG+ 3cdymjj1CUn2553lxaLWd8SMX4KCOzY9YYUZTf1OYXxGzHubNABKM0LvgJmliPmd48Xyr9rl8w42 O100vibiABAlIlsWk635ITreEWBDb/pHxLwq61caFBJCgIvXDjSl3jle7NndxYOXw/VsWqScnihk bonmJsVMULvkkEGsSEVRD7i0vnjeI2bl/Fg16Q6erXc4hmSp1OPA6SLwJKQY0EqU94zOZbzE553g VSXJw/7nHQT9P6lpk5xfXp93fhcjyvp98iB3z/fkead3YXYmXeR2NmATHdjZ7qvZW8TF2V3v5K5b Ry2JyXpJ46uWAT+SpkLh7DDqsdkB9AZQl7ke/ZaiNw3a59TXhHK2D5qd62OZR/68c7t4r+bvdAvh FqFNmp0oYkacdW6uIkJXHSRJ0SJ2m4saCEJGynlndlWznoGiwx2eSg/kA4nMPz8YeueLE5jzj/xz MwlENEyzF/1zciiQpEEBcwV5nrnoicneaV3VYPRfAaEBvW1yoniZsjJrWfUCThYiCpzfPCc7llty Df93VhfhOiwTkpOtweE6u9dFzALrYGVqeSUaDqP8KQq38AW4mj/vnC7sDZxeCLmrD1w7548wiT1r p/MN/3ksM7wpRMNd8EUUMCNUhmSk13mWhTwAi6obCN1R66S/E7qq8Sh6oT2GBpse9JxGz2Li7BOd b20tAHKLAErlyo5SSOKdz8V0wpJRSfmzP4blg0uSAToacp93OhdLGd/xskReb6Hnnc2F34QxTyMB kCTcoRP6HNX0PaDSX/fpiYrMNL3oBb1UG2x64DV8zvWkkweKwYthESpgUEC5ZYzOVPSdxnUrzJMe cBqUSsDKj6nEiUE+7ywu7qzvgAeVOGnUc2beY2UEEfm2RTulxnVjjb2ryURWWt1yZqL6MiUheqbe mgavYpxYZ4NWqBvrJKCi6qTCPwTFzqe1RQn2dwYXljhZ2zL81qB9dSZHF/ro9fNO4MIKSOtrcoiL 2tmBcO8MLmu7VNUk/48uGVmw5bmGdCFx7FyK2zuDixeC4ZmKD9696Yj10TZHNAhSIzWTBuBoJzIH yPvR9mMw8XlncDGTZB5KEJsG9Y1noTcyvEPq553AxXjg+0avcqbzPP2dv8VwkH93m/R6lTrb+hnQ AxUUY7dkSlHv9K17Z6WmKg/JqD/qzKKR1ZBGo2W8T+Qm+hYKg4U0xUQtLaXp5Ei+07dYQReWW7gv UkywJxbUJykHc27t/nlnb3k4+dUapbz5PXeicJkRT12mK1rL3La7lqIg5FpQr9P8hAVm9kTJCLbh km72GImSwgWHzkpCxW2Af0ElQX7pQr6MB9+pW/dYTnlfTTYCqTKy/8b1g4Dk887dYiPGr3Usbihx FNCZbH6ieBkH3rm2hhkwN7WO4dXYt8llU79R8xNVmKe6W00g3HZBuKB/JOgTcn5Q9mmaH+QvBWuJ 7RFbPii2fN5ZW2zfJJ3JzQcxbnIyseyx9uedtMU3/F49BckhUZ7CDUbxMq1fltozbG8nKUXNyToR C/AN0onktb1ztnj6sIJBh1wNz+F87s4px7SOIpK0EfknIUsFsIX3JwjhfqdsVZNLX5n8dwyTX3RD MIKmvcjyPu+Mrd/drZ8k925K22t6QliGBEdQplHMgxmlPTWMNStrqP+PsS9NsiTHmfv/zpI2xn3R /Q8muDvIePlNIGtkJrHV01EZxWSAWHxBh7WhFn3naxGlxY+rlHmWC3DviBOVev12joBi4e5Uhpyl FrMjegg1f6drPTlPo74xF7V5ynYPXUu8P+9sLfYSfoHkMCejpLR2J8yYJ8lanh3XXk85kY5v0S5g lbKD+s7Vwp8iFDf0Z3w5NxcEArOjuEdFM5iAJ6dE0ifzQJXhVsA3jRCEVH9mt0eLJqMZbDlmPWN9 3ola1SWlLiIXdtywoTu7854vA16ZaASmKgKxcqmPkSjRisBD0j0DzztL6+bLSADP4glhSTNn/Y1g q5Jy1fBGUwpQbPY1iWUs+byztNiQck7tOAuv9WG5PvsmVrGXzztJqz721H520IjMt8vzztGqsu21 LXHZ+epeTvbWfXW1nGkS6/fWO0eLHVReWENj9XErdcj+bpi/NWkdeaXeNhNf3FmUfVYxSoz0552i hcAj8P9WVng0ga0GabxrIfgwP+8MLWLtTso8mWjb34hFpLYn6i+rUqdEjgxnnA/gwl8APKGcVBPs nZ/lBxfb0tpZbi0Kx4cmfhbkUnbT3B27k+iudE2viOh5Z2eRBUCDRtIHtHBztl2uRV/WHp93chYL 2d+VOr6sfS+tEJEBryI2udQCa1XJoX0QzSvRVqe3wN6pWU8lKk4IF487luIAazlFBMCYWDgs6sNw oPGoZuhKf2dmncHmKkODm3GODsXo+De2IPF5J2Yxan1XWzRCRi3luxMlzITTtLy7F6LNdWrGzgcr B+Um350wYebQuJRcznISHsu2LSWkEh1bPkOBmzykSkXzJ+x0vWjQxUhsfdHyUQvDTrK4L9pa7+vz zsiqrlb/NJfJKLjVVguzZfivdU2KK4/OdCCh1FcAJJzDfS9aiF+u3BXyYrWczelrlLrln2sHdCwd HZT1GVyTvNIDlUt60+BCl8Rjp0ofl6QMuXV3MF0VTwfZYD/pDnsYyGspSabdCXPlDDAfPkO1wNT4 wmSCKkMMyp2GhtyeqLmcXPOptrMcpNyEFIqkO2ouW/gmWKlgZ+zEQiTrtMCWv2pwZzHRaZXNZS4a GZcixQArG/l0MFKv3zhL4BfpuOFUkjBZJki3tHYAB6k40mlVjzuZrVhySd5zZUF9oZWW21k8KI9q 2VqiSiRKrTTQ5kMHDFDwAljb45nS2QHrIaGPCLnclRR2d0OA0N9UoV16xdMRZeK7kVE5hby8tR7l yjDrgfzSEeebR5wPmgpqgG0YVxOj2yM4hiwKcM+e5TTA0BifuLEJx5iNQjP2PeN2Rj4/1+2BDWJ0 e9Rdrpkoy0TldC7cnQQ9ZI5E7eXxdJANlu+zky3loKO0NidMlYEzanMUr0KVDIK6RvsuTtPl/GWp co96y7qyUte56O1rmg7TbkrpWfixgwOtU2SBFnIaoimE+y5VllCeHuXKkyPRURmWR4VPHD6oDVtV KqjiK8bjAbNvnu4pJxMwcq+0FNEGhdw+Tt3YpgIZCaZsQoJZOlicTFyh01SRD/YoXZ7CD9KXSMsJ PejzuKgBoNIVVAHbIfRP6ySknSq881FQ7VG+TOGHVTNTHy4q1AeqOOUxBU9H1MdzceHlZJGU7v5E DD8qWsso4D/8JqlWCPdlAFmQJC47QV2VaI/hGJqlCy9Xn5lxgriKvARQTbQ5eYDgeI6BaP3p84o+ 4BfCnxHNjFmC8hKEkF3n33Nbip9kkmIBHk8HF9f4vtY5455P7InTZUQczSboA3KciDY5rGTr8xfO zyuk+Q33RalnOcWEFeY1U0YCLjsAyOHewoTrp4GWSyckNXmIBMHPCK51skpSZaswVTfZ2Rm8L7ZQ y+Qb/uvjEk8HLZFxEQc97DEvWnxSO606HoPsEstEhxDeGV4HrCZ6nDDzo9pS3j36Zvi2KkxMoCaR EZYgZAc8Br1RmoU1bP4zFV160+jT4ngi1XEWbg/wBlOeFmPi6X/RJ0hNwiTzmYr294RZ07SKzAQt doQeF8ICCqfQANVqOPtA7B9xeqKMeW/nT7SzHCBhH/jvJcPXu+WE2VV5YB2D+mQ+8ldUc+xRh5k3 F3zVylm4PXYJNk5y7H9fePpfNFGxS+x7GPVeXRGCmfSAxua0TFHwfaMUhToht2xTEVKBOcJj6PBg zKSFb8AG/AZbK1H72wIMLCj20caqdC+BIobmfqDgVfyMv7Ke2mn1xUXflh0Lud/2tvF0BCNM399W cdmJsz1hzoxQ1o9TDMRAHcKc05Qxo92c+Ctwe6L+MiDQ6JzmfpYD8LZ9wDl07nVZ8t0BecYiYyGe 4dmerjcNilHiVEqVqnWtpxhFT5zfxkgNT0eH5zceA2+67sc1opwZ+a1Fuek5c9ueAlmO0poD5eqR wBhRf3lyrFWkCVYeTTBQ0PsqUz4fGw5WYjFlAGkStVSuz8eki8WIcmbqbU2Zy2nR6bHEfLhvVcbT Qc7cv3NmkqX6hRGOKGcGQ8Lujerf1ljFqTeSM0UHdQHCwntrRP3lJZUH8mq0nMAMs4FEQ19IFmWO xI+kAb5B2PjcemvrTYPdUc5MsQ8tKtY7rJ35t5sbTwf95fVrd1juXUTGCBHM1VFY2p29j8DMIOtU UhhzOBRsRA1mWpX1otlWUUtzMPRgjDXBlqf1e6JlD/KiRAYDvql7q9txH/gRAciS2NNCehuW4bMJ +0OZs0CKB0//L7MJWtVRlUq7E+IxEpkl40h/pnSVDfwb28TisIE6ooR5Eb9cOqkThdRPbg79N9bO DnXCP2m4U7bk43+AinQQoaUCAz8iIqnztmJhq0XFaLeEjUfHsho8HcSd+r05AJIXkp21OVG6zFLd MsKjRSgMBqT05dFQIYgxvEU4onTZtQ0cSzj3M/WbeOksiCVASWtKRo41aaI7/RdA11/1r7izh/St COzCXQPy6AaC9sfKiozHo8PzjVahKAtFwbQ/UcI8qNVIk63/8HrHzWS3FsJypRLPruwe88uKEual I5HoFsTl1KMJ7dlC0pZ9cZb10f51UdsRtodsoSrwUHEMPyMoR0maWBQ10iKErgXGqt6WZYQjVMZI 3xoHpCX159IK8cswpF9ksZAbwPqQtKTtEujAUbSjjBEBmCVYR7tbXw5Cd0AmfggK1gYkCYRLoKUb L6CrOkwEI35GNNlCh1nuMloUl+1uLuLn94Gng5xn/eK0AeY7LmF0RB1mSFbDlV4VOuoucdrGUIQG 8aYf3a8R5cuTf+ecdYak5JHFiEy4EkUYxXBlJYHfMf6D+g9ltZzKj2CJn/FnytPEVW8OVYQARx3i 066Np/+lxMNbi/fE82mF+fKiXVdyuFNL4zhRCqCLWrQs54uOMF8m3Cn1Ws5yPq1id66dEZ4dKKJ2 3ekUzYB9UCZgRduzu79qkDBT2nVT6cBCvP1IBoycwOZnAWO/0oXng2K9fRcUAPPl/cTmMGOmTEZj Yb6JDDvEJKogUuuAcoBMesImszREklpi6TE2W2g3obNPgLcVOI2tDNCyQSvjQLBdzeqc9apB8CFr 4v/y1QFfLTKkminj6aiXcbaHdzglAW69NWNIBkcE2zEHdksllaOW6bC3TLPX7VLDM0qZF08OmWm+ PNwSVFlT5agdoE3l/N2p3IYydzyi8JQ8nyEkgwrTech9enjJu4adHgnz2AHD0/+aUVDwHE0rSZ5z e6KUmTDdRpo5Py9yUnB6qN/Q/kPvwoFGWOKfErSZk4A8JPNfuAQVaFLdi79wC81WWzD9hm3O/mHT DWH10o2L3jRibSHNrUXqRcU/4TWQDDJ42M/C01HwuaNR0bZUOJ/tCZUyCsdvwyUHu4BhyApRoTD6 EIDJj2uGrD+x+BuV9biccnT0iT1RvdVgfbHkXoFy1F65sQsvyijJ/DPsM9NxMtG0Twt/RoI1joab ffLxf8EO2AkD7KA+pydKmgHRqwAXezlKEzKCmIWbwwinH6+3GXeZmRdKvyh/6ReBqMhwzYpiwuaT qAOgyrMg8LcND9UxvmkUeqiRNks9i6g3Re7PBCPh6UiUcX3lPUVd8VNwzShrrqRjkxhPZhLd6ADK YMPTQRm0Z8TNPkMhObLV85j5LB6a97APd5ADVCCp6wIrixJ5qPNGufM/Blv8jODjEpeWcyktZ3vo mwt4R8XTwfxv9K/tAbK9jHVaPTPuMm9qsTsoY3IEjU5Yq8x2Kjpx0EJh6IlAGaJEpr3vcukTte5a mvQ8rYpzH2GQRcsuCMzpllxz602DwExV4UrfDC3cHUiSsJ60P3Tg6X8Ralm7UkogH6DujFAZri++ veQCet0jD240ueLITwCfVtRkVj1KPoIv59OCIWyhHHnBctTfCVYDVZAl33G6L3rT4OwMwZj7XTQ7 XlaTSh5/NDz9r2qdiHeq5o1+yvUZsv6WhsfV08K2kqeF2wUtt4SiUJHOUFOO3WU6ovvi22PnCJRs icFabGhHSQMJh1UWP6scVtuiC8WMeszsP0AVrJ5FFcVaXYietfl0cHbudEvOZQAUkXuq3YlwGWB0 VBrIuo9JnS6yUotY/VaYDm9mzChpHmqESf8qf+lfoTYtNAGkv71VcWvr8LQf9p7hO3XGW2yEzShn poqMRXapUnf/tCw3U2cBGu4NT0eQnnN4KO6JNKNfDPOMMmZx1VMtJy4nNy3bdRzGaOPggYcnlMkQ re2UpQ9sBRIWOEBKCUEh4TGjbB3ZW6xHnfSnpCfKmNlFX41oZC1qMmfYNfGizgVPRynhzZgJtczr u8m8opQZ6n4QJXSoZZtL9m4D6h8OtazF26grAmYcZdg0znJywgp95cTSwfLmKUUSu3+R+4Dyn7+0 uqe/aZQTskoj0k1LUq9nSOwJ5kx4OpKg+b62iAuhJah2J2wyI3Wt48B07Ucl74XVpJRwbfLjcHhW mDF3RuQy+1luvbUtPRiCy9m9VLObCSVy71Cz314P5XbxM6JWGHs9K93F64mZJC23d8XTkfxV/bq2 4HWVAWDy3Qn1llHpwAvz8gOaIzPaZYyyrYfIs0JkxhIAdUookvlnY1yGxHjlzB+gHjvNyggpNLA4 WB+3BW+3dsPPiDphTco86SwOtQRfjrsDRcs4Xy5f1RZJf/OmPCtsMtNFbfflnTCBczdg+6OfThgH rfy0QhRz56npIm/1O7+Bhn9JALfz8FiCMuSAi8aUfR6g39RnQtH0qn+1mUmE90W3Ogi7ItTmjaej yHPuLanxsCl7teVWLLxMDZqsKTouXodlzCYfUsihTk8JV8j6cyHzts5yq9FpCTMLB1Q3s8t/alJP gylhv/JOzGPwM4JWmLaHUBMtSglhw0ZK7UoZT0dJT/6uJ5BUQNHTdydKmCGOgib80b9vOXtKuNwl aFMGSYcnVslw2eV2lnN42Ilf5EQ2+4TprwVtVFCLOCpPz8c1FHoiXIaT1TOLUi4CHiz2Y+krNPB0 hOk5xToZTYzJF265QhxzYitjOOO455MSzlZEV7LvYDu1bYUZM0XBMn83Wvzw4D+GjsRSp9AyOD88 ie5Mw6n8Q7iDrDcNdoco1MFbAl6tbjtu8YsUzh8gXPF0JO9Uvnan8NO+iLAVJcykJS4KNTD0UDsc 1dbgQE+hh6JDlBqOmX/Kg1c+y8mYC0SpJNDTQJ8YU+4SWGAshhv59MHYhF9RyiwFmkQTAy28txAY ORi3X23H00Fk/i+4JZz2zvaESGZkCSMNUW7seh8uS1iyx+gNvz4/PDEsg1Volqxuvmx1mA1MO5mi jVowm8IdDLCwOWTniP3g5einvSIoM+MXAEJ3cVDP4LVL+ASeDibr4xetFttDPyFtT0z7Y0p2RD/7 LG69kY5Oql1qy0nHKxaWo1QlFN58eQqKIVYwQg+sl9gHayACwpPjZ9d7b2HOyDf9a4KTa7+LOhmY ybmKCJ+ODs+tRr0NVlM+xeiOEmbQ4IEscRGRRtl2KlUTJOE2W45k3iHrT3UWGku+nMAMpO4GUwWg lWkRtAjxhCOymUjeuCwT5B0lzE2WiLy2tKhLCMXYU6zz8aAPdnX3lNeKmXu25z1jBiIMQkK5z9NE na4i0mZSH2xvCndwe0KdDGqsID86ywFbDgBdGUztyFh6XsQZBfiNfWIoM50JDgFhO4QyswylnqEv PDzdzvtWj7nxDYNrK31DmYE/zvQh0O6EWGZK2RI8alGmJRkiQTmtsIpItNCuTt3acco8dWzyWc72 2NP2G6AEjV27DS7aLNYbbXorlODv8JjspB2lzMC4WWI0noWBGSLzQiIj6dkx7++7D8Z7dJ9adIe0 P2RjvWYZr8Oi+Iz/9vEbtUTdA88OYRkkCZCU4ssp1RdECBY7zFaHdujAU6oaIQps3k5m7XjaYDtK mCEjiq+hpbOo0ZPVH2d3Ek9HqoQnLPPNcIYIf9HuhDDmxtFxPhL5x25sDFksQCWDE3/uTgxj5tGp GnHVZzhqZagdHt6ekKqenTW91aKq1MvPLtdDsye9aMSnZQ0KQ29fvEc4pjiNVqnj6X/hnbiRuEXq 82GFonKNOB7vlgLR47y2VPJRWBk37ISgDFXo0qHJ60GDWciacHLkd2XHcmwakBPxUdiKYkohBgVV RHbUX6aEzIQExFn0XWFkIAZFWng6AmV8hx1yYPezOxEoIxWq8lTR9y0AVb/R13BLX4Sde2mFqnKL l5aaflxOurzsVsGAS+QksFYqXTlQmKCkkIMA80JwBfiqESmSk79Kd1Eu6mM0cis5Fy14+l+IHtbJ mbOjeS+tUCiDqAy6YMls7LKTsv87q+OBIeT2hKiM5eF4n+U2mIfV6gLLVZDe0ekvIBSREIlqYvnp KfSIxc8I8sGksTEnf1ycu9V7UdO4DjwdVBPjnB5BetB+v2KxO2wwJ5rTOskPgxaX59G3Td+SQehu 55/yVwc1D2nkj0cjvw/7Nc8DeALZmxbHm8JBwKwg+3dC7Z560aiNwXBMKLsWdQgn4FrcnMWnIxWI myzjNww+XXnso3YoKtepEHs5o3ISJWd09IPSHc7d2hEkA0NKHJp+l9MiXFb542aXvwJkdnlpQfOu AKGLzPqaczS9aSSSIYV8+iRx8bMzptrnVp3i6Ug27VSi/LJIdL6AlZyidBkJvb0yEmE6CBCrgHSZ jtDFNXpYqdO8JEyYWUNtniItvkEWgi0Xg+MmatFm5xRb2fiNNdTKu15Fy835BH7I+w7RBwOuz3I4 xgXGYt3yw8Jq0jKqyecjwOV3dO5obbdbb+UUasvBtqFjGlbZZSYxHH3UCSG1xiNEeidNV1OYNLM6 SK6N9iW9ZwfRjhS8HEDnLxkMc+oNo1Kn6Uqb41LcxvaXjbAHEnss+SyqKmzfZUxrOW7j8xFv/Rd/ FIkpgLhnj94zZ0zliXdyLKr9yls/NfvyGSDpRLISSCGgWZ0w+q1r8WM0LfRaiG5ikIJpSxGhwZsL Ge/Md45DxgJ/SLRF3Bsy5mwpyCxVtXcdAruSFp8PUqB8PjVNchxSfbYoajhDqgLCukfectR7ix3f 1QytCLcJimSZR3He9TzL6WtY1IFoOr80mNcl9B8bFFPBnpki450cUcarKcqgObqz2h+gQC2M1fbd TsG7LCzw8ajn/N3Z2KB/QrjrbFGUQyfK3FZK7QGQQAIYvDMb35Sw+MwhjPYo6jojQeC0r53lfGp2 uQMGQq5kLhZC6BEJixzQwwdctG7jsC1/2QAZT8+FxV+9FmdVQFwE19mGq0AKgRrlgdBlfvlwnHqi Udh5ljY7+18QnVxJoA3LevGzgBJbGH80fWlh61nGXz7d6eur0GjN4pm42hPc5DIvTAzxZM47UJ68 8PFDIhSd85XWWXiKVl8ieMHVgY+/b1G9Es1YGT44vfAdCpvPTYFdGliQ2vHJTklFXXqYyzpQjD6L QZhQLCr9LHd0AZ8tkLJRqIL6TR/twfq4AriEYYPrOY7p7xrkREqGal5n0exiNNnw2BHVOwZtjnvr c2bGcRVpDL5Ffytp1OKIlsaJOaXV8jp8f0or6DsLO9AC/LQ5z3JufegWVhq8kmJhgSnTaB3cKuXV xyERUd3fNSJOIuWzTGto6YopiarWP5QXbnz8fxLxoclFeQ5RlFVzgkFFCEXro90zId7jmJ+969mh SE+jCmeYNMlIj70JwIZWi3pNZkkNVBoK3QZQUYMhmJ751/R3jXjtMgQktXQdaqndBn2poh9t8vHg M7tiLHhw0HvqK1hHmTUaORXkZ1VlFjMcj7k07enMQI9eM3WXg5pJH1i7i3fLcI1Bw32wmVhghrQk 0DdxftoPFb8d+ZOLv2zQESI1UExeLdwiMAQ1xxjMHEOTk3buM8ZTaHc8ks05tAYEG81+2FEwrNXj j4WK6uTt3Oc5RIE1oFpLFJ8rZzmHqIJdQJICPjOUOUIdUpTF/h/Azp0jKKOKHHgDgoCrrIg7xEUz +ATxqZ8kye8cmgM+3H/BoyEYfxHhOXQHpHpEnXN48Vp9ejogLuvSGsz65A4YQTiS1L6TqzI/mui7 WkUzKfGN26wsn5axgQFZQjSvLrYu+bv+SRTk1EYLzxCEEeXQNkfl40Fm/UvGsMql+TlDYWYNPlFb yfuKbZYjeiTwITp/g+TKrj8mAs1TTJ+eOlp8h8YAMX8PH4dNaGbhhO+WJGpPOTHHZq7k7xoNfBiI INHB5eDfYXaiUF0HH4+mqfsrEJUle4e7Q1FferEDMQ88c9HCmgacqStUZ/i59POZhZn1FvSQIbuU Cw1fFVdZZi4FgOZC11UtEFRHkKZ4dGbJqOUPiW4zeotvN/6t13bdbXSRnfLx6MLvX7UHp06lPJ9Z qElHGFk/I1UAVbx1P92tFK0thwLlwCjwaoVKTUK5jbfQegMtjkIAkFmahZp0E9UONUjtD/9yZmj+ shFggXc0R6FavBGCz5mJNfK20CuwzF9DZ3ST953J58ArEAkxK1g3FYcIuEeirW8P3xmzT31nYV7t fbTdznLGG9C3KhhmkFgJylTieKNzKL9+kJWcSESVlhy4BQIPRkgH+iW+cIcoYqt5Vy98PJj+pG/A FDEP6Upt5MAuUH4ydps57hA4Cx2ngfam8uoEdIEfohgHTXQzSXJaPGm0OxG8zaJqqFuexRFHw4gD ECeNyC7oZfrLRkV+fZ2uZgsExLDBsI7PR9H6FywIV29OzykKFTeQPcFiRcTuRVQsaO8Yi3oFW9Yt PgLTQJkKE/MyznJ6+Za7NMr4oBGCiZDKM8yoK5QdyCLilV9SPS/75zFSeXaxa5ZKgW/JOyrp8X9p kqj42HTwqXePwoY1dYul2YJaNi3NVmelRgp9PoAx8y0KIdG1C1M2z+JbBO2ZsqS70Syn67zzwXja HD39sM46/bQ6/GUj4XROOsTlqYfLAz1x/VIs2mQ+HlQf49tlqBDikZ8tCmHRVdLO2UtYQTdZwm7/ 1EBrO59aCPKQz1ARw6q0Z2AGLRi74oXdHPaWUyOPTbqKqPoncZSndGgfCBdP9vPXWTQSWpA80Yc2 +HhQfZTv+owTO2CSfIdCA0FA52prbowHUYl6SQdS5LAbjUzDpD8mCEbSi4K0iS+nU7QhboMzzR3C xJXhGm0FdnvLg4wWxCyHFoKqzwZlArAcoRGrAYlo+oHXCJ+P+iAnFjHs4E7FHOpsUdi3LvJEkbBz tfTWlVZBUUW3GhIczVFmObQRnIK2Vtm81v3VB0lWWW5ZeZUBiwjCo2nxAN15ye+zU7Q4N8uhjyDL DkvYJJyZDoYT6jNsqKJZx8eDfuMlHzBa43eUn9w6NhJEk2ZNerND1IAWEojWWyZVnH6g/FEoCqwE AXeUKBsHsFxO2xrjOPLpaZXXKt1QICyKVL4AltQ9c1TJxR8SNdNoxrT7XXSjzZbkr1btl83no+z6 W5G2sV++bnYduAna3w1JG6AIzmkm/xWhiAqx5DRXQsS0RTFOWrKHOkzzMbmwW95+30VWnRtTdlmT A8hFtTNO0I5V53nXaHhPmCJAR1xcrKSgF875UMl6x39RWJgfjfWtFZ5DP8FNO0oqu0qWtnuF1jUF QQ2LW9ot3EOo9JQpXE1neUgaayZ8VeAW2mnJ232UieRGd6rf+TRFyHLsKEjKy1qywl0ufjsBSpKJ u2VIfDzq698rf/uVXzha8C2KmtYASqPZe5ymkw+K7K4+jZBKnDortNBV0FU5mlsW5CvyZ6m1HbBE ddoK3aciYFWSxU5xB6L2SCDm0FaQn/5clCHUovID5AeNPpYejw7R7woN1nD72aEouUZnvYrtaUki +G4+QJvJPzgLReuWaIG1IL4zjqiX2vvsHPqVbx8ZrjVKAkHK19XuSNcAf2xeM5BN0GsOrQWH4HRb OdH2cLco1cC8Ma/Bx4PU+pebDGwFS77osxyaC5JBW4kiJQpk5js/a+WgQHo7Z+hv1DT1z3y5zTS4 ZQOZBCZd2olj77ZpHQxRsJbOBlFInj8j2KBKGIhomPnSMCGtU10OvPHx/wUXjA5IfjrWobugCliR ChGGsqv5WvZLGQriQDhf7Ppj/qo8GA58Od9YReejUKbW3h+AYG4hziegV89YaKhNFLoLVhHjOVfQ ou0BaZoRxP4VHw+C0PwuX6H2Zufn+cKinBq86qPXRiTI6N5IK8flq5R+3NwDf8HL2YCJny+n7IB6 QKc3DvxS7FOo9H1NpC/gbwOI3vQRdfd3/QNXTk++swjAmKhEkBgf+HjUJTpXPd+N/ZRniB9aDKL3 jo6+X/VwDFIMWtTTp1JtL+1U+LHJoKxxOefRcrbIvq9RKOJkN1lJdB0B/ByQWhpa5WdA3f1d/4jS iwYHvugiq/BP5Q6NycejlPoXZwwnkZIfvkNRSg3FbztDy+uyttthggs+ve0eK9ORaDnwGcz/b4nk PCXfdqikCtIbrMPhTnq1KJyzo8p/xihQ9xhpavwhwQjfoXrSjKyHr4oBgrgFLesdIyjjt0QQHQKI HPUdiuWgcdMXb1JXSKbqDMlQgHMzpHF+hP7Ug257EwLM5QKupiXCvGQxHrL7VU0i4OsaHXQQsg7i ihDzHLoNTjZjc6HbIBel1PAaYT+h2Xnl8//S4NJscUgp5OxRSD0sHBQ/HIVdPBuaFwmCOt/3KEyp 6efFAZkvfooG4nTW0APjstHZ0u9As0KJ+meQ+1hlgtH8XYOEkZGIf4Iv2qI6icPCpvMYRTn1o7jO tAiBCenq2aIwpwZoZS5n1VluOJ0D1OnNRkhsBmBDZUfgOiiZLIxZ+l1O2bFsxyaMxMA/TKtRl90q M3xgDC5fXhgsV/hTogEsJTvofqJFA1i73Ig3h8kbH4+y6vwVrqlk+WhI5sB9EPMoVPWc24knRU8V DP2GxCisvqe0oYLRP1Q7arvL+dTsqgNXqoqiibgxuUWDF2mG38/RcpseriMS4pCpQae+eDuUCMjs qNa33CLz8ahjXb92yP6ej5xSDv0HmTBSqlkkRCK/GYrWcBOnXtcNRREOpEnBTm7U6XGjng3iGKQy ZZb2WerHE9xz+780o79qXGqihf6DPMGrQF7aF+FAIF+u2MJAFAKr83ewlg3FvClj4ECIqTjJmckZ HS073JxuFmc+nU8T7Q8LQn5dkALy5XxkQEv0TgtC+6imRN8adKJ5Xn8aj7CSxrT8XSOOuPAfe55F gcg+lSYwkSXafD6SLHuAjVks8ZWeWB02qyGfwRG47IpwAbNFlBObHnDkYSLMrDp2IZSiQRVxqo5H Vwl8soGyHVuEUSyRMgvUowJ4D3o7p8/Ym79rBNoTdJhNNC78WuxHuPpLwYA6dCGkmu5ziNgGxkz0 bFGs4cERuhCwOPD0+UTpCopb0/yVeryK1X+LeCTOdLR4IILKOHxhdedbhcYZvUUROm3hWNZ8UdaL 1KAceBEmqW4uKWpp4ZdmaWlyiP6efDwAf45fiSNlBq9RbI7dCKlK2g7405KW5YArluhS51qn+Ajc CGWpCnNh9p+5eHHWugXjRYsW2PNs2S5bfYJeCpUtnup1pOHvGsVqIkHoBrJuBQhB6sLUGtNLPv6v 8ow5In1Qnw8tNCTkZWJpqiNBGiUtabKyeeNnIEFS9/I1dCT0FtFWn3E/fUZLgwbqMw3xAbmSytLG 9MkVnk55NpQUBZaEnhvPTW0kLWoR2V6JPWe/YD4e3Gbtl+A4u8OXBZNDU0JOrTqgSCpg1z5IkLIO tRUNGD9DYWq9Jegh6d/xJf1rd33HySHTw23B8CViwENTW3QYlitXb3/XYCiErsUcFDEF/dy2t/Dm 3kIc/AwL3Hz+f9H0gIFzyc/crEWZdWWbb1f1zqDntE8F28fpouFK1o3WwtT6IIfnWU5qPVLH8Fmq Hva3nFL1gOyaXQ35p10zkZG3v2vEw+P3RREyLYrW9odK8GaM/RE++PXKv4JChI1Q+Sg/n1kEsW5g kFAAmcPXSktwDvHXGb4mgH+VV8fuhEtSQjLCWo8RVm/JCtOs+TSQ7YmOGQtMgpKRbaULBDmH6M+0 2uIO2Zxc1AWpTmXA3cnH/0X3YFRu7nh8dijkKiLnRwmrtLHS85Xen/t4f6q1oSMUA6wJo4KUsy8n rW4TFUf1XrWFCYbnjjhQsSUwUjmfGX0PcuhRSElr2H7ms5y0UZLVdafKx99D9drfs1caisB94exQ mFiTcSbNX3Rid3HjYZm5UQe43el0YFOY3dibslu++A7B3tL+DlNcVyAXpV9RKpVKG6Ctj9bk9nf9 S2R7QlPCF5Vmk1oG/N0sPh6VrwfWSD9MdBl6/jpEoSaeTjnGYf9hkeS+NBYJ8/RWGohvnjcGZoUI aEQ01nyXc50BGb4c2Gg1YKUJQKNTUoHiettXzXXSfjgHdoU+FLJaO/ezCAeCWlsim2vz8X8BG4mr wVncNyX6vGZETSqwQMsfUueq3kpj6qIBfu8OQX/NhxqwRDg7Vc3q+tWsXstyBUgVsQVSLbsTLktG Kvi1oNacX5qKr9lQg6QiMY1sCGtRmO6W0eIDgdzh5zUXaqBFaWtwXXgyVBh7SQV8TYWaA2SmaGbU nEUBJRoM0OG0SIVXjc5OsDX6umCOcZaTKiZMTJhBQac3a0hm2QRbjXaPLf34L+T569ZYoiibORpb aVGH0TIJ6SkW9GBftwbj7ORbI4g60nHcRdyZ1xSoIWTwVieWkwEy6R6DqbeEqnLCL1vX12sGhFMz mD7PXs5ygJ6WOScau+Gjsv8hi3FOtDAyaLVf3bW680XfT4140k1CBFzEn4KVGq+uvtvnNfnBvu5z aphuo6NIogC35jX1waFpcsI6sjA0b+O11bPUPiDW5rX8a97T5MUGGxpSFbnc3NCORyNNxUJyS1Iq AgYJTk8WeqCzctTMOB17TXuaK+EtJvqQ5ICGQ+UHBfEIqrKunj+vWU972By3DfkjDjC9aqOdQXJf JikuUGbggAB58yoj+Xwewv+y/gzOzNA8bLOO53IyQhjESowUVlVlKRglLqjQOia78svA/BbvGUSa LPS0Bs/Fv1jblFk1bbc//POa7ODhVnxj2Mmg7HA+n9NrqoNvkGVPT6dZX4+kdibqFrDgNreP5V8T nZb4K4FXLFsbXC7IDHrsi71UQIFXIfV37q1mDVS2/IoqiYa6r2mOveciOghygGdRDB6i5cD0c39e kxz8+uvZGUHwoJeBNht35jXFaW7EDLV0GUFAfVmBZlF5WOSWOo9PRrQ1lL2Dg+VZDujecoMGPSt5 NmYYpDIVAXQKySXgjCdLphDMa37TnJRgeRctaPqxoFmQViZawbKc8XnNbhCl3HRPWwNfTCfYYWte UxvE4Eqjve1U31q9xhpjuTY7NIxdnus1r0EI7vqOREfYDx2hUmFpcETYgEZZKkJ5dXaODx6Y9NB7 vt9OkhsoFMTWoiJ92f0hQsvKn9echu+XfWeY06DvQLdO7sxrr7DJNKA2EZ5RoB+TngGnKp/A6+6C rFsQgpN0kOkDpeXsDGwaE4ndher0NO+202P/SQMBJoM/cVuFVOaKtobTvC3C2L5ehCPxxHNrxue1 TYhImOv3oRHQZPrWvPYIcUzRi2/SCarEjrs7dZr+7zYV7yThEaU0tJwuWTyNvJ97m6ZvgyVVh4l3 4+1kJ2DRUBQAinHN0ugc8toexPZvquNsgYD2kbFdVv2xzQP1kc9rc/DX7cTvUL9Dus6I4hhsDhhw QNNLRMmCzVRzcF5kAkAWZ3feaWL8xcjwirMuLufytjLBsjyKINcFr16qNSyKCwMVLf0XF6kQeT46 OXTjqbTz0cKTUyzaSFlpNz4dfFVOzdDRIXCM0hnanigdTrJ9X8tbFvyFIhYn9BYyh+4ZkE8xM6LD M/Vdkf9V2lVLXAnqQYVzSiGYaJ3RJt6sqUyf19qcNmKfd4oYjzm3h5hmLZpX9T1JQodO6OedIdYe UEJj5xTOiIXsR23Pe04MgOakXKJHnT6rK97hu1DU6ewGCvcTbM8mRrxQ9UPLPTyARVeGHSjejayZ PCAXBaUaGrX+aS3tTpQUU6Xc/ptyFx2esjiaAyZnf97ZYc217W/qV2U/f7Lid3IY9rTrzz0ujWd3 LMfu1RvvM5/Ge5QXdwXlucpZDqvHUpw0Qa+iJU8nuh+tU4LGUqMD+y9Ft3dm2ImrFo3ducj/ngtA LnYtgAL9vBPDWDOOr7PTSOItJ/9754U1YUTqkswdAZJdpHn7Lc/hHN5M7rZaOdH2sKBqmZ8YF/+2 ACCzS0sjUsvwu7zh7Y8kyivTt6wOfVpTXYo/Iw9xNr6okZPcFqMszNij9FgciVs3ANtb1hOZowQZ QdRy9zkcII47h9M/EjJpL7w5JuDpec+QM8UIkeXQmYfLKcXtI0LGzKpq4p8KR2Aw3M3UCk/7SQO3 3vR9ezbil5UK4y7MdnAdstNZU6ufd0ZYg+Rd+7U9du21cgurd0ZYO/NjWrNRERCcaE3YGUA4YUcL SacnypI7oXSVmvNafHvAdUoycoaObUldoM0k3bICSaTTqZhMeN7pYE3KjhkCg/sswh/YTyN/wsL9 /ryTwdpjjNFETK0kYNzQEyXKkIU41B2GHuelApDpoOdC7j0PT5Qp33trnuXszgBHFxoOmYr9uVO7 f3OEDt2wQWsD93NaetP33RmiNEPExxdN/NBelXFnn593HlgTgf+rwMKnRXET7c57sowKi9Qghl78 Ex0A6C4McgTu993ots1rK8qWgWTifbXPctCqG7D/JlozldzlqkZ8Joc29eqLUyDm804BY2gVEbne RYF55SX73A6hlyhd3r8/LYovplNjvRPAeFVSiZS8bnz+pBCx/uTgWikhgW08PGHGzMNTR76Lbw/o X00qh6DqgMIuVTdMYaFfg8N6VF5EtYwyZrldDfawtTDywAwpSwcH4+IoZRZ06/QtSClp1C1jGzDK mBGvYDTjiomtOjwMUqSZ/2SpM6E9aANGCbPGe3B2OMs9PAvNQH1aADyxa8CpOgMp5ioPJ6XoTYO4 LKMi73pdBBMYX9SsQ9j/vDO/mBN87w5kBgpHqtqdKGEmGo+uKQo8yQE+A0RY5jyWkRZveL3zvljn Syogl7PcGSi1paZKdMw2qPpm1ynRE/mn1/xQ44reNKi2Jhs7FC7WwrCcOyWMsDttft5ZX+0RatXu UDIL6EDfnaiHDC0/ywiX05jbdGoTRk7n7NDYlGfnPV9Gmc7dIYhAy0WFlWT7jI4VICr8u1BiCjMr eGJRevJ2MKZe9Y+cB2TNcRa1MGoWDQCmbJ93whcT5vVdjQJykscNPe+EL2TMBGHvor2wk96c8LVa FoAupwaNJW1QlDIn8ggqv0Itl6sD5hv0p6jBNTItecDyBuKJnTlsjrAqnSPid8IXq3WpKTDr4aLJ 1SqSzsWg+/PO92pSeHouLjpdo/fm+xPlzGvSoi4dWxXi3SjqQhQ6tRTa9orine11i/UqfwwuZ3sg R+mAb/TZ25RRKgWSMKKd7PIIp0JW5TvZiweA2U6R5lFx1cptZyBJfN/yn8871wtX687f1zqdVG8L 7J3pxXoLdMHZXDfJ6uyjLKVmO0AqVMnl7kQ9ZYEta+bQjsvTBLP/vwDyjW3qyWoUQN6KzIfOD8ed eulNg6RHWq0wT/XFgWASocGluz7vNC8e7zO+YluHXp3jmV+9Z8wn+MjQvF29G5Sju51ytBwh5HeS F8uS8ro9035BC2aznO1hngaIHEIzhfXsHXe6nR5lPe8kLw7JssDMd9HFtdGdZU6Y9ued48Wm+/jK ejBDKASsaHeijHkWjvcOTXCn5sbvs/ucmPAdT5nfKV74zcIfzw5Cv4u2B0V1gyWYuKb2kTYZWHfK N4JnWr5USrZeNdieRRHSTZ1NLiooUFyo04PteU+ZcXv8Oj34VbAm9v0JG8ybDdfq9tSD4lyUBUAq RPDOwAfInPmd4cXurz4uSpRw8e2xsANQAoWQ4a8H5NOPC/yjGkPz8wGADb1plDMT741Ouy9KCoFj Vp8w9887w6vJe+iZDUNLNzPEa3einNlpsOUIbF7JNkqt8NvqK5/D854y315GmXe5M5tuxfQUx7Ta NhWJtEtsy3ZFpjMKzHiJzzu9q0l1AMPP3s/C3WlAXrF9k/L6vLO7kFKOU41SJhrVV75twndyVztC yNOF/qC5dRyLxlJ6uGnOovlw3GPmoVnId7WcTo/9guwrZSOMopRsE3Yy3azggUH1bYSBnsCfEczO J2EFRT6ER4cJ7qREPFqcH/nzzuzioHZ+95iRIOR+rq13YhdTAY5OiDmlAWpT+mMXmf87SFi5S+M7 r4vXFi71JqVfLmd3eG9J7Y9ifyVzAwGCkXluJn2IVmlVLxpsjtTsE6daXDxjpmoGS+r+eSd14a/5 lOrioQqmf3YnSplFTk4uu35E/yD1R01rj8vJK4p3Ulc7DqiQ6j/LQevYGbRqjtRSfllpiH3KuAws JnGdQheAIvp5p3Sx3uI8lFwYLfq0IIbOisI2+PNO6OII/jsjJEmglVNvvfO5EDKk7LvdermLN4nA w1YKOQLjGGO807naMSxqGnRyObdWn/QzlzHGhBw422BMmBMx/+XqjVJE4p3N1Y7caCVszBbAb/GX 2hjbkLU0Uy6fdzLXr16G6AQCiJ/tiRJmGgY5XZsKdlvdL3h5V8dfDMblzj8lqCc4oGhN4bmNE3ns GgdvbslUhcYh/Pwg9f6DLbp9jM5a9J3ExWKLIz9q1mpREyzR0osX7/y8c7ja44vhYQfC5v1kg+8M riawOixDiuMvupujzbScKWB/u3U+q6i9nHhV1VHucpNlqNjR6w/OuZW2UIDrFu4AxQXuYIva6+/8 rSZvCUAvcj+LoF4Zlwa7fr1+3ulbTXZwz+aQHwJrYN+dKFfGwN2ytKaWO8Qttnpg8DcjIA6yZd27 7+/8LV4IahHWepYzu4EiVO9KliGOUOTZnQoBDti1R9cPXw7fNWqCSXEz3UVj4+UQylpT/bzTt5gP nBtdCEq6Ad3vKsqWOXRzD0JCltdyIMZ0RI/97HkQX2F/eQhamtTmIQF/ShiygM1Orexu1wrFr6A0 hl4YSYopX8kIiod93slbbKA7r42QDMKO8XPsPocfOHK8ZfngO3fr13CLtirsF7S7P1G2DHD0Vxus kKsvzo1zKCy0Np9OvDO3fDiP20p9jPn0MSwbtMNJhXx4NMLmVnxcRGPckBB4Pwq+zAffiVt3tqXC b9ETidE/Q6+h8NoaVut+3plbZ/hzz88AFyCtG32ifBllogW17rc6ezqCcZetf9oWNJwf+c7awrtz ZFWLEsPytJgrpgA1kYCETuBcjaqZOLAFUY29Zj8+xd80mN5kzifIYdXC6DPLKF2CT2t/3ilbeMNf gBUwbUoqJ19+Z2wh+mQRSJIjwOj5BvWs1b0rDzGE7LYP74wtDkc03GKtwOUOt2xzGrUu0UW1g0hp qLVIO7ZjiRneGVAQwP1O2Gquk77UGV6CDOBSt5OUaO/ac52fd77W+TafcoKe3ft0wd7pWrh0wOdr yEYL+zybauEcOmHiTWNqOPg1XOrvbC0e/CXgdj/L6YJ1MJCahPotW4YFHHcHMRSq3OwxO6AHNdPn nax1cx6CWX1RnwfCNZyrW+r2eedqsZewv1MeBCJy6rQ7YcI8KXFYnVjbm3dToUTsdhi1HJjyO1ML f0qVZpY0xOcVpEUDGOq/Lbk9LLypEZpRqMM/AYXuwSnD+5NvGkTmKrHV2c7C3anwAhfOYqzPO02L +fLpY1AKAG25uk858c7SQrjK9OSpau5ggiBuliWfVSp+m/cfA887R4v3+lDrtJ/FE8KS4VNAfDlh POj5SK6X9wbN5a9cb/I3Da71ysCTSDreyUnHa/Tj8WBB+/NO0WquQXrbGEADuSodf2bYXx6JmAyl grBn97MzKFxq/w7CB9npNe8MrWeqTr1CLXd8A98k1jZW/9nnOihQk9Hdz7izKPisYpQd7c87QYvF aJXQ2j6LKnXMyCqDiaXM7/wsNu+/U2aY+3xxI97pWdjUSXPWecgRqWoeCtrBdHLE3N4De2dn8eBy d6owB/ViDizeTzQy6KJiPwZeD+JRUCWXtkrX7op4nnduFikArERpEqBFrVBkz8L17/F5p2Y5tOK7 EuWXdS+tGLbcWKd4ytNHc501oWtYie6jcfROzHpmE1vBeV9RzDXAf0xVopgovaaQLWSIUkfi0czQ lf7Oy+LPkAD26GfR7nSHo1r459P/mho73w2KGTfuRAkzuyxwWDqFqDPYxp6U7Cv0hnUFsXdKlmOm uC13OWge2/lRXd1oVPst89K36Gz/c6Wa+RN2ul40aGKIwc8rSwvDDrQUqGNYbPm887H41/zGY/Ti Pm2+OVG2jH43tHidXdw1IAWMEChawQh7dgxq+xu9bEGrnuVsDjTeoDZHsQwLHODC41Yjx3NSxekq 9KHlhp8RXOgyo07EWnJJzJBBftPfblU8HWSD/fvDoslJvblyC3Nl6r/RWeo/hFvz6GAyoUqdjuzX V7hFzWVoXFHx6S6nmAB4vmzd6Aki/5Q12psmVZaO0WDMO2CoQfAzgjuL4LG+kXRpcdy7C4WjkYen g5l6/lWq48siS0A8kjhZxvfU2/CpX+6n1GqOS5UatYgk77nyCcqtM99p/eY7KC/7ALuUpQRVQgmK 4owZLApwEE6uzA5YD+l8YgVoX7mc/qAL6dqxxdMBYeLXTLRShermOz3KldH7s/M+xwG/uy2BBdOW vQEGmwc2wHqExxBPtvq93i/iwAorSPtX9THsRi9TEqIdBwGf1Vy3BzaI0O1Rd7kKiDFFtJlOtIHU 05ZFoxW2eDqaat0LnU2wYX+xebqnPW4uU+TEZ+dwiT25MlXbOU9fJK5Zrtyj3rK6p3SI8+WykGxz FnGRlg3a1woyFfRlbTsp2poz50ZiyhLM06NkWda5QiSC2oQk+4cyRo2KphTOwePBaOLSbXDbkWeI NPhsUMzs25yKOhdp0svmPxS7Iwi1sPuOCgYJYY/y5enmKGI9pi/WYwKqTI5fjNld3nrI4RysTQne +ain9ihhplmTffTPokp9tjo9kSl4OiI+/prd4O9Js2ztT8TvG0WTdHQqtrvJZDov88+zA7Q2qKqN Bygm+DHpobSMFt8eqmLg3JCrVVuSQg9wcXSl/enzaj6wpsHPiOZ+6rsnxaAtPOkGo5UJECZqeDq4 ucZ3H4ND7vkEnzBfxpvBG1ClaGvzwFVIaCFZ/+o395DkR0GMUhwT9kg6WpSALlhl9x0DF/6XlhhS vhmyoKQndA0nst40uNc5T8hN4qmtOiAj4+UFgJ98w399XAzNqLNGv7sT9pg7FbCGagg7RU2E4rEg +0qAdwa1ldVEDxHM7MCXIc7WeDhb9uYF3ijsoW6YWyT1UCfKLLtwdvpytFh60z+aPFAhvgt3x+pb 9STtRph4OsKCfeMx6BOXn915T5iT5C4Z7gpV+DalLa2cIN2kScUASg/6tKKMeYuwBeCSL6cUBTd5 JoF0O3piahFCUriQ0T0f8StqOfaowyw+cSGsUQu3ZwMGrKHxXHg6gqt8N1BR6xVZenJ7IggzBkrA f1WVonW7kPwUpI0NVGy84nIEx9DNVYemomzXwBISGF27HJJM9AhcERQTvijwQ0EhMc/cD5zrip8R ZT2ZunvcnXZ3J+c6mUdAMgpP/xNIyP8462M/2xPmzGgb9U2XqkX9veYIb/jmUiy14Zes7Yn6y6sq II9+lnOxQyjHxWQt8lgh0Zkyo3FbcG3ROetsT9ebBsUoAS7ce19UjLaWRNqzRA1PR4fn160Fqeiy LuRgRDkzypgKk1uv1UXYH8AR1nGQctWnxiPqL8/F316l9h6XkzNTKHWLW2JpWElSgqCYBLpXYG6e DioNLEaUM1OPzKIM5S25OOZgVhZ4deWMp4OceZycmf1lVG29nqRnRDlzE8Jaw0+qYWxn3pCmjGtr k46FyDPC/rIC8/a/+iOCgRzcyizlzMO2oyTJ9eAMQd+XenWn3tp602B3lmRTZjmLivWVqnR209x4 Opobz++cELJZ62o9jBDDnKn2L+tAlKNNlAlIbWW3GWqpOSdyRA1m0Y2auvDghSKvYM4z7MOdW6Q/ +/wzBQUA420yO2azQJe6HfeBHxGgLBsVMERTb3KFwrUFPQa2J+z/4Ol/EW9EQ0G2fok3I0qYGwdb pXoHtRfHekN/urmsQRkOdRpRvrwSAQeVzbCmU6/NgW/nHAKCoaQYQ5uDIMsYPS6I0KLTwI+I5n68 rTYbGlxUjE6qGiPuzIano7jzC76Ml6iX0jaibBmhEOMmB+gC//5zZPQPOaDdsBNly7OrCi13uZiD anUbQRQFzEXQA37od46kOdFq7AuhW/Wqf02NU6WISmL4wQ5Bg36xyQe+HB6PDs8vADP2moJA2p8o Xx60yhvLlZs6gc+4texzHkQd7EZRN35ZUb686PJelih/61L+Ji4q+1XlKbvFTbYLDSkbEPpFLVQn jOJuwM8IPi2x+Flia9FwomUyzVCcTDwdBZ5fYz98Bv3WoiPEL/Oj560ogT1KoiHL7+S0SWCvHF2M CMBM2Rd4Huaz+K1FqdVxpqIYeSSvOJgMIve9msPEO+Bn/DXZGiRPaFFcBhBP5iV94Okg5/lFVWeT Z4wznBhRh5kqOFVGS4mgJ0F1LVxUwVg23Nt8d6J8Wf6KREuQL0rpMVLVsTetCGRpWz/o10UYgqU8 9nHMdkMPp8H4GUHKQwHcOqQOP646/F4CEMGkDk//a7LF3xsRw+1mPHG+TIeK5RJFjZk5AQf8jbAU ZT7DuBzny9wWOStz8dCTLEoPpjZ4mW6fBPVmNk28qPlFwIq2ZxNmOcKEuQtfmcX5s2jDgJFhC1LZ NByWnOD5oFYv38eHTdX9xOYwY67E6BKngn/avK5ATZIBQ6JKxkl6wiazu3RKcng+UkUzFZgJSTt/ W+GSKE44qaI2qWlJHV0pVuesV40mo+wUllbPopxwNuneW2aZ8fS/WhkMw1U5gm/PDLvMKCigAkvd ZfhTFJWjixaGbMI3sKkJyZhRyrw0OJ5CZsx07y67sKyeLZKrRtBpmrwDBsYDA72Lgwej4PkMIRmC zC9WFFwYfCya7SqD5NbwdLA97Rt0sIgtu7F5hikzULqNLhH6vJac3wbm+lai49ezF8EZHX9KhHcS DXvd5fQyOuCsxNfCbyEtaF6xwqAaQ/9BFnD5xkVv+hcxyaqrchbdXLMmhdY2+fT/EnwGO775VKMz SpkB+UGRfSAZHMgyKeyuNLx7y95mnjHtb4o00c9ygk8dDbR7gXRBoS2yUUZBgcl0YxNelFFS+WfY ZWaxrpmdFv6MBBiM0sI++XgElvsN0u1IC+/hiXJmKg1UoVPoFdzSgaImB8tlDma4PWGPWbwt+oJp OdvTAE3mBBtZjoXX6qqfELcjAv424XE18U2jyEOWOiXvtYh50yot2NCRKXg6UmT8lRRKuf5Gnihp rpy+teVQy10OJqMdkgl+PcVl4GfYY66CG6y7nMg87OKjLA+QupZAF8aoBHhKyfYLpZeB9wlxf+Jn BN9WISZjPIu2Bwg2Rh4MuGaUMxPC8zU7RjFzuSUz7DGDEzTZ5iR7QiL59Qciq5vBOqeEK5Wtnhnr ZLDJvDQdXc90lNSPSpYWgJ9plSkoIcDcuyAwp1tyza1XDQJzZydMFmZchCAoS644dvsOPB11wk61 TrY68YgXDTYjVAZVK/uoXnLBNMJDD3EPDD2Fxsn4tqIms7MnipArJT/XluVfcGiUx33N7nYiHQUQ aDGwuB73RW8aBWbObRJHyFzUCLNKTIqeaTQ8/c9qnfBV/KLHZZfMkPWX6J+4T1rI8MgJRT8+HbCK UkU6Q0U5wrzZsPPlgFaATZyc9AHmbQk0g3dp7ETZkcPOiNTGUIcfEcGd6E4qOva6FQUqWua8gIvi 6Qju9HtAAQ2TeibrM4JlsNND2Rw3MdlHYmUNRxJyfCG1vShnHqIb0eVXy2mi2hZDwKXKfsKK0erG 0/hLTH6Id7jFPtiMUmay8VfaKtp389nxwJSbcdX+UDwdIXr+C4VKzoF2J6b8IaHvrV9i0uDu2H2z p8fljjk4KQIz6jGPIuGiVM9yiEnwnthLDW/oFEriKG9wLVQc5Mv5U84TCsuRoz5Ku4v3mEvmZ2Zf dMHTEWql/qon1neLeUUJMwQNoNoqrMox4ARIVwTtiS9rO5N/RbAMTUYL/GN9ORlh3ohg02lbuLXo IQQQESTOZRjglzoyD/yMiMhPmYzBUo2LyDcAAQhaWiuejjh/vxiRXvic3YnyZYRvTIvPZHQ5N9JO rE9G1+7TO2ErzJepZKmyTIt/WkieMf4prtA9Cwm3CSQfzQHn7fQsXlorbDFTmmBjOuaLqgnbmyR3 tl3xdIQHu3e6tgfXQrmHJ9RaptcdwWfSOegqS0FVPxjmdMyBVoTLgGwVQo5wYY29hcawnDH07kLp JgAEOB5MmwRHjtXH7cDbZ97wM6JGmPwk2130adkvdGp7IGcZ5sv9+/AQF/torKyQ84dix+L3dpWM UjTSQnev3EbY9oR5haQ/oXkqDQG5nIwQ7rVVIivVvqGp6z8RCVPALH4mx5DE56v+JYQquLgWbY/F 6CRKZN54+n+JPJSHhYiBb0+UMFP7SuhObE9lt5wSNIsYQnCTwJdhQrhCzp+EuhubzVxO5GkWJNKU BA3kVzpdJnZCZsOEsF91J9qv4me87w7D16ri1XJRQmi55RTEO2U8/a+Uh4cHTZFxESsrypfBv6y1 H94fhFF/XHc5Oe8vE1rLsxOLZLADloQ6SBd1ANuVBOAT4nKHNvKg3ucAdkca3un5toZCTwTKWEQx o/Q8i2AHW0NcTIEGno4APd+Hh8DUdsGWK8qX1+a1lY5xmxDw07uonN9kirDx8IT5sq6txQEpl/Np WbRNWeyJigKS1iCw08k8s07kH0IdZL1psDsyaOX8GIs79q5Fz3dIF6SGpyN1pwPUFUcLP6Y8oSfk /QGfv3qTcYv9E5J2jEYnHYk1/KNjBHWGQxyzJJhFECgPQcA+ItRFLHXQWEl5yeOmIFatzKnfbYOx B7+ijFlJT3Z+mzRQaZ4wZMUIiQk8HUTm3xkzsEfjudZD2h9cLkee3kQdZbiLLSQWBTsYje4JiX/K X/YJebd+llNt0X+dAwHbNEtQhrSGYTpUIPCeUa8ftBydtFeEZKYYHUQ311kc07MbJzCW3lY8Hal3 f7cyGn5+and7wh7zJnEqVe+DHY/NmZYbkm5puTHriaWYWSY07VL71t0D0V54MFiyA9uM2IOT0NBA IRvcs54x9KbRYJ0xR7c7F3UyLIxJ0GpnPh0dnm9UBr+ZlE+pvqOMeWD6VmmkozaY9wuhSniAzNQj oU51TPpjl7AKS1jveAtTOgvx5AJI3FJSEYlJuHjrNzDLAXlHGTM91Sx5zXdRlxDOsRr/pcHHI37J t5puISIxn0bPfk+ZoRKMw9O3eBPoc7pATx/1CEHwt6P9iXUyqvDv6SyXYGJZYc1MCmHKSgcXaoMV aPNUDO3uBIeAsB1CmSvHoiXfhaeHmBWGjtb4hpGm5becbpFZxbm4dghlpmsA254WZiA/2T0p7D4S tMjcut9cO8yZq7i0aqaW6zhqH6idIIvsQoTZeWkkJ2VaoyFRa+m2CaU2vKOcWX2wSRNvLSq4EqDo vIws7dkx7+/79BBbfZGoO6T9zacNxsOz5unzMFjTGvpIg+0QllE0FRWaeT8iInAdS7X7fAJfQSdO d1Hx2+pdtMIO/4ZtsB1lzPw4FsFmvmh0PGqXdpWVKXg66KGWE5cJYWWKOJ7DE8KYoSICoNNhCeyj 2ticgLN2rSf0xLQ/9paz+Mb54RsD8VIGBWBKw3Q3q6AARhr2tT/4ZbuFZk960T+FMuDx44vXE72J EGvFOp6OZOXS95cF8Ed9NieUlRtsnNYjsdJSdmJbHq6b1tbxcNkhKsNRK+xxcTn0m4yJDTt0liVY AiQqCvxZ5VpHdpJTKCgjsqMGM0kQE64tZ1GTcI1GjHa1vBZPRwI9Z/KHjx6+02VfpOWOUBmZBgL9 AJ4g5KWwDA1cZye1Nc7ZCWXlDk63neVMb4YFm5nUyrD3SeJ3JYxBsn1oP4OTWWaG1GjCz4hgzOy/ i/bFRaM/i0FbjKNW8HRQbl1bDn5ZyN7G7RLu0LEkUY8tTS+39tEk5ORbQhkK0NidEJWh5ilAd74c ggAm9mPIIwrDYuHpEvvMEAJF8NHhKcRY4WcECWGS1SFhgFzE3RpTOIea6sDT75dWH/t7dxC9nuHN DjvMhagMok8LHQpcnmdxeATMSqblHaqJ/Tcqo6qJw+WW6hv+SA7TbXY7yaG1E71U0Ma6hNo99aJ/ 9TGKoIRcVEzA2YL8gLT4dFSKXog3Yif5dOmysXeULjf6B9DAi5xRZh3kjC5e7pVc+eS7E0Eyptpf MmOrjxnbBFoLjCS2UHsrpchYE8Ba6KgB+vFYc/ibBgmhSxHmchadHcvNJHJh5SaejmrRb8gB3e7S l3NJlC9Td9NS4kxknP3TUndnLhTART5JDemerEuijBl3M5AWJPPnxylpghZhBZWIkVZtIvqwnpiQ qIfMUr2KluQ38oe87xD7+HZy5AEIf2tm5jh4dOb6gXcBn48Al7/sXajo2y4oLKeo0bygA0kUamVR UZHcoJPKtL3xDAH9LX/sFGbNXX3teZdziOx2Qc1OAhcutlXlzUE1Sw7g5rgUt7H9ZYPxOpmRlWA3 LY4+SLMy5tmf2/h8UFj8guxSHmePa2KSYheTTuiTswAHUCWq2nXpdxR+1edctJcNLghmh12owZ7u OYI+IaDrXnm1qZ7Yamz6dJA27iSHNF/+kD8QCFYOkK8E0MQPmxlWuaTJMDvsBuDzQQp0BWnYDc9J mOqzRWHLGbVux7zhkPvTRe46k8su435cgkKPP/rTQfL2LOeWnx2/W1rRW9DeaJigs0E9CJr1lHTx c0u+qylKoRGS2WorZ2Gwts8lSzvYMhI+HnWdb2uMX+j4EZbKtyjKodGiwkRHwKdju1oJqV7eGisQ Z/NwFDWeh5R1q19qz6e2E/AXvDdQltZM9g5gzuCHW+6++y0ydlv+ssHYQrLelJPRIghddQ8MqyQz H4/KjFOiCi0Goaj+tDhyirvPSEc2la+AcBZcddDUZKMj/7M3pHiaPrWw/dx11c+7HLRG61akNTki 2s+ZvNMAFEPws5hEhSxvkPHKZykSVPHME0Wc5MJjZOFuTnXIrBJj+htkmw+cBcd2w+Cu3B0KZTQc A959btqHS6AXKfRN+zWn5vI9OUX59JSNZpeCfr8K+rjQFrZI7gu22+R2t0WfdERZYjBd0XFMf9c/ syL6HGvR/MJSC9nazK13DO799S09R2Igbah8h0IpjUZbpVOPteEqqRZkXV5jl3JYBDlFObVbllXV 9PVKF4K6lAF72HLG6WnKn4J2c8CeYt6mUETApt41gG3Qi35SdBdLV5M+FWzSD/WFGx//F55O3EDu c7s7FKXVK4v95krfI5crxTKKg36k5MwdigQ1hJQvS7z2dXntq0LbkcJy1LIeWajxhFyyoMWFsf8d gU1/14jXLoMByRzVK3NUUPepSp98PPjKfol9D3pPzecMRak1rh5Mrr0TLSceVq0a+CB3nGWOs0Uh ekOk5K4t6s8WQUpmuDJoLxhdzCSFviFVC0p+O/QHXyd/SNQSIiQKrRFfuEVWBRDZwIkDH//XnJBX DrJdVq/aotAZEGwuaDd1J+uIv4RmfVlyC0SF76IsOXAGvBiF8iy37wE3Jdr/2Ge2GgQf2RRqQub+ 4PA7SVBeFTmwBgQDV+xJbhQXtYXKmm4qmfWO/6rPmBQh3uV688bQHLCRziSSaSGdyT036ZqtrhnR 5jIHjFAcSahnTB598WFY3xjDE/JVLDcCBpbHLEtRB/2c8oDrkr9rMNBwm5O1ziIYhyWS6l3Yi/Lx ILP+Jc2ie/cxwIv9ASuhP2mcCrbrg5spFRf2qW5qqz/mr3FqS/J4S9fjbaM7b39SVQqyi88xMkHs 0E9k/ujgzJX8Xf/g7FhOkGQsnhwAP4Au2gzVdfDxqIj9NY1nJfN4LQUegXD0YAioHqqtyHT9ZurM JAnN9nQCUegSOETCTSmd5QQiAFhrka94RxuTjUhaw1BOsD1Cs4MT5xzYBCKlocNbYw2ijugPTddH rS5JV/l4dN+fOl86+nhl4o59j0JVOkDT3CsHbfxaTvOecpxUaSHWh0lj4BR41UJdYWzkO1aFpIBV H2yEWFVmWaMbe9AVBh8wugjXm+G8bDCWp54UFLjO4m20oTofHQs+Hg1Wv0NRRTsZufzZokjNGTNR KOwXb6QpKDUAprZ/aFCwPB9amFdLD6Cpg9+eDr69f8Jcg60QXP9DAw6KPy7Lj3CBnlBEmZYc2AWC +SslEmptcOEONTtPYlDkXvh4MP+5TqXsFlEbrz8fWswepPCj9+5rS0OGQsDkuuIsLCjOIYqR0Aww nWMyHQZljc1eIO9KmX2wUhdQv9D6ASGYU0UMyS7wZfrLRo0Q5osiHXLxAevEDI+pYNl8Ppqw3hJW M6PyyzUwtA1MvFB6d+Y7+XiEdwxKzqmZL4EJnuLQBcXR9G2e5bSLekXqeTxLN5U2gO9ATwfiDpC8 16VP0S69bHSOmA57Z205V8VC0FwSjJpJj0d4+m+FTHL+YeJztihsWaNn3CkCiAp2FFfpnZzP0Qel zyOvmgPzwFt9/Be8bEKcF90zjhKp/U1pZ9iX8CqFCfkV50U1opeNpNNJfW+aeLR5mHJryJPcok3m 41H50b/vNKI8niI/NBCsU4WrO+R1TjxZwp6Z/e5533gd4zwYr5NrrZYvZRswUCfLj2bX/9Y5SwDM FgLS15WXGPKUDh0EC+1QWP75orwolbT9Qxt8PCg/Hp43U0dABtCH8y0KTQSJ9WijHt7BbOdKq3l4 cg10nLYodBFcQ72ims5yThEoMdkTI5ozMbtMhK5h/lAeeLRwZjm0EZzMGafcKyeNphiMOjpxRJoV nKLASBBl5HfABoqz5Eu4zKGT4JY0GtUlNqSFac2Jfhoa/RUmnXsepFkOrQSnZAJyv8tphLRhmXcS G97yxllkgIvWakEHSQr8bBUtjs5y6CVYGKg3jby18DsbljKyBG25TD4edBzrrx1CqM1Pdh26CUqX kQYHdAhedKcqP+7aJ2uUNlxfKwd+gsJZuuOJL+dOG0TgSXMV0jCTUFfAd8n2/CF9hrljIYyDPyTI HTki6nRn1aIrbY7i/uR1ND7/L1SMGte0ebyN68BREIZFRHEuNdFqawcrXVgW/4f0tJ7PFv0DK93E nmsPMxU0lu0CiB39XQiXIIvEdAWBkEO0Y9dZ/V2D8b2zLos4mPzqYZDS2yBeFUQtPh7RWH4R41FY 76dZFHoK0gwXWtVeow1H2I8u/UxWsUchMgemgmCtuxBJOstp7cMnu1f5cOdkebC4CHBLzRhOuncW DxF1yHLsKugeIBRB5KI6H2AcFqaWIvHxqLP/ixkPfBt+4tmhqGkNR0V7yTv86LedVrwJaXuYnSSW Q2NBQlmhwz/Ocks0y2LRq5YgEJjgHOKjlChpFbcgao8GYg6dBSmHbF9t7WfRDgE6otnH0uP/EjeW 6E2DLNCzQ1FyTdWb3ZcYUC0dOxC7LvKR5ugUTdd9ForZdQmRidAyLqEFZKhtWV0jz3CAXkhERKZt NDhY89qBbOJec2guOLJHoHIWoc8sznFWbenV4ONRJ+QOq+n8ir7WIxSZQ39BjEgBsXIEWkuu5wtL pelAkHbsBXPgL3gUoAtV6rScnmwfcOyUFZEVRBCW5mdWqG0O4eCzQ/S65c+IJMlYmbFjta4cJYQ0 m+CLhZE6SqzLlWyTNAN1GgCiOlsUykB3ikTu7pGI+QzRIPp3RIOUdCJR7MvN07PyXc53NqGsmBWJ 8Hm1LYmchpY8zF0uzkq9otBikHI8IF+2s6jIz2ztcsI4+XgkQTG/yzMCLkp9NihKrBNrgLyPm9Ve FxCyXLaNsDs/Q7EzN+/74cqRz4QRRawlA0UaeynZyWQjZDYdOoRoNv84qO7+rsEhYgm72SHW4jjG 2TiuwwfAx//F3kDMyVLqvklj6DQ4+XLwcOR1v2X0QNsFlPRUjRyU/2Yg+sNrkEGaen5aLt6hAyhD CH4FrIWQWjgYIFbD1yo/Y+ru7xo1HNkiIpBNi0I1yuPBHRqTj0dp9fdsCDIbGR/M2aEwrcZ32whX cV7m+DmEcGGHdx233xjYDQrPAqFsWVX257rv9iVZgHGRKUuyiizROCGAtTAI+n6ZcabKHxLwo6TV wapXi/qNI8ti2WoJvWMkM7W+zlAl6GvcSB07DiIMAUd2WArF1To0D+V1D91mP0IhklpYh8kwzOV8 ZfZpQFaaFKkM27hGWBGyxYZUkV4PB3hFpHkOPQen/HdojqZFaXXb1aWm7JfJ5/+1R95XQt3zDGFj 30FcuJUCPxzCti2uJgCsvkmZeZw2KTZSWeyjMVbnrzE1SsQmA0SyxuGYS22WTAISHK7WmZ/tfN41 Ivgiglg2lM+iPYKwNZMiCO3x+aDI/zJxInLdvrR+x0Ox92BnKDiwIljXKRYBDO3I2Cwz86Q/5y8a a9EErTwTNIsUA71BFfmV4mdd5RmyGNyPX54YTPD5U4KWI0DDK0nLlgvjdbdowOKqWnzg4/+SDcJ2 0KJtPVd+4EII9DBkZA8oDYQp6dzhGylyVEFe5piZ0Iaw6yJPtZ3lhGuUGQnXuVgLBQrvAPNxuGmp 9UxX0216vI7oiNSLWZ0mDlpY5EO7UvanG22QwIjw//atLf4+sko59CEcVT4zR6B+zUMoK373W/nZ 5zlCERqkqRGrJhCXkzVOO/qZ6abtD8KGVN0YMOTvWa8ql1ppoQ+hhrCtPIvQIHu0otjCSBTiq6// KfNqjJogtXJ2KNSJpuk7cwppjG+hzu133urXmNp3KEaDZPGB9llOgW95tZ3KpU4aBRWJugJsBlDB H9z8J21My981+MaSYCA1ncXxjXswlUPt0fl88JHNdK/8LLr4ys+VH7asRWDIrhXdW/eufqa8AlvW 7dgW5diNUMpKMleu+ZHIadSgymwTNYDr2JCGFgNYk4sWC7fZ2M+7Rtg9Fq6TUhVcBLQeAIdjhwrm 1KEb4bimYCL9DrbTnu8sbFkvDl+BCURXf22QKfGdLZynpjEssmHhZENHwr7kPN3SWQ6WuPW2VtMA zS7Y3TuNDpCqVkot13zR1osUoRx4Eh7upr1zOYvajfYFa/KR9uTjwZ3/S8wfSoh5XsPYHLsSkho9 ez/8xKYr3+IzM0fgrgCxU+YYuBJK+gImw9L/LeVxWtlWSBfCASBaYadHdhH8agqxjreERYaqd/0r VkPZ5yw6RlapV5mJrMXHowJt/9ohjIXSbYOExoRo2VV4fvgUtnt6BCQQ/l1mK214ARs6Ey4aHtQp vbdZvtyYR7dKrzoXBsafPG70rAddtFLfVpIw+bxrJFyh+56NjHRMS1DCJgm11Vb4eHCbte/qg6Td r2gdmhOibQMGlZf4XQwq2WCdClY+CDxDcW5d3tuxeNj+6jL+HPabr43zWtwSmea2mHsobWSjkz8k QjtQc7xQ43ah98Sjs1MtXcaoVu/x+X+Je7DbCBUI3nG+RaGCNDISDpJd3WOfErY8vTQ0nHWjhR6F XeH6v8UU0TVLmRxe+yWDNJtYwpItDa3k9vRB8vZ3jUZDjeqZbZzFo/VOUsgeY/Px4Mpf7StprJRA KrfIbxHSGpPFWtm5RbBuHP9THYZSwtQLlPkwYnUL6YrTRYX6WS6I2P5eiZet/dZH5qVFytkWI0/6 J/n7EIVpNUvYyka8FrVBEOt447dZP/qMXh+/mnjMoBubDPc6C40KgdyolTKqsmfu6sxOejIxbcx7 n+oscCpEO5ZlWRHTt1yYNc0zUnK4fqfKFqqzgaK2YkugD34+M/of5NCr0D1XNhvXGkYqbbRLTHV7 qnw86Mf+YkuTpMffnO9QmFgjUrbc22nHdtf5WJJioh7wPol1YFeYD9teWgT10SIABGGk7fe95TG7 0iZ1p8Y0vv2sL30q8RkCv0KEarFdKfnHxUszITFJNObjEaToW1cxQ/ao5/zE6lAbj+PFwdEP/fHQ 9KLQP3zO5ELcEiKxrrMIDFIdtsfxYnvGi3ZYEsD7TfXrsDt5SIYJZ2UAvbevks6kDXEObAsxGSKx CjKhvqirb1skApltHB8PTtEvFS9IN4nOwi36vGZEHQJ5zCTq0dLZ9XSKhmdJu/Tht9lrPtSBKMJH 1hYnjFz8th+4e/qQlE7vCRZHbMOm7prSqDXnl7biazbUocXG8TRl0bQoTFsuwWZ8s4z085oLdVDn FaS7QCCN44TCf2G/kGBnmEkLMsDeB9taHN7zl8s+LGgCfnaCrelUIWiZgZqLb42V8WWAscitAclL YqqTOGx7CiNt3xoHoL9uzUkU01r7LGox7l1dGg9N2NeteSgevjWDfonDt+Y1BeronOHioGEDkkTe 6vys5pqS1UFnCn04XF+vGRBODRkwrXGmyMW3BtkV5GKb+B1Af0gdLgtz5f1Xd6/Wi76fGkFjRqWO NBeFneQVBxgGn9fkpz/9e20NqBMEcWtrXlMffE+I8jCx8s5rq92vLan+g4gH5Rm5wr/uDKA1LCzq usspU+uCSYWrvm37FRAaksmqhvUCFfRd1owjste0px9ziCYBe2b5bJFh6D+Z11kunj+vWQ/+ls4v 646jqT8UBubOvKY8+J6Q3EvhjwINy5FDdl1l2TjaLTmPuW5wZgbzvCb/DC4n4RkdT6vHMTemZNyZ yZ5rI/Lg+GZwNvF5TXcQaaTJnvZdeJkvUJZJ8umWMr8mO3i45rMxTGxQS3OKxa15zXXwEXI6DySU Ds1c6bTr6W8EXhwUn+T/GWzNFKFMgl31EexawN3Zr4gMREsFYVzXORIDBAYZ816H3moJnd7zfWuW LOYSyVJcFITBW+YVbCno5zXL6Q/9V2cGovWFXWnuzGuO03Wp2QXNa5vtyaJrezI7z2xqDDo90BIi 3BrsSU/s1HM54HsIWG5Wa/DwmnDuwakhpBMvPNq8aTIVYV4TnO6uwWvQzUyLQHh7MQJY7ZTH5zW9 QZia07dG+TR2sp3v6TW3QRBGB6l2F2rFDEaRhk6+Po0v5GpC2jaMwQy+kyUml9PJsEwg7SYhqoRx Dx3EivaQ84MHLT2oMhtcT9JjtwM5z+Jwju69LPScX5Mavt/5nvjfUva331Dz2i3E90RnueqGPPCs Xj6Fr9Oh5HKUpsJbEIRTc+eiepbTwpjIHjSDH7ArkZL/QsRpUCAEFOZpFlKkK9obedBgQuaLumB5 SLyiUHoz2Ju+xtcHVSUptnxrXruEXch1wHy3b03xoDMzXdzZveClQDGPKKlhMVnFVJEfryc1MINu ki8bBH2x00xgUIUeQyYqxW3T6CHy2iDEi3Jimoiz1sIPasES2bF2eDi6n9rX1jRKPFJpgAlflAuj EoI3tauX7dUFklpJ0wvosA/MC51RF+0OdWCavHTb46XLATnSXFmhTsuQliSWJi/t/YPxxFWrEIk+ OjhM1Nqm+mbbrqVtFXmXfSa8sD/vZDF8VTN/X9+IPlSL0/ZECXFaRLQU5Xr260wqq2BFtohwxaDN zT7fqWLYHaKe7NzNs1x81ATyiTO/jvn45Ex5Af5IqBEbIj7IoVjP550qxlNeNG6vZ+Hh2WOJeYVO /OedKdad8Xq3Bx3RIklbwUWiq4oiEZkUw8oCWfke5PyTgg6gPAf6E2zPVonZFHzaI5zYLeFb25U8 qu3FyOJvIJls9lMhhOBf1tLuRFlxZ58CBjZn0eFphCWTmbw/7ywxHINxDg+BP4S7PcVUlBfTAsIS NieJQfDRu6bH2HsTtuuYjSAmdymNS0u7fWlpTxTlVDXBdWVfjxrQRQ3B1GjF/kva7Z0hxs+X5RSB bFqU5HS0jFhOLUwmosgzvoNyI5mXFgfqIAVnh+3ANQ+Wfu0kffF5lSZzUhtUvZxoe5i99E3fHS7n Nm9oD6KFRrpBh6gQ4YmoTikHAnbY0Kc11aYIr3PSDBKrTS4qqey8C9K0MGSP8uNc6nfkAcK3rCcy RwkyfYZnJU+Frtrb7SzR0ZTP8OaAmKfnPUMGfpGhZ2p6M68L88aFCJQqR8hslqqPu+QCgri/nzRw 603ft4dQU0sA+12Y7cDVWPYqVl583olh3VUpuRmNb1ag0oOSWtsTZskorUZqLsU+jo4/bDm96Bz5 aLa+88L6aSZ3DUf7MxzdbZCxWyWQM+yXoakWlS/o0APsrFoVnIF83llh+AtSD5kpgy8OQAC/Ex/M zPvzzglj0bp/lRCkYZxmxTsjrKt/DdjBGfrt6U6xS+QVtLhWPUO/KFPuagEWv76uB4Ql2Rl46qFG zp5F2RHa4z8kwAyaHLix09Kbvu/OkN4v/5paFHryXJwil9Xn550Nxjdcv1o5UHwoNzC/58qOne+0 Nv4PwzldwSieVzWd2DxZutWjZBmZC5IddbvqeOoIKGvD3ImRBxovLDUoJkxkGECjvjuddcQ7E+w0 HeClms/C3cGf2dWhgeBLlC2zq3VrLE5ZZro5T5QuU/Rys+1j4caSw91O/dmK81N6I5IOhydMmJnt dLWRufj2oFtqf1JiAWp1g7zk7Z/wRcGLD16UR+xFjMsoYdb2AMlzFkGe7dAQRWf57Pq8s8DwadVz eJged7Iuxqkm3klguNaBrWk0IgUUs8n6AXMsyjEjMBfKRrIRGGbMSgbr7Gc531bNECJYYqTaxZuo W0p7FERSdCEfakrRmwaBmb2LNqjYykX3lm2VSM2z5M87AazLg+g5PQ2345MSvvO/kEjiz2slHTnk 4sIcFhWO+l0iRB2H553+xUKfA5rN1heXU0+khZoCRxlQFUgnFg75AGyEVhdmCJchV/SmQdOL9sup rbswLgP0wZGZHdn5eSd/8drqX5GH2lkMI9qdqIuM3iUAVeUXjBd2jdMhYlZPDOc1vTO/uvi+6HeJ aYnlVlsLyrzsCHY4HkhHaWGcXjeiQeetXh51jnfmF8stFqMgafoipMFuWxRLuxw/77yv/hjA+7WF D3Skuz1hxgzGzFYnedEsw2lfWz40i7IKw2fE77SvrqkSEj6Svrmc0ZXdYlBmlF7ZIN0Cp4ekg8GM nvgwglU6Z8TvtK9+/Gd6E66w+bUOBOz2rCfz6f+lWuddQX9M7U+UMy+OIVMvjivMJ2dO1dWUdqUk Dz+uqKHcKRjZFumn7eLZyGhK8AuhyBQmqlKBodcM6P32e9jXu4jcynfKF3uD7IDJr7Eev0bIzDo4 2cLZ553xhav1V5uHHItZT9/0nfCF0wMHj77mqbdkOoOkR97V5Fks77a/870YmJNOTTvL2R1sSC2O bV7oD7L9BGI9Oeg0gTg21UtvGiQ9RZWE1xXz9MBqTVmY1Lk+72yvLrdWbxDizZB2Exak3XlPmDP9 ZWGq4/pbtRfXqU+tHRxYPupS71QvJgc6NCw1uZxaHSP4QsYHxEgBc9pCX2Daae+40230KOl5p3p1 0aPhzStMYXeVO0salmOcVtqfd6YXp7vl69qa6IOB7eW7EybMpMm06dUomO76shY1mJX09NMHe+d5 dQn0YeDAgYQUKXWrT8xSCt8NcHhwmNVjpU4JBublS6tk61Wj7VnSJB1nUT1hx8cbPdie94wZYtP5 q9zCb4JAfN+esLsMkVyKhDJjHiVv5+MyauHwDCrSIWN+p3g9M3O11blwd/Cfwn2NpHE4lYLTLQkK zcypY/Xgv4beNEgJaRwyEvWQufAYWIQVz56aUu8ULzw9vwMPu317nFHWO8GLvfdJzrtXW32Pw6po VJDG7tBakWfnPWHOPjYf8ovlcic2DcAyaUuAwSUbnzpIQbJdkfuMwjISs887vet+Wo227Vq4O4D/ TpGX8vq8s7vY7itfu0Oue74Z4Tu5y+tfgPZdtE00KdmHHD/CQuIWx8Nhvkw0UxergsvZHchPE+sF LDywQU00VN4aAPrM2wYbuBs+79QuzqTYeO8tn4W7UxelapDyjPx5Z3bxWs3fGSFAFLmfL+ud2MXz CsgDGdSEXEgucv1YaTN1feWUUOZqe2LMBSEUlX14Lmc80ayWayyvC78ofYQdHXu56BbSh+iZVvWm we6oCGUzXIvyZTuZEtO2SP15Z3UxLOdfCSHhVichfCd14ew0RsSDiNttu+DfUjVBrYTe/U5/J3Ux 8LAIFU+5936HoaAb5AbJGlrzNOaepJ+iDEScIodb4ILtrxoUW1Sxg0DzWQRJgUqFSAKdbxjd6Tdf 5gVeKGlzUp53PhdiRqIx9Tg+sXUcjnvbasqDZO+R553O1Y9+1Ehs4ozDi7W4nDIdzTsTwoF5rhuh 41YVz6Rc1VEqSbyzuVhOKPIwL6RkMP9SuxYCMhIoPeXzTuZiK6N/3erV86ezPVG+DEE/SP355K+n 5UNRaNo4/qJNR3a/M7m6QNO4zQk2GEcbzLYnb2gfVOKZgDzNg9AUzNJ/QNW8bQzqd37eSVz92GNs 7rMWlRL238qXp8/5eedw9YcL6FEZAuf9dN/fGVy8CCr5N/OwJWf3gzOqY+CAWveDE3WXE78Wetn6 cltgQEhBXAJX1rTELUnYdvJ1IDHwzLWowf7O38IRJ7wgbTHctjPcrH7aW74xFn8+7/QtnIDbXT4u qHRi9d2JcmUkegBeTAd7kXoBHkD6gmAAjMB8552/xRuBOV5TOdquqdOCFRs4DV3JMphKtH6H9GDV eXrk/eiw/nnnb90eWF/0Ye4HiLi25VDb3UPq552+5efALy1BKCkadONylC6zB8a03u/0lR2IUY8s QiaCjZdW2F/muWlZ+WBmWJ5sEQ6MZPCNYqZukYUG35DHy8CoAE6dr3IEad6fd/bWg/pSyGig63Dk spCScU5t93b/vJO3mBF+Bx66MnAgqf2J8mW0A2oT5R8hKG2XjdjliB0nKjpyf8IOMzXpe1Mntd25 MUblkNYnWxvdi75Zd2T+WDBJQDY5Sr7MCN+ZW7fNU5JYaeCzsdMDvv0SpGfMzOeDD2x/f2ADQyQQ yHx/oowZs3zkr9UbGSXNg+PumlTsRnlm7k/UYp5ZDZ5WznKSHqggzixpFnSb63Z/c5h92uvxQ/Pj g27L5520hd8kx+rwcTqLWsx2auTLNNb+vHO2+IbfKCdAugsU0Rw1GGXMPR0GiRBg+2ho2W05HMI9 mNaiDfZO2WL4EQQs7bOcFjOsGQbaSOjAJ5itSBmW7Z0G4cCrVUeT9c87Y6sffSgJ32EZP7KzLHUR 99tznZ93wlaX98LX1UU8xkXivvO1vP0JSSi0ARtvdYn3W/ABqoQe1YVq9J1/SnB4NNWa7K5zOR9X n7hb2CS07M1CW5ILM10iLUixxex4Hpy5zztb6yY9Vd9YfbBOVljykq+WeX/eyVq82L9TZtwGdrLv 7oQp8yJDq52bXb2vBaUIH5ZaVjRc6fCdqoU/hZgMYVu0+NU1rIC2am66cwjs39lipkAFQvOmwbpU /Ka/aRCZq8zS5jwLdweKYmrA2/3weedpdZfduuVWw0yUhHTtznvCLB0gOLD48KaqBN2Qx+4Syra8 JbtKxDtJ6ybMVtOOs/jZsS22D4q/JUDBgOIkSavT0rJR0eTK9qK1+HknaRE7ysY7Gva+qAs2jnz4 snz5naP1X/1lKgxIm467E/aXAYdYpC7+h+iMIvHeOWlAxGIU8gu+PWGDWZgMkg60PPOJNBvqL0ru WFRuUhkBsgJeuBR+VjUKEXb+jAjmzrnx0IB0uP3PrJh6dAYTy5nfCVps3n9vD7MLUlG0PVGDGZ9z Rd9X9IhBIRrE5f5Fj6ChNCNPmDUn7QtTYi7n9MDWeXcBugGInAL9AMdAdZF8vRo3AT3v7KzuesRA Z86z8PBYiZXkCmY14+ednNWl//01VS/8tM5c9J2bhafIV9+OL7CSIhUvKbar1EHIwRvM79Qsn+jb rkxqh3M5Y2Or1IpI+CipLX2bQmQip+f39ahm6E5/Z2YR7oQ+D/RJzqJWsf00lRRWInzeiVm/xsaM isAtlXVTnndeFsGdxICt6ShU+lCy/05jOGo992O4987KutyaPol85XLudMtzMqeIUDiy2DMci4mP jcDf+QQef9GgkaGIM8nJ4qKzYx+sXF7t+/28U7L6owfhHVQc2nkLrhbmy3CoG2q/V0pnDAcSVqdl 26W5ztkJ4cvkF1vdOs9y8sG108iEL6CfYakhrYyqcG+U/s5Xqg+TNPyM4EqnmHqmYrSWxBzZLnc3 W1wVTwf5YP/OliFWlOtzdMJsOVM603uELdXj6bAkoIHoMGmpwe2JGszantbZpOBysGAWTyYlajj3 m6uQhMwpFoamsr7zLhhqAvyMgC5BCPMkqEuLPi1LDZsQGYlPB0P18s2XAH6xlHm2p8fpMhvM7UAO KMvMYiuN48DMMo5UkvdsWfkEPkC6p3A5XZ4GJ9RFcnqzMFRErAVPzl4PuLZ0oe+dTbAeMvqkjNUE YW7z9DLQaWPgsT8WT0cY3fxVTFR2D/a5tHqULQ/qP3DYz7iTj8b8oOWiemBHY75HgAwOd3qv9S6+ Ow3HZIyks7On8kH7L0YXwnqu2wYbxOj2qMFcybZOjZ0MLtydtNPpZFgt0aNsOdVftYRF0jXPndXD ZBl3RpfLOxVnt3ffk6T5WIeeZLlH7WXNJlqudzkQXaugZ9l0cbB4hGEN8d3ouzUc25wllywho6JX /aPPgwpQmsXYVURTGCC3KQu5VvB4wOy7fFAOjeHZR8kL36CY24egWLMP/hZlRwCV25tfFFIeEDhh AsY/Jiq2eFf72Vj1wcoBpts2TfaGpUKbQN6GuSbgSQiyZBPPR0W1RxkzeZ0Qv89nEWIFoLKuRKbg 6SA0/+oUUvus5HNx9Yjhh3lbrZrPYL7O8SrhTnwYByjB/rfxAIUJsw4QEI+++PYs2C8PDCUAtERH ZhDutClLal8IaaneZK5FbxqN/ijwRD9DW+z8DB4fuzqI8bZfS8HTwe6MX3gV5Ljzwp16mDCLA7OP ytM4fk2puHUKZL6cM9tDlt8QGIyZYf3y76YSkg4iCJCgu1LkCW8Gk7Qfqv6rzcM8Bj8juNbZJSxN nmjteKJZYaGPq5bJN4w+ru8WfAVGGPhM352wy0zFwuaGg5B7UJcZIF0pq+2CKM9qoscJs9Mmylnu YBSd086kZyxYWBVqGYC5QgGf/aWHQQXnHvWY2TVF8/su3B0rURNzYEvSJp6OsGDfCG8axuU7oejv +fLR4atSkUNyRkDqID9g2/eEJhjKGP+0IkSGul+t73qWA1iZFlp2oypPs/yTAjqUfJw/ROzm+chf Uc6xRy1mCniCZ1fPwp9hteCmSATMzRYej1ii6ys0V4yZ6Kal/YlAzJ2EP0ZOOaNsN67M1DZFJwMO 1h6YI0iGA+DZt8XCzIKRp1FMZXfJGHSrmSQ3D9w9vSeYss9Du674GRHQkuWW9Im56NsCjod/O7sA 8PS/Tg/zP/n73Ys9TJmpXSZ6wOIAbTvEu5GFswGYQXTi7kQN5qXDs9ZdnovdMsQhDQwrS7vaiBBS s8BYAGm4g1Gqe+JnBMWoxHg2WQJclDFbVqJvw/4WeDo6O9+7k6GzWdYNPSNKmSfuj85JI2v1Mty/ KiW2fJgyHzPGETWY5xbMiSjdkS9KF9eWFZ5UgysNxjH0oYFXLEoVHJx+rT4mfSxGlDJTb8t2Y9Wz OErX52kWwDKeDlLm8etSR+7U68FkjChlxn8CKt6Wn86k7SqpN1TA4/gvkSOH0DPiDrNIN9LcfcQJ bWtBQIKmDHUwrHKcQhKii2En6weIhltvbb1qxPojGKzK4bweh3P7sORUaVFt4+mgw7xP5MG75f/P 2JslObIrSaL/XEtICuah97+whqqawRl13TJPP3mNrOrjQSeIwQYdiJm61JsRgpjZnsDRiOlpiSgX KsxQccnshraxIkdUYs5KuIQoHPJkGlw96EGsTf5E3b1wiWD/4Q6EgWjd91Y/633gIwKcZas6coqG rO7EPMkva7Y41/B0RL35Jm5B1yA/1JsRRczIPs9V7o5VnbRNChswi2FKsVz7c0QBsxArF5LBiJCT MwvF5PY0V+o9tbWoKFmIY744wnMYDXzEX/m0lYQvDsKC7T7VejmbGE//S9oAywTpZaGdhiYnCpeB bqqVDtLsi8555fSznTsnj/NzJwqXJ4HvvZbtg4c8m92bxuZEPRluEVWTVincz6l/YXSrXjXYWfTt zKLVZgL88TkL08L8BVhmPB4tnvR9bSH4nhfPM6KAGSdN7RR9odEyK5W8tnBVEmeJaoTtrChglr9Q w81iwwXpAv5FVCWsKffqTMjOpU6MflEN1SijQCXjM4KttYcoSXdQe2KJ8YHsZOLpqLVVv0JCMpPg hWezE0KYGY3J3V3/akYPaElOMYCcdINljAjDrBJP3/kOt9IDsWE5epxjB3Q21t8T4g25ul3ZYXpl 4DOi3lZhC6Lfwc9ldf7O5ww8/V/aEyzyjGdzRRVmGpuqB/uHMOClxXMmmyxSSEAAU6HZiSrMU3y2 LmfKTu2KrJjnJNizisp/Dt69OIHcIZTehZSGU/lxWOIzopiH57LaE/16CQ5AF0X6WxtP/5feFsvx 5dlacby8qX7q0nHVtPTHmilZLpqndf5GHC8zXpVUbsvXYWCnCa4xGqVYOyfeSTzBM3VOSKolZEXT Q0NVfEgkx8M8a6kDiCSaB8ZZkKhr8J48NzeeD5L1R5EHSw2xwb6E4xGGzIWMJJM7Bz+4GjdpbzEl oXXgQU9YZM5qHNPRtI7H0RR32YllqeMEQexCFHPbZChP9pMppSvR6pz1qlFvtL9wk852HVWGQjNl PB3VMr4rhUwm1s23ZlhlrmIkSXoZoEKppEGZjXQ2muWgvYz5mVHMvBgsdzU+OTi9BLnoIpYBfPUG swFMIWUgsGDaeFThi71qVEhlqUf9reb9rYVKJH+PdhYYng6m52EcE+Gy6P7Q/HSeUdSM67+2RtQO Jb3R+8H6WUi52h/JLSAhPXf7DJl/bHmeZZh88CZF6ZPkNt7tYHZyK4oXid4QGhqXc1z0plFCSuoW 6RwaND+JGtuJhgV4+l9CYIx85CHuu2tGQXPi222TNjgbY7kvtzy8CLgcXbtrhsw/qaRJyoCD11Fr 2ruojtomfF6lkobaAtLTn8YyvFijpPPPvxaaT3pLFDwHpZYFyjz8en3y8f+ik0bkQb0w+BlFzTSO rXwzqVRvax6nXax5jOzYpicsMwuIWlTUKFd5EDfwWXpcxOgo9k7BfLR+UaojDP7W4QfgD/iM6Oxh e5TaZBpEvwFAj/WbtgqeDlrr69fZI/3De/ZEYXOlxJ3a6DgARjdMT0cWargMFpFxtc9QSY4qEHRB tcHjwhM0n3dhn6JB9QDYaoCfsAuBZsa57xwKLDp8RrC32Pkr5vKaLavYyLjYSW5occ0oam7te291 ygksL/bMuMwMQFbnMUzRjDJMtnLQ/xaXb6o4v6kLFuEyjBc5VPQZD14un/tkTxSqgZcDm5bTk5FE Fsq1jXSTrrn1qsHRzICwTx09046enU7sxjpxO+EXng6SivSruU5l2YsImxEwA3YHgGM4SYAhBDPS mo2SDV1B21tRnXkZqfb/kLfyOr8yqo/iUACzVVlIleApSLTA/F+/+6I3jfqjcnfjGupP97gWgTtP ANrwdJRyfYtBIJbLgErZ7ITMv8Q9W01IpLNuwoM5jWX0JNLkeG2FonKEgvWlOup6lNP6glFmpfMU jLcLYa0nFEJU1s/viYkRs42/ED4i4t+w84cwwAbeWnDVqwKEbT4d4TK+aZEUldu3EjYjXAbNr4eb 3jliFyor8lWEykp1X64ZBc3aLr3k4cNdOhMKFpsRfMojSesALHZUrlhwvkVmFsJmFDM3Nv9KyXdQ SrFqZfMYIu54OsL01K/ZIRCVOYpmJ+T90Rp8dbN52dPkCBe+mh3LkHuWUsYMlTKIRO20/NPg7KSG zjqky7GzamqSHKnUy6WaL7t/+yvkiSJmIQ/mIFudg1WZF6p5uKdzwdP/BbYCFkWRDQ6mZ0URM1sF jRqSFDto1b3bZREIrOVywfcV4TIEQW3Un9DgO+v8D6AcL6FWIFrPUxnnDm+u/KXVjcADnxFx+Xnu yB6yuxcr0mahmNuZfTz9L1FC/nSZr9F8b624yoxa2B6ekO69rBaG1FFa5kIYnsWzQmCG1CyXTpWV HqzlyeTO9mUtrAHOX7WfCOGAFD70fOxYXry0VlxkZsjDkpYGxcsnN6EOSN274ulI/+pyalnsAUi3 X62DFQout3od76jf6J6AaRhLe5unfeJficRzm84cIVJl68y9lc5dlVozCsXmdQXbEm6U86N03DNW gz/hVsNnRKUwYVApD8ZBtZ4EBCenB4qW/y1eJvFv3pBnhcS/wjiQorlY4X2aWfuiTrhKYXTy4eYK gcwUFe5JgWG68mDn3Kk0KpEeNWyDZDPNRmFB4ZXNY2tRNL1qVGfmrupscHGwo8c7OOfXwNNRNvrd HKVE7BPyrFB4ObEUxg4OmIZkj1F4GV2nzGIPzcS4eELinxV7DN4znwbOueA79fwyrV7GMOtfJPdw i0Ba4Pwb1P7wGUEpjDrd9Pu2QQEhIFUMec7fxNNRyPPNyGbpb1zQyori5SSfCTe1rW5sN+nXI3Ww TDY/1k4slFGJx0h38LWDc6cyOgWmJ23Kq7UFDHujbmZ69tbQ0RPhMhYlMmqRjkhxHRH487G5eTLd gacDXMY9mektyv+7lBsTrliCGctH9hvs4TSvFao8NsgSsLBnhREz86ymtcHhmSDY5Ep771zGvcrD Hlpo1G8RoX8IepD1phGqh0FhTqKOApyK+dklCerQz12Cp4Ob6yFvcSrwMVD9tekJ2X9Ilk0NbPFf goLBt68TCAWFME9HVywvtxQJbx887kknGNw8N0o/2dyiLXTPiD7P/8DO3y2FsQ6/oqBZ2IMqelt1 UYVVcT2KWps7ng7O5js/xtaBW8A9fEIwM4Jw8rN4NouNz6B5ZLUp9iD7iKsnrDSbXKWpGVxcz4Jh N9qr0qGB5JM8SqDvVVgSBaHSG6S01V4RmtnNEZmtcxCwBxG4SZ1WPB1peP+qM2N60Km06Ymi5kXv NHH6yRgoxRuk1hc8MZGL4q9YXo515koRo1qviBEK8WOjioPTp2EjYHPBXnPBMQOKvffmWmPoTaMm DgE9u08fVMtYNHpgY4ZPv88OodBf1FqcKex4cHp2FDUPhYWrWSVsGHruZOvV0cwU+aVadcj9o2ck Ahsf7vScgKNSvRadrbM+ZEa2kJTTk7Lfs1lWyDuKmplywVq5+2AYlPMfL0mXDz7+L5aJjmHyUL0U tt+jZmiQAJGxTe79HAKmw3dOi1xMEUK6nZyfUC+D37Q1KVy2L3VC4LdG09W+Qc5gwSOxuVVAUdr9 tnEIC9shnlk6IoSYaeDy6Ut0VxDC+IbB3ZV/Xe3Ma6uj5nYIaEblcavCs4G1Gzpx5hwUL8LvAzte HT47RDQbdTRnH7zDniAOS8Abau8nFK9S6gHCDNUCQs6+RYd3FDYzRVtLBLd1CW7lnJKSLUDks0P6 X/rWRUX0VC4edYfsv9lM7tMWT3UpGmpkswhfXS5jx9gM4XoUFs4nLGyQ3U/NeoAJon5k/wk8f05G SmsaCYeVsB0FzZu4ntbHHZRTzJUlVn7mC09H4oTfMkbEsYxn7fwVy9xSdp/6MlXigUh5MaYA8xPO TqwuN9WbWD74ybPRYYG3Jypha8CV4ocazRUSbzjXrpNmT3rRSI+ZQc9cxQdlFNDZ2NpYFU//Sy9D 8hHnU+pzLIfico2otW3Hcp9mED2zaLUoovZhFJwdIzNY6ilGkHxco1qh+Z5oFCftomg79tU28zoy lIxGQTWRHZWYjcpP+0sN2lfnIhQYtaaFp4OQ5+4r3lpoTpWnebwjaAZ7t3t3F+rh73521rkEuzNH aZWu+Qnl5UwxQ0WNdrt/61xaq4rHduanQ0OTBu3EhEGPGQESqFT0YW161b+pPA2mARpUzQDbkHrM Zwfj6Yiy7ltLLNNl/SNNTxgxd0IOih08LW+XJmxeR80gSGl2QmiG+loQNbPBVs+JFmBIQLkV+P+0 LLVq5hWAfODw0eopLN/gM4KIUDYCZJNpsL1VJiFzJ4QZeDrSY/6lEAaE0rgKYTsKmFFAOUdnFR0J Bh3uXbKbsf9yTybTs2NohvaWGuzlQaMCigoOKpXgzyTBhJJBI51ICohCl1a7p140KmVQd2gLbbkd bXnyodql+bn4dHCjX48F/MDk1D2k4x2Fyw1Q2Y79JQ2jUpSiz0R/XKpabnJNOv/K+8aa6k/kdQff WIm4OdqXgDMxtMgKm4Qgj8J65Bp0NL1pEA9qWlTKKF7KmFB3E6pnnFxrRzXmvvb3xiLbeVwZ+BSF y41VFvqW0cBkVmlUw+KICFT+GfyiMjAJA2b5uwh3UB/cwYJ9yUlGq7QJR59QNYIX/UQzsyGfuMKW rFbyQwJ2JGcI8okc8KsxXT8x92QlFVUmPh+BLr+d63qnlsGVO0+hxlxm+R01KTXX6V0HtR40qpoM cBD203k1xTEzU65Oanbtl5q9YGuPqxc/26DPH0KjtiDpABGB84JzXJrb2Payf4Mf0OfMBoMfIFUn gWS0xueDtOKidvF+0FzIj1FbTqGVCWanrWklHxFAlbS3auXmzWZF0p8JQM1UPW0IZ2zwcvw4qyMX 9ZAB5MpcaQlkUhTLkP5egEbN9q5/k4ZoYi83FIs4MXu6VPaYY/H5IALK3+hLmKjkcQF0OYU1Z+zd Ph28K9sdNiyk2IyyIUUCtdNipz+tH+20/uw0WGSj8iCEWAKtBHr+kPz8kW9wSRdCt+S+mqIAGggy 8iS3Dzyq4TwkEdiTB/HxqOP1rS6C8nFBRcSnKAqhDePH0whC2wzEqRBBv2KCxCqca2QtkKLKszz/ ulZTd1cEUt5OYJinTF/mmaDKiClhjuAKcKLQm2Lstuxlg77FlKAj2UvZSygkEqonuGEukEKsxpev CbvbZ8dRmtjmKKw+N9phEUiX2VNuAtKxA1aBEztHKiiTSX8mKgCxZ1HqHRytcf7PuYjN4A6VVtIq 4XpLeOwPWYnGKOV9jw/5G5KOaCEbuIrW2kuG9EjD8Pj7FNWvJBWrFtXnr40Wlp/R1m3LBGnOlutC Yp7NUU2kppdtrOScomB6djlTUOuAw83ETubblxSOTmIzSpPCEbrt1FBCw8FkHce0d/2bfljdNH/h oP7FOQoUDc+td/wXc5IqPmzdpufWD8U06DJAw7g/3+f2iQNqdv5S734UhRVo2ZahzmeDbTNA6JZ8 z8hqP4csq2Q8kVgxK34SFciT612DfINh5oLsGAcZOgPyozLQuQMaH4/6g78kI3gtPu5KKURuAOsw eO5hhkYzV03oPBjecCzPx9Q3eH95NS/kHzSeXQaodt9rKqgG2ZSlxnPJgQkCrFVOTwts2rtGVz61 HQfuag3iErST1UhjrU0+HuyyX8z/Qf+p+eyyWIMO5KVizuqgMQ1PWc1BKAPUvXyKwko0negbrXk0 XDbKOAfpUql1ISeWnD5CNsgfWSlR2B9UWPghUT2oMFtlE3W4hdyGHiVBKye8mHw86hP2GxRlGdLn K5aVQ3fASRnDW4uuuzo2ajtoVVPNGQrcAUHDUsIqK5j0FFuxggD8kfzuyY/lyH7+LYEMVHWdJyi7 ihzYA6IptQneoLZGd1n0iWq9tNIg/J1Df8Dxi3KBe7vkWxXKoUEg8Ci18Sj/w6bqEK8dRAksLLKs CUzs+jN/lYQSgoWDU1JORgbsvGT1dxrdLFF40aLmUcsDrkv2rkE7I0vDkPUhDip8nB9Y6iFzVD4e BNa/lH0qq5/pXvexRyB84yDHbflrzQK8zCTsagE41JP7HJoELqGj1qw++Ayds20l9olRTIQDOGeo M/JPSZpiBs5cyd41avg0kr2GDZVfdY2THDE66ih+5MAmUNfJN2GQdf+bngU+gSgKIhZp3RpiUK/U UX1Smcn/3Vlf2Q3pc+gUOMRrmm35YNvs5H8JlVtGaQgsNIuVEjLodbdHbXaw35wDq0BsM9z3g1mW BuHsKA34Qxhs5eP/6sgLF4Uqca3PKgqV6ZBKQh3NyCmEkaAjL485qhpKkDfpz0SKoVIqXt0HbznP c9lnisqBZwCZtEKIL9n4NGkYXwYNzV42Ai2whlYE7Sjm7XTu/qxlhJ+Yjwdt1V8YccAXcZfcKXqP q1FAKQSyTh1FkPO0QhEpZxQYYyasjRbG1dphpTcfLhoRegJVhRBIjTWSB/Mg5/xMLJRm/SiiUksO LAOx0SgbmpTkp+tDcJatIE+5Fz4eNX++S/jUzU6XY5ADz8BMXtQJd11NvpVlzl0nfnFAYrqOk6Fp oHhvVcZv9TF+gwwhdOmtDlJTYcjTFsypz3rK6pBd4Mu0l/1LUDQ3y9QanIYxCiup9eQAfD46rstX ZA0gbcntudBi2Y1NkS6Ru6G9b7aTkxrDwrQSjMnkI/AOzA7uaL354OAX6JA04GjQBjoTJRP3tJAj QJakFdcGKBDm18tGwERbRt0HQaJBOZLBWdLjkbTE90Zrm6ZW605RHFpz8pukeOEJlEXSrZTLoN0H QkiboRAVLYehIrPt8oWfOivkLA95nE3ES4gb22ZW1lHBRKzj5bQ67GUj+XT5U7G9zoGBYwHaXPnZ ynw8kr/+5eNFiMeT44cegoxK+hjDMthFOjwy2J6LZbCQ0bWdFoM8jPh/h4v9PSHQoFo5Gai5kCxX KBCOextsfQ8cZSsdmgiWIdkWQcymQczOFVlIQqI6Bh8Pso/yK3BE4Q82WTZDoYtgpyvKdAxeI3yC F5o7t286aWqGQhtBUb27kIrdnaSYwZ6gERamxJgBNMsmNGuhZVFz4TFs150f+ghSPO9cX5bIbsko ICjJlfvsvHLm85FwXfo+igBiz08ZJLQShCJXnYUwM0CGYI6tYhrOhUoFoDSaF9NCM8FhlJX/KxO+ 9vnrkEXewuGdv8B+fQGmvPB2W942y7S25of8LXREj9UH7rNzOerOP9n+5OMRZfdOkbrSyNDKPYti Q0HgRzbIhXIJplsRjuvN6rAMUuY9rgNLQawjVmNXvoPXrSsws3sKZT9g9sKiLKDHFaE11MMUO5Zc k73s31K0MZXETtezT+eXnUJKn1iez0fx9Xf7o6lgfkv7gaugldM6JYKp0TbMdBFla0msbxi/+BSF WOlhcsbFB19GZyGt2gVXPFnrrlT2TVhNRN2zheaOndXeNWjec4pqkpRUIq498SiQl/LZ0XrHKM// BfwYS/G9z1Dok7JI/U7WYzzfQI3qE8u4Nm3qLBZ1/ZmInSpZbIGq1pPnJ8w+VfLA8wF8msCiwvsS ngrsj1t/mlJkOXQWTNphldqkHJTnj5oZaJ8EJfPxYKNdHcRud77gFTZDUdGaDay+nZ/a6fEo+Mec hhyayVO00FxwsSQLfzwfbIYW7vRESBT/H6BFQbw9UKD0iqMRUXtkEHPoLihNIDIRbdAMVWDWGVwv PR5xob45mIiRS0rpzlAUXDd5jE61zRqEwtVAg+agMRImgby60aLoWvTLXkWQr5cgv0kbquSX0ugr FekCooOf+/nv5rUE2US95tBhcLCsP+QpM9xTZlX0oBg3nv8tHw9C61/YMzbyHqnIHHoMDjINU3Nk Zy3tgkCyg0BK8TX0D9eUWbYPvstg6FaJCC8wEkNzgmsIwIhzGv205BO08rBXDSZIoghNKJDmKBBo LG6T0mx8PMpgHRisyx2X/aNznEObwc4WDYWbeQ7RlJdAkMWqEYEgefk5FPqmFPl8SWC9PwLrZ7LA OOusN5Y5xpKHNxJ6lIqextBQpSi0GSR2ZKH76oNS/FKTvt1Z7Hw8OoXm1ymEnLqU+gSNUVidFkWB ktfSyvRampyc0MinOopW0N+tuRs9vDV40Ih8YmW5VAIo03heseABRi/OZ1b+2KT2d/0btBzkDx+U m6WaRQQ//+DjUT32OzdjkXldX6Icmg3iMCBGlSfOOY9YmV2Qvp/LrFMmWF86hWK7wSUlgT188Ms+ QyGSohwFO3gMzk2FuFeBBvHMT4va3/VvGkFtCOM5LsYT6GmGjCdo5+NRc9ETfBN6g2jtjRhDw0Em +D0XT83K1ScrQxHSbsn5zjkwHMz/TwoBoxDQyOGmZkAGmB8jdEeLZW/AlRQsOST6usmgSat3/Rs5 qtOZXQN3WT/5sQBBLesd/4X07Kq6wdvqloli00GEahBIN+bhdi/YvJdLdbTUfAn9HUNdpTxav5RH 1zlRWwLHGfyodX5llAAaDYUa4sSMdeSYK2LMc2g7OMl7rqTJaVBM3VF6FlwmDT4fSQB6xCg6DJKe 9KyiEAsCQKFZeRJSNFRUm0mtWBDsmhPDc+g8OITEA3bAhpua0cmaonZwcV1QY4W0L2C4SFZwQ1nv DI0Jfchf+h4opt9BU9RyW0yYYXHI56P01ZcRn8PvVq9ydo7NBzFFkFxTtZHMQ5mpqBdLLEhBaKc5 ClU7VCdKkpxKV3LqTPQ5K048RInWDELalqISsnrejl+WGFSZ4acE5UZnr3Yf1IOtuZn0wh58/J+i QdihiG8pOG1zFIXV7FgOyc+TKkVRyQaRXwS+uPA3NU154Yc+hLT/OGkr2/kcLjKtZYgEUz/75GL0 YIIOA5rJcEqa6Sq6zeLv+rcLbWzJi2/Dl27gcKvOFxRBAidCE0a5M3Rmez0V69CIELUDiG6ZTxpI y4ZK60Zi2AM1U1tCERKk6QjOEgTM66sBe5b95IbH2oKiGZmIJEDK4LNeTS7V0UIjQiq1LgKdbBCc KK3NXQbMNh+PBCp+qbrxldYNqgMnQuwyImTasDra7MmomvLPRR0N8brNUMxFlEx0rz44zmGvXmAA wfYisJvsjyRqHoPP11iLVdCYlr1rsMey1dHuoIMI9GopL5+J4/NR1OhhNb+GcP63pB+aEU7qjNOL jGxWPoMaUamUkoS5CmRVbZOFUbUYHcu06r/Y0GcRjbmGOEFnggjj6ahBQr6HvahbauzN3jVSBiR4 mNKFGpSZnQPBWHToUYduhCN77kqpATRNC7VSbYpiB2/eJDixUdLfxCBhnwEv/9PUgkUDVRDZ0JFQ XY+TZG0fNEdznZCuK0tEg3/0qtYQvHgqC5s1X5w1DzB+SnASmX+Rdlr1nTZGmlwEMDzg48GV/0vL n44O8+qx59CVEHOLlLI65qr4lS9SNJKP4noVOXAlROQgs2Gyojl4CQRitqlx41NoT6oETVw86BM9 +etIw941OquZuG4J4W2DKqOYSfMjOpby8Wij/VL+Zdss3fQsNCZEYQauDN14DOxl0mqFK4FgEKr4 cKOFzoSLqwdq7D54+jqQJ5AaBhLMyV5VtSVnA3cgBWmUng0FRYE1YbYZYqRpgxLYvK0vdM4GPh7c Zv1m+Hg3kpHXLcWG5oRQ5jpHkXmgukwyE1iCQaBvO9wjLAfuhAiJ1KNezQc/iqCb0EBhNeHxrl7t pD0gCmLIfhQ2ApWkd41we4QO40LBgBYZscCohDJDH+fH5PNB62z8YkfjXRmJ2hSF+tEA3O5hRjTg ciqDhVrvsDraIPuJN1rsUdgNOzx9uMc1Eq+mBA2O3sRNnAOJqkIDDdh7oeVt7xokH9LNoTSuBm2z cxCoCT/G5uNBYJ2u+TA3pTqhN7IObArhFgbVCulLZuNVZYFBhkwQzrG9vQEb2xRK3qMKuFcf4F45 GVpSMk3tmFXFkabLH6rF5cs5w1ZRGFd34dF4WffsoKuM1i5bHm1WPh4xPr5x6ATupaeg30K2Iq4q 2nEybqxiSS/YH0wJne0iGHrSn/kbKaaIy1keLueCeSOsexhXnyt5IB3jdQbhinM2TGQKts+yv2tA G2KR8f9KNADGbTagO9WPsB7vxdj0nZ3hXEKD3mcojKyzNEq9/1pu/1W0VySw1BnXDMWERe2yfQdv 4i+coOzAwLh6FvWNkoIxWBB/qVOJyxD4FSJHlxWo8Hv0k2BulvoQmbftxcejamz7PqshVtrZLbUp CpXxClc47ilss0XtSuj8T6bSrKV17bOuv/M+R1Vk8iVnx/U47fYFoY8l7tneE5cb20LYYqBAtH1l dCZ9iHPgWoi2EHHoi2lsdauOE6OsLmHEE3Dz8Qgt80u1FIH2vjn+5yUkGv8vYXfCt2dZFRZiXiZG kGe1RYQYR9fZS0B0/ogrAo9BqXoOhmxsJ6gG5B/hz0BdV6aYTXpTiAKQbM4vYcWXcIjvSQ8VUIqT DyLDnDXDyWlt4eH/mRq+37aOmQyjaOqKIgS31uclFNLMTEoXzWmSnJOKDOjdg3Uiq1So4NjaCaZG rY6Tvw8fbOWcIKWeX5R32MBSWSK8diL5z39HTKWmxtDnr1OTaI8H3QmWGDkIzwiB4aGE48TSr1OD Emz9nhpcPpuAT0zNSwzEh7oni0zC6iZMENtqEcdE9ZyWtrXsX0IgrZouu0Iav3NwPGxHEwl9OIoQ 7EkK+UnYqNmAiJn1V7Ov7nzR91VDstqaE3GdBq4aIACyORW1z0v088yrZoL/6fwhgltT8xL7aNVA RxXcXau8jpFsP1HukiQ8fnHawr/ODLo/FDHJXDQcbNFktDrUh5LDnIRwa8LOO8E06JxX3j/rPd9n hpHp6ov23n3h7qvcUCNnVsLPAZ8/L2GPvmUvNjXZ8n8IWtnUvMQ8eghHYQWSxmwcTbAUENxlrbFS DIr/EvHoR6GI4ujcLBy8DnRu8CbH+ROXoKbFdVUpIwPRbojomG/GsvcMjhrCHDc6sDbwNl8wAyVq 44Trn5doxx6ePjOYBlBJ2KLhJ77EOtqE7Psy55Cy9rZFU6rVXuUVLPvPYGamFBnGnj5c7DQWUZWc 0MD/L54LBfjOj3++mlNbS6Kx7kucw/dcxLwW+HvZoEP4zIvZE/b9eYly9PO3u2Z4rnDJMRDE1LwE OTq6IUWXSNH6g34SK9nnqIGHW2GhNafFmj8tIaK5YSt+TJ4jHOyoKbAjXBCx5QUFf0/moyCCQ9n1 Z7BarziZYjAvEY42FGEvq5FFxoFzswothZA95fF5iW90Ti0/haW/jamk/Cam5iW40SmMrKTualbn lRg4YoFZa2Qznqw3KtuGh7D2Uys++MycE3ymrRZPPpE+i9iwWMQD7CA8WGkogH5e4hpNPjufIKf5 IDDHieAkIYWq80tUY+/Xv/YTRX+p0MCZeSkXaj8Rmdj2tpNmjG5NeAr68KSh9CHF3YJDOBGdMNJe PniVpyM0JleonGMdtG5suQSKfkuorZNmrDsSIRg+431qyKw6IWSpPqgMdm4/gRSouxlMjcsNjJ9m 91Mhdo9T81Im1DIFIrF3s0irtHCVNtdOplLfSrN476VGqE+WtcGUXMWcz6JBWbmKpjGBjeI+gi3Y pIvmmb4yrm0aLUReKoSafinGLAY3HLSf9tSNfM57PPyv+6nIqgbbH9OliC8KhtGngnaK2n+NyrzY WzDo0OSc6VsXIxWFw91M5diy4ODX94K7j/SDoMiYWZfoFKSvoIOjQXGVKsSgjzYVdcZHI8+Hg0DR wCTIAL7x6WBX3YBY0ITJjNinJ4qIExNOHpbIpuoYKl/MRLCN+u7Lq/BhRMwkamRFf/kWmPdZMPBc 1ll88rOa2MpIEOZqgiswJG8isIh7EJ3GRX5pbC1z4OpBgibF1jN83nhiOj/ysOmh5GYhB3j49LxH xcgyKkUTDfpzQkuDthSpwRT4Y2Rnr0RR8ZaZHMnRGmx6zraEr+5gr/QcED3rQIYBNGqpVEGwrbU0 O1FYTJvWM62qvi+vvp/P2zY7KHq9x8VIxfxIbiJx6ID06YkiY3Rq4HHu2DE3EkHJywnPdTidNwqN rfauhJyDr56GXQC8OdrtrRGNApohgugTLNCL/Zes2xtBTDGmDCCS9PySEQ4WOq8qeJ3j7PPGD7MM oHztrUYub7mL5z04Rp5I/HGyPBxUMIWAkz+PUNDjMujew+NskgJzEL/KwU7ms3fTmXNKCpypB3eB hzeaAoViIOgfDe2tqUJFePQQqNHoA8FBSRX1yxn0os8eBcg5f1/ooqMzC9H8RCEypHrRZcqGEkex 3QwtsTNx9uxJtAam5z1GzvSRgBUCbS05eMoJ1G7tWV32eX5zqnK2Lid3HPz7iQO33vR9eiABAasx bq7pm+scbnMRQFNTq583XhjfsN2jhxpCWDkNAis2PWGYjNYJGZbKINra1mVXvoUue8tecY/CZEHG xhp38NWzCi73TXw4s9CpQjfWwAYiCs5JKlbMbm/6fvY04jJ7zd0HYRCysQzhMvp5o4RZ2urTo1sK he/cPSl/o4RpT2LfD6ABzCzWvC3HTi4lUFE91+aKYmUZOw0JLI0vY6czVSdskJ4tBAtSYQRQIJdV gMMcdDkwY6elN32fHh5gC+JMPujsQWFWGWWfnzc6mP2A916X6oCxQGx63uNlMOYm7ysxnKC5YJ6N cnFG128Szs5uRBQwj6orS3FzeVC9J8eCpncXAaPUSTEaCA0ARTDYqfPp6Uwl3rhgFthpenbxQRko /qbUfiH4EkXMa38n5yRHz1vsemOC2W2JA3lRJADQTKrvIezZjYXlTs/G5vpcYdDMXUUPHhtsc52/ ffZWIbVgnL+O2IRYMrRCYTU8CdSQ2osol1HQLMmpnSg5vp1LNecJs5qYuGgaR1Gz4LZe8CJkkUpo qgVGQXMVPGILYXjuGHd2StRoo4v3GF4LDGNmVQEJV9ZwL64MSVLB6CrolVmQcFST0PCrID06NaXo TYOTmW2aQfaHBjUhzq2ie32W/HmjgCkqMDvUYdSdxoK8z04UM0P4CJZy2fHgHvUgnFDUk4aLmLzx vyzqYZt49uKDB4UnLj5REE508HUbxb2hfQfcNoAOoChejlzRm0YVdnIumAdoUBNrU8QKs9Pm5439 ZffWr2s9cR1Wn52okIz2FLLRYkheEQc3GFnWPd5tTZPxf2N+Waauyte4g+db53pp6DbwXMbNLZX6 DKv2jbih81ovjzrHG/PrK+GStrwGVTHKIHAUvNv2eeN92eT61pKlQVkuK8n5CWNmaiFLu5+ddHS6 aBdLIUMW2gdZ3JygKGiWkecYI/twT+Y8ABVjm/iE3yhosAkBeD3lmxtvTiJW+BqfN96XPmQT4E5V Yw26udKQQFo9e/jzRvuylfDdohnoYrFgqPmJomYs/BMpN2sSE9Ns6i5NckqwpDQwxhvpy25NXuiC wXPwnEJqkp0pF4A9uJ2AVkF3DwKlk4UeoVXIrnzjfGl2KOqigEmDVXrg9aZ8vXzeKF+6Wnf62l24 Ltl5stkJy8qKeqrBnTqlA8nWgVOM4E6cac5OVFeWTP2YDIg5+Ows0FtAa6FJBhh/SSiMLRY6C5Tu VL30pkHUQzfUqknioNlpan1BFHN93uheVpfIX2snU8c73bPnPWSWnihQ3+py1rqsZzPp7aF8dE+f nfeQGSczC6fy8+bgMSEM2SBqq5O5bIJc0c4C/+S840631qOg543rpVXOJk0heFiD7i1owygmTPvz RvXS98y+sxoPLMgC9bt2woi5yhTJQKl7G+B77pqW5aMDh5Cm5z1kBkYLS+KcktsHD3qQV2eWBNn0 XIWd4UHmDpvm5UusZOtVo+kh7IIQbA2GasYEsZqB6XkPmaV3+BX0oJ5GmSBNT1hhhmdCJ0UERtWQ fTRC7l7mcj4TNeo7/0rUN+eJM9fwwWZnooyUaaJ8thaI3XLkonUHKvAQnLkgMHvTICQc4h2tO6g7 3Ke2FkWl3lhe1gQfXwcPfT739FLPG8nLZoch2TQ5oJGtjnqiXiPGne2xPeh5D5iR8rOIsbR21tfa OZnpJto0U4sMUF7VMvAdVzXzGR3LiMw+bxQvWzvEfS31Jpb3JhoKDKJ45fV5Y3gpoBz1KySk0GO+ IeEbwUuhEiXJlufqQnro0urWtzknhvVt3uhdX2XU2YgOnO3LAv6knwm61ybhD1sVzg59uYBZnetR cCl606B9TvLSyTybD5ydCtMEgb1H/ryxu+61+uwssMvyc/C8kbtsvaJB0Iep2M5Na/MFPIOZGWXU sqyU8UbusluLbSriazV4PgFqWJsUbIMLxEgsRE803WSjS90PnjtsULxRu6xpx4iws97DQQHz0gWC MkP/vDG7+PSu+/tKL8RY+6X1RuzS2ikS+RbtFmKVfiwTBKUyIRW7sLXeiF0WN03/zjbY7HSUTVnd yfTXTUOwMH5J1DJF4pZpGkpbnzdal2VbWDxLOkkrGzbxxHnwzOTi6XzD4Fiu+df0IFS+HdE3TpeO jMTjZtud3mnebhx36271NQxi8Ebp+spFpwxROdjsrAlhgSkD+F6ggm0457OrxDUpV3WUShJvjC6l EyxkdLY3UToF7PqH/vQUe01In8vnjdBllYz1NT2V7obFi6hvfC77SWSK4djlauqjUFZxBAZ5Ybi1 3thc+mlY/1qJxNqVuk8PWCDAKxPs3qCMKEjCBCIXuuK3itGZib4Rub4axoknhgYB4Sroi9xYc37e eFwWcvjU0MryTOYenki8sbg0n5SPmMPCnQEemmuN6haDS6wJab6RuG4Kje+7qw8X0dRRNxKJq5yf S1c+PLDwh2Bu83S2qMD+xuHSEic8UPAADdpWaP2p5tfr543CpRWQvitgsEFF5dtnJwqVO0EY8A9B 3et8kyS8F5xcpoMwEooTXDhReRm7kcWd7oPHyn2BETslMwqmSRZpG81qOfU88n7YOfyQqARGrJei wenRIERr0xJvItXPG4XriSXvjU51JvFtOT9RsMzKd0u+ehrLSURiqOQMJAYOIF1ZYXlZsnVblACK coAlVUC4OAEyIeJlQpNFjqm5sDEJjg1Fuy1LJ9v2jcA1vnBfTLdhJAE+geYHfR/EeOvEg2/8Lbud v28t6J4UFkE1P1G0jBJ0lZQ8U60yXOu4W18d547RSN/YW9a8QQI1Sd/T4Ff6SachdUyC24m/SeAF oR0ZIygTA9eMCfkyHnwjb1mVhz2/qSoPHAWILUau0xU7I/T5vLG3rPnzvb9I8uC9oPmJ4mWCBkd1 Vb/Odj6R3HVuK2PgF9P8RAVmKUMO3dgcHGp6UsXGhQEkGJr1DBoLdE1BDoS0wF0+qLV83nhbVuZj azSr/p6t/j4nIAiMd09k/nmjbdkbfsfLBH6m4gXmN9aWXeosACSHgIlhW/DWNbkgQqHw+gm43lhb 3xVmfffhoT6mB83jtHn6nF/spEY8oACl+KFrIRqe3p4ghvuNtKWD4KGRcJD66obbHrfZiajm542z 9ezNCzuQhXdygNwbZUuXTmMdA3m9eltoh51UdEwAWCslITHxDWfzG2NLPw35x+dgWT747Ay01ktV d4I1B1IpJODXlyrMhugBfOzzRtgajlDMqy3586wrMDbKNkhPH583vtYtJXyFPED27uIV1De6lhbs UiC+HI/r9OPsATMCOutOvLG19FcostKbyvCt3QphA7cm9SbUwbldCwseiSR/nK7ohhggd6C/+Xkj a+nXp1VjowqGBs7OuXUpg4p3X583qpaVMX6hDkgW235xvTG1dFzhR6S4GuvvVe5XqL9TY4BrB65/ PHjeeFq2s9j4A4fPBi9jNDBpEYIR4z66PB0r6jpAs6CadFV7Eb183nhaOiJJzaa2qQ2qgQFGyLWz Trj8RtOyoODX2sH+pumAZiesLltD26rLoCho7axavQbWi3OQ3khalopyerYwqPuRgwJwC57CuLcA +m1ZWj60NRgwaizdc9GFevrnjaNlmTovrK0mxTYtk4lIeegwOSHzG0XrKd3f6WFyyPWk6YnKyyhi nmRnGSl7FBc3HGTpS9wQET+jwjeGlq1cJqFJhYz0FDLOVT7O2qTMKqhbWVXETjZHorvSNb0ioOeN n/WVqS91KJbH5XDALiKtn9Pz80bPsu7471SUW8urPG/sLKsN0RnExNQhnuIZBdtpTEWbi9S8kbO+ ttaUztG8OkeotkPzYHHttDlPfKjoER0iEmmBpLetpTv9jZtlYTnbooMesRzU2YLJJ7fGLnw6uLTq 99YCiBSYap+dKGKmbU1PybFy2S1iE83nkYlWMug5O2HErHxL2hkcbuMGhYzSRMSGixF1NQZ18kif mM+50/WiQRUj6cBJ2QdbOhAk/REr7PPGyRr/C3aC/FSeN91qUbgsYYY11aNBCKKe8ZR7OCZnrmE1 nhbil6sqg+0O90Yfq9OJCmIHGeYsLJ9WlYgponKF+lDnw2dE1KOt2lf2AZ9xburpIt+r4ukgHOy3 iCHpTGyqi8doYbR8puMclUk15ZZuDWx5JRUFOO9rtai8nAQ1qGwec/BkfZ6JrnlY1w//j2KS8O7Z Xb53VgNDToDPCAgThBAuWV9w0OpJu7O/DplqPB311L9bE/jChRVZcUnCcDlTHatPw1nOUS3ZGrt6 1y8bkLC/R8t+Ki+p8q6rylsSYmAg3mXfBECDZA7Bei4FuLZ0WaGdNbD+d07fOXvvYBXCOoQiPZOO pwPOxPaIR4sHP9f2ZKJH0TLmAGY7y/AYwllC4ODR50vTqAE9wmNQ1hiFreWDlcDO7TAhqEYbywHJ DLlY05IO+2quWwUbBOn2qLxMEPLKuRcfODs5nXNZ3/jkEj2Klp/6KfXlz2mxLkGrx9VliqvUYnno ytOCZcENBmXDuoLlHhWX1ZmgZJENfioP2PXBvAwkpJP0dp5NUMT8aR3tnEwRVbFlieXpUbRMT6Hz x1QjxP/E6sPukOJVnt4KHo+4fRfDjHcjPrBdDHOP2X24M0aS5m4FkZD/Au9xMCkFFAwvg4iwRwHz JP60E0agwY9meHUPAi8KBCXOVDKdSDSRoRQI+cTzEVHtUcRMTfcT9rHSw4HrZ01xPhDIFDwdTZCf PQRfMDkpF3LQI44fKy1SsaJQMb0WaMC8iv6FUwHJKFZQGDFbCSNNH+zwwaqBSFgnRQCavETTFXOc 3z99Xo9h/DZ806jzJwBqFxwV/rs/lFEWOeYHLWk8HVxdF+SttTZ+pASs2Qkj5kFNg16tTjgJfEfn jwgAMvZ7NTRPj4l+zEIXvac5XDAYov1i9JKTfS0yBOC88gNjVPz4XueZNGjsEYiZZqEAdy8frLe1 JZ9by+QbRovnVxUV1ZBxO389rDKzp86S5B+S0rl2MvUMxCreFdhLphM9jpiNXjJ8sLVzvsRJRyel Z4BiOKcbjmYo2J1Eq9Dm6EK8qeDcoxozaWhncinxxIGzM08MZTphY+LpCAs2vmaH5+kDYe7vEbMZ vy+SxKnFR+Vf5BPY0Wc/Zcqkn4nX1opC5i06W2XjioMtHug6lM0eNhSLzuwTclAh1VoGY7RHAos1 5h7VmGvmnqIOuQZ+RmIhmw2cc+Di8Ygo6mdzkV/c41+DT41AzKA+AOPUlY5CuULpKKUAC3GoVHrn 0RxBMqz31zg/s6m2wOWzG8oORbWMk0Qkgg5oV1rpPgFLaTt6EMDjMyIsWGamxXh7+PG/4Q2udLK3 jaej5fPd+iukLFRPR3sYNCNSGHkINXjmFF8EEG/JKv5BpQqgRs1OVGI2wArlmDV4B2fCpExeen1Q wVDEdJRacHHtcjujLIXiM96Pns6cAr5zPigdHRC4wbcbqeHpaPH8gniTtLq6L54RBc2INpCFGmKl I/gyZTCqFNKNsRpiZUQlZnX15mQqzuG7lAG9H0zPWf1l17v/MiDeYG96EZVWFiMKmvnVViLZQIOf zLRuxgmW8XQQNI9fxD9AInu9HOwoaAZ/8iS8SBZ49pABRvINMESF/b88iIA/Z88Ia8yqEzYGz6PN C+jJJxwbjZo3YP6dcGcbkhC/3onNaOvpGdfWq0Y0bEIIVdOol/iXa5rGDpkbT/8LZynICqGsfnGN EMWMjGs3Uwo5GWxbpjKTWRxEQtqQC4mjHhWZ6Vh2om8bGPUMrh5YI04qwVGteSevtG7UCfHh91o/ 633gIwKcJcW+B/fpYoWBRZs5kqSqThrX8XTUn2hfswNObxbVhLMTxcwNMSYbjkoqKINDRQzV4plU uJz1iELmRUHGucgxmbSXtsmBm/neoiYBFVuSqOyEIYACOS6O8Oy/gY/4G8IbRSIflI5mcBB48MyG p4ODp34j5QAmL/XiDkYULzPmaZRLZ7JOmjkhuvV2RrPTA0YULwt9Oim3qMFjntzQSqDI1Tj7qk7J FINxUKgHmPoXRrfqVaODR4KWXQUxXDn4nAX5BCZMQNfj8X81t7iNBgHe9+SJImam652VoT8MBWjd vbF4pqrNJ14fhrMcUcS8JPH9EvSUBWVUiu3BaLk2Uo4rA2dUjSk/bKRRhHb4jGBrSdKIoDcNPHgm pEkYxpxJx9NRc+t/mEn0bdXshBBmhhs0yf4jRoNcls81nbvRAya/BqcnwjAX4VXEn5iXP3GuXuys 3GTpceKfajJGQHNnNjnn1R7uRHiPUB6DCQVB0DboXG5ZKuYn7xp4Ooh55v5ePCg3PhHhiIrMkEY7 v2hX4/gko1sxD8zyGi+wjfPDZieKmKf6xnWLeEwQZBbCezZoV0tjb6+1m0Tm0KbAnQDVEWfz4/fF ZwQxD0uLg1AKDQLpwhqX3+78cTz9X7pblL991ENGHC9X2ncZDLV104g/d6Arf7HdrXM5jpdZA99y e3dJaRR74PS6qCWFrnon6ItSRWLwIWRwA+qzEateNQiYmxItFuEz0CQ8ME7kVCUAdc7bvfB8kK3X X81RYBj2pRyPMGRGs7XXdA072RIlOSltM12iaRuDnrDMLIhgfQaboAqQ3KBSxUlvoHIlWR7gnQr9 ykpuV7k6Z71qUA0TNaARd2BAMHou7aHS+kwZTwfTM339KP0gQ3f56TPDQjNPn0GZLyDRF62ooJbB miWRugOVZuIyZhQ0SzxuFvLROTisB+Dfwl8Net7V6kGN4s9YMVDUclAYlc9niMsogllSDqwv416e MKgR+AjRj4ang/lpnnFhXqmYQ/FYTU8UNPNcbF2oOCI0DJexJrEqJ+MqmOWKq32GlWbVwFQH5GCz cxI/mHUypQBFYHPdyCqH6oydDUDjHBe96d/q8GPIS2i4l9CELzwv5jb59H85fYZMn+7sRDEz/SEm 5aYMcDkdBG/B0O7EJ3HtxMw/TYtEIeY1Xzh34gm+OmXKUWHNFONgNaMAwjx/GuvwYo2Szz/jSjMO rJaYUXBQNQP4ICG6+uTjEWLu9tbJ/kdCXK+M3IyiZrSfzp83KZra6OZDJHM27Z4tl0jOT1hoHupT kDfKwfN1MI4zYSIQRwNUTnrEaJcJBn8r8bTZxmdE88M+xVYxbHsxrMEdm8Wes8fwdCTN+M2qZXmP CjCanShsrkD/7jqmtQDppkOSgHIKweBdP3eGYnJirC/6SnKw6SlpJJKy1V2fNHiGvQCqZ0jqRrkt QIj38FWDzcVaISbBB03PqKyiAeNR8XTQAmzf+TqIskDY+fSEdWYIaE829MmhoAQASmFknUi6Mo1q 1Z4ZITOm0swmRUpVX+xkTqMMw/Wck3kLmnny9QW0QIdjy0265tarBiczTg+4cE8fOD3pRG2s/LTz k+LpqBbmYbNK9vTiu9MTQTNwJ0LuoFuxZ7rWSu7N9haEt23xRIXmpWxiSjNjXmXhPdm/JKUR0eBJ VDg7dEolibbnRzmNoqcziprTJFV98UbmoFpYH3SF+jm/Q8PT/0q5pPJ0XmjcasaMomZ0fqp8WImY o3OUmhR1G2IueUo6Q125IgUaGphNr8NQVy6dXZBUZx70DOQqo20k4I6YGDHbFs0oZlRmNnf6QQoF B6UUZS+ys+s5APB0MDnpe3JY0d+3EjYjaAaal+DMupsJFSFx8ECqWpMz6cjIjRUFzfQbP78hkd5j P+LvY4H9sSqPZQg4UF8WOiswnWL57CqbqxA2o5i5SYyaZgQalFJs0FXw7XJueDpC9fitRfgzoajb 26Mz5P1RKSMZT+vko0WKhEsUTkWEtPjh2gmVMgjWmZTZ1eDlDIRrtclWOrOwIUAm9iARa2z/7a+Y J5SXo9rBVlFjZ6dRYPMuYQRzwdMRcqX+SigAeroNrhUFzMACAIm0TO2AGvykCaylE2hXQvtx7qwI meHisCYyt29EWIFJSrxQsaqqyH5gq2fYXic5B9idjtWKz4i4/DyOuxoV3X4BuEtWgqzauQzwdED8 m97AURWVHY07O1G8jPVVW+7dzx3X6JlOU9pko/HcWWG8bMKwwsL3azW1CUkakDrl1oK7pK50YBDo YD5vqYfOMPiMSFyOJ46gUsltZEA2SfJs37vi6Uhl5RcyA2XKfvs3K5Rcxsb/cnQjXJbQDFdwBJfC WJErhGYsAVbU5EqMzht3Fji6ZYtSe5ZQUSGsjSqZwR/0xbwCDz0+fEZUCGPpvZCTVl0G6BzJuRcz mRp4+l/KjTyCyVm90nsr5P0Bg7731clY5p44l5zvWOhc3llfIZBZLP41qg8eD8If8ERANG5FtFO7 FjVKPXCMAVLyXulJrxpVmakLxoqWBkNbnpemjuf5ODwdHTz31uIhjoLRo5OxYullnDzADWVq9Kg3 Cu29RDVHqLwD/8R4cIXEP8FWNqNmDp5tQSmD6sjQOji7tLMRVmjgQQXffgWe0MHmZwSVMApf9Umi OwfFg8t+jpNVZzwdRTy/oLq4R6m4qNmJwuWEdi3M2Y2QDS6ExYPLyH+FBX3OTqyT0YSD6z44LAOU s86iJUA9CxiNH6rQwFw7s0g7Hkb21qv+DbXS5I/cXCZprzqzWtWjDzwd4DLWd+sY6kWl3IBwReEy IUdt9eWosCq85flFeFgPBITVUPArDJcZEI5qjc/HNxreJyco8YAQBWcuHhRcK4STxOYfAh5kvWkw O+xNpEEjBUQa1gTG3me8C4NwPB4R1i9shRsJn1MvxWRFATNcdsCcKHIA3BRGRHcUpurEQbEOZhaA KwQzd6nLKdtKT7YF+OUAGRK76yQRo7HmWshPpgIOdrfVwViFX1HIrKjnLMjiA8/mE1dtRT3nY/D0 ++5C99+WT3fMJZ0ubIJC+h+JAowcaJHYrwXgmmpYwM3Q6H8rrDQLT9hoqdWvByZghY2CBK5UTbAl 4kPwGNj7ZzHFGqT01V4xoDmrMzp9ELIHPqGaoFXxdNRd/95ffFOI4dj0RFEz0hyQyq0HOFJzs1b+ QOQe09+Qgc8/NJmz/CbyuIEPNPFh2Mnjp6K5AFxTQ6R74rjzfXe9dxcro/iMv5JHi7Qby9VuTDmJ c74znw6Wz5XpSVYIO8eG764dBc3IWHEMdIczNyWmMzcCdlEIA0ZJgtVRkXmI17ZT98FLGScDhaMN r66KQqEoSkQgbGkU39lhkXlHQXNjhVBVIw0qFEL8pyvJHHw8qIT9Qq4Uic/63trvUTMo/ZPGrAYp nMkVsGa2iTqJbqq2enYsl8GwuQg1V75QcxkCVV3QjAWdG/bBCs0DIOBIJyvr4hAWtkNAc5XbY7mD Vs/5/8Qcbo1vGBzOF5DKfcRa9U0qdohoZjLoECdQtJsCw0nllz/4fRpohDx7dhw3q868sw++fKDi XejyyVS1DxPqwYwjS2zpFgqlOryjuLmSuL5XuwPP5tKq4uaCyGeH/L+0v7IKejZdPOoO6X/kKDFy 4MmzHJqRuqsecD9o7fwdmjF6vYMnpGezAmCpgxkkKxXhW5UN5w+KYU7DYSFsR1HzrhLoSdsHJRW7 a5+hQYSngypq6l9JBQ3nUCe22QmxzGlcggCl8of5lUC21qgClMzk7MTsP/UmmE9x8HP57O49+Y2g TQ8HLCakFddWbQg6r5tmT3rRKKXITEQV9lDH7EfShNIuORur4ukI8/TtPUE6IwRhbHJCbbnGrk2e fmnNYvQ24q4p8VTu0gmBGZ6Idh8cEXaiwL27uH8NRnas9JxbLMu/jhwl41FQTWRHFebEpVPYptKg fVWqgXVrWng6yEfvvuJ5RY79vsKNO0JmEFSc6DvNBkVrKvqsTD8uVsIme6ycnwiZYXrVRXjL8uAt ob13UiHmFB09IZFMCexhbxS8dURc9GJtetWojEqeAKFvGjg/K8M38Ie90YKno4zrG5mRyVy9hcId CmY09rRMIB/Yg2QcJcgvSQKruInmjpEZStfZNOLgHKWzcCbbhzh4AIlnbN1QnmG6Tu93rp7CaxKf 8Tct+E6FVQ3aW2dWljk+DjwdXFrj1+wgeXvaNzssMouNsmVVUlllIEdpl+kyPSzVIJ/YYby8ODtS /+LgFfg5aypLpusTaLoljhIqmOj5IAEwXu2eetFIqCcRZUnrGw4qE1rjEv7bfDpSg5hf8SBJdekm 6zuKloFGqgABGHO0TcXI8Kqxg6f1bBpGO0JlyIdubIlB7EcMYqACu5akaODK3ShaU6HBWEAWoe6w W3Q0vWmklcFkK9F0vaXlvFqoeuioPcnWjkrMfX2XMnjPEHbL2ckpCpcB1T3RslV10BmdrPQAlEGT JBw84ph0/Z0ondB5K6vsea2yF0o9uapFMUYvwI4inUB8dm57KBZcXUsikvkhAT3SGAISwWItnnjU E7oUhoTwj+HzEeayfd3rqDZBLeHOUSgxl1RBBfbCe+tZxklwQ+cSot4/5c5TGDNLjbmyu8fB1xC0 CVunFcVMZW+6jzbC8yqXVpvuuJXJz+enRPqNqoTJsuPGD2cDp86WF45RPh+x13+xTdCz3Vdnjoqw QWhIj46ZrNFFpw3l7BYGIfQykUL10l6vBytqKAhK4ybtMFxvVAegNERrg0KqZFhXxFy46R2gUbO9 a6QNIZcXekN21B7JT9u4Hwl/GnMsPh9EQJelLWVvnNTt2Wph0ZnYVFDwrY28jWg7m7H+YVTshiYp NPtTQaOSC8nh9pFHaYXY1wJl2VXJOEnoqtXCUn26CLolA9YUBdBdzVI5IaYvJ8QmFkc5RwYf/ydV GzMLeS5waH2KohCajkGL4FZUfpZE9CER0ZlzDeI0cvLTKKo8DxmM7t18uMtoZlhD8zTq51yV91KB skADw3v3m2IQJ8sPCRoX0tAYLLFyELMCEhdy8YW3QAqhGpetzdVUzgrq6QKhcgqrz4jSJk28/1Ay YktQY3J/VcAMN5TTmnZaWH6WREQayQebonVSmPMVirRkobzbVT7kDj8n8KRvkSilvO/xIUGO2qiq lij/xIGrCDrEVcS3k4bh8fcpqvkpcbBh821zl1MopdHZNx3D+qZib58k/sQXSlg39PXMniJFwfQ0 O00h6vLDKkWR7hxwVcZTE8xASRwhSqwUvGxX1nFMe9dI15H9C5bVNWgRJUQq+H5z6x3/xZysP8YN BBHEZyiU08Bh2elo8Fvpe0+nL1UWKXQUxQYnrCEu2qVx8P4XxOqhgcYa/aCFxwN1Rkm/+EkE0KC9 a5BvbJXJWGKFKKKOlHSuB+Z/Z9M0Ph41CH9xS7uSuztDYUxNpaFZXJJ4Z/FRzhqaKi2e68I1I/Bn 3tdQ1UEkPZbxrcdy1gjoFmqg7lYTe4ngSv3wDK85PS2wae8aXfmE0i1S3E0BHVpHA9KyytEnHw92 2S9l2UEDqvnssliEDjZr7lJ77uau/QZfQlc7oma0TVFUiB7iJe9affBtRj131pPQTqPfEqYoI7TG OiDNjNCfQlIAPySoBxWx2lfyQW3Cc2EK8DwYOIZOJ199wixP+nzVsnLoD0j+doc7jmrRJTuVSfgW 2AgRf8EZCvwBwcLa6i/fwauJkA/bTcosFXkrg6JWWOA5a2tSByA9dhU5MAjESjUIR/PBsg/oIv4k 6X7n0CFw/BIyRGm7kFhgMxQyBRtrZuayCW1rc96c1IaDk1CiapssAiMUh4lBtbZ90AydVOAk/dAk +5ERVZXoYefRhHILWia/GvE59giUwEZNxQfBOM4fkyHHHJWPB4F1/sYAVSZKz1EduwRCvR2yuZa/ IodRP4NS5XQJnCiqaIZCBeciozea780vy3XgmU9UxKMa2taLBksnsEQZDGLaFBUzbOZK9q4RaYdq j1PiR1Rg5Aydee86quvg4/8q2XNDKQJ9XCajqvTiz4egnrts96q4eqVkuT4gBuVuszCw5h01u/S9 e7/bDJa/YBazeNYzrDt4VvG3JHz1UZulWQo/JNpm1BQDpNMGwexaq2wiQ2uTj/9LZkNGDvBErs8i ipXpFmlxT0TkvifFJeQb0CrKzgK3QFRgJKUqNZv8EHLR7d+SdSI3ZZUhQi5SXvRQchtf9gzNXjbo yvOH7xRJ1KCTCGVtQjo2wrbQMLBc7hcjTNSS922q5sAwUB2tEzRuqYmdU7tOqxMNWjBBYYxedNpn YVgtOYktRZL9OEc3iDbQ+5YX/iAiF9qGmCkEkFCa9ZOISi05sAx0QFmTel+76n0NqFYGNLkXPh71 fn6RujvRTjd/DTwDQVtOnCFTnW19C1IGVV4tp13Yk9AiCoEd2jxJssXpAbNCiYemQiyDAAmuM7JQ MaEmNcgu7mXaywYx0RLmjgwnDlYG2WnJ5eNEo3w+Oq1/A6ewkPOlEObQOTA1Jn6KDLH6ejVwx8K5 Ikgru6dMPgLvQBULGDE2HzzL37sXqR+dTbagVE/4CwJ3NViLSwMUgkL4IdGNxuSjca56Wy6cDk9g ZrAz6fFgHfXvwBEwZj7nUxSF1lQPlnocE9jWRLicqO+Io/t4VOXAPhC3BUPrZHDwR9YGR2hJIumO jhSNdRDKaZHQk6VFq3Ja9ZcN5NPZ5hgyHOLAwLGioM4vOFfm40H28cs4uRDhkZ/zOgRGV0rb7GTn 9c5GJSxqTSODlZRC0p8JpqhJ4TkXHzy0XqhTdzuMTqAmqfVGRjhs+GjAbYGjjKVDD0ELNqmyqUFh 0Vnlyzba4ONB9lF+1xsrG9c+Q6GLYGc1YjrroBF6QzaqnOCIwcP5wRkKbQQ9ptYi+tJGOjMEnd4i QhjktCllUiGiVRZ1V+8aEsoshz6CXATgWA8N3WBmo7TMnPmkCJnPR8p1d5/x2MGn58dAOfQShLgD TIgbeYRQvJVU0uxUd/kjvZPptf3QTXBokoaYK+NhrgAiC2UY5h/IpAYpqfCdk4+oZPhZKlrsm+XQ TpCex4scDhu40QauFHqyn0uBjwcFx/ILDYM3zpfZk0NDQch61522aUgtSu3guGYhRF6dbXfjzeXA UhBIQhl7qDPW163tn9eGK2tXAnKue1mAkCQP+8UfNhdk5syaGD8kiB2nHFJYMuKgOw1MYOKpTjDR +HwQPF5YA4tFKpjfLDYwFZRrEUJGMVdOBJDNu6rmIYK84Jg2RSFY2oxMxdtN9W61BWpJX9xqCIua RDu4rRpwmGyhuWNntXcNmvdsLbZKWF6rWxnIhh07CxkAofHxiMbyy5Vy0O5i3BkKfVIAEe1lN8vR isHuxxiA4rABS+6k9lmIl1brdUhAYMxbTpuAsGRcY+AiwMCQiE5RhCcTxH7705Qiy7GxIBX5s9T+ OBiRZWaz2UmZj0dCdn5cN7vzz31xL7TQWrDxtCzJHB1GSd3hH93KaSer8lpR6C2obTYAgrHBy2kn wesSbDhR0bl7tiohDSUjYkW9LOs6iDk0F1RUVLZJZE937jyxqqQjzunExyO+xviVooH9/XWhRdF1 I/irmRNIkxbqH0qesySLIB14Or/RQj27rhxNWWx+stiKUk6qEucAAb4XAdCx5qHGOq8nyCboNYcG g0P6tJRP1WB9/NWZ8NQTQvDxILb+ZSoDoDJceu8MRaE1/vZJwaYjO0n0kin3bgYCyW7WlAOLwYvN 62rl96eVv6Bnv6lPfgLsMyOTepsN/muM2lryCSKug58RTFAT/ExVfUeanFBR1W5oaTY+/q8UlvdW owj1s8lCHWhEjW1d7+BhbHDgFR4cSPZjKPRNIWHsLJDmg6ewE91n2voAQnvuBVpUDlRqUCmal2s4 VCgKTQarCUBLhsIhgBNHm2Lic6nx8UiA4hcXE5Xj0p54KIqq2Sum3KqOaToBUEScSFT28evIvoDC qFoLqAjGWNLtUZeTICR4dLEce/ZtIuCoFq4jGmQWFv7Yo+72rhGwnKW0Ks+4ajRwlOoaPxAcfD7+ X+pENIpbTxc/tBpEcfH8/SmBIDREjZB5ZmiZdcoiTp2HUGw2uLmEkoTW05fQejs/FMkVUt86xxL+ k0ZqKzQeZn461N3e9W8KQXIa0SCIZ+86ZFsak4//M6g2b0FusBsyhoaDm9ZfZRppFVCfy/7RvO3W HX6fA8NBYFmwihb7uBp8l51LNeEJ8VvOHJlPz1L98fy16+VJbAU/JOjiD2ojDukEjasTdIJaIYJa 1jtGKlPfBVms3i93qxybDjJ77UOVxTpSsRkq8sYATJjQXi2iWBdaEjiSh67zIcyfaHNs6nkjH4aY CRkKJ2Y/ASO+MtVCDHRFkHkObQdZpV5NnaF2BVNSB4VDeJk0+Py/4LDiewza6945ihmI1OtoLuQ2 hlmeng1mc5TnuHMUBtVb9I3cfLhA83z+p82a9SwnwWjjavsi3f8B4txWEXub/JCI3SsJSZLIOGiK 2olFs5grXEZRVF1vLU2Yz7PR6hUry7H7IKx9tpgceOnVjDsvUrFkEikYoDmK1aGZlE0pKs1HUakV KBkOVUEmOh/EzWbmGrwfKedmTKm87G3/JvtycoDqg5qwSAuYm51Z5OMRYCZ95WZska/xzFEUV2cZ uS3pHVeKZALIB8gmk9Yf8HmWZfihEWFn3t6HxLmGq/dD8K6dWEeFohMXQN0qMX1d9KStsJ10Rbdp B3aoES2BcSZUGsTnANxEdX1UQQIrwv9btT4vvJ6adehEOOSUVl1/gUmzYGkuTjHavEsogoKYn9Ne xYfbxudhRFD+SWDOz07IEbYc0KyDV69rcqmQFjoRcgUv9gVsEBSk1MS844Tug49HAhXfeQchCeVK +OfAixCCOnSmnkajh565FdJSscwMeGu/zmIzQulE7+GDI/P7mXMC/8m2S0nqJsTGEgLcWIxV2JiW vWsE3CODPquelh3AnWppygVP4qGXjOLGJ73PIouj8+JTFOOruXS2BOlP8jql3T8rsyz6q9C6VJss jKtdpT754FOU5m5S/CmgSefGMlIlRh9yz0javNbYm71rhD+nfkelYisHpWZbWjU/taBJHfoRzlsi YrE4o8xY5td9Flt4U2EJBB0U9TcoL5npKw6PpiYsJbdZJQpNCRVcn9z0DoYjnqznEl2Lij70kbHU Eu61Srevmi/SepEdlANbQnxN0TZr8kHFxrNAxFRNe/Lx4M4fv4qNAPFQ1UpzFBoTohJbu2iI+Bdd yczm3MRbW3Iv5hwYE57DWi7MU638+bTyFykePCfBDoJHGLtHgj+AM/NksFTY4YcE64i2saNpopr7 GFXw11RqXIuPRzvtF7EeKSNxsjZDccWaf3l0YzLs6XCQxKo+4CCU0eBOC80JCb7vyC58sBnq5348 m0uRdAOtvAtMi72HW7RS3laCMIqKAndCBMcU9Z9p+qAcFq6HjPpqK3w8uM6uUhdlPZhUrxtbh/6E al2xoM0cVup3gIMApmmO1a35Ggpja0nmJE1UGg9BMZ0rDJA9y2Ery9GdJhcZM0NRGMWNwCXpXf+G dciUVViZkhf4WhBnKNxyJzNYfP6fSoGY3IYckemCzVGoII1W/tbaWd+yQkl6KKRGTCeQ59incBgj Jvlwb/2+gXWXPfOZrcqidmNLDpPZnkpI3vauf+sNTfFTOYjckluXvPoYm49HwLRvMh5jvv6AHQKn QlikUreiyqAZ3CGuGOBBtsxSN3GOKun/xalQzsz5DhY2wnUZ7gFkAZ+kr3eWtiskzUpWrn+9M2wV xYE1E3xmnxpUCWk0cEEXZVY+HiyiK2omacqtOoPPUBRYQ7IVLELjSZ/rzbwc8zJ0I1gny5dQjLIm H0aapSrNaYYy1P0JqMIMIWwsus6oo3jiLUi0+j6jAUIO7QobafZVqUf11AMWyakIiJ4qH38/q9dX JQQvh2DvUWlQ9v9+C44vfj2Uh4dFjkRYqAXrQu26Cv6CdNjSS977qRWViWomD+sTLYF2g/8Sea4U S9eXPpXoDC2Krc14TsCZ4lbi5xzKRaTDc19+tI3eC7K/ETMF1rzlOYmikjVaDVI75D7bzbSlz/SO afW0UZd3YAPjQmnDArYnhNp4+OTwsZ5d5I7OtUkYrex9wYJo+yrpkIbOD4k6Q4SBmMtaMns1hBFN fY+5Nh+PEDPta6NBI/5LNPnzGhNlVRwRS5hO1ckBXVuRgY1IMcOwe68RUQakCHvnLP3qg8E/gfRY mzpGJ4o9CdgWBbQx8EOGj102v6QVX+OhjN6cFhCrjSV7tbGhfyIH3YWHX6YmI8fSKT1vNFTYqGxs s0Qzg19tEdxrJhrNuDDNUvucQLWztRNMTZco3mSBiIOtHEBsTpbJjtlZprNWseyLaKADXrk+NQZA f52aZCYR1TKPcZUDB/gWSjlOMP06NYgRik0Nlxjijp18al6DoJzp43kugqqrqm42xmGgsdD4z1TQ aTRqxP31GgNh1VD6ZMmfeiW3sD6rBmzXk2kpZV1nriSh1xkVIWRmBdYsrDtf9H3VFArirU3CIgex qMY5skVH2O3zGv5kq0zeVQPHlzxYEsLUvMY+Wdw2uC3avdVJ5uZ+2nZQw97UcDGvgU8GwY3Nwy2K 0H5YC/QqAVhVQq6bEpi42Boz1XYCn3YF/tkje417skvDzMJixwRDn/iD1SASRe3a1fPnNezJpiGs mVAB4IdtO87Ma8xznlmsuBJaye5YszMHFsIG8Cx8K7qABmtGxDI4JvvgcTPsMTIRcQCdtWW6Q9Q8 AsIN5Hgzzlg8El/jHZw0WWVWHjjJ7RBPEFtUvz8x7Pq8Rjt42AAMU+IMAL6xeMyZeY11stXrhxy8 EX1IHQb1+m3F1z2W98VeIx2sVnlbNsE72iPLDiYzxJx4Bp/paI0apRW4MdRrfoie0I6mvvfnNc6x XxAnTLmDzuATBwhsWPr+vEY5+fFbmeqp4rCTVhdm5jXEwcHdUJ9iq+gPHVWH/rUyi3WsamxC62kJ EU0NPhR4veqDHzSNwjh0jp0FDWfVqCl5igAQ4ZOHyVkvGmwn4l62rFG3W6OuNVtjMooq7uc1usEp tX4dNDjgCvms1PGOzmBKMpS2LfoTywWkaMnhwbnxCuW8xjU4gtljRtvXB+dtAIw46f4BHsG5BwUX FvmVHYQHLQ2Nns9rVJOtErHI37JBbUI2+/HdUHV+jWn4fuV7ZlAc69O302u5EFdapRwDZ4bmsdXl GFK7B80qKmK8FguxkZuMiyTAudYt8kxYkJz5QEkHJH3Csc4qAtSuEWhCnISyT5C58RnvU7MI48iM sDU45G6IsEPlzWBq+r6LJtn1RCkXzc1rmZDrlP9pNzYLNa55CMuEgiUwqvJRyCOKaViG/1+iBsxr WiM1FqXvBvQd8YiQxUbOfrIKgFLMN40eIq8VQsw/61+V2GQNXDYn6Ciqo1Zoc0VnjVW/JnUGGi+L 7WfNO1sMt27CL7iyOCstEa8LHgt0aDk35zeC05AR6qLZ4cexv2KD5wrn4oD2sex0Kwxv+Zs1KZbt H9wvV6pCFPpoU5F5AFCdD1w5IIEKLn+Cjc87VwzrbrWvXUW7Kkr0aHqieBjgcG0r5lKVVhYI+nRV AhPdunvKvTPFsvs1Lqr4avDS4JncJMI5ujcwR6LAAOoHLRkpwVs5vdqrBqdxkV/aM2jxnItQkOiW ARqPQuLs2QLOKhjuFPqCa3qimDildK/uSlXA6jurmwl8X0ykCP4Jpke1LohC+XDjGziqbBlVA1k5 ZO0E2ERBDR7lXdtZq9qbvs9Ol30IrLls0OJBy0uzg5LXe1RsEvfaWzp3Fvitd3qiuLg2csLbrb0n XVxjL8MDQf7KAYhRYIxcD/Oy7+CrZ2wklXJXgTiXad/SOA0eII3SC1+6bu8MMYaYsiKUo+4wPizY wok15nqius87QSw/9iEKABvJvOWmmu+xsXAiYKKavhI0ABQBrtXdH2Mk97N854dhetg/3zJs3F+G jWfez5FRZLq30ATswpghLaUaCDDGQ3trqkwRHj3kPrH4qkEp1d5bzamFPnsUH2cTXcAKZJUJUSs7 CpqgKERmb2CSPILdhUa4OVq2psMnny/FcAcT9B4kZzpJIG9QJFieSDANuBURuwJZybNiinRvJdWG o38/keDWqwY3F6vum1I9GpiOwztIjjLnZPi8c8OQC5h+mZ3NOMka40PNTxgow490FCODgxUwrM/e lvfZSSNgLTAKlC1ChnuwDbezdbYX6/iQNkkA0pOwAaY5E3UmEypWTMY878QwJjsMBIn6WNeSFoIQ jezCEz/szzstLD/yZVOqkpVMjOGzE8XKUswoj1usuzbu5pXSyuSGiycKlgXSmCp2cfB2xIIPPMWR wL09hw8JG42qA7hxBm0OzNpp6U3fZ2dQTrtO0uU5qO0HYQj+9ue0/LwTwrIQW185FvYWKZOanfd4 WXcO7E9EcTpxCXHgAMF0BjvUqybZCRd7FDAPCkUvmV5w8LOnnIhwstkP3cQ9K2thA44lmda79ZZI aav+eSeD8XdkNMjumAYdzQimuLU6FF+iiJmX57O1cFDPdMOeKGJG2/6c/EmQA9gPqJg8yXjOdjQT TYfFE8bMxGcsObxz8JPnzMmE1ba2Vs2mL4RGNNrgIJpfuZdpr/qX6dmJGZIGnjxz0umQAJ/1eSeC YWvdBF3WRc3hmKwERkEzYSTA6hlTrkz1+uBZYB4ZjSRKVgLDmFn19aX6+nrudYhe19XZnUVJcKVi W2viIMWFlR5uStGbvl9cmwfPuUOGD2pBnPUoLe1zG37eOWC2+W122AvFGsYRYNMTBc2ZJhnEmggS blc8zKuUg214BOvkeSeAYQ0yP5+9LB88pZi5Qjix8eShUTV53xvtPaDyabTgLLmiN/1rgZ2YKQ2K CkubtCGrs83PO/3r97W1rSKYSeHV9ERBM7kzwzWQz7+sPzxzL0ZpHsWrpe/kL7x6kfoEdSU5eBu0 QXZj03/mzBTE+zGDeXPBAFXJNqOXMexV/5Zy0e/FBmelFOPt1PZ5p37lxwTeOhDY7QT3anrCoJnv Sc4VyczUXaZfbBlip2TYpPjuiqLmpPZMewavZcDTxnU3z4GWJ6s8rdKGmT8f5kaIFQoBfN6ZX/gQ 9odXY3KxmlfATsyfmiA9mU8HYfNNSbFU2NGYVJri/ERhM50O4CNoUPDZ3QhiVMHDJXqn3RXXlJOq X9kHr5yCqwSWnXSmkKBK8w1AsgzNO1Z6hFYhvfKd9cWsickWe0gaVOqp2GpK2MvnnfSVrXuq1SMa c3MzZk5PFDRL87e4WXUvywTvUP+yYg8Ll5yeqLDclZI2XeztudgbqK0DvNIzPWcRzcHwERCfsxtQ cm9fXtVLbxqEPcxF60530PS0Xc2hZ67PO+PLUu+vi7272bFm5z1kRkEaB/J2Gf+WTYkDJJuh2cGL 2+y8h8wID3ihywxi9X5np3dg4+ARi6O5nzuSeLETK8I94Px7p1vtUdjzTvfKLshRiQLWoJurqlhF wPvnne2VxUJ+ZodQfQp4anbCkLmCb1WN2AWprPIjdSlxmagudUVd3sle+GF5se9BPgoHC3twUPcu DsGAOodJSy/mOlhB5UuwZOtVg+nhkdM2K4YclFGAxMzF0zA97zGzdd2fsAcbFF0Xm56wxsy2PtX9 YFUNPUzj5NJHiZBUlDzYl3hnemW30l1youFgs9NP4gdxP/XNMziCxPU25paFjbQHBTb0plFQqHOZ GQUHdYcNsCZdqXemF8/lu7Xo2IgEfN9a4TvTixcXFnkabpEhlRsgLSVZD4mJVa3X9070YqGKdQyp 3HF4GsQIfZhw9TXrtsWTebye15MFjQ5mxGafd54XrxChvUml5MDpOSFrozJKS3l93mlev4EFxlph 2OE94ihoRk4OyYQLumB8SDPdadk6dLCtSRwGzar2FIkhlOb3FtrnHcyBKUkpyByxkQzykkzm5np0 XIreNGigb9mgKh+9qwfGqoRuQQzu887xyo9Bjx3MkAJ6jp53ghdLaKj1UM2QwAuKMiKloA+atNhB nLLpiSvNOG/34ubi4DkFaNeNccH5M3MOkpPO8oJPLK10C3lEtE6retNgdpbuKxIrOChmPv/pFLzg hGXv7C4ezN7c4jYqhFl7yPxO7sLaQYy5i9kLn+txmvvMlrwUDuaOkIt7653chXWfualEf9sP/Q3Y 95Tz7MJ5j7Et62gsgQMRTya3nOUAFvu8U7s84V679uYDFw+I4+w01jO/n3dil0X13yEz+jc35nnn deHMQOYzqmmQwP7HfQ5atoRroOGi2XmPmLF2uGgGKaYcbHbGwN09luxnQJ8kCn5WYorINylXepRy Eu+sLiYUSZBTDujC8EttaPBxqlBK+ryTujC52dN1FaW3Aao0PVHEvBn0DAv/oGanbtdY1MZkb7R0 642+M7rw01BJey96GOw1/FY//22uY7A4iwL0RibKeseZFVzMt5LRmYy+k7myqRwsTLEPgsKNOkw8 as7PO5frV7hMDkVb+M19Zt6DZUQR5SagnUwuExwt5CSiRnjCOAN2vxO5LIvG9+138CrYWc5nXoYE EhKs4Am7pP4vihrzq7tFGfZ3Hhdr4YoDWYHnoBudUTjrfr1+3mlcXAHfOAyKIO/iF/o7jwufiTp0 YmD0J59/TSr2Z4imGeMtp80qDhdOWGDml56bgkgcHA13smfYAPDKWqBskztQ0bKolevp0fgD1Y8f EkQ8NDhfaEfYwFM50a3uhwYi9fNO4+I6+G6OgvUqcUbNTxQuo+8HeTqDfaHdYmiMsR2uzNCbV1ZY YZb9u5iSEATB78obPYH7V1Rhnic8lrJ2IUKMZMWUr3pEJ+P2ncSFkhR9i6geiAFft2t+ikSv+zoR 4TuHi7ezby61NaA01Bz79U7hwq3VCPnaphFZm+XnZ3am0SYy6qman7DGrArGWtuHWyZEKtokOQY5 nlYF+J5UhD4HD64ZU/NlQPhO4MpuzlOrgAdl1x9+1ZzQRyb4Hd51n3cGVzb/+Ns9hnYhNr/PTxQw T54+PBVVySjZsdyjeyVjGZrnnbyFdydX5EzG8sHn51zVWWLy51KHBxOVWhsusrIX7Sbu8kGQ93mn buGXpH7NuWKzD6LdQGPUug77887cwhve3jr/W4TtYgMQORjFy0g7TvzRmsHAQAhEjnXyXqvG57Qq /SFOwPVO3CIyg3nWILBgefuAp086USGlr87yaSfDYXO9yb37xEbEAluLgijud94W8bSsMrOYjkFa Y+cPVhEOe67z807bclTmEzDTxvsWmd9ZW0Rm0EiDjqdkuCEePcnoibPQO6BPNY7WhrP5nbTFha/e KA8fDn51DajKERUEEPee0htvJPmDOccis4F6EEh+3jlb+AyStLuYEv0yJQaQ87zXT9z9eadssTn2 3RvFZZD3DXneGVtYsIisOqNPInKnu1nmmu1orptKdVg7UY2ZmF0g/O9gs5PR+qO0K4+eNAvhlWeD QWvkPAWWikFyoXrMNw1OZsbLXYoa3RU1TuAMTiqjnrE+72ytLA3mZ3Yod0XxVc3Oe7yMxrEgcjpu zqnnhnuJFU2KilKRGAfPO1Pr3uur6mCud3bOej6ZUKuS6t+wymS8DIAu7g3KK13pXrQBPu9MLatI Ed+efFAVbHuydQLFzztR63+uLZhZlvzsrLDATEeuQXM9/mvZ2tm1FcdloMKg6YkqzF0HsrzOOTiq 52y0BTEQpKLntqrTvPmA1AD0hOLPykXJsP6807SyUWQWHX1s4Llcz3pkgRiy6593lhaWXlpft9bK ZEjc6YkKzCRmT0jIZpnONLcLqWi08Fwmt5ZR4TtJi1fm1H1efLDVw9p+4zeDqhg0+tUnxOckWixd 56tsbxrVMZiKkp6gQSjUcwVSZARmh593hhZj5nvw8JShJF2+gPcYlNGv5AH7XNVTimp2WLtPrzC/ 87Nub3SXnn3wKtgJ14C2YRUMOVYW1wSxFsm0iBdtb+lSf6dnZVP+XhO+RzaoubW7OVftwqejzvH3 ybNRYFrL4Zbv3CwuOQgflOxMWvalWH+XAgs4kMMl6d6ZWdxazEGZf2vwOx2sKREKgIEpawvKu7EM IWACFIUfPF0vGpUxiMkoLDNzsLWDOtsPefLr807L+oV4Ys2jY9VKxIezEwXMPbEjvUzSUK4DmJ12 Qcw7ZUtHW4hiLirv8Ktz8Du94+gZwjvBcFa2aVNG5UwN8tXrQ20TnxHc6aSoV5ojasBnnMhyNYNz rYqng4DwN8Kb8sUXlNHCcBk6y7mb2xXSUTN2APjxR9I0+qU5PVGBGREMwCrjDnd6TtjVUSYFm+RE nlnEgErqw7lV6X5nRTB8Ij4juLZw8uzzSdsHw7+zR0VyKJ8O+ur5G5QBECOdN4xPEsbLCPu6PCwJ trzldzoFS2ht2+Lp7+EyAooMDiOwtzbYwYM6bKO+LGbnfNgWmhB2CgUu0/iWHi6zCNb/TuubTeEy s0KWCOfUuVxKr3g6IE7s+mvxgMdxq+89CpdRQoLljmPg+QNS5KAw5IFM32hWA+sRJmOQpwf3CR9u DWxv8CeK1g5seYiVm+hPYVtJzkTs9GpvGiQTdIhVw0UDZ4d+qwqXTzLRo3A53VsL6yyft0A4Y5Pz 1/LyKMuK7+PieUq37jGAhMbs61F12fA8k1AmDn4qA3OwWLpCRWMTvQf/LyCYCTfLvDRFmCWgp0fh suo8hb7JZwAiCGfPPvlPIcYBCHs8/n7yjPW9tbKZePql3kOCH+Z7SwQDZ8DK7VKS1Pk7IWGjwDw2 VxQxTwOrKKBp+R49A85PhbjTc/4AfsNKGNnDder8aRcQRinVHoXMEghlzGCDrvW5liQyyih4Opig vb5CZl7yRB5ofiKaH6Jh2JRhiUyaNWai5IZ+dVbCcI41LqAwYraEIjcfbkiIw55C9WdpnrGLNkuH WgAz+rxGw3Q2xmdEfWNpytPYCgZHP+NHelhTdbBzwOPp4OIa32cPNYNR4LPZCSNm4KwrrONN7akV 7/xVl02nmQ5nJ+T6TRFweH1xuMnoHhCoZJ0HhLZJeALtqRtmZ0I8UXWeSZfG/h4xn89ggadR11OD 97a2weAn3zBYO/O7CsaiyOieqvewytzpb+4o70Zo78kixt4mZ7Qbg1yezDGKmWHwrssH74tmKKbC nfeEOWc1ZtWhQVU6iVaBuM2jikEZ5x7VmCvpbJVmNho4OyfL3EX5xJh4OkKDeVedNXjkfHQB0ey8 B8yG8d7SlRsUe1oyHlyUDaWc/Ekch22tKGLeSwjdkX1wGPNZN1vg2rN4zpYakp4BFxGtQPSOHzBh 0qtG0yPdy9J9UHscGvyijZzzFo8HyXrLX/MD4phImpqfCMfcpQJOQBNdrczNYmbaBuPqaiyTI1fv ESZDJ88uZKVvqctWIlaAH6xJAlgbAE7pNzOcoA4gbKXt5EEAhs+I0GAMdxalizhw9Zzv1+Sj3NvG 0/8JS4jvWy4Bp4chMy20mb1QqTBVaceDmC7Q5e4DLTXOTlRhXlS0AuLahwcMBkGVJSG+kznQGga+ zzgYCw3CvTHaqafSI0hGZ7iDMqwPdmvNpCLfSA1PR2snfd9agPcUyv+LaByFzPRq6JJFJ0WgmEB6 kksj+EnTCTgjqjDrVt+dWiocnq46pKcN7oQ28jDdWdRIsHD6NfyYtLMYUcgs+wGReTToYM6tV3Ow yng64hp7UDj4Zuj+3PbNiEJmrudFECMhGa2YAp/amKRGFso14egZYYlZIPhSqg9+Mp99cwJl3ern bO1dSkqImQsKQvBuvvnW1qtG3D/BwMwVxY63M9F2T5aTGOLpqHXsiBXSk6haczEZI4QxA1S/iR1j OlrZqchQAe0MBKGHMYddXCOqMWdLuAAvxYDpGYSDQT2+F5lVjn4yxi0wJgJmEC8oIKBb/fyvBj4i AFpSK+T8klVDUckGwiRki/Xzf/B01J74v+oGmYQczU4UMYP/eM7wZTqyfbskBiuwSimSm+mMKGBe OpB7Eyas3clpG61j8nLLiR32SZO4ysgWL0QyXyDhOT8GPuJvEO+xFRBuQ7rOXraZc5yEAk9HAgff QEKgyU8Uf8+dKFxGYQ7vnwyFyuNOovrNnGALzcF47kTh8tR5vGkyuHa55w4iP7j0KFxu51nV33mA E7Sf+hdIt+pVo4NHwY7qPeCm8mg+/ywSSx3nA/F4tHjW16VeBiHeVwAiLjGDXzJqM6Blnu7EBAkz mVUVejF3/pVIaoZZehbeMqcb80BN8cQkJCedvbHaYvDYSeKmbny5Bw/NjvAZQTqqWJCVTw08eM6l Macx1iee/hcYjN1mLJx+Q54RYpjR1Us9KUfHvzQ7i35/Igisq1E0IhAzFYpP6ChM2JOMnoNnAA+2 kyjr5wwjmm7SOIAY63nlh6m+js+ImlswAoTnkg86l8eizdZPO+cnno5Yx79iHtgXjIvpGVGJGSiA EyUPYXOhlVa4jAbqe0LpFjDONTuhSAZ7Mqso9KGHCt4FNQt4mxaJM48TmBfJzE0cQLgTKAZkhH4s C3xGRDo2Jn/2QZie8+eN97c2nv6XHA8BT4jsSCrS7ITx8hzfKNTGlIeYg/rkosnP5SheHlMyqNL6 nF9anx3sV2pQFmIntprq9KGnvTmDcU3PJtByhAEzIYSFBrT4Ic75yJ4WiM3Sex1jLzwfJOv1myFA CAPNGzVBcciMi0tMpEVVJwN5J11mG2IZw1QaR1hkNtNOwnRnvjDdE1iiNEi2ZYFn7jRHSgB0ypy6 3K54dc561ag5StK60MzVncA3vAuq2Fsp4+lgeubNR3kOYwGRFcT5mWGZ+YQdsLGj5gPdKgoDoEU/ j0ygLnixgmXMKGg2FPwWNXLnp1hI1R1InYMuANYMKRQoyP9wxVBo1DBhFD+fISyDmShlkWzQ4by2 2G0ttYang/lp31c7RXMAFLTpiYJmhvSNeGte7RTSBCyDdjAVywdAKAA0+meGdWaxk7qY6z3fBteE Ck0rStcXsTjUAmvsq50F2tn+M9Zx0ZtGVXh2fca6g2anFRN2Ot8CT0enzzdijsYSvdzZCfUyGvW4 ssEycHwadysbYemcgt1gGTOm/jHW6yIf9/qAVkqFlrQAhR2eJHKzoHIYLGiooG/EURL6Z1hnJlC3 8xfXoMyygB+mdH3y8Qh5sL5udiIP6u3/zShoBoOyQu7N4kK5CsGfojbjbsGKzKYnrjJraynZ3F+I p3kiQUrlZsrHjcwIkn5VYibmW4ZHdMQ3DaanMeAh4EQDjx5o0gnl3VbB0xGD4vtkLsyf09W+iqJm GAqAzdyt/zeqWVNAi9NxGXCW1/SEzD+eyWsoYRi3UpgS4qfGajcwuqCvMSzk1gdkcpTb/0OBm68a yUEMCmWonDqtiwZDy8ocpqHDNaOguf06ebCtINPi0xMHzYjpCXlBxjU7K4UwnkTTXdKVtHVnsWdG uAwJHqC14sOFE0K/3MDM62QqOelqb4QKdHi23Jxrbr1qcDAzqQAGxgeBdWGWw7v6XLp4+r+UwhSV XjjhjHAZEHqCQI+3R0llkeap7y0mqVo8UZlZCen/krdOdLLP7hmmmwvCn7RE4GBKFi1cx6/nfdGb RoVC6lcKTbiyt0fPppH8RD13Ip7+LxkXMqc8bvN4hsw/RGVQxTO8XFuXnNTM5q12bx7PMGgWp6bV 5YOXelID9pKepaPxkGYzYyEiB9gRE2PuSrSjmFGRWdwkSmDaYJWeIqfdM/N8OkI8eaWHFd5Em/K7 dCJYBnfWWIbpPv+qJkt9Umtjbq3JCn3iXwnOHVOBqMWHC1pBXp3lPUVhr2oRD74EGbhX2Vx1sBmF zJT7Bw/7Dlw6UOsS6ORcvXj6X5AeVj6IRB1PRBjy/rp8r/1cTqxrrJ+VnYeD5iiiNC6eWCyDNfjW 7/CUM06+MXQub9YheW1BGgwBtfJRI/4p5gkF5gYBGROVTA3aWtCklmpuLng6gq14h4JWZ1AsobgX p2dFATMwB3C2z1fuQIn72M0clfb/Z+zLkivXlST/z1pk1zAPvf+FNdw9AjyyYihffxRuVSdFCsIQ gw+NOuQ4eFaEyxhKtbYSrz2frUVR9iZrctjDV+IOO2Q8Co0nvwS7EXngHRGbfwoJlnzg3kKDnBTJ dl6EpyPeX/k+eMgBuvnoCovMCKFa7S6d20z8dMy5TYoa7AcdPCuMl6curdV94OxAhxpZZ5OzAgie LMj2TjNTJvfzlnoWL60V1piJCGOt1QbFy2OlKaGVXfH0vxBh3FrAsKAwabMTai7X+WU3cdbOo/CU XeGJSThOnhUCM7aoEyIKLK70pgo8+n3KOgccqKRBPEiAASeG1QKrwJ9EpuEdQUBojm6EkklhErMD QRiJLlPWMgyX+zcsg7S/ebUbV0j7q2AlgUunQlh2j+TNpogsJ3qzO32FOGahefS7r/Fg4E80eZJF 9iUpHNa2BLBA9ytQpKS7ht/pSZ8aVZkZ6gxKoHPQ9JwQXHT1s1bx9L8OHk4FPuhRglhRvDyHSj2s IwPyRPV7qO8B0ZwpgDV2Vzy4QtqfjG+GlIbHQy+hChsptlLfG7NJy3wzHmw/UABy+g3yJ7wjaP6R rj7pV6VBrVE4o/CiPokQno4iHp8dHsEIm8bF6a4oXAai8guUUVl+k2Z3sUJYze6XuEKdDMmhspuv wfvqWMBJMiK40qcOcPhOwbmPIi7z4WNvfeqfWgeq87Rb5wEBgb1RcIjwdLB4rtg7Y2t218rFhK0Q x8yIMO9smDD+cxYKSTpHA6fuOz9hvMzCAP0kbPCgp4JcwnYvNMx7k0NZo9Xa2dTG5h/CHWR9aTQ9 hITRKAKD2Ddg91TdBMBy4vFIU/cbjAoQ3EmSHbWyQu4fUuW9ZfqD020n87CnXozAqLK76fwxkQyN wHKcHw4e9MxaoUlC8h+UPxfx8o1REqD6cPP1MhiL8CsKmZVPQEXLB549bS1qhuKv3PF0cDT/H7hl oW6Ppiek/qHBNHrOBjxg+ImLq9JqGmWwWZ3atkJYxlSgR746By8zL8xOlgMg5n5SNb8SncHGfx79 dkeXfWpwNGeV36kkMq+SyITmPlEr52V4Omit/0pGqT+P+NemJywyT/KErOJ+NpenW7nPZrxjutgy 6gkjZiJwh9lr7PkIowL7P+hbUOC/lBM9JjPuwgY8wq6Xzs9GCd4RRD0s9IzGmJADZ6ezBfvDrgyf jhbPL6A3eC3pahPuKGJG7QlngIv0TONnz0xJaJbBejLP8f0v4p9cA8bjGgAu/5kAubSCYiwFuk4D wC2z+zs7rDDvKGJmM3XlIcr6cGRMQk5LDsXJ1fl4RDH5LqIWhlDF99Z+D5lRPUPUMauFzNONNnHc TKuDle0x8w6lMnTmbMnM7a98C83SyiLUiQ0LnNsYy7Mriuu1IWhgoack/9Sg0CPCKDPJZZJ7oP6h jShnqMYvDPCEtz3KECfr+vPpCcHMwFBtii3+h1YFG7GICheqz1mIOQEKE3/Mn2BUzRIHXz7n1kq7 JjOdEC7GZVFR/QSr4pfq8I6CZsiOwXllFh94MqMkqxox4p79b+4fphKbvV7F6h1S/3guVpdkntmg BxNSulaBh4iK1s7fuIwlK3oOno021kZLEqU/5SWTZMHmzh8PpTBn4LAMtqOQeVOLeUpEjYMqPWkN Ne962ng60ib8BfQGO2pcbbkd4piB6WluDAnolgrLA/4hxhLA9iNLYIc45qnqYKo+eAkViOXclG9N XGjgSEAPAiWXht7rNdNEEQWv+CufQOndBxUyzsLn/X42VsXTAeBpfRP/CoqZ9ZmcUFmuy6fE9Z10 fZ2lU5Mz2waWl5ZOjMpQMjrvcAsZ55/vIfu6Ck0RIsYGdYyy2BCXQkElkR2qMUu5aMsjaTdL1csJ MllNreeL8fS/UBlEoLM0SgSopieCZQDfCYkkoZtalof2PK+V8B7KYJtNIM5PqC0nsvHO2Qc/d9iR GDKvG+e6Mv9oRKJ0qAV9pZK7SrYAP/VPikCWcUm+xiWN+SAbowVPR/mWb60mwjrOvXrnJ1bLkNOx Iw/WVYMgFoQl5uTsrR0FzJPuh0sSc2u4c3zJ6CrCBJR7azfYRyE66lDcK6i+dWJhsXwK0QN4x19i 8LOQe8xBm2vkziTzxDADT0d6zN84ZsShGRItNjthjRmrrFGwiiasskyCfwmqN9LoIcCaJ08cMKup te7gJ89GdWfRUQFqRmCSYnOR0gLKzbrJ6N72ocHJw9pQgyCUDSoSdmmpwoCbT0fJ6HfEQ0Jduoqx OwqXwdCBPMY01ihQV5icExCaa+3uRE9xciJMBmVL+hrStByPpuUJ+mCDIEgPtJ4buxOdB1AdgA5d k2MIlPIdQUBIVbDeeWlxUJ0Hhkw8V88awtP/KqGySEiu8zUfM1Lua8SzCQOTOdL5LzTiz3+tBCua Qp2eRTlh2lCkMGIW0mIonhluYpJpoYHeZSGBCwoXvNUpzcU7bNcra8kEii95n6GVxbwR7BIsex5x u8Jomtl6y5PP/y+IS9RfToKQ7xyF+nKZSwglNrXWmblDq6chKSI7Eve2nLJTGDSL9JilZZQfLaOz eXob7L8UOEp1oTMzuT9L9kXuuJXRpNXH/kVyG4sVZw4GPjiHAWOt86bG54O8on3vMlBCFbXYHIXo DChCdqw4lXzaMhpgTU1x0LlmhgXOJOy83y5iFYuFxMH3Gbxf0pTzVjqLpxIcVgcFezqC0NvIWYSl 4iURAKFy/WyRTRrOdxbF0K0QD3AsPh+EQJdjS8FH/N3GRTXnFJWcIe1TO8lQ7CLvYqYC0AMx+Byp 43ILCr3+WCqd6Rk8c087nyQ7cRnB5lIE9oLNWOU4mi5+bsl/NUURdGfBsFTm7hx4GJ2AXa4LCNf5 eER2+wYYQnqurMeUIkUxdCqGzeL+qpsWUMAhTNEG2A7M9Z5GUeHZdJ+mKog8d3+MdbJXUYn3zFE+ 7yJIs2LXsGqw+80xqIHPl0TWC8xMqaunQZXnVcTjOMFj5uMRU3veKcLnnLXe0xVWk7JWuNMmVGOh l3Ei3SQQ3YQ6EwryP/scuuR36cf82bmYqnTM/UwRJD7PTSYYJnBRdGltkHwAxOVH5hiik27/1ghF Jz4EOYGrXFOufKIh1cdOHsYmZ1go9Y2GVYvqc3vutLD8jIBEuCtaDDA5ptZuzdK+hPKc+1OkKJqe 5qaZlw8PLRCQhCLySco5C0gHQeiT2ExBME3Tcfi3/iVcOOjnrcHbF0o9ytz6xohym76PIvwhan5u tFBKAx/Xa7v+JuZcNlNx8lJdLqUh4MPr26X0OazN0y6UF+qFs0KIn2C6s9+IRmzYMmXSr9DdccBy sm+NEg42TVlYwOCiISenEev2PMPHIzTdd6VD6KHrepdTFFODt1Zn2pawTrmdIGGVLWujwWfxGYr0 NKoag1nN5ezN5RNB70RHE/Uw0CSX/1QH3QKqFjWnpwPm3xrcZ7RgqFly3/nKfa8hVxVo0fPxYJfV /J2zDlpQrWebxRJ0m6Z3qhuevG+pC7YSZSVZS1z8sZqjqBQtcMucZftwC0LITRctWs+dfXZ0FdUR RxdSNMgDCvpTMqnbRKu9nwlFmrK81kwkHquoSVqHRBE+HuStPd1VlGVK/8g259AhEAlPBQrQqtHd MasFPk1cRW162SMHDoG48lkNmjIzm4+wBgSW4drclNcniJCwy4x7BGEqaj3GEpRdRQ4sArFUBd2o dzB8SzM3ceh+59AjkM44Qq0SI4ATr1xFqBy6BDaWzShgy+Q1mcHSWAJI5XMYysar68f8oT1yQqpR fbApQrH7JLBDdbPdWH1FvxWtkYayRy2/G/E5tgnMsjxR0zANc4SZkD3mZXQOGz4eley/04/KKla6 +ywwCkRETl2NofbFWajbWhpFPJ6C2llvPkOhgDMvqd0YE3Hw6keFZNYwKVV4c3fLUAh4StIUM3Dm SvatfynSoYKiIStXn2A9Lx7WdfDxqJ/6PUOkB6zHcSnwCjy/2qSVqkkTewEfvIJR3YgTEmO+z8LQ WrQmeeJwcFoTZhhIODHdF9RsVAIBMQT41UdsdrDjnAO7QAg+0u5t7+2DmDsn8StGx618PFIh2b+m CLbIj2VXaBiIPtVJk7ob5/DWFUjTPOBg0VYsPwsMAyEYyqhxywZ497uIEhIy1BV/5LuUJT/WFlwY xLwaX/4MzT426MrzQpsqxXKwIlqa7Gyd877z8Yiy3L6v/G01Tp+iSNCZ9YAuV49COJkuf0htJO2z KkHjrh/zV+N5GQlsPVLgNZ3zZ1MyDZjsE0jwsO5UtAGyCkKzfhJRpyWHnoGZ9JQu7VkOnKG2AZFl etYLH480Z78b88Twp/6c1SF7MM0bNgJqQT1mencJSEVcR76L6G8gNI1gbLBaEVnFpcqd6uyHKTVw GgZCSkE9sgt88Y+NWBiFqSuniIMKISQlKhYsm89Hp/UvaBDOxDyesygU3QDFaC/jM52cBREnwR3Q PSvGccLvJdvbyAilq9RYlKaVKxeFIOjEAEuK8iD0rGr5WUaL9ZyExZUBoDZvH/vXhSaXWA3W7CiF v+D5MXo8WEb9Wze9UdfiagPkwD/QMNEdbCMaAw9WvaEs0coaRtFl610zFBast+JGCSPNeTPYBN3o yh5gmbimEwljhdKljCUQlns9rQ772Eg8XRwnYsenBJ1ZckyiVmGh8vEo/fjVpifGIz/HdVi1RrRO nzYD/jZnMe9twF80wn2nhSgPs2JKxQdPP1CGPRky7/zzjW2yHUu46ImZBrn6HjjKWjp0EVRHaCSV 09J2cCtEtZv22eDjQfpxGSsEe0KMsqynDhIaCXYqqBP2pJ7QdgXsYSQo4ArdwTR0EjSm9+AmWu7e i4rjmQKg8KpyWEhnFGVo+EB5qDzqNtm+NWp8kHmweGoLp8GzaKCJjvdBU4jPR7p13/hoGrjkS0fN oZkgYoUTZhLFicpfY6oBuVVKLBDOUECOVDkttBM0AexOYioHrxXB33tmk49Cxrk5R8DuUO9PIvys FfGc50uiK01ymc8gvMd5g+rW5x/w8SD/KN8VRwi2lnwbizk2FFz8OCLwGJ8MEyrZRFbIHYXaPjyM AktBLCPWQKrgZvWBm7Vz2yHNlK1XAz2Mtl5oolXAP6AdptARIYJ9bFROy8xeGRdz0JV2PrYWORWM xuej8PpbTL2pYn6v/cBVEKAh/EM2oUhrzmQhoJ426OdFVM6tpwWuggiMGBFNSbTNK9GW0Aw6t/Vk bR9wxcEWCYXUG/Dk7KG5Z2e1b43a9+yeTfb8+1ymV1LObU6lo16yvjGisXwnIDCbJqTXZyh0SQEy pUP+Sk3GvkSGGrNYV3aXSgmcrh/zp7xoa8uH27/HMUydXrZF6lYIRf9pemISX6EGNYXIcuwsmAUV Y3BdrkPTPrkI/yTQ6+DjUWW/fYVFuPORY98ZiqrWjR/YhlUcRxuGHaosDQi16Li8HHoLmk/KEHho POChck5S4AoVXM80ZPk+WBBIaB7Qhag9Iog5NBdUVNQK03wOln6MpkbsSUr5eLSGvq0pkYyU9CSx ob0g8qOWkxFTW2HPFlSo1ChbCzHQlMf0Cy1Us9Odv8Vw3pfhnMmJh3w4uVAnus5bVkSZhJKFwo3B Xvfybw1QDpQuIU/RBmvkT0V99QQCfDwKrX8BrABkKvm5zqKqNfYX+FxeTdvmaYW/d/IGGrpMWkOh ArRF1jqOHIWAXYY27uJPADYGKjVEEaGMBQXVn5Z8gqBSpk8NJkj6tNzzGriEFk4hmXfxoI4C69/I YNBFcn0qsqHJIDhTZ1rGNgjaHM2AIK3newxVP4ZC1xQevzux6sjhFq1h7EAPOxAwB4Gw6MxOSCHC 3OWCrFQnCk0GKSYMjP0dND+dUlhsL04+Hh1Cv7iYiMjKJbXk0GUQvVEISyXHgrCoL3Cw+5vuuX0B xVE1G/nbZCMf7DS4XS1DxgFImdwhvYVwKFGDqjIlY90PKwj0U74kWEGaIfWHOAjC6BF2O6EpH48a Q7+MQaYU8nyGQqPBSfPybVpALREuST4mqSAU6N9tGHUjx1aDW0UQYhQ5OHcjwQzDKmmJ4v2spJEA BMnomZ8WdbdvjQJGZmZkT2gQXmY3WgTh6ycf/1dMTTEt/s+88y3qh3aDmJ2KfFtVkI7zxNg/WfN2 VtHwYmNgN5j/3+IdxlatDV4nmgVW3JJah6tTFjILjqqZ9YB0vTxp9sOX/MmOmirqTy/qI6jmDQ6T Oz4eZK+PUW5V3e1HwkQ2RbEoNOMhM8itbNmr7yG2ZkMHdnuhKPQc7FLgz6yTcfBVhL02KE1YQKEc 3TSPzzZpDIroNWOwK0qP5tB1UPTDvnL2QVF1h+oT26ojDT4fTdI38orGq+u5zWLjQQQQTYk9mQom Tg/BDouIaBBoc/S3k8ogxEKDzxGkRwaVrwoceJY4dnCwPDNzAjEUxG0ZMfLjS4KtRv5PYf6oQVPU gBrmFFWuoyisrr9cnBgv1st+zrH5IAVsodIg68qalYLATUWN/Ay59OVzFIKqGQtOKT9z8AObwtPU DzlbrYDhSy4Q2p+V5wuJC0aVysu+9i/kFXWBbbA2rPwpIHI/+HhE1PS9hn9Mjza2F2yOQjjIZudh WY4/mOOhak1lk0Lfh85l1PVjIsSM5MWVv7Ynf61wBqlYO8hfz+0o4H6lfDosb2e6im7TTuyIjEgF 9bUkWrZctAwoVbIY2bnh4xFpwWcIi/zM6brq6zk0IqS8+GitGjCt2N0Gc5jqNOjqlbTAiFC6Kki9 tJLUhlDjYxb8LpTaPEdUgY4Qy7E4L2TwWa8mlyppoRGhaIiraH6Kz08G/IDY886DKARXX7iMdicp XM8MhRVrWt3lbYd1z9PZms2wsqAGDL/PwrBasGrpIJtLPVfQRsu6sDyI1KzVytSkSR0aYTWrsYob 07Jv/UszMZOApcGL+k1iRWfr6iMj4bKnkJZFFoeRmE9RWLHu9H4pqgidb+V/FezSLTGzkz5T2K3r x0T9RU5RlYpQfZTdJrZVzWpSn9eMzj5bh0VdGSyXP0ravdm3Rsg9+jrJoYcDjyFoHrErfpKRyseD wLF424OCthnZJD2HbIpCJQ9AVDeNqlHUP7NReSLNvYCtI5B4wBNOKNnQktCcIKrOo2r6Phkl1oHl w+xsVuhGMX2F4cGJqZki5Qu1XuQH5cCUMEm2dy06gGhQsfGEXcXO6snHAwToL3gjdXbnVavPoS3h oGa00DGEXa1lV36xnGRDPdN2WmBLKBk9YAwJcuRgZ9E55TYQFMzwO1TjtgghCgI6FFw9gwVEUt8a zRBThsWdxkEp/jA7sno+no//K0NTBosF9ADTQmdCAKIcA4IeLEIFWa10omWBBqHnBjdaaE1oRsOJ zTMOHlufLTUpNY6C9YRLhsQW0ZMBTqdS3VZ6MAqKAm9CoQWQpFDZn4Pl+HWLyHKCCz4e3GbtVw8W 40Otz6E7IT9uyFsFaJCWsqFB8jCrnpmWQ4oCe0IZeLE9nXzwo6jCk7EQrw9riJGKiNTo9bHyjLaH wkZKdfAlQZZPAvDJ8wibQZ5UBQdOKBX9wO20LD4fNc/S1xQh2CgMhG2Kosgaty2U8JYlsbSxYhKb TOXj3NptOxg9NimUwaXRhuZNP6gimmpXCxYWTTIfJjGBGnntKYRQKjAHJoXp/6lQvabkzKYdd+vE 01th8cnA+fi/+FRGLsKtcSv6gU0hcg9uM/NChUUKr3eiQZp0blsq3qOObQq3oLFp+uCLaDXEkJQB ngl9gsn7jGlaZkX0Mc5o27416uOrASv382GeW+uEVVtHdZuVj0ecD+9RizQM6+P6bLOQsAjgXEtW IAKj3G2NMoFYYJxRq0xLKASDDPEQ2RbjYLfZmax5VmZXUNTWEBCbRkQQP/iZpNlXraFu3xoRh1iv plixBoWNPSU5r+xU+fj7Ub32N1yGzbz5FBsDs0LcgQpIfYZYgOEa6sOwjZUSJ5qhvzmLS2BrDrdx Nk/QmGWfMU9mZijrSjYOLIi/xKnEZgjsChE2soo2qObKQanZ2QJSl2p78fEIUPR9DkFd/6fnp0Xd QmW8Qr3boSbZuRaSWWjQLUR08gmxEsWNLcKCCCq4O9v4HLwge7KMkwpvFhxhlqoe2yAQHBgi0u0N 6EAfYsUs79kVIehdFiy9OPH1bGypKM21P6pyvGcR8yskgkB83hcu83mNiIpO+BNJbFtEmCKrpc1h El6F5yxus9d4qJwFDKzdSPkZND04qM/XSzoQZhRLspOLaN6F9B6bbH4JK75GQwXib1pA5Q46plcq U6iFhYdfpqZIdAFTs24sVNimFL8kmJlFro6iQXauilmlTvaHWYmFyqud0cHUSCtxd9Ua+9MvawNY sSwt6QU3K8JiT/5FXZAT/eP+0tQY/vx1apI5RLTFi4wDw6CZ8paSdEEZ9nVqnsLQkqc8xYkJ1ebc vMZAJVN+om4q7SBKhDaq7DOgHsasI6fGXx1z8xoCFUd+7E1qAgdbNmcfnX9PzZuT+vSSDXhNriBC aBVgzb+680Pflw1biDvh8rCBywZKhOq1nmTt8xr9lKeEr2XDrgL9Nzk1r7EPNhQ70Xu6SrJw5qi8 krdFnCf0PeQL/zozqpmcKRkszW+X3pcLaD1rRsEhRGIEFR5EhmCfoZ3s8v5skb3GPcW1YRYkHTAg 3a2cmnOkkWwGnMnnNezBb9nr19Sgb7xpuoKZeY15sKFQyTtHjQXObWXNx6Q7vJpjNE6kA2i0n3CR y2rlOq7oJJ7nl0hTwjBII8E4RmKBpdNAMSzZbTMW7tTPa7yDo4baFUW6J+XqnpxFzuj1p51M6PMa 7eDhMm1imKdjMzMH4My8xjrYhBJHSMnWzHB5W1hdas3Mewi/RjolsUTy5hybK1LqVUgfXwVGClVN sUyeCQRQndxaKNn2eY1z+BdUnEPeHQftpnPiq6t+Xvx5jXLKYxFmM1OJmx42M68xTpGQWdNN8R8A M5SDPwfNWUu8wM8ywcvdLiOaGhTeT56A6o8GR97DvPLkC6ytMi5hwnr+EvCDOHfTwHVrYTLlYF4D HGwnBDgn4d/JB07NgsYe76eVx+c1vMEpZd57Sw0M0rNIs6OabnQGI/Vv3eQXIDogecmz9pvAL7sw u6SwbXQEd05JfgZnbYAGvVhsxPVUpgD3FOJHmPjDnpUBgdHl/ryGNZh8ehMvYaaWY6YWsI+qQqDm /BrU8Pt80aQf0/zt5GNTNC2YGeKq4N9lBw1Z7ezCVxpm4KCBdhtrGK+lQmxkEse2mD97PD34hrrw VgVjnUByq2QP2Q3EmszPbzkVTCm8431qpOoGrQEfVASraGNrasbntU5Y1Jv7fTuVXn1qXouEXKa8 87YDFPZoVrzY2QpgnbVd6nhEZ7BUfgszBg42NQMM06FbGpliVr2VnbVKKjEhKWaaRgOR1/ogpp/A hCbHTw5cNecvmAhIPUcHHg6OGitbQNsEew8lJhSTLOCLYmHALs7aWcJsQA5GDcFz2JirN4zfenM+ XTQ98iMUhX4/FHqAfrZUGXFFAUPeqHIiZNQ5W9GeuFIVWZ8abCpi6ymVagNXDmSbpK+0G58OdtWc 37c3/re87vREATEkq2ANoFAPqYK8Ps8Vy1MZrF7kMIYaDwNitdtH9uE5ccq5+mh8df7PkElWH2cI a8FKhjdyehV8OzqNi8TpqeTBQasH1WsdsRmY8eg43t87ixK9rMJqet5jYpCeN2F1yVliLdnWktcB WGK5OI8uiom3Vs1Sprluppk6Su6USoRUdIZ6KHEbG5FbGxQt8q21NDtRUNybKsst+aDFM3dl9/rc JfvzThHzTIyTIf3JRXqrz04UFpPk11XOQeVdSpII/tKaRnhO3eGHUVxM6HdHD9QHj3EK7MXkrDlP JNWJ+jtzhsQdhg7E83/rur3TwxhhIjAG3NwHZZqlucz4QmciPHrK1+w0UnlvlPNODisyQm0pm0g9 iiIydoK4ubJwBP2u7PZODsP0oFCKjOMOHuf0ExMM+n6ctOGsSMl8bOCxCrEFg6Vs2T+oTBGePJye zeiYgyo5JwxTC3ihyR6Fxzn/OnmA7zVdCs5PFCCTGTZHsnxzyuy9/EzzmQMOAY1yqdS/M8NwwLOb l2hBo8HmZ6dNDSGWS5FuVqky8JYnATLtJw7c+tTg4qLVUSLPXQOz8Xr+aZVvQaufd14YQpf0e37O 5m5qIXN+wjAZUnqDPWolELtZsXQYV3UPipKxFBiFyUgx6H/RffB7HRXSE/mxxQ4GaTZWPdYCMCLo lFutYjLieSeF4RdkvjnXvoMQCJA4Ighs5v15p4Rh+XSfHuUbyO3AxrXpiUJlnN44mv3w6dOEX/Yy G8fdynaefBQrS59rC1nHwe91BITIoRkrn2QjS9uVlQqYGgzi983Xyb70fXoGcYJQVPBBTb9zOEqP dvX5eaeD2d36VefC5mrVCxbvbDAsHkl9J/Pcm1IMABuMq5F6JmAg616P4uVhF1e/w82x4CCXJEUx ka1l9rOoBgopEzBhbXboyfJ5p4J5XHemJVUfLP+cdesyguBLFDCv3b/3Fmqj7PpodqKImbK7iS0Y WqZ1WgpnyIJl56cMpmxcPGHMDKzqSAD92eDTk8qGMSG5ctjDfauVhVmBqQNK5672IsZlFDMzNAWW bPmgfmiiIy7RPevzTgPD3qr5a3q6pGz9ZH4ngeFeH3T7nGaQ0bvZVZ+g0KjOG164VggMQ2ZWzbdE IzncZKujskPoyjzXzZgUgxmwrT4nKS6s9DBTir70/eICEP9MxEp34OI5878kxH4O/s87AYxRgacU Yu5Aqjp5iv7O/8KcDmK00i15mR/POZW7ecUSh8yD5539xSXIYLASkrIdy05CAaQ4hniW53xfjMag XEcARf+BycJlyBV96V/19bLJsuTgMWFTvnWCh88796s8gq0qYJBGQqK2ZieqIqNCh2N5GceSHXxy LJsZruxZXev3nfjFipuyUUXM5YmYx0KHsqq4c65iOGQgRwb0RMlL561eHnGOd+IXox6dPCy19+Si pDBQ7Ey/c22fd9rXr5BZDhkLxCY/l99ZX9haE+cNGT3/wTS2DKkonXWXBMOkSr0dzO+sryI7M9R2 ENFo8KAHvfNkwoAbpj3ig1P+j/LNDXMjtEpnk/id9YWXbGkqEBHOwe6tTVt2CEXy6aia3L42F/sZ s3nQ/M75wnneyIozIds6xNchxrkpcT8JV7OY+Z3yhSJ/0rzkO3hKMSqbEkwpzsYix5QoaBDRz3k9 WeYRUoXUynfGVzEv3DU7jx4OqvN0yH4oWy+fd8IXbtZdv2aHFnfzJlzvfC8mXExHs0Od2nDF1u46 7GSsa3aiojI8ZLhqug8e85zgFt3hqYQr722WaZkcdLOAcJdq+9Ig5hHbiyesBpudKc2Ac7evzzvZ i1WJX7c6QGAz3aPnPWCWEKX7OtHEe25L1kmZ5uzMbZDLd6YXD2Ze6jVPH3ztnOTiJMVtS0cBYH8e zADk13mSmZ1upUcxzzvTi00yHjnER2mwznlN2WTG9+ed6MUj9rtPQ6xV7ndnRfEy4LgnYU7F0lFZ gU3axCrFgMN0MZ7OO88Lf9iluhtScQ3e38swo6HqBRCFownHsimB08A1LV9SJVufGk0PlRP6Myif qFV+HMCyfd5pXr8WDzteo/26t6L6cmWlp7AfTDhfn0bHbUMZ6qbCBXsS7yQvFn+1atrwwWYHbMlM PopJ+JsnKFo15OQg0XZkCjtZ7yyvIoUw1AfTHcQ+QR9eB0/un3eSF56efm1JOQit4e256DvHi9cW 1nh2jOVgxZ8Yy+xbi2eo1s57vKwO81k0rN9o8DIhUtrR6et01hB4OqaIw/5CM+8ZHcsIzD7vDC+u HUK/VGrmwNk5f0SB4wBc+7wTvIpI2d8t0E7lC+8OR/EyiuOVjtqGt0jGXUp7W3u4UAGa7eE/42Xw 0JYPvrM6iO2EMZaZcUJLDIdcehobz/XotxR9adA6n6rAm3OD8Vom9Nq0s/LIn3dyF69VP3gwk7g4 sgQTODtRvDxJPiPuHle6vLboEitDuSH5GAsJ35ldmB4VwDRLHHzxdGSiuK0hj3SuP9BEC1T6TkxO D91C/hAt06q+NJodHst0t9OgeDnDV4up6InJ3mld+D1z+hUQotSzvHfzTurC2sE5trk2ycaZbjwD +Jody52YP2ytd1JXMfUf4Ey2D37wNCB1u+oYpUPulz0wdgFRyrRGBacHocDnndJVTMR4owzrAxcP PAOaFk/nF0bH8v46lkkSoKOJpieKl9smR6B7AT4xAT0HT1leYh5gC2h23sNl60+cE6dnH2x2TqKV kOiqRtjLFLZ7E4ognkm5oqPUkXhnc93uDfhzxF4AIoZfap9orbOQMU/Y/3knc2Fy0/fqkcRrubiU KFzewMS2Xeuv6UFXNLlIvRhXuLXemVz40zROD/32NGh6kIw3Qpd5p68T2rIumABeQWvkFjE6E9F3 EldxPVaKotggFBwsHpmm9zk/7xwuxsp+oRNKCbDBg9h5D5VxD5TriEFyyfXGqFcaYW7L0t8JXJbk 4fcVMmU85cFxWVsogK3zo0jahjwPftD+mV99LQqwv/O3eCvKmloME9cMnmfNTxMa7fXzTt8imGJ/ rZvGMtGFer3zt4pMPltuXWJR7cS2Eos6QSFQfOiKnlt4GATjnb9VXCxqS79uz6eIAaA4hKeSuqKz MmzsnXqpFVdgebT9EDvzJUG8M3ns4CSwgafy+WsUOTrVVD/v9C2PB5Ro8UZFw4UKXjZDUbhMRkAb zt9q0xhu561rGlR5uLTfO33raYyil0ukIM8BRTzQblwMMM5hkVZm/70huCukKSbyt5Snk2v7Tt8i 6ksnDy/1NfCn7JyhqX35A2zm5529VQwK/tUZbYBW3Vs9xGMgUW/DpUequBMQ3mE1gpZgEETW/IQF ZmVZLd3BF9A+mf8km/zELDRXofJIBawJsfogv05KvowI36lbt8zTKKu2UHv5YaH2RMuQPqQ477kA Pu/cLfZhbn8C/5Ye0Lc98c7ccqTpWGY0A/DgtphQVk8oZAAyr/mJKsz8a4yEYMIGrzBv9JEo6YL5 OfkVYQcDmW7ZwHGzPaLlg2rL5521VaQViyy0Lh9UYW5FcrWQL/28k7bwhXl8Hc5kJIgJQNRgFDHD h7AlcpcIAVPeVUBAKNkw3Bt6T7RCfedsFXNMO5eelk9/lk9dKPNUiRxDiHsLwp0YTpyfi0Xv/QlC uN8pWzgIUte2Knd3YXOdI1+p15mx+XlnbJXHCnUZRxt785aY3wlbRXZJ51rHU+ptkX58Fv1Cobii iIpK1k/D6fzO1yJIi/3gLVW1fYmR+cRTEGRRFWzCTo4E7T5pa36OSZaYDdGDOvrnna5FgCLLXwJl TAdlwByTtvF0kfi8s7XYGfuuoVbiem/Q807WYro1Wfsyjboujx60J0RnY+dvmnLWO1cLP8WkfKRb s6/5DPRYOlChFKJfJ/gYdJzjbVZxNCM1MzguZXM+71StezKPzBOIA2eHmbQSirE+70QtFnl/Xe0o nlJpW7PzHjHLpa1SKoMF+JaniRwmECVYgK+kAnNnhRGzdhZo1jZYxHyC5wy9CTVv9qrueYm0ARWx ua9NLMqwfEdwsbN50wtlNDhY8wYiSj9Umiifd5IW68u/gNyoRBJ4r9kJ68sEHmUDU+K/jMWWUJ5S ujWpA8bpCRHLkwdyY8TMwbHcZzHC/JRiPkirh1QiKZiKpg5ln5WNsl31eadoMRslWIUprAaBDtaU QhekRz7vDC0v3nM2SOvPpEdcNHdUYEYSozpPluEMkRjQNmRSTX4E64WMC98JWly5ShM0S2P6tVUy 2GrbioSwu91baHik/Sw+XqdGUgc+7/Qszg7R/01cgOZKPglceGEn9/i8s7O4Sb5jnoJicMt3dkJE BuXWyvIqWDbDtFmIryHQcnsV7J2bVUwpa+SkYtjjwIzLd3aqoUHo6EwNlbD6LsAPgJuFW9K2lu70 d2oWKjWUo9ucJA2aHVyqqhEWPv2/dI25G9a6l1YUMdPap1eHoUIv/8d0oKZh5Rq9Izk7ccRcOC2E dXPwiBCA/14JJYQXVkrqoHJ/ANeS53PudH1oUMdg5bQN6hRwsKUzZEF2bsL1eadkEYb4fe6A85lR abLJicJlqKHUE3SoZnGukaJi4WyryTDtbCwwYDQ7IYC5aO2U4YNvrBPhjEL2M1BzBTrYvNfYaVgK PVypD2DZTwvj5aTmBGUzerYaNhjq0yxeV/20KFommPGeO5VIlXSv9ChahvRDo+0drRrTKo6Va8U1 aRbt/zg9UYE5iWJDR3UNF5AxkWwx3ZrwcSpyV0G9BEV0Gd9ZFYxGVy0Kl+ngAsTY8kGrZ1ZJyNWd +HTQVC/faB4AGOm5YVSSMFym8hMPNm6tOj3ZWqPawUPtQnJJ3qNlKb2cVUNtMA1+p8+TQtdCwwt4 IAE/SlQCmsYFuLZ0SaFEdOEdEeSADCQnYDtvDYUpod9Lr3g6Aul+HzzUkai3/N6jaBllmTMn1ogA NENM2RNdTndqpJoE7qweATKmmsVdFS62fzQ7cJmEIrhSUdjHNgn3blZ4QZC7dbBBkG6PCsyVVnuV uCkNnJ1cmTNRc2/i6eBKr99rB5V3SvprcuL6Mn2lcvI6WDHRMCjOGg2JwsIIlntUXpbp8llk2wdP JU66f8Id4jEWsvRKTvo5afZPwxtAxrlkWYJ5ehQtq4JaSccDbwcH1g+VjPLgQhonGcHjQXfiAuX4 bVCprVS10ATF3D7GgdMaf+dyaCqFgfnApPREhCiBEgvWo4CZoLe+iV7UYDN0JmW3WulludAvo5ZZ B4kQ8CSctRTinY+Iao8iZjhKZYBamw86e2AuXxXIFDwdHM3p++ai5kO5qXqPGH78OzSJXQ1zAMss MTtb62QcgLRyAYUBs/IJOulouG3jCZQ3xa5PLtp6Y6WQeoyIgX76vCbDnXZEPaoyL7vrGoWesKMG c9GUJGN/VmXB08Hs3EJhpaYxctNKKQHNTxgys1AoRiiFnkoxsnVNbkDYloHlekj0oxzGrqLNPqiD E2zDL3nr5sL/LkoxSrYnJYQ86Jpe6KGHEd4RXOyNFWZCCTVYe8uAc7VMfuH/sr3ojjS6B4U9KjSj U3DSiC4HgspGGvklJ9paJBVv6mQwn+gxiFnUWUsWHrgTIMww/cDm2lLnYxkV+RnF4vaXJMa0L43q PK+Ilbk6qXcEROLpCA1Wft3ryEbHvbneQ2YkFPgyenSp+UdnxgFMx4klmqQMoAOrzRXFzFtIiyWF 2XU9UHGbQ8pm6GI/67pask7yFAK8+ShgscjcoyKzrq5FwRoNfAd0GAQCOf9g4fEgW/+VcGGHFco9 aX4iFDPAG+dmZ2QDEd6GKUA6WvLUeYSjtalK2CNQBmp26KjrCNp00qo8e+BWOolzBKKnjiRXQprq 0H2C5cLpxOuKd/yFBoM5gw9cPbmb0Q4M1vB0tHq+S8xivDwE4zBopiFiM9zguVBwugDjXUQ6oe8m fhXOTlRits5x0SSVdC+us25WB2WUzT+cvlmyKziAcXHtcnujjCXwjiAdVfFUzLblzDa4AUwrMaeG pyOS8S80GPA9J9bwHteIgmb56pQLlqs1e4eieYcCkQ+D5hGVmKdax6TIarCLqwF5De4+D+a1QbJn nRUrgXXmfs0+aCWEd/xVJqyTTd/qXn0IIuRBdA6wjKeDoLl/by2y5PpdPCMKmlEqPVEaam9EZaDX SPYNtOPP1gI9plIMDUfPiGvMW7Sb5oMXM86f6YQo9ITFNAGlIbUewNtOZAVIws24tj71Dxo2nEGL D4x6zvUzbG/Mjaej7vEvGPOWNIJPTxQ2g8NYN49IJqSNngwZAqC4oiWSyjIXOepRkZmudyNT8hz4 dspzEZaxKy6ZIuYfIKPW4sF2g79avd2/edbVwCsCpCXJN7Tc5VBVtDnhrxyB+/l/eDrqT3zXmNHa zNRx0eyEqAyB5VIxlC59jYjS7cPlP6FkxCLqiELmlYTKIH1C+ryanPOXRTraCTuADQc9rs/pjF8O mvZoghiS8Kz0gVdEvT+ex3Rv1SDISpNV8/lBs+Hp6ODxkBBXBoCMhRI8mpwoYKYmRZtuctqmYXvG pisSzx1g2nTuRAHzFAwM6ZoNt4SKruXMkt85+1YyaRNWhoW22al/oXSrPjU6eBgR1saIkKATzMpC i55lsZOkZDz+r+YWFwrC7/ksnihgVrq+UzOkZSMeDAwBOslLa4+d9c6fEunMMFJeUsZYjzLGuRog RtiWSj3oolFkFuDKUvg/7sFDdWi8I9ha9DVtm80tDmpQnHNHaLkTG+Dp6OD5RvSQmtRvyDNCEPOG OEZqamnBuqKKIABBwR8zrGA/mNMToZgLESu5kFXHwfFgKKRWttoKsa3JMo/p1Kl5lYf5RrwjWD2V ukKMczXoXN6rcC+Dx4Kng5hnfcN0WfmRoyHfGRWZW30ELDd9x7ZinkRGLgFPwAtpdqKAeQrWk7S5 EsksmX1j8NoyVfFgtZxanbq1kDaASgoKg7P5cVjiHQHlmCWwXc0i1x1hgOsRG/+EiXg6AmXcmIcf h/C53HRrhAHzovCgVd5RClMd9azkPjwZTYZaGVHA3AURbEKttHovdcAOTtAjzmibKdUlgsDOQjFn RNA2P5tgyxFGzE3kJGoPFxAHeWJQXUx6Vyc6X3g+yNer3+vYSvR9pz+AJiiMmVHN6KwHMGaWM8xG k2JUi5kpkM+oJ6wzM01fSwbU6yHVnlT2HPM8BOFmNqpErhpKzIXQ60I5XQlX56xPjdqjbPzRSFoD o8JG890f6gJkPB2VM25rnfurEhjmsKcZBc2Uy4DVH/WXm0pNZylBb2EaM3IBZMykYkZRM6UO4ESx ffCEfbGpLqQ3KN+0d+vURuGKaeORhqfw+QyBGUW657v6wONnwcd0MQlvDU8H89O+oQdIJwqlCjQ9 UdRcJ3cVcU2EaDB+3tDnQcCI5VNJIcLdPkPuH9PvBCd3G26t8Bxt6midiBAuilK6pN8GSnCd1Vwj HRd96Z+F+KV8fVm+ftK5LnBwOdsXT0fHj4eFWNqD7sbF2xQzCpoTfbGLnz6D1ogqhWUzD5THLzbX jLl/ioRX88GbODB6HUttCrQDW5awE9ApiLIaC/HijZLQP+NSMxYNmHI+qJpRnJ+EH4vHI+zBd9RM 7EG9PcAZRc2sKLR8+Unbscw6CSwhtbNnhoVmg6SO6YMvnkTf0mHt477EbVuAx2QB4W8lnk1PvCOY nqaIh0cPBxFwoOtBvG5bBU8HzfX1CwhPCGTywGdGYXOl3XSdZnyfqXEJVM9qpmcEWc+8bXpC6l81 KGHzwQqpJ2xbA6qGzLigRCPqH1HPKJGNL6NghHd4R7C3CjNRIQo56GRegxKBwHhUPB20AG9WoQYy TsrLwJlhmRl3xlTeALmlQb3uenIZFMCEzEB2YAdzhMzoKqHudgdvr0PtYFD0BQreuyXe/oNiszTj Htf7BfEB3xEczGTtwCfUBwF2l8WhsArG0/+qhXF6kBLMeqcngmZ0GnZInqdRAdKcuGkkLp4AKCha PFGdeYk5QusrDRd8cAIFutpCsAiNHGmeCppxbksAGq/ffdGXRgcz48Iul7duxZ6z79c0kfzR8HSU cv1WeTqzM27/eIbcP3Y1mmks1z4MHQaVJ3Ekd7tCPTPUlRPqgKbNGryHcyLjc2MIE3auKKhWi/qH WlSC2Na1Vkr2oQGqhwo0lbwZDUopQPFh2XZtPh1MzmWssyCNw52cFU1OBM0gY30yQP+PwrVT7lxn F5h4495rWzFjhkVmtY+hmWyDL515EtyUhyKedHKMzeCRzfXE9OLqmqsQNqOQmUAKUEvuoJQCvGYV jnPD0xGq51edEDP96KfNkPnH+7QajrnRK5GAuXOiJjuWB4IptkdnLJVB2lYed/C1U9o5g8uWkkiD ax1VeiA9WqjGwf7f/gp5Ynk5/MHP/88drMp87kQuiBOZ4OkIuOI7i2cUOgHr5hMripehpF07K9qU O2D0QPP2NEzuoIOcy3NnRciMYRJYkjuYjxT1ZGicaJeMhiLlpIA2Q3oP+5L8JdeNwAPviNj88rdl H+d2GFeFDiQzqFYrno6ECb9LPVkSgA7rWWGRubN3bAIZ8C5TCR6agmaCA+UFnTsrCpeHhCxTLz54 b/TMMegl2lobyAQKhJHgDjgLIJR2KjPqxTuiWgbT0CGG0nCG0sl3kyKek1/g6X8pYDFZ37wUfGut UHCZ+lx1uaowapkyUaomALrlAoCDZ8XQDHGTLOOiM01jBf5EeefMl/5VXeh/mU438spzNGOavAJ/ /m8N74gKYWRip1p80LEMQrws7KBpGUfLt5ZxqX/rQnVXFC6jzwQ3dXdNbEl1QqAYlbTjb1PtTl8h knkkXebTBw8Iz14a0NEl6TjBfHCIwoVvBGo5tedOT/rUqMxMQAb5/Bo0P2fnb/aOc954Ojp5fG8p Mcu41+/qieLlSV8VlBPUG92Wn89UrUsK252ic3mF1D+Ky+xGxWkOnk2MSq/2JcJ6X9RFQO05Ix6E v+NVeIJKFr80qoQlsrjJruWg3ii00nWpp4yng711dT+5UBCCPdfWisLlNJhrlW2FsOywp1KZnjYy KHxvxUoZjGNyXj54nacXwMxqE6gHrRCCelCnb1Snwc3uRw9NgVYEy1gkR1K/zAZOD4QPBMs4/4Gn /6Vnzrkg9LFeiacVxcuL9lcE1AjovdyejCZP7KyzvMX5ieNlIQ6kHbv6Q4+EmlNbzhJIfdI/GR1B 2jMaoX8IeJD1pcH0EKJZCRfBML0LjDMMv/LJShsejzSebp2HawXvqe2qDYf0v9QtG83U6alVWmEw PNjW/1si6HT+mOjwEW5OUOV+DQDhQ31yuE4NrFXQPVahGZKaBcWLMzG3DrbsU6OEgkI04ilxSKpo TVWWAPTD0+/ba+XvOhggl9Dk8ukJ2X+DdJ3uZdQ5+6+rawNViKCGyyeuM7P1AOyMDZe+RWNNqWWc 7ZP5s2D5W9H6Bzt1jNsfpa32iuHMTLSUrO+brNP6RNzjVfF0pOL9i4OD6SFWTdMTIzMWxLX6lcvw /qi4iiQfN1f+XHHMLFlucVfn7eGclArq7GwRnKUzTwBHvG4FHbmdUxUeMffwGUNfGsQ93VSMhg+q 9FRchz/sy/Dp4Gbf36UM1MGEbeXs7LDGXCnhUq9Hq0lcogafrA7WqzVId8j920b6az747LTRqB6L xbNRFFDMDMZx2TyHbtwjN+QdxcxKuCoVKjWoTIhayZYE1uDjQSHsUpQU4zC29LhnvwfNUguuoDdb PiodvmE+HMq4KpBjmp9QL0PWAbNnHxyZsWHDwdymrAr3dMqhA/sDjZ4hextWek4ENPWpQaWHhuyN /iAaxP6D1I15+PELIzmRb8xckSbJXT0hoBkZzxaXDUZAa1j7GIAHo452kHl49uw/Ec1D+rIafPkA j0HRPfaPKwQRGDYjUASxC3HoL9XhHYXNsIZEJtqLDzyZywnRSFWFAjWejgQzfgmogeWSHNazo6AZ ODQEDAY+mNVlD6BhYicP6VdcOzEyg3sr7TvY5ABrCXcFVgmBespybafmcEe7AMwHY+GwDrajmNmk G5v6FM2qhAt1JRVBe9p4OhIn/D6X6TY3rprIDrHMAD01BhzkUZQr3biYj4IpQMlXXOo7xjLLeUIV n/qoy0FaZHXtrHOoIU9nPgploALaN/7WZqUJrgdeEVxaJG5Vmk5qUCmjQ/1QG6vi6X8JZgiX0fD2 e+6E4nKdIVlfdmkNI0SiC+UeiAQbcemEuAzyt9IQcXQ8xNEMTS0AVpSOwqSmqg625F6X1wV6D8qJ 7FCOuYgxmqcP2lfdWJL1/Ew8Hen0fMMJoT1a07OxIlwGytJN9juog0lKCPJptVZTMcrsjmh6QnU5 ouD2UMgznpBnVrCXm3wEJtnvXDuUmURdA6x10Nzow9r0qUERdTANBYnWBrX+dh8UEACaDU//q8LM 5gA8Z74IbjsMmAvRlsNLPQLEA21JVQfqZax78PwDmEH3DQ22ekDaqjQox6WOdjgL8JMTCV9NHD5a PYVdALwj4kYSjErRHw1WJhxNCiGpDjwdyTF/7y0UMgFC99kJa8zo+ncQuunA2uZwnZ6dnKJEOjQP njBelgwNGkg2+JUOSMBoXuopY8jRhQkqWDfrJqN0UcUrgoNnqeU3lg+qEp6Tk2TDs7P49L8YJvjD kVOXbq6+o2gZMjQQyBh2Km+7qVDlNA+pzkIEJyfCZBhSjjohGrxK2JPjIwvk4NMmRWmCS3/CnQT1 zMeho+lLI6kMnDiTGYAG8W8qha/Jrut4OkpFvc5DoAvZztf3hr2G95dO+pYQaYD/Iunr/Be0Mhpx CMTMNPM7TGHAzGxrVXnU1u3pRBpMO2HEgYCQcmcsZuBgbdAD2vUKW9J2hy8J2JGiCZBBDPMPUOQQ EsJngec0HMr5fMQTuAxA/msEe+1yj3MKReYKRVZQ9VFvfcuybtDsr3ENAScgn+wUi8zJwJcqYMuV 7FHwWQUwV7YpcHXNSZpS7eAyLv4VpxtuZaAn9LF/Ed3om2iD0opzBQxWcaAtyueDvKL9Iptg3PeE zik0M5kqBgyr+XQ2cJC0l2ZdQDBizVAghZhmplC7iSP6KICeRQg9oEohPliLyg244y9OHRCoRV58 BoGpeEmEQGBeOghQnQCNs4Vz4rTBnA30rMXngxDoWiCyIZ95VD97LQqfQeetgA1YDMSYHQ2Luac1 LCqjcJkFhVZ/On5Xyj54YaNCR1eeHTCfhMwuAmeqTbGWW9IF0C35r6YogqatDho4yweeRrALUr/q nHl8PCo7r68p2mgOrMcwKEUxNOxeKvNJVMbOMdIE2p1LHEHiNCAyb8dRVHn2hsX/0e5J0HxdnQnY OmHX+eNjNjuwHw14z91vjrHvxwaNC2lo0E5Cg0rPsM4TWRvmAimEauR17zMEZudX7Wwv2mvD6jMq JpO1PLgqIR8WrwvCMkBogDiVyetK+jnRcaTJadsHBzmfPKW2KjzC+ZlLUhGDMTwov6CjOqeUNz5e EuHoCEgAF90GLqONbh2bO0jE8Pj7HNWv3heW7QbHO90pCrU0JoG83Runsjze5CUrst6DEhk6sKN4 eqqEOPPwwUMiqOGyWHtWEQkXTOM7NTwoZ0v2lJQdx7Rv/Uva8aRx2QetojNzglycxIyPR8xJX0WK voEWy/nOUKinUdRadv4SoSKMqAfVESoNToafRWEJmofwbmKWti9maUsE2k/B6WDbjH02qCQGrYFS 3B+nQKBc3xqkHAIAb5W4aATzQ8hPY88eu6bx8Qhu+I0Yo83Oqs9pHUbVCLUmVfVY7KA6NGaozakQ EmV2V43Az/kDLN+3VggHByekDB0aFlrPpQ6bVnEJQOvBRVdzeppg0z42kjGklS/AbDaow5wgFK80 ffLxYJv9Iv8PelBdu4Gcwkr0QB+DtWPqHVGKVKrf2eVlV8vu0ZWiWjQEZbB8NEXta4qApivUUsGN 1knShkDLMmkLGPUJ/MOarD42KAkV+isNdVOHTdFOfQnHVwdjx9Ds5AsblWVK/4g359AhENEa0JIO y5zDUKtgAOjO782VQnPgEAgeFvMPRpAafIbWoLubYKu5VdF15+6yQYTUpxMF5ViRA4tAtKUGMXX5 DkpATpYleQxof+fQI/BpFhLxgQOvXFGoHJoEQqcXXIJu2WtNioUGNSfTueI2uMbmghe4BEIVStI+ 4nula5dzMo9WGvrN3GY4luTKRHQdigA0mvvuxOc/XAJ5eAjZWx3ZuyZPOJ7Vo/LxILQu30GRLOLz M0NhaF0pAVLUvoDZr1kPnMvYUliUp3yGQhVneg9kspQ02Az1k0fDE8aqQ8DfMlGBFB08sCUrZujM lexb/2Kb9qq2cyXRhDMEYzGe1XXw8SiL9QKIvKvodvrMUCRLt5jFlq3qYkvC1g2AJKpEkXAQFT+r Q7dASfFCIt4Hm6K2T0IPkSJCpQidZ30RWF6CQNujOEvZUr4k2mY0u2l0GOcgoN05hvg3OTNd+Xi0 zX6piy26It+zOjQMXHRmSRb+ID8zl/E8HIg4irMqc2AYmKilRLHi6cPlXmxGDCxPn7/8lkMDuwUF 3gbkwFyLhmYfG7TlRXjv5Q4OtmtDgCkahkaRdZnfU1SxpvbV2siBZyBqGJL6sZOoS3UWpSK5uUJj rO57EsW4DoE1dVaP56wGRffMgqqwCzi5JYoBYJ0Qc11fIHFqteTQNJC620tVOQ2coRNNJ5Uxci98 PFJ/HF9RI3s26bp85MA1UKyh2mcyPfleHFSWlolGnZypbF9EIbIjEaTa2RrkYFFjnzC8pQB3Wagz QrcRcjZIylj2QY/suhFM+9ioEML+6prDByuE4LBUc75sPv+v01pGeEAx5cdZMfAORPKBWEh6YoM4 j2zoDlLfFBUt+r9xFUdmKGaumKSdkJ48v+d2Upu8pSzROoyZBO8Y6LGe26W4OADFqPWx0TpShyx3 H6yL2ORjen6MHo/w9L94cptWPtfaNTAQBISBkidNtHeIMrmBIHGfYunm4jMUl6yFL5MiW7tEsITi 0Dkpqgr6DdkIW4iMbKHikmu+0FYSp/mW9ymid/lagnpwYOB4MoIqJuDZy3w8Sj/a1xQVgjyuhnoO XQSRWZzz2gTq6uCfQ/W0btjfwRKYtto/XARLTj5cWD3EbWiQei79NgvDgz4hZX+W+SBd3wNHWUuH NoLgPkCgl4WQ6YWQdY60UaVuUwYfD7KP8m3ewAbEumTCHBoJUuOhzeUi6iB9Gx3VSmwbvgI2Q6GT IPungwa6NjiEE1QS+QRBg+NkxnUI6oHJkcv7o2+T7VuDC01YD0lmVlbDeRadhV+KmDpYRIGZIJLI X35w+FOR821TFMXWANmd9AgHJ5BUaxVJJZ0ojxOz6Y7ixkM59BO09kfVKqp3FTG3r2J2n98NLPbE 9IOCog1LaXnnLNM2mi/5g/F9jrNxB+E9+iK9GELek49HlN3v9APRZpENiaYotBRklQbRMDWkFoUG eFrT9koWKa37aR2YCiK+YsUaV6kNTtFIK0Giram5WHal72mHBgIDeLB9FDrCBsw+NoLEUKINlmk2 6Eo7y2tPEw1ofD4Kr38Vi1Qxv9d+6CuIXlQdZZsWUK+OimnZ/V7HmMOnKAZLaxVJpq0+ZtPnoO6J 7W+U09Bgp4BmR+mkgbo39i2n4WTQt0bte1qVU+oEg8nM1jRpG3k2WtY3/kt1QroKJDbfDlHgLKi3 ordYvcuYDfsx1zB52grDArNyDwHTiq6L4EPlUe+FLtKE5IGILAn2BaSIoV2ATl2lEKI61NO/NZih bJjXO1ia35Ou/JwyHw/22XWxoohHI6D99mFzaC+Iyh+0Iod1P6YrJlXymcwzxSuOob/gNu6lZqqV B/SK4CE1+QvC/bgTNQ0g9Q8Oc/Miao8SYg4NBiUKdOb8Dso/VpdNMRSI+Pi/JI7lbw7695WpzaHF YIOCt2ha4CSU4WwoYH/ESYDdz73RQkE7kRJc5OV6fUG1kkuTpAQUpYhvhF1TAacEdFjHvW7iXnNo Mkicw/lRpMFxUCt/TkPJnLufj0dNxu/7DKJAwPDcGYqq1rAlOBf9sg5ad+bYTNXqtCenSncN/Wmc MhJ/Ow1e10/nshTEvkCW40x30RqCwjlbRD5BtDfjO4IJaqLIS0dg2TsAsxRsqRSe1FFgXa5vCv8x IGj18nhzaDSI3wwi+m4fvG1rwTPRMWiTQUDXjwnqIFSCplCyDZdQB19uelcBBzLpDkV0/kn9oBd5 2YZDhaLQaJCJNGzKhw+an5HlfAG5Cz4eKVB4OZY23vgKJGg+P1FUnRhVI3UyS6vUDR3MOjk7+Yz3 tYDiqPp2hH43hjJwPogciZU5yQw7nyeGJDO6MiVj4a/KxlnfGqwgafNronrdDkSDuIIkKGrm4/8q xxJLxAbBJfPm0G4QFiktV+veNwiRGSWzEfWQAUUjDoaHUGw4SI31NNrywbvUBWWDVpZ4defO7FYN oLtL/pn56VF3+9a/IsZNjIIGY0YNlm6oMMLHo6C6fs0QYry8n4J1aDm4iSgaBkGDcrbrk9HUj6qj bXnTI7AcPLF5ZTl2EI3GwffY2UHnhzbJiQ8V1eiTgXQWk5WumycEGfStETuKEsB0LdXAXYawRJrF LesbI6znNyGcSqjzwcuEtoO9UaCwuVRHycYIRylHx/QQbzXpx0RQWB6+27xUHiUTBg+9U8INILvc aCVc4VPcECeerObqCUxKj+bQeHDKRgXcJhsUU0MotwsvkwafD/L7W0pTTQnJ4RMOhd6DvZJDNpyn QO0L6XUkz16p565VFMbUNElbQ6rhzyqCpUOiij/nCKqqpJuhRQSbzPwz8AFaRYxW+ZKI3cvuYqJ1 JQebohMq8uY/V6Y+8l/ZazVvkV+3WWBAKCWkuveWkkndEpyin4pZxGaqA/hCijHVDHOKJGzLI2F7 zqU5F1vCwM2AsrYINYeYqkge5VEMyv61Ub2RWkpd53X385riC7yk0h58/F8S0WyV0aZtfW22KK5G 8FRxkAoyM1inQtV6otcIoaUNLVFL8UMzwmGhYLnDTfHP+siEvBXYOg0xh9ARwbJtP0SdSNNt2oEd ikQncVh79UEp/tl2hKadP3Hm4xFpoXylr2enrvEsolAkuhOOVrcB0+pcXkirplEPhL6voQgL0qRk 19U8dCIlYsZzPtSUxEY8GVrrxPcRllPl8lmvKJcKaaEbobpnu6sD2y2u2ORkcQl1HkUhuvo3b4G1 vfVcaGHBmujm6m6E54z2Qto0Bz4YwC0/iuKoWhK1Ksru/aQdHXz5LfsQSF5XFtL6Js8PUTWLsQob k39rsMmIry46tDlYUR8N3aTEQx8ZZa/7ntbZ2OJfd35YsEapb9LZkbQplgkgaNtAyDDrIhZItcvC wHpLon7ewY9r6L0SHYCTKJmPNeSnEB6QT/uIafdmHxtd+kMSHtkHbhc0CkRKLOhSh5aEo/wqgRCR ibPP5yisWPMMIO//PyqPs96B0zoTJdvodYCkmWWi0JbwEjerD94daij3NvKDFnJ8NF7PSYRmVqWc aqX+nWJHEoRyYExobdSzx6jIvh9FdpxwVaf15ONBZDS/Gx80AJlXYDLH1oTUtk3JQEWdpq+89Smi Rq8VxjXcaoE1IYIHieWQX8bBiyAbNPHUJNMFy+Ekhl4ibhZF2ZvBDjLHc+BNmNgMJw5k+6AUf0FT hUnXWnw8us9+if+yK/S08kN3QmCEAQLxJmwzRv254QjnIxyEcPWuHxOUY7dSV+lXrAfsgIj3BC25 qKoPwq0kYTBnnbIH1TO0obgo8CfMNkOV7i0aLMdvMvMt5//Gx4Pr7JdWVxZu91ZjQ4dCpZCpeQ4r DSrAQWhIjzW0AEexNRRF14Pq0akr1e/lcUdt6J5lOYWBGcz7CqI58AjEfYLDUJHjLP6tEdZBIaOI Hwn8vcIbDVwAYs1SWXw+Ekme3ykarmle0TZFYXCdCYXMapmd9w5LYrNkUDYdn4YHjn8YFRqMuPtw C0XphO6FDPsxG3YHA3DKn0Kcpz2FEIoF5sCoEKQY1vSLavreoUNU0XUdjbH5+P9SsK50qOg3zQ+s CmEZRqzVEqlV2ywr/TC3VPRTTS4wx1aFiooYrGrw++zsrbGKXEBPcJcXlfpmVRcdFdHHO6Nt+9a/ 9BQXQ1ANVggxs/VzK1Q+/i+hbU4GkHsPjzyHboVEGZw9bZyzJq3/hST24ZzdBmzgVogZYvba6vLB e9SDWHp505zTI005garZcqZpkmdftYa6fWtAHZLZpQoh3QshmFmR8upOlY8H1dh0SYskDzFwSLcc G1gWApWYacrntLwyHFOkjJ+BY6k+RSFt0Zhn2mxtPelZWpQBZo/65JpzmX0PYSjwIf4SqBKfITAt RODIaIg+ZBrEZ0CvcmpdLD4eQYp+2UScH/DT89PGD30LJ6U+GgkNLNlRSJrtlrmNUL4IFdJ9FoFB qpwLqTKowU8iUIFzFzKtFkRYxiiXwqkI94Z0oBlxDrwL5eFm5kY2aKOt1LRN5tp8PFhGl/sq5Ajw bcNhV5/XkKjiD0Mnv7FsnyWDN0wYIlshZBcTJHgNiOq57uU+t8ll4GDX/T6xdC00+SgniGkjS9N9 kxOMOKJSlu/hlL+GQ9U1b7vIxBw4OWiaschzdh0efpmaCtVSZa77BkMFdoJZJ180M1Pw+K2kAzx2 695vEqmQdDTELTqkg6npUsUrffngdcazOc/lrsT+XAAwOCKhnCWA89tCBd+mxvDnr1OTqKEG8wxe 9hyEaCxLe+skHCeWfp0aUDyGTY08E3AbEp6PqXmNgWqmdtI5d4o81JB1CEo0hcj8DxpJbQ2bmtcQ CKuGFrKQwPPBVw18LeDVo5rHKKaDRpQt3R1ZgjUP684PfV81wCGofuKDjp1SquSp+m6f1+gH82oi /3qIylv0r+PUvMY+VYY2laLOVnydXnydvMEI9GymYPEa+FRR1eBIs5oPnssXuOpsk0me537R/UZn vnH+HWqWrvDPFtlr3FNvrYNUjDNgA1duqF7YfPw5B3z+vIY9+C17takpyv9/uKM5M68xTxUSqzbe +GzS0x2XzZ+yjSddb+H+NeKpxnjBKbJ9uM1VGEFSObfASb2RW9dhkIv8MP/QukPOGdjJ+M7gpClE CbEhoUE1jlTTYHh4Mvn1eQ13qlxcv44aSAIwwOXUvAY72IQUAE7VSkAQmNSiaT5J529SrAT0GupU ubPCA0sZxb4ZBVp5hRow4CUnCBlXEsogRFdRDd7L6a2FBeXPa6BzvnMJXr5JJ+OgQxgwY/5u52j/ vIY5+PvXX4dwJXJ62sy8xjg4uQvWdDGcSxMc/Zw0MBdQoTXnE5+5V080NYhLBqryPtjUQMUC7Qsi XtH26WxlLMj507IEfWSPkykI8xrgVMMsbALTbFCRFPpZZPKekODzGt7gmDL/PU0NyEfAvNvUvMY2 OIQrGxer2s0tcTfKkxolaINYZtq20RncCbm3o3g9bt4DmqHQamJWPEenEjqgVRKu+mHbyqDAqFh+ XsMaTD4RwHvSaYWDAC9nSUuZFlXn16CG3+eLRga8CJXh+aapea0XYj91qiZuP2q8z4OS6nI8UDfX 2NdiIXYyDeCz1MbzozYOuBQiGRwTZc8CowwENR0xe6PcCGrQln8CNIR3vM8NpTJXUTWMg4HuchNj h+Kbwdz07XPT7X6COatNzWuZsEpHuQ7hNSiT46dw7SbSsM/B4FIn0Snc5VEtSktq9+ZekAsyXdIz /V0uEVAaBzMTTECCUsw5jSYirxXCKp4tEKzlDtpQJ0Wz+hfkucL7yaeGsSE9GbKfNe9sMVy7Cc13 Cf42tOFZBoPEtWQroE3HVoAR6sI7iium8zzmcA/icx70Knx9h/BJJugXhaOKaJgZnatVZH1qtKnI o9tz+8CFU2BGo4XT+HSwqwxEpvMmo7HIgpOmJwqIk4ROppIpUJG7or5sukpgbxen9YYBMdWDkBv7 4CcOeq+btSrQJk9IQ3DLqDTxFT0qeSunV7EPotNYzjxDCIVhorY75cLuCuIQgMaj43jPr8APzC+o efn0REExyONO7KmM/Gxnlc2KRcG+3sXBP8H0MKE4q6bcweuCGzrpS/OAipEZ1RDi0ahglHxnLc1O FBV3shNXX80HLZ6zLNgSqQ01r/ewGDJkHvuR7VR5qY47PVFgjGIRIGPFwWPN6qaJhls4eOqet+Me HMpdBueEPWq4gPoNucjGnOHEe7uz5LNpQIt4qlF84Uva7Z0hVo0FByOc5oNKgudAIHasnon6vBPE mDZ+Zw2NZF7WTFUgCeIccEpbmlbaQthXjMu7UjG68+pje1k5mh4WKCq1NzTY9Ey4w+wlt1jcWeCA oDRPvgHuLApWa29N1SnCo4dYpjbuYDnVFtnqJPrj804Oq2K+fx09gPgCIOHzE0XInW0BxcCov1N3 Gh1ASHCw9Q672mJO3u/kMCZW5KdOXVzzubhGgxggEacFTXb4ZxBZx+sHxbi0n0DQPjW4uATKpB+c Bp4iJ+AQPbCmVj/v1LAK8bv+a37gvq0uMl8axslsLLmI5Anms4m2Us9ZeuPFg8F3ZhhXIeNkMKtt sOlpJwcFh5S76+zcDeFKGqLi/iHgNT/X+tCXvk9Po/8MlLR9UMhzZoU9lzbz/ryzwpi2jl9JBJgY 29Ord05YlSjPOZpN5gVuz92AqwqVUSmtyYpc74ww3lyq4ZB8ycGLgCfWPKcD9bThUj2m7GfoxAKR hEGfA7N2WvrS99lhgWGNzjrpcOXTdX74UJ30RFifdz5YfZT8bXawtzjdmp33aBlSprg4WJP9T6IL +q/Z6S4tRZM2zdvpnQ6GTzconUx13cAeBOeBHZ6meqDnxOCVBYW8idijolHn09OZSryTwarJzZ9o kKKtHJSANher79B8iQLmte/iYdkCJxjQozY/UciMU6ElgoDlm1ZV7Vq09xJHhYtLZ08YNLMSWORx Wh4YFOi5MCoUXqTCI7gUyXht9sHRFbqCL2JdRkEz495d6feiQS3RTDNFInzW550JxrN5fy2fzvr6 9KP5nQiGi51G3rsatfmsQevUSJ+YNhmgiakWGAbNlMPJtP3S4B1jcHWg26dEFNVJbS6AxCgFD9qj s1OKvvT95iKVZAsspEEQsdYTMy/4m33eSWDcXPt79UD0ocCf1aYnipoLuSlpbs9Frcpzkr1rGUuV QSyedwoY1yDPHvKbNPjZM1Y94fdkGfkc+1NO3rCOwuLpPxCZuTS5oi/9q8TeCuUVOPBkhqGoNtds 8/NOAOPF9V3DyGLZ+956539V4Z3P1xEKBvjczubZWIvVwAA7NN2yd/aXFd1o/1598KpgOntpUVWv QFABDHbKlnFCcBx03uvlEeh4Z38x7lF9Xc5gxV33ELSJZplr+7xzv+pD1aX7M+o7UMErnq2/c79Y GURcKPgc/ktWnxuklKYbHnKiySvtUdicZFK9n+HGPQUwGIF6cDRjUtCFSFxoBIwUh6x0/9Zg/WyR UnAPatDdde5BK95kPh2l7L5+6A+KltG8NbB35heOdHoNIPIwrwNz68EhKnLKHlRF4O6Kq8q8s6ZS 0/kI28JJZLLMDMzTOXuKfFboy4wKEmWKzMGIBMt33penTecnjeSD4p5Zk/BKZ5Y+77QvXK7rd9wD wFO7qyeuK1empMvjniYs7zl7xjS8Eyijmp2osNzVu9p7+3DPnrFhCjZ0cfUsUcBFHBgaDHSCcLfq pS8N4h6VlGkBosGgqbWKFbfn+rwzvri8f509EE4hLlyz8x4z4+zp3yJczZWUzl/ExF42eP42O+8x M05m3udKucpXypVAZWmbrWEw13ajetKk6A0QjTvdao/Cnne6l3XKMNtUTOSglGuUJOXVs10/72wv tni/1w5IfoWq95qdMGZG1JNLUkbR5O9HUZe5TV2KQnc2Pe9Bs5B6BfXG5YOfPEDY0vkAwkDQplYT GRrwmcJS5UuwxD41mh4WMkhh08DFc+7MUlTPwPS8B80oa31nXJ0CVc/FFZWYcd3h4LGgeVDckxcX Bc+oCkQcLao970wv1n+rMq07aHbOzV27KeOeax1aR9LeRq0VleIfqk0YPIXtrHeqVzW7adhpNR9E Qtk43Xjw5P55Z3pVaUV8zQ4qBUQCaXaikBmedfBHTsY2bdsSrnNHWrFntnvwvEfMTzVjFB9uxHwC vzQlMNHOFsYngWwp6IRb0OhYRmT2ead53a21qf2lgbNzsl3heFrK6/PO8kJE+buQisip3ErhO8kL awez03azHnGl+zwxFxR7Y+cGFBr1iMOImdoSZdKVsMzrSojDAC4Si/kWROhYKTyz02gDD+bwelRc ir406J9zZw35zwz3n8ERVpbg3iN/3hleVSS0r9lBWU2yCZydEHdBfOMYxhiAMp/yCVi8Gu2kzWU8 3HeC1023atXBU5+DBxCd2mXEPBJYbgRAIej5kZVuIY+IbghVXxrNDqeFAnkaVEeFE4kp3PTPO7sL v2da31c65ZRvE/2d3IW1g1NRIn0i5SzDF+yZ3UGkM1XB1nondxGxw+tqsO/HwQ+e80dGzCzsxTlB jccsGj6StHn9Z1Ao5acG6RbzrPMXzz7o4ElrbjHdO78waqTXX9ODC6v5sfzO68KRkQhJK82L8C6s CUa0HTzFperfaV1VrmY4cQg7uValGdKeCdH4MA2pvKe4zITCi21SrvIo1STeWV3VqBBrb3VHQc/g L3X+Q+BiEHvK553UxVpG/wqX1SWrfi6/U7r4J+GqTNb9o+qcGqODiJVC6zQjKL8TuvCnadpaa/hg 03PSN3T81KOA2CgJAFACyj9QF79lDMqLfN65XLdlXKF3ZYOw7wB4qMwz5+edysVY2TcW4ZRQs5t3 X72HynBqoxKSEwJnMintCT8alQhP9mg31juNi1mefl/OTHlm5izfAc80AXLbOebZKDsbaBGLduKG r97Wsi8NujdbTo3lDtpWM7tQfa+fdxIXVsA9dVTEoMLG3VZRqEx95swm2H/okRJyBhzl+dxEVFw+ xyadYjt/TLSvmGCBKGqD15dzbhlTzXBntyyNMsQoYJcnsigvE7Dbt0Y1sEZLnlR9EBq3FkkjnR9X P+8kLq4Dr/LwfsPtkS4e7p3DhXgHSU7bLobUkyHeYbln5fdG0w9eWWGBeai6wyq8FXmmWqNrAoiu 1mg/W0tWVw3QOaK/EzlcytLJuH2ncLGCLsTXFP4LiQD2xE670eD2By6in3cGF6PJX8kE0t128U3v BC4r+gPcZYlolRwCCvC9moU3Ekmbn7DCTCJAITdLg8eDaEZPVqDO8unn/qNrIUghhLT+oAPsWr6M B9/ZW7e71XCgYz/BuH4ytJuIqPDGs9H4fJSK1q9zeSCwRxXO5ieKlyeuDahcOUuymU5t2ka1PddW tjLYO3UL367i6aZ+DQffXrhg6HEJhZ81aVoHlDL4cBtI7pTv8sHd+XknbjH+4LGj3vHw3jFiMyFk kNd93nlb/MKba/EGZ3P21jHeeVu81TO6ow7jzp3aUOVnlWrSdZntP8iv88dExw/xX8RXabBCxoaK 1qCgMOzTEp0xUGNG4I/iJ2BD3qEgivudtlVlLoni8qwaCvcJPOUWGzCo/37eWVu+OZ+IGe2cnrwI 9k7awprDfPfMMmmj6SHKyRvRLPl+85w+OFsaDud3zhb+NtQ5yPQ30OC7CyqpnfZQqBGWJLr7bE3V JZWYrfmHhft5p2zxHax+dYYrHFTm2XUSJgMric87Y6s+rD/dXRS5Zayh2QkjZmQ7vW9rjfZtQloz CwyG5h8RkaigvvO17ARjhrl88LWDCtIiTLzAtXQQHX4OYfwaOJvZqBAkl33HzztdqxqZaLEGboMK 8IvOvFQ3WJ93shbrGOvr5mKsXLff7O9cLfZEqNW/TeiwUfUedYxcp86g3Yjz4s6KA2Z2b7bA7vtq i4HFv86vzVx0naNhDokb28Vx/gr7msWCA893BPc6a4P0o7dB3Ztz74qHdHKazztRi+Ws794WwYxk aGt2wvLyooyJyYmd/7KO1oJAkWVbG6q+mp6wvux99e2D1wgTlEobDgsgLhtMZyg2gqMO+CdqPysZ 5e3zeadpEepO/yIqLWpQqp5Utqf8zOedpcXa/XdYCDsf/Cufnqi+DM4U+pVVPtWjEwfG45LsPlAk ELEoLHwnaXHlMgFvvLc4eCWjQaagSyUTNNopmXGUUHOiydL1viKm552ixVRd/aiyfVDzZnXR/OEv 9HlnaBm64jsXxa7KHvS887PwFFOKulxyjcg8BD30dBXUsuxkgPcwZmYBA6gLH25bfe5eaBRQZjop fFJzEHbVpNKy86itpUv9nZ1VZT52biu5DaWr5JOQtwiiW/h0cGlVL4JNBpJA9NyQ552aVaUeBIul ZH3RWZwOQIUuUiCFNcTsxCEzd9YmVpfDzSjOL2UMyLNrYXTCqIjwTXjtAUTh507XhwZlDLYmRtK5 k/zcSXMV4g+A/P28s7KIC59fG4sXHxuJmpwwXkZbafHU/4/Ap65a4ewEH/1XaJLdfO2EEOaiRaNK oZubsMqDA9TKywB8VQn6LaOgMfRwtT7URD8tDJgNqkJtVQ54x4Cmp/R506qfFkEyCOh4jmUSQ7P3 1FsYLnemrkWhMQzUTANqsxYuB2+eqpyeqL6s6cldpgX9MS3ocKVeBFNATejEDhLJIvsAa5v2d1YE o9tVi+LlxYo5KKY+GPo9bTZ96058Omiq5+9sFBVzqlwZmyQMl0kM3cvlMIcXwXK1/9vuD53kPVrG qczyziA9i4MtHmTrc2eR+rIMHHnwwOYcWUpNlxfaWQTrf7L6zhmPwoIG1TJKUcsY7gh4OsLpll+L p8NG1+PBHkXLVDOVQJB4SMMkDlZ5yAHV/Bx6BMgYLGWUytnhYLND++UtgbVVIeCj3gRE8jJkpOe6 ZbBBnG6P6su0X1qNv6AGzk7m8uRvfHKJHkXLLFs8HK3zW0rPiJMTBsuVxS8jBaAUaTurFQuCYApm GO8eVZeptD6yBIvzI1hcICJ84h26gey69+S1dtK5s/EbdXdyf/iyRPP0KFpWLtE2lR7bJo6DyQQq AZOJeit4PGD3rfY9PZN889ud6CG/76wbWO1UgeB350pJFOhrTErBKGbzBpsrCpin2NablxIHP3rA IAdzAjMEYEZnKtrJBJ2sSlCNdz5Cqj2KmOHIgRLYM+jsOUeDnKnOysXTwdGcPOjBc9RAKw+TLWL5 AWELMfBCqX3BvDMrzERRMNtCE6hxAYUBM6cn0+Bcg0eEY0xY63VVelBtJl6FkSjqh31ep+FOS6Ie FZmt89dJ9zspRKeWzIbBPCvx/RzweDqYnVm+TmZkptSrs9kJA+ZBD7c5LBeVZwoRfrM72xq7hrMT Mv2mCoTKtvLNtvAnXhAj4+ycoHDtJKknlLEgwg8cntV5KACMdwTXOlN0sQY1WG9rKbXA3wBPB5vr N6ENIcLoXsfoYZVZxnbdaMWN1sQkmJCXLCRhtmyixwGz0W+SD374jHN1Felcbyh2L1LaYHEFjmH+ 2V+iGFRx7lGNWcIqg0pqGjg7K42dRcYaE09HWDAPmIu8mro0/2163iNm4HQR69CUhMloJvJ9/KBN d5apZFUg7EjnwR6FzHupzjPucOlJABnLaRi3GJxnGfVwF+KYnY8IFovMPSoyV0moKZLkwHckfJ/C nnPg4vEoWf/OtyrzmnHDngjHjKpg7W1ISuUc5gQYVBSp8aG0R+EG4PREkAzLt4Y6OUz4fypZ6ZVW 3mNaKaMVue8hV680oNjzHj1A7OIdERaMZ87W9GybHqy6rbDnXAF4Olo+36UMCkR9HcxhzIzcf1C0 HiryQ1uKJfysks/JRsFq4exEJeYlNuReyYdbBiu0qjTPj0zODUrwaIDi3mKhSZ3RTj2VHkEyEFHj RK7NB11bADBIpCA1PB2tnd84VGyXdU/mEcXMUnEvszk9abnHOYXtSE+i5jeu9RFVmMWqqYWJBQeL CuvJynszqFxCPiqeF9lCwHgDy+41VLpZjChmVpWwV0HlqkPlzsEm09JzgmU8HcTM4xcmAzWefhfP iGJmEBHq4o35n3LmKfrNoioOHb7aqnZxjbDETOE9mt/a4EfzbvB7EjPyzE9jixkQePz1TjBAtxFP uOxTI+ofbVAaKbUcuHqgGD4U9c6Np//VO6Zs82ad2qPmEaKYE3c/+xM4o3sRS/1k+omUJKq1FtMn HFGNmb5uJ3zV6qHg6c9gxgVtgE4s/lmhZ0ttOusWIEczYud6u3/osAy8IsBZ9i6dq6Vhqj0xIb0g U+7e8XRQzLiyD0Idgjd6r/URhcz0gB9KPSUBug2ju7oCoY2Wr2qoI4qYl9JRXn+gtm2bnHIS/UIl DE7OPjNO2k0myVVsi4sjbAWTE0MyJPxpgaGBYqBLKwkOaI3h6Ujf4LuUgfuqIGi3yYni5Q4Wak/e GYWZqp87BFzi3EERWOdOFC+j543S6b6DF3pAYKxUFionvFlTnS2CqgorLal/YXSrPjU6eKi9Q/rL gjr/D8ttC+5ITL3AicfjUW8rfSVcNHphqKf5iSJmeY+ULt2mE30kx1nizyycJVazdlYUMS/1J4bO n3GFPzE1HWGPIMyZfS5e6pMYfeja34OH0gV4R7C1KEc4yIrWwINnJcDGma2fmHBEEGbQu77OZWyD fkOeEUKYJ0C6dZjSXpa67iZURpc6LoVquIwRYZhpknv+rvUONj0bALOWZA2DMlsuRkAhxBoo9Ks+ TJg53hGsHprnVIrqaOD0nEmenCrYq+DpiHTsMY94SIBCjIuWG1GRmfb0vSf5d5xbvQi3MtMkCCxD Io0qcp0/Jbq2JJLBGqkABPgYVMc7vE1MEhXIsiXcAdIq8MbRpXJCP/7AeMcfQc+GJboPPHsmKPXc Gyd9xtMReeLXrQ4ERXkO5jBgJnMrG5wb+BXLRsWNUjbqMo0jDpiT0tDmg2ejQGTMoUsdthVTkjz8 I9CZAy1Lm55NqOUII+YmuxzqxeI8+OGJgdDJGAnnPQvPB+n67VFQjAX33r5aTiOMmQGLHixLMmau rIudw0dSvoyZefQy6onLzCzyyN00SZtU/IkNTcJOWMYGkq6zOTo4T7yMChV1JV6dsz416o5WtUXv wKiwnaNe/sozZTwdVTN+gS0rC0a+u2ZYZ+6EZTTpnLdMW8uzklapuRsxcoMnx5xiRkEz9PpRQyXM m4MfzgP8CzYVqQ8/u+R0WfLDgiGQ2kBh1D6fISyjcF81GsYmbzGe0C5nqsOfldrwdNSluIVmPLjw J0/jzk8UNfPTOu1sebcz6pJCD2R2YVXRYABWcbfPsNIsckAqd3jISQnaIUQ9nViq8rs6SvMAgYyf zgag0Y6LvjSqw1NHBAmLDZoeZIQ8fdrk0//L6QN6SumX2jZDvQyiRmozzNwQ7Ri1MEZetKlYzhud MfWPmLBESWYODvOu/UTkxFLg9Dm3LzhbfQFwABryT2MdXrzRbF/6J+tYiN0xHXeWYKAi5l6ffPxf zDamZ/hgKr9qeqKoGSbKgGMYINVAu+gep5uQQlJO0xPXmbsiwuWD7625EWJ2ttYhEM+OMY4n1OpQ qs23EE9kO94RTI9gK41n83BNDujnkxQM4F/B0xGFon+HhdSpvcCMGYXNAC63JHc3sJO6mXWdv+5s BswwYU9MTxQ3w9EKrC02Kkq+jQo4vp0TtVZNz9lndvRU5GaouI4vs2CcJnhHsLdYC5ttFR9setKk wEJDj2tGUTOhDl+cbJyRl38zwzrzpME5cmCkXJCsVCmsd3ktsDACvANP5giYYWp7/5fSDxOhXZJt rjF6lktMgdwTDbnHdYBBM4jvCE5mwnUTuSIaOD1pm0cOIgg8HdXCvvN1NmNmvQdzhMwYSUmFadGM ZOT1qeOOyIzhZfgZFZqVkZbE/h4HL6Se9AozxHQdHkuTFcwTVdHo7ZwD+Vk8VD2dUdScKMiZhnG4 rNS/ABvKKq2Phqf/BSfkl+HvPG77eIbMv0TA3HDA3BzdD+Zq+XqjwgCvrVBZjgZLVRph9VsjbHWQ sjbLzJDDsmYPygQnGYPc1vVXoh3FjKrMhfZKDVpQNnipZ8vyZW0+HUGe9vexTNzmJRzPCJmBqkyF W7w1SFk/x8ED3oMdPAk2RpLdi4LmIf5N3XfwtXPiJVSpSd6qwGbIIBcLobDDzmrKfCphM4qZKSVz sitWmTkopUDrRjaKueHpf6XrF4oKHWybnpD4h5+3W66GzChDzPUFzIlNzyBbj4sn1MoQYmX37YPf 6ufWxmljAqjwphXzD+cLcGRMSI35p5gnFJijQnKl3IEGba0M7QFe1Lng6SAk/IUnBI+irJtQrChi RmP9bKjVTO5g0I8TEWHvKorBwaPo4FkRMmO4MmHywRfPCTEbZM1/pLSym/ypKJQOC5P8pdiNyAPv iMj8oou26gNnp0E8S/jSWvH0P5l/ov6RruAxzwrLzI1CliU5VLcK4XPiwNwsIZXy01k8KwyYu/J0 NQDXbQBC7wm3/DB60jkKEdN0hgwIgZCz+7m8pn1pVGUWQ4mXOgcr9sAAFotn74qn/yWCpSozDr7m 5/IKVZcpk0PgKwNmSa4s+AAXo40CgKyTZ4XYDAFXGiepGMmVMO8NZt6QDwYswClTfE4e4d/aD71l rAZ/4saGd0SlMESEkyIXGnQwZ7rSUIZm4Ol/iTde5t+6EeEKmX8F+hh7akOd2JBk0U2hURXFTkR4 NalXiGSmIGqhc6YGb3CdW6XlLIsgGYmyUojor6D/VtgjsUs96VP/qDNDIaP6oOmBRJWURPLG01Ey +kvFiGEGWTmanyhiplJGh9EIQ8Iu9cZ2bnVgfLK8bygkgr0VU/9YCitiKvF019HT4IENiW12R1Nt KC6DU4u0ArTD1q/IE+Qi+aVBKcyYkfzD731ptekEIgJ6p4yno3urfOcT6JKNS+dfUcCMRV5b2Xby tHJ7FCIiN8LgzTNxhUoZ8tZeLMNz8EoPO+tSp97glUwScOBQ+iPDW1ztfvTQGWhFyIw1rc68fRD2 YC5escikB56OYE833cLXMVKBhYTNTxQxL3pg6WQmfG0bLkyGEeit9+4EpRVGzGa6WacPjnrqqK3B AJt2idSowerBRiKxSIT+IehB1pcG09PZF+WhiqF4HxgK2sTgptTweKTy9EtoBa8Bcc+mJwqZoSDd krsAgqqURFCidIUagHt7oXmFaGZdWZWlHg4e9pxIPpXEsAeS5sAvksCFMBJ/FTBsvRLGOvyKgmaW etZaTNo58PCB80cS2iJ3PP2+u9YFhRld59yZ+97rUdBMX+QhJUvUUeX2CxPAQWDzhljG8t0VxsyU jE1LeMr1xMwnZDvhZRPsqZ3EdNFlYmCnoC72Q0qlN0hprb1iODMrYbBds4G7a87SpKB27k88HXTX rx4E2bWYHmZKmp4oaAbDuM4+PR1t2RKukpokC8/7qyVcKxZlZn1Z5Nr0Ta4lSbvS6mYjsmE42OlT jdmCTvg9e8bQl0ZNHFOOzT6olnGicuk57Myno8Xjs8OMFJWwmm53fUdBM5oh5xBYNj2NhthkCnSH M0MjxSSrQ/KfVB6K4h7m/lbLyOCVky91fgziZnmUYLeVLSXHOz2sMu8oaKZj7GrM1jVYobCkKV/2 NPh4UAqr3gPUMcxeh6+e/R40g5yCXLkng+wuymOjUAivcVeEQDNZ8xPrZfDQaSr5tAeR2oAQgtA8 z54JxXOezcDfVtyvtLhhracQLox3BLUeEvr7pHwjBy6fcRKVYUZ+/MLg6sq/mhQsq3U/e3aIaF6d quxqATaC2tjDQV/T1C071KJ4+Ow4bFadWRlpejLSCipQp78RUHPILJhU0NUJpV/kZ7+Eh3cUNnOL QmNs+qAW6bnSTFn3BD47Fsz4Bj4hB6zpLp6Q/ifIpQv1TAId5IiY/Ohp1dQJd4zNUIOUVWYOXus5 f1fg4U288eyyLf00VlPPmkQ1zGk4rITtKGjeVVhCRYWreLEHxj+M6nraeDoiUnxf66yLjasmskMw c2LlvRoNp1OPlZrVdTlVgKxz3Oo7Zv9p6czpg++svtraQ5WwE3ImCkadlAJHOKKOffGozGLxij9Z 65WXOgeWetBAkcDXSdfx9L9AT9JfRicXbTibnShmbkL0rW3XFoiunJ1WvUOxlos87RCaoYSr5zs4 9gAO3FVG7Av/X5vRUaLDlXygLtSb0C68IyrBM1Zuao42L2bAW3nJSyAtPB3pp33noywApmdnRdAM MMCbfFBRCcsSgZ+gDk3xAHPO2Y0idygvZx5Aoti0x9XlXIgwZNsSPIdXpJw5qBAPs06wtEF0oxtr 06f+oWNkvp4aOD2wHTYNrFbwdAQK+w4JYfCV521v7VAxQypL5olUu4QbcfCkXqzITBFIzk6MzBCj lqxsDs5R2hPMUXPXmoAI8VRGw+v8O0gTLls8hX6xeMf73uK1s1MSZC7lS46EhyXv9DrwdJBP/NIT Qecoj5tu7bDKnCgVu3XI1HNqqvw1U2FZHgdPdRvWHSMzWEXlftbg6dbOtFtgqef/M/ZlyZXEOJL/ 7yyyMu7L3P9gQ3cHGE/VAWX1jBmzqytSkRQDxOILAELU3jjRDr010G7WzXj2nnrRIPAwE+yEV2jh 5pyLpG35+C4+/S+KCb2nGjVCvQ+2o3S50VK81mu0sHR7nVq9W1SenM5zcyJUBuSZXvPBRErblKXf +TU3dC2wZfh+4GHCkb27dDS9aZQPTukcVF90dKb5KoOfgKejUvSXuBzpzlfIKKcoXW4zUa5RVOyG DJeNnkXPs0LLpESVYBpRpDBhVj0Blrwt1sw4JcM5FWClA7YygfYWZJ1eTZ1I6QvrWf6yAT2SDIrN yQJEUZsaxrtNqEOiWm958vmgpKjfOSGqYhgh3T0KNeYqDw5CuYbrXciMsTFWazhCnXk1Fc9TmDNT 5ynBytkWbxZCz7sMbVFLlblugakdjg5/idM9tzJ7ovwpEfyA/bBG3Scuqiowt5Qh2bnS+HzEXr+S NOaUiNpi3U16z5zBN+HWXFGamazfTJs2s7JLNumih0TQkGvqFSZfLvzppDqFhS10RUBYpek6ejYV eHgk1Y7QIDSVIqsBBkHKj4SWYSL9Q4YaZI5k4HGSz8XngxQof8+RCYzlb8+2KGw6IxSMYgphCEXZ BhbNEXSNuocyDAr9/oiqpMyzLR6LztnhdAvnCADUzcR50MUJ4qa5pAuhW8VfNoIYsmJi21kLo9GE QhLPQE6Nj/8vGDFIpGKUe7coyqGB3GupCMKMPzkU4ZxkyqMSqZGHh6Oo8zyMaTvu4lOdAXPgpO7P iQdDWISJJLRBRlM6DOodyi82RVgNs1+gi6UWtZ6hh867fsNfIIVgjeKniNXGSfB/eroKNaJnvO8R QBocyfwH78qkl3R/vrs5MEAKXJ9a2H8m/aQ0aRG3R4sY6WGC4A3ToY6YLbwGzhEkJee8U2W2dvlD IigdO2StTl+ULZ7LXJAEFGJ4/H2P6pdWM47tBsn72aLY4CRffg4mp3luw4HPbELxo7kOOv6a4NaX KVeTxFobFy52EmfOS1mLYcDV2GGdcBCulc35dpUdx7R3DVrQWVbZkiXeBpvZGOwLTXcuNz4etei/ J+/8R9V8HWBSKKihjLpZLOrDmvWACBaVY62m4bEo7EEvE6GrvviddqLPIFEDBQe6Alk9aLR7CAKt jvkpECjXu0bMZNarjdCEsy0eUxKpvdQZbnw8mhD+Uo1gDlGfzCjMqpGIzm3m2ARXaId6L/rPIFdT 3IMqRbIaVe2O2e7iJWuBvxu1hNGR7InmgX0ndDWgN4b7+g7Bpr3sX6BDhDFfFK1PfSa5otEmHw8+ s/ot6DNoQ7WfzyxW1mjfuLqkOx+CR9l8hBCt+92isBktkmmp3RefZMzz1S3qCkNVpsFVi9bsiOsQ Jqb0N+E/AlnzhwQtIYzRgd68i6BjEFRig3kwdwztTi7ChXcOtor+5Nqi0CWQtqkj+ynqKRtutRa/ 88+N7Xd+4BIIlIJphDZf/BDl3PMyGu5JpxNJhX1PkkYhmTW6UwVlWZEDm0DjKe/Ux128dp3KaaD9 nUOfwLF/R6IBOsx1WwqNAnEnwN+3W/XqeF6Qo7FXGfrWbAx1/TXBrFlyWVON+3lx8+fCAvs2VUls rFNLyUyQbR7K69fyexSf/3AKLBTXkPdJ9h2aC5J5jNWj8vEgty7lniFCLn9EMLcdClNrILMnW4HU 9xEAEa0zqn6S1cRpgHYoVHGugklJ0HDfkU/NnaDeIVpTLzZSTKyzTnEiXTHDZ65k7xrNfCRvLZTv 4EcDqEshwwWxug4+HkGB7sCZuyHEzPOZRZ1pmMI18Ol5dJCi6jpb1PyjGadUdO07C3Nr3mfF2oyr 31NU0PbPlaKqE23YmdUEaWyDnej3SM4OzpxzYBnoqo9EvtoiqN35yCUvtnLl49GNf7vT3A4Ez3q5 cTl0DVwsInH/mXsO9wiDQ5en25PBgWlj4BrouqGlmjzmuu17MCtPZJJu6In6ZcpuXLUcellQTLkm Dc1eNsItkM/NY63F8HbAaDG3pm1olFuXmxXJDwQ7fV0scmAciC4GYjTHWYhFukgJe5EqEmTGlrfw c2AcqF4MUup6Fz9Fc3Z+bswbT35FE0LQDM5/E7yC9QUUp1xLDp0DM+VdJktpLTxFsEOR9uf5OXw8 kp797YKyOdm6OxTboODoJBPTqhLEoz5mG2ah09vwMj/0Dly86sGJ8MXyxooh/4bRJHohC5XakKIN 8iFgK2AEfP0Ipr1slBUxHWqcQ3NRLwSasNTvgqYKn4/i9feNxuZfftwVAwNBSPnR+G1NI3i7/eTK 1OQS0wk9CvO+jdxQ1DCSurUWnyJCir1L9gc2rMQAILlG0ngquR+0EnXpU49aLxsdI07K9pi+qCdb l5ov8Jfn4/+C1FNpAyWRQLK2R2F2PQkRolU7Tb04cgYHEqi7LM8P5/PkwEbw1h9UO9Zi56jvAhAM xeXXSp3QBfgDbzKozzkCbtd7anXYywaFPr1itonwO6UHFj1T2fVcmY8HBchoX9c+s9x0GU85dBJk CQB3O4vXZW3vqO1kRSygQ/aphcm1BOu2cK77tq7hJJUGlQ5xiqA+q/oDBRYVZtDM89RRBtOhlSAt 5dYSjoqLEK5try7f3zL4eFR//ELCoFQCeMJ2KDQT7MBatGUeeRh8iJI6NlXfkVzTnUk7FLoJrqGB kBoh5TZCEPQpJcpLf2P8utXcR4caEMnyIKQFNMuhnSDlE89f0VnELo+76QRO1pM/MFfn85F83feN BhwnmUW+RWHvGl/uov4UwFTbjSlPmYAch5ZwjX0OGU2HMGl10lItvnjTcVfQx5ukXCh7wgIEnRv6 iUqLn82ixdlZDj0FhZPugBXZIsTHufap6wJBOD4e0XZ9i3h74RbKV60kx66CGHVvwhqRXrMfzHCd Khv9hMSwfGcsCnwFlbJAni1lXxwzBPv2E07Zul7nk900pRzIjypahQCUKHfUPJU/JOqnFfmjTF90 pS3kXuZ03/h8lGB/X/tNPfN77QfOgrjSqG3eJYYNZLAJKzTme/+hLDeig7YoxEsPjdH+28HqxOlz S46eVehDY524mAm12AaaiJQdzLez2rsG8/sip3LqcwA4pHZRLfK2Ox9a1jsGhf6vIREsp5Gk3x0K zVKo/l5a9TmjoT/OzZazDWFnvYbuIWRaIqxJo+r0uBZUECskJYj+WaYQApBDmFlKj8iVIkumHlmO 3QUp3Np2qb5YoU8NcDaeMh8PvrPxHYrorl6eMjb0FySPbXKWKdMUM22CRo3xNdZ2iEMODQb1mUFO wRdvhTR4FciVmw4YwEvDlRtJJm1BaUbUHi3EHDoMZmFAlnjyq/oOnZ2TrcdJx/j4v86Q1BjAAM+3 ig09BpGhtqzx/TrnnmGWfKhi1nE501/SLrRQ1K5zb7pI4P0LGYyhaYPhEZBn/HVXB59nEPRByBLy lfYk/BkR0IHGKaA12qK8kbrbPENr8PEgtb4wGepFIo2BqJ7vUNS2RiaGpH172zova1vv5Mh75sU6 Q//wTtks86UmYRt0PqXzmS0TEdgNs16coc1fISCBvkH8J/NnBBtE3YFEG3QtrM8wKFomDN74+L/A wfwv4x6rl8qbQ6fB3qmj6ZZWnSGdWJDMI0QsSPEKNrAazPztkgJefXGM3jphujZ6u08QeZtkWzsV ESEQd1FW6hSFToNVfEySUbRof04mKtG+cyXw8WjA+I3Ro3Bc6c9lHyXViVdtTw4HqUaQmkVHqSDd cC3oHJgNXs1aMua03NlZSoA5sMKHlJJm+YuO39A3xi8RnT+cIBSk/CHBCSIxaixK13IREA2sLkbe VDMfj/qx30i0zEHuvGVH6DcIWE47AVIU+aYBAoJQzazRMqFo24NQ7DhovWozuXrIP2A5rI2DSmLd LDNbMwD+LifkzfwMqbu9a5Qw8hvblM3mYjBPvT+q8MnHo5z6l85LZyvvnqHQcxDj0NqXTe8hdm30 liKT7gVZ4e29xsBzMP+/xfBc0bu3xYPQ2ZuTDW2eISi3GxNmEyGCgJSunSeqZb1rRI+ihgmFdbWo 15i8Y92y3vFfYM+mpts5R08Uin0HO6v7tY18KOc47BCSbU09Rpp+hP5Whk5TVA438SBiBpLf4Dug S3S+YWXUCEw/xJPmdMeLeVJ9NIfOgxhuZKTlxRel1Ci9GanbSIPPB3t03TCySl1Uzk99H7oPDo7T 65o+GjL05yzsc7N6ZWGsYxTm1JtA10rRMi63S9RwK6qVBsYU7DvhP0C83okgA75UOkZQgdG7/kHw Xb1LTbI7Px8KDEWSODXrJaM5/m/Y5/y2m8mxA2HHjdv6JYhPFSCQDtV/diof2q7pIMWW3YzTMI+y xatXDGAaG4SEnzemTn1Ad6lKxbo8qkF52dv+1W6cFDbSoibIunyOPfh4RNX8xjfSqG09Q9jAixDS L6T30lkPbaKVpZx9KnwiHDLgIACHqsIP3Qi7MaXWXS6sqLeT/wgOQhAxK4+BAEWtw5murNu0gB3R ERlPdqbmmBYRps4fp9r6aIIEfoS4vb/lX054WeMJ11FWPViZsVSW6/vM3kfr2eYeNTc/QxEYpJHg jIzHF597LPpQb809Mm59Yqv5z5DNZ726XOqjhXaE2Rj0LuRh8i8ZBnZqWDMUhejqNL7CNTEJZT2f WdiwLjR0y9Xh1QacGXuPYVk1ftEWiWIwCK8pswabj1Z0p88glWPhekWQNeEylR1OuEXumzamZe8a SZdxsM0QosXwjdRiUOGhl/x33piNL/5154f9anT6KCmcqeRBGRH00fp4zItSn/6VhYn1kqhtn754 k6hPYCYL94ggfVYecCj/AVwfufztNfZmLxth9zZFPNryhYHo1DNZ1mAFY+rAlRCl3S8oMWiNID/c PYrlPDCVGUhu0dOXXSSiNf9ZjYiZSelidolCZ8JuIUiNtHUbaVDDQf7ISLRrmmo5dTbnKqWcKyXw lDuSIZQDb8IkBc6dphKkaVY0cE407mbak48Ht/4dfKj3ytHUg3CM3QnZDuWlyVt/T/d5atk8h0e+ qKLAnRDyDhS4NduVlK+rbqp1nfSa1PpTy9ZZTeE+sYt9Tt9TwhKDzB8SRWshQaQ22d2OhuLFvNDq Wnw86hM5Q5EnhpoVT40fGhROapn1uXwIa9nQQJUoQEihqzy/tNChcEkhUPoeXBzucOrZU4YrOJ7j BVg680t0NDq/tOol2lBeFFgUZmFeVtMHx0VFbC9LSOmT1/Px4D77LbkkNY0br0OTwjqJAK3Wa5zF HFimtEXIpdrOcc2BS2GyGx8GRr7chjVU1UTEgwJQmpQB0Q4hY6QyjDJHqF7qXSOwQ/mewQIwXHil tS3P2lP4LT4fDM/aLwtiFJWMrrZFoYw0YCvWFJr8k1jSJ9Y3Xf9QA51egsRehUNtRkm/fUHTBnzZ EmHOGBF1ooVBfUCxiiZnezoh2d/1j9HQpmKaLQrX5/+Jt3FSLT4eIdPyd41G2dTxfGaxljSmD8Ms UYF1kDEfFFiG1G47DSwZrP9wK2QnpKtE7RfrcE7Qicjn41La2EobEsXjUeF48ctA477rH5n1JqrH FnVCTiWyJBo4Kx+PztC3rRqxe5AY8x2KMmu+XKvuv3L+ZJSGsjxxpDuLHaEQDcLvK4k7lB7uUAbU seUmMjDG+DxCp0AbUrj8maTaV52hbu8akIfofzDo3qNFieMSLhLOYZWPB+3Y34kjINaczdsOxal1 pnvJssSxN1flnMvQjT1VbxUFroWuHFiKfWsPGuTsBEzihUzD2BQOG9CJKewv9J/1JVFV/F2jUC1B zj184Rk6t8qQ7WDbi4//C1HEChZnsOdnLhQ6F6I7AIysPjMI4DZZQKXduzHKN9V+dZ1FYBBWuZDG 6754t+iEVvpxEwF6siPeVtC9ZTwqYtwb0IF2xDlwL3Tq6yZgQYsu/JNUSNd3rs3Ho6a+DxdZqzCz uqL2n9eMqGH+StkWmqDjM+N/XfqKJVsfRPpD5zZ7zYeaW41U9a252G0PwtmQv3vZC64jSr3pUAwT CpZp80te8TUbauiBSC2ZgJkxPQh1mCkLtrDw8MvWNPjo8Kbvydr5tEwt/A/OLyTYGTirYqCSVHRs AnTBhkFPwYqOcxY8RgdbY41YEK5t8V7+OKGpDbmHAaAPGDiY04VXyEnccX9pawyA/ro1J1FU50M+ 3/P6fKOZxj7sKThOLv26NcgQtm2NpP6p/N5ta15ToJZpvFOl9vwfVR3qTZPlzKrjxA3+qrE1rxkQ Tg0vr9qVRfcniz4R5mxDU+CBy9ZWkpQotdiStWDNxbrzRd9PDa0LtjR8tSjsdGucnY+3fV6Tn4bW 5Pw6NSidTnqQbGteUx98TywUSW9m85VW6/yeoIogJp60u2AP/7ozjveotLLU4nlPgvR7oz4MWm89 TTE/catCZB+yJi7yzxHZa9pzfoRUczJBgifKk/XAD2pNUYNPgM+f16ynZa/jbWtARIM1vXbmNeVp aNxDt6v2YsOxYg3qCbE/g3cSF0kj0ODMDGmUwgfWFt+Z8+WgEb2FYWiwCyaMGolfO09hRmbmGQtX 6uc13UGkqZqJze6LehwnRraiUcXJmV+znXatHD3UoC/M9I1b85rrNJDRWEJnk3Gd7G/RyvHmOnsN a0a/ZjoMwga+L754yZVO9ZiZc51aFSIZU1MxoDrgurKXE1xLosHua56DkNiSVAK3L/qccm1qtJ/4 9XnNcppxY5+dQbQj84U785rjIHKfINzgRakLnCRlXuCVUmxkSGeC6mkLEWwNgXqjUmtKi9MTkO6d GmuoS18oAQVeJ/gTSACRnXiaTEWY1wQH3xP12KG56Qu3BvR2KuG1lcfnNb1ppuqgIMw+LP495N1w b16Tm2YSnD1fh8I85AJ/fmReliHf1uprZoPzuszBKPliWwPLMBAd+UGdAL9NeICKsJ0zhActjVzl 85rXNFlJZWaSvtickI0EMFtW/rxmNbwk8ncQRguaRofcmdd+IS61TXG06a66tQ/XZEjV4UDDfGNf m4XcGW5JobxkLVdeEghbSCEnyvaAnMu6GGKwk/JFKNBvRxVXF37G+9YQzg4fyOyLMHfgydrWjM9r pxCxMN8PatgFhZrM9ua1T4iDStSZpEnpODyT8VmayTScRM1djF6bhPjR7IsWQwOtqzZ+osg+EZeK NNCT6BAxo5EIxl5gAmeiUsw9jUYiry1CZnzYlKnKgYt9UV1h//x1eDi6oIrtDW0bt1gTnvFFyTBE DdqpvQRmaeLbgMgCOquILFCcuMTMKOdjCK4AytjiICkIAWDczJzv7An3EW1mzgJhyHCn71PDivd8 GMRVZjZNCKBmydO5AGGIxJPT+HTwVf2+vwGYoKultifKiCGzdj6maU2LRts/pH2FDGPZQNymRZQR D3LFREnV4t/VhugCqbgV4OiuuTJ8P4C2ICoo+SyHEuKfd64YTjkFcv475gAJxN8kCh2AxqN4vL+T YhQbBberbc97VnzuKgo7zu7olpa6NQbLI3bSnSn2nhWfN186PJYCXvL82R9kxuwVgK0y5A9wMkLU phir4Za0L2tpd6K0uBP9s4nA0MLdOb8A0TTQmfq808TaZR2YWHNlZTOy706UGMMaFFqYbpIx+rT0 L7s0YNvJwWNRZqyGV5VOTv3SydmnOCAwk/nfqYUrlUvTYr8pTTqy/9J2e2eINVMROt8ku8tc1BHs W+7G9QS8zztBjJHn+8JqZPPi69DuvCfH52eiZ5mhdy2TDJ0YkHlprc3pzWYHWF3lqHBgId6opqnF vq3Or4k6hQW8gLFIb8EGAetVaGNWhz6tqT5FFHmE8EWH3xYlgdDC56WzMGiP8uN8Ly3qaQPhiwal 70+UIVPQevJnouKcDGCYAI605Td8slwqJXB/3nNktMxYUTViWrh4InjudAi3NgmcnOisUWEnBjVx +59EcOtVg3srMSSTea2F5TgOa9Joq9XPOzMMpUD6FZkzDY/XjT3veTJmzxwiX8zPbk5XycMExydl NdkLjPJktQKblKO5eGie4GMsQX4gtirFIRqskXyBOHVv9aE3fd8esMfBa6XHXHIuA+RpBicSJ5Lt zzspDP/O5jU5TwouCKArbHeiTHlxwj2yyZJ26VAAuJpdOvH87h3s854qJwrPoPtHBRguXnuCCjBm 0mQ0ayQKcUCaf5+YM+adHg9K/r4TwnBAybk9B+4uij1weFZB2efnnQ/GN0zfJRa+LZS+tjvv2TJw PviiRpJKB74yoxf01Yo1SUm+1b0e5cuTOSHUxH3x2ANJwMLaEXrj52hKjYFdc+r616s33llJvHPB 8DNIlJtqH3JRF/DsuERfOkRfooR5p+/QTONGXAW2PVHCDPk5pB8KPS1h5oas5/x28jSGyljZ5Njf mWBMe3h6tnLD/ZTnHbLyGyNPyiwUlCvs6WAICr9hnFYXfBHnMkqZp1RJN0daXDQQBUqGI+ONkXGU MwuQ7NvTaSo3vdR6p4HxXke8YROWCCiHzU/IrRpRDm1MtQKjlFkmK9S9sMUn6n1A46UKbLhHogDT uYqBdks8NOnhphS96fvFxb7mzoQ+axFCLC2pjZ+3zJ93ChjesH4HZljlFUY/7U6UMtOmmymzqi3K 2FCWtBlZZXOUwsjzzv/CEazWPf5vjxVYnLXK3ls56UHfNL7sgC7i7PQfjAQvR67oTYNri1beHc7o tqiggPkGp3yzzc87+4vX1vfZoXzWvp/WO/mLIQPxRoMGNLvzFtFi1jmV/2wxbXBrvVO/WJawcT1L 8cUz5nNsUluUm8IFoxuwz8LO/ma9s58extSrRk0MVqOSweHCw3O+T42JT5LXPu/Er3Y9Ub3Ljg+N fDltT5gyQw85J/fJyHlpexYJ/WYZO5ZNr955X0xImPSg7LTFk541AcLoLEcH2JCL35Z0yaljhL0R XKVzjvTO+2oGfoMHafVFkblQd5pqkXw6SJrvgAb/j2KiJDVqf6KkeUn1YQzDFk53gqh9CKCK3860 jytqKYvoXYc6YOPpgKV5MuUpiSDWkJUla0LlAjjUz2SbR1CVZm8a9TLmCxD8VMtbxIIT2srnnfKF 3bmDCCY4vDb7ndGETWUK3pEjzaRnVcM6nStG4ej8orPhVN4JXy1ZRQHzR18uwuAUmVMjGVh/mH1Y SoDQAmZAHwh3q15607fdKSf0MOZsCgNy0e6c5FWg7vNr/LzTvdrVUvDQI7vjG3qihHmyeUoa0Zc0 EIr1ntxXLhFwiN15T5izGerWJTfm9bgxnxt9NaGsz6V+ch/ah6E3g005AXyn2+lRzvPO9WKvhnqJ WSlh9pRwbIoNsDWxP+9UryYTtOfLwuC9YDBnuxMlzEhgWx7ZPRt5BaARVrr5ruTzXThQ7p3p1Rxv yb215dYT51x2ag+fW3QCom0XPzF8UEz8EivZetVoe9jEoE6NFh6ePuTSgGb6/rwTvdpVYvech3Sx 596K+8ustsy2SPoJIuTSqY6aQJTVYlx+T5gTNc5QZpGCwkW7c0L+qUGngBf7FJAnxiUBVoEvwa+6 XgrK4CzrnefFwMp/0SKRNi9DBU/EANkPQVXqneb1Kyxzd0j02V6LvrO8sDsUvUJGIKVNhlTqRXfv MM/hALl3ktft9LTNMR6XO7OpWZGIolsn16FqqXxs0UqQAY3CMlKLzzvHy88OBGC7L9wd9KG21LTz +rxTvIgq+BV4EiU+PV9+Z3ghLE8qbrVugIs0HLVTTbbt/Iu6Verv/C5cWlSob0OV+ngqdfRP0QqT Uuv5c2dYLgQJwC4Swm1XwaXoTYPhOaU1p1x6uGh3zoVJyt35NeTPO72rXTEp3x38b5RQ1+5E+TKV SXY2A90KYXHtziZ9nJwT2BTY9oSoCzbAeqGoBBcvtuoCepxeugPXe9FGQoCT9AgpM8o4repNg92h Hglo276oh9pSWsILnHrnndr160oXGxWtnjtBf2d24eyABrV5X3B3KInP3dlm93lO4aLcxPm03pld nDrx02rsNLd2ySbQIDl5LDobmbptVfaFBR6aGa1MkbjlK4cz8HnndeHjaMwHJ92qyzQC4kSIV6V+ 9vfzzupq8mF/bi3yBOj6ou2J8uXOJth2hMEQ2BR3ektWbE3w4LU77+myAPi8rZYvvjuwZFs0HkXh lckxwe4gOotqUq7uaLU3DaoJ4lIyNQx3phEm/lEn9Wmc3aIzVT7vhC42Mr7jMlVeSZbX9kTp8mbK I8PPym6hs5PTNKX6Rjgrbq13Nhd+NUS2dYr0a7Fbq01SuJJZO5VMj/PRYDOAsf1tYtCu9vNO5GKl hRKrU/ZUC/MdiNhsUfzm/LzzuJBy7O8PC6RZulZrZ95TZdwDi5II1h+cbZjaaJumhwgWV/fvKuou a2LcChthXByBgSu8EKd+rqw9rPueYctR8LnNr8EWZdjfOVz49zU5xbbmi74raJgKwdXr553CRTjF 7yYG5TU83XnncLEDhmxQFtUYMzHXAgijFf5nHIuyR8GTE3WXrcKqSvXqutsDg0bYghd2MU6OOsS+ gT2PvBPKo+9HcaHPO4eLLTD2viqFRmt1odF8ymTKeZy/rn7eKVxNYkS2P6rqMVvLz6cV5cuVglpl OofrgpVTM4/z3bN7Er5TuJ4Wofsw80Oe3CCUUKei4FS9QjlCIAwOCSFySnUEL9SHvWpQqLcbc7Dg cu/coSJOw88pivrnncHFC/o76YH0yfny77UeJcxgdyPpsQ9MrUkpR1TjTPAXrf2JOsyKzEhvfLED BI3XNDsj8wYvoMnWEWAsjHZ+Bm4aE/NlSvjO3nqiD7n6izUzwcVUyGn8ibBg+rzTtzgE9k4P9mIA k5Xv6PidvOVpzxxlWSdjMWVGUriqCfut7tIj79QtvDs7YFYtcPFyq5V2MuMq5UP4PCUJayBNQhKx ND7i8UG75fNO3GIKwgaYavXptfoEnUmMmbH25523xTf8jj+E76fb53lnbfFen+iDdXW/miiD0Igq 7HRjQAFTTjDfEv+a6PNivkNIvBaf38AaatVCTtIJpIuK+XA8QNQ5wQ9sSh9QEMT9Ttpqri6aiTXB IktLOIxJ97LnOj/vnC1+m749CMU08oZTp21PCMsA9cIMYStF69Dy2lBtApeKTtVSpO/8W4LDMwmp rBQd4eLROYM2OMi1OSnQ+X6IUN5kkCBS93pxB9AW58+IQCtLOofVFwbnCTM51uon9f6887XYLR5f SSGFwoAQsd0Jc2b5eDtKbki1F/OJZIK1J2FEkwvziXe2Fo89E+Hemy+OOyiAr23qruLAEIoDkgSY lqCRA/JtiFy4I/JNo8hMDQRCwrWoA48JAac3fazPO1ULp+D31Y6TxF6+duc9ZQb5uH1RjmsbrChO QpJlz4yzk1wr4p2n9UxvZr2LnZ3ZMA6mzxXwz2ADMDBTtqqBqrCvWSw6jfwZwcVOWO7KrfvCtBBG w9t0e8vnnabFtGD8ypg7wvINPGGDmcZgdEpkG0z4DPDYyMNlGyxdN9R3ltYdq1eZonHx8U0HEJ2+ Uyd3SND6MVs+AjKqtJ9VjhKV9nknaT3l6FCXcFiXECLVMp0/J2p+3jla7E/79pDan0mQ8HrrnaJ1 b62WzKd6kJULhsSkVr5o2cMZEu8ULZ5c5cusptrD8jvnskPbm3nhqpAgUZ8Vv/DMKvXaNRL09nkn aDXTczqF57oLDw9A3QzL9QT7zzs/q8nQ4rtYB0c0e87zTs/iWJ1yXgYwgPazgQ5q4p2ODjOHLMS7 h0kzc8I21l085znJySyTXp8TlKpEmQ3orYpIC/l3+7R0p7+Ts4jmlLt5Lr5otnUiG03OMZb+vHOz momO393ZeN+179mJMmaa1/RefTBKupPYAA6Wo/uedufvjLnR2F2LZzxA7le2cpEgZ6TFRIzhM+EE eD5xp+tFg0ZGFq2Gqn1cbPDXiwZ350r8vJOyOFP/1UHFmSXhVJsTpcsaSzZLjU9kM3GICcgbNycn iepzd0IEM5EqbfBgtHF1smCnBdg8sd0LzjWJoUnIVB4gXJom1pftVYN8maS1ucddmCNvVM7K51bF 00E+OL4BKxWZLRmZ2p4wWy6kAiRlxidA3ybhslHyiT/TMcwt6jBrbnyKh+qLf1qAXVRO9yEUXqiv hsEfO8xnG2l/Z20wul21KF2mj/KulFXWYoGnEaEF9AKfDqbqN+OhACsOO5uyIpOE6XKmv1V2Fbo1 nGizVrPAU30s2t+zZURlNnikNN8fpXlAVQqMHLg7gJYIsQptEUhRQnbl0kJ7tTeNMAdE6Xa5gnQr 1s+VXtXRhqjcp0fZsgmI3cPTUR17/72HHWa6E8nik9gMM0U9dUvpl4ZkAgc9QmSgzURAX/LFumAn IBegJ6awYLXSOuv8NlAKYKfmuo0wquLgZwS1hAR5CabXwt0BItQEIE4t0aNsOZVfjbDzNBTBbHPC ZBlHZ17S9axjOBEpmdUnjUF4ofeov2wTdaOWpOuXcjKcCXcutEprgjI7U8s+0ZNsNOPI/aHLEs3T o2x5cltGFrEYmRm7D3vMxg7IqdNbweMBnOdSbtjUydBuqD05JqOH/L4TlFsmYhYY+L3pkcb+ZNbo DykhwXL4uqKMeXnrePriF1eH4AOtHmtq8DjHVd4nhT6oErAoxjsfIdUepcw0ld6VegRaLPhUKc1D RwFPBzv05IRT1TrL0ctli3h+g23mQi8LZq8UvUabmQJmAnqfnK7xDIVEP4afKuQTFy8pOvrVjXSA U45O4CkIWsGpgUdZn9druNOVqIdIZnrtZIrDQlZ8sexG+3GqWD8xHk8HvcL8fbUD61nVTePuxDkz hn6t2Pivt+byhSkbab8iiukLi1AZU7qOW6doP8IYJ1s5p59YrsJ/UlE5CiGPhkpggoijTg95nvgZ wc1e+YER+KhFAy5wolVETb5h9H39Iglg0AF8r+1O2GlebCUY1hKojCqGCblCWWBCVJkMznGjWTd6 yr7c0XE73ytJvGBFno+30OMcBBj26/eXKgZlnHvUZ+YFuogos4W7s6AWJSTqmHg6woPdcpQmlujd MJJpe96TZpQU4DF0ditg+QUTVQBQT+mLXykAYWmDA0HzwR5y/oyBk5IvHnwgtH8uGgLmYCMnJBjF hwoldee1bdjDXjW6vNgIA6fMFv6MlOHZK6WwufB4UK9fnHdSeQaOiXczeoRkxuwM2K+sglTytihI i8Rm4I+CXzP7hD3CZej6am1oPEq0ZVU3owyoGBCX0aFz2kSJRF1NBwqI8lnoAWgXPyPKfLgvqktz ceIfZmaqCs5JxdP/ghPKa2Zz/ua7E6bNg0V6Nhl5qHXL9QOd8h8pp7bzW9XuRE3mlQ0JVn25nTAK 7M8l1j76HDw8nNYjG9/ljkc7BVV6hMvgpAxSM8sXK0iz2Z+O1PB0dHa+uX8ZcbWsS1AaUdqMFhEu GicJtOUzitYMEQbsidLmETWZZXPek5AH6SIPWkIDAK02U+TJW2xkSqYDbgIilbdRaWcxorQ5dxNG H74oMp8UtlkrLOPpIG0ev2ArAED1e3hGlDZD1xupfzM1jNEtMm+6IdPja9CJEhfXiLvM4u/P4Yv3 ehb4olT5KCfHPEEpS7gHnxj0MKE2cWuurVeNuH/kb9HDRIvSHpgk6J83N54OTs8l8FNqhunlxTyN EMmMumdTEJNaqaPa9pz9ITMAyAy2SclTD8l/wh500pR65wQZ0abBBbqtogkOLdt1x5GSMzBvufM/ vMrAj4gGyJvFlqAHnUgCXFypVaLu+/kfPP2vCYXLG1Ch0HYnSpobI0+7ms1tOVA32ch0z7ysyzzC nJne3W1pyi7S8WA7A+ZLg4r8Z3OoSzUc6gwT9J8ynlt9YnNCXMYkKwnnzRaWXCe3ZI/hB+rfeDoK POnr0qI0Zb0EihHlyxyf9NKTxR25WNCXhAMKxJ3drdczonx5sr/VUDPa4vkyan3zE94np1t7ixiJ TaB7dupfQN2qV40mFBS4ov7fWTASwmUDdrdmx3CBwuP/0+FBT4RpnfYnzJgXPaHtsgJJwMGWpRvY cstOuPNved8fGcPQcd4WzwlP5XAqLuU8/ZSlRdbeQPcVMO+oQWzE0WlvGpSk0rJmnquFgedEtiEh nhPw8HSEXPHd2T/GTqKLinYnxDFvDCa60f0aHyFHAOba/M8y/Gm2bU8EZC5bjFHaVXHx43M2Fy6w goSd2DJl/UFpqYkgMq/+MMdU+BnR6UGy0+m+pYXbAzIDy1H8JvD0/5TzoPczLmJuRG1mTOMc5k3+ 8ZTs18yV+g/Q2SvLHM1H1GYmu2tUiX1XCU1mNjROsMmnTOfhOSEC2QoOD0ekaFNCZ8EJ/QiW+Bl/ sI4hwJJ8YehZcFNjRrjWxtNB6Pklk0GNxvLE5ShfBsT4pDzbdGd6cbGi1GqyYpQsc8blKF82u4rd 7+IZIVK3IUTYLuc2W+y4JlIqgGPG8My3hz4g+CFBwkwfhkY5rtUGpFl4PyeAINmtGSc3x/NBp/mh b+HtSN3b0yuuEebMpCZ282MAu98YSmUTCL9xc1ajRo6w01xYpwtwWb4Al2njQ6KyP5hKkx0LWCzT qJtNRGrqSr46Z71qEH0oD7+IGtDCrLBBI030tpTx9L+6GYw0lAi/DKUZ5cwoHBqJAAXcyEw1MRSk hawFG5ACU0sNmihpln5cIxlci2eF5+I6OaEwhefCKV1eg7w2cGJQpDkwjOrnM0RmFOY9m58ZF0af DbEc8o5Pmo+ng+PTvqMPkY1pePSZUdIszYPG+TkxGvTABSwMokBCZoB3W3G1z7DXrNHVlBrYfNTA NsTgx5a6HobrKE+AW2msJsZP5wjQeMdFbxrVoyxEZ9q+6OqioAj+defLw9PRdN0PD95tYEjdr4jc jHJmDLDOP8dVrwY9awh62m7BMKtXFDNk/4mOLRvBup6zA+OIltinObcFEC1qZqC9UbCLjZ14MUdJ 6Z9xr7nLnSL5omYGGrcqKfrk4/8Kzmzb4+djnmLbEyXNoJLA68fNy+kkRYlYUhXZR4UmlbYnSpon nSlaqcmX2+uBOCiFnAopsAI8F86c4MRM91pX95x60yjyUOp8y+Rmm+rE+TxxZeJffCIeng6m67cT ry4zDs+FZswoa4aNUqNXNqEZFO6iOQX0dQwKvylizu0J28xd0Axd8PtamJ5faE8n39sS9swaB57I Q8MgeD+OL7NgxFr8jEgQgmNDDru0aHvO6eFUrWHKNaOkufVvaEYnxG9fabAoaUZEBSKmqBM2aX6O TljnWJOdQnR1LTCHehmCrDQBWNpDyy4nvTg3r2BPFQkoRSASaKA01WYjyQhcc+tVg8DcBZmbwxe1 wjKLUwhCjIGn/5e0kFFnPqEnwmYID1rNXwGgMLfIqf5tAT1nhyfqMxMnc2KOkQAfPCpC6J7E6xYY shaNezJgnczV6cbnrvdFbxrlPQzMVrVfxYMEHXUiV06Qw9NBxXUPD98Mv2dUwLY7IfuPRtwjeVq4 PC2UPwSF5ZJ3wmaYNPPTgj+HL3eC06DPqIr0JD+DgqzAZrAWBMvwGizRj2JGTWbAwjH8K9sXVRSp qLqo53PD0xHo6fvoCLnZb8oTYTMmmwnCDoIMQ8VE3OmDiD0GnkzIBb+sMGkWry3vu/ilDmOFxV87 FIWhxSbyH8rOROXGepvMbITNKGcmMmstGiNoYUkxW+9CLeXc8PQ/cT2sKZAhjAsKmyH7D5kNND4E zkjSPoCNInid2p+JATYHpDNqM0tDrU2W7W0+M5y+oQ2bN1kBIHeuJb9FgtZyVUFq9D8lPaHCHMmR jV0sLdZmxlCEN3UueDpICX/pWYJLUdaVh11RxpyYdBDbL6T3sOOTWfJpwtUMMrcicIYLxJbhi5+e U5+nsy2CzKG2zWavMOB9jfd9NLtxUeNn/KG4vFUkaeHugCrH0qu1WvF0QP/7TY5E5dxvD36FXeZF 4cZmPIpRulxKx65zWUE6ZLbU8bcEuzM1O1bC3J+E+UShkxAmMbhO9tAbY1Sm4Wuh5uft9SzeWits MhOH2jZRCFys15OXDEz2rnj6X7AwjijYX76dsBUlzOzzzmRIuTpLMamVRh8IojNqMZbAitAZ0t9r pQhuKUlbtlHPuSh0+UJchjrnULHFivL8VIpwWQu+r5+GnxGBdQkkazImb9OtAUFc0e5A1zLOl/3s MMjQJeiSslfI/mvohLV5rROrq2W4gkaGUZHVEytKmGV7h5vDFzs8SA/PGe7mVpbzkOsCDdPRtC3M uexSt1f9Q0zkZAW7+6LtcU+imvPG01HguZ8WE6TCwn94yryilHmx0QzxY+aEnd8jgBlZwnLEhWFu wI8r5P9R+asmRaD0XOtQYO9bgFQIxlIy0VWwANRv/co8LXQk8DOi+R/p6k1kimYqzxukg6Z7PWU8 /S+NMMntofMzbi9jRSkz5oTVRFD5p1x8SJGNKNCA1tDXFeplFCEpJZA6LgewnGLtRDe+DHBQfZHv BDEauCai/YzKQOen0L4OPyQAriw14KnVw0Xgg7UpEYOO1cDTwflJPqYgpgOqfdIR1f6EEnPo1Z2b pOvmmuLjTN5cxlEa2cV6VpgzN1UUbfvix+dkzYZLLFBNnhBlAq7npKEVKuqi9Q9hD7LeNKL1My0k zgOmFWallaChye7kqeAbHo+Y6/krOLM7XG9euEIK4NiU6KFkCP5EkiRIShVnAcz1E39aU6t5RVlz l2hsWnfxiuv8L2sS5YiztKR3BA4XEo/CBvxthbERv6K0OclSqrW7MPxgkmzU9dzx9PvntS51XSBE dFOuBN8KGYCkUG07M5WyOhIopLQDG6nDGZIrhGYwKpdpUuePhT2oep2eviSarMp97DAYgWYeGKqc QXbvxPOHBNFZo1F2ZbXw64I5MruGmFXj6WC8fgtSJknYntTv9kRZ8yLwckyz1h5E7LMg5YllL2w7 sX+FSbNEPSupXKXOC/hOYBENBAcEn/PlIpWA2O6JZw0G07teYv8aQ28aJT7CIPIb46JuxpCjMiYz fDo6PPnrakfYo8SddmeHbWZocMBWWLsjNRCRBbZRKWp2i8Qd8/84G5VlAhffHcqsFGnHnu3YcgWW hQDVLYFTst1hl3lHSTMkPlGKstfDxTqFXa+Pep2PR0yTXxNS9hvrVfR+z5rRQdsUg7B6fRF1DbWe r17YuRFc0zvCZpgw84vg+dkDEDTI4lq0TiI/EtBmynvR5IbNnkKyFH5G0OxhrjJVsU+v2AHandI2 bY1vGOlb+unhxZ6Jj77bE4Kaz2+wJXq4kj6a2OzZMIqjT3SipghbhYl/TTTm6kJcbF98e1piZqAh YDn/EDnd0uMQc3kg7H5JD+8ob65krzca9WlRQwMwYw0BT+KzQwpg+q5IB/Xp7+6EbeZKbqtFGZCQ vc2cmqU9ExpzOjshNqPIkGMPX/xaB/1mSelpF9zrspVWfD4bhXaYM3HYCttR1mz6jaVkXzxrbtIN 7mnj6aCPegdcnN4SN3NF1HaUMwNoC9BWd5qSOSvMtPiRwUlgF3MS2DGYWcVEvYvtDrp3e9JgDarV tdNiCwa3VEVFuXsdNf1F/1Jl7lLL766WP8+5mdLPO9c8no5AT54SMr0BAKTegmJHGTMAhOC42qU1 qzsh9rxNb2UDJqqjE/qWsMpslaoHXGxzULi1JfrfuVRaEkMQsFR42HWxlIxKQU2RHWoyE8s8hZib jpjDlLINU4VYeDrSUPseruMI1PR8WFG+DKjiuUjMYfR8y8nIo62bvNFJCNnd5/ZEyIxhJkA6QuWR J4RXF6gC3B4QZpLQqINw9vOrAQkUVDfasTa9akSP5LiX4H4tmo2eGoXouZN+Fzwd1VuXSsE+IQT4 rmL1DvNlYnXXnNZkns3glpmOcORlUz+PuxOly1NAws0eIBftTktou2eqwRQ0j0pVUIYlFuqAH8Qe HZ5Cv1j8jACZIRMBEc65qE1YZ9lyFq8DTwd31qOMyg8xc5aYPSPcYZ8ZCXOnPQKh8OIeg0ZBj0pG HgITGXliLLM05vpdnjbqqY+KEQVg4Sj4BgpsUm/WlQljfYkfEUSezmJ09OULt+fs6yaMCLhOPB0V o/XrSiexLl1Z3R1qMif+8oZbLYB5zM2p6v9AgI8NfW5OBMuY4keqldG+WhkJRJIBTS1idWGKJwt7 oHtODfyDC+76dDS9aZAQEoW6FzFhXHRpnfOp2eZJK/B0lPD47jAbY9qUL8ctpyhhBo/8XIpkJIHC jvdHq2dBr4BgBBSjFFzp+nv+aoZl4Z+4+Hg04Qansw/9CXejv+gY1Ks/Z2DXq2+5OaXADwk4kkUm HUtsHMyFCEk9NdDibYDcmc9Hl9c33huciMp/r+1R1GsGHQ6NknNz8WqHZhzAGefuBPMeZ2hsQleg ep6irNlqrsZmIBfbopPStl7pB3aO9Dq1ViPZLVGAD/9KSgEa2W1se9mIR8omOm95LaorzlcmuYU2 WuPzQWHRb8/nh2aJmJWku0chRIPy1a1dXZpuHeeqP8HNDgMx2QqkENbchBkUy/hLAGHi/eeQcv6p PxKVwjtQ2+gsoLl0hzmL2FT8kEj5iQqgnHkD1oPUUl2xIiOMEy8Wnw/wl9eZgmRb7M4YzxaFhEBz XvBRch8+smATj/cYtSFlGRSJNM+kmp2Edi7eN8TE4pSo01xxgJihwAhG4oWw1XRBdEs2rClKoYfY 2mkMXzS1SASCoKRKjY8HwfqXtwlVctZjG5SiJBqn6ITXC45nvnu+OVyhQ9Eo7UnOZNffE+k/sXKX 9Fx7pOdAPj5JlcEwz5GB8BfVdjcqv0p7ids7bMteNsDHm9wuQRtc1Huutl11w2IghXCN+giho14+ uVFn9ml7FHafkX2ejSHYEseIiSEo/8hYKovUBBxr06cW9p+J4mkl3cUxCSfkQC2ct8jAPUnly4Tm doUBIZS9nFfKGx8/JMLSMVTLETpfR2g4U8qaC5UYHn/fo/pVp+LYYvjWny0KE+ostKooyXVwYEu1 +F23jU6nYzGpR/7612yljPIt4uIpES6ywsbWSezaKbWbOtC47Cujdrv6jmPauwY9xE3qkvyn0vWf yueGVJ9jbr1jcO+v/B2umXZc5q0kEd9b9ARuzGmQsTGcSkBkEyFjNV37stjoRLTSdBfvsi4oi2wz Y0iNnSa4HYMMRjJcddRPgUy53jWoOUQiSG1o6RZTzqnlr6SfZ/h4NCP0LjSr6SpK1LNFUVoN0amK NEhbtMhEwxaNYjnkuSFoI6U9irQ1qvOTsy+ObplrzW0CAPhHLKnGY56MdshPzekZg0172eBKm4rT c/rC72yefEuY01Mq8fHgO3sQHAJIo12Xnj0Km9GwwUt7qNVx4vXWJGwR36t+4lr7nqMYw5E0xCi+ +JdWwcAQVRD47SSDzsTbD8UoJcAJAZKDOn9I0BUinQBax77wSzv7TetdgLsmH//nsBD/ikYgwE0e Q6dAvHsdGjGTtMMvDcPCWdUO2Ro8cYcCp0DgOPhLzHX54qfofEXn/y+D9p5XEvyws5xogBt1ZwvK uSIHVoE4qgzTu97F6ldwoX+SNMBz6BU4ryOVWgEDjJhruRSaBaJyhRCmalV4TeiTG3I2B/Oi0viL d37gFih1f4aE4osV+LlBnZuopAKwIO8wZAL4ZBu+s1p+j+Nz7Bao5lmd1Rd9Zyewicg/R+XjQXJd yldaBJ1RopF8h0K/wCmJDdNpgVWX2mdtukvpHunuUKjm3IWUkl66Ky2jf5aBdYZKkfrSuNJYo1AB NCWpixlGc/m7/qlNRwclLIaDX23IbrKjB5IDy8Dvxj23k+Pruu8ORep0iJktU9+NfpypuQ3BMtUW tNBK9c8sTK6rTo++tn6FMRtswE+OlAm2O7+AnmQiw6hAGOsjPDs4dM6BbaBuBMDIiJfiIrQdSHdM is4XzccjuQ1HdRjbR50G36LYA4WZ7aw2Vy0+lS/bsZqnOC9WoQXGgS4d2vJuvnjaeK5INGH5mVWY 6sp1MrFRjYy7jS+rhmYvG+EWZL3E5IiLIhHqfMGCaBwa5dblYso4hiV65muLInVn5m9dXcVC7R9z AxbnCN9ZR6Js31nshKKUuiVf/DuDDEmfduOfX0Uv8olBxwO3//qCilOxJYfmgTYYW/I5W6YLM8+F uSTDd24APh5NgHyH+G5oOqX+RKKQRFjazacH1TJNvjhrqAhoR5pe5of2gYs5EYkxtljeWCiqSuRx PZneXlbDciZPVigYJNeVYNrL/kXGAMDIF7VCWi+zqfoom89HGtiXjSGlhYKc4zlGofjGHlT+sSo2 M38mwKNU76lBFc7tbyP1DWcR/h9lm7rO76qzfV/gtr1IX8BuneOD89ZgY8I7v6CtpJeNzlH/xfK2 jv6JDwZtnUmPR6j6/l1/YF5d0nOOouQaIvTn+zJpABDOJM97/jHZpH/mJkCROxRiowlrpYmULd51 zMCv7SahcJjaky1yzh1OLV6wlptcg/Gnl/2LCJ+YqWth4nhu0CYi+1yZj0dIhm+AK0dTlKS0LYrh 0YjXxS3PhvQm0FEbVtjusdf91EI3wXzlN36pcJx8C+63dTeHCXUZmYINWihVSgEgM63K9q7RWIiM FXp3aFG36GRDJkhbBh8Pyo9fYAbCJNfFj+fQUBBAhcpfsgZDjjMbZndBhaRu0/ocOgrKA7cu3mhc 7iE6Zwi+ZGzug6/ExJFVDi1OywORFtAsh5aChKWesrMKIVsN7ZwmOoJEmhUcosBU0FSEbrwGkJPc It+iUMMus8TOwprVPbYEk+biIIxqEyNnb++HvoJDms9TiI95ER8FXczzGyDiA5d/trk0MOonf1R+ Ys2i1fxlIzkOCmhm1bLZ+T0zdeohw+x48vGIuLu+U0dkuZQhsC0KBTk2aQhLE5Bzey5pBZwYSx8H YmJwNyoWBeaCfozKlPXivNaLJ1nvkOsV+XLOk4Y2Ic4QpEGiQ92k1LHk6i8bpI5VxSuSGS260s5p 7IKcnSqBzwe54+VfiorAnvmzR6H4M7kIMg2mcFIz49cGIBoC9575+r4G/oJutgN0tC8+RoM2cK5m 6J6g1JbZ3cddhoba2LehBk13vWswwWfnWj0DLFPtorPTEis4N4zeMSjz1/q60DLtsK52eA4dBvH9 n62fy+aMrQp7P5bc9CAWSUivvrPYM0VBqHdfnkOUMo3RQPZZUAaehNxTXQEnqY87paYgWY49BulE RDKVLT6mnkKe5ZT5eCTFuv/ryqfMpO9Q6DKID3c2Z6nOtqzleA5u/nHrlOW9otBnkHIk4OtOX/xC 27tnCvIhu+aB5EwSaeLJ2rt5ErVHDzEHRoPIiuSht5Yv2iIAiJRdLz0eMaK+Z9WoRkr62qIouyb+ DOJYIiZUoAnFiKKTOG08C0VUdaOF7tySeDGpjvIo9IODAF8LUnlbz4KpnyyK/dBzVbJZ1TWLnfau EdaByLOSmy/coROjcxUzYQ0+HuXWl6xKlBUx5hc7nUO/QUwAnXGIdtquZsAjCUeBQWryQxQ2ronb fMmKGti8nd6QhUS0SiwoKVD0TmnX/4JaIfwZwQ61/kLInCePkH7SuTwbH4/gwb/YzoCh1SsvlUPH wUFfsp4tEPVq7nrnkvm/OLQcWA5CY4ZYq6YhUctf/UYoRHMKc8LQQrfaAPqn9oNsZLlIq+VvGtxl 8hGWFk53iPbJTKocDcrJjvj4v8IQm7f4TZangg09BxMJvbs6IGSaZv+UzxABIcQp6QDFabV4dfUu z+Qj9VQk/ZcWdLe2Ota49CtrMnb+cIKANOUPifDljEJl3sXAaI2zSUwsMx//V6OIuaaR7n2HQt9B pC4t70uPonEkohAHyqjXIAmOD4hRKHYetBaR2o35aTdCXy3xl4xeGmTOZ1EfAC4v5/cz8zOm7vau f3RBQEGbvgg0c7KLIr3MMfl4lFWnrzME76Evl6sceg9uMFcBLzSwQyWfFz19KZfBSqxsbzYG3oOA s1ABMHPM2PMjcddgMbPbNtX1NRWEYIB9/hOMI9O19SQtlD8kYEhNRiEZvnNRsxFYpaVSQu8YaU19 i0OT6TCfKBT7D4oC1JfRM9tetkN+2W+zfkj6a/4UDOpMGLk4HASOVIkaiTWhmwM2OPpE57NskCgj ZsmBV8NfNvrMKANYRIIu5iN3sn5gNJgnn1uHz/9Pe4TLdz0FfmxC2CjbMX0yVF1GslBJnzNYFtY6 RWFSzVu+ZBJUuXhSDXbPMB5ZPX9TohfYpKLM+T/8DAC6dYrgXaB3jYhAGizKTC41RxWdPFcV/ils 9ZLRh1a/s2r8bfWpO2IjQiBjEwsF4UGyJ0TExNHkc3cnsubAitBh1WXR35yLY2Zqb7Rdtjl1zeTN o+yHUXtlT/KSpfKyt42gV/KVXXcR2KERXoL58x58PGJrfgcjTAfF+7Q9ivJq4pQmc3r2iQh+x4V/ Ymc2b5XUi5f4oSehMaZUv3O5e3TeewK3BLRDhooVtZDpl9QWUosr7DYtXodS0ZlmnxCZt0UlPtrq LD02uiCBK+F/O3ifcvRrkB+aEg46B03GaJaxe9xGWrfBx6B6UNJf874/rQtXzR5QlaWHkmpk7MOm ZxNN/UnPtA3AoNw+61XmUiMtNCXk9GxTY94WoUEgKCxGGSNRCLDOfoSsLYAL5DbSAlNCFK+dQCKj i3e2EqjultCyEaHVWVP5D1fCoUZ198XvsxMl5siyqe6Q8yepTLSgwaR636wxLXvXv8TLujB8XKyp n7qOwCk89JIRMi3dI5SNMl6eQxSDrPGVzSXVsnr+kNVIG2RgyMFoUzu66++J8moen1Hu4tH6VK+t djUbgaev/BDh0vsDqfAfymVYs7E3e9mg8lAgosqoFtVme0gx7aTwlY8HeWPzr6wQE0vhVlj73F2K kmvgj2Bpcc7nf4jXIpUMWkuww2ms8SdUCgWVDf0JRTArFpL6Q62HxEylj8i50zIQG+hynGNAZzm8 d6UMnpJHsoRy4FCIe3/pK8u+KBjVRgE9Eg75eCTi6gWa8qj2KzWKPQo3g1C+ikI2TMMc1kzPB4ml /NgCj0KIwU5N8bsvdpBg1ZnWlh5VAsDRaHrMt9HHbclL2EH2eA5MCpMyGwBqly86SKhr1G1ci49H 39qvyQeyNRjZ+g7Fxisw49xJ5Wol8kuAEDFjBAjxEjb0KVxTJWy6i5ewZZwvmZEZaGskXpJbpG48 P7XqJdpQYhQYFZoI9Oq07dGiIvbcMsJK11b4eDQ++1WiSfP7FiChVSG0O+oUgAiv2o29eXZuGM11 M6vVGfqHwIegDFz8yl8w+KZVE/pEnZxQClICIUbKzvbUkcMA/pAIvkeiK4tRcOzPb7TwTgOOjiqA qSw+H31m35MPXEOF4dW2KMquMS5rHG8lsuxbUQWylLBS5AP4Vrv2Q8fCbrpLaoTkpxFy0vcTGFlQ gzd+TpGA/QnFCqRX2tMJoWhgDhwLXeWjAghpCz+znk80E81ubD4eaXd9g60rxTTG85mFctJoyJpx BiICXK2zAtGUgTMMYH1KHXoWulmzLIm/8eggMshD4+SQSJEoCALfiR8CJ8uXh0bb9q7BZ0YBprzl P7ft2lxlMi+FcOCsfDySnfRLn1k05i/pusTn0LaQO9S6dYhqk7AiMkd5ZiEvQj5iRyjEgzS2EKkT rcV26ORFGcm58CCzLgpP9mlXREGK6p8Z3Rj4Q4IhtVCyay9feJmBGcmBdd2p8vGoH+vINHaneaQe p/gceBeCdLjZhV1OzrPZ9CzFJAp2B/jHtijkLhY19Vv3xdtp519ASjx5r+VEa9OKQc2BWL2+ZKrE aQjcC8GcoPNlJTRtudvLKWdPqJY8zl58PGrI/poMoaTi6MK3KBTJQyyACh+/sxNC0Lf/D80zCQxB gpWQ4Sl1DCwMEdHYT7P5xxpfPesFw7jMG/98dWhNczakDLKIdW9YB7oS58DEUALE9BkZvggOcnJb g6Ovzcf/1dYXwBFxbfop+rymRB2oIkxCs7nPYVJngjqlTusW1e4Y2deEqCcKRpTRG+evvd35a1vn fz07nZgznn1qq6tjTUcetC/xlU3TbUfK+JoOdUgsqkO0hy+K09AFYxPk3I+f12SoP+ql+SZDBceO DZvPayrUofZBRQL8XJpp8JOEOqfUXFh20ABYQTrYmj55ec1nuRiQASQjcd8JOpGUshy5EtxwTgxw ZbY1BkF/3ZokjIzIVLaozXgCN0u0U3KcXPp1a9AdKrY18mxB8Ysqk1vzmgOdh9DYhLuIsFWVtCD5 jCSkq7jg0zkGNrd/TYG6TxM7xWS12Kk5W1AwYify/FQvwsUAhbOIB7UerJlZd77o+6kp8p+mRL8W npqTChHRi/DZPq/ZD/Z1+9awPYJ/LW9Ubs1r7tOlsgzMuTtZ33q+ZrvLoLVlHY/XxKd7SO6JbHsu jj7rmExTcgQuYpvQP1xsHKYtJD7tCv1zRvaa93RTiNmlCphfSV7h1mCISFDMyYE+r2kP/pXGMcsG pakQBrKdec158Ax6QXaPk7BoUigQ17LpWBMFFn6gwZkZnCv3yhkiF/+cgPsYcK5Gx3VA9Zm9WTKI 2tlBJhQy0IC6Gt4ziDT0Px9k7WlRlyOdD1QWCP0kza/pTn8cHbONn6cjYWjjFmyN5lIUj2CHgyqg ODSdeGbJlaZuUfg11+lu29O6FHR6ujMNMF6hYiFVszWngGkJow2mU8KYLIma0fQp2Bs62Zz6jyUX F13jsAIyL9D9ec1zcABq/94atFCogcmteU1yELqB74bRlm5wTuhl5QiOViagsxTypWEOEW0NO/Rd Iu1cLNRgblkbNTdrgg9655dUMPAr8wRCIJI9UaYszGuGgw+KZgU08raFSeA5NoOzk7by+LzmN/0Z OOt7YirK/4hb85rcIApXig9Y/QkGsI3CcjbhWzT8DLHwmtl0F/boGjb3Z9jc6tmXxv+AHpcn9+ty wwS6jIrk9QFMI5h+XvOa7n49hW6QWjQoRD7JQ4PG82tW0024gBshaANoP4OsBKrLRR8Usab8JYg2 3qtP4rNJD5xbZquN8dovxKfMorJtkVt2unD7DZPzDl3wjEEF5NnYU0X5J1taCOdYAbo7lbqCvdHc olFxSwtPDZokUh6gBmewN92GzHh9u6Hg02p789oo7EIdQ5bUp/DJFH/PzZW9gcG7l5oeUVojOQYj +47sCfEJkQ1+w8jNK2ypgfD4odmKAHI/FGd3CzXaibz2CLH/GuagwLFFM521+Rz+Ojwc3VB+bgiP adI88sTmnTKGmxfk3ZLMX66hryQyy6mm1fHBAJ4WqWTVRdvD7mnPsgjL5QFKjXES+qR4A+mT3qUH 01ltwpfhDuBns1cNPiuzq5YLyLLtgbasFPIxVPu8E8a6OnRfNzigehwNanuinBhyBLUT7fkfWgYM c1Cr2Yx10YHujh0Pc2JmfDXdxQ5PWuczA3ic5cLMFCoFjwWFFAnaGMraPIe29J93ulg39V5IkWZf FI8rFN8YZDOQ42FavGx78KuDSVCRLrygxtFlxTnBLkYWG32bqXclc5/bA7dOAwAF26NCoMszg4tn f8ArV3KyIN+P0RmFCNi7aRMaIsU/raXdiRJjlnTncqJ4KxcdHiCS6UPT0PZ6z4xRjNXv64ok7eE3 +TtRjFlOZ8K9HT9mtnIz040VgaeryOKoK4jKXTSxrd7gvprsmAUu+gcSyIqhjhjSYM0VeFi3dNVy pPD2zhLrajxCCKbeRb130D1JXjl53eedJNblhabdoWUNrgF2X7Q77+kxIioBP+Y53OSxgKZpkWQ9 mSsglVpjOdoe3udjUHyTiwfmc/AHptEct5+UX+RogAgA9yo0M0OAphmEvepfkScJs8PFqqqUZYG2 MGyPMmSRt27koZ8QRwranyhH7nAxnnLyLpT0Lz4FJIGFRlUID1RGfieIMcAzMhflO+XJd8BvX4ls PljMneJZxhHMOMgjAT3ZM8GtV33fn03qXOUIRgsLcny/TMpPxKufd3pYfzTwVJGzZdXKsz9hoszG 5SzuCp+mKyM344OD1mM2Ye/ssNuuILfMFg/NKBVTGWwG7vPhVWlLdx4CuvDm51ofetP32NOqrLzn 9EU5D/R2WF+dwP95Z4Z1G9PfqpwgwLy9wHrnhXnxeWKU+/RMU9+cKTt2tbE5ycMTJcsGq1t7+uID Cdi0IOiomXPuMEot0rO1wOBgzDtBpp/M550UhgNKuM/mNFqLGl0JRkn8uPr8vHPC8IbrdzuHxe/t dL2ny7KsPt9Wl1QHXHSSOAbqbFLXRD0C3utRwjyavi3Z6pbHVncC3y5b+nKSZ3ic89siaYlnrV7V 8c5a4p0Q1l1AaEFMxBZtz7liZWPUofwSZczL7BuzVPBwjc1yr/UoY+509BaFGYnIohJ7/lnJmN/o BLJ/ztMT5szs1XQIG9pi29OAQKQXGCbr4/y4JXImmBJQ3kX/11VfRLyMcuaJ+H4Kqz590Uy07ibR +o2pcZQ0C3ProRnyxrlNPz3vXDDe62x57W0gH/lBLIDpdne23LTD804FY+TJ+qiaL3dk3AZs9pgy n9x7a9xFu3K0MzBeeQgqRW8aBGZBVod6X8M1tRfwN7zXZ8mfdx7Y73ILUQrX47pd5HcaGPYUcbHT rE3ipNNyQmqpCWrYXKbjnQRmtT4tVpovnvVA4AVKU9gdhCExfDKahgR8Ep7hRLmiN/2rxT6oiKuF cbmBm6LdafPzTgHrMvH8uraSpoW+O1EnGTP88/dWVxxvYxi7qXY1TvfmTuPWeud/senGVIbsRS0O dx4TfXWCU9Ip2jO9RDqLSUBkMB3ZTxdj6lX/yHrWIi5Di9oYZWZixc5ut887+4ub+x15qM1B/IK2 J0yZqVffOUlHeqjrfZMjvG1+VXI3vfp38ld3fY4uPf/+6Pk3tE3PLs1tp6cxQ4BDgPio5/undAER K/Sv/byTv7oB4HBati8Wmec0icnMp4OkuX9nheRvcHKs/YmS5sXQsw29C/Uvwzo33j7gxK++Dcf7 zvy613qXuCYXOz7rBM2R4Q8B+CWoNkJFobFUwEaa7PMYTzfpTaOPS6aNa/giwFOaXYSb87d/3nlf 3can+rgM2fLDm8C2J+wrDxKt97oVl+kopUE0jyoua7m/s75YmRT1BrMvXo+ehC2tKo8wTIvlGE8k asWAgW4Qblq99KZB1iNyLu2VtSgnhHaJBN3m+rxzvpj77u/YAwYRd0y7854xZ8ppIH66EBfVIlit b+bOQIORasXdec+YASXnqdH1xcVzwvPwKQWnbvWCQJ2EwUCifO7InW6rR0nPO+GLTVolg3P7Ym33 ImUCgM0+73wv/ju/q3WgBAq3SLsTZsxsvKesPTl/2jo7p0J3i7BMap+25z1lRjrLQnTxVuLioedk zLUwBSsbJOBOh75G9BylpcqXZMnWq0bbw0oCeoK28PBABU2NwobteU+ZZSnzFBT4TeAD9e2JOsyo 0irBgkyZUUfYxdWsMN1wVrbA/J4xo/3LT2vu6osPzrERiapdqBarCSdVfSf4VX/BUzjOeud6damj A9pE3jIXjYeBMFDkyf3zTvX61czg7uDfxt+QdifKmIHzgsqBO2XM3IwqOJsSofM936TnPWHObInA R5V58yMiQt1omD5tMt9PeBtGdKJLJfSqZEOjuFzsTf/4tHZatD1Py0at5y0X7WaBAFifd5oXAs+v RhgFH4GsshFxlDDTZqXni21i14SDmz71uZ3qevmMOE6Y+WU16MBrsd0Z0A0BEJXVFhVKmDUCjMS4 WOZ6dFyK3jSYn0/KtVLiW4t2B7aZ/LJOdvt5p3j1x6PHdgfFnoQTuDtRwkzVtpOq6v4+WVqWbiSq rW3Ekynkd+JfE23PJniPDQ0uvj1wBa/E/5wCpWEaT5UkULc50yGLc8hArepNo91hf7Ct6otwX72a YP1JzD/v9C7e6evr0ioojJ4O/Du7C2cH0EbcS0bLyWZIiF+yy/4NgVbOp/XO7sKxz/w3SydpPDpJ DZOn8y8RchAtq61PCyyyjF6mmNzyl8OV8HnnduHj0GRCwMHqwMGJUdpWwtz5hv8KyyLsAsDc7vZE CTM9W2eyIFNx6L0D7yY0kDK23XnPl71SH4uFJhdvZJR8knBO607C1ssaQ6JlBOyTb1Ku+ij1JN5p XSwnSMeRx33hTKOy3Do5D5OIc6WUzzuri5lv/zo99E1nJqftifJl9EuAIZnOwGVCiLloY7sZc1Hq KePWeqd0dVdKGIvZHhdtT8dwAtBlbU+eORMH3k8s/YHA+O1idFai72wu35tzBZpeaLc6nSAPZoOn qPi8k7mQcmz/sBgTCb9Id2T8TubipJlO50WoN8AxFG0AK3HR0VxdJPqdy2VV9Pknb2YzXC45YCAP LFvsZPTE2EGFFWrBlGd+zbaoxv5O5cIpX0TByTuNi13pSWUXqpjPO5OrP3jTLFVWjvh3v59WlC6f 0w78RVfvq2URTDAWIRpAo9FVLeV553J150x2eer2x1MX4ggIDOqC9TRJnwILB5QW5nbl0fmjxsvn ncvVxaOmN8/0hZEZQDo20GtN9fNO5WJO0L5CDzRQiImy/YkS5pYkUO+SSGMZ8isnG3TtDjCArq2w xUw+NiKwFv5iuT0bk1JyiTFATr0ySBHCDPueH0okeKlO2u07kct76LvwGO1C3cDO/Tk5aBH46+SE 7zwu3tDpu6BAzdvajT1hxgx0by/XH4y+W8wJ4WcpfzDk69qfsMecFFH28OWCBnsG8WMIydPbZL2a CVIGA2U8jbBV7E2j8EOeLP8uNHr2DxsYGFXkyrN0Elo+H5Wj62t/OIqjXrr2J8qZJ6n3aSbrZTwi kcqZx6kolktqvdO38O5Fdei+iyOdTvRMndB76Py0RClouJ9VoEyYQdzjg0PweSdvdTHMoTW2ti88 pfAbV5N4rP15527hDW/44cWOSyTdTs87dQvRBwPc8xWaP1hhqxpSUWj4Wycsk9SI7Xmnbj09eMqI aXEg90i4rTYVbCDcops9E0yJTjFNpmxEse1V37eHhOtdBefGOIj/UEDDKeAK5Pr8vBO38G32XyXF 4p8vtjIEZhR6wONXJuRBEg73JBEYNFIcEqzvhh78O22ri6D5popwCq6eNmHomG/hMx3ik5g4lbrM Nv0Dderzztrq5sh9qnRpI7j94UbHjkUmMK6fd9IW2wl3QsH/MpOoCyJ8J23hxOIO6fuicuHapRFF Gy5dM3xE8c7Z4uBSVSY5JVwulhtaP7WrWkclgV5Fx5iU3lvoRTgsl3pqn3fKFn79dL9a9JjToiZ8 SnJFxb3yeSds9cdcToen8WO/w9F3vhbHIhxMbNVZtQPDyl4GIcWMPH13M9V9Z2s9n1anLiSXr4IL QxthntJOatcXajOhGW3DWbEim940uNepcLwnTJG1qBEGcUl5Dp+c+Z2sxaf3r5wZDmU5++6EPeYl c57swAxTHFmF+u5shGX6BHN7wiaz0O6Dkj3X+w0TnI48EUDfk+BkirZTo41WjgMuN/y2uT0LFfDn nap14e4JZC9bVK7Xk1eRWrRO3vzO1MLRS7ekwMvRlD51v9ffmVrMtZg3tyqexJjEcJeTjtItzujZ zXgS70wtg5YVQEiyL36x4wrPGCpSZf1kEGwLNTqtUs3zGjdS5vrzztPi9ggqR2ltLprgnIvDPGP3 +LzTtLo5G34VpPAGynd3QliGTE2Kd8KafVHQcjL7vbGbIbvfOVr8trgrrVdf/FZfJ32bZGKg0XPy QzFvOUChqmi535Zu9XeKFhNzUgGa9A2b9QmhQG8Cjrvw6eDw1PG1O5C1Lmt7TfHOzzIsENC5+f8M R6dbhEF5xHYnzpm5LV09DfIe7NpCkT4W5zeL3kWb8BZKbAPKBRyFB56uFw16GVmGRan5oqNz0jWZ dva+Pu/ULP4zvzcHoil5Ti9IW5QwQ56+LjfPxXk1W8tJ5b3/6PhPo6u3EMZcdGha9sVhByDB9Zq3 KJDF1I5bpS/uUvLhon2YA+BnBBQkUh93mdkX/IxxSsRuBhar4ukgI3xmf5wzI7lt5eY8YcIMZJSM y6T1PMwBI/H3Q4HVda0tW9RlTsqY87P46ZFlWlFK2NEclu8Tq+8T5WiEZ60w+l61KGNG3AZ0oS1f bHacOqNQ3YlP/3O0LqcZMCdum7mHKTNGHoPTcOaEdBAj4abyJNE7LVmnsL9nzB6XpwBz8wswN85F hbagaT6CBsw2M9jmpWSaRt+Mmb2w/ie5bwthq0Wdwgn3RAbbXj89ypjLbTOrJ404jcvdtidKmQet 5UqeHntMrW9sil4w9qxp3o09AmZovgVFWV+sF9bhx7y6CEknPzjhVSQ2TE/gGTLXbYexIffpUZ+5 ZnNtHL5we+h8pQ/mFBQ9SpnTBfXwzQZcIz329DBhpgzDuIyk4dySXg3TfEIp2i+403vUZda11eRR 1B6PohPVkN5M2e5BcZZfX98YbDeWO5iuO2+WoJ4eZcw0KltT8s4cDLAFARGGulWst4LHA5bfnN/1 FqZMYOb7BsU8v8FcUEj4dm7ecrthg/nPSSuY7PHripLmVcw5Zfni3bDz3TVQ3Mkx6S1hIALkCkb6 k2rN+7ECo6Rqj7JmWnntrq+Mi6IPaLhTuUzB0xEN0jdIguv8s9/sPWL7DWAZekMsEPSA+SH8HLjV hB5A0ocFaQ+T5iVIhqAH9SspnHDzIeQENQXIN+z2IOWEL+hPn9d2mBhK/IxoAsguGG8dLJUOlVAR JO7mB402PB3cXb96hQBA1Hx7qT3MmQfVDaZT97sT/iw0g3WNCZU+r5DwZwI0JLc11xdEPXqO8Sm5 qEOzoWyNQRZM0kCHB3hlUl++a0xhbxqU65hdr8m2rxabcVEnGlXU5BtGH9ctKchQQvo0bubTw1Yz ko7JaoZmIMXMCk4kkDwok+asTnOPkmZpYvU0ty+304x2z+ThQc+nyRd1U/Lu/AiwRC7Um4LOPWo0 kycC0nXxhduzAFmUzcWYeDoIzf07NFMtJ++7O+9JM0qKhRyAJGI4f9E29PxpFdoEUl4lZX5biX9N QDNRC5W+GFp8ezKoArUIcFmgZij3VI2RCa55IIVJrxpsDyEZaKj5wp+RkH0y0Tr7v/B4VLB/twor tQPGJdNGaGZ6E3QCF9BIbZQVBXG/UimH7QyU2+wU9giaYSVXYdk+BJuoJFI0SF5RUwfGsfhimRaC iV5pRUFptekc7IqfEeQ9MwmI2n3RmOL8ajSGOQEUTwen56KeGISZxz9U4zBrRh41gHqmnvxgkwj2 HwVpOAVUMS633YnazJof92rIuQetO0BSl74DTEEAMyGiEBjAAkzRLndCSls//IygqCDYJLNA0aKC FDUvr6KRGp7+19npOnLn42KoF904Sprp2tDb7gYKEyEHkLlpbFIYldsQcERtZvHWhoGfxjVBbRAt ytkoXO1UKp3O8Z3tBPxCK7spaqQOe9NoiJMlhTF9UWRePbEkOAEs4+kgae7fMwqq4vc7wxlRzowW AgzchXo/N8lahoRPVLlESTrZJsXFNeI+s9jGQj+1hygAZ7Te+Y2fyAp+ACGreYskVH5Al7wl19ar /sX/o2CmLTw9JQ93NZkbT0cz5PSV9DAoE6+k7QnRzMCOb1LQpJlKNXRIu9PWjhVpBxxTZPWoz8z/ 8slaKRE2GzlcwFieIH1i/PnCSMJpcAmiPWzHd0VWdL0TQGjxD/yIAG8pFdAh9AGp9Ly3ctWAtJ// wdMRB+ebokTVtHz5fyNKmRtT+m4NMAj8Wk1Ry3IXtNYN6z3CjDmL4Lb282kNflogTHR+FeVUo6Dj VMGdEYt4dz2X+sTmxNAMRhzi3LSw3oKds/Ll80vB01HgyV9HB6DyUi+Xf0T5Mov13rqL6zfDOs2c HarbFKoRd6J8eSrgZIWf/JQTJxCj4Z6q5DHOiWHByohcaKOd+hdWt+pV/5ger0U42UK988PQvJCJ sLsEDRE8/i8CF6PMINLbp6MjypgBKjlxcRvzeArDsmFhUdRvhpoXGliMPFHKLKeYF82ZU66vsyuZ GzQgfiJ/Kzo2FmxruZGHVh34GcG3tcVNas0XsUx6I+ke0M2Jp6MJ1/z6toiPJbtD2xNlzPjNnnLU XGK+iQJr6qbP+B8jCowIzCzbikFTPC13eyBs2ZswhWsDUseiA5tPycx5hYhZxeJnRBMuhB5J0mpR YIZ0lqj6feDpIOlZ4ytlZutnXHLkCLEZ9M7LhtGFeJmwK/DOy6a3h2RcuxNlzBJY6dqkTntivMuJ ykh7TgJWBYTHB1Y5W8cZwqUw2409KL0yfkbQhV9E67J208LYAzaqvo1zovB0EHvGd61OrcYyvFE4 ooR50gW2b0euNDM+m2kZOH7LSoCBOUyYJXGlhIbLpShtENBkvYi2UZ3yz8HHRrMxSO7Y9hCxjR8S ZMxN2sM4iIsQG+4KHP9GZXA+B3Ph+aBcv8GHFgW8/ta9ucKceVDdeyg/PpVpMpZSXUtHau/mco0j bDRTu4gKa7Y4MuycERCFN3s9bdAeAOpxAHHIspLKupKxzvaqQTeMoqi7qiHv7p3nk+oyOwVSHU8H 23ORPS7Rc97LY/MMG82Vep+4mIkM6ybVjDJ7iB+Z08LvmEo0UdJsYPjF8R6XO6jYuzRKKhd+p0vU bMgdnP9T+2njEYqnCvoMsRnUu6qVrGUuDD67jVoF924NT0en53sEuAi6uiXFjJLmKgYgshFe7Yv1 Oow7CxQR2M0gfgJX+wwbzepmNE3Z23N6FoWYaFIHYM/u9JQbGcIrJJV2jgCNe1z0pn/14WsSfz25 9etG/JdK4+TTEYXrdlLxTULKuvSL7JlR0pwA5DwHrxs2YzXDzZViMiwnfAARgI9rhjmzJNOE+u79 afZUEv+qdPYwiJ4SuAaBouCVG/vwoo+S1z/DTvNUxZW7L+pmwBSGV2Xpk4//S5JGqnyQXNsee2aU NdN0j2MWJYa0m+UA+cr19J5N5XNGWbOQPSOJLJAuWQDD3ZM3k5J/8vjzxXZNcThvZxWU+x1TABeC nxERTTj9o8WnFoWerl8p5GIKno6oFL+6GfQMzX51zShtBhyvCWpNllI3A69TcJkuFvxei4XmGcrK MeDiRX1xWNip5mBezZsdmU1m16ywiAOZYXzZBi971UhXjkwTNoy12PYUqQ43DLlmlDW3W3Lh1HXK Rt9W4Qz7zLQ/YBz/DyUTSfYDmC0RNQfwBP08FJkjbEZfUt2jqAgXH5FCMrly9gFcGAwwpEeIaSGl 28a1guE3iZ8RRGbWEZQXs0W9sGImam2Ogaf/l7QQ/gd5Xjj8jMAZEAMxUY7/yFzZ6KP4JowtIEkR HJ6oz6yKtE+WmFx8dxqOj1QrN3yvBKw7P5Hdlv1DtoIdHgrmzyhptgkp/01arBe2N3tvp2ZpePp/ KbkAymdf23YnZAAm9q+T0ZQ6TdcIaB5VwCcgPk2vZ4YCc+z/Demdc/FbHaoZk2onBSTvZUWZufXB kfsaLdGYYkZdZnrIrClm9rzM7HKCP/8MrhiejmBP35g5Avx39/nfjMAZbPWsK763ajN96lmWocIS rQMVl6OkWQJqFKwvrluvEc75aihuS2HhDdCcHD5wO7HtQ6HR+XTCZpQzNxN5atMXsQUAlWHOcupC PB0Be37J9aBcGsUHXDMkAOLttsDw6IS1x05xN9ueKYVYHJ6/JTMAx/XFcU/pROlECRk49YAUyyFY QYef1KGHiGNJT5QxU/sIiNa7GO7pXKvMmEsueDpKCX8hLhH31vTTs6KMOTPwlIe3vt3LvZmp4okX xHqfwLMiaAZHwvhgti9ecQFyOZY+LY7bZZDbiRzBu447wYG7It802p1M2iijMxfuzilSEqeb8JfB 0xED0G8twg/Bnu/DD88Ku8yDvTBnCgyyhdiDdzuK3TiCxtlZYcI8bESRfLHdqejDjCHc07lcZlMv jMoZKO0g/mlhefHSWnGTmQYLVa6u1bBDJyGfmyCWunfF05HcyreUEdsHGNTa7oTiy+AdzKswPCld grPTiynqZvr4KvKsEJtBlOlILKUG6cs/TdUW7AAn1S1TOhWkIIWoYMjG+iEhx3rw5zw0/IyoEyac Lp/criZ1Atu8ajQDT0f1xPdoXXZB2W+tFTIA4VtcUnPJjM27Cp2wwgHOpoLj8G8rRDN3CWGp6pqP mC5YVhBHZzdjwOeFnbCKhBk3zvnb2nOpJ71qJIxKxQx6TGrR9sB0kGjm86J4Ooo8v/ijnAY9kSdK mBevDerR8VLfZKs3IA+KKzhSko3fVswAJAR+SiF1XgvOltlHIqkaPslrKi5nYubxq0CD31k4aHbj Z0SdMI4oeAq02HxrbiEPVsp4+l8pD3NjoFPHTQhXlC+zEdUIQpfrRDNcRhXzBJFn+YhixYIZ4lsz F+biZ2fAeAKGZ+iWnLhM7t/5HZw7uRGyBis2HZ5zWode9Q/Rg1OxMfRw4fbslJmxMWzi6QjUc1km 5HAhwW/3Wl9RwrxYK1fnZs+atqWE5J/z3uKh4P6ECTNTQogV+XJPzyk/SQ8Aj+KEM3NTKJUcLuf1 DyEP7E2D7ZFOWCJFe8nrh7X6NghsP5cJHv+X1hPjDH5MfUJzlDEjEW25i30N0oBBwSD5uok8gGZG N7uJFeKZ3WYi++IFBRT5dhENB6KSImeTknB2i9332whjF35FObMKiozaxRYxKc5dQPjcyRI6ng5C 8/4eUgBxeeKgd3pWyAAkrCdfsacyzA2w1extVPTodXrCPrPZI0uErz0ifONEaTButxCX0MQlSQmD KtgEYUj5wJ7osb1iQDMlwqbmo5QLxdd1Ci7Ji4BrhaeD4fr8lRRiewj10fZEOTOZinNa06sCgmPV aDP56r04oGJoDlNmS4RT8eVyuNBSLiKQDpDlOrWwoE/ZANgAwcHTnjH0pn+NcADE8EWtjCk0GcYy fPp9d86N6bvjPBx+H9qeHeXMcOE5qZtripwKMRmcWU6J/AdkQ2bsqMk8slVa1Zcbm+fZcKRDaGVw nCw3TkRg5guAKdn2sMm8o5wZQCkUohTLny4zd2LPXO5GOvh4RDT5ZSGA74oiz9qe96QZGo6ss5Yh dje1/6DXQ+M5q7iWe9ruCJrRCbIiRN2W28w4Wz/pKFbhjJiqgD2IRhWQBDrdsNVTWGPiZ0SoMOOt N194fE7F09QlPncmng6url/6qAyG0A+27Yl1M6DhWGws2nIm02TTE76YxOUgIw3BZ8dZM6sJxSAu 3kdN/BLk1DrTpP8iZhSA+hAsnC5ZQOrDO8qaNZzoVHLXwtBcwYHizYPEZ/+bAkj4AbN4v9d3yAAc 7IF3k8KaMxv6oIiFjB78VT3fITSDFlbnl7h98VYP7C9TlgwfDv8kvR0JEDFuP/RjNiIOG2E7yplN wtH8wq/2cD6fmSqmExfw9P8kUogPdFy07g6xzEl8/m5MgW0iuzPTsFrOJdWYAjsmAKq5rKJ9lGf8 N9CK2aIe75PWF358NeM0gqm6H1tNmjntUDeDghB097ZFrYwEnV59WBVP/y/Ig4LXrbeg2KHGHHEj qzY/Om4nAA1Kbtj5zcu1A2cnBGZItzpTqVCP2tk5Vye+K1brMwNvQtmMSd1q5ODrIr0HZUV21GJO jBWbUjta9GGVtKmzAAc9PP1PDb4fsi9OGE837kTAjCRMsakRAl1sakZtWRadM+ODtidUmWOufEJs 9+WSlE7gT124lbSgdaHxFmnW5+MdIAuvLlPWpleN2qho9XA+ZgvD8snHG1sUuALwdFRwfVfrgB5l Ogdqe6KMuVKzIptABowQ3ZG1eMFFcS/tTgjMEKlW5Wh/ytEO73X8uwllhrnZ4EdYmPoA8gxEiw5P oW0sfkaERhVUziRki6NRR9OfAavC00E9se7uSHkY57bejyvsMqd2W/AAwtep5HBm2gIq8tCGq/Nv iS4tbs8SM3s9zOxzH5VMh3REnsrqAueLHwpoN+sKhe099aJR5GGuw/6MFrUJ0642n1h8OqpGv1sZ pNU9/mw7ypcbMsxzU5nbwsx2Yk45wWgECb6uRgf/lvcvayoeT43+5jP6Q5MHkv/it/Xza+jCeUNp D8qaUKa8Vh1NbxolhCy25LmVs+sOn3glBAKUwvF0dHZ+CcLjAD5yIjlF+TLEuhrLQEz88CeZ059Q zZEW9XoYTOlGkULJDHZHIR7qi21QhsBBWqxGT/LUC/3/OrRpT6Db4LBcgUuyNPlDAoJkFflYHxn1 5ghI7egB4J8NH0o+HwTn+h19OjVfLl6XCMrgsUWBVJhm82bP3WQzYHDTgAubKGzlmZ3CpNlrru6L bdF5kQofFtZcEAkglaKvRRgzJoooTp3nNra9bDRf5/CvqCYtVpOeo9C7+vLtlMN8Pqgr+jemGWzi ku6EPafY1KQSB39nFdWr9rxN12hSzYnGAikEaKibIYQqF9+jc5IgHrtMuGfSnxb8nI7OAv2sLkCD yFT8kIgLyIYziaSwCRo/bBvufRLybgStxeeDFOiKzgkehkg9ni0Ke840+ZQTa6MDjK72QQUQ3WLM FWQaFNv+yYJVBm7pq+eMxvelS6KOg8ZB59CrsjFe0kXQLVmxpiiDNvGwLnclpxyeDCt1EbLOieLj /yJraz4/flT12BZFOTRoxGc/qcUM5mTJYgGe8hQTCEajBJkUi0ZR59lgUKpP+1OfVpYYleoFFRCx XRnYE44vqNw/UmJgIsTH+EOCuQXzaHR7fDHS2xLG8NxpmY//q8rgViGT6enLeyrsPpP7MQkkpLbN kqYGWgikKzGVRkKpTy3sPwsbz0ajFq80MCJKTXas0MiCZCA1ssCTKpAWeDilvPDxQ/5C0nHEbYuw YgJrJTZo+Pj7HtVH3hFRFZTNUr4+tVBPo5MvWYQRA4vYdXdVi00Qf5fBwHOK8mkzBMyCbuR882mI bZ1fuMhv4/wjm7aIZU6lcGq7Co9j2rtGUkcU+zZf31Sc3oU0Q4a+W+8YkSc9KyI8iBadd/6VU6ip IbkY6tUwe5yWUicIJYlJUJ9gFHudaMSTli/+oQHigJBvgDqwIQjnxUZNDMCqY36EbOIPCUoODghn p3XtZOOcO9RoXEi94cbHI0Rd/opF1eQi7w6FWTVmakuUdpTWF1I39lCj9USS5K0yVtPvZ1hNxP87 x2gAeC0YlNHQ49z8jEWF2Dp4XtacninYtJeNbv0ky+zmixr13Qb++OXw8eA7u4kR/stgo9TydemH iGegakFWEq4uLRPWX6Q0qpu4mc9qi8JutLr0c2VffNTDW3/qOzs3WZeHNI26CgSKKQFO+E+hRQ9/ SCQ0S6U1Jm9aVLjuvaT2OJg7hq4nd5DKVBqMM6RwtkWhVSBkiMCk8e+sbdPra9k1xWZxdZYcWAUC piC9UNWu+xmlQkl8ERiMQ3SuyUKFkio1iPPOyAeNKijrihx4BRpNeXMCbosVr+dfag17vWNUn6Wv 7Loh4FHH1HYoyq7JuuiTERrVa15qEp2qlnrg50w2gmJ55Yd2gZvl66g0Uxz1mimC57jBkWhCKpxi phkFARLy+Mxq+T2Kz7FdYJb5ybiLsiJ0hfnvm6Py8UhTtX7FakD5C5RkfYfC3JqmxKOZxM9EbiYv ztK0axntl+lbFMo5V8J7m3aqXXGxBleqWTVr2eh+dLm+ZTaXU5K4mAE0V7KX/UuabmPagoUSlEwc 8yR2vqMDkgPTwO+Rqj4pDI9o9Wh7FPWmAWpowvXTkrNfIwL2JOQAzPpRH1qYXdsxatMX2yMCBRfK XBT6DZcPW/dkPhDE+ujO0o+ZPyT60IgiY5KsRfQduI+bQF3l4//LhwZ8WAF7wLcolKibrD/2sMEq faoJW6D2BUq0BcEplWiBdyB4QF1JdfXlghFPAsoSkFDNBYdU5o3iYnYog3+ZNTR72WAyT+XHsoT3 XY4oS3MMDcc2vUOj5Lr8cjiDpyR9jXyLInVnXESAsFosGmkpbmPCUdQsYk/ePrQ4tRaOfjZfnkNE l6ci9WJY4LLTyAW8gvUFFKdcSw78A5HB8a7nnFQLD1FvxgUupwbg49EEKH/tUEYj+Jk+58BAEL0Q DscZd6iWudzGq5kS9j51UfZD9DcaGkmVL543VoBf6MdagcVJdC8FHZpuMegX9/r4Ekx72b8K/UVg vhb1Qs4dU5MG9GXz+X9pYPNDA1KnzOdDC6U3GItkitcJ8DAnuEILRMaiLBFjHuLIFUUzjP/rONRg BgFEIq+0CZsYWsHl/8/YdyW5siPJ/nMtZW3Q4u1/YQ/uHoFkTWfU6Rmzxp07nWQWCBHCBXoztRDa Nu3SL3TP5pdEPF3e9oRoa1BN9mzWolsq6fFgGQ0/i1T932zV3/wjMBPMFG+v6AtzZirLOeRa9s42 R4U8Sko+RXHRmgCGuZcPvo46eMxDNf0JsGuj2Cp+m0rySS03up512Mu+z5FJYAvJUB4kw5kjtRPP 0c3H/6WEzX1JoEd9DqMYHY0cspqxRYV2j7Yaa4JKYkvxklpsKCh1fZZdNXj+cVKDNbfy/FwhR0ss A+R6C9MV6v+Yb5W/aySamRkp1OmDAiMq4mmjDT7+r/yDiwhXy7o4sxx6CkIQCUeEkcJ6ngbES3kb BLjSbYozFJoKrmGcjOGDY4BXO2Ei6YkFwFTr6MNW8KeQbdaveJ2QZjl0FRQtbG4ad869hkHNJuS3 GRgVLKLAVxA32v6Vf2Qxi3yKwto1SnR7Yrn9h9gGN8Q9N/QQ2OzcT9OoTzm0FhwszJ6YufpwETEL uvukhu2TGqfMVKXADLdQTnJ58ywvNs9y6C2YdVDDbsYG7rPpZuUnH5x8PCg6ll+QGKDeUAfzKYpA Hyzd724tkBPjTcPjpclCJM1SqnMLc+AviGXEoNli537d7jH9HbHdVBZ7NpsMy8mEx1EN4LBCx5PF JnvZqKBGNDC8S2zQlXYipNEEsxuNz0fxtS8jmujKCOVZRiH0g4BguU3Dloiu8yzvUwL0P9TmHn5c BxaDOIuI/RgSJBnzdokWBZi23WjnQpu0N08AVTQU1Ma+BTWaCvFLogY+hTnusFQuAoqZwJ+Tcukd IyrL1bxRE5ZqnLdeFLoMbmnoLndNGU344MGuhbqwVP2QrXsImlb/VeWyPp7YMcH+rFIYoKCX2Cvb sJXOhWg3Uv3ZutTUI8uxzyCFaidAnDYouobDKWshZ9r4eFTd943W7c4v5XZic2g02Ch0vpPjhzYV BOCd4sRVeKe4kG8OrQbXsE5198Gm6Byh5w5ogjlA3IXpzKh0vwL5T7ZE7VFDzKHXYJZ9wSSNl4MR flKSRHpeejxaRfX7uF503rhhUeg2eFJMwD+m+KnNXPTAiFprGi/hZPrLr7RQCloqaGkWHzzVhwQ9 5baQxqJgbTB0+j/jWFi3/0Hwaw79Bgcr1r3RFK27ZPACRYGUpnpiCD7+r+BaCQgW0ddpHRWuR6c0 x3b8a3GP7pKNoXluYqf95MBx0B1UehcYrXfn/QB706ccHctOUOckQ6hyleNMadcAg3VDfkcwQVJG IIlWAzM02PoobCw8qqPQuoxfSCv8QExkbYJCQehKndpsgIfeTbF25pQdDdKz57CB6SCanFIHMtuz Lx/qc4oBHiLaGKoqOrFOjAgY0A8pdQJaqVQUeg5WxkKDmHANmh8ERfz5zz/w8UhU8xclE5HJV+YR ug5iFoALG1ZNK47TawhiBQjRIdT1MX/WrLc61fvpVCP0mSOZHSwQCaLVIVMqvbLjwdofVhAouvyS PwDma3fpdHSXWqhpzCIhipr5eFQo+tYSyETfrHtOh86DyLCbujIiRxWjZTb6LslPeNDDKelzAhS1 COEySOuPQRqCrdrSUFx95i439kgYiTA1mvnpU3d716gKQjEKBLM2CDSziTZmGXry8UgV+psAJLzo k5qF7oObcX9bqjFWWdGqqm8czb1KMkBaDtwHT+QpxupiVsHB+x7n5kLqx1Jagw+PSgGUL8YiBwHR ac+j27sGnXwWcaFF6QN3GRjQEk1vWe8Yadytr+SVUhTzwczEDoTIhkdpphY0mCiz2Nim9z0Ik9YS CpHUjBQbNW40+C5rkLYrOMcqTu0BYhowMxtUCmzfdBuMeVJ/NIcehJMtfFpq2KCgmpVw7rMTifL5 /2mOoAO7vlZRCAgBlaKRms/eEPmDEu3Y5vrQKe2lVRQF1SIroNTjgwfVZ/YKAYzAFZ0QHgpzJ2Vt ZKWP8oMLylbRzs3eNYgYeVYvKQYtVww6U3QOOx1FNeslgww//QZ+Ihe6yhQ5tiJsKOaDOmTq/cXi oSpLSyDA0ri4osCM0BH5vPds8Kga3I3K5snJzeBmJM+ijGBaWW15ZIPysreNurBUgNw7+aAWY0kq Ode0Bx+PwurvRjVRluu58ANLQmvlTwncseyHZAUX/moUkcReSPIE6/qcoNy4FQmql9+e43qdgO5k d5tzNM8GIREQNKECuRa06orLuk07ryNCoihTtazug1L82YnaAtk38/Gg3Jjb1xSd1/jq5IeehBCi qCcxM2zaILOChbRt59OGVa2voQgNIm+MnnSdpcfv80QTgEMldalbW7OZmi3Q31jqFFhpX4W00JOQ ypS70DxUg9Agq8krCeUuPh7JVPzSBaS777oxUeBKKDIRutTGaO10m2chjc0LMlpVxeZJFAbVVrCm ZxwHn6EEAVdpl6Vz4hSh94AvQhUYQfW+UWNa9q7BJsviMFM/kYMBHEtW0AcrID4fqZf1GxRlI4yX Z4rCgjUitiVDOVK3SeUotMgWFAu0URo8dX1OgCnS8mnlDrcE0kFCNAoDXEXY7If40hnAQe35Fht7 s5cN7nzussSGjgZul5PvWZGooE8dWhOOfEsgXEYQgijfcVFYsqYrM5AyFRpvKZmI2UpAqTVm+JOw VtaJQn/Crqi6SiywXltmOHKiLig08TnWMnwCoeuPK00NkfzwYEgSyoFDIc4itm5pdKFBZxF+BTuu Jx8Pbv2r1CVsA0UHH8h1bFK4qa3dXE/oirhWAfehJ1SZoGGzBSaFkjBAWzXdwSEh5RymqQNLUmC8 OHj2DzNhQQjQkqewg/TxHLgUmrD/WYhUheFgOX6r7DPXuhYfj1K0b9sM3rLtKRSFPoUwNYAJaLY2 bF0CYZ0riPc/ISHbU9jQqFAF2ZGpDs3B60QTIqVT+UcHU30ozMScdW616inaUGAUOBUaH+rckExi p4NmQBicUoo8xyAfj/pnfucT78CJLtcSK4dehaTEzD3NwnqyKMosdqdthKrEaE1zFJuvsBS7zKDm 0SBPZw0xNBLeAcZ/5muIa45Z5PbgEatEXxLhHSQ/QJo9kZ/MG1DFpJjez4klFp+PxJK/dbtwEZV8 ua45cCxEfQHB4zZTmqYWDVlVzdRQzo0xh1HJ8x+ehUJdjTt4MW2vkzxBoZp0znqiR4biEI4tkM9r Ty2EooE58CzErUQTYtpeaNCJXVQvxQLefDxCp+XvDATpdH+6Q4FroZmHdTJtiSXmDc2jaBD7mVnW 985H7Fqoen4S3zU9fFdA1TZiRmGvpqABo4CxVNgF+fLQaNveNWrm8wyqkhqqFhmtmlC/wx/dZuXj kU7MxTuonLbxEz2LKGQu0oRFFpdI18a0zgcMRxQadSqxag3Fah+sIoo6VQWF4hQV+IHQRAbRUFtF gIet2/5clWhO2z4btELIoXVhI+hqKp3lIEQ6RPEFmkmVj7+f1uuXIzHHVZ/7LAyv2b0isJX3WTLy 4rmHhldky/YubOBe6OTFrtyCg3cYoXs7MNt0GkEXkeE1xFagk/qzni7sEK0hsC/MbkFH90kNwskW Iru4LBYfjyQb2o0dMUOFPr3PPgtF8jJ9oJjLo+tGcz/4QJWOgEG+xGjVKngMPAwVX0GVSui08aDT SkaOSaMp8l9TZXxZ5YwA2e/9OGVtf9moOSRVi1J80EarkPf+Idph8/F/gRzZBEApZk+/8z8vQdE8 3/j/kJOhF2qt/FaXZSBFMoJo5e9mug0vIdH5EGgDY2FMbnINhgE9a+uczsA/AlWkCElobDIs8a9p pi3ddkSNLwER35MGtf8tVgVTZa7Ys4Px8H9NDd9vm+JHUYMav0AizIFxajQzk1XMvc1No7KXX09M vWgclmRg6Id0MDVDlOkh98vxuF/2DrQEmN/g560zN4JmUVQHkHtG85oaQ6G/Tg3kUVE9RavcB0M1 8khj0nHC6depQTyTbWqYgFFmj04jmJqXIIgPMVTbcygTO7/AMgeoXa0aklOf3cANLyGQVg07YDPJ SCNd7cmzSSfM5juP5pN+L91evRtkxqqw5mfd+aLvq0aWu42tWg1cNWPC4JVZ/W6fl+hH87p91Yi/ MKHLmWxqXmIfrRocjhDo9fprNb3kWhkPoeaBtSef+NeZAdWMgYcUGzhc/gu0sLuqZmmfD9q615j5 I+xC79iE/tkle4l7+BWMTHdtRGiyNkwMwhonMWeRESYLn5ewR39l91UjMA1QY76fXmIe2084X+Z1 dBzbDS/pS0GMZ3LDy5eIR78JC4nsHdng24l2iynxpGFVSJx8OmmgydoJ5lZ+iiv18xLv6KTJLHDo qpp+VcEVq6vogXb35yXc0dOGqCqGET6/dCaMmi53wdSgKVZX2WY4t2j3RcoLDVolV5q9DvQS6nBu RJIeVVqu9dFyPaEgpPCJgzkhU+qbuWsh6QLi9TKKX9I1o+tTMDeLiop7pe6DrvGB0/2HneT9eQlz tACsNW9TgyJKZfcbU/MS5OjoPsnc+RGn7u1WZDaHa5EVSOHLezHRrpcYR1ODQsqYlekmB5uaDa+t Sc1dLBvgqIUuRzCIEwx3vQfKFIZ5iXC0ochvGWplDZc+2alDV47ZUx6fl/hG59Rs30cNygJl+in8 EtzoFG5CBJk6g5QVKPfGNi6OGsCfTOM2PIRtQyUf7BCGS9g5GKy0cyJgecw2HMLQpfph68rwwPjx Py9xja1LZg5W0phWeYNNmHQtC0rPL1GN3q8PmxnWz7DwaL0udcJgZsgu6SObt25nuKBWPF3U0IoH +I85+kvBUDtZlswSpB/z2U7zBDWr0ZHy7B6U43m5w0UBpTdU6/atqkL4Cd/xPjUsW50ghtrIHLho AOeTmQwlOIOpIXLuXt24nwpVGTk1L5VCO/cRcMEfRmipXl0QpnD5sH6h4BiaHsGqEZ5siIrBwVfN SXqAyaw0+QWMaoo4BuIJIC+sFbuFGt1EXqqEtqHoDfY1aENBH5Tl5gqZruisGd8XVNuCu3vEFwXD Zw5+YNwlVjiCe53DUJK24g4KeQ6UisJhOrujr1x8uBCOM/GJ8RWY4sU0CZBGINWEK8NtwE81LN7j 4SS7gk21dxs4OxUGt/zzduPTwa665w1mMqMGktlcFvUhmB4aWI5sQq0nD89Ckc86TUrxLFfUEYQd D9cOD2HdzxycpHGi6ZKgzIVkYUvTCe0cxDUEbkPt3fo5vYqCEC2eYriE7IMWz/k0Zie1ZSDHo+N4 +87ChoSYeFn55gtRVJyAmJnZfB+qgDXYWlWKA4UKhRfgEkzPVo5pwuyrXBxiRiRY6d4C2hio+eo/ o7TRJkREiu+spdmJwmKG1jtRHVuDFk+u0oapDUWv97gYpVOPi8XfZEo37+aKIuNK+7tirLA66jU8 lyweNABmuV334FTuNEQdk31lDh4AEt6L1ij2FoohyXoZqAmmSWP2XxJvbzQxCzJxU2UmfBpUfEe3 lBWvE9Z93lhiFueWrxsLOi/iz2h23qNj1TobpeNllUGWC2qmaAoajw7Oo96diKaHpXe6v9ngBzNg M4t4f4i8JarowzoVi4S6IOCIDe2tqUJFePTIeY9MMQ5KqlYxWMpCtz0KkEW7ualDlnfkPXuiELlT 31EAw8KGoLUB4QbH2ilgdiRAYX7eY2TUzLhYE7HiM12seNsnLUslNUkjn2UjF6NO5R1e02k/geDW q77PDwr2cPJmL5CDYAVtN9byamr188YPU+yS9q/5KdAle+YnjJMplbUs8IMJluedw4PBRdE4FgOj OFkGPbOTzMvBK+4FsJYih8JzxfDEp9tIE8MPGL57rQ+96fvh02TlzQKMBsU8PTeaNJ3/3J83atiT t95IGTFLyfvurihUnpNoseo2PbMKk3BWQLcqV2N+xMUTxcryUKMzpg23zX7inCZZioxm8qTNWqVc PW3Q5m0hDyr/vtHCtEAJe4eIuQ+qc7Ukh7ByfuLPGyvMTpHxnWJhbzGC1+y8h8sgGZCIve1eX51B 8oZbRtqmvlnpq8eLPQqYR7VCTvLBq4BQTM9DwsiD/z/pl2KHEKVZr+x4ZyrxxgizuE6Gsap1Vat1 rX6CqSViIbRfooh5p19lC0Sp1DjQ9EQhM8oTLXU7es7+xJFAW12mw9JuLdtU2d/4YLZ6eOaAZmeD TU+ixCEaI4D5NCQSU0WdylY4LF2u7ouYl1HMjIwV0XduPqgUeLJaxcwbbeMoaBbo1qcHbsJnS/rq eSOD6V4fdIFY01A+PTVr1BSzkD1XBO3gUQuMYuahbMt8D/oTM59DZUF/nxfXCS8rayrnbwPkLZGZ kh6Gir1pcDA3ZqCL1UAOXDzrJINEOpxTM3/eiGC2t/ZXzEy7dYEN+Z1RzJxJ4EnVg0LKVFGddBbH GtZl9Pg3FthdgpAOoHElB88ozlKaaQuOiT4fCxcDrQLA6MoPreucKWdvGlXYKWWSVvWB5/J5u8Rz +azH+XnjgP2fa6tYRTDvu7XeKGBar+TLkuRB9TLpCIDetK2YkekKp2vrjQFmRTeeyMTianBszzlv EfnQu7GU83W0gYKyBlJ10h/2U8WYetco7GlEF9Lccru2FY7NxowJqMXPG//LgmY/mXmDoxaCZqTN Txgzs/rFPjJ0kY2gsqFPyvIFIDB1NoMYvtG/9OUMhSexXRrs6MmICadafGe1yDvqbK7EejKCcOj2 Gmals1H8Rv/SlyA43WSo2aCw8Hz6FKon8+moovxdNx2NvsN3/URRM0i0qCgZ2nkCAiw8OBMnsOJ3 K9a9eqN+WY9G08M2OgePekCQGkX5Otg1rckktJLiOMHmfJi6SW8azI5g4EQ5ahDmqaclTsp5z88b 8UtX673XxWSGo+/yVsQb78vKyozJmmdcuXjUs921eghOh9mJysqKeqaQ8BxuNePEzLprcE1BUYll FpBlKiAT9INw0+qlNw2iHmr//lcDHZZCsss4H/9543zZ8r73Fl8N3VgqYGl63kNmqVIiIc1WJXS7 sNmaS3FNVvk4Pe8hMxITVU5p88TBLy4gVgbv1Ir7HY1mHM2Uv5znP/AjuCoHo543xpcVaaVRtu6g snuHcCuDwrQ/b4Sv2+LV9OA5kAiKjKs5O2HIXJF4eCOroWFptbBsCUXOjwzXG99Lv6yhKOsd/OgB MiwBUoCW3jmoK5EaY+Euo7xU+RIt2XrVYHqm8ISMDTkoo4AGEavGDdPzHjOjbFO+ox4aQGbPKN7Y Xtpb9PIQHY5Ct0vR87kkcZJJ3hbhPw/m95AZchzcVBJxnX141NPRDJpkLaH6DtwXU7LemI7ip/5C p7Cd9cb2spC5E9pELQUO4qFAi10nT+6fN7LXzZy+ZieZp6/NThQyE7wzRzL1Lf6oPHk4tdxaK7s5 4RvV67uYIRc+Dr52ztUwoNEtU91zOjQhDgkrOP8hJxqdywjNPm9ML9taVAHE3reBs9OhwygeU16f N6KXdaf6rz4ogJ7JZ+eN56VYiQ5qxRwy4Jrh1o3L2n27UVyFPeKwysx8YpVn8HQLe7UseeqiM7fV GGQVge2MeSth5Pp/3lhe1peiOaGQusmRuic6y5MYGFiVfN5IXhYxf9/puFczfeU1OyHuAsrIEEv/ MXNCM1qBMVmyZuiiLAKnJy4zsyU+2c9bAharEnaW/oneN2G6Z27ONuX1j98SyTk1svqQgVrVm0az 05Skdx8E+zprlTMFpNrnjeClvzN5kdlAcMiN6s0o3hheVj9ZvA9Nsz6xZ4f5AcDf5mfI2+hsrjeG lzXWcGGtxOotB5uf1oBv7dXQFwWobDaUcUdj6YrMLYc5xEqfN36XJVxWAis+cPlAOlXmMmeGP2/s LjuYvyuFJAy0W0h9I3dpejb7/L3fBlezKvycfvRQQZ2z8x4xu5LUEh6eg2+ufSK5WqQkVXE6dM1O IdV9fltb0vj480btsqa0EJWEnlZ4sPGPOpmFrK5RnSqfN2aXfsD9na3TH27Vu7vCiLlSaasZS3ls b42mNaqhMEA7YJPijdZlvdHGXcWgh4OmB2zAjI/X9GSQ0hk9ni33A6DWLWTwrvu8MbqsZ0zF+j2H D8LCgUxn3c75eSN02db6vrRYfacunqbmPVxWlHV+jGGX1qrJURhtmZRdogaAlk5UYk4CGYiLxME7 FDBJ3hRZBtGkwQOUZTDgSlNBve1pb1GR/Y3NpZtxiWcypw+607vdYKjMft7IXFoDrMLc5ii7Hf1u rChcRpaIDFSaWsDsZyExznptRMZBBpPyyJ0fE+0stf9a9sEvdbCTEt3tT8hz4jhqoKM5itYxCbiP 0h/iZ37JX3UwTLgPOpnPLCufOIvz80bmsoXwDVQh9lNgU85PFDATq9eXobyg0Oa3uvilnQptVsp4 o3J9XVtjyPhzMKGZrBKezPTEf40MnHOw9soDnK0++i9SJMFTdRJv36hcFwSAChgxolX+TJifE89L l7efy/bzxuSyG3p9zQ+2duF7aX5CUAaA1f3aebdqN/xkPCvlYwT6mp+wyCyoqVQSOPjyKelEp+S2 A8kzkFoTiYsUAvLM46mEEZX9eWNxfVV6FgTDzgC4PIMdUKgWHYBRz+fzUT7q6wfvxupCfqLCKGbG dVAXf3GrhTXv4NhdDzrp8rgnKjNPIXnEc5sPz+1MfIP0ZCEn4GzaLlAUeFDAmSCAuAobqP3yO4IW DiHKiToSGrhMN+DckoBa+/PG39IbZl8+PKoQXVAFT+jBKGjGwoF2r1mEFQrLQi2qkRcpcQQA5yqm 5429dau4WDiK+cZ1mGvnF0ILiiwbGLdn8XFrZqJ3AhnQirxJQST3G3lLJwF9Mhrt7jDI3RIlQwUS 56ibnzfulm3OG/Zw1QE9BW0Rm58QnAEJTXBfKdcv2wyZZUwU6FEKm0g0Gsrwb9St29sF5aXewfuj KUEpahL3dY6J0cxvhZnW+WcWmq0B2OxN/7jbcb5vH3g4n9xH0o71RN+fN+KWDoBfCWkVwPfOThg0 TzoZoVBLWG7PVmg+3z+NK0FkPmcnqjM3Y7Sxu8fBIWFznnevDHtyRy+K11uGNBdJJ7hfDZc7kCd8 3jhb+vmpxXauqeEDZ6fBWUJwi7E+b4wtg+h8X126LbZXwt4IWxYUFpYHdSDjarFiRqUQL8VFr7Hu G13rpnsoge3hg2dc8CPcWycPsN1zE5qROm+ODdz4lfBF9+jzRte6VamzIyWVna9U9kpTrVIAAz5v bC093X6HzCe8omqzZicKmSVxvEeyShjrb4RmSA+yUxtqWaHwjaz1dbNPYebmg5lrM8PIIPUhgTHk qpKMxEEA/HiTZwBvLhQIPm9cLctIcaNnlXyyJ70nBj1nLkXV1gmb36haWnrpuxJGAATfStMTVZkn 65ljqBV6MorUjKA9qCNKgjZ9z7i3wrBZpbDJk4eDw8LQRwdKl0KHvUlZ8lz0mwE59HOvDRZxPW80 ra/Z6ekZuHhymUWk2r7H542lZcns9+wAep5pJaLZifHLpCION58Z1uualcxhFpnLctR7GDRzw6xM tP+63PtzqePts6ljwwcjVQloQ4CVOMRyt5Yu9TeKln0Hu6NNyLlm0HoQmuFIhRih8Olg7dTv2dm4 O9fFZbzxs6zjjFnvw0vw0zo5k35YAuoSgcPZiWNmzg6ZIWM5QxGzA3RuRch2ZqfAIUYNVKbOsN0D kMLPna4XjU5lrJkTpiwfbOkw8T9/XO/r80bNmhcb/tVY39/tmxYFzJDAoYiOJmepfgEbGJL0KD9f 4Xap2QlxzJTrI7vABo+YQeJvipjPPiow1mWdsFJyRqGHq/YhLMV3BFc6z+OEVpENjHw3REt0Ja+K p4OAcH9PD1su7dKzWhgvnx/vHMbb6mA4SK0Oli3zyklukpyeqMicGCiPpQ77uvnoCZZBJYbSLLUz hGGGOL/ID0NOeFYHo/NVi+JlqYqgG+SDVk89y5MHz058Omit/07XsbXqTSd6GC8X0o+GrR6IMRrc cjHFKKQYW2u0v4fLEqws50jnjbTTFTE+Jw7Sde4HaK8AhypUFGoWoLKBf+LhMutgPaT20ey8URJP g6qE0IxWs7xXPB1hdfOvxYNebfFjuUfRMgq0QMYZQ2Ckuq0MRglPlsFmMXfCHsEyxtaVvrcPVgY7 Z+mJSJLJZTQgIyUrimo+ruK5biVsEKvboyIzhWfX3L36wNkBh5oRVq0nmehRtJzKd6oO6xOiXzQ5 cYWZKgyGVKmTvARkon0XrzCn4dFyjyrMqvSMISTzeJDM0E5Fek2u1jkiiJGkp9yJddg+Q2jtrNli 7xpVeijDzx4npIuB5vhhY30RdPODHPjTQ47f/IVGxf1T2eTSDIUsv0p6AN1RAA7bU2ziJfgDjuZM hBIhYT2KmMW5HtWIW9fd8gSTJ/qDQ44oJp0yE5ihTg1FAlEeL7Bk7xpEPbzXqeVhgw6f3s1g6GRx eDo4m5MfPjxopMLvZ3OPuH6D+gaT2k5ssnfJ95yfidIY5UfEciajPYyYDXKg7mh6uqMZwk84jpiM wm6nCbYChUfkO7Tes0JztTf9q7Vep0phiJiHlk+VOu854QqeDmZnfh8+CExrvpXUHkbMYkIa8+bM U2/Ouc7L0KiUf+XshHQ/VQr7WD5cJPyG63AhVndC3ZEwVID7T0aYKJLihR5yxvAdwb2uEliSQJ83 cPbJ+s2koEy+YbC51q86Ku6sccOeHtaZ2TsWUx+7UQ66GbIGlbQkbm6j7PcYySz+hFDu66Gkg9wE iInuidZPGsE6KsptEKH92V/SGJRz7lGVWXWe1AjL4KBCxol7hCfsY+LpCBHmRw95AkgLYfdks/Me MSNVh2gXLTHotwNcAPKuVYhxRtSDOliSC2GPQua9VYUv2Qc/eaAbUhjjAG55EiNQkGCbypQdyJpr 38CUGN8RTA/5E42y0Br4HSm3WtiEweGPx6Nk/TujULV7XCZthGVGEeL8d7lSZFJQ2ZuAbEil7j4K PUlVwh7hMqSJASMLDRR3ITU9g1Gy2f6D3SMscH+obHhmhE4ULMNNJ2BXfEcQ9vDIaQL1NAf1wOla vmilt42no9WzvlYPYEdY2z47YczcSPQlHYl606ZAM4u6FQvcw2k11B6VmJdqy0mFnnQLPW2AXVy2 IQ8QKUvTgHUzYDt3uc1RVuDwHUE2ymmhi4oNykYhuMibaKSGpyOq8a+wJ+E1oKdgXOMoZiYirLvw Bbi1zQFzpVuLIm9L1kdUYhY3aXXGPRy8dwwXEKUk4JikVmwXDuYq1Ne+NVTaWowoZqYm0Nr0j9ag xQMYnjlZZTwdxMz91/QAGNlvA2dEMTMY9BATXCaI0a0VePKJQktPADOmF3pGWGLeQluqTZovF/tc W2d2lmGeJloscvqCiUaBxETv5Um4tl71L/qfTLU1CKt7rj/78+bG00GJef9qH1PwcN/FE2KZ4aGb 0pKS09nQTdHhXJVYHvzGcFA0pnpUY87KuGRbuQkr+0FlcKQMb1KyrnBCtyZD4tlF3sKmurf6We8D XxGgLSW3zDsQg/UnaJKFv+4s1I6n/ycGDmpXeXjEPEJYBn2HJOLEf+omVlSbFVHPpZlNF2NEAfPK 0rkgMXQ1MmIG09EzL2CrsJYBwwYoOKEKjWJCIej7udQnJidEZZB5U3U6Vy8SIvWZKoOdhAJP/+vg 4e+GNV4vkX9E4XKnFeNo1c6dvqu1RpsJzZ0gxe08RxQuS1uH2nQ22MYqCxi/RqDuSa9PZk28PBtU hTbaqMRfpG7Vq/7ROn6kdjlL2FoNJFsGwWe68Xi0eHxrSVwNJbjhQc8IA2aUeEVHI0EpOdgSHveC wcP+xiLmEUXMy5DM0hBJ+ckneocXAYV2IXzVdW/B5xZQ/fpDxV3jjmIV4DuCvbUZE9KWQ4MaFCul KuHGExOOCMn8nDxkURCleyPmEQKZJ+pflG2hbvwaxVgCOUk07cSEJKzw5ImQzBIOWSgU2eDr52yZ QSYipNLmLuycQAYrIxwEGD1f1RkCvUeokoH6/4kwqGvJQbgeyBbh3monc8HTQdCzPBmVbkT+IZ3O picqMqOUDStqkSjQ5/Kgh5QeiO2dkMjP5ShinltqRT6wnSKSwElMZpHL0AmkWhWHAq1qdBZ+GHQZ qR+nJb4jCnqE0eX5zEF99VKzksm1Np6OKBTfqTqFGsvFeY8oYIbdIUASRqHo/FbCVqRHg2QU5oM6 mMOAWT2ZnrIPThKQwq4MhhYi/63ZIY0G6SXsWWx6WN3ClwQRMxbPya40oELNEyNjdUu55CQIC88H 2frV5pEHE9bg8oxihDEzcB6z0e4E/zTKcqR3M2uYhCaJHT5xnVnOMLX74EEzuk97kOBWcp1TMs6F /00KKxRK60rGOme961/t0TRocJqGGZyCCTiIDz6XfcbTAe7p9v94UclFxbfXDAvNIArkbpLnDb5G ykjrpjUumeudGITEj4nwqOJFju6DH84L8s6MxlCH35Bo/CEzewuL3sYjFE8V9BkCMyo31qaBDgee PntWetkBbNzwdLB8bsqF6WE/PF09sBlFzYTCj0QZeBIAccIAeZBB1RMuA3X4irt9hgRAcZMYSWq4 lcIGiGsXLmOcAJSzQ+pxxXnC2MKpx/amUR2eBfhRpg+anRP3q73ZJp/+1+kjAldDT8xv9hlrZkyW mg00N1OpXgqrJoC+YI/NvTVD/h/31gQy2oaLKTw5VkdKpdPn3OvU2ielEPFSYx1e5FGy+uc/Cs1g 2NugakZh3Mx8ffLxaHpuLUwSEpSD9qRiRmEzTfd6WU7hKtU1q2UACwoXuLHSeArrzIIeyICbw4Ue 7AQdL8pXngxjTtl4w2qLptz0sbU+BRYEvuMvyGWirJIGHj0ARHKuzv1e8HSk0PitZQSQqTl0c3ai uBlim63knQ2ZIVnmBRualRyZISYFlk/I/+OWma3cwaanFNJGMy2XoE5TiT5sKA0XUBnGl20wiHH4 jkhVjqqe/2VlunJltaahyTWjsLm17zpzR5+WhXlNTxg2IyRb8lRiCNLk8HquMBos4uYChMBO5giZ ITkj4Mh8uLXCSQN5Rj77LEQa3w26vxZac49rBQNlCX5HcDLLBnebq5CLQpyolW7ZP4BO4+l/FcM4 PYgq5oXCzwiaMQhdo3Kt6cGbi2mhQCChGWztcfFEhebF+ujsrfrgs9Mn9PFzNx4FVOEI66GGd9s/ PT+LZ9ub/tUhrfTE0+DFsEnJMBTb8HSQc92jh0kFfuenDD9D/l+iSGM2QZGuoiHFCExxbjeC1Hhv hfJyzdhJxQfPKdoADFfVjJOR9qLQcRDumODIfY2WaEwxozKzrOpp9miD1XrqltTT2nw6WDq/aj3U l9vdqxkzgmawULjmtg7OIjwCk7NSytYhrZ1O04kfE6lZsvw+VBEb+6H1QwViUmr/HGqA2jN1RVPq hyJBhbIC8ymFzShobvyTMo3qNYgqMFeRaMEJnvF0lK/7rV6EH0PKdevMM+T/IUXbo1fjKPWm6BmF COdwTVpIcPXEkhlcNjIt4eBoVEgdDHIRIACPFju7YNQeQbX3i4VjUU8UMivqGRS60KC9BeV97q2S C54OYsL+jSgEleLc1b58VhQyw9wFqgTDoN7VjXHymoKHnZOHs3NOnhVhM0wldvJY4WCzgxWfM63Z UNc/97j0VgrufyoOj0eOBqEHviPCPTVhmIsPnB2U+bk52sl28XRAALxYXS6erSDJZyesM7MKv1J1 8ui2mCeRVkfldxbVsHZWiM3o0qRWiT3vR7I7oWVBUUoQj0tfPHkayUxU7Jm32LN4a62wzMz2KEwH fbBiDzWUUQ/ZFU9Hciv91+zQCNRvrRVFzKBIVKAbHJzhNAFqfRo4Y8oahx8TIQp5qU9auprvSlO6 tUASzqIJQMGe7fcOnBs97/CjehUeETS+I6qFUaWH3CINQh6cb2M2WaluGUfM+evaMr+gu3iigLlT wrF3BYKtiMqPWli1MyhnSchwb4VYZnUnmpA97SJ7GrRE4arH5jpUwpJs3sE0L/AKBf/33upJr/qX jlpXxZCDXVyz8rCFdxyejk6eX2q6CBC/Tp5QhFlyHjkZWJfhCYAZJ8c2Cce5/VxeIf2vs4gxGPoY Sclw8B1ikGjanWy0Q35Z2ShCa0i6tH6z0eVvGtXCmIamnX1gRAglKcnRnG2Lp6OY57ZHVbiYAij6 /EQhM8xCK+td5jqxvU2BhoLOnuJI+BVKZgiTUTRNpT9Q7xNb1kSKeIXI+2wstiaQtRu109NyUGFJ 9AhascwcWUr00NLACdpn67LVft544OkAm7G/+8cEIrXitbAVq8xR66kbUWD25hy3nZZ1cXDBaHrC kJmGLmOXOzg2AxpDxIoDPdVnkxt3AbyqQipCvP4h8EHWm0a4HoGkRtGQrRNcW6VIzE9PqeHx4Ob6 Ra4Fc/5cnI65XCEFsA0yBSRI2MgNJkcJ2nmoL1MzA3NN4eEQzywljKGYZqybruPa6n1KfBmVwy6O UsMZhABdqhTjUVxZUdTMytVJT0r2gYfPHObfilIJnn7fXk+lkOc48ud189EVA5rp+2vo3MrFL7Un SlicU3oLT8HV8zc2Y6ipN0p+wp7dJnaXSs3nfdQgLSz2APmdUXvzFilNtlcEaOb2wLHTfRC0p8xp 07Mqng7667/AGa3SlcirGSsKmjfywSlOCcF96cLCnKO00EZV3BPGzDIDEoOrfzG4YLvSuNvO2dOg tssWaQITpZ2I+gd1VY97xtCb/oE+2JnKKRq81tOFuD3/Ek9Hi+dXToFjj1Jlmp4dBc3IJmpjk5FN 0mKZxDkwzFMajFYLC3dUZh5ZtOw8ffCj+QTJ51dfBKVieQgY3hgC0IKKCqTlMUfeUdDcOg8dAw48 TonYsyrDp8HHg1pY/a6kyuDsSoXtWJwZmIztUli7m3frKjU7aBcAApufUDZjq4NDAVkOl0txTp/G tniF0OpUclbgVEQfV2r2sNhTKDmF7wiKPU3N0X4HLp/zY0yhAlvjGwY31xVxZAxI5ah+p+cvAiA8 XNSxadnVLgFzLqZxOe7hs6Ow2UqFUuPh4HHhPn8/vbYRF0JhmF3Antkj7UiCL1tA8sM7CptVCxuT ORcH9UhLFVgG7qR4OihotO/VMxTG++xEUXOijhrKTNxbkwRppFyk5+noYe7PtROiMxgKz0J6JAcv 9pxMoYzMl4F8VZsycYeVXWEpDOQHI+Jse9O/JEVql5RjdxU+kAGVbve08XRQR62/VApZ5X7WTohm noRmOUtp0FmaLCUqActOALElbvUdo5nnewsHLaFCoTOh5kAcINZy0iEDDdjrrInmLL7iT+L6kJLj cKj32WJNeiknXcfTkU7Yd5GZYsH1ZhQ7FGZuFFBcJq27yjCW0klo0o8MBc4J7YYCUcgsF20oWPjg 584Jb8C4YqHwxAx9MWzsKA7SzpYsJWtRDHvVqH/MFkWT+nnrVuqpsLowVYiFpyNRke+QECT2mm4D Z0fYjEQZtZq1nxrb32QpgY8vVj8kowzbs0OVOXEppmyk5lf/D85sDfhm4nUhM8ffjiAH2NXCeBZU N/qyNr1qUEhlJazPeQcey+di2ez/nQC84Ol/0daLaOtIS7IHPTsMmZFwAYpkxGz6MvHkYZuKxGw2 oTk9/wBntJV8sNWDM/kc+0v6qGdr6eJvjK3hHEsTFa6eQudYfEcQEhLS0xT0NA96gEUSWRWQTjwd lcJui4LVHlzE60oz77DQjFy5L0pgFSLDFP5MSQbKNImCc52f8hc6Y1ojp6/bWz9JTToxZSKy5/wh W9DeTq9mFJHWVQoDYpxfEeRbDFM2RWE0qFBYpwzU8fF4OmKZfDMASaxjHK/JiQJmBFN1jmZST9PZ E+f02NkIklDmttmJkBmTaLg5qLrMwe900HnmloJjaykPWbFS8hGV9LEfZE9retUgJKxUO68UpOGg a2v1JUDpOOnWjqrM/RfHjZKS+dYy6Mfxfm9VegqQP4GqGKABKPZgR2zCETKFU8z9MIUxs0pcRZLv 5Tp2nD8bdvUAgGSYfw/IxiClQBUFjXdYSlxsD9sU+JL3GdpZmjRdmjSIYohKHSBD4Sfp52bk8xHy 0lNSpffAhvfbydGCfH2u0WYPlDde7oWkLpQ0oCbYgA5biMHknJ3iuJmTs4n95uDlZohDFDniwEIT Gs2Yo0EBRzTO4NLgZLex7WUjLUfOzqh3UGbR0zTx/JOw8Pmozf4NoKPAUroc9pzeg2c8VokRc5nm kpcl7soyUPYR/DLpYwJos2l8CwLVHwgU5EVO9suyWEZ/MbFtWIiLQX980nlGMA3iU6kzFfyeuuQJ paN4IO/5DRAdtxrI+Hw+gGBeti1zd1RKx3j2WhRB43ao0yFzdRZDOp80clS/x3Jy56DQ+Y/m4jx/ bfCz6CSopdAoG+D4umkPDDNWZNAojpd0cXRLbqwpCqLHNPmw7oP1LaZufcgV8fGIr/19HGHjlnXt //ArRl2vAqAY68zU8umCI5yADiEdSZMlu2YqV8P7HCXrmm4fvGuaYPtiBkIdlGT2V+l6jNzvR2IM jIWo380vCXoX8mFgfVyDCBawGSTBYsNoIIWAjSsqWwwm2n86T2KbpCic5qwz/yp0hua9ynAaVO76 HxGozm/ftNdi1WZeaoZOWF8l+jNFJCVzr62Tq5oMFLYYsC9zPsxS3vn4koibLNSGrKF3cpel3Iwy hWQMj79PUr0KmJSABXGzUFnR5ijW1JgEqlodcXTL6s8dbKyCrcK6juwopp5GEdx3cNTY7FDwkSlg 7w2CjD+0HAC9lOKp7Wo8jmnvGqVk7LvvfQcBW2aXqGGZW+/4LwolD2z8EvVxrEhRVI12EBw23e/E FcRODtObEQpq3n4ahYVo8ZObKq7tsepqYOBO6hnUBB/IqUI0gwkqH1ZH/hSoletdI1AmGQXwWuOQ 7VQ5GRZb2v08w8ejNuEv8QjS5L/O6yiwRo8EK9aazIBkaYbmsBAbkpHtTlGkrtGyeInjDp63bpbn k6CHszSxmRp6/QUQ35rT0wqb9rIRtg5p7iZcXYOK9SdskaHUaJOPB/vsv0gplZg2m6IQ+HxmtmV0 0oiuS/SPoBDvMKfS8yxVVDRFf1ekhyTXxpfk2p6IGtSJP9sNxkLsFq5C2kWykmJlclb9ZYO6EI+i TjCaBu2zXM0tcDB6DK1P2jdGiib15Sb3OTQMnGxnkhKifbatZN+YRuDWn0yCOUOBYSBufYkaruqD LaJeMkyqGGBDtB/RMLvNiOOQIE9qlqbHviIHjoGidwCXkLoPyl+RD/KPhg54Di0DCaj7OonGD9X2 fIai8Bq0i0o8qSWw5Vpxstp4wmuCzGSKF5gGSuIfTFyybTnYDJ1EvoN0IsrpOl8nLxBys+H7Cxby r358jk0DAXpYVIC1QWHRWXyqLZ64i49HsqrfZzVkfUu/SWwObAPx2CIE2rPYZRsOGI/pWex2LZIc Ggeqq7oqDfKWu9ZR0HlkeHaxLwYx8CJxUfSX4awpfTGDaa5kL/sH4mWnqiC7Nh3Wa0EwSgLxdfDx qHb/u62KI/+iyXJgHXj+NDpb1yREeIPpqnobPXctpxPPSBCS+yyMrkXrzlJEyhfoe2KJfA6jqeo9 BFE7ORidJVHMfXukZwcbzzlwD8Q+w9xMMZenM5fnak1ltDPTlY9HjflfqteoU9Xx7LNQpA4hiarK 7K0yTeJJlM1TEABd938L/AOhzSk8UGk+3Nj6LKBNYTmQtQDKItZXeS/+NYLS69fQ7GWDYhETD3J2 bNBRtKVShPO+8/GgvXprafov03j5esAFFoJoq1OWl2FjIfZFiI5ZursCj1TuUfQPP5RVig++z85v cOLrwe48gr3OyWwZhxbAWesLLZ78XSMCs0jHEoN0NTy4hilbOwlJ4eORAu2vghFaHKjJ+AyFRMJO sbpp8I6hoGgZOki4xLqrL6IQ38E8v2u39fEVNp75AmhE2huoEi7J2mDx0iYdqKZrTTDtZf8gHPxX UAQqa1td2UfZfD7S83M2GGNE4rfmvnMUhdZQFWqsQpAFzwOULHj4ZHlRjQRbueBGxigSjpjpGW7D YyKiEIYK6oeSRKy8SRHYN3JmuEt4uvBLomXEHcY6mAZVZc+vIqPXmfR4hKu/tRCRM+l9+vgEh8E1 tcd4EP6HAqjDGJfnV+rM+feiO7KmKCxbm6SYVFd7u4n+icsBn82GEwLykcUQ8oQ7/3W5wTV1dvgt 73Mk0iVONB8EZuijqPJ8Ijg+HuQf9zDiviTWoz6RY1i7pthyS9tz2FGspJYszN4wQfOtFsbW5j4k 1cxy0R5QU0drqKuyj7IZW9YNgGW2zQpFgMy6Ktu7Bo0hgedmaz6IGJYTJfKx0QYf/1f6wYoaSnvr Qs1y6CuICsb5MZfb29MyAjdaanbpowvjMxQaCy7Wyc5cNh+86Lhg+jqEAx6ESZPcU7EwyVvoV8FO YLMcOguCCYBDSDlsL442m+c84x+IggKf/19E7LDJy+OUkkNzQTQh0YmiIgli8i1T3HOTTondUJHD z6LQXnAMrSICzjh4a/pcatl2VOp7gVOqDgjwgnjN5e2zTOV7fkkUOgrukacPQn2A1JBYai2Tj/8r /7CUd/+eo1CWg57GbDBRRZN6l5gjiGeaeyeK0T5HYXjNg3qU1ny4BbUN59qWdBih4cjidVZBDQt2 WOx4EolkLxsV1Ni1/T+GKRlotq7I6JwZfD5qFH0Hj42AsvTMUaj/THtcKj/8h/1Gr+93ulX8J1Gc 405RCJpmQY2CJDZ4k7qBZpZUmJ175yorNCBOIaf0M/YtqEHpTu8aNPGLFIGWXIQJEwQueKFix52W 9Y6RTq2Xi4okFsj+eKYo9E1B8DjWct8Udaf7efO+hzViqQEgc/cQOV1EE+vbh1tzRMl6L2UghXkG oqemTuySALQ1qilLlmOvwSzgmSTEszcb01kKrKhBuYqPR6J2vzTEcQmXJ48N3QYbe321WwdkXX8H JKNWUUN0Y0la6De4zAxNxI1+iRvnJN3gN6ssm0fp5kFdoNKV8M80JmqPJmIODQcZl50LrHUfjPUD y1DG10uP/0vtmCEQQOypPIsoiq9xGjTSfklOAIZRtKgq7XX0dcscxe+0WNyO/fxV7+D9/LmAXNzi tlQz3j3HFWtZHWJEtwFCAGwOPQeH4GegcNpgDf1BENJZRGvw8SC6vmAivhrClPJ0Y0PXwU4l+LyL YWC7y2UXYnvYRsMCsTX0tyL0rM/gwTW4VpM0P3hetCm3HgYX54NwZvoEUYWN3xFMEEuNzcweanIT jJJ2M4XwxscjjLAf1UJmIdx/jMxD30HIY50k1v2b+qwOCGkj2zmUbz0tMB6EQCehILqqOPgK6lg1 xCmc8Bd2LRIUX+eghDIieXUCW6lUFPoOYidCNLJMH2x+llSmygmP+Pj/Iq1ZEeCW/kSNUVydsmpo yappPAhYTfvChJDdpAmKqYcE31N7TIOHjaA1djp9nWU0UHlg6wPQz0ITDEAzp+4ytLj5JUHYmBRR qw/r3d5zXPalbn6qmY9HpaLvalqeNG6+B3VoPyjh7J6qUaToWYpTqBFHSyeVE7h4ZB0aEA6BYE1p a/Qng0WDGgKznKITjDZmKb0Tb3B+yZmfTnW3d42CRsHYhElr08m9gCNZHXry8Siu/m4ONeme3c5H aEG4Oy/7lgzvkJqxgKArpuRss4bGUyiwIEQazFIsmPo2XJ4LtDOtmHYS+yrQ3sRNBvPPH6p3GPt5 dHvXiCfFFH/St7Q5C3TOTa9FJhN6x0hx6l72VZW3H5pM+BSFeGri5po5W+FScKKUYCJADMPz2NZQ rBHNFD8xSeNwO4wpnTmigclZM4BIslSEVKURlpoebNGkEGkOfQhZxkWNqPugsHrgshRsJg0+H0E/ f51FUKpZX8so5CLiA3sZ1oUdNFnEZdbS1vmEv3D6MgrDamGKZio+eOaxAOlCRxfKQZA2JCQiFxoL n7mEToUto52bvWuw0RL5UktTtO4UzdxlT3nCO71k1Mv3nSb0J7ovT+YR2xE2YELUQCMmhEowiIik bwJ47PmwexbF4Gr2GAkK1nBZL2uPLb5mBhB3s5NUKDzDz6O2m3Gm8rK3/UMDBlJ63QfhHUaqS/IB e/DxiLL5rfgLW6Dy6ErmwJcQP80iQk24tDpZKMWVv6j4J/U7kpx4pYXGhDZHWYYH+TqDNWavjXSV SsUNAflRmodsC1Txi+u7TTuwQ8VoOkGc/bR8EHHqxFZFuBkUQgJrQhSu29cUnSWwntwsdCYU9op0 SZatV3deWSm6/feqxeFpgTMhjjQuntnvcHOzEy7VKXcnmF1VHuyAjQPXOnn1ukCXammhM6EI9ZXH rgb1qU8EOWXvxJMoRFlfdNpSYYDCPXeGYtVohrW3ltar19KSU+pb2x5Wx+aEalBn5R35yTugLogC rcz3zt8wSX0FNoThPOrkN25My9412GQ8rNcUvXV6wQ5VP7IMkHnoJYNd9uU6nJ03/lxoIdK6Edez BZGtC4I1sjGihTCBV5VFIO2yMLIWyFp62RwuzaPtNbIu/Y5uARu2TYk+mKj9qjIQpcMviS59otME J84XTtzPklzSZUenOjAoRG73axURAbavQWoOLQrVmYFKX6XtAWcBp3UCgqUxxadKvMCyoUehBddZ LnP54SiCGg0XvyywbCmKD841hB3eBMi6seP2t42OIsHSdvJBBcdRlnzm0p58PIiMfumzFwouPzda 7FNICq5gVjR8Mle1SViLVIXEC8ZWC3wKQath0FjkfV4e7/MTRRS0d5XBngxkJbPDwlkwKSvmKewg gzwHRoWWQGwRzzVYjt+3OfGtxcf/tdN4dSGSgd+kz1BYta7SuDVOwyAsg94rlSKLhIRgCXCjhVaF S0VrdOdt8DoR5DxrEyWm7tqFO+6bGFVutOoZ2lBYFHgVmpzrSaqoestBzfy1t6DAJ1Hi48F11r+b Q6TI1OsukkO3QjA2K4U35PyU7OCGMK1zqjJx1pqiWORDzAaJWYwrtd2wAsGcyLJ+ynsqDmdVrlKg anvkiOKjviTCO5D5UajKiRL3D5MG1GYz1XTGufn5fBBct+9uPsKNki8xJgeehbDbBcegmT4D8tlt xCrZiUHoo1F3grd+7FooD5+pSsj8qoTsAaGQJN3JfGJiVWThyFdQNmpXgok0QH5HcBKxxTgSG2gc dFy3tMSrO3uAj0fgtF/pB26W/oRFgW8hHFOZq5g7KsS31bOejSHDf8hn36atmP/wLRQ+lifRWPck ggUxFPdEHgI0gTKxowH7XMD/Kl9OGm3bu/4VWYMK6YMqIctccaDJwcejNXRniLOBjjFa6j5FUWjN c7IRLk+5D9orInKsLKpS7qMPzz4C70J0YYnKkw9Uf3yg2jmF2qhDxNdzbi+pu0OU8IeKIrPeRJ/O gPySiEJEL5aZpg9CpJ8Uh62Mek4kPv5+WD928SrIIsiqzzYL3Vhwm6MpatcZ+m7K84sJFZz0qnnF OjAwzNd2tzYfPCrC/2HkV/hFNJGNzu1Pzm3/WeN28odoDYGDoaln70ycpQbBZJHg6Ibai49HsKL6 a4YKnXovlDg0MTQjutmMWi66IhnbvONY1R/TI8fAxhAnGh36mgB87QHwIVGY/ARsNLTQpiiwnM2z yRC1OtqB1sQ5NDJMmXWioqp1SS7AfdIOFeXn2nw8Kut/Ky1SWpLeV5yiz2tMlDVDZysQv0dVnZRt EXHhMf1YzWKi14gog2HIUGhR7mytK3c2zjl0bhgY40DY4gSyWyxZ+k8uuoyRtCT1drzoazyUxVCh SF7ygZNzTuylMtEZP6/RUEYTVfFivdFQobQANa1fYyHMDPSLs2f0LdHQA5wYKsEx6wDfw+SqXkOh 7IIxq6ve2B9I2vmfk1F3ehiWk78MOY6wfA3s7A9MUWxqDIT+OjXodiwKl9bigwIh6uUr4zjB9OvU IJ7ZNjVcIUNoJJua1yAoZ0pwQSFM7fqWUjI/jQ24XpafhkqMZ2peQ6Ds8I+1qMbAwVZNBq9gUyn7 hFv7rP9l0g7EyWarwZqhdeeLvq8aHIkQ8KIVNQetmvNDDNlA7fZ5jX4wrzvZ1Ki0CMvfaXP1eY19 sm5L0F6WFV/lU4P9JOEzyTBZ8fU18MmomnBK5NrMwY+btQk705EMox5263tj15FQ3Hbl/tkke417 MlS4BD9TTQg8R0IQFo530sTOAZ8/r2FPFrjepkYVgJM6sVxGr81oakCmbIxS1B/bFjoX4AWNMO08 vNeQJ8sKFx72Wjt7PDHhmY8T8u8uwmsjXZ18z4UMsQF94EYaFJH9vAY8OGoEFSq7+qC/8RynVb2f fqLm13gnSzbbpoYTge0stwjMzWu0g23Ivot3xE5slYyjOKsJcAPR5UbWr8FOhgw6M9MsRL7oI8q7 ElDsg52x0s4eor83jEE3CCfoCAy7pkqi3e5rqHNedJHfmtNuPugiP9Osi7z0/XkNdLI8rp4dBRV7 imhoal7DnKx7rRUqa/0HeQXlUv9DKjr/qbEzD2ETmkREU9Nk2sN2FwdP2ilWOlTXAPWqscjYKXeB hQmFJw+VqQ7zGuNgSxH+MjtTdg4qQc8ptBDMwz6vEQ5OqpW/zmFQR0uZfg6/hjc4h+kZlq4QsCSG IEtVDAMDWIbFyK+xDY5hTgkOFh/sGEaxEL20pcsbH69MlLENdRse/gbu/M9rZIPJJ0qxsyaqQa3C 1dS9Lag8v8Y1fL/8fQyjmQHhDM3Ma8kwS6gHujDXRLZ5L16i0jSRFVAYH/J+DJvHnJQm16M0eS65 83Od6UDnouze9iQ+kbbajZchEg3LQIlq/7yWC7PkMaAOmasPqoQ1A3AWCnEGU0OA9a8bqvTlR81r pTDLxQolzguXMo129OPnrWAM1/WIzuGu6hcBwBxsbnZH33SQMH4Sq1FlcQnnHkDkqPu+r5WavWlw EMsjrOisKXbW7ATnZ9XAoNUVnTUG/q0GleJLbI/5onAYZTkcNsvoLG07naW5ZI5KF0asi2ZHsshd +UL/IvxAJs3yBXhYUfiyDB5lFQExCj9XtyLrVYOVw1htcCNq4OyQpSSlt8ang101y9f0gIiS873B 3ylj+EVIjJThCkUcLJ8SvZd+Pbu5Lvs7YwyzMxXxMfHkYLNzIsozu5TuAQnhxHZd3NUFCC3iW+Cd rZ1DavHnnTF2T+PeSPnhoG5OPuEUWYcntv+8E8ayVc7u9Eygi4G8tOl5j4vlAAqlAVej2kMn82yl mnX1avR1JMAlmB7pAy7p3K7+uPCdQ+zM+JBsPfhLuxqbg0kNKA/Fd9bS7ESBMcBn6JOmO2jxnPRB OMSGstd7ZAzyQbXZ4X+3L7sPNDtRaFylEGpKMOco8IOnqvuO8nsd1TtdwaFs1ff/np1zh58gZ4uy CoxYZvlwiOmfJu3Zf8m8vfPEshRDztOLGKCieBhFwSxlXDAt0J6ITp47O8IvTCPQaHbeo2MJ0+K8 kWhHQ5FTRdNW5zIiXSXrQ92JaHoQAp6TnGJPHDyp2g3aj+SFQ22zCzU9NwNUGRVib8sRQpWK8ORh o7STgcdBWdX5KMnYLfTaowBZ5KR78uCmMY0Kzk8UIdOmaBWGfAw7yCkswLBi6RFQXyDcRX3kd4oY DvisGkW6g8eBkPqlyiFSq3MH5C50HRCbXAmIlT0O3HrV9/nZsvUWtWc4tQdpXyGqGBoqn3eCWAYt t39FgvR2a2L2cH7CMBlCYkNE8E6+oRdy1vJOe7/IsShMVvsPRS0fPOQBc6tUFQN7hcQzGQms3isb y8+tPvSm72cP2z/YVtUHnj0w0OTygdz7550blh+PyyoRIjQkS0l3e0WhMn5B9LNMwbXLkk8iMIZe bcMlON+ZYc/NVelmxMFqpRBlOwG75JFPkFklB8eeL/6bP2M+uv6U/30nhmGFslgBqIwPOnyGBFah lzs/77ywrJbAV4o1mPx6HvHOCsuSbjxJJzs2WOUk5kO2Y6WsfzpbYrjv+TsrLLtEDqRqfPA6IMRz z73LYjuoQUu6FUwMAGqHEoVND9XTPu+csGyiEgiOhg9WCKRpFG4jqL9EEfPa31Eh83Joqdn0RCEz qloI0bzetdmkob0udbvomSGFUqyeMGZmLXAXQp85eNyTz98+mFNjc2GnsV1Bk55z0yNtucIv4l5G MfPk6iF9wQYVA+cgqhRlu/V554Nlw3Te6SFFvt0s9J0OxmSLTKPuIB/5FC6eeIbJnNO7We9ssKdS Wnvz4cbMhUZYXRk6/GlJ3sXJXAk/APnRKSpFbxqczOYyJ+V6l3xZCJpJhYV+1uedCvY73ZIAFcSr b/3inQqGSaWwf/W6F8BJVvcqDjvo25Uo3olgWeYSKOqo/pX3NVI7735S9dGZpoOVl7qk8LClkKIT 6+hkuaI3jYrs7Be3Z+DJ3A3BDpzH/LzTwHhxfcc9Wce77613EhgWLJnXiTZqlOwz8PNke5Qww5Sb N4vfOWD5sne2KsX76ihiGujHzIxrbYiTUnocJVNUrn9oaXTLGFPv+lcdI9defFCHBtpYvNhzbZ93 Bhhn1+eHQRLgGPMWMt4JYPk205e1sICP5D+tjvfOlOaCjKbKX+8EMHy5WjQ7Lx9s+cwOexK+DXbX GvJIbatIxOycAOtKc5Ho+3kngGVzbALqfvmgs/l8h0xXTv74eed//S4q/yARBruq3/mJ4uaFusK8 +LnJIj9NV6p5IeQEf27bXmFdWRf1rne4WINcz3mvkvtZnkX1Mrp9U+1istRjbN2kV422F4+dxoyd g2o9OPq3Mvbyeed+ZaHXvoun8PZd3o54p35la6OLfawm8TbWTpZzIYDOzGw5O1FhuQ+l6iypc7gN Cbgb0Xj+xD0VLCfeXMRu5Wa2EG5fvfSmQdyT5OJIXQUOmh1sYVZ7zkR93mlfXN/fNxc9RiYtaTg7 70EzDh9qh1RvSSwrts+eDeGzF0tZnJ33oBkpKTKprZo6h5uSnlOUlzmQ8mNWVRSph1JB997pVnsU 9rxzvtgs6zxO5/aBWwt6O1KoXWl/3ilfWWxkzQ7hzSiGEe2o2Yli5kkPRyHAO8tiMg1bbS71izMA jr543oNmaHRP5KKoENngBeZzcJ2ZToKmAj1HCvPCNsoUmCpfuiVbrxpMz1TTnF3i5mZ2G3Y63Ga1 YXreg2YJ9D/T05nePosnqjETODuzOXoDhCynjElT0Cw+U3PRxHe+F5vnjAorD6Bdb7MPcU6bumzg 0rNZH0TtkP0p/NZfCBV2tN4JX4wKKcSSVCt0RYsTeKusKYGpd75XljPR0z7vrKhtz7je6V68uDAp syc7eXarxhcEJtv2VvW99R4zI+1nIQNHiA02O+mcpnQ9kH8s5PCFNmw8P7oZ0uhcRmz2eed6cW9R AZjwDw2cHbTiiSVtJ9f9vFO9shRYv/J18heTB4XvTC8mwRBK7GaUAUhk8tbNsD4xuEjWJw5jZk0L OkA2eMJ1LtNE4VckXIgvlZolfBTk0su8xbBB4MU7z4udKQVyu/ug2cmTvM9zzI/8ead58V79VWfG h9BmWbMTYi8G0qw+3KRwWR6xLBCivt1yE8d3lhevLXgJp46CqgYvhkEdiT4caCus84/Adg2q+dC2 HqXPPmSkZm8azA4PniLZ3+Kyv7ucQ4xn9Nm+/fNO8eK5/B0yU3SpVm/gvBO8sHZA9dnLhCPBRJSg 1KJysWZnQPKGW+ud4MWDhyeOxMk4+Oyc2OmEzJUhM6qIS0Uzap2gnik2t3zm8Mt83uldTLh08LCY cUlkUBasWxFz5xv+61zW9FA3zg+ed24XjozCkGd4g2uY+d48X+mXenX5yHdql1fCznWFG1mDzU7L Czn6Tu5Gk5e67pgYUU6uweWkqMQ7syubRaXoJRgoiYfV00CEw16eKZfPO7GLxYz5NT0MM1a9eysK mGGoUGF/azTcZIzumdOFYdAaBbfWO6sLP03j1sIit0HTMwEUnBvgC6IG0bPg/YaNC+LYLWRQVufz TujyXItwFx8EgM9pauX0OT/vfC4Gy9+dUfaw0QK2qXkPloVIOhdqM4o7EhdHYWSRBk52Wreh4d7Z XJZG4y9mGYzDA8MYgKkk8kzyiU2E3B1Ya3BKn1/tLYqyv5O5sksj5SZAZTNA5ZyQglXlr9fPO5cL ayB9x4PwRsXu9OmJouWOFhNqdyx+tZKXsMonI8I5Jn0t+uBy6YQ1Zkn9rVV9uC0K4DhSZ1u9g6DD unLDVq5IS3N5tP6wd/glUcSTWXwnGGNcMEZBbZ9NqxOLf965XLYQnvkh+jM/OyuKlyvfLlkL5+Ra BGHwTs/FsV/ZyG7vTK58nXVVgl9COk5WCU8yevK3zHjwzM75S253tCB5LolULmXq5N2+M7kI/5Kb MoVHOxiKbLzsekJu1k4gKf95J3J5lfEpwlOTsPU7QWHEDLxKn0YqOXdMmxYStmQh4Ynalk1QWGVm y/yEw9sHhx6cABEKAiTdwiB1TylIgL2FQHw8lTDaKH3eWVyGpEK1yIazvBkVYseuzoxgnPPy807j YgvIj2ZmVxTYJRtdExTFzJiaCtl3K4YlB/SclPEWw8DC0wxFhWY1H8As9sG7OCf2b5tukidomHMR +zw6QULoBK50RTZQ/eV3BF2cQp7boEACB57PCMv1550j+PPO4OIb/qqFAb6Yuve43glcOIASKmC0 MGMtbLqoVk95uTwC3dExPe8EriyKHlZO3T4496YA7gcAHBrs8FWjHGmD6T1gD3BOum0Kornf+VvZ BNQRd28Ny4qi538oPQH8+vy887e4Px30RIdSGnyRWagJCvEZrGd0qh0WVrM3WYBnutEehEokrGR+ Girx7/StnEx9fUk9Yz0ml30gwi1oQ6GWCvmyYUZ8LPsnlZqtCYhb9PPO3uIGU8Lemw88n8/mmvyL YQX6eSdvsaTw3UOu7HncQvw7dwtLllpaCgw7WTfm7Q0xRyNMUP6JsxNVmpvcq7d0ovajE3UOQDT+ KgvxYNkQeQAcKiblrDLYLBs4l/vl807cyu5eDX68D5ydVoek9yEb/3mnbWUpMmt25AM+QPi/6Nz3 sBlix1jb1Ry9K3l+LGegb/AjhdHmdP93zhZvLx7OyUpi7eIPCjbmlqYf+KOL1qSDJTda2c19DWRR cuN3BHd7VYe0Th9UC4NxJiuF60TN75St/JDaPGpGGTd7zvXO2MqSvmqU+1UtrHlGCp0ir4X1bDnX O2OLGSl7f5UrY9cLz+g9w3wbdVqI+yB/NPlIXM0oUFIJWikpqVCfd8LWBb1XYfk5MIRofVM2BTjD +Xnna2Hp3UIqcxHwcaXopvmJ6syo+1aaWdC9GmmAcbSJcCNHuzBO4OYKQ2cGxJtABQ3ephg1oQ6j 0PmsrCK9caQVGaFzvh6OJMh+3sla2bhEUAq6A1dPhvY2sbd9j887V4vZ06/+OtKclu/eCsEZg93/ 6VX46VZqlaxGQp96deh7DM4YKvPcwQOf1tJuSQp1JwFANkpIFu0l0RIrd2/pWn8nal3oU5MUZHMp SBipFbbXzw3Jp6P+sc+OkJqA9ux7a0VxMx0k+rjEgGVVjAmEuTLS1u7shHHzUBFsDR986ewEfOSg Umaaq1D/HRcbAkV0VeZz8HS9aFDNIAfy/H+yD1o6J5KSu2nv6/NO0CLw6buaAXzXVwOnRTEz3ADO ueka9PhaTc4Skg5V5k4KPGcnxjKrUliWDxdzWRvClKZ8HTaymRfbkDiYTBxNug8/B74juNNJYCs8 QTTgf8ferduftyqeDkLC3zkXglvZnnN6woi5UnXeBA0brMmtFoZfOZGKdOJ3n56ozJzEzzIAC/t4 di4vhj1dvt61Eg+P9p9EeYYc8awWtuxVg2uLlP7JOo0GrZ5esq6tnfh01F2fX9MDLGOpySPmHkbM cjKpyWph3RtcRu8rlA81dZH+HjAL9ntWDUipNniLop6kYVKkAFjvk4BR7XnBQKXAmQnOFh4wsxbW /yb49ULYQHdxDnAnuhpcpVc8HdAn9neZudKStPix3MMyc2I+SDwGDeaWMtOZpuN1h0Lozk+J+lvK I8rywUphKC3DKrwaJWnR02qQ/5WhnjzXrYYxisF3BOmEdEPp6qSBs3MWvsQOaj3ZRI/C5VTz19pB x2ptb6z3uMpMmGWzxvpijI5cdAwjnCAXXcZ/7FGVWcnWCZOaD14MW/tcplXZOmyQqGs3CkKkRiwA EJjOnSWup0fxskBhKbFzl6D3yRrEBi2J/iDjpCOfHjL9bguHVZCMhpeCOU1RSPaD4Dzl+lgQE1MB BbHB7JgFsUo+EbdXFDNPs04RtCc90J51zuLWhEmtWCKNawzCxsgoeAI9jmDUVe1R0FwISp2tZR90 +myC7BnKlE+PSs3jV6m5kAZ58fA9Yvyh71ZHYtLA02eKsHXiwKoO6Yb2itLRHkMzOD2L/FgL8Y0n ugrcCVkQS7i8WVJUuo5Eps/rP0wOBr4juNl5pXfWHs9w7vDB9TNXYhvmrNaCp/91dRG5gjSTxg6a nTBkBrqv7ZydvdWt2FNTzgZJnQQ+YXZizp/wciqq1ifsKY0eu7rY2zrHEQvxFWJiDZbnkzLzXX2K rDcNLnZZXoDEYIPYAimpFnaOWr7hP3fXD8HPkHb2uKeHxeZNr5QqzGXt6mflMztNnsMwKkjG3O9x yCwuRb+Drx0kmZUsmIqtlLXKaiPc+sTI+0shg6LOPSo18xoGvGX7oFIGgD2WrE88HXEp/OZqP2Yj V5JDM/p7yAzA7oRhI24e8JTyGEq8zt2MIgPCnszqFb0IexQzb6PgpOnDVzoKFyG2APukHArDHp7m tAO52g+bpeYelZorg2Z0oH1Qn/zkcpn9wHrmDI//izNqyRkaJndvRYDmzopUJjPAHQpwHk9C/gpL 8dQZ5PRE0Iy+1BqtGrTWCT44SWSv4kCimJHMCIPqQDSkwOq1kwfYXXzHX6Awmn7YoEYFznqeteej 8XSEKbywJxp5aSjkLmuGwsCZP+A2QR4Uw2QJMmkjgn+nW0IzFFWabeVs+n4ut5mk+dceNPMWMAx8 Ci4gRBE4in52uV1SKknjO4KUdLHOw7qNBqWk57Tf8htIDU//a/0ULbuzwdZdQCMKnKXrvlxcpQsR D9yc8gq0KpLL8o2o0sxKKmg41QfHZ5yln+oWID6DFCh4L90qgAYkptoqqXS4GFHgnFlCzVXE7OoI hArWW1M1LOPpIHDuvxqlFJNvHviMKHDGmka9oUgbAzYNBojfNEYp/CPYCTx314gLzeoTKGnYzrTH vbVmZ4eYmGZoiLGlST4gOoYg1dysa+tVg+mReXUluIcDVw/IoPbnzY2noz7yL7LS5j3occ+IMc2A rxT6ww6zZ+TdBQUsBIMAaIB0J8p6VGkmZgdt+caBC3+wx35m56wUpV1nr/emHjsWTAbLtd4+IOR1 Br4iAF1KBkLOzG2aadw58ZI8IiBTgqcjLs4v+Ar26SN1MKKouaEEPqb11qvkPBn3AOIqplLqBvge UdC8cFM+RDeW3obwGScDaLyNsbVKpavg2GzrFIqrPxf7xOSE8AyiVhrNWTQIvHKuEPb0YVqDp/8X sQMKvRHoocmJQmYoxEA6fjpPiQk7zh3CtGgPS4gbz50oZKZ4wYnZtSzIzNC5k9BzhS+8EKk49YlA QP5cCCbAaruAXXvV6ODhiTMkuQt2EAk0q/WpwjbEtPB41OLylEJziTrcuFsrCpoHLJAnk14ylaj7 hy4FXE24yWBlsS0rHVHULCcLEO598JPnhCUnJKvqIZ8oaG1NEIqugMJRk9hYpKgX4zuCvUWrmNwo LcdBbQpoMqjEdeLCEQGaCUP7ytmxrS7HdoSA5vO2LTNHgoA8CtxiCzTWDCi9R5tEnTwRohmJbAH4 aPjg6wfSe70Mgp9ggtq3xCCwFqiZTyETCdAQ7z1CuQxCwxbhNBqMTAHtK4TCJxrC00Hgs767ODRp GrdLMaJKc2NCyHj+P+x2jWU9Llqdgb8+Sevh7ERRsxQZlxgSSzaDmR3AAgnTLGgYynqSfeoVpxkW Gc4EZ/fjtMR3REGPEHN9+cCzZ1fzxjy34MbT/4v4AbVg0fa02QmDZhrppDE8ITXLyonilRJS6DnY wRwGzcKvFKlmlEc1A6KEJUk1I8O7ajMr6+QnMtFDF9+mZ/urBlEzNV9XpWwGUKE/PDEyzEYHdxpU V/F8kLE3j5vZImW348ofjLjYTDAQfoD/0Bl2mbt5b2wqA2VG0S0dPmGx2Zw8SXjj4EFz72BZcoYA qx2TcXVljs8Fw5qqyVnnrHeNmqRYQBnKtjaITpGyRJNOrJDx9P9S0WDiv696xgyrzY22i1vS5yeN XmJHrjZnMameSqs1CtJEUTPT+hPN5OnDvb3gPC5DFgHkKXgE7iR+v/Pl4xGMpxr6DPEZvK7o8meD OlbpZDRcEmeF4elgen5FzYvYqysNNqOomefiILCL5TAoZRCdgcjW0Bm4Byvu9hmWm5PCGVG000PR nrmcIyg1MbkqNxuT9U5fmkI+5+UgF71plJTSq5vC0xrEk1xDSENUIPF0ROQqX1cXrW1797BwRkFz knTZdCIXmyJEzyn3AnyUUrDYWzPmAXJ2CnluHLzgc1ZjqUMMbXTtlxLSzRTtnK+NxXixSMnvn39W m+ld4IMqGoio2PQ6vwUf/xfPzbQ2uLuf5RPFzfSW6326Z0V39FxjXYtNZNhlSe0pLDZTKm4P1TRG fTJ2oDP6tA57q7MnGRFhp1ALL/fbrcBxgu8IJojrpjAd0SA2TplartB1xdMRoeKbylXw+/TLI51R 4IwmFNiRBtCorSoGWkSvG0ADqsdaP1HkLBd3XM8+2PSks7MqgSpQ+qzg1GWWfACyg1D8+LIQRuUG 3xHsLhYMK39yDZqeZn3ZhlbXjOLm1r5bXYwwKKeu6QmLzViOi01nIgupKIGCGFjm5582URRY/lw9 ET7DsbuKm9cTN595q62wNFuhXjs6e10d0riFNt0jXS7X3HrV4GhmFwdOTj5wejKyFOpQnxsTT/+r IoapJMVjXmjYjAAanbVwmmprb9Vbi3cioBy5uHiiarOqYVu1+P1Vi5+oN8OKhLX4Bu183v28hVA7 hIiKLx5q588obFaPix317I112uM1kVbPCzc8HWXs3wCNjN8Z6kE2O1HYDC8MQM68U3EdzJUiImOH C7mS0hkKzRVLKpYPtxaPy5zxzYl6uoy6qZs1xc7GxJjlEh0qZlRrFlcp0R9AgxV7EkM1KFvw6Qj6 9I3todLcfu6tCKCBYg+cHw1VuOljgclZayzrkza5UyR+THDw6MaSKyAH31kjg19RBUo9F5dKhRNC 1QUXdelX7Vy1sBlFzYp2yqbCMAf12E+Oq1rWCZ/xdLR2HL+CdUZI6uh3Z4U0QLzdZg2TtTBG5zJW TNWmZyJ2Y490htoZKsUrZeDgnQqAvnUXQ3gOKe8TXZNA9NBxLOoJ9eZoZTZVip9eil+J3o1YESUX PB2FzN/nMjgVBYAvTc+KQua0lJFqeups2wxyzp3iqEvKeuLgWRFAY0iXpufhg81ObrDRkodYQt1B gn2DXRCkpXk8ujTT3vQvcn+flBnubru3zrFTqaLUWq14+l+6NMyWN4Okq+IY1plZyEzDJMPor2Ts 9TwtI13TwD0rjJhN4fL/ah+c+BvqIjK/RWIKhS/MTqP8Jo7oeYs9i5fWiuXmUBpGz88HK/b0ae5t u+LpYGuVX8Uedm+618JWLMOMOFlZOq1XpkXMo6fuCA1glHjyrBihIbClHUBs0DVV4dkCkubTgOwl c/pJIQsQ0gFI9Co8Imh8R1QLS2/0dUBRGbJUylzGEfP31jLfIA95VsgDbEDNbrMtQztNRcPV5Ue1 CNnddqmvENFMv6QtbjqHi/dOCfaArPacmxyME2ajmEgkqaAB30s96VWjSjPT0EU5vrGsjbagDCRv 6Zw3nv5XNio7HAiWTT+YVyjHTLYS55Fo+M5We4NqjwkdbVrw8VxeIQ9QfSsa72nw2YHZqQzQYSwN UbDCbBRHFYQLgaN3Mg416lcEzuiErvRK1R4O6nBVJCq81VPG01Gd+Xc6wdPH6/AripfpMN+23+rN GcgntcnJTx7g4bi1Yt0MYSn/rypNP1cKlM+I2K0E0THZAirq5C4IX9JyXGHhcsWXRNoHhGVsOSlu k+PbGwo+XDyjDzwdIXsuNozaEOjzU6FN8xMimiuz5Zzs3lokdSFb71Np6flrt1G5VhgwS0hNLCQO HjCzTD7ZHy1nitIml6mhXUEql9j9Q/iDrDcNpqczGJTcXEJtyeSJoFeIPw86/Hg8mJ/cvvIJpE1k Adj0hFTARPtWys4AUEJBEjCVyJUpJp1B+Ebnx0Rnj1Bz+Q43KFzrnIqTZw9ERRsrYR3+kmUxIs23 EsY6/Ipi5jTkB8hCYRtWKDzXXZeVwDkOOp5+310rf1fCyOlYNxtdIRGQlJhu3q31HH8qqU45WjIb TZdssuJSM++jJeWH1R7s0zk4d92SDJtoobIhVpmCQDGIIgTeIqXd9opRzQJoKPzxas+5rzoLC0QJ 4Omgvz6+54fZcHqWT1hoJuY6ZecLrOK7S+cQqdTdEPHrb43mOUbzwVdP6uBs4+iv8LudtMQYEH/9 QR75g2zD454x9KZB3CNJLBm65elCsv1EQJI6PUcEng4u9v0LGgaKS776BzuKmfGXQKDAaKS9FROS JbBEPVLqalPAOqoyy3prNyUWbd2EC4KZ5zxWHRXnUCXLbYBJU9DKBxrcZ4dV5h3FzI304zQsLXWq M86ezP8Diq54PKiEXaqSYhxkXVcxbL8HzQB1ARvWitGQt1SIB8yra7JKWCLvgfMTgjOUTMiofX0Z tQ/INM+uHvKJ/YvwUecHOZsArZi2XTFfXE18R1DqUW90tu6DmhQkKzGWaXzD4GhOv0ikiFrW3Vs7 jJopJk0ZIrZIW7Ye4IY9H0mkaRDQhLNn/yNqThQW5+CBTwXDpKnQjJpzW8KsofrDQnO6jAHpEO8o alYlDILePqhFWltWJQuBz47VM/LX5iIS6+qk7ihoBvf45FnOVDrbyIwoisAZOHm6l3p2CM6oak6U 4oO31xescaup8Z3sYk9V4XEwsxAGAoSRcVgI21HMTCYWELLTB8XMWXLSP7Cdw9MRneIXJB4tJbTF bXZiQDO1M660SKkmLZIZs5OpRKAjbvUdkwAlFDaLDx4VQi+KFUf0j9vZUuyEDRbrAV0C2tscNlEW xVcElxaVnhJb0RqsSliboCcnW8fTAfZgt++NhdetVwZ0h1JzCBIWfwipZxSjcU2WuGksQLMRrZ0Q mqESz5A6zXgUmifQioNwN6RdvRbS+2nNXmhrvS7cm9JE+I4g5im6rp5BG6utmk0dYuHpSLUn/QqZ 0bVOl6q0I2wGOoSttGyyPYUIK9oiFsNCZTSpTF1kh2pzwsG3XHzwcxkG2hA/Y9DDdSSGrQC47Qe2 GeSBb/IG+KoRpBmbaiY+OZ1peDbylpLGOT8Lno4KhffgUaUQ+Um56ycEZ7B5O68+PBvcJEnWYVZk E1J7mp4QnDHVAJSgU2mecg2wuFZlCbSWc0S0XijwTX5GoVThsuVT6CGL73jfXUOsfrLCNGh3nSOp yb62DjwdlcJ8+WBaqcOH3WyzE5aZyaSiUKTw8EZZn4WEEcr27GJcpR0jmsU/3t0Hb62fg/PcXFu1 nkm+LTZXJz/yrPN19cIAlOBX/Hn0kLCpQXXCk8tIoPnkYHg6UF6p31J8JNel4tn6DgWaJ3vH2UiS c1vFcPadipEkBcTi7ETAjFmNnT19uFsLZjVdHQqIfdeskBAFMaSDSAuubUfTqwYhYSM7u3PtcNC1 dW5r9TbPCYeng7Vz5dS4s/B750f8PMU0QJqZUJYQRTFQrVDrOYsIGaZsTShOSWeKFMXMhK8ClZJ9 sErqoJ1OEWD37Kkp8SMyqRqoR7Q5N2gPmxT4kvcZWtpdUtntFYcJQaljSmP6bNQ8+XwEvExfSwiC DrXfPkVOUa2Z6gyTxj30Pu5y28Lxfl6hwZN1s+JKCfQUhc1inEAN3gcvxSPRbOqQovpTVGwuPHJY w8GcOd9tbHvZvwQd2yaKpV2H5XMpZsrr/JxrvvH5qMe+v9ZRa9SfSneOQoMT9FHoA6WqD7MC5O2N JTxBd5e529FUIuwkIaeQJnG9RuyAzJ3jIfMKg7guuMOIP6CLUMH9mHRnFUhj+btGKA0in2SEiRvx hzw1aP2pnXPW0+LzQRD0S5uP2FhK89sURQH0YgDdb8uiT6P6J8bvusVooU0DoUiveVIoYnZWnTnc qjMVPBOzr5Olbtr5jkpXJco4l3RRdEu2rCmKoYdhU8fwQTgf9mOZU6XGx4PC84UZUjwKCeNDLM0p iqLp0JxJMKeWBnUFURwr/AhKWtfsjm7qyr6HqbzFhFPh4HNUWN/IS9TSuehFOSiyeY45aB5fSWsa nvFLgs7FItl/9DtY8XkkCgfVDb+BFKI1LvVWCQcCkp4uzjmnKJoGpR0XGfvGZltPi+ix0XVhmgqU lxhelB0Nkg2F00Q7cfBwuqu9M0xeti3uyo58GHydH5BXnFvKKx9fEsSLKo5lcbizy+8mWEWxQo9c DI+/TxIp8XYaYd1uMJierRbWoNGq7motz+s7sIGyHerB7zmT9XcoMxwcFCx0jNZ9eOCGULNiwnGu uJNwMDVpOHngaCEkpik9jmnvGkVFIjFJRXVu16ODohj/vvOv+HjEofxVRsQPUR/fihQqa2RyCAy9 C16B909BsFClbJTlh1EUU48kh6edffBSGdyuVleHEAVXebp2qtyhnFOqo34KMPV61yjnyCySTRuS qCgFGsX4+2AKy8eDQnT1GaKiC2AHX1QdeQ6+l1pRbBB+F7haiYEPcHWWYIe4VZ3pRQvD90KvSEyi uXFwEvcC54LO1xVgm6kgahDACa3JmtPTCJv2sn/y3AlV1qBa/ZrqwaNDwseDffaLr4MjXvm4TVFY je7wzi5NvbAGL3AlrpmEP6nxkpSpKYrq0cOVDbcPPkUnicFiEt3rhKQ5m2s7UrMl+z4hgEomkZsW p++HQqHGdeFMcRB6rNS9hbZk8Bg6oFyQi9mS/1C80aYo9A2cUH0Zu3pJern0Y9tuo72SK6XnwDcQ SAVppap81upDdYcQRUZpHjfjOYBUsYdqAmrCCz1/5wvKxCIHxoEg5BJYN2SQN0xLAgYrXfZJEAPP oXPgb1tk6puXW7PPoXUgYItwQemevybN1ZSrKqgXI5NG2PUxQb9Z6GfZJnKwGWK3ufa8nXsxKUG2 aGnTKK1bfnfjc+wdyFIyzjMfBOY4AVc1vcLKx4Pg+rYMGSCgwtm/ZigMrjfrwsXUSFZxrRZZ9zCJ tVip63MinN0OcHZrgGxXpQjQT5qmPI49UWxlaowZRnMle9lgigjxzcNUICn+j8gxYSvztK6Djwd5 7P42OYOW11cNJAcWgmh1E+TbJY+AEppcvM5Z3e2wPllUqr7Pouh6CKG55h1sis5NcE4ganCDPLhX EVCzUUwNMNZHgXaw75wDF0FcaMhjF/SPbSDaDt7UxWTqKh8P9tn6pTSGKL+OZxWF8s6I1ufIEmup EPiwk2iaDxxbq9beyIGR4NUQZcdSg+exkJCUihGwrKlAeRF3PsGvyEvb+LJtaPa2QXOeFq+97eKD cEFJfwEO/M7Hg+7qL55TZXB1ud058BJMNEk89wCKfEz1m53bUOwxCexBOxJttDC0VqnR5ET71ck8 nweMc1KpMXf4z6jLgTwb5Y31hRWnbEsOzARBk1sXt6CBq+iE9UOeOOew4+NBEyj90t8HUijdPkcO 3ARRbWQbyFzIUQwRigoGnf3COzzRD+0EBYiejaKQHHwRrXROINyN+ZxHBRLEm/gXAO7olomg9RoU THvZKCpiyLjG8kHFEKhTEUdbe9l8Pjivi99oPHfAKC6PnWloKYiDDgp5zdJYpHYkwbc2vKhWiT2U G27kjyLPxbVEmFv7K0PLCI4a8w/o48riooN5ABlWKODapV+Q1eplg2W0TIWj+MCNdo7pTYD9+Rg9 HtEt59cUwRUZpmx3iqLgerOkLmVDfAclOyEyMRpxZ+eG3AAA2gzF2GgFjgRxcPCy48n+QDeugsFA vReTSE/S86MnlvpvTa0Oe9lAUl0wM/FSORiUYQuEdw6bzMcjzuVN0bgvCfXoz1YLAdJkXYrCQxIC G0SoqVFKjFnsfs7rGOyhpqICo/QUQ/YsoPNbW6jjwOk8qNH+IBCXV5oZWGV710g7k7c+nd40qF4E Ww6ZL5bBx6P84xfrEhDJx7Agh/6CQGAC5bGNfkBwPK60dNEwbd2qY2gwuGW0U1QJKU+Gdn6mk2Oy KAddjnP5sCk9uJvI+uhXxU5gsxw6DJpFXCLaLKeLNlulUjH6B9bxfD640X5F19jk5fFLyaHHINDu JxS3knWjSiFLakSCFjNMcVp8Dl0Gx9Qq4lm005flPaLmDWtBJSBsuIP+BIRj676KWC2izCy/JIod i9qu2wchqjJlvFFrLZOPB1XHm+kzkkY2mZ+CWmg0CPgvLgT1QCp0C22KitwuiPsYd4rC8JpIO21J DV4MmQOCdlvSATCBYqJbAXJUQS0Nix0BUrCX/ZOeSj1VDbrSSGpRh3o0Ph/F178E+hHoA9VxJykK sDdeD+ULMZz7rtmAecjccHSf6A+LUnMUY6Ztj3UfLnYICMiuKw3OLGTkDcCVfhoypbFvSQ38er1r 1MQnVD4RU15J3sAyOjtZt/5JAvWOUab/KzJi8PC108L4mqVjatXI473rWDpphGVpu5fmsWPgN4iX V49RdJ/60H1ONoau3Bqi+9RFe4cx2WyWKtG4bWoKk+XYcDALd8aLjYNn+ol/3znqMh8PNtr6VmTF pV/K7cXm0HIQB/XZXsNdVOaQOCvMta+LCq8QJmmh6aDyil2Fv6/9udGAexhUCgW6c+A/iLBCs0j6 v5clL1XEHLoOStylVNoJczDOD7JlhtdLjweLaHiSJl11YNjTk4GExoM4DpC+igvV4MtorKhqsusZ OuDV77RQ347QxD3S9sFz/QQJ7krd2nPyn4tEy4iNP6gnzXU7IMS/5tB6cAgFQtU3DdbQPzGoDMDW 4OP/S3QNNH5hcc5mKIquUSGoCO4MDeJ6QXBQ8T5am16WDcwHvR27ZebOwRfROaPWZoB2zo555oj1 /0lAH46Wdp0wWKHndwQTVAUN/i3hNjeYSzxGCs/qKLb+DRGWusF+llAoDA13FurSSDUb0nwGCKF/ CAEh+x5EoZuK8vyhE3s8dgbnwEhohzdSx0rJrpF8UiPU3UirE9hKtaLQfRBbEbqR4imU6fNzAha5 B57FysejY+jeZXKGQFH48ltyaECYpBFWDazHe0vmnrt1B4XQp0MzFEbWquz/t4Ital3n6F4iAJ1N xao0RDys4oe2AW0Qgfbt9rLBGmIHLe9xByHSetpTiKua+fi/irLEFJGgtW89LXQhlMPnNqo8jJZE jFqd2QwNVdrOpimQYx9CdWKbQuv2hNZnaZzrLFez+CyNIOoxEU0wZ5356VV3e9eoEJIERls+CDhz TrYqSewx+XgUWH+DhRvJ5fVeZqETIXErk84AQjwM4wH1XqWOszdElnQMBU6E6E6RegQ9RBu8x3hy ot6HmOEAlKo7BAkzdkZwnVzy8+j2rgFPirTnwdhfgwqOiYRGZhN6x0hx6juuZstr5Zu/xm6EnQfl MLj5TM5VALTaWh/YI7aEQjw1qyBTygLzS1kAR+pK2LLn4ZONVfLrBn53hIzYxQ+2aFKLNId+hFNl tLruYKWiPehpC5mCwef/hYxVXQmIh3qPotiSMNPt0/uwg9IAlO6YScfT2fEz+SoKw2o2YCctGDVc sl2CEDjpZCfvOPuusJzGcB49WSwwW0XktPFLIp6vcDMsW+fL6EsTrXamZzXrJaONtr6PIhSO2tNB i20JWc9iREt0LN0VcBQ1+YURHYszzdZRrBbNNn5JxQdvMp7/a8HLkt18uJeymlZBG6r8PEq7GWcq L3vbqOTIeHGL1roNX71h6Cd1pRNG8PGIsfkNzUdC++WTkQN/QgSr00BXmbSp/8/YdS1HEhvJ9/kW hgLe/P+PHTKzCj08dXGlizisVtucJgamTBqq3uPK39JLhPhdHm7Al0ODQksp1lo+eJY/kbh2WmWA CNNlBl8plA+rFf5B8m7TzuuIlijK75CU0Ejmggilt6mi7EYhJLAo/P+F63M0rSc5Cx0Kh9zGc7Wy 9e7Gpgc53fBpdCjSGooQIU2tQ/qtaPDTGnLsFbcmKrIVqsjZ8Q5V3p/16nOplhY6FKoK0gjF1CBE SFrsZQEzM/h4JFbxS5kUYUzZzwxFYTUKg3A8715Lc4e5lFfxWpr7wefYpFCyf8tK149MzslZEKov tT7QRCMFti0y+RlW7xs3pmXvGmwyotAT3Rw06CRqa4loBlcFPh/B0x7p32y08fpcaCEiBDHCEpYa +eteqqVNOsbIyqhTYIpFkNinUFo5u9/BgyJILNNX3cS1K9dbN4ECmG9dn0LAvvSyETeaniuLq4iD 6vrY1tKsQKs69Ckcv5zPIYr+wx6Yz1FYtIaIfAJHrkLpLYk6tdC569DzB9djMfTVHMWyHuQmLuGK 152jBjD3XEnoNFCnK8PvQmbklP7kbVYvMoVy4FWYbCH1pB6IWwPtufZUl/HceXw8uPSvsgeng9KD +WawsVshFezZ71cGuw1Z1Kh7Th9its641QK3QsgYsMi5qRa493h61eccAuGb2h5nH7chUVOyt1EC wZdiKewghTwHdoVoNnOG2jMoxwdfiBzyE1vw8Uj7xO98xZm488u9z0K7QgQ/tZNhyj6sjmvcMosK DcSEuBVxDv0KVZHdU6n+vEzFkZDwD+/mJ7ARdfExZONGq56gDYVFgWEhdrMs+crwQcE1ZK55X59N zMeD66zXrxmi1XxNd5+FloUAa5wAzpP81ezghjqNc6oKvmubopitqMxM3Krmr0F1oQFVYPY+6G0q tjDVBBAxomVskSPuPX1IVLRmVD1YS6MPK5OGfeKqzpRwnPCLz0fA/W+TEYQbhXAsm6MouKaDSOE3 gt5HUfV6noChLLXSThLbs2k15Ni6UEhZ0YI4+DJKuO2bWWRVqBAKFEJvPICZrwAT8bX8jKg9hLts ipc3Ly8PfgsiLY6x+fg/mVU8ttCaesKiwLzwxDPU74IdRBaWmCSzDnSaV/XRWLAL7Q/zQobWvU0f PEU7kwJYjmGv4JnS2EBDVJqx9L7MNNq2d42YDVR/Y7SnQSdR6uZ0dAIwPh6sodsc0kmEz356+aF9 IZi2tYtwD7kPKbsicCzVWoyDnQgtoRARIsa9XCo43Bmi06VzplelbOBJ0ChIjHJsvWn+oB1CDg0M EcriCJID2TKCJEDFcypzT5WPBwyr/Y2ZoYg3SVc2Q2Fo3dlIz57CMnijQt52ceAF+oHNUMheFPIK dAobLsXqfMVlKIVN6YQClPxvUKulxfUat5M/RGsITAxB0Fyq5lcfhN+DoO7Qslh8/F+oosUZKnDr bRd6FfoYgkcKj1ApkrdCmWzYQVXBilDU1xevwzoChNBv/GRacl0rzxyBWD7UMkfBcU75xzPGQCkJ mtkX7UB/4hx4GRo7HKWV7oMKjuDxSyhnbT4eVfV/KTcQtH0pwp/XkKhgd8KJe+6rqjN8EVHYj6o6 3MEIiV4DomKgovNFIrHQYOc0FBeh/E9QkVThZGS8KTCNmBElPNNuR8j4Gg4VwF0I91u1+sDJOUuS KAQQpvDwy9QU6C8opm4WLuKSaPqL84VEM7Pgo0G2JR01BCY6K7BAM5xJB+of3p0OpgaZO5bMnD54 rHgyVwSgKhCdA4eVlDEgJ4EqLbNrmxoDob9OjVOGqtyOqrsdndA9DaX1BWXY16kB9Lja1HCFEFTJ Gx5T8xoDlUxL4ZNvUEsHvhqsa8BN44TP7P+gfteS4axeI6BiQeJZLvkOd9XAl5jdrYrLqnGWIW2E wxpwY1ZgzdS680XfV01h8X5sYj/GTr5q9hxdSjq7fV6DH8yrORg2qyye34qWPJya19CnCPnmevbc +Wve/WR8vDm2YYde456SyWYuMGduPnjccwLoc2URpJfhuFtZEJlFiS0ppVfsnz2y17CneK2ji2fW CfypnJomc+mfs/Dz5zXqKTJKe1YNvhdptdPINtxP0O9aPVt3bBl6aFqgiO5YylZxfQ148J0ULhaa HGq4iUUBh7bIZhJiunQPm3BmLuhZ9pLdRYOyRJ/XcKfIsf3MrbZT8u2EoL62pk7FiZlfox1/+tlP eE85RWBqXmOdAukLlDhTMzjVSYKLMV5o100gTNV2g61beAoHQHyUUYGUp7PamYwioeQOhTPSTTaF tpeUzej8FMwNwxJgy5IPusZBfVJfte/Pa5iDBdD8qFGCjgoKG5Gcm9cop0hURBkELu6zvrviY4S3 yxTsy2Y3Aw4RwdwgkTkLZmtH7WdHtbNhT/a5edacZU0SK/id6J9B5XC0eQNlasO8hjjYUbQsWBRg 1aAC9F6MP072lMfnNcApJpPLmeCxRLXL6TvqNbrhMYyzpsxiZ42ICtCkmkZUgAqrBYCvoQ1WTedZ M+QkOx4n2RM8TkQGnZJUcGSxHcUokEZrD31j6D3fL6gpf+9G+V4OCmxAlOdKQN35Nazh+/XvUxg/ YzTfUK8FQ9xqKK+NbP48ddTicHLSjwQn38bbeK0WYis3BX0SSdYC15rpgMVR96kCtTbFV2wg7jRW yclZV/7JCsrntViIqUHauRY0PGxQHWwCea2pGZ/XUmExFcZn0QBQwXCFU/NaJ8QyVVpD5jNL89vq F1MUzUoWa7Jz+LVKWIRmezM+Rw8soTuB0K7uWavay50uSih9ZbbMzUdNGhLRQUx1SRkVaNCGmmZ5 AMLv57VCWPK1y2gGj+YX5Jf3O2kMF29F6UB2e+fYqcm8npYRfgDe67hSjFcX3lK6uLWAaru95YIw J00GvgUMwUq5xZNM4ZCpsGZ4GqfqVrwHxIny8SB+UKeUA2enpSXMUTl//LxTxrCrTPwX9kB4tUkn Ez+L3ylj+EoyISZTgCgUCqvQ4538MBbioSjk8PFo9Uw6hZF9qMHmhwSoqWJy7UDYi3aI9dLofQm8 s7VzehUJITqPC5GaUy34aWWL85gIpeeQzQCPR3GxNXO06SfOPDZhND/vgbGMEuqksZQ2l/W4YAhh alSLVl/CtwTTAxIG1o3SqXrTqT5LIz+QIoo4wCTbMAmEAZcDbV3bW0uzE0XGna7DBeQpGzg7UHAt mh2Uvd5DY1xX89fJI0Npn50oNkbZ94QZ28vvbC7Q+hxqMZL0p1yzOl3Bsdx1lZN6oME314lHMPdN ch6geggQjNogEFgtXcUcyby9E8WKkeE2dBB8UFEQiRoRT2cCPu88MUa6Hh0TJ4R7gDtNs/MeH6uW dwIdM9wDA0c0sRMxO+2ZBRnvTkTTM+hsBdMFGzQ9Z6rwX+FxiHIOEF6bame07aMyyJD/Cf0gVKoI zx6JnNDgkgOnZ2ZY+zHsRa89CpEFwfXpyQAPmkgF5ycKkikbs5rbD69maPFzGukmp88cyT2Yn/co WQ7YVOmoPnhCfmId2mMQw8pvgvQfEK0yS3ugKHskuPWqwc2VdDYz7eTAQwRfAAWBcPB/3glizAa+ Ix44MTAA9vkJA2U0uk9OZNrj6GkZ6ieNbJ326aYH7/ywYl5h6Nc3H3x6TmoIOSpJL8COhlTVtcld ZqE+P/f60Ju+T08jHErMYA08e3CgUVWlnW/0884NY+Y6vs4epjLcp5qdKFZe0tZOdvZ0pkcUgWl2 2yP79M0VBcvkF85EUQkNXgcESXUQYFHBDd9TclTTCFI/Y94G8qD67zsvDKdHtrOn+aCzZ80qPs7q 8/NOC+Mb+smsnYjNBS9Sm573gNlkhHY2n+EqdimEO9buEuPMqe/hF3sUMiN8ORPTZbLbLzATNVMg ea2WvAF30ObC7cw2Yb3a48Skf95JYYzssLkgkOKDajoQy+Hm6pB/iWJmRracDUm9VUH4bXqioBm3 ZUvUHUbBK6tJDHdddlZlmcHzgssnjJoV9+w+ffCouQP2aqV2cA2quCzsqgD+Be6vK7+IexlFzVTm P1kD4CcaVA1ck+krQD7r884HKwbpvGcP7Mlym7563ulguNh5cyzTVTrvOUyEqrpMsvvHohwYRc02 O0kV03TFKMY5LqH0wpyirAanMJZK0UKH8xNqJw9FpehN328umrZsEu5s4OI5f6zq1Jy44fNOBSvC HT9HDzXAUUuy2YmC5kzsSG+ejHZjfZ80PRvqgBkNj553HliR8QbKOmX44JUvaLiWwZZ6TaDKE4xI cWREcz9wTrpcuaI3jYrspO0SPatBB/O5WKeEE9r8vLPAcKzf0pfJubPV47MT1ZLZgAB5TWtn0e0C awf5tFCGsBS2Rt87B6xc9g706W24ADqgDIburb3yJpdywPGJEO4f+hndOsbUuwZxz5BWELvqxZXk Vq1noXN+zn35eWeAFTOz4XTIUA7TJdQKJyiMmqGOXMRBRQ9rJVlcQg2n8zyCxSZSTSQV7wQwxiRV ydb24Z49rUN8lnEh7Oeari4BgRCGt3W1uSgQ/3kngDFl74p4pg9Wb0c4y8An8+koZ+9fZzNdjGf3 s/md/sUTfdLtwOOePU1Rqe/WbAGBS2L7K6os963Kcu0++NV+phksZsXNJ+Eo0rinbR/gWZPFHmPr Jr1qMD1M1rvY8RwEwCzJBP7OPH3eyV+Ynnt18ZoCYoblI01PFDaTnDYFtmy0uDSgM915lXQ1D3ze mV/F6IPnRhdovtfbq0kVjQ2C92G4AlRnUxsrQzbAbCHcvXrpTd9nZ7CAmpLAKqk5JmyUytOuntzw 8877Kg86ldaWmAvKDc27vd7DZpw/ixB5Eyo9sdsyPE+iBgVaG9S/5Py8h80KELBs1CeeF305TuYA eWo2iAF2zoQWg8ksbcsfqLy4NMewN30/fZYCn05+HAcV3zc1GhAYpv15J315WHnvrrmH05j5mVHY jCJUO/evysnnT3ZOwwnOrNQAwJ02Pe9xM6BazEbZ+9ZgcSHwgycvorJ/QeiDIg9yVRyO1JgqX8ol W68aTA+bEieg7D7o8tq1scoMoeHPO+WLla32HfgQ8lI8q3hnfBWp7FWpaKAeNqdRBaFyq1gRhKZi uonvjC92bnA2Z8gL2WDT0xK6f0DB424/4blgPJu+hWib1S+QCrta75QvfJPCOdH1SwPjwtmGcY+h MfXO+GJJ4zsnhU42U1mbnihsprQ1jfLMLKwY77T0bB6Om+oAXDzvUXOWp8hZNUDyaPBqYUb3pnF2 EP1DcpO3v1XTzZJGJzO2y+ed7MW9xXIYa/oaODvnp0uRpZ3J/7xzvZh6569iM5UfS/K99U71QryE eB4oUYdeZBNRKMt8HWHaMa1V/HfUzLjXBk+5MlRaGYFR8qZK2H+zhwft6jJvOYwl2M870YvlPh45 mp36zE7FnDPuGfnzzvMqj0KyJYPbvF5sdkL4xfnJJypOuq1adumNE21d99hMb2FOT4y/wIlcChN3 DrZ4wHo4FxalJM9U4ZrB4ZQhxMREDMXPPuSkVvWmf81Ok/5Wy2bNDBBDZQB0jur+eed4lUe6Xjur UCGlerHwneKFtXO+xJYcHUcjXM1OWmbXfBJSFtqwtd4pXlj2qOFMIm1tuPn6ybr66JL9hQm0zNYW ug5kO83rR4NiKV81SrmoXF9ZjufAxTNOIC4zrDO/n3d6F8/le/BwLnDFEBur+YmCZiQMiMqn3erT maYq5hLpPZMhvd/ZXXdvFbA4bPBr66QVkP2dqqWeXZqZ0uNcLmKdXIvLSWGJd3JXMV/33atw3pA2 5S+1z4btrGdAMO7zzu1i9PsLpELPw+ox8zu1i99J+XbNmM4LBG6tGRbjwrzfiV1skGp6dLmXda+t XdD0lmICREiZ3uJmWyhC7p9by+jMR985XcVN+HKiFhkHIU/PLmkSsZ3z807pYtAxv88dxBgP6uud 0cXOGKVI/M6S4oqwGNvVR1t1ueh3Qpel0iigEkbAwQPCeb7QTg7WSRcp9irN7U3+La7kp8NFXfZ3 PhcW+RIYjmoAZVkT9gSfVfg4NM4+73QurIFfZeaWRVH16YnCZYT+0K1vBscoy+AYPdPfCR3SIh2y zh8T7KylbLR1Hxx1AFXTwoo+hBc2qrKIlxODHUx7efT+ED/zQ6JSGI8cushoECwXlmbiraf6eadz cSGUr3SLCNB8iz3vbC5GhJ1sUoPyDJI1eKkPZ3OdpM2Q7+9krgc1mDU9IkZNamrC1yY1NUjbApNU dDd2fRDcJ7K5lKyTevtO5iomrHpSE+6uk6FMsflRkc8GATsh4TuXiwFl/k4oEJjCwsnmJ4qYIcR2 kqxk6WgTDhciEql7MYzwF85PWGdO2lZoPGrwWz1DoGLTzahAOdIIupR3gjLqeIphZDR/3nlc9/BJ yroSCjVMW3JCD4qSG+fH8vkoIfWLndL2CPHzczJHITOqu3XXvKwc1pKtnw7xQiuH4SvTBEWV5ilg xm7JB2+S9pNs4FfgzbVEUMMEQWMPGHugyHz9FHvVoI1TxLhtd+DpDPaLPFPG2p93ChffsH2dzgXF lHT7FO8MLt7rFBmlaPY++WglUKUAip6bySN05taYnncGl4WkbG9lH6zY05Cfn5xIHfZ1tltTH4f1 9/MrYlV4n4J47ncCF04CEgCnesizMMxLpAODe4vtlev8vBO4WDXe3zkFYkx8oM1PCNCoNI+uhN7S 0DGTBYjO4/lJbAKeq/2noRL/Tt8ywBZFEboPtxI/TyrfEzmAsNkhr2YQgwZ7INWarQmInf15Z2/x M0RsIw6BAw9neD4TZVlP+PN5J2/hAJjf1YxKFOs9nN+5W1ix1M9vyQ/n1bb1KVo1sPugnzlnJyo1 05vjfImqxI+nEt/OCgblTzjLQotqEiaQVsMDhYYmQueyPvF5J26xDyNvVNZTJaqH2Tlnm/LRMznr 807bKqYydfcWNCpPVnLxue8xswgEICIrRz+Z6TIbvvMDzPh8pWEJ1ztnyxqQRXRBGzxmTmdZliVU 9/njTqJK0HsNHjxgY7qQb7I3DS52plow6fVBXZzzdqxF1HVC5nfKVhGb+DtkBvzhQp/eGVsWDrQy za8R8ACHZ6hPwUoYXW2IXw7LzENXFgupOT+F1JPVnr9AIx3qR5Bf7SxmoOKW0d2hFLQSUiDQ+KoR 6p3+sVNWNNOJkQ19kc3D5ITN73wt1vC/p2eRUXfLzO90rSKSWJ2bprqdbbRtFO2i3g1FQZb1AN/p Wly5nB5K92u4yLAF1dJEVZa2TiKpBVbwnrR6uxaOm9ied7IW03Uy/Vjd08DFk2GzIZb/Hp93rpZn s1/pOrbWxYW9U7W8vT7YUBPyqbp01m6OfJrLkE/vTC1uLfzKeSqnmE9OcfZDAV2Rt1bZJ60grHBz g1B+o9ytpUv9najlLU74FzUfdKnPKZWosgufjtrH3zHhhmvCvmXCd5YWnkIHB0IXxgzYjgsrbq4G 32zfWWHMzJQi6+DJz8EzIHYEWp52FsDflUdUA6aHrqvzOXe6XjQoZRDrUhtrqNV1p87SOZkII5be 1+edoFVk/fHdW4ek8nLYUwsD5k1/0m584z1Y0ziTsxUFocQ8uivVtBDMXDQ7SsTXQ7ZBsIAG63Rv XbN5nDioWVOn87Gk+/AYPiO40hOnp8/sg9UvcB3y11sVTwcB4fhVyUBoS8c6TU8YL0NmtZTdrExI ZxIWwro1uiCzmkwOqkU1Zk1PgnGcDQ8q7KS4lEs48TKKzWT9T4IwId9KQzwrhNH/qkXxMt9iL+os a9DqGWURMll34tNRd93jQX53wDIWiJwYsyQMmBOlhB2ROq4FaCXgAXtrcmuQWfIeL6s7UoRJLw5N 56U+QD5KeUqe5mTv26FROBypwfLEyyyE9b8ZfqNQPoODqhl5DhGxT9iPpwNE6v4+eShQwmKYZicK l9ECPeHqnZ1u/t7nZm6G1x1wM2IdrEfQjKGThyYxGrwOdpbSGlPYgw4nmyLtQywn2E3T6sZ46sTr 9qjIXNUcJeBag3DJlWIK1OCbeDpiJf0qhZ3l/QAuexgtA9C3xnBWkkhtdKFy1+pElCqv9B7VmK3U U1TL+LL/rLQ6TzS/qB1tLza3Gn6hxtoNEnsnzxLX06N4mZDxjQXJgdpaP9Q2SkRjn1y9FTweUP3m +Dp7eCzXfq/1HpL94M5OfRiAwXD4LBXDII9ixbDKqgx3VxQyT0k8m83uehpcFWZ5tXN3oXuGY5nY FYSCs5Jt/jiCUVe1RzFz1enTBQ/r3WqpBbJbW6FM+fSozDz29wKiPPPX/ESUv0HAd6WLJRFiXbNy gjdyKDIlppuy0R6GzEu0YisZumU70FPwQ6AdHLqjKdEODck6vGVO6CCClCrNNCnqUaV5USR8dIFZ oQI7uHxyExy+nyP+099D5vRFNilcaoMdMZ+dOGTOtGEz4adB/3lSRds0mb5ek2+vCJkxlaRn4Znz EzGfK+3sn0kJCAiSEN1Zzu92TugGci7Yk1bpYd8anxFc7BS9TmTJaVCHKy+iSU4ONfmGwdq5dXiT 9jl/IAFc0xNWmifpZbQXLlSqmiIZt6KWMXA9srbs/DFR0KxS6lo+eNBcoSncWeoB6TXTn+nMz8i0 jvjZXxIZlHXuUaGZStzwyVw+qJZRs7wjTto28XRwOI/vhItOciA42/S8B83gtCPcWSyVNpo3klZC XY7zzlLnGyz1JP6YgGuyVYFP2wePmlEcnIkkNyitjC6uyaREHe7CedUfNgvNPSo06+6albLgHPgZ yFlcNWwuPB7xRn/VeqTkcXdXhGiWo4ygKZRVsxP5pMGNcnwIfLoVCnuEzCAIblKeGQPD0MpCc4NR L+szFaX9QgTeWLjOKguQe96zB3EQPiMIfCTVkNQgTZZy7RNuTtnen4/F08HqWd+BD3pTsGq8u+uv uBl9PxXAUArT1XWuNBqCLUxP9emJysxUuwDQe/lws4oEEqNAhWfKF20zz03Diuu5vna5DdJOdZUe QTPwFSDbUnLRDBxzfn5PW12u1PB0tHi+k66MdnLhYS/ScRQ2yz2OCkdM2EtfhlxJ3VBhENZT2Dyi MjMhgrDfKj54ex0QaaXnOCNgFyjE/KAtBKl1t45Kf4sRhc2ZurllsgTKwYhccNFQLSzj6SBs7o5J JRweS5B8S81OFDYDMXuyataWKZKBkifg8OTIUfWS2hi6ukZcZhaLv1UfbrEHtm67CdIMn3jB4SEN XUDQ7r08SdfWq0YsQNkyt+GDwp65umUFc+PpoMx8JSBIVbL7z6fnL0gzTG1xS+GQJiAAN9dCb7dI HoM0alLWozozfXEg7TA04MUHVs9ChHBy9mw9nN1VNQNB++QR2FT3Yj/rfeAjAsglF89IbCQPZmCK mrckZ/r5D56OmDjfHWRSlKi+r9mJgmaIMYAFWL0I72lF3dUdq+syRdARxczL9hRxqYW9Q00OMhbE nEtyl22hzINKNDCusr94bvWJyQmRGaStd6nvchBw5XymcqaTU+Dp6ODx9h9fjdai0xPSEUXMkFUC qmH8uD2sFQrFlaY9LPcFz50oYp4E6GQ6XWm4xzJslJJZVaM1QX30xcIsLEkyAFEXrlv1qtHBQzQY QTAbN/gPm8brBK/SZx1nuvF41N+65R7DKiDv8mt9hEFzpgkZNgMQl4tiW2hSTDED8SvAXMyOnlAr w/DMvfpwo0KUG7LL8gBiQAYX4MiFUI1yXdCwm/mqwebaOpKJQuCgLgUE3GnNdMIDPP0v9EpWzk7h P0/aRwhnXkhE5TwA/Xj6HYAt0NqWgNo5mZcrXo0Iz1wFRd10rOTg+AwYkDcp7xUgDKE+BOQTbg2o R2bqx0uBhnjvEQpmEBi2pZHOwdgUZ4dR1fr8HZ7+V9zDwwfln3HbFCMqNTcWXLax2yqbdVw+FWVP ZqQTFg2anSho1v5KfdvAu1PVwjMdVkwtja65ilaLIKyIPi+/H18wPiMKeww2l33g6XMOoiE48lob T/+LSsHuMZZzuZjLEcfMk+CVZEdzqcnAK9SnZH+0OYV0hDGzMq4krmR6IJcn2eWhk8XjgtYM5a5L pg4wSrUInDU9m6DLEQbNjaqfY1N5D1MyxCbOoBby+Bl74fkgZa/rOymVcOSlkY4wbMaMLoHkINlQ pqTl5khm1AD1DBdAGHG5mWhKwhA02BR1vP9gMHdC3Xb+ty7fZWLs1tQV5nrWOetdg5pYJb+WTiwa xKegg9EPDQYzno5qGvsr9Cn0vGx+uc+w3MwuKQACiAJbmduy0s4KnUjsAJ8zr5hR4LxUShWJPX+T 2E+8tpJEaVAQnqVKUI6+Suev23gU4ymHPmN8BtNR3fLTNWqhwqTI8Ex/w9PB9NzYh52bhfyLVoCa nyhyrkQgUPhCFTGanQCBAKlW4jM2bTFxv8+w4KxsHRB3G3z57Amt71S0wxJDHFTE8DXj9kD379KQ i940KsezTcpqzTYzc0wPnIXlijP5dNRj/0Y1845gb1yzEwXOSXdrMUGjE/zY+YMCk86fnZ1HOkMq IClcWT0LDhfyDUX4lKe4Sr1m6hNTb6RAMNluBzkMbb1pxKYgU1JMwORMQLholarLp08+Hh3P35cX RBfKvNJyM4qcUSCslJ+xLnIy7FxPJj0MXbthek9xtZnTUwX9rvnRz8gLBQFivjOJD7JnJNyHdyuO HutW4DTBZ0QADYQ+KlFrEBcHWEb+xucWwdORXGP7Dn3gLFRQv7XpiWJn9g1qqtm4SlRAIWNg5IvQ wBxq+YQSc0pHl3QivmxP+FtnNiZrRuFQDMmFT6TfIYIJJ1TgC8FnRAIRTC0yNeak76H5WYsKkYC4 4emgFdi+ibadmVC60xNGziDjLPZo2c5pVPWs5+oCcTvz6qoNwAcun1g/o6l5vn3w2x0hVB3iSUIz dFF0aVIjauO7GelSuebWqwZHM0PmwZRNA6cHvCUi59q5IPF0FBmm78yik+/Sr5hahNHoJJGLjsND unpeWhyjsUg85+qJys2rCJmqwyc/h08/QcOJqhX8nCCa1Hzge3Qs7h865NrqoXr+jALnRHwPTYdt UEWsm9NyPUE/no4Sr/x1sWd80eOqhs2QCIhaYGdKyHLqngqBIL5iZKU2PTGdodicYE9bIKg9vorx Z+nlLv0M0GBNG6pSfCCjYnM9l+hRMaNis/rHRWkpBxV81miSh1ibT0fgp19hoZpmN3CeEUgDBMa6 Z7JWziYlBrODTpu1SocMKhJ/TKQbxjJzVdZVn6yrokkHT0IePZA5l67IBt0dXILSr+C5CmIzCpwB KKa7yfaBecW5S5JKNmfN4ukI4PPdqyAqdfS7eEIeIEHNy/j8LYmNQ29FP5kBDqzqk86/5TMyoeYa fPVkVDDKpHRPT+i1UwoTCmGFDKKHjmNhTyg6t6SbQc7Acs4A0CRNlJOSC56OosL9NT0k0lNpjdOz oqAZaHiYUajUA09u85sm0NuAl66muyKMxjAIi5jIj3/pCRa7GslcPAkO07L4wDzSVGrkGxQi+MBn RPx+Fgrnnj5wds6UL7natlrxdKRN4112ohBBuO+34rPCYrNULo09AbOlS2AvptwzWFnD2llhyNyJ Sxlj+mC3+uogCNMiC7aZAPdbSWyrD1fmvdUXr631t+JcEi6egxV8dheycO+KpyNZLC/4sJyAme63 mrpCKeZKMwh3D6JalUAatV7p2OS2pSsEaQhweU8e5sTcWie1wrc0VNGYlAqHKDxKxrC/w5fqpXhI auAzonoYf60hd2BXoID4wVCnglqXYch81TO4jWgddAnaK+QBnrcDaz2pHGbRIcQzqKrMcliVUzn2 VghqFtEkleTDRWjAzQQ5Oe8tUNjNoYqVQvwq7bnVk1414psw01rqBi4rmyykLQI1n/QSTwcnT//W K4RLef46eaKQmdIVyJFkrHTe2+zLWs7SFtkbxUqeyyvmAZJlIjZO+mLjoGWaBiVyKjSydrJ0FLOD VmDrNx3FR/NNI1wqOxUSxx8ujr9PitvIVasrZTwddSrWV8xT5Ah/F08UMaNhV0/wYQRt9RupqNa6 0wVasnxrhdIZQ3hK+SvMB3mZERNShQ+1nhM8QaQD6B4wn3GZZ4SbWjyFRGh8SIRfIfAJEnU2KB9N uRTJPp0DEI//C6IxGQxQM9vhPStENcN6btbh0j11eLreiOUdoHM4gX2FEbMZcSrtak8XsCH0l+El SvGVIoUFuvAVXK5p9P4hBELWmwbT0ylzmcWU5Nrm9EAAiL9eP5E5Ho8Y7L9A3/iYekW9V0gFpPlE Ry2P/ol0CQFXiel6McYAAT6dP+ZP6ZX/BvjUE9AuEYdlyIUKC3rsuL7RH4NmjdfCWIpfUdAs4aeR WvKBZ8/5IZIkPrug4+n33bXSd7EHdxGUTnx6QmAzhWlW81IqRYRpbE9TS0rO5erV5hXGzFI9nxLG +AoKz1cL7W0lXCCz0HIcUOlEDT2pEHiflI7bK0I2E6509i45SxwE8AFwkRlXXxVPB032q8lHLBm4 +yXdlGtFUfOis2Pe1gsc+24vbmlWw8jt4eEcBs1mMCV9rPZ4KK1znJ2TIhH/dP6+VeLD4Otw4riz zNAl8cBnDL1p1MlhziWvsuJeZRv9AwFQdubTwc2+PWhmygVsI1tDnJ0dBc0o+VcRFNQpNVYX4p5u CI2xjVKxo0LzoHNJLrrYy3Oxg+0/TlTEhHSiv9Xk1g5qM4jzpc87Oyw07yhoblmpqAwBsxkCZvii 0Ewd+Tof/yfjRCcN8q5LtN3vYXOiTTIYLd0VIpIg8kuSktLPaM6q2LF+huCFKjLlC2E5ITf8NGVT VoEALzS4JY8YQj4/6Deq3AOXN71qUO4RnavQwZUDl8+quwrhc+5bPB3cXekbHgbMeV43bt5h3JwJ tTM30pblBAwSmizs8QWRxMTTZ8fgZs6LMBoc/PLqcLRFxgpho/MnphLnnkXlD1SHlrzcU+ieis+I qmGEnigz3Y4uhBH5lPIOQp8dcwHb1+4acrX22QmpgIjJZtmCocIKKBv8CSRBWUsJzIu1E2I05Ozi MJ/H+wbySF1OixVKc32IhAyYJSV8UQ9zSg5rYTuKmoEZgdwTJWU5KGouJzDhb3eiNjwdVFKvBgIm h45SY1wF/RDWDCGgIWmaytzUVL7zWsapaJt05M6f8ifHn3YdGvzoOcd6GtmcyQYsHFjswWYt9Wyl 3a7NJhok+Ii/Ugr+KxtUzBiECXJjVTwdgZ/aV0Ja+Ok3Xd+hl0nimznBf22zljqH3ZYaC1Q4lhFy dgjQsC4FUwsOd2OdhUOBR+TrDIDogQcNpgJ4L/lK1qWgvMgOZZolIZulQ5xNh/iEsbV1RTJp4elI uOebrwS9Xzhc+fRE+IxEJmcz49ETHlpOARqpIIbQzyg+PaHenJEqpCRbHiVZ4J1gJ1AV9ZwIRfeW Clr4LsEaXl0mrfaqf+kaLZWZl5eZ9wnRWcnDnVLwdJRyfeOf9MVcod0dxsyNhbqxvMXVrNhT2Yjh wUONWs5OWGaWnlrSGkrz0pU6OqTd/Bd2Q4DLYo8Be056iEIuF0+hkBM+IwgJWeUZXZFzX87mQkyv InwdePpfpTAK0eRhimU2PWGdGT2CUXneYKJy0UTNQiEu8ZXc12THIfMWXDD74CEhRCELc0lc4a3R fHVsmsmhybmuYhj8nvgRQcLFKnMm9UkDZwc6rEuF9cWnI7aJR4TsrTfO5r3So3iZxQSWkeXMWpZ6 xhNu4MaULKRecXYibMY0avbYPtythSy8a2shc0lM6fGVIx8sPwB8XfMOe9W/pDMwwz4YGefcG0LD nXxrR1XmR1CNWSwq+eAuaHpyiiLmtqjlWISDb2f9TxZ7TjCZ6WKHBi+9jelOkaKYucs+e9Y7WCn1 BP4Q36jUPzjZe5Z9NmzT8XEbjJEL72GXgjTB9+SHLlyDVj+QCy/SM9+T1q/I2E94xeeD07l+zxG9 A/ptVOQUqs4BnzUxH1X+x5JQIwJqgkqBmgow6GySsnAWzBHTUTewHQ8rZ52khPbBoHQVaEOo6ENL OxT3QVlw0tvY9rYR641nEGBaNiiz6HAywB8BR+PzQWrxSwmBuhbp1lRNkvbtMdZdUFNTv0LS1sjc JSAPBK+qhkk/JsA3C3yZFB6mJzyEMu05Arqcp6DnvqUBBZ44+B86BoXTIEiVlaE/kAgnbKJyMyJa lkhRmGr8Vs7VuPh8EATl9XWNUaYbfDmfoqjuPKg/d71Z55rJmhZwkjen8dbdRyg0AizsEde2ffAp Or/QWkuWbi0Xgv1RMgP1jcrSJV0o3ZI5a4piaGZQu2xCfTiobzFkKQt3kMbHI6TYd+Nio91PR1ub oiiKhvxHO+conbKJeFDFeUG3z/w1GwoBdhxF1WdP4cXUKesWgFA0hGIJl1GH9MiSMPo5egDPR4HC 6z+7LXvZoHdByn+jKI8Gq3C0LbucDdOBFCI2ys1R2XwHC7aDu+aTFJagSXRAYwkTUic9sejWVaHz xfOoQG2saa/F+s2cJFIxNTgsIZ2DmVUsqsfPTXPTQeeiiu7wnA/DlHc+SZbvwVvlcTRYhB7OJdtn dc4m0ZqTi+Hx90kim91ufazbs+aJPrMpCqvQjfW3po47pB4N0tucWXCyheZW2imKqKeo/5CNtsGD onM0726OZgWiiXOpDA1Hs7mFxjStxzHtXYOErMvypGUfOENnw9ppO7feMSrTf8PGyBSsV2E/p1hf Y3GGvFQm3yUcRhfZIoKMDqNYYEPGkuzhcPCEFQD6BO48UYe5jSXkDy4urqfqyB/w5OxdI5oyq2QF NyuGJEbK+TGFIP2zXhsf/xewjh3FLl/uO0NhXI3AdtP4FISmlKzvfr4Oo4Bl2JS5NVWKVDaqmQs9 gy+ik1GmQlOOyho00Q6AHmKVI+nJ6emETXvZCHuoaivXEgcV6+FQ2pWpTz4ebLPqwTV16bC1y9el H1ajG64/tXhgNJa7oetKMrViENfanaLYOTBLIGL54EWh8w3jWpN61kS3jYTTSocfxK7bta4LQ0B+ SFAVYmb/X+BV3ANKzgaDx9AFpX8Hj7SsL7dolkP3QOzuCksy22fbmU3UxlTySqowZyhwD4SJDs/q LPBz/gV+bjXTXOGkZ4iTCCFbCMhBxUam46xBOVnkwD7QDttzSAtp526lK6Hdy5MWcuA59A8cv0Si 2AQtN0PLoX9gozwdiYkMr1VDQ/6aKFuc4QK1TG8jB/6B7mWRTXfjSxGgFfCUdzX+xVk20ryGElFG a7F+eZayHZ//8A8kjGPzNuOgbdbWkvrnHJWPB7H11WBjfID36PX6MMUOgmRAjGy1xTWS1RanOE9I YmvNd4pCZWfq6GdZDeUvq6FdB+jojBxLgUqdUpXBMk/K0hkzmOZK9rJR34dt1S2HTqpuMnAs5t7Q UQPJgYsgjoLbF2OQiLT2AS3kwEcQSv94S5WGGr1RlIkso+lSOSRdl87QSRDqL1g/ZC+L428bbfV0 smWiEc/GOkkGkb67yBbgZLOPBi0hYvyQ6EJj2Z4QEQ3C2w3Qxn94L1Y+/q+NJosHqP7cCnUOzQRR w0Eem6y5qtorjiKqN/C0zhRuZtwYuAkmL4aUPH1w2BRoB7mp+ZwgnGN3PglPeEOkV9e5wd82sm4Q 0JcdMg6qpZWcWbs7J37n40F/9QJ+BSMiROTG1oGhoFpbqPVuO4yGLagJLR0V0+YVwc6BoeBFJdY+ fPBVdKIISEephdgSvwTc+eSgF9Z77mFE8ZYcOgqKxlzSM3AVnURfxqZnXRU+HjSBfqmEM4tJ4zou BpaCplBy0nvz9YIqvBL91roBE+FB6osoRHhk7R75TZZ9e4iZVMjMplRjmznTp7die5HYLr5Vcbau PuWvYggdeWxQMQQlqiXliLL5fHRg3zliDATJh5puYBQ4C8rztSkaUx7bJG1zzhAiWiv9mcZyT9zI IwVy6dTCXj543fFkUmeqkiStgChhSZ84jwqkF5r6uvYL0lq9bKT5TASDdG44CMAJDTLutJn0eCRV 8i02AV+VWvYzRXF4LWh9YmO1TlUeKXVettmkJCii2hSFheus2FH6fbne0+hs2T5HUzkEyhBSaTtf OIRM6alQHiwM2605NBikbv0e4qUO56VClnoJAD5X5uNRCvJL2Jhgj/7MUYiRHuw85GJpLGWguNdI xaeHHlRSba+F4TW5TGS72+AZSAeobylJOxlIKWZNCdAZC2y808zGKtu7RgKjwtjTx4qDCka1zWo7 bfDxKAMp36sIwK9V73kdugx2qnLNYVBXI0KBgkBWCPWSqLjBGQptBo36vVkv4nBLsydl68XoYeeQ nMSbTbTsKzU8+tWyE94shz6DRKue5KwJEUNJTxxG56bj5P70gkUUOA3+fzk7bPKSnysttBqccKDP 1J6i8gTUZllTK7NUg5zBDc02Wmg2OAzPIETn+kJ0oli0UFBED6RXE4BeXCvommoVsVy02EDLodug lk+lhqoGwT7GpjsQzKwnH48YvB49SrN3MEu7l1poOEiBc5YvMiNslj0xR1xG5uXJaqLmKIywKUS0 p2R6Z/ed1vGz9yTAq9L5dBDX2WEaXHFWw3hEZ1GhADA/JCKpDmWw0wddaifWZnMbIgKNz0fgmG9g JxQe0HS4cxTjP3ijJB1BJ08r0yr8fegQh42QX2mB56BBX88eq8MHv9LqhpuVqo7t3CGVs0g/+YZc aexbU2M4yA+JuvjUodg8kyplsH7on5KEzTs7Wu/4L0YL9ZNIrfraaVGAvSnBJzg5TabM8QHdnabg sRfW1Lp+zJ+yrLlnH7wuC5H+tkgEh+7qIGrw5Kz4G+B+KAVtnWqqk+XYdrDonKYDWMnWDj/H52Kb ErWnzMf/l/o+Lv2TNT77LASCNH59zVr5509WU1v0MTYjlVtTC60Hl1JY4CBtuN3qBU83WRnMs2yo 0jXWEr4S//xS5SWOmEPvQcXXdfDYrp4KrgS9VC6BvPR4xN24vB+laTDiuFiZHJoPouUGJ1JDoVXJ vYAY1Ut1egItrnWnhSJ33Gj/jZZBvwDVfaqKAzVTOY2CoVPVDEwva4EQAptD+8FBxtiCdogN1tIH GZaraA0+HoXXv/AgkKYpF2KeQwNCcmppv6BGWjIY4wnimvmpgPjoi+gfxt1b7oIUGrJFBFufSQTi 2WdpLvlhLDTs2Axt1w6DBjv8jGCCqLcwFs9qDszRKNrPX6/wqI5i6/JLgxTckdyudnYOLQhFW+10 aBQYzUtq40rYsq+sgyh2VGERpPHi53Ar12g1sqV4UrKJfiyDgrbgXQmR7ou2UrUodCCU0UyicrIG zQ/kdgTdTJOPB8fQ/M5hGbaWa++ZQw/CRANJyn/yuneqFFAhrp8tZQtNUEw+FNRKcjeledjYT5iz URkhzhyAWKoPjQkPl4LKB35v9onOEsKH80OCJSRHA0pdanBEWjFNipr5eFQs+iV2N9n9uKdQaESI Ax7sk2mnEP89TqFB+112YgdU+nQKhVaEkoem9aENft0D8dYWjTEKiHHUDRxECTJlnfnpVXd71+ig prUwxdU0CDnTNy08UIqefDxIPS5VgREmgZHXlCeHZoRwKYLPpxdChrOfR7YaP8ry21x0c+BGeGJP yR+W0X24xxDYw2xywzkEavuS+EgKKX+EDxEBenR72YAqxRhm0iRKgyqOAJhZNqF3jISn1tcUcdOu p/kROxIil4F8lrKzmZ0C3VofDhm+oJDQkZAeB5DEyT7cqBo23kvgotHPfUU6R8MX0KBlntODLpoU JM2hJyGFqnauokvVS5eaAKtxGY00+HwEjb2Va9E/gHl4Cmp/2BJmJq7uA0HRbyp4oOEpBQ/Yxdoy CuPqpe2ju/wrJAK6ZLMmhFYXrF2RfQ3oCKGXUH8GlbGrbDL8XSNOEHNXIm13uY7qCcbsLE7hoODz 0Vb7pamNn9a+1lEYWDdanQwHyMpKbaFGlaoBZPH2vpBCeLWEbVGytOH2GXHwUHrjXCIwCdvkBY0p A/fzb8qjIZSXvW1UdMQktU6pt9btm9gofssZNe3Bx/8XvjitoaEY7HMUhdaofJ1wVwsFBxM5Zg0O OmT6ZiIdk1nC59Ck0Dr6Uzov88syA/Rh4NaYn41dOY2jd9qoneh2kpuUHpmlHLgU4lLScSQ9oWYu keDWV8aQdaMSEtgU/vL1Zo0JbvJ3gqK4GiIl8KBxRj0KcF5MS4ZQW6X6GoowIajbgEvPCy3V50JD GQcZGjEhmV6gSVon/Ud4crpItq9iWuhSKKunntMdDBPS8jDUzODjQeqRv280QhPK1xIKq9YUguJ3 x2LaFfdPXLgspuXbzY+NCs3wnPp2xkfRLssAORSRGM7eHbLLGoPhakEtYt/AMS1710jIbL7QGHI6 wRXTS6Qeesl/RY48UEkdf5rVoVUhJZI3obpMYBvr15mgkGmWRoP1VlZBQrNClhGhbzt8sDka5xQ6 gdEWVv9shyZhhqm2PkvXt+B4XzbiRyMta+wNamBcNABY4kFU0K0OzAoRuv3KztA9OSfjja5Du0K4 c7az6gexaCc8ZSq20LuDPjnZHgu3ZdUcRdG1CEAnRryDR9doTcCdmXjZcf4rG4wN/ieVmnI13371 IlcoB46FuPaZoRFtr0FH0Um2pECQ9uTjwa1/CyEKo+C/kJ2omEPPwgG48NQFT06DmdWcyCi5sFB1 QkwOPAul9ADZE3Wr59Othk3OmRmjdp00vUvrAjxycB7OmybPYQdp5DkwLfQEZHRiizgoye9lCMJX 1+Lj/yoViV6EO7/eBCR0LZw0qUlOa7hzBYDahYVsL6aFtoWLvY9M0LUGP4xQrCxWBYF84Oxm4YdT gButeoo2sr9rgATlDGVJVHFQFgtVCO6S2gofD66zq0fO4xoz9AVyDJ0LifaENqFlscscWGCjPRwW ApFOm6KYryiU7LjDPYugWsTGPbLYc7RKnooKd4gY0TS2yBFAOH3IXxg+6OLxvEYVjnZgBZRFLNuR yuLz/+qgseCIV85PBy3wLzwfe/4h+A3CPEDrwxBq8I6zYlpbySPH2MFwZE3O8sGXEQzJTt4m1Xa0 3DOzFApfJCbR90qjfGAOHAzPRmEtZBX2rDlYHxZeZfj9znrn4xG56lt5m1hCZn02QxHkurPxUNKy PiyNArGKeifPwfw37UKLPQynwiKSplO9pOmzuCrlXNhBg8z+Eph4o2ZGvZ8vS4227V2jyBozJG1Y DTqJTm4nMZ02Kx8P1lD73UBDBr2efRZF1mQvd8yQwqK8HPFAQB0lP6bbN+fAxvCS7rNI9/mS7jv9 9wZYZqQ23OtsSrK9oK7p24xGDPyQgETEGgiqVz7wNquALimwTpWP/y8ANW6wxzk+B1aGxrufxWjA wIRky/PntILsiWOKz1BMYDSQ4x1u6lEmPI8UOOL8ocIr0be1M/6/SNkhYkNgZpjtvq9EZGgwCN9Y quq3vfh4hCv6hltnJD89t2cRhVp5CSnsMBUL6EGbLVSj+SKULc4VuqfvswgRUqeB94oPN7jeG5rS RBMz1hZDWA5SZ3k2upCLQ0SX4hx4GoKGoX1Wkg8qOc4pkawy1+bj/1pFXHISL3KY4+c1JKpiZ53N VV3mPi+DpzWoIGqbDdcmeA2IaqLsyDmNG+GyHCwgOr8FzMoys7NVd7GyPwhweRGPhaTQNNwRMr6G Q9VrIK2Kp1edpwcGJmVI27lPPq/BUAUwSMl9t4I+tOhwMXdu62hmOjBE7NjRWoMOFOfKX+x3ZK6d AkszrZ1gavqS3ji55SU/3PLzS6SzQDaLH+f+2lR4P9sB7Q5cIYud3/KFQ3+dmhMpUv6/S5+8X33y E4Z24oAgSfN5jYIqwpllUyO2YyEN0qbmNQY6D0FJ5+QazMKgn8DLE0CrBPCwDKEAF9fUvEZAWDWM DkslhoiDr5oG6sJSTg+VvKXutRYMqAwswZq3tV70fdXYra5Vs+6qOacRAxEgw9vnNfjBvJrliFZN hU4NRPk0Na+hTzX3XZrOqfiashdfU+tW72AJh6bxrzOTmQNitVBAsORHQBCiqMi2i2wMV5Ky67le 2G/Fgm1X859NstewpyJuqWIqMgEDWoMQhAUjCgJxTr6XP69RD/eGT41KHRXwQ5uZ15CngrYwUbEa 1qc3nhDaVmLBoE8PHq/sQYM1MyhkUWSzUrS+1V49l94g5RjZaV9J7lSbLFowRlnwlZ0G8cqf13Cn yvgYhBfWEzmoxnE+bZLRe+6O9XmNdvB0bTYzDGxwtxLJwKl5jXWwCYmrbKaXXHd1rxHBAgiEaSxH 0r0wmJsp3OvX4HNzdtEJcAiiRpU+DZn4gIaLFONnLye6wgmAL/o+N6vJ51sOUNsdoBYReT9sJO/P a5hT5dH4dQojumWyzal5DXJwdBfI2EPjFvc2MJ1+g1PwhgjzKmNr+ESEU0PXVLaSNdjUFLhjbXLR QL07xw7j5N3YpT6rHAhPj5PpZv0a4WBD8fjdkn/joPpzGYp2zpU3Pq/xDc6p6acwUQtED5TlO+o1 usExjGr26F45HI3wYMhSZSPcn/R6WAD4GtrgFCbN7pwD2QebGlhjt7bFjz4Jb05icFDKFdqt+YvB gSDu8xrYYPap8jcFW+CgwAbek7IMWfnzGtbw/fLXhqIM8LgX1GvBEBuKemI0dzGv3WKt+J3da7eL 74of8n4KJ3LHMkXcNNwyT0rnNOf91PrGGmouuNQSawj7llRpof15LRZiajatQ7mhNFgdrKqeWqjE GUwN4bGaCbugSr8b6rVOiHVKtazhKIXZqql1LTItWScsgzqUEPaIwpqpEli+g/N+oFA2RfVtYBDB EBsa0riYWBNlS9gM1egs8lojrDIjxylTkw/aUUhYBTyAWFd02Iz0ddi0LTS7h3xRNIzG1smcp5BS zbA/40QMKDRzcuDQ2ZxaFwZ9ivZq9eHWLTJ07HVLwRSLmExowlBUG/4MT+dU3Yr3gNgVhQBk8IGz g6hSULmT+33eWWPYVdOvKawyqumh12bTE4XEiaVeqrjQsyebyy6EXLJJdZEoYujxcPFwXhrhK6Vd TCvcjAEhJvHw3IajDfIV4PoonjguM+/m9CoWQrR6KEcFMW0f1MxJdYvJ0jKw41FYbFJmhoxBPLay nzrvlDFcVgDaTTJIuLeke9JBgK4GRdxQCDKASzA9WwFxVlKVU7qrpyCUF0kDcKBFEhKMoCqSGnBB i2+tpdmJAmOw0AGvY2DMQRWLluk59INW4+edLoZ10PevyFjcR5+dKDQGYxUStxdAtqfNTirLqu/9 QW4Ep3InhPXc+HewxQNCaS4U7T255llFgiVs2keAqslGhfmF8Sp/Z4pVKVkilZp3UE0QAEHez+dj Pu9EMRw9JjKJSA5nD+4BiUirihQsHtzUrZZZ7ewhZpZ6VEK0iks3s3cnovlh5FJl78lB83NysxPZ Wznn3LxFFJ+znAA0ozYIaGJDe2uqVBGePcwaqBihQWnVCR90ay302qMQWWB3Xz0Z67msZ36iILnr 4kqiqeAKm/zTnIoJpa9NbBTm5z1KzsZcKYUATQ6+ftpYSVk0SM89NXFciAKBuR1Zyh4Kbr1qkEJQ c2k31gM58BTBCT+kudTq550iVqHb9n32wDsYHMvp8xNGyo1gn+WWPT0ZMqoxh6GhET1pWQ6MImW1 bMoSLmpdXNTMYDtWZsFotEN6Q37FUqqtrB3ce33oTd+np1GktCn3bKLK03Nlku3/c4Lv/Xlnh1kA 851GZCcbcHaiWBkZOezmTHlhpGY6irhxrMtOPj4XTxQsq96eWd3T4CDxE9b03clYAe+pUa1zSHwH tOgxbwN5UAH4nRiGBUph7S5Do+6GRgu2ZqIsrT4/77wwu1u/Mgm2TtpNQN95YVw8k7YZAh7Wvdnt gwjnMl+1E41BGUE3exQyD+2qupcPtnrOBTzBtJ2qJedmYosAnmSKkNerP96ZTLyTwvhNSrGLKBYO 1l8/Ob9qOhCAiWLmnX4dPmhEAith0xMFzdCeONeHKbGfa5aGu/ln5XbPnsXqN5dPGDVrV6GwZINN T4d2d5Nux9ldC45Swj5vtsJhsXC1X8S+jKLmSQr4pqueBlUDsTilj4O+cRQ25+bTg43EXlRbfjS/ 88H8ZgdsSSAfdsDVq0l5GmOuum3GOx2sCi2JXSW+/LrIcEhyoiwlDNQ5hEo3whxoKag4VkJZjKNS 9KbvN9cmMhwG2T5w8cDVo6hsXvLnnQtWTfr+zk7D/bj6nZ0oaibozMRwZC9iHePKzABxz9iupPhO BLMliNt6Nx8uig5ql1uqOPOcM4OQ3gVCJz8B5cJLlit606jITjZhF3G3G151j3Nncq0CePt5p4H9 urfEsKMUQfPZiWrJZNAQjyrp+t1FvJjnf9B6Oj9pbNOuf+eAMVlnJioLLg7exUoFuji1qwXRi6wu T1BFa2hcHLzXy6PV8c4BY9xDUsqWaNC2uuxZh50IdoIWP+8MMLzi/J4f4vjp5qH5CaNmFBXKqt7C 2kxE0XzLU3Q5ikcXFcDeCWAM2XUky6W59puwn8T2pKuVScX5rJTFaV4EJtDMET6jBlphKevzTgCr 5tkEHvr0QXFhZiKHuCfz6aio7DkpE+aBnu7c2ScoipuXylBJencQmzQk72jLdFwT5aC0v6LKskjf RfJcHC5kZVJrikTLDbnJpTrYZm0XKLT90HWTXvWv/TWpYKBB1Z4uVgRy9vJ5Z3/hct2/WjUIouYN m9/JX1w/CAaHeVZXICOt7J6mYZ4mgW+cnai2LDJzKXP4cHNS2DhOlgNxc21yDk4Mg5IybkRaQ7iN 9dKbBoEP+59EkdnA2TkhCC0gEZ+szzvxizG331ycyc4p9qD5nfbF0wcVjbads0OHbqF5umskAzdn 0/MeNeNw1uKZzQe/uiYqqVj92F1ka1FKCZDvCpWinW7BR3HPO+sL65yLp5FZokFXV51bEtAr7c87 6Qu/6O3zEd+MetjTl3jnfFVZp4MZaNL1tZrYhIRKJV3fyZHh9LyHzZlqaUhG2dbi4DkpQsMOCzXk pGReWJ/0/A1VpsqXdMnWqwbTM+QIQW12DrZ6EIWzooHpeQ+b0fTxigZWWheDNfn0RGXmRtsV8sto gc4AlB7EvdnZfG4flEp5Nr9HzYm2C+eXFrqgPOiClUG0oIMlm1otJUP3Ig5Z+K6/MCpsar1TvqrD 49dWJ3RbwWdOet/w6Mn98874qpKNeFYPXG0L95umJ4qaq3RnivuFFfNVm0UGdOgajrt43oNmL2g0 2q5p8IR9gRXapV1fzpUvf5YM52NKOMuVRgczorPPO9sLi2erDkbZGw6cnV5qIQr8xLHr8072IrzA Ly7ectQHkZAbO8VR1ExZHny9jnDKpqJQeRIgYR+bUXPiT4lSUuyYSma7Bk+55km3VtHiQfeSojeT CyVPCk/fetgg9uKd6sX2FN1RyYTUoOlp6CEy7hn58870quLIPB0csJFynp6wvxO9qlzGToRdNTsA mwndtCiFraOnUPeZ0xPWmgkdwM7x4ba3YDssOzVYE4OsgxkE4hVQMIpl9SE3tao3jWZHjgeiDQyn DVTgXpWQnqznneTFGyh931tUSKkeFb5zvLB2CsQRs2FPT+TdrFiYWS+WdIKUcM7eeud4EbjD37ky GefgEIyBDYWCA/L1E5036ZUSudto3XctaWix+XlneDHlIgZDDsTLHYgnrf/Eee98w+hg/h00A8c8 7uKJguYOeL1VwGjuPbwQ73+3d6pWSn0ndzHdG1w0gCxq8HM5QwjZSoVnixYj604CK1Gqe1wuJ3Ul 3rldtzc6KBSyqSLPX2qfpGTx3oHVy+ed2sWDy+8tVu1xiAo6yOmJQuZNC9ntUc8UzRQobz5IKMbV 23rndVUBqDEvOftg0zNRSYMpsxx7MDs8nOArhVr2LWV0pqPvlC6mW9SREr6Jg4CnZ0XKh6/P+Xln dNWHGWhrGnUaev5qat7DZTTGuKadF3guymRQjGWNi/O/FdeLfudzMddjQEhMlwaHfZ13OElE5txk MIqa2foAuVy5MW6Hi8rs73QuLHKGOo26sxpU6TlbRm31E/R/3tlcLIT59BDaTdDL8Hj5nc7FjUVh rV4MjlGNlowMchAed85NAZc7f0yUbAkpSGthDp6NnkMZfXRm6xvTReH6iTIL8gssryv4h/iZHxJV wmggq4IYB3UAT5gmpeia6uedzWXoNMu26AwFske+pbB3NhcOZqrH8uAnJgNQG8NkdPfr6Q5bfidz 2ZUJzIGkADZF8idjngmTVNyK6JCmthLRGJPLhyiXRDaXsnVyb9/JXBcCNtlyAxwCXz4vrvPSXAB9 nZjwncvFOuw3PI6ubyQ6a37CkBk48SG6TaM8lcWEdXbn3UKjVfMT1pkFkNsyhd2XLgkleeGdeItS RIK2IqhIVoijjqcYRh+TzzuP6zl9isR90WJgPeNkg2gOUbF3Zj4fbLB7/mBWERaUfBEI7zQui9Pr BsBS5bBx5SJ7GV4OA2xGExRVmidlIsskgYKDnz+4FQXYPMdoloEmTXsqwCYngkhXZoMY6c87h4tL XefPyD7weE49Vf1656d+3ilceMNfXUAWFliVFYYwiplRlznrfsk7tkFOU5JRk7UnCSRAqZOuEO8M rtvH0UGlwaYHmho1my3NOftpBIEMsrA3iqrSVR7jKft5J3ARWdsJOmXsvCoRXsQRlkYX3J+e6/y8 E7h8d96MVP7eybfXO3/LyqCQAit0EamTXDQaWEMdtbIJCDh2QyX+nb7FoETAFVqqcfDVc77bDJgc m4ALerMEaOBWr/gE1pqtCYiC9+edvVVdgH2wsapBMMvzARRLhb/E+Lyzt1hSSN+BD/dq87D5nbyF 5VPoM7lcGkHticXTx3ShJ2ITNireqVuWuCEWZoudg2ekCRVyls8rJN8aJxIoqE31zhNWLYfnQmSR bxodzvJJrXdICgvnNB/QsT7vvC1Gzek7I6XqXbsZ6Ttvy5AnddDwmH0chUEQ8N9DRdW9wLrj2fPO 2qquz1IMqjuuze65MBbsGwu7XJ0090Q3Dcw4FEBQznQxXyyIzztri1hSZqRSY+vOB1/oShOwi7LD 5520xchgfuVccKmUIa1mJyw0DxTDHLXCKNRYbaUXL4Z1p4++c7ZuRnrCmOWDd9hXbudykQDSOcSy UKuCvQ+6lXVPSam4+HmnbBH3vgTrnj4wNGwrC6yR14mc3xlbLOO3r6MZxNqSbh3+nbDFm4vVHlPu g3WIuqWTbrjkS4DRbvYP74StKjMS7KRxB7/a98lYKkx6IMRVoSvLsllCSZ/qpW7RnFmc/LzTtZiw EzpX9/SBiwe609tYAePzztbiJrmBITPS31srRGegTYnKneEPCGck9Vi6bAA/pWa1sHeu1lPs6Wwg c/BqBgpeiWakFQf2zsZRZsS6KArvW0vX+jtVq0raFXUM5uscdK2voqjwZDN8+l8NZGr/IYDdt1L4 ztPCU1m+GF6GT4ZYQaeqODdgOzfgb3QGOuk+XJR3PseyoMwZnnyLKX0potSiz/ScO/aiQTGDGuJt y4RmW6F/gf82ZHLZ1+edosVf8zskhDoV7Y5tcqKQuSc6jFi2fhJt6x+fSKu4m9pkT5KzE8OZuaPU yane3GXMvOFcJINUKLLg5gbKGbUFwixQgDf1Ppzm+IzgUmcLp9OiQQPPHRRIrEG1Kp4OQsJev9YO 0TjirHN6woi5kPZSdGnBEch8HNOkwDpkahKVjDk9UZlZaG/4vvngi+fc4lkAPshjIkolrhBgy3zi ZrniWSmMHlgtipiX4cJYMOSg1QOxcmEzEp8O+uvFVw/RB9ha8pwhYyOMmFkKS82h8NQFJvqA5QVs rVWqHTz9PWAWN/GsFEpfarBaz8nnUlq7qP+3R0aBDzkrbn9YAEPSyQNmlsJ6SPHjtACH54MKhUDe 8k4uveLpgEKxfy8eVhA8Xu5RvDw2dVj7xWbUbZWwnq0SNosTBXqEzRi6y7cinn0jnnPznVTrTJiR jBNRugC0YLeimjDXLYZRgQqfEaQTkg6tbGxyEDL57N7JYlg92USPwmUWO59sYpSf51TuYZE588WS IQrFnkYuusq1LmhUyseN3qMisyVbSaCw9IDCEn7pInvds9HRhiWPAlTvRjQA+PzOniWwp0fxMqIP YFZoAlrY+cHnsLfOaxIAHDwecP3mNzcpTwpcZ8eF9ZDtV1EEIwboPxCoBEWI5TCYLFs5TPVj7q4o Yp5scWWVAjl4SNhPALSKOcND6pPK/QN5S2VFfe3HFIzKqj0KmRUrb93r+97rZwE1OV6dlYqnIzLk RV3Kag/l4XHjnh6x/uDaB/7NtIRUSkYV7Hqi6fKPupFMSHsMzjBA4fbBm1yt0PPKTBznpjo48vWN C2T/oHvo1WZaFfWo2qz++mQz+gxNhVF0PhrvrnOQlk9/j5mT1h8no3GxjR+KsdrsxDEzRA6qgQ4q NPSsWshIlKhUFrk4OyHxTxoiu97B0vUTIUyEJtZeB9BSDP4ToeDcBsHQiz1gcPBNg5udyyYPQuI5 qMkFZhi7OGXyDaPt9Y0+oMwKVWQ0O1GxGYCQCidcIb7HMJrx+YrQ0RKyp2Zrr/e/g+a8bZau0gpw GYDtcvF0qFhM7S5wJxoIS/tLJIPKzj2qNRMXDUeI7QOn5xzNU7Xmk5bi6eB0Ht9BM93kCKrQ9LwH zWKbnLCH3EeY7xBRhriQQOEqfT5Ab+hI2CNwRkrG2m8+eOBzcs56lhCxK0DeF6rwb1mDoLg+r/7D Zqm5R6XmKsViKRiuR8EQkZaQhefIxeNBvt5uqVm1jy1VLpugCNTcpU/BFgVdwLCGcfZ0SGIVCWEi WOf8ROgMy7mqD3xddZBP2JmGCBXndJhd5qBg61dmNHvesweRED4jAoaxRwFEqQ3aXXC95dlxfjSe /hewUGxsVE3KvusnDJyxHimOS8z3lE8TxQ+btFbwKsOmJ6o0q4VMKyQbvBhGwjSqYzRGhXcBS6nT uNsQ1vMmKYMtfMb74dMFfSqkGjTnbMDuWRB8KG3g6X+uHk4PTX+m31wjCpwnHdLadMaAC2HOIm1w wnaTIcNGVGme7HQBWOmDd9jPgiGqWfbwVcohZwaROyVACvu6pVR6XIwocM7s4DS5ODSXrocHSOI5 fY6wjKcj7vH3zZWF2PXFM6LAGQCnc1sxNMafNmpGQMRXgr0gfHlOpmyl1BFXmo3Pn33w1TPQUSBc uwJyWbcUfNhYhdVw7+XJurZeNSql0tOqsR7PQYEPDNp1Mc+Np4PVk7yUimsqb3nQ+fSEqGbyzWg6 wqS0pG2KhUSi8Oqa11J3RJVm2ppA8WhrIC6WLfaJn9m3zGITiCIs2W8UMyB/Xm8XEPyWgY8IUJdE hJ0UpWuQfAyalJM3Tz//wdNRuWd9HT1kKUEr02YnCpvbJAU4e9iztyGfmtOXABQx4vqIomYDr9BY EMOdnHzuhhM38+IC4btnK0UjaoAUaRnPtT4xOSE6g3ooJ4VoPjDpGuc0k4PpCaXwdCR44DsLTwFb XtgN0uREETMOuxNOGIO/diqc0CN2TnkRwiPWALsjipgXTXRrU0mszadDmgZjHR3LJwIqwhQSxgHK W8aNfxG7Va8a7Cy21qEFzWGYYdc6i2kS5HkiuIzHIyaXz4/mEnW4K3owwpi50ZdxiqAENwspOU0S cDIld9vV5RlR0LyGAeKTD3eC4DJsuLkO6D3Z/UgEANrH/7tOaDzP8RlBUpqKLqzug0F21+Deqic6 wNNRi8tzUlYyyVUat00xoqh5UfNKlWZ4WmQnDLRhfwfWOeen88e8Hz3U2SHewAeHaIBUsUgZP0H/ gAuz2HA4OijnTQ15adAQ8T1CzQz6gyTUlW0Qn+KkpKxptN0Hng7CntW+zx5kOZTs0fSEAA0KElN3 jhx26Teix6WUHQlpd8juiErNk4CvIoooNHl+eEuAJglOAPYsvHObGV1AaVQtVejXXYY/jkt8RhT1 MFrWycyBh8+ZcN1hwOXh6ajH5auHFR/0EelrodkJQ+bNelTxk5kILSakaS1vkC4TbhxxyEw4nNBP +UE/QZUmtZ6NyQUxawleg4oA1huis+XTswm7HGHMzIsrJwLoMnRreGScoPCkbUkn7l54PgIgfOek jV2uyyMdYdBMtgmzANp50jmckO9hgTTkM3Kx0yesNot7XWnBnOu+cU/bqM1T9OScSRBgoZUO7jcA drcuMBe0zlnv+hcVsInG3pzGvs+yy4oLzxWMp6NqvEMLRTdh48PjwhmWmxulPyftBYzFzqRUvxNZ 7IOqbxSlicLmxdOnmEvDelrsiG8RmXAFARVIiYiJxjuCsxPEjkcyvtir/pWU7kQxo+3A8hPSVylu IX7D08H0tG9gKkKDQkE0TU8UNhMST2NqK4ep8o6cC3V6ajZu3C243GfIBVQdTPbUHPxs7pCFILSm IupfMvxcyMLYLuzl0mwh4cM3jarx1M8Q3WQ53eRsrcagAIITfPpfAmGYCcSYpXcvh80oaoZsTOV9 axT2L0S8ged2d//OGVIBpZ8BdVgbLtUNnNsk/AEMB+YysSeczefnNlbjxSMlw3/G5WYq6k463Gbl EUlMxSK6SJ98PFKnueVmwVewhpcfPjOKmwsAACMZVMVNz2BaQX9oNpGvlfAMq82E9VRKz2jwcuEC l6UnylcvGPRwJjMdyiEXT1tb61ZgWvEZ0dFDfEaidg8HHT1QM2CLva2CpyNChRebheQBrapPp5LO KHI+8Szcc7Kwl63RyJCUgTrSZSt5TjpDjTnJ7kl8mIPNz0mvKKxC7G5PQ/46Y7N8Dx4HLbKMUQGI Gj4jUogg7KmbyPcyZ9MJAAXxuOh1zShwbs0jnyaaNptzVy4srjaTajuHEBprZKvFT1YQeHdVhHE6 myOEhhXjizTVSn8Khht6EJsGVSh45lkkhoqZBGwC+FBnc82tV43qqQx6irgDrvIC7K65wpwdhKej gth3NweY5UwwsKYngmjgfERQ4urwM5uARm39qsMXu9lnVG2W32uhMKOGC+85O+vM/hRpAB3xJHgP RVr3D6ofvnoonz+juDkpLd1EfnMw5OWU9ipkRvD0v5CFLBfiex4XhTBDKmCnaCOV4XkKGfrprIBs bKWenHAyY7W5RWzGaj742QwtVDpfsVM6u7yrIPN93iyjXnNdl2hSMcNacxaJSwZeY7lzV2qJITR8 RvF0hH260kbUTk1ch/fmijAaiOpbci4XW+IGQpA3Lvk4RH5xa0WBszBzVSbv9TF5B8aZwQ/xPR07 IgkbhrAQiQv8PbzWzHLYjOLmRinmvmnlwUG0AdSoGbbk3PB0tHi+6UqCpd5q4QyZgFT0Z+sF5bC0 jOS/ajfRS9SHCfvG6olV51jN6KIr9YeuNKBpLvg5PJdI3NPNBVYFCn8PIcfinihoZha4N4vGGrS3 xljikZ4wD09HQfO3rhoQ0idL83t9RUEz3YcHYf40yZlsCAK1KzALcJd01cXJsyKMxjAECwNiDt5n Bz1ARiuV9mws1huDHYBCfPEeFSL4wGf8xfCfUrmcrnJ5QvsiZ5J2fjiejsRpfilEgC3Vn9kJS808 l9ueFvX0SyNNJucDX0UrxK8Qo0FZ1FL3HexWPykugAg9qRA/IRaB2dn48ooYBn4uL95aK9aco34G 23karN4zOms/ZwtUPB2hw/av2QG057aQVwhpBmYX9WADaVDAlSANmp0KpAFaIU+eFYI0KH9QadSG oJDL1xgV7fz7TYAPdHsS4XWJ1Snw6gD39UL8Od0bPiMoNdMZp9NHSoMK8efkaeY/NfD0P1OKSwWk CZ3mJ6QCFrjSlSzbqVZVYd5weLjlsHNi+OYKQc3SR51i+M8vhn+ncpjsOvbYLGabbFgBVbak9lzr Sa/6l7wIlPZ90Pycc7+oSZo3no6OHp8fpWeZKF+fnihmhuq6Y3VZGEPNGgCoNrNT2BPF0ri7Yi4g y1tJzcCULgThHPq4WLi7kHJlVh4HY0+qwSO2ckIOkEj4jKAeJiaFls/y5bNRoSWEoJ7gEE//K+oR 34RVo7t6opA5CaDhnYouTRp0KnbtdvbsZXLeK1bPkKWrYD5tPmycMzF1MeaobZ+wR9XCARRLw32F RoOtnpLoGLQihIbsgEvd3QcjVJwfRJA5qnl4PAL4fMOaUWkqVFjW/EQxM/inJyHK2+hKqqZSdG75 6QPGlE1QGDRX3VlCiuWnW3GmBLxRs1GEvKyp06COAQhkJ59sCIOQ9aqRQAQmBqE3B5TSOD8NbFIq np+pwuPB/DzCYVxA+Jx6c9IVhc1VCLEhvAoKEFWEJSKri1QdsVlZb14htFltiqTeefrWPT+PdwYt wF9WKIQy50KMBDEs1Ba9HsZq/IoCZ0U+s3Edzea20jCPIUSw9tzx9Pv+Wr/Un4C/BNHSpyfENlP9 Ka9k5dTaZLY9R7MKfU6lulPQCgvOS4jlvHx4EFAwBM6ytz/hMn4sy6nbfELzeCBQ9N1eMbiZII1O 9ScVfnH+7F3kNwXqGJ6O2uyek2JjEkhLRLvmJwqcKfKx6q340IlSFTH3ct3bJTTW37pzOZt/+9U2 QrV590wS3fl2GyT+6MAJUa52jrgfnHIe+4yhNw1IFVn7anYfODsn8jHP7Z35dLR6fklZo87F0ihn Z0dxMwh5UFcwB5yRTJRm1u31sNGnIb93SAZkEb4m1gs5eNJVIH5H1YsKbFih2ek57xEUEk7Wb+gj t+Qdxc1NWtbzGXQ4oyRJOAvMQPB4xDn55SpAtfDhZ89+D5zPU32Tor0tKRWDHeo9aVarh8FK1OYn lNAQGXmJFbDaJZ2cuyVDVzBJOgxebhS9TBTuATptu3h+AbeFrxok7Yx5zpmTfdDegk6tWfzxDaOz +VueBqhzRqY2PVHkjCS0pTEsMsxjWidww6RXatazVzPb3mHkvBUZKu2aT9pFGbFOOU9ak25CpU/A iO0GvCjlg3S304AHnxFMz+C33lvxQTWNIcEqHLUZT0dsQL+6iE1leffurShuTtSSbs3Ee5YiaBC1 1VDGyZOdkrNDjIb5dUhVtn+xuU5QlTt98ip15wCJRy0etUIIrmCWnJPDatiOwuZdhe+h6txw96FV zlWv776njaeDWmr2uIf4Hmz2ccFhO4Y1o1OQivMGimtZk7NP3kDb2azsd0wF5LSM2X3wnUUlrCQx mPPlLgGBEh246vkNgfo2p00sAHxEpC/CahixoRpUzphliVFzMnY8HYGfbkrKZYYzr14q4I6iZhw5 VTbwFNFAp42zIzgjVdVksc7FE0I0upJRsrRrrk/QA2rRmKICMgAitymhK0N3OzKWrFFBjZEdSjVn 2UbPO2hnTSDxGMikhacj8R5vVFCqBb3rdOVXdoTQQAOn3dIOYkKTNuoU8SJwl5x9TU8oOsdEE2Yj PvjeYnpElhEQLPhv2ltKvNsP5CKR8tGotelVg1t9sUdaKcHCwXqkmZp56JEWPB0BfPL38qE22yNP s2OIBoVYCUKQUHwyriRtMonbndmCnh1CNKZpRNzBSDkF8jQ7JZOTTblSGCuBulqwQCGmpNVT6CWL z4i4kpSTFe2Ng4CpRSRLrIaBp/8ljMVaKm6sdQvxOyw1E5fKuvZ/VBhT+DORXxtlie6hPHrCiFm3 FnTXbXBGBdS7h+lQNbAu2e5hIpoBZt8PzXZPvWh09OAyr1naatkFC2HuJfme8yPxdFDOSN8dZHLs KOKqyYniZQDdzspe7s7aTU5/0pJEXMmyqlkw7AieAeQAYkEyjDn41mq4dJP0HOuonZJPY2+Uh841 8ANawzXwaHrVv+QzhpQqOdi1lbLQJ+OkWzsqNLNz+KwdQBnyo4Kewog5obBAfC+ErGsjgLfCyn0I k5Az/NXNrz7FAho8cBszCg6WcKEQvDI7U+CUQvqVbAH0YhtgOeivOcCHjQr6XATJT+K2orjDnJ56 b0AeGEmcs23y+Qh/eRE+VNCBPiCKIHeWYus/KgIj95ELcl2G0qCdMLPSTMVyaumnMHKWTnyROph7 y+ICS+1chUDmwiWn47jOFCWmFDF2WptuyZXRttWnBNNEeOGkyKgG5Raj1UqcTjtBEZ8PkovnlP6h kSL+1bOUQrMTgiVqKta0GFs9nfM91Wog3uVQMfpLBHcE04pNJF3eFwEOvt6ZI5w+yC6gqc/sYkJ9 pCLCmOiXGlqDOFV8SABHoNRRreoJVohiS6nxBNOLxOsxx+IPCLCYV61GfR0c17e4aoy41wSVeh/T WhdLwjXA8q5kHIKFzNHshEI/QInvSXAtp0vRGQtgnEQNlQrvMkKezjobsDphzFfSxdMtebSmKI7u S+Sl3HxQ9wKFEYYy55P5eFR//oVpAdu+kC5vcxSF0ihmtcLdjSwVIAJVyBqjOkr69OyITEaNwdHG hvGeywcvsp7VgRiUrkIZvsW0zZl7VbkK7XEFruF9qQ8JWhjMU/uQ7siwPH4DVsQPhCg4H4+SjWsN Q7gogo20n70WlqE7L90lVB0Iy0l20RPdzKIyGfQCmzZbFFFrjqpahPWrRXh++EAqwVsfCqiDwMwF LjOExn7Q8XCeKa99OpoG0f9Ugb76YOsBdSXWyU4+hsff56jeNhi+aVI+69cyCgU2MKl9V/XdIfmo Pg8k5YTj2Ou2eWj4Fxz9XD453eGBPe8TsBFah/4+43eYEKDGqky+Xc3HMe1do0YPAeEik3AQuqXC OBC/39x6x6DcsfJdRUYXrOW51UKRjUYQYLfDqG+XXsvdHKs2iXF2GIWl6J1UB1o+XHDvCWH3FjRz NSyjJBMLwpNQL3f4D62k9a5B3mGCvHgdDCYnUtGuJRn3PMPHI3zdLQiZzyv+3Wp3jsLg+myxcyZY SH2m1gWvU09KRxAIe0kRPydAz8v6jWQTDR5eV3Q7sVaYfJx4SaRBlgIq8rKcnn7YtJeNQHastW46 oVQ3KFwL4kFd6frk48FGq+lrGYGeUkt5pihWqAPTnSEfhXnLMOlZRK5WVUylVp+isCitvGKv7sOF ac4Fb3ad1+dHET6KIxYNedg2bFe9LpmcbnxIUBsqwtCzNrS8NgTOiGS24WHHx6OmoSchPHbwVZWr CpBDG8EppzOrk0GH0Kr2vbnZ0OqOlcqBjSCiB66eLZW6/dQ/zleM/xOvu+zdNIkJEsas5uOAMe6g LC1y4COI41ZBtqJHL1+uk0x0UZQgDJ5DI8FHF4DOTYlKQ/csCp0EG2UBqOnAJDabxRnFYs+fMqL8 aklsDpwEAaMUEog2lBwsxz+BeoLamGRJzuZK0gZHTna+fIiJlt9d+Rw7CZJ2SoEyG7TNTpIs6fM5 Kh+Pave/bKRxBfXb2sixlyAcArZsquhwYwYXE7Buy2SbFJ67fk5QRJMakpw665dTJxKSnhZdgdFi rVMHOw4/So5SbszQmivZy0bAlyreu0JH8roIfMkskZ7jug4+HiSz+zsDQcU/r9nvFIVydQmR4zZg ELqsEqlbg0oz6q1Wp+jm0FJwCPsiMkb9ImPMCnmV1Q3wmz3fJT55I6N9xGjZR+CHRPIbJDlhV9og 2B140jyJVq58PCqH/Gpx4Oys49lnodKzvG1MuAXOpoao7/tKuJTkuMQcuAomUhnpTTB88CztrKmS EHxhFcG/MLEHtOhmjzinjS8Lh2ZvG7ToCSs7E5F90FmEWIVNsk1b0Si6Lr9VJgiEmOnO0XtwjSyd TKfqBTVhXFFQm9M1gKgKoo0Wgjymguq0fLiUFYTRW5Qw6EYt6ShQRh01DgjR+llEDZccOgtmmeFm eqBx4Co6d+mSz8BJp/n4P3Uh8W44PaCw5zMUFawh4V6ZLynVb2Nbqk+3UAlxkE+gVRTCPARa3ZQg S/tKkA20f1ZWN6jDsInSoyeNhURB7kQpPygqGaCF9oLomSPL33dQPWRm2otQkH/z+eDALp6ASHqh IHB9tlpYtaZRE3GjzGMXbi0w4jvRCSytdWZNMseN9DiWMB6NLQ0O3k/sA3UvHdjnhh8UFhqQI0a7 FQf2tFu/IK3Vy/4lL7oUOq4bOp5IJcuhciY9HqGkLwqYWmSoCdfHHzewGcw080ZglNlerdSRouQE 6rOGNsvCAXOO4uq19LHbHRzMCT2UCdEGmpaf9SP7aVZ2Oova5cHDsOmaQ6fBzhNksX2qQck+1IV4 4J67mI8HSciTpnHNEPKxnkmKbVNwHrEx8x9+oVlqHLPtPQwNTDUEbbYwvhZi0aTWnWLCGjZiI0YM gAv1czwV9T8gcY5LkLeaGVple9dISpNos01YcPEe1IL/ZZXuTRl8PEhBLgdTMrXnX63HpTv0G1wU sxTGHnOliAhcBHYZiMqbxVB5OTQcXDK1musOF7SYIBuj+Lp3AHBI9IGbZ5XC1JfyTbZ3jbog7LzK tbKSMMbTaJ+YipENqOZ8Ppii2wfhIkKXPF8ebw5NBwHTaLxOhDtDpMyqWoUSYxH2A/gV7bTQdnCI 6DzExxzpxkYJyvHZCRuoYtJjfVN4oHVfRawYUdiTHxLdakR/UEpHg9AfGdVA1lvL5ONBbLS/9W8I lGcR06Yo1OiYBZyNJBJUNUOQAj1Gkww6d4Zmi1MURthDOtCEOnDw6izWI+DlXEYbmg48jCqaVOeC +MGhp7Oo0MqFHxKEj7JPoQOlBl1qJ6ktvLdPaNH4fJCnPTc/8WdIVyiibJMUxtg8vrgVIRI0aCLK q5/ec/+BVMhMxbdaCJ/WVhPvp3zxfs5dP1AzYgUbLRHYm545ArUZKcnP2Leshm2vD4l6+aynSbSj sYGOZQR4JckJ53LofDzqVn/769EdbV+fohz6D+4hgU03fXdZk/Nr4X2pXVuoTNH1Y/6SZ6VYrg0+ QyAkkLENsMNZToUUhkTrIrpn9nHb1VQpy7EBIXuOdZDcwsGT/UUVLTgh8fFI5O5bcQG3Pgt5PkNR 9brRorVb6aNuUTkGxHBK/nFPFXdozKEJoUl2bJ3X+57XE0nIuTxSVlHtpBnK0wgyJ/p4Xs68VBJz 6ELIj8fLLh8EmDlXmoB2J3zn48Eiqr+Oa6z3rzs/9CEEJrxpX5ClIEQ1CFLTbv+TGhI/rn0Wat0Z ZKbcwQoiqMmdc7gVZfuZHiIU+WWCdLKddXsghMHm0IiQpqCgqd9BfX10R+WCugYfD8LrtH7lIFhE /TmIovB6UEd2mz4r4CHLQCHOhz5XD2UBuYZijWixwlk44uBraIEatnnnny23UUHiGiLmDnj864uB nadXDSaICOFz4w8fmKShX6QOT+FRHQXXv5VsCT9tV6w1h2aEIBmgYG7Qh9EtFJq1zOpp7LrnUGit okJRbdkHL8vCnb1RkLkWuNnsbspvEEqEVveFXKlaFHoRVlb1S3oGzc+k7iobjZOPB6fQ9C0m1hBK EzU/IVEUVzNSl/ggsSGUQGUTLRmYBtIdffoMxYVr47okHzxs7K2jtsMGCKpHULGhuklFzY9IuB9R IfOq/rJB2CihcaYsGgyXRkt5VFpr5uNRuah9Bda4tIHU9SkKLQkn1TtkMYxjiIgmHEPnY4fZq5wk 3iCxOTYl1D1PvLSG20XrsH9XnxHanWWLBI2KB5LWmZ92tb/rXzXHk/ttH4SfOZtYVMQ0Jh+Pdtk3 XUrF3WsPlkNbwo0WzZxukTG3+/OM1YTiO1focD3tHPgSqkhB17Tig59DDY181vYqqkhuktXRwsBB CUqiM6GHv2zQ0RehbJHxy0E1x3NJKipuWe8YgB5uXC1x8vJtWJ3/8CZkFc3qi3XW6upuy2yfTmTk Fk859CbUSZ0bMVgcPPMAzn8mdWLxQ5Nko1EhbwSSpwdjNClMmkN3wsn2R2EvTIMVi2pbTPjbSIPP RyJd9zaTTxgqpKit+iyFvES09FngMkOIbPKSfWyTeYPUk6+jMLBegoawsc/Bkw/IaLdE6fGTRs1J oA3w4pR+qD9UCdQ6Qq9B7xqxfhlYJ51JyUubdB1gV+rcCnrJqKXvk0SFJqBw2lMtim0KYfYkOXXm sOQv4TSCJrbhZBuLiVpJIczaOtYMrTncTiPwS/TqhV/P+aRKiBFIQpU/r5RHTSgve9uoG4vrbCRC Q4Z/E9CIk3x9TXvw8X/RN7nskJvxV/dJioJrtuYIA8msFlGFD9f+Bl+J8JnzKw5TmsyhYyE1qybN 3m3w/GNDb4zee1BpPzckNYXw95BxOfcnVZLTo7iUA8tCIxkCFrZ8sES/5qEzBrWQwLPwm8ig9hJ0 1u8EhRbfmTK3xXFq2bQHcHIYTm2n4cWiwLIQmivSNKt38EUElodMTipgNGmwvL/Qta4szyH9McEu ldNCy0IqGG4aodggYAicRhjrdB5GId76zo+EgLH3n8gx9CxMnfR/Uss6tSelm3OiY8dbd9xCdhT9 bVpYGjutHPxK63ucvStFxV07FZIp0o4jsKAasW/smJa9aySpKAhfu4OBHRM4Rso+9JJR8HjPax4X ZJG35ywKK9cM34RN459wYaC6D/63uRtN1r5YLIqdC+WC0CRs1h5hs0a/Rorin19rwQ9h0RxrS0MH pdRbc+zNXjZC8hGeRgtLDaruQxWCGVpBxzp0Lhz5uyqbM07Y3Z4rLQRfwyMxgVpd/0NqACkM57zO kLZppH0s4C6q5igMsKWKB+6BDd4mgohcguw4bn6QOVksGtQN2wwqy0OMIWsoB/aFSdHNnsJfT8df s7bGfgKcvvh4cPGP/StLA/LiudNCA0P4CyEkWs5tSFYLacNBWOf7MlpVDgwMs/GBUV33wZuNJ7Q6 P75QjqAUZDTKVnA0oAwCLr/lsYOE8hw4GPppPREr2qBEv68tAH9di49H1SJfRpwM7NjHzieHFoaA oBCAqHbsdK/QCbMQh4Yko1bl0MNQxSI4n/twm/qwLOgiD805GqGO57IcZpUFsJhlaUOBUWBiaBKt sLVrPiiTLV1ACyC6+Hhwn/3SEAReKFNwyGYoCrArwpNNGYH/CAW1LJFlbCNoiKxIun5OdGCL3kAg lgQ+bYqgiHFigKlEFnKOKnGzh00Y3Y0dIaOpD/kLX+QEtExqqO60KV+Ns+4Xn/+X7AcDTSSW+SkX BVaGUjmC2Ie8oFqlzQDN+rbd/+jGFi9cx2aGsstKvftgczSgEywXAlrODmMRoc9fIBvRriDToJZg DswMk5x0z42C2EwD91mfBFCgZTA2H/8Xy0qMNQC8+5OBBH6G8BUblz5Ef0oThjspE75iRo6UGNeV FhsaimiV1h28GTvOYlmsxWED4p5le4Z4GzYav/w12raXjQQXJcQ1qg86i8pSMwGS1nw8WkW/T2uk 0evZaSGREaGbgfYQGbVkrdiezQ18QxTQF1Gs/6GlQWtDDn6hLagTDLFlEqnlvNAk09lpk+MbbWR/ 14BPJKtQWT9y4H1WIZFq5mKVj0dl2e/YmmtqPf2hwNcwk3qN9MNE82YzsDXSWAfMpumG1zlwNlSv nSDH6oNn+xUZFPsmiIvgxUZkeqNMOnKApxc7xHAIrA2NzrrbkFHC6FfhAjUprYvFx/9ZmCVXGFW5 nq/ndQ7tDYFzBGZAQuVNPW66plMjCsFjbtQX106LoCGVx3DVXHHw4BHaB+f3IVANeFAnZuFfIuTA H5xORNviHFgcOiN2SayJgyqPaNYInL42Hw/W0Q0e2VAiB/ACrz+vYVFD4RF9LBrBE5vec7VlpIMb G41wDIRFr0FRSxSRAACH5D0O1vyYWFFpC1G8UdIn4kF9B9qrEuBkku4IG19DoiY9IJgtsCxrIveI rAc6Bj9kT+Hhl6lpkDVVUXaoT41vgCLWnWFYNDMLawcVCRptFGbwpMc0JFRcO/UKTr9GQ81NZ+ti N5/DxaOftdIIsaoAhswujBY4H+XsYWQN7gZgePTXqUHXg4UPXWYcVGw8O5XTBGWaz2sk1AAESTY1 PGiGEZU1Na9xUMtMc6BNZmTGRENX5mRVdo/IySiOiKl5jYKaOyU0Gc62x3B2TfRaJz15KrSgLdou nfLlKCaxEmtm150v+r5qaLe+KTdsA1cNelg6mvtun9cAqKXrIjGEakR/Ykyfmtfwx/fT0InDBn7z GmwtTs5DwCsX+deZAemNgaGkTOuXlOnA3b6KRIfO0dIW+42JZjcga1Bk3ywAst7zfWYyEcNjkzI0 6GBWuaF6VUe6n4zv8xr5tCc21Kohf444F07Na9iDhxDat9sn67QQotfsmtdrthg4/zXmaS7UCSd6 H3w/wUllZyrqnBj8xOHS/oIEQsGpDLFe309N7xkcNVR3rdpP1fcTeF7ERaBncQLn14CHT0+bGuYh +GJo2cCpeQ13GoJmRBqzCWleN8t5bNS36mpe/f8Y+7LkSHIk2f84C6UE+zL3P9hAVc3gwS63zBqR 95DT084IgnCDLbqsamH4NdtpssKDuGsavvjegMtbYMMHlOe5rrLmY6jw4AMJKIndUsQr4Yu+7w11 /zZvCVt0kZ+6VJY8J7J/XjOd9vhBDeE70UUhqJJb85rnIHafv0ajHTUu7lYGzdaQgQ4kAMSaVxrD 0zci2hoqtjdCpbT4eL4AkrfIV1AYlrhDZlFxjjmiq6fKdLd+zXGaPIbP7u3SfTHGy9Jf4twa4/Oa 4TSD8z2xBp2BcrfmNbtBGGZHYdoJqYPeJmRLD4N37JU9A3xNbRCF2TVsEiVvjyj5+c/PhT2Hej44 +pTaPy9UkhDcT/5icqBd+XlNbJrNjf+laA8J2qURBJrPr2kNv59fULzLEGUG24YUxQp2huXDUIDB v3bxkTz/9PTebWRKQfstiMIyJq7udnSlFefuwM+yjXZSp5o59sKWgLtBgXEcIqtBt33RYGu4J5mW SVrUC1tdQl6FypzB1jh7Y/xMu6BKJ6eVkkbB1mgYvzg9RbtlunTXmkMD+vPrVFc2e+0UNklC0sy6 +2KnBnfULotT1N7pokoJlITogi3JnAybvRqNRl77hNh/0qI7YIW22Fwn72QmPng4uqH2V6xphEje Y/POH8PFi3Zpa4Z1aY2gcxBb1jALqLN9ZIGKYhftjlS2BSmrX5Cyk632SfwsZoNng9ATQSAmQbPC sOEZoGpk8Z4QyyHrxBmBm7lwd04knCJcnOj+eWeP4a2aN7ch5g43FGlf2p8oJwbQD6Kl1UCJ2FfC yAfR/tJnwGTeYOTh6VGw4enh4tEY+vRN/bieNiyz1IQfRYzxzCa3Zjokjnze2WM46KWpq7N80Ujn JB5SDTx/3c87eazJhekJO6g3irrMQiBFlxU7mLN3e7laswZhF5DzxJ3NiCqgS7A9QtfDptAXPz6g 46zOkHySyPO3ZgqdNkVDJ0mh/m4t7U6UGXfTfavZFzUtOkAv3B20vt5TY1Rjv1Pj5bag3J0oN+bB nrU5koyqRtid1rO14LcpfmBKEYTlTnhY3aLXMbLb4YED0aZQ9vn2gJKJ6NqTLmY6t7t/GK/yd85Y kxsT7CE4qRhe76P7NVht1pPXfd4pYy1fnJSyY7CNKhnn2p339BhBA+P80YwBXYGFFqmO8phm4JOc vPqeIGdrCvZJaTMutj3nIjo3lRJk+cWTy4H3DMCvSp8zYMpoEqFWRRh7KFi6nkVlFWQy+estTNyj DFk0JX+1MvC+1EGy/YlyZGhcQ2+7s9SsdNihs+Nc8onHxUXvEL5c70nyhdlt2fQpa+X+nIfnbCym ob8EBT7OU4nkoMgqKX35q7H8niWfFxi923PiQEzTwiCCvF5jwFPlf965YiwGPBfkMBmAzkY5MO1P mCjTVZauIPLw2TZMbs3esy3QF/uBUaJsoXnQgIaLb0+GxUjT2wWjvmXjZkpwIf8e5bnX7Zu+xx5y F3aT73dbhknfcIQjM62dG/7zzhJj5Tq+Yg/E4krJ9/REufKkXjIG7kL90KwVFVZyZOsphaczVqNk WXrSVdwMLhaZwcVMtE2i1MnJfJbcsTLvr/oz5h0iUxT6884QwwE1/DtRvlzU6YIRD/OWcwF83gli TeCtr93B9wVp0HbnPV+WpFBLlH8G/DBpxLXhogFgO+91tAbsXo8yZkJ2T5VHSSEuXkvgLu/ga+Di gj2WcIocJ0IpkZpO2h5CHz7v3LDm2gvUobJF23NOuYklQwgmSpnX/q6z6Ow4q9dZ78Qwi6kt7SoF 6ZYrkQf5B4IPw0LPIomKpydMmtlFbmoRt0e7a8mjknY5FXj9JXPMRCTLiR8/hNKYCIxImFHSTBkV KOo1XzQYPf9UM3BjdBxlzULheOjBMCTTBVHdwChrJktkpm5a5ENKJwD65K1uMlSbh3UDw6R5qnvR li9ebgGs2pNwULAxlOh0woyx4jeU0rYxVYq+aRCYqxRyZveFkefkz9SrQ/zMn3dGWDOdpqemwPVI irp2J8qZOac3dyPWoktiHbh5s+ENW7as550OxttPlzXBYlwcBYWIUkndrxiutyVhPPqrAxmIts+l zBV90z/12Bsh6lq4O0A3VnFT2/y8k8EQ1fOva4siRMVzwncuWNOEBtAkYzgjAdXZwbtlDOe2mqHE 3qlgrNWZDVZNi+szLYbgJAXs2cXoJz8gSGyi/6uKooup/0h2vFPBbtrTxW/uzm9e542VehCQi593 Ipjv7k17OJYXqJf7E+bMk1ILBGVgsC7OBaYDzYiFgGK6buA7DQwfTjRGI4hSy43MxMJmYXp7SUMv VwJ8h4QTIBINt9I5K36ngeFDtmpRoDa0WFrYKGNxXtbMp6OKvX2FZkiw5Hm7Ge8kMAR0Fsx0raW1 WresedpdT50FStrw9YrayrquW6XwCxd/vVZDe3BIirz1PVl+ZKi4wnD2h4mFc3aTvmqwPRSvWEIb LEcbnNqtCW94KvbyeaeA4W7dHpq5FcDIQg/MtidsLLNg5/zoqxGGnnvPy1BPzcWk3/lfNzQ3+Tty 8YI0lXLqXQIMz30PgU/p4TD4nP+vAzzhltZL3zRIe4QHJx1di3YHsk18uU6h8XlnfzXj1z1jrK4t 9t15T5mlNgqCcLJyPZtlGEqKbL0wIve0Pe85MyrSrVNzl5szw1d7KzYPyJtTk/NkuoR97B+0hlyi g2nPO/eriesK1zBOsrjw3YKNgAypV9qfd+oXftH8nRWCEl3yzQrfmV9NIssNqFRuCkbdXpE2Az7B 0qBZq/Cd+YW/LHuFnZ+qxSvSdKr9tbpAGAkTLV5yVDKjhH35UjDZ+qrR9lDARBYayy00+JYJMdew Pe9JM26P8rU9fUg317cnajJDU/B8u2YwnlWXkuYJwQjTVKD6rkLze9KcWJmcYzP1cs37csGpsKZE t2R0Y/opxqQogOyF/hRfGJVmXzXIClGfnZC8sy/MCifcdPjrQWvqnffFfsZ30gzNukIvIG1PlDRT 53qV7YDLtmVCNyvxwny5TnFsvdR30hderqFjU5IvnjQvWIEQUlJP4VjooATzPtJlVlfssciM7Ozz zvlq0iMg2av7wu3plTgX5P55fd4pX0QX/KopcC2X4tvzzvjC6SFrsJu41KlamuF3Gk03CbxgMs9B cdxp5rZUvWIaT3J3kCmcrFCo5r5PiNqiF2J3Jqk9txk2iLx453s1M1DZnC7Zot05P3WJdjry553u 1eQQo90h/DCjAGPZp+0J0ReD6HRq/2GsLRcESLWn4hYaENGw/YnhF4vHpm9fbt4z4F3NihTz4CmI Tzu/0w/CMzWz+pC5WtU3jbaHBalIp8NJpzA6IuOZPimfd6oXfs+0vg6PqX/7tf7O9GIHBfV66bY7 aXQDWWbRLZD1TM6W8G69M72aywKhOPfFdmd0GPM1FaTnv3Xe06rEukBXynnd8p5Dd/TzzvNiycWk kLAnLQo9BfYKPD2d3zC61/uv7ZmStbLtiZJmUq2maKYquZI1mudM1mjmr8Ldec+ZPSc8199dPDBv wLurBJIXWJXV0OAUmpnfppeT8hLvBK9mXu/IB9jNIKIOv9TuJ+1mjXfK3PJ553exnfHdC6OvurCD 3J4oZ0Yj48QE5+NO2o8S6C0fVQAx5DDS+VOCkqLpnRrdF9uettDJm1suCHTfZfqIvcek4rYyOsvR d14Xx8aDrDc2wrgYCr6JiYt+7eed1oWs4xbrgngvOo751rzny5iL0UBjGRxOkCEBMfY2VOUo1S6t d04Xaz3CU4ZiCkGaSphPyg4Zhj2k2tZ6ZWoNv/jzgyj3+8y3qNL+TulqcucEyURexMvkquZCv48Z 3ej1887oalI5fKp1k8S/JydKmDtN1cT2x78aSduYjHQiKyvHu9uq0XdKV3PuZKuyz66PWEI7lRow oZRnhxHj2ASqkOlGJlx5hP8YzT/vlK5mVu9QSai+aP63Sx0iUaT6eWd0GTjt680CJSive2tFGTN6 ApACsB78UPeUiIy8jIFLRDsvrbjLzKt6sBVfia34meyEAXZdIEsPOOXZaJoRD4QgvINs+e1brJOA +87nambLKAkZgDJof8F7a1JCEACwkxO+07l8d5+KAkWvXAu5P2HKXOkttLqjTT0nBMjPytFUHB0X tpk5woF8vy+eEuJCrzC1A2PylG6jyzIVTStAyMfTC1tMCd+ZXDf4VAKDzp50c3w/FWLak1kLtM0+ 71QujoBuxYXnBrgBQixzg6Kkma6ge5kbTV3bs8LhCvZwiu/GnngnchG6yD5GF0quPyi5AYk2qkQh NlewStlpZnqNLhaILH6A0Cv4vNO4rPwBuoX6td3dD+C7nbrkoNb+vLO4mnn6KS3Efxej7nS3553E hc/cYJqzW/UPZcu2SdYvMBpMJoG3L7bnncR10SvN+vH9hmfYW56fJKBcRet7cZqa0IusqLHw7vmc gnDudw4XAQSMO/JX24Rj8/wgLBN/0s9p/bxzuDjl+p6wy+47+fv1TuEy6OKptGg6DHBW5ngC8Qe5 YmVeCFDXT0Mr/p3C1UQgYx2efLmg5ROBBiV6UFHnRO3NE59pmnHefzabbQgIGtXnncFlYMUTeKxV 4yxAcBaadVNP+v15Z3Dxend8BmM5Y32/CNQwb15CzBVH5zIdxKQimQ7yZmeYk4p3+hZ+iiRailA+ 5SaGAICdSp0swtrT7MTwAZdLr9+OGeNyeC5Nxz7v7K0bniu5cVp4e+HsDGEuxvq8c7fY7vXkhyqR IH9A9sx25z1tBtRSpk/d5jjVnEAhDK44rfPM2PNO3LolaaOfnBbbnVOxg3nG3Ad2PmmJ3AYWHdWd IWzmor5oEXzeiVsIk9QeGZ3uWKObO9ZJGLI0/c/JL5933pZhtL+zZnRys9dc76wtZgTov7dVvRtm ZO0TIqyIz3BasEHOO2nr2R6ZZKkesWbhyeEKXdOgeQzfHlnP0uMKoo6UhVZJSgTT552zxTRExjSy 8RnWMzm/NgQ5GU5O5vxO2WIb/zv0LMJabyP+nbFldIlTEU7yQ0lqSwzNk5xV8bQbGfJ8t8LMWXf7 Yo3ZHFqCgh0usuftYoFcwYVV0YVkJRM2/thjEdzzztdiwc4uGJ2WtST9npsNTho0fN7pWj5C1O4I 8Ix3q3pJ+k7XIjqDLrLTVEcm3U6Z+dTumU/Npt3/Tta6F1en1omWmzhDY30XNcNOgJ7GU0Z3lul2 ue+W7vV3rhaxT6wFKrugXOxen5rJngSaT0cT5PR1eCA5dmLgPTxR3kxzm767NeJHXk4NIHaaFWnr Bn9/Z2lxdwrT4HwXj8uA8/Te9GqN8zY1DTTooIoB93wCT9cXDboZzHZGS9UXOzt9iTHa+/q8U7SI fPoekaIzjfmAb06YNG8aHxlvgtgbbs6SWAj7zJsGEtydGM6sQzO2L/fsnN+jZ5PJRs6gi43mL/TE WvmK+CF1wWcEVCSdHZoKa2HgSSgqdOusiqeDnLD/isuI8a3esxOmzBn9wV41mwB/2jqFYGwoZT6R J3lcjvrM2p6qUqquq0UPA5KVeCtyAkgTNDIEMK8EjpoWedYLox1Wi1JmCuGktARIXKZUA0eJxBkV pBTwdDRgH9+XOl6terErPUqZIRoDGpJD4YkLJfyAfERB4bcBn/p7xiwBGEC7eWtxsWYPmFRjSoap ZdxM4v1D0KyAt1jTkzGzF9b/TPFbdLHQok7hifZd3b9e8XTAoLhgeB0emf/67kQJMxxu8V098Gzr vc+8fHcmBI3ZCusROEM+BmT+23I7hchnKQEB8PspU2SSRSg6gtxctxtGrB0+I7jTybypi4BdLgIm Q95W7YxTTvQoXX5YScI9nd/rRuUeZsuZ8qHOL4EWjYrRrY4hWUmlGLCnR11mUzv4FwVyAcrcG1sP pxZF870Sm4G7shEOgErD2bNE9vQoX3ZLHjZSK8VzEU/3IvyD5XorePw99DzlBPeHyQwlALVDIdsP fXjWzYCDndhjnbE1K4Uy0A9rZKDx7YpS5jmF6jFTlauNuWDzPQpT97NDkF4DeAeA4Ekk9Q9tZB0Z RoHVHuXMVXO7yVKbC4OPKdwxkyl4+m/1Ok8Lylmy57U/EeVv0G2GWYAkRShmWDHkSsLxAg8/VY72 OGU2ukDxxSsKUIsXFQqg0QpE+WK5jrcaBmZAs3qzmYZFPWo207acjCctmUCCfRJQVoansF0FTwe7 8wsPjwK7svTW7oQp82APbPbL3/IRoJDxUFPvXo32mPXHUzMZWbh4r/ls8sjKmKFaKZbcAEvylISJ Hije62FL69MjQPNU8OG0VouxBUYrqqEmv2Hwcl36teR9zv82Lh6+h71mlTqUvyJzE9cf8N4jsasx 2ExJ1mvuccrMydW0ayk9veYTYdokBPQknHugUlKxjlLr1Fo7XUmjQXnnHrWa1evpnRCWfoXIaRjf TZxwfnqUM6fxjXyirVxJXq3395xZg7VWaNOHtLDoEj9pYQfqkr2e3IBooTVhj5LmvfVyCQCVn2ZG LoPm80TOAcNcjf9HyhJStfmlxZ/0VYP9QV2y6bFni/YHOslN8tdz4fEIGXZjT1J99iOckDYoAjWj NwVctimHDWLoEXw6wf9sxiMHY6+wR/CMLiJF1rRLehyV5ydB+aHLShYEhk7w2DlQGfJz2Jd5gw/w u/iMCBnG/aGwuxZxuSCuxryut42no+NzR8hCXqK4Qdpl+xMmzo2WMqbtWKmWSDIX7YLxn23yBLU/ Uat5JfFH81387oJj7OaFDENiwJ6ILHRZ1Z9d7pSUEuX4jKgk5YuV9/JFeXNF4YPfbqSGp4PjU31/ dOpA2wC+y3jHUeIsl9vpswpzJwJ+pVkyfVLDbUPkEbWaJ82JunC7/cHtLrTegQRTngpxGoplwvkQ 9dwmq8pbqbS6GFHiTL7ciTtz+6LoPHpt5nCV8XREPf6u2LOMCD36jChxBqkKqIxh6hi4BwiJb3Ss OP/KlD/V5TXCTrOQhYWg71a+QN+QUivgemGUc05pk8oTu7P4U6Dpd6uura8aEQHJpIA9ny2C7aat OuZEiI2no0bz+rq85ByaPXUeIawZRNIkq0EUpbQJxeW1C5vzuLyodirWetRpJqf8pAl8ZQYd+n7w mpP4kOh+SghCk6rThDYfmoV4qe7Vfs77wEcEuMumjIcM5LPIQQ5aeBK36+d/8PR/IuMgwOfhrdQR pc0gJIGmmr0Nny9VqTpVKXUz2hlR1rxYk/bBCVZn8sTNgazQKFtW6BX6MBpkNNQzmc6g47nYJzYn hGeIZdL3XVh0jazJASVr8XQUeL77GQCXFzT/bXOinLnTLXZun5GSTEW3WEpRIO6cd8EwqSPKmSeR 8L2wDcjFe2ELbE8qTZ4X6yQom1VHJsyFZK6kVqUwu1Vf9U9DilE4CRwKzz9UV6qTVSVc6fH4f5lx lUHE992fMGvGiHsLBYZ/UaIdU4olgQxC8FDRK/JEabOpz9CESovnPRn4DKYNtVF9X+7fmDoW0LFK uY5obN/jM4J3a2tEqntrXJOmXtmuIiEeT0eR5/vdYqI67phihJhmKOCVPKUff0J0McJAo9gC9feI gFDkiTDNhXKpsGDyxe+t807ge5AmeYLHrlm8HXKpyOfKV4KGkO8RKmYQGpbZyNeiwNzHSvI56QNP B2nP/H672P4Zl0U6ok5zq2QeT0226pRF/AYHuUlYRMoO2p0oadaAFJurhX8gWQ7unlY3XblzSsmj OJ8iy4b8w6TLCP6IlviMIOkhPXL3eRcxudCG57txkjM8HeEzfoFSkQKVK0MzwpSZDG1Z6hC/slxR ZFb3z2ULi4E5TpmbTsT0xcfHvcL0Up5DcIaX8ycvdf79c6I6RZZwRtVXDXJm3lun6ilcyonj1Dg/ JUUiAe0E3L3w/F/VnWiBxjHX5SuNMGmmePso5hEnbX+CvqX0tCif4XLEI+w2ZzbDsor2fIv2hZss 5UL1+H5q0QGc8MmdMxMlREdcYK5qnbO+65+mpP1rMVD8FkIDlkl4Otig5SdIgqqKYbY/M2w3NyA0 gE2DAnrDl2SGuCZBb+yITbaFKEoTpc1LfCXpi7QvfZF1SqHSaHF/qooCb0nSkKm5fV6TH3B9HCBG UfQZIjQMmVq2LyID4h4hwe8EfjwdDSt+TUnxftPMTdsTpc1M6KfCLxtiFItF5kMBXKk2IrGpuN1n 2HDW9jRlz21e6bRTKZ1zM7ZEe+A1myh9ybkx/irAqF4ectFXDcaklSR2dv61aHt2WupJtMmno5r9 u6qgkXh/Tk+UNieOUm5HbJJhzfiz3F/w1MfWEZsxGVDbosPTnsMDkioglzI434VypkQgcLpxrku2 48UkJcV/hv1mIk5qysUXa2ksBCPW7JOP/43sVjhDxJi17Eu1nVHmDHZBPRfwNWOaIt3OIe4SxshQ 95fkU9xvFvggd1+c7Qa6Uto+KE1U2qSRDBIQnCoEH5tXYIKNzwg2iFL6o9BxgAuPz8StKIGRVfB0 MGV/eho//K4/or1od6LUGQOfVgn1BESj9b2MNEBdK0E0aEvG8xNqzJHi15TYcLHtQZoI91cZeMLj VKYNuO8LsNOQ6nZSBVBl+Izg+hLukimwFm0P7BypZ4lh14wy59a/xxWdaUj3zHmGmTO50onk9c67 K6khNjubZIRIU8idpyeCaHRrVNgM/XrArlPwntyhqx0/9qm7qAeQCGQB6By9aOdzza2vGsRm0t02 RwxarKXRNGdv5/3D03+j2vKokFi/7iR5RhgNyFqiQ7y840MVPqJ3W7OXi7MInp6o4bxYT7UhNuD4 YgNCErhYx2fBC47ZT+myqQMi8LGjpob+jDLnxGkFtE99EUZjUAAFPfbR8PRf6y42xTIJZc1z5xny AalbnZKV7aNc91dcumZX4Uz2GQrOUSW2s/mhxaPzyZ3Rn+GwFEJWckbh9JdGBqC6mf8SzSpm1G8u i2RJ3sdaVFicV9Ys3zefjvBP3+14Ks7tK240I5QGiB4NqqdGydElBhgCDoSGpSd7McLSjHJnzZC7 GCVc/PQA9ptkvkQNjca3no3wQq3VfnXP1RCbUepMMMSesjibbnF2Qs9m1wFouIanI4TPv5Gpt184 QzZgh4JXGjKDaTCx/TGfxTnu9mCOzsPzZ925LiYkF098zu0J9DuJFdifIRA9u6pkET2cHEt8Qt25 IfWe1HzRy3USYhN/ygVPR3mhxx4nVpR1iQMrSpshRYF03KCXc3XV77jghfs+oadaN3VFKI0hDMtO zRcfBZ7cq2Oew4sLTpMsS09ZiJ4U/pQj37QQyQc+IyL549T8r7XZ+b5ZzebWasXTkTyN9zQYePDH Ufjj7oTN5snxqItUz2l23LNUa5OdpHAYeG6FSTN7YY35vhbbHYg4plZkk1PPS5A4Ria3tBSqqd5r ffHeWnGvmTAEOXfU69yBpgCvIgAU8HTwapXvyJPVzfDIs0I1ZrpSFNfKP5euJc3I5RymAd9hRp4V wzTY09hdPEhiAhpL0pH7ppQIhcPwohHEApwCnfCgOeSt+LNzDZ8RNcQyQYXk5HARBqEMsVwq5S7j pPmbkyMHoYu8XCEZkAKy8sKDtWJZ6v1gDr6sIdbaMhTLCmHNkqluBLNw8UkFiJNgf/NahxOIDIWR JhaYiJbUnms96atG7WZiM9hW0qLt2az8E12t8HQEQvCSi3cU7tF1K4oVqzJnFlpFU9JJNwNOScuQ ylFOmahcvlwhG5ABpU4ioaorbaPnAxWihVkCrd7SkC5z4uCaSWG/0k+MeviMoCPGARfc7n3RmBQm bJyyn8/C08HLddWHhWDBC5ZvYI5yZmQ7UMWfRtPu2fS8e13G6Jp92ahihQIaaoVVAXzqY357XqVT tKCHgXZPEhQNAJ9Oogt1txxdWIgzx4dEEBZacxFaocUZFRQmo/vQwOMRBOpb+4no6Idqu0JcM7tR Bcg+6fdQTAP7Q6k76a+g66ENCpPmImkR9TXSg9KAt1cyoG47p6cNNVTBPgUi/IfRT/2ezTHpCiU0 OOJqGiY3iTlxXN6H2IH9/FQ8HhHZb0mqWddAU+y+XyElMNEFh/BcgsW6tCEWFCqJQjg19V5mE7hi TqBy4WfxEwQ1jrHUcYYeXyJtMLH+WFRXyrchxn78itJmA2BOdpy56PJK6DPg/PTc8fT7+8Vq8p4f NtfW1YRfIbgZ06FFcBb7qfLgXOinFnXmc6olm9PLClvOZFXULEelvL4Ib+d/9qUE9lzEWCITgaCv PK4BN4U28SEBuln4FWp+abEXDHAYMQdWxePRoP27p9HYfdzeMVxR4kxC3urFc5+avCVPXWF1DGu3 eeAKE2dGoLL2XXzcdQ4Q3NYJwjzbX5g9jgmWf4N7CXBGnvwM+6rRPIeasoX7w0U9jYxy9IczGj4d nZ/v7QErp3Jswe3ZUeKMLtSJys11Hasr7raxHKVxLRR31G4mZgHT8+aLX+5QKR+UCISKVZkSBSd1 vWw0GPvNfeSbvKPEuTFjPiXd8kWnp+8ioDzUL/F4xDu5uaESQdJ4PDnc76kzGmlUD82q2U/W24Ri OdmPY3gzEmrboFBHQwhV8GdtueEH21A2p6X93Mo0PBlQMP8h6ZA6Puz5FIxs+FWjfrySw1R84fE5 oToJJNgav2Fwfd3ww6OCsQNfb21PCHEeqNUZ6pAcygcd45xNFxQOk4m2ZfjZce6scYWwUP1aJi+E +3MTizBZEjyC+AJC4T9zVJu8tCh0UsVnBNuDxPwkYqn5oqbGyc8FgUP2s//OCGQ/flH2xHcnJAQi NE6EDr5cq2YTTaWMjNrx3eWxdojTUEOssGbnchtiCwovsuxIVf8EswJtQaiudJqpjKchtqPMeVOz cGYST7goc25JXnfnJG48/TfpQmlFYNI+r5B+CG4mfi1V5w5Uc7WdebvYt/S1cbHvENw8VFCk6Yu/ WQihECxk2QUmlmp2Rh2Euf04bgLegY8IygrSAZvghc3hhetcrEn9mlOz4+lIeO5X1YXXuz4uA1He rE6UWvHoOnMKjM3ZrjsC69hhQho7RGkoIntR2q+QxnmzcB2lbq34JaRLYYOI4iWULdSkgjojO+o1 o7hB7iisT3ZFWfAduglFLDwdFKXFW/GUacBk89EZ2RFKA2KprVBMXA7bu9mkQigNJJllOy1nh8pz iwWFWOpcvBV/kuaT7/Zmbjgry2uzTCGVfyDVS4WuTQoBv+of6ZKtFV80Bzyhf9uYtODp/1J1ITHL NFnW9oRJMzrhk9uvpKe4ToRYt3SyX9Zp3jFKQyRStsPaY+CxIWQN1sMWE7kXYcgoEl8KZQuXHZ5C R1l8RpATZrKVyELdppxBaGodMo5OdeDpqKbwS4vgQtxX6yKgdthqphrwJmOdehbX3WQTzSJKl7mb 7D8Dm5tzl27FjnqrAljPs4MpYKHnUqFnxLm6fvbDtN1TXzQS0WBCOPpd1CtMpUxlPItPBzf69iuL u0qaXboV6Q7FmsFaWsu5pCeCqEU46bMpuiSSOtueCKExaTveFv2i27p+0RTiBuyA29NPylyYFJmM 9Qk1KGGuh0fTV40kNNSIF1372gwUDOEk4XMKrh11mvtvzhJmQfkRQk8hQgMuNY14CYhZn4u3sOGz FqZKhYqyCxAj6einKGdWRUqkmS3aIKhYjdK2lOJRb6uigN0UBGzzDy5FB/lwUoEPCRiTbKTS/wML JkGEp+Ivwov9ZP6Tz/8N+01Jm8584jr/pVB9jt+QAoKEaQjISxwU4iar0kLza4rpp1h+jn0fleRc vCyFZ2RmTn/OYgfyGa5KMNmktQE8id2SK0N0RJ8Scd8E4SUac9+BbDrFSqJw7imyG58PSov2PdGB Z0lJ5TlIodkJC+dmQvqYWcgWZna/9tGkMywCfkyAcSY0DtHeFwcjQDN1UPXkvGiwYmwsLaCcAU3D HyH0hdYgUJXazsHbnNX0ocJ1hyx2s9p9DRZU8DjnDwiyoPLdHWvEQ8yrq5+i5vMgVlRFKf/Vi00u SnfLk+b2mtTMDkYXnBQvojG53HOU9jnR6v7gb7/kBAh6V0VXLpd0EXVLLq0pSqJpzQ1Twe2Lbvrc msaeOTU+HvWfv7NoAL9AgL1bFKXREF9tlUk3fU8q/lRokJ2qspvP5kADx+JR1IHWtBjyRr748Asi 8Yua/WePYBTdqMKL4NwwYd3jKlzvtuzLBgMMmTNkwn64qMUBTXoNMOA+kELcxpcOL/Ci57D39Fif pLALDR2u1aQcxiZ9lmP0IgrDumQnK29616J0eqjNulSurnXbrPm8xrnTKwmGzgkvIruIeNcgb0Hs iPFMeenjQyK2FyWPGnUxuXCPCrIF7hFqMQKZgsc9JyK4nXOCeqsxlXav4Yh0tFTlOHDiwjBkZi/G W4bnm8HCWSgG8xBpELfmi28Rwc67SY53bepBgWwKno7K+HZVH8e07xoNetiD/l/3JQiuS+fglGx8 PGrVf79pJAzWMu8OhSobVD9jL04eKKk4s6BYrwwiwx6MorR6aLw80vbFm2WE6e2pHZqnepcZ8qAw L3arOv6nQMBc3zWoOlis9sJmUC9J8lkYa1QO0U8O2fj4X/F1PHH4bz0GVSnKrBfbQcs0iyG6oYH8 kgg5m637NluZQwVNf0Gk6l2+cmskQkt2k5UKDhjEA+oDVRJWPj4Nm/ZlIwgildf+147gJEZ6z06p Pvl48J7V71E86nNdGLZFIQAaE97cTeIQXiga+KxCdwA2FBOlVrRFYUPahDZkVrquWSlQOqTGybFh c0aGLYLGD9QmaOYnHFDJJHXjQyLxWVK22MLQwvcsg7bJ6mwwewztUB6glIyj8Q2uqEQObQShfQor pms2NLINxeglTxg9Jl7aosBGENQslSA6TCvdUzQhwJ4aORgNf/vMBLNkHHEQ3HAVG3dQnhY58BHE UZUrp8wJxnRNkvO+dCNW6DtGRZrfaBTtg8giizvfoijDhkYd7AiK1bDNhhknuyH74FQJc/D+7/ox wdg5CQ9EFg8XK/EzZs2VulXYopPkqUdCnVIQOOuXeymn8vkPToJEc+x5F71oaITzRZuj8vFIatW3 iLhXNKj67RDl2EswS4rWRIzpLC+jTskZk6kFILhtUSjyTGsUwOZ9cchUAhOAtIuKES5YhJyeEdqM KhEzGINrrmRfNhr9qK+00mWiYot2y3K/BJKNjwel7KOWid0ARmV9HaKoPy1JNr6HJKFu66ctyFwq XGfgdf1FC9PrJXL3vou/aGmcFxUpCDzh1gmo9BEu5EbA3aY9crSD0+cceApKJABINAQRLULdrd45 fT47Xfn438bz2iKo/1ymZQ5dBReFusWRm4b+laAoHY80n2ddwcwxsBVM7DlxNLZ9udl1QwNK2XU6 EUg+D4ktNdpjAUVwXRyafdtgRC+yU5Vfe3WXi9y22vgn5Hc+HkxYf3VETAXvCdeR6HOnuuccFotm 9lhkUvyFRhLZX7QQ5KFetZqx7asZi3Hhucm22o2nztREJMMDqECHFv/PYxFFXHJgLYhYRFFsMsy0 8BQBQaAhV+6Fj0d66r/I3shk0tMPCbwFpa5TlywKUOoTwo9S34Ye1OKQzk3Sz4lGQU2Y1bs4BE+E ZvJWOmB9e1MKCJdezZ0Y5QdFJQu00F9QWkBN7EtTw2Y/5GTKcg4+pSWfjwL2r4kHNIdoiGybFApy TPa3SEJtjEtGiQd+zhprHdMXM8eN/FK6KXKM5MudebDel4AmzHcb8Z4JMb0C7wUWnK79wjqIHxKc o0yQa23NF75po6dsTmhJjwfn6JdUGxTqKkgpvkVhfg2BqtmTRqvn/W5i7M7lSr7nHPW8fYtClLRK kMmhGRfbItzwPQ9iyGHqAHtJGQgDvEXwdHngMNW/7fseCSm0Cz26uajYT3vKj2quzMcjCubtX/Mc AfCRn4ZI6DZISMzkaA4hm7oc6qtVK0dOVe1wzhy7DYqj2updPMEe6BQRTImZdF+dPCDYCv1IR4CX mllaZfuuQZnGfgj1tmwRZGh0KY1CRICPR7X+tyAiHRTRrrcdCg0HOyvt1l04qXl+XXZyUB50DbRD oeOgFMma0X3o3mUv2rnr5+wqQc7Nk9TkzpTkQL8KqtlX+ibbd/3TDKTTEQaLCtkM7xsZzfSCUxSY DqqUfOaL9FbLV0Qgh66DIJsDcLAMdtYcdiZRcOE+WjIySw59B4dkfAkU1+LF/rlad6NneMUIcBZi 9zL3HQIPOkXsGNHfjB8SXWqawM7pi5Af3UwIzzL5eETl9WufcQcD9ceAJ4fWg6g5W2LNywQbrnjc Ik4lMov9ucfdojDB5hZVbVH92iJUrvCQlzVjgZEzBLg2mRoQdROhZqiSTfZlI3AMfveEYY0tSccI Lwxnaec14PP/JcNumq8+xyhGgOC/WKppBA3ZOeHiJ6CEdHl+J71pMXhaIIfdfblvWqfYAq+0k6sW Oi1gPEsmX/oZ+zbVaHHBDwnm+MTe98reWmeXBqfoVHyDdO4T/jsfj3gtvybVavTe1Cg0INzETyfr 5oPDIQAa+W9KHjsaB2b5HuKni8Wi7IsjiCb4l2ZzdUr+k6Z2Ah3wp5wwperjzqopU5ZjB0IJlMng s+c7rC5CHZxMK2U+HjX4vQSpdunD/e/uUOhBiEufgDBBQZaRgOae7fFUcf3aHLoQri66qvmlPVVa awCCiLUK78Zt4xL4TNOPR25F7RFJzKENoWr9fzHrMmTXGWtP8OXjEYNjf0drVASPdnYOjQghe9MK 1VbIUdjZ2VE7V+MoVJps6D0Lxe5kibGexbYIItwAmGUZOp0qgyOAShJFP6n1vCBYyrPxM2LEwylg mVpq4Q61lZ2ksAYfD5Lr9M2PQmpfyjUOyaEXIVXVZl7WUqPHgTmH7GzDxry9pRZ4ET6BqBdfvBmC 3nUqSZU+LjBWuifyoVzHiOr6YsC1VV812CAyn7dMhrebDJ+b5KTrPACFkTpKrculPjP9Yb8qPRsU pdZANEDtzkydrLVGUAjLNhSxpCUpDsXeKmwTZekG5S/doFNJnThUqfuSzpEZ2kOUCygH5wO3Uq8o NCMkegxEgemL9mdtaXOdJHny8SAKzfq1P7wX6/XRzaEdIbh1aH+YE+raxtQ8ATW7inYl40IbFJt4 S0iyD198FAuzK2khkUSG9iCqM0xdCxofFfjDqasMair8kCBprGztwwfGFoOkVYIxMbbMfDzqFf16 x7Bh63rF5tCSECVB00uBKNTK0L8QPbK5q5xLzXtFfzAlVK9Iw0ZxBzRCOzlR2hneCJVWPYO9yQKH goJJ7MzPrLrbd42JdoCj7bsIOrNSIZe+pTH5+H9Ri4b5RN5PTzZ0JeSkeMkrZNDuwMD4hlWbv9yG c2BLCFgLJmL8w9ri8yFwUPqFxBaCgpl4Y1yMsJ6u5yeZ8PyQYJw/JGyypi9qOIKhLwGPrO8Y4T4v Hn+o9fZNos+xNyFC/0mIkoozKKbb8CObbAUmMX6Vhd6Ezujo2ZevrHpMMMwyiVmT073zn1TcnEuu qxeARWHSHLoTqkdUO983LtYq6pnaR5AsGHw+2qRvS3imYumaW+bYoBDfbuj0UGytXhmPZbCQNVL1 YxRbrZCbqmNUnmOEthlIBYIXwXJlI1iPxQnjGvVnUGilyi2j2XeNCL9DlWv1RVt0zrBGsa1mfcmo gG1fKRGOIanrvkVhXg3iQhXbBf/KBBCfYDRzc4QsPQztHIViHsnmPtmXC3lok4wFQh5OApAyq7O8 yDxpcnH1VlFe9m2jlqOQfLP7ooH+iUYEWdSzi3w8uNOuIw3/hpBqKo/YUg7sCtHFawReEUCEf1VT W4LsPLEiAHnj/eClFvoV0p4MVkbbF9+kCUM/dfdB8Z4k6p1NApgMIEE680ntbVrIjviJQ4GI3H0t xu+YypHOzZL5eNS6/h7pn6+4HlBIaFiIZBXJoitNUmGUvbRkfOlTpt1eWmBYCMcn9RtL8cV7aevs SJrCqIFPP6ibl6B8Wtl5mle0wnppoWGhZmij9bsYKKSo9Vg7Q1GItE7fCDViE6hLbTsUdq0L/ev7 NoLrTkZwTWTDUdSDfh4KRbH3ihTxavHFb7TztkMIUOP8UwnLbTZRpBoXPy3qLXNMy75r8JYRdtWm HEHn8lB0NquIQnVeXj4fSZp5ic8/NAnk/YnWId56gadDzB8NWBi+0Nin962sjYBosUZR7Fuo4VlZ 3Rc/RSdLghfili5eyYOIa+LD0LxGG/X2G3uzLxvc+qTYz0r1QC5MjBA1t4h1GFaHvoUUBtWtLzk9 TCH2MyIKnQsBbWvQ/QQmFpgksscXvHFA8ibhYyODqdqkOL9mvE6sLmq6FdqEQ8QpxAgr7ucCTRiN Y0RUONdka/9hxJAulAPzwnMS8N8/9Yaors2oruhl7W3xevLx4Nq/UEcjpoFucvcotC/EQAHgveHX vg3PpNura3/faz+wL4TgA2s0ztu03Cof+kiJrz4EG3aq4odQ+BYQo5a8iqWxEj8kitZkNEgkZrlI zMkx2iBEF7LDfDyq0n6x7SHW+Lhk5dDAkPjnQck2jmJH0mx/Ar7jsBB2bLt+TNSSZfkq/FX/xl+d GmdTExUVCDTFhD4WERfc736LtKHEKHAwNIfhU1xTSYCL6li4ZTOrqa3w8eA+a78sWfC/1Stqn0ML w8o6dudtsJBh1M6TEPflsJDZhm9RnF9rWl23L94J6dD0auI3ZAjoCMRONK4I79uvtFmWfdmg1M+a VlMgGEwbmcBviL6QZ4VGFJ8PRmjtu53GFz6P5xSF+tIZkOJqRlD4lxRRThRc09ppHcdR135sZTiC jmM/Z6qswvz6XGI9i15VGOGxX+1qMQ3qCObAytAE4lPin0OLODIwvOPvN8bm43+DqClsIYV9ukWB maG5Qg2KNFMGd9EN/ZwiynOIdp/rHQ/FboZyCpVdX33s+s57NeEGNTUeAn6fXrSZkpUcMqaLCqFG Gj8kuPbloTo5HuKiUAQYKW/980P5eHSI7pWmjhr+RvtOGUNHQxayHY1HZUajGOihdyvc9oQwoh2i EBYibN6QkqKbMbIVktClELd8AxWCgp0etAjStOi6uSMNEnLoadia6li5Fncz9wFGWR51uAr4eNSU /UUlwrDhYenlwNQQ2TUv3Huf7ZwMXORxG8ox5W5RTGOUcZYa/KM/46GzGSfHGssQD2myjAOvBalq /VnPIHaI3RD4GkK9juMhjar7M6rO9MrguVh8PFJvuPx77VGBYN+DLgq9DdWXoEb0P4CrwdtBnumj SsP8BCOM5+xVi2AhdRvGcfvi2WOmpnLf8gKoJVEFo1A1AwxZdMecSkTT4hz4G5rPWzoZRPNFr1oq QzfSXJuPR8gZz4uEIWGS5Y3Hz2ta1HHpo7ghy0mK99swap0TBalOuzfma1LUk7z1gINavhgSFDH/ VB30aYHARc6Co6OpnxffPnbOpeeOtPE1Jepu0zLI5tcizMzJUtgVORccHn7Zmo45oa57BS8kRAXC 8lkg72hnpN6A80+AI2WUjBtTjRujmSHPTrA1YqEBg+aLD87gsZwJs4HrWKLAM0/O2QC0DxHQzQrA 0OivW4OZB//UZVVf1GvcKylbLGjHvm4NOkTDtkYM8/JjXs74xNc86DyEr9fYRSCNMQFEyaIsIU5n tmInM2VszWsW1G+iuNZd7NT0DPsd9O5ZtvY2poAQFA+mldq4jQ/8ip/XHKhjnsN5meSUuaggq4uI Q8Sx9nlNgLCv+24N3yj8g9197s1r/tNFCISMu8sosyUulWm7zdBetBbsa/LTEdwZaAZ1z7hcOCNM 26rwQ+OUGElXG1NpUFMa67Dkxkf4iPet4aUJg+Is/iswrXyjzoXAzwM26fOa+vC33F9vFMlzKfmx eU188BDaDk0CDfSc9fS5bDd87NVlCV6zHrxQbGEMZixa7NQAM9/63BLTweRNtmNgdsOEllK9/kLh Wv285jxdeAC0Ww095OzaVE+hyY4iJpSf15QHTxsiZqr6wvVKjTFuzWu+02X32FLurmDKKw1o6iTJ PECFJ0KDrEKDvZndLIqLL156jXYC5Z6Kwz0l/TczZowV6oV7OeMViTC/6PveUPRvz8Tigovd5Nsg Yydn+LymOv0xZbFgg7eybjtGn9dEB8G7dLa5hVaE17LmYautaVAhUHhMxOs1zeHWiCtAfCcXr9vh K76oeV17PncUnfpwJLmJ5QegKk+Ws75o8EZhoIb0aPgiwsuJbUxb2srj85riIFLN/rU1kKgp5W7N a3qDOFxJtsvDsHgrS8prAqttl/fVf3vNbRCGu+ZhxAf1dfFBJwxXqAvRXvZk3SAUqD00mSqj/npo HOAkfV4zG2y+eaxpOD8N7AeVjyrpSHSfX/Mafj9/n3SbIczIbISCYcHWVCYW7CsSGpQNAjMFa+NI fhfjuLw2DbvosSin0vLFDs15FrvB+QWwwBWaR2XAOQlKu0gt9u2sbmZgrz1D7A07GUXCZsWFzU6U P+9m0d6Mz2vHsGsI9YRh3FClE/JCaYhga0goYs9U0/hkmu1zt+XyMK0NlxaK4jDTla6Gav9qqJ47 5ZQlBClDrOr8rVQ3wMUEmFe8rum6q9Fo5LVTiP1f8n6ayxeb7LTkuDs8HF1R9SsOg7hWBnXaxewL Noc5u/RKkPW11oV1WRtXBzcnU9vVKHbR7izd3FSwUB17m2B76uSd+Iz/RTYImfLsFXYNzwBVQ4v3 lBhvFS186LuqhbvTQQTRyWl8OnitZv4KOIR/0v1Z2xMlxUCxnWC7q2ESF8Xr4RSdrmRgWdmZvmFW LA1pi7H74UUtWIMW1eV9JRiJFTJaFjNOtlKT48l6te8axWPiE7jBWmyms7em6i0DQh4FZBvB2+Qe adaiapcQWtFlRfIfC1yGnWH641OUfnYIU+kX6RLsz246Pj374lVDPjchdfiBjk7o9LDe5C957njA df3dWtW+6vv2dJYNo6a7qG0xhf/4gY3A55011h8Sgt1XnUh/z43fSWOIyrPe48N/jW3bk2e30JNa u8P3IC4DK8C8ePviOWCG8QTVQmvv0MIgYJNieAVnF0KA7h+W7au+v12do/e5lB8v17HvsDpjsD2Z 3eedMcbi8fvtaiT4pht83hNkzV+aFFgZfNj9QfA52Yv1dOBt4ZIV7ykyyjMcm6n+IBfbnnMiFjrU VQ4150Jr0nJH/U51h8ERgEwi1K2Igs8QW4wqOtvJnwuwNf31F4buUY6c83e2QxnQAiks258oS+6d 46Yi+2ohyujsuGR90Hhz9WUj9/c0GTFeNflcvnh1Vc/u2NXVIF2WbeiMX5aCqjw++au3HOXJNJlK Bf9lWxhGGixQJb/U6uedKoZyIPn+UJsKiM5W7sX+ThVj2KA1+VhWe3JYztqT0FtO3HlrsCUYpcpy bR6NgoBcPDjnk8LSDB7HZ2GKSvYGRzqbBtfludiHvun79jTzxaLuW7+x+eSymyO1dgrdzztJjLVr s+1h+odLluQO7U6ULS/CWMuVYKBmPiUY5HwJy+95J4BRuiw1zt5Efm6P3OS5bjLMcCV1AoympLuS SvP6M+YdJA+KAb8TxHBA1coRl46Lml1gCouB2ufnnR/WH20qb+ks2kX57rwnzDg7i8A9U+OEQSvv 85VJONWgfW7r6byzw/DVm13pyReLPVCPAJVT6gsYIFQZJgAXwEFMvVrkVDj4vHPDunMM55YQzDYh mHMpNrOI7hCCiXLmtedXXsiRFi3WtT1R0ozbsmVmIGh5wafScAhQrMjy0ECcoFT7OzHsnp4h3SUu tj0Aq64CjRi0A6nlwdEFFHkwEP+R8JxEYKZ91Wh79ItzuFXaNS8saTJHBB/g804L6w+KXqen49Vu y/PCd1YYL3ZCxHs1kqqwvouusup/bXMYQUMwzJrl9137XTwwQ/W0UVa/dkCtCLGaFOzjvyW0bVSV om/6fnFtVwtsvjDynKzVlIBnyZ93Rlh/hMiVFTZcj6zctDtR1pzp6pp4m5OnshSNYe2oZtieArac s/NOB2PWo+5Ou8sdQpwynRJv2J0TlAW4y6jR6R3R6wNhoR7nOxuMbXaCn4foPJy6/VDyDfrm3J02 P+9kMF5bHpfHj3UF93213rlgOK9oWW7dVYP/slnfUh49OFVfdm29U8FYrDMpTNITSA+ODu6i8Oaj zj80tgsntSdET6sp+pMVSrLjnQrWrynxZIeQi2Y0J2QRsgrw4uedCIavOMZX6MGsMT81xTsPDO8W lRYoYMchVu6aEp+ARwt0cpupQoKs550Hhg9XF2PX6YuFHhjtNoDDfmhfiBRq8uVCKB9Iwtu6Il3U Tvi888DwIZtCC2w6aVFaiIqaOLqThH/eaWA4CWaHrthDgvK8nZ53FhgDOlTW2FUyazVTSlbqy9Bc r9ztOwvsluyD9H8tt3l63p+81rasOc9puGiQigeMxffD2U36qn+aYkEwyBc1ewqg+SrZy+edA4a7 dX9nzURAQO3GtidKmitV0nN1Efu89VKd0NPMtvDk78YAeyeAPXOslaovl5uyYEUvKO/5QXtvmYKi NsrUc62PpfXSNw3SHipIN+J/tXB3FnxvJSox1+ed/sWMu3wFn6Lcyfvu7+wvBh/O+Yv3CQV/IqQn eUV6joBvz3vOjMoEL9cQXIeL31ybrK7Jm6uhi8RjliE2XdGF3+n2e5T2vJO/uijTGNWku+jm6jZx haj355371Q2/qTbqZjoAsaAn+ERJ82T3XV7E+NfuLvNCMKRsHfu9u96z5vOnBQBuTjr4afEmcwOv sq0q0QD4EvPyZwFN/frypWCy9VWj/ZENrQRMugmYbHxT7g9MoT/v1C8r2J6bvbO8rV5TvDO/8HJR CFwOEbhD5EEHS2KZ76Lfw2alYvN71pwoWlWkt2mLbc+utA/T1T5S3dQAR1a1AXscP+xzO8Z56KsG aSG1pImss4Vp4ckLxyM29c784tO/todD2HSv9ihrJmmfesjW73EJTupBWL+nZ0M4v/O+2NDQ6eHQ iov3mku+GJUKUfVZRaHDXw6sVwYfC834xM877Yv9UJks5+oLtwdu9qzxoK/zeWd9dTEmvhoaKN9J 2tCoOMqaqTYxaPxHlNOsXq+z36T5zTAthXfKV5evW4F6bfXFSy6OsaSg1HqaZQm1SjDQZAG7Hl2X om8aDNIF1iUPQIt2x9XjYEv/eWd8/apIWXLhGiPtXLsTAzBAzK1V061WiOGXTnu3e/1U7s2QhO98 r+5AQpTqvnjeU9v5BVjcVMiJ1KKZMqaUxJei+dmHrNWqvmm0O11Q5uGLWqlIyzWYOVXPO9mry9rz 61pHdcQyWbsTZc2oF06+nZJNjImQpOdlt2ANmlC3QcU71QvHPvN3XvMutjtgSMFteoiiMzbhSXi1 CmSlnNgt5zmc4M870QsvB+12MtmbWhR5aq2s3ZFJfd5pXgzM89f2AM08vFX4zvLC9uDqmODo/0/J 1fY0vc3NY8ndec+ZnXA6RbCYfT7AJmBhe2smL7GtohdCDLT3L8tL1nifd46XDe1xnw8tiOf4pYCC EdBsnszm807x+tXOyGpLb8kQ2v5ESTPc3ypNILQ/xQbGEwmvYTEaOrK4t94JXvjb8I0hzdAW7k9N 0I2Crzqv9VPIj8WG0MDFgazs9jI669F3bleXWzbCcbqLI+GLZjh9zs87tYt9tPUdlZtMln1r3hNm 5REnaFrH/cT9qfcJg9JiyMr5nJ2oy0wr0zkoe6vFAcznXRqV/CBo/23Q337o7EMmLodpd8RFjfZ3 WleXBwVtZJsvgn7BU1MypL1+3lld/ZEDmNcu1STbuD1RxgzlnFMy1mZ4DB5cYioh0Jc5Ia34+kx5 3mldnK9wX0jr0+K31ibl1HA84/zPZsZM2DT+Ark8wn94efghUSuMkMq1ty8KzOcb6m05f4XPO6vL E+5nuo4gkNeNPFHGTGcPwGStCZ/cEhT61MbBBepVt9bf2syUhURjE39XFhQFYMFOHvd5rVJSZZak XtJlUHSrdVJw3zld7KMPoboFz3DiEdB3zM9+zinvn3dKlyEkn9eLEhnkX2t/opQZaliQSDD+7Tnh TsQRaIVO392TnrDPzG7GkBnx+DIj3gm/NttOELvOnAygkVppWjh+xtMMY5b1eadzsdmTqWYjK2uk QAQaQ4a4VqY9Y2Y+H7xfT6cZz5HomR8AYZQ0M+852ab1UmW2SWQ3G7/sh51Qa27W72Su7qqRo7It xsX7Gecv0IHY4t2FV03+dLh6CoBgtL2zA4THPu9ULiYhbIeRaqCF4Tmdk65W8Yn7n3cmF7+h748p uZ7/1r3Z34lcHn8g8qD406Zr1i+EbCklLKriYXveiVwXgjBaqr4Y7n0AhdNGlahmg2YC489m1Gkg iu87qCCk+53HZeBaKCTMpcWrg5pS4l15sqn5eedx/RpzPW7ft+Z6p3ERf4hYRbFUDEkXCcSMP5hg VhEDcB019OLfaVw9mRZ7n9IkmdkhGjuRnbJoKgv9NL4KjM80+MjqNtsUECO2zzuL66Y+qt+0CGgJ VinLAmCkP+8sLmsqPOensj3SPfN5J3HhzC6qHycfkiLFt1GFma2dFL7YnOudwoWfonCbqPD3OAqe qwnamid+bqHmTuoj+BP7GAAHb9bE0ofGC/N5Z3Dh71/F4Op3EcBnUkSZkgfr887f+tUPk7MI1GDL 3Z33vFmCyXW2bCobg4QQNDRGJn17sGIfVnS9s7eet6twoMPl3u7npZpSakFJStVAAH5QPQBsRZCw qfoChfV5Z28hTtJzZc5yF/XDEmAOPxT1LZ938hZTA+81y1cevdziU9J37hZTgkqhC2uHNbc8WHO4 pG+GKq9hmMNes/qEjLha/PRALSJNQt9rnSeSiSOIEJIRk6gLraKU/u+fd+ZWl3fYeatky7fdlu/8 2pOGZ1CDmJ934hYb+d+p86Lg1G3Fv9O2umTx4ShLBiQypqYBBdQKi7G1e/I54Dttq7uy+JA45HjE IQFJLS2bD8T5+65mADuAmCBSnx97rGzfNGIG0GmHqtpaeHNBWLqpZN/j887Z6o8hqNekeLfqvblC eAbIm7O6Lx/0Gi3zGaVZ5jOnOau9E7a+2j0sGrjY5pyojIRx8Oygw1xUsRNST7mScl8t3evvfC0D 2aMWoCkxF7vXR1fk2IVPB/dW/Z5T8AUns1q7E+XNNJQYeZvm+qjbsGHwZlVFOmDVoN35c9485QM/ c7nNsAw9H1CHKDLW5pZdeqeHIKm184k7XV80aGckFkpbyLDtyLAMYQ5eOr2vzztN61/Qp44zO/eN O2HSvFGgdVM6bFlwuQ7nh6TNydlUErA7MaCZhXpiE3nKGVhheWI8b+CVAcCBKF0JfzdQc5B9uIwf Sid8RkBHogf6qmIlVRN9mSf9SZI+OvEZTwc5Yf/u9iBpN+46tydMmfO4DUJgIFee1gxzz90M/oRJ 1LWoz6zt6bLl61+2fNBtOuGFI9JzEUNHgykzZSPQgaFBnjXDaIfVopSZXLlUqJSqxU4PzIh/yBbl 08GE/U4pZP8IBkV13k0PU2aCQsu4+nRjWDMsFSPeLNKtSC55z5iRUOCdWoldeC7W7EmIEHnRJx76 WcNyR9DCCq56lLueMbMZ1kOa35CdGts+XNQqhKMEL51TpeDpCLObfh0eGZT67kQJM3q9JzNrPqRI XQEagn6m3jd1djp/SlCQcrQ1GvNlLr475zD22alu2E7BDvE1XumNWPKKUeMlrFf7pkE9QdZNpySh FmGTzx9UDlgn7uPpiJjkkUfAp/N7Jb+z+p/azCcSN3VP8a+lYnR7Bg11zm4M2h61mbthLfPwxd8s eqXq7IwT6NuWhzX06RudhOjxZAxaQnt6lC+rnOjNtGhBaUI8xTmi1+Ep11vB4wHfb7avjJB21LXf IU4PCX/QDyVEC3iwRtg1+2ETR1b9MJrfERnWo4x5Cvuk+R4XnyADMZqIVzo7BAR4khUfGS7IOtZ+ /MEosdqjlBle4SVRGsAWBh+wXOUHW0bB0xEh8lcnHvnlKPcERaw/qNrUSRVv1KNzsKtcAUolny0R En++Cv3TegzPEKJw3sUHFQ0A5Cq9tT6oBEHcZeL9sX+AZPZuMz2LetRtpij5+TKZxAEIkuKcIjZq Yn3OVMHTwdV10SudZ+38efJtp/YwZUa7sK9mSgeEcdkMcLueeh2mb9RD5t8QRUmihv0RNWww64Li Bsc4J91YVo7CBBnTo0nmX9egIuubBtV6ldgKGYDC9bGZOpd0t2uZ/IbR2+V0HKbXBJvvS4sMm838 u3VEXR4elOjkm4xJ7NOg+d6y+XoPc2Yx07v4FP3yKXZNJ9vLjd3UE/jXoAPnpMxUw0wEIdIR31R4 7lGvWWZO0LDzxZoZhdKNLNfnp0dJcxq/ynW4lFARV/vznjQL8Q0Ha0DeURxSUgt54aBtIevRDuKx Xq4InpFIk+gUXNfizR6AUSnUA3bkPtvO3KhS1ZFNjvmlxp/0VaPLi+Y7WdCwW7ecCrq3pDHgXJ8e Npubv11b5Rl7Tr4/Eaq5k4/TqVqEINm3ALynqkQ2IwAC/EXZLOwRPkPX19ia5WxBITlDzjAmbMw0 TnCBdwo1jUjDoT0F5pAWfIDgxWfE2DBwVMryha8XO7QMHr1tPB0dn2/YLsdcBTMO25+410w+VxXi Es0wtVUnjMv5n2FYUWx7olazPHW6pK/7l/T1hK4zJWcyid+UsHSRA0CLdrljUv6W+IygJBUXsNKU kIvy5nN4lNmd3AFPR6en/toeRHhpGJLRGyXOdLmd4Hn+T8UOKLtV7C0Z+GlEreZJau1JIbcvjkAY mIbTUBRGn6NQm/XsIJol0CohfsZaqfS6GFHirFHOpLCGFgXnJRoYYljG00Hi3H8NSnmp307qiBJn zIdP1cVuD4MP+175B+3zJAXMTAIl764Rd5p5bLY6zft2mtG53qCXdEEL95YytpDtRPcgVfGqa+ur RlxAyhjBa8cWnp4KxL1+vbnxdDRH/tYPySxw8z08Ia4ZSlgJzEHagBBFS7bSBnav8O5C8LDtiTrN tA88yWjVwkR/cMZ+skpI6HB7OhLepnYi/gwDU5c7B0SkHPiIAHgpJyL2TrBkNW4W9APl3d35Bf82 pnDBg5zHPTwhQIOY+NKMuz4l0oO8ZxgfGZHHQN8jypoVeaaG7LP55pTdMc7qZLSeV+v8UuoUUuM3 i6393OsTmxPiM6iJwm9qC4uuk/kWm7HPhqejwPO9OUCXF+oka3OinBkZCobfy2ek1o+fWdLpcIsF ZlVxJ0qZp6QyNs3iufiMtJ/Sdhfd6uccZVrOz0qSNK4uuIg+oN2qrxq8WUPt98VdEj4er1YHlJ5j z7PdeDw6PLdk18Cwg2nn99aIsmbgjStU8YW73OTYY0yxx/KigkxJvVtR2rymEp6yfLnAQgg3FwkX qWdNlTXO4yGxU8q1REPez68avFx0051C0HFh6NkwPTcS+8TTUej5dXFhe8dF7Y4Q1byYvjZd6wDR NVEGemKhscgpdFjqiFDNhciLSZFeLbfVfOLWxA+gSRNoNMwAIK+ZUbpnqslLhoag7xGqZmDAAaHk 5Isi8xi0joaA1sDTQdqzyldkpmLGow0xolYzhyjn22q05VFoo+YaxZx1UBtpd6JWs7pcQ7yKsxAb IAjCwHCFhIET6qHVTWknQRDPCYJEpHP8ES7xGVHWw1KUhGct4nLBU0Q027Xx9N9mXOpFLUrf+e6E OTO9Eqgeo6TH5PUhm+uo1LkNoDHClFk8nMYRF5fb8QEvtVPjsuNzZHdOse5MEW3Y+Nj2EG6ODwly Zuo1N9ZOSBBOIJdybjmpwZS38l54PijZn7RQfC60R64KwgizZjpKpKRKnQJdGiFPOKfy9Uqtbb/Z w3azNPf2vovnhecKP+EavxWq0hOJyFhOYlWiyYnIZO14ipDiQ4KmmAn20O6ci6Hisywn4ZqEp//W 01B4rixufYNm2HCukOHctKlCn4FXDbTRF9nD7IlNltZUpokSZ+nKjaqean0wCHi/8kKOQ5/qJVXL k6yQ9XE2EjeDQ8QojT5DjAZVIrDpvnB/Tsynziswtg1PRwfoJs5URkdrKd95xYwyZ6Zlky1jSu1O 7hR9GnBWWZYycFZc8DNkBG6N13XBl3vBn7QfGhRF4nLjHAG5NRaqUGLOABDN5SIXfdU/lqUUTtKi /aEYGX69Nvn03yKQGF0N0zGPQDPKnBPQO4uCgBojX1x8cW9hw73h/ZoxI5CRWQAwLn6773JyHUKI zvE56ZV8c2H1xbnYT2NLXnRS8vxn3HOm3ymMSm1RV+MkCk0Tiz75eASh+43eRZq1t7c1ZpQ8Zw0r e7IQTQYHPSw0+WJbI7tn1Yx7zhpWCIPZ6gMROxkzZgqEqI6KXiRnFrTmgmU3XW5tZlHsq0YblARv Lr7w/ICcN8TKWQVPB4P29d0VQ/JUCEnX9kTpM+SzoJ7RDKUhHimZA/mSltaypuqM8udRRQUUSiM/ V1g7hVuu8tJBcpUKUfQnyyIjcP/A7MmJFbgz8RnB68V+xqZRthaF53LSM+ouY+A1o+y5NSdWULFP clU3+oQt543AWNlUpTHTsKbYgpZm5vXVmeQy+kQojb4uU8sWiz4FAP2h7LBD87syRSoIOAXA80ax I3G65tZXDaKzCbeQ0s5FTbETiST7OcfA00F2OL9PT0eXbj3BOYJpQO8X+AmzOxu7TQPwLkqigjpA mVcenqjlvJLgl0yhuXj+08FpGZLwwS1snsOaVHaAAh9Hakrpzyh3Fp0UNmy+CKYB6zSimtJoePq/ 1O1AFGQZwHJ3YkLgIkzDPCsUNeT/mg0+N6QMirMTis5VwTREyskPwifVkxkmKau1E+BmlhgAmxrY mXU9PRYdK2bUb6aTPUT5qy8qLE7hLCG589fE0xH+6RubStG5fWEaM4JpwHYM0FdNRk+YGEoRF2Te LfDAfNbicpQ7D3ZJxxKDYD0CPmmdEJy7XE1P5JlJSvKdAqfYin7Fz9URm1Hq3OxXIpiFi1EHcBvi 18u54em/QXx4dghNHXd7Qjpgg4pXHsNgGmmrx7xa8ricMEewwBOLaAgBRaIxF8+bN8TFCVeprZ4g PcRTSlnMo29WjqU9ofSc4TPKXfRqLfOfriUXPB2hWL6J/mBWANpn27OirJky6pMecXJ6n1ZW1GRW THstz3pWBNMYugUk6N0fQe91DgnkGCSrm1CgSs+RfiaVXmP5JoVIPfAZQdFO/8Aty6Xtlksnso0t qcsTzfB0RAf8NatAUdMvU3tFOTMoDxWWI5bziJN8cp7Sfw1KGXhWCNPQtuw+ffE7nWqUWYrwHXIg 7KbWhiNSZDHgYZlvCD4jamlgd3qmsiMX6/icWpRZ3t4VT0cAMd+dxd3hfeVT9hVKMoOFcPIHC8t7 WXF6DtFIljKP6m7BK8RpLPEA2VYdVFL4aWoZQoyPHKETlzF9NVdqjAmgRwfEr9/pff00fEbUEGNG aLy3adOidaJZ5VZVKl6GGXP/1fGhj9C901eUMEO8Gxompm4kp2hqaGSbc51wRy09vlshrLmrlHgW H3RlCLZTRgHXVpb6NSD3Da1C/CrtudSTvmqsrIZKS3VpLY7R2ER8YEyaN57+W0HKrWjkQXu/cIXK zIRAbeJV8G5NnEFgNPqc0jlCPTotI1wxHXAK9zV88XoCaiKD7TkgxNIUTPMkitsywn7Fn2CHwa8a dcRI6eq0rUzujbNhNGPXesp4Oni5rpisFPVxEvMNzFHCnBqZhr04T3urnjhntzWnDLjO7golNETp SpKCT99S8Ag9najmAWcNoJUgULMh94L2UVoOLzy/89BXjcCpxIYlkSHSsD9BOgVPWaJJ9IHHg+OT 0tf+4FgUKGLY/kQZ8yI4dJjuSt3TdYi7+vJSYJkefMKUWWDuqW78/OrGAypSzX4KorLyEIb/JFQ8 T8qMvobaPQQv4DP+JKLRQQLmUnxevpM4QDABxePB1bV/1aP4mPpc7FHOXDaiD7G+9FNsbPeUn4WW 3tkUoNzOi2sS1ivENkvXe6jXLiso3ex4lRKlCzAoPW8yazJOlmGVY/JY49FgWVHWnMTF2aS+bUeC QGihy8yk546n31+vdXULOXNG/bzuoHRFWXOniMZqOjQV/Txrp8qai+3U5IS3FYM0eCMtOQatL8cg KoXVzXoU8OXKhlmCrEuhlqpQLN0b8vyQCN0s6+22fdH7dd406gliWFfxeDBov0oIxO+SGL/v+Yny ZqGLx4UhtOEYsVKb98Pm9NwnlmyubOI8i5cV5x6HswshhvPUj+z2DWpEo3sCKYOb+4yhr/rH3KeO u6jd03aT9ODOfDq43Pftx8u5Anagt6Gxo8QZpcfZ92Lj0vOnULEFvJtTSilvSkHrqNs86BcwkCrY 4rcXUORGZu8FzXniECqBmOwZ4rq17WG3eUeJcyMbZ9DAQYuOz/ljSDcVZAc8HvFO8vf+UFJ2XZn4 /Z46J1onN+r8qyxl7UMRn9m2laWN/sLcoFhJQ5xkCo30doVGIEyJkVcbEqBbq7PdnDHgpPYu1RiY HBbkCPyqQcOnKiuUmet04lhKpQ6pUrfGrxigDH9raeBqX3dcsUOQc4f7ws42Ls2yqNhwXyC4BV/g JJk28tpx8kx4WG3FF2+IVchpFqHE8kiJ1lXyb89oAQNDZPc724z4jKghhs+upB9qYXxuMJlm+ED6 s/8bJ5CyOdkLrx1SAgdbzG6YvJrRLE61lasR2qd3fHaM1OBrRS0kLX65nyA2St0yKT1XzpKkNfSl MMb7geScM3PYD9tR6gxgHig56S5KnTu0OfHbnSIGT0fqhd8tHzrTjdtr3jG8GalHms6tqJYlTgCs TUijqNXKnxK9WhpVpLt84eMbq14i6M5mLZMk7lCA2z/78d3EOAAf8UfpQuJztAhAV3IR1/oU7Xg6 0p77HuQQpV7voH3H2nMDZ3ubmj5U2E1KY5omVE7zAuh2CNOQutro2xfPnPFXTvLbPXkDoIZ8sZiY EXZI5ULNKag0smPBZgWenH3Ri5W3xJtPtF94OtLw2d95MwbYj8PUjmAaQC62oiSHZlNLvdQ1kkXo fArAZTifHYrPscfcZX/M5TbiIdAPnyXGZahKqeOjIqH/QK2XehWbJAJ+1YhNyhYaO5NaeK+ntWlr RGdePB21mn+3CyF82a5PRaikQSCCuJFKe0w9rCeXx5KUIncnhGmQUTF6m77Y7pxTNVunogdyc7ID +WohxMKsGhWZDk9hhxqfEWSFFJU9RWDzRe9Wq3qV60k58XRQVPy2YiAE6rnUw15zolgtwXI0Nkqy AsTvVI3UBeNWBZ44aWanp6mj0R5kfAN5fUNMHXcWvOeGjAIBAQDZej9U221fNNLwYbIjV6XhvjgL Im02pVh8OqpIv5uFzIQfkYgdZczsJ+ySNa9hXqbNkZSkdPnkJNT5Y97frKlG/NDdNZJfWrtSTI3K IMR990LqYCUBA1NcmIddG4+mrxppaEipkikhF7u0+hLs/9wwePpv0mpN3+yEjHx9GFII0IAXwknt Rc9u4FKz4bMWbnIQdTK6nK6kn6KcuSsTXnpz1vYNOj+lnOCchIGCjS3RUgPiI3RkhAjPBflwUoEP CSiTmfoZSS0fkMMZnff5i1TV7CeT4vNBcK5+sePrAdh83up09yhqOEtXdqE0JPdkbRPSaCD4V/M6 gToF5fRTnDZzvrfIQeHiafNACdn5ig3wMxmjxgZdoaKnkiEZ4ty3se3bBnN2FqapCcrb3Bft1HIl cQbQRmt8PigtfiHkSYNJl9yVU2h4IrmGrCsMzTdZw5ywXc2Caq9pchH4MQHIWVYe3Yij85YWp15H 41Cdww5AbxLzAxkzms/oNTlUg0hVfEgEhaLE42INxnYeR+yUoCykkACTyR8QJEHZ94izZ9ArxgXz 0lA8+Nz6Xb6DbGeTC3EoidaYrbinUOgKSLW1Jon9Nm59sfpA82pypNx3bkghf2jVWqGfClBiupC6 Ja/WFCXR0k8dAD/YopsebT0mwSdy8vFo9PWdCaG5WjAT8j2K0miIo8OJTTixVgcld+G2SRNTum2O RcBU18+JdKG25u3LF3/ZIBaWlwT2d4NeukyRE5KXU3TtcUWuSXLlhwQTjEVuOwWqtQi0cX4M0wso ffHxiMT98CjxtznP9/S4n6S4DY2Qid48uBXCj9E4enEuwYDUANFretnCRrTl0YLVjYdwgfHm+Ttn vmytQDOrs48I4SDU+/BpcKbp9i8bFfLs028OUNO2ASrGRoWwQYhz8fH3PeIo5e4RaJyYD90tCjNq OIMOTZhpn5rlXQH3tutDsA0WTmmUYMikTrRcvOa64ei8AyecU8kJ0JZWKGYymQJVVfHt6j4O/65R XiTzkzp80Q7BEJz39tz6jpF6lk96mrQAUBDOJxqFOhuAl4wvG5RsWXVB747NsiFDVwajsBnNK7/T +UnLvdXOZhQiSU5qhIKVwUhWOJSlqo7+KWxf8kMivjIGNINCYVjkkQ2kb2NeDXIrH49Ei2+85ugD jc9H5CenMLWe+0T3OpRQm/YA+mWldRkl53Ny8/I9iuQ2hJ//9zHaJ20579kmwLcDA5WXCjOMPsBO qjk/8zD/ssGtpvSa3TctzB+huiwNvhOT+HjwotVvkcNBp6r6bFFMHMxsJJo4b8b4y5Au+yJd2HrT FoU9aQ6cgRLzxd80qGedYkNWXjVtdR9pyVnQRm/bla8Lxe35IVFfSNTKWn0RhhXzWcEumT+GligP R0UdSCACbvmaQy9BqiGRUqk3rUxTguzL7TlRHdgWBV6CuPZVhHDsM56xD2LGiRWNQqIwAqZgK9y1 qX7dINrVnT4oX4scmAmCvUwcYit3se7QlkUK1cFz6CZIUv8XkB5Br9zBWA79BCFsWc07ENdvM9Nx mBNgszKy/G02nTnwE0TzRoI2vPW52BZtqLfuItchQOSmZtNAD1JFrX55mHIun2M/wdwlu7F80Yt2 6gTJZ5zjyseDBDt/J9gVsJJ+xWhz7ChYhIgoVskum6gC2OGV7MBk0LYoFHqW9E/Nd/FC/+z0uTcS +68wD6scgDTC3TBObg9VDh1ufcifFOugf6elq2jf3QQbOvogOTAVFPPke7paKFJ2tyhqUAOWiU6L 3ikAv5qNN8h5+0ciItVjUWgrqLNxjlv2xbdowjas6RQViH4Og93h9zwb2x5F2sH5cw58BfGi8Xcf 7KdxEe4O4HEj6lY+Hrxolw/GFAg15VdeFDoLArFU6U+lCT1hJQhF0Pj2CX1zwHgOrAUTvXrQRet3 8U7siXOQC+cIGj+1iNWD7kYZuAfgq3yNHJp922BIP1nLYthui4JRh+wz82uai0b5dVk3XktRiWn6 s0mR8DOa8KDDeEetLu+oyQ+2wKmlZH/TYpyHukWl+OLt2JZgV6DMCBbfQjoUoMIKtGjx/zwYUcgl h/6CdDjYg2QlLTxGI8l89OQ5vfDx/zIIAsMLUeTu0Ht6Xf6PmiprLjtGi5MDVvt7uzpkJblexygG R8vFImdf7BhlehZUMjNGP1sEDQO0RJDjgf4NZNXjWeDfNugbSZ02kYEwnFpIWGiRh+fJN/h8FLF/ Qc2QeNT0hKNQk2NWIsy2lbKyUs4IR41ceOANqP8lj9zQM0V+X1uKQPtRBBogpEKDXHiGVCRvUgAz gT4iZgp27xcmO/yQ6BwxJ6Iatxa+aifGqQg5P0aPR7IT6SscUXUXocW3KEywiTdbCKj/EInd9a+5 qWPBS61ScFtbFJMMWX1IdKu3p5JFtYCqhJOPU7AVKdUniJVX2tWgd+uQGI5cc+g3aHHIWPLDWmsb 81s1WOfKfPxvVYjSoPpLajSHloM85bOY4JYnkgugvKQG0nnpcvF3LUZLMzXJGoPkR/OvwDImW2st j24WGRW2cSXjd+atZr5W2b7rn8RGO4+DFsGGziuUVXqVwcejIuQ2jdhZQ8ZLOx9tUWg7iGKgjtlM UXPQ4JBUjWaxe+si4haFvoPbpq/KsMsDrIJ6RSuUSQOTt5vESaGSJ0VzHsi0gGc5NB4UpGEArMzF vW/TSR6ytCBxjALrQYHI7BgxPAMBkC92KIfmg7j0IVUwBD4rojijs1ZxizM7anDu07sW2g8ObVKW LWz+soVdcNXzTcL4jNRfotyE1Fs+R8uLc7Qc+g9mTfCHSGPjhuyUBMwCu2Ly+aApUn5JlCHIPj48 ObYghHN2krooK0qcPexRYRJKgNWqO/kehUm2EDJZBsM5XXR5P/8X6DAZgvGEcKn2A4B48q7zUieX Hy0kAPBDIsYqYzWB6Vr4IZlKWARQnzqKz0dZtg9jSTCjxM2dVefAhhBUPAUh3fiuz8pOf95Gmi8j +bsWQqglffzvdw2KTE38YDghVRKbT1zJtD88f4axb2sNN7s+JBjmF8YhGMtzMUGT89mN45deUM2G PoRkyn8NZKn3em+10IhwT/I3TMsXnFuDoUF+xKAgiMbm/R6iqKUyxZ6nlsv+QYWPCE5NkwIvcmLw ka5DM5rC0DawplhZjp0I6eQ0BuM2F5tYQ6MCZyinzMf/1uXPdu+XUp94HRp450Y8SDY8SNniuqw0 bHqNtMZNi3LoRrgkvSDmHBev1MaA1Astr0jnmEKDFqpqKVu4OrZKsUM7QobCc3/Qe4aLtUTGEg0j Lz3+t0Ok64u36gXD5tCREFIJJyJsIV9bo6gwSVJfVAXai+tFizXveIyAjbTF9giytQuIMXEVwHQk oBh06YySDqwvG4Qs/64R7gEAVkYsWwSaAdpNp2gNPh4k2BdxRcVNzJLLeCJR1MEGIgTKl9ZXA5NY HezG64V9tYYqS4colopWCKrLF+/OVjRcIFKKcp/I9S64Hh2Vob/sG7TysK8abFBlJUsSoxaWafu8 ubKuKgzVUXpdfqm6kY/d0nOEQkA1oqShhGnulM3cqdGiiYUsC0gFotBjhb2isVTPrvlIePSTUzMn JD08o/2LE4RDezL4n/mArtQwCk0JK5tMXSJCXHiA0jmLGqeeeMDHI3kKr9AIF0YtUx8j+NCWMGEK vptJKWEO4aaf1Qr9k5V16it1/ZzoBDUhYZcvnjcWzMckNt4AUeM5OMcIV1qnekhlCxBHCBNWfkhw hDiPnXJTntmMShbadkUCFTXz8ahhdO8yydrix1xhwBx6E0KjpjWaaTMMDe7WAo0tTbNZ2WN7qR+7 E2oS2+VG0x/lX/heAdoopi+lYHibMW1EQ2PmZ2Td7btGkZqpEGE6WgShQWbKeiKNyceD6iO178uM uefTmQ39CSH+XRdUjtQM6dN44outhn8mtUnd3DIHBoVqU5SJNMoX7++XTpkC0Trg5SUcJMJIZqqV rvknaAz6ssFUX/g94H5sUdvx3BLbmGL6jhH887vtWDeleu59H5sUDskrTRGnJk2yOQGhSaKIC2ys 6BDFyGpCHrYJ5nxxWicEMjk8P4GonvsIczJ40xYljTk9OCMyIfkpQYXGm77RO0qL9YsAt+Wrdo4W n4+0utZXYs1kjPRq26SQmyh8c74wx2mGWIOjP+oNSFSaxyj2KhQ+j8UXF0+sO68b4JPq+ZSeK0e3 CapFFYYmg7iCKteMZt81SBs1kJUG8LgUAHAfOculPTmfj4rYX3ondMNqV6I9x3aFE6xNSo38Iy6V RaM5l3nEw8J0+0EKcdbMGM+LtX2xTTovHuKM+TSDTrXFEVr0p0QruTySQnnZt41GsmRPaWC03Up8 w+yG3Z6a9uDjUXJdvs4R7EjpI+p7FCXXWSc9M/EBFAvfGqJLiYghshgaRfx5q4XGhabcJZ3/fnX+ z5eHt/dgJYSJaaZOKXlUsFY719QkyTVJnKHbl41uNfKoJvXNuHCLIF2ajQSc+fjf+teUXdqwmL8b FGXWaCdU2QczGlFAnw21wlSps6G2/AxF4BBC7KAet33x/ZGWsRpq5zobUjYtkNqvrPfmFa+whlro XEgU8J6UNddi8JlWzJ+GoSgEXKdbfIjfiMbDA+cLzAsB50u0iJcaAwgepsqZS7WG2oA1iMWiMLVm vO67ZF88tYYsW6e79omPlfB0FPmoZKG5AvLNzR3Tsu8avGWkeYxMD0wuikVjjy6e/fnP+HyUPD5c vGxM8it6m0P/Qig+UT5BqrcnQlh7v9CUkfVZenpFsYOhqtEtAMRe7XnNxgZTgmN9eGBu8j4LuMao PdFMvU3H3uzLBte+Zl+L0yIuzIxAzJX4ZMHMOnQwHL/aaSfEJhHefI/C1jVGIMlM+JB+IYdFuM6k ohHxuFmlaI9idAhH0ZOFCBfv73cKq1OB6ZRuJxtC522cWxs3fSo67Z4+kjWUAxdD3PsG2y++KBad GCfh9rQnHw+u/XuOmAbhO9EdT3sU+xiyBOhXw6vU7OwGg61vEjD1qgU+hpLaA+ah3cXL/IYLh7gO 2CCcWDSkpEM8KGq6dkmvTJn5IX+qQU5VX31RDXJuG02J6lp8/G9lminGfdtl5dDJcAJkOqgQxXns 2G7MkomlJTiE/I+uHxM1ZdkBmSpE5gMOaR1y01aBdPCFsiDajALgLvZbpQ0lRoGVYbYdGsnHsmbN cl4zOY6f7Kjw8eA++231iB36GjWGXobQOzn1n7lcgwRohazYtgKHjDF9i+L8mq2QLqSRPL5UgmBc TSlLAtXOG8d43TY7bIi8ffuVRsI+PyRCPmwRQKwpi+jzI8AjCbs/5/+0+PzftASJd2Q/7ZkRBY6G oIIsSn/oIsO/3LKPxC7G6453WNd+bGk41GwUeLY/1z6g+ufGkVN6m+38J4xajRxxsDuvJtOgmGAO LA1NkC+RXmML37MOERcNNMbm438FqjFsgXHxtIsCU0PouI/rWLwJQKlMHKHTKmFcSLJKrLJ/cuxq KIGLIeuwkZ6pPi6qbCTGc7nBJRMTIsrqcNKYLjZktG1fNsqt8bunZc7O3Xl68PolfuaUT3w80uOu X4eIUL585aZzaGwIYK1bFVNCMzvwgV0maeL26WcoRl7zZMi1uH25FveZTqZNK9vzMf3c1IN17Cac uINsO2/qSKOEHFobEqe022KpxoXXWXWTn3M/Vj4eNWW/DeoomAKSi+9QmFwXmpabFBN8zU01eNbh GgUFf1zboii7HppTNykQSUNTFRocs+TxCxZ+ATyE0GtqpZ8IhTjv8lXiOAT+huB1cb5YZZ9eLXVE a6tLk/38Hnw8qGLnr2gNpETPD/Q6tDgE4exUsVmq5U53ANyiccq/qURRhr9oETKkmskhvYtvSxC6 3Giwtcoqts0OWDoPXFIo6FDTvoQiehfnwOYQJRaNSIosxYtBvc5RGOITgRLIxyPwzDcTn19pXyGi z0tStM4n0hK6DoUinPw0bKA/qD7O92w5OP0lJTo/xKnm9GyzhdtTT3IEvWym7hCJgCYs+9Y0+l6U /kIkNFV3JI0vCRG/J5UlodK5fRFs5pTC0/hTePhfW8Pvtw0suyxhRCVMeUPen9HOgEpdS5dE07lk 6EhXjCssgoxE33h2gq3RJUajhOuXIDBIOTfY7OLrLeAcWOVW/M0KMnUIz5kjgCHSX7cmkaUEKVNW HlyUCaEqmio5Tjb9ujVCR2prhJWhauzwvXlJg/gUvt+JFEi6/6HnRjIjklNkcJZIpw1XzntJgnRs tDeMUVrs2NR6MqwCzjOKVlisZoNC4D0D3Yx9WHO87vyi78emaIJE8r4WlWNts6XEOPZ5yX+0saY9 tPRGEQjCNwpb85L96Ng0ipnWbj2P2gz42abbbECR1LzkX3dGFm44Lqv7cjNoziLl5gz7CI0SG5AU p1TtAJ5cHwCOyl4yH34E8y7YhWoBxKHyjRq1EkF0jmT+vCQ+9nKUrzeKo5LE9Blb85L26CGc5s6O HKZAfRtxAeBoV25gS5ieocGhGWTUT3U6rhlshq/YucULRVXqKVwA3OelDyvF0pB/lHJfKNyqn5eM R7FGMEYaqGlRn6M26+NjVPV5SXjs6f11aqjaB7KJtuYl3eFD6IydF2qrK9aS8zvdTxVwYehJmmNo sDeT7hqTE3YtnjHDH23UrDgM9iWhHoVYdxiG7uWej8D/8ou+7w374nuBOGuLLvITf1VWlr4/L5mO DkD9fqE4B+Zkg1vzkucoeAPuXYH7ws3d9BfGHd7TFHkRr8QwLa+XLEdbwyCzMkMOF6/aabTOuh/N +pMUs3ptYnSeY45j5KkyLa5fchy9UZi4JYkUaWEamHcVu+MU7+PzkuFYoJr+QrEbi85ALX5sXvIb xWFen2lcL0NzRz957Zh2exMWTfXbKAyz2zWRotlib9TAX3h06jWcIqJ1qbu2zeQGqdwXlwNp3Ocl tbGDSZ/Hme9iI1XgmPG7ofn8ktjY98vfYRjZMtkv3JmXpqHutT1u8EVPoeXtYg3TxBp2qwYNeukY 6lXmDJXjNFv80IyT03fcQrkCTl4n5ZVpJ9sSacoAbqsGpSTf56VhaLnT5PQTwEItBsCDJEXR3ozP S79Q0bDcN6rYHVUobc7NeekW6qSCBbqHB+LVbF64knxrEM07fzSFPqLUhrXVhJi5LbY75w6BTgCZ ZNDE2zwwGMZDPRCHmqNhs1mj4chLp1B/Ac3it+zRt5tFnNCRphTvoN4VhZsxbHMYtDGEI85RaV+U EY9EDTaHTDVCtRCKjf8DK41NIUsR7aLdIQdxdln59MfKp0G7dNL9GZUJfirRm9S8RE6s6aApWWR9 1eC1onJp4ahfC3cHOCx5yO3Gp4P3an5H44wAVKrf4W8EMnsnOpWSBVOAILYEu5DAZetdVCq7CUke bQ8BZVNRlos3wLQpnJ+cv1auusYLu0oJTUzsvSHKehWiO4rIQkshPtqiwvxUV8LctQwceZga+/5w KljIGfHb6o0+pv3Bu7WyGcfXuZJL420j3UG59sECBfuzqfOBFrwvXnGuTY2kLYPQvdIUNQrtIjQL 6YSpd2tpe6LkmCQ2WEQ0X6xvgWk2twfNr/fsGFpK+1d2vDQrse2J0mPiSZemXAg9aenuQr+tWOjJ Kic48Aoiczf/ud18se0ZFVbWhKPWURJkcMkFTuxi0SBwXx+xrKFKVFdxFIghrC/WGxxJJNaT233e eGOW7O6vDLmR5pvu6XlPkTV/aU2SOQg+rYp4sFbqy5h1E/gFG1JE28McZrMq0OIF+flfy95SVsSZ qdNaGuzLNRqeoX9Epwj1K/4YfE7i1X1RaQXKGH+9hZl7lCWLzXWDD/rK0Lz2/Yny5A67403W/T+C lHWzeEx1Gm5c7BS+Xe+JMuqroXOzfPGLvQ+YjajyPBGjjylpZSRBFFvl8clfveX3TBlNB8GqgNjS wrL87A7RVdArq583vpgVBP3r7oJ5HFsQvj9hsjw5cS+O/1nezrELrf/G/0TZcmfYgSeKLzdbhhQY telgl5hL6mbGxjFMZQPh3uxDX/U9+jQKTw4AfWxh9BlwvCf2Z+b9eaOKWfn6u5TAPPkJzlG+DA/r sz3LnUZGdykGallT0n5Un7ZHCbOVoFnmRXncMuv8kA0ZQvV0kPkTaYYop4R5zDtIHsu+6fvuDHXd E12yuKjf1YGT5NvV5+eNJaZvaKrS1vDCy9Xm3Z33nBl3Dm4PclqpykkxWahy0iZXg5sEJo6u9ihr HoQVzqXMZ+VboSNMnIJPc/ZT0S21VTuQpxlKJ0A02fbwNvq8McQstWOzqxFUzyVZ80LuFCe45c8b QUyZz17fLxe+EEtTbU+UNUPzGs7u8u5pfP+FQ+AYSGYaEACnZvsbPczeLZ6eRcTGFLJdDYw8QXzu dnpOAqmxFhh6mIj/oG5yMRgxMaO0mZPnBHsCX9QSrFloJnQZP2/kML1bv8r0DhQLtLKtJRjlzZzb zjJMk3yCKsWJTaMQJJRg6O+plmCYNus+SrJuTo918wnMYIcVYaHAcMdoGJKo58wgB5LktrFVir5p EJmltd3z9IWR51QsEv8HfvvzRguzd2t/pYU4emJ4aXeitBn0LSTLhoCepPyy9zVdMXk2r7jeKGF2 BPlqZRtHzEdIqKUTGIBIhNjS+UV0w1XReGv96fWBsFCX840RZp32TC0BtpO5cHdOIitmDPCSnzc+ 2P/cW1L6Qgut3nZylDSDvACxUkXjliijj7NzvoChDc9/tG1k/P+MvWmS5TpyNPr/rCWtDfOw/40J 7h4BnlQxqu6T2Yd+LbGSiQQDMfjwxga7jTv69yRfvNne6wnTRByRvbio6gtLMRYVgB4/aaGUO97Y YJb3iN0ulkp1lkqDGRlhqLm2zxsXzHb3V2QG5H3dNs8bFcy+LcosTJ9jERBCN41p5MITl0juRtrz RgWz2o1f1aTsPxcPPXAbmTQWR711IrUuLvw3hSJsbV2xrs6B8RsVTD+EeQ/1hW2xvLBO+UWkzKej xvJ3XkjBr3mbPW9EMCu6GmXs3YwlWdp8Du0wcZxUKbDGzyvuLW/F5OaL90/xvVb5F/aOkMHg0zZ2 AWhDsJ4uczfpVeOOBi5C+cyOi8M8dRz14aE4/HmjgeluXd7S4P9tZVaafXuirLlSCaINl7NnF1uC 0vXq4dEJmtsTtZcFN5jigHPxzwuYlcyJwok+Hd1YtjQo6IiJJQAu193aXjXIe6T50iUZ2I1ZABjs UNF1AtHnjQJm5+BX771fEzH+zPekOZPDWsEXtdjMMRFBPXlP257aPS18439ZcNZntYsvnjSftA3M hKaWxqkliLKrbJ/ivofoiyt1MO9543/dkRnGukTOrYucA99eUO6V9ueN/mXD3u+Pix6cpJJqe8Kk GQJ3TQ1UmDsyzAHPoy4ToeDnxrWG2Bv7y45uYzFa73KnfSc3pf0halIYKVFScAJukClkX76ETLZe NdgejvsSzVe16PQA2kr0ZcP2vGfNGsA/aaHaIvV+XHGnudNMQ3gCxB7BeuaiBSetfJok8Dr/mWBA Qd7kkjczF+/Cn3KubWIeEcLW1Nh0FPSOgHtEmnhBzkOvGmXNbBQS3qpFnBRILDCtg+bUG/dLT69f aSHHsLjftT1R1txonEwNB/XDSrPYs3r32LOLiXK9Mb+so9F5bGilwcUbPhvqd9qeNhIEQ1iRboSx dcIrY4+FZqRnnzfil50eci0mMx8u3J6TaUqao50D8nnjfVlW+R2aKQZZimc+b6wvbU9nE9XMCtE4 daejmhx/sbdB5N84X9/1Ot2QtfjFvgoa+VklF3almcXhhG88j9yj7lL0pvEoHeQKtcPcBBDXQJK+ 5IkGnzfKlzW28nfJhS3m+ES7E2IwkPeUablyq8l9ZccwYXvUY8v0Jt4IX18lF5v2ttyZMRBflDmr JyXEhBXN5gUaFQg9VM7qQx5rVW8a7Q4BuzwEWtRLPdmmjIZP5fJ5Y3vp90zfkwrG0lov0iDKmuFm c/Jty3BOaKu2O3lbsIYi4LbA/Mb1sgkUT42SHy4emCHYLR0vaG9mbB0PD0YFTU6PfniAe+erBiVX 42w0kZzNhYdnYuAlj7XON4xG6o7fYYZT+OP93nqjeSlkoD0yRahk+jG3deI1HCXNywPPG8vLDm5h xMndF9udnshgkjLpKRzPH1qlK8ZiKPS+vC8nFSbeSF63lQpUEywhN2fR/KVOgK6FNdNMuXzeOF7a 3CfrUV96O7GC+xP1mukxZNKt2J9aFXBmpmYD4RiiwXX+K0FNQddGVFi+aH8w4zs51VLogXesyIQL ws/I1G8vgxbVnzdul20O1SCyEIS5eL11/vtiM8/5eaN2Wdaxvi6t3Ght5fXEG7PrplmNrlWSTeC9 igFproY+zVDutYzwjdhlxR6/LPLstXgzY0NZrXRZsJw4rElzl/tyxZfxzLgo1v7G69IpXwrL3J7t 23OSj5nl/zV6/bzRunQG8q8RadZW+/ZECTPGbvBFWQbJoGvL/4SbbmTpZNbZSnneeF3fI1LGBi32 aSXggBr1GyuoRTVJ4KYy20EgK4/+H/0sP2+8LisoWYcSmqpFgbmCQ/lDm5H6eaN12UGoX8eHAlmk P2l/ooyZDh8zG94LNKvisIxu9oU4AHZrRW3mzkSGNBUsLH6nQGCrnJSbfdRzjLbNeYo8JQQf3bda Jwf3jdN1+1JIlRezOSgoM8KdIrRRPfXnXNz980bpevqwT0WBqheyg7Y/UcqM1v+34Te5X2TiVBux n6ptOEYu7DMTsbz4l9Xi9VaFyfeSXNuGaotwK9TkhVLqeJphiynhG53Lgg8xYPQGOMsJMwSB5ERd atyUEKf8vPG5bAg0v/YH0IKSHwhhlDPLoYpoI9k7Fjs/kFzzdhjtG7hBUaeZ9qoTmExfvF5vIKZS SR+Et5wFAWpgwBRIkKCn4OcH5+7zRuXSn5JGLKvU4gujMzrh02YP+/PG5LI3bF/bQ46UVBEJIwxz 5g0HDUwZGX4aU30gczflq6mUsHCi6RPxRuT6utmXxLNWfvjbE/xU0P/MPWxIiatKjL2BKL7vnIKg 7jcelyJBFrHEF3e/rOeTpR/p2f75eeNx/b8p1+P6fdE9bzQuS5eQloESBV8RsGWW+2gAFSVmALKT hlb8G43rXrwow8v2xfE9ixxkdVMz8CssP2plQnG+YKiZ+xAQoe/zxuK63xfjTvFF6KcTOysBReff HJ83FpdNyfz6YhLJ5Ps5P2HePMcviK4QYZhUrO7ckj2NT/pG4bpBDMkw2z1cLoXrfEe9OIJlAJyB 8AyEDX4Y0irH6HJs/nljcNkkRgYa7S7qxads3rsn+f+88bdeumEUpCPkTbvznjYDb8nBcU82x8H4 if2Msfew4JMplcmvK8ybSblZDONabs0FOWT2eTFC7jg+CD4rU72OY6qr7QsQ5eeNvWWdKSbMJMhr 0SCn5aZBzjpp8xt5S0+3uz1Eu6AnmW/V9UbeUkqA2QaQwNYOq7cdVo0MmJHtGmXijbv1vT+VQBYu F8FyrlAOO05ueO5Iqnai34MB7oB1M/5AKkoXdCk/b9QtFaWLPj5Ns+RmQGbIMFBVjmLPnzfmljXy 61duuDCq5n+l7Yl6zZP8RIoTkpZEDVkEZximiq2d4J5iX1eYOutyr2ps1PkMkQfc5ppa8RjbTEmR My0k9vqxySK+5422ZSU7fu/aiA7jwt+zJCldAaA+Pm+sLaueLr5HYwn0eka/xydEaIBLfk67KWhK aYBaWsidjYV8T08M0ODVvoi95OKxGboyg1oKMKvc0BpXVdpEsWV1qa9LV/sbZ0s/g6BL/QH3rV7O 1b5KE2i38OloiJy/ri747hQS3bQ7UebMFG2gtyhzRyrFckw6THwd2Z2hw97oWl9D5MXpjJaLTJ0w LieXtiHV2Nkut2zs2vmEnq4XDRoaLJU2vX602OE5AUHOl3193rha60/0E32q5/ayq0VpM0Ck0I81 8nHuxp8AiaMIWogxoO9OiGpmQbF2u4uDw86HearNxE9rAHiQ1O8BfUH/T75afmg14GcE93rqovZX Xxh5EruF/PVWxdNBWti/Iw+1aimAo+0Js+aKWpRAIgyR867T2mHtzilycvuHFnWatT2we/LFA3OB LVYW9gl5M/uys018+Rh1yTVa7TA6Y7UoayY1PlVKB2nRp3XKhS58RuLTwYz9Fu0UaE20MHEEQo+y ZpQOJ9xsn3LJvrD/MJwaIp70bjJM3pNmzEjYQxX9Zj/0GxpqnVhTNQM8vwcx/5M/sIBiAja6J81s h/W/c/0otWyLNQv7lFXTub/w9L9gu1n5I3qpfnh6lDMP6rD0daVEl/gTk5oXCjzUukVHo0f4jLHU 6anDF/u0zi8Bz/iVZPSUhggDVKXNcGWc6/bDBlG7Peo0M/xBFWj6wt3BX0MT0lNb4OmolfpdkGJ0 /UTlHibMhap3JIl2qohao/lE0GmN5omUhylhjxrNKrhmM3JbvvPjXl2HKEOhZvfGjlnG+LehoZE5 VjEaLcE9PUqZZ9f2yPcb+Ee2ITZYOLwmkT/g8QDdM3/hUllFEgGlHQpZfx3yZzTA/R+DNEtSwB5Y 87Ej1tA+IDasR0nzNPTTbr5c9NMG934RoLEHWAnkYrchmssP7WQdHEad1R5lzTVpCriSLww+FVj7 rUym4OkgNqdvNg71u0a5JygCaNC0eTJU0dpxFyk/oxffzfA7oQtBJ7UeJs3qGKqgmrPdZvw5JYDq WksswR47qWTvuD/2DyZk3m+meVGP+s1riH/dKJRV6mZtSaNQfs/9hHg8HWzPbRgWnrXz56ECpLYn TJpphZetywxKkU8Be7+y6s30IHpI/1PBXuddHL6CTKdJkrKP0trkAaNhc4MuLaS+rd9DVBB+RnCx k4DdJ02t+zRT6w2vQjYOa5l8w//ydVEGkoRP7U7YbubfbTnoe1KympQT3oQywQAjhe3mHuXMwwuF u1wAwjiXEwYhJwc8n+lY0wr2gmILEqtX2mhMe9UoOLMUpWC5FutnnA9AWhDnl8HjQXQe31NSSjKU 5IOc/p40Qygcs1HyDv9HJwziDk5eSEOgKtIAoHj6uCKARqIX+gt+ZZ0L6pSfrEgH6HvwQAb6iXhv DJpmesCFSa8a7A+FVgq+Klu0P+cvUAhAARX408N2c/PMx4aqlHu70ScCNqMHjpaGqPzIt+R5AT+Y LIOQRFEJNgx7BNGwkn3wtz8LX4E1KbRQ2lyidK0TjWjs0OmYQxMGoFgs+gDE++kRRCPJpoi/mRZ+ Xw2u67x8etufHkE00vwOzjmhc1KzFxY9zJyZGq4yjNPVqwRnz5+4SrHn3M/V+qk9ajcvfV8Qh7bF zs/5ok5uUswbBIrhTLGriq/z59vlTko7tVZ6BNGgus6evJG1KHFepSh8jNTwdMQ//pX80Ddn3eg8 osyZUjDnkrVxBaOOSnaZXnBc4eDCEbWbJ8PyhqCnLR5+IM1UttBz6P0s8U1xzjLQlFUYESmqZr1p 0C+Up3Vh9cXFo3PRmH3ljKcjDrKfnsU/HLKe4bjvEWXOyJlbpS8So09p2zRWiqQdiU11950Rdpu5 L3NQKpWLn57z8zPNPgiL3+ijI/ogMBcCfJCreNm19aoRQ5v9sCVx8GWqticta4aeS3Pj6WiU/Ov0 EAeT7+EJoc1pU7Owyg0kD5KOwVgi4ouX1yILi9sTdZtJLj9PsOTcm8F5sO46WzvR0GNVekKzjHcW PQrQpq13FAj438CPCKCXTZPDaUvl73kSn0FR8bPPnS8YjSq+J6WQPYC0ju9OlDd7/uqJTzXVMLTi 9d/tTcE9RJ4Rpc1CsOxC1Kmp5Q1iNFZKLYOjRBcQkAg47KFqHJSiH6c9eFsN/Ii/DQKnPPq4sOoa VTS5H7Ql8fR/ET4gjb6ue3SipJmCFRCOVNzpO7mNtfMIdmfHkXEnypknkRlLOfOaj5sliJ5Zghvn Wj/5EC0SQR5DIx5lC5qGHnjY0BhRpzmz6tpST93KgvBp9bI288JxthuP/+vwaGYI6N4lS44wa6ZT I52OwVdKskTdKEvzsqJiUc6Un1aUNi/xuMbevtx7K3VMj7P6YdAg4wZRQA092lKuNRrqDb5q8G1t yqzMdhdGnlN8KUc8OdvE0/+KPBwD4iiOSxoYIawZXNKib2tBGKYanasTpUM6lzROKZwRwZqrPq5U li+eFp7TtFZWuxAOMVUiR2RIUeKTgvLSoln2qtGci5JMhSgELgrMQD9QT/4cdDwdZT3eiudJQZ46 tjfiR9RqBlwL2rgS3Kuql+hcDQSp7HU4+OXuRK3mqWxnjktnJ7+jQB93YdLBpGdCRk1SdEUO3xm8 qEvzx98XPyNKevAb/CElfyJjY2CGxhaejhgV4/vawoALoE/bnShlBlq7ihOuMcX2wEzKAiEsnJgx MIcZs5gUZU9fvJcKUf3BqAFrbKCh5EXQKHQ/KYDo27MJvRxhytzEFUBXDlsyfhgxMmwhKv2UxzkO eD4o2Z9JDnUuOeganjSPKGlGaly3LCuR2RMJgCnyIq+cg64+swkhjLDdLJ+GSXDcmP22NCDeh6OI 6AOJ0JSJTQWXnR8iLrBrrQPrJr5rNCidEnsqvjAvPOdmyKh7poyngw2ajtJgqOFM+rJJZ9hvXkBp EAcNzlIDOonq6Js+P2yJQZ5YVcWM0uZFcUvqBNji+EvYDZwHiE5duCfZV0R/g7S+nzYe/Xj6WcwQ pVGoo9FUe7XprIpzz7KqOFdLw9PRtOJ70kUMNrXetT1R2syzPQGEFEgjiV8Cs0HMRViTFtAAKm73 GXackzA+9S4+ZocAVupJHbF5doj/l3CqQipRfkClvFzkolcNPjDRBpQflmvHCA1oYXTOxYunI4iY f178FPGP9CswN0MZDZqNayQqNIsT2bs5Vp8IgfjBr2vGjEDJhK3hi2PEBkaBY0kXvQxy5jnMYRaQ fxob8qKTkug/w44zOTmdjRAt6mm0Oavic598/F8QusK7leoL8tDjDkWpM/BfdSaXswaJQ9nhHMt0 alKuV/wpbDkPZsRUhtVyx10nKSwccJ4cYgBmS94JpMqkAIDwYyML9G7wM6KePCfJQtFxUfjJPVGz trZV8HQwaZ/rOzlEedLvBTaj5BlA3QYBDc0senFw/GjFqQOww7MDFFICK5NDyxHXw/XHiA8KGiwt INeZ5IlKzC8s9KCf5MwK/Mb4GcH3RYfqtHnNp22M0pNfjcXvo2HeNaPcubXvnk+nQ0T3gcUMc2cy pslxIGOycnRRMIea3RQcRyFMA+EngmlIL/58PNUXLy42HD1WFmupDEijE4MJvAtkymCW5aSuufWq QXQmRuz8/bovaok1I9y28y3j6Sg5/DVqR2Be9W5PhNKgfBcYepb+sLktBO927gD6Djo8UcdZEggr i1mR84OgQ9W+qsQ/O+aonZN2VqpQqMeo3w8PxfRnlDongZ/499PC1BlqgYnh5MQFPB0VXt8QsYx/ a11l1BkyAgkvbtSEZwzw3alU4qGMBn46C9MZ685xWLp28+Xidwv1MYqq9l6SJBOgcHzS3/KzrqsH 1ZXwIyIAFNuF0E+1xeqKJXs+AJXwdASA+s6cqyANnhfOCKWBqrRJDw+BJ7dhgQf+2rq5Mke+istR 6iw38zXK9sV3Z0Ifaanhw7hTZfBJ3jW2ol/5c/XDZpQ5N04CU5OSj0Mdzk9LVfDAnBuejhA+v/ph BKfetHlGaTOut5aKWS61k2O522Ix2i00RgA84eH5u4qGYJpafBIIPZAJqzl0U09R4G4EixDc/k3L sbwnFJ+bku5hQ4yLAaAaYdhQzix4+r+AWDj0Xss/rRVlzdKJKM0N3zPlw05VIbsnelBTvBOBZ0Uo DfL2ITe8fPFb6+T7J0OlvtHJdQDulKQj/kmACvPjr0zhU/yMoGbXdUVnJy3cHXju8pY88bni6UhF 417qfLXN3r2PulbYbCaZk260jMvEdrElxrdV5BmGnlth0txVrf8/HQT4CIypXjlgGm1igsaWGB5Q inQv9cVba4W95iYyKUEsXLzjk6Ys3XbF08G3dRlvbA9l4i73PT2hLjP7vXt3A2rkZdhUiN1b1jzp h47Ys0KgBnvxqwvGwnn5T5OTaT3pWzXTro78WfJPSAfxZ8eIzG914GTxM6KOmJBzZfkiAB36C+yI UfYyTppvQ1WunvP74lohJRA6I5Uzpv/RpGLp60J8TdYSa2i46vMKsc1d2OZyF+8Yni83A0YkmM/q ecsCBl8RlHxLas+9nvSqf9V2rKq9qk3TFq5zSficdAJPR8HnF7ECKSJtk7Q9oUAzqey039TXRcHh yjZ3NSp7ydOSwhVSArsm7Pkuvj2gmsBciyV76ZQVYk3akRQiTb8CUDwF+BkBOBUfXqJcgi1MCk+4 r6yBTz2U8fR/4VVQzJOATm1PlDMnxkaq/4urvU1td/SUvCc2reBaUbtZIron886+OMYHsJVT6CLt gblphmcpMD60VkQVnZYDDCEdoleNQCyEP412F8MhQFGQmc/oA48H0Xn/onWhSdFuzb5ipWYc7rWN rZ2oJK39sWwIivTTMp8VZs2Sr26mnP9INS+0vRYVhuqAgr5Gqqf8apDyLD9Q6LKOz+agdMU6Ghwg F1akwuVxfzqm+bjJzl+04fGAzX4zQ/VfB7pid39CWiBOeKM2JdnsGgpmCGmgfaOO2KZjR+c/E0Uf ZsMtZV9sfxLErJJcX8DXHrrnGhuHuDMlkTUeGZYVJc7MIxP+QV90e1UgI3F8TgzF0++f10q/Emfc N+tOSlcIby7iSndrqGoWiIZqyzavgMOZ+XKuGKVBZOVgR56LX+4YJNNCEQjV1VdjR77QUxtAwSwc S/eWPN81wjeTVQrctS32fZ1glEQeWBWPBxjVqzRS5OEJ6smd6Kwod4ZoME7NsOxnlOZNserurjm7 js+KhZuZEcuVdNRn1D465DQqzQLhYdISux8JnKoG/WRSxi39GUOvGk10aHff2RvjIubSKYOlILcz n44O0Pc8EHOGSg9ebs+Ocmf0Fk98zjYvHct1fE6FbUX7mtnK0h3SAre0L1Wdloe0XRs435SHBAwK iFezcQdrEvc0cEu2O2w47yh3biKzNw2Vm5/RNDGwtKqdj0fcEz8+uqqQfN3kcL/nzmCeAKhBGBrC T6HRKLRlZ5/FOmJ9dUuedyimoVHXHxp0GxGnD05OEJ7Ph0TN/UpLinPZ/1DLh8lhoW0efkbQ8uG8 YtORTYv2J51/U9lLa3zFqLj4jj90hF4XyLJDlDMGFlnXO+alzNM5Tu5mPpDhQmnauztKnodUVWjB rsUHOguKl3I1ORX2aGr6cMCfgd2E07xd74SO42dELTHUTW3ThpsLw3ODBiqPBLKfHfICL/uEKBI6 lXrdvkNaIOLipO4k2819SJgPPe5psScld03eMVRD4qDPcps+J8yUpbp9pk6gDBryqLrQajPX5qcl tqPUeYsWiKTbFpUWQ0f+B3A9PB0pGN7YzDFi4zT77k+IcOY4JbMKpYSy4Vem/iRU0+Ab4W7fMcCZ OfPWVHk/GLoF1G6TUk2HwvVgy7Wz3wu+mlJ3Zc5JLxpcXdyWSXM/LepqQKRp6tOqeDqCInjDkAcF 6Xd9Qk8o25zQ9JlWop8MrnXT05jZZxWLZmw8PDFSg6FZnjdcPPSc/59ThaKZdvZig41Ccm4CZjrT PL3cWQXlRnbUbU7G6GrbF31a1QGfNS08HV1c3814XDYVTF3bngipkRAcCzug/6POsw2VwbHexlw6 H4URu3YoQCcIXZOfUnv8lIBiP9cMTS5RyjVangFjRWfI/gO/aopWbPII+KqxCtT578l61qJZYCJB jKPSgqejuit/nR4qIaxne8K8mTbSs1romd0cyGcvF4sAuLJ2J0ZqCBeneXJNd5A8qKi+pCx77vfz /0OYTyf8up1LFgNZHh4q/fJNA6RGI4+dwFYt+rZOpTulfVkHng6qijuq4O4QBXW1L3fYb+6kVhhL CWA61aJQpzTKJBC4FnjirFniPKQQcLlnZ4GJQq+2kz9LoBhjQKJ8AN59+LZ76kX/GngILtZiDUNr Hp4Pi09HvJPvkp1Uu3RL0h2qz/HNyMZDVN6yAmzQi1/uxgDHWNudCKQxjaUtfbX6pa92Mp4KqC2R 3yfVWYzcHeIRBYn02A/KpzW9apATEneSqsja1S1/y8C9wVh7Sq4ddZv7lbRmp5E9HP4/3J+copwZ Ml6tcQ4OUetG/+qzVWurz5PhdkLNUgrqpzBrZqnZRUvq3ivn4RmQlRHKEHpomQPnRE4Z6ADE0RjQ h+MKNpaD+oce9kVAVUDDKC6+cRdITeNsIp+PYJjf4QeOsnVc+HdOoQpdFvEMsAy+q01I0TY/3zIL U+BPhGTJKcycNUCXlCEX74slCM+xJU5dChgvofNT6CWCLkFDX9X4b2Pb2/5N8ChPUiy4WG0x0JxE iD51Ep8Piov23TqET2ZJl2KR03v2jPyQJVfuNrgo1mSVD5vlh62Z6FFOIdBZ3C5glGzxTTof2tmQ wYPUM9DILC+AWq8gSqDH7ogNolXxQ4I9IhBqkiYPrxm0jlRgLLpbkbm1+A8EadAt4LlJdHxaz0mK +s/IPc7rVd1aFRAaS6LnFeItqWT3Fgr9AVlcjNqHL7ZJBdzAzMkcZhkjyegtbzBR+MGUx8BiybQ1 RXk05cTPzarN2o4aO6WpvjYYhvDxiAqXvr42KI0VvIbvUajlvOD3RjINcsVKoit9NzsxCvRshYKT RaSoCz2lIFHmXW6yeMqrncUVhKi8JFwq5IcaKpg9rtb1bv6ywRRjMGjTDF6LQTfAscOdtuFDkELs xh3zcAXn8NQm10QnRfk0rMjqThQvxLxws2qHYnEhC2yzlYl4oXMUKjpbTmTasw/0+ZQYUEvVvVbq SdKslYiiElx8aSGJbsprHz8kKub5SxAAokWklAYrLLbKTjlGS7KgV+ZBG3kvXxVAdd+isBnNZn3N Bs9kj1fw3pXVtkenK5mXRYpyasxygGxRs7U/zda50E1M+tLwPzLBRfyGyFgTMtPkH8e0d41mPeyS Dc0Kh80K0fcQ8+JUAXrHoN1xhXmJlcYfos4nYodaG5ndzm6z1DFcmZf4IbMk6MVjUdiOFllZ/Y6Z n1nzBFq8kb8IEMconCNMSuegsD9v6RCgwuE2f0hQdgg/nyh2KHUd7NBJVwqDbT/P8PF/YaBLE3EQ xLiR7h5FyTUyjqZuHoJR3mYYgylTcjDHYFnPTYo0N2oyUlz2xZtCGxB9Oj1Cb0aAYnosYqbQyB98 RmLTXja41earM8Eau4medG5iPh58aPV7qDHoWFWfLYrF6ja3qBjepV+8S2Il0h3vYlsUdaUl53dS tO2Ltz5O9dJSpuPZOL/JkBZr6400jExnP+GBSia3mzyNILlhEKJvmBaVr4CMMF4PJpChM0r7vvZp Zo+prm1RaCk4qQeJWkRfmsD00JFLZlC5CXnRDgWWguhvszhrQgY1JxdTqHeMRJzkqdESrJRZhaC9 dgpsaHd1J/LI3SIHnoIKt+cqQ5feFmsP7SF2PzTCc2gqOB7MlNoBUBy6DaIc2gqKjSHfD96+1arY ym09efeenEry0g9sBa9s1NKA3gFfKPLPucEXxP4ZqMvWaQOgPCMtrV9eppzM59hWMCttZHeai4Zj SfQ1pmR8/F+aq9IU3SD13rwoMBbEYxCFLOach/9k6j+JgHWWslBR8y0K5Z45Xt1ZtJ6cbi17Pp5T KsskpZ8QldsUcopKIzAofthybKryh0TjH8ZrYv6xaL66sO1EL3Q0QnLgLYg75Xv+TBTfupDfHJgL oj+4SYQ397xazDwGUx+Dv2R1CfWhhem1FDOHtOvGo10HjfPzURnlKZ+y1rTZaIeMu+LRpaVgFX9I RDrAf08hPFvE6jkpksjt55vj49Gl/3uLIAI0n1MUqtexXSOEEF6zT8PVz57caJoOtirTAodB6EBI ny0lX3xKlhCISOFDIXs2TMwekMHKQMemjS87h2ZvG4zpSQjLoEvZomCEJh33aNNjNEqvy/xGt1bq +q9njyL1504PO4F/8Z9mUop00iIyMGnhSVtIfWmxXwqZl/LwXO3RsEOTsYoFUTFxS12CClBUpsco VGk9GFHMJQc2gz5onSRqa+ExOuc0Szg+98LHI43IXyaw4DA8bbUc+AyK0Frliclqn908VPtT80VK cgz3Lcih0eCinNQQtYmLtY0wKzvHhQXIRHE2mBdl0C4rJemQpF7ngmlvG7VEWMESKa/FWiLLOGm1 l83ng4hdfBytCQnEh2p/DlIszDEA8zBnykbBMAI+6GSs5tqiFpi8ciNlDpe9UYo9nxT7/LnRbLT2 48kCBJqBcttJ3hpC9rSLH5bk9rIRYJG/PAlFWvitnctwdV1USY9H0iW/nKjblhLV3aMww+a9m5sU xM97DqNh7mGF/wlIJXuhFrgOqlkBjHi/i52k3ftJpqFGhkLt1LWbRueFGUfnNLY8sBjOXXPoO2hU TOFisuNiTmrSVVhg4svHgzpkey3LIyPYx7NHofNgJhthGTJmdiMYIn90SdaEQsu+tr8DPyZdcrR4 QDrXDhh61aaLU7jOTgQawKfSWzd/q2wvG5RqKmZVsXFR1ygxkeC3Nvh4VIX4FpFnBgJPgRG67VFo P4hgiyPqyOBrpELAlYn6JsOe5dB/UP37k1NtX7z9iAEdR0P41ODclaX+h8uC87QHOS3wWQ4NCJVR zUWIMPouCr5QJxyUGDufdObzkcTdvdeYCmHK+egE5dCEEM1gjCiatCgqLV3YWmNNzvyoM4TwWwtt CIdcqZeEptYjNDUbSldUm7QhbLRrAGMew2o6hiwfpeXFUVoOfQgLvQN3Fk44O04ypbXk5N3Op8Pn I1rvL0EKTB3KFXLLsRXhhF5Hp9QfSkoykrFHZRANS+uH5RDhHHgRwkyI+DMK62vxBGkRrE7m4Ti/ 5FpNMDT09ADVB7ZM4ajkmuxlo9YaOVGb9HkuSQdpbIOhnYqZz0dAme8kEgaCNOnzPYra2KhaMXIU eNqZQIhHLTt1vjvzMAd2hMizWOpvIYn2gyQ6tSwAedJTmqlVRXU4SuM+LT9j394auQD8IX+b5w9Z rAzJs8Fm+ETOrE8N9WzoR/jbCzXLi+TmR38xJETiSEkriW26zTk6YoYGWXu6CXyIpJZUq7BW62Kt TsBuC+FDQPOzV0n+K8N8Z7YEom1mPf1dgx3KrNXYYNFiSfYpSyXJlTIfD76z5d9ZtXu/EG9uOxS1 sDHRaokqY4SETO+sZblX6ztzSEgOTQl3F3FVwi5lXdADpWwBQpYg6ckrxOXYJHsTKnHp81JMzKEr oQZFW/Id+8p3nFcd0gc4VSEf/xfcXLUaGKIXD5tDX0J8TK2yv/A/ao4Xp0rV6gIerT7fWSgWrS5/ oyjZktWbKPQYF3WrQ06w7pudNbayM5QH57qDEOJhc2hMSL/Zk2Lm7ouG+2lXhj6wgvh41BPxQDRY hpDScXuPoTUhpt4IRMkBsRcZImw+kSH5nqGwhb106cugKPU7KjrVYoKTEdW0T2pwYjTn26ABFnik tuuTsfKwV/0bNESiQ1pU7oMOLVFbRuoovS7XN1bwR8yE0xOHQkw1QgDG7xaHWl0ODmH0Bjhk1BuH QqsVOYkM+cGPLz94yN+DUoYNgnvLWlLRaVBNxCzhwq7UMQq9CSuHjWPS6oALD9Cp+rvw0Cc54uP/ 0qgwlBYa/P0JQ1FqnYB82o4ProAgKlDv1IcDRCSy1PXv/H0KYtbw+fZD4KPTGfXRD6nJWGeNDFD0 P5Bj0zv7nCH0xvhDgjOkKQjalbYIm5aHxCVxWPl41JstX2eIsqRfA9nQohDhrhHlrDC0knD5a28i 1jCQhQmjhaHQpFD6m6sqDNV2t+iUwC0ZiKZ3gFGUO9H5CBM2ku7yg6vOoUkhv78EnStfBKLZJ2Mg KfFUIXw8Sqx/5UOESD6t2dCmEP92XcOtz9fqRgxa+vImBUqHIYdz4FOYvTVbWXxxcZhRayfJ2lKr ADwkSSaPHOlCl/frAUrUO39IMNU3BB/1bbkwEMFKWsyVlvWOQQl7EY5NDThMGG55FnsV0oyvXUus nc3SqK+ZHB4CQIIdopCaSA3OIVr0+KJFo0d0yjAK+UMVo4+hhlEm1YYevhdoNKlSmkO7Qip9IxYs X6xhhHPOucZIg89HKNn1vUnIxdJTw8aOhdThTNlFl5Yh9OFIfCU9UvH+dehZqFHakCEhl4uhGSc0 FU5BxvlNhpSmgfCiSesPins7RwgNetkgbVzKhVXLjukY/VP4SEGn1ayXjL61b3gIWn+5XdmcHLsW Um6SYJT/qbuWFI0WiW3Eyp6MoPtBioU92CiSageXC33o4HSyhoUu8K6keRTIcVZqKJfyCAtlf9ug 80iR7UTNYS1shsDnRizO8yHz8YjGeRNHfqTAdO0nLwr8CxEGGQ62fJ/QgTRUX6YAL6kMDYBdYWhC A0Pd+4PWPFpuQBrnXsSAF+3Zc3cn4iQKPkhkSj+TCIgkjYZuLxvdaswZqR+vhXu0F0ADjDFohgQW hjK11x7hKz25AoOibVCUWvM9p8DntIAaUsmDjLhbpyYqKugURfAQ5dS0+rPFEyM0GxK78ujMntsu EWSENLHCUgDX73VtzvaysToerkde2KsYMhRii70pvjAYhaDr7B9a0QeKjudNHQMTQ7Ao6IiQtrFd m5vQiTtIqv1wK5YcuxjSEoE6e7Zc6Cxk2eQDNeA02yjUUKl6CUWRRpURU7T3dw0+M41i6X2ixTGP vUvoIy+9ZJQ93l4R72bSyb++s7B5nUAZqlnNoka5JTb4K16eZkc500CNzaLYylAyOrNUXx4MzUQe w9To3NWFgwOI8FVY6y10U2/XsTd72eDi3wSpoSNri+Ah59M1AyyMrUMrw3FxWCWpyzRo+vyE7Fjy Y9HOkALSEMNNMoJaBVG/8VrbIEJX7VKYY7O2APbTlzssOiGnN2KxTiivazPVJJ6ubirElavgTs4C f0oUjhYrtF58UTjau4s5nfbk48HVP36pVeFLp1Gq9ij0NIQiGwo115iudV6OQ3KIiFv75MDTEAIQ rNC2WfzUO3KE6chCH5LCH5DzVqEGEk2m8WK73NdBbnkOTA19h1Ii+ZWLypCd2Ec5xddafPyfHxt3 6PfFH7oa8kYZIzvPYValSSfSMk0iQqT6UDZ0NTTLrJGKL3e2306RkOUaisFylibTkJ/pIGjMCrWh 3CiwNTTB1j3lzStXGRb7E/uF36+2wseDK639UtYhbyc9ZyjKsSsRImM7QoQOK0SIyGCVCJE+HEQT OBte+Y8ij7EybxkCVcHe+Per/WTw0z5FGpDQPovmb1WSi/6yQb2fmD6SSb8pNMpztAs8nrFf50+z +HxQh7RvFE1bprrmexSl2AgCDfZNvMugBSL8A0iwptqJNpjPiWJ7Q45kF/09tVxAX13nW6JTS88g nbNlhE4/qjT8zNsSoa5gDuwN8aFQ+4NQSS2ayOYqCRk0H/l41HX8vvcrfv5jHpoDf0Nw9QiXrXJ9 RO6YOPYwvxVii09g9QHIXwwOOSSibJsWu9PAgz3/gLqOAwQjAUJhHUGyHrbKVbnbtpeNJvtkOUCL xxaFom5kxhPrKh+PDlH6Faw32kw3eww9DinROPJ2IZDCoIT5xxyWGlFY1c5QiA8RkyqbFVT9GhEN YEIzR0RjAxiK/0uSOSDc8UNHAkseaZiQQ5dDzq/Q5t2+8Dpr58GpCj5VPh40Zm+VJnxI/lXuBy6H me3guqaV9lUkXMKM9lUqkF60tiikNJpwJ/kwXLySPen1VlEN6s3umZ8ZVJsgb9p+IPvlQlbiOQRG h9bS2IuallqE59slyXOl7cXHo9Zs+7VFBfJ9D60o9Dqcct8x2enzn5p7RpHjzr7awgjGPrQIHVJl OrbUnF3lZtgn2J+8lzc8/fzOxY4PrU9Oq09V1vaVgJ30Mc6B36H5vqVC6q0WfWiYIDDvO9kGH4/6 +7/oabT1TNf55/OaFWXhts/ndY3Ca7ZjBHSQfWitm6Pfa06UhQoEgp5MGC7aH7CJYI00WcRCDH8I QwJ2cl5kPMKdwPTdkTW+ZkQZcikcDBEdoMWwM1tpNpqmn9d8KEPfVE3HffMhyiNk+tq/ZkNZorOt MjeR50+1MTV3RiyZgaOswxNsjWxEt5TN9hV/BZ4BZgn4pdEoOnWtJBg7bbVQIi8OFvIXLP11azD3 YGhWYzZn08qCcFsV9KqgI/u6NbIL0tYIv85QtmxrXtOgnCkAcz6rZJRGpL0qyqR7zm4s1Aa1Na9J EE4Nf+FNTWgtdmoSWPinXCF9qML9WoZJm7IpSCvZizX3684XfT81heQhTfC08NScO20ZKm23z2v+ g301KJFOTUVfitx8bs1r9oNTg4Ge3e3PCJ9MRnPD3iTGylf+dWfQO+GHVPrwxQ8NnElqlwDIqZCW LvbBqILRHJVAzBCA47LXzCeLBIh9zVPYD5Bp+UGd001cQz//9Oc18cn5ip9ta3igvky+Na9pz3kI eA3oaSp5hoyMTexPqm406sFJOc1Dg0Mjw1RgzHyxrYH29ALlidpep0wqS9hqFFnt/PGs6yFgzOB7 BqHGxvQ5+6JWR4N0DH5eOxXj5zXh4dPLtob7gD91JiIWW/Oa7mQ4nZPi2JcxPFKX6OKp37frem0g OWUdGn1Q2XRely/eCALmJDdKW6BB1uRg0zDtqjgCe7n5Y6Gk2Oc118HfUEKmSf4+PseF5mJVz/1U ip/XTCc/5lC2NWijSNmClkKvWwNk6L6GaxBq3k3OWTA+qe77SAFjmgAEWzP5new9hy93QN9OXWUq yuikFhUTmoL08kPQkKXKdLt+zXGyD8Yata60qBFdhqzA28rj85rh5AdHvak3xAFeLd225jW9QRhG x3ZmOzWnikCewFhTXSR4LY81r7lNdo3g3WU+0q+YTsb7NpFmKiBoXXyGgZYuY03+4nNAfe7zmtlg 86n6nylhrcUym5LlCIb282tew9xife8Mxodje6h57RriViMdSDUoPUhMzhSKDT6U392kYl5bhvyS ZctC6qaQ2DozrZ0KwYx4ITZaZC1BrUsi+5kGWgkK9Ax+xvvWkD+0Oy9QLQbBO8mBVBIp0hndUMnD MMEOuKKKbGuwOa/NQhxU4u9oM4PNOSdEcRi6YU6RHqu4zlCU2EjVXj6XXPyLgttWp4BWBaV5SCmm Ices1MXldNhMj2g88tooxF9gERQEazNb9ElhOMtfuELDK7yj9tcdRb7WwLBHSV+UD+Mvh1ip7+fc 3lljsJ3EtcPrbxTexrWLdod57i47++I3+GJPdhJ4d/6hVImDbQtQVGTEmg+anEXWqwaf1WAhPygx zYW7gzpEnhi78engu1rfiV/GjBFZi21PlBQnqqYzQ6CPIUGW8DFchZ/YpiXi9IrqH1nxH0EHDJRT 19OD8EQ2SFkk8jaAK6WFXAZMxzBlpER83klkOOdkRZ/67C4a6pxPVFOvcyF+3jlkiMjbjw+z6ZLE G7H9ec+Mz2212dAZZnK9kuc4ugTkgwkVMgO7BPuzm1UN2RcHchTQnzHqJ69l5GI6BdiFdnYVIp32 bS1tT5QbdzKqtobMXNS2YMnG7UHv6z05BhnBj4/y6AX8r19Y7/QxxGWO5Lp5Op7/5A7pY3EoSHUG 3fAceQWRGVN87Av6elo8CWwDAnukHMzK7eeAfhWNGn4atRlUb/I2fyePZZvwAwW6fLHWoJcOJ7X7 vHPH8mM5ss3xiFTfckvO9xRZOMLWOrvt+E+cyiEPXKSGEj4OmLQPKaL9AcAcmev0xe70UzIAnrnF ZkHSJv8EJgEUehhV9ihbrnufd+JYdvVkigjbotIKti/M5Rbm7lGWnPP6qq1A8OYDvj9RniyfYnaT oBMjuKisHm1CeK6uSj+6zn8mqK+SbAz79MXvLhyavQWLhkJQl4A5bZ2I2Xy0Pay3/J4pIxIwHcTI 0BbGkVYFLYVqWf28k8ayfAOeVDkjYLXy7E+YLDex6cw2QsLZxABVY4+f1ILwODYFo2xZrfe9Ccvg 4vuz8JdOnednnKPViPilYhV6n2wg3Kt96FXfw08Tq26uu4jnczIf/rxzh+3PO18sPzTxTUgCYWxi 0nN7ooR5cgSoFJDw9mkjwDIczLpAFNTXFWXMXQpem16OXLwbeG6uc/wJGR8bnmuct3caTSBjHvOO kgfVgd+pYjihNEbI1EnTougD7RnePavPzztTLAvC9dXvwvs2tku5O+9JM/Q8BsUFhPY5d8zWjX6y udpscFOI4ObdHqXNQ83Aoex5XBNwMDrhQ5pMyWvXLPlygF0z0KWQqLDtoZz1550kxvBK0A/DlhZt z+QYGvcRRGGitHnt78yQMjWcr2l7orQZfW8QYqqaXpmmZChEJQXChvvG1U7p9neGGE8PPyppKHOx 07PhCCuGMUYSp8pgj6Pj28JE/GfmcdEaomNGefNk0OmNOlVc1BFs6iQC77M+7/ywbMzyG3toBN12 9pZglDi3SovHpS+qyv0dE5tGuyWqwfRqM613clg26ORcZuuzbic5ncIBAz5KqcKcZTfxCyrmKrAR kfK2EVaK3jSIzJIS4jhDCyNPQg5OlMYs+fPODMMb7vr1bTUc4TX823rnhWFPIcQMmWdnqxBTh97X qlLOA7PLlGDeWWFZ3hwIOdS35+IglpXPn3lzJHqODsTSKJyMZmnF3xMOE5c6V/SmQc1FmvPqonJ3 51/CzkeE8FNdfN4pYby3PPJsbwzu5mnhOyMsy+0IPSkxLTGmEVZsnT+7qXMmIg94bb0TwvDqRcAD MQvHo85J63mAPdkbhGoga/oKOZsG1m7uT14o+Y53Qlg2za1zuas0neZ3t87uq70M8c/POx0sy+jv qSpozbFuwf7OBssG76EMG+dYtXaLzIOe5qgqMiH0THve2WD44eqdyhLCpKG4Pydw1oIBH6uuU5QO 6SbjmypAYmSqPAq70pu9a3B+CH6qTVVXc2ngc8FvWfqc0/l5J4PlR0ZoE7I6cHTAHLD9idJmeOtU OZzQag1tf97rt8AAw7kaqvedC/YU7RLi4uLnB8p4JxGSl+pmV5lNQswl8vmeYDR+ybtJrxq1NNQE 28UXa/icLF38gJPDfd6pYPkRB7abHbeWbJy5P2HazObCnA/q2Ug8rZhuJ1XtPfxE/WXV4luuI/u6 jlQ6W5feNeZLJVPj0oxCK2YTNBWa+bFFeOeB4RfMTYKBd9H+wBalybVmrs87D4xHPNn+yPObferu d/s7DwzHjmoQyzkqKxmKHngih4U3UoK4Qe95s8fnDctCW+4GrXYOBg/QPLdVFs+nbYoHDNw+t+uj 1OedBuaz1kS8kS3qiZ16VbL9K+3POwuMkbb4+alMCSAeVO8BChPnCuXSbaJK5z+ZpsnamnQBEq6Q yP15z5yR1aLMyzTk1eJ16Smmz6+fORAG4jGRsYvLCskHdbIeRRN71eB2l34ACnBbBC5sVVaPtWF/ 3jNneQU/8bmT0lpv7hO1m8H5IkFNXbFN+VoqLEAVR64+NJ1VfH7PnBNNPaArTxY3F9ueAWMfmtuh rVGgqCgeHbrhgCNAWOxCnYdeNcqc0S38/z7gJ3/YpIdKfOqdA5ZF3X7Cc+coNnlV+s4Ay4JPaMai 5GdbkjhbLt5wzhqv4/S8Z85ZtggL/Hlf/OsaJyFsmLSCqgtRLgE1gMLI6/wF5Gmm8Izv+fPO/7KZ NOTvpKqUuvLPE8nkCULDr887/YsVVPmKzhSFfJo+7+wvnJ5OQzqz0jgXzLIxTp+XYjmK4eXeuV/e Uj0nqCZfvCcGzf9usv/ok2igcfIjyu7zPz8yL0VvGozTTTmZxCYu2p0NMBZzn5E/79SvLOX9r91B glnS3Z0YhgHwBeWVaemj3JBwwuyeGoMautyesOM8FHra8MWrUgAM4LkL2G49SRAgO2fHgGzmbYsW aCcVhRnB5532lV24PaPFaIv6zas0yU31U/m8s76yAeyfm51yhbcf/076YuQZZHZnGxxTPRi7U8r2 hs+mCTw+rXfO1xN52M3R4gCeKVCzKAQjjyQWOJsclJuY16+GtcLnnfGV5RlxQk5nV54LDw++raLD 0/mGUWD+lfjgq2rTE+d3uhe2h+xl8qwYeeRBB7+a2qZFnpSmwZve2V48uYWnZt7Ft+ds1Uk3mfgg CK1VpY6HtrIpBVyJUipNvJO9OKHmkEvl18aog78VhiPEp/+AQfV553rlh95tYBUE0TXu/oSJc1PA MfH22QzzBSCkQzJ0ejr/laCuAJpgwVvNF9ue8x9LhhC82qmngGfPbIOIiibr7Wd01qTvHC/WXLQb Efhr3W5YA8bIsDnz807xyg9V0OJOo8uV16TvBC/OxzKQTc1a8ZncVExJy82ZgdI1SMY7v8sKPvzG z+KtVJC7TlkuN5ZdHYGZeUFXfBnPoGvZqwaTnMVOBiaHtuhOhyOrUMy9ft7ZXX+cHMqLQCjEtifK mOFt3wpzI8IycFWxG0bQq2AZ4Hor5Xlnd2UnnGxa1mnxbhgq0SJgPvKElNQNy6AIMFcojxDg9HeN 2mGkv3VmhlwUmPsYWxIuqX7eyV1MCX7BwHDN5uU5zzu3yxA9wIE1w2a4Ofr5xIyBsjctenhrha1m duCXjsZi/v0zRe0CJydJvA1UwSatpMKF7T9yu1Sxk4v7Tu1Cb0ojHDIREweunL8gY+68liHD/Hln dmXTxFXJhbdD5cuBvfYnTJk5qaDppnCn3QRbu2RKyMaZ1vF5Z3VxkKPPShX7fip2QD4WfCMQeWA/ keUagcNeMVwZT0NsMSV8J3Xdhs8SvHuh/U68MQlV7OL/jJn5fPB97e+SgjU0bVm0P1HOjPHaSRvM AuqkncMJ73U2b4mxUuAGRd1mzq3nppqSFusYghd4cmYhl0/lm9HnhbzNJOYEhrZXeAP/K/6MYJIj DOGgICkXRud8wo4oECeN+ryzufCG+TsrJE9K8oiEEkY5M3TWWqtdWkit4woiOHdLwj7JVCPLMOKd zMWk+fX8FPCcEPy7tMimplnA+VSqMUFYeN9ZBYHd71wuVD/MBSAupCVZcXCiI5HpP/18wp93Lhcn XXd/xOLOPwSi2QaFQA2CsyDOXjgpzeKRoh2PmMlpBYhAPw39+HcuV5boBxEI05dn0g4fQGKgTup6 wiIrdnilk0P2gzmaTwLRuPm8U7ks8yG1pPliLR/4VTEzPJH6807lYlPhO3GuZsvq+xMmzltOPtPi s1Jo0JOrma2Bjdg0rnjncWUnJy+bCPbH06dCXysvfl4T3xO1jiEwiF3pE+JUDtRFds03jWAsMlIl KlXIYkTnWQHaYPIz1uedxMW8uXxFH7TYc7uD0ncOVxaZAKfHxEdnMwoFyCXZog/aooo+7xSu7Mzk LVkoLn67N5j60BkGJhplCJ85aPsMURCkE67yC/3kzzuFK0txj2Tb7Au3B4ro8oc4gejzzuBialB/ pc2Qi7xV1zuBiz04uHW2LJD32dRkJuCEW6omXcvlxt/5W7cmTVTK0uLDrgn1MY0CTwmzko3F6FyM ANvQAFVRCqlkvurfEPBZzOQsERuU7Aj6pgoxP+/sLWvmP6dn0T9p+7DrnbzFjIBZK/3C6NJAId8T nNOUQgtQGpjC6+MKU2cETjArmy9esrPuWnQp7ohshu5NaCnAXDg/hlkE+bxTt1iy40cT5GcLf09I PfIuAC/s887cYkX76+pCt2n0e3hCjAbdxCh5zZpLqtDkIW8bBW7KV/LsxIkzDw2gMLb4LOdUn21S gbL2hhxoGBy8iGQLoJ59WrrY31lbWXR2cAQEvyzDT0E5/8O85VwIn3fSlsetO2VHrCr7tnveKVsE BjEvq94rTO4wSycrVqSrOETjnbDFe12706cvfm3lttvOkqxL41xbWUpAFElAs3Y+cafrRYN2hlT8 O1HfXHR0wLvg5vS+Pu9sLYLFv/Er0C/AfMk3J0qa0XBtpRUdE/jLmsljK9PQhSfrWgZObTGwuXBb cvLF2/DEfPPwnSQHyiwiKGOUSvdBZB8u6IfH8DMC+JyQKxZ+iotmJ7Ce9LdfFU8HSWFfX3GHfbBW b9wJc2ao+aEuUs5c2KhBWOZNoykFEHC2PVGfWZKQHCLa4iV7HYA2qxmG+75y0DP45wPdgp551gyj P1aLcmZeiefUIPHUYqenSYKy7sSngyn7nVKwZMenVZtvTw9zZuBHTG6EzbBsQy414wiKx7xUHJP3 lNmjcrZRzrjU9QwCcoGJFXZnwiRU41SWlyCZADXsKTN7YT0k+8nzqUvTp1vkh4D+FsLibBiejpC7 vwp2tGbqhff0KGEeRGhwICuERpKfwSTpnbszKVqFfkaPEBpD/QypY3Cx3VmndDhxU2ZGbaKFIMJt Y7JQ0a65kYfA3R71mVnVQpyu+CKE8uawQaLgeDq40lv5CssY+j5RuYfZcmabOZuxNS269WXV4Z4G 81JNetRmtsFo3t0XT5d3O8ViEboHUr6qyCpabY1kM6pYGZGW8J4e5ctqpK5uu8SIhQvoFAXKQMep R/B4wKlY36cnQxgGjXvfoZD31weNMTbHfQ2VnlGNF/2s0Q/raRg6rEcZMwUJQDFuvvgOrXwynqR+ 2Ny9CTtfMSGtRK+t/fiFUWy1RykzhQoStJN8YfCppS31a8ooeDqIzb8HFeiOEcGn/YnIf4OFD6dT cnik6HPFaC11s/7OuNkaT1AM0ejCrhRfLrIZFWlnygzYwCIP6xTsyCdgaQY4s3ebaWHUo26zJuyl tKElsbGFamvIWeWEeDwdbM8Fxg+eNYhRTb/Ze5gyC3fdnDZKQj1p2Hn6DJBwSWTMPQJoyIAXM2Bf nHUC4Gibwu12jBO5gRVEuFPD5h/oOFq3h51//IygWrc6lPgnLqINlNFUjpbJNwy+rvndi69AnMv5 m7sTNpvRDEtUl8XhQSPLWCcpSWkdEz00WBicw5x5qkygpAqXW1AkymJie9Yp4wtAflatn1ILQqtX 3Ig3Hn5G/G3BtYBGYVzUzCgVb6hyfeLxCB+Wv6IzjebgL2n78540a4yDbi3OB6alnLggL5yQZqqi DYCwpY8rypoJWQcmNflixwdTv8zgAD/HUzoWimMO1t5o9sDJ9sILk1412J9KeBjOjS3aH/DmTEds Ljwe1OsX911Unp3XuBP2HiGbIbQMXTgNJqAanlmQqp1RyMop+MfYLewRPsNqLrh8cyHSmOcH7o2Z EgVgYZ4ajP0y1riVRgyAsFjwQRb26RE+gzPGVAfhPVz4efUCCBHLirY/PcJnpDurqNrVCmz8zX3C xBnRbG7TmD/RZwtpCKyk2mIAqOEvx8srajavJHFVkd7qF+kNvZ/RzF/uhGbqzJ2yjDRkOFyXOyal 6NinRwCNQR066r/aYhX72XUG15Hap4ecwPYboIFIsKbvz4gy50l7dH7MEsEejp/bxvQ6IdWH7CNq Nk8hNACDtMXDz4DMBGW60HCAj9rS9UZM+Sa9ynupNL4YUeac6QC+K2WMuSg6p714NQNkhaeDzHl8 1xUUze9XDGJEmXMvEMqgQy+jD7vTHAVuDXCA0OjJ2j0jajXLqGi3On15Uh8I8XemzhCsVxzvnIqh 2gMu45Zd9qoRR5vWO5Sd1KLMpwMzzV9vbjwdQTRuv4e5M8fRNzUcMbpZlH5aoGPAyjsrY8JCiYxB Hf5svIoR9Zr57ivTzAgLftzQlB1OUPZ1nRyusFsP7hv5XPiq7t1+fsrAjwjAl+zEV84Sk1iGynxI r4Kfd+cLBj2N9d1LhfJBhiWZ7U4I0Ri0xKjDMh/ppwP9lJLwvOhCGGNpRHkzQ8/5qLp9W903J+HG riBhY851/hdTZT0cxyQVP56bfWJzQoAGXXfWlEL4tE8LsHT1KcqpK/B0pH3wTeiiqTH12LU5UdZM n7SRxrQpaboGssWoBOe6TdbvGVHSPEkHBIjZF+8V9nmeJxP4RF/AmTmlGEwEMOnkqfXIw47GiBrN mTbmScOcRNkv7MoJO0ljwHG2G49HY67vsovmdit5S2OEaTPhT63JCP1EOZJsNzo+VAflmLYNE6IZ oXDGUMajaXJ/RHr6CceLQvLoacAQddoGwesGUO5yLdIoxYWfEZSlSQLFNNpL1Y32IMEi3sD5AXg6 GHLt9FVVkLL0FF0jhDWDN1DoxobtoQkmt+fkut0YXXSgZd48IlgzJXdkymiLn59EAeciOZoTdpbU ANgYZ7RE0WVyNMR9j1A9g+iwPtpdFJnXHEX8/T7wdJD33KJdmgnMSO/pCTEaSM+m8AabU67maQ+2 myY7UFHX7kRZs2FXehehiw2mzHurnM+nF8VlyqWrXZjoi3o2DQKLTvVHLMDPCDrxSxeWhOWLjUhP UpUEoj7X4MbT0ZDrInz4csjjy1UyGmHWTL+v2Y0tKZcIRWaXJ97LgZcjTJpVTSTR3tK4PR8M0CCi IUbXyQKFXRlwoiN3HRNg3x+OY/BDgqy5iS6wtZzimT8nM3vgxBRKyHg+KNpb+oo+jXOu4RioEWM0 cKkuU22uELDVDFk6tppzrezRJ+w3y+hTWQ0X/7xOyg1qKv9kp3rcNKY+UUj1PTBQ+RrsQDyY7xqN SUnzB/PDFsHigdVhYnjKcDwd7M/0/RHddhEdbfszw4YzGJONJCfK73XKhLUfxIdsPbGFQTzLihnl zZI12ovGi1wcfYmHR1vW1UjZtAzhDU/nwDYeGXnaWswQpEHVnj/KLjjAsOzCJYOno3GFt8SSrjxM ka96T5Q3s+BZHDOwJcawxZ4PNEEqq9JKvBu3JyQFqptqzhar3A8MgimbrB9gEAYux8qqC1YEaHSj rXnpyEWv+reGPPBavmh7eibMGPRiPv0vtTBsxUDRR9l2bU+UNkOgRlNkcdmpyi4EyxIoMyeScPl1 zZgUyGMzhPQZj/LTCT2nLOmLl1cpuckXfoh2C2QMO/JilGZ71ajlTDOLvaovamqcWrZpSt4nH/+X Ug2/RAr13qbGjBLnJGemZXaMq6fmpDebLWeYjCaTf4o7zppYUK2Zy2367HHORZetzsk4AZYBCAED NAYlBB/T2cXgAT8j2h+RlXrzhcfnvDIHvfAzKHg6Um/8VVfgDuy3ozqj1BmNptZmU13ReneMxiAB VhgNAEl1fEK9Of7SZyeSLw6gI4Zua5K8APfR8aGTA0ZGyFucVgFmEH5G8HVJ+ZPuuloUmzvxMEDX 9oqng2Hg7WnIbK/wG/ftiTEaEoFSRIaShjAap65B5OLdNSE9r9gcYTSsH1/1kXlWS9ZJAu2BNAY4 D2SeAczXgAPA32aky+ia9qpBbO4SOCrLF/U0zv7wtLY5Bp6OWmJeeDGPhCYYeqG+PxFKg/jQSckp qcUn13HsrV/mQDPZuRm1nJd6zWvexb8uuIBOAXhPRDz7uGQZy25dByrwMaimnv6MUmf2jPc2GGYz tZdzJBcF3H/OD2t4Oii8fsHnKM6+rjbqjAmBlGowURHYAXlwzld6juQ9np5Qe05AhCGYxnhgGm2i EUZzIBQWsN+yLBJJ2UnJ17X2WDSumFG/uZBIurNZnSbrF9bdl+xh1ubTweYkrys4WE1KnnxzIpgG mB6tpG3TUkIDGHpaL8J+51wo1sbIHGXOlA05RbB6GrXfb+scmI7pIPluEx7VRPkuXnUJW9GvAroa YjNKnKU2DPKfL6IOwK6Fv975dPH0f4H4CJp6tRBmSAdsKNqruZi3849IKeJsT+7OWQIrXqEnFNJQ OxV1ni2e95ykAIK60sgqJ41mXb+6jAH7NynH8p5QgI74sM44qEUIqHO0hQ87xTuejtLC8quqYLvS P60VZc2cdM9qCqAnBHSV6gCrqu38JaSxIpgGs6y5JQ8marx2J/UEXAIG1SewnjIwy++LaCCJ3F6X ZcJm8DMiqj97GaansV09vwP/y9+41YqnIzrgt8Ba3uzdX2HHsNmMmnnIkopDi2a8gVMnGW53VhfI WiFMQ3j4nu/ik4qJaS2CP6WHy8DQC+5VmHQJBX1vdWrh42dEHQ1OKjKlHbl4w6eZLPyueDoCiPnZ oZAGmo4clGt3QmHmKpm8ZjiNbs3UlYtZdEPDtljkWTFOg50eepOfhe6QlF4GFP6k0ML4nAiTp1zy 6AADY28oD/mtDm82/Iyg17yZDE5xiGdy6OUpEtlarRS+jFPmXzkh3YTurbWilBmCgY3qguyHVdqG IPIM6lTImoJ6gfy2QljzKMIzT1/s9ORTYcElp+raypmDhnkOJN6xEXXxXOpJrxqAENSDr8QicNG9 dTIpzR1PDMLTUeSpXzkhzYKF/+T2hALN4gx0DXIqNGWE0Ri0rCaNvYjGjo8rpANqewgU0+LbUyFs 1DO3p4N8zh3sJDeDHgqheSfloCuHnxE1xPizB6elXDQm7TQiTFRexNPBx3UpOZIzIum7+r2+opyZ TqZDkjT06xrG6Zp5L/u6EiUn+HVF3WZjCxCvoOWSBhqoOGgU4c+wIDZIFFTFgA0vmZYDDMm75w+J iOyyMivFFydVFBkuweUCjwc4jf19s0/Eg3YngSuENmcJT1rNlWQbxA1qDhNrNCHjBoVJcxFwsC5f vKgoJ9xT1AgdjfO1caR6rntEHMwrOvmsQziErFeNQD7cGBoRYGk2EQYjk4PrfrJ7PB4p735PSolm eMY5K8qaYSWGXL9qEthof4pJ4C7k96Ojl5qrp64Q3dwNP7d88budkimJ2G+czkknbqjdA0Jfqolk jUeHZUV5cxqclGamlFx0e7W5kxQ/M9/w/QNb2fuFjFVgrq7LWVohJxDxSuMX9lNHM9P7TQcswhBI D9TlHsM0ZMYtEYj25dZxYk7NNIWvEMmdhTKrjTBe6kwLx9K9I893jfDNahjKTGdcHZ8MdwhhU1bF 48GkfaWvwgLmXCXfls8KG86A+J0Mwlo+RlpC1bXMqguQhukbFGbOHFUseoBreQoLij5hgxbkgDMT pEJ9Ligow8PAs58x9KrxPOecRpKLtajlAyUT0xnh08H1vvvX/YXmbKWzCbdnR5nzYHyu3TilM21z mpa/LGEarAepax21m4fGXImHNslEVNdX6x395y4UFGfL6vicLSDBG7Al2x22m3eUORMscepzQXhn 89OzQN/Yqtn5eEQ88e3Rp8T02VEs+z11ljpJq3tbdIZt9o/EZd3GLJ+sxE0GdqzdzNOjdhcXL9rP 6UljSkXshJs5aLvUaSxaztVOIR8mh7Rf4atGLR9lhdI6mpb9ACE6BNsrJ3bi8Sg6z6+vC1P+/KB8 dghyhnUYhgo2LZUiy9njRFUYDZPL1UWPk2eppubpi9elG7hpw7GAXDhUW8B2LHNUm7y2KPRVxc8I 9keG1JB5sIXRuUFJgNuD9GfHnEDPDgmTuG6l3J2QEggcEtIVazdfDBQmYDf5uV9XCNQwj6A/Ru3t /CKnxJlsx0MqazM/GlTUxI0H3TkHyLMhtqPcGZYRRD8NX1RaTA6I0I1PG0//S8JQchFUyfHceYf4 ZilpuPA3kciMPYUAfdAHQFUTfWD/lREIMePmywUinItLliQsu6gFUEBIQNV+dgY+U+a+iXiOHxHA WGSkBJyhLWpp9FUE3j5VO56OcAjtqyzFrwsPXt+cKHHGoW70BpKWBiHn1NIYVJulloZ60Tg7MUyD 9Wgp25d7b53AQOo6GmLna5XqBnXu6HeXKV+oUQWlRnbUbKZ0FPArzRd9WeefzSJXpIWnI3m1b/lC 8JHOwb73VgTTgNhLq0zoabidTTAVDnvOW6rLze13BNNQF3AXStBwuYEHlJIleT7ofw4mAGNwjnv2 iBfnYtoDBAdfNSJMSjeM/UIuxrYtzJcwJy14OkKI/YJfIoMDbdy2J86aKbvhqs2TZEcSJtu+kYe0 Fm5PiNMYUlcT6y0/rLfzDaGuFhl5wvhkyVARXyFSxA6eGk9Pob0sfkaQFRI5kJUVZs8KF3Iyiaee o4qnI9nmb+sg+v6u223eYbeZShrsR9K1tS/1mKH53UUKBE5kWeiJcRpsp2ZlP/kB+ezzP6cC2Ex7 KH+vOSDYqhkSa/th2+6pN41CDwcVKS9fbFzec5a5y+LTEe/kGyFGql26U+QdpcyopoDMUFxuMNPV 7mzyEOXYKhZB5z/z/m2x64FtuculDgCOwY7jSTiB+aE/8ESCU1BKjP2AfFrTqwZJIWutLFHrfEWt C7jsgjafkmtHzeZHXY2HB1dgvpTJnKKcua1N7zxN/1pzHf21CRdEzwetEVfUT6GSBrFNkw9psTkp bLnq4l8KxI2SCeacoFj/kNMLCL6jfJa/bMCaLPwlKnmBhdZ1BKhCppNVQW958vkIhPl9tcNmAXXg 3aOo54wRU92of+CuXReFxxiAIJlbOSttdDGkqn6KNehUmNLKnovfX+SwU7nkbNU8LyV94sRmM/6w PMZGfxv+tsGwffK3AILKFuXO54tNLN1Pnt74fFBc/GqtUlkv3fSQ+nLvjzVi1NuysYXM7BdkrOaF a6RicDF6wL7fEbKGkdkUF2/NN0iEwkgBIpiYeS1THDl/RhiLzHzHOtQ24A+J0FASuS4EMqEfz9wH ygIzmabPWPwHgjyozK8UmuXcuKI+mjK+Pgbyx6ZQHqfudAkjkWAMF+IFgcrdhUKDQJmQJ1Xw6ang wfMBqUUueCelbrSQAXEZGqrAPD8OFqv42/6V/09qsRZe9mR68jc8fx0+/i8SNz9STN/YDrA9ijJp JIetpSpkwsmeu8g6a/KfYpN+aXra9e9E2lAq4GmCwsUPEoB1EAzlHp0NgiAKDxJKsHMy9rhi1zjV +iHBFGOJ356yL4Ju1M42McJG5uMRkXvefDGDcHHKnHz5cKQgB3uEt6vE0xFExp2BXvEinA4SGAPq 5lXn6K+taEjNDl98j863kZMJ8kJ8tgo7tvGqiFzU+DC2KW99/JCI8oUfXlvZvnCPKgaysi075Rge f9+j+rAuGJlA5iz9ahbnFHajyXtoUz1oWHFuwXsHh3F0JKBqEe0sUpRWT5qP7qKma+kPhGMRDaWb DeAgM/+l2U/jpKdd8ccx7V0jDST2yagBoUV7tKv5Kp5amY//i7TM3SVvsKXnZgvVNhJ5e8Omqay5 VdS3ZCpsq147phSl1pJhWyy3tPgWnRhw6ib6Ekxw5BYphl14GESv6iigQq08/pCg9LByvtnSFVZ6 aupO9/MMHw/u/vHdccV4vdSbPiqhe+9kT7aCVGg00PDUNGtlVMdyoLVuWxRpbsjmbVcp1XyBORYa Z2mIW3kqxjGZQg3kjQWTXFg03aHYtJeNhQ6RhNfiCzPI8//JA+TU65OPB19a/UZBD3pW1ScYxezB k1ifSGlqSCp7UL7WYr1GJLB5+BaFbWnOkneS+VBaNz1q4HzVzrnYPMF/V1a6o+LYFeh0bpe/LvR4 5w8JekPMZ+qmhHHdzV06qUOFgzGYQYbeKJd/ms23HGx5R0Ln0FaQ/Oo5sjsPdTP2wi/hcofo4WuL AltB4BYUqGfz5WJeYJ2XTbnlJG1kGUJcF3V2Q9DrTuSRwUUOfAWhL8Ge8pIB2koOemmraXQMifAc GgvOK8HP3YDYYqlXJDyH1oKAIlVLFnn/Vm3WpIFJOpXInrSY47UfWAuiucm9kWQvF6vUGqIprjWh xUcpQk3R1gtk3vrlZ8rhfI6tBQtBHYA42KLpWC1D8ivn78rHo/79nT/jyAF+1NuzQ2GKnSFJO4rX ssPa9kuJB2vZBbSGbVGo9lxElpPo8749WOiHgMDSqgHqz44VcRJwLcEZ82HLgQytHxINgGQRTDuQ sxSV7RA9JTIMUAk+HpSz+5voDbkCCRDZFkVdapimNzg8KlyfCGQzjlmvgd6o7gSSQ4dBRt9FkJot tkWw6TiBtRAVvQF9YS8NlS3IoOenP7K0gyPoHFgMKrOBN1wrvhB8B7ol0UJnpysfjy79307TUAGa twYJPQbX1gRGlUelrbSG9CIzc0hPmVIWaoHJoEmLopFWfPEx66nUzh0gt5QKrwjTXkVgH2CZoT1w 3RyavW0wp6e2cSHWRouC0ZSBLX6Tzsf/NWeVqhKn/c+XFok/Y0hTOb9iLFrJdbGHHPXYU9tz+ZcW 5tfWVJvdl9tUA7IsCeA6086FKdToRMSe07XKA/+lmksOnAYdaLZ5sWoRMRXDEx6C3Asf/5dCJJuy jNtPYhRYDaLVjb8fGeas9wmnRb2/8vVsanV7vR96DQpFBa0bX6xxNApUgRrFw1eCpB0TI5hdYRpM rPKDppIhWug2qMxoTZu7evP3VILn2pQsR9l8PojY5dqdMvTgo2+Pn2fgOHiegyV0U0ymtSfCPBEf ie01/Kap1uS7FDmn2FCxp+yLD4YgyLj4lSI32sAXMsPGZXZeEdLcdvEXutrwh0QHidoccvbkwm9t piX7SjQ4+XikXfKrcbSpRPXEozDD5tSzUT6BnyhDNsauFd8VvzVcFm4pHDawt+y6hen07jIPEoiY dLmBNBCoCThyDelTlVdseWAxHLzm0HkQzD4Il0ilvVjFvGFvJa7FOf98PBLLvskR/68J/Gi3lg3N BwncmaIeMDQt666NupZ9bQneJnaOYuiHEK87+eJlCOxOF5DRZDqf74varZOZdCYQdD0WV9leNh4S nSp2tOWL+kaQ2lDxVQYfj8qQ/hWzG2CT+2qY5NCAcEhxvbnJFbVljLHhiusgVmiHQgfCZeBOSZWt x8Pp1HsFpN6u8X1u3UI2WvK4Fuh96iI42d41moTI7CHJ7IG9BxCqzs1It8SfXnCMAhNC1MLlVzhC ss8cyfYoNFOBan8thFDhPw1qtBaQopDrKj1qNNrDtxYaES5jRYmVMb4QRLs1GDbJxPJEbY1DBonh jd0mn6VB1MNeNgpHhJeP0XwxEAg8OFha5DL5fETq/S0pgFLtqnXk0IxQxg+TqmQoKYlTxx6VTVVW arLUlH2PwiybB2kRKKbFDxJ9i9GBQDwCkYyYiIZarYKTDvUghaNCn0j+kIi3inK2iRjenBiekcl3 1bOnHOTzUZp9+9iVOyp5rbtJIRpkPPFoEe5g1Ofhwgt7k/Gljy1Cg4wkvMMf9g8D2NdcZOQNnvti PdsA8m+488e+vTXax/CHRCN9ssLh7sdFH9uGqZZUTQrq2dCSMN9wxG90MKjdmz/2JGz0Q+3CN3g4 6j8YkRggZADCYEbwIZjaaL2S5lq3EEnr3HdZSud1AJgEFVISyArGslv60Da1pmZZjk0Js0TL5D3T x02yzfUKY04+HrHD7w41u/kL+qS+RVEXG9zYBsFag4UU0xY/9amzFWCx4l2R0JeQ8h2mpqPF9wj6 TJNaUScwn/sHCREQV6CSJxnIXj1bZdmhMSGDOVSoty/ao7G6qtG89Hh0ir57a8BJlfx1qUVZNgpu CPEaJq2Vkp0rZR6puDNciD0HzoTuYJSKlGDKw6Fv53KHjJURXuYU9mhxwIWfMdedhRARm0NnQvLV QN0bvmi8Xxbf9ZyiNfh4kGLnX8KbnbSO230MrQnpMWmRiOgQfl2DQv7Jrv3thKAcWBNey3OFGS63 UqsNd2ITzxedIhYsUEnGEPqnXZ8MSD3oVYMNImoPKvW+qN7fKpnQSWx8/L9ghulGCX8E36BQNBoi 1HNdj6dh3ClowN9alqL3ikSh14rImkjpbfFYjXR0M8jXjut/KoWq9LUENetir9QzCs0JK/U7Fjre tvAEpROpJfqc0+TjURy6BQjPW/3d4w/9CTHzawnavIYRKe7+SfQmd2is5q3HwKDQ/VH/MK8GURw+ aptk6JOwj9TFW2BzAx0QlNFoA+IQoY7mDwkOEa8xwZC1CKCGyxF/knbKJj4eETu+mUGZYMCLzM+h RyGgK627w+X5a3CzIIwp5XFIokHmz+JQ6FI4knWLqi9+iMbJpGenS+E4BULOTZYHScUoT5GPrbu9 a9ycZW8/+SLqFAZ20ssek4//K7fm/zEaDY+FdQ59CjfturbTNnc2WRiMDMWNzicoNgPx5cCoEKm1 7C3x9louiq8DNNUFkIV2hXICumgwsKdrAspjwR8STPbJa63qPNbbeVxzDgXalvWOAfrhUjfVVUNz tt3LLDYrROyfHD9Q0SM3oy8MseubawDbIYoR1vzAWr+Lox866g2z2d0QlOZ9X/mBY2sgqHLRWBQq zaFfISsA0/zWYi2j0huLd4zt+HwElf2+z5iNpSdch5aFAAlBBS8bzrqYnP1JY5ZbFpbSPSmKPQt5 OhYCuy23/JjnnA721SYampuynRW+IhUHB+W9nSMKmPOHRAzg9ILoO3uEnIIlWs16yaDUf7znzIwE o5hbfsS+hTAFbdKGAVqE3SWkRUsKcEDMAnXpJylU95D9qYRLl4+6UMeeqm/lJcfd8+k16rKeKIgj jH84l/JoC+Vlb/s3+ZPc+Y1y0cxxyJkNwviDj0c4mnuQ+JXiL/qoL+XAwRBxEH9HYtfZoaVQL6B9 oCMTOJLhCzsMSBNaGBrYSKwzLh6Rei2mOwHYGlpqjXwqpENQz5kEQYgTmLq9bMSnYgUrDCQXnaQT EDb/LBhU8Pmg+XhR+4g+Jz4RNmc7FGXXUNkGMNpVLOa0rlrnz+QoJLFY0DEKfb9pcAQWny/+re02 MtWAyTiDiwpdDIFNqnT2mlfIwrpqoYsh24TY7uSLICLDhbc7w1GIvf7ls0agQn0a2IGPIRrYlYbx Js85pnlqzNKqC310t27OoZGhEe6rOMH1uff3WrN3MpRPXALpla4RHZrpVcqp+5G1X/auwXfGMLTY v9Bi0Qja4VsViF7yn/kjAwZZ5V/HKOxgn//N+QroSoN6QC5HGUkZBjws0gpJ4+wYxW6GQhr9qUrQ oWEBT2F11TbyYXJjUIPQuKI/rcfe7GWDD43i/5k+jVqEETnRYckDC6Pr0M3wAT6q0TTo+vykR3ED G3pDuEWBoW1pC+xwan0IvjazUyWclnsUNrCXwOf0h1hunHL+vKuerLRR9bFy1Ca5qsIDTH2CWq6I +yKBKAeWhrj7+d/PNHyxaHSqzS7l+j35/D+VgplNwZ6huLZFjl0N6UFC/jFr2TaT1bLu2gLNKZN7 zYGrIWQO2AgZMkEaV8f9/E8HGIQEfCifZRLIwM48L0jrxXb5r4P88hzYGjoBNk26G3JRHQJPAOmZ rsXHo2/t9w7h4q93MBvaGuIPU6GQbWQHDhTo0lJA2xdI5Nayoa2hMYkQeW3x8X4/V2I1wdcTlbI5 sDamt6CD91upDSVHga+hMATIivr2ReX+Wl3zwtoKHw9utPY9TpMTbnrOUJRkV6KxUneQyDQS7EqL 432CRDj10haFSfYmjib14stFQAANanLTcGjcXe6HmtQqFNidxtkqf0gENRILbWkB0YdmYQTEU7Yz lcXn/wXq4x0PfFIpl4+WA3/D83OhflaFvpYkyBRkDRq/1lYbtNHgxR8bHFrnUR9crk/n8VxyK1FC uZ6yjZGW5SxrU4JUblMk+7vGhL1TpC0B15ZN0+BvYnOeMTYf/xdijV8lov940FiBxSG6RlQXZOmK PyfxOPjQFnl3TB4r7bwZr2OPQ7X2S0u+eE8EMFyNfU/EySeysh87FjYiMxu8IBH4j+llY/1FoEMY krgoFp1Skx2NcxVUPh6covagsA3Tl78OUchqHPRMYZcRqVG3IgT0F2fjp06AcdK/8zc5mamZGhcH 0pz9OjUjfQ5nK3tITa5KJgW9WaQylj3SNiGHTodNjAfmEFqYPTaY2bBZtlPl4/+tOQtBmes4lgOv w8x2T5XoL4vZ1bcVs617xd9S8wQ7cDvExUhoqBlMfNVp+Rz+lFH0kbs3OtHhaG3SHeSEvXGdSUbx l43SR0HVaAbJxcbWuwjU1/bi41F79ldnDSOMTvEn26OogU3IWhOqjyq59MRGAdNdpBsXbPUvLYKI VIGMhvgO4+E7rPNR9QnqCRpHJ9gmfoyTemioRAifNXIRvYxz4HroUpVwTvdFX1ojmlJVEB//F4yG nyW7UMkr2c9rWlREvsObZwfPNnPMPJ/C1d1Jy2Dqr1lRsXksOu3VF+0PSvKO9iz2Z5WTqE0zMGH+ X3m0fkjvglYaEsfXnKgAASO9gt59EYDmpEHFyFR4+GVvCmROGYVozqGMqOBCzGpTBVujw0PkDb03 CL4lWYZBnhfZpIwQD0+wNUMuoltox/2gHc91tVvtQhcNyJ/WCyuGpu0Pfj7+jA84/XVrEvnxGJdI sKlOn32cBIV6S6fsOAn169YAKLlta3hEKN2DOSy35jURKog9rDOIOy/0UjfbjYwJiBRO18Klg615 TYOeU5PluvFo7UDP9OxCEqoon8qmSepTct2sH4Y3P5hUfF6ToAK4DDXvOaLTolMzaffGONY+rxlQ gX16+jo10u9DP5Zb85r+4IOCO+CErab6Hr0bBJRaHfLcoOoqveVfdyabPGUmMkvLLekB3kfiDKAM JJDJfZibGJlF6ZHrCsCR2WvqU5C78EMCURwLVBgrc59zuqnEcH5I/rxmPiU7/4xeOSje0DnNdow+ r3kPPihsTadeFnUcZJIJFPpY3cb2EIpm9vya9PB7YvO+tbt4AVZhhlGY9Jz/xUkMuyihSM/w/0C+ 178n3Kqf15SnSKcANBhRqquLxoOHOsTE7ydzfs14+LTHGkGHJ8bX/kG95jv4CifaHILloy/TbdaB eskVlTN7o3SJCvaGmi7oFmZfPNakU1oPOheh632CPFPHgehTYTi8l1tAwhSUL/q+N4uIIRiW+aKL /Gy/NBhK35/XTKdI2fY5NZC6L0Cxamte8xzEbuKqYDQC6EuDVawskHpj3lw5pu/m3/ea5RT3aWG2 a4sP6QFT7I6GaSNN+UMyVYUgFjB2nivT8fo1x8EXRVnBvhVytolSAjzSpiqoPD6vGQ4DlYdhhiWc 7lo91rymN0Waiyfcm1PxOah1OixvOiwvd8sAX3MbROHOL6lQl5KL390nuRyL2n2nNDqBdqkYpU/L IO/sIXUgK/+8ZjbFnDfPNS28eV4u/HGKHOm5of/8mtfw/cr3ziBjR9NIO/PaNsT3VNntMToH0Jfb B/MPyLx1a2a8Ng2LAYSW8FFa7NDAZeZsR2KsgTML/bJg6YZ7kYzldC0xYZCEnxHsDXHzU9U6F0Pi pSYV5UKxzmBzRvIrKtsVVYBU1ea89gtxUCedfRy1sEuzNoakozgGm1BCk+hHcG44szj5rtgcbV+m C0iajR3BfOqTfG4kFmEdo9CK+ylzQGzORzQfee0V4k0Tb6ctxZhtijG7gkRrxj54OIg2ww8Oob98 0TF9c97pZMxtFgO80VxsHA8pDqeTZdLbnXIXbQ/7X0iDfPGzUzcMIKVqsTuQIpukxMyCM9mM0FQt sl41ODsMxg0ScrZwe86h6MKunhTw804mw4e19tfZIb8NPQTbnigrhikOYBbpx/wMiSHjgJvdME6+ oPVnkPJwe7gvZdzFrypom09S1OHLC/iMdBaH5HfRTE0OLOtV1ITo+BRBN+XJW0zGepMVot5FBqA8 Csl7fe2PKDml+v5EqTHevK4+JYp3wqO5r02oHljkUYYtwEuwP7BhxbnJxRffn3ruPWQ3QtyDec2o TAwdCNnALdnHtbQ9UXIM7Daue5LHuahvAbE5chIaul/v2TEKMg89rDF4N6R7fKL0GDETXQuDbq49 bHtMhAixp5V0R/BBZO5Nn1Vpvvh1DpBs3k1yH3RxEkaYVUNG13ZfVzFe5+8UMsTWrDGOZAh0UyFB bkQboaxamFOE0ad+5TrkrROSqu15T5ElAHgq2V49+GyjRO9i/FZwdEfyMUW0Pfys4Fzli20PbhjI e7HtBc5XmWppoOCg3oPMkmUdoX7FX4NPTQzRXFRaoSSXTh4m71GWLNKSn54M6G8BC9L2J8qT0RVp 50+alQwm6pLR77GaAy3KReLtO/+ZoL5KOj4ST310KKHdnnanCM1JhyFW3iSZAnwZ2ayPxIc1l6NM Gc0XCAg+C6vydr5RlZ6nnvi8M8cKlPL6d3AG7qoVusNwf96TZURnAhJtLnHOeTKV4E6QPKfuubBn kfjPBNGZM5xM21Attj/jhM5BHB2t0jOm5Ryo0goCJwJEDb/ah171fX8I+9ySZNbC6DMBmiK85dxn n3fSWLGJ/T0/QDcXEH5se6KEecoWavkQkDBIajLU4lbgxH3y64oyZmKklnTs1tWxI2jj/I36lO3a Cb6bKjlz0v0IGfOYd5Y8qBH8zhfDCZUI96R3FhcFn5WWiEznivm808UYRtrX6eEli5Buu/OeNANW j0OWsugGaDtqGnESdRPuxFTTXaHeyWJ4dabLWT1TLretczJuDCPYvDgpo3ETiCPF0LLUq1HeWVC8 U8WKhlPoda3pi21PkzP7uSTz550pVqSl/fS86PjI0lTbE6XNmCW0zA+TTa81lPmsgpvCyCsU3uDp CfPmyaYOdUS0eGxGkZUI0AXUuoL8w4YgxEbheAIFRIdriJMZ5c1yCh2L1BUu6gj2TFl+AH7W550k Vi6e3ranI2NHg9haglHeXEVbScqWKUligB9OwiQJM+zwvFPEuDs7kBhoddeOwhh5YT5VhpHoEEJh RCT9bWOtFL3p+81F6+RE5Vlb1MMYuVSJBpT8eeeHFUlpWH+HNzsuSECabHuivLnQ9CjtbRWpavWT +NTVzOd6tmLk+XdyGM6gRCqAh7HFYSwIa5viB1BxrK1TCW2iM1vReezPt0WTrs87Nwx3yDJ1geIL t+ekIMSYEzvxeWeG8eL6ozOI+852J8qa0WhBu1rh+PwbRfXFyhLspI8zb23cW++8sKdgb4LTt3rB Yqf2PFX6ornGarCRo3lLQ4+6JWr5PXmhRDzeeWHFh8anLCm+qJuBH8BU+Px3n3dWWJHd31doxl98 3Yr9nRNWfKhepyqsc3U2GauuSdIuqorcgShl3vPOCWNOwnyZyrZa/F7HhuySpCQITVPZPVINheGt rSvc1TkyfueEFQkfnQyeRutahIJyqXuMXT/vlLDfVTs2A7MNJiC2QVHijD8tJGdsYLw1A8U0dPdt iU9b2dTf3ilh9nEjKrODmtvjuQaKTqusP6HzuueQRje7y7hBoCt1Obz2qsH3JRFBTq+1GACqLSmp nbK9fN4ZYcwMPP4YzRkAqHy/sLDDvJmUGfkLvu+GfW67XOwzNSi5QVGLuWvER2i3Fq9L4b3QSbbD 7QUOPicTcEiG7usPJovWfpc/wjsdDL+hBulQ0rXFWmIT+h28vub6vNPBGATK9xfGgWG/8TnKnKG2 el7NYnFdNW/D9pRr+QgZLNuf98wZhSk/rUpXCC533IcJxZLQEvQiZ5Y3VCFxiD30K9zB5OedDOZz s0SvNlvU1sCwwhTK9+edC4ZfNH9XFjQZonihtifMnM+fsaFZpQBt/2mKea4AXQRzY4B+T51xeKnB sWgsxsW2Z/V8Lq881NYAmEdXHH0/KW5fvnRNtl412B59X4QDa+H2bOgfMT43bM976qwK6hnf4C+R 9y0s3olgvPWoR2gmxXVTCRt6eHtRECeD5kSNUsbn99QZ6kH8rDhk0WK9+AYHv0LrIbpHpCINTyLQ gTKpdTxg56FXjVLnzQ+CQq9cmBsugGzMPaJ/3nlgeHq179xQDlPejX9ngSH4ELYmBTz+GadF59Zu 1ydf95F3Ephl4Dg2zKC52OnJrZ+UNwvwdL6sVSSgAz5hho0Cg49FZwT1zzsHjB8XTQ0h/WALt6ev Jcn4lvL6vFPArG33VbYjLeQVr5FxlDpTs2e27UDwnq1qH2U++v/bzI/eCWDWPEKLMm9fvOU8YKSR Tf9/wRdZEkxgStGcDkxUV3spetVgoL5o9AcTOlu4PSd1Nq2X89993vlfVjl/bQ9GHyXd7YlSZ/o3 1J40CAVFXdtzfhFzAoBylAu9vLO/SrpNsT9jT4G6W6WwQkckoorwIouUdCz0QDuvB85lP+/cL5vi oVwnwKRMg5vtU27Vri7pqX3eqV+MzP5tMYqT0ti8LH1nfuHwYD6adIk/nG+gv8clWuxRranxTvwq 5gR+MlEW7yU/s65zAUOBUFKcJ3eZ5BtAuGtBbWoZ0ZuHh+q7n3faF74OlqVdZWm/Zem5BLe8is/+ ft5JX4zM/VfmDFjzHee8c77YcMa1KtopvrK6tCkQmN4WelJ155F3ytetS8tcd3kQTuf/IS8CMIY+ IDWN0hXnkcCpxxGTiMnPO+OLHVWB5IhGhZfzD3+rfe5aiVrOlMvnnfCF3U35KzQDfp6B0LP9iRLn jZQMPW3bn95tUprlhQRQBsYKHFe8k73wt2nqNKMbqsW2Z1YUoVBJpEzAqezWUE9xnyv55PfXTJVF 6TvPq8hh4LwRf0ctKrogY6WGz5yfd5oX0o79a9QF2G5qfmu9k7w4IEN5X81Xtp3IJu7yqZWGHR1C D+zoRM1mItfOlZfv4oiVfgrGTgZdHbjfl0jOm/O8ii/jmXRRvv2d4oVTvmQpRo+Ekg2gCNJpkUDt 6PXzTvDiyfnenkaqz/SU8J3hRfTBIhwjqRd/8oaiftgAUNSAGcTa8ehEvWYK3MIPcfni7cIT0xv9 1QhagXUoUcywua4ER5RHD3AS5vhO8DJUFnQAd/FFgRmqFawoTmn3eed3MaO8FYW0uhai8/20opyZ uMZZDdTjoDDCM7rLtif0A3Rvhe1mWYXC4QELk0ISFuAGe0rPwYbhubZOhpA5KiXGkobwJHipaPd3 jeBgPECFBglqpeOz2CeDkhnMubr7553eZUnl0/UhhOYrNodZc6O+RL/g02r6EiO7dw1MJWxa8c7t 4jRn6MtKvnhamMFwhGYyCXClbvIsJ9vwEE+lE7np/zItfKd22bQLgUek3H3SSV7uGaZwhT8RLr+f d24XOy/16xMjUSwvHwe+M7uwrROEEzlboy02fJyzSy7eFoMqpjYoajmz1ATqqfliXY2Tza+60ySc 8NQSiV34SU5igSbJSleBA31g/oxgnEP0U/4/xr4zSZIeOfZ/naXtM2hx/4s9uHsEspovo2fXSGI4 O9mVjYII4aIQ6M2BBzQqSSSSgUv3eSd14Q3Lr4bFNCaH4QmjuBk4cGiGTJ1AnQkAELp7GUgMBhuI bTE975yuB6ox2/DBpufsLagwbnVLAVOcrDkjboYJ5A/7SdawILr7ndJFmK2YJvKcpSGiEgQgFSmx fT7i807p+tXuetzAsy+fd0YXb+VFegmz8h/7TdgNxJ6rskSY50MbavLvjC6HbwGLUX3wmjySrZ2E RYCuDTXgTrieaCeWf1CE9m4gKpafd0LX7SZLrkuDaj5nndMrDkaX4/NO6LJ22Vfsw2Bh+AX/zudi bAgESzcIQp2UGWTLYs7HdHaaH/g7ncuOMSab1Qev+XQ4E296hp6TG34IuOBx0+Nz5w/oXYbWHfjs zzubiwsgsRi2qw8qyp8QUxyTk9183rlcDJ29KE8lMoQ+7dZ83plcRF6iUDit5lPpRM2ixtp12OnT bs3nncjF7aVqM/Fi+RH5p6DmiX5Y6j0HD+joInJhEYALOiHdYnq/8LT4vBO5WJ7qzEflFTHcK+KE WMygUXIon3ceF6ODXyi6QuFIv97faVxWKThTuS0tbWsY0W1LYQJp6UbhgeHhO4urOBkw73KHp+MF 6UtkPfXs4d2TBO4XF/b5+pD5WV6KHcdXDfJSOc4O5l8cVNRoe7BCmU+g+HnncBGr8V1QXYwxt+el 7xQuhgS4Nqg6I35Ss8M5Nyls0DenmiHvO4OLFyfjwkXvLP2eyixQWKlgcvFwPsec/klCtYBcivx4 ZxHo887fYtaOxTPojqOBVxfc93T0nNn/vNO32DW/NQ3eUygNj3HP5hDWjFc7v7+VxObYrq01uLkY +5Rh9eZ39tbdXCb/WNqDo2OvF86G5ADO1LpRlqmPulj19c2lq/2dvFWkF44qnzhc417tKMSoYlj4 dNRN/q757ESgj9d83plbtubqzCvZ9NBqmGl7qtJjP2dHM7r2O2+LJR8EPoWSrBo8t0hUrOuUZgNY W5j5TKQ+ibbzOXm6XjSoaTBZy5OKSBy0eGDBIUPMvj7vpK1ylSNwrzMIYNJe7tETBc6ohUEAQbVT 2PiIBWi2L2wH4uK36fkb31ystkGdSdtbgP+e44Z7qwGCoCsONExpxaMSb+J+2V41uNiL6P4U07Qi ISoZqF3rZF0VTwdxYf+u+SCByu0iNVoYNheYuicD9zRG2jyZM/q9krBhMUbTE5WbkYIj4GHixcHr qa3BJNdKYiddMpEbqKLRVpAOelYSo11WC5Ea3FtjzjvY8mnEtgIryaeDZvtF0VXpk4FRsTzv6mHc nIncNVE2aO6bmXObrp+5VzVTkf4eNiOowLIBSNQHb1bsCeK/wuYO24QmNwRc39ShSE/YXO1N/2L9 EZdsgyqGvQ1lBaVXPB0heMuv1YMXur2cHkXNQMrVKSMxtiyzGMdnVS07euYcpljXI6TGsKSC9D8O NjsduNzBawJAE+B8Els5qGhAHQGWPi4UQQBvj8rNlVdxop6jBs5OdVTCWY8TTwdBYfW9JUvM8rMv havH1WZKIAwLeijUr2pzsjCaClVWi+9RtdlqGptlQw7eZ0+T0smdRZ/UKiWKcerPk3EjcIBZuTNq ifPpUcws6roE8RI4o9olSOIT7+VxrhU8HnArZv/KKTK2Bur3PkMxATDRJkM6NefwSRLthRmKQVRR Mq6CifUoap5GOZaRyHiMRM7pvM5dXqkMdT6sEcU6G7X7CWMjFsJlfYreNYh8NpmwZP9p4OlTG+FD DGYKno6S9u+bnYKilLDR/EQsQChDVNkH0/GRydqZlTnYpacVODkzjSsoDJt5aXE/23C7OWCoD4fR tU2gxZzcUqhM9nmpOSw44TOiXiCdjApbpRWNvMH1k7e8GgG6wtPB9IxvthtabjU/Z3McNrMkPpzT JYA8eoF0LpPm6jK9ox4yAdWEWPMOtyQ2WpvNdA9G3YXODx3HVYO3C92UdPpMRFr4jOBmb4RpAJtq Q1LFcEvUB2aLeDraXV4xlD1t+6Z19ajmDDJeS8JgZmNXiH2iRAspaafDPQ/nsOZcePyAkGqD46Co KbbpX7hBY8kyVUMb8IRZEOW8QkeDys89KjlXljISskIbrKIxapNyxgkg8HhwOvdbk7+2c6CX2QS9 x81o5yAp5b8mgYBpPnoWG0deRUnjXKQgYfD0iXAaiROUaQam4YY+A+0g0SU7AARGCkRjjCp4Mz04 Q3vVaIIoZsz4QYMm6GT+qQhINxceD5L21r/zLkAMCr1H9akRyLnTHwe99qzEYkmm/6wg3ElEauCD VTPsEVJDANUi0edS+HGVeVfuDQhC4qDWgokEVbVoJU1jBoBZ7PgBoBefEQHFyFuiaosGbrCO6h3v 55O/4+lgAc3v4AfsZcDk7/UVxs6dYrCmgO3ydJs1Q6Fbsuhlur6ikrNRB4rS9nLTdlTkTwzRaYYx 0dhE4Z2yB6j9nO99l9svZVrz6RFUo8v/qrDyzEG3Vx8Spi0jtU8P6YG36DM4P2xdLt9gI4qdAdUC 9cEd07eZPSEkdSiLkVHO/Iyo5kyn5lUozajB8/ZZ6ONMWcOT4WVY4GEKsbQBHwbTymuqtMIYUfBs FH8iFTXogIbJ81JRLH9GGDxfoCo1V1Cg77elM6LgGQAJ8OS1vZrIsP9RcoF/ApqCmbHY2mHJmdOT i1qnZTxQlnQeHl3310TBg7Y9czFXA9Kn1yf12nrViB3Ikvyod9DymYBp89ebG09HLeVfSB+aixa/ v0aIcz47vmXp7lN/kSYh4C81xswkGLEAyemJKs7SeK6MbDCw/4TkYqGWAekeZqa7A2AoKBm45Gi/ 3IYgcIADHxGAMIlhaYOIloa7juWbDX8WIuPOf/B01K/4hdUADIL+N5qdKHRuFIAjEVHaGbUZe0na /NQMTaiR42weUehM26tVMNccfHbyPvfKaLtSgW5CPHRzfWHn5kLJnudyn5idEKpBce+NZWqDYFBt F2HczwWAp/+lg8BXw1eEW8JmJwqcO9XwSyrWLc3DpHqKwJgV8hnDMHQjiptZvkV9YfngJbEzxyfq n1tCCCfNkCgt8p5CtTUI3/nJw6rGiOrNWdjmJN5/QpiBHQV4TOXVPs504/F/dbt4iw9CwO/RE0XO gzKYy/0csjAtC0Aodphx9KRZTOxpRKHz0pnDTajBE68Fm3RChWA3OkqrkjbG/QOFqlKuZxqQG3zV IDNNalcYmM5PZhAbWcGtZ3ni6ajZNb8SC9KXxgUZjhDgPBjCdmd3SSt8/yCZLM7ugt8GQ+cRAZyZ Mp4ciwDn0h+Ac+8T1uI7izpZ2XdHPR75L0U7Z77SNASAj1BJA2142AQNHwT2AVCLnJuTHuLpIPD5 hcEsO9vHa3qigjN4PFj3y+Ieue5sw4kpLU2cc05PVHG287ZK2qjynsy8uGCKttiXQEm1rSJzS7o3 IZNG39lp//iC8Rl/1eMr3dg0cHoAHFPYstbG0/9Ls4uKuZAHtOmJwmaoOKIDWH++rUAme0jJW6V1 WN1nhGGzTBsXj2YOfq9DFmFNhj1QjW5yC5kwQyKPPeP3tPmhEwA+JIibGymlozIxRd9jiGRcT/hJ ONMYe+H5IHGv6ysybCyyXUmsEQbOoAemYhrOJ0ltZieTmqE2oKrhWnMjLjrLFrUVH7wdOM//tEYJ rH3+yIwbZrjUR0BfuOTruENgLj4kOH9oIEv4pA08f/bZWCyRnVM+4+lgfn6zSyslof14nmHRGezS pgsKWnyD1O12MlO5gKEutlgHx/01o8B5MfTJo08fvGeBSwlRDzfYieeSuconhmcn2hqPqjxtLmYI 1iDrtvGu0KBu8rl8GWrhy8XTwfS0SxDknQc7our9wBkFzozKlpSsMxUkqrAaA9ToqrwUffeK82eG ZeciNJ167jQStsoGWu2bpyE0nBckL8nPQZQCiilKm5ebXPSqUVGeoeE2TF33ZvsAhRK/3oll8fT/ cv4Qk9Tv7pqhrAbv1WaknDM9qfr5U7udP6U2213zb4IgYIk+OFTjnMfn/NTttc8vIuO4s8KoHJp/ GqvyopeS+D/DsvOigXUjg4CD6hqosamw1Scfj6B03wRBajE8FIIZhc7SUdwkdTWapVg7eQtUR6iP uu2JPya6vpYgYtOHu3xoFJSkTLxWRT5qbk6Zdhy5X9VdYNHxGdHhI8sGosA5CMoCUU4ePuduxNNB u33mr+CH5nz9OXyi2BlRW+vJKQTdr69lOuDddTW0fELxuS5qOxHwHG7bYp2kNJNfOtArlAPaYgP6 zM8PBDacYYFsAZ8R6Uao2pyGDzqbod7P+wgtrxmFzu2Gzlgpna4JFwQ+w6IzjsdEwTtUDeG/LKwG aCdWVp2UeuDZHGE1ukRrsmo/+QFiQgoX7EIhVWvd8oefBCYiH2tsYYrdNbdeNTib2ezqlHXRwOkZ 54cz625zDDz9vxTFkDdRW9OmJ8JqQO3ohPw9WfAjuVQAeXeqXvPpxYoaM6o607xqUSvNBs8sTsqO FjuLGuj/k8lzYh+ARoGp6/lxrKa8/oxC5zTEyKrVB2E1Mp1JUWgfDU9HiVf7inzgqZ2JpNT0hNxA lDEnVXiFc17dpmfNaS2LtAyMMEMdOkIMSiM/gMMTGk78+GaJxV5kMSce1qiEruvzsehiMaOKc5HY ZaUCNgflFYDfqyC2+XSwdn6RCChEt/e91yOoBmypzpa1yUHp0xqmEGHUxXUu5uUifVHgjDMVlCTh fNK3XtbJXCq8vcHtgtp3n2onM4eaMEG79WYWxGYUNzeGPbUxeefAosbJJsQlg3EYno5wPt8IZ3W6 hqddM2YGAtfci0oZLbMNQBPGebldSWrxWDyxqMbWqpk++L3VK8qosqns5yvcSj0ky4Ny+EPPsbAn CppVjh+DSBYO2lpn2giDAiQNT0dIlu+aD9HS69Z8VhQ0Z5rGMkCnz1BdqsufDVEl9w3XCjt4VoTU gOQK2uwy8dxfJp5QA9o0UwAH6JwXNPU6mTZKUmh+oP5pQSFiD3xGxPoX9JIMC51Sin6tvIFOFJ6O iIHfKUXG5Tku6X+FxebBXtO1pXaDqllYwcXBw8OIB88KQ+auXleaPnirYkKiurLTPsuafZj7YCH/ gxYofiwv3lorrDVX1Qvb8MEKPm0tScTviqejrfWrlbN5Il8JzBDdDJJCGqYO2nheCgW1zbQ7J9pD 8ORZMVSDR07WuUw52p/Gc/kcaj11VTQS5WuGnzwZINU+b8aOLmHDZ0T1MH62rJaLWy2vcnZkNb2a gaejjOKXFhSlxbsXm1dIDKx06R4yW2yV4iY4ecawMwgiM8VCwhWim2nikU2xeTz+VAv/s2jbhJBw Z8rOTFCVUClkavlc6vaqf+o8SuebgzoVRRJN7Cvi6X+dPErOUFDZfqmvKGKeAJGszv4EO6ZJYrtg lDmjvbI/zM0VEwMlWGO1wMfgbBLS3JMENeC4XC5MoyDPlRODuDkIXfAZQT2MZeY6xK0YriQGw0Kq riHfxdP/inmUUGCDXfD3iiLmxGyZhE55dw2LeczyjH6LdBrl5grlNGSW0/P2wa91ANeZZKHag866 3M0AiGsscqTlGEMy8PkhkSCCuhRp+WBIhBMecL3C8AKPB+snza+gkAzYR0xshfhmMId2m9VOH0VA mCBtr07yyzDe7Qpj5iLdfHmb7nTLYWdVA//EavzJ3XuVqemAn2hFuwK2xVbu2eyTrlBPo3NiVrZh OjenAQWLPOGc03g8EoT6peiDj6lX8HuF5MBNxhIbpWAPbJPQ36xxF0B4S94utrZChLPUm+l7rMEz UqhJmenrBGceuuRIuSi8WqrpZY1Hj2VFYbNAmMr4NfD42SfYNFZ75hu+76+V/i8Is3CmNT1/IZzP qW9M/3M9Ogxqj50NhjBwwWj5xDANnjtZTku5P9Nzbt4+ZJEzB8JdUrtGJg1+0Nvh+nVR+xMfEhzP TLbaHnfw/VVLlXXAqng8arSv78gQE5QvjG6F5WbrcXtBfl+lx1y9IC8DEE5QHDgncUq2D551bfgr EnFUkb2tzYpGo6Uc4DNQRfHgZwy9apB2Ndoq85fSoIrGIHmILRo+/a/1Iy8LuDsOv752FDgP+q70 bfWwWR8ZXkPNC0Ynieuo2DwEX5nyYZhfPgwtnYkgBQ+FgbNOZe1OTZ6Nog9wSzY7LDbvKHBGdwBf FMs+HLR6IFylYnwafDyoh/2KDVXsvmp0+z1yxlMowTup4pwURdkENMCupMbuFjrvWFKD+4odbA1e 0Ujov2TBeAH52ZSjG8i+KowZKOnD2FCi4/iMoOBTpRr/m9oOjCg6aoxoGl8xwBlmrxcy0gEyYk0/ fnYIcz6vdpLRVqxZ2poshGBT4t3ATRdjzk8YO6sMPySaNa4vDkimFBQTjKUWCl5DRxXaVezUJk8t CltW+IyoIMZEfxCrykFFjZ6bQF6IfnbMDPy+vIY7l2p2otA50dt1G4kdKOSmvKtl23Coh1W723eI 0zCQoTZZ/caIIWAW821mCO0T3IfCGPg0/QcKdE7PYT1sR6HzlsZ1y3dQZrHq1uLpaePpoJp6VXjZ aQfE9Gkl7xDhjFBqqArG2ovZmM1CMTxKahT3HtghwFkSYsL45CsTh3pYP/kCoScg78LrjRUfis3W MzO7Xy/Obi8a5RXEpnZWNDioojFp6smdVfH0/yKqAaoaZKp8ckIduiUbV6MuSfCBohpUHpKoRm1G PtkhSkMShkvkyfXg52AMTMV0NirOL4JuDXcWdj/t1MttVFBzZIcKzkXpqCWnV3NkwmKVsUxaeDrI Sov3ufBv4Z9bqVut6YlQGig/tJqMptRqMav7c8XubdSlJp47pidCaQzjarOZzMHrYfC4bYUGQoCn rk0ZutXIL+5wKMfBw7CHs4rPiCjtJA8MFlU5qA2I6JIuOakVPB2lXVddX5R2FA36PZjDsLky2DE7 DwAxL21yPJTtZXHhDmEaWj5bzeR9PZNxw4C/IvbAubcSyx9Qup4/REvRvJHLhxxhvur77lKhsHRO EwftrlGpKAi/yIGno4rYL4F0wJXQbLDpicJm8EmB2ZVyDzzozWKpT97w8j2Z5j6w/0Y3ZxgJ2+Ag H7D2Fs14QBsDPFD2nIjoIaG4H9LtnnrTCEHHaKXL2qObJsXqHTa3nJ3FpyPqybcpDOl26eakO4qZ cVe05FpHTVR9QBDSMlIp7CcoaNP5Y943F13i386eXcakfBhmBx53Rd4MC8EPcglGpW7t0fSqQVRY WdOo8gSs1kpbEPoVbXKcnGtHxeYvjWLMBWoHGeee5oeSuu+fCplZAST/oxFBlvkddkQSOB7eFW5r n+K4ucqLfPpgcTNOMfpKIi5cOPNZFKPLXgMpCkJgjvJht4JqssFaZz5K5gHyN+RsOIAgLsW8tJ97 hs9HKEyfJOymTqPbrzkK1ehwAGxKE5C/NJlFQAsElq2VOJ9Ok29K7Kcwdt5MTWlOosFLPydvbxRL xByhjYpi4fkrnsyotEHxxClwY9vbRmqPBIltYZ138dx0ZVO3hX4Wn4/a7bd4KOkI/bg7S+8B9HkO W3cWs7yrsEBX4wL8dq+PjWptr5xCpLMyd2g+2eAZ2EkwzkTJgukkGoUSEPK4rbA3m/k2dhbRqoyP ggIyq/MUbzzDeStCnKntw6o52FuLPyAIhe5dT9WkhtbFvKd1TlEgDS3KJjKi+u7VyQTyJ6UbSvMO BsW0339OlXkrRa05+H7b0MWsxWep0U75/L4oh1LlqTyGFksmrimKpTvZgmlS2S7J/hcXfupCoMNA hI9HdejxFS+yD0cHSZujKJpOTFWhwkZsQqMXNm04J522se23VLS6fk4wR6zs5Clk5hyPcjFY+xTe OHOEkiVBaPDrwZdzPn2PK32927KXDVDz1E6nfpYNXurIoght+BKkEL3x5R2Ywbk4qU6+2XxOUVBN J7Y9KQVOR2meSRAvpqwaTZkmbA2r1lFcjiZCgURtDXeOkH4NtcKAjaqq1gNIWMHIR7fWOae8+PEh EbqOvK+V78A5ojQg5wgpGR5/n6NavM/M2j5u0F6fYzusRwv9d8Vs0F4kvneQ6spjO1Vv9+DnBJf/ 4LH9//u5jlo71BMlV3fOIYn9TG6wxl5Pu0KQY9rLBsFRJ4SMGbAGTdE5+c0KeOsd/1Wxl2JtR2a2 7hSFmhuNvsHLCAYnenGJ8Gwwl73pQaNFFOvVsZkhxAIHDx5PWlcyOWgVgqiZikknRqU7NwrZ1VFA hX0/fkiUfMgHmCipxEoMZqiDfEq5uvMMH49Adt/U5doZnz0zFIbXgJEVClfgLELepLJZp02CwBy7 u3tVioQ3BKLPSZJI6ZFE6h1s1E1zxXmia5AyqCyBB5AV1/wo1skUOIVCz0L30l43XXtdrKYtjsmZ dz4e7LPrAsILkAZWX/ssirGRbrcrBtCynBwmaHnG68kIRZdPUVSY1nGdxMZIX4VpGHbCaLvwSgNX s1OJn34VsPah5TbxQIX69PyQoDrE47pz22vgPisnNlOKNhhBhkYpF+l7ze3LLU3n0GEQ8qhIzQy2 MIbDFsZIV5I2TwNM5cBiEMAFUwvfPtwkH729reYGJBC7yvvQ3srQYqU2k5g8MrvIgceg/KGBEd/J B2Wx56AT2hJy4Tk0GRy7/TqK0By7wI4cugw2+lglswWGLbkQC7PSXAx9sjmzldBy4DIILKUUsWfz wdL8goZGlvAhjrfE7sZitp8pS/nlbbr9XQNsR5Y0bWs+aJ/1lOXncZJwPh5E2OUbNwX+FdFiPkNh gF1owb2m5bLbavln/yXP9He5PoOh0SBjRtxXd/BkNmGnTcE7AJaeJhqOoxPd3faw5Ygz54fE8Beg gkyljR13TNFO7Muc07oOPh6ls7dWpBYrqnjXkSgHZoMoEgLY0exobrIoxnE9defjuB54d9toYXjN LiI0eXzwatF5syolIaDMTt5HwO2msz0kudujUMsMiB/yl0LkkBArB3zI5PpjtfEk43w82mi33Mhg nBbC8zZac2g5CIzmCUtNevXkIHZgAwVWb6PeHctz4Dl4RUbNaJnUZC0kYBlWZ0m3on+YzdcK4qtl oJREDoJ7OzR726BXzxO7Sk6qzqdkPRRsn0O/8/Gg17p+bbYt6Yo7R5ESNIDpzn7CuVCt7QosQ7HN JqSsNlsYX0+rq1UfnrJsOUlyV7eelnssyxYpl51spzwIYGq65NB4MJufZy0+cCENXDTcKSer5eNB Ryh9p2kZfYqH/ZRD50FQaiB1KSAnMCtVCf/yv+Myar6MYpD0kqHgHW7p6HzE6iTvbsQQjeZ6DQqG lUU7tKGui8G0t/1LDxpRkQ9WFjnnkemJl83nI9G//n3z4yJu9bnWoggbNsCtUTEQB1JPNFkG6AOe lWTHQ3vtTlIkz+GSmsLbl3XjxwzvVpzX7CsOIGEp6DvwdZdG1Ti7+VFWtJeN1hH5PUs+TsvjR3Sx mBhC+oyPR1D7u9XYDdgEf9xcNnAhlMNIJREsU7SWNR+0XtG3kNVV6qtNNxiOEdMsrM11B1tIDRr9 pUi9DQkNGeWzw+hZAk8oNjg0hs3XHBoRUkkRkiV3SKpBJhkfgkLEx6M8xDcb73liP67lQw6tCFEn qHMY15B0bW22odxk0EC8TF9HsYf3FA9q+OD9aZRV1eCGmMPZecI3UAcws/C9HrurbC8byWsyPqIt kwZO0bkVeratNvh4kIe0m4eoAlkhOHnP7NCPENAfuBC6UIdCSABkUvYKZAL+SnMUOhIuYsqzHd19 PhCigbptNQjRhl075wg5CLqQ8kJ1KZxsLxtca01SJtWG8mO2r5CvwvaBITmfD+61/X2vAeNJRrrP Ueysgm4jHTkJQiNzVrU11vvRjJ1sRXCvhbaEAoBkCSFzuL1q8DTqrmI9U26adsyYVCkHejsNB7q9 bHAeEV+V9zMYEGR0XRMtl8nnI17mpUbxEhtM124UGXsTLtB5VzMkLLRCNUmV/jnm+7nuJIWW3qoc 0VlPww2QBoT3q6iZaUyKpJ7TG8KG8CdAPUfnUSG4ix8SoWVwEHX6RGpIWkjd0UTnguPzQRh5TUSy vCDI2b/1/tCgEL1yAOkEmAGs2ogKg3hK0edTTr7bQjj1EEFTBsRt3AiplzpSy2xcrzHqakZ/xreD W3/sW14DsEQfEjT2GZ4vSj9hyCoenXU0WWLtBTltaFH4BcdXb5a40VsaCT0Kyd6dzezkgN0Q1Gom mTMDF0JhJDnDh5BqkVenYdMe2EwfMJKbcsFaQFvJX3fgR6H/S7Fo611TvCzHJoUSVdpE5HNQnH0C nyHIecp8PKKI+3m07O7/royEJoWgATZVR+m4Mk3iBNVCT9hydy+jHLoULq2iZTbWzyo6mVyWHzNx e+fESKymNgY5RDheDr20E3NoU2iINHXYONgU5SLF+bz0eFQ8+gWfAdonX3muHPoUAqeKboh0KsCq WU6Y2tVoC6Ql2kYLhaP7O6EMiqoL7ofK+8uJRxhsJuru4kABq8O6IcTF5tCocDC8HowXNKjH3/o2 3sIafDwKstOvTITcjmebRUE2NAIrpHjs4l/mKjs7vKAcItK8Ahl4FV4QhPVE+pPQwo1pVbpUIlto nUgYLCK5Uf+0a5rB7gY/I5ghCtuWQWoZB85QQoQrMgtP6yjGfqDDuvbhlZhv+Bi6FXYmSmm4wu2q y1EiLGgTJTKSl9cCu0K01Fheyyoh5dt2RHkftCzCCQcCGjus8B3uBILWhWAtf9XgPqOx/EYH1wZN 0IlV1KE/iTIfj86h3/rRv+v8oV8hQueWhk3QOafNV3bJbYETNFk40ATF6Gp2r8FTs8FiRzSKwO1O rNCes4NKnaiJVLSzcP5U1gKxhlDY4IcEzSKJCTXiQDkIptaqmmTtRBZ8PGoW+RQRKkJhqytklkPP Qjo2c+XzHOqp6xzalA2n2hLKY37hx6aF5gcmqNH6ghqlBH61OOOFRklsck+xVCcXkTeuu71rVH7E 33dy3TQITHM+o2uGxuTjUXD9zRDCWviytM6hbSEB8rs0M0TfLZtkzqbXkIAibRqULwe+hWg6USoQ 4ZsNnqRxRyxK1k/ouTVTtwA9qJAIfk1BcSPqZSMOFSlmsFu1gUWjtUaSP0jLescIC/qdx1bCLNuz iP7yLkT2aszxc2qYqseYzYHERRIEnKIotKbvx0rytU6Pr3WCIy4UpYuwNBCJnMTSgHaMqaFThEOy 7ttGG408Kt5BGqxo1KAlh6Ux0uDzQbKfvoMiBmPpWn/n2MEQ18mU0gBLI0NA0LlZbpC0B922NElh aE2lKWARfPDm/kiQurXQ+uyFIU3gRg7DyTuQ4ds6As9BLxvEjYsYkapEtnqFM519wAT8zHrWSwbZ /mV7CBUKk8d+C2uxiyG9WNoyGjluB0VF27nTsHZYXhAJfAxxGqlpTTVJDp7IAkkMhyzlaDlTOxgV to3qI0678sgL5WVvG4GyGChIYrG4ysqGWKHiorQHH48utW8JFKIR9wNcC+wMEa/iPJIg56Adr+TI z5VG+0sWepX3d/2c6NbfYtAXH/w8OmnT2NxVFQcHJkqcKtitnXN+EgWRpNTQ7WX/utTgeeyD1lGq XJakBGc+H/Ea/FZjGW4BFX+z2NDSkAqZaHH9mPh2nZbFluakRXKibBlFIBFzhDKb3fEYFZ+13HYn Oxn9hLOfmOrTgaFSb2NeMQurq4Wehtk0PriK0l1FwNFsoRp4GoUA7DtDXafYeaWnvx+YGqLvSNqX aVfAHcGObLjJ/Ujsg4GfDqM4uKaETpa3Rn4EyiHZDlUq+rCcUHtU6eQlJs8ZZKX0qNsve9dgn9Eh HYBnH+zAnrUT4QffcT4fbbSnFZKNWL5uihbaGkJ/tBXhiOHOwlwKIq+d5QspLXJvsGAU+hpO6pzk Iopn6fcwqmdWMpVGCVI3Y6dJW+0ChBDmzCuPvdnLBhkI2Q2AVfig7jVIf7ymCrrXga8hUrxfKsH8 Q34i7NDZEAIYKKp1omgbSvqUO4M39flvWHlMyDar5iiMsJdus30Hu/lRXV5bOtNrdmBNARNpkFqE UztS3SvlvkgiyoG5IU4jquDyH2iw0mPaQsKAb8vng/Bo/JKkRIV/Pe2i2N9wU3SxdC9hX7KD82dQ wt5eDgkMDhFBsIvYTGHnlmdPNETxGwoPQTzm3JYGtz3fagGtqF0SLC0o+CHRHFHPC95nNijZT6VL 4uLcCXw82Gu/5KZJGW0Pzji0OMQRAFhfc8KD1fvnOaWXAUVomsc7LbQ4XEPu13P64CiIfjZQ2SI8 QE9pqnxL1UP8YrXfRG0oNgo8DgEjIFitz+mDJ/tTqq21FT4eXGntG/gIuSVy5nyGohgbql4tNwsV G3NwAUU6pc8IFGmog2uKwhg7C21Usw9eU9sjgcLIGn+FCyeRAKmwyob/A2i97jQ27PkhQbqvE9sl y1GnwO+1K7hWck4ti89H/bS70dQtwvFQHxRE4HUIph91y4tECqAGYmIpc1dXAxko2Ormj80OBS+W 8Ub+Mt44S/ScAqYQN9mAZIebeInEPsytiVBfMAdmh7iWmMfmPn3gOhqtduFjxth8PCo8/qqJoFQI dVefoVB+ukgETarByKYKmx9o5nQl/InNc91qsd/hEpRfDiUyP9WJveBMMXk0Tzi6UPTBfBnyZtZ/ 5bnbtpf9K8IGUMMH1URmpWHRCUdn5ePBMrqtIp3XG3Wmm86GlodErY1lSCyUwBxK8/BiM/VktYhC mAiv803vHw2ezqIDshfdN87HgCPLTK0QFdoRhc0bPtI8IYeuh02SRJ1iuRwUPq6kI+YEAJWPv5/X a/+6+MFs/Mr4A9tDK85uyjj/xyp7teIsExNNESvZNkVRhG1Sg73f4Saz+yQhNKVBVxauvUSqJ9Yc z8ytcQ1KhggPgfFhJo4atmN9+8Apgjm6FP7bXnw8qBvN76JIxgbqeT5nUainR7HKPKuV1shFAgJi z2xK3SVDg9B2WoQSqfKYaJQm4mBzhNzsXPeqPraTdxJPMinzVrDcyM43ghF9jXPgf4hEixUjGllo 0E7ruPl/CIDYfDyo8V9nWsYIhCzfnfZ5DYwqAnuyDZYlIVAF1CpaqTsLNONkY2D0GhZV+ATQPiFR zZODhUUo7QIy0ql9gWWi8jWdjpfQfj90d4fSO0LH16CoSm/y/D1TFA2OoWmsZbSz3T6vIVEF90oX frZTSMc9/+J8I8HUoFkL59jmBhzN6DKrsI62aDKHAg8XTzA10iUqU9pfcz81xwVoNDr35wRqMH2m 9eEGKhS6tj8wOsLX+ADUX6cGWqqkNlI/SwMrjidk7yRwnsTjhNSvU4M4ofnUcI1AVXrPZXPzGgrV TBjfCYBsRhp+HSVmhXg0VmRBK9PcvAZCWDadWoOAV9tgy+ZcShn2HZybBOzDMjwEktnk9Vizw+58 0fdlUwYN0BfzDQ5aNnM3qXieSP3zGgNhYs31MBu3AVc79b4wNa/xT5UqMxAabr0x1tVXti41CHd2 c70GPxV0NkbRpLtquCFihtsVg585cABVBT94AOFDK7cyxKLm5zX2qbKGOGcx/fMS9b0ISTgxSM0s Wp9zJ39eQ58qjXbbQMzfKlW6bGpeAx/sKFyuvXVz6h3NdC9mI2CUfpCFflIdPyTYUGR7VvlFcfAU 7GTB42QcpA0hGkzFomds+fNRkATxDYVr9fMa89TbspeWXrqOk2heMYU8N8mJnV9DHjxtovfng9yy pRRazNMELpgbtKkaTAjE9ihOYERaaY4tmbLcshINJmeqF91a8cEvKoRrhZJ1CBUmVOMIsULdD2+3 l5tBFkoBf16jHXyJ5JmXxTCSg0BoJ22RxNK53T+vsU59rMbwv8zTFvohzebmNdTB8Y0OWe08iVX3 FqTxHIsovbHE0WA5L0OAaG7k8dOIPefgffqT14zCmOskWW0OEn3gAtEZEv4w1LJwmQbYr2FOVSWw JFos26ByNPBtvKRWHp/XIAdH1Zzfpw3KA7TB5dS8RjjVhDtnXctOm1JN3asRp6kiosNgX8MbnsPc SzSZ0aCZAfYOgsrUfN2gsTGAWyhE4LShUdIFCqMN8nkNbjD5VD4ri2gqDgpuTkwprDiK0K+hjb3f 18ygzUpinsToog1FZOfihmJhoXhrvhdHmifAZHjavFYOqwR64M6iK+qiwE58dw7aTHUX8NR6LZvm mFTNk1cX6oGWh/Jq+7wWDhk9EURFn2ANVhM7G1M2ABTtDCanr/5/LqkC8Jgm57VoWMW0wXGp2tf5 BbZxXhCjWD1soUMl8Y/oKO7C1EsyuDyu12fdnjsLoc+ZnHOfnJuPTXlC23FDZfaIzQGJHiSvBcMq +/Lz9507S/bcLGMsXW9A4eHh4Ljp31sKdLYyps/NO6sMewr2R71aTIxGQ+JRjIa8CesAyeVtwigo ljMvoPc+2Owk8hIYaSH5H9XELVRGrcm6hCZuYa8abSuiE78Gzg6aLMI97cang301+/cljvOnULBT MORgelKmeoI1mFHGEyzxhK7m+QPlbKwJwcqj6WFhp5JZoMGvqnOLd5gI8B5v54rZJLeCqAilEdRT k0PL6O39eWeUVXem62IEcbDVk7pVLzJA5WFsnGx+2B6khSdtiwVBjm4rFEMWBaOo94oiHsGJUwgz HDwF02eQl2B+dpX3muUPD/IOyq4nQi7yxWwQQs+kSk2mNvtHBkxqDmp6ougYtYrz92o1c1Dl4iwk Fv3gRf55J5NVKeRreqRdiUWc7vRE8THQ/BXgRzt6yjbsJnNnU2qAFIz1vYKDuVP+stDcSMOtxDeg LgqXzzmVUlMLuhFCl8nwu+ZivM3fiWSI/+UcQdt6DSoPorerjs5CryIMkYdNz5Q8yITO1d1e70Gy lANOfDmynT7NeAgbpHpl5Od3Kq5k8R4mZxMgaIO+4Bw8JYc5HXRVSGwBt1JTiCkpFH0YVWYpmzaV fNXo9KF9TXkGzg9cStWuWui+R3GymEu+uzJ6XUXCTPzQKFCmL2aicO9/6cvCGEpl0tiBoC/doTp/ TJBhydpwide6bu8duscn+iY7H1QH2IKxk0ONeRQ68qPzYfXl91AZ0i1YcnC484GJ+dlUa0mZqdXP O32sopB+Ly++GuCdrd64550/xvOnEJOYHQrU3fc62UlEh49ihcEoXO5s5NSuoLDfoPAcNzA9QiUD hQsYq8pitBLOhafA1vC7fehV38+fVmU4y+AwuTnpiTkVrf20mffnnTpWn/J7NsoPGsv1Tk8UMUO1 FKZQDmoVU3z8zOoeG8DXV99fUcxMudeTYu3tg19fcKc7y4Vp6ASARo1V9vvA1hjztpRJEv6888aw RlnzaiktH3T+bPpX4/P7/LzTxqqAaF9FL2yvNu/x/B42I9caVOlUvf1saqISYG5Y8nBUNAu9vN2j uHlQ2atKk6k+mkzAr573V6N0niurJXm5oC2RoX0CvQqbns6U4p0xxuiO1FVi0zXY9EzpZNUOiZgo bvayu6aHQrjQYLHpiQJnQK8aRfhZ+Co0iP2POpj4BYirTzRA5eoJI2cSdirtGTTY9MDjriMjZS6a e6LKDuAzk63xH1rEGGhD1Mwoctb0QIPSB5UFR2pCtWy0kaPQWShKn56OaKNtD53fqWK43IUYL+ay MelDBdhPrybrjj57toL7O1Ps2VxV1Yz6WNMhyM2LOOpzuYMCJ3bvguhxIv86P9yVolcNDmdCWaUo m66i7E5jNWlxn4vy804Tq+q9aXqY1+OKpKWXpicKnYnWM38f/mmp3INmmjKLPSmogsXzzhFj6KOc 9BkcE3UiY+A1SH8CM2AkqebhMAbCqz97a1Ox850ihthuC4PAxIIDZ+ekQEtOALPNzztBrD5qr3a1 41InUESzExWVIUV44vEpmO/5Zcw6fVEAQFzMvb10+s4Oq+5MhyjHh6dxDENPNSNADoZjSEGsS3kq OEQ9oaHUPN7ZYSy0MXKe8uWddjuiU9OqCBm1fd65YWxmeGLBKBnLeRWvgr0zw1gizBBhmGLxtirp hXM0n/NOOoMZ9/pW5PNODKuipaPMQ0xUvTLZFUkXHOAkcbZgYEyI7yKyjiSNtq5+F9ucn3diGNeP NBjYWR+3s76guavQJ/PpKG8fX4kpL4uVPXJ+Z4XhRCdmlKzz/0ShNw24XfaywKcn93V+Z4VVl5qu mek7B1s//eynsk1S6ITjIzuCJUMOu54P2g+VN+lV/+pmVfYVNRgoc0AtXIl7+byTwjA/yedHWTpQ uFQC0gSFNWYsuE23LuKfif8kCqpd97UyHCT+zglj2Ye9LKnllC+1HLiCgwI1jVlYK8s+kP0/G4KS r9Ur8HJKeKeEMTwnbqVQOJiDJggGoFVN9bk+75QwrvHvzB1qPfLO1vy8R87QsaSu3LLUFP41RlaR aQLm53zplpq+E8IYIxSuHEXO+cGsgl0+s6Wm/STxsxfXukc354dWH174WXrVoGY4lJPK4tA38T6b 0ziB550/73ywKp+55/ai8uwTOb/TwWx6Wl9+PvdlyJ5z8VU7n89xu6zY/M4GY1SL5QPmmg92/uwG gsE2Ico1i7XdSRNmI718qZtsvWowPSJhQEfUBl1fuzVyVmrD9LxHzg/k2WIf+ImAcWrTExacl8uZ kri7WfSl3ALFvOnzM2mIy+P5PXJWNbiAFDd9sGJ8hVAkZoi99BOk0NhyCdWPsmH9gqywv/XOBauu ZTqGDJn9MwAQasJ1QYrqnQrGDMpDQ/Lpk/qIPj1R5Gxd/m4l51WnQTDbA8E8aY2ReN6JYE9do6Pb o8EPHxTLKYQHzu6As7OQiMSILjt87HQu9qrR5iJ2blFAiAOnByYePOxOzrI+7zSwKn3E7+AH0qDV L693FhhCJkD7Jhv9Qjx51j5TcjgPzenVN44jZ+4qVs012OZqoPZXwn+psZCkGVBIIJ+yY3s0X4pe NeipT2LBElcPB01PpTgjYcv5884Bs+v1q+i8qZzqV9c7BcySGZDTzYKtkXUNVOHapoybTzCNUjun J0ZjqBxG00AOtyqGdLOyYwEH1C3+SgXnfqAUzdY1OSkkUH/e+V/P7GzVDre7zrZiag4QRvm807+q gPZfSTtZMs2z0nf2FxYPpALJwJZUICXSKRVIcq+8H7ur376Tv3j0MOQhVV2DZ6WjwUGosqaR1gBW mi0fTHQj/PJa2KA/z1eN0i6czPAD9IGL5/ync6qg3Ph5J379fyczeQTtNnTeeV8sGbJiN6ulXd0t bPq8Jee0vKT6Tvvi3tKZg5xIg19cJ3tbXeCUiZJYSyqpEvWGuuFjjUmx388764slVfwK2cAZLDXi t9pwfySYcKZcPu+kL8xu+q75MNJYw2EZ75wvfidU6cl2NJ/zvRn0W3Ug4DKaawS+M76qG9Q15e4c 7OLq6JDCJoQV1X1SGCKfK84qqD3cggalIj/vZC/MDdcMXGx98JwriTrQ5/y8c71q/gLzyIFksc/g U/MeMuMqqFc9ATqlyXA9q6lCD+gBMmxbOlG1OanZRWssDd6t2CcJHY3VwtnPXlKXKQ2K+lfsjKfX RRn3d6IXVrnk28lg0aBLfa0uSPvo9fNO88IayN+AnkYHt3mxTlHEDFHkJpoKkRmk9f3HHkndlpKe QNGK8e8sr+oOLef+uIOnpBPHOpw3kbKTbkTVG3JP4GCRy7oGNsjJ+SFRPYz4cHm0DPdoOelQnwSQ ngCrft5JXhYTaH7Y+Zk0Lr3LJwqZicSakgSkiFKdDs+YV0GhEoCa+GP+utWLTh4I0OCLZUoKIiV0 N5lRnISd9CToblOcnDWcfVN2QkHfOV4sp7MLSBzDGXC0d95cvatg2NeJCt8pXr/aXfi3xKN9Hc1R 0NyolDyaoZgHgG9ScK39Kriim6QJCsvN7OYQtW+DR4Xn6z25w5Z91kyTgiaTdooVcTm0E10GuNir /nk0S5uCUTeXDeq9o3GHoC/4eSd4VUmoPhNEYwcUfm2CorCZwlInfhUEATql5k6390heFKNfLCco KjiT/gnUU/LBi2LgPbCFgwOoSpQJWHmkpigArXQ1OFAF5mcE3ZzCvx9z+sDzGc4K6gafQOrzzuzC G+ZfGN0p3KYjCsOweYOk3Je4FK2T7oQLC04oUkuCZNiUh8Q7r4sbzNZP9cFLqsioSYfG1b5roqAm PAIBl2tYqPu2K7a96vv0CITQNo/ptllATm6OyUzqfMTnndZVH5Ub61fQFbz48nlndRGMSDACkbgo bmC5ZzUDQQlStx0F9oaC/Duri2tf8OVn8GYgGr+w6GLJZy3l7MhjuJvzT2fJ25C6Ra/6F5al0aFM g5V8zp/lXXwC8M87qYtlhXv+SHB6fAOZ30ldWECz/RJOKBS3I1H5YZqQQcQJiorOTcV4tsI1XIbA ucRqoZTUOtnAuaWxuoFCQyQ6T2i3HLALXQ6+anBAi6acKb6Rsolv7JO1EzqP/GR93gldDJ3zV/wD T/rcbr/rnc2FsIAkT4ookepeeBDtH5hTFzt+Gq8M7q84dGYtrOTtgyO9z79OtFRCM7meHzvlvIFl BONZ2sOY7i+yy887mauKaoSLi6KtRbpI4Jic6WfiBNuOzzuXi0/vX5Ez9SMvoDksOa9CxRaVnM/x M5OVxIr59WZgKqzk/M7ketqBoilz8O11oveJjjLS0o1AS0zmQYJQBWS4e14KvgJfNcpL2SadLNdw YHh41kyhdXVeJ3p+53Gxnr++Vs9i6r+9JPZO4+LlhWoUQydifViL+Y8avHTExOoRgp2bK4ye1bHo 1G3hcBOvcz7TEJkCpEp32Y6f0iPJj4kWoT7vHK6btU96xmrg3VUGD3nyBMbnncJlSe13WoqJmfds jmHNlARM3g1cJu974tgnLQV9UosnDJ6Vluroac/Rc77ws3AmhHlAJz0xiuy2CoSNyLhFCmV7S1f7 O3+LWEbmo1s0rv04sCG1Y8Gw8On/pZfMdtLTLH0nb+EpaDVOqY5gemYxoFgnPRiX/J7dMq936tZT 8WnUsOXgJ8+Jh08eschBOoFOyyq8Jmuf/uT5HDxdLxqUNEjcqklySqn42gFCmOuh9/V5520RBuU7 i5Cwzpy9ejOwRYFzT8i3aGdGaYRdlE/gNjBhrWz6yJieGN/MShj5uBoc+j2A0m6SsoH0mzxGRUFA OIoIxPX98Bb4jOBix8SeKENuNdVQ8RPugObntCqeDuLC7iUfwX03bAXu3grDZlTEIKSisLlU06w9 J89w98dzDZkFS4uqzZoe8Gt88LwdosGty7YY0gWZ/MmEsAXEUjnpWUWMplktxGkwoTh7qfig5VNN X6vuxKeDVnv53lswpyGSz8gmIcQZByM9h0xAsxpGte3lsmyJutNkm7yHzYICn2VSeK5wsNVTTlQz +hCMpSLvElwTQX4pmViWGzazItZj4h8/mzlgMmAhyiLdPLYhs4inA1LF9pM5KYJkQcqnJ4qaQW2g qqXjNGb/cS0EO3pWmkb07xFOg9x7uLVMH7yTc05ldN6d3raLNNsIK0JJFOm7i0UQwdujanNlgzSP Z+DswDCaewMfhqeDW738xkCVH5aBNDlxsZkl5lys2Az1eG0tNQRZbFafK/HHRDUfAZt56nLwLunZ tZBeF2cUUNXBfBCT0nga5H4XzyTIp0chszBQLJ0WgR4ZPGBx78LD+ezigucDBuAvDF2mVXwvHvf0 kAMIlFjt9IyHvUfxstiiTDKrhn1QIArbK4qap2EM8/TBTx/w9aaQGrvDnZEE/zNFaCIRDbAfFzGq r/YobCb5AJ4AzQceP2BCVYtmCp6OKJIeNsugBX++za4eEQEHEeqo/TIrJUQecSGqPpa1yya4cQnF SI0iwlLzwcuGAMck6GzgJs+7kzaMcxp+NOeVAWz2ojOdjXpUdGa9CC1kQTGh4YYYZsMQgArH57TF 08HlNb77FUhRa35O5zhsxvGTquvUkS/PXmBtjkMgF4Vhcw/JgENnrqK+eU9n9DQ7a4c8nc+qqZye s7cyeM75B3xwO39gW8NXDe52WWSUNnxQt+t8wyJYlMk3jLbX+k660Lx4iF09LDqDn5Mmi86IQTrB usAxFNIBgfMZlE7h8RwXnbm7hkjIXi9nq3SfPIsrsZ1hDTCUzvQgq2igvu109Y4G1Z97VHMWu7ZU AlA4WE1jDznRgsyLxyOGRf7aXbSfK5cS2N8DZwDAz3s2llPo80j6PXoWG+2uagwCZMI8fSKcRjLs bsk+XP7SiXcSJoyhIcJxirSBMVVAA8wzPTDDpFcN5ocaR32UO2h+2llXrB8CNIPHo6T9u6ZRSWmt l2kbQZw7Ky4riS1wLuNlp88uUntG7rjpqIr5iYAalndRiv0MzIkq864FCwzCRoAp7yRxAdkLbCrt GSgKMZ2jXfEZfwU/IzF05sDthYKJYrtzy+Ppf8EMVWlE6aTme3uFsXOnM081IMtKtJvbyEurQcBT JryS8xOVnJf4Uqx3avDb62ypWlkBwPrZtLsGxpnuISdw2OW2S0n4w2cEeekSiJcl53xLzueIXyLY jNTw9P+yfGBSe970Hs8jCp6pC7eyMXRwPHvPQo6P1QkWDJ5HVHOGsjtyrrp98G47QBlrMjyEDNeU +XyhkTFdmPu6NVV/1aino+BZJfk0neAFgduhmlj+jDB4Ht/HM3X0+23pjCh4RvelEXoi3YxajK29 qKDwnxy0i7O1w5LzEik5LR+8pQMb4sarA2d/GY3x4Vamf4JEADRu6rX1qhG5VI485JhysOUzkowd 09yfEVac93fqlREJyU6F0xOinHNi+GxOIaVMRYkrbYR7Cp9Lt4LziArO9Bk8ORdzjM6y7A/CwXOu wO4i83KfG2rzpKhU+lEAllpvQxDs+YGPCDCYTeBdH4bKN+dnChTbz3/wdNSv+O4HQgUh59tQHlHk 3FgWH5fPLvcLqGdgxoThTd39eEYUOS+rZxCJ2aw7x4ohgMuj8ezB0Zz3NLQvbkW00cZztU/MTozU oDrEIsSQg/LSlKvyyhNK4+l/HT0ICej6Ui+9YkRxc5+k5g3vltZpvrI15W78geLE5BGFzWxk4uJq PnhJ7ISEuHqJ3z3n8qYqyGKBFWx3NqvuycOqxojKzTQoSxCbw4AuPYv+q58AgZnwic4zHo9Wz91b OscBAb/c2xFGzhB8yoyzIPikSiUoBJVwHZ49FP/Q5ooiZ7leVBqhavDQ8MQYgOsK4Qz1J57exFbR J6iU65yG7I2vGqSmKsTTYUiD+CelyqUYOxlPB2fP2t/zQ/oSuug2PyHCeYK0NIaE+BpifmN37e6e F9J6Q+g8IoQzWmNn6ZDyq+GGzlDgq1Keg374mFLOQgN1Mr7PV56GCPARammw4LMaQT8ceDa3E9tz O7dz4OPpIPRZv04f7ImHmzyiknOjn+w2GjtLfBb5zJ7ce6dTu7Dzx0QbTMjv1DXwG2Lk3NpZ/mTH QHUO8im8ugbzrxP9QqPEAeA4MfEZUeRD9OWULt/0hgV0/6gcBqYLno4IFr589MVBCO1iEUYYONMo IJmBjPuB4HCepqwKNMs0ZumIA2euCYE1ygPWKLi9xqICEM4ffNdTWATsfqBjiGbR/HDB4kOCyFm+ hEXu1iBf8tA4y7ttEbzOLlh4Psjcy3fs04SU9tRihKHzpAeCaSO0tGoxClO53ujnizFthBGXnQld TnP54DO0qOolGZ8Fko5kfJJsiXmHXdsdavjiQ6J+KZNS4RFufL7PYpSQzznnM56OKhu/NhhW0L6h 8wzrzg2tZzagANdoSr0aUlOETyyMbQrh4QabUehsGHn6qWnw0HkDqcg4o0KmbdcqjcwpbcafNh5t eXpdzBCuUaSpse6gdvLMEhuAFAWeDqbn4SYPXnswJRp+AM0odq5KTQnzzlSRaIJrEB1bySFoHZxW HEAzrDyT1V6rYpv6wA1R7Fmg6nOHnZM000VuI96saPLBtfGSk4te9a/UtAvn3C/OGf6x4j+2yaf/ dQCxMIYCQr/ba0axM0piJ3lOFv+slfwA2teQqJKOhe01Y4agaY5kH7z0XHZBxi6Q/CiokxGNoALQ uTtZmBe9lNz/GVae5dVINzMNqmyM3DcvBGj84fEITeeFZ4FZIN9766ozip6zFGSaHdC7FnP9SvUa XEDEzOSgwrozYT4ntLnD7ZnSf6IoMwUwhwstd4auuGRx+pj2LqI8fMafaI0lBZLloqk41xlK17YK no4EHb+np2AR93W1sqLwGVsa5hkGkx/0nyaJ4JoSwNXGDFFnFD8btz2n7MPTuYBqofxQB5SPq4wK kauh76gGhDgWy1412F2MDpvCZw5GECxQ98Xxg7bXjMLn1r6xhp1e2hcHPsPoeQJhOGmg23DAkdxe zvKZbGAQrkHaH0/nCK4hI6Kyxh28cHh+YCadG2CfBi5fcTBmgYczMnkneM2tVw1OZ1ZUmQDZwOUz zpnApYTyJ57+V2EMU8n68er39InwGvBgOkFPMfrkHF6W7wQ0qSy/3eNzRnXnpYoGtXc02PRAuuZE zyrLp3auMpbOMqzPM7S2en6sq6mzP6PgOQ3hNWrzQXiN2qskQdNoePpfwhoyssL0jHu3h/RACMjP VrKn7suwzqb4CCm6sQ2vMUMtOm4Z6pzYcHtetEIz2SMw6Zaa8ig0oRmyrt/HopvFjIrORQhe+vZp UGZR9T3C0IVPR3iN29IRkZmeYV5UnRFeA9F9g0Wyzp7CvgrOHpqTypCxqaeT+GOCs4f6uahv+uBV sQWFPhTNAfYBhlUWsoNUP8xFv7LoqorNKHRuOnRkEM+BlQ1Yhauqdd4UT0dgn29lBOFUL453huzA ocB5WFOZtwcC53Nb7ctgqh4YhroaTC0aLbs03MQdlMAl2RG4ey/VVBNxX/hKH4qOBT5R3KzAB9ZF PhgWakod/SzVgqf/BWfhKU4i2vKjZ0Vxc1rkLS1zQDtnnCockwYcgmEmlgRx9KwIryEn78LIX4Pf XIO86qXVM+DrSdEjQNkKglL2oCwuRPiBzwjydgaEpTP/4iCWTlvyX4bRJp6O2IH5++hBejyuduoK S84013iMvdSxgDuihOMbdDWa8bZXGDVTbuTlXt8wO+0kUtUlXUaRdHCvSoHk3ussBOIzIkE6rrcs XY3suhoJchQC++yKp6Oz5xdeg1rEjxBmKNYMxSxAlGxvuSAU/LVN4/uEhcOOnhUDNthmlxUs9EXw ZWp6Ehx4WZCfZ0Vu6YBnVFMyrv8+r34qem8NnxGUnHdSOeMOwkJNtVsIJsXTUdDsi4enMF2GhgfN K2QHFkDFqJ2ImliVcOqCEvKcVhPr9K3h3gohzl3kJVXb65cgFA2q0pbiEfLHyr0FVdtS6BbUnms9 6VX/ElwbYv0PZ/2fT8uyhMWIp/919Cg/y4KJ2PREQTOqChCyEm4FugXqmwrCLlp7FesfmytmB7La o8yUgxNQILkDfypuLgAZG2sZ3FeodLR+RWtg98VXjWpivLHozqPBuqV7aPWcfBFP/7PkzJwCG+wi wFcUMycdPasbb3u73Pfi5xtv21OuFWtqsEtahTesjwHsyUJh4UFQKgx4JrmlCK64VhPV7mz5UPCf HxIAVbnYKK1pg6ERwPOk3uPoA48HaI2npkrZCPwYxqaaoShsXogzN/1Icfycc8haOqua/BFKut2g mCsMm1UTm1L3meXKqXYojQCPR7wYVCTldoLNUtG1AI3Aaj7bXzUA+5g/hTShChmjpOiMrjZJP3sO jweX1/4FA8fH1Oduj+JmhKPt3JNNDcHuWvo7JyHj6Yg4De2z/iFI9/8JGuZ5ZmfwLENNDHzpxQ0m hntpppk1HlWWFUXOSaamlHXRwPMHMOwmNEvmGwbH86O4RmgQI/2rq7FiiiAEH3MvVlMt2yLnVLP1 LNIkSZ7rJ6w60yYHXXAf/HwGPzYl6c2O2ha7XhNkyh+q22ThWbpX5fmu7wtoECc/CCXX4Dvs/GQe QX1VPB413L/RdCQj5Fv1WXHRmU4wlkZUqNV44rX9CCp9+Qb7W81ZUNJ1oaQMdgA36SzL7w1xZ0C9 IZOORv/5UpHzevgzhl41auqwKt/kStW8qLrhkmfCI3w6WkC/pK5RqszDL7Adxc5IJUCeSZ62L2P/ z548bUdVwrSuQ4rgtrS9+uD7azZIKUkcoaO/XGTrCbIT5cro/qrpYc15R7FzE79CspgctHw2YvCl vJ2P/4uGoliHAbSfz/s9eMZToFCSQobzp2bzWV57u17o+VrdFXdHeA3phZYmj+X2BRdbMGbFqU89 57yIpYRsNL/DJo8choeFzpL4jAguxm5XlSdVdaoLtlfT+doaXzE6n2/RmYsFr7TuBbZDwDNgkSdO md40dUnMPBC1kGOaiHXnBIXxs8Qem8o/LT0cyrMqoM0iOOY6W43Fj0xJJvBLgbC2G56Oq/iMqCzG bikMaW1QaeOcjzo+EAHtf1ME2aCnj+ndXiFDcBAj5nqqy6nKJ98ynAKqYl732TFiQ81SSQDkRwLg LInRpaKBj0Evj7YeJARAkB8VNCfqsCq2o/DZymE0fNKg8PnMlCAX50DA05Go4a/kq9XvlvIOoc7k l/dlTIuxXXtEwmDU1kAaSCrBjgmCwmKu7sO9vNhR6KbMkrtyr0RdbPThd7/WnLgg8BF/0W+zYC3C lTH1SiCmaWtVPP0vdQ0GyjgL2vWD2aEgHeQjiii3tD/pOoQgH2I2BPmEmMnWTgjXkO+UbbD+qKkm lHymNKFgz7ULNx9Mv0C+p7f67VdQfGRHBedEEedKV0INKmukXoupRyw8/S9ZH/xbuFrTJcumJ0Jr JNIISpaMYYO+ktUMt5m9QfDKBQ13qEcnIwLCXjR45AyABlhw7AY23FmVVR862Z1scVBKmoHPYjN5 RwVnxqdpZibuHNQNrPAw/mG3tODpf2HF5FW+vhP3HYprEAyQihsoC+wD/qRczHjyXBzmjtEaLPtM wZ3nI1W8+gnW5pALVT2RThHHaxOHfeZIJ8/kuUy9xx2hNWj5mSpdUTVYzXDRjATekQNPB/fWdYbB VLKN/PAnd1hxHnS7727oKkWxRhhvdo5XW8Zi2jFag73kIZLFeEgWZ+009HHUrijATDOAhIYZIb37 div2nnrT4OiRtxtSaRu0t2Y2k66T4uLpoKxxtR4z7zr8+6tzvaOguQ3konNK8+jcxqZ5tGTPKzNX 1AA1OxFSY1oSo9LheJSKz4k/SB5gVpEgmiCkGLYQkomxH6hPa3rVSFdjqBCffdC1BU03/nrnBsDT UUX1++jJhfBbXzzKUN7nJ9P6pEjouoFfSo/7vQmSR693i/jf9XOC5dN44ib2BDnYzQWDyTGo/wYO U84sHJ+9W2H2dKIpqlIZ1ocNC2ZVry+72Mppg9FPIw6OUFWweRnWgSLF5yM0Zv8KnLvoZVcLPEVl Z8iwNhwxtN6GKGNllxSdQYhrDDqh4Iqh0n4KQ2dlXko4Odzizz7nwx6cI9gGbHVyBxHoqLW1+fhL jm1vG3Tch9o6tfig4Bm2YAxfzsQ1Ph9kF796yq1JlP5OUmiHQuttWlhSYGO7wMai4bdlp80KZDmF gOdm7b7mw+26n/SomD/5wtmfiYmCkG2ts/7MfFs7i6BVfMhfqITcFCiiPkUOG0p4vbJqMc408gcE cdBlczODR0xPsV6bpKgGPaauSJ1B+JM1MEZprszbUSc2y6HQN5Ci1/lrsEk609LGylniofWsOPq8 TwREgAUB+XChdUturimKo/sSq0DWTMlg8xvS3RKfzanx8X/WoblLEVk9wHnBJd9DMNAJ0GYWsUAe OjDkhAsQTSchrHOPpKgUPSSz0YRyaVfMBhLKQLBOFjrgY0eTu7OBeVc04gu8EESQKD8k6GRIbqOR H8fBKh24Kn+oM5z5eETrbjeezqBedHT/n90WlqMrlg/qdJne0pscVHiUZ7ItWSxb0KjSQgrr0SO/ B0UnHetzgzCImLG3lrswHMibULECpNiZp7z2CYN9D+AoGDVUVeQgdkrD3cBi2cnH8Pj7HNVfijZs vPSbr+onvB5Ik4KDSzsM9C9hoM/GZrmMHgXTQWR0q34/K0wGuxcfvNc8wQdoDKv3uXBhKUjNKKC4 Gps97cpBjmkvG4RGxLmAOuiDEXiGBBZRx+XjUcn+GydFAmH7WkWx9AYCRsmDs2SWr1avqZXsva9F SgpL0stKQtUHr3g0EOxQM8g0qaLtGBYRimuAWgPrZiUhcOP1rhGagx1VGZRLOeOHTZ/ViKOHJD8f /xfQTisOFLn5LKIwuJ7UlyimC3n2hWYItgveU217JJ+iSH+jSFlCLvflcbkvgIYnyM8IyTrBqGJu BnAsaMA1P8J1sgdOsdwzkb6DOiVydEEAufu5IZbS9cnHg3125VtIoxkU4l53isKyNN1olb2i7cwa C0VpBduUo6kLPuPnRJAXw4lXH7wuPdsA6VRmINNws1CmgrTNWT2NIXZV3azYywalIfblx1Rn1RWY NuT+pS06GECGbintitfxikc4w3tEcxRaDa5Ja0oDr55TqRuhZ7DoIkiZpFcxR4HX4IUuSFO9fmmq n6QeivCmU1LTMkoPldEAlcPaMEaPLC9yYDaI8xYhH3RJfFASO2FI9JOkGp5Dt8HfdDloL5Z6S0Q5 tBtsdIpU+4fYbXO3nyqDoVG2FHh3/ZiIzUzSE49CDZqhim82D3rb1AVrK6WxhRJA6OHWL49Ttudz bDdIl8psVnPNZM7WHlv8Fdj48fEgwv4F76hAIPUrUZtjw0H6psjWAUiPahC8RbNLSQGlvrNPUSj/ XESaM17qvBvtROe4+JcWUUt7q1vNsg0Wb3tYczTx44dEHSASwhIVOSrlcjhFaAENHtd18PF/tVg5 G8ht17XkzoHpIHrQFORYFl/XtrXP1pwXAjOWC2Tn0HUQxERgiuodbIp26u0cG9pnE1K+jC4z2wII bhv9lVWlvi8b7DMKRUIi1gfh787NqB7iypWPR3f+ry4Zb9YLocqh7+CiL2OfQoxD4t7gm2u6wvr5 HZPJuuTAePBRGl138OgaqULTpb/Ofl4oKYD2TTNhlMDb+PJ3aPa2QadeBg9dzN3uzF1AP4mdOEd+ 5+NBo3V9i41Cwyzv9ey0SA0aGJ/zguwE4VAYdv+fVcSKI609BWXo+jl/wRTrkMXM2M9OO7/AWTOS q4X7ZqZiIkhnWOxUzruHEXVdcuA+iJ3Gv1dJtnhJFqpGRb2y8x3w8aAZdOVq+W7YqI8eaw7cB9Gj RTUtmWHTOZQMQ4WcwWrWaRRXPM6h/eAi0DULB5wfHDDUtiFwT/vBffL1DsMc1CKRb+ZOvPKDp5JF WmhAOIWTZkaowWoi8EIytf7N56MT+1sWsSAVgNizT1Ko0DHaBXpUAJyaDEJ22kPUqFxKlhiFfk5Q 2ZdsbZKHbno8dCfgC7ikibTPMPWpklg4ywcaua24hEChzgw/JLrUNtOz0XzgVoPDuKZo2jv+S8OE Kcgm8OMm+4EPIWzmcRzJrQnRQqFENJqufUlEEvVDB9vnwIjQu67wQ/bBpihDQBkmUUbl7UpkJ/Qe 4dHACPPiYth2zaEVobTX+2jZB4aPC20TXfwr8/EoCZm/wkcCP74mKURNdxowdwFi6uKFhM0202q2 2bL0NZN+TpTts3NWKOfG4cKmobAJ+ze2pk9MwIJAIkATmMPCa80sr/xlg0RNriqVU5Wq8w3TUJhC YS0+HmQh5dusmpniV9EodCTsdCSc29EfaxcDx4ztnNVM5RpOUWhJaD3GpjZ1S4/vzECqSe7j+TFQ 71ATjWEeLHtph+pqOP6yUSMk6RyyU8lazflEupVdwnNrZj4fqd1dy+EfITzLQ+vNoS0hwtrzTVdD oDWYTaqwRkkWtmEX/Uu510JjwtHFq+vdB5+jDvmJIYRDKSet5c2XyDCTEc3yohGRZPyQCEGtHhqb +BwMA5KSbgm4IPL5oPpY09c6ovVsudSoHJsTYo4ywx72i5RygHVCYrJA1GP7eRS4E5q3EOZg+eDh 0Qlt4TCXRX4uNUtJG+oDrB+i9KLjSJc5P+SvTG1ARtaGpHW0jbx6sp7G54MQMv0mKbAR88xRCAXZ 3GsEouH7XLn7cTRUY0M2e+/+wKEQxxHPocxgm4Nn/P3c+B1Cb6ArnPyfcdE5W3OhO9DP2LeyBr1S fUjQ0C/UbOk2ZCUi+8xyZ7reC7LZ0KIwV48gMRt0wKDLgE1RaLWCHzgZ8VF7iqYugINkeiQSDkJv bnnDh2hqYfFFv+TgB3Y/uRlufuYhbbGPRSw+m7JbYtHWs6Z2WY5NCpnNFtUeS7mayNkMRUCR4ONR mf+b4ouLv5SL1suhSSGEkBr/pRxX0KEQJoQmy9xoZXSvrIUuhaIf1iFp7XFdCs9tAzcjky8bJxyu xOPnyiCQggyXQi/txBzaFJqA0P91QC9lijB+5kqPR4vol9sTvtR8qWQ59CnEl4m31j4Dn344WaoU ZyzQOlH7LFTA60xDVr5WV1YTgTxRS8Mw+UDUCDULbdIMzBUQp9YHISA2h0aFQj5Mtu00qLe/QETm IlqDj0cB9u2DULiTteH6XGlRBXskCtxOl6rYyyrYfWbHxCbSlrWK/nZeqTXf4ZawN5rY7DpOaFTQ y3EBrsTq2E+7thkrD3vXYIoosITIwQdOEdzw1DAsPKyjALv8VmLAvUTmjc1QKCBd2e+7Grfb4SGD nyp4yHiOotB6JYsWVJMPXsFGYl7hCpUhaQ/ujwxDOxKdE4GVC71SzSi0K2RFLmX5i3DQBIGVI0Ji mnw8OIjmN6oaunalzuc2i4Jr0Gsa3A0cIdLNDVSZNydobcH29XOiJdRVTyw+eE8WdzwQQCyrwZZ7 MkmDPAk7WYiSUAVkj6Tby0bAc/4Su9xBwM/eqewOf+PMx6ParE8R291QBtjPLgtNC9HuhZacUac6 +aH/QSCTurzMY9O6+UfsWvjUrX+Xr+ugXtcSvJGyyaKWaa8sLiJvWnd717/KISOTI8TBUTRKP0C9 5uNRaP1dVaPn5n5qs6Fv4UardXfrn50gfk4nL1Qnbo7k6NgcGBeedJiw6jaoWcrBL/wNQg3lyyo5 HXmYxwbaxoOd1cuLHt1eNujrE4fVSS7WwIrRQmCalFDoHSMMaP2KGqvglc8UhfDqxqqf0+qXzKCg eVLWBYjgwLApivHV3GASxivquahlnQDS4U4FDwkhNStGiNYbpoZWEY7FomBpDv0L2RGH+PLwwSpG Y8iFpY00+Hwk3PVL7p/hWLqK5Dn2MKy052zKWetmw4TSHmW66GS73sQ5NjHconcoLBwPkvjsAvz/ hPNt8KVp1nJubCCM1jmokd/bQsJc6mUjnpD0OHPzwXgM5yfT6qjVrJeMNtsvqDU66QDh+xyFwTU4 cc1hoHBtWnYcFQoKEuXC5oyOoxBtbcUiMxMpTwkbk7SrmrInBj1TQioDksHK1/2y1GBngZ8S1B5l FDoZQnKwlqNJYtW0Bx+PwuvvOaLI874SKDkwNERrnx7ghBIN/ikJ18fghqXHkwEh9eStFjoadiZn hWtAg5ew51lDu1EEZU/KiHfSqYAlRjtgEgGRJNTQ7WWjW42dEGkJctA6SvAaYPS4UQ8JTA0lYfwF Dd0/ezyXWhRdky+0SKglYC0Z8WOObhwZFNVYDUn6Oe8z1MR4bSyKcLh8D8xDbSLEnByDjihQJ4dN OqCr80pZWFEtNDXMpvBByQYOwoecQ4io+5MbDj4eEcq/sbOEKdT6LKKwfs3zsl8llJbV2p/KsxRd E4SrwyiKrofE/5cB1L/6IFALOJNDlBEMGjc1XhfgMNwqDTUw17df9rLBPstic/bigx1GsIjLSkH0 kpHS2ZPHZmOVr5ukhc6GaC1ALbyrYASQrnRez8WKViyStAayjApGsbWhOB/I7Gzwa+2s/Q3kNYtq J/8goXPuzZpIYUH1Fh57s5cNbn7yFhv9pTWocQ3WInExBY3rwNoQSd6v8xqGDSB23zmKPcEzLQ1p z4fCXZbcGbSOT2TJwiPKs+e/5hzFITbxjou6Fhw8xD6LcMDWQOzpRgV0kB7Y+sCVUsvVcmdpmJ/y 12nU2XTRoIV0zp82pAWzJ58Prv6bhzAUoi/50ywKLQ47USzK7AnG2p7K7tkvRqQ7RiTwOEQlnO39 Iu/r8nhfbyYiOrEnbv41BbVFLbLgz+3yXwmO54cEc7SY/hapf5Sr/oHDSYXHtfh4tNe+FxLNHB+z nxy6HIICgKNnOtXBzJAm8C+OEcnelg1dDlWbrbvdwZkgSIQL9TbgM9YWDQFX4f0CjD9wY5apDcVG gc2hucIk8g5tsGx/FzFdait8PLjSHp86Fh7pfVaeVRRF2WifnPiwGt8hD+N2noyUZTWCRKYT0XJg dHhZjD1PH/xW6/DBZjgBgNceMAIHnF3A7MEWst1qENfUh0T1a/WslzrYcPfB77XrYMUX7qll8flI XdBLIkRho65GBQWboyjGRlTSVMIA07xOw4Mu2uqqrjbG9vgxdjvUOhqkPnDwZA3XQKn0HDtBFtQB 2OWms12C0+vVaBqUF8yB26G3ioYajxy4jMAYYGsDIr98PAKs+UZjlICkZuRnFYUC1GgFTOH3CC/m sdOQI1qUBNECioHgwI4NDyVWMGnsx8G7+yd+z6OwUzSRFEqEkK2dswHJwbsC3W3by0bNfVZlu0QL +lUQXpml0xONzsrHg0VU96+zaLPOdKco5DQOGp66IMhMXzga58Oes/AuolgRhNunMuPn4EnInkjy Wb/eBY7YtMwcW6ZRiMLmDR9pn5BD28PGokhOiiKT6cTtE25lg9GkyseD6uyvPlHGffqV8QfGhxCW Zylkey4rovC50TZVETlFfWePsAPnw2y5LAvhNnifqM0TQS7KUEPIvIHMCJt2zAxAO2AXupyVyA6B 9SHuFipUToZGHJSmgbK3tDAWHw9S2fmdgmS0m4hY8TmK1fQ2VUGMdH4ub1kcny9kiUBzoiUIIthO iyAilby9xrKXBg+xz7c8SfFH+HiS3KSzKCH3g6oUaflGLdr+slGnCOun8zTT4DvN6s/nfODj/0LR sEBLfbXLb/y8xkUNcT26jcWtw6fgoOhN7OLOvaW7QeRrVNSAM6KU+ep3sB7IienShJ4MQ8dJu0Jl KahIoJSJrGqa1Dsix9eYqAEBwwyf0IF0oQPnOJhpyIt34eGXuWmqaWBucDZbSMSbgqXWz2tAhLnZ qIRInoAeHCgssqNPdiZvMoJrtHqCuemUwW8stmrwFtqGJ3Nl5Xqf2el0TlgQl6Wu7Q/qRfgeH3D6 69x476NRSU2DsI5jClyJdt3nNRZqAEp2nxveeJDa5dbC1LwGQk2YqZOvdkmbNoK+aE9SWL8E/QMa 6uZJ+xoGYdkIRzwFBJ0XCHpOl9HP70xC/oYa+lQwQPXeSmDH8PIHBfg+r0FQU19H4hk+aNmcr5A3 fD07+PMaATX1A56pEeCKWl+Ymtf4p4kGWYGks6uLX5303dP0tB5KO/Kaf50aNIRoaDOk4DkeBU9o 1JyDXVoFtVGpicV8TPYgW+BWhjp7Zq/BT7u2NlRFAHkQUTOjn41u7vnTSbvz5zX2wa/Z69fckEyX GPvQnjyam8VpdDfaMU1uZ7bZ1C7bi98xwufXsAcbir4JnZhNDZ5gwOiQQuYENVLPU3U1CCmcqx40 cd9QuFc/r0EPDhthzxdB+tJ84C/ZBqsWaF2c0Pk15mmPIWQxePX5CkvJNjWvEU+zsLlITKfzT86F SVZEyydFdvLia7zTBBdAXlqmD3duAONkbaqBHb63YWIBFYOz4iZ4aEkAjXZRwdwskvGazlAOQqC1 bGWccyl+XmMdLABjwdhhg42vNium5jXSaaKVnG+V2kvILeiDgsOmQ+7YjCAB0ZIfQDQ1PEKADfbB poYFsTHFDy7n1u5cWVlVjnM8I/fwaJkG2K9RDnYU+xnE4NugKAdOouwfrjw+rzEOTipT49bhTcS5 qojUUY3OYV6fvVQ7bOpw1apk+uV7L3cRfY1ucAwTwdCWPLTWY0B7coPzz0nuajD7pHEodb8Q3ZCV /7A6cLR+XmOb5olW7VSuqkLgI7Zpw3TcUYJ+jWzs/TQzbPbgvqK8NmfmtXKI/UTGC5W01Jm3murJ akHXN+GGYWfNa92wmWDJakIutC/kwoD5+yLS8eync1vtwSYGyj10syPw0NJQogI+r2VDzM0WlpPI GQ6GxSuzquhHvc5gcvrq/+eOKqRLSIglmBwgblqm1TAt5cUq63T48WrYXq71+lowxEeTIN3YCtXg bCBARXEYY3LAShnilCFqqCTlskVsFki0IHktFzZZ0ECBhkwFDsqtGjJblsKg5fXPO4poV9wbY/od 9U4n49WL850gZ2CnejbI/QYIUJODCPRS7qLZEculU8eMw62DwQCZuPV6VgZivyx6Ao6ZmqxHaKIW ogdGS4fJ+ZSU6XQp0w05GoHnToj5eeeSNWlKfl3hwKtSz1TTE0XFMJE7b5aSwaV59dM4lKQRVi8k KS9MeTQ9SqkoA6PBdxYWUGeHF+ktFpMWD4TyEtYkVEUNWtarqAnRgUzn0FFoYMxBqweqXlw959L6 vDPJGBmvr3t8Cr11k4YoNAbgj41L7S1avkspb3erEaYqJVgiXoL5oeYd1k314S6fCn8x+ftAp4G2 KIspOGWeWr72YkvTEwXHnUINdRDvwoHT0+EUpelB+es9OkZG5iePemT4lnjwqQEQncuMvyhKzKOn bzNNB7LCjp7eLzIxio87uxSNmY8Gr8PDWvVEO5m7a0MgT6wfaUYDg7SvuRhv83cKGQNNKeGm5oOq gydIILgG4vmfdwZZezjR2l1oDJwr6e6u9wg5kQPRunSUcfhIPRkyQMmI5Llk6RGwTxFNDzOrIboz B0/IUfWqtG1F8x1umzq9UQCk2gPVGbS5pgoWfx4+vZIaxYHTA/sFXVsLrfcoSBaPItP5kN2us3w2 RT44P1GYjILe+QAKLgFuRKdjNASJfxOjZVFxgrvrPU7O5gCAifbBg8F9Tp4xjKuB4F++4YAYZ8rj PgofVl1+D5QF5EYYyHSVA7PyBvQM24HnEvi8M8faI5Snwwdo3RP5Js/L35lj+NDG1V239d07z+QJ U/DqffdCQgWrglGwLNGBrrpxf8xr6sn5ayXbAMHyPkG1WT/iXkUYQaKG3+xDr/p++pg+zBh34OlD iTlGyzPvzztpjNlr+Tp9aFdU2r3bo3hZzD/Xs6+I11TuOvmFF00T/eq5vaKIuasQWJ7httxBumS5 sgLw1eWhmVBMK8hgxrztZPpzft4ZY1iiRLZ0sRBMNweVi8SSEZOY+XknjNn1+oQ+8KA9gbwnWu98 Ma4egAKkMo0+SnKRTpC8LiYafWLe7VHUPFTQsStsu8IoaOLr3FxLd1en+RrFLViHn4gI6pUS7Ewo 3slijO1YLS0yGCtm6nymp5vndYc0TBQ171S+pofGj7Pe6YnCZiAdWpaND3AJBAwiEa15PfSVZdY+ 70QxVgR56mzFzfuJmzvUSWCRzopgy5t4jJXJCwS+EUg5B2yIlBnFzZqeLWrvdmovsiAV3DH3n3ea GOMmP5zxbzs9i5Nf7e8sMVztg0ow2VirU8T5BdtZs1hF5WtYZPhOEns2FwleGmx6ElSqBkEeJ4LI 8L1jDWMAogF3FmlwG3HFXjU4m1nEkJB+ukL6G6CtrvJ5yZ93hphVoL6udtyQ+0Y+7wSxJpTR+bl1 WkZappKuEwuagB5kMuxmf6eHMeNXaaff4SLFT86S2T6CLNKJg5iAJOQhFegugqGdQmdvGtXa5Scm E5LlrnQU7tbstPl554bx5rqzc0uDgozxQ6O4eVKAYZjZ2pmTJGYGsO5aUTnTFZg31zs1jMU30sGX jK/XlYEDP62PzZL1WfwFavBsZyHHbqDvgg/9VDOm3vWv0KfVfgcBNABi4e463/XnnRjG6R3fZzNI AUjXbH7CwHlVqC9sOfCCUGpc3onSLSMfaJVtRT7vtDCmJyxlTGrhcrDd1Wh6OkULm+2cSzybE22V gccgXtwQLDTc+rzTwvAhREKRA1acCobQsIgudXZr5tP/S2l5oLm7br3nnRSGbLYwsTA3BHSbsvVD e/fIh9eo9ldcXVZeOu7ghXfYs7QtnddxRvkbFxol4AZBHeeyeJNeNZgeQsQbaVIaDJF53l6KW7uV zzsnrOWr1Xm+DRGdgYEad4LCCjPbbMPaevgdTCana4MJwt2MzfNOCePtpZRLlbGRn9AZHfYtStju 55smKBexz/m0TKXX6uV3WSS8M8KsBIPYW4oC6ymJQRSMRcDzRX/eGWHtQayeH87JoPzX8uDwnRHG 4jQOx508NU3FSHN5Lq+KzerB4TshzLPqs3TkorUfNaoBun9PhPKcSOvEt/yXhGVAIhcORk/hZ+lV 3w8gds5kYmqDChu1Wz/9TPvnnQ/WHiFlbTCUEoq0qDg9UexMnspIpkx+/mSNrQ03YAOGZwTUOqDf Y2fEtVg4o5KLwcGrzRt8Ax6H1G/f5Kmd7AxZM/vo5VE26UuvGk0PU9PM1IKDbbC8N0FQtWF+3oNn lXBsg9GvBJk8TOdsgqKSM5weWxIHY1FtwW4wgjNl8iPdfp7Q79EzmumYmbNZ7qAJgqDlCaVYVoVV S0GqxK7oaGqm1y/MCvtb72QwK07gZJY6jqMw0fRfsk+DCtU7F4xtvPWVe3UR7e/6iaJnZFiw1/PC WN8mz0mXPW0v2bhxe71Hz7e0QYCDhnv+nES+NrF2T3LVTJty43oERJDnjx3QWHafdyIY1w9/BShr 2cDpGaNQ0IfCPp93HliTMMkzPZSHLDe5eKeBYfWQMFtmtlZOcV/wc/i4xkKhtw77xmH0zLpqnwyD ONzcCzhCg6oMkFaEPpwIfaYoGI/ii71q0FSfbAFWtrtatSvgBEUomDD8GfnzTgKz2sLX9IASBj0+ m54QjAHPs0ZdU8ortCbf0LVVqafBBl1BOT0xGqOxIsbpGY+a4pnvsjd+c6yenHnGYAaxUogDQB20 Dx0+VW8azQ7LhmpZtKdlAV0oVUpP9vPO/+LZ/KtDWrjzvSz2Tv/C4iFXlvYUlAlk+kOZwDlNdyoD x6299c7+4tGjxcO7vV9eFhzlz704pIC3duoDnhbYW6h0cJ9p9XJ6AEn7vHO/sDuQwEAbKPnAxTNh X0aRwDO/n3fmVzPBgVs2JI/gqWu8875wZiQejM2bgSIQntRrkB9u7jXbqBbvtC+u3MQzh3LlHPxk PndjOecZq6oncx+NMLDz9+wEz29jTJa+P++sL6YWOnMk487QAL/Vhnwc52eeN/28k77wDe79tXwq TlG5znJ+oth5019jNz+aCaqgPCDlkIjLID4aF9c744u9Opy3o7AtyMGm55z8YFhKHbDBMIFAsY7A DH87npqPveZfaVdprG1wsLQrCQ8GaMnnnevV8v9B82RALIkD12e+R82SrIEAp2mUlu5BYc/XXQMC XbZ0ooIz4Wur0+hWg7dzkKz3wrR9oTZvqN4uoBt2xtPtooL7O9GrmWX0CXeYfHFQzQe0TSmU9vp5 p3m1Bz+oLjs8VTONcDU9UcyM2lCrxQph8D1SZX6Nxe1USNBBTsWl8496c9b05Ds9lRa8Hd00uGCc C3Co5sNclMY6QIM4WbBvvWtUE8O1tShiqcG7gUlBYU31887yspjyq6yB9nMp+a6fKGqGomQFwMSu 9X7hYHRPU8WZxEPeW2HFeQqBwGO3yS2FpAVw3VJD8YQ1w5M/duok00lTVUCU+SxtJy/3neTFmjp1 tgf7XpUNlc4ZGmx9ABF2wsJ3jheDyu+zZyL9hf6lTVAYNTf5iRkNblDYkeqtrVxmTroTFNac2dHp RQuprJu3zw67OGO/75P/yvb5hOkDacD4kbmQNIAZFr4TvJ6zebOdXNBjZN8Rxq9ZwlBjZj4fbLE9 vyZogC8AQo9NUBQ3Axd7TqBqhNxch93tuJCtMJYQ+muCoqqzXerSA+pfekDAZZQxxaQ8K3GyYwWp KkJP8EFXhYNsxc87uatJUwWgMAWGxeKHhaxQJ8g5FT7v1C6+Yf2+23l3Tp+ed2YXPhNaiW3QOgu9 U9TzcO4AutTNWGwDQkT/iHdi173bX9ZPAcFwUtgSEnebCkUwh8J1jjRr8g9LoU/Wq75PTyXBdLAw gcEJo+PMFUmn/cSen3deF7bnxUFxMuUK3j02fOd14eqp1ajuhQYb1HpmS7AjAxbpHT66DWX5d15X M3+Zc3sLmNrzxbOcVHSdPKPqBILJ51ZZo9AxNP/Acdxbgs1eNdpgvLrooKTB6j4dDGge0X183mld Vlr4gtChzErkt+YnjJ0nyYuje8u0W9ti7HqdH1OxtsU7p6tJcgkhHymm7YtiimxzwBaEVxgusELr UJV9znWwCfyRejSi9c87pau57m+etI7gwBtsrdHNOnSszzuhi2WN7wCIcpkEy2p63mNnxQXIod01 nbJVrGrUkayr07l7uL/i2FlAH5YsOHjdGZLaBfUuMnFO6i0NoIEFC5gHxFBd9Bfp3+edzkXoNUlK Ug/iIPxlgffjDzV/y+edzcWnf4fOkI+8HeV3MleTFlmzBhd0XObyqljxhjs6M1ZVfedysezMfL2T JcDBA6AEii2Ev4B4GzAvZoODTbmTgyAk9cSUKuufdyoXsfDyrmE5lAPjw+481nNMz887kwtr7xZV B890UMnSnZ6o6MzLC0tVxtdbRmuQ4J3YrFw94NDY5grDZwJZuoqG/atouKHxf/7D2x2tkKLec1lJ iiTZfZ0zjTg/7yyuJo5RgQLJ9oF3V9nUoCdTYHzeSVzMoL4XD9rReTx3V4jW4M6Xh2ElP7la7MMM QXlpmtazeKdw3b3Vd72D761zOYLRK9tHLEnVpqlmxnThUdrQ1f7O4HLUfaI+hw12ta8lMNMufDpY PBf3TQoq7sF9Wzrv9C0uOTaUy1XXWs3T9tQcw0v1ME5PGDuzYzGoUqnhVpzP6bNHNlfMDf10QqBZ BQKwdj4nT9ebRv1ktnJ0wXPQ4oFltEwx+/q8U7eaFO+fxdOZtN+CYYsC505YvDSi0Bqs5gSFQ0Ic 7oxajC+eEOKsUlhWYezWyk9A2U8snkSS3gAwdc0ODwKUiRGAuMQfyjj4jOBeR0k7dUF9ukN9Jqr9 BsNdFU8HcWH/LjczvmvtTk8UNi/AeBEvKGwubZtoFO3WFTY/EogtKjdXUdvkn8HBE/fzazQETkLS 9Uoft7MhACZZJ8egiZ6VxOiY1aKwmXbj5xhk/r7d0JlyJ2ZzlPh00G/P30gxOuPiWzG6SRQ2s9k9 qYjGvdW7Gqeoxo+7t4qVxPp72OwHMzl4NljNJ5eOxtRgswJgHhoUweIZp44ALTdsZkms/4P6l+QC lQxJfe6P0ZxxUvF0wKvY391kFO1yvVFPj4LmgTbKnHd6yrCKGAszVhHbpuvXI7AGBeVONsGMgYPP DjT2M8nvJ+cC3Y3r6wTRWJbns6d4yDx6COLtUblZVXiAY3zg7FRW5vkbn5yiRyFzqt8pKcZ9a/E9 jJgr6APb6u4QzLKtVZfR3k62QTwyQsIeVZs7SzmtCejTHuYxrUBHV0g4IRlBV8PVYRVI47Pc7+Lh AYgPiYo+nJ5CxbGKKJ9r5izuk56yjHJS34LnAw7gBdIRpzqxoXlCa4piFiCOZACLWBeTcsJ/hMfO anWxvkiQxPaKouYpgGqhLiQHTyoaxH1QX86wgYJDaaZfH02niAjYF0onAdYehc2yylg0PdTA46dK 7oPRTMHTwen8C0pXGPRU75X2iAko88UkGQiAESxrh+uj5iwjf4d+TeKPiSIfUZcoqM7BLi/oY59T V8TjAhNSouTPIVRxg+wfgJu96lztVf9qJjdKk57hnI44n/eupsnWzyGPp4Pp+dUMRIYqsKCmJw6b 2QKspry6mikezrnSuoEh9Q9O2NxDOqC4x10xcX+cVs5tDgeaxbsdnQtmlrARQWJ4VgDSGDt/wNnj qwZ3O5P1/8uV3G3iDZlITb7hv7aX1NraD6WobHrCqjMM73Mx7Dc6Uku7C8bm1F+HDEuzrKKHcTMr gdimPjjKeZ7AiZp1uVE7qSFymRDqpRwV6I4XBU4B6B4VnUXrqiQTalBJA/3qaTn7xOMRVuwXi4Cm yfVe7u+BM3C8qIltplWNf9KsbIBpfipZBAnuwjQw7BFUw+ye9v9j7MuyXMmNZP+5ljw6mIe3/4U9 mJk7gqkOz6v+aJRKimQQxOCDDYZXHeP79AE8Qx6P43xclpcRmqIDvJCZHqxh0qsG8yP7Qun4cdD8 nMBqEAZ+foyFx6Ok/RvKgg5Zme2ePhHOGUV4lMSEm8M5JDeMk2FUsw45Ox3EEM5PhNSgKMpCmKyB NQaGzics6qMKJT/BSeYBXqjeQHcGykJMJ2lXfEaEFZNsH0vzHMTRAb2OoW9vG09Hy+dSmFRqRIWE vpOaoLDm3FgJq5Ker0snEYzOamtG8iIJUxMU1ZzVT26iqHNwKC8IJ0vB2IbmQZXOUcYlBaAR7Lis Ydopv9IjrAZ5dudmp8JIlZMeqKVFiiiQ4Wh4OiIke/zDSj7V2olBESM5ip4nywojZ8fSNXNNl0+A YVm83z6iorNqqi+5FypAaLKzK9ipwcaTnDa4tGDu69ZUqfAxouiZzJ6zBKWQ2S5UDADzpKJYxtNB 9Ny/6xrU0u+3pzOi6Bn1rsaITr7XEBlS9ANSNPfXiU+GyUOMuOTMg2eyJs/hllRrbsukM87NnPkl V64cztUFiMbNvbZe9S/Odt8sHXYZzWD5wPFFu2Puzwgrzmt/5V4Zzfd9g8MRQ53ZYGUgCK4/nSJI YqIwGHkEOU8ntEcV52y5F+PnmRiM4ERuxNFRTwOr5+ynLEgZ9xuwqfV2BOdZ8OMzougZ4Fvap3Ei SPtR8AxlSkxUP//3GVHF2R0drSqGuCdPv71GFDujsY9apyF5J6UI2TJdcxmS14KfxD/z1+EzZP41 ZGs3eDpPdGqWqmLnQMsyUmnwt8vsXY3ncp+YnhisQVcH2j5pUGZazegBbTU8HZ09v3DOCDOZUmp2 osgZl865OqbBeAds6Xj0VBYLSCOgFzVPnihwFpO/T1KPOXi9GcFO1do5J2hNavgUgC8LqA6MpP3o YV1jRAVnAjiTKNAoBmCHYHN1mPvh88aZbjz+r36XznHgwC//dkShMwRozubKwl6enKnrwjoZZtMl lmknbJsrCp2XDByXhB7XFXqsUNXqjkios0P+nxOE3QhLvFKucxqwG3zVYHdtQsQmoVAcxEI5x791 u05oOCKU83P28M2wD8btV4wQ5Mxu8qR3CslerKmC4iXKMhVVKVKFysaIQM60QjvP9OKDJ179xMcV SDfCDNd2xUxst8n4Pl99GqLARyinQR0NuH374De7EOEIEfF0EPqs8XWzwyyPKEebnqjkjGIaasES 48dRoek5CcHelpcmIv85PVHJeRr1bV4oOFtvJ+hH3ziRInPulxPPLyqtZyi74KD+QX7pGHCcl/iM KPBht6txljhwek4I07Q5zm2Lp//V7dIPBym07YHzCAPnQXGhfR1BiuuNrHT5k3UavXSEgfM04uT2 4ealHWxctnPgPo3yDrEIOCrpEUU0i+ZnE4c5wshZGSn5ePCDbD+clnMRjLNPdOTuheeDzL1+pxaC NlwQ+Agj5xMwNXSsRaHMeYo4ufLOmqsM32A/fqKyM/4A+EvpDrfsjMgA8rX5rJ5JTgH9mhFAT4Fp r/MO8j6+65/9Uspwa+D5s8/ELvG8UsbTwfxMbyertc7e9OUxzbDwDKTBueIVBzbdumhbnM9Npuaz qdiAC2xGobOh5EsaPnhTZ0LSB7hFtC3gl8IDqHDBA7vRCKAQYIx2FzPEa1T95szdOXB+8hLGlXIU eDqYn/bd1aFKQx5eeJ5R6Kykh9UqlDZgZim0xkR/ofIA6jRBxAE0w8ozU66eSfPi4NdXhus8NOZ4 v0OlkrLPiY6NZ1l1wjaMoWyvGtXlKaO35QkiYgWnp3S1HU7YiKf/F3EWwCNOxuOh8wzVNRCboeH7 Yw4OpkOCak+1A6jRJQjba8YsQSWm1u97DqA+OlJcErgRMNckC1XwErGsfhoL8+KYkv8/48ozFSeG 1EGHTQ8MN00hESJ/eDyan1+VMRy8YLnb/ESxM6CTCGotMd0SO4LDBZWYJa9BCVgqQsV1ZwExn8ET 00JbArveO5JPwhFY66A5OU4fU99FeR2fEZ0+LKjKl6C5L8HZoYsTC5+DgqeDhvvy05knMaokrBxr eqLgGdUm+LAYTn6snI1FUBwnD8clw/rMKHoe3erNwwdfPrA3V8cemdfZW+yuFmp7IqODOKOTLADf wWcEu4tV+S6nz+5On3SyF8m0oe01o+C5NS9skKODKtt8Tp+47ux2TTJohA814RoAJztcY5LCjcM5 gmtYp1igFg5+e51kYMBwUmCflMti05RKfQAuQJHLOV5z61WDw5nq6FP2KNPtUfYYJ2mjYPV5TTz9 z8IYpgeJ8UOhnBFeAyuMutwW/ri29dnqxSmUwLvb8onqzkazUAzNwctiA9JQqPLS1OLckgL7AEqU cahSOtqWz7ZXjeqGLDjnXX0QXgNITMmojYano9RrfK0e2mmsq5c6Q4Ygwvoplax+o0OK7Nd+o0N2 wrB6Qj06Hs5DPeXx9JRhVZtHZ30XJbeWCk0HG611oXGAqMdcmuhnMaOqM2GeUItfPii1GJvEObYU 8HSweLbf7C5IV8hL0exEeA0EaieOdY4ObbZ59sxUTdoH1CIra8wodhbxtg8Gxl22W8LxnoAXrWVZ wyPzIqS1EvMDeQQwMrzozKLYjELnZkBVHs3Dj2aYqjXFdSdHxNMR2Of75hJM9bnZQ3og4sIk3Xg0 lUVcgh9jy9ubygllTi6eUFxDHJ3Eo3mkJy4s7QSCs0hIH+I+FD6FYyPzg/7N0bHAJxSlIyBhQ2XH BuE1EnsPaHnlgqcjOMs3zJl12XVvrhWFzWhWYG8Ng2FSEhYoVVOPkLjGtLxiRXiN4XpiyQdbPQNC YjOJwoQkXod3SYizUW2msrTFhThg8RkR+18qj5SP4CCaDtAshKicXYCnI3rgN9onoyA6blaxwooz jrIpthJbc8WrYmJxN4hrjKqTZ4VRcw/CwnMYl063OSQVkKlg4aOghF7Qhyzz3uuL99aKNel49FA8 UYOKPqDckoVywnM8HSmzlK97i2Ya46pCrShqRof7hA0OUjUHC9IDq5FQMhnEPHpWCNiwftccz9HT uHiAPGhQWwfBqxSI1vLeorbFOZ37vBKqoG43fEZUE8NXQJjpg/bW2pUyS5VimHHQ/J2zy2boXusr pAcSSUflF9TEoNevo2eS1SHLCjZDubdCiPMQiYkE5e4QSUwP0t0OkxyZ5SZ5xBf6uRYl4c+1nvSq UcWZrAjGcxqE1WhldHVL88bT0dHza3qA9SdNS9MTBc0U/F/LdNAhHCE7pjmpFkJee6UsMDdXSA+U 2rdkRTh40DwXiXBJm2sQ+QMFAxT+kLawIWAMnW6vGqFUKZolnHzPBng4N2HfPKURvOHpqF3xi3uL 2sO+FdUVxcwApAGG6Rq8tAegV8VyyT784FYTW6GuhmqFiY1QDk5AGedEWCyOAOpzsi55miNlbvRg RWVCy6fwwsOHRFgW+TDM5YMRLEAepjLLyX/xeIDWWJ5U4EyfOCbbFURfUdQMrCgIEX6z12Y5OwQq rZ9zsl1rlq4wai4S6yvTB0c5n4S2986ka292M4j16VgyaFmARWAVn81m6Yp1NfjFWUzD0KzfdaKK wu5OP/kXHo/urvl1tTO3qc/VHlIE4ZHbJ63NOv0qMnfV+RpNZSBQCHBi8vgJYc6ShBJ/lMNNSlGg b0v7a0s/Et1S3nboVuynIsaS/IriZkU+vel0bn46n0kfRkDJfMPgdP6lmyUa9cUirJggCDEN1rdR UUWT1vhva9vpDNSaAXlXDNYwuYPtw20mt3OddwlnjcX/wIoqZwmBq9As3WvyfNf39aPba2bjrrmu JCxPZAQILiIeD7rt4xuNSc/HfGs+KyYIIqbv3WMfeZojK4Wgpg4gWSJygmI5Z6ULurpruhM0G+gU 7H+gYXF+d1xZ5/CY8AfdE5ZSN/gZQ68aBD8keBUTGEvjKWrsJkXdnfl4tID6V/RDgtlTUt1R6DzI P6t2GCM3Uj4B9HN2sEabJjyyQ4agULy0vtbg++tky9kMTcFgStTCXYWQFIogAm5k88OK845CZ2rJ 4eBJPmh+9kkedT6nwcf/JxZKYvzs0eF+j52lyNBMMB6BEN0fKHjdpmde5y9bS3mH0hpyf8nGEvgK noFYqVWmuLAQTnRwOB8ITR9gPPe06BBtUL1qVJGn7pHALM3BLGi30McCMU3jKwb3V/reX2j15wfs s0O4MxJ33awMD9Xc2ScoLb1bR5lK1JqfOHoW87Z0HzwzPT9qbtPWT0d5GJFSgd9kBrsUmjZ2v9Nx FZ/xR1EsQyHaB6Ve8PPl10P8s/9NEMT/dqxvpPwO+YHKfJK1BHdq5iDUZvPwB2Avm50YraFaKi8F Dl4Tg6ZeW7rdAUVhWLhOtr5Bq5k/0KJzls62V41YOsRpzNZ8UPB8NrCglCdtwdNBRTV9316Etswr WLxDyxOy73cuTiToJgcOSwIjEtAfCe2cHeKchYQiSkmDpxYTgQNoKVQDB4CXaAQKkECXa/frzIl6 Ez4iyCxUMEyj+2AklNyEZTmnPp6OxDVuzUdEb5D/L1hjR7EzoMYnddkqnoKkI07BWbGcJ6prTBft 21HsvK0ZSOJxL/uiEc5dtTvkm1WP75Xc24Vc+0dWlOui5Ie/ahD6VGmKEazR3RkddFg5/Z0PW3g6 uLlK+9padN1KVxNzR2CNRNVnKTfjn5qJR5zE1GTYMmRQjQC3Q0U6pVyD1xIHB/ucNCPRloHtdpQF 6SWIVA0x9Q8AJci7aeza9KoRuZS8ScpPaVAzsDeZREO9DE9HmZefPHyzxbLBnZ4wcK7EAnRHYabq KMz81Jv38OkJwRrsQXTqmGnwVvJoJ58u0uPNJ/nJDAEKI0NUgBAyafUUWs/iM4LAkP7JlSe7BivH N0o1wTxy4Ol/1cTE0jn74BGc3WHBObEIzyCZhq5btZ45Es2FZIHCvk7nn4nOHgTOXU7cHG45foDC VIWjO5Gyus2V5Tqgy/dtVtDYEh8RnT3EqJofQTfP2HXWrXi4Z2vx6YiB8t2sIO0OGbHNTijmXFF8 pbUevVyzIeoAdTNyKcKWZbMTATWmhYP5Dndvjbka0z8Is5wbgF4FXDcF2cTYD9KnNb1qFBWyHG/G ZzXdog+ZNjhtT9a1o3pz3984BEo754v0Yf/8/UMniO3ZGoAnPkyq+pyzlpUwZKX0I5bSfgrDZvZK i9yFyuMuVM61BWvDTUsq8LapDDYhRwpJofNJ9cphbvYr+MHvJSqic/qScAS2FEV64GFDYNIJEvLk 8xEU8/t47mKXXauPFIrSncdaYgMITq6bJSMcQBPU88qi/CDYjWr7KQ6dmXpN9dLnXUSoLzf4blba DJ37K1Pdh9BnWsRmMIOcCTe2vW0ESNAklTsoeF5oD7IXMVrj80F20X/rRzAGz3eS3sNnqN6QSrlN AWlTbID1Md37TE8ByJIlQQrhzsMs8YYPHgOduG2ylQ+2xQJim5FuhyLwSfx+gPtzzAYRq/iQYI54 y9M5HcP5FVlWzTCCGLzIxvko/oEgELoFenV30D19bBtSVIKG5WxLXo1vJOfoKltXCQDACDcdCn0D STShrpENNkmIHc4lJE4laqugoMBSFG0d4oXL42yxZOeaokDaOBfqLHLQZX/iCSnunmXLx6My9PeJ BFwcW7A+R1EoDa4xan3mx0lMBMEJFFYkOKEUhmk6kaJCNIWXzhVMjT4OvpCopwXqCcSPKsQTqGQD vMw5ooAuqF4I2hToxYcEfQypQApAz8EqHSdc4TqC7hufj1jdfqnx6oeWEWFfPklhNbrCJqmSWyA3 P5UVV17InVUto8OUFlJYjmZx8P8GRSdtKrjLDCA+e6OXJRpu52+iSkfuohFPee1T1e09gKMpD23O bBA3BeLaBCAiISOkKSic+joyUeiNq/CZo9gkBW+4rcwBh3K1mucs5vV19jt7CLS5SFFcLRnDNiSp Pq47HI6kk9Ej1UNFumfGqGCfQm6jJcNnmh7kmPayQUk6E0amjk/2jg/4O+JzoljNxyOC5XfJngRC Li2bolB6A/zcOYsjXXhuSqx3Oo4s9b39PIpiazPaEZCsfQHJMkhB59rXXoPa/BADTD7c4EI4EoiM R71skHuYNkkX1qXbuXI+ezPQPqu38fGoafjbQg8UuccrJUXhNSAmjVxwHtl1JLWcoR1jWOjMSNum KBLgqFlWVnn64FM09okeYIWO9KMA4IFVlOGWUiBmWvOjXCeD4BQKPpuPXr2DCveTPBgm7JOPBzvt KSyyNDRYuXuCyFCEA4z9Uk2U/1zy1VR7Wy7FSotUA7U5CmvT0lOtEteq5dlp59ceGwo92Gk06yOc layDE2/S9U+YoJKrv2xQHpIybardB+40FHjZ26qDMWRomtLaPbGTrO7L1eHIod0gWJHI0orxeVZz DSDJ3XCnQUZNUxT4DRpVC5TN6cOTiCyEeJJIKpCIrAJEs/wBUmd3Qo98L3JgOGhqKEnWIhqUxp69 pvYxdMNz6DhIgOgNsxmk1Cs3kUPLwWYm5UuJ7CBsm/K0nWXHDDEOBgNdf+YvOnNnFVCDZugcQmPA HZBlEJRg3XsGPSHqU375nLI/n2PLQfIJnw6iLaLz2WaPO0fl40GMnb8z/QoIUr8itTkwHcRjUIaE 0q+S2el1tDrqsmQ279R9ikIB6GJM1OnDPYtAD2GTtpLxXOiTQcGPvFXFuwiPlexlI16PaAdU5jhD 13GNK5cHSUctJAe+g3Lo+CYVFmld+BRFdWrgF1slp1zHdTZk9Nx12XE98/bjOnQeHBLbWmQVcLAp mmCVTXr2VhTAspB6Z3lBSvf8v0aLZRWq2YbOgfWgIptzBi22yzgIgHdOMoJ8gGDj41Gf/pfmDfgd 8wIUc2g+CM5b3WMIT9bUP2CjPqVsjfoOtyVlaoH74CM12pcPT6Z2Ug3Kg1RYcE65GWVK+9B0r43H 40G3fug/uGSQVujxyUFn0flRyNvGRubjQa91+Vmk/zFqauvGjoEBIeJiIJnYrKR0AAsaOIum1FgL md/z7rS/4R69CTPUHur3OYkhV6p2NLzLBzUBqU2L8tGPPOx0GCV/2QhrJiVf2S6P6j4GE6wr5mm9 8PGgH5R+ATlBBU/jOYxCimHqTJdUtT7ZprXpTyKVi4XX5/K5yygEfDCurkkuF6l9VY5OEIocDLHj qO1cDWDPLdzAlTMH8sT1Mpj2tlFVRFGjpuq25RJxNiw+nhCPzwcn9k34eRxhd7T63GmhRMdCMttL t2R2zG6gD+qWoqlYCnEWmqRIo0OwhrbqHTzhnye5FH4BVjYgm3AdQZKrFhr9bbv2C5VW+SHRpYb7 fiZWRThwq82OYgvvKXvH/0nEZBP78Wy1sH7NBEm680xd6ra+a+6moXSSxpXcaTgsYG+JKEmFolwV irPfyVvsZBuepLPQihsqOI0uDVxrFxnDzmsODQlJ3Yd35B148QO5qObiWZ98PEhCrpsup4PQj3GT kNCSsFKoI3VBzs6SNw/mOcWHGjQSz9OXURhgi+kjdzAOtzl9Ihb6fWVqaA7I1kKfHke2+iHrsb3K 9rJRjwg3/ok5lw+KjVDkatppg49HScjv+mP9VTYKbQmBSzgTk9d/w2NGN2jwmSKJBSX9neA4YlDU ZZjLwW+1DV3xJcfqdmJvwuchjQmVO5xz4+Zpwp/l0JhwUoX0SoxTmoec1cyVDiYPllFgTfjfandA eJZyJcZz6E1I0nwjzYTuKpRLhyLFokE3+7AnDPGKSOhOOLra9xJWWo9vLISz+mDzocLIQhw9KAZB VoDd7e1VI4rI8UOiW032M2n4YCCQUiXkjz/O5yNir9/8alUjUbvklhwbFA6o3pFXhYZIgtgQy4/I Os39M9PpXnMUxtjsp1WKoGrwdbRA404S0j5xO/vWAKJNVtZg/eF6pGfekr1sBJRh5CgHo+UORlhH IEH8UFug8fn/JchupB1d9nwOTAp1q4H3owu/UnrWjiNTEEJHluznpL8TQal1DqU7eB4CMwJ++8wq J6TrMUebnfJz4499K2t0XueHRB19YqQA6eMwlIfME6AyKuwFyWxoU5jr+FpGNLBOj8F36FO42VJP ptVRgTMUIOTENMsAIdTvlD18iKYuIj4LTVQeNBE8eapRFdbMYjvCoRl9WnDaKRZtTWtql+XYqJDL p7bVfBAmBNpojJpzynw82Gi/4LC4939ttBAUgs5sEdUFliujueWKzMOw0Yg3V6YWOhUu6XI1JWzt ujTjap/Q3ycgrTdURdg1kY7LJlXyKtsmf9m/QiOKf9lgU7REHzn3jh6P+BzzKwshLS0/5dnQqhDi 7QDq6bI//ymZykAXJI1GzWyna5+FCnisKPavwUoiCViq0Z1Ff+7ZrVMLgShuVBA6rBNCSGwOvQop 5J6AsvWBMzROkKQ8La/Bx6OKyPcioqRMfeLr0K2Q58Bclu5XyVqKi9hd1T+BlmiLKLb65tQkoho5 eGAE0YGZ5GWd97TDiujAkujH5TO08rB3DWaIU9OSdGCSQ4vgKqI0rfCsjsLrBzUsnBaaxU+2H9oV dlVstiPT+DsKHjKXw0NGrn4S/cN5ZbTqg+NDFhTvzJAPYZfU7Rv0n0/Q90O+naBXqhiFdoWVUieg RfigCYIdKBfASQT4eKRU8YtKX1ngfy6zKLYGA7txKlVUK/lBiHiqjz6qT1AcWzP/SOqBpNsDOeEK 2oiJKpwLHOJNHAAck0DWxPnDH4ZrCOVofkiEPGdRrct6pbv1SptJfbBzW2Y+HlVmf8vcwrP52WWh aSF0ok4Wa1bNDShc/hP0wpWsZfw2JjeQQ9dCtNYJqt4++H0P/GEu0suh4i3vvZMUUu5vcRF507rb u0bYczLFWXjQIBTNSaGa1DzG5ONRZP1LDqaTHHSLIYFvYfl/gMNCxLhbMWSzLAL2AgIOJWiDkpk8 hwLjwvz/llzVGvv7HG4HJKN7lkSK3ii3SXsILYPCa8k7IBk9Nr1s0Nc3RQ+W94crLsEQqEhSoWW9 YwQC/U7QCH1aX1MU4qsLG6IG2YMskokKTdp2CCCycvMpigHWKkmL6LHWLYXMhlMIc4F6UcoThPGT jxPihKmhU4RjsahXmkP/wikQcaEoDAerF4H/y6VxbjY+/69JYhiOYCxdEkyOLQyJdexWqqZpnMrX Sa1ZuvgQEaRJin1YVJSm9jYHn6R+NoWsD85ZgWNKitKbel3nXxM0oHUEgpVeNmIAK4utzQejMcCJ jqdRzXrJaK/9UiVHGNn6PbADG0OpugAWYpL/vVpZZJ9z7zoUw47aTqPYjIU7qIiwWB4i5wYDuwzp 3p7ko0DuuqiHSk+M/GWoAZCvPiUoPHJvti3PrG19343VUKUrsAcfjy61/nXrk9b0Vb8O/AzlNXGC Xi4UvOagSGeV3LdJ38KT0EA0oaGhQDTV3Gj2fHCPlQlgF1sIh7cJVGF7oQUySXZNUmno9rJ/XWqr KYttnsWesET9YxSXM5+PCti/JJj2z75k4BxaGg6ymUQNoqnpKFYvUjTZ6QfV7p0WoUOavFZKHT54 LQQ+Rn1kSjCBoyUEzdnInWrHvH6vj3O2lw0uNUGw8hg+GDpkjC6+GQ+jEHd9CTFFhxjToztFYfGa 2AziDYkOGRSEmxAjGBd4PS5aLXY13AoIqULJ4WZolKGUTgy+DSCZgPR1WsijeJ0uyCgte9lgn2X+ e0ZhGuwwOmcQmQJQPuDz0UZ7Lv5snPIHGxr6GqKS18qmuhmuGTZTUFIDgJDgLDgAuGBDjo0NSXdp czcf7jJCdE3eEY5kGHewwD+p9VNYTr1lx97sZf/kTW85iG5zIDmJX0tsyUIfgo8H0WP+rjqqxZCv Vl4OrQ1J/0CmSmEzMAWo0PAj0ZLGsmMGQr1qjv6uXlfeWBpup+ikbA3uPMAYw050sxJfmJhRP7hc Jfe1/W2Dm5/52dhEq43tQSrqjuSO4MSefD64+aeDaJTVAS+yrhxuDh0Ou4DiXsCeHjjO4c5RsBB1 AmwOLA4RQkgTJTcfbCWdYOmczwjxkMr2ei5bbkia29Dsu10CLHG3/JDgyDZoCCepXm2UjmIas/0T mPHxaLPdEJKLpvHqvzFkaHJIrsOZYIOIzN2M61CkikuISDcH4xyaHJIff5JYhpAcLhWE6kOScFiQ UFNVjb51iKlqv6naUHQUuBxKuBVzw2Cbg7JZkMz5pc/i5+PBpfZLVzA32cDeGYqibIDFUOF3qlWy uuOCNbxBRMhisSkKo2xJBleBaOuX5QYCLtm6IYZHH54FEdo3ISijaYuuNZY6+SF/4oxo0HAGBFTl R8hQERlHKovPR920e2bj7VjArJdJnQOzQxUawNkQwxxKIKYPt6qpg5zDbW/Hqsduh2KiNa2j9qyj kssJFrME4qAaMXm8Q5Qahwo+8xZFKC+YA7dDVFcZFVGyXoPqatuwEGWMzcf/F7EL/pzjwWIFfoew WyWpiC4kVKoc6n2cmO86A7TsEmg5NjyUNQkJzxrsyOb9Mwu7r8DmNPHwKdFUspCeV567bXvZqLdv EJE7qCiyxpCJ88kA+Xiwiu4UiVqMjuelXeXQ85CV41m2BZCTHSrpXcztDceGtoQWUQzB5v5hZ0GD p2o8g6BSk1EtHOw9npWEOldF3Dep2KIAku4JObQ9ZEHhhEUMsTnw5u+wQBRMPVU+HlRn0/cqIvbi K+UPjA/PEZhpC9lcxpOaScxm2+3JTjqGaYpCZqMMtmiSoeFms1CpcpR6gxsHY+zOVuyZWGCEXM5K bIfA+hAZO/uNsuBo14IDujUSUmx78fEgmb3VR8bY0NzqEkXTHIVqehl2xtMa+vCCU0Vtp0kI9iLd YTv8IbA/PMtxEoa1qAvCwc4iWBrV0cgdPhn9SvJIKlS1H0A374dbRGfjHBggolMkhAjdbjjo2ofa sqEfNh8PltFv71Vk1enutM9rYNQzg4WTfIzsgZHBi04Y0H2jofOvwOg1LOrOPB86kDhYDyTvEzEW Oh1C6Zem6j/Us6RBI/43YA+Y0jve9DUo6hBjZFV2KtWfluqf2G8TwAouFR5+mZsOgr3WT5UMflOJ jv/i/CLB1BCc3qgH8R/6Y1NdBlwZgpN4kW0pfmHxBFMjSZlRZFNSrtbgSY43AAGJxaKCuiN3YCF9 H9IzKBjhZ3yg6a9Tg8ovpWQG7z8OKjlO9JqUeZyY+nVqENMsmxrh9Rg2EguKuXmNhDq04tDIZ8UU jXxiM+lOUiuFTwcb+dtEYF/jICyboUosi46jXTmrdiYHWtZF7nVQfBxi7TMyEofTCyDQiMaLvi8b 4WU2Sy0auGywUbOS+90+ryFQT7fOWI3VgIyVWl+Ymtf4p5vvz5yu4LlSNYHTkbqfy/RQk9f869Tg dMeJMyqDIA4XTAR/iU115ZOUlbHpTFZpmwBgbSu3NsQWxuc1+Om37iE9q3PhAknOLQUqCZv35+z5 vMY+XUzIZ27IpkuMfWjTGc0NCE6Ddwz7ZdmK0/PEd1WNe8rVM35+DXu6i8gMQmk0OHoYnDygPlij 7hBJKSpjAyCDKl+pd0fhXv28Bj3dj+JKqycNSlOhMCKaZz+x82vMg6fL+j5tEMCW4lPzGvHgB28A gO9tCjJlDytOS3aNNI8GVLDMRIO5EZ2q73kHT746uHhUeW1Aj9REmHpGIQUBIxzQ7aIqiSXF12in WxcldRlvmMEY6hznSFdVufT9eY11+uPXolVDvXtRqWhD8Do1gIeiU0/lK5Q4yP7iYTO0VlDi6OT+ 0A8gnBoeNko6OfgdfiLxs/zKVKHshCLqQlOAEXJ/AE94tEwD7NcopxtxAcWM7oOinFUI4jk5VB6f 1xgHJ5WVNqosehub9Mum5jXAwTmM3GQOWyEnT7TW2OxuZQy6JEo7FMSNzmEGLWPkO/g5rFL94PU9 kZya4BhO9oF89IvVAfz15zW46S6N0qqUGuv1iOqmU1NQhX4Nbfh++fscxs8vHxJqqAZTQ3+4uda6 vflsZw111ky6YViy/lo6xF6mNsEAc9UGXzXgB01QNuBveNYJcWIIvsFC5tula4+5OyW9grkhSDux 2WGDFcVGlWJVoWBnMDl99f+6pEzsFZPzWjXsgtw0rWaKlBejlq9WmnGlM1Fdkv0IYxuGxTI37I+5 4UkWzkZftPo4scGAtj3rqsD8V1xQmU1ic0DqetPgKCZuocuipbtFC1iz27x5oeYVXlI3uBFsmsvW j+J3PlmX80PrFGABeqo7pXWzv8DZodGZU+6i6aHW6yDTS4OvHQh/AF7O0C/3LXxVXnTOqcn6hKZr kfWqwb4aau+U7AOnZ6DIIzZZ49PBxlq+sfhm4LlS0VTTEwXGuOehx5ENMF2LKhkrFfc/OtH1mA4q j6aH2dRQVsnB218ZJB165DVI9W8d3USSI4tAQTU5uKxXcROiI5nUlkkLCg1aPhBMIj36bN7PO5UM Z/K+6weTOY3T5hP0Hh1D5QET1E11gNGG8ImrT0e9tFGWo16CCSKZ8gR/LBOOrzLhwM3Qt9TgZkMR W61ofEuIVKIWZLtraX6i+LhL0CKzFc9BtYs201J5BxWw9wAZ/kB+Z4lqjhLKDQLfaWQ4mRFqbJ3H mB5aeWB69pzehx+wALPWV3A0A3rO2Lj7YNMDkfJzsTTjtrhKpxqtWLyNMg1KOnmhv5PIkAGo7LVk bbj82poQtWVp50R3n3cOGY6f8R0jN9wFSF5set6DZJT1Mgx2hqBkEBRpOn2KFKuw/mu9LLv3MDlb Q2dlQqU52OF8ghEApUXZAFeNeJ+znjJRYLo6aJYCAwnVLMLTh+r2dF7XoOxqZQOVLfTfozhZzC58 GnZlZpEOqmI2P1GkzPmhMzLDQeiKqCtYKsNjYsogaKT2+3uo7Ji7IQOt8RhonW/eSqHnVVaisGXB SkEI5uuPyocVmN9jZei2oN6ZKNusgZn52WdNWsonFPq8c8e6jNS+UqzMMJglHc5PGC430etMLGax BcMElPrWar636gX4KF4mkGlNNjw1+PaCMQL80ik7gGOZxzPkmX4yXQFRIPS7fehV30+fRjRiTSpJ p22X+4TvL+bnJDz7804b60/5Hf8ts4lMn3Wfnyhmpi/uif8fLVNXW6Sej9QWcdpqf0VBc1f+mVrz 4aI3SjuRITbSud2hLsikjMl5wY8x5m0qD2oFv5PGuiugDBoyaNDxAy1s5ZZ9ft45Y12gyWd/Fbxv Wx4ZvlPGsHzYvymWSJxQvZiSu9Hq2b+pruT+zhhjPsuS4NQlP2/JFNL20OrsKitneGPIoha/HFXM 6tUT7Mwp3vli3XsTg2Z5Gux0pj88LiTow0SB807fgTNHCohqeqLIGRqpqHfppGlCwbLy1SnQKeNZ rGuunjB0Zsw8pCc4Hj3BE8GdsH90+qYn6LNmKwuixXIy9x/UABy2IV5mFDrDR69kWS1q8LqgtW42 +shR7Jxr+ooNO22Lkx8+70wx3u20mzUdjzqRP5O90in7LI8N8MZVGAxDZzWRl1jQKz91jEqsgNS8 TqIxpIVfoMpUE1Wc80NeKXrV4HCmc40Uw9JVDDsx/qCGFMrS+fPOEmNwv7/vdlyRO3tk+E4Sw6Si +jRrm5aUkoqO0KdVwuvgPMtjH4vnnSLGoE1Hzxw+OJ6lAzKOP8qjp/cmGeUG1hMwXkREO42u6E3/ rLcn1pY5cHZgxyWgxok7P+/8MBzsxWenFSsPlnRDn3d+GFYsrrjiFOfzT5ZPAF1h7PmzPRw39k4P YwHu4uh/wemRco22qWXacHOdW4wtLajaYTlhie+noDH1rlHsgw+n5rwNateUE8SygnM28+edHMbp /VUIo8lH8dDnnRuG3TVZCcvigTV6DDPzmtPpvOdK6Rb6vFPDukSK0acp3Qdv15wYDgunCniYAPbh +qG4AELNtorDWDo7x+/UMHwIC4QLspU2KDasTA0R+2Q+HWXu7Wt+IMyS1y35vBPDkM8W5hNXJ0+0 eXSzZjdvutQR2Gh/xRXm9Hp3nQNmd/R1k+6uvVMSUBpERLwb2M+XyZv0qn/3s6hmysFwmZusSGbu 5fPOC8P1ur8zd1yZ5tLC+YlCZ/1yPBcFE882P4PFSmZeBGJpfqIqs50/lZLBHHx/bQTPU5dXBmyM iWlBrF3R9VW9Mj82Ce+kMIbnksmRpHLx3wAUujrkYjPX550U1h/dBdtfDBRu4eedE+bnT6rVjufd nDYH1zSbH3C8bH7eQ2dUZTkx4llw8J7fmZ+TE2RVNhLgn4XADEbMg8qgT+Fn6VWDoqGOHwJpNBhq dSpLhd3A550Rhi+afXqIfEb8TdKTpieKnEHBOJlpseN5ZMOsIg8ypjwyJxNceOeDMarFvCwakWnw siEEbUYnpvAkqVAKUgUNlQ520ssjbdKXXjWaHvIvqGqhwbZXHVkuAA3z8x4644Rs38EPxBf2vMFP VHJGFIAClZkgpW7AgwURM3P5OQdgseP5PXT2bvpU4MfB5mdVmthMXu9DTi0EbSApRd2wfoFW2OB6 p4P1bCT5szizD4oNa5b+LlWo3tlgeHp9F+QpcAhHR5ueKHTW6SPvEfyO08y0Jo3S7PShxT1313vo rPwfFQ1CMVd+QOE99wmRKNJ4gL4pgrbQMh1UUp4+djojRPu8U8G68V4TStg+cHpO9la7dLfy+rwz wRBZDi8bkgmGPKPcVs47EQyrh7o9AmCwhWx2LSdwXsXyUnkbsXEchs68tWbnycvBL/ez+HY3yi4s 0kjjOscZzkU2H+gwZoovRa8adNWnmn/84TlwemYqgmKCyPF5p4F18UG/Ei8Zbnte+s4C66YdQDFo NkjhQ6jDJyVKutNig2JInJ6w6jysHrZ98MMH+MREMyi0XdqiONL5wU9SQSBANt43zh5702h2mJbS fDNd802w7utWnfSkPu8MsC6s/dfNXvhynpa+E8CweDpcZ5fZsiBy1tF80sZ6VQKbE8De+V/dbVUn hYk12Ox01GeSWYLDtExygtpbbbBT6ouHzt6fd/YXy9qEZCwy4jlo8ZxoTbIKZ34/79wva81/B84I Neadnihw7ihCrl6q5V1EDeDoGeqYEr0w3b/mnfnVnYa6ZDC2HoMxtNZxYTfiwM5x0Te7gWdr4bui j/Y4YzK7/LwTv9in3spHeQKhW8Vvtc8h2fnzw/L38877wuzeoipvOZyi695c77Qv1gzTRVniFGrm enTOIHqIApgBCx42LN5JX/htWAubpIdp8OlJZ09NxoW4wTZQqezCQ+F+Q/3hFnyKXjPqIkuFi96q HCznKtrIaMl+3uleXaDxB5cBhjCMvH1q3mNmBVqtjKLSeyvbiqhrJBO+z7mzFMmlE5WbE01VZ1I5 NT3l1DMJEG8bkgk4J4zaqY3gCOhrzK9mFzXc37leWOVMuc5lnn3QpQ4wlXRaev28M724cr7RThCM PDHPvbWikLk3QjKqVeNrGYJpoApXLCUFFUkxzzvT6+mVStFlPIou6I82mJ52OWcN1iMh4oZCU8VS lOyN+IJ9612jghhNZylPq8GagUVkYIh+fN6JXlwI3zEhIaHlBj3vPC/GhERomHzr+adut3ov61ab n1s9rjZzw1DAFwN/WLG7Typ4/gCr8efaXeQroyoPyiDdh2mfo5SdxNx3mpcV1MF8XxpoCa2bay9q uJ//pn/eWV6MKf1oprUhTgFagmmCwqAZ3kPmeI3i1JhOzWndc9KU3NP5nePFfJG3tSZo5nTpAkRm JEBkUFBN0JckYC7R2vD870Z9JIAZFb5TvFjyIYilEaHbALUg8vj8eUSbWBdn0/H5YIft+XUAEZMo +1lOUBQ2o4vYSjf16HPPFFFyFxStlHXhbrei2DvBCy+vao/saTg4GgEQQvhDsahRwKMgB4xKyFC0 WOnKcKAMzM8I+jlql06GzRx4Pmc0exm5nM/9vJO7+Ia3Jsa5YIVk+931zu2yPvAJB81H7ARC7BwX eK9V1ebhsgHAKObnndp17/bJUqUGw8EvOBevqay0gleRVNQgYq5RZtgXUCfG+53Z1V2TdJJFhqEb eHKctELemOee/Lwzu341vMTpxj8TlMr5CeEaqALsqsiY2CQxBM9ro2guXwQ0LxpK8u+8Li5+wVjU bi9P7HM2FZSeBWQ+f74rNCx0wQCyvNM80MC6Ra/61wb773Y7vB+7ml8nyRufd1qXFRa+TmicX88N 9s7qwqJdjHguYFcePxDc6vtyTRZPaMxPVHRuEt6YK/ngFzyUqqoRKAG1zyx85ETN6PP7beqhSjwa 0dbnndPFngwhuxQO1qCiPEI8ZRZjfd4ZXf+nqAH9o9xuw+udz9Xl+AaUgLumz2Wm8kkezzJocW/e dzrX3V5D3qHj8Q5F/x5ydl085QFcThaYJUO4GbCQqwcwQIr4vNO5CL3m8iF7V4Pwl2inMRo+p9Dn nc31f0rO9FXItyb2TuZiF7FArmJq9TRtDKSlRV0eJLcNVHeGh+9crtsPnI1Lg4NDMCFDsjdp5XAa YTIIQpy6KWiwdM9L2Xb7vFO5ukSOkJCm5IOKGgl4GR4oJ3p+Z3JZPf9JLOgWlC8Y4Z3IZSEXvv6U 8fUGApxgjcovwLw00XKRmyuMnq0kRqTKzFe6pW3UBSuOFSRecDiXugtUpihJkt3XOVMe4vPO4mLW To0tdks18O468eaUXnTf4/NO4uqSpLPFw0AHtWHmG5qeEKvBrV/pWcPS5mgW/IziBWf6E2n1hNGz 7i4aiGvwosY+q5o/dVZ2RakMpC5JnNsvsQ3d7e8ULjZFpI7E+Wn9mhvmnVSzOSfc553BxZPLVw9W GsP8B+rzzt/qkqkEEXnb9JScPW23tBTBc7K0/Z2+9Z22Fx9sek64fNYPhLQo8JuytVQHhUiZtj9H T9ebBkUNpqSddvQatHpQEOfJei6wzzt3i0io78y0M2mv92KPImfQOxrQ/ALxVveCWvT/Eh15sjbM 2QkhzpQRpXaZDffoObd26yoYnlO5LRUMCX4gpwuleNP4A5gWnxHg6Mj/G7rYh1/sE0AtQ+GuiqeD wLDX73udoXy7iyeMmyFlg5qeNZPHNOOMQlVLxs01OYq3ReXmJJhhk6x/e4oakOKeheU69tTo4wzv PuDiodtKGz0riS171YBTwVQgsfCvQXur9yGc2E58+l/NdibuWImAYRjfJAybM/dWcSjCqAZS7Y80 Gy5RI5y8h82mb7mWeqXrC+fDVtSYMsbEBSb07ECfqRS6dz5hM0tiPeT+LfmkqVearVd6/nTdSitL r3g6AvHur4uL2iy1ebm5R1EzgFonx29OH6jLDDOKCs/QGJVgROdfCbJSSh/OpIpYehYPyDnAr3Bv dfKVeK1DhjqfzQ9rt6sXQQhvj8rNkN8gp+IOnB0gQYZcVU9O0aOYOZX5tbfAFt0XwdvDkLmSZ3Y9 aWqzlPSkkV6LL6R6IibsUbXZYsIi3kl51DRSO6/emdsAenJ+f6akdFNgppdzv4uHcR4+JAgKiVFt Q157g/HAj1qBvZM8Nk74gOcDEuD83l0ZwQAPFZuikAYIX0NCNVgXK4y0URdbYyWHamTK+GJ7RWGz 0olhhxArjpqiBeUxSd63DBOXTGbtScBYyW1y9Lj6PkXvGoQ+PJ038TIaePy0XFUXA6oST0csSS/7 MAic/Od7/ERUQBAcobVOHiTSCgACz6zMAWAAs/ZEMHnjEgrjZs2Pddwf2RoA807gQC1v0Gv7Nldn IJorwFb8B6s609WoR1VnhYS9EyjWkegOZl0o3dJs5XwPPB1cXuMb6YMUtdKcV9MTxs2IOkzxGa0L sqvYDCzVe6U8Nhk395APSG+MUUv2watiA6JcNPpByp6mEhCoWyOmwPkz/fxBQsZXDZJ2szAk04KD qqrnTZmgQkkAT0fba3xnXWgnyeyR0xNWnRcqYYNcY/qbobKG3ZXYgyHQR9YvnJ44bi5C0DUfvu72 hmBIBKYElRpCKqgA06HOeTWPBhWge1R0rooMq3BiNbs5BkojXZStMfH4vygWutw7Qva7u94DZ4B4 wWCi3AIZTARznlk5r41GFDkEWECyMOxR4LyFv5hU0eDgy+dco2dDLRVVZ5tLRVWmF5TinOkBGia9 ajA/kj1YTNrncl8y6ANVtgGg54bHg6y9fW8v4tXmZej0COQMDAF9qnjmINA1IXrcNuL2w/e82ukc ITUs75Ki6GwEzlRe7xOOqgjkQLc9y6dQ8gfY+fN9EHlRF2I6S7viMyKgGLFLvCo0cHudNQmYWqK5 FZ4Ols+qX5lFJqOZAbTmJ4yd2c2dXfiVE+MjjPwPfM7mdImaUinUj/mJas5qJw/ZX3Pw/dWRJFXp QlTIgAhoSGMx6HUQpK9+aaf8So+gGuwcwQNr+qDL62TQwnmN1PB0tHzyV17KLVHWrcmPKHiGYF5V 4kvxlWxOPXMuozUBq+HqfSOqOROFs0wUg8NNvfJJQjOVsTcaneSxnaAI5xw9mPGLW02VfhgjCp4l Gt4mhUTbNCHRfZJMAfdAgMDTQfA8vCnITgdR4MuDnxEFz2iqA6EhTOpJjHgoQz4SLk1UyASeKik+ HGHJeRohOflwezp0vhSBsqJ8yLIGBfOQhfxQ5NBTr61XjUqq+OypyurMLmnczvI0z+q58XTUUv5F 0UGmsW9sOEKYM5RFCrEL4vr3bQymjBSSLeVCDxgS2qOKc1bqJVertXizDJ4+6cQ+lf16qNKcKHdZ +QxVKWSltyMI3PL4jCh4Hkwq9BGU57WGBVye2PLs5/8+I6o4S1HnYlkwWXl66jWi0LnxxyNbSgoa xRU0WEvh5Z6ptsTVE4XOSx1B6URMGcBxehpO9kkCE7yRxqzKTKnjCoXyx4cPYirjM0KsBiE+1WhM zdjh8wRXS6HLSS0+Iyw419vOwTkMvHlpF+Q8osiZntxTIHnSPMs0/lKZapjuTZQXj54ocBandkqb n4PtrXPnz1zQA2RkCBiaWK8If4kggsaRnz3LXjU6exgya5o6r2p80wUbbtZEYUSCx//Z71L3ECjw e3mNMHaGyXUpXRcVRIeMRdDlW4TTp9Ebh9srip11JQ3ZN4/Hvrmes6etyv4JZqs3WT7CphhIfpQ3 rnUaCyL4jGB/UZ1vyM5xSLoa5VEoRTCYODECno5On+/Yh+O4HYsRgpzPtdqKhBFA8Eosqu6fc7uY CH2Gw00SYmNEIOeyVS/M3QdfQKOf+JBCOWCJj8YEQeIJmXgxymFLoYYg8BEKauBwztTTtUGHMxQX ENq13QeejmKf8hX70MNpXpzhCAEbhZIWSV2uc05UD314FzIxBf3fpicKnacIXmSJLLPpyLy7zg99 QhNJhu0xl5QyoYyN0CeffXyPHyow4DP+Cn16MRdHc0VYcCtT0XitjacjdvI33ofqufK54vSEkTN9 XYiv4OmzWzU8y6rJuqWJjDweznHkrDXRhw++uYCSX0vs0vPPiWk3rC+SFcWJZ9H87G7vGoTOpt+z eEqnEyPwzID1TZLZ2TgbEM8HqXsbXwuoUXps3vM5rjtP8MHM+LPJbxzHT5HwCCM48ZNx/MR1Z7nH y8apj3v8nCg5Qa0SKHC0dKoEAjK1DqfQtN3r8pDy4rtGHVMdPES0cDCYPCm+P9ToyHj8f6ltCLF2 WUwzrDxPyqnh9gNgo+0u4iS0kl3PBywbJRczCp4tuZD+1dgXUdfOidxHZ+f/pFmoCCQJZSIexyHd qGAoxBgdL2YI2KBTAbjNPvB8hm0F66xA++PpaP1ceYTKaw/WRNvvrxlFz6QwbooGozS2Cf/FBb8g AVt5AnWUJStOoBnWnkXP2RKg24+b9UZpY+zBLYa4h3JXi6YwFbKrYIRdgnLRq0bJKcUKV76D5mft osrouUfw9P9yAjHW7BerOqPo+RyftGIxr4vdzKJgznw1jzoTOeyvGZMEOT1D/eLxuIGcDQYipeHk R++Tywf3PiDykHEal2JK/v8Ma89djqg6h/LFs0DdcSl5n3w8mp9ftQ3ESfQX1PxE4TMNMtdOyeZn W256fp3pgLq+3IJ4/qPyvMVv35ffDm0fFJ+75udcZE2QF2rUg9BEfwQT4EVsis/46/jplGLQIDzL hig7vvIJrfB00HOfv6DgWIr9pu4zCp/hXXSCkiruyQlOcjMeQa3GMS2VQR+XTxQ/u2yNpmc89P8G AfDBJsqZnhMI2QXGGUeCOsqjmYWwG58R7C7CxUaTLWrbyU/nUaQl0dD4mlH43H6Vxjo17Yd3lWcY Pc9GGfkm2cuchtoVi59piA0osOp0jhAbxqGsqiCK+GB4Z/BKhynvAi9MmZuyEQrDTQzgFyd5za1X DU5nAnmXqTjPdcWPGtlP58cdA09HFFy/3TEVBOOB9mPTEyE2BpUn80we//Tk8U/23UVJKy2fqPJs HC/Ji3G4t3uae5DweiLpXQZhmGBSFrjGASL4eFdTbX9G0TMh5yiJLR/UVU65Cu581hSejpKvW5jn q+GXhkmLzU8UPqPTj6DZk/c8nGLq7kQ0jTN1jRlK0hUhWur2weeHDpaZ2nO7Q6dIrTHSF3uGl+i1 aqKrxQwLzySlN/ZGNIhMkJeCLZyhePpfcCjXpCu4UWx2IsgGUqFGpykePlWx4fw5X7GZpV4e+BY6 m6PoWeqg5xzePtzUdLWyG20IdgIGc1hbmfpXE55ot+7MwtiMgufGhsWszyAywTrrU4ZxueHpCO/z q+5MqOq80xMzBIEW20tcbagHe+ZOd2OePYCh2NkTimuwWzyXUtP1lZqel0dESx7K+XkBDcT00HIW IcMXTccin1iXDsFckoczB22uQitedL1ywdP/igyda/FVdV5R4Jzw9yYdfwTFTCpxzCZpfYlrFPND WRFkw0KeotyrfOVeJ0IuXT5oUK3sWUqY0EIvshvPNzCc9qp/sf9bn9UHbS7ovDGZarXi6Ui55hdT B1fJKH40r7DqjMT5KYyBdq6jpzIYRYaBIo1OnhWGzWp2jdx98KLz2T+VnDjgfebc3FTokqPsg1N6 3oudYuz4jEiVjnEhHec1WNknS8waZSU8/S+0mIrOWDcXiblCyWb61afpDEHyfInZmKYHgOavy0Kt ELNBos5Zv4UDudSN7Nt0Lt5NMinCwhOLM8DuSMIztKz7vDKqIG83fEZUFZOemMjbvbln7jkYkllW DTwdRc3fsmIyG7pZxQoZggWCGlLdBZ8g2d5asz6yR9AD1N4KYc7yGBSHazySmGCanKhgSnWtQVaJ AVIDDIvc+5Lac68nvepfqmubuD0NwhrCto1Hz1kgePp/OXoo9wgyiE1PrNyMyxzctyzu9hai92yD 7XANOEvqZF4xQ5C7yrpZudyw5+zbfd4scfXgv8lqCFJDATUmEFydpYMTBJ8RVMWmSATlDmp4bfMN RfEHT/+z5sxjeJKhfMVmo6g5oSE4ZXQKWH8z15O5ZWJBEoE0ihP/TLR8pHskAZv1zM+ZWhyZjJoh /rUk75dQh5cyHaI9rZ+SaDC0IsCGyO2N2BsNSisg0yFLuNEHHo/wPj5DpHkhBnmEoVYUNy8oxqdh VG2wZZV2QYk9WVNnje6ncxg36+6CyrwNjvc581yogAmWxUliMnteBcFRRSwDKoEVfTY7piuU1iBr DrhvDdOaXv38lqzjnq/b8HhEb/9GtBR8TH3u9ihuprdQp3ckERujy+tss2ZdoB1RCmmzPH9CqLOk nOUCO75cYM8djNhNbh4bqnQ8yKu2IhoW+ymKsSq/osA5SVuDXHsNPH/OX91VsmuZb/i+wVb2yJA3 XZWjr09PiHVeWD6zCMLbkjR+Josa2wAJCyQdLZ+w7MxF0WVU0R+jirON0EgfUq6BbA3juxM6SsNl E0p9m6a0614x2Bnzs4pchcpF/JzsFppzbN5XPB603Od326Kx91Hv+olC50VEAvtxDH7IJ2PTtFY3 666QGdIExZLOrOV42vDcX4NeFQtxRoOfXGVsOKEHhhLu+tn0fFT0M4ZeNerqkL7U9/DByhonIBfL b2c+Htzv+zs4BE+Hxj6anx3FzqjQABK4HVBnORj6O64AoKYVFa9DlqBSLiHG5oMYg9se9PGGyhrQ eRwq+wB+AQtDtON9flh03lHszHQgQbrWB80P5F4E2UiDjwdlsfqta8i+yb7h4X4PnqWG17qknJGZ zqTgeWdvxINF6WbvO5bX4PrZxhSoj91JQX5M4CxEnc9hQcgGNW0r9Jmo78PwEDAVvWqQuDdpgbPs w8H21zm3Ng+Q1viKwf2Vfkln5SFxGJufEPKMqnOp1suBJUlR1/Qs1mFN5UJ/Bc5PHD4XdSu6D46I Qim4ZamGwltbS6yxBsyWbXJIS6HmDT4jKovRZYtqABqUe83ShdhBALRDlmD63l6DdqZ3d4UkQXYF 63QzKldMn50iGWK5UyCWsxNDNuQjtO7gNecBd1+6fsKGugivAwj0+V9izeHccqYOq2I7ip63gOAQ 5bBB0fPZlFo855XxdFBTzd88JvID57O5QrAz9Bena6xVgm0l+yhxZ5AJ9jB5jR1zBCUWKlnwUW9D 52yu3UgBpxsu0J5cOxlL5CRmPwzezUko6UX/EjVslo8UR4LDB08CGScIxdMRHuEXEhxpSrv9ih2K 0p2d2mouInkBsm2ia0M21GgHNl7BXDsxXIMZKX2zNfjVDgAUHU1R1egoBtCbmqa2AFwBU+n9CuqP 7KjgLKPAweq3BttZU5ZmJ0BfeDrC+3zT/ycpMFeueEdwDXSvweqQouoJB5aXDJuprJ49fqGGO9Sk k851nd0HP3jOfjk31iRUFY2PpIuL5tjoGgJSQlnXTUoBXzXgERCPsDel+zioGzj2ZPfxHPwFT0eZ 16+iD9bSeqYnjJsJ1irbiz4UOme9uc6rrwGJEE1PDNdgRbXUO9j0oBO1W5kC+wzIO7Pqw7gMdSWd PJPnMiUfdwTXGEkQValIDOP4LujUbjOQHHg6SCuuqJiIOv3Xtf5XwRn15mvqmjRRcyTCNeSCwtXT +Wf+FBUjOE6Dh4UFGu9ojEtUDDZpuPkr/hSQEPt2KygBio+Ijh42AZM43O60Ap7UstlZfDqoalwx Z3baG//3HjTvUMw5IRVtXccMfAkVPp8ssxoHDtosPjsRVGNKLkvm0VP+sbq2yplx+qAhKISVH6Gs jZ0ZXFdjP1if1vSqQU1sCB9P8jYHK/os6fyUcZKuHdWbH8k1nlk4bEEAsPmRnMzrdbehqFHM+a51 QqqAMEzUzWdWCpFq09pPYdgsiXgdQhw0Q4USmLMTbYjWR4WkzkkrkBc2cEnQSXK0DxsW+JCARcmq 6qQUyhkQq2JmNuQNGUqcO2Dy+eh8/tUvFcNs3TkKhekKeRlIamjn2gl7BhYcZZHKysbYdOBO+jvR HmPRpyn1ak/qBSP3PiZFMGEccm76wiCOFUPUksAQdzbc2Pa2ESRBWfvqPih4Xugls5h7MiU+HxHd vxs7TcHU46gTWqJQZCPb1U7Ko9XH6pVBahSU1SSFmGdhCavqq/WZJNScNykJ0IkCeJ6Z+zmewPc6 U4vr3lEby182mCNWNoSBxOoeP93YASd4ZHcGBvT8A0EgVMrXSmrYaPNrt0U1aAgptqzKc+M/LWMV UPJWxii9X9+hSN95MvzrWfZM+YrLVyQYULIhtrdTWYtVRBwhlRKV5fG2WPJ0TVEgzVTm3B3qny63 HsrtrCQeuOcX5eMRqfs7TUUSVUCn8zmKQmnUZc5uYNEZVeGdt6n9TBeUKPS5kz1BiirRI6tQL5Dv 7rdQVjjvS/iEAUkJXvjEGiKA+YHFhRWCNjV6iZ57/5At4PNoPlil49wAXFJQkebzEbM73VsNR23r Pz3fLnNOYTW6sVpGD4vF3ZZYVly0QSyslkGPUvQvCpT+UU2cRY3U8vTCWp8bGNlq+VielAWqWLuw jfshgcjIp7z3icELIjjWyfozqF5/MlcRqpCQ4fH3OarpOyNDf69w+9kUxS4pBDeuZb1Unu9S/Slu VJB7u+soiqsJ8cJJlHy4MGhgvNiKP+vo5E+kSxkBFTw+IjRNEnJMe9lIE1IGKWZLaQ5x56ZBtI4v eKafj/+Lwizt2o62z3OxheobiT7lo3lobfkG0lcnOeXHpymFNWmZmCZJ2KXxYDmgIN1wNaBmv3tL U9JsKJcxIq8OBSqQONfL/smCZ70Wg6S1NpBHlBc5iU3j41HTcHyvInTx6nxOoyi8XgxTdpFaJkwc VDU7h2wys1dIcHSfokiDo2bdZ0KT1QdsV89h2pMz4UFMZaxJkQmccz81P+p1cglOsV2KIux5B6vb ry1xrNEmHw82WrtoTaernOTrmaOwMt0JVDMPtFbcy/3kUGb8lTMsc3yOwtK0JCKTpEPTo9yLgBrF oqr4CB1nzhFNapCTNkbZVaWzYi8bidOSCg9BAxu4006eQzLkmWqGkKFpyr3UuKnQpXow9Tk0HAQZ 52RpXpwerJvy4s/34k9UEOMUBY6DKHLroJaKb0l3GaH1eRLZSWTHbux1MBJHoRtu85NiZOnxvciB 5SDWqsIidoE4GPJFKieSDs+h5yCv6S9CM9bxZWXk0HQQUdgJ4wjk4IrvrlA7KXqcocdBtYWuPxMx mlm/HzxoONgMnUmRqaRgiVOFe3BsgbNH8+DL6pT9+RybDsqkPBHGwEHwjrK3sog5Kh8PQuz8C1qG 9Y78yWcoDLELd1f1Olrpqtsj3yqWzFbCzzVFoQa06QEp19831z9fZMCnRsjWjmQtqY2G6gq+WXuI c5Tr44dEPSASDxJr+IOOGpqiSg3Xc1zXwceDfPZXD6ggK31ADDlwHoTfpeB3247rWXXVL9GtdFw3 6k9wn0UB9rBVpJka5fKaz1ycS20NM7caMis/QQ0WJFssFI1UnZpd6Bx4DyKwgcRa4sWmQQC8tpTN Ip3i48Glf6mprEyirDKf+Dp0H1yAE6PmonIj8AEOZGjmQ536doRiDuwHzzbXjUZCtwYPHU/i2jKV e2H9OCet6M6iQ2Vo0KB6PC4PuvVDA8KlHhA4WjaopAYXJwkn0YE0iq/LL20XWEiyyOBzFElCwwEE lvTSAaJqs86ilXqzohq8hXynheG1VdX68uG2O3AHZDlYJjjo8riuAqUVgLEfEDC1XXLgQehYsy5y TvfK5omuDH5zju3Cx6N20PdxDVROTvM5jEKSIdrCaZl1JQyG3QojpQv3gK+GLaO/cdKNxUENnsoC HN1B8jyraUC/ZLEfjSCIWjh5Pg3XIaO00IdwkkEHLLEPVhQ5WVpTx75sPh+d2P0reizoRLSr35YD J0JdRmhOdMtlFxnhwHwQ/400rRSK6WqSQkOVZPoK2QfPZftGk9GK1+D5yoqQ0kCFgMBt134hBp0f Eq0johoSRSQ5cKvNQf08JlN6PELb+3GE+QSXAVy/O0Vh/RrdlE3xPXDF0rJ/Ot+B3UQIPK7cr9dw WMCm/sYw/FlqnskCw4i+aGWaBk+SzvZHzig1I5ug7bADY9h4zaEhoSANUzL1HHjxL6hS8hvOlfl4 kITM/jVHhciPr70WoqbVfDXC4Qlc6rJUdl3PvbJcIDrHnoSdcySxAA6ehIAOOLrmqMzJfY0eiBSs aYbw2F5le9lIhJRkTAo2aVBstDsdOTnnfDxKQr5jIzBcvqtGoS3hoHHQWJ7KSsUEnLHWnTNW+cU5 RaEv4aKSyZTtFweHNwBu30AulC9hk/kcBcBqQrN63DxN8LMcGhNq+TThhxqtUX7IWj3xKduMvWAZ BdaEYGZ/0+qA8Czoj/kchYp3G7TMtk2VohFHAlWK3aQzRVpmM3R5Dt0Jh/l6C+M5LoYRnL0JhxXl sgM1YBaNaFjXGJFvLxotttJyaE9oygLswmkwDAi0N1nGzmXy+SALubJ3ap4gUbsgohwbFE7ygKu0 ylAflILQsh4JAsjyVI0Ch0JAMInzlL8VB4+OZoZUKIWnoL1ZSYsCuXf8UI0N14FOo0IZXH5IlKi9 ybqRRy87PiD++XwElPleR428o8fdOzAphKYt0QbM8gGKJVqFx5F23ZamrV/9gUuhY2GHL6fHhY8L spGgCVOjzOY15mgjpj+/yNi3skYILT8k6uhjjqAqqcHykIU8pHKrIZkNbQrpcfGlwIAr8nH4Dn0K ZdEsLyMcTLWI8TJLnerUQlciuT98iKbuomiu5YPvtDwnbnt1ZfuemUZhDaTQjNCMgtHWtKZ8WY6N CnUM0T5Ag9L9s5XlZnTmn49HFPH1lYaQyliuX08OnQpBAmxUylKmlk35ZY0yDFCUOwlXzNRCq8Jl sbVU/ctV9W/nwKm4FWWVuvugWNqCCxR2C7mSV9xWIXboVcjAEuJBzQf1ZkG0Uydk6fF/caWEziJs 60aPoVkhTgNy3Y2xMF1noDcTPMmQeF6+z0IRvC4JGBX5+y3yNyCwMkXFpa2dRhUsHc1kHEggdFgj hIjYHLoVDnnL03xLA2donJ+ZzKl6Ulo+HsTXaX7F1xnLvj7xdehXKKZtKt0JQdn6aaMUx8Tm0u4i ivWjtc8Eqt5f2vUkBEFSD0JLJwIerFG2RVo89IevcwY9jPkZwQyp57iE/VxW4l+wK1Wbp/CsjsLr crcZlws6sq082ywKr4mKXdwWBKZtk5CeQ7LSRXbNXlYLLAvxd7i/qnRu65dIcpoUOxHj7lxnNpeo rOJXJd9O0CtVjELHwioRYBZ1NWiCoF/Ac/bkpXw8Ooe+L3yQwAtpEzZBUWyN+6ExlRRCpJsV39nc htzLEmy2CYqL18TFkhiswfKPDWxUT0sVowEwVmGKhtMGpGRwB1ADxBpCmMMPCdbQosp2YjVEAB9M EcR0+c+IwPh4VDH65tPDQQ7tGZ+i0LcQEnqtQ9CF51BXBXIROWz3PUKVaudQbFzIO2qKVcfB7/uO OaYiFeCNK8meDiVb5BCLi8h71t3e9c8kVjrky3XIoWYgoe0T0U0+HkXWv8APndygW94PrQuBooM6 1LJiCOeS5AUiqZigIQm1cyjwLgTAhaaFKu+vr/I+ZF5Q791SPIGohxQ76Y/F7rN3QDKdDfghQVuf QcycxMdyUNkRzqWWT+gdA+jD/l5EVNhcT/E69i9kQWvO4gDi5Xq3lIgSPoTK6pqiGF/Nqv2kvBAH R4Ge3XUuns6gEU6Mwucn0FqhdC+3CMdiUbI0hxaGYnhUyVdwsHoRslj8h3OvDT4fTdJv0dKBHPFm H7GLYSfgp5j20parGJU9urtFPPbWObYxlG1spe42B5+khYu48/bAjQa5WEzS4vIZwIJmX0foUuhl IwYwO7HWLaou3phOZCvH+vPv9JJRX/83gxxZY39OozC0Bk6/b+NIty49TvmxaP8BH+I01xx4Gfoc jdSyDz5HZL92toVO5pk6z+NFJyb4nqJmdz01APLVp/wlEDPIVdOgdmOeRXXHM4t8PMLQ3AwN2xSu OpXdZpukKLom4mRv9qXxnuw4neWz2rjyt7tQ46Pr7/wFKqawnw0X/FCh4NiWYH0FHlFKYwF+ODt6 EruWJNPQ7WWjW42ufYQQajAQzQQykYcMyiGBr+F/V7DPG++LKs6hreHgeaQmPqEa2Wz7pvS3Ub8u TxIb+BpCg4VbbRNIw+Gi0gvI5TsJdg2+Iu99CKNA8Zj37/VyzvayUTNNoAdlah7B734WoTpFnadR CLxO30IoBCnU9hzZYfWat1oydhmgxaYE19QZUXS9PboOrQ3pg7eIIbbhlh2pwjoFD4EeNFkzBU3g ip0FeNRVuF/2ssFGI2S/bhloXV5SOteAyv3n4NNLRuHjbTgyfkGuwKzEJimsXwM1Vtl4p0eLjDLz jxj0WbTynY1WnmN7Q+X4TY4JkphQcHRSNHlioFUElSoSFytrs5AThN6CFx57s5cNrv7dlcBWH1Sb xdHPelFB3zq0Nxw5f8eP0CCteT7hUVi/XkBhQ2oN2mbnu9Aq4YRHlSVJEkDQ0Tv/Necolv1Qr7Xe wWv8QBYVWsY0NHgpzldOsoP7lJz2Wq6c+yJ9KAceh8kwfUu6KOvRcy9rb5boz5k9+Xxw94/vAAnO 9BlO0zZJocshWGvwS0h3t7lTVB3tQkRuLhvYHMqvtVC9wgdvXcOENqHxi0yNhofM1MiAL0hf2iXA DhLMc+Bz6Ee2JA40CMt/7ki1Zc8n8PF/5WoMFwENAljRpygEYgvhm4Tcr+pR0bJlETYCiAj1yXip hUaHi54JLwbqbQPcvSWrPDo2GVcaZB1ppqWDSW0QRUeB02H2GertDspmIR3Ms+T8bT4e3Gm/UNiU Wa7lWURRlA1AfSvCP9A5rneDiEgNpKjLsHyK4ihb/Y89fPB0H0CKZA3HlUCEZWjQWYpE+A5UvW41 yLzrQwIabGJvPws8y2C68FoDoo7iwWfP8fmgm/YLYNxwLNbLRsuB3yGARhPyH8XkcRubPDiNFn9T ntiIAe3m/8PwkHMk4f9ZnmvtnGXnoKjK+GH8LKp5z8LCQJL31kQoL5gDw8NEx90TEzVebhzUk63Z ms5jbD7+L60L3oC4MUZ+VlEoQE2Lmc0CkRb7ZutjbiA3xMUHTsjutD88D2W7YfDQa8tW01mY4HRx FZ0DKCvA5HVQsmjjV6G7bXvZvyLsvmXMtt2Y7UTwk7jQs9wrHw8W0S/LVQL6CC6zKQo5jVRqkt4i XT6To2j69nQWdAVfRDEAe6rS0Xxw3lWjsUYR5hHVOraSYFqBQ3oBF3fDRxoo5ND5kO291AjW0mDh IxQDGF+nysej4uyvax87/SvjD6wPcQ82QJGn1FFOuGIX2srlZvyrXKBR4H2Y5Uu4ZC23rrUcWmmN PIHV5IzdeLIifKS66ZmiNa5HyRDXITA/lFQ7vH/29IFThJNNfbC2Fx+PcEb91xQVyPit5yyKCtg0 CuiEMrG0Npeo5pu6bkkAEULetdMigIhUGCcV5jX4lQbgPoXhMEdQ0OBx1ag6PPDp+2EW0ds4BxaI Io5D74LHNgdd+iewqwZ+2Hw8wtD8qomwB3532uclLNr/L8m0Fc3Gi1HPIp6vtAyUDTFYR86+BEXn r5wrn1oES0yP9aim9JTJHp3MY8s5bpvI1ywdQ4uGWdU0qXcEji8hEV+U2kgopScfDD4DsN0PmVR4 +P/MDd9vm9B7s1OIpbnEf3F+kWBqcNadZHBJqOn8/bWMKZOI2SfbZ1RfPMHU6BpbAs6sBzgD07cF i29mZ3vWc8WzKkswErY16kX4GR9k+uvUnGiRpPOx0vZBFcflGtQFRdnXqZFCs6ZGEPYCiedhU/MS B/GhTgl8Mhb+k6mFZvZRMKZhTSRTCE9T8xIFadV0lWNZ2udgq6b0cuLcJC7aOWnATGM5lo0igsyG Vz8mZvTzEgPxRSE+REfI5ANXDagYw/yO2uclANK8mkSlLo1Kp3AAVDg1L+GPNhRF9KhzxMJHyZLc OQv1HsuwzTS3+depAbuKU0OcvQZPVzOsSWj2hgCRmCsEPzQlBhKulVsY6myZvcQ+/AgGjgA0EvpZ sOpI/Z25Dxa++gmpPi+hj50by3eU0rdKRyObnJfIR08RWNBytnaZWPlol7HFpnYZLRwRP7/EPfpd eIbQ0s2Gm2B0VBZpzH2i5WZwPWp9k7mFy8a3FC7Wz0vUo9NGbcSqwlCtLrED+iITmnbSl89L0KOn qy8cpuyIdaU7jbl5CXn4EGhF0ClQdQzEFZOdHpg10TzoESA/0WBuJpWZpgK++VQUW98b8lUUaMr7 ZEyJAOMKVFotBbndspuqJFYUX8Idvuhim36oe8RBVY4pNW+w+vfnJdjRCjDARzOI3pnmVmyTfV5C HR3fYAhXZOz0ghSs8D/sf7dlgvd9d3cEiKaGAhdnOpsPfhJ3KHUQ4oU6BzhnTLqoiI2nRrvKi4Mm 2C9hjvYUEol8Qr3lg8IcSPIQtnnSu89LkGNnVfu6pAg4l9MYpuYlwtFJjIKwfmcGyqNaEVGOB5IL bttADC/xjZ3EPILpw6PBT+J54u2eyTADsWXTxAGCrxnHDdPmCxK2F32/pKZUBZnOaVAegZKJWC8r f15iG3u//D01qLuTq8JPfCkd6mYDRvbETPv25lX7mV0qepJumFbPeCkcai9rQ4nNwuGGfnBKEH2c CrYA1AJcTuQ23y5dh0wsTnxGMDesG5bNjcXBSmLQW82anPF5KRsqwth+g+dm91QZ7IZRECGYHTRF zkEwdRSfaxZFD5QyuljTLBpS65K6H1F0o1oPgd8anit8t8nKTuOkNKmiAFwI8BC9o68LEm1IXgqG elMiFsaWqOl2NtDJHAw4XaHmFd5Td3LYM0PyO7bvqjdCme5fGOWee0NbCD15dQpP4uAeAPSzcM5d ND2bZ01aw4fLJ9v1rEzdVAVMb0Y4JCgAimyNQhO2yHrVaGNRxnRRMJgDp2e2Ir7x+R34dLCzVv6K cSD+IkCPpicKjZGq1K0TBmkyScdAlZ9wtlsFY1531TcymcXGPJFbv4OfyGVD80ZieXUUcO+4eiop NehBYuUIXUYBxc8bmczOZILLFCRz4PzAW3iK2ZOBKY/C4+TzQ0yreXD70fPGJbMJGmyrJZ09sDsR QBHeIXb2dIiXG+wlmCDesWfhkPm8HvfiBmcE3NPsfvUK/D2vLHZK4ScBnS3bXUvzE4XIhImmMfMd tL1O/seI/Ozc/XkjkumLrvIrRoaK8z2Z33hk+syGikVxVFCS/WOH9dLYVowf3B1qfQVnc1dSJalb Dh7sFKixbtnPAooq2dM+Gc8hr6NMg9JO3uhvLDIFm1R5nTy+NCjv7CdoYIf5nHufNxKZjp/lxw9P H1wGYDLY9LyHyThVYbHhWottihV1Th80kHSpnx95FO9VRNND0hNEl3ywwzmDMzN49aFQe8KTJTon TkdKPoBBNrS7pqoW0elDOsukV44GTs8GxkgWWmjAR4Gy+CZ+r2fif0GYtPmJQmU4NTWIbSsezLDA lf/jbkpJYbFB2dfOPxMkWcm21fTBl08/R8PaBEinE1oOUx1k5XIh631UPqzE/B4sg6KEBJS3hg3M zTvOOMUyrX7eyGOWE6yv5DzjKIPSm89PGC+zSdBnsxyUuDfmoJQAUvN93eppFDALc4ealg82P2dV pwHtUpUuSu9yoB+EvOFtQdbwu33oVd9Pn0a+eBtSHxjFb68lpb2z+PP+vPHGLIcdv7IJNJbp0MLp iWLmlWle3FzKVOIw0GUot7icYd2s7RUFzZqeuQSNXhcajby8g6qsyk6tXTa11Cpk0DzmbSkPSgW/ kca0RNmgmJvnFgdrUAxjQp9f+fPGGbu1p6+yF3ZXW17AeKOM2aUDRNcwAW7QfQ0XPfsu3sChKzcv 9yhwlrPPsju+XyRZP0HKyTphJUnk+PnFGDgPhBPQqETv7nps+Ku+T8+kNctkUVGDpgfKPFQb6JCH iQJn54nb6UMUUL2rJwqce0XtK3F5ZPYApd++KmMpIuvZVaGS+xtZzM54xoSNeoIcbsn0fKsJIf0z PWtAM6mwLgi5GaCKwQx21IZ4mVHkTJGCXEDFs0GFwV3JwEeEsj5vVDHLENLX9HRaFycPDd+YYpZ1 jZt18Z+aiu9n8cxkWM10neXfiGIWOTPf6sTPcfDIp1dodDHygepWV0ba6O2BQjzd35y8UvSqwdlc JeRBtQEOPHvO+/EcQF06f95YYvcNNRuUZsQJuLNf7W8kMSX5+HuzGeQAgtLK3M+/6mKz7DWb6Z28 UcQs8JGtzxg+XDQLKspri9qDIhc9jhuafoyeWe5xGl3RmwYlMBqm0/LVBs7OhmOlnA3b/Lzxw+zm 2t97i1ZByePCN3qYFU9RwBycE8gFj60uzaJFrEmdQEGNF9cbO8zqb7KVFzEDg7eOC0Sy2c5oUBSj Sjy0qRI00QHT609gKB2PN3aYlZNoCZTZl+Cgdk1Tso/pbp83bpiVFcb30YxS9yq37B7FzXWSoUVp cthtsByBvOuEK4PNiXM0Q/KEgc8bM8yyEwY+ldxwDh4YNtjIATEMkfI9aVoDkg+yT7TvT/peHMRC LuXnjRmmD7FgkocPB5XeG8MERD6ZT0d5e//KK0jnXjfweeOFKZsFamjvrWzC/2ngyBuOOuwk5HB7 hQVmUnnASfTBb3aoTNImkYEzFPCX4Bnoa0O1BgqSTuRNetVoerivGvt0HFTzOX9cqugnli2fN1qY btflkSHvqdrcpIXzEwXOKIm1s/4dJU5MGUFQ45qvtexSwW+kMDv6ODGJSTmHy+c5iUllFaGxki8u 9IkmzjkBghig0VaAl0nCGyfMOiCmJbB9sAp8hno7L6+5Pm+cMFvivn64lwhiWHd/vQfOQDmivjCn lQz36gqXUZixothZ1sOP5/fAGcczM4pGkioHr2uA25PIK4Qf+CIiGwxeoHkhb7fTV9ln6VXfj5+l egadGDRYVczM8IBS+rwRwvRFc/86fhDJFyLpND1R4DzByBq1GzZ8FPPARo3BseEUbtDx/B44Y+0y L1wsGnLw42cPRLaFeQXYzZVpO6TyfjI76eVRNulLrxpMj47l9gwGeh7UuGR9fX/e6GDW/5lfxw+i 0Lyf7RVVnAGLbLcEBjCX4DyLJFGJLWwWFHg8v0fOKAeTyp3aHWx+TkZ3IifeU+hvpcEmAaQGsrrp 9Qu0wv7WGxtMP6Wqhl1VQ9e4nxt4f349iFC9kcFuH892F+dHuh9eVX0jg9n1hcBDawUvmbLJuA8G X3b8VONfvHHBrK6h9UPlQA4eG8J4uSA8AW33HIhaabPhJoRHAY8fO55xqn/eqGC2vdgdrYoNq8WG 0BMjVQQ1q/V5Y4IpsrytHObtOItK8+XzRgTT8unSNfLWcbbtBT9Lz0t7cR2KNx6YZX2cF/YuNXhm cQ6xmrMyC4DBxIeaBKsDD17oMGaKL0WvGvXVWQ5LjKA5aHoAwmNVLI/8eaOBWeY8vnZXluW2nz5v LDBbsg2218PMDa1PAeNZwmnlsNFdAveNBGaJ12Q5jJGNSGWannr+dM5lE8A7USthax1J6A9pHdl4 3zh8qt40mh0SmdXrutDF3Qy9Bkh5/7wxwF7OZiQMKLn47EShM9rFJ04rrhLYq8nc9+yECyADraT6 xv/Suqck2S4MDXd5QkOqQ41eTEjxnPPEJky01TNvutl98QAHz1cN8i4ktDkTIq6Bi2dBY0FUgs43 jI7m39MzJd9s0xNWnDNlS7LlXeAF29Gzut/sqh1xet4jZ0P4n1WDMrsGm545EDyTY4le2u4SNJ2D ykioAj/OmJO6E2/ELyupMjKk4CtkJovcz3fHRsXymeeQ+7zxvqyq8T0/Fafomp62v9G+LBdmBGLI 5jNTRpKbrTpP95yt3SS53lhf+nF0Z4FKb4PNzzqnBETtyEFF7qAEfyGkQJQ+nopP0XtG3S5qu6x2 BwPJIRni1prz88b3UuSxf53LAA9Qg1dz8x416y5oWPwqx8NrT1HhIGGBrdLxrJ2o3JzI3DZfIw73 2gKDnBWlCmex0tTMYeEeLOT51eyihvsb10vLfElkis6PHHStl20s+NHr543pZUvnGyZHids9/VZ/ o3rpMxEV1t6WoTPqMjDYBL5fSakcw7h0wmqzeqW6ste4aLCzl8oEgYJKZb3sEwQyqUjgT1EHT7o3 Igz2rXeNCoaZAgpz+2DNQDEN0Z2pnzeily2EX1sLtVkez5qfKGpuhHvP7VjmuYtDNJJDLNEkt3sr LDdvlsLc2Jn1ySmu4JkuMpaRdJWTlkvsDn3SMunFl/dN2snMfaN57S9QmADxIGf+8DpHK1/+13Dp /ryxvG7B8QsVhs1d2rorKIyb8QfnNMVfsO6cm9NmdW4OgRicobDizLtrLaFY1kWxNFjY7FREOR1n J60srZJEKen5AxM2FwFmXPhG8bLDmfk6dQjOcH5AliYzMOSyHBgz8/no9vquOQ8JgN3QJwqccVjA l9pEJUuyyHARq6nLvdBvkRMU1ZynYLpSueVw62K06SBv+YRVPfOYXQCoAnsCl8krxIHtzs8IGjrq l5bWfeABXbKr2461P2/krvuGX5f7pBGOX15v3C595pmE1viDoyzW6ZJGpO6gpj0IWAkuXHSQeKN2 WVjK6aHkiwYv++wEzaTSlZeepUrJTVjboE2Khbp9/XSivN+YXYYnYDywWFxdaxmiPYHDKI+N8+c/ b8yuuz+/LjDagnffX2/ELquHEq6xWTdFoZNdUvoioC7Myx2U/p+Gmvwbsevp9aJRun2w5QMfUbqH 8gTqA4ZaLGtUWobmn45oxfqBCPE+b7wu+wyiewGNsMGhUD0b2qePzxuvy0oLv4BiOI6eG+yN1qX1 Q6ki7nyWDQuDn3VC0lKciSsTb85PVHZmLxPE1OSD90sbdG6WUHRQtxGgo1DkHwglZCEG2kXAyFcN DmghUteYPqgqP2btpoWwPm+ULjt96tfyaYQfXaTYG6PLwgLKbxgE6qTtRQWORVahWjpjO5f7jdD1 lZeutrYPfsFD+QetHMnYQ3DL/DfOHwLGY+4rCECtms8boUsHJVVa0f/zgdNToXHJ+32d0PmNz2Xt h/0rdEbP/lbF3uhcigpw+khEB5nX2OYcuoERtLy0d5f5f2NzWV66lHIlH7wqBiWiWeR8PRM0ktlx 5lkAvCeAKJaYEvz/eSNzKTGVKAndSTXwmh5FWHFkCvPzxuWykvV3TZ6cD0qpa3qimjOqqaipVtEo oMMusAYRyDQcQafK2G5vTC59OJ1W1tbhs+/hcyLeBRfvypo8JGm2iIOka0CTJLuvc+Yv83njcVna jrw0wajEBn7PkxbJ4AlWq583GpdltTd4Nr2pk/Olu3pirAYqvs1k6s+RaYo2AON4SR4/i62eMHpW 0WdwejhcrE9pSMVEGChnnUrcHr7eJN1+qW3oan8jcT092XOlSnMjdb/aIbHFq/ms2s8bh8tOrm+i CejoZWfP298YXHoq0dV0+fT4lpryjuXVlccwrMYbgcumh5trUYCNg09Ppa+MNNrOLs1VwRGEfTMz mfkcPV1vGnWTpV5X7qDVc9ajsu6zbz9v7C19z/KdenVm7c/JHAbODHzYoCJFKRu9/Vw1w9W15l6+ eEKMswpdTI40OIoX63F5VSMBHpgFFMNZsRSBuMgfQn58RnCxs0YMh1kfePRkcJsU162Kp4O4sH8D xQiL5+2l6YnDZuSjaiIjbF7NqMg1L7u4cIBYTaxF9WaDX5bSfLCL62xbLEjhxM4NnCcbhycVhifu XvLRs5oYPbNaFDYDkwSMBvaWBu2tAfWIH3JI+XTQbS/fYQ+qRKXeblePwmboXQHd4+J108yLT2LK FgZrPpAqFuPkPWxWSlN2ogyiBls9+wQIoMsQ5Jxq2VMN1YSJLLTvfMJm1sR6yP4bqsPvO6jefBIg NfNKr3g6YFbsX1EhKjTY5jY9Yb2ZprOOkHcOMuQQNhuEEBlNy7hKPYJqSHgE9R4fvGKYKCfeSXKr 548m2dNSFQWMC5BVXS+CEN4e1ZurOFwkZWjg7FTYpbPVXk9O0aOYOZXvnCufAHzfYnyPy80wpdlj W7l5Gd8fmmHdMlJpDSIm7FG52Uj+W2iffYUQ0NxFI0cpBayfKiew0M2V4IDc7+JhnREfEhV9pA41 pRXFHhmTitSTpLTGyUrwfMAD/JVU5IkN3atnpT1kAnai54rVxQpr56iLLeKEeDgPusBwe0Vhs1Lx yVRcwwU5n7RhAPQI2AbM36sIKGjboQlJu9mr71P0rn+kpSB8TB/EzgEPsymaKXg6OJ3T9wQVSdbc 4yciAw5AoRYcwZGVAro0OStQxO/K2uH4ciaRSyiMm6WfXgki5OBXe0KlmWqAwEJ1M1UHsw43yP6h k5hVnWlr1KOq8yJ5iTVeDplwbogG0t3rB2oLeDqYnvF9tw8km/kWxXocNwNDS/nv/8inMqlsCF9n 71gkh2H2mBAoCsquPvjyGbhTsuLm3WFpjMyjALdKZXpg1+38Qb2Drxrc7Z6U3kFV1U2FL2RSk28Y bK9fKFXa0c2bVvSo6jx4ACXKrQEQMK3Sc9IS4ntwQiTe3JyeKG5WzXCaffG8NZ+T2QL+RGFDmG+d IIoNnYJeI/7Fz05X8og2SPiMYHMRyjJ2yT4YlGVMSUKe5G3i8Yhi4SXDce3nyvbIub9HzhDyA3Gp 4EclhYkaCv+haiwyIlKYiJynh2GPwBrJQFBU7OfgFKaNmvaWhSHKHXtLzQdZFDEyMz1Qw6RXDSaI qqJbQMztQMwMMY6h6Occung8wop9F8UqsFizeVenRzBnVMLRbtGhc8Il2hQXOKwU6WdlgNyHHc8h WIOlwJ3IENgiB6ORc272DShX5vEz8bXYUyUvs9J8gNIQ05naFZ8RaR9QiF7y6By4v6ARqJrqmSg8 Hayf+YtkAemjUi8aoUfBsyxydMNPmx+UV8+5VEw7IzGD0/xENeflWMPsgxfFdgUfuRiQd6TFBkfD 5xSEorvcjikl+fAZUWLKczmv7oNuL3T3GfyO1PB0REn+xvpk6rVDDcY4yVH0PFlx6cnO590NAjWX 60MBreEsghEVnQl4P1+dHYnt9CvAESDyDyi7YPJrWPRcBtsNmWQrL6rSEWNE0XNmE2hkHUDZnWb7 2TiieJ3sA09HvORvqCrV9GmHremJoufOa2SvZM7XpRpne1NegqrGiYwI3O4jrDlPrRsevuuxBwUK E1oIidurQqSOfPa2i7qsP8Bo3Nxr61WjmioV6aTzy0HL5yTCQ6fH3Hg66imX7+kBfGTf4HCESOfK a4QUN7RPeaCTwgSPNZmFVOi3iNIelZxpN3SifDyzUclAgEGo2EnEegfqli5h59ZqSkB0cWDV3Mv9 LPiBjwiAmJ1VsaTOKfU9PHhuLGzgg/D4vyg64qsguZmee40odm6UpSkGv4SKxjQGU+/FGUwQJtXq iWLnlcVAE2pjsOgwVNjoJzTJQhrmAq4gw6SGChJEpR8nPtwQ4zNCtAaxPg3RgA0GZil1qeM+22eE Fef6TZ+kvlC7MOcRRc60Vp8qvgO2IfuLc/SgV377pa5lOKLIWUiEXYWjqw+O7oRB57AZYujsNiE8 +0PjAr4fcWiXXkr6/mdEJefchRRbSSXnLPfTc96D9owvfeb7M6KaM5U/OR/ciQgr1gVDjSh2xg/e CsVbSWHS8oFiVabkM60dCirK3F1R7LzU8KLlkgZvKJ8AGcoX09A+pW8J+WIq4ZhaqMJiskZJrxps r325S18Upn0mqin0OSECnv4XDJxvJmLyvbqi2BlXO5Jzk+ir0lPfZ3rG8Ok5d08SYmNEMOfCnGJL joWD18WQMTS6SgImf5alyZGQ4aUA/4rUEAY+QkUNgsQKeRoadDYjjCLv5mQueDoKfb4bOiwDEV2n 6QkBG5nuMrZmqpkSwuOV5+l/FCQ4jHdENWflFKspARNzODNyhjVyoRg3HJDOBuJENlZBcMtTQ8hQ 4Dgw8RlR5LM8I7WB0wMxVYEJzoGPp6PA+RcBjv71+54+UeCM1B4Rg5EIpmAJwLMQjiXJEWh66WyO AmdjeBHHrsFB8oMAuszC2F4AQnFzEdIPMnsmnkXzwy4NPiSqG+Kbt84bvoF/zTMDoMOssvwYe+H5 IHWv3y0vUs0AyLMZCuvO1NaYXDYTOKopDtyqLE8gtQDXudrxE9adm9xAiNqYjkHmAoKoIxIdSI9M XVs0bJ60MscV1r0uj5uN7xp1TNkLlHv8dPd4/IJFwiznBM54PKpt/Cr9sP16eUwzrDy3ScOdyUCw nWBFcdBOLM4SUSeDAVxgM4qdl4wc5JS2Hqe0jm59clNCuDmh8Q0CNwEBuBzoJifEGC0vZgjYUG66 iOXlwPMZZOUlx4vW8HTUt0hf62fxFLvqIzOKnSuVwZvwPEgtpuE1QIWgyDNSU+D8cQDNsPScNC8q kGG4fYvzo545YeoFi0TKoizoIyJ9hk5WfwjKRa8apaZUK+z5DpwekFZ1ALXJp6MDyFcPtyLWO1jr Nj1R7JyTjEacxTS9MjZnd82jvpNtrxmyBIU0rLv44AfQRsKFwwKV+YQOmsQ1AL3DtdhYmRfFlPT/ +XfpGZgAH7S7JqDa3B198vF/HtCsow3ajt7tFUXPlBjfutWhdZFNPwKWJx4fDvoBUBQqrDyLvzRM N+uRZ9m4pU58MgQXO0EDK6uDEA7mQzh+TIIX1zQ+408Gd2LqzsGPn9YJSDmrteDxfxEtGCsjFu+3 NDaj+BliKY1VZjYvJjWFyGOqLrVWGqQktIBCmmC3lbN88PDwbCRIIG9h5fsw4y/UDulIKmMtES3Q icRnBPuLecXsapt2B82kNBfB2kT64/GgMdh+t5ULMHXeVp5h6fms76YfkqZNADyzNFZUZSVk43Yu ZgTZ6NpZmUkYB99gfUNzVOHzBBicePHG9ilsM+Fe5zyvufWqwfEsVwvudg08fyZce9gLnWPg6Sg+ /A6AOnsmVz9iRpANeVsAWf//Gfuy5FhyJMn/OAulBPsy9z/YQFXN4MEuN77qFhnkZKczgqDDYIsu ppmaXOIx2yT+FKdwMtHrE7Wel1qqcmjm4q3nCYgYIgvghnBJlbUDeeE4tj0/7tVU259R+pxs5sXt 4aKx8rnc5WB5Ui08/b/U7izbKeWq7QlZgpm+0clpTC1lR7T0K68xHA81Q006Tt23qO67t0dGfjRK GDD6YNolw4LJVvQJ0j+rXa8mulrMsO+cieIdY/uiW3pAl5V9sc2ng925FFOpf1PDzWuvGUE2UCK2 pjK0U9VQRSrk0Q0NDs0U64vNKHseYljwStLiu0NFXEQ0WlZ3VCp8ecxaGaZot+3MvtiMkucmQWK6 hmhRcYELkaHjBAE8HeF9fr087Bje1HnGFEGkztlQPq1Ij5iVe3ekc96urjFDdQ3hfTRe5uKZYQY0 hllr3UxS2LiflXRs1IYPT8dSnyhzRl+9QGOh+aKzhb8pK/dzxePpKHH2zJB+V9T+vbq7K0qcM8Qt TjgwKKbImkCqNkE2pK6xTQlzRZCNQV7tEuKAi99cFf4MmGlgexZwq5lDC1yGLDgeG2bS+PEZQeVO CdW+2PjhosMFVwMyKM+H4emIIniVj1iwbTZ/vK26wq4z/nKz1GGhuZrD16nIhrKhvSa5uOftWWHi LIpOtcnykzg3mPEWmaAlDHgkoSB1/ILjMu/FzqIPnxHEHhEJslQ28jKk6on3TXnPCXF4+p+RuXF7 EHeKZ84r1G1G4lNG9tO1rK0KDJaF5swqmcFnhagNTmo2G2hYeNHKcWjM8xtUzgQJfKbj0MCQgzcr K4yHwN3wGUFiSLzYEguOi+B0uddqplUDT/9rJChF2vnDv4dtT0gSHPCBTTbyApzO+Nuzb++LDbZc eLpCoLPywqmLfT4XOxjciVZeLEtnkTrLQJ8bxHnUCM/FnvRVI5w8ok4SgYSLtufcsQI6nyiJp/+X spSCj1/BJ0qbMayu5KNnYjHXUoI4F+Yk6otJMpmnK+QISlF17+mLbQ+lWZrsak4BB9o/aTrccbQQ SZA2mg6qEXxG0BdT3tPZj+CiiWnK4myeuJDxdHC6rviI8CzkKF+52ShrxrQGWGFjEdg7A/r2U5ZW mt3xcIXiGgw+UyGai0Oi0HMbSgtL7mlV+TEArdMoqI+KVq9PocMLPiQCtIi/RJYOF6NZrKS3oo4+ 8HiEiPoeKcPzqDzSUCuEOmMkmAlBYfQpJjG2Em1FONTZtG7n+xPmzaaGqeicbnTu+RQcaZHADSWS 82Zxgxqt7dq528ElsK4P5d/xGX8Bfjp140ET3E7TgfwHXth+CjM8HmlD+f5IA3HA/OnuT5Q4Y4wD nW6piDW6b2ImiC4nRhUZ1otonSv8hFhnjSsoBarFw89JZynjzMYq2OBJfWcmH4W2obcrxrb8CnmC hLRMucJx0e2VhkRn8cfA0+/nC9v65D5ypbtTixWCnTushEk7Ylc1W9W+oCdtgIQ93NJ8hX1nQQ6r fIXq4ysENt+kXRHVNYqy8JP3SoOMifl18KKvKz4kQjuzLP0/iA2c/yQTmtpXxePByH343IJfj7do ea73ELJBedx0IWPUXmRhipzHTGDr3aG/RZ0HE1st9/7asKKWqDMGgfSBW3ibOOv/2cRWKv0ZQ181 IpqK5N6LLwa+KFPCj+UkuXg8eIPy9wGjI02efoHtKHsGmOh8vWo8052sCoPF+ZU/Wp4e7qjtPFhv 4mj5YvsDA9uzQWQqI6YNYUk6vVABJIbire8P2847yp5bli5U2b7wM84b1HSioYKExyMyysWMcS9w g11d1f2ePcMmBUgN8hxUmw5lzyZ7L4UNwv65P7HCBq+uWe/ifZ8Mz9okJjcM1yalDengUvEnoMYP 00NoPumrRukzb/ZBXyEudsBSF2AedoV4/F8BmsE4j+8EaIeg5w7BR9Y90Pep0yBRC/IY/CdobNz9 CdNnQcLlsbwej+V2TtJsUMckpA7KmJSHGpQaxH/Xbn5YaL2Kz4j2h0QCqoJrYX6IqbvkeZAA7ZAn WO7clLcd/t/ck09Od8gUnBSe7d0OGHyCpazqTh9ZuAht0N+wjVVb9sUbzyeXWkn6a6CspiZbeJqY dBBNe7p0HXbGdpRAb3Y1elN52rw8PUFN7lQnodl4OpI3/IWpw+VFpJ+2J0Q8Z+aF3QkXy1XBOw1Q yShYHIV1/pToAsuCqjRfPPygoDuhLKu8GNwRjk83incgvq5JJ2IsPiKqLrg5pHRoUWtjF7U2oFCB pyMBG286i/iE6wTceNudUJxuUnfWNNNPIdFER4H5n6kAnAxvWt9wh6CNud+jcy8CI7C8OG8OeNw4 XTSzhjgqinCfWlCFZEdd50TCBV5FX+x05UwKA0S+8XS0P+srOE8SYW5TfkegDWCZIbOhUQ5oTVa7 T9kFg8zU6zYy0w616aTdR26tFg8+vQG0SlFw/KRaZKuII5XRuQWwBGeXHq/2Vf+gmYLUuXzRUHAx C+bMtODpoPq6nTG2NpDIreftiZNn0gNvU77ervO4Rih5ZL/bY9AGT9XWS7SveWnPwPgO0vQwszjn zjTBUWmCnKvQMxmbKf24I9CGJOX74OSdC98e3ECmCV4Hno46Y+k79AC8tJ/QE7edibjJIi6hhagk cQ6hW8j16vR56/wxf3K4t6RsqOhrV/spqPZAq4wjwd2kHDoz3p5zgJHIOgt3T33TKPbQiIBeNFp0 tiAsoMRn8elIQOI7MJN/R/y8difUdM4oLVbWcPT8k8nsn4R6GhMOr3G23YkAG1MMQV4tWvzeah3C ZZLlPVewWhqrswzHfQWO4tV9tK8a6WsgNG/OPLSo8XPqXc4D4UCKp6O853tmgWZELo+efIoSZ0Tv 1qvRlk4aNIXS2In61axME4fcFNxPUeaszthoxG1wsd7GgoFpaUVmOhWQDcSnjNen4WgDUuuQH04t 6ArzXhswZ16CRmGPf4hK2ABasfMMyWg+H6kA/BoKimb2OMZErWf0Uk9k4NgP44OJUEGRDegBVwag ySBB0f0UZ8/s/xSBf8rX9Q4j7mqEghOZ+mR7LKMNV+VDNB+zybHt2wbtVeps8Ga1RfkzlJZ5a7dz 9Ph8UGBc5IZ6+bRLezbpPYOGHQIngtMFemk3yhZQqo4dI+pazgQpxD0r+fkvf7wTexKszjelNrA1 mcXIufkb1DHrj5lc8xYjchUfEuwRxbRGkncpZAUIPwTyeJMXAS7X4g8I0Jm/mAUNeJuH2aRh7Otj Be2N4npjmdrbepOSy2ROAvVkPhSaCBLyffLg6YufNgzvcY0JO3/OCBFUpSF9B24nl8fgYsnaNUWJ tEzvBzGMWnTZn/OcVFylxseDgH2tOe0xyAg8L1KUSuMmawMIYkakQeA2EuhMvrEsUvC2yaQgRc3o IV7Kf5nCnVsc+PAph6Z23gEl0xCJJObrB/IM1gzalOrFhwQY32nOnN0XA3GAVKNhD3wKUojiKI8N CEJt6z8931GzZiNRGYf7ZtGtFMqrai3CSAk7s9hQwBnXixS2pFmGQhTBFw9JZYJ4Onnr7zIKAwGw rgDuVECyLhCIgAN+SAS0I8kJ+b8tIqlgpCFe1ynI8HgAFP89jcf9+QzEcoqzaur8l2kD1TJM+meu 4QPVTPtovUdRWm3mgoWzDS5edWAQNi2tJvuUQ9dGzSFw+QjTNGHIMe3L/lWWnT2evmiLRu+iAZ5L gY9HXfvvi408wpafoxZKcFSCXLZ3Fes0UfWRi6uz5eWi4TSFDRJXMZhr88XxLuOcLVGlWh7QyRAT DI2QwnSwOhyo8HLih/zVF+pLur2L3jYY/JwbnAjjfp7h49Hc8M5VRcxEfrafkB2l1/htGnBfCtmt WucMr4IPVhcNELRHkRJHJYlpUQZCi5Uf0CY92VbucrSCUqqkJrBVFXjx/EjYyS04xa4p4sOzeT/y bd6Xus31vk0+Hpy0dqXVnbRSy5elXtidzuie0TWe4x9p+w2aO9jdnysJMdqjqD1tos6rZ18c20EQ 3pL6PLoGjMjgrGawMhrd/4QMKpmEb+YPQXuICpFGfnLs44Z8F2FzdTCHDL1TLqdZTiAArHwZN4W+ gzjhOGvWoZ7J7LjnrMNJ35B0sT0KjAdx9XM0n6R3kx69mwr9TKJdqJY0CwGYJxdHIIBXC7+BmD0y wMiB8yAitulNVF8MAEMoRJKGeA6tB38T5xqiXr3kjBx6D2IY4g4qTOWmKdWelBvjjgxZjmFCtTnw HpRBAPqihPZysR3aE6PbZX0QEDWYP82JC6Zhgljr7yF9jr0HM0v8oo0qTrxMvVYL2KPy8SDJfqR8 WfkijaAWvW1RmGU34EJdZ70Rs8xytqs1i3K2wYDI9igUg5aa1BSYijMkO2koNWYXfa61nbNiOxrk GR4G7eHPkYLLD4kYPkQAdyn1d6LZsUdNst4nYtfBx6OK9ltLnJyjp5OfAwdCE22r2+T9Wl0OkRbH VDC86cpJObQgHEsq65Kkf/ipp1guMEoTVChD82YrG0d1udHLo9+yWtUcRufAgxC5zSDErN8FH3IS 3eI4xVz5eHTv+2skv0u8d1dbKocuhBh5nnhqTk4QvjSY624z2bh+5Gly9DmwIVRLBrm1pmaj3uyx dXSfpmRZF9XRRUNIVBBAGB+P30P1b/sXomEoGI0bjDA6NzwVnUijFLv8Ismzj7Wfez9wIkRmjIki M+L/aCxjwWjR/JltNRi9+kkLM2wBFRPLEDOAUVf2FHEnQeLIFc4SmSR39Gr5stcf0fQVjajxkgMv QhTNHJZJEpgLX6OxxxSV6SRhfDwSj/zmqkJ+J6f5bFFINoSnk1WzdFcp1rfOq7oZIWQN/TUKYR9Z 3fq9fPFKbVAwi68R1IZPOoyYTnG7SijPfMauQ45poR+h+AiD8UyL9UX2ymTwnVRs8/kgZNdf0CGk D+3rrIViHUDcd1pLImKfmroZ9GNRCwfMvHr5zjnwJMwuol3F/KmPJyEM5dKi/mfD1IxTTFRqGJ0j PUHnXPd+YbrAD4neI+p0EOmVrsEEUvqZdE/Zdwzeo/FNyoRMaq1fl1rYweYfcFH5mGXtbDZ8rUTM ZP6V8nDP4Zh3SMDvYqXKxd8jAFM3+4UN2lVDLewCWmalpHAtDz6G49ccOhNSbDetSfYYF978GNdJ E2CuzMeDOuQKaXM7UAjl+bxGIXoad8rqRbCPumjJTiHb2qpVs2Av+2sUIkDkOzzFr5u3TwvnWFjM VyVHJwnYnGUPZjhZiKzHACvblw2mRFO+e5o1JncwOn9FZRrnpA0+HpQh156wswNZf+icblsU+hOy A7uzZURVHXTKKtXqWr+dShncotCgcGlQJM3E9WgmntN+kruNJjUqtVODQpoVERsCSKmaOarr4mT7 spG4gKbTxO6fpWvmg4aC6KBwnefzkfDdN/0HOM9SrrJJDk0KUYShQcFpENr9dsB2Ih0Jt1pJFMrk UQttCoe6If8t3rHgSHjeGtmfj5XTko8aBQY4d9zeN6KsNz8kyh95q3US6Lk41KpsUYBymXw+ovj6 UeO5RLuhPNdaaFUIuyUIsXc1IBPNpsGRIvNQNqAyvdcehTl2l2j0yr5cKP48CWRSHYJWWzGSL8aw mFlTn5DRqJDqxw+JKjX2YTdBIVyEJjpbTCVMRmA+H2SQF7CnDeUs5jlrIRxkEK5i2GnU9tnCEbNO 4GVSBpfEzloIqNZZo/qjljvSP5s9u0RgADIRYKZWChycC2Ps21xDsqQPCWb6bIdgzKKlqA5Z596U 80FBNRsaFtYr8sYZAdtQ6dYhoWMhksy6qK9GTPWo5ktTCYDSXBbQKDOKD0HVlKFaepu4+FHDe5Mo Y90oJJzktktRVxx0Kkfb3Jo6Zjn2LKSsNoKbLwYLaV06k+fPyccjyov3H6dd/NTK8C2KutiNuBAH FQECYaZ8M9++0aCJFEu10LVw8Tpfm5SptR/K1NmUWTDAlhIe5g9kLXTmgpucyatyqxw7tC1UNJLo gxaNZ6t5W5dz8/Dx6C26WngMPIvwrdtbC40LG53BWUcCmWZeCAvEjrqcuYBJr520UEaabeyzN8MX u/gH4ObAl0jxZLTCwclEOQd3lx9A+GwaQmhsDp0L6eyY6cdmiwb85z2yt2gNPh5l2L+gV+B/1ifD Dr0LISKBoJW9i90cH9LWdWGBgJK9RbGSdH8dGJ3LuU3IXlKm/ZQBVTC/QZd5qJe3a6GBtrS+a7BD SolmuQt3CKrSYl0WRusowS73JZKlEW09Rr5bFGXYfbKxlT0WJRe8HZyfKBaNmj0WhT4sxk1kLcLF tuj8oF0nSJkwOgIlS/NbYMYx2iT1TvgrNY1C+8JKvjjVc23RDu1lininPODjQSS6vF+Txz3v0HyC dZReg7kDSqLDRDbd1jBPo1mnYCKER2uDYnYiR/yyAOfig9kT5k690dlXqyftLzK3BnC5gOGH8Sra gHiJ8MflhwQvkSjjzSzSfYtOnUw5ANiAZD4edWe/C9kMeglrW21R6GE4z1uO1qJZYPZWBc3fuUyD yOLzPRDFJoZLXj4aq61He2DMDQ7VlrRHw3ia0h6EEgP6SwZefiDWOTQx5P8lw8zOF+NQXZjamHw8 Sq6/pU+aYHK3xR/aGAJKx5vY+iFyE0M/hEgw1miQD7NAFPgYIgGVjyFxjlz8OkPjdECNky9RQZIk pCgkP3kx+Rgkc8jJDwmG+/ITa7Sk46LO44knMnxoWd8x0sYb3+cMX2ldIlWOvQwr3UGX4/RHbtZ5 LN1jdX9idWhmaEYZXZOiR5m8DQBZETck7gqZUbxuBWPxRnxyevBGk+KlObQzHEqHivX6s51mCDIL in62bfD5aJO+5yBMx+hmYZsUokTAtdhpG9WVUYMd7MzETCIfxdlU+Q9LQxYgLLAspbLkGsoVhT4W DebWvZPNUHB/V7gjocC394gELn5IkDlqj+buvhidAX4vBMfUrC8ZTfe/C9nMHk1/olGIEkkEMl3D 4lbVGdm1dbW1gRLJ3sIObA2h9KFZYxu+eAt7zhOOqaAOY+reBeqv1BeCBET+stegxRw/JcJkyTMr b1/YEKmlZ8nKnj82H/8Xo5ykPAg8flUggbuhqFwNqHyi1VoiWeS8Peeg0p+FIBGaufNSC+0Nra/W lRf2R+gVQCv0sath+5rsDSvV/zEFmWQ1WFum25eNLrWheezyxZE0rZA2BJYknw96j/c9Qh51bkjg uHyHQqlpxgLBiDGe7dPC0ezFO9iF/SC9RRFGpDVpTZe73JO22PRfGjkOyeoukjorp73MzOpXVy10 ONQ4baia5cKX6GReqh5gA8zHg8F1/qXawFSt3dQx8DgEHJC9tGWSTIvXHzXhJODA7JoGWApGMUbE YDTZF288Qm9xQicGJpBg2VLnlRTtioMFQ4Krdb/sy0bUcrlIyOSw2hZBU3fYrOjcUnw+yh6fjlEW uRzYW9+jGIK9yRkyVR11UCD5ugp9VXHQSAlRxyi2OZSBVpXbYU3PazTKiU1TLn7A+ElVoiMEFdCw YMvmncfuXza6+LFHEFP3RZNroJi5RQWT68DmUPIkX68RQJg1zyc5ihvYJ33MjdJm1NtLvL8A5D5v VCMHpFAGS3v0t/7H2HX64hk2CKpsRYLCeO5+kdXoolc5danl6rovUohy4HWIm5+qQxqobR+oIXeY 0rs4IW7y+eDmn7/bsw03243Yod2hSlnmqxJg3IpC5/4gO4QgEcI4edgCv8Pzc5j0UCPbFjtsfWMc y74ufd8oOkShEGDG8Z+3S4TlkJ4f8tcenR+wfFEZcv5XPMYT+/h4dKn9MoQkeWfc9yh0PISOdp3o tnMuu+T3A5CIekYEiZBK1PVj/moZbaH69oPq69CNSVVMxnSunll41CYmRBk4fyDHrFAb2b9rgA61 3Ei9/mlHbeE10jCstsLHgyut/Tpq2KFanpcoyrErXXvFkkE0SobLOtf+Go4RmftuUawFwldj1eGL F/vwhW/ZxC4a7CeZPaEakbNW336pcT7GD4kAEIXNfUrKsGHD2mF33At4i85Ft/h8BOv77hlxwICi 3/coVKFeVAExecHWssulnJA9ras2CVrgxR87H2oAsjUO2Q84FK7McCBnqYY22iYQ+/wbIoWh63A7 IhQazIHzodFmzm7X4YuGsoOGexhsjM3HI0GZmzwaBQlzquc1ijLsTne9tCRwWtkypCYIIJfaooRu oV1qsfuhmfb9XzVY2JbCdyWZGNo5LNyijihQMgdjFyMy2rYvGw33icMerNS4WEsEdH/81m1WPh4R Q37HIvYvn1gU8hoxEpWPDnVBIPyqapbSrNIFIWBZb1GMwuZlNtWcnl+qRGBXzsYW/8lc6OaLQo3G 08iRJ2n5Sh/ppJBDD8RGXlGHwL8tghgja2e4PjUtH48Qa94ToWoT4GDrqdMCE0TBFVv2fiOALmrO oiL3Ldrs02iLogzbdK2GiMPjIQ6fZ8datC0AcqAYv0jpI7ZojWtWMkR4CGwQPX2cbHBrUZk2kv4m 58VYfDxCGl0UtvaoQNDvilbm0ApxZmBEtgmYn3/KYp9D6FN9f3hAo6OqoxZhRKrmq63cxXPsCt+W lofwWOcQMraf8gdgevQ+98Mvos1xDtwQMSri7IRtPC06ah3qXD/EP2w+Hr1HHrBZ+FJk9jqtf14T oyxtPXhpTqtC2tjWEqHSnfpGSA2YGL2mRRm6jBlmCkz7tDgy9ITnsRovfaSOKUuJhs3RRYDIAJlA qu9IHV+TogzpWb5CgxpgXAxBA23DH/Kp8PDL3mSMDFV+dGkXEIwDuLFaedHWbCjKDJYcABjJmAQW 2VJx4ssDj1y9PMHWWKr4X4BQYNRO3Cw6XxiGF7LY4FAD0Yv6A/Ev/BkfePrr1px8kVSyuSQIu/zF SRg6JRUeJ6V+3RrA55ttjazGC1B827bmNRPKUP2CFUej8DSRq8ReyQBETRFM8rOpwb7mQXhrBt8a WnZo8bfm5D47dRKHzwsyhqaLi4C1KmFOb39QiOnzmgVlzHWI/9wy3t2mBw7729UkurPb5zUFwr7u ZFujLiNeGOJk8YmvCRDemsbk2SWtVt1XUKbcsn5m63y8pj/5zoMWhz1c/K1BtyaRN4ihYjKTu0H1 HKBWW7mdIRoUf16znwzOuK50DgEGciVGmZOQV7kcnwiUP6/JT1Ze8JwoEurA8NfevGY+5yHoDJw/ JzHndM9s3aZllEOyaZmDrF/zHpwolEc7tT598QoDHhuVQlsYOY0i2YcJ7mRB8tPV+RBEZvCLBsGm UkPGtKyWG0unDqYkJ0H9JM+vSU8WsMDeG2lZTdDdfXNec54MfQzMo+loTyUZOu4iEhPnLRnPcTlD rxlPTnRQRGUqkdN+J/Yn7T/3HO1coXkBAXziHgiKqsCibcLQlpTQ9EXfN2cRE3sq2+KL+hznypDK Sen785rt4L2p9etMUfy+oQDk1rzmOgjfAA414nr+Q3tadsUwACf5iC2O0RzH+JrpYGuQnoAQ1Hy5 jTLwjadQjB07I/kqYjfQfEKA9XyZftiveU42byGM7ZIv3JpyslaNNVYen9csB7Fq7u9w05J7jlFs P4rEyAek/8RMeRo7+LwHhtfDwKBbvfWa3zyRGDaYtmhrRmJHTAJfsN+wF2vSL5cnrT6y3Mw0XrOb bK5UkOBvvjASN0BGmJygC/2a2+D7db+/1SICQBm4XG7Na/OQB0pWG8Nn882A5rY1jDZSNu34Ke+R OJFetgd7p1yc0IG5M3lkGZ6fHXM95McYIDSihkGUtVKUk6bPa+eQ6RN+AzTXfLGm2HmFxDWgcmd0 TWXfnG7XVJGhDdWygs3JNNOqo9pcnlhS9jLkSFJpprVcOSZ4b5AcMcwUX+y9KQV06cEqHfJh1KCD bh6GPOTm8sUxOyQakrx2DJn4SUs53UXl1a5FFJ8KVa8o3CwPxRR+p1sM2itK/KKk+JQl1BJUHXVe ezOL2lntevpAEqsg2l2Y+jEWL/kcrvXYrEKtfFDeEDa0ILiKoUCUYE02JjRti6yvGh0rIoNw49vC 3TnfXbI6MGb4vPPJ8OLN/HWuyMCjsqm2J0qMgemAWENyyLTBFE5iMq2q0jjeYOXR9kze48S8aLnT r9xBKuOoGR7qmhBSZu4cakwg8eIIXUb06uedTpZlEo9kjwUoF+4Pm2tETJ89/7yzyRCS9/wKyZRu XMTdC0cSXVfnfaaiiwLPnqbKRCUuCzwjlQsKCvZnk/ULy1BfbuRBfmnNi3IOsYHvMCwq0NjB3WZn a2l7ovy4s3QggMkWjb5Otd+0PWiBvSfIkPP+FZZRCj1h+Z1HhrjM6SklwxB6kkzZICm4Lzl65QfN EQTmrkbzYsHNxdPAs82tbFqxAd6yTT23MeHN0CLY12mM1/k7i8xCa4E0z/ZFRecenb90PT/2804i Q/AZ+yswo8au9dme9yQZUeNE5plN979NYncRfKizyRu9dM2eOaqItgeS1JuOSrZ4cbVgUF+T+AhQ 6R3qalCBCpU6GGRDh2uqZREFnyGqBj2euXB79knQ1ERfmL5HaXK2gZcj9PHDUKHZ/kR5cqemKWWf SGoZbE5kKAoitwSpJUO+z4bv74myYjyOFUFTXDxRbsj/MPvD/pxIM8jsmEy9STd6hD6swxxlyglt EQiDbF8IBUIapc7gCf2fd/IY85fulbk2iIDVe7O/s8cYfhCUVVt1dh+Tzd7rXDZ7r3RgYWcwSpcB FjpxmT4sWmyD6GLs1flMgECzOuf33Thk4+tqH/qq7xtEXk3CoN0Xhp+TqjVaiZxzsD/vxLEsn0Rt EF82ILKoAqvtiTJmNFdxezmqlSGAgov7Kr5WTqh5vqKUucvJr4vv28uDHsc1uKvG7lAf2urrcC48 ziEb8/EDoGTwO2sM7yjpPmTe2KLwA4E55i6n+P+8k8bsGz65D0QV4NDj2/OeNYMInWmx0X2AU+Vc bGaOHOAskuR5uUdpM+GX59VTzVUv/Ac0vZqB05Bm3u5mkw1OXKazb72Sgl3t3fe0OcsH+KSH7GBw 0facd2+rYwOJmChtJsX0CT/gIojiy+2J8mboupy8mc68mXYAVoo2QvWIrC+ab+HtiRLnPqzSyr7c 1leHzyGJ8xm6nZxyQPhrYjS+ftDSdtCGiJlR4oy0urB95ovagpkSJsT9rM87VywLlf9sT6eFcfJC /Z0qhm7ipvujAcXx7mvKNUdpxZCamc1xNgbDzJk2WuceKb743Q5gxRhiimGW2WgC3YEBqWjpgth4 2StFX/X98tpiP5WefWHsARw5qYNe8uedJpbl5/CkPuopZH973lli2NTNGj3dBlg2GEKX81j92Zvl HF6ed44Y30FzD22+PBjNSkA3d+e8MqSFr44IVAHO6TxbxqMr+qZR1SVJwXkX7s7eJ7Fi5jPb/LwT xKz0fprKslJK3jl954dlaQGexGSZ2H1lCiqrjZGsA9YpYoeL650ehq/OaSfkkH3xyIwtWTQcalC6 KoKVVTi4toRmdn8yQyl5vNPDspCDyHk49ONiw5peOB06290+7+Sw/JhoWRcM9TDG47Y/UeKM1iXC zFDmA61g1V0LLQNlPrPTxK/zxwT7kxiTs/pg+fbBBmQozxFTR6MneB4y9nAwxTkIigsDsZBS9Hmn huFDNutSejzn7B7PC+QagaFOaPu8M8MsdD37g1FnXjdzfieGsYuF3J5Kenh/NMVHBxUqpj+uvoBG LI9X3F/WnYW/vRaHZ5QKD0ASeuBGeo4b+8ska+ICgenBZfImfdVge6baYPLcIM6fBwWakNIm2618 3mlhWXi2r6FNJQrq7k+UOUO8F50phz/rQgefZ12RvJPRWc/nnRT2BOfZpi8XRQ9EdWLT53yVc3uT +HP+DVLY874AKmj9d5klvHPC8AvSLGEQu6FF+9MqoXm4vOb6vHPC+Ip7dOa7QmjI9lbqOyOM8Qed sDySFabFiIU4B8l6YniBbH/eE2erqc+lPoovdnlxsCXZr3Z+i5MlDskFY0YMph9kYG7bZ+mrBh1D Nt+l1qRFdfs5qpKqP3+LzzsfjL/o+m5r4H0v9KHk9kSJM1i/UA3MhgxHA4bI8OL3PVzhm7Wa39lg TGoHZY7Q0tJi2wOyFhTcJXWPgEza5eYYjnP08kib9KWvGmwPzxUMFH0xzPO0kQiccz7vbLCsSfxX 7oOOD6wIbH/ifjPaU3sJw9MwN1fmjBrSwnMiUp3h+T1zPjfL5lyLmB0tFp4rkPKDaMdWzn2RtzTf B5rj6BrWL8wKx1vvXDC2RVXrS7Gr2bx+7pWLO0n0zzsVjE97YcoivQtp5pXFOxUM4QeFe8oWahB+ pmMwy/Dws6cVXu9MMDY2cK4IXLLljnEymmNTyDAQkqjbTYEZciYZfiw8I0X7vBPBfB4C2cnlC/dn 0ucW+d55Qz7vPDBklmN8Vxapf3dV32lgeH3oYCw4KiHz1ZBzqW6vSztfDg6Ow9SZXdXM6a4WP17Q eO2wMqSDMcRN2KFBXUqeRaHRmEm+FH3VYKpuhRfzOi7cHoBdZeN37tvPOwnMGlxf2+Pe27Y9IRpj QEWZ0EUKLDTramwEC13uhWqQ2p6w6Tz42qxUffFJ16T32ibRsp3IDOcj6OGdmMwIlI33jeBT9U3/ 3J1mnWc7wPtEs2aYg1P6vPO/8Hum755qKco8fHei1BllEOQBXShwDU+dpdKF1JkuKDxb7+yvbIJB O+1nubsDkytSreENtars0zdxPMAMIYWxlwfqtvyqQd2l4WitzRe9POhjK3Pu/IZRaJ6/tmdKwtm2 J8qce6Hm1HSabmmqJ0Bid80pXl3anvfM2bA86trYYtsDuanMJisBK8h5ppBibBzPb4tMmrt83mlf HOCz4tLZGpAN42+1T+5AKMkP1Ag+76yv/KAJbYiMKEpdPu1PlDmj6VOvVDJahkZjbuSX+6jUJhbv nC/8cRrL4KaT09wiDQXWgs8UMx94+IEExiH8eYvAuhxPx6foe0bTrvrSL1ydPX8erTk/72wvZs3r 691BdXkiqmfN72Qv3gWotSSeiPp0ThtXzHknpZMoKr47Ub+ZtsLnN9aIvd0Rewe+lHg/onUlEIyq YlAHqeLaeYZd1HF/p3rhNSdGbmuas32aM89ft8kZfvT6eSd64SVI39sDIgwk0317oqQZvvdAZHTD ZnTOtQijzhJ6QUaNFjlfnbDbvAgdbAIitIfFdPZhwISCoB4IGme2UzukGiuiR5bwjeiCfeu7Rh0x ocHq9EXDQGhJc4JVU/2887yYVI6vogK9dDMY4/5EWTPrnWtbAxcJw4N1WtubycY08aR3ltcDIxzN ej74uCly9wTjREXXBJyCUm6N5DrRbNDjs6KdvNx3lhcb6qxKXReIxAxu0NJb/9PXyQvfSV7Wj/2e lsLD5lZd7xwvzgDAO5nTDJDGrNuq0pE8LUz15j1hwznxfA2lzaM844qT0hY646Aq3buqcB0dRwpt VehxuAww08J3hteDYZGYy4CeP4tfVIWrTKnbZz4flaXfbR8Zke6b+UR5MzOf8wuoWD+/TjYDAIoZ 6m6vwxm57/wufPmstgbvsFQf6lIaYDxwsHSOwSl71VgcZKdCmWSlK8OBPjA/I5jnFE0C610Yn2Gg Ja3ssfbnndvFb9i+DpjSqLs979QuBiCoR3M2i65Yl50hYLpzKyihqUI/icQfE3V9uC+U5tfiXQ1E ZD9g50Vd1Iw6FQJAlsgZMOa196cT4v1O7MouSoqRtJZlBcKprAlT+jl/2vl5J3ZliVHa66OJIMAt 856wd2aXNUTPAZpsnGLgTnFNKCTPSlog+mIYXzQ05d+ZXQ41WeYQysUri3ZqudSzQN7rnOBpfQ3y uLPazjYQxGv8eSd23Qt+cpanxZBQJ1XgAQHB/vNO7LLewlf2gzoM4H/bnzB5XpIFmDYwhWicNLd4 n8gDEhwEDi3eaV34MW28M9+gjQeWAPs+o0g7FKKtDZHvXPybkqgSkJ72Vf8E7VbiU7lYYwMhjrq2 fazPO6mLAcj7htiLxk7vhRi+c7qQGBSKbiSBoOquu1ljIy8f6izOUXjC4ux5q6E6fLH9GWOd14IS xMBfjnN5se9MoUSUYXNfQYCBVtfnndJlPSp0fIik4yKiSS2VfYl6Tu/nndFlZIjv5BnSb7cv9s7n Yt4EcMdu1nY++b1qr5NUeO1F0qwSxHc61523Iyz4cvtiwP1W6dliZiqJ8qU3u0KtuntpSqT4553N lSVzpBGaL0wQB7ZlM6Kc/PmdzGU9/SdBXMLU37cn6jrDraRJ9pZd1bqkjAhpFGq0Aa9BoiNPV5g/ JybOkAqyxWqLUzkCXKeR4AnUo0mmfCDKEYrtDs/C/3/eiVws3AtxGjjHWgRRxdnSPHmPzzuPi/NE jz0a/6E/jAGTbU+M1sDXG8VKr9WWVLUnibFKf0rr1hV7p3Hdw8U83xZHYkIFoFM0Dm7hmW7Y2B4M vNGK+VLb0O3+zuLKcgAF94Z2I9PtRhZ0KYZQqoVPB7fXuIeLRFT+PYvXF+8Urix1BUiNL9ufuYeV 7kTEqXRf7uH3zuDK5gCJBHj6ck9Xb7NTLAV/6L0oj4aNqsa5nU/ssW8aNDa4L0uuPstdfWgztmwM sT7v9K3faCj8x52Ve/fKvUXZM0RDoF1nuvXCzUBfayfvbGRI1BilIkQ5M23OBPJq8dcHSlolSS5y wGlEsDuKo9HXFg15E/rDG4HPCO528tvWFn1gG9Nwwk3JsEyr4ukgOezfuXPlEO72xVqYO4M5cHKf O1J2OjJNweQA2Xq2kWCLes6UBgFFqftywXQVfn3CQsH/miQseESg53zOC+30rC9G76wW5c4EgaOg y77Y6eppauKV+HQwcc/fbUPC80FKN8pJmDtnemcMxyOsaVKafaVihytXByT099wZTTke/qojXZNf XQCHnbuco38Ivw5CYZk8AqtFF88nd2ZfrIf8P15dg1WlFrXkN/VwyDqpeDoC8tZfb09n6ezbEyXO cP9x82vCNcwqcxLCbm0x8NZ4uHqE1xgaKA/x3MbluQ0MdDK4W2zJ91p4MYuFm2GtOkHbN9EIAnl7 1HQmpuaUoRJhXybCPslQVWvjVBY9ypupxvSFhYIpmN9c/c+ecyUSjWerel1qbC7CNYhsR1rYo55z N3h8voujWTrLdYqylXSChME1cKwaU7Xc79szCfbpUd6sRsikjMFZcEktJc7IzDor91bwfMCx+AXY yBRW79Wjc4/JgMRpVGuOFUyr2BzbxC6qOabCDOcrypzZdzh3HCXquXhlceoSaERyi06NCuTzD93B UXDhYwmMco2fou8avUEEq1L5TYuynzrkqXQSmoKno9r9+w0qnJZWD889IgSCTXUuj8rS4QeuD427 cs5Fkmg9oKpguvMVClNnVRZJTeU07+W+ITx17pcqogWcRChyQzcrIOuQFnjrmfZGPWo9L5mtUL4e S6GL4/nCs7JX0E+gwNPB9lyiReG7BpuF7SPBHqbOQ3AxN+jdNtU5tXf21lihODFS5x5yAvX6kLen xQEJCDJ9i0iANmIi1BknD3y7igrY4w/1BfAZweVeVJHm7ouGXgkkJhZTk9/wfzleFW0yeYNze8LW 86gcehktMDlBEHZKZmgIDYRs2xMDnUVi0simp/mcLkrRUviothOatgwg0Co7n0DHnYsEpw50jzrP 2pcFvpUthmc5VYM0fU5KiseD+Dw8Oaw/ZkNX0yWUvqfOEspunUoApDFV0tahGwsznSoaU2dnDH+c CLCRRO4va/jik4uC1Fb+zsgZSzNhdoQQ6JlitHzhhklfNdgfXOsAu3ZftD8dmHXqjJyYi8eDwr39 ZmpjHtm8Nd8jqDOGTScINI0pzvGqij6nBKA1Jvoag+ee+xMBNij4ifZR18Kvy+sdJs8zG55u11Nq JO1Pg/ccEbg3+qDMwWdEeDE2QzdxCXsP5+m0Pbdqi7bxdPD6LN8eXXpon7Ahrv0Jk+dGNnB1nhex Dycmo/QS9Adtiuq3V9R4BrgMYXlsX7zv0xrkM1h6Ecwr41kaqxVglHa5U9Oe7asGpanUISb5BFw0 GDxfXpfPSA1PR6/Pd98nM81fFyo/ouwZzabz/mQLz3sRp3FK903nJYbnvrcp+I2o80xpiFOz77s4 HvPUJrWYgSFQ5VWQTUqd0mcYf3Hrq9IWY0TZc5ZshqDy06HyexQolKsvlvF0kD2P9nV7UVG/Lx+c jih77nB37i1Vc8AebGIgajK5pH6GJM1xuY+w7bxEExzJFx/soLE6iSY5l/vchS6ga5h51rnce31q r62vGvG2aaSqcT0X5T57b5uZz42ng67z/p65Z/Sd9s0Nx59oZwI1MufKdO8SjwnVJuFidXlfbERd Z4o67kLB4l3Eqx6Ei62zz6VKBWry53MH2XUDPLXeseApYX8GPiIAY6JjBjf3ocWPCRJpBg945OHx iKZz0XQihU32JHx/QprgoDJNWT8upGFeamM74Oc8lYzGNKLcmRIaACPYUn1/MmTsG5uokFzDGIyn Cyr9mcrE47ncJ/YnhGzI9Joqf1oM0dJNmej8ZDz9vwQfvAWlXazziDJncABOamgOD/Uc/2ospl63 T03HNKzqiDJnwth3pnqsFs99xjilOx3qGuRVOwVC1rTvRzDaM9RhZ2NEXedMsDNU8riAFIO3BmJJ g1MAuJF9RsgT7N9oTMxV8rqX14hyZ0hEo9+jywtVjJgoywxCZe8wjIkyotx52a2Vmi8+NT0//IRk YlPP24QGOuUM6S6LdmuhEIspGyV91aA4pX/KooSLFgbndLZ1GcN9fkaEdR4XTkd0GPuW/MNrf0Kw M6romk0u4twzU7QCSH822x/STInbGBHYmVLym66DtlzcRkc4SkLznivZaKbIlvJUhn+FaggGH6Gq RiWRabM9X7f1ttepe5mH/LTdB56OuBbfPC8q0GMUbtsTtZ1JoV6tiPxWJeeK3GfMoioDMj6s3Dt/ THS3J8UUCy2kw8ic+BQuK8uqCFoSJExgdgqJgpJ/Zrvhh8ok+Iwo95FrAR1TuYjn1bqUJHAX4ulo 5vWLhQt8hfyuuD1R6jykcmQKCe57AVTLphSJZEfcfG/EqbPeCY1M+zMyhc5OBTVZ4ef8Ll3bg+sM nPZMVIv2hxg1fEiQO3fq803TDD0vEz8HEPMkS5sx9sLzQe3+SNZwapolJeBbFGbPYFsU/slxwEq1 sLOa+q24BQvAb9qisPVM73PK4tviWzQR9Kmh1oD3WTK76uj7l+moWmvNUzYRHxJEoCaeO28wLjZW bjMbGS5lPB7s0Pguvxg00qUzzbD53Gm7g/KRwA3K7/0HLIiO/ifL08RaBVfYjNJnKy+q6Mp1PoDn kXCSqKZ6EqFz7xA5NjcvoLNBVJw34FixrxqUp2gb4YVpvjBCF2AcZQzSGp4Otufe8IVFSQOs17sb M0qfmdxv0q//Q75LNdTGZp7L3thA06oiAs2w+ZwErOvNlzt1P/95p03vSZ/RBkqmPzdRQUMtqz88 5aKvGpEFxUbZ0xdtD2AJTGDa5NP/SwQiwq3f+2tG6TPGs7CeUvF1jnJL1hsrzU0JR6umzjdjsqAk C1XD5wtqOan/OMk3JQUahNi3fNIGalK6Q1NS15imlAGYYfNZqFWIVdui0wUbWeEq++Tjwf7csTsr NeRJAFvY/kTpM83od7No3BL7WtQHJW6ZCeJk5kFhqLj1rNMlzTUfauL1AYi6k7V4kvAO5CFRCazH 6M+B6GNCvGgB4jMi0AZR0gTca7Ho0/dQdXqiBB4PBu+3uyHYGOwin+MVJdAQnWyzGkKjLVG8yGa6 PhfnBBjoZ0YJNF1Q8OJkX25+OM+pQkuAqLoM62mSBQn+OrECEn9Ot8Bj+IxIRoIbAzlcW2x/tsEy G2ZfM8qfW/+G1XHEOy8kfP7Ve0bPvAq2kYepX67K6RmnO6VMj84RbMM1kMgE5PLAnhu8+wTLbLCy llkxGMebVLN02V5z66tG0Zl4uk3cRtqG2zgBs9OJ+/yZx8DTUW/1GzPWOTQZXl7MCLZB9OjqxROg srtVp0KPsTWPpoxen6j3vDRRboZ+Xrc3VqHQMpa4puXUX1vuadTOgrZ8z4+JNTX3Z5Q+a3I6cSZs 0WC5q6T+qSdO4ul/iWxo8oXtuajwGXIFKSsr1AZFNpxqOqVx3YjaaCZiM0NdusK6lA1dLbY9pRZc 9V3FO3AtRWxUolpOnsY/j/yaaG0xo85zsYkpDxeXZLVplTPzSbrwdLA7+RbvYjXjpFavLmaE2sD2 tNa2wRJOmqjextqlm3gzXLqstzGj9JlInA3zX1+8NXbyZDrrEfRzijGKI57g7Mq3oIF555mtsRll zxw2pM3WvhZVF7gbeThOPoSn/wn6+THA6rhE5RkyBUHIz9Uw8yd1Nq30ReUHTZbP+1BUfM1QZENU uCpWSm039Tmx+KQPJpB+wgTQOmQzIQSh+/tF17HUJ1anI1wjqTZNXpsWpCEy/cgFT/8L1OKUC0GZ uD0rSpzp+bTE0RkcC6pKnW3yEpPIxrTWxopQG0PSNWvf5YbmAU/Ppau9noyH2zPhrUPJQClEKTNE AoLPCEp3BR2Kz2jR6Uocl9CDuuLpiCn4nflQWptkV21P2HdmY4qtCMYeQkYRe0xctUFkY1noWWHe LHnZvJcvHnqgTjeQJEC3Oe+s0DMxAT95PUcXHpkXL64Vi9MJzStaAV3t1PgZFCXCcKHi6Qgx9ptH ib/LxRuuWLoZIhLJ/E/Q90mG2hilW+hRsw+hZ8WoDd5Y2xf+MZn3nJjdN7jPCD0QV5cfYceIDpLW fV4xVVaA+Iyg77wliM7QzEWAujpFn6pUxQzT5v6NViVT8Lm4VsgUBNigyesc8keSrUHbcGVvi43t ivErxDqr3Oq0WE+91eftAaFpiCmIkNPkrZtEwsev0p57PemrRlh5FqWcO2rR9pxknDdBPf8ST0eh 5zsyk5+8ttfsK8qaoWFfd7b6HIAN/RNaldvaYo1Hh4crJAqKmU2uoxYnWwDTs6mEey6A2jtPFSye 8Z5h7t6vnj4dLvEZQVtMVIIqM89qvmcn8s9BdNSJoBlP/y9pD93NaXWk7YmSZog6wq1iGNlLDhb0 rXi0xdhs5+GKFTa4PZUVJxcvStM5T4jOQCSAM0idO9QuONSTyomON6TiDj8kArRwf7JGgrm56Cw0 +Eg5PTf8wOMBZGN/X10T36PfqmtFaTNumUb/Bt3sijl08jRaZcYsxgCHK0qbt25K6a9w8Z7PPEEF xS4lftoJT9RI6IAfVCRBON/W8+EUHZ8R7I+wYtRhPgt5VYkGkZSahu5Bang8urtu21mCHAOHzMuu FdIFM8gEwCdxKtjXlrLP+R05q8BsqmrqhfgTwp3VNVx2xV91OpSlY0Fc3LSuR5VhxaAnaqEszG2K sS2/osw5mTrdaL7o9sr2Mp1Egt8w6mr4AZOfMLWT790ewp1BRcllKF3G/WvvD0lrCs+pJH9/wr4z rXN2kpLNFySBg6+NvJoaG73Iu7onGRDicpzXx2vStXtFeGezOqOFkRbjMp1sX5ioU4Hh8WDoPm/X kMkhdqhcUMsK287Qf03V7nJAuoxvOr+MYEsyPsGKBepUMNTiiyeHFTZFInudCqnQMviEINCncOB+ NlVIlP6Moa8agRJYcw2zGPJbIJ00psmA6ZxePP6vvhjfNnQr8/TCfUfJM9xCkBOaE9xuJgWwTLmF oI1+ha+jrvNIBubtvniIbuf+O1kyAfNznhSOOmQTVIICFZnSn/0p9lWD0otzwQHXalv4GQAlyW35 VO58POiLtV/OHsCLsfWt/XnPnvFURevFpI9Ofm7aPrsw6pHNVCqlALA/oc5GNwGE7ovf8HNT/Zp9 Hxjvik/YUUhUKDVR6Yf5YaFiOz4j6Pt0huZJ/yUudsCAd5DRS+NXDG6w9N13xsT/KwPaMVkQZ1FS vJhfpDF9rLMMskrvX9uff+TPJrLan6lF52RHZEE4CFcNgBaVSTDvbDdBLJTywmdE+4OitEmFlouK r/MaarCHDGiHXMFyhzr4chkzTpL7tT9RAk1x8DWTxx/2mwhMIErAOj/Z1J13CNtQXz5JDCntL77O RrbC+iJD0sZQPyhBChB9aI45YYeNsR0l0FQiSXIHS9cdbJ2fLVORk9BsPB0xLr7DD53sHvHrHSOe oY27Lp2pJGdciFxQNHUzC5QdI57Vdi7Tlzu2ANzc2HB0I2ADdqLFjBnYz+7XqhOzAXxEVF6wOKUW jBarvky8+Byuiqf/pbUh0Aa0AMY9XFH+DOJwq9vU1k5GayLGa9HUW1obVOfiyxODNpqicvXFr/eF 5kYm5kd9sc5rDmQ9jmpBuvChBaVIdqjtXERjmnfxwyWp5FrTwtORAts3KGGSCXOli3eE2QAbHiI8 pgRQlwtkmssF2Ewd0nDanlCgbpOoLKfX/Ti9nrIDZISddLYgNC+LQcrdgqQMXwl4vdPotemrRlxK 0QjwJ9BiI8Ga2LiBBSiejqqv74EyxT/W8/aEuTMkp5bwT0RvZFeSYGvUHJWHpT47xGxIIQGlri2G x0wnt5LAHkLzueNlCjK3DH6ahZ7J0Ez9xx1hNoaUxSZl/LiobQgPYk68Uh14Oqgt5q/Qg23aT+gJ m84JR58tSsCd557GZhr0XlDoWdRQ7/wxf4Yek6mbT2Q+0Rg2xHuqJz+qZj9oyv9Q9WjficXeU980 CD2bF/vKd9HZQmuD6n2nysXTQWfj6qYPhnN6CjtYfsfCzpijlSpeBXQMtDvnOii2OzT7s92J4Bqg SWBbNE/+Ekk4vwYAgVWOk3QINE9zSF6fnwuW2BV/bPqqQV7Yqd436l0UmWGCwD/+SUfx9L8KU4F5 N4AiVxY8xVRBonlNXONsypQpN6qnYYVpJk+SsvspSpypjnniOUt3LnZznZwbFlKkuQumSiolalO0 KdvPrlcdc3NmQSng1y9L/j8BkFzQT8DnbDRT2CfrJzfn80F4rt+pcyfNbJe7R6FGHa1/gCkCPAP6 2lmQDfZpKgPQBCCVUiT4OVHyzL5GUv8nPZgNTIrb1lD5hIhkR4xfroJ6SjE0p8SNbd82GLuztDx1 ePVF6fOJoJ0ATYh98vmovvArXp18wqueFyl0R1n1y54AGCwr4RPtw1SgovUpe4IUAp/VJNtp+HIr jPOrQynKcOE9sYfYV6FE5gl4+U53FpGr+JAIGMWBmfMGK94cdclKk4TOCd6LPyDIg8r3TQaZqS9m U05RFg1SRJNRONpAeUwNdubq43qktNbdgSj2EWR+Q9asFtukEyQxU+5dpu4LnC10YAADrTRCL4/N xZK9a4oSaSoF0UTNF172GRMjvgY5NT4etaLH12nb1Cmqz2mLUmnkgdC7GopIQ1L8aJXR7lxGKfWa u6aoGW2lPM1dtXguPc5mwzWDzURAMxm7OrChJ3/pP+jpWC9oU6+XerzvH0IM9NSYea6L4Th/RlpR oBOR+XxQbcybTzM0Qa8XakF3l6KUGvi9Rsl9MC4ojMq9WZX+AOyYZRgLVL1JYU9aE40hfaTx6CNt CGO3YUjxzsk5gRw45/B5gLSXU1B57+NDIhoY4zY7QlrEIuwyl/vBqebj75tEd52HBoYL9JmI5RRK cCAWSJec89TWJeFLTUqbpxbiuvUiRXk15eVOEmRmuOl2pUGoPNfZ1mE7EWpQl3+g2UsJMqI0TR5y TPuyUVnGlDGRSchFW7TLFtXknD8+HjQ9bl1G01z8JUiWtC0KVThq+5VazzI9tU7eNavZ+65sXweR IknGbvviW3TiUe5U2+G8X9bV5+7Hf0m9pHv7Ywftywa1xzb1qKFFr8P5K68qbY3zDB+PBoe/fBhx Sup+QnaUXgPb1Np0Q+42TS/pJMApO6Zjuvo8YanBtyfLm5NVLbZFcFwbWZBxDHeK5kMTykZggcNz 4JmNTfuyER+edz+Pqhb17mFQKcpKm3w8OGj36mdhN2Rt9YTsWMqOzbPq45+ZzOO0SzQJe9SGNxdz CrvT9hrl6Yt3h07oPy8i/bjLuRsIJQbJqpKV0WgBKGBQySR840MiNUS61YPAZwv3qI2kWQcoRHz8 n7QV3vJ4kesTjULzwckODRWHyOzJPTl0yvRrKRhpDeocuA+i0T3ey1jcYFA4Vgd2TNP7W7T/Ww0c h+7MHrlg5MB+EBFX3aE2fDH4Sy0q0yEknkP/wd8Oy3DALfVyM3JoQEjBqzlWtUq2ZOVJE3CS808Z RiWYIbMUCQwIXVIqF2kmlUczCeH6xJwqC6dz75vZE+2TkHXVWn8P6XNsQEjBgEGHGC06aacYVI/6 5Kp8PEiy863WCHkAqqc/pcgfHoSYCBNww06avAzOtW8C/sTnUp5KexQqQguBJ78iLt4rOmcXGuVV 5FSAUXkmiSTgPPrhz9HhlR8S7BFfn1PoZC1JARtzGMmOohuSAxtC6VJ99RqBWXka+TnwIdQAHCoH FrDr7h6w5U3IgE18vA5amGMvkedEgcqXAjVO/BwgYRJmdopBTOyZhmPOCemrRstltao5jM6BE6Ed tBOxRIUqVqxNWrEJzZArH//XQcNjnGl/mV2FXoQojzA4sIZjGg6y39PvNNjjGVgoB2aEj/bo3r44 HGZNSC6sJnHWip4j4jWJeWTEAsflr5Hu/dCO0BANlCHSYk21XpvgVLQjjVLs/+O/jGxtP/d+4EeI xJgYPA5XERFqNpvhNWgAgbZa3Wn4SQsTbNmhLm2VJLF0p/WTMLJTjQS7oiphVzZTj7NU6DDeaESN lxw6EuZCKHmRoWWx/As8AIvXuRc+HulHusoLAXlIlNJ8rrSQawjHzzyazlejk6FN7bNbmyfA9Ow1 itHS7B2VcRevZhGiF/HEJ9SdU0dQ1LlEqbSAWdF8pq5DtmmhKaGasnMKODQNOHQu+pzFN4TFKJ+P Lv5vuk/BEO4R2cyhLyF4WzB/U1vtZBkk7xL5kbbR5SsdurVJkVyHZHtTUps2XWPL1nvFTtFWFy15 DF9/3FoOrwJ477r3CyQm9SHRe1TVe8y+8KjNk1Ww8XN+jB6PMPf1uwrZbMw8Ry1MsWnemrtJ/Waa B4CzipMthxXADbsbD4ctbEsf1UEaV2wcWmV8j1TxA78lXAp7dhAoy7U88BhOX3NoT2hq7ERSaOHN v2BhxmAyV+bjQRlyXVaYKeKLPPCGHBoUAnkAlcQLDjZL5rnWLWZbdbXoHDsU9iJKQvblNrIba/4u vU0cYVrCb2q3kAS9HhesbF820iNlFUtTDi1qHJUsP99z0gYfD8qQ9T3BbwRb1huOQpNCKCDgtrcM GzA6u9WSX/ynWr/hKHQpXEPoTlGAen1aIruDKN+VYUPiVxay6Kud6qfJIdWFcbJ92UhcgEMQsjqx TI3EMrr9LEnOHZr5fLBHzzREkAjMgccNR6FVIWbZrfONIxBtVAtH6DvigFG9w4FoOTQrHObyLafL p9/fCUMryC9Rzm6Q9YQyR8u1yZbX+0aL07QcuhXmKoqLqtrRL9TqvKZDjdcy+XxE8f2W52LyUS5F KseGhYuuK+Zz0DLJ5eBIjW77BizIbr5HYZI9idYramWX28ru6BXtyhZnK+VkCLQyg1bFQm8t/8zk 6qSFDpL8kL96a+jP+iI0EQguOmx1ND4fpJBXnZ2pkLzqr35rDl0LE/V3SRwBk56elApIVKklj5Vv pJ22EFItCmIRn7WkrxxyY4rGoQhEFFNnQOqADOAV+xn7dteomM8Piab6eIMaRY3OUgT6PGlg0r2G JhUfD67++kvElZbWxNHYHoUS0kXqd9VQIdtoibAWHHc0S6mYrp8TsVnVpG3TF0cVbXJllwhBZxco dXMqHcQowH2pH22ja0qZ5di7MCvNJj5kOuH6JFuw1MR7lFPm45EUXvo6a7j7T1LzBO2oj92k5Nqy V2tVaLRz8VRvr83CTAnVWuheuKQjNBS7xyNYMVAozKWC9kTdE+dIaC2EByGpmuMq3SrNDu0L+YKl PVTQDtdShAiJovCJnHz8X68RdwPDJw6ObYuiNBsQ0ZO0mHr9uaWH06ZS2s5dGNOrtcDAUPACkDpU re1brZ3b/FwIkiyCpUyD7wsR6mTddZzpOw8hNjaHBoakrp3fU7+bZIuQQAKiqJdoDT4etEWuVw2T RWo210sNyqGHIeFX5865EJFmkl1jJkfH8laztyiWk45o0QVuiIkzI7gKF8ltr0pnDHjlXC8Nlnj8 jGCLiDznWMsWMe92mWLOFcbrKMcu41dXhPYe47nTQoQ19ZzL8FBEcDRRIiVflMhu3lwLbAyvHwv6 ULZc8l0+Be2mrGs6tdg5ZlR+ha4Lehmk3gmBpbZR6GJYRd1kg1YLN4hqM4y0pzjl41Eg+gZCoKVX 6pNghzaGkA8694y7hBbqWwoosocDRdK6sfpPC3BoDhZfbjF7iv1KHZ+GOV8nuRyyeBW8TTowsBGI d6j6l40w6Pj3S50RLtyiXlrJGgDVzMejttG37iTN3vbTNgqtDDHma10igShqNTda8OqbsixG7tg9 EMVehkv32Bi++HV2fnZqU+o5546EQpKuM/yg86qTgpcfjHUOvQzpqJEJC7PFWFSJNn9oek0+Ho0c f/mAd/KE7ikL3QxRlZ29r9YRSd0JwHlPp3Dy6CkOBXaGGDrJBVO9x6/brMOrcoOigfZsOj83G5ER sioC3l+G9P2yf433N/u3WtR7xL2t0UbWdwwK2bS+kyIKOj9ovhx7Gla207rxOE9d7mXaHG7LMtJy DERoakgFlHPCZJfuhoNAg0KyuhGqRJ3FKZYHdgSJY5d9hGOyKGCaQ1vDWRSm+12sa3TuAKaO7aRy fP5/AcwyHUtP1yh2NkS5v5vFIBxnFfmrzOJAkYmdtE2KzVmE1Ut38cFsA5WcDgLwZgcWG9k1RPXB o9g/qPHtRdq52ZeNyNIs0ziT02KEhlK2UKE160tGh+2X0CIJys+lH7gbno+thIcUo5PjzvlxgxaN sSH1cTtrgb0hzoFkqkQ+6/mx8GuISJ0y3OgZn2I5qQIpPwrkXyYbAPvqUyK1GPVmd/eFPRH0Moka qecPwMcjMM38utUmOw7pCdkhTKRRJAbRjKgsjrrO2wPqXFH3keMMg/eFNocYnaCGncMXT4zGyT5q Vrm/NqQIaBKVCBM5hfQkryFJssG/bHSrkfLKwYsWnTV4HhIzAhsPPh+1sb+5r+ezEXR9h6LsWu/5 stn1yT3sLTqrixLARO1eahFKpOnC77zUuXjIPsfgXB6EF+P36Azua0Ac4lwzm/fv9XfO9mX/uNXS HPRB4sKXCKCcLaNMBqOwhZ29RqO/Hd6fRy84B16HMoqqMidUdr26cT9W83FRzQ7Azn+YHS7p3DZf fBSygS7ihA8oEQxfC6F9mOrjYLWSLtYoLfuywTmjsMWgcZ4WC0ZNwHGUIPqSUfrowYh/aZQKj2lC Du0OMfw7MXWKN90aIS+QfV0YtmXKwi26PbJnFPodzmSa98uXe/OnXpOQfZlscNpFnfwYyoKVTdXb fOzNvuxf0oK7lOmLhtfef6kFw+vA7zARffgVr6HdW/OV/8ih4yFmAY2WDhXC7tRdx86cFPv8mRpp IBUC7FV7FKbYtJbAr+6LpdjAiLbSyAMpcHljk25Vvj6YA+darrT7IosoB6aHGG5SBQW3jC3efDwZ nMD8e/L54Oaf8yvJJsXzESrIse/h1hFLdtiyNUTmuencHAAdETtsgfFhtkY/IrQvVu330U6yL1Nj TLGXNWUxR8gUu22XCss2FT/kLy4s9YxsEaL//JJLjixr8fHgrP2fWtbmwr5FoX8LQPCzsFQrNJow W2NMMS5OxDEQofGhWkaZLXgtDyEkD8oJSMyBVhVAbCN3xJAJyatVakO5UeB8KBlXVrHdFxWzMAzk pX1eTj4eXGlXr4DfDTtUH1xfaH0IWQRYGjeDiXRzFAU20e2j+nbj5xx4Hz6VmjBHo91rv8GqApIF Gjr2xYoUrL1k9loA1+tSg+S7PiTCQFClki7TZ0Erh05i58ostDga52/D54NCpP2i5BPUXS+jOgf+ hyhEgOcr1Ujn55/MRAp6itZXIwxDN39sgCh86JTH8Xw8jtFBO8dZpL0MQsVUxGI5OyDPe3silBrM gQFiIv27sLr2RX211ZJqiDE2H4/0mr4nanBFK+MqeebAAhHkEGq6bOFm0ZvtkgUhBYI7lIg406UW eyCSdb47fRK4+GB2gJa2ZEMG/GaRHDUbf9KdvDARokr5IVGCzSlR02CtmdjpydZB3cNv3Wbl49Fb lH7FIoIEn1gUUhsXvVy2aA8wPDYMhFSBlRs1V8zNgQ+iwUTWMmD/eFw0QSI+0SxrDHJSeeJgViNw dqA5S2K+0sfsXzZgGIlSnVSoJSvUzh9kli4kTap8POrO3i3iGARqlWs8V1qYYifqfhlPGDiRbMXs Mls71IrZB7OBGWJ2ilpVGlkfEtaJTOg2E1eUF0C01EU70StpjxDqXdlKpIfADTGrCDklEUkPXFSn ocvW9WYsPv4veQe21iCJ0sv1dMmhHyIYKW2gkmZvbaQiDMTJPZNkwE6xuamay6MWwUQalQtyqXfx S62Pff5YfI8gAZJo2AcGLqLHeadI0DeKEf2Oc2CJaMZwOdGVTIuu/UQZcEIgNh8P3qPHlkwixPhV qr9Hn9fMqOT/J6zP7F6G0LqIr1HJbqlJkxFmRq95UYGuMMI0kYS2ODqUWVeWxsxu57qbQmyjj0k9 Zhy0acrvyB1fs6Liyowcv9rC7VnghOB1OnkqHn7ZnPP9tnkmDc2sRVTa/BfnTxJtzUka2yAyhiy+ xYIfdm+bZqwTICMwn/X2BFvTp5C9cizpjzZah3MOrJRZnyVgHYl4BBYa42t4w/HP+EDUX7fmJIzs oyHZ9EXDD8yFmiqPk1O/bo1EdLQ1gskQ0IXfmXvzmgudp/D9YPOcWY+hfbpkJ9Ur+fj0iiQAE3vz mgnhtZFWpUaw+RnBwrJwQKWY2CKkg9ukh1EGQ2tSHVlzye78ou+vDfFvUGlvvui1qez00P+ofV6T oPIgQYf1GXG5EyyLrXnNgHiiqCplNpqsKa33kaqPzYinlwX969aIC4f3JVVf/LUpgNSVwiTxpIyd zF9oXgAqs8AUuL2hnvVF37cmS0OYViXAUGKmo/ynqqd5rob8ec1+Sr5yjMMkL0CrSxQlwme+Jj8F xp3EO5HuwYlZFtQaoASDWkOhwSZmr6kP/i4c3ZdCf2MuTvs4eWpp1RpnE/II6q0BCoKJHnJ2P1NN XzQIN5QbnJwWaVGh2nNbS+OLkz+/5j32tDaHcVec92R785r14CROdDuKWatD6NRC8arNkZ9zbQvF rzlPcReFc0iKL46w3gNc6kE9NChoTCaQM2FChs/axKItyaF1ftH3vaE2PAxzii8G07fJ3Pld9+c1 3/n93rBSWyBVVdua12QH8btwQ1BMo8khLA3CzaTbG5scAz0hGZREW8OKqwheVR541YAQ8gk6hMSe a7sWUhQW2YYF2oggM3rGTF/s10SnmMMQCpPuixId1Cl8a845+7ymOYhV83trCDyHopC25jXHQSTG 9GNCv0i5MqcpcpeY3af0yXPl1wyHkZhbk1LyxSPx2evzclBk8NSUuRJ9tNB3/qE6Ovv2BhbGbfN5 zW+Ka6TMLo24bod2NTCqRH9Z+fOa3fD7le+twf07ScSnaFZ0oBiJU3f9mGx6wbNLNYXBhoELwea1 eVg0EWGz5y4ebCDYM9H8ynCMgpgM3poOskBLLHPSdcwk5v3z2jv0/Cn1RmwnF2uLgZU1tDnj89o6 LJq1PNEG11SB+Ig257VviDcVAJiysmhSjXJF6mZcluL5tbbro0TZTVe0ycMXbc6pgwYRC7im2kkD R+G8cID3SUfgzBfHPJFoSvLaMyw+Cdv0gtCi/jy0UlhgVSh7heFm+YsjCBUtYzwUvxPLcKqgvUh5 GFZXvWcNvzZUO7Q7BV1RJ99F27OU2eTii/PKzpcGREjilEgNOOmYWQPCZJNCU7jI+qrRuWIXA7BP W7g9E1Kh/OPvxqeDg2WdeR0s0tog42rbE6XGwEjVva7b4SLDZf8swJOsh7Hw5hq4PMyNK8MNzZ65 eJIzzv/AEBUBeWK4QJUH/DvM2/DHnMkBZr2KoxCGZF1T4k1lV7ynlysFUk6Y/rxzyhCT9/o6W5Mi NsMDzzujDPcV+lDQnFDkkQklhfPUwCjyI3GeS5Qfb0uMVV2NhyS9ah9GbGznwUTSLS4scpShapiv 4djS9kQJMk9w2kOufmyZ8fUB+kHbgybYe4aMVur+lSHDTufG5Xc2GQIz5jrQ/FfsSasp51k0i1bR uYsrm76TyfDVxbcjs9UuZrvP64lEJyYXm58CcM9Li511EiP29Rvjff7OJbPYCkmGOnyx+mEaT+oc 2s87layIHvNsTxP1927Pe5Is1SCUhiZoATSZgk9rWRMMek478O49SxbcoVC4yxePzXT6SUp3IM5B OiKSRbYe8P2qvFOg8q6uxZ+X+lYnfnsnHuZvavKAMvJ5Z5FZtqTtYeuLEOBdPON5Z5HxF+OgK1Wj trD/grEgBQZJbcmgf9v8/T1TztKVQkAvvnhwRuMkA2jOzpdglvTcguwhitJH7sN6zFGqzIQK1lXZ F4aR85PmlAlCq593ClmBn8D4Ds6AeDY14bk/YbbMvOemhJvwNVag1FjS9B0hSq3BKF3uVIYrdJjV 4vtzLvQFnrhpfTQqMAAvBUQXfu74utrtq77vT1PXdIiNOAxztxfQUsTcnezw884eYwVbv9s6laPl +/pEGTPQ6WBGmR0J2jmGa6UGK6NPo2gij1eUMuNkoBvYuy+O3ugVuSCdwk8i1jXJI3kTKfP8oXyn m0UtfdX37Rk0wKEfkS2aUMwuW1pkm5935lgRNEC7IZmC5bLT3J73rBlvD+Y2uQ+b4LRiqu4LzBxN cDjp1N0epc1wqymS3bTFK4oTU2ZOki3fAASx6EjEWDOe1Ksr2FlRvLPGiisz7Fnuou1pe8pipEMn Jkqb173arxck5xXanihv7jI0x18Xna/KlhQ7X2jgqhQFz0Kq7u+UMR6urZwn+WLbc+ILlHTIpy8D FETCgxYk0GhFAH6wwzbEzowSZ4rWQAOr+KK+4Ek+iyF/1uedMMbg/H21dxoZJ68q3vliRXAEn26R 084h6YJOwnBKHaYJ1hgME2duT04atKdn0J6BRGjMWs/VDiM/YAnP+wjxbCA7kAldAkvRV32/uzYH 7JPgay2MPRgJENkKrYTPO1eMicH8vtrpNp29pfzOFMOm4g+3Ut7e/yoGROhUf5IVbXdtmHeimL2E LEaTLxemiWsYMAXaqI82FHtgclpRXHQeLmPTFX3VIG+WouBkYsjFgGOpyeGjzjY/7zSxIi8c2x9p wTP18ubpO00M72zhaL0a/rDKq5eWG+YUACtajmwSf0xQtEvsVQk0Fw/OJ7M9mTNpGcgSE0ebi9bb DUrOuT+5oRQ93llit/JKmaYSXDQcHXgxsUG5ts87RwxfcdavFwiQyvPC3r57mDoPCik3GdA2oKFV ea3Grmlmk5BCXp0/Jtgfmqxm+tlosdwQoQjM1K4u4SxTVr2L420KV2FvhGTpnB6/E8TwIUibwAK+ i3Ln0Rbp6PV81uedH/ZfjUIQ4vO6ufM7O8xGCi2V4op5pZhqTmrJmqhQYTCkzzs5rLhwZyEERctT mSI4N8FXz+YoPCMWQxa7w77iYfQmfdVoosWTJVMtLjpgJ8ptmYrsVj7v3LCimep3I5VQqLs/YZN5 kBZucqbI/IcnP9sx0C27Ys47M+zmhuddmL74+WqQc21jaLIOogcDECwMKobbCF3WgZdnwjsxrJgb J27D7ov2B+0k/n51z/V5J4bxFffai2dJqcK9v95zZ6hZonjm4ISlabeMGSr+lhzm3H0e+k4LK7LG wb1OfQEut/FzrqounbzKMo4D0QlUep0Djq5fjZ+lrxo0DYcEBZBraDHcallqqJ4w8HlnhRVxlrU9 2IpJS53uyeE7J8wyrkYnVXIxeJLkBCkn0cGJejGC6jslrAjGB91CnjIuXpomqCouCZueNGqyckLX BgEJw/TySJz0pa8abc9UWK6+2PFKXbVwbdif9+QZ4/j+9frgfc/7OV5hyxks51N8KmUGy1m8noWr xsIzOmMWnt+T5/T/JBhcJMpdHlHuidEEjGhJwzi1aK5CkaGVxXl6/QKuNPuqQXY4aNBryl35mtWd 31CGEJCjeieElXwJYZYdJgrtdd+eKHlGSwb+v94X89OFgsBLL6gi2ul6T57VAMAsl9vD5c642oAD ZpGNcT61L2HRYExkQM8ZfSw6I0n7vLPBihQzS1qEdWlh5T5hHEfB1/MffN7JYGwAfl/uVIp82qrv XDDkTGiOb55ejo5XM7nXLA8Fsniu9ss7Faw4JxUDPl/8dCH1BPRfkPBOrR9uD1q/+IXpN2bKL0Vf NZirU6NzY6plC7dnnbdSzKU88uedCWbXq7aHjNQtowzfnhCQ0UWQUx7YgPq34NOqGSIVxRRuT9h1 HtYQ6754ZbrRgFxygoSodWfjtaBVQygA2qD8E2Xy3T7vLLAiwBIdIJsvwoRRdI+F6Sl+3klg+D3T /JUZJmcUcHeizBks9RM8d7bMOV+zujaaZc6N+uI4W+8MMI6iFHP69MUL097PWwHeJjEZhYQmvDx0 xcY/o8bQy4OuKb9qUHmhm39+JwrjcNHLU+cUCPzs7+ed/fUrMmt7cJXOuz1R4izyV7IwU+XnytDT lqF3U03NLvZ37hfP1mLMqXfxxLkl3OyFlRcAK1UlYRZFaX77ZE7KT7xTv25TdSUVYBsq1RT7BQKc mMmZcvm8M7+KfMNsfzgPRBgFH9A2KMqcd+t3RkrNR1OYPnl495kFhMc0s3gnfnEiwNNV+BpxsQ06 W9P2Jojq/JQOAhzfNLh6gOE8nqZP0fcMdkd0HSrJaFHVBZ0dKU7N+XmnfDFrvpAeRmacq3QHOu+U L+zpIs2xSnizNekqwWyDek5MC5fMnvH2RC3nZB3uPHzxWfJ5X5CjUNuNQ3wpBArGXXHxPPMuCrq/ E77woi8mPE1pYbO0cO4OxAr7gL1+3uleeAvS970Ol9XM20HbE2XN6A5he7bhMwjzJz5jJ1lhZ8C7 ktKed7pXMfLseSM0Dyx3HjhOnjAxD2xKe6DC0tT1WSCjYyBCARyRBvvWd426Ygw+tVVfNA9suB5/ aEZSP+9sryIdo6+BF6JBKZ73vJO9mBZSjnIXu9hTviCN1BykIS38xB/zZ8u5yaS38eOmQGFojNFl BwCWvcE8x7wUVx17tWg5edVOdu4714tNdfkeD5N0q9KwEXgTW9VPkPi8U72YGPoB08AUZjb7vkBR 3twIA4WNIMtS6It4WXphqAlGMNqgsOnMQURpOmbtit6dcLMaRBMFDEtAVRAaThk34AxHvUSvxcTw neflXZ904ljmgjefxD9whxsbf+Nks593ohdO2K/GIcTrS96Om3uneTFbR7OZfROqAbNFidu9zvLc 7u6W+c7ywpcvPGGw7rHFkcy1Y4qsmWDCXCIXwQqxowvY+yvGQZOPzzvHq0hxtvAy9YUBuiAzZAAZ a3/eGV74hvl7ZFo0X7ywwjBz3lDgSmQvAbnB/jk4S8yc6bWKRKzJTeKd38UDxveHothanJrT4bY6 pZUMr4xF2sUE1r6i0ALN3t6fTqD3O70LsYB/cUAatDQrEdgCpt/GuQA+7/QuO55fUwsUNqx3tD8h YINulcRn/4c6DUUoXfRlYLFBQAL6VQ1t+Xd2l2cmyH7v4jjv8385r8q2tsYeVftDhT2IBrLvbCNB jK4+7+Qu3u+82OlLpcWwUJm2SvS/HJ93chdHZulrfypxzTf5eed28f2hfoIxuuomIRZji+16yecq RQ+LY4t3ahfHmRxlbcuMr1fmqdlAcSwcmZ7MvO1GJ79Bb3nUNfjVDLWLXj2/ahCflfhIPGE+4gnn Py0ivfexPu/Erv/Kntk3aBdk+E7rKjKRPWHSZNrP/tgocKFHaWOdzXqc5yvMnpfCT1m+eHyGQmvu 0ms/kQjgFgJaAPdH0sv+ocn/IqJ83lld7OAx8am85rkIgtmnkmfMpD7vpK7y8N4MS8dQ1vx+f+d0 MSsYGFV7ZTpFL4FqAjBMqkxHdguSd0oXK1NmxEs41dW+jhdEFiFQgPQQ8HEWF/RMyvBQo8q3SlM0 //lVo9KUEVVi5BLgxT09AEdSRDnp8zuhiy19T3+wFYtCy7cp/87nYk5QyVWS4t+JPkPRecEg3rT/ yqzmPvJO52JHl/vChrAWKy0WCpPJN5vNzyTMCy8q6pJkN3rO9Ob7vJO5uDuG15i+CKQKTUSjC4zP O5eLE8XbMxQOekhM17YnxmvIkchlpKbbQM6ZmiU/FTBWvT1h9qyGRunLF6/cYc3uam3wa13V8sjz PnXA/x/FDd3t70Qum3qCfiNMXfKRDuo8jRx24dPB3VUdbMhAvAnAuYCNdxoXHgNp4CndN6WYUbpj KGiVactuQ/vO4rpMHMlOaPH92VTDSyq+GIGZHnEcSN7tfGJP1zcNGhscWWwam2nR63POaLYpxPq8 U7h+waG69BM31OHv6Ypy504x5GxN1VPHLKFW1yZ4i8UXsY7iVPyNc66VHVMuFy42AAUexLOcKilD IxKQF5RCIENkyqBJ7A8tB3xGcLcnTUz38MVQlRC14a+3Kp4OUsNfE0G6jLfbF2th5pzJQ7Z2TyP4 mJlzq0OzwQwnNX97op4zPSw1s7Lldg1hwUbnBNhonODTi6zIsDN7yVXP+mK00GohYAOz1tzoP6pF mTPUKAXYSHw6mLiXXzc7DhdfXpFOQqRzprZVbY5IMI/n80fvfrjA2DbWyXvmbOzFzXG0Lfb2DLwo pWsgeMq5lYS3I3iz0MzzyZzZF+shBZBd1ZXUUXNVVngeirZ6CvGKpyMkr4Pp3EQd6sO+PVHiDEZV XXRxte2ROMtsaslL4g9XIA5XjwAbgz6ZhZxlLT7QOUnieYuL6q5z5edFmUTKUCGmnSB3hSOI5O1R 07ky6oCp6wu35xzcaXnzqSt6lDc/lCWWI6PQXMx2J+45FwqNVjtbc1nPeRK5xYt9YNDCtLBHPWfd XFlwcC4OZwH2dQObgZfnnFIqTa8O+nGjFRolEYxgS7hPj/JmgcUW7E2xoOxZSpxPvc536YT9gucD MuAvdg6RysDb+BbFdMBJmEZWa4zDBLbGtudDsOnFBvB8RZnzlHhdlf9KffxX8rmxzvllW/X8/zAC o+zx5Jwdb/naF08nLdYepc6F8QeKlr5Y9lOd2DUKno7Ykr/GFrzXq4fnHjECQa+vdOrL9IGko8HZ lTmbadef433OyKlME3/MX4CNLB4TF7/cBdfQ7bXGidSLA/eFc4UhAC5J7zzT5ahHnec1xJTUZBDT IHzKPumbpsv9bBmeDm6v8avvg8ZBvoV7D1NnQLHnvPrrijoTEvWrW25YIO/B1LmHrMAxXpkEI+Gu pzkvLveWSeCggGam4cv5oOnxh/kMPiO43Bl/5pT6+nQ8Qk+zZUHlJ7/h/3K80DWSkJ62J2w8M5fU xJhGyXhBcLoqhTMYgCinoO2JU2dlNC374qeroVFDWCia/nlP9jUGxCLRaYUN2IWCUwu6R31nTZK3 /Hq5qG4HAmQJrTomHv8X04JdeLrR0WtaG/SeO6PbCKoqBIAKiUxAb0G1DtKU508hItN0S8Me5c50 WNslqX3oeT+YKLAiXUWNsRM/gBQUPxAwcCACZnoAh0lfNdggjgNBcfXFNmjPqcr9/Es8HuHFvDTt KtROCHru9wjt3IlIgNZB/o/m3k2y9EUhe1ATEm0d7k8E2NANVqQtWgbH4ZXJc4dgGnXN23knR84S rqfyDp0IsPcWfpCK4TOi9Ed4n9F9Ed7n7D9n2KW3jacjuOF3XxVUFmDG7v7EfWfsimydqXjOEdjG pL9ohoHGGAY23J+o77wMbyg6+7pTwVHbiXB5SMjvnKDN8QNUECqIegvIIh+bdiqx9Pfs2a6ABG9H XzQYbGsrgRmp4el/vT7sgqCZAPKKE5Oj9BnScg0MLA0GE1GOxBt6QZaRX1j6PKLG86R1SGXupsX2 Z6dxMuUsQ6OTMgzh6kkKzPQbxl/cGqu0xxhR+kyIYqIOky3+/hT26E8Yy3g6IiffwaC8sIEH394Z G1H+jDT/XOTAqtB4ljXufwCzFmuHTj3VddZH2Hhm/pwpHKXl4sE3lNvk+gB2vFwfFjAPKMJ+gNS4 1dfWV40aqyxOm45Zm1Z94QBb429uPP2vwTK5TJjB7xueRwh3zoRqbHMOsTwRVCZgJdGrp8mjiUGN qO9M1xhJtHJhhsHyou0JlR3zwzpbLURro2MHCtM7FsRXGfiIAI3JeUUhYR+LeaskgIf4uvbzP3g8 Glus7+RwUbrRk8MRJc+tMzncw+amZL2QyVSqo3mL0M6JP+av6EMVRHbGmm0Phot7DairyC6sS2+M CVXGpfb48gGyOPARf6ISWMFr0dS9bdnyndjW8PS/go9bhJZ2q68Rpc7APlQiJOV+ua5AP7UUpWpI 7STGnih11tkCBtWXm/xAFJNNVIAx4fNA8R56T4LxkAH28ODD1saI2s5UqgN7m8EZEDcSCtaoXSYN 5yRnPP4vppc2s8t90zYoTJ5Re9EmT1Qm8S4WCFTbqUyzukrNiJJnWs4AV9B8seRnnoxm5UU05imY 9smzknwd6aB6fi61WEzdKOmrBtWpZYcr+SIyihvOQrX9MyKsM3hi38U7qtJ5358Q6zyA2hBLGRtF nBq4BLPMadvTxzAuwYiwzjXp7mKKyMWnFmtAKVxmM6eyaLKAyHx1pjL8K1VDLPgIdTUYdjqzOi2q TE/xxb5o2318Rpg7r/l1vOg8NC9XZ0RtZ1QtJ+RkE+qD/5JSn5OtqN5A6dUM1DKi1Bl1H+4stb2k 3Z2F+ZnnD21iNTUlykhCmB+zHUiPQK/EoeD4DfAZf6U+pGTaIiwvZl7M7NbaeDqKPr80AFCzY5Jo 2xNmzovCH0QZsgbKyhEnB10uPOIaz+PvzDnXdRevLOAVgmazaJSbSRa2h0wZfEliWrQ/m3jMEabO zHkmTWxhvVt/GDPOVz4HjLy485ovPB/U7vV7hxpnZ+vmPnHuTKrgUr8Z6YmBwXsu3nlu1UEtI+48 a9bH94iLhx8qI1LSF2qkp0xtSxobk9bmuMK6d+Y3ZsP4kKg7ZkzT5ItNlfvUGOOE+YzHgw2afr1z M3Ba081+Zth7rlA8ogcEvS8wDUcedH7LZrI+RcoYuMBmlDyvLLIOyShcHi5lxmCNrxASziQ9ceAv M8jqbTwOhfS+mCFqQwJiGHfYwvh80n3KGBDVjqeD7WnfzQ0qmeBttu2JcmeEubqnJYKnUCQ6dWAW TguwzdoCjSQEoBmSBbdALbn54lyUEx3AL02qvSATxAAEZ78KLB6S90tULvqqEZdJOgA5+6LtSbVp btUmn/5fAhCy7dLv9TWj3BmwoJYlU8jSa1kAWnQtVelFgDpu9xlSBZs6h3P64seLcipDY8EJV9nK 0nTgl8QN3diaF9WUMgAz7j0biXL5otN1EoipP3+ffDzan+/JDpUZSr+vT5Q8Q9jtvDSl2/4sU5hf Rq/E/qzlZnIz7jwLsyE1gDzu61MJNdTo4tzJmQACaIhKJ49yyFeKF4cEnxHsT9N7g0RLi0WftWuS GNYqeDyYu6/fmDEo4T3HK0qfUQq1k3kbpO4K9QE4mI3L1ClXxfcnFKOr4lAKGrUeFwfw0AtahpSR OD+eBl5QvEYoOacOVgPOtkBagM+IZCSKzlX3xbhMsIUiGwWjrxllz635/rBQQxOPfkDanz9RG6XS Jg74jb2khAnovPdWK7DTis4RakNehCcnHb5467mfC76nKapgT4R3wUROA3pKt16u19z6qkF0prTx yZSrLww/J7YtYvjaKc/wdNQau6Mv7g+HJpdRMCPYBiGja9brj2ZcuJMfXlH5qtsL70/Ue15CtVAs U4tjwvOpJwYI0epCFwKE2e6AgxyAgo+XNXX3Z5Q+py3FPkLHdnbMPOnQUt0fDU8Hxdfw1gaBrvhL Y3pi2xNSBcnU29OUZWHNrOoUnrgOqUtenc5QmY7aNDWLT5CfzmHOp46cktkAmQAHmONDAD5PhvWD vMdMm2hvMaPGM+GeaRWNd4odEzhj85vit+DTwe6k9rU7lWoCV31tRqAN8twBylHwaT2bqdUGMFST 083Mj8E5yp5Hqb/6zl991ZOwDfYV8PKgn8QskuMdjuD71UlXX2xGyTNHDWg4Z1+suBjSrgcCDE9H mJ/vu4t41XF5lDMkCnZoWk+jbJ/UmTA6VO71wp3PH7eo9pqhygbvrpokgZSe1sbJBHGlkOqFtDBT HH3D5h039Ppm61jqExIFkVMjgbqLztZJMHmW60kj8PS/MC3tR3yLQkVwbs+KEmc0DGnpYnBMWoEC rtppCG4qG8M0WlYE2hhUSciTXWcuHno6Whkc39CLvhEVBJwUpgbDFaKUGeLaxGdEMhIsTbs0irvB VdEZEH8Bw2U8HREFv28uKPWU0T30rLDpzJ64fL0RBPiHQOhpPS0LPWR2M/SsELThopjVFw89uNv7 tsYY+z10cuLNStPdeW/2xZtrhU1nyWEOoZ2HZVdwEi3mzrQrno4QY78wCaS3l7s9oXhzZVusucbG tMp9md8wURvsRCH2rBC1IY2EKTLTlL6i1Ona2YXEmQ7AYm2QzpyoJQ9adp9XThV/qobPCLrOFM5K KruSl13n4spJMwvqYsZ58y/ZbzAF13K44QqZgqB0QJXRuoaCiUEAKfXqXUMgl3S4Qqwzu82lUjSe i789tZTzIpI2WXDhy4N5g2NWKG2S2nOvJ33ViIvS3xQ2zrVa2FQFZh5P/y94Oky9iVez7YnSZnzm uc0JY+HtCpUa+FesYaq88K9wQMuKeYIC+9S7OObnfIdc4aSLex0VWNdEkP8l1Jf61dSHLCy/atAW E0eHbt5aNPHKbfEWAxELT0c9599VBYnK3vRZUdZMq5U5nUkwp7tVpjQfXy8n6qxYYIOhObfti1cV Jw/sFa2vk/KkIVdHQKJQDdfJos7xhoWYWXzIn4AWqglpMarFObxV9Ik+8HgA2Vjfx4tz+0chav2F dkY9ZJnP+VWaytJSTfo6Z6KrFJzDtLmrKZ+TL04lGFA1SbTvBpG4yYoJPF9ofZ7oA/y2mj7U5cRn BPvThRVTW5UcWu7PKWI2X6Z+ohAejy6v8XW3lzW+pzorypsxG2ujkLYExAbpl6AycVxfICGBK9jv 9hDtLCTUkFLUaE9Zge7aENq5oAFEMhiocDhm5Ak9TTF25VeUOCdJbPAi0KLLq4zK2+CEaX7DIDr/ li3GkVhXQH6FTEFEgHMezGDo/GbFiHD02pP5Itgien3itnNmvsfWIRdviuE67Byvw8NzqTG0KGUM xinhendmSufuFcGdh7w8ILVii52vZor+uCvxeDRyv/GZlx08y8ujBLCi5BnctBMa3Lh7u3UuMqL0 c81gDfG8/tZ2TjXf5fadgS5czA4hY7w5q14NDAMSXjZFSJT++FeN5jo8YVnZYb5kppPpyYAZ6m94 PHqFvkEbGKVAFMX2Z0fZM+gnGoprf/iHofzsMDlsyOm4UsIOyYLCRNWZfPH9OdG/VyqknHqgVLmh AdqCdw4lCU1XviyXd5Q9c9SaoKrhCz8DKKIlkFEafDwipHjlrmQHV1jxxs9+T5+htr8p8mMaWk0e eeMHmpbOZqoc4XJ/Yp0NKWOya5HL4750wmpftHQHJBP8ciCET0o92djscs3h/pxUaOqrRm15vjjq r3KxE3bueBWXp7TG48ENlupXCCKKbV2Djx2injHXqWxMYmzRpNQLoP9qmqCinCwunR7nz0ycq/RV 6y99VYB2JQFZ4f1b1fhBUIL/XLsJooQ18RnB/qDyhdvR9kXVVxsixhVkQDvkCqZvMhzNSPuV590h V3DQ/NW006s5UBOW8GRAlHvh9sSgDb43W1yl7a9+hbzqudRhGYjjNWDrhNcHEpnnZMHko6fL12Ff bEf5M21lkBkOXwzyM6oAyz1tPB20VX/L86Lp84hf7xjwjKll2tMYBfBBF+GicMtIuJBVd+eP+au1 QY1LW57aHU3JIkQmxGerPL0prXr+6rvf4IOPxkf8hWlZrLq1qPgatEbl2ap4OgJEfQ91aKP+/xn7 0uRYeiS5/3UWWhv2Rfc/mODuEchiTwZfSyaB881LVhKFJRZfQMi32Qn16RAdglJgZKbcTH9t7XKF NoiF5eIJIRvkoRTpG5X66D8CDnXSd4rG5N4AYyGmZeMEQeKFaMibFhQi2aG2s/BiqU8ftLcAaBRB KS08HVxdD8+d0TOZMDc53RFmA386/NYVM5/z2JCZJzgd3XrKg26WnJ8IszGawuZ5B9tcLSFcIHwN jAuY47FlCm1uGC7/IJKkP98msYCvGpRVh9gEufignmBlb5Et04Kno+wrf11dxIqtea/2UGgDv29R lJuVn24W7+cvdEIKwL5+9oSYDfW8/o/+2lhkKQOODLj8SbdMWR6q4AUoRJ09RMrSjhafEQSHlPdZ au1wENkLBRXd7HXg6SC3uDIbQvzA9u05e8Kqc6Z6HqcCMVAyR1yQ95ufPWJSdv6av4LnMy/Lh4vG HBOOA6w673MwDypxQMToh6pH+3YsyK3FR/ylsrETjVE4aHN1I26evcKnIyrKRRtmFUROYHilr3cU OEPo6Kz6YodPkddrQ8Oe9edCNGYxd6odATbMZ+j/8JRhnH3OIqWm5ww1kCd0xgrKqvNn7Afx05pe NRLZIA4ToBsbVPnJe0o7dpzUa0dV50cZnKsHf1HJXtrIKQqcG8kEJD3REa+yhFpB5F2emubq6oa0 rX3/+LZvwUuDnc7skcI6E7fphjoPts/JCkFxRw0aYYBDfti1wIe8z9BC5w3f1+DQzcn2pL8wTUPy 3vLk85ESgB9AOIs7eWa3OpZTKFJX6W1BhWJoJqQsGsE8Z9HZfDyBFswJqETCRRlkX9w5wh5y8D0G IYqM/Yo5WoUA0wKHlEEWOdTQHtPJse1t/wIm0BXMBsXPkNNSQXe0xueDBKN9Aw+JP0r9WUihP0pj Wb5bDShVcyhYWSVWZqglWZEspxD33Ir4TMsHT8HaeXoxPYRWDvIPytAifK0npP7B9evYDSJX8SF/ Egc3PQpgIvLD2PA8UBubmiBzLf6CIBIq5StLhYdHpvqqTVJYh94AjbnyY2NXMQn+08yhKe1Rk3sQ xVaCrHE0pqscfLcB/FqIzG5n1+7O1sWCWMnJw8B5Ko/RxZLFa4pCaWYz0AC5gwCs5zJQG+usWz7+ L3acHhvEkt45ioJp1EHbmIwHz4k0AcZSsQw6ullWKRQSpllBiqrRslskKMsGn6OTrp6zlMJRFS1P GHnBqGkzgJngT3g1aFOvFx8SNDNYjv7vLjwEnwvVNs/vgF9BCmEcXwRvHLUnCum5PkdSWJKG1Red SmBa2gCr5NSIAV/A4YEQ0RYHjGKlwZEkQ5C0fPDdBh+0Og1Ido63LXUtEPzRiP5hz8AoqLz38SER C4y6SJ162hy4IDrQxFK3OSkZHn+fo5p+0VRA8CzgTfschVVp8LGmIEAMIbtyeggQbeuoVtF0uZCi wHoaAbVOH7whj0igwjuYCkCjZxqiLcDPEBMIp2n6kGPay/4pkdRFNnBP2XPMFSVpAH/y8Yhq+S0h xfZ+K88yimU4UJXZo1ten0uz2FpTROlwivFpGYWV6Uc0/Jd2+CiwAO6D0SN6ZFtmwYv/EjdZubd/ gd65XjZiWzKs5r5OTAh4+c8yRXyCLzofjzqH+2uKKsqTdT9HdhRfQ9m5NWmzoYkoxb/xczKlfVEd LOxoiiItjqqOvLAvHGyKJqxf3Lpp4QDKU415oG6hLJLr0xyb9rLRvaaqh6632r16P9dUej7a5OPB TvsF7BjytnqmKARFT5IOrOhxztRkAv19dytO504DC01RWJ3eAoy35YOXh/ZY55pLvNXaOfspkH4C o0FSRqcHoIBBtOHVywb1IZx47Nf6wClqyyLuE41NPv6vHNZMzkHZ9Rw2h+aDlHxY3azuT5KZltfv twNbC7gTmqLAfRBJPqsfjLY12BSdUAb8X8aKpYNdTuvpTf0ioIxxwBivRx4YObAfhH+05BCtkG8H 3kILsVgBX+8YZWrfeSzL3fW6hOTQgLAxkWXS/B8e3M3FahMJCZnMtGSJbA4cCM2fCyYIwwdN0YQB EirKQ6ouMs5FGImUp+HMrvV3kz7/4UAojMfaPijGKVnou3O2VT4exNglf4VG5Er2KxyQYw/CjI3G d0Mye37SFMHi3ZRLIPO4fYpCQWiKtcFYxge/0c4d1lolNx7hYR+sJgG6AqROAffhwjxWspf9i9+T WpfbnokibuiPkvvfUQzJgQ0hDoNfSAY0ah8kQw58CCHXlFliNAR5S1MeBrC6sjSEuqG+0cIIW3qR W/K1+7o9wM4zlW4clgTFbGKJwMtBJ+bErvRcVqmavegcOBFio0mLjoFR88DoHAktSZrsbD4+HjXr vymGNBeeV/cvh16E6Hi2lE34DzNk+hN7G/AerSg3fMiBGSFkPbfIGdmHi6Uq8BmnIQ9Q/1B5ZFyE 7qN8esZj+aBbP7QjXMrRsABsUE2NCrAMB2lHGgXY5WpHcqch6Ae70ucoEocm3Ew1KmoIVFPrgOSa awSd//3wnRbG1yqrKT7i4Lc+uouleUOxky0HThdr1+eYhWStH0aUeMmhI2EuZIF3yVB0K+rTf11F /fOF8PGoJfRLRgBhUrpUjRxYEqra3WgrxFs/C4eHrn31liJ6ZsOXUYj6oNn9Nlv3vW9PEVN0AmEU 0CH2VbesWjtK6hVKVhTiu94G0942ioyo208+ngYlalA/MKmOsvl8cGL/VqhHBb197bVQqwMi40ON wwqu/FiO/KDTMLnyrGdpkiJ3lS6tIHKRNdgkMTStlLWDhD9Q31xH1KFAeEI5ZN7757Sq9rJ/rCNc Yd0HbjXa1xlfTI8H62jsr9AIhcVav9ZRWMAGZTUJKASnld7102pScJMoAlqGMh4OK9jsveYmA7X2 GKgNcEoLVMGRgzS1WsqCyAbSAPyb8sBjqr/t+xzxU84NsO/Aix8oWC2CuTIfD5KQ8etWQ9mARuw2 RyF2GrTwnbalsts9aOamfS1T2V5cqzXHFoUMrYtY8xy8jL2AL6zy/wRtbBBhtSetdtgQWY8HVraX jZpEWXFj8kGxUc30ncROG3w8SEIuANawxD8UpLUpCl0KYScN6KhzE4RGw602tnMTRnOXuRzaFC7J BVRF2PULpYcrPjfZFI5BUxqJAmY2dmSR6rI42V72D5BnWiBLcug6ejH3m4D/XrCMAqdCJJNe7Ocl DxBK/bKwDpXvaIGO/584tJHN8nJT89FwaBQs4VYLvQqFoj7RTvfBW41QJqW2BpW5zu23BZNBU0Sg ve1VI9qa80P+xBHNZ3AcyBQsDQKufD4i+PrNL6UYNIPnM0ehfAeOo0KVNZQfs3pDGQz6nowi1elV oDkKjb65jmhTZMNFo514H/qGPI7OLQrhjjNZiE8r4EDwitFpVLh5+CFRZY1ZLGvmGoQlOqmywEv1 fCd8Pgqyx9ccNZKP6r3VAsdC5NCLLVkxFM4hX01aey1zcIYTeN++12I8tQj0QhXtp6sG6Xq0K1hZ I3QVr7iY2zTc+GPfyhqCJX1I0NNnqjbq0jCtbLTPtczw75ybnY9HZJdfeHxaKDx+1qFpIfFyuwyD hNCnnJCQluttyzY227p+T8QUl7yk8v52UQ8AySBOFGEBzAczxqwMjJDJ9nHb1pQxy7FtodjvQ6fS cNdL6hkwNjrvysejQn//XkUIQ8tVKc2hbyGY2udALtlSNUIsiAtZyStr4vMwVQuNC0USgyCgD142 Ojdc33JWOz8VOvKBiyPFkkYrAle5VYwdOhey2QTdsOqDpuhEWupk5KXHo1X03S8iazRfMn0OrQsb TVh4JaDM31WfXeDabEfGTiba2mihGF7npTa1iuZdRVMsuyxz2TNHeciPhJOGBiloHdYKITA2h96F g6CQRqUbDZwhAJN5dp+Ye/DxIMBO3xVscre4lG2Gogr2QLN34XgwdEhzZBr7q0KHpJvvB/aFXnss DIE1+JU29gBRiCWRfsKWSVHlhGu/qA3jMwT4o941mCEzgUrdB87QWVpTtdXCwzqKr8v4LmAXxrNf l36oJV01Q8XAaXksA4i0K3ebBY3t+j3BpZ+MFLR88FQWEtryw0JLavDcRpZSN8o9P6TdCX2lklHo X1ileSKb4pUNnowK5G04Tj4eKVb8MvIBjrQ+wXXoYJi4yVLvDhEZ2yAidFcSRKSx99j1e/6UPUnr Dt5wbOCrdrGD0oKsgQniDXA2cdNXFgGxhtBC5IdE+PMhD4Tlg+CNvQjNjcY7Hw+m6Ob6FEvBhO3L bM2hiyEy79aXqTE08DF4+uDczLrwS+Ge5TkU2xhaHaRmH3yX5ZSyH9X4w+j1sJN6z+cNyb77glfn 0MZQWWwl+UCDYDRpyU3+xKeTjwe77IZErF53UoSeGYpCa7KYUuvDimrDlAdWydvdNKCpb+dQ4GSY /99iTG2abhxus/HsMuj6EYdFw1ae1PRNJxQzeQ8kA8evl41IVFLuItKag+qONeu+PwmF3vFf0lTq x6I0O+4++8PNELlRX3bfJ1rokkZVqqsLDajx2xT9Q1o6Cy2br24yRDPnOaCXYDSAwibCaOhwW9eQ cYSDsahcmkNDQxIcsVmrD1YwgtQG9anOgcjnI6zsLx4DgrH0pLGxpyEUMfYyUkcDr+fHFD7GVfig BrMmKbZlIb5coFAOvo4A9qJXDQ41ymZTYYg7Al8j0ntbR+g36GUjDQIh+uQYmrycACy4cUdr1ktG aex3GyTzBrlU4BzbGiJFm6ma/P8Ept2sWfoyMkPvbr2WA19D3PncQVUt2XpbskAZdeQcXaA+HOZE 0RDjRCm2L3sN3oT8lL96srtLr6FvE7IA8UIa7mkPPh4F19/5B+7USgy/zVEIEEGqnxat+lDun0O4 PsAttwqPmerzwvWF9oZixOQkf4i0v6RiUAtfVCOg2WGiSueg2TUQSZOMhiSxhm4v+xepqsj2urjt NYUohX44OyLz+aiC/X3xn89+HEhyaHAImFU9N4wLUW47juaqZvoDMYsyfRVF8JBm7er/XkUDwjHn BJpq7M/WKaO9oBAH3ePN6/caO2d72YhTLoARfRJ2Np8Eln6Jfzn58uDjQfqRf0GLUT16hIJz4HGI PB/XZRqmRolalwXX85oXtzq8sR+bHC5JBTN05OCLaJ1voHRap4PuMQQOPb8fRWsYVJWr5E7/Ln5I sM+k4f5f0TWYg2hGKQHRSwYbbT+LKItZ/tgl5NDmkIpwaMWqwo/uqepFmwbnRGJtEkVZLwp9Dqd0 3OXAy+Fmsauvyo4xqFUt03F0LUAKCoTcwK/wumNv9rIRok89WfrVJb/UABwgKeOnFrStQ5/DcUuz zNEAaq/UjrE5ij3CIfgBOkWlYQKrA7j5qW3RFGGDHls1R1GEPUTtbPMOdmCX2RPaBaypAdFPx9Tz 64ELIcO7livqvkggyoHZIW5+9j/YHdfghccl/5ZzYk8+H9z841erCMXQdRmMOfQ7xEkLkJpBRFZZ 6qJNkQcEESnJb/7A8FAKfJDVyXewXB+yrCdGIg0WYplr6uRiERCfBnyo+5Ikf9k/TmxYZWYfhOWH jAPjnboWH48ytV9EIvg7faWyoeUhshcg+rpxHdxDYTZNkUFEpmVqoefhYt2xzDp88FQW7EQsITX3 Cw3ISHaAPhOYDv1makPBUWB6aAiCE/MLh12dp5eBUWdkU1vh48Gd9kselxaRtdyiWuh6CHdheJZO g4i4kcvZE8shIpNVa03Rv5iMO/ngmdoJ1ztI5szUED1SqCiBJylnLaBOdavRMIIfEiH61JStasom M1mD1WRj/WicVJnPR5C+b+u6hlvm4VLnwPkQjCKWG4drCAu5BqGmMlwNhIVH3fyx9aHEZKR3UR69 izHZUZuUgYVPVyNc+ZxYKFKDYd+uUBN5TfyMP0gz6PMuH9STLVOo7zLG5uMRXK1/zRD9KsZT4A/M D6GXwu8wCTCLdCEpekxEAWKGUqdhIQ/sP9wPhejjWcTh9mQznG+pc3q+s37CbUJEiBLPTL0uQoRQ VH7In2D+1pcPKomUxuvwRKOz8vF/kUJ0Fu1v/8McGiBSsnEugzqcn64oCGT1jRJLcygtohiBrctM pel2C48n1T/fwuZ7tQrZXFZN1mAOCyWASUq+wkfaKOTQAxH4VBxCeftgCGw2AxkHVz4egR88fMQ/ RjPvS0wvByaI8gGiRL1y2UzzZuayclinkwtqzzZFUYRtrHwJp5Qv4ZQBzFRdZmN3lg1FB074WOgc jgvpOpUMkR0CG0Qc1yyspTl9EC605KWKRtuLj0fCDvfSJ5OkF3aWnnUUauol4kKWMc8HSwP/2T80 MNRWKyid+1aLECLVdNFG8sEOIzDDocPIZPZ8UGmyK0FYBGS/qPnGLaLPcQ7MEM0SDoiV7oOu/ZPK 6rSda/PxaB1919YquRPNI6PPa2BUUXwk+KF4YLSXq8uoT4TAaJKBh8DoNSyq4J5TbVDCaPURRpsN 6gUd2xf262NKW2izh0acNlOGaYrvCB1fg6IK0UmG1bR81WBnNTT6fsilwsMvc3Peb5vcMnwCLCYq JAAJrBvMDfwB2mCtiV4cIMvip1021ZwmSiFI4LR6grnpwsqSOqLh5h7AI7SiMwhpPs8gwGmgeIFy wuT3+GDTX+fmBIy8wFKWhW/urjjYZtYJVFCVfZ0bxAnd5ka8c3wExd7xia+RUEUlDfcshf/+k00U nz5SQJPLBiifrMF4RK9xEJaN9lNr2wdbNuiqJhrUYWomPabYxcadpsBmeP2DXkef1yiooq9Db7Y9 76BlQ29F5va7fV5DIMyrUfJ1XJlO77SpeQ2AsKMauSnV7q5FOXB6cNRrjV0YctB5/nVqxIHDclGi 8VzuA+ZacLmpOnHg1sOWGTK8AmBRK7c0RDb35zX6qfKIgHcCy9ubksKVc5O6Elak9p/X4Ad/pomc 2pbibkoz2eS8xj5VAjRnSZdt/bJi0qbzzM7t3K9tIOvXyAffC21tmntoPlpW5/Yd5y5iigEXuCRn ekw41BSg0F3vlsLV+nmNe3DcqOBBi24NylM7RBlYoe4nen4Ne/B0mTY5XCWLZHc/bl6DnmrmkI3q mZI4ndvIMErA2LLfxaQcXkOemmhABVC1rvV92YszdRiOL+4p6Kc+pQ4cECfJ3EShLemg0TkqmJul dn3WUZztRIN/e5VK18mEP6/hDrdH83Vzpe8bxbkxN6/RDg7wIpkLCebhh6HzBgVkKd8jFrLz5jXW wdywRU9Mqg2+biYMtammhfMGMuNG/jz/cp63G+0qMI6sFw02VZbjBgrSGlSQhjk2W4grj89rnFMl ZfYcxcSc1+ZT8xrj4Cim6rN8Vulv09QcgyGtc4PJfZQybnQUk6tYiSvSYMtmVerb0RMA5gxlUP89 USUFW4FdGsMJo4vyeY1vMPvK2de4g3qHc0ispqAO/Rrd8P3y99Tgzppk4FNQLJia2gTzX37aGJIB LqnXq7e5Ge1r8ZBHgcgu8glPj0/4gC5ZocwdgK+0Q6IuU0NzidyudCus4MHiM97nZpF+N0jg02Bl sVnTsMkZn9fSYX2QZidBsnsKaBObnNe6IVZq3by4rZhRCNhiMWNlc2LLnS1tSn+E0c16P25OSNjP fFB1qDcAs4jEW4RMkz7JhWNmSLQjea0Z4gtAnSRnclM1qD7fS2F6dIIqPBzdU37eqIW/Wdj1e+qd U4b7FwB82UhTVXCYA8nO12O+MIkw2l00PTL5ISFSg5/GZ0cBlMcTZw3Y7/E0XnSJqck6hSZtkfWq wb7iOXyu/eKDUNN5CxKMK/LzTijDypvzK8aB510u98x555PhK0E9eRN7BJvDtQxhDtiFJVaJJruG K4+mh8VmyIr6cCELczZi91EwhGNr1upZTTTyDH1Rg5f1au8aHMksDO1tngnW9d9tpiHRzpaBKo/O 5L39vvqh9TrKBMPn5z0+Fj26JVESgV3IXV2vlejswJNn0vFcsJdgfnazKHD7cIFTC+5ZPHrOKYwu 4bxFMHiW4lSzzbWqver79FBpOmfiYDVwemalkxmmB0Ww9xD5sVm1cxln5HMuvxPJEOqweNGMBt2I kOL0FJXocfakh/cbBcldeA6BE+sDTjxpf9/n6GJb5+yms58yydOkL+LnRqEGpZ3ZXjXIrbCuclbt jIMSiLlcrnyhXxGdPjN9rZ4m0u9dPe9RsiAkcNrQ9d3mtNrObtQ9kEoDTmnrVkTTQ7ihYVk4aHoW mjrIPJsQwIVG8rzbGltXP5Ir1+aaqlqEh0/joSzVmOp6FOl8t2oOLzTgozg53zg5C6PP26Te4ycK lUGZgUORvFWbdW5gAzlYqgCtpUD+Sg3491g567s9H5eXD75+zjFxtk0XY+MkKVumHOCZ0x2BBF2P B7de9X2CtjzCEfbaQDhQX4MWBgCu1M87fcw2yrO9MhCe8J3z+QnD5Ub0hLUn0KewmHCV4jEh5Iis OBjFy51Oh408Aw0+P2NDX4V0DYQQOEuFMkdjF02Z8XW3D73q+/FDr+C0i3rwxV1Wz0lPU70f1DA+ 78wxLKCevuYHegelzLt8opAZmhoni85W9Nqju+rZSsWKXgQ2aX9FMXPfskkgGcHiNOXoa26EUUnw jXX+C+0AoIdUEBIhwLtGUUuv+j49hI1TBMEHHT/wqmDssvr8vLPG+Ibz6/ihwFx/yl7vYTMgQFDp bCVZC2cW1U/PibfNw/i8yfS6VxQ3y+gHy9AHLwqCoIluOC+vs9UMwkD++aTs4tUUJDDr884Ywzcp qOYadxAu+sRdRoeCQkwUN6+97vHDIgZeCZmQTVAUOsMKoIHAbdWvNr36RakQXl9oTNnxE8bOMjGe usXmZfqcHXNCmkL+9PnlJ1hmuWhttAoqwEfwEHDkxrRXjSYIB/MgfFSDSoMnOGc3BFyuzztdjDlC /Vo/dDaFO6jVBqPYuQp6M3VpVUhuicEy6MREuGYhyY/FwTB2JlqjSNGrPIpe52KnlwlRdgga2fWj zyrwW5Pb6iGwFL1qcDqrBkZFVA3CbEDzisHP+Ro+70yxKklCK/PI5xDLuHtu8c4Uq1LhOn9Aa5aW VtP1OoF8cxgi1o+On3eiGFdhFQhh+2DHzzlEYQap6OckqAlfBeYHnUx8eOf+MjJd0atGVXeBEJ/B wGNn3YnZe87+zztNrEp24lk/FMP6qi1HxWV0ts+14GY2LTncd/buZjYTzTneXu8kMRbh+isvA2K+ jTwTojTPaUfk9JpoizayffsTHg5/1yD+6UpKV/FB/dEpcCn0ZdrnnSJWZQP4dX3RqbPc+Ymi54ri O9x+FP00kQ42gtK8LPoRzh/HzztBrArYx27N9sHCQ9zu5/VRVEClcBSSTs/1hXBpUIYacyMwCzkh n3eCGHN3hM/0rrHBwudM75SzXzOfDsLnvr7mB5WnvG528U4P47EOkDjZpVLLM9rKUsJH8OFAc0f7 K6wyKzrc7Q53f53DqLEAjuQL6EbxENnePNMCkdPL50161Wh/scz8X5pC6OH0WS15L593chiu2Hu/ Z0O9AA61fIKi8LkifEad3eIfCZwMOrH5AUQgjSYoKjULgFALnSBruU6QA9cgbFuYvbd+thrT5g3g WEV7CkeXleFlmfBODWMfhAX4RaVEDpqghqCNG2zP9XmnhlXp1XxtME7z9vv9nRlmTdFGRRRl7+KI nfS0UwmT9zvpgpqf9/AZmTWzd6EO64M6nHiHE34TwtIyDccKfbCB6kVGRZyvF3+WXjUoHA42jYld 1aDa2AkVZCS60v6888LqI6psxQ1cyU+f4p0WViX21cZgfDigfA/vEhlBuk/vicQdevDOCmNoi5yh U2xTg3f/KtYOlIFp93vue6WnhWoVaKiXR+GkL71qMD0mi7eLD7a/UAxm+tUwP+/xM0q/nn7hMcSi ec+7fMKy8yYgI4tE2AhXYPxcGTDA8QdlTAsP3zlhrAljYnCD+2Dnc4VXRaabDBznYaprKjFdTfX6 BV5hl+udFGbV0YK2UvbBOsdZmq9Uo3rnhOHp5de7NIfwGdPrzu+UMEwPDFsS9z3Zu8tUTk4kmxyL OW729c4Iq67a0cVU6Q9TZSKnWEOyeBCBqdmgiEBDgOTM08eOZwRpn3dCWDUs49MiNbexk9kJrMBD 9PPOB6uiUnwl7wicSSxU+ziKnpFMnN9r1XgIU6h/fC6+6UweWaOyfxxGz5yXNskGa7M+uyvhiFlK LmAUiII2kZrsqaCAtx7hl6JXDZrrinq6SVBaAgMP0TQV/Iz8eSeD1UdU2aaH/tvFN9c7F8z6zjAZ NmWc0ZMdPrXn5vwUhjacnrjyzMOnMvPk4LnXOukplUooRjXJRinIUUGMZw1GhCOcPVVvGswOvSSS zp7kZ88+F7sUcmBB/nnngfFovi1kXuNFW9+nJ4qd0V4B39LdoprzwCap1Iyd+0rWt3ingfHswby0 xtiHg03PhAGJpNPxMecgU3jNSKThpoN0qVYPaL981SD3wraElsz2QavnvDJXEsyoPu8UsF9Hs0Jn fPz00PmdAYZDI+lSdfxBH8XOnlWy1eVr2z4976GzbBbPsimMEDl4ZRVVbei4c3rOGURBoI1/9CMW 7+OTSVOIzzv/i5VVFsaGUlNsFv5VG24FjFzmyXw/7/QvzG72hqmq1Btnn4fO7+yvKgHHLz7z7t11 Alm/4fwU2POwb/FO/sKXQ0RPTyTIcfDUYp/YuZiGSREmnA2qk5WCIzSeuk/RewaTI7b3f4WFCx6c 23qg8/NO+0Lkcfvs9EpAJoASgs3Ne9Qs8Rret6rKNzf8WVtoZ0SFu7oS5zvpq5oJ2MknqArM4Slr wA+AZPgTScGJRyRM/Etw5OdXy4ti7u+cLyxzcr7YfrYhKb6b1PBBe7h+3hlf9VEL0NaCx2rey6/1 d8oXmzGVWXsziMYidYkQvSYE3XmTnY0U9874IrZHC6JPH5xhMVOHNmCXjjt+FyWCwAmqlNGV/o14 g33rXaOwJ/HMGcMHtQR73dusSOrnnfDFhVC/okIWV3Bi2fxEUTMD1pXMxw9bYRlOI83HamMYTuOd 7nWrYjULVZgJ1JjeEjxZl3z8zvbZJCCSQ4BDuan04lk7CbrvdC+W1VUPoyDLhsY8WzG77WUSSica +byzvX51vbjskP3yTNUERWFzow8SKXf/oQCN67fPxPo8y2LJefDvXC/r3CNrJqCFgy+gxUVJ/U04 Gq8hywA4OVTINdCg3LSAGRe+U73Y1JHISRXFAgEt/lY66S3SmE4MweeDHba/D6CRTAfMJigKnHHo gQK3/G4f5mVDVWPd7YO9Yk5QVHamBPKuW3iW/eBZ9jobYAjqc9KuEyZQtyNRLBkKJStdOQ72hj/v NC8W/lgWm8q75nZhyWquouUs1s87yYtv+B04F571F3f5zvHi3Q5UntRJIcVFqBsFuAq91xIgG0gl 6STxTvG6kI1GNXENXvbJO6XSWWyBEl2huzO6OpiVxvKJr59OrPc7w4uYW8JR2XjE4BkCrAoYG/az Xj/vDK/6OK3aYzibSbDU/ISYDRzQKZHBtcl+r+a0wYI073aJQKEs/87vwpezLpblN6QFeOYOk3mW VdNoJLBBn4yarVllZ2sK4lv6vNO7buyzhoxoh5t1oaatFsb53PF5p3dZZeEr9kGRdd/Y553dxcQL V2vPBtzdu7oO4KgO3O2QBmLb4p3chV/DthehcTb49gJ0DhJunJ85d6MW+YTDIfKOHyl/SUUaqd7n ndtVL2O5EC7GwcryjfbSlERYn3dqV5Xn7tcFT9jjxfy8M7sYUcI/VGi6QYCbtQWRU6rscyaoW1P5 ndhVXRigLrX6vWWH87kMiNo1gr5hjESpbNw+qNw1lFWvLsBAMvN5J3bhpKw6ftj8Ugca11dFbmfa v+Xzzuuqj5i9YVqY3TYHbbzTuhgV4BYQng7CCdQnpnAC0W1MTEfz8PCd1XWbgo19Xw2+vRISxi41 qbOneuMOLIPy3zD8QRVUmelCMvV5J3VdUDy1iW3gPT2TmajDw/XzzunC4kvfq2dlVdh8eqKaMxZ4 y4D3kEyRYGZDyEYnnoLo5gqZW+2uMHpWvlC5ejhYZtEgbgCnH9acgeUVVZe8TtC6srs8553tTaOq RiJUg7A6DsKpnouBskO17/F5p3Oxo/ir4oxMkF0MzU4I2ABeYtNvknnp9oo8zPc8L2X/g4snBmww 9tlUSWr7qiTNtjcEcvJS3pVmYl0IxhontEOE+Ghu6Gp/53IZ2BT1DIm1uQm29OwY+56U7vNO5frV UWbQjfTnxNr3bo+CZ5pzmB4r8lLfUuds5nmN+eGG0Pz8HTx32UVwsPkZJyBOQNRxc53gulfV7tEE I/F2PkdP15sGoaGAYoUuhxy0es7Ol1PIOSE/7yQuwse/a2JgiuZ9G+4tipxPhg13sa3ZaZ2l//+g jZCYrRY4E12buhYCnbljOpz/bPCSYS7A6BWWDE8AJzXcTS8K0e+Z20jrD98HPiOA0xmIV6eGZH2R ImQyXnnrVzwdBIa3H6gggKD0u7nCuDkjMx3d+slVVBPEzdsoFlRrMY5biwrOSV2IzsyUgy+eCqqT ZKJRhcySuYUFqHT16adnNTGaZ7UQrsHN1eEUaYM2VxJ5iLLpeDpot9dvkDx9h+rtBvYwbgY+ZvV8 UfImFXk2Rbo1n+IWov09bjadSyhQLR/sZD63zTz/txCucS6omtVxJjen0MfziZtZE+shCVAJKU1M NKhkWKjqTuZJxdMRlPdXSQzfV73Mkx6FzYPSEbtMR2sYAxkwpivxh8ufm6tHaI2ho5lufxpsehDy QOBnK+yBvZ9MWPF+2LS0NzHliGqvGqQVhfloV8LUjew265xV9fh6sooeRc0PaYlgjXMj7XwXT1xv Jt1/Z8NqlKxyPGpybgkx57KqRo/qzbq4KvvgGjzpOsltI68ASfuk1I84FoAGdvIM7+qZBPv0KGo2 sagtsShQdFmLACG507v2ZO2t4PkA7jM9LZW+H3Z0r3eKQkJgBZyFZuIUaAFhj4WxTaM7qUagOlS4 v6K42fIuFZRrzrfbvtbJvIacslAZq4losUmvDHZ11r54Okmx9ihwLkSr0s/WBot9ANhSPFPwdMSX XF8rqLAV2Lzu0yNOIBrJleovyEvrZoXmzMqJS4sgLtSKQl6a+GuivILHs5hbHPx4Rh8nMSdEwHg2 gUwRgHipYJMA4uxlZxoc9ajsvLSz2Ns+Q5PX5cZW4593VkPB08HtNb7RUHihmi8YoYeBM+5Wg4A3 crVdSmsbdwd/HlIlBM495gUShllVdq7pKy2Fcs0URbs2lDJlcpCJwqqw5fDzh21EfEaQtkvz0MuG FpyflNqw+ScW5xv+a3uJ4tXc9pHTE5adafDMGsh/eOdZi13aKcorynLZ9R5GzpPJ1N7bB8dqdOjS 43LLoHwi/GPWDi1RmPcB636h4JSC7lHVmesOyJHsg7J2uOUmZRZj4vH/hWpBG7p6I+ceRc5o1rRO NRXGhl1O6T9SDKkkMlFejmaGPYqcCWNHAl19cKwPwv45VVU9kXw2gdqEhUa1ppkesGHSqwbzQyYK gcE2aH5QeeNJfcLFhccjZmn9Xj+dvch7+kRgZxQsWmpVZ04FEI4/LUrqZ4LFIKJpp3ME1rC0valq KPGvKh7cScSmleXPPU96DIJDeILThABOGXb64BvBZ/wBxkSWvHwQ1mcSAMsiycbTwfKZ34mpHHfr Mz9h8EwqwbJSc93id+2TedH9C2Dw1Nm04fxEReeVxNER5Lk9iv1nq62J/6fjB1YfxEJBKr8ACbrL 7Zh2KrH0CK3BIAKSdMMHx2ISoQRBjoano+Xze36yPDqcmBxFz7MAzdKWoBngfHUHGy7vWsyWDCs2 oqozazFnpYzig99eJ+04USEtnsHqzFN0VOL4aTSMb9yqqnTGGFH0nCV7gQTWBsOK9c6i+jnFMp4O oudfWFWK6pN3qumJoucO4vZoLhQx2Pr9D2pxPFKpodFwljI+HGHRWRhDXBE2XCbKQFFvcvnMDrU6 ySFISwNG1PXJvbZeNeIJEgvF+r8GLR80enW6zo2nIyjmPX0EZ0Hq3u7yCbHOCUIanVQ3RtI8dEiL pkvGpr1jM67FiIrOWVnXZsW0bzYtWLko4P2TUIzlM88OIl6jETCB4KzeniAOpoGPCJCYYjCx5oyh +D45IT9v93PJ8A2jnsV305RM93xz0xESBQel6FqzpunY0hWDz902KG8tyWrOI4qdDc6yrTw2fXom 2mUAOFP/sVM7ibtrsp+Mn8dzuU9MTwzXYExYFSFWz7322VMqTJxFi6f/pYrg5qCl5bt4osgZ3yU0 NFxCNG3H8Xb+N3ZMSfDl2RNFziL9NxH7Odjmgo5z3llEC+CoZxYiYfL92BB5WjqsbIyo5syWBWRx RTPFasC0rNFPpICbbJz5xuP/S8urIK5Y9/IaYexMNJRAu8DMU8cDLQvs6h8ToyPAkrsrip0Z9m/m ejZ48JOwp7ZouAu2RRIaZXW1IGGjGIvJGyW9arC9mHIlegVpEBMFSjgk6pxTD09HiJZfPMHBsPmu nxDnvCshYjY9lTJeIBJMSuZyegYVBlHaGBHOmT0xwHyyD151PpdgL1s0SlQ0prwxKVI1FeBfrRri wEeoq4F67Hku3UGHc950xflpZxt/Rhg6/5oe1oHI3df0RKGzlHRG1lR45WezUSL5ERTGyIPr/DVR 5sXVQw00DCweMHFHiJkmOzrg5aCJwbsLswTSHWMvg4HjwPyMqOpsRXmmgRqE4z05kCK7tfZnhJHz LxYlZXTL9qrzCCPnxUlZxU6fkrcBWupyoGqWY3rir/kLqFqz2ILSueXmgkDWojomTp+yigiFSXal eEkCWjQ/m1jMEYbOdABfZECe4SwZnhnE3ktpDcAxPB+k7vUb0dJk6XNnKIydgRir6uCAuFu9YzqS Gaefyx1KHZqhsPAsV5Cufl/vT+519lfa7DEhcpiJcHccbgWe5sDhA9uiwvxGMRkfEhTHGpNTcVjy djJHgtnCFBUuZTweTNC60SHvd6qO3qbpDGvPqI31xCsKP9WpnF1kGjIpC9Duyi5mFD2bgMSWkNh+ hMQ6ZPjzkKEs8lbTWm84AoDiYYBhmDF6X8wQs0HVI7QZfeABfTYr23ZIAhqeDuan+Qk0FFT+iLSu 6YmiZzC9KlWFzwoBJIEdwHPBb3ayWBqbgFdWnEAzZArq6NmCju2HiFJhjnruDullnWmiAxH6nCht buhl9YeoXPSq0Q5TM7kXHzQ9rhZe2uTT/zyB8BhjTSRtNj9R9ExIS2ZxiclXW4ap27ImVvI1jYky Q6YgRWbrkNrGcKc3FAuhpjflag2oIUurRNADJg85p3GZptQBmGHxeVTVDIsP2l+rSP0Ckn98PJKx +Y5/qM3wMHVmFD4D2no2wDAhiexEr9WkT8cUMjXjEsyw9DyNyDR98OzrTLhE1tBWPmlr4/YqUMjM A3VunD8qblD4BJ8RFecZGLKAosGYOsWoibWtgscjrsV3cYzQ+3GZ7jMKoMGRpf6UuhdrutlFmX0a k6mvbrJiM2QK2sLRFTa+rrBEJ9QtI+Lax5Bj4WImfg4LdACca4GaCD4j2F+MfLK8UbN7o1K/arKa 0tD7mlH8zDbpV2MZF8S88xPGz9A2hsu0QBuo/qs4hjqNgzYIwubxHIE2TAWppTv4+oE916riovSa lmC/imOgLtTG1dmgHB4+Iyoekgg35vSB5w8UqIgIByAHT0fFse/tRc/QddkEM0JtQACirt26RUDD Ib2z1m3HT93urjuj2vNST1B/dPPgDccPGhKOSlgdevOUsSHHHLZzPT8+1hTen1H8DF5WoZSrD2os 77EY/sDbGE9HMht+exEeNNlT8vBnhjzBKtCGpad7DMHEJvJrB9QRn8PVE0rTMWloqm1w8NXTEyqr NcsDZOxmGqugKEMqf7Vr2kR/ixlVnpnBnF9W78DZmdBeYPK1Np8OFs/+3bhAqNm8rzMj0MaElktP BmSBfK1KG/vc58k6pxt1CJ3NUfg8eHc1aai2Lw3VNOBjqcpYoRySmDp0g0+ohPQrlK7K2IyiZwob ZCpj2KDsAuZ9PG7P78TTUer+iwYnJsFdPCFLEFdXERocoA0JHKKxDPkvgZ0bUmMmXzPW2BAUKi0f HNPSxoKfN6dnj7yoDLcLQeEUtn2YOhb6hPp0JHp1Aj81aG9BPIJ11XMg4ekI0+KhD1k5TWJEvnxW FDlDFM9FJGhyl1TQOCGv5RsnOaXRMpbPilAbQ6CWSsxBlX6n6vIQsy7J/D3Pb5pmRYDysvyQHw0b bGd8RqQBYA335IPIOq3KhuoEIxVP/280QVZ/vLSxwroz2C1Lgvo4e8owd51OtXAlp93PnhWiNoRy hoSaDXd3dbQVF5PTk7w3c0gHAPNE9ug93Zt98eZacd2ZJUNkSzao8oPXE+ZnVzwdSWjVX9ODO73c 6Qn1m5F31W1yGq1lEw1dZ0UNh22AQqbVE8I2ttpd4lrIFr4x8AEVKsFJHVwmZKYCtZAeBMxvn1dQ lR04fEZUGJMA26w+aHeNMlzBZuDp/0VBglVvCnZqekKaIFEtipFZN5yWuK+avW44ZYJ2LvYVQp1F YpJbTHvcYgbSt96Heu4Jx7RMHAaNtKlJ056LPelVo7pzI4eJEuDtelicI5904R8Iw+Dp6PD5Royh kiz1ZU1PqOLMtBTvl4nGlI8wkAIqNrOpDVQXw8IVswTzxYFpcNBP2WCFs2cKpsXgH3nSUkI8IL7U r6o+UlW+atQTJJOp9Tuo5wUQLG/rlTKejq4unx4BWkhTvpsripopjjmnq69Nkh3oXgHtJnOvyN7z Wn/La5TNzVX2JTKNWQDpyiyMtX2WIdsba6L43FhBwbmk5aMbFB8SMdxperaUlq6blp5YfLNpCjUP PB4hfr7Xz0Sc39O9u0K0cwJ2ohQHZIpvCu+KZFoAYEI6mneFcbOEs3pLPjhm45wwfco0F6Hb2nJl 4KGD4h2q/1b12eyZrlBfg/o+CVomHLp1vUbrmx/Yz5GGx4P5Sd+nM53Knr7OCqmCGa1SlmCRtg+q vYPJVEi1h8BPkYo1j58Q7Sw0XevDBz9+GBfOJcDq+TT5N5x4AYxKOkI8VTHW5VcUOVPAJrPUZwP3 F/y8lgTYMt8wOJ1/R87YEuv2TFdIFOyV1idm3J3XsP1VaRsl+0WaE3P5xJANMde1zcboz/RMpJDL 1ENHo283FKs3DVBw+F8zr7nsXSO8szAbxLTk6/6QYOVFAbXaV8XjQdN9fl9fDRMkb0F+aBQ7w1Sl pb09+BnmkjsXqcCSkCAZgBMUazsLzc/Aj4OXNXKtjZQuBD5jrMEDaMDCruEUAlzHgx/6j6wodqY2 x0kkaFfCQfNT0Dxn7LszH48W0HddHtuz5uWNnR3FzqMITWudC0BQxOQu+TJNBw5cSV+HTMGt610p Rr5sixOenj+kLNkQnMyuAZwJgSjuRBTTcH99Oy7vKHaWR/Om2roGfgag8xKchBsNHg/KYtUxvdpM jBDv/MTqzqiXJPN7BSlUMHncnyagdZLH5PMTamzYBTbv4OunwDywEdNSR4LVHBGZJKFApIkiP5yf wmwPnxGUfcxmmdry2wVszv4636Xun7NC8Xh0PnvZkKEgCgc73fkJQc/nfwNhTzWTG6M3hoe9UciY oI3lycWOo2em7ond5ZbmPZ/P0Xl2OUEhwNQNeFZiIxCONCc0zTw8LPRgxWf8hakb3GYalHvNZG7U iH92SBRM31VVjigd2vSEREFkhjvl5turLIMl1HbjHwh7aXpC0Ib014a1T93I+FzvJzOBhaOoXgX1 eW4vNsjgDATpKGfrsCy2o+jZRMFn2z6orQz1Sv55PW08Hckb/uq6A9PwiF/vEO+cKP9YjMu0pgmz wPi1O6FgCHzIX/MX4rAOyT+OR/5xARzWqRLcaoExtulITCL+zunc7+GDjA0fEeQWi0Bek+htFmAt Cr/LR6lVPB1hEn6hwRHCt2sQs0NtugaVjTmlQ3c2r9F2ztqvps17tmjxsyeEbJCC09IaPvjsQFpj JeUWDf3NrdQLANfC9PSC5UkKwGcEsQ/p21kShxy0t/aeqhqecBZPRwI/4+vqmuTBXJWNHUE2IC3T KFLJlnJDE1GJu4TlyWXC96bpiSAbUtmo3bCEj48p0v4NpxjurXXuGMqvnj8OgJ2B1hx1c7h62FHe UclZ+ke9UISkFxMh2aWd74YV+bMy8XSUeqWvk5kYpHUbFjuMnDfxYn70LAWE0JAo3SOfUp3LtP+G bKCn7oNND6yo5iQvBmWf1JaMqQYBP+dC09EzeTJT+nFHkA3QHkDeLt0Hrp7Skkz/cPDj6aAqNr+5 TFRF2Tex2GHNOTEvnJI6Oj9ZOAiy8rVf6snkffbfkI3WVFRt3xI25xDOXCuNgqrUrjlpCxmUFVWt S8Ld9qbR0cOEq9D6g4PdWznJleCkuHj6XwISKoagDJLu3orC5obIg3aAsnctRqNcQ4c125ncGpyd CK4hkNPL7IzUDc2AbjuqSvKjpuMfFg2Ooiv72PSqQVhItwb4ZPigP7RK5gsyrR1PB4vnRs1UvUYZ qlxZ3pxCsEaHJ8qgcCp+QjCIss+5Wijrk4k3wVdK2esUxs1MKEhFssHjZkiqoSBGWuccjbj7NSC1 ia8DPkoX8MOWBT7kfYagg3iyuC1TRRa1MEkb2hyCq54Uks8Hx3PtX7cX0Kb0NfQ5CuXpgHcGau5M wqJIgiE21nS6RVrUr6TyfgpjZyP3q/gzHhWbdOKfNmhV2U4cujpjl7PXePIxpZ+P5eTY9rZB011p F3wpbDBQAmwtWZo/c8/nI7L7d/7eSMvs194ihe4ois1cyCYBNSCJw7a9OD/U20n6PQHq2YwD1cNg udYSjImuaVOEeD5oEsq7oK4Cccz5AyCr4zaIW8WHRMAodk6p9YO8AitHKWrrBh2bY/EXBHFQ+b7J Gjoq8/EASVENGpfkiVCsHH9+GsOIBXm5CG3CRWUORKGTIHPPQoMFDTZJIKs0WnZgkvbcFH9ccD87 WRiu35L2k6Zue9uozkr4z5Yev4sKnW1allw8zgzy8Yga9z1H28KSO0dRKA2TrYYOv04k0WxQKKuw Oc4ySqHEHJ0KUlSJHvrALX+4/UiFo+wKDZdqpSABOBZCbPkQbVSBVAnaVOrFhwSNDDmYNt1sbV0E xzmOhbDbMCtIIYTjYhB5MuGb7PnqieYUlqM7oD8oyYBucb70rroiOp7oLk9WW3FgaCFFIfUQTCHT VYaDLyQYEFHSh0amudPsBCa4sPqqROtf/un2l41QdiwkVsJ9OYhCeJYlcY8VCRkef5+j+ku6jo5h 4zJ0peX0eiBN1umndlilC5ekf8CMUzNViajWURRWo52ChSth7H5LihPdBqDJyNFNra9FFuEGBqci RCBI03Qh78tGHR8hydId1NLoJyYU+GnrHSOa5XfJgzRC6gbYp4YKHIVe5d1rZn0amGNRAkSeKSPd 4ygKrYng3lXHUX2Oo3O5bqQepGI0CBBP2nsm/ktGSvfyLxA618tGeA6e2akIDk0LBYCB+qSdEaSK Gx+PwHbt6+5nCaXu5zQKo+uNYhl7/vSMo/YcymYpDQNEn4i4uatVinQ4inxlhm638YVmhUrpWhLG hv1gYwUy0X2vggyS69MX85f9kwxPxR0NOrAT7NCVr08+Hmy0+p3cg7CCjX+nKEZEN/AM5vbOT7VL bTDEYd/5/MHuvJOi0vSQd0ESbCFd2MI8JwauHIWQJ5+vW97vVFwrsMdljF1VOiv2skFxiL0fiCT7 oLMIQR3LzYMRZGie0n7VXvFt1ucsCp0H6ce6RjdQ2WRyzo1GtSPxvUf1KQqsB7NMoSGZk3zwHPaE TQMFKB3XAwuIgThwZudSAH3IST3jvmxEadYqWs0HVYhOxCrKG/TDc2g++PgXqCAA65+rpJlD90G0 0GCbkpTGnlNap9JZ00zeMnlP2SQ5cmA/qDr3mZulTG3dTG2h0pp72TLg6TVPQTupfItsBGXnX/35 HNsPZtYWZSjMQRutTwlvnbOt8vEgxL4WKlxyACEBmO1TFIbYmbAOM1qm/6Zy2UU6mkQn9u4+RaEQ NLdPZ1SjwY/rmVGjJnQcxGMkbggwCZbGF9Ie6hx8xPQhURNIcrXyUhmEbNBQOO2qHmsdfDxKZ79V +kUP2c9Gi8rUgOU02LvpuG5567hGw9iykHMJeRc6hy6EQzXGqY0270ZbkD2Aa5q0soF2olV3qSS/ gZpGx2XVqdmGzoENoVrCSK5pdMVBELw0JBKET+PjwUZbvyTtGiHSzyoKNe3gNEP7BUn+LVcTP0Gr J2qTUrRM1AInQtRjzAqs+nAhnBBMJY8YRLSTeyyGjtBWYUyagen0ZaRbP/QipFMUVLyaD6qolS5T 1DP9nY9HvdZfc4RFtb92WiQLTfUQiKTqMDIxaMj2SLOD0m2bgVHX74mKakRwVs4RB5sjui6vlosx 5DOvEtDv2a2vaFvew4jyLjlwIxSgBfRCUp05sPg8T+gp3etz6vHxqB/07RcC5Z1MqKRNUcgzTICR C1kmZxVbRi1dQ6dCToWWUQyUbqoYZR88AzknEKToMEUdlIFB9v6gdBL4V3my41qcyKtPiZgaomCS icBBedr5ZknbgnD/5vPRiV2+jyM0XyCg75P0HmCfx2BtO3jM4MQeRBsZ6KOSHoU5cnXfHLgSXrKP gT/6I6XUTjR0jiPa7tUM8SSc2OekRL0Ia4rWzLz3Cxgx+pDoxJY4Ikm9HLjVzsWpfAThPB//l5QJ i0xoX9fbts+BMWH+f2jvniCB8OgNCIgZgK62UA6n8ZW8huQ6HNavtxyL2vDBpuhEWCeyKnI32JQ4 bdTQRpML2mS5lgcZw85rDr0Ju2yrkwxkk5kEbJx26rzPlfl4kISs7ySECIK8nmUU4qYZ/ovojNcc hLsuXBXmQQzph119GYXgD4WPSdl+utk+mq0nKZ4yjz0hhNSQpGxHFWvJsJv7VbaXDfK0IdjHLj4o NoJQqFKvMvh4kISU3+VHnZw+RaE/IUqbLl/Ln/q2W03qZbrVioFfc2hQuKT5koXAz/XBx7DuVSdP 7HM/bIniweCLbR3Zo7omTraXDbJ9S2UJwU90lf8hcfUc9VuujFhGgUfht+gdVwzO/zqfOQrr2HCH H6VNg6DRbYin0aZSGQtQZGZwq4UmhYPa4XWKYTfvHE00O3uGLj2FOzB/ymURBEJORcuIRaPFTloO XQphI4z2/ew+OAikCYUG9Uo+H7F7/cRmrEgQ7mXP59CnEJVNdCuSqo8Z3QlK2s5FOaqmflHzOQpj 7CHmwWo+XBzIHudma3YcQacpi987UFjLP6iR6zQ6p3Cyl40Ka9iejcr+GgQkwm1MEsbJBBufj5Ay 31iHRuLRY9QceBUihybEYeoQqmawi8paJm1qEwuLk1J7LYRSTzNJ3T48aAeAtSoTM7TNaBa2FuCj rdK051bWKBLJD4ka+kjVJgyoOVjZaKMNzjykIJsN3Qpz/SaR0cs6lWeKQs8VqrD0rCra+Z6N33Ly 8eoBJGE+5hUfwqmL4dCaD1587BA6gawb/Qr3rpIoh7w/YZRUjbamNSXMcmxYmEXiyNkHg4RQaVB9 Vj4e4c3Xf138hQeUTVFUwm6IjZrkAPEThdYICuluiJ53ub2Q0LFQO63pxuLgsAfoaOfcTYVqrM5i N6mVnCdQMVzhVjF2aFnI9YXsOvmg3uw5vaVClpcej1bR3WhKZ9u3/HgOTQsh/914ihIV26uD0oYk ksVZ2M13WqiEJzUY2jLta8sEPC1KvXsKGkIF6WQGvee/ACsKdLW1Qpa/a4B9yESlJUbaHDhFJ+SR 6jCa8Hw8irDTrzSEjKQbPoa+hYMS4C7VBX3Ax2DkgkPKLT4GxoX3LFq7+uCrCOr1u4n1Us43l9hQ YimqALPTrn/GysPeNZghURGpRa6BM4TeijKIwtM6CrDL+O6D0ICnjVtYC50LQYg6M3TtsZw5Pgd9 TA2alpsfRf/wYOms0nLwCvZCWpwlYt9T60U2ACDcQM6SnDthr1QzCp0LUaWm0FL3wScoibdyYjg+ HulVfLf3iSKtT3QdehdC2KdJAcggItsgIpK7JUQEHXmboDC65topi80QDnadnSUEl2PR7k6Qvauo C8A+nXOcTD9WAbGGcOLyQyLsOctqi10QOWH/SA+vsN/Yzl/Dx6PirE8RUaOAD+Z9SdE5NDAEZ7V1 4jN4ENGoHsTWTPolrnyYW3kiGzsYCh7LLaDBCyInZDnJzDSAIzTgEIjLPAm6hqTefaGrc+hgiCQA p2trPuishsqKlaQnH/9XcM2gqJMfdO3jQw9D4Oha6tbQhxeIgfhK3c7dXFD40EEUmBieAJRmCJ3a SBp8m/UEFmAi0ggV1aYjC4DGTCRm8i7IOWm7vWzQ2R9kwAyKT3JgyYiugAJYZ71jpIv3S7gC/9O6 KNAc+xjCXAoNQcvRavYcTSeRcrTsvbTQyHAIgLYVYu8LIh4TC7NXatq3mRILbCBRNSj1AG6Yqi8j ug/pbYMkTV20ZWB0z3JAOFMTGBwePv8vqKykSwfkb2+SFrsZ9szyrGlPgnOpCnarV/5kQ7zDJikK rgdBjNhJPnjkmM4J2qjw3yD10RnULvBIKHfwgwTf1hENAvkhwVZLssNkmYCDEpCE3hR+hFISn4/2 2i8sOsldl4uXY0dDSFjM7DR7WHvqNKIFqnEZqMCg0yjU+BBiNhOQxcEX0sluCnzS2ZTtmzatJwFB 9bdSie3LWwMQG31KBMgSjGYuH1gQqUjyWVdLe/DxKLz2zUaDSGg7pqcrGzgbokVFXBLaXazPKqWt MA0l2G+xPuuGxTm0NpQEN+IeH+6JDd+IyfAavPsOn3EkaWiltXNTTBIakqQaur1scKstmma1kn0w GE0yVWuYA/L5f9WwWdI/H76v0FAO3Q0B8AWL3DidAFL9SIWbcA2W1RqtXrWMIoQIkvnClNUH74QM 4NNMpXxOFPkLOyFQWIRhKRbuNXXO9rLBVjMRpjp94CqaqEaorMbTKIRe5187DTiFRyg4BwaHkuBp cMgyhEidHl6LKUO5D7g/2WkUhtdbleuVfLjoYtzQRWCsk3B0hk9ro7peJ+FyV8idCif8kGCjqXGN qM0GnUYZGmpbKYheMthpl7nIEA+5wn4616HHIUJDWJE0lYwaVWNRVkvcp5TDTaDsqmQUmxxum5zp g2OMT3Q+wFhkyWhUlcNPuITTF/LtULv00mNv9rKRqCD7sU38hmb8hn2usSX8Q0HnOjQ5pOPHI2wB H9LzSHoCyLCEjcZswRUGhTOIrAu6diJXCFYqyE6bnnWdvyfK03itFeKIirQ4MEl9dUTp3PYNwpQ0 vC3nPqusxEAZtlxRdzar+Cl/MTxxQvvgxceR+D+cM3vy+eDuv64AStXAQHkykdDtUMns8t222nAX dbm2CCYy/O4P7A6hBMGSCAs6Gqx7DX42isxMZkeaEkFH7Qi1X2zOdkmwgyTzHPgd2hwlMjptUL6f XaTg/CV8PNhsv9SZWJT+ymZDw8OZmCrVbZ1ZsOLl3CLDOsJEiFfgtRY6HhqXqEmiu81ns534dExU DakhA9IzV1ynjXkSdMyStaHwKLA8lIIreiA6l4YxclaeowhNda46Ph7cau3b8pDQo3qJnjn0PITT SkOd32AilVPU0ckj2wpbDTKlPkWxf4uZZk4f/MzeWDmbndkzM+dSG2Rdd5CHaawFkKiuNRAn9CFB yp+Y1ndx8VFmYfqwwSclqQMbjs8HHbU7R8zW8F09ZOoc+B4qFYG2ibJY0DYMrb5111FEuFdHq8fG h1pGlO/X4Mtoo97FsKqxLLk5jaUQT49a6RVqIs2PnxEkIuRctTW7D+rL9pPqqLcxNh+PIGt+FlVx i+uPzhCbolCImtBMVCBZ5d9sFiCAhGmdpuiku82sM3NsfqhukcpmHLyjdnIOKFkzyD7bjIYTwEBQ SI/qXRcmQsAkPyRCPrK01nvzQWWRc+FQcqC2Wfl4tIp+Kco0qcXfKQpL2BQFWYZ3AL10WkJbXe4r 1ZY8gAwMEFGeZSbLfF2DTdGZL9i1o+GA1DzRnxxw/kqE+oYP1A0gaaSQQwtEMioSUII+CPk4m+x7 z1la+fj7eb1++QCh1ySXOJuiMMZGGsJqu9LZZeS91SRzRSuX1B0mErggGrT1HPuy8Nu3LDLOyQEz Y1mIV2e2ngCSbJBBA/mraSXCQ2CDmNkzxBfPAhIHTlFDgVad1r34eAQ2+iWK1ou6S3eOwhr2mdpz Xg/1085PVWAIdJzVWcu4Ke5Oi1AiVaC1tZYP9zA6+wn7TT6ag+qwRfLujMTIzTd6EV2Oc+CFiGYR LQOWQqNlodE6Z1CTrtlcm49HZf5fgCxylS6Q5vMaGDXBd5CpOftcORvEZegwKE1YgGwZGL2GRQ1Q IxoqNFkitup52sKBnChuAu2LvmkTDPYRynWLEBFsdJN8R+j4GhQ1t3MpkHGwQWd12wT/UhLw8xoS NaGFMnuMHhIV0lsGr9A/pqbN4g3rQXWI85MI0so+BkCJWjzB1KhB1BP9yDh4tYhylbQ3OwdQBlWN QC3ijGCwBOXYOvMXPP11apJk13JmWV6D0I4DEiHKPE5I/To1gEreqRHxHJ9Rs83NayTUoFEKZLpU Y1DjH81c2kbf5gOEi9mwRa9xEJYNQaBdyKL+IIvO8YfC/qSN1NmelT7iREQg20hekTVz7M4XfV82 Mu9tfBUNdsWXXs2etn1eQ6D26DVpJ1bWz+hxg6l5DYCwbGgz0bcSjLrY8aLM6Sj36gIyRb7zr1MD GlzmesnVBy8OzbFAgBZaprYsI4oMY62CsFg6/HIJYNvsNfppCF8kjisLVlRDiUtYEHwn4AGZ3+c1 +Gn5Fs/WT7cMjveNTc5r6MM9hTXt9VbkYcPgn+VSqovUcuG9GqybIXz1pJAwB6/kwx2D7ne41c9x I9mHMgHva+eQAzjQ9xSW2+c17GnSymZxevugvxLuNqwzwt7s8xr1NOkOaHJ4M6HuwHOEc/Ma8zTg 9AslTo3neW7EZQjrbcKM50va1dr2rxFPQ0xLXMOUectcPjcnRUmwsymsBHfUo6mkknDUVHi6bOKr l2TQaB0VzM3SLS6rbw6qdADoxmjlJBif12in5YsesqMYMROdiDk1r7FO090G0cgmKGPLRHsiJ6bN LtmdMH82Z5Joaghk6BI55XD7rOscvxQ6QCx4rlzRP6lVhKfADvF4mXbYr3EO9hTrrIMS1xpUkS6l qrOx8vi8Rjk4qwx2vh7YOcHvnJrXEAcnsV7NKvZn409lXjDr8TZ97q5N+Rrg4CTuPIklj8vBVs2A bgU0mYgVPrdhHYIx4GBiI6Y+mtyIIj6v4Q0XJvNRWgpoUPPwBDqqbaEQ/Rrc8P3y99QgYRIrmHJr wdTgFIN+Q/PDxokvQ8JDRRWNpMPmtXjoJwFs6bsPF2mOKxzkOngdQjhmEIeHskMj9BD6YZaKok6G z3ifm4UU9qT+c/vgVbG9BMykbGcwOSQEaHKG3VNwEbbZea0bNtWtwdKV9dG5NaZJxyxq82tPpWHN +deqYbtp+pSg8rzsO6Duzpk+iTQbmJ3FbBUOSz8kn2euHHNDoh/Ja80Q3wBK3LlQX0cDN9U4N+qW US9Evf55T7FYR91ionIU+0VxMa4Y4MuN7gJYqtiJeVeLi0sBStmod9H06KxJe/jgR86JP8tkfRd1 JHiiNqboQDohMFav0NQtsl412Fg0ieqdIQ4HIadPUCnB3xOwft5JZVh6a37tLHhV5XIPnXdOWVMB raVUpTKNZWrY8kxGD0sYiz0JYcvD6dGJ3LoPt5W6B4SLm6XnfcpKAmY/xAllAP4NYtarQN7RmVzF UGjNB+WeJwCQj+iZqM87o6zJ41fzg6XN/sCiHreQf9GF1YlUYK2ioiHfxOEE4N+hQWyeG/IlmJ9t JlH/x2P+3IWwCtnm0ruQnwvUAa+xRZ8P31xL0xNFyNQtBD9++KD2F4QKdQ+hCPYeIj/chCWXcFTr Jn2w1VCKQp2EN1y2k6BOqWh5mb0Wzp5M3RU1v4KjuRMO1TNzbg6OuofxNeQ+2EGFSG8S3Y6Vmgya 1r5OY1n9lSi5SuRuVAILOfDa2ifWpaJkPcvh884ka5IM0fQwZiTxt9zE8z1KlhTguaKsjIP6e9bh 024keMLJPb1bEU4PdtcU7Xc+tF9o1baNs5jxDtBqsjkkQkaJcZVrCvrzqlqEh4/OZp5BHLh6YB5l inlowUdxskgnhElY04tfqF/s7ywy/mUZThtAyrHn1ZYcxk5a1+QICfVQxoOdv+avJKvt3X1w8ObJ 2s8mq/JRh+oZAa6V1ksodpCk6wHh1qsGlxf9QxtNsDQQENT3bEvSTK1+3ilk3Cjr+3QGyLPVducn jJeHS06r/67ME/330Zc3lwkxYW0wCphVYMZU+HD7pv1EgomMQ8CgsRyZn4O4R+Ok8XW3D73q+/y0 LvShcFPT3JZAs2J3BRiU/Xlnj7XH6HC5kRa6y1Qs5/xEQTPYQgj0HS+VzennzM9wKNCAEoo2WBQ1 GwlRdI32TdeAznRhaNlIrN/i4hEzhG40Nsd1irJXfZ+fMQXgkHZVc+0qyJJJw2T1+XmnjrXHDmCZ quCCRuO9vd4DZ9xeVBVMbgRJMzSAozeN49R3JyyHt3sUObMgfnYz5WL6uLJ5q8Ll0IrvJ1qtVDEF 4A7LBy1GBNVutsGk4p02xvCOLhtbNrTbbWjP6hEHtXaoxESR8zLQ5iICiPCjdfP0d9IYUy6CWQ2M AFpXteIXtyZvr16HA4Di0JmVwabUq3VvuJ+U6BzKUoE7seEsWUihPEiI2vuH4liG3BA9Mwqd2b3J s/Bgn9JQRmmw9VYM/bM+74yxJrnh5/Rhn7YnP3zeCWNIuyjJ0K88TLPVc3J1+2850U5JtcEwdB6C 1Es2uO97+Cwop8wm0Yq+d1H4mInvTuofPxyWold9v72oFg91oe2DKhkn5JEXyZn8zztZjKFB/op9 aPuybynjnSvWBDc6ebWpUuGnZFAEKOEbFIEAfZw971QxuwJxZdvN3Z4e8jw3dxXjsBEGJIt5uofi vOzcXEanK3rV4O5aZNORiK9BaSn6lNpds83PO1HMClrP4SMFnnIry1FpGX1txPwmenJbycsWEkrL C6InvLveaWJN2HOsG15hHDy1GPNcj1uxIcK/ZubXuFtBOwBa5ilpTL1rFP2wG1FpQ1uq8w76ud61 fs4h+XkniTW5TH2VwgAAYktE8xPFzuiWAIezrRZGXiNSr0U2J2m9C1hPnj7vFLEmsWLkXOMOdvpM hIabfdsG+5dFbUDIwmUIJWLXYW4EZensHr9TxLDWyQrvi50tDrq8gASRj1bm01Hq7q0JPIWaXF7Z L693ghjO9DavtyFlx7oy9xO2baf0yg6C+yuqMVtqKukcDr6/GuQit9xIztXoiu+87aDuABj2ZfQm vWrU0yKKpQtWd0G+KEpV6UruVj7v9DDcrzd1V+xDLJTnXu/sMKwfgOpS3sOBh4wNoTe9XTKYelI2 P1Gd2WLD1O/g+6sTkzEY+5wv/SQQ9ce8fiq627g+rQYvu4R3bhiLMGzUmRvbZVWf0HWqT133XJ93 blgT++5rf3VNts/Pe+xshIwiAVzkphJd6mSGeV2sopeg+XmPnbNJUsIt3Qevw0Nns4+pimpPRc3T BKuUiioQ8rJb+bFXDcqGPH5grO2DUvdGhidiw7Q/77ww/KH5O7eAjmEp3XPTd1oYj2fILdBlG9tr DDMRBSXQJJXPSvTU4p0VxrAWQeEQ1ZuDHz8ncu6ZWuHg550TwmzoUYdhN708EifdXjWYHlac6YVm g2+vKjEhmCt+3llhVvj9in7wje9b+XknhWF7bZhsDAP3NKU/iJ0h3Gmp6fk92Y7n99gZiFnc60Pc y/FwLzdKWDBRrzyegVpskonBfwHHuH4BV9jieieFtWxmSKXXOyg47KbcQTmqd04Yc6jvbkVPZAF7 5vVOCePtNZh5mWowJJAV/SwSKYQ3gC6Ldtd77JwNrDo2xYM5+O6CR/jcVJ46aUWbUqlsqPue03Hr 9LHTGTHa550QdndXlhlAdjOAkzqaQM45RNfnnQ+G0HJ+rx7qRBKtqt5xFDujQnQWSvLEvTbnOpXs EkIruUv4OxmMhzNXTyPHmYO3cxD9ZDUB4Z1ZhhQrIGZCukWZ14uE5KXPOxmsSQAIR5kW0bba5IS9 ldoQeeTPOxfMCuNf04NjuRTfXO9UsGYW4cN76ydDpUgO6CndTEnyOYaWwcLemWBMLZoqYnfwzKuC A7dJuMxk8HBzjUJmPAsw4hvh7Kl602B2ltiEFBDgoJ7FWfAso9R+cp93Ghj+zuQ3O2/xIlCEz04U OSMuAx/b5QKXleeBBPTIeVzRyXcS2AXzjMJ8hoMvntZPvGdp+wmgKz0cgfHFXY9AEsKgWjz8jj7v FDAvbJ/okUY/HLS3zsIVZO7M7+edAMaTuXwHPlzNpFpyeqLAGQLcdbvX7LlpyrKjJ9+afF3OuHin fzV3khhS5xqPOhd1bxAgT3FSSjVKJlWpaa/1eGRO6k+8s7+YVyxhMnT0oBpLpd/RBBv9gRfw5538 1R7G9/ohgw9e7Bk1HZugKHLedIFkGEFpBYo5sV/KsrfAGaNb0+Kd+8XekO50diTGKreuMeEtttHr JHIXBAvGSEjSoR02nppP0XtGs0NIM6HPGgSUy9uKGmcVfd5ZX4ya/d5iVoo8h11Ozc171IzLgPYa zrA8OZIa7idY86wdsBkjob5zvizlY6dr++Adi9rOtZVGkdzUnltZhbxWKlTHnn4XxdzfKV/EZlXW 4usddDBPeP2yCtjr553wxbXznXTBXxWCPD49UdCM4OGEa0soMFhuWElsoZeRJaDYipXE3vleqKio YrgECFsPIOwcB+Mcokv8U2gPsyYtsmDFUpQAjmiDfetdo5oYzx5qNWpQP3AkiROcmLN+3ulethC+ kAiozpbiNbF3thfDHrrIoB/7H/00DaWhmhjFFFjj5cUVF5xFPxEWgRLaP1OUQbC8i+SAwTulgA10 9ohgwQGEKp8l7SPpXSNcmMo90lAikRS7Av1Hwkx+zgnYP+9cryYX9K+0Aslv23cBRWEzepZnWqzl RcFMo8PV7ILJmQBkTlBYclZVY6uhvG9D+Rw6pZ/YRhCf8601mhwCNgdxoLOFR71Mr8W48J3odQ/n S/GGkAi+dpDhRqU2AXw3Pu9ML+yw/d1xZ4GBVmOaoChwRqOtCXrMy30PK4sJrcPLfdKOmRMUFZ1l ndqnGsvTcyIcQCdOrOx2wxVzgk7OCWIeu6hieRcQii+fd44X636IDGtmY5kDz+eCKpxoFWt/3hle fMPvyBDbAwA8xxWGgfOGP3hhxLMhqJCNKpjbso7g2ezZnCTe+V23o9OX8Brr4jXG3iklQJjFplxs 26AqhkwUeRY6V7Z+OoHe7/QunAXsKLfO2lhjO4YZAiaIDNfzmvPzTu/i9vzVEsTZTHql5icEbICW Q9RVxvykxU6FdJITqIGsigG1gKL8O7mLa5/zIxG3/oi4rZrSCTenABsLzUVqCCR6G0JxglVn6wji xv68c7t8f6Ec1n0wMFSFSDgP6D4+79wuqyx8HdA4jURZ5vzEsTMqCmp5oWqYLYFfpJpax5SuV5yf qOrMI1zplA2+vc7ah0aWqs71XO+bYDEUxwBAhGi/w3ah1cFXjfAs7UVignpJVZScsz4/77yuJheI J/6BY0ZuNzF9p3Xh0IIAb+5DkNSWummUnXVkuDHY2mQ7n99ZXTd47tKZ4ODnM/SSZrHEtFchCnYB zIcNHjTZXP0XlM3PO6uLAGydO6v4oPs9N/OAXCd2fid1NdFhntRLGNcbOr9TuhgVAKfR3KPXzBGQ mO51E1MoDDA8fGd0MTFl6NzS9MET01nSWZTseVWok06JcaM+cNIDVCy7Z6YLGJHPO6Hrydt1Ohc/ nRGALjGPT7r4eedzEYv3ffpQqilfIOY7nYuT2qn41037r9jpfC7M1U37rxVqSGJ3hdFzVjWMp894 Tp8TJmewJJN6XoD8JAGnNpM6UFevkxbhPu9cruZeCKAt+iCU6onDh0gAe3zeqVztMRD1jg7a2d1z i3cmF9Ea5OAsl7TLXpFfEm/F3wBHG1s8IVqDWMMxVfuZl3i70KUBnyIx70oZwpiMH/Ge8A3/ktzQ 1f7O4zKo6Uu7HYVagVF24dP/6ieTiQq7hFTv9ESxMz1eDAPPkmE2ObvUb1o6xrSGxTuHq0l5GtWe Pn14ij7Jiz5nelrWDHZaapN0O5+Tp+tNg6pGFhhKriyzDF88nSZyaEGszzuBi9jx/jU7nUl7chRv CwNnkPz7mFZQpdEsriuwPU26nnBrY1T8jXIeq97Biz4As5CJiYP5pO97C/GLWi95iitfrT80PfEZ AZSOCgkFMbINPHmgOD4U162Kp4O4sH+fPJXYrHYXTxg210UqhYlpn8CneNhsGQacPpMVfVpUb0Zz GM19ttI5+OI5gUPqbS6dPGcSskjtiELgo0Y7PauJ0TurRWEzHVzz2OMOXD3nBpMqYt2JT0fNdp8e GdUsuLD4vdWjsLnTTGcmd1eVCgncVdf0o6dMt8/o72GzURdhGHMHr4kteIChI8qS4fmqGTZ3Ag4L XTyfsJk1sR4TACmFpLQ9edp+VmZdMrgsveLpCMb7i1uBv6herEaPoubBdV3WNZ+tVhHrtc07PZSN 6Pw1QVZKcZaTm97B7q1zMpxLd2T1Ss9xb+4IJFJBk5SS7KYaQRhvjwrOlUlXWusOnB6IW5OzXetJ KnoUNLNe87AAYfa9LxShh0EzVGTaqr65KENNrr/MV+gJQTdmBIU9KjhLu6ZnM88oF8pyLpgOzNkS 0mcp71i7gCnFQI16CEavJdSnR1Ez+RuZmD8MpIYobF5UwUPW3gqeD8A+8xtJl0Gsqr3dKYrZgIDS 1aTW3/nBfoJG4fDC2ESUyQ0Wxc1zGtpn++BIzLxxXC9Da5ylRMs1SNOCaAdIyb5YOmmx9ihwlmLE YCVfg66vE7SrblNGwdMRWfI778KFR+Ulm5+IEAjfvgqZS+alaHgpRpxzdyFcIHmGwJbzE6M1GO81 EUrb4ypSTkoKtBjzrlrLIENrZ6non0OBhhQmF8G6YY/Kzkt1H/qP0viJoMEz/XXLJut8Gp4Orq8x v9YP7uuK8r5NTxg4A4Q96a5NsIYzLubaxdulJbmweA9JgQaUb3ew5QMy4yzLnNaS/G6K9hyquFDs 8gMIekh81SBtL5qeXHxg2gXvenb3oWiGp/+X7YUgxszBOT1h2XnQWt7Ixy3TvOI/XLPuaJgptazp iXHOAvBSJYOD48AX9J8h+gMF+XMgDZo+gq1DpaWfna7yEVWW8RnR+Yx7iwxdGwzLcjLoJdrWmHg8 OKDHN4OdPnSyx+KHvofOcuqDBy3q7gwTuc86/JSgACIWE6437a4IrEHPbtAClw8X54x1WGSKCfto XtKQaKUUClh66cEaJr1qMD+EqDaocNqg+RksTGJ+zpmLx/+FFTPYL7qR4y6gCOkMBAlUS3XonEh3 6VBeiFHEY09rcH9hgiK0hsBiYCxp4PsyeoZNz5a3AcyO+iQcocDzsdKGAK7M1vUCqhef8bcAAiVU OKijvOD/x+SibTwdYQ1/0bxw49Hd3uYnrjoTHm+Os0ALJx7Kc8+k/3a+IfB3NT9R1Zn8xhM3E67B wTcYpB83XXChVYcikPzl0VfBibLL7Zl2yrD0CK7RtbMKIb0chMWEvbzaXqnh6YiW7PGh7GoZ6Cef nxHFz2Azn0U/3BxjMgIaP0B5uXzGIhsc8zOisjPBVxtKHz7Y9dVPiNV3llnoOYEgsIYphIppptMw vnErq9IbY0Txc6bZbMkz+SCwGPyel8piGU8H8fNwrCr789TVH5foNaIAGubVZzOTJ5nJ5Wg8oHdj 1UgaGuRWIUIcYdl5CVutsnx9aJT7XKQnGBfYcEBalHg7dS3WhhV1fdKvrVeNqNtcP8oviucXwLGn qeNjbjwdVJ33N9YQxi50uLXpCbHOlTgNgr2p1L+78ZgEAIcN96B2N3ntUdWZzkN7DmqrzMHtBaGM DTHjvraEoDrYI8saY0ic6aRwb/ez4gc+IoBiInQ461A6Gvz7tE9As2L0c/4PHo+aFl7b4E7CNZQv oGWENEFs/LUNCl5pAyspjTWs6JzqcImjEQXPiz2voaN3qOc1uLtORAv552JQ3vMJErDZ9HiH88xz u09Mz9+AjbSETFgmnLxSlmH2+UWz4el/aSK4PSic8Hx2otAZDABUhpNiw1WHkZhmmlfTsGdz5BtR 6DwprTIoN6DBeRYA0PWunns6OUYn1hfu6Xg/dkSeng5rGyMqOmfyUArD9DNgJePKWSCZMvhFXI7H o+XzS78H9/q6l9cIg+dKpDylHicFgrLRmGpSdweqJ8mIKCMKnpfYt4VoHg4e/TRyCYq1TE+CnYXZ AACjsK7aLssUXxM+I9herKsWlkU0iIhyIhIG6/V8uXg6Ony+NTVIY2I8rekJgc5nA8Jd1iwwcJiK SACbKpueQQNiFDdGBHSm1yIgvNUHbwnuCWadaj+AgXeZ9dF9ZirCv0o1y141anlh3UzqIGvQ2dyE 4fxpJyrF01HP4p7NBmuVkYvNT1R3bgjP1jRjEOw0cdwnVDDUUj77qxmmZUR1Z2uZNqqGn4GKk5Z7 DYjdSHw2pzSYpVEq4sQ+GZY2FwiOE/MzosIzHWWR6nQfOD8nNqsK7U4e8xlx7Pzr7sLXU25qOsLQ eVLnyDBiIPUNg7QMc0+F+8Uyns4IQ2fp1exSfLDd1eBKC4k+hoapFmVphYJ0Cy9JSIvmh7zEzwhj Z5TNKPj3Q/3i9cNDA00n0F146O71GWHtuf7KvmhQcnvKIwyeKY6vMjxl8r2nPJOJPZ8NxjXNGQpr z4Q6vTg7oCxfJoXqW0dfhJbAIDIheEYCDI08q82Tw4kPiZqm4rfzAuNgTeUpUA7O+YzHo+qGTxB3 GJUQb3F+htVnyFV1ocbOWdQbfQnbDzpgJusDMwErjs0oel5q9kmhpT8KLdCUOosxybt55DPVwsoX zM0GYmQ8/oT0vpghaINN9/8jslHgbiJ3kNbwdNS7+GZ6oZlSJO3M6YmCZ8jN1k2LN1Q3ElYxMRsb ElSFtbEJmFbFATTD6nO6WJ9fkB8oSJz0YRhLGZuPcusZArcV6uyAW1yWctGr/pWcZrI6NGh6zl0v lvEJU/B0dAB9Tw/tRch+1fREwTMWGC6A6rlXttrYbt0hY3O73e4MiYIqO4sl2dtt7czR0VFGmwjX O1RaisREkYFDErGxOC+eKUUAZlx8Fs+UWgActLtO5J9V++uTj0eQOo+euRMRJpV+5yeKnpGjnRPB ZJwbHcuZmyoBl8hG3ladn2HpeRh9e/vgywcI4Vq0u8D+0RQCXvGTGZLg9LHKMzpQ+Iy/IBtl7zsY UwcVK2Iy2yp4POJa/IaMNSb7Pj9R/FyJ3Fvus2uyRxONj5SMyTRk13zCwxmK0VX1BFWBrusi6s4R PM7EEBJVKyoSklan+jPyb5DCnGuBx/AZkYiE5DXIh+Ng8zMaeSGAf1Q8HvQG2zfkueOqnZfJNOPa M8QEFw1RYFDeWRHLZ/0wmxNog9R0ns4RaIM2D2+155PswqN1qPZz5mkKkkl8LpAUbVyRDeDy+KrB 6dx1bQn54znMOSZHk+zaWcR4+n+pjUEX0gR4OT0RagNVSaJtLP7ZHv9MqqCIR9mzacvOqPZs0qnS 6+uPXh/ErdCcEuRnduRjNP+CBFGGl03Pj5E1VfdnFD6baGoR0as40as1Zroot4+Gp/+Vu8vYCk7f tzI2Q55gVr3QNGxSbk7DrRdQN5rTcGcoTEca99Ai4uDZ6TmS0yKQCApIKJqZmMuAhnL5We16NiV7 06gyz7uryIGwuAPh2b1L9npr8+lgdn5RdZBYfkc+EWoD2JBzS1VjUfY0zGcnCdcLQHtq1XheMwqe dfaMkqoPPjsQC15VfrEV0gL0TqvsYQEDUfpVSVddbEaxc6P7YGGArkG5RRYQB3PW8HQE+fmOnM2S 2SPnGbIEIUBSEP8I7CyDZmgAzG7+MRAmMhLlDBU2mDEMYtA1OCwB2nSUMkTqlSbyUwI2cQLTo/2h 6ljkE4rTsfIzusAb/Vp8EnKFFXEmDU//L6CWlmXvZ9Ozorg5s6hJFW26VtNsBAobXRZgVNhY3TT2 V4TaGCT296LMtDzTk1BC2kvTA6hqbfLcBSkV4Yf0oRQY4n7GZ0QKANxc7RnE1RmUY6UNdcXTEUvw O62g8e24+iwrLjrnL2lZ+rqoMNZXLZds0Y1jusK4uRsMrPngJ/NJus7dvZOapufCkRA/PIcY15d5 L3ZqOeIz/tSmK7v5YHUful+jNLIrnv5fEGNQ8KUQu01PqN3M3q5OYaI2snFMd16O2tiUJuDqiVEb 3FVZPa/MFkBjWnoSpDKabOHP0KusP9nPxQHU51VT5bvgM6KyWBN1e/qgzXVC2WbyNQNPR2nFnR7J 0iLrS14XWyFPcFN2pLr6kaili74Qyepik+RgXOwrhDpbOvp/LvZzWUNRvTKvWFn+KrCKwWEO7cWS 2nOxJ73qX+prfc3hg13sQ/qq9XyTePp/yUphdp73JaKsUMKZ9QTo9Kll6ppHc9OckmWxflumK2YJ spyq4kZPj7ZYRqwPH3gePpDmYvcZxzWPaIhxXqYO2m34jKAsZhD5vnxQy/ScybJkWinj6X8RLbiT JmnKd3dFUTPEpwH3N/nvOZ3Bff4S9/Wq2f1PViivIasBmTNw8LJYh4RkJeCwjxMStUZIAurYjV71 aTnisCQ6Da0IsiGBhLSlr7GvvkbNS5YDaK7g8WD9/BKngx7Al7jYCtHOcEWgo43udrb66Tjgkwau vUysOn5NFBhyXzVJgasFrrJzSR0t6yymxfkLqMCPHANSn/MHbAIr+hBkic+I5qeSvV3F5SZ6mZgE OBkwlUqp4fHg9nrK8lLjGPAWvhMUcgXP+8LMXACWczwPqexvFoULBH4K3Cvt/Anxzrq3ikTSyyOS Dr+KTBU6oOrOUZHlQEhHdNQoIfTkVbFlrxqVfSitAYkdG7jB0jmes6zzMt/wfYMBJfKF+aEByw2d Vwh4ht9ZphghqqqFcEpsMLlh83gGE9jWT1x3Zjm1pTvcxGIB/7xFNa0jF5nnwAoOZp6URb5dU1p3 rxjxLMwGp6k8AjYnhqIVO9r3FY8HTff1q3GBtVPqcI2NFdadOUMiuiP1kq4Y6obFDNCyRKY1Q39L O59AqvtwZ6iDgcKme19ACuL7h/A+kpqTGpL0ZfHPGHrViGtKfQSGoBo0QecgUTZzNi4fD274a9Ag KdYOI0JfQTsKn1GRr5AEsfkp3cR5y3wKh6gHc352yBXc4poKFbW/UVEnx96JAVArC7G4+oJM9WlN PX9bLu8ofG4yZ2BlTQM/AyXWws7MSd35eMRH+VWWTwwSvfG13+NnlNNYMSmenMorZ/wgCH7ITK6A tEOVDVl3zdx98L7FibBmIb2iUVlbrQ1IPuM7HDLNMS9hxHz4jKgsPwTnrT5o/ZAlwu//fAIeD1CH qX1f8YCk7OT7a4ew54mr1h2Ezoq3n9ZI0zCrmQpJmp8wgNb6AZHCBg8Qccx0RMoMEBdxqsgL8avA LGs3QCyUpcFnBPNDZbqZWPnhoPRrQ+eNd9KJgPa/qYLqK8N/rtzlE9adt+A2xSs/pl48TUCr8ftp hkvYIWpDAlpVbYv6tC0gu19hFk1I74ZIi6iCKM9DbgBhuhN2WBjbUd05ETTPbpMNmp6zeDbvn542 ng7KqsVPH2LG8BfNC9vYYfzM5LC7ygbsFUS5mCDAGaegdjMR3CHiWfprTUlqu3QmdMcX+ulVpw/0 heUPhwoFCiu738OHVfkd62wY0b37oOyitCnE6Zl+PB1p2Hh0yH8LEAXMIG12QnU6IlbzMDrTCeAU J56YYpr6Wkms0HDxhJgN1pC7vJX7l7cyhFMYRLMwlijij9lBOaAg8QLrwvHglCHZobIzdSRKLXdQ 3fCc/cV0JBaejtTX8vfdPsWF8abFjkAbiUIbWikDaXw3p/ezjpLzmZjKcX5CdTrhwKpagxj8bocx 3iI2i3cXeo0q/VDZGVYorD1x+bClvKOqc2H2NRiha1BPcCxle+e/FDwdZV/562jmCz26+zuOnXEy suDLojwFB4iJasX1s8pwTNQOMRukWwxiSTXY2XPurhP5rC0ZifNzp+BEhaE5bG/s7Jk8min+uCPM Bm3kM4UqbeDygTlnVlG+DjwdVcZuaLh5NqMqX72wusO6M45FIHN40JyfnNA0iFOTJQogCTp84uB5 etHn1n5UOcRpc74EYlpO+kStEfKU0TmoKG1dIu6eetPg8CFNObMZrEFH8xpTu+ukuXg6Sk395tKR hVLI7VnsP8mClb24/zCbtnAZf8jS7ORJ6C1nJwJszKLZYXmVg99cacKcQQ338znWk6/USkBJA7vs Sj82vWog8oNSce6QuLTBuDqzSZh5nMxrR2Vngvqemws1w3Iv9pyiwBm2OecTpvlxdwB9UPrZmQVi ZqbQoTHh6xRGzlNnLqE/HG7LNJ2LFzciiht9DHRITmZR6FVzluWm25AgP2xb4EMCOiWBvI0OuhkF BxnAbBof4BN7y5PPR6DM/LWEYONX562OMaYJznWIhhaCNsAtYH+cZG7ynYUIBw6SQiRclEH0TKoX tZc13PIhPAdQKsYcIZTCPzm/vdLiGlHRfDwnx7a3jYAJBKx2LiMOBkyYJI/BsqI1Ph8kGLezjAur yYL3yu+nELpRJcXmahvacucWU9ylDJVoFE1SCHzmAdSLdMTK45LXYXty5p5yEgvscXYwMjAR6Ied +/Y2eHjy8UMicAKTr6UcDCj73ixJ7ZVJ5kmGFn9BAM98sjB1eXAWtnlnKQqkoaPY6DbDQLrsYpWy nFypF/hitwFJoZcgI+kqRTEOnqiekB54DXbgsT5Ztl3o0p9EDEd2SfvJVLe9bYRBZJ+wUQaZg677 VLN8GM5S5eP/6oRRG4CQ7frMURRNMx6alGrFkTRBs2KxrELWO8sqheuDVgUpKkePpGq9RNznFT2c UFWB65esZEovbOnvdAJJykz/QMnaqkEU5OKHBO2MIfWEcgerdkDFlfX6DbeCFOM4xj22WQU8uU7+ WkhhTRok1ILiDxgXDUBITs3JQtjGmJI0zqKBUas0aDdzu21BgfaFAsGi4IRFCJ1poIeeMpFkQPlS JRJZq0OBeO/jQ6KUnjyMLbrTns4j3GiisGR2cjI8/j5HX36mnFB4kVyNiZzCqjSIv3Nvb6kmNy3Y pB2xpdpYS9U6igJrydZ0sv003Mhogskgzx0IZjT+y0yMXSMNv111SNkap6guPVlvTTTW0KC+Bv2U 8Qeet+bj/+JaXi5hq8+hHepwoGq1d/K8niaRLCu2eU1TlsuUCBfxXveQJ9q8g+ceuTcc2sxcAZKV +2kBnYFmKqW6MrZALPyQPwtDasvnTkFM2Mqk0Un27ucZPv4vxF2Wr9X5Z+22DnOK42uIjzWzU4bP pjk3pe69VSCHt89RJMdBKvZZP5KLrPMG2LCPymtIjoP2DtRgLQRDQJQAcnm3OzbtZf+ixKfOE5uD NZ8RTChnn3w82Gn1zhGWzKC51dc6CqNs9DdaylZerCoqDkTZXp4+12NNPkdhfXoZGb74YHMEW+Yx yAU++RkMSJikyTsapmCNYXZV/azYywaSLlDyynK2ztfZGv2UZR0yBpGhg8ov5F0BcrRe0YAcug8u eggvK5ch5jMEw5rNK9QVTH9NUWA/iEq3lJKkJ5UfPSkYfZ+1JGWF86u6uBkVajJw1/jBBjBqjzww cuA/6MzmTIdrDWrSD6uJU0Y8hwaED3mlS7kEudBlr+TQghAZM+RpuqWyxer5c1TGkJnaHNlS2Rx4 EAJbycnpytb6k60tqEiNLItGpLOGwJeQUuNq/92nz7EHoZzV/j9jX5blyI4c+8+15OmDedD+FyaY mTuC2RVe1dIH6undSAZBDD7YUORyVdzlCoLtwu+et+bjQZh9LzXegEiNx5OLxC6EDQQoquSzmCbR tnPvb5Wskc9CQ9WnKFSEFghPO208O22iTH3CeE7I+SbnzFa/GgEkK+ntYdChYqEPiaAwjB9XEg2T kRpdhZekrDrqITkwIkQp/5vEQg8wlmlsikJduwywR0sGIj/HjoGkNwWtDAzjur45tCIcPIyGgWL6 Iyl+JgMSNRL2ReF9y0+W1XSq6tF2WdVqtqNz4EWInYa5mZVQPA7cacgxxXpfufLxYIp+aa4TLDu/ rD5DbbuFmLHyTqN4v+GkIe3jDsOTFkpM1gI7wis9Ovj9Ofh5vYFnYAnrRLitOwkczJwy2AYZj+eD Lv7QkHCRBp4McT+dBg5znqSIkIakUYj9Xx7MKDDv/cxRJA/dGRv5rqpbOkrQ75lXo6zu5zCKXVUY Psqam4OHj9CMsMPoZAmLFN5zp+HCPYu9/kC01g8jyrzk0JLQmM7UBtaAD5nnXOsCg5+glI9HXSHf aZS9xd5I63rKBZ6EwHIB9zHd16mUYTl/o6G6cB+ULdYyCoEfRcWjun1wZMy5HE+YRdTiSLA9QNdr wRMSevIIU9h3Lc7n1af8rTBC13YblKrtrkQYAv6bzwcndvmWeynoLrSvvRbF2FA4PIkBTmKc2KNO 48sXWisC3FCAqvZJihQ7mIyfKEXLqTy9RSB0VkuS+d37/BysCmRo1dfz/4kyg138BaJQ+pBoHbEG mXf3gVttVcGZWS/j45GkybcaoAR5HuvGwJwQ7vOUN2PyAe/PlOUKDz+CZP5XcIl26+Gwhi0+r6xP e/oyUFsoJYn308asrcmgB9oFFfFBro9eIhXB+ClByk/0NJWXbODFv0azMus5+Ph4kIfMX7caU+Ov OQrx01zk0+pF+J3NhCa5wi0078c9ssMQW6QNc4dfj0XhGNhoid7nJ/FvmdSUDSduqVkDHXtNsLK9 bNAnogkELBN9UGx0cqdmVhmDj0dpiNeyGT4y4n0cUkOXQnBB0YFyEAgBS7zVVk4XJEMH66S/ExxH SmOXKpHrtkKgSgEMsqSVUBVJBOsT+VYTcq5RHm2cbC8bJPxyE+nqU3fWQ0lf3QAjIzgqWEaBUyHU 725RRKAIJIvrHkehVyFpCmMaLbPRQJLVNeAryEpEda0YRSqHZoVDFHolGRz86p8bhRF6ZgDTO6T0 USDHUqDcLVl/Fo7YxeWH/C2AzInREQfDgmDbsTx9jgU+H1Qgy3ctm6F6+ZqjUMNjgjxfyWRBwFsI PcuACxcjsYKNf+coDLJV8HcF6UeZfQOCBrFkpbOpk9+Len9Gca3+YO/pOCpsNvFDorJIZb+IXTUO whM11DglEzgan48gjd+AmUYGUr1+1oFnoRBFCIUFpD5fqQ2rrjVi8zcxcbn7Zosx1eLS6+huV4oB UrCoqLFK22s/Vyj7JvjtSbz+GftW1xAt6UOCvn6h5DzUhDlUQ30mdKfYCypIaEPbwqdzzZcj46bc Mzv0LST6acsuDKWJYS5HszOmcq3J5ZbxIbBafNatQuQe5Zmjc8HvIk5QBxKf9xqa5D+8xyizZ73r 6S8bAWcE2DPqlIm9LbARl8S6UubjwVa7Ob9f/aU8UXZoXQhxH+KfrbqWuoNDbuWIpXRL1kLvwmVz I/7LflL+E7eA+peZ8pc2R6cebuW6g3cg7Ahc7FZRdmheyAsvl72aD5oi6OApyl56POJ2/JJT5F95 Sv2heyHOA+lNkrzQezLr5rH7MnQsiqC+0/5u/Y3p8MGrIv1cAwOlNASQCGMYIzTwjNntB7nD2iEE x+bQvnAUpbOsH02vla8FahfT2bNG+XgUYntsRC0HxCj1Kr7l0MAQ2RHlSBwiUrdBRMr0i790Zwfl wMEwOa3+T150gZ5/orwnIEYQfGUzq5PaAcWna6SB1pveNZghxkSJHsgaOEMQMVdFo/C0jiLsMrzQ Lw4+Cuvz2WZRhN3ZSSbXUqLARuIESMRPoowj3U6i2IxFRmL7Dl59nHCMxwbiDJ3ooKjcfSYRktck 3wmCpaJRaGFIbaw/vBDWWeIlWdNx8vFItuKX6iQ2+3dY9B5ew8FlUB1zOE6kdcOJUN5FOJHkssA5 cDHEJuPW6ZJj7w8KC13mhDa/pAcWKNfM0tAP6LDeQbomq2sSxfghQezIM7omUaSTMUmAL1Xz+nyP zMej8uz+WkOguHFf2xSFRoYgW0KNttk5RBo/bUJZcf8PESfzdvhjJ0Pp3W0qS3O4keNaeywJbyfM GaeoMowCunSWn18Y6xw6GWY59ybW+DkYi4rGGCxJTz4eBdfrO7iGkXve4wZFoZnhrvrTpj+QpGkG r0eWStlyXKt7HyRwMwTQhQw83Wbj6zaDjMuZYhPfLuX8+EznwKvPBGQm74OgpWQvG1GphMOi/gkH 1ow2NFuqUgq9YwCBWOsrJgKaJTPssCkKkdaosG/qQTBJ68W4Hicac42hSZKopijmKhKDPiRdP/bd aEAnnwfQSTlx4yQfj/LJgMDXxbjU1xGkaOxtI7bHEox4++A1o04VKQgaDD7/Lxkv9RwHdHBvJhv7 GkrnI6u/2NCyUAm7l2HNovMOxUvYfzE2ZAKS9h1u4Hji19LZuu4T4IghmAhyM9TbkOHbOkKNUy8b BI4mdCY2+U3SQBkUpRPEVD4ftfe/CyK0qW79Notia0NQYmZZglojgpEoHPrPySkNhEnpOApR16Rq tiVnx/VowbUNdB9xKFCKQemLCQgIcZWKbKVc2hnAvvqUqPhInY9K/DUHVkTqKCICIqjg4/+C0uAn RHxTU3nOoyi6Bs+sZVUcEaRUokQq7EPFZUCBFnI1QveFHodue8k8hIMHRtR52zyPzpYADmUzScM3 QQOBcBEJwrG+xw+J9hoOIjiN+6C9BmeArDMGFZHA5vC/vcHPZ39famEJu5Btbyf2+ddwxSFmQCyr 9XyD68Dm8LpEUUhRg6f6baWzkhiotQGOFnsmGefeiY83799r7pztZaNbjTsti4KWDUB4blA5fAJH M/h4kH/kX5qCQCo8esE5MDoEjgaX2p4GwN5Mttm5btuNDiFZ7IdRDBJhFluMxPiQ78d5ujdJcjew 7dMSsg8tHXQXwMq4evf+ssE+U/pKSxgNOoygc8plezIQvWSw0ebF8PPAIMH8ARuFZofwsoKFqNkh tb2trJa6Oo3IY+ctYcduh1v3eek+eHk2oSOXqB3TqAxMPkSp6OAC2Aoyo9cee7OXjXB9zGPpU6hB 5dmTmzRZZKF1Hbodjjy+LjWEDpTEuHMUlrARYxfaXLHMv7vOoEWNjcYYeyR8Dc3R32PsukkX5qA5 GpDuPAfpnHJO6IUK5CfD6RQCh0BsueLuizyiHFge+tXfqMeiwWqPKQtLiE/i88HV/8tVlEbh66nz h66HcBoE07BYLjsMUTsn9YuFE2HZgLstsD08f6eZUModPNvfE9KUjSEktfElc0V9PuIh2uXCDpLN c+B7mBgDEiDSfBBfpgF7yvRrLT7+v+RqhRSDcc/s0PgQv4ygG+rMtioMxOyN3WvCRNjs4a0WOh+q PDuIK9NgU3S2LIyaS3EN/C3qvhLJJPCY5WpD0VFgfZhtipK0dtLV2ilyGEKBoxU+HnXUfgVHmCJY /voURVE2BJBQWa4GE1lusLXTTWd3I2mm6+9EZ3ZRm6j74NcaApazwSRltVCOF6qdtwpSA6Drda3R lZsfEmT8loEMOc/hgidgGBJQbPaME87z+UgU5JeUJxYj8Ss2R1GQjbc7V3JVigZREOtebyreqq62 bwAZGyAOFUMYHXLw4KifWx6OdarOzia3BaH7T5b4065gE2tN/IyIOkMuK3UKNaiutuCkgu83xubj Uf06fW20KiuHGz4GFoiwktoUJbIa/6bCLsLHNFxtGRUsR2L/xQNRkhflDh5iV8ToTcZf5/Chgxcu NcKpES+kixKh6Co/JLj5B3fYVPlouuZX733If77NyseDRdTSr+NaVKU7RWH9Gi94tcuh6JYdSZMd SVPREbFFFKJEugr3pfngwRHu/oJNgTsNBgFY34u+6MDjnWRg3viRdgo5dEKk2TKQHdkHIR+XOfrC jIaPvx/Xa39392mh+X2jhY4uG5d9M/hMK0x7mcym7uJElUoVmqKQ4GiWJeI5XrsVyHSf2chbnId8 4sgqqHqGqiYAWFDjd20rUR4CN0TcLdQlIjRSA6cIEbxK/OdffDzCGv3y5ECQ38vXMgor2EDSjDp0 7uBQEgJil2xi1Uwam++0CCRCHOEe0rni4GcR2Pr0LERtrZ3fPKmCDRgLqrok6BvDiGbHOfBElB5O OfNMACQH7rSxyxYKZq7NxyPk4zeAlnjodHE0n9e4qMvNo8o6WApgReC1Vbd5ucEILFuN/zUq6pBm xCE9C6MiDhfTB6o/9axOJtJkIcA0BaZ/RIjAfcuE3xE5vsZE50UVDFVZbtVruXUu3p2MUYWHX+am o6ihqwxFcwuJCg02RPqK5qaDJzNoeVhYFMnW06eahiw5kKFo9QRzI9nusakYzMHrsucwO0uE7PwT U3QVi87aSdS9aD9o9uN3fADqr3OTBBr4I/FYJ+ObOoAKyrKvc4MwwedGjgH4CGLTMTWvgdB5COV1 4NFFIWr09aIdx8xUQe1UxB+mvPMaBnXvLk6ZJnHQ1IBJcmKNYlOTkFTwdAIbEOpmVo81j+zOF31f NoVEIikbadANPwjqof1R+7xGQP0xatPUVAoJ02AdU/Ma/3SVpKqBGRHtw0dPaqd7XlkrKlrQfv51 alBAMQuO5IOvmpP5nlkWr/qsC6tmVzKOcHq3cktDnU2z1+CnI3oxny1lrWTWcm7apkL9zzkV8uc1 9rHNobnhrYUsh4gNzs1r5IOH8F6T/oNyiVyGRGdAaODPSWcpWLBGO4rmPygk+uAJxokIMCssv/a8 Mr8iQNbnD4FqApyJ7yhcrJ/XqAfLRh6IWj0clKWeuS+0QThZ8Pq8Bj04q0wPbaubCEgSG4ycm9eQ p4MyjO5PXbIVbbJOFSFmFG/ZD6/gvwY8PYmpAq2z7IPNzVl+kOohdHhAeFVyDoTFVqgkbKKrl8TQ 6B8VzA31xECpqz6ozAFheLVa+/68BjtdxHFNDQ9iRO6N7qJ0HHqdGl1tSLYkGQM2T9Jps5jcsm6/ aAxCe5JwarhsxBSaX0wh9KAhrlYVC7JwzxIIBqxz1Ns9XKYp9muY091wHjvdB5WjIULOjtfK4/Ma 5HTZjX+dNo1JrN9RrxEODmIxK7YoQiDOu7dEX973obid5HGjg7hrTohe5GAHcUVte9GHhGjznJRz kAPCVlV9lLmBe/+8RjfdhCIy9YZtUOvwfIQkUlCFfo1t7P2ewwbSwewMaGpea4ddUtew3Vh22IzS rTm/qys4FGTHPGxeK4fd1RehcO+DrZo2ZhrnrxN0X+CZQP3Kc4uB7ppZ0rj1VVTJ8BnB3CC5SjLY 0eA1MbP5KFTvDCanW3CsLYVbSt+Kk/NaNMRKBUCo8aNQyYBdhlUyplMUT3yWXcAhOol12jS59rZr uAFIGNSZiFuYsDzKjPuYTVRSKLlwzBGJliSvBcMuTxoohJBbzoF76oTFpcuhGLpe4S1VfU9R3Rxn BO1wje0fzA6QLwKXCz4lNdyBvMFn5wS5+fLJo+mRz/xSJWON56LCLmtkULTeyvl5WcIolXJeNVmf 0OQtsl412lcsYSzKw3EQbLr3LdjKbnw62FizfJ05+HK53DPnnVDWDXufmHbR65DYYQDL857mh5Ro u2rA8jAy3kwXVvHhtuNzgnoDhRv6SXGpKADwL7r/OPILYZJNNBfxE6IjWRbz5guQHFbeUFJiUf6E C593OtkfwfFk3Xve5fMeHQOguAi8N3WUJJZrh9dhN3UU8G2Go16C+dnEiw3hE8cXPhHKN2kVxYAd 6GtGRJR1LCjUtXztxpamJ4qPu8Auc95By+cE3WzEI9P6vFPJ+uO1umW30WlF6UHgO5MMkQ6sDkup 084etjYwPY3dK549pdfb+QpO5m7Tk7oPvrtqqWlMeoV3JBMEX0KznHNQqSvhbmNZSIUos6J4TFtq ni5PH6D7RI3cepbD551G1lU7e1ZPI+0XrSxNz3uQnGTVO+cwMYtJcCBFBbPbRZVB+rBaFdH0cNks CXutR9jrbKKZQB8iHmhBEacoWJRtvGuWa3NN1Sz+eqk3iXK3L1HuaVTLhfZ7FCbnkr8PH5Yudveb 651BxtyT9KhWjNYCQK6sICnT/B+itEnZ4u56j5TBNynvGRYIG2QfEqCQYWHMLGJhZQMJTIauh4P2 qsHdlZh9Uu5cA7FAA25agie0+nmnj2GfZA8IifdAHoJU+x7PYbhMit0w3WA4D1sGCikpiwmhnWWV wSheVuFiDlLCOdzIp8JvQ6qUc+BLEHpGQATr1uPrbh961fcJgk4HWGP0zOTABXT2V+NxBx/Uzzt1 zDJYW0Ai/WRHR3N+opiZ0gOZJSQRWpbJLu567SDnuOdPFDSLgTg0P+NrftD+g5s6r6+6znWmzirq GQWQKjgBXLeopVd9nx/BNRudvTRY+SJ1OTWfRf955411QdG+SzsLMo339nqPm3HtUFjQ1LgB9kq8 06F0r+QCB+oyq/B31liXdizScxZEOdj5A9TvucRF94FvlJxoaVZL0U6wEd1xgznFO2esuy5DNXFl Vw1ekOIz5AZUYqLAee31ff6gsQxWkk1PFDkjp26V7gEofTWWPv7DQi3BCWSxUG6Jx08YOisNFQOM g09PW5VWG+q2AxJH8S9KCQJrBoScwzbEzYxCZ00PCo0+WF2wSVEH/MjPO12MyawfP9QAAy4KhRQr DEahc6NVEyWxQKhbo6v4DgvHZVhNSg+rMhiGztIVlOj0eESnz6mTYEun2PAEb6nI7BBlsJrUPH74 K0Wv+n577Wqh4R0Mr1GnFCtmyZ93plgX2kOzIcaUYLc+PVHoTGmYJZYhmksy0AIOoV9pGHTNdfa8 08SY9qsTQZ8sDta0wZ9O8LTB9FAOkCBFrJ+fik5m5+YyLl3Rq0YVdzXr5PYzrtVY6mPKcmO2+Xkn iXEh+O7yAiFjL5ufqLAMRAVUf4z73Hb27nHZApOhw96tevpOEevGTDrfT9yMMu/yKYgHicekI9e5 qTg/ifw9UA6yurPl0fN4p4h195SgEogNhheHQhjm5/wfP+8EMcbO3+Wegtla9W6vKHZG0gOS5Lyl sKzUaxNTRU7vgpg1T593epgHJqj0TB88cYewPDRbOD+oxer0oUwfEUoNcyMcS2/2rlHmTvu2TEom B11e0K+Wbmfm01Hq/r1+BnoBgBzb/ETBszjPMiJBskglLCrB5ex83tmaKZa/U8OspsJba/ng+wui HjQER3AIECh5P41sWojxwlfo0nmTXjXaX1X94uSDATNxFaok1srnnRnW1VL9Wj+VQKh7/oRVZlj5 pUIaBs6furadP5S8Ni+2ZNJU77ww5EWq+BADrsFjQ/QNGyt0gB4mY/1UEiVRBAKAykrwskx4p4Xh C9LKONd2B6vBn9Vnlj9zfd5pYV1qNV/zg6swbQ9+3llhPH+QUhAxitxU8Sty05NbmKryiTq3VX7e SWE9G+5g4gy0wfbX2RPjpFwUORkJtnI8ospkyDzA0Puq/Cy96vvxs4ayit59EGz1/JRmZZz2550T xi/6nXzB0bpgjmx6wtAZpLkhSYGOjHYZOrys6oL3VZX4xD8TxIZEEixBDzjY9DS4TY1uapRgPhBF J2I1e+nl0TfpS68aTQ9T9yY+YHOdmYQYT7oUDfPzHjtLePOZH8Shed/KzzshrMuF4CyaKWhPy9KA WyAzko/KLK7Q8qfzz0T9dEaFWzHitZtBKjcWjmWB+XHFJyHJ0KReuOO/YCvscL0TwpgFyceY5EsO Cg7PpSefZohRvfPB8PT8bo2CZ1/SvLd7FDuDjOV+GyqMWfQDhpKjDbKXNt7ZYNZ9Putm8vRZ8zl9 UHeG/ESVWNcETZUBwOo6HXn62OmMGO3zTgZjZZQ99c7YWTwj7pMEfxMEfGchfd65YAQc3N2V5Z/d gQr34/mdDMbSGMKQ2Y1yuWlgSqbTTp6686ZX8zguPHNmKJOgwZOLhbZo6VLsWIPOfoW8ebVUyryG JOQIft65YGxXVW4sirpz0ASdRdoU/Yz8eaeC9UdX2ZJmqAeVWxp7J4JxzQLFI3VXkFNaNa5cd2cS sLWmVTbeeWCssHXWxHQKrStBBTEiZOt0RDonWoelBP4TnEJI3Cmq1Y2ZUvSm0ewwNiR3XoO6Fif5 Nb+fk/28k8D64wiwfyT/jzSpLd9e7yQwnj5U5Xaf3kZqLyXva3OJrkm0LbbXOwcMS5+F1bnJcuLg lcMEm3Axmtv5f82l2quAGlhJs/vqgXYlX/VvudegcrEGrp6TOHapK5zj/fPO/2J4X75WDyNfmB7Y 9IR1ZwCcdzf0LrQbp50+PXlDUIJsnJ732DkZYI6C+Tbc6Tn34TkGCZjrJ0vqRdkrvmRCl+7xypyU n3gnfzG1yAJlsC5G7G7V5b4lgwd3hs8796s/kMItPz9w/jPx+5qgKHgGOKmSdqTjORsR5UQU7dHm aias8E79MvhDYRjow+0KYiV2+Tyf42WXoRLjWT+wCRtP2afoPaPZUWaahg8q+8DTxJA68/NO+mLg 3L/LPvg6iQxLzs174IwW9KLJRrKWYFnaZTsJxIKWYBaTGYsnqjonnrezKj6s/WF6o5UwpiO/S11b nXb8l1Asn18tr2WvGvR0mLhXzVKdDpVLMAbhzXwCrM8734tgi+9eO46UvJ+jJ4qbsdSVlyLIOdcB gCb/IUAP7VYi41elRXjnn4kurqEF0XxwesU5lOCRxsAHyLAhoCV6F7ViKUr/Rmdzt3eNymLslVI6 ToNagtOKzrWm+nmnezGu9KKquBio7bJRrgmKIufKi7WWakANaMIaUMN9jFOBn4SurrDorF6y9KUH 0Zo/k7iws2DOpYVm4lmc/ewAFjYyoR2EvkCswxP3kfSuETJMAu60XM1Eb2JbQNFNAPBzMfbPO9nL bunvpimaktu7gu9UL7YCSNibruRaLHOHS/jyyhit5ThBYdlZlQ15YHK4AKgyUPhk4TCB2ywvWvDn Tiowf0BZcD1gxobvRK/b9ipiwxUQJIlABnm4M2n7Qffx8870YmpavyaIsM2SvPTzzvPitAog5m60 zQ1tTkLpVs+ww7IJigrPchmb0t+cX/qbo5+I8KTUig3P8azbvTI3RBNypSvHgWowPyNo6xDDzDKA DTyBSm/Ghzwf9HmneOENy91h+G+ntLsdWhiGzudaRkV4qDI2mMABrZu9QQjLDbBdMD3vBC+eQEUL Z/tglZ8T95+3rmQvnYvgHEdVXR1UaBBz4aC19cOM9vPO78JZIKT3InmpL2biZI7W2Xla9/N5n3d+ F7fn9/KhU/jcfgC907uY0CK1oA085ifJ+BF8U5wGVaAEVIsbCvPv7C7Hm5wsdE0f7HJHQgqvFrlt DJj+mB9SEV2AlWfrCqJ5+3knd93oB+gqH5S61zbV4zmH5/i8k7tYXUjfQDqIJ4qzzPkJg2c05bYz uhrV1aUEWK6CQp/kLWF+osqzeqGDmqIabuNiIictrDzPkxI0QnwzhPehgwPCiAN3qdX8eWd2sTND SteWF+S+XpDn98tbAdBYn3diF4+f78ozrRJYEdX8vEfPEBEqKGh0cxNNe6jIsTqrcTx+CiI5Hj/v tK5nfzXKRSmBsxsedkgn4lTpJ4GhTHY8xaTgRAukvcv/4hf5vNO6cFI2dk0JENGgC74m1qtQQCqf d1ZXf3hvW+ISlF7q3nR/J3UZlr1NimIzNV1zemqaq6emjMERH75zuni/M2jOAm/ki/nZZ2Vs6AtQ RzKN86e2VhjuEjSrUdm13HQle9UIE08yjnFyhqFUCRCmF/G5RebnndHFqv53XXVJ0vzurqjuTCn7 UrJxKRDESftvUJxKdVWSZ7i7wvCZRK4pkZv5iNyg5gDgRqryMp5VtHhCPTMdxC/kh2yazzubC7Oz eConYTaSYTYQfso+4vzl8XknczGF+q6LQfAx0/5VsxMjNsjCcafDDUaMEAnsmvBspnmjFs/fERur ExjPwavOJe3SFyE/qIqR3IOyKhoGjLkfnVZd7e9MLma/2FS1E+nMQdMDrKpsaAuf/ldPmZLlcExI 7U5PFDtDzPkcyNYghXSxgVVzpYILr65691YM2GDiLjjmeuCYmwXmk40VTQ+ISQItoA9L1u18Tp6u Nw3KGmkJCTV9UEswDTnSnsB8fd4pXAREfW+tzqw9Ocy5hYEzAp9BNwHMTpccYvvZEmXC7AAqa1De FgKdSd5aAmVy8Jo8kLDwOZf3EbADzEFg75MXog/U403qD78HPiO42BOBGjpTOfDkKSOrRVvOt8DT QVzYv+EslfTWfhdPGDZnxoXu0YuunYXN1XrvcKyiBRIWT1Rz1vTMRMo6hxv3nAkfTHDQODuhibST Kv4UoFf01LOiGA20WojX4LJZiXkXB66eE/YsxqGAC+Dpf8HFZFaDvPSmXT0Km+lgtUA1U1Gsm9vI SZfu3qrZG6b9PWyWSkzZsKjzwYtiCAER5hAs1tO5IQmbWkgRirw8b9jMoliPKYBknFRhxhjpY/XA pk5wlNIrng7oFTv/Wj0syPu13sOKM6L7LS4F8Rpm1nvus+RQ3oqaHjdXj/AaNJ3Zkx4pGjg95yhL 5zRLbMadtB1e86a4iV4BOMm4kl02glDeHpWczV61COlcDOl8YiEd6SiTTDwd9bv87GEIcN5CJpmc nTBmLkhJi+F3yWJU0JOyu9PlvUEUQkzYo4Kzqj5ji167v+i1Z+LBGeXsDEiy82DOtOkC0S5TD8H4 tUT79CholhVUYWQFABuWvaJmOObSl+fMFZ4PyIC/wHRUbwW8zKcopANSZrRRrYaaXU3J6JkiXlgs jGWCMbG/orBZ3tejt+mDTxGSsLNqCNhAy4IsEtBQwJvHjb/2hdNJibVHcTO35nmtfgfd7WMbxfrs ZDwdsSV/NdzRARvtnj8RJXDQjWGR80ez+S7PzLmorEvBYyTzclzrMWCD5zKNDDT48TzOhbi20q5S MzGeSNsBcgauDFGBy0V0e9WoJVhZb5YpHTQ0sFVgDaAOdj+/Bp4Obq/x3bOAmGHNF4/Qo7gZpLcK /ow1BNN2Ia1kXVRWxYzB3kNaIIuBY5PgxcHLzmdhwQpCxoYlk30CwvlIFO5ES8bPH8gh8VWDy13l QsJiNTDr6iBuKJOafMP/ZXuRdzHznZ6w7Ix6RWV2j93F8ExY8J66pRU8lTQ9ceCsbZWXD56UNrhY NKxO7IJNvB5uM/o/4JBM17ZnUAm6R1XnSu2DJix4cyx4TiMBT6SkfeLx4Hzuv2/385UYAWl+3iNn 6K/igJ7EgyE2XJyVDpAjTgURmfDttbuiyHlvwaHE125fTJTzQ6H+SCzviYpodOuSdgM9gJkeuGHS q/61KLbJJeBg87OLwBv1HAh4PMjab1FMc4lm5LjzE2Gdges4kRIm8j8SFVeCukCZF489qU/M+Ynw GpZ4iRy5zNODsXOaA3i3bUymc6gxOEQ9o9KFAD1Ja3oB14vPCKIfFZ2Xis7LLkkSX3h7ld42no7Q hr+wzmQ1ophu8xMGzxSpa1bLaIkGCIAbplIURuMH4gWP+YlqzvCoOgsnK4bOjz7EzBUWjEsGdFBj IxYcojKgEq0fVJ2sZUp7SXxGkJhSEapSDE4DL6+BOr26Xqnh6YiXvH/ND8t3yednRNEzsCct5+SK omkXgxvuC6fb0ARn9DyiorNu96XbfT23O4A99KxaqsnDkrZ7/pFpNoxf3IqqtMYYUfRMcZZchxL3 YTfkhhIO4Y3nFMt4OoiehzdNCfQgXmTc82dE4TOAQee3NGgq3GHNpYcuhCahMZY1dUZcdNb6oUX6 zONeXyiKAZbanSnY5OA7JU4LN+r6JF/2qhFRcKpZMXzQ+kmz2/aYG0//q6lMtDM2/L656QjRzrDA bmQeSKY/G7G9bx7U+JEnbgcR26OaMzmO58RMysF4dEKVb6OTNRoLUMh7dy6aQbb0AE+ttyWI/9PA RwRgTPIE21ZJdbPGwn3SzMKtn//B41HL4rvnTjmffMGqIwZsULRvJyMyJeOknNjbIECwmvWe6Yhi Z/WxlnwLl5KhoZrqiRfakhkvREq76digqFGwhL4u94npifEalppuH9Ryd6jquU0ano7urvR1d1Gw rV2o/Igi544C/6KmleT5c7sN0ytpqAsNZ08UOUsxYlHSV4M3LIBWSrSDPKHhkEk473Y4zqD4k/q1 vpysbIyo5Gzqs5I4alzmmKLzzoVgt59zTGc8Hi2fX1VVbPd1L68Rxc5ISKBEl4zIlLZ5O+1tcrRg feDM5e6KYmeJz87Uqw82QQmIgTKKHGZB0GVvA4xu4Pmh+tEuzxT1LXxGkJwmnsq5Tx9ERTlbV1Dn EyPg6ajh5dOj3J2qT17aGCHUGWC66lOBYN/swRb19XX4IPcmZGNEUGcRKNYQ1nA8WEN0Ns9GTbq7 sD67is6NYSED/KtUQyj4iHU1KNiUxh1UVj27iw1ImP7g6SD2Wd9SNawDgcBi0xMVnRu9HVbXmoFe pUKfOcmC+g8bkihEaHqi0HlOxTyS1pDEYGbmtU7sNqvAdBONU4U+A4hn1DJmu6cPkrCMz4hCHzmB 5jtwenKl7TrO27XxdNTv+m6XUkMXhWubnihyHqTJzOqCqt3PZtVGzRxk+dkcRs5LLFzxvObD8zqB cT2Ji+AaKBZL5bEybmAnA0U/m59NBYkRhs4yB2HTAyFa/hkC5Z5FTxLCOXP3+oyw8PyrLo+jT3A+ zVAYO7dEDKZkfCC2MXX8rJRlnZopUWQzFBeemZsmNkw5+PmMKJ6+2dTRrY1qqbuQzk2KArxOrTDP fOozwsqzyfGy8sPBOspQBGRCNVP+jBCxcTFR3E34pdJV2ZhR8AyozvltwcIEWB6IScZBG/KwKo3h MLTka0bB8xJBuWmjtXnhhohp1CREf/tc81XicwjuM51J6CsnyBidL+bfERtDycXw5OJkplN11rPR Gp6OGhffXIKFag2K6zY9UexcqXaRZKyH3Is1xPHDlIBaz6Apo0+LA2iGVEHJZHWC5Tk4WL6sgfN5 mBMYZSqQe1GJ9yQynTr8RlMuetVggzEvKIaLmsZX2EA1CY/SJp/+Xw4gYv+YEWp6otgZEr4oo5j+ ZaLQBStjYr6TxY2Gu5SP/s4UnFm4zAtIR+0ZPLEp429Yrqm3ATFPoOQh5jQu0TTbq/6t9Ey2rg3a Xet8c/GM++Tj/2LCsQmEKAmKZDY/UfAMlFCj36zrg+oggjduMSZToRkO5ycsPAsFXkf2wVP3Rdks UlHAKCsSW+tdTZVCzoPXnVFwxGdEeA1yCHq5g1F1ZhV3obZV8HjQc7/3OyNB5Iq4nWx+ovC5gik4 qceI3sXaZta8a3am6cmdkBAgOpxR+GxAQ529Mz+Ca6CyF9RWKb9fccV39QUX6ZA/ozzSWVAgwGdE MhJWMxw++PxADhDHDzpfM4qeW//dVj4fMi+VaYaIDVBRKk1Q6NYozcuMqAtQe/Z2OpwWdDpHiA2J 9Y1NQ0sOzhRcZ9FvY5oCF8UC6a6dRg5nM4Mc5FSvaa8anM5KTuXV2NyrcZ80UVqYJwMeeDoID2f9 Wj7I1/JaHh7OCLKBEwq98WmF+Ww+KdCTdypT5y/G5RNVnqUXey6I5YOjMdPoHfgVRs8nUpBSQG/I U6Ci2vNjY03N/RlFzyaPMMv2QdEzTnpWftJoePpfIhs8tyCskuFaYfMTMgVRGUvF2qUtiT6JyljK Hh/CvUvZ6QyV6Qj4WZVhIocL5x2AiUmlBTUIK94D4ZXhm4q4xxybaG4xw8IzMRuF4k4aOD2r5qSu +9p8Opieh2bq2nQlXRWJGYE2OD2dymes/DiYF7G+wcGR6RiabkbhswQAFk0mNfjygYxhQVRDmdDU VMLn3i1IiKDV6IXnZa8agHkJ8aLulg3KLsAkY2h3Ylg8HSF+/PLCuxGsSnympickCqq/MqyxDCUG kwFo1WUABjtNjH3+LrKxets+OCrh/MWi5QceHNC8UgpAMpsw3Q9Vx2KfUJ+OTK9J3IwGgTYG/vCP PDzw9L8wLftHbAuQyW16VhQ5Q6sSbqQq+NRNqh5ENkbaV2SDvVCsnhWBNob5oc3qg4eGJ/oZheJw UM1CFG6Wu1BnGS4RpdAQj+EzIhEAojW2IsTtZBQgJflznNiq4umIJvhLIgpSFONurhVWnRsleIc3 TXcxgZ/RXBr9pO6OF1tR5DyIxZx138GvrlHYE1/CtEwizM/0QCe70NRs3qt9TXvVqCpPdrsoO9qJ jJxTkrfIefmKp/8FGKNlDKWPrnbzCsWbK5QjZp0XtWFnTwIWz5Rms2sArBi1IZog89Mz8KZl6o77 uieSAk/kgzB88OrCjAN21+fVUwW9qeEzgrLzVuSjyDBbZMjigCRaKIwZB87feZdMh25guKLAmS5x jWkv62IMXpm3y6JpuTQmb/YVAp27bnaRKR2EjJsLNssFzuKAqp53NHk6bkOmqKU+N3vSq0ZlZ+rN SgJgugQAABub4Jaa88bT/8ralaNlTM/dXKGG86ZOvKmiA7AhCd65a6lWFztLw1qCKyQJUnJ2LMmr rksShLPXSTyrJAA6mjiJeVdrEvHDtXl5Oggv8BlBXUxQhC0jlG3FpT3OHUKTr7OGMp4ONtezepRX YIfNezZHcTOVLk5gbupr5GHJuqKYoQ6IDF4YW1HV2YhenaLFo19br0XKUiV4CDbekNbATLIbeC5K UugdcChRI3xIpJEgKB2VNjgY0YL/w2SzDzweYDbWd1eHmeKjL7ZCsHOiS5VLtLTczXIA8ZDd7Y22 E1xAYeSsxL1IoqWkB81bSz9JBAur8G7Nnbl9LainIphh811lH1a/8RnR/LAez65ZpgaOul4nMNFm 6+fIxOPR7fWduIMxWtpzuYdMwYXcpy0Vw6gtLCITBGLPqkFvqlXXX1sxU5AV50SmIAfvmU4ozs4k 8dlzfU9tsIUgEhapdT9lMdblVxQ6J4rPAjfkAzcY3GumYBiZbxgcz4/+Gg8r5MfrKhevEO8MpkXx QAf9PzV4FpXfdT6rvsb1E2M2WHmWZ8X48qzoaGwDEsz5qZlKhwXSv3i/ybbItfKadO5eEeBZNlVl lemDbbBJlQFqplY8HnTdZ/8KnllAqLfys8LC8xIUalvqVUgwBZtAajZNVDOzOV1h8CwkKnRqbfDc Yp/oKcuUegxQuhlAJqRC9GbG5ePhzxh61b+FP+hR+6D5ga+GqDY78/FoBX1zlYEnr4BSan52CNpo lNbY01PTpUiI3HRL3Qn64fzskCm4RV4ndoyDV346MKWdkjUw49nSYCU7uvCWpwjcl+PyjqJnqWsU k9xf7skHufuqunwafDxio3zr86KCAPyjz08s7wwL2D1d5KcmU78e9DFkZWymbuHzDlU2JN1DXQgN T2unAyDTtcFSl1VeAQQBSvkyzTEnYSwJfEaQu+vm6sI8dzcJSw0UQxkLNb5icIH99j7BnbOTN953 zBTEtVXasL5ybRYgDlq4ojCfyQTT/ITxM03gpsms9vmlLF9Q/KFpTkO7tMiiidKvKLi25H3lQgtW fEZUGWOxkQgIDcq+MhYp76QTAe2QKPhbHRxHM2UgNT1R/IyeaJWFiI6fZcamk74SPH5wv9n0xKgN K6xOHzw5xa6iFjOJ3Ce+UtsdhF8oQPyAR+V0HVbGdhQ/E4+fWdq0QZifPqtKE+fv4+mIcFG/Th+y cgnk1/SEgGcoL1AdXYwCAKVFuKCRkRgFqJpKef/vRMGXvgXUIDeMAzk9DUBcTg/rW0AK734PH5bl dyyzwcsry+gjd2fCnZtFhZ+Tu+PpCBH1G7MBFYBbNtxR9AzSMFTRupGZoElGmY2cHdQCIJYx4XaI 2ZDXx0jTB7/c4d6495Kp2RxbWWwHxA7V+h9wLhwOThWSHYo7S3y2EzDGQXurnf9lblrTwtPB1ZV/ iSSICONV5x1hNgDjxD2SrKdMfW7VxVq/PeVqCj87wmyMLhQhoRvzy8AcUL1z3OCigBJOmjRO2o30 pnOI/wyqNDD0WWwp76jqTJbYmWHlpsPl6cqW7ToOsYKn/8VxZ9EZUZxM8Tg9fwudz96ynuAJdfO0 o2c83kvJ1ft2jNnYr1VVIHxO6DYmp6dAAkm+wlg4sL2xo2fyZKb6444wG0No+cUMnoNxvVrhXq6p Djz9L/ksAhnQE9iXi7LDonOl9W0RcQkIL4WIc1ZuODqiAKuroyfGbDClgBGCDb56ErjgjMDAeGmM NwFaAC8B9IB9WxYoR/MjIoUNKxcOH+zemhQ8PbOz+HRQ2Mh+rRNbRgJevnixHcXNbaPwk7OAu00Y /TM9Z+EVY+HCTqHY9ESADflxTlFsOTge4SxHtYzQcJ81Szq8oTwJ1cQfHJlX+7HpVYO4kHgNAc40 qKVTSjGJn5N47ajo3G/ezn4TmsDShOP85BQFzgx8+qLvfWb7QqUfNOimZaYFXlKSvk5h5Ny1a3iF cfDIEM5+Ce08OBbniTWFzAJljIbwftNuSJif5S/7PkWsD5wsnRusAwdMkXjgY7We+vmB+XyECP8m FAADXAlaszkKCYMnbGu4hs8kwAWEAC9RuQG2NUPFZDIk+DvR/Z6VlzYfnvIh5BoJ2xhjdlZ8C8zd iVejFNrjOXnfNkImkMvU5eve+0UmLIi34pQerfH5IMH4JdLbUOukJ4h9bIjdABsfeFtlGAk1Rckc ZmM6nVySkq2apBD4PNRcrssHT8E266lygjvTtCUECXFjSGTOH6jwOXiD0FV8yN/QCRWWTjiLcMo3 T1Ibm6njREr8A0EkVL7DaJiPUNrVJylkDsLnohb3AanVpDdAjNsO4AD4yUyIQitBoZ4WC2Uc7iSd OPwkKkXmXidhIj2VOpUVVZVcCPKzRNXfNsIgsrtMhpkGXfdnEyuROkE/H4/6YP3rQtvEAFzyIExJ gmIrWhlT9GQwDVq3WlkdVaB6VBWGQXxpbvI+R9aGJwiag2N8AZmioCsZqLuYlXJbi84RP/AkslrQ pl4vPiRoZrAcXRHc2mDFDpTZpMcPu4IU4ji+CN4sAp5UJ38tpCimRocSTsFcKWf9EZKLe20wAGTQ 2Cidr4UUl6Q1OwYou/IS89w7C9hf64ctFshoWVDg9gXkwLxQIF78+JAoo6/kpwizMBxKdkJ4yvD8 VKRkePx9jqg8fTti0NYp42sdhTXpQdH3MayhWtl5hviPzJTRUEWu6esoCqyX+KdZdO+cv+wXz/5K ydbR2dR5yNUB0hLyI2pXG3JMe9kgOOrKywgnkyMZpghJoIiAc+sdI6bld0eeTMJ2qd45hSIcVMgv hk44F9s2QAcUlR1vB8igHUdhXZrNwLHW8sFTsxNmnSRvM3EdJ8LdhvhFDEDZqerS2IUEX35IkHww o6/ZVFiJ3oKtVQFS7YeCxY2P/wtxx8I0EuCWnykK4+tJs7hhbLC+m/rO50i1UxweN2n5FEVSHFU9 DdEJOVgECVerk88LsglX3M0Dq9FkBfjEmuvTG5v2stHVT5E/xqAaRDk48Zd6f6NNPh5stF+4jkFv q69VFIOiJ1v+JlFbpSI1AIpO5nJ6rprp3jspKk4PAcY7cxAOl1GIQqAxwgbvN+HJUAQCKaMxyK4q nhV72ag8hB0G1p0PnKJ+DlNG3Ce2m3z8fyI9AYRSb5KWQ/NB6lytbasI5G+rn4n4IF4PvBY1RYH7 IOALrC8uy9bWt8j6meSujQa97coySSflFRESdOiN1yMLjBzYD55lQN+DQgUHDaoRnUtNiQhUxHPo P/i7SKRlfIV8c2hA2FRDG8MT2WKqHOcERCSZrYdpBnuBAyHiUHKfCs8iDs67nHCvzktiZHPvQlUF +H3yjsNi/92jz39xIFzESKkZ3ZwXf66zJaniOSofD0LsW2Vk4ovEeKRnFYUehBXmRHuZkUFPxlFd mwcDwfUpP1MUikELgCf4+PqCj598fp8YZAvfCsfTKeW7Rdki9OhuNkv5dH5IxO9Z4oXlSw/jWYRs PPG4roOPB/ns/mWlgjoRS1g2RZGiHe4eCDVbgN1asQCbRUFTL7l60Dk0IhxsBC2d2qs+neh+QoZN sx9KRp4vQZTZCZUBtYDTBD2XValmJzoHToSQD0Aeu6R5vK7m8VlEi/k6FGD5eNSq95IID65GnPS1 Igy9CFFzA+RP99j5NbL1WpPoUEzUWPBgohaYEab/k1Q/tcdt8GI+OZhDBHnUG3OXPAeSlsGIcjyO D7r1QztCCXSUJDe5ZJAbVBU0X+fI73w86rb+MmDeZIk8ZqiRMnSn3Z70twiIKVZVW9IApKx4qd13 Whhey+1hypNnPio4u49R5TMGQyTcz+wncrEAk7TKAwWmwksOHQl1GNXKxj0H/O+JTqFjxTStFz4e dITS95VGRkpa99YPHAmN51xVT+sUzjBh+j6M3JtRzLjLKMR8sNTRi8y2ygN3BdB7tqSW9Jl3Co6C wI8aIV9zsudanMurT4kiI+Gl+/ZBeRqIJVsSgGXz+eDEvvq1knwr6JTcNC20JUw0Ha4MD+GyAi8I cuWh4iwyeGnFufI58CXMrA0jbJTmQkp3khLYpDZJ5+4B94zAD/bNCxG32+79Qp4ZPyQIsFldG4Mn NgeBptucW95g9o6RHMW91MTfpJ7bc/GHEfaidC0Bi0ARaUltLCTOVkZvvBMmw60elrCNlSAtsp0u rDx1aBODtMkkBGKP2GsFYNXKnm59pBInm685tCcc1pZmpM2BN/+aSSrRZa7Mx4Ms5JqI8JJnyXc9 51GInUaAe+4d4x2mMoSKWVn5rSyw1t1sIf5DyMWho3vcmx9iiZDH3UlKtvAB47VGBQXRFNLjgZXt ZaMukZAflELmYFnI2rwkzlYbfDzIQi5zXlK2lZ1On6LQpBCVDFA/m+Wy0wROVpYXKG1WqMTGKQpd ChfrHHOYZvTDToAUfqGfE22wGt0XQP7BRZYQ7TwAamHQcmhTOJXEpmSDclmQb3qdYvRgGQVGhd8e 1gJEoNlw6XU5dCoke34yvaANcW8S8dgExBbqaQ8QLbTVQqtC6zUWpSHlpiEL7nI9NUnfAdC1mxwP kI004Nuo6M+qES1l+CF/u9ZKVQBQr7hSOzt1CllfJp+PGL7fdWx04Eq5HKAcuhUuWKVW6hyRI9VN c3xRME3yU5NejZqjMMg2m6KWfbhBNrh1TIka6iNtUMuioFVcwZKFU4xOI6wNe9kggmSO1rlmNQhL JO2CH4oMND4fgWW+LXsa6Uf1HkehYyH4y1DJ1CGEW0f/mnslCQ4ArrOr77XYdkVu8aX74OvoLMiR IOsJ/4aTgjYCayBT8gOo9Q+lrqzWX6q9bNDTp6R2IqIEQzbAZ+p9MFk7QUfn8xHbxQH5bBGgBU4k hs1RaLxSUU2mDBgFbpPVR2AK6pgQZFhuGB+VsRkR7mnQmXU9d8+NtlmZZQh57ui5uR07O6W4xqgc bY1r6pjl2LeQnkaFWY8G9R7zkFp+zSnz8Qh1/o2awc1fyn62Wuj6XQkMsfYQDlX3X6Ges0gLEoJD shY6F7Lhfk5jTdF8pmihYpAoFc1sedGiCHZB8M7Al0UR22RuFWWH1oVZ/gfsu2lQVaTBX4FR9tLj UeEo/cpnSWt8Tuwoym5Y8DSMES5Nt9oCJKUmJy4Qf6idFqrhDYM/TB+8cLTPLHVlZdpqxK7BL/fk AucaA6/DeiHExebQvHAommEnWIOR7ob6jvXkuHw8KopcXhDfDTdSnc8qilmJRECs7QCR6QCR7tSX VFPxCm1gYIhuF1dRU6u/ladCm8DlHtLUh+j2YDTeQWgr0HJp10Nj5WHvGk2RfInFS0zOSzzfc8qG p/C4jkLs8mT8Kj9i7z/pbGhhSJuGRVyqBIFNyfV8KsU+CBKRPFXX3wnufbJaVprTB4dB9AlhkCVq YgIXRnXuTY/xHzLvBMBS2Si0MFRhlp4ENmiGssR5cYRPPh6cRDe+ZqSJxlN9ktnQxBASkCjnmN52 41VEmEgd1WEi9HPRBMXkRJmnjeSD92XPHTzSppPGicBgaa9eEapHOAdBRauyul5g9fNDgkW0JUhO BkPzD1kDf0c2hjXz8QhJ80sVGGnR3g6QzaGNIfL0c0CY0nY7S0hn0j7/p6I7v9Q0/LCOfQwFsZ7q qs2r6rrO6Yw8HsEpxHN6Iq91y20W4oaz/PxCWOfQx1Ch46zrDjqrpxruKEpPPh5E19mb+5RGaNzn 18kwB1aGhWERmq5WEknbkXxlFVOHwc3m3f3Ay/BMNZfPEgiEw4XJQuEtMXSkT6irL6IawrQreSPk nLXdXjYiUvGuV8uIA6tGG5h/IUGz3vFfUFDZ9kLB5zmsYztDrCJ+A2Vpw9weV5alBouP3XH6OfQz HMmA6M0H32hpwnSNaEf0wkG0/6G8dEFrikQNX0clqXEdOhqyxJlhceSDV42WJHTORww+/69JUt47 fsiQ9UkK2YqNFZFtcdG5eI1NNahUxhSEEBebpNiXRfCQnHy4KQjITpUaguNs5cHUbCMTxVV61n3L vo52bvaywV6T/n/vd1AKkk5A1UVxyXrJaLN9t4vUnunPHIXhdYcji1tdn38B2EtvFlEbKPVBEQkG RoGxoc/REC+Yg2OuJ+AQlbd+71Cd4UIqaGRWhPU0N/WqUV72tkH5kTL3lZ5tGlgSgQ9XkcTAHnw8 QtJ48IjTC7qmNV1f+Rz4GyJzySANNekDn3+RgFcBfqwCjpzkgsY6vNVCg0Nd+6MyeObg1z4qtJMO MGcdsT83laZBiPv8NpOshiTFhm4vG91q4p3V5oP2Go88ztFGSSTwOPx2QMJPeD5779suCi0OEY/V PYdWTAUj/EeCQ9NpMRBz3r6KIoxIU5Zvovd9Pa1rAPvSUAbSVkqDvCoS+Suvglkfc+dsL/u3jlod ufogjMgAm5/nCw+jEH79yyKKSIVHLTgHJofiGzXix3RiZzuM5i6P6AehmDqMYowIp2jL4mjvm+uX c5h21htZwq5JJJoCvH8FwwHlJN9nadnLBvtMgbU6asU7ahnK7lJXPjmIXjLYaNdmjOcF2eXtiY2i +HqdNz7pq0lOn39R/ww+dcS9UpWylOV1tdDocIp3n+cdbKNVIE3PecPDCJ6o8GykkRaEf3D/9Kf2 2Ju97F9RfUMQyGGXGuU+GT5CRp+PByWjGz6qZDkoh/HMUVjCBk6jAnoFmbMTB2zCigQAh0Ed/hYY egLRhl6HnRuoy32DgyHWTpwI0D4NkWDSNpIojBR9RCKRK7U7FUGSRJQDt8NEob+S2cS0wUloRB7x xJ58Prj5rzY3jx5w9tZToA0ND2lcabpD1H/dkrKasg0TTqRfKE3geCg5iBM6Stx9PeLu++zCcxp1 yVxAG6KZpxYAdQCCASPq3iQkmufA8hD9Z9X5e/ZBgP651BapJ/Dm4/9K1RgsEkI/7sUfeh6yOgqd KWvNTosb0QibFydCpYuuvxMVjagjQ19UDV4RgZcWA1Oa1uXUFWRmGu8kYccsVRsKjgLXQ6m4wriF OBEOymbh6sEveHInPh7caZfrye4ZC9D1CSBD38OKU7O3kZxxVZWSQARmOVCkVU9nA+PDS2dkJ0eD X2vn0MlsXKJqBJNbk7JECsBOBPDGutZQh9eHRDqMYupZPoLQofBeS4t8zJ/z9xefD1pqv4SEqcJQ H+JD4H14ruwEfMhcLpU7r2IK4dusrMGhz67+2PzQfAJS8cHLRvDynXLGbud4glyfI0UKEuX2sNKy v2vULqImSBPvqrkmyPmIZVLUY/Px4Oa/Qu/GQoJ29T2xA/tDpCFIJmU9j5tlFbWxVwKgjDOUBiW1 eauF/ofTLDgkC9KvLMgikHyBlAwQRAPynk4TYpBTtuniREbzlw2oIUrVcruDKtgQFWPx8fzAfDxa RPMrnS3S/H4WUUhtBNhn7isMspYLg7TiBqMVC9QWUQzDls4isWscnD3T9rkE0G+j8AXqJczUiFQA GhCSPx4/0kwhhy6IjaE1Kxk2CPq4ZRUGwEvl4+/n9XqkHfBu2GJrPasoDLHPXdNIP5RmZS+CPp6f ZnRLZtv1gM6BEWL2XpEUFzn4KjqBfpc1W+sg1Dfi+goSV2JGyKIxYaviLxvEj/L662wZtW4Mnd3z ME7H+Tn4eIQ2+kZkZRiok4XqcxSVsCVc25bOHaRSAkNstlG400pVlZ87LYKJyAdpifKwvigPe6NE m4R0PFulTCZqHYCoEyafz6RnifhFNDrOgR8iekXUzSW0R4OufaDWuQjm2nw8QtJ8eyah283inqbo 82dg1NL/JTC3cArsZtLUW8URCMzM7asoUfURgdGfYRH+CvjnnJjN+r2hEXhWL9B+BJkHXOesJvEi wBPNqHwyZZgm+47Q8c+gSC8qPfMznckHHdXVUh6EFJ8/QyK939b6oWSxQqJCPykKzf8ZENnU7Apv WkY85MsMa+r3LKMASDsQM87FE0xNJ3dhDfmMjsdnVNS2JtoVHEg3N2KHqAP0dH9weOBnfPDpr1MD koLUBjU1yacmn6SAKxX+PJ8/QyF9y0rCGFVaeOEVtV40NX/GQXoIx2qrsG0hubGhvkUvjmmMWPTy mztt/RkF2apRFL2oGceBUwPng7PmGhFEJyuDZoEOJ5zHGRANlWPNHrvzRd9XTRkGRy8+qHW2E2Gr 0G5unz8DIJvX/T01qHBnmHJqav4Mf2zVNMKtzXmefqmqfKy6/OZqeZjU8p/Bj/7KKDppnuEGP/DN ZNZ1DmVQZokB7VhHSPhBXrpGAWya/Rn76COyjJ+L9V0haVltbibLvn31/Pkz9LGvSRH/PJMVPUiw qzY3fwY+egixBFo2qrWeuWmWYmzpD9K6N3ULn/8Me2xHseCxqzm4XBn8XTo8Fdh4QccVe5OREYge BaVsXJG+o3Cvfv4MeuywyZI5Jb+Kg7JUtImXWhcndP4z5rGny/fcyNyC6D3MzZ8Rj+3DRT9a69S3 Th0G8mGyRzx0nDNv0WBuRCJfhKxq8Px0DOQZTAbPIi6d22ijdnnOh7O+9vKLqlB65PNntGM/IhHD tcmXtnm0AzVYpV7nZ/j8Gev4dVO+pwa1FJoYyvHjdWrQwIbiPdlW/5Eao7TzFuMr2UJuqrvSmySa GkL0NkoGNngNCOYJK4visU9CMdiw7gv/JWQREWl5tEw/7D+jHNtSzLiWCPkcVI2uWt8nh8rj82eM Y0cVdY99aog6r80P4j8DHDuI6ZfbmvTh8E3URpxjXRGH0uiYCWnc6CAm7GxTnVWDX9/nwjp/iu3n gZnpJv2KB6DXxRaNIYVxaHz+DG5s9pWpb5oClO2OYz1VkWxRKvj8Gdr4+7Xvgxj9dIrHcGr+rB3a hiJ3abl2DG0t1Ztnv0naMexn4bD5s3LoJwELPoUlLw5ezTir4mQU1G84Yd+ZEnL1CkRABD9CK83y 0N2p7BXNDSv0aTBG5mA1sVKN+UTlzuiWonSAxza4pagFrMn5s2hoK5VmWnNYX77WsQwhRAggwz7I 4Jh8Q3QSyw6p9OqDXeC1pIkKIVKHExvuogCxYsnUhu3LhWN2SDQk+bNgaD8AVU27TJE4qDh/bkGJ vlaIekXHjYwgsaeouIqfaN7JeWGV2e0rdLktmHMomOrippWThHXOD325icH0SLJijXwHP3FagkFm LrqokskSNKbRiIqlHGPiFlmvGqwdKscAF+KDYNNQj+dVsxufDjaW6K1+i0Mns9wz54VRZj8J8ppU rDGI+rncflYpJj0N45g2HFgerR7qVWzyLzT49IyRztokFehsJ80UaYnkkTPuTg4v61UEhfBIlksU oR0clHimzZQVfGLAyoP1Q8MYTccPvNd5m9+8IYqO6baRVnOEYk/aXCtX10Y5Z2zzSmoUHm9GdmuY Z9QVHuJ3hmTDEhh4FUqT0v9QYOCGDF2ba2l6ovhYcHtS32zQ8qECMacHBbD3ABlf1M/lLLr5QtPk zk8UIqMoceKGra10/pVVjEdivezwqYjXrPMVHM29KqWyBGvc9dPRv6iJRpCjQdOAVaBRKieBVh/X aywLqRClVkmsVtYJOXB+QO0WfvOsh88LkcyOn/kd7TTyfsudnvcoWYXPk3c2A9yvtIRxAbzScHfn 8Llol/cwOVOz8wTHcGC2wQ5nIJjP6hElYZy8JDUFjTxikwuWa3dN1Sz+eqt3sX+6s3/IrBJmbqH9 HsXJAp7e04cIYIqkaH6iSLlT03T3ZbwWdzReUBqXqHKGrph1399D5eyns/QUOdjyOQHCScIFS+xk JEjzHSpy9EcgRdfjwa1XfZ+fzWOn79J84DmCF2V5BxWRzwuBTG/Yss+PHLggKkDij+YnDJcp2bmH SZYnAYDQe191W0w4KEjFwmAUL7OdCaPM5YMfzycAq3VJE3fActhkB4l4w1yNr7t96FXfjx86kObK nqMGRT4nMCvca23m/Xkhj9kCkhsJFpDSCdA1kqcTL+Qxy2ASjsjZXNN0ZSeNK22n7BDrItxgUdTc 2eGDmIcPXhTEQVaYqwEq1ao8RxvqJScRzD9oElyzqKVXfZ8gpiIoXGwfVPXKBDljg/X5eWGOPT/h c31RZI6a05qe98AZ+h7Ibx8ryG1tG4htCJpImKWlWy+0MXt1Hs/Ap/jgScW5+9quorHmk2Zt784z BKE6w7XbYFLxQhrzKgRLXq3cQeUdSfLjRoJITBQ5W+Hdzx9ub/jGanqi0Bl9lrMNCcxA7auZw+Fi H894LNTX5PETxs68k3biKcTBjmcU/9PoovPCMbpyenoGMwMiNDOPKxAjdmYUO2t6NnMDDSoMpp0o uYkE7/PCF7PdVb/LGBQz7dmn54UuZluaULdlitOQFVSba8r1QHYb3dVhXthifglutY+rD7eLjCQL 1plISbNgA3Ba5XWnwtfDYCl61eB0lnxOX3fQ7bWacPxwUvm8UMV8+5evvKtl9bJ9eqLYOYt/VLOX wExPGe5U9QIRhl3uL0Qxj9t46DSKUXC4DeR2jjHw0XD29MECBhVNz4wgvyBk3cl0Ra8aZF4Meioz YA12OM+zY1k8PUfo54Um5rdX/pofimER66v5iWJnvCf66tnKYDVl7x7navDD1Lx7/EIS8xKcdY2n Dx47Q8iL3Wmo5pGqifOpgDnfWPju1PEqj6DHC0nMcy8eO0SeaVBN45zzMqI9UeznhSL2NDS+SmHo AbMhovkJY+dFw41bC+tTGHES343UixqWTp8XgpincJWnsk6f/Jw+BFe3SUOAc/mkKsxUp9wEcY6Q czEgC02CPi8EMU/dKcPQ2Ndazb201t5Ugj/bNfPpKHdfX5cXwZaoH9v8RMEzUqGzHZKVUc9B6kJw 9dpgz+E46Bdy2K/gx8zC8+WsrE4Tj0q96Z7KCU/oGTBot46yPiwsLp/XXjWYniXwSruD9hfKvCLl 7FY+L9wwu1/3/L7cK5FQHhy+UMNs/Widt2nnjzi8UFQu2ZWp2iKCBfMT1Zkla7oE8l39EYMpJ3IC M3zz9jr3uzoZDbiwCllkXJ9Wg5dhwgsx7KsHglQn+WBF+BMyTElUzPV5IYb5Eve6GPcSEt10g+cX Xpi3bwBnJwKIuanTwNdq2+bnBP24fTg/78Ezki9GPUWF1dKfiirZYFuOJBCuKLJqwYIjBxoqVbf0 s/Sq78cP22d58DfQoMrYnkXSZidE/7ywwvygLV/JBV2HwHix6YlCZ7SLT/6YXO4eBSQZQSbLN9hQ N1zvCyXMflrQAxOcHXzw4Afk0UaZjAZjkiQVi0UcLKXuy6Nw0pdeNZgetkO7fFa7+6zmhBSFuOXa MD/vsbPkjp7lgzgU3Tafn6jo3ODXkiVYDnhGKjIbW+gsWG5KPUQdz++xM/rpPJ6ncq/pudc5ziDR WCHnitLYuQcL5QQm0ZmQSKpfsBW2uF4IYU9xAoByolY58HROkCC+alQvfDB/enzd7vQUIEZN0xPF zrTpzTToYWVsTFXGJkx6rDK2IHii3fUeO2f21EHuB/5Eg6fu7cxOAq0DcIw+W5XcEFmKOB15+tjp jBjt80IGey4SdNNlJ5qSluiEYz1XDwL1zwsXzHMoXz28ySlkg6DCesdh8DxRnBL/m5jwZGiME4pa ExC4p2XN4zB4Vs61WNfhYMsnpXM+AxhuMgJN0i/QFvgh16LM60ZCn7zPCxXM21VW01g+aH5mluV8 Pcfk54UJ5sHz+tpdGZBlRkCanhCRARGqSSg0uSkKg8BN0b/ITZkujfNCA3tSL5TEWvHBpuecofA3 HLLpRT21agYr9KYRWhWRjVA3LHrTaHZYV6XPpgaezeNEnpJ86Sf5eeGA2fdM4/tqZ66zvLn+QgGz xVPJxCpW92mQPJBcoLe+oHffzCvqhQHmZw8TU7qca/DQ8CR1557hSdwXdIKlmrPZAwM2C7axWjwg I/JVg8yLZeexVV3dFp5PuL9sLZ7ON/zX0cypwHGBMMamJ4qcIdiLE6JZ5EMFJmZeu17wAWUnOD3v kbP21svZc6bnHDAnIqYPZKcXeWHnjiIvaf2yyZzVXjVILFhPbUWrJ8NCAN/qnGND4vfo4H9emF9e 1/iuqyLulQmt5ieKnCkXvsV+59lcm/VLN92RND/b+qUvvC/7cTo3F2r6Ntyy8zkSFh2vYUJ7pkrH E1AqJ0T6GU/Np+g9o6yLHhIMzTXw3pq9J+tCzPl5YXx51OwlQ4pOAK+PPNfm5j1qRiwxmZVaxbB3 o8dtCvmpIZjn8K0VlZyTaj5Uq9VwS6rlLBl6DjW6CZHOsQfg9iVViI49DS9qub/QvWyZry6eV/JB 1zoU6KXX0uvnhexliyB9l8RgsUqSpE1PFDRDygVJtSIcpKcGB9vKtAjQoKUbl05ccWbUQ+q2Bq/5 wEqMFzaKGmc9ZhMvShSXREOE8jc6mfvWuwZhD0vOrLbYwJMZVRNGvedj6+eF6+UN0++kgjdxzXdr RVFzlRqG8ZfhmnZRGvuimVsxsYkXppfXxCSayIxhVa7WSVBYPu+FEo2SrgXlCjRM6UpGbiSqN560 D3vXCBbGco/803GJy9Fx94pqP2K9c8p9XoheXnLcX/sLUQusP3yCwrCZUeswPalK2hsr8qvlS89h 2ML9FZac1Uru9Q7eEYSfU2EQf66uXpuEA+mdU9HaQAPetYAZF76wvL4b7lUU+AqNWjZKofY4JM0B qv3nheblaWn+2mHQCTr3+w0Mo8AZImvnzb0sdq5i9QTBOE6KfDKxu1pBUdGZv8frDlsQuRvUBToH KcpiAs5rrQF4f7U4iN7+vDC8vO7HZmCjnltvyak5Q616tCH254XfZW+Y69f0FK7C5FWfF3qXHUBJ vBxrCQ4SuMgThFQA0y7oZSdx4F7YXd9F511H9sGqPguGn7ssKW8C7a4DCCpcFXkWlr2tn06Y9wu5 y84CHjyz5K6hOWu0lySFsnOnfF7IXc/21PzI6wdkgbt8XrhdXg5FVYw+sZgf0EtktLGA8q5CJCwI K3F+osCZax+Y7u2DVzWQtGV2UACyPwkrE9jWllEFWHW2liDi7c8Ls+s79slLXs/rSgPUDp9tHtB9 fF6YXV5ZuCg69ucRLKTb83ohdtmiXfJHvj1TLiVo2pfpZNwO8C+7Fi+8LvszTREx8Soc/IJfrZPQ JUgL8FaUKQHqsKLSiXa2wXYhFMRXDQ5odS2yzqE8HC2W1pba+pmf9XlhdXnwnL5veInv3Pl5D54N gok98CNt21pMJ3HQkYbnTwVpj+fPC6frN+SHrG7pnNkBPUiZFR0H0XMSDZXrCB60FPo29V9IoX1e OF1eoiLkm4BmDbrge5aJej2b+fNC6fL63/4VO2OXdq9rvDC6vFgAa43hxzOIRZaYtpItMQWPQ/fX C6HLE1MGQFuzRFkoxc7wU2XdmYQuEGebVhj10ipQ390zUzTm+apRZmpAuuyDUq8TQjQTipifFzqX l/S/q2LGDfOq4Quby2+vCVz6UCx4zs+h43nRS0st007reO6uMHxWt0LHz77HD5SQT6wz2VBpsNPu siiB7ydODjBXr5cW8T4vVC6fHRYNF2vyHHh5NWDSRALY4/PC5HLkxS90M6E+tyX4QuTyWhFJgC1Z YjqTCwHMbqTSNIhm4uKJo2fVVAFW1mB7a5yIbSXjS4IxRqDPRivjxHaIyh+VVt3tLzSup2xDnFjz QdMD3wV+vV34dNRQ/g59kGpU+F/a9ETBMzSoWxIvGXnpsjB6ZTWUWTNM0zoWLxQuv9uZsAOwY4NN zx5wdGkEscGJLVEze29ezaTczufk6XrToKpBAYk+0/ZB/cDaplz4Tnz4eeFv+ff8xn93Zu3JC84t ipwhANoGGeUUQi5JVqK7QNlRjOSG6F+UihDm3DgtyCls8IJ8B+pkyyD8XPJo9jgSGgUPhiCu9Jft VYObneIRjRq5GnjysD+ig3VVPB0Ehr1/BT6UhGj9BoZh3HwmFdYWyeJmyjFR8WdnawdmwLht8UQF Z2snT0F95kPiOjv+pF9QE+DJA9wrJV3YAFt7y0/PamL0zmpR3LwYMC+ChDRw9dRzwwrovBOf/hdW jGgENA5kgYvp6WHcDOzZTra3XOwP3UCWLM1f1WUR+nvcbBZlsLSuPvi9BUjzECOnE9pCD5LVcT2h rlnTEzdXe9W/8f/qItSHg+rN5+CRDU/pFU8H5Ip9jx63T890J9T8RHHz4MJeVh88N1RSBQikc9f3 g0OzdleP0BoilEBOzAfNz0nVF4CG1GYagJQ3tXNoNwBBmLnGVY0gkrdHFedK2+sqSa3qkloTerVN ohEnrehR2PybsgRNDHpfa3bCoBm90j6MfIKGQjWsxqZSvcmMWjm+RwVn6yWPlXy4WRfQPuz8n9kB 5UoqSKCnMYPPVEMwdi2xPj2Kmk2gvqpqCPcSFiNO2OzYNDBV8XzAslgOdebLTWzpfi+v/jc2YIMN pCpjlesQOvWJeCrpH9LpghssipvZqzvrsAwfPK846RQMQqXlD0Mokg0KZXwnm4L7gumkxNqjwLny 3tqisW+nsS/U9XSAnIMIT0dkyV9wFtzHo3nPokeMQCBKYE7TmJjSBYSzAs0cUbUBR2hmt9ZjuIbA dIJrpGd+0L84aTqxhgOuZFV5RYIG0jmQfgBwdrEIFg57VHcGqx7UJXECUSzEp5woPXe2ZPo5lPB0 cH2N77ozstTKcq+mJw6c6WJjor2VJjuC8hbrocJZcRnPtoesQAqtLGrvaPDlc/KsMz9ylz93I7JI Fg5hcAC6P4A6dv6g9c9XDW73RhEEXpEaksqGU8gNmCXj6WD1XII20y5ieC/Yp4d1Z/BdKUTI3UXl YSHBkYgrrxg4HzQ9ceQceNKsdBLIRTQMG3d1EhZUUV6CMQfoUhcJTiXoHpWdZUnTSX/XoKwdl6P8 DPuYeDwCi3nWLiHSDsDPvd6j0JllH1YNC+Vq0GjLbFsQjiMeE0ra2l0RWiMlpetj+2Dzk1tF8mUG ENB2J9hnUAaaSu8zPWBDe9VgfkxZlFLH8wagJ65PhRfQOekWHo/S9nv6JKVpkKC+nNsI6kwqQdpG 7EcdK/P4gYrQliVmQsXcjucIriFntS1DQ4ULP5U8uASz88YO5QDZojWJQIMyQhcCykOo7YU8A58R oTF5v698B6F9zgYWmLK3jaej9fML6gxhiAK5JZufMHwedJwlnGUSH9mFNkzDlLOvPQbmJyo7my/W pmWqutG2wc61nZjb4YYH2JB1w84iBfSNEE5bz5TiLfiMIDWlaBY9Pm3g7QUeuG7nkRqejtZP+TU/ jNSSz8+I4ueZUU0lz56Cos2MRE561B0tltjyxPyMqO7MaOKkXan54D3TBBpmI1HwnMlgbDICWI1/ uZJy5XVVWmOMKH7ObLn/d92HJSSWyMDLx9NB/Dy+4T4U1R83+hlR+Ix6VoPrsqDyk3KbiH7OOZFY gIYmvluHjLDszPAZMAYfnqrhTNRaIRb8xAlbbR0sAshBoY90s6+tV43aXgYTWz5o+fSUDHAxN56O usq/eKaQWDoH3l0+Idg5E6fRVANraLH5/QUsFaWyJgWtSWyPqs5Z6ReUHCoWDM7OwbrY2UPIwMj0 OufnzIwj2XInOrXeriBeZeAjAiwmQZiqq2Hovk8G0yh4fne+YVDb6N+IBGDeM5EEmp4oeG7koBVv m25CZCmlMQzkcg4y5N5aPlHwDEDL2VYISTGMOz11QFfeSgn0xiBiYyPbz9Tf+rrdJ6YnBGwM1uR5 02pQ033Kmx6yYA1P/0sUATNBsmK7NMERhc5dYg2lemxYs/VM+zZMwgl4mzEJRhQ6T0FU1zN4bFjO adOc5gUgJq+3QV868KBxst3Dh7WNERWdaVR3FsFWOR09GtwBKDk3/R5nvvF41PT6VVdFYLHu5TWi 4Bk5EDzghvGYGqWyoJvfVzMeE0rHtrui4HkRtLGm9Azn1TNEkHnWyrDdBb8xWavjJylkhVGKxbSN 7FWD7UVx/ibFPg48nM8Zwk4CSO4TTwenz/Lcgm+GjTAuaGNEwTM0haDcY9PThAkHk4CyvhQxXjTi RG1jREhnbE8AoXLywVOvlaGHrJZyo6WKaj9SF2KEf5VqiAQfoa5GY5ccYAQbdDg3KC8gHj43AZ4O Yp9fJHdm4A9JeUSxM1Y4hNu0ZqAYKpI7BGB0SqM0hgOD0xOVna1lumWeSs1vvAy261ypQt+YSOeT frFpKFQUihmz3dMHWVjGZ0RVeWJUi2SgiqnbLhBy5U587kw8HfEsPLXYWteQWLrTE0bOhPCTtiZz EBd4nnQMNEjL8rM5jJyX6ak2Hzy1WCfXOtOgxL1Ai5eiLLiB8mJfp1wW7qaCxAhDZ6WmlaZgMJX+ GSLUQlyq6szdC88HuXv5DYqCHcBy0M+IIRvk58DnDC1TwqPYMl2bi2oQFLUNKj/i0rNsHThRHG7p J2/4vDM5heCjrEEqmm0FDYcMjIGV5uHNyQ8JqmNS5WWgpUHZFxpPzSwK82eEoI3563rHMZhubX6G xWcIYHfedMDK98HsvYE+Pq02VvLA18cFNqPgmXVz9BCWD34AncDwZMoSWIPH4hSPGzIJWcqA5YLG aHwxQ9AGs9MlF/l1XeThWMNi94nU22dGYOfZvqeHgl2Udtf0RMFzJZOJ2qYobiQ02jM95AsCYZbG 4At2kvfOPxNg5dmzwLLwwaZnnsDtrHviVUeePYvs1MECqSA3dsrwG0u56FX/xhRsX4Omp0A/CF+v TT79rwOIiChs1n6JTDMU2QDmMGPlGYnbmChAoJuIRJp0EqTyUUwU5O2+e/LBpidBVqNRzg6OIHBv ZmpKjyLwBxtL8+KZUgVgxrXnJQZl8UG7C0w41n5gg4THI0idRz+sEyFKKrd1MaPgGejSRvttyYM2 O4hgsGEaNuddquVeM6w8L9V+FB7mJzxstULHoBCScP5mF6hjVplvFXIevPDc7VWj+eHpQ+kADXb6 kE2G73xeHo9HXIv1NT/kJQAIaPMThc9E7i1CQtC82MlUEoDNac4lIMwC0eGMwudRVdqQLjjNVu0C g/ETuD7KvWrPEqOjRipCH7DFnWoB4CM+I9hegtLJ44rDnR9B6mCmiMeD1mD/brujWlRwR9j8hKXn M6vQhTLp/UoQFTAbQxQCYja4Ong6R5gNOTesqdLPfEo/Z82fy3dNdU5PzDxU+mEfC80nIuyN6TW3 XjU4nQWXZyaowUobKGhjeuYYePp/4eEyOl3L458ZgTYGw8OVDLG6286Wm65l2ytB2smWT1R6VmVs l2fw2/2EqMv77vA+KqxOTwFy+zmd8+NjTc39GUXPaagkZkJa22nK42SaLJaeHAZPR6n7d2U+44d+ eHAz5AlmFFb7uKezYVqWuiwyt1jN+u4zFKZrzCrUKebghcMxEC+ycHjSyal0HfKPBSoF7We169dE a4sZFZ7NMbCI51UMDw4J8LQ5O+efeDrCQ30LyzLCTLfuMyPQBgQMT/AxjaQ8yC6j5ddcxRqnFVU/ nc1R8Cy3YfC1ffC9BZtPeFiTBnfC6iyheeLVKYpJV4v51MVmFDu3zEMHZ6INyi1WLdJXOVE0no4Q P99oOqJVx2XqzJAl2AdlfYxketaRWRCdM8hBG5P4YkY+ocKG2KUUpNBgVdUKBZKxupg6cGWupjIB ZXQyZR6WoCKfUJ2OVflFO3oNAm2c9Z4FIMwFT0eYll/6UJRzv/JrK4qbExE3yyDylQqwVNgweWsq bJA8jdWzItDGUFF+5Ts45GdCfI1YNrBWa7emBYVMsQLy48dM0QN8xt8UAFojqo4DpweWT1Pw01rx dEQS9LoGM9PNOppXDVdYdAYBH8LcdvSUpGxrjj1cErOi5s2jZ4WYDaEMM7NyDjczRcmZpo6sa1QK du8B9Oc5odi48JN58eJaYdG5Sg2T1R8Oipsr5Lmwek7Ajqcj+azvuDnTarD62bNC6Wb0LruMhdut imFzrWrTc/Z0trLhikEbYigXMZR5cTWePZBBH03qYoiMKaJ7/gvM+Ak+f/q8aqrgSzR8RlQV48Wl 5IuDAAknWOBNUCmLGYbNV/uRITIth658zYpZgqiFrVatKgYWObP2zRUrX1haauBeXyHQuRvRovpg q2dAlKXLlKljBiB3fFYPcwSq9Zf63Ov2qlHR2eQ1kg+anj26yY/kjaejs+dbUxU22lBG8ekJFZxb N4RqJiCqDzVMJ5DOVhUbNJPg6glJgk26EXv44JurVhzDIijPikoARdEzedwsGMxL1MG1gM+IGoIs xhfhooqZPZ7J2UME5RO84emo5PwdNBfyCS6/fUVBM1DrwGvolqpgqv2YbUW9VbHlF/uK1TXEHFXs XK7r2dmjMIOFQ9mJc08un5RUFGzdBhmFTAUkLh/SHvghEZ6FgDqecxqUVVSoMPLuGn3g8Qjv8920 YHmqX7jqisJmEilr46bC1c5khqYVErLB1W4yflg/YdgsWpK0V8TftZrPuazyEAn3/NIn4Vgi6mDJ 4IIFl8BqPpsN0xXKa3Rxtykd3yj0y/k5gUMWEe4EFXg8urx+HT/4GCTjNj8hUxDSmIMfhtN5oD5O IlOlwR/kfUrjT8bjJwQ7Szp0qbghNRkr+sA2aMgTCiFmYcurUwwR7q/14VlInmVFgTNdwEDKvAP3 F5qOJq2f+YbB6bzH1/QQ9rGeuz3kCaKmWkqRpk8rdJ3F/mqjNTudC2HBXD4xXkPqUIqA0pN1QZYO 0tmNeI2KojP+E5x4qKJDAYChIbuRCackPuRvcOc65d853b8z8Une7ucOxeNRx31+ZRZ0Z8G5ZxMU Fp0XAAmrLwt+YLHL/ZXy1QNfgARqgmJhZyry0flWg0/QQtuis+M+4cKRWXbNsDBqcJvY9QpIQEGD rxoFP/2laJjTSchUc4YLBB6PFlD9zrywdBkCcX52FDtDGRugdtNWTZ5knAs/u+51okwZ5mdHNWd5 5mxq4GuwnuA5nU+q3sjE7SdMGLuZx5k80FHq+222vKPYuQknz6hbAz8D8mfsdyBv5+NBVax8E3GJ NdwXsbHfg2cwWCZU6mezzLQnAVpOgLKGRYezNnMh2LHCRtPNNX3w9ZP2Sfs3/RbHyUu7BEjg8Yjf 8Hz49vkpUHrmq0aAZxoQEHCvQeuntTJpe3L2Ll8xuL/SN90C4ldf8c8OIc+IWGE4aj1liocgPATQ QnjV87tuI6PsMHo2C4uWfPD4hyqfS9qY53QbW1swUxZ3Uv/a73e2afEZUVWsMyelADYH5V6Arqor eOKfHdIE0/7aXhA2y/1iEnZIEyQFrZubEJSvdVLPycawaJT0++P0xIiNIaBY9uGWfdIGNZDX+7mJ qzVNRRM8LwmbZCfrsCq2o+hZwjVNdDgO6imvrQIiohk8HdRUf08Pgc7X/mRH0TP+MtTfhzG9RLdo pOG6/FFblD/q/DPR7lJPWc54+3HGgwccsiPpJJzcovI/GZTZhTUEyL52+HR707/lFnXKg3qa4RVO NyFEzt6qePpfcCiZ6EEE4OrX7FCa7myKs7+SiUMxSZLIBrueEtnA+aDFE+I11Kposodpjz3MmZoF phh5cIyK2JSfYK+Uwr7yYxxIDZIdVZxTFpWpTB+0t0afWRzltPB0JO/zSyMB+rSPMt2O8BoE8zZN xbiZqapixfvJyQ1idqhMtxUaCoyx+s0txglsBSanzevumsFBz5jz/2umBESLUbYCnxHUVGVKMMje 58DQEELj5KWcQ6zg6Sj1+oVVXcv88DQ9YeSMaQTzxAAJKvbg6KFXmJV9nIK7Q7zGVEFDi2h6vwty OEA8DvYDUbrd6E/Q4HXQ8uYHmHmtnkIfWnzG++YaagSiwmiDNtf5hlaQrwNPB4nF+FVTBW6JtTpN T1hy5o9HVhkSd4B87OhJV/0ICaUdPXHkzOkZCnzGDXw2yqkn3ZVo+pklFMSwudhAhdzRvu0KgDT5 EcHRIwGbKrZgTa7v04oVxc4extORfsR3u4L9g3yhYjsKmxvS0iZfGBijjKFlhGkynhc3hM1OhNXA FYBVo9LhfAjKTBrHmLZ4ZiKC/9ztKL5iAYz9gH1a06sGYSEZTFNgn3nBPh02CpLXOGnXjirOfeWv tCsjRizXfymnEKoBnHyX0D4P6akW4Kb4RJG+Rqede9ffifIKnrgstGu4YBbY5o5JMMtk4YR2nADx NvCdqE5laB92LPAhAZEyS1WM+ftARMtS/KZbDxbs+Z0nn4/gmL/6pcCMz3RNUVIoToeGcoaiTPkP 47RlGhubcqfsCFL4WT7cKYydZaqTnsFjZ9QHW1lW/IE3sByHuLXIIZy3ODbljJmi6FmI1SIJ4zJd xiwh/OGdgIyaz/8vVHcCrtN4Juk9fkavniJj5r7d0qpCYq5C2LL6glRN1SSFkGfh4Ke6fjM/+s7p xIgzSWijAsrLW+zsugVtzPmDiNFhGwSt4kMiVBRjQyHnG+aCPLbzwByjGI9r8Q8EcVBeX1EiQmBC IXySohr0oML8sG4FyhBWJDtXcXPoBiGMsh8KTQSJTZiDlDcOlqXugR5YlqP7CVka2Um7ygwOWWKh CLalqdveNqqzSuhHII5mCBqs9CxwytnafDyqQ4+vYAhoXfxad46iUBoomjaLlZ/R7qxWKFucGJqk sARDk4IUVaJHUudd3KZyuU0oKp6lVAVA7HS/ZaaKxQl3d1SSHTy/KdSLDwkaGVSY/2/v0rNuTo5G GurZEpnPR+TuJ1fNsmjqFAKySQrL0aDvlEnWF9FARXXFNSTAj5CRTiRVCymsRw/lqX37YJOENmpJ 1s04/z5/mBgyWma3CrTsvCAgXvv4kAhjp3u/30H1+twJzfmpSMjw+Psc1Ss3L2eDjbzjWUex+AYO zz7MruBkA9bvScMkts5H8ofTOorCamKY9qZxpAavuJ64OgvleKa6zjF59XeCw2VE1K4s5Jj2sn/T RqpcsxoEdDnnmtRFztnNxyMO83fJgyFIuyzvnKLIGrySRv0QJfXTjaYTBapllwKsqR1HsV8K03me FxocrlAQPZJwDhrPbrJ06OzgICblramiEEgIetkg9VC5HgqErNrLVHsvOI7gNzkpTuPjEdbuO/cA Q05UB5uiKLpGY/G8upddByBXMoyrjoaGLPadokiGg06l5z4T4enicOGZctLaRV3Y893OWs+8+QaY WAXIfTIKvS827WWjq593Pu3FNHAVnRyvJcvXJx8PNtqFk1HsjqZW7QkhYyohA+tuVLkmA26o047s 0sb0rLMpikrTOrCvCGK7q+jcACfBoIBrQ/95Z2001rIh3dYYY1eVzoq9bFQcEg113IFTBLjvVGuM EWTonDK+q0M0va+XC59D20ESlc5S0iqC3Z1yfUWQpoKIlqKmKPAdNLLWyc4kXnzxgnClbGfGuuje cERg7/iceZg0sOzB9zRGj7wvcmA86ITmJt5ccymv1SC+xG8N+fAcOg8+Oqw6i+D6s25oFFoPAm/K 38/S2Dnc1LMxXMqcomZyNznwHkR5k5ww1HFscGzQ2R25qYTWz1GNmg5r2LQwb9Qb/d2fz7H3oLkI 7+mDRUZwpONxPSofD0Ls/C31Bz0EOsr7FIUhdkGuVqeX0ZorAiXC/QSrz27tmUP7QUjvUUhq+nDz kA05KnqBnHTnBGHCwFJlCNXI9vDm0MnWh/xNzK4X8Q9KteM6D0PAdJRCcmBA+C0jzvlExrUfg6vA gBAqrrQRtpI0SBoSaVubkn06i7abhOTQgRCMBUgopDt4sSgNwNZUDQHAjNJQaC2izQAPBbotq07N NnQOLAivLIeYqfsyU9M5ieQhdzYyH/+XcIl5HkARNt8pCtWgEyMEqyy27MoTKy2DDn3D63PgQpgo wAT8lCRZ8yPJOtcJsCkpi5LICSMn3eA7RcWH3K8eqwfd+qEP4RKIoQnr0QzrcTIB02oC3JiPB73W eQuy3GrI4R9lshw4ESIuVoZkp9G5grTVJizUvZ7fCTXr+jtRVY3tIKkn7C+397nbufgrnVA7UFUy Az2n0sRqr8ib72lEdZcceBFiqzEkktdec6+9CWlTybOc/cfHo4ZQ/VpHEN5BOeNOUeinAjM5KDsq 4a9p2joao2cHfKTkZoShG+Gi9p+1wzhcSMyE7exW+eN8Eu2G9slKWPpDktS/PA2mve3fQqOepOB2 TdkSfohiuv2bz0dN11+oIcC52pOnBYaEksNvlJQiD3xQqBg8+ZqZsS3mfE4Vy4EjYXYhExxsNngy u3A67y7RtAFJL8I+UPutQKlj0nTxl1SrvWywjthwnZsFJA7ca+vcCbJEnfaOEdz+e4qAE6wtPRd/ FGHLszEN6U+cC5p52v6B91Ax36tMgpc8h0PMtMJHXIU2+BTB5TkPSXWc+embPeyKe65Cmwzh0oXG sPWaQ19CpjrnsGP8yIE3PyJ6MSrPtuPjQRYyfY4YTbPRvZ/4McRNL4Du8zZ0DAwblMtmKj+RL79g i2TLKEZ/sCYiSzsOHhwxtgIQE4fzOv+gDPKgv6kKbekxv8r2slGPiJR5Eq80KAuBUr3gQ2Xw8SAL qd9ZSON7XJ3WHLoTgknSsmNhGsBmVn8s2xI18mpsikJ7wjXkimoz1W+iBnOxWrL80xJsMVgRgPg1 +jrn3w94WgC0HPoTukOP6L2VaIJEqdQ+ma33gmUUOBQiF/5FfEakXi+xLocWhehKtyktCuh3UFsH pbWOL6Fi/1i3tBZ6FI6h/rS22r5bDbYGtYijBWrCyc0ZHQyIIdO/Wmr+TIYoi8oPiW411kIk2FrX NVFDI5kSiu1E3nw+4vZ+d9NYBiyX/ZNjm8KJwuym4wMC3kWNl3wiyFldeWoxRdIchUE2645LCksc bpANJrgB9c7v3LiTNkpuVllLHkGCU2gvG1XWCHDp5hc2bI7oziYo7Lk2+fy/oIyaUIQc9SYigVWh g0EIbiGDnro20tTuhpWBfIcfR4FX4ZdTavfBiyKZ0RJV/aflbkTrwUMShyFV8NwKtNrLRh19XPnn KqwabK+lBLICC0cF+WzoVkgIyBdeBv2Q8sxRGGb3fXlSlTRis+MbfTeLIDu9/WQVHwKq1Xm09myf T/lxTSiDV1Zo6/nPhqB9jYscPhd93LY1JcxybFiYGUGqu8/BWo+Lx+gPAno+HlX693/d/OfQfbZa VMSmoT1ScSMsjJkNFtLdMRVtPrdDDy0LF7/4bvUOXjhavNGr1KNxdPBwnwg2KClXZN/xSCjm0LMw y+k7r+yDqiInyRPm99xvfDziS/0SOjGZ5TtFUZDdqDK5Xcpj0N2XUgOJsPPFfLZ4kB24FmKnmY5Z 9sEufugd7NTYMDq3T4cqEQ6sDKQbDhRwOqwXsqa9awR+wJeSTqUGCx93mWItrMHHowg7fe8zRA/1 kllz6FvYCSukGw7pmomnEtAhNFQSOoQANy2iWEVafSKm89tBzwSeYwURFN/6WTmJtcM9AdIrsBFr 1z+Djsj8jGCGOu+yXroPnKGyjJF4rs7Gx6Nk9pcYQ6Nx4hMZhULSXXTAJGwauOlWWXvssSpOUTuJ Qg8WJbGile1vWlmHRNmiR0TPSDNUWVsVppfzh+5YAl8tf9XgPmONX860GjRBFATjJkuTj0dSnB4W mS4upJJvsh96FyYyg3YtjhGZwzAi8nAmRoTFcU1QTEvkwgAuwQbLQM4BddJjuc/hTC3Su+8Em6FP SGz+1HWGQgo/JFhDrDn2SkEqDv/P2JclWa4jx/6ftaS1YR7e/hf24O4R4MkWI6tbZsJV67KShQQD MfjALRrQUuUlfio/Ph51Z3/JvYFYsp8zFNoXAmSEMUizOMTxLXmtmh5t8Vq9xx/7Fwpe3c0grF2M GpyKCzq8DNUnKPEVz22GvydEDWf5ja7OoX9hlqQHVJVtUaQ+v0L+SmBOycej3PpXGdu5IfcyCx0M cRG3tN0o4vx1zKOvoi7WuHGTR6MdinJrfIjoyspsjTQIy63PGdqJCmu476dZGK+1NBEHTOMyo0e3 lw0m+6Jt2rWfrJ0AamuSk1PLescIBfrd4mf5QtyQbVGsKo3alak3x41jGkQkl+UUBgob2RbFDEWB z/PwxUs02LHkxVnaTA1UexyiAnTuyazJ0SgXhK6pdehkSIvWXKewfHM8HaPV2A4BTpnPR5t0aXiE /iEbS5dfn2M3Q9A4USdol85n5cL2DqDNmUQc26XYkYW5jmQauHgBgk9IXJo2yyn1DSOCzmZF2YIC 3w4SZa34Q4LEUdqcSSpwyatljI03GeXn+9VLRh/bbxq5zO3vHoXJNeSBZ3MywxQZhq4sS5rlsJVe 3sMOLA0RjljBNgZuLp45gvfEqSnpQuekkg3TwAyq6M4DFXd7RnnZ2/6lEtOLQnaxkL1paitR5D34 eJRdf/OFJpQd02UD58DZ0GViVufEDP9UJUaJhIxYWdSJhWiRrj8nghWLUkUBTi5Pnx+fHG+1iV+5 qDD4704hu+Fl2VwGbqVuLxvdapwW0d9Niz42DtflkYCGSOBuKCGVZ4/Wpu+k71CUXAPk2uBkaZC1 1CwepeqUxQSpDj9FEUKkUX1qVbVD6tMOAQsSQTtxEpIxZE5+71dqJoBz5p7O2V42UsqT1ocOUfZD RE6SgWgGHw/qj1/mUMQpPCrBOfA3PB/aucWaDIPFOhtG+9hSKSDrDJoTFoz+zq6XzPm42BbVs0Wl EtwOQmaBnBFxYhhXzJNxAtnk31la9rLBd6bBdRGE/94LEDtkOMWe6yWj9PEhdmbjlT9Ao9DiEIbZ 0OKu6hh1QtrRVStsf1MLtxKVyY5R7HG4RP6QZMV2ZjmG+2eTG7wMEIwWePiZsyIkmiDh1/50Hnuz l40UBXnlL8lSLhcmgKZtkqwFJteBxyFqvF/NEBrvlfzkj7E9OICP4BhA3qxVeaafT20uDLWZYk8o cghCG9ocit85m4au7VZpMDIAnmds2SWc/7DzSDzICefTmvyWQZJAlAOjQ1z9bKthtmWLtR4LeneK 2JPPB1f/GL8KtSaLctuk2OtQJKLanejQdb9NODVclMj2mz8wO8zEGVNU5y7e5a/QuEQpBcDDgNmk PkvccwVQuJauKQk55jlwOxRLHPCQ0X1RtX82KanzuBYfj4QFf83T2A29LOEc2h2yN7qWkR3gJidI zTyl9YMSKV6qhX6Ha6iIlbTgcmlBkkHKasskUiCWslXNYYuAPeO3plJtKDkKDA/xQYuHT3Wv6mid BbqxqFa1FT4e3Gm/G4/YovrM90PDQ+CRThAyKlq7Buo7jVQdJdLc2SYHjoeJjRVgQlX112d0PcpJ 6rIVIh24rC4FSxSTHEMAWK9bDSoi+iERBEKzIlnTwg6D1cOeBTCvH9iolsXng3na4w6AZBNtNRDt fY+iHBv3+6n4m5RN0WBbxriStTpVrFfxmz90PRzDRFKSL54bnZz0FH4MRz3RTEFQbRT+0CVrDyGN EoM5cD30WdHS5bZcC+rcD6VLQvH8FD4eta+/MyPu97hyFznwPYTxM9pqs4oEi/p8KXvMyUSJTm6E sKRLLTY+tKSoVF9uT+TcmIlOerAfq4Uet7tvjjQQl9KN16Nte9kowRZ6tt5FPREw2RmuT4bGx/8l U3lBfflSPnPofYi8C1Ij6cckQday9HFYiXtuDBRVdohCjAiD0JQmyPzSBAE0oJvgzgCJj43eXRat W9Cb5S9J6SM9FHJof9jEqaZOmBbe++PENOLNkLXz8fdwvX55AMFjIK8rRJ0DA0Rg8CEwWJspNhUS UFTLVndR6Mx7tEUhtZHwh00PRy23TqPY8iLWCBOQxuYbKkJsUT8/6fqUDNEdAgvEK0okf9ru/rTw hqmiVbe9+HhQyq78a4sKJ0vPrR8K6iUKgwy1888/Zdkjweev60uDaHz3Ly2CiCCm4bqX3195GFhz AcpPzwt8aWu2bSStDE4ZBjbrkotocZwDI0QMikg9T2qxJRdumqCUMtjOtfn4v1A0bIlM6+1piz6v eVEG+Y7EjHyLEFMJWX1Uy4typu4u8qLXrCgnksegdTm7L3bln9r01GIw1kM/ZEARX2AbCVKXH1YM 09TekTm+5kQZCBiEjkGmmBaF6jUKK9qTcOHhl70577fNKCn/LMuISjEt9fMbibYG0+q5ceWRLIMr XhP9Vr32oA2RDk+wNZhSVhTCxRfvyiIdBV/GsmoYTGiABlGgiQ958tf4gNNftwajDzaKqDqvhVuD 229Y3XEy6tetQZZQbGs0yi8avGhrXvOgnDlcbq00YYjg/zlkwbH4q5ZBJJW1sTWvWRBOzZC5aNm+ 6NQAX3Jqqc3CdUyIsJj1MT55DMTVjpWHAu6Qz2sOlKUHU7KGklp0alKdnCzC5+zzmgBhX3eyrRGI jQMz35rX9Aenpkkmye03elbjQ6bhdnPRFoGe869bI5lBRBq5014pkAbjvQqDCQFAS8/sapyAg7EO gLKt3MYQHXY+r7lPlic6ZMuJKBK0je7EGEayrDkXQ/68pj74a/b6dWzIpuOcnXvzmvjwi0KFgYGh efYaKPbc6s2nZYC5mO1q9EVhKpnAJ/TF9wbo+b0lswOQxJJ1GwFEAI+jjvEvCvfq5zXpyY5raJVQ dC4qUs+PLuxVwFbx85rz4GlDx+iSo5wLIDLam9eMB9/huTjOd9RNWblLEHcA1b0NOgydRJtxvOY7 WW0WgKrLXXwWfeIkfrWiCp9YKQU9poS1nKtjL7+oCsEin9dsJ2eqy0KWcm1f1OWoRqtGyfJ5zXVw Aur0Y8NIzN8MZblpw/S6N+aj3pvhX07Sb45Ip4on9x5lVwLlWJ4k4d7I6YfKpjk/yqYZiNfGxKsp DnOENtmqgNoozDo9XaYT9muag2+K0hbgH/uibjSYVRxrnKD8eU1yEKvmsL1h0sgPktpnlLmOInHl YMET5dWtxpozL5cMpgSARHGjSNzJWlg7+WJbs4H6S4Moj9lgrSxLDl5SgLyxB2QwYQwlPq/ZDXZf kjFDisHDFYM7tGL5l0MT+jW3sff72hryyllFUIsu+qI6s3fTbQeY3cUbiDAxnHkxnPlr55AfM8vQ LTNaN+/GqTmpH/JrRGIoes3CU9PQo8aZQkvjumQyOf+8Ng4tfTo51mK44WI9sVPcykSUmp3RNZW+ QzGuKRN8xea8Ng2zetatiz5OFcS9HB/ked+5ZK+oTnRuJDFNLrcWu8EhFgZkGc4NKt0xtmhlOK4N MyMeHJGmaDX3eW0Y4heADOtkBGzOjzvhOcdStVGtEPQKr6nv7AZcNlIjLfGLkuJBYmLrBp6CZpIR E4c3C4F8Lc66Cy8qaSiramjtBuMEmaTC+7INMBfguIZgPKm/XUw0xoQt7FWDz4rwxN1IkubC3Vnn Cpc/4W58OviuZv6+xQEJooyktidKjEEDg8ueOjxIY80DiXpF8jfc3eUW39lkWXOLsy8738VbqfDg KTRXhv0R6KvkR7Pihb5ZRpVq2DJq+X/e2WSMyIw3XfyNnr3wLLD5YpjNwJSHyXH6ijtsRS0qXQuC HF1X6ICl1bfBE2FaTKJUFsijcBZPuU5iXoL9AT8eJcMovnjlUCrstaXWUGCxscWTwllAagjwuX1b S9sT5ccEi5xLTSDXbD9jQ5ZG4MSGBth7gnwOQvdEp8iMdf3wOdufKEXGeWkgm2h/KGHJ/elje83J MGqDryAy9yIYh1IeFwRCrgM61OYYnx4/idiwcwkSVXYOhAjkKjqzkApRaUXS+KSmmhbuD7CnElM+ L/95Z5Ex3/Xow3E9Sb/1Rp/3LDlxVHGS12oghZWXXABO3elo+3P1ZkfdvafJWTSrBAyCL15dIeK2 RTjQgBlMEx4m0WU3uVK5vi5/1ehSJ6tFfMSZbawGNkyX2u/C9D3Kk3P5FX0I/yXbRj80ypQxgoHw ZFI2eLLXZf6PMykvFNjfhu/vqTL6Z2rmyEW0OLEegS2Nibkp8RKnIJ8aHlLEHb4I5Od6Orj1qu/7 Q2dNyDEPX/AzTv1WWlIm0+rnnTyW0QS9yTI7XPQaav0J0GG+vBB2+Cvn8L137562thwMRJ0Wtgaj hJma4wkJiS+e+rBuoGhUG72jnNB4tdHnorGNcC/3oVd9D0BN3LEikEtxkAsQ5MSdni8h7887dQxH aNz7nZ8TkgSUa7ZBUdaMUqCxzyFCC/WCKM7QHQeU6GKnTyxKmzt3hsqntniEnpAWIjEX8A1MvcjV oG4n6rkxHzMACga/E8dwTCVZtVlScFEHow81msvq8/POG8vyWP7u7iwoNHpN8U4b480Dmy9lyUAk tikTtl1Wz942hbqlLvgodYbMJ2721nyx84NRzYlgSTZ154Lf6goi5mWM/IHdd6cNVhXvnDEmeF0d 5eWLRqVdE8RzJ0EjJkqd195f9zs9IDG9tO2JcmcwveABma37NasZqC+qgmngLr3yzj8mOj2qtpT/ rJv/QFKulka72XP9zmbKHjPR6fi8MujBrg8jbmaUPCO1xsSH05vtRkvn3fIS7XBjkhxlzw6s1+lB GgN0jPcGo+wZkj8EL/GTIkeQZ2ZS7kRozUZbLzYHw54yBzJ7y+Rnl3t6zt8cTKiunjLeSB/XqeUg c8e4/BBYil41iM9VxINnsfurGfDn/Pmfd6YYkwPPDtkSItLv9nneiWIMWWgLtu5lKRMJIhHGjT2N MDjEnneeGDM3IRBUepUvOdOJKpUWO22eJLqSG74Hfn0Vg7rOj8u4dEWvGpRe01o9zRcLzrtJ+KbO Nj/vLDEeBA8+yXqEhSLR2p+ot8yJxMl2zeanS+4V8+Od3GIs01YOl9c7Ryy7Rd2e1M3hYvuzTg62 B1g7qC4gd0TgFH1o4dUOesR+ehpT7xpVX7y9ls7PsvMDUu+Smvs5Up93hlh+PLQsOCONIdJJ+xNm zxM4etKr/kNBhi6Q+NprD+P02ny9848J9icRC433tMWiT0kbCkuVgnmAfiwOjwc5Z4NBCXsjJEvn 9PidH4YfQiDLFrGXi7XfVzZVysyno+K9fe0PoELsjdj+ROkzXR3StJqiJYmdwEQLUotCHs5dDOnz zg3Dx53VEhvVF0fVlVN9QkOIaPqTWicCXWG8yiTvZ0JH0um8Sa8atTbSz//VC4bCWfOmWCufd2pY fqDidn5wea7t5cU7MwxfZc6sorfFn5GUJp6EsHn86ZQA4P5EneauWV+1Iuy7Cw8PA7BSeXutTO1N OP1QWuDEn3a78DJLeOeFMUUnkg79BlusDb9ojIPra67POy+MR9zjj+wikSmkG3/ek2fEH5htDGes mLkYZE48ZsNHq/r5eU+eVVnjePe7ePJTK6CvhD/PCQAlAXeQXTzbMgAmyk/zZ+lVg76h1AQmkx8u qt5PwqnqaaX9eSeF5XxFX3V8YC1RqAyo7QlTZ3AxZjKzFvyGJU0BgruH50aaOsPze+qMtJZlqSD8 xafWmBSjC0EoNJoba5BvQbYG/t8Y/ZRH4KQvvWq0PZtTP+KfudjnBfEOhp+G/XnPnTEEWt/ZD/LC ve7nFXWdMUBvbF4RclBYFINVeAr5atVpQVNP4fk9d1Zmcs5NZ4M1d0c/QTLqxJ6Er496pgNQFoLI sD+IffULuMIh1zsjjFWQ/OXZduai5BCGfvw6IEb1TgjLguZqe7Cv4P6aCxy3J8qdUZc3GHtab2xN IehgQOti7pudUX5d77lzprYiuhr5Lo4xLFC0JzakoYvIPgdgiOhtIjoy+lh0Lvaqf31dV2XJ7AYm +khJbLC8Pu9kMGsC2umRbXYnXsSnx2HyvFCPdhPfhmSmoXqahN3ROYdeqI2Pw9bz5HAUc3lbPPqk jCqUVhsDaohC/W6y1SfqiPml+1L0qsFsnbfXpAqZFh6fdPIDObWcEuzzTgXL8jD/av5gaEvfcm1P iMmAk4SsVUhOmUYr2H2ZGhV6wxhtcnv+BmUUALdssa9rrApRi0p/VeppMc3OuA5Qt1NUqxszpehN o92hBaQEX7oLvsBFK0mAqp/i550Fhr9n+p0a4uWWF6bvJLAslevGRFY+UbOZe/HuJmeWgc82Nfd3 DhjHUYw9TYen3cOT4RG6k1Kf0dHZkGYepywN0RZJgQ4P3G74qlHlxX6GkM5c9HHVrs7hyRT4hv9L aCabgMLR2p4oc+btAWkWy3y8QYZe67LYY37q2J73zFk9FdxZ+y62PedgzDa2xHAqJBRlH66+M9rR 86ooT6pPvHO/bFZNUosWTAIqr/aO0h07dQrozzv1C7t7j49sfvb31fXO/PI7Z9N8HLGZgA4z2hge mwf1mHF1vRO/OBVgUKaqjZbbeC4I0NQEhBnkajBSAUqhkFM0np5P0XtGm0NYhqyiuBg8fq1mSJ35 ead8MWtO34EHtglpelb4zvjiZQAFd0XjRnhGs3npztNHghTA4NmJms7Jej5qOq+n6VwzDNiSySkU mMCzpYoRS0m9/syvkRel3N/5XtkAnef7Y9bMRXF59KW/3uj18872ItYifV3rMH+jOIFtT5Q0dyI0 lOtswr/Vid+p8p8yxslgSzDreWd7ZfEqkS3P5IuPdM6dN2qTzcY5jmtRQ2iYtiRGIlS/UWTuW+/6 V8twy4SWCyNzW0M4q1pT/byTvXgQPO3hUQPmt14owjvXC2kPvvo1rJQAEzQZTKNcZ+dK4hDvrbDh LBxq0uRUrz6tqbF7T0tx+KRXhZomHRzQgjq6IGP3on0kvWswMqW4VBNkt0GFmxkMTAILvSD6qS4+ 70wvZpUeexjRUfy2fVFzYdrc5D/rKq4SmAAZDrx174p168i/87yyhMkrAD3bFx/qIBkeSBcAKRyQ zmLVDhHDCtAibniXAi72qn/F5iaxAJh9/dh0JkMpD1/NmJnPB1/Y/r68CIiBgbZtUJQ4Y64KFwwj VHZSIakEXIrTcjkg0gmKms5sHafMPEaLB6B+7r7J248qZXtQggudaAJ2kdjdA4SL4fNO8crufk3Y tS0MQEjMVFaOtT/vBC97w6+7nW44yeuKd34XAxDogZTHRgAaNAIkUTBvjQdPfEbTgyS4d3oXAxDP D/gxtjgY/oQmYBN5t5/bO0tKeUDPAsq0P0Te6fywz/V5Z3cRVCCLMdYVq5t6K3TzB9HXPwDef97Z XfkhndjMAsj4dbHM7+QutkOJ86bGL8XcVjKfDeIoebcDMfzTuD9R4rxou4T2uC9etsPHMMsmCsOv JJe/UUQWyOo620gQaennndp1v6+apeSSfap27sacRPc/idbnndplA7Ov3AfiPOk5P2HqPGkKvqp1 DYlllOzW9pFpZ6MOQ4t3YhfDGKcVQ5r/oz+YhPPFDuhVEEg3pmTa4emBSen59zb1NiUiDfz8553X lV28rdGeTIv2B0RWlgZne9bnndaV5QHxxOdGtd07Un5ndVm+fk5nVlSGpZOpJE6OPYn4boCOMfy8 k7ruBU8DE1s8/JyXHmyFIfycsn2bRgnuRNS787FY5Zzk807qMowpagqKSs1hg6N9Ara8wmEl/Hnn dGXZl3yh6XgI+92esOnMupQlIY7PSdusK9ZH8a7YuXwsPXxndN26lEM9W25THhT6ImlkjCXIfTqV F4Il2I/43KwwhegrXzWCxJNI0bk9XHhPo/DNJhQxP+98Lhy+9B19BFwsXnm907kMCHOKU1jdgEqR SduH8N8kslNcpY1hCr+uMHumZLTmD1q8bM8VrULCDQdU2kAzYYAiaRX/6/poEfDzzuUiCLeSl1zv YjDVNuQ5cl7z807lsgrquy7Fubl4qHcmV5a9D/Gk1hNLzeRtUqXRKuuuO7F4J3IZbggFaRm+2LfV AZ1jxclPFIZ3aqkC2EANk3K/LV3t7zwuDmVpD9VE4nabQMgjESkEBh2fjubJ39sDYmhN7W5PlDvj fKFRmLwsnRoNriKjTF5dmE5pe+LcmfsiKhcXv7rgCkQ8PGXaEhkmACzgOFWW7U/k6XrTqKlBmBjd obXo8Kwi4Ypyov7nncBFSO534tNZtGcv2luYOG9a+iQ1NZoyUAjXV6lHARvfezctxBbDnO3wFF+8 YQjscbpgQ5DRktBiCBXMPvLV+UMfFj8jANNtRp5ECPhIBgGfFZ71yutWxdNBXtg9bRbYkOCa5Xlz C/PmTF7FzZuT8SrW7LnaxbVXsp5YixrO2p//y1VK+1xaZFeo8jo5UCHYEOLq/P3RTc96YrTOalHe TFe4jJTbF31cGMcKrJH4dDBtz90LdylxgVpRfZ7Tw8Q5kfNRNPoD/98a8lOSSEXCCAZH6O+Js6Q0 zyGZOkbzQWuABttpJ8KGM9DgwowDEF443ngSZzbFekgBJMG2V2HFqmHFJqb7UrArveLpgF6x+3de iBYNuKe2PWHDGTj5hAaRi9WLKXnS/XRJBKMalrdHaI2hggI61rZoe0qFXi0IHESKnQKJh2Bv8EYx GwQs5MpGEMvbo45zlfX1Nv1LQ2tMNMlFA6ynrOhR2kwdtgescTaU1kLanbjhjJBzVX76Mkz4JorL SUvZtKJ61HDWzZUaN4mLY1mgXMcJrETH6KYM4+uJ5j/OFPUQjF9LrE+P0mbq42TaVWIhwfzmzUO+ dK3g+QDt86uuyHip2pvHnx7yAWsjSGOyCD3f8ljWGUPOKLDYhrV94fcVJc5zGUa3+nLRUBtywBx4 nRwZgtAsLPDpn4sNmJJ9wXQSYu1R5gzM6/l9FdRMWhSATka49IGNgqejwt07h7zJcY+N7vdXjziB QJRQAJyFKcShM3flZIZDtAIg9dEo5v6EmfOyimL74vEHaIFWJJuN5r8GgoPsWkDVkLi4XAQbhz3q O2ue3KooBfjcsEHApmVKIJ3cquDpYHt+oX3YN8CtbdsTZs50WqNyICVYi/kXzy01NurWJJfM7iEv kBVFEiaci9elyPvpNIHMuZ9cUaLwAGgQBMeDp/gDi0++alC3qy4tdfsiqgX6AeyVlsk3jD6v2xVj sGJLo3jd3sPGMzxoe8pCYEL/28DgVm0RrUFyK/cnyp0N7ZPEtUjjpj8jd+IxOSkAw3ERi9nwUTXA nHe6tj0kB+FnRF+XagpqH3JR3T5glJBUt088HpEtvtuG9KGDfKHtz3vyjDE09of4T6aHSfo9wDjC hodzi5qXf14RXMOSvq32z36M+s6ZObVopabPOKFmDNNmp/HSkETDRRsmvepf99eSQBQX7c8E2JMp 3wm6eDyiln7vD10X55159QjqjK9fDhnE8qKpzvBz0iDUjuz7bOikKTxHcI07aOc13wX+Y+F+qlTg E6oIlHPlIdsnbAtNCCgQobEXCg38jD/RmCoyuPD7mudnyIGut42nI7Dh9/bAOFjm9rY/YfrcUHzR ARhQ8NSXoQ2zpFcn3TFAT+P+hERBgZyHvq/x9X3BgnnIIHwiMNGxC/byZxPw/cOYy2amnTosPcJr dPqFjkbAPBeBMc+fK4vm81PwdHR8xq/9Ic/19lVHlD5Dn7JlYtvJJFjmPrfqyMXQYnm6NO2I+s6T c0EKdtjijZ99ykIkQkbWaeBqI4QjJ6I/LH7j1lcd9qrRXEfxh0LZXIQWK1UaLOgM4OkgfX7CD/Es gOiM2xgbUf6MZK5Nenjj+2L4ZPrTmeFKRIO8BGSII+o7a3/SlAHdTBdtiD8Gsiuydy4L6rqc64DR Bi06UCtv+bX1qhFVUNW7YC3FqJQnT7jl6dx4+n8BY0JkCdaQvj0h2DmLQGAeRqCV2fWVpNWLIoDe p2S2R23nrLJrszEGJiAyDByfef4ikABT9QU19N40cj//DdCp9U4F59m4gR8RYDEl2Gfdw8Jowu/k 5J/8OlDk4fFoavELsbGoAubZzwgRG501V1ZJCtR8tewnVVcR7Wg+6PREybMALUWX2PnVNNseIJ1P cV2F5YVQBLvwKPcwsMZ99HW5T2xPDNiQ+Iqc+Zr3DbE/ahqfTwBP/y/BB6DzglGQ7U6UOiMeImEu lhvSy4wznbZ9ZjqYSDH2RKmzdZuRbtviSF46OarpfGrPUz8W3e0o3WnwCt6UBx/2NkbUdabAGCiC WpCv4co5lUWTp84JlxmPR8fnV2MV1/W6l9cIk2cQhntednnhnhGPKXOqhK+LABL7uqLk2TDgvXZf PPkBUnFDuYNfV6eyND0dMdPF/JxiLKZulPSqweclkV7aZWsREwUygEwmTo6Ap4Pgszz47B/jMTEe aXtCpDPEVdu4Sn3DCq615VbIb5S0FvQ2RoR0LtRjKSj4bPHSC1IgtdDnGmz6OiT4U+Vfol+fa9UQ CT5CYQ2kK2hL3sVis5VebfeBp4PcZ30TTSlCP29zY0R958bPS9N1zOa2qfED0j6sMgXc3U5P1Hcm cOd8S7lrwevmH9mDnKgxtT0D4MspsTpEWjQzIMDoQHAETPyMIPXZ4qEINbasvDtJVxVhsJy0BE9H PItvyA9FdNGUs+0JM2fU5Nv159h/UWyWEqGEjlimMDaHmTOPDSDgvnhjIwF8ScJHm+dIS3EG2Bby ZLD75fJwNyUkRpg6N3l/ExlVx3luCJQLpzFdB2MvPB/U7lfRh5zbxkrlSQ7D5LkAFYWSi0PTXkyI bsnhg8kPJEhsi8Les+zRu3RVSYi0LaIw4pbKBhgA9L6BeTOS583w1r05z2sPPySamvJiXyS8Sw5f 9/spajT5Ojk5Ho+6G/d+Z66ESTD5TdyhGXafG3aI3WGg5busUhpgdamasg/FU1hezJArCAp+grCW Lz69OF9Y75A5p0r4ypMahovoY3S1GzIWg43R+2KGsA2OgjGx90UR+kRNXmVAV3xmBHeev/IfotdK 9tHXjLJnpGYgcjPBwT+hBymeMoodKoWuwkK+848J0PKqTvNavnj1dXbi1F9F3WeoQgn0A0XVig+8 U4nfeMpFrxrp86k5P6cv2h5Ar0x0j0//KwThKaRMhb8ibU+UPcOrrkGWwcDya5vW2p4G8v22250x VVCyheMungAB6VPbkOUeXGVlT4SaHWaUP43NeTFNqQQw4+4ztZxVXDQvLsAy73Oqep98/J9UOHY3 kCiVO/yaUf5MQE+ehmA5P7YkH3415zJRwVsbFDef1f0R13RfmZ+ELjgwGnS4giCgGRcjQ8y0dUL8 sd4zRlD4GVH8WS9sApF1eIeBE4fH/6XwKNRYM66i9ifKoCtGyys7YH5f651KLXJ5XSz3R50hV1CZ IeabtliCOOH/NSgheWLbubrwq6DiNf+W+Qdqts62WPaqwffFtuFkaqnF96dKabhh+jWjBJoQn/uB dcwo57zhOe4+TwrKJ+E2zsUuCcw1uLk8P4DlWniOcBtdaIyuuXpP9wI77z5OtCa1a8wEUQMy3cEu Lpg/obR0stfcetUgPGsqSCMtLepunHydVME2x8DT/wsVFyl+Xhf1PCPgBjgO+EskS4Eo+Mb40+uN P1Rm5PGJms8Gqtsul/BgMhsYKdA7QQEGN1C583C2jEu+58fImrr7M0qgE7leJGHaImjCuQAEijr/ Ap4Oyq9fMloZv+iHTzBDqmDu1EEyGS3M2RR9lLTL4ALYLtanMxSnq+qN1ezL7R1WGBFVbk+fo2O2 hwEiTil0N1e7pk20t5hR67mQoNw1weDC3Tm3fElqjW0+HRye7bGHcQopL7ut2p0IuEF52aHLC0TK vovFnmUVPZrH26hMM8qfBylwuZXii8UeWjHUWU1KPjW4jyI2L7JRKtzRbueZnbEZpc+N6lljCtc7 s4vtU9MXf72TSOPpfwJWhS/D/5Fu7hMzBRlxtukAtGpyJFs1lDRmiSJg7hOrbAgOpdZYfhgXcPMs qYjMhEYHvQTA1sEfRCbjwxRU7hNK1BHpTFqgLdyfUzwKxFHPT8HTEbDlW4SkMQO7uo8rypw1O0jJ AJknc8usLeYQJ04qG44HXxFuY1AeKgHoZsvFtZzoU6ZUkE4CwIYPRBpxdVEK6bFkhpoNf0ZQvCdx 4CRTvM2gZi04q0q6uVY8HUnY/BpcUIVgeudwRZkzhQY3uVLiUU7xKM9XV5xw0SjvhtizQtyGpLHW Lr7Y13UKpJPbEh12UsM2QMyizxU+lQIngnlv9sWba8V95/7zIqgKvCi5U5CKxtORiNZ35kzD83FF JFYo4AzIaO9uF9dXSgbcoCOLgBuUf+H2hMANVRTcbCw8wMqcU+2ZfW3MHE82JXl5BB8IZ/5QW+ph cTf8jKgzxpur0vCDi0AJ5+11c1Eb89+JM+MwfYeK31wryps7fT5Ssc5YJ9OGhXtPphsPMpuhwVfM FBSboG9fHrQzqP5TrR+IAdrpcZMNIjL9Yk961b/IcLsr9nhudY7jEuG9njfF01Hs+SbD4W9EcKht T5Q2Q9sIya3EjuoeXcBe1F3ifaHxzNCM0xMTBWXHOO7iaWGG4vmUOcMpywd4fdDWGYS20Nn4knUw x8LPCDpjkx/XmNUXflzjbLlC80oZT0dX17ckL1Qn875Z84qyZkjGnj+3ZCN7pSww+EpqQDP20GqL oTlU2EBbAgPp5YtXFR1UsUIFG0hnF7nHtlGo5No5krXjUxK9hlYE2li8lntiQcLFyBbAejKdGX3g 8QC18YvFPRHC+sWsrihvBg8fPvBWdlmHHor3pM7wap/DMZkrzJvt0lrbF08MQUogBA1DwXo+BFo0 D2QrFRdsb9dgkBL5+BnR/igllNCPrmoe73Po2SiA1iQeD9iC5XuwQ044JPhsf0K2IKSIIHzPXhh8 ybLITLT7KGRTtu0GMStEPOsACZSQXH0HZDjY1AzAeTh0B+wws6+B9gVeuT5kC0m0rChzFihhNibQ 06F7ALdJNR1aMHg6iM7byy7iW0FpZW2j7QkhzwtsAnl5UfB+ycL7hE/7J0hsZL/bw84z+8l7Ut6I y+08w7eX5xgJLpo01J/FlK4sDt6ZGnI8wKIGPyTCPDPwLPnmLffNSxBlVCunr4rHg6n7LzIljWbq LS1W2HeGs6AqJZnBDicUSOyH8oYc4HGDwtRZHJMs2+6cb3wuqPoL2ZiwzKsjE7QKK0+CsVDD3ORn DL1qRGYiwabSx4uLxZ+TsSl72ZmPRweof31faNFW1Jbanx3lzqMC6tOHJYeZYm8UoM1Ez8v0pNgB 2lHXeWS1m3f3xQL0ufr2+V0LVFdPljgJqptyRkNE6XeyI8flHeXOTAxOfVaaL/wZ0MoQCP0U7nw8 aIu1/FWaFuS7+5K99nvyDEIKWps1dytNRzUF2slbiNkhTChsfyLQBnrUuLkktCoFfJ2fjWJ9SoKt crhDMiUrUgBN6JpjVsJoVOJnBG0fmZ4gEbfFvi/0a/l3bo2vGEn4/YIloKn15D87hD1DX7Wx48v0 kDJ6JMOtbJjV88c0+77237Bn6PP74sXF2OfyNX3wDLXnKjtqloUTOnU+Vy60YMXPCPZH+uCFbtRc mB5ONMt5PSP/2SFXsNSv/If8qn4xmTvKnhN1JJyIAnu2bLXX7WykPl1nY4eoDZFMJ7NnLpeScoLm TKKkbDA6KD876VHWT8Xe+/U1VVtsR9mzCUDS7VOLtqc2pUIQasfT/xI4HHwzlF1Xw2aHiGfCblRx VRK/lBROmIs43Su5ieAOEc/KC4fUVcejrgoFmxOJpaC1EiiULC7YK0GbFPHKgg978jsU2pD8SNY3 lt1YqGc5t+Dbqnj6f5KnQ+x7NGx26INC7dm0TCCqLxOC3IUFgoQ2SvXDE2I2pokWN1/uyAJyGjTW gJNjNmeHBX5pgb0mHzE8OHVIdtRyTgSCQ4jXFx0eSOyIcJEWno4kfjx15qUHIGGlU7T2JwJt4Fo6 GXO7M2Uz7NppruQz5cTUEfsTytNZ0qye83h6zkD6wFZZ8n2QRkboADaqANE2zZuAkDHO2/Az/uJS bnrQaWFuCMFuAuKQiOLpf/WciUpAGkezDW1PmDojmO6aHROV7eqay/871F53e2LQhtqpz2J4zIFo UwbJgoCDd8gigCIHZBi0PDoISDw+hW60+BmRtjwbPkul6bLSFEJf28TB68DT/2qLKTSjJd/u1xU2 ndk1LLManalciR8pkpDONLN5ouw4debXxRir5cIxaf3Q2Bbr0N6Ub4qIMhV6DJeIu6feNIo9/Li6 adQZ6GFN2LBRpeXUuHg6Amx4X4O3FK+Sx9lih4lzQqeZ+EkGHzqaAI6QpBBOoyGKiHB7IsDGJBku d8nY9CtjkymP2WQSDPG+ItfJ1YnmPzfX2BdwyDYufkaUGBItr9Y8F8Xmc+FJ9nycwmtHPef+WwQJ lxbKfW2PrJFeo0ZKDM6G5u0wFsIU8KRp4LxKZQMDHylfpxivwV7yFldgj5v5wMRiqr0Mo+AyOPbc lTQ8iIxu+g0J88OhBX5IQKckDXfRcPEsgFjiL7vB/WFr4hzWyecjUOb3/QU7lfrYv+X0J2Mwo8QA PoOKhKa0wZgrhUMeDYrvpzB7Zs8C8GxfHJVAYZtOjbp5IrJ8gzZc+MDfpGvKbY9R/ZY/JRi7T0ms EhTOxXEJm4R0dB8anw8KjOvMRFZKI+zn2aTQIoVv15OpiCYKt6OEJyXUHAqo2KpNCoHPQ8xB5Yit PwdpnciDLWecBpFwSGWVJhwTGup3ukPVD/6QvwQleiX0uaMsIpsNtN+T5eNHjjkW/4AgE8rfVSoV 1Igwtk2K8uhBOb9kaLrW+F2SW1CKjZc5+HQbotBMkK73u9LxjYvXGQUGFKXL3auD0saTBHmGSnfH QilsK1S3vW1Ev+B0UHbTXAwAtLq0iM/NwscjANmNSFS6I5DkMQNJUTYN+ZpGg0hz6eTldeL+SfWG CDxlkNRGr4IUNaNVzKctqMJeD8h3VvCZWW2ck1TLoKQ4KeXQ5/zZaAQJQ7+p14sfEswypmYZ/Oi4 GIjjZKJdVsGwK0gxisPbQTxQQIr2fHHQOYUdaVimsHOepd5i3CZQC9BQRGjsBZJeOklhS5pAoIwZ oC2+See6yVSZxIkc5+ywYh10lGunLiPI3IBAvPjxQyKgHWt5qK7YopZ9maRc/1TUZHj8fY/qbzs0 XKDjjlP1yu/4GrTMCZ21eepQTQ9ZR5unDugd2DmKEuvZzdWh+OKp0QIPuzd9bHNSZZRMp4WmtKn7 mTrkmPay0dCHOeOmGS4XUcGAJWPqdyIfH4+69t+pNTG6kHLyLQqFOLoUew3PkSSqAGX12sxxOq3t XsE5Rck1KiUMfFTfVxeRwu0PZuWiLwiKEAi5sC+Nwh44avi7WF8Icud62YhtyX4OLiMuncdhg8PJ dLWfZ/h4NDe8Idu4mQBM5OccRRk2CGCncKpmyz3dB+P8tn3wXDplFbVJkSAH7EXQtTfi7pUCQjMX mF8yCsdCjTYJSqQzI7BYNbdnODbtZSMFaHIJ67qLxewtChq+HD4efGqXtoIDOHi1tidkx+3pwSw7 2b0mphxkaku39iKqg7tFYX9aeLtk1PjHJLcVEJw4mzqfWqL4PM8RrngIRVLalsggDrn1slGHaCl9 LL5wiyB1IGjQYBIZWqjcc8T9xOVYvxz2QlrhZAW5JKJwfjH4dTCJlB0G5RCrjzhyYEEI/IKkfMXt aQ+3Z6c5907SQzyHSRzXDVAGOiAnYI9L7ZEJRg48CHFUGakHAQzd53AL09ZmhAu9YxCN7hBITQHg S66Ybw5dCPENgdmWrJRNWXHp/L34oWXaexK62PXnRNRm1rJLwoh3QgPqLvhNkB7AnYbmq1xSaY9t wqO/h/T5DxvCJs2A6othPGYVweJEdD4eibJ+j4FIkR1X0y7HRoQZ3WnGO5azcysC7cxMmeVsofS2 tigUhOagla1mW7zVeMqc3De6xJAlQwRhuU+XNHQx2kOgo6Y5f8hfsnYuLUVSFbfofHJZAbsOPh5V tO37Q8MB2pfEkgMvQnC7FqqWZaOyRttDtBtTMf9GUDSa32mhGeHQEGhR00pwQ52i80eeqIbCGSSo WmmhhTScDAQMomi8rGb18Jf9Q0HgpOSQM9Aimg/46zwYJz7x8eja9y2SAjn+mPVcaaEhIVpTZGor NYK7hIq1TA0n4ThpvcZiLXAkTP/PKFD/DaZCidwLBLNJ4s3ICUgCH7yeMLzEGO2eI138oSfhYre6 yYO5uQfzaomdTLa/Oh8PJq5r/EofAeZsTzSK9KH75G2ypkUjsgjY1OfHrsba9sZaDlwJL2SoCFhV vqgaE7XxsIANREwmHYpcCXQHoFrr0YgyLzmwJXTE2ZDQLxf8zzwRrooNd4oBPh5MhdJ3zU+rmHTZ hjlwJUQZXVDEunZ/lWn1OUZzmOs55ur9HqMYLs1xxqBnLpc7Njvlwhqs1M49hgOU2TxC3OJrzv5l bjDtbSPCBsORLHq4WGNkgT3EjLBsPh+E7NK/QnZBf6U9uVHoTAiVD2jrdxHCxyD0A11zJluy3sOn Y5sUCXbY7LVLbKvXm2MDRJ6WaHUDnTD4SaFSw+VWIGZctl38BSZQ+iF/3Wq7sFXLRcBO6Okxwkx7 xwh0f0t+ohtYK170UA7sCQ05nekZAy3b0pLxVkfayxywytXEyYE/Ia4MJo7/7c9cWXCcE614dEr7 zAx6dw5sAe3PoGQ5QIYD2Bw6FPZlOtHLFxET1lxSM54r8/GgEBm/iC1VJLS7R3/6rEAx1MrZ4Xq2 RV0kgsQI+9E5ijEgvNcK9XFyyQ6SQb9gIsdmF7LAamKxlU2T5MKhSHpssPxlI0lSVvyl3IVblGHe LhPHMvh4UIYUz47YsCTW4hoZ5dCnkOwNQd//I9MVu9aqsm1arVQ3msuxUSGFJfFZ+uLlLFp20K/h KO2kL2xpnEqN1lCoaR8ItWBoOXQqnNuovZpXU+oAOBCoLhCD3wuOUeBVCIbD+P7WiF2t+8aj0K0Q kraNAnKMR3NtMYB2p8AzO/6TNm/81kK7wkEfrDTHXS4WBLiPTazeCXY9V84bUZzIzFrniJ0jfjD8 IVEKqUZt374YGARXG0URz8vy+aAHWW9AklECqrX0nKRQxWPQd8XMP096X6wHmaS+UCl2Ubx3FHgW Ihntyp6rL75J5yRVOtkxILXWuxzRob+A1g0QEIpHhXQk/pBIZomuWEXOK8WzMPBjpctzLprG56NE +xvy2chAqs9BCgWkO7OpIfh0XUzjKLA9Wa7tX1IeOfAtvK6p81lugtRBGi68/RO6jI1BG0IDDZf+ 2Le/xmkKf0gw2eceVUK1eB8Y6vPsrbSdTuTsfD4Suv3Fl0J4S+XZo9CABeeIAqJChuwqBNacnYNI GbAMTyID70IAcIgoKlKTLg+h9Vz4IE/wHI1zvTepkOMS++FFRglpm15TzSzH5oVZzrvpLhpAnr1X MXK2i49H/f7vLcLdX8q1x8qxeyFqkb7NCL1RNFrwkOQ9yFJa8XottC+UpgegJL547wjWf2whMD+a HP6zMYIXQjmA1ozp3SrPDv0LLc8WJ3o4Jxpm0EOCUqdQ5OMRteObs1lM1/NuUZRnN6oOlK7bDKpR YgbRkVrkBfC/7haFetKa7iuTlHatXf2NJlNqjNQ87LrfmLLQuwfIJBuIrGnvGmAgKrOFgWtNC3do w4GdU/6Tz/PxqC+Svm5+1jP1sqJz6GFIvu7a20EihMURJEKgkEAi6fZoAxND7BAzxy36765f35lA fIkgo/OdZRoCLPRjC/AL7XppsOHEnxHtEO+0ZDh9OwzrpOqyREZNyMf/BSAWYAu5yHVjyaGLId0r d+tCYZ0dqhcn0m85O8fwSBT6sShvHJRVyp78ov+4G/yShgTtN/oBDOjQUIQwOJ2yBMJS3yh0May8 yBpY+rZwg+C5LreZk4Hx8SAOPTgappqYlVJK2XYoSrBBrYOYrOPUsjms7cTAKagIYoftUJhgD7Iy yXLS4kUIDGUKAgXdxBaajGqKkLq5BNKfus9w9PhDgkO0bOBYfeEegQXDjB7+U3z8nywP8X/xrz1Q iNDMkATg0UaxSETdHrDMCk897nwIsXskit0MJatYLNGeN3mEZQpU8IZIirPQhPfcZ2gcYYQxy2+c dQ7dDBmjgPtvvug6Q4dMbo9j8vEov/bvjP8yL4t6S9nQzxCq/mgzGwkY1qk24q+12NRxLzcDz4Gh IbAuordS2L/kdCNRP2EJYuLS5xywiMpieuKAgreafBRCp1W9bMSlYigqkuEuRkiClKV9aS3rHf8X PCg+27wumSrHpoZUoMzVp45jVes+1uTOLLOv5lsUo61J9yALVYvPrqFGTkNtGl73vmjoDC8AsJTI RigXjq7hdehrKC9n8ba1eNtoNRZZbaTB56NN+qZzMh1LlxGTQ2tD4OOgDmm1bJnZGB+zuz3dKY6c 8ZFjb8PV36AiEKs6QaBvjWbRWFNLpEndfkKzJfs52rnZy/6ZFnV2REb3SyHDWJ6/llNB6SWjCf93 SyQviRvePQqzayCLZ3dj8MkfLI+Waf3HMtZtYwf+htfDpsgAu8z7rZ2oPOtsOkh5F0hYowKh2x+K AFgE3rZRXva2Uf+RIXvwIHFhTwTOTeLlpT34eETs/L73JwuCettGgc2hqNqt0EcOe1TgW4369Rxk Fv6gVjVIZwvgF/ocDmWES7fa2hd+DfQ+ahCh1E4RCFQmqzQ0/zYq2eaacKwp+EP+4laNOrsvyaq0 3M0wAT2RwOoQFcw3CPLccfvpYodOh8hYgbHPhlyrjlxL26QKMlSnvI4NrA5N2hKkmOWLw/vGyQkT 5+iglbcs3S+I0/9QqRn30TV49peNGiI6RHJtGd5YW5n2ZYTSDD4eFCD5e6ZGrELtz7Ufak5XOIzt 4bJMKjvoZzPcYX6xWFAwCtNrpYQyTUiPaUKSxzzfjroZSdIW5047fxPkEwi1/p2lZS8bfGfZND+m LwpG598VW+bsuV4y+NCutiAvHhLML8Mzh36HCNVNU060jAZ4Xurzt+m6uL1Q+Awto9jwcJn00F1u anQy4E3P8ZOFnp3p0q6i/DgUTmp/mo+92ctG8oLSfm3FF02v0TIlx7xgeh0aHo7fLKI8qIjx3Pxh D3vhKiB9AH1+jPgZgs5VikYJ+/zUjxGSNvQ87LN6k8MWu/lxTe4mfa/zezypEuNVxbVNKVj1+S2B JJMoB66HgjGcv1XlMISLNR8rJLYUsSefD27+2xBhJoRB37oKuTk0PgRN+rz2zk54yLrfTja8piNF WNDwYwucD08GwXiUEQJt8UoNjsPqFyAlarWJto9OTKaeWUvXoYRk8xxYH6KQsK9s+KKBUemyUa51 LT4e1Wr5VzHLS+TZorCHnYgPWbKzAaBWyKN5fmX9IkVuWy02P1Q/DSICttwZPxKBoni0zj8iTwKn GA2AkYQes1JtKDkK3A+zb1Fq2RfV++AwaQLUCh8P7rT2PXYE9j3XB2oc2h/inEM/wDqPnWKRtDem tryQIlLs7vpzopAtSuxovtx7f2HfRWmsBS5AzBCgYZyZZBO9XiXEuOxl/4L29SxqLBsH+HudX2Zr PLcjlcXng5Fa+86NTHPj3vyBBSIaDazzs1WzJgpCQaKtkgSXxh3Nhh6IQy52vVVfHoTo2QmSCs9v AMgcUdNJ9UBO1h5mGtUGc+iBSFhvxpDRF/XVJKWRaM3AxyPdi++Ln7AT+nPYDoWC1IhFi5U2qQ8y UzjZ40mskzqPSSpSvNRiG0Qx8xGEbLGADQegipjE/vUpniUrM6V/iwIv3XhNXWP+kD8VGfduvqgn 0ifpUScbnZWPR+yQ/bVFBPaxlWpbFNIbmbxxTCJtEDs6J8LOy08jBk6H6C+cCPR02Jy+JtZoG8Fy kpkQR0U4TpviIOyojfqDFMfTRxoq5NAMEVAAE/m6Wl9EYp/fMbuzO1U+HnRn0y+SEcLQWrezFtgh Zo7lMbCxFn9tZgUNFyJn50/SObRFf1McsyyT8n9ZJtVaqIw2gfLTwG2wqQbgDmDHLm0l1kNgiCjc F+gO8lbYBkaBF7fhX0/Q5OMR2mh9Z9i9UJvxwo1CT0SwV6DXbV/aGDZDQ0pjAkWl9bb8S4tgIlWm iInKnly8gw1z+tllm9RAKjN8KL5JdHVJ0TeO0faX/WtStNla1cIvbZ5tl23WXJuPR01+3yM5rrO1 58Ho85oXlcxZLnhFTkJni0oaM8mKEFxp1uN/zYrKufIJDi3E6GmxK3+eW392ylnB4QXisBK3BJJi oQmFz2ya9Dsyx9ecqEBBhZL4a92FJwg0TAI7W1t4+GVvCrzEdZUVSxonxyj8L85vJNqaczmcP3Sa G+sE61EzfQxgzJOD8CoenmBrOu94wqltsaNzios5pyb6AGGnLKTWoqrvuUZovTXzF0D9dWvOTakO kXWvRdpDa78O6fidKHcy6tetQZZQbGtEPy+avGhrXvOgkkliavSII8Gx0ZjvP5xIcZMoOriHQYte syCcGm1NpdsWFz81dc0hyUtwiRZwrsRCIjZSPpDdWBkq4A75vOZABdxqju8XdR246IIv+NNY2e/2 eU2AsK+GlS2mJtxZi/nevOY/RYi4umlNQDOO2SS2M3cZ2a6uDksqOdC/7g0mQvyUFJXLV1Qe54Rg D7p4DSeE8opbDK343bdyO0OdQ7PX5KdIcBLQhkbFXPTciEqAZlZid7Cf/f685j74a85um0MvhcTW abW9ec188BAUoNaePrnPRpJZMqvmvGyyVqELa/RJERlbFodmXO7eTPgn0GhiUkbY+mtAeaAY7oAi 2CeFX8vnNesp8jElgGj4oip15K4heOsnd35NespjEmnfFLJLiqJxb15TngKVjMLZj0GswYESIwaj S2PEFPIOaCEV7M3UCNHAVuvOgAirZTFJ1GdBaCCvaqD/g7/TXn5TlcSO4mu6UzBEIW5ojumLYGg9 i2EFfv/nNdkpQrdqa5jXoJfCTg635jXVKQ7Qlyo3bGqr2UTuVMyHDAYl7ov9muhga4gnK4tKsMVj ASVOgaomBwLt7qnuNgoKXLqn4IeGj6fL9MV+TXOKVCbPzcKGthZuzfnTs9SVz0H/vCY5jFXt65Ii 7Fw0IYqqRpGYaepKniizj4doA8M5iza11WTyuFEkJjGYlE1b7NT0tc7V1MiiQjt0Il/AF0XIOead 9VHmxjH9vGY32H21nxNp5sO9B85JPNW/BKxW/rzmNny/+vVBVUoPlWZb89o7xM3W5mW+II/vJso0 0aW3YNNvsHntHBZT6QHhZfri8I7Mv3NnarPHCdFC4+Ov0wCrzejuWyEKbwb8jGBv6PhcxS6vD7sc 3Ra5ZlC8M9gcFymwc4PBHaoYbc5r0xAnFRIOXYpVlai8qx/j1QOcMqZJOESRWLwphnUttjkn8IBx R0+kfWJNAtoGpi1Ap0KfLfPgmCcSXUleG4b4BTCtmZUcvOlZwon0bvdcoewVhZt7cBi0ocEy7968 08pw+VK/oTvzDoaNFor5e5QbZL1jwigr1jweArG+eOo3AFVrPDoTsFDINRRIQSHO1GLiMaZvIZZg dHSQsMFyLfmi0cXuRsI4H+/nnVOG72p6Xsw3w/8l+Q8RI4LtAeyvyeDpP/zEupkdlmn/3fnNbHf8 eWeUYXsmgw61VrQ8X9a5aGU3duoRZJI8PAhDjXphuE0NXNarCApRRJbT2KL+IhcVnlDJIJDl/LjP O6HsV3JM7SFE97U87rzzySx5IOjCshyp3HeM4sfNckjjEeYl2J9NpPTJi5MvPrMop5RMXVR7GK9m jaPBViMWmJZM+raWtifKjyEBdr4qGh1o0fGZ07xEGxpg7wkyarLxK/JgZDLu9kQJcqVbQrG4fP6p SeD0lAM1WcnZy7hIhSAwS9irSNqCy8XdEdq4hXBd9GZjm5mK5RB/zS77ynSerxpUVnST+G/mBnrk 8jpEh/bzTiRjipy+UmSSS2v1C/2dRsaCEWYbyWSY2uLkHMGnj+Fm4ZMut5pURNvDqqqRCajFtgei oxuOVDw9bdQk5CLFgyn8QLi9Pi7rr/x5py+KB2jRwKukLuWpheF7lCVna1gUw+jzIx+eJr9TyPgX oz94Elrz/BN2mV6QpGf8h3D/TRR55x8TFViySyBxnIvtD3BAGdMtsutPlClSkCMziozNnJ5scOtV g6sr8bOa7S74GVC0FvsXsiKfd/4YCwLfHza9spB09/yEyTKEn9PYPnlnq4RAIAcHZQLCrC8YZcuD 4kxFbhvlcdvIZESXKYgLOQ9ZGHNkZZgUoYdwb/ahV33fnyYzrSGgyygXmYB7ngCxmffnnTuGA2SO ANbVweddyz0/UcZMO79Si6ua1uryDKl1mwRqJMXvK0qZO8ftNMizxYtQ2AkMau+fyiax1EICR1Qh fi0cjLlZ1NKrvu8PTaLzFteXi7oXe2wJdKw+P++8sfIwEIqM5vF5we3Dtuc9a8bxKWyZFmuZCjm+ oVU7TbSz9E595c4/Jvi8qLcIgUVf7hDwRIq6tpzUAWsyZUZwdZBwAkPrfhusKN4pY9agYwPjLoaK hnQdLySoxERp87o9QZEPQOgf3bcnyps7tqcNkgwJYBwy6l0L3XCWotDyHbY9YeJM0HixIDRuLXqi cElb+PWTGsKrlCi7NYlc2BBkGlchRtzMKHFG7os2Zym+cHvyyTB1eW1MkaPMOd9CHdGno9rq2VPD d7IYv2gxkJQvY8xuzS8gRA2o2ej+ysZgmDlLiAHqHbZ48DnHGLklS/XFnoNEO+l6o6D8sFfsVd8v r83MkMMBW+zyqtQ5JkLm804Us9z+OT10/9y3xfNOEysSa4Qfqpek01If5HOuON0gJc7Y884S4yHk aHQT9qjaUrGn9VOhZNnMQ2R3m90GrzAM6XptD5XOXjXqtxPFIlOSkf1XgNg8GZvrCf6fd45YkRfO 1+WV+G95n+edIYYjWwD3Sa53Ntj3lN/GmoY9LNcJ8p0gVqQ4inNTui+eOSNxkqtzW2gPNgAzzlcG k7qEsrRTyas8eh7vBDFWXoQcUppQiwaj0NHiBOb8Hj7v9DDb3q8WYaFZpwfnd3YYU2fIMNDTHo2w tjkg3WiETfXigabPFn3eyWHMSxSVe/LFc8OTxHVcZ9ifBsIaFcsXnTLpZQZReUOxdE6O38lh+CGY yp+4k4Yvis7AovH8pMyno8K9fe0P3FZoHWj7E+XOEGuGldbVyxvJ7vZp5tjnO2Oo5/cVN5hZWgyN +8Yz7jvR59zD5mQ8oamcxEcQgBBN/v2weZNeNfq+SAhTE75nz69SOYFSTo7nJv6888KKAG3fuQ9h UN7YeKeFFcNmJFqZWfxx5pxmpJVe1W73884KY3jmwckUeuHi3xfcQ1N1pNhYkCmEYQv6RGhoE80x 8+OY8E4KY37ODnzpd7EO/PmlNhnazPV5J4UV6ZRpf+Qaic3ONz6/587oTmOalQ0RBs0/lznp5K3w dqcLOffnPXdGfOb3tUh44uK1BdGG0C9BZwOU5Cq3KBwZSAlhYHEbP0uv+h5+FnPDtXjyuKhyL71K sP7ck593Rhj+ovl7QgGlqYK03bYnTJ0pIkjQL6Hh2ZrNp5hcy8JzI4CS4fk9dYa8Xyexm7khF5/7 wSwoEVkGMGYCgl4nDMUqZAjKo2/Sl1412B5yeE5u2XyxzwtzIPlkYn/ec2fN4p/GGPJQc/Lj/oQd Z2hmSfgHuTP1t0kp7AypLE3x27bs5z13xjQdcacWdlW5aH/wez55nMCq58wU8wzIFAGh+tAXaIXz rXc6mPUmELGkJnSt/E7WN1QaQIzqnQ1WpLtlxwdnBa0okj5tf6LkGZGxAfGvz+t8zPq84ASfrTG2 h4uWv3PB2Plh6ZxoY9gc+8faAoGeJBcAnpuV7pkiUKCrMPxYeEaS9nmngt3Pa/R0F5F40OYXFSyv zzsTDLnl+L69ssB7nv2888CQMw1yCRzRk7bb0Bp/rrEJ4Mi5dxoYby9kP1WkGC53mAMemxm2wPWu szmUq/RDIR74pftir/p+fCobY2vRJ5OLtgeVkZKfkT/vLLAi4NpzeVFZC9W7bU+Ix0BjDMwRiz5r mAvtkLUo/pRJhxRuTwzIwL40QuC02NfFVk/PQvAuGG4UBii0mlC3s5XWjZVibxqgDgRububVW41P MNR2o1BH/7wTwIqg9nZ4eFJIj92eG74TwHB6oHgPyXttz/VTh0j9tNMDbzB9XO/8LwYfcmAKRV+4 eG4IXuLINGOb9YQ0I4VLjwqfC8K6Tg+Q8HzVqPTqisrbF/bFTrWyqa14UgW+4b9is1JnJquOPHin fmF7YPaT8jMNNMOEuaepUSEcLZvpvDO/bNiGS4uTUi4em88nVRqpMbB3hkWOZmMoINLSx++6pdSe eCd++UwHDQ0i5YGX5t8KwA/aV/7MlMvnnffFJPh7f6D0z221/YlSZ4yg4LhXLTZTwIHKXKO7qMLk tBOlxTvrq7hZHVnhttj+nFJrwk+FfZ+TnLTG8QZ6rz+gmI+n6WPvGfWcxa4g+oCLsPHECfHbmvPz zvdi2vyr5wxcG4cY2pv3tFlaaRAIXD4PNHLcLt3sSU78gpKAzk7Uck5ExBdpl3HxrsaGX0zRvTUq TYs4scB0Bjs6v+ZdlHN/J3sVCVrBMluhJ1cnn4L0K0Rzr593qheBFr+7GpAnXPfeirLmnqGkQA0i wjPKGAbP2CTuoCrNFKDn0Yk6ztKZOp/g8uXiM+AS1RR5BuTKSU5dyOrPgcVABOg6IzL1rXeNmmIE WW75/bAHgk/rxFe668GMpH7emV6WFXwNvMDwhZmW7U+UNqPzC81kx2jwr0aMxrC7HvQX78i/87yK yyhkoeYECfyZhiQEgEqEygWPjUbNO1A5CgXaMLH1qn0kvWsEChOHiaYAJ8Ps0vg5W27GNn2dxPCd 5lUk8fPkzRy8SUeBGxTlzRCOxNUoWu65Q5KurhOb+y1Lk5dd7yQvju15dSVin7j49zUQuhpVFCZ4 myQe7o2OD+qAH9C8XQuYeeE7x6tIfx34lS29ZNDTJlO8XCtdQn7GKYs+7yQv1qV+grIoGOjR3r7q O8eLCXfGADFbY6PLDxtawMmqMYizNM99orbzFKpw0UqLi+3QSSsrgLqSciN6Toj5RjgzgPdXigO9 YP6MaKjDkfLsdxEadSU5fAA29Hmnd/ENy9cBKlSATx6B3tldvNwRoGli9R8RBougugUjpizDDdzz pMC9k7uKe0WV1e/iUHhYGBfBmMcmIopCJY31xNl2aE7ZAaKB5Oed21VMnBSCL02LayYthDj848lA 5+ed28UOcv6uvOgSjiNrGxRCNjoKik4sM2qwStwcvjAwceS2AV/In8YNinJn3kOooacvt7SAEACn x4jVlUAf2CF10imyGs82FGz2qn/1VYXK16LK/dS10mdESfN5Z3ZZc+Er+8Ekht+c9idMnunUtNxl Pkm7FbJbNbsPdp/OM33ndTGQsePcpJXMGbVdYSejPYeZHPwFdANpkBsCpWdbzpW1KbkpHWm04j7v tK5y1dv+C355MkNojfAK62N93lldzJ6/Oz+tmUiz7c979ixyQaupaYaDYsBk/6cAhwB0dVAdGX/e OV3FpcgLuX5aPP6cegJteEZo8JXQNDvxh3h0jDvmY7VKqPDnndPFHh47YhrvcNENv2bijAe0os87 pas8gvbqG1ZTgPXtCfvOqNwnHRtwfBbzLmomiPPG0nR4cfFO6LpcgSqz+eo8dPblgR5oAn2jASdv gIw5WUbzGSqDVpuigOOrRoh4QeFpyjbdL+cU00lBF2Ijn3c6F5v630BM+hNRg07bE7WdWbmXzZhD 1nkRk+LE1D1N+O9UGdu+rjB/TqxJs0qwvP30FLRYIVXCtnM7FQCJpEljVPi7PoCfTcDPO5Xr2Z1B m3AuhlLF+eG3tcfnnclVZJP4XZniWh93d0LAhmJzMYmkpGaPAAkXLgZspQ5PmD6rq7pUuK+ncIcJ C+T9KGV7foF16PpnUUo7mnK/Ld3t7zQuG4zgUmfXcN6u4SkApoF4C5+ORsrfoWdTA7zd7YmyZ1z/ AHVtK0yb9eRXTWt405CWKtyev/EarfS72PaMkzygiyF5lLlhT6Pr/7xaZfXzRJ6uNw3aGmTaosj1 RRPBZOjvU6+szzt/6//AoTrL9nwjT5g670Zqku0OcjdeV1ttbkLjh768zj8mmpgy5Ejuj4tf7Jsu kKq90Dykziik20lTzMxAXOcP/Sr8jABMR5jYpHmSFkaeuigchL/eqng6SAz7N1yDOLp2oZgtzJtx b40y7V4nN4Tf1srF8+Z0vaFa1HFOVfw2FhhcfGKRBjQ6k3RawNqjZduGVHeG7J6Ef9QUo39WC+Ea m6w06rRp4emBA0iXElTi08G8vabvax3t2VZ9oNOjvLkDu7jxfmyKrW16LWBK+beFLrpxTt7zZuQU BBl2srS43KYPJvfNBjq9ocvK0AM8cyHw98mb2RTrIf9PDZ/F/gYX6zjbt1xKr3g64FbsbywdPNHB ZfDtCTvOUGhJgorhVzjNdwWRLzmDoEwzhugRXGNokJNZXdR8GxsVwwrqamF7NtqGmucUogwXdQSv ZgSBvD1qOfPcIZZVX/hx9fNNDSXNp6roUdKcyvzanlP3wxfMdyfMmfltUa6LYI2SiqHk23ILH3Wy kBP2qOHcxa0tInWVx+6gob1aqICCtsb5k4iyoR9YYzigGIKRa4u9619QsT5kwcK7YP0YnIVuBD8Q DsLzARVw/gKLwXO99ubhp4dcwCqUhkRrTmayTb7GbNMxT4ZF2tkifF9R2qyyKy9vAN3wAzufNHhz AM8yJq2uzk6RVVs59bpoOsmw9ihvxidydpuMSS2KP5tkRaYzBU9HXMnv24toFLq/an8iPiDd5IC0 YVl6PjDMoP8DZVTSHkzteJrhWv8HIXBQs4+L3+0DaFVibSCjdXZnsXMI0QPOdfq8FB26K+Bn/DUQ 7Mv4BOeDoZZqAtiQTfl+wigeD66vOxEsPGwg3SXPfXqYOE/6wphJhtyHxCWdVyKqomxk4txDUiAV WWAH7ounhnj5yYsCHORMfsvJyTNxRuf92DNRAAI2gq8alO2c6ZwacPgiokWfU2DMMvmG0fe1vraH ynVUGdf2hI3nCQhmNwB4q0SYEYzZWvPPi/whbk+cOYsz2ZovPk/e55eUgZYEi6nA9iazaieEGFEy Xd+eQR3oHvWd1faZg9vDxeAsDXNqVe0TjwcBepRf13t3fyzuz3vqDCwvhRRJUYcQAvWxOLdgw1xz C+qG8fOK8BqJMExCvm3xCL1bPbGeE9MTXU752pj9kG0D8DIGJRdumPSqwf5UosUIh9Ki/ZmnIpGP yAm6eDwo29v3/lDZft6ZV4+wzogb+ACMxp7cF2PVTRBCIx5heHiO8Bo6P+eGtYWdA41MM+7fZl0x 7LX2Bxhe6utTHUJjL4RC/IwILsaGs+Biw/dnY0hIKYSTXG08HaENv8t2TBug5eODix5mz2hvZzlh wCeD9DIQvQD1MqLXoogr9yfqOsObHmnzWL549rzwfQ1SCVbe1xyDTr2QN8LNaTPTThGWHuE1+tb3 RQ0NLqq9RpctVjm1PJ7+X45PphLHTr4/I0qfcUm10pyyXWqWieFqzbyxcy4Lfzb2Z0Rt57lUudNa rT7WagWWSqUnUXVqP+WFLjr+6ugRi9+4dVXpjDGi9JnSLIBQNl8EF+tdXgTnx2Y8HaTP4zZV2SMC cpKGU9qfKH/G6Ac4QDXjG3gjSn/QoXEFDdAAmSGOuOvM64tTIi0en7G9Y9G9eMFxnHbSGwQJcI/K D7AJt/raetWIuc12oW4xLjw/M9VpVIm58fS/xsoCtKD4Gx6eR4h2hjpNR3TNUhPr25hM4hcgw90A 3IrWHjWd6UCV2tDkfbCRgj4qhBHPW08LzxxocCNBpyQ8td6pIORwBn5EAMakBdZM6o6lsf07wR/P qeD5Dx4PWhvteypIkEXeHp1HiNiAIMFWIoiXHD423UCbCMzb9zJq+4iSZ3nNVeVA7LVre2CTUmA0 ZL4z5+ulC1QhzQFlR/m63Ce2JwZsMPjQ3F2LwHTnj5zi3syGp/8liUCoM66IdqHyI0qdO0mmyR0e UprW2QAizlLDSUwnY0+UOsuHtxY1fsrT+EmJ5C51NiqkMLtYlIB1Q2oNSOEbfNjaGFHPOXPovgah 8ot/Jn4LkC2gqenP+d8Zj0fHJ399XYxFq9+vK8qdAdk678xuIeQjtoExDZlNHTrcPvZ1RbnzUvAp 6iiXfknc9dyGu7BFd640VKe8NUQzVTHVLs0Uk0/8jODzkjrWlP7sdP1Z0LWy1PZPbjgiqPNYt61K KRZ2nLP3fkaIdcZIuackgs6pUgn4AZfAlejhDjYNtTEirHNht7QpR+TitRdiguPF4MNYNPWhC8JM Ell2oRpiwUcoq4FpxvkPZtJadLlDwV68/j7wdDSz+BV9GHiuwtGI2s6oIColQGjEsynEdrZnAkRp pWlrblY0orazTUxbVgrEvCSLRomZglS5z0eImTJpgpDfLuhmQH7RoeD4t/AzotxH0ghkFEwXeF6l 7iow5VobT0cTr193F0qEevvOI0ydmbUOa/RActBVjlL18NNrs4HgiFNnjSLK9MVOD3Ln3hrDz4Jp UZpLlgWAOiMgIMza/lBPDj8kyJ2pq9ETMT8NWmAMGlmjagXdvfB8ULvX/V18sdP2JIdh8gxAXW8M 0IPoBDk5nZqxKVRnEqtsh8LWswx3qxxT6+OYCij5+d4ai1PI6E1KhM9CkQvM1QoSA7XmqduIHxLN THmBCZc5r0NLYnrG6mumjMej5sb+/sIAnkG7Vhs0w+Zz47Ys+TUiQCfWXBBMrqbqU/C5srqYUfYs tHwBh8EWJ1ucC6pifsGhaV/FFOlRpwH6hZblRY3R9mKGoA1E5pMC9umLAvREps+CvDU8HWxP+x4L LtyjEAOx7QmT5zyodpEF2UiEAPOCp8cSVUIXZcIQn2fYfDZQlLKg9JyfPs61ski7PV/YOUvA5VO6 MP+wUdmpwm88ZXvVqDitLzxu3F9VY8GTfn5miHe+I3dBohDs6U6q/Ymy50RPkHkToG1l6tS8RAkQ KwEqH8VcQRUXGky0cu/3hT5GJXUAaPmBBg0jEHo8aC40NudFNaUOwPxH97kzDeKizwuRa6p6n3z8 f+HCUZqh3NHXjNJn0J1AJrbitGbTkVir5mLFaSMMifvzd++5yJqojK/eYUdTPm+OlXud+A38uEgf oFisS6z1jAkUfkawP03FFyeDXJysMysV/+upgvB4MHa/OhvqPeP8XJm+GSXQ6NM0+jFzfLGplUgy k5tbn+hHQS9c8DNKoCVNCNqTL3Z+RuuoTWVMNIGKlVvIULGQf8DIdrYF5m74GcH3BXkbWPMkX3x/ KkmYgH9UPB7MBvstMFirAZE+11UWC5vPkFKucxeza4TNFbtjk5BpoTaQ/yo+R6gNaV2/CGAuNDh6 XkmoDWiCJQWgQjtitC4v22tuvWoQn4ciT76LuhtwMsY/wYMLT0fdsW/IGHSN8rqo5xnBNvD3OlHZ VNZgvaPzs1K2eSoVcy1BnFHzeRHUUqYmzGyy2/d1PqVTpFIFCVrLYydZfyGZAiG358fJetur/jU7 nRTk1KL8+ZwaNjfqOax4+n8pvxBG8l43PMdcQfYOq9vGVpcnZmNPiLqN08D6dIbKdEVNMfbm61dv vtYNGbpRDTEGToFAiQNKBe1nXfsP2szjR0RsHRPATL5wd/b5zXBIWNfm01F18QvQC226km7reUa4 DZh9QHi6OpUSOQWiDybmNjwduI0UnaP8eWRRBfv2xaMPJvisrikBjkYxTw8Y4wBa/LCgtdYzW2Mz Sp+Jdc1L6TMXlRfnSpEE1rlH8HR0erz1zNEyd+YmzzNkCgITVQE34vY0cvEpBFB7M7zhotgMk59Q ZkOd+Z26Lxf0kyrlmhmcK+j7nK0OouUpSPkwBZe9apT8MCmklLwWzU1TkhJ4PRUvno5yw9+1hdyi bHtWlDqnJciEBu4n9gzBVc9l3fSZsTi10fuKcBsGBKuuw3s/rg414pNdMvcBNm3yxSBi88N/Lz+W zDR4wM/4QwYAZObli/g6q1I8CT7UFU8HTMH5nfoA8velbbii1JkmHUhoLTRPQ9TNufM1rtwupbrC 1Nn0EUTjHo9v5TlWkPmRP+z5OUmcjIRfCO1wyrx958Wba8X6dKaH2X3hzVXL1M87Ua7i6f9JQgvg zHFVJFaUOQMpiOSkOJ1pud+gPFAb6ZjNUD8rBG5sEUyzxl7sVDW2NmBYsbJOD5KUSZEtnSf8L36W D4274WdEnTHOS5sdIrP3gjVsmwJFQRgzTpy/x14yHbpY+RUlzoCcn5TJJlxN2gPAOu9lqOcMp0BD q64Q60xtzDIJCedyL/YTEvIqyntOsV3lSsReW+URqs/FnvSqUeOZhrC0o9MiPOZJpmxomjeejmLP NygKXueYlvv2RHnzQlovCR4DRcmcaW4V8JxqD04tcHpCoqAUkGiuoeWyvdBXqpTUnwPUnc3G2CQV pZH9csk63V41aIwtYzEVX/hxQT1LH9fJ1fB0RLXw08NLHEXGXn5zrShrTpoadJtarGzN5oWC0mMP YVoMzbHEBikEU64M88uVAVovnTpnp3oD1gsnBigyqCoizaTTC48P3Uf5QyKRBO5PHXcxqsUcJDZi OjTweHB+0jdbEBVF6bdzuKK8GfRUkD+dyjSW+1ZgMCCe8iKSmucnzJuVMFeNtOq6nxf0U05aTiIu mGRps+5CtQG1zxN92nUX3ByarlBjQ9pQpgXA8MX9mfl8XiylUmp4/F8aURJDPD+m3aH7CtmChNWp 7EJ07luw+RMomO9kWp4Nk9BaId5ZA4tqIqLt1u0V/lRVB2juDsk8Ur1oHQxcU32oFtJoWVHmrL7P ucuTL/y+Tkpch9DymW8YROfLUybmB73HdRPnFQKecWGc3FOH5hS+1nheJ19RjkiNDYODr7jxTEhd 5/SCi8+9Jpi5VChoC+bNjMgnyqGrCdhpVmrY+X3h7OKHRIhnqmukXH3R9wWuaRFVZ1U8HgmCl68N gmpyqVdjbIV9Z8irFnkIS4fWqIJwyLW6dK9lg8EVSzvTvgzUZ1t8g6CRlYlkPJnPCRCTfcMOVbfW Ew7qVZHghYmfEc0FGZi74k9/4g9ms7yyd+bj0QH6jj/g6tR8RUh2lDsPgMYgM2J8glWNTzBy8r7Y +V4s/uxYZUMFu6Si5mNMWUQoICZzIo8iJXtvtQ8nzT1+uy3vKHcmjBsWqMUX/gyI7EzT0Bp8PKKj rO/4kyi14X3nHas7V5h9Vddgm1ZlnHvZ9UPPX9f1Z3cssyE0XS2+3PRn9xM4Mj8w0JzaYnwmbRdY blrmmI0wuuv4GUHbR1nzbtkX+77MFxr2gHzFAHSYfrnCYOxPpoT2J4Q9Mz2E2o25dXN/FvqqM3l6 iKGE9ifOnpUX5umLV+7n8i1oE7O46AXpND5B9qXQG23J58qSGsLPCPaH5rh7jeqLQC1dbWFoRGU8 /S+qMqdlGOj06/CxQ6YgI+Nezdvy1veZq3pjA40JKy52iNrQ9Y5euS0X85MSRLvZ9xl9LYpX7Q0Q 6/mygPm5pqZqi+0oe7ZxV5U8bzVM3clD9hDT7/yW8XSkcJi+0kO2OZ6x8g4RzxWbIp83kL2GNcPQ V7lkr5pMG3zHTEEem2pJ0NM1xMweanKMPh0i+Wgan9/HSoL87QtqWWzK71BoY8hOqN9FtUVPslE7 31bF0wEo4Ze6KtS8S7vJ8w49UJDe88ZNAqwm81csu4rhBErBNoGoHWM2soZd0xe/uxYczYhOP4Ft pqTaPkHasNBLZD3egcNeNerJDyWF2Rf1NcArUT6TFp6ONH6+2z6k5ZMdp+2JIBsYrYMRbbkP4BUK zbnVbiPldPsaO5SnW5p1reaLf1swC8S2MDWEeh/h4CSrZ2ixyZegS+K16VUjIiXbYUP2BMN1NmoD pPGHE9OCp6Om4e3IE9KCNO7BY+4wdUbmsaXJgrG3Bl2IPWPcvk/31GfHmA11U1mCcXE85jhx/UQH ubv3Ccc3HB+WYGi+dnSMeHwK6z78jCA1VE7Y6l2ssdE4fcIPHng6aotdvo4IO5TevsEnbDrTsHdU 5zN15zOd34vrr3WOzhh8/oF3pqOhFr/aG7RPIcHF1AdKBkItsOlSkRpeHu6eetNI5UdXugrUbbYN 5+uaVZZmp8jF0/8io5Qfo+CV21TdUeJM+YPesiWGXbYNDfVMst0hrdN2JwJsTKIIqfRvi6OdT+bZ UzdfAiLsmGKTcQNVufHEZkAG+DOCxJAYlD04F+SigU6uSSo94xReO+o59wuIwpefCyW9r658ihJn ytMNCKYRzTso+Hd2CpLJnLKzbXilr1OYObMkTYNXGBcfCGbIdRDcAzBC23SwPZUFGMC1YDRbLuSH MwuKCgVdKjo3VB6gTYU7ko73OetCrJ495PMRKDN/7VHHfGVe/dCcQsZgwqyLg2xau7IDSZ0NDrJE VgZPWF7cKcyeKa2ah8A/40LCT97WdmtbCr2YgAwOTQfUpyqnlm3e9hhwOfopES5hkawjTpMHIczl amYB3k4ixOeDAqN991eRGH/hfnJ6z6BBeGfwySYjmlY3h4JSqo8GZxmGjMopBD7LmyArk86PqfsE J65T9AzQFoQ3yawCKgTIIZDXDt0gdBX/nwibIIXMJquC80NYeWUIDDWCL07CvvgHBJlQ/uZWMmsk oMM2KepCIzM5GVa3Mr41h2/UNd2Ys4/kLikpNBKUUgSAkrb4JvW6NoyUSaQ+32EjfmxMjJVhXo9c 4ilUt71tBEFEQFpVQI5q3VzId8hZA8YifDwid38HbExAy76qG0wCg3wIQ1RJ/EAbcmxz6Gwsdpku TpxkGRGl0CvFZEnmXbyWP3/vc66UTZPKjPEuTPM6EVk/rHYEod/U68UPCUYZzKdPdlV9MRDHhAsC 7rQNu4IUojjK0+3IFNr96fm2E3MKG9JAUqCjwkDUZHKAimN2mlAOHCSYAVYdpLgjzd1h8NLi07AO z+MhWdEOw4JCFg9+G7Wdy5fjHwMC8drHD/mDBpZh/uSLOvbnUQqIV5RkePx9j2r6TqvpSzPuxa8/ 4T2O6Tfo49TKMHRK+ixQ9KACB+5cnaMosZ4CAQ2DTFnWgp7rQksRmTN60kAkmNcreh6yI2pXHHJM e9kgNZLbK6HHWsQEm2byg+YMH49yo99CAf2HjBrfolCFI0uFw9AuuRSjOqmMVFdxuHJ4TmFbWsH6 /9juYMqD3FxSAeeOnktKCtRJZzpYXRobFg32shHZsmhcWHxqyLsfXobE951D2vh4JGJ3ubocgnSi JZ57LUyvAZia3QSjMLwy27hG+JIwHbt336NIjKMKKF/T8OX2PgpkFpdGYwWlyZb8PIQIqbHentHY tJcN7jU2XfsSbdc1yjew4nLkHm3y8eBLu1I3eJC5XP261aIce9HJs8xht9q2Cm1BjM+2KF0DjJyi 7rTscfPud7mg39nPSSn80mDBgBoH0w2MKgA3akyyq7pn/rJBf4iuaBB+84VbdNJn8WSghsvHgy26 pDlm1Egg6pe5XkgqHAQvNGVCp9xZTuxJ2cUQ4YpsWxS4D4qTRpmk6otjX6Cc0xBmwOwBwWyrwQgY zTqvSaqUiD3ywMiB/eD90kpZvqiM3WlIZB8y4jn0H/ytGtAQ8+qV8s2hASGmeHUDRqQ61o2V55J5 ZaYuB2AvrEQCB0KZBJy96dLn6F9C65DThAgLR/QnoFY5XK5kcmnQyf01os+xAyGZhQDL+qJOSBqa AQHpwceDHPvr2gcOH1fa1bPLgQchtIjYP1vZO2lbvftzpqfrAlVlSV1/ToS/E3SqJV+8lXbe+9z6 UpXqUOrgZmZCzmAb0h76HEGY/CERCEYoD5ouz1akibjh9MLtgvYnH4/q2V+9RvR39lOrBT6EGEQv M3CyWGQjj21N2coMOPuVFhoRDgJcaxeTrl83FbTcT0CuW057e1ezNaLEOFjzZDhaq5qT6Bw4EdqH BhOw5gs/NFTMMjJfufLx4ENb9SsW0Vt4XYh0Dr0I0eSDXaki0Imu08AMWfAYesmh4FSlFpgRXt3R rvyoP5L0558xG2rs5p+yeW5hgHkUhgBWj+WDrv3QjnARP37uxeqLglFXoxSBovPxYNy6/EujNx86 v+lKmOTAj9DMYrZE2xAQVurWVKN2pYkEjelfWphfK1Aneqtwucnj+U9pAgLXUxcB+kR7arCcz8cC /U8PRslfNgpGRALTM14Lfsg8uXqRPl3uhY9H4pH56xjRf4+KgLZFIdXwhM1GgrLQnLloUn/y3zQc zTlcKSiHnoQyYU7McLT4zOwE73NOpZR/0qKTEmgmja4IX5O+6G5tMO1to64Ix0IlF180dcXciT2N 2svm85GIdvlKjTAeLO1JjQJfQgzOgPsYQ021NnjX/Ifu4bWIDX7+LMLtk/6coJiViO3/yR7T2SIC e1jMnopkSSZ6QYS6glTQMGjkvV9QWeuHRBG7sq+PQKJF/dnzhUmDdNo7RnImvxpHmGC3/HxqYYJN /5BCORw4rXQO7PfPGtW6khk6v8WNh0PUtPamCHtWHuxZB86+mPMwJu4cne4JGcCKfvBJGdsFx3D4 mkN7QlgFFAAlmi+8+EGQtIt/ZT4eVSHftWxhnn7RDTk0KAQ6Fx+E0Q6F3EAtez5wYdLOJ5vcED7H DoXdZmjJF29jr9UB8WGTfwFJQvJmwsE6ZwFgmfR4YGV72WBKNFTLzu2LihCcKAGIyuDjQYZdvhvZ EGMu+7FwDE0KMQGCz4rVaYWEfYSjRoiOfFaujVEOXQqXJAWkfsfFa9mTCGfK/9LfOy0C7TYU2X8q JbUf+LQgaDm0KdQk5MR9shPqMumFk0l2WWafHCnz+Uj57rtQA8iztPRYWEcpNmiZp5bFlYhotCgb jNZaV5Nt02xlGns+h1aFg8wEANZ8uTCigV85tXFmP5cD+2NnjxpNrKcdI9lJcpaWQ69CA1Hj5rXF cCC7CZiDG4fPRwTfX2Z8gPvVS0DMoVvhynRcoZQJCFL4HXGP0rJ9y1L4tj0Kc2xZyQpxxeXO0074 rlt+T3WiMh8yQvfOWvIEstADhz8kwspM0TeSL7rVwG1cJjLQ+HyUZPs5Uhubqp/PHkVt7JSocsTv CjfwNHOslbOFcYh43AwysCzUxJOS0csXD0enPj4xG3oQ5x7ZTLuF1wNk7vzNxr6tNXZ/+EOCmb4N Qpgk9cboQhr0SauavjWUs6FpYb6AK1b3dLROj+N3aFtIz93c3HYuS6UUMpz0cjMF4NbdMD7EVGsi m2Sslh6DkZEnOoUSGzhv06Rxm+QLgwlBH3dwTSGzHBsX0pgPjDRfbPZYRNysZ7/4eMQVv99as7u/ PHoDObQuxOUAsJXNQwbzVUJDRnJoCGfRqtZC78JFliqB5LY4ciad+ES1TKRHUAvk8C11/EUx6yw4 gqZ0m/xlg4C0GLSHnImHOxNDwUm0g/MF8vHgHN17TS7PTCCeey1Ks2Fw0foo1ZBp5KmTuEAZESDT 8BurvkWhHp6AD1WsqXpZUygO5qlkrBLBIJI2SBnoCvDJf5B62jRkTXvXCP8AxE3uEp3sBp88+ePZ OllArcHHoxz7dkVogwCQE/yxfYuiNjb7huixO0KE8uyDqh4PQuS2sQMLw6tbMaU4OR/FSfBSqKdE hNH5ajGcx5gbyQlHMddHg0Jf/BnBFgnd2AWF6NOG/ODmCyFUGLCjHPtBD4vdgUtkP7EoyrF5C5kn Fn2y9nCMSOkXI0JVgq4/J7j4s8zT6HBd25fD9QkQQJmQFw31OPrFJ9x11ATHCxsCS22j0MOwSc11 y0l1u+5JLTPJSOVETT4eRKKHNi5R4PotvJRDF0MMLRonZoYTMXO+nTnOEE6Eon/aoZicKJcIyiBz 8SoEZLO5RIyGUvYwBgMZFsQ4VXYCcYjQuOcPCdJH9dR6vYswjudT5li1pZr5eNSg9VufOCyGwnwj UehkiMhzUro5LRLRFJDc+tRNPKe05CDQ/IeV4XzviZyMbZ/PS25rQGUlwm3S5mcGv4jyG2OdQytD lbKZKAktitW7r21d6cnHo/z6VwsbgShdL8McmhkCrNzAn1dLJFdKK2K8T8EVTmUhr+Y7FOXXzo+e d/HcsZROVyjCiJPLCxaouma6pyUfhHAkrJcNpvsq0wZTyDHM9mWRCmDUMb3jv2h4VV04APpurI4N DSeprc1ZnBIbmjQp7Q4TYbtZWxQirZeM+OTN8hDxEpxISzGYSDlBZy0JTIObzWQ+XcBRSZpch56G U8UH2Z9avGuUqI1EN3M+/y8JQW4SO6WXZZ9DW0MEkVayDc/QDFaRr7LcVD6Gs6ly6Gs4qN/1AhM5 oWKdAmwSJgIjVODboIGLdjeAtqjx7RyRXcsfEnxqxKtNtCRs0R6ha8MBZKtZL/mvb42IdKazV2Yx x9aGEzAR3Fni2uMuljvLam4CMKH9qhok8Db0Dm3eckDY138EKr4LOrHUocQ4UjLTk+GLPNhSLueM epL8KUH7cUuEiaSG6aSGUyIsNTzrKQL5+L8I5TgyUyjE2+kPLA5tvl9kC4WeSOnqQ+LvtUyLstft 4L7Q41Ck4GzfXH+wxSf1hUWdaHn97Axzw83GRkUVMElrSBJs6PayQWa0thD72RfV+yeKVo3sN3oi gc0hilK/1RaTTsh4PyE7Sq8HHTJntmOUhtGmF6VQzVyeht46RhFKBGhhnJ82fPFPDXJGvK9IbYBT C+99eo5mBoOraWGdtdDnMMs8dEsPd19FFNiDq7PGaBQCsH8ZQRKqUPtziuIedsXZmabJhJLKZtdr uSrcHuOG7DC9Ni0PupKUco2Q0OIH4Ybp9ckBKvRrSR7CfBZpOPAw/qGlZS8bfGhZQjy//UhOLAKU a6gG0Uv+K3/kb5rs8qfPH5odTvb5twmUg+Ij1ddzEDSOxfWM0Ze6RrHboXR1mmjmrT8u6uhFLvQ6 EI0wPOK1Rk5moSttf7qPvdnLRrg+Q6sVX9SgrZqi/EAxlI8H6WNxCAQxkxl5XCnPpxZCsTEwgvSB YLQtkwN7PrUNuEVjo3+T2qpPLUyxqZcMuqMvDuyDw0FP9JVfc6VNp0HYJOHoAI3IRr9lkNvfNqJ5 qrXG87S+aJ7n5/BTOSF78vng6n86/Yw9OBoPES2Hroe8j/g5qZgt1uCfaEA6UgSADn1tge3h+XOq CU8nX26WDZARtZqBIEBBpu8SuRHwFRSVMX8SUs1z4Ht4Y3Zp1RdNjICJV/m1Fh+PPrZf1lqNnayb HoW+h+CywFk0sS6DnJ6a/nNKuElIEdoGdP05UYtWEBEW81ysJYIRYwIqSwjRnWuTMxvOEH3u+q3V htKjwPlQXzTz6+qL6tmWh3B5tRU+HtxqD2mG1hR4p/rAsUPvQ0xRMHi07uOo20lX2Vkh4I87VCQw P7yM2CW5lFWfzhqEBntjixYmfH0ogwIjnoUPfVx0r1Ecij8kwkEov2aDbQBUxfphw7CUoIhxfjSf D2qR9guNjchYr5ZwDvwPAb6FVEExrvn5p2ze88DO/phY7nQl8xwbILLVX4uOUxlPuYZ5bB9NKS2E 8Kl2TsRlQSHQHmIaxQZzYIB4PpXN2SzqGlvUWjt/dYmZnXjDxyPu1TfrnL4V46nWAgtEqKYgXu6q aeMprrJGICvLGBpylWO7mHmOPRB59ZNCYcvFYqO5j7EcuiKnXENTH9falKMz6v6r1922vWxw9Uuw ElBNW9TDPmWeZGVOcsHH/6VYqZka/pSn5g9tEDmFkHwfpUFK8QSSnRQ1H2EGYIcoamEPSXlpi9LX Fg00sCsMlaSHX0DFomMSx0T1h61+SyDpp5BDJ0SAASBasJovQmI34iloR1b5eNCgTXeLcIxoW7uf OiQwQ8zkxYH2YFbrlf6dVK2chmXL4Bd4rRa4IWLGKw9fjfjXHfFjq1GTd5M9PUXNJBS7yuZvwK/v SluJ9RDYIbpu90pEZK9kKNTdz3PWF9mLj0cd2u+RGjpy0Ga83bXQERFqmm3MpUka+vxyXgdL1vv8 DWWEfWoRUqSqaTRz98Wb2PV8qicf5Wz2bNlKWTRZ9DjQcSFF3zhGtDvOgSkixkWEBQy52w2zpVz/ n7EvS5IlNpL7r7O00bAvuv/BBHePQFYPM/pxTBLeUMyuLBSWWHxZ55/yrZlr8/Go0D++5ggsuS9Q 1uc1MqpQ10N1Tcx85CHZq2uzVjfmoF03I6PXuKhCmpHGCgSea/BLv/aTRhHKdTJXWLc3iVuiebRQ P6D/lkm/401fo6IKIMwmPy0nH7iCYNtAHE07a/TzGhOd99sGWKsSKMJPgHspU5DtNSKqshmE2Uoy zgxuCzX2lwkMZ/wkznUIpqab6nu9gy+dczITr0ZW0UbkS5JjZ+36nEMLl9jMXxD116mRsCrUTmWq 6TCsBYMLitmc2/ME1a9TgzBh2tSoo4+PqMum5jUQOg91ul7NZQTHzg4Z/Dh2zzJOgltbt8zsNQzC qiHioWXDetxOdTnXzD4xCuGgEGPJVVQaNL8yAEyqyMpQAZfI5zUIqo66XoOwmeV8pQUjR/kFnJ/n 8xoB1aekX8WtVlpP7jnm5jUAwrIhRYasJRY/hEWH2vJKxe6ugaKRDOhf5waCg2wKTVZAOPiyOXun 1yTEzADjtlnbrCD8gNDPrQ6ROPh5jX6q9CZJ02VOxvZH5ZYqEF47/+qr589r8FOfUrW7svOg8C31 GvqchxbDe1wgZuC7TdNBsksyV88eQL8GPs+WEgqkfaFAOrx5IPvFyhkUQcQK7RRVODdWByDBthQu 1s9r2FPtLIaxWvZBiSoq3kxUAbj+vEY9VYLbmhseu0hWKIrGuXmNeSoyeTTrh9enRzebEpwMzolp VGSnhVQwN1MNoEHCJwebm30S7LOOKLazwT4nFWajWopKw0lilltEQpuTL/o+N+sR2fnS2gHKr6lD cfbp5zXaOQ/vPr6PG7wDMk1NzWuog+O7oDUmStVG4x6Mx/+wCTq7699XFxB+DXSqRPxg+0PMBwfv s6aOwI8ZBXiLRUrVmfrY2HrA7nu8TFfs1zAHW6oQe055dw1iMAyzujnXyfi8Bjk8q74vKSLP7cg5 n/ga4eAkZpy62TVEpLy6+R7OnbxNf+JYS7de4xuexNxKjRkFBzuJ64CAmOoaa8NhkiwHWkAWFCrY pjG0MPgBn9fopqoGiL6q1E27q5v2xuiJUPb8eY1t+H75a9VU6Vt0m5rX8iHmk8VN6gOwPy82MPrz 7dphDzpe4rB5LR5Wd5WoXZZ1vV44FZjA5/9oQ03c21R+ndANRjMORY1rmYllj88I5oaQxdaINedg ZbE5smxXKN4ZTA4V2J7JgfMClGY1Oa91Q6xU8E5Gqqp+tUYBIJQyanFXknNmbTuJX6uG1aWrqE9r g62bs2N3g+UtACfQfKGx0d4wGKmQYMlcOOaJ1PWmwVHMctgS8nVd5OuEHKLkY6DsFd5S5WtuGg0/ 7ty8E8tw+SamDdmC4lGNwgmxWZ+cCicn495Fs0MqVKPOpQY/i1OHizHtxtaZnLblGEUkMQTApB5j +hZZrxosHeqaFqCObXDodJd20G58OthXs3xdVLDgylQF1/REgTG4DVj5ajJDHGUKXE6/NAkLJv7g ApdH00NkUKN9kIaLm4YR+95Ut0ijI4+V7iJ15CebkA4w61UchehEFmGKd3A2A2OardahYupJeT7v lLJfRzLFhwA9XsvPnXdGGecHcd++UU7f1mlmD9ZAivTiE+wlmJ/NDrMBEFt+hBdPxnpib6rJnvGs xCZtxoJyFs42UGxsby1NTxQfdxklLIWCnvyf5UOSGqYHFbD3ABk5Wfq+sZDcT5aaOT1RgAz1hJMJ mvT/+ZcV49d0sS8gXvHrq/UVHMy9SLGccFcOfjBPaHI3lr/OV0IiKwVLFLkSTufssq+ZanKfdy5Z FWMJ3pLyWm3uJQpcFzsy9SyozzuVDIfPSt+HD5m/zcPAdyZZVeHzxAlZda4GFQsdPp0CHFIVZCVW vYpoevilmara8NThUZqSajAFP1iHr4NY3uSK5dpcUyWL8PBhQVEZBAf1vCDXLyFXNOCjKFkQi5tB EAMsLQvOTxQngxN3bpBuNR2+t7wgwWGiqjKitGb99/dAGeUzNigWNxkHm58yQQadigZTWiUJbc4+ 5KLffHqiwa1XfZ+frXYX7YI04DPmuZ0Fdzrbun7eKWSWEGg2WBdEjg0Inc9PGCy3SjFB04wBGMFq p9M1y2GnU60uGEXLutob/FZs8O0FD5Y6BLU/ESrEq9hXZTMOJebxdbMPver76dNYuJhiI3IwdEIv vFPg9rA/7/SxKlFUTYd6PlA+KB4wv7PHuCuB2pjGyoTzubHG0Wuz4yft6fDoKGQWzJ4+xTb46Qye QyGQ6JzFMN9gmSNREgZWi2M+jgBUDX7njmGNbpLGVu8+qOZ1PkI9qtXn5506ViU+9l3ZWRCdvpfX e9Rsy2cKjnC211QnZ7PpLs/wsxBZg+H2isLmwXj5nDPVh4uMht2qmUWdgKwvVQRFIwG9od7+TWdG 8U4bwy85ZJbZmw8q7jRrhtQOmZgobF57f28v8OzWuKsnips7pgf0CRmp90rZKn4qmSw0UqfKHacn DJwt9inNB5ueAXvqnXX6dIjnJ4l/0ZzsvPLM40rEiJ4ZBc5THgtUGtIgLOL5q8kcAdbnnTFmgdOz euChSuNtqwtGkTMwk1Wa1v9B9lWTun/znH4qLJ+7M3H1oDAYRs4shbKeYINNTzqbZ1PTGvlozyVL +2TzttOh/HBYil41OJyryqW/raJApU9dFfSSP+9ksf9KusAaOKmfn83vXLFqOlybsQojQ3mQAIdw ezbnl8529rxTxbgI1YdowwfvjVaU1LoKYOcSXI3t0wQAMSkfvbaHTlf0qlG9XfznZ7Cz+czP0vy0 +XkninEh9O/dlYjp99jnnSdWJfYJVdOpu4vtOPlt1FINf1izVzPeaWKsv2n5yNCvpSsseFLGczFu 6ead/7xlqVQtqlQhLe1U8iqPosc7TcwqbeSHVR/UGQUynsTes+U+7yQxm96vwxkFw1X9cH7niCEf oRNttiP5/GtYPyuRLETF+xNWJZ0+7xQxfHiyck/zwbbXRNUKNjyYH4BUB/cV4iO0s9QtdRwLDdU+ 7xQxfAj7WJlS/BqMt0LHAUbkfDqInYcHP3gKBA9aB9r8RLEzal6Nl7dq73sLIX6CuOSU3jWq4Xze 2WEo/NMosxXixponjXLcgBFtIa2nY4+JmVAlMYUi/34YvUmvGkzPNLXg5IP2F2r40kTerXzeyWGY nyczZaBcLxKKExQWmQtg+sskgnEUdePPZQ9+0oCijiYoqjILLVaX5F/XlYE7V8Q5dKixy6bW2IW8 Hxq91bNifnB/WgVelgnvzDAG6IWQg3EHK8HDI1MqcHN93plhVYo1dgBJXACxwrPD3qNn9EMlwJA9 OV06i85eIBaa9zuxuZyg9+gZJzTvd2F8OfgFdtbkHGiX04u2JwSiuMBAm0BAAwXVW/pZetX3A2jx AIKNtg8CrgJ0xehupf1554XxqO1fBxClwcvwytg7K8ynZzp8Dm7Vw+gqpFjzgG6M53lAvwfPcBbY zEoZ23Cw6VkgpY4qzEGBmhDXD4q3LLP+1PKInPSlVw2mhxtsyyp8u1V4hsOHdE1xMXzeSWFWxPmK f3AcGQcUHxrVnEHNAiQ8KXomYorEwo7/TMlprTf+eY+e3amXqEsbvK66Vl+9cfmss/6XDKMKgKvn Ajlz84VbYYfrnRPGPEiweQPRmyboCQ/N256CVO+UMHtas4HnOtus6d7vUfRMyYUiPYFKVo9u9ZUe ytzGwand9R49o7bB6Vn5Dp67w9SLaCEKd5zDfstyi4JdO+n4sfMZUdrnnQ5WhWMkkLf5wOmBAQHr xu2caZ93Nhhrd9/RM4stdfv19U4Gw+qhIQmFCiUdOM3wZxRT6j6rhx7mbB3/HT33RA0YDj49FbId TbnXTINUDtDFEIgj9irzS/ul6FWjxjp14CH3YYOmZyyJ49Y88uedCsbo+XtzUawDXvU2PSEgA9Mz mWrz8GEEi8NnCKtCdspVo3gnglVHFoKo7oOmp1LweyaCeeAybwBNeEz8IHGnrlY3akrRm77PTlHh Z8ruZ7rdzzk4Zb90fof+eWeBVYkAfceGeLntN9c7CYxHDzEZXvfppSv22USUmGBg2cYoeOeAYd1T gOrkoMUHrxueCYHtHZsWKLgKvyu9cMi7Qy7NFg97D593BliV3UoBSrr4kHQHERKExdP5htHJ7NMj bi9T5bu3otAZ2OkG3UyLfKY5ZuLoqV6Up+kmp+c9dPaeTqcjsQa/uQou9CqVDtB2hiqLlYgaM8l1 4VIqULyTv5hZcPUQQwboj2nX753pWAYCUC6fd+4XCxvfqUXFKSoXWs5PGDpXmmwYHB6BiorNK7WU HJpB4UqkFu/ML/w47OacE6j64POzy4nOoXUIUOo5kLeyD/SWscrGU/Qpes+/JmdOOfROd+jdZQyl 7X3Ozzvni1vr9gP1XvKG98l5D5sTcSsQdL/YDDuDoKDlDUG2ULV4oppzGlYUmz54VHgWMOpWzCtG 2jUJ24vGDuvP86vhRT33d8ZXlWUFFo+MsK/gfYbXidRKe/28873qAyLUzwb1SAr72vREQTOqQ02C jcJnJJXkz19jrsW0lDQ9rp2w5Kx+qZxG2uMiCiL86rmIftoRVHWVNXAgU1+VntlGZupb7xpVxWgE iVq4DWoIpk6kANxI6ued7cWooH3vLQS6lFbS/ERRM4tSW2EPUmfSswXSaC4OiI6eXVxxyVltdmbv VUnFZFljIyQkJwP9ZGi6UVcazKbCL5xQ57O0fSS9a4QKYzw4GD1PIm06J2ia6tSJt/rnneqF6Z3f hw9BZQjbbYLisBnn4jAC81noMxsbrlqsSCkFy7reiV63pwMBIB9u2fAc/clq8g14mS1gOIW3z1EA xqLLATMufOd54fzp7Fk0NZQhLcS217kXzy7gTzJm5vPBDvt1OuO3POHFnaAocMa17tbX8pawpsWW apkCKIpMJf6ZAAKVlY/2OzjOh/rtstJa9SyhRUhHmkOOovBqvwsIEdPnneNV3XHjnGZ34AEEIIKc +E5o9XlnePENv5uC/EbUNhasMAycKVBK6X0cQKMzhcg/8MbwpgWQe2LBvdO7WPdhT07ECg5eV50d 547qPhsSjMTXJdTfKqgokKCz9cNu/+ed3cXAWZyTvTW4U+bC+cD9dS7Hzzu7qz4W83av4khcxdPS d3JXNWX7BNcU6JE1RHNmtgH/90py16LROucnipznkrateYVfOkWBr3ZzhwTITTQhNiarhedCZ93Z eoLY5Z93ale9fjYpTx+UtkPoeeqA7uPzTu2yysLXAY0kLmXPS9+JXVg/DFt3M42JVIvpAaXmTn7n zF6m4fbO6/JjLNXcqw+OaDnBz6JAO2HwreFYg04JLhqcKJTnFmh3oCT3ead1WdccsMs+fbC6PDhS 6nqN9XlnddXHqs76Fo0qzX7Bv5O6PDg88b4yiiYDdDr5kalHvDcV43n8vHO6nq7XS/yDbLQmM/xZ /dzyvOA3QF/odszHaRVOHvyM4H4n4If1NBt4v58EKnN71XVi53dKVxVh5Dt2llKFT08UO+MMPudC stMZChlKTPs26A+MIJe1dd4JXZYVn6CZmjUavCx/tu753Zt6pnQAkOAUsrhRae7qmenCzfB553Nd QPxmQ0YDE4oFzBXvsXXC53c6F2v6Hh7SLop79OIw39lcVTrt0NuQOy/KY/oXQxXrmQ5UzrW7wuhZ l9dWeLhveFhAbBtbIhcLInlD7UPSNCgxc/E+BGV93qlchvdCwi5CxayuRtaaXEMQdH3emVzcJd89 i8K48JZU33lceKoDTUfCIXuCMxkDJ9Ol3BKvbCX5dxpXdauxPuipxcHBhvtE/E13FxQfYe7A2cG1 gZCbJuraW7ra31lcVXZYZ9UkJqYcND0nre0yoS18Ouoo+9WFfbTF+vDI553CRaBqo+KflwwB32Te DpVHK8gnAcIxPWHsrKIPFVI1eFkjnQyUMiSg3J44umy9GJBVlbnPc/J0vWlQ1Sgs1sAgzAZvCFax Sc+nfN7pW/iet96Mpzqz9nwv9jBwRuBztrxwc00CelDY6hQ7JzJ+lmIY5xaCnFkL6/IQ7Y+HKFzG wPSnps3cEyq2EitDB4TanStfqT+k1PiMgKW0uak2T561/eQ5ISmxSrwx8XQQF3YHs3Af1v1d9Glh 2EzCtuMv4aBuXixrWOPrJKa0C+LiierNiU2IltXNyU835xzNZ1IQ0SCvwAeR5pV5r0IQn456VhNb 9qrBvaV2KaySbODqaWMobz/RFZ8O2u3517WOnlKrfvT0KGxms3uLaCJ5K+8Gtu01n04yCykn72Ez Dmb8eqP0O9xuxR5nI8iC7dwUq+pgBukQaGUgWm7YzJpYD+l/5C4v9rY1qN68cTXytO0VT79PT9m/ 0nYUaJC72/REUTOKYV4SgySCc49PGFycrTQIBMHm6hFaQxC6Lp4WB00PGG/na3fqDSL8J8OfcqSo NS980LiiEcTx9qjgXLOItaX6wM11JnUyaT+hwsTT/+Ir8UFEPPteXD0MmgtSLeLTmZN2t7+uVIhh wbkOL8f3qOBs2ZYKhxwcSHdu4F4ZLDTwJDMlmfeCTiEce9FWuctnEuzTo6hZULFhjlDoVbIWgbCZ tWtk7a3g+YAKOH81LJjXIC61KQq5gNQZJbbsPxLZbVYYY6DJ4znRj5kbLIqbp1x6h1x6x+PSO8Gb 65Kug4dr34lSUWjrn70GUMm+aDpJsfYocC7EOksUXoMu9yRpXcQzBU9HXMlfeBas4NE9cO4RHxA9 OIDEEBnjZ6QhwJmVE0uzKE/NY/QE6LjW48BZWNp5B7/c17mwCtxDgdU4yXY2XwTc8VAdp7KkiUWw btijuvNSwbnKDwKrjtIhKWG/8co+5zweD+6vUb+Cn0EH9wvX6GHkTHFGSa82KtiYHUQqtVhdDJU/ Rc49JgUyZE6UHWlyNFdhtZw7cRZJ1lXCfbjFAEJowPKhKGknEHw++apB3i4VBFGZOKiuOnNS3lUm 3zDaX/eAdmQ04Jge//Sw8kyn1WQEFKSo3eCYdTXfYKD6aoLiyrNYk8IbjgdvCMnkcyyjoYyTG+1l Je5sZOOcTNe7Z1AOukeFZ6qmZpmwazA8CyJymWWMiceDM3qmr9SLbnT1Ju79PXpGSoIzGsikQsWa Qp1MKNaQa2RMpu0bLIqeJQvVxDPhcKko54QmB5RNQZCdSZ1EL6ZQ+3amB3CY9KrB/DB8hhawD5qf c4Y2FTbOsYvHg8y9fqOdYWNb5u169QjtDAHnlou5YVLtSqlpIxlKYPnNDYb5ifAaQLfhbp+64vW6 7HqhFr8GBX0WCV4EBhE7VymzT30INb5wNuEzogiIeI1Wpw/qKZ8rVvHv+Zt4OsIbfk/POTWqy/lw fsIAuksUQlSvBn8GUb1UhgHVKy1KuXJ+orrzUrInlbr2qNTBGAFRIctQaASf41oiCACCA7OzywVs UD0YnxEkp8sKzs0HpV9gAzGEOW+Mp4Pl08rX+QwKAmwVLjE5iqCR8+BXNhk/OaIiO+1luagoC3yM oEdUeJ7TusrJB4+g4YbVKZHcwLooyXIQ2jSjEYRf3Aqr9McYUQQtncM9JAI0puscnpuJEAhw5/F0 xIT7JlJSWJ9ibJqeKIKGXhDtYLW9Fit8/5Ez4L4SGo7nHWHdmRWxlnW/5+d+hx3ryTjERYGTgXxF E8jB6Dj/UCPA86+tV/2jrlpwcvnA5XPWYFHbIs2Np6O+8i8uE6A++5IJRgh3JqUH2lYyMipVGLGT WMu1ELcX9z157VHZmfL40E2fGthYAqAl7ZNpKVQ+/+9JuauoqBO5CmWzblcQcMCBjwjAmOp6LfmE LvMJPdsEy06+351vGHUtfnV1UGyBh6xNT0gU7IsY+Wpt012zRT/JlKHO7QmYnzZXFD1LnKYLNA85 GpseOIye4LzJ3nGe22Jqc/E6QFMO4EC/2yemJ0ZssG5InqAGNd3RiWLse+IbPB1dXd8sXMR4pq7O 2Yli5y42rNs8pNasZ2oaWZVaGq5pOKLYeYr6v3W17+dqX4scWQN9A5U2pdcHRDyafCc0vzTTuexd g83FpAIiJRyQOOFXWGg1Mv0eZ77xeLR8fIK4VMDsXP0ePlHwDHmCs4T6I62e2bTYnF0p0VVyF7m7 ouBZKjV1jzt4U7BPtASH7J0ShICXij+IYJhNtcszxZmFzwjSU6IMlzSOOIiLAvaz6l0nNBwR1NmN iu3wYeaePX0fIdIZouFduEsI9aGEQC5BZsGJ05PZZkJ1Y0RI5yqo6tLVtZ6rq/e028njVJU/cU7r ahsybaaxUr5CNYSCj1BWozInJdJZg85m81z4aeeKxNNR5PxN9EK2lGe9qyeqO4PSg9qY/K1PCrBE uphLAr2bkqHbHNNHFDlP8gMb5pEDk0aW5Qtw9i17x31IuSVR2hLVDNJyDQiOAxOfEUU+rI0touo4 WMc9ybYQTsV4Omp5fXctqKJbb+F5RIEztS1OQp0sM51m/z13304kAH3NbvYwcF7q1DA+5OCnD5Qd N1kgbcHAC6YptC2YsN5FT6dcGu7u9q4RlamJYyGXkLNbeGacxZ2WmpAnlFl4Psjdy3fsLHxD8tB5 xKEzLC829xeq0DkJFL5pLkIqHBD3PkNh7VlmBHtvH7z2UxeIpszd9/luQLgwd+cC2ty+3WvzPNfx IVHTtLGjw/LYdnk+kL2Wac7OlPH4v4obqvRwIV5UwgzLz5X2IMCDUZ2PdU867zYqqVPWBxEdk4sZ Bc9LbKYutk6/bB3exH2JSwk7Rwi2YBIZXMGWr41yUWP0vpghaqPS82KX7YMd0ECdMB9vDU8H89O+ i2OLCLQrPzej4JlkL3lNo7aRRHTHBQ9dv0KdUPrlVRzQMyQLbov5tg+O+UlQeaj0l0L/txSpZRLb AoZPpxS/EZWLXjXaYSxqJMlIJJeRgNZKNhViPh2dQPs7/kECN5JHhzNU2UD5OS/Xv0zbbi00xx0z xr6plI9CriCRqjCy9sH31/mfc6yxtjrRYi5ZEtn4kjSwZ3VeVFPqAMy4+syy8xaVaV8q0z7hA7v8 J5Tg49H8fBefKc1QrgrJjKJndKwhpWdkgtqNyXSCd8fUZasMJf6Zv1LT1kr1wfvKFd0XidicQCgB l4ndRZogsbA4fqz0jHj9M2PMBrUd97iDU3VWU/HwRKCfGdWe98UcyiwU66fc9RPFzxRzXpL2OTO1 yzY2QSVmTaANHva44GfIFaxKyO2ef3QAZoVz7Ob2mjA0K5zJbBXk/EMMuZEtwP7FZwTbS6ANcXH3 w8WFzDUnC0KbeDxoDrbv7hfjdyo7aX7+qj23SpdQ5O5VoN4MnQ1sTq6fSQg/T+cItSFQS0uiVKb0 CBnOhoayxPfzSb7ok2LSqRDAhe64U73m1qsGpzNJlJMMFg0qbUAfgdMzx8DTUWnsu3dKk8p1W8sz gm3A+RweF+65U9ew5JSiFzp+CMbm8okqz+IwQRrdh4t5Pldu6vJvgI9ZWiazcf4UyhpUWLflQ9n9 GYXPifoauxDNxEGVsXPLsrSBogGe/lfyxTfDD/3QCWZMFCTOcFh2ilNP2Wlt1XTp4B9nnfcZCtNV 8SzEaBr+EqAyVWC26Y12Tt+92Eo+C2xSqKD9YNmZZxPdLWZUd2amhl7DHbh4AKiTxs/afDpCRP0q O2Ptpmd2ItiGaJTTAWMmsT8piGwaoSgZNDubo+h5kIHTVDPlYIsHitlne22BWgANZIxUQAksFKqm scV86mIzCp4bU9NNF0INSi5mqsLsnHQRT/9PGj8kUN7QecY0wUxBa6NxNxZzqSFPzKnkZSHvwNR0 hiIbS4tnLB888mlsuxKXMCFWPylcXHnaJwMGOE1QkU+oTkd6eyLqUINgG2lKbBZkejwdoVruza7U AmjrW9lYUeBM0eRdreBzzp6qLBVIFP1nwG14WXVFuI0hueY87uCbC7MCLyvBMdecXaqPqJGiYJgf V2apWq8Qt7FZGaNBiQaj6xQh6lqrFU9HEja/eYLpW9pwhVVn7P2NyM28dZrlpmvYf3bSt+sYt0Lc xlTIQ0VvDt70gv/Q7nazg/+eiPqhoghtzeatOpMbj8/4E80rGTYOPHwg1Wr2TLvi6WB33cS0an4q qvJXFzNUb+blmt17aLSdf9xzcDpwg4xizk8M3OChnEX1kgtkY2QIXsRscmfCH6VDDJQNqLGzfohV fEjcDZ/xZ2GsS+mne1W+Qz+PeSmFMePA2U8f6dLCd6jc5RMyBQF27m26g0VPAjtv0VwpGg/cj272 FYKdVfJpZfjggTMMJRcVkxuUDjcNIdL5ekid0Tgt9bnZk171L/VHyrTZoOk5b0/Yzsm+Np6OTp9v dUOga/LT8lpR3AxtJVTlBzP08wNsSfDCWlKqSCBSUv0RqyckCgorj/jYBo+b1xq1w5samLpWVcaA ESqgLY1Ej8vVwbfEZwSFsamAsEwf1DLNRa6uJ4PJeDoKfK7+GpcPigPpYjZWFDcn1LJWndm4TLWq wrpy7a7/DQ0sO5xDiQ1B5RQY1q/A8LxWOzu4kUvQoBDVyNGBtGojqo3kQa4flNz1rn+CWqgzpsHJ Fp0Qf3SHBh4PUBu/aNyTwvXZg58VRc4LsLqTb1niNehQQFBmN7fhs79yNrLXCiPnIvX4WX3wwvPI ZvB5Jui8EM4fLCCczBVXLDtBKvts9kxXKLHBgtjcw4Zpba9ZWiGOD1pWeDyiuTtcnpEOPoZWEZqf kC0I465BL3sezzMZmYlAjUI2ZaesDs+fEPEsklch4pnDJcOBKtgHN9jJ3HuXQB24SOh2/tSHbCGN lhXFzqz7FNKgbOAGY9eSmN6e+YbB8XzZBNyMoLQ+ideKYueuuoa5nZAcof3VxRWcktgwssWKIRtE wVdjUqwLeU4TfdJUuXxOnID/ne45qP1BgjMrOuzcXzgm8SEB5tlkZ2Xj6Zg6oC9AheYErYrHo6b7 +pogUJHKA1tdUfSMQ7EVSSKwMDaqNwaXZ6abE80JCqNn3l9l5Dtc0NhJstShboCKbfOnzHR7Pgk+ 6P0e/oyhV40wCWJxm3eeM17AbGnSoNmZj0cLqHzd72hl1XzJpjuKnmGtcFIkNk5pwph0Ei3oVDtm o/r5s0OVja16s6LnfqPnXArQH9TPI+o5CbeQxfnCvu23syPL5R1Fz00SAFO56czXeHGUbTi5wccj Qsr3/EBDBK4cPj/v4bPAXjAe9fN5NTMimL2ZgOj5er5+diizMYWp0z4b12+5pL7PSdomFX0yvCnl RAD+RIVqBT1zzEsYOSY+IyrLMzzkztBg+wuNQXMI5CsG99cvjZ+CwiVoKTY/Ie4ZhJ0uwwqadxcn w+2UPT5syefnH7jntqoPt3A4RiHKhZi6It0jKGSi/AIlguR95UIPVnxGVBgjyx2uZDaocTq6bS8E QDsW2vjFNcXt1y+pYEfhM0IOiJ1vy75IhGH2JQ9hmlEXR23sELWhiphSh1YfhUzYdjU2zoDpPTd/ k5Euljda8j/AoTtjh4WxHYXPIgmuqRx1Gi52LfxRTk9PG09HEofze3oaZ+aePiHkuZFUkE39OlMW HjobudKcinQviG9IeD+GPKvsXJMPN7uAhCW4zqS6Z3SLmLsjFj53/c++gNXFqvyOlTa4ubLOoHzZ XidNFajlBOd4OtIX+8arFjqwrDs7UfBcZdhlFdQmwzkKbUyTZMvnY6eVDXeM2eChPLW35t1b4AJX 1JME+cHfSrRiBMQdErvoLV9AOIVIdlR0TtIPlc0iBy2eOmXddWKQhaej6blFZ8wPjvaaL553R6CN tKW0kRT8dBznPJtLMmoTgEuErmJ+Qnk6TYzMFdtjrghz3LTKpBDJ2tgJaTie91ytyZwJCBmjpjg+ I6KksFcqhajsClG74hPwe5xTrODpf1WdqR+KMO6RN9whaANXyB7D8fJtCic2d0qmgSRNI01PCNpg UtqJz9VgO/xcT2M34Q1hvFSHPIXhTwXbmx9UcLR8Cu1q8BlBaEgjs/+SXzv3ylbh8NyZeDoqjPny EWGHRXlv6eyw7My6IX20lbpnnT3n42d2QhN99Xj2xKEzz+RFSAKHm1mMfLZNlbv7ksMvCU1MehAZ XiLunnrTv9xiVivFB22u0RjN/kAgB09HZJTvojw5eLCetNmJ4mZi+jvdWP9D6EZWMQzMGVOIQmg3 bXYiwIYccs6qST7Y7AxI0XaAteWlI9PbRH2fgnrBeI5matHgM/7Q2IB6TfXBOzoiXpxwvePpKC29 hQ3MBSP5clV+iFN5/9TdzQyFHcFRiNKoIBMkKo+Q8MV/dv2dv6Tlz5k7fLgtwfMVOhqUuQOHkNnx 3JCLOtlPQYWyXMwP2xb4kPcpWnJThJcurRu6SuxwtCwSkjjR7OQfiFCZPks8HpkkoxnusxSr1KHz hVCwCDLPJhe0bJAsVhGW0TeVI3cK42dZv9W5fLBZGg2o1Uatlj06vxALQNhsKK7garvl+bHtbSM5 JMrQLtahORg04YTmidi683F8Pkgx2ncNEVIShVQDm6T3GFoq80DXXaHebNDeIgdqBol9GzghpxD6 rEna7E9w8KUE7YhMXnhbADGRXrI3UryKJYRN4+iN5S8bwRPkWm4epucbd5EETkbXGP6d7GbxDwQA zfxdh27UI+nPSgoL0bjs23KEL8CUSuQpy2wIju3UdxaUgz4PsWOLCQcHb2TsjqSJmQY6XT3RBXeB 2XlOTJKn9pOqbnvbSByAkhJKWTkIA3TCCYWL5+rl4/8ieKuENH6ZgaQonAa+tJ3EdFyTTgmO7YZY gCiF8/leLKO9STDXJshffNAcJTCYT0Akku453DoJShtqRjQw/AFCwkD0VPPihwQo+iWY3Vg+GI5D rmGIXeBYkEIgx81XeTQVCLrn2y5UhP46SWdfNFCAeRCdiaEq28k5po4pyreQvKSFFNekmW0M02lb 9+A+h/P58hLpXedL0KuvoklwZhUaWZSpNSwQb35mFe9x4zSBm+YDF8QAe0Q2nCcpY1IT1Mx+gaVw hY72TFGswsHZt05hSxR/QlIPgQhrqaKp7usoiqxl2NmaqkLt6ameFG0DWinhZ4iTbRk7YFbkSNSu PuSY9rJ/apANMno4qK8B5XDGfnPrHSOy5be+KDcsKAs+RbESBy62braCTe4cFFdn31LGKeRRaRWF helt+iPVBy8sNpSYBukqJ2CfKRHSmaBUUqgLVV0bu9Cljh8SoTq6ZCZsaPyuGx3orcJ9aXz8X5BE pnKI0JD0+hSFATYoB3NNO7HnTc96ua2NWVxePadIj6MmWcapBpJu8wenRkX/R4IT+fziVBnNFcwT FBBqbk9zbNrLBtfaVHFaQseeBO6K2KUrZ598PNhoj0GI01ZqHc8chbho9A97NzNGlCq0jM5P1b28 mPu6t1qM7pBDrlKMeR1mTmQ4N0jlvNUgsW641g0pbJC5WDAiNqiAWaCX/cskZMt8cF/zweFbuw7G kKGJys1i1WFFCeZK3uTQfxC1jhMWlyuHuEztDxB5B9crDscUBQaEqDVJCFoEKNfrAS11QqW/k/kE ndmB2MbKINiGP2SMi9ojG4wcOBCaoB1MEZYPSmRBOWNkAx3xHFoQfpFXdBqd1Vj3XUahCSH4GS2l WS2XHZbgnyuNEsiZ1OpperU5cCEUtvJMjuocHGyOUJAGRYPpGvRqU5LZJSVsgbyq/6dNn/9wIWSy X8lA4KCtVunMggN7VD7+L1VW9jmwGMelaOTAhxCPUau23GJaNXGgokI14ju5JWuKQklodlrP5X8H P7DRH6uSV5gnUEWHSd00QgnQhhoPxSfZy/6FhCFJiINarWfPTlrS/yA25eNBTrt/7TSAVvbXFL2H 2FBu65cEhcMoL5ENz7NWoUU9zQtGOTQjnJKir+0Ovor6Ki0nF1A6m7qJzwEcCno4Dd0/q1azGZ0D N0KXjoSQpg/caXCSF9pj5crHo279LxEc/BUkyT5Fsbgds9htJUcIjRieoRmCCOKVw7T/cmBIeLVH q9pmdd9+IpBaY1ap10KAq4rqA55fIckYMFLHC+neDy0Jl9DVu3YfdBoVtJ0YENKSNIqwH6EgTiiC CJRnfI4ifWiqi225hkApyHFU59QgdJGHUe73MIoDbJXUuIzaepZRLZAB2dJZqB0WY6zqZ/Xs6w99 Muwwos5LDlwJ704DicoGfMgJXVqV8dBJqvl4pCD5iwmOQCl9ndch3bByf2WDvLa+BXlddnIL09mX L6MYMM1kVhqb5UtjE7lfl65OT+doYqJ+MivSEvJgzv3lbjDtbf8qi6ya76DG6/mvyuW49rL5/L9O bNE7QJntz8Ufhdhgzpz8kvV7/GsXqdfuanE1oR/tTlLkryIxwHOZdR+8LNIRVG8JIdd5Dm1iZIle r1DuYurPi7+AGaMPidaRcaKGD4J2ng9IuqfsHSPYff6aIgiO1ZafdRSG2OfgQOHdLLAKRfmgSDE6 JyuzPZ6Wmw+HRWwZHCSltOlqCpzFP8+NlsWrO0f0Zra1ESsiESiwPL/4IQJ++SmRGvtWcL184MV/ DqGpJsaJw/h4kIZc1Q7x6lhauclsaFJI/HSaDpFJrJUymR1muHK2LIQxbRnF9t7ijFGWrLmmD9pE c9aNTpf1YEsiRq/Q96IQL5MeH6xsL/tX/HjmofugulHZwjPCTJOPR2mI5/sGJ8ae905IDo0KpZra PJktctk9x1FbZr9yLtLm7KgcOhUusnabnEI4+HGUIdcxumCMKGZT/OQcWEJb/5QHQy0UWg6tCufU iU1+y+KlzdOob5OWBN6Ez0e32i+cAz6c/nw2R2ElG0irSdQuTqNFMD9qa53Kj6BAwyy32FYL7QqH tRnnHRzpcK5HmG4qxD7XTxpme7DVLdYyYtlosZ2WQ79CGX2vrLw/b4eC9LP6Gf5BxZvP/4vkK40B pGpfcxSBQfC3G1rJzpKqBtbLhAcwgITSus9RGGNL4q2KCF0vERqWKx2OlIiONk9lQEc3rnkrrSUP IAthAPyQP1qyZwHN5YNuNaHYf6gz0Ph8FGR/R5CNBKR2o6PAtRD8PBxCieKSWO+8WHAcKbcklX4g 2LG9FstHy0z2v2XeErSDiiB753ttdLNwZG/8JOdzx761Ndab+CFBW7+oE8LO46BILtcRtNK4qHpB PhsaF+aLuVJnFg2Rp7gWOxciPILmhsFCqA/GzjXXnmAhbXiuFlgXqh4OLpAEl/azjs6yKefQnlKr gK6QgH1LShFb+tHWuqaSWY69C7NwM2L9TqscrQHJQcI+z3Tx8f+l1I+bvzyKAzn2LoRxs1xeiIsF 00HYEJbrzYRlGPYhh+aFsvxuJoo3x4P8rNDVqxZkt5oGw6gCPGxh9wdVbBO7VZAduhcSE3miUGFo ttvU9DqzaD1n4OP/WkVKZ/FXno5R6F6IMu6JrE1+vE28NJkdidkPoWmF/R5NUaiHR+xwT0r8U7kd frSLzpqRP1/qrVoxl0LJkGjAjFkzZE171z8AEKAaFR8UPtbMivtZRGvw8SjCvo1HXvK5M85+bv4o xqa55yZ5jRgRL2PPtbrj0xpKJzZHf7uxQN3TBz+NzlVU25Ki9ICxj3YaxP7ZemnXSoMADH5GMEcS Is+s1HLgHBHYIkoLz+soxK6PSShnA2c1XtSnKIRYIxFAwfRHusBskCqdTX4YjZq7H0axHwvXj3xU ++OjCmeIc2qokoarB76qPNPTpDA4rbIEwlLZKHQxrEsEqrR90AzxHuA+S5OPR8oVv7yykBnTXNEm KAqwYS56DuO2HSpSlkFFZHNNqAhLF5qg2Aacu6fo1i+P/ElHqplM/gRo0kL14FQIH6ZbTmMhEIsI QSc/JAKh65gWVnZWO4omwlN2+CG8nUMrw7F+KQciFE3PGgq9DIHgOfGzSXYByeckM3libvYvXdY+ x2aGajYuKVCu9VxocP1rDIbPaX0Sts3WmxpFkJia5TfKOodmhjykSnEF3G0l7AGjeiLvz03Ax/9V NGJYhIMoXTfDHNoZAoeFoFSMVu+sgSK9irUcoe7afYai8Fp2R53QMw1+ny00abfKsyezOim6JbuA CTIy8UZIhgO2Xjbo7g8JTK/ig2qPyJGWkgq9YyRRdeF8su5FffYJr2NTQ/grpeIiFiLYqvhYnUw1 wTewOQrB1kLRtGewjZYgCdzA5kO23yZDIbKpBijIQnlcNLpa16Gv4SCVfJpY3nCplUxtMNIjRxp8 PlIR/I4dGZCl9UxSjBOB1Wz3InZVhRZKH+kSGjprh5qkML6WwsAWTmQ/OJHzvU6+POgbutFPG0Ts L8j0VGQu1BLUQsLNqZeNCMGJ4Ie9fNAcnQOIZsLn/bNeMtps38GjTD/GcxyF8fVEP3YuIzVMYHrk HUq1Nal90OacOUjgbyiVUbQbWcXn4HN07vyTxaiydiLhEyWJNtSpXJt4I9yyUV72tpFgjFxaBKnJ xovZrXWp3de0Bx+P9Ia+0aEM7iEO5XMUBdiY9AYfQxHPCrRpie9LSeEQ1hEXN2+10OZQR3YtY/ng lf5zYJ+3Zktt1wLyE/O0hKO6nTOBRGr1i1bq9rLRrcbQqAm731zTGtK6jbEY4K18Pipje01kMuwE MvA2Q0KnQ6T3qKdlx61Vq2IXcauoF5xvFTuwOsS5pvUjwel5Badzh4ogJ4SFfigHLhZoESlQZ3m2 x+A528v+VRJhpcAGrqJ1MmGBaDtPoxCCfdlnNAbC//bV3w/MDtUyP6eR9/ezdAXpZ1PHhYlsz0Fi t0P3BbiD77SzO86LJPGrNlr63Gn0eoa1BEQQfKOlZS/7J798pu2DTiOwTLhP4DzJ54OdNr/FUcQw 788chUXsTvP0rVpRkwI7dXHLlkD3uZ/38KpRbHgocZ3yDJ6D7LY3yiI/0p3eibWTDHpcgeVq7U/1 sTd72QjYl6kbIxGiYgo+AP2qyga/cD4ekax+NfgzC1flKz6Kq9io52KSoHV2zj6aRaFjBPJEIw8W 4CAD0oamh3atTcZHHO7dT5JHloLezETIlXNTcF4QEpB9ZDEkqUQ5sD1MvLu5hLIPOo8aUrWkM3vy +SBCuo7hXEkAGz2Ehxw6H6LBgFL8dsZDW1Y3alcFrV+HmxxYH56/0yQ9LRjEeGAQgL1WGtVB5utk bV2iM3TNLSCWtXRNSsg2z4H34T2z5RGwvJ9wjrtUuigza/HxKFn7zkSKSDy3/BiaH7I3v+m5zObs ykrWTkRMtW4hRZJns6H74aJ+aS9SlSnLz+xaqddB6QQI6WHNEilSkRhTKBObTcnaUHgU2B/eAwkY MRuUzw4apKNS1gofD261X0LLlD6sDxw79D/EGX3ufVPuPCF9lqnoZnlQ+WzLF0wTGCDeZK1L571f nXe4ynVKorH6eOIsFnYIfP2h7Q19A3StEULED4kaRgTTtC0hfCw9fC9IkFK042ec2IvP/0sVhOka amv16c0GHojgFiHT781lQdqyhFbGD9LLndmr2LEJokyg/0u0CUJKDYDXLDTN6IOK1aScUFSqPdQ0 6g3mwAQxCdoHE7LkgzbaWt34IWPz8X/B1qgBgQYdwSM2Q6EiNe2kUhcd9qRtW52jxaSK1cc0mwun 5NgHUYEjr3ENtopoIg4MCDYa/BIKVfdQnFdjtKR7XtMUgR/yZ4ffWHzJIrB17ka6N554dFY+Hiyi 9qvBD2QfkwabopjfuInnk2km/ICW5bMjWdsxdVqpaBGFQBGR841J08dV7S71hCRuuYVCIbVhwPSi psM59RDLGAiChgo5dEMkmwOc1uSDwI+jdzX4d6p8PKjQpotaYysEC3uPm4YEhogWY7dlXPMGuKsC yD1btwByQ5bW5iiKsXnywke8+XA3WkXHuojFh1YLwf+JcGDcwySJmPCpWA+BJaKE/4nlzz5wjs5v aLno2eF8PMpmv5F9GfXrzijS5iiU16ugYqkai6N7daEggN6tVujvlOXQVouAIjJk66I99If2gH74 gmilRIoWBFmFEMUBh54vSfrfEmA5MEZEu4glfoCibOBWO390Cbs41+bjkYrBL0Q/y3vXWurzGhi1 /P9gynRyBWvmn8SquspM6tYtogqKAqPXsKhBW5ieCiklH+zOL+jtd7iygZ5/8ilJpDVaqS0ZzCEb kfg7QsfXoKjJUxXk/N19UHidypCkVlt4+GVuGrQalOm3n2EhEX318B+cXySYmrloUgu+KDkza0rj c9PiWK4cizVRLp5gakY24ffmg+ceIHbVLVbROahWl/wXcasoxC2c2jN/YdRfpwYxNc/1ocxjWOax wAFl3ej8Ciekfp0axDTNpkYODMUbsZia10Co4cyAZ0BqQhJB5WGbn9Rm71XaRM2sal/DoOYdxi5s CAePFOEkXgalLxaaBVvizCgSZXheqSIrSwUcdp/XIOi8qJzRN4rzNuiGH179OBng5zUCwryuaVMj DRqeN8um5jX+aZazwjrNSh9EMVPw9Cp6uu4XLOhfp+a2hOQFwMFWDfIwwPgZRC+K4enAwSWEFkYr tzbEZtvnNfhpil7gMjFJAAX3iffPhvEQr/l+DrbPa+zTHjl8W86JajDN5uY18sFhg4x6j6pqazMJ 2AYN/uFOkXA7NhvWYNl0Os+ysWbDxTeAvsiNDcoeDglLMKgoNc6dUe+Owr36eY16cNioF7Ry9kHf cvTzvUlOPEH75zXo4dPta92gn0efQM3Na8iDfYjThkLB4sSkaXyPJh1qbCkTgYeFVHgQ85iRx013 9ys4SHWqoKMNxM40sA2ICeHkVXGV4ojURVXIgPi8hjv4Ebul7s0HP4hFZgTB//Ma7GDZtG5To6IP qimMwjg3r6EOjm+wF0eburxPxj66+UPSYFcIfWJIaDIRzQ0pMEMyMRx8T6VdpFQGJbQyWpUFR2IV 6FyDECPxeJnO2K9hDvZUKWqN3UEEhnO1MdY94fj4vAY5PKt+HTeNSMZtU/Ma4TQUJJgvN2E8QFMw efdV7nEDA16TyI2mhl94FNYSOdhJfLZoPaeJuScAUU0EX0XVpKBOwS6NxclDLxpcUjLFJodIg5Ae 80SW/N1Rh36Nbfh+1abG5YOR1NjUvFYPsaNQCwaV2U6b3Ja351fy9jwNOXHavNYOm5sa9zK2D75q cPo22iL1c3qOhfwcNxW84NgJYGStTHR3CnwFc7OYqg/hhcblsSHtzWrPU78zvKbW/7mmCn1YOTmv VUOs1MEtRRY5EnbZbvQfEHbNmORszmxAqteaYfNCRq+0POJg6waiMbuwg9pzP1nFTuIoUlwQcR8X jrki0ZfktWKIN+Xk7M5u4e7WLdxzbIplA4yHh6NravjCEYhqIIyuHvlFUTEqdJD9NtOEQUYbPXu7 GdDns6fqJd/9eVN1s62bj7bX5M4SsQy6DclOYyBRKsS8pR9jGhdZrxrtK+jH0CTRBkGns6TtoAXK p4ONNcfXxqKZIwuGmp4oMoZKzLm9iyYFjA4Dl9ds0Q5b8tXB5dH00A5yyGx25CudR+x+kzjh2QId Qnr0q2OyAq2zDJVRg5j1Ko5CtHwqrytDdzgZ++zH8xvrnM2Alkdn8v4+kyE2XIhY0vxE4THOjJZT 9jhnLLM7pBmgxTmZSQRxL8H8bMoz9UUEFQfHldO/qFLaAhzFSZ0IlAlhaI4gMF9e4tL0RAFy76/S lGg7MrarDSWw9whZwpaaHuYZqFnNdfOqKESGr9iJv5uVURuxH5ielX13wSzYDuZ3MlnLd3cx6eTg uysj9Vbn6+RXHQZkarGiQlgoJ3Ydx7KwCtHuAnLxnMnAxGjg9EBZMKmps9CtiE6f4YEguymN3N99 5+c9TE5y68XtotMH3tI6fUZ2YW7YQDhQ4T1OhukvvjVltmzw9AryPSTDiZbQZClxwkXJT5huuXbX VNHiz9MHm90HBYNjyjmzLHTgo0A5Z48G2TplBLeHZ1jvNDJ8MVDtKl0OUNWplJP7DwX7howzz5+i 2CHXz3usjENegvep+uBBz0kKJ5UjkWOlk/ez8FWp4wubBNJ0PR7cetUgkciy8lvDB3wGyAKNKDYk tZ93Dhk2SvLtxcpgpg9m9yzrnUPG4xlLXHrBQExxJ6v7PpZ33ymKxMpgFC+ryTWIX9dwkZt9tEFf 7gZeWk/m6oIkBy4zqCLcu33oVd/np6npLrzUdrxUptoTJ+ikRPvzzh9rTwX+bDBOBs76+lzvUdAM pmqrpLsSwUGVIko0tOVFr8JOKTdYFDV3hT2FWSYHP58Rukl/70QcZyVWky7HMkDpipr5bhm19Krv E0Q9wgIBPh+4wU6QlsSMOhH05508xsrQ/LreiWUTsJXT8x44n3vnbP8GS1SlW1PRz/5Bs9yky8sQ d6zzzwT7i4CakYnM4OCw1jVx10j5taLmLnAwa9QTiKd6Wzis2X/eiWNNAhS0ykw+WH1HR+W5kqAU E0XOO/n5k68l5Jr3/IliZzSBW3cZ99ZHsXQ00SNHbuqZItSdf+bv8Ef5aP46nztinirl1woA7ZIE GFuq5ycgR9hkYsTQjIJnZK8odVKAmoPwiHAeEM8XreQoenZ4vZYP6pOZUFTVBqPgGbS6unurFh2y DEXHMWm803YDvtgqDkbBs53LVPnX4DXlc2gAvprJYB20+8PumuwDMij8orEUver79bWpngN/JR90 fU2c9piesws+73yxJm8jO314VGXWbz1jf+eL8dBC169uQ0UnSmQg/EmjORZhAi7A0+edLsbrXTWe uXxwPGtPzChYBgOiWD0J0Mige9J/em0Ppa7oVYPrSxND0LQGQ49NqYj/wBj2804W+3V/8ayhKBaL xpqgKHwGzquNYZqm4Kqo+weiUjEQInrjur/euWJ4+Wo289WH27Q5UU2GbBoWUFmZKebetOFOSE7Z ovWqxtS7/hEAwdJk+CBMNKp2hLPk2j7vTLFf8TMXi5oifj6/E8VYKkTNvZPNg3JY6/rXBpVS3N6z 2Wk51vlnosqGqj11+eDJO0Qk+hZF/OQBoERhZROxMszp2MEsnQ3kd56YZ+/A9VYfjL6yJO+BtvTn nSXG42t9zQ8a/ZBV9/mJ4mfgwsErdcQ4LXt4vdfWnNfLviY3WFRnVucGsi8+OEqjQvmMdyFkvIDW 3KoXsn6Dk24/tN6kV402mDFXqg8W/8Bp0tL38nmniDXBYL4qzbW5NQnnJyw1b81PtQOI/AaAoYTs 0wFUu1F73gliuL/UnBg1+eDhzzhzkrPS9zaHiZuf7QEHnvN3cTdYHV7OCe/8MMQQ3FcnXms+eCEe 9HleYHN93vlhFn5/lX8KhWWa18be+WGYViSo5wyonqCaj/pmc044n3OYGYXunR7GOIEFZ24UDZ6g Ij+tlH4+CSrcA1ldzGywg2m3U37KP0uvGpQOkdOCvdN9EHi1mUc5gGmfd3YYv+h3XZUl2jI8v3in hjGtBRhTGktoWlSzFN11O2++QEnEzuf38FmhLctg3Qc7f3qF4Ty9KSFwBuAQT3J086XyXh6hk770 qsH0TOZfVDDXYPvrBP2EMJ08bH/emWFcP/s7AMLU7HWbo2HhedNZoovIe/bDMuX73kgSRypXyVlj APQeP3svZ0jlZDwqJyc8TFCg3uIZLGCFCJIayL+gTVoJXjHw89CrBvEhuam7yNi4OOkJMuhq0ECV 6p0W1qRR9jU97D+n7NMThc9YOLRX0e4C8dviH7arWR3b48Y/7+FzZpUE64a3GAebHiReE9p+LG8w W2WfGTJ50GLS8WPHM6K0zzsnjLuL0wMohA2cHsjlqqJzcrrPOyUM0eVM38VVepgnv73eGWEImoAn K9Ntx7I08IG22axHE5HRXZXinRDG7ILLBqVHGzz7Oofz6KidQ3FhwsZayB+QjegNOr/0X4peNequ 89TBLW2DpgdQVQU/I3/e+WC4X7tnX5SJ3QzrPPh5p4NxxXYqmdrhs1hzIkOl24UPrlgyRYp3Nlhz Wbw5yZfjoOlBXtf3ppYVOJcn5lB5epMfT9kUUY42UYz8iGh2qPKRdvFBjYuzXYdy07P736lgPJp9 8Qgyr6a2z04UOoOVCXMZm52uesY4oSHdpgXMgJ0V99Y7D4xHD2OeSdwcB09NZ+pAgnHxLGy0rSCb 7wc1CCS1WjwdGJ3POwsMu0NekESJaGBpDFmYPFvO2f5554D9Opl5yxXlyj49UeRMU6Q0h0c+yYTv F/RrvTCf3NPmnQHGvg5vrsrvPquTaqAyAmHmZZXDXBhDmOtfSaz8lqteShWKdwIYfwJaLaSqAiIC AsIzAFUkGHae1OXzzv9iaaN8RYaVVu7Lb/Z3+hcrP+LtTM1PqUWnEGwthh/Ngv10/pkgs2BFbDYq 4XGw+RnApEC6n/jmCc2o5cVF+A2Mp+xT9J5/TA6ImtUHA+7Ct4Jba87PO++rPSzLJlMJ+knQoFaT 8x42owkNwzFqGBKhkc2XFoJgLqzAspMWT1R2TqqHibAzHsJO2ci5RkkSeOvQJGdaUWi3ejKn+dX0 oqz7O+urydqToJ7mg671c+zrWj6H5eed88W1832twwqOMvI2PVHQ3AnSoAS1QBoEDVKiaN2sdFIq qPPPBFmX0i2p3o9H9b5A8v6sncEYkNpuzEozVH9ghAU03r6Epr71rlFZbMpt4w5qCoJ1w6jwTPvn nfFlQeXX3kKNhBwGzU8UNYvZUFI1pEbhIU2kxryYZjh46OKKi85dvXYWnSt1MSerGgiGq3W9zu2/ Mnchmhk4lQGaQ5pqWftIetc/sGFgVKrug4Ojc4LO0dCEDTtx4Tvdq4nU/pVWIHlrz/6Kwmb4r9e9 TaAUhFnzwk4rTUtLBzxjtb/CsrOwqDJ9Hq76jP0FMdpNAtFZQLBOq/Jbhe4rWoIwS3JRYMaF71wv C82hVzLZW0Za2tTBgtIZgf/jHA+fd7IXOzTfdflBwcpbWH1nejH0gViJlNxYF+MKgiSwW7nkAuiF raCo7iyFycEkQcMF+5zgeaQiSTcAyhkGFJR4ULT/WelKctDN6/NO87IWL6gGBNBx4AGEFSvHwhOQ ft5JXpje/KttQQxn9sD5nePFA4jHzlazQie1DCETMbyJ8SXNJRL/TFR3Fryw38HKPhuK42dZqm1a 0cJj1r6RoGGdwUTC1k8n1vud4dWuRCmlFs7AHIfc0ZNdsm/Rc52fd4YXtmf/lVhAZQyFbpufELXR KA1AH1pKlFMrcSDkQgeSBK8F887G+YkiZ8WGfar5NR/Mz0lKzp5lEbOfuOVE4Sr7QKQaXm2qO1tb EKfI553exQXAsk+Task1fYMmQ1fd+YTgn3d6FysL8yt2xpUARyufnzB2huZ1kuoWwsTmpOU0rtkG +bRM29/JXc05yw0S8zZ41QegqHOUseycoGLVJOCKHAAX1qbwptSkUcj5vHO72Jgh762zLr/6U5fH 9pXu5lifd2rXf5U18MJnt/sF/87ssoC9VXfXODuc5/M6YRdvE0qTLuqoc/2EwTPLPkMadhxsflbF YiH4HF4kpZrzzUTxHWa08zFcRfuInxHc70T4s8Rpg+73RMc3Nu/L553Xxaf3r9gZRcNbFXundWF6 oFOyknUowEpPlpgWCxQzbGOtaPjO6rrTMzNbfhye7XX++4nxzzr3WFUg2angJZ3G7pnpwiX6eSd1 ITOV0SGb7xqUeqWiOgF4iJ93TpeV9J/dhbO68B00PVHRGQu8kXZDykCpU6fzop4Um6Z50uOJuyuM noX6lpz9eOTs67m8MyQullKvk7pLsZxFMbSCHswPHas+73wu5u0shm01vXZxpGrfU8Fh3+PzTuey XfLVUy6Eqc67ekKIMzAtmWUTZqZ0jWPPInX3HFsX/v1O5mpq+OMeZ8ePgwc/JzHaGacLRG5KByye 04N2Tic85W4u3e3vXC7LfsmWbD7Y/KSkzXGC3M87lYtHl5/NmNdtGByfnih4hoQwhNQNUVfYKUHi To0VTk+mIr+YA38Hz3Nz9XDwugYS90k54jOcIF1lxYEISMTb+Rw9XW8alDUydX4hUm6DNQTXUL+h 9/V5J3ERQL6+9lZn2p69otrCyBkFZ0sjAN2gYyV0ttDntH7FBIpMsxNDndt7WQO40rms6gMPnq6e D7MeSCMjBHHFP3Ra8BnBzV64eCBjbgOPnnPkDgNE1Yyng8AwffdLa5VLjE9PGDcDjjnWsJO5454V U9JVpTKVmW1vRQXnJCTCJpaHg00PmkHIW/g2YBl1Hj0VEBBA3WWtZ0Uxmmi1EK/BFkxGhccGrh7A YZnpnaXIp4N+e/mOe4AwO/mAF8V6GDcDt7Cn4eTrpsk324H7amr2vizv6u9xs7eTF5NMDX4yY7vC XpQn84IEOVNYKloWPvXEzSyK9ZAEyIRrA5dug64gdJZ5dJyDDU9HYN5LPlEIebb28s3Vo7AZqD/v IUMXgRbW1EVYfvQkcOq1uXoE12DigVpq9cEKzpMIlrasm3MuRkkfI9rBmfaDX8aVIwjm7VHFWTrs uzNi4SC0YYIwFb/yySp6FDWne3OxKna2C3k2mp244IxyfGpmYzzWNCsf1EJvTrqNf9KjgrNB6da4 g91bONQhJi8XP5D9pVkLbHRDGSdTEsEYtkT79ChqngQbzk2hlpMHVZGQMlMUIhPGuTLxfICmK7/B 8tjRtFTTFEVFZ3A+AdIwuFjLBlfdcqlXN5lyeNxfUdxslfZKVB2H227vSI+Fh0pjF6l7n2jlrBtI CtCC1vFiFGTtUeBM0YgTIxO2ykF3e+mmE1lGwdPB8Vy+C4e4j+SIq/mJWIGDbrQN/0XqITiIDvr7 4hVARhP/Jc7PP2iBWZFhfu52eHGuJSdsSPwkQg8AZ8lo/+YfYJxdMYJ1wx7WnSdlEAQbW8jtBhfQ 2a0Eup4UdxU8Hiyg/b2AiIqgmbLmJ4yc8WprLOnW1a1WBeti1sjIyGuyIucewTUISjl7S6j5tW9e 2isiIcFZNuRIOjvOCbTi1hLEaqcfQBSqxGdEebtkxSWgne0O2HCyZw+plsk3jMo+9Tt0BtE3r4c4 GRaeF117yO7azFCT4FCp1nr3l+uv9yh0lpBWb+kOfr1vFMaG0I91QOi4K2+nc09B/e3CwSkJ3aO6 swyQqTJsg8FZJq4A5e0Tj0dwsfx1QtORjpRozc977Hwi0g0ykwSiMFNSXKdqDb6ryExUXOL+imLn vQUX69sHXz8FWI0u+uS5CrfaPxWNgzJwCKDm43jDpFcN5gel4lKgqG+D5meeFcq6TT2nLh6PEvdf NMG+v8lePYI7gyfRMvVskZkmOrYhM6W/JcnsJ4Fk3QfzE8E1dIWNpfYO78GfqsR9lFXpG4UrrMwp SzYARCpV5KkRob4X8gx8xp9wsZmXD9ZSnmqUoFSLp6Pl8308syNskj6cnzB8BqERBFuWM1qSdu8m m8nYXmk991dUdjY4SxrDh5u5nyyQxWzcX3NkqRxDKvX8fudK2eXiNTq1WHqE1+gy1shj+KDkC7oE 8upLDU9Hyyd/hc8IC+Cs4HWxEcXPhKvSOke6ol5BXF0CiARsTLehG1HdmdUtuJF0H7ypDGfWSd4H DLFZGuMRPrUyybvyuiotMkYUP2fn6SwfhBY7sRDhyucUy3g6Iij79uKthwPxIZqOKH7uObEulrW9 Vkni6OwBtpfJaEC/lRHiCMvO7Fqc3G374MkpXNBKYY8Kyn15ymQFaQ2UkH56r0/2tfWqEX2bSTv8 A2zg8oGG1tDpMTeejtrK9Su9oAGNLFY4PSHYuQHM20is4OlsFCboIPj0JJC9xG2Pqs507EhLVqAw lUeAwdVzgulBuVnJQE6RdQcCH0pj1tsUxMQNfESAxWyMepYcRCje8iOuzu5b3t+dbxhxdb4PZxZC 80VsjJAr2JFzicGEojxZNgx+pnPh0pSvCDZXFDzr8Jl1aXOR+8DpoRQEPbyBhlpw6+E1R9lWdt7n c7dPTE9IFRTBfYvgvp3gjhKQqHAnucDT/8vZA9B5abenPKLQmT33NE1WHd0RKWIDSWyQhASYjs1O FDqvIrhPaz54ywL1NUKa2REE4kmatYiDG7p00A3xs4eljREVnSnDVjII1Bhw72CKThS9G/OwceYb j0fL57vnBdO7TKUQTVAUO0Nq+nyF4fLqipgXzDCqQJn5xL8eO49QVmOap2P2wbkWa8DWjcn7Gucc EhUOMus/JpTeLtUUYCN8RpCdqqjR6x14NoMGPc10ZuLp4PBZv3JTbISZveo8QqDzANB5dPED4XRa jUkwt2B1mbRFYTZGBHQmbR1G9MkHL6uetHHR7JRMQTSbWfrBSZMJXkfq5c6XS6/6R8sLXYPhg87m k8dzek5qN/B0JMnyzWSC4c359109UdUZ4ukuiYnwVWtmw21mmzg/LId89YTqGuqYrq7iBhOZrI4g 2LfVhEf6CVNkQU9cCooZ6GM5DhwHJj7j/fQZRZZivNk5qOHeS1NLZq2NpyOexa+GMrAVSFVsesLA mQ3TYoCNRg0hnc3iKROwMZIhVUcYOBO93LtolP2hUc4OmHaSp9NJIIcAUYX4LQjfZYpzaH42RSRG GDnLYYZm4ZmehzwzMiqOBJudM3cvPB+VNvrXDDU2vdLVrQlD54ZDp1Yl7OBZN2MyFTMuIiTBZyis PBOq0absruejOktN1UYt1g7NXjQ4kZoOYn42tTa7V+ZJCMCHRJioyqC5Tx8sCh50eQLQKWU8/q/S vKgoyFCKX+8zrD13qv1nq/3QaiPTe1d3OpV98B2YW8wodl7qCjYxdlq+UPmzEhduc7vez2VDr55K 9WlLjC9mjPYXM8RsyP0C/HQbdD5nrkIKUzQ8HU3PN6RlEZiXPXaeUewsh8JhNnLwdcgMCRdMQaj3 TCYT0BudfyZSSuD97j2tC5U/F9Q53QpF74FU6Iv+qOcvMKtA7EwPRyMqF73qn1TBXoYPnJ4CcImc Lyaf/lfLHbuSkea422uGOhuYHgbZgsoTTEnEhmSQGP4M99ydIVGwiOgl0HN6thegeaieU91xAzxJ xV44IKCygKbbuExTCgHMsPZM+RpU933Q7toN5lBM3Scf/6eODXYioqSyvPI8o+AZBr74kU1TjKqL RKwmMd6RmU6iADg/cfAsIrcQG/kLsXEePq8v80ZAwbOcMCHknHlKY19a4Rn9p8+MERvcEaksH5yo M+XLeq608pkh3Hl9cwnYwR9XIGpG4TMOZtgHG+JnAwTI47lRUlRcAjAleL/PkCjIekXfu/ngkNWN I7pJiBfyoFSVhYMRGxHlBxwnp1ogZfvMkCiYeCyjFGKDz88asidC62tG0XNr3/EPqKNlbm99zSh6 BlHgrJrVzbWRnARUxuZgTkHMBoSHdTrHQhu84Kf8rebjb1UGTWtkaX0S3oJmKZ3kEKNV3J1XaAO4 GL5qcDqT2gdeqQ+qbJxsl4jlNsfA01Fl7Pt0hqUBiUI2PRFmgypRlG5S/MPqAk9n6WxUns7JIC0z 1qXrqqguH/z4OQurQTyL0zNPOCSmDtNmxEk9P27W1N2fUfScSBNMLMlqUGFstiQdm3Nr4ul/pe6M mfBD76uCNEOaIDw/M3t5VNlI2/C8rThcNRNNweR0htFzE5mg3+FeXtD/bqps7HEONUm1QeQ3o/qD ZN9sm+hvMaOyM40DC4WNbeDiAVh1Cy62+fS/8FCMOyBbVWT1zemJQBuA4RJrYizKMZzIlMpV+UEt UYdzFD1P9tuHRFTHI6IK9UEYBYhrsRsiOho6onpYIJNQ6G0xH5bgjILnlkQybcMHrp6yqb2Osyw3 PB0hfvxs5pux457u2RyyBDttLaqDNvQvhM7MEHg2JzgvMzWdsUKdqqrP4Kkpij7n0hbb4ryVpWfU C0OdnaLlxhJU6BNFzkQlFCxCHwTaQFeXoI0TCePpKDL8nVlQA8nPnhUFzsBZnii2qt6Ds6eKTTBX 0ymE0sw2hfQVgTZYADx3lmRDd7qYljJOPFLp2wLcGEQh5byLIwb1wvz4MkvYeoVFZ6bsiRaEGrS7 YDlLx+HzY+Dp9+npvxTY8k7f6oYrLDovFMZKGxYZtiYJgMk+s5UNWWDD2bNC0EZXM7ncwWEJ55je G3AycnXOrcZTCn473CJwj/GjmcJt+Iy/sLz/V2Njw2udX6/uXfF0BBjzwJlQBtwMY3rataLAWWmX dH2A2qD0NhncZC8ItdG3Hc0rQm0MUrfH8s4Xf00ezWftjJk684pEtX3KfhOgtM6qodHcw+Bu+Iyg 6ixbnSVQ5nLUaT+pUZNHHqQx/7e4WcZDxY/mFdIEF8piJIWyLDa3aoUb8ZZVDc/NlXSxrxDp3BUw P4Nf7LADTBS1R8cU34plVbhBAVwnMKZf7EmvGlWdqWXeeTRz0PQg9CT4PeeNp//ZcWeKloVXs+mJ wmYgCbC5moTRE6On868F0S4RLeDJWRUWrr9Zgn3o3B39hoVSimfHqCEtp4jGCQup207gzwP5WUAm 4TOihiAZgrVtH6xhKik01B4znv6XvJi0DCfn485PFDbLCnH0bEQmagbTvYIeUiISoMusszkEbGTp irXtgxNR0slvM0sl0HI+sYaUZ+EU1NDbAInV1k9hOosPiRAtXY4MywdjWoAnLvZEH3g8QrR800wn bsB+y84r1nMGU+c2dQYrxgRkNjMdgvxaMUDmCgPnorxL+vH70Y8HYOwk7qz6nFO6TYGmWPCpEGsB m8CqPpsd0xXqa0h21g9pgpe5vlHMw6IA6hmP/0uATWIcFCL3ts4K684J4DbaxwpUV4SaP5cC2+yZ WuDbz58ocp5bE7OHDxcMTtcLdpQhIDHVlC+4BgoQukS4WFWMZfkVhc6Sjyc13QZusHy+QhKgJfMN 3zfYb8AYet7lybtWCHdG16LophIRxdxhep+KgkxfQ8vnb8BGt2MoPYnFidw2IFXsegF7K6mJtNHP gclzVmxIFiflkvEhESKKdR/rnQ5vrUF0c0kg6kRueDxouV8peRIwKWpZb9d0hXVnXGBScGVmKlIc 64Y9e2a6ls9QGDxzalrZ3QcvbJyIeQBLhxNogqWCIgB8kzrlB36QgHv4M4ZeNQp/uMGg6muDJgjG Qqqrn98CjwcX/P6OnsHVqflSTXcUPUOxD91MpxNQxAqQjTmMgZFJ+NP87BCyQRj8qNQX4eBtwYEe Q5FdbjonqMCblUHepjn1jX/kuryj6Fm5FwUAbOBnAJCvvgVKlng8oqP80klAqrqvqPx+D5+lXEJr LTugdzFU71QzjGRrQss5PxFmQ+oavav+0x+FVdZWaYjBvgXQLZQCY78Lc0PbHHMTxpLAZwSFn8YL bJY7aP2ca0h6LWiA4fFAhOTSUaTXhzN3Xw2/HcKez9S33rc1TsfczoWrrViECNS1TVAIe94C04mK Wx67hnMod/T1tzA/pcoJpCWqv07EX95XLrRhxWdElTGmpUMth+FaANBIkQIxQqAdUgXLd1uHSACW xzQ9UQCNskVLj7lpH93Tr+npFyHXmp6w8MyCzn8rsNXzNc7yAVsKfYuTs68uAWwk77gEer9tHVXG dhRAb1IFU1Hj9DoJLtgSMsDraePp/0mht9XvvvIOEc9Y4WlnV0Cq2WU2qMcostdkLN35Z6ILzDyF ug+eX8BYtm9q2KAKlIhCQPJOxB+UpO/pw7L8joU2iEYYtfuguiEMRbc2V8XTESLqt/wsdADWPZxj JxRwmKq1kBUHSWdDu4w6G0jEtHhCzAYLFpC/9OHODoI+AJLRExzQpSPhIqs4CkrT4yBIHZIdyjuz nbPZwdWgxTPKkH5oTQtPB3fXpeFSzwUytXR/0/REmI3cpLOxrKdcXR28CL0xaKE9LXjeEWZjSAUg SSbh3rsneEbIk7bE6U7uAsQz4bw47jddzJGcEjFGliI+I2JSkuaelKMmU7jddSb1dM4hVvB0lH15 cEhtBSycfXOLHcpsIPLY4iaj7T2yujtzV3N+z1I61/TEmA2WNjaN3zgYXees4rM24X0AtOr5H2HK mhT0z1nLq4yrh4KZfNWIC6ddJa2EUbwoDzIHSdypDjwdFcb2r5O5f2vT7bjqjLtD9Enm7paRIndv dvQMhuU8ev7GbAwJ63Pw2HmBTIOCKS4upNdUaQGy7oegtH0rG3tPvWkwO82Uj6oPnJ2d6ha2+iS5 eDrCa/xSfiQDrzyBTxQ4wyAdKAQFgWdzZSXxJwDKrvx41pSRvXYE2BCCeSxtrvVsLjou0WQRkBbU a1gYq7jSobT6M56jmTg8fMYfEhvAskwfdK/nJOvAMk7mtaOqM5Xyn6I8lnO5RzPNYN4rY0CrjuYs 7gFwEXCruwC+XKix0ZKL/Kgz8rp+SIOD3aAPjmiB31XvEkk4oXNtNJsGcuNkP/CpYnFFkB+ieakw +55dCu0MFBcGKCkreYdmB/syMNvlH4hQCb+6pqg6Qe/CJymUqEPji4oTRYB5R21A1lVCGyiwTHPk TqFGnXUkdL/n534fqP9UgA8ydI3HgCELCkAIlBZbGvOxnRzb3jZCJrBlWpWoVudtJhiv8CPxK/P5 IMO42DH+lxFHpVuBzuk9ghbh/ewkE2DzFBUmBdLxY4UeIZJMClIIfBYdV/uoj/nl7Q5aBCnUbZ9r oHQi6yVHghVEDQEDbxC6ig+J5ogXfFMRGpVVhtEnS+1btO4xx+IfCCKhBz4mqfD0rQqQU8gdJECz 2W0Go0XrofbhCJfzmzm3gDZ8759fCR2jwpEG3285Qz9amdi5lovIuYmMbviGIY95UtVtbxuAEOUl 2OR20dbFAK0hEOFZ9nw8OrO9Gt1URKLpT76TFIXTqEG1Odp2o87l5TKpiCJgnEDfyo4oRQXpYdRB ykKNfJ1wM7rOuxeRUCEMk3m+nyScMcwmUdZA9BR84YdEhg6UZmsp+WD1jgyi4g+pkJnPR0gOB4qT TEsgGPifd5bCqjRmqYIbmlk1yxR4bnTdwdEN+ZZReSpxKYWyz9Ns9JoPXraH2lanie65IOhdTrgm dDArnCsoGWRoIN79+JDgchPJoLL5s1yKAOypMsTtOlkZHn+fpHrrijJghlldf6YoFuGgm9WwwmIi oh1ZPcThram6Zr1nUhRbT3V8ivSfS7u32+gjAxEtjbaNOhHzVpyalUYr61oXzDHtZf9KzdYSF3VZ Xr/hbqfK6dydjweV6V+FIXIJ2+UyCwLxetxOCHFsC6XPv6zbvGqjXwgOJHQx/UCK4uuxpM6iHsfI dxX1sSsEw1g6A75M4FeaKRMUSrkklYaoV8wPCfIPKZWIz0OfBaydTaw5tkk/z/Dx4Pq/dEu5W1WI d5Q7RVGIjVrEyaDM2xQO7GYeJ410ATvQ9bQpiuQ4qpryU5z4eTnxqCPij7I4tOnl6frzCCJRs83t 6Y9Ne9k/cYnrGZSijTUEuzzpDh8PNtrV8GXcMOhw9eWvF3MKUT8juob32rW4yrQg4xxBkcXnKKpQ DzPINX/um6adXx4dMrDaKLaVAOPkMkKlHnW1xkC7qoBW7GWDEtEUPEiqSdncMU9IAdgFVsZgFBm6 qPyKItHHo1uvTVHoQcjTci/DAoEAvp32fVX6SePWFAUmhIB4MBeZq/vgvEIWYsm2RxHthHtLdRDA rFEXgtSycXvkgpEDF0KsVd78hGdoUBu6nSnmF4SOeI5tCMt3G5EaayC0+hRFgTYYoi3JspzJbNOx dM5AAu0zpTmaZWs5MCIEuJIq0ND4sMGm6CT+mI8lavNZB9LU7JQNacju6v9p1OfYiLBkbbHpg3Za z11K+3NUPh6E2U+rno1O2oQTJG+TFAbaDWKIy07n8y87hDbuUEtpEeL7JIWa0CKmNoPjPSQxwFrP y/PEPpN9FpTwsJm19ESS/EPySfayEUmMETa0HDio3XoSqEmf7x84k/DxqCbyC+6BKsS+PJYcGBLK RAHV/OWnkVnPAHxgCgsn7th+GoWOhENq4mnfwdfRiapBJKJj2tgLZxIDcTTrkR6iLOr1ajakc2BJ iK3WyYBCzVEDt1qeghDjbqx8PNpqv5DkwCvh+vApeo+xoQm8L8AeEcBo1nPNrlQvLLuFRoEpIfqh Uj/ed/BVdLbXJLUO0eNGg5QtIYTWBWliRnjsmKHqbxuhGqbyteSDoWJmlczCpi9pFGOX9c3kRTO8 PBplOfAlNEOUvSm+Jdbq9toaFad4HNHyRzstjrB5VFuNrT+YRaA+Tow6DTcEmNVU22NisfcfNkzs OKLQSw6dCTOrIqlIX9ydH+aGe6Y6Yb3w8f9FQhIhzvn9+52ikHDY2DczdH3rqYmZudPVkISFRPNl FEI/+MWrECAcvPO6T0I0hryLzpSkQr2ghfULO4If2hRclc1pbxsER9Qf3U3ooeYIHCBgE9sXKC/w +ag04gEkD3iQuNsVksyBPSHiDfRcF4tsbIBUSQfBX4WQPMI/nLaRA39C4O6J/zBP+HXBnZCKbueK JC1zQ85kCHcPSn8twAbWZDd/oZoBPyRaR7jygRf1QWVaNJsYHE17x2AdzV9pCPrYLT/BUVjGpoVR G6b5UigECU2KgU+l2DgMqLc7EEd1bDMvUqG2P4XaguZiWuBf4axem3ZYxIAwEygwPn8wMuzA5tCl EMQA6LTKg7h7XQSdSykmnlOcj0d5yDfGoVRpu985ChHU9Jmb07LZnFyvlTQUs8FKbiSSY5/CYVKI 0wfvFPV1sqreeWSf7DVtEqmamZ5ChCo9PljZXvZP0c1chw8qHfU6TdC2DD4eJfzjexlRAOspr4VW heekwOIZlqrBl9uRRH0bRaERpsCLP/QqXFJ102oaX1ZYnWzEShD+Bspc8q61Fjle/pQHRi0kWg7N Chcv/pN/2OC2MiNNOa8jgOfzkfzdhTpwPvDp7Qq35tCvELoWbRIKjuPoxOpSytkjUb9s03Gl+V4L DQuHeL7/DUeDydwCIlJoGWjtsOMISfQivTdvqeXFlloOHQtNud5wn307TwrCv6x6np9j8vkoPPqW UEQFq9CH3OYoVPFAWaSn4kwp1G05RyyVqASZwTO0OQqDbCWyKU8fLtkFrk9k3Zz/+AST1SzRB4tr +WcmNz+grLheNmKysu+oytH2ylFG3MVUGFIDjc8HvaP0ndBa2erZbKF+NNhkib7H/8FvWQ1LfRaU yQ5AyqMZLDYHvoU4j9jYp3ybhnselQWzQrZmIRSYWPWGawB+Evj3fBmDVnvZoLNfWF0TU28RCvYj sZNVmjh0yGhD58J8y2sMFGhtTfSoTVIYZxfkS3lbtlbS3ta+nsn7s5u+rLKOD8HVxDSMsasPvpDg 2dPzFO/lhJqidbauBu2WhLT1r6lmlmP3wizszM4+qIE9ILqNdXTuHD4eMV/a9zpC7eBRHcihfWFD vjSzH9qTRm7yYVkXH4K2sx3aIUBEh7b858bjP1fOYgb4sBj+PNfW5W8NkgJYbKxom9ytwuzQwDDr tm7lDspEziKWEUZeevxfesBKXgF0B8nW5yiKs1FQbqMs9dTaSZ6HxdmqbgOfZr0AzlEoiifolaEh VrrFo5NrwpAFOIq2NnJYyVNSKB3dXTYg1RFZ0941oDBQ9K2awEc26ia0MLo8ivMafDwIsh9mvVIR RNr11kVCF8MxZE5sKP09zU0DTUfHqPVa7hTFmtLjFcNXOyRzKHAP1WRUwsSOzqCq4u5v102DcBV+ RjBFSQbFSkRmc4ZZxkLC9ys8sKMgu1x2tMyNGvmjzxRFUTZYOieNHZISOnHd6JbQqthPsMhmlbbr 70SJCMXs07yDlyD72VrZ9GEWkpFkdQGQ1PcP3bIExFLhKDQyrErVEotsW4oMhH3UKeHjc7fw8YjH 8BuIXjFJN8QOrQwTPY9IghRcpDlchEKZgovQjUITFIbYbKa1zfix7Sd+LOeQ2nu7FSbU4I3JMLHK cZW1HzkLZRTo9CF/UIXObhTgepmA7Jp9LDWlIb2dQzdD8sU5I9Svmfxv3dM6tDOcLD9uu/XPZA07 iSrlCxk9NhIeuc1CP8OpwtGwe63mZ5vRmrEqxK7Q6deFhgoauKqz/IZa59DPMBMEWmuuPug+67WR WAVkCx+PAuxvRBYVEWFH5jMUxdf01cjXTjVfGnlL1u3PUAj1gyiwNETfidurUgSFw40d57noWyaP /FxnZRalu0iVCyKT5L2Qc9R2e9mgxZ9UoK3VB1Ufz1E3jUOmd4wQoeUrT4Mu9Jdhcf7D1hA4mnqV hrjUWX0kzlJ44jK8FxL6GprUEKITG7zDP5kfaJ+tExVBGQuImiVFWTToy0Wkq3kdOhuCVUcy1fbB y0Z58ezDrcDnIy2vX91r/qyXbp9Dc0PQzOAiZ3z7upvhZq0vQt5KcdJH/sPdkFBziZ21L7EziOCi EUuDdChCZ0NogJ2xiAzNvo6A1NTLBqHjUuhYsw+aIyxX/iwnytNLBgl//jZKyJieNm6JNjY4hAvJ 3GbIAqcELSSgL/+P4kfS34n6jlxBnQkGh1taO3FENafiunaTF0Cmvi6jbjiCeN0oL3vbP5qzKD1X H1gUaYMelRTOH3w8Ynde7D7mg8F9euqPgdEhYlaayZD+i6oIM6r/QOcY1QrWH3NHY0cgv9DpcAi4 plSEgwfYZz2f/89EpyiIDaE0gWQWXwXgwkl2Q5J0Q7eXjaoigmBL+n5k+yXgGi0cJMDAfD4oQCav 9S9GnXz0TlEUX4M2AsC4qRNk1vGIXhuXX76l2pn0d96nSGZRvZPgysHXUdonpkPCihykn4OItbVC bHo2nO/1eM72sn9J56VWhg9cRpC7FIOo8zgKcdjZz2x6A+FXqw/AL/A7BPOo4zhyGMQJGIROX0V6 aESKrNtUCw0P5ed3juTug08ResR7D1NnOictwTSZmBp6fpR8d1pa9rLBTivSzcvZB2NZtVX4iWfO 9ZJRcJS+4kfxzPstG4WWh9DCaZMmMygbwRpYlX41wnQcQfJUZaPY83ALxa9sP91sv3bgseoW4GhP OCmSiAbVl4JTqvan/tj9ZYP4UU696K3YoBItBENllIUGduB5iP7x+I4fWXaATJzPUQjJhmdv6zyT IUi/kmzrNr7FTyMZlgGB0LSh7aHonq2JzNgeMuOZLqDDlspGu8Mq54cAEtz26Gyx1G8hJNlEOTA+ TBSDJkS0+6DjCDo+hHqcjT35fFDrv8cR91Vr36SHHHsfArcOZ4MfebgoLELZiC8ssMh2QYccmB8C 3y2dlH4HX0gwPjzHPoX0Tty/a1VXCWEE/cRaui4lpJznwP1QtEDsMgkO+ocsIHZEia1r8fH/JVcr xBs+tf7Q/nDS+5ky8ujOmqA5wCLUIld3dhbP1WL/Q8roTMHSODgzBPlsqYbtP5H2ot7eueYgyoFo CrOqXG1kf9kAJbqU8I/iA6eoria/rVJb4eORntV3ZY2QzPpUjUIHRGgStFnc/nmmZHpxtMkwqAh3 hKYo1AWhyOKoffpw8Y8TDuJFOAi0BnliNaojAuVJMxfdalQ15odEOAgCaSZLYguAMqYPe6UqMfyR yuLzkTRI/7r4G/5MvX43OXBBPB8L0cgukR1qg3g+C8GlH5PM5RnJ4yi2QewGy5o+3CZ/h02CrrUT WZRCVEmjoxh0bNvDTqPmYA5sEA0iet6LyssceGKnVJIimzE2H4+Aa/k7WUNDbzwckcAIEVUjZna0 Dkf9SM43FVZ2nLVFZL8rmuc/nBBFfxCyPz/IfvR9Tx5j6jIneslLsox0ZwEIkuGjRLvbtpf9C/+4 La0dbmY3Tx7Mshho6Hw8Yoj4ca1SLAt0V70ph26IxI6tuZXzn3+ZutWJ8pLDacYoDqcJ7BDRCzFq fvLB5+isyyXGE+VUFrEwm6T/ihowpQgNKkJThRwaIrKdmhcAbjYI/7j6kjL1+RH4eHDx/yaqoe6I uNOnKAyxC6gPNbl45ZrSutqwfbQyP51ZbYpCoqN8J+raPtgUzfPbo2ek83rXLKIjxAwwRQNMvCt+ KuZD4ImoywV3mVD91VD9+1yPQ75QbS8+HlSO5i/1bii09lKerRZL7DXiQ5K22gleJktq58DO1cr8 vdERmlstQoqYPFpR6ag8+rnnRl7n/8o7CYT9mtW4Bp0IgTaJ+t8yYDlwRkSxlIi1OqoP3GrrnGzS EJxr8/EIAPmNOIKHU0nXXOrzGhh1MPGQnm3T4oEcoYTA1pJqCLKQzusSgdFrWNRlSH0mZlLIYM4r ZIC/fPLkOZnJnvU0svwpKNRLiwRss2n67wgdX4Oi7gqNmYwnDYquIagul96Fh1/mpguhTGjTDYlg K83/4Pwi0dQgZly1m4zT3Kbzuan1ZsYcdThtJpiaTnj67IKF9scVEVXdccIUaTxslNvY/iBKHZ0F tq5n/kKpv05NkvHECdPVbdzWFF/9fIQ0ZgrKsq9Tg2Z39qkRCb34usHcvEZCPZNa3zodzODZ1ru5 cuxE3wzi02mQxbl5jYO6dxjn0Nxc8ZezbAoAXFXQ64kGwrqcowzcvyqyslVoetH3ZcPybUlkN2lQ LL2Gqcf13T6vIRAm1uCy/UdgNtYYfWpeA6AuvmAD5s6Ie6TcsRabrD4L+alqEL7X8Kej+9Z01GQf vLEIHGnGQYZKbIHbmVDpOFoH8uVya0OdbbPX6KcrfKG5OncUXeQrw59z3KiFv3r+vAY/XWaBmhve WkjLWMaRMXc0Nww6trv4ZgekQ4e/e/ee+hk0Yo22FBEyKzFCXOkKwp64dp0TZmlLnXwenXZmGFgE 7WwnOgPZlqKk52vY0wXfRN1VZY9y5ZbP1Ayyh87iX5/XqAdPW1dac1NYymUGRnOmYG4qYURuY3f+ lew+7zfkgbC21fBfA54zN2LDTIb1GnxuEO5UcH1BHC4Vmp7ExqLcimB0LxeNK9S8/byGO91pwwRY 2aCT+ISc0oM5UdXnNdjhfbO/pwbFlE54NT7xNdTputpQ1BUKBn37ag6RgjGQ5sefjD4T0dRQKG6V egc/iQucqMhcpqJDH1QcbuwEInEiRcQT08kXDbaUrnBx8qtz8jdaDSRNtJXH5zXIwVF1Txsm+Y1Q Rp+a1winW0FiM6D6j0yKVGidiy7VPG0azS+okhsdxF16AWaSVO5pA5NMSJOzpdF7roIwdmYRiHTZ pbEwGciJz2t0g9kXr3OUO+iSWlvVMQRSn9fYhu/XvlaNAGcM/zA1r8VDbijUfEpd1p2vu3h3/jGm rS7l8Fo67MLwoI7BWgWHW/FBAR26KRBTLucYJggkN6ujWE1DmSjLvJ/XyiHmBvoy58uwKDb7L40m eRtRxDO6pZLf4NVuqQKisSbntWqIxQbzygE8PCenN1P42m0N4ylCOj2bkEMY3IgR1JYPdoH3USEj gCT9nLm9W3m6kFwOlbbMhWPGSLQmea0Y4geQLu4QSXFYqefkbHRKIxgPDwfHTR9fC6cRm4QwToFf FBQjm2lqj1BfcAyV5nddVvsBJeiW5qOoWI3CKUjrFFiHs5Og99GyLNk2VCWXXJGYl9diEjKmcpH1 qsHSQRO1tMJKRtOMkMK5phRyduPTwb6a30dOxgnIeqGmJwqM0UdoaWXdT5WyCgSX11oFY2BD3gPj MDImnQ4HlQ+2s1B7PG8GaBFEvs6FzWucAgUNSjwQ/naAGSWeP++ssu7w+1TkeFjM8RApCUkWZBV/ 3kllOEJy+g6OAYEqUmoRlCS6rxAdZ6LPePKspGrzysJ5UL/q+s2/M8q6OkRMp4YPfpefxPykZ00c 4LO9EvdhA2Dw7Fq03i4zcWl+ovgYMKciIe7ietxYP70s+c03lMDeA+THcNXO5W62HzY9UYSMGkNr w8x5z7+ayqhrC7RY6XyHiFL90+BkpkhpgvmgD/dk7rD0m02XViky6GAai1465SXcdCwLqxClVrzJ C4uoGjg9iBOmUquFbkUYI5fv04fk332n5z1IxqG6kXc6hZzakTx9Rn0CQcgoWK8imh6uid1IAeLg d/o6h31mawmuWiemJO6OvM5C9Qdi7rW7pmoW4elDs58GarAGhYLndJNEzkIDPgqTxfDyrJymECcG 8ojnnUbWjZQg2BqKOnU10X/WhLQLEVPwZErWfn+PlAUHQQ9n3+Eun5PInPSV8NZz8yZtwA45cDol kKdr5VNVmN9DZXgCU4NItr0cSCKbGaRQRjKtft45ZB2dve9YmecJMm2fnzBa7sJLrYuX6t57p38L gKCJ5UYWBqNw+f8z9mVZktxIkv9+lnh82Je+/8EaIqIK82CZZrJnpsGqoWVYIgwKXWTprECXtN3X o+2OTxgu6kRIb7SnplBDixJbuGLH19U+9Krv0adJXLDyEkvVkUDn3xwCJ7SZ9+edP4YPaNTvtg6w MrgVbH+ilBkqLYDF2fkq+idINNRkKTPElX0WGOXMdEU/GyFXpP0Vnet5gc4DesIPpN6lfY+b6uTM /WfMKz/AYvLzTh7DN0rLhEopYy0KP7BtljZln5937lgXmutrewZrrXu7v6fNUkSFMJDu9EbiMnum XW2vRExcN8/Dd+YYXp1l1ty65Pe6JcXZfiQZhQggKHssgTvAw86YXYJr6XbPLCneeWNdzu80ot2+ WHNnkat8LiRIxUR58zK+jx0vfEsLRhDanihxBgblnMJSrfOFdps6XzAJMTf1hIuY2xNmzrRRndYB W+NLP2+erYCtK9qC55xlDeQTc+xTdsEXxVVixM+MMmfk3AUQ2eSLYRHn6NJ9wRw5Sp2FpvDmF8cA /FurLxilzgynm/I1yg0tIzz/jc0nMqT+zTLqnTDG7aFk8Nb0b9/p39mece4+TD1O1VVQbVVZA/CK Z0r4xWEpetUgOBNbR5CSLX55URKMGJnPO1usy9xI2yPBKh5xP1zvXDFGrMLUuXlmaBOulVWuV2WG plf1ThVj1qZBBE8OF5/ZjHOP58LtSecXPYkQPNuDZAAjqF7bw6cretU/112Gamk3NsMhj5XmbPPz ThRDaC+38prWICxpeun1ThRjf4iC7lPVKIosd95oliVC0anb4O+dJ4aXl6MfaGa2+MDmRJiUUWKj 9Gp5SAQ+g8jAbynzS/KOxtS7RtnPVC9j+XLpPRwlQ7Lq884SY+78XXtxmrVuA/WdJIbzRSLdMqNV WG8o5QEReVt0rpQZQfh554jhhye1UOv0xQt3uPVCQA/nK51tLMyyK26FYj6RxYEslAX/vHPE+Eso wokvXxSeu6AA2HY+/V8azOAQ8EDb/kTJM4SLIBhsyty51maoumEJEYCH1fSX3ulhPN2sLRZ1gbnY AetgAaAnRtzhyY/l7dskW3K+M5h/XE5v0qsG2zNNkrv5ogMGlowsd0/W/nlnh/XHTr0b9Qmjkf1s UJQ9oxCAfZ/XpqjCTf/VCjJaghqa/p0c1n2wPqssjeu3pfHJLisFKXqChs6QBgGZdpCHYcdy5sc7 4Z0bZhk6QGIi95AYyQ0ao5t88J7r884Ns29cG8SPhQZ4zauLd2YYPjsEgt67F6esgIjx4S+F93vJ Liv0TgzzvuwJ9GzHc/H9mRSHloAnHUvZlgZK42zLoPnk0/tZetX3+LOoKVBIwtUi3GqnfzSyw7Q/ 77ww/kW/e6p0Z6dlirYnSp7Rjmqzm2hwm9TkkyWkMTEhT9qsuHgnhTGxxcGCV5kvFn8qRsOZ0uen uDh1mPUWmxDjkL9/VE760qsG28OOM3X8bLELrGcBiWvD/rxnz+hyta/4jEzU9IO5P39sOiO9VXFa NMVZPyc+UIUSdVwlO4n5z3v2nGghdL4b8Z642P6AaF5bp8MhCNDD/O04RwbYsBK3YrjnoVeNsmf2 xMbcvlh6uIoE8qBJ9c4I62J0a3twkjrF9tLNf6LsGSxjusLbALAU64zBtdEHgFKlxOl6z56zyeNu qjFqeTqr88Qvg4VvporMAPDfINniubTwjCzt804Hs+E0itLdfOH2pFHlVNVSXp93NhiSy1+lKTsd nIxrdBxlz+2caIiOOSacpt24vSZTEaExmsPm37lgzJ752TRSTrlcuPMp11vugqucvEiQHqpUZnxJ ZV5fEurbfd65YBggLGHnOFjnoq8n07crUUzm804FY2s8fW/PptG3fz3vTDB+sSxIjc/cFvFjZKeQ wM3gA6Fu256w8UwRgT3Yl9/j9uV7gZPQ5Jy/nRQUWkWM4miTw2eNwlrdqClFbxrsDsNpxkzXFg0t APgQ7OCc/ncWGP6e2UsvtZgLL9O7PVHu3CgaWKptj8R+KRrYzOL4lKatGcHpnQR2wTxgP/jisfkE 5rows0dsBqaUYJ4x+d8MDkv96wE7hq8a1F5Ug88SwOeiwwWKougEnW/4t9DMOFUk+uDbEwIzxElx 3wQI11ntJSMXduV3sdjzTv+6Y4st2OV+YJfn7LJrZZDCc35GlUY+iZa0bSpXvJQSFO/sL4R/eZJQ iAIIjfMcoRn4Y4l2mimXzzv5C7ubfqWGFXEUd45tUJQ779Yp/2HojDKsHUYxTQ/O05Xw3rlf+O00 3e2kKnPx2v2E1BO9SLY4ScoahRu04AcJ6MB42j5F7xmNksWwyHcRbPfsalbbZ87PO+urP+zBUwuT r4M+Xe53c97zZo3KzrVelsMzXFWht2oMglN9u6PNO+fLYGyoKGrxxUIz3JzOV6+uPOCJuCQxtED2 CxeC+TXzorD7O+ULt6PMxjqJKFzsYh9d4PjR6+ed8EWwxXfdRbGpve/hitJmoIEpRusQjd2sLt28 zTOZ3hCu5LcTNp0VdARfWd/wlVOn78WPuMOdfdBi7KTNSH/IKShrXzZT33rXqC/GhJlMcC2aCZYq C696/tzPO93L8oLn6qqQ9OYB0/5EebNS1jqL4TSqCU7MBWibqSn07VdX3HRmvzCzvTEzY/PkzLQP IBAyGz8kZDMHKJBGP2EZgy/slNXtI+ldI2CYGhpb7Q0kvdiWjcuLH9MJgf3zzvX6lRliM1jeEkCi DYoSZwhgw4TZTSFv6pNrdku2AbKOzlfYdlZjgwZ9WjwzhJTFXkKyNNz6vLwaSScYCFL73USBmRm+ E73Y9yGGBXMD8AU31NWZ5CHIEs58snI+H5yw23jGF6QR48VAvfO8rNnd0LuyyiuZHsfOdDLl7d4p DcsvKGo8z6qj1e/iffleYCZGOY4N+aQhPComgRRWWunqcbBZ+XknebHHwJICgl22iJ2T5WSJUcT+ vFO88IblG7NBXCIplkIWRqkz8qM2SLdkAFpTyDBwc5Y1xho1m7A97wQvJqZZ467uizV+1omftULs HzkKukBkU1bpSp0PAMmpfT+dSO93fhdRBZNKLlO6LuCKsjCFyCPh/qe8np93fheOZ/+VO2Mos25j /p3ehYunUhiAoomQKh2kUg5qAS+ZbiTg6X4a9yfKnecUVpddLy6eHFZQjWiuAXzhBuIF+wM4VAVq h51nmwoirn/eyV1+vqBZsXxR4Q5d9awA3cfnndzFC/77+8GVUNLz/YTJ85xUcMkG25UGJ756ml+I cYKsn4X7O7XLJppIiY299GBTe4MnMwUqIbw5ujyNKXRTcSPtb91Ne9UI0yKZG+PALd+fk8WkLgzY WJ93YleXmvPz/VDUHloctj/v2TOmJfh3m5UUMEAXgWKbMRIgMrt1a/y807q+QD9Mbrjc8NNBNtnL psrnkiKtq+MyQqiFgY5LACON+bzTupjo0+w5U3aLi+531GHs+5xz/XlndTE7qN+fDzF5ty/2Tupi VrBQkGZDfa82bG4xmlFNM4a1Bhl753QZ9qOif9F8cUT8iT6Q/2VpCu879cUGvTyGBAm8NqX++eed 0oXaVJa0k/0NLgQl0AqZJukndH7eGV34+JLXXpVJJVq0F4r5zudiTgDVP+BXSOQu9Bo4/wS7Kplj wzMWJCGerjB71u3eVJa3S79tp5qAY6IgvHA23urcNxx8KCs8iB/yIz7vZK5uQpvnr0TcGBfhVE/C vwRy2uPzzuViCXVjs6Y6+HAuJOGdy3UhG3l7W4yqXJxa6MCx8sJvV19PmD1vtVM5EuXixcWpNDYF esErhTl7F3aKpTPtaO7h0t3+zuQytClbGssX25+TgvLuOd/R553I1R/ZP8Ue3jzp1l7vNC4DCkHP oHthasdswR9M25MrGaGkDfwZscEK1hYvTJEAFrKUoIp4PicSJhd6qZnqNvMJPfamQV9D0kjqOVfv OcMrwlxVzof6eadwET7+nRhSVmQ/N1eUOTPzWa04K3kvtQ/3SJeVTHkM7U4IdCaye6u04OKhBxSL ir+4zlaTjsSkjBDjz8pX7g+fPX5GcLNnVqZDuI1hU5F5KoYtLRJIbLUob8Zt+gWQr0zF7sUV5s2F MKJukXlwTkIzdY71GJnLFdhqUctZOINJB14tXlicdGZA64eVaSFAARcXs3wU08S3WFeMPlotypsX 6ZFgJPrCr6dtz3t24tPBxL1+gzE5NePURKyTOG+G4WEysh80BdOdB9rXkwaFwUk7ec+bEZnZTJ1q rc7HD7KdyDBovsquWIV8pEALHMtQ8OHmzeyK9b9QAEu6i/oavTfrk/aKpwMsb/pOmyu5h3ee06O0 mYyqLGMaQDf8cM09u1vRbkY1HK4eATbkfEBOhi3Wcu4JDUJImGBceor2mSUj3Wlddn4PyJRMN4JQ 3h71nDVnT3tsX3S4wBETUP5UFT3KmtOtulhVnCf2zXp6mDRTarQ2b4rplJ2aFK4XXpMSSIKksEcd 577ZDZN23Xy060B7OKGBymydfJxGxEtGHDk3o4SWLr+WcJ8eZc3GZK9ismPUyV4EoJB1m0ddK3g+ APys7+OVgXNGUu9bFHadqeg/jR+J82BwOkQRw4sRD1F4vqK8ebKamtlCb75ozHPEIUwPbbeOFlKp ZB1AheTUFfgw1754Oqmx9ihxrsTxNnpqadHdfupbIZrKKHg6CM+5fH1BxIeOfllvESUQUzj4HGJ/ QJwsdL0uGEhT52+z74NWBPcnTJytrjBY3XQ8Ar37Rlqat49zhBbrrgoYP2U7mBWYXgT7hj3qOy+d rEaSF3RKpdQDs179wH4CCh4P9mfsr+sLLhWVbsranzBz5sedko0EkzCq6It1U7MhoM4kkHrIC9TG DDn5jNuUP6+AUNElFnHuyilf6ILGb0M/lwocCkAU7cTPCG73RnHRroPW3StrAJsgsPzkG0bn61d8 Rpmxprc1eth3npTPJIwOrIKaDA9F4z87Xp0RCNsT953Fl9RXlC9aFWIaJ4IhtUVinPANkYtCDgyA zsT/GhicctA9ajsz8qDdvHwxOMtKQ1yUPiYe/xvXgmU7aqXavS/f31Nno6KwL1+owNo53wKchYKN ojJRv5nHK0qdNyP0Srq/0r2/atkpyzBT3MmxpEOWseWD9kvpARwmvWqwP7RaqerOc9H+oEtgctlz 4fGgbm9emA6VaRRM8P2J0M6dfn3dTDFcjOUUpm2w3CJYHhevwnOE1xA/fel4LRYJP9UEEM7ubIP7 5EokAkWPzjaxHUmBCI29cPXjZ0SATPbjswyfs5NLz0Yl4TV623g6+nw8eW5qplXo+dzvJ8yeG7Wg SLaQV6/mOiuPLWuajEI82f5EXedFfOy0W2w+Q1OoilaqF2PCvdKSo3ijqDo4nrtcwEbP9qpRZcq8 sKu90adVpjBTX7LqSw1P/42Y7M002CpcZnKUPqPgaTDfUHiGM4BK0zGGi4r24X6PI2o7T+GhhAXj 4kNlTL2AyuT5YlGvJGmRh1JJuvK2Kg0yRpQ+i+9fihAbxa7ITZy0GWNlPB2wk8d3eKawPo2WtD1R +gwpq5OJbB2qtshx/oezJCAETUMDiSsSxBF3nU1fpPnitWkBWhrgHHSd14mgLF+hzgnyUflBHn2L r61Xjbjb5DJNzty56PM5qYlZWc6NpyM0Zv8+XvgL70v5HyHaGbdIn9WsQwaw/aQybYRYbQ+MmY3Y HjWdKaJy/vqauC+SQAeLLyhhY4ZMCUgoES6NfdANoBrtnQkipR74EQEWs1Ozdwuzul2JB+61nej8 fv4Hj0czi/x1uqCIgIamb0+UO+Negl9e8578LncmeKU0htvPjCh3lqca8HBceBcMzQRP6OxouoOK AlwnbWcmFQULWpvzudsnticEbPBQZVLotNjIvWwhCs7W4+ko9lyeICeCqHPbhaqOKHWm/HxOthXn n+qyrmFpTiY4aZhvT5Q6y4139XyXC0mAEUYSjzJDTYSlRcfPKUDPoN64wYetjRE1nal2WPDpccGn jBi3cH2xWYCuJB4Pvp/2HX3gokWlItugMHfmTHAXXVRtivd/7pTWKW4oee9uROUR5c5rmrPj9MVn gn3Djr0QrApqXmJtAVbl+Vsyz2uXaIp4i58RVKe6vJIur2SX1yl9+zbNx5Mbjgjq/EQfst7IZaLE kvYnxDpTxWdP1+ojio+gjZaNyA1Vjy7QxoiwzlIn3pWZMRdPnvtA8m2q4Sc6L8oZTjifZ0RxIqLc +XLpVf8w80I/a/jCA7ZO+iCi4O4DTwfJz765s0jx/A/39or6zgSPbnoh/7Ooddq5P3PT5Yu1aSfA gd9PlDyrKfa0N7g7nAnmleA1x9YhbQplEAaFvAIgOruNhgVHzMTPeA9Ana5XLPBt0cgdtDR5fa6N p//GtWBURzYMdX/bnjh3RuuwmU7oKd2XTS0gpWrxB7rxdrnHuTP3pcr9oj7uF+P8nhJs04l1HpOk R3MuyAu7xp619od4EfyQIHmmRh+Aoq5jyKiRAY/KRImc/6+F54PivV4FMYJ/Ofe6ZMoRps+guo9p 45wG12ijM/GIi85Elie3KGw+G8h7JV+8/GqrQ7HD6HDQ6JNhOjYCLLyMzrE15zcuUvyQaGwqlnK+ i2XCy3R6T6TPeDxqb9wMiOcJlwStkrlDM+w/A3SIulH9n/NXKSy7BCDIZhHiBcaMEuhF3b0lutvK 9fbHJiqlgStVd/zJ5ligYsZOtnKTnKE6iPaqQYHKBLrRElALYzRklaYQ4a3h6WB/2neDleZiJXsI mlECXbGfiYcf/Y1EpAdToEZRn8XJIH6/CNEzJAwyRK9KuDcXH7tXWJqW7f3nKpNnOOeghj4JNG0c jaxc9Kp/bM8nkpW5aHtO3mXWF5NPR+W71188jJgOjDv7mlECjWPdCr3mmQJl051Fi8K7YzthrEL5 o5AuKD3nubsvHoLmueN3SdbegIgxtwd1DaUuGtvzoptSC2CG/eeh7lidvuh47d50hZ3/zcf/GqIJ 8UCqREMVbVCUQqNEBDqwO53JQM8nsk7LEWG64RsUtp9F91rrLr5BFVp30AySyDx0VRGjaH1INxPE H+s+o4uAnxE16IXXoBp/cZ8r8HWWGV2d6gWPRxqP3+eLY3zoXtn+RDk0JZ1X9+ngJjOIfCav5CG2 ASQJrvgZ8gWldm3qa/Ohe507DCy1JamWk3AlUlcg24LwWH5GeQS00Hb8zJAvmARrWd0X25+RKW0F CEj9zCiFbq1/lWAdrNZ5cb0zTKFB2AHwyo0bsyAcayJvNuAGGgEKzxFwo+vDkeMuF9ufXGGThr8M 96c2s9vDkSswSqfNtfG95tarBuFZeulMOLQw/iy4ZuGf2/k0PzNkC97+GBF4GA+ufcNzBNzA9AJw jWQp0NxPd95ToE2gOj+fqP1s7bEtVNR+UFEw2km7afa+4OAgzXTUNJh7/vT8OFpTen9GGXQS3meo /TwcuHH+oQs1fxILPB0VYN/ADa57+fBihmzBBGBScY8L4oC5PT0tk7IBNsFm7zOUpytqi63ui29P 2wMewTpdfU5Jqk9yDM7/xw+eMOMmOlzMqPlshJ0hQsqYLgW+phrREN/A01F9sb8+HuKYcrqpTwTc QOVwcjk3tpps3BAzVotPT8+JsPx5Rvkz/TxAEsy+OGa+Vxi6y/kLowsBgwYNM6CVUGhuMR+u4IzS 50auBYyxfTFEbzc/03Pz4um/SUlcxOq8dKYZcgXB9mr6ZEBn0rwLuXN2Kve5JZoJkcxQaYMU5U39 bS2XjnIub+DzWL1DgZfdVxqLF/puz4crqNQn1KgTBVfGaOUaowF4tTX5ygVPR7iWb9gPSu+yb3Nj RYlz2nRlNH47MkNXftR5k9IGLgt8PSsCbvD+OSG5LF/s69kTYkxbMkiw2ZsMUp1Cs3QCeKyZJW29 QuCGCClDSrNYdLhmE7sMYwA8HXEFrwov563IL5/mxgqRG5kB2Qk7sL+x6pT0VmseeuxZIXJDQrxy QJ+PAzrsiM7FPpZZV5/cWQ6fVdQ2vqSHZupZ4GdEzQ1qG3Zmzql75nyyh72E+9kVT0cyWr+QG2ir jtt6XqGCc2Vl2qx5OJMJtaDl5CpjnQkmtyeEbqz9HXzYCm78fPZJnKElwd7GqYA1Oxy0w4J4WifV 9BK5G35G1BtTRarmavXmah9dfaBKdcwwcb54XiEygcoqd3uivLlj7jXctgrEgqTWYR7LleMpSMiL fUV588jCOUuqbt3gc0qr2SQLCrYFuBYqS+WozUymPhd70qv+qfdcJaJVXURrARFrY9O88XRUln7P vVDeELRm2xMKORMtTwtQwqLoDHj+SWh5si1QhdvYdIVUQYlENbHh2sOGa+NE5sGxLEju+GDoTkTb aajVtwf3Q+VI/IyoM0ZY1Jp30dh06JZF/zHj6b8NLkRG4XZ4WbqirJmWbmubBTPITGpBQ1nF/Rgp 26PYHDWejZ29e/bFG8/n4ztVC3FRQPNCKZagnw0nb1xdyCr0+RRiR/BDIpkEM9GbvhjbAr4YTH1G H3g80kj//n7Q7yo93+MVdZ4BPmjdAZgAcJjGYSomgI2/4TTA8wrzZqV7Vn2Nx1t4rXniM28JiGOe +ougTOqSVLSYKB6prg/5SfgZf5KR4KXBZdrkC9pKYuuk1PB4dHv5bId5Dn4MqCa2PyFdEEScKVwh ABy7ikQJYB1vdEwuSrbO8woRz2zJT2kccbmA8N1QVi8B60B95+QC2oqF6fa++yOVlhVlzknz5PEs PF/Augr10zPf8P18ratxqCKkcux+pZ1DtiA0IMsclvwUEjtwwGi4JQlaZDD2/YSt5ykSBXWvpYZs 8QdqOHtVwhLO99wH8a38iYUhjv6CHJ0ylea7BrgE+sIQhGmLHbBzB3SJAayKx4PB+/zmmwLRWzjv 1gZFyTO0Llqhm4tmg9lHp8Wkf05hutzsdMUydV3dnOzL7fuc/9hlUQnrwr44m4c6xg89PVG7e/Yz hl41Gg2KTpnuov3BHanK8lxIeDy43y+bkrkA2pUl+f7sELfRKERSTeanyAau/6x19wc8LQtAO2o7 K0KvpPQn7a8IPSccYYZ0bDCUJ50JJsQFcKDSb/oj2+UdZc9Nlic5Z1/4M2AG1xSg0+DjESXFiy8d JqSIwwPQjjWeUZtOo3bB6kyo+XMnuIhoebLnHUptMKzMotHXtbE9AXqcOutE+03Y6gmJjTtZwXep 2Bsa55ifMJJu/Iyo76OEuxdf9P10zAl5Q58qEo9HQn7ffC+25PetLnZMF0y8wGx0OplqQedns3vM /DADFab9idJnlV0r5ezLDUB0/hNsHpKZqcmTmi3NxWGDX/C0YcXPiPZHwBbJQE6XgVwpdUmEIwHa /4EtSGQLPp1+sQk7pAsOUhCW+wrtYp2fdDs/ybhf2J8YurGVOBdfvDptnW62VV7LcKViggj4PDSN fyiRaJwdNsZ2lD+bDqTiT/b4Q9ChRIx72ng6aKv+FjGmPUy7n0+Iep7UIvG2/Pl7qCQ9oYC6EqQV NJfa2DHq2di4d/HyApOdtSnjd37Ppxpb8m3AIUHVtC9qdbErv2OxDZ4ulag5W4q+4ActGaNTvOPp IDhf0oUQeNAnv5SdHaXP0I5sU4cLjKbeFJxPSZmsq1omGRL8eELcRpe8iKju5aG694rDSXJeAxMA EyQeLh4RfPDr8RCkFsmOms5JjCaB6rKD6hbAVtSVqOcn4+lI6Cd93V2Q/amPFMmOkmegtzAoTTZU pj8d7QlqcQ222rx036FEnT6e1Ycvfrbw6WOoyeQH4zCmSSeeArVDH3PUpoSNLc6Ud9R0Nm06SO/Y os5G0aQOUazg6aj4uo0xDuuRx+2rtbHD5BniaZt2vf+wR2aj9knhR9Pa0CAV+xPCNnSpT5FN5yWb wpUD9I/O/QGNTMgOyPaCg7R/gKbX51NoSYufEeSGeehST77odJ2vW52fU7Lg6eDqqn51cdiRgS7C B2n7EyXPO9NHIW3jNFH1hMYoe7kI26QCMYPPn3EbS85m68vZ7BSqCQ0gxuZTCm8KGIE9j0+7YrZ/ ybh76k2j4KPQzH4IF27PrmVVbc/i0xEhxWt3Hn3y8CAmYtsTpc5tVXp+mG8MQZnkU9YybXtw4Qzb ngizMcdvSPiVQYJSTQEd10lNhSPBjm5HqfB/foIzSar4GZHQBu/0JS22ZRLGa2Jix5v5hAY8HemD /5rp4GCVi5i3u+/th6LJMEBZ4EhwDOprIOMHIEa16SkBqilgpyh37mIKbOJ+uPhMsAEoVlm8o/kF kz/UFkTLwhx916sByS+WPySgVPLeKr2KWQmZFZXvae5KsYPe8uQf8DdaATaXimdzPpsUStU1OLFB P6QgP8xS56XQIbo5kNuAnsQwV+4UJ9C0LN+qUPdTofaKG5BKcedWP5ukJlpm3kMZjjYf68mx7W0j bALRUSiAbVGJceLcaPKuaI3PBzVG/2V0gZnMo/WcU+iTgqJu01WDYr3ZUIeowbq36C+ADH9OgH/u vMmqSDx1fTnJDOiiNkYipNeLui6VlsH4gvBJOXyDAFb8kGCP6BKcpgxl8KvrYlLU1cxQeY7FPyAA aZbvQqOxyXoR9KJzvD7GVhnNiZFJd3pBIpMeVF+RW8pVNKYR3/ufQ+TKaLn44setN7bqGY/gyr0p LcG+WOWdXyiJbbXqtrcNLjRGpNwpwcFFICCUvkyGz9XAx4OQvTybJjxvs30+nk2K8mlML9rkIE1e nTtbv2xNgeuhDDmsXS8Ni9dNSuwkbvXNbq+mnSvyVJOpqmGGCSqwxLDPa2yEb7JlDUq/KduLHxJg 6XWrWWY95xV+PveLgHYbvgUpRHI8RG8eOzDdKPvouxS3pel8wZtdg/nF/uICThThGs4ptJXWpxSK P5NMSIsaWxyOCAPuua0qAyacyUEDQKuCu0sih6GBePXjhwRlq+liS/152v25oTYp+XmUZfRcCzqo nlqzCUm/uv6EpFCJA9nEZseKU9U9Tb+XrmWaqm4cCgtJUXI9lVVT1EeLD+VPYSZOA21fwXEU4G5Q h8yUFEwlckx72SA9kgLQXtUXIV7gHMe/4PnBfPxvjEsJBnQUc9fcKoVqHOcObKXWbqAOiqwhIBnp m06gnJ/rKwqb0+TEQzfEl9v7wEBZaT92CqgybFHFttPEvLpEdoHsuV42IsXzkC1y4zPRS9iiE+4U s8/voPHxoD19lUb1yVUoeDxfUYjsWCQ7WacMtCf38tzuAQszqexbFGlyVBX2nZgoLp5iF2i3tMQS BFxkOWVQfwt+ezB7eOZj0172T3KIqeh+k3UBarRE7X9W7ZOPBwetfmPHB02uHhOeFCKjQbwcedt4 vs9lRay+em5Rza35FoUdaiHLVGJMGQuow3gq4AavARMkW1IZaJ0wui03QIGDCtsa/CFBi4iUb8x8 fFEsOneKmLuDOWRopXJH0OZ1/k3dzaEN4ST2Vz1qNPvUTWMPvxWnfrdldWwOfAgdwrAEb+FilUiH C24vcgs5J4tYJ+TiALujL0TYp/g98sLIgREhPlXd+L37oik0uuD8C0JNPIdOhI/VstjNMCO+irU5 tCJstAQksJ2lrITpG+fQ2CKq2l1loBx4EarLCcEtoch3vuI3HQetb2kenxwJzmI/FL8hgwwf+7/m 9Dn2IixmczV9UW50orR0VU8azseDJPs6dXNShrHtVyUSmxGimT4Jx2YzLRn6ZTepTLGcrfTR7fpz gm6aSIZZfnL5AQqdpOj8Z1IeATNbU93ssThqOB8X2nmX5ZPsZf9E80kQ2+ViOlHnShAlqqMfkgM/ wu9hqzgv6FddHksODAmh2rTo5OSxSCyNTh6UkXjBu/VYFDoSinxKDWlb7Cs6lcGESoxQrpgrDam8 oO5D/7nhLrRuNcfRObAk9IMGCz9feNDygs7FD8GylY9Hl/43Fo8uw6uku0Whvh158sWmQO38NOca 5uszDKC85UWBKyHEPdXJt75+vibMDTXVIjawJxQfyXxDMDGnnQisJhwwpFs/9CW0mT2RfFrUVoOh ltJBGpNGCfa/pILQ/H1UynJgTJjouIhuWrJgNJMFI7M5QDCaLEZ10sL0Wo212pYvl8uy0RgU5AwC KYtz2UbWF0pbDrItGFHqJQfWhDhpqj544LgQv7Fh7cy/YO6Fj0djofJ1pSElxDz5blHIOKz0TGuO 6SQzBKgzhFprXRMWb59RCPxgYtSl9svlKWYT6A54pxN8MFfMnEsjP8pCVX7pbE5726gtQlze6MMX a4uMqpNSe9l8PorYlzTG6IzhS3tK/sChEMMzjhRLtWqWTsH/qG/OtFroj7tLkcuK+vZkHF7ioUI2 RI8mKN+AV00MFOXy1DlBB8wg2cWvGTl/yJ9utQbLE1vUo+2mrH3+GD0e4e5/FSGb+JwnHkUZ9kIv 0KwtUY4sE/4Fnl1cBcyqVnEP4rCJLWiMHDO43C0qFURqDahPDCF06GRJ+Jt0tFtY/hpEhhPYHBoV diJfz5lpvvDm39CUZIJ8Ih8fj7g/nhzxX64Mbs/NHzayE6OQkeYb2ZKsZVlEmRcW2Wz6jOIUm4lj UVgqjzhOAqN3U0EWVci58QlF71NKJZVE22uGle1lo0kR96ZzGMuFW4RGv2nalsHHoyrkjooMUXx+ +FUYyKFfIX7/TaeZ+h1MEtCCHPJBAEOh03CeV39oWLhIkFpNWPz26HOdtJ2GSNIdhywJ1Qg6qwWI /5YHRi0kWg4dC6fYCRcIm37MOBdkbwRtWHTy+b/da7zm8cPbHafl0LQQENE2kRRRpWJxhoLmGpkE 4CWiubaN5JJD18JhKh6t+nKJCmtA4H9Kevz8o0iIUEsuSI1wp1rbaHGglkPbQoJOC2YGvhgapFLy K9Hhgs//lejLc0U87sUL59i4EGIMvfhUpGXfpNKYOCKHzGgB2yaFaTb/6kOc8fEIKYJ5dUJ119Rx A1CkDiT+zHp+3o+UyIfq2WQvG0ktKTFa2xddbCfz2k1j69H4fISZ+aU3oLbVpSzkwL0Q3TX01Ghp /8+k7FuyiKSUm8DVUUzDPgf2hYnOtkgf9/bFOR1gT/UpYCxwn5mUKqa40Jj+Gd/2oNVeNqKME7DX cPtjsTEZ+HacI5/ThpI2NDAk0v3ebHS3JqDT9ih0YYFUcum5GDKtLrfIKulaZLEvJfP4EFut7uz/ aCWfXRgLHlNdqhWzyIxtACfERJAy0ja+pqRZjj0Ms5RcVfUPr/rPn0jfvJNypczHI874L+YLQsij O5BjD0N6sUwrPM5/Wt3wIXVefMhwo6McmhgK+kC7M1sufAb2WEM2Yufq3xKz75icQ1oTlfeVvFWi HboYZm3RlhvLdjeWk+RnKTPkpcejr+gXfQEw9/x4pIc2hhAAwGh2GD5t5WGJdrGONppI03tHgY9h ckFywqW1eEmbKmRz6ZW1T2UFZTOOKNlNGhAuvfOQNe1dIxAE/RDmbr5YBrkH++b1HGI+HmTZv3Qn 4Y5QmJ/ZDkVt7EEAC13OiBJZxvc9P39fR5ZZ7w7FqtLcmiTlgXSVByAiDbr1lB/UPl8p29gdOH7K /rfrp8HfDn9GsEPVJG+bL+KXNcwi8PcrDNdRjv0bQlw4Du3PDoXC0uBGJ7ZszDAr3+aa+0GxKW2R KPRkEbpxcfLIxXcIZrPScG5bflBS8TzZHxrl9MsSCkt9o9DLsJIUncYsvmiDxkndxU9Mk48HcWhe fRi2UHA9YlzlOxRl2ECBnELNurFtTMsZd5UpAnprpyLKvkMxzJo4dLGoxjeFE8S7LnXXk1yj8ZbZ FQGmExQu2l1P3WfVXzbIHqku/T+uLBPTdjVda+bjUYfWPyJKDE3+WzcQhZaGaPicLZrViFSrJOOZ JbOYR/txe4IdehpqMrtqyb7cK78DICgFZQgjbk4EBusPALBn+Q20zqGnoYsMgcioxW6zyQ4z2tKT j0fp9Q1E3E9EIrrl2RZF+TWQaidJtKZj08eugVqVCVKGyLRP+ANfw1MTyw6THWQt3jeCL86CWinO GSTQmjUG2EkAWsNHIRmFjF42olNJXrrehXsEUKm4hi3rHQMQxMUcVfXhviXuc2xtCDoehqS68ROl RFGmwazCkSL9Xmeht6ESR9KNbLH5PmTtC61woEMJijPbjxn3X6MFAPVSDZCu0XXobjgJgjjVcfHF +kbnSmCKdG7Pwef/tklynFBX+W5SyFms0vqwqWNrDpulA4ZpfUAByTYpzK631ABkX8i5tzXX5vmu ukzGANrP4j1kanQuAkOzf0fQ0NPLRpxgFbFyv6qmZno+WtBKkNWgl8fno8P2/SFl9kSfvOgPHocJ nHsnns3tDcjRc/65eh8OOQpMDmWDgam1BrT1QV4D0DdO6JBkOYYshLmBrPEjrydQUL1vlJe9bdCA pFwe99IWjWZPTsp7H/alfDwilt9eP+545vZpPactyq9R05wjga+eE37qSwHhlxqbAIM9h+YIv9Ds ULPZISQkF2cPJWg3dG4S5JlyY1gvpIsg/aLSp5q0K/nLRvQqdme36EP70ocw925GD858PupkfwP4 cczS1fXKod8hvD2b7E/kM+99o0oCinSDL/s+B4aHCGyMxIXKXlwccLR6ORW9aeedCy7xU6s0tsn8 JbbH6Dnbywb3mhHvx/JFOJGTYDuUZvDxqASZ30cNMbI+XezA8tAoIHRfE05EFOpBH7h0cSI3wY49 D6UP16lsxsXDEYRM6RqEBBuumewHVErkIuviV2UnLS172eCkqUqTDToXhSO0V5L8jJdeMsogv0dG Ypn3Z49CoAjutUmdbHSN5mB/H2ZVVFdROMJcSV2j0PZwanPQ17XFsqM6J6S0ESx7AiNcwb2h5j97 14lp8fZjb/aywdVPQ8iSSEQrTlbaAHwR5gFPMD4eJJAPHFtdSzzRbrUfGh+yjd3Yq4JCXKe0FLnC uKMbrUU1ltdRi4VAWKAWgkW52FGDC+IJR0WaMRVu2Lhx0+YABKMttvothySZKAfehwCds49daJPE ReEIWJchtt6efD64++evETYAMPtC+nNsf7iJ5K9u47JM0QoFaXesyJMgBf6HkoWgTErxxT8kaGMt 6vSd38Wp3TYb2BP6IWj//oDO7kYlZJznwADRQ3aeUsrwe2FtSGgwHtW1+HjUNdq/tghX/4M2Dh0Q Z8J4lgonmKqdkCAEG677auPZZcdOf07UNeI1Ki209Wih9QJlmklgL3PI1elWMkgnZMKAs6ZibSg9 CjwQlQKi1F/JFxW0SOUYTGorfDy41Fr9PmvYono1QXJogkg1tNmHE6+KMWdOmt+9nu00QtEWxYYu aoaIeZUe5hUUm1rFBAsuxxMMzXKBEKwGsLm61Sjdwh8SASF4xDi+PbcjunGF11rp9Eb9AYSAz0fC IBfZz3wTEbFeRbQcOCEa5uj8/qy1NmT6N2l1LLmQkz+BDqOrP7ZC1MhIo8f1NXqE+PdA8cdNgisn 1RsH4EYFbjrtYadRczAHVohXG4Tag1oYslNu7sQ6Nh+PVL++p/zM1cfXDoXC1JmNCZNMOWeOVhwV VeJW3QbTAMDgeKv9xQ2Rppm2eMQ+kb93dm3gRL8HB42QL+Anwbr7Kne3bS/7J/hjdvVKQ2WtdU6z 8DRtVj7+N36I5kX4W+UHlhU6ItrbmboVdHqd+7BGtop2QvffvqIYiy2Gfqm+eAIJLiyVDcHiW2su opPyUFLUf8DGc7BI9pcNeEZNR80cKJYh16ZrbpzXr3z8PWCvR56aluvAPu71fEdhkp3pnXSpD2VX w4j25J7reblAWg58EbMhjVcWLitfXFbFMPbEoKyqH2LXBABS+LQCo8VpnKmfivkQGCNKg5u6uckX 7tEJhTY0PF8qHw96tLfmZ3sNPi291OdWCyX2Mtr7eWi032YasmA/X28v1ujvbK3rrEVYkUoA8dJZ W89Zq6AVD40zGzyZZqaUSqeCLbTnyNT/1gHLgT0i+qXsrNHTVYvO2kDt80McxObjEZ7mm2ZUQaNI F439eUmN8BP/D6q+rXBAzjqkmEkZVHmq1SEjuYvAS2KEGvH/lrpqBKFpscRoo7WWhFYHVKCsKpFz BMpFm7mJckQi8EgeX9IivqhCELfCFsuvE9unSILx8P/sDd+PFjNnK1S4FFkI2n/xeUmJtDXAhq7G BjW0bNPYNtmXQSvtOQhe4ccTbI04arsyL+JyL7KEcdNm8XGC9JAOVkfHr2CuQNL+zF8o9detORlj l+gpR0RcbIhWJW9+So+TVL9uDRIF3xqR0It/Ntial1SID/WJ2CM7IPRy55aL+E4cdBOfnvlnYWte EiF9NaaJT9MfLv7V5PN7zWOLY73QwpZzGaBedIpKFzQLrRK86PtXA+UeUBuIdcyOdTxb3xKHhuf3 0T4vOZD21YTxx4/+VTQ+SAHB1rwkQPpqyHmaeVvvIzVvxpIsr2YsARh0on/dGtDhZHUs9wmfi6LS 6LWfz0XaTR2ekaJXL9Rc9GMstzlEnsvnJfvhj2BedALN0IJ6pHJvdlvs2J2Nz5+X5Ed/TUOm6bMh sY4FOPfmJfXRQ9CETtnEp70v1EA+dr9IIgjMjDU6USxVQeTxxfYm46ObdJzouUByn5LVg/wW9D/B jfIT1fSiQbApGtlLuMBlmzJuwcphzrlu1+cl67Gnl+2NVK0QbUBE4N68pDw6h5usGJO6OP9UxLJC ZHDRr7WKsWJeEh7ujazVT6GWfLkV6jlThVTUjpY0W0BAx1Iqu5wEfblmXElsKr6kO3zRRUhj7sY+ n97o6FBdY3un789LsqMvwMxchqpTdFNousatecl0FL0H7TPNvA6D+6loU5hfssfRqNRGp4loa8Dw yAlTSVsudgjICICJ0JSeGFRy4Ej5ARiZDrQhvTKdfNHgSBFXBV1iX9SRRkeJX80JAp+XHEeharav rSH2nCA2bs1LgqNAjBC6iW1nolwt2iBU+uinI9RJJTcKxKwzMYb3xb6aCQQkVRsyDOIxCeLW0OmI LfD6CHSjU/F5SW60+1JASwQNc9H0MBvwrKAP/ZLa2Pul761BT3NyukrprOhAQUA4NQ82iSK+EnJI riIzSeZBsHnpHeosE4u/pg7UfA4U1RuWJDwBydyTEI8KnQnEOGtqqBJFtxM/I9ibTd+NtKsvjsrL Mvwo1PAMNscVYG1z8O2N6Zvz0jbUl8rEmN5d/9Bla28DCY1p07CTgwAFRRmHKBITkbC2jOf3BZp3 8EFP0keqa0X1sKVQCVtqckWplePmSDQneWkZ6hewqsY7xRe153H98Vqu0PeKwk3vvjc8fwQnbc9u 3rhlun2hzD2Le0cRm2LOvSX57pR+WeXR9iylfiQoWsGsY1UBbk4KxgDhJw7M2pIidzENGRO5yHrV 4NsBCrTA2NYXgafxDTBFOdHn80Ys08Ga399ORpZcbobzxivTryTR7NA2Be3UJHg5lAHVwdBI3uDl 0fawH78HTxgXBy2cSxye4SoaeK9Qf7ESso0iDfBjQ5hR+PrzRiuzkCzrH2eVZy88U9N052QNnzdW mWKy6Xcqy+HkmrJR2p/37BjVBoG0zfSrTkKl4emihZoiz1rVSWVReryZ9O4mz/n25TkPh8M+JSED /eDZDRoDBSl6cl9m4tL2RPmxuan/S/5sn9uwsYFVG1pg7wkynEG+t4dSapPsVm5PlCFD+bJBu8Ri D/v2iD2JbE+erkQQrQaoQWSm90rCh+6Lfz7InToJkufSOsVeFZ8MBkkFPSA0od14LAusEFVW7KJS Jd4Wbs/JCM1z/iR3nzc2meXI66vqbCT/oour7XlPkhOv9LbE+KHM4E0Ee8meCGJubsOKaHuQGeRM mLIWxyaCNFCoXEdx5TQ48yH4olD8gZh7Ha6plkUYfAhKHMxwuXB7zs8bErddmMBHabJLc/s0cVDa 3W+uNyKZ/mLnLgVOV0KCELtLsoVcniGeP2p3n7+/Z8qI8ZrlpOyLny6MPOiHyP2B4CphDDDtpVEC ebrWPlWH+T1Vll4CZF/ZeOWCnwHTeanRIaZ93lhkfEN6ez3BGbGjEeGu/QmzZbDI8jVtyUxDNXxP yYfv1LRhXzBKlyVjQeUTW3x/ytm6BGkBtgXJbuXsGQ1SzpDH19Vur/oefZpodhqdclHiU8DmJqbs 1NGfNwaZVbC/iwmw+9e93KOUGeltq9uQUY2fnABlbJVxf+gEpPMV5cy63Nec2RevtHAS6ugSaOrn 7LEK7VTixEgb5lrXNGrpVd/3ZzD36ezlabHwQxYYzlefnzfymP0G5/f24HjBzMS25z1txq0D5E8b xh0TEwUt096b/FfP7Zu3H68obx66vMTU2A9T40TBk9W6fl7mVMIYL3hXHJh6BzidJcUbccziK8/V lMDXNGUj2MFX2UJ2KMVEefO6d7vk4XC6b5X+Rhuz6IMra2Eih87XKIA1ohbNxfTeswlqcHvCzFm3 FjWutFjmnNr5X71TuwIWFXOxou9ivpxXhuCai8SIoRllzrKMQmnri8CIkLERzxeD5Ch1Fh6XXw+F wEClAObZGoNR6szLPaXWLTfcVZNAoMok0EBlL9MaemOMffV41tRQYj5k8Y2Mb5FP3zOnODLekPUY UetfHJaiVw2iMxUJr/qZEUB3RfLB/TmX/OeNLqbUIHvwEcmHUj6e+7yxxbSrQkZllzaVPAxSw+bt 9nNaHPbzRhaztM36O90X62VAqWJRz5RN5bPrLO07YDqQjvvptT2EuqJX/VPDvbAq1WLBuRXKXZz9 afPzRhWz26t+3+7EG8/bV44aywJEZ5usY8ZeHRBtSh8noQfmhZfXG0/MOnAMzkOkzPGQMvNJePdC 4ODnA6FWjm4z0fyVXM39dDSm3jXKftTSaHcxQHQuyp1zbZ83lpht7/ftXtAyZEmg/QlzZ8oL5t6s FabcGaa0+mgQnVkiMfy8UcSsq8FoImIzFy/cyzj/iyYJ8B2D7SfDDx140RDLNMkWkoViKZ83iph+ CL+cJixrc/lvTM8mM8V6wv/njSBmwb19nS8Oydft+bzxwxTUz79yfsmGVj3/ZMmzIw87kYfJuqhv 9DALP9yYrtKr39KrQnmUg2PeXr1s0X8HgDMFGSx0PS6pN+lVo/MlEItwPsXxnxQ6k+nubuXzxg6z 3GD9Sn4AhSp+fb2Rw/T9kFlDqL9KU54qIKFSyR5/Svb4E7WZhc7YtE/VchF1508fkBUAWgyBTloE yKcqFJ2h4GwteFknvFHDbAQizKr0BbbrCyTYxBLLgpbb540aZk2K755hYXHTvXR/Y4ZZ/MH1vocp 37dt9uq7UahRhqtkvHJ/3pNnGeTgttbQb9+hXzuRmOADgcShCcIyhMP7imsRRky387P0qu/hZ5Hv XGvdvqgxNlNSZ2Kl/Xnjhekveq8vliTEnI17u4e5M6bFc1jB3mZf28JzK24I2a/QyRsrzL5d3EYZ vyJbLPygaTLGkqn6AC41CWonF/rzDZVH5qQvvWqwPZoXV/J6uNj1BboHa/eG/XlPnjOlq5/PB4lo 3jc7fCOFWXim0aSZILUiFuaJCn2TxIMyrtVsk4o3TpiN0zmjwBVqi+1PJ8yD+o09gxMmTCtlQDNH 64StGO7ZXjVKns0ps/qi7PCUUdaQz3zD4POZ39EHgBP6zNr2RMkzmtOtXLUlpsyKPoJDkb17ORhv hLBfrY10l5s8n+t9EvkE3XtaIDIBQCMG6m+MPhadEe8+b3ww+3yySLvTF24PJSEIN0h5fd7oYDax +i692MlE0mKT4yh3buxstO6VKcGMuLyWLA+JxYD0tEbHYe6MbwJ52vblzgA76tItVioI81KnZicb E+syry0JWYKfNzLYHVbhUqfAOxduTy6SPqSazOeNC2a1swcfDo83Ndn9bn9jgtk3l1iPWvCxWSnY KetaHlJ+QdsTAzIgAJiJy9Ci7TnZDQ4NbkiEmgR1XUjOwzrgh1AA9EG7UVOK3jTYHatIzVuiu6sW Ek7C5fs5/W8sMAvNv26uQsTshR1EmTPoXy4ZBPGg5aZReTdr+wCdZjf7GwdM3z2YhCcJackXx+/W AsvGwsxnjjpIiYC53RC5qKCtoY8H6RNfNSi8eGWVJthKc148/U3Ei+98w79FZibJhY0EL7ze6F+K GeyKNaN6QWbBIOClXLruRqtV2/OeOKunUiEMcxdPnE9Nfs5UNyWT8/EIuYrElREvk68r5VIKULyR v6yuoJVx7xLnRoUuasn5n001h/Nxls8b+UvbeysvgX82rNzv9xNlzqiGUR5ZbC5rGp9Zd4OwGa0b APyN+qXfTtPVnpMv/v3AvDiBcAya5Umc6SeemaycPOhnPE2fovf84+7IsJeLmj4nXRQi9fyKPm+k L2UeO319PBmzrnyH7G+Ur+xApRN56nZshqF79gBDSROLnR2b8cb4uhU1ygmKJHLxeeCpPKGXyar9 pDiTzL7zr6NDkU6xN7/mXdR0fyN86TvnfQ60ry92rZ8bltf66PXzRvfSR5C/i3aYrWYmG9qeKGnu ZV8nAOIzSjeUpWxIiM/Atcai9I3t9T0uXW374knzhGueemLwkarEOCV6lJzEt0mZ37lMfetdo6aY 3ADUOlyO+YZZJhtkJ1Osnzeyl30I/eviguB1oRK/9ifKmtUM72YXBQeI7iCN6WhmnA27uMKOszry BLCchayiyYHXuYA3qMssunpbi5pmDY7GZdLPGEHEivaR9K4RKqwoHxREkoUKNmicvJwfQF8nL3xj ellW+Q20RPpLW2vboChtRk5zstztniTUcKCWqybvlXDv7ucr7DmzyZUo76jFz9e5rE7EwS3R8/kz 9ySeV85tmAZCssYFgZkXvtG8/rfpk8GFJfgYA7be2NY4B4HPBydsfyfOIymK+QZFiTPqs4YBhdVd jYPSc7mX7DCfMuowmM8byUsvT42Nl5kODFxGT8XbhjOReTlQsRe0gFa6chzoBPNnBDMdqgFj3OUL AxC2mUl0GWt/3ghe9obfAQhuc4Wiq4IVRokzVIvbqGXazCINk5eq9Lc10w1cvtieN3qXTXvH6/ag qbGhnJR0uUN8i6DLjgQRXh8gXdn3Q32Kzxu7S7GAhJymsquRqsq7fWeYVON8nfL088bu0vHs35BU CoIiS7X9CQEbIFISZAI9ssaxXKbfGGeZEgKG8Wbj/kSZ85zCMNO2jovXXQvHa6gsHQAe83xxxn/+ taqus40EkXh93qhdX+crSZKci8p2mAI34X36+LxRuxQCbl3KAI1cgVgs7U+YOy9qk07D7NK/Qphd ssHMkZYB+iTub8QuG2cSfNnzXRyRcBL/kysImNrRIeb+VJ4qXFj7W3az61WD+ExxMhps2qL9yRMk SuY/Y33eaF2WPH+DDClO2LpfYG+kLgUtdOUxDGfQwXlQgwNNGNcFSLxo+P38MXk+4YWiW1zuTHAk 1ElbI+Xe5QwwqE+PsSOwLq4AjLvh88bpUqSkt0atz6L7/WSH7MLVU6N+3ihderqtr+MFYuu5Au/2 hE3nk5Q3zqRUmPbhhSklmlSYrm61xRuh68l/MoQ3fLnROe/zqWyRTE7AMXQ4fPEybuWGLqgqU1jG 8lWjuj2JPlF9Ufuvys0SUhHz80bnuh395+vB3UwZU9ueqOdMHkUlHucfqrcNaZBCpqFpZAqQgon/ vXG57NNlSYo7wRavLNZERS691p4TSe9oSyMRh7jHA/chZu3zxuTS7gzhNahEyoV3Fz7HJimAPT5v RC4ra3/BvlFLEqOl3QnhGmj65Lp+Y+KhHS0vGynauVnvG4vrV2Haii/3bKUOs0M2fU5ERlOSLVXU uH3xmPjZ0tX+RuK6UxEkzfUutj1L5snlJG6fNw6XRa789fHspLG2b0+UO2NwCCk2A4upokHdbtc9 Ph6oHBllIMydUXplTSO0+McDJ+SUkqlGQxGWnxlUbDKlbeYTebreNOhqkNveCIPRYuNAMLh+OIJY nzf2lv6ev/vNLNrz/XjCxBn5J6V9uDsrm0r7KSbdKRN26Fa0txDkXBh54Ddni1/s53xDJCzJ2Acw RHY1dnW0xMpX6g85KX5GcLETSlc2OdvFmQngP9WsaWDNeDrIC/svcHylbNwNzGHaTKNwYVlAS+bA kuIIdfu4AtoGdraifrPE1jm1scW3BxztPAX1KWCPShUIU+wMK2C66llPjA5aLQRrECpWB/HxXHS2 ULoZiZRPB8P28n22oCpyXsynOT1Mm2l1KFwGRgaz6QabmxIfDD1jubJ/f0+bPTBnoBNtuWcLSKWh r6edWLjNSGKLBIDdvGkze2L9z+w/SuDYon7zPn++NP56xdMRjPcXf4C0w4eQE2XN0EBsFLSUJEKr zQgEjATqiNVqivU9wmoAjI8LS7d7WbffDIx8IXAVX88G5YfDHNjbZ6jjzHVds8gkxs+IqgpeXNQI 1aLDNVet0ow4RUWPkuZUvot2sGX3HQX2uN9McRbXhqZCiDDy9IoTUgPcDuaEPeo3S9xvE2+q5dZc aLg1wo4wHYf/JoIECB2NXmbUSDFqLZE+PUqap2bIKC4pgIhGtbLmE/t5PMZ5fzwfYH1+Tbtgbwps mSc+PaQCdjhAZseKNZqZsS9GZ0INkynbyPMVpc0GMVxz++JlxakVB7gbBGssZAky8MMdjCuTBrQO FqMSa4/y5sL406aE6l0jB8alSwfkpEN4OgjPv4ftSJnHJZH2iA5IMtEWY3SRqyMlrcUbR4LHkwph 3J84bxaPgC0LLhZ/WietdJBHMICTImyqE3UHjALgza4VwbZhj7rOSzzSLaPnc2jpSQzp+yGLopNj FTwe7M+4ifPm1zZEurMNCjNnorCraWa1NNzdEA0674uxFYXMuYekQDWcpQ65v9QhMeA52Zvk1wec IZp012G6grEz+2SKQHBi46sG1ztRdJkS9lqS2oZN5jsnW+Mb/u2ASUoDDkvzhqCw77zB6W/OC2yT Bs8AQ6kXn3m9oxLk9vwZ6byWHI3XlT08ZwrZoNhvEBsdauDXKQU4SL5dL9pBLegetZ0rB6VF7kbF 3Y1Q5aVeNLYYE4//jWih+73D58DbYv09d8YUGm0xqMcUCrAOAnghWAOSTzUBVtxLPF9R7iwI2BYQ lYvHH0ANO/uydO0ZnS5Ik7rXlO2a6QEbJr1qsD/sGp4rcPii/ZnUcsf+nKiLx6O6/dcFD9GSub2r 2iOoM1ns5C2yME3kq6EwbdRpFlJ+028F+xOhNSjBRqdTLXwFpoeJ2j2SzkLyLFPxQSwviRkUh9DU C3UGfkaQ/4i/hIrfFk2UT9Kt4uCcXDwdYQ2/Of5opoFbehOgMH1uBGl0YXxakoLPRuFvEwzoiibf n6jrbOIQvXZfPH2u7fxJI1H05BSnECxgUUj5tXMvUjpTM1POTPAzotKUjXRZG3Hh9YWugNn0pYan I1byL6YFUQ07X1pylD9POoa6xupJuKeJ0U+JQROvQegQ9mdEbecpvMZSgrjWg/bBZY/Gp+ZeGFcx S2pFqScZV95WpTvGiPJnamlhhD994fezAfZpaotlPB3kz/27q0pVfUZsbU+UPyOwqS3G40UbVULl R5+PfsaayhBH3HU2Glz3xT+fUSCjsUVpr+eyZGN6IKODCNIPpo8XkVD1qhFLkG0xFafVi9O14B2p 6Do3no6Gyr/SQ/yF94U6jxDqnIu5PVFeZEDkgTym3Ti0GGISmDbNiJrONFjKtH/HwkaKurpIDitJ IA1Z0Zqcmm5alVCL9s4EcZwHfkSAxOxqNrNsy+xD8piUuhY7iOcO4BtGNJ3vriG+vQyNEtueKHlG XXcSlGw0prSTgaHkai5PNeJieLii5BmJGxtiW0u17UGVApU+QQ2hk2ZQQ/Rdz8afr3I+d/vE9oR4 DcbmUpk6c9HIHZAEaarMhqf/iyICIOfwh/fdiVJn4DQajo9CT64lWWY4h0PFoBhruxOlzrS0PJs8 mi92tUPMaIrm0mH5iASIOGd8A5hDZrCvPfawtTGinrNiT+vUG2kkkmx2ANNk7PwZZ7/xePT5eOuH m4FsnmN4bVCUOgMe3SgRJouH3WTssPtobvGwsivUjCh1XhRZ2+QkabHyFPp8A9KwbDqjuGDxPiZ5 6iqmLskU+iH4GUF1moSDWtsXxmaI/y+zm5l4OsKBf3NwSWMiFUDbE+KccbpGLRpUkAeh7akqvSjT N4ml6/xj3oNP2UL7CJCZxhcSc+B5DZQHEp8tZ8yFrJ5wrfLYXi69ajTxQvTpspftbi97coQlAeJz Iww8HaQ+yztj4pywafjcXVHbmWi6lJrguxXOgkx9Js1lVJoOmpfw84lS5ynET9VfvvIuyTR36Bh+ LOryI8c0i+Lz3yLwnLQHMkKOA0fExM+IUh9eWoU9Di6q3OuqQ/oza+PpKHO+LDgmlZUzpTsSHFHu PMjFh1CuStPupWlOZXtpurIp9I04d9YwgpKYXHxsgZEIuAgcmaIVRIOMQUNlmkMDrWA7tKkgMcLk mYpqOcugGPXokMhun6PzswDPBc8H1fulwlGeXmMvr75GmD0XKs5NwTBPcTpMTB2Wto6Vf9KfsPlM zAbtsm1xVFQCe4z70WHrsExEAvDtArZfJj1DzXnyGPBDoqkp0+euxmx3n+gEfR9xLU5Rh8ej9oaH aKmvggtzz9gM288VaQ+ZoIxBGEZl+oO02x5rFFzGHTaj9Flul1u1AxfboBOV0YCkSWFK+Jrkhg3Y VqbZ1igXNUbzixmiNshFaZtjUy4M0cAiLFXkreHpYHvaRY01NdUImvb9ifJntKgbZFWE2gBERKgN qhBB7hloecyyEaNnyBXUVCcRLc/lTgYxgVV5kQEzTGzJd6qQ4BugwoITlYte9Y/t+aHJ4LAS7+zP kAdGOQcBT0cqLd/djdFMOtG2J8qfE7qrAhgxBSqzGdO0Jxd13tUj0Aypgk1ohHQXT4HmgLyP8MUn BdyT8IWB8QHJxlQlMaYpdQBm3H8WmqUmX3S8QPxVfdEnH/+bjoQMeJAq0eFBGxRl0NT4qTT5o84G wbg0bpbYPHU20jI/uRm3n8srVRlkSqRQ8gGbULXJUunD69IQB/HHus/osuNnBBvUVJf2u1j8gQiP 5LBWweMR3eL7AyI3YVxU1IxyaJAqzu/QIBon471c026uchDamJYiziiHHgo8pvP48Amg1Wc9eUr1 QVeYXEGAxYisHOURz0IYwc8Izpd0SBrjMxffn0qUAFAVFY8H48FbgeHfxZVX5kWEz7j7fEJkgyMa 6/dKgVG0xxZtaYXb2IT1Ij5HuA35NiFW+mIp9CnNymY/kbiNWcWa61RbAZgCsmZO9ppbrxrEZ/nH dTmCdOP7boAEOJ05Z3l8ZkgVnF6CsZjFeJDiANqeCLiB1AWFlwn2obD2ArW6cmq6KeKMus/WHRtK Ece+3dUxT1Aopg+Kuq4nHq9B4Ma5Q3p+/Kypuz+jFDoZ6ofm6FysO7ZMUj6N9pkhcONRX9P4C0rF z/5EKTT+KkYVl86GK8t2cjnZXa3sk/DzCbXpCmkC8IWzxY8XyFh7SJ4YOzSavjDJarUfiWkJFLX0 ptHsi9fWJqaOC78eFL28fQCfwdP/BRQF7FHhyE+7EyE3QDVpEFg2RO92C8LBT53jU7o0KjhH+TPd 45ByN188Ozy7cWoAdX8m1B/4nem8QtGm0NpiPkzBGaXPHDegmhu+CNELuA9/+Tk3PB3Bftqvr4fK 1leGZMZUQU5Mx3Y6U22WPcsjjV7ohLEx+Ql1NqY+m9R9cUzdKb5MRgl9+lYFq19AJaLbhyr6UgWV /IQKdWKa6iviwv1B/18+RKdawNNRcuh3l1Muyh7++awod4bNR920qZN7tQnun8vXCjHIbDgic0XQ jdEE5dVopz6jnQmx98YkHpPBuikpduo9TKsrO4jXGUW61iuEbujSSmX4IsLOSV35KZ3LqeLpiCr4 PdnJqHXZMdP2hK1niKmCjOhMyuHVad7Jm4e7GNF0hdANia4Mintw8asL3rAQSydh59S+Q567pjbX mYNYbObAHj8jaj0TupFUgblD5m5LXmWUUMHTkUpL/qq8UD/za7XtCeWbK20Hm/GZKJmrzGeWSycg cpLbE2I3Vhc/mZVFlYE0Ky+06SuG5cDzptqY6UAFsmCwu36I+nho3A0/I+g9052pGFt5DsccAkRE KiWlMcPMuf9SiKLxUPHe4fojV3BIRR+FaTMj5lMtFVeNr4BN63CFcOdhV/rwxW+ujqF7VWWxAFct +no41yUGtT43e9KrRnB5mjKCA22Lb89UO+d88Hj6b7HHLc9po2bbE+XNS86nnOtQkDEJxbtI4jWf ajU28PXETMGsnmrxxbanQkJrNSTw/WzJif0SRgczp2xiau5YmU4++BlBb8x68pK6rmaqDsETamOj A5nxdNR57r/KCm6H16UrSpuJFoR+uZOZtmalq9bm1rmLTU1+PaHEBqvNNdggW2Ndlxj0ms9Nj5u9 F0ydh2wZ8NE0Krek5ajDwlwPPyTArHLuVao60PXawzUIsKnW7AOPRwLp3zR3COCWnu/xChHPqLtM 1ZpkpmqozMyGLqNPBRdH0SdMnKvBMbsvfnfRuSL5WLmOOqVBAtIuSBj0a1bfhzcofkYE++HIq6pz WO+AeHJYjWLhpA54PNif5NFZjVg0gJbDWlaUOKNHjXahIAiK02QzcXZSyGYanbCxU1isEPIsmkXX Fd/bE34quD7kfnRYFcL6ho0N1IUQ0IEolffF2JtfUeacFKwE/uGizBn+Tkx9zueAp4PovD01ZJZd f03dV4h5hnk3mtpGRkne9xnZDL2hsZEM1LvCzvNUvtemLx5+KrQQphTYTuLAMwTc+WYvHWUdvidO TplJ810D/VCNTOUQw8XO15SyDCb4FY8Hc/fxHZ+hm4zhtG9QlDozRBYOG/7RDNUL07Kvxs92tvKK xZ1Zbk66M8z5lBanqJow66Dy9Ul/9mQkh60TukwFOfpNfoa96h/ZTJKyyctVAtM5sfKCxQeBx6MP yOPPVKLdgTPzDdpR8oxspBEAI05BqYbbgC+E4zZ06s4G7VBnYzMALbGa1vQvCLEL378aGwOanSSk DOjmnMANHcb923V5R8lzY8lesqTqslMSM7abuRDwDng8oqQ4sEW3FVLE6enhfs+eIQWAxphEohCg 07YAvZDLmwKtukHYn1hogwHI+cpXcL+dU7HgokPY/AJfkJ9aLzQ9RD64PT0s0DLjqwaNnypAnXA/ 1S8B6LFv/f5PtoXHowD9LQdQoG9GRXjtTwh9HjQpNmXDE6p9tnx+KT5bPkWA70+cPrOsmKX44rDM BlxX1/AUMJdCaMLsfLVFWXu/4Hll4mcE+zMlvkYDTy4anp6/oWCVSIB2zBZMX59PJlK93+0J2YJ0 7GZvQJZCQ2KQK82LWt1ssHF7QuSGBHpHvYvf7xhsQkaL91cCTpN91U624IkhUM5wzg4bYztKn+Gj ghFCv4u252QPgjX1tPF0JHH4C1ZHt87m+eEOUc+FZIJcjPC1tsqwVXW6iO3CFSPp/RD1bKPlchf/ ejDD35CQotEiDE9VuqO9BQWmfUGrFG7HjwiKC5OxEUl92mhknW3qZtrVKp7+L6goytw9lJ0dC9Sh L1ZXM0ZTsjTxXMic9FTGnm0yNjvEbahdSN0DLQ9mdZ2Ipt2BQ8ymA/Uche5t0Il6/AOpRLKjpnMi 1rmM2nzh9uyMrJwJTVp4Ori7kt/tRN3gaNTHk2pHwA1EHfBlTeZnbNOI2nU374u16pf7DgXqlsCY cmDq4xkKjtlPLNpGSkm502B9AD/Du0PWBISNLQ6Vd9R1FpuyNhZfXNTZgAWxDU0Lno6Kr29KU0Ye B2Vr254QtwEpkpTNvBS9k2SUpn2NA88B9NgT4jYmlZ96y748kMyFQ1SUHCJb5OczGUjOtU5LDH4+ hdqc+BmRtjwbPz3fxZryRYJv5xQPPB2JO6fv2IP2iJy5uT1h1zklOqGYy2vqph6xskhyxWtTxZ44 d+5qN1df7sz0XPqpCFQHpZAsa5BGPlHFbP9ScffUm/4JVFcL5Ui4qGuYZzLp9MWn/wsfhbSPemUk dpQ4o+EGxIbFnpmmeoW70WqEgIQBayjtToTYmGJTskOhxXZnJ8QzglfO2UIYkrI82vYFqITxhGZI //BnRCobutApQ9Ku9uw8EV2Yr3EKrx31nPsv7VBSuMuFy+cU5c1wEIeT0dBAcOCGRuPnXMaaIiP2 bDadu/6cqLKYOjPVl2doMaEXg+B8LowT8Ql4BnoADHIiCi7mhzpI+CHvOySWcqVcHqJPtvlDgjE2 D8g51pN/QATM/G5udKTssP/xTQpV6pA8S/4EyWEmfJEahwA2UGsDsKZkhtwpzJ7lyjD2Xbz86mj6 bAzZ+zkX0FDHv0JV0grY80kfH8/Jse1tIxVIVl6NcglcVF8kTGc5OT1vzeeDAuNK8HcJSgD6U8bd pdAihenZdnQvXWdk8LqX+1adCtAEbfDnBOhnoTekRbfzA/451dHEdJkAO3xjhCqebJqx4FTxIAM6 eoP4VfZQwy+hEPnMFv2Aiq3K+GKqUedCXvwDglQof48I6YENMIlvUtiHxgR1NKdYDjhkM5E22iUB HDCXMRui2ExQELJafLkEwoKIzSkPAnLeLGn5nTPm5vJ4XSxZvKYolx7sQZchgvcwDD00wIQ5grcI H48Y3t9uIJtF43g+pCibRhJ2vvdRzKSTUQfNMpQG9FWGKOQwcQnamwS/oneUS4URKrQgl4CIJwWi XwFc6HCBJnJlDUe/qdiLHxIMMyYzon95mIKFlxt7r5iz8fm/0bzZOIPDUC/1+ZDCljT4fBVAFeDH 0PrI7C2uhS5zoSo27XGqPqSwJy2mUzJE2eMTd0pDJHicpmYEnWquBRh7IvYSO2VQIF78nHUEJb0s p9fyRUxCcmHYMjs1GR5/36NaflHBcIOi1PUtCpvSi4DfWm2gKnuidaoyOihxoLonqZZJf05w+dOK aU/1PuaDBgIrd/YmJ9wC0xTSMTsCOUyohNQ0gcgx7WWD5IhYX4qG2KKxBn6xTP3m7nz8b2zL8mNs wodykFMoxYHcugAVpLqehhsEdOTigJcGSoKFo7AxrYFYUv8+fYWjidsGRlds3E8S2enbDT4fDk11 cexCKD5/SITpUP4o+WdycnT7Z3ZQqFnc+Hw0OfxOkRB5S//6jMIEe0MfsppSANyDzTnulDzNW2fZ 6w9KJgevL1crcZ+KG5Ce+uyEIChdSqnt7Hxp6i3iL0oNoNye4di0l40uf0NNdV+sQAMqVDX75OPB SavfJ23Q3uqx30khLhpif0Cn2K2WDLCwS7V8O4NYNHyLov70EDUjS9Mu5xuMMLqtxIsjYg8QSKuA m/gktmwAhQwqsCHUy0YDMnaGkpR8kyv5nty0sX92Dtzk41ER+12lQYq54FOyLQr9BxcN9kp3PcR8 O/ijXT1EXkncosCAMNMYGiVIzb5YGXuq1vM1A+yKeA0zRmoMTIB72BXCoN3YPXLByIEDoXw2AZsq xReNoHefUh6BkHgOLQgfRdaiaIQhWb4uYKEJYVMbjSNVlLIOKluZJp3Ks2lmyGIkcCHEK7Bam9St 5WJ71MDbSeSi9wTAxyA8cVEbAyoUtf5rSp9jF0L2X6ktaYtO2vn2xR84FwUfD7LsUu+9n2hFW+Zj VRT7EDI3qntYPUs+COtZZq2qZ5Uwdf05EQSP6DKMZ2zxgI3/M0yYbKPZSJTQwoA1g0MNUZDL8kn2 stEoSKP6bBNpBewNV7kkjfk6+HjwGeXbLpL5QfmGeuTAjFAOmG2UniwauYrbxm/acuzWXX4rh26E 4JtBtY3U7uRWbxShWECQmJc5Wo+k0y+m1+g/N/xn61ZzGp0DO0LlNlSELr7wqEHPVCT5lSsfj46a f0bmf4BterYolLcT0NXgrafidE8VsLEMyJnIimFqFDgSXvVRwVi5eGqU18lNt91pEO2j4FKnWgLM tzNMyh0vpIs/9CRcjCSlEQrMRU3rJu9mBP3Ox4OR6zVNE/gKfbXHcy8wJVRL44QuSlFQa7Mmi0bS ps+0/CQfs+vP+SNeMdXui5NZzr1+4mkToQ5kZ/YHRsVsFb9FBBWPRpR6yYEtIRI52jaW0n3BD5kb 1jyq1Hrh45Gzyq/OLMgbaT8BO+pcA8Vzfo8m1Q+BevkSAvpj1jwQbLyf0Z8B07OSrMLFu0dwp04E c/eyEnTRFrtH6F5kYiq/ZTanvW3QPuJ1lqU2zsUaI6tuQYd62Xz+byGb+4EuVLvAvByYE8I6llbn rM+A0eP1A848EKvkSQH7gVCpTYocVvrSWEha2vVqadcN+elCDDcw0ysJH3KSAUjSdErs2c0vMCJ/ SHSrISvqINnaogHIWCI+nz9Gj/9N1IQXP3L9Vp4tClNs6NTmmUzst6ixvwlnX1J9yXU4bz4HDoV3 fF9z9cWL2T6WWr4YT0+MHlnxg5ZfyQ4g0MAAMtXfNtJjH0qumy+8+TeyI34Ec2U+HlF/fjPrZGZ8 9yhET1cMiVlpCx5cNJdelEXhWTuV18j+GYUYENHmxe9ND78XCi39RGazKTyZEp1V0uyc+aBHhJm7 +2Ble9loTqSsqN9FjaPzxxpGpgw+HhX8F2DOhiVfJN1SLXQqxMCuAZqqm5+25MIR3RZkZmKgmz+0 Ktxq92dNHPNDUED+cW6jViX/cn73NJEfiycOg40HQy0cWg69CqduNaZ+hbMCGcvAAYhpAKhYfD64 1h6WODMhAJLaVRDKoV8hjDtP8kLbh0oNDx0x8A47eYlor3Vvr4WGhXR8etGAaQUSwpzpUOPkFGxN 1gfgKSA1QsFmnaPFgVoOHQuFvsb2+GJgkFOrMWkFSZDPRzzf34apqNae/Cj2LDxvxzxPY5HGSRP2 iCIkakEWOFjZHoVp9pChyrqLH7bVqCbEjv/ZJN6SCEjoerO7ltz+QNQ+/pCouyYkUbqL7jV0Err0 tUfj8xFi5nuP1Lcaz3cUYkIWbberrnxwl7u115BbCzO8YfClgBQYF1oHEh5RyRffIyBlamXvqJQJ wQdKmaHsANXsZ3z7glZ72WCwz/zoVNhSDWQ7VGy7KRfVXlDShtaF+ZekB32t86X75tC7EFTZxj6X HFiGW9SkWR4Hln1t40NgdZX/k3Sky6MjPU51u7fa/fBY3sQRnSyAegzA2fRxh9fUM8uxeyEFzWoW syxPH0AmVqaU0M18POr2/6LUA/9WH2/i0L4Qc0bcPd5eK0m32QlH09EhO7tRRA79C6XAlRJFc7hc 7PlJtGGGKCXyMWWRNSHiWdDwRn3ggrdKs0MDQ7T50KdUmt2KCwJjPs6L+/wgPh5RO36hz5FAYJt9 i6I0G3G5nQRGjI5T/0xda5uqKQKn9XztrQMLw0SbdY6vuy/eO4IWT6JZIDz6dhLvbgkQOiBbeuch a9q7BiOjTHRa23exBPLkqPqI1uDjkVtN+qrVQFMsvT6xKCQmJspOZutjm/MlMCKAlBtGJN8GZOBi aLXa+SbkGjHT0zlCClFMPGdC96PqI8JpSnTg9B3iW/BnBDvUeO9P9o+4GLdstWYq442PRwBij9Yi eJA5+qRGUYqNO7glCnzTLGvYgYPiynCYyBXdzoGP4TVkkV9felBGvcw6aZJO/tQJzdKu2IjW+K3S K0sYLLWNQhvDugSQJQGYizYIots8NzlNPh7Eofkbgl4Bans+oSi/PiEDxIVmoXrm7p21ag3Jc8go G6IN+iM9ERp6wxdPi049BqZUkg34SYo5nzy/vIxBBDohjY1AfEP4PfGHRMrbxO9lofmyMUnWqaOr CHhQ3s6hlyFtPZ7bDFDtdIFYOTQzlPwJcwqCZFOyOESOCm/80rfD9HPoZjhktZZG9eWWILBoMVOW 89uGCJlIZjxlkx/RN8g6h26Gaoj0StmmXrdDsdAOJvL+5KN8PKhAbkOEMR6zNKQNd4ui5JrdP4jQ GAhiDpM4a62L2Ine/PaBWuBoiF4F71vC7rV40+gUmQNZNY9ZBQ5SSuaDDL/zt03jcqRHt5cNqFQm 8ZGzL9yjc0ytzd+y3vFvMlUay5ZvffscuxpWxJ9el3MZzHB2EY1hOBHMhW2LotwawQB3lBxa5t2i CoHj2SpFYjIM1xYntxWCra3i02c6ZWB0ja5DY8PJ5nUe6h0NR3SjZ76IeG0nIvL5CDL7i2mvcV56 PqSYsDiu0keDU0uTURRaOE5oALzDdynMrtkSWVm7lJ8PCXiOWqgc2KGILrDAidzs758/mHqC+pDA INTLBpmjrMX+JXeW6QHCLOMUUHrJSMx9fm8SrHRye4BZfzA4pEuLGV6fJ5b1HynX7/ahySFHgcNh +j9xWXeScHmad5NO8UHaLnsiDXQo4tLboDXHpAHnNZMgFJ4/JZrNZg2u78KmSIe0sXQG9uDjUX79 PZtlbp+eiVFgdIiOHt02poSYGs3jiPBLmAJSkxJS9Ma6z6HToTQ/Vhe5qn+Rq8ZkQ5b32jnEI5Fi zQN4/l/7maQ2JKk2dHvZIDfSh1TkBFn8ZkgQXtwyCEdPJDA7/O5jF2ad1IO/WxTl10jiTqgz/4iW 26V3NpvXZopy+2cUAUU4QUQm3X1xwNGpzWA/phIEDNWhDi17cewht8fjOdvLRtKL8vmRNEEyaQKI 0kjKEAKGfDwyJfHsaHBnF3wTbnYU+B2i2GfNbSOQU/g4bq3u3h0oklfzcBQDRdiaZSGr5TaxCzTf tsQX4afFphHwWScpKqC/5XvQ0rKX/ZP6YtX4sWZHo0B9o4hVlpdeMjhpV6BAVSs55ivfTQrb2AQb T2tZg5A5TR0X+mmZ4WguIiDRNYpND6XO3WVa169p3bnNxj4ZBcG0+dzXW0y+AeDCyb87QS3efuzN XjbSGNTEiCrL1QHf51dyfoukOhTMr0PTw1G+zeZl3FDaE47CNvY4IRsUMaqdndKT4CIwhTEFbBR4 L/Sa01kL0+wl+QGCaubjb3M+EcyEikZGwD/Q2uEU6OhrQbL8fA8PW4Zcohw4HyJRZo+/CL9WnLCU SEheitmTzwe3//hGidIbC6Mm26TQ/BBmJC2Bk6ZiLVk+udCNd7BISX75B+6HSCKYaC9hRlZ/Cv6B 9gfzUNiGn1+61FDpo1LQ12zp+pQkf9loj3SfSQwkuzvkHl1Wf7WuxccjocFv5Bp4d+DK3S0Ku9iM 2QL2A5SQp+r8s0XsjhArQhgDr7XQANHEhCGxYou2aCBFOtlaJrK/w3ksqScChA2mwjxrKteG8qPA AVEHGrX+Hr6ooq2QccZfsLbCx4Nb7ZdoAexBc71i1Dm0QARgE8w6syGD9pRSyEagk7AiRNFri8JE W1opi1Jwez1yleflT/lK5e/ONj9tWGA+T6OtxkGyXWuoHfVDIjcFotYHG/305WLY3uej1Yh+pLL4 fATuu3rvHAugt9auh3gOfBBB/KQcSDfi52jJUsgqXNaU0XG1u/8PRojWoc2+eMG2CigickxK9Nli 5gZlZggH/rSHnEbJwRwYISZObtBUq9kXEWhKl89oOdcDH/+b6jIzKbQQxjWzy4EVIpxYcZ90Qo5g 6SLIQ6UuteRPgYPYPpyNvRAHP44xiy83YgNB04nrhwA8fxvnVhPZDCBIJpDS7W7bXjaSZVRJ27Iv aoyMIlDqSeUrH4+kc/0r0kgNqn5PdhTaIYo814xdDcT3sASyuy7a+U2P4R9RCBVRu0P16ly3MVL3 nLQVYh1yvtHazDlpMCnqP+AzOFSEtgo5dERsTaXsvgu/onNA+e3Dlqzy8aBDm9rXFrExT0qGbVGY Y0O5cmQ3VpAGrSCiRtqHncZFQQSmiJlpC6KQEfX3HV+f72hBTI4j/hOXF4FqQBzhfA2KSVzpU/Ee AldEFO3inqsRWW1sByXRJnnps/18PAIcfTvbobwGpv+59qMuNgAQkGuykzbzEiBikwOkPv8gLkEn LUKKsNhCi7H4chFHE54cdcq9ba1EMPJECKc+Kz+rbwmwHHgj4v8iGHWRbLnopJ1fbDYUxObjUaPf 7zQTI8ZfZfm9/3nNjLK0rQEq9zpkjMf+z7B9mQAiZkaveVHGN0yN88xxGhdv85+L/cQKjRzTONW/ OCQsARZxIixHJAGP3PE1KzovKkJII+Bfi9Jr8KDxT9A6/LzmRHi/qc6a9rQ0cZX0X3xeM6LzE5Ft wdlrO20GrXjO9Udv7s3xxOlga8xVE4mVLf7twAWORmvsqo0uz1+xrNHkRysJv8YHpf66NYlJcUE+ lXyxIVqTsufJz09O/bo1yBOSbY1AoVDzoRcO9+Y1FTpPIfU5t0pWPXb+Lmjl/kNBSGuMQFk7my7s ayKUkStSfYswOi322UDCfJiZeK6QDlauhDQG1uLWlJWzAm6Rz2salBE6ljgO1Re74hGqWd3v9nnN gbCxRs+fRnDArtDSDlvzmgDhRHVacWy3nSgrWzvW5R4wxneWzGv6k9FCEeSanw0XL1nhsVUQhNFB O1lSJ0dk8iNAu6eV2x2igNfnNfvJku6Czxb5sZV62fg7YZ5Uic3t5+P/vCY/+ZGNmzb4r5Qqtb15 TX1wpBI9pEx7+tzqhileTSr5GN9nMrjoxRodKYWZrs+m388G5OEJIIDuCISeqqERYAztRDaKyNmR oqDna9qDaFOMGjN9UZ16/taUBPyBpfLnNevh09P2prH0J+UT9HftzmvWk3XNwBnbhq6rc3dAjLnE fJCirJH/mvNkc7KDsUD1xb4cWIm0jRsJzOG5ixwFBiMUAjLowrqsCnttn9eMB7/GJh5Dv4vQaPjd qcHT9+c138mPmYs+HArh9xuLX7MdBHBOyipdM0mwRkD/R/Ly3Wzset/uNRFtTWMsltsoF0d9pAzv 0tqMeFYobgBFYaAZTrSncaLVpgRXv2Y6WVZD4Bv16otYDEWG8LxGPq95DoLVav7d/Bj4nAedW/Oa 5CAUo6W0mZkzV+4GIJqYxVi8AVrTVHKjUNwZihvlCrh4Z+ME45N5SAltAypRk+oNpDib7Z+bKWMS +HlNcLJXW7VJwLxZZwBSKebPhlb0a3qTJVbyFYpxD0xOWLE1r/3DLEuCk4MPEytgw1gzetCGzTec 9QjCzWv3kKeZJ6mp6dP6E2527TIHOwfqHExKFZ/bCQlJS+gLs62hWpTcm89r8xB7wwQ5CQKbHAIL AyFT/CrU8Aw2p6/5r3uK3GJtzmvjMGug22Y3Z+NTSpjI+x6USGYBMSAVJCWHKBZ3pcbCU41LVBzg S6EmR7ShB8eaIiqiaiUwkh+OuSPRnOS1aYhfgBzYy+q+qENfk1KGWqHv9dd7SgjqYcYfSv2itHik TVdsm6nOtDRs3u3qM5Uv/EuUF3cGYRg++2Knqu904swYUnGA7IGRuQmdqi4hYzIXWa8afDrELAxS GLUIPX0Co3phu/Hp4FzN709HaeBNcd6JZTwSpOhXbcqpFEh82ac+lys29AUzXt+w09H2kC2Vax2+ +MkC5r6LnojJ3oRmDT4esLWAW8hkfQpjxoD3eeeV4TuX8CLuCVtUe+YlxRQQiz/vtLIsz99nfybA xzvdyuE9PcYcFYhyDbsqmdIGUyx9NA88MlUn9iUqqyr3B21uWy7atabUVFZBlgSdZk4vGzkUAP9f buKq9qrv29Ob6iqCFJuDFPc++5rkKoEe2HuGfD6E8SssgwI6yW8VCCBMdGDrN7yRqg8VoSdTmYWn Sw5UGqEGgVnSQzk9y810EEI3y/KSKxQYOWulnBDCecuu/pqJF/u808mYaxLvkmbzhdsDP7cmPcWF eUUUfGxcoe1ppP+yQ8if+Z4lm+fq6m5pDGiz5YGkXoog3S+J/D1NVo1W4Z5+F9seTHCA6OPXk2C6 lKua9ZUKFCZcrsM11bUIgw8/m5XuokyQWmj46y2M4KM8OVvPYjpSH1D26RfXO5EMfzFScDbbXAsm zO4Kuaobj6E9vmwC/54oo4Mm28OxffHocwqJ2Yt4ZLOtXWTPATcd+iTkR/HDWszvmfI5wfx86ua9 Xrfd6xPmp91snOvnnUWWwd9dv/YHfgjQArf9CZNlRp/h+md5ufprLWnd8ftynYYoW7bojIamLX68 EGkoSo4KE3SpvDR9xveDgcP4utmHXvU9+rRmdhvTF+U9FToHrLNm3p93BhlL2PKVMEP6oABQYvsT ZcwAVkOi1RDStZr/2AkZ01ktvW6H/0YpM9WnoSzUffESvc19vqE8Jf5KZxKU6InGdedi51jHTaOW XvV9f5hll9Fq8kXhh3aTPF99ft7ZY1kz3Sf3YfsfvVPbnvesOUkbd3brlUI4RocKdCwx7eAjhYEA j1eUNqOvgPI83cUrCnjMJ860Omrzac0dZFsZnjt0kjTLZ1YU78wx67VA22s2X9TdoUMOLyRoxURp 80639UVnAATrr8s9SpzpK0qfc/a+RiOWnpdC2+bJVpr7Qr7zxp7GYCN4XNpyFp7XQBeP4lXE/ywz taEC7N4/UCBwoRh/1Wh/WI0S7qmFJRehatLSwSg5Sp2FXfLwQ1NStrrVGYxSZ3Rzm2xWwKtL2ftf NmuHSoxg3mwNhqmzmPRN7Lp22Znn1LUO6gWGpAUyOmK5DsZxlAr1m8VS9KpBdOZwtE4VFtMLCxhp yZVklvx554vxdHlTWZaHmKPc1PmdLZY1NDzB2cU7s9u3nIha3RA7oT3I4PNOFuNHyOADII8tFz0G VKVg43n1EzIkjKss6YTbXtvDqCt61aDuonFC7TJ+7p5A4Hdr6p2zzc87VQyxPfn+ZAvqmEh4cvhO FcsGRJgX8TspbUBQ9LrZzyDvCLfXO1OMuYUEPaRSWR8I4vliVmc8QnQ+VQql3BMUaQDzJl5qPx0N e9cg/RECsQnz410T6FMKR3Z+p+3zzhPj/g7fIGbKuO1X9/j8zhPzAzZUXFD8bGabavG3LolBNXw6 /5igrZF0vZP5zcUqd0SanalD20GkXonguokkutBLCixtA7N0zo/faWL4IcT7tCkSy3RE9KnvLH1O mU9Htbv3w/AUODRUWLP9idJn+BFDbcvRh+QgEVpXl5mKQjnaT1jYZea0j74GtvhgdEGIGhBy+tLu JgzewveOaP3DeO283qRXjTob7KAuMQ2XMw2xOUuec7uVzztDDFfs/q6+cG3mXbxf+E4Qy9K7biWb BW2DooCBoRCVXXu6Wm/jnR9276+0JXC6n44hztYpVYhiAX1yThn/SAr1XPukr878eCe808OyFOcA gBZ8tV346sT/FUh+rs87PYyfuH8/CsfIFvL0C/6dHsYONY5VdZLhSVgc57NJy6DpKhuz3KD3/FmV 9fly4HZti1fvu9c8ukkK9vOr5tU1YVBVge9GEnKbP0uv+h6A1hB0hT3V5j3Vc6sbR/x8U/vzTg7D XzT/itCCU2F+p/0J8+fCuDynR+jitJVZp6HEBzZNEfo9f842NC673cUCUOlwJWykZEBgKA+bExbB 635qeaRO+tKrBvujtgaSeVvsCpupEpsLpt7nnRuWxeB8AhCS0byX1+/v1DCWbR2TdNPuOEUc3dhO XEBepwCNFqDBVt+ZYewJI/Wh/JYttj8N45nSFaDh/0Z3qXMEQTLGNUbus6Ofh141SBDVk5/EY3JR goiiSG3nzDcMvp85vjIg2FoUjqe0PVH+jN8COO03/pjVMxyHrCufU3LrhHdeGI4XGXNlMUHkYvFn Jwi+Sr7jfDP1bJFyyEzTyqz4Y/EZgeHzTgvL7m2DIt0Xbg86n5QsRnb7eWeFIb381f1hswOy1TY+ jvJnRDkg3K31LEIjri+CJgyRAXq25sdx/sx7HXKbttwBMii7oxfTvj+VGLsbm4bmmbpZN/0hJOOd E8Z5FWd96h32S3DO0DNlazWP/HmnhP2qTvlmm+I2d7oe5c9IuU5N2p2iInPRE3wmRWJFUaFcH7cn hmWgp8qMwBZtz6ywHodYnozHWqPU6Ak952153yKcd+OnFL1ptDuLVenqvig2pyXgG/qSn3cyGGOz 3128pkqSYaftTpQ8w7oJnVVLnkdqzVBhZXvyrBCPs/VOBcN3n9kzTNwkLn62cPHR0Yfyk6U06Z5V 9PihqVzQ2tDH03GuP+9EMBZfqrqkXj5NvRy+uRTNRKrAN4wi8/hOfeQT57XFOwkMMSPnmxqyC5ys +FL8UOgpzo1/54Bl13YvU1/G9LQNTYF2ok/L0gzsKCeaylfsAeXDyxUwpQrFOwXsKS3GEDoDVxTx gQnAYLa2zsVYPu8UsPzAChWbK0JceoJPlDvDC6AJxS/bn2SKgWURPE+ABn2jUVu887/w22namX0X nwqiEU14NwBzcO5l9ywj9cB0djyNn6L3jHaHfUOZGddrZpz+n7EvS65kN5b8P2uhyTAPvf+FNdw9 Ann4lMGS9bPGlXSzmAUiAzH4ALd2m4HOzzvzKws+/hWYMaOU9hX35j1vVq4F8WQXVuhWYZzIs3xg upvrlr7zvlj1saAA9tQWnwmeeryPLd7X+XpG3mYMgL/FKYXm18yLyu7vtC+cc46TW0vZF13rPS39 6k9Z93knffHofM8EYbdK0WvbnihrZltsEhVMiMYmNf6UpY0pN8vSUw8UlaXvnK9sjj85S0b52lRD TBLCnmgiMfSMnSQCMyC8UwndKGtfRlPfeteoL6aPizNTLjw+gN7zEqs11c875csKTCsr2GRE2Kv9 xuYobRZ+hFwrAjV0sROo0bsBNaRRy5srbjuzsYE5BBfOJXmxI4ymLc+x800kMk8hDbwEYim0erG6 fSS9azA07en7++KcGjsEbRTOrWBc8XknfGF/9208899GT6ew2tAWhZlzhfCNG6wCNnBlFGux0nQt dx1753tZ2XgOz9rDF/vEFgTwFgUwOtHRVAI+Fw5qEBx04hhMGZip4TvdixGoC20pAxdmJczyyrkd SNgdJyP5vPO9WJt68xmX3ZD+wP3IotyZfvNLWjcsvWq3+51BVpbY2Qm672QvvDxv7TzV+5m399MX UK5QORTax4GXE6P4cv7zz0pXlwMNYf6MYLSjtuF8FsagU1d0U05e+/NO9MIb/hoNImP5Ki3eeV6M QVAqpUozRxfFpbggweoxCKhIkuHeaV5P62do7j6euXsn+8R8D0utRRrUsHL8Ib+FlbzOTyfe+53l Zbhb9ORlTkurCl7vkKdijAXo/vPO8mIb2WM0jgodw9ftzb+TvHgvU4+KvR00yXjZ0HasogigBOdG rdC4P1HyPJcAu0Qa5nzdW3i9t8QqF/olCCBU3gavvgKhyuazTQaR8X3eKV4GYyzEpfqiyp3zI/PC HJ93ild+tBT0eZEqwpRR+xOmz3NffCpRvNmkFPIol3fCRjb3J+o9NzXn6dWnxSenCUK0nfkhYk+f JlnCjljHjO1LfbPrVSNYi7hvEt++A4BTkM6u5nwf6/NO72L4+U6BaHQqrTvuz3v+LKnv8xFMCz+N GGq6PnfLpHOhuIH2J86fBfhZd/HZDvQ0M6XN0PmBXilbq+iMFYw8JnqIbls39KrBFc+avcn1sLnr IdCRW9ZR62TP79SunK82gOFaChuHd3vC7LmzIjU/rbbGlU9YNs4AuHTaYPmd2MXalB0xcpG0OCy+ nYuwy1nrZM/nRPOiO181p5cQHu5enMJ4iK8a4eIZfgqd1bmoA3hSK+IKzjGan3daFw7f01hlwY97 /sKb31ldhoZplSNE0LnLmBIBXBt8QA5OTyGQrC/2Tupi6ae+GGGZRXp6Kk4X5lKUkEUyBNFFcS/w 39Cq/aJ+drY3/XN3/q8l5IDB/ea3tcfnndFF/MW9u3hRoUO8bu31zujK7gnZ7mSwJSMpVwpoCDS2 psG/3wld38Vp8eWSKijVKpXttslYYgoJRJI0WO/Hpbv9nc+VJYKCGTfnpy1X358sUecfoI0+73Qu hq7vvthOFLK+1IEofcaZa5VEeJamzRDPiyr/Kk07P1JyB/5On3E1+eK1+4IyHw3eMXyHPBJvOYA3 MlVurjDJIDr+ncnF+oliv4Ohh4umgqkY/br39Xkncv0XKKqjnty38dOizLknSI/ezsbSUKdB9a/7 zGLVboV7i7HOqtg59OLiuwOGe6chI9hKILfxcoNFFM2hkIK46B+OPX5GcLNz2tWKZhbFZhZzIYTI rrdmPB0kht0Pjwq1/aNRpbYnzJvJH5gGw2yEVIjmtrpfXK167d6inrO2J0N535abN1dqdLOwgNDA Ykt+wRKNWRb99awvRiutFuXNizOvJlhvmy5FdjKnwQ5Q3YlPR5Cx74uroTppNy/sYd4MMunDy0kE YrElT+1whp4J5LOYJ+95MyIzR940fdDiEx06YDMbPxfM6vSXYfXKwSdxLTdvZl+s/80DPCet+aLW Rl5LYtGnVMTTEZz3kk/cTz1j/m/7EzadE+7Wa6SRpRBNsb/SvS2WmnlC9gi0MZauLJbuxaHaJ5Uv pKMMkroKx7+StWKmDpj5XNdAi7BZ/IygrihCHNa7CHG4NyVB0SqZePpfzCXJshaATn134qYzeC0u 5w/AmH1cfTreEGKGJlzbo6ZzFy2H+mhaHNICq2dAiliUgoBFPF1HbwFIEVNLMZotIT89SpvnltCf qgsGMWK/0PWVgv2JoQXPB5TA6dGZF12mGAre3PYoZgWCY8FPkYTJtLw7VqflPrCjB2gMX1iUOU9j Itfty8UcprZ2NlQU2v2qLKDyzbkenWgdNUZV1h6lzkWY51buogjUT05ogpoFTwcBOn3f7jDNyTIX 4/5EzEDS2RNFEymKAFo6duUcK8JbFlFj+H74hcWgDaMxFV8sAiHthx4ov7De6UpLUEvhDDj/MC8w 2Qg2D3vUe9ZMubYs6dpzAUr5CWxgftKn/ip4PNif9R2haaZH5I/2J86dkXmMYlPBtM0R6mQn3nwG 0Skrd+4hOVBTwTSnL45JAMkCbFIz7c0E16dzsYHEeH6lE/1qRSAwGfmqwf3OwQ48b3wR4WJu8u/P X3fyDf/1gTHRRqGxLmish81nOA1SCoqNn07dVnxeRfKZ4Ac2thS4PXHzWTQmQXrHA+ldpwozQ/be TtLTGzGZ9KprkFVDz9wh4ZSF7lHvuQrr3KSp1VzUDPDgPARaHROPR6Cx2ze8znR1en7Y37NnMODR GSOcmGwvY1fQexKehlRjLfipjD8RZiPx6sqqULl4ZwMC9fxlU0kLrL4qxeMOfxq8cXpgh0mvGmwQ wc48ebZogxYmfDwUJ+ri8aB0b99X2KnUQPi61NsI9AyRD6mLsjZNFJpCbdopbkxMOGC9Fp8jzIY6 GycZ0UVPg8/KA9Rx7HuRPv1ulFICXJU9IkAEqRSh2RcqDfyMKAMSD45eolz4fSHAKYHpbePp4PzM b8xYJrThIZn2MIFm57AWYTEb+kxifGWmYCZac/cnajxrapFbSb5c0EZdUAMmIe5c+BtyOlAbQ38U 2TstJDQ57ZSq7RFoQyTKVtLyReUX2PG8nkdqeDo6PvmrvsjUcN/ZQZkjyqApNdKKaRzDptmq01X2 RW2wjsP+jKjzzHQiF7H/uXhntaMVtJVBw28zVWu+UpirkXvlnVUaZYwog85DnbF1F56f3arUZk4Y y3g6yKC7Nw7p7wu44VjeGRtRAo2ee1vVbbEX1Oyl5Idf8NXSWEoRR9h4phpUZrtdizcOT+Q+93JL wqyCwLx4fPCXxDgbnKGLS6h61YjBzbSnkJnChcdnZUgo8q83N56OIJm+PfScx4UBUoltT4h5zoD0 0oeHlkaKOQDKUoaL2wNBA6O3x5hnglrKUouDjQc2ds/hn7Obe1gDeH5JQgGlD3Vp72AQg5eBHxEg MpugmNSoo/mo+T40q78gMY3Ho7FF+04OwVvOt/waUfLcgHjO5SJ6S2qW/LS9ndCUisE2RpQ8L5bt ZShGD056uT1tJvBxl4zDMH9J4magcwf1nfJ1uU9sT4jaUG7YhafrF09XiCpB7JkNT0ex5xsRBey5 mc5yd6LUuVO5j662FByhjwvxzqRQMfRkkmu4O1HqrJI8b/Z1uNi3db6lk+8QmtXx3xdaHSUI7EPR epKmfmMPmxsjajtLc6RvnqJOsPJmD/B8wawNxtlvPB4dn+/cuQDuvobXFiPMnalEv6hEP9mgn5pa jDqEzAR+kAqa+Lqi3FmGGJlqtFqcUTBP5NrUxD+x+Vw5W8qGjRGWxVS7dFOcOvyMoDxVUbEkRrtc jBb+42r+nBQBT/8L18LYjA9h3ubPCOHO5BOwuUTFPrrqYHvqMD162Dtma8uPCO5cBPZZurrW05an Xl9iq4XY8DIrmz/UlKBzDFXopVhDPPgI1TU49Oo7d18UmyFHR7bg2Sk8HaU++/v0KCn0yn1EfWdC 6hLvgf8gfaXDNjIfig7LlmfMuz1R39k+L7rhudZRJuwnTfiOc+Z17hZAW2jex0iL9JmqJYYGR8DE z4gyH7ZUJx2w2jR9EzQhSWz8wRWLp/+nmRfQFeOOBEecODMdrO4Usoz4tcSdEWQDJie62ePEOetM VF8cFbXBP4HtA/K+BVshoqL4ywQzOqcHDb6pIzHCzJnRpwhaVzBAZMxAEoUxD2PuXng+KN2bHyA5 ZWHsVe7tFabOALXM6oS42d3UsTWT3ECmd3OfsPecKfG8613uUHl0NN3wfdXzb0HchxMV0k0x7ilg GKs3v3fTu/41Na2bwF4uhpcfp9A0v8KMx4MNusWFrPjg6rQ8Ps+w+wyZ50FtLwYguGCi4tq0kVRv DMZnqi1mlDsvoVk0/+JiG0QHR6FrwMWtUD35MT/ssyE0t73AMdYWM0RtyAVjMoXubl0PbdOU1XBv DU9H5+d7tLM66xQvTWeUO6NqxhdWDbSRqhSeV5b4HPnojCtojc2w+ZwEipJU33qseE6NNTHOYe58 im/chQxAyBEx6evli65c9KoRY1ADQYFaslHqN9C9mgueTAtP/ysAERKFDj/KctueKHcG67fJjZ3p j1JC8E1l9lCF6TW+4Ixy56SS9L+0SDricdlVmJ+xIJdFbSgQdAvqtcbevPimVAOYYfO5SwZT+oPV Jf8x1d3q/PXJx6P9+SVHhyyp3sp0RskzoHxgu7vYhgbMdG/u7t6sJJf7E3ae1TJsIjW19vgUwdyu L0F6z2UP1im1+gDJpB4uoo81njGBws+Iog9zpSboRvNL4JzIOrMksVbB48HcfeWv84NyoYyrETWj 9BmaKaBgWXGxSQMW3zRdzwtIzvF+n1H6PDhQzjVPX270OeWKTBKBW015UstGonRIfWiWYXwLwF3x M4LPiy0fEKR8uftDuW7gPyoeD2aDtzMmN8wCetjdnzB7Xjw/qGRp3ZgMv0ENGkNt8B8VnSPURhfY J0lUIj0G6QudmUrRvw6jy1WzBA3R5tqk4l21DQxa+KpBdNb3tUUX3B6dF+jmvLHnGHj6X50x/Ls0 sWXFrO2JUBu4zaEdZ/kPAHxWm+bhvjKJ3lw8PlHnWYwLEEp98fznlLuwqRUZ7lxZTS4G0JvIqJl6 fkytqb4/o+yZEQtw8O2LGmMV0PMfkujbZ8ZaG99kXNKr9vbseYZcQTAuquSzqLUxLD0cJHDK52K5 1sYM5enY7SrSIilfWiT1hPsTazpB8/Wk/1BtxQEjqOUEDQQjc2+iy8WM2s5G15EdSMsWfDYQ+k2A sc2nI0SU81GqC9SRsmfbE8E2AHWGS5fhElYqzRFjufvodLtTyoyy55FF9trJFy9N9yyQmCeoBY09 cuGwYSTjN7gB3rEXqYIzSp51bLoMZHt2q8Z6AoLYtecPx9PR6fHUR+gy/POlKs+QKghYddt7O50J CakM0kqz2EyIEzkFM5TamDo2a/niqQ9s+2pqRvbCAE9UQdSZrDDmQxVU6hOK1NF9mDputnB7YK7K Qc3ZpIKnI1TLLzgmWpBkc3J7VpQ4ZwoNCoQJyZ8yujEKaPJHC+s5ulUWK4JtDJu4j+KLV+65UvGR QlET3g1qbOBWLcgI87haEpK3XiFsQ22QItRzsfi2yWulfdwJDXg6ogp6ZkiMPajF81IFV9h0Bhwz y6WBTMqyrTQlHVJtw1Es9qwwcaZrda61+eI3F7zvNNw6saf2OuRRuBhC2Da8fZ/Fm2vFInViE6j9 031mCqklzkjO9VvxdASo+67cIesKDV/fnlDDGXfrlI1eIzrKPi6oE3rswWBV2xPCNggGp/MCFn7L TTo/GL4ADIKL/aSqlI04sQeFGPajk2h6adwNPyPoOm9eWXKtbuXiDVHLm47NwNNR3vyLS0D3oeJV 6Qq5gqBaDHG3WZWWZm0xKgCza9iAW9LHFWKduyZeqivaU1dAUeUU7pKRQAO6yVJuYT7cksEx/WJP etW/2HBtkivIxeCqpbKthTsBT0ex51dPHsFw37JrRWkzIFDIS4pGFqlPlern3urV2mKbAFqenpgp yNdLYuykK5KAKqLCOLcK9DO6LK4mCVKQHG7ja6Bsrxq0xQQZm8+itLAWHn70HjOejm6u+quq4Hbc 7YmyZkLhdje7wfNPSzTlVamUobYYtEl1emKRDbK8mkx12p23tz4KPOMwf+kwY+q8qYD3OWcVTDOA 6+z4lETHoRUBNhar9rrS9kVlBZRJxVQ+RS8ej1TSr9r1D3Cc50/pl+q1QrRzIiChu8zPMnGo87bF HIYLnckVfcK8uXTRKLcvnjdDi7pPKayW1YZUWuCmcMrI83vpAHmo6UN5bfyMCPBDlGKXz3Bv7nW+ QIskVSelhsejy+sXVRk/pi3vyq8ocUZ7GorXSTaDMy+nMmnKjtnrUF/11BUrhDtL15k8Ny3eNYSo eLO7Hb0S08+Ceyg8Hn8oi2RNMXblV5Q5W9fH3HTm9Mz55G1i6pzwj6ffv6/1qLAJn4iy76aGK6QK oitW6FOG3KdmSw1PclJtasFTYOcn7juLv77v4vEnnWp7s8EMoCHQybSGw/CoEPBMj0EOTZlJ810D gwYkLJIMssW4TBscDu7Qqng8GLlfvikeQ6cLrVnfoCh3BhiqleY+8FmIQ2Q/jeBn9cWaqYiuPwWe E6RvfPH7q2+oQXGDThHQ0A8FXit1qFSm+sMRvGU/Y+hV/xrr1CxgS/bO7fl1yGCbCAw8Hp2g7w8M nZZa7lxnR8nzko/ncD7BGGrGr73TcsRGq0a32CFXUCTTLqpJv35nJ8QsuKmoDsWJFmgUIpxQOYUW 4/5tvryj5BnhChsjnbrsYhUZEKMpGdHBx4O+2OVb6DJHinhVfvZ79mwOlWuXZQGaJxUBGrrbFqB3 ufsTC22IZCKb86+2c9/nl7oIDQViFRr1DNBQc61AmVDpx0yFm71qhBiTkwXnXvXq+sNLTR59kEbC 40GAvpgNBhvMHHbz4muHqGcievPWvX4SaRbsC7Ps7vnh+aWbjM2O02dWF21UX7y6GNQjndJ4puAb +6qFTnULszavLgqtWPEzor6YIC0svroXX2uVJUfYggRox1TBbyplpsHxBfTukCrYJYFt1UWRLQHC T2mOSkjNVdh2iNlQQ6xpbOpltSxiJp1ymR/OswV0El6F+cfZI+gnX6pg0asGfB2DzIsCtZcbLp5s lKqlIDfi6X/KHPLNUHc1v752jHfGKIfqXog+pRiyd1Vq4YtRUIuxmXZMFZStkEETHqogtgOk1aSp BYAy5Upggxe3H89ONuV3KLVhyuBr+6LiYgwVGjDOw9NB7ZVuT54fF5AhT3a4Q4068dxrNToTkYLU 2ph+ek7wcf39HUI2uoZdEkFajwjSqdvPf6IvJqiC8DcfvN8omApu7HpcBClFsqOmc8o6NlLS2pb9 nMDZZf5Sz67j6WB/yi2+8CA4NpVCftqfCLOBgNhmGjc4uw5A6806Pzi1vj+hRJ1w8lUK6vVRUG+Y mW6aSACUAFmTLTgvguN0f4Iuz9emV424lIJjCpRQLDhjp7tpaLWCp//VdWbnB3ncvpCWHWI2MjEb y8zek0RbyGe6/oH0Z9f2hJgNghIAQvbF+UwY4qcsvs7JpBNQEGzKM9DuHySeOj6FrrT4GUFuKEOz 9CzWlG+sjcCGG3g6uLpuasieCCzKKBVj+xO2nRmcU1KkaRQNkcwPIeiimhLaxejzN2iDVs62fEUf laT4vOD/Ri36lPlqFaP9y8Td9qaRwLxaG1KycaWKc8cmgidYseDpiIvyLYJEDh4mc7Y7ocBzKbzP TQQJw2txKRs55/J5lQF85x/z/nFNKdMldZ3T03XuMA/oVZ2fDaBPkr48iPNQkxlPcMZVwp8RZYbi 6FCMpLuI9LnZyxYXcpzSa0dd575+CdSBYFUuVD6nKHOG4GyDxYLZcmMCj9bPrluijwg+ixavXX9O cHyIM9iy3uTiqU+Dxx7APCgtYHKJ+w1TVI6Q8g+NMQzyQyUk/JDIqyqr47wdDZ5VvUNKgndSPwed f0Dk4ZC+qi/kdnVec52cQsogPjHow6ABRhu4TsIFSm2acROVibKcIvwpzJ+7dIwpE87laZDNc3jo kVdPuC6DIbpRkJWcbs56rDs/tr3tX0LhRZdY8UsMY7RtSoejNT7/L8o7S1SEwXxbrDmFPilr0SnJ gb0CRKFL1gzOijjUTc4Gf04AfJYL0arZFy9S84BSLHPWU43lRVPoNOjtjrkg+MsO3iB0FT/kT2yU iUZx9NpUxk/0Cn5I5lr8AwJ4Zv7OFJugG9fNIUWNaCB7AYqyQt7+6WTS0M63XKhQF0teRKGlIM1w T7y9i29SAqa3AtzW0QZSOnnKGAAT6EFfHsOLJavXFCXTnWPUSt6PFl33IJDzb3j2i48HEftqZnKo uGmLdLtlvMeDLAyRelPlB3gOQMTZLgPvhvbK5wscy1Ca0q943STBo2T2lb/MvtYJygDmk8Bzrt2p z22ga9/gDLLHpehyvMQfEo0zNAUTA6O4RV+CNgYb7nA85vMRyfux0COzrcPZ8DlJYVMaUKDqlIJG aRF0F9eacktByyMjaugkhV3peYW1futrgcvdMvonaLpCs63IugCTHgg2EEVkWKDtL/sX1M4mIVxE cwJAlnuEqowDyffHfwlEAr4CN7O7RbF3CthfUpnQSFW1/Mkdmv47ODd1d7ZKUWY9NTT8b6JcRqMs b6ZGJ0aeG0lwX+aaLZuIgklEDn/ZIDmSOfdkROJig409ZA4zd+fjEdXye4vIJKSXhW1RKMQBPGvN XtlX/vuIR1APs8oeMF2PR2FresmokuZoXOxT26e2P58qhRBxoDpFIhNLv8IpTnV9bKDo7GUjLjPv /SqXq0pyFz615LCOfh7i89Ht7/GIAMaKrKav52YLM2zAghaCg4lFFhNiHWIfUK6wPgZykSBHlUp/ FqwsP7AyIF/GphbeSQXOD5K/3gLIq1ADKN/ZvNkHp9hGRY1pwjaLOyGhmlFOf6r2yceDT61+32uD LldfWxRr2WGsSmAf7zWqf3ACtNadALW5fIvCDvVSa5Fy2Vy8iD0nrFPujdBx6LWxA5vptL3lBihw kOS1+UOiHhEvf+klVWeeAUczpRg5mESGViq/eU84yI9iZA5tCDHdPt9lLTZFRI9L4DJ1Y8n63t23 KPAhBGtLGuKcAnHxQvbc0KNl8eZSOYltzp6MU0Ieb2DUHnlh5MCI8CraoSVsi02h9xDtFlriOXQi ZGvhKxphTPaYFYVWhE2NtCkZjparCd+sQuuy/5AeuV2aIwdehOhystbvUqPvjxo9ZvOjFg4S8/lP o2lWxNEild7q/5nT59iLUL2iInGOYoOg3cAUNp3DyseDLDt/12uY8pL971sUZtmsZ6fxUM8/dX1y cq5XPTv7vFsUqkJLHIheuFpuwIZfyJJm9uio6RnTKxJeyK2BSHcZPsle9s9Z0My2aNgKVUEZdnf0 Q3JgSAgk3J0m8qMCbAVKtL5HUbt6oaalFbKCEdloREmTTcv8ESQ4/9LCJJuDaErF2GLH6GQ/sCrX vLUD9cqOfyKWYFeQYtftV3MenQNTQnxppB+snnzhl1Z6alJYWLny8WCP1ncwos3weoq10JbwJImA Uw2buJa8baCIi8zhVFQvkulnSDQszImKkB/i3Sh7hPxfH+LR7QQ3VBrP8HZnocspiSGGdPGHzoRL xu9b8uLb5cXH3GkLUYU6ILQmZLmuPeIIG+1f+CH5JkUi0V3u72l7OCrZwlEjDo0Km42c564/58/W mlTc8peKW4G9cFsS4qpgCutSw9i1YI4I3VoPR9R5yYE7Ib41gvKmypFpsNGV0ETWKKwXPh4Nhr7t 5WDokPNjnxbYExokeBCGQ1BnTcaHguLYj2txuchvDv0Jl2zjiHPRYuHo7EiF9iI7I3DAapSYa2SO ZfJFv2U2/W2D3Ghodp+2L+qMkCOiOqRsPh/F7F9250D8tIvNy4FHIcZnk/q13Rjhrcs5ZLcpTIPg H80/tkiwo8vQO4k4n/Yjp33qQDia8mMbMiHGx4aLt0KQGmaDuvkhymkvG5wjZkVDVrJcNAI5fwkB y6e9YyRp4vNX7AY45bWV51OLU2wUaER1gxdVXVJpnd++WpPQFnWblRwYFcrHjSqR3ZenDlm0J+eE OvVNX540ClU2KR1WHowMR7A5tCrs/Miqcs+qi4iFSCvST4RdNp+PChHfJH5X0th5zlGIoEYbMkkY hz1/4tBOPVu2abwBKr+qn6MwybYRmgaN6xk0nhTifE5NZqCnQhvqsO1KUBDaRDh67oaV7WWDYk12 K1lAtJycPgZZ86FPbfDxoA4pv2I2+EMVOiW2R6FjIZiHp4DaVqtVXqi42KbQn7jY5kg2yc+hZaFt zu538YNUIYXWtii+qbNpjWEs61q0FWm4ux4sWg49C6f6a21LfWqbbvTZ27LZj+wF5yhwLURBPL/P Ebrj7bGUDW0LAbc+tw74wBzIwgyO/bUB0zAQE9Ffa8ahz6Fv4RActqgvUh5A457nuz05HuMRchr6 FoNED5UB/H+IC2odLY7UcmhcCO04tGjJs+NieJCTczFrhZgEnw9Kkfotb4sWUGEX0fYoVPEYNF9J zpQCrZR7VAuFX9iobWX6HoV59lD2LK23ei+2PgD1bFPGsme36+DU+gSkjfZa+ZnJHRAobaeX/VMn sNKZj4vuNTY3+bGdP53PR6CZb1SIGldXRigH5oWmQ5XlDjo5o03eX1uyMYS0grs75sC9EINPwWbK 9uVO9qF12GUdf2Lc3CjR1N5uaEuMb3vQai8bjfbxkWXZp+ZNIDD5druqM4w2F5+PcPn+rZFmDvoV eTC2R3+asNRs/CiM3kUFOln9vGqTw7Vcc+BgeMVcE3tHJT29o3oKtkSiI5hm+LoGR3D0jsCXzv6R ja+pZpZjC8PKzlqX0WM3AOVCG1kGaycl5OMR+PzXTASXZL3wkBx6GCL7bwSzWHutOT5EQBp5sTi4 MYcmhkvhaIqcOB8l8goPVsxRmR5tqONpwp/p5ANux7x6t0qzQxdDKQo186JbbpM18hTLDNU/H/8X u4OBBznfo0WeQxdD6lZMd989VUM3H1WrRBantMsr2sDG8KqRZ3LLuNgW7VOqn5gthH5Jncqk59eN eHtS7f6Dpr8NRJa/awSCILcMQdYWJZDgpDDHPhkHHw9y7Ctmz1dDWdzrs0NRI5uab4lCLkSJFAMZ rZTNGxzKHi6ckwMjw1urtXIX7651oGOWqK3n+m+aGW00TDEo+WnXTGPlYe8a7JBJbbflC3cIahLS hC6M1lGK/UCIeeAQyXp/PrNQVXpTeIlC5PTLskJ/CfFsOBHX3M6BleHZ6cIQVElTLPeyoWEWBflZ zULexPjBkGKG6hrtsoTCUt8odDKsyosGaXhcuEGn/JMSBIxI+XikXJG+NggtvdK+QnWUXoOwAuaU 4dRmL9ugIpsfGaEiMgjv+nP+5Hlk8TzyV1q0T5QjjJJm4JA973IcwSHH6ATX2dR1BhAYf0gkvC2S 2Zq+CCyCsRNZWxDezqGd4QOmoREZoAIUpdAWhX6GcgttZoVwDkszw74mmzFkjmMV/8pCQ0PS5E8o 1QFZl0B+kroCN0kBrVduZNhUTOnwhjCNqL9x1jk0NOT/cqoQEqW56DKDBR4J5Cce8PF/5dakNCIO pSvNmUNLQyQWTSBVoiCExhr4ug00i/v+XmaBp2H+fya9BDizLXcsCyulKQ/Bk9QsinNC0JJm2gut rcuSHt1eNpjvi0ROwI0WBSKK6Kii0Dv+L/p4FbDoh2yW//A1hDHLnM6yr1liXucXug0PmjZ1YrVF MdpaRCo5HzSvDIDWT+MkRVX6k2sBzkY+FcTVqP6PRMfh6NvfNvrQ1ksdi/0GqBJH4wQ+Ph/U+tf7 sarDhJeuz0EKKYtoYjeJmjVIfRSJUO5CULOkPvLyQjb0NxwiiS/J5Kz+RRqCs7iceU+5OU4CRKkh AkgXYaHZDxLELvWyQeJoYUikjyvXg8K5MO/DjJnP/+tjY1IOjEhbt/sYOxxS7oOjFzLupSUIPLRI wZT7IMaHBUhgcehdoyQMRPrCQABodLaeVxqgxNQIBlAEGGBwhkBZv12jvOxto+4jvza5IDV3Qdq9 J5mFg07Lx6Ps+ntgxMw+PQOjwOnw/B9o92VNoUOa6E4A+MFfUXKU54qiYF7Xn/OX5kcq5S5+jqBS CPADJ7MVwbq6VV3FfI3WmmrQrtTtZSNylS5+hm4uKvbh5yC54I2GSOB2+N3FpigTmJPP2DF0O4Qf 1PlLrGkxe3hAgpSbD0OmCzfkwO4QLBJB1nLyxfFG6GmfGpwFyESTmT2j2fBR8hsmubx+9dVCu8Ms DCRgQ7YIJ7LG3rKKYjgKMdj5t2TwieD1aWEHfof40gYkcavN92H86dfaHo4TIRJL0ShOr9WYdarD w7/Hxd9G1kjtxCNZyQz8oAofAGbk9qGlZS8bCS9KDVfSOtWldahKa17GSy8Z5Y/3FDHykGS+n4st RmJTuHw5lhYqlRLGxWA7KxxBzEQ9o9DycEpYmd7NWrxKg1o5zThxsQ3QithXMxEFdrJv77E3e9m/ sH2tc0TLRf3ZNIUTqQXj68DyELPZbya1TBvKk2SHpocVApVsMUPsjEZGDELnIkY3iXjjgsaSoLSh 6yFlQND3WL7YQYJi59mWQSojBAo46U5QNYfofkKj/2owMmvlT4nk7wmmKdIiKo4gTKcCl+Qsfjaf DzKk8RsqAonUi1nPsfXhonWmM2aSMqQOvtW6hPPUPUMKvA9hocgabZTtiw+wTwgFqBdp9jmQefYi TFJi7xevmq5HCQnnOTA/xASa1ez/9ac9v8NeTXxy8fHoY6u/qlle/Tdmh+6H1OhGb0ezWTK51Vcj cN6gItWr2dD+cEmzvcmHrOW7RbMPoit4raFhOITaxh9/9iTrW1OxNpQeBf6H0nIlbn34ojz7/CJE Sayt8PHgVmvfLWy6RcKBxbcoyrPxgsiJjBQ791JOhJzM61lM0H2L4jybBUZXzdbLHV83JFdlKWY3 WJINwZHRZkEty6igaw2y7/ohkZNC/hqpoX/M+mGvSTFouKqWxecjueVbi7D5iM5au9IOOXBBtM7a mNv0hAdHf1IlyhLRhZfC9jQ7tkHUOfrv/ix04M7pTJTUgx967YxcCzEbQivtIadRcTAHNoiiMGHM 2+4i/gz6dAwlp+rh4/8Lbg1gxIL+ue9QhMbGqKsSJos+I6pEzT9WJXKCbgEbO6RbLXZClCosTq4t FrFPAZtOJdskGncypUmv7Lmp8YFzwQRSkt1t28tG6EcxG8XAys7AOkm26tF6ynA+Hp2i+Ste7x/a P/gWhQxHpG+bXGW5QSejPrRcr9UoEZm81AI3RDtEaXfVav3WaufbPTk6qw64+cESqLJWy+LF9h80 Fxwokru9bEAzkp65CMT1qjcBkSv9gnOg+HjUn/2G9gE5jB7E3aIwxxYmaxqLbwhyPDBPK+YGDWk8 L9UCR0TMd+XjS9Ok/GWadCqNAoklFv1QNMCfC8BRZt4IMYl8lU/FewgsEXG57F9zWXe9yUNyRedg LD4e4Y3Gd7yGLdE3pD90RYTbUpuE6+BLm0IX03V9OQt9UABHX1qEE6lsq5Uq+Fp9dFRy3wtlvjq0 GrCJhg5QFhy4aFzypQGWA2NEXEqVABFCAwS2YwMSNHSJ66zNx4Nj9HBlhX/EX+UKYXxeM6Ni1lu1 pOl49WRKM9lFUQFoqIY3es2LCsCPbMLQT0uLXfoQv0APFRtUT1nblrDaCa2DRZQI2Jmm/o7c8TUr KtBII6yPUVaL0msg7dg1OknO5zUnKhqsYnOWzfXFDPix8V2wNchzG+XcjDUzpk31F/1vBmnW1PbE 6Qm2ZiRJvostu+Y3i/jEhyW747NPFV0aDNEwTQQQFMpD+DU+GPXXrUnkLgGipinIfATAsv75VB4n p37dGuQJy7ZG8E+gTBLzRezNaypUMr2gWp/u2DbYOEFllsEupiMQhqO2N6+JEI4NG/sU87PF02kI UFMqAmQJWInbFAkQC9heqScrUwXcIp/XNKiAYC253H0XXfGYrFC9qe/2ec2BsLHbj42sp3BiKPqO rXlNgPhFkdFoMiCQXB7WjWVwFW1vu+7ga/pTRIdDn1rSnt1VcajyeGr4JZjDyXMgp6hjg5CDOqfc 7lDn3Ow1+ylKX+BSoqnZRnGPv9OGsCvTrb56/rwmPxY4tDfkVidqlQ7bm9fUB59Upu2m9VtbSdYO Wp2QAQ7vC65pGbFGnxT10P7bpfY8OE7STFdY4GVHXRoZwZ4DHwZujftJUdDzNe1BtOGdDj1oX1Sn zuX9136y59esh0/7N9V/jPCJNFh785rzFLGV2xJWv1F02SLxrNMGrmVT2ogmUsHeaFhPZSNbfNyK FuNc9JA6JcQp6okQhf8EPPZOgr6cEFs49f285jv4JYoulPvyRZH4RDBZHJW+P6/ZDo6NoYd0bIj1 p+8Xt+Y11ynmrE7NY4IZJ38O7vG6oW1LA7tRXUb4NdPB1hClV5sMgNpDyO9IP1IaqkyxVSLkJ3II zmkkqN8qUyKrX/McfFI0nO/V7iqPNhjDkcF1fuj4vGY5DFUebS7yHB0Dbc1rioNAjFfbq1fPlI0k fFJ+h+elURwK+5rgIBB3mSLJorbcRusAOa8vjQ/Lgrip2tUcKIPQwTmN5ck4bJ/X9KZcpRRjnC+r tRbkITRhRyP6Nbmx9/vaGtqi1Gxb89o9xM1GVzYKQskyvE1Hm2djduTUsgWb194hvuUimYuSfPHJ 6ilENy2Izwc1TpqJUgmDMgAmoVagpoYqUeYon9fWIf56LNLz5hZxMUje2VLZYlHCM9icbra966fZ LVVIYuDmvLYNcdg4m9e5ofmqdcROcrMdIzRrMRjVa9Ow+MSQQk622Lk577tGo/d0R8ukJ+mWw72i QqQt8+CYLRKNSV5bhkz8mgD42xf152EtxAlGhbxXFG7u3hDZCB2WlfybemeWFZtfzGlqKKggbHPA K/TNSR6K34ll9otBXsOhhAFQje6ye6b+JZgai01WDp8QY05sNgEZ07jIetXgs1K5MDko5MLd2S3J H6+cf/q808pw8MSStu9K4ODuud87qwy/kTRuhgMANREgwJY3cRRQgmTQXgw2HR4eBh1Kmmnxrvyp IMyUC+INtTdjAVf0blGlQWjU8GVkaX3eSWWIyELg4S9qiypP+MCpf5EBLI9C8l5fn9akON1zfN6T Ywg3NMpXbQ88Q9F5VQHOGHjgo2u4l2B/NuF3NXEcz8WRruvc43uTwlHYlDVDbLQogCWB2pp9W0vb E6XHQgH3oXtrODorJeAdmfQ2tMDeE2Rw5vfXbU4lNX5x2p8oQ6Yv7EizWexJ1SbxeRNcXjWy6LY/ UYrcqTldJqlSXGx/ToAfJ0emvFfZEBIpwgyDKgVDpJZd/RWMfr1qUFqxcTGELBuOLCNwTUSpU9F9 3rlkiD6Gm1q062VvoKW7Pe9JsgQEKHBnieA2y7o9tql1Q8o1Z++gRtvDkqkN4ly5WPSBONm5PdW0 OH9ks1Yrbw6OHAm419c11bT4M/r0zQk8F+MkFAGzz3uOzzuNDN9m7t/RhxDgPf1af2eR4S8G+cNG cZn/8J8IQkSrpO9uKOmJMZgG8O+ZcubADRX5s3j4wXe6aYvbzy4hKnfBGOCoR42cK/hhHeb3VPl8 wmYWTtYvF/wM3CyygAO/4fNOIcN3knx/NBLErUTJce1PmC03uvXmi5hK5vlTq6E5MX1nbZ74x0RV FirQWsmRqp7LogI90XemxP0BoWlRaxFFLcC3aEKMr6t96FXfw09TCVpVZtVbZlV4LREmNvP+vNPH WMF6DcrDBlgWmnO2P1HKvDh6n945rbOaZEyX6wb2Z1Almt9XlDPb7V5oh83lQn9n2T4wheeYycMt Wo6dV0Yhcy2j7FXf94eW1NCvLr7w+zp1c5Zlz3nnzzt1jIHEo7O1dlBsEZTIH/qeNych7iapf6y2 6KaGaquLKY6J8qScKL+vKHEm2klGq9dv1TjjcJvvpNXnga6sTCMJvJ34Yuqd4HTWFO+8MQRYcqJ6 I0+Di7o7EECTuDKkYqLEee3vgouOkPsJz1HmjMEoeJnVWl+TRj/4reSVzJCtwDhN2xOmztqXrMor Xz7UOMXEOt8ew3PpyKmE2KT51vnIfsD+dpkYNcCj1HnSRG1gqGELay5ApKeBf9bnnTFmqdPTxYBG R+b0Vo3BKHdu+LpSN/rzCQ1mnAB+hhoaJ5I2d+t9p4sVd4QsRXT6cun0Y+LlpV3dK203iEVck513 tFC/KSxFrxpEZyY/bcsZYJszwD6hSz2lOkv+vHPFmBp4J4MzGqqVpe3J4TtXDLvaIclAy0m1wIzq uywO0ZA2+Xz0nSrGU6hZxK6+OHrsbM/5bIqhx3BsJE7Je+68KtMmp9MVvWpQeXFeSNV8Wyw6jyzM Tj1F7+edKPbf1xca9xKr4v5EnWX4kLR1xTtXXjY/7qs6BHGuZRyod5oYW3AiZnA4Wi774ZTtjdgG OtbVk7IAqsOyvULrkRBX8MS8pTH1rlH6o5NDfbimOQQQ0dMsztBm+ryTxMrD7NX+gJqT1+0uv3PE imZRPl9fpIo3m2ml3C06d2BzGH7eKWLFLBiyRF+0aH9OWXvyBSo7ZaKiUb1zpIVBB3HWdCoRlKVz evxOEXtmNlLo5qLh8W5L7PDzFp93gpg10p/wzB+96h1MRNkzsO9NjBFmP2pxwPB5mFMSmd4GrHun h5VkUmdVZur1y0z9fPaoiaxZCNwB5WMSBFDPOYNCQHoovUmvGm2P0h7N1qtPzc4hrKZ6s1v5vLPD cMFuz35EL0BndXdv/Lyzw3CAQFsp1XBPmE90w0JRG820px1Q/04OK5f5JL0KLn69J5DNSXLtFVrc WdLcif/m+Z32dpvw8k5454YxRWd6CPCKLdaFb2R14gKb6/PODbM+hR0gbhDGZDLX4k99z5+BWWjE rViE7hzcsvtDB0s5rtZh9dc7Naw4Vqwi6bfFT1AfptbNKcW5dwhP0A0GSSEy6b37Y68aNA6JgAaw yhf1xnaz7s9K+/PODCsPkl4fGEAipcwboKP0GdcUSiL1NNpcJvJOPyYL0ANNNgXo9/QZEK7C+4gD Li4egGorsDSgfPCp9agdzwoNSLZNCehvZyT+jGB7KHMC3UBfDP0819jqbmB/3vPn7zEOqeOAHu7n AovaztDNhpKzOYaXTdjT+jkhjzU7KrkGvSElQO/ps3rC59xs1lZcbH9ylqU3u2MnYT2XFLVipkbq 5ylCV+rjWvdOC7P+BDTv112UH/Y15PwEUap3Vtiv3iqOD8QyKJ5l2xOlz5XbU274kfUzeIWI99Yc 49yDX9d7+pwt/DSZ13Hx/HACiznU/Dk5C1QqmALglwkVJoYfi8/I0j7vlDB+XQrMZfvC7clsMSHl S3l93hlhyC7H/CpO2etoyYuvd0IYTw8AbLUlx2OYJeQJn8Wj80YOqelxlD5z9JDrINuJy43OJ/Ck ZcVXn2nJe4tS9BAkIUjash8CMt75YJxXsbqohPRy0facCli3ex75804HK4JLPx8X5JKsAOT2RNkz hqJSMlV22Ir50U7+eoQIX8uCzzsZ7IIyuibI/Zkgr3M/TXmgk9m8yHs5B6yhKY+OB1qh3dgpRW8a 7Y4FneSLQjMEt3h1neD2eWeClQc3p2+riJzmtcU7EQyHJ6NDROlGigex4iMWvLsIFd7Fcp93HhjO fWVuqOE5Fzs8q0OrnLOtDgboqknfVqagMrq/3Q8PK8DPOwvMmlQoKtb0hYcn+Xz9/Fr4hkFkLuXX 9kxiQX17otS5J7XDPPSU5n15eYwy9MxhlofvBLDi8N3GukGLb8+pB/r5tWY1VvcemsKTTcB+GRm7 Ui+lAsU7/4uVhSCpWwsmAeSVnoCWCq/588GWzzv/i62N79qCESzdwcU7/Qu/FOiYlLJtYlqHwcIW BBwdnrFoS9L5xwS1BVtizUP01/nBlztJlSUpfkr5voI2CQuO8bR9it4zmiUzIdxyTdjumpCBLBRl Z87PO++LebPXpVUfPQVifG/e02aMoFGXljUdnrEN/D37sMBc9nSm7jvri0Ufu6qJ+nZcbG/qOS4A bEkGp/csfwVhV5Ahzq+ZF2Xd30lfBs6C4ZQcbaY72sCXoUv3vtfPO+XLwBbPtwWp8cz5v7YnSppl C1BbtaZh4SRnU5IrWVk64KnAsvSd8VWcOFgRIm1xjEbv1IkV7Am99KmkGdcXesVyuTM+U99617+6 hq2T8c2Fx+d812LG15rq553wZTn3c68zt6y36nrnezHtoc6ylaX4p+04jeGI5pm9Kf9O97oz08L5 MDAveN3Jex0uOT2zLK0AhHCIkyagisSwFOi1eNk+kt41AoZVIcL0eTHcY4NmyZ1T2vMW/fPO9uL2 7u+yAuUv2dXaoDBthmslFLZ/pOYKrWnV7XKYYFma3Av7nep1pzr0sbTFYw9E6Zjjsit/kpcpphP6 MzjlaAC5JDDzwnem11fbZ2h/ePKZ4p3qqfEEjfPRfd6pXjYV+mpsENFa7hcWJc4UUNrJZDjghr0N 8F27iyZP0vp5gqK+8xRqTkUVFwcldIiVb6OdngKPrg8gfA3gTxaUP+4BQvvl887yIpQt6fbqvoie M1uRK81Y+/PO8cIbZu9rFBlooYvU7vX+TvLCT930pN0egvo1hdzWrOeHY6YS7xwv6+ty4DV9sRBU abWxlnxtoKpARiVloAEA+sHBtxPUCfZ+p3gVCVejYKdnXZfkaCLtYwr9A/XKzzvF69fcS+zu/EO4 he1PCNtAZxUw27MXsIcUu/R8YQnFcBXDi4r93J8od54G2iUsgYtjfs7P3yTUobPaocCpzjwAHuOc XnaebS4IktXnnd9VkrFOqtobXFS449e7xYHr4/PO77LewleIRhkHIyrbnzB7XpBRWNlZy8XYONCT 9hC90WTlB/bO7rKZ5itxaYP9fB4gAhOS22J3nUwQ10jHgO1LeLPrVSNUi7CXe/hijY3z4zTZOYfq 887tKo9pnV3xeCOksbY/7+kzxDrP/vSd1S4E127+yPOZ/gOsveplnLwTu4rsyjnxGr54ADrH5+SE SyYSEJ1Q5xm93AKgCnoq17Ru6FWDG75pLqjJRbPJxe4wK2XfZ53k+Z3XVUQ7/kIboj1JtyZtT9h4 hiftat4Xo1W1SlM32MLXsk1S6p3Vxe0hXiOryMjrJohtQf53SSMZBJEqRRN2WqGA1Ki8OSQLsPWq f4HiezW0qqF+TiqS+F1CL2J+3jld1tV/vi58LyVfMOY7patIRv9UFN30/8BmEWpj06aQtQXByGyL vTO6eHSnitLmi9/vq+UJ7xpRBk4lySq2AGgBse2fB/Ozifl553Nxd3R41FR1GRTQKYpkJU4V/Hmn c5XH7McwCWgPr9v2eWdzsVkESJ1b1gCr2hwy1g0ydj6E6YcnTJ+32mHku3PxoTJ1fxtnuKBo0IMW GSSECvsyP3V9W7rc37lcRYagODXCtNSrZF+KrEfAifm8U7nKo9emto9pEtztibJnDA9b7UZsA3fD hqZzLq/ch4amnX9M9G1he3pihObih6fAbLuLMdmA3qhqnKFQocDNFSUZxMa/s7iKVOgBxCzTF40E 0bXlxdP7+ryTuP4LEUUiw75tnxalzpj2gS9gu4OMmdfVNgdxDCwWfVy5OyHSmXi61nf35bZ9xkmX t6R+z/5UOUcW0NFpDIUMxOX+UG3iZwQXu8TZEeRsYeRZEPnnvQWVrRYlzg/nRDnAxkDAJ6YtTJyh Hz9p6cSBhcYUpLi1YoHZUkR8W1HHORVV7jQ44HIPTwd8mt9WTWdbiO8BxY3qBGatZ10x2mi1KHFe mQmhKi8uPD29V8IEQCXl08HA/X5beAq0x9Kqzyt6lDbDcPT8u8lCz6ONABUICz0L0pXinbynzT5Q 7pKo4+JpIfQKBtW0MDAtFD2hiwQtjHjF37SZXbEecgBZcXWonNiixkY1+BXiEZ6OwLy/SAQkH+7L ygk7zic7O7dV11bAkUi54Dq/WtsezF4MrNojvMagnw+cH33xjjPztyY8AignTaa+4GOBevlDRo8p RxDM26OWc82KzNqlak41J0KeXyp7qvUUFT1KmlP9hcY80YSyA9qdPzvOq9dtSU+dVpSOaWJ/6Mu4 0k+POs7W1thCa+wHrXGCMbVHsmalJ9OkBQv0xlnhmVCKMWwJ9+lR0qyyvQ4pIhB/tZQ1r7bJYhon /cHzAeDnFz8n4+ap/TIle8gHbARsNIEzmrJRwulYkzA6N3QcCr+vKG02u9WqYWjNX3BDGBIwwwGg 5Ww32f6DA1aoWtGD1gFjVGPtUd5ctuGcsy+621NKur3KKHg6CM8pf93toGxyNmn7E3ECwVNqicbr FD2eU7L+59fOzhim94seVPzA4rxZ3CVDHV633klgKbzCSbY4Rdcyq3AcGsCxCZQ2wQg2DnvUd17W 8anZGZODBwgdJeIF+wnzeDzYn3EPkE4bzAQuZ7KHmTMTj+XEwEyVWXbGqiPGzm+HeMyTOfeQGTgN J999sQME4NIJSXI4PAUYeWvnG6NbB0aWE6g6RSDcpXzV4HqXvZG0/drVqD+fa2eyBRgono4+ML/e 5e3bNLC17Qk7zwvZT5mSywRX0+CqlQM7kgM7LTy5PTHcmd8XBn22PHjMvQZ9ATuMgjDYocjowHVw cld4BTgc3F/1r7YPvYttMTwLdBdEMR4Tj/+LbaH7HYTd6Z3n/p47oyBJ9MXEBiAS7Upv3rM/cKSr grPg90pfwx7lzttx4NkXjz+pnwBai3jssDcSIArYMGTAPwBFOQ2OjeceNZ51gY3FAoyL9mdB44et nPN3xePR0PS7MMXwoMzn/ERwZzTDT509ZInZAC7iPy0zx2z0okUPifsT4TVs4r6Fmmej/6eqMD1B sBmgLkPQN1EcsVIcEd1nakRo7oU6Az8jAmTKkmY1XzRTTiMp+z17jqf/hTe0ZloFwfSenzB9bmSx F80rWqYcLthenCiYXE2++xP1nVcW0FlZdH6ks+Z5/VPGkesPJ7FMiimUkzFPLutnlwvY6JSo7RFg AzYUKCsIqOOi4quOIqO9s094Ojo+vxIgdvpIsxE3OcqfJ4CjbZqzWmvEJCF/3kxKhdgoPhgcIeB5 apxMNhMXx/tAR78OudBBcE2toYLsPNMGFb9xa6vSH2NE+bMY/73v6QvPDxQzRYY7vyQ8HeTPF9DC tAkJPRnA2p4of2Z5sUh7wue1pAW5yWaqpuGHF1eGOMKu8xSJUhIs+0qwjAa2UefMAGIjhexSALHx d4UcXe/1wSRUvWrE3pb0SrsLj88qy2XT5sbT/xor8/igscJfkbYnhDvT76nUYVZGRA+ATUDXZImM FKCJRW2Pms4U5cldqGcB8X6GwaH2XlQhhWLTxn/UaBU5PpKlOxWEndzAjwjAmE09eQI36mo+s4DI MPklmNHi8Whm8R19MEfP+aLlR0gVxCVLxLF5YGdD85IoZlwmaurz44qSZwWfZs6ftd/tSfCFq1OQ jfO3Ksu60xg3QQWjfN3tE9sTIjaESVgl+eK16RLT5pQAeDqSRfieWAB2jsG0706UOlPWsKTkIqKE E1BEtCYXEcVBtt2JUmeZzNbxLPZtnXu9IVMUUvX8L1nsf86pQaKHRsuNPWxtjKjnrJpiFvYaJ2PJ ZgdwzsIJ/AkMGY//LyOvAnwg9HFsg6LUGZO1tiTMD5uHnm1kMdjopgDUujI1I0qdF0EbqD99cSjv OTpw4xEqAUqfpGUkiqypmGqXaYrBHn5G8HlpWJoF/MlXzBj0TxXvJzUcEdR5rN/FOzqqdyI4QqTz KRowtxPD4qQqRLIs6GOZBw2afMmDT4R0JkEJd1b2xVPndf7SZGij9TOBs5KPKLMO4pHKY31prxpN vBiUN4bRWhSb9yY06QdFDJ4OUp/16/QoKbyfV9R1hhZwA9xfVItETWhkPkm66lBDnmTVcXuizJm4 bvD/NTdlop4J+TkFT8mMxBDonWlIyZBai0DU01bFgOAImPgZUebD4pxC0FrUOLRJ2Q+UevH0/zTw wsEZdx44osQZOuBwx11Wl1KTi7G5rYvYyNPmpSNKnNWUL/JH4eLRBzjSQc1fpOIVqH8iNpA3QEAE 4CjfH+LR8UOCzLlJulhqAIg+jBlwCtoUiD4xdy88H5TutzlGRR/NvO4BijvPuNKlgw3c6poXKl98 JHg+EINsjLDznKlbbDjvMTw17OdIrXNJbe4Q8GOTlldzUHEIUQkzbOvMg57LHxKNTJs4lMUXI6NQ ABjH4rwpHo96G3euIzIKdX69tzHD5nNnSaorCmzfJg+13ThcUHG6qfB8brAZJc8yd6gSp+HicGfM fCsUHFFbdVgLSJKe9w9syEe5qDEWFzPEbPDsDAx4bWGArnOSWIRI0fB0sD/Na3dWbbANKLTk0/5E 2XPlXJCSmWhusPeT5XGNdOw/LAEQAdkcm2H3WagoQ9f1J3s+x38v3O38xoDm2BKhQ2DGNLGXL65y 0av+2Zw38KEQ8klSi5qZt8mno+LrQhIYcAaO41wehGaUP8NkBe35YkFoutoa0l2nU2K2JP2jkC5I hQikzr44LPP8eiEmQFTUAFDU7B/cX7qxOSa6KcUAZth/pkwfzEZ8ETEgnfp3y/908vF/werYSUOm VC/dYkYJNADrDRIzRmbaTa16KIm560XBX0/783f32e5vLr4/QDfPbFZgMJcbMp3Dr44MNUQgaz5j W/EzoghkB2j6YqCWzYka2Dqr4PFg8L6+J8skJ4x69ydKofFXp9+0Coy9c/YQ3ZzNtNDU4R0/Q7pg s6lg9cUvsUrIv2anDcUAo3iCJgCdyujcY3wLENzwM4IPTLNT47xPV0tMIEtJXQzjrxll0O1e8gSY gdQKqqLtT9x8RhO4l2y2jdkAHGtJpq9IH7RbgI5gG4o8xbBj0wFdINIgKgM1iebOuabp0pg2eRMb YLRxxTagZ8BXDQJ0J50bKDNb1B2DyRi3Z46Bp4MUcf5iM+G+3xe3MSPcBvRhWla+w958m5YDEaVv OZAr082o+WzNsSKuYKkPKhxCM/BGEyhzrcb69MQh5NQnoPT8GFpTe39GGXRSZTEFPZwmoLomtJCl RTIano7qr2+uILO69JyekCsIyGFlRWBSG2beBBa/bQ9ZlSxQZyhP1wzWUn2x7cFksE6yxSC/31uz QWKi31X7WY91U7I3DSZfS1gogX6qMykzdd3YGtt8+l+QKE0ugBi75deMcBuTsccJcOfVTeV6T6IG NTxl4cPYHHae88U6/4I8n89lAZOzmznsoU3P2IzPFYqthe4W82EKzih/bmypDum1cOHhqZ2YokTm 32dGnWc5vNzOMym45YbmkCnY4GdRnMk9KnEbUJKfy3EbleBg5j6xRl1/PzznT8G4Qmr7EDcZHM6j +marDyX0ZQoq9wk16sTy6r34IsAztJZISDmHE09HsJbv8gtY9LIvJmpFqTN+ge0EfolqwGSnGqNg ThNKIA9bp2dFuI1RunC8yRcv3k/enNLSt4XWCcU1Mv3iC1JCkGZ+aVuvsO+ctD3jLsbX2cu0m2vF 05HQxi+ROlqzNA89K+w7w9JWKq8MPaDQKDJ3l5DPubiG3wozZ7K8qm5tLv5xwUsnobZDb2MlaM9i ewYxy/gdztv6Wby4Vtx35rwCNaEtav2AlsZ4cm4APB18XOX7Xs+IROPmzSsUcG75Eikbxa27ATfo CCC1WYyItD0xcEOwFkn5SV6vSTg0n18S9H3RGTvbwikXDEAJL90/nTzTy+Ju+BlBXqjTI/PKfs0r z3s2mQ9RHDNMm690KO9wWg9Vx4ytkCpI5yFaHFhdOgzrTASFOmOAZurjCrHOQ+JHAq2WdHsbZ0Og oUqTYRS4YxLXAfizuA7EY/q9nvSqf2qwNUOtWvhfA1R6tnNO0Yin/1WXyqAhA7LmZfsKdZyRmMHv V1OLNK1YX7KeZGcMTUSF5hUTBd8hCSerwlwHYYNe3ucvsps0kM5fcrNncFE/mxotK8JsDLHgqpB1 jps5WVWnLhrajxlPBx9X95uLCTLCfLp9nxUlzZjG1i3diPZjUY59+eZcwfN3WX56QokNDfoy4YRc HLHRE9rt4jKBVsD0+pSRQGNRuQwK7jo+hWr8+CGRRoJpFW9fVFX0DTMbVpp94PEgOF8aNz4lgLph FOEbFMKdM4GYzagWqyyLPmX6WAcVoAfnMG3WxCLpK0vjnp8Ooe4G5hKgOZhZZ2mQIB9EQxciXtb2 YQzEz4j2B4lh5d8Mi0+HdylsWf/0lBoe/5c4r2jJ+Dn9suFWlDhjPgbD3CaTwVmrQAm708BZGmzA e7KuWCHguassNSXRfsuuWYlFkKNnw6RaTinQjyhEzu+7QRJpWVHmbLnPlInOdBMd8KNIT6znlfH0 +we20vdYEKDMsm9quGKNDRz0ZEAoSDMoNTzZSjVMAkyvTERrha3n3d8/sFOPIR+UyA+GGIAZw0QJ jV8ywGgwyLmp3J7xQ4IAtNlzhgisLfaBJbrNUTa14vFIFfy7LmXqjo/UNijKnRcN/vpti13MWEY8 tLq0u8rGCnPnIcNpuQvWh22RGoZci+YwDW7dxLeeoAF1VeTYKN09+xlDrxpkP1LZaJKTb35Gz5+J xssPxzV8PDpA+StCo6WKOsf2Z0fJM4D9wCxZW6xlQ7CeCFG9bbgoQIX92TFX0Pph2xc7QOfAnEKC rggoTBEqeL+zCCdvHTCUb9/lHSXPzeShZvdFbUP4CUoqIQ0+HrTF6vf5IcxyX5Gf/Z49Q3t4gmxa XeTHZztoFhdPD3vx/YlQG10Yp1GrL953ThMXDDVET4F+rnKaCi6gHCuUeyn0Y4bCuCbwM6K+vKn4 LV/s+zqZmkCD51Dh8ai6+EaFo9rM++qQ7BD3jOpi1Jsf9iKRH3htTRssF8YP7k+UPhvXVOLy9Vtc /lw7BoXtcMxKEiITkencbT/UXNUFT0ss/IyoLUYJY1nADreABV1qmEbvSYB2SBXMvj0khqnt5J2N HVIFpwxgHZhAnUqFn7k9/IDeoO0JYRvD/AiKL/f4ZAh0yUZ4g7icWXwlpDlAdvdeHqpg0av+KURS TArJdFrOH9hMer+njacjjcNfnQ0enHajTwh5hoRWEbOrEkRqOhtAUxqnoIgq2PnH/HV6yhITdz0C 4UD50KxAGpB9lC0BbCj0ok2Kz9KCD3vyOxZ41lRwF19UXMwlvs75tiqejiBRvzA/+LMAB7PdCQXq 4OG3xDPFPw2jvO9z/S4bWey+DNWyQ9CGkkMl0Vy8JX/KL7Tl+W3B8H2xOQ1dpZ9CXa31OAhSiGRH LWfZxCCV8kWH53yV3ZQkFp6OQD/eNaS6fKa02f22ItCGqA/EMDE0U5IBobnnPq0thrmwbU8E2hid NWkWXjU/Pp3QnJtsnmYCe4c6Z9DUxdXh5gRddq9Nr/onz7SoQi1mabYbBTJ/ODIteDqove5IWSx3 CJE82xMKbcC1K9FiDqGHgxbXF7ObK83m6uk7Bm1wlLwl17KHb888QRkVuVzez9FJldVrlh3OAAzO 6QSFhrT4GVFpqq+q3EUd+dGK0PKpDjz9L3VnCmgBWJSeyBz2nGXzysQH0LpazeZVrm3mTTCGhZ4Y 7Sys2P/VFzsZdYGCOr0bCubIgjln+qVDom7fvgbG7/wREaBOWJaVfeHhOb/GzDvsfFp8OhKR+D48 ZOABXW67E6XNgLqd05mUIuOc6hjtLj0bOryyoc7diQAbprExNS2dz7QU4a+fuoRNwwHkFekGCS4E KC5/xhOZcY/wZ0QaG1VIqOSLrvVzt4hJMk7ZtaOW86O+xm8LN3F5jBtSlDZTnW7SiZaG3GwDn13Z jemFJDYIT6f2dYry5k59H0w3fPG8B/SoSSB/b3VP1vBIcM8GtfMbhNrJhfxQBYm95ffKwGRshmzO AGgyYHcRWPGntzz5B0Tx+Tv34TvT7sc2KWQMFnLxASb+jzyVJ3HOi4JjlQKQmTwB6u+nMHmWLV6u d7lTrwIZrUlBbnTcGnFjgwZECMmU9/bW/Nj2thErrmpcmn1ReZElsQTuSGt8PuK7exjCjtLrjMfJ Nin0SMEtZtg62hUsNynYuzqwt26DhePPCYDPUtvAe9vi1xhEVouU8+q586dO0iI8HCkGBbENuEHo Kn5IsEdEM/Wc1ac/vxey2c6diJQcf8Uxx+IfECRC+btLBobn+ed8NynsQgOgxPGmfIjYvUQaveuw Kh74YvdSTqGZII9QXmn44n2O82sAqoXwnxNEOLqCJAmmHpRjLI/XxZLJa4oyaQkZYZzsi277BuEu 1lap8fFoCvYNYWVCn9a6exTl0qgOoRe+zaWTmBh2yog7VbYojB1cOlPUi/ZSXhdbSXeKOtrMMA/k Qarnbh7URVoAQTdMlVByGoR+N3/ZyM+BJwjsDlsMZHdyKmbJJ7RmPh+UG/kJ2+C1ndQDnJC7SWFD umMEtrhJHcGJnZ9z68MqAcEaDS1CCRS3w470tms/++LX/olHsCxvKsj6hG09cWTn1435PypAhwHx 3scPCQpW5kN1c9Zct82aN04/lUoqKjI8/r5H9Ze4Dfvaoz/nKGxJTwwy+G9xnFqzJj5uagXfApp2 W0CK8uopQ4dami9fYE0IMizbotzMnBqVPR3U1jUumGPay0ZlGXuKiXw5LhpqALfJ3GbuzseDnseF KygLh21Ufy62UIWjdDQgmR3hPNEWE+FojOxMpwHlcgtHUW49yHAH2seXC6cHgzBpixaEsRPRiJSz B7ABFi/WFWLvjj8kojIL0DFtSda3T+dD6yZY3Ph8NDf8VolEQCz98ZZJUX4NqMqJd954XcMQL3v0 6o0zOOP5HkViHMLUYxN8sXsNFc1M6KmSEd8TGfGZ8sCs7REAXcdOtsEp1H+WuXthVOKiiA22q1Xs k48HX9oFRRdnrdRHp03s39cfi09tbEcFDXKDpWQ37vQnze57FHWnzSNXRUj5EjmG3+apy1jCAsSQ ZCBHcB+0xWkEKFyQ8ir+kKg/lNWXTr5wj86XXNiqhjQqH/8Xbsp8zoGduDd/6EBItSRqM2uCOExX YRVqE4rzDV8qbVFgQYgqX/LhOfviZeyJcAAmTlX5O4lZB/Vk2FCMH6nRp8cFIwcehFfNrj+LmkQF Psc/STLiOTQh/N0lYru73QlZDl0I8e+0knO3SnZkF6ulphjl7DjtkItcYEMIRSlxw8jK5WKnaA0w kwjzh+ToWi3JjBnpLWShav0/M/oc2xDyToN4uy/60mqb6jGfNJyP/y9N/Crs8fUhjI0IM+WAknfS ivnHnWA0m5Wzm8LE2qJYEJrKQFWCvvUK+p47DQOJMoT+7fzwsEUJ5wogvFbGQ/BJ9rJ/MXx6Fo4h E8uOLfKZIsiNfDzq4/+acyAp3tdzOQdehBjvQjRy+502HAl8fjXLEIoFvAr/0MIUe5O3Sw93Lfah nT2v4NyLInZCXJOVOTCwAAYDfXxb1RxF58CN0D+00SkPxIUf2nnBlEzWrvLx6EOb31da4zbdKy30 IwRrBX5yZvdZqoM4z190XySVgzhzYEiIloy4u+kuXs92uGouYYDHRvtIlkW4lyARTQdNBwvp3g8t Cc3zofXmi5pqwMIJTFX0ksG49aLIlT2iqzafYBSpQ3e6zmRXCcojqYg9+0ud6Cz12vulhQn2lEc1 ebxcfI9OmJpD6n8lU5CIqdHc/C32H9wLHowo8pIDV0J8aTxGct0b7rq3EpievLPPj+DjkXrkLx0c AMLzY5sW2BJKkxGllEkFjelI+7rz7VvXfr+0EPRBMFWS0TkXzx4h6VsYKs/vHVGW+qN9gbLNydX8 Ftic9rZ/iQU1CbBz8bZIufr9m89HKtp+jmQUAmRoz8/FH6p14GObbXTjgwPVST545zEk8GxCncS8 dSN7FaFeQW71xXYJcrxQWyvS8IdkOu3lAByssMgBqkQXf+H8lz8kOEisP2anjuTsxVu0GGXqorJ3 jCD33wepIYK2+nxrYQt7V8r4mxt8lUPYRuM8qfaHu042zH0ODApPOCyam3FOVFZ/Kv5T50Mljugh 2AQMfmsT0rO1UxKwPOgYTl9zaFEoccXWhQLp21kbaeHb49W/Mp+PaD/fwPtCgucFyOTQpRBG1efY dgvaJz6LxbpqNn23LO1rO0chAiScop0UKPVN3bJzjmbKPHGwScUUrZJle32wsr1sUKtJV6kT6MmF 5+jcwIPB6Xxrg4//qw6RnC3O8x0z5tCpEKYxX1Yr5+hZObugOqJ7beGaUkAKrQqXnByHRkXjjopO 3nJ2JZGH3QGqAjmKY1hKs6M7TaPd9aDQcuhVqGlIm8kWU19A0sU5ys/5CZnPR+J3fvcLEnH+Ku1q v+TQrRBsnLbksMtZbDYc2oBNOTiJKE6LMcRzaFc4hpB6dfriHUg4zGUS1nGMYCz42KlBygnQLmsc LY7TcuhXKBx1m/MuDmXs5ODiM5h8PiL5tq/8iIeyPvEodizEOeoE0pIihZuZewRrd+tAwjLX9yjM suWolkWVyl8SJ+f2bxSiRTm70JGk1yV3E8ednCmGI7KS9bKRxBI/tSEJoWESQhkonJRNZ6Dx+SjN /tU6IvtoPI7oISAE9azp207OZ7t117oZRQCPdsNRYFsosSaMqxWOvtSRUbMmBDfu0amQoB6FLi2V Y08MHt+2oNVeNprqE/KJUpjLtNYRfh7jERR5+HxEd/EOpDAzGIm0efcodl8pFLkVxgEug6Y0WZRn ExUC8TGzjQ9R1SJDr5p98T0qZReYQ5AMfY6VOPe8wjLANNRHsdH1fdmIjCjn77R8UZoNygLTo5wy H4/o4vVri3D1F8hQ+0+N+tggHkFZ2wYia5pM8p6j1Z9rwjI9ZMfYEIZsOchXpwrKvBBexcPEzNgl 0XQfLiyYVePTM6lbpdmhe6HGRcOy7fwIJe+tRn1eevyfp0jIYbhr7+dTi/Js7tFsNk87e1SK5dlp Gza2jDHutRZqSRsCQi3/ve/4ccO78HxuYr7ALEMKDvg2Mp6azzxkTXvXCAFBVY9CQbxR3EB1r0Zk yQ9yOz4eJNm31c9XQ4uuX25HDh0MByqRVIqRNuUVTYhI99lj4gDDdijsYyfB03xy9DTXBiQNOl3E CtQE6TWSKZ0GsOxPu04aNCrlzwh2yCS7NHpUCQs8w9pVrPrCcB2l2L/Rw5zofTnIhx6G+CLOL9nh sbktD0W0pzCnrHxDUezGQu5dbtUXP0OQfF5LZyhTb2k6wQpdShplCYGltlHoYViXaQIPX7hBkGRW 8/G8KB8PAtH8DkQUNW9fsTpKrxNVzZJv0EnhTXK797kcKMKaUxsUkxPZDFpkMHDxvOjUDUwe2eZP u8iGV1R0JuW4z6buM2B4+EOCM2QmawKrpWptIzAksnwMa+bj/6J4iPqLf+sS63PoZDiFpRnTIbJD kkJQ5JACLvxq3Iwuh1aGXYLbo97FSR7nD9qzi8MwTvq7ydUjdI2g4Vl/Q6xzaGWYqe0xRZHmotus ChqApvTk4/+LsjRHBRxf2A5FyTW8dlpeDrIu8ok4BUhPZtyHvlr22yxwMxTYH31Zoo64eI22MaUY jMtAoSOMq9bl5uOtHn706Pay0XSfgzTwhG1RIDpfsfzkWtY7/ksdT5Q9tGfz/c5iR8OC4jVt5zGM ZPIetTxmxcj0bYtinqIl1dUX+85KgZDB1Kjo/JrrHMLSAINO5X9wGB2Jrsl1aGpo1dnod1FyXbDZ /NLO+/P5/0VCUGp19TlHIUwEkMc2HfLY1zTDYjnnmMZHW75JUXI9pDOkWj8/tT48eE+1QY+qfmJO p6cbVLiRTy9CQoufI9iF6WUjLrA0cEvzxfYINE5RXLJe8l+FrDmV/EhrxPYoTK4HwSHdjUPr5dpn s3dGIdtMJyYH3oaIRjJgY1AyxwtljnVODDCXlHQgKkgEi6DXiAbgnnrTKPvbRt3HrO71XdgQwSSE WQ1sufj4P0mdtGCrlNR4DlKUXqPn2wrd/sSZTpL4ODcyklEGpHPGh8H7QpNDfW15M4Pk4p21PPCX YBcbtz194Cs+YGAgzmZO0hqSBBu6vWzEqxJdWh4/ybm1CbkE/wOUV/h80H28k9nCnBNKKjd3DH0O cWBbpgQgcWtiSyMgTZNhREBywfscGB0Cacr+bJcoXH+YedAjOylI6yanjDufcuVMNslsao+9c7aX jTQXRc37bQR5yo8km7raGY5CAHYu358asAr1mToGVodW6zfxzHCK5PWMa607ai3T89zCUUheFDuP gw0ttkUgfs/ZZBR1Pq1BAh80vSCES+Zbvl9a8peNyOU8RbXeReGopryIgTh7rpeMCtlv+KPo5fui jUK3w8k2P4hgAtJyEP8f4gpyMVXcRWdl9oxiu0OOHUG888V7RrDzG1vqDZDOQVKDChB4GOKT+9N7 7M1e9i9gX5cddnc/4F1hB8lJYsHwOrQ7HPk7O5JhwyN7n0PDQ3RtmvRqIL/Iay3LzRiWCgQbV4r3 61MLYSKJybVMkfJjigSRzZPfMWno0LWdxCikgeEFhJbR578CjIs0ohx4HjrNszY2H2u7zcd2Ap4a ayd74PPB3T/Tr0qN3jH3IIWmhxgoNABRVYgkqYB02pMkB4pcplUOXA+lB8HkcfnitezJ7wCXpojM qefaahopZYqn4lXT9Sch1TwHtofYI+WQqfti5f5UbIJTDB+ParXfW8Sr/zaNQt/DmQQwNqBIWWaP hNbzAxRpBurPofGhPMca8Ja22BaxQ7s6HSbKxsCIMnJILX8ycaX41lSrDaVHgfOhPmjsjUS+xrhK MgBV4C9YW+Hj0aX2azaLLQKw1LcoSrMhMN0WYyXb/G1rInuyppodKEKPGm1RmGYrHNV8Fx9fNwC+ qsxFQTM3mTii7MgnQHjSrcbpC39IhOzTtCgzg4Q2NMuHvdak+efPSGXx+f9F7ZRiq+0qVebA/hCl CAJ1NpWdxuRXckT0wFRfLd/eY+x/SA00oBB9uSP+Cg8zGgWwA6DUMQO9WqBg2x5aGpUGc+B/qFuJ xqLDFw1mz+MCUo+x+XgEWvOLX4ELk6rLOc+BAyIMWHGd7CRlZcAhZOy3ONY1pwDWSLzU/rBAND5+ 8sWLtQWp+GqiMmmRto24SooH4PtMHyXX3ba9bJRiq7EmRHaft/VYMmkPJ4RXPv5Pvcofw/XlSzvP oQuiEBqb20Eb6Gr1rLlp0mOUXVHeaYEN4iXQVMKtuHj6iJ5Fh/QKypBl8NBzVqlXcML0RCpjOJHc 7WUDipFcRtWC5CKUMZj55kZW+fh7uF6/Sn6wXdkP9i0K/Vx2o0vJcnRonYYO3ddovXMIqC2KMmwT O5UiHBf7zmqCTSdwZtyiXLgl55ZkRjSgIpGv5qk4D4EZIsK1Zo0p+yJ0aNlDkpznm+DjUXv2l6oe hEG+8fyhHyJZfLNPDdHOP2VBIHZru1osmokoEX5pEUqEJuoZqqm+OLBvlAkXUN76mBzI141tWhhD iZ//Lf6VA0tE9EpxfuagAg8XtR+RUzGtOWGbj0cEo19NEeSv6Qajz2teVDMv61ZH645VZ+mB5JFO O3LloBACovVrVlTFY0eVyiqNi135/aTuMJUi1ohu9OoLLGjVLFrLAT5rwu/IHF9zoop+CCX1KuPQ 8C8Zs+NK7Nq54PDwy97UR/MU7TxLiYrOD49tsDcYP2l2ZpQZXMIYWE9ZaA7e9svjdLA3gnq2Posv ty9LZQoZ3ox59p6nLHOYiIb3IrQ4fyHUX/fGhx8jSfvdUQMLZklUTD6Fx0mpX/cG/1d8b3jj4Ues bFvzmgjVTPwdrt4ms7bBmP4f0pGSmQGxt6OteU2DKjJF7slUMj2fZBqSIGCjiAPS6u5EsVXaw8Dw Sg1Z+Sng7H5ek6Ca/59xqresbnbyG35WsSnrCaOf1wwI+2qReVufkdC4alvzmv+cnwjCGnyATNMh U0GUnY9k8gVwo5nJ/OdftwZUtCQMcfHFJ0KQjaF0N92xW2b/LGeqMQ4aXdzWEMPd5zX5wRdF6Oco ROwPlOQcoG4cIYJcz+83f15zn5rvZHrL5SZRorTZ3rxmPog26xHAB+i52e11DsKdl9XZLH9+zXuq 6myGmeyL7c05hnMQ6k61FBgH8IvCGBvUNFwa94uikOdr1lNFBEMNL0m9lZyAtiD2SBXzk2R9XpMe PL38k7LI5EqMdM0L9gacNZdzaPwni8RzFFc5ReQxf9Fgb+YQPJ+u6lz83Jy/+7kJOSg7dztkm7A3 BNvW8z/9kNjAm6okthRf0x38Eq3bKpXK5iqVFeIi7OOc7+zzmuzUR2Zwy9aE+vf0peHevOY6iN/o Js49dXufSpL9adzjDJ/0rptsgdJhItobVuS9pLv4/KcAsCLAB3ERjSk1GaDQXf7BaMgLU+KqX/Mc fFNFwq9ED3ERf2GVzgIGGLHPa5aDWHVvKbZl0R6o9IWjnHMUiStEbtJYnilPa7SmVLplygMCURLH jSIxK/HGG0eLRWKcofNJURsXRBiWgGAu4MqhrdUXuQOTy89reoPdX8J3sA89crqOz116awVt6Nfk xt7vKxLTEqX61rw2D/FFsQctIy1CYbOBzaskcmlJyxhP9cUgElOkMEM+0BePNpDupNUPcNT7VI+D +TEAOg0RVD0Nc/TrFPcK9mZRpKC2UXwxRN6aVYNjancGm9PvNdXsmiqcRXNzXruGOKmTUsrT7IwH p3CECJG7xfJhpeESDmEozsqIuy92bgZcUTvqTMhvY8xc2Fdlk5Fuyzw4hp9GPfB57RhWn4SNUpsv Kq/OGdL8okLXK7ymfG84n0YZQik9JX5RVgyA9Mknt93hcxryHpyLfDfn9jCitFiyXk2exly8Wbgh oEbTxXODn3imMUcq4KQAMSrtGNO3yHrV4LNiC0Mah1o0uzgXiGSrduPTwXdl0PJtmAXptfr2RIlx wgnPdYtlD6alwvGSLB1lBc/vyUvPODPmqTHVcrYb7a5KgNtDmwZVwyyVaDJQgCnoparN4WWsez/v jDJG5K2CYfqiyhMtKsaSlgErj5Lj5McHT03AjjeDD/fnPTvGELXTATtZ4FlJ8LtVmawo8FDxUqiX qKqSx+HUKZoPt7Wfow+1+ywv7AQTPN5XCPMACbZ87caWtifKj+UujoTbF4Pdn7+nVBcbWmDvGTIy nfkrQ6afjmfI72QyBOYGMlk3hibawvZ5FanC4fNqHHhpfhpE5q66QZ2L9tW5gF3LnLi4cTqQP6lF hq5vwbVI73CzG8vCKkSlFYGb55KqvvD4lCSfMogoYloRRZ+9PfpQv5vM33rDz3uajA+DbeZtk8FN wQKmgpS+UypYXHT6nUiG/WGGNwj01+JVOYxCBI6HbDBihTU2BtUnaIxGMUtMxNS1+DP8DKiE2WJl ORzJ8ddbmMBHibJ4Oby56BCNBLKm7OngO42Mf7OzQa0U66C2YuXnWqICAyY90W7WAP49Wc7mZQz8 jy8egOpJq+pmfC7nCjl3GLMecLHokJAfuQ/rMUfZsubuY5e7MJKc0zNNlbLVzzuHrD66eRafkWwI L6n9CfPlRfOtYrrBSNusBq3VqYhJfn6Jf0wQoPll9UxuCxfbnzkW8AokR9Xziy7gkGN/8JlwiIy6 1fwOmfm8E8iqYLjovQsnPfKFJ8zNugezhP1554+xiK1fuQ+mMGxc2f5ESfMGf6tnr9FbWiY8PeQ6 T+HaK3r/Th6rPnkHfsIX35+TVk4xhiE1kTm3gzYcRISg2gSjnmsXtfSq7/sjKZSlBuoadgmgSFcv vqw+P+/UMbtitT28qwCxp2WLtuc9ccbFQ1GvIfM+CAQ3lVuD6BipKgPApM8rypxllNkTxXG5XGT0 HvAUYL0FdSODcEm1E+jxekc4hEN+3mlj1c2ihsTduVh/ZxMXc64kCMVEmfPavz4vtDRkZsPtiVJn qEXr+lLz64ISTn6xrBqtiOHanih3xr/BvKf5YuH5hITz/6CRRyWd8wGx5oKDEaEJP9Avd5EY8TOj 3FnbM1tZvrDoKn2WIh0dTJKj5Nn9SPRxUWW7E5DA1mCUPAMX3JLGW8gOp8HpT/W4q1PqZKWF3mCY PNPB5+zD8sXHyLtDaJCo8Xbyn2YUV7QRKs03viksRa/6fnshUUMxKunX3L1cR4pOxMapWj7vXLEq wITd7lIAQxBsw9vK72QxxiyM10u30qtIpKoDJDod+EPyDaPPO1eMqRvPT1J/OT2zrXWulpMScs5e oUu5k8maqhz5IZ/D+XRFrxrcXpyMji4zv+5ebAmiNkZdbfPzzhTj9eXReRo1CKRpz3/emWI4tZWS MM1ImYuuMhghn1gp7iEa78XAY+9EMby85AW3S1Q++fOJEx2aMDhBYFbR7TAtjq4TgdJginlXY+pd owSIR6gqD6rDQePnj6yylajt804Ts/39is+FoiLeRX1niaEqWbwWmT8v/lO3dhgdHCUvSLYxAtA7 SQw/nKyDzkJci31hoH1D/U8M8QWJXxKg0BcsgDTTadrALL3Zu0bVu+lSVl8UoPOekn5NmU9H7UL/ xHi5Yk6V5TXPHxol0ABuw5u3G2I8JfVS4a8uXn0GmcKgde8MMd7v/MI2Naq4+Gj03PVQ/2SjGaru jf1WIusLJDnQMb6k3qRXjb4wIlimuQP4ED8BaDWsgC+fd4IY7thfBRjuTVh5+v6EvWZwa9BLsQKV 1slEQ/XufmPSl+L+RM1msQwbZRW1eH9jnp0A7o35z0RPn37PctA+gQ/INGvEyzbhnR2Gv6Ccxrr0 qViNcH/OTsmuru65Pu/sMLYqvm948PbF6df+vOfPUhzGZ+XNsWwqwue+WEYxPN/6NkHBd2pYdbBY L/rOSr4QFmj9tUK4GQoNpNZLVxjukgF9/fz0f5ZeNWgdDlEyVKcma2pv1IzkYJaV9uedGYa/6K/h 32SSOW98jtJnII9Oue2EDNw3RlnxqwxgAe//vPPCmNriUAxmMlo8/qwToKH7p+2BvhMPGpMPTtQf Q2NCgj/vvDBuz/r5b3Xck0otSBawv4H9ec+fZSn8tOTpxw1/YNufsPHciJ+bRuk5IU6F6hpA/jE+ nxNVTGvxnRWGq4Xhp0/lz/PmzwsM+brgoU5h0xMVZS8FWh55MpXglfoY1r3TwvCrnOyqLn5lw7XR 4TZZ9deDJtU7KwyjoNy+jk8HsojTN21PlD8DHH2yHuukgjXn0tPb5ZaADffo854/qwmAc8NJqVzv dHzAeDq/4SmRxZRYEYLii2wfPTJGH4vOuEQ/75QwHp+sAWD3hduD7m+XJmBen3dGGGER31ML7gz8 CGx+HObPgGSgNe+QjGXSeLkUl1o6Sa9lP+98sOoSOV3zmt4er+eN9HBXeSag2igSP2vUOMrUzLrJ DzEZ73wwTqykaELgARdtTx9dbpB55M87Haw+4sq2PSgtiefV9kTZM7J9CJkmCz69VWOo9O7BZ2c3 jXong3F7yDdJFMXjYh/XnOf0s8FMObPeEvVPRjnvB2AupbW60VOK3jTaHZlBKvVpnvos0M1Um56v /50JxiCbfqWGhIZ5Z+ydCIbDA6Wsvpy/Myi+J8eo5pqB5/drk4t3HhjOPcWnukpULt46RJNnc/AH Ud9GQjm+rUI5ZfR/ux8etEf4qkHx1Wx7si86PKkkdebPD/68c8B+RWYeFEqFp7s9UebMCYIRCBl6 LB1cVfRvduarGyK9M8Bu6Q6Uty/P9uDT5bj05Fe7NomesDPPfhAZuxIvpQTFOwGsuqXEQK5P9akp Zywo4kAxENf1uVc+7wQwbG/6js0VIY6KVNqgKHOmuEKZZiDRWrJ+ISzZLkKDnhAoLd7pX4afQFCm 3QiXiwEf50pu8sFep46kQC3O0voBd348fZ+i9wx2Zwq1wsDMhd/W2V7pW6L/8nknfjFr9sNjHz1a zzfyvGfNuAwQb1pejtDoy0am5HogMNeUvKp4Z31hb6bgTmv44lkzgQi9istcEXgIJtzEZJ0dnV9T r2WvGox1GHomxYO18NsCBMkUS3v9vFO+CLfwpJmYBXxpIuvwZ0ZJM3LYBg94A2n0blVpr6V5VSop pc4/Jiq6VI7u7IsXXaesyAAzM/ScGKe2WCJQHIwkMBMvn6nbu0Z9MXWdS/dFU0EQQMWwSPXzTviq 8gLU/lDCg9IT4+LCoqy5ApyVctOhOf9kYO+VcnKkxmQHnRdX2HTW1LQlsZh52id1ODvMlAtJgw22 V0mob/4l+RcGG9+r9pH0rv/AhhXN3BFdsUFgp1dhw05e+M72YlaZv74veBgXXmfaoDBtrpt4DbOi TdUJca3W4VUpEUPcoLDtLHxPL9OXC0ZFupM1Vs5nMxLZqZkyQTjl1H83SWDmhe9UL4af+Y1GhX33 ZIp3qt7Kud44Z/XzzvWqwvo+GyTiWLknKEqcwblum9KrRs7tDvlOVzSZBnrcoKjxPGmj1bMSxMeU 6aSFp2asEDvCWKfuTfcFaE1BX+rEzJWuIAe6wfwZwVgnW+Nw+sIABFk9TWXG2p93khfe8F7uqkGg NpEvuDBKnCGIdv4SbVoA2mW5xD2QVRpb8PeL7XmneFUZxmBese9iASiNAc8WJc5zVYL6QKNdnJbO H4LvdH46wd7vDC/iCqQpSQUl1i7qj57rd7ML3XOdn3eGFz7P5nNlDinoFL66Zz/vDC9CmZjzkE25 0IKeYiufnAsXtdQ34SjQuEFR6jw1uOAUQssF/WwQ+WhHC3p6gcUJIjTjzjmXbDzbWBBwj887v6u6 cjs8uXyxxjOawYy657/7vPO7eMGnr+8Ld0JJ2ZOfd3oXDu3apOO42QbYI4zQkCJ31gmlnLg/Ud+5 NVGWNNSa/Qu3cW5xSi4CTAdF+0pNyYQ4gYt5fwtvdr1qEKCNsiwv7OmtyVQKWZ9URVifd24XW+Pf yWFjX+VB775nz0gOMfiqzfo+nQIgcPNr5VpqNRLSuD9x9jzUT02+eHIIAe/zasQ2d1xujYMvfL5E iQJbcu3qhl41uuBZdA3qQHLhBd8H9fE5vC+fd2KXPf11fHCBQs/FtifsOg+oFGPGq/Asbg4q09qK V6Zo6jA/fKd1Vad1DZnRjseMdtZTuJysnm2fkpERSQxoo68BjbKGNqhKUxRwfNWgNFX63NJdeFHv mVpSRDnp8zupy7gYz+lBp4Ly8LY9UdOZt1eVCAnaz/TPBmhj49hnlhYLzQ52xd4pXezo8l4fur3G c3tNkIkQoNn2OXdXS5p3IXvhaPmCfngHfd4JXVVqUGim0hiJi/EpVm0q3Pf4vPO5+JXsX5Upmk63 6/NO5+IoErGnt+6V6chWmfJB7E7aTDx5eP6GbIy8ky+e/JwkPBXkoJy5Q+NcKuW4O1Qu3G9Ld/s7 mctgD/iodMUP19o6gSHpl38+6c87l6tKv/xpqSKaVilHc3ui5Bl80vOu8zGiVRqNLqj3DNn9FnPg 7+R5TEagcaUvUaycz6BlWWrkMSByw7oU/w31ba4oCfnYn3caF36RVJGYixBwLsI5Q46WgfVkI593 Fhf/nt+HhxL6+7m4wswZ7Pa13Chzqa1By53iAvaLlRN3J4Q6c2A6am2+3IsdEy4UdPQJR+bDw8Mu GaSfkIG43B9QtfgZwcWeOChVY3Usk5Ca58N3u7qa8XSQGHafV0iGDA4Dtx/fwrwZ467F2SOtIOng wY6qIzMhLZKt69OihrO2B/xvX7wf3/AnDbbo6Ggm7nKhFi7OA331rClGD60W5c0MpgVNWV+UN8+U lPbsxKeDefvNm1lDwIgBjl7OPAkT58y8x51E0zCXZ3fBZuxBXSHqyXviLLG3CouH6osdH5TqGThe Vu4wvmsqXjl8oGDbkzizK9ajxDlNgcH38EXzCpjiMLSeZBFPR3BeTwubUkg05C8xJ+w4M/bQXpnC CNU6qYvSwG5DW80Lskd4jUH5xz4IR+Bix2etTLFapj1zIYUzu0jZa/+wVWTSEYTz9qjlXGVzKD4B F31dp0Ql2qvWU1b0KGtO5Vdb7NzX8Oyy3QmTZmASTyk9POtxl5q5mqlEYkRuRWmPOs6GhhJHh4uh WeY8Oc/M6jif7Tnhlyo2i0U3VfUxHTSOLfE+Pcqap3kcSiR6c0rGtBnKU4zUJ4MoeD5A/Px/xr4s O5YcWe4/18LTB/Og/S9MMDN3RLJfOG9LJaGeVMFMggGHDzbM7+ic4XJY+yVL9pASiMYGnBVUmPZJ Zwh0xiZbzQCMSYSA5yvKmxdhPE2JYXsSw/OebMDkl7hdGB3hCALPAhg4guTaF1AnOdYeJc5VHdVF UV/hbXi5Q4GzK58peDqIz+kXHAFtoHHhqj2iBQ50DhPUPzJVjwF8x66cJJa8pgXmHUfkPGAhL1Cj LkLAtNgBY2ZQwU1wt8wtJ2MCts5+UB3HJCO6fdVoIKjGTxHq57wzQ3XXKQw5wTiFXcHjwf6M9XV/ Adt50rJ7xMLMWZ5r2SmlrZm/YWV6YeI1yOWROfeQGyj6uri0XLwu7bue/5O25MbOZd/YOQRgDCOA HwyMLADB7JNfNbjeq6Rr8l1Yd83z85lOnNSB3zA4X7dsL8vA0ai87gUWtp7hVbUGJaFxwBZrMPL8 EY55wAZH3dygKHmGKBCyGoJ1uVxEZsX7RGTYSd9O7Uhvw51YdJ1IDn1/x4OTcN+jzrOZQEGM3hbD s5yDl8XfGhOPByF6fONZaEnHSa725z17PjkprCAGkAuFMqxZEjUo3BFThGdhDscAFGXPm29OpwmD Fsc7gweKiQVjdD93qiygMAwsg5XzVaff7Dz3qPNcBVUdrEy5aH+gZGlax3Ph8ahyv4wLFWogpHiC 2CPAM3weG78ZS9PzYRaA6NKV1XnmaJz7EwE2BrPiU2MIt8F4V1ma5kYmDvPnPXffQ8pHzLSBDqRM hAZfqDTwGX8mQNqmUcu1NOxTjYneNp6O8Ia+PV3ttIrRhSNWe9x4zmyHmaZPJpQJhC80D35Msaaz MYb9iRrPSzMLo/2vS2oH4nnvsodYpuflEfgXqq6YHCzIUfjYtFOjtkeIjU5hqLFZwnNR+TWyCDBl pIang9fnNlYl5QdaB2ijRk+OEmhEhtaLT01pfcvJYOKkVpCNXg3RMqLO86SQ0SjlLg6ISgkih8NH X+ZC19AzzLRBxV/cGqt0yBihjkZTY4yqkMOFOfcePUvHb+WMpwOKcv8F2cBcj5gIbU+UQJ+AgMZY VhO+rWmvz57E4qkxRkU4pIgj7DvbL92mL943pOEGlcjpxrwL+84c5MN24wdv7gUlVH3VvwjcU/Ji XATnPdti5eXcePp/QWNy0kTtQm1PCHeGQx/8yM3LqHYxC87bQ4op4M7QODN2e9R2potAHotwsUHF kJ/B3sba8C203kZvwLho8nN+OlDW9Y4F8ZcZ+IgAjNlVYUkMivFM2c80k55zO/IbRmQdv7yETASR 89ItRsgWJJiX/XB15bf5z1SqwMhX7YJVR5w9s5+ah2E2lm9PmgMCOPIvPCXdTHrPQHABd+enfN3t E9sTQzYMZTh9seK0yaHsXIUNT/8r9hDqjESzXS7uiHLnTsBGc5H+ImEjqIhq0oyrq65sys8jyp3F kOxyleHioAT0NdrUzOLcZJDqJSgBbS7AnjPrMYs9bG6MqOucqRyx1G2UMwLO1AYLn0OZcfYbj0ev z6/iAujrdevTESXPqNlQAtjddRJ4G1oILIviogASZ6crSp7t0qJRsxbH8kJWBmUpY/N5dEirfvOX 3ZQGu2RT9IzwGcHx2jIsFGDMhaWhc0ZfUzDdJ57+F6gly08FJ6t7d2OEWOeBjLCY+8X5S/dmQ53Z 5Tx3csOEG5H7E2GdC8klI7fliwfnU7jhJbLmz6lK5f3I4nCSTF0e78ulrxrdXeypbkLmufB8bQC6 ZI3WB56Och8HJTBN5rW1rvJI1HiGGoJ3xFSlGtdd6gOgmp6/vrOZRpQ6my7L8IVtBzk8JPRWpefT T40iUFkGhqyAwcnrzqDg+Lr4jL9Sn9nUO2zeOxypM3iegLs2nv4XZoN4MSQr444ER5g5b4Tk1U21 JutqZ3DuF7OBWYau9ihzllx4U/hpT/iBicq5vPFyMXNOYy7J0yLyQDIgpwcLDvoFPyQS9ZE+r5Sw MYBm0EBmPxlAT9DdC88HxXsrX7VF09jrXl9h7szmj7QvB2HzNhXsvbmi+hzTpAxH2HzOkukj0YSL 114pgy9IJcOG9wc9AVjKozbktJH8AzXnUQLyQ4L+WFXXZ2dfbOqO4QUL8vNpeDzqbnzrZRGpwwyG GzTD7nMjbGNZ94fiOyi5TgDdNhcsvB9YXMwoeV5J3Z92F0e1JJT+8AIhIgF/bJoc0H8MBTj0Vhw2 xuJihrAN+V9kiSZkV3qsoDMRKpdaw9PR+/N9f4HnVajjpe2Jkmeco5YpPobeRq70vITFdWc9P5n+ 4KVEc2yGbEHBWarBLdNVaoGAagLxWWQCzBt5f2UcNIhU9PLFVS76qlFtSji4DFSmG6hsuNepNj1/ Wjwdle7fx4uadmh82/ZEyTM+pZ1fx9DyJZt32sIUzwJQIQmN+kchV7CP99L0nK1TUVcFIERQ2YcQ 4EN8C68zY5tm+6oR14LZc5OBc3NzvxPBGtvnp3affPxfimvCtJwDXS8oakbZM7qG5wo2TR8MwzUF g+iDS9mAgGT7E2XP6h12GrlquXNlkCGILAbZAnxrwl4qWkwoL2mQa61nfAt8xp+YDYP2flHhkGIx +rRV8HjEtvjde4ZA1eW6zyh/ploTSCLclZ6S0wl6cbaytDZ4v8+w9yy1a/YptPgFtnC4pLVR84bR hc0GIYULTxBU+8a2QAcZnxEcLwqE8ga0xfYnJWLJgf+oeDwYDt7rC/8tTQTXHX7NKH2GY1Nro2Vz beQIDa2xRR0MoTbgxqvoHKE2JJXQutzj+2Nq3Rssm0DDwfmCOkpW/oPWDxA0wJ0718u/ahCdpWSY JLWRDPgJoeVONgnm2Hj6X1RctfHBNL2wjRnBNgZHpz258U42vgU6Y3a8TvApZlAwo87zyppZmGLC F5NyTMgaCPQMwz2h7goh+FAl6fnxs6b0/oyy5zQ12VGRsbYDE85OdamqjYano+LrG1EHpS+yam17 Qqbg+XYQfbXo3GjHR+/YbLJsJ5RwQsW3J5SnEzChkE/Jxd6ek3hCki6ZpHzqSS1GXWfnTVqXCLfo cDGjvrOTddQ+bNb4AUGMUp7np2w+Hbw8v4QkOEPLV192RrCNSVt7AVgwOi0p/bjZTnM8b3Uq0wzb zllkHbYNufjZqtiIZg6EkBynHiaUr88PgqA7pZznwxOcUe7cONCZc95FhIJR5EAIPNpnRm1nGXPc vhgnpuWG5pAnCJ0N6BQZl0ncZIbm7plzBRyXhIIZ62wQllBm9uVmPjgFEMVAYghXdCFaE+0H2bd7 eILKfKLEmZsJeZ+7cHvgSy4i3In3nxnmzf2bhQsQh3rh3J4V5c2oZwHkMhW2vB2tmlp5dDb87VkR akNvD9pgvtj25HOhdh9aZMhjZt1cuKA4NBhXRkLa1itEbSjmTEn4TZfwOwVcZuJzrpWKpyOeoG8P q+bNy937PitsOgNuWK4lGhkiqkwllonMhIwIhp4VojaoIN9kOtzWIyLRzn6c4CMO97mAa2flQclO glrKvH2f5V/1T426lu4isOrJMaso7rvi6X+JaKnpXGGle9+eUMEZIiQn9mQjM5FMT9jGHN1hG7wF uT0hbEM0nUFATx9qw/DiwqWeqEB+Li6okZhzJb4a9oP81IfD3fAZUVtMZQV9dea1rhwnRVRaSHHM MG2+WN5LFNy3al8hUZAKAM0nXlPsN3TFar0uFsn9q1YIde7SPhLjIl2o89gAveZCHlwF2D+RR1kq JfySwTH9Xk/6qn8qkBQafHLR9tDV8IdztI2no5rd2z7MAJFo7OJd5xVlzUg+GwzEfGBKB++CtCc1 64ptQiAprRrSBDmraOhh2+L3Oo5Rw/YDgolKl0MfTGFPbIR614P52RRoWRFiQy46Q9YD41oP7PMT mLbA0BtPR0yLXy0NekHWuz1R0nxScory2uFKV1+s7WvtxUpDb0+oryHvk92mL7Y9BZJZG7g09Hzg NTgF+MGfBULFGeKNen3I+uSH/KmQsPddVFWMMoYkfkYfeDzSSL+ZD5loeAv7FbteIdyZXJ1WbKiz aANBKhPzF6Y+Elhh+Anz5mLGA82XO1FOsB0Q5AeBKYmKgnShgsIGpLU1fTYnpitU2BBXUDbVnSx+ bhD2nyNlFI14/F8sdx4mfAyVtLQ/UeKM4Vjj/JEWg7NR4ZBcJuJ8yGVaw7ryK8Q7d5MQLb7YGzQY SYmABNS51p3lZokgS0v0ffdHCi0rypyTIFH/nTkXeEmwU9Ezv2EkIeG3FweA+EZl37b8ChHP2J/K ERuSn4r+JpOfIa/zSYkNn7ivMHXeEnc2IsV4uqoTiJNVRNXpJ2VoRPwAJAUoNbrGzaamTKX5XYMA bR7wojTtR925QJadO7QqHg9m7vM7eW7YoAe0uqLkeaF0LqMbmRJ8UIWgfMnKYJMk26AweZZ0BmKe LR6hN6jOW5AoEHPxq5w8CEMIWBRAEP6mP2PoqwbpjxpiS5ZeyzkLCbKekmjemY9Hb1D6rr3QraSj GPdnR9kz+TJ1Gn6usWJkXywvn5ue7NBF2HZIFiSF9P8W7gjRZUFVi5CocwY0dM9ob4AFdKLA/m27 vKPsubHv0xv7PlzUNzyHdnPOdjJaPh7xUX5dYfiT76vxs9/TZzy1oDzbDNY7K1koJ0AvdvGkQbuy Begdymxo4NVMKWp8cb3OAZiQNQBmFSN4hqo0E/1KipxzzE8YNx8+I+j7SLzGsujsMq5UT9dY+GQS eDyST//GRBWMyvctL3YIez4laBMZmgmiLHROgpifsXLZbtewo/x5qOTcgj3vOzYdcEvrky6X6Kue OkwJIgFucENrycuLQhtWfEawP5SQWElKoi4UuFafVDtFGzDj6X+BwqWRiT9Y99bGDqmCi4g6d7Oo yeQgV0ndDakzOxPcnhi0IQU2STw/Cqtna8D86bRbPJlRr7RDzFTPK2D50PvdqYJFXzUg7AhKB7ks W2yqvFXOlJ42ng66quVX9QXSIxxpbHtixDOK0z5NgK3KyLxhKIRukRmhEHvY+WP+1Mgc1vS6Q52x 4GQ6ae1zog/u4i5HbwyqMUvCtN6CD5vyOxZ4FtmLaAYuqi52ajTTOWer4ukAk7C/u4YAC8Kr13cn Sp858trXrHNJifbE5lWXw+k2LaT48oSQDQLlSeGyxTsbJxCBmUuhjfN33o35Y9k8IWhrr8dCkDok O5R3LkX7knzRywOdHOUzaeHpf2n8UH4tU9nsbk+E2Mh0NRtGuW3GsxikE2zri43R/WxFiI2RlTSb DO3V+BnnUod1A5uqKL4QpwlTRam4aWOO0NNl99r0Vf8SiBrgv9qiiSCMqWj0fi55PP2/iDtjIJkx B7btCXU2kIGkaWUEe8LGZsrTSgto0mbbnhCxoc6GKOxc7O2Z50JNlP3G9mD4Mezt4fgKIDhnExQa 0uIzotqUV5Y0nrmoJb+HHDpPwjXwdCTu/A1oAT2jpHFDT9h05sRika9TrjkBXFE4EpAEki6uzh/z p0pCp3EFF68sTl5yKn8mhid1xXCTTedJJMDJRfZtbBD9jo+IRDY4Rx7tLuoaQjuULflT5OLpiIry Dadj+l6f0BOlzfBch9OQecYsKYc2MPMpd0gmJWTGtTsRWmMW7c4uvvjZmsBqdErPVngcFGbWBXiW AiWZsR+4T2v6qlFaiLRnVb52XBR6zrYIyH1OMZ6OytJf0tdoY5ZHOD2FYI2U6YfSzZCbApJnVzAu 3UQoAM2CXhW1r1OYN0uU1wYSaV5pVYSfUljunnME3Wf07dNElt+gl7Hrg/dZ/mUDMiVpcJCs4QKK ukp3isHgsEGdlD/gXyN3gVuhznlLi5xCwiD4TEUOroDLDwqRUF4V7QfqP5bmdAL8nD83ae3ui1/v 6ZQRCewGyO/iRKB6BEeKgyrCWi+jkrcnPyUYu8ttehOuw0XlBSMSx8on3vP5f83dKdePNIrC4bZJ sUkKGofTNPvAttHwa7WxmsN6OWekR0EKYc9qcVSx4uojl3BusJP2wOUAFcYpKzMnHYneBBgKon/m yA0CV/EhwR41DTCkl4BZGVtNqDGSxG3gicAfECRC+bsIQ1lL9qBvUtSGHmyTLTMOPMetm8lrysPs OcE8HW5EFNsJilFasi8PeuzkvGy4dMJE6FSQaOVWaTFSHquLVfzbBgDE9VbIn9t+JXH/Tk3Px6Mx 2LdZAWjvoFjdPYpy6dTpq7YsJMEi2Fpl/NsrW6zVRvDSrnjfI174Seym9LCbRsqQaiQ/t4H+jjiN XhDocfjNwFI0AD0rOH5IZOcgMUjxB5sJj8Huok516zcMC1II4vhShQSr7ZQanU1726QopUYfG2Jh 4n01YArYWcR1wQEqGlqgPVXF7SinluEXjZNt8RcJgmOZAhUgMbddmHajifJToTdN1SDDAfHepzjd ewYnEqHs0Lrboe0TK5o01VGRUTjw/fH8PfGpuEDHrVhz+lOBI1FwjvPUygt/nYCUzRQXPd+ePSBF ebXEJTr/hlp8i1DIzGG2RBvOd0ZTWdAfKwJpmjjkmPZl//LdQYLli2hgEBFk5jd35+P/IjILtoie 4tWPkjff+6AS84XVklX1y7r2Zy3uFjyTDw3JMgxaLuxHFxlclXbHYhVIPvoMMLc+SV8REQxKfjCv ZLqkrhCd4PghEdNSwqKSIGvknuCoJen9U6+48fl/Xf7Gy6wgjz0pUpRgb6I6aBvAyep0Y+4xy3JU x9rJNylS4ygyZZKcHRe/2BZ0RSTcUjFkLZJpQ85d7B68OnYyDk6x/jPP2CjNF4Xs1asupdEmHw+O 2q8ShAaILT9bFGXZ0HWCcJiRVnB32vTHqxES4ptvUdydtrbi9OViF1pFkUcHAzBqG+kJebAVBrYw GyBV3bNiXzYyCeF1NnfzRayMReVJzMeYQ4YmKpfyrV42Mglum/Yo9CBEvGw5m4ZCo0G98AvNkfVp M65xjwITQgAYJJSkRrUT/qDKAfghbK74GrXWN09lBYoXghQ/nNiL2CMTjBy4EGZjNf83NHENwEGZ AEJGPIc2hGPPrzyb4+925RBz6ENIvbZC4XiVssNLWSIpqWdXanGfvcCIEB5dJrVVfbEtOvtbEUnZ CYFym0r9ht5O5ki6/teUPv9hRMi9wY1ti05a28KqA9zHx4Ms+15qnKlNynTfUiS2IsQkeq1h0i6L umCoZye7DahnT8jt3bcoFIQuROBlabvkq+0yaKTdSNw/iQiU+mSUCrY9zZQoQO70nmRfNuL3qA/S l5bJiH22qJKKdAJ2HXw8auSXr2BUkF7v9rxFUasaHpioFqxfNIs10XZX8V9pN7n9UgvtCIeK/d3v oi2CovWEISpnrdDe4kHIUvrVbbFur5qz6Bz4EfpBm5CbtYUHDWQEptvnVqh8PBJY+CUKDRFBct1t i0Jlu0mWj0Xphrmlx6LrJ5ehM2tbFLIM5aQi7bb2aLedqJRP+pVFAD+BiDLKNMSggIBygwsX0sUf ehIuibMukjW4qKtGHgIzwqIvGcxbHxEK/sdoq10b5hx4EqpYb1muBgxGNiY7e0QEI8U1aSCokxaC PoycW5svXvTTZ4azZzRboBRNogbngjif0Kz1YESRlxy6EmYRWRblgrhwi4AXlOvQ+Qg+HsyErswL q2CK8Fxt8RzYEma25ABXcR0uJpoAdMpuS41riELZaxSjPiZ7Zj37cnuPAKfuQmx0Q4ORAptTyjCY Ns1vhc1p3/YvsaDe6l3UF8mk7DEjLJvPBxH7IqaZKWLy8sw+cuhNiP8fjBOHscGBqCEbvLfkbPCJ 9rCZ64buKklnrWdffDINPxW4qImQebIgzvgTfbLgkAMTYXdmrtW+bHSpkdFbeamZOiKI66dEdr6Y Hv+f1EyQzbX6pEZhC3sAfba3VJQwaBfmDN4N5n9l4mKs+AODQvQrxEaQzVPfdwJyCpB+dkmcOjAZ G41JN3QezrE7X5owA4PHcPqaQ4tCU6RIYvWm5R5quevSwUWe+XxQh9yYzdBDRdPyvEchenpBRlLW PIxMNqBetWZTI0UtNPw9CnPsLmY43yMuTk0A4OqEI11r8LCmCzEMKTFFq6TYXhOsbF82mBMR29m3 tSKNeAg/M6YuOGqDjwdlSPWjZmjiH7ia+BaFToXnp5//mCBSshMcA7u240LA53aMTA6tChdxemR0 2eLl7ELCXbIEtdeaiwyGU3JkGr+CofTAGLN92aDiJ4b6bHjRklXOgjQkMYNe8BoFboUoJ9vXtYae 0bnGb8wOzQphp9BWMU4mqtmq7tpMRkkEPyobPyqHboWSvXtBokHw7mSOYrg0TOA58wf4RmbWZV/t IHYe+CF/qiLvdRfDMqKBgD061cjk80EHsn4L+xf0WOqdx+bYr3DQdKUt40gBZMo9qixs+B4VesNp j8Ike777yc5z64+pV7q3U30gV0I8Aj6twkF3Jnc+KJTR5IdEAktsiySJuCYfKpA4kqQROBqfD1LI a0ksz0vkHOPZoxAQAstmOeZQ423mZt21XRSYQGPt3u4PTAuv59z/8eSDsD+Y4iSSYSbeCZg5e9TY qRo/49sWtNqX/UsAmFkJl2Kto4qBQuFZQz0b2hY+QidSYsBIpD17FJqvTLCltgFkWnX4zCId5Jqv JPeND2HVVUPZ/3bvgTzy6OypUQQ45cl4BF4lZrNb6tE2uqaOWY6NC3kRTZHqpxfNCyLezOtPRpH5 eIQ7b/919atSty0Knb9RUa7tA5G1TNR1z12tA/nlwZJD68LVRYeW92W93pcQSTuHragr0oDa439S oFFdYBNVsKsmdassO/Qu5AtWTu26fFFXpCW5CpS89Pj/wppCklNAl/EtirJsNDzh3qxxGtoLxmit w5x4TxCk2ru2KJSSFndBPjX9y6dmnIwL+Hn6IGAwboqCUH4ngHg+45A17bsGAIhCTgcyUFs0w0bt rZdoDT4epNglf58zgHAICbMdilJsAKVA1Xd4/jA6GXwQ1kWITJ+qBQaGV7OUOqJarOKHe+dKMDPP FK9gjsjUCMUk1IivjwY4Z/quwQ5Vo04NX7hDPWWbZBRG6yjDLrfRz3cI7ZAv//jQwXAAYkQOnNTI JWVPkMjMFyTSbiQKvVjEF19qjax760MRb0E5ke/QuT+o/oxNTIBQlh/aZAmApaZR6GDYzEZME9pm KJGTmc4pK57zt+TjQRy6kl1sn8COC40936Aou8Zd3E6F4H21NBWqz9+6GYpGVZptUJhdDw1m6128 lN0YFdEFEbow58ZiWpRIhiK4EaiAqesM9gb8kOAdkiDVkOmITxJO7Oxb9DtobufQxpA6xA9UBFOY 9JjIhz6G2H54VQ5DyK5twieyL2PmuEY3HFaOjQz18tS2fHnmIAmEaKmST8CIiSaBjTIxw7P+Rljn 0MjQ+iGz3cUvszY0IRuTj/8rt+Z/jDgEAo7vUJRbs/dXUnab4mLu1qsTW4lS/9wO++5QlFszDcwj SYIp7S9HsbPrJU2JM4DTY92AQv4IvtW4/OjR7csGw3121WYpd+EWQdpADfqW9R3/pU5lLbhvnlmO /QxlWZPMcy0rOZoMRM6kIsDXtijkKSqnlnZ7fbTbT4A6Vdki1LYjTUysdxP87pCBQWAI7DQDomtw HVoaTik0JHGprqkkTAEzuwswEeLzQal/9cmzebgQmnl3KWQrAm3dSzWyB9S+lRU1jRwp8tGeFylM ruUjW2UIWvszuoZ6dZ4cXWO6BndninBTQvvEvgGrGb1I0M3Tl43IwKxgpTXExTbp/G05wYN1EJ+P RNzr12EDBYgtUN+jMLnedEYYhppd7bqzXLr0KWSHFyCBteEzcxQvuPWLgBgD8cIyR2reUxMkJcrB gkaNQ+NNo7zs20ZaKCzPut6kbm/SHglJwA8hOoOPR1Cab0zW1Be52XXgcCj3iVbZC+J8n/kz0H0n uZPqUEaZkA3dF1oc0kgNSkXLF0f3wQkgTZIIThZ8Ah5KFZgLoPW3Ucg278/SbZcfEtxqUqlqtfpi UBqQsrlHGw2RwOUQBcwvOaYtKTHfoii7hnwgDNPNxS8PE8CFg6jTytN0YnAObA4R2Pj+VF5ZXG7P iPCrKuIQFFGItKUWrdjTdFitX2210OYwS89rK4fcLsi00V3hDnWGoxB/Xb7dNohUqJealwOjQ2Tl 0OBONmlsdXhbbeiCo+jHdiubHDsdSiiQgshafItKg605Icb1/CZD08nEzZykVuR70NKyLxsctKy3 SOyP5tlFBi5HXf689CWj/PFbu0H08n1L/dDrcEK8YamFxn9ralzvQc0rgUQQjtUyis0Ol6H3uy/e MsIIJxV5qJ9bbcvwmBqCBTDs2p/WY2/2ZSNhQaZFhWh1zUfxGqEbwiq0FoyuA7NDFHnfnUfZNZSv Wy3sYLOthrqeMNpBoCxRIugNNnJgT26Q5eeXQ79Du/tLbr5oj04kPzEEKHUiRDOUUiCdN+F/WtGP Pf/1RWOzeOGnROEIiVFbbK9xMRZaSypKMD/g80GCNO6LJJwI5ufl7lLoeshiNlcv1U7ssGKW0ybD iQgJidMW2B5Chk/OUXn64sXsySvOpSMmGji9UzL5QFBnEjxauvYk5JrnwPcQmyS7+dV9sXp/NvYX al2Lj//LPkEZJ6/+e62FvoezMM/u6qHhghvWV+tkWxlOxEezofGhREBGas0Xn/AjzaZbDw7b2KkT J9EIXyVkEodNxdpQehQ4H+JEsyUC0UZbLM/uXWIetRU+Htxqd+ooPtrZIgoC2BZFeTYK37aL5dSn DM/N+mplbMeJLCJru37OXyO1Lv/nnp4Mci/YbtSkmN3HmFuu8wiWFfUTVLV1rfEm5YcELewsJrVw /XjhWT/sBQ8OvEYnTVp8PpIE+VWuobH2NXYM7A8xUcPQmlLOmKhNCI6bkucq1lgDwcNPWiwKIvAs E8nuhHlM+OupkqdI+afeP3/7wYEA0R4YHLaHlpb9uwaViJnO/xcpP0HAjdnfeUv5eCR78X3QCDqZ l3OeAwdEY4ckOSVhREseNmRB6mxqAyCPcaOS/IcFIvn4q1dfPH9se3UCB9EJgEIoU0xisQqmqYX5 o8S6m3/ZaL5vnevpi7YISG+pDc7KxyN4qL9EfF/QfspPchS6IFahjJdpfqU89RIt2KF7/ki4Ky+1 wAYxUb8HYD0SjWoqtwxp5w08tTrlThsoRZRtgmk8i4/+M1mzCSaSu33ZoLdW+fpkzhy5CGW8YMTK DDtVPv4ertf+HhVB/Tvv/ZyzMMUegGHVaihjRA2hQxstNVjNEoJmWxSl2J39kF6krVfujTYLLSZk Z3cyyfNKMrdOQ/7hUJHIV/K0+Jf9U7M7aadStYM2TlYhM8PzxvLxCGxUvwo1pGzA898mf+iHiNFD o1s4TxopV3K8IZhHTX5MUu2kRSgRFRd9yzpplytvBQWePtH3RYP2BOxi0320OHCHkZ//rf6VA0tE +A4bU234ols/paFzcq5PPv6vLn8W9jGjqet32uc1MWq49aFcSYAtp/spu1u2fAPIbamuYPCaFjUw 0HnZixzCxe78VjBaHERh9wwLMXH4CclFWcuaYZruO3LH16SooSHCwqHpxm9245/yhjw7Uqrw8Mvm NMwM2eC3UXfhTtPxi13zaGvAclzUrP8PZcCGDax56oQx2rSM59sTbE2XlYK6VON6NDcoVuJ0TXaL GsyxhmZoAPbirgSSEH/GB6D+ujVw1JHScr6LJmjnzVxS+0Bf9nVr8OY12xqZL+AjEKG5Na+J0PkH pFpAgZK8SliysjA7b1uywqxU6uWerXlNg9rNFIXQG/2+NXOPdmqxLYv1c4hzM9YR3nHaXaULlyWE 6vOaBDWHzMxKBggXXV8bAtes7Xf7vGZA2Ffx8s/nMIyT+jHsNfq85j94a9ASzcOJ1Xlb/rOgMOBX 13Zk2mv20yB9QDlG9+EYD6+6nf+6L4Ec0pjQUOHdRuIF9H7K7Q11Ts1ek5/mHjdzFBGH8dbhd9rA rcqm5Ny2n9fch4cj297Y0J/gCNub18wHDwnekGwehJGWSoyZmVNjYNYARZADa/DaDMbYIR8yLj5y PccJvBVWqhuWRGwfVYD8CkqnDiyCnSgqeb5mPQg25hCpltCqLpYCWwZ2K+DX8HlNevi0R5vyY1xP quJzb15THv6zUVFUg1ltCoPLIXJeqUE0pGQvGuyNoPcjsY3B5Y6jYVLCjBW4vVNu8b3JQKZViNDT LIk3VUnsKb6mO+cf2jgUslFsEQoNHu48FKfY+LwmO00ms9oatenRTQHgXXvzmusgfMM9cwnAiHt8 Vo3GkNo2QRlxO9o9/prpYG8oPTm2CAz7ITBgGgTjOvakWaISel2BmS0I8AOXlhWmhFW/5jk4U5Q/ m10CsN1UVCHFWvianiJ4fF6zHMSq2b6OFGHnVN/g1rymOIjEMNVK1adjqRThGNAr6z6lb3TegDpu FImZJw/1ybjY/X2u75PRVr02KQGSwXCDIrcwPn5xOxClPq/pDXZfe1J1f1ev2FEpakSPPvRrctMk UvLc35V+KNVP1Gv3kM+B+JLcLLxUY1KdpMRZHZni2Yw2r73D9jip/7ch7USBdbJXWrb0cw4mqxxM MlDe4VZlT8P8/DrFvYK9WUWOqzTF5mKIPLhLNm3O+Lx2DttldPAU2T1F33LtzmvfEK8qz1Tz3ZGW BuVjKMDJxG+xlUQNhyi7obbOuUS7L/biVGLOMfnKyIpXWoS/5CppwUllrgugxh35ee0ZNmmOY95M R5Lp2runvjLzyVP54+Honvo+VCC0oSnumV+UFRPZgffEAFTJZGT2GNeqDurcTr0Ld4ehhkWAltst PMHhBOXCY7Ux5CXkVTYtFeIx9RG4yPqqwblihrOy5Kv8iOxNr3a+Oo1PBwdr3XeHc8LJ9oy/O++c MvxJ4EYiphKaPElUl40G3DbhV8AWisOmo/2ZusLXXexsLaga9EWGa4fEsvn9oIHaUFVzLm8As15F UYhisvBli7gOLlZ7suZHoM0AlkdBeXvk4YywkKXnkeedUMZ6g35j9q7gPdUk7Ny2xROd0pNz7qIE eTdlOrI6HI/VITwSMqfl+O3Bc2Xsoe49Agj+OM5KXNqeKEPuYiVMlldcFHtOQrmlTNnQBHvPkUFO SN+XFvB/tBLV/kRJMmZQSDOXB59pjdRKvgnPV5eJAkeoQWzuMvMrvfti+wMx5fOrEDgFH6mZt5AN FC1H5Muu/ApfI33VoLjiewNakS98fdDp6RrrLAwsovAzynf4IfX3KTzf82TUjJh27WzhZ8szE7mg tJYpK9ifHmq0PUQBr0Li5iqXG71A8DnXhDcI8T9b/GbToNEWjd4psJNQ2+LP8DM3gdJcVJafoC/Z 6IURfJQpi+CF3ZDHFAkk5R6vKFeGpUNrBDkhH2zVssC1pSEMptUqwyfw78myojzisgqJ9hQSc7Vz Y81i3PFdloxLSAeio8cj92E95ihbTvQZW1JpWK7SAApOlrByavXzTiGzFEb7w94g7+w+vMx6p5Dx Q+m8xY4gFQbrrUFdlhJ+bMnCc5Qw2/W1iFO4FEGEn1NBrJRox3aSzxNLBxFTDZgfTkihHmNuh8x8 3vlj+KcLIC07tpRc9hXzQKqJAUjzeaePNZFhn1ILugel7rs/UdIMEkLrHKax6dWaKzTk5DU6iN92 vqKsWfvTVXNxuUX6CfOnauf1ftLCtQkZKhUNUiDuYTV33aKWvur7/gx2LvYiZ4OLwg+9GHi++vy8 U8eahrq2PeruoNy6LYx36hjvHSnmycSvrexWtKOMZfXWQozQ+YpSZyYxeHGmL74/E94EqPlJiqq7 Cde6ZCUDQsmd4XRWFe+8MQZY5T1t+ML9gXC4xOE6lGKi1HmJUu/nCwERJuW2PVHqjLQFdt4KOqgf jXxYSdWjkXqjmgS3J8ydCUcYQm6OR/4MaMF5Ig6ngHhNd6NrW6E4DEDxtLcxlRjxM6PcWW5Ra5bu C6su3NHs9tSNUXKUPOfbyCCxvAjY5r3BKHmG5FrL1Cshp448BZqNjZKcU0fLWDYHo+R5CFm/8l3u 7XVerI0uEOg4SE8YnUslXwwn7ZvDUvRV32+vrc6pRIOniwZvSKxIaPvcAZ93shgLr5sbstGDaw4A AdufKHkGIK2Vnm2KDDyNJYfLiwtPDk/0eeeKWeXPjdm+OMSO+jyVPtitwJcrSdZUl9j46bU9fLqi rxqVXmwOrnYXi847a86FCvjzzhTDP6V8X+8YRvGMaX+i5jJK6rZlTAdqhgx/4EW7nZoBDXYjir3z xJpERxGWzW7+sTrsYPHWStAPZO8xLSXyj3NZ4qVAFPOmxtR3/TP9kWAVF56vc9EnmZKcP/bnnSXW LrPXby/gWCApYvsTJ8/7ugKs67+BbhiuXeuGQXyD4eedI9ZET0dY5oB9XEFxdDhPGp4Yi8/+nEi2 TFQZCdVwOIlhWTrnx+8cMXyIXEmoUKfFJuxVXKiaMp+Oivfq3cIfmB3CbSx7cfFOEENQR3Fa0jLw YZGCDnwlal4Wf7KiN85X1Ga27Eekg/GQDmYpEODJnB3XU0RuF4yDxD8m1TCMu5Re+6rR+dJ0Xdnz pRme7CeL5nOq9/J5p4c1oWDsfPGuAlA2PRsUtpqrmu/TeSs2Zz8lQm0XLr5s5vfODkOAltpJGdkX H9+c1LljvM7uRl5TBhRF9cgpEXu7fXjZJryTw5iim51N9cUa8SlVIuzAo/u8k8P4jnv+w8sKuSbi lu1PlD5TmXJ20zVpmFIbzKfu7LQVzJK0P+/pc/5/SoqHpsbjmRpPsCXXYBsKxHlIsLP7A1ncClgF Zka3+7P0VYPO4ZCuKZkZXNT9KTUtUy3fn3dmGH7RO/vjZT7RHWPDQ/sTpc/QbQWt5zqydTfrpVOD zHoh7aL9eU+fkdoSq5uZ/3B5AlCH/ChtScqGq4SGp9BcYjPtcTOGKxK/arQ/wvaKzrsv3rCAdWdt 9v15J4bh/bn1KRMggFn2LS/eeWH8B2FnD6U9J9RtExUYc29TXuhl+AX2nj5jqI7jMmm8q8X3Z+6Z ViP4+eQsmI4Kw4FGMBR4a50P+Hnoq0bpc1Nb4y7KD/fWXUZJqndaGJ5ev7YHNRz1SLQ9Ufpc6TnW mx2vPLw5dlI4b47l5MTCd1IYjxd+aSr12+Lp8/nhwGVIsmuvZCKDgzO4c4IZfiw+4434vHPC2B4l 6KCKxVurpc9wv6OnCNbPOyWsian8NSPFuwQRQZsfh+nz4Hhxut7CHQRCEMsRGcuxT++EsNtbnVSW 1OLFFy73DnMxQOczPCa4PYWqfbRku54kg5CMd0IYmqO81pf4qVy0PaObIEUe+fPOB/tdnOKb4VwR 1KPtCVEZmCGv7Lf7+aBuDBUW4GKotDtf/xuWscS5XA/n8vzNzo/k2IW1KXSoMl+wBdlpNm5FOdri 6X/euWB3d2Zn6c5Fc4s6p+YW/Zz+dyoYfs/0OzckNMw7h+9MMGbc6GxA/11ukLX7ywO+gTqH9FHg 2Xongl08z6xM/KYMnDTWAUSM7rN0lDhVALlinf5NjXprw18e2hF/3mlgTY4rcNrI1Re9PCdNIPEM P/XzTgL7FZkZhYlOz/dsRakzcLRgED6hZ1rppQ49Q890ucB3BliTW1pF6lJ98cicTmSe4EcjNayQ 9pPzH45VIqqvXPHSal81qizEsuxaUOVVXl0nNFbmvlAl/rwTwH61NgQBQuP7tjbe+V/2R8F1Yo35 1g0YtnrNTmnu6nd0/pigtuCvvSjXo8VjcyXbJMlN9BSUVfEJiiyQKhpP26foe0bTZAXmdBe1fU54 J3q7nuzz8078apdm6ZEHiUa+Q6933hd2FKCnJWEuIjTWnZhuG1rUU/VZWvhO+8Le8MSMruZYv2dr os08NqhTlHXdALtqqIO/XW8/82vmRVH3d9YX7kbWFauTtsOFZwv8XzlCjF4/75wvvATZZzokGqL3 lp57K8qaO7yQJk290TScEsBFWYrU18rS5ka075SvJh9TpMuEyHO5TefW2tiUCzgnF34/hW0f5AHw Lc1l7Uto6lvfNWqLiWEx7qKZYMuSijpJef28M76YVP4CaqBDi2GJ7U+UNVdIlaY6LTSnalnzSj25 nsKq3Thx73wv3uvcH3nMD6nHTrY1xoJ0nliDyKELC3wA44VioY+rl+3DvmuEDVNfTJSmxkoOGwRx ZiLjz93dP+90L7ujnw1CqV04TNEGhWlzJV5jW1c+XaGpXta8fbHhiU/YdWaxMCmIpMViTx+1nRx1 sG+Y03ktjfKEvhjgVyRkmiIw88J3rtfT9lm0/ZmYIxODLOEZpi7nGPP5f9Wl/G+poFPuGxQlzvjU truj4hcJr4R8yw+JY8HsYmXvTK8mpz0erbvcxirTHDbGWoUBx5YbLfi1GGGsdBU50AzmZwRjHQ3d N3Hf6tshAIGVoKH5WPvzzvLiN7x9Z2bJyOba3Z93khf+WVRONJ27k6ywh5oxtiCPkmMLKkRgf945 Xs0FS4wuycUaqwtJbhpiVPZzI07V7Y26UpBSGNdPohPt/U7xQjCQU3iqtjiM8iSeIg3A7fbzTvHi +fweK9MqnBAp7U+M2qDPBv3E4L3RpmDMK1fyA8HwgoMGWIP8McH7owjUR/XFDxjqoiLDjQ7272Se pAg9zn/HxrONBXFNf975XfiMLuSlCN7LJ/snVILpwgjdx+ed38XWwg1AEp8+ZwK9LdugMHsWcsJk NhuVeTU3Zby0uem0A/ZO78I/Tb42AkX1C4qaBeFZqkIQ7D9ZEv+TRKlOTLL2t/Bm11cNIjQJ3dPw zcVhaee/5OCIsgjr807uYgD6nuxAxiG3B777nj7LBA4yBxaAbIK6gMOsXnv1SsuWxB8TXfGEbaB8 ssWzw3PFVyroYvBVTzIqbjzdwjF5mhDhdL+6oa8a3PAtK0LfhTf8qYqHEsRTaHzemV1NTibfyTP6 hvO+PmHjmeIJrF6wPebNhtK0W7ma6+OW+c7ruo3nSX9ZLbY96KDvLdLSiW3nOjDxKdrMQ0gGIUu1 KUdJn3daF2rTIUC8wjR9CdgAxE4xJ14nf35ndeHlS54gqmRD3/vCMd9JXUy64IuwLSi3KjAzyWQo mFhbsBJnW+yd08XKj9vTeItx8beHXswG450IZd42hCkFOgEX80Nozeed0XV3Zw1WF2tYdXFue7gH 8Wzt8XkndLVrJWovTyksLO7ZCjHOgLYBm22labNE8fz9l3FL05aDOF6evzEbc3M4yOXpikFMVYZs lZgWcZiJ4iDEsvnZ0uX+zuZqsrtlyZ580fY0XO+8rwufDl6e6ncXQjOkrIi3tu2Jsmfku/gVDFF3 Mh55rgIl5WBeKNEbdSCGbEirgHBCLn620M7Z1LaACBBSHxWm2OnKyv1GHsLj33lcrJ5wZ+3EpI4L d2fWttUxPkHt807jahI2fo5WR/dz35ZqC1PnDZTqbu4F2YsL2CeOCTGx2ORZc3dCrHNTvc5B8cpO ezgxDfPGTaUuZIagtxvBAEERPB2k0qb3l+2rBng6poTwvvOFkWfNou2BfTKeDjLDOxHMygK2prW2 P2Hm3Oh5lN2nl8109VRttnOOQXIzyBa1nJMBMVWbjlubwjYLQZQiW/0khFDnxcUFZEJGOU1nPWuL 0UWrhYgNDmFWfxZlzuevOyS1nvh0MHHP35UXFKEKqzdRT6LEGV2eli8WPO3hA8FithGZ8vzGPXlP nBGZpUVIUXYuHnsGuP+beKhK6FszhwTKNFP14SbO1b5qMDGlj/qUGeS8ZpAnYotVWk4CgqcjNO8d mCqH/O7I9yhvhh5DqwBUUBkBFk5qilXGPimO6g7r/DFBYUoM6hQafD5ocMg6dADpDK0KuB67hh2j V9ClqS5p2hFE8/ao51xJXFqFpC4uOl1LrGA0SiaejohL67usOH8v2D3Z7oRJM+Ase7jWD3vvzHqA WzLiEgUcmRT2qOVsVVcjTWC0K6Q5Ome9cJKmlRa6bTiFiVYCSJqllWIkW+J9epQ1m2BUVfuHY7PF tBkJflLd3gqeDxiBvyg6NKer/Q6Ue8gJ7ORquxT7EJ0AeLpKdikAY6OTq43zFeXNU732Nasvjmg5 b2giZxQvzT4JyBaiBVGHCdHaF1AnPdYeJc6FYlpzS8Zmm4zNyX2GxEYxhcLTQXxOHp+ZJKOXOS5e tUe8QEyOGgF7mVaQtEk4u4JhgFQSTo0EzQj6rvU4cV6vhTtcqhud5lWYjq7cp5D2dHbuByBn14xg 57BHjeelxvNUGAIkZqjuOmGfXY5+wjweD/ZnfOeGCF8Vogi2P2HmPCg/aS4iTZhRIVqoYUf1GnXG TubcY3Igz5ao/uOL6o9kE+oyohNAZ4vNM8R8DiBxPXsAmqhH8BlB4U6K/0z0g+DCumtO8NhZS01+ w3+dL+4Fqb70kdX+hK1n4OWVavF8FUOu7k4PAJ4vmOza/sS5M8UzNxsbfd/Gxrmxzl8a43HqwkIR iaUrKAa4DuA0eRWQ6NeCz4gaP2qpShC6OQnxJFJD8vLw4cLjQYSeXnlVXfDwpLtcr/6ePcNonlZi bL5DEoGCt5Q+7CjymB8Oal8wAEXZ82ZWM9az2Aa183IAhs/C/WTzXe37RucEIAmA9XUq3LCvGt1g THzK2r4Y4qeiv/TDpu7C41Hl7hukMRAmkvvuTwR47oOt56GX5SRARfr9cCYY0qbP8N6xAB0hNjqx CCAFCrHBUQLzZ/BkO/EjvSGRKxQjrZudImSV1InQ5AvHE58RQcY0EBSwbroJxDpXgBoT5wPwdIQ4 9MYG+9V0V2B9rP0J8+fOaek2REuW7MimfJamGChOWbpjf6LOs8tEcJuukzc6zyd4QZJQjTFMrYcp tnSMDtYP/iA2N+1Uqe0RZMNGX0OA8NHcLXzuaYbPqeHpf70+5oHcAYHxzs+IEmjahfdhbrOt927u WLk0lxZFb0MJ9Ig6z5M6h7Rdt8X2Z0FQYHchotI6d9tW87XQVKiReOWdVVpkjCiBztTwm0OIumFB DtFfVs0njGU8HSTQ47sxRnF9giK0PVEC3dQYq0Knnl+n22hwNpmEU0lj2ehrxI1n/dL7Ll68n+AA 42KWX3AuFGCMYiMFryVV2h2VUPVV/2JwL/EtlvMtkD9XA+TOjaf/BcjkNwPai9Ij2p4Q8Izra7S6 zMxIsvN0CGJKBKGRk7zY9TWitjORKai7OHsfTH8Gy6+MODp4fZ17vlt6OEDzoDpmvXNBaKkMfEQA x2w2MC1ash2Ts1PqG0LRBI8HzY3+XZ1iqpchRWXbE4I2wPbKvG3YlZ9b2mIA0gzrymfi7nm4ouzZ MC2bINRJNUduz4TiZqmJXeeTcYwhuSxM8HBswaZ+LveJ7fkHZqOL496d415Q9Cr2zIang9hTv88W 0cQg7tvuRLkzLsVWJDiPfyN7nDqiyRgG+ZSYjvgZUe48mVJMQeXm48d7dicB/SRRjdrp8ky0M4A9 bDfAj8RjD3sbI+o6U8+vgETNBX8ybAuEOmjX+YMcCI9HUy9/fWS6irpienI4ouTZvHm2eaosuuqQ TlCqeaqcaNpNRHREyTPVeN64poCVVjgWEhK18pB/X52c0LCaapdrOu2rBsdri0yp4eA22MZJRHcl QLeeFAFPR8HnV3GKg0CVFm1PCHY+/90JOVsCveffWrOZTk1Xrw+e5tqeCOxcWJTPTR4ylxubBzHg VFpLJ5DlYb0fSFJSyaE81pdLXzWaeZELRy9uLTxeGz4sRGOeGghPB6nP8reHWaAk1rw0HVHfuQEQ lZZLiJ3K3ZjuWT3ETVWYaljeEWXOVnrd7gb5MGK6A+KMVBEqUOfn9ybJOrwDJ0//QVXmWHD8BviM vzMf4eqGg1UHgM+Kt2vj6X8J+jBxxmU0LhdlhIkzMFGFLsiMPtmc1MC18OhTrh34iBNnHS4ierjY 4QLkHzLGbDyfcosqvJgIAh+zMIFNDxacki74kCBz7ixNSxIlpY6fIdYx3HiGYu5eeD6o3es3maBp 6uVsphGmzpXaNdkc5haVy2jwQKgRcx/cZLZDYeuZWLCe+/DFYVEFvO1FACRwUYmlVs6E8ECNARrH 3puHegs/JGiPVcHA2ePgotoL1qMs9k6Yz3g82KCnOMVm8LQC2cANmmHzucrKUViERp9NVFwb5iS3 eG9WW8wodyY25QRfFg5cLAAl+I6MZUbgDcR3ys7iBcU49YcAe4ONsbaYIWpDRjxLLxKzWMTnluiB kGiXg6eD7WnfvZ+FFhOUk217otwZRMQGD0rayIF2tAXaIMGgUGqDLAj2xmbIFmTNPjXhmen6g5zM GdETwigk61S4zKEmBWe8gpTayxdXueirRqVp1rz0Ltoe2E4xfTlvFJ7+F9kL/5uZJlW+tT1R7pwI WHVptVZceBY1QfUARMdE6h+FZEFnef2XgCEm4ufVhzxLJhfuhBtiotRZgNYtW2Mim1IKYIbNZ6Y9 hDTaws84x6uol1v65OP/VLJhnYY0CcgE26Aoe4YfCw3NjMw0txs3z+5SG2047HDGvWc1VQ0bNR/Q WIKaE3QXaDtzMk2xLchtZPcE4cdaz92+6l+YjZU1ds/Jww9ewy6pllXweES3uNUFb3NYm46bQM8o gUYvo206hZ1tOUdgFmMz9ZadUDBcJHSGqnS0kxtjZV/8DToV+QnEVfOLVkpiDK9rkS5YfijvYnwL PIbPiMQkmtAs2xfboLQ2N6hh+jWj/Lk9V7zs+Qoske8GRQk0CifM25sZN9KFEs2xDT4eA3TeRGIz QEe4DQnNovXli99g5yZcuXKmC0nDstgGKVTFgj4XfS+M7kU10xkl0DyV/1d/DUhPNn/ObzHwdNRc dWQC3pWO6SDgCbY9EXADfz6Axtx859JNkWRYBEp05uL7EzWflwC9a3RfvADDlHFtaiEhP1zUgMWL RVG28dPz42hN+f0ZJdBJWlFEBGux3ljNWxLWo+Hp/wl0iD8CcazanpAsmPHO5Gbb0zQeHDDWSr49 k+hgvj2hQp2Gyom/OxfbHkCgYTk4hPoBioAZADFtKI7X5cJR+wkfEUy+RPYaUiQZVuGhaFvanfPC 4+kIE/U9+WKNQnFz7U4E3EBYhUHwcCrlcr+dOky+D6m8ZYczyp+HwFCVCs1XXI9nq570Bkrh6G2A tkzEYYP7ZEnouNHgYj5UwRmlz0BIA9BCzPxOhpmHo0tTcXlSTjwdoX72V25IxOos92yFVMFOwRuT pjuXlyPqOrQHFZuZe5FTMGOlDXEEKaq6Hhnwk8GNU/ku+cdNyBoQM9+hqkFjP/i4OVVQyU+oUseY s4roTMXpTKfik+XCydPLZ4apc0+/agsAri+lYEWpc87IDekLhtQZd4LwqtkF6zDDa+bWtCLcBthJ ODE8YuN6uEOnhfqJq0pmDOaNTH7ATy6VqMOrJCF56xXiNmysbB2O7TJapFL+0I66flZMFfwFTNi8 3b2vuqLU2YrTKRQCLHayNQ6HFaxQVHKe+wpxGyZTrKlXf6ZeeGPOdbVZWexTckiorV1YC1IQi8yL F9eKReqkTjeaL9yejsYk3569K56OdFp+EU3RNOavpe0JRZzpZpFMg/f8cZc6h9v68wRu7GY83BUC N9T5aV2wTGKwGhMfIt6KZGzOj5rDLEA5MICvBImml8bd8BlRY4yHq0rGprqMzYBZOBtj1MeMM2e/ uOQFCvehK8K2osS5UwWA8tJsjFGeHI2x81s9jTGcVB6uEOxMKtyQjM14ZGzAj0I3eghTB+s43euZ ThvUPXikVbd91ajvLM8hgZ1dieG8RYUyx2gHbDwdxZ7yFXsKu7KXrbNCLefFZGebnTd4iXKxoB25 NNhSIRa888dEkEOpR6y73Hsd/iR0mENj7CSgNAQpEA2HCZ6yQlexmfqqQWOMRtUk8tqirHDNLAfB k4ng6f8l7ZEf5KM9GyXNACO0RKImyUxs4Mq1srjITxpOJVhR11kk9z4lQjvLdfc6NxURiBJpgSEa +9MJ0GWaoUEjw16fQugFPiTCtJjzQPFFZQVctig/e3KRgccD0MZVAcD/xqSD5H7boBDvnEgSvLAx xSGQmco0DbaThLln5QrTZqlr9Ll98bIL0u5jyen83PCbEFXAPFFHnteK9Ay1fdj9w2dEIhJUj+gS vu7dpl50Oq9SPkgNj0cyUd/RueBj+q3bV5Q3n8hJEmWXyyA0r0Rm6ksML/YNccmgrFgh4HmIrJO2 L/fyOm9IZhPsRGdIHlKDrUK2sZB7sO/+SKRlRYlzkukpGBK26G7vIp3/1J75DYPovH+xKdG9BtfM tidEPKMtVsl7QepzslxLfc7JMJEoZrz2+sSNZ1MXK7546lNO5tDo2dzRvp1J+sUkUhLxjN9TU1Mm 0vyuQXhW2d5pO8FF5wthf4qrsyoej3TB7/vD5BA7BP1S26E/2YJlLhMRNXgv6tLslmfZvIewQ2Hu zHu9TY6MuXiAPhnfWpt801NgLDLHatpw8Wr9/Moo3T37GUNfNRrsTNG4qy/Wd26jSSbshDs8Hr1B 4/sNQr+y3M7hjpJnGCfAcdYxG4S7ozHGt98wG83Tnx31nU0FyYT3HxFI4HEqBiJbdfupLjUXhBtg wYCs4EL7dl7eUfKMTYBB1Ry+qHNYz3lWXz4NPh40xq5IpinOsvHtV9h+T59NBXIXqyRcEAn54bZp M8dUVrnvWGlDPBPJHH7J/BTItLQqPm47P1SxqkBFtELrhEhp8xTGocFnBH0f8Sjzbr7YCTuRXbCN 8/bj8ShC7+8EEXwLzJlsf0Lg86A4VJueIBZjw+WSqg2WK/nY3J+/8+cx8/LFDthJcE6d3bg/7NJ3 4usbJwBsPScvLwqtWPEZUV9Mg3c2frgI1XJK8G0yURlPR2TBb/V9Mcy75887JAtS5kfSCLTJ3R5/ 2rrxhxI53J6/YRtjamo8H7YpKG5pJOXPkBvOXTJsiI+o+Gn+5WTBoq8ayUBqoFOHLxos9zZEuTnn DE9HnIsLOeQ8ERc1R2HanxD0DHOqsk3vulXi2sAqGMoQZYbSTWpjh6BnbUwVPKGO+/rMDMJ7Mc5O AhSNPnmAsxXgHdCPtfDT7ZsG99cyzPP2RW3DBBq0DlfF0/+L2AZE6eGG4bsT5c9AmbRNkUkymuiC SbENWetQbCPftydGbYjFlZsvnh6mAbOYrrbheUsHB8sN569w3LPu2GJQi2SHEs8y/QCR3ha9PbPI RbWeNARPB5dX+UYcMgqUmz3vUOEZWglzN+8bJpcCMPdgSrBV9zLfoUSdmLhqH3K56Q+Sk2HmVBU+ Z+r8oN4DEh9ijGBi0/O16atGbVWBouQg2N1BsJ+XlYSCE8UKno4gY578dFHd8fnb+6o7FNuAJEPi 2JHl1zRON+YA+n872W+/r08I25iSYNvDFws+rcEf2qoLKJG4r5k8WwGEc0ZBoSstPiMgxGW9N3X5 otiM2MjeRqoDTwdX151ZZAVndOWnVxc77DsDsArLWqM0udzYAgnbKU2I3Qo+Yfqs3k8ReKz4DQEb VtwsW60xKAGQAIdADdRPhdL/5eLuqW8aKf2IR7nvoq48sNOaWSw+HfFRvkE//BLPzH1HqTNmuo0C 8go+ZWh6ASSW0ykXbSC4OxFmY7LfPGtrvnjrZ7bCxrAEIE+2zJFgA7oWZpQ/sEm+ApBNXzVKDXml z2exq2uqWQCbAjz9Lwk2wXk3xdNsezSAff3QTTduE7sGvkIOprtv8pk2pxbolFAAO0W5s9UWqr7a V/UF/dMmqcUOy2nOSaGjCOF2JHu7PqgfSiFxaPw+vpS9u3qHg3Z3hu0egMmifD/1L39AhMv8Rq3S l/YBJgg0/d6zxBHjlJcy2Jy0MgRt9IfZICubc2V6yIT5s0j+Epnl4mdsQq9oCrkxz31PGQk1/Cvl vyDI5u35se3bRsw4SWCX7otKjHOLjCHvitb4fFBjXGjv9TyjH7ttUmiUQmKBTysaLOtVxnfq36pF D5sfORWkEPssQmmSmHG6oj+EjNWduvpkJ3xMguuJhKqYC9Kn2/AbRK/iQ/7EJxRZOiDiE0ScE/kc hFvPsfgDglQof7c6mDcCMeubFHaiye4ubg2HwkmXfaLfhtxS2na7ghSbChJDVjnI4OKl/Lm2UHqQ H9cBqqWKQEJ+cbIRVsLpqVW3fdsIhigrh958sft+dUEMznnm41E32ruJdCPEVDztZ4+ibJpUtVWT h6Ru0IQTkDhbrkRxXLPOFPWjhxplPo3PF2cHtPs5JEUv0rlFBKXKaF81/JZwszEU/aZoLz4kMnVg xtgooMDFcBwAAkqWH64FKQRylEcXG/C4c+AJ2/JNCpvSjWav7IrhtLH1hlt/EzEh3wuyaRW3w640 QdAzi+eUH5fBTmmJzGu/nVCVkowviNoEboQWBgYG4r3PWVlQ09sL1H3hezRBWxC36xRlePx9j2ry sya9F9yg88IVcop1OCDTli2fBtVJDNTV2NgEGePkRY5oJVM1CKjSVRei7FFxOYVG7SKHYY+AM6A/ z0nzKmTImrCaphE5pn3ZSCaJHaEhPvPws4a6WGdt7s7HI7qll2YSs0Vj8TH/SqEWR4IWR3bKQXN7 IiA6ukM6bmWfU9ibVucjSYgkPf4gGa+M+EIERbPBaltUmBBWF8guhYaM+JCIz8zW0MjiO9FrC2ct gWZGGNR5iM9Hw8Nvi6JKm9X0XGxhgk3Wgay4EbNXaVag7ezjjfNvN2ZHkhxFVJ1mVkXp4u7gCl1q kQg0TFWpoQDaAct70i+vmp3sg1OoAm1yiMwBuChkJ4pHsGiffDw4atXDEf7jQZOrku4WRVk2RHGQ 6lmDaFCQWxOgYv3FMkorvkVRh9psnP5PgxFuVCjI5jIbnq1Jfm0iEGTaAQobRP0ifdmgRdSl1CYX ak8wzl+zE9R4dpo5ZOik8otXCMp3wZzNtij0IcRo9oQES4VAATcEg8h6miKCbqotCowIAWEgPqjn u9hbBFohnOR00iAczCZa42ARgyZEICP3yAojB06EiLhvY9Y12i6S5oCWeA6tCGf6HkNzAM57zrYo 5Bbi4oeeqVWyuSyrZAsVazMr2WbCQDnwIpRRF6jF4l6uh3t5LhyMKSnADO2PvSXgyh/VMLOv/zWn z7EXYTaz5e4L36Kz8Vn8pTkqHw+S7PKtCV0pt3y9unPsRgiE4va5c5OnKMrZNV3eBemMuxGGdoSa tc4lDPm6GHJg8MDvl193BZi2sGYBOfhUO8Blj4fj41/2L5LP3MOWyRNzMtG2KYDc0Q7JgR9hIsr0 q5UP1Mq+SKEcGBJC6B0dfBLMOW3tpRhK+jH8JIrdDlqYYtNKZk6pGs+run7KsXn+yICZY9wKK6cp 1XV+w4qUdd1uNcfRObAkvAdN4m3LxdsWxKaIcj03Y+Xjwa1/laE5e0TvGy1v36JQ3W4BTZWKg83Y LRQNszUv1a6GUg5cCc85FwC4trt4z7EBLbfH0I22T8XOeRBEvwq6CRkTFwcM6doPfQnXlL4Um7Jc 1LM+mRhnyifk60sGM9f1Ha/R9SlpPsEokojuQF9kCsYxGKXhSkGb93+mwibFBbp+zp9iOJsjeS6+ R6dKa7DHMP11Kr9yao+xdIFk0wMGptBLDq0JM6U6NqXBtXCLch1F2fF5O/l4NBX6bosAX53z450W ehOiIwdQ/JXiWs0gnYSReue6+2sUAj84F8Lg35c7Nuvz/M8QaeiYhxDbfJKjJpTjAnL5S2Vz2reN MiNCPzYn+FysLVKLRlEnh9x8PpLS9ipEc2hASMbjPxw4FKqdAirUNEr4xjb/hwKWnEgPoj9ac4Pd yGPFGvxNVW174B8w7DnRoneB8xabzTB5wpAa7FbCQcyeuVb7stGtRl5mYoefC281JCbMV8+P0eP/ 0qSQRTPxOU9uFEOncfEnQl439blkUris9MCfqY3creIPXApvFdKoucXlNiFPxtNIce69MLix4u+d dQB0AcsDkeEANoc+hVJY/O8pSIZf+5Cbw1yZz0eS2t+to0KO5+XW5dCqkGCMzJyY1WwbZkVT++iO EAZww96jEAUi1rwszrl4jg11gdZVhpQpyN8dhKBJhNNn9DE5WYdmhYZfbBo3NssETxnSm+jPvQw+ HpUhtwXZ2YOsgOHfPQr9CiHLcmqpbuWsOR1Q1MfVlU4oTH6xhYaFa9x2yO+uCGTdicXnHp10WxVv BcyXqWmh3e56oGg5dCycBOmNPcUl49HBm37+tVOv8WQUmc9HCni/C5GMkv9G7dCzEDlDW1S51zgW 5Bi01yYTGHb8MdKzwxaaFg6+R9C488VTSIAWN6GEGKit1QmYRUcM5dL5pfdVEKIqBz8kAlPLNEyu hem6Fo7SBTBFAsbng0rk2l7yleFQ5jFtjn0LgQfpkloA52Ul0Vd3XdtbkBUjYdujMM3mIeuz3cVL frqmrsmGf4HvCNu0mcwq8PZncgOEkqt/2YjKKorU6r7oYoMQD6+qE08bnw+SyIsJqdxQZB1PQRt4 F+JeQxRyiF5LawspszrzD2BmFGAVjwLzQo9HY+pem+nZo46af1LHld3IRl7eue5wR5wgPL7NQat9 2Wiwjxeoc1yDpVnr6Jxm6eacW6Hz+X8p3UqQATORr3gUerDAv7Ax5FHqts5pSSTR4+bBAuSCzOND aHWRe0+dvvhZm7j4N2sRQljVF+jstUCFhBrSNrymnFmOHQwlApxr9kV5dj/vPPOjnDIfj/Tw8n/d /aU+RX/sYCjBt3GtWFI2dIhb10A7inq3DNn/gIekdRffohOLkDyoXAOpjxSiBlemQi4LMnQTvFWe HXoYquhfizkkF/VFzmsuUvz5bfl4RO74LZpzfkreT8SO8uxWNZnNOmnK75FnQyLG6AsLl7VtUSiK R1wM03RbHAJxbkf4aIoctM8pEXdqUZP3RKF5VYWIieFn/AWBWNKF4aIEMrW6JPm2Bh//l18NpcsR PfpT0IY2hgM+s2nY6TqxyACgkPa4hizDIcQ58DF0DDFSRV+0Qyj3U5V4NNA0tW+9RKjv2W9p106D gyZ+RrBDRMguJgta+A5Bd99kbxmtoxSbtt2XnMiJ3peNfGhk2OnIIsRMJZWqWm+NtBuhRCiMr0gU WrIIfqXKn4u9Q+eqB0y/GHlzVQEhxqyEUf7QLUsYrPtVg/tMbf4tCJ/rnkOVSs6/5dSmfDySrmhf 1xk6eqV9her39BqCiOjtj+RAEU4UCBQh4lBAkXE7a4GXodf7vRlIpt16H5qK6YTrJOHtNq1MAwKj 8GhiPjB1nWFAzA8J3qElZcWUfRFUBPkDtqhBeTuHboYPjo8MTvRX0jPhD+0MiTRa1EKk/BLnNdQ/ Wd1u/IIum52y2M9Qwva5Jl/sHcK0tVBKmjjH83oRqd95MwE3POtvmHUO/Qyz+GWNcxAudpmVMTUh G5OP/0tdmjkR4lB6xvuhoyEyRszQsmMgSFgEVWhODfppZTB8h6LcWvCPSalfLb5D9DDosjTc++xk 01AJNbEITeNypEe3LxtxqdhXm6P7wi1qp0pT/7llfcd/gUHVV0Pz8yoQ5NjWMCNYTkPIAq6WBBNp biMKa6g7Bwl9DTu1t8/vUHzxEq0n4IFI9+g1wWcGLxGUFX5IMM7gfDkYXZPr0NlwChBKzQgt1jSC YSKHGyMNPv+vTVLVy0vjOWkRTASOMVD6sE0aVOpVD/tL6aP6WDZ0N+x2frRXaT+I4nN/rcziDoAj OG5IiZvi/+fTEensPULjS1/2Tz6w0sfpHhJsjm5J29asL/mvs1akzICqaF7KdI4dDlsj4979Qx2/ v9Fatf4jhnpWgQQWh75Lg39FLbZL4Mafl8WIi+dvPqeYQ4pc+PnlekkQ7s9PifqPUxCR7IvGjiBJ SGdgDz4egWmu4gfRxTR2ePLrwOpQf50mHDKx+2gIEuAntU+GJN7jAviFXoed45DecvLFNqnWU83W Rvj1uctQ5+OrCWeEsewktyFJtqHbl43uNQFoxR7aD3uongSem7TREgnsDkXV/NJl2nRRulsU5dfo 2gESYsi1PDwkVSYc5hWVhr9HEU6EE8Q8kvhD6fKHZiHsMU+VIFBxI0OkyFQLszYImbjLc7Yv+xfB aqnBtpwgDF2ULYJwZ0AKQdg3wVYBfL5SXU9ACpvY0G7pbDrzLWJvCxfbTMmj9lwXt/aH5aE0F1P1 5UbtTGyNHH9OGJJCLKdQFbdFQ4/HTlpa9mWDk5aki0sn9Tn8PGcwkRgC4RfD5/+VQcr1BwIS6WaQ oekh4CXQP7am0SLb+D9EFrDRTwEQqZ+haRS7Hi7JD6khMp5G/4LjHfl4SI9WphsoaWgQGMSh6E/z sTf7spHxD299ERmXExl37dhybFHB+DpwPUzUmfh6jQg0e9Tvc+h7SAm0gT2C3FkjlBcxaFfQ8xqp sI0jVx21ECgi/tSWSsO+ZchA17/3LOO6eupCCLsjtOL6oW1JfTRg6RXNT4kMbjgrKuwajZKudd0J dLKcP/kDnw9u//GLbw5YH2i0tkmh+WGnd513rE/C1MzDpebhQmgJiAMdtsD9UHJ8TB+TLz7BhnEy 9b4p9AUdPYYs6oCgr0q3CXMpIeE8B/aHTymifLsY2nifNKCb0OlafDzqGu1fWzQpZHe3KEq0cdm2 It/VAs4wW2z0cWE5K6jIMsZVDg0Q1aA9JfDwxQr+dLbllGzUYmy9l7qobtDhMwFlRp01VWtDCVLg gJjtVls8plos0U5N1/b5+nw8uNSuoIwsjidC9kU/hg6IzHf3akZK292ZD3iNHCpSmRd0/ZwoO5KM Vd+++LwI9tX4uapFzlvF3lsH6Tdz7IuWnG41CATpQyJoHxv85qUJTwNG7b1xK1DPM5XF5yOxd3+N yEOi7sbT5w9cEM8eLSjmym0egpU0PWNFqzOHztokrlsnLe5hizxTki+eZ5+q8SR+ZD9U9Pu3Seph DAKUTbtmLpyg8DP+VAZpmj66q85OHZJ0+P3G2Hz8X4rLPJXIsOZzqQVGiOcfTIsSOwwQzT0/X3Ps 1VJTlpRx0k1SL8dOiIStdSnv9C/lnVO5Vvw2Aj9Cc3maUy1yQMBjmD5Ks7tt+7JRii1Rmd+iaDhm MiioJ1vl4/+LYwCRffnJjUIzxCpLl2Wi5sllYVcfy9E0JxSZukwO3BC9PQt4ui+ePp7s+eRBS7qe 6VyVbM8mM2PtP6C1OFIkd/uyEclIuZE8NZlsKn1snclfPUk3H4/6s9/8fDL6Hvp5DhwRITYP5ANd 1ojJqkWAo5OlzOqYLJYF2qKY5CjpU3Uf09N9zPsUmRCe4jwtLQK82Fyjy+j64XjAZtdiPQSeiBiQ 9q9YVG+hNiAyoxdj8fFI4+Fmj/xyuAZ7ufrLOfRFhD4RXNcFUm/zWiv0vLcHIzmX8KhFQJFKN2go AfriAftU+utENgXsE4OmVJwGmhxo3JOl/60BlgNrRLnOUjuu+qIOZBpbENiTb/Dxf8EfGY1AWcgX S/N5TYy6SujWerE2PyzADWZMRh5fo4IqjOH6NS3qkGekNaI41Fzszgen7BxZDq4x/GX4MYX4DN1w lgzT5N+ROr4mRR0yKmyt6bBxUXZ9DheZeJgof15Too5OtCr9LHVzZuj4nSgo+poQYWsmbSNd2XN1 +7c9OyM2IC4JMnh6eYKt0fwDFiW++PmCelid0igqQBgRGtFwIgr6udQsmvkLov66NYmhAOPFnnxR d3/BN02Fx0mpX7cGOc20rckyiKRLAwdE2JzXVKhnWoW2QVDrf0h/ywbBKolWf6jMzqdnbc5rItTd NmBVeXPUS3HcaY0Oexq2rRdMb2SpCVXMTPnIdDGzFCH7vKZBXZ4sGCzO7gvfGyhF8zrAhOXzmgP1 BwyK4oH/LSeLxfbmNQXCi0Mn8ZndkGybu8IpCi5tL4GmLSf6173BAE4+N5ow7v41WgQjrWVe7+uE 4lmESkeeg8S4ldsd6pycveY/HfRxvjhbzL0NeBZpZee13qzqT6KaP6/pTxcpUpvDJBopKi1MuDev yc95CEN66F5YIVaLY0DnXnd8PwlRgxdrdKiY8xCsaovtzYD0NFpbijerliFWH44pEryOsb8dKip6 viY+iDeyEP8vtwkYOeVJttUJmuvzmvfg6db8VP0Y4XNS7xR785r1dARxzO2z0/Kh+6dYvNIyVgw8 nyx1fs15OvQoZJCd72J7M9lP0oU+MPdIDE2qVEuHTZYTYktiV/E148EfkbjPldTwSKZ6tE84SBI7 LX1/XvMdvDbVYzHfEbRTunnkps9rttMN8bmoJ4aLfLmbHah5CkGnyEZnVEYT0daQ4bI6WQxcbt0F VMwgdgiNs7nU3oe5NDSpfqB04qUpsdWvmU6XQzHijAzalsmYY2u23ppzBXxe8xzEqvnE4h8Dn9fl R+o1yUEobrLe6J4sL0uWs+DonNRXZwm/pjgIxYSTLzGquHgoRpqGphmVrM5+J5atnT4IbHh/MTxQ 9n1eE5zuYgUwi/KF9xR4qepuoRP9mt7Y93uu8EprFCqBUJwu2Bq8zScaNos2RUOfE2067To1oh/Z ehqv3UMcZlcqGL74gH5Dww2CPQAMnPRvkB9EF8hzmJu1NczZr1PlK9ob1mZDHgpcFG5AgZR6PUU8 g83p28MNobO4p4jA1u68dg7xropYkU3jaxYzVd96bw0n5OSg175hv4V6V4rclwOpTv1z4l5lddsn 9JlakUYlQPmQIMp8cwxFnfRNg2C8iA7a6tDv26E/mQ/fnFOX4uHonvJTxcEzggTEFCz5ixJjiOCe mDmdVi791w7t6eT11eYbKP5deFPpWFGHiIvfVHmdn6TdwaGqYqFVqAnWc2/9QNLwqlzYV43OVdK2 DF/07qR0/gj8/Xbj48HJmtujDi/yyapo+QZF6XEqtHnxRk+S8tAG7MZUYTEeWE4FjvNjxmQeEi03 zTn/B70exh1c13IGAGIVQU7DeUOZsdL+vDPL+KZTlrtw0MOF7w88rgTnbBnw8jBFHr5BnBMCfkwP TG1QlCInpjoYSBk+yESazgYll7CqLMwFfwk2SJZsq6qOqNfXeJ0MBWBRznca1Kxq1zwR6XEntP1a jy3tT5QkU7wTmMDqi96gfHadv98JevvzTivrD0fB7y0gRCgbrg2K8mSwzkkk8PizTcWqdWdKnwNz 26lRomwYvK3ifN/iHGpGa5Nkj8FFgRIAb3XYeRVKImQXgcUoUV81KLGSFGT29IUvENBfU7KKC1OL KALN8p0NkgJ87653SlmXui275j+uQC1vAJD8k6eDNCVXJzXaHsbnvclL5GLbA6PMXLOsN6A7MWSw CZuNQg0IAu91vqZ91T8j0GLOzEXF+SxLN/vCID5KlkXQ8as9A6RaU737E6XLZCY0KAAyJ2wcvvyH lmfsrYJyxXme6vP3fBnwO9YSHMxp8QjdIJDS6BuOQW0qUisoYm8DjH1lP6zT/J4wo/+A0irR91kL PmOeb6deCS6CzzuXDHVBvrc79wd+4H3e/XnPmdFPApp8OpYj7+Uz+GZsjow61DuEUdKsi31ZH6M/ 8eccWKgtZvZQz222pKAywO0onADizTPrQyY/70Qy/IZyZavzLgZSGFv91HPK9uedR4YXaHiAZo5c OW27N3yUNyMPAbfYhRqW8YDU6dT+7J4dNhUlzmZr3Kmxw8X3BzINAM5IArbVpDqdcHEAYQFJut5R S1/1fX94JCsJsrYo/JyfLvGg83f5vFPI+Be8xag8noG1R3vCNug9e0bRhY3f1jM9ByGJTbYH54lW dNFAofPHBAeMkWeJbMfFDxiolGnTGxKM1maEBWpIw4kJMCH3fWZl8U4g6y7QtAs7YVzU5ambw8tz JUEyJkqfd/INIhya5pCkM2t/ovwZ6Lo2lvfAZrUAdB5Fm4rebOfNbrY/YQI9WY4iabfFEui69vkT J3Xg0RMrZGs0miCmsz+YrblejKiaUQKNPBaRh47WXFh6nTpD4LK6MVKOMuhc9lcG3aXy4/HnnTnG 653TrenOtPW2wQgZFrsOoCu1CKMMWgIWs+fii20P9HMoY43qC/1cysPkhpsdip7QCXrILEVfNYjP dnG14Qtfnw4tCzn7lfx5Z41ZcmDnizhYfA1idrU/UQKNqVkrt/wq5AEwP2wXk1BlC33izztpjOmP rCV4jXHxGRfEgM7rJxRZQuudEqfCuZ3/517bQ6wr+qpB/aUm6pZ9y/aeEnSbJWtYZ5ufd8oYG1vt 6/2hOBZDtvYnyp8h1wf/mWUcDakOLIicmuoQ02d/f97zZzTyApXKWs/fF4bYfH/GyORzMeZj+Iht QzzyzsbUd/27BJPNzXZrrXQSLqFaTgXyeaeLcXu/E6BC55/h4eedLYbzhRb8JLWWw61pFF+0PYeH ZwLeEX7eyWJ3f1ZWLzXfXuoGIGduzknO/rQEj3ierzvoblSBJaalc478ThbDh7C9sYoh7bwAO6lM Uxf+hKHPO1XsVwVP3AI6Ehv5nPYnyp8RmFuRtTHAbrWqVMU4wVRgM81Zdb6iXvMYyg9VoOZboJ7E CnVpkwh+hxer7CgyOgzQf1oQTTR7JJIy33li3T0CFkSJbLHzBYBDVwVfPu88sS40zLM/uDVLevYn yp/BnGu15On1adVOQdCzOWwc0h/an6jfTHfNTA9sW7y+OJEmQdGJvfhFgBXenyrNaihL3l68PBTe SWL4BaumfgJGOVDjJK+5FZuxz/V5J4l12cM+CTRmjyXftuo7R6xLoBQeHMbvoc2z2mNpDaevUHSR +/OeP2ezuFmDHWUuXp420KCnUPU4FNXOF0DTOMwwkrodoKWv+h5+aPtyskGZas/q8TnB3JgxZaX9 eaeI4TfN4yv+QDOzsOeh/YnyZ9wjjQJShhVP9SJ9kmHFKVPG+uKdINYl94fClMDLfQctjRNooOc5 O0aimKocygABhE9AfcyN8RLyM4L9McvDXXzR/lSIULMAa9if9wQara7xdb44B4ZHl+1P2HzegNFR J/Y/PGnF1AUokCUJho6RhO6v9/QZs3Wcmi0g1H6AUHCO7XiBuD8jn2RRIk2JoFHc7XU+EOihrxqk h9QT2hAtskXpIeoWNZ8zv2Hw+pjFjW0PAEYs6bU9UfaMxFXm1YJkkm9MgiGnCGyPleTl1zs5DMdL r48gTlx8bkFhAflAwuppCv07K+dwJyox/Fh4LvZVo9ena056F24PumpTzsZ5fd6pYUgub/eH2Q/e 53abY+/MMORM5MvP3C8ww3QXqisv52Imx4k/5s/iYsvcbz/mfhBEOAdoaHvgFTZNTgfFF+3ZrkHJ IDLjnRjGsRVfG+ksbtdZXOwkMnvOI3/eeWGsTm/zkAAoAPvKnSO/88K6JD8gados+qgRDaYKbbzF VEGXRvsTtp/R3zplKKCiWoQwSmhpAKNuHOdNL5HzhuEWYPKDeqQbTaXom0bbI6xqvwtzn7PhTfCM fo7/OyeMsdkPl1FZWS177f7OCcPrIw8wC8TQB/PXhyBp4jMqxLR5ut4pYd11hLYqBy5+uXcIc0+W gudfVtKLNsvUQJWUI3t9AIznV42KL/luSCdnmMUHYYv8lQFu/LzTwbpkCLQ/2h5kqzf3eWeDIWpI 4X0uCz4Uk0fwaTW5wnvGHaztec+dnXuxB48Olxub2/kI/K0hY3oq4d1VfoD1htqUOigmY0oxincu GEuLTDxYUQmGMkZVFKQaOaadJ0p+3rlg+BPu/rVB1LOiOJU2KEqeNwg8dWRzmEA41eR0pCuBP1I3 nMY7D8xwELzVky++QQ2KI42+vecOP39F/pfUjQdeZjyNn6LvGe2OUsJWfVFnrDRBrWqf8/POAEPu sT0x5FtHHmG+YIR3AliXMAKwJu4NWZIPTkG8s8FpH6Ye8M7/Ytkn1Bzbglz8cCVoD5oCfi+7ysAN NprnB534Or8mXxR4f6d/EafFiQVd0bToZh+jq/A+98DnnfzVZUNn+0MONI4oFaO1P1HmTGY8cDKG 1shP49AIPCczRBrHyvSd/NVlDYeUmcGHi+3PiS2Y35D33SE/ZP5bVKlEmZdpc2zUpr71Xf/qHC4J cq8ryH1KKtnl1VMhft65X3wTbmejqQ+C1mq6b1CUOyMytkTwNdUVaDokzMZwB44NIQvdXnHvWTwU 6U8BTwmVWfQ2znFKC4g+TJfPYdikP5PKQUBLQdz02n0kfdc/UWJDzPhOzDQvMHBT8AsiR/+8U78s t3ziz2Tz+3mFouSZ3CX09AxDR94KiveRUvHmmLAJOGJh75k535YauWZpij8n8YGoDuArpzidJ5em znQD0OjcbPsHhYsLBBf7qkEIkudzUfpTqOCnRG/0ThHlca6Xzzvxi8MhP2REc/P/Luix2RZFCTSm kG0LNocMSP1DIMCrZY2IQsszoKj9LLr3mrIQn9ff+OzWuboAdxBAte/E/kZjpYYoACSkv0Lownze WV/4a5LKRGlVWxiFJoxheAOd3/nzzvnCNyx+gzHgoJXU7njwnfKFz+R0eZds0wtplWRqTFv5dXYZ tw62553xZcMTEErLXaz9M3EDg+fHIE3NaYpN8qaHvQXYJfYGEWr2eSd8GQYX3lHLlmFM0pM2iGLW c52fd8JXf1yAVH/pNuueIb7zvbo4UI11YkaQLkNAHyZe5zeQGudEDcv9iRLoVdQ39C7Zvq/PWBBd H27AUam0fvaHmc+pbth+tvEgBjafd7aX4fbQNhSoriyXLAODnDlXPZn4553t1UX9f/YH10JJ1VPE d7IXLrFECrOPL0opKjAg6Zd8fEphFe5P1H6mKuurNuBJyuuJpqJVEo8s8RLQKk6xij7uI8TZ9VUj eAv3h5RzLdbeADFRJcZYn3emVxfx4Bmf0tSxDR+fvhO9ELbgEDmzm2x1+oOgPd9pOMwCbBS/wt55 Xg/+p/F+4uIZItSb55KBS4cQ1xbPC6cE7NopIoqUpYe+anDHN4Vmjne46I4HZ8Akgcvnneb1f7rP dMqhUIG2J0ygT8aETM4KMEAorD5dhtRkjmjd1XeS173htxLA/Tj4bgBKs2nf1J1krWby5RkDEsRc K1D5W37eOV4PRD6r++w2cKeOLmKTnFthft4pXv2hv2t7FmbYVM/Q9kTNZ2RlrbF4A7miynaDzDI0 21hfbOTjbI69E7xYX+B0KYxrudEnN6PPU11qTQ4HF2HqCe2AC/3ZhP6807tYvhMbRZ0yLWJXnBRx SeN2j887u6tLru5p/pQyDDqi3YnhzhRNsEynQQhb5WlVwwO7k+hkz5cnTKCtPOW9zcWhmYjCYN5K PWGc4C9ZHDJP2WVvfrZ0tb9Tuzj85NnCxWiLtgdOHmodFj4dvDx3ezhT3EjCWB5rf6L0Ge8c7G9t dNEklIiOebq9ww0Op1gEcfoMM9wEdyJbrMA4Vej5zSlqdiIPbi/1z+AFLsmyq1FCmfrPO6mLYSDz tVnDF2U+oCbwt+t9fd45Xb+QUeysogm6b2e1Rbkz5AyQvtnurFGNpjyL05SRhBnwsIWwZ2pvsIdm i+fOsLGZS7lzIgRI0mXsrFr57PJ/KPnxGcHNLlxdIZNgO+p8UtxOwKia8XSQGPbquA0Jk1Hq4t5c YeJcKHIzLTRPDueQOJN2ZTdX9c5zizrPSZheKRBxsf3p8/xxCW7GXKKc+EC5gE6cAJJPOu1Zb4yu Wi3EbQhwuOtddLpAmZBxT+LTwdy91K/SC01nWpYYCyVKnNEYgGVKsuCTt/XGCsn8Cj5zWXejvyfO aMyxpQo0qi0X1lLAfpOSPRRyhyio6rIXypHfxJm9sR6TAvn6KP/Z2XXtzltjdUXpFU8HdItfrEB4 q+d2y64e5c0DpXulfyBV7HcVyWJVZiFypq3bUKs9gm0MKiRtVDO2WON5noBzbo3Esusk0EmOZBNu 9hljS9QHriRBWG+POs+VZJRdlfc4cQEqAzvpVz5lRY/S5tS+3x6ccQoqa3fCvvM5gz2RPsS0Z5m0 3d5yROh003DQYY/6zl1ohJKrL5Y1t5PJjlQ5AuwZZDymPfj1cJKTCacY45aonx6lzebqN7aUyGg4 zrwZsGo2vMYpTPB8gPuZv1gX5w1GZVPvHoX8wAphhOHa7HJaYntMQvbAjZ1QXeR92KPMWSOvKSlo LnZ7YRjYK2iR1Pg/ryOTayYedZETvB/gGPVZe5Q6kzdUttpj29tjyKa6gBcnx8fTQYBOfr0rTwav b6a7QRFJEFQCqAkyxFCPWgJAS9IpQP7A7XLIia2HubNeoibkRrvIjZM4ZzTBKELWISq0eRgbvIIq UhgOM0xDgu3DHvWfT6LDtvyyRT0KSONJa+5Uuavg8WCDxr3BGt83TLXnjUFh9jz3te0FyCW5x1hP 7nl4QjR4Vsiee0gVtPZhWb7c2pQegRwtN2g7A25TUc91eJuNH7QqLAaRU4XPCG54zS2aITgcurrP DTsZg8rkN/zXEZM2EN7Ce8H3ELlBIiV0Stl/HiR5EBm1iwMzFwaq2p44fd7Cwydf7ICd3x6EJXof THSfK8EdBbhqzPkhjn+R4ZSI7lH7WZIIa6fti0r3VSXkjhJu4vEgRt/90RwaHnW3vOjv6TOKEgSg JkF6+PlQ9rDR0ww6UUgQJzKFygAUITdSMh7l9MWRLdBXqFWio6cGS9Jl7WiMF2oizytXv9l87lHz Wf4ra+272P4Mku30/uLxiGzqzWfx4vYPEQe2PxHwuQs5loz5n1OXcebqvAGFjAJ1SwE6Qm7A6QZ3 O2kDu/B4VTWf+6leSP05xUWaFGRGgsh6DYeBqhGaf6HUwGf8hRwDC9EXHS+knbyje9t4OgIe3vmX Oo7EB19oVA8z6IltoUYYA3Tn+GLDZWkV434l4oi4QVHrWdPlVU0F4MqzrDXw0xsxmL1BKHpJwQUJ DRBToF/Z+LRTtrZH2I0uNFO2Osx919aJ+7rATgGLp//1/jTFdVA89sX2jiiHnuAWDOqP/4e+B16g FvlkCr1RLIceUfN5qu0z+/Dl3mDnHeosuDqsD6rMDhb9qWmNir+5NVeHfdWgeShd8ZWGL4Ydg/xm Uncs4/Egib43GN8gAALG9hM2oiQaXJ22OFsAdH6Jm7LBbepqQ2eKEChNHFHzeS7pAAjCWq/s8SkF wO1DUgiL7JMtECtG3ALISOWHqGmHJ1R91WB/5AC1ZIu4rI6BksQ2eMHceDqaMN/toRsyZj372Z8Y +wxqQUdbUBF6eHsMakRyOALU1PjuUfM5qwYjyPIshJsMNoDAJaDUAMbvJ50bYvnQYoRgmDsdBABl 4CMCaKapZipAFw7UBa3rcv/u53/h8Wh2cSMQbyvEnnLHgyNKoQlvyX6qWqaeM+3WSLNWcx7EeJ2v KIU2eMtMOl8UkhlER507rCTCA7A/IAKoTY23E46u5euCn9ifEL4xJAkt7Nh07NjZr6nJ3klx8PS/ 4g/niEg2W79iCVH+DGRbo3ikpEVrrjY8XdmRvR0SB9qdKH9W2DlvR/LFC4yE9hjzVKhn7drdOxsd BqRFMCT28MMOx4iaz1mUFIgjFeqTi0SBnhJV4n9OFM14PHp/boJocIYfOpXbDoUJNBqIyxkpJyws MQr2bF2oBRhiJ9eTiBLoJTcjq8Qe8OEpshZqgsn280kbkDBih0BXL4J5t0s9xcbiM4Iq1VpkquWL uZPuseiWQ+77xNNR/PnuzpPZBNtU254wgQY0XFYEEvFrRr3oy9zVkSAmk6gbEfS5cGKzBxHiXO5o ebG/SnDdgspYljMk0GNEI2Nj3RJz6atG6AS+PzTJ0CLmRTHwIdQU8XSQAK306/Vh6/mio0bUf27g oNPQjwVGWkNT5hM1WNWzQCXuj/sTam4oqJRiOCB2EXjAToKMcTAPGOCXtD6DhXhR/gOJIYeGI2Ti M6L8R/cWRTf2ctGNAdQeo+t5UfF0NPvy/REW5vxiY/jsa4T584SvuEwekEn3esvT7sxKgix0u0f5 c186XXx9uDj+53wAdlrE7gE9LvPlxcHHKDY90PDdq75rJC0hd5WShM48l51YtuD8sEMxzjWA54MK vn5zTxvHX5fbPcIEGuDnxYnMf8Y1wAZ2fruPOnIN36GwBU0F1SEzrPFlhlXaOFfWon7WaCk1Ga6T 2YHSl6Zt3qMnYBYfEoHD9Qb17YsSRIyvmU6fQJ/xeNTi+Mb/UO+nXPTGDJvQi8aOXUJr4PIvFl4n ivTlJTx4PKwwZpRAL8mB95p98QkPgPK0tJV4wpJ0y0i8gICsGeUiyFhhzBC9IXen//bngRKz/AxS a3g62J6LDse+Ak5S6lVfm1H+jN5JM7n5zX/LAm/8f8a+LMmVHTn2n2spa8M8aP8Le3D3CCSrO6PO k0nCVesmmQViiMEHNggLEzBglVUhm2ERmnk5RRht8AZhm9DfqOLulIFOPnYYSyJgZPbyxV0uetWI OyhSAbkXHHR/TYnEoiXBp6MDyGv0OKzQpixsPWh6QumNhkLMBc/LoIThYd37UiuLba8ZUgd1MLd8 B89PNwTp2M+BNiYq2rzeqYAJOkRjfUzUU0oDzLAILW6B+qcPvgjcBwWLOOzweISuuwUg9ssQKNXL zZ1R/Ax6Whupmun1dMbOHuNKb3SSGjlBYQmaf/ZqSh7a1f45aSSyitSNXXByLJNeR8ZKB0EcP1aB RicK3xGBNxj4NFo7ctDx00DUZVXnHHd4PGjAL99fqkA3xIh3f0URdIWE38mSlF+cmzKZinGnFKPl p90u+BlyByUqUdU9rg/7ArLIalIhgm7nz6KIyfln2OCdNYoSuLMv/FWD/SVc5hQ4al5wFGgF5Lbh /sXjQY+w+fFMwT9AiNZzPIfx80AhmMpBdHMkCxsVsg1/IR7PuHi3Hc8RfEPqSHPTYY+Dx89Q3hiM PjpuM0w2zx8wkGlSMq72BkEx+I7geKagJbasD1bfaBZAQ08Rj/+Lm4t/t1dyTz2AnhGAA9CKhtqV wVdLsQMI6vleoG/VD6CoAr1Eqhy1+2Dz005yfuZaWupj18ZgOV+43Q/p8rZ+KMk/owA66Xqf4n5N 536tanY8Z+k3PP3P/Atvhl8hXemNGVIHpTprfmAnmq7KKs6v043clPflfs0wfi6i5FAagINPzwBU CjsD05MqPUbZSMR/kiE3eS2d6Hwxo/pzkfLYEH95WJsWpn3S5T+fzKeD2XmUATSVwI51z05nBOAQ QME5KZDBMmbl7GMbeWdt13aeYf25CPUs4lLaT3QIRtbJeVndODdxaVkmfbTeQ4mMrhfzYQ7OKHyG yRGIXs/A1QPslW73nBuejoRbHJlJ5TFWgZ7DJ2QOwhKsLyLCwW2ShAuC55Sd+gVwpegFM9TdoAr/ 1h7j4AAFOH6jCyz6xSi1qdPaaXS/ae/mzEEFP6FwnYKfLn5uNwTWCUSrXAqhSoWn/4VvoQYOyk77 Zl8rCp0BlT8/6LTcAve4cQv6Mg/5k7l2w4WvCL8xioSLdfbk5+wBc2RNFtfgJguVyyZ4C9YAcpVx dSWkeb3C2nNid7mqtlqttrpLT4oNIT/+WTFz8NfZs0lt8NWzwtLzHExO7WhuqVhxrBUTU4fhEj4U Z88KQ+ch1Za8fPDeKfSDFvWQz/SA0TRZHFuM8IpiEDuaF6+uFZeeRTjdzQeFzlgFPE/2rp8Vhc6/ wWNECwJ0YtMTwp6Ru+/mreU9i4GjaGAtVsE5+uxoXiGAg3IbOxs+k6XOxtWzG7qwlL3GVuvqnQ5s JM5HJ+/0srobviMoPbPmvK3C0Q08ts5xNrnRKiUzw8j5gfUKyDB/WCuw+QmZg4gMV6rTKodpbSuN UVpEkvLdYc8rhD13JaaCzfcLmz+X/ElMK0uYSC1SVuurkyXZiMZ45FbR18Z3BKVnWsWzLWKDSj8n KSaDB1oneDrK279R8/BCNzNCTk+o75xwUNH/iq3TTJxYAXisS56E4DHTjFohb1CiNuLccrit5RM1 1aHOYEIJRdQvnAVli/T6iNpMvWpQGWPmBZy5DxYYVjiA8G5PGY//i3XBIJlGkdUrhysKnIF4bonb V8SvYo2LkS+vKcNZScsnFN2QNXMXpb0/hlaAqp6Ah4Hz+ZizEeTaQLYHYs+MkqfWT0k0IloRdGMt pex5+2C990leCP2MBh7/l3g6/l3mJ1CusgkKoc/A/ix1SQEga8WIy0jHPPap1NzC+RNGzk3Hc9o+ 3NIz9IwV+3RIZUAQG6okiCUBOu3AcqvwQ6FZfEeE/aGcxC5y1SVLKVHbfXZel/0kGXg8ko1qX5kX 8qbSL3B+RaEz/j2dOjQfnIBEiNZU6TgoWlM14PwKoc86f7J6O/np7WQ0xFMROhO85WasL1BwOav7 zo9UW1YUOyv4oQS5Dbrd4Sglbm7mGwbn8/4F/UG0u2/lZ4W8QfAKKu4PBj+1uxj2pOkRC2P9ErtX XHpWxGfafvMRjYIrIA4IsVIAlaK6ExrahdhnLF21TulGxHcNoPMCbSRaWnDQ/pong6I2+Xn7isej zvv+jg4xQbDAtAmKWYPoCCYDrcKZ0TPTPswHNYvfwgkKg2eunyHfYQ5PZjrULoXNOYybWGMkgZNG e/A99PBnDL1qRGsiZkyBk0rknJ+Oe5AL4ETpePyfC4irDRVL9qc5QTsKn3G3tCbjc0A3hnEvznuP C90Ar5QTtKPK81BvR+psHPyE7qnvBUE6yrJVc7/o7AoCB1EIRP2yZN5R+Mzk60Qba/mg2uEJfBqV IU7uzseD0tht7pjIM//nqoaHxEFc8ZtgAsHns9kUbNZTxDtt2VbQ/lN5A3q9xQfHbswJl9tJXTbY oYg719gThKYoPXWWzIZRAcR3RKV5rqAidYDi2pzn/l1N5JLW+IrBCV2+dXtBnoCmg89PCIEGZ3IM 9/Sey3CIoCdV6y1XFLI0PzEEWqGhraProweTu6KKLRZQhxsSWxdAe2cWn5MnGIWQeHxHVBtDR4aK uzYwQty1ZoEHEQHtWHrDS4cUpBgSivPpiQJosA0BrusOTijDDiClGoyA9vTpCaEbqs3/b2fn/LJj gPrIAHrMXhRAI6UpOMlRdrq8waJXjZRJBH6Wvk2aPj0AhPD4OIEXno5UD8f39LDyfaG9O8Q+o9JY iYWjQco2/eeT1nRXDe9AmkuUP+YNcl5o76fBVw90Z9Yw1d4EGrywhzhEgBpD4manDwvzO5TeECt3 CQC0TJQfpO4t3M5Z7ng6Aibc0hhDQQBE+o2fdyha1ym4sU01au3homySZ6s6fIxWuUPghkFb5COz nvxip/OjbxPNnLWB/sIbDsWNwsLvbVwMSpPsqPCcionObx+0ejakFBnSpIWnI90fj57x78Kc09xg OT2h5vPuFOp1TQAPhE4q1K32A6aoX16hZt0yNf7ig/ctWm2ZvVJK0pYmdlifTA/P5gKLHEL3tIJt etU/WadmCjINmLAhpMi2+znFCp7+J+edr4bV+3V3hbobje6v2ezO0rYiIirDZs2UIN5j8xNFz3MK 0ssjel9COjRpxwn9RVpGorErSd3nGsMRAs3D7swCwgT4qkF0mNvb4QPTEUXPqQ48HdTGfgHnUWct IEvZ9ISF5wUlgFm2cZuUncIvpd/Dp9J6k4dPHD1LNaptH/zwOafgSS60uwaIX9tcPln5RZB1Wbl7 6k3/8JKpCRUiG1SXB59MxMHFpyNeSv0ODcnHq5e4s6PYGZY3qMv74TPTdGJls+k5hw9FtTo/5n13 TbGV014++O4q4OuDI4Grq5zDmYZ6A6h8OFb+IKW+ipBNrxqFhoNXOkDqGgSLqphtnrcn99pR4blf ZpxAvfAw3h74UNHw/UynYx7vPaJ6G9kW4I3xxPgPP4aJCgWxUxQ7d+LpRiN8jIO3Bc/pc7YXrc/G HA24HSQXAJQA5viz64P7oTASK8zvlSp6B09Zg8wMVoKQq/DFYueqn3uEH/AvbKZgrhvqP9dUMEXh M4joJwGjbvEgd2cLulHADi+skFUy92QsE8bP1Auflbz2eTVjcfqjHzhpZjXaucH2VK2PiRfOtDYv wRIiJXrbv5Qhce77oBSjF2sotfMVfD7iv38XOWiERt6DTdJ7DG1+X1lm1BDfmF3JO+R/HN9bVrIq K0VYg2uC58uSN8j6wv+cYHcLYI0kI1FHmeLYAFCcdJZ6AYbgIIIVX/KnvMRQFwMnPC8wNFlwC/6Q 17X4AUEsVH5dZuwdzAsSzykKpQHUpk+FbrM1DDtmig8yUMFmMo+i0GpQORjR4hoc6FuBt2rkoo6T UvbKen4hohPpVC6PB8aSCWwKPVQmL3xKZGlQNwPoCrUKU+PjUT36O5yGvAbUhe8cRfF0AtJl0fWO Lp7TWD0nRkWxRAEjBH3lEpKiijT8oJjIdx+8IgS5i0yb0H7u7D4UPNWGPhPmEj6ThqVnMM8vicD0 DKkp/KXBsC4n0UjSOYaPQQrBHPkpCeFuO9dHp0iWTVJYlYagXStZ1ItWWN/Cvb+pPsjttiouZx3c cVla8TRT+6UOsCapQVxtZcWNXbLKUG8Hc6OdzU4IteGBePPjSyJKmLT+8h24jsD6LmJ5nawMj7/P EWlpF9ABPBg9mnyKwsgad38qXd0wuBmo73MuOfMNyTAkaH4iRaH1tAUkSFB/IEEnIAKrkOsIbt4N /TZgflG3QkZTH0zZHNNeNoqOtIB69UGssI5H8QeeGI6PB3WP9U2MF6twXjuM9LcqR2fK0ajPYYYq ay3vbWzaNus4CmvTCgqnehxz+HEEMa8JLZQpxezU6FgJVjh+xEWPFK8MFdo04ksi4iV7q3LtXoM6 JCR4nT+XnbKT8TU+HwHvfKsREFsx1eBn+CRFITa0+kBD8jObmph0deIZxv7GyRC3T1KkzlFFvsyy tMxX22Wd+TrTki3Br43nNF2v2J6nBPtVtpOvcPrbVmXueQflaDBhm8raJx8Ptlr9Xkc0uKNcvk1R FGYDtAvKky76NrNbmzeVqDFFAy9gUxTVqIfus2XyEk+WdkI8tJOYxQ6IIw7ygLrDEWgSKHzQOdyL veyf1iFtLR84RRPFA/XIGESG1iq/8K1II0q94lI5dCeEWPY5E5p16ZOMheltkN07JG1vc+TAnlDS aOfykiT/1j2sRPbcZXOZuHiCkj8ZGoOk/HWWBY4gY/jIGyMH/oRYqkxhpd6/29XAqX2Wqy6eQ3/C me8UEc6IU69dfbscGhTii5oRUpHMtq2SI/wDcHaj7N3QTZe9XOBQiIIl8zRLah//onPlb9g4UnWm QwFmk+QzJ2NsADrrf7Xqc+xQaL6xqfggEDnMugRcHZWPB2H2VXrhdUi7Y7TifY7COBsyv5sMJGS0 expn7Fw/FIxGRlvYTdYchTrRVZMjnd9+dX4pE31iOsE9IC2vejappSgNoMjwMH2SvewfVB9U0rhL adzC0wiJodqtdfDxqN/6C9CAEuruzxRFOneLNiviPbHhWqZBpWk9wSCb0HnbamGQLbiZ4C4cfBmN ekL4bVyxs9Ho/HlWDLbalkfrLVgPf9m/t5pSERfdWZUGiD8M1Ssfj1r23vAgvAiCleuSEXLoVMgp Knl3Q5wtl6NoubjheaGDsKYoJBwKTEVsuAYHxZw0NrkTVkUjjvdYxeYug5Lj4/GC0M0fmhUuVdQ6 838OKqyl2qSKs4teMmi7PnapLDSh/kuPSZukSDT6HHagrJp6Noxrpx1HdJTmcVREbun6nL9E3cgz scG3Gng1qVP2pWGDTdKiO0CRrIqh4uHHEVVfcmBYCOQZ62oIcmzgHNEPiGfJCcP4eNAZqt9bLXei fp4pCmmHGdgPqMSos0h8P4Gdqs7SUSS5AXoOLQtXFuKOfpYcvDSSYa1LTBKEO04OSFxwkjkmiErz W3Rz2ttGwVFRV3H4oNJIKzLohLD/5vPBmZ3vmc1gER83yo0gQ99CwJqJkBc3fLEt8B/iApEiiztW 3PkgB8aFKPIrdEzNB6+yFcjXI+Hk3X+yfeLzGy3G4J4D9V33ba7VXjZaSMQQmUbytIwQXZbKO+58 jB6P0Pd3jlgZQS+7XRBRDqwLT3xE+ejSRMtsbLeSoLmkGc0SFkA0yvoD70I3n1tZEu35kWiHHvks i5Vs4q1GYf0INf6Kllom3sBwMuzC5tC9cOjW3635YBQO2PZwu53khs8HuchzJIljh9P/MZgNDQwr IqTcDIIGzbdqOW3KjhQ+J/jwlRSCQYiPPlne8MF7sYAJd4rUIEKCCDNLupVkMFSKsP+MRtb8ZaOr Tdf+2D4oF1mUPOVuG3z8X7kIb3q04mu6Fuk5dDEkHrbPZC68J7sdVmMb1qMFHKT4mRTaGC4Kva0t QuK+cnhQRj7hw6ZUO5KSqpXUgWdFN+GH3uGOaPSXjfJ+Kbl2Ij4p/84jaQ76fYLfg4UUOBmCsP3L SBW58VfiHzoZ4rNBuhBFE+RKcYBgUHTr/quZHFUOrQzHMD2Y//YCP7kg9CybevodjWLNEbCLTGz3 FRSibBK/JEIVcXISrUI5GCZkmP0L9Mb4fET49btNAm+8Ivc9kkI7QyjjnrBlGjK2LcPI7sYjmgup drqpcpLCUFu4mcbOPgffbOCyFNQ7cSRBCpXE6Ur8A2xaaa/KE6nkmuxl/+K0Im3xQXfbGFjsP1Qd aHw+irUvuJrCVEhc1npWUigqPdgSqabLkOTls9iATMLOZMLCdSIFpoYoIQn3OYYPnvqfUG1VUpQ6 sLG70NcYJWD8Omfh94e5Waq97F8N/kkFCwzFSkjtrEQ2IU9s2fl8kPvflUT2CzotuT8LKaxoJ0re FrdmmWtYICm+maxZHl/5EGNdzRRh+uDF2pMrdHoHATUz0OiRNRReju6hfdwmNuXNcuxsmCXNyTni oNx/wu8O6yinzMcjdvS3cyiu/1LLTUhCa0M0uFjDMBID8aZEidTVHSWC+qod2iFMRBKvsmDjcANJ NNZIFkfdFqUMYrAavRdZU74MMyks5tDc0GJtHkgaNEXnJ5R+ydnXfDxYRY85L//t9UsjL4f2hmiJ wATV7VVHsmC7reREBspB2RyFKnlkrl6Vs9ti26jXQt3MOJwpiU495SBytuS8GkO0ruB3RFgILp/N e42DokgIR7GKf8IxPh5F2r+QoLweyV+yKYoibVQMzhk0rKBNSV+hReia7GiRe/f/bRG+hKTmYFME kSq0F8VmwJqkUufAyilYCcBba4oYd/A7gilqWxyq7IMxzc7vatLjjY9HYOJfFqIUFhvPRgulpicl cId7iM5pNbYu6ygCRnDz21kU+rSIwtlW9sEXEfBKTSX/Ns6Oy0p/UaFFAkUzCaGxVD0KPQ7NfKT1 6YPYMB2GBdxmafLx6CT6ZSKBqi/kHn2CohAb7LJz/jyQkeqQEaUkhIzkvH2CYpNwdvsLE1UOfhKt ffYZEe3AjJyjmYC1RkEu7k3EkVMXGk4Ifkmkxq0sLRcfDO9oiL4GNe4cGh2O9QsPOrmG7xSFTofM 1dZKzRhVvRnXflJilj7PiaqW3GWh1aEhrvfcPniv/0QtQDomQR7rzKK7UtEE996svwHXObQ6zMLq VzFj6vKz+oS8pFBAtZaPR/H1lXvFWd06l909iAKzw/J/AAC189sa5Jr5hrOGsjUfK9yYbYqiAHtV 3vXXVOLeZyudRQUWg/PJs/CjCYVbcZvGZUyPbi8bKQ5Risl8E4oJNkBDrSsTbVnvGAFDvyVNGVnD asSnKERdQ5KpXF5D6SZEubqrMMIwKnmZNnQ8FOx6snyvwasiCUZsxVDOC7xOMauIPcJr0rjXcOnq YYeeh7bTeONpMOQ+zjgujbOa+fy/ZL1EpGE2dBP+0PYQsN/zydZobDMzqEYtmyqakv3o2+/80PfQ 0vjFe42DA/wKQqw6eH2cyduNWDeIDaJ/3X/QE7Z1hFtZLxuEjuLcN+p/GF5f7Iau8xaqYXw+avR/ x47wo2Tf3OcoNG4hYETnNCC05PzLWHSpMIlsoZg0Sg6sDz0FmWqJzK+WSKa53yoSN4V2HuE3BR2A St9lcFG9dJSXve2f+CxKEmpwUI2ZZ57whY8Ht9pDoSbMuOruuJMUBdhZNCte7ATxN1UjgXfaqkGi VpwM6RdaIFqrn4mkBt9tJ8A+uSwVHM52G33yzEbb5ySzZ4VOkhySJBy6vWwQGi01RYgb4eA8oiRm ad2oigQuiEiU7okkaCj+RKBQfJaiEBu4KrhFmUVSnhZsIwux+DHjPvKlFGFGWlcjuzQffCnBnGTh CsPN1vrWSdBgLFtpZIloyS2g/WX/YlvBvNsHzRJkWIsgDjySQkT2dZFijI1bjS4INkehFnWhmEOx VK0BocCrbQLbaaCR7IjsHFshLtGJ5IG9k6+kMz3njh/yUzgZ8gQp7SL9IC4ElKrvtrTsZSMtRql4 qlk7Xa8mtxOfcBUA0sPnoyDy1tcYDSGaZ4JkkxTisqHHeH73aSA2ktVR8p/ZpLvNy061o9AOcTLM PunLHe79jy4U7ajOmYRAoMgOcZGZCiepeYuQvdnLBtvNVpBcJ4a7bbVsxusnga98PCJd3Xa/bBRR US9XuCCHfohY5xBdI3IWuNQhpdwTmuG0IjO2EYyn3RbDRgqRDmX5YCvpfHTpSfDsc02fQIUt3Ywc uiJCzvWRhaXQGb8liAAYZE+qIGpwalqSDc45uSefDyKAiz+SEAh+/HStFXJoi4goueW9rrVUNQYE yNxOv+IK4n4LfBHPf/NMggmWD57TdtiWU2n03G5neQt/s8gPKzgeWrr+JSSg58AYESe3krXVfbC8 P++pNGwtPh5tNw+3tWh4Tl4NgxxaI86WSINIatXWaZC2Ez4TkSzkSDYOVo69EYdQEX37YHMEgNeE hxK321kKsrqdhCigCgk4mSVtQ2FSYI4oIxlka2pnT29nd/R1eOjWVvh4cLldgHbWKj+reD1TFIXb 5/gEQi4ZTW0v62bjH7oDRwb1q7s+5y+s3+qz+uAwNvibNeqzonp0DmrFCCnTggvzlG+FrSx72ah1 JJDfZOWfomz4uzYgHEQzjlQWn4+wfg6LIB4SFTaEoz5HUbRdKQSIfrVUdBlssJ3NHoUqbP3iIWOL RCL9T2CVffBoG4ZtkBmjjtNEyVBxOTSngUXF/2nVEaoQ5sAiMRHOiChbAGQvUe20slZUOecNH4+E nMpXrF3R2ZuXjZUDk8Tz32DzJqQL1NHNg52Q8rMaoRiSsRRVIulzouoIg2zpDc/yRRjZENqbBobE tiMmgs2XgvuvMIyUkHfb9rJRqC3ASF8+WCu7qFNVzw3Bx4NF1H7phaBclx+Mf2iUSKXPNE3YCqYv FkOOaqcSpCLTnaIYmk38XtvTBwfVjpI63FkpHNc3033ktdJ56D9IhRw2kru9bMA6Msa+BIuW/w4J NXDN0U6Vz78f2Ov2H+Vx3/m3XDhk4JeoyxDsoGR5rcjXoD3ySea1c5U7SVGgbSD/le9wS0jr5LI1 q91foH1L1RBU2ysawaC/eiNbPIjAMTGTfEYFreGDEKOAfw8tjcXHI/zRt2Aj2N8/HexZn6NYcy+R Gpp//suUvc/nNFquWZ0D00TUUVWqHdkHn6MOGXViH8B93Ga8NGg/hp1N3v63LlgObBPRNuLFP6iw wkGVyLNUVayda/PxoOBfb3mEYQJIDPkKy31eYqNyvvL/kDK3tk1j7/xTFoB9d+H+2aJlaxMH9ktk dD4F6CNqXum43ve47gmq1CegpHcZ2EfUL0aywl8PuRJ22jRReISPL3ERXzTNV1QNxCqq0A0LD//P 5PD99lKpv5jmOesrxNMyYo3mBinIJoeUKQhCM/X4KUGLjB/E62LLJ5ibzr94Sw1f2mK2eAqIpVmk NUSPnaWIwfY65EUWnpz5C7X+OjdohKi0tu5g7bS9CTw7YcmJq1/nRo55mhuex1x+LdvUvMRCfKhT TFd2rLTtyPqnk9oSztdo9rJsZ71EQlo26DWCADJ88GARlRQou7IUgiNtXw5SpnReuhBaSgZ/XuIg viigiwVmOskHLhvADob0Znb7vARBfL9pTbRiewryaYtFEMzNSwzEryTqAQo6Vpb11r6nG7SrgY+H TOpf5waFFKtbNx8cpn5OGShfaG4oFSLOKLo8qNzRt93gxeygvQRA/IpM6H2ikRKq1lmeort2OLmd f+onpP68xD/aHb3b5MhBEFkUlRrpax5tKbKtqdRCqYeRTerhJNzTG/k0kKFPa7SlthbMvIPNTaVo TmX/9YS+iQBHRNAggwNT3IFMsC1Flc+XyEfHTZEORrqDyh4ozBDs1fqJn18CH3t62dxI0hHmKYye aTwYzE1d6ODzNGMHn7kMjuJF/ImJzCyTIXwJerRmWerZIzcfHHNdB3xZE4GgJwHbRf4cVDwA4IrM It5VhWaWn5eQRwucKNAtyt52yt453NOSu8/5ns9LvGMXTvGjmJnawlnny+Yl2NH5XRDszN7sIi8m rHcCuSIkaIZegGEbX0IdTQ0MAQopczbcxOuEg43I+HPClFoq+Q8D+mZwTfwZ6EFabkqo9Uugoy2V Zb3Viw8KdHIVNebEIOPzEubYWXVXDYtGqBG04rfUS5Cjo3jQ53Zuj5a3uopLYh3q2FMOiMq50VFM emsiF1WDH8Xnd4XcA7meiHZW1ZbC4U7Nxi/GB27lz0uAYyuTxWgkgjZYq3Wx2AAlqPx5CW/s/erX siGhcd5b6qWGaDuKRY3qwjIeLZ8UsnirvlEfi8qMwUlsgp4p3cF31PnTwRFD2WeOE+wU5qIVrMUG CDox52761yn8FczNYro+uypk3WWd01npxTzFIewZTE7fN7xZdk+RG6jZeSke2lpFeFOT9ennMgfb fe5tyyAqzawl7RCdxfJrq6X54AtnTFiiY7v3dXIh6Hoif0A1qrKUx5VjmOpEFYroMF66qGrywer0 GZb0LIpB9Cu8qL5PHDRSCuUUFPtFgfEAOm6nabf4Im8LszPX9tlJX0TzaHqwM6APPn1wlMeJ/nbL sivpJ/BeWU6Ind3C8kNKjAtfiD0YbSzFxDKM3I9hZJpJBkSgxXzeyGZaezP7/NAGBn8Tu/aaoCg6 BuEBloW6pBq9D4k3R3XPChmViZ+Q1OH6Uc7Av56DxzknCcqFlcY+BgQmh9YPfGSIKWXWLj+XXkVc iI7lYtIpyQcdyysNRXYtA2weRsjDJghPIYIv+0aBbzwz3VkTodg278NWRhUg7xw+yUOdOtuFwQTz Q55GScQLavD+BXSJkGLJMDLNpoMZEMAC8T3o0RldcWl6oiC5N/KI6QqtwWoYW7pKZ35QCnsPk6Fc u2+YzH8bd0kqfv680cye43lOF7aaqvN0kG2z554T55NmKIqU6csiK7vraJdcVQ8VSJlCo/8sAHFh rbBMqk64I1kWeiHYYrLUzGRQa+ACOrFT0pF7kpXPG8dMJ9DwE4gxAEnB7Dhzet5jZWNPgdVt8WC3 qupeyUR4KL3jtOn3YDlLN7eAVO6DX1/nzy9it8LSLtUxxfpEZY2qEETha39NFS/+PoGGTOmHmdLv irhDjltoyUfRsohfPIAoIsKgnUV6TVAUMENOEZYK8scG+T4zFlybYs+UlVlSfUd+/h4xuyPQ3rIO 2Lf5BbmMMdH/YliIyrO8X4CEpIlCfpRArNgchcwIqGGCR0I6Bx4l60ychNFSq583bhmfbql93WAg FoKfcffXe9RsqGlJuRBCRVSkEtHWvRcPuI9O6ChsZhBTQCD3wbOt2gtUeLi/zvlzQmO5atPRBW1A pBZmicjw541Ypr9QnfilCsZywnE6YUQnQgIJy+eNV2Yh2g2AxAZCyy3fHRaFzqi9nlXjwu+92mUG eX8rNJe0hjfio9jZKjwkrWi4V9hZks3Bv3mOJlPoRU+n87/IRXdLqaVXfZ8gKsnAXSv5oB12bhDp wKw+P2+cMotC8nfSBQANrjGbnvfwWUJGaOYYo2zJEQhZ16AYdSINGPBAns9R/Exv1fP/QOdYg++v hCq/CmAdCo9VXR9K7U9EM/U2czpTizc62S1GoL5j1R4DQrKTKMOkDhGZKH7eyaeH1ysbuRt1LM1P FECja9lmyqb9NWFuwyJYHdUN2xolkjg/cQStGz4XH6x4ClwohJh9+eRK4eVR0NAA4h5NHleQEXMz iqBJ3KysnNvA3OusvVRF6UVXOYqghYrz5QOIKn4wLxFGETQ49CDYGbU1S6YBxw9uNqfaFYMCvbHI bO3yes+qwed9pwe4vVRKpyX9yQBGZqOniyZMgMKjZ1XsVd/vry1H1iw+gkuHYoGqgFJnyZ83CpkF B7/ur4aLjmKEmp8ogOYxXks1w+zaDDS1Rrmy1LR14OnzxiDTLSin7CwcuRPZAZOmWBxt8sCsW9Qr rWwtYxX1n17bw7KzV40SMLEQdDzvezwP4IwY/8w2P2/8MZ3ut8ScrVRYCI3Q/EQ1ZlLs9rAOcttq CK6Tn6bsIGk6qanG/B5Aoxan9TOHD346nx12DmsCgM4xBO9ykscg0NToa0CvAS9tTL1rEAB1y1CH Dzp/TlClWs7Zu5836pj1Nvz84VFMvZjpt9cbcczC53E7gCiKJZNa3qTk+PFMb8TOjwnmJ6uK2qsP tr/g8He2DwHSJzw+aRPLG9CIReUHcAT2Swlr6ewkv/HG9CUEtp7rqvmg+UG/QAWdzKejDL59Z6iI XjL7XZqgKICGuRo0UKyPTCsG92TtdgCh/2cbLK428/5i+UKDLSBUzlEZ4wabEHy0ajNgmVhoi+Uf lQ1J0XzjjNkKkFtSv4PhNU8WKczO+arPG2dMN9H+rjcXMrKyFw7fKGNaQJBAq0SricliAOBVLzT6 yxbojTF2gwskXq37YPNzPuVsVajooBpfgbBndzRVQRd+YNpt1Xj5KrwRxm6MjsBQ5Mx1yZlnuRa7 wOb6vBHGbvht8yN/ye6EMU5QFEAjtmkrWzLaZvYa0NJZVLjDpp/Q7wE00mvWnVvKPngHEBfwCaYI HT87bhYJU4NvW8FvQWBya0BLrxoUEAdT+KILvniXMSFXFaVupf15o4vZWTu+TqCpbPfeYFH8DIlK 4Ershl+rLEf7TKXy0FD1BOONK2axLYpjmXmxBr/h55mis2uZgK2Gs2Koq4HmF/6Yx/OY4JTPG1fM ilzSw+/ZB80PlPO6Vdv3540rZoekLyCsFQSj5vnH+Ynrz5um9PLjwA1vAnpTXgHMdYsnqG9MMV0u m8VDYPFtsPkBDKNkhsvnR8Yh1KRCyASVsp7zwUIPvWoQIOJPqoCM+MAAsZ3VKu1bCFa9McWsU/5d IaNwOBnemp4ofq5E1LsJUCvZ3P8WgzpVyAqgt9pe7/GzEFVYN+sOF3J4YsLKDgoo9JkUBvJ+ccHu rPPHzmeEaZ83mphtL0aGFNTXwOkBeZn5xZmm9XljiVkpMP3K389vT7k7tZGj+LnBCmxQgkDQjOWa cPkyVxqFNdlHDuPnyWUzuV04eAW6Tyg3I02GCSs4pixv4NTLrHLOa1sypr1q1GVnC3mpvrqsvrrg wEWAN8wXP28Usf/OTomjRzhNpxfNTwjP6JRgMD4PziFhejb2hLNWGNJzfuICNNIvMhtt0O46mwCq Nlk8zBMmbHr+Fkh5/KDKyIStG2XF3vQPEEKBhqAPjH5gqUwcC+7bzxs7TH9n+o6ei05DD37eyGFa PcCHDTkAwSJM2ddgycBQCGiUW/XwjRtmyB5mpdS812CrB7npaPTN7dQ3hRoQNhcadShqgX1kq4c+ dZ83ZphlX0xOKVypwTZXzksMg843jI7m9Wt68HPhNtP0RMFzB8+5NAfzZvWWz9lDW1mdPfmaJr2x wmzpJh46QGlo8Ny9ASREbSOgNOD+J844ehQJOdm8sSFpS583Utiv3II3fEJ2IKTgCdxHYvZ1cuzy eSOFWXXj+/SB6VdJyYsbb5wwPYX2DrSQNUGd9kzoncJexJEa24Xf3yhh+nUaZ0atd/le2911Pugc wsy+0HvM7CufA+p8OiTyx1P5sfeMSs9dnIvigwA+Z+qnmhFzft7IYAo91v4ODDNuhnJb7m9csOLA JThDNIdqLOtcLKJM1RwEsVarJ6o8p6nmYBs+3NJ8xZR08eXODWnmXAUFnkTt4Kf1RdH3NyaYFvpi 5Tnv5YMqP7tIR62MXj9vPDBLofxiZ5WZfqyy/OP8RIEzNiCsDxx4yYSPlUMCCZSaQtiPqekbCey7 edpUOWxP5TChu0yzZlSeT5akEiwNcis4w5k+FcZw6lvv+kdpDB3S6YP1BgttUsFPrJ83CthdCV8L iErfbOppgqLIGW6RQGabxFLaLvYu63NhxNK9u8LKM73Idt8aeFpOluaRWnRad5/UC4h7knsbjelw 1jE/9Nx9JL1rBBNjUroYOm8pN2CGdi0CtZz7u3/eCGAWWc7v1AIp8Hx2WBQ6wzIBqnLGbc46qUH/ Hq7zDstiU8V5Y39ZiZZtU9AgbPDixgAUpyt0hr4m1UbOf4ItCS4ABeJNMpix4Rv5y06g9O3LkVEU ZpgHyDm3yDnp+HyUnN7qKiMDnEnoB/kUReHzHOCjtK5Yp+1motx78Apl/EO4kqYoKj8DkFVhltl8 cFZTPesn4a/DCqFBk+nhku5QrLyhJYQizOeN+XULgJib2X0QQHXjWuMhtPbnjfelN8zlawUBmlDI SBPSMAyfAYVcxXoWUOiqrtAFqUIpdG3UijE9b6SvX9X52Xzw5B1M71XUvVhwGSHpC2Y+uNhPjDiu 5UQn9vuN82UAAwpzDWngyyaK1Q3opDHhzHV+3jhftkF9fti9IPBn+PJ5Y3zZzTzJikP1AqBMauci ApJ5BpNTCpw2zk8UPk/JKW8WlTncAPGktkkYXtTmz/VG0z8+MMClIhvVMLz2qn/sMDhAFx+s/HzW oqo/Jw7/vPG9rDrmAZDqIpWMn36xqmEIDfy3/PZYPwQLiod0G3NdHko2T/o3vpc+RoZkW0pv+3EI GEjKTzZhgorgUxYyLBAP4G/e38qcXa8anNGVCViWAEWePkMVdHvTS1ifN7aXxdD1a4c1kEXa9BTj jeyl4ABCL7O7Y8koM1kBerrrOnIoo6C8cb2+d1hpd/AYesK0vCytoLOWVpGICX4/hD2Ajlxbu6FX DS75xsI8NItt0CXf65Qm18lzPm9UL3vao0QZ2HcKVPn0RBE0IJWg0Vj7fVfTmNqLDik6n5PTTt+I XjY9qDtDBsAHP5/niYhmoUJgG+nMioCKwMdlwMcbrjQlqDRH+bzxvJSgDklxyyBgGbwFQbmupJME z88bzcuK+16ex1UF+HvJF97yxvK611drpKiAXYEAT93TNKr8feHfs00c8I3kdZcuqmK1++DnTz2Z 7mSVt3cW5bl6NiPQVCkc6sZbBP+8Ubxsdhg7GwZIbByKudOxhhyC8XkjeFl266cPbypKud30643e pacG1ROypV8oE3ptPplKeU7d0/c3dtfX3iK91QbbW+cShpDdnAb+meKa7k59N/Jrm+8tXe5v5K7y YKMSKBo2aHoym4wEy/HpqLf83fvaqDOgtW3TE8XPqL20nn16aNLDBCwnB9edmz8ZuO6N2GXIDVR0 iggo5YuAsqBef25yUnFhgYwqIiLITo0HpO/35CFW/o3WZYckixuyOeCg2Vky90YvYn3eWF3lv6BR CAMQN+98F08UPBP9t7M3dgw4DwWu5gpc5xpdzrKIgmfkH2fVAExlgy+eCZc/VJgQ+hS46LCw2pj4 LJEXLT0FgJjfEVCXmJcm2vpqIDBqwy6VwA3ob7UIuDEv8pD3Om0JblunhYEzoH/nuLSDeSqPP4Fz mcv6gicrHqZx16K6c1JFNesAyvlpnEIpoTb1vSBylyjOPYGLzEC40nzPSmM02mohboMoGORtPnD1 nHcXB7vuxKejvrtn74RQAZZFvKBoKFHg3NH1Ao7Jjp6W/ehRMM3SWHG32v4eOKtlcjZVBmZOg1d+ zs0FH3oePWfyd1PlcFAXAsdQegJnlsb6n6RAkGKqDwZrgdsWT9te8XTAt7hd06zlg7r8Bfb2KHBG qHEurqVj+ORF1cVI1d+hXS23KnZXj3Abg+2cTH1CDVZ3PjFJMnvRPoBibQqxc6cn1fxBdOVqEgT2 9qjwXIV7BiPMBttdqQjXcq5IPP0vFhPfbMDV8K6eMGiuUklQVR5nhbpfe++VLOopNGtAUNijurPR Cga1ozlY0NwgsZHl9XMC6KF8AqpAiLZQrKd6inFui73rH6gx2NAz+iH/SVw2WJtQ3mOcEBTPvx8/ Y3633SFTBLzsXUBR7ZlaG3Mv6bPTulnVsUl+I3FjU+RJbLAobrbMK6872OUF9WLgrhqBUef4aWzN VzrlAvpQgFBw4Fiyd41S0yVES/WBOwxQ2CWwyih4Opig37gEwFzmpV70iCQI/ZmzlaRViwMI8Okz K4vJlhSRU8L24QYLA2fW5lPJywc/n0/oltIQLhxMSrZJz/pGgIhNwpaZiUiweNij6vOyhKsyr0AN aXABNdSB8Pf1c87j8eD+Gusr+BmEaF/eTo8jZ2hsZbdnKfJYB65luT57hj+4IuceMwUliajGRG9P XoqyRqG2OZgFZx6pfddAZyOecdKWrat3kfWqQeZe2PnaMme5EvApnTNOuXiZfMVog323vioVBOtd P1H1mXo/m9oWqGyMNZNVn3uptr82/Hc1P3/GzkhBmg+Oazkhw9kBbAzOPdHXoMIGwt2Tx5xjMl1N JFYK8B3B9kqMnQl/1qD5gcCGKhsnRMXj/wKO8TaXbd0Frvb36Flwx/M3dDLXyfySqN3urO1xghbh KjyAouh5b+6sKmB4vcBwWL11+PpQoHWcUC5TiWNS4xdIcE6Y8eJYfO5R8bmqZ/pfzIJ80ow1pYd0 jl08HmXu381BAA6gf+/zEwGfKYJcqmuPnVTCDqBBUAw3GIivdkBHuA1W5+G7ZgPXOw+gDibYIHIV N1jnYZanmKmAj0JI0dpfyDTwHX9GQHT21WAbbJ67nXd0bxuPRwvo+wCCjjuU/b0y1sMIGi6spWQD tohLDe4XrN+M+0U9B01QVHp22QhBM/cXNWVAFwn3AIVHc6Lb7jmh0czCoYnSk3VPiVXDdwTZ6WqC PGcfeIFBAmbLzCA1PB2xledXBE0sG4QCna4chdAo+7fRTV0MfsEq+MBuzl1qG9VLMT8jqj2zvlWA PvDBbzAIvA0WWc6XADNeWZ6GqWumuw1+caut0kBjRCG0iQAYxTK5YyAowJkZ1DnIMh4PYujb/+Jj AFGOyx0cUQjd6eK78P5Azu+cPQJaYuNKWcO4gyOuPQuZKW5cKrf7niv6m42S3jMj6RGhG0d6gS0J MuQLTqh61T8Z3VMB0PQAaJ+tYsytufF0VHru39ODwsZ+pidEPsMBbSD0oN3RhMmxSmOLZxL0Umvz 0tiICs/0zitAHHJgq39oehZcZFU5PNlEtw4r+AVoikE7zu/3s+IHviLAZVJJZYOvyiE7wvuEE6Td nIiWbxh1Lm7ri3cVrtRyWzsjCqAB0mlFyGeSUB3Y21J18zVuF22vKIAWtgUBj4ak+WmIE2BFmzg/ 2EFVghM4ms/7Advy3O8T8xNiN2ZSYNh8UIIKY0CunvPT4ul/aSVgpQCCft7LoS0jCp9Ji6skpFGo dkzZ90CoqFh4ONjG5exE4fMUINwusb4u7LmUdhafaO+QTRhy1KSJdiHUHzmGnz6sb4yo8Ew5JKCG WGGFbCLZNhu7iipCgM7g8Wj9XGiL2oi4uW71cEQBNNKSk0ku8VGAwxWF8NxAhEAvmvdUU68ZUQBN zYQTwJB2si9tFlkofHuLkHXny9g7BzCcSisEg7VLPEVwiu8INpiqql2udN0toCa6+ypanPhwRLjn B97CbYmdgJ/VpieEPYNhPbfJjyBTXdbXgYMkpdfhjOvTE8GeSVMquer2qu2BjjXs0ype5agVrsYS 1kISjbQPTXg3yFx61ajvxerqqssHTs85J+FGgqulDzwdRT93engUbwpo3eUTFZ9B8AEiwdAtlL1h 9FO6efdkgLOMmDKi8Jn9YVxbdolxdkRMgZT/IgOlw8c9CTdPpySgM6El47BwHJn4jij6EbQubx9U P4QyHH/+s+XwdMS78O2lYtH5w8atAI0oekZ7vSHBtvR01Wbp6XAv45MrNYNujDB6VnoqVfr9qNLv 8+8CN2nBIY9q6fyhYwnnEoiO+fzsXvWuf6qKgaXP/HT/8NBAW7cRFnNO3b3wfFSA/sbWNbW+PIEf f4bP5tWDVFXwKJw/uwrDis7gMmGxEReg6R2qrjEHP6HJ8KVrUIdVXBPlCxDiH+YtGaG7FejpN4Uv iTqnDJxV4cjZvW7O1klM3XDQZzweVTh8ggahZ6z37+JX/AyL0LWRcSqdNRzWg4kX1F6z5/BQCWWG MaMIeolzUaQwVr5saBqA4UvkuArIjFS0F8C2Zz38EK1gADJmGDNEb6jGMSSSOVwkEwDipqy8NTwd raD8FSHCJL3U6nf8jAJoMGVP2kXyP46gJRNVJmBYNkgDCkqYLJHNkDu4eQT13HzwDs8+KYZaOX2Q BcDdeK5HdAXP4qHogjOX7VWjLaaVozzMxenODcZCLSSOJp/+1xHE3USlu3UrHDOKoIGlbFWoOpxB u1iEWKmIJ24lZQApihRyB6vO5l188BLQgnDFpC52P3f92FXQBMHmAUd/PNUoDTD/UYQGTd8Gfgew z11XdJ98/F/cOCZrCJXqVTacUQSNFqunpQRxDNP+mS1bjFgG/Jc0P3EJmsFhNWEtR/fC5enc4x0R AFohJxtLDJJWR6WJYW1+KtB4DN8RzQ9D6E1mCgcdQB1ntMRbVsHjEfficgdVg25mn6wJioLoShMj FuTYxiC0W768fTi5AI1xHtEzCqKHYAlZGyw/amz9ROUwmtQCOuFCM7cjyn+nk3ShEWbkC0p4zpA7 KKcwqsxp0ASBbqcDCF2wGcXQ7cnBkK+hEcs8TvMT16DRBNt9m7XjKPonaG9kR2/M6edzhN6QIS/A vD7Y/IAZflagENCAiXdJ1NOYh8IE42pvoFfEVw3OZ1P9GXfwCgfwP5if88fg8X/VWDk/KGru28OY EX4DgVeTvjLxq7XIKXwVvgAPIHlNc4NFNWiAabCz1h1sfio5Bkk1erC70V1DBCxdyPnD+bL1s+1V g/WD7BXtoeaDVTig+I/9lUbD0/8CHzLFwK9AQKumJ2QOwt2pJ7fA6EKxjhO59+7nD4oIylFnqFpH zkBW+SJ/AcQb/FMSrV7gpQLmJpM0slmBTsfVaN5ONMCYUQFa5oJ7EhrFQfyCai3beiYKT/8LGiUh VhTo8w2hZwTg4PSsZeKY8BN2f7C5tpF3QJ221ROF0FS2gVN788FDaBDTYebFAtBAm52hJHzIzr94 PhfJv3XASBycUQTdlLqXvn3g6mlQXuKfdw49PP1PZQkWWPHzzIsdmyFz8GRCrW87idvUQpr0A3fi Kf5K8QtmqLwh9o4ESuQWY/XDDE8H9GURHXa4XbJSDUJnYdY4H+agv+pfBfqcxvRBcV4n1IrfX/B0 FD7nX/kFgufh0eGKgmdUYlru24Gr04GrhZYtUt6oxZDhK0JwDFNEYvePg5fnKxOMwv7OPB8qctOk 6DSmJY+rLCHZ6xWWnxMLG1AJsUHba3WB1c7tVPF0xBz07cX2BbxS5w0OVwzgAN6QlzGJlYTVMEFV HbrRKb0btm7FAA5FhSP74OWfcbYu8iNR46CTxOkhbRhNZgQhdjZTt/OzYuE6ln9SLj5wekCdSrJx 2vWzouA5/9bdQMWOGtaanih2Rie4oT9i5CYhgQYQHNlko85t1o3ctEIEh3W/xBzU6mkMfc6KKYVu z+dqr1SRwuEjf4kzH2y8Pqzu9llh7JxEON3ZB4HrOoSAOT1QzYxDZ58eseBA1tvP/ITUwY6EtOZs 1UNShlgeS1NaJflEUNtyi/UP2HMlyC7Va2oN+WU4/rK9fE4JXKcIkiiPB8Lvd/UQ0HZ+RwScV2oq 673hV/tEI0tWxHnj6eDwad/oOoqC7+Jn84oi583qGOIfNU8bm6cF8LGmLk+m6Z/O5hUTB5Oo/ne4 xVUIE5Ym8CH7gyz/kMADsZf24H/o0orvCMCHArd0qrKl7uldgvCL1MFXyng82F7zgjPFhENsipvB ZiiKnROT9+S6bDkt9UyXMBE8f2rKFhuuUHdjSBFJHLB8C/Srget+bjMqPZ/orjYKy1dEow2FtAw9 H62gwjodviSArxKg8N/0QdQ3k6jSEDXH4wGA4xHex2wgeim93y0Wop8zN9a0Bs+mOiNdCRB/W/yT symTrDB6liitmBf7Mi96SjCBmuiWY4bAOSW/sgOTdWZr/KDUatUfrgR8R4AA6pK0EQ21sp2SRAyR mQa+DY9H2lHfBUR4fhWUvmx+ovAZdnyAwhXWxODNLdzYHlspBanvyRBAK4Q/y9CKKiMaPD6cZ6bT ZPo+EkGI0oxC72szLrzzI+WWFcXPLP8UWIn4wBusuith7ZlvGBzRj7KxStDY4ze9WFH8DMnCVns1 G6Lqdh9riiRHlGBx6sWKARy2w7YPt8CKiHDSqQnd0zxkQggpkQL2ci7NpZHoSsR3jRDQTdYE0wdD uIACxKThXJJ4/F+i4QyXwANuNz1dYQV60cLS+aekfKk+lm+PsKKIpgkKA2jafK1akw+evifIcaq8 OolnpWlagQlRA8cQuYeHQGPoVaMWDzvvVRCpeiFSHaUYa9vw8X+tIC4gXBL1KrfsKIJGhahBGsYA HDlLR2E3sspli0IaK+ZnR+VnS8DqM/gldv7lNShLdObw/NMSRJy7hQ6EdI/9MmjeUQRt/lVzLx90 QneQKwWhH3w8qI89evw6bTghXh/b7zE0HkNo2KZnYJgLBYm4bEycloU+TlAovqGjeUg6c7h05snA kMAvidOeTZvaZLO10+wSoBM665jzMDqV+I4IQiZblLp90AIauy9yDMq5FPH4P8XVmc6OH2q52/yE QTRth3k2/ofd1K5uoUmpsMVc2eLj/ERBNKhQKBwq1bg4EpA7yjmAqMIIiN3ZbSxwoCb9Q3fYljxI LIxO8B1RfQwHEO2KbDCE1Jl97g8EQTumDo7vHENe0X7+7JA6CG3jUqqlYFU+3yiPze3nT97OMdgh gqNLU1QAoPKIzwOmuiCZqfIhnMNkpQeuUj+rljLrTh20Vw0ioGqaP8MHtZjPL6r7+RwTeDqiYHxX V4l3mVcacscIaN5axcrzrVozFds7GcVggGgsaf4YAc2Lawnhuy7CdwMeBbdQpRi7WAF2wr22ADsG vIudPqzO71h+Qw1U7bFdPcM416KEL8/L4+lI2sajH15UyI9RgrLZiXXrsP3rtMN5J/O+OBGMWT2c s2d48XCH8A0l7oM8FQ7evJgwNenSjRow8mbzyxynKSt8mxf02MB3RLV54TaE/+m2QCFgSWAD7qKF pyNVtlv9wVxOItnHPZtD3edBeEszXu4aSfUN9E6bVccWal+an1C2Tl2vLGhhro+zw9loYJCQgnF+ wjHZgGeJHkV/CjTCCZKesE2v+qdwVBJPJZlPNcp4uujPKVbw9L/gYxTEQYiFdMamJ9TeqExQ3TlP YmeUHZvNyz+MwzQ9IXyD4MNMTTENDm9BM6eZSi5M9CQ9uqCcXfDzMezm8ik0rcV3BLEhJZH+m79z 5nQ0Z8cNPB3cXPP30YzS/PTca4exM3IL/BaiN5W1NVFnuxQTPTyrF4rJPHvi2JnLxrTr5n46F1PK GNuUkcy3YJFbBDr/vtBM9OH5FRG4jqVVUGVtUO2QtgWcncWnI1WJ79ohKXn1ejTtKHCGtwWsmZKd PZRDpwlseUxgUbvQ7ETQDVNj21w8HHx2zuYqdEVkXxAtkkpcApHZCwCKB/vTml41CAwZMZdGTVoO nB5QpSTpd448PB2VVr8T01wol+F7yzQB3w4NcSuJ4k1GPUX155w9SLNQ/alJhtVdn/NXYEjvRhss ch4LIG6omdHGqsNTkJkFMBsoQLMTb+AfSiNRM/31ZWHBfmLmRPfuRREEwVfLEJL0p7c8+QERveC7 +cVsCCGfT1KoXHd+GSg/VyI1WoGoNdEbhd4LBJBVOq7LWyaMnpW/qz64k5MjGgyJ54l+UJ+CNHaa g/8mxC4JR8G/U2+Ffmx722CWKmvQtPvSoARjoFlIkN2JP/l8kGFchIL5NAIjdb0X03sEjcdoj2tE wnMiFXeB7WV7lQwoHbkXpBAF3URslz1If+xBBv6PvKRvjLwXSTEM0XAfg+ONE8IxHISx4kuCQnTl Pb9FRIVdWZeVBUyv2ecZcyx+QIDULH6VdXV6cJ89FhgpLEZv5GEpWyN1p5GMy0xLHHmoDM/D6NIX fL/wGbKB7Y/IMbbaiZo7yYRIWejTfVIKLCA6OaubYanqtrcN7jO5mo/afBAWaOwsMntOjY9H3bD9 dWRvisGmZ45CLAfSIZp3yMdzjWHVskGJNgovobAon5AUlaQBqUaaYXoljkQ5lwCWT6eDfJ+YrpWo 4wu8f0PpAC5VBqjf1PGlbGcQ81GodtN4kIOhOU54xQMFrlF8Pkg4LmOFI+pQnQqxNklhURpnElHp mT1DNkJw7e9NBRdyjyGvV3Vwh1VpGcnZXI18a2aJbh5I+Sh2PDeNB88pgkCxUdNuXkgQL358SQS5 y9+wTYONbJhqWcns5GR4/H2O6q+cFWSrMtNzt4VV6U5h+KZoumVp/S0Qv4fUycC9SdNPpCiwNh/C nIcPvteg2zYSceNnc5z4RshWdpup8/+gyuaY9rJ/aiaxt6HBUS9zahHM3fn8v6iXisNpoPksoyi4 JrCMzVMBO7jgkNhvoRkI7GDZQudRrGzXxUodPnjl7JzTFSBgon9R1SdTfKDYC8Nrmr9YYYj1BX5J kH1sQTaXdKWWs1dOorcYa5/wq/H5qIH4ra+Ag7E8+GiaTb8/Nkg6aPfMLtXzs3W7G2PfMzvS56gi x285Y+wHnTgaXItM/S+h2liV3rPfRXuM2583Z+EUO6uQeUnqggahW6fJpZxQafLxYKtdgAdWzKD1 Ve13iqIwe1FFb1jqcf6cauXF5qCYDOqMe1+lqEA9kigaZftwp2iAWEgxw4H7szUBOFUlZAfcEEKF JXF+SSTxMmSLkXzgFK0TdqkAOxhEhu4q7TvSllDMvKdRaFAIxe6WJS8FCclVnAWeWvYSWvM0NgcO hedWkzRrIhSYw8W45pMcYztlul8NecquxvrVOeaxjo3mI3uMHFgUXhmBJf2tZfpbZ5ftLXsdyIvn 0KJwXolECZmc+YZ9s09RFGc3wKhqndVT2WFltE4FMIIUe+2mYZsDj0LZB1CZvvhgVWrYXgEnS6mO jM7hEhcIiGLcafXLHJWt+hx7FObhHDobtNHgsMKT5IRxfDxSav2m+pBkBPC7T1EYZWf0V3e3SqP9 UwPYI1XPZwdZh12fE7VaOUUl3cETWmik476iDBfEpwl0XQvJCVAhrYyH7JPsZf9sBUFSiGChzb91 A+BHuAcU8fl4VMn/hWfAVO0rpJ0Dm0LUCYH3yF4vWrQHQrlxrOw67JvAZm20MMTeEnyZ3QdbRSeR QjE/O6KhDSW/vF52Zch6i9XsRufAqPBuNBcj7a7j34fal+dmrHw86tdfvCKvNEhWrnYz2tCrcFEq sRqQCuUjk2ttvbqeLZ06bI5C0qFsQFeZPniIjch67K26CETUM9U22T0lnruNxwtC935oV2iqHdOx Z/ueRpImPWe+XvJfLVdNEqq/rGTYJEWq0agPnEnq9zgiKQHHkXDmmWn/uHstDLFFe66qsNUH1gnG gxQXUVobaHEjfBqoN8D0Aprq9zhK/rJ/Ic8KQyQNnKMCEXgeJmdb8/GoLXRL11rlhP08CynmHgLZ 0A2miM3myM6ZXFNyzZv1h6aFi3fVnDRt5vCkIZDuoG49JLbPj454qEy1skE+/FbdnPa2QXA0eFiT HK7Be69mtFB72Xw+OLRL/rrXkKaVcbWRc+BbCHFABJB1KHk900WtMlqwd+OHYwfeSQqNV4gL2lPY aNr/KMielEqg0EGHL18drAsMEjVhWNJqutbNtdrLRgtJGl1j+mBlyLaFQZv2jsFCWjdXI4IQv9ZD gs6BeeGJIBM32zSRpXN8Gklz0a6N8raoP1vSH7gX3kSEtSANXmPD2bMo14MqP/QuUGPD4kUqMIiS vCAZtmBz6F9IxUVU+LcPlvVDZV7YspX5fCSy3b7CbBy0pdxidg4dDKEO2uQ/wIyWnGtmtGQayyEL PnW2kGIciJJ+0aWGkwQRZiNnYLkPOhXn+1TsZzBNP+99ZbaHXK5DD8OiU3uoVzS8VzSBdhOSqAw+ HmQi5dfVxngf4mQ2R6GNIaLeNoiXxPUPMoFX2KZredR54+zQx3BR3Sl1SZF3lyKH3NKJ9hJADKT7 DuOzTkJA4FXDFoADGrO9bNQS4UnEexs+a9vQaOukMk38HqyjwMoQGbHnIoLWgPzZy91toZchgH0o sYmkCbxGM7Ft1sPp1ZfQjtduC80MEeqiJtLv4FcbM+ItRNq5FNrk2TXRBS6Q+uYxrurRYlMth26G WfkaWlw2OCCkCDaMniCfD7KR+txtnCVg7oBs81kKdT2AQhp0lPkP+S9JVKmNxEG6QieGmm5YHFga Yimxll0UJZUnSjonDpDPSY6hI/XC4gj97ioCpJncFqEwoOWXRDU2RtlD6q7DVG8zSKFZykt1ND4f RdsXHcsmATKX/WQkga3hudyWvIqF2KNHtc4kIH2FnMnEhetMCnwNL/hBVWIOl9wBgf9F8N75RU7s pYMb1Dcwy38YALhvaLWXDfr7PGkX2qEcklWQOg25uN+Q2IbOhrn+FmhAb+QKNOTY2bBS/naZc3oX JxGRZJkuQTn2zO4t/7c7SxKoioNHSSc/O/nHlvfRWdydtabFpQU0JXWlrYdNibMcexsKA9Ek/t9c /H+doy3JHCplPv4vCsyaFgB8IRxz6G6IEOXkDd09WrL5QJ71ZcgRtK/68nM7honIH0FHUn+OpJTP HdIYcfV+srhOC4VztyHwSiwqX/c1qSzm0N8QXDLQp3b1QTCa1uRnBr1xPv4vEeUsyxGSrZ9SZOhw 2KAUvGSIAI/V1czBrxdTRzkv0/LwSYqdWtTPrndwpPX5pHMd0SMcLL9SaELrqu7QcL+dkTXtXYPm ET2e0T72QYEktM60jtbg41GB5L8ykkTt5TtFUUUblHNcCM7kbM2cogpJCQYXGXcdxRVtBkfsSmiw 1zi5xknGEOZRLWaOxYLuSbMwDw08Up8iMLL1rsEUycAYRH4buIwYqUoPl0d2FGuX+SsdoXzWeGYo lJvGaVSLOR/B0e8iRvaDGKGNetfnRP01Kd335oOf2G3sOoHfBx3vHEvVvMTP+gEXl1ZagmOpghTa HFZujDTF+JhWModWeZNaUE6Tjwdn0SWTM7lD2tcumyEHRocQSoSTcU9eZCt9GWikDRNzr6Tr2QTF TuFc5ag32+C5CORCUGER3PGc/UtoWdBaYcDJnvbUlQYGBb/kLzx6pkCLBkHWTpi91AqqmY9Hkq8+ RY2KDfjfiNB9kkK7QxNFMaS+24rSLsGwsxlpuneOYr9DQxyJdza/HOs2ZHWIbQapATxcpvyduLET fs/6G3KdQ7/DTFGURDqvBl1pwMAxS01j8vEgFbmwR05oJ0v2Btmh4eGm7Fl3w8NCeC49kZqxyzO0 6ZPPUBRkL8lMUitCg1f86xwnzs6yHYMqnLRPgdrPZDelcWnTo9vLBspDk8f0kDLTMNj+gkWpKq0t 6x3/pVylEhtqtU8eErseFhSUa3ZpXKJXARrpY1xLY9ZVNUUxedEi7O6DV0bOhbYndWnArTq7gsIW IIpBcASXC+R4DJiuNnZofGis1y5kTb/ImnMnyKKknXXK5yN1Qa+NSAjDnODvLIWgEcCLR3OFpkkm MtU/uBik/tGbNyD/cD8UWqTdwfOQdhLCQRva84ODfk+JuEatK0TeBBJoIYEvqJcNwsfFJu3Kd/BJ AggFk1SzXjJq9n8TZOjXNJ/IKPA/RM+HTVqHH61lJL29ynJ3LVrSKQ0JDBBxJfNHacJgtweDTV3l ojkabYNiwsYsJxXkULBIb/0oL3vbqEtL9ge072wQjnYNEUsB4eHj/1JpYmyk3PoBjQQ+iGBvA5lF h13C+Bs9tgowmGCL8kQCRdPQfqERoopsaxKlxsEvtlHOVPQkpVyQE5YmCU1L4C8maQ5JQg7dXja6 2LjbNnUu0qWzwz2wymd0ozYSeCFqRXwtJDSTUOHzOQrVqDslKrOR8fNqIgmvlpq7SOXKQmTS57zP EejRNGhJPlxERAdiS/HjuYOaoG4DQllo11KU47pAZ3vZqMPGGDuRB8BBc3ROPW222nkihYjs9Aty nNwtxeYoLGgDfDSqiXfCcMUk4xblAww1Mr3G9k8/RJGG+xffqkFxjvdY73NUFCNZGEGpDYoKwBT6 XkvLXjZCaC1V+6cPdiAhU05KRPSSURD5rfiF6g2rHT5HMWqks+hvxaMFDQwW/cFE5P5DqlZNkSjH lojb2J7FB4+P0OtP9EUDqXEOQDWhq4d0BPFR/apD9mYvG9z+CrCJrNHA8+hcCxRTI4WLjwdBZPnV YZOlA+06bJLCgvaU3HICdBYSzFRIA24EqKpG49FOnX9tthA3QsAILOd9sIV0/uVykoxBab2EXhEn ibRJGLjhTLgA7bX9bYP7n9zhtamey0G7baL53nVsTz4f3P/je7fBCQyJpU9S6IxIgcbCaF7ex8az PifScnG0fPkzObBGlIozXY+TD5avrVlhFiH21YkjycPHYkMfAB0T4OfdwYT88xx4I4pBTkht8UHp CIQRVIVci4//f202FtmvhkEOzRGxSiERIi+pBlyhUtpGKJohR7Z5vOTQHVEco8zsVINP0TlIoZHM KkiFZbmw3Kxs8XBCG0kZ21CEFNgjZr/Y5q4+KNRevUpB59zQfDy41x4BMC1yyE/uZ46iWBtagD21 orQfNkfmMsX03KAjmz3/rs/5kymC7qcNTzrS0pzca2ezwbiTgSb+3vOLo16ab4GtLHvZCBcxBc5i f2TT7F03W6oKBU4sv/gBEdT/7jVG5igkPFYLOTBJPF+8qRZiFh1oGJofzmyW4KLclzxpi10SpRYL M3gbvDOSTvCwBtV0lcKx4r2od4bOGCJPq45QiTAHLommVl3onGGDKmwQ7OROGWPz8QjI1r9zNtTp ADX1GQr1qpE6yRRWWpZJfe3VyFiUmcC4Lf/YKHGw4J/EJU7jgbCf927n3M8SjD15CasjcF6A1h6r bFfPu2172T/gkGeTSZdnWmK4z1VGtuYJSmfl49Eq6r9O7DPR+WkchV6JlVi/7Fa/kmGlnkGp5cJr XM4yB2aJ6f+Uzy+aY2vwODvBfJRdzD6wMisvwHO2osx/diAxAoYcyd1eNuAdNTH1V/JBt9qJlVQd ORuu8vmgUJucVENWX+ef8my0MM7Ocho3yU8yoWWHPB0PiXLAXUYh7VGl7Cb2Y3tQtQv670TCoHBd IYPFNAn5bEXOwsPeetkiQgSeiVfWO4s24pz08xV7GyV9Lz7+rwISD7FzFZ4A9uqC5dA2kTAtyFxp q61GMC2ccSQFwcNoLlP2zoFvosIslGhb8sH7/Sddm9m5jzC4lSwhu0P8hcDH+1YGy4FzogzkiBhJ PvBa2yf5U4d1rs3HI2zNNzmU0mT5egd9XmOjjOB+wk5yuDBhpQM5TbWrg/0azkke16+RUXbZYaZ6 NtxrHxIxmSabcyyAIw3phvsWzXfss2nK8HjT17goi+SL+mzKPhhcdKgbcnYhHn6Zmwz6veamim1U pMKf+J+cnySam06vjodHY0qfYLwuhx1B80GrJ5ibTi1LyiDY4Gtnn4AlpylL9rEa/DtJu0YF+Vyb cLfC7/ig1l/nxtoguOybD6ryQ1GlKvs4YfXr3GBvFZsbZmLkt5Ouhql5jYVyppHoSWBRWwbtcQza K4CM2vmf0TeCMQim5jUSwrIZ3FYqXedbuu7AdkPdrJkTMoDH4o5MJhzbCrNyXMAt8nmNgzJaPMzs cVTZwGWDkKiZl237vMZAmNftU8Orjp0P2m1ial4DIKwa9s/mMKmZksxt86SyrmUJ1Ll5vbyGPxmn O1dNz8UHbzAO5POJZP0BxVLyZM4rJTZgAQK7FaLO9tlr9JMVvhTS2Dmgh1SZtKKly17+OTk/r8FP fsTkLOpIdNnoNjevoU82JkiZzTpnLVkIfQ45AmgRbE6Yx8uoNVg2o/IYJn9Kg+0obKLOVjTKsAnU oqQCW4VsBS6NdncUZT5fwx6cNmYDqIbHhXcjslo8mM/3rM9r1JOl5aa5yZcCypIjJ+c16MFGXJR5 sAAHRWXTIVxSi5XEjGvwvIY8WfCBFxcKwOrPv53RioMJ6wkSupl0kAl+zhz6QfKqKomlxdeAJ6Of wlucoCsNAqadPFvdrtL35zXayfmCiaoiQlRUEIFoal5jnSxTYdiWFL/HWcDfRMnKKsgMfmUgEE2N mB9bzI/9MD/OXbcB0CRVr69dpzqO1DHC3zTIdFZySqz1a5yDPcV1kwEzsMHinKaYB9JZn9coB2fV Sl8nMaeX7EZOzWuIg5O407HNhC5aZlBN7VP+MDpuSDGgdG50EjMZzatuH2zVoMU8kFBjS53THVh0 5qTIsFC0yV+BMpopn9fwJqsygZu7Fx9U2ABhm0sB1ejX4Ibv971q0IA02VxMzWsFkRsKffqUvU9f jUp1boFRvU+/u7WhX+uH2MzSLKBgggbHMZxbD1Y3qB8CQKrlguC0omncf4g5d9u/TtGvaG428VRT xqzTAW7p5KEKGAqFPaNrypQdAHeze6pwwjg7r6VDHv+MTi1XQMqubAvFbxbIcHpV+slT2iEKb7pk QZg+5GsZ0NOcHR4d6GuiRd8XzQVg7E6zJKZw9YKqEc99XuuG+AkEExrytBvX9A8JTpFOHiS/wpuq +9rB0QuiW1lMsMRxjzYWQQzNCmFty2qLeCoDNqAKenGwUWjcxYKBE6cNDvI4d3dNbLJgC3SZkp3z mP3U8+PAWfcqX2S9arB8hoqFM/tg83N2l5gH5379vLPNsLlm/wpzMtoOkowT0D2YH/Q2G9gRKvQk lnMBOD8Z0bDufMv5Yqmj+Xni4t/h8Vxrpl6WXH13yTLVPNk4sACFnu7X1IXk/8871yxfuNlUDsHY jMfyXErX63nRzzvVLD++2Tp8ZiGKu/n8vIfIYE8TJVS0lVpZptp04odd/PSpfTgIJpgfynsUlLd8 8EtrwJQqCVd27lH0QQlZRP8Yfn/wMTe64tL0REFyJ7yjQjPeBqtg9CZQywlF9uedZ8bUzENB7kUA B1PxUPCdZobTefI63FaVX6rFg2ZGeLvqF9Vtxd9ZZnh3XVmNjhMcbqC8uAApnAJN/0whhwXbTRZY sLXdlCwLuBDlV5KKYxipQd2d3KWxek45tC2i82esr0i5kRJ87653hlmWIXijALuOH1ZAGQ1KO47R INaY1VGj6SGas5JXrsHDQRgjTWqV9HM2ryxROapDFWpCUNNcu2uqchEeP5LyVP2iumXAmfskS96F ZnwULLskfr29L6yf7iHhO7uMgQ8yCNQ0GRO2aSno+YFZ7wEBa4Ekruz8PV7OYkwXYXw12AQhC8UR yipqA/+FmrBWukg0PbtVVBWao4A5MY2AnrkPRB1sqHBw/aRWP+/UsgzHgW0TVC0HOdnHvOdPGDMz MKQkENFTJZkofl03D6VZqs7nKGhWt6LQEluD319lbBTypxjlcBeStwnq4Cz0YemZKyKjn3daGf5C deEbq4McDKmAyhfxZTPvzzurjJFM+5VSZAdMc36iwBnb8hxrbVrlS0R7KjcM95w4WYJDp6LI2WTf lxwz177n8wRLCugvCsnMJgmis8KAUUSRgDaSbim19Krv8zN4b1UpElVXJNonAN0yBT937uedUcbT 61fGhc+C34ZNz3vwDM9sHs/9lk6rWdaO3YanXInt986PCbYX0gOICHYfLgoYLpGDvMS+UdffcrUl fII697eP05lYvJPJsvcosnxvsrsyoXDXLSCGgkwUPa/ttxe3Ek0j97O9ovAZitltSpMbCxTlJFbA 6jIf7VzaouV458dE4U/SvZ590PycPwOHM6T04GkHnot45JK2SFBrGlc+RrTNKHzW/JRJlHRxSt/C kt+GA1qfdx4Zdlf33cV2jWrd24/ndxoZk69NGJC3cCgwwuNnmxA8jp9qZbB3Fll2FHk2RYL0oMjP 5yHjIh3xnMYQyhjaXiS3UDfoYbUUver7/bVZ+jqJ7PRBYLKTpIhDfrbL551CliWq/0Q/jEOIZtP0 RNEzTuMGV0edPvVGhzMtV7PoEFTn6fPOH+MqZNdmqocz14OQLnTCJiThHB8nD9gSXF6y1vzptT0k u6JXDYJn8RCAAbFBp/NKbSp6PpP+eWeP8fr6Pp2plZXHnZ+owIyLCdlpcqIGM/b1s7cayku6g6Zh 9c4cY5JSJcd4By8VJqgo4PNZ1ziblrJpkNr7MZspVOO9sDH1rn+lX+fZ5YNqzOfYUniYa/u888as sfEV/xRmpsvPn3faGPeX4FF+Ptfqnr6pdz+fhdfs/JhggpJK8Eq/5izP/lpw5GbWjEB6rklKtExy ADOCAKWBWjq7yO+sMebvomn89p3YtZU51DrPfPpf+TsNNdHspZOT5ieKnwFNO59frydQM/A45Cbs /EFaYxssqjWD1ce48A6+gM6ldWJOCerM0plXoNaMYBjH9mLxRzVDEjTfCWPZLZOSCeOP7UBNNHKF /NqtfN4JY7hil8+Pom3cyWneAzosNw9UpqnaJBbL7IaKqi75jmXgExTVm4daf9w+Gm69+STpvbOo Oic0AhX/qLZ6voIw6pkfT4V3thhjdB49hZ1RDl6Nh5ARb7C5Pu9sMa7x9B0A0X+yenz4ThXDsqOy 51VdntVB47tm4xye32X7/LzHz35CI3L2wdvrFcqUIBMCWXdud3qQwa8a0zLgDZef+s/Sq74fQIuO doXEDg2ev59v4QGy0v68M8XyI7usDTZJgC2eoL7zxDg/SEtJIKZnSTbtdwS+FzDevfD8ThPDb0ua eKVKlwYLgEBPnmdZkpRF79GmFJ8eiQwLHgGUvvSqwfxIN49VcA3Ws4Kxhem+7M87TYyXSP9aP3S1 kicH5yeuPm8ixBX2nBRni8275hzuCzQUAOEGew+gURpGfnoi9uqDzw9lGKo8XfKmVKkYm/zp4Is6 Hxj00KsGAeJUfYNsOg4KEFfNsuyDWtU7SQxPr+/tRcSJlE84PVH8jHZKI1FS5bGW7Pg5X+atwLpv APQeP9/6xv8snzTrrupqkv0E360s7WGcjCfJ4PFj5zPCtM87QywL1FhoiOIDp6ecu5Cna0t5fd4J YoguR/2Of1CIaBd68M4OQ9QE9PPozubtdauLDA3XYtWxzgORXeQwfObuOqn58sHTr5NJgKhCyCqs D1Li6gF+JU+5+t7wh8CMd3JYljwQDoxyB07P+TlkUIma7eedG5ZFW9f0sPoDEj2BtJqeEJpBcLiL dqA1aHa1q4162Spe3HhnhuHLEb6Us/iWD7a5NnUSkjzJwHbeKqBBVZoi/iiGdqOqFL1pMDtL1Dnh eavjebHopCpwcrvPOy0Mf2fy5JTXFLl8tzT2zgrD4qErWNmWe41pzuu7jupqgi25Gcc7KYyoHq4a dXg42OIZ0H8pLcv7YkFL0OJrLO4l1pEtHgRQfNUg92ITGfrTPmhvnfhf+MLz937eCWHWg7DO14+o Beyw2PREoXMjHryV/8WDA7yqoyfPYeJd72ww7i2UNmphO5mDLR5A56D2KvQK+mAi+kKwurC+MW9o SC2azzsZzLr5aHk1BkAkDFdd7WeyCdKYKZfPOxmMxY3v5EvImeTgnncuWDa5hUaaJ+UWZOHSyM/3 CQKzQaX5dyoYYRCcIG0yDl7bAHpzdq3mCT+jxSAJgQMqtuMp/BS955+F5ymUxrTiwD47f4vgdH6i zzsJLEt75Ql7CDYqt93+TgGzy4CqrI7SGOaUtMZymYUMVWotnqjsnOSmMMX9ng/3u4Inl4mn6QMj rmCyn2Fn0PcPVqt3vaj3/k4Aw91IdW5EzD7oWj//rqqio9fPO/0Li+AePdeKNd206539xXYMpme0 ammpYsF9WTtMSzNN2zo/Jir78OghI1CDd3V2g796ER8FvpzKKohNRWckE+xrzKa+9a5RXcx4BMMH dQXhiMKo8JzXn3fqlwXdX1sLl+Ds916PomZs+3N2Li+LoapncI0yHa4xHdn8Tvx6yoYy2jJtCBx+ aNmBY6mu18TlRQGqAY2lQntMhomWto+kd40AYhLp4PbKxEF2Hj4ADTFvgnrj5534xbDyVjbY70AC DKqlTVEUOCOHBGzDvdpm1u214LxriWnr7ub7zvti0siSc2djsPTbGIQp24mmJlnfYDYlqQYuXlpA jI/2qAUzMnynfT2VnyG4D9JUQpEzCgWJxaYxM58P9tj+PoKAD0TK5BMUhc5IDgGt1bSgFHE1Ay2H h4ZpNcWgd84XXp563IWWExru9d5QLuxFmWleYuoiG4DHSLHShpYQCjCfd8YXIxHOjAxr8zWs3f0s WVkgrf1553vxDb+3GMVi2l0/73QvfKe0ubhq0ENlrk/YbtnSxMuS5CUn7p3t9QA31jN44r4BgU8E Jgzc9JO2mxPxUMUlhG1m66cT8/1O9iL2lvQcyC9wcDPNDN1ACnLnOj/vZC9WZutXdMha+Bp3fmLg BvKtTNzTgvI9Ix1kXoz5qRSIygHog/yYYPnwbM7jGXz5nMxoy5cajiVpMbVAZZ73elXp2RqDyDU/ 70yvG/4keo5qUOJ+7sDsBpnj88704g3v4SHRZnXooPcJCsNnibum9qt0CFGFPJ19UkaxysY7z4vn GBFjY97BeV5ollI4n8Dvcx+b1x/uYuTi+1uRs+tVgyNaLdOpytj09DfR9EGg5bE+7yQvVsa+zx8E dyj0+vy8x89QFlrgmzRPLwiJZOm5O4bs3NjJzp93htdt7dCi3QY7oKEuMc9vJ2QUevxsWixQNFFo /WE5383shl41uOLZWS6ZcpMcDPhTttbPOtHzO8HLAoTv6Bm6t8uP53d+F6tNj7ALCmOtW2FsdSM0 Qf2mmbDLO70ru+ptTey/c3BgwjkT1qa7OW53TJg5cxV0L7F2uyendE3/vLO7kJzKL7tLGbAbNxqV ASrSnr/0BNDv5C6W9b+TC/xehXw+TU9Ud8ad2RqZqWicnpxELdSdULRi4zTTf4V1sXdqFxHaLKhK zZ3DrRviTt+VAPl2zrQu6BTYFQinfx7YD0UKPu/ErssdQGTog2gVa+6qvbXH553WxSTKq4Zq6yBr v3Wfd1ZXlnlQk2aRctNq6luVartMvRIVqrl4ovgZFa1Kgx4fvK2D/us5o6W9jVhRfm7SJ8M2wWWm vaW7/Z3TxcYn04vGWSpOh14nFJcUYNmFT0egjbu3sLI3jQsuauOd0UWANZQARzVpqRP9a5ttQVNY lc97Gwn3ndD1bC660Grwy+tMFiIdCidAXlKdMfrQS9ph3qOHIPl3OhfzJ9XDVveB03Mu+SlM3Jn/ zzub6xcqinE26p8P5rBFoTNUC+HW1gxTt8wtYU/NGHsWVyexxZBnnjmFG4fDRYOfvLWVocrGWpnd QJR7EXUQxp89OYVqPb8juNpF5Gq5+6CjJ82uzQXZrRYFzl+YXkYBWM63pdPCwLnJos1aFpOsKkrb bmu/50KRe22uqOZMVZ9CRIMNfnGlCWlc82o7S2dJe4ItXihyEkRudTF/1eDiItYHpGQftLnKmEUm PolPBz33vL+mB9yawsKu+Cch4hn3esnmowV0o589ctRkXWxVK8n398BZUuhn2Wz23Dn49IC6TbI8 aBYTevBSUqCYD9pT6QmcWRfrMRtQcc+6g0obCbQ6Hre94ukI0PuLaIGDulW/13sUNyM9a62bIe35 eZfL2QvkSxHS4UdPjyAbQ7UuWfly0PSwwnp25zDnsUK3LmDKyME/IRBRHqYiQUBvj4rOMHwCFEGa dt3q2jDTNcBqPWlFj8LmVMvXzXX2+M++FfkeBs3nYCYngkvmhBJZsj85QevxZqXLKhs9KjrLSAvS WT5Y1Axkcx5NDtmpN3i0ELFR5g91baSaYlxbIn56FDaDvEZzYzG3WVFj3DzO/qLi6Um/Cp4PMD/z F6YFK6GO5sdzD6mBLI4Vdi3OWhprKkfdizcfMWOLshbcYFHgDFVGdrKmD14cS2ctr0wV2wUPUpZR M2J1APRZwbtOvpJo7VHkLCOEc+VNH5S5t2XKkScDwtPB+Zz8+uJhg+Uz7+XeI3ogmPZAbEvDphEW iVlZVqwn5hbtF3qy9TByXiIzteGDH0BnC8/CgLPPk+Eu3GSAJEwKPZ1lO/PVjmDtsEel5zVMnFXT c87HocRr0u/pXNnnnMfjwfzc4iFPczbBH85Fj2NnWvlO6woW3e/wiJxmHYXKOoCAiJ17zBHkrV3Y 8uPgmemAHitBDWjs9L1FaUJSCqH2n0lDtq7ORdarBvc7SYKsUNpgPfeEAJrp1OQr/nOHVVG+qPB7 t1hUf8ZMkgiq+vPMxkrZk8Vr1Z93NWJyj+vPXEJ53MFRLQsNu6riIf0nGkURAHREt/8HZXNHhVMo ukflZxP2lStLdleWfPbpbmamMSYeD05pM4owWgEN67rn7v09fkY3GkxKYvlopZGHtOz2gLxN5REE D6Pzj/h5ovh5b6ZdaTYfvDhWRm3ogTFCHCcEYvtiUxqCNaF5des3y889Kj8bn6DJQ6uZh1YmJn9y fs7Bi8eD5L2Nrwj65Go/5cm/egR7Rkn8ZL9ZjpktS+gYWheDgr4U1ESbUEd0hNqw2obSMApBIBbB EbTgHi436IktJuvMJVIq4iH8g3W/kGvgO4IYiIIjLNzaYKyvE0eottXbxuP/xB02HV0or97mYA+D 6AqOeTefmkYwJ3lfrQyXrymDHpqYoaj6vCjswwKFDc8Oq4twc+Ba0BdZBnyuALqfHVYucKNTt7ZH wI2+xbugI3RNjyP0Nhn1kRqejpjKvoCs63E+DMJeRlWOomjQg9rY23hfFN5nhlrlgEjkRvUkY0Tl 50nJkZNXDx+8vgHofC0k7kDGZK6tHiJ+Sfqkkqys8irtM0YURechmjLbqNmtatA9QgVF5bGMx4Mw evgZTd4g0tN577ARhdFUY1sqOlOxPncPguRMR5p7M+jGCMvPs70mYeBEoXtPMW8Q5GqTCBA7dGfm fkAcuuAEe9WINqj4WfPTh8dA0HfWzz83no6qz9/YDXjjknNu0xMCn6HDCrybvI7mpKIfdd+X4iJ4 ZgCsTap7VHymGHOBiLgGvO4gLuqsnNXoadhh/ZyA5cXxAUg/tDvrbQ/CnGzgKwJUZqNwNnxmODjA u8EqmPZ057/weFQAus0d7kXMVukeI44QvDElqmHV1by7Ga625LSdzIxH2yuKoVeyEocGZkicn0V3 Gh3QsDgEsxsrbFOcEeX2r/t9Yn5i7IZg4YS2cLD2ezVS7olx8HR0+tzp4W+HNdjGFUqIQmgkRa1u 5xW0lGQkemLdbv7Y8Ek36M+IQmipSJRC2DMHTzEGIr/eJFB71hGaAYA9Q/6aiKDUL+10+rtGxAIW EHfTgPMDB8n5h0pA+c/IJePxaAFdgIJcaQAMz56ojiiGHlu4hGLMgmQeUHuKAUbrnjSs/jyiGHqZ OebaPtz24GJuyirHhBft5g2/eIrQgbdd3imKpviOYIcpB0MN2AbeX+dIoM43aO8TT0fnz/hOUrEV gDOx6Qlhz+QVZJOBgheKCJbn5hsyggLrfrrURgR7Ljp45Plc8+NGA2VDUOGImz9n8nBtLcCl2JRH wdX9MZdeNWp+kRZX2B2s7p9wQs1J6RRYEAw8HcXP69f5Q95ZvfMTFaBR54CglRIvmGKLbLpqcdn+ TA8fzU8UQM9h4jVin5JzlDk/DT5WQvrNEzmyOUx9nwze3v4BOMRh4Tgz8R1B+MPqmNacBtUQwXIR KnxtPB01v75hvdBLy/Sd1PRE8TPYn61e95Cq/Aua/cXwdrBYSIZbHXH8zEVBAzgNFzUPC8ip3iA2 Km0wIbhG2h4tqi4snIZ7+JIggBZsIwujgCYsD41z6AzQW3nq7oXngyS+/Kqyqv914584ftbKvMym Jj7ThizJZTZtYzaNuAhtiljFByem1FlOhMANRonjRbvDQa4mReLosq4i/d5N7xrVyVh+pgeRBktR gTRiTnM2Mx6PqhzfE8TTbBePgGZYhhaErEpirS0EO0i8zgFtLmvZskJcYTMKoE2Tbtv1/TQxBvIy k1kdCMq3XB9waMAPBWXMiyBjgjFD/IbJYrIFxoEnEEwliNMEwwNPB9PT+vcVL5RD8yt+RgE0BBYU AQm/gZVA/AZEMs6kQHiDXsisks2QOsgTumTVofOtQye6F8xO7PPsBeJFFCaBuUoF1KmXL+Jy0av+ JdpXmigl7SLsToLHCxNdQzz9/3MCMdxc/S6fKIAGrrk1SnbpBFrVAkRh6HUCDdMlmTFzkEfPFnR3 5wtvmSlhNSUWERPKrHIvhtR80e05L/GUugAziqCXml+13kEVDkifShazTz4ezY/fYPKDwnugUGsT FEXQIA83yZiR2LSHC9vk6W4YE6K0mqC4Ck1sZhrJB0/gz1kKmV5ZF6NlKClfQido3JmfIjTiGHzH XwjNUmfxQefPyY8KmTAnPi94PJJ99EYG52LSOtkzjBmF0JDlhyZYt07GMEWt8zu7SSg66kkH9IxV 6kgZ/B9m9zm6+rmuRpXbFMgnFJZY1AQEFhHQIqdeAOON74iEJcgqEFAzSQeVKRhI+qQjow82owi6 NV9A2IxQGS1kxGp+wiL0pJdj11l8UoAivjtr69bFSIBn6HyOABz0wIBiS/bBLrABiY02ZDIPsO/S FQ+piZMswJP0Cm/QkhTfEZzPFBsr4p+WK06VoKhIOEc71wAej0pk3/dXr0wwPEKcEYQD/NbGyM4N 1NRlBsD0ys0j69D+ikrQLAyWohCo5AeicFIJMCvlMXsiib6TlBP4n5zUjqKRtn6oyD+jCDrRo7AI ZsfB4JktbQmTjIanI/ihn8882EEglfii5ickDqLN3N0nvYF9pC48eDd2/ljrB+snlKwTSIGyfBp8 f524FyyHohI0PIws1kZKhi78uqZOtL6YUQW6yM4BYH8beH2VE0ux7F7X5tPB9DzEd1agE+Fjros0 IwwHUouGPpumZ+kgAnwspWrknQ2Wi5ZPFEGPLErFbj749oIDeGG5Buzckwk3kncA8ygJaBXUx6wJ Rt7gjAJoNh1glr59UIVjGBHvrNqGpyOIyy/+BW+HC6CfIW9QB0A3w/RJmz6dzssN0zsrC4x+/tbd oAueDQ+Gg1pCKq+i36wMv4BFAQwYLknnDSr6CWXraAlWhA7nwOkBPo2RND4VT0fR4TcxjvyLfXUT VhQ8w8KmSWoa0wNbdOMXNEOSEcNh2MwVYTiG5OoGWcscnJ4yoGremTXDKSWJnrKlDoFgfFzwvASv V4jhkOnOlrzE7s7eKbSJoGN1xdMRb/AbmwlyAi3tbXri4jNYp91YcA0WOTqb+67eQIXcpc6eFWI4 pCQ69x18c2HG96SR/Fk9GbkqHdV4t2PTzlv8oeQHviOqbiRlp8UH5RbwmmK4d+IFPB1srqtKwsoC wKJ0KtT0hLLODaHPbMreUe2zkg/IkJIIP8kpg3DOTwTi6GoPCkJfuoxsuXzOcY/sS4bNJ6AzK156 QKJT0kk7vaTu9llh+Vmcgr2WD8LXJdBeOD+QzIxj529RCTIHiRrU/EShc6cmAOIzeTbCUVHFMR4F 0pOn2CB3V4h8FqOScbAGv9onfSxkS3DOezCw6ZJCDxmEVE/tkGfIZ8XVZzl/9jsYOjO5KEnenxXi N9p35ANb9LwvsXJFkTN4IU0oVzZPB0BVcLYQIpSU97w5PZ0fE/EGGRlWHbz1q7Sazm1ITWNOz+pd kgnsMYM40x4E0KZmy4rwG31K/Ho2HxyeIOF7lCAzHv9X84JzgfyfupmanyhypseeUjv2Lto2a7S5 L/mrQo+KmcWKSs+dveLEv1qDzQ/9GUeTWO+J5sw0vgOX0BpWPHrGWj8nQhp61wjgwpx91OSDclMm p1xAow88HuA3rigJK80E6o1nBYXw5zqI0OyGnd/bEJowMPXgRwkHzp8wdlbyPvodPHmHhxXUADBD C4k8i/MTZIkKs0LIelntZ7N5ukLRja7aj6Bk9NjkBNVWBpXsz/HZ8Hhwfz2iojyN8T39Fn9WFDwX dk8ZyhLh292TACWb808kN2HtawmF+GfxTvu4g/d3WLymP1sn1JdkgnPF8SBCdQOYXCuOsTy/ougZ x1gBmKT6oPtdPCNAMjLfMDigL7nAMZo13ebOirmDC8jMJLGEBucV22GkdxKcMFg95voJy89qLif5 E1xrHXg+gWa8N+mnoE7RGPh8JLpBFK1ky4z900LOBr4kwM8LvLpVBPp/jH1Zkiu7seQ/11Imwzz0 /hfWcPcIJOspo47a7AlX6ptkFoghBh+23wKwpV9Z0gCr4vGg+z49ACL+BzWkR/VwhdXnhWkZhguH vYslp5Xm0MK37GqyoitWfSY0NTHJSCk/1WdEP6uwfQoJ8gmBaSihg/cKiB2kmzwAGkOvGtFPZUww hw+an5mlWoSWDR+PFpCfQM3ZO7VeesqOAuiBBmons5rwDVwxrI7BI9CyUzQNNUE7qj5LFqlQzFmD 32ELeLJEg/h5lhZLPGigIkJkVQnwjW9b5h0F0I3E7lTYgE/FIRAAIVcpb6TBxyOCiqfvJuKH/7vl n/0eQkstr20iJwSiN38LgO5cmDZfcYkdS29IzUdVslZvApbgCdQ6CfCrwdKibzG8cZijebt9guhi zVcNyj8A/kA6vflgKwi6VLyCzq2IxyNlPy8fSiUTzclHGXKHSOhOF/A0LUhMlJdYEKSs1mBGp9wn KIyhl8FXkw9+RCNFSkX9i3HC1kHJw81oC3XbljxILLRpxXdE9TEEz02mOu2a6qSOC4230gmCdswe /A4S82DUeqcnZA/iBDpJQbL6vHS1UB5b2U+gTMMeTk8M4GBRh97wGmx6CiKoCbUJ9N9T6qULoYAm BNILsPAue7DoVf8Uhlz5DtZgBqUKf15PG09HJIyL4CCjhTHjvkf0DlHQE7cs6eM0SRnm47AWKTVS 36BwKnX5QxS03e2z++BH9E4rUyeH8iQnbpb5d6a8fkc2cw8glud3rL+hzqmAQKl5jjFX189/Ung8 /S/9DenSgtzZPIbeoWwd8D+7DRNvQRqt83mXatVVeAeZ/saO4RtdtK7lg8PHIItUKFoJ+AaKJVl3 HKiSrP7e7sWgPMkOZZ/NryDdQburnQ1LdN15UTwd3F/lu/s1+aLDA6AdwTeSMtRp5THYI+t0nkQj szy2KZjM6QlV61Sc30LX7QddBxOsE/gvBkBjzQrvbTRPAfxDhxDdEtw+tINtetW/6JW5aZM1l80c uxOSg2Os4Ol/wsdYPQS54VK7dyi/AdOCrKqPoPTDKE5kOJg5dTLR5x2jN6rIO9kHzy/GCXmQYnNv negTCQxWDypu+Pl0+EyezVSF3BF6Y2SFP3X5oNr8SYUU0KQ68HSQXjyOZ0T3AmOUL8dpR/EzfulG KWZxnOhiScuUMZ1+ytaJzp44fqbqs7wdOPjh3M7RTBgLPZdTJQOjJHaOkErti81k6oeviOxkCkG9 +w5emzfo6kl18fS/+Cn4d3nH1qurtUPNZ2iK7mmmweefhnoYe8tOEA6wMMS02YmgG5PEnTLmHe7J PE7Ml1Qd6wCLk1ywB+WwFyAUD/anNb1qEBtSdqwKOlYvdAwG2CrfjJN87aj23H9J+rE4V25j2bGv L18KcsGmNiKhvegmoP6z5+aWArTlrB8T/8kplN7o8uqmbScHm6AzBwOajZnZBcTt6ZDbEHk2aInS LcPAPxRHwpdEzXfamqfNLJVsChMohoMeri/Ib/MDIoTmLwZzZ9z++KakULsu04xoCatxYomRTX9D 1K8lBMBS/xSfE80S+zb0ptLgKQa15ZLlYBPGSp3m7yzPIYClFL0V6ce2t/1TXL2JrNJS9SQDRCHW dUdrfD7IMpoH0exHg5GYL8o3p9A9BSWrTCMq9jHK9ESenhI8qBs7CHQvSCEOWqKhRSouZT9deOTx C+J9mKQERyDZoeFoB38FOukO47gvG6lMCN9SBFM4E6tDN59/maZTIHgtfkAQCj2hIpN58lQuVpN+ KO/PAcqx63YxMpZ2KfRXlqF9y3qczVOkAj0EJmP5tFzrUS2lAYz1FqPw5GXsZ3TayxF+yLza09Vt bxvcaBKSkrceB6GB1mxq950Qko9HaLLvgsem3Ht65igKp5GloKQoaMI5lIq4PSfQGALZo2I23Sck hU4qxtARfTete6fBrfnkr12sS6ogkpuEc7BBmwNtO4PUs63LLwmaGpPFMpwlNihj7Wxl/1DVPvP5 IOe4gojq/6Aa1cuFbeYUlqbB6mm0QVffcKnCuBNba9xvi+7IOrrD2jT7qlBi9eGupAZ2rSpnKbWE MjtQHcgP0H+lnaOhgnj140si2N01CvnlF5IKTZBI5tx8/n2W6B/3QFtxic70XG9hcXpUIvoMGp2v om9zcx50j8m+TPqc4P7vCq7lPLPTrd8jc6qQvPmRpC9B0fSrYkLfjRwm4cgx7WWD+EjndlKQnVx9 KKFVptTzrFM+HxEwL7qeQBn8GHAu90kKBToQQXZKhxDdMapaiDuxXCx0x3JD4ZzCCvU2hbvlg03S RE3oZAhk0IE5Ruse1MrOBy2exK6bXQrNGvElEcmZ3MKrvO4UlnN6JgncnYf4fBACXOcr1WsrAGbP ZgshHhNVLIbxPLazLSm01m+XA/KeNkeRTkcVSX6m5oOD7Ms4uTdWFhSmUM3M8opnNwenfH4k7mQt nEJxaJnLqUaUbo0IPQKJ758wgI8He+1XnkbbSIjo+hTFUh3wNF/Z3HmmAmzqH1e7/8+eTdd9LypU DxMNH90HnyLowlWTXwcledF+bw1phXf6BAomVAhi55dEdSKJmFio1C/MdUjF7UR4k49Hqewlaqhq gmNtOZYhhw6FIE22kh1rT31Zszgw1XpEIMmYhjmwKETJm4c1Vf003GV0gmoICbOw18Bl7EKb47Ds 64csBnF95JGRA49CrFVCgbOEfrOzoU5iWZx/oXeMEjafI0JDcOy18UxRFGs3xtorDctna/F8tjOg hNjUgE2rTOYCl0IEEdQ0kYp/vSr+nR4/56IpBHyciwDqicIz4rACObnW3x37HLsU0mYubcqwc+Ay 6ui48iiZo/LxINL+pZYIQFz5Skdin8IMNcm0ldR2ChtyfwHzYUaFlbhLm6NQLprFIujr++BJ/5mh czZmSbEvdDmYuRDCA/Ai6O6X8pPsbaOmkEBDBv5g/yXRM5AWkJQg4eNRSd+7imKwAF2Srlh9DswK oemGtmuzashJn7aZFc5Wqlcdy73VQrfCIV3brerjfmBnE8ERBclwYgP+yjgzsSW8yYi4fdfBxnQO 7Aqx1chXXWqcLWucoVWclrF6Kx+PWvcXVl7ciLjmayiSQ8tC+Ii2Qkgum6/ydgTyfhsYFvAGrz3m wLMQvBpZptbpg3c+TsInFWzUZs9PDVUIKEumZhcv2UAGH9LdH7oWLkE/RMvjoAPpbCO5hu2ilwza r7dEQjELFIHzE0MGroWuL0DYOw8kUit5IJV9C2yqoHR9zl8CZiWxul/SvPcaO/ZtCr941lVj5pFX Q8yMGBJ0Gj+QKAGTQ9/CzHutyu+bA6cIBDUJtOVe+HjUH7rwe4LQkEWCZ+JzFFIQ4RIPsR+rYbes Vhq1W7yG3XN2Z9kYQY2/fFP/T4Oj9M5EzCX98TUzmvqDZSQ9QKLklwLntLf9i6OQjUyWuhdI1vlK gvZqL5vPR8f2L51AINbHLdTmwL4Q3IZKANoST3ytISP0TQMZ8sSRHnefpMh/xdqMlWpdHGySzlLa KMXz8p/nPqJkOZSNmbAhKOvXvrn6y/6JZSx7+GApPAHHpJHp8Yiq6XuNSAzw0Cqw4j5HYZw9KFVR TG+pyoNuY5OzfcY6VmoGlsmBieGVAm46kFq9BdsBO+vzHzLpG7DLpd8FAX4d9QqWRwwuw15sDm0M qb5YmRvZYLl/I6of9//KfD5KRr4RMwWAkPK120JA9aaPsyE9z3XWhGk8+yNbxwhGPtUXUgwJYU+k tuyDV9rOJTk2uJi82qrMaM/lkI3vAqMK55PJ6Dq0MixiKpj0Cc2nGWm3xBQce23w8SAZKd+KFQ3A 93St1nLoZYjph9OsldnGWIZKqyq4CRPSrWWdQzPDxZYsTk0fbk4LO2dkHSiOnGwB+ToupSp3G6oj XGBjtpf9qzECEW8NltNivslm/OkFyyjwM0ROXL/PI4Bw+uOWHhoaIvtsaxI5xL5sn6a6zfhKtPrm rKkcOhqqnJ1Xmz74xTbrprIr5+i8v5Bpm1T9RvTMVRYiWoJfEp1HTPKg22aDAUM6/SMThfn5fMT8 Xd9zBP5su5aYOTY1XIm+LFulyJ6rlSIb41KtoyvflQNXQ29eJzFcOdzLf040W1hAGlA7I2xvMJQC ZmsmN0eAa4C9bCS/RFZHGsUHfgnOP+G9ah2Nz0ehdvrO2JAV7KsulANfQxTZOm3lTV6IV5iOo2EW kND36EZgyIGxoRqgWEDyBV+PLzgsefNaKml3iOBSpHNUNK9QCeLd78ah/rJRhx+Q7A2sDwfDgKYz +XtrryGrDa0Nv0RwyYEBXTZfrcUcmhuyO9LpyEMVXF1xjTQGjyIhPeHe8iHSWinbYD7GwTuRIAQl SmhBsGFCc5rpCKoJEG2nvrT1sSlzlmN7Q0nADcVJw5rlC+5NkijPKfPxqOz/HWiTit7KrbGF9oY9 0Z3FsDMNTtXGcpUbEjO2vb3GFvobmk4nN40GP7MhRTA6DYwH7Coa9SgBr/khDY6GveKZSWsxhwaH EhoqiNxsMC5Dkpsxypx8PGJSfdezC0Dv9SlDhg6HaBZ4nD2Z+avHtjvfF2DZM2vJLeYDi0PkNFo+ dfvgWJpzVgyK0cDJ5/wYuUmyUqbqEHK/jZE17V3/FJuGeaQNFkE208o7lyUfD4Lsa2az9GrnUhvP gR0Vs6HAijq/V9hAfTW4SHIueS4OR8+ByeHtith2S1+KwefaP9MhMa+Og5UV/w08W0GnE9GUZoi/ E78jmKHKRHaX5IOzzXoywc7GxyM4sW8zLrgmdvKdoVBxuqJb1KdpBuNOsHxWLCLmswD72UkUurVI KEYudBwccARITW30eR5EkGTCJvCvAJ83y0VjqXQUuhxiL5JoVnwQWq0uCRMgf+fj0Tk0vyYIZb2C +p5PUBReI/pBQu+YEQGygBmRGwAxIwD72QTFhEWSfHk5afA8rfSNs1uQmn5uLjWy6QnBkx0Qs6n7 DDBbfkmwhjZ3WVvNByHWxqBF4k+DKHcOrQ7H/ubkoT5b+sXs59DrEOAf2CQYYHZnP4cW/aWliMLE g7ssNjsU66xJmKDNi9pHvgepD/UeT7QtecbEhg3yv1l/Q65zaHaokkiuMmSrJsu31iIQjpXpycej 4Lp8B0U4h/KVdc+h3SEkJpqc2+lIIrtDqOrwJGTnET1bn6EouF6yBRDxrH4Rz05qPOaiXgJEBc+O EgOCKixgGoD+56Tp0e1lI2oVV48Ka+UW1sb5Q+WZ0bLeMcBC7G/ZKnakwY7yKYo9DzFFfbjwEAns lMbN4woPNYel59D0EAVoXFG80zg49uj8CMBPqWEEhDuRjx11xkbZDYL9DZiuDnZoeziTnUV3MFQN ULT0FD3nNp+PsLMXeq0SE/EbF1YTWh+CuNsGw1q21XhNSPujme18IRnKZimMrlkdTqTlaLjo6xMr jkSqPfpfUOrBLNECEREpLO9tIdGChV/yJ0E4szvLwaFHrRCg1WrWS0Zt/otik9Uhatj7a5bC8Hox 0DRPAPxTsQOJIbIpgAwzAMqBASKCFslXKZe98hxw7Dp/TmeCBxpRL2hEVLgpwIIMPUk631ndKC97 26gASSAbTmgbeGjPem4A1kTOq/Lxf1I9cYCJMvjkIIERIrpVpFIjcOaG60z9C+RZWVzDmbSosM6L LXRCVAEyya6Ng08S5fxLToIa75P7NyZq2HfwqmHfW0Xalbq9bHSxSSRXimjFEYWoGi1mPXWjKBKY IX6XsuVssilmf+coVKPOk1JNRmfMspmYEIFcF24M6rAtpAgxQtIdUBDFB09CAOcsdPk80dqEWYDo ekRQAsODIq1V+1VbC+0QMwNIGVtp0Byd33NXQ9UMPh9kIRd7RNgSYQvtCjrkwBLR3EbHck7+WUdJ lxuWsCNGLiUt/+GJqN02pw9epZ1pgYEowsOJCzJJn+dwGvBuLrRX8c2Wlr1ssNmo1p0k/87Bz6RV u9yOl14y2G3zGzGC1KGkr832J2JkUd8blaPV+q32s+5vvFhTZcyxKeJST23ewc7tc+Gf/96o6zkb qmCVqlZmTYZk/0JGka7oZSPdQWYirK5pUB+7tq5craCPHZoiPoUjeSkOMiVuITu0RYR2dUMNHsBZ Vmkzj6FzW2CFUfq9owJZtdniSFuSBKwcmc+c6NUTrJlsysLn75HyVwMqm1hUuNreQJLUohw4I3qo nSqd29I1Lke+w7Ycju3J54MIYHyHSbD8KQ8/NofmiPhtznws9z7eZkm2msjoxIzk28gO3BGR99E5 qeTkg2W0A7brZ4Eqkkyo2plBIG+1Ao3x62JCCnoO7BExRwKLzDso6Ud3RKzgtfj4/5Kx0XGMQA2b otDnBRzDSk40OmttmFLR6qKqETPS3f04hwaJUoitatHWp0WbUe2taQjml+CzrIY3D1ASR9FKUcY2 FCMFDokSeS1EdvmgYLvQYQ3z1gofD+61K0FIwDKmqD6F7NAhEVWpnrq5cJFzZ0ntWJcIUcqdotjs RfyikX3wMPJkzJOe9NJo5OoENBkhHf8Dj1hxrSx72T99FmTERYlpJhE5nT9ePtFnwy1+QKQV8guf xey4PYd24JOIzhpbtN3I6KtvN6mnYYLV15a3jWKjRGrFFqRCNjjyeJ3cvooq2yF53ohPSgAVwG78 h0a2Ko5QiTAHRolS86PL4PJBW23WKinukxTw8aiQ3X7lI5hy0uxtikK5atJVp6f+JVE7pKD5OCV7 hfpaN7HGHJslWkeEW47DBWfXEz+vLbToOH8YSSVU9UYlDvn/1fNu2172LypEkegeB5sjXJ/4q09o wccjvsgvviNQfvlKzuTQL7FBL9Y8X1iNNDnCtUpqzoSolwkRGCZafzZv/LQ2OOp4g2nXDQm5GlFV lZgktGzmD6qeHkPmbi8b0I7Mj1SM0NmccY0Pa0LVpMrn34/sx6++6az8IXfe5yiMs1nJFh4bbcgy DXR8fnLjFENf3MEQgW1itvMIGYIPHh6lBTtEViERBZTBq38OYo0ryxu3hy0aROCbiPtFdgIi9vX6 0CCszHp+Ej4eFWp/uWpnwFh6eeBZoXUiCitn+U/npVP0BsYLw9MSGC9k79AG3olg4PI42m374EWk vjsakMT5NcqMsUMLyzh6oDTw8b61wXLgnnibRkUa+sU2NKyX9zQsxObjEajG1xGPIxSwmIFyij6v wVGR5n7rbqWEKqGTZ1N17HrfDqh9DY3KWcMsUFcRr2t/7v0B1dAG7TB0HhOw+Mzn6FZAyjLShmnK 8AgfXwOjAmU5RkRdJ5H7tp7781yTVIs7Ye7nNSwq8O7RdQYBaIuLCPrIlCt5jYowN72wizYtA9kt u6v2TBdvNL13HczNIGu/NraKantaRSfsKQDqMibaJ3vD2UzyH3gHC6XOxd/xQay/zg0Q38I/zOaD yvyzmyhGQYX2dW4eZkhj7sHVV8gLxdS8RkPnIZCIwYKTv1Qb06FYAOxYcga1JE3NayxUJLiE9TKm D75swN+kaxxARhkFzeJtpBNmTavNynEBl8PnNRIqODpwyZ/4/A5cNlVGcTRJap/XKAjzepeNagG4 3KkWQgP4aNWQxjcdOVNSv3Iz9/JCIGZW9a9TozYcsgxpNhZHRvUE+6S1Kwmz8+ycJLfWhPsYyiE/ rdwSEU03Pq/xT4GWKfmyZctLAAdGZdIKlgPJoKvnz2v4U6STrrkxCilVWGxuXmOfco6pMVintiZ+ A1SMQXRi45+tM1iOm1lrtKPUOOsE79f+gPfXhn/bFM6houstI3K4m5PJA46O7yjqfL7GPVg26twX yVSXL5nqLlr6yevX5zXswVlVfW74IBmg1Obh5LxGPdiIyKl3c/7HJugOR/HydOy7ff8a85RroiSv aA62pxIA7R1lrXwO9DXhqM2wEDcWxCWA4bC7ihQKvOn77CzJFFXdVNVuKqjNzG1WpPvzGu/wxvle OEijqJaouXmNdnCAU6CasAsIwC82PulxRw0WFDpqgt2LHASiuaGGJcu2NtxrvPWTog7GOufgWYPx 4CZLHkhPQrQsPa160WBTFZnYSr57T5+aNcmDpM/I5zXO4WH1fRQDyMH+kabmNcYp5h0AfwsLlulp hWBZmCWeN0b2hHhudBRralq9gy2bDl+yzJP+/Exj98buxraI2XB6FioPvej7LTVlCy1adXFa9QbT wrStVv68Rjd8v2xTM5RtYTcln5vXGmJBh4wN+mSd6NqtBA1HuuKtelxTPG9eK4jlQoY6y/Wlpxvd oKFB+zZsqXMQTUp7Qt5qw4G4/RBu7tZ/ndJfweQA9QfBprJ8UBZxNlVRF5nansHs9O0Lh/sdN1VZ I9vsvFYPi7SeufZ/zDrbivY5SduegKHGo4HSIMHSkeoOrI19uMHfCT+mAhw4WXTZ2sHK54eXYWYj y/DUgPR9XkuH+AnUpd9yVdjbhdFAtx2GzMPTwZFjFeimVJ3eDM9F/k42wx1MPNU0FVggqzQ/EPg3 slmVPo4IedH8yBVaGVb9yrAWQC8wnsPWytA7KbJVQEkVXddf2hdZrxrsrSFZ6pl9sPmBATJPjpPx ft6pZlh9c38FOuS61XznJ4qPU35krUAOTku+SWvohmeLHleuwaij+dGNJVm0+siinQ10Ph3qsEoe JhiiXD+ZMn6DnAi3dSFn5vNONMO5XBnsMO7SwMNnl167GD8ZQPMwRvYFhKcgUyTvLs3Pe5CsrKPV 1rudPlo1nUw8Nxdve14cTHD8VJKmq9TNOfitNU4Y2M1VAbqMipITbUNQ+4DFvHEVl6YnCpM7MwhI i/ug9VNTa8Tanghhf945ZkWy37bB5DwKcdrm5887xQx/GVs8AgXhABK0vEM94NpybJLo1E8NJogC poVhpQ2+gBJEISqN/yDlUFYz/RD+GYuqE+5LlgVfCDZY35KNY7GnZcviNrTqtxo8C62L6AAankiI WU5K8D2f39llRYwnuB0YBW+zwaKIcFsqUekXbtXUaH5YKeyFGSgHO6Ah19kyLwrI6qGoTcjnprgv rlgqm2t/TVUvwgNIChdl+KD5KeINwoZyfN6ZZYya9ncyAfhKTd2DwndmGf4wJOhNpdSFMLsoFlxQ OfmR+PIGIUQJ+nvI7CWwqnusPsqw2FXQglD3q9fdNJP0CKCRAsm7VklVtTkKmRPjwtZYJWzNqoTz JCvLbCda/bzTyhjHJJufogZhQfqd7gIKw+YFtyQSYQiiqgZ8XS1f9eVCQQWe0FHcLB0Q3rQ2XJRZ QfwmMtAkooY9CyqUN9q31euMyPjnnVOGP5EnUFk8pznoBBrIuYitm3l/3ill5anG4+RjWgH1cOQ6 mqAoeMbab7Mtp7lUL3+lZbvuJH9wN9IOi6JnaccVYyj2eU8gVE5xTDN6huQnNKWofFugi3+i2fll LLX0qu8TNJaWDoWxONgOq0NUqRNrfd75ZPaG3xUwbFfCzDU/7wE0UPesLY9teZfOauZdJHIkntDN 5M3f2WTF6fZVJOi6HHTfBZepMLgA4+4kF2vLuIQ43CROl3tDD3vV9/lB2k8pojtwfnDmC3PfISMT RdBrf9/wdI6U7im/M4qgQUdoE9x4lsEmlABZBmvJuEBw5sClyumJI2hZ1pJwwMHK3AVCRw1MH+Rh SDGkjgYMGzgEP3CPdAUZkTajCHoSA8xo3gZ1TaESrS4FGstRBJ1r+poexHS571skjAJopl/Fwx6g ggyg2GgmQ55doQomjp93DlmRcD2C2tV88ASjn/jyrCZqo21WTFhBLZwl5Kn7EbRC3P15p5Bhocu2 bdAYkYPy9gSTXUzPLPnzTiAr8j967q+G+wSccJueKH4Gy+1s5G62ba2YwDB0Wx2/OWlSjMPnnT7G RSjnCckvd6dGnSyno9qQVNU4h3Wd8tWcrNajn13bQ7EretU/q+9JYLJ0wWQbCFDeX7PNzzt5DAsh 3/q7+tCgQSY/fd7JY8Vsk/ae7lsrDPACkLxWR0mjN6MqcxRA0x4TnhzdBz+dz/7dQNuztpFOfopQ GwfxRgkXh3ZeT3Fj6l3/zMAmE4ziwjT7xOuJdzaQ05935hhecXxXmmkMi2DP5ieMnwHaXHwxVcWy zQ+1cpOU8ZEf8fh5540VeTQg8JG7VH+oGiemSZPK7NhfJ9iFWQp0h+iwRPPh4dLvmf7Fn3feGDN4 9kdVGMu3MNZSY+QNqXs+HQXQ3xuMx+yud4O9x8+mg1b28PBnNzPO7moJdirquXH2O2WMu1u2W3Qn UzVJ62eeH3oWukwgPgQDhVQF6v/D3Yn6MmacRH7mO2MMB2ySp0sePhha88RV0nk7N/3nnTFWHuN1 S8AgAkXvN5ugsOSMBKMNqxOeizK5N1BZTvYZ1eEa74SxJ4P/r2ZFPln6RFahDVbPIVGlT0DeGLxv sKBmfqwV3vliDCHU+NP13u16h/fE+TBdYHN93vlitsY1QZxM5ASleoLxThcrRtOgPpJ8I8cw7Pgm 3kJCesUR9u9sMSaozEzRxLPBF1DvG20yaQ3iROIBtInKBXNhp/xUgJZeNSgg0rykAiVmg2XwA9rU jA/T/ryzxXjU+gabzEtUZ/P5icJn1PIbGkaGGlcVGnAfwaM6E9Rq8nDvXDGuXiwc+AL7YOsHFu7p xA3sAw54KS1xphYbypzVb+8kfsdf85PMPtKRLPl8+ti8lGrD/LzHz7hDvg8ghKJwH/T5CevPkB+t 1zzJDCfWz1rZdBnQ9q2GP3hnihVT9ShwePXB5wf45K78a50McknVshT2tnG710vIlLHdO1WMJyzu ryYDNw6MD/tY8hmkWNU7U6wIrGsX/A8l5EDy8fT0nSiG4weqJ1WKZ4gUp2mfQhEweYFs3gDoPXzO JgzTZbzeH+P1jMt3J5RTqYuP/pdom3DxWjvr+LHzGUv3804Te7YXpN1s4PRUBJ1UeU15fd5ZYowu f9c3zr5o2afnnSSG1XMymkbFN8NmdBeEW9MhPoMKrOwkx/VnXEqQyPHBk68ORcpN4gp6FnNI3JsS GlAkKvOJfgjOeOeIFSF0WIsfPmh6xhbYBxJGn3eKWBF6+qv8jGZGueHzO0OMK5am2dONk9L0w2es 5pSVdacnLj+jqjESTSdGuqYTZ6LO/zvbhtiecybvWVVHAwUzsQojFhKKh0VvGswOaZhpC0HvElh7 A6shFcWz+9/ZYfg70y0eCkBP+rXfXe/sMIu5YaRgpiUnqHUFDwU/KG3gbNLmeieH8exBRafxoNVw Nxcg6rNIuRPg16Y2GV6NqhfzmiqgP8VXDZIvUgubwXKKkYYWdIl0tZ/D/fNODCvyHLD5ucZ2/Raf 33lhODUgJFya8S3cvZawcCasOHwyeuSan/fg2XXfeyKel4Mtn3P0gKpAjdx5FmKukoYHWKygSE0P ZFM2pTDFOyvs5hZSpDkDroGqy+tkd7wtoQ7yeWeFYX7v5c5UDYdcoikHJyiqPm/wnNsoFvwMYO1l ypGnT9Ba1doX74ww/DykgnUJd3J4JqjQa/1Hwvg7UUavgWcOTNd4Cj9F7/ln6XkqdJ7ZS8/T2u+g an/euWCIPW7qzrOnLSkI29y8B864DpB57eJyps0tkwxsiNZgrl6ZfyeCWVqNlKvdwY9moKE2e0xo 7ZwtIcrYRIMrnXth4knrfFH1/Z0HVsR/xJVFagoHXewnfNFPP3r9vLPAyqOwqEuDlqzpllXfSWD4 TjTAl/zWkZhSYJNlQ4lSs2xIPACXTlh3pnQgjH98sLj53OS4ZLS3zi+diWTHcTHZCQbt4uE39a13 jQIfljbKM1hnsCw2rs6Gq593BhgXgl9d9GKBQjhb9T5DUeSM367pXBTE2bzaIBXpwoGyauTlFRae p3RM2QErJJT9TEGhoN2InAqVw1kS91KeWFJl0ToEs2qp+0h61yA3pbEC1iAHlkt4/KBMz07GCcX7 550AVqRJ5gc0HsSd8dU/fWeAsSVAGXxznACVUF3ls8WK18c6Whqao7D4rOi5aDGV5b43UMFsEEym Hv6i0yazUxr/4UqnsYtJBjM8fOd/FbcFKoQSox+CZJGR3oleJWl+bno+H6Wn7esUAtW5pn4joCh+ BtunZxoz/QeKps2s/+DSYRx59NN9gqLys8Qnq4F4GY5b+p4WwPGU6phn6VTKQ2GCqCJWrL6hNVTs VYP2DuVeaup34C47S0j9thOT788784tvOL5OIToLt1v+eSd+8YanSmBTKxBqZcnwu3tY9kURJLHj 3nlfBPDydCYqQ4MDeE8YPonURXZ6/gJcVNbcqYjasPBt/XSCv99pX+USdpIMcEgJ4fJBXZYhBdSI Pu+0L0I5b3VD1kBQmb0AjnfaFyOnzaZgplgZAArFbDk6on6KBVb2yTlBUQQ9qdtai8ob5ZY3gP4u KOyw/85qUCVHdy1SCM6Sbem2B8G8+ryTvoqcEP6biJITVAmKjuk+Pu+kL6swfCGA6lCZzScojKEB cy6UIjQRCrMW78NOpYwlZLKc75wvHmSkD2R5Q+cvRw7g101gYZ1EbyNRBImEpMrEVO8R5ex61eCQ pkpwpf6CBqOhnDCoC5Qx1ued8EUsT/tOMho63G3dCXoPohEjglZRTTWxDU3QghB4U1EI/UF3h36n e/GiZ4V19jvYCtqpnhu1aQVxEUkADrF0QeAD/5jrbDf0qsE9T5382pXBd8vgc0rnIJPPxDox9Dvd i7Du/VXhAHC56Ebj/EQx9JoS4vIcdeRlOeq2/y3TKktx4jvX60Ls2mL5goMnYaiIpSb7pLO0ylIB CFyTDHkkKgYqSUUmx1cNjiBlp5DwsoFxIrxZqw6VE0a/M71Y3u/f6weXSOHFofmJCtAQcjixXBNu A86IMlI6h5eRLTK6nUbyfid6cfUWVsZm98Hm5xzLgPMmqk6gRLilao7QN7NxeAFAmwCgd5rXrXA0 2pRo4PQsmJkbkWB83kleRSbPX6Bn6ijcAtA7x4tlo8GwxzKwEz0Zc7lSpEUpanHrtneK191dDeQA G3x2TuLZZ1f3HdZtYuWyY5KpzYqGg3aX7vd3hheLTNxdm8hwDpqes3uZcZx4j09H7eX8Ff7QJeQp H76zu/jUWTwjO75uULsbSVjBxHF6yPkwNkEcQzMzFU+nS0BEm2tTbZgaSqwk0si34MAGZxgZ/D17 iJd/p3YVcbMKFKSXD5qdk8UtLoizMj/vzK7/QkdBuQGoJp+dKHo2NcBurr4LGlnkWsy18u1duA9A +xv8DPCrDx4cnuAHdK4immmfSx5vzIgWM6DsKSpdPPEdweWehNmYd9DR06s0fCs0uFoE3fjdG6yI C9HYtukJY+cybooKT18tGZTH8nDFbWrSam9FtWflptSEscH3FoqHCUasVAJCK543FzgIGaUVcgt0 9HRy9FoUOy+D/HB6WvPpAfBhS4k98el/YcckZwZk1vK91cPYmTIuwouxOrZdNCElo7tniDgYGeU9 dpYUHCJCFpY5eOei07yDKi6zopO6FT0incP5WNMTO7M61v9mBlb6cGgQsgWtfVMBrHg64Fzs76MH sk75SS16FDmj39o6KxIUTCjWQkUL3jsXEzkjN1ePoBvW+JJjCwdNz5mQtOCxbsjVsausuFAvzvB/ ZT3IJCUI7e1R8blmadwIWDcMWLfg27T1J5/MokeBM6nIqm/gzQacDb1y2MOw+XxJZ1SCJYO2XWLU A9rb2h727GXVjR7VnjulW2qaxQeLmyEesm165p5o8lDQFpgJKCmYhIrxbon86VHgrOIqVM3JTSab hYHhbKhmM3VvBc8HJMHb+pJDFNVfIAnmsxQSBSHRAjlepaczL4m270UfXILHdndTxB7FzlMloCEP kvF4kEz8l2Wu4mdhLpaq0QekNjHUNh9wneRaexQ8m5XNkDKyI0ABje2UkzhfXPB0cEJfiimPG6zh eevPPUJvAITVcqUrG+MgMi0yXLP7lUWmkDr3WBg7L8Hnhe4dF91bEmqQXcKsq52MBZ0DgBMQJ8EO kX1F05JgDbFHJejF+l8BEJ1itvtncAmN1lVE7Oekx+PRDba+ziA4GdeHftHD2JnJKX5D+UWyKUm/ yGH+SJD/Y3J6YuceEgZpRwuckA+2fuDHtQhjQew8RiWM4YTUiY2l/jOp0N7VwMh61eCCb1L5Nc3f y25q513FWCqTr/ivLSakEMV+7/4Ki9B9/AjSziL0rNv21+wkUSbJsxtHucfRM3vGu08fHB1+ktAz vzgOR4K5VqGp+IQsf8OPASEJR4dTMLpHNWiVfwAl8UHglnSSfsHD+5h4PKJf+BV/fevabRD29/BZ OQlsfZhc4SQiohcBIg9cnD/nVRBX8PyJwBtJsCjT2VzlOX/ahBEC99f5meEfSXmphW9E4ke1DSPH sQLdowo0MIZgmjIQ4mDzswvXOqZ94fGIcrq+LjGExGVlB0f1CPuMal4rY5m1b2bgjfNnJs5UobfP 7HY+R+ANa7+3ZAMJ+axtFLhFElx+zp+++yb5kjYGFWYSlLaxFhhSDXxHFAIxv+ij+mD0r7SXzo/e Nh6P1s939TmD8EDNYZugMIQG051KfFKy6Tjq4DXSdlE340wQjZw5QVH5eWkBoUJsg2+wcxiNLKr7 WTtwQSY6ClA6eLxCd9E7qIy88R1RekpYSyM9xfSzgc4sU9Z1aPzj6Wj9zK8QOiewPPbwLtiIYmgo nrbZimVgs7ry6Im/08VvdGsSjqj+rAsemn4+eAzd9lmj1YR+YIOkCiyvfFqBkrWs8iotNEYUQ1Me HFIAxQctIGBh2VU/51jG4xFx+bu8QXlf2o1rfqIgmvX5c0ha8Wcr20AARCtAoceSV1dHWH7m/FRB nzj4/OR5IunEhPAEQGdaBM8E8LDgFKI+kKVgwF7jOyL64BSxsvvAIHrDVFH3z9x4+l895kLsB/6T uHeboBD/nFDgoEMaTmizNyBnmrKIYEA2cBXEeo/Kz5SLK1343t7lzswJOjF665UeLINEMwVJOKjh 6AOuiF/wZ80PfEWEzhRgUNzuTelQniQtyanwhLl8w3/1L2RRN7Ez/IIfUQCNrdMoZm1So2boA2uc KzWK3qb2VxRA6/xpMnpsi/cJp2eck2bkMc1+5ayzJgIU8IiQyChf9/vE9MQAjv1yv6+Ghc7T46QY ePpfigl8NQh9oMRpsxOFz6jl4aRzdgGFu5HBL+oXsXu6xjJlnxGFzwIetKwyUL7g8IQm2kb1XOyC E+kWsU+RafH2ALPBjx9WOEaIfh5CHRYNyXiSGyABZn0gt+LxaPnc42fY9jIBbc5QGEAjkF3jS4R9 WvMiGZAe8Pns2ysKoJfka7KwHPmRakFBco2SFABhhipLQLBkZQ2G5VWjn+IxfEewv7ZoBWv4IHoK ykxK4k98OCLwM2OY3+SmeWvzIwQ/Axy+JACJiZLDKtgF1L7k6bNJiOX0ROBnFXbaYhLf1k3i6ZJ5 bnjFh7UBG04iC+oChPEQIOUemUuvGjW/yI2TL13zMtOJQqgtibulDzwd9S78eBYUAW+E97T5icrP iFSaXIJo2yMRrY3qPPVamJ+uZezTEZWfaQL+JYLEzJEF1tbPdLWk3tdeRmBJ1AifJ6qY7ZEHwJGJ 7wjCH/J26uAtz4HnD/ShjJ27Np6OpH6+K4iwJc7jpl8jDJ+xfIRpIregLlErz3YZ2dEb0LjU7R6G z1Oaa7X54LurV9ALKmXX4ZEJzDJtUsHdQ0s1PeBwys3jS4L4mcg6EHvZXT4vxUMjw7FMK2iMvfB8 kMBf9imVV3H6AUbrUxQG0NA53KRe/QeWGaVbhgqCt2WojOa0gsIadJU4lrmE5Pk1RScXkFMvoBYU CUSqhC4NbssMI2Kr0e9t7xq1TxEB1ak282w3Bcttqad+rkg8HpU4vkNo6M0WGhJygmZYhWaJbHcr AW05YlS4303pQkMZnBDfc4fNKIIWhrUOqwRdBND5vfHfBBCHuepYvOEz+oklT+i8Ppr0zDBmiOBQ /XBLOGr7EXSit8q1dPZxw9PRAvquAIF7DDigT08UQFcgoAvdO/9DojfuKBbpC+y5KMABUUdVyGZI IGSGWhd3Wl1PfxDOHjC4k55WSh2IdCRgAtf1H7Y9nL1c9Kp/ZqgqBHEQyg6fK9O9yaejE+ibXkB9 CxBwbHqi8BlYblh4m35LbSyQIT6kx56dQC5FP2P6oC2b7sOdng11lUr8zwI8V54UcOCG2SoEJy/9 lPIAMy5C8+RBLGKDdtdJipbypz75eDQ/42t+qNjQLr1yRvEzeAhtlpasQ1h7NhDrvh6PCywSzU9c gGZUPLW75rO7KuWjkaQDxIqZqhI9RvxMxcD81J9xJ+E7gvnRupFRaH2MQifkMQneOL8jHg/a748+ iSrQyMSWJ6gzCqFZhNm619HH4EEH9Aao6g+9ySqsM9Srk7LNEPRgXO9CoDXOHZ9oQXOirTTIV4YJ XZVNKJhDTsBA0xbfEeyvZOr8yQeD/6CPQdGj0iseD5qE94rHr4cbo6zmBY4ZBtAwm+3Z0RtgG6tC trfVyjLKEsOO5wi9obimyn67ung5KogbTmM4jBFAI5mhR+gGhIH27PSeNYrB3HrV4Hhm5l5lOGtN C9Lj8pAELch9eDzi53oLnvkWLMAyHL5tgiIAR4ekX2aMRQRrt2B6FXolykwNKj3aYVEJekn6Z+47 OEx8woJhV2N4nzCaZxVbXiTE9fwsIKrzzyiEVhe10U9EgyocayU1mdNoePpfCTxjARAESr4AlxmF 0HAEOL+hWTmiqWBN+JMZWI6KfMC6zDMUrxMxJ/Oa5+AnUC6dObwIuquOZdKRndf/D84ss3iiC8aM KtCSUme3xQZlGHBIYPRzEnk8HSE41ncEnQgec4z4jBAcMLc4KXY356I1Tb3lpAI5GYPn/HOy1RNF 0INSkI1GDRocfXg++lxPFOUn1RvHBs5nZAuJKrT1NsDIHpxRAE1iQMXd54NIBlDp5ol7ljmejsRb 7vFMXgtaTmTla37+pA8OUDYE4dCs4HiGcJogHL0lo7/PUH2D9cNepIpQHvjzyQE73BymwGPDwXWb 7E983rzyEhb+hPp1trvG9kEYBYD02b8oueDpKHjuX8uHc02IFqdnRcEzoJKOHiOQPpkTT5lDYA5A OLIlYCuCcLgS7ag+eAFoArih7GKm1nui7UWiJwur9uPKS0j7eoXl5yR2JeXrOIjCw2LZD22rK57+ l/oG34zp8QXPr7D63KHOpnOYHjzZuO8nMHDdzIlQjmfPCiEcxGSyJmiDJ1+wtB7IvFHeGCWrwZEp B4OgHFGInc2MufEdUXmMfVMStzRweibUpNkd3Lvi6Wh3OcKFOS2yhbHv9IQKzw0lsWXmcjBeMEm/ lDyizrQ9sfmJMRzse1VBM/XTNgY/qGxX1JVxNs+zkTfFtZi/o9JNc6OH293wHVF1TNwmlVedo7hP gC6sdaV2Zhg8j+/uqfyJHmXRkD5IaQByOSktz/RZ1TErKEKusNjVvkLkczdJpOaDHT7AuJzTh8Ez /XEEU8x0hoFu3VfxEGq1/I6g+jwNNJ98MPThJqzhByg7PB0cPv27eQpz9C/04YpC50n7RqRO1E6n DSddLloy6d4MaaSks3n9TR6sWQFQfrQPzyZFcUzmTfMsIxZBzhLCIkLhuaHZ4No2U68aFMeIeP6/ 1BR0QTe1flGDzJ8VFp9b+V4+lM67d/uKQucE6UNy0K13UXR3gYd0pUmmpxYrVt6Qpk2rPvDdYbd3 goQOqyPK9qJLSyc5/HQNnOyM30HrB+LheteI+84J2qxKcFByWlqRGAokLPB4hP65/FzZ7SG8QFJi UxSin9tg+OPZVzVxkrNqpx9AqWVr76wweJakcZeKZk9P8YfyvZP0nZNRAgs6uIQwoJVKXUkVf9ha wncEM9RVOGQUxAqc6DsNKlTMqM6JgsejC8zTdwm5Dcl32vxEwTPaZCiJDZbE0L/Kbk/AbUd6E1NJ brEQ/tx5c1VNU73Kz3DZOyHE7MSP4fxhg+dMxaZKLQUXb3GM9fkVRc+JaRfNH2zgAX1mReI2teeO p9932HoI3j+CaFLa2qbnb/bgMs5ga6Rmc4fRuZjohJnd93P9o/48idTkcOEt6cRustg6OdJqc1Jd C5VJiVeW5uJIENrUuwYGDqbBT402DlZcPVeIvBr7qng8ar//ig+Ro0CDzCYorD7vxADICvSFNvUs j9EcWvaxqxg8YcXidQxfZdYs0x5bPwMx4iJddIOSMilvzHy7YR8STm8B0Bh61SgAErZXB1F2CMSZ 1ZFMOzzz8eCG37/SLwS09eqq7yh+RpUTn2/wjSH1MajTtu3lsZGyq2PH8hsS99M2m31/LaAMzW3z 1js3o6xlO+WFESvjkW+P5h3Fz03F5yF81Lj4KHT3CWE95zQfD8pjN0LUWsH/XXeU/R5An6fg1b6n i4tO9THO+XyWVLPzWegdzk+ovyHMQS7VB0fQpwkYJuUuoM/S0TcFyZsJyQaj0+dHIAB8R1D9ISuO Tqs2WPlwtClxrHNa4PEAf5huA4zxDo5cMN1sgkIYNNUhU80WImYPEVECVYOwUB9bExRG0Nt02aYP voDmRIenKcMoqITSd5CKyYs2Yx4iFhq24juCCWLw0zsjaA6KoJEpqEF4QqAdkwd/wX+YMA8PEXfI HZyd8uHNG4RzW3FMZs0sjsGnSdMTwjfk7D1m9sEj6L7Ovqqi53YwMoSvAzy34Fhn7O3cwaJXDfg7 4g6WtHzQ9MBHgNPT08bTkfrh9/HDYse+x/MOI+hZ2L0w28pG3z5QDDZDCvNKwYfiet+xeB2nR4VB Dj49KLJlCPSS3H1yeerUwxkEawAmWff0YXF+hwIcUp3Pyi+y5xdzUx2Gm6vi6eBwvrc7D/JB7fK7 eELlOsibb3bLMTubdQkqs7VyBTiyK7PtELvRu2AtxQev/VCDtqiyOk9807NVVlGaz5Iy8NoY9Ul2 LP0s0Lw84t2daZ/QZ08TmFh4OhJmu2cPtRP4GtPzix2BN5I6p/kRR5L04V4kyIiYyyCc8xMq120T nZ8++OHc4JObhc2E0CiIHVg9iAFRO6R2wuryhbVXDUqrqm5sLZ/twsYnLReYGFZ9eDpKv3x++GZU tkgO/dmh/EZBRwQyiSrMr+3iP6k5dv6c0j49MXZDxB0VN8aX32mvIKM0uZ3DdFt+p4v2WicShZCy lk8hYwjfEcSGIsdtQlw42PI5S53LJ9WBp4PU4kJ7dTSj8lw9dt5R7Iz60Akju84ZmGza2XN2wraz B44ndvbE0Gdix8q4g4eG4KFuGjeCWpAmvU2LolaESPsCM/eeetO/kHVNkWHzyHCfwyyJ9X7SXDwd pKYP6x3TSkoeEGs2PVHk3IQMWLqlOnh4WjOJfAKdPj0l85XZEXBjClTXnuE2vhKVIWVNPTZZKrTL ZVQI3ecLbaHjJL4jCA3ZseiJERAHNS5O9Km+5zip144qz339urrQ1Sv7qqqnELeRiedFZ0HI3iXA /OYxmomsy3QZoC52CmPnIbdAdgZFk9QEgc5aG/GwJzwC6EUEsAwjY+BCKVFi2B/qI/F2ek8vm4jv xIYnIGKygVdP1sveaW958gMifObVVse/zKg99TtJoX4d2u+1Ux2cMhPU4QC7m2KwxI81wRNoLxMG 0MscPLMPXiOD5GGuVJfY8ANiDT+jkUovpB+6RnmFfmx720g/nNAELAgblGKsE0iwZgD6Ip+PcoyL cDG7RrS4n1kKTVRgjGomepyvZPZWs08v08N/0CwMUgiCJs0JcawP3iOE7mTqYM93BoI0lcnQKAeS YprGulAc8htNIYyDS6lOw9ltKJyoVDYdR3aiCn5AgNSs+/tAYnVrjWfDhVxCKB1vuq/JKWRaraw9 Np4bskRmVRRJQU+GyPj/8eHWW8+rddY6+7miIca6JZXNIj2AWEjLPFvd9rYRG0N0Od343W/8k1hn NfvO3uPjUTusfe03aCXDhvPOURRQA9QLzTZhFE7ISFfTjD6KWTDTTcX4YDlFNekh38El1ex19QJO uJIg0DYI2JwnKsJfBr31AhTA2efgjBienm5w/JKgpcELLYnrxsHwHGebsQUHjQU+H+QcV7Cfc4VS VC+PoUEK69IouiLZ4FHUqmIgcNmks84iLmWgdHLHhWnOzpay3b7KdolGKmfuGRlBcwtAaFYVUXUd sF6eFxTEux9fEmHumJYJ+8LBWCvn3xUsGnkZmTDvz6dfdSHcoaBc+ByFhWm4bKZuWIVGqVSq+jYq CRN2d1bv9CMpCq7Fiq/GcqY3nV3/J3qAciSL9/CJnRRv2/AlrygFkQ1u0pFj2sv+qUsPexobDPiy q2DkcKbk80Ht44kAeG5jrvsl74ry/N7SXdSMNPACqMg6kfJsTn6q3GQ6kcLytPLXJHRZuuiyhJo9 xEyI/4UVXzF4NLQ2FvFirp2tQJ9fEqQgWx2yLpEpgqE4SSeW4Nbr5yE+H7UQ+91tWHWVjI47RyHA g0WsPKwCS7tumS/T7k0dDrj02RxFGh3VrdP+r3ljPaH1rCrIzIWTaLMEIlt3FG7zbdGbxXD6WyA6 S2Yhu8zCHmAEF2Xuk48He+0aOJLPQQesx58nRaE2KBNIFaxLPx3DeX7uYkXGMotX8fE5fxbR8ug+ eKY26wkj8efw0IZEELajFKYKHNy2S2gzc9LLBmWiohxNW+7R+U0i/P0ggebjQSp7G9FCKUIQpN5O fQ59CuX6W7frJJZkjsN9dS/kl+Y8qBwYFQLKIBWl3XxwmBmsLidcdIH0wDVdLZvFy51AkueTmD6y yciBUyHOXJ7ZjUoCHNSMPhei+VxmvWPUTHSoEEF7+DC+l01RFGujQA43wWoJrRAwSGgb+4tQ1TD3 nq7P+UtoqifWHDloigrm92xlSinh6i+GNCMLgLzMWn+363PsVSich3Eyc/edBg6+jOBH5eNBpH0L alxzwCRRAMumKAy0M4+5vCyppbaMJbU8oRAfQb7O5yhUjFY6SwtdDQ53RdiYOSNnGQ0I3JGxQGNt kOXBy72En2RvGzF+VIqlZDS+hic2LW+mGq518PGo41q/bn4csSeFfHZaVLIG27st9/EGmckUp1ZK 1auO1KPWTgvdvQWKmRK2nZeyWhBjw/NDqI/KeIlThPsROM+2bs+VEoT8kj9FBbLgDdkF3TrM1E3v rvLx4OJ/psjsEdT19Tl6D7OhhQFWJtPJ/9D/0qRIV2/JlW3pYWBzFBIP1e8ock+lN5KF2Q3gIWwL 0epGaTLNGCRSosI+HleI6m8bKXd0LaDig2CvUI0jNmYXvWTQeV3l1yRt4l6fhRTpR8PTsaGgY+eR +zmeFXWNiQd9WLXX/uG8Mg0gfPExkDU8CwSS+AAdnxRHHhIJRkMFIST1Guw8ovZLDr0LYf51Nqlu /n4FlioaWgJ39sLHI23bb/HWDGRevgX+HHgXojyC9mJagipir5m8/5xm13fei2A/ucuG+A8i87Iq jBw8pT3nfSVo8bzTwnm36P5UBAOZqER+qW9Oe9uoPMLQsSizLX53wjag068D1n98PtLZ7l/hEYEA NHa1SQo1PCaL+wauajQgIkN8FYbWZCgAXWiTFIl49HW7r7+asOeEPhEoMmQ1qRtEYuVQM35Yu6Lm olk412ov+9c6KqYlVL2LVtBO4F6b9o7/UjmRiTPnNN8pioLsxYsFhg2UWTLE5z57vGyzMISRnNFY c+BhmExG+qyP4YNNEUAAAKkTCIIlxoQuL8oAA0Sg0ogBZdiHzaGLIaw2icKpPihdQ91IavQnjufz QSbyKDHwZqtUGhh3lkIsNZD4pW5F12e+suW0fZtqBayyXGs7/2FlKBkYwmU4eJw9TtpYOL1nIXUE T2pWI6GkQca+gL0hs+vQy7AQ0Fj5o2lQnD3n7ELLlMHHg1SkOFlhshIJMet9F1LoZkhH5ZluKsJQ ijW26oDhsxSWZ7ShnaG69HWzacThAmZO7JKQkkHv5NwJif9mIs6qNAkjXExjtpcN0n7xFRhAVVEx 8CUntzXM8EmXM5+PZPF+EV4A+yz9Gorl0NOQnIW1KE/BvuyaDkqb1Sr/aeE65m4LTQ1dritXH2yS Njq8y2xHJsAgk6IeqDkW5CxMcVU/Wuys5dDVMFOtlEJ1NhgsZA+1IWGTy+eDZOQW2SQ7AFzBE2mH toZIBttoWRSp1qlAjzmCli/nLZMX5nMUBtoq/A+FiONRpkpnmqC+zROpp6laNgyhcA6dM2Umd0fA BrSXjaAzqmWz/VivRGGB7m1V+3o0Ph9hZy54j7stsyBzD6TQ2zBVAohNcLtl4UBxIPEqFruearw8 kAJzQ2d31KrEvz6J/wA6O296ZwE2TCQTyNEAziBh4u3v5qHVXjZo8TMbyZXu4JkMeS2khISEuw1Z bWhvmB95YNL/0RGHGoVPUhRrbxLwdjKMSF/GZlhjlIsRYS9KDvMhzLrKeUUSQ/VKDEE+qq4hBh5I j4SCwCIKJSV0Hkl5tT42Nc5yaHHIwlzlzWKDZf41SWIop8zH/xccOq7/Au8rn6KooN1oUdead0Za ak5ybRcoUurwUztW+dAh3IcPt1gLmcaqA6l00GiJFIG2J6UEIKVtJDOpLObQ5NBE3Lug6L27TnCH DAAj7aXHo2X0HSHBwrHU+UxRFGmjBHLms6mxBqB1Mh5VNklB3NLOwsuBzaF3ax+qx91qHXowG5x4 EIHribaJRipTzmxQcb99kTXtXQM0RBGRinLTHCyGpFALU9TBx6Mw+1dSyxJLvzzOHBodom7eZMtJ rCwLllLivkTOIiUrTlGsNS2L53UHxxudwLq00YdWEX6D+awi6oD7FIFlq3cNpkg2URSu0cBFdP7n 1Ux9vPHxKKWt3wc2dI4y3cNtikK9aWy0yiAVZ1EdtXtK62rcX5iRHJgdXruW0bYPvooA0K/Ubjxr Zq+1pnxZqYmLdZ6uZdRQ+Sh0O0RTHEWFMnzQRsutS6v+nN18PDiLfgGuUdo7F/aziqIgG7EjFMOS 40ZMkvtk6xSIZImtoVRtcxRG2awfFdZkNNhhBAzNOfvZ2wdAne5+6BzhZiK/Ae2UqUsNPxC/5E9Q +qDzBgeBHkGilc5kzXw8qh/5nUaJmDYMdWmTFLoe0hJg0+WbqkzdxIO34R5p+kzxEm610PaQZj7n 4MnLB89EyOZkzwCmogCEDhLPaMyGhn/9DbvOoe2hAsjSJMvUjFW+kC8zEYGABh8PEpHry8ZCEc7h kuszRVGQjTZjK8sM6xpQ1iqxTabgbEC26dZ+ObA+BPKF9/1UwX9+F/wBJ56MjWYGI7MLPooCAkRk WCMx4vTo9rJ/Nftbnnew4wj5oFILvWOknfetjFKRguwHNhK7H1KcaVoNu1FPk7CRMYb3RDobfZqi GHwt075yB99pHaZd1DLuoJRUaBae+UEa24i5ISPN4OlqZIcGiFPA4iaZuHbNjaH7XbV70uDz/xIY lJ2kZEjuJIUcxkradLLGEdy8PDbqFhuVM2HeXIs9ELf8bSikV/I1yIZ44znbLgXkZIOwgEBdDcD0 BSmX4usIkGi9bMQhMgDS8MEASA3gFMxRzXrJf2W03Faok+yvOQpRI0gHzgVhZchdmshDZ47ytTjm dcI8JLBBdBnYMujhy8ErbIA+VEagHU40k6DSPMHNrFQ+xo3s5aO87G2jJu0mXqTcQVjac2ExmIT7 KB+P7jU/sxlPQ/kH0Iw7SVGQjXruufEJYKOPOFF+5YcGjxKqxDmRDe8X+iF2Ns2KuGjli4s2AWRK stpa6PGA2YgaG053cIkmqQ5JSg7dXvYvtwkHaU1rr2Xw2Rv/wvNrZj4fsR2+USNcVvWKDebQE3Fk Bo7dDm2mBjyRWm/DIcfJ1RxyYIoIXpvU8KUmk9JTz+6gCdM994SZC5Mjvh5luxHBzX5L/qqwhaaI utiaekfNe0cZp56kv2A8zecjwYu72bYmF12Z52YL69mFSt2Oy+6L/zR+dmJfmTW2Wi9q5A9fRHmR MELkcOFHCXX+JcWvc+UXAkcXfkHwsWiv4pstLXvZYLPJ7U/E4XKJw/D8SyQuYNb5fLDb1jc2W8Tz J10LbRGhKN9Wt8La+acpS000RrQBoZCdTHcwx76ISkJQY7bBA+1zSkBXUgVt8N6mOiIyJ0MiUm8d svvL/uULVJjGaLBedk9bRlroZQe+iAjivtv9cnWoD/oodEakveZAn7MgiByT2StqtbRWAzf2BKMI OrTZYtgIm0dTESKL91pHGYLeJs4IY6A06R05AAWHla3OBA8jyS7KgTkiOuao0pKnboN22zmHtgjW 5wfh88H1f+FHytggT5JvOhL7IyJUKcSd0X49m5LuauvGSKho22YLDBIhFcFO/yYAmYN1s+f5dcvO IunXc+cRbgQOOeYfTfeWrosJOeg5cEhEOsE2di/dB2UjszcZr554go//LxmbWLvlrqPQIREEgfNL myE0WKvLCmxQHXTcyE3YQotEacTy7LTBpghFtDGbfHBwAfHsKZR4pXgpwGSWsI3sLxtgRiVulcby QZH2aFM8qtoKHw/utfarT4spqs/dH3okAtCDZmrxpNbMJ/beTEuKi1jaFMWRtkr9/yXSCBG6nSk8 AZ3Y1XYmJZtVFsrcjXwLbGXZy/6FiSiNi6mQUMo60AlSDTd3rtDFD/iXEiHDckRK7UlqA59EO48W gfLU0l3bo8hhtHS0RZontbFRIsnWlOey4WKP17l3YNsJKe+TqGjFkaaK4tgParVWG6EUYQ6MErFV dJ/V5oNKbCeaVJP1ZAR8PCJk+a1GLCQmfD5t2sApMZOodA7OoXkBVKtKMKTr5gddvyyXSsuxVSJh R8UqJP1ZRqBDTHYaEWfPSWcQijWQ0YdPa1fOu2172SjMHsLUZB84Red420lgmVn5eLCIrmTIxfkx bLMpCjmPIOzDDvHHNEPMeQG7o1oE2ftwAHvgluigEdxgPjwR5ImsKwWr1yy0ksClxj0J/zgwPT2C zN1eNuAdmZWALv7tjeAEP9ulKDtVPh+h2L4P7IzcIT2AiMAyUQQK9B9dM43NYUJqqcApIgRKFraM QuKjgqO1kw8Oqd1IQwiL75BPn1vW40SWrkELsMupoah3DkwTM0tkZ3KWGH4reR/7fJ2EY9pefDyq 1Kbv4Ag4k46iv89RVMwGoQXG17bVtqDZMMYZbdlhlEfJvo4i0AiFg0sTJZWDn9jg1JwYWC0RSLFT n4ZAI/z8IKj8VgbLgXWiuOXoYLftg0psMCblaTTX5uP/AkOyiUaFsXzFwT6voVHNBJ9BN7A7en35 MtrJxKsLijM6r18DowroEd3vmgwm25U+L/0sjSoJub5br2T0VjqTnDcD8A5JwzRleASPr2FRhTCl MrSUfVB8PbNAQyeMx8Mvk1PBrdIC6upfE0tJZBa3djQ1sMU5L92NRkMgKDv8NBKl6XgGVkKrJ5ga CRd1SsRpuNVZuI4McR/O304xCcTeSLZQAGAjbuYvyPrr1CTCmU843dgO4cCVc9YLNQeQepyg+nVq EChsmxodNYQrs7ePuXmNhWrmydooT0Te41gUZCYflSYeTF8BMOfcvEZCWDaUCumV6FAOd9mgC5vk 6NYg99RMcxcrBnlqugBaUNHwou/LhqKsFRxuH7hscCoYWm23z2sMVBWKa27EsWHtY9nUvEZAWDad DrZ1eQfNDubzvzS/vHJ16Oxr/FN1vAN4zfOEgy2beSIdgK6Zs8KBTQ1/QkCKAPK3QNTZQHsNfypq WfIS2AyYJ/cXt9T5NqIH4EL9eY1+bHfYulHB5IeKbZqb19inguRAoOw0pRlZ9CKETuSusnW2F4vV sGoNlg1kTqEdRAwfB8/nz80wSWUEwxGyGPSbLCRNd3g1oqNsW4o6n69xT5UZbCH6zQdVPHpKwjG1 cwF9XsOeKiHtZ27IAJ2kN9C6KZibSoQj/K/Uv+/OkYH1ssFAc3HU1WvIUyVRwcRr+ODrZoE7rDL+ +cicpLWLOhqiOFLuHHRVmAd+XiMe/oqMeKQ0XLz9vfeoSx5spe/Pa7iDdWPJV8eFpmtNHleanddw Byc4QbLVPe4WmzG8yiGOYx53FBSig0A0OwR1dAnrmGKQgh043qdOxP7JToronmfh4MoH6IVFastO ibR+DXWwq2Tt0lRQbLegCCdPnsYQj/68Rjo4rkzFoD9Y9AKtYE3Oa5yD0xgK0Xma42ijsADj5XPJ JT9yZK8A/dzoNCa+DMAzH+w0hj0HLkJe4hPLo0gJi5g1hHY73WgZF9znNcapLtDIHWqDMq7dmqgI KEi/RjhVEiY2Nz/SFs5in2NqXmuI2FWos1bSSdis38Y3W7NSL5b4c3IhqR0XnMbJZFOI+WwPtBoe mmezozaIGgaEHogaXg2eIKihMBR0779O7a9objYxeqCH2KAjJ0Nidmpyxue1fljFjdfkTLuqiujU lNMKJge5LXE9TsvLUmnM4OKlH5d3KGad9Fo7xHcLMUQVwWK4Ai2cAW8gikoBoQ+OgmTNUYlFd4LI OgdVI6T7vFYO8Qssbqsi45vi/soJjsoSFq4Q/govK7/IeXZjyUIMweK/KDYe7Ii5HNF5qstYam9q aXF2ynbpnXe2WZUnDSoxPflwcR4dNXr5sp6zjX4BOJJh94XpGPvxvVEf4z06ljU4s9jhg6YHqgji ZuzGx4OtNddXoEM6hrS1OD9RgAxFuhNtWCe+ya4SyMWzsZt15zuSI4NSR/OzFRpzj/UvaaIzNQsF Ql7nQEoYYQHVwgYVi0wFg9XEfxFvITqWq9YPk/VWru9fgt4+t8yJxz/vVLMqbydNEI6pSeE6tsKE LIkuLeDN6pzVDh9xOvsP9bYv+cWd6d95ZvgYxYKFzkAcvNIDU6+WlX4OiucOgRa7hDVBSTK64tL8 RHEylKjgKVjvYPtrGAQQzfrPO82M2dlzq/P8wbV5z593mhlOZwh0ABipCbqSsCfG8sI82O4+QVGw bHV5ge04OPQVutSEiGKHnTO/dmmHUPi9bOpOuDFZFnQhSrJI5+yNpzQH5Z+A4/GqLgudi38eQGzg kxQ87vp5D5dxsOIAWsYmAwvTqOWb6BKGhBQ2t2JqND3MEMZmDsXBb6+dBmXICaI66wilL+o7oVCH u5XC5tpfU+WL8ADC9KAj6IPyrG5qSOeSHJ93dlmVQYtd7dTFp4ZJuunEO7usmrR50/qBHg6dKpCG JranKb680cxSiv4eNOf/J/B9l3FAf4wDCpVzy1QeOk48rYz1XGOI5hE15OvLYdXm96D5bGLyXboE 9jgwSYcMj0iKJ+v6vFPLKlA+d39JcLfAmrp4mv7OLcO3Qty8VK8SmtuEevHOUSxQPtQRHcXN0oZF S9wHP6JrA2COLYs1dmopmfoMAlfUama91ogMf96JZfgTmwJn3fDt3vDjpLskLJyYan/eeWWMZXwJ 8TQGSgsFX5ufKHKG0cFJR6dFQMCkaIflm3SBtupt+Ch0Fvb+HPXVh5uR4q7JCp3zCaIrizyJEtOA lVNRzY2lll71fX4GY+euGmq/NdQ26tb5epKvzzunrMrc+2uHUYoOF5PNz3v4LMFUWCN2S7vG8Apq NTdbyO1Mx7tE8bNVM9Sr6F+9CmQTC9koW17zTPaSBihVoZN4XW4NzdTinVBW1bBCj4Lg+yraLnOL 3pvEKqAjE8XPO6XvxIvWkXt54vXOJuPNQ2DZFjABysiaFYgzujFQb2S4dH5MFEGzokEbLQ2aH7gC nzijSxoWJKpFpbksf6CUTbNJIjJibkYR9BySu2SxkIOqhIUvTTDQ+rxTySx+epIvarkCDGdVwiiC xj3bqCIpKBC7Yjx+KN0rZw5QLHj8vBPJbg0Vxqs+2PkMIbRzQFNZ+CzDCvNITA/V9NkA3u2hthS9 anA+swuIxpwPKmrMpuyrzpI/7ywyRgdeDeNRDHk/zLHPTxRB40JvrdlR3NrYVitcc5qaVaYtEY+f dw4Zr0H2J6i8oMFBQI3qTF1lDVrfMMMQEBjqjPgHJ9oVvepf9ff/2wkE6hHyzpygNj/vDDKc7nl8 Hc9UzCpPlTkqM4NkB+30aoQNKvlBWBiimQ6RZrOFZeb3ABrpuyamTx/s+Ckw5UtUkT8ZxhJ3D8wK GL4LYIYd4aWNqXeNIiACNhbLqbeRBix3ka9Uru3zzh77FUAr2MEr7XQ3WBhAz0T10+llsaUC2Qnk 7KQ+ATQvG5w/79wxZnIqpbLBxcEzePQAF2Wz+4ZsD1px3Gb1hziCDPEXQ7Z0NpPfuWP4EjF+JUHE wSLoYTssZT4dRND9pvCsG0LDBO0ln6Eohl4UHyzF9GFrue43EG01vi8wBNpiUc1Z1m1kMNrgKca5 AJt4/uffOedNo2paIfcJRarFApAqh6RpvvPGMEEUJK5F3n/lev8B7aEb6Fwrn3feWBXM7TmikSYW QM9sfsKyc6fmsju3nVtAZecT6c5iR9CEUrrmJ6o7d+Lm25ayjt8uwP1AYb1MtpE3ZI1KZWWV1Xv4 h9DSY+bHXOGdNMZ6DKsb4JbZoCMIeG5h7E78/3knjWF6s1/xvK6Q8JZ61897BI0jqF9zDqSoaZhr SUqjOOwnEzvMCXoPoWUzhNhnLx8uCPEENnNZjnoC6kYkfoJPMr0cIB96q0BL7/p+BC0yWDpR0xq0 gNgWEVMu7c87Z6zmKxLbpcmoXK7dFRTF0AiPG92IBRxfqxvkh/KIBI6fw96qz++MMS7fxk4lq2Uc vBk4oDeHCxGXWFr0YWSejziNjY5HBaUvvWo0QQQeokdpg03QzJI4ws3/eWeMVdGavoIguqPdCvQ7 Xww7bOPcoQYdUAiVjHaUyVYrdkbnCclKXWLvMTR67JV3dr2DJ6kngtoFAE4QNOADR5Jr3TSORdGo Xl6m3O3e2WLV3Vm7OjvdOztrWr9FmlXvZDE8Pb+rrCCIF3IoNT1RCI3wo0mjl0WyZAyE1Yh6FZ2u ZeuXvjPFniLHpM3UmP2mYIDmTzIEKBBT09yibbLAuU8M1B5zO0Rqn3ei2N1fjb4+Gjg9NDWS9XFe n3eeGCLM8R1Cs+TRsodA7ywxBE6wPkZxxjLU0k0XbkjpAwAN2kNxd72TxBhCs/gji18ONj0gPUOE Su5kgLKqjI/qGAUfy7zmJYMIjXeSGJtXzDAKd9f1F1wNOHf22s9l+XnniFmZ/KsGjeCLmgqanhik QRhU8sNH1ztZK+anhK7psBr0O0HsJvAU3LHBEjDMRBUgC1zM1BYjgIFDGT8AqQfdKCtFbxrNjqxL dvXBuqapmvPN2f3v7DD8ncnjQ3VYmTA3n50ofiYnfHTLtZBeWE/5HHO3vDGS9XfeuWE8eng0Jx3N 6etoPgu4UhUcAHr4nK2biZxrWewjP5q3vWqQf1FZoE/iezhw8UAG2UjznW/4r5NZ0TMqms2Pnnda WDX17tKTeSvkVYcdPaqOFYrjX6jGe/Tsi2fMWX2w6YF9aWryYD1LFIqmnB7awVGJZd7YcFKe4p0U dhs8Z/uzSEbsJyulJ7haLO/9wD74804Kw0+4v4ND0qZSvusnCp6B4209WbOiDZQh2T1dF69RqJ/H +vw7JQy/DmEYM5U72O6CLce5ysgLne3c8yqEsI8B+Od4aj9F7xn1lQlFWGSCc+DeQsLYhfKZ8/NO BqvyP/qu/QDiU/o9et4jZ9wGwIclc6gFYMM08nZ26FwFZ8JWT1R8TkNJ15w+eOQMncUCM1MICaHK xHVGxd9z3+yfycBQ1XlKv78zwaroZiiqUkeIAzdXL6ZJXUavn3ceGGtj+yt3pytruqXVdxoYvrNQ 1iw3S01xYrJ0uBI5Kkm9na7U9J0FVh0t3yclF/ocT3eQhw9iFIQ950dXbT4jk6aPQ5ZAjs7mvvWu Ue2QmRevOw3WHQRIj7npOeU+7yQwroT2vbmAaNnP1RXFzZjIBqiAoTaAbzDUhtHCMv9Au7ri2jOb X13tZTkjTmamVe1X1p5hBgUGH2z/IB8I3mthu9By95H0rhFWzPTexShsRuSGcHBuEg4+ifbnnQFW H91g75+27/7pOwEMMwQURZXCC7oXZZnC4qpXjGIwzuQMhdVnCi3AGcAHp+2cuKqcNInKOCd9rtQx L/R0qrh26O1iqsEMDd/pX08DfgrZgsiAXWWwQYsIYOPkGJ93/pe1h577HSdSZUlIExTFziicQOBn WX2MtDNGP7rUUB9De8MmKCo/TzlCi5HSH0ZKGecDzy4oal+cv6MQOZXhM0eJahU3tIJwrH/eqV/s YLG+Whn+cBCTYDQTsz1/8+ed+FXTV2papFaOjxkXwvHO/MK3wiYDcT2PHvQ3JYq/t6w1SWkFqZn0 uHfi173hO4kTGrz6k05I2Bh9QLUDzm3UnQZPvQIMTiqhVlAnAPyd91UvYweAJQ5urXkSFpaFzw9d 5+ed98X+1/jOLkCQ3Dn7/IQQjsKuoBA+UA+gQQBEMzcMo6gZiMvzp3F+ovCZN5Er3XHwAn0fdUq9 sAPhMgvFKhJdpHGBdpAKrD8IUMbnnfTFHcbDuZQ7WHWsLEkHAPfyeSd9sX22vk6gynb17Z++c754 AqGBwWIuq4e1Wv901ORc5lab5e7vlC/G4eJ6mYX2k5ye1ATZSWJtAyxLZOAQsgTxFOoX+1uZ0171 T4TLYArPwfqnfXUhOM7O/rzzvVgC/j6AGlZT234AvdO9HJa5hpuWzLKyFegJ52L6leTykvgxf+6v zsIFB68/n5QUmhyanwXvIPaXSaOgP9D+srYbetXgjie0hZVOGzQ/ORUZBJ08pXze2V4MEfwGY0CJ IiU5O5qfMICGM+JmYVy1sWHp6W6uNgkImcWI71wv9gdxQA9JbY/hkhAdJuIps34OgMYJGCu3IKSC 0cNE8797fsqW8eed6lVda1Ku7RoYI2Y0G5lqrBNDvzO9sPpM/c4ACgs1ANDwbX6i6jPevDUSC/9D Q9ei0HDnao7aqB87rPed6GVINFBwy/bBL7AFVTex4CETkKrQrdR3hhjcg/9hE+XzTvO6xY0hjzsO nJ51jh9BdU8E8XkneVX5Kn7V5lkVu7Wfd46Xrx6abIu3nKbzliXuZvmplZ7fKV5Pfkp5BA2evveO 6GCo9oO0VI2yVYXnkPW6dpeu93eGl4XpKBlyd3EQeymZ3ccJ9/j0v7rLOMjBd6oUVtD0RAE01hwO OvetnWYyfq6Dm522TnhU58dE04PNNQXemA94o1TInqMVy82FxIkFkE5NJkSgCJvs7En2pkFxI6li yOiQg2bnvL1mp/f1ead2ER31nV5QaGTfumqLgmdEgO1E/4at28lsE0AoM+Vt+Mf77MTQZyuoJh9u 7x1SoiaeAJjw0uIZyO4WoRPZ01NwmvgdwdVudqNyuSkuUpZOyLzUGawZTwex4cjf2Rei3F7vyROG zvKNHHazLzWZB/zUloqJqKt6aaxFZWfZHUI/0gefnjTOhh1NpusN7H2SuRlhodhFDz4dPfR7w3cE fAviYEZikYODjh5IGqjtlfh01Hn/BkYh1MAGdzJKFDhz9RQ1KNgLT14ay7P70VOTSSX298BZPbez qVR9nurcmmIC6wCA+PVzrcP+gzlIQnyD+l1NT+DM0liPmYGLNTExLrrL/0MNQJbgUN7oUdxc9j2Z JfJKGws/mnsUOOMOPsl2M72ENpIK9ADBeddiTXwOdlePkBtjSRlEZa96tbYrWLwjDVbG1jr7jGiW wsQJn/yDfpIrShDa26PCMxodyEl39UEX+wlK2aYFABRPR1ym+RX3IJPaFzbWw7AZDN3UTdIe9DWT 10wIRy3uAYxJcWGPCs9aN4DA+mDAlgVOx6J3N3pesNkg7wJ/XecrU0HFmLdE/vQocBbzrQjBCkGB H6YTJ2odUNFh6t4Kng+wP/P7eM7sIcxnBYU8QdRWlyT+N1s8WfUx9PIU+iB03nJF7FHovMQuELCZ g9fHTkTfKNYDwhdIyoV2f+jlN+r7pwdbJ73WHsXOhTISLZXhA5P3PId6qND5wtMRjfKbXUAKmoQS OD8RVxAevC1T8YVC7dl0gFZldCGdBDBWKkLDHobOqh82pe7tpu7ncD9brLQl08gJIWnyb9HNgB37 T6cAkJQkWD7sUfl5GfRnbg2VKO+c5jnY2Nvp56DH49EF9h39wOKxPuyLHofOmJU6XBtxmpgt7gX3 i+zZRRJ6SBgcXD8qAXFwcgFA7qZcO2HUlaitvVEZb0gJqO2qEwgCQ3zV4H6nXHRV66t66+t8A6qR bLuXyVeMNtjFjvG8olXgRbb0qAANeMY5hLpvMJIhWYAmupMbLMOwVRMURs90jGh93OHe8ID0LlRa TorRV9vUs2MKxpoA8FsODqdkdI/qz/qTzu69g3G/ztUod5aTAeLxf4HHJFfaQXH3ALFH4XNKiIAm D+FiWhJgbp/fulrt5wQrAFDyCotwG4Ydq4qA6gNsoQo5kCe84s89WyjoVij+iEoy+MJOjWP5uUfl 5ypncaWoHGx+BuhSmJ9z7OLxiHP6zceF5XthIVDzE2Gf4cx0AqBm2F52v3kAzUqnOlzxDSBKHdAR bsPoTTqnOwF6P1UhEFX+VVytDawp1MYKeA80E4Lkj/e/sD3xHRFyjMCExdy9LXfHSedTqi7ps5nx eLB+LnBDFx9qKLgtbILCEBoWmmfBu5KNCKj7ZwFGYPwvnNs2QVH1eSUVV3vzwTbYuXXgT9+Nzg2I Ea1tGtmNZ7NtZBxqn1InC98RpaeEkhtAarnEbzuBlpB1IzU8/U/OskpG5x/2ZaeMKIYG2xXILoOO TX4V8tM+a73QjWnt5REVn1nigt/T8MHby1CIqxJkXyeY6oM8qE43dpqBkrSs4iptNEYUQ0t1pOG0 skELqIC9tlUcy3g8oi3fFIzP4ZVm9hN6REG0UlQIjMk9m3qwPKEJrRDlvbtS5AirzyvooM6OogaF KzsF/hsLaBldi3K+9wc0A8/BcCvhOyJatxwPJQiw8xVA7sMivLnxdNRhHt/zQ35eufCNEYXRqGs3 YJdkejQhPaDqGM4mKpCA5GI32Iiqz3TQQ2WDF21lZXgIOXZudlixkH3R4VbGrUhvsYH7+MrVQ/Vv 4CsCaCbRGw2GEByseXEC9DF4gQGEjsej5kX7jhAR6ZXh9Z8RRdCU8y/5sgsYl6I9KLl80d55P3N/ hRF0EnJMCCmq0Gh6kL/1zammTU1avMA6rU8gF1++7veJ6YnRG6w9D+poclD/HYwXxncnh8DTwfFT v5unNExu0+PDEcXPaLq0NpNzC+QEOaAo1A3YC861z04UP09SAsGe9MGBYyWNE7dQOhvcghMfCp6A JKEBmI3mvh8/rHCMqPacyd3pcoXoRNxg0WyC0fl7nP8Nj/+r9yUkFHKG3e/6iSJoODa2RfYL5aHK WAaeL1eAPXUXsRlRBC3prCabo/bYHEHG8KQTMD1EMRVUX8lHE+ANN2iWV419SgWtEVWf5SVC/3Eb eH8t2AaYN83E09Hx077iH0a/lPfT9ITI50n51WL+hmvQ/GmBnOvi62C/L5ueCPhciYcfnXkGB4+f T6CKTtfW9ZXWHDJEwI5FWEp0lLtkLr1q1PtapC0T3zKK+2QVa7OgBTPwdBT+fCMPCx2y1r3dQ/gG 8vcTo5hvT0mmTbJaNx48KmTbD+eo+qw/+mRCWQMnR61T6q5mtk7PVl1DditA9J4IeP+gjOy4cJyY +I4g+qF4Qk8Uy+agAmJaEj2E7iSejjpf370Lev4wFNb0xNEz5OHXNOZpndnQLbAhtsMZzFG72+Po mYSCIZDLcE5Eh4gfxCxJ/Vp7nM8XrwCtsjNZCl58fnavetcgfG7GTFEZ+qxunhkZ4gPUrD6H7l54 Pkjg6wWQsRzfiIC9ZbIRwzegKFoNBQ7DjCZMQko9ew2oUbKRaygsQle5rFAu1CJa8ZtAUs50X+gn /9pE0CDpRpWV+HD241Wkp8oivuRPbHhijsHBCGBr7myuhhmPB3O0L0MOc4uaUNkXwDHDOnTVyUwJ AISIqEecu+skA4QqYIYoHcIcY0Yx9KKIeG/SmGhXY+L8wLWe1UG3PjCdkMjjjAbEiQ1MyOY4iKzY q0ZJKqtAlewLDjyETuTdltDhreHpYH7aLxDiYingIoBmFEKDzIbqeaLlHKjeQwCORnoCc7AKXCuT +BkyCNUW7SQXcPBDGnI3XV2eDbAC2kiokjVOS5eklhOYi171TwW/TeV+DpZjnPOXYQWiUTweQch8 gkino0/bc8nPKIYG9rS1ZbI/5xzaVibrhLwJQzYcIjVDCuFQn2fdwTvwJx1cPcsUc57vUb1IZUQg HQc9n0RBpUTA/LsQ3YSfb46fP68HNnzWBPHxaIKc38TdiGhJOgWcnyiIRuYHlEU3ftNMLnIzVrMw cXc6GiR+TIQAknaCFYOuxjqMGeGjI37BIpaDWJiMojBEe+mDalVotKPwHRFKk7SULCJz9kpc2pBs JSqjrYLHI4jdN/8C6VcZF8ExozAa6fW50IdSd6jrGoJjVhMrIYLMROhnqFnX3pXHCkqKeaam9XOi wck2KgyhwE/bP1B5cP4Flh2+I9hgSdSCVXywMiuyFzb/zjfj8aBR2MpXGNRRcluP9Fhchl4QwxuG 4IADnRB2qaTuCA6kmIT5zgjBIX5lG2X74EE0S2c4zMivPAELw21eXAXMVni2O8Vgbr1qcEDTCKPt vn3Q/NS9BQc6OdLA4//UuOEJ1Gk5ezEuM0JxSH3eCRcnNhjGQK0UPZP6fFmGcZlRHXplu8K2D05w OrN/dno1EsYqu8nvgKoT5zqD/ZCvIAr0zyiOpml27Y0zxIFXWOqtZTXiR8PT/8riWSabtAj3IGiG 9EHYFo+2LU8d1KtiI75lJzg1qnvwhg/V6yjeAulrH3x6YDgHHj/TjAG7vqZuIsj6FWSG6/BEH4wZ VaHL0gUvh9BqDqH7JByiq4D0jacjhNRtE/KsQpU+X4TdjGAccgfPy62LlrkXntyvuQZQojo1z+co jqaQ4Rv/q/aKvLSyBgSMYZL9M5LjAnVmEM68DUb24IzCaIP4SsiYgxrxCRLG+PNybnj6XxggGqkw CbxpxgzZg41CONNgHJPiPbR0FCm+k8rRjAA/QwGOadpIzQcHkO2T6yTaBfV1DtiahLMf0IFi4jgf 9qDin1DCjjiFPsge7MPZgxOO78SvnkMNT0fx4fq6vUjCeErQKwqfE3sYaY6LX1XrFPheNedxPxdD 0K8IxjGatoyE/vZ49FsGFBCH8Id7tJmGejxEMWPWqCD1JYC9whK0lJ2XFtEyktCGggWDl3beHk// S/+Q3OWM1fvo26wQxoEKdHMnh3MVO8MApWPLUhdxwjh8Vgjj6LzWm1oY7bYw8jkbYA5Ot4KTiA2S BM/nkX4BCjzCEDubF2+vFVegpe2Xug+WXlQaip1P2BVPR7vrl1wvQiHKWml6Qpln6LNtlrQoQFam ikHAcTiBEJho00daEY5DAltDxG2Zrf40RocD5t/wzsHtDtZM0+mDHxJFGG7Mh9vd8B1BCVrA3ram D8IonP/d9W0Gnv6XnDEPYloUXYDmCgmEUBeFtbRXEFu3EtmcKnecw7m5Z9oK4c+SpW2qsbanxrpB fN5N0zNOKioEcAHhqOB6/Kog4sDmd0QlaBY3au4+GATxJJ5swZ8vwtPB6dPTd3ZKj5J9xRNWqPcM BU3GNpRQL/SKh9dFkyKrFEBR/KX6asgfJHQOBlA+2PycuAFzYh4FCS7p1P/hnQVvk4bjyPVtpl41 0vdTB2xnHyx4PrejkGErZTz+r9hHbEz8J7rhPkNR+Az3W1QRk4qspXRJuSytWubvIxEFdILDFcpv qD5GvUcNBpSC6OFC4q4WGDr6RAGhIN+osoUrQkuoJFoTrQjGIZgLkEU+KD+tZQl3VEcfnxXCOH7p k5BEP6+6xIqiZ5yKbZMvRCiZYC4wKCjFjNKA4jANxBVGzyJ/cb9ocIZBKh0ikchPN3Q5apY+CUDI aM5S1lYFICo34Dv+kgc4IYJ2WnIGz4nKKyFlJ7ZqeDy4wnb+PoLwNX04TGpF4XOlx0Vj1lV5GHVz KSAGVwyn6Y6EKwRBW31jJx/8hj/JRSLkAnXWM0OdmzFTsgV9X9BRvUDGKv2K4uckhkHlNHHgCQ33 MoPQ546n33fYyteoQIzMjhj6brCQQ9hpbGXGsSfHtQBxQYPMYGSTG4HrJ6xDs77RmuTV27wwuxM7 tD6r5FsWdgDb9Yngujzlv2Zd1LOmmt41wkEzQe2Cifd2JaDRADBd1YrHgy78+BUiIj0l+FcTFBah YWB0QncnWW7vonaVOmggC0kRTVAYQYvb1IiH5nBxiOc27ERxjIQy9NiaGdydqDuhy+0h0Bh61ajN Ixi9YIjT/SJyggYh76Cd+Xhwx98NJg78eY16K9A7CqER3J57JhsJY4p6iipkbRfFQTUPamSHFELh m5ssLzy1pgp0Ov+vGI8ZhrTUUKJqHiS/wXP6bdO8oxC6yfAz1eGD5gdyqKI4pcHHI5KKX/LGF6RK gecY+z2GNitLQDYcSb+XB4mFhzWP6HVVxEMNDqmrL4F91wX7IhrMe4kkd461k1aoBs2bC6LTpO2a ATE2Db4jKAE1inAIp5B1KhPqS3FyRjaNrxgd0ZcJz/uKKNoro7BDODRcYyfDXoSJi1b1CBML9wla hQDs2RbbIRp6Cd7Tiw++hEDugK0es4x5UlTyxSAp+0ONfKJfdcdTGBff8VeNbKpVOL1VuKHXJZIt oqD9bxIh+2dKC+8CCkmEHSRLdKlUom/Wcl5lm24/C2R2RO+/cRz/LVJbYAI7gctkiRXerksq0EhS gfvh2eUkwqJXDYg8zGvb2t0HTQ/sMIWzSxtPR1QM32B8M/w6+1k9MRB645I1QchGOVGZpkgLiKYp 1C/FDb9DILSurr2WDzY90J9ZdAHA9KD+RokbVKnJN/nZV8NlsUC/YxkOLJ6aRYLPTnNaMNeo2lwV T0cohfUrx0CO069I9o4iaOjtnQxp2vm8q5Xq96Zzm2Q4WjFzzx2iOJTCr5l9uDjxAmnsJUrcWS46 n3H//PCQZtBvBTLKlOyoAK3KWJ21+GApKlBujGnSwtPB9XVdZdi+lBvZvh4PEYwj8XhOy45nc/iG r0wzuRuUR7fvrlC/blvrdPrgFbJU4R60FUC3YjywwnwcBURKtK0uf9imVw0KrGLy6BrjIJRL2YIV n2Os4OkoBfPdReUFRFlfZ3MYPxdUyNoyI2Z43xrRqU+3ayozG0N3xzAOljaqJJLqI5EEnbqz9XoR Cvoc9EUKxyjCU4K1u0FIoYMtviNIUYvML6QB5L5H5+Q/s2MWlANPB3fXb48H5HL5qrjssPwMlEul Ax0giHIRp3/KJl8Fh8+ERooOnxjGQWDhFoBut+fq6vBMUX8ZlnOrsIAvZ2GAxPdFaIIhxq+IChxb bcHig6rzZ08NGcYsPh0UOG51Hr8cuXl1enKxo9gZumsnJa06mnHtGrQlZUkd00CFdmqcngjAMU2P V1zL/DhatQFuCtPkDtoCdxAKQEA6kf+eL8gFVW5+RxAcsq08qsiE9Org/BTJXsGvsOPpf+qH480A Wi/X0iqnKHhuNLUa9HsFDEtOO4XwjU7IArJTKBdQHzuF0TMrZCh7+uDp18JRjArNyS5OZNjpaIta M8q5qWIBXBQQRZLwJQHPkgoKVH/BAEqRUKwQuOf9088k8gMioKaHP4wlOwP3+sxSVIVG9/FsLcRc LAKR8IIzqBIlyBwVhByBXES1/yMH29JQ3+uWoRvsqRudZgfklBvxHnnRLxdXPKKkW6cf2942gimY SlLxwbKMc7Jxp51sqfH5IM24pTJOL+WsyhVryyl0U4EoM+5d62XQwY2ZfN7JCU/0HKCXQQrh0JIP FZyOg1dbZ6PVHtFSKCUuQjpTVgc+mdi6oBwEtOJLgklqBlIQsBV0sqZiGUIV43ktfkAQDZVvSDQj xzWfSYoq0vDGgVHI8Io9ZTig+DfugZSaS9rRtu/9c4gEouCTDb7hGsS1iAnskA7ILLlm4kRhGYsN 3Z98ddvb/qUaQB6qDUrHzk7Wf4EIGp//F/XbAimI4l9blRRF1Cy6AtNuzp6tCmy356SWXaFibTfY nRq3722f8oqaQgSdEDRWFRUnu3343xCLASvETpqQ9fRj4ZdEvg88jmj1rcEK02iQ/3/Gviw5kt1Y 9r/WQpNhHt7+F/bg7hHIopTRvDKZ0Dqnk5VEYYjBB/YNN4wNUgjruAuJc4UWRX9QCzmFlekKYehB +hNbh6zdg9U2yMjAdtvITaqO7rA0PSTgRoIqBz+U2jpHbe6GfKn40n/ofEtHwhPGzQcZxLufR2AQ xRV1fZIPhi07m32L9HUSM9a+gyrqk3swEsc1umq6sxRKdICFmWS4Bw+snQWShg6v9coyLUptKUXh 9ZQ/rIjg/SGCnxz65HYZ3rCsAua02QVJqAzRqpqKJqYhOaa97L9CpEqrdA22lJLFS2VuvWRUwf91 JuHb6JfIK6G8987uwp0wTGJ80MgDZ1KhlaIQHsklxrmBgxYNMUIKtc2WQTDX3WD0JBWlcx2UJZ4P m/RLJR8vENE+ix8SUTGZw7K5VgWg+xEnbhEkhzO18flIBDl9zRHbQMAF+ByFcfbCQSQcA8QkGeLI h9m6r8ZFsDmK5DqKyoz/o1RWADmYuZkeBQJsFtFKZ6UHpbd8O/VmN5ximWjqZ1NYToMV8tOS0s9o k48Hm+3WGTG1g2ZYX1FSXKgGC4ElKUyRWYSdKerN/I2oJnqXUVipFqRcipLtUZTEyQNBk6xTG7A5 NuszDmuQERG/GlSo0PSLHxIVitSNfgZO0YbOoOCZjCRDu5XxCyxUAFB/wJw5tCyEzWwTZ5hYs2rX /xqS1WYpDU1RzVHgWQjAhxKScQePkU52smiLinytDPJzkM42vu764e4U6UeGGTkwLbys5618dns+ i2q4WO9QG8+haeG4eE6dRqAdrXuvha6F8GZpcE1SRttkqtYYRmIZZUA+AHiV51xgWyjJYSDNRvLB ltGeSPmGEMGAL2YzgMTJAO4qfVq+m/Y5ti1k0lYlV1f9WtgDFyaP2zkqHw9C7Vy+dhoS2rIu6iPH voUZbASKdjOtrcmwMCmNYeovVabBmqNQOJq1jhN5bh+85gjwyxJC8aT9hXrCwJqD9rPZEx8P9yfZ 2/6L/IMen4bCX/bMNoC5PLDr4ON/1vQxHYsfci+1wLoQuhtAS+/m5qAkRKDsuARlZNmR+0Q7LQy0 penWeBoP9xVEBDmhxliTgK8Fa4wKBOB65031ott4HWxM58C80LUlgZPzQcg8oLMJRjyHHh//a6cR KSIT12cZhdYruNOg3aTYqA6LktY5gbsnbNXly3LgX3jlSbPutPyob85zHSB0MWxwpXEhYiNwEEhi beOxhtDFHzoYLjnMCp7XLjzvJIVpKiQsesmIAv1NIiMgPD8OoYGDIaLjjZnJzU8j22pr7ubF/SkH 3q6f86/+dG9q47cvh+ITVoOluUyFIbFAUjJ8cQqachC29dOIKjA58DB0iclRmYpwSCqWbTHG4WXI x6Pu0PdpRFO8fAHmOfAwBAK2MEdzif9sTLKvAnbNQuAn/ZyoP0Tigc7tmvLlaaJDlBoWDWyKNwyX GxUlILlE46r5rcI57W0jkoIUgEfywaojMC/nXjs3BJ+Pjmzfazx5kBmP+kzSe4wtc4kTNa5qKW3P RhUnYDiLpkBspSYpUvMwj7UlHP4qX3l/O2lIExB2pgknH+w1cqKBMKd6hVk512ovG91qQsj05oO6 aBBD4Akz7R2DddTviU1eFRraj9p/DswMEZqjwL8YLG7e/Il/WnsQPkOuzc5GaM2Bm6F7QTXpVnCw OUodCtKbdqGYBRjJ07CYLgiJdq3jgmXYiM2hn2EXEu2/miEnqj7JuxQVTs7A5yNE4y9Off0lOZBD R0OIjpzLdxkgraZr6ixVcvplIW+zhRQjqiXY/gzeza99bZiJYZISvNLpelhAzGLlE8q6ziiT63Xo aVg0R4vhEQfNUQbvXZCiMvh8lIl8C3vAgbQ+llk5tDUEl7FN3C2WiVTz5T3bJHkmUm4mEvoaSrG+ 0wNUwyW9QOMMqEDMEa5Lkj9KFhByUyLh4hqzvWzUlGW5dmQbklXwke0MCUpiHQXWhphjz9Z4QEOf ud+uYw6tDYHfQFTUDZfWnBe0wLtT5R+gAttsobfhGAI9mEjMs9lOuJ5B3pQ37wRamMxN3gQUDdlX v2uxsZZDc0OZtWWixjXYXgNLiGDl84Xy+aAOeVuzSn/RnHnqkKG9IRR526CJHQ7tXn2O6JqeVRgB 98DmKAyzpeFViDzj4AHS2WBpMGI8i2ifPzVaANBJ4mTrP5Mqi0MZbbKXjaAzPEUS/Xk58EOQ+hH3 Bw2CxueDIPLJRWQjgaisPgspqmgn8uyz0V7MkIEVtpUMPEOEkx1IgcdhMoOoLg0hDo4ABVcFFDlc /zD5qfI4BDOSvyeQI9dEtNrLBh1+rLtKdSkOFuqkBV+9wc2GnDZ0Ocx1fR1I7B4ge/Q5CmWmUVc+ h7Ir4UqmHFEkqr/u0wIGiYzmQ6A14dNDHF8OHkXWeqL5wUj7LMhFT2T0mGhiA3ZIH7eLTa2zHNsc yqF3MRnhoEAbggcMkM75zcejmv9dR9lufxgO3TkKcSK9UAfCUEa7m2fUhnW3J2ygm9uhHQJFWH7s MhrrX0Zj57zh9V/JdJ0Mk9itBKGM5pfz+rBJbzGHVoeKkHTPatAcnQRcMKy89Hi0jPxeE2YLP+Vy qXLodUi7qE3iMe0kdMOhW6HiLekMrI9pikLJvC4XHwlXpXsegcoJcvCWIRJNS9iEg44q/LV+5hUc goGK3jUAQ5DI0NkI12Dt7N508+c1+PhfnjZkmwH11tdzYkelbJyZ5zByLifksX6hRVhfI5BbMxRr TkvpPm8fHG201vkvQiAeSdS8INoIBWsc5MhJNEM4ZPSuwQxJ0+u/DAFwJBTp1Ree11GUXR7HKJUg VRa9UxTqTkO0quVlcLW6p3tGUTTdECPF62uB36EaoIDSzO2DB5CwtYE3Ns8iHBp2sqMvDs0YNjAM kKXaUWh4WGlG23K5gxBrMCRkhHxuGz4enEXzG3GNul5p/TmuoxgbRG1c8d3qa/T+oqdovpxX8Jyb z1EcZLMVklUZyo/vPMqQJ1xMQh1NKIsQMovpKSC9IUri1CKI8rcN1tEWbVoSX2xX/lD8ta2symvN fDxqGq3vdUT1x/7caaHxIcr95zh128y9pv4EsvbWvQ+jHT+vY+dDXmZdpGgOfqcVFB6hy4g5gssN eye6mIAjnvU37jqHzodyXH0EYC9vOm2lqGlMPh51H/evKcJphOjDpyjEjQwaZs7s7M5i1f7Zqjmv wsLQa5CB++GJQ4sMAsYdfIrWienGFLkBlIZCoFKnFi8dEbCUjDw9/GWDXv8kFIKbRIOOo1SnDKBa 1jtG6NBL/1AxDkXQZ4pC6DWq/TW3bthiis9Khug2REYe26coVqLmTusEXXLwnbbSnHtVWdAvnK3g nu1EWgU2IPT7HJ2uNnZogkhVHDg2bR9UPJrbNCvb2bt8Ppikm6vxPOI1fA1q8z98ECey2GT57Bou VHkyBjuOTlKx7lYLQ2yC02Cb4IPnaueoAQ2bFbaE4FE6RMRzIVMEzMDWEdms/JAgfCTBk1ATGxx+ JH9SfN16yT/vNRZR2HB45ih2chksq5nc8u6s9/+XkwttqZiGBF6IyZR2TrjQfbhVyLUhJyavLegt o2UBCmgyPXFA4L14lJe9bdShrcpksw9C0oK5xPZj2oOP/0X3xDqfVeCVO0dRiI3UTBDRLK0dXFxA +5XJfBZgCATJhvYLLRHFlWlZ+Wx+8lnY/J17U2pE8HlBOwr57CLCA/ls90LtSv6y0V6j1kWRnlW5 mrn7bHUxYjfqIoEr4n9XswmGJAvM5ihUpYYffalb3aLzpyQ9GchHX7wxbIltHUWAkcb8o00xPudl fKK5lsroiiDnmGcySdgD3QCgDwpzeMFf9bXQF5H/Bv5f2wfNEVxVqjyleB6FkOw0v6NsoBYe5coc OCMincV5RBtPeR5PA0Pk4YQiSN13P4/iKFuntcKjPr9gtRNErsLwqI9ZGysoKVF1+XyLRLPZVkvL XjbYanKlL4ySONh5VLPMGAGO4/NRDJm/Un4xz586beiNCPW/Zjq5mX8y56TF7qUAI9Wdk3JojijB FLC2fHDACOpGAL7yPEKVllEChU/hiHzmp94aZG/2shHOjwA/ZNc2qI0Njgavc1h05NAccVzejDwV URIkHM3mKLYW75SqJ3D2/AnSU1lgSFzn4Mae31JGd/o5UcGf1dfNGICD5ohnRQcgjojRif4IgDTo S0IwvLJNfoNIUoty4JCIA0nUfN1vdT3sz7wIsD6H9uTzweV/bQRUuIboSXoKbKFHIrQ1UfF3l6lS FU+uLr8p5rQjeRQZmCRCLIJfpPiv46r89xOGQEkP6nnSqSZrBlEkVj4b7i1dLxNS0HPgkigSObKQ NHxQ6wiXjWjBa/HxaLNdGDtPbcpu1bvbQp9EODA2uVaiTdudL7LGJuSfoJFxE7bQKHEJLSKNuCH7 HoFGTvhyrjGJOA14EDGWajA/BW8WHS5P2IYipMApUZACZLOr+KBI+wQXqo7VVvh4cLFdHTC+G6ao Xp2iHFolgu9+VsxoltQShCnQSPbEHwapXoQMzBITzYpJMeo+3CLkhC5mNprjyqKi14WFD+A3Cnle YCvL3jZCRAjEnngwSV6UVxQiXQkMnnuAPyBqr+VfORtqbO1JawPHRFQcyNJP6hgBhexprSjYk3S1 7LF2bJkopOiUcONNFTo6slAaRtv6nE5An/JuO/srE079g2qtVUeoR5gDy8TL52tM2Thos51XFV36 7HI+Htz+/Rf6CBiUeS03c+CZaNlILknxNSD5XaIho1Q3fiGWRBFSbJo45YwjnF/9wvmVk2dlmUqe MKZPUbIywDyF8WC6hzbd5fgh7+sInGlw9VVA6lZAOps5k7AJIHPl439p616YX35qbKFtIq7eBm1O DyLNX2ntNbxJO6jBxMs/8E1EoZaZWiY7i4PXsvHeHew9TNFJGwg3PnncWeEU+kbU4kFk9pcNiEdV 5xDN2Vt2c9g0TxQ8pcyTKp+PSrX3zF56u/PX+kVoBe6JqNVKkseV03bLTnyclxgKsw1bRzHzUch1 SWPMK40B17IFKwfx0hta2YIfoUiMKAw5u5NqqO+dA//E7Jk/+n42GBNiJWFIQNnl80FWu74zNpom oAR4JylU36N8SOfUTOrrSoz4XEUmlJGrtCi1kiLcSJPunuQNxpe8AYDwc4xpNkIwM6NCBE1zgY+j 6tG3QlgObBTVniIM8g4qs53vWPooc20+HkFrftnAoC/OGI1T9HkNjxpmCEFkN3838IUsGYE6txVH 5naXgdfgqAF8RHdJnPE2WHA081mZi0q/I1F+j7FBRxeGbD9mDtNE4hFBvoZGDfIq0pcjOosDZ+fc hKb+eW7kz2tgdN5vWyV7/HhcJCnhxXAsmpqJ/hsbu/8RfH249gzFDIjM2tt5NMHUqDE7tjTC9mMe fQLsip4j91c/B3JP6oV0lpCBal78Gh/I+uvUoBWCs6cIKVqyyT6sBZumqfzjRNavUyOYsqZGtHRM L4shmJrXaOg81CGLOoYb2w6Cf//DBhXV5JjCsu2EqXkNhbBqeMnPzKNnXlxCh77KWLs2eQfNTKNM YEgYrSHNShc/C/YJXvR91RRiZwYzRQ1cNR2YN8HVdvu8BkGYVxP1HgqnIUadFxsgmJvXEAjLBpLM kDWzJlrJVrZuabhzGS3XuKNeA6CWyYauNPj1wVtoJ2isaCcT9LDws3jLDeSBk4be7WKLs170fW6y AR1ZahzMVCrzVoomnD/11fPnNfzBr9l9cmifjFssU5GQNtXR3KBsXLf7bsIGgDOChuiwTn5Oy11b oy2lBr4aGhxsbhDsjJNNwh06wWoBKkHo4yPhguAD5bxtSzW9aHDa6Czu8w7KVkfu8p86774+r3FP e1wlh0XP6C2t5AvnNew5TzXGzqPYjb6HSxns5LirqriOhlPB5Myuq0quyG1eP6UxF0xbNydnQemT 1DWuVKHdU3fYVUksL77GPA1UYiXxv7XgkQq1Jsfe0vfnNeLBIqj5+zDGub6GH8av4Q5O8EKY1RQm BpeK3eST0vK0c0tYXvISiCZHxI+9ug+efOH0nYmGpGe6z4XHJL4S1AADhUGzKSWohFq/hjrYVQTH 9E1DbQ4G2iO/jblUHp/XQAfn1fSVo/Aal9Xw0/g1ysFpXCnBbG3W8yf3SiSAxYquQFlLRDc6jd0D eftgp/FJR05GxW7/OJEpcJbCGAOhj5OGUDSLlhGgf14jnOYZVwMDwgahGlYbMiJBTfo1vuH7fR84 lU0NlG04Na91RNxuA7Dz1O3AadVaZWuxzq92/dom8PBaRWxOhulQobHBY+SzboaMWrGnGoW6UGkt dIxjQ8j1LzJ6LPiMYG5YYS3KI8rNI3CPLvkYU+EzmJx+r6pmN1VZhMRQDimYHCAJTuSXkx04LWVr 1EN+6sf1HarxqV7rh81zdbYZbfCqz5zA5Kl0UUBmKDIPAA5rghDBPpahqvHhn9fqIb4Btnr6SMsH K9XXsz3YzqjQ/grvKt9VjDGgOFp4gyr+i2JjFGbhJzNtfnZ3IENSL5oVUNicGCcvvK8Y+ylI5uDL Z57ICWx7plhQF04CwlLU6vx57McER82M9/A4WUuMntA2aIL6zFP6Fefr/byzzbC55j2TeZ1PBlrZ ZygKkdHEa6DJqdxDI0fBqRctFwY5EMvbhmGMzJbq2PkO90KHowUaDeAwnP2+5RKEMhjEEuje4A4v LLx/3slmTQI6Z+10ysxw0AyVQgIEXSmBOI9O5u0pBMuokxDc4efPO9mMmQd5LzMbXmiZJTsOoOYH UJvbsTDBDAngMnZtPng97NxiIMub+tdIWmx1U1+qV7r1GGNxaYKiWLkTAnNytOyD7bE2phR4Ggpi 79Hyw1s4e0vR8oIojQc970wznNBAfWF5a4J2trLPuQocc3Yu9mkn9DvRDC8vPNXX4HtsdKxQqoDs VVal4GBpSEQL2FvAJLlNWRaAIdhjnS2MMUns5KA+D25F3knnzP2808yarCRsQ+EMIi+Y+l9qCQTr B/oCyJp1BqHubGUeVtNNorq0276IpoexHtRyfbiIPOBe+APgVJ/S3qpxAMhE2QNKnGuDTRUwwiOI JpIAXNmgXCtJQODcSOjKRzGzyF88gYpCba4fv8PeKWb4zaAuc2LZpsCws9KFVDSxTMMuGPiYlqW/ h82Z+G0koTKTzPkSFfGzIVPEM7otAD6FxyOTAgFIvg4dVnF+D5th0ULZwcpMiwPz9LMS61JM0+rn nV+G9CDfbHQoMoA3QL0n0HvkjGQk84y2tKKwDEWLoGxqV5BtSabi/c4vw0Jcqu3kO9gKWokuU4Ub bIJQyDAJSn5ofZ2fNus1Suz2qu8nUGNCAfavD1ZLJQUZu2bm/Xknl/GE/5VYAKvV2r3DougZ+Vxb rRvodRbTZ9xlZiMGoxzjpPIofBbkBRL4PninGeRWUlxYR01bQt8FCTSNuEiQcY+ppVd9n5/BiZlF 66hYV2R3aPnw1zs/+vNOLGuPRBrueBE54LJwQ+h3YhmW7eAE7WKp11zmND7VNk2S2EuGe4mCaIKg yszyab362h2TBYbl0hEEYoI0VYh4XVoS1yma+cU7q6yp4fC/iju7omukcg/EZKIgej+lMAl84q/l u4CiMBrkvDa5PVEMm8vcgnbrxWzuRBHT/IRhNBcQLT5ssBNonxsLJ1A2ieGUVdXoWWwCE26Skoz4 m1EYPelEOunbqEG90xOAVbGA0V+OwuhsuCntr05n5u3L551OxhRsXeV3ZKcyewEkiKrGMukowyzK 3tlkvAhNgrD74OkpdHgbXSzAcx1lyamV+Sz7wLs9BJeiVw0OaJI2emObgoPVNdCB5/ycg+/zziVr EkH2K0wkIDS1s9/x72SyJn5Wa3LSlq6bWShB+8MgCvjWdQK9c8l4x4uXwA3GwYobZ1rOv2tEA8Dw F4c2ZqjQ92TD62k8fLuiVw3yMEkQFQnFFjfagQtXG+o7tPl5Z5I1qfRZImZFQ5ZHbX6iajMQeicc Hd2IG3mZwPDe/GeU2aN8P6vN7zE0LkIjbGwfPIbeJzfqKLZAZa9CDZUiRJVa0aRzYE94hWPqXaMg SNCNXn1QxxQ9bBE3avu8s8jY5Lg7jNsJUcd+FlAcQ7PXPqw4tqXFDKvfUXRWk/xjKvnvHDJ8uqyi ZcU11hMDwQJ51qYK0NlDvSeBpQCrottmGhfj0tlTfueQMZNnv3QZt9WxmyefovjGCYIyn46C6P51 BCH1B1zb5ycKohdlPns1VGIVFhgdlm3OC5l6L7bBwrqz5E/3yD54jpEhNQH/Y8SIyNy5FYnfL4gs FqtAqh6SrPlOH+MGE60ldx9sg61cpfi2W/m808dwyZqWBVj9jIHg80l1PU5QFEQDONnYUZWbdjUb ijXG1ahe+Z5AUe1ZMeJYuftgCyhB2/NMn/L4gTt/qIQou44fpPhWmJfNwjt3zIoy/7t+0AVZDmyd 6/POHWsSx3sKrFDigUehz08UQ0PD81wHXkY8oXO7lJbh3XaqSWuC3oNopdgIfrjRZnZ0NxKwcdZI Vf15UjUAa41IgIayNDpstxK09K7vJ5B8TEadxQcLolvbqrKutD/v1DH8qsVXEGWYZTt0JygKopFR 9iT3CfiYZHr9Ej/eluHHWQ3QJf8eRCPAxcG7KiGtHGwBVThRpEJhJhANkkk4Ia8gDao+dshwUuJn BPNDxngRRIqDzU82/jUACp934hhLXvNrfmhDyzWl+Ynq0AgfWt12hUEIRSSftaZnqaBaWC/5nTXG IjGDQ2lQc/AsNXWId2Sun3GOCFNMoU4TgRv1sjPlc/dOGmsufzY6+xgcrJs8u0TgoVv1zhlj03x8 x4gAoEkohp8ZhdBE/DS2Z1klq9mJh0QosUo2kmvpvBPGGAHhZF7qD3LwSnTt59Cpcpo6IU/JOqIk 0LJhg/P43CFO+7zzxbi9uK8yN8ZwUNGi3CODvhOefN7pYsyl2tf0sObRsndN38liWD2YnpNl2/E8 JCwI+by2t4E0FnSYubveuWLNWT5z8hqb81HxnrAFP0E0IaywEzbHt0mZagSD85qYkIXyeeeKoUxK 9UW0i3zQ9JwdKxfJE6V83qliTR4Qz+nM0KtsP3zeiWJN1sDnJhhGFNusrAh0uNzEFr4RNj1xFRrL hmghGzwBO98tpDwo5zWqZEpRRoNNfWIpRmykTQM8fkQwO8wswAz2gcHPOSWXoud+dv87SQy/Z9pf R09hxWV64/SdI+ZHz+hbpKc2qfZJmHhxYWEIxJvJ3TtFrLn/xFk1d/Cj+azO3kkyOjsOx/RQnI1t SsnSeR0WUEHlqwb5F/vKMxMtxkGL58RTbPJDtvrzTg/jyez1DV7jOHWAALTpiWJnxIKNlE0ePZlC fTx65nAJeOCvbXreY2fP3hfPEQ2OgOrpHAxEZp8PWRRixPQUaF8nCgzc2JBM2c87N4ytfe4t6jOc WAOwGWEvYJrBHuFMuXzeuWH4CvcNnlWu3vhrfji/c8OajHNap3Yb5Rd2MXT43snlF3J3h453ahi+ Ht7YS2LwHHyGUAEdjXIwNIiW0eRETIGkaTzFn6L3jFIvtpVTyz6oOgZtU6mVzvl5J4W1x5/DUlMU xMtFJbxzwngbtKvZRcxGS8Yt1OYiChOaClo9UfU5iQYuafPxSJuzVI8LiyfzhA+yFKwyThB0XCbj QpXnKQD/zgjDQpczKyxlbeDmwtrh2YNm2+edD4ZFkL9rqzRoTbe2+k4Hw2dmpaPdEtPkpUPo2jtq QwatnT/mX4nXbMTQcfDEq03431C4A1atsBpH2EzZQW5afA1Odepb7xrVxlh1LuzuPNqyKUH5ncXD 86qfdzKYhd12+jCIxI2Z+r3ao8CZNYYCRyPDbozs2I3lFq0FIme6u+LqswQWi5o3slChJsU5kdek VAsgUSc15f4jE1/+W4miXUrdR9K7Bh1UE8chAaNLd+dHhLmUCObo54M+71ww3tMeG/KsQg68n+Mn Dp03qcbevxirWXWsuL5ynui8aIbC8jO1OOakPC4HP37SyR8yKF+AqKLjzM3IckdFm3e0W59fjA3f iWA8gYRvkSwuvR1F6VrgGuPXPhERnw822f5OTqmqLrIcJygKnvGpXRBRlscWLYKgIEjdEJbHoLdl ExTVn0WAmzxrNdgEQW7qpA7SfSPelkbJtREbdY5NVTe0gnA3fN5JYM3B8idMnz4Yn6DLc/B8ufvz TgHjG6bv+AdsZCJCBTkMg2eQm3YzXTxI2EiGinC1atWxSqNrzM87AewpbuxUfLBD6Jw77STXtGA/ V+JGiQxbDLWqBv5d5j/QCmJS8nkngBGNKxtkRkBVBeVETbombXns5887Aex/KvR0GadIrmYoRHGg /oyWA24plMeIqINBRwWDgsqBDcy8xgmK4ufJZUEhPRu8+nMCD8gtcYdBj73JgouSEcinUEL0DiGA 3Z938tcNgZoC6OYBdD7pNPRkeUj38Xknf/GSz18bzHSHvTr2zv3CCoKyYuWNyfLhNAmhNbPJdWdE msZHeWd+4ccQNme1Uw5OIth1714EAxpw+iUeBjxnBKSM8PKj0Nn1rsEZbS1UOXMs9zJP54hbKkD3 sT7vvK/2WNxpgqSnftHg76yvJuoBEjBPMTqtNRcVFqy+WgkC4An0TvriJSY8+Fw+3B58wSUo8YBF 0Sn5dyxa3M2fub8s7uxVg1uenO8BrUgbDAV0HnWx4PJ5J31ZkPBsMHiBFFF3+KFh/ZlR4jL4WE9C u0wcQYqIWB4DdYRh4jvnq7nZxBKhi8NF2iUwyilidOYit6leEJTSMjQGSTdUikoS9Oed8tVEtTm5 aaINjsIRhIkZjClGxutE0e+ML1b399f8oI+Cc9DnJyo/420hWi7kRqvcpv+h5Ukv1kE9h4jZRL/z vW7/Ym5qB8zdn+rhOb3OSaz6xpmf0Wp2pFkGBuiBAG1CgN7ZXs093Gaj3CQHzs5GY1kJ/B6fd64X M6n9K0PFxNzuxTvTC0+hBkwYsBjMdbrQdDLjsgwlfCMUvBO9eH+xaFFz9cG7O2i65yrvhLw7XDl4 gieJT8qGXbtL9/s7zwuMCYNGjeWDpqftvqR5X/h0hN+4txc1zhGxycSN8xOF0DTgmGm7hW0xJdct cxTmp53mHpyfOITmxOx9B49/AMFdmAhyvNqgYlaZ4M1TmRndQjt8CJt/Z3gRYKAE/hk0PTtJ4eLc CuvzTvD6jZD6If/tXO43fG5R+Ax+qVhMwtc5YxDnqIvdJyYvpFyEEOiimqoAZP0BkEEIYhRCb87e WgiFGCAhoMs06UbpRxkqjAb5GcHq2TJwkSvAtuhwQSq2s/wDKa4WBc//dTSTa17v0RMGz7Af3WQk 0t6XBV1Ux+q9usok14WLJ6o8a3pmUpKR0r26YAJfp+kBQ1Vaf6Wxege1MZrx6ewhYR6fEVxdNCQ5 v2byQYX5iarhD4mmfDpovdfv0BB2w7SFNEpKFDyDGNiKkHSsjjGVR3WsXAH3wtSAnJT32BmlOZab CrlwHPxmX+fUb0WmJKC/VxXmodJVChIgPGmhM6tjPSYIZpbFxh00PSc9zXbcVjwdwXsvu0C5Pirz F33Yo9B5IH0HXf9HAveUCqE06Rh+Nm9KV2B39Qi6oQ7WEjRhPdAEyg1R/52dZUA9pWFKkVNUpAHG cnEJwnt7VHuu2WSkqw+62EfNcgA46xRP/0lpwpudoH3fzLSHgXOWbWS1uIcxr+Ke9bQFmweGPao9 G7Zu5uSDRc4ndz+Z2KS7+J4nEWOduZBo0PnKVFMx/i3BPz2KnKf02qdSLxqfsAkBvwXdluMcbXg+ YAuuX62diT09bwGox3RB4J8TK6moFzApRols82HEPid2JjwTOyyKnScZkiP1O1z8sxQXSFEZraEV QlGpBCQ7Lb4feJ2EW3sUPBfOzYmjhg+C1yFCr9piBU9HdMpfJNzJ0vfdYRFlEAiWc+4sM2oTHerM yrrd1BO7EIOL2LDH2A0GhUmU03RFAE+O1KFFKYYynACkGlCwvCGc9cPSmilKsILYowK0Ou+9EEcP Aj3DvAw3gcrc6VybBY8HF9itIJqBImSA/ALrcezcWRQzbEtLTdWfBQNtT06XU9x7SBsc0iO1vOER SYR33ay41ME9LGx0/lAGYFB96IcrTycQmH181SB5F2pMklIclHuNAnEB5lOTrxisn/m9wWSvtO8Z FJagN06eXbSr2qIkK5WkRunuhigjUsxPGD0LNUY+oIaLjYLPCOXwz9YdoBSwwEoKGL520NwcH07t 6B5VoCt5cR2zaINRwHaVyjBMzvB4BB7z+SHBAP3OdnOv/h49o+ZYeP7gDCYTY4jADdb+UvGnUg6N Rog9ip73FrMybx/s/DkxM1J3xoc7nw2QZam1sUyQt+dnf23Wn3tUfxaLuzYZ/DU3+AO2LUlC6py6 eDzI3dvv9heuHViA2ARF8GcQ+E/wlLVsGonDPIDmWGanmRvjFR7QEXRDKltTgmRT5JHKEPXcZ2ui w470AQQPVuhrpy47DE/npRCCX1XxGRG2rol0WnwwBs8Eu4wJRtt4PFhAw9NToTNRRGn17rAwhG7I 2UXNxZpPhNJvuLIRPIZ0rtGQhxMU1Z+X+qJzJR98h52T4gQ/myd0WnSHFMC3g4I1f0gKUweVkTA+ I2LwkHpRyvZBDJ4kGQGIBzc8/ecC+hGl93z+9CbYiGJofOUN5ugGHktLQAUQ7ZejN5qjD0dUf55b VR8evxxsfgYC0DRVPiQXTCQWKuURakbussqrtNMYUQxtHZ4lkY113VjLOdiUgq2c8XgQRPfv6g9j VEKSND9RDA1lS4A2rPqzB5m5m7z3ZVp/UA9TkDjC8jOptzMJIpWuKgDl07OasBD9G1kkwjrQd4RK Io2lLQXDOYvPiMjdTE3ZbdJgCXxrQ+fH3Hj6L3CmoCwMF5JXD0cIf2Z9g/rGyDHA4rfq2KRIJFJU GJAa9T0qP9MADfSKoQFvPjg/QGksoObQfz+XWONZPln9JRLoytZDLWbgIwJsZtP5U8R9L8U2SgeW D3PVz3/w+F/dCwaTUmH2AHFEATRQmVDZWM4vKGKenkilLO+gFlfSHlEALYnIJQnkRf1bm56C+QEK Ch321LpqqxPxHeUiy9f9PjE9IX5DqalK9CO77UGnUDcXxGx4OpJNKF+bCzD0Qt6oZicKn9E6b50Z HrkFpQv6vBMVZHn4ZGrhcHai8HlSbHlaFP2l7NcH2BejC5h5/jO61G0RUFBekwqUdvqwwDGi0jM1 /1AOoFgLeeOb+QXYMPylz7vi8ah86O3l0X17pXKPnyiApvjhkrIYNKKqNy9Wu+3TtFzmZ0QB9BKE QwCESw6loP/E6V9oKV5bpmw2zUUwQwg8KdhSHh2tEWKfTTmb24wDry/YTpAeB6k/PB01v36dztgJ 86rZjBD6DBWkNYop+i1agmB6BCDE7X4u4Wr8yhEhnwvPlEWrYg1ePwQ3YtKi7OQX6INlpSDYXpP2 dPXxylx61aj3xQLZoJ4vB6WnY1R2a+GPgqeD6Gf5/jKUK8qX9/SJis+NvVPyfynnV4Y5ZMMdSqsH 1im+eqLweYr8Rc8TDPhmM4Ofdo7mJuTq3lhLsqaBblQhALE9EgE4MfEZUfAjESSqX3EwYO/Jv4QL XxtP/586X7iZx9X7GXHwDPCGsFDITtUwnSjLLdeDnkSY8m4Pg2elXaluHyw73RCH2rSQADv3hJxZ 2TvgLRQlIrxF88OOED4kiJ47d5eZZoCAxzMjo5MhuNQYe+H5CJ7wXd9oDBVujWyE0XOnD5PVf3oi RRaYBLQGhxWApMDIFRRWoNlT75WxMQdPwOCqk6E7QHUAEE+poQDC5dl9neg9r9Dv3fSyQY1MJ/R/ gZ9xv/ZWRf9KGY8HM/SoTPA4R0y3rw7bDIvQLJERG0x8LwWEzs0FTeJuM1TYC8YdNqMAWjc8losP FiCutfrsKnFAImDLKKphV0McAh67F0TGBGOG+A1BfwZt1jnohN4mBtlSa3g6amF4ADQZGNDYyPfY jOJnatyUQeE1hELk+bJGvyn/yPwL9FRm8DOsQSszJd9fg8M3TpIDyU8SLE8gtMRxLiBS4Jj96eWx NEQdCp8R1ehpx17pkcVB+UWFfBl/v34C6BkCoPuvEAjXIEoGNj9R/Jwa5bpMOhQtGDuDRq3Tz6Dl 3IsZ0weF/5GYy1d/MIMqV9bUEd3gSkcNSPqM5fMlgrDjBFQqBMywBk0AGSCxPmh/TdhNiLA0+XgE sPP1w2oIvsl2ZcdmFEADTwx2gfWX13bQPJzK3ew5QZ1W+lFhCXpof9Xlg1/xJ5ye1LahZj88mqg5 CiPVDCEvmqFaBRqtKHxGdADx5GnEsnIw/A+sMmj9cGItPB7RLzwEEh4cKJCHoDujIBrEmfO2RmE+ +RKJKvPEQJToVhujJCOgziiIHk2xoVo4s1wEGezOE0BAhLG2Qjo0Gd6QMDirYH55qqN6gM8IdhjV /Ioa8RysxtpWlcfKuRzweNAlbF5DxLfHW2c9+mP/rEF3geSx12gvB6XMBE074TdyofVs54+JTiCB WIn/4WDzg3rimZguwjmM4imldHJVCQX8QDPcKQZz61WDA7qLFtenD5oflFDo8gzoDB7/K0jEY122 s57BzwjBAecYgIibQVjTNIJ35X1LCGsFfVTrJ6pBLxMnmcUHn5+zx5BWJFaAxpkW4oB7IqZ+AjVY 7vqhTv+MYmg7oamYqIEXWMb9KnruaHg6yuD31/Tg6qSSmU1PSB6EAgeUsDQ9k5bJYqgsA9HDV88y +BkK2IlZwNtIg19gJ4iGrZbkns8vMooIqlQmq4hGr80T7TBmVIGWDQahmDYIv1qSfJLriR3wdDA7 yUNozSQWT/Ly4YwAHFTo3Zebu7zttWmKIQWg1KZpbM0ohB7OGSw+eHxY0CSsyHfO3hmwh+HioeZ7 og11vQ2wZq8awHvJ+jrvMn1ghjGgvyG/udzwdDA9dX2dPQSvogps0xNSBzsEjJW0A3vYTCwcsaG1 mGHvYK48M1bfYHVV0oZL1WDDHiaYgyXmp2dyquhzAwVblPt+iBw26qCin1DETompbItuAArnQKou ICgveDoIDtv33iIDg7K7nJ4Vxc6Z2pDUM5KJmqtvIKz7MfUNMTASf8w/5WintCXmI97SQAUblI+D I+jI2lvNSuzT9KO+BLBXCODYOppX9kH8nZpUPGzn7fF0pG7jxfki7iCJzr67Vlh8BjQTv4RRK9MU /uek/kQCITjcxU3mVhg8C3MobTEOvrsWHcEU+2z4ponAw1ogDaLnrf7QWQ+f8S9ob+vjDpyfE7qq 3X8uk4qng91VbveUi036VcPvrhVKPTfIjqWpu+tEQdN6OshPLXxG+G4QoBViOMThITMHAwsyAmee mDDb5Y7tu4kjP7EHXnCh/7W+qd0NnxHVx1h4biyTcdDltYto8JUSmnH0/Eu1V15FF6CwQgIhIEC7 VaN27zZdfOPL8iJ5+2KF4Gdyl6fwPRz89gKcl2QCYlw2JXyRnSLhJY3nqR/CIY+fERWgCZufo/ig 9sXcS9IJZ63j6b8OIImoozx75cdWKPmMDVbQw6SKekH9in4XqB1bgYxmQjyfV8weZNAs/OH4wh9C JwxEF8Y+vQM4SAoYba3BPGvjki+of4DPCApkdBLuQ7ZgwxmK6D5uMgAB0cbjUfCTv7L3ChQNpKFs fqLYGbo+rQgxPxidJy2fpPlB9j7oeYPYcP0hvUGigAaHSMGEKlGn6RxunTLEwG8g9G2Im8ENsPVT UrJ3DQAu3F/wO/LBGvC7y+m0jj7weAQA8gli+ZdapfNWyFYUPaP23jb9gJl9Zes0wwusW/ZVAO7W Bguj5yoEEBEKHC6+9yQUG4LPVEo6X3eTE9ZmOnkOPRLAhlZQ1qtGCCBGPp06bY2LnhMEPCPZF+ck bZ8VcQgfFV+dQPicfhvMK+QQIn7ePCEI8e301znp1wZ8M4vghPKZtlgIgJZ700zbh9vjgU3BEssS as2dHYxCITuUS9t4SJYs0a8ogJaLQ+k8iDjoDptlin1xFiieft9h6wbQPGT5v5T1sQmKQmg0MVvL WzdXa1QPYxWayq/EkE16RPAKi6vQciMyb6KLkYKnGNwtOzEu8yyCyrWWqXVH20TwBtRDhYOR3jUC QfMQmnL+nr5MM0QppUHWV8XjQQv+XmIMmZCgttsDW1EQDbHO1q4LiOBYpBiQyW4+ssm6hCtWgmZd Rwlq/0pQewM0J5FjCbQLvm+AEFG0BJ4eGuA3Chr2qlGTsIoE33yw+UlLkqOo/ePx4JK/Z5AAZ+cr oc8E52dHUTTugjamiW00pU8SqXX5H+hgulB2SCGU04Xqgxz8EjtHc8HZL/kfsGvZIyQRDNgmBpbf bs07iqItB2uUsuPAz4CW61aFLA0+HlFU8q8jKLGP4mnGfg+jxWw5Z5s13E+Mm5dHiT2Z6wU0L43h tEMNDmlr/c81f5bP+eZSUxF6ntuZqpnnJ+JvQq4KAgTuQ4zWFj4jKAGBnopyy/LBKHJD2RFUQ/mK wS12DyFGhETQ3hLiDqHQuMSmQPOAA7WsPuGGUIbCoNKTG6PtMIreArmk4YOvoDmhAUOvRgR0RTr+ DaQBmsW25FFioX0rPiOYH7J3Fk4DG3hGo2+0peN7oqD9N4NQXWY6I/kVtkMGIaPE5lL0tWfjONVc xo+7NGY7gHYI4qBw3yymVbueEvRAPke5DZjtgAImmAKdQQAR7V8MwqJXjURKJIwk95TuRkTgsxrG Lm08HRVYv1cPGXuPwN8Og+gK7EZ1FfEOhVT5poivQgmOQgJv54/5p0rAEFZ8rAsSX2evnbNMzsPA o8zxlcUD7H+bqGQx4iMiiweGPo2Lh4ORVFIXgudMAJ6OEFI3SRUgBiLml1+5Q/k6MBz3HNM4Tiwd U4XDuxpAvTdfPSGEg9CVKQV1Do4gK8A2A6eGLvOJhjJjpA6VM9p9ggPqJTKKlOyo/pwYO0PCyQdL UaeKKlBJw9PB7ZXr1+0Om+xar/jYjiAc6Iy0TTkd6SNtwwBBpt/pucUVjncE4Rg6dLKoTrnc/ima i6jGk2IA/atGCaUTZkElBHgcYhS6bGKbXjUosE4BEIWkXx4frrEpj4pTrODp/xv9HR+f7+oJw+dK gWwr98C9+jrENpfgqOUezSGEgwDflSUElK/1OfqDI2kZw/o8S9r8XPYsAZ3/6bfAWgjLw2dE/Er5 X/Tkg1YPInTd7XXg6Uhd6xdDBXOcr4bLDuvPjYqQZRjFiZ1hWqjM5AJJq2QjEO44eOaZLGUxDn5z 1ZPA1+0VxNnkM9gZ7OMW27f3RasnfERU3tBp1e9g9JRGYROIVfDpoLyR7uLBpiQvr+7roRKqPxO/ sasaFWi5WLgDmV0TZ4PURbL5ifAbU7rPO93hEnh6P5lH1vJZ7UwVz2bEIQVyEjgUrjRk07v+S35j 9j590ASBH8qra5zsa0cF6P5LnYSYm+fwySmKnXEYt72NdnH+RHuvAvSGeIPkgA2Xx05h8Mz0tGfy J3u+FEuUejYEJphckE2Ir+5cjNDlxnew652gTY0kfMj7DC2S5MpqGqo5KUOCvslLq7c8+QMilOYv iEtn3N7TnaVQwy5TIBJZDVGsywhP66TblQbfcFIhSIFWM7GInZJTosQ4+CzNDgM6dGbPl31CaR7K GRKCSP0ai9W3Uj+2ve2/dNYh8+mDkoxz+sty8Pz0xueDLKPdQkdTaR/n2lX6yynGcmRKlXgmL4DC AtvJJJSQdBc3nEkhGFq1jirBrfoIbrHBLk3GEy7CyomxZKYRH3SEJbYuMAfhrPiQCKxAJVYqgyDg RCWJuWqblbqWIHkt/oAgHLrXvXzkKOS7rm9likrS+Go7Slk/bhpipGYW1S0eysUtDVJoQsg0rI98 h3tmt3NpoelB36uTGBC0mcwhjclefxLWbW8bXGpZfDkKsnJQPgZrHpV0zmrl8xFqan2l9HyT/Kj2 pyimTvSGhVmu7D3n2GbvScyMDL3zMNxdTlFl2oSlioLH8jAvO9wIkWrI+3TszkmCbPb5Ks+n7VsU IhNcLxt0NoaS1Wew0vSUQipE7jOf/4v9XXRAQfSYGjQ+TWF9GjuurWzgO3RrBL5LwAkVlj4SSRc6 vsMCtXBlLW8ffC2lBGHELenaBrE72TYDpojyKzwNHB3EAAAfEsHvFDdqKa27lGopUoOtSM7IiXl/ /qpDqyGLm3TdGIDKme/n2TmtG3TQrIgP5VL5YCVzE6GK211LUYg9pXwoFu/8YvHOdJbPmgqxCwu7 OMAKthg+7lx086pIjmkvG4VJ7JJJ/ahfqmca8MtkmDO3XjLiYX7HAeQZ9kujyykKs9GmaqizG8oj mcY4tVN+TIcUPld2KIVl6i0HCNkV1n5b0RCdhvgWO0Gj41/JwxGXlWjv2UtE1Ajhh0RUTAE4p+Cc sxuTZWSZqoJd3vh81Ev0MEAlW0iVXbUFxS7vj23mZ1ZG221Ny9RK9ioaY02bo0itQxzUsSUHuMvd bOscc2eWRZiHza4qbU2WyIOCK1fsTqbD6d9mK6WzX89BZLqdZSwCCBcfD/baEwOQa0NDrP6c2zFg GvWz7P66c0y/3Mayjv1ZwNcRK4XFarnIdglO9CtWT0/dBC0X7rW8Uyflrsr3K+N7cS3twpI8PyRy FBEjqhcftNegaCsW6mA4GVquPJI4LJ5stXxtjkLnQiy/VrprJuZqDn2L4rFWTmvX0yhwLsS1I9AQ oyUO9zw6d8fK1Lw7yTkuXEbn9OSDRzIOIWP9yDEjB9aFTnsessUabosFPGeSsCgEx3NoXTjT5W2w J4Rzrz+uRqF3IQSbIImfLK9F1095LZu9AC1C7sSd+QLzQgDvqd3RlP63dcsi0HFN1ZzDKoQdmrRN UIXkef2F6mTjPsfmhRLvoCubBttrLUs36hzqfDyIt8s3cBpRWllX1i3H5oUZqv5UE2VuW91OFoZ0 lvvXSllqzVEoHt3F/iHzgIOvo5VQtd5aR3PTiR4WfchTkAS3Mh72T7K3/af0XWUPlvcaVaRORiag eUdxJAf+hd/NV07H4ofUO0dR5XpNKgBvVwBW1x6tIYK65AxBJXNttTDWJjCGurg2aIraiZ/PQVpk YHi+eqwxThHC9g14FzIUK12zPZ0DB0OXlxyZHRAOAuiNouD+3I6Vj0cNfD+NCHZUmn1v/tDDEOZk 7Sz2YRg07TmcRr25RMWgQommKCQhuid68eFiQOY58bfK17jT1CYp5MsNgOSgoO8YIl39oY2h3Odg JeWDjqNz71ZF2kUvGTRgH5c1hZCostVnkiIlaWAGztRkP46qAT4XmblmFDp2960WxtnTWC7Nh3v1 9zHoEg2mZp04twkypzv52W8Qt/XjiDowOXAydC/V2eiSPltxlf/ahzwezufx8ahH9KsQiTM291tJ Cp0MydTcywTaweYzGP7W/UYgCPQszG02RIKwkA3Ssw++jjacmynXdNYjfBkRa550C5KmjVxEnGAu xDntbf+lJ9Ql9vbUq0qCaQPRRCdq5vPRmX0nSW2lgojqJmyBnyHkAlFvG3NbXrvIlkpEg2TRxYGg Hz5LkReLx0dShOnfaIfzq6FnzWY1yN1sNZIEWVHmRU7trs612stG9xolYXodPljJdnYiPM6P0ePB Qrp4c179mw5/z14Lw2y85AnxpVMBuyMpDlCmWbLk6PcYIygHlobejaXc9lXdFi3xLFXqelBU6FxB isQFA1mFhmXjQmaqv22Q+5PS0YfW0+hO6VgnBVfVda7M5//yzOJ8kLPw+KqGtob4dVpRLHRyWkDq ldNOWsvJNKu4dleOfQ0JSZvWue73QEJLPwGzyvjoTHxfS8BGvCHopritnFcm9+vQ2LAM43MUHzRH xUx3sNkGnw+SkesQThwburQ1P7d/aG4I2Gqb9BdgMrKbJWyI+CwZWdm1J3PobriGzB+lvODGjGSP p7knLargrpqSHCaqQnLcUZRfWg84LYf2hugwElqSvGormQ4oOPKyQu7D5yONvN/JSEb/4e620N4Q ATocnpfB00YaVmhDaTuTYM8AWLst9DdU6+jEis2Hiy5CCtJkvzaMSlNJXwGpeYgJpwrSYoMthwaH mRWkvNlh46CFtIGgGSzClsnng2ykfmvEoCf6nYzEFodI2E70lnVow7NCcwRzHs4b4SFeQAo8DgVA rOI72OB1bdItGYcOtOJnU12bmnq4DQj744FEXW69bKQkKPZdzz7oZoM0mHSYzj/l8xGC5ptkBhHd up+LLXA5xMWG7qyDqhsJzDyQ+jZvWtS0ncqQA5vD5D6ZRXNUHiEdQD6BqADG6ESMa1VGCOkcVNBd R8F2P06i1V426vMzU1NRG6qfVkA6QeqmWieUsfh81Mr2bIS0KbSMy+NHkkOrQ2ivnFdvAs04Zg1h JIgy16wlu998iLd2ntD0wTfbPisy4cqnV9QJDJSOoH/J/iNlpq2bTb2zHJsdZp1ExKNzEB793JBF fj8p8/G/Cv/Zbv8vuaocmh0CD3lOoWHFkT1yNbRINY8beLY0L7KFbodbYKMm2qvn5ChErpO1Njri IMgGu5hNOYi4wWz0hypyIpxJcTGHdodK/HtnxsZBUwR2MC+lvPR4xPr4dRytX4zyHPodNuAddzW0 GhlQirTHNOorOQ1ePwr8DpNDRhYBD/Oq0UOzas+ZhyyEVW2TsB45jGcq59UcIh2ZnxG1jyS0QE75 bMYphwXeZoMM/jt8PAiz011Eg++GUzs9UxSVs5HogNNpeT88mh01krzERgq4TVFYzl4ifOztg03R yVJOzryoO7QpaEV+IxQZzw+a55bIPkXExvMzgikSazqp0JaSK3+ktcR5Ljyvoyj7wRTz+mpCqd4Z CpWnK8tqWwDZE1I1B45ICV/AEYkV6OcE9z6F4RatnDU4MwauVJUcvH5+ZM9ZZW/U+jPQx+xhGCxL xaPQ9RDwJFSNRB2q++6ztFXMPnEYH4+0Oy/qkag6lPa+s7XQ+TCdX65n/lKCj5iB1Dn5VnLsWqe1 rGYpJjBOFc6yD3Yand3Vod/Ab+3cnr0ZvaFwpQ+WKKxxSwQ1PyQCp6t+pBJJnhe9hgnGGQyF7hy6 H/5WgGUxE5Bom6PQ/hDBkRPPcBrRm0kywsNgtPksruUl/9gAUdIfs20fPDrq0GsYKtWWs/eqkOyD Fmlnvmb9Db/OoQGiRKx6kZV4sYvznDKQAeIUjcnHoxj7V3Ots5Zy91pogcjYCCwYK7H1ZiW2KVtx JmvbAfw58EDM/0/04FWlR12vCGOZ59gAb10yTYmqngzDEUogRoV3qpOoR7eXDXhW7KqNKRrRdJ33 2WbXPmlZ7/iXjN5QOe6HsuQ+RSECu3BilvWNGkEmkiLqzvScUHpR+BgaIXZd5lskh/2QHHCLnS0h I3pwZAdr2QnKmNQHhM7Jxairkx1aISIuwBbL2QfRHBZOTCFs0uDzfyFpzfQFb/Kso5DMCLXlyZIY cfxrudFxyt2Po8RCvxZSGGSzP907ocYcHM52FhGInEmzlApLqUiugE8/kzPA9NNCApJEbxsxhiW4 nLMPVmI75yh7DK1mveRfm01llIGew7OSwhibcGPfWOdPj6x5ag6oRVPVl1IsSs09VCVHQD8GK7EB w1anIKMb5BACAwCBh7Ncpt/rrR/lZa8bFSJxpxVSOTQIU7uLVPXOFTf4+F+0T8zorCpk3UkKcSMo 1lbCFonoZ5wF2F+ltyIhEXuwxtb1cyLmubodZfjgJ1KHqswWI+T8rd0Yj+fRWIY8Z3a6rSNqHfJD /kW6Gr0NH7Tb4D5LCFLdqIwE7ojfBW2R9FAVaflZSWFFG9lamcXd/4atpNW7KTaeIHKt7Aspwo3I WmoAw22DZ2vwoKevKBdSM38FGAD8wP5I5SMr+qvGFhokQp8BXfB1B8ON1DU0SZ1HUojOTuPrSGJx tK3n9o/r2fDlKFZOa9BgU4mtkHvBElvv20ts/7BINN/V4YNvtl4retvCRJwTMBHvRrGLhpMXt5Lv tbTsZYO9Jt7DaHewE2n1yjL+yUb0kpFC2rXP5lVPDvp6FlIM0s44rZE9/Yd/ojJsIgk9m4bu+aK8 oh27JGp2Br1wOHixFisyE58xIFe6BHsr3GSM9Ot1SaQJBj8kEiIk+aGw1MaBB9KcszbZaqGZHbok jmsDyKKlLB5qfY6k2GUclGdUToGnPeEntil1ZtBGreDJAgvaZQSYQ6dERQDoP/lgZf9zzJywktv0 XNnjnCSd3/jauIqYYq50A0nSjHLglYgIQCqfe/mgM6mgTlh1bk8+H0QAt+yvqiPU0tJVS8uhXyJ6 fziTjGtEXocS29zTpWIlD7YDv0SkfziOVzdd3UsnRh5IzAhz/7PXCuS0aHsLwV/03Vu6nibJXzY4 uCUEVjlJw1UPdz0XQJGpyVp8PNpudykJ29coWPJMUgzXRkZLs0J0a88loYgJEL1xwSM8lLp+TlSv Vdef1zsH22/zbKJ0gVowOemKFUTkh+F486xtKEwKTBMz2/aIjyTuXaxeu2BrJFnKswn5eHC5Xcoa S5iYovqk/6Fr4lmeNCOtltl2j7JTop2KwCNm7KEfFIWS4h2J1JcfUh98F2BUSt1GeFgVgUdgGZIp H4xwyepsZdnb/hvwVySuQpdY3lIw/eDdhGOPPyAShx/fpxLVk/rVn8mBd+L5YGQHIJWpzbZbGdbU Hrt7qW1f/Ehsnij9kCH58/HIn2dUZfNeNHM7oT1uf8bkuKOAGG63OUJBc37Gvwg2NFuwwbq129Ku cznz8f8LmA2uUXD7vjMUSlgDqIWAT3V/kGOkIGJlbupbAsqsICl2T9SlpnU0vtYROLiD1Ul2a9dW 1kL2NeDaKAFcie+27WX/yYxY6rGJtIZq5LkemXRBFouPR6voltp4PuM7Kk8gGTooVoqgD09JinzI JxX4ivMi2GLm/R9YKHqQBJExHzxIOsnsuYR0+pz4IjcGSSfwPR+cEG3PBz2Su71sREPiHFHwToMC SSxOmbjuVPn8+6m99q9AEsfa47SdAx9FZRKo+yer+1NxFNf/uYoMhoTMpvk6inmQckhud/B6JDK2 c50J8D/KXmxVQvoQon84+srVopHUdw6sFDNrZaj4U+2pu9oTWGVpZwODLj4f4ZDGV9qG8sRPr+m5 2UIxPvjWbAYnlDOa7saw9j2PKk1CtZIi+EiVoFqRnn7JX6f2Iva4SxFLGBF0R4BKHJT6Xb8Vw3Jg qCiyOY7rXH1Qse2kcCILz7X5+P8NFplB5/cG0uc1QOqK8c+N7OBadaK5jrqxAOE6n6x/9Boe9bOI Zai4VWnbt9LWTuAyB2RACUJCiXzJrJTAFoQUlcbkWkSIIV+Dow4/F3ZG6OOiQXH2iU3Vyj7p1+c1 NOqgNAjBfn6ER0alEjbCwlUwN1jxiFpM7QmqlCZFIwItIVpUJeHqCeama1JUrl39KjWfvOP8yoQI nx1WT1pldxkTMoTl7DTN/AVff52bEziSA1k28Ucc1BLpc7HhCgWcz2tE1BEsLJsbo6kXVlZsbl4D og6pucmGrDIz+F9JL3Uj/VSOBriKqYC+RkPdkSJbct8cbN20DlII+Pc4oc/hUyVGR9tkKB2Xpx5C fcPPayzUcXSwlWZ+k9PO55OH1yaVp7N9P69xUH8wolMW26pdT5ua1yCoq0QFWdTkFOyii321Mr02 ey5mK1+/hkA9MzFkqjF9sIO5ooa2UcdHFeTsLsn1dXqInV/wh6L6hjJmL+01AuoIYTQndFhiB4Fw BbAoxfzqJ036vAZA/DXX19yQd0eZS87Na/iDhzZSDQdhQ1RKJcZdBDFCR5+QDTmURluKEe0aLP9w sLmBkSctGJizVlgzsPUBUSmqc7H6YVuK8p+voU+XReQ5hWXf2vZVB4OFJa+bdjbw5zXy4dPD5qb+ GCF0Zd9Sr2HPeaglXlHFqPsmAYGjmN+GrINEpYX1VDA3s4uyL3ftPB7f6Hm21aDIPBQKz9KR7zjk gGBgB91gR1+VxBrja8zDg5FXFFwPbDAiCOS6eGKUvj+vEU9/vF+m2vhQrnk21Wu8gxOcBEd6tUAX fpOahZv87OgqlGMlZ03GAtHk0C9oyR+Qg0fNJ05GrYO2SnnALpodkYTEFAJ0g7ZTylCJuX4NdbCp 5GhbWITlYKEONHu5cM6X8nkNdOy0+jpwGu8qXzivUQ7OYmo+lFt4lV7RBIR5bDtwCqo3EtWNzuKu NpkkH+oj+XCygHZCmcxNBS8TEWka1gxM/Oif4dEypvTzGuH0q2lQOUUcjPhRuzBAKEy/xjd8v3tP SR0CiUTxdfNaS8TtNiEG1na1vv2cDiGiVpI8bXluUU4uOIyT0DFdOKL+6FnWhD2Gxcl22Yn5qbeC f4Mi2bTyhjkCdoqBBZOzWEE812D1QSfOWQRW36LgZzA7dPTW7HS7qk6A4bPzWkTEYoUlaE5uqIRb 0Tpkte1H76HYefxaQ8SHi+K52vDBlw46xZ13w6AG4SLfEZYeyItAHcI9bvBqMFw/rxVEfAWs1I9B MRUO2lc9d9nr1QopsPCy+j5z4AlWyGVW/BcFx4NVn27khZ7kh9NFGTIlpxOpt8s9D0PAqT21fHCQ 3lmOq9OfGWK9C5cXzmQ6zaAxyQqIy2FkvWu0t9RjFfcxeyIHYtsSGGE3Ph7sLuPB2m0+mRltn6Ao QgaIU/IOrPfIlZiwauIDpPcJTXmDVUfzM9WoT9UHn58TDYDHwOpXAq2NuBnkD2iHIsjc1+6lV3vX 4GCWyN4Sbmh5QJXOGTm0gM6Mf95ZZ/1pr2oFATlWaMBggK7o2mK7nHRSgw3pkKb3msOGQAI1QEww QRT9YMLgw632lBPu4NIFWjiBJUmtjIVlgN3MPqKoi6vaq77PTyfWY0kwdj2CsfB+qrqNUBB7D5Uf 9oJCHpRwKjF1mp8oVlbM06wWD+NEs5Nc06XS0GL10nMULNsCKqxicPDzuUEcgCRjKO1typpRjwd+ apVE9+tXlu1VgySLC2duEvM4KAE9MZmoVCeg+LyzzXAAmaL3NPULEoSnn8/vbLOuQmhPLiXXweL5 MSnC5pLeIPP4CfQeMWfpzZwrEztMgwPz4DGyhnjmJ1ruglIvcF8LBRAIN9cGmypghCcQPcc3yxgc lGkB5SfAJlrzUcicyz2hCV2krhSUpm2GoqiZpAVaFjMwhL6ZEtEk4McmSyl5sfA9bHbhi2WQocdR oOWext7ZeoXpZMsiyGxSTpE759sFs5Lze9gMW2FqEUr+ggPTdGhJcgGcDK5+3nlmXVqYmiAaeQEE 2gAPsPkJA2dmhNsLhVXoPHTlVQxrlHBZpuj9TjPjEZ2YopP6w8EW0EkkzlR3Qy6ceUpC7ZO90piT 1muZyADonWSG35CIBfgj+mCl1D0KJbbazPvzzjHDCjJTN51A0EcodIDR/ETBM+1e1nLBtEkMsyk5 eEd+Mp/jBouiZyEW5xbydT/IV7iTzjaHNHjOXTBZCGrglgFo/UPtTzecWnrV9/khz+0Elcy+OGiD QThWnnd9ft7pZV2a9Zoe6RnAciHf6XmPn6WF2pYjEzFRxfKuVY1dBlF3R75EAbTEdzbh7xp8+ZyT dKDZzPMn9bEeNVBqEpV61QhZN/68U8sY47EF30nn5KCGDnyqJGIOVZkogPY6/PwRRQF/K9/TJwqg oTjRzgd7GUwdQPRIpGCdadixXQ8sDKDZOd1p3sHrpxN1CsCPEADBm5LTQ6oaCAWm4CQ9mWmvGk0P l80ed1DjdAN69ENU0Pq8c8p4PPvqEbeK+uLJi4RRAA2gCZrubmdLvBYzU9m90LCDdDccPu+EMi5d 1TSYk6/8lAkzuJx5stl1rqcz/11mdzgEcGZTP99JLkWvGhzOVX4mjBLnXaEJbjhiuM6SP+90sv7o yU31uoDcr1D2sgmKAmjc3N+OtihzytFW1CAU1TYzFZw+72wyxm7UtBhl+OCQKagQJYi/4/RBPYPl obOK1g+TbmR6D+Wu6F2DAJoYjl7a8sFxZZBj4wy1+XknkzHU8xVkKnT1hwQnm6Go0IyU4mRevTte msjpRRBndUtb3MpWaX4PoVGSI2VzjeLDDaGRZLAPOzLorYklVxgl/4hjBv3eW9+wl/1XCIRAyged 0OAoE8FxgrXPO5WMLY7xlYMVALgpHaEJikJo9dyrWbVCcKfxTxvKtwqAAJS0I+idSIYPV/IlbCYH O6E3BLPasBIHqkskwBQShaEQWCiNJ4hLZ0f5nUiGJUSO1FDPlIPQm5B3UwSU+XSUxLevFGyg2Iev xuYnCqGh2Naquuudf6qGJh/VzyAKcGuLhTVnSaLUcoebozYU4jObyeenz0VPikakI04uwNfcU4mE zXcKGWscvO/Ux+nex0HxrsgE4+Tw5fNOIcMlu7xCJgN3/K8MNDVDYeEZIkS9LzuEWrde8snBrl41 jJ1shqLKM+UhyxKnl4Od0shfdkcLC+gepnbk+y5kSwxo8cjMj+XCO4OsS7aXrJ/ug5XlYcPIU/oE Jp93BhkXef665HGoFEgR2Py8h9AqWEPmwMD2G9VAlYFYyFKWWuc2zY93Apll2JWqVT54r/0cx3Xh XyOGBlSDYfY5T2lxCH/q9ZSBlt41qCIOqaKy0spB1xh8NNRFPtfZ551Ahl81768JmmTIPBMUxtCy /a3K3c+fnFeXKKZnGHJ0eHTPvwfRWMDMUll01/Cg7M4Bg1QGeyydfJXpCMqtP5Q4p9LMY6vEzwgm iApfQEX5oAlauOnZOW+YoPcoGhWv/nUGEdCQ0t1hYRUaxvWN8gTAIbQyla6urTYhNENWc1v2d/IY rhfioZBR+2Dzc9YLNFYmkzD8X4VKUyU8MITqJWnK9u6dO9azXWETNVUbGCbusz6HavSZbxiVOTwM wlNwSyj51ujfeWPdJM9bt5IqCD/NUZrJq2RjdENpvrPGWORgdQM0Rhv8AAJBdrUmS9KMSiIDJVnO nWnnAWRHNE72zztpzDrW1JtZPnB62vkpVYpdeX3eOWP9sWz1bmL/kTqr+slRFA29H7hQNMdobEtR F4DChtG4/Z13wlh3yNgeFBLc100VzrwnrchjkjDWCXAR7g7lH/qZz6fBQ5DGO2GM/StOj26weZEI vdL/GwHQyJ93vphBJb6KZAy+1Ofh/ERBNPUGdk5XSsd8cPZG2mlSOnn6/IRVaFS5YNHQfbDlc2am 7YmUECzxVKnmjRXWysm/gI4tIiWhhlj0ptH0DDZ3KAxfxVhh4xSmhpL0PPv/nSxGsM74OnxEL741 xHeuGJYPQFAUapOpZKaBPZDizbQZIcdw8QghVoMVst142nLw3QWPl5WIyTw55Imk2UmcoPiSFIHf 2g9n3EGfd6IY9oeAOZ3qeRy0u6AuJPpz5xtGZ/OdHl7lOHjgRWbzEwXQALbiRLYWYUlNi2bBp8tO nzLdD+edJNbl2VhRC5k+2Px0+iQ2MuiBJiqy61iA+RXWyFG9NrlTqlW8c8TY3Oe1LuYqtZ34W8Hx lw7m58pOuXzeOWIscqyv86eSEHqP53eKGFNjOCLvZZ7as5lc5U5rugwDNUlZpH8niOHrabzWKZGh wSdowloSCl6EPp9ri+cPab4468ZTACp6z3+1l3uT4bhbLu8+m6r1IFJ93qlhDD5ufkokJohd5QbP 79QwxhNIukp9UBu6u6BQZQA6KpPY6onqz0zI8R0WH7xAn3Co8AecXH6hfksWImtjaLrQxtQ6YBSE fyeGYaETOj/Smj7ocD6pjQoUo9fPOy2MCIzvChAtW9MtP7+Twlggo47g2I7b2MkQmL04biPxxbh2 wvLz4t2VRvHhyb5guaDsC4q8ckxuVJACUgOwkEt46lvvGlXIyE8dFJbgYCWgfmJ7ns5nX3/eKWEM DerX6cxmL0JYm6Aodga0lPGbl8hacfDGSs6ag6uXLq+w/kzhDrJ/qStASxUh5iFWiLIh1M3PLZyY plVSLOEgUBLVu5S+j6R3jQBjytulJkh5EvYiIO5AEMHPWUr9804I40XtBzQeg55/2dWzi3c6GO6v ScxYMs5cZZjOGlnKydPT6xn9zgbr7viymxoZ7br+NvDxTsScWWNt7MwK+wPc+Dxn5Gi3Qr8YHb6T wXgCaYdxntAZ+WFhHmUlat1DJD/z+WCP3SoiSRowfksXU/dOBbP4p2edzyiRcbGqAjS7Vcg6dVI5 QVERmg48KIdVH24LDKabNN8CFBw/X4jDzBZd/VnEuGgFoQ7zeeeBsYllNPnkgxgFfSZhXM7v/Hln gfENvwtARMpAsMcgh2H4jORrM2/+z6ZwqiS7MoyALfuC0eoWVe6dA9bdjWJJaml9Sy2d4Ob8A3Ml PStFYpRI8bGhl7H6tIIIAP68c8AMjQvAISW7GmHSyhRWLcQ5Ax78eeeA9UdpyYIPrJ19gYfvBLAu fSSo47GAcXYYfUzp1zER9VNCEH5vP40TFAXQ/MtnXiRx0vNTQkSttqBhTbuO1aV6ehaVjFp/0Grz HiHOv887/etGQCTEFefFsUR2DndxUk4k/nmnf+EQmOPrEpNq/D2B3tlfuMROrILjOOmMbrlXg7nQ AUqaryh6MH1/537hxwg+Rn8tDVaCPufiOYSBFMMGO7fhprcZM/dGSCAvPpfq7HrXCH/I22szfx8X in+OfqjUMwoa6/PO/OqP493UyYVEOfd+Yb3vMTTIB0i8iquZTumaqkrfs+VguTU7pN+JXwRcVyEz tw9eATq5XOahxGusziyJGNArwVv5QXXret4NvWtwzVdFz335YEAgIBqJ5Djb+fNO/MLj7c7QkHYF WAW3SPbO/LLwoEMIx4pkwiSySDarK742hI/CPoeFaOan0HL3wdN4EOUWeNHgxp0rOXdhgHFPgykE morlqQu/6Oed+MU8NXFj5OqDkArnEGUj/vyq8/PO++pSsngOIaCVzu50sN0764u3WCGJQw3Us91o kAivRsr8spWaK721scnCQJq0STgD+OC32PmBc0jrbUNWOalQjQJHhn3pgwQi3PvzTvm6s7MkRMVB JbJx4lCBL/f4vBO+mE39usRQLV63i/HO9+KvheBwzmVJ6thuajsoAlPkXG1J6jvdy2EKNZFppcGP 6BOfwE1bRKaOHSfMIpVxybtuvr90x7+Tvboz4lemxCsH3vEJqhnytC18OrjBqkfRIiOg6sDSi+Yn CqNRKGyzm4Ht2UZbRO/dhytOn9jCexjvRC9uLs4PHGJs8DQMjbUM+QbMBur+TNhob5Fp9jTv8UPs /DvNq0ujjcl780HTA/Qwv/2Tj33eWV6GYvwqsKIWum8G36IQulO1dJp/JNiCurZOFkaubqGHkjO8 WwiDLlbb2D447+L8bIiLkQUPQCV77+Q0/5jJU/YklUEfPiO44JPob/0O3FwnGKdDFZi2GU8HEeKD ARImGLt93LMnjKDzurr3gAM5ZWdXeW52WiK7/l2LCtBJzUG533G4JTLWrxsjRPTk6d5SOkMu2HvQ oE+HDzkO+Izg9iKRiTU2Gzg/C+ZPEndJfDpowhdvgEnhbIF5eYkpUQQN069Giw0un7yHyQM2QTtY IasywOuf/h5ASx4Oy2RuH/xoRu5+7i4ezRPk+nGVuxBG/yiHV/zMClmPaYJDja/sg6YHItzqqo+K pyOQb/69fNj9v/MTxc9AaTfkjj8SUKgmOXU+tpk4ANQqkrZXj1AcQ0UOZVd73wQMnK8xRqWeAxgG Y7KGPyk3nc/8UJPTRCaqvWqQX4jLva3TvPxm3yRpUntk4umI1/Td3gF4ZU+vcPSw/kw77WnKG8DT bwt8crqBTwWQibFhjwrQln9JoHw9AuVYwed4QEERuwvUXsKlyYg56V7/lX8xZcCH/BNEtsiJa9j9 rEvk87KtMLYbJ0PB8wFjcH7f7pkp0bxVoB5yBgFSOL+GctSGhoMSUwjViX96Tmiay3AJRfGzfFvn 6tUHP6HxKpP1zJEhcjR4v1OytVGXNT1AO+m49iiALhIo2VJ1o0cok3iYTFpMU/B0xKn8BtLTRV3C CZygiDgIeSeVybIsJVEKOLOyaP3I5ZSha3Wy1RMd9hjFwb7xEiFjXSU3yJ+kDXwmUUA0biXJCQ1Q +K/80G7alCVYRuxRGXpJEVBk94Fm1FAGdu5u1oBONFHweHCFDV9BZqqIPek9sB5Hz5UpvHHAoBWn MuLu2zlgkgTj/ITsQfXVqRGhwfbYguHCmWE2MdYGnVGW9eBIQ1oJQn52BAEUxFcNbnjJ/06JlE5P gxMUw+WTUCZf8a8dVgw0DY1FrwL1KIBGv7OL4Yf0gkYkcpKAbptEpfBt2QaLA2h1jkVH3v2GQBvm tifAxAI667/pmoOmFOBHDR6Dl8ozKCXdozp0JbplUlxZg2ociBanEowx8XgEI/P0q/2YpZ2kyTg/ 7wG0O20g8Ckiu2+J3e0NyANrQGgJN1kj9gjCAYQNdpagmo+tDUgHaAbmJs0t8P/IxGDZB2QY9jWM KMcydI/K0LrDeDjboPnBopfkxjns8HiUwF+mgVqu8B1od4IiJHQX52gZ1LfQTwknkIREGAT1xPwU yzfCcIgLtuXEahlR5QkE1+6O+hbK0AW0P2FZyXA6C3N8IaExV/iMIAgS551Obhq0wzqEYXgF9bbx eLCALldFzG5UUtDrtgkKg2iglaoU7VBFLMTUbzgjDZe26fDK1ARFZWhQc4gfGz5ckAvVDGllB/HI QUWkAtszuGtM4CG8j0psDD4jSFEZ/iyygDTwBjsXtVEtz2mBpyMC8/cNBhWTk9Nelu6Iomi0l84F UCyFX23qsAaFKDuMo21DuYyoDD15IyRUv23wEtm53FCCYBS9AOigvOUCADLTJpQcZlVZ6a4xoija lAGKcZmrFxGBclOVdeWMx4MwejiOg91pnIkgV9n8RFE0+/Anw7YC0F7ZqAbIKO2ELvCSYJg4wiq0 wsQlAvy6BHigok4GTCoHNljPOqTQQ1QnjNb1SsIWFbbHv7mE0AH1QTk8vGgUBs+Np6NO83cRuhBR lO70hEBoFKFP9Jp51rRFzsR/KFjdk9RITiScnAEfFaHp0lDZucfACuvg9JyzpS14PKALTwFycTZw GtCu5KrYQ0Rg4CMCkCZz0yn58ckKiY6fOTdrBv38B48HJY72ffwUNuTv/TVCJuFY1Nq4VINqBbLz L5znVIh45+6KAugl8Bg8ajDUZ3rOSgISWn34EzVIVnIR0gUZ+fJ1vU9MTwjiMIhmaz4wBRutMlSD yVvD09Hh4+EPDi3g0Qv5kZqdKHzutI8fJuwHqJ2ckLZQoTx7CpsjnJ0ofJ5E9uzKs5mDpxejdBSH 2WUGqL418SyBdIIk4vn2+z18WOEYUfE5s70M/SwhEJsI//tciERL/pzTP+PxqAX2TeTh7mJ1VxMU xc/IvSERpqhZVtrsoS4g39VDPR9r8fOI4ucl48Om+Lk9GDt4T1Hnlyl8S1W3e6cyVgPeiaot5VHT GlH5WRWy3tnh4cDD55w+XUSVs5HxdHT4fDPhWVmd4+6uEAINQjpY3Vw1kGTVpJwg18CIGUeoT0+E gLZLKyH00HDLz5SpFwRooYKiAiL/yaRZXX2sM5deNWqAIf1ChuKDZadSuKTVHp4OYp/1je9lPQh1 R5ueqPqMFd7KNN0NfJQ68BDKtdUDmq6pIY2o+mxtr63VI8ePrAYqqOPszY2EzmGVQy30FeFg8wOp PQeI493xGf8Kfeai7CEHFcjOYlV1Yq2NpyMKRvqaHgh/5HErQCMMnQdoBcNMRUDjr5acpuFn80L9 STd7GDrrxE1S/0kXgYhlMs77k6KSzs6FlgQiQzFtQQmCPr7Nz+5V7xrEzry8OvEkcB442XtXEJMF 2/6BaDOeD9L3sr5mSHiH6en7CGPnjsrYYKsU1bLt3OUk7w/Wfxq6dlpBYQW6Sle0NR9sgwHXnEDc JYvnRM+Fs4hVhpL6ppOSl+hRyOfLBgcQlQJmkW5UmbcHP2FWjXUxU8bjUYEjfR3QPAD3bfDMsAaN FHHTJ5YgXzYbcG8lKDgbkqzSjQFX2IzCZ8FYl/SO16N33OAmfZaOafnDG71IYlPSxUSOXCAZ04sZ gjiqiqzzDgbzTY34t5OUt4bHgwlqN7/A1C410nwNzSh+lmwmFAJgQ4cSmeZqof+AsgYTVKCgkMHP kEu4RUIlioPDRXFQWrwsoThgdti5y+hxABRHLw+TuehVoyo9e2BNaPHmWkMnQhxDSMt+AugZ4qB/ nUIDgRTsAm1+ogAaBtwnBpqGUWjUccIpNLNhyzLU3UwpYIZEwlZemzx1D8x+z1xAK0F9e5LmhEoZ 2qCTOvUio1IpYP67Cj1I4NKgHYb7MGXNDx//iyhHygXlG2jCpwmKQmhMQyOQW13CYpBxyN24GGSF AY8JSYVF6KnqqkQ51vzCsp6zZ3WLoU8wLrUS5B3nByEFempA+I75GRGIw4LEO2iGzsqTHiR0LfB4 0ISfvzXIIFNwa4gziqLPl4lOhtEGe57uJIaOsCM4GL5yBUVRNBXXUNqoPvj8dCTbcLonDugE91uK kDjVoMKka15EDAQ2+Ixgh0mFYzCR52AwIETfmKyTAFc8HvQJH7Et8b0LEjHvo86wCg0wax9ZmSkk 8nR3neusqm6GGBonPo+gCMMhv5WzR4YPNkEdNBhEKNxiJ73YLHE0EufB5RoUyRbVYG69anBGK8sY pMNzsCr0np20lXb+IR7/i6vLPjx9aO81PyMxjr4A9p2Ej1Ea0iT+TpLarQQERSwLhGZUhF4ShRTZ ncMzPx2tWIbRe6EOzTsMdLkMCQ80M30BUbJ/RmF0YlmCUvc2MIwu2GHMMs5r4ukoCbt0eDY/5jUO 5/yERMJEfoE79sxpKlK75+Fp6rhJ/Ayl7FDYQRJ/B99gGRtsy8q4ANMqKkZn6HhOSFRlzfeJ5hgz qkEXyZFR4V+DuAZpy8qwnsAKT0cIqW99SNb7Kams2YlQHBCdAgzF1doEhMbxI6M1+mLMZU49Mwqj h/rLvU0fLIzeO9MvDP/nJLLnN8iy88MaQGJUUCCzHhhZhDOKoiFWg/C5dh+sxtG6UvCzzvF0hAHy 05kFaKBYwQu26QlZhCDsj7YMxDElZjfRojZTzAwpcevwzFCLQxApXLo2XBj0SXAvixAKcNXkoDsL fnAMvSxCxT+hmB3FjBduEhuUhMH2icDUc8rh6Sg+/B8mxlN+XlEADYbXuXDtomrg4yr8OTvZ7vnM ZImrZ0UgDtelVZW1PyTmCvsrGjJkAlz6kqF44hqgJOtVmpAU9gpBHOI3bbGdvMl2Ao86RWw/b4+n AxbhxfiywrHJeb7TE1afN9SjaABJkmVz07m1t0WHEISx6vwKMRzCXP4vxxuwcMKkePRAfpV/E634 80uisDRvAWjx6lph9bkJ/Cw31ZldRSHL6OQHPuR4OtpcN3hmfWEz9fLdtUIM9FnsPblSST9ptuqr QHF0P33qcprcClEcArl0CUmJw9wYPu92TqDFDvzZdgk6ozh9QPLIaGz3hwSP5m3DZwQF6C0iT7mD 3V2zq+VHJc04er6xIY8q2hZdKZcV0gg7hSWn1YBO1mcsjEVUsbwvZjItxBXSCLuYTqa3dWkq51qa BRgpgcRRZGUKKzENKMR9VRChW83P+JdMySian+Lzk9CUpRRQzhtP/3X8ME4GHWDf7uAKlZ8nCmOU mfwP+ZbIUWB80SU5v5mdsoLY+WOi7EsyJeoOlluBPglgOasEgC8WWHMTlKFRcB49CEI7XejGXjUC sPJuX2P6oNBwnjxIAN+VMh6P+hff508FjAaXrM1PFDonOhWtatYpRXX5xdDZu6cTtwtzixWKcFBR fS6CDzg4SArCdRM1AnS/WoJ6BgpAidg1Uq7ZaBYjg05FK0JwLKlLqHM1L1MaBTLE5jQ8Gng8QHCs X1Kaix7SHjuvUMgOIJxUzFIWAaFHP8sol0SRWXK6wti5CvVdsw/eHjwxxpkkguwyXWyLEECJyeT5 8HYZBpvt0xWLcFAGaLG80RcTQczPTKi8IV9IqeHxP7V8Jes2ICRzT+goeEa6jvpOZl1Mno7Gc8os BYHnhMaYbbEQA620oomK0W6DGQyehgrJVnracKmpAMQtVmDjeStkLNKvKHyWmi+6yT7oCptUncWu yf2zwir0/mZaolRLQxqbnxAEDSVEWNdoh7Xep1ehm3leQsrTQL4rrEJzXmaXwkZ/EC44INBjy9La GlMuV+cIouMeHAKJ4OjcYTgo8SGB2OgS9qfdwXbYufpU0zn3KB7/U2uL3hgIwdqFuKywCs0ZmgYO b5UcbcRAdBFUejqmm8mvWA6apkQsLWu4MPGGsgbT9xMrpjKzYt1OWYsFvPWNgcbQq0YxkEqHihSb lwgyko3EFbAzH/9rBbF+R9PSeusbO4qhkXi3kw9Z/o5ihCAcS5cYIRzZhYB2yCQ0lhPFsJd0eRQk AsmGriD7PPCJbLK3QlcaXUzq/n17N+8ohm6EKHRTJLtS99Q1Y5B3wn4+HrFUvoHitFvNV+dmvwfR egqW1j4/dXuQeLKPbEFiuStox1Icog+sO1ykeGurkVYw4J6yDCQFp5GK7gVI9+5K3OxdoyI9nWRl SViuJeHZua2qk3WOCDweXGLpuwbNzvCjF7ljJiEKHNU43k11Fdzyhc4kaBRC3NHnJw6iVYPeywdH 2SGB3/wBHZIlRHWfTajr49zq7EzrkqeTKz4jmB+y4TdMam3gEY1tIjI7gqD9txYHDpuMlme/II4d 0gjnYGHS3IjqcOsUlDDtAFKziNMTgziEXJHSTX668Av4rlRUoofJeuJf6WgDsXvO6NtZhEWvGtB4 iiWnyQe1mc8Vr2//fLV4+i8xX1Y4wId8pP52CIJGD6yvZmrio5mP7C70HZUQR58mxLFjBiGXTVMZ 2lX4UD5MOBRGFkjhzFPZmp6pYtfPvkIui+X5HUtxUAFxiGYwnGawM9ou2lsVT0c6N78OHxxhffv9 vmP/FNptEVVXaRZy1fqTm33CwdxALjvEcDD+2VWJal0PgAwMp9nE4jnBHJLUH3p9Vje2uwhN6j3i MyJ7Gc5Pa90HZait6boENAtPR/PzLSNF3v4DINsRhgNveKK5JB7GuYuXaY2utV0mKaHSr+mJMByj WGOw+uBnMzxiC+nK6J7CfFYOzqjzoPpE3ZvV5Rfb9KpRdVW3uxrNrVmCClwnM5pzihU8HSRgtwCk 8iGaS5dhsEMhDkj5lu13F1a9SE5dejeVCOy7emIMh9g7kvJ9CkBQJCkA6LG+ccKXqvhoAsUKxoSd PZNHM3Uid4ThGEIVAGttg9c3islo1oGng/TiipBRmwJJXL4qCjssPlOFQ+o2IOpSPwwcpy3SJY1U WvWzJ4Y/izZJxxAOHj3PExp24CVQXaVRPKksEAYlQmlfcCYLcviIf8kAnVw5+6CTeYB3wdlZfPov jQnMBHl57Qod7yhyRu8JYnVaKOdPzf1h89x+9vQNFRZOT4TfmNpcg/x/Dj49BWZeBNj1vc4dYK1T LJgCTQmY+F6JyKZ3jWrzauns7oMu9vPZ0s06FwCejpJTj5yZlFAXGqRyTVBOUeiMemHHlcI2IBR9 BWSlgy5zLmanVCnp+kH/KpCNzduLg6enCwDTSc8CYA7P1Yb4mj7fDUktXTQMBESlJHxI4HDFOULJ n0Nz0eIMJz4eR1iq/AERTNMveGxISqOuSwPLKZSy68hMMamFRXrJspFpSWqKDFX4RxrOhAG0HHmq lNhq9xSs7DlB48kKoOFFJR4YZYga3rB/2XqObW8bNOFZ4BjaacN3WgbSaGRpI7TG54Mko30XgmiT VvezlEJTlQ6H9kzqKaZL0m1Q09zbFX0X/rUsDVIIhTYdNsVB6TsOOvE4TjOWguBPRTQrKBm8vH4m cQpCchDMig+JgApSiqQy0ISzLzluKAaVzr4YVAn4AwLAZvkOFVHolXGmTVJUjgYIG1iFbfV61RPR Sp3eSq15DbdiTqEPIUVrZy7JBz+RTqqEg4iTBPGSSthHBkygUiyMWbUnq9veNkIlso/KProGJWMo ykm3+BypfD7ifX9LTsCPq0JQ0ScpCqgTed+T9l/IXCkCRYdPyi6RF99QF5V5SIqq0nLR3SknHy7s F9caVVTgyYTsG8d2hbVnQ7+QMgJC1W8q+xJu9v4hUquhm4AGK0vXnGRwsGFwkEJMR3nq9hDTaEh3 crqTFFam0Ths206iky4Av3fWz+a5X1j0SPRa1sEdl6YFV+AkcfCkdYEYCSIg+Lt7Qu6XST1WEtRa mOcaLGj7y0bcMBaEpKTNQZN0rjbh8LC5+fz7JNUbWrMzj0sUnmU+R2FxGhKkNDNT9Z5FOhxJ0rji uV0FfOFCioLraawVyQCuRwaww0t3VqnUQ95ODbSWqG4OL5h+jyQaR/JDIg0lElSnNtu8m40KQQyP z2HB56P6/S19sHuHL6M/Tisp1Oggg4W2f0R3tGTojlZdRqkAu+tHUligNosi1anLhb+giFOg4iwi +AnbGn2bOyB7Zycy1/LqEPkh/JCIhMlLTXaOQ/YX3G1nGyoAOA/x+b+07uSHBa2ydf2eUhRmL+ol 9tQN4zF8jpYn++AhPI5zkVSHMvdlhSJXUj0LaSwsoGp+RgOiKGJqkEmCCy7fNr25DqfYcYUgM1rt aODpe7adYclPxsfHg712a4zZuSxs+vocxXp31EPejhIimJJX2y4GJ4ccW/M5CuvUi3NUuZM4eJkI Nt4N3BUyDc9hzUuwd8oaZ8p8Gk6IStt62ahOZFDX4oNhgSGjyGbiYCAZGq9cNpSCc3QEWrmTFHoX AiqIc8hUE7OsQFHLh0acldLaNQ4LvAsRRzCRZfNdww2Szkk6W68S1V6Twq4nIqfmC4RJx7Wek21G DswLsdnIhVLUre+T1zjKl8bD0DtGkgK/IA04hgtRlDZHUbSNxL6R/6ecdpkFAtxxcCDhlkzYunKf C/wLzR70HBg7+2A5P9YmSk5dFaOajOHRaH2JE7vW3z37/A//QsE9fivfQdRHTlDlbAs+Hsm31q8w kmqQjyVxjg0M8yPoX6laVjyxTZ73Q54k+RyF8tFssSRK/mnwplABPAc0ImgHNejMkMNAKwKQ5rHa L/Un2dtG3J9KwHQRfLqo8QqT7EIQEcQf+XiQ2175FxW48SH1BkiBiSEEH1BuvKjFE8cZsXdX0+tC 02O7hVjoYki9T1igDB80RT0DF7Npygl+y+wm80EiKRRUadpnZWu2pnNgY4itJsCr/InHdvbh2bbL mL2Vj0d3/7e+ifKi9ExRKH4HDc5KlC2x93K0hsWII4qQEPXsUxQSECX+MvodPNDGzTYHnWZPEHMW EjwKToyLI2UA/orCs8OHdPeHToaoIdOBrvsgfAxCeJmMFL1kQH+e387oEAcr+QJAcuBk6Cwpqnyq wjaznUbUaZNXKIIt22lhnD1lM0KBDg42R2e9gAwuHVegGafCI7SLgEP/gbqtn0aUgMmBlyF2Wmb1 qN2By+icaU0M5nPL8PFIZvKXmSHEAGhiaVMU0hA7zqBiqWy3uj5lJqsFSjDkHdsNZ2MENatHcpwd X46z6Vzr8MeclPE4v4tEBhJsJitE5QrV7V2K01/3X/HRKK35oPpIa00da+ja8/nozP4We0Ogi1e6 sxRKeQwiTED4UFZr9nQAgpA+Tp4CZdI1S6Efi7hSWZbg+VqCF8hRwTKgCqs3oHOC3cYqAQ5p7BO3 da7V3jZaSULJEAfZpZJMAz18Mbyq7B3/AuKL3IkX6M/VH5azkQbXTCIiQA+NB9KGTGEyXXKYCjVL 2AJjw2tNZ7C0dLkcZUJwrBKKD1sxWjgRDQK7Lsr0gjTqaBm2YnNobShJxROqNx+sVz3nkqLLuRH4 fJSM/HKfLaQrPO68ob0hEvwzS2YY0aq3q9e8TuFn75bmCylGhKiXlu5gARK2WyaqDoSOBkQIg0hu GSJksVGNUyYD7NDgsEj67b+CSLIe2W7Cbht8PkhHyjduD1q1ldIVmqPQ4xC5FThewzEP1bKRMUu1 bGRnt/DLocnhkqBkUrydLjERLVlIcGyxgs6f5aLZIepYaZ64vpCN2V42SP2nSrXZhqSsFu4UiasK ZFo+/1d5hEsGp/+4sJAc2hyC4AUThm7QNHKUWWfLU3RFmCTAFoS7LfQ5HCqPDOFCxsWFnDhkbtgC SGARvkdq7CPFLJvqeM1LSIvNtRz7HDKILKqScLDNdrJaZmznmJt8PqhFXgVTRkO4jB4NwRwbHcKI dlCN9j9qWAi+d1IgXI8ss/Uyq89RGGibwyGVCKafp2Tf7Qn6TJZZJkxAWYushIT8f8a+M0mWHknu f52lbQxa7P0PRrh7BLKak9FvSLPF4/LLqmwURAgXkPBK2w6kkmuyl/1LgmkuItE56GaDnin/QgA2 +HwUadfvA4mp4366SIHZIQAi0PaohtdrZDGrzgb0s4j2BXKVOpACt0OHqK1S7uAH0lgdfbrE+x+y 8FxI1E/u7G2Xb0vRam8bdPmzlM4k2DAZ87CJVJJIeCdJ7Xz+X1q4jJLQ0aBenk1SWNU+IXUj1Fda uLUkCyTL3g4TWc0DycDyEJ/DLmRvy4e728DvoEr8Of3nOa0JBhA0ApcmVaatlU2tsxx7HspMglGy BsXa8PQm0PFkmnw8IpN7ylb8/j9B/7OQQttwkIH3dFvsPU26fG95Hcu2pXlCEpoeLoaHNJ+y4Tba NjaYjI3Bwyu62eBrX9h4nNeSTXqLOXQ9VLA9KncbB6FpTqSlQmJeejxaRvkrRCqrfUsS5ND2sJHQ 0IrICz3JGfuc/CdXzE5o0JnOKQol83T5S9N1j0eRu9N4A70T5Gx9jipSPkXiEMTPqzi077tGiAic R1uIiH0RERCRSjJeXYOPB4F2+kV3zV1d8DtFUUUb2jCtaMWQ2Wmq06Dce5ENgb9PUVzR1qXPe028 Pi8gDdSQRKo6E28F3QHVoZIYSvoUQS9d7xpMkTS9mpDFBP9gES2g3mWXxRM7irPLRY3wkm9y+7oz FIKu0Rg5yYjqR9B3715iW+3CRljP7vqc4OaXgTj6ijb4rYY/QP42kJ4eZauci2hR2hnp+kcNlY9C 70NhZk+K1X3QPjs/8LIm5OTjwVn0S/sVpT1affkURUE2sEk9q5xN7Mh23Fph51LYkY4lqjmKXcS3 qkLTBzuLQCzcuJlVHKHSO4H7gDeg1IljFyVBLCO0g/glQQRJT4BZhb+uF7lWZmIRoEGfO4cOiDN9 z1FjcLTvlRZaIM4NF2gnV6H07OYJ8Mf+MY8byAtpp8UmiEvemYTFcvDiyAY4vU6B+07Y14U/gssR ocSz/kZe59AE0Y7rRmgoB6tmp8Xe2Pmeycf/JULN/7gTXXzzkNAFEWCK87ImKtjOFu9GYCxtWq42 GgNvzlAUY4MBg/LjLD545yidWHgSXgonspO/kqC34NmRGZhipxl7enR72aDfLwKsDKWW+xDATyVv Y5XpHSMRq/V1o4F6kvez02InRIJcUnl0iC4DxPzbiO8xil4OrRB1XM/J+JmDb7QEMynQyxBiQ/Kb sVNJG2sdtQAUzR2fvv1tgwObyPQJ61YbRHHYVUVe0G0Gn48m6Z7YJhqLV7mJSOyHCKuQRSQXQ6M8 Loh/uh90TctB/Dl2ROQpMwt5VBw8owVypIsLCxBBF9UTUNEfmlgMJN9aSaiB6W0jrrDO7Lp9MAwS LkzmFjXrJYOMNj+9I54+07SwfZpCV8SGgygPHdaosi07khLbXaYG0ouvphCOrWnaWlT7JmwUk2lp CRdxoogT61JSeCP1BM8/l/VIEuVlrxvUIkkEOXHQHaw6UkHJ+aGH4OTz/2J9krRH3Y1rXZsDe0Ro yqC6lqhqys1HAiiweJ265oO0apj48G4L/RF1bs9KdxcOt5+Noy6xFJknuFIMxctgmfa8NL08VK9l 8MEviVhX3HFTsqfTZU+Jl6s6aVAdCSwSv6vaalpu2PveXCT0SASwp8lAj9KVuyrkPkntdnk0Kk/a QorAI63rWJJ777wOpOB/0ISUgfY5PsA7YeZP68i5eA974V9VttAkUdURbF8fDDyCLp5q2jyVQnB2 +QZGEsDw6FfmwCYRzCJU2QbzNZrYdpUAdiVZh1W2QTSTzqQw0jb97rx98HytAxtv1KuTekJNHnME nGqDdEkv++61tOxlg70mezsCJzQY96q4fO65Zvh8FEj+LteSgf6gkEKnRCBTT2DfrIS0aJgOiVja I0pBN0HjUiWk2CpRRe2aig+3hDTXrIb5gyiUKGyNnpLA4KkRqVJkb/ayEeQPK6gtgkc5MEqCzCr/ QJT/+XjQiMwXPEIYOy0eIOrjkxSbjXO9E9QHNh/bH6YxA200SOENKF1W7bZYJ4SZGK3rNNhKGhPc fBoGj5KIJcBKSg2pEDv/9Lm3WJIsoxwYJiIIIFYri5KenekFBrcMbmGkwueDIOCXGDzL6ukJJkPP RKD7WyE7mnZTZZoncmcXSOgRVn653QLPRKj2ZbmUTR+spU15NHTXSFQrPVX5TY1Nr50CLNK1NCEb PQeeieKTg4PF2jYHJf8ZPW1mYmvx8X+lbYwbSQC/egY5NE0cFAxJQxW1NuRxS9MXStAQPNIc8Z9D 18QlP7e0kg+W+1cITSZS504gOUAolp9bkYb+D1ycLGsbipIC20RJTqN0pJTE8eBrSXIB/8tW+Hhw sbX6NUVsZgMa6VMUxtsNjcjtrAjTtwR4RLo6wtfSAk1zFAbcEgTbEpbb895sE5K9o9O7NQFdU1nR peJPbkQD5FtlK8veNqhpk1XTB5HanbFoUYiUgOI9/4RxAT8gMhb45h3hVgMJ8M5SqFwNe+09jWvd 9nA2OrwTpxXaRIDTZosdyAk/bukOt0ayzvpB6QiIKmg0yD+IHWXUGNptjgwKE+bAPNHJNUOyYOPK gp1URD4MJyfcfDxCs31LFxGLMi/vMQfeiWZApaSS1gLIpygeMuGEcK0FvA/5t3ciqLbJBwezbTjJ ijtyjmw1o9Bj66T24Y9pV967bXvZqOvPPmQW4j8PS/87jm/CtM9lzMcj5sj+dRxtvMDNbUP7xNr7 pa/RIGdL3XLTO1Tp/wTAQ3d/4J946TVKNTjcpC2D5zqJQD7B0ZpUkD2/daHtww9MuD2IzN1eNii0 NQNGTB9uEEmNcriYVT7/fmSTAKHGCPmA+J/nQnkO7TDUzkjakuug73XrSKmY7AFUZFGe10oKWZBJ RkK1++An0sQPjSCDbtLn09gbwblCDzWmXJdgQ6XvHFgpYi3wvF6Cta3qaPazcazyf/4EPh+UktYN klTXhkprfZqRoZ0icFYg8nvtX8w2eDGM0uxIamAN2mqKECR1ig0pwfj9CMbD5a0VVqHgJ9RmWRKN ACAbOUjbN5KUWlgOHBXRZuOJPWfxQfU2fLLkPdfm4/9CRgpuklFv8w33eYmQ6vnG/4MSWRM2jCDk YlKFgCIVKajmsgWMPKf2S4B0PuZKFUKr1wYLkAbMSwcN5NGIRCNDnrg4xbn+ETBNE4xHEPkSHvFN k+xKJUXNQcDRDSb8D3lXePi/Jofvtw3Ltn6qBUcmRcy7NJgbymTAfVx5yNbdhXY/uaesjID8aasn mBqKQFRAQnzwlB/Sw2c3sMqG4zhT7QglSQjlwHFm8Xd8IOyvU+M9kSo9o7rG7YlA60Y5yAmuX6dG oGVNjZChtHWoPjcvMRGfogrNoCcmJFKng7PAhTWXEyjq2Ny8BERaNsx2En3/NNiyge4YkOtyESKO j1uPDRuWEtNF07JC/HkJh/iigtPstJMPusbOYhtC0+z2eQmFNLHW3186eMDzzRCj0ty8RELaUw25 hiySkX80L2G3Xrw+y+Y/t9RLGMRPGeLDF1s+4zbT4LJS6D5B9dgTeLDBfY4iyMAAtUYFNSGN2U17 iYL4FQy06y684nepblY75+hcp/0kSp+XIMi2R/fJ0WmOsnOxuXkJgfQQ7o9GxzpqP5Rk0jMEX+u4 qcvJMy8BkH6XlGXdunzwuZkIgvgu56MroLSmvtekzsXyh+0pan++hD86bkjjRBbvgzJW4CpZAoMs 8Ocl+tHTFv2sH9X4ACmoBARxdl6iH+3FJceg6ff6lMoTrhxbOfRTN4fSYHamsFdLzaF1m0MtUy4N UIUzOwgOs1sHdYRzhdLldl2VxCrjS+ij35G6IU1wx3bhOSd56bILKSfU/7wEPtohRiuWpyCycmiY 2eS8BD06w9EZ2sMcTQQk52V+PYToLenmHdHkqC9EgR0Nnl2cqLpDJ01FoRMzS/gTzi0V8zJYHVKe SuT1S7ijbaUrCrAaGzQ3sHZQc3Hl8XkJdnRgTT+PidUDNt3MbankG53Hjfs+myxqESlt0jfICou5 Jk8tXuIcncc9ifoxfHCMQx4IuHpXMYgSkAQ4okA6aMrVb9SMc/XzEuVo/qdBru6gaxwLkkEKatMv MY69n68b9YJwAq3mc/NST9SuYsWKHRicOb2YqgEKi9OARKl2a96/VBO1pYdhY4oPXuAA6ZPY1zM3 +0RL+i/PmV0oNUFw8fUE7NQDCyZn0e2lFXalOViZrJQmKholP4PZccE9Hci4rOANapPzUkfUYoVk Ry6G8XS9T7Ttq3xyJPlQXDAkinKWwWKSDw79gI3kkp1A5eQwkUCLF+nR/CHd1EHW+PLPSxFRv4CR PWS3MB67hbMzuY5qhRZYdObcfcXTGwXJfZfOG/9Mt3BC7QfC4+Kf95lsek7aUH16itvdvfHPbH7k c1enD34mw6Nys50wwB2ZEFWGJw74eYD6jP144qip8R4hu1rRHCYJ72p7Z7s2YXFQTfm80c+0+Kbf WdSbmUyOpk9QFCQnYauLYavpLS9s9exmqSS3B8NWR/Oj8DjLsT5fVnVDlovFbycPrm2yqhMdW1GX IgFNji+9CggeHcw6kckq0mAH81mZKta3DPx5GCn3rwmCjDl0KHyC3iPlc21BmbSTPkDokIRUOmQe qruOgxjlsJhggmjVem63kn24lzokGJIsuVYpWQj9iSplQRmEjqQiMC7NTxQrdwY7W3KW2+UsM3hz XUSPhrLYe7T8cBiWehlAblbSVjVBUbiMgwrnjgtejea8s3IlHooMS9lbDc5nE4Lfz+DnM/1ikBVR K6Sc/8viKtjJBdbdja1VpaBZXZco0WK9cFdyPTjo8prqLDCx/byRzixg/nUCkSe8POp545zZzqDu xTDFahDMLEPPpGgyKOyworG6ajQ/PIH4ojb43Q4Gu1OpE7DVW75m+GOpg0DQuTbYVA0jPIFIgiEd XYOSrZMvy5Nt7fF5I5xZ3LS+sy1YNED/IvkMRVEza1IKO1FWZbVTlpIeIgJHgSSHefp72JzZisPW 6t0Hb/KcoDNRiQWJ+txu3cUrH54tPLWsqqrCcxQ2J/ZSYbTiAzN1lCKtDdbq541uxqdbyTZB1CzC 7dBGv3dYGDjT8rdYBnFu3m14oUGlJMaGIuTyiI4i56HWDiJ/G3wBoZ062YcdcKBC7MMOT2f/C5lX vaaJjH/eqGb6C7mz1mR0yOFWVBtjijbz/rwxzSyh9fiQpzFwWxQt0fxEsTNcgdqu0/RiheOioEPr Tn1ZeTtCOAqepUe4pyKhebUKWjlbb6dNPQeIe7PoSdGrDLn8c8WDZuaOU/aq7/Mz1FIsyL40aIMB cCayb5+fN5KZHSXze3oAxN/5Ts97+AwenkwTPe9KpVnelcyGHFlXM0OKN4qZXr0xAgIT24YLDj7r pSU1LaBzBRsB6oHybq2SuzDHaOYWbwQzxXiEc/Qy78DpqSddKdKvgLRMFD+vvX+dP/DUEXGa8xNF 0OiZnzB/qrTcBNXG/PSUzfOucKdpfsIIWhHQsl12G6idZiAnbd0GDeriM06mGVClgnWC68qIyhlF 0KCiYUcigtagQiHEaVko3GgxRxF0Lvtr+XTv31qhMIqgkTI/nfcGZRPLTGn5IMOO4izON16ZTQ+3 1RrDBz+dE0pyYGJDUgygPJVRuWoYb38zXYpe9f362vTcXI2OvxwMXAZ9CCYYs+TPG6tMr7jLV/6F AL7O6vnXG6nMziyooXYXbOzTOOXnxNjup51Wt+v9jVNmq5CI4KLTpzxKKWcXwKeDhoDQblhCBDWw fmG7gUyvPcS7oncNrq8lLHCZPig/LSgqyTKxzc8bpUyn+73gh1UMCzhLNkFRqXlSMb+2bE2cyuKh 2NK1GGi60qyQteb3CBoVPYkSluSDN3GguwyJFKYYJxQnXOesAMSGJAygM3+rG1MvG0VAnKH9DDyB 4E7BaAhUk88bn+z/j6BZQlvQ0/UD+o1NZvWNje6EmbWeANpm5dz7Xd71meVhHUBvZDKLTfp7wRl0 jbMscTqCawmZNBY4IHZRQcAqrDgL5dLZVn7jklkOL5BLHj4YXGqMJhOpzKeDHL6vr/nhnUN+oeYn iqBRNWpNiB/gpbNryCwlGOwpy7AdOywqOndVxSAgbIOnqIsaTRIjbCda1CYcJMwB47z3dUwcZG2+ 0chsgzGFL4ICFec+wDS1ewpfPm80Mt2x2+eHBE9c8P1mGG8ksicB66tZ1RkBkOZnqj5WSW6Zpkbw xiGz+EcTU4YPvn6gSsTOMRQtT6A5VFmVQ0OFCGXzovz0Vw3iH2bwMwtMni+YHP4Ogv+ewOvzRiGz snzy9cNgGQqUCINsgt4DaMmZQn3L1azacih57fNSW8j34gp6j6AVKbDPNX24ted6shaCihFBg+u9 idtoJGbVn/2YBs1m7xqUEJlarElqNAfNUJqm6APL4c8bhUx/6lcOxioH6mSk/2mKohhahzQZtISR swUsRMLs11RyuifgG4XMAlyEP2e5dR/smi/ga6rYO0DQnU3C1gtR4qRIyCOM0u1doylS0wKUBQ2W ZHTg1FjmwBS9R9G4RzwLI9McfxIDPU1QWISGsUgT0XdCqSHrFltbklab5tHJoAhvBDK9O5Ta0LdZ Ptj8ZBRxm4g/AGxsRoQnvsfbqq5/iZpyvXvjjxkmwFqm1QdGicB2yZUWMlZv9DE9vW4Ohh8P+PiS b5L6Rh+z+UGRfnVVfM4f0qdBNWWzXWgc5I3BN/KY1QKmyhvJBzuEzspB6MM66wnoOtDwXD8gNAC+ ykPIDmkEa5837pitH+6tXe7A+ekZigA4d886/bxRxyydql93GPVvECpaSzmKouFk2mAyZTiN2k0H dcvrjWQWOA5we73xxiyK1rphEsbBpmeuk2WgqE7lAVS8m+TjaVqPv3Q+sCjiNN54Y9bAmuoq30HT M9wV8CzQzxttzArl+Xt6NuXcPQR6Y43ZkpXTr3EP9hzeON3dSSzlekq+kcbsCqOWGSpfNngOluBL h7w99w1TLlLFUZYcPzhjKcbVjcFS9KbR7Ki3k+9gjdOz+JVjnKPu80YZu1fRVwqP/dAfQEIUQiPw PtGAa+ZPYuFZ4RjbaQed6AYiEkK4RuLqKZyl7L8sNtdJwbC5pO2xNhrxBG9OBs+FdCQ/nEEG+bzR xazLSzCCZC45cPU0uAhzes6v8Xkji90Y/3t6QBqbfnm9UcU0PRuAjWWsMFhoNTt75IyDs6fmYX44 b0QxW7o8dJiXa7hnMygZ1XSGMlozvLsmhZiQNSK5NdVTSla88cQsv2DlsEhkmmb3tD8831bU6T/3 fPm88cSsxtG+thfEIs9/5QHQG0nsJsZtNIt1zq/aVY0GQ9Im6OQArpr/RhGzXlHmpuFtxMEm6Bxn JyyZ09Q8gYBGEAl5WLQBfsZT/yl6z2h2iA/fvfqg7At8RuuJzs8bN8yi55t9CR+Of+y7et7DZ9nI SRXGQRvev/CeRma6basnKj/TKrGmpip0yzf9OgfzgHLX0NEMCiIbPBSbBqKRFoHWAKMg/BszzHoA BLVMGUvO7TfXIH4LJcFeP2+8MK2C/H1z0bCV+03TEwXPvVRWV718WHa18uHst3y46Mbe+TER5Kex Lsb4xxjIyr7qRllvU5u6nriKEo1QgsWewnSDG+mUp771rlF9jMFzXncwoGrpyjWQ2HzeSGG2Er4n CLHYuZMdSffGCTPQD0Fi5tPR0Br3ApmVpM/ps7pf7XH5WZoCVf0v3iVTWjDnCO6D6VcGL4kEifOF uILgIFAIrrP0fSS961+IsUmtrDOg99F1/Jz7kefzub/7540SZk1432LcTrjNCnnGmqIoeIa5T2ve hYeA1/IamRuW5T23MZ7fCGHWzN+8uBT93Lu3wybxbAbafoxSCgSzhJpsDHoKSw2uJszg8I0P9qsA 1AR5xs1CYtf5bycRZz/Q0v68EcKsWbO+bjBqaaabwr+xwW780+XGhxpZVlCIEhBdx6QrMLpPUFSD Rk+bmuzDB58gWGHWJjzmuWGqqJcDze0KtQ1YE/sSQiHm80YFu7EIkD91+KAWRmYPk22J/XkjgukN f51BOEvKaB7/vPHA7IKnzCIy53PynC8yUcF8/hLTzkN2CsAc5ueNB2bhM3tfJS0fLjXlpBoTh7EY 81MtwgYsVENmCsc6X0GdQPA3HpjBDIZ0OyQCO1xuadampiTOos8bD+zWkw1niMcQTu+bnr7RwCxw gtgCesuZ6WmifCAdO/DiFBJkY6ZxgqIAespuPAuskPvtEU7ULfaUjiBK25TDLR32ZNAh/WFtxHqE qCJ83khg+g66mZwHpw9WhD4bdeiQ7uPzRgKzW95XEBuuqgX4Jf/GAdMKmkzfp5t18JxE+r6E1mQN EaR33mJvDDB9TDPF1+yDLaB6YustbS3QLmaC1Cx5uzQ5p/5LewQ7u941OKTFbU7lDrrFThwkKx5Y mX3e+F/Vka/PBLF/CuMXm6D3GBoC4YDINwO3tPPnFK/Sj7U9BStOSXljf1kQ3dR+rz7cAlACdSrT lwtNjFYFewZaA1Voknjd9G7oXYNrnuXDpRW07gpK7VpQnGTv88b+sijhu0qPyA5xk09QXIWG83jP 1StkzYUWePFoguS7SuhzWIaeqpCN7YP3UResD3ALn313kkn6RKHCQaQ4MJAIjJSkMhX8vHG/lKSS SdAgMGwD40RINrItcNK9+Xmjfmn9pe8UHvuj5IuTemN+2RWG2vOeclZqFZEJURwFP7TaqJkUIhTI 3ohfdvwxR+2k6nLwHLVQAWlTGQc7i5CWE2lPFnJxGVxnLqKA3mhflsIzRV2qjy13/YWttpy5+h6f N9KX5VLt63wmaxQinzY7IYajUwKkJiuPcVdTB591b6aoEBawxRNF0dQNqFSZscGTDIgqortMIjMk WVSrpgQBgKW0Zdfu0gX/xvayPglT977uoOlBU1II38Kng8VTffGIi4CrFlUWm58oiEbT/WyAJMQq WhjGKxjX1w2iJJaEvRG97PQhOmowQuTgq+f8bLtQ+wZOSqAONgaRuCehO0UrJR0+BM6/0bwsiyLp gtJUGjg9kOdQeav39XljeenvzN+kC7AZ8r75ewvj500nbXNMQANDwLEzITywQWDO3fP3FkKgkfmg stF9eCgpJ/5XigFY2swUVNgMZkkSQu1HKSqdZPAdwe0uS0lGdhqEkMqbCBT6B+PpIDzs9WtzVf5c 4y6eMHqmF0ZtBlFYIlugPJbcfPPcMMUXT1R7hlQ1DhSRmtqDgZ5tQyiGvItczh404jIKqfRLoj+f zp5OI64WRc88TVsC2NEG1eZLEe0CqoF4OgKQ+dUuAa8FaoGfPT2KnuH21aj4zNVzfgldV2dKlpfm C6HCZKW8B8+SyKyAI1QffHoqiPTwWsHJXOkfLdGuhh74/lECr9iZ5bEekgRVdJYa53bD8bMCz33b ddxWPP0vgC/BqmTB9eIJfI9iZwg3oDomNO8JDYcr3kOASWcPgBjaXT1CcEAz4pwog34aHDQ/J1lZ Hdkt8WONu427C2AwNI1+yJ8zkQkifHtUfZY4wKRytgZd7KWrGlfrSS16FDp/tU9lh4zqnAc+PS4+ A7WxrNWOLG964FOKkQ3gvWGtwR5Vn9EZIDZ8+OAIl1GAmmGYc2IplPvkTgY3SwR0UlUxFi4hQD2K ndGshH67uZTgOiAaHt1BSdWOk57g+YAx+AtilwkGZLyoOQopg5XRc1mGIaPjF7LSEz138U/pc7eU X/Qoep6mdSvthIfd1FARQjMnEyXVB+3goJ0ESWsSltKDspOQa4/CZyWoS1ply7XKcKk0WWKfHwRP R5zKXyBE1Hzns8ci3iB0LGBmu8y9LVtMCElItVQpLgW5mxMd9jB4FrquKz/tNz+FgvGEym9ndrHP n8Ui2UD6U5GbEp5n4hIsIvaoCL0Igp5ri+F0bj2hnWiAgflBYI7HgytszK/0azBga3eThdEzRFRr tkzrvHUWXGqn7I71Z5rRb+D8hNTBQYzUHt0HL3CkE3xzH4FlQHVPFjjQ3m14SYIadAZRbwffEdzw UgPYufqg7GvWTjNM1rbxeLTDfhVZAS9uN37uURX6/JA/JxnAasMZvXavVgFKKFBnWW5QdJ8bLAyg 1TWesmaftwIE1PkJFmjVMeq5WdOiCm4DcaFh2e128QkUAMV3RPuLealUbtZ1Pc3E/psG4sTjwSl9 eTxbSqZASc1LPX2PoEGRJ72SLWTqFjRpbZ1VgHIu6/SVKqucoAjAAao/oh8ZJLXH2maeEOpMROUt lk4w3ooccPEAcE/kqRhLjlXoHlWhq8yjNgtBHGyCzi9gwmRz4fEof3eEQjMGOIhy3sjoEQ4aBfaT qVejvBf6c+MIWowbGAZ1Yq4YBkUIDoCwz/2uecqJUQW0SPtZ/mcBJcEQz55CpMsj6KQYAJj9jEff huktviPSSmDpp5U7aIuNrp4CjA43Ho9W0DfMDnUROt37BIVhdGPebplpq+Ck4hKDIM1wKhhZ6pyg qAhtLZ4mnkG7PIMO1mnrOGRQpe9QapvE0aOUCAbapo7kVo7a9apBjroIhMbs22AwRJin4s87MQSe DhZQ8zhI4n9spEy/5EcUR0861ycTQ4ZNmzUKVxrZMRzNC0AjKkJPStqWpFQ1eaH3zE8ZZy0WSrOl DuDOKiKL4fQnSXffGisdNkYUR0OqGyuHOTwHa4SNKXsM0OfweMBh7t9IcSp9zXtGjyiObpsE1Kqu YJPrPNVa+LDY77saiGOENWhiXKA05oPjEDdACrS/RZdnVOEQJ9ombIRRNEhpGPH3+I6/mITUoLFB NY6WpTBRziGMp//VaB4Sm2LA4Cf0CIHQeVKrpZouIrIvVcgoOMo0tedpELIR1aBprofixtaAw4E1 XgCq1+BqOYHWQqaRxdVA4ooL+QrZYykPfEUA0xRCSsbaS8xbnj+gd+D3OLcl3zBqYdTvEJG6P1dZ YoQoDpizFd447KNWK9avxhtCshuEC3J7RSG0gVy2EAgS3x1cPgBdUQcbKA5UcoWURtMsQ5ikzOeC n5ieGMQhff86fGAWNmACK+v62fB0JJ7wPTuDhqe3QDaiALqTL5unkcBGKUYzKHObxj/wNkYzGFEA PRk5IxvyweOfCWg80gnUONbJI9ngmbQ0gEdURivcTx8WOUZUfs4GcmGESClt5pu7QSEf/zrfkPF4 tHy+68/cXane5RNG0Im+Gw7Q3N0ap9gJ5slSMzmj3F1RBA0oIdLTtn2wCcL0NBjWyfq4D2omwW0E VxEQB5RtKY+g1ghR0FtmI/L2rW4TcULpqf7OOabxdLB+koc/RA5hI6B9bNMTYqCXrixrgDGA1vSU a1mXrpTWiCDQRWVTiqxp8CLQLFQdIwvsJOkrU7h+wwchT6IQ6+Ofaa8a9b/IjmMlUYPy07HU0T6/ 7cDTQfCzbn5hnq0/5lTPL40K0I0eGjurqdMKTR3RIJTHKS196tomXDeiAjQQTDhTkg1EV3B+oMLZ i0iofdQuY0TMFPiV6YfCJgYRx4mJ74iCH7GYhdOs02uIuSVxbNfaePp/6X5Vsj6n5+8jCp6hZtQ6 lVqZn9Lhi5r1a7hptgloJ35MlH6xpSVpFg63AQ95LqnVUquEDq0I43A8om5F7z3ND9cuviSInju1 2DuF2E5ECOkXhTF9sDz7A0lTPB9k8Ff8B68nwbKL0RwxhIM8762YGZdxtxJQpgMsS0CdxrpcQWEV uktd9Bm8BATC8rkGGP6cw3Vs+mY2YUyQDxMYoyo9OMN82aAMTS8oKLv7YDyVsoaUFGbKeDyqcZSv GaJDwIMCmmEduqKDyuuAhcRC0YSKHB5wFEHJGvphTDBmFEDrhk+g4tjgKN8CceImkEKHTU2TQe0G 67aRBHKRZEwwZgjikF92ldpNdRx6gp/Fkrtza3g8mKD23eZZXJD3jp9RAF1ZBEJfBl50519d5bLV F1Xq6HMIHhdT+BkWoqWuNXQUjUu1hDrgudkXYb5g5lbgslB+3by5ENaVh8hc9Kp/qvmp27P8jEaG OqeKgP1E0DOEQd8aB5Y3EmOUw31+ogAaVAZohliE2FVlhVbJHu4DiZaYdtgMiYR9SDuq+eAxUNsV Diw6o6Easzk/jZ4IcIJCVGBUVAoFzLAOTQji7LziOWiDbeBkt+aHj/9Tm00ol/Oq7ZahZxRCo7vY Vm1XiuOKAWXxVZCiUlZaMlJhGVql+i5N6P40Ck8AAZQFwZqAuNQs6zpKBdBldN4ax0ThC98RzJDE 66hppcGOIIhUiMWzCh4P2vCXZ8ArD60mcMRtgqIoGoBp6FqozAp4uKRFoVXirYzOJhRXUKhfJxmg OocPPj8J4qZg4eIaO7vWcXZYDhBG0S0vGgYCVHxHJGBHDMd4BkMBnQOOMKd2Ai48HrQK2zdMoaPi tm6JY8ZlaFzzc+s0PhuCZ1GmFEfTCV2ojMgq64xAHJ3gpw1Cvg1+h51rHSV1YlnhKcpbHTL1EFM5 P8GgULaIBnPrVYMTukueja7GivE5Pwt4dLZHTyyGx6Ma2XeS0WlF+8xPBOOAS9w5l5dlYWUmg3H0 agJBqGI2I8PPqAq91AObPftg84PFV9uSN/aCasyiFMfA4YgiMMgYvn6o2T+jKBpFQNTGdveBUTQ6 hRKmOzcnnv6XEgcz/0nzcEfZzZBFeM6bNsnSpxIHvRNpQitoKx2aRrMkdYYydpTrz9uQUg8JbJyb ZmZZh2d42i1VyAqDogo437V+SvamAQaIlbE5hWKdF8WKTglnZ20+HSye7cez9MOxeC7JaUYoDqgm AmJni+eEcM05YNvVITMNYbl4ohh6UGMrV2Xy9fbAUBo7sSAic9R/zim01EaEvi4kCM6dU28PjBTC GYXQTcLqmfWxka0+ts7tviXUn3PD0xEG6BdFDif1XB5Az5BBCMOnQZcFkpyGoaH3HL0ZimNI5qbz Y/7S4SjC+JYH49tWR3QJBKOsVaqU2jYUzwqbLvNhECr6CZXsJmVcsrZYNi0m5DCKtuq5WPB0FBxe nZL0Y0SMfetjKwqfcepAq9pahLUl6dDD/8TmBzAOWz4rgnFYXbWqwFGfAgcAG0sGLyg/V+qtgnWX ZEdkOlJfWtgrhHFQ6W+3fgfn8VBUDq7WFU9HFMIb/BCmgEbsutqrK4qe0dpruIKtQraMJHdO01Qs Rc3SOT6HzwqjZ+m4oEpngxeATnS4UqMUNnbarLzlFl0KAK1GEOLlZ95dKyw/yyZsWyfVHJ72OkmF Qp+9K56OdFx+tS+E4LjLJ1R8ZvZF/WopIQ4xUNGxqtOOn0Z8GucnhnFUAue6SBi8uxrrqyf1RNtC MBeY0JVHZgu0lv6Q4MHwbviOqEDGnHvw+OGguwslA+J7KaMZBc+/QWSyLaqena4odobwRdusMLF+ uLbVD+mabvYXxUPnFeKfJT+2RANbDw2stQlJzq4C68l9TUkd0NoCCbuv+uFAFQHf8acG6xQTY1oR bqdek3Es88bTEcbuOzeFeXretzm4osgZVWacnlJEQgy05X0xurV5Mi10dDqvkEI4jPU/fPDLa8wF uxNe7SeeS1SPKzB2Bp//RD7j8XSihsuKABxdbYtC22vFIIwMz40isO/ZxhmPR6HP+L7cEWb2S1FZ UeSMAgzc08w+pZIMg+VDixo1T1fy+ur6U4Sj7Coj53qNnAEeOmloI8WyVPjxyDYdIEAAZ5EZuITv ueOG3vUvju7qJqPvKOIMifymfLMPPB4gONb3AsLNXuYNnVeMgF50b1bbotPVWqmXFI4HU6+7v8LQ WRQenAM2WPEHPIV0Vgvb7yxtZKZeTGJhOkeFJRV/WL3Ed/wl4zuLgsNCyqeS9y3fAnCR8Pg/dXwl mjjYj/YJioJnYGWljpRpU8QoShCXSZ1+mcsWS75WiIEWOKqo/FOe8g8wTecMNSJh6YS1oLxBLrxq K7c85u8a6dzgZqfiiQ3qYMBURgyV3D8rLEFfjpzytPpDrIHNT0wihIdjt5QCsnPDStC1mRkI2r4m A7Ri/AaDYpOPqPtSeM6jHQoevN/nScRkPtNQdTpJBZVqvYEqqt5nRSjowRL9ks7NenRuULxqmqBV PysKoN3l2hgqSE5buwFQWIGeLIol05iowrGCY+DYX5DQnGOwwgC600wGt64NnryDspzpJT3oKUL9 flzKNLCDC+LVmKDAG74j6hDyiAaO2gZDAI26JTFykhk8/q8FJBY8rtzLUNlRAI3I9wSgy3SS1jCX IhC9XQo6ZZ+fHZIIt/FOsg9+hYHCk4jRPgFQAwOQHUKC5wEGAqzil3nzjgLoliSQ9Az8DtTqlyLE NPh4xFHx9aPrigmbX/H7PYDGtQC3nTpNyU4Xg0WI5pcOH6FpEeKOABxDyJ/Uhg9+RHO+SKAZBJCx ll2IKULV44e4V7MlxpfjO4LqDyPoOgllrfJF4x24IT7AuKbxFYMrrHyXN+D/V9IFuOwQCT2gFOn8 bphFWg+17GEt5tKv++f+BxC6iyLX+5NhnDQHdXhq3ECjhNzNsoQfBYo/eYhYGJTiO6LqGJqnme4p GnhAo5VRTEUq4+mgvtF/ZRiDHCK/wHYUQUP9HY3TZefPtAxjVaFZcf6A02XTEwM4uHx2u8OlYSAl RR+ZertjSmVrIvopUHfjjDqDsOhVI6VIkpua6AbNlFBOGLy78u+eNp6OaBi/imP4dR6Zvx1CoM8k NJke0jqFaR8AmrVkI/EALTFFM9ixjJ30/azy9cjUtok6FjVuBsSEdpLj9SLZH1j/q+GyWJrfsQwH 5QGyWAbZWQa7V/mcAUyIp/+FjhLbCx827vW+QxW7TczYvr0LZ52mVFay41nORlw+IYCDyNVMaxQN Dq/L8+SofJPzU6R8Dg0pfuNkpgXougj6QZ2SHcpAyzEus4jIQfnp2Evd05Me4elIo+279DxlgnN9 HiIAB7Ql227urLeVdqF6mIy0e350AaQxPaGG3VqvOhwQu02zLho9nHz53Pu03lnEoqZzaQ62Lrrs YpteNaKfLtbkaYjGQdEhhKYlsNUKnv4X/IfgQ4zpEgx2qMJR2THd0yvzS2yw1SVqV2nD4SSnHeM3 iO6d0mebjz4b8GII/7V6cpl0hisL3HxgEe3wmTybKRK5I/zGkH8Blec0aPVI+ZwUuYGnIxHo9BU8 M5DKV6Rkh7VnnM1d/IJCPwNVnLfJItJDpQ8/fOLgmfOSJe+Xr7wfDOY3kILSHzsZXacCxyb8Bpoa +xY3uMXxFVFxQ9BVgeiba9CjmVkV+Sw+/b+wU8jLa1fjeEeRcxtU5t86Zs6/hoU7qaRiqwf73Ewe doTdwFGCmjwjHw4X3tJr6ya5PFqpVvxhvosTHboYVyCy6V2D0JDQ1T2EsRsmY3oivnNl8e8bJ/Xa Ue25L58fllYBziIgm/OTUxQ6gxx3tgCKsP9JpMGr+nOe2ATPTzVOLTfFB0XJRWNWUbcPtoDggTE6 X++EzThJ6bJLYw+A7aACfvE/VEnCl/xBsqy9M43v3DBK4MssvFZ+zoKd/ICg/Z79CmPzlGF7b3eW QhW7zNL2YBEMXIPsIhzA2wq/MWR+Sq+ZUMZOzl8oANhgy6ijVZRphjgg2kvkMiapAJOFIgEavV6j H9veNqLKqXzYhg/KMTJIGKzSj9b4fJBkXJQv8TBSNLtuISn0UwEQkcUZuT3wv0cQnXZ1E93dr0Ns CmHQTZVEpfPraRLiJ97I7jRJJ1NiltHp/g0ltEmiilAcBLLiSyKQAo/qIUewjmOeETHqA72JTjgW PyAIhh69my00GWZlrTtNUTANIs9JJYrcvIFZMMmkUR9Vsr6S+xaFNoRcRAuOfTZ4tnrurpUWJW8K NAMmBahQucEFLbfnJ13d9rYRJFGLyFpF3nSD6ncVYjenxueDmvRDCsMsQVeai9pnKYqp0Wg6kYtV pTtVzGXxCaAzT6hKGX87lqKytAQD0pSkxByP5V4/h1FH1RJVxdknfBqge3MOwIbS/WZZmlERa6f8 kghVTygHewgarC69kjnEb9gbpBDS0W9gxH/AX6xDM9RnKaxNT9imAZWdmZgt5mD1Z0sZlG35jNp0 1SzFoXV6hy2c++A8ThGVAfD8WXSkPsEWq64rLyVYEK9/fMlf5LBVDaHoFfCEH2LJBOLkZqTDvD// +KHy1MeZVNPtzucUFqgRYOdpTflWFjJPosvq8gp+G+0eTFGEPUUr6MII9ccqrFKHbpu5ZZMnCcAv na0VIm+ujOSY9rKRiBI5vJm53RKzmSDZlKVKVObWS0YszP294UQy7M+xFOp0oEs25SyHJv2yc3yf c9y9VgbNCnQsxUIdWwqawwe/4s4u2dsweOcwavTfRVXBSFr0hVCNqNDGkUWyYCnQSa3TVWRSgVFF IhAfSGM5D/H5CIU3v0JtdoLGfsKAKNY+P4cxMc2SrxjOY6FzYjgP2exyjiK5jiqcK3tBGm4rkf66 LMRi481GwvykPCR6ZTXfTr05D6fYcoUVNCpXa2A4OeFgp3CyTT4e7LYr90KeDT2xxrPXooCbU7Su IvsUGwG3W3aj1BMdFrfsSbFtIecmm+BEuef2+f9A4T7xdksA7RZOkRhfJPK5nHZhjYBfEhWLxPPJ 0wedSIB6Mew40czk81FCm74CJVg6F7AibY5C60IoWwPjZ3Ah2N5bOT9Px5rBeN3mKLAudOOeXEhs 4eDLKKEMO9nwSK1KvIlgM9ZC9g+TYTF+ZJuRA+9CCFMwHZE+BQfv2NdyNcdz6F34GB+oPjBgDPFM Ucg4xA/ZyednVssDgVktcS3SnGL1lBdb4F6oeifSfSl4zP0IS55tCgOETTzeFN2O3mrdjAtr/d20 z7F7YRboYw0ftNMAhGR8c65NPh6pt373hLAYyro1/RzbF+ZGwURlsSdqNXwMivpN85alY21zFIpH c49lkAFtuJjOvFm8pnhQh7wS05fWiN2B7sN4mD/J3vYv6bvZlJO0ps7ruR9WNzX6Ovh4kN1ebUA1 XvEl9Z5GgYOhDAXOgV2scWYNaTaG3EADvPHmOy2MtdmUZlJhg6YItnzznEVU78j1BPVVbX7oSWQw YhsOB6tcszedAw9DV+/YRDxrYHF2lpmE6zyrgo9HzXsPj6hEwCpNuoF26GII7PD5QatJLJl+IjxG CLSUPsVu2aco5B9mwc7G8OEiX3c6EzNEr0NeQmQ5WvngU6LMPh6DCF38oY/hEgJkqA45hgNklm01 KFby8aD9Or+LJFAHO/vjWUaRkDTmBFezFLXPP5oiomWWmHQKTSiIaaeFGJApmxEdNTPfe3+eidnA MbIGiTyXJKFJIioiGtQW/DSiBkwOnQwzsWfSi9DAZXSu4iIZ39wLHw86RJeESGoVkq18GUA5sDLM dO+G16lK1115D1Umc9pmylIHWoZmNxvCQOShtrl+574coHOlQzdoLjIj28lRMlORAvOUSuIlD3sX 4pz2uhFNio0Q9JZsUImko5LKjO3cUnz+X2e2zI3AkhhXzz4HjobQ8mAndjRPa1HxAfj+HCSoa8gz tKbl0xSpeXSZrGyXc7t6MGMkoK/MjgX0O1w8pS/K5EBUaD6uzrXa20YXG7P+QsFbDsJSn4N06K6y dwyW0lhf4REUSGt/im2BrSEYNkBGFHMIhTSJqQ0ktiqpeIv9bula4GuoiigKSDxuONgUnbM/UZeN cgPr5JGZfDskII2LnReb4WXYjs2hsyGIjxBzJemFg/I14MZ1oJxUis8HPaMHESJrTBxw6ZmlEFRd QVhQoD2uLQuA8ikvAw2PDoikFlIYaFMUL/Ne0eBn0pD9oFL/ArQk+yIkYQKJifvKOWXyvw7tDdU3 QvLrg1WRYNCr1L8MPh8kI+UCr+ifhcAXUFubpNDiECkmwHVuIDqdtjAlCofdlmSPgMst9DhcutVE X+Dgh9JZS/AjnDL3OWFKZTZSuPbQhKb60nqwaTk0OTTwZ+uKlLrJS4Pcmpi2nzM18/ngcvut34UF OR6P3tDmENXihixfAkwnQU9WaYO6lsBpZDhpu4U+h4AHUBuw+nDBD/CsY853YiSIlmyi05AqFqo5 jNueXWyw5dDnUGEkkNU+aLedMKyzYX6+bfL5iAH8y8cPdbv+xNqx0+EexJ1rZvCDGHuqjypUH66O Z47CULuzOMKOogbnv+R1jleWjweq/2sTq9XQhKyIIyeSUJ5IhVqz/JIoY5OAKSFq+0LUQBDNAoGe e4DPR7H2PbarKttAf+xnJYVK0+CYldaNaZ/Z4sORNJppEkDnY5qcYA78DhN3LVIx5f7pyf0B8SpT NGAAEpO8NStMVzo3DAUq3VK02ttGrX7e/Zk+tjMvV3OviNtpIFaQ2YaWh7RVfrrZOOFgp+yTFDu2 ABi5kym+TjWxmdkm79e2vKa7zkeF7SyZaWRnNni8vdc5cJoatuB4qmR7Unc0bJspTVs/e/rL/kVV nCjy2mDh9thTppkp8/GITe41pGYBQAES1acoqmo3+rascX1bqvu2rOo9Wziq+akdV7Wt9p988Ko2 PJLypkJCGvsEi7T9AYMa/YwM2LPTzSS5mEPjQ4uRkvLbVN2T7eTK4svnpcejVfQLr0b07YVc59D4 sNFWq1e3Xl1pGKUqO2S2ZkKTNUWhap5u/7buYPWRs5vO+s/iK558ZxWZtOIXAAP/Z17JIYg3610j VAQ5Vd0Ecr2CBHnQLduxNfj4v0JtJSQ4tsuziKJ6NkKSRmcxYdYoH0bYyHTGIixBvZ4d+B6aewvu /OmDzdCJnlfpU9Za5/zYcxgrhqwzyOb5DBHZye8IZkiw/S3cyLYm1drQFuEKKDywozi7XOAI/+Mm N6s7Q1GcDTJt6821pzsZPzyJuqsHn5SKAPWuz4labARFWDVSbrHi5UGhagJZRPlgJOxWI2BRAfoQ 1z9qqH4UWh9W7QwxG+Yjr3zuHPHGTgjAx6OT6BeumKWHdpsiofchpFd7boYz6rm4MfYJGtu2o6gv xk5dH/QnNr2L/dEf9sfCWV+EjoCDL0F5jLcQvtEku7MmiGUEvB6/JFhGm1WRLhXYbtBZWJLNqupr zXw8KiDdnBbP4RQugDbaJIUOiPDi6YJz8iya2x1GxSZkBDmAIdVWiz0QVV5TWyR/tUUWai8tSWIH iExqoUDTB38JyPf1N/w6hx6I2fI0y9qsZk7mEUE251smH49i7Pp1GEFyrNB+zqYoirE3FSrp8cEi W3J2+ZrJ9S0mw0bNUBRjryJvidZ88Bli0DKSjutzakgKPgH/lFlRxFYzAvXo9rIRy4oFpNbuwK12 AtSiukbLescIJep1yKqCHLwi73kdOyEWmq+6TUmTxzpIILu5Tu6JCTyfDa0QO5OLPSgQw8F3Gv08 isEi5kkYyIIFXAKAmEWNkwtSVy87NEOcSb3sOX1QHoKC4JTFcxp8PpokP7JZ2UVEVkq5kxRTGcEJ k7EE/Y5bvUD+7edRJuVGCykKsWm4dWJ6SXmldGcJyJEKXzvCIgbMF1kbQR+5opnHY14LCWUTvW2w 1xQ2znoHJSIQ52AJoNWsl4wa/t+NEYg4fBf9Y0/E5YARyZqLI8PzqObr50IVBS2lWJOaxTUiajV4 Kxt+wYM6vPAVB/cNP2DDMVtJ6SrrAtbJ4uS3BH1a+pK1Soc7DqqNQGiNbdZz2E0+H7E+77GNR2cV 2OdOUxRlA45y/g6E6DQXJ4H1XPonLKY2CP6KRJFAXm2hPaLYeNQisMG7kCdJgDY7NxxkmxonshXK MW5s7en12pW6vWxEu5IjEJcSB+238x38oUEcznw+qmp/Q9qwV/KjTZ1Di0Q0GMDHNwfAWs08G/mP n9udP6BWUoQcaYK0tbZ98BZbhatssm42DUgX834UtQE76o/5jRXZQo9EXW3bmtq7XubMPCmzato8 k0J4dr74GuYdzBq+KkihTyKYCRBobAYdUfh4kpF2bQTO5l3ZZymGjhSd2duHi2jbre9mPgtICFmK bHCxbZB5oiKWbbfkLxtsN3pLTaQ6NhgBC0DnpnSk8/kI0Da+clpx0B9wZGiUCPrAmSOrraGukyQR u2icJ+gI+5qsIMVOidscOJIPXkHaaPGgR4WV1M9W6Fb3x6UGdZv10Kx7s5eNQH/U7x7lDgySTkJS hbyCWUcOnRJH/k775fFQrw9XDr0SSRSZrCKjfjRpwYRq7bnQzkeAiU4zP3kB5tAskUIh4OF3H7w3 AkrjIJYVUOQ9qSVSCj6zddaT00OlIdEoB3aJHm3PbonJukxHYNKE9t+TzwchwAPUYmQNuSPofdgs hZaJg6YvsxnbqJQ1LLHN28lYZbhldA4sEyEb0RVEDh9uT3vsCtqIBD+zHO8g+N0k90sPTnM1IR89 B5aJicsVXlMSjO3WXcAJWJccAdfi4/9K2xR4UqrkbrbQMxGoTmS2ymLh9Xh9X5ibGHrEa2yhaeJS dWTV6YOjRwaKtxBTo1oa1ooc3eZW7l0B9FbWNhQlBa6Jrhk7hw6ksTzcBq6Tf2BthY8HN9uVAxNl Dd2rdM/s0DYRKXCngLzQI9OVQpKsCIUeabP4HEXxNtrRLIzcwVOSsyWAORKvZoJLznCKPfgmrOQt shV/2wgaQWfJIeFP6kMWBUmVeyb9nNdY/ICgy7bS1yw17Nn+3GyBdyKqDji1Hb7uNclJc4ptZjmo eXi8HZsnyjso9+GDB0k0g6lDGBuYJ0q7CHdqUYh2SyQUJsyBeSK0/bZy2uWD6myF2Q3KZmPz8X9p e+uMB0x3PuF2YJ94zpFO9aJh8sxwMZZ+yMrDGv9oH3riFtsn6g+fJD5w8BgJ8rfn1JZ44wkExpDA LnJ4MXzb1fdu2142Um8UQ6s2HzRHoJ0yEzsxPB+PcKPf9BEC/pAT+xSFDEiazWYXQK/ZA6RMEj7D yIVbS5d/YKFo1dqTWqTsg3e0TxAEYRJqiGQUadElBBkJX3c2HA14LIzM3V42oCGRgD2V2s6b2qY1 V+vS6EmVzwf12msySVwX4GyjPnMUhtqdFlSmawn8bvGsLRvcBlnbupMU8iAVIU21I6d7kKDHNrGW mLWV3nehGe45B9pk+oMf4Xa0JfSdAy9FMYJoIrR90CyBBywZ2HNa8PmgkHQPJB5kUO7rAPf6NEWx NmqAntxOkvpdjDixk258LVdDz4GhIlrjJPwNGktzcIDtebVB1Aiuf7i4TDZHGDEDV8IU5VstLAeW ioBfMkbKirmz+bnujIxHJlNr8/FgKRXXo5EZyySf18u2n9cIKZtr4BzGsMG/riBWIqaNehBwdeOp /RogZbc7ofK/DRYgnUNiQJKYYSRIMUmMgEbBLhB08f+eJhiPKPI1PMpQW2GNbQpcO701ck5OErnB vcLDL7OTH6HUrfKINH8G/xfnNwnmZtHzjbAumQnV7Vo0JNHI8426xlw/wdygLVArLygbfPU0kPFq 6yIbw15OvEDsJNTmYJWGH/JBsL/ODaRYSarZhENyUFy0IbGlJOSE169zg4Ch2tzwaielEjkV5+Y1 JsqZ8lJt0ufnP1SvIXYWFExQFpmjwcYjaWpeAyIsG1KNiHK24Vk2EHWqXaW1tAmEhEGn5txqtPJh wF3yeQ2HMho+FNAnM0+DbrFNrWUaJ7XPayiU03UZ0LKpnUdzsql5DYSylNdO/F+tm4ZQ4scsPBx2 DFaZKay8RkEZOi04bEoq3QeLpyFKfpYU1YdxfSV2isoipZJ4sHI9tjubaa9BUJa8KVoFNN9I1J+m Wy2cfBgz9pMnfV5joPwIzG3jkyCXWtXm5jUAwpaCQ3uv1Rxuh+sbIBnvOm1KT87ffw1/ssfRRf4d 5fHv6Lmg3ijQw/l9s6wLy2IhAw0BrjTbURT/fA1+cNqYrNwuPljRGiwvQvTO2f95jX3wtGGw9mWF VqITODevkU9GMNGoveetfEq+Uttgpm2XOvjB5lAazM2UqYl0z/OX7vkG3jGT3T4wabORFDlZ5Grs MHbHXxXq9n9ewx77FcEpln9Qv85cZylOsafP8fV5DXryYzy1laiitvxsqteQBwc4rBc2bwiowu9i FgOUizTVfBA5zFcgmhx2pmGb7YPHOxBlGFtVPEDTsmQb0SWqmJdB1yklqcRdvwY72FQIsltiwK3B gp0uy0XYOo/Pa6jD06rZ3PAsBDK9kHDOyXkNdHAYV/qiZ5d96OabeOIQE2nOEHWytOI1yslObMQK 98HxDXBvA1EGKyeNs4eGAY6RbyVDyVjEjDzm8xrjZBUE6daRfNAdXtdWZxqV6dcIh++XvzYV7eqw EDU1r7VEbKrEdv0y298OX29r3BtEPRfKeDJ1f60kcj9T0iBJdDjlC/2o1P+qhH7Ukz4WRrGwMsKX ObrADQE75cCiuaHVZpeyQb92d6gqT1W9qPcZTE43Meb9YxjRCbaRL5zXKiIW69mtkPQoTtLbBodN EFX4cckHz9lfi4j4cq0c9iw0OO6jnXXEhgWn5/whk3wGKKkAbvejZwxhjXzm81pCzBL+RcxW76B9 NVDgJjSmQgwsvKz8Ih+CVw2nw4rSHcwPUizahP5ILlZqurQSEFlPmAZXS3tnn2XrjlVKdtpgE3T2 1TgnMf2U4NNaye8o7G83IBDJpnFJjKx3DdYP1eR2WnewloaBws56aHw82F3LT2X5xLDyhPBOMxTF yDDbadSdE1+4JHMMWrV4RWNSZEHQ6miCRKiyI2i5W2hvC/1Ck1SBbpptsI6mbGNRkXqN8nshzfjz zj3Dai86fTRD9c4QGgBUEIHy7+ede4az2bCeClJhmFY29fY4Qe+BMgjVPAmSlaB77karYgnCkEPg WhkqJpggCn9UKljZ4DjP8wPnpQQLCbtrQUDTEThbOZKKv7g0P1GoDIRoQdS9fLD5QQuG1YyGqth7 sIwEdv8KlhH0ZL/X32lnyD42FO+I3WdxNbVuWyxJ0Zu8s8EjiL3V4IjuRbRFAaxm/op7xpZBGeDC UPmlIOEulHQC7SxfvhCzys876wxxp+72TnAmB11faRbWsxBpft5JZziDlgeFAj1Q7s/zrHfOWRbA 9xxBS7B8+BY0T9BXb17r2dnhi+8xczagcKNIhwa/3VGqBeSVcJgT+QMpzhJ+RVW1m+K5NthUDSM8 gsjqxITawPkZ0JLg33cS/s874Sw/it6WUFD6ON8r7J1wxj9s4F4fKui0zvASmWhurEAvWkJ10xx+ 55vhqM9NO2v44C2edma3VDlOwb7YROPJPIdMHwm9VlLN9qrv8wNCP1SuUS7WwDQdmlcsk54PrZ93 thlCmezxj1qFEPIc/d5hYeRMTbBuwgStTjMHXDQtVnA4YAmjEzoKnVnZqgS52eDrZxLvIegC+sJD 6tZQuETGjcr4tUxkBPTONGMGpNi5dx+snNppYsWO5/68E82Yz66vBVQA2tKPxPmJgmeaju85rQK2 BBRGJ7UU472Und1x851kxrOB54/8lPLjp4Q6bV9ovlDvs8+TsfOkSOgOIiGB8dz1m1p61ff5oXZK Q0XEB9tfvCCxv/r8vFPM8qPIrPuLNKOd7/J5D6Alk32OqdUt8crLEy+oa1riRfUJHs9RBD3YOi2T goRlPoKEFV1moB/Z+kKJpMrvVwXeKqkLM4xmcvFOL8MvSebUUCGVA6ennvBcknIdyjJRBL1vGUyS crwd7uqJIuiTHEEKhFf5htmLGd6d1UPQPdqnA0mRpieMoBn/FHGnOdjp00AKypRlPavnRFummYZw DZnIDy88E5URkTOKoKfUUPeuPnjzVI6SJ3RYn3daGTZXbV+nj4u4eZUwCqDR2z2pjN3pTeVIZabJ lC0zsJI6fN45ZU/8PNLwwVbPEppczjd1Nva4kJoyCEKLc3+RXIpeNTiceV6kNO+gwwc3IRN3iMZ+ 3hllvxIwHlS4TWihqPmJwmfspjP/rlZNdT0ePkxtVQ8r2avv73wyW4VITCX3me7uapRrhFqvcGVn 0yfZsQNXhtsc6lQP6a7oXYMMTJy7rZ7XdtU61Ny2wudzmn3e6WTMxNvP73phYdSqCYrqzLhXzmHa uvVw2jR2VEq9bgNMV0qTsdD8Hj8jgxdQmqxyDl6EHycJK2zEwgIw4TJGggGQSqMEef/qB1IA5J1N lq9kLFwVbdD5jGyFO+xsos87lyxL29QyMJbQllQRbILC+HkW+o17YQyyVnLsoHoS2l0AiGUdQO88 MuZxvNcTqa0c/HqH1ssi4fok3UAAUx+lY89VFgJZbxbEpTd712AFbaLuWmk+GFaqyOHz3AN8Osrh 59cCGvjqpzT2ziFjiyEDK70McNeaazYssYDZysRdrB0WlZzVKUX854Nf7+cMSgDLKL1oacusrOFv hTDlZgtQlUMyNt8ZZNxgcsjcwwcDbp6lbja+rXzeGWS4Ynf+Wj8Nl2Ffd4NF4TNS93PuDAt/zmJf Nj9t+wmUrlvZO38MSz9bdyv5YPMDt+7VadA86N/RivhjdNauUKFsXpKX38I7fSwLPQtpcBYROWh+ zgVgzOg91+edPsYl/p1fMMjk/tb8vIfPanN0dqKsRLbMKBoWkMXzL3YyOUHv8XO2GllBnmKDJ/Cj nymi9PJZQAu7lYL5qMo16AjsxzFoUlzvnT2WhcEquMazD3ZCAwDCCObcup939hh7wV4k430OIi/w tj5DYQBdFtViDY2QlcsLQ967Y8i5X3nJv0fQ5+clpaXSyVeDZ2DAay5075GB5XNdSmIGUDVa2LKe /3gq8TuiGWKGmon74WBFsrSpSY6K+/68s8e4hL5LHNQuTtl7Xu/ksXzpGtMU87HbVCPb/D3pGrTR ENcV9h5CJ9oVV/RA7+DzAwDiWtJogKz0kFjaZnzGdXcpmrK8e6eOZblnoLTR7sATOsHk0YzE+YbB Apq3CP1DXTkIqHjb6504hhNo0FV7q97cWnb2IdIlVcjQ/vYN9h5CZ5kGoWmcfbATqAMrvjdVPhLT 02TLh82DohPITmhEap931pj1q6Etl7oPDKHp3M1D9/wOn3fSGEuB3yEipW9Y+VU3OQqhASRqa/sB NOXJjrYgjedFYynutvlOGMvuCFilCF+/FOEHPF4yb8CR61kzU8aTJMRMNZVv/EOExjthDBXSZezV 4QOnZ6SUBKo/3/B554tl2aJ/JWAIeuvdXO90sSxNEOjAF8NCpZ7sfL60lozMwOcnrkBz+Uz6BnHw 3bVRqoUNEgwl+4lRCEys+EUoYo+QqRt9pehVg/oqe8q9EuHDwdqmYxH4CmWP/nkni+EvTd+HD1Br VBKz+YkC6FZIT2xOOGgG0MSBYzDW0pdrVb5TxbDy2foqDG403PLhhNAcE9Qz0eejpmTR8WvCqBX3 tB/OiCg+70Qxlhq6fDruwOVzkmpqkZzl0/mG/8vZDLIBy5U2PVH4DJh1Y4dXCPGWHSFO90sePi0N k6l854hx9XBNUOFVg62ePKGOtDU9MOsujJDAsTsfhDnCujO5U4pVvFPEshQCgNNQZ5kN6cr1c/KL zckCHufzThHDT7jH1/5ixS/Ve/xE8TPLh2Mnw4cvXGZsoJZ1NfMhE6Ty/Ds/LAt6jU3Do5eDTdDJ I0HfyuKHzfNLq0ePiHqfP3U8xZ+i94xay0SrShGGg3Kv0mVOdLLd+XknhjH22F83FwuJCMNsbt5j Z8VbbetiJ2LDGJnQmHKGYZnb0CzvrLAsF2YEzWrxPErncELIcEjpmhsIzpHLS7wxdOaBvPTel79q cPYY9YKleQ66uVarqhyPXj/vlLD86Ap4cQNr97be3xlhhCVweva21LSxz4zSIRhhXjrcVjp8J4Q9 +Dk5/nK43WVIX7EKdX7msy8aJU4mMc+j8v5Il+vU7V2j4pgMBcid42DVH7RHzM2kft75YFwJv4Bi uDHTLc2/k8HY+0KIT0U1Vse6Rc5Aim3HbQD2oKsrKj2rNM+7mq0vbOUpUYE9BjxsWf05yftkaX6Q joPFWlIZN3cfSe8agcUsd6fEKdr27MjkBEU45gt9ndjwnQvG2PA7+4IaMAF4NkNh6Ey8mCuatio/ UtTHpmsKQD/CUot3JhgzR50p7NyUx00JADcYbTI2LKiiVJVX26Jmd2GZwUWEGRu+E8Fu82uZqRuk TghMRvZcOlkTY2Y+H+yx/au8gSyINnyaoCh4xrHXiyxNJlQXyrbyjzyAl7qDxSYoqj/LswOGEz7Y BJ2bHlLWQsufnUgCB/qcOIkQRUrUXCsIRZjPOwmMkQjlBFCQsUFIzNrotoaOxP68U8DwhuVOD49j pEuoqxveMIye5SdZlHGd+3c3w/CiFmH1sY7uHoly7wwwHkKSEeAEcbhI+XFWSybfEnl2ggIGKqyo fCMxlX+ZllAnBvydAZavVPcWW04LggWOAi/SH2J15uedAfar/8X/mJbjzx57Z4BZabRV3JiZ6Slc 4c2wg/pTVLUsyEI4Q1EAPafIcXn54LcYKkATntxoYYxUJI0r/CHkQaGd5g1CMBk+7/yvZ4+VnnxQ gQMWsEVqJX183vlfv255pvr8sOYR9Dv9K8v2BUm7qQeCjsd1Ayuwa2qrBKPzY97PIPCK0dcivI6D 05pOANRzp2XZmeaR5VhP1n2j03aiZoeJdXa965+ntBTx96OIn0DhYwx94trPO/ULS+GmGDxwoECc KXGmGXoPosE9YOV5mCvXamtYkT7zW5WDDRdYfGd+3TZPYalCw42izwFF0oY8E9M2XC8IXihCU7Ta Te+G3jW46AV6pgqpBsNnrmy24+sE0e/MLz7+vYRonUfHB01QXIQmesyEgzsUbK1EVmRp0ulA5OKK 77wvgkGYZoBqZoOHQuci61UynRDJbyYMM5DYQYAUnB3LUtl5+7zTvrJ0f0tlSmiDQArjJKcEHqwT SL+zvlji/w6E6EEEzJ/NT1SERim+dQpvgk3Q8hCmd5c5inVRC+U+USF753xZhffMi1wB6+MKiGts o6dX5VuGOjQDAvp+w5T0UQ7YhAC9M744O4XYjTF9UIEMREWlGXt83vleWeaiXy142iVmL0G/073w VBdX0HJU5AhWIFPjEDkqeLa2eOI4unPVEKHA4TIKxllBnHNI4J+5qULj4a6kqQ07POO549+pXtYm wbzs7YNITKVNOfbuwqf/1WMmDwGE83w7GO80ryzpFM9LKwAcVok+4dx2bS45GnN64iiaBaDKemmr F4KIyjXAwlXTA3dAauUVauJBcopOSjp7CJt/p3gRYkBknYm8uanwPgFI65JG7uvzzvAiPuqbw0Rn gH0bqC2KoDsFJ/YSWhWOHAICnfCflTIKQUpwqvNjov4p9xTAVTY45QJ6FSA/Uv8CqhysgZyLiiIG XOGeoxLHjO8Ibndq4Iy8hw88ec52lbUt7IPxdBAgXj6K0MAIFJ/gJ4yfMw0lrXyIxWPV1Vaz3Vxl 8W/h4omKzwKIFwmBFie9YvHASaLBV4zosTaS2O/knUO0hO58OnqI0cJ3BDcXN1WB6LgNnB64sW91 vxKfjtBj3+ifxpW4ffX0KHzuUAcoNPlieSw1TdRqlVlrIX5jWHewv0fP3l1uDPQ02PQADwXqrbnZ wmzNVLvAV97EuNzgmeWxHvIDp1CZffsgeMsqQv/AnxJPR+De/Gv1dPBMHf3Tw+IzDCUBL1VxbEB2 TeIJq9nJXIp6g50f82fnq5EGx0HTM7GSJn1hkFzsM1vynGRUyEreuvn7ILi3R8VnlHno3pZ9MOxh UiEauxpPR4Sm7+Q0s619+Uxx6RkF+W09QKDnkzcGW/WopyFoYVjYo9qzg8P39sEi5/Mfn6CzZYP2 5pYoh9MHPbyRPjFbNf4t4T89ipwnhdvH0BnEQtti6IxGL4+icUJHPP9+/Iz5fXeJeEa5Kc1RSBYc 9NrIxfFjxUAbJxQHRRahzzlQsB24hKLQearqXiR6V+4SQlFyoaYoHZfzdYsCapCYAPJ5qgjsCDIK uPYodqYywX/1l3c/iafwh2UUPB2RKfN38DOteWsTFDEGB4qIZU2T3oIICmdldQncTsrIIDlCaNhj /IblFNmHWwGC5eaqyr56kwTuiR2xanBSsXFmqhLdXjXoDhq7iUTKDZYq03C4VfDc/DnvWfB4MD8X /8MCENPpdjdZGDoDp1S793e6WNsT0ZNV6s9BgfuNoXMP8RvDHG2SDw5PgF3o6NKTnAu6v6whoona 8JKTqu1dDYysVw3u9yq1DTKcOCj1mjPLbgPuXXg82GHru30K3X9cgz4/YRH6vFonLSUz/mGOpAJQ I5eSFTKIVWiDRdGzYQ97v4PnFiiFJ+E3IP3XM/EbIIb9wHELocTFh1NDukdF6GrF1d19UPYOAQZe 9yeDm3g8OKTnN8JObnbTmxg9Cp/hrnlOCfq1QgyQGp1StykQpGCFrJGnxQmK4BtJ/PZCMc3i3Tsq kaPpDvIbQqCEYkRTCREkrJXZT3CKHIvQPSpCS/hvVskAVb8JeEtyh2Dt4vEoefcCWTHqNwANd4Yi CDTun7PFsuDOTVwAHEFrUP2v8J5hmR7rN8JvdPVOFy3IimQwKsnuGfCKTbJ7BVlx0KlkITttmNbx CNuA5FnxHVEQJAPaegfbYkltqrMi2sbj/1pBkgxCFaXdRk8Pg2j4ITZpssNfYydhxM+vUpeTwCrT d0xQVIS2HnNREF2eIHqPXgBTGMSQlXPsUGB70asetJFNCcmtBLXrVaMEdbC5PKsPvMLQsFvqg6WG p/9FXc7cTxn0t0qkhNjLURwNtljbtVmOunMz3jtLWAbiyN4pHFEVeqqyscsdfIbOUbgYalFl82Q0 UlaAwUCmTSj5y6qx0ltjRHF0VidsyVp7OdMsnYtXiFY0N/B4xGD+JQsAQOW8p/SIAmnQ2hGqTLPW LtYqpFRLk2uES20kfs5fRejSmMtzuKc0TDWTWDznwulZFURYDBR02+gzoTxsUV97hDRC2iEP+vNp UAloJZfpnxtPRyhNP4O4m9QtuvMTwqABU5htyEpDppEqkLWkav35boQnor9HNeisPKyyo9oqURwo 8Z5zuZ4snqIUyOLHKhJ0GUjgITdUr4Q9um0DXxGANBX9gJDGoRrce7TKBO4HbW08HvUwvhMNOMJQ H9amJ4qiGyU3xrICdCFDACBWWDzcRqpL/I8oiF6cnqpmWNVqH6IZnIC5UwH/hOqAQ8+l7YWJx3qY zxU/MT0xiIPQsdazD0zD5jnYFOKdsw1P//P8+ZHRKATLfXaiEBqlonMDJ1NCHn4o70otOYluUGyM sxOF0PQxYnvdB68frjMjpXF2CqzTU5N6HSDQDNESVpCdPixyjKj6TDkyBOGVA14K+2QDgsIK4Jn/ jMeD5dN9gqbvrnRZGCOKoQel65R6TWB8U7YmalrOMUAQbbsriqEXsb15zzt4DoY60GAMev7n6NSY xQTpBywqsBoFlWJaI8RAb/ln0tRnOIlnw57E0vgTIY4IAo0d8qRgBGVjpm16Qgj0QGVMVQ4c06py LGhvZNPUBjXbZJBGhIAuNBatYsjVL4bchKCcdDzQTYX0hOg+AEeiQJef/heJkviOYPmQdFiYqGrg 9JyrN/NuBhsYTwfhz+0PqsXMf9/VEwXQ9F+trMdh9eB2EbwXUbtWzzl8tk9PVH9WBl+atEmIlcHL nO1VoZqJiAFFoNQH3S3O1YUmBTBjVDUxgDhOA3zHX9FP2iQQclAJETVLEQjXxtP/ElFQqxUp4XSQ y4iiZ/CIzzmZPUGt2xPUMQw/XzI1iHmzh9EzT9zc1vbBN1eqIBDKDgpqWuoNTnIHgf3K+ZplS7UB XxKEz2x6zcE6x4RfrMQQzuE2idr+AXYGzwcpfLspPFdblhK3T1EYP1e2B7e8+7qc5Rj9gNVmRSCC 7LSE4io0cUpyF0mPuwhY9WcmWKIadYzzSfTMHMDUw52dFGmv0qOyx5cNCmXyyqpt+GA9+BNdqYF6 jk88HlU50tceExLpJqkzrEMDJpDoFMtSIqVVcHGJYyAsWRPN6dxhMwqghaHnXWWDdZjP39HP2VCK WMx5U8i3QBEURkyoJ94eGAMDfEeQpNJUdImMwcE6zGkNZg2QIcPjUR/Ddxl2FEPeemutMwqgIbfQ 0PRkRQP/ygJxDOj2FFkctmllshkSCbeU2NLw4dLAQB9kfniusnn+TyaNh1r7C4qMqIxapRXxBb7j z0I9XaA0aH7G6mJ2ln4C6BnCoB8aGEFVCBgo76cJiiLoBDsIIGV1ifVWRSREVmOXWFHfkhMU8gib clQd1iQoeIIBMaC0BEbEXDee0oXl1olTel8marZXjbCIUlylgi8H7bCTBlctgD75eDRBFwbEthCC pZ78EJpRDF3oRs8yioFZjR6XUNa1HLXiKpaKVBhEVy6h+gyeo+Z1ImvWxNHcgyUhbRCgeCaH0XnL HBMdKXxHBOLg2mlp+2BMuVaNaXmiKjwetOGnLyFZjkOj4DZ7ZhRGA0R2rjGzxTgHwxYp9cxUTo7h QFldKygUr6uqk+Xug6+gQbgviRiocexEEWgJ+8FUUve8iBgoYOM7IvW6RAq82E7JQ3W4nKoxdvLH iseDXmHzMtBWq7kArHknKCxFU+pmD9OE7ORnwfkRtH6d0Wcp4TdDIXFGKA6V6vOQDLSOTN305zCb IwnvW9tciQtoUp29nbMfQBenGkx71T8KiUCEdB90Bp1ETLIT54sGHo/qZN+REL6/lCsFNCMcByDa jRbqkmnbRiVcfU3Lw9Cy9g0WVaKXwKz4C224t/yZXloPm8HqIE4oYaGSCwGygy8gKvbPKI7WGY1+ tQ+Mo2trpADBz7bh6SALu2hoAoYwyfl2MmbMI0SaUfoV4tg6qzcsvi1NXdRt5hUfythRKRQWzD74 /jrLOkOOg+cPTAskRpESUyFcBdf2icYYMypDE3x6/rN2B3ENYOdFZOHafDqCSH2X6VE1hauCz06E 44A00QlwTN75JDSXBtZM0g5uRssKZDMKo4Wir3SG0GCbCxJSZx0yy0gTB1mRIyToOhQVQ/pvfTCS CGcURbesHDUXH0QDm6kQV3/C/Yan/wUCYgaE33vue72HJEKUoAcZNKQ5TT+cUZowHMcJ67udPbEO Bzvxm2JJHDxHxUm9h8mUQEVKNpCwTyi0KpkPiXAVverfe6s3HywJS/RjOSdaLng6SjH+i4qxb4Fs RfEz2KLtRLVWIKtORl3o9f24Dsewo2dFOI5hFBUezSXfo7knGKz1SYxvpiR/kj8NUQdUb7syExLC XiGOY/NM3rP6YESeQj0nNBoqng5IhPdq5+oBxwENS5uesPx8NtO5uYwQ16bsHQdUIs0P+9xcROji 6FkhjkMVsq6rveevFthAr4gNDF7xNc8b+hCZMW8BaE171T8KZOeYUuG4WpttgyArVf69K56OMGS/ KKgU4X5WTyj2XDPl09OPZBDH5cDnZv5qaBEWIxGuEMghfO+WiN1mw62xvppLTWWa3DMwmNk0tipe cv70hwMPhnfDd/xVIFuN6SkHzg+861ggrVTRjGNnv7l40NCxqHmJY4UkQs5PmpIgO/8ayUkY3Uww KsNF7a4Q/tyVdYml0h+WCjTgJ1pgvLl6pqEvlk8mUzJ91w/hlsfv+IsmB11wH9S8OEcPSxboQuHp KDf9hiASPbvvxb5C0efKI6cqT29AOMn1gl5wKpANTU/nxwSplyAKIjJLis52V4WIN0ryqD/Pk0YS BjQpE430l/r9rnEz9apBgcxE5pV1TzuboUB2Lg9e1ytlPB51Ly6KjJOJVlq/GhMrCpwBVYKIZrX+ actWYC3Jd1qGUaUyixXVn415W6SOUK6IVIMrK1zmKaJZQSJhgb6T1IEyYsahqwVUEm2KVgTiWPS7 2rKX2eMmp/3MPAv0AE/h8QDEcWWSsDFpw4Kmpk1QFDmvTYkJo2D0cj3SE3mw5uRAgCR3WBg6y58A iDcbHKa54RxoKKl01spC6AzJcKQWJ2qhe7rKP4wK8B3BBCl7rzJPJb9E2fv5CC4hVOPweKTS5hcY 73J8jQyKOUEhkzAtnsubVbFzVu/sKBfWH40Hto2ksv4GQRexdTl4jwf8wr15g5WFeqL6pwS8AQRN GVarj7FGv6LoOS31T9vwQS2Mk8c1Qehzx9PvW2xdGSlWWBGv9cvhWSGPEBCOxvhKHB43J9QKJops g7apCywuQktgS0Xo9BShZ4EI3BoiqJx7jtjVs/cZEeLg1vx07jCclJ8VwqDlcNGs8udnEDQwytCx supnRfFzuTRvLm8cu6VdIPQKa9BrsjifjWm5t/KLRc1mJaeLDoecoSiAlu58amI5tUdEPEFzdFNl eFQy+inD2iCS1tCHBFjFQ6Ax9Kp/hUBkW9ugCUJhMlnnho8Hd/ztwLM8xjTnduB3FEGPgsBQlZ5G QQ6za4QjlUM4kswvIJUdVaCNxkxXRg0+QaAz1lWyWe40+qKedQTEO8BkyIx/OTfvKIRutJBbkllf LrOOsEE9F6TvfDwoj9XyFUNDsKDkq1Oy32NosFRYmF9WP1xz3yBx7O1BYvYm/A6FOFT3WcSRyYDZ zuhzJp/VIjHxdtYiU3x243FGQyfOhVhpdM13Ddjwg34pi0cQB62gE4MOwZlPNoPHg0ss9e8zmrZa l8azwygaGJfpXmiNmmq85WlBK6FaeL/b/ERB9JAEWVH5p9zyD7rMsI6h0KikjoljSFSvAMCxJY8S C21c8R1BeYxCLuea3T6ojWqy59CRyng6UuL4FjrOKBw+QmQ7CqJp4EZFUjuArm1K6lZ+zihb2vSE 5efCq2tqluYj1HaWz7lu1rDqYatVBvNEfKMxSgSQcwiLXjUSKmEHY7PLzEHTM2ZLAtmljaeD6urV OeabMcUpnmPsEAedWeAw52ocmzqpN6Q8jWpwVph5EO4QB20XvFCa6dldJ7s9bw3aOqanL2gHaHo6 r+mf/bh9sji/YxVopqaDUhPLlYJ3Sl00eMg24OkIH/VdHUPOVlhH1uyEKnb4/a6KOIwMvHkhGSJJ cYxuxcMdQzikb5O2Dw4TbxPtCzJQzwQU2oadJYSiAEVHiUW08hiVSnZUe07kwY/1DMpQ5x5V5JO0 8HQk0vYNkJpZtkg+PxGEA3l/k6co6j+bJFlWD2u18ljN4l9iekIRO6Hoe7qDT0/GTdzJNi0nWepq e22oM8Fr5mewd9FlFtv0qhHDkoUfsrM1KDxcpioIgTM8HWVgfjSTvYEgK1145g7DZxCYYYpjlfll hbKzHGq/Z0/zuz2GcBDa0kgy4ODTA7LgQk0SCfxJtjPhdYkVN6CU+i2uFrrZ4jsiDXoix+i2p0Gr 55zIrDche8HTUXlsf8U+1KqAIYFNT1x7JoSj65xB71QThePimqg0l+jfcfTMo3lJ7mbdBL7nBWsi ytUNbC/FhpA3ngAo4Wi99Nw99aZReYOxszwr9+NZmcSABN6cT0cMlfEV+JCZ127utaPIuVGhv5h+ 3fnX1MlMwrqtHqnha3oi9MakyXDNWj35rp4G29yF7I7F1QUHsCUHXfrElR/24l0hsuldI4V14ery 8kE3V64yf4T3PJ6OctPv3Itu9UhpND/ane/JFyLDObqBe+cy1PxZvjhQCSDrYBFKJDtFsbMKZEkm GOnLBOPk9WTRInY+fxZWl9nwgIMxEGPdGdr3bd+nCGCGcqaYfLCJ2CMrgYezDRGo53smPyA4oeuv 5iCj9v7MUsglhN5nQ/hZWKLvog3+rE7vVJboJ9RWiqxmwvhZGdgmU5CDTVJTf5mTVKWwjvZpo7VW T2Q8PhiO4W8bCUUyxdgMpDloSYBGTlgZqJx8PtJCvC1CVoFpz/eI9acYxkGCwVTo3CjTTE2pTDdo JPIn+M8G48gpREI3KyYOHzyKPuHZHgs/O8VuMtneZcCAsaGrMUn3Fo6DWFZ8SQSVIkYKBS4M0EmV dQPwV4wUxxyLHxAEQzeSpmsRKr3pypLJhy6KoVg0/jHPkGS9wpmzIzladlE7sm+DfsYQkKz54CfS +US0AVVQbCWjI4qCIrwUkLBmptWerPrb/gFKPHmdsvpbc0ogdEo66/ybz0eIsu9TCQlEzeXZb1FA DYc/VCVUKKMyn7t7qmRWWDKrLt2forr0kBxAG9uH2xQ7t/IcFA4480EqspR96coz0dl2VP2msi++ JGhrkFvYIadigxWm4TUhp2GYG6QQ0lEek0+oaTTGHO3OUhRYZ/jDdkBfRL8kdOYsoE3aaGFTXrUt TVJYmzY+imwgvOiH/XZuA/lyon5z4kZoQiOrR3wNLJEEpoQK4uVP9dX3MK5Lo0wibu2KuKFwJo8w JGakw7w/fwWQGUnhHkyPAU2K9TnOeZ23e2BVwTeBJ0jZPbB6vTaxKYqupySiS94++EI6vxREFlld RPMwC1SG27xByLH1eyZR9Z1fEmVnPIy6dlv33YYzKUkJ8BxOfD7YbVcKUIK3AAaMZx2FIh0o863b gF7FFP1hXmLgqbJYdqALTYptVth2R/5ig99ukAtojFBO/nqyvj2l5IZCmyinfiIV6snxSyIdLiLv 6AhRSRESh2WfKI4tdlhu8/kgArgYVy06SJXtx2wuDLPlRGMaAoA7GU9j7eUQj/Pc8mM70uooytJo sqfBQZztBHoKxMBDaBtuJRAyoagN7up8u/RmOJxCpWi6rNBawgaevvNcoCYo2SYfD7Za+07zB82w xnP9h1XqnWjRY436xQIGMULenT7LaLlcR04hzkPrZ7Xqg01RgcLkklLQ2WBjJFE1WICFyXHbrqVd KKLMLwnKRIVloqYyUfN+XKJaGE+YwUAytFy53USezogC23Nkh6aF6GG3Ir0yXDTNFJEXtEgsmS3Q NtQcBaaFYnIhTqRhNwefo9QhQysH4tJBXmXVgnfpOvEuziCj+8gxIweuhdhqzGOnVuC0duKGeLkE fyA4nkPXwnFFExlz4sP69czIoWsh7D7OpXUTWtpJMqEtDJWoNzWGidrmwLYQnGfCqAZ1TTgYnDxD SAAgckv4zxbmucW4kqd1rb879vkP28LbSbRBiI+TcUqz7oQYfDzSbv0uVpNEsK6sdo59CyneQRwu O4rDvavPndZM+qU22gNojkLl6CK+D/FSHDzrL32enUfdO5yteYn2UwC4gFRSK+Oh/SR72z94P2c+ pW5CpATnCDXHyQO7Dj4eFfS/5X8pY56uBXoOvAsh+MADe7n+73K7g4S2q0OmR/VAOzQvpCJirarm 1Xm1E8//HhK9CPzB/QEMbUjgY5s7DBwEvWjNvnQO3Aux1QismvI5olYDqhyzTcHuz+VY+Xi01X4p 5KA48sAWc+hfiCIFNCXFdz5xXrW+4pKMmfQp3GE2B/6F6f9k7HgyjerDPbHPvOcy5M8HQS46Qky2 B4GJyvRUNfCQbv7QwZDSJo3lORuUU5z/VNznXfSS/1IRYiN7s7z2zFGkI01I05mZZMeRZgsmxZUE TXqEltJ9q8UAEFbWwLO1wXsfA8l1V5B9MkgGFICWIzSBIAyq0H4cUQMmByaGOI5kFz+bD1xGVL/m xZ974eORxOR3SpvBBshXpj0HLoZA/GeajCSDWNHKVBSpPR0BMpqzE3LoYwjuBWpnZfngLfwJ5MKA gswAljEz8cBOIW8DdeyeHhnOaa8blUdYF5l0nF0XhAzihm22XjafDw7ti9NTP6mQGHxnKdbyQBtE ZqmZlbYh3H0C5keyHifo6G72GLgZ4u4n226wycjBZqlC3r6UJSjaSdgWHZ1nx9mNpxoqKmboXKu9 bbSSGGZT0F6DirWbaiokkunxYCWNi4RlX2BTH+WZpDjMLkTAJi4g+LJYCSlRo+Q/xFrQS5DpWmBp +JjSydR5XFNnbGS4Q6CuzurIKKQCDbCnGtuhvNkMLMNObA5NDbuw5kXAtOLXJ5p9TXCXuTKfj3KR i3ZgwIisvX5FkWFVG1FknasYYiaxo0a+VGqGGJ7r2obGzoZKapf8oVa+iKKOil011B6QZDqTNhhI lGxDaOi+mLK+Dq0NvWe0ug9eQqqdLc6z3Qafj7KRb+9HoE5rvrDPHLobojfaZF3P+z8ZRfr8b4pb 855F5ZF2aG+4JLtNHosGP5M2OIpF0skn7KpDSS1qDXAJp0DCRTZme9kg8ZeBOrTOOWQltWXA/Bqr 4Fw7mc//C1fk2E+6wPocxWXtZTESKmoQSppeZpMmJw38UNnXdgs9DgcpC8g9fPDqCPTwUrbG/tlf VCI6uQ7yc/jasMSmCtJicy2HHoeZgGxQi3yw6kiGtS3Wxrn++HxQHam/AklUx/uFzuTY5XDQpKVL 6xZA+i3mVIdTBomuhZmozVEYawuf1hgAcPBYG3napFjpYOMoUeVsULwLNU6Yy+hEOmltspeNVKq2 dlnyQXfbQvhNUaNzEfD5IJAsdyEJ0J/h0Pd0SAKrw3MpAqJWmC4BQJNpmoMjabAQL6L93EaByYHX oTgCwDO2O9gsTcgLdMqoA5eY9qZSOdrlAIBWK2u7nWi1t404eFQ7G1I7I7OYS6mKt4b9htQ2tDvM VyuYKt6ww6aggc9SaNgC1agFyrDkcCU6xNy2ueF8x09thvMh3LqYU9T24ab/J4g5Ob/ElCuq5QwW 6JYLBjx1pq2ZTcGzHFseZl1rLLiZyBnibVyiROufyIWPR6X//n1u05f9qSKFnocQhTnx6uPb0pbn bYnMDxm3dPd8Dl0PlxD7TYSG9tWQPPdlz5NrPEOdu7H3zSOvsKs2ryWbRBdzaHuo/H8qpOTAgHuv kaWndCJVPh5RPn6d2/KBeeYoCrhRkYda8LSAm4L+JFTVOS3ezpRA1hSFqtMqdhT6J3DwEgm91SHR RLhaPeGXYk5qr4IwfSWHsPH1rgEmopAHsTGvGhRIDtyfXEVr8PEo2L5ZGwFrEIEY9TmPomgbqp2Q pnRndeHT0bFVf5KoEfbrNEUx6JoF/6HsbVxcxMnEwFfc0laGVXaidpw0buEahAaupmjlYe8aTFEX Y3o3HwTKoj8S/r7CMzuKtfvtjHC9NKk93xkKxafhUd9XsbOoD2OX7yzMrIAjy2tIgfWhRECBqOGJ zcET23qyzrPBmI5k/ODy18RpCc25HzYyDJalGlLofVgtDxF+f3SforwkNHj27eTjwVl0tXUE4kLR vz9T9B5ny/al524qcD3XatF1Kr15DWmkW4sM/A+xinjpU7dUg59FK7UBFw7JMxUhTAot7SABwjoF 6oJYRog9+SXBMpKDL1EKGoQ+OnNLulKDRHcOHRDHrxgSrVKoSvgchRaIaLnDNEEnUM8M/mSfULPS EgBRlp/XoQniYGutplF98Jufzn5N1HKQkYCKInwC83B+h1l/Y69zaIKYq6YoLx80RZmSqSxSTz4e hdm3MYLJRfG+5CtvkUMfRDYhGcqpzlam+fgioPN8DZQ7m6IozF7FFN6bD77V2jmVe1LVny4lhkBG yk0NBWw140+Pbi8btfwFQ1rNB15pq7kOUct6xwgj+i1jhX2b97PVYivEzDJbM7utk2fpIgMgz+Ci 51SdpkSUQy9ES/zZ9dbgsAhkYWQZA6EOGjm1cjtCzEYPZeJuDaKuZnbohjjJot4AT9mgVASQzSWE TRp8Pkj8r9yy6k3oGJdb044NEbGOvmRAJGQOGL9k7RUb7eUF29gSkSKDic4sGvw8gtacpI0HqiKF 8XihGy860j/UL9JCgoyg3jbiEo13GFLbVflazXrJaLet7+AIIAuGsTZJYYwtU+imVYNq5PADKSXj hdQOVLctpVAMhFRhqprZYJMEm/Wz43jRQtstw2uICOYFezmTRfQaUl72upG2OS1dBrsjHFQeOZHE FuVqoVkbuCOC9vlds518k6uqlwN7REP0N25PGvu2oiKAIOsSrcwAdAlgE/ojDrUX1ULj8HSQzoUG x3sU2qCwx/IIRVhw4cNh2Su2K3V72ehmmy+V/wwRfv0sdaM8Elgkfte15ZO8UeV7zqQozIbTYAOv RWdSHW4BOHuzhQS+1PKFFGFHBKzJRaltmY9oCkhXk2UENrQ7+InAs2Ve/PAUuwYUVmYLTRKlrkfd bBuMNoPtzKO480gKwdnpYteXak8EtNxJeg+03V8KND+JO+yl+x/SF8MObjRZfZLiQJugv1aWD15n O3Hkmnb9o7hEKE6Bq6SgkSzy2mZLy1422Gz/j7EvS7Jkx439P2tJa+M87H9jorsDjJOtQFar3xOv 2m5URjEZIAYfkvpsoj8soz+QfCUtMEyB+XyUR37P2UhBJ9jT9ijsasNvDuxdtZA29WigEHsS2GKd /4wpilpIsVXiltmCDtKaT6pdzge8h35n0Dwi3QpkR1glQg1+PSzr3uxlg4/NtHP3XYTxLyfKyZwW I+3QKnE8KgY6gLyJr5BlDt0ScfWCq4kp0QSJhmCagVIRZwLzpDIrQqwOUpxrsw5jSanFw/ZJuzCl JY/4pF6NM+1TcOMH92pELM8kyTLKgWGihSQUfdkX/9uuxmz75KeTzwdp0vjG2BQgvqB0ZJsUWiZC d+ekj1tI0SYJL5a1NTkTFAfAEoDAMhGqCKzWhtR0R71l7YTYwJgMScCmwq6MRVvitcac8LqakI2e A8tE7BGzxWRKCCa5cc4fRlOsw9bi48HHNn9vEV7pySRDy0SMQBoAnRrYTg6b6PuyaVlP/AhPAW+2 0DNRJMc6VNeOW9fCZwp6gRROyZhMdn2WZHiDDw44odVsQzlSYJqYSbmHTJEKkmwFyVqnZpOxTW2F jwcX252NsH+JLWpPPApdE6Fq0nM2xXOkSDYRSYnMD+FH5u1DBr6JVzSEYg5anFSDcVjfXYP/85vs X33IxvZkuT22suxtI0UnWm6ygwh1BMw0mSIBTsSE4/zgxT8gEg75hdTGIYbkqe9SKFydCz3rVaud f7rGgElMSLTZartgrdg6UZ3IRVdwLp4jnUv97DivtkSzKJZtcATAxYLR3m2QZH/ZaIKUVNJOX7zN 1iXqdWofPv6vhjZ7csCDz/Z8a6F6dX582ZkrUSS+8AC7OMZY05Gjf3gn8kZr+S63P7LwLW9mSMj9 cHQxZMPtVOiQ0666d/OXjVCRLNp2X75oi9as0nY6dw4fj6gjPj7iNQ/EX3mg7KF9YpUHTDKMTe3F erWl7G1J5B6uTJgD/0R3sU/UatJiW3T+M2DkzYn2CUyFynIYjIA3d/5goiosiczdXjaiIDEx6hqP dMc6pZ2G7dFOlc8H3doniTz/MnqnZTxYrcBEUfz9k+qafxkUZI0ukqAF5yWb1Am5Sf/iQAqPRHbp j6FrYUVa6UZ10uEJXC0yGTDWGwX787oTbcl858BI0bPILQXHfRUcof4slYyz+YvPB4Xt+rYZYIe0 1yuElUMzRYQFOHVla/tzOCEjBiq3u9KK55GBnSK6qbzamlpt7Wm1ne8NyDU2SU5cBsWKNHW8XQGi EWKRv6TCcmCo6OMjZFy+aHy0svGs5tp8PGr8O06b3EDM02HWrD36vOZHxSbapwS36dEUWVRCB8n5 fia7cmL2a3pUoJMqLwY6drX6ZfpWoVxUSPc7R2qtJnhAEYQcZDnAa00tHinka3JUoDTHgQjbuVqE HIXrsqTUFh5+2ZwCkVSmRhCyqJYbIaTxvzm/k2hzBq4xKk7KTagvH/fDnz7L8202/8yCzelsv9Yl R7xVnusMMLMp5hFMcwoh65XiZAX22gDN4zf5QNhfN+dkjgRCDI0eR7l2imlO3p6YxHxek6Ii2LI2 R6YN+DNI5MfevKZE5yGIC4JGLm9b2HkIbrRboa5Ko4kHi5OzNa/5EM7NkHlHv4udm5OoQTyfA7Vz v5+LbBr0Jv/QAE4NWrkw4C75vGZD50ULudcZfRdbeG5O/jCa0DS7fV4zIeyrmrNbENxK+OPKtjWv eVBxzPo0XjHU9N3Aozub9lzZy9Kg1ySoYCCnU6OR47ryhIAWnzxxUwALmkVZvevEqRjSmkYBNUGN OUl7zYGK+OUQ5yePthTGH35TpXaSqE66mj+vKVC58nIUbmEDjrI+tjevCRAegjtFn0uh5Rwga2Dv O1g7BSgxlLQojb4oMh7q0iRtPdCQnc7OFihfw0ISvTM2IxM94/DlAfjiXxSFP1+Tn+KIh53rXaxj fc4329dAtn5ecx88zZEEz81QbAIIK9nmvKY++BDXuAk0pAnTdllUV3PMGDqbN/Jr4lMSlYs5WEy+ eB0Gt19AvBCLQXCkmvbJDUFsQU8e5DK7rUpii/E17ymZutgINJjAatGNfnKSKWeSE78+r1lPuZkh 6C+61+DV0GxzXnOeovvtZG3MC9FBaxxQn5SAqBSJ5p/E0J0pos1ha7FJMqR9S4a0k3imLPvNRVU5 dmihxVqxL4OmUypSCbx+zXbwVWUGHMxJbLFs54QPUnPbyuPzmusUOZZ/RZxGwGyxvXlNdBCMQSQu 3ecckltE47Xt7r6JFQIE0tONgnGXynCuvvjBwWCdeRQcF1Y7ieESuhF4tGRm7JYxI9H+vOY42H5e 4klaj1x0iY9ZBShHX/o1w+H7ecCRgTJqie7B+LWVWCSOjVm9T+2p+SME0XKpmYLLhZX7ayOxSAUI Cjy0LONiWwMnPbSSkABC0biLSzAAuWg0dmCDwwwBO6XAor1hvT7QFbHFOmQ7J7nVUe0z2JwuQ0mc m2JXVYEninbntYfIs4qeOU16BR7KLgR2Pi9nV0OFwMRCong8JfOgOWtuDy7mfJtnv5gfI/K0TBDa pO8m5mGZXowGsUaa+3ltIBb52YDkybnP7nfug9KECXmt0AELL6u7PUJXDREpLQGM0mNcwh1qMorI WaBYAhpamnd/RnaOXrRBQgwZNWbsO4heGLFWiemeULN2kWPrggQ0wHtjP344mmi8J8hGhm0c/9pi Aw34Euvjanw8+LqmX1kcZ8xpMx8jdAUbhNym1WHq5ufnXLowh3FsaCy0Sw1ZHR4g8aqYydQvGXio 9J2/C3XAUIaeKoCGONCaa5wucHItsxdSKT/v3DMc9qIJfV2+WGAmpZrRNgN9HkXm7ZEZ/4EPYCGB XBv0nidjukoMbLGEpzc3S8wwzDLUEIU1hYgJNmhXXVhMZ7j4vXXStpM68QuDhUc14y4yFaDHzR6R +ItL+xNlyn0KeSY7yWv5lWDUzabfqXz35513hqMgqw4rI+DhQhCZ7U+ULMNJs0uOga3VfmlnOV83 yc5uugarQYTu1Wrz4YufoLLxARcRGIBYFU+WNGocLEB23a8sC8YQVVmqITgN02Il6BQUEDpAn3fO GavJ/JUvN7KE9/3A3hNmdPoKItC0nDCvtB3C0LMrEQ5hrNlUjfaHfbAuBEN/EAy4wcock8IOEAE5 vwxWHIC7FWogUO1cH9hUCyOMQLSamqaTbt0kODcI3gL3+M8734x30ff5QR8UB2j4BkVJM2InGE6m RNjXkmUH1AMEqc5wQHJI/nvWjLm8vqx9F9sfzAZPnevtwkbAKT3GOAAD5Svdjqqazu9ZM+Bxi4XW qr6wSi9jL03lT9X7eSebnTdsqX9lhpC4hwfQDUBh3gzOYl3OMm/UJOFQnpoSzA1Pmevz5ihx7gwr rZMAy8X2pwGudFK5qRusYrbNaWsTUxy2btcvkQnQO9EMf8OmGh0NZy0KQCAwqccz8/6888yKZHCe G6yQGtpvAIpyZ4gDQfLfeC+LFg8cozqm+qTOpTtEKEqe1ZGXVpOWG3+AnErCdUBtiehm5HLYmrNJ A0rXRg2i2PA7xwxnlBaLhX6JWvR9wYdG31efn3eKWblyaRZ/cOMV4KBte97zZ8lDwpbOyy46e6rs mvonWHVguMvwHCXQQGPg3KTqy0VOn5p9TrkJrHMoOa+Cqz3S+2VSF+YXzdrinV1mOR5asO0u3B4M 5rpgY1CViRLotcf35zV4O9ztiRJoaI6gf7rFv1vV3O4gRiIxHlh1ZFcDCxNo9cFUc3LxPljtG1Pc JJ2rU5ZO+eHhukNfmFRiU5QRjzNKoCdtgpAl+6LRaad3DDFB6/POKsPHVb+7Pfj+MYLzJmGUP3OY Q9dtedk63H6dv5EDOYuc7hL/mCh9Vv9dlrYt3+k7Yud570qtNOTLTJeRl+Ebw4Bzt4fjUvSqQXAG ePFUPbn6Yriyfm5E1uKz5M87o4wtqe+vC5oMlQ4i2p8ofYb2TRvVSXdjGKF8l3XdbGt37sY7ncxO Ieus5cvFAg3opCg4n+xwUNK6LJqQ4jY/f7/2kO6K3jUowAZbYTw/WlRfgFtC4jQO5+edTcbrq31/ X5iYQh3JNihqM8tNshtGGpLM6cfE8mczv4WKIZv1md/zZzTk5BG0pi8WnuEFBlYzO4YDNmVU0oU+ PPlZ59/5GgdSAOSdTGadN/h0pO2L4vPck8n0CQ7t804l4/6O7+sLCeu+LcN3Hhm+MEwt9+IoEP+0 y/YNogQSA3Qt2YzK3nlkVsgxvtzFPrECPmLGKI2f2EnKpVTdSPpRB7k5woWNp887jQw/ZPMbS3Li SlctH9KJUrTMfPqfNfwPvBL7d2vsnUKGuE6g9HS12DZWdyy5q8ViuGJY8ncGGUNQVh+++nLv9wTd dlJ/oeVQFgEwCfJQBeq5myNANQ6bvWo04mKBqokFF31h54KR38up4MvnnUCGO/ZXgQqZ/EKpau1P 2HRGg2zQFQ0hCG1i259KfXiKoq1iTed39ti9wSpV4bR4fghL5Cq/jgYwoiFgIUZZEQHoRjHzY7bw Th5jji6bVgKkuVhPvk4q/P9AnvDzTh4rErj5asrDG+/8tjxBfCePcUQKG1vdV/KSdC34Ri0B0yHY pgX/Th4rsn1FacG+PBdvr55v6Xys1I45O9crhxSFErsNXYL9GAZNSuu9k8c4T1N/tXZfLEaf/F8Y uVOxfN7JY/ir5v51giYwI0w9tEFhBg0tyNwM9nv+qTqjJTuFAxSpbKiWd+oYzy+6q12uyP1xRcaQ 6Py6F1FQcOpK20cc52Vp7VYeUZS+9K7RBrFE7bzLuBhg4xxMwRAbNug9h0Yf+DsEIR8tkMWzDYp6 0A36P20Y5ACDarPEORtkIRpONTa6eCeOsUHM2nTRc5yLHaCx0I2wJBFzQDniYZD2Q4HzWi9BU3Z3 77wxVkNERYMuagsjdJpl6q8HCat32hine+k7ScQ0GhmObU+UQyN9hcGmtcgaoQ/AaM7crEV/Cvhm OmjvnLGvDsezeIcDKdT53yRnnhCTK7ekVgnVnwSjPXZ3SNU+75QxPz4tE1WuhTn0+dOl8tpSXp93 xhhSzPE9TWZzrN0GxzthDKcHjvXgjRpEY5di8Ud8dUE0tgt6v/PFbpMeDDpfbgdolzSL0TNGm+KU F/qbAOhAewbLgIjReOeL2fQKrbFUfOH+nOhogt7nN/B5p4vZHfvVggbuArpKtj8xSoMwm7kNDFW7 k3wyQa/y6oBcPsPPO1mMNxjizpBd9HjsoscEkk5qdePcWvNcCAxUkOGcaGmj5deNvFL0qn9sz/mz CafnouiTdkpEHZ7Q3z/vVDH8TdOdDTIdRL0EBJdtUJRDw3Xn3DDbqGL461iHo7gOWhnEU/H8hFgN yry3yUyai4cfQMUrFJpo9rKg/oTzA75hBtkWVCQPz2g8ft55Ytbmxl5TjoELz0/bM9n56XzD/yU6 g20ABJJvT5RBA5HUKrEnhIhP69AvTD0t/LTtXjjvFLHieMP+tXgD8aTomx1idOjLuWgkRsALkYxq wJ9M8LTaq0bjU+4LJ+ZnoSo2D9A4+RUD3tmn8nlniLHH8b1B1L5I9QagKIOGZuj5fXep45/I0Uwx v5K/zxHG6O7W8U4Pw2+nKTC37otd7/ig2EYUYUXoThyxBj/q8jOe/k/Re/4xXK57Cdy1bPB+gu7q 1eA78/POCyv5C+pDOAsIjqSvam/es2d5bLTddzPIRnb37GQtRRmNZ0sO32lhLP6YN2852tKZ6bm8 cJz4ccE9LS+NL/CJQPgEZ8LnX8T6vbPCcEGym3bqle2LLq9zKkTpOpnD550TVi5U9TY4YEbRvPv8 TgnDz6TK6CjTytPeBcE8v9fytA9xn/DshN1nNoBOCB6+ePsQ0pSQR5aPUk4AqiP0QACWugeoYpzt 1LfeNWqQCWGYli/WAZqpSOespvp5J4TxKHiDQ82QRWcq36AoeWb9XobTwYwnzw4ZjeoJ3Vj38grb z9MQqlsLfkeT88F+7uzO8DXOwTrXWJaUMGpwygom6nepfB/2rhFejIXpGtTMAfdOGhNpkU2NlO/8 0+edDVZE3XzCz0QdDJar7VCYPVcMeADy0QCjNFMSloqRClS2xrlDYQfar6/si19fmFCvquz5nIFT dhFPh5Ib8nDsNLiQMNPDdyoYG0DsjXGOcSoW+BTj9w62f5N6x5iZz0cV6neTdVDnbN34HOXPSIDO nbLNsTVLixKOrXLYXtLJMzXBdx5YcVHK1lSmtisjPE7ieLKVLI5zRuePaIYEjH5FX1iq5jpB+Ft+ 3llg+F1S/kVOOloYokEyk/zL+Tt/3jlgfMPv8rSoweIh+p0CxvsdpQil0/4j501rzKdC9DljUOeA HfvzTgHjJ2aCpt0XbyGeX+pJDAUd2x00L+LqYEoAnB0RRn6COlHg7xQwYnEpZY6AyWVYqbBoyogv LNf5eaeA8Qtd3xUGoar3C3tngOHYFUivT824UICtbG4dKBrLfyRriTSJGxQl0NNALq374i0gQhaq wLwnEQW5HUGaVMd9DlpHp95GhOhkft75X/gZ4qQAL2KLGhwn/ZEwJRK5zzv/y1oMXwkQCQm3S/9O /8IJAta5bSOitF6K9Do3hdrM0hYMXF5i7+QvjjeZFtOLTIsdoBMozgahHMCI8FR1gJyD1oy7gSPM RMkOU+vsetcgRqv1U9pdDAXUJE6PSmV93qlfBnv9uuUbmVZ+ib0zvwybed4bYyCggJZbmhAmZXgF KNAZ8/ud+HWbrI26fVp8zrPgSJ3IsqwAQZA2AFwvFKDPWxLX4H53Q+8a3PKVJWoX7/uWeam3MV06 uHzeiV9FvgbPCQKKo1BmVRsUNqFHJYHQW2SURZTGwkyWMdZZHcXxzvu6XVYYkPriedCET99UjwMy +VOZZOPoBxVy6V6kEkLyead9ETA/5RmUfBFK4ZxKHgDwqD/vrC+2+L97HCDmCymh/Yma0JPc9ELc NzUri7EJILZhY9QynGjxzvni/cnaIlEZh4tfYad6mYOm9zALWBCfYKKNtj3B2lc3YBMD9M744u6w 9gJ5xBZrkI2iKWHf4/PO92Ip9QuiwAZU8ST6ne5FDBnkS4cplrTaZ7EGmYwTUaEOTKx1eMIkmjli 1wy+f83gJaVwQig9uU7VXdlprCyJIH1CS3Z9Xbrg36leHINqe4jz5cLtQXNSM74TKj7vRC8GLz88 5CEAkpO3F/DvNC88lTKrUhcJlNtvh3JpM8VpiNV1256/ERyDOsZavAQ72fNJggA1Pt/WOKWusDAg TmfKUF49BXKmPu8UL9ZQS6JuzRfbnSn/L3RPPu8MLwKkfjWf0Qjdd4LawvR5k7pUhaWDeqlV73TK 0O6cqsCq9xYioNm06BpimPmlphetA8HIEfxJNjplfRF5cJwWslcEdRWoCEr8GQG+blMVsLA9xoWR 59R4xe0AM54OssM7HhQaeHN04NsTJs9wT9jKDiEWdM0km6oLermAdaDDEzWfTWByj+SLRx5obDQo o7A3j70mPIqQc0iW0JnP2ab2qsHNxZhTKxyBtHB7Tk29BT/ciU8H8/dye/PqVGM8eLurPcqeO3UB h6m3tZJNXhIy991iTyGZlpyU9+QZeQXOjcSjtdziAlKu/N2NAr2ipEErWHGFxuOs35U7V3vVCKBA eFRic56LAC5bXQeE24qnI3jvTQ2n8kg68fj+hN1nBJ/ZulwkoE1nqoBp+vDivMw0ZYAeITiEDO+F CJdeLsJlEeZJZ2QUX6cImwK6QvAuUyx03fKdApf4GUFtQVzmqQq7L7rYR5IyCqoaPB1c7OW7eM8c bPvstIeZc+Ps1IRtemLI02hwWJsVxhvVtKV61HtWVxXMRl8sdYYObCM8lvLkvdH0tkxQApAQmZ6K MXCJAOpR6mz4sUou5awkyjN3hk4ZA8g41QmeD8iC8xdAk2SReTtAPaQLVhrx1O4QsioIWYYWi6in WeUCy4seJc+G/tnMgbg4QuGU7zVlgaRyGgXyFWCEnj+K2CDanzmIjAKuPcqeC2MQRK59UQG/iqlm l1HwdESn/EYoMN3D+NY2KOIMgoQhIzLZtpHq8h+wY4v5j5+bEUVARXLY/0ZwtEwEBxef75xrZQ46 5IyKqRWOJhAKjZjB8sPJmclKsIXYoxY0QZ2N7HI6AE1SlfI5iHOy/3Mq6oLHgyvsQoB43w3NEjx7 7mH2PJAV0rGCWoCNA54J+0Bj9pwTjOYws+ceEgfVQ7TxRC9XnKRN/A0KbSQLIFO0tD33fKeN96m+ qNzeNcHIetWgfi9LGgB3UfV16reUNZSYfMXoExvflxi7Ja15htjDLvQ5Mb1UtjUquYPJWkCZN7Na ZJia6xOLM2ihew3rOx6QC7yAJhmerDXkflMmuhnQjP3Z7SIUBmWke9SFrvJEhqyzLSrg+4lB09QP Jx6PUGTfHSBZ2k0fEfb3FBqTaSSJBcBrMt3bMoMN5M1ZLaBTdwOayw2Kcuht8PmUffEjNOCUC9Qq Xf/gIbXVQyzocWYOFJwmN+xdgw2irP1akktYPioBmV4saeig4/GggG8eg4z9DUyDl2A9gkFDpbhV qSAlci0lBQDyrITuM8rsKkPbHkE4dIBAHdbCVyDON9O5SDynE4QruZVQt6dTK3QkL40Q6rcVPyNC kRHBmhWp83Z3zbma2Rr3tvF4cIDmL5ACFJNocWkbFDehG+1HhI2CupqMSE4FUJMTwTo+XG5Q1ISm ohhOzl08SJ+PaRQqmQAMhEEPOaiAflZ0ijYlJLdq1K5XjWrUxuKUgF8uNoXvFvQwZsPj0QEqvzYI /WCKYoq+HCXSQAq0PdK2OqxZIn2CaXaeE6xalEiPqAstCmofnAJyubfYKYFHkWv9SSAnmXLws4V6 NPaTBGY1WWmvMaJEmvJbaL91X7RB58/aPEEnkmU8HmTS/ZveTVF+XH62P1Ei3fZmDzGbsTZnkhJr IfFXRr8LFCykiiPsQvMWayXfxSsNcJd1nY56/gH6ZoTS43uBkTZ961WJER2DnxE1WdkiG8CKa1Ed n6hJh7/f3Hg6akL/gikwHb+iEiNEQqNQnVSYhCbi6tt4TmWWYQKkA9WZ+O9RE5oWRJrmYGGGOxiB UIk18GxAxJizcvyEQRDmPriRr4Q9Zh8DPyKAaTbp1/Ezy2oIEqQwKlWKfzCVxOPRDOMXDprysPtu T4jiQIAu21BA558s6px6IA/LgRpGXPq8oiRa8acLUN/73Z6THqK8aok50NmuTe9MGCIt6mH+lPlc 8BPbE4I4yNOlUJMtLMMmFAh0IGbD05F2wi8QNEve5TyMEaXQnXTZ5DzvWZzk1AhUkewG3Qu5O1EK PWlq2GSD0PqjSXK2u9PdRyS5MpP8SHA5FnYeEh616EOn8RH1nzO9QQs1es5CHDy+rnPkB5ty45Qa ePx/GYHx60oXRj+iFHqcKrqJ1IARz5YIMmaotXWboZY8XV0iSqGX5u8apdb56GmthORhiaaLrvbi 15Up+tSwSxRtKY+c1ghR0Fv0ypp8YfDZEOxiPnHSBDz9L5gLX45d43kb9CMEQYNlKRtTXO87bxEO 9iInggOehOm49ifCQMvNl56AtvjttYBhpIL1gAfo1FFDCnDeD5/tMwGjhDF+RhScEXdgnOmLSlRg FCi/sfvA01H684slp8Bzo0/UggaMs9VkU50Gq3Ruz4nSS1hfoDi2H58ofZ6CaGZBNDNp8JnjnZOC nXJXYuxQL5M8ZJp0XzklF/1/TA4JERM/I8h+xD5t7S7qIiI3UcBdG0//a/wlYwj8vuYNP1H2DNDx KYP2tAKVSl8sUOvVPC7Au+hqj7PnKeDc8MW+LlzYJ+UcVbI/m8h30MAAdWqTEM0LQaQCCH5IkD53 aR0LJQXPAAYNeE6lwt/IGHvh+aCGLzf+4O1a/iU1NsL8GW6HxjJAz4yO6eoCrWoVBszNih2hsBEt pZJKvqUADhaBZhqNiJ9TYaBxxohcaA996jCiaLo36uEVxZcNOmU8PP+fqAKhpCSuXMp4PGpz5O87 DCOjfWvUGXaiSQUbrExp52vamWeHIK0nBaBOEQNcYjPKoK3EQN5vi3ZowsEakGpGxQ4skHSjCRMA pIpjV4OSscSYIYyjmHnf9MUy6JqEAwING48HG/QrBQLQqjDz0AZFGXRljTqYQVNctBqMY2A+UJgC zYr5CU7QDMmExCeQkWXLveQ7bSCM6Q3Qu3xHBwUUkNeV22pF5oSf8VerHr8uX6xGRRrBKHIyLDz+ ryjEepS18XPJzyiFRv7TxhoiOCH1EUxhbYFbB74BAFP5ic2YSzgUpbcvPig8OSGaosyCMDofTRqk k32t9IORgLNRKRUww140qYTSOdXCn4FUJk+xlyYfjzbou9FK9QagtWx/ohw6cxZWjArWiaUUmLVW p6MCSW9aATPsRIvNrEkOF4fandPZF6XIz2UGXT/S5en2XGiVPW+Xg4BC/IwoBDF9bvzCuFibrLq7 8cmq8HhExfBrXlD62UzAVzsU5dGYDcAKTZAN4OeXzTOSD+VPuNjF6KgzSqQH5VyqhOnrI0w/UI+e PaIxzQkiuyTp1zJFxBROF73GhdhY/IzgG0uaY+zui3aonGqODOGzTxWPB/PC2+bAv4vGSKEZjDYo bEXDj3aQcIw+Wac7O8wfM4YOjNEQQzA26oyAHEb4rvzEuNgtdioA6MVTuL8mGORRD2izJQsdpsFg JK7B3HrVIEaTD58rXbJz9VOa9jn3W2oTY+DxKFH85jMD0ENcvu1PBOUAXeNEZicT4oa2MpV0RurR Dyox8guLGtErm95q88VxQFAOgwwtvrBxvr0tr9qicTi1ZO75oWT/jPJoelDIEs4W5tEnNVLmdU5q w9NRGeYRiMBMaCaWfEX+ZsglJJZjXBd6laynUJ3DbejOMSymtzVDKTuifHu3av5pI1ZoIHYZY0Pm jxwTlGoasKJfeX2f6I0xozZ0kbs6vdG0cHsGnG/UI9t8OoJJeZYo3jNOT77hJ8JyoBACgqPY7ihA QyBSBHAKRKIK0emJ8uihC2xJk2M9OSJ0YlKmEy5aQ2BtyswX/HogaApaZDYJI5FwRmk0Jw9weJy+ sMw4ubk5EZ3LBk9HQKCLkxLkLAN2dk9PzCSEnALtA8l0Wtu0w5nlqs6YlDtl9Inl7MRU4dHo82HK AaEH30Ix5Tr0yNKPayUl0uUeJuEqetU/1BQajH19MTBHkREdqOR4OkoQ/foijp3Dk4eIuqIUGgNx CA9J5A9AMiMboGeg/QFUysgGKwJz2Bjemh1r3OiMwdpJdloRWaVtsxWrtI7nkP5C6SWHvcIedFJ9 +iz6vNqQJxpct/B0pHXzzcVgufrg7FaUQINB2kZzqaQ5zcrgxNBhc1RUhtZDXCGWgxrgrcy7+OUF GQKqoSA4Z+T05PJgqgmnHliF3w40L68Va9kRxDHFdXKR0b3mWjQMPxVnxdMRkuw7PYRSAaV2bHtC yedaORqslh7O5VJkOuwiWt7gvEIwB5tAbaiBOihj1FhggJSUZ1Nwhqo9UTE03gXJ/6c/RHgM4Bp+ RtQkY/5McwMt3J+z+SIdVGppxumz5z4mLTkY5D2BXlECjcETPGDU3QAANTkTwyKRWfHq+wph0HaA 9l28hge7VTDhc4DGAG6cB0h07fTdRRz+qn8qsS7K3XDhBoHcw5FzzXnj6X/FH/dPz7t5crii7BmU dRSoNkStnUagBb1vd77MU+Gn84+JiITiTwprd4Vo+qn9F/xUm3WhWyVXrmygZCsYYeD7X7GbqVeN umT8axWZhDFLY3K4IItBsN1KGY//a4axNWX+RVVZUfaMlL0ZqxINRcZInJ8iAWgUqFQkZnWxYi0O 7kwWUyU/TJV0koxVSdsbJ/ufme6EpzKdgCDhF5i2R6DC4St+yF9U+EQnZy1WgIGLTTW70QceD5Ac 6xcXDOCU+YSgEAkNixmOg/iBlZGyEQ0y4SP8woBnsy8szJ8lp5nFdcoPmrUhVShJXKdzK68s58dM jextaiXqAZGyhJ8RbZDMLs36ksQKlvDABeEH9pQaHo/I8N8bBEG5AsSlbVBIJ0xoU20qRiFaj3nJ TviwDOkyu6VAKwRD96K0ePjiCTSswEYlXhO8xA5dJ8zhiblHfjEeviUb9SvKoBNZYJNO11psDp9a ld7o+ePxeBCkr+IWLxAqWl3bghXCoZEiclKlHhBha/RNTdaUBmTCFbdW3Ipmf5U6xlrsE8MY/lRO wvuefWPqALkkQGKgsCXBWg5SQQvUuwZ46KVvi5NCLoZ0KWML/9RXxePBHP5CgZgwEbI3vcZYUQ69 RjEVTdEt6SyIChVQVKtQCUvRBoVsQlUO2W7wR/H4pAondc4cFTaQU5vQiLRGQmMZPuyeBI2hV40m PaYj1XzR/pzdaYJb7szH3/dnXUWyqo5R4YjSv7EdZdEoihp8X6wK0zCVnO89hkM5+jDCwY4a0bJB a/Th0uJnaDVQCXYVqPW8DisRQrHgLQNzkN8OzjtKo1sW4VJTiOlGUQVt1mFVPB+P+Cq3U68PCoVb vari74m0RI+7WaNhh7I5YIByObtlip1RljsUSnLYEFDy4u0xkwf8fYxOOFkDtpUAhbLpHAqKSk/e BiJLne8atYHo2wgAvy06RGkMYaeQsOPxSPfv17gZEOt0ZbN3LMkxWV+YaO3apt+2a3fKLr4Il10P M2kbFGqikdPXuBkF1mCrvnQYqCdZYNJ2B5rdyRPFQhUb/IxgfygpVQdJ31yYKLYGXDtvppMI7ZhP +K0akDH57BfMsUM+IRSBKA5mlO9pXbLmKkEnz8jdCo0dgjlMrLbdxaFA5xs7xV0j4fIkd41fViWZ h81XQoGcTlj0qpFiCQvUTiRqcUbePon6luLIuY3xdETKGF93GB3xdvEyY4cmKjAondIPR9CkQzk4 B5hxG+eAdq/kHOyYTCiwwmi++B1/AjyKjSXCd+86Z1nk5PPv7cf2s9ub/qEIDfxi9UVVxrkFeFgx f8bTEVbht2Jtw/+/wScUtBsswlxOakP0xMIzvUnM9Ht7eA6xHAKKD52e8ZyeBeuU85FnlqknCS1F 5vEgDhRpbt0uGSVLdtSDpicHBo3DF/VYz1U7hOVIC08HGdAdNeM5Qo7rVVzfEZQDOu1ty5sZaf/K 3ZqI/VIyzklMtj2hnJ1ur0KsPBf/uHZHEk26HGqAVeRSCCkQMFN/6BC6ulxjm1410ksihhVZvy03 Q5Sp9QljBY9HVdj3nBmO76iefH/CFLqStt+sC1TE+8YYNWdTdDl3tctt7RDLQZB4Lyv74vsD+C94 cyziMWGlYHaBrVwBXqnfJmuhsS1+RiRIz/1RbM43Np97kHQSJAsDTwdX1y+oOFpzJzG7wSfsQXfa qCQFmiYpeRqqyJuHhiqVWgKdf8yfV5dcrrh4D/HE3xPx9XXh18ye4cmgUT5jYrtviwMATv6IP1sc 4M3aotAMzANBCietwtNBiyP54cGukqXX8g0+UfZ84gQlGswpNlf330nfhiFowGt7IhiHyZRs7dK+ LWiUW6Ps4Xw5TCmKeogZV1f/AU70ikU2vWskxiFnNIpKcdHNjgPJ3Hec8mtHLei+fukl4dbCodX+ GND87YcuErO2LJtgYrkcxcHkhEiyIVfrrj/or9zwZC/JFy8wEgBGBB2OtuYpVSYLDPSdGs48LmbH AslBMkXp86KSyxry94SETJYeB2yPCJeCojv/gAiu6acI/+nM2x9N+hSyCsG6pOhY4ZiQVjnwZGbS IijHmhAJke1MnECzPqXjuxbvBE2QL4aEoU+iCNNBbhLk3mH+lkkDslb92Pa2EViBH1qZ3RcVGedS 6EkyCa3x+aDKaBfTylIeIOZ2iXNSVnx9jrocxaCaXrRuWMVO4xnCh9sg0Sw53++JaWPm4osfJYxj gOsT6QAYvAzMFIbMZGVMUr8F6CCqFT8kYhcyDG16HCRMEUh4y0CCtC124Vj8A4JsqDroJUuBC+VG aneXoqY0RGp7ua5y518RR+MEle2uclBIcAuj0JCQgClACXzxtuupe8+tQPkAEIQ7qGboKiZe0ITO 9qde3fa2UeOVGWPp2RdDTqEe4EE4/8zn/xdsGXynK5wyfJOilBqJWE/kBoEABTKPM8QShRUKw9tK Hpai5vSQw0EyO5F07zVM4jGOp452BiwdJKCywFmiqNtmc5pp0abKL35IZASBeDRIM9Ri0IWzL9Iw 2bA6SCG2ozzYBUhrNCYdT1gK+9OVFkYI86zLQB9An3Gjhjo7I61oJMzapLBB3ZU7yoWvPC58E1dj L10Qs1PBQmD8JOuDcw2M5yk1JYAQb3/8kKBwJb8nrZp90SadP2ssiW2e0gzPv28SzY+1SZoYQY2r PlEp7FHDuuukQMua+LULAXx+08WUSU+aNdw0NkUJ9hzClylDyl8Z0jxBYk+1hyY61J0tWPyF6b3b +o1Kc0x72ShHUhd/L18cJLSbjsHJ7/h81Mb30N2YP+B/1yfJVpb+PoKE2UofNqpfkLpiH/bU2+ZB d/5G3sin/1MQL5p6Q90X26Vc5iQnnsOgejJWdgMSWjRADslYRC0iznf4QyLWM4GuOW8t1sqH+Vzl tAz1Jp+PhO88KBX1tUEXydd+OEW5NiwXz93QfOKaarVSrZaL92jerKaCeDAVYAMNXW9bHC406EZH c6yTFtclu1maexNySkdi076TA3H6234Fau++MJ082ZeIIucmnXw8+Nzqd77NjIUSIrZFYbsa9kZL +ok4UdkoChuKLTaz31d/E39OgIkRkmNoZjYe2hh0uWCWKlTwWlnomQQ58lIpb+ni2oXQBP6QqFnE VHtpqrjuVLEAOqaZGbPJ0ITlclt4YkiUueznHLoYYjgHHIK1Y3M3luYCQsMq2vM3sYo/By6GIHbx bmMSpMX2COD9vIcsiQuSVEmd4RMD6/OHxbDYP/LQyIGNIc4qeT9ZsLN8YWczJwUkKJDn0MbwUXgj xBGBr19cZw59DGHY0k4SpAoWIBNtFpr7CN+8JSnPyJstNDLcpDX3yqqEi23RZkdZx6iMVQFvIHQI L9dk8vx7dJ9jI0Pr5vfli8AfcGeSU/yofDxIt/N33V8poXaxQzl2MmyIc6sLCAz4R7LSVrUjUyTM RXyPQiHpQh2qKpWu+qh0pVMuNAgsUaVrYdLNumXLpIFCqQ8JyN82YpFhj9YWm2N3ywfXeUVmAh2t kRyYGaKt/03yLcCZwAPL9yhqXKMCOQHbG9dbQnjsPdY7GsLb+6cWJtsiSvE61aI9mufbBTBYEKvz aU0IxlYy6YGRoT7zbV1zQJ0DP0N8avzGqAOthRi0CfAEU56zW3w8uvu/EbAyvr2WUDl0NFys2no2 xaVOoy4OGJmsSK0iPVsUkhFFA1pqX6+nfX0qYzBMifE80QT2SrIrRiaIowo/iYsj0tUfehquyd7j lHLXtHyelpJNmXbRSwYz2OuIwIwTjeA8nm8tkpVGcwPyAMmabMN2BlFkWjjKFNTUpxYm2oZBW9MX H6Cd5LqVpE9tbXgxIzdI7CjipgeXz8MRJWFyYGtoWLQGaokvPEYnQkz5puVe+HgkevtLNqdTbfS5 1KI2NqJIlwINVW9TLkaYKrUa5+5UCq4LnENnQ2GpMYj2xfNsVLKFddGAPUVCZk9QPsy90DPCR3dl Oae9bpQdDQXr5IsNYhd0K5gUls3ng6BdfgUkYNfHhePnwN0Qk1jKLvVsdW1ahsJPmTBWmddAOsZ2 KTJnUb+2qvqvX9X/CWxlFhMoh48QOg1A5JPr0sA7HtfhuVZ72+gksWTjFFULPzZgbdm6wq7z8eAk 9e+LDWidyim87VHY0d6EpS2JujbAqtVGytV3C6rH0wq2wOMQpYjEt21o3Z+qFtIVg/gvdJPAxSAh iFALzkR5sRlohuPYHLocdqXmVAjWYhXb1EQQOKrM56Na5EZtniTAQmp7dinEVk9W/EslbGtKHUGe klJDZ9hexQ9SCK6WxyEg07Z42N7QKCK/HXYqiH7sbFNjFVMHtDbcKFNW2KHXYZEGSlvZF+siafDH r23w+agY+RbiZjbL3Ft7FNoddlq1lIvPL9No9mu6CEGlyaeuttDvcHWV/rP5Yifp/PVBxpqiv8wE /QCG7UxPtky5hItwzPayf5b+WW0SyoMxIp3kdPPyxtCKzwd7lG5/RNUZOtPzsQwPTQ+hm3lq2SX6 ZoelivXagLkgefFkaW0YmTyHtodDX9qsxZfbRpoNTreq/QmSyaz9MXXkwGTcCe1q/rZ/Ia07OV1a 9L2d3yO1vM8XXCafj/jAvzTP0Pfpjy12bHwIItXkEYHcR5cEZUYw5H/HGX9zRnkOjA9l604Q6PLl 1rUJXmyilG+M+wmEWg2HDvxpkKcVkzRd4Q/5q9WWW7mLLjfQ17rUOEfj80Emme/lxgEApHLgX+eb FOJEOge0S83+8zFQgXrB27gbjOaEj+XexoH5YTLrqCrcNRef1SbQ6aW+CLmCCpFuCH9NiJb3aq1t Nxit9rbBsL+wE5kozJTEAMJJamZffT44lLah/+GjjisszYJA5q1JQgNE/C5PUVBNeGgtax2dX2te jhbp1JXp+nMiiVM2IncfvnjgPrsBPzvmkmgm22gOAIpMvmIfd6BN8bMcWyBmzpCGzKOH8xqA/meZ C8UMPh51//1yY4xGClDADfU9ihrbjcB9jgfUaHM6zKnbqM/Nui25OlMObRCXFFLKWr54SMIsoDK7 haUmuJS83SgJzHHIvB5t0mDMoQ8i772Tm1Com4tQNSk3oWLy0uPBObqgI9W2zbg5tkdRwg1ezCnI R/GE21UGp7w5SG4orEm4RaGIXpc6tyR2LmoTHUOUGrCIILuhr7yl9wAEEyqZeRWIgK/VuwbACCJG /lsqd/Oo6hitwcejZDv9KkkQt69PUg6NEIFGB13DrDRx1RtyRMIpRI7Q8Uk7FPe05cSR73KhNesc QgRqfGltwiabwzhMigBqAKNPO0QSHH9GsEOSwd9r+aIPLYF/ir9fYcSOUu0yv3dI3nE53x2KUm3w ss7FPg2b1beBaM+G7+7gEZC9LBaFZi7qHWV5/eWn9odOaElZKlZ7nAJ0iquGFhKaiRxlGDZr+csG txrlqCnaY4s+M5xaHoFTi/PxIBY9WpWJV2C17oPt0XuijRYiClt1tCvtNG0ekgo1RAUhkVhT1x/0 l6A5kkNffBR5PvdNGzJm2rjjJHiKRhAOBvoU6AviHKHA5g+JNLuZPg62krgIgnSyyyWd6Zr5eNSu /VYbBFa+jIuyyaEnIlSPzs5YH7vnmq6fwirNiv+ZXfM9x6aIEnHA7WiLHaTVS2qFl/Sg+q7ggIVI arTHZv0Nwc6hKWIWzobjJS3aotpF+zzbNvl4JEx9J9pkpSMawTfU9yhKs4EphluSOpBwddFkZEkm U8bHyzHYOfBFzIaiPdnO8sW/tVPJQBtdlf+pRcrQfAnkAIJB8K0ZmXp0e9lg7D8p2s1BpRb2kM6b DrFZW9Y7RkjR73qt0jOg3x5S6IwIoAs4CLZFsDL8MV2itGwImZvrXeTQGtGsgWRKwsXRWgXyhYOC F7CQLIn542xw3IHMcEYLzoHqGmiH5ohsOTWKhNqiLDvlwpYFqDeDz/9Ld1AVMErG+nxrMalRJAfP jR5/zUp4hlRBWt5+kKIsexDTVsig0uIwG3idDc7bB4bQDVDNs0uAQVTk+Bw86SCxNOUPCRJIkWWK dZJuKVLRjaTyTs16yaiqvV8bEyGcS6oj2S6FWXYvhI24w0vurgxSpPlJZRBCEnWWYplqdpFU+9en 9oe2DLhjXbPaWQctXU6NDpgHRb/Kuqh1gIH1U/5AI526RQiSfREk6MgU8RsxrQ3cEv+bADoRPssz ZgvsEo2AThBeltXvEPoPOoTdRCxP/TgNZRPaJXYNhqgBq8Wr2pNHLArh4YM7VwC9SM9tlKSQ/7P2 FUpbqdvLRlebqRMVX1T5n9K58WY6SUHm8/9qbIuLtNHne05SlGcDlNcA4FFQYupCkv5K3UQMToWQ b1CK8CNNEmBLAiAr3yZSP/nLqot5NpJrMlPPv0EcMlqT/XHDsUZb6JkosT1Z82jRHqWFUR7DDGNS CNH+bWnLqmFcR64c2CYiQ1+0YrfIvZqxP2El55bjiVMdbdI/fBOTDdHarddOknTidq0yvZvntkts sRFvgHuDCAX72NKylw0+Ng6PUlZRm938orRdt/jlJ1Ph81EmeeEjbmxb2PGxTQob2zQnpeIteki7 VaFuITlcrPd/akVvbMfWiUt2QBItGvViI1Ayw6mD2Ajq5tC4bHMWCMqRhpFqR/ZmLxt8bXRvnZzY a2GWtM8fL3cKuHfkwDrxPF5+gdnp+lCfSVtonoh/B2h5QmlBQERpT3NkjqnpzU5r+KqDFGuGcIq0 WG5w8bCdRM0iHBmjfyA2KmT0kcsh/aIgnaWSJBvlwD/RHHIAAU++WEia2Hzs0omwfD5IAX45cMKK pqQHZBNaKKLR2U6qp9ueiYwKW1mQCUACFSJlAIGFIhQkqMdTy/TFp9qJFuEaR8KWJimjAsgms0PR nDQLe0V72Yg1SwBJk8p3287ImjSkpmjl4uNRC2n+SgBIlh/PHoVd7YrSVo7saN26J/CGLvdFkDjw P4cuimsIHaHSto6nPQL5xs455kCToVUZNeBTyaCTMH6rahvKkgIbRdnNnLfpwrX1fa1yhkJ4qa3w 8eBma161EcKMLWqXN5tDH0WIe/dcejUEya7dESRpulNX56eiPYp5j+qMCGTbLsj2/Or7+QJs9I92 PfcDXe2KV6Ruz+2ylWVvG6EjCB3hqBU+sAhBzJFODbgkRHyqTf4BkVD87bOxzMMx7lcIPQduipi0 AZ7i1pJdgkkyzWGng522Om5xG9spDnFs1Lgd5StLOlcdAiu6JBDhq+x8n7POZiTGe7dJQp3CHNgp Qo+exdkV+7avDTp/6pONsfl4hGhr34Ub+6APoi3wU0RNUq5rMrMlk5k7IapUw9hODKl1/cd+isqy iyZI5ZkgnbgGvwK1a8+pmlX4CMrCFppfX0g7Pxn+kCjXblKYK75oi2AZy5h97h8+Hh0jv/25n8i0 8KK+RSENEl9npZiL0kjzytmVaAECI9PqfvkHnoqJM03caAKGrv7UtqdynnmOpQHSyWQ2ZyMkR4AB BSjYdTbr9rIBFalm+d12X1SObCj4M0M61yifDxq2KX0FJAj/ncPx7FGcasMQuBS3p6KFrHxLqzUF TkDCztkmxWRIAmwSb38u9qUVzNwS1MURvmfeJD+eeMSPDF13CowYz2b660Z5ZPsFQ3ZnDyiVyD2p 7cXnIzDS7UgybiMF6fWauOfQYRHQ0a6Pm7pGnKFLnJj4Z8mu9OXTyMBj8RxKsSGr/Etr+urbQpO+ DCkbbTh6id4l0i9QdTeTlHJYDlwW5WGLAVtPvqjf1mVGC4DE5uMRPNIvN04KkJaWy8n+vKZIFdc/ Zmu554tDdnGsIq10zgqz50ivGVJ1cWKQQ3yx2x/gGbgJomiDCF2SgLwcTHFCWT5ME5BHFvmaH9Wr PNekr98e6bBCEUHQr/Dwy+ZUUK5U02ZDIIs9wP/i/EqivSEIaVXF6BNah4vSZNL09JnhCOv4BHsj vnpXGdK/ypBazh+JgRE1ac49C+UT6oaRzl9+YKSMX+SDYn/dG7SoKEnTyPfjwrwInpZL7lRo1b7u DQgjzfZGdPXiwBrszWtOVDOb9Cf+L1VmbQ7zgUNHJKlGg1ScyX6/JkQ4NkOmpWX6Ysdmoju9djVl 9JM0mHcD1Nnw7apHK+F43CWf13Somi0wlAq3L7rF6t5LtlS7fV5TIezr9q3JagqAJI/WP/fmNRGy c9OI19A8rbZiuPXSsiFFuwyCzyf1mgXVOyvqcszp1zEHOoWQsZCp9EzgP0g0fVKMCgK57cKNOU57 TYIqshh0iioyFywcz/Kbgs8KflpfPX9ecyD7a2pzmnpwMnbU3rxmQFXsoJPSZdeA6KZJvMf0kqxM Hj/6lkafFO+t3mbxxT6pU2RBjVuegeee34lS1oWgdAjSwx3VPykqgb5mP/VG4yZF9OZXO1oFg+kP 6PCf1+SnPq6TmT1rMENrvt/Ua+qDD3FSfG96x7okywlTUq8fjY+anTfzmvlUec2Dut+yL7Y5e6wE zRBDhTQbwyYiZkBByqk7DKuQtfB5TXwqhiuMNMBA26Ir/WSvgoWeanh/XtMeXjrFPyvda3Bv8N15 TXoQwjOKipRla9J2b8aaXVQEotVbgRuqjAbCzZE4Kn0o+iWOAScLwOOQ/MNkb583OWFX2JdBHyqV qQRfv6Y7+Kp4cqrQoNXRoGilwE+OFVUen9dkB/Hq3lSMOC158UXR7CgaQ/uh9CGuLP5JFcZqMpVu FEjNhil+zXIQjbU3TWau7Zq5Qutq0ikcXxXczcSpzY1YWXp0jZsyo9T7vOY41bUNyD+yRd2yNY0u jdb0a4bD9xu2NS4+nFf3rXltJuKjKtR2a9YDGuhnM+BAhMBG95XEGArLBcE4FaE/CX5pvd/8Bt8J KgpqxJ70ptN8oUCZFoiBYi0Ocwjs1AWL9oY8uwWghS2KOAvIG9uc8XltJCIkrv71TbExuO+5ee0i 4qgmdM17ccEr+mdwZt+qQz8g+2DIz9cmYr3YWIpNaPGDgwJrds4RO3wYyc1DO0jGyGhuzIuyTnrV IB6rm0YVSS36qmBQKl3vCkWw8K76jjhtG5fS8r8oOQYw5rx11yeEFlBySIPcGLA9U40fsvSi/VnC e7A24OLX1fkTF3j5RFiXs3U0sUjQAQAl/Ydis66KYe8anB7ac1Ew3RadHji/yxdgNz4efFtmn6gE OU+Cu5dvUJQhJ5aDKdk4o1CTW+DqbXXEOfTZ+xlhhjx1VZn0zONPOmDNsgEthybGhsY9KcMYIzVQ D6VKKPOXXkVliMJyIWsoETnExatQRn3qpACAHsXlPb9OEPDChTWMNug9TTak50i5GXBoJkEYTGRS wCEKmwoTE2wQpT9ORS8z+3Utbgc2ZzHYoLm64DGssRn4Y+gnwsfHGIxL+xMlyp1FUWU/SIvtz0m1 l+4itMTeU2WUZ+mmyuwOkU7R7jcWJcsV+sg5G6HTq3V+YzRBVAhq2wL0O/MMr09g/vla7+JZDxTN WDPg8oKAtWCe0Cohs4P3nVmYZUEZojJLxLO1ly8qQcEZ4mC1LEwxohg0xtfN3sgUJuJDbYHgBHVY 3LKTLxkM9l8FY8jdydSzbydTv6fM2apQCBX4YjcYIOtsP/MIJfzumRtVaMeR5o+W/tAnNu1doxgk 2WqWodtB2ye1N3Xvs2Xj8845Y940vr4wANV/2POzDYqyZlrcdsVjXvVeiZZkZm8QdqINOYr096wZ 4vCMQUMlxbglBYvPBs0ZVel1CElMp9sMG1+Seq2lqq5zlDUnnh+g8X1hmV5LrwzR9Vy7n3fCWYU1 gUcg+qth5tw04eH+vOfN+KEgwKRpHooI0soNJ4e4slfCZFgxOkqcqUENH5zpi893Elng1L5o8OsG EA5w9KVhH4zeroUiE6B3shn+hprKL9aj1tZBCFpI9mVtkvfnnWuGA9RvCBJFCLnms0FR8jwpvNfb Zb+YPvw5t5YY5ZrpkcIPLMqe7RJLuuspkWuXGBjBq5KxAO2RtjjYoMZeAc4chDgnCFF6+J1ohkM6 aRyeKbB7LZw2wqPk78+v4fPOM6uCe1kA0ogDBma3Kn3nmeHyYRszG28KNDMxzuBhnLzwSuyCdf4x wQdWdYB28sWHX+fdzxXISHOqxg1VgR/yh4r0/al4YR7SrC7eSWb8VVLwCu9qC/fnfLPi+qCq+bxT zJAD7RuAaJ0HYTthqLg/URIN6dM29zQenmE6zv50IuU4QZ1UpuD+hEk0A/SQcDcX7Q80Cak/y57G ue23dGUL6RtQpyKj2MRlROeMkmhqXZx4j1a9Fs1PF3hxP0QGrc87u4wZ1Lb9YW8I+fNIngK9k8tw wYNLWbphgKA1qs776qWawiU4CgYwe+eWMYdmYIbFkS0enxl2lEN3oObFqwI+DwkIZu/toboUver7 /bUVf0wbdbuFXDoZbpVw9YkIn3dmWRUEzuOP+EDow90K9Z1Yhn09gerkVm6uNJMHoLqsbM2UJFMA eqeVWQKH4jQ1X3woiN5DowYQrJVOApQId1n4IundDuWbS78rete/uvBpica5Lo3z3GFLd9iJaJ93 VhnvMG+oDusZllI9Qr+TynBsSXMZ0+c4w2JRpoKXwaYbAxu7ze9pNKp4CYGQoMnFB8uowxaz1ROi z1mdparOQB2G6U7/GgpSCeSdVPYkQUlOHmm7ngxkXAkIOq/6eaeUcX/HrzuMNVjPvkNhFg2plC23 hcU22fYdKjdIY2CrIPTOKLNkAd3mXn3xLBoMV8o/4SvDlpFUvondXIwn6DoL6kJB1s87oawKTYl/ naoypV0n4DmoWQpLID4dlfL1KwrRtJuUAG1QlEYT/9aWFRfnn5apN2wKJRsN2C/5dzJZde1zznxs cUgZ3L0Kidf8xmDmysEz7i/GbraQzGOJ3M13Mlk1gwFcf9MXfWN9NtNcOlfy551MVh9Qub4x8BEp sGkbFDafoQ4/9jAHs5NGm4lQ0kgQQahsl9t7J5KxFaTOvHrQrTsx4XxQp7IjiAyl/NmuKe1qqHFQ Mpv4anXmZcDwziNjW4Y9eZxOWwxQDpwxD9CpzD/vPDJrWjy9+YIrsVAtjfsTpdH4NUBSqVtzPpsc 4bkdbh4N3QBjSb3TyBilNSlVHp0eL/JTwSwEDJ6gCVEfahYQMN9gvkRRVe8FLb3rewhaFLY857n7 YkF6LjMYWGl/3mlkVSqeT6ExUTrJhZMbFKbR8LnNczqSXPMcIsmVMXZyW5o1g95ZZMxxsUEzq1DN T6F6gsPChELXGAAb+gopIzIJKn/kUfrSu0YbRCWixCkGF4P/QrtULpzYoPdEWrMhC9I0REHE58RH OxS1ohsrsV3Mbbsn4oDW+cR8eppBPDaFvXcSGWftzKDl8svFM6F0PtAEuwts0KlAElFkwNCgU47P 7XI1ZYP3ziHDL5PiujVRRYYLQzSO0DCDcb5hcIDm9xcGPYSSx73Dojwa0BW0zIf1ypL54a2V+IEV yqIOG5u+08fY6OBfVNkQF5+b5gUyxSJY8/zp2XtFiVCEc2bb44JX7FWj88P5RVnbF+bRgPETH9/O z/q8k8eQZg6/wbKkVNEHrx6B3sljOD4DsvDNx8qLCCONB3dzkaYyPE98547dQnUMNhO52Aad2FBP /mcoxFMVZBku4l/JqIVBKPckiFiNd+4Yp1jqIvbtizZoWDQ6cT9/3qlj9dFotiwRl1e9n9c7cQyn bklAfxoqasxu+5NX8kK+ozHNAPROHGMhhsizCsUHuTjat6PZyjnuyLrdUNJOzG0BNaLkVDceS9Gr BtuD3giwQtUXG5+iU2U6H/3zThvD3zR9d8oorIDExPYnyqIbm+ZULaXL5DR3Cgy0lyHrxnI+1Dtp rLruECE9tvj24FraFIYbbCqqlD0/siB/riQleXzG3/LzThmr4v7DtSM3X3h8epUh5g+qu887Yex3 eP4R7QBtTt+eKIWGLjbkdDTXaVQDFQtauWLBh7qcJ/7OFqvOqZuVnw4Xv75GwfYId4jPtLFP1hlj ya5G69HkTylc8U4W4xCbE2bK6TbjN/D8AIFGcP0JduXzThZjo8Nnhda23tbp1Q5FOTTK4zYXEx+0 hKpx6070qR6gJ9h+7NS/M8Xw69FN1EkT52KNDlC4zj6xE11Rj0n4c2BaiDn2eLpARe8ZDZlJDi+y XyjZgaun/pK9wLkzP+8UMabP3kXEzUH5HJLrtDfv6TNuBLQOic0WdqP6qDDR/0dKDGKy4PhEbejE NmuXWCcXz5+hfNbZ5wdw7OSFvP/LIof+bAiubJ+EUR7+nSCGk75Umgq1mgzaspDyy7vl5J6fd3oY TsGv4EPIGcWytT9R+txlAdynNRHZ6GQTsS3LfUA/6apP38lh1RH0lH+xxSfNABquzS59y/3EjExG CyncFEGo+TF461vvGnXJsgrT4ou1gWbKqi9OcPi8c8N4FOrXBkGigiR226AofcaXD0np4W0yh3B0 jioF4eBYj5dX2IUeBv5hm4xqzj/TrKdO6ZG7WU+dqmlJV5gO6WT7U8xLBfxIete/cGM0/cCCjIMN 0wRJXnZV+jr54TsxzEBgTwkP5lthZ0E7FKbPALn0Xa1CbZyfsE1WXKPyvEvz6z3sQyeeHTVRx2PO BUO3tmqnGix+TjEP8iTPqcJeg4sKF3vVqAfE2ccs0jxDc4bBBKZV8pg4tyOfj0rUC1fA60EKCAwp 36EogwaAFr9zjcJ6btnsufZyo9JKuLt2KOpE0x0AcMzli+/QBLClaodoRM4qtlCzBYmSZM51hNCK +bwzwqqUa1C8p7sYLLNsJcDn7/x554PxDb8/sYJWG+VXBT0ME2g4ZFEAmU2yzLG5TCZpFcEgdGJf EmnunQ52r/jeNHFujxTcSU4w/hKgd+6NzjEbrQBlQnHpHNDsR6j7y75vUJVyp2TO8iNzhoYe/ZZg 1/J5p4PZJ/o1TIXp855eYryTwdggRW+MeoQUy9sGc0ZlA/AYNC4X4AqV6Mwog54ahTUFoXYZ8xOE +00dFfZZT5RilZb2oPY/xKnKnRViIPd5p4IZyhHA+Dx9sSYZnOA5yzjX5+edCmZdhq8gPQa5Y74/ YQqNX2TPRig4b2+EgnMnWj8RvyDAA3CLvfPA8McIKJX2XRyEmHAfG97l7E1HBAGaA4qLZIcn6neY dGfXuwZBWieHRAAtBggao2Ypd471eWeBMQR5Ek1B6ja+hz3vHDCCNOlHYWQmePMaNBMDFEMEwa3Q gvQ7BYyfGNuIizliXzdHhPYktJBlBdxPXTdlYkZpLvAJ4Ot0LfCG3jW45mnDCRdhX3TNn0R0Chq+ ThL9TgGzLOFBI1LUCn7WtkFhH3pQG6d5l2xV06BKlbxSdslW9i7HOwOM9zxT4002BRdPhNI5XbXT hwouS2OoCkPLKwNORvkFValghfFd/4DOw/en+SK4AvAK/Gnr5NHv/C92+S+iDDuLG6iUO41/539V Cc6CX5xFLGid9QSGuI3hOlEUoxuc453+VSViALKhemUr+Sc2wUpIufMAlVVmM61qClQkDsOuTxfR QO/kryqfWpRf8y7WI8uwRCGzYHzeqV9WTH0XqTC4vIC7d+ZXlUMpRBZMFK8uOzNrrpWtSB3TqQXv vK/qLmaTupJa/IYv0C9rgzLdHRu1TMkb1kqYYXPKM54b/p31xVEJa/hOaRwu2p5Th3W1EAufDu6v 6l8Xv33IzZlKN/cnyqKBIj63losG7jo13DkfiKN9K+wvbH9iLAf3Z9Lilov3EMf5KNg8BdanV+Ic oS2AbhtaN/mqKwwC6N/ZXiyjeGyqtqf69pQ0pJpeel+fd7JXlQ2JFan08UBATbdH38IUelNBPhfb nm20Agi+mwNnpRAcK/gWo6FZwYt9O+vVnTw5LXwHMROkk33JwtqBbAAox2AiYkXqBOwWPyO43xNh 0KTWamHwIQDdPAIzng4SxAeNKM88JLvzueDDDBoSnrSpkz6He9rvNo1tCVuO5t9X1INOSg2nYJuz 3hkG3G3bHDIKOrGnDFG+Nv1Buxn2Ofk06VUDCgZlldqowxdxvuYcS1iOxKeDQfwvsB2o6F9T1B5l 0B3+bhQ1lqCChJRO+GkrWwcIDBXrQPf3BBoNOsoZUFRKi2MRF7AuWdtzfsFTTVhyETikUxGv/Jk9 sv43XbA2SSk2k1JcG5MLi7gVT0do31uASXuhU/DJ9yfKnwfIUHOzsQoxhWSgMuQibjIJ0K6+rx4h OQbppmOry7Fvl2PtNEGqIwEeM4aeBVcEApvkq7luDT8I9+1RC7qSpZJrK75wf84mS1YBZR6e/he/ ieXFuS/2vrsTN6ClNbWtQb/3FQigKQtzn7aKibr3qAPdxcZtvfhiQBciCDr1cgcx8BQ5KCBe4MdN 01cxOi6xQD1Kn6eyHvlrT2j1sjGBqdEW4WyceILnA+rg/L7fM1QF67xtoB5yB+GDtZUVEkyGTFKU 5YbBDMrVSjthlhg9SqCnKN0i6rQrugY04oLRAH3sT5a4k0TLFksbStynB24nRdceZdBFQA4j9Uwr MfYYEqLFN1bwdBCjnyYH/l2IBc1yD1FEIIRyfKsUXSO5Eog3SiiMmWTFlYnAOCXqyQ97mD8vqyyW Lx6CTvmJnEhD1Am1LQI5qMSF8pTjMxOZYB+xR31o9IShCrglDmh+xxloK3lZ9RPp8Xh0h31TMjQW H15g9DB/hozBCXgGt5NWI/QTSnfyaUnSc8P+hCRCDsF6UR+63D407O0Qjwn+q0ATU63jnATYo+Al J6Xcu8YY9qrBFS+LO3LltRjctzs3t0y+4r++MA4xKDJwb/geNqLP5dKLPOqRArHfJyPXTUF3Ncm4 QZ1/TpQiKvLSeIuLFxgZ/fBFBc5RIJu1iZTi+BaaertdmAKLBvyMqAdEBU6QzW1RCT9WdZWyMfF4 hCW7OXTiJQ+o1PJBWH/PocGVX8RDo0YH7Z3nUHI3/CPYJutotdMusUdJ9F4aZYy7OGnlxCC4wxFR 3yEOLD08Iv7Bt8RQwSlz7ET3qBNdpSkB8JItggIVMKblRJ4nHo+ACt4lEzp4oyq5ISgCRJNXU3e1 ElUWz1Rx6dQnQ9cWmZ5cbnuE41AbcRRi7Qa5Zec10eM4WTL8gCdDdIePlBCtC/atJzKNR+cGB7ni ZwRZkEJzH3fRJ3bij8lmnd8zHo9O0P4KQWAF/pR2Aa09zKIbmmMlK3c+FXYXBHFBzcppYYtnCRsU 9aGXBqRTCq6zP33oCjz6FpZswPepCEuGWAHvgU1Fya0qtetVgyqVtMLaxMxo6U7iJ+U70IhODY9H TOZfeRCjAeUfRWWO8mh02M8tP40WtqepJiLu+bCw4NfNPHpEjWg6REvvoT6yDxzFd5KSluowCJ9w DwkippfhSLfPOuxVo1mPUJpr+OLDsEFOCUJZxuNBIj28jagBCMzQq2dBI8qje4XO1rAmUE/UpVMW RNg5N6hjVs9MccSNaLYRp7g9pHPYLU9pZDieSN1uFClz8qtFN40IWNVhi3rbI+YVslCFUKUt6nMA e6RLem48HU2bL2ZcnAyJdvsGhYhoFGJzUksrQ66QstrokiWGJdSpAyAmkeGjRjStaepcagcJwD0E VsDkFONgGWxu4/1k+ILxM7ua9vOc+YEfEYA1bVa4RE9d/qXMbVAX2C7g8WiO8c35LpSLvVCXEWXR qAlarcYvaJWUUipw0O9KChwMsPy+oiRaUJep64lSWrY9SJxXIy/6fF/AlfH7GriVM03g53PFT2xP COUY4oJJM8AVYc8vpKqiP2/e8HQUfr7nYINSJ1eCY0QpNLA4bbLNRL7BsHb97i071HeyB8jdiVJo AXhHLXe5TQ40nmmpAPtxiL6KlCqYOHvs/YYf+o+PqAeduyRKmALhj/ohDwc3LaDy5y+dS8bjESXs V5cVX1dqN/xEOTQCC5B2NubZ7Odyjkq0JVPEQpMmfl1RDi3D0Zc54cSYavXKDVpQu9n0KNffEl8K FVzKI641Qiw0igvgAu4iLH1D84T5z8kQRwSFHo9oAAFE+BLm5aSOEAvN/aHHKac81UQ5TsBIy4Y8 NMPT/kRQ6EKzyJkElUoP1mWcK/7ki5sePwPITSlTUKALbKf8TME6seIjFONgjdFrvwv3p3fZA6IA GHg6yH9W+cp/qGi/b5d1RE1o9NYAscg6PmUZGWMZEXwRyuEeSCPKn6fGzJvT+E57DrwMuqzn44X8 oXC+p96Wxn9DFozbleY1Jo6EiImfEaU/bD9vcjW5WBPx/Cb5xZxMHU8H4aftr6+rMhzOuz1h+nyS bty5AkjBVqNahZrGtgr1XMfWBRpx+pyF/i6+3AkYhG/ZpAWO4+TT0gDszPEmRV8vEBGMBv6QIH9u ctAmDhpynz8MGhnyXKLzjLEXng9q+Oo7RPqqhtX3+grz51XIhFfSc8J1rpb+lETDo0x9v+EfWNyG 1oBZrj5X8gCCLudwpSQlIDQsRxVQoVBXBRcAMlk16tmmww8JGmVMEBMhRFpUpLaCqfgP88yMx4Md +jWIH5ido39qejdhI7qiMt0UPQbtgLMPVvHsSXNMeLKVYSXGjDJo061T/OVinVYoHcNIQ5N43Pfy Oi6E9Az4v91B2GSJMUMkRxGdB9mqFpszJ8KxUJi3hseDDbpIDmzmkiinIzlmlEGDbtzgj0ZfuvNP dM3EoHAg1WcGtArGbzhBM+QUUo8E5CZf/I5vDVNnXmEn7tQs1aBMZS44ilEqxzqtKMvxM6IaVaoK GscvvwagWZpIbji558bj0Rw+fR8glMbPFT+jBDrJpy4rLwTdyW0h5TIy6OgDJj/3J2QUEsmBxrwv XoElxGO5HpwghMaZFLYJVzjBalI7SaxUqgbMuBPNHsciJpqLehxnh6qsxc8NicejHofniMwHkCpR jlH7E2XQgE+eCjUpIHeoTTjdCRo+JssBpJAkpcI+9NAkTHyw+fDBTgJEVwxaQp6YTVXcStsspD+4 42+Tg/0D/IwoAm31n5svikCYZpMvh6ECHo/oGB6jVU/gj6GAt3YoyqKhG95l+YJpRqWuqckdz+58 J0i16ghFaTTExFicDl8uY+4cFoglcZoBvK+ESyr9oNuya15VxrJ3/UN4oq5J09Q1/QhB1YB8X4BC Kh4PhoU3BLFgI2J03RAUdqJn4j1vbbK+h5pjG8bKjuQAqJON1hkhOaxTn1PyxS6xsk+ykTiVwywV /W1Cc9G/rNDqhKKv8w3m1qsGIZqaq0XOGVyMDza7UupTJA08HrXJvAtEYB52mRNv7U+E5YBSGkKn 0b5BSLYidXVXpx87uWhb1Iheprm6pi+2PyeSwpucWA6gGMyWvhFcikYc6kCfNVPAf0ZZdGKV2lBx 2cIsusGXlo36NBqeDoqw8Z0mUt00X7m/GfIJ2SOj1zhlOaj4SlPatlx7K02nq8xQ1I4Bd6Iot8Wh HAkmYVNFRkfuqS8QLiKI2T/ImswFikYZM2pCiwaWZXCUfda258lPRCY89wKeDnYn+cflqnal5Bt9 IiQHui6nMO12ePApOBksb6ejFqa8PD1RFj0kvcprU4tDESvxmpylFjgbdZEJCbYlxBFFh83BSCac URItb4wFj0lbWGTMQnWW8/c7ySKejpBA7Sv/IZJ1LS9RZ0gmbLjV6bpEstM2N5qT4jrfu7CEUvAJ RTmmuCpqtPbrajR7OckDrDGpmjTOhqjDyoEERHd1fYlMuIpe9S+6d6PnoRbVYHuYznrJBU9H6eE3 2Ymt4JT941pR/owXb9KVZoNsbNVgqxJ1YqIcxUSBVgTk0OnpU7pk89ElA6e2qJIYUAFbWX6G7K/S tXNcLP30V43UAtghU/OIi7F52pTKRqsVT0dUQq/gua8UEf8SjQwb0I1s+JSca2m9jl2EaEWNCtsY BZ8VAjm6dAnb9MX253yYwORyCs9hxkwyxUCfopJq2W//mXfXivvPHBKWtH1RB6iw248mwK54OgKS /RpgALO57tW1QvVnll/deqk9LaNiAMmxu1MteQ65PzGSg+VXUydRmt5NwbmejwqKpQjOsAAibV5D t33qCQ7PHq53w8+IOmTU6DdZjmlTZjS0C/vzlaqaYfZ8hSP5KQ7WcN6eXyGXsAzi7NQMO/9knIMN TsCPOWEAYa3PK0RBW1e5dl8cxNHgmddpDFJPcOt0qsDdnlUdfjUQBwXgV9iA1oSw7O2LoVh3NaZu 3ng6ij7fTJ7CuusqSq0odV6kWopqCmYEJAZQvZ+TXqy/ipzfgvMKiYRTs3ciI7j43XVOCHwq2dHc cyNl+KGjATT3IOo5Hn8nqrmsCMNBrhxYTdMXywz7ttRnpYzHo9TnFqf8FgGlGbe9saLUGVo90Pcq NkAtyRqsgKRbdZoxbGZpsUIxDprxtDWHLwaUOiemVyngQ+j43GCdqrUoLhvI5Bm+tjpAJdG4aEUo jsWyi4WtLQZSKJSqxkfTBx4PUBxXNAn/Lhxdy7xqHCsWg0ZR2oopAhUWltS7ycXMMIHiGJY8rzB5 lpvznsMXHxCOUxauQa53O5VMzWxWn9ONchKVULs8gz3sXaMNMvMrKYlznkImT11srUGup+Hx6AYb X/kPvDDMapY/NKQTZvAMOG6TZ9G4XKdO+DOdZnu36muFSGhTuhERYzxEjBNhTnWhHn2bIAhxWs/p bgXHgMqs1iBjj35F+TO+3BOaqRWoRQ2gBOS1YC654/EgRO/v8gIN3tqv7ugKodCdHqpNHPjGxI0J IvScdYDOV5dNEGj93YVuX4vf8PjiOiViT3qMxIVmk+xLV5bC2qDOTwyhEj8k0B1lbxWkX1/UAUpN blAQW614PJrB3/JUTqLAAdW7Q3EXGjlQ7S4cubrVp2ubfP8JQrQo5A7F4tC8mhSCudw+PRTyJqcG 56ZbmVLr58gOJOwQvAE/0nKgMfSq0ZRHQ2bZ8BYD059LZPQmxu25d/H4/3KCkPbWeenwO8qh8TG0 1dw6ZTcDtgKkUC+KgyNrimdHPeghza21qy9+jZ2oBp/rIiji+R8ZPWByVUFVKeiqfZs57yiJbkKS SYCUi07QOP+TJD06+HhEVhnf11gB9Jz+Xdqh9ywaCLBMbV/fIQ78pV0rgTKmibubucyOYBySdOms kbVcvsH515Ec8h6DXyH71fQbq0rCvAVElWW+a9ACopXTbHn7oiPEOQb/gq3xFYNr7ArbMWfGODZd PP2O+YSYvyvpYbcDED/e8wSIUrt2bFcl23EarTJMViF3woBB80RRPwXWhHAe5RMr5TAw+2jJ88RC Z1f8jKhFxt4PTaW0qAW0EvMDtEwzno4kOfJ3mYGA1O+ceYdsQmBKzwGxKqwNmy6vXmhTzA4Z1BK1 PTGMQ5Kj4y73ks8ngrKMA2T4nJsqE2PQDTD6YOxyMmHRq0aKJWqNUZOMi0Gh0efGX+9cwXg6YmN8 mz7oy7ooqR1CoRPYBlexBLW72AaD5lHS42jVxMV3CIUWAc5q+fGY8Jx6bO5Jev+5wHpN0m6tmbzA gR90ww879DtW5GBd0mS612zMDHHELreQU8Tj6QilcGtUnhR6a10yxg4V7c5Ne4KCK4tTGMvic7rK 4rA/seMTwzh0btgD4vLMeE58aWI7wXsmFd1xGAXCbE8eaWqRUbJkh8rQlMyGd68v3CDoB/LrgIUf no70gL7vr5klL+z7EwpDU9dXGsdsJlbTA9pUkeX8Is9uNfwOBe0Ulfe6i2eIkCvsNr+AWCJRmcAp IDie5IKecqvLQLbpVaMOq8hyAkvV4YocZ8M3ry849ODxCES2vz8v/GHpqo7uGMaxCR0zvS1KJUsQ SFlj5ZC7+fGJYRxCubAW4+L7k05MLotMVJCmMvViz3+F/UEV02+HVWBd/IxImF5eM6zBxLTm8QGU kCXY+evi6UgXOn+VYBya50vU3WEHuhLG4Vyn4R5yu/RuXMJS6R7O6BMn0CJQ6iOrNziP1aGnCFUm bA+NhwljQF8gg9+3b4tj76k3jaKPcGeS7U8u23/iHt1xgDnn0xFNZXxHHxL02gWJ7yh7RnZ/ygtT JkGDxvqGJwxtn1/A+NX2J8JwUIn2nAIenzHv8Tk7v06lTN2xwaGX2V0Dn83La1J+2PQim971LzEO 0MB80d2Os8joen65eDoqUOf311WoouEoIM3rXn8o7GJzMTHxbgrrrFCRa2RJBaxlYgo5Rflzf8Za tnj+3Pug9yfO9MQhohnuQlLY0AKirYbhgCiZhB8SsC0JsNtLpSr0/8haPBHg/D2ITO8nleAfEEE1 vzOgzsz93mE5RY1o8sE6yXpS7ydxpZ0gRGYjcRwbyWeRA02cQjO2MJxosVbQqVHTKb+G1fGJmtMw MGpJhvEZ815v1Y9tbxtM4nXBC4gqoWQeiXOPsEV8wkRrfD6oM9ovmx6Qg9vlzJlD1NtjsIBowmui ZpXzMCwgVjFOGJDdySwgUoTmyBIsIWtQyyU97V7lGHSK+QypLOqWnF/HuXrO7lMyx9AcBLTih0Sy E1NVPPmXIBZ2qUCe0ngLUnZyOv4BQTp0xW+ozJE5QLs+IinsSQPVXjyZ7sA32XWfk2Gi4W3h7swp 9CVkjtwI4dXim3Q2qFaaTg1ogCwgIs5JGqStNNoE9Kde3fa2Ud+Vw9RGpTsuBprKY6oGzanx+SBq dw9KamcPEEOezy1KqdFj7zkZ0xLxu7vlJ4c1RN7NzqPU9QcFuyRe2Fb7bD9C0RA8W1vY3w75zcTi NoFU3c7+/Wz2ppkVbcr84ocEow0JdCBDt8Wa0xiOsarf8DtIIa7jCiVK2R/RviNS+DaF/WmkjviN i4TJoTD6jJt89iIpLuimV+1SrBZtPY/li3fPoCRMpxWqla3VSKWHDj5gidOUpoQO4u2PHxLh73it ZRlk5Zs8nhxiyfgJtRmef9+l+uyS5rHk833dcGGTukHIrS0hpxqMmwxjlqq7fY/hGDMKMAYzKBZo JsXR102RJkS3Fv3aATIrjWywc5booV1pOHUlJce0l/1LUzJTklSLzlLFcI8f3Nx6yYiNeWsQ/NtU VUmP/VOKFTtgiLiLTaJhQKQ+7Jw+iT7Z67WnSWGjWj0QVfDmUJ4kytV2Qf+PRf75s+aUMjsWaSB6 VCqFxo74IRHhWVFpKSox8WGT6NwJzbSOG5+Ppom/KKvYayJYbI/CVJvGoMmsHGEM2i10X+VWSPG7 BVSKdDtEcsJ01RfHwqxTfIwl6dZ57gY2PQAIJjW3wL30St/JiTiF0tEkzoOi6ovm0chRk8r3yceD r+0SfrCfgy5Z60kBwmY1hHEWtfI1sG92uw3S0jmw37W7iU8Ku9Wy7ykijpUrrj1OIgZHbEkvgFPX OH0soPUiv/khuop4oQLpLb1s0CzCgB8ea8sXRSSwc1WvDeaSoRFLa98lSSH+sV8jn9DPEIVQow0u NynTBYyIM0qUsKKtPRnxJwd+hoA1SPuXtB4ufo6QT57fOfuNhAhzkyryGmRNP4hCRvyRjUYODA3B TdsSDuq+qGor5/8ZG0PvGMSjK+PKLAlxr1+Z0hw6GjYS6+QhhqrWPzAcX2wRbsnO8oY3W2BpCMQl gUNJasBpXmrmXGjpbzIPK/9PO2p0MxTI/PfoPseWhlnQj1584RbBfVF2YKfo4eNBtp2/s23aR6/8 nKIw206bA0XvqzlKBuCPpW5ABlCz+x6FStKERI9taidP5yhBJ7ygz4fSFhRf/isNDaMMihEpVc7/ Sfa20WiIYreD0Nc9qqavZ/8F2EWHcPDxoLh9HMCxHchKgfn2PYo617DTPBHbsELnn6a7GqY+Xau9 sTTVpxZm2ybRle5iBIUCgnUpkpM+f2YRxbeREwpcPi4p710Pf9uIRU/2s/wiqvtFnAuyapR2bsfK x6MR/vceVWpeXpZUDp0N1zn4p3r2m78XitjDkqX4icob3rS2RSEPUQSpITmY8XjzpoXN3pv9WdTs asXRy6cMcEIQvB1FpKs/9DZcZCnUIqZU8RZ2PvmF3DF30UsGM9h1gSD6t9Fkm7cgCdwNkSFja0Zf 3mWruuHQsUgWj6jsYd9amGmryb8lxrCvGANw06sTBQ4u4vmdd139RUi5k2mXa49Jyhx/SARF66Jw ZF94jjqgPsz/ci98/F+qt2wkgZ2UL1YmhwaH6JB1lYhUvSWpRmwpaqwK6sDJjFxoQzBIug00W7wV OcHKBJAMRpzk11OchInUpAB3T48s57TXDfIjaQODW2yLjWKLZkwYXG4+H0VtL0ek9I/gD/U236ZQ 1gP2CKeAWlba1ibK+NkmuavSSBSgdNumyKDF6pCcsy/eSoI9wpbbMy4AapMDkE9FGCAqAbMxs+da 7W2jq40p9mjbF2GqO0bivK3sHf8pfcJOEgZX/etzCzPtQWTaFt8X9ZQJvOZlYgQnJgFXqZItMDuU 3dSrozFMEZCnVvpozUznWKDowUciUJ53m+FmOJLNod2h2JsUKLDFajawwHlfnYuTz0fUoO8cqfCK fPwOQ8NDCEDTSdfQaaSQ0MeGEhTEVqeyph+k2PGQuMZCVAMXD9wTzXlK78Pp53yKNngERwqNEuoW mGNm85eNnEjY/EeItEW5Nnx4hI89vx4+H9Qj5dLv2MAE0LJcs5Yc2h7id9M2Uk5hH5i0smYjKUA1 G8nwvN1C38Ol9HDro9tP8Q/G9CpFm4S8fbAnCb1xGhFTLOGCHP1l/5qQ5EbvZ6rTCqI2ga4lRK3g IAXOhygs/SCpHgF+udyDFBofAnXeE6Rzgf7siQ1xhiRNEIji69V5rjm0PhxDKqVt++IjgHY+V8I2 ad47Ae34kcsNka3qtKmPRN96/pA/gNZwgEy+eIcky4Ee2H0+H7Qky/eUFg2k0u+UNofmhxDORZak uA0FqSwa1ej8pyKMiIekwPxQnkXApK27OJi4nCA0lW2fAgSHiQNnan8B74jxsiLSqWyTvWzUa5MU nJBq05FqNZ3LQZXtuQj4/D/TbdEZIGZ2KeU58D/E5QakSHFh7iIQ6PoBqswRxX1kD0mB/2GimTRY HO0uDhVBvOu4R5BNn79Lb5olTUJBqzW33Wa02ttG037eal1o8k55Fpykk5cxVQevsPP5CFV8O5IU BaDrbbmVW2iCuBv9jbfAD+efjG9/ilvSGAkYISBXbvQh8FqSH4M5JZebcYM4XYRLR/LNnOjEb3zi pCv2cUfa1D7LsQsiE6S8NQjYy4rbAq6WgOkp8/FIPe/uUbEM4MvFLoc+iPi9wr4lW+UmNpUqN5MV hpFLbh64Q9iIg/e3L/69JZrLFqZJ6DHJGbnS+Y0TkXlt2iTAmEMrxKyxZMnZFwFrcCRFrVp6PDhI T0jiZb/EkbibFKXcuLegX7U95a7GrppkdzFul7692xaYIV7P46lGwA0XJ8NcgMAByQJ28KnbNdyG ewNxf5A99iHJmvauATYiE180icLmokxyyXXvnKM1+HiQbl9sDelnnQ3MW5SEbohQf4YWsl3/Na9s 4JHkCl+50fVJOxS3taUOJ9fI0i7+GqIU0M6jUvdZJtGzYBWhHDk7hDGudojy+fwZwQ41aaAxKlWH PkI8tW6T8Wx8PLj8rw4j4w6Sh/G0kUI3RMQEuFxaMBrVUqWtwlH4EWqKKBjFfi4kVy2a+XFxcGhB GIWmQ4Zq9+6mJwfaUoboCIcZBs9SFyn0Q6yUEc6VhmRc9J2hy8kv51wzfDwIRrf6lyYPWSB3ZBs6 IqKq7FkdEtS4/SLYKNJtGJLevfoPPBFxrcr4mJl2WzfTHhMu3EjzBDGGanAnih9YESaUAJ1N3Wr4 VfGHRCh13mp1NV8UsEHFkSlizXw8wtl8o5AApj4l8c2zQ1dEkA674HWgWpEVY4YKYnqy+ielhF9a bIu4RIGV0Nm4xqwnIUIc3lXVSAfvU2D/SjWp/TPrbxR2Dm0RrUEyBAGgQrO2SBAmtKknH4/S7PwV ixpiUblqMjk0RkRnsImbQO/jbcKM55pINmLL+zKpc2CMeDLRYpas2Rf/0sAmQkAVkq0AksJe3GIR gW0al0s9ur1sMPifr9Z/MAE1qGjWO/5TT09NuR9K/PgWxdLUDa4TLhjX5aQ9Ufe7d20pnNcwfwzN EbukdtazOFoLmPeuLeoJY7EiOsiE2TLAEWncMWTSRDu0R6Q2fstTbL/pDpVgYwwOk8AO5PMRoPab tcecDMgD36QQQSKPu+Yed9vIM9AFbQ5p6zvdTy3KssV4aIVz7XZxC6hgz59FDQOYRM9zrdEdekPk gRASWnDpIJHbzR8S8YazYvVdDIskADMm5FkvGRT+6Vs3hW2E9MzYYpNEYChym2qECEHi8WgZ8xxM ci9FApvEq1D9/wSG+znPA9R1yeud+4f04rJJTaN6G03ZrYWUl71uNK0V4rhUX6w9kvoWZXFhXBu4 JaYvFxxCj8lxuuo7ObBLlOgBev9dKsyNDcxz5W9YLph+5QkVxk7LoV2iNqlJx7K5iwZgNkjRTwJD huP5oEhmR4G4ZX8MjTPv2K7U7WWji01RWyK6w+Me5sC0eQSFOPP5qK/tJ4lf1rYmn+9RlGQPttmK xZ/WGODB0ceI1cL2HLetHXgmgmTCxHCyqm8z368Nal1IuomMgAjVZoKUwD9omF3R49Va/81fNhqz iaVfli/aI+TyKtc6Q1II0X5QtsyzCwPlLddC20SQqHuq5jp+Im7WGGAPKecTxE4RSW1SmGdbhtSG Lx6SMCWfS/Pavcag8BckH6BxPKEyc+dsMy172eBjS4Yb6b4oJMFyp1st0vl8pJXmaSQzRiT0uT4f W9jUxgxpE0mNDtLuHDsCkzEIiJBvdHEcUuycqIhUFZHqI3leM8jsVN0C2QgWZhS7ov4cmm+aRaoV 2Zu9bAT8I6YNvoy2GNQ/VQmwVhh35MA6UeWeHSTBKoe0be8uxfbjVEVlzEHHrdNiAAgS/jXozn7y yCVzwBy6J2rShhDti49H0Iokght8xxMy2LWEYCOAWrhaqEZnmSTpRjnwT0xsneLuJ6mYi763WQwg BrgNnw9SgNG+2yMFJWC6usM59FBEJ/7UtVv0K8hGaXy7ukzfpK7YHYoUeCiizSJ8hGR50pXlWRW4 kQyECSAkIJBIWAVD21yER7oWJ2Sm58BDMZE4hGi0si9Mt0EZY1f7VJeLj//rcxP/D3fJNWDIoYki poKQnhEJoi3iujiyXfTtEoQkVSvaQhfFRYu3KQslLrZFOQEasqSvi4FbM3lUmgMjPGGCqaJtKEsK bBT1TWMMQRuYktwGBuK3slA4+RkfD6629o1Fpj5ru+T0HPooIl0EvWNaYZvMSRqN/2tExfar7VGY b5N8RKFHWxxmg2Z8JbV0NDrqiR4wcNs1NijLbbKVZW8bNbWp8M0e+1kQejigBvWUYng/5w9f/AMi 2J/n20wbAVTHZ3q3KZSxzkDalOUyIqV6LokU0vps9fJnc2ynqG2qMhSqj23yOr/mU1qRZANgHpJ6 5uS4DXAnP04DgyqFObBTPF+LZUm840x4Dx/bSd4VTcbYfDxSavY5Gxsq9P1iX8L2KBSzTsS0NVX9 J3Tk7GYM3coT6A94uh0bKgogIZpWf2haY5SyzjdXxMI+H14nFJl6haVQ7umi2jmn5g+J+BHcHAFt igNtgOJMmkW2Wfl4cI6eoK2IBKnEp7oNPRXBqmqt3gYAlV2QJLVUDGkDzTFPAAJTRUxHKFI0KKnG xatbVFUV430kAONUsIV6IkBtw6LnB1ov19as28sGZCTpXM7afDFQe8b4ltl2qnz+PWqv/a1oBHoZ 7ZJ8j8Jsu9AS2FSJYTkgyZ7zlQ/3cj9/ZZdzzIG3IpD/IkLKQGePKzh3tgMeM433P3Dh7K3BgRu9 B/waxRddj+x3DtwVpf8GJv9ovhiovXLOwsOx+HzUtN1fqWQ+JRJ0C29REhosovWAbSrW+S/d3Nvx V1sWkib9dLVNEYqkTksAhi++TRmAEck3w7Z0tyrpJ0A4BzmrN5mc922jGZIIxxq0NftdYALWpaw3 1+bjEdTmF7ANKekz+v+8pkjNhAtXqw5FrjtZm6TMYsRRyFxY7/81Q2pAI/HeH8oChtMWTsV7rsgE j1rS2s6d7eIsGCLQdwgFxDT1eKSRr/lRk24lVGoF/5vO+9vnFHGm19rCwy+b00DOV8AuGtUiPTJp LGauweYAYNohPSwUEvFPxvrjEEQ0JE5GeX6CzZEixKzanEsIPvXYOPXYXNLdPdsNUoROD+UyCtQ1 +Zt8kOyvm4OpCG99KlJrUWZ0Lk02zmAq8XnNixqaR8U2R4x1/FHN9+Y1KzoPdWoSA5VCWazJMTLA R40yO2TVDBSq3JrXlKjJdezsyeYIm4udmw7SGasNktj2nJKloyA5ZXfTRdVCfgUv+n5u2NJFa2L7 YuCsrULkhL32eU2GWrod2vIj5RpCerNtzWsm1KyEra1kG6exCUPk+rb/DtNz3D/4pF7ToIYYz62p ffni2eLEh813Qd9gj6y+UiZDA/w16qkJcMxp2msW1ADNR63Rif0HeRndNX5TJyIQmnd+D/nzmgTx r1n9m2I0x51AMSxaoUefFDKgQbsQykBI3KCf38e4dh4Y85hnaXBshkxOKCmjxePxhoSItOY6rOgT WSSVSiDgEsCI078oCoG+Zj/NRA7OLszti9ofMI0Vi7+fNPo1+cHT1feGeQ7IofXZnNfU5/8Y+9Ik Z3Yjyf88S5kM+zL3P9jA3SOQLCmjvu4ZE16rX5JZIJZYfMFGhARNKpc6I08Y6qRKx5prv3l76DXy aWjgyYpzFR+8ObRxlxQCjE7qhZuRXidMyeianLrDsAorYZ/XwAe/IxVooHrug7FC4MDDy+b8Kp/X sAdLx3RAz2fbzQaGRLXpeY16cIgDO5vYgQTecWtf7UsKJWkTOZOMBqLZ4UEy1UHj4E3qeg6XNGTU NeHMwA5k5SGMiUGJzzNVArBf453mVAcCSWzQ5AwIMBHgcVK8z2u0054WdTH0NUL3TgUIKrNG5zEU DoqrXMI+TQEOCOR+6JDjJond6Dxmcj4JNtPgSwdkybaMCZpgw0AcSOaCwVmMxowFzQjwPq9hDuaf +mB1MMzhoMnJJ0oW0AUF6tcohxfG8I2lzAtNjuQr57Wo2BS/wQHJ2/f0wsSpUyY7sDRCIlWC+nLB iWxGyf/jL7AqeKiJKh2gXp1dhQJtoehiI/2e5kxmEtipDhbODm7wTQ88DUoooL6XbHbG57We2JQ6 2+zYdUVUhybntZbI1QqENR3ARf9YTv9ovS5v3C83eHstJeK7hWmQCTIHWzpwE5iLpuNnZUBrjsd2 goFQo60HhPMcap0oVBEdykI28NTRoLWzEGtzPVQog0Wnzsxfl3lD2xfCZBYDRhEygHidRkMyF2jD p4fAVU0P9oez9aL5UVmD+EQNfu6c1dKp5oQ764TxzvxIm0J2PzP3RxtDzMJo9UzFyDRhqNfAAD7O Q6CEs4o+7zS0JtrI142O5ham1SYoipKhaXXS9G7q1TUbnBGVdStq4Na8jIZofqaVfaYPfqefTL6i WYz5GWlmuQ+clYLdhTSwp+v/0qsIDdHJXOSwvbcPlolCJ17uCxkg9Oho3n5vsV5PC6y97xJ6j5Uh +UBzgWnaV4NXK4+fSuYnjp8y9nBkTDBDm+i82RX89MdBERokJ9UakgbboNexpd+kv9np5WNMxqUJ iqLl3kwTbPjgVxcoYJwgVMbe42WAgDxelixUZZGgeuDzTkFrJn8FFr3VWIdbbJ9NNlz+arKKrG59 cER3wsrHntkHP4SQ4zYcyCjXQ15W+kW90ES6Er3rLmZZiIZgk3Utns0qNAclouf9SBzHsfB555+1 fKGeeqqRMvysoPewWdjDbiwq6GE4T//MzzQhNYCYsvPP3gPnbKnoLiyccvArDA3BhqqxFQ6XFhvR vyxTIlMb2mNThYw/D6HUSfTkYBjGKZfbsvb4vHPPLHb6in4yfXbzcwpFsTN9bodiHWD1+K9TVkXC 8VAnLKs4Ov89dsZpz7BQVcP5VA0XejrgPW1p7IKfrUIGlhSSXwLRrbKq6nMUOyciYtqiWjMHJutn r8wug4pWP+/EswZ1zPm1gDKazyeg8fDwnXjGw4NlVVNPac2hZ1CrMFo+4ENeeo6iZ/kAz83iKgfb YGdvbmqAYn5OjFKnsYqmlIRh9nZtFBkCvZPO8BdW+bylO+gMgnYWcWNt5v1555xZVmsriMcNCX7z LqAogJ50kt7ucLKHXV57tunynypgc4dFEbRdY9K14+B95wEAjF3zCOgGrzGakhQkJWNel4FBDeJ3 vhkWKTuLHSG+DdphJ4KVjPnq8/NON+Mb/sovwMqlpLbNz3sMjUsMKPzau2dfy7OvuopnX1cH/Z1s 1lyRZ4rbOb+4nYgwN1j4KqWiQE9eA1IS6hRR+sKMpJlhvFPNrACD6Jkutxw4P+fBWkVahNJMFESz fvXUCuEzWRJ1Zjg/URiNonhbaakMBgHipfnpgDSzbAij0mzzE4bRUxAAUakf5stCYJgzLfUGPOER K+IGSzwgT9gMr0lXmRGtMwqjiaNqfbEKykHVQtAsGQRttJqjMNpx+IoSaXE8km+vd4oZbnggqNFW U5RYhrUHV28WOaIXj0oUzp93hlm7Cnz/c8GvBhwHnRVR26CVKxs/+MUr66q7PZQXe9X3C2zTJBDM Sh8MZtbN9QGEqs87vwyvuL7nhzqJi1g8fmkURaOl2GbdhsGfsjYZqKc2F7AexWVT3sllTwAk+UEO 3vUa51CA8QDP57EJUDn/UWkviYYhacFOwbN3DS6wyc7gtUn2q6idM4EKpyfUmp93bhnO9+w3WLXC YYFYkU1QVHCeaMMnCaRAYQY+DK6in5Zhp8H0tIrzexTtWfwgEkqD84ESgM2D4nIdoZBStQSqaqOE Uv9qDFIQ5J1axhhI1tgUaOagExo9bF7x51U/78QytjpumsHrnCn88BP6nVfGKgeVd+yERt3HDFzT CSiSHdGVFpg4gt55ZYxPVHkmBo+DzdCe54AukgMb0Dat/DczjQXNMLk53qWzvfxOK8OXUJu5bhnm bA9nUpq8jBAFZT4eFZ/n1yajbzerxpqhKIxe7F4mY0nhn4qBy7OTgc8CXkYFeqeUcYerobOLD36H jV4h8pwF5ES2KrYUrnLqKZGNZk5LpHC+U8q4yQgqV/xUy7ygqVHErDnxVvm8U8pwzxrL1dZQwy0/ srcu3hllTeZybbKY8h+CvZvPEEH/PIYawHM6pqMStLW8JEM8Hxli1PszuzlM5tfelLWsTMUJUKVV hQr0cmJ4p5PhLxRAcTKl56AKfQEyRLoVc33e6WTtEXDQj4fWMGUkbH7ew2gwOBobyVfdqriVWS+P MqE8YrCE3uPoCymbMrOflymNttTJ5yu9PFD4gCMSavSor7RzvP2QXO3VoKV3fT+E1pDChVBTa7nR QKN8FuPEtD/vZDK2hj0TwxGJP6lAss0mKAyjAU0oyTCuyFkvpDw9TpMU3OIKeo+jEeNmQt5wfWuw FTShR7TY2h4wrQA5k6E2Dm5oxwIX/uW2xO8IJkhOyZ2eZhxuuWwWQVwwQe+BNFpET6pKPTXgG2gX qSmKqtGtTLp5yMUMJQiTJMgopfGYBivFwBvvXDKWinGRLYCPbPBQCGI4nW93rvpyLkUqEgyq0ixl bg4nkxveO5WMSVFjC6MMH4RJKHVaPTHzDaOC6+2emt6cuaVqfqJIGpl1G2plEG1qzm9r7adclpcB yd55ZF+1DkbSHDxVTcCx9twl1lxnl6EgbjBagvF/t0MaAdvnnUbWBHskDXH5wEgarYYuKa+8Pu8s svaYuZYf/ssUxEEEbu3lKJRGjHPiE9NramYxSUOznp3aQjUkNZjjWJpbrGkBta8FdHK9tFC5AW1g QDSrqvl+/htw2ADI8UBo2rtG3XcuIImhN8c+QFOksX9yrsv8eeeQ8aL1S4zAHyRm9XbC3ilkTQjG nqiewSMomXEyxGNW8zO6dMs13ilkvMRwsOxN2C8HL5YtXIxUeMBlfNYS22Yb2xcVeqpPdaO02Kv+ AU4AeTj5YM2wE40yzqsn1fu8E8jwl6bxfYcha6IogeYniqRh4HDu+Jos1djGR9xWmAbCbhbfX+/0 MWJ+uG6k08jBS2VzAG8iEGJHLl+lvQfkJlYo+El+QiNf+LyTx1i1Yoqa0h24fNB8Y4MJU/95p47x gPZMXszgyQjEpycKo6GX1FpKgiNAwV+IujV7Ma5vHpej+c4ba+IGYk08g19g51c7cbT6zGmfGZI0 IUWWyLJGg9GEUClh8U4bu73Utin31cipUkp10iSW9X7myY4+77QxTG9qX1E0vd8pWqoJiqJogIrb EpiOJ9FUzWzTjlbn81rdMOPvnDH8OgQ77UoeDwdNENhyEAglLApFdQRCvOLOz4lEYTxlIHvPP5Kw k6/s5oOSMDhkMsM4V8znnSzGANozDNmcLGq7+ty8x8+4EIAJpgOUIBzF8ooEnJqfzXNYof6dK8bo nygFiN3b4AH07JCrmFo9+BlElSLUCtbHAGN6M4w68e9UMSx0FtU2GLc26PIC5lqqL71+3oliWAX5 OwPjDUjdbM1PFD93WgGzrMUq4qKWB6qIs26vItKzhWsnLEMvVhFVY+bgGViFhiplZ2Cy1LMIwIXy jYAdZaa1RoHqW+8alcmSOjzDB+uF9d5EpTsL4vPOErPw+zmcweqpVPrSBEXxcwVmoAxrMgPHMa1O RrV04TgYuPLuisvQTCyS+hiJVZXJMvT5qSEeLK7hGXXLEe5T9uanjZvDj6R3Dbqp/WIxAeVAc7Qz jknn9iIK6GTK/fNOEWN8+N1vRmpV2IHSDIXhM92Sa3Krt52MIV73NrUKxLzWb34niDWXYlhqha2v VlhBO3VKq+oEEbkQnoB/Z6pSRl6v6QszPHznh/F8lny+mXlA9ncqR82smUI+P/P5YI89/WacjqBC VyrdaoaiABrQ+16gai3cczOJuJNtLwOKAyZnSfw7OQxvr8NnC/V81/+ZoQwm2BCFbnfgwZnEoxd6 VlIxwXMtIRRjPu/UMOZCkoN9BpEMIDXPP+/8zZ93Yhjf8Ff8gyOQ9TtBEMP4GeYCOVXVD3sWiDWz 2Zy8lTEpaoj5eaeFWXSKIrQ0PbbbrXbQqRA0V1EMy0lZKWKNqAqkD+Yv1ZcQZR8/77QwgnOZvUNd AgP7BlhBcFFknesEVPPzTguzLfoF6aA49fRD6J0VxhopmRdbzibI+wiNwt1AduomIgl/N1GaUQC9 lJnvWnzwDOzEDOemtEOoncufWwx1Loh4nB+lldssbPaqf4VAhSB+DQaYQo9WkKA+Pu+csCbD5W+w HT6mdg+h3zlhWEJTEgzLUnh6jVB6kSK0wryQZIRr7J0RxqPMWlzTB8cEzbXOJ2Q2C8eE2tzidQPM C2p5IE88Ip5d7xqc0iSnlEY7xXLTvFwRTCjHGOvzzgdjnWx/BdGN+v357rH3IBrRAfZYHlbj2KQP kXoBEzunXrD3yD0WRtEqkA2Cpzjcfnw7KyoRsICiaxkEdJ47AMrQ59zF7F87vKF3De55XfCgQtrg mJde5Fh6fovPOxnsF5iVgRBiF1KVNUFhKXqCmzKdwlNyH14n292xvky8hYQOa9FT1FDqwHLwQiKM NRLZiABvnv/bMuRZQ4bB2MKz1IW76PPOBGOWyvQUBsA2CLAwSQzBsXIC6XciGCv9HgfhOZwJpdxb /p0GxjsMBfq+zXZbctrggQOVIeFF1BCyimTvLDCuXqYOnZhNDh5HD5BRCB87YeHJfLUJJwDimbDA dB27iAd654A9JY7dsw+8wqD9XbW99vi8M8Cs2fikGQUX2rp4qXcCGAODQrmFohy1JcNLwRR7W446 m8tRv9O/uHgGk1P2wjjcLAOJe6UdXs2AQBBMPsFvzlRyZaNnPDf8O/mLcKnF2qGAZb05rel8irKM Xfh0cH/VX+cz9NTpjGXzE0XRKHW2PUzoBSmq5uekGd1V30uapmj+zvtqLvm2eRtpuCXEhNmhGkWZ 54Ch6AikxxO2LSrR9/Ahjv6d9cWDkin8oKgpB03PmEVJZu/r8076+oWWkvApeDEXR9/CCBoBUGqG XoVciwFcTpwybfnQvE4ZfPsbEL1TXT54o7C3lSjdRNWXDXkr79dnNCIQiFiSSo0PfEdwv1PBdKNa aAPPHoSgDPBwJ+LpIEBct0QvQc/zRiQ4a4LCAJrW8tMVls4bV2vGb+dhoPvmZ3NUgU4KoKsC6PoE 0GhMnOmXHO45peFHyySepMZuxn1OQU161YCIwfiwT8oGcOD6wQ+pIsdOfDpqxY/vuwsdtaeN2qMA Go7R7YS1rqtQTUBonTvHpDnZBDOaynv8LMLj+UUIb9bg9dXUafVMIVzIaE/nI1iTTjm8wmdWyHrI GiQYEW7OPgjqghy+68CteDrC+363wEDHyf0igXoUPUMZHfgfq49NlmEoFjjmsvrYCUlsd/UIyjHI uF2z38HqY+d0BppM7i67yS8CN/sSU+BnrpvBU7YN3xFkF2SqlGlKuCXbzV6mdvMJqCaeDm724iUg KbuWHxmAa3r+Kj/3TJlxludpcKby/KUe1J4dMN6j+rMKrDOr0pGvsOsJBAFSXgoNQagfLFEnMIw6 cAfSWTFWbrGXDWJDuZahr4iBpENGz+XcH+yoniir4PmIQPgdHGZeowxgNEchhbAyaO4XTNYuc3l4 tnrO1GR+kz0Kn6c6f5XWZRw8OoROwATSA8pFJ9YdzMASrxLqk6YHbidh1x7Fz0U3fBHrqWS7wibm qGqPFTwdHNH5AhW4IXFFsImiGYqIhHjfVim0QpIl2uKICte86ykPCCxVxIc9ZBKqHTpa88FrHFWm gMT7npC3UXqFUkLAD57gfV5KD+lE+I6/2sxoenM4+5bbJWNeeUb3c9Tj8WCC5vw6hIZKnp6A9Th+ Rm1sWlyIeplZldVmlbNc4Fii+LmHXEIeQmAp+2ALKE9kGAD10mIKLQeyVthcwktOKrp3dTGyXjW4 4omkz60mHwzve0J05hpAv+DxaIt9F6LxdxaKwmt+wkL02YT9LEx5ATZcCNInTyVzh6lIhh+VOywO oYX3VYAzL2B87pOWn2sMy3mcU2Bt8ggrADyAp9Oy9gLGKS/do0J0ZfsLrok+WI3DqiNI4yYe/xcp Q5c8sFLr3mLvIbTsTXtahUAN1MvoLknZm01LSVrnUkSPExTF0JtH0NlM2wc/ps/uAjOMqjcF4Zbq rBP/JgSC0VNw0hwL0T0qROsay4sNHw6CAtWT2nfdY3ni8SiD9yKZ5WtISjxI7BEgGvgCSLjImaSV TTFAiLmI240+8GQPgVFQBOOQF+BKylBV9azsE55QB5OxiGg921Z0Z8oMN9w9qkOrE4Z8A98RRUHq ZEhiSjbb3GGrDVmu97bxeLCAHsS4qN7M5W6htYdhdKMf0B4OdElWJUuCLNDqFmLjmqGoDr2y/KR4 i8+vRjPEojugUazUL5A+CKknIR4cKJq4q5NKbim+I8hSBfbtvfqgGSojmW3GiUfxeLCC6q9rnjqY +SIVRhRIgx6A9MvdyRKFkrsI37dbWF2kdESVaCq9UV7ThwsFOidEpV7baIl9QxXSurTPfmhlboVW +m6MKJLO4jtNk+G4pGZQX1VGPBsajweh9MW6SOoO4EqsD5uhKJYGoaDLjJHG23lalzCVYjKc95hO /JyIE897fjJNn1eoB92es1+h3yl24YlVWLRuVDJDgkvbV6ViNK3Bd0TkQsLJMivSHJTKg0Kuv29u PB1Von9xC4vE8jxSHCEsGoIKc5v178nst+klIuM0cdJJE2yS4qNKtAySdrOBVOPBU+jc5fCUMnH7 RGI5ppCcMEzSFbdHBjfwFQFeUw6SbFRj8L2y+qbqzJnpzjeMaD2/aSvQ3UVzxOYniqRhd4HepOqs +F4zbpt1eD91dKf1jCiQljvUpgLvGQh/5PzAppX9Qmq3bYi6c6WhnJihL8+uYXazrYGvCMIg0nna 3HdgLrYWfFq5ImbD09EJ9A3lkKn5hbOOKIqGIuL5qmKkp5VYeu4/J/Qysvw5Rsc9f6Iomk6Z5/Ji vYODn9CQBKmECgyszaykFpi+HxkK0ZrbDiCakY+oCk19KWG2MWB145yDB1xlmDjONsbj0frpXxPE 7QUFQZugKIxGJHuCw1qNdSDEOFqpi5bki/JIxRSkRhRGq30zCwtmHDwPG+dvGejgqBIEnC4niP2+ VVRoNV4qZbZGiIfeW3Sn5QOPnz2LdD0RnuLpf+LFf0R6OkftVeQI4dDnVG+b2FM0Crfg4piebKAy +A9Mg7KOCA1deH+tpZb8up3UVQgfW5SPagUV7aReIs5rApSfNlgnXHyEohwU/R1z3oHTcy7+xqpQ OwsJT0chkF9fDHak9edNnhGVodEgbNQOkdPPKuo5ny1FfWQ4/eBQsemJQmiDuYypXJWkp8wy/Vkd J96lStuZfBzUVAaGDwwwrj8UOzGVJByY+I4oAMKx3Ckpq0F1RHQBZLeyNp6OIujvFINGGOMi7UYU QTOHR6HPctRetM3gXOxAxErqH+/2MIJWkUOyABx8czWEQOzonAihw6eNGQad/Nr0EEinM4st+JIg hObp4x5/yJ94ZuRCqlnimbsXng+y+BshUhCIb3BZc+OvQjQIE8XCn1auXWTJxepAI7st+wgr0VJd 0zndb/AGvwjYshCZOYBGBP+Aafym6A2rBFdcgZ0/fElQK6MYIqouPjgtDNZsPww0Mx6PavW/bjBc g/vWOWZciy5sNKsO1NE09zx+THNoAzlgKsmYUQitC35uVso4WLW1gPbktLAO/ZLGE5qwOZhRUmPb AGVMMmaI5Sji8lDsn4OF0DCiEly8NTweTFD3ajQzLvR6C/mymqEohAZl95zLjc0u/FNVXHg2Ftm6 tK6lOyWW0AyZhTyjJ5NODfeOPxFcQmCObOxc8jIkq9TVBjOeSpxWbUX1FN/xl8Zfq0bAbLcS1Jvu zNJPCD1DOPSvU4g1veeKn1EEjZ19DoMhra02qtHmELgYuafU7IrjM+YVcuW0On3w+UnjnPujiBS2 TzRB4HQ1EYb2g9d2ZiqVA2ZYjSZx9/w93QfVOfI59+WheY44PB5pTzjliTVayjlAAMMmKAqhEfT2 RAl1brF+rTR6exRwoBWkGQqL0UsddsVC/SraLdgCjcS+3GiDOyNpixGgCgXZq+yLggrfNZohyWdz ouo1WMqLBRT80SeowuORTuRNwlTVQG9/33r9jOLoStYTNTvR0ziXpmFcEr7ZehqLjDVOUViOFju+ 5TvcUgck2Nl+B/t7G/mwwsGjgPumm155Bk5efEckP0GxWgr/atAU9VyFEwXFE48HLcPmpxD+3Y6c l8ZemqCwHD1xwUugBCAZcpFgDFnACjI4x+rmoTEjOEeXtF3vzQe7x3C1gNQxxArLaRL4gXsI0nYn 8ULibZyDufWqwSltsF8RL/clXiL9pwxgO7cBHo/Iu9/6HPRdKrfaOiNAB07X1kgAYTtjGqj1bGwz HYML2DRriBlVoxVBz53v4KHQmZsFE0UZsPZWeY53Krp1YpFumWP4q0aHNFvNiahfDoJsnrSPeeq5 VRqejgCJ+TvPwF+Ecp1NT8wqRD+sbZPU3CsZZWU7BiZXSqMzT52hvB2r9GD7+uB4F+iJb0b0sBWV 8DOZq/pvfpCVmScUfTNmVIrW5V4WNV44qBl2Nr8IT2vz6WB2UvpaPFC3g9a1z06E50DhBcKIzWen uTpQ6q44eg7V6uJ/USAt8ZtzHGcfvNkDV9q0eYWd67GCwYINSMliopsRNlkzjIzCGcXRjb2MxIqU BhHCzn0guZZzMuDpCA7klXp2YQFnXbfKMUNCIW/45HnGUhJ/8owlaRfWyIgC5OETSnOQkrqrUC/1 5hkLIhxnhpYIK7tmE5eEs2EhG3w+hMJlrxop3LG6AXEGGzwNM0vas97xdBQheo2j/oiTUdPNUlcU QgNRjgaMC7gt8xM7iXd5pDmokp34MQFegQ3S2XX29OfsAVqhtKEsFdeMyvRw5/ohdoSOCN8i2Sss QhPE2gWK7m6htGGgUCW0WiuejuiEfvYQhY867bqMjBWWoFkja9mO5kX1EPINmgM1wZQxrN0K4Ryd lXdC4zX41QW7J6hks5Pa2qTGN5xjgUUGMgN3jVWgeXWtuAItxhNLHRxUAoJCKg+UE5zj6UjYxQ8f VuhR/VmXjbqi8Bl/OUzikjGe9nY2asnZGfG9bMParRDOwSx+0ZIarQSijeWOQRMAEb5B+FsMsU/E iJc9USc1qR7Cd8N3/FEiO0kWwXYcOD+IxeVDQ3HNMHx+NCd4z0nB1EOfFfIJK9F2NC8Y/CeRn85P klMxKDT9H7W/Qig0k/f/bWFMWISjHMAJyqsVXf89E9CMI/qpIbIQju/4izJXKWyoQVjWbvkFbMjx dHT8+AJiGEgQR/fTeUWxMyWrK6kuCA3rNov1s9Fc4gUWnyactGI6YRJEYfhwkQq4lKqxMSAyyDI1 rD4h93h+kvEYPlHWZUVIDjT7AXFhH5WDhYY5kciGWlvG4/8sQavRDL7K8vxrRbFzov/cyFZkbd3Y c5tOdEpQC20WkVusUJNDhipmY3zVDjpSrpPCD9nPYWHRAetsOQVdkwm4LaBC8218yV+aEzSMtEEz NGbNtmv6wOP/gkuRvIqm7Nz3CIq17VAlk7vTPCnJGmajnrIS1MkmoZMNVhg9VzUJ0/DhwWueiSGy Fm5YczWC8ipNxVkDapdssNlGXaEoB+vPMPnRkIzPc6aY1WH0GBoej66wS2lmrEyFzxsBrSh+RgbS wUzizkKm153yRNcL9jEWtLK0yUJAdJc0kDAd1QV4oeuyz1xX4ckGjEIYLFVKs+LEa+OhXbJQv6II WkUg2Eb5oCIQYivdYucvwePvm2ylX5BWpPr99plXCInGJd/rVHOwyQYIIWLao12owjZI6wpL0XKZ L4JLlQcudWZmJ0BTWIqe6WwxzGRaAAGxzKkJ6txjOCvxJcEhzdwCBTYfVAVC69r07VbF4//sxGOC kKAiS7UJimJoOHy0scweDKGpjqO1l3UPQWqrpjmxYpVo4mh7qT54IRrEoI3aW4aKUqoJQeK5lxsF ifoPrawsChpDr/pXp6cWF1DyAscqZhWC+hIeD6753b7CIFpxzsu53FEQDSn9tuBQphxsug/PCYOS q2gXBEdS0Q5Jhdpa8Eex4U4Q2u9LzGYQhIWpb6gPVCjYEh70be28oyi6iVOYxh20gM4512TtlAYf D4pk9cZBmg1kbd2z1P0eR0PtBFzU7rzdPU3hDhq22SRI0T63QHGHshxdXALpae+HEoZ4apZFN76O hSP+YdmqcyL28hpQAbic7xrUgCrxvsDl2aAlBGaXOcg0vmJwjd0zSH7rhNN6orFjUqEc+AwutWtN ds9Lb2pRQbL5/ISBtNTJer/DZYRB6xV1ajRT1wmrKc/VIexMO0fItNo1T6fXz44CadEtR+53UDP1 hEQilSIO2rEuR/6KE8H2hx+KT09IKTzhN0g3rmBLMD9OoH795aFbbLIlO0ZysNecKN+7rsI1kEBt wW2Jlzw4MT3LX1Uq2lNIIGcU2qtGsiXsotY6fFCaCqqHyvRp4+mIlNG+Vg+N8sgS03eGgOgM+I90 7KBEKmWFBlO7apyeIk0f3PA75BMqy6gp+eBFjg631CVrlZPAlkEsTGNYRSYUoic7f1ik36EwB/Vr y2A0XYaJeO6CtuPQ5qp4+p9AKYY7AIyMeY+fWNkO2SnLEiI9NfNKT5kGMsLaUauc6yeEchjWZd3B JqhDf7QOuTjOdBaOzECR+JdCFdurXEKHLHxHEALhxDi7cFQflKeeHEC2F+ciwNP/Em5jmiEp4otn 3RGWAwfD+YGLIIjnFx2eyKd0TeUe0twOpe22EtT/lrY7R8IC3Kewk5rRRZeENoRgCqpngz2MLkvZ pnf9QzcJntzZB8WICWQh1uhPmo3Ho0Tsl7YLIi3wXWyCQmmOM4cNXoo6gAqpnmTF525tMHhlWyFo x2gO3F9QoPfBEePnGp8JZVqANSE2Kw/jDfI7+DL9VlkLTW/xHe87bCQJtqXlAxdQgz+gxDXrwNNB kvErQkR1jpIsNj1RCE3aE4QbRHsCnEkH0JkyY4WBf26yQDsOobMO5uKDlxHRzDUkItrZvXAnEvQL Vcyffasc1KrEV0TnTxYE8Q7qYGSC9zA7i08HVY5bo2dCS6pee87nKIAG5BlaE2pXnH8qFvMkGPt5 F5WG1pyfCMoxGRlCPcGHm2L0c/CyxnAS+obyJM+fKje5cQ6C9ihHNr1rpMrBmbFAOnsdES15CT+e FY+n/1Vm5e4qktOw+VEh8n2CMu1VpmNd1nK3PZomSDkSCsxS0E5hCE2ARhchhYNXgmBqXGnUSiea JGGpBM4RcOo/FCM1OBC1k1hCe8+HWCJDO4QDim/cLCcF653Fp34SGn7A/wWwyaB2PSrsKRS3S5BE hD5QIfMbMndAvoD3NIXmOMEt3kpuNHEUTSAd/Zs0OC0DYsyAomPjrxMnazoTjQYQvWf0fL1cP7a9 bdSOJ+aX6qoalGnASYqBHtoCfD5ix/skFalP4ONuTZrl0yDXQDuV+qiQmRpCcvAHbmb8WVAhNzeI FMKim3hP3HMcbCmhMJFSUTY2oeMAPfAqG2J0miYZ4AJ1ENeKL4n0Jzg7Kt5XNI263OB3orcxSV+L HxBEROVuOLweS775y9koqkzjlkATxW58km78xt8ulLjSNUhPoVchQ0GE0D7YNCWUuzfkJbCYTi4u Uf90cg8QkZgT9ydr3fa6EURRbQ1rcjj65YQUrYltmlPj88HB/UBc8SiyhprbczBFkTUcp+W2SxvQ 82MPP5hqsTOqLjkcdX1QJDkl0V/OFgfP7Teoeo1kw5FxJRGFB+d10KuBx16Ost8U/cWXBD0OMeVp J6/BUlf8yyw7b7gfpBDfcbtABAsBNwYe252lsEp93qv1OcUSOz9wF7VuM/8ubnKURBfD50QHk9oc Ui77ItQNeCBsM9CAxt5kG58QLyifmOqUUEIMAPAlERJPuq2iJLRm+X2iOCML+cjQ8Pz7JLF+qgyW /zbuQqxkn6SwUg1z66JyxwDMYwtrtna3/tC5QArFkXkuRTH2siarlAX6Y0hc4XiJv4hVojMrNC2s wIXh9AYd/baiCQPll0RhkvSjycvkoJVUR5EdaZlbLxkV828eQgnyqcLSnaRQvCPRaaRkA5XXPb1d 35wfTnlLWdWksFhtgjdSH/1qmGVUodpMZsWCsgERi41Oj3S29EPpXIbNXjbCe0zNTtNQFTMDzST3 BbA3+HyEyevf2Sx7QrM+2y3EfGzUs8ojNGlt1723gYgy4WF+dEcaHp7o7+aDTdIa8KidEnmDV/Ei R7qxJgYsXSUob32ZE6dYRjrTJrQ0HyxdK32pHHayBT4fbLd6G4vObqn964IL4dOwplsUKZK7dRfI c4/d7X47p5MLJecUVq23ZBSpBMzhFmU3QIZbsLwidv6ZJMjClwpv7e1S27yR9bJB0Yj3Gs4EHzRJ kEiWDNVgQBn6slwlIQm/AKner2JpDg0OEaO1Iq0B+KDN1Q16JjctwuTpu8lJCgwOgf+QEHnNPvhK SrtUOgBCzqNA8IeyZwhlMwAYhKWLAyRfjRw4HLocXhOTtTmTleAzFR4hSJ5Dh8P/dkXApz2KHjn0 OAQRD5FwUW47s0t6NEm246Jk6isHv8DkEKGEkv59B6uNtHSC1d55uQHrPCd7sJNxeOOVWH/38HNs ckhyQaG1nQYnAkky56RTlY9Hwq7lKzHhcqSDkU1RGHIzSkozW31tLxcVKtl1k8+mJQ6k64MikJ4E T5TgzifBPVd+htg569cJFf0ly0ialYNqU8ZDBkr2tn/1iFIVD6OyYpbAF26NbN2OAkkOfA5xIHzP EY1w0hNJBjaH6o5DmH/ZoZ3NhxaFq+FIaux932thwM0D6ZydxQdjK5BPlCFLD3PwcULsrHY/dVkq zoZ1i9jsVOfA6BB7zcBWdyBUb4PHKihjrnw82GvrGwgLMH8tl/GSQ6tDRHIo7ouI2NSRYqOxuw8b XGKXT1HISawyaRGiutYbR55tnDqRf8AqzmmcDwrmF3T0M3DcDijS9R+aHS5Jb08dSw4Z2Mh0loLt opcMerG3VEKoN3ZHocy9TVIkMw1Gt0DUqrV1iwNOsM0zO1P8ABxr7bUYEyI6eGk+eDMEajyVwQmK 2WdlVcObD9rLQYHrnkfJXzZCpUl2gHwVDlxHI6OowLStFz4edYu+G/rYMjnPZ4pCamJilc1ssHsu xiHPUtsSdfMEhdV9aUNUCOvn53bPPtyEhHAZYvJB+OgVNZUCHh6uMxS1e3pEOqe97l/EhSw5r7wc e1JgAsP7HBg6Ph+d2t81WzQMCyGFNkuhykcndKZ4bktpz/8w7KALlRmLulZwDnwPnR40pEM5Hh3K MYBr2VnQagotM2mBY/OZpQpk7rjuz7Xa2/51s1UW7DVwt61zOLEsjfuSj/9LCIWlp00J+OdECkPt RUOAbZrlvU6JMSGqScZdSF1Jbufn/KX0ceai+eDFpHP25MzDcZzNlHeWVEyVdW+FDfO46Bl2ZnNo fmhVbWnGcFDW1mASqKxtZT4fiXL/IgkhFSp1PLMUg6wLXG2cCtwvFTjXbp22kikGqYUUxtpkj53I /Q5+/YNp3wg+gMMoSumMtVHWLgDGIjZ0A035ZIcOiIUcBmgS+2ClpJMhqEFyogs+HyQk5dtZAnJD 8JHxOQpNEJGon1fvFwKxkiVtF0SDn93vttAFEfc78/7pg9fbJhR7pJwHhAVUkC9QrQug/WAds73s X1jQwv4uhmlINTjGJnF/sJACH8Rv8TxR93CGzOsQkEMnRPwaPa06DatWUvJyW2L7mmg+8Fe030Iv xOECccsHmyVIGpz/L22via4zz/azDhoRriq1qZK02GjLoRciq7Y4IYcP2m5nGfckFH6ZfD7iBf8C FQOcxbKfz1IEFkEtGgVuaQ61c3WLDnxS22a6KAWFIJ+kMNrmUhpdFe7+VLhRrweGuKiSlKo0wDKq bA0CN/MaKZRck71sVG5jU18yRO3a3NTzIPU48NWNz0fh9jciAiyy9tUFCAwRUW0DnWEYLvSs4aQj fK116fcDDBkdSYEhIqItmfkKWvy4TYA2tKFVj+0GMbcCr1kCjs7uoAEO7T/ddbTa20ZN/80S0pRd GzlwbCidHUfRQYhE8/l/cWPUtQV0qdy8LfRE3G1frVNK5VZLbesaxXEjqyw3pw/x14whV6P1PAdP /9dGUZu4iLrr2XncbaOgr7AwP0hvra1NIbQcmyJyjmpRHFCMY7Jhs9WFT0+Zjweb7XLzsgUAtE/x KYpK28i/z2Zu2WttbhYJldXk0BH+3jq3Y+yIXH0Vbq8bbs++0QXO0klBVKoCb6eNBWv981q2SY0x h76IvPUapQVtMAx/Vf+qnC3MxyMWyHe4DeAwHEzvHEXhNowcXMCK4fbIVrTlMzy0C0pcNkWhnt6Q Gxn9bzhYZpvAEd6Frm3nuBtFHuRsytGSfl4hIpaF+B0BOoJ2bSMzUuJgYeSo8nU5CTQfj0Lt2yFR RpK+bSdyaI2IQmMrtDAnx5PxK/EjXjU5UVp+VtE/vF226NT7QSAB7bcHAfNnp50dkatInrjJ8R2w QdAUoaKjdw2mqJmaeffBIFprSEyo8MCOIu0HY8xQCKCPxzQgh86IwAO3sZNm4+RRu9hZNNIDIdnV z6LQ24W7ZwkJycFz/xNooUmFSw0aXyUPyRKChZYB2UkPRkslpNAbsVIxpShxK/Pqvp+jSKqTOU0+ Hsl6fkvGobz3hWLLgTmiLGF65oVAGMlI2c4iIqZ1Fg1iHDRHsdG46CB7++BxNhw2E3CAAPqlhNYJ 3q/T2QDxI5BnU3carg1+SQRV5xzxBNOg8sg5TAlqQ+mNj0dQm+sUzYsfwdG48t05dEgEIKwLQwbK VZ7d5AYBNTZuyPn5ite0Y4vEbVTY6YNvNQgvpy7JgnNyL5WbBhW/kPbP9huMnUOLRJVHwAXxwUpI mf14FKknH/9nmM3mP04jEL58jqIwG2Gig2hRZ2NtGLnIiYmsy3b+EPdCzoFLIgJRXvi7bh+8hHQ2 0E6L/vDw5V6mIAJYUiaAj2rKIlWPbi8bEa/IhxWjrWdDpS6oB0har2W9YwQZ/a8yG/5h3v5R7JQI Vn4f2+boXBUmokuPGM1RQQijADK0StRBhFKID96JJJEiERI50CKhuvnZyY3my5tuYxezrqZ2aJY4 ZDM1yb0qt3MOOI+qVu2conw+mqVfhX+AM9H99EkK+Y2QeElaPmQZJcf193az2skSglZS7PUiUrn8 lFL6SkVARp9CZp+jrTHhRn2kAui5pYSqlcSyCr8kIlizK9J0ajdHx7Pjxg4xKKZ8Pur8f+sXwMkS tZ87SWGUPQFpcy0QnEiP3Uu28sgJdxDXainF3uNdayj74LitWiAEshgfLZib8ETKPOcp5VbWxa8T gcBvCaqR9EHaKPLaoPpIW/JUhiLU5PNRoP0bgozDofRnLUWRNtCLDc4IUmVuy1SZAY9IpmZZYMoj pE1on2izxKKyBq/ZLrTXOgskkC/YvMiwB4WL/Fn7Kqat1O1l/7rcGiANNhjPCOL/Kv6jQBI4KCqZ eciOcKvK7Wu/RZH2oLI3Kw3/kU2XStxry761k+q0hq+kCEOiTTSazANavXOE4uy5woaQ/quzkExV XQgPd1yoT+1fhbbQQ5Eb8fyObfqgOcrnorCyNs+kEKmdvjM2pg3jgZAELoogYCBPo1YQ5gjFLh3c 815uZ7ugy6w5CkNtRUeDHqUc/EgqADMNMkJPKNChgMV1BO9qFHpZcbPNlpa9bLDZEgttUyfT9Hi+ nPOo6746ISWfj4TTPJJUtQjxxMPHyqGRIvQk26ZCOUpIWyhb4jJIdVVaC09R1ZBiK0WBRySrMr9k VSDB31sWPGJAb4yhZIH4eiUWCke+lSN7s7cNQoBNMgSuUhsMQ7K3GCQVVh459FIcl3RkQN1BvYEn mAwL20icJtS+C6pIpI9ngkggkVBp174SoiCtpb8D7g6ivw12cp9lM87kDJb/T3ybG9bS2cGQruXJ DWdyjybv2waHEpVDyhZ2a7vrOTTaihbTCSP4fBAEXK1vTQhUovJT2g5NFSES21g8lSfMsKL/IpZR njCNhiEMAgJTRaglSAp0DB8s/0dsNDpY/ur+N5lOTvQdMssUzQm0mdkivySKlOgnXWf1QSiSmXWh 4pv5eLThfme3vEyeKYqh2xKaMxDJEqMfTVumUQYimV5pi20VCelbKiZxuCWSWSrkr3go5bOQdG5R IodVN3TnlLkNxUmBr2Jm957QyOyDIu7zJdJaPvPOx4O77ZfaLvk2pLXZFEUBN1xdgfx3kkR2Q3KI pC8HkYzmIJLAWfHSaL3gducIWj1zg1qjxA3sD3G1ef2Sv15upa0se9sI+0fF3Umy6Ka4KBkPKBUq mBznXOAHRIKFv+yp4E5f+tPbDtwVcSRRUsREsFCa9PwWNQ5F3xWGs77b4so2E9uc7+CFEqjKLkSM ecCDvlgpaSMwJMj9dkgGNQtzYK/ofaSWxh242ea5foSTHWPz8X/B2ighipgL2EefolDZulB6N1vt v0mAhu4MxRw686rNo6TYX1FyGXMPHzySRH+tTLkMwe11UEEU4lhIwPFpF9mOTE5f8hdNojUqyrTm fD9IVlB+rp5jg4//S5imX+RfzReOFHos4rQES8I8YkxOZNLmzOGRlKm0OYpRJOQRs9ehwSNJlEVG FtB2o+ui9BaFexji/EDv8vqcdXvZgJMkpo2otfnWGdCzFcUfDnR8PqjaPpDtH3RnUEpqt64dOC0K s++spE5Ymzkon51tpoInem3bNJxz4LWIvrypilQfPEia6FFVlpJgRYmSNkLJPSqFKLBQb2NbGuA5 cFvM/08mpgWcDRsM2J6WFF/O4lh8Pqgm3eufAmtosff6ACRDw0U04WFMX6z8z5600DZt2+EEvNj0 aYpwJHUK/cclxcF7bbudiZGCBpIeGNthuaFEVcaimfxvFbEcmC4av7ZVia2J9vIjfttSanpueD4e LKVy25GMqIhuvgTbz2uI1MXLhXyY8UfXNLUR3BfdJSKabC3Oqf0aIXXgkaQby1Cag13/sFaApEYi ve1i/6lFmwGkYAoxTUser/oaH/Wrw0tdew1CkKLryrJtW3j4ZXY6KtQKtKsBSJoCCf4350eJJmcK iNQMiMQKiLH/WPBXFak5NSKYHIlD7NybD96FhOxMZooz+vnN4XqA5cM4B2ke7Zlm/oKzv06ONFop Xdh84OQk2Bkn5SEnwH6dHHTCp02O5PiGZCJtcl7Dop5Jym9zmlIqvCGEZds0KGaidpL0ZIiI15io O5ltT975HGzhnJDohN12THc0YSujbyTIGW1PVWolI4/b5PMaEXVzCm5o1PmgztoJ/pZoNbt9XqMh TKzVH6vcFkmGJGAUU/MaCnWFUFAqM12auquj11WHlL+Hk9Zf46COhpE552Qf7NTpaBd1CrhCnv4k Fsx1z04bBFJBOPFijtlTew2DuvvnDF7OZ8DWqtxUqIvTBW71/HmNgvBndp8bmaZUinbb3LzGQOch MEGgRGCtfSjD8cLaq5nlUD5hUTPC+msEhJ+FRbS1ZVC1HwvlQm1H0OgAJT4TP4sED/DrA6YNHItv KaqCvsY/OG9YJYK2nw+qgGDHkwnbzk/weQ1/+PS2uXGOaGUrj3PzGvt0VL/Qr25G5u+JHHlxH6cp Z2Qer2ZiGkzOVBImA6blpi0A9E/Yty86KI9ztmSyr8/xDjEatLVz6g7FKizcfV4jn/OqJGAB1l18 0J2O7F7WE+cA+7zGPdwg3ysHjc6ys5/Gr1FP1w3XUzGUDPyTlw6cXbPBaGtZ7h74GvJgybN6tptd WDcuPHHAgCUzJfn6CTY7IbOVRBraZfDmskSVCOzXeKfLALlAEa/5oLmZsC7iyll5fF6jHR5X42tu eLn06ivnNdTBYVwh/jCqKT0RyMr6a2ddmydOfaSao1t8Eeew0/bhMkEgw715UQ0oCza6T1OIj21w NmYsZsby/bxGOVibapqlXn3Q3JyTqAlZjvr0a5CDFxy/5gbRM7ICzc1rSbFLZOXEyk1chnP2GMFh Q+XDG/irWXP6tZ6IDd0klSqh+HXbZZtKUamhpD3g4D6oHHuWJMM/RNBQZ3LPwE6VsGhyNgkgew0f rFmW2lb7nlqgweS4DJ+mFFdV2fcWfy0kYq0Saz2tpAEeiLM/UFT8cQWIYUfOax2xezNxpTZ88PMY inOdvstjQpqm0WypoF3aQArPvT5Qa5yrn9cqIn4BIqyzgdId23DmeaxdVCGDQti/LyuK8iLzISZV EWAUH6OE2OXD8h9lExfawGqV5odmQKLrhRPErSUjrrX6c2E1eJ/ItX2gWyYRPmio/VDHCH/oVcjI etdg+TBw64USRhyUjVb4mcsiqPHxYHNNv7J4mmfcB635ff5OQ+PGKFACLeoiwiBDYIad1u1Hp128 rREGyQQQrUTey0qPK/DGCdqlEAa3gSHGY0Y3oROZNKm120SNEaMhOpnRpTsfJkOh5HKj500XNduh vQocehQop/x1/FCQluJ5mqD3QPncWyeSamN4FXpQLonnj7iMhTYDNOokOCaYoM0jdxfWfjjckIce plT67qBaJ/lRAfwNURQa+xiVcWl+olC5M0XvWRphubrM5TQ/th+YWH/eGWhM0fwEouJVRYlgkoHO CYrCZVS8hHriHtMxoDMoFc9BV3fHt3cCGt6eR/RSErqeJHTBiBm+IEI0ZMj0qJ0PVCKWU3uah8Ti fN75Zwg8k0zbidbjYB0frFl1fBZaGdEpNJrNEFE0uBSgcW4T9B4zo97XYWqxDOsJTUSLC5vUQREX buIiVFqNJgjyTnBa6D48yRZE/ugLjCWE+hXPKUI9VZeWNcsmrJHvGh1CVVWe7IOyrWXoqrL2+Lxz zxg69e+UgpwTIqE0QVHgDBGqcwSZnfT5omWhYZm87AHuqZCeV57+HjjjsGcyytRJg88POvxpEzLY gQDssj2j7wjRRDnduqpKz++Bs7ByyLVS8YE9jAb7OGaj5zf9vPPOupwKnrQiU/9GCD3OTxg6IxZp ksFqhDB4e570Ytkt1dt6fo+dE/2pkaXnO3gMlIA1FJx6oO/E9sI5c1isyZQPuI6KDIHeOWf4Cyla 0LbQ+dvUvqH2vKagZzPvzzvlzDLaJ18vrM+ue8tH4TPu746806IgnUDjLNs0XS6eIFntryh+Vs3Z QhwONwo650plvMoDaAniScPIgsiUtBCjCVGJ+J1uhjVKnbCx2EvloP2FE4g//+rz884262pY2/5S 2ZQb7IbQ73Qzu3xgEpUs9yJPh7mXCs6bcvHD9NDf2WZ4+apqj9wqHle8BUFLtLaENS+Qs5G9IOiC dNurj680E4x3rln3vkWS2Um6ZiftRNES++6QmomC6LXn9wGEw4y3mqYniqJpx8DSFKaiLdE7NjQA jAyTy9zFzDzeaWZ3/ezJGIiD7S8iqc6xPNizOMFC2lUVH3g6nz8RZVyXmRGpM4qiFT7P9AzqoXba exIftD7vHDMGUDdGxFywNDqeUmEUReNkgIuo8afLMqQZZFLNiQqoQ2t8vVPM+kW+ivOyHs4L0Hdn dmmzB9TCKoO8oM7iMtSCKCXilJeiVw3OZzaXoaLhg84fOh6Kz1ny551g1oUX1AQRt0d1u+71wnd6 GbMw1FLXtvOZxus8f7qKHKylNrcSeieX8RpkbX2z5DX3Q3dJ/aQogquN849AikqmqHfZ4WWygp2D V/SuUR2eRNdZqg9GLgP5gTfYOdA+79wy1riWr6BkVcNS2p2hqNo8WfxZppzf086mPoxwLhl6uoG7 qHJzVG8uXeBpFQ7rUzhMqFWj9840IwPuWggLWqynQGLuqy9IRZB3ahljICyehATRBnWXd2uSZjqv +nknlnGCb5GD64Xba949FkbRkwqyU3SykzAVbwqeuM4cOisORJuhKIpO8qPKKpDlp0C2UWvZ1K0f E6di5zor1OBZtCJF3Vlol87u8juvDF/CSkdv6Q5eBlpDDYuU+XiUzNevMBrQC0qv2QxFYTTO9kYL G6HL6YaOXZYucjo/yjvvpDJucc5MUrCY0lNePZ94Vkm2KlmZJnZJKUJm10RkmecSOZzvpDIWO9jm GkxYOVj1GbWIpMIgH3+foLHb1zUGDaky6NvOCYrCaMCA2px+DA2yBAi/lyYYjqFObS4e01H9WW7s W7oyHDxMhNvw4gyfHQR6GWWwOsN8mEzTskLFeRkyvBPK2BxRm5TRIgcrlZ2dXIWnn+vzTijD9KZf BWjU+MttXbzzyXgIUXSve32+Vtcm7K1eVDlL/1xBUQ1a3E2Ya/jgKwiSR6MoTsQJrhp0g5g50bVo st1i0NK7vp9BS2zy+Qx2TKcsG+6y0v6888nYF65fcTQgJt87LIqjcRv0UosgvydlbdMR5X04MGEh INcKeg+k5XB+FnhPd/BAqJxENw11Bec+pw59lwgtzvAhoAHB47vE74gmSHAEMRMeVxgYDRfVgjBB 75E0jsn1tYKotCB8KycorEVv9I2amZkBSWHFsjySUtZcyFzWGf0eR6PdzolJO/ng7faWIIUn39t1 zoqiejWQwFnFx8vZlCneO5WsSwTunM0mG+/YFnTzt4QtoWr1ziRj63x/Tw/O+XKrre9EMkwPmpdj NyuV9TV1Lq89mgE20YawQtA7jQz7C7f7uerW8MH2F+it0NchGBGKINxPZz4ob3O+AjayfkAjWvu8 s8i6mwo11JFtYBx9dj8TONDR1+edRMaE/HedA14r67aVwzB6LIrEW195KYymrVnZF6yBLcDt9c4g Y55RMTHU29DgdY6zeftZiVRDB3GMSkoA4Z3/BiEYVpbHQERrvDPIEGjxAvvvG36ts6nkB5NH/rwT yLpkVa2SiDfDz1ObHz/v9LEuL+ETJHY7fiBb5WAW17c65zPoTJqfP2vRjWRLG3x71QbzHXBrRpUu 7uQSO+coVQkxXd3YLEWvGoESyIRmTUqD9U/PEUq4DwCOn3fyWBds96vMQZu15Pf7O3WMtRVhxR3s k21+9qjVyhxlUjiQuISoEk2qOFAm1QefnonmO1XUzvKB+nfR9sJlAhkC9Db9dEZ15PPOG+tSAIB3 MXmIw/2EFshF0kI9x/vnnTXGwzl9LZ9CNvazvaIIGgSZ1qq1dc75YL2wtdKFi8+WrFH4Thnz+BCB dvbBpufEUzCAoZb++a7JoAFcYBTqEwG35eqgUr3inTF2q6wnUuCQ2Tvh+kEbm3XdmXL5vDPGGArv rwCaJ0Z+YC1RAC2ttkFgL3ZaXlo1Z/2MasfzXjyeOz8maqXi3MG7+sAJGij3oxwvM4YENezMJXbm DK66P+MpARW9ZzQ7hNKx4KLBED+JRgnojc7PO0+MFbb1tbdY/RZwlXPzHjsLAI/C4bTYsK1hTYyc jDsOIJ0z6d9ZYpgcFjd2tizsSg2vxXRdaHDAZnKhE8Og2CsIMZPe9qrRUyj+nSSGhU6WeM5Cr2ZT xVxjtao289m9n3eKGFZB9i5YUSsVZ1gZXkN854hZe6ZtMS9YQ2S5flMd1muIBaEXi2TvFLHuZMPd NE/tET6pZ5+i1UOOOJDig4ixxmYPKnK5XnWYzL7A550iZt1idMDG9EHH86DHzA8Bw/XzzhBjcFC/ rneAiklZsgmKouc6UWoQ4LkTzuEgjuJeVJkAet1e/6hCqwS2xEOacgxEiX5xBY00QbCXYyCAKjSz TJT0UgI/kt41Qo4R3ELJLylscS1kTJEU9PB9n3d+WM+XjOmtVLzBvJssCp9BjT3hm9t19WoB0BYG lAlqo5MPZygsQ9MAMAGEZoOXOAbQvdPRY0iWmKAyo0XhkKRe0xdmfPhODbvN+BMvmKj3+UHZsjmH 2iCy4uf8Mnw+usJuIRrPDZDSKL+hGYoiaIZAhbBqYp5Vsp80dt1eJxvbharfiWF4/a4eT6k+XLgL pOAkwQz7nD54x/eJ6hjaWVI81xpCpPF5p4V1qbpDV5CsHg5iGOwO2AybE/vzTgrDG/7GkOE4GpSE J/owDKE3AsO8NBU900VP2oLNmoYA+OEoxfy8U8K+8BxyJ18PvQBmYzAXUKn1HEEQ80GpdUGKDu0M Ot9qDdHm5fNOCcOBIEi48EBVOQN54QCBsv5+3vXzTgnrj6MQbh6G0WgdUStZcxQiOtDNOEGXKIUn SSX6p8POg5QCiF3uhl4gIZpRFD2XwJmkoHDwLGyiJtpleVKgbJrlGphp9zZ+OmUYDdpb9KoRnIza S4ueQhysTAZIjTBBfXzeGWG86j1OZEmNBPPuF9k7IQxraMKXc65s3WZq6+Eiy2u7921mHwEX2Tsd jJXwrhZX8cEvsr4ATRAk6GRjuPlRaEW1nv54GX3Dq+HZ9a7BOS0Ua5fyYn+UFyEyztjvnG2fdzIY lkJ+lhCuevS7n37POxeMUE2I5LknMGrR25gXnfVSaZ0gqmad450K1iVpjEtebcNWb6J6VgxEEfO2 UmsSHbxPSsGez537mt9C8p/vGlz1VbZddfpgmJct+3kkLOXzTgUjlPW70NGoR3MbPu9MMGKtCHTh MYRCGVh2OqYbzRGF6JhuXfrOBOumTAW5ienDDYZSU0sfXDkwnkwJHWcCMDkwmrFUFVYofNcgVSVa SuYGGgyxMNTvgaDj550Hxkr/dyUaEmKl3Iv+nQWGWeVpObuEX0X3wD+dXYX9SXnTRkIR108YTONg AYgo+eDBNDoq2WS729jkTQFWVUV9+SGeQ75dBAS9U8CYyEuwq99BZbIEuzTurz0+7wQwprnp+5YH Aj+vWyd7J4DhsU6bJTa+mLOubnWy5Pp4mfgDrZ44lFammosPPj0nqzhnTDVe88lhFG2zbN5xHfEO G88l/07+4hZmGRHtDhs0P+fgEh5oFz4dXGH1XvJ4Oei511I9kn5nfuGxBCVTEs2p+56s83yioMYm PSi7ZXYDTL0Tv55CUFGmWq5m14LS0jl+yLKu7QTQhRSMjapghvMKnZZ0/BBH/0776lKFQH21Jx80 PwCpMQjqfX3eWV+/8FJ090IeTwUPTU8YRtOnY1qZ1X06sOgToGaaHtRHlci3GBPNfQV+nw23VTgW hFGlRFE6ix5IRrDhFmv12XNVWu7iOwLA3SazSQkZB54+5SzSLumAmvF0ECSOX/QdhLvUW9T8hEF0 JnNvWiK2LxyoO0jx3J4sqXP1RGVo76SSPcjBt9dEdXWozHpuxz4kDYNtnLEE6N/nFNSkVw1uL04P dD594PI5P+lmGRp7Fk8HzfjspQ6iFRBF9Ztj9CiG7mTKJqs4u4QpZBUYz0pWATV4sVTeQ2h5e55d 1dId/HJfJxMeNK0cKOdONZoXIT1o0ymPVwTNOln/mzQ4JIvHQdMzE0XYceBWPB1QMX6TvxAQ88/S 9ETh86DBy56SBjj5dnfPyb68SrZEJez8mCBJXbzTmaNrsCrZObbgA0xX8o77qdDfZQF4CH+2n7lu Fk9nTXxHkGBI5o0sPQ3cXCcarYJDn0MOT0ccp+8cHhoFwMH69ITRc2cTdWcr0pdbpD8Hu+vgdPxb zFB7VIXuQgEtgX7XpcdNQMZztSoiWj5UehKSoyPmlMyKsXKJBupR+IwIBMouk9JT1AFhURBSooqE Tipc8HxAH7wJhsojzImA0LJJChmENePamhdQNpy6DFU/s3c7N6GJTvUogJbf5EpGz3guMGiZAUes VvySOBMI3idDaFRySQ/iTuquPYqgq3o8pK8387qlmEKRU+DZZAVPRwzL+ZWDMTacl3XQIxohFvu5 oVCpYQSEEjHCwjWLi02cOwjhHwLE/g8sR6X6r+y9rMzRa4Mz+ZBJ4BbfvSJmB4LwpDfzknoGa4k9 qkYv42OoIYYgdGgJQYwTfx9EcPF4dIV9d+KHVv3dZWEADSGjrlZhZ83MxKaai+JBJXCaFHePqYS6 t2VMPq4x+STV4vw/tnoSup6JtUTeJXhJSEbZIYTFzFcNcvgmicCafTDE715SQUSkhsejLXbL0QwH CB/2VmEPi9GQT7YIkArT3QTKzymECbc6WTbIZg9DaGaoq0i4qYwbAkHcAMhVEp92gqYippLIRHh/ /gBN7pBxKkz3qBhdzWFCUI58oRwbclyWw088/i9WBjNUnPWkimmC3kNoxKU4nNEKPscwDmx09WSd xJ63WZUgi+QERSH03kIBWQJxy/UTLkAzJ7O2PxfVZK+502A6Uxh4X9oci9E9KkZXNXpgmW6DqkAn RZUp4FmXE48HKXz9hnJA9KLsyznoESQasQ41H5SinkVqYvcJIAKe0Hl1Ql2wfCMohwqJ8EfUwEKU mqnrBJkEnZ8TmoLHrEWnk603wMPGI3eDiKziO/5izvVKQSoOWkBpTYYkZ0G0jcejBfQ9PyCd/BCY ZBMURtEoI47UsmNdmJpuqhG73y09sjVBUSV6ZYXPlLvdtxVzph8s1CoFTrSb1yY9oS2UyoHl39SW 3EpSu141SFIZH/api2xeOCJ2tK6g83fg8WgBja8jCIJHP3LMEJ85iqNnIsm7exrWPPxJoHx6y1CO SWeGRlSLhvQX8vexfLAZKgm61SokwqMMYv2857BUqW840i200nljRIE01V1AHKk+WEPs7Fw5uK6c 8XgQSfdfSwh/EnWmNEFRJI3SeQcU90fu21QedLskiXDCfXsYIHGElWjqaUKdxQfPU0vDVNOZ7JxA fTQGSp0RIxIcutsrEVuU3h4xtZDN1MF6Kwfl8Wd6bQHMjaejQvSvIIjYoovXHCEoGsHaoigbxRJR pWGVjK4hUiad6DmIER9qcGSWN0DD44BXGFg/s3TwxAirbyeiSFJLBnEaaTyEUK6+/TxLa+A7Arwm +4VNRhLtGkmAUyWpm37+B49HrYzbUOVkYLrgeGATFIXRDWd03ZZrnHBuq1+4AMW2juosTiwcURS9 1C9cTfuM650ThIT3BEVyts0Q9JJYBwBWmbLb87njJ+YnBnQweAYFwAYlqoX2HVgSs+Hp6AD6JqYO Jr0XzjqiGJqiAcuhPyfcHcY6mNlNNgG28+MniqEnDev3NPLKo44E7de9aWYxTt7cSTYzWbtCS2fy D+z8Wfau0flDPBCImkjkXTV7Dwgvs0F6NiAej9aPn9ACtwyaD9wDKIqikb4hNjQFqQT4hmHqKePD IKhSN5w7LAqjl7Tatzrz+5kiOI/MTVPi0bGCRpHyH0kWq6jQasxUymyNEBFN/cgz43fgCjr/I7sN KMXh6egAGl8HEHhPeV5q8wgB0ZD93SqpwkWqN/VVT9iyjaJaM3nSnJ4ID83iaQND1we/wGBDVjNV SHstEBawRASFPCR/TyOsEzA+QlEOmmvCMsgHNZtnF4cOCgt4OgiBLpyV8TL31oVDj6gMDfb8Cdiv 18/aomQsakTI66fBJEnTE4XQcwjqQqjzbgTYZG6wCs5BpiFih6x9SaKF0Wnv/OugFrpGEo5MfEcU AeFgHjvdgdMDV0PqiZUTVeHpiI/xff5UhD5MyzQ9UQSN0mabLhB9vpVSJROFsu1wxH4FkUccQXNX iXWw+g1/TpAyAPekGiIgU+ciYJ95kyE4m8VAOp8p8YAvCUJo1p+rBJMqWKE8NDJccekNck7dvfB8 hFb4Ju5SU73le/6EITQ8m6iRrgCo7RsAtdytDKRDkSsorEQTCTUlvcrhloEWxV1ww0+4QU0mspW6 jihcZoaMqtTv3fSyUSOVzO8qyejqktG5JyzTH0aaGY9HdY5fdxho0vue0DOsRTeocsCpJFOfg5J3 zONrqpImy8ZDRpo6oxh6UfOGqs02WLU1wfJi8v44ISJidB7iYzCS2SjT3VbYZJYxQziHqq266jlY DA0V88TcvDU8HsTQ6RuXKHWhW0mcUQyNBLih7ESTOiDVu8AcaPKdSaFoF0QnWSibYTU66/BRoazd QtmCkSa02UT9LjCNYqmcUjCgFnYUR63YilMX3xHsMRUQl7LVZU6aGeSRJNBuPzH0DDHR/dZaKXOr JXxXUBREn4VCHTu1eFgyMEoGA3eiOVpx2tyMguhUVUms2QdHuwDf2BHk0k7jJH0ybc0UYzj/jD6c c1OpHTDjarTYTrP4oDpHgYXQ0gTx8f8La45yDr14s3BGMTQw7R26RgK25jWc9DTKhSpM0kQ4QXEp WtnX6D54Hn+2Tetb0v4okyV5kpJFiT5BoV+dKtFoSuE7oiNoKz3tPmgFgeAhC80TU+HxaIf5ESQK xiQu0tOwGcXRYCb0MqfRDiqh/wRzlOoo4BOxNiu2zlDUTlymrV7prjdKJE06+T0/cPhL1RYzdC44 u+eVZ6DGj+8I9hj1S/LI2QfN0Pl9qVcIXEjF4xF79xetB2DdfYvRMyxGs+YJjSiWys4xulQqK4v0 +CSvEwNMzQjNIeTvokeuBpufM83ncmJrB86+5zcmerHx7gL9Fq7izjuYW68anNFN0kAUCOXgeCmY D2N+TjSGx/8vpbIOaFG5tdYZwTk65TmaaUM2WIF7mrqM1gPlHssyZlSLNhHWIejmeDSmTky0Tsxa sgQjOwqGCATY6wWTsudb5qC9Gb4jaqhSdyIJ9ZKmy7ukSY4EsM4NT/9LnYPZP/6ifNU5ZhRGI7M5 WdhV51BATYfa5u5iSAqVp85Q3a4yfacplgbPUxHPjUU8YodhdraNSL/hM1WwpzdPKNpmzKgQXSjO 0TITXA664ZMJ6/4AB4nHI6zUf8vblXJlEWeE5iDp8mI4wJvwhmpOj2rkai7+F8XRg9n53owAOVil /pzEJ8dvLLQSQbaZ8c9KIBCqovkRXiepcEZhdFN5rAjyWyxGRAwqd5pydhqejtBA6yuIBoaEiEub n5BUCFOxmV1RHJJ0SjPWNNGy8yLQDtLpEyKiSUZlh9sGu7+ABMKlPgh2SSePFCiYfS20XPN8SIWr 6FX/0lagp4sNysIgy8cIuuSCp4MIul+oHU9y0PvYo+f8rCiEhoYavAJNwK3RkRu0A4KaXJzDFddX BOcQDpyGHjY4VmpmYFcXbenWWUlZtuyMoMGNoGDit0j2CuEc3FhjUXuCg04fFAmoDXR+CzwdkArn L9IlSm3rsjJWWISmNof8H1HtoEkKOQe1l4c0Z0jNFQbQ2laSMOHwpGA1gWpA3dFyoiFGSGMDOIeO NMpLXoPm5bXCGrTAvjt3H+z4mTg+sX72rng8Enf5DVZg/ecCOlYoBF1RGpvLjuecr9carho7fyjA qxkKAR0i9iyarcqQ/KcJD12gRptkITI2TTHpssJ3Wz+dAoCX9N3wHVGRjCDWmu6gKj38pNh5o8Dm vwNoNhXljOCNwhXSCmH8mDoFAoC7ozSGiojVKh4ZZlfWxVhRAD2kka0WBQdbQSe7PQHPWELb5cqo ExYrDGJQP3mKiANRE74jKkO3l/AHzY/FriHMdPB0dAB931+FKI7uZaAVhc+QhIUU6DCsL+uycMVY oESrSjZJT6I+a8gq7Fb/6T44VAG1K0BcuMEWzG4SeWFCdZ6fZFyR/k1hlxVBObrMeaSh1K8EKbQo m5zDVsp4PAp/1vcBXdFMm8nDwxWFz1LY3EvXeuvJFTZ7m1ZlxU6zNs+KZTkIAqIlkQaboIrO2sKl jk5zgowLhV0y4y68c9p+BBWGFPiSAOxC+4LW2IrnoBk6H5uJtzyJ38DjEZjj1ul/AGSDaHe6KyiK n9Hx7Qo9UKY/KckVB2IvlzvMwByJnxNEiJLYbOpytUc4+6y+NieUxVBJPC+52UjtJMGwCNQu3YDS aPiOSDdAtrMy6s3LTeTOIlpbWgmp4fGIGP+L+I2vIWFbExQyCzO9Z6t6pufnrtmMDKpoPuRjoKKt PRbioc3JYE0fLmAc6libcKATMU9kM4RLsbJPKduHeslC/YpiaGCiUD/kJcbBevE7NUkDnffH4+97 bCW/xCQWCEL+BdSvEBGNRk8fptN/jgajXp7ffVysAqTrdYWFpWjC7aj0ZoPNTz4/e1omkIiWdaPA JguIUHr7yZqgzi2GnY4viRDRTDIWFXDaRe2fsJbQDEzQqng80hf/LYSMHBVMApuhsBS9oJ1dsmkH ELIs8aReri8PQamcoVDijpfYLLzkZxm3Eg1iU2vokoJdOOGHQRPMRjRtpRPKDYPG0KtGYRB7zZRO 16AJSuxm/7B/w8eDW/7xCuFsAuKETEETtKMwGtQGyMYvT8Oyq9iWPerPFeewQHFHlegh2aTKVg4H m6FxgnTYwagSfUJFgc4GhagQ5zMV+/Z33lEc3QhWqKSOa9AMoYzYpEI6+HjEWunfhxDsfVDNtAl6 D6TN/xJS6TZBlGOUim3LxQuJyJo0QaE6h+6xsYcPfggxuzAp7XPPN0pn14Z+eEUFHJRA9y1GaIPv CMpAjKST4qD0lIFQU2KPFBhDPB7JbH6rSCI1LOmyVnaIjIa50qJEAUr1uyeFPxuQJF1o8NI12sEO 42iyuncxRtO8cSIYZTDl3Yqjswm5EqByfpeJ/oNf89R+xncE8yP5yEoVUg7qpmYSzCgulfF0xG32 9cM8g67uV71kh6TChey01GInEHF+qJKN7irR6FZaGWiHYA7ycTdLphq82UwhMko9nGTtBEKSGBgE f6P/Ad+ryyksetW/SD3w8vNBeepsKquU89N+dlSGpiy+giDOD9Oc6WHiDiHRcMA7y8OcVZSCg3cw 56POwbsQd/wOIdGq0yfV6dNTpweUKMNiQ55gJQnVMFjT4a7DnWbnD+v0+2+R6Co0WXU02UZjP9vu qng6Erf7DQZCRDVulXWH6nYo0yfKFhFuJ7nfLoNCl/InslILKARzKAiaBtxctxB0jmTBWoV3QUN7 KE8F6ZGYqatgMqhgsqMydKLuXxJvJV3eyrkkBewAnxxPR+Jt5TuKppnkc/xEYA7YGjdzIuxUd3GV 8VQMR47c0vP4HarbmcOKcXevp+wCwhdHmcEVUHVlKxXY6wKM6mAbo8tStuldI04qe4SMWDUoSMws zf2wlVrweJSI3QOI0fdipc5bYTsMoyG3XSlGRJeVvTUtaxSXac2N2GpOUIjnEO2SjVENPkErbbj2 TqPNzaY6PVDe57afgiNyARW63uI7Ip16ZvBbvdRtvdQNJh4PWAQMeDrKMm4lkRRmVOjKI4KzozCa lfqpGKhAQHKp/rwbvRZ4BPXe/QiKw2gCXoZCoPGEQND4TSBRsJSI+2xqgshqPltq3xiROjP4ikg4 gJk8auU26AJD/itPz8Wng0LH3WBsxZMG2a7VSqwSXZmmupGs91QZIV6vlUb5yM7Ped9gU/pbRep/ 5fbB0C2C2y6ZTzD1K6oVDajcFXg90u/J5SOb3jWIELMUFeRGuK4b4XlnBXjjJGE7qkR/rR/FldRd s/nRBn390knJkupwlzG6p6mZIM3OCDG5jnaKYmiFiFO+zRxshtDnGbsZ3gXqiLzmCsLnBtWUXS8r Y1NECV/yPkWriJYBCTy5TJuJ90pDV1pvefIDItRm+UpVmVs/WtE5xSp36weCjIvoDWSAi+CXNQcr HJWGKywH0ZEmjKPJJ5jAetlgk3T+MNgqsjIPDVjImCAVA2q3ISjNaPx6xX5se9u/ZCT/R8+/9BNt FUkmtMbno1zjl2MGuUI73UkKTVdQB+7ZVKJPXllMsL4SfcmEvo5r/Zlif0J6YelOW33frkZZCx35 Jr1onrGU1IaVADKGH0oDGqxj+cv+CVsoPLMbjW146p4/crQmraCx+AFBRHTVWosUuZB09MfcKCxN Q3O3Nmv94J+yIRfSKl66X7uYXitlnoLmjwBm8w6+4fa58Cdtes404X+nUAfzr0bjAebXnrVue90I pEj8SxcfqrszdBrul17OJ/L5CGL2XRtCPbAquNYsRYE1woMOZAEL1BCQnm4DuvYwFN6m3Y6OpahE bal9U4ejPcWPfubvpAaUcYR+cBMMD9TqE2acLGCzRM3IaFP3l06fQaOJVX/o/NhgCIZzs5gyMgwQ UgjxuJxwQnky5PM75dNsmsL4OkGzg/prRDEMSitVHKmgtsnniAqQmqWwTm19DnFVmhcAUSKiUgd5 4ePcCCDAYpZo8QgUr6SnBBTi9Y8vibB4FNaepftglXxoA8oV9KRoeP59lupjVEM8LjQfartFkJxC 6Q4w2+g8xkojFVZll5UdWIV94FY1KYqyDRQspAsH7wadUAvUUtKiEoh1vAUbZh4+Wj/taUfPMe1l gyhJBaJFDQ8OtpZ2M5fHs7/5fFTOv7VGBuVILUFq9kkK9TsQaO9hEwJTc51Le18lD5iEVD+Wwnq1 EENFVvLlsZKnuglKakQtnqS4sGjSqMjZqAvntbRSaPaILwlykc1yo4Skm3ShiXtFV4++3uchPh9J 4fWvU0lNoUseyymKtVEERVvRTKHOoeTlNHhV2NlNNVNzpotUPAq7qbuQecDB0xFITNbMgsiZonMT ZxVEeLTgAMlXyczsiVOsJl0B5qPsbTVGgqhybQsXdZI/Ph/st/p9dKui9/g3p1gOj1oMvTvFxZpo e5Z7v2G+fI5if0PxoJ/Ba2oTAVLrgp6d5cng/Bwim38o6SMGHipkM/NLgqqRstmh7uK4Bod1Lgng 1sF4MjRnuQBYqZQCytaflRR6HJLFUeUcAT2PlK2wNiViyrSW6FpOUuBxeG6eLjnyWn3whYQktw6T Lh2IKNkFgOZHRr8f55DxgGStkQOTQ9wyxH40ORcrOoLYwMnAlVdAljyHJofjFvcLL7KGsw+rxCcp iroBuYR2ZlJqe5JNraktE1PebnMSlN/1OUGb2jTtBUbr1QPKTU3gk+EOid+e3VaKYETn5RrvxPq7 i59jn0MJBxLz1Qx9TyDIqFMmNGc/8vlI4tWDbjbcgFdal62QY6fDInHFZQludrfrVMAJka5QJ1lN kxQqTDcuISq3arBJSmBgmJMfmKHoE2GS4GUHTUKo0TyEoGRvG1HKpILLEsAZlKzuhdiCsgwokeTA 7BBl9pvjCi2MVDlfabMc2B2qRX6uhOWNojKm1SETU06j/S4/uEO/Q6xlIBnb9MFmaS/i7yWgUzu4 dxJ/E2UJXYZ9K9lsV+fA8PDut07SAgci9vassh04c1/5eBQBfGOu0Iur5SpQ5tDxcCemmtxt+Kfu tf5NhBi7jYkq35qikJuYpaa8lg+3o092PQGwUN3KSw1rMBLPD4Kq+7iVgK4IIPQ8XCwmjSxrv2y4 gRO6QiuVwWHRS0YdWe8WSbEJsM8Lu8qB6SHiZJCmei5WbFMNCTpDRBnSdKxRElWbLYy3DZj/366H a55PQt2ac3TuB1QFeLchkcWFDwlcP5EoEZMD28PrvFrWHbiM8NIqSOde+HikQ/mLP44qSZ7PgRSV tHFooYykFn7P0snbZAcxRqKIBfA/Zk4bIkMoYjqkMKCZtpwEtnLnOEZ+u8oJKgqdpaBIiRsNNNOe Hq3Oaa8b8TsogUIojwbVSvD5VZ39svl8cGyX3642YAGm52oLpT4mWGZzCRPSJUAoTVwW8nggbXGo kj4oAugLglW7D44gThCFxu7HSsI/s7SLqhnswtYPCwdmAl39baO7TfQpMhg4qLe2dpUB67R3jBD6 Xiqh5NkWXOLOUQiyhuZrq24+371IuellJwpDbsOonDlwQBTnkUWi4cOtJ0HaDKrbeVCPshD/gKob 6IKoxFOcWxAadmdz6IEoNicq5D5Y3rbSVvn2/Np8PkpJ2teJhAJEqZdqlkMbRNQXWm8mwNS6IPsn ty3cokRan/2SfB3FIBEV/uUjNR5GJzx2YSYiaa8BifTKFiT6ZADHgqPhPpoyyw6NEMsQfqZnHxQj 9YnGjjbb4PNBRlK+oWoNEUu5YNAcWiGi3UMPpktmcIuk1Mx4C2j95CW30AzRFEuriIsUybCUZEMw eK5tZqx7M7ftRIVaRvzgHbO97V9tkirANRXQraCPVi1L3AULKbBDFPDsSduACC3zIkJz6IeI9Qdi 83C8Wr4VNylbTLrSIzThdgsdEYW6PmdD8eHmbYB0F3E+UL8tXYg+ZKD80cflNCy22nLoiMgOQN3U ttVgnKp6Pp8o5pMa8PmIG/zNy6Pcbr/t2hxbIi7mIV09EogiGKtqYv1mZSRsUmiOQmNx4WnIhdZw 20k7zd7JvoeR6aDGO2rcUCACvfz6KdCzSi/7F6CmV6mdVue2wZehKEY6u5jPB3Fk/T6RAOOi55LP UYgZgfFoBV7JGfhSbVgmWkBscaZUd9LnRA0l3mxt3cHjyHLmeFdyzM+mHZlofRRN9g9U5BBHflmP +ttGXX82SVLXQK4dG0ot7SG/VSS3oS3iF71KXdtzP9SvMymMtlemdeQwZES2DveW8aD5ulDfqutz IkXPIkeg4YND1076vzFxFJJBBUXa52D8Z9LWkN9aY5tiaDl2RuRZ1BqVUpqzADeUu7ecgVLm4/+i mSe7/0t/EpLQGrGx2qbyEe1d2gWPpH3BI5QrZkYSmiMuKupteUTvL4/oE0LjrpOsZ6KvKTGSbEAy HJqXhiZBxhy6Iyr/zypyc+AclU54Cz5v6fGICOIJiQ4ftl3KM0lRuI2WYdfvrnDbAm/ko8uJDvh9 bY7+tHg5C0hVgNwuwgZ2pL2UKuFcXEtVXFBcdqD3zKtHhD6E3jUASDCMxF3vA6doUymCy2gNPh5V SC5IlFw0bFlWu22Koso28GmIL8zBBLxFg5DkVbzWRpl9TVGsTG3GHNMHR2mBVkL2PTEk516jMONE 7Z1sQkyqpgjZkd41mCJqpQzJxg2Xjdvg8jQTz218PEhrxwVBig6CjkR5zuwo1IaX4tnNxWy4ZnYc W2O1TyAS4FvsNIpdXiT+LpjNV4cEk1S4bQHGADK0iXHdKKCLWOzBaamIFLokgrSLnq2SkmysN3RW quW1afLx4DSa6es04iU55s1GQp/EBE5+3iyPEEmyvYRUeZsKSZJW8zmKHcflg8wgcn0FkSud46j0 us0JZ09efV1gu64yBQqDWEdI9PglwTpi0l8WJVQ4aB1BLEKSlDXz8ahkm75vtYb46GuSQrdERCu9 JLMIgjev0/JbMkmeXOG0YXsttkuUEnO22634QjrR0RoVMns6jhr873itIYHHLT7bb0B2Du0ShSLp 6nBzsBKS9SZbGpOPB3tt/wqPcBo9Mvk5MEws/w/C5nBEnl5myyKeo1Rh6PXCFrLNUBRmL9lQDBkJ jGskAKg+PJUaIZHnDi0GawccLFf63o3LrR7dXjbq/lPLexC7zkGVyJW75GFa1jtGqFGfoqmSHEC1 tzgSeyYCSDKkAM96mzNDquRBOp0okkeQoWki+K+4qfLywfu1Z4qpycjEH78z8aVlwo0QOy0Timuw dbW1Q9vEKernlC3yvLbIjeUF7p40+Hw0Sd86V/QHKVeMOcfOiaggJVn8EuRvAgaQUDFeKKH92xdS 7J3YBXisPtxZAiukSoYHP8dk17JCyIsBMyNKLSR0L/S2kRyqmeFUH2ySUIXhJNWsl4yS2iv3yTsM +HCetTZLYZgNYE9h1kvTl3TRNo1YFtMJyd3XUigUwk20mKtq8DpbPstzkqxystp6QifWLYn+rdRz K9RENYfSZa8bVCOnDF/68MEKJOfwFeZhoWUb+Cimb1EwPEhNzccmMAdOilJBaCzEU5q5U8Pn3Pq7 o6MjVcsyaeLa9TkRDhk36lz0wePg9/+JRkuqjZgkQCYbrz9YtnBe0GXwku1K3V42utuIsy2yw6OW PVM2lPLEVtsokARmivLaesqRTNzb07INvRSp/AkXSJ1KjdqA4OzvYWKXMIp3I+AcmCkiYmc5KKsq ecFCJ/ff5+df8mAYMMWqBC0hJGCv+Kd/WVSo0haaKYqW3rcsYLbzsU7kePaqMA88lEK09rXartyn IkDdOQrL2nDkhI+T5mizi4OTW51igUjWuHMUg0gYHVZTXn8MJwtnrCYpO51MLatLm6wx84M+km+2 tOxlg81G4b2WVI1MXo0sXKhT+Ujn81Eg+av0j5g+92evhWXtRSdOU0rridZHLP2z66rS/wn1hhWR YktFsbHmvIMXSBIimN2k0pyhdNBY+kfeADaMepGqRvZmb/uXSGGSV05yrxyI4YGvg0mCnUcOPBWR 841f9RH6QLSn1Ra6KqJv1Cbyu4IyElDE9MbbA+FBpW37IpdTS+lvGMnY5FdzsO0G2+eyQH2Fr/Y5 laQDysXVSGld7UoVsozJb4kIogQkZenMZdeZywixuBJOnD/5fBAEjF9rCUfbo2adQ2tFnLetcrUS RrIsKVmDVQ6ltoRcMQYIrBUBk2f2X8WSuGrlJ/svqGojMqUcMaSD5P0BZKbsFZxDmwdp6jmwVlRS gShSxjDDCTYrgdf/o6IxH/9X3qYpYvD2TFFY1yb8jipvaNluGnKwZYvMQS1byL5b2hZaKy4tXDaT NPgUNRjfQniIthWQXyD0jSxvhMywN7S0bShMCrwVJQnLDlvzgVN01s6Q1s65Qfl4cLNdjg0Li/xP 5Ex3ksKImygSNpSZ3BK2RxQJ7VMMRYJgymYpDrnZ/O9EZHHwrOSE23ktMtk65IhrVd6GSAOIIEAx vdRWlr1tVNhuBMPR55UM9SoReFz88nlNZfEDgl7blVRj6IkEsD/BZGCxKCW2ExoVcbQ6fAMtmMwr GZAUJmJebIs9FgW3XQKSru8yCbTdC4ULYTY3WpdTJbJboNAe/4FB3cIceCzqdkJaS58hDtptcyTR 9U7mw8f/RdeSLhYQklf3KQcmi9AGhUeMykeTLnnVHBpyd4+YVV13JccmiyqS9Ll98Bk6iwjzIsYo kNl0/BrMYSn/nS62fbRtL/uH0xCUv6cPwrWhf8AY6WQ/fDziknwbuhP6V/O92EKfRbByYBPjOBsi chAj9em7Dpbcnt0GRouX1p+pwcLBYySohJ0TijQJxAJYsbCJwc2Pg4gePY5qW/ayQa2NuIgqDEkt jz7oPteamZ1VPv9+aK8rr0a+DVBtoz/nURhrm5mpYm2g2kz5G4qALu84aF+jSYqJkU0I2+6Dx0gZ R88gD3pingQixU8OqAHX6e1rSwY8B4aLKHslltmYkXBQkLTgJbK0OBafj0pJt/jPcPvkqXAoerZb VNoG2qXLJoHVfyG1BLZJrnO0GJwwlgxcFxFtsYwEL1UbHJA0ClJ/1dvOZ7FzBxkJ/pQE297OtpTE cuC7iBKqSPwt+8D9drJmKfmgrcfH/4WQFObkfEq9njGflxCpnW+kRO25KaZV/3fJw+ok3AsmlTWL HdovEdL5mLOQccw0uQBr4ASdI+jEhgvSj1hIUFIt8u9OaJBDs4YJxDQ5eUSRL/ER31TGeSzQ2mDt kSWmzZkkPPw/k8P321OT0wQfoYgCbmlyel9iI83N5PIxyl8n5NXIf43YY3KRWH/m8gnmhjS6kxwo etwPUrvtms6EWEW7nTUpdnZHrww26fRPmfkLzf46N+iLUEO1i2jTh4tkpbGU8hfUal/nBrCRYnMj GiVr4tvm5iUk4kOd+QcMKSmRtTKdXzdKIlUOaCdubMla/S/xkJaN3Ey1r/LdVyj0T/JptuSNQLzg ScXKdsUOSRdVO3GXfF6iIb4o4MvwoXwGu+h7M8mr3T4vkZDmdXebGsFvcOTQ44Mu8tGyAZGMS17a qZmVkPEDep4QtrT4sL7sSxDET6G89Vku6Q7eTkP5uvdmOX6FXydLk8BAAjLXqK0mwDH7aS8xEL+C Vz/6WpwaBjE8X1MCSoTKTyeg+LzEQHZ0+Lopxgpgbd5m5yUEsk2FXhoF35GT0Y8MF9aWLgjT+9Qd 2v8SAGlPiWkMIwcbvEt0zss2t2536KUm1q4nfrsCd2XYc/qeojLoS/ij80ZErCabodasfnByPESe OHD6iaJfoh97en9tKhzgNW9fOS+xDx8Cng7C1o7DFkxUuqntIdBsO4xfQh9ODug7BKkvH2zp4NGT spCsPs+9RzFfuDNQEwt7ORH1sCSrRoOqYHYWHQuSDM7SNThLMJcWH+/8d5+XuMe2iM9O18X2Q1yS Zucl6tEZXjE7tRqBdtNWCkcOwNK61ytZ2XIeiCaH2PSceBpzuED+sYEnYy0PJkyKrienA/MCiw9P VKteNNhXWWcNG7EcNDcT1XyaLZw87PMS7ejAmsvmRvLggIMgEeDcvEQ6Oo5RoS4E3FMHYpqMIxwn DMKXqQIuwd3oOBbKYTzDPXNOpDbNlAGgkEapEXKYzxcs9WUsZh560febSlYDY/Q7WMGM2wp/HarT LzGOvWD72lWVQoX8D8qTBXODVBo6YWYOPNsQUGa3fk2F+CZM3l/KibahhZJZ2webG1CwEcBi3awN sCnFHAtNd0GfyCtfY07k8/iOYHIEs1YCXy4kDT6vW54lFAMNJqcvv6xYV+CJB98Vzc5LIVGLtQ9C rbdzP7zNmqTQahIQDH0gIRIGOcR+/q8ETcYpVmVbiuVPkaTKsjU1Ns+/Ux+kNTby56WKqJ9AqJ/K q5yD1s5OayUzFMLTwZljVGsUNLEHUR4m9FoxYBQggyMGj9VkjUSVxQhsoOgb54cYRSPsRROk8K/o xir3xkLLHnyYzQj5HMANnRRkWoiwwOujZphLZGS9a7S5uigx0welo+Ciy9JuNz4e7a4bCDIOwIVA gUDNUBQmI285F5z1ntGWGcJYZwkTs2XfbjIahslTEKs6fPBcdJSzKNnOHECPtsKaBtHVvVJyGzV+ OcLQVOHzRkPTaie1anSJxXdXIksbnG3xgTJg6FGobHYMOn9oI4W70yboPVYGmHGAaJxVPjxRbleO vqFxYfChRuChoDHBBG2KuoCT5YOfzr2hKSNXoRMYr7WlowFqKwodMPcxMuPS/ETRcu9CVtXpg6Xq J3uVCk1DXew9XkaO5iuIJxBKBPOmEm/0M4U9EEwu1QLmXrKLfMNOc/kWe+hnUchMydPGUpoNfgad UOf82MSfnzB5N7FmJ5qJBdljL5fIyCrT5418poxAhpxLpgyr+f2VISIqa+CFTkZ0CE0/owXDJms4 30PoPWgG2Bfd+e4EvZq2O56AiW6B4QaRwkqr0QxRHZYeFzZ4mp7SzLSBo1/FTlRLPcEjDREgJETM sLbYVB0jPIQkicEyRr1ljI08Q75de3zemGcWO/VfZxAQwyaJwRmKQmdU1gE4N1j16NusXRl2S6kQ 9W9L1d9DZ5z2S2Hh8MEnaDSYeGTp7e6TM6uUn1BvgZoKG9ZWWFXtOQqdCc05YQj7qxyIGgI2m1FF Ta1+3nhn2ixpfh1BmboEINrb/LwHz8pmoLVQFSD2moe153euTl8sl3YWRc/Usm50/bLBttiEgAqD B1QLa0rmWCHCT6aCwDVWZBD0xjnTX8iu/JC59HjMpUFQnZLQzfvzRjmzgOb7jC708F6eeb0xzrQx F1GLad4wyBw9FjRQjANDyD83WBRB04P9zIPw5uXizZHcniNJ7vaTmoVuKVTE9h7zWg4MyhG/0c30 qkR2LmGGOKiiAWVEbbA+P29sM3tDD6GlcUBySfLpeY+hcfdUBrOqM/v0bHGEhiVfMJk1CEwURaMz gYVTlw9+RPOOr0OK+iuvrjphRcEH+wsQa/eWHvauwfywb1GSgul0ua+wwRCGDGozURS963elkH9R mvf4iaJoOESenKiLggels6bjZ7BOzTbqoscepyeMoqd8b5/B62Fglu/Oevy5wnZuFAud5KVBhYm0 YhOaEacziqJl2bVAcrVBTdSyqvrMG33mKIrOv+oa6GDm8RQLoyAatVZA2P4/Y1+aG0mONPs/zyIM uC/v/gd7NDN3Ruqb8NIMMM3qQocyxOTiiy2G6qzZIIumrklwUG/e93ojmNn0ENTZFClSav7H+vBo /02Asc6O6rUnoogGcMAEtNf9RXkpetX36wtVBmTtjBBnuhEiBJG4naFX8Hmjl1lhatj8VCNaNYRf fv688cs0r5D7XtUNl7j8ef4MFYEIlBvZKj9v7DKL35bQ93dwtGJd0B6jp8c4K2k3cqwaTPsgvY/f MD0cvKJ3/WclPlGQj4OlGSfloEwYsP2fN3KZFkO+iVizumEpNxF7I5dp3RakX3IaQDMnGfOVkvpS LMpQcLP6zxu5zG5CiYHI4ZVUmR/r5Qwc0uzBz5NfwCiYuKBEEQfwOb46gxQFeSOXWRBUVYlfPuiM PlcmGxdnB7TPG7VMrzjL9wxBACfL9Y0zFMXRlTIO1SajJ8mC0tZjz+KHtLYZZiiKo+kW39JSJrZu JnZe/0Q4rVMNdKG+v0gIYkOwLhZKUSUR3oWmIp83Zpk+xIxzqJw2LkXx3JlTWPOTa/HxKJv/bloA agvDEZ+hKI7GpdvO1lrWXC7u23VCruQgxZEsl39jldkmbzLsmj74LV8mHDA2d9k+KUfKqpMBbUBA NZaXOy+RxPnGKrNqh8CJu/vg9ecxiDPD6v28scp0026/xwhZhmlAwTTZDIUlaKSLK5tLzom0XFRf rt8qlpEVyJM6KkEToHDWTsk+eJy4C0AJi5XEPnav5i2EcAhYZyZxqs/LluGNUmYdEhbo/0tUH+rf VM5DHerzRinT/NYbJ3IyEDVgq9sERYE0vc1y614vayZNpBvCgeXoomkNvUfSWYKgLRPEqsFmqJ40 YDaEzADe7VLlbdFxMdFZHNqptx609K7vp5BUQFol1oWDHdStNDmMr7Q/b4wyCzTzVygELmOBYKxN UBhJF+aqrRmmvM2H5JJdtHkBLKUl9B5KC+wFcXvlqvkrVwVndlcW8qCzWOl3C3+48UMkIhx3v8yX +BnBBBFQBv84HzRBJ6NKSRgXTNB7LI2D8lcwhMQ333rZG5vMthgOoWneMI2ab9IkkAIPU1WCAXhK v4fSMA9kOYherRo8VkS3aOAqp1gqNFOIp0IfOuvEdgEwM8d745LZKavWICFAHARJOBm5FJchbfVG JbOcylNViRdBMrp7f/CNSWa3GG09hlfLSlIREXIfrth8tos1CN94ZNpfk8tHvYzy1ctYJ8tebTcZ 3CNU4UJLCBkL+sNYun5E4+D6vNHIbPksoceKD8zk17lAGQdhwX/eWGSKNMfvTB7M7O2Z2BuHTKtH xJZpriebHqA8f2oezQEbNELE9nqjkH2d0FQ1t8GWD4oElcpwar0nbqeTFaC6hCAMuZ9HQURsvFHI rJWlamvLPogfhd4Se+8nuf+8Mcjslv0+fjIK5EiwbH5C0EZfVPwySgtx+TY/K/v8TNohcH7CcjQx CZUYew02Pw22OUUd5pPAnmCa9p0FCsSoz1N5qhufpehVo+nBBZanqNEzez+D3AYWW885+nmjj9nx fPvvDBL1dj4/URh9jjnAxfewROMWOsaY7pqzIH0vdEKI3KDidxY8IV94wjmdJ7ZbUyHo5KIN74Ry LGomiCGot2qnM4Kazxt1TBtEhuLQKLKBy2dudcNh8sk3jA7n9bW9wD8wrC+nJ4qhEeK31v30qfQ8 pjn5oCxKoZo23YI7f0zU7dGaYCmagx/OrQKlTMuck+0DDlulmY7fAjE+9qVpoVLB4o00ZjmGTmW2 NGahjSPXzzqxOIN29Bw+b6QxTe9N5VW33iD1+/H8RhmzLwXMFdo6QQgljeSeHrk7FBrIPpugqBIN PsmZB8hU2aAJglD4zLt0E9QphdSERttH5EDzqQIVvWc0O9L1egZlYMgQpaY75+eNKWbBxzdAgQVw cuw0N+/Bs+6DnkhvEH6jeSMjV+YXlGQYbtr1xhO7CTZBP90Hu7sGTLErzg3AxPf5lulXsVChKmi+ 0NLcOmEUi3+jiVnBvLKLys3FQZuLbDRWBnv9vJHErB74vbkozQ+TUJufKHbGEdlTMg9F+C05HjNl SjQhQaXWHctkbxwx2128u4oaYo9v4E7gYnRa9p0k6exZIHVOdsHNQcXoegVi4Myjl43qZLy1YE5h g6E40tJNBjLM540iZmvhphdM1sD5Y16vKYqiZ8CGW0HkxAy1TK+U9WY2VRScsOD5jSFmtzuxP036 p42Y/Snj9lHh9cbgmRxWVow6oEeFlpaJol5K4UfSuwbNVLKehsTPB8IfubSe/03Jw55jsH/eGGLW DPMpwgwxtNjzHkBh+NyAPCx27MAzU8j53ZcRNJChbp+hsBKdFPgIsbrmzb/WOTnqAlORlfpzlMmO oBFxeFYweb2mMcz48I0d9tWPb5vNwrZJtWGKWpBX49dGx//zxg7TLtvzKwLCmVRJLtUERQE0gvZz 4A3XPFF1THpehNVTYrDcNRQVownuaUgWfXhyeJowsMox0MxIcrVATAAAsVTPtYSKvWvQ6ynSFxSk ozs6c0Ib3voT+/NGC9Mb5va1gHiFUl1SAMQogj7nMpRgknItmFBWFxfUbqM3yEDpCfPzxgr7uuOT DiMOF4O4oCHVGQKtlibttiuIUKQ2YAFcmWr6Ln/eWGGGOGBugcqIqVRK7uwEbk1yZ+ddP2+ssOYQ z2eGEBWXp9vzRgqzEilKDYuquFTNWxRagp8HYH//oSgJyI6VGM0ohJ66xobwzxfrda6xk4pO6due DXAiZ9nrDFTbAa//4ZqzZiHW3eeNEvZVaO1V5kLV49ATNlSpeEPq//NGCbN7vn3HQFiGiN1tfsIY mtCcYhLw5+graoFhXxiN52TwSA5xjb2xwW6jkzoL3YeLVwDMaKgSfT6sd5YRWdaC7e6PgPYu4dn1 rsEhTShHE9+Jg5U4ZhW84/y+6/PGBbNmtQdCBC4ya75H0BsV7DbRzsEzvRLdSrKLvtc+7Aha9BJD jeONCfZ90WcmYTk/SdiZ3g6DaoGmTrYBPgEQHajQo2WMBOc64w29a3DPc4LYG7TBIC/gGPCeXyeK fmOCtd9Q1ibvGMhipbvFwko0jIXoNa8qGU1reEh3VxfKEOO2POyNCWYXPTMMdtc1+BqCNm7tbDg3 2Dt0g8Vg16FGB1Kj5akLl+nnjQimPJUxNFRlfRBgYS1qEQB/NT9vPDAr9I+vUJr1/jLvFovq0Ahk Yd2hjYUuU+chvZuw4TwDhzd73lhgtnyZny6to7WeOwwyS4niDyhM4NK3psdkBv6Tr5jAJiLojQNm WXwRjqP7wCsMXAWpv57z7vPGALNAuv3KUlHCbN4tfCOAWekIEgu7G7G5EdVFMWo6YjBLPb+ig+jD OBr3EtTLpw9+QLdxbo4lX070G6partgrmZz3co0ndcO/kb9urwQNHgoJclA3vjQeXOfWLnw6uL/q dw2ITj2SNOVnRkE0nC2AUXD1wDoMh4hGWLMkFd6aNj9xFI3wubJno8HmB4LmZ1cM+ttDjALVKeAf UJKB8QqdlnT6EEb/Rvqyk5LLplCQYpbqtop1bIE5el+fN85X+42WIn8QKbyIVZyeMIKmOblSeEzP dFoK2Vo2PSjhK4dvMSSa62ak7oNvLpAOuG9Q5OgwdREVAcsHPTgEIpamghzIzwjud4LoS0nNB549 NePW/SH1NuPpIEC8FBVxfRDrznWvrzCChjk5rAmUhAHvbylGc613uBUa2q5FJWgTn9ziquzrincy lfPw2lWAzXYuSgl6FN4b3fz7rMSq+YkC6MVjZ9VxB2N+LZlR1Z34dNCKL96KZ48QXim9+vbqYQBd QHCqRtdB9UbFVuATvEZ2IjhDjPf3+FndEwhuc3o4+OUOLYXZVOVA3dnNFxAEM5XPF6rQWSPrMWmQ DYyh7TV8e0GYaKu1PiqeDqgYO32dPhW3AgV/ND1R9Ay9m4a7l1PRQPP7MbXA6Q2MTe1B7K4eQTkG 56Wg6WGDVcigMlkgNEkoRzFfU5UQM6aRDQ0TnSDct0cV6MornSBqG7i7Wm3s2iHmnHg64jj9amCg 5ZluAbqHwXNGbNit3470xRsYyv+McboNL96jCrThxak8pcHSLzi5ptmTCN7nzuBMniWGxAJyZVJZ MVIu0UA9ip6FJUsqcaTGzgfD5wKmWWIG3wqeDwiE8zu/oFpEndPxUj2kEBZIKeS9DU42V7P4uVBs COEPWsJWKOtR/Cwz0r3THe4F3wfQy41IjpqqpF0rSSuoxZX04O2k7dqjAFqe7UPmkxyUw0PEZ2iP FTwdHNHpV5ke9Z95mbk9YhEiTD93JI9gBEDUkv4P6pe1uWfZIJEf4WEPo2fFhaluHxxQNtERRGiH PTbXVBN+wIsYxbIfIvVMaoJ1xB4VopdIGUJtnqESeAngbpEsC/YzHg/mZ3j4zOLakBHtZRKG8TOc 2ztoWrzCpviDUzBIa/NwJTJ+7jGVkL3RlooPvskWas+Md85COoHklvPdhuA+XhIlTDuEIETAVw2u eCLuWsnFB8P7dvowozUx+YrBFvs/nDBqw3h+0cNSNPKRAh8iLqC9CO0tPIV4c7FIhpaGdlgcQrMI RBkMDbaCTro62k6UK1sdACcKAzcgNtsJqhHjXcA4BaZ7VIlWDagukrw5aIL2eXxZCj/xeETKSF8T xDwFIbxN0HsMbQrc1Esu0OHK0m2RNfCCHiAnCCbwNE/sUQy91V2f0rydj5jLKKDVVmO/rxMb0u5l UukGrkWNmveizbEQ3aNCdG2mC1R8UBEISjpEy0HjGY9HKfz4OqQrqInUH9MERZBoUAXwYTqOz3WP owtaLkJ5A/ILup+Z3vYIxiFl6ZOxiJY6CVziEQReD3WZUQQCvH4TS0ZlPqChgd3wXhjyDXxGEAWJ 7oT0yQYtIFh2q5l1Als8Hi2gb0YqEwf0HX2CwiiaonMUZhTOpRlfN69kMpOFRUxNUFSGNhjintMH CxMTwDYNHDNM0Jbi0fk4KIZW8Ok2lSW3ktSuVw2S1NVfwYit9SXX45EaHo8W0HcYnYmfpFSW+MxR HE05YHPYQrtwDYeSlWSpfYa0taXxIypET6Xx7Eto8FsMUkMQyifUBZLJ0lvIlJXGvI5066z03RhR IE11F8AQpw/WDYMXwFaVLOPxIJLuvoSGgfR+YErtMxSF0p2q0sO0JXpeeVkchHhXBzYUOMyaZISV aBUQUbqywWfo3FXgcTZtsnOf0Z5r8uYCdYAAe2Vi1JHBZ0Ssb2q4CrbJQZk81M90yM6NpyO45m8R ji7WjM1PiImG5tUCFYmGG7vWYVUylgFIvZxoxosSHxWi6U3UADzUQI0wbLExEHAyZgZaAQBXBUz4 UexwpitvD6Hfgc8I0Jp0/huZqmTQ7zbUU4JKNb6Pfv6Hx/9qZHAuUUOQdxznJ2QWwvq6FXNGaHQt khSHzDYoxYEwURssCqMXAdGlb9XJKI3B+UExMokWhEZYplRbpcuabIpRIfE7fmJ6YjCHaAe1+KBE 9eQATIzPGm94OjiA6nebR37L+54/URDNPH4xAyIUaDFGhBWpUC+U4hiu1zaiIJr8ZIDchw9eBjqL D1dTVjd+noiHnDA45kpiBrqkfv7QkXxENWjK3Z0MniKJk4qLOHWAqJf3KbYuHo+WT/6/2yvRPooT FAXRY4v1ZGZtqVpDA2nu8DZGpWMz91cURS9xv6dixTlvGnZ2HBrnmXiFgk9KwnOonFNUZzViKlW2 RlSGnmQVQv7NBx3QZ4q3AC8nVsDjUSOsfl9h2Apz3/M5BEOflXYSiuKuyKOqv7w3NwkV/6hprvmJ sNCoXAAUxYYzhwsmG7sLeUPoSypEu54IBEAp/PXTByM7Fp8R9cEKNwVDIQ7KUmsu3CDIi/B0xMi4 eCDx6PEvt4sxokI03vP8v4izcpb8knjJGtXXD1SlfP1EMfRkpxku3Bp4cqrQmta55At3GDztK1Uq JtwXCor1VDsxmSScmfiMKAQiLaxR9YaDDqATsUsbYa2NpyMtID+AGAugbTUu2G5EITTwfzCBNyV7 ql4rSaVTH5PUAbti3e5hCD0lmiuyQX3IBuVk7oP6fkCqQgmOQndDTn2zWRCkAxqIdH5IEEMLxKFp aoAJ8tjAESfG3Tl298LzQR5f+3cWxmAh3xMojKEbsQprWwBExKQKQYPa9ZlKf8XM2Udciq5S+Bs+ eJvwHDqj0WlxnGPH0S6sElA2OkP93Wr121826qSqQViSD0Y3wM3JCuo5pPD4n5UOzCbom/ue0TMs Rg/xMRqz0/MnFlYLjUdmsVLZpIUZ8tQZBdGrcnM1bbW27hk0N2g8AItRn4NmthS5J3dgo1Nwe2F0 xsBnBHkqdPnbKCI4X9wawiwJEQGqhceDCWrzKwZa4nFeZaAohga15OSpFHABrIOGCmeqFqHjhXip RHNQrKAZMgvV4cost3LwUmIFs6NLchSO7LsuaQPBHApsGaT+Vm1F9RSf8S9i4Wxt+2CVoAXDbuap J4aeISC6f1eCAL6kbpPNTxRDA4l6vm7TPYROh9ExMh0YCebolFPk/IS0Qq0fsQs53GZYAUNOmMQ9 T8BIF+1BJgbwT2RwGDeVygEzrEYPUp0ybRA4WDJ1EmHJs5yliscjzpzf8YwHECz1i+WYUQydaQ+5 quJleEQ4oB7GVFavX3Tk5ATFtWgVOtb2wSHROGJOZqd+BtDLNWuDgfWNfAFqEVaKRiqIzwgmqEmd Q6Df5TadGUgOnrH1BFV4PFKJ9AliWQ30hZputX5GcXQtlJUz64MOJpJhOSDpqM4z+CV2SM8okB4K bqasa+ZDCTuhzznMljF6zmKa08RtO9j+kAi6tj4DJxc+I9hiAnFkloI4aIbODSA73nPzVzweNAzv EsKDCPwIGrUJisEcDUVhU19FxKur6+SrxpMHMzkZXmpGYI6+8/s9f3YU1OAkzgFU0KKE0kiskJ3V g0aBcw7m1qsGR7QEcJqgra3fOwzmjiR0n2sKj0elMj+i2ZDHpmCuqvmJ4BzwVQaIwxUjm5Eu11LN jH09ApS4fqJa9DJxhdJ98Fr0ScrqgtEbORmVTKEqn0tynIgvc8njoleNjmiezVTO0SBY/Zpdpcu5 Gp7+Kw1j2THja0BJweYnpBQmosVdgyspeR/Mw5YXymCPp0x1hvp2lYUyaB7Y4GWgk7jM0YsQrWDM dVP6RR7UQP+4nlC0zZhRKbqolaorrLdHH8gOiPNjNh+PwFL7a39B4O57fiJAB1oLPc0rXtKYvlM3 0sUkc23TjUVmFEiPasHP9sFq9b2gumF4oBPkNCqj41fBC+Luyo/yOimFM4qjm8Sg5S7CQZwwWAXx CjoZEZ6O8EDfZSBkQudsvyFQSCkcpBSa+0OjEAfzDLp+SaFsMWfi+ROrcxQVWIcPnqbmBiMsyk/A hGXK42AjEioUN2atVZTCVfSq/wyBFksk0wFrJ/Ru9PcEvrrg6ShC/L+0jApXXE3PikJo5I9QOXDr nmq2dOfwmerS4/xbZgK1IjzHUItnsRrNwXOME2KVKSPx3hfcoOjxU1QS5Kn0WyR7hWVo3Dhtpbl9 sOOHwAyaXlc8HSkkpq/TGVCJQvcPTU9YhD5vdw7nZtJJm1RbooFoYCbG3HInsRXiOVhdxT704d5e DS7iMu2hew97zYsEFNxyNPC1GjRvrxXL2/HYyRLoyC4AmCBwqeVz1iQej+Bk38uHUuLP7lqhFLRw FUnz08XHMUDHmg7o2K6QuEJAx+IECSuWyUb8aVJIPEH0uQDpIQbHtUp3TJR8fphtkO3yEL4bPiOo QrP8XMw/tlwDUYKMOD8Q2AwD6CfBEFyc2HM/nlfIKSSaYzRhNCHre8uIvbkMvYmTJf6cSGNTKYY1 Mx5Ho7kggVkoxtNh1tMz/SFI6uE/njIiyjL8jH+pt8FdwwdOUEFTTF7GeePp6Pz5phTKp+9SdlcU PxPr28hs+Q//BIrECQ9BVjIFE6CZs47nFVIKFT5LUSE9igpni58ZKksJRkFnjpaHg2K+Z6X90Evb pW+mXjWokkkWKAvzmy+avnSAuXm9p4zHo/jnuxUPNjNvQJufKHymwGavduycqILeBSdDHXnaRY/d bunFCjU5ZNGTeABxsPlJa4BQzv0FOnzdknVB8kLyUoYnitZPSbQxWhGYY8kudMtX5VIXMxTLZYg1 +sDjEZjj1oCoOQHE37rK0CvEQzOGnYZxAQ3EhBQSu1TaYUqXuMPCCFpAoPIMTgqr80yYFYFqVc8K pX+WQ1AEIilsaAllvWs0Q6Q8LXoQlEXsAZL4DI0vMnrOd4PHozvsuwhEj6n5SCBHETROOriqZtbG OvNocZ7oEJw5QbvdPRYiokUo3LX4cFNUwE8pnnYmKJUJzPWZIEGrKjAvD/GSlfoVhdBJdIMlAcnV XIGrQVqcaI6eOx5/32NAxD58A+AUan/O6CiEZi+s76L91EY2fUTEddXBCstVJ1Zciu4/rybYGWnE uSuoe1PBcKZdNnWlKnl+FORiK5XWnXzXQIOUZLBRCY3mYGWgs0abuM2r4vGgF3/hHBRTRI76NUFR DL3IXoT+i2iXe1mzMLOIYIAyl6ZfYQxNjMYJFpIPXquHVmSiltxYyM6QxMDeEW4K2JdgSngUNIZe NWr18PCpNfug+TlnwRLe6YSkeDy45S9hpVB+C31mueFyhnYURkP+B8elq/d3971MlcGw4BxsO1BJ O+QVsswhj10NNkX1BEF10GdhnK96NGQvcH6YxMFDnucK38jdeUdxdJM7eGWgyIGfAfNaUeXhJYzH I5HEe48p6EHedkVI93skDe0A1IySYeghVWZsudQfU17aWWmGQm2OLnbzHj74MT2gaMcsCCJ3UA9g 45NrCfcfSMpuXIwLEJ8RFIIowp6tUdMcEpSpXcKb+gRHeDy4yK5SNCMhVN3oZKkJCrHRfTI9TeoX 7jFEld+NgT+lgVZx/YAdR9I6nvP2wU8hxCwnGyA0OtFXRe6YAOGiaEZ3Xl30VODGZ0SFMmZik8RL Dob6RazGm+kEQjsW57iZmHJoIExuoWOHvELAAlsbfghJPXL8LGwHK5QpDub8hHgOSSdJ45iDQ8r2 PKsPrDzssLQy6xrA22dQixtAKg+tsOhV/0nsGRL7HdeuaCuTPHs17c+OAB2t5K9LTPrHl3mwQ1R0 RStDMDKEbt1UXrYUC6TNAa4tmQc75hQKqym1zflt5gT1CgoBjXkO6ErvRujqZPw+OEDKPYBYqd+x PAdzJ1qraRBa6tzAxTw+62fH4nY3UVVfaFCW6E5QFEmDs3OOmst8asMEyU6ceI/oRrsNLqAQ0EFK c+5t+OC9jA5ZjnWp8Wmo4wqHtlKAC10XtDkoYLJDmegsTydWojlwhk6uMnn+1JoWnv5LHEitOnC8 arvdnh3qRDdSn4qxM0xzS6XWbsWgSkEbzVAob0dZm1xS9uHpZQz0Y4eESGFvympHo9LuWVqDkIUu W1l714h5STCQ2Rhd7DV4E5LOPadZweN/QcrUPMM/tYr1saFER0HUpCSs0c6x/7irrK0hCHn5JRaC OsTcreUOPkOJuNOlcsfZb2wtnqQMJVPczsQkcg0V+iTiMyK1elbrOynOHLSGznkgX7ATNeDpKNX4 bshnQI9QGrTp+Vcx+gQ55mxw4u8ufNl5f+pugv406NrCQyiOpLmAmh3VT6AIc6pZCSiFVepZTUnT g/gDsqD7xomEqeIj/iURNLqMd7sR0Pa5DEWTB4gTT0fElXrDxB+j7LXhqeqO4mj8J8q/5CU7vYYI /TbvZUwKiXF+IkQHDRlbodCxBsvmzw0DepIUppC+bBWDKEaCGwGqGVc/0t41UufgQTz68EHLJ6Uq Aa1xErEdFaOf5cNqa6GsxhWqT1EY3VbiBK2L+U3uuld5s9MzEIwAaWmnMI6WJQ3K/jZ4NwOYTbCa GSXmVIrMLSfgSOf8Rl/yooKookRXqfe0yKZIgTSmKAvXupFZk95zYkf+gAi6+Y1MpFcgrFR8lkKZ uwStAfrY46rvtMoDqmOl5qgO0gKKXGniUJoJ6yDsmYNPEgS2zPAAxZuZeZNVAKDOhY3/pjy4jrHt bYO+/FSqWrcPysiAoGW6gVIjn49Y8l5XpHUP2MLtohNzCo1XULk/p6WEottM1oHeTYS6yaSZLLqk nxPgo5sIlqIglPlk9efeSmNoktpJzuRu2SG7gBLbzyQRXNgOAlzxIRF6irX7zs58B6CJ7Dd0fhd9 /MD9WvwBQVCUfb9xRjPTjn4nKapOw9EEWhp241cassu2OXsGC8hY9VkKHQvNRVacw3JRrgutf8gq qXg2uooerE/jNZug3Ddx3fa6EU6RJJ+2uw+GoZonuuFuOT+Rz0eU8G8c3sa6z+05laLQGkISYPk3 ls3gVnNPJbwD/9jgBOunUlSlFl8VpX8ffC0tFhlpbj0BWpw8tztyM3gL/wB/YFj7Td1fBpXvH8Iu fdFa4mAohk40JPoc8EBIIcwjP8zeLCR7H+05lcJKNYo1ZzuIKga+s7xAIW5DEDCKRCyyapLiUrXc +fb0wZEM58YprKthw+WOdihL+fgeATJcqV6sEG9/fEjEGiNYiPBkDZqk2rmjUUY7KRqef5+kepmr 3lrDE8+pFBarO0DBregEOqFesXJ+2stKjRkGM2ZVk6IQW2Se/1YJXBsAD9DHmMUuiihSBwlevxOU iHlPpTmmvWwQI+nuF++nXd4P8pEq/YRza/L5qKDvK4kRHNRr6dHokxRKeGTwWXYb1jNLVhPZmw0s pSHrmoqlsGC9dGbn7INN0lrn+gHqSdjghfojpQJRNMEh066hzzn7/GUjBrTarls5LesyiTTzLQTI yaMan4/6in4mMShnW6hey5oURtpzkTU2rJxGjXjBPli8UeM17+3WdJGQR6Xr0VlCyQcvyp5rH3bC TNbSCehgsISEn4cwjo98hczMoTjFWtKYndS569KVkzvX6ZJsF2Qf+Hyw3a6vD26yQeesW5TNKWYh wis0u1zgGsYP35MptbQ8er1zFBaupdMq55r0ONecHLUaEobwRYq3y5olAb6IZexy21RV1ssGRaMm UwTOEQfNEXRHZOo4GEyG7iz1CpKriLJJ7fRJCk0OoRjReJHJPrRsB6DRypspLVCHNkmBySFIXjyw p6AOjOEtp12Q6GKFBrqctUFyCnURaJxBR4dacWICyVojBy6HToeeiFNsEAYEGBxe3xAlz6HL4ZO3 sYlPGy7i322OoogbaJq2xKiHrIcomshrtysDgyNheVsOfA4RSQiEVqsPDkJLMKElkOTk/TjnjOyC 6h8qw7XW3138HPscStiDzroabLO1kQWzOxPH56OA2+uzIpjjjgTCwycpjLhBac3SJEd2Sza/WOP9 Ki8NIPlslkJ9aXYYIZXsg5doE4xautRPEHLJw/jcTLxUaNXyUIKSve2/tPFgXMaBm0dOWvLphXIU H48Eyr9DyYIzMV+F1xzYHZ45gv5katmP7ZaSFyFLc8dVkD58t4UB9zaR6eSDzRE2WKFqEYqMCL4l bsbdhuSWCD8rY7NbnQPDw7vbNsuQc3sZMrWy1M9fufLxP9UZZK+Jflp5dluoj4cTqSez6j1ZYrIT aVOqVX51KFPaHIXkRPmtbulY7HErtUmutMOaaShEmmUUVESxmFCRdFRR9bcNevqSKO/rDqpmTwM1 nqNfLxl0ZH9bPiO1KY8nW+B5iNwdnWpXfGuzrCs0xLo2IvdOvVHttdishdE2rRY13Ggb7GEQFWg1 X5LUR1jcg8vcD/1d7ESiSEwOXQ9zZQlgcIo4EPd5Utopr4MT2PPxSIfyFzQNQIx8yUE5tD0E2QXF JKGszlysavyp89uIi5chPOHJbWh8uLIweezvc/AQqUNyAgq4OJTPXLGgW0l/qkBmFBprOQev2Ov+ S3O6kkyhQXWSmdHaZGxYNp//S9JdLaYCQZ3nRAq1PjBLBfhkJbcM0IUOAZIm80TaZHBrliLPFguS ar+DI9QgIgsPVlKB61lTQsXiRMJ6Aqz+mkDXam8bgRxFn2LexkGdNUTeuqzsHf+UQ2HVf1Py41YA AgvEzKYhWo9iB52EsbnDaKN3OL6nAuK30rbAAtEQECfqEIthfLEYOnCyQhHPCQIUVeE6+EJkrmde bYahYXc2hyaI3WXLtw+Wt511r9v7xDx8PuIK/fJh5Yqs+zmTQqg1RD+6Q2TbSN7BLgQ+E2pNlW9b SWG43QyDvnzwSLImxNhT4XZGPZKEU95TkGhA8OFGmrLLDq0QzRxg1TtYLSlB9VLbbfD5ICX5xSpH nFbLlf3IoRsiNFvPd2167jBzSV5xY2lUeRv1kDhJoR+ioNZZESUHz0kSWGag24Nzdr6DRbe/mXCd UXGmfiEes71t1CUhVGSx399WN+EG2OMVHoPQeuTzkYjetSnhokGveN5edg4dEaE6Bjpn4fnTM00K rQ+QmkHWFmM3brjQE9G4HAZPH1eBCN69YMq3JtpQSlXiH+jDVP5C47IaFhttOfREVBNSmg8atN8W auosWp9TnM//RQ/m5sSc94sXyaEp4sJ2m4taKBnMqiEpkE06TlZSgvaGzVEYbk8G0YugPQ5eJjln 2tlwW2J6JwoYVGnqQMWdLH7/zGuoUHJN9rIRpIag4qGDe3jBDQIKtUhhcTQ+H8SSj3Eka0koEX0V 3EJfROJYG5NDFNxqNoP6takrJXwxbVG13WL8NXN/OZKl60jW9xwFbn8scJ/dNkkLPoEALrkBE2kU LR//0Wpv+6++P+o6GroVk85OFav0nAydz0c1AM9vCTPKQlPeICD0RgSYE6A1a0ye8ylbfktdSDN2 mctd6kMYdpElEDU7OXg0OUHTqET4dUgIYW8DJTnJ5IGw3rx9bSqi5dgcUd6jkw5K3cOxDfcGSuLV c5by8Yhq/i3LyHC2P3FSbI5YcXCrQcKDm/LU8nfZ3rtFhm1ZSWiPuGTwmyX6lW9DaW2Jxi2rAZzt LYOXyeQSIdG8TDTJMubQH1E1gFLFdaiX6zAot4+Ie+nxv6hW3Ff2U+4cRRE3UCInZLFybc8Ca8G1 sHdHYhN0b1MUCutJVWYzWuJgidu5xEal+iz8yc7hBFgNaruElLT5M582yZr2rgE8IpNCPzhR6zY/ T244qWnyA04hnw/C7YcvQzpa58l9y22hRSJypfPmhoNstZpP0CIlwRAkc905ivWpxfQUXL15W3Uk sIjgzm7qjLUzseLhg9QSn+lzhI2ndw3miDDsqT4AB6W2oHSZumfj43+BjYW8RS2LWjM2RTEeGzZl FBNFf3v23fw0ai6iO/bwalvgkoimqNbP//Epg4T3yQV5WpwpOruqFx3r4OJmwPrZ0zCklupIoU0i jQxal8pwvyrD51qT7+DZDZOP/y/CF1UFiOfufw+1n6zNkSSreB2pPqzYSR9IzVHMaxQxREJ76cvv F9UFKMmzR7JhIE2fDnJx0E1iO2DqWkOyyg+JQOuUR+uy2uyetcGqm7X7BiHvHJoljvWL2Yj46GuO QrdEurUWN7E9s1WnhdqtmpP0CSJX960W2yVKptGAf+vxMllgJvVGr46zfSlRyFutWuo/229Mdg7t EoVbn+x9a9ClVkpa0ucek49HgbZnI+z/4zB6tPJzaJgIzkaD0qRV2i69kW5wytgyJc40Q1GYvQRk a7JtVf6kStu51s46IiDp3J0Is9WxbKRtQ2t4XHb16PayEf+KJ7b6TxxUjYRMVFN2oXf8X1T2Ki21 n1Qk9kzEYTTq1il9Fp/Vk8AbnDZFnfg4BpChaaIJGLBnrcGu/ZPvs7bKZuRAoVYqPGgdQS7hR2wA A66rrx3aJkoJrG1ibjgYPQQwEgbOJ4rn85EU2LerLS1CylVkzrFzIvzZ07SuiG816oR4qst8zcva //BOpFZRz9kHD47KKBuiYIQknS3Wma/Brhq5SJYzlxbSzv62QQC5xHx4hjtJhQXXkyboJaPm/zfY Bleh3BttksIgG5KS4BMIbFN085vtS3GwzVMfCfwTb187iwKR94PbOsfziawTEcidvQzaR7NoCEgE sIG3ipSXvW5Uj2QIuUVZ2y6KlAb6OfLGQc82sFH85oNyrQPiVB/zlxwYKUIGARU2YjyJbitVxDUQ wIbpWlYinHizhUaKNGqvmxUADX77J+JGBUlaC5Uks+hSaP2z9hVOo8kNP+RfNxv64z4o9T8Jz2ZI CWovn49K2/M7rQWYAOYrd5LC2jZ9pIsBt1D9NMpa6g5rP8dInr6UIhxJkxjIEnVtPUjSuRoUvjc5 fecy6EsS1uc0p/g6oI23/K9SW+immOXAkBVGZgsjM53ARabuPJRCsPbdb9QnLLxMnoUUq1hTP61b G2lTvYPZSPWT+8Qz3Stt//BTVGyU7nCxNmelQBdVcHaYkHMh4ShqaNChleS7LS172UjCUWQIkov7 JRejq1yNfL06n4/iSI+RuI4Q0j925Dk0VJRraalW/U9U72P1P1O7U1nt2iY/k2NLxc36SFl38Jrt AKdidJFDT1ic2bOldWMF8IrKzVaO7P62/5JqLoVKBxx0JAEox7upwtIjh56KI/9q/8sLouWLkwxt FcFJADkaa+VsvAlYdaZx8gTyiyJG8F+Sa2AObRXp2AWMb/PBlhLC9gb+Pj0ZZqe2OJTLWZiRM9RV K1xkH+XAWPH8mpvlo2ZyNH5yn/8UxRVM0wkj+HwQBIzveLuguslfSZMUeytuAEmGtbHPHboss52E VgpIAo64YoDAW/H8HImjFa4oDp797wT6p7REZmqqR8JjcVNE4YemsGZ+Qqp6DrwV0/+TmOwJSboP ApKs0eQ7cDIDPv5X2saQqDEsudX/0FwRN01bcFdi13azt8yuLYEvsoeRAXfXz4lqSJwiaGbaYFN0 1uMA+45H0oCui8BvNPuBeR9ZW8raRvaXDYCk8p3cREhwUNZ2ggxB089H8/Hgart6NEw/MoFkT/c/ NFishJFImRiprUw9CCPhJheMpOzukxQzIZm2FVFqy77Ntp2gc5CXudwDz0gEPC1FeRygFG6FtrLs bSOIBKV3yWBCGeZ8Fo8W+MU0dsrGOQ35AyL9+BsBMLtFrY0ld5umUN4a8uhpmGwzGkkeTdK+Rfq7 5+Suvt3iyraIbHv7YNMEa9eaRc88eejqU6EU9UGAQ2uP7hO1C3Pgs5icA7DG9kFt29mXYFtjbD7+ P0lnIEee1wYlBz6LyEo6Q/lkYFt+tWiQpOIiWXnRP4KnduyzKAAJa/gavKq9wOzfqpGAWivu/9yF +TcIrhfbznORHxKF26RrVfpYcNCBdFJoof/OX/LxP5fRj4H/gM/0KQpJkSvRKmZYHEmdDsRIQ/Ta xuy2enYbeC1iipZrgtlw+2wnstmDBYA5x5kQmnVWkIYbDiIa9TiwbdnLBpQkyjj/l9VHhu5rNcOz yucjYJufSMTlohoF/x6fo9guBs3IYbbcsOg0+eZEJpoCyQG5WpukmBdJdawtKfD9SIEPNK9ZdqYj E5BJDCSBmWyIMDJnyPg21ALPgeliNte883D2QUESVBYkY3lCYT4fAZJ+OXtBGK8DH+3TFBa2WZIk hgLFf1Le3a+hFSu37eKC4DlwXoRSrsRRSaoTy/GZpkLLJUCS5tl9FJSA5zL0OqHPdTvb0hLLgfei vG1xq/XhA7cb1JNUrJ5r8/FIBMFbtuJSYrIfiMTnNUTKInxBS9Kwtmdv3BKAfCobtWqGIZJfI6QM gCTLbVJiKVeJZQDiwSnDgXTS2TILKZRpsYbTWRT+oSgd1hGiyNf4KEP0nOdQoqXSvPCCk/70uYR4 WHj6ZXqyUi/qP1laS70b6owwnY5mp3c2OIWoOTHAdp5NI12EswOkrK2gYHaoCNaIorfBLzRgjpTi nOO6nihbJszkEsNqBSqr+CofRPvr7KAxwj2mxLbmay04h4RCTx5yAuzXyRGq0iaHVx8mmsaLmJvX qChDiA7qELAhpU7WuZinEEjEwDBPg+K5Tc1rSISFQ1fuWmS7WK7tIgLzdM7qNE3jaGWBbybrtAgR 00XWQhMTL/q+cAhfgGhL90FXfW09mcVS+7wGQ1lRuaZGHByA/JD8cGpeI6EsnfTWssnN4ZrfBmDv pooJidtkgdBrGJRRUeFyoXK0hgsWLZCpl2HnQuOUCmIbLTeCxxsF1gQ6ZkPtNQrKUFNOmhPKZoAP W+QZdPaUvI1Avfy8RkHcHnfdKMSsRJ3Z7LwGQdng/VOuS9CFoLCV/HDhE6NOEXAMZmgarJxBfghk KX3wYLrCIVFwo/NbnMtLrFIir8FEhtujbyrKg75GQDhyiO3rtNTQoLQVOHnusHOCrM9rAISn6/ra VaihVbJfODmv4U+GCzt0DuaV7VnV/SjRGbLzuC2WR+BTFUyOnPJK6dMH78Uu8PUmK1bncEHZv9Kj AaEkuKk5EfewpK6mV32fHWKTG1eYDVb72LuJT33Shs9r6JNFMNXsDF1u8F7uNjuvgU8WohSCsqKo gQCmG33v4Z6U+Gbc4SOaHDlML3mZrid6Pj8EFf6aRVXLxUq1Gfk/5gU3veeqBGG/hjzZ0Q4rSxk0 O7jofJ2FUiTQeB+f14AHJ5Ypf9uhg6O937vqNdrBeQx3jwJonVgzNMKCbmpn5YGHDkyPTXU3Oo+Z e5VK0V0Od1ch0VDWPmFBwFJipTcHOBRqzVjYDA+Tz2ugk2V/hUlBA1uDsUEGjatRc1758xrn8AXv rlrKvdDZXH4kv5YVccVtEg1rsg4+lRXPobN7M+fg8yLURKLiXHAkJ+k/FEkSl0c1HtiPWhAxIWdY c2UVp0tjnxe0osfBlLHd57WkiF+Q+XsVQa1e3XVogRT1BKkKGkxPF0v2675Ck8om57WYiNWaENws E72Cs1CzE9lEZU0FwvrSr7VEfDbLZLmPO/jaKagVs/o7zmZClYxdIGBcILB5/pv6AK5xMXxeK4n4 BghEI0bFBmVc6VwAU+aKkAr788Jikx/tqjRvEBjFyJBLQtvehMIke8vpgdyXTc/CXjHWXjQ/mzd5 Vhkx7+fGArsOmuHMtlB1TYIfgq8HqP1qXyIZWe8abK4hgoya99sx+3lAYUybq/HxYHfNfncXS2SQ AnxmKIqTIQMA8KNZ51SJ68O1YtbuXXvSCYWzjiZI9bG5lw8+QQPiDIttRHBlFyWsoY0kw9rztmiJ yBeGwjKfdzIa3rVoaliLnreLmNNMQ/HgWZGfdy4azubt5w8xWWT00DJLMxRFyylRh8bim3PmLDXK 9vkdDB1z4m4PCd+JaLgAlWeR+aLBgR9cgYvd6BMTnlR+kdI4qBV2IhGQ6I3SuDRBUbzcia2ewuxz sLtrkY4sYZnPOw8Nv2n3i10C89jYNfv8RCEz6pS9iHyOfr03ybCxi7kOnEzLxebeWWi8BXn4LGlh rfaFHjo/Zyci9eeE8bBVhjKJwKgUPOZmWZiGYI/13V/IQ1DVT5nIwHPJo5sRHUJjf6eijdzhGzW/ c9CycFGAhZk/ZxVRj3KFvZtcIfTRHO75HjfLouicm2J8tPHYm21IgmHhsFK/zmUz5ICGwkSmimGT Twt8K1TMCK94SX5LPeT6epzMBcAV/IJrj887Ay3LAfK5xEQFy/2uoSh2hg7Y+T6y4DA4Uy06rKPr PAIUHtEHk/X32BnHvcJD4tA4+ATBHKYxcB0QkqpTvUVUTwpksfKXibLqz1HsnMhjXFsx0LYY6Nxg 4hvDOLp+3uln2Czpe4sRB0eXa5ufMHpuLK36Kd2ng2HTSl5apQ+qTukofJaeYy2sHVZXLT/z0xMN 4CTId6KFYiSHvpUh/FBl1gwWGQO9U8+YBrFGo1IEByuspsTjACfo/rwzz7CA2nfqVSi9ujz1eiee IaHJKKZuE5Xt8CoU9mxnZ3lWK9ljg0UhtMAweUsxdbtiKoTUoA+/hhLTc7tvCRbSWgi4jjHnJQtR lfiddYY1SoNOeWZpsPZFMvTh6vPzTjr7FSTqtOH+AoRe8/MeQ0sPAPwvqaDjut9WT8252x9BEOsG hImi6FHl7LrucCcImfXKJhZ2vguTDiUmnwZMN4juzDHeGWfZsUK1y/2tm/vb7hCKFJAMkjNRFL1z tgkikppigMuj6He2Gc4foCwQ53IqgFHQn06uQZxZZk+e+6vzx0TVQpXEavHBSmIFQOrVKOc4z0la igBFAHBVhGBs0ZvczJx61Wh6sLG2lHkk7IrpSbtKA+ikS+vzzjTLMnbQ9LD23in1f8+fd6YZcjBU DNFDsCgR3RNmqJNwEDp7DFhR8Px555nd/VWybDqzxycDJ8PYsCJkrZl5L8ENzEuQiQEzeXkvRa/6 foFtmgeusu+gCwyCdknmgSV/3llm3GDp6/zB94Nw1ucnjKLRXFnTOvBn/Wz1BEGfshAIHoR2/rwz zLgMlX9xl3HwzlejM4mU5k48VAcpnYMgIRLaSA52Il7Ru0Z5GBmdqqNzsDyjta3u4Gzz804w4w32 fUATY8zvTRMUBdG0KM9kxJDNQeCWhPXpl0n+dKdOIGvO71H0TeOX7JHXxU+dHwJ1nUlrqpXLCTcB Jqgom/1QJyz3r+YglUHe+WWMgQhTZACuQe1T2Ogwij6XyeedXYZXHOMrBCqIE1K6JfkoikZVsyeh p3FCt36dB6R9sWm91I2B984tyxJERn5BvdTicsGocxQcNFCEPxOE9pfkLgdyV6im4ldtjnjpbDC/ U8vwIXQ/WeyeaLA60JnvoiAo8/Eol/dLDI+RDt5vZf6dV8bykWCKji9naIE9BvdsO4M2CmbaY1Hx uS+FxrShLN5TIJKjAMxBFudZAcU1mxuW+B4EjazrvkQa5zutjHuMKWolxoyDlZ/PJpN7V0t8/H2C HDmNoirzjCXVaJuhKIpGEastF45vdI/iDFVCtZSp7mp1xHdS2dPz+m85kJmww9BnzJASPEHikIoB JgoNIJpXqDwvb4Z3ThkjdfZKJykKHDRBZ8EKHAQRts87p4xBuBc7tFxgyFGfvtd7GA0WB86e3m6Y mN2Csq/kuvGzey7/zim753QFNsYGT1XhbnMSDN7z5yaq6u9MEKzQ5AQG4ykHLb1rUEwcQt9To5KD jmnoQjOoPnHn/rxTynjg+jGNFQT9vNKbV4PeCWWcIMDK59oGK2csK5pL84u+bvytltB7IH2+XlY5 Tv5QfPBEDN6/u0pYHzrSnbC7PJkjoplcrvUAaLL8jGCC5F4KDoUNNkHAzHbtsf15J5TxoOxfxzRi 0gKolk1QXIwW7WFYokqlCMoS6MpHogqhNzul3+Po8+5FGRjLrhy8d3pyjDxpOHwu+pPVZ1Plo0ZJ pU2Dw8lkkPdOJsN3yVtsLdYTOQiVMJuI5JS3eueS4eknDsJj6LcWVBVsfqJAmkeQLBxRLBvdqD/w 3jLZJgT1Hgi9B9KodLDE0dgobC3dI2jgGs9grJEDdDabEGc4lAodZtsNFHlxf96JZNxgNFHu5Q5M 5Ncq8ggGM/vzziNDmHlLQVWr7iRk2ytB7zSyLNvTnoVmAYx81ur7Ky9X2oOuifbXO4ssu7J+ncRs 1nkxm2f9NPgfsJtxYq6z3xRS0h0KUs/AzHgURNDGO4uMrSzCNdraPijRSIt2vScKGvnzTiKzUsNX IWgT1+TL551DxgIb/JfadniUHHAHz5/umJZ1r7B3DlmWvVYF9H35YPMD9BGapxT9ZhjKPmuDIjS1 slH768ZpKXrVaHqkrUOfMw6WZ6S9xPnt5wR4Z5BlWZF+1TmKyjA+P1EY3SjTsLuLEDbzgjurcdry Kas7b/ydP5bd4PXs1emD56nghpdK8BjUFPY2niJa8mDGlXmR491fNcjDKEEI2qcP2l57ja0guvMN o9N5f4WIKFxkZmb6zLAUvWlRzuS9EsdhqPFNAWycPgB9Wwf+nTh209RWKfnFwaYHBY5WdzHPgbO0 OD0ldadtYV+aICpFLN55Y8gxOuuHgiEuar4Kv4EskoVLgEA/77yxX3UO9lpwbZ5F5InqO28sy3Dn BBNspgKJmAyZeaIthtPAQ7OOxmbqO2sMXw+RLQ0HgQ2aoQLU8jlANUMLXXOKgRHJDxr5fIpARe8Z pWAs1He5CHbbXXvB90RFoDk/73Qxxs+/qtCINuotkr2TxbJUcE7sV1xOZzmRLhEn6Hp6xZqF72Qx wt2UgqnKMZ4qB6CY7MBz+fQTj9GgHCJW5wed64hKvNYKo178O1csC/55jh1GYhpUhe6JYSXAqPXz zhTj4vEErLq/K12MbYKi8JnwzZSp7IEclZo7rCImSXsgRy3E+HP1xGVo9sA2bRPLFUzu5xRbOFak ylxQfRbHhVk/2g9ncT4sqL71slGdTIY55GZw0Pk8gc0335P6eeeJMTqoX+cznHwqJExthqL4GfTt 8xsly1GLHGKI5GjZkRyUieL1FdahZelRZM0gng1PQBDe4M+sqt25iAdF0ifQSQX7qyRKeymJH0nv GnRTmyXxPKDJh6RHEFD69CT6Oaly/7yzxCy+fFoZjC32M0NRAH0OZWi0TV3mkF2rdoMtbxaewGNY J+OdIsb8kanXYDmIg++xBCfMrABojbNIKRBHEUv4L4nba0rDDBDfGWK3IT8I4jkviruFNWVAIuTB fWafz0dJ6sXYYQ0hqa8EdGuGohCaMVCZxjRwOYapHtyVGmR5nFMUFaNpGQCgxfDBpwhqcaOaTeeJ oesmZQXaSRUOY5CB8jWE0/Dzzg7L0ndH9LPuwGN64vOkP7X2550bxjf8BV5F1xqVOcMgRjE0ur8n cd9CkqHrM41mWHfrdgpNcMNIoHunhj1ljkLeHgcvJcI6fNEtFKf0SX2onXMWDqREF+s4V62a5nyf d2oY8bksti6awFETVKJn58PZjcFvMj/v1DDu0fm9hABkKRQo0xRFYTSV/kDjIbHQWCUy9QBslFkq He8rYZpRFC1h+JIFLLtc25HahDI8rQtOLA01Stq4TwLZ0kIWVm67EAvh804Mu7XW0dvyQYWg8wUk 3fQnHP+8E8Os0PB1TOPcENKX8xOG0aBgjtGXZfEsObHdTA6xjHDJe8E99k4KM8QnhU63D14G2uDB TrYLF5Kn2mTnASgb6nO4hhznO6a9a3BMy+vEqvYS8GC5fo8uq+k+1uedEsZA+t5jTGobO3QeSb8z wrIb4S6raZylRAQZ8xvdZDiEFkg2LHS8E8LuJquJjXkOtoROsDU2TQRwTlf4UfEQYh/jhNosWV2H vKF3DW56c4MpdzCSSoJ7/A8LbuXzTggj5Pu2xBzUcTb8naGwHA0DrTKsHN2h/e70i5lNfLGdcMjq 0e+EsKdnuNWT396TxyJaYG9QEAbyPH1quUHxnL5PxG8qV8Xf8V0jHL3UKVgK4sBoEXRqtsTyOtH0 Ox2M1X5fQlgtACqVclti72wwa+O2IbG8faUXaM23i8mcdvpZcgGFwbQu+ql1NG8mn8ABOEmE1GDO l30Wq8JuFB6IKk3XvougoHcq2J2dtcgrXI4aQUdJhft64tDPOxGMGdX+vsREr76zEwI6OjQH6S5H A0q63LCZsbKlGoVCnLzj31lgN5JuhAFocEAH9a1E3jnHxPnvhAhCWwmNRDm5a3vpjn/ngF3M1Nys k3Hg9ACUpTLpLnw6uMHqjRIry0eLxHqfnyiQRtmzm6s9uanMU5mLqWiPRBWEbttdcSRNyBQ7FBp8 +ZzYFrQ/FYISlEhUkkVbBGbPNFzS8ZPsVYNCB2FoixQODVo+yMu4JHpfn3fyFwFTF7bJKicEHr+v +CiO7lI1Tc5sFpy10YGymrBZZXmamXyLcdFZRY7qw620ntO/QGSS+ri7yZiuoNeSFxLslT1ZhXYn PyNgOG1mqXIPrO4eeKYKmh0/JOFmPB1EiX185RmgCJyA417xYRSd5TpZrdLK3wwb7CQ1jghKy0tB LSpEp7J1qCQfPARCIb1uIaZQ8hjKM5aqVObjZ4VWTU8URK8kREffPnABnWh6bsm+JD4d9OMv4o7q sMDtAZJtXJU4iN4A+yarlFGYScqBJFGzUjZunb6/x9Ci2ZxlQ5EbDV6HnlCt6YwQB1F8XGesMhSy 6PPFK3RWynrMHtS53LsPnJ68qUrGI7fi6Qj06+ePhGE7xWh9eqIAGrla43cpj461robpMO/JymY9 N1eP8BxDx3OmBCUHK5MV+HHvJUWcBh1zte3zZBf7pNPrpvGDmN8e1aGrVMznM3BzddSvJT5xEowe xc+pfpc5mDanW4buYfxcyGLuw8r0Mukc7JC7iTv4kXZ99agObXgg5lcaLPaBuO3qED1EeFxONodO WaVmV4dumeRWjJ5LQFCPAmhiJmCuu0R2wrZkBF3ReV5M41vB8wGPcLbvKYKCap0XUtZjJiEOoJqT Q8qyU5gL3SsRAFXawTPJ6FEEPaXNOQgf12KwG2xD9aUKM7XhJNTp84awsAH+T+0GB5VR47VHIXTZ YvQwW11XMXDBX6lpjxU8HTEt19cJjVCGhqA2QRGZcFA+lAmcivWsOhNKO11RYZDRjwCxh/GzOBmT fS4OnmFgvdSqNP4EQSlRm3qxZoQElU0i05xgLbFH1eg1VSpj0XXh5wytIBDN2D4+Jz0eD26w+Y0I Um883SM6DKAHML/LAh+Al01yqo/hmF+wERRA95BPyFZYoWqoBttjbZ57CYrTBI0PIFCXMGVdmGTc snYGgQbAVw2SeN7scDz3wYgZRiiDWBtf8a8dJrdczHK7Z3RYjV6Q5GQzhmRUdS0KncCI9GWdrE7f YFEMLTZqpiCJBltAsBgUk/KkYXWeX4HabiNRwKnA/vMBjVNnukfFaFLdWpNoaevXIhDdDOGB+ph4 PDilL6tQrWn8s/Jm0xS9R9EG+4XcGs5hkOC52ZqUXbsKQXWRwcUpiqLoLYOgquO6PoLlOJD2hPAX RUugOV2JCEJAANEv8KedO8dydI/K0VW+LkXOZYR5sRB0DhfKPlLBCo9HWbzf8/IHQjBFYVDNUASM BoIJiWQXFb5ip1PWJYPopiw1Icqm/W2P8BxCsVRJlp+B7ytgK3zvKcIwVs2YGWI48Dkw5/4ZBLaq JYaUA58RxUE8nNGfskFrqGy6wyU6tOHxaA3dCWIVO6Ge0m5PtYeBNK04R/JaWbYb7XxqEwqGBfFs MxRVo5fkpYaKruPJ408UgWtmkdaMJi8l1wC7Y1cT/c3qPVWSjPAZQaYquN2iUj0HFYLaGt4VSw2P /8VrdlIQ6vW+yUYUSgvaWrdzDD3TAC3Wc/k6rnjSiOrRk5iOVtVTrbenmqEw1QuVHsYJiSDFTK0O 6LlBUUeHtFVbacIxolg6S4Q7MVflYE2xc81IYnLljMeDYHr8wv6ipcptogmKgmkV7AVQkKzLtEio obFHdP159WZdsRGXo7P/2jY4LnHBXXISdbdaQ91vyF0J3cPzdQw8olxsUYJ7hBRD3mTwevbBakG9 G6pwbjz9V9+Zi2XQw8PPoBFiowthm+a40Xbb0yplTHZJwVzXx3VE1Wg6FcFYhZn8YmkFm4pBVK20 pDqZ/LmQdxU/Cuc9Mhv6QNpFfxb9wGcEsE2CxmcTz7C5hwt4TJU3EwhtePxPcg+jwjWltWcTFMI6 cEgDA6lIiLxjiXLsy49fzQWBRxRJ6whqhQE1MeGaIDj/ndmnJzOcN6Gao870VpHyB8e63/MT8xOi OoS5y735oFQe6H8dQLPh6egAunE05pJe8P0SVEcUSKNf3rbEkiAEJCXXAcB6N9PNs7m7aSWOKJCe ZD1Vetdo8FpiPzu9JflJoR9KJP0JR6uEa8hjvAcQ3clHVImWbutO3GaQYBTCmcR7YtXBlcHjwQLq /TvTwAZLtxQ9okgaDjE9ySaBjknDoJop0bWAYRBwc7bDolB66dx90S3BBVM2gdHQty+5L2m38xuc qrYaQ5WSWyMERqtWRvk7DXYZnVBd/MITLeDx6AQa33cY9sK8wI4R4qIH1s1gA34QlijywckJpvXD zvlTTLh1RLBokpoaFbRt8FRsbPRMSc6AuNlAIx7ZCA4zUJ/y0w7rBI6PUJ4DgCkIiQwflKmu0hkG t5Me4ekgCHqA9TxsGEJfeuEIYR2Qlq1gSrjxj1pgqKrb+skg/dj8RGH0lGzJljrQVhzGHYYYbvA4 HBPdWrrO1o0KU0FkS90Tt/47/xU+I4qBGNYthAAadAJtSLbyzF0bT/+lssA6EuWtZvYYcURRNMRn Qcjvlqpu8yTfvWcTXCgTPne64MMoWtz4JK/W9OXVeiI4JHUk96Rxohg6/hh6DtkjBZ91RJNPhA8J wuiuRio3WMexzHMD9lYrbVlC74Xng2S++CGN81gAiFuuH2EUDXpP7klcy66WpqpBq5sf2TlB3fVv xPVo+Y/u5INP0fk5e9Pb+sSaQDyRJD+kctAp49C9Xk+sOj4kqJhJkWyzLs3BkfWoVWJdnDfF48EM /WbJ80Tbt2I/w5I05InJPMqMo2Hco3y+KjZKwr0YR3VGcbSu+VrVOKwuHzmA7Dg/vtM55izRvZok f1Gjo9MW8kwHlxV716joykyDoCcNFkdD+o1d5NQaHo9aGut7hhbDhe0VsxkF0mj2Aj6wBetoqni0 H9hiYFbQNEyAxbFiNkOWIbX+CzApNnhPIzUUn6mJiKx10zXxrJlOzHj9gb6EF11xReAzgl2mnurm ZuOgJQR+g3zo+wmkZ4iO/oUto44aX0vzEyp1dATSvQszTtiFkTOGocxOnApKHPfYDDmGREcXy1jX lyDyOVxRKsuSJzt7rEh7W+qA5QdQAmepUkVgxkVp8p86b3sOVu2AE2zS/PDxYH7WN0uVyg5P02dG cTRanOcIGgZwJV7YnDX29bBf3Z0QZ1ySVv5ASy0ODh8HJWNCO0ALqHaCq+isBZF1iFHcijQ+EZ8R nUHEBQ3qUKj8oIrZ2Sei8bZV8Phf9AyFzegw5FsOmlEoDfBIr9V0FmBm49i72o0Ulc/Hu4T9DBXu tLeqRNzqU1MEVhFGI9piPM/kBwyeAYpC6FZ4roGmEz4jEqJQqsqUg4Nm6HyB1P8EPqTi8aBv2Ot3 KATB2ArAgc1QXJWGGhdZnmhr0O+CBbM6iwkJoOFtRdcZwTo6FQRKkjx7uga/IEzP7lZROOG2ZJCp BAiz2kE9bTEQ5tarBod0N+hv8UETNHuX5fj5y4HHo4KZx0JsnvCf5QkWZwTtwK92EuTtEpLbdFnX FpuOpzTKEFpCUVl6Sctk5/kEi+r7pAKn1y6h6N26FHPSlPgdZc4fFeSiV/3nKS1x/+Xi/hteVBKu n6vh6f9FqgOty/JISM4olqa0b6rdymVJAraUSUwMpmnmREFBpKszlLuTyMIWB/PKZZ1TmlEPOLNY QWmKGn8WGbpUOKHXdT5ksxIfEXTFltgHe/igWx5EM9anoU6NxyPclFeDGDMlzo/3VmcE7FA5MXVn Iczhh3SpzTmqFAeXGmAUTA+25itBvRq8Zr87ElNK058d1GDDxoYUklX4g5D3bH0xUgxnFEs3snir JMvud7DJNZczZG54Olo/9xKjGA4qUfuWO2ZIMey8280F+tz3W1oUJ6g16gb0+XHz8gT6t1YHikk+ eLIKGMzZfSyXdXjYJmmbgctTZTd+KYb0YZ+h5B2hC7tQSICDITs2/ZmBti54+i/gC0ngyBrThY+v KIzGizcEJVYsc5QrbDMNQ4UusuViK0J2aPkgSvPBax0TUriL7qugd05aIoIpxv4NU/zfwtkrRHYw +jlbPfmg4wd+N1QKOr8Hng4YhrerytUDnscad3rCYjQYdGslP37yHMY/oF+hVs9uVixbIbKDNzvV musj2oz7Cy3z3JmqQjZ7blaLoMl9Vg8Wwrxim1QEw2dEcndU6GhyO25+wsGkq7L4fSa94vFI6qV/ H88kp15oxwq1oSvRZDl5N8MLQCfBzO6+xvacJiiEdkgWulY7hQhOkhopQtwypVWWIRfH62uxzHAi aUKGHwZ4w2dEtTLJ0tPDl4OQiaBjmhTOwNN/SiAzhmY9wK+vFcXQkHt7DmXo/c5bS7xGkGdFZNtf Mcew614vPjgHCtq7c8mXfsBEQ3aaxQA+0OZ9rvekd42q0UxPpXY3Xe1u4xQhzu+85cbTURrvORhj QTXCvCu/YoloHDoEnqKh2ug8d+LDtfqymcqTvp9Ua40Ihln6JUmYw/To9ldcUc1ce09s2LfEbJN4 4OcrGV+O9FOvGpTK5AFJNKwGxYdnfoxkeI4JPB5dX7/WDzLdeZthK4qfE83GhyFcTtjepfhyEhbr apx9y+omosMVanTIbWquO2h+wB7NsB6UDs45hxLJPR2hM1pLaPb4+in0H8OHRLAXVjmo/6bBAuhd zGd99IHH/xJgJ38NPPJ1ZV5WiIzOFGotZv1QSd7mBitpmhpFPaesYctWGD8TcFjh2W6DdwvzgkbK HiJn1EX34xPKUXgb/hxkiA2toKx3DSaIhZvaRQXv02wuUP5XgNhPRoXHI478t0ZHwccwndIERQE0 olKI+yZWx6S0JmpGQ2MjY4JaSp6CrRAardxrSg9nrhsAoRd5thPLQOtcUkuatmtJ9Q2yDg8Jk9X6 FUXQcn4gaNIG68ifPG9o2+SOxyMRivm1xYDKrv05omOKIZkH3s0YrUtOG6dFdcTCcGzriqvRVE4g y0KDEzNo/UAsDaSmFk22KlgCiJnxyhBqVEOVgkt81wgazYb81BE9r33ouRmrSq19VTweNeS/6U+M FL4mKIqg0biDJ3ZzDmYzo5488nRkWXMVgRVG0KQUJGn+pvl4qyVGcLjDAZxCnRKlNJQ9YEB3LpLd 7hWGkI2v+i+S6qj7DpofIoF5B+3Mx6MF9B0DoWoL1KTNz45CaMA2oFBveq05TU/B2pyuQgFOjolq R5Vo8zmYol4QmqEJKuox844HLlEtRbhhoQiD1k2/Kjgye95RDK0UrEtpql+lqTPjJclOJg0+HrFX 5vcRBLufvHwB7ZBf2HAESUWAObxbYIH7ZCvoTHSyjvwOZTq6CqeqcZSnxjGRYZcl75kFqD3dMFca ZKZW6tG4jTFWLz4jwpXxjE6U9edgzQzoNslwr/EVIz3AXzod+CmA1doEhQDptpCZjmwNVV1n55aH pImus0L2nOYnxkczOgT5xQbPMqAwOOmrNCDoQ7F5WPMBJIQ4FPejXfI0fsVnBPNDnSn6MdighuoJ cRXkIQjaMb3wJmGUryDlsd35CemF1MOaprkFPVtBFtaEoqrsHdeqPj8hokMUZ2raaPAcfp9F0sBU pC3vWdcyU8evRHIf0sDLLix61UhPktjfKRml+WRh4BCpk5E2Ho/kEn2CGMOyrw/WhE1QCI5ORHKU YfynZNK2QLk6ASE3amp3/ph/6eCULuBCH7ejCiH2kYUJOl/wOTkK6ZeothZsLma2dgKxUr//rRg9 GgVNOAgytbBftb/qZ8dSHXd/CdLRsOJch2uHUncNs7LXdn5YMYv5VGSpRqshhPFaQSGkoy9HbF7g pggs0B5adOces6cFixE2C4dkM1XXsyoZtUx2qBgtHUlJmnDgBJ3brA75HqT12aHbylWMxgE0s+RU fH4iRAeAdT0Jq0kewnAT9cSaHoXcCqEhnJ9Q6W7x6FGVtXxVWRuy842qCxoZhQUgzA/E60s6h9wg ZKHLY7bpXSP+JckHVeYidd4ya4cP7g+bqQWPR3mY56msMSDz7hddv6MoGglMq1L8BQ9BCokgQNHL XAaz5fpmhJCOJYW7lX14guhzxuwtdP1ERVTOI4NsivXD8JvrR7Z0+IwgRmySUNIJtB8ht5G1fs63 i6ejMtmvMhCAR/ViEncUQ6MmDKsVUS1PeGKU5n2CF6NfghtoOkE7xkUTTJZY5eDgMXTvJ0ZMdHoa uCmrEloCvHCZ7QuYQkTNj4hUOohl3UpStyWp5x7bnSDDs7n4dFDkSJfcg+kRx/GSe3aoF51gB766 sy+XV+aTVV7pKyJ0a+fPed9ek7RLWBn44POTejtzTWA9FNlnptIUdCoRHM4f+sy7kGTTuwYRYmEO 1klTVRGY53NFA5JH7knBdlSE7it/L59CcQ2/30VZff1QCt2t0Qzvsq1NiEaqVg19KLMraqcohtbV lVUP4mAzVBDAnZudgJdxLuaqLAymsycRhpjDvcF4T/BDAgamKq0yPRjilzFI3CcJ5CrqJx3iD4iQ m/n7FqMs2Pqypwl5hhuQetleVXQNobxGoY5GtBRd2RJFf2lPEwbSjYcLZYo0eLnsxOHNiIbnaE2V R05FcPQDewd4cj6YjrHtbSPQggqJ+w6WrJ6zjFf9uf4bnw+SjfZ9lTUS8va8kxQbsED4dw9jIEyK hNCucBikE6dqcl/ZFAKkWeDJe93BNxsW6ob/KipCJ9Yi3bdOsIcbfjBvXcN1EN+KD/mnGMU2wZeF pcNJSifj2BINGos/IAiIHsEOAcyQdVyMq6h576iec6fUOdQWO39a5pOK6M5MoeoGAs2mKTQvJIkF 1C0fbJrghnX23aqC2WcSPEE4RMk3J4JE+5O2bnvdCKfIvFXyif2RT5wE+zHVSo3PR80xv9Z4muFl 8+Uc5hTF1QAudCiFyxa0DEPd0xY0648tUflJ51JUoB4i7C5Vz9a65xLoSiM3apitAU8xshCkjQMH Ohashbanqi8/JGhwyHd3t+GDcleaI7KiseGFkEKMR36S10wLlR/Kt/gshUVqpGej8cxGekYRmf/g Us7CLahAtEUbo59qkN8beKH64BgGtMgIVsCx1E4KQWlbWspVFDRha+JAId7/+JAIiyedUlFbbiP3 rPreVAFBgkYd3ffnHy1pzijcFNO6cxTWqSfCxmklaYHxeCqpJspTKZVnIUURNrDXSO4lsjT63W5A 3xVdnLAWg6QWtd5OjP1D4ZxGzxGJTY5pLxsFSYQwSLB9XMF2nH1FcLy5O58PSvkXNy0HF/SOvuYo CrITsR6lTmuWle5wqlTsoMo4QLKfSX8Ys8xdfbhn0kIDWnJvG0oJQ/w6XEIon7Tsgtvc9fqQiARN yFmVFFWlpgh32zDnmvMLND7/F2hRBn5oCN1+vZyU3oMP1Bjdchb8seSAmDlvxxXECfOoi6Q8CjOw Mkr1weuxo+P4US6C2ixUmZGx0a8QrN989czMqziFqtJM1ijSboNV9BOaHsrgJ58Pdlv1gNspLvXR Jc8pZiHCNLSauAAa+Na2n2t7wTEn91bNKaxZm5FfHj54Re0c1mUXgYM7RNw3S7L0bwC5D5GKYEMF HAS9bFAy6pbJLh80R2fuiQA/c81oMjRpad8xNxmx/Up25dDsEGu+QddGc1S6iSevNckIQko7sJM0 R4HZIRyKBKC2ds9j8tNx71Chi/K3J8mlrE7iXYxCPo4h4wHJYiMHbofOiF6IUmwQ9qOurpwd6uQ5 dDscV9ZDSBGcfPNa9ObQ7hCXFrQYpvJadEotr2UDTMIeTLt4agd+h9J2BOysNx+8cj0XqVFK3NJJ TIx4j9Zcoylt/d2+z7HfYRbwrC4ftI4quGWMA0+mzOcjmdf1tdcQe5T9nNqB4SEeA1e8WRkEB5JL CxW5G1NaqKXqkxTKTBP80aQf2L70AzdoPhWS9BDPORfSJOXj3JyI4JCalPHwgZK9bUQIIvojqQeb rAcLl4FCaQXUvvh4VN//1h4oWI553jgyMD2UIkSHx6p1QHq5+lTNUZ7nYOtuLBq6HppETBUMrV4Y Wp7YUVnybxPBDFHeDer3P1RohiK7l7DZps6B7aGrUO5ckw8Kms85lAR0yJWPR5ttfK8j1EjKbeTn 0PYQrrTnwJvGCuqq0Z7zaO9rWpeSd9FyYHuY/p/Eu8rQ0T323Wsnu0WNpHEZQZDMjCHRdykwOc5t 3BpA1+0fGh8ugWC3rG22dRoBtUoy/dlFLxl0YuevOjYt65nN2yS9h9oIkUGdKvQULUQku9KQ0PkI 2wcIsLbXYtMWK9TewUPtAjBsEZ5oo3+edLFBzQO3PVRw/UCiSkwOvQ9lwLpSaz6QvnLC9qbTJPfC xyNB3F8Ee2wO2tTaFEW1bPTJUGwz+qakw8mdqtI/o4pFIm0h6QdFrhvMbCl8qsEDpFqp7sWG9Z4n kMF5URtRNkTB0MnECXjFXjeIkIh5aNSE16AqCSwUuiLDsvl81JL9dfuTfnwLtjmwQIRGBzPbNSyz RYHbYSFE61VktsW1p3Nggpi96fjfXscDDoW7VkHzMwzKsN2Qi8IzDAXjxwq6Vnvb6GqTRoNyt2V9 l73hdGYMMz0erKRRvk4kqFjU/nX7h9hqth3VNVoAPlSx8E4YO5aRFyrhqLQZDWwQL3hPh3a5hzai yBPBIMJmieT8CzDyqLk1ZCJId3mzGXaGjdkcGiECPoDJacsHT9qyHD8A2uHzQT4y5neMVNg/+Lr/ Q4C1ID7JmteDVt1oXoMDbGzOOvrdbrGpOKH5mfB7Djf730OS7FQ/m4OQxgqv6B9VVNLjpinT7NAO UT6jcrXSYLE2zNKk3lkGnw/ykfILQdPoN3iP7dAQkfpRaVertlFNRZltkcsmk7Y5vdoWWiIuE7UQ p3w+ldsFca81NUmAtlfB1CCAV7kA6xfQMdvbBuk/o+yuDlLf1XBqZzd3Va5OGp35fCSi50ES73rk 6wrVNEmxih6Oay5DBJKFgiFqAoCBSqQafsVq+y30RRzCiXTVkfqDg9jUjkny9T3pSSdFv+7EemA1 GXPVkRa7bDn0RVR0RHa2DTq34dwpavk5w/l8UJH8fW6jLdUvUiTHzogoMk98g1T/GNMkUZBpFRFg z6HuxOAcOCP6Qsqyt+Pgx3bFoVNlqnBmuyQqLYM79EPRg3k9FQpDQ35IVGtjkU3M4HmZwQDKqpoI mXQ+H0SSyYvbzNqIhc3PQopq28CpwjhPOD5UwgxOkyQFAlDxqjcACMwRr69CotIFB+/WgnEPNjgC gBMmUNatgheyftSFgy7rNSCt9rZBvz+zypbVAsiu+Q44cs3abchtQ3vEXL8hR5kFl3oDgNAfEaT7 cyTtZpCIbcWSs7RIzJe1C2pUjCQDg0RJQkJqeW0fbJJA5xgUQYP3FpDXJBIDBwAFaYAz521pUw8t xwaJmStIOiAc1LU96QBhefUkJnz8L2y6iAWAx/UL7MuhQyIgpD1J4pTkqrI9bZuPxQuAc8pJQo/E JeMf2Yhz8N2GvFao9zEAWGzcl4k6dFgLZV76mUQZc2iSWFi1ZTfKBvEbxurCdualx/9cRzyRZBnz 7LYo3m5cSLBjYNU2S4V5AhPWHIBdUSSyOYqF9biQ1NnnYKltg2jLntLOLfh3ip9nYqWAyNlX/BS6 AXrXCBtBIZnJrI2DobNgqSSOwxp8PiqR3BiJ+DVENbSlsDkKWYwZNsjF5IdgjWxOU2OU4vAR3Xec o7iwreBIJh25fZVIKowWjUeUQAlg1oKWeikVeiQ+R9Sw4WcEc1RFs2LFjYMy23Mc6cQtPLOjWLus 39VItf/uDIUC1VAlWqrYoliRPLEd9GQ2BMlOfhzFTi/E+En1q36pfoFbBKYziWhkxEq1iU0vdDXY zjCElopIoVdiXeraShvE5QpQX5Ced8lp8vHoOPql54AAunzP0XukjZwNONrcDMWWd5p2HNWZHEYy R5s+SWGovdQYEQxgtntmnzAYtfklLD+sKZuk0VARGhDqQdNg6l6r/rb/wqqDS+GDJuncCVXa0zXz 8b/oIIy0ER+NB0kSWiaSD1tEpx4MIo1llVr3oPu8vGONc+iZOFSy7awmCfllc4TmNWM8HEclDRUs CcAHU/tntt9g7Bx6JvKgAiW1+6CthuOflPw0Jh+P4mwPj8j4xGFUrpdADl0TUTFAeS1ZoW0bO22X W5YsBXGEzVAUZosyQ1V9G7yoPc6NfjJC1SL7QqjNWhyuYYgN4CB0TvXwl/0X62oPkqo5qBZ5LuBq 2YXe8S+8aFVR7vz5AtZzbJxIFcvpEnKzTuOENMieaYpGmR5Bhs6J0i3Igo1y8EbkTCmR1AJv5JPv kwNSBwC/jYYrjDoNsq6WduidCPQdsLSp+6BU5Kz+JOWCs2r5/F86hOLYDCS1zyTF3EY0adc2e2Qu GdMHoSyu9EFUWOJCismNnJ6i4+hqucK/DFLn4Aig779By6KkLo7uBl1dunNpIVEwmx8SsYcToSNU YOqXPFB6UpwCnS69ZNT3/54k0HJL2s8khWG2fF/MWRIu5I6z6ZIyoEQIld+0lMKydlE9/xkcs4WE ttCDAuURoF+5lGBNXkmJKpRENZfSZa8bYbaoPCwQIAerj5yEhliiutCvDawUf4k5UQQDOI1yBfRz YKaobPq8u1UecVRvJrN76O9YRCIcnzdbaKbYKTKTW6o+3Lr2OYRS1c0GsaLN+sjg2kP2TlMClWxp 7s0P+dfNtkwOQ1uMqX9Ke6icj/pI4KcoUueX7icqkY+AdQ79FNEQOef2qt4gMUFd+La4bFqGRYZS /8BPEVIuTXlY98FWEuSc5EwEkPZGt5PyBQiaQHwErPHW/lVoC/0UKY/YIJLmg1UjE4C9PGZ4JoUw 7es5aaBBJkh3jsKyNjwnJXtPILuMXJGM7GqQf9zmd7dFgfYoOrFz88HrbLD2m+TiDErPAKhFt7eC IKX8jPmwHdOylw02G8lYI4sRkaetozM/8pJANtL5fCQUn77XESJ6aIj6HIVl7Y42J8S5WPpP4zJC GdNlZbVIWW0hhbG2LEvZ5dLg9RHQKjZhc5CxHlTdhO9kRRp0Pn6wPKJiZG/2tsFmYzOydq6nejkp WEa7SSweXe3AVlFweMv9N1cg88sH+xcaK6KAenY3hXQH/oQTlhiSjFuH1f9NbquWUhxsdzFBlg+2 lDKcPXql/BVyhyIBYgLKO+QMM6V6LJQk7SgH1opnLVAcY0xlJrcMcwJSlFcwTSeK4PNBDHBbJDx+ 0LLLl5iVY3dFOJ8I0EBjGDEkTmI7p/0dAFHdgTaBuyJ0JCivIlr+0wUbZ+ulgQdEXTv35DJnKnTd K+meFx85yE/PgbuiUgqktLn7oC5SX03WeHUtPh5tN58iTQfyrJkvPDK0V0Tdpq1hgJG297JKG7wi HURC7AjvttBfcQ0BI+g81QRa5RyhB5VojQqMJCwUl21MdHFxDfXmWdtQmBQYLCIWJDGiMKTkoCIS dhtXxvm5fDy42tovgxiSnp+2duiweA460iKMv5ZJCxOGhNocwpAQfKE5igLuYdSRegfP2laH9TQd hmYdFckEo028IqIGIG680FaWve2/8BGFnqqtUPWQmjLn5oTg8fnjSR4Xf0DkPnC7SARtI64Z1407 BzaLqDvgZJimBnH+ZFphmKZpMMDay01uY59FbqJaDbo1buoG1Ohui9pgA6sSuEZME4AQwFe1h8ZG ycIc+CzKxoTuMHfQwZ0Al1PPY2w+/6deGMXFMOlAq/kkxfrWqLABvS+kbavm0ZBKN1lHVP/NMjj/ w2mRZf8sfGR+8JGw4UiTG/acSOe2M4FrqHQUnpzp4tpp+MgPieJtgv6mTOGms23W2FOSTyeu5+PR Qvo2GyL0r35NUUiHxKndl7Vn3TXmREmzGEQJFInpFInAbRHLiHfayNkHb7SBjtdZGR3rbAbEE8T+ 5ypUO416HNa27GUDQhIZtSPL4j1PlxXBhZmV1afK54Oabfo+kuipwmPA5iiMtumYNy2yho97Veso kVCpUHIWFxbJgeli9u4/CY4aLj4SmJHJQtIJBs5vw3U0IF/biE7kDBnZhjrgObBdzFYDaOpvc9As pdxF7Ie8M5+PyrYeS4qZTfP29nW7xQJ9KLPVbAIs57zODrdZY3vBDdw3RZOB9yLiLdb/Nzl19UKF zzqElUtG0R8Ft5qL6v/AxwIyDaTvbW1LQiwH7otIvSROYyQAB5LAk0asqxM58fGo/u/g/8kKJP5Z 8+Vnf17DpIKqJOSCs2mCfiPb+W1rMa0+DLT9GiUVF3mEkKwPFgGc5QPsaGUoeRJlXtw0TuHdy7rw D8XosJIQSb7GSMUlWOY2mqTfDOfUFsQTPCw8/TI/RSwHzM+w84i1lsq/ON9KNDmd8hBYgIQiEY4t kg3DK8lDLJyuXEHB5HRqGrRGdzgOlxlxlg8UCrB+Bpq1FBujc12Bvi+sXyAR9eDZXycHuQR316jZ B66ecg5uLteTipwY+3VuEDX43PB6Vz7jc/MaGJ2HcMK2RY2N/zBQWAKzbfPqBONvkUSNqXmNirBu eN03cdI5aN2UAkTHSR3YNz45x+5qwlGiHEAlVWoFZMN18nmNiYo3H/cgNZKDIbRMKaue4O3zGg9h Xq2QPYwKAZAfO4+YmtdgqBjGvzVDZCO2m4YXpW+0RCGaK8+9RkJF4i1s3HcfvKN2FmRaq0qcGIry vShghMg+qCI0aRDoOOtF36cm847fncV+zrCO2JS2DKF/zhWRP69xUBGN8tlTJOLBH0KT8xoEFYOu rTwF5G9QD7BWUSKNlzl+uej+1xgIX0yS+YlV+uvlq58A+sRijGPPuZOxcar6jcBkQZYPj9ieanrT 4MABMKIxnLJBiWtBa5xwvX5i6dcAqIigp8lJOpt+UNqzyXkNfwpENmgL2Z1CI7UMUWhMYwTdnGxL 5zX6KWJJ0YP7DnYan+26TkqM6sxAy2IDHAfzRpQYUS+C8I3dWDSMw6u+z86iS3mZO/vg1Y8ukUTI /31eQx+sgvq9dAAlkAEeZ+c18MEZTidKtYLg5Z66HTl7TXHW0M9Phnp8jXowOWxRN9VjOfjKSSeF T3QxGOs8mFtTpxpoUMwLlMQ8WSUI+zXkKc53OLN6B83NOtt1K6/K4/Ma8ODAMqEDO3NQNKD/LOfm NdjBcQxZvLKt5XH+NFTNP4dcN4w6qHcuthsdx10qEITMcvC5yRBXSQTxLzT/uKBbYr0doubszVjY DA7s5zXOKSoNwiOgNR+cC9KTGjooUb+GOfaCz7oh9ybRkYHiW8HcAOl7rqdhR87yKHmPdj3Kd12W m77WFLmhSZFRTbE+NUXUuKHTCWjzPEFKH4Ws6wEGH2KGHxYv3Tyw603fJ2cRs9cT6bIcrMa8u5Rr C5VAg8lhsYBzse2yKpSP5+S8FhOLaenn7a5vyfWIodzc7LY6l4n3yl5ricUZslcmtd4YeROSxCLe PCdqqVwlJ6RudMACIq3Oi7ZGqPt5rSTiGyA4plVZm1V3kD1RWenTLIXw9F+3FXvSiHbSyh4BRvEx UkekDn4ib8PHnGkDfNSmB7hOI+xF88OwFzeyD55DLGgOLKIa90kCICeCeh9qJedurz/slrlARta7 BluLHPSdjSTjLaZyfmRnpaIRIBOFyGv58mGjh4wGEYgF7w5mCIkLiO+m01zlngOzCjZo1bS/J887 Ea24Z04tcp4s5SajJy89KRAxpOfGqvC2wZ11wsPzfZBQsohEayLHiK0XHczMrracWTlY7wf0WxZa T2L5eeehlafTOojWlyNNuUvoPVJG6gGKM2HvOH5osM7jpzeHWJVZ/Ph5J6Hx9mP6QN9nDd7TQOeq FMIZzyJPUM8j7KNVy0IRSYrNuDQ/UazcTaGnFx+sopGbbrHaUBl7j5Yfa07ddxCQqQQTa36icBmH hbefmW9tP4Eazea4xWC97t364ICWdkgVNrb2+awgYGJ6Fkn/nNcrUW7thIq4nyG1+ygRU/bv805A Q9gpS86yuw8O05vqtJ/oE82M6AwavsUYBZA0XPz6euefFdX7TtBnledz018NrEHwIy53sBIdyPge MyNlwwoaFLfQYDfYODEnXO5ZNwTabxc7pjbqhlaCHtphU3WM8AxiMUx+MOP6wSQsRKkUrj0+7+wz Rk4e+0hKH8iNSvc3TVEUOKP71gZXN6VVEqGeIMRkZ1bBv87UiN/JZzjt5azYZfzWr/HbWTslbbIy oKwChI1oapWcKgTOzylt5ecocIaCL2KdPn0Q96wBbcOgptXPO/WsPDJ8lnPh+29j3iUUh86oqxLn jkN6FJeSzRRqEIqBBXEe0lHsLCRsp6OsBpsfaEkuN79t82wNoxQx68ZckFuldL3bq77PT6O39OL8 aLAzqOEQxbY5Wd3nnXVWHs65oufCgsi+8xOFz8iY4COULApaVpCHjmTyvIspHXdYFD93Yc0HN1od 4/adV0ZQtBQ/TxQCmLgm+g1DA2DMhylEKeJ3xhnWKN00Ew3bNFgdLM0qD/azkD7vjLMiBQpbQDxv uMHqveXfY2hIAUABA00s5V77et+ilGHJV99uUv7OOMPb825qS5fZaneGQDXfOVO7aKw9S5N7HgzM IHRN2pcbTDPDeOebFW9dtM2GIQeBF8/yaWJSQWwmiqJ3Sr+OIOjpJ8LzOEFRHI2rE/7Mot+BnCwX IbjRbzPg3sJ3dP6YKAziCbQJYGjbBULO7TghNNOL6hrnqxjsAgFuCJIB2C8XJYx2PT8jmp8CN0/Q gW0QcvFcjLrDNrrNURydzV1acTR6WqjQebUwiqNrI1LHcMENLvTKT2WXSjeP83cWJb6zzIrr8MFE wAc7gDqQoRNAVdSZgalhNJB4rRMrTH9y470Uver7FSZ22Tnr72BIs/MRjIjOy+fPO8eMZ6RvMHGt GhxPvLTxTjFjZIpuQe4Kgk5iXGVXsRfUcRQDJRb5cQC9E8y4CnnylHqHC1iEoj6dWM63hd7wIPOO pAbQVuUu5DS8oneNKvEyTyaCioNO6LNnu4SKzgXweeeX8Qr7LsUzW0Er3SYoqjdPYDpzMaR0Z7XT 5PTFXpi0E/Ir/p1exjResGn1dPq8zVPCzc/MLCZi53urxJhNGkGABZf7V2uQqiDv9LJb5KibzdPq elF7F8LW0Zmp7fNOLsMrjvF9hQHsn24e9s4tww6DM2ciaI4nNKMJThDVA+yEBntBExSF0TIaaMTT a/A6xzmceydfZpzAHBlmkdYV/TjpjNcc8dLZXn6nlt1Uftd6BwsTobvLslfKfDzK5fvXEgLWtjxx 9DuxDAku/MnlePUf5ayKo8+3Pd1RaAOqoz0WlZ77Nt/t5IPnGecHFcgFc4/hZPMiWQXISSap13GJ LM53Xtntdp2ktPpglaCTpctw4Jwzn3deWXnskyllzlRjARnsxaB3XhmPadxi9FzHMTTXtCmqxP0p V13T4sR3VlkRNh5zs7MPDggaJ0jJiWKXFHZPDJXg+HCO6Z3kWaHqvCwZ3kllrMwMUu4o4DSvs0pZ 6M5J6GKuzzuprEj45snlKSJR6z2F3uNoWdwDxWmpWOr7pmLJU7FzZHQLFN8pZTynuckGCZwcvPWe T+xczP72BOzwfaPKRepscP6gJXbLQUvvGhQTKYje5ZrT3TUH2D7oHTNQTPvzzihjZ7h83WOgSBbq L2iCwkC6oMNClxKiyim7KJbLMjfKDIktv+jfI2nRI4BBYCeDg8PuzpUO+icbgwWZBzdZZSVoo5Fc ruUAZPn5GcEEUSllQH0ew3nOHJQnfO6puTP2/rwTyqzy9ZxCCErLk6q+88mwxXajJqEuMsghuSpB Hy6p31axLsY7nYwd98QcjNUODhYopjMLc1dJN8AAUUxqZWHECA4XADNbvHc2WZGkH3LUOX0QBggn idmO8w2DBTTHdyANSGEhFFDzEwXS+O5Y8lO57ETUVq2HdbsAdxB2t3LZO5PMKgIEsXQf7hEEbT3m zGMichxEeNaErAHW3Iyh7JBGvPZ5J5LdHQYXeB84P+f+7saSyuvzziNDnDm8t1xVhDw7Y3ug+E4j Q/Q06CltsnEdMk13g1WXIpzIQ7jB3llkxZl2XUq7/Wq7nd8Y6k0oMHKDQYY4cYOBmJIBnCnonFkY RMTGO4uMnSzq69S9fbD52bLorGf6P+8kMov1nzBI1NZ7g71zyIrEQrqopDyAyvITuifHbtZN6V/O T1iPZiqPHeSDzc+JiCaKJcT9ggGsVhj8TH+IekP1rxunpehVo+lhgjrFQ5zGQySseCrR6OcEeGeQ FYH0bX9ptSBrmvcOe6eQFYmpQnfNXHPWYGcZ8PHS3HdyUXKN8IQQusFG2Lmvuw9+AJ2DAkwxcqOg Ailw0AkM4ZuH+3xe8DiZhZ93/pinYh0nmg+slZ3lIyvbeo74zzt7jOfz+m4y467p28vR7+QxTM8G zSdZcwcozSTg+O7DROPyptoKEvl36hijRC4cCeqPR1AfeNgKMJd4GmdHUQ8FeofTCg24xEwOlTIW 78wxFlvZ6emSQ6Owo/AbJwMmqvtnplw+78wxfIX7ZmKSBsNJV28x6J06xq8FDTTSbOnc1Yw+vhf9 rYmJPqeE6eq9E8fw/bDMOgSv42BTtNBSKzQ4gmdFKzxucErBOKT8zKcQVPSe0fwwRFyp+WDQny4N P+SLn3fGWHkYmsMo9ehVUjRKk/MeQv9/xr4sSZLYWO6/ztL2DPui+x9McPcIZA2Z0U1KRoyoyaoc FJZYfJETBwBLrqgnUD2lGeTERBLLcE+Yd8IYs0BWyppK0u26t59XzyBeMgKacGQoXGoZuWxB7khb c+uHUTD+nS9WhAAtkkW2gftrbqZTqA72+nlni2EZ3AiREBfU48pzgUUhNIJIlFKH5amFdN9NJF/v DuNA+5OVsneyWHH2CsTyfPAQuiJLqhLUP3lUqvLMATq9knRTr1CM1DI+72QxL5W1LXmP7fIeGUTi usXMSPXzzhVjgFC/TiDetRR21AxFMTRWOzxcrFZWRGIBlmOb4Ac0Gbbf8GExeqpIxmI9jPRwHohx ONBwZF/nxAwjT5lSQaMCnrH4tHkT+ZH0rkFHlcDDzWo0HO3PZzKGzjR3xb/whJ/9884Us8L7M0Og kpb9zFAUQwPBARCZqVaMufwKW8vIPefeHybH/E4TK6593tkf1eCljgGhKplA0copEWufNtXzThhK eq8JDTNGfGeJ3TN6Cpk5+0JWzSSjtqU89UShfD7YZHt/R9EQsGYr0GYoiqLRabSmNstl2x0Dzzqw EhHsFVCg4RRF9Whtr54VTecH2HtCZ6AxVY8m+5CZSGYjDH4YMD/yNYSSzOedIcaAZAjQUX1QmoFu gE6htT/v/DC8YfZ6q2z0oAcx/ZZ/p4cVcTE8jAYPGve9qQyqp0qQO8VEMD/v9DBe80zkCfLX4HwV eEqj18P5OZurbRZc0Q1omPcM1xlbQ53g8Hd6WLkaw4n6J4tmMyoGDRoNJ9obf97pYf+0xPgYsl7K b2mGQlwHic+lk/2FlqGp6J8dhs3OPPWspvNrE6cZxdG8jFBGXD54HjY7rAcJ1NywlSYf7EwGOL00 FgI22FqG4Gd93qlh/A525aHfaINKQfPkek3OVH183qlhOAbmNxgRF0Opd4e9M8OKJJgaAF/WcpbB NFrO1Wr3bKRvXWPvvDB8jBqlQt5x8GoigNxrTM0PjDopukyJVXSGcAtVx/miGcQvCU5pSnjNTtg7 B6vYn0TVOM9jfd5ZYeXRO1Um1viTPRP0Hkgb41koUl70UloiG23NK3e6vWL/Tgl7ej6T2HgOfgSd 8Di1JAPcCdZqMyPPJrAtNAauOZ69a3DP84Ifm8A7DskCadTMf1hyK593RhgR3/trBdHcerZ7BoX1 aESKhYIJdDqpVxFmUF+NuA5GdIJChwVp1lDPf9/Bu84sRZK8MYBhQOdctbLzuiBb0xNWuepCgPl5 p4NdFH1fnCcOQi2AZ8w26Tqh9DsbjOX+71IHMx0oVNv8RMVoLPg2aFiLlmpv5nay6dSilmpfjvd9 54Jx9SpD1RXWnisswzoKduc/shMYRVxfLJkMcUFaLsi7i7CgdyYYZ2ezGY9EVoM6zieUUaGj7/F5 54FZlqvZ4VlTKB52V8/vkI5pecb5UxP5Eux+L9WXjNIUL7A4jOa0CPHDwc/nk+/WQg2IcS7Uc5Ra IEm6E67scq0ndcG/U8CKvEcRPlN1mQOn58QnIniePcCno4bzDaJVPgKr9dYR3+lfBLYCS68klWJ5 y4kGNKJSlnouZWupvrO/bkd+NOoucPDlM2EzSl4xZATrMhIUHblBN8/P6UMo/Tv3i4kU8/i2ig+a H7jVMYDpfX3eqV/lEaSwMjRunK/rPQqhu1iExSW7qvl2gB/Jigemh+whJvEthkUPVb+WDw4qSxuQ qcI0FW7uTU1plu5RT0MgYmkqlDv5HQHqjgXELv9JDjx8To5K12UwcDOeDgLEixlnYxGhLu2UNT9h AJ2xaFpXzwK/ijSE9vmX2dmMLq4J47WoDG1IBcYxGhwxBX+2IUGz8zrnAOY6o03AidbNws/KrJqe KH5GpA3z1nwHLp9z3A/hXXbi00E7Pn9HP9BVLv1WOXoYPwP129IQLx7yF1Ylm6p8FOE5qhFV3sNn Cc6cZTNULLtObCfYxM4avSmJP0da1gzitC6UVc4XrsD1iu+IWqnEgDEU08DpKV6VK5Dp6FHwTPNn 9QmlCwuESfPTp0fRMxqwIDSoHAassWBke1DdQSKmlPrG7uoRnoMGbuh/dR+8RHY+MI0u1SCIpY4u XcFBsZ32g7aJq08Q9NujMnRl7j6lFzxdLxggEfkTnYRs4ungaq/pO7kAwDUlLwL1uAYtDrM0OXp+ +qhyKZDsdBt2e/WoCC2wbyN2RYPhXfaG8M0odCavnf8Yojk2JWWnya0YN5eAoB5Fz8KTlcVefFnk 0DN8rjCkUQrfCp6PSIT/8ORotTov9aDHNMJOtZVtiDKW51goK2NY/AMV6aoMo0fxM+teDU1CH/yG R8ltDSFeFjQ/MCUnw6jAjKPplx7MnTReexRA18TdVQhb4KAcHqLrFtUUPP2/zFBB+j6bH9E9ohJC MQRysk3SXI3CUv+H+mXdrqeASuDJUE982GM8B1EKbVUf/AabZ3JrEqgVlpZzCFM2k8ye6EptmhOs I/aoEr0Ii95ti/V07hJSLKCuVbmp+znq8Xhwhd064uJqo0CIV8l6GD8P1MZyN7wL3FcF+qVRpxo9 HS08xs89ZBNS+Lfusn3wEgdgUilRuHQO8IWImFqUCcBLznXLiLT5w3cEGTyh+FNw3XnpnBkiMVQx OxEfXzFYP5fdza4Q6/3dKXM9qkQDqH3uDvME7GbhkgnToaALa2SjmLZb/z2ErioFcfAIcU0EoODz ndts4RKg8x3iPRQMUCu4qHEKTfeoEF1p6drJBtSgEscJhvoQqHVMPB5Byr55c+CSokHjE/QeQiPF KOylslfKXD6J9A5dv64aEAo2U/6JPQqhN5uo6JX6YCvoBDqFQTSDoNnGovZNKqwW0Zrg9no2C9E9 KkRXwYHE6eBgNaCV1tLNnScejzJ432HGCT9JyUW79AgVjaPj/BpbhY0GPTYpuhRZ2uMF8gX99gjL 0VXaWCoiLh6YVa2ec49Nq5Gd6Z/UC0X7Gt92Po9eQtYLw9P4jt8AZTiQfTA00PlQNv+B7sHjf1F7 CK+jT0TpzxkUhtHgH/EQFtqFETCqZGVdd8kqBQVs8KgMvZz1tHzwO6yf/TayXErXiaPpoXJWEM2d IEBLicmtLLXrVYMslU6uO5F/wEE1oG7umJBkaHj8L0qzUYKAy75FoBEF0mQeZLUtUJLmQalIaF9E 2WqunDSiQvRkF7mPZ7AZgkH2yuJ8n6jj/ABisDIzq+69ZXVW+m+MKJLOXd7kXAUc9E89Ez/Ioz5n WcbjAbF5fJ/SFBVfyffYiCJp5BOdyip04CaVUGFQY4cWBzYsV5JCxREXosXtScsHv+bhaZdpbDrO WgISiJhECiyftfUz6gW8EJaE7/iN+M2isw2G+6X7Mv59m09HDef9NT+FIUP2KGjEwGiKuCVZkrQ9 zAHopATg8QjOAeC3WPFRHTpbJkYC7xjc5dRIm7DhGiZyv/YJ0BVz8xthsUdzQ7vlz+sOfEcA2aQD EBCsGkyLLWc45shvvPMVoz7GdxQEYA9FwGx+QjxHh+eGaDzIWQnTohpHtf7h+X7iLri/oihaJxC1 pzCwbjWEtwOxjKsZolsDv7UXqrNcr547fmJ6QjiHqPGFUGpDiiuPTwL4nDAHT0fnjyeqfDWyhMpd PVEMjV6ApD/EOxjZAL+7P2oco1qRbEQx9OwihlFbgcPt8kCrnVZg6DVnQaAb28pnKyPYQlRnxw89 yUdUg6ai23lVJKrwdcDRwe2F1BgxxfkVMh7/a/lk316s/WqCoiAaBxvghoKxnnikDYfVK7JGDAS3 G9tfURS9BPXNz2Ax0MkuwZxIskcuAykTw3tUu6jkRzmX8mhtjRASzfSiya+1PX6t+Vz4RJfBLQeP R+fP9wQRyjovdW6EkGhE+3taz6tBFlt4VojR6SqrFIHW/ESI6EqcC+bCh9vlKf1EZ4MakhtqHIwm Kx0lQXrKTxuM3AF8xy9tMOzW7oOOZ7hAEq+5+8DTUQh0j2e13SF9AnaGTVBUiJa0XCsWAlUREBbE nYbsf85KAlVHExQF0YJCNwGjm+DcmUFiQxlX7pFnle3RZLCJDhjbUMj7ruff+Xh8RxQCcYIKlx4H nUAnbBOr4tz0eDpiZXyXgogjkwoppyeMoSewHN3P58WKCrPUXpyautkB5/UextDSD1gU9OfgTbAM FP1WlxAAtdItxxCLCXWci0ckTAJfEgTRnX3USn3NCdKAIcZX34WFgzH2wvNBHn+LZeKGTRaUfIbC GLqSdJAkX9fpLmtCdriOFAHRkkkrKKxF82ApS/Yi68Jd0gR7YYKHT4kFCPIu+o+ipg7J24yipdXq acyEL4k6qTx8luTal8NCsTUVlCIAxePBDM3vMwgKjGUvn6EZVqMbMC6DtAsE0YN1eZQihTplqWxS ghiJ2IyCaGJWTnoB7poGK7cCXUczG7aa8X+zXCUlnQXmVbuQMqYZMwRz6JEksY7kHOHzS6ZEcte5 ChoeDyao/YOqh1xR6bfgOqMoGl1eyIFuKkXDrKxwrnYi7p3dQgjZq1Y2Q34hC/XN6Cu9PaWOjaIf BVXG+dELMjDsMhL4AXeBqreXW1E+xXcEmwzn97nmUenXoBVU0EbiHulz4/H/5RRiUzw98klRFI0C SQMRTLfYXH04K6MOQ3NM4kw4PyG7sEqkQ12f/vBT68ku+9ln5IadY2BWhkpJ1ptng9PyxvipFBCY YTmaAn2wCfLBqGG45EVmmnz8Lw2KLLhLQzHTr/kZhdEwpunnv9xTQ2AF5Kn42T1PJT6AMxRWowVr lYw9B4eNn0v/bFcKHq/zC69NxeQsI2NQLfOtdeA35rsGMyROWCndB62gE6UM4YHaKng8ImZ8ozlA YqgU49UERZE02ClnrZicQofAhhMzsl9kJ+6rFgjNUNmuKkLsd/At1tDGSIJt9uIqHQmsf9Alf4iQ sUwDnS18R6RBQbgLPIFssAk65xEbGmgr4PGgZdjW9xnE1Q6nSpuhsB49Id5QuvJ3mJyq3gr0qDkh l0G+PQ/pCM8hPFBdEllYj8hCXwkqgol7rNZ+tga5c40FjrONoULlxIO59arBId2ViqXig5Vba5b/ Kwyu8fhf1bLKcmsBrtbLrTNCdCCmQyaTDNHBAB6RUOrOXClFx3Xnx0SZ6lb+OX245egBwNRayjTm KpxJIFHPqyUwnx4RN6r6zyiSttvLPJC6S5riMulsjp13b3g8SMW6V4L42KTHuPdUZ8gtrLhnuYOF rB9XHZE1cCarrbsR+wxV7tjJ6EMElvGl5IaTGXvMmoZDGhSV6mVYaOtaHi46aMyoGl0kVt9pejC7 mx7A6DuxeAk+LR6P8FLfiCAGv+Xym2cE6Zi0GGkGHTuH9XZqWOUtoaYqbSsoAhiF0qgbIYvvd/CG T4N8JFWwYLoHWiojSiqPEl+VHxH2Zu8aYH6TFHgJH+fATCPbzQtJ94an/4QECXyG/8cNpGdILURD bA6TcDu/9jR18b2MwZIhnOhRYqjRwab8oM2wBl8/qKQAWv/D3mFagp5Bm/XkjJN2LJdaSPf1GWrd SeWll+WDIEFJ+mqAWBc8HQWJ/+AREaume8WvKIoGnrTVaXY0ANpoeuDaa8zCc1API3+vCNMxiAiC EYYPNxMb0HnBwY6G+cnD8rrEFSrN4aD+lsteIaYDufeZjDZ9MGbP6GwXA0aOpyNmYfsOgQCyKuuK KK0ohkaHBe4cbro6LASCvWVzSFB2ZuoKMR2USmy7Dh98fs71taSDCkhQSebKim5toWPxvOfz4v21 YqE7gjmkxjUvbgQqTXvJCGpXPB5Byv7pZdBNLHktcYWS0BByYzat86etB9Rhlxq9MkynbIWgji1q 8xK1mXl1Y55a84nlyYgYaBYytAMEYlCX+QSoVAK83O+G74hKZRLMpuo6By4gEAuHaeAMPP2/KB9X 9tS6R4grCqHdls6puwRbqpSYriFtgyCyNlgIiJYXjWwgODwN+bIKy3gwXCtUz4JLlPDxRZBNv+CT 3jWqRYv41JsPVgky3c2z0DeejiB336yewi5Y907PiqWhUanPZv8ENoV6GnSQt0LZQpzJ43mFtMJK oMJiEs/Bu81zj0FHceuEEbB5fmnuxLPk6RrhEjhTrxoUykg1gG27Dwqgz/XatX7Oi+LxKP7x+4t/ F6iap1K2ovgZKGrArWWKCfygVVrn6MYxLGSTMsFYoThHl05iqz4YZgobKkGaCWUgeM1UmhpOsNKh Eg48vK+fkpK9awB4oUbQoleXBk0QXMpkaXQmCo9HpB4/oam0iTBqXSXJFUOigSXbWc5qvcp6RhpB xLRO2dBaLXGFEbQ4hYMriINd8Ofmr+cEoucT9Qi2us2dvg/oFLSLid7spa5YncNUbLeGZZwe9CCJ IOknVMfjkdbvPxpB+Jp5Qb8riqDBFO2l84piVzVfSgYSyIwJgrqzpWArxEQPlTkE0+gPqafABkvx MrAcKbF3CpwoAyGu31snY7F+RSG0TAxhGe2DteNhaiA1/tzxeHBE72/yd6Or+3NEh8TCDqpTH9m2 mIxWJ5pwLjJVICpmN1hcjJakBHuqHJzUgz7zpqvAOYALAB0k9aCa0golIBAesptagLTnuwZHtCWn 5h3mvJgT6W2eB+cfvCoeD7rx6/uOb2TaX0eMFYXQkAZqy8tAQOiqygHTMseUtXW1tMMQmuJJpYv8 1NvdYedSLLtS9A+mmCCPLrph9ibrud3uFUbwN74j6vYw+BlETnFQDo/ppi5p2ZmPv8/PemJoBdz4 Te4E7SiIPm9K0/n2X0FQh+GsKQSB+yc97agUPZJtse6DLaHdChZo4RY713Ryd1rUjSjv1a8Ajmye dxRFN/IOhizVhluqnXNtFgkwo3KKxyPeSv7OwqCeSYEZm6H3MBp6yNDa9DTDwyBqKCFBM4UOYNQ0 Q6FCh6zCJhvzHLzWepIvwJooVDZOxk1fURj3QIN00zPpOhgjdcB3BHWgqjBRTY3h7Aa0JYUqO/8r XzHAJWavRSunhTXvhQTtEBwNZtaShRriRKqi457vPdktdnb78hUUhtHqFFYqjzdXX2Gd7OxgQPYp rwDRcTWGMkIG4BzQjLZrntE8vuOXOhksqO5gdaAMJidvphMH7Vihw88gocpIuH4k60Nm4QDcd9ti OVdK1lRBuMC9HTeSbk1QCOlQmyeNO/g1D+xfbwKPd1SUqEqeqSeB4jJ3pRMLi141UjBpwttNHywR Q4+K1/TZAHj8L7lfzk/T3/L5iYHRk1CObNSnZs1V/C6PQMfgDuv8nGiHCYYpZHR5kNEIFGvldXHi IFg7y90YDgQgK/1Q8s7OINbqd6jRQdDLlEsoByYakNZRIegsXTz9l0aHAHoNTnze7NmxzQoaqu5B 05PbqYP7NL2QSHV2LaAokt6yEtnpDo65A1qzA+1N8vfZRiwZ0T2gwCwS8Z4XyqhhskO56EVMRyI7 oyVDPp7jcSZe3QCof3bos5J9/cjbGJFTnZfesyNQB5yuzi2W/IxuY9sZfc4dm6xayX3jDIU6d3JZ GeMOfs8DFk3xaVTCNjgm6vbwjDxnFV3pVpfDbNO7RrVWGTxRu56DbbHU6baB46x8diwY/W+tjIWl O0GhRsf56QFKMQWKWk17aw1+J4+gjhtK8xOCOjZr0Gvd4ZYSgZYCKIYiL31JUrGig1jIjgfiiiuo 0OIL3xGw55LwUnX6oBV0VpMa8qkOPB0kGteNhuLiAC4V6ATZ/IS16EZTMNbqgU+szaxlweTSCQSP 4mknUBxISyf6GRyyAOFj1l7hmrrySb0Va2PGwFjYFzS199Sb/o66I7lwX8zLQt2emKm0+HSQqF56 GKaVnL12DWl2KBZdqMlhjjT4k7vKVvbf5CpSXUFpR4iOyfp7l0cxB7/iB2XqzVkuYQVNXfGQx2g4 CL5cRZreNQgSiVcYEL+1QZXotbsqXee+xNN/yo0L9EtdjavHnqIwup2t05VDE/NC/A65GRVYwyxf wnb1tFMURytKLJM1Mw7OEKsDzoYUHN/gjpFReOJIXLYo7e7nDtuUUcKXBAxMcee6ibxgVSqbT+eX YL8GIC1+wF/gTcFgEcFfGZycQpYh5RwBhy/YZb1RtQ19Kim7kAPeKaVEc5owlObsGPC5erWP3iJn SZ/snXqtHac0L6+ZKKWLm5bNX6vZj21vG/HoGATJd3df390TUZmdUjsxEZ8P8o3bVdXfBpq437IZ jR7en9uLipsqC8E9T9XEkyY3j6d5m8r8IIUQaWE1IFhuw8VPnbRndWX1UIej2HjdQEpj+nTtGriD GFd8SYRdoPBvq5JOhK9y01KCpjBR2XMsfkAQEt0rnzuuZWZlzyxF1WmUuahToysfclDWPcwz+5W/ lwPxaPf3S/+nrLZ98EOpJugcEkkODlyiyn2dALk3emsyyfbUddvr/sbW6Ds3HwxGVSqlLM4/OjU+ H5Wo773GSwyBR0Uf0ucpCq6hy9YlN01SFKyzzBSUQjL4I2jczU+mqE4tc+ImNh+H2wcqcNHLhOOd Yx3Nerr0wewDKwKEKUPcb2oA40uCPscUYWzfQXsOJrhGDocZQgqhHsVXE5knuaDECs0Yn6awWA0w w5DMHQQVaYR2/nQufdZfC+VxsAA0S1GMPQSlkrhJ+xI32Rs5LdDjKKWtQp0TVPPPvwUczZ+V6sUL MQTAl0SYPO42Mug1GGgRPRUChpCl4fmIJP4twcCMu19miw6614Opk7ZqeOAzR9tcawoFNSSx1B3w QYZKcLCKwcqGNIe7kjowzVUaQie5qVzloKuCDwpfqnm1Jse0l42EzIiXzayIcLBSSAcYG//CuTuf jzi+3+wN8JFqvpihnEIZj44SSDMd8p5uCSQl4uR4LEElx4+lsGYteuZgTsvB20KguOzNO26Nczkk TRIacRUc0ZYdV1XoqMAviWiapEbJO2JWSl+y7XEuTn7jCcAbn4/AeTdcUgUXnaHxBAJRuA35/c67 WFXH4QRoHCXeej2xanGbukjOo2aT/W8++HaDm2ruiijBnRG2gRLABfS0Snje+vIqTrGuNKYHUB0f dHaPk+9oIZzsj88H263eKw7Hz6CPVn+CyhBIDWlp6qRK+Xa7pscqzd1V88UP8UYKlpIqj9RONnKg yvsdcoDAcdG1+ETz7NEmiOcXFMSo0UoEUeEO4pf8WjkaskgY1yLhLIeq8v5gSBn6tDSvPapOCYWi 7sXZHBoe4iwBld5khYqSFNCl6LLNxHY2BznkwPAQXC+mJnIi6deJBEhYKDdCagCpCXoVtMemhWPG RGEPGCFINhs5cDx0VjQ8Unyw8uM5GwRTgEJ5Di0PiRV8cn9sXhBlfI5CSmKmpIc7+q19k1uBPinu gTuF2VsOPA8BwxQCjUi9/qifZLjyoMivRv4JVZpxNnHPNGQHtf3byM+x56GI0WtXHzRHJzCVJug5 YCqfj1Re77nNlgAgS3s/CymMugvyNqGnkeO27gJDVWgHzBJwQD5LodB0MyX37YPNEnQnT5TUWSNZ J1SasgJQfokCTRkPMyjZ20bNImpQFma5yJN1cKdzO+ytg7sOPh/cbrcOIJM7wJUfW7bA+xBdYNxp oz+Qom6VSGwRr0Qur0Tm0PxwLPES9/LBYNVwxEaFjYW2dCKAtGROhpMcKW5zCjC2W7a3/UWHEtLY 2weB9iBzIFBjrnz8rwiAjj04GIV991kKhfImJbmX4fb6KMPOJKrVsOmYKIupSQpZigqQugBqpBdp JS1Y5FRzR1r95IosWDL4KwihaaHjyCLFAKEF4hKcrUmVqRl2GHpGmUoL0E7g40Ff9lGj5CShMlwe g7bAAlGwDig+Dau4JdP42Ngk50+ZmbaXlHLggehEqmYovvFMEoK+Pcm4PRkJOrXEzcCPnp5zP+yn 2KFEtZgcmiBmScF1XW7dLjfwyGUndcLewscjQcpvOQt0njNEDHyKQqoi6/4uhYvysyvqVPqAEx+y ytruVRsCROiRdH6W4oNXAmoFGR23Iiv0q+B+rqNSNIhmkZQQNjJesdeN6iUoJ7UpjNp0t0Wc10kb 6GQMfP6vk5tnNKnI+Tm4o4gb1oTQfa2W4IIFZtK4m3SzCpAIS16apcjDRThZcPN98DAJhBP4MWAl QbmqS/qVSjPArrY5riV0rfa20fUm4SHyzThYCABRQSHR7SX/VEbhBFM/Yl5hixw4IiKYhD5uTaJw nn+F8RpwDTmboTExp+Vo4Ih4dcx5tWjwWQLWbpCBC0xQgq8IWXngk9LNlvebgWmqv21QB6DJxK4C rNULWBvV1DTKCY75fJSY3AyXpzQTnPIc3SHkesKYtaZqgJphdqwg3xumGClA87UU+4uzB7nkvbUe VzKwVM9JrpC7nG+ilVSD4A7oqmB/Pc6a8s8OjREluXBW9vLB8pJVBdM9G27w+SAvKfsrCEDzo5Yr Y5VDb0T824DbVzZ7/rQdtmaREmvd4ONrkkJ3xCVEaO3Fh9st6QsriMyPBaMhYmtFHRIeOT3Ix2xv G/VLhMti3oekUGcwKFKFJ28vWEmBP+J3rUR5CWDN69ZKQoNEyHx16GcYdE1isCq7pS3o2snZp5Fg c2iROJhuNHlAc/D9VmbtdHNhS3KMwtZBokhucUV8FZQWW245tEjU/dZYfNVg/KGlwubZwGXy+QjA /0+pW/qy7ZmlUAwEFKuVXQxkINwnxYp+8lltN4J6NUlhzM0qSdnqGu3+NJY6lIbYboEpzkzga6Et MKna3X+gm6kzqdCWhl8SVd0SwaHE0i4kXlNGG7vDTw1Laa/ND4iCbg+VWFLKEGN6zqTAKRHwkQId kCFAH4Aw5sCVlptKZpkhaLuFOGyupDqJAuDgZxKMXsF3RhSAG46dA1nWnxMKHgmEQZobabW3DQAA RjYXlF8aOqKijWL0O+S4oVdirv/YbfICqPd6C80SgfeFrPyy9E3mWx2ixmykFAIAktng5MAtEa8v gylSzTh4bRLQbLCykb2B2LaIV6rUioYkMqoc3uGmOFqO3RK5z1YR6Li4Udk8CaJIrief4uORvF75 PrcJZrowrRyaJQKnBRbatuRtXeP6wuhKyRu0VZSXhHaJy1nVd7A5Ahlkji2voHrCJFGzCguQyFHK vIw0CTTm0C9RdYC2qIbKQX3cLuTmDzAqfPzPZcR7TLCUZx1FMXcjHpKFRNRv86gm73COJg+5pUWl OQpF9iRyXlUMcMIYxJvOPoPbCPGQpcOSi6QQaOsRGkE8pHVL1rSXDaASRYAsdhY5KAA4+Xzjyq3n 2/h8FHGX72MbVNGCPN4nKQq5wXhp7D4JTTLMPxESIRdNArcQm6Q/LF+GFtK4CwkafkjUJkWKBtC0 SdRP4EVhqotYRXNE6zR+RzBHjQiZtpcPhpeoXbXbc0Tx8UgE7OKydf+zafrcbKFeNV5yER/GmpvI V6i5FcrM8GYb1dPbwDcRXTepwbNQ0tstlGQoN45m7Ku8EwWGEJEvlhcQjl2D7aFqUmicWNmcPJlk 9kHsonPMCTh8NjAfj86jWwKQkgqqEE/JLbROTDA1oZkyz+ySkkueV/lw4kCaW5Ye+qBosxG/3oUH 6P2reIviSxVD9vynSfc7EWMw0IZCgD51sUF6nV8SqXqT+1moy8xBk1SbVOgbVL1zaJ84dvq61wBQ E95FcxT6J5IkC00qnUeFMlui6U/3yEG074d2aKA4ZMBJN0QNXrw9GdXcMG1CnQTK8DLlxkfhlvuZ 7V98dg4NFOXbsc2RfJsV+aZUCCEQaUw+HoTa+R/vINxXBUGtz9F7rF3+H+Cu0GDSIdTIyWefpIi8 1gkr2dmnKAq1BYcC3NgHmyJIWgKfSqY+OwKCuWMr54p7DXvNmNaj28sGQAAyZXOh90L2Wgz4S0ml pJb1jn+J7lk7nN9ya0m/uCiihVqcLDtXE7wE7VbbdYVa6AoiQxtF0y0aEtkd84JKKvbvmQPhk07M TnIjtm8iRQXnUb8wdjW4QyPFIXgtuNXFKdaJ9hmFHoMAUQ8+H8zSFWimTyDdmvuNtEMvRQjQdUph SjSEJ6FEQ5JbmcHqr/tKij1glI/o1nqQtihJncQBDlJUSIU1lkzJwSVMq8upSyuJIBF+SUQqJkhi MXfjYJNUK3v055LMesm/MlsG1ZNI1tsDiN0UG2EkVt2GZbIDb0bZ8oADoKTcpRTCtSWMNvbywZcS pqUleSaj0USx+DPxRAPjFQnxtkpSXva6UVWS08MYSYMVSTZzJloPTj4fAW9uz43iGOiy1wdQEvgq 4tcBRELK52gHDKTD59oH+3oJEAgSSzewROirKGJNZVapwe82wCQXNOThbw9DTtw9Z+0kpfw/DNNV uiUdjV/y290GOrEPlv+fq8fMyVEkCawVvwvc6mJutP1uYzL0VqSCyMiGRT5/MszbLixBcI4qbO+V /gfeigjaSRGtZfngWImTuZ67TTpYqAWwBA59WlgWLujePD0AVdtCb0Xxs3aR60lZF3TjXYcTUA4+ H2QklxshQz0GbvXOUVjeppDRLsmg7dV0iPeGjpeKbZ36Atptv/vCFGrAa/AjaZ5k5GTtvP8bvNwN WArqHGrDkH7wzZaWvWyw2SirPzN1VjgYQ2svdjSRkHQ+/7/IqaGIBUDRnaMYTrKYz2a1AEBXsRZA YYGFgSRMgXwhRdH2lOeLOEj14SCdaOtEAJ1CDGPjHuqyx0MCCuWJnzkvrpT+l/ySCOCulFbFtuXF tnOoDbZ1IEq5+HzE0rokGzlxj39Q3Dk0WaSy46J/PIrbqxDPPhignZ+X+K1NjRQtpTDclqD/Jsqd g283YNEa0sgMoa9znSDWq8CwUAfwJ6921WVZfuC3RHxRZiOpdR8MCjjM+hK6f3w+iAHGt909vKJP en0D7thpEYaHTYRI5JnLWtzwEawOKBnDm7eB0yISQFmhrO2DFQDOKbSxu4robPjXMAuGznyWwMyF S47kLxud22xtW6O7XtLx+SWsAFCxlAKrxfQfdqbQPqEJuM9RjONeKDstwSLQNFZwdBLa5VCJTOsZ 3m2h2eISRmLJNWa5dN4olM1NzL3HqjATFKBEYizI25DqKW8bCpMCt0VZ25wFJO9gDsrbzqpXNfHM OR8PrrYrYFhkh4Jz+xrq5NBvEVnUN2eiX84EOsiOJ5GmWNcH/cqLNOuYPm+R5KwRqOsUAgHBs62U SDg3E3vMSFbarbaVZW8bQSVQ1Eb7jgN2aVGYdPYMFSLGyTL4AZEhwTezraHcBlqfz1Kodl1B3NrZ uaPL1FTt5JYcbyepR9stFhkhKImFHA02S6iywMWLpaSKFjdLcud/7j+se7ZHA4pChjlwXZRmPa7+ kX2wctvJptUtG2Pz+Qji5l2SrfOrAvB1a5KB8SLMqxCtZFN/avB4V5ckFee55VVd6CjHxoumRMze JAe/3ea555O5EsCiW0dShkpo4cmZLtAdcay+JAJL8khKEvq8Six7FhEya5uVj0dSNZ6UcMkABggB bZ+isLaNZsqg+qCVACySnFJ1buRMVMcABOaLl+gvqE+5viUjQbIYMW0T/QbeOkNREn6bs20oY+cQ t2UvG1GUJLMmYczuLMMM7miR2lGqfD4o26b8FW0jsCuUsLA5CqNt6q2R08u+bX36tsMrbxXS1T5J Ubg9ZIyiqJuDn0gnR0PGphop7snCcLsQur3JpXroNxQHz4ELYzYXvbYpL89Bs3Ry6L0NI7r4fARM 6l8nEnGyDBx8mmLZvk7ChA6iDi0Gm6Ym9ARFR5oLY+bAiRG0XbYA2GHU4BU3iGURWcNy0lkuAuYQ YAzvJFZ7v4XFcuDFiFYSOQCClC6HlJ7cdmaZvU20JAMzRnQAvrttqK1UtqI5R5/XGKm61VdvVgJI lHvgqU2grHFvYOHCU/s1RKqAJVGRTlgJDh4idah8wjkD66gPpFhcarxRuI6AuTWNeYSRrwFSdTO9 xUNJgwVIJ8xRIemElp/X8KhK+gGTMW94ZLpZrF4Fk7MKCDdzD8MjQbxDnf9ee3E8Ei5krZ9gcjrN u0beyYcLjhhQ9S8G2h5EXoIriGYQ8Cpshs/8hWx/nZxEzDNqENT15sC1g1iUE4XU+/MaF1WBK21u dPVReaLa5LxGRecpdHygmS4/uLZYQAQKaYA6y7kp63rkvoZEWDg8pcUz0mALByy9k3RQMpTy+rur 3I02NGPrdCG2WMR40feFU4iKBHzdB184q5lazW6f12gIM7uzTY7+KgG+xebmNRbirpLzh+LEc9fU brDRmU2rJm8i4rCpXgOhKjGFiuVRffCe2kDQA4dQXPL0aWKWS+EiYPsajRsEP2ZT7TUOqohjCBXF rseAKi4T0QRKJSOvE2Xnz2sYVOVP+OwqEvMKnT/oWRztqgEqpCLnekVGiDxu3fKySlq7DE6jXUVw X98r++DtNDCy6qCB5x6QuS8qlADPinI/yLK+q6gV+hoAVVmoFtLhfVDeCuYdVw6U7j6v8U8VmlGT w6Mc8J5amLbSFDOYHfCNoP/uUqo1FefTlFSscD0ojEjfqmB2JtsaZ9tkH7xpDaRoI4x+wsYTypgI ENlNwgI4h5vD1mSh/nkNfng8CrJOfAgHbSzE7FIIOXP+eQ19qrwFno2FuKLs6ifya+CDYzzjkhpT 8nM95eU42sQyN/5IH3YzJIhmh5fQqOygcbj3OYzLSxK1r8wpyi37exUTA7dFz1aJxn6NeaqBHnqi KYEGTQ4tLagNcab+8xrx4Mxa6fvUIZYAKTQn5zXcqVLBdJMP9PLzUIyzRjVSDYzHPXJ+jXVwItvK 0fZaFzub1zpBF/BJ3FcbMikSzsB2AguBmo8WNyPR/LxGOlW+jrAOmM0HzQ36r2p7oUb9GujwBX3h sAyZ6KYzbW5e64rYVueSbot2qyLOZLUT9ySliWdOovoG1cOCE9k8lf8LC4rss6I/3VB1gQEKZH1P ToEmGvw7f9iTdj/BTvWwaHLYCxrSceagQ+ekhVKhKBQIDSanr3uXF7uvMJk2O6/lRCxW+EjQu9vI IMnJIF2mXlKFcFPl12oiAx1e0f/txbQAc29gisCXZexOT6ITVw5QvlBvoJCE4a5xH3xea4n4CeTI XQnd46BcAt4FIjlUKIdFh87X9AhzjX5i8kP5nZuGrbVhAFcdcFUo2cQJapI44gRxokXgi2ZIYLTJ U4WD96VpgEWpSzQopxSKAV3HZbkh8PElm5H1rsECGtxWpd/BwPvQTiBigTiZKFJeT6RMhxnA5Hrx aPCdmVblp31+j2GWr02cmfWzS3UkMeA+l+AQTRDNtvk5NlwOyPmBCYbFvXXOUVbVcVpX0MLxfsjO 5BRDPZLPOzENy50d+wSYgQ0GST+hiTSKzxb+vPPSGC/74aw+YmEB6CYT7wEzwJ849MlH5BGkomun r7th9qChe6FWwQxtisyOSrMGDj5DabLOI+mnk7UkimRWNBUoP4KU2eiNq9qrvk9Ql98ZkAY2WFkD dRTdSCiPvcfMD7Nh/qgrSxMEv73eGWmY1oocfRqv6uTtNj8IKLY7wu2+nQAahc1dIvtNGmvt0VA9 //4TRE+ZDZ1fIiPzR7JemQ1ih1+Fx5ntXYN8S7m6tLGWa2OhIKyS0TlUFjoa0TE081fc3MgiLneC 3gNnHK+IXZuf0nW3K184nOLYiryoWWGNJojo8rnISeNgtxjkCxcghwQ0nrOS2F6Iu1Rh9ViGHtpi U9WM3w6hMzNS4U1eWEoAystM6UQWn3cqWn1kwKcMO+nlA+kAm6EoeEYe02baqmE0FImNGTPMVScX gBIsX38PnjMplWeFE36vwSboxM0gWREVC8sekiwoNX/+mQkB7XNKWwn6PXiWNAys4Mho5EAe2kZK wXZPavXzTkNDOHNT9q6NCYbL8rT0nYaGL0UjeBRTWgEnZt8u/fQuPfm6PKSj8Fn632OxD83B5ifN OSCPwPD5nMU7FSEZGdMgIkYua5aLjILeKWhVTi8AMIin167oAxJTEhvbmbPPOwPNEltLvjAXlQGn h0HvBDTsS6kUT5dQLc3FDM6xen0sslvivvPPqvtyd2r/arAjCKwhYBl5BA2czZxK2N+AAD5+xnw4 Q1QpfqefVSEuzsrpJHtysLKGZKASmZGfd/ZZVedX86EmM3fY9DD6nXvGFUT/kyruWYfBqyVgQHdZ AkahfIFhokh60VNw2E67ErkDKqUNVRI2eU6auuaSxAjyDtAYyhNIkz7xeWeeWXUCwmGEeXAwDCNu SgbHEKCJIum1x/ctT3cgisVogqJQukPFQNVbWA3tamnpSTTMrPuk2kyAOD9xKM35Eayag+YHpvEo cbDnfBKJ3ZsqzmBQV0wbbi4Xn5n2qtH8IEIkatcG4RdPBFgNKbQ+75Qz7DCjnE22dzpdaJffYe+M s2oy1zBF9DAxCwS7FuVu5PSBDc4T6J1vxtWrusZ/enYWABU6FU8QR6fWs1TY4PRzTmtsrPbwX4pe NTihm6IfBYv3BAI2rlDLqs6SP+9sM54B++uIhoxCkxgW5ycKo9mE2mwN0xWXgRpdcctNxDKh4jiA 3qlmLM5KWbbcwUvOAM9AtYjLZ7ei1k9iX2HhRwQM7RLyit41qsgzCDLdsOnEiDPVu6nqPNv8vDPN qpoqX3d8orykH0DvPLMq02mwg6ynkxUOUWmfLACiqEdp5kXwzjNjKs8yRzWS0AWaZYgE1oKaPcB4 gONNSqoBBcJqeu5f/cFhLxtFQZQKk+EnB+ugoiSDCcq1fd5JZvXx8rKeBdoMFJnUBIVh9KKwA5s3 k38yZhkcEYed0F22ppigKIxORLwCCeSDn9ArnYgOsESgqRcKQBQJm8S7IhJi+Vmwl84e8zvFjNk8 k1RczTY47WVIKAb2cp93hlmVnM9TCqK8F+XRNENRHL06U1WrcZw/ZQOZp+6o13MhZ0vE3vll1enT I1ECk4MXEc85KPMG7LE0V63S4MWMskhOapq5MZHP+c4v4xqQdirP6HRrrAW6oxJqOnv6884vq0LM fCcaZwkh/LYJisJoHMXn5ipqt7dVs7AJ2NZG5jhnoBcS38llPKSXSvPdB88zIJKeILQJ7tjJ3lVs LDTkRgpPOzhV6OXV8M4tqyLVoDb/DJofOO8yzzjrcn3euWVVOjjPGUQqf613Ab2H0TiDIBHKmq7K ZabmhLvBtC/OKXLNGt6ZZUyzM293nkGjP2fQmYp0/jHS4TuLMlUZKyN1OVda/wEU4laDlt71/Qha hLgM2mBrsFoHCHH8R5+9/HnnleGfmr+r9GiFFuldcYLCOPrEzWdbLaGB4dvmVBeYXxuwnCG1VtB7 HA0NQeytKcrUbF/aMmsI38Lu4DlOh4RoudI3gqZyrQhoEvJ5Z5VZvQvYDQaL5zuqOyvDpIc6vOcu 2J93WhkLX989MISkJQ8vBr2TyrjF4EMpsTTkZHMpP91N3nlL6H/DS79zylgs5uEzaWHOwSZonVS4 rkVDuA1MUKfBcIMJQIG6NosOQpTJMO+dUlZls44UdW0fLNFYtW+zI+crRiVXj4Pwd5mulJupvhPK MD/QlFkwq2Cx7AS1KrhCVNlwiUCleRz0HkZna71PpRnzK82gg+CcVLleUJVq5g7baLK+f0ixtiMa 4dPnnU3GBcQUlebBGhRGnxOSFxOk0z/vZLIqSvhXC5VGLNs32DuXjGE0ZZwv2K7VaZd8X277Ab2O ofXzTiW7cfQkZ0KDt98nuPC7ubDsWVAUL9joASxgMNA7syCIuI13Khn776SSlX8dTQEvnhQDOUlw /rwzySyVtvmhCviWJIVPUBRHA35u/GJSW/r0TJ70DdXKUu9GtX8nklXnta7Rkw83DzuZRs1yPEsn Ih/kmk2Afqa83cVW2sTh8iuC+SFjY9CLVIP216RiBvNU/IRRGO064I7eQM40252gKI5GXdR62rzj dQABQc6IRI6UbThEIcRvJK2cfQc/gIB1KEzE6DfUvKSPzjUKrbIU1AmNgt3nnUJmFW/WyKYPKpWd L1CT6xzxn3cCGQP9/BUjsoHQbx72zh9jEZkxopN+2zSsHZrCzc6fROFATs97EO0h0Fwz+WDLp+SW UZsnhGOWCaUBTA/i5UJjALIQpI9KNYt38hiTjKo2KtGaOMCqyAQnqZNVzEy5fN7JYyx09K8TCBcn fR9tgqIgGmIt6L+7SsM0EyYkqskw0ZW4YdQ53plj+HW4a1ZhQ4yDTRDUKs4pRJrGwsGcFAvgr0C8 dz5FoKL3/C0FW1uKH9uL3ZBHz80gPfPzzhlDBLLbV/yDJ2D065PzHkAj6KK5hweIubhzRaIZotL4 Ad00LZ+oEJ1U/slt+2C7a85zYfWtXs8Gvo7toJpptXjyYET53gyjhPw7Yaxmk7MeVeI61UDpZ/u2 LWDl6PXzThfDMkheqJfhBYpxxMZpgqIIWoaUzSSsgI+6OA6qkjBJreSNcPmEleglwGG9g2fxMCGo RP2d/dV32axz0IPxBNOkNTx+cH3rZX+pI5637dsHO6A3VD5+6IRSP+9sMVsMX/sLJwLsL2yGohAa f8/BHJ2Y8e1gjulgjoFOh+6vsBKtfursArDyF50E+Gp6ZChYodRHCB5gn2D9ASjuwkObojH8kt9R ZIWQFwrjW1sCdTeGxeuEiO9csfpoD1uSgWR43xDxnSrGGAgAxFFF8T37Krum7k7Vs9RdrOP8ThRj Bll1dW0fHNMBojE8DoQTr1WEe/qHVaxSEnxNd5gh4jtPrErmBadPlSfl+Zwm5iBY1rzPxsx8Pthl e31NEIjjFY7FNkFRDA2MLOi90+DPyWgH52Kg6jXixfP1zfL4d5IY3l44RFluc/As7KSmJ3VXFnYW 1xImDzpPsImGEHq5SwiPfd4pYvgxK/EcBAhoEPj53Gpy6jj/6M87QYxv+L3FirQzfQG988PwnZtS jFkeZ11pm+QGBQoiyp2KgJifd37YU+f4b8QUAB1Q8WIMVEE6pCbjXk1IAFoC+hLiUfJ554dV1/dG eqjBXTvPYu8k+aIo+nmnh/3TD6uqPGq+L1YzhHWgs9jB0crsGMoZBj4fuNuLhOJBbK3EakZh9LRj SJrM88kzsK0A7SDPMIMUzUpHwhJqdBtC/d46hqgwf97ZYdVl4kHI88FLZVCQ5r+5j887O6w+hl6A 0P7oaihPovFODsMiOtdnm200azlPu8lO9lSt6HFO2myeDO/UMLY7ZbooGZ2n34MyCWBSiXtsgaWS WSTDEd0oZIltbWBfdBP5JdE5rVioVx9UC1qQRFSmMdbnnRhWRVB4MnkA+Vn/tgl6j6ShxtyILXPI JkTLtcuujXCG0JbVo99pYU/Tuafsw03lzzFfM2WYNg0uOZe4idAR2z8skrhn3tC7Bjd9k3EnO4Yc DLRpXpFIWMrnnRXGx/fPd7UVS+lixd85YawfJBTLthfL1H6mCoPaiIR1oKAvPHRYj6Yo3GwsNnN4 QK1nB9RMvTN0sinfAWMM4PEgAIX6qHJVsvY+75Qwx9Kfq1bWecXymXNE780fJK8TTL8zwrD+ki8g TCwuvgI1L5ufqBpNZcExtqAcoN+IEr5PSmBLCae9yS++88FY6u3MHxgJzQuLGwWw096onIsy3Fxs +ICCht9gMa92Q69sbxrMDlSXet5p+6BLLG2pq0Dn6fPOBWOaexN5hs0oG69nf4WYjk2+nHcM6Uwm yZy2LFAs7Drwkn9nglX3O5uD24vDBYyf8HCJL38i4XNiZ+Gr0ApbxJZdUQFd8u88MEL2GUhnBdLZ AumTSS7hONFY/byzwOqjA6cgCC3MWi6y9Z0DxjY1rsZSquWpZQxPxSilKfJuuWyDMJCmMNXKz2C7 C75yUO9CmEhPXHF3BwLT3LnF7ulDOP07AYy5lPKM2X3Q9KB4ws1xAojPO//LAOcP4gUguEJlCk1P FERDLgCRoWvls4QsrXwgvTU9U+rLnZ8TtVN5+IjHM794POe0oeWouConp6djB1KRBjwHnBeyZ6p0 0sV3BBc8mc1DvhTDfSlWH2Mwhq6Q7WpRDD3HdzeVFQ4yuzQ/YQydkckP1zXZSAe4u0Yb3unJVHHk 6onq0GrEj/wMvrvauchzoxblSQxOziWPr0rP+W7GflZn1fREITS5Vrhpuw9cPidzyRLs2IlPB934 4suHXDouxdsJ6yEuGnxmuFH+mMSCFTrWSkw7CuXRt5XJ+nsELTfeilZy88HL0PtsL4TOnJ5xEo4t EYZBtn/7URavAJplsh7zBwmUInNYgyhyozOhxnlb8XRAyNg3w2gKJaFecOcnCp8Rb6ADrJ10Eg6r rZ5/Vao/bodLPaHOjwlyVMLGp5J5DlYlO7/T2byFGcaacLElm6dTKwVEPpR1XYGi2qsGCQbdFjeo 3TZwd6GxLY57PflFj6LnVL8zMAZjKd3pCYPnTIdF42J0FQtYpa/VDyIoK1iG2qMqtMpAfZPN3OXk I7jLAIRm0TlgZwBCE8EcQwKq0yRXjKBLPFCPomfzDtpLAwEzDJ8hsJflj9cKng+YhLN9TxGQ5nXe MkePuYQ4gWgZQUAZzSqEuKOGBuIfdD4tw+hR/ExZGwR92wcnZ5zECTaIZIQhOGyNeA4AQBqkXkp6 IHfSeu1RAF0F5BjPoAhoTWUbZ5MVPB0c0al+xYcF8BdUrGyCIj4hRHSarIVZqs8mHrSkCkJNhQmR x4r4sMdwDp7NVcWO+kDK+lksi7IvqANV6AUQUgadJXg1/7CJZroTrCT2qBi92EpNWwEQpmRoBW0W lJAHrILHoyvsu1Iv+77sGWqP4udzFEO2z/ILWCAJHr0nzVBMUMFbhT1kFApSNoVpnQ+mlY2Qzth+ QOF9JMIaIPvyQ3PBuW4hEbUzvmpww7MqsQbFAjkY7yANhUBn9vmK0Q5bXyEQRPG+SN49rEVPgOlZ ICEflbLbMnylbykT1MnaHTdYHEGbHmf1wSNE9PIa0VxoiVWyh3AIJXS2zwJHm9VR49Sb7lEpWuIu bHzboBoHyraaoBOn4vG/iBnUCGCZbju1p7+H0ABC0ikYOR9p8LAvz4wRW+GsMIMHSYCOij0KofcW VXdOH+yUXvWcwPL0Pjddh/EkiRlS8MYOS7fdQxkefEd0i7H6YwpvuV48UCtS+D2B1cTjUQZ/z2hL 2H7oQ2YzFKGioVMLdUMx4GWESFmXKm8gvAHNxLWCIjSHlzi6xBSY01SiWhf86bIcJ8+xkeXeDTrM +bbzeYOoVvXDkGDiO4IwCNdXzyDW22CgzZrFMIfaPx6PMInfxFRUSn8kzKYJCsPocyRYe1hwl2zE DFghW5UMAgiyC+5RJdpkAmTtNh5rN6htn4XMtsoApZPWw4Dc9SSNx70unKNT+rZHcA7CxXHhTh8M 9pv34myVc8Dh8WgF+RnNCj8USCvbhuI1R4E0aE+9COMLvEK6eqVtWGrPKpkVgUZUi55cQlOkbg7e j58TASKZBycgXzAJYhpPU1xYXeaHmkEjjhFF0hTogo5798GqZDgEtqpkGY8HofRI33EQ8JWs/2qC okgaegHnl6PQDdoas3sY1MsyWY5zxrp3wogr0SruqIyYnzoHoAXn+kUce27DnHuiS27eZLmc/xo8 pYuslJPeNZigwiqZKtHzVqIhVVKHrunNx6NK9HcZUfT67JnqCIHRaVOmvMiAYy8nHph3EgEddZge x4gK0bTja6uSyovrHJEGUo1+pqQLOnFSjQQaEY8pWmKyepav2B3WxMB3BKDNRtRvbqoDNetlgIEt B9x+/oPHo15G/TqDwECBsJjPTxRH4ydscLwz1K8kyoH6Td5QRcvTEGUjCqMN8DI5P3Pe+TmvAWT+ tELHLGuLoQlXIB6tZT6X/MT0xIAOTk9TV7UZrh4umFUGoyeTwNN/XWH4u4Ma1Y+uQhREo2kLH0vz AaCIuDGfSnPiQa3skGF6oihauhNj6IQezwl9AnCUT2m+uWG/Qe2/xrMRNUVs5H4PIFqVj6gKzQOo k76JgbprmCFYofOSR4UFj0frJ32Fidxf6ZahRxRG40eDUko2XD3iIcfV92x9jI58QBssiqNNKXHK BmA+NgADdANIIxMxRdyGbEmQkVKEuVzrTSlujRAVTcvNrnCag8HqAQM1kvzE49EB5Busq8xwTujk d/wIUdEQntkSJRtcQo5JTKUPwySW7RrAI0JFl60rjPwDDt5u3icBGuieywGo0hYbDQGKXZ7Pezph JA/jO35jgFdKBGuwExpIIzZvdh94PIiC1q0l8kKHZm+5DOcR1aLBVYEko0VB53ztnKE1qzuSZMX2 nKAojp7Sk+oiaHRKhWZ2wk7UQ5gZ+/H57LdMHzyU1wpOptmujjT/Fr4jioJECmOYyEFnUNpF/vRr bTz9Fy9DtdblYqScniiKhsQcKKPGTl2CtyJRbd3wU9gMyW74MIqWBqmsSPqXFUk/u+2cYRL+A1Kf 6+XE6uR/C7N5MYmsV+BLgjC6WaZKREc/ga2hxpHJc4bOdl54Pkjlb7NHsCm8wXNKh2F0B5psNt3s /aQU24Kgk9bo4j+p6sjmsjXCcjTDw0aNCQ02RScfOAkGNQRm4sqhIlmC9+aZ+0FbeC/XowXNlw3q ZTx9YGvugzEPTvTDQwT4LjweFTu+K4q0EdjLYYkzLEhDRCCrx8y5wjujzJrQMrdq2cqezM8ojl48 WoZsyMZjQ4Y+7T4XmyjOfbihHbJ5wg4Aa7u4MmYaM4R0FN7zVf2e+gB/58xJJhut4fFggvr8WkKL ZM67yWYUR+PVwDGv1Is+f2oKDtF64NWFnxhpPatlMyQYyu639u2DVxMp9ALCN+55llrFcF5NqKne H91IFFDxHb8o/uHHHD5oAUGQ2Bpic+PxSJzMF5DIYTBkvKn8jMJo8AKBr1H6BWXtbsQM7DBeYifU qyYwNX+nF44iAGe5eA4UQKE4KUHEE52lKnWyJGf38gMQgRNUKSEw44I08zD41tqgWsfspaqY0ycf jw7p73o0GUb9JqozCqOxMnm1CNpaWnFg/aRQghJVhNmaoLAcbQRngcr6AypbSCQpykINgQ42OGaG 3G90qUq+tQ7yc/AdEZyD1B7B7jhYtWz0KS+E8/vi8Yia4Wc0JxOJI5Q4bYKiSBqEb2hziK/SKd5j Nj9y/QXDo1y8y4wiaaabrVtDZz+ZfEqw7QbPBPVo3M1LFGdsLLCRAOnwTANlBHxHsMN4Ns+Rig+a oEJd/B8iQyoeD3qGbXydQAhRAdr2CYrxHMBJEauLYtksyYplMhqmfS3ySzuhIzxHV531a3DEFILV TGVdGLNA+oOJfKZB1LnhobDrzIO59arBCS1o/Zx3sPk5cQULhCix4PG/CLyJ5dYCZO2dnwjQgUr9 lwAye50Mg7JEawnooGMM5ycqRy8LD9XP6E8/I2HN0DQSecY5mIuigUSBubMiyL50/eOiV41OaCm4 9emDbjCocQlcP1fD438l8myD4GdAn8bmJ4qi1VPdaxi4vo704wqJVz+yd+fvzlDojqHNuWq3Dw4o gyTluSsYJ5Z1Mg4WixrkvQrMzYG/NYsoumjMsBqdppTqlw+aH1DCtvBkm48H62d/F4JI2S5XJmhG kI4piwZTBDr5cXdXn0ruDE/o2dxrfEZx9GAlaCyd0Oue0NBR2pWtbAKmzgHNEllD5I5/Jo7J2xAj t3BGYTR0bODlS1E3Dkwzzv1liKCcG56OEEG+fvBcJhjoYhZmSC2cQIsvo4YZDpLzw4qU5idJJajz c37lzskWYn7ZQlTc92WanW+CGBfPKGSNhVrHSDOMW0gn9hmq3VkIlIYPggSdjI6llRM8FDwdRIgP HpHhJML9NPwGW1EMDegGlDnMYKyL/g3qwcqmCwhatml0rAjUoeyi79198EJHQwusq1JWFhzs6IjE 3k2nNMX4VzR7haAO6gfkpqZGc4p5Ov8ExUPA7ePxiFz4TymaIjzTN9iKQmiU09oepqkJLEl36hwv Nayfkh1RtkJQB+3Ch/Ca4wuvef4/IKogGa4FmoxMbKi3Wi91TpVoXmDrV6W7k1MTtsnBDqDzMVP6 9Lvi8b9kuMgoY6sw3fkJlaEXgXbL2U+aFaE6pnuvTaipaoJ+R3WMSUT44DX403gAndw6zZXYLwRY pVWT4UIGDclNmtNe9nfDd0SVMkxQXzyh+/I6x0lXp3JUim2GIfQlp/LvDmW6Pj8ht7BCwiQlXVud TTSrJMpRlO4xoO5pg4WI6CFhaHHAy76FDiiJn/0z5AMJMUnZacI4kgS08gjdwcyS3/ELfa5THsYG q0VXWYvXE1bh6ShF7V/rp8jZ2AOgFQpEA/svEx0oi+O6YYAIv26rueYFgBzP5xVSC2kQ2vtOPvj0 wJ9m4G4m9zLNRNxZlj8bGnHjivbvYa8alMl0PlPaTINS1LOUKjs1daWMx6MA6B9MImA1T51sRQE0 cPiN8t9MUQe1v5CiLvmIsd8wi9VZVyjPQSgrdVBscNAUmsw84AH3aSgpgjayoV/TcFsCEG/rpyT6 Gq0I0cGNdV5QIkrlyridxGVX5Z194PEA0XFJGQQsIGaBw4JNUBRB40u73gylehS7bYOZzt0koqNZ r3CFIXQRFmhnHy5r5Zw4cMlmrzANKho4JAjsQjbhVQTabKauUJ+jE6WSiag/n7mtKwrHaPF6Ump4 PLrB5vcVD+3AMqs3C1cUQ+Pv9UIjW9g+latNlnqVfiS6qSLPdX7OrzJlRTFieWLEDSc9lrXRkEdB ju2eUik62QBUf+iXrNWvKIg244dShw/WkC+9NbFWcsfjwRl9Gby0WEco9iiYrJBc2ACaWlbyaTSc YRJWd7UjGtU+U3hZMaKDmYN8aNuXDy0kI1GSIDVswajh3GaVKNaTdAOLC3yx2qnsTvFdAzFSKnOk Ke/w6V8CMnAT2eucRng86MfP70IrrlK4dvgERVH0QsNwydwRvyZFGSkludzioAz4n2mCwiCaQRDt d21wRMdJWTEpVNSGwAW4vDCgUYm1/Ox27zBaleM7giCoGwV8+qAs/ixwBUEwm8Dj0QK6UTRvPNyd ezhqakdRNDDpPbHAIGzrsB4Y0HKehnE5S1M7ZBduiw+bDxdWBsfWIYueE4mMUcQBB0gGSC/m9d92 zzsKo5WGzSSzx3TNHmuxZgYqp3g8Yq5cWJliwiQSus1QLBlNfqrp/nXUnGyGhjxpqdGxmmlQ7AjS MYgK6iYBNK4Q1zlDz+3eUE/JgLucuIt/5SQH5yIDjpZiCeZj3Oxdg0zeDFbY7+FwYVNDe6Q1vmJw j/1LUEW4kC4zbIfwaDrPr61pcbeDc9F3Za+TzvMeR+84jpaE27yDd3sQ+YzGRH61czRCMKbKKDFD yY2+R7rn2SPFd0SVsk3ttXwHqwR1QiUoM5XxeCTS8U8iNkRV8/kJqYWE3RWrRTdjxo8TKFIBlQzw 1LbvsBDSkaWeVLMPd/2MgTYza/UF+4KJPP6PmH3QG77MwqJXjTRM2OSxcGjdSlCdJowGPDAej7QS r44bSx3oPqZr0bNDaHRKF8shRQrX9U8SNKFKR2WPrPNzfss0+pac5H7oK4CsoUiva2yckELF+oyA EPhWelbbGcRi/Q6FOqh/s1adPjDTOHFs0alydgOe/kunQ/riwI6sq1q/Q6U7CHUg0jH6U3IH9VTp 4S6hDupVcQmFoA6WmMeQoci4hiKA6pwUohKzAFJFTfSjw8/+U8x59tbKqGSyo2K03Gi6hDq6C3Vs iOQOYTrSwtORkNv3Dpv0376IhR1hOs40QJ2j2hGdWGbnEZ2ze0Sc9dPcGCJUutuGhco+eDEaBhNC sQ9EQdvnB+Dts0x/2MZfXTazTe8asC+HmD3kP3HwYmu/7dTy2XEx+k6QWvLI7J4VFOp0wHfSeE8w Xem2gtYkFpIms4OilJygGNMh0MscPni/EC2Vc2OxWAbLX2E6GvMGyJHSc40LqNAK97MjTAfM/oD5 nd0HI2d0o6emOj47Foz+Rk1BjL1gXdj0RHE0/WXXIhO1UBhZVbO9UnJ/2TSLyQTt3zEdQ/oSHDyO Pnf6ucGWGfAl1GswPTjFMygL+2Kmtr9pdP4kWWHdgbOzUapk3HcOBDwdUVe+ufEk7bXLLtxREA1s IMxEjJxaeDeLfbmqHz+LcjWcngjPId+4Ke7KfLgrGWwPtFTlCTFO6CkPesSvBUJfdON1Icmmdw1i RFb3pwpm0484mB5XUdvHycJ2VIr+knErhsj/yf3GiDlFYTR0+dxvBeEiFfLEzoAIZuYJtACjk6B2 CuNoiig1NQM5eDloIpwqqPPP81OQgEiFBfQJUDnbzx22qaWELwk4mLIK41pq0B0SjyADY1RJF+st T35AcEzfUBq7EwpR+MfdWQrl7gredTBfP9usU44bMh0bxNzyfzJmIy6I9jSh3B2pBw02WzZ4qAj9 AOL4QBIDXWYxHYNC3TnOEzTdHlzH2Pa2vylKQv/BBwMuzJ3IxEVQyueDfKN9V4XoFPAgX3KKDVgq cnpLVKGhbhY1s5hjBOqvzS1qUgiRVmdeYXW/XT3YOKcTrMOmg9LRm9Z2yDdONNJQjuK1a9gOQlzx Jb9IUcgcD7YT5xYkAQ7OcHvxejiXxOIHBBFR/icpQ6ZeSro+PimsTp+d3WE8ZPgFKj9LNWinq91K U2BNU2hfyHQUnWwf7lrCuUTO80wAVCV6ywPvgteE6k7pT+a67XV/oWucpS/1xPGAqDowtEy2UuPz f5WoMUugolI/xGcpiqxhbNGR08gaVMZ+sgbN21QpGpNgO5aiKrUEF0Zd0wdHK57EOKEMyyrsgukR /gosfhFwZHCIHW+/qQGMLwm6HJQoPUt/+pCsyJhIvoUqfubzETn80Z/KqJx0eC49x1JYqR6bpaEk amZnPHX+tHtm+xCfJc94TVJYqlarrCqRrc+OO8/CZ3OStMFZIr0jA+5AQAOaRA4Wui8bwfGk77an D0rxFwDe4tadFI2Y4yASHHeS2FhDYfK54EL9Djh8tW4QctQvTN6kNrv0oF5Rmi+kKMSemiP6umvw dmKGXPIUIm/T5m7xVKJ+WgYHcV6pyeEvGwRJKqVlFq05WBnkRLtK8+fufP6vej7tM7GCSQCyOQpF PIBKSTTrlLf8Lehn6SkiCCCf286k2JhF2iUp+WA9DwRZZ2aWTMFPJN1Y9M+A/1U4fFF2SaUi8s74 JZGgq3B5TNSoHyZ6C7qKJGD08xCfj4B5j9YAUWroC8HdzKcpCrYXeld5FUN+lFS2N16zaVGi75G6 u9RFah5SuhtJZI7Un9b9OaZrzpJVHHAbX2rdoxuHK6YSnLe+HIvTr7rSUBS+g53cwIEMJfGTzwf7 rd6EjQcCqC71Ub4VoCf6YmTl5s7LmruEA/s0QjRupTtLYe1ai0lFMw4edZ/M+vzUVK2AugdZ7FCk ygZwwOEiBBFxAnrZqHBE7NluyQfN0kI7QV1nBpShTctNa+U5Qs7VvIaHoeMhVZeaQiTktaVMp0sl y0yAfrLEPweOh9lgjLwDbPDEtoPMvUVI7DDayGoFoJgA0OQcbglpNhs5sDwEzqpJ1GP64DCQk4XJ MzPrJYNj6ap78FjC8bcuziGHnocNJVJe0VQ/IWRDyS0uTFP3YJLMozswPUQhnOI5lRkKB0cStX4+ vYoYDarsEoUa92Gmc0tt/zbyc2x6SK86OH35YOWRQUHKRL96Ph8E3fkfuDAgS3s/6ygMugnIZ42c FbaRh6e4NXkJcmZSOLs+6Fe43kjJh9uMPWts0XT8nEkDCnySNyNUDk7cZTy0oGRvG+FlaNjSi9QR 6R6jhZTkk9dRI8mB8yFKLN/RJOqGJT/pW2B9mGiJDXypQRrTbAYUPjH3tf05n313WxhzC4y2WSPi YLSFc9+D57ipd78Ah+B09jZNZwh4QC9ls2OdA/NDEyHodN+ywUB7i7cMrsnKx6MYwPtpLKuo3nez t9D9EIDJk2lWh8XSNlgHkhmVMMvOPkUhQ9Fc1Ymp4uCl2rNgtuOqoYnbaF5XgEYr7Ea0cQsBXTFA 6H+46NuSh7gLXs/bE+rqbMnuopeMerIeJ8mIC8jPq4SSA/9DxMmotvG+ZrWNoDIcSIbcyyQvjHsg xc4tovYqlqw3lizoJGNJyh8bmQc7alC/p9/cD73W7UCiVEwOHBCF3uSVVnzAl6yNVgsDwdwLHw9a Rte6hTkf1ORgmXHnKKQpJngdC7NHrlDLzqGay8EhFHY2p9oQHUJ8bKMPrQa7/udJP+pZJ6iVZEgs y8v3HCDAnQNLBErn5eEVe91IfDpTxURV/+aiGaiV7KmspGw+Hx3b3xA1WHajoXJnKdT8GAggJ3Us AO2fKV2ACFIQnEgn3nOMWg6sELOL4w7mZf16faNyewLVRpGos9kSNE+p0I1LtQK5SuFds4Su1d72 l7vtBFal+HDv/0Rc5fkcPR/ponwf2w2N7pmfuy1EWvNIUtAouIhrv0oDHyuyERxPu9HADDFZDaDr huNw5wjdp0StugSRl0aqR4KcYaNbI+82g9GwQ5tDO0QuaUoZ+WC5W4KbEwOAlfl8BHb8B01cKLFS n1kK8dZ1sjaZDK62m5XdWjPhr7Nve7orKQy3pYKvBcXB99uY9Vz6hSJx+2SyzTT0AIYCjLKmx1RT 3tmhJ2KhUve5EJMPlpSgZCWQXhl8/s+khKXJ+qPSkOYotEWkjFRerRkUgsEGE1zqjSnBXY8za2iM uGhjMwhE0+CT1IF0BN6FEjIn/hPvjMZ/7AK0+oAes71tBAoloQr9AwzVMGs1o/FOzFrBSgqsERWu fyudIiRdj7VmaI44aFMvrFqhBkjxolthJY6otbp8w4X2iEOYmqTchPhbqyfNMmmexBjgJD2J0PRC t20cfI2K5qwnUT6UX/Kb2nKX80S/zhOwqJSb3vkJJp8PKpPlO04qBFi0G0vGBonQg12oaFMHZJKE BHrVGtW4sGUDj2JzFMbbapRs8/3pd46AoTSYIOKksxPZxt5oubETB2aIzqST3SZ72V9EB09MXZjd IsQhRD2feCCrCND32vyAKOC++43wcLnQ32gycEk899ukfLahQZvx9c6hJD8R6ppD19X3W4jBlpoD mx8afCWVBbF0xFrwVVrn2gTxYw6oNXEDdCIgzYm02tsGrX+WVxaTLgwu/n6iJooW0uqIz/9ZBODb rX9O7tAoEQjdEyBd7Vz5R3ZY6F5t2DqLp26BUyJen2IpWRLD+YkBFgxJCyM5APl7E5WxkdnK6lNf t7lNZbQcOyWSQw9csQ/qbp/bYMprM2U+HtHN03+EAKVfgE0OrRKpTpZLdsfofDO3c5PczA1GYEpL QrPEpfJtEqE6PYRqROzQApFT2Tk7yGQGmB9UWLQ9SHaojzpjDt0SeVhBOKv7oB4u5P94Euelx4Nl dNsA/Msk5PZ05yiKuFGoOPd7c77DnNJQgcemB9ytzjtFocAep2gmCZynS5g5hwicTQwJCQkD3ruw 4KSZEoLCfVsly182AEnkJMWLOxhQa0kl+ayjNfj8X2WSpYMMeOxb4Q4dE6l9UansynLbMsVhSINU h5HgULU5+t3vZbTVfHCkliDlSeW2tZYcuOtiE7bQlMvnCKmLXjaYI9XZUOywwaASvanoWkbj41Fy 66wH+dthm83y3GyhVnWFPkgxrWrQmbqV2+SvJCzJLH4chY4vknAynZlyiWmlCnW8WN3OZ6stqjx1 Ag0K6sBobBhYS5Wk0DWRwSdUZKoPnCO0ztUuOzElH4+OoxtsX7uO+ZQkA99EaCwib2MbkYgSJi88 j5qOJiJKwGW3SYrZjWyR5D59cFDkyTzPmc+FdC6Cs6oskETlkaJO5FnrXsMJwy8JFhKOWchHZB84 SR3hNtH6UPTOoXfiU0nimY0IaVz6Xg7NE4GCPAHwVkH7zNYwMG1q2xQKc93j1rZj90TuMuRPPnhJ Es1bhI2YI8CZWV0DaAtvtNbPbP8is3Ponkgly3OvkGmd/e7cq5roRktj8vFIt/ruNfzlrs15pyiK tAmVOAmjUUChvaRiG4nnytkKteA0Q1GgvYqMN9lPml+4yE752yyP5HSuMDFoBuNRaFFAm9ZJ1qPb ywYQAMJJitk/j2bHUemw3FF+oXeMoKPfHsmAarJx5lMUGyhCxmA0Vysq1W610YZP0WrFM7bQQbET 8tfruoMn/5CiHSzBIN7OZL9BUGXgF+nEyd+eZLpvG+00mePU5IOSEXBxCd47Lz34fCQJ9g25oVnI V7EttlEEWyPzSP8/HUzekZwlZydBUPNMCymMs7nVGlMLDZ6N7AJLriYEAC40IilTylSx73Lp0kKi oBS/JNhrzPoH23QaNEn9rEsu3VazXjJCAKTv+Ai13/wgbgIrRVQyMxEkBrKVXL8ZwKSrGJKdrpYD M0V3JO91Tx98klZu5z9JDckTLSVmdZRorlA0zIX6qGZXuux1o74ts//Gm42DlUggEiD5T/RtA0vF b1Y63d4gNVQfLEngqXh+HICoqA8pLMlOymZP3slct+uH6oaTCD0VNUlN4Q8Hb0ieALIVcD/BFqmZ WipYSZ2FWsg+XPW0lbq97G+UrIzOsg0GuDm/inihGxWSwFZRwixffBEE/+1Kz+TQVpFS6KMZowZm xib1XbM7UMKU2HP/wFZRjU3c+uxLdvWtCJM4J8eE/DAv/wZF3845Ahz4rC+A1J76v0ptoa2i1f+r ALfVrXUzPGaIBDn/0+DzQTZyrSeVDCNqm8/VFla2efuXbdkI/ahM/kFHeOcF9Bzccajd348k1AE6 JoWltjMNqNvB1x5HEmprmWm/7ba07HWD3UbDt1XqHfgtaOj1LPvk1fl8sNvmd16LLn7Jl/6YQ3NF +ifTno71/3MxmI71OTOmQFxQmkvdl1IYbAslqTYfh1tqayg4m/Pb+RmEvdswmoZcHOjetyDZm71t hGwn817FWw62lCAlxcBnr8XnI3qWT9LkcxTE2c9aCvHblSbKCBkoFtbo5AElCHKKKAmaQIKtWkph sM0Tm10PGxyWhEL9JgGebso70953dPJ3Ef6ur1CSFKQceCzeGIA8CQ1WkARSlQf3iSL4fBADXPV4 pW1gaDy17dBmEQBZAK7dSplYb3rEbLNXhlurU2xyYLOoGjncT8g1no9iGDRQzouIY7POjz8kvtY3 bV6R/reLlKScKL/k14Mb0DsbrJO0cc8wE8NKCnwW5ZLwtd0alUnvQgptFlG4OVliESDi/OjJkCSz kGFLJEmj8VnX5/wmLju7TM76Y3K20zmu15Sfezo5bDF1BES6JG3hEWVtQ2FSYLSYLWvbTGY0qIoE wi9P3TPnfDy4267qE4uRxJI9qK3QaBExAnTR3alKhnAAkpT5OFUhO7c5igJu4ZLp/myDZ7bnZDyP qdJGBABthdHd/mFUhBDEK21l2dv+YoQCkelMcBvO+6IoCZOOWTqrdPEDIhnnm5WQjoRi27h8mxzY LaLugOM65WbXm3K4yWlqJmBY+3J1rBz7LaoguZ7BDu6TzI48xfk76xSpqNREUYvEdd0e9ScKGObA b1G7hWSb7YN2WwGIXl2Psfn8/1LXJi5lP33bwHHRBHwq79L/I/jWOCVANBi/Dc57Hm//4rioprZA WePqQ2Qo7QxY+2K39RPzFQIAzxamijMRAFeir2172SAAkMRIpwk1BzuRIOFLbnablc9HC+nyAC4A sD75bWi7CNIdvCimxZLdZIs3yTbC2yxWbjRJv2O3W6JgKAcHuEM7pkCPGnVr5A648NHlJKOu/PAO dXDbspcNyEmVgOTFohsHBQBlUcQC8XaqfP792F77W7AYpP2z8p7NFlrHgKeTd3eOPz371La9Ull1 TnrrJH1QVJKsr+BtCKVPGBMrKYHAyKR3DMLIRmUCzpDxbqgKngP/RRzcnXrge/mgWcqeueE45/NR 4XZ+h0kgWfdWnv0WFbcnyRL0XqUR9WjWYoOLgHUAUMdaFkwGJoxnTXKnTfAPbLi5G7QjwCQivG0P ypk2UsQKgKRtX0kfSYrlwIZR0q1oRgouUWxXA21jahETHcnAh/E/cZKs2eQrivl5DZIawBXMReo0 ou2sXpOcuuiwkvZtkrzGSA2ij3JvoKah2QUY/m+Sz5W5jiA4IoQAg/nNdQQcgInLI458jZAasDIM HxtD7t1c1vmE8FW1gBNn4OmX2Wnou+paW/DGtAipEDWpHR5OD0RVacZKONJYt/MPIKPBkZqrzr6G Rw3RHQmS0pvjYGdRPfdZAXeHNO2EVqR0ahDjoF9AXMXMX7j21+k5X9EppbHoscyBq+fs2KJKfkG9 9nV2pAit2eFCYzrTfG5eA6OWCUM/VzLLIQgUyA4DCmmgms2pKZtORpia16ioOXBkiWjLwVbOOrnM WCYJTkY7CygQLrboOl14LdD3eNH3lSMIQ2nEjXKwlTPg+MSEf7fPa0CEibVK9rKOCJPY7pPzGg41 wecABVanCM7yjhrtbqtTBEHEAf0aCzXUVbifEmEjHBwzCuPdwbLlOVwLfIRVXYKgGlwsGj0bhD1m V+01FGoyaMf93ggYJQC5KhaazCDOql89f14jIf47y9fKYdURub4m5zUO4q4CDVL6siDYqLXG5jUb 0Ezyz0FhTIjXMAi/TJYlipDZ80Fm55XYeCxSk4fNB2OBCvQJIsqOorztKgqFvgZBTToEqFtj6WhQ 6grnRaZlkDT/vIZAeLpMm5yh8wnF62KT8xr/NHhTAvzQdv/5TxXDsq435cw+Oa/hT3Od6znyHWxf pROEp0SnsAnZ6+V2H2gtI/OAUJDdWSWx3vga/ZxXXVX3OvFY/VaiUkdTXGWfvj+vsQ9WQfVDJ+t2 +8FxYbPzGvngHId2T1pdUD6U76qhaFNb9ke6/JoTQTQ7DA6XdHk4eH/oBAYbMQrL+pDmy6JvFwrh nl8Sma7lq8RivwY9zcyYBPi1QZOz+ySIGxy48XkNeXBmWYtRk1PJwkFrlpPzGu80VSpaJcWczfxm JcY1JMXS6IJQDVz0GuzwRO7v3cUBIaMGeAy42HDFpf5uhYdjpQpSfwJnbJXPa6iD6Z9MKqZ8y+fy asdJ37YKqChTv0Y6TdJ1mhsVj+CjQ0YI5dyibUXx1Hyb+BB9JaZoFTuHzpYezpl5rSryPGBWOoQF HRcLWk60D2liBAoTmRZK1GyaFUAHcRKtx8x0d+qGRZPDaHkCcmuDzpwN94StyRmf15JiQy9mfB3I uK2QKdvkvNYTuVZR1lMniDyQYuTG1GX1IT0I6h5ATSRYOVKdG0stVYpvaHYm9k+njcbJKupE9RuL mikXzsXc67yga6gNfF6LifgFmGoN6A/aYBnXOZyHjBYhGfbndUWVech6oB9oQWAUJOOi6cjvrJtI F3aBG8b06Tkryhv3UZQszfjZWALj4Dsrg3GNsiSM0M+O2uxfY5v9UMgfCN0rl5H1rsHqGZIEXdMH rR748DHXagTJRHHyWt0miDAixP293gmKwmSUcRG6CvPRWjYYMehe29v2rbrCQRQmm7J1VqCX15Nm QTb7vDhBjefjM5W+68aR2OneC5qq2cP0Klx4dC7Te4m0FhsMjg7lW5kzZMDRw1A5fR3Mk9fCvbXe 2WhNtIZzYhYtFhTslaWjsp61gMrjsvjORcPHkBiL2oIPjiAC7BMZBLGxGWhktRmh+4XAmdeYSI1L 8xNFy70JZLWHD5qfCg8tzQ9qY+/hMtI0j3nMkhFkiu4R4TsTDdNa2VgodgIVsWR5AtXl+KH91bAP DmgqozUENj5c+FCHIFZXTAjpbzVioXFZoGXUH21iytV/3nloTdhfeDCJbn3Lb6gHD2nLnt32eeeh 4QyavsVYamy4EzrhQ6o1BUsIl22vdNbEDDVuD0Ea0nbVwkL9IRVYoxliwoUysw8WGKKS0XKWJNaZ GHOImWwmo+CWSdXTHpuqZUSH0BKNgeKFHDRDJ8ET+POEN+PzzkLDFs03+GFWAeBw5VmkKYpCZ0Co 26wG7gRDYDgvZjcDVw8Sm5msv4fOOO65y0oePngxg3biOPLZYF1jdkkbIjxjXvoc01aCfg+dgZej PyK8QWxgup7QqyS7KrX6eeegMUcoX4dQxvXdxr3l3yloTd193JnmyA0XDmvTp+qMmEZ8L4/pKHge wHufn5IQvdUfiN6qoNvwvpipwP2cfNBOAfmGAsV8vF4ZA73TzxiuLWn0NB/8ms8M+enq+3lnnzGr 9VNIpI6a3S6YExQF0Chk9pqynUIQsrU9BkK1516wndAei0Jou+iLElSitO0i6xPeZhJXOR+5EhOR im2LQuLPmA9laNm7vs8Qi6ooZ2QfrD6PugmP2dXn5516xlcc39mXdtg9hN6jaCggITktQ8yzrlUu EmNyT7gzQdtMdN6ZZ3j5ysKG2hPrXsIDtLl91ov0idMJi5YEaoiqJYfxCaPJAP68887wY9IZbSXq PKzkVNiT8BtduEN7JoqjtyFhlmrOAB2RI675iQJpwFCa9ZfB0e/JmHlzlmoucCkvF1WJA2kWfFQr 5eBFsbbTIvMP2LwT68mSupFfAGNG2gyb7sycetVfpuecZtxoHIReRIJnDgTr8843azJ4eJYPZb+I ilXFMAqkEYW0Vs1V0XSXkaCiCqED6FxrDjh7J5tZjoM287yDZ2FgmNUhtbBV5hCZ6MTmDXw4bKv2 cF+KXjU4oAkPyrzkNWh7DYCYWQg8+/rzTjXj9spfaRisYptsLDg/URwN3lzb12IoFeMuoOuWLE48 sUuz8+edZ4ZlyO01FvvIHKzePCeK2azZTugLTkFO2EqqsNrJRJ05Ha/oZYNMjEl8Sv0OFkivpvJz nW1+3mlmWAslf6ViFNqCXZ3NUFR0xomMbqS54dIX2iT2GdcSQz3o2siq83skjdIcE/ktq4+9Hibe SSlRddYMQdyaRY4MwRl2B3P/ag9SH+SdZcZcTEnqGD6oo3OCiCIIVW2fd4pZk8nVk4pBVaKk7DHQ O8EMgfSi4O6Q2JyLO1CyaJmJOe2CrUD2TjDDt0vKQZ6vHHyPgfWw0OhHLtY7BWvBn0a0slkvxWEk 2Etnk/mdX9YM+NrJ+7DB6kCI4iQNmvl4EEmP71zMcNh+h72zy5DiFpoL2YGDPxUrzU+vQ5/HnPLy Ti5DFKQsHngJGy6co6D33nnHgzfVRQtmWMkKeSpXjm+QzPlOLsOvsIuoLsMHqwUVQQbOx6T5eSeX NSFmnglCfaQQKKQJisJonM9fntxrmWPMSbcJD8IhBB8TO6WjCrQuMbA2fLBLDEwOaFQSXJZbsXy2 Qo0EcEUZwak8L4+Gd2JZk9cTGBUUmONg8zO3jI1OMrA+78QyBsTfxbLSqS17b7EojJbR2WrTq2Vr 2ik9mk1ahjKvr6D3OFqZNqvPxQfP5it8FiFTj1sedgFSBEGblqARtJdvOcje9f0IWlPFxD59sEP6 vKu0T8/sf95ZZfin3kSjUqqXkd1+9lgYSCNOrDVdaPl0r7PeHKDQEn0yuYbeA2kFuSdLJWxFw01W YalAU6iTip2Ix1ytdiLo7cwopuyxYuJ3RFNEl7wtnw8oHpul8gKRndqp5ys+77QynpT9OxRCqwiw ZJuhqB4NMcE2HCWFK2ipZAa/NstVz1Vkueo7p4zFYq4heZ6tx1H5zMg5lyeKGvytodFKogc8E0pB HDZcC8zM8t4pZU2GrUxS7+D90zWW+VjwFaN6x/qeHyiPlpurvhPKeAh1VswU9Zwoz8DlEGmRqHMu 0jfnHnuPpLMZxexKHhQHP4RObFVJWENwB91OARNAryHVn9rzdkjjLT7vbDJrmFC5sfhgkXRXHAQp 0887mcxKg3Ykc2diZ2y/5t+5ZIiehkSJ/ZofvmgSjFoNbreAZOL6eaeS3ZIrdpIPnmnwWAbXlo2w 87NlAfKwV7FJqNVnYRCBG+9UsibTHyB8qOC8l89PPstbnIQTdn3emWRNbmxftY5NRQrv9rwTyZrh yEubdgAVfqvOaFagWC0DINEa8GFJGisAvi/NB99fG0g+9BxhRrlXVia/wSfYCNNQHelGbCl61WB6 eP6cXG74oO21ALQXPAG/YBRHzzS/1k9hX/diN95ZZIy+gRvv5hvY4MeqO34lxydUiu1ye72TyIj7 YYa6yx3u8XOCKZpdyqChSbV44qrPSDDlJajzGdWvzzuFzIreEO2jijwHlcp6Nhzi+bzPO4Hsv05n YhNozKLpiaJobOLzytmw42enqRx9TorS7fTJ5KJyet6D6GQiRFvMeQ6anoa/jNOasp8TRu9VUnwI laG+mXGFmTYqtSzeyWNea+1U4aFVJygfPEhOcsdCzQ96uJ938lgT0fOrHE012npT+XfyGH4VOjRo g1FCfhhyfMuHiSAdxUCdHxOkGazXE1Zog82QhD1wS6IQdDJ5+Q8s/BVY98ynClT0nr9NT8LutMGK 9YmWTmyRzs87aYwByPxKwgDaZv3RJuc9glYXrWcVVZmvXjZ0pYqqWCxt2O31zhljs3MJMNZ98NN5 0GCRAPGTIp2cQ8oDEGSm+jMqmd4Po3b8O2WsiZdGxNgd8B1nL/dllia9ft4JY+2xYNLpAxPDUi/E 5Z0vhu8s8qiahuIQJ3rT3qMvR3HAdoh1sne+WJMLHULnXnywCDoBR09xCWrFJLpGQpoJWCH6GpCC aFSovvWyUfjDHEz1sjzdEB1W8ZuAIMS5n3e6GKOD+pVjQEMFYgE+Q1EADYgJCmWmOiiIo6AcBu9A DO+l+neu2JODKdOYlML4mZygQgH0xVJ9mqUP7sCC4kYBfq8AvORZ/Eh6198hZCxIU+qSHRoU61dn yH6WUv+8U8V4V38DgVDh+YIsvDPFrEPAaqhusMlyOW4w2kIboCM5mP6dJ4YNrtRCVpwcvBLdoDfI ot2EXRJRnkACyaW8iuBrksMMEN9pYqwDiXEoLyZU/1nwRgi6EktdY2Y+H6WpnsZjMqm1mW8a/04S AzorEehWrFRWymVk4GpXtHiOiGVwoHeSGN5e7R7BLznYDPUMN3Gyg2Zq8EtNoiQOwirOYk1X2oO0 qc87RYyFYVbKWIzWoFo9SoncIucf/XkniOEN/2mH4ViHB6SDEMMQelMUxqAKZ37QlyXVsNEagofQ gocJWXTv/DBrzLJEtnzwNB6IHWHaaPCR5DHEeLDRJAo8R1tCLGR+3vlhOA+SrCuarCuaKzFtGBwQ pXkulM87Paw9hq8GJ6uUmfUg+p0dRrTTZH2M1Cb4nLEo1n7Wgu1VYY4KscMzQYBpRjE0BZXbpLyh Bu+nns+G+QwmCK0ezjtUPaHCiN4ATVOsX4jKyuedG3ZhLwQU2WCwF4TfUjLp4/PODfuvQhn+neVJ Mt6pYVhBOKQnHepp7JHsGttdxTNYYEyYavIWeyeG4WOatHNUkm5XhhH+S3sWSqqdBTRGKpxCaHBD UmOT1uUwX1pQfN6JYe2ynpH126B0c+0m5OyZn/V5p4WxXv59RrM8PoaXgd5JYYgOCjdWtnZhWiAF c4sBz21H0G6OEX/nhDVXPP9vuCb83E+iVfeQWQUuMPbDuLEYB6E+4k55Q+8aXPOq/9B/S4PhNdey UuI6YfQ7JYzIsn9LrfOHfSKboLAWTX4BkWCsk61renKCXwP7njxzWcPnnRF27/ldiNnc5cFs4tY5 UTqBQdA637QvbbCJ+YGO+tln3fNUwos/74Qwh9ED9jl9UB42lxg4Jx2bn3c6GCvZ34DWhfO+LE9T 38lgDA1gOb2ttXzOB7rbg/QpmRxs8TmqNTPeuWBMAlXlIFyDgyMWzlV4MhkiOsC860LHTAKNSPtI 18aLoKB3JlhzF7hKiLkG3WCba5Ecg/F554ExjPbZ4b7gfxcKbmh+Qjg0cGWjOeJXNV3p5UyrRBfK C/OKf6eBNVc52Y3TtO/aH+dKPMfKoKUPKM6DFRNAGrh8EBEzTx3PFf9OAmvimgORKPGu5uJdwGTJ aPOEo593ChiPr/yVZ6Due3aFh0DvBDD2l3DFD/c5E09Eedjsjiqbw/Owd/7Xs71YV9bg83PyyPMl VDed+/xD5DO96G1Keudz/BBK/87+4lEp5rd4GK25cDf8rXg/974+7+SvJiHiZ3o69efKvb/CEHon auM0z+HNTZA2cG4kAMyTkvgWoqLpV76Fetn9gZShm1JnFr3pnDQL2hwk0cPoFdsLFSClqTCK5HcE oDuSBxfscm1gmnpW5E4CTNWMp4MIsdev+WGPZ17IeIsiaPRbIOtsncJNJV7srjFNMC+fOHdZkaxF NehUTBy/+nBB48SQSbBjQMDJxM9o/9jNz89qrJqeKIBeBEpRPMIGLp8+IJz+Qx4qn47wZPf4+aF8 ET7ES0A9CqARgUBk3CD1aFn9SGChbysB5ZNBGSq6v8fPCi0qNKeWD7Z6CGRKQ1pdAzjELOA02B0w y1IOr/CZRbIekgdhRIUQf/nA6Wnn5FcRp4yKpwMyxi0CCThUCZH0y6tH4TMStZ4JxqK4QjZ8PcBA 7kBRRzFJvB6BOf4/Y++VJcluLIv+51hqcUGLN/+BPZiZOyLrnvDqzQ+im+yojEJCuDAxCKffrAZq 8CLiOdjO6unJRYOzBAaB24Qmwg/Ina4/QdBvj2rQlR14uobboN11dr92Vz3ZRY+i53SLQFsenfjm k5/OPa5BFyorCI54Uu3saJdKp02h7aprvfeoCN3FzlUmP8dtEmaYWiGkUhG6n2OVCwiyuD90bZLi itFziQfqUfwsm+DeqIjb0XznWsgn9ln0yjg5fCt4PqARzl+IROrv15U8QuwhkbChzipNVyDKGNs5 4m4YpAwnqpKMHoXQc6r+LlpYeuSnQOOZgx5oJzHF7daVZHRqvQN18kDuJPTaoxi6Ug8/Lxl1LOPo bnQYl22zgqcjpuXtxkvHDIWFm8f3iE2IpieQOUkCXc3z+BOOVlN9y5NgVcSIPQyhGQOtpJJiuoZ4 FXgFOOJ0ieEPlF4JKgPUjgLq6KGZ8ARLiT0qRi8pBlbe9QUkhsE11OGww07z+T3weHCLjW/Mpjx7 s99iPYyhBx3PupUSlyDkEFTI4xFUQCzH+YkJhU3bK/vgtdYJ9MtWLwPoNDlTFAwNLznXrSTS5Byf EWTxlFPYWxzv7RxvaJc3YzFPvmK0x77nh+ZM7TJ1e1iMhtMrJTKy2KiopsrplaVs1ckAxdMOC4Po KcLcusOFRZ+tuuhjjGrAbPISooIC4Ivn1Lz+LuQ94DOiMhDNcCkioEFlDvCHqnqFY+LxiJzxq9KK A7NsTzL6exQN7jw6qImYOgSMhC8zTKTsejHdUuwATlAURaOsTDXO7YOd0ycHAASV+rcbkuIC/Vad RNhh6bZ7NmvRPapFA1iH+oYEXtq0VQqO4hQh5WxnPB5k8e2uIEvaTl7yHEERLBpdYmgENh3SbVTJ BGykApamlpYN9tsjMIcdQaVbV4PNBcbRu6FLKFwrOuiLd12FC2trYKXuC/tFz6DiM/6MhLbkl7cr NWQIWMngr7eNx/8LKDFDU6kg9rIJCiNpVsr6cmZGnsroNwS6rFIGjo58gntUil4C1G/mqRw8kj7r ficywXDSnYNyCnOHzASaSAR6qp/aqXzbIzRHlxIX7C9sUB1oprxkNTlSw+PRCvqWUsiJoL/uEzSi WHqKYjiT4RXK9FioJxPnQKVsGbtnRMVohnyNJTUbvCEPncGZtccgmWnnVKE/Jzjx+eFm0IdjRME0 +z0nEiWwnoNVymZvyyplGY8H0fT4BehA/LsuB3NEwTQa5L0IJg4iFCMYxkEdLQIe2C0Df89gcYTF aNNSUCkxPaXEjBr8nCpGn+9NMNZzzSd1hn7oKKhkbFGEe4QUQ9q4LRF5OThgKkkZHXL6eDwoRl/u N690oIsIltYEhchoZGNrA2x15qKfbztbMZqoZV7zmyA3EuOjYjQdnYA8twFvTqU0FH1aYt/pLCBU 1qdOK7IiUH/IV+1uIrLBZwSozU5R6SGOKs99nkFIUnkL9fMfPB4UO7rXgjiXKCPgoLb5CSEddAyX SABgv61bvzDtaWWPkrF+tX6iOBomxthZVfus+vycw2WdqwI5MCmY3bS35gbaBGhm/NUv+YnpCREd MrgTnixfPNl59cLEDCEEnv6XrAIzRZZrx80zRhRFc3/dFBXfvFOf0ipeKmuEL/MAisJo3dmL6bkG 318JeD16CZ5z+uSrapidJHBDYr4Qj3VPILqUj6gUTampXohqPUM1silEIJoO6XG+TDwftcMc81J9 h7HYqykKA+nW6AZcjXvAIq+4B1A3UzdjgIGqLRZF0mu+56uQkASsA9cp2GHUS8IUAUZTgI5vzxFE 7h4+I0hXE+Ec8Le0wWAvo+ov9YQLeDzqh/kR5OwndgdsgkJg9NTBYwL3Kd8JShT2ISixMoXmBEXA aEFVd5ndB+84n9MU4urcY9gO5jtJy002l+aVSu7L3vUvGnij/5cGTRBWu1Cbuw88HsRBa33FQdTs kt8WPzSqR8OeFYmj1JFb1c46P2MSSYIwCHXQYvMTBdJzSW1LwPHKAl/mHoM4GdGZWEB1F/0TGDKi HZV/aAjsBoDnx+MzojCIlcTKfiEHHUL1XGL89c5VjKf/SzesYoPOfM+gP8NoyDxavZWqvcxUq2m3 5kpBTB3RYRitRIyumV9C4WjIg6JdtL/amYBNcZdqolnA1NSLSqTzFD4kkiMjxZkE+7aJBxFq/CxP SZOChYTng1y+fqOihX941G/COLqDfyn5G8qSluTVIOC4GAXVuZs5kY24JM0uoGDR44FFQ6UbPSuE BxPFNyjfMJlH5QzoOYiVesmerhX4kOAMIv17Ftm1FZcHOtEmoOlYFyemxuNRteMqCfBEx2mdblVx hlXpulhLVMzTdSyg1poaLbVZMFtUV0EyNqNI2iTtFhUSl9eyQNihRwuuLawiVBW53jrwNoiHf4gk N2gZc40ZojoMOTWTD5Zr7L4YNbZzoeHxqKvxjW1diqp8l80okq5DXmWNktHn+yWduYF8XtiIx3cM kVLWy2ZYlk7CJo47eMt54N6vWcjxs4YS8R9oBcHG7sxPf5Qjq71qlKwK+tvvoBVUoYOgrtjcePxf ai/ih52vJY27gKJAGu4WJ902ofZzGtndvs22bdDIrtkhPWOGIZvN0PazweuJdeBrFbBszrUKMbAo tf/QkZhy3EZSpYrADIvS0iWjP6MGQ71klgE4P3w8mJ91T2lxyVDQfCYoiqRxSPYsJXtkrT07tl7B DOv2m956nKGwJM1aNIx9fXByzzrhYUeFHCtolVJN6ZY+dMDT5IfljLMWnxGdQUR1jGfQDCXDVTOD weORbGT6TjWQ8eRbUZxRLE0xk0aiDJL5nqsj7xDOG/uJfFVNUEgxVLd0SlV7PqraqyGOmtpiZUHp mUsIruO0j2CWa8kGBKTwGcEWS0zmaZesQRMEKgJXQDs7D48HncNHaOGHtcHz+cUrijOMpFn5XCbP AZGrJVhHr2SHwcCWLuI6oiNYh/HAq+qKdd/5qXAdO7O0pR2J3wv/hMbx0Or+Qcvf2Qdz61WDI5o8 8CLDSA6aH1TeucUAd8TjUcV1fS0gpDnmXs/5iWAdsMmEi5rbIImTcQKhvN3nD9oRy+YnqkjLKfrE JsMHL5dlIGcRZoM9dz5nixxGZQuU1QzkKthU0atGcRABC4ikbLBax2okagHx3PB4lIl9l+xpK1hu OXGG9MJE1eO2DV+/7glUsyvdVcKGmKzOUOpOZ7ROXg4O/oWUTmbnEEomZ6FZwAR4D8LZQhK4bKKS vWsADNoyZCvJB00QvGoprnSiLz7+L9wUTyukK+XyL2eE7JhfrVUKmbjDeGp1uJAJs3NJAUah9MiS elGm0R+KasbfmlbQGh1dWvlts2hRWPS8TTHSC2cUSTeSdxeWmw3MNGpmqJmgu9Hw9L/oK1xAxATV Oz9/swtTsZ7YlnjJlIykU3hhy2Y7LBTqUKYqQXYOfoVlOBQsAqc2c6YkbTzKoAMxjE1p9EJasc9Q 8Y4V+yohyZqueeYY1IQA1Lrg6X8hXygZwA44NK80QSuKokHqRyvVpIKQ04h/MMsyLguwHcY/WBG2 Q/brc7Y7OLT1ZABQHZo/8jwYAgn3xFCYtN7xWzh7heXoxCWHUr8NdgKB5ko8y4k98XjAL7z0VJaQ NlNbrwWtENtB44y9jQOeWzd937Op3F9MfkU4gFaM7WAZulrRzL2IRqGTMtSqWOnYrSgPA1YU9Sop O3o1mjfY+lvwLlVqLXDQ/LQxJfBb9654/F9KL7z7pGJykYkrVIcGdio3rydmZnw6ome6UkoXHbRC cIegv0O4OunYNmlKntdPgiaedAkUFu4wSYGyLb++GeANnxEVy9ROlcFYNoTNhvUA2z+VgpthFP0r y6i0J7tB9IqCaJyRPWdDlMHryrXpc3OyfIUgs+2wEBqttvze0wdrqiJDPTeLGPIJ7rEsO3b4jJAY Wx7BO8Df+RlRQVqYTUoFZdethEyuHANgqICnoxPol84vaAJ7eJa6Qo1oIH9bTQKMn6MI6+5/Ge49 xWYqE8rAA3qF/EIq4cwq2Et9YC9oOw96P2GDNaiYiCEmNZP1QySwC+FMvWpUKmOIOGm6xkFZKgIg zc9KGY9HIdD3/KD4WJ5a2YpCaPCG2qBED7LU8yeB7AHwdhWTzeAMKcYKRTqUvhtyLF+lqTzBgFqE iZ+3yecPtD/OwJFA0o9KxrZ+SqK70YpgHYuwMnjQ+aAJ2kMHBNpGA49HuKDvDQbTHUAqfIJCaDR6 muZDOwGyv0I4ZTjKHgVYa/isMIgWtznrAMrlxoi104UIQk+YuBMEdTUMSerBAUSC2NAKynrXYIIk apulF0TaopIwRLZk95y4BI//S/NXynb4afOyVFfIMUSgX0DSQn3sHEhoMpKdcc5LNprRk0EQrD0W oqOVx/+flmrpsOaD5BTL0SdgoUYQ1MqYbYAmkW+lbNm7RmkGFV6GWs/DjUoSPCWZhuGsxOPve2xd fDRvPBx/tV8K3QoB0m0SOmWbbEJCjHlYq92WEH5Jv8NiXAcFkTuBLxz8EEIMXrXJEjpHjUo4CdLJ DR+W23AH8YKoje8aAKTXUoZ6ByFfSl+iQ51Xrng86sr7DMlwFCf+ZYitmGMINVt5zoCEWZNNUKVk I5uqs2XTql9hGM3gZvBE0XATVbRYVbEvILs10lfg24cya/0hncXCoDH0qlEYRGxrS8UHJfKoCgni vDMfD1ZQ8kOI3TPcnfsuoB2F0UD3nmh2uVHPvl3VMZbrLKzugm475BhK8TdL5iVfmZfzHU0w20SA An5cVJcG0AFEmtD7/W35vKM4WsynqZoiBy0gYBzlQJ8GH/8XE1xXOtCeF9ax3+NoPWV0GU5Qrz5B cpGTTgeyb01QqNMheGt9Bs/kE2rQBL7N3EE/ZFuId0BDWWg0b/kUsgrwGVEpiBOkOj8Hg04lmgAm mqbg8eAaS78mCLlTui3DHYKkN3piusbQU21Jgnd70IaIt1gqzmDZf4fRcwmTsK7gZqGS0kT6QtVf JPA0XwWLI6ORRRFKXfM0gMVn/DE/vVVW6zlYz/lkdQLJIw7aMcXwFy6IqeGzw0KKIY7KPs238OyA JbsM6Dk5ujVPV3PbMayDd1hWIpafROwk8TArFOR/45LnHoSSsRh+VJx2hmHRq0ZCJoRF0wdXgyVi 56iX1EJPG49HJI3vOJHmdnTH0fyE6OhCzaWZjQKVvACdciVtjEIdBMPjkt9/MwwnALw2eKUDlpi5 EzeVdoLEC08gVGEKAnT6VtsJxGL9DrU6ZPG0BSzbrtiKSnCx/VXxdASbunc8j2OcY0+cuEO/lbN3 wAxLNkF1NueIjet9AOyTraAQ1UF5gNVkgdUeC6x2cq+zQKqcwin7S5YPAtIC0QTUE71URjGTHRWj 0VMqEPTMPnCC1rli5TZ37l88HYm5fW8w9NHrvCyfHYpGE3+rHg8dwgw3hUS1OzKxiZiJ+QnV7vaF i9lgO4zuTnDi4/yA58azSp7aUIgcBC10Wc02vWukNMUUrBC7wMF22Hl8S4qrFTz+L2BZVq0sUxrX ZyiMo/v+kQSvbFeW0XnXSlmrCu4C3SzUdojq4MW0GZtqcOTUOYOg98hMvo1xInUGkoNiLydPhny9 FlChHe5nR6iOkaUWPbsPImhAc4Ex4ln0eDoqlX3TxCByV+rFLOywFp2J5bDD5kS4xu0BdsIPICIq dQDFQfRv0MtjSwOmWIIOIkqtm8pcmB5wsDMgSbtd0BTN8Hao0yEppaU8dXmeeiKITVMdVE75eFDo SN+QDnL3HiW3HYXQWBgn82IlsfJPyUiYTW0feq/saSTMHUE6ZhPHWRXFffkrBfEi1AGsUAa5epYS cSIV6I+iCnjVJJveNQoRSQHfdJfloAM6AXzNM/ckYTsqRT9KbqokAkHR7wGdUxREn8WJeZnZQC+l VSNoNFlmEBsNoROpaqcwimaCfmLC4oMVO87yOTcMGmQodixoVNBenksXaNX93GGbckpUrn1PFGQZ tskX21T3UzKfhupsaA1P/oAIwOm7DCdApzLzusrjKVS8A5l/EO6JbdaZ3kKsY8M3rtBiBNU7QexJ zwsmiWoUk2UPDp6sTrjxQikak0RnIMRMCdrYrSPUZ/vXavZj29v+pSmJn+mDsrG5Tb0eqR6fD7KN /oux2lSZvJMU+7B0sA+GAfDWKlKh2Cu58WXZtHOgA0IKUdJqyVerLtab0ZOEuQmHPrtini+B5xU2 xrl8INCer/bvIsiV7YtgRwsHnHkqQaSBCADAlEHd+CEFbPEHBBHR9aphoxo/BxwPn6SoNA2fp7NG k8EXWk7ePCxSOKF4a83FZym0L6wGL0s+eG0atfVKr9ITLsIRjcFTAn5BKC0m2J62bnvdPwgb+BKa DwaiOmuQURi+Ej7/X1BUqAifn3EdfVIUWCNgAQ1BZMOOypdbg8pGLBHk0e6pFJWozeQxP4OnZhMJ k8p6Z1J41WEtIUxumBygUwxxv5u/bQC553G0KEGlwWAe43yRvPk33BBSiPO4+gs8w5F79vn4IaSw TF0h3lqSyHWtE5Nx/nQuIsDV/kem8sQNrUmK69RZ5dfpw60xrqSLHWgqsDXItq9AZDfgxwjgM6yQ /IJTiPWobCEmCr5J0ZAJPu4WMwo9CRqef5+k+vjWcEYhHZqf/RZWqkGUbKuZyAmYA0LDNDZ7iYah IpotpCjCnmq2rnGHC4ehcTNBnQlnBpoM0MqZkPcG1oSuI1KbHNNeNoiRulCOxgFqzxylJrHjuTuf D6og69u8D4Snmr9igFDJIxNxb34I+JM7XpdW3F6ecsp2JoXFahVC/m/DYwHItuUxlgBoFICv0B4T LWgWr1UoKnR+xIf8wYMGO2RrcJ+eczGwfU5+BZ+PhO2/I0m2hPbX7RZG2gsFfS5VAvNqf3quHlVW KK+7T10k6CG/1CkaJgePtWcn20EsspSgqIlYEqqNhRNEZN768itOoaz0lC0C9P406Nw+2c4alsFP Ph/stkviYHGJ/NNH1COnEENNfOdqVrPe1TVzFoNYqXpgpdkkxdgPmfzIaqw8VmMnwTnBCyr3AA+d wy3T9xn7TdgGZAUCDxW2ffkhQc2Igm+l5uSDce3qbEyBTl41+fy/movcWfxpj1FU6HgIj/bWqPEk J9FRjSxFdz2mtAvuhJqjwPEQTC9ma1MwovklfbJPOJzBnkfShqUqXSpCzGFUQTyIyEBy2ciB5SFI 0cxmCyFoHJxu10exyr5e8r/0FxuOvofskkPTQ/RIAAmWJQIQw5bXbuKWJO9RCa7u+jmROBUZZZnt eQ6e98OXa1KbZUCOda4pkWDASijfXtvvDn6OXQ+LCNGj+OAotN1kOTdH5fNBvP1cbTySgDdK6Tqy xcaHSV4Jy6pr09WlU5XIYqECEzuPXT/orzbsrmX4cMtrJ4ZJAL1SRAcKTMSBsEfNgLKMhxOU7G3/ 6BGhsJY4UFU+STAzE3nSUR/Jgfmh2jRfs1SAOEE+77MUFbHXpNDQNDxjWsV1qkqey2oA0Kz3/RZG 3BTppOusDTZLG+0N2deP3QBtlL1vZmaJMj/N/VTGZrM6B/6HJkIA7vjygVWAcsIKxmXnkqx8PIoA +lfqVlkmuXiiHPofokfezh29DJE2HXGVyFuXozrF0DVFIUFRtn5JAWW6itMVmJB1Dml2q2FdNxls VogYlqE9d6sAXRFA6IC4pDS05UmzeQpzv509LFf1BBfN0AKxrPErlATss91QMnBARPIOEjCTQxQB 1rJrf0uXlLstpTF8t8XeLQq3Je/+REnn2yrn0mehFLLiJ3mTjSZR+bjxIYXrZxLFYnLggYgzaSr5 Lz5wHSWUa7lTci98PFLF/YX8RFRT+rOOQpIiHZmziXZCYr8ZhaqltB0XQkqFzGpDYAjrtWfLTx88 JTn7jO51aFr3cw4RgH1CcDRG6GEHRMGl4RV73ahQwiOJgC0NXig5556iw7L5fHRwewDAjcWuVbnZ beCEKH9K+E0rEwFHKF1sCJAlOJFAQug+S5GDi7APEBzw4UZJcCipaougEzqX+C9YQCjboj/iltC1 2ttGK8mW0PLBIoATXkmRYNpLRij9J7sF8xMP5CcCCONtqFp0hdbQdKZzNSn30/SaMpX5zW80cEOU oWyVXakNd47OAdXgCocKAMSWWbql12ijIWorV2CQAjD8lKAEYDFkHz4oc5vnB0rm/+QPfD5KSvxI YkzFSsJtjuTQEhEaOpiaZRia6hgaSMoYUG2MfhdSGG4PFf7Fp6qXT1WgZXqmgU4ce8FhVkBHnAgF Svw1PZ6aMs8OTRELVT8Savc2WC2pGa7v/DaDzwc5SfmuAJCuDRkAm6PQFxH67Gc6zBkJqiPLsttW 3UAb28Cz29AZUa2zldIdfJLKQnlWSKMF0eIsxhBOIjYAWn3gjtneNigBoIHbaJ/AoegAPoHXriRY gdrA54NJutaanA9UyKBn45MUFrgpJgzqB+FqleAJFdyWsjUcSVyX3G6hO+LoSmvL8ME7kaXQYVMq MvzWeblxT0CBu1HQnLUkrg1+SHQkESVCSqUGK7itQl7P2b9l8vmIH3ybtcqFkdxclYscGiSi441a kubm5KXdpIi2tBsYAZQ0fJLCeHur/s/MbXxlbuDggmDICADsxUZIABhFqGLVH7TSdSSd7DbZy/5V cMsyUMrzrCTeb9BDmzIc6ycr5w8IYsmcvg/uTGbrs5Ri0EiCAogBaM42NRfJXQjkkbB5uyFAYJLo PclZ0vbBtxvE8bPEZJABQIARIQBqFgDW/tBT4TqRVnvboOtfi8pIQ0M3dGgWnSSx5sPnIwT/7bup b4taTb/BZGiUKPVuWiIwd9sGHdkrV09wG7BzCiYDp8TLhF2Cia4v6cEEYyAiu0+41KWVQgl0TATg rn3dxvb0l42gEdI3l0A+qWE/7GyXLXnzEw3w8Yhs/qsGgI5Zv+CaHFolIjkHaM2NXprT84Dy6A4e qQ4eyaFZoihoc0sbbT8QUThaFvU5T3J7EsVEdb1O3wZI1RXSHOqjzphDt0T+P7BSaz6ofdu2jiRY GvHxf64jBteLP+ZGAKFfYqO0zDBgFiw1jM24u1tSVEpU2ByFAntdLlOCau10SwALJfNWKWGJ0wnl MSJtkagSKNX3bZSsaS8bISRIs6JBqgZnyyTZW4FJweeDePuCAHSSIayqTwQQ1bc7Ts9KeAsKbo3S fsCQnEPxYkjEgeAcxc7jQtns4YMnbijtE/wIFAAoRYw2+zAtSnRvfY5oxMoPCeaI7VYEXj5or805 RSgro/HxKLf9hhqzmw88mU9RFG1jl8Hjs1q9TVku6m2aLOJIkHDbcfQvxxfBbdJ4cDZnia6aJcec zg/LQksS14HSKbsaBtRSJSn0TKxbEaS4V8tC+t2B3VVumyYfD46jX6Z39OuYT0kyME1E1rbJxzcs W6GnKI+jRpk2YUn68ppkYJuI/F/XvoDr89Gy3HOBmCzfoLOB9yQvomBPF7S9mQ5P3Ws4AfkhQRyZ KbvSFSJ1C5F2BzJOOtQ18/GobPuru40AaTyl7dA5EXY2oAmqegQOxyXnF9ObyS3RaZlbLbROVNa2 ptQap1urkvuJ7ohZJ6517ntWJHnuQjho9t+Q7BxaJ/LEViPHBu20E9kzN2lpTD4eRdqe12JT4ar+ 8tjOoXki6H1wCrVSG751ldpqNkIooCQ7+wxFgfayYq2KkvVxDjq7A7J4lM0/v8xZPDI6g74jJLR+ EKA6vXp0e9m/fAUaSio2cIqwb7XTWtY7/gs1ymokwM3pOYxi/8SKkqlnbM0UzycE+50fe/bEdiBJ aKBI/CfUYu/gaBs0oEk9gSzzPsHSknY1iKcAkYD7coHramyHFooUzz/HUO4+KBmBTObU7kmDz0dy YN8ub7QLKf3ZaiHHER2Ss1SMhW52nEP5tLmb1E2UjRZSFGdLa2Y0Mow4OJAES6bTH+skI406l5gl 1IEhYS2TLi0kyrPyQyIecXphgZ4d2M8HMr2oWS/5r7SW+wrdTYI+bJJCHMk5BcFO06rp2Bt2Hs2y rljIrI62CawUnSMys9wGsmecI699sn16ftEscEB/iVUkHEvMvAvVUc2s1F83qkcSQbLzHaxCMvpQ a2ihaxsYKn6zQfF+wLrV+mAkAkdFOXm0wV4KLn/7UwFwi11IVpEY/PNiCx0VJbE7hsxsR77xEcSe TxCKwApOA+cGACai0eQTi+dn7SudtlK3l42oWEZFHz5oJZ0bUSpYdaNAEpgqYkmkr+0Gwu5Z5M+Z FMXZUg1ZVlXDtT2MjMX7lHN0bqFlqX/gqgjWG299VvI1+EKa8KMatDU5i+eE3KwOnP8dXN2zqHp7 iv+qtIWuivKjypM9AA6qRgKtMlVp45EUYrXzLdnaWcaXupMUFrbrJunR+DQnSnVwG49WM07GgWrb LY60DSc5fXC87ZjnruP5OKF8WCXjA83LH/TkCFS52y3560bUdCb9yrX2RbRDkC9xXQEdzueD7fZL Eh32t1AzubMUVrYpCNy7lf9xrlr5v87RrYy0wDS2tRQF27PoUCLgiIPX2hbUXlm/ObNUN5UfIKoz sNHPpiP4xAqSvdnb/okAhCmLDVpLHSKIFAzYa/H5qIr0LWIkU4j2IElCh0WI70MHBafOMLfyTCQJ k2jqgaqLqKUUR9skPIxUfLANN+aACgojyQIvBHgh1zPxuFhZeF1fsSTZRznwWAQ8HSltGgwpOWjj 1PM187KrZ9Xz+SAI+CUeD33Ykp/admiziMgI6DZVQ86Z0XUWnQx0L4eSLApAIQYIbBaV/kENVFIr 5ZFa2efrgwSoqkjjRJU8uWXrVAF4aBcmOUhUz4HNIk5uHdlqSQ43ejpxXqFqJyZ/8fl/ahZyjnSb 3DkKS9tYSBtteFXa4Bmotu2eDpKovAJ4u4VOi2tK9psLaV+O66gdEMaK7YTMpKP5LADzNJZdRW1F adtQnBRYLSIYpFMVSygaGHAjWNXVdEILPh5cbhduSzDzpLj0TW1Dr0WR1SkByClaszqQhL1eAUlU Rer6QX9SaZN1Sq70ZcXrn7CiL+kWIubmtqQGHI0lcZZZpa3420YQCSJI0Oo/A3AgVXLwK7Fpln4A L+QP+C8Cqiz2jQckERguwjYYaDh5vuIEvx3tVHapDpNEzcN2WywrwprtIDaJg5/b525bg8bB45w4 AzVP1Wy3am3t0X2ieGEOHBcT26e0ick+aLPBMUzHyQBGIrRc1Flxs5JElOQ9tQPPxXOQQAymVtPk a0hv1SFJ3fOTswyKx9ux56KQpE3aRu3RNuoDnGzC7M4lfYKNbYxI5P9ATpd0D22KS/JDIpAkQ8lN W8FyvcNwcxYeJ9jEfD5aRxdJegGAtT2TFMXbyELQtbX8FmrLVrOdprqWqXbukxQCSQghhf+zD95G OrlDBryWcvsgLHGSEoNY7LJFhTUDt/nLBrSkSlSbhLI4WCyJXJd0/Z0qn49qtt83G02weNnZx4bx dqEvSndyf5+3a5tqtq7t+lpJUcC9qor/urf2vJttz3EOEWD7zySNNDuFLxu969AloILJpdzMZK8b hZKFqDYqg3PQLOXZp9if50Lm8/8FkoT6xE9nvmTTFIv1DQJIDHBT5nAhlraMiHN2Mo4nxZKBCyOE 4ni7kRSkwQu3HarLlKKEWNZIcqnsMH3ivd0ZcH+JieXAh1G5F0wJ+h1sw81p/cSJjmTgxCgfxy+S Lb5Z2flhkj6vQVJ3ly8CrUmypYi9igB7dwcAjGnI7dcYqZtmMRTYlw+aIQgdrJagZoV45KR0i2Xb iZgxUycRGcQsWkeII18jpG5CLIB9Dx8sQmr4+T/sAePpl9np0FNVfKRLF/FRGWz98xQMJget9n7i n21YJMgxmYsw6RXEIoHbZgsomBzJgm9cozbYLksnakQ7SRR/KobzSssw+MDrLSydmb9A7a+Tk0h4 AiuCNO3tGiwbe3hLqg/l2te50d2tubGOf3H7KkzOa1zUszTT18qCZ9m2AAZpNqPawPajmUPua1DU ZUwGuw9amnGwhdPpScyj5qSqK+fUBeVGvk0SSLroWrqrfl5Doo7jg2zqzQut3kMOPgfC2ZwgtX1e 4yHM7G42OaoN4NhhHou5eY2Gugh00IYwFHvfjvTP0phvlFiaJoL5Ggp152ftRQVQDl7FPtEoVBBl DFdSFgFnQFqEeCQ6yBjymD3+10ioy4+ClSL24vo2sUZm25J066vnz2sghN/TyJAOfCfVc9rkvIZB eGiB/CDaI/qz/faKCuWVZPhBMirdTaNdJdPXxhOXgx/KOFeApxVdtBIEDoQ/svSF+kItd1dRIfQ1 BsKRk+XpRV0RDpa4tqpGeTsx1uc1Auoyp7VtpdPJa9d06gsmp5JVInZoIyDLK9e1O9oYVSLLWl+j n45ip8xi0h28Yz3O1TkqyUbY5MVCRPhiV3KiYYSjK6swCvu8Bj84HZ33OH0wZP+5EmVEcRbU5zX0 wSow1Z5tResz05ugPszOa+CDU7xRScTMKyAOsQ1Cm9g6xh/PPLmt+2vYg9mRxxDqWDZ4A+3kvycg JB07IclI3RjbYB3A7gz9fMtWCcR+jXm63IxgX7GmD0afOYk6vbHOjI/Pa8SDI2v270OHoEC2z6gY HR3IDZ2CXcySGwEgl86aw7J6eHxMqy++xjo4kDurHbneweZmormoK3sCPJw2xSAa1e0RyML3xONm FEk+r5EOpl+pxZJs4fLSXGKDmKcGqtSvcQ5fMH/PDYJKShVzbl7LitxWEDNigUuUGUcUbUmk48gB 51U5/GtNsbt5xRLujIPPTaNFFcHpkGqgzRx6ZhPdJ0Dd1mNlCn1AfMb75Cwm8IsqPxp05ux5Jipr csbntaDYHx7INv4ewlBq7mJyXquJWKupXs4eSSDVsUQjmzoNHbKMmPZaTOzO31/q4K/+yIjgbxsm TDhzZofPO+YR+nDnWESO0eu8iGvaC72WEvENLHbuYTRjg1KJAkFHgmQqpMLC68pnR7VZdBOzX1fv lLQu2AauyIttmM6ROZGWLx54aDtvL5ofdaNLuYPXyE6CTqgmdhaM1CRpje0HdgwUPb50MrLeNdha DJLLFCNtOiMNXFJTNyBCJoqSaeDD+VCJbOKruVFyFCYDYt16KyYa3wTSAyKjue09pDO2A63DMFko q5V98Au90PONEOKCCz2RQsR+faf1Ih3OZQ1DmuDnnY7WHbBfZRRcr7kK6GGdKMrzc4FFjw7m/Z1G EIKSngmKQuVEscI9tYIA2VDP9VwGxYWeEttiwlgFEwQYJALB2n2wCQKFBT1oYmNwsWyLB4FdOOfG D9CCxmlcmp8oWKaPYa9kqmnQ/JxtKogVCG6fdx4aftMbEHIugaQkel/zE4XLKImhxmQHUJnWkwbn YxjrE9KL3dv1wfncpUSz2EbkcHusgE1XVlihylak5tglBtKxq6+a48yCNESZFiVoTl4zfLDLC00f pVoLzYzoCBrla4eRS9mX3+zvFLQuVNRJXUxrDmQM51fP3k31G5VF51e/x8xZYoU9d1otcrBsC4un FFMPOb9UFjxkURENlIdMlp522FQhIzyCKB6SavLBwsIykzRz1x6fd/4ZA6f+dYNlYIYrILg2Q1Hc DJ9yWPuq1tMmjw8yYna3ikYhSFCZ+nvcLNlMaCdRP4SD1zGQ8RNiQ++cnYX3mEBT06c8P2e0lZ/f 42Zh5eD8JtxQd9wQ5PgkiJFa/byTz7q86y38KXb1AZZzz6AwdO5ob65hh/SUBTd69H2atAqDMTuk o9CZ/LyeUBOx4YaH2HJsWczzrQ0qL/oEUa9/PiaLjIDemWf4FSnnWGAxZoNd8rBrkYpu3p934ll/ mOeWWVSHmWp+ougZKXRnBmQ+uO1qz1Re/fIWmj5BUfxs4c9ezYcLPcvnpCEEcpJn0HiLdYQhBWUU OHA7W4iaxO+ssy5UFGypKIvOwUphychCIER+3klnXQ57miCGy9xh6x7S7yE0FhBIi/Tg+x+NzqrT FyvrL8y91qDwd+fPCbZYI8QCcEQbvJ3K5gWrYZDjgyCfFOYJrmD/4MbQNLz4vDPOGOhRAwsoGxu4 xaAXLCh1h+ZMFESvPWx+xFqodALx+YmiaHTjT8TWde6cTx1i4u2FFSz3t9Rd0/qdbdZN7rKnvLMP dgLBoDyRZXTWT+tj9aQuYea/HD8sApnezJx61Wh6sH7A9vaB01NWGVOSPOg1R1G0G59of2FCKQJg 1cIoikZJqjU/a8DeUINwCZ5JX4+HaPbOM/PpAR6l++CrB8F4kRoo2hQoSBLUICoctlV7eC9Frxoc 0FKyZn1Dg7YX4Ou87+ss+fPOMrPClOZH4lcN4ZdfYO8kM87qJMnfrnjBJMwG9zLxK+VgccW/c8y6 oxWWHKo4eP8U/KlOKv/kcpKh8Dm4EagVOX4/TLyil/2rFp/J5tKgE3qd6NMMFtv8vFPMuBby9x2P WJzZoWYoiqLJn1Z4Rv70bG5cUbXrQOc4W8NgZu8Esy7CDgrJDKM5+AxBrZaJGXbYuTATIR9cAY1e 1v2rNUhtkHeCGaMgKhUm6RamKw0Ckw2jBdX2eaeX4R2nH0FKKrAiU/Eqxzu9jJV89nCaIh4IO2Sb IvQavD4GTyZNURRIJ9UNOxk/HHyXnTioTbTUEESntCkAA6FZhIZUr0AEJcxLb/aywSLaXTlG9sG7 8L2qLn8utM87uYyH2Pc1P0zvwGcoiqQhiyYOk5lxO8JcSr8MgxKdebjL/lV8VirWnjW0YAwJ21pW ECGeyogSpFEwwVBfLVeHb5DK+c4sY7HDDqDhg5WCWFLBBJ3b+PPOLGOU0L+uMRDgTwR8d1kUSDcK x5NOK5EiM4rZg8uAx9A5ziwMeqeVdZdN20Me9uPqOECv96RdlMo86TMQdmrU07AJOTwd4FSdlzXD O6uM/RFlGmqcJpcowrKRm/sJKdbnnVXWZUD4FSciZKD4kubnPY42g7Ms12RJ7I8fF9YfDi1fQF5q Bb0H0parAkB+B89VCwrYLDRMmATsQoMqOkU3BNLgkN5q0NK7BrVEHUIkFWqwYsfJO+RCutL+vDPK 8KvmO0FsKSNQ3fVusTCSBkawshxHXHmZjivvw4n3LYFpriX0Hkln0myge7eyD56LlTEKwa00r0Bp i5cdqFF5o9kK/NRjwMTPiGaITWXSGSWpZGbK5xuUPGEfe3/eGWXsEP2KhZD65lvveCeUsRrNnC2r tWP8XRTMoAmmA7vQG1mn9HskbdLxoJxWHzxUpPGkWQ8Mar+JT4Z5gIYa1e8EKJNH3judrEt7AVnq 2j7okC6DgUOSxNU7nazLb/OJhTqAICThaX6iUBodlgbFL6uXJeMkQsu5CnF3Ij8vuL5zydihLihz ZDS0NPgZhNO0UtcawuiQt2JIkHEjoVXQQdGzMxoR2+edSsYFpCTVUlYTh9ioBsnwJeX1eWeSIdIc v/qnWEwSbmZzOQylB8vQxQKh9OBahiyYBuv129bPO4+MsTTXD7SZbPDe+z5/dTmCBdtJ9d4R/tDk HQhgj4MI2njnkXUXRk9yquKgVKNNwnHPnTjy551G1kVzfzJVfD0ZYbzNTxRKT8o2z+kHUF7X+2Q5 +6cVUijZfg8L0lgBna6XNtxqWYL7NnotYw0ALvLWEms/qGJqxRmrpehVo+khw56oIA3aXmuqHIoP 7p93DhlP6F+lDmRNs/nx804hs/D73Lpt2RXP34zo8V7cwxQEeW2vdwYZjx9iNuB7aINPz4k1oaxD ZdTz11maIm4Q91gPmtfsHnVGvmqQitEOqEkfvU1fPmdtb+mingP+884e+z+nM5EJTM40PVEQDUvj BsVJnT5dxN9z+hSp6uP0qSDpaHreY2jsro410dhYz+0CWdG1hvbT1vUFkXde8Bv+gRB/QGB0RVGp Y/HOHGObn32eLbImMFX8rc7ZvwGgwaWdcvm8M8dY6bghImeThNhbS3xnjlmCfI6d6R0xSewDEn0C R2dEdyrqoNbxThxjt4D2WXPcwaYI2wqcDRKiwTIciXcc/sk+3+B86kBFLxplYUxQE1mIHOz2AoFI haA5P++Usf6wD60QhE5lvbiod8aYXQlQzV4/7hHsxid1PlxohLpaQFEt2pbFVAg9nxAavhW1L0mh QVifaamoQAXbbvJ6V7F+2bsG7Z7FQlllW3VL/Sz9LBjKDhUHe/2808WwDtIvhAvKcfUiXN7ZYvhM ZhiShcM6Gs1BHLkRh4gkFa52qpS9s8WsjHkmZrPSwcFzsHUizdwpXoUeLRCaSDESvmhAjDLkTJ0H 1e1l/yqVVeUY9eYYCRbGusBqqp93sliXVNsXWGHSA9dzjHeqGOKfcza2k0QYn67qKoMHLmGhQnJc yeF3opjfX7C9sAGvO4nuPRN0LvWyZSAIEx3pxPJqJ1mc6l7K4kfSu/6JIJtVHWdkzIygT5raGY38 AHz9eeeJ9Ufc67wjkwyks+lWW995YjikG5UYqrgYEObOXi6jlY0QHayQc47CanTi7UWypQbvZ+wG n5lMMt35UYN18hN6VTINmwi+JjjMGPGdJea1IJxpFBsaEN2eTDIaVH8wW+ew4/PBNrtNZ96xA624 p6L4ThIDPuvklN3UYAB/rm40gDKg9cTqWq6m/04S66ZbCZhe8sHOoZrIUN5s+eB8HbLRg7gKgRUr XWUPRhafd4qYdbVOTEjVSg28508OoD8DlvV5J4jxDX/d85BPQjnBYIhRGN3p5U6zFZxDpaVu6N4m QdRNkjjaWJifd36Yh9FQBck+eFt+0AxDTHqoY2hDYobOikXxrdSrfdYJD3/nh+FIaKKsEFaWV3Yp JnCqeOejo/R5p4dxl9avRIOyHq17oPjODmOllLJWdLNHTIT7gc4eTJiK1KqA/qgEakZx9OTOgYaD D7aAypncswkS2/IbDTH2XRu0fRr0E1lFsp4hipqfd27YEwk1ek1zsFrZ+flLUiZ9fN65YV0uBc9N j6vhHB2+w96pYVi1krG3BjOohmqJbYmKs+m85KTc+WPez6BGtZdVUvHhAqfgVtBVClrlnKgs7hc0 mNlAgZ6BA33xK/BDgnOa3Yy8ie/goJRzU+CRodBYn3daGItlnqnyCmwUYr9t+XdaGI6ujF6qXBZx 138h6Fuz2nTdJPYhVX1nhd1gOqEEboOn8ut81ysxFjqLER4qvOsRJVYsJ1gvXJe8oXcNrvpGJgok m2zQDJ0AfS7BWU4s/U4K4+P766oHsqM8udg7J4xoxjMtdZh6VxeVQfyLaRbm8L3uhr1754TxrmeA vNWe34+Wd0WqUikrPhbc4cSIEo4eZAwApS1ZJYP/804Ju0D6JAJ9kvoGgAugePLT1gmm3wlhLPfX r0MaeONSbtf5nQ7Gtnymu6vAvSA2yuFkT1ZI/8daHRhBWj9hLK1S2eh3cOwdxCig5U156rOdIO6I fQcgU4LzcLoeXgQGvXPBODuNJTJWFJsQ0LjCzgm9tb/2+LwzwZjoju8rDD8kX2DZOxGMPfmMlWbI TcjmG8+g9u0uuK1t4xm808CeVJXcIg0XD90YsHDxUPxny+SLYGT07ZiqjueGfyeBWceEkMTiA6dn Vlhf8NYufDrqOX/fXzTJwmq06YniaCjvQ5c/Ga6sjIvcXFZcRD1pGSn1nf91C0Hsqtvgq2ehRA9R e2yu8z0PbUNwLc/dhkbiPXyIpX9nf3WptwGmkrYPmh6kxbx+el+fd+7X/wFNUdcqPzyMMIberJMZ KBrytc5sbqojFh7OZDp1/pw/YNE9syiowckGZwoSBCikR3VydnoEbyGisapQBFKiymoyPiOA3W2e yqhK2cBEdYARLAmBmvF0ECD277OHOLl566wtCqCxSM9Zuq1XuHmqYnfNtKzgUc81YWXoFpWhk2ni y5ZvtJtiEPebqB8AvYizwSR+xtMenHw6+VmZVdMTIjqkRSW/e4WvWD7nFJDAIuDbeDrox/9CdDQu xdsJ61H8DJBNQ9flx/QVsusrIMXl6sm4dI2p8h4+i/cIt6I9fPAuxtmYmxLBEMWdaYLAj9WDGKc2 9lNv9Mw6Wf+bO1jlPlmv+2SDxB5/vTIqno5Av9+o6GqOvD49UfCMNA0hvxoWJ9lwaYWlnnNRlcNk A3qE5xgEAlG8zwavkfUNeJDKiP3ss0IZ881rAxw+qPC4+gRBvz2qQlfyl7PpKwzDHK3REcgqX5h4 +l88J8Gl8L2ny3OKi9A8m5u5u5b0EJ3W7ra75nKd9x5VoeW/Ddt3H6wKBOIpOF82PysL28kmfKft MOVWjJxLRFCPgmfhycak99RgRY4NiYwdzGAC/x+eD0iE87tOT+n9upJnqD2mEWZUysyLC6lTcsgd OnrClNEJiQlGj6LnKUwi2Ow2+P3eF4QhKIVf0tlqDLAbmsWQeT/faHowdxJ57VH4XHgE0RvABsU/ 544ctscKno5olvMrekYhKlNBSBMUUQlpbnhucDN5a4xUzqycA9D97gEiOPsA0WEPg+dFNMfS7bQc iTJahlRgJlwJRnjn2jGlHMwkPIQIhzXRiW6vGjUKJe9aNRRxUDKK3FRaP1nAKng8uMFumWxxtTEP vAsojJ4HNPtYweMNlruJBaKzYPHhHssQUz1kEw6RweYdHDEFu+C2CPpNCahfsscqbs4GLOFct45I 5258RpDAFxY4tHyyL5+MkoA2dS2TrxjtsHuFSS4nUbjkXmJhMXpT+o7dHJwLPN2p7V47SZaLwqXV UK09DqFNGzj7YFsMpsB5qQhUeV6glQF1/Y2vZv/QNNiA45Sa7lEtulKSaxXmqat4IIGslzV0pHET j0fcDKd5YzIgZ18pzacJeo+hkZjIJZiCGwwSN2tAECamMyCqiFhPMlLsUQy9qfuzhfbhYGtooCsC JBDPaUBwJrkZAp/RA/y2ezZr0T2qRdcmaTfVOKbXOCDYT10DKP5NPB5l8L/JcxsaDnePRbhoqroM +ZNScArXIVRdKoSilaGeCMKKQD0CczAHgxTT0sBFzDPofEkntSDaJYPWPCja0cBdaqA9U1HJ2mHI L/EZQRiE+n5vXEcaDLbZ5EKL/3Xj8WABjasTIE0hTndz9koP42gEipN0cnIz2ComN6O45GSZ2OQ0 CO5RHZp+mm1Pa4uNm4XN2UEmTDRRPof12FXIelyz0Nbb68I5OnVvewTn6IQr1KauT3P2JRQ+k1CX IzU8HpGaf4VCib6E3SdohJH0QHZKiTDGQi2bHmDq1XXd617FikAjqkQTAQ79/zt4R/5E0xnoaHXk YYsqegJW1VC/8hZaacIxolCawuI90RJHg1fJTlZnVbKMx4NY+iJeNs+upiKUTVAUS3e2MrJ1BwGv NLL3+WX8wG6F7WsEiyOsRCtYtJV02X+QcusD1zKXELFT8lgagpsDX1o9FVtU4B4hw1AzUwmu52A9 Z/T8dQ1tPh4sofS7EITAs9wVFGKjceHubEFhTwxKucVY+ROko7sC54gq0fS86nlrHW22gFHohWPO QC2IFz2kPAtFKPdmmogKQb5Sd+jGDHxGgNpsihOzDcOIXucLKOzh9vMfPP6vToaurEXzlSscEII6 BloZ1HMk7pci/oiEsgCc5KiSe80FFEXSXDk9k9iIIdkEQRe2FdbuscMSRD1531HnBqVu1G78lp+Y nxDTIQrvIOm/eLlgdxxzVhtseDo6gb6h9TRlHzfRGFEcrZZ8c73k1BknDmqTTiNg0vvIDqAokLaz efc7eJm+wHd7E/ULQ8Eq9z365xRSeMGT8BOI9uQjKkNnYu2ok8lkHnUc7bDUlGqMExPh+WgFXdRC 8i2WbjVohAxDWCWCKGz0AwInha2XUwIixdmTlaJHFEwvdeWrAqGrDAFNjpOCbRLSJxUFBwligz7t wPS35wxigInPiAhileoB8AnWYNB6XGRGk594POiGrYta6Hq3c0q3u4pCaPSJgUFZEgTxnH0Ga4UY 4HCF28qyMWcogkZbIZGNRQ2OS8QUlS1/2zWgSsaWGXyjsrKQK5XciR0foT4H22FdsIV+iZ7Q2+xJ 6gB94PEgFAKI49ajUSCSN7EmKKpHQxgJdiFKwkCUb5ygcwQ52zAvlAs1P1EoPaWZxBPG+zCZ9daJ OGUNS1cnU1TwwNHSQXQ7250fZGYZnxEFQmSnkuasQRWzDh1Jnrtr4+mIm/G7YsYG791hUSRNBb6t zYRsda7m2Wp1KTIK+OiMjiNp3u5538E2GCk+SpvPfTnXYuqFHj1VfHGJ1QtM3L3qXYNQWjJthXIC xBYLOF7T+cncYmPsheeDfL6sr3Ma6EDztOMMhZH0oEmbMQvhvnQLQnJMzBTmc5rziEvS183+l6m9 aPK50WUDSV9jERENyAGplYFss3vJnpVxfEhQNCPKYBH0rUGR4pmXIXHEmTIejyoe30VpVlzI2OAM zRDU0SElgC5qNv2kJtHoJAYXS2YLtTemYzMKpU3QrpOgn/pNNkA9HtCsIUkMlMWRxXOmBvRGDaFd bBmTjRljOgSdkmj7vBpB68SybAC11Boej5oaN13F0ltdbCGfoSiWxrs1lDyoGA0XrMG52tRHKIS3 CoiBJTRDniGNfvakfBQH72qcHPuEgAJ1nHu/TFKBqCDZ0Crq/VGORBkVn/FX2b6hcGuDVhByIREp z9bF4/86hXAEUKeInAjNTyjWIXftpgsLKHhd7ptVP11iCYA5brEZswyL0tTtg4dClboxVDMBhnwV aVFQPgmCogASOFE126v+UZbuWaE0BxWETugwq80PH4+gd36JUZmD8g79FjxmFEojr+zU/1RXVQ4k FKOY9j9m6IXbNTbDqvQgA5wehxo8W50wZgcAVeajY4kIjlCvNCrJP0xnnID4jAjTQbUOxLc2WMkM BnLS1loFj0eqkd+wIIoU5u732IyiaagTdpAElM73avcYWANGPDxZTPN7fkbR9BC7sKss3fN3TfEc PShQ4QxCOkxfDQqQwGPxB5g8zzbQ/8NnBFuMFvaJV6AGTRDEMlh2byfGxuNB57B9l6U71jxMA22C 4rL0Im7KQB3ik/6P/cru9rUKXnlGR6AOE1QiilyDlRRB9IcAFZUEFvAptJNqg56j55oFRNQJCNNe NTijucWqgOQcND/nFC1MbyD3jsejktnNNYi+RfsAAa1NUITrQBkKuA5lpm1U4zfvs4gNTF4aneI4 QVFR2hgaad7BF9A6y2RTeAbuNYtGNlCuZ5AGwXMgMVwDuehVozNaIhS0HePgEPtZqoGeGx7/L2Id qN2XUh3YMUOKYefd3m2Hwa7YdlhTi4MkTGiyS+suFLsrhgeqPnhJ8fwZZixCSANyLzuJLQvLRlkR 94mik8aMitLgu6EQlIoPmqAzxV1V+7X5eDBB6Tuhp9xduTTnGUE7Jn19Zb3WfoyYpiMoNe+ubvLd OEFRLE0Z9rM6WvfhYuzRPQfwGbipc/CICj2VnEP9Lj9y7KQYziiUbhSQxJXuA1MNKLWyeAbxDTz9 L2SQitJEBXWvKM6QYoh60MrTIPa7XNyd8VpYMYNzk7ZYLNfB9nwtd/DWYYJgU2Ij88StMOUi7g5V XjZRwBF3jiGt2Gcoesct1ih0r0HYoAKHJSyK89PxdBAmXlwiaeCZFATPNFYUR6MJ0xphFayXCS8F CsLcVpHORjFM/DGRnpKEBITc6K6gCuVsFDFAtaJ39pq0qj93vjCGsIsYv5WzVwjuILKsTiluThe1 Qgg3JRfUasXjkVzH3WA8gnCQrYuuX2FJeifqdWxn+VjAuLeUlbB+aKbJitkK4R3kqCZqUmjwCQKL nchZtMUaamXk8Cb8mqNL3NEr0tNeNdK8I/S3EXrHwU4g6J+xtbp3xePBDit+RLNjTQLdRQetUB66 wQZiOPbuJGLe02jpsgznrj5BIb5D7NSmCWos9TXT3EQ/viXR6ECko9XPIjSHvfn1zQJv+IyoXMbG qkS0OXCDLXjpmh7OwNP/FKIgiW5QotKjxBWF0Z1CAqUIsYk/VauWodJl9AxIFNoWC6HRXW0xwno5 +BEN2C9PM5xAKH+wOT82uUz4uY/oHbj2/Iw/a9Krdx9UDTqRFU9YaC7j6X+dQPKmwZ9vHrZCmWiQ AFqj6ij2cCPyN/+sTecXzFSmSiQP6BVxDIvyMBnzcrjsjI57niT5tEfmPQ6vG7r8wl55XD2uTbGX FWE7unBlCF5tUJ6K9cor+nwRGY//KwaiehIodIUu7JqgKIqGCmaD7KMSVRmBqNzaXNLtROPLrLJW LNXBNGiJhbAu+vd8URVmvaTJF+j3LZpAFMAUOm82MCq1gkqiwdGK4B0SWjjhYffB6Afn/2Cpop6v A48H8I71zTQEHJ+qPDZDMTxaXrRmAFH7unI4qyZnQKElrC0WxtG6xbY0Tfa4l3w6gfrYXQyfs2Cb qBxdUlxMA7sXg/gYPiOYoE0GFJ0JMFhb7Lx9UiKG8AuP/+sWE+0bnwPlT5uhkGlIiwya1wCkKE8E 8jP6kt4vOzPNUrEVIqSVgy1RfFZ+EOSwTgKOkzXXE+lOomG7vLQ7iBL5lstYsl9RIG0uK0t+68uv ypNinXUqrnzuePx9l9Fv8selKOAIWvtFmK0QI404cZaefZN5JtZmc11JmFrbLRbWpGX6VKWqieHG QRkWYkrlxz4hJ9cahdiAw/vJ1OpgZxV+BXrXCCPN80c9jXp7Gqh0sBsCqdGKxyPRca8n0k2DQLXn mA5L0mfq4YqmOAiA2m4T1IrFQdDqMKfV9bd49FzsHHK4qeo5wWlNBzI8UoJMO1q00ToQKLvdWwxm EnzVqOdDGviiaQ8H5fKgZm4xBzMfD+75XwSWistzX1H2HQXSg9c89X2Ec91OVB3yObZU1eKgHbIM VW9d4tIRda0JOnfLypTbQdvwpCCba23w6IHhVhm3GCTX5x1F0iiJAfv5DFpAZ/nNLZT94ONBtey2 5k0FMH0n8/s9ksZjBWezFTY6PYY0Q0vy45TrACpdM/S3fjR7RTZ4Mn+SJpAxpR8NDUti0Roi6Abv 4dG87VMoNYPPCMpB5rMykg+GoIInB3/pkzTh8eiU9q4P/y1C+XTbhjsMpU9iAhp7tb6qd54hMWlZ qy4JzU8USatIiBvdB5ufk9af7IsqlRNeIpQWQC6PtA4K9I2AZ17zNATAZwTzQ/hmXzX5YI3nE5+7 4lTG4/9iGarvTI/AOz8hyRB82pGbH0EUREUqliTVjiOoEpfO+QmxHYqgtzBCe94ddq524DWbIsW1 0NQgFXyQLn/WT+kPx7DoVf+Slqxs6WvQ/EC0OwmBlzYej4QTvWLPni/2aWqeaewQJd2J6ajuYAhz QNGg8hrJqQg4G0hF2DHH0KxWsg8WBp3NuRGf2yUGE1oSWajhMNCOrhfjuliw33+rR6dNbUAOSsWQ /soqrFU8HQl2fCu3wqqzrMui26HkHXg+JZnKZhcMVzQxUaIo2JG3b7AQ2dHZyaBMrQYPE+e5YCrl 9GeaQK8Vus6rJrjprHqLZVQ02VE9mqLIAP8OH7SATjJv+vXnKMDjEbpsf+XysNymeK9NUATsYNcu DQNKnc+pfkLnZV2OjGDONO92qHm3bGtVH/wOmxswFUaJ8K0dVd6GsCMFw/xnELjQ5Tbb9K5/lVsr rZA0WLEDMmj44znMCh6PcrHvXLVJm/LusDCMxiGJS0YnUMvmp7bWMJEcXhEWJe4Y2EHEixZQ/l5A 8JcFioZMsTnSoL/IQo+pIG5jEYALqPDOxGdE+vU2MdMH0TS29QdOxjjwdHCBze/1kwc1E+8NH1aj E+EcjHyAU5ymYoJD1qlQBXtd508YRO+gndHPgjz5U+cFhn01SOiARw0gQflntwuc2lNvGhw/8gpL VFvgYNur0rQkUb4Fj0csll8s+SWp67t8ohgaoDGw5O2ALmVvJ2LWenmqhfiFzp/zvr+mpLiW2hnr aWeUc3zWTkHQM0FwiaY48iSGDtBWQFaupmTTuwYxojyxCg00OKgYvdKQOP8ZPjsqRj+CbiZfAYOR K62doiAaXS+wYpvhXgjUJUujgcwnfOsGhEfi2imMoiVkJ9VaDl4sGw24mSJh21lLItOnoEqHWPpn P1fYXv6271O0qqgs5PJmKrXot007yfi0tzz5AyIiws00mHcBjtlYcLF5CpXv2DdEOwE4DmAKNDmo maEXRn+2zqYGnWrCUJr1+iUKxvrS/z3nPaz4JqfpHNsUFsA0SZwU+m7lQXcMf9tIWzLrDOo+GHwB Wvmyx2iNz0f5xpXnYie2sU3yzNJ7PI14ETm9C5ADNi2c4l6kAeJigwqSSQflFKKl5ceSdvfhqd3n DF1SCr9McKA7Ew6IGaBQxovXIB4EuzIgfJ+kaugg8eahvEZvnoLWNoGdJ0Je/AFBTHS54br+eGVc mFBOUX0aWcbJ25MRNBv/vQwRipcXW1ITOukHBS0OsjHAv/TB8w6gWk2y/YRffZCw0Whb1IAHyUyy PXX11430BdCZXVkcqezclrRO+ivnonNY8PkITXWpG3hBlMQAkr3TFAXX+Dp7pagpkPfiK/NoAuNC xbSGTpAfTVGhesgXCqVRG/zwRmBUaCoPxeRBRBLkxzplyTP46I693xQExocEnY5BR4RJi889/bs4 N06TnRnuOT4f4T0ubJEHObBLfT7uGiksViNHm1TVAFNziBdeKZWDDgd+1sTbVc1SWKwWyXkZkeNJ 0srY4LgMibef7VKIvGpwW0EA/kPovoGGGATgQ/7ikVWubQ1WrD7X6FAh7WRpeP59luovfftKHHXe d47CcvUJhlqXkhm0TrLDYhp1UwmLqciHbSVFYTZlt2A0u3zwcwkN1myCXWeOMk+N88034nEqyndX eXJMe9lIcIlxwBBwaDhwCMpCwrSXuTufjyr66TvQnlLEv3MURdqoJ3V0ng2Fv4Y3PWAF7yV9KGzZ qRRWrNkQWhR+02CRwDiXJnVyZB96Ym1W3hqjT8SwdK9TtYitBX5IxIlmyZoOwRiShT1oxxEqAKkQ Ph+1Fr8xsGwM7cfqJ0XxNnA/vZC9RvSHhMnZe2Wl3BB6u7pjXaTsUXS1iYjAwSNu2P0UlmvYfG3i LY5FIUnS3tpVx5NzcYolppnSprJ90Mm9K8SZlcdPPh/stkfcwzkvdaXn5I6ibqSuOB7ML3O7dvKm PonJezAU0ySFpWvS6ncSEjY9SFhYLyIzt8rshMIvJgnwC2IcIAQhEFFBGVIvGxSOSAeqkrznoO2W cOSpf8aQMjRtuUA9Tgfzm319x0LvQ/S2zxmazA2gjiVXErpVWWK7qzfxc+B9iGCCNZG2hg9e3j/B aqe1KqW4z2FV1AFApQutfFKKxAuS5UYOzA/RzGIgWWXxV8tl3p1vaFp9Xy8ZZW/eh2WHKNGX6R5J of0hLk5AxE1GJ2WTXoL0062uNVDReWwH/oeIJNjCIjZfg0OJzjechNieqD2ek5UrDtWRTAu52n43 8nPsfygBwUGxKg5WHjnHngq05zvg80HMnX8ZkxDIlJ67LbBA1PqFf1G1Gtt2FNpJR00iBXS6sX2W QtVpQvYymoE2+Cyh5dXMPurkzatK7mKS4w7R+zIeclCyt406RZ1n0ZoarBmb8qydZXogf/h8VOe/ Jm2YD8Tu+QpP58AHEfab+MlML0nD28WNWEu9oqajdz+SQiNEtMshOk0SAwdnUKWaz98HC0kJouZD ggWILgGba/T5UymbDescOCH6dmuIs2wQbi+xb8meU+XjUQDwy1idfMBbacuhFSLKwK3X6UROOdqh 2M+OBov953AqPkUhVVFOf7DDtMGniC1rGc+fOVhtszXZJxUlgKaB/r4DixQAhGaIa5Iin2nh0uSc hYUEF+gqSwTYaYZuiGX9jiSB/mzPboskqHEYnVObFlL4U9260M45yCyOEsitLt9sYbQ9pYgypw9e 8U8n9Z9N4KsNOR1muIP5Ey58IEP9SKJuTA78EIEvE5EzVx+4juBUKvhm7oWPRz2jX4aRyDDKFenO gR8iGNOb+kOmjpv3MA870GAdfgWDeHet/RNkfQLnOX3wonajZBhlCeAYf9I42tbCgggd359Cd3Gn 4xV73b+CpNnp6MLBaiVwITajgM3no3P7t9lNgXTf9fwLXBFBgEA9cjfLbsuVtEidTqZ0Zj3fcPJZ isxcTKzbaOMXJi7GWavSyssgVG1qwAI6dW6XiZxkXG/oWu1to5XE2nZTHNA8hU6Qw+JNh4iSz0do /V86aHjXecUJcuCMiO8Gcqx7yhkR6grK1k7AnGxRlT6u92jgjIjclp3ZSjFuDl4oWSe/Wk1H0jpr arBVWcmjYFuplSs0ONmhzaE3YhdvWli+6li+DCH0qpvpJIZ8PshJLieG88Gbsj1RUgy4boTP6EbD RhEAa4/U3XhrLvf/y7E/ohh5vHs1ONJodzQXmdzCgD1nHUngk5aF3hF1ZOSvKRft0CBRKkSUALbB iknA9Elppww+H6QkzY9tUolpQXiF8XPokYj+GHhyronGfcnk9honosw9/EwKXRKXZCvmuINPEloZ 8PHh5TZ3lSZYp6DUpH1yfUCP2d42qADQhH2TQYSh6QCusE7lbusFCynwSVRybAEAlx2oWqvewmTo lIgGxUkZkOEBswbkhVfcmjy0sR9SMdxjDq0SB+39tinv9PkFGDlhdCMeasJqtMkIsANfBD3dH8qA qZa02G7LoVViLgwlZ64+GOgIjVoZRJbJ5yOi8DfyUcHWuGdSbJYIBTfWszE12HhJqkSbXriMAKA2 4HMUhttdjcZ1Bz+3MzyEmQ6cEfpN5JqjUIlKfP2BnLKOpJPcJnvZIJIsuvqlDILYjq3hDPHTyXIZ IHb8AVG8/asEgLZt6xdYkwO/RFxv6JIs436AeJjUCajqNS0y4cA41H6L5al5cidVJdP86pdghw1C a5BZpUFZkAwGI4A38sO5tqTV3jbq/TeR9IYG14CHnzJ/RRDC+XxElfmlvUMq01PhDi0Tt7hEZXp3 sgqpJbVbN3vZnt8GnonGiAXL6g5eJzmXI4RgyAUBd7hxkhasTEpiKrNud5sSaTn2TJQZYOc+4WAN 3EZVG1QZU+bz/2oDbAsB6A/vcxRCSFplMdLZINN5nie9TS6gsorjRHPom7jElBmKk8aNk8pGqTAT lDvPtVdRHiNIS5Q5qI0vZ6RJqTGHxomMkwCRyz4IZIMWPVfB+Rw+HhFC7pGk9Bbk2nWzktA6EQXJ npYVI7uOBeo7rD4u4SFnn6PY+IUV+CEZjOGczIEG5LmrB/tugKsMof4XgUBES+E0s07JmvayAU6i UCsNS9wGK5QATcj09nzdfD4KuG/mxgc7+cPPbosK3CiytlZqMigJ6oSCknQ3D4LfSPJJin3Ik9RB 7uBYknM3gmebhfaDyS3Rfk36ATiSrmsH7Cb0ssEksTlZkVTaYLutlCQhnTP1fD5Kby9eQuAuAIC+ JimKuHtjoa2oBIkirleTUuLBjTOpJkeU5MBCEbMthMbIPng1qSU42NDoNiXCh6RfiASIKTg6GwbZ UjUp9FBsxEoUGkFo4HYbgPZzGeQ0+XgkhPEdAdC7A2LZPkdRwJ1YcSMASurefTioZJR7JO105yiM uHX3EySrwc7tE/pA3IHQ7LzWHPRwhTIhcJHng4lln7rbUO3gh0TY9fYSJp0jeyQuDciS8PGomPSt bdlw+o/nRAqdFCegU2V2P5E0W1PsXIP3n0+uhvzLsZXi6jLHuYOto1rXSf5bEpG44upnw4mSy+m8 9ey/wdk5tFLkNuyVybYGIbdqdavJMfn4v1SsebPhPHok9HNgpljMA4Z9ZVHRk3UAajFMOyAl2w/t wEwx/38o6EOUUCXJ/JQkoVBwoovUlbdB/pz5L7inqHb+wF7cqdaj28sGIABe+12N2+6N2w0jbKnF tax3jOCj6WsVoWhW0lOSjP0UK7jE1bnEiybxuNfmzrbnaqrJ8SShoaJ5tuv35+DgpAl0CYFSIGKd WJvhQUW5HDBtHEa3J5nU3A4tFacsBvgPNCghAfVWqjPnSuDz0SR9IyTpHFL6zf9DV0VAinqW9hVV Q8yqIrPp4z3JWryVFPsqLgWHOfngs5RgkQOOOjKSExeoD37+ETXtuxy7tJAY5/BDgjASmf9JB9jO 5GBZ26isxP+c71wvGRQAkp9HqqgQxvqspBhMAp3Lsd25lB1Xc4MZViU5R0q5SylWtJYwWmo+eHd7 nF+tmPkkvKU3YTmV1D52TQvFUs28dNnrBkVJ8h7PfZJ8sDJJynSnhVDU5PMRMXR9HUkgLNVanlmK gm1UOaFo6lgSAY8h8wqNn6xSUi3GWcuhwaIat4uUeA3elKwbjgOFwKQ80AmhZvNI2mE/a18dtZW6 vWxwsVHdqWWS11qeF3FzThZ+LYBj8vmgJnktXnmJYdsBee9zFJa3E7L+5GfSTBZh76bMtrMEgTSK +X9gsij+GyCA7IFwsIWEtv+k8yeIfWPAaQJzBB4PtW9ocG4dAJXbQpPFrDAy7TuoJHk2LAn2DPP5 fJCQPDa4RWcZj4A7SbG2dUX9yHrasFXzelup1Y6nijjNt1sYbFOJZjUrlrh1FIC3ODNwZIEgCiAP w0iaDzc23Zj723ZLy1432G6Ebid8lTbwU9DqTJJayavz+SiQ/MXDppBSf4KksLzdmds2gZFOpl/c w6vR2kU2McUVsXLotThJQFpWUlrPLI1NYxTJ7G9iAHi/ES+JTbfWLd1SwpUfEuEAqSbCI1eDlbcr PROR06/F54NIMnu0LYcHetOm534L69sbTuWDpu0DpTc6naFKAg3zSnXQzOBPSymOtonMJtlBg5/c ZyZgmMd2Eo7mwQ2XwIfsrKWur1iSNKQc+C0iCGCyByKcDTqV0LM1nPuefD4IAkb5VQJoNL6/SUns ubjRvU0sAVC+fEvYce29luNJ+s1uA89FJIBZ7f/tg0WTC/4lizakA9ZbxNkgb0OXpBL2eMGSFNzm h/x1dNcpGOB0GOCZ8bOReb1VLKXAdPE7cdMcycbvzlFY3wZ6KwHuYXQkqwWcX6t687YBMKe8LbRd 5D6D6/EdvnLbwfAdbICzdIYIOYR1DwraNM/bhgKlwHcx2xyxJmiD8ra0piix57Dj48Ht9kjuc7uB 7DeemmTovEiJtSLCDZJbomUEJxHsjXAS2htoksKY22QMR/fBewBwJihbJuYTYHeTyEJZGfc/hSWs 3laWvW1Q354MI2VeNcEIrtKHhxkfYepnay/+gIgQcAMlZnqouM0Hwx3YLyIzab80RghjZjxZc/EL zjz1uN/CoFsyNXUnH/zobiDeQHAf+w7yuc1qtxklt/bTHhmo7C/7Rz8JslvdB203+MxKBGMAKxEa MP6WEquJYMlnKcXa1zBbbmN4DwDFHXRK0vIpyptmEDy4YwdGtW0LRVbMFUgxQIYATRf7D27MkgYf MCwgAAG5r6v1NX/ZvygTJ9O/gxXczrnNv+DO4PP/RRKTMMD64ElCF0aosJyJGVYEmM3lisHC8hQX qho+SX9rjUylJxy8UQJebVvCSp58q0qRriDKbthmkMK8GLdlbxsJilEWs4mG01wH4RxtdtNBg5rP v5/ba/9y0wPCeYyLKAnMGIFxpCTvNqZ/VneE3dtiSn44k9zRKgd2jFaUPAGRTuXx0CRRBThzxOSt oOfTuZIAcvuhH0jmgWTsm5nsdf+IJk9uS+lhDpqlc/9uIYtPpMTno3qSF0u6Ctww9Hp0j3Loyohq FZAkpspSCOLnPFlgQM0RGBQpngxsGbEqWW1b5Q4+TwA8gXLOs3sUmAyTTgp2HzQ9aej4LS6WA2NG UdKheURjEA6GKTlBhyQNJlqTgTUj+gDfQs8T5bl8jZs+L3FSPykfizSOBMRaSiXZhlPFQ0gAoHN4 cL+ESefHnJUsJukUWHI+YMkJlBs4yVhJpUNbRotNGtQI61C6LVpIiCVfgiS+aWLJLU31JqcrrCZG qOxvn5X5eQmR+ILbhPWSx5EgjAO6krh4o9lBR7JS0IGcm+LKzmmSI0tUUk2sAGAFBbPTeZvlqiCy zidrK0AeaZ+ByZU68TYT6CdK5FCFY+YvdPvr7JwQkrFjgjicDSpInkhOiiwFNdvXyUERqdjkcJkw eMXlyLl5iYz4EFQwgMZIks3aMEUhGEnCaKz6JyZJmJqXsEgLhw2RTCMHDZqaDtmDVhh9ov0/wG9j goQKN6IyVWuFacN98nkJiviihZKqHeBUG7Rw6oRTKJP+3T4vEZEm1ljC6Ud8HBw7+IGcm5dwyNYN hSKWrM8gq2pCEaVlix/hP2UYpJdYiD8FcQwAa7X6cCvZ+0SkhhxNa+XGWGABoFJIzSSdTQhk9vpf QiF+BENJNNQk6IMyW1UsdLJ97hRodHxeIiH9nuZ0lyQTkeg0n2xyXuIgPTQml0szGDt7f2phl+wk 5E4vGtqdhpuK+Gyy/TT4oVxAP0q6vCC6Y1o/wPgRMtpRcrZNRcXQlyBIR46s4ihXrsGSV3RaeOSc s+3zEgLZ075yzMkcXsLo8nJ2XiIg7cUNXfk8mpWvBYcgpSZPlzNce1rm+hL/cHamTUtpPviBTGcC WVuctBKEbXJFeMNjjaHzbHdWSaw5voQ/fNVFzWtIbfmgjQWInLmd9v15CX60DKzIlaQqD1RBgc20 pucl9tE53lEZKmb4gXs8GZo2M9HFH2vv1SSvXyIfTY9dVCT6c/Cm9YQkX+f05D7mKtQiWQmoW7BH 4L7oKSsx2S9hj7YWCRBN9DUOmp19cjGGLKCofl6CHh1a0xcP83+ScmiTicl5CXh0JFeUOorr07Rs kPUTTBixBuKD2ZqML9GOjmSm82lxitJ67vKzLBecgsgPhY5hJYZk6KRBMWGtGzpjAX9eYh2bfmZg S+38ddv5jbgK/HaoVb9EOnZnJJsbMkMACyT3m3PzUlzUvupQNKLHi8gzFvKcGGR5kxpVP+XxL5VF 7WlpyJd0B5ubgTZBppXEhAnRlvQTZNeAZELR7DE43Z3yYcHkLCmlq2+Wbt/s5Jl7qzVIldBgcvq+ 28ruq/PP/S5/qSnetdpN8pt8kOaoIvQobHY22kHSFYmOZOlBSN+fg6P48y5ItamtNvrJlVhSbNhI jVTfXucFX9Ny6KWgqG+A9Otdxh2UTRSQoBJrZZAOCy+s8TU7UKWo5YkCoyAZxIJOhp6KQM07G6ln c6VGkNwddBVFyYOmXglWITbY/ADHCJQmMndQwYBsBQ60kC+7yw+K4lc2I+td/9xaW+Dr7S7XZbcs OFEjUiaKk5f5cGhvEfKNr8YmKIqTE1UZWjEZ+aajGLiM1r0JVADJNsx1tH4EJZpKt2b6yrPgZEs4 KhUzznHGZiIs2TtdvTYaZ3KL6VXsvehclmSYUFo9u/YcBCcGD+lzAQCWHh3Me3+tIKD2IWTjE/Qe LOPamjdEBo6IiFwW7WsxsFVNEqYh2CqYIAj5UVeu+XAnCM3JLXIDyBNEr7eFegrlOaEza+zGpfmJ wuVOymarwqNVx6PBP1gaDoC6f94YaZam1a9cgv5188bLb4Q0i3oWoNbZZeTXTL7DZjX+Z0Pg4k37 4HzujHcSFZk1OISIks4AvgLZcC7xJZVr2qh1yrdedUc4SPFd33dY37KwKMkHyycGnEOYay20NKIj yAzbFDOTVdnvAf3GRtOHDohkkBuKCWqS5iOqYbv2XEMxwgus0QSh/twpN22D5VsnpjphYaEMeM5g gQjQB79saBlSIYYnO6wsVMoIjyBWMgS25mCIxj2bVEDWHp83KppdRj5BW9W09UNHTpuhKHDuZv4q IMM5UJs5Uw7BPog4gFSuUvX3wDlLvLADB+uDN3zAZD/zNGWnQ2IS6TPoxLEa8JzRVoF+D5xhUUxw PUnYGpisIw4lYbmmVj9vPDS+YUv9+4hGExroXJ+fOHLGv0t7eGnVQbEtu/XrCdeTUz6iyJmWC/B5 6D74HTah/LTkZAFQFYo+KFDTjxzvNx/rVwZAbxw0/Yb0zJNxjQYdQQA3dYnq5v15o6BZUvt9yUPI gXBTm58oeEYO3Bu7V+TEzOtkUYv9j+cIggyRJigKn1ny6yxX2+BZeweUoXZJGtH5VdpzyBCgEQA5 QOcNUaH4jX+mRSqXGBQ0bbBaGNJULoATS3ze6Gf3O7QJknwhdti+YdB7CA18PiUxuu72ziDFmIyV pY5N1hDNcTt/TrDFCMwnC8GG21MtYA4PqvNtFtasWEil/U0SmDtQM8F4I5/dQA+aWKX7IAwjmrUC ekB+Jgqid/q+xGBLWUi91fxEUTScqEA5NLehc7crIUWXYZkjHNwibHrCKHqoFiZzSh5oOqIRFi58 AaylQhJNyPwpitr4kRq4lGfm1Kv+NT1D4nzjivNRNoldeWIXoijawZc6gbp64MXrhVEUjaJUa4K+ 4E+zqrez9qoWJEKl3Ypib5Qzu34VRKsc+GX9OkYpqAxQRR5uc11OMTSIQSRd6V9uDJiiV41yd3EW 1/ZB+wt2c8wS6iz580Y4u6WpZ34gydT4F81PFEQXEIWT4HdMwq6AswQp5I27qyVhb2yzG8Ah/VKq Wh+0We/A40tetvAGo65YJ24EcgeZDldOyit62SANm2Is9umDRdGtqI9UTyz0eSOb2R3mG8wsdc8/ K88SimrOuObOiWE2Z50OUi7KM12heD5F5/c4GqW5KrfF7YM349EuohYf1hBk99llhtTdj3wd+1d7 kDIhb2SzW4I704wIzwZroZ4fRwk36BB93qhmFkj7Nc/zGC3PdDOxN6KZAumZqZtqbPMk+QsizYpr O8HFzWcoCqST+U/P4YPvsnO+n0SM9CAYeAJ3QRo1fpGN3Aq+pwZ8oe/U541nZrk8u8utLh+sDJRg 48xAKPPx/5LMD5pc3urzG8vslrdap7oGdR2G+Vpsgy8gDkp00+Yui8vPklCd3QdfQiejW52GQ+BM neiOokaTeAKqsqEw7IZMzd71r12GifTBknmoILP4NdL8vHHMdNEuX0I61dFXLzhAbIrCGvTE+bNM PBX+uCZ9MXtuXiur2codbxSzr0goV3OumheJP6GOOuwimyiWSdOgEZi7hlzhVKGXV8Mbw8zCdR1A tfpgxTLsMZ7U5+0/bwyzu8y/QsVuMrM2P++hNORPCQVa+Z7U1U7qQekKq9G3YRP0HktfcNlktsrB 1xCkPxYyGuIUcxMIfaCv3IDMg+TjrQctvWtQTaSQA5VwbdBBPXHZm1D6/ryxy+zELV8TBMX8QoUo TVAYS8PmvdIFk/DyOrrTXVZ2eHlmUse7/j2WhhU6jp9CerkGz1YnGP6ZpmcoKVZOCCznECmico38 7fFk4mcEE8SCWUb8BBDH+UpksHyS1E3CFZr4+/NGLbOScP+aoU4G4LjndFiOXmBUlH09dZIwHJu8 RBGCh4IhHNPvoXRi0lIRiTcfbIJOogEp8MV6x4DHnTQf4NtLB3EK4QlVJtu8N1aZFSwaqeCsKHKw egcuRAZ7ULt6Y5Xp8emnNEttdGmu9e6xKJhGt+3cmktSxW01qwidk4/NVLgHk7aqLfYeTGfDkxVK 62jwM6jsVSYEGgW7myQMNcJDC5QUO8R37JRGzPZ5o5TZFmO6WglQqPXqE40lraKW8vq8McqUa4z1 tX5Y/Gg3F3sjlCmA6pQntsrGOay3yxOOK8JXVZtgizmMprlvCtH3GmwBnQvyHDRdO+zM/rR6EBww M/fMLjcQInDjjU92e1mQKeYZnYud0ftMuXxyAaD5vNHJ7hva/BC5uXl2eKj4Riez4IAVRSOz9EpF ARXMmkuCtbJcfPeNTmaXGHZYBRrcBpsgKq1Qoinjqjmrie16li6JXGeCYvSWoleN5kcFxT18sE4h lqi6OOcMeCOTGYTndnwY81ADYPgZ/UYmsyILyGT7XvKt6hLba4+LtOMPwwZ745JZt4onUFt3eLLV DfNXATjmWBSPEx+fYgSAzPsRjXj488Yku2VvMKL6HVQv62uL/XPO+M8bj+z/OaBVGsF3g7qezU8U SYOSf4J2gqAoJNuUuOJbyXYAnVjYCq5vLLKvehnNim3wE3rDtrNmzk/B/EizcGYCWukhczVSq71q VHCVIPWkfTlB0MRjZHaSeHGnXD5vJDJ9h/v7CqvUx8wX4xIF0gj2YWKXrCtGO0NxoyVFQL2GVZpa qm8UMn0/zFerKK31obQOGH9C5UXuygtOSgwH8E8Qcs2nFlT0otH0DJEPsg/aXxBZo9fLSV/n5408 ZlG0H9Cst6JbybRXs/MeQ0uQrctwlR0NF9sBmaXeniEkDbR+onp0UjWosZzIwQFSULBgEQX7a2VU XNnywTUERYvJG14FewrJv1HHdEkSW582Wz4cuL9OprqFZj6f9nkjjlmxbH7ND2X760WPvfHGrFjG yJnHD4Ac5G0LyLHKdCBHduvpN96YXQ8G4ag+eAw95vmykemiXg/gcpHxK7YH+gAoxl1GVN962ahc xkS1Sl2vuqPR+eG1yFr57OzPG23M1oKvINWO6Ix7D+goiAYqsjVHYYLarSB6LTk0Ec2xshXs3yhj dgAZg0VqnwijfqbIUAVKZ0mUMeC6hQSiJwVUCAoVQi2TH/auQcGsq+tM88VzSxaBenKuyOUZGJ8V +nljjFmM+Z3KUzIRx7bNUBREIyaETaKJxi52flUx6/2COpqX7N/4YpZEspmhnk/56vkMON5OFH+Q h82Br/mHRVJsrfOWZPqa+jCDxDe6mFWDePrIsEogvck04+RzjeUc3DWfN7qY9YzuKcR/jG5cKR5G v7HFbGLJPBzVMNBteiZG/QtXH5yGFX9ji+n16c+NK92H2zc8s1RAH0Nj9founj3cgK04yzVdlQ+G e583rpi+zkwqHYNWDarZQ+5RtIy1P29MMXvDe4mpsAFvLAqGCI0YRdLny0AlcXpXo+flyoPkKVhX A9sHM/TGFLODiCDfwoCagy0i8KDTYPFwlkozbYp84WJr7EgjJLZV1IkSf2OK6WVprYy6m4appAGN MV7EYL7PzxtRzDpjv8BBCCjavcreeGJ2QRPdQQomlRnoQdBAlsRRwVz13KbnlyJeM4qkseZxAhEd xOF2Vs+deHFlCQZGdPWCUXFD1RIqlN45xDX6eWOJ2Wdk4VnvYAWz2SePkXpC8s8bS+zWG76QZeiy gYJk8xNG0vM5fNB5nrsbEtodYc8LUAMYN9kbQ0w/pkkDVYpM61KfGjQrUJ1cQgd16oRQ6Rky/sBD 06lIeN+BDf55Y4hZ64YKaNTl1KBy0D4ZsVl3jvV544dZvewbDY1oJMOtyyboPZQGNWFf1NRizOiN wzHcS7iipqlqxxs57HuLoeJlg80QhJ2geivILy7o0m5nDFxB5PnXOG/oXYOrnlDLc2cVHzRBJ5MR 1OOkLOXzxg2zx7/hQaz945y1CQpr0gPJKhH+tEApl/a08rS7HrZfVlB8o4Z9VVwLXV80eO95wPYK Xq/4/REQFtXLID2IPxMxrmwVLW6+a5StFtbL5vTBsnkUl/Bp6wTTb7wwK/l/n0BoBp51fecnKkjj qgWfUQ0MiGSJGb6nLn/w8TdeX+snjKUTLzEVpMtXQRqt7Jy7VIahMrvUfMUllhlCpuvrRXDQGyXs q9YxyBnTYLnGiT5NPnePzxsjzFrsXm7VhQfm/C23vhHC7KlF5QXD/Y7d3DtYQDzQDZCB6I5/o4N9 bS80Tn3w6RknnGqoSoES1xcKokxVkdyjV16Yqo7njn8jg3VBICVPIXRZ9lRjATKuW7vw6X81nhcT FCDq173ho0AaZx4cjaxcX+gDzjCxE6cucBmDdO6uGNnBUpAKYRz8gD63Esitmbvr5MF05+5wjUfD EKHRPX2IqH8jgVkuxYs9yX0hmfvCWeZ76PDofX3eKGC3bf3kGR15PLWcND1RFN3F698uuziGqeSk ntxXoCEVVB7fQmw0ZRdrJtmJg01PRwgNAhPzeAgLL1E3Mn3HcGRkz1RZb8FnBPe7qvS0HdCAzzhf 3fmpAoPXjKeDEHHeEJFpP6JdAG9sgsIYGtcX2Fk6nZPMgtEOe0xOThrlyycqRadiIvnFh7u90hrJ 6TxzUrkNCmjZtPJp72el1myvGtxeVDY5a/EOXD+oREtOeCc+HXTl8/g6fRrXYvMsrIcBdKLUAoti lFowONkijYLLJ9N/UYSV9/hZ/McKJaLqgxfKIL6EtiopGdRdMOgHScXtR3m8wudqrxr1U3n6AGds A6cH2NBuKoIVT0fQ3/F1+oBfi9aBT08UPcMisgtO8T/KUpqE4N6lXVVTWKtwd/UI1THscK7bB5ue DaeFKU/KfELFhRYPiBsgQCGoZufHhCgI/e1RIVrLpkzC7zhwd40z0wIs1JNc9Ch4TsWvdvra8r8z A3JNUBg+w/S1tObG5axYivFUvNBRCefk9dWjSrRaGTmXO1glKCG4RT7BBYQGOOVzITGIEtQ08RWj 6RIZ1KP4GfJu8MMl0GFl9kAYH3aYzyuNbwXPB3TC5ZNEcz2o8cNX3ecoJBTS+gXUdEHLmuu9J3Zx hS2jJAJzjB5F0FOKpkZovsL1A3ZN4N0T3Uq/PEJ9z5dCHyoyjx7snWRfexRC12TbK/ugPP4sRPbD zi4reDoiXPoMLUmaAXrc/ZLvEasQJYLWcAplnULAR51pWSsvHdeQM0XVBCFiDyPoRczC1CE97yEN fMtuAEuxFEQbWJMWwANQCUFUaQIUrCb2qB69dApZKIR+z9AS6mPI9OUc9ng8usXS1zHEFjn0SWx+ ohAaXVyYXol3YGx/KSsgszTxQCKTOUERqGPxfD4nTvLBdtnZVAu1Q0pinSRg8FZvHb8SuFE/c91q IpYz3zW45UlmLn3dQTkYLByz2MyTrxjtMZ8gBty0U7nIqR4WpAfbhAjZSBBDl1Bq79BTPn9EkrpW M1e8HkfRReyVO3jHOQ0ARCiuePZVAbmKyClqXnRIrly7F56g+Ixoh5H91KgayEFljnM9UiYeqdzE 48FJ3X9xWHAY1Eug6+9htLpwXdkaLTqalYFgKo9amzRM4bdIa8UehdFbpMusclBet2Lf58YelXzR +aXSpIkuqygVIMWebstnD3vXP2+yrYW0HRdR4UqgCTr/Ao9H3NTvnjw1WcCysAmK8NF0zx01qbgB SJwJvDQJuyayvr0O1CNMh1c5mKxm0+7jAjqZdTm3MTEdoP9soYI6TcHBTd0X/4u4reIzokCI7KdF Pbx+TYlghNakydHbxuPBAprfDUPgv9kX8QkKA2ngTlYr2wplUuGgf8kaTtFAvkTX4B7VopewiYpz OHgh8WSnVA3iBAHSiqTrLCDUs1C63+tiOjpFcHuE6SAlp7fJhgYH5fEnhaBYBuQZGh7/F7l5ixkv DLIvoRHF0pO52GyWaqBE58Cp7pSos8eSdQ1HVIwWpKzQLEmDoxYa0HdLucb5vdZqokoRxzCQ6Dws DfpyjBAkbVoTrLVysErZCd6X+XJlPB5E05fFa3ir8zutS4QaUTiNCjjEIbRyTqIwk0VCk6tSNrhs ryFaHGE1ehrBJ/ngxzTqWGbLWWahFB7BiSSMbQBNq2dja9m7/kUBV4qpQYsIxX/RnNPm40E1el9w onVhIVzu1dYRgqSRj+1m8Q9MEJftsoL8TsAOYgXIkI/K0TTCwiZiVk+Rhh+Ue88Vc1bplCUnfk6h rFQnUjNThSZf5Ts0ZQY+I0Bv8nzGMvRdJgzUyXwnkR39/AeP/6udwclc9GNxmsYIgR2Lqq5V+cY5 sA05jma8bTEAwKxaNqJQWqcQJSswyCFbjXmcOENEKChwAuSL+cnkiKGS+VzzE9MT4jqM5lOXD0pX 9xq6pU+ugaf/dQYxLcFJNm66OqI4GpBt494S27qyY1vzNjVF5KvZqKojCqRnt1/6Dt5TXVxNxAWd lXMmRzQNILILbrsMwoQfQTQtH1EtOpN+ABcDDlTPVLkVdwFR4ScowvP/WkDbNxiNiDVFYSiNemLu jr3LImqQhTCK+0uctWQY+xGG0iy0nt2SfPCC0Fk9J68YIqueAHjpCFq4GqHt054jaJMtP6J6tPQ3 E60zNNgRhOKQcvoTKY4IH/2kq1MxGnIxjxRHiI9GOyNVs7lFl2HbBJH+JnAiHYI1QRE+ugjdSrly DXaLnXynkiVA6MJAY168X3RIgLwBcf1acC69a9QQw8wgXPDBCvawrCRDd/eBx4NIaJXvIwh3IUTK bYKiinSj94anYOdMoOPG+jlxdLcLH8qqfkRHkbTdYTvbwEoEA6FzAUIyeaspv+gyWRV6FbRQ5//P 2HVlRxIbyf8+Cz/gzd7/YIuIyEQ1pUqO3j4Jo9kpdhENkyZMu/PDyhw+I2I7s+rc2TDkoDOIbic8 ddfG0xFFI30H0nVJmdSmJwykJ1aNW28i9dZEbQqRSZOswYBNJ3QYSIubker2wVNVoMxypTkp0OJD Yh2TlweIRJmqZDqjd6961yCSVopRlauCFKFwBgwEikOck3cvPB9k880llPB/jSJ5zx0fRtJVDATd 7Ccrm9dUkhbHrAct2SViBYU16UxUOBJcG7xheLIxZPNbIsBts6zfzgIYUFyZTDa9aI/Lki8bnEG0 7tuLs8tBgSKYeWwfnsM+4/F/1TsYguBMSLdiNsOqdC3UUeqMeGBxt6Qgncid1wxtyqkjG5tRJE3X TSBe7uBnUEI1BfbjWNKA/SbpckoXmiWECy9jsjGjSJq6XRDryD7oDFqQhmWj/awyPP6vCWKcuOiv 07wiNKNIGudzgxnamQz+CT8AhelEJDsBrhl1ZVbMZkg3lA4XPtAGb/uMtFB7pahJOjkN2YUncj/f SUMhqtP/R1VX/Jr4jD/T1c3rvl/O5wn0C7nZFEfC4//LKYSgs6bhmcaM4ui0EP/0LNz4iaiJxFsn jiYdjpdY7q5GPkOuoSZmKJ0frpIF0EIF46kT9nJO0yZF10n7aFT0gCVwvioFBeafVWkg0psPqget nahhivnh4xH67psFJfmeW+6YURyN7hsgUo5wZX/bJCmGK5VtUoY4QWFNWgjXJcWydYXcoCcziwSo ESmCUWp25KA0UVX+4Tt3e9c/UR2NCGkOVjADdJyNw/MF4PGgLb++Cc+EtuVbkp5RKA0gdRd2DddY H273m0bKZmlzFgBWIicoCqUHYc/wrvHBQ+kEFW2ZbJ8dtnDpaAWdfwklTzToPdVAfw6fEewwWkfl RZ12Dpqgiu+BJJZzMuHxoHPYvhvznZ9/cQvz75p042LZ0EPLBusYw9HAZSN70hEdwToUHwIq44Pd 8/CZLYOeE0C4pkKRl/N5FMM5fw11eacgzK1XDY7ozjCoEAHMQfPTUpb++AnhBh6PyLy/8K24Kaj3 qvmJcB2gpp7lfzNVWjEhDqrJBboAaDf/kRlVpJfiQ1RobLD1c/bTQHy8JHa3Cs1uQQVBIoXtDSSG ayEXvWqkzsoUTOlGm+nmGdPckU6W3fD4/yLZYVaD9wYLaYaJsmXW0wBB8LpqEX6gahkFuhEozlDx TsDfypuMg19hECmeq8t4rEFghxg9cjToYwdWjllG0VRjRhVp4lRIE/JBE9RXrWJBncMaj0e4KZ8g IhfS7wmKoB3Q8e+F9CyJSa7LwxwXV5ZSstbqjCJpiPMjwyjDB9thE/2dOQmQPt/1WZfMVOGyAH1d MJ4fWXbSDGcUSDcunUxhNw1MNKCsp6bfue7xdIQMql8HUKbfWL9BYkgyhO33qsXkxvczP+dy89az Xok7LJTs2GIZjuqDXWGwfjizIxVbME6KJAeoP8wEDGe7sQzpzD5D4TuLgcb0Qcip1KQUXk+whqeD GPEqtVJ6EgBBcF80PysKopHdNlW/eQAJuAkKQqnadIB2dCuVrQjaYUK2lTolHGx/nZv43AGFpcQT Tq+VJVs2WPEC1hTx87d+9gqhHZvdVIq8atD+ylh4/J1brXg8Yhl+85xxepR1seMrLEaTxDLXtP1V skKgk6gmA94haTDoywqxHaqVDYsUbwh9joSTgxXCbCdMRfqirP3C9Vj01Ly1aF5gK6xFiyHfZKPR ljc0+t6FFxjUJfF4BC171FqJXODi2V7pWKFKNORs8zQcB0wpXbesVTOxRa3sTlEE7qBWEjCMSwPd KQhcGFClp5XHzOQn8wTag9rn7MuvbyZ4w2cE1Wh1MrLcj7KJe8IztlM0ulJ3Mw6ifYcJXDbYm/UY aEVRNMqIXV44dM6oJXmtbFnZA/XWaRyWFWKjpaDd8x18DZ2dsVaSsNs5gZAzYQ1NIpyxnx7lO6rp 4jP+qkc3KSg2t3rdJ0BMrAXWcxrg6egIukG0sGR0hryySisKo0EgAZ6jSmi8gf6Htura1Vy04Zvh ffkV0wwFi+rZBz+E0HmggZOKiYXEuTYWETDA/o2ry8VjF58RdQ3VOdU8bTcMhnYidbhRc8t4PIqC yleaAZZXYe1E8xNF0YlR4jKUS5u7ObKDBm4ql9Hzi2nGiuU61An8T2tRSH2cI4K6wfOk26MYfgrF DfBV6S9jS6gkeh2tCNqxyMUEN9gHxdGpdzbO0TgaeDyCdvxCLiCmWs8MhfjoTGPxLlxZr2O5/doJ pIoX7JcbZ64wkK5qydfsg6fy2KxL2JdCoeTORAw98Yp+IdvxqgVtdlXX33odCwoEHJYupHLOksE1 CwsFPP4v6Smp2eJzwEexGQq5hoVWGZRToNZt7k6ConOCrDLo6MlNFkKku1rz6w7e0kBPLRHMhVQV qpWUfBncawAwoA1q1TJW7FcUSSdGQMUUqNIVv5t5lKaNkzseD87p/Z1qNOT85DJogkKqYW+0o1m2 yXhJIxWT4B6hC6O5O+2KsR08fXAL2nBbPh3XmPQ61rl7Go2iIJH8Q0XvTMEOdlULKlN81wBjz3us Mz/QIPALuG3Gd14Vj0eN+W+KhjxubrVshRVp0OW36PLI6nO3rmp3PXKwsJLf83+K3+HkzD7YBFHt V0Zbs57pz+y/njNostJaf3a719gaQ68ahUIiP7Xsg86gDmqMtXH4eLSA8ncqhtvzAQftKJRGEApU anGUa3KUKy4dr5bR+JkS21E9emSDBWUffAWBJTA7YdLnSl8dDUgaQEO5HpXBcYtBcoDeUSzdiG6F QJwPWkAnPdpFMPvBx4NqWfVrTIsF1/wtBu33WBo6KGCqru0TlFzGBCxrg9m3mqepTu1QsKPrnufG 5uDVjnTWhThQSFTPjcNqByLGkxCdd6bxuHkbI2XAZwTVoCrCPC8zDg6fgjstfsETpuDx4BZLv/U3 qbVws9UdAqVxBu1uXiImzY+C9MzOM6wZ1RBNUIyTruI2DR88UoR18LkR6Wa0d8vyPjiXG3gS52RH im7XPE89fEYwQXTqndJ+ncWpRAkKxVJmQyC0Y5qhT5DsSAZ5a56O7ZBnOIHsGH4GzWnYhS0DDJ5B gDTbBIXIjqKCtGBmKz0rqNd9spYpxvxAyY8edKC2FChSoMJ7aYZFrxoQfRQAEVqowdIxeHNYdLzx +L80gBkdEHrX7gL6CyPd03IPhExOCDUpigRci8wzkrgIO6YZmvVK9cEBeOcm3URDg+oMpbLBvjMb SjjMaWRtZ1C3d/2Lycvuqw1KxmYf6midqxFP/wu3ILlNHH+re1t1x8J3KF7XMYwKlUzzBVSo5cp3 G6rzWkExsoPZaiI2iINP0PlNOtSmWHGFWzwr9jtRAgj1RwgAWL2MqiY7qkgnseUJx9BgwAX0x4Ts SAuPR6pBDnHFaiFjlGxuTVCE7EiFmKCh4mpnn1yHdCGDRq357WSfHSE7hCrLQ/Y047GnOcHHCf8W nSK447BRYOKLEA0QmUHkQpf3bNO7RlRMJWPpDpogOHWw4nWOs4LHo2Tsu+fTsnqzPkFxID1uxawx WR1GhnKf1ZOsotGn+QmRHeyJ1URZrpqeMOgsn90AGGG5A5c8QUSJjQnQMBmBcwGROcpXDcLEIohr mj5ofhJKmOZsOfB4VDFL35cYOu2FKasmKCxJw0vDEB340zU1OonjdNWgxnIuz6AY3MFAX6V7Dt4U A7euIR0m/G4BtEBhN9RnQP3c7WKn9tSrRkcQYYnQ9bLBJmgKh0IVFzwe1DvuGY2ZXQIBerVjR3E0 4v4TMuf9414jzsakU5MdQSTqcH4iZMekxG1h3ULDrbnCMkwqwLnkRRlb3vIZ52T9gW3i1ZZsetc/ BDuAhOs+6IzOe6lncy6cz45K0n19g+wzwPL95vJCw71PUGbTx6EvMihGEaLRCUSB9Eous52iSJo7 RXiU+gVLGTT2OdsMS/Dk+HXyawMBAbkYvHX3c4sRDM4PeZ+ixcVTmmRfGHvot4VuigQRT17NH/Av FCeZQaAYsBNgsxSyDs+yhGoxIXamd0vNDoO8QHWkENQlx5pY/o6EsdqqD95bHSkBld41SZBgSCwK ZdiiweKFXWCr3Y9tbxvhF7jF5H3ZrvclVOwz8Rlnrhqf/xdtnv+Y6ijtmaTYlQUFgGXiOOdsrcIp nti3G+oVrjxuNptCqLRmZ0nyf7Unoh6pTqR1jIf6gM8PUg6kgQ2VMt67BvEg1BUfEkEYSKdLDIgq iFoqxJ9MshJgCSrY4g8IYqL6LSgNNEspj3NNigrUqNAwKv0xbYrl2hTFfXrPgQu9eZul0M6QUtob 4bMNPkvIX3KXQA6qyRSnwN0J7iFwW0yyPXXd9rpRCZYos1SXD4algmQ7f8dzUPD54NQefu3zLAM+ rl45V9paBxkLfAVBhZZTqJzEcCoNmsmRloBWq59KUZlaWlRA4PngiDx4IKWlCtqGgRnYJ22ge9Mw OfsWiPKmJjA+5C//iMwmjgZDe7Qy1b3YsEdIIdzjS/M2U8Pqp8/HYiOFperBDYcqFzUV25JT6Mlg hebkngcYUZMUlqpF9R3yVR2P1ADMgdsmE/lsOPTJKHE/1jmQziIdP0zqDDLE259FjiCUY8M1cd9x UJK/0WtjgI0UDc+/T1LNv7CvW74pd47CEJteWnUZKGZAQ4agGDhQGigGihO+kKIQ2wD4TZF2K09T cZ7ou2b2O07ImPkJOJQoCJqZOF/hyTHtZaOOEBFniehgDj5HFnKg+Mzng0rI/I4BWOLMXzFAqOhB Ae7m4oFF6smEvzZHwuKIdK+WFNarlxwAWNHnYJM0INGWqT17JgnWcqyFDFQv6gSlNfuRVKjyxA+J 2JpWJpK8IpGC7HkApU2Wy3mIz0fwxTtJJgdbf5mOpSjWXuBzFfTP1HylFbCET5ILwyAJyu5bF0l7 VFUb67jDRRANmDGTkphhJHIb1PA+wBQRore+DIxTbNkio4TdfNBaOifdUDPj5H58Pthv937Dk4xq se19kmKFPNTSclWY3fZIVjTavSWry9bsWu45xRiQ9N5fRPXcyGnnTEpAAy+yOagRlEDnnwYiKnR7 5IdEZSOiP9IzaI5gX0eNvPPdTD4fJbV+JvGIptXbfuz9opgbUewJ6kxBpwGXYIW1ns2+7vwIdybJ gQEiYgkqL2Vr5teb9o+UT167RegQOYXAdEQYIKX+MCEWJ0i2GzlwQDRydIciiQ9We0R72sr7eslI gGB/x0nYvChX+BxFETcqBBIlkcEoNVeV2E4T/wD7hQup6wcFjWputjL78MGLRzhM86ZiME6TARdB XEIQTEMISBbMdyc/xy6ITN0KwzYNVhzJIJXx4B6VzwcR940A2A/Ah6erRpljH8RCd+Np3s8gx3l2 W272v3ptPkmh7LT8x7TbytduO7f6OhEbk30grvJ2pTN6SI0fwqudGuRv+wdmBvyfpqEa3Rkrl3sF Jqd8PmrG/iIHAXhS0jNJURl7wX4D6H8d2/mChVN5ZE3HSsm3Wxhxb6sidR8MlkaawmLr/qykk6Yn NSRBX6PiUKPZnwrZ7FfnwA7RtxsMB3xg4IzebjIWcOXj/xJEEQwb/7llthz6IaLAcLLapEIkRDTM YR14Oyv15zrviRTSFPMQLP8ONkXQQjkLtZso5bmDl2jAuMWRE1H63YFFigBCR8RF3HkvBiKmRBST EhbbuJDgqRlaIj5u0JxR1IRLf47tSIMadXpktdpYJ69aqrVtlke02Qp/RW22ONy2OHv5YJOU4PBd Egl3eUIAh9yFBew5rdaZydmJRNGYHJgi6mqCKgojSg5cRyeKt9z0xPZ8PGoZ/TKuhXjA9xSFVMWU CQ1J4nKCp3IjyXr1cUGhNuvaEBuiQn4n0Y6DX/9l4DsnRLahtkQLToBnsHyQrSJsvmS8Yq8bxUho yZ4IvvnghZJEtj7hp3w+OLbLA+P7YUkKbIbnSAq1PyCVVJPJLkKZ7orkyrUWGnGZRGVNU+ToImfW rJuLw0VhJbDBpixv9slQZS5OzXfAOcGRdIfoWu1to8uNVz/aCjbocssAO+q+speM0PrfDWyA+GAM dicpLG1PYGQlNwRBxmowoy38IDO3Qd4IHUgDf0SPJLMpv6dxoXxQ+QLyRA53fVZuzNZxEjV6Nbdy lQYnO7Q5dEgEawLOt/SW4mA97HNPyOJwrsznI7zjL38ycvz6EyaFkGtqVe5kNqQoPwsnMmQa0EVb ugvpb69x5RsaHCiCZgayP6UknUh3pCRAcUNgolJFRjab8tIObRKLLF2m1HKnp7fpRBi7Ss2zDD4f pCTllxEgyoTlSuPn0CkR7P5OHrErohXDq7VlnkpkVvkkhV6JuHeQ/Ita1S61qoHMcBJO2tWXc6lB SZ5eidxkdHRrD+Yx29tG9gqMkuawwdJbKOM3pbcFCylwSwTL+wJnOSE4jtZtZufQLxFCvr0Wx6yd pKHamSRxCiS6kI82lnkODRMHvV2olWeDB9xQ6z4nM2fp5C6LeXCbXHtUX6a2OatJ1I7ghwRnEhv+ KfFo4mCgIyDgBwuyZfL5iCf8y6lsyDr4TlIoBkJt6m1KRE1AaWgSbfoOMQQAR8bnKIy31WZU5pa+ Mrfzm8AFUK62QDIsztE5NDcK8f0H1DsdSYWIYX5IpNqkNoCJ7px1wTZbpjogKVcQ3+EPiALu9p26 oejXLxs/h6aJiY0dOmWiDwAfJB3cavpR4LyyfKPtFmOwTRZ3+eDNkl7POdRIfz9/AncdW6ycKPln 0IGBfRb3Jq32tkHjv4ijJzulVb2cVGBPurnfkN+Gtom5+iQJW4NC+bx1ydA3EZ0uiDC4TGwv5oez +alKb3f19DYwTnSZ2EJYmobbUVrAVRLJf0KiDT4ROwXkOS36pN/WNvXRcmycmNVvIymEg7VuT5hG NgeMePl81AX4rt02aSA8CymqbyO7x3HdL9vB5ApSU1lJpi8O0cqhdeJSqN2sadz8dqsLTYQ6JF1w YtRGI27s8Ip2DuQdljPSJNOYQ+9EBlBI+ooPjLj3gggzI+6lx6N15FGSdCCUJN85iiJuiLVAjUHA EfOHNyHC5GDszkBQcxQK7fHYLlUgm/oIxlZUYQYg14gAzsG7iELaW85K2Db7dkrWtLcNQBJUYh4l 3UELaULoWZyHNfh8EHBfmA0RChARLvQjslmKKtwQpGqtj2o4kmWEz3V2w8WR8LjWJMXuL2JVs1zC 4QJJThAw0ENCnaSdSJxI7M3zgBSLfn07KP7EDwkmiXDIRsKdBgdKlFpNV7fx+X8hjnmN4aZ7sEg5 9FAEtoaWxlZxa7eYlGjZLChJYVmy6wdFPTcDSSQfbsUtnSlaBPwlGLV3eZbTNrR0tvcuXGv52waX G+Ptqq4SB+42FGOG9SUnH49EHm4TIJFtxYGtCJ+nKOROiarn1U/utm7RTYpXOpUYM3X9oD/A2T1V NU3coIXSlnCiMuE98NC3Wkqg/hbo0iDvZJHw/A+sCH5IhF4vol91HwQqabWbFkbNfPxf9SQ53gJ4 eGlqOfRTnBQhZl+PpxLNJcSUFaad4pYQdtWG+8NQkX2+osOpPH6BIEqe+Ei1kjPbpkuTaEsGJZfZ f8Ozc2ioqFgSKY0POrjHlKr4edHJx6OA+7ss2UjDuir6OXRUxD3cOne3dNPcOLmWPCxzO+GyVyUD R0Vg/1TfNq+Ka759wu11LnvJGYBtcpJlSTkDBFZJQRiXbT26vWwAA6D48JDULgdO0QmzqqpJLesd I+m9/HX/V26y65ucY09FEFkWxUzIJ1ZNe4JDuFwpPgP7pkAyNFVUwQ2JuA8OTQJqpDSB/M/nnBDD pKyRVWf6f96+ZFKDO7RVJKL6HEW7+6CUZOaRmL+D8Mvn/wWyrWqHj287hhw6K0KauWeR9ygccjmh c/kRft5mNl9JsR+MIbi6Dz5N56qmhJFgAEsC3/DKgfTMSUro26WVtHOztw1CyUXFbzRZbLDEDXIJ YshkvWS02y7YnyERLn8G9zZLcbwNT5id3EN5NZcCXXlZEaBVuGbYYoolreW7qf7kA5Y44fSYu2Yx Q6FuQHw/dHtIVKQaa73lpLzsdf+SoMmsAmiwUgkEtlhzW+jeBi6LiLh/MfvoBF6eHRdF3CgfNeuF AFECkU1ktecgQc2ffYBFbCqvttBmUQz+JEh7+oK0j4TqMIsH8LsfFBOu0E1iSnvO0H2l1Fbq9rLR hmMeMdS9Hd69RX2ni8O/USkJnBYFMnqwSVxY7arx5dBqET4S53quykVwURs1q9Gzjgf3+TVNpycH VovIbjhHqpOkp04ChmM+S0nkNZg7V0o60soadaYfGp1bG0Alt9BqMVe1bQVO7r6ncSO0KYMqHkoh bPtxw+XcitV75ygscCMrqereMiuZ7qdc6bkpAiRpVNptYcCtbQabYhtuoWR2KOvz6O40nyaBDfBD 0NXPmTfT3W1p2etGso5M3aZ0Eqaj3Cq0gac8lVfn8/+SDOMhTS2lcSPJ0HARFdBzem8B2hDeeMmt A7akNkCiLICWUhhxM51Pg5JGHLx6284MscpJJu2JcckE7EjbqJi/1q3e0oyZHxJBAYm7mdK+vM0S mIR2QovQEePzQSiZf2mKZJWan1kKIdxY9mvhwgBXdAkL2EFewdlBIXnywauWUhhus7oPGxUfvAhw QtqRmRFM1APYpqwNHTigfKiB+QSTJCLlwHURMHUcSpUlIA06lJqAYUC578nngyjg6h4oeWu/llJs uwhjiV6TkACtLVPxORdev5CS4jKzObBdVBIIBIBw7uvi3DsAiRDrHszd8rkouwhveN/Klv7FS7Lv yw+J5ojiIkstyuXlGJhvdIaWtWIlBb6LonN8MSLJ3rjGTDk0XsRXg91dnI5kMhp7w6bAESXT89vQ eXHJ3V2kGw5+uc0E+Wb5nq110jYKhidypAdLB83ztqE4KbBexJZWfsuiUr3WQ7Th5W99tjYfD+62 X8Z5RCeyY2NTFEXcMD2GdaQDSorL9qQqnhsBJXN6jztwX5TgO/ULmw82R/2spFWnMZLyCUslMEIM LHpWBdNqFbey7G0jrARBV6UTnFxQNWIqn+GYzdz0HHGLPyBourVf/os42eYDBAwMGI3XZtIiEBkp ntImFSKIdB8EuGi3xRXuq79yZVjs3IZXdyLsJkFKEhcqam5od+HftUcHilqGOXBg1N1E4FbxQZsN XT5RGwfAEqEFY27fBxLVv3AC+xyFwtcwkKEUgDR5ad6GTgkNAGUgs6drifmHvGeeKBHl7oMHACOd TU+I+AT4OG2BJUiulzfAPbShHq4P+Svaxongg3W4geVhJHnCPD4fraNfgoYottUnkgx9GBGdoC9j JjLnT9vWkeTPmLkB4+iTFCJKeGFtrEgb/GpbsLaozEnOLZc2I4UTRJ7zpAEUTTE7x7gte9s/aOxA 0FUf7P4/57Zutp0qn49qt7fAzbYKOJr0xrJZCp1kNq5hnoAkSc7uciOtmcUnxHlRdtcsRQF3Z5QE tX4fvN42QDpHWfskJeiyqX3bMy2FUZzkgWTkm+mvG8kesXIPKx8bNE0F8y+q7V58PgInze8EFxD8 E/BfcbEc+jJOWjkkK/ojcHGZcABMtOXgYOmJSWDMiONNAuHMT8qXBC2O61SL4Mlw+aQSmcnTQbSB hqnf+mI5sGYUJx2qRyX7YFtusptHvMTm8/9CTLJTgeO+5mtL9HmNkzJ2akG7ZA5n3JbsZYBmyqLw EkyGdHsNkzI47bQpYKNCg4dJHSG2XODrSQRqXhaTnykifgHp3ixaSQglX4Ok7LosGSxmGzRBgGCy 73AWKp5+mZ6McocO1Kz+Nr6GwVOb30owOcj7wbXpBkvqDwRgEEMKYGzty6SPXuOjjLo2r7Mtisb+ wm6BqlvlinaSnbOUlgQygZnGJzDsnPkL3v46OSeXaMTbsvanQVXtE2TJmLGgZPs6N4JYam6YmCG6 Rs9Ac/MaGeVs4L+y5I97MsxsrtSrkX5c2flHOIepeQ2LsG5YEMFF7oOmZmQKI9aW5bXTIVHNFhNA pY1Q4EdiHhfG5zUoyuj+YGrGpOMOB60bXMZVcjW7fV4jIkzs9nXDNc2a32a9FpPzGhBhV5Ee2Yeq jec2cOG+yrKllCKSA2xeo6GMJh3p2ZVWXxxsU50o9GQEYpCe+Pr8KjLcS4BbY9HSbtlAyGz3vwZD GQ4XYh9VcZHQ1pahEAo67APBEfPzGgvh9zQ2m1YOuXmFdWy6zIeTQ06CU5BzMdEaiMsPpyAPyFTI 8DRYOkMM5C3Jvv24dfeFzuWkqmFZFV5xDAMAnyBqFCI2vqsoGvoaBuHIIZqmSLGvuGIfcte0iEGH 0c7nNQjC08W3VdXphF04bHJeQyDsRfjR48P+q3jdMG9WvF7VEtfXACiDW84jh1xrDd68HiBPyz2m nSQGICpqjFD3pzEQ9gpISSw4vsY/OB0pVpy6eCb9elGjlKYuT+n78xr9YBVYd8IOZKht7e776jX0 wSlOaxTKmYCYldZ1Z6J+lYhZfReTvH4NfDA7ZNJWiRnWR8wQhJAT41aRIGFYSYXiE58kiuKuH3To PGElKPs16sHGKuros9vIQVHP2atVfcaVx+c15sGZNX+fOigMgLmp2XkNeHAk10cSAn9i4/esnbVW NxUE9uRNjTc6kknsK7I/K4/9GYqWcKlkS7/Ws/3YTUY8iCIHmsDriZ0XxXiD22qybQZTLx/sSJ6t qTiIQvVrpJOFOtTkpB/TKib1m3PzWlrEvioTLfxUftFnOpv5xQ+dtqvpHrwWFrMZWpwIVByadOWx OgxNYUmDNB5170koeWso5+NC/mFj2t0FOwXEotnhmmF7yQYVXxPUkJtmZ3xeq4r5IYVoX/GHoEil 2XktKWZhbc5Z0y4lxLxRIP4+i7fwASyRrsjfgQ4LDxpsdhLC/aTWK0h9cqkGdBoVfBQdwCJw+LW9 anAms8mRBglGHLSxAOIeqpVBPCw6daZfWDy+oc5SSJplGBgFyehYofjnohn9MmbAlP8xh/fi6nPv /LTsrbJieuCPqAhZV7QHIoBvQWWEplVQHab7JfA5VzTD3jVYPRQ56I3cOw66s4BS4gXWCJaJ4uRl Pgp2oyP3kke3kMzBBKFzBIVBQ1032pUB4Vhoj6Te/XRHnXdqGuaHomoo7/ngCWlBNk4HuAl1kgGt DOyu8/V3mnptwLRkF0NCyuedmsaTmYEgVdE0aAEtb/GeoBPA9Oho3utrf83CEtD0CXqPlkFwQL+e 8SbK0btnRzeWZOXoWtRSJN4qmCA2B8+8sIzKwS8uiBP3pbpGAUq6qtPYeLeeUJ2eBGojan6ieLkr JJQ7bn/ccZGuZN1GqI+9B8wPuSHLLQawpApbaJugKGIG6bhXCc2RS3yhjZ1m5NpiozjjKoqZu2nt U2XW4GGcISg0IQTk1T5ROdvUpSnmPPXDC0+3F8P1zzsljclWVQpafLDr61xeU9nWQksjDJrvDBGY jTth3AvsnZLGw3VTHsPOaAsHKWBYiyjYyLf8jH6npDFxw9117sLugx1C1OdjCQnd+A2cFSexp67y XSZrT3ts2rsGh5CIDZ1q4Bw0Q+ifi/659vi889G4RdP3IQTMYM37nkJR7IxvESxWFXzOaVRklYvN YQKGZwk3Cw7f6WjZ5eRrk0FluxVWEGKQFVFOvjQYKUmwl34SdFB4TmmrQr/HzjApRkpBOU0bmK/D W4d9v3rixM87GS1Dnm98RUAZ5ZamLjTnJ46eN0RmDU3VpmTT0ajXWmKj/lE1iKJn3fIVrHwb/BYr UATaYqKPc5guoR421RrxX/Mxf2UI9E5Ew29YL0bfBv2mJ1iUmCFsZj/vPLT8iKudr4LBPbtGq909 FkXQuKI66kgGiiHEidUwAqFVDVN3j1MUxdAq0peujli/HbFz7E9q0CVWVoES30y/EHgWdHugWOHs IeoUv7PQsExHwEIbQ9BJ5LqfdxIaDrHse0y3Oj6zpFsRe4+jcQOB0FiG7vfOk9MIjXuJ1gC+B0B/ bIhFgTTqfxWkmjv4KZThEo8yDXCM4DAkSrBBnTRvdRKuC/Voetf3GSKb8YS1St/HZerns47kSdAh QxNF0sv0F+0UQkWM3BJNUBRKQxgKFTzVxXpKbK9uduez+cLBgNbmJwylCdGrLONqsMIY5C9bAS6P znmoH1JFFZEecQeg5LgEzZx61Wh+cI8tmhlr4CF0boDE0LQSvxCF0vlXAt8BqRj7Vg2jUBr3PIQ7 dd40FIJ1BqXuZaDCEgQ32DvzLLuYPJ2sbfA8rMDQFKrSSFJhs0JXuE0J+YIaDE3MjQlT9KrBGc3+ Tle82LNDhYAZkeg1JJ8+77wzbLDky0eWKCcjp5eS5ieKpHGFdAreKxMTa4gKmLU8/rjVgMLvpDMu QzZ1trie+5EwSgskusGefIU4NNB+J9UghR56MZk+es7NK3rZqCRPMH9d2wcLpc+3SdQBPNU+75yz LEueJxmj5lYhlpozFBWe5WHu+t/oEntbp243aDqZAKrYrDy/x9Ioz4nYIYmH+kg8nCNoVepa44wG MY8ulgNI5oYIMfevJiHVQt4pZzcby1kShrneRiq62YSbnZD38044wzuaJZBV5glYvNnYO98Me2wC AOvWA6BBV5uhQrM1VslOUm6svHe+WRawH97TOqPLc0bDKnhmgpgBCS+JsIYGt/czJ1T3BvVJ4Bd+ 5OedboYFnyTsMJYPT3lenhZnsj7vbDMG0+nrFBqsRVa/59/JZjjaG2q37AXwFFo8pCE4M0b3U2gu wyu8c81yMme4KgtGDt4YXOfL7lPdixMrJvbeAdUiNQz4kXKV+Qapne9cM64BFctm9sHKQVAclxVM mp93qhku2u1LiOk0FG9OfnhnKKxDD/rGTLMeSirSD7R3sheEmvToMENRHVqBUKX0hIbbFywnJ0ap CxWPk6G2zmsM1loVzHZWXlWkl2HDO88sS3YaWYbczLu7opy4IZEgB/389XnnmeVH2SFLswgxA91e ND/vsbQTOoppgePEdh/zqaCRdfoy/Jx+D6blh44sQ/PU5j2FzhdwspYlretRa9cSmhA2xAUKHdSn JLT0ru+HkORBYAvpgx3TELblRX1yms87x4wH7vchRMPN3bzJ884wY32fVijN9PZxBf+4O2WrHkp3 V754Z5jh22XNrCmvb/3WzEZKJ2ah+x8Br5QZUtnxnHRQQcN9+eXMxM/4a4KKoIoFQpYyWT4bhTJa CY38/XknmLEq7Fc9FVeRHhDuoBkKS9JQVpzaTkjgetIxvbuqQ6AF0yVIp/R7JO0Owk1yDu2Rcxio j8Gae/AeO+HIFBGdSBxwkKmHJ2SZzPPeyWVZjusnT+WlqsEL9tnQd9C8eieX4fE1vrYYkVe13i0W RdIIYpFUSE4eGt1aSxuEf4PepeHWXu+sMtY7cM+38QyezlNRZDS5L4LLLx85aLYU1HX5pJ3RxV41 WECDqI3Nu4yDIumzwEW+T3l93jllWdYUX6kYhXL6TcXeOWUIn/q4Us685tmBJ0dnDUfd7dG8yxzG 0qy6UoLVBltAbZaTA6HUqpLZmLzvqCLHRjyQLx4HEbvxTilD1ZQ5Rklz+sAJwl3IqjtSos87o4z3 7PzOxJCXtVu1fyeUcdGy1bud4TKvmPzcV56wQutc8xNWpZmKdRHK+kMoG7VAOrCSlpgG3J74T3D7 k5GY6Zlm9JaiVw2mRwdQIvGuJD/kgMLSYkIV4fNOJ+NllL/OHzQ0RJTR/ESBNHzg23ZE68lYraAI +K93mkv2K/6dTIa1zzpZIzpZg00PyK0woRSEA/1rNc8AmcwUl5jjHtAgMnzeqWRMxXC3Twk4Txdw 3pQXVRTd+Yb/Op7VlYbbR73LJ4qicRw3wJZ1/KDGruMH6j46fiBBYuKW7ySyu3raFtp5T48RB5BA 6Gbw/srg/gpmBgcCMvshTe06qVS2eOeQIcugvjUUezjgm6gqDMJ8lXCwlMvnnUOG6S0+QdyLWIWE xWmCoiBazfhm1flOZxdho7Mqr+KcdBPbeyeQZXfOQ7/HB5uhefbpiUx4gZ1vrNTBemtDywQVuZ/5 FIKK3jTqNxMWJXM4DoavL11WwsDlft65Ywyhy/fx0y5dlNPzHkGffQG2BLFC6mjIyZwdDRL71NEA y1oLKCpHUxn2nGPpDr6/oP5dpEOAPyc6Mp3bFWX5c8H/0GjQemLUkX9njmUhQSlkcQfurwQWP6+f 0evnnTeGhZDr1/6C+SvEyH1+oggaFSPEzVmVRND5rZJIG07DcqC+yErZO20su99QrVwbHDwJg4TC yGJEsRfW5FgFKD6vfUgoOyOqb71sFAAxNVj1DnZAN6ub1XOqfd5ZY4wP9tcMQTfwZP+eg72TxogF mnSm7IbnkIkO7HG3GYDkzEqj7q+wGK1a2ZCk5SBQarLQAerEYLiBev1CM4umeQiUNysx1PtSGj+S 3jVI49VvJqYNkI4qBbicT3zAaOSnn4vi884Y4wTfJIMmefjvynhKcxQF0ZRESdRUoC/crt3rZS25 pU5r3UAv74yxLEGMChRH9cFrHShsAR9FNNnJBwBcogcN8Ifn+CenxSSIi71rVAxiorrVHINUHLHL uSC9ZtdmzMzng312U3n2mWFWWdHntSmK4mj0G7vUDVgwG8W1B8yAiBqE/NI5RVFJegoilp/BM7Gy IF9Jta+a8k5FhgWquZ5/t9LV+sCu52cEfR/pVy1SWE2+OlG7pS7tk/Nbf97JYnzF74sM4WmZ2wPp d64Yb3qgX9Ju1tMAX9Boh4SUSO4bnEgy6t65YhfeURPjaQ4XTw+g4SJZ9Px92YIvnEsZbcpC1OTF 0/dtL/s+Q5VSMa3OrqGJ0IRMyLQ+z6X7eaeK/eqM8SSiAm2/iM0Q31FQtW9dREM4i3TZfaxFvcFF OVSUMojYjCJpyx9W3T74JjuHNXB3XEHlBHiNpMSJNik01n7YtLbOIUoKn3eeGGMhqcSoLba9LVah iZZ0UvfxeeeJsdzgdz0r/Kgp1Qv3faeJYdnOjbPnOn3ktazpQwlKOX20aqL67yQxHmYyZ6DGB4fb NjyBNSJ0ulNS8pnqlYjOITvww7a6IX4HVEY+7ySx7E7dTexVDgIIAYA0FAyN9XmniP0OpvEcBach lWcz9B5NK4IHlCDZbZ+H9w0hy+V9Q9I7Ue14Z4gxWRVmkyRxDjZFe8Frk/yHWdG4kNf7piUKcPXw Y7jmeUPvGlz2zDboq2KDYTdPyCV8xzrR9DtBLMtt5iscAm1h3nrZOz+M4WL+AcpdjbGzI5LrVq22 q8M7pqdj7/yw251vMp7l4GvonDwn20b0en7K2Yp7GkzvfAhuLoLGla4C48B3jSD1zFOX2AbL2QZn g4q7ms/l+Hknh1nF/5mfhQZ3WXd+ooI0kHvw9pDS6TlNp1gZ+ywf09QFxs3AHe/MMC7fzDKZktaH PgcLi7orMM3Abk5c0wLrJRqA44u4vl6EB73zwu7szE6g4uzeeoOiwTYR3T0+77Qw7pRveCs67XRa s+kJsR2TBsLJjOFm2RfbMVywetRtd/w7JYzb61YwbHCA2Th5NyUhQcA838MQ7r4DRsA4jdnqeO74 d0KYIQ/Q6qnTB66e86ZLfLBd+HTUdv6uRgPSePJVb6u+c8HwVCa8zK0q6uVcppOIJ9td5wazYuI7 FezG0p3YMQ0XwQnPi0UEZ+rnjpfkeUU/KXeG2Pf0Iar+nQiW3YOh0lBdg6g88BJniHfyj887DewX dIp1ZzxC60SbnyiMpmbOmUjTOit0MGQu3+k0KgHm4tadLcRHE/HSqKKgwfs9lH8pdIU5wRCUqLW9 MDULnUS2M5qKZUnvGtzwKtODh1ceOt5ZkZMiMsBjZjwdhIgX4Mq7DqtpZW86tzCGbgqsBGbtklVH rWzyzpSLx+3Kt6gWnaoMF0V3Svu54JFWQKSa/uUDbq8SQcPps3uWv5+VWjU9UQiNTQTZV6ITOWj9 FCsFnayKTwc9+fwNLWtmcmHT08MIOhP/a53BRqcNqS0A06paWaJWAkkr7wG0ZDVhEEsWOAevlTXy TJWpnnU6m0JI8qWJhs0Xs9BZK+sxjVCN5j584PSgas9EHhpjeDpC//rh3OSBije6tJUexc9olaPw qbYFKE86k098S6AQahEgAmp39QjUMVjqaHk3H7xSD/jfrNvE36C7xGQf1Az66VAz1sQoCP/tUSm6 EhddoRRvA3fXREekKmWYeDriPM2vu532S/xJmp4wfAaMu0BIW4LUbT+kp+68700NcNxePSpFKzg8 WfryQfMDwlSjhyCRm6AjoN/aBto6sEwy9RVj6hIW1KP4WRr52wec60KpnltdVJZxUhQ8H+CCfjFR OT3ElNochZTCCjSZuPHgz/XmAXQFAVzAsj6pCtP5c6IcjN2EzL6zwDM/Rio82XOVjWk9id2JyVDo 6FhKDb7oXE2OLKP2a48i6ELptz4lSDVvCHRioCpo4QmG8HhEuvxeRQWcDSiw2wxFvEIYzrbG7gIP IcfbnZuB9CcqLACmyyy+x6AOQu6aqMztIbEsullsIqcgtACYIuUFsvpnPwQ7mAgFy4k9KkibYcck /BcG5tS4xRLKBJ9Cj63g8eAOW98F6UEvoxsi9jiCRhe1GdQX1rgObuV28IZPMQOBHnILjc6ckw8O nErQ1e1Ngm+okVGna0JPEAn+z1y3nDirvWtwxxNiX3ebPhjSeVepFeOrwOPBAprfHR+WTMblpfaw Ij0hiUeTGnHEsPBk/UrlESorScGWWywOonkM0cBFg5c5KH0sZiqcOjIBRCdDbJt28j+Q/3MAOSWo e1SQVhkomZrpcA4CsFjJdMzGxOMRS+O7Ywgr4cqfqAl6j6IB/mXHWfobptadFSWKzEwVU4gH0WCx R1E0tAcR/wgVlC4qCCrWlZo4uudPQNFkHsxyB7ZYuj0f+tjjM4IJ4gpqovm0S/OpuU9L4mueeDxI 4ts9gowj/kN/O5uhCB5NCsJoSSg76IqZHn4D0IeH9IlXgMjlBEWYDqVhqAFp4CtgBaGfMXumbRBM ohrlE9rCHd9QyBv7on9xQ1R8xl+4solQxAZtsX3OUAUy55XxeLSCvkUDgNcjStYnKAykO8TLiOgi R4O7GZWysa1AffY4zWMZKUa16MUzFx4QPniegbQVwmusJJ4bnpJQqs2hR4NEyruq7KzgM97PoM5u 85CrEgfD/55vgLp7ZaSGxyOC8y/QAisOpXu1fkShNErYcBzzWGhk1+WElYPhpuiUzFh6RMXoqYaz jF7bY/SKUjRsy7dxnNMUVJjbGtEj8pxbax32rlHThxUy2kBr0BLCIuoS5cwZjwfB9MW96Ow6Bwma pjZBUSyNZLqj8alKYiWrkYHQ7NNsg1oFHoXB4giL0VP3vFZSe/TdG7rNZZCBgL9PWR2fsoQk/xnt wl4WVblHzDXEKU2FGBsMN4Wyg66hzcejxvMv4AKKW+1yDUcIkE7kGiZFP+fEnNm2GCX9dM2Ts0iO fFSMpu8ccJpLA1tOWEBnLZ0NUESSB6luiylVyfJD7yRf5Tus5oHPCKCbXfR4HywmBpooEdeD/i8e j5oZv7iGsI7O7d7zIwql0ceAqKvxoAa5SKiV1U4RAaB/gWyzBRRF0ovXV9tVAA92GoYa8+fv2DZA 4xkX1RK6EyCRBrGy+dzyE/MTAjvEkC95+sBkFd+ASl0ndMDT/5JYYG9xUPPmHkBRGA1x/k4pUiFb S3JkKwWzTZ4DtSwdQFEcPec7shX3b+q0JmOlPo0p6G+lW1giLrTdE4i25SOqRGexNwvlbzpL2Vs7 bKxB6uo5PzOe/1+qidxh+VaDRhRJI7xEycaQd6WWbMi7Vvb2jiroktpiUSRNi+2zwXbx4fZ7zk0/ K03dakqzUiEJ5rFwCwXD6DmCtr9rsMWkl7TyHXQEISYiEAb+43g86od9p2IgQeX1yHSE6OjB+Nkw 4yc+bT5B8jFgQwzVfJugCB1dWCiDdLQPfomVBielbPJAk7yMKlW0rBTtygORgo7PiPphWEJntWQf rFx/vt8tlYA+8Pi/KBrNgLA/RLjZDEUF6YaKWdtLvNSTrLK0uH7WFt0ZJYndq6+gKJLWLW/AKOxK vLoCRViVmQPXXhnKWz9UmobK3LmSZ3v0k/Ad4zOiOIhSJvRc1qBDCLJB3CFrbTwddcPGV6ZR6VFU PEwcYRwNjbs0Tc4O7jHZoqA0XVEAzFK/5ONAWgI4+w6XoYGCYuUlD2bobln8AxQ6qHlKcq9OaZLR 8SFBJN3EPGBBusLeXPDxmlYV2GyMvfB8kM63y4MSVWx+C3CNuCYtCoIxDYuQeKRiwl5NFaGdXIJr hEVpSUmKF87B5ugEumcbbEqT1t0XXG+Qz6NbRzWcXOhSzqI98EJ82T97qoUIVw4KFc8eI/QK533G 41HF46ou0PaKXqHAAZoiTliYrpRfGYIrdKiHS0Y6gR7u0A7U9ZmQzSiaBhIF/UJWpjncaHpPOEgM 0TTWqt1sFTPKg/SNuRgz5hszRHaIaEgRVw2GMctFbVVooOHxaBV901Wh+AMglk9QFE3jdRtuYxra NRiJcarOutnkh/FLxv2JNTRDuiHB47Xosi/PZZ/bgvujmFDnMkk8sRqlVlsCiYY+QCq8IgPEZwTb jCf0TEpY001YgbeXR/1Jm/F4dBDlr2xjoD2dLopzRsF0YtFhM4QGXJqQJJA0To5gRcVK20fusRmT DRkMyZWsPq5kJ46GwHYTnbd1JBxUnMqYUBTOUBtyvio1BeafhWmU7LMPSqtOMNqmuE2Tj0cIvPF1 01Pnoc+7waJYGtxI6BkbX7V8qVJQVZmN1YRLUhP0d1m6DrvPHpg0PEczcPqsuu7dSTqAwxc2GJXl H74zcnR8RjRBTFc7EULiBHGCiK6RsskqeDxozM9f8Dtg9mu+TLoZxdPY1r1NU1RCNbw7Eaqak8tJ Chjic4ZCvbsmt21pv3zpdpxjAXq/i1sMOTtsEZGvovoKq2TU3z3fWPauwRaj7r9AhRo0Q+dUoNQa MCIVjwfNwza+jiCqH6SLfJlhXRrmMou9W9TMFl6VyA7oYdsRnShUyCM6QnZIzbbI0648nnbnvjq5 3KpEBkEFgMRV6JYB44R7aSDBNB7C3HrV4IhWLWhIQmg4Jby0nk1c6ewiPB7VzDyYLqy6Yn7yXUAR tKMDBDcpwqx0dXVPV7OnqwCG2/xEVemlYuLQSTS+xKcatf15BMFJjfoXlDUp0FXKomK6JnLRq0bN VZbMEi1/OFi946x0ETXmang8yue/tZUoq/LgW2fINEz1x2I7JBuVnl7S+V3XySY19/ydsfBd0eU+ fbAJOuH8KgsHDhbQWSLMTQEHgFIqLlrE1mYcRWeNGVWlxYPKg2K/HDRBIwl/en7M5uMRdOq7d0jp u68JisAdMJdGeulntNJ4nkC5j3tGOwB4RsH0KBLr2MkHBwed9GIlhM70RJ6ZZtowky4qOcD+2Ttj ZBrOKJZmc+G87Fo+qD2/dlPB7NwFePpfmhSsueIg2Vdyc4ZEww4bjTasHkRrKGuMteJMqEwsKHdY rNnBWqsRMld6slV0e5DVM/dpJyTc0g/Eb8kkDMwBYxrSon2G8nfGxGRhiIPAU3DxYoJVcsHTURj9 n0yNmq7iwoqCaNzerWfXDRrN1JRO3ud20Wf1duMhrAjdMdRRTbX6YPvrvEKCSMFi/fmcpEJQJ4Bz KEAJF6ZfMtorRHewH9YX0dEcLJk/IS6rZ+0cRXg8IBo+fUMCNfOWFJPPUFiThipOzjcK6tNrZsnl OKlLakX7FQI8yNBFHOiDt1ZPhg/faR5BYMgkovATAyA6kOMRq0nzDlthTVqXeyt3sCPoZPZbLlG7 4vEIYOZHUJUTEIIJqgpoikK9aGarKfkUOe8ZwkF3k4EQalMUYjzUO1xKOFQza1pFNaNcKAgVfMZk 4Y5IFui8n07r2ssHb/iMIFDk/Y7D3gdtskGxSArjDDwdJRr3FuOVxzsx3QkK+YbkQ7WuTMP/RNWO 7H4addDhldssREh3SS4QRM7B11AbsHmb0neD8ygr/BABRuULoIz+3PNJ7/q3yCTluThYXXoP5lfn m9h4OoLgfV/z7K+hkGDzE8pGQ3CcXXIEh3DrUnf+3GvF9E3OY8taqytkG0rvpRPBycFPobPPF2Si mGhAUpvWEAtwO7Zsif10XZypVw0qZrKFVDTNQZnqOMmYwqBzW+LxKAzyU1qS4wDXXu2yFYXRCFhO 8FzEN2im00Gaj0u+IVWdZnewoqK0XCGLVF/Ko/rSsAxPOiaA0IbZRKYLK1jP1CWhbJEWEMCGetkI AJNEVZ0+WCqWWdRl/2jg8QggdBs/+Mdsk6wr2rFCkDQcIUobHiku11mAicNTt/fOzwpDaUok1y59 nH4vengd9A6CBlVNNoRxZESC2BttQ/bkVQ0iFhyfEcyQTHxIPGxbnAICPPbKbP1hweLxiDJ/k1XV aNE4yF4OWlEsDXwjIujMKhnQ74ZaSFRKzUR4lOTJ2PpbAK9WCeTUdUFU+eyk2egmOSsoJ7g/QNOg Wx38TVGGtHoZC/criqXTlLFovYMusjNlycT6c8fjwTF9m6tMbHWHenN1hXzDjvZd72piIK233iEc Tg2/gGPQbrG4Lk3fbKF8OTxMHwTo1JGuE1n3IESonAOo4aik0Lm6qyd+bHrXAGhPMuYJx4cPgsAA JSip5JMW4/GgPX+1ksX04TzPe05H0fRqOA5IDyQjs3dlG+dq6H7PP07HKwymuccyhaQ0eLKxQYVi pDYbDiHgUs/Swft1uETsdq8xehfjM/5q/eBa9EGn0KgjJSltZz4eraC7xxR6d0rm2QTtKJwelHhD ziiER81Xl4ISahYJLYuEdkg3VAjU+h18DfW9AGee8hTdcOFi7wcDPSbHLQjJC3pH8TQIJef4ASfF Bi0hmFeYe9/g4//ismi14KZvDnXd79E0GBBQus1WHEN71TXwqNZrwh1CvWKCYuGO8n7V57XOLwA5 CtSc0bnBZCCiOJsMszSaK1OIIovPCCpC3BWlygSqXhOoc+SL/VDOEsXjkUjg+rrqCVbjF6QJCmNp OEDuVZeFivSKZFF6uGApbLJcrT0OpTkxWyql+/HtI05xmLnpWAloTiwgklkATaKTry56+sLiM6KK GTUm5XDIwStCsyfdTCcU2jHb8JuuCkpfJv1D8xOF0vDSPseksX2gIWFW4llwe5ChUN+y+QkBHmw7 V7mI1sdF9IQQZcGOiRod59Koi/k8CvkgztcfVAYv17DoVSNlE2aqpuChVhjb8zsLcXb+e+PxSELx u7tK07t0QXg7REoDS26+KzR+LGYbluCW4hAPADg0QX/r35UtKs/l2p44KEGFHyc/VDjPStlKNeAY USDBRD9rO4FYtN+xdgdpPmRQarCCR1e3++yviqf/pX7HAwhba92mzw7F7xpdH7fr/bu3MTB4ddn8 bPmuYn5CfMdQpWzdweZnoCdPE2AghDYTAxbMqF+I8wrRtxXMqG2yo5J0ErCjtumDFtDJ7FsXRyUt PB6Ju3lJmi0XVOHruv35HQE8EldQaqo/Q6a0OUjo6riSX2kzFMrfSbyjaYu1ry12UvQ94PGD/Hug liyzeiRdiE/ZDlldFrRN7xqJKzEbkw/9uD70CY4CPIJATMPj/ypKs9SAen2/2dgOtTtqorqte7G4 /+zaZI9iBeW9pom/7BDfIQDMZNOHg++wBSupqZp0gTA5C0IZluEFv3FH9YMriPRRvmrEx1SxzCRO XB4Qnh3SZa6pDjwe1cx8BTW9GtRfPI7eYU0att2JVEgkGqmb0iRqrtx3NJ+lDSYSjR0H0myHWdGj XxXXk6yf6K/Tg2mWtmBqzwkabNUTa38RVHvqVaMTiOCyNu5gNcVa6KMJLRc+HmlSOCOTfXm1pxxB taMoGmlQL3IQo+XIcOPZ87tcP4TklLEdgTumgAuFRzQHL3fUeYLlJUodDovKf5kIzgHFA4Sjqy/Z 9K5BkFgIfJFbFgcrl6UugNBZYXj6X+JuvMKg49cvhjOnKIpuQ0ZZy9AvKLkaWQNI8mxNjWaZak5h GE0iM1mVNlgUVGH/tBMFumCvvisNVQfFMFCp3c8ltqmvlFMUR8srCxchB/TalM6f62/wRALsmD8g wnJ+V+5hZdYg+eCzFBMPNwGuxAD9NPIdKdyxC7FlkB6Bqo3g9sz7/8jGwEz1wZurQEhvVV3P0XpW jhWFCnAlgA7RidyK92Pb20YNemaqSUiz5LcB9FIojoneQ+PzQbrRHyAVU1YEDetCXnMKUR7wzFu0 kaR+B93kWBhKZU6HeUC1XLr/KQRNN1nX9OKDF1/B0iEpnBIwJ5gkmnxCFAYqYLp5DedBzCs+JJim ZkAhoYLhnNJUG5IVNSlhiz8giIouyZeJK+kWT16WUxRX47s9ebbZ/Jw/XXsEqtaZpiuEp22aYmdD KW2qjzrn3XNgjeGMVo2xZ0oRnuWEw+lcvSBglcd6vmx73b+Ai4m7UoMROAAv57lyDgs+H3XLvuH3 VC+s4zmZougaERmI/0P4+5aW08gGsXQkKDRKPupkikrVQ/XF2osPXgIZSFsTG2YniByAihLRkEyh fN8aUeZS5ocE+OAh51BGRxwM0lBJuEKzAyYJKcR8XD0YlnKAhfjp33surFcP0BGRPpKuOUTXrKDU 87jixueBo1kKJaZlR9Ikk9dcQHzA2gbmrYllEAAt2ABqC6XRBsdbOBk7coghAD7kL2zeaPkOmqUE A3G2hJCm4fn3WYKJhV1ynBDIy1bEhD5LYckahLI+k3pBgK1qBe1+8Ys4CqqvpSjQlvZvLRIgYPHp x4CwZ73OJFe/nICv4sEEEHUDxQENI5ehHNNe9k+BsybWZrtV/YTzW5ip3fl8sOEeeANjbaxiOibb JIXqHjTZk48fYoHpKvcJF7rtOFAL/VwKy9bElpWiwlFZd8edrP5EqGS3T0jjSW7w7DhUr2GBQ7cg VYwKjSHxIRE5WqwprqUzmIJXQQxMVM6Zq8bnI6Ce10SG94eobOKzFIXcKFJAdEJygmcRuDSVpdLS QMk7u4tdJPIhpeCa5vLh1tVOnpapJgriC1wW1chvVBSFTEO7WnnyM06xeQsTWgWV2YPKnM+8LKng nCuHzwc77pYeGWmB/VLXY9+SwvI1SMCpupDOJgGFqrhMM6X0MdOdpKh+PSg0VITML+PKUp79NdFW EeLz3KV0IQa3A8sTWl6Q3xSaiErLetmoemSp7fRBkwTONOvBMJfm8/8kUPE+xPc0rklJDg0RcRCg n5wsu4UwDCepyPmvspV3V1JgiAi8A7O3ZT39dVfSACp2FHHt09lw6s9kOWmewxNvYBQhWXDkwBHR qdK0frPBKiQ75WJVfr1k1Gy8K4kIEnJw6o2WQlPEJi5lsipS2rneFBd3hlQ/4EfGFC4HtoiKTqF9 L0Znv9hY+Ny0RfQxZinPBE4beA44zaFJh03wq6Of/7BFTExNJE65xkWmQRiUB+8JWvl8JAL7y5UV H55uHSnHxoiV3kEte6nNOyCpzeGTtBtFHbp+UFBrE9GVm0eDTdKZscS+NWEPixh9TBKBLFAWoNKD U4WSvW3UMGJEuZcNVUd3qrCnTDy66+DzwVL6Es/jGQYISv3acJF8HlI0rH75R8JcxA1az4/wiuQs 0+0RQ3/EQa5Zm4yWODhGDdDFBbUy2B/CCyHJSRGnORKrRvc/1bSHv2204wgDRa3DBhYEwFevRguu fDzacD5LsuPEf9rNdUODRGjDYXeYtdTIu1vVn2AuVv1Luf6IgUFiot8FYiSBsMoFYZ0DOgPMoeQE Ohf0DmqgbMFkE0HGugaAXVFAaJEoCZBhiOLarL+fVsOZwaUEm83QI7FcEI2sugAFvVDHHHgkIlpG lzTlaXW3auEAiFVcSNhvFeAD22+xs4tKtvkOfiidJLSxGYDK7bntEgPKPbF3cOsjTvNDiTIyObBJ dK/WTn6FBi4kuLrLQSv3wseD7tEvpcHcGSzfBC7wSRSB2mEidJjazjBvw/4SNY/kLoChU+JKZFah DG6DpyaCBW2qR511iiObPWzkMuLA9/LQ84q9bhQp8TRi31CDVU1Ab1OEWDafj05u326cELJwn6A7 8EoEHQIyNbmLaQbv1nqhIhRCg2Rckd1m0g+KegCMlLYqo/sB7oOXtU6gKA5jWpW3CrhDuGAoeDiu aXSt9rZ/XW/gHvtgRdzME478Mz0fQfe/awGNb3A9BHJglyhZ6zbKkrRwm23KI/Fk8MnTtwHtKVmS BnaJ6BOLKayryw0Q0KoFDL0l4UUqVGMyW9kFmF3aN7dylQcne7U5NEyUJuyYOppIWWE4uQBrYMB8 fjyfDzKTC5/lP0ZrE3Vsn6QQf12hCVLcPRqEMSFG5vCuACyN73aLISNiOEgUZDyiICDjdwD32SxZ sINlxg6ALcOnSlkZuW42f9lI3bOKcZ580ELasC203Tb4fJCXlF8LCfXCcsXyc+ibeK4bREfjgkZc NDehsuUkh+QSaTl0TkT78xUeevbaPhupiZYPbfwqRiyqJJM4tvbgH/1to0IAZbzZJ8OQdABXeIAv cYOwkALvRKXIXwhR/Jj11CdD80TUD3qVCgg0z3c2YTSInBQyHEF2QRbI7Ra6J1JSqwPb4oOtpIlu 2mJfc8JCb7CgAu0C2JbBBZ1S56wpMe7hh/wVTObGTcfB0EcnuBCZKJfJ54NqSf0FPzLZ2RsnxQaK Z34awGOsSp40AWpCrE8mUhQYAhSuc81SGHKz8kbmrw2+lODmuVESxqGEADCxKYCIvgEtTN9OnkmF wQ4/JKq8KcVtPJOASSMXMZNFySyln8ycPyCC2NwN5w3c1p9IKXBRROUN9bZhtmWt92Egm7YJQgLe uI3qLYHARRERF3OyrnpAz08QAAeP1dihhJ4xHOixyQA+GigT0nPhepVWe9sABqAeXFbpjdkOF9MJ xSUzCstMPh8xZ353cQE+/VpLoQcMJdOSOS30osYJfO2V7dICptIFr+vnBCmudGMJC9FwGwJnhdTC khLCCeCq1MZFHEcA07p9bkqm5dhJMUuNR7jRcskhJ2KZ5kKVMp8PNtxY/xED0P7Y5ygqdDemuH0O Zz7s7miSMtdt5bqTUA7NFFeXBUy+g++3sxEwGaqWpPObUb850cmMjT5SH+qj3JhDN0VJFhFiYYMm KdVpYc5JFPl8xA/xSZLx1JK13p2lKOimBiiFtolpM6n4KQpNvfQHMvk5S1HQPbI4+qn44BnuSZBP ysb0raByQpBNpwwYDZUL5Fisa7KmvW2AmRAJHYw4G6y1hJSG4WReg88HMXf5ZsqS1IG80icpKnQD 8tlASVDdrWcDJq0NsU5DlZDorjmK69yCtO3ugxdLzqmXm5CRKAh0ZrMw52FvH2fStfKglgw/JJgj pf/JyBBetkLRTXJkIJvy+Uge7JcKKGUlr6BBDm0VUXN2aBLKbitfZMno3ZEl5Wa4gbGiuqR0214+ eHESdz8IoYRH4riigkYBNoxZHguuht5SRSl0VpR3cqMChQZmuCcZ6dKvOrELH49alOkrd4M3NUpv N8UNvRVBz+jFFw08YawBcEKAazO9hRbo+kERBJDYvyy2SP7yCN7gu7AhdWZr7Mmc6YT5OF+wvaBz zoTurCTARPghEZCdvYAuF+VuSP+9S1FbqUHxO4f+ir/rSQ1x0riuMDn0VxRtdi9D2RYCFaXEt4tQ /+fbVksXuy00WIR4TUU0eocL4jq3fQZxE6WSDTN0NcRREcTvM/tvnHYODRZVKRm0iNZgxzZyXYHc xuTzUcRdvw6kRk7WldXPoccioDZtyHkSNbe6TBe91uZ27mW7rH4OPBbz/y2zX1L1tn5VbyusDOXn fg7pMsmaBzgT3Sq+4bjc69HtZSNaVibRzfhuy1lrEDbeyjP0jhGW9Ps8kivM0waIbRYzHWEsMIIw n3gi6CJa7a0WCnsxjgx9Fq1MIjRA+UIDoM12NlNxysiisUcbCFgbYCWZ8qkGZ1ejO3Ra5MYAHn74 oKRkzryFqTmvyuejSfrlL4SMHA1ln6SQ/NjoJbiujMjw3uRKpTgnYt36dmy3KHWQISvXkW96i4zm bBJLb9fu4vUNNJ1aOskXfby0kNDL0NtGLGMiShKPJQ6WuQFKyP1Ts14y2mzf0qAoSZd8TctzbLjY Abqhwy/hN+mxiOmO4IblrKckgeOiWAfUyBg+2CSBhN0aLRtPrHTO7YRguE1+jwtt80L5VPM0Xfa6 QV2StbYqgDIHi5HOwhRhZqGDG9guQtDol+804IiPa3AOfBd1EraZmkqQcMwp/NPelBxkNYnAXyEm Qt9FgdvzFlRpP3oZvc2FrgZutjPbJTOrOh+Etjh8jta+0mordXvZYLtROnUmSofN6yCPs7c0HTMo lATWi9/m5TyhAQNtV54vh96LgyKU0wpH7ey2ZSy21q2YVGAkYBWAwHsRgi88k4gO0uBlyZP/pTLE Fc1NPo4QgYTnLBpuJEZaE6D5y/5FWO+mmb6eqiQ4CjKs4pEUArgvR2Jol3Jx3zmKYm2cO+jxJOsC zKth2LJT/Rovb9ttUbANq0msoDF9uNCb3UoSXALeKzjg0AU49x3seNnSS3e3pWWvG+y2pGoSpUI5 8FPq+YoEpDyHXufz/wS7SaB/MtO70xRWuMnrb0uVNgCVm5vnAfSgNkBaY/laisJtfCeUS88+OKoE fkyTANgJpii6MDi54aULoOkP3WasMNmbvW0QApCxXuiqpEFradc5WXuFdDSfjxq4Hm9TZpSuEf1p c4cejKw6QafszAJkxEjOY52EVymV5Unzr1pLIaqEOG5qZdhw1xKM4WhHPNm0pEI2eJ4oidLl5iuY JCcpBy6MNwiQUSUHnUod4QQLJefO4/ORaKjPkiqTqN3lyxzNsREj7uIutwYYDpIKjlnKl5YEm0Ev AQRGjFD9Y8rWycpqF/ExTkaHsnaR5Xs9uzdN9Z4WqwsgOV7g5CCDPQdGjDi6BVbOxQeDlSzzzaoV SylwYsSG+13jJrTsZm6hFSPOAcgLLsvcWsnewCUv3FAl01EloRmjxGfbkHvFePSvB8h5qbOllFdD 14HHO4H6EmhrnrkNRUqBGyPelnSZ2psPSm83PgO/4UkT+HhwvV0Vfr7bpLzyM0dRyF1LZb7mVUkK qQhUUrOXADZXqeYoirmHmy4PH2yOzieclKVJzZRCq1Oyj5j3DbwWCppWcSvL3jbCA2KbJYUCiZCo wsPl7DUZ0cJhlT8g8ir4DedGfjOfdkngyYhDCV5E1AYkjbQOu9aQD7m44UzdE9zYlFHYW2stMQ37 sRI3TE7p1z1PPgvYilgWQPgCTt8eXSiKG+bAlNE9vPsUimteFFeHwzMPlAG8ROjK+NC42KQDQmU/ +NvAlhGqLAWQalPMQsWtydAhu7oxgJvb225/2DLKhHkmH26TG5rIU85fJwyAMfwPXbxxCbO8fM9t tjT5IVHEreyfc8TBKm57C9iNUJ7PR8jSq0+nM+msIYqc2SSFfMnFVWemXzB1uNIjs1/QZFvLZynU HhGJTeZE+TEnamdNISwyxHsCe2XTnOgs/QYBG1C3L9Jt2dsGdCUCLVuiMDYHxQCzEeCb6DvN598P 7nXLSbS1hlTXvKr8OXBoVDkRx7XZ7/A+tnhyDAu668IvZJMUciZVvM39DrfkNimRiYO7FUgSUmt1 oFrVoBqeeSIZF2cme92/Tm52BG0waOkCcUyrY/H5CJ/0C397XhO0pesxk0OrRjVxVYWcP+ajrE53 N5gpwtuLmQi8Gs+qZHW7UTxMg88TpNbZYEBNqe+6WeI9ieKZig1td/TcvvXGcuDWiJ4Sj6W873Bx JVIQBE+cz/8LfEMhG9Tn8mW/fV4DpYJYGRIS2Q3TMntBVgnY1ySkDSMov8ZJJdEqEJzJegdHulXC 5Ttbk+c7n1xJXWKHzVRhqUmPhYRg8jVKKq5X959mjfQSoEga2hl4+mV2CtqLduXcGKnAqL2ohxVN ziqUjzD+TZ3d7bwXOvmZ/bZWXFv9NT4qQJOIesu7n4NfagA+n1tHdudAuHGhgeYAm6mT/2PpzPyF cn+dnEQefpEWZ3kkOfcegJPgICqo2b7ODUCWFrUItgWpRZa2OTevkdF5CM3otrVGgDTfrBtt8Omb fNOgHNFsal7DouKho1QzNNi62ejszDmFkITqpyA58NU453L9Ye/SYG24Tj6vQVFB8wen8/oa7Lqf yL+Z9O/2eY2IMLGuCaLDR3xkivJjcl7jISwcVEXGMutT3AYGIK2CRjb6gvgt9hoMlWz9x67UkIOt G1iyQCmWeWzKXdgRnBkdKhhJBswGRGa7/zUWOh+RyUgepDeeAWFM1eyAkYxTp6+eP6+hEH5PNw0S IoI0PaqwcXZeI6Hz1PmJYKY4nD3TRUtt7DSTtYwWPdzpgRqsHV3xrWlbtWdblT1AGqL+2olEUcmW JgJljIEzQGnXthVFRF/DIJw5WeKhpOpzsPQVuEa27s/ffl6DIDztfRym+JhjIQbkeBhMDlAc54ca zhgJpctgYxcYtyYNN015jX9KooQ3ZoWCEa1dwYgBJghUyHm17w7WMMFZi/krDjjK1vLOOiudvlbB 7IiDDH8+HwzABodMfvnnSvy8Bj/cI1a/4VPAFZTNgiNm5zX0wTEOrvZJtoV9NDYPAbVZsr2bljLX 7SI6dmio17aQ/vtaWsF4Ewy/rV7jOZLVlcw0+0YvjE0jy1iJy36NeorkzOmam31Q1JNW0RmElsjn NebBoeXSsCYI1ch9XzY7rwFPUe+jtWniWPCtVJSzdl3Lm/qM6anOG57JJPjR50zDbTLuPtegVUqD W9Mg1nhjKxEe0SkPrtB5UZw3uK4mRftG1rGTvSEOiojVK1Csfo10+IL96y6ndrFYa5ib1+oiLjk4 DprlBzk099CByJUdOucmKMrkX2uL2NNsL0J82gffV9CgaJ26QvA2wR1GpBpK1KBbsDftjoOdamLR 7LApBGCJDyrAouCkQjxVQ4PZ6S4V91P8wpKUGMVfgtlBNbcTiGBWTcWRRUPOMkQWFe9QvxYVGekI dZXu4GjHOujeumlyDPFRLp2VaV7TqNQwLwJbghbRmazCq2DYRThXbCyAJ8SIrRASC2+s/X2fQ1+P HFYLBKMwue99vczr9dLrqhrc+Wl+Kr/T1IqDHFqnWh8HryfC8KkWQopg9ggXb0zQOu/VoA+FG+GK aIh4GC2fwToiGp82aPmcTyB8HDQlsEKj3TXT9wwR+d2JKxZ5IJghADNb74ZIO1HxVlK6TU8djaBK ap2g19EE8RpqWOc2eK8srTa37BgbnAnooAJbxvPNkO6LVqBZyPQqIl90NhOZhk6JD9YHmk1SWfWk Kp93ghrj5fS1w1Dtr2rfCw8XTRAdogVLqwiYpxOv0iOVhYqEQ66CGVKK2RO7Gxzu8QxQo1BptUA1 nGy/TAMXHHygERrTcWmCopiZRu0ndxXu6hIpMuslKv+gRPYeNCNVS98HNNqf9BLRBEVBMxpkaDio Cn0y9O4n9GAnW3tsl9u5D47oLhu9VKcPnq3z7OFrTbSkp4mtsVICkBQdCnV/Qc2K7xrkWzp9Jp/k YElFnTRJ/IE+7uedl8ZTqHzFPg2XAuSObYLe42ZU/uCgwEAXEwTktUMbZDfYaAqIqFNV1miCuHTG Ykmagx1Cc53jMW1i0guCBrnMnPMC6vIAA5K5py02Vc4ID6Em2EfxQRM0IWDFM2Tt8XnnpDF68ite juiDJk2edr1z0vCbQfZ1tSU0wzk9u4j88Fg3ulUhFk35+nvwjMSrMRYmqoGDTRD69effMwI64eA5 n5Y8VOgfhajxOaWtDP0ePJ9tTMgHPAt9YMZeYbciOcxWP+98NGQJLmJBIBr0tWDs4THQOx+tmAbE nN0QRCtfzdm2b7ce3Bsd0lH4rBJ0F5WoX+8J+FUuyAIkFjTWiaUn60JFwlcotM3HEZYx0DsXDb8h dY7OT8w+6AgaZY0sg5S8P+9UNCwgL+bJLA61x0XmJ+cnCqChDA75VpOlOZs4WzWsteuwg8KNTVAU QmuCGlWsNfgZfS7Ic9wwv2jnreagAkKCiEhFp5wVIOMPUbT4nYeGRSoCWiFBhoPVw9BP0g7r8/NO QyuSNH1WUOEGy3eC3qNoXD6UEJuiLZxPrZ5/9d6NRwTlB9NOf2eh4eWbCoWsPPen8nyC5nO/7al2 2EnTkuycqHYODR9ywdyWejS96/sEsbCLC6D5YBOELov4VdCjieLo5VKDVtsAi4UQJc1QFEmjMAlo nnnpJUlBoS6WuwmInIneDhoKI2m2d9Ad9EETNFEtga7TZhKWzild5WLFGYX803xcrObUqwYTRMvT zeWsgUfQWRkkzFOC+PNOP2MQtb+iIHgOZMBjrWoYBdJyiePlgROIdXyeQAifHeZZPUx85549Gwyi wjbcOBrkxaUNltqqmkjoZIEeRxGihwljrxqc0ELAUtNKg6HOpkHQ6iz58848wyvu7yAIRrStJM/D 3olnmNWNAoe34lH0c/hi2T3/XM9cS8TeeWcMgqSXzvVTn/XTAFhbSTaD0MVaYP2DwpDI/W5M+R52 nr1sEEdTD6uhWGGDymNojssG7YTqn3faGS+x5ivIxA/gf3SXUBRITwk92CXfJU6q9hebSyJVV3er fCedMSBXgYOHNAdPNcaCoAHLqyiitC62MMCaDTD7s5OfHiE1Q95JZ4yDGCgOkWDGJcEgkk0CU9X2 eaecWdPjiYOIyU7dw6B3whk22ZjXbnlehXAagEjykVWy3a3x9U44K2K9I01txQfv6nR08yuRJm3D BZ7FjsX+D9ZWYQVa8JfOXvM734yLSIhFFj04WCh9vp+kAk/m40Eo3dfXNhtNjCyfoSiUxtZvg4JD UnjICqV3Kd5BPbdxNpedd7JZkaEaWjrKVueTrUIl7OysIdniNSnlVFkiAjes8au4Rk2kd76TzbgG tgiLywfL509wpV12fpHPO9cMV62rUv8Q40V1Myhy2AxFoTTC/E6HZh5EaVRrYWx+K/IhGsMwi+9M Mx7UPKGFfW0P9nWccB78ezpZNWRNxN6dZAwB0ZkxecWpSC/7hneiGX5DUooaOCg2WL3sJHnKVvdc n3eeGSPxXyUhIiXU5+EEvcfS1jkttbq7eV7jx8X3L1Rhd5e+fmeZFTk+IctgusrB8Rw01EvivpZ2 4mWKhGxUdc9Jd74KJBpeElp61/dTaAml2KimwsHAigggRK5L+/POMeOvmr9OoQm4w74VoXeKWZFR 7zknhtlV1pEcFDTKLB5ME+LEJfQeTGfC46qETWzwHiH4HUPCs+f/c/afqmtwiszAdKKy8+XTxM/4 a4JYLIDi1Xn2MV4erNL1E5l+3hlmRc7HX8HQIEzxnkJhTRoXCu6XH1mAdKPinzRtuRHRWJQrwDH9 Hkyj+c5YUUo8/VHimYBmrFGInW4VV7Q0VqiribSWunjClslN751dhi+TFftFqRIN3kWF6gR+P0hf vbPL2ElvXwUPcK2KEPicnyiWRqZIhRMrmZViKM6hvg+6I7l7veOdWfbUO+iYo8HrHefuGrSIZbKB k5ohAWafuCAUhfyQxmd/3ollRQLtPJ3voFh6QIodx+554887r4xtLc81iuodXQVxzc87r6w8ysV+ z+frQjRTd9jdXi42+04rY7KBX5sum/XLbHMARlY3tGDlQsQSGoMl0K/QMaSFrgVC0941aMOLK536 HVTvmI3B7rkVR/68k8qK/AG+6kHATbXiwfQ7p6wIhdYBt7QTaHf3R1ktNy+YpWx2le+csluUntwt Gu78tDNfJBPBGfZcbzyBBkqeKONkKj8Zx8VeNZoeclskTsDB2oXwp6Mu0/miP++MMp7Qns0r80Li RGs1TVAUSsPove3mQo5J9Hu6DXLZilK2qsVB74wyrH4ui5HIcx2XoDNmmn3viZsAiHswFicDATrC IonD7+1HNF7j884nK3KAOWlqIV+agxYQfAI4QeeM/7yzyX4d0Orx4MshrVgTFEXSSKhxr4jdemIn Y0ftVtjVQHGgj2E06XcuGRcQS62T7TEOdwGdbVR2Ik1ql0xnI2S0WNznCMBfX81U6lu8U8nY8WeY uAmQHhtZF6EcuMMGDWSAGvm8U8nKA0Y8V588iKC0OT1OfKeSsSKEhHU5SboKWccAl87JEm7owxDS 70wyfEE6neVjNR4fq7lGzTAvk203bLwFFkLgQnL2fOpB9qZRKkbmeWOYyMFg9if169YrnZ93Ehnj 6O9UjCDgOu8Oew+jdS/04iEz1Llu41B/ZFMD96lWUFSSTip4LBXk17V9P3cY3Ce6wC4F3F+xg4Y0 k+oPzFe8LUZt+XcKWZEmAKIf2b5vA2MBuVhMJ7XXzzuBDAvBVV6p+wFH2FLH3WBRFI2qIRLUbYCO PLMVFC2v32zLD5MlfuePFTeQAeHZB+cgnD07CqAqOIGA0SQHIeELrITBoNrk1Ki+9bJRRVENQ57V HAzwsndSqlpT/bzTx4pUbp4gCAz9mm5b7J09ZkEQADym1NCGdTIA8DU+a0lUveEdFpakpzqqSxoE /HInqx2g/KbaVbPv4CCCFLWZoBbS2+qlsmCd8UOCXJ6HT9+85DuOhqz+xHlVWX71E65/3qlj/92d p5rrvlXpd+aYXWPwm9CNBZNEA9SnKrNzYjt4pnKOwqo0VfZGZluQgyerZzutWXkeI1dNxsSbRNS3 LMqvyREzUHznjd2CEJ0lkKxu6CaxaMawU5L7mc9H2ao3NvDYQEOuPPdYFEmjp4OazbSa2UpuTF0k wk8FguTQoHfWGN4+W44xffBjCPWaOUStm9gQRfRpoPNAil/pyn2gVszPCDo/6q2C62GD1e3nlOvX yYn2550yxlf0CRKQqAoSqQl6J4zhQyFpXVQyQ2NjXrvKzvCC5xCku8WreyeM3Zse8A0fHAFzvgJU E2k2SOGHSRULhE4NmkwZ/uu2hkg0+7wTxoqrovbB4wgn3E+2gkeSFiWk/D7vfDH2xsbXDKF/cM5a v+jf6WIslzar3GeWzDphvjAAITKbyWqGmEIlaDOKpU0vlsB4Dd5bZXNY8vENCiibVVdoXP80eFww jbPeYbNX/WuPQc3LB6uYQdiJseLZ3p93rlh5SIfWOwRwuN7OxjtVDCtoQp1B3u/4E00gBYiedhxV pOG6yN6JYnaWQQZVTl9fwM00EcYVXmTnXj+JGULFNsERb1D7zpSQF+qXSh2fd6IY4V7X1fzb3Bwu szsrFBrr804TY134tn4ommKKyD5D78E0GArYWSMtu+uL+w4gXTWbFEgGmFzcO0mMe4x9Z/6qGrwg tHebUgufBDvRPQ8quEBvnoAP5gzXTW/oXYOrXsiOweI0B8vHzhGQTHm4fN45YqxK568tBoCH8eg4 QWFVepBvYMjEszuKn9Jr1uz56m4WLL5TxIoLxY30DA5v5SZLi3pD53BGMRfLDLw3Xlws2ythhWEv 3zWC1ati1rYPDBYRqSjvODHq550fxqL/9/zgDCw03Nb8RDVpQGuAJVGIePLwpY7q2eHZltI5GqeF Qu/cMC7fTtwCHXY4eHd+D9Do95IKem5FZWtIpGSETPniW+m99nlnht3Z+U90B+iQU7R9xLSfd2JY kZfj1x2Gn0JmrKYnBkdDpWJZZaNNKQ4OlBPdlQFQJat2vLPCiivEDuHqxxeufkJ7IeHng/N8Mhsa MgGll0FVhXR18e2lO/6dE0bsPiNpaQ1y0PwklAUZCe/Cx4Pl42kkczFkHpUwYM1PFEnD4qHzH5pt xb52uckr9g3EbtteMbqDMyMbOA6+vc63BJAitxdb6sLqVdbzWKe+xw+R9e9kMEumCg6A5AN31zoH nDcp1uedCvYLPYV/i/il5Fuvb1EU3WGkV2Wfh0yemgbM5IfMcinDDJw3M/kWQ6QRG4+R7+BR9Ild 6xR6qkCmqhm7HtEUIuHMhkZTuSzpXYMbnhFQpb+PBs5Pws9ixwc6Xi2KoVGAeWJoaRqUu73CGDqD IEdhf5zOqVvDZ6/ixUQowlo1ukXV6FRkLyA7vVa+Vg8giXUIfUcLT95enVWGM43rS+lE0xMiOwQu U2N+e2Mei2fJyXMnPh305fP+vry0FH359BAindGWkpcQJBdk5EnJhWk2jBDNt3ZYfw+gFVucRUgl HA3ezDgp06AiFQodiMuXKC4EJ+IoZR6v+Jmlsh5TCVkqW6IfLHeCBfBFdu1lVDwdcDNuQ9VCScgJ +OrpUfgMbAxw49Iy7YQQmNqCpLxZJ6PCDHZXj4Adg45Co/Di5uDzAzAWSs9cPtAulqElYiAAq35Y WjNFCsJ/e1SMrtgMnRL1NuAzFpRAmEDBswhPB9Fh+dWRZ3H8lqJ7GD4Dxy3LR8pSk05kvKdkdY5G 0hhvrx6VorsqZIMKAhxuO3XAPGeQ+H2iqGRWZ1jfoIOYBouRdYu9bFQIwgTlQt+8DPkB1ibOX6Sp nvw4ASieD1iFl95D8RfwTGnlaHMU0go7xBXI6CTnEq19sxPQwkGSA29A5Rg9CqCnRKl3qj54ADQq xLpYC2pwKMV8V5h7UAMeAdoDv5v+slGSSuZTohPjTP2m8WUMQZxP/I/HgzP6klK5o5B2rmeXRdRC LHYoISZZwcGVgtOydjH1RRTLgN7hIgoDaKUWpU0f7Ao7u+pkkYnsOaAS52QskKk9BoAMW0WmQ8Fi Yo/K0YuE1MHYF4OJSuUBegy7pOesx+PB/Iz6FQJRZpwsTs3P3xH0rtnuMAa/qgMlw5Xns7uLtZx7 BOuY2l5yzONgKygjM2WhGYbmFTwNZvGQxyH1eVJiqauhkfWuwR1PdYUTcG4flIJ1CFvxFiuTr/iv PcZ0DY3rp+HTw3r0QmQ4SQMDTQy6YGYGWxG4UF9pU7GZWyysR0/hS2WSk/cNgsrGlbUJUAQcuDHo OccQtNTlJny9YAdlqHtUjoYh1InMElXN5FvBQwisn6ye4Zh4PDin+/c1z1LmE0T39yD6IoBxf8sh j4Uy6r0SNKNKIhoqlSsoCqK3CtHGU539Kr0ghEMUOtUyPMmaNBVogQKXg55ux2ezHN2jcjTXMWjl ywfVgaAuS+PZs1QnHo8Yql5JlDbchiyegzp6BJFGFwrK60mHdM+2gjarETyjT7iSDSHdI1AHK8od Ai8a+Aqsk0F/ffGnnmVK1U7KvMArqp0A7Ic1OOuI4YfgM4I4SDKmTBA0GCxo9qEsqreNx/8FTjT2 N6opgEzYDIWBNFgaOB+dpZGbsTSoZkP8JlznZSXco1q0PHHa1k7bt+UzIAUF3AVv+g3y0L6O7+jQ /Ox1YR2darg9gnUQCXIS3718sI4P+OvySkgNj0cryPNUiSqDz1FuqXVEoTQIflDA7xYLCU3OWEgQ Bopy4N0YS4/3WBrCYErheTmNSyQ9p8M5GOCCo6bY7qBM8/4iN0puc7fWSoeOEcXSrEtAj6P6oDWU 0YO2QlnG40EwfTs+CqAaMkFfQSMKpuHh7HCOhZJZdxrCSr7JWiuuXzrCYvQk0UdmAf0xCxgJaepO ggCfaV+NjQ90+uhk8sPikXIxyjzgM/4igjeJvHHQEmrDovcTY/HxqO38Kw4CqbNVv+dHiJFG0XwT 2QjlkpyNJw9mRXd1DmAERJOPitE06etAKXDgrTuEWyjnNbZcTGH8WpJmUv1C9O9uzxB588BnBOhN 0g8oe8bB/CaAXavsh6HqhMeDWodnkjqECrBK7UpQjCiUhv5Mm7Q4pW5p6mL6nPt4GO0QZU2rlY0o kpZN4pjC+agOQWm5natw5JToQOzMjiGgADBUhGHxc8tPzE+I66BNEHnkNjBZPfcu80ZUBxueDk6g +r18yBkat6E6ojAaIsA9t3FZCD15Q5XKDjIJnO6AN6I4WvPSNnNWDt4uPHEy/FyqBKagflP9ki8M bQHQ8hOILuYjqkRn+rv2ndXMQGTFrAqmGGIWjVwyno9W0G8mFOQE8j2jw0gaZumlJLd2Y6YpFsJ+ rN1wK2mLRZH0kqiUmhntaWb0nbCAErWlTtoqRxQQfcB/X1R6uEfQJmN+hABpATuISNVgTJZKNAQp 8xOP/wtBLnd4fD07eUFohADpCcLzMNfbcx9vl9/KOJjUEet5mTbwiADSMgkeQHzZYIvoTE45W9QA 0iCBd5bWiK/H7Qnu+vXjXHrXqCE2cJVTMEuDZmicXIzJ6rkkBx4PIqHbMWRUiDY6sgWboKge3TKl SZsUt5qJ3yyWMY13WGA1YfMTRdJKQUHy0cDjk8kqsOJjie+8E/TDWFBcCIvRI8XUXWvA8+PxGUG9 nrjfORUOTXON22uYiSx4JXg66obdM9rkTCmv4vMTRdKAnSO1toIrsAqWrObuOpwwcjE7txGF0qYp UM0ZoNwtNgqkfIZKiqvAcJKnEKvLaL1mqhzrmN696mWDWJrITRRNMMDi1BDkNW9J45xLYeH5IJ+/ YkGii80f+kbaFIWhNJAvpQ7nY6q5KoNJE1JGurr8og+L0uYExKSDg1eE0JHZiR6cCCgqFGlPukoo I1ijGTYcVrSncRc+JKiaseusLFyDQsXV4OqOhTFTxuNRxcN7hvi3gONRR1UzNMOyNFgIBclbJgAP LmCUkk49WY4GBddqNbMZxdKmcCdtaQ636QzSGhF8ExMzmHv1wgu7beSr7eLLmG3MENch643OriEH TRBI4Qys21lleDyYoNa/Q6ElJKgnrDMKptFxOOuWNbMBM+4qcXvgOnj2ANixMN1YQjNkHPKY7kld 1dS+YunFfJ7H9DzH6RQCD9SZhsu70wlIdVecvPiMvwr3K/EY4qAJoqynEta58fi/ziFq4aI7TeyK 5icU7uh0lDCR1vPeWR6ce9H7nddYE+UZ8xPTDZXJi/JcHsrzxPqvZYkTfhLUxMJ9pqI0bI6BHHDK KkUFZlyXZmuQlVoNqghBN0XYsHNL4vEIfucXPRM3fk3zzk8USmf2xYYzxeCv6ij7alJUJ1sF71YT FFalxV9prEr3S1JiLL1OklSNhQAMrczJqVRIefmH8oyDBJ/xJ6xjSkRyPi4JCUSJH/kK4fFIRfJ3 URpkvkvzmVE0jTIUoH6WzlNq1ohQBHrJbYMQLE5QKHhHyeOmvnN7+s6QIgdI1u4xgMeLVMtwe0Gx alKYS9kGkJ/4jGCHEfByXqb6oAlqaTQmUydar3g8aB06rulH3pwF8lz3jA5jaeg3r13M23ZhVojr mOpGo+aaxvAjOsJ1dMm0Fqm6lUfVbQyIrXVCyyqSAQqOnugI6EnMzRjlshDm1qsGRzQP1ZV1EOUr aI8bkf/jnG4Dj0cls2/0JsXk0iPrFgE70ENvk04MSlaXGf+ojMlkFVKrNj+h6p30OqpJCzwY6YmY d8s+ArosFBpHewP1D7hMkYvpmsj2qn+ptM5ODDkH44mdQ40nEDTT8HiUi32XXKn0Xy4PaoZMQ6Sr ZZtjFAwZi2UaxjQcrElPI6vOUPmOhbIuN1IOnottYOspbwmSGOJeSSbjoKWULZg55h1Fe40Z1aSB ZCwK2WzwTKPLcPv8eD4eLKDdvq4w1uvLjaRnBO0AJtYSPzVXrfYKxb0H+UK5bk5QWJQmtKOroNif giJ42+e7ziJpnJO0NzMpxQtSTic/+uxkGs4okAaAA3XEdgemGueaLALOnQgCT0fIoF/CQcBs7elh 9AyJhh1tsWF+SFC/dhVkoA60w6DaMW2Hhaodas5DLc4Gv8IWWg1V9bJz7rCJC+AdmYaLGfhlGi57 179ioJ0IfeEgbMfMw7piueDpKEbcX0E0q5osKHB+VhRE45+cELGqMgZXim4cBDbKRZeHyzOXz3qP ofP/yUuryZuOg++vORl3NkFf+pqyr9uEiTUCGH7raK8Q2wGkLoAjywdD3hEx8kOj7IrHA6LhvCwo PLbZOfRi0Arr0ZQlbd1Nx9ZyAkLO15oN95DqZSsEd3STexk+eFPsvPWkwTjKiSeTV3uooDYCm2cE arcezRtshfXooo1FkwgOmqAJwwgZRe2KxyNsmTd9hil8kE3lMxQF0cB4Ifm6PKhUvaXR2/IgERx6 zVAI71gCbRZBOEkdbVxCC9iOUaSJPFpuctRZWN2owUO39IsM3vAZUbmM4DKd1BwMnNikZUGJCzwe ZRnz6wiqLN9mP6JXyDQsjKJNhwJ/upodIojTV6Y7uHWF4OiuWisdfjnYEoLYS6oM0M59mWCyQCV2 1rzoZlS+Lvmkd/1L3G0Y45CkKR7ReyUup5rzxtP/OoKEZAQS5JmfKIhGdtC6LNhoK8mlkk+WKgIL 6QfJhafWewwNTWbxK1P2wW+wE0KhqC5vqDmr+opA1vzQ6L1/y+JMvWrQNOSemIUFaQ7KUueJcRm0 nwM/4/GInvFd5wDpiI5hNj//z9h3JjmTK8H951kmFPBG9z+YkJlVaI62a74nhYSNfdtkDwhTJk0U QwOTguqDIK1trebSZbVu62jU8/dakrFiwQ4hwp/BkR09QSBWZnW7QiKeZnUoDXYK/FPujAtIYBJ8 SYR9YaFjpemDomh0loQwO4clHg+gHWt/BUGI4st6DukQHp1QK+s3SqSsJXdYfXyhN1V3ucPCMLpI lv0/XgcncjmRVWqi+KC0TsmKxNYPqg1sx6sStNlVXaFgB/MvHMocWLcjcKAOSUn3cxrh8Uh76jsN Q2mhPPCyFYXR5+ZF8EyBzYKAmsoKDE7Bls2EdhSoX2uPhfhoCkh3tXQ4eDHxhIgLB7VIYqh9kEaH Hl6F2yAt26xUxoL9isJoRUFU0C1fQrpnrrcUcgCcxePBGX3DaF4gukDvBIU8Q0pm9K0VdA4JriXK J2ZbQJDN9zssrEeT+0RdERs8DEKrdRaZZUC6l140bSIMojJtpgQB26qFrrP4kqBiz54PFZlsEPZl AEUpPMuqeDzqy3/rJzZWbp8JCsvRCwWybhbQSOl1cyF694I9bzZNUBhGs6NxAublg01QB13+XO8U 2Yb2SDMzSOgFpfPrbFqvKgwaQ6/6R8cH7cLqg44gOE+Izb0zH48W0C99SYQje/shvaM4erCvWouD XOvDQOjmWItitGPI93sg7d60vfB84WBHEKrxaSNRwRGEnj1hVhk/BKIidLd+G0HvKJBu5F+eC7H4 oAUEcf8t56vBxyMKy+1naDYS7QB8ht4jacDLoMBZTR+ws36tGdqsuYsCdZ37dijZMdTzUcZRr3sv NElrSrJch10p8hDUghCgNiBARnNFigLtJb5rUAuqYh/k6oOWUKIsI/7AE7nh8eAWc72RHxGeEAPl K8y1Q6A0ytGJjW0Gim2Z0PYSs2Wy5+SGPTsOpJWEyURj5EtDOBOxgCMTS2PP2s0nulHzpaAJ4dc8 fWHxHcEEEW6QEuZJg0FfTnSiCUIgtGPNjl/Qjkxt/ezl1h3SDDs1O5wwv5orl5WHBgUsl01QCO1Q rUPdnF4fqvNJ3SnGKCD5ma9NeFkmwQ/kHyYnzjK0V40kJkmDEh1zZrOjP6dBIV2I0fHG45GA4jeR l4536Vpo7BAjjTgoi55KLGd2IfImaDnBHQBXaYJCkDTBU00Svxy8L4+DbZH9D3Wfk7vyfj3JNIpB dKO9ENfFev2ONTu4xSqNDRXIsthRSafkDqt4OgIu3IYYJwPn37qSJjuUvsMZBNVBm6BRrhB5y1ez g16JnKAY2mEarsuHiyKvBJixXo9DOssXE8zLQigeqRqqlg171yAOYp2DlVobbIuBaiOIa1p4PLjG XEWd9Vay+1dxDPCOkB2pSF/SrjE7gihSumq1XKODda8JipAdw1zDdI09Jn0nRofawl7S2Z5QxZHP OA0F4bxI5EKXBW3Tu0ZMTBaCFs9qDoZ9OVtVFhBnrvB4VJD+FSjSCKjdLRZqdsCktxeLeZCsaqo2 dKS1gCB/6PMTIzuoF0QolAbfYfBHWkuySueTELb8ULgMRNqzL2nEwQVUaJKL74iYmCJBURVnXPFE FD4ZYYJKN/B4lGlcbNDQb3f2ZHYA5w4L0kioiYclGyptlzJJheG7ec8SZd75OVEglBwefVHSaomd kO7cksnEE/e2vJb5K9pju13w1J561eAIIkh6ULNOg/FYYH/DXPVEE3j8XzwWRlCLPbzu9bIdRdKo JfYyqxvPzuJ0sY5Qys4g6N1qgiJgx1QpUcgfDp5rwB0oJUFxkG4LpFfYUoBuPqAlV12y6V3/Euxo XYX7nvwWA6dT0mPnl8TjkbbblQEmbg8KB4RAcIagMRwJLgwezuJAnX8i4AVUDSJ5szHGPF3NKYym u0SSOQEcbI5o6tnE8DtLaG0Cpk7eB41viKns5yKjygS/5H2SFg/qniW5gANf9iql1NVYQQPznx8Q ITl/OdGhmwmexZ2mUAQPAiNjSQ75nEVwWZRuBxxEBe+omYQWOtfELoacJeOOzfnUplfHacSsniql KJJilqCdxuI9eeJWvR/b3vYvZt1MbfhgCIa+hoQUR2t8Pkg7fmmbkBG1Hln79B5UI2YEIneaOe9J JZtXX3MxeU6gcKbVhnKKUB5ZWslFqM7ySC+crXX+801hvpPiJUqKIO84ZyAsMnX5GsqDgFcKI79P UjMsMPbdGDQtUHkIiSTWEmoH/IAgMMrtey1R4rxcsS75Nr0WqQFnovGgCVQkRzKU1awRhLRz+zSF 1oas31cpS9dHWRoCA4AImcrJbjDEkPY2GIiZ7vaP+3zZ9rpBIZbma5mKvhpUiEWFQgywM198PuKJ j68kf0PdEJmpz1IUYCcS6ecy29AGmqgOpslwQuwEbAo7mKJitRtAtubDPbzPZyYIcGLL0aeFSSxq gw0H2CYNkfHRpjQwviTodkgWD5BvG7TjToo0GLLWDZuEFEI+LlucBUHul3MtP4sprFgPkH8oIk5t xV3lG3ouIuHuue1RIdQshRVrlRtL7z48UeTZadC/QzGEQE+abWAFIWT6WenBDTEIwJf8RYmeUsyZ X4o5OPllJnEyNTz/Pks1/erbb+rHrztHYaR9/peTnBfJ47WTKYjRsYfw5pvIDxqJdn1OEAYI85GY 7XNwaEwewP4mefrNrcI/VJfoD0O7mCtAOaa97F8Ci01SuO22DsTVl4Px7nw+Kuv/ggmz1pkvfjGn WNejM1/zQGA3E4NBb9Hom8AvJT+Vonh7CCEsKUoOHggMeLLRbfwc3hBMTZwlWvrBsqVlP5So9K4v CRISufsV9qlLYXqtlVTZm4DicePz/9LIU7sf13L+CpfCkHtB/aQl61HXfpO2wWtKGJBdr4VdpO9R Zbi6a/bhdvHLWSmLBvSVCSANlApxJQ2nJ3F668vOOMXmLUxoBQYpzWNKhEuqVZ2fZPL5YL/d8qMK Bfj/W/86vP8iJ/bEMJ9agvuWaFMtj8yHl49yirEgVYyO7oPXaOEPOSH7Tg+gPDOP+Vwp8A8VDWRb BBMVxs/8kqiAxGNJaoIcNE2tZKFjMVt8/l+9RtUrN2L1e3SHdogIMk6GYuU0SHBIrOHkjMP0Fsu5 B6yClAM7RHG/znlkMjm9PRWShvVpcoIZqgpZkE/kyqBvEiwqepAcOHLgh4j1qi4j9x0HK5FA70Ma gVkvGRxL1wKa8BEcf8Be+hxFcTdKRSe42xIvh0pE9hQ3MYNjiruQ/PDsDi0Rt9BEynHHV457brhz ymKJAFSUThKtLdnYKSKoqP3u6OfYEpEyBG0J/rluljvRuOTaODuSzwdx96MZw1MJAKR0gWk5cEXE AibBtXieS3iq0cmrycY0QsVtlkIJ6qLpmcMHrwTAR0SGycA9DLQIfyh7UcASOjFI+WIJJXvbqGkk HwVh+Nq0tmxC6sbrrqNYkgNjRBRbvCDJ4wc1l5qfSYoq2qi6n/PBXCLRcrYiG7Do7l07oclg+y0K u1WrHU0rqj34tAV1pjXorglg9K7J3BM3AHQUjbg1bbauc+CNiP3WhY2tPrBm289i54167snKx//V 3CcYCfUSAGB8jkLtPMgvSnqcEDXxFAfki13JHPCjO0UhZdFCpFl90BRNyDVsisiiOYtQaRqrHCcI roG2rvlfVxAQ2iMuQURamxqM9ApOpyiA53M3nw/asw7RlIIVYolyxahz4I+IUBlCuc30mDoA+rbb cu+22yDWcXdbGHPLLZwZpgY/k07Evbc7BkwU8Xi5IaRH0fsHQlt+Jt23/QupNrWQ5l1IZ7aGWvQn pufjkUrl1cTHu3XGys/d9revS6d23qR293BK1TLPKSDVynQn2xhuzYKJTADrlwkgTqdJCwSsqbU6 6Oy1UYSuSmECLTcn5vnr/ilFVHlwc3DKR62q356AmM9HB/e3WhNoKWVeHZkcOCVCa5AScQbUB+Q6 X6wIdIckFseDUrMUubuogtskOtgeL8lzU8+Tbc8iruDuW6btifKUYBOh/ueO0bXa20a3m2njTx8s BEjQZOV9ZS8ZwfY9miRqFq8MhKpPUhhyg+c9CONk9saeHlq1Q644XJFQnZIdaeCWCPYl56jn5oPn JWiGjKlQEva8FN07Z3WiXhP0VMuVHKQ9Fb8lkn9PZFXt7YPR885xp2brXJnPB4nJ/EU/KxSg/zqU QvD1mckTxzTdaV+gkdWyY2fTdPHu/IdlolCzwkZUR3QMSG6ea6TJlfSko1PQvsKGKcFZSCPMdFPe 2qFnYhnWBhg+aJIykwJtt8Hng7zEnbKEq2n1WxAkh7aJ0NvplPMXLKJZRYDtAGvftlS61ydD40T1 JNtmtMTBq7gTuJOphsmCdxZZaGnRlx3v2dqDf8z2tlHLhOi1zm5ZJrMQX3KOi7VZxOoFKymwTlSK /NUzAZOnrMdAOjRPBCEMqllDALazfEwLBLIgifTG85vvbYzqHLonDpVvRQRpDxFkwIlgQl1G1oCQ oppCsFE96RxKeGmVlOiJwS+JdAuY4i4VAm5r5pykTbWOk0FMPh/haNP3yS1vghsoxfaJEJqC4a+A tItwM05SzqyWFAJI8p2kMOLuwq+hk6bBS91zr7yTlbppUFEpcYWsEWYzeHMdSoXsbn5JEExKKHYq XkL8yogsnywnL0YB0PfmB0Qh9/4+lRo7sxfJlgMPRWRdgCPubSJFJxuVQvXuVDsj3rjX7KdS4KHo WLZWJXterznXSCckKFueAueyK/TFrm0gGBiIoWm2cK1Kq71tAAMwokRXJ65dvOgJ1pnwQjSKz0e0 GYezkbSOQt7j/ZJDG0Uqeld1ARAPNGPQnIx9XfOXBfdvxZOBkaJrxnYnYH0Ri2DaPqF0BmLR+RWq Ot2VatG0DFy30025tBwbKfI8Ovs/+WB9ShgRCreeMp+P2gHra5Ka6gk3CgidFMEPw/5OTn0wIihk hfb0Vu5yNacceikqAGpbFkp7PqHSyQPPxdTE34MMMc0ECwxCCrxVkC4YPW3et42CbrYDhrBt4+Il wKoQuyMvPR+sJPfxI4GmLPlZ3UmKou6GqBvgQqHayphuFpicbAT6w84+SaHwHk+lbgXv+Xh14W47 SeKU5lWanTg2wHKAmaBS3NVH3Wva2wagCWxEzHP3wdDHc4hxcQ6GweejoDt9pW+AOZS5n/stKnQD iITum5XdoCtosBJxIAgrqbP5HIUA7SX+3ig++PVWK1WZE2slE0qQKrvJvI2tXJ+jlYe9bDBHqkmK KTsvUzZBmUU9oTIan/8XApnXW+M5+cxRqGJdsZBazVYrKe0iS2TjxbKbLr2uD4qab+wnzZJ9uPXb k1gBFsAjCTS2pIISlhaVLy/4Jg8VlEJbxbqkUJjvwBR3IpFmLMgGZWCrqI7LFwAQGxTmK3eS3kNu XG7I2ZLVjvD7Jq+6KcasynG3T1KM1N6iiXQffJI2TrdlgVKGzgM7JjQdhvYjtMNYJTwrCVUIfkmw kpYwbkAJajA+1q5yCG5Q+86hu+JwJP6PkNINS3I/SW7orwjxrK5jj4cS97ZoswTUsxSQqYfEDRca LBrQrZP0ycHLJbiiaykSD4Fpddb1NljemD+z/4Zr59BgkTvx3JdMUObd1Amsn6pZGpPP/0vWmhkx NdTqA50ITRY34smRnZw+pGaNXoC7vKPwNr3wFpgsZidfu8NQXs9+WyeJaEJLAkCXl4StZbt4lhHC GiNfj24vG1GzeHCz76RBzDXYUlmqoXeMAKW+kqqYkviWeUOA2GcRBA46s0l2t5gRE5pK23H/FeaR iiZDo0VZn5wftPnglQA4z6A2K9wEpB8IVaInxKZV3koX165md2i1KHX9vtmQ4mA1pdWkF9ZGGnw+ YkB+y16yYEOiqs1STIEUk2xaoLS6Y/9XaY79T9kl5HNst0hANsVibfBZAk0Z+5j1koFqAH3LEeY0 TJ7A/1UOH83eNogmSaD9D7ikoPzJWsdZqHrJCBHwTfOj/yfXrU1SGHJ3IsWWQ3CY6JlHzPBKQGtM 35I+6C/oZEsMAjhoktqcA5x5K5dkyPpSFwWWz1VOVdRPNVvTZa/7V3myFRa621XSBuBTHIlzA04+ H8Xc30w2YhLpkWqzFMXcyHTaLENaT/inbapPCJWFDSy7FMcGhr6L6lDWmYYPTvZDaZt2jqAigYFW meSiV1OhVbP2bSut1O1tg/1GidAFzKcNtpTOjary5Ea9JLBeVGLzFSoBDEoBF5ukKOYeVD2gXj0L b8mc4M5v4w2msshfZiEg8F4ELY7bzftqD4z7pPxtTRW6z/aCxbLKk+cYaq0AtvY4WqjwFnoviqY8 ZX3GwaqTMJXnYdx5JoVA7t9cicJ24LOQwjp3Ic6tbesG7EfmsXs00OgqZtstjrmLyiTLh1vnBoxu F8k/lfOXYdE0NF5/KCWZWeS27ZaWvW6w3djGLezzajDSFtx7khKTzueD7ebyXtLCpLbSgzENDRjJ +yuoLLEbUNZw97xBcyB2A7AAfClFQTf4CIADipVUHpzSAnQf2mpm7XWCGp7cFLpFI2lRV17lyd7s bf+CBVaJQNVHBCoRQs7Ufi0+H5WUbmbCzlI2aPmdptjPPNPFHItl4J9wESF/2x1fRp0jZr5VaymG llD8YZDbV8a6a2mdcxzqhQiMBmWRiebqiHg75TXWV0C5/W0jBqmA7/Rd5mCnUql1ihmwJ58PgqWr JsYLDqd2fuqToRNjZ+EtW02p9VHMR6ZAYtGwJePClAInRgn/wYqbLcpxG2PodU9wTdiixJW7TDcK dtQZbePSrnbxII89B06MalYX6if5oOvt7GhavUDrcvH5KIH7neRKH+bOUVjoTpkej07hmobqAlIm XfqE+NtdH/RXO2BUAnA4+PUGwb4TbJnrsklmQT2zUP8Z/+z521CkFNgxImpmdlvYWOLAoHvVktQU qq3w8eB2u25NQjlT5qxcWGBoyAhjS1Rot0/SMpRbkiyGoCU0zNEkhUG3SCZJCs7pgpUHCGknEiM/ AFc/7J3Zb800RNuoK926W1n2thFqQrBAyvoU4DyrDhd4DrBheU65xQ+ItOa//amBRShQIvFZioJu bGWwAZfRSbtLz57MpJhGC/y7vfAWuzIKf9tb9sEPpXVuFZIbsd8W2m9FhbcBo/qTGY1bLqG8YQ5c GXE9TUlqVB+03WAKLmWVAdhEaMuYnxYlzy/0/8pNTAJfRvkCnyAnJesFNLrK5B+QqwwdWHCiWAzw hy8jr7Uqz4v6IJXPBjuHslxTWOUcSf7C2Dn47yB06gJ+bdvLBiEAExJqJNpgvW5E8oLUzMrno3V0 CUs/BgmsX8d2SJpcUO5bY3kXN911NHzjnTAkeV4SuDNiHUl77hmcGrABlFlQaMU/1Ea8xDmozh/c UEijWoLD3Za9bUBZogYtWhc+KJqcJ6CoAr2nyuffz+119SMKI7kuvNszTWHMDbIGVIWskZtIKGU8 qWYAqJNnTWafpijoltxq2+K5syOrQ6lksMNFNMEt1yiEBCviTjI0OjIPHWcme91gMRGCc8645IPm qcLOW9f6Xnw+wil9GzVmGBnCJOBOU6joR6tGxJ8Mu88pvrzfrSx30ItwOXQi8GrE8cZDe0t8dV+3 vXGCaoAnxeIuJ1GpW2BlsJrBfPzS+5HkWA7cGkX4ABGHXQEO2nL1JAkSJp7oUQZ+jd/gSU4Ixc+e sPvzGilV6SmjwN2MhiugiUoBV1c0yaDnHN2vgVK9HgZSEePgiDfm/5WOaegYD1rCo3OGGIk9VDgy Fy0kvOprmFRhNEMB+iE29/DFeiZoUsmbBvef1yCpQnVVi6j+FAuSyuD9z55oNDkDspWVJtUodu8x HAsAfDUtMPCt3uYOJkenEYEe9QvvMc5cQC9celEnF1mLvk1QocTrnYN2EaGcv8Dur5ODRgl7t5L8 4WDl7WrKHsgJPq/RUUXcUGxyRHEvDrrB5LyGRuchrMoGhJgYE0kEAHhV0y+CeNJKy1DMzWtchIUz ZdVImZb5yLRAqTGnrRu/neBibsm1WZ0bla518W24UT6vUVHF+cEO2+BlxsEv/D3kLtN3+7yGRBV1 zGRzI0UgREM529y8BkRV91+b0sqER4jAtpCWKdOKkPiZLOF/jYYqWnWEIwuTxMGzj3P8Ah8pKsk6 C2cYTDBDzhNw5Ds3vehF3+cmd4H+JTOGbI4HDydH0N6TJ+bPayxU862sVfMuTCzsLZud11DoPAUe KQTyXccvL+/4jzzdGWTvbND/10ioeoV2yLNg1HpD6nP70hhczLYGFgFpyTS5AsoGzua+rSgk+hoH VVeIqk3eF207k3SkVUlsAEH/8xoF8WmfHXGRkCCRucPZeQ2CKszbmXI0q2Cfv2s7xyZ7itZ4C8oE NZgdueuNSvdpDr545kIsToNqaNDPRMQ/IdmVukpYQbq1SmLV8TUCwg9JzZFaNT3VpwcQ5CwRvrPh Pq/xD6+e6tNj99sJhIafya/BDw7yQmXDITxkx/1i0NpCtgq1+Afhp3QrCKdHxssyBFmPIQhsZeBS lAydNSmhDo74hKTGiRBTv0krEdqvcU9106ZNbIAGg/mtRPYr6qbj8xr14NRad/GwBoBgk4AKzs5r zINDucLAjd0Wkmo222fj55wRXTlsNpFmrJ3XgAeHstKwVYcPjn840UgtjRB/OBsVaRgnML4gbPkz v0SeFyV6gwtr0kdmWsMxe0yFwlOVqArK1a/BThVQ36bixxSMyXTm3LwWGHHLVa4Xei+TAbFcs4ai KTp2BhJW5vKv5UXsaoH7BaTtD5AWCiwLFjIoLQywkOlsCcgawnV87HqMT9EIwXcEsyP10LaLDyrB Movemp3xea0tVpX2bOn4lbUJdcLsvNYVbbXCjFQ8B8yOC9ZAttrb+WPYsfNaVsR3K1ZeQoes9UU0 Oj9zmuzA4nLJqDCC/ghbWLQrTmo5LxabXkSvRUX8AiyYVXU8OBhhbTZafkMIGU9Hd9b8igRxptdS Pdh5Z6thY21aC+/khSDAbAXAmkbPQpzssnTvbLXqjL4hbv94uP1jLWa2DJTP8b9heYGtBUdFuK3+ rNYeLY2sdw1WD70/TkiefdDqSYghWNghaiYKlde8Jw+RRdhr/YaD71y1qgQGqYqSBrQPBS/eNVmm Cs/Kh/IQLiDWfrY0TrYbvJxkqwBjt8jq75A5JOOvLaxOeANDZf728HsVny86muVW2eRd2abNEGKe RGgIQBSfd6JafdqulR0OLIVK6x5NUBQwI7VHl8Zoaqn1YUsoswDJ86en5YzHKGTeZhSnsPAR0RjQ 1WxyYYIVUa1wQoE0wiB6b/3gJzHC49IERTFzp3L6IpVDg3XKzmepm9hQJHsPmh+ug51A0BgFB8Um KIqacVKdMMLE5KWMb3GheHy0dAMnwHr3wQndZXs69x2+AsOzrdhvhS/1yeyIcVSXglIwrj5LUAjf 9X2PdSKuG6XSNFhScQ4noffOhf95J6gxs/QjmnB9YF4rAlaboffIWYqEIG9YbCgSvtANgNeZsiEc UK3QGs0QkY1LETQHu8NGA6IlS131xOlpSTeCMI4mZ02ZusDlQhWN8BQS8oNYIg52w++Rpa+79vi8 s9PsPrIlZA0yRM/IUzRFUfjcJcO/VfVpErena+VebqmXCChkyv4ePqNcy5x904Jg7Hxn6FzCgAYO AdPTObe31A8J4UFV4DmnrRT9Hj4DQUenOFiB2sCeBvj/xOUi2Pq8U9OQJ+T0dY9ldKObutGcn/f4 GWWUwsBTcWEDQdr4RKVbolHIsND8RPGzisuI1nzw+UkF1ObN+HklrExhRHDWstU1H1tYBkHvtDT8 hVQunoMS4RyswJqzKkzn4N6fd1ZalYyOJoiTia426yOanyiCRiUL5GWDWdVW3XGw6eimPM3upqH+ zknjVhUGTY5Wcz8JRgWShC8HMtfZDEXSj5gXCCfz3DImEQWM3ylpWKSSFUvy+kouL5mg9KWy6Orz 885IqxJftBUk9gd2WL077D2OhjxSIbBKIAZVHZSBDUGK0ALNqBILFxMF0oO300zrDh5Ib7Bkp5xS AOTvTPEpD1kIdK7XvZtEis87H616I6Pzx9dgMyTmFldm/rzT0RAKmSZaNaEt4FjYENAMRbE0ICkA zCUrjbVbGus8msljIsGJExTG0gwSiX2x4dbGzsmThgRXTjrfGvkzBeCIChLcXI8ozZx61WiCCuQa cCLbIDDjOfd5hFTCGKJYOlu/UPc8sHeZmtEqHEaxNMRUUQhOOoI6UXLADBViuxQpgnunymEYSrNR OhLhwxxueewsn9qk2bMySu/sA0EpGfNOrvMlxRS9anBEV3GGJJFxAdxnAY3cRfos+fNOQmO07/PD 4+r8na3c4uE7Ba1KVrrnsaulYjtZtwLV3GSB4qQ3OW75dwZalV8GKqaEC/XywIUmylYL5Ulq0kGE 2YDVFeSmSrTtQ9QretmoLI+p6Vno8+zpcEFhZmqG2vy8E9DqIz972x0ADPsGe+efVSkSnj+hLkNU LbZV2dpRfk9+dUvW2nmnnzEk5+msjcbBSQwAzS+aIZ5LKm2W3gCuhuUz6rI0g/VKB8VD3ulnDIRY m08sdXCwZiqaI6w/59o+7+QzTrCXyXggU/Bp3DUUxtKbBuxGPesgdtoM0XFTfmiz060JMxTF0uIl jJGHD37PT8jgCix80rRGvgvzeTgy0lyxXS4MsW+fd+YZvoR1jqV283razecxsX0gpPt5J57VByqs SGgwdakeCL3zzqrEPtqoOznmvJjYQ7lNVOgEGS7/nXXGLS45DNVZ21NnPQ8nFCKmLBlLKSQVn2GC JEY2yVXro5Hp5511dntfk1hxDVYPKkkEDXzP5510hpt2ja9IqGFfyzGOExQWopFYF7ZoeQyl4sdQ ysMB5+hFKBJ6p5yxN8U2humGPBrPE63BNYBvOUuoIJDQDLE2CywALeNUp5eVwzvjDH+hLvg97mAT NGF7xHtsrs874YyrvH7f88Rj13FnKIqloSbf2SqzmlnKPy7Fvx2vsNe2hPWdb8aDmjO0x/LBD+qz 1ffO8kuBPiJhKWc3AwQIQyCgYG9RaOld30+hJSeile5gJY8z0TIoX2l/3tlm+FNz+zqFEM6WPe4e i4JpdKHPl1keD5ykcxbGVQw/y+wuofdgGoEuZmYlrg8OtoTgaU5XK26yPeERqHgbwjyoRZarQEus 7eeda2ZlL8SITQizc4yZ+TIpeRRVO7/F551rxvqXp/Rkpw9CFW8sFBWl6d8927BYaEpCBRRhyliQ IjzWsHTsnWjG/jvTMRWl51OUPtnMPGkmyfAoK44qESzmMnRMpkKeimYy1XvnmbFmQX4j7eg1WMlj tNyvBtY7zwyPr/YdK6JcX2nLyPmJQmm4y3Xq7hHJubudzVsHCUGKpScPhd5D6WzZ2GLPWIMtIOTy DWGDUFNIDGSLgcY1L1G0Vu2QRsT2eaeYcYcxVkRYbgND6YliLgkv58z9vBPMEGmO+RUqUjUH8Zv1 mcNQetCxO1sglMu95mdpwzAuib0RdprjWJoLZz+DrZ/zH9dMe0p02WEAprx2ofoA8VDeahYHEb/x Ti6rwvEUcLCmD5yfBqsRXvJ55M87tczu2ecAyjhTW/Xt9U4sqxIqgNDwdqbLdsu4tS4diC6Cmp+w Kk0Vh42mrw02PzBGBwGP3PIJnwKGlAtGaEAKZRVrVVEsetW/YAptaHrGNe7OnHimqucEeKeV1UeF X9urELq1/IB+J5XxjoeQw/KCYmIXXXe83PVIKmMajP31zim7+J85mYtx8FS1nTwMC4eY+44etqGo KE6wSFryAxrR6uedUca6Q0WdDCZYNmj91CHdCLT2P+98sl/HM/cSfprx7K8ojEbrrYGQreNnJgsX 8asb/Bc4crvg38lkd3uBguiDH88VsP3CYlCdEL5SlIRlU+hkiDvMpFMpc/HOJXu6Po2n9AJ5udpJ 0pMym3Nils87lwzTezOxrPo1bGm3H9DvXDJmyIAglmUw8roNuEBHtGQn9E7V4uh3KlmVkm+Fu9cd bIqwgnDHE9e6IOPIFUTIJtRZf75qQUVvGrWcKWwhdDQHyzLK3JJuOB/6eWeRVTk6fh1AqHzUdYFA 70G0LgUc0Nt6Gml603lUW1UolmWr2L9zyKxsSxDQ8sEvMNDip+Ac8F5JU8homMFS7AeHH1rdlCbc eteg6WNEcso2cFA9eskHC5C0+nknkGEh5F/VRFzc5WuCohi60/ldvfhFAKIL75ZZL56D6GEUy94J ZLwfmIBNuefOK8CPvO7sJ3CZxfpJdIqDiD7q0Ci+8VQ3blTfetmoWsaG4SZVg4NDOsZUsaOm+nnn j3Ex+BJSYQR52EVLvdPHkMqvDiZ1647pyGJrnlPZdQlKIiKOV1hYkFa/MGuCMtWnpwQbgK1jjjgB gYe4HDrPcPursBs+73fJLBAr4ZdEeDLhOWTdzepAt0Noi0EOlvTnnTvGGHN83WIQ56n7loPeqWMs KKLYQeUr6u2OK0tcBYUhsgOxkqYorEgnVlolbDUfYSv8PSdyEGsEyoF9k+xSG0rYgLPRStdUiRkl vhPHajJR4o6aHjzjANZjl50aIIwT0UP5vBPH2DTyOJF9MXRUKtu0mqIojsbtAHGFh4Po2ihlGkIa XiDV2vPvtDG8PuWtMQk+3HOoU8lCDg7QShuXoVGx1Jmi2CLCzH7eSWOM+SkjP1f3wWqDlcUTdir2 550zhlfMXrRXBRqF5FX8qn/njOFb174X2WJNsRrYt08/kxDCG7PunTNWnV4/pipmF50yoNaE/o5V XTvLZthnwNQ0caLrBdWzJ/t554zhTGC59VyOQ0P/MXU0xLLMP3Odn3fK2K/WmPhl9Uc6P5qhEOGB FmOXRQoqZqNXcwGhAK3sc6k0TNhmFEvPKSsiAsE53HrQCVUobQQ69IDMLqaSbP4GC2Ua8lnrEEff 550udmuuM63hg0Fg2lKJrp6v/rzTxVhvmN8H9cBVevFl72SxKjOZtmUJCwOQ7PdXStmaHSA9oHaC q+ydK8akLgvpnHzwxgbMGeAC/+NTRdFPajY3XKQZ4YUBfwFy5ZcEJ7X5xYl1mK/Qflo7qbNxfunP O1OMFTPvbLCk2KSM7DP0Hk6rneI+RLzsZ7IZmqIgLMpYESR0kp13ntht/cxE9SUOtoT2Pp85aPo3 abpGY45ObV1IB/5A/Pv66g29a3DXNxINzD1uOooKxFGC95C1lM87TYyhwvdNhnOxgD1tExQWpTeq nsWyDMgquVrM2tnBv52fyj0WVqUJCIcEsw+2hKCDApFY5qtt0IZQvQ8AqNCYq8kT1oUK1OedJFYl roSCGWvTHBgu9obe6g/LA/PzThHD+rsYKt70OPIfbPQ7Q8xqUIB8zR/zsthiZNDNz4LFk6PZLfbO D7Nk8MyLfBnnly/jSbwWmPpczgu2Eypew8UzU0HuKg8TB/V5Z4dV84wb1Em2wbs+WyTB2vf4vJPD mOx6NK3UAnP9lBPfqWHEhg3eJYb/XZQoRlNjtOSxIkB0Nj9hMM07bPGy03DnZ+a2oecA+iyiIsL1 Bkm+OI0K89Xx3PLvtDAiqJivVgozcdAEQZ9A5kFncX7eWWE8v/LXAbTRnpPSEOcniqUzbXXahZi1 6j2NWdtjYFGcehCjO1jl6SX7YBN0Hs0DRqXcXzVLtBpxAP4N9dnu+UNs/TshzPIp7Kt6B26v8wWD FGtEj593Ohj/0G8MMECklIKy6QkD6U3xUyNhADno2t6odCmZPzfxMC3GFmKk2Xnmj1q/ftsxoRED dxPhy8C+UEILw5OzllB7yJ6tEsuL7wiueBLmOoVdNShbzei7/ZCZm/F0ECSOXxhpxLvr8sFaGEVD +zzPZS2xRCtIbK+lg5r81HVXT1SNTqKsUEpTg5/Oe6VzQ2WGiKm1RioGOmLkUqA9hVzVyq2anyiK XomwMkLCNNj+goaGBGESH4/gZb+gCxS7ag4i7yFMml5DxTCurU9TZIbwgpXrCxoUxl15j6FxPo/X YtCZn5GRfjHLSOccghIP5hDRKxydlcsrhGa9rId8QuITl7QqVy4u4wVnZ5MWrHg6oGdcZNBSNPlD WzqbniiCRmJ69mIxy8q8LGU9v0qq3Yplk56c2F89QnaMpXudOpUcvJ54FiIWYBcEGOqLvN7B2sbp BHTh1aUgBLhH9WjG9FB2XD4kpuQoPulvPhlGjyLoVHx+2KymzhqNrzRBYQidSXkaRm2uuXm9fgjI yfOZMBFcYD2qRxs2qFlzfj1CAlBizp0XGFzIbRMm0Ao74ZtUYjHOLsFBPQqhJ4GJZ/EMDjhrWBo8 B1yXvfk58Aqefz+D3KWiUuKMKv2oBfsc/UUu7LksdXqAuPCKGWnagped39p0qXoURLMwiMBv+eCn 0KCJVxPSPm1Kbp25aoC1ZZX0LpFFWrA9iqLlKbA6CS2re+c2gd4jd+kTpePxiH3pxzS3JJSFUIu0 GYoIhhATaW1X6XU1xqaYFlTMrClWEvEqXEQxtENZRrmDnUK1gO2KSBmLCP1PooXLpH4QKi20zpMc BSuKPSpK00q6M5EjAXNqLSDMKlxCaA/g8egam1/H0ECJD7QCm584igYymmbSJH27KgV+lnT9qSgl hjSshxxDBX9Fpddyw0QoB4yZNjP5E7lA24pkFmhRNPDyNVNdbY2sdw2u+UZCvCqKHIyJALV8/CN0 IfF4tMf8GuN/i6rb0/bpUVEaIrmqlmVSNaRKlanYRa/BRWBHMgRnj4vSxmJpPtgKSptunqzan1+t C4HXU9IvA2vh6wo7KEndo5p0Tca+zD4okT/xz7ZEY0w8HlE1xtchhP0G4XafoPc4GulJptESAkGU zaDUnSX+SiiOJM3QFq1cQVEcDd9DHtDLB2f7JOBgkjCcFVLtomFSkQmQnp7W5dKxJt2jmrRsFwfD Ow2qBRX0TdhYrXni8SCRb+l7BeHMl78KJygCSXcCBWF8iKO59UzV6Xx+1+H2nSdeyX5GR7gOu8e2 ouTNon1lrQype0uCAG8U6zk/FHnnzqWkvvXFEBfhOyJwWSExnlXXPTzYKik1pa0I/PB4tIDW1xF0 dghPsruCwlC6QcfMzV/bzuZmsk/0Y6ovECQfshTuUT3ahCemd39upnp+owUhRYbSZ/8WekZKz5zy jzQUUGe1Uxa3R8COzkL0WuwfctAdthdtCRMTfDz+rwUkgWXUHmQiRJ5zFEoDfNqh5KdDGsU+D4Vy u3Yd0sU/MzTeY2npHQGQQGkODjdWhC4fDTMh8FJakU5FohVMJn70llvp1jGiWJrh99madBDgYLWy QZUD1soyHg+C6XGhQRJWZDHBA6ERRdOdVA2Jc6FsphIHAqFFvxB55C73VxhxQdp2V/bB663nMwsX ITYZWoeEWRX6mYCvM9oFvyyqdI8ompY3Xl+SMVtXf7qDzaJTdvPxqPnsoWJVqxrFk3W5GiMESsNA MFUm7GgKtGZMBDMzoUjHdDOTEVWks3AvosJv+jOe6+KsIYBCZ+9SLxsF7LoqlOeZBkrRIZOzi/4s /IHvCCCcPDh2oqLi5iLURY9TQm7lna8YFDz6xb9wMtCleHoaIwR4DJQRpeoOo1rKOIGLQG92oYDH xU+NKJamCzDcJjXwWhgEKKIff6LFKrrY2oMpCaNJmr21/dzzE/PzD3xHYVloFZd5hxaI9IFOsoGn I7GF8nWLEWQ0brlsRIE0hHXOnbJcqYOGTjyDhGNnoLguwHVEkfQkhWUM4VzHEwehI1YpEY4dBpkv Tk+hpATUvOGYew+hZS8bbDEiXAeWPBSUANfY2mNoUOEPP8lexvPRCipfFxn8eUq+LY0RxtIZ7dRu flPS7jEqAgt8aqwSKcctFsXSEsIZFOjV4BcZQrkp2ZuTvAJLQrIPzzWU6tpzCFEwDd8RJKwsmbXO LdauY/pJe9eUGueJGPD4v2DkVe+GGb4YvBGipGf6kQcU1QHTZiKPGSIkXm2x3p3vMyKUtFQ45y7V By8qnqz+3OYMps/BCGsu495tuXOCwn7dOZfeNeqKkQ5FP3MNmqG1ZJRBBXY8HsRCO/06hBCTQ1ne ZiiqSsP1C12HqlgI4B2BgGHHqiVUErT7NUFRME0/2xN6tamBmaQOodxPMiauBgpMm9kGSrNnCZ0T Grjs6xV4Ph7fEcVCTOSXivdkSuAUAkFmCkW+Np6OmmLfoVClxuzFAI8wlgYVIHczKjmXmG22TFdW O4UmeXk8pMNgekkS5z9CpZAlSyzrkrMKhji3GDuaOKQz1Y51TIMkxS8JounOG54CdbAOnw4jr2eL TR2+e+H5IKO/rXkJDCFuaPOe1GE4jU1WpDG9qKPssVBPzRKOukEX0BqKS9PSKe13uJ2fXKB23mg4 Se07WpeS5QNSHdyavXRPfw18SXAOSa0sM/FoIkEx4xhAH2FlnA/H4/8qenCKKIddsp9DM6xNw4nD HKVxmbVcTM+9FwuLkNRPy8lmFFAvni6zUvqGg5MRJo5SyEKaCneiCkqTaG0lE/QCzZhyzBDfIdtS SstpUEDdxShCQb41PP6/lIUWl+T0jGNG8TTavtBKHnS5a70bvuMcQ4mlIPzGIKAxp58h7XBfYYrf +hRoPNZZNlMy6NJJEb+w6IHuVO+XdQjpCr5qlLOSi0BfKg2anxOyL8tZ58bj/zyHGBc06jV6zjpD BQ+U9iTdw5uMUC+c0zvVfS+yZGWzGbIO2XKfX4MtoAHb1LlpeNPRjS8ymVxUOqnyoXfiKrUFZlib Zl1xEMCrwQAwtU2RBs834/EIh+cLiNtRYa3fYzMKpjPQC8JQCuxaHYdHfWwz31D7GRMUV6bZ3ijp Dr7D5MJNHyeI/2+JJTewZgq1ZfJDfMbZhe+IJoixUKrTB51B4Do0KZysgseD/vwvjSUKfuarkTOj eBqHRW/bzNuQajfnQ81dLadv030TZxRPD+mSDzrejVHuEVQg45Ykud1wHtGMBMEiHEoLmFvp5hsI 6/EdwRZj5R7ScT5ogiAAxvPoXMMVjwf9w/GN7+h403Qb0DOMpuHqsCWHAy1ggsGA75jb1VtrRjin IzrCd8icbAgfNB580IDx7e6YYC6gBLETQsw6Xa9wOZdLR5hbrxoc0Z3ybmyKatD8gGUlB4A5Bh6P aL35KyFDvvzFyZwRvgMUJIhrmELy7MkcJdu6RkmTKGXOT1SXtiss7eGDt356gqeKqmYppUnNxN4o KYaDqX8JvFH7f0ahdJJ3+34Gq3hsk28/cWjD4//KxnjJU/Wfsq2aoJBxyAZiNl2cXsXqXWQcXtHf zHoVYsUZSuBJ2EQAj/kF8GC1g0oSwCCe3do7CXWTRkeI+sC8MCcpOm3MqDBdpPJWhDArV9F2Mn5k 1Wzz8SjZ+Ga0UASv3GxsRhAPAHhBcqzeYb1A4AkfUUfALINQzSiYHiwJTXmNzZwfiNA5DNGG3ur9 nMVFxzLW6QoN8fJtHopxOKNYurG10WWQwIHJxoSANy/5nBuejhBC7TsZQ+soo99gExRF0sABQYTc HG0YM3u2MWyPfal3zFgJLwv8Un1wShRMF0Btl8ZSInAdHVgkXczCcLob5ZDO7TNUwqOoTuqiRHWv q5xLckvK48TFBY8HYWK/GCp5BZ+pzNvDoBUF0omEhF2H18yyef4ksVfJnOf2xwpaEchjCP7SxXjq lxc+ACFE7V6Mn33iCR7ndZLZv/nf/JbVXiHIg10xiJn4YFDyAeGUH7pnVzwekQ49TuQK2txmd37C ujSgyrJKl47Zclo4biDPVxkHo2q2QpRHV6mwFh9sgs7snuhZdIS6IA1jlm64sqXBPW9dmrfYCuvS TDR6YRjEwQoeJWWhXPeueDyCmc3vhJ5R+a1Kr1A6upO12saNE6/ELUN5xYnoGWuCQpSH7i/5Ik+z lVN7dZ9XKMJRlXMKDfpKNUBeCkrSI+dvWnjDdwRlaYrb4i73wWFUS57GlVKcYSD9lKUJSuNtWBwo tKJIulOWfZnwi0wcrGa2slvZTnbuuMdCoLQKr01khPaQEU5Kh6rlkJNth9R2U0mIeNUMXMZz0Se9 a8Sr4+YqtEW8DaZzbPbBds65jTeejs4g32LKSoAIyXd+okAa5Y3WoVFKA3Loyau9imqvZgoufRYH rZB0WK3jtX3wOLEhTxlqPxeQPTmRBZ9eKYzxKPtTbQLfEVTMpgr2u/tgAIZC9g9u+ZTxeETVyF9H EK7oQkiE5ieKoynGCWI110/bJTvCo5Xllxh6T0o0VlSV7qIiDLuhHioCwrgJu0CWg3bbC1qCgAbL f5HtUVtAJ4Ebetm/lBeIUi9fYHWo4NWk/LMPPP4vGBVWC23UHp23FUXSi1C8acLsHSV622FiHHGH gbxvOywMpSX88h/tjpn3iQ1H3TL3gwQO0XqFsCtUHNiWVzWI1hv4jmCCGCFSf5GDdZKRt8qYsp8U B49Ht5gf0ox58DXrNjZWFEmjeA3YsUBUcPqd7sc2JcEJLDACMe2xECrdec0vIT3WenKNid2w1NiY kA9n4boABlwh2U4ejJXLWLZfUSRN/1R5vpcv63dYD9Yimbfc8fj7Hlvpmw7V1Cm/13wIlp5ghPOM o3TH3OJqbBUQWLUfpBLwEoshHgQHFbc3uI2fda71ubfcIel/PNh2RhWR9OVM7Q42VwtKjXzX9xU0 mGrAM8AHlYMGsCI6WFbF40GDfv4KpVu1QMxmKC5Ks0pmrvXooCvX2HC7tDjoEe9YYSTd5U9Tqg9+ Si/Q6HjFzpPlny2NgiLUkgtV905a3G8cNIZeNWr8yISNnj4cdAadf8xqTJyrBY8H1/z+VqdA9bbu fSW3o0B6oDufm5nToSJ69YPmNLQrwotkmtsh5XBLhZNF+/lVtF8L1GSUCtFeBSNBrrZAg1cgv4BD +eUNvaNIuiW6QrKGo0Er6OyAkqTRNfh4UC+rlw6lO50aDH5K7/dQGjgzONWO5FZHMhkfUODLt6LY tpsh7NiCZb5f9AgVzyGdSakDybCyophReW0g8MnNV5bH2Nb4jqAgRN582y35YF2Nk1eppHw2Gx4P Tum9vi569jPyBeLtEDDdsMUIsmGgKET5+oHqlB1ClR7Pmp8wkhZ+io12Dd4ag1dSpo3kSTXGquJ0 QPsfWsDnBEy+xQpdYvEdUcGssQBAJwMOXhCCejFvphMI7f9NwCOTaJwd37FDxiHukckzjoQoZWVw Fk/TCVGDLT7OT4zvWCpFbx88V63nZC7e86kpV4ujsZHQBhulP4TDoleNtCYJlVbCwcFSsfMt6vv1 tPF4UHAt4ysMov9dulDgHUKlYYlY3Cnz3PKm386+YfoxLxbyYzhBIVZa3R4yBzU4wgOdW+DUeARB aYO5KiSE4LSHOPQiXRdL9jsU8CDAo0m8vWXrm2wgBnkCnZ+h4ukIQtW/7zBgzsq6xY4dRdIs2VNN Q8L/u7pZzaTzpAQ8APXQBIX4jqUsvnUfHL0waqtudIQuVGXA1IAQKkQLkbKhehkVTnZUkk6kbJzb efngILM+lzruaeHxf0kIibEFX+5GcTZNUSgpTfmF2k0yOY/b9qnZMOYZ6ubmjbBDGTwWCgcpKhq8 pLghMDkkIpTXqqurpIifDxV8Ro6ry5G26V2DmusivKxKy0wlA0JgzonEe/qE1AWP/4s4z+IRLZIv q3eHCh4kzkvxriFbbbrPYDZg8hQFDF+bnxDfocA0cSVx8CUEl7s5supB8CYzyVfUy/EXMwbnEio0 zcV3BIFikneEUGbJheRSgfQpA8VUBx4PLrHhuRhFLYBEald6YYc16UQNGHdGyALhUUMoXZW3KQh1 5+f8VXKdrNNpuDAzBIfwukU6P0spZP6yv5ph/oNTwyBUe+pV/xQWYMVVgxcUSVvH/Cw+HvFZblcM zy3yJK+O4g5V8DqCn+UiS2VdG9rer4pQ2nPaBEXojins1JTOyUw31zgfc6arCAFzjhFpJZ8dhlbk OvE3IBtXaLLpXf9S7xiJt9m4VUsUruRKj8sfj/9L540lV7QR+iXWkajy/q0UwpsuuU38ixgbXZcX Z6g74D6nMJYmOqinUXxwTss8Bxv9o9HhHmBY0X8EW5i5PURuLkiISkv4loCcWYhVZMtadTlDPlcY UuJnAS6GHxDhOftXyoq6e3ukhHIK9fDgQks6QSEziqJflPCgg6DxM6uh7p2jHyX1qDj74LyNE9iV xooDDH8ngGusC8GYChUPGtJ58X5se9uIYMdQSNjplZ2ZcEKsXoekbFvj80HS0b+b9PBfY/XUJ+k9 pha5BcUz9eZBgLvFV9n3DdJ8qS6d9EEBbJoQoSGVaQ5ewF9AqgDmR2B5hrYksw50VqCVhqvXgR7L 3zbSqdBRnUQkw0nBAPBEQ2VVkS/H4gcEgdG99nWnkZP3LKWwRH1+45OaZeMnNLKuZdbLDFRGCbiZ bZZim0Oqb2fmZhx8lnaH8hfFXXvnJA3KnYDjAuZFZqLt6eu2142Ai4UsTQgzaVCJKIMmq4wrTT4f 1an3V/qxM1WN8p2lKL5OFBxYW7UzYMGmnUsTVCLjKPBW0bkUlapFEBtbhnX7Mayb4J5Dxl1S5SdH a6p3oTyFS428BkHwGW7wS4JmB8m+hL7boBQWshhZzQ7YJaQQ9PGYtuD/FlBYgEn2WQrL1ZChXDh6 Sdic1KA/RzZqH50Usww03fltNElhuVrtjj22DxdEvWmWTWQVYqWkWiMaAA0obwL4DTjECABfEmHz FkFnffugScorWwiAPA3Pv09SvUKLrEziJlz1maNQ2gOvOnqW8SEKj8mgMZ3x9ka1SmrcXZ8TxACG xSc1kYNX08aaoyIkxqE03Bc6y5U7o9M7rxblmPayUZiECuGgkLYGm6PemnL1c1Lx+aCmP+9CUkmW Bi7P0R3qeyCdrbRm+D80bFvuTcJmC3feCZScEoQP+gsrDMs6H2yWgB/qqUoKDv0J+dvkjv0JuFLL fiZB6MLeNgKAEPkhJYKaiLnjLEEvnpbg5yE+HzUX91esxLwxf8VKYbg90XSVRRL+abkB/XmRbqwO GNUW97KLZD6EyP+vsjtAIAttahnUnrnp9FGqm4Bq1ByJ01tfxsbpbwuXSiy2Bh3cDUr4UoNrk88H 261+A9GAoGwwKfRJiqU+UFjjN1DqIy/vUcMQ0TnSoghzksLqtRhR/yF2wEEh06iW0tyIvpu5+Bpc GKhNIYkKeAl626h2tJXPdh8ML7wH1Urg7Dr5/L+6jJJeAqht9LvfQltElKlBHTRtys4jnuU14fUo ascCPScpsEUE1IFKXlUywjU95aOTpZwYYInbsRAyNiE+AUg7k0PSg/hB8uHIgS+ii+atTCIwB2Um Y++tdQAB8xwaI479rYFPcNL6mqMo5m4lozA7PLttzt5MpbPpyOy2e3abA2tEITLBfJFh0n5UdSCL C+95OWO3BeMwLja0bRoRRe13Mz/H1oiS/WCmpMFAafAJFtZ1VD4fxNylfG03GuWmS/HIgTmiFvBZ +uXWIctVHlKZDcpDmVenJilUoiZ1Y6VSfbioIkh7LEp71QZ9giXhC2R0LBOXL5ZQsrf9SzwPepk/ 5v4nai9YMJJfRJUkB/6IiZWuL/TwImrwmaSomI3aFgI7X0k9GWY4IeSwYu0q3i/KoUPi4O22Ci/4 dZGHUPHEgQGBqTNJBc7iSofhLsjSSKP/n8rZbFrnwCIRtwObjV3Nwm4H31ml9KVk36ny8aitf8Mk tpcaIdk3Tgo9ElEbaYNtX6pUolYoX5fWTKOpNKJLNUchZzGbA2D1QXN0IsVZ0uzyBkI5khLmQNPg BME9gH6Co4sUAoQuiWup6E/y6xrNVhJ+UanBwjGUzwed2VsuES0YINCV7iRFstTI33uS8RbVZKbB rs5/69utDqSBtt3CiFukafFfOfiZtE9YAbsJIq3hjCtO1aJI01kAtL2zM4kaMjkwSlQeTWhR94Er CUqGQsCcn5OPR1qV9St1ywiaHv59Dp0S4WWNCqEzO1sZHkuy92AmU727o22MtmYtbQtKvdMjTZ3O q8HVhSogqNRmLnKCjcASKDhaLjGv2OtGcRLRIaNWH6xYAqdu7qBeNp8PDu5fUD5KCs8r+JUDv0TU WHBcNxb3keqiiuswEVppSzLOcTQ5MEyEHSBbtFVNgOtxBObZgBZz4Uoa8JRkk6lgEVXArqG76sbR 1d82ut1o/Cs/wPX4AUKVcRrzTM//Sy2FWEMUmue1msqBZaLgAc1MbPG+3RRQN/4yy90GpVhpuhlY Jsp1lpWk5YPDscClzXJ0gyvsmkzvEmxMkPcyoL9gGvZpc2iaKEGrTZqFBgWTu88lt6iTZ/L5iDzk cRKDKpz/7XH/DV0TMT3nejFyTNuo0RMtQgMkBdx5PNstDLibIOk1++ALCZJxnS0KuClB9BYLqYJz XqClXqkpI+dNWWyHvolsH55rkewPDoY4Ovt2mFvH4PNRVuJzRCoN4rVy+wA5tE7E3waapyNGenW/ l14d14cyt09SaJ4IUAmpQ9OHeya1gQw3yTyxZgmHFDJ4IAMC7ZSLfMz2thEylAfJGBqYreJIOikZ 8UroZGQ+H4ns/YJEAMS9Lgsth/6JsHMGNK4LudaeI2mh+JDJAWnUH+V2Cw0UlZWMIWzfeLB9yOw3 uA0k6pVGvQucr4D4Ie5pFDtnOWmx4ZZDA8UsX8DMWnfL3jkvVIJkkfv8F3w+4np++yoV9afGnaRQ GWQDF7pMGaQt5R9ENk9EXowA4KHokxQG3IKINkldtaeedO4t0BvY+T/rCeZTg2dSgz382eYQxtGR dM7yZG8bpW7MbzMN8Da2FWHGQFVm3U19r80PiCLu7zMJ1xWbFD5LIXwE2uxUGSYzf2Rn5g9Y8pk9 F0vv2m4xDnspIZs+eFYyN2RBWE4agykKQwDoWg6gX+m4cM1K/W2j/r8st8WX2YQcshMAIUXR8JDg hkaKD+fKQl1Qz28IEDopwigBWVBWWgKjOktL8qZyfqFy/jTV2Bx4KaIMLGcXFos4+MmdQNYbRNnU MnFXsllAfSggd4F18AY35dJy7KXIpn0bhKu34XpIqYAhxlLJeW8+H+y3+Z27IQSALt2dpNBNsSJO ol6USCGp2yQNCiUbjmSZdmwO7RSFREdlxAfv4TbwP+HLRqhWAZ8YAScdZwuaX6BKiZkm1cYc+ilm WnGynGODTdI5ktXryEvPByupeDAp8ORqxiqwWYpibrgBIK813kNZrgUG2Vq3bx/b8Vo5sFTEhmMl qYtC3B8KMSr255hNLAPsDcUfdXqxiQub1lchlVou/JIALIFS0zkJWC1LTxBwgi35d8Hfks9HIXf6 OpTobM2uok1SVOQG7aWdbZat6DZ6NzjJunCStLdXAQJXxQuXqIqX6m28zZOPFejcS0UWUMJh9Bm6 TlQ0cX2OIB+ilw3mqKp5K/G0fMXTShdVA83GxucjbbBvAcdC6nd/FlIUcQNG0LPPR+fdY5AS4kJU dCuMDLo+KOq7ESvRpfbQH7WH1E9ei6YSeY51wWVL9SSx038IBzDclupJobVipfPSaLQO5CAKTapC KKk3GVgrKs56jqRMgND3QnqPuOElA4psKQ4rIbRasJKVq8NKJNfc9UERTYRwGhkHcfDrLW1I4TZT NEgsSVZBxOrJfumRxyIhjC26vW2EYWfRjXgrDbaSciJKCJ3zzOej8m35DgEQKO3LSM+hxSKKVeDw CXiMNo1TaUZNxcoAZ5q8xh17LJLFOMu4g8O31glG22YHFzh/OHHzSMLxjGNv9t8g7Rx6LIrOt9Qz 4eDwLYiYco7G5PP/i6x1w1TXK4ueQ5tFgJ3RBkhWc+umVQjvsOGM0OG66DmwWQQshieS7bmRnl5J XuscPIsgyXPjI8clWmB5XRJBjbGuR7eXDZAAFD/Dfe8DN9uEtnpWnqF3jHCk15mBLHaEpGzM2BzF 0tYgJaRiUPY0pnvh5uZWlFURDWPJ0GuxT1ncsuTW5y25nYQGxz5ImKz5oOAwrj0MvJXPyZAumF1d 7tBtURiAKXuGeSX8K36JLUuTNPh8NE2/+gA4G8t+dluEKoG3+jketkmInHXrBg2rzebU0HbbbrHl IkvcbdGooa3H2r0BqrKXRFYg51UbmWtA+TSUcoX4r/L4aPa2wXZLApWI1dld4wBOL/LghXQtn4+g AL/lrYH5vxT+HHsu9vzLJaY05/AvAs8kIzJAPNRSCnVEGGmfA375YEsJqoJnSPJVPt9Qs2TSOxrc ibyU2whApVzfElQmh0liTR8UJqV8fmnpHKCDGzgvfl9vRCUDiVj7cyhFETd2c8OBaqASmhQRepPo Zs1OwEbXWhlu6L2og7s3OQO3R3iuwtx7Yz+Cf4SoibF5ohEUQFBr35YSUX38kuh2w7W2N8HtHGwp VYnkgmec+fy/itxcNTjH29ftFipdw3969W76l6tX4/LPywTA3VF8jiJUSevCS7DxKNsH227zbFbu MUQAUyVESIFPVAEKqJfl9gFUdAvtF60PIGcmDlaYTBCd4DHDMylEb+dfcRI77+U2cAMDRvQmsd2G FQGQujlVFDe3RQAlPdvtbwvGTtdADZ66wdtrFMr2dCjOcdGc3HJR4D+R7H23W1r2usF2Iz6pUOtN g07uBm9YGS2vzuej7fYNmCjFnGh9lqKIG25RBmJhIwBySN4I2LcRwI2rpRRF3EInj2TgyRtxj3UC jF0LU7cOGr8tpU6uKI4HqsqrNNmbvW0EB2SCKzXV7mqqgOGnKvWevRafD4LJ/H0myTSit5uXhD6M 6K61DWZrITEbkudw0zvRH9JE5rdVuulJn/NX7tYaFVU5eNXtHEgnl0X54PyQZzboQN1QskSfZsiG w8NJMpJy4MToIfcCctUGO5TO3UCgSD1hBJ8PgoDhh5Lx32BidQ+l0IqRhn9DVu+VgYaZvhe6zhuq JBvlJgdWjFBRoI2MODfri3MzZ4WCIfG3FYdHyuK/oY3VQEBqzqxlk0AvG8wRq22rP4ORbsaaXaKq WEmBF6NUO78TXFwmVyAih2aMCJG6LNcFmLgJblY4wAR3NAdMhHaM6gQsghU0eBUAiteVZjQwI4LA TPG2E/XB0Vey3G0oUAr8GAE4ECmABUoOSnBx4fAvrK3w8eBya99AbgmfXFnVHBoyVvYme5s2R2wZ mEsBm7kElbRbBAgcGa9yqLSbOXjqBksLhEjsTaII2m1Dlh9aYXIhGYZLNbfQkVFWKWfHUkRlYLJJ gcg8znA5nQhj8QMiofnxneDic+Y1S8mBKeP53kmR556NQjpdYCTRdFNw97N7lm+3uMgt9JZka2p/ yrcVTIdN1TUo+FHcnQkuam4IlsatlFDWMAeujKq+YpuN7YPBk6A5JZNq4CVCW8ZHJlzHV4U/7D22 A19GGKOdi9gE5lF8I/ISeiO0iZWjTGmXEfCHLyPDpEVVPg4eJgGyYsZ6J2SFtzARncwJqBqTrgIt xGz0JRESgJMz8vDBmtyLjFREFrPy+Wgd+W5T9wjlzfrkbqE5Y12UGnEt9V2TpyXIuDzDnd0ZAYE9 o89SmyJCzn6DyX4mudEmF7nbmZysOAnFoIYiGqjuF+i27G0DntJQsY32lRwUTC6Y0jEr2any+aB4 +1C5uE+pwQRask9TGHKTcjuGKbAVidWxh5utgnK2PPVaNU0xX9KsBbMPt1oygVEiYqJD4pCIfdDE QYFuFG1/SDgz2esGq4k9gGpY5eL+Mjg4m5RAz+bg8//SjmCkBJRU75cTmEOrRhxD0B8x+E0t+7a6 aa7FLu7Zc83iycCrEctSGn7P4F1cWDVW3r8T5hdVrqmVtuNoAH2J/EhnLAdujeJ5gH7D2JuD8QJ3 6pJYmehPBn6N/z9sclb1DmySPq+BUjN7B2gwGPO25XoLARYzAe7eLVJ6jZPaCQEIdVtNIUC7IQBM ZRY0nwlQSui7skPXdZ3TqgyOzEXrCNfba5TUoNAiSgllaTnYmZSVWIGdhadfZue84Dalz6bkFr8C LnD8i/OjRJOzURvpaxj1hnr1ggFsYgNQ3e61OwwgmBxJIM0tFbb9qLCtc+lU14g6v/isOrYqMnkU XxahyfkL5P46Oai1VYZF7Q6anIy2BaH9BVXb18lBb7zY5AgNXwC3STY5r6FRy5QMQTlniSiRUOMw r+pGkG1l+x9oC0zOa2CElcMdg9zVB1s558LHMqFF2tlRHb6BP/RqJrQUha51kW3Y45/XsKiZzfDA rvfBbnycc0La7PZ5jYmayiqaHEJOWRGhvBgd6KOVgyrXlIoPDMs6WsooZzeVsykawfsRB/RrONRQ WpH7KXsj6zEZRqkIp6cAbScUapLjpzFaPVHjDxkShkRmy/81GmpeEDlrUuh/VLSrJqfUxGvzxEj5 8xoM8e/0lVN0WKHY4yvnNRRqmVYnPTvkHxJyDkKe+pdsZNM0hyaowcoZwh6zOarBW0YLOAhZWHa4 3w2JG0JjvqJ9BGNz31bUD32Ng3Dm0Pir8WrTYGy22gRTaf3E069RUBMYwSZHEC06DHebndcYqCkG grxqsjb/KFfacHY3GD4BjDVnXyOgJpo5p6X54GsHLPbaaQE/SLWl/hgtjSuZnLSM5aVVEmuOrwEQ fkjqrbW5hg/WvV5pyDrwrKnPa/iDtWNFZTt1wCjewzfWa+iDc5yuUmz0yf+9uEtBqctIbOenHVaS fQ18MDvsWK9B3hEHT8dAFgBdhBWiDVhk0pEMSTGEIOlJWaveNNhZmd0h+hhp0OSMJhMcMOLG5zXm wZm1/L5ii4iKN8031mvAgyO5ckUWb+uXptbHBozK2/pYuFw5r9EOTmSJQMmJiIPD1suiqiGdas8F noW0BSUP+MPzPfMhiSzq8kbXlQk+kqe0JOaM42OfG0B0YZSqXyMdXhnD5kaqUehxgvzFuXktLmJb NVY7THDl5KjDOTRCNon5kJJ1ql9Li9jTUisuSlTLo1Kzwc7GGYGq2TlqcqO0eoKCYqfpxXosT2kA 8HmtLGJ2GCifqd4+WONssDjA2Rmf17pie1Sg9LPxviKci7PzWlRsQjV3Rqw8dGj4Z0fyeI7kfFWy okhHibsJyF5kFHBE53ig/CBqigtiMhTJgjMlzQZPVj0vBJseRK8VRfwC2+quywcjqhVpxUD8GE9H F5bvqyzwFbqKyIMVCEZhMk6IzpvV5DOSNaWhYvnIZ2zvT0dxshpBK3GeONwJypB8oGMukIFFbD8a W0GO7gdJ15XPyHrXYPlQaHY3wmY42PIpkkX5acTLRJHymn6lZ3bzMptTNfsURcEyrBqalBORu8sc CkDHWkb3Dv50vdl3ilrzDscUBZ2Dt8oyKn2dmftAqaMvgUGhpUqXXxJt1HbtVUS+6GwWo0iFMg66 11NNZuh5FvvnnaGGs8Q6ZU2pFm4I1Bdtgt4DZohCoDZWXCgLRi/O4pN0aKWcoutAvfPTmmjcdIqr Plw8EdwGEd8iID7XcCeusUM5g/4NaB0Y03FpgqKQuUsou3OTcbA+GZhXJJ03FMneY2aQHPxqV58e MQ1+FZ+iKGxGrtKrs6x6Ld6LBrIy+zHUixcSo8C5N91defjgU5SAcqSXOco/9NthtZX5EwVdXXM2 w5eN7/q+zQbjul6p2s9BdxgciNhRPm+PzkZ0EJm7BbYZ9iQuhlFvRvoeO6trA80Mi5274MSIDndy JyvIGTq88T14PjNEAfREw3sNdsmfSSlnjsVOH+d/qlOQWiwiHuDk7zX5W6ikER5EZDgU+bmWm5Se O946Nyff+7wT03gn3aNaVWyEpGwmaoqiABp/WhPERMrFNCWgL2y3cuLJc27S/h5Aq6999tdkh5CD raEFEz2KILNIhgoTuxrEOhGZ9BzVVoyOAmhCRgeTEBtES2v8MB4r9fPOSmsyN/hKvpABnfe5SygM oSsb9kMZKRRQkw7qSa0iVqK3Cfz0zzsnjVUNbK6dSvbB5ycj7ZqkyQKpXsgd7n0jmZLnYLmGjAyE 3hlp+At5Ti95m1toyArrCWh5JrWZ9+edkMbkdn2d06Rs0clK8xNF0ZDggJWeYWNQrPJmveiO5EKV 7EXoKI6WLO/JIoYPt2o4gP6vZoQGx8nODIwcnrV+UHdyFhFli9/ZaFiktPSc+ENt8KJYN+flE2t9 3slo9ooWSfM/xnfS4Vwz9B5LSxIT7XnNCxzFpiVhaIxqsiCu0E1JJAqmh26ypQx+3Rli0xCKD/Lu XmtlJiODMQ2gw7AZcG/qYe/6PkMCMg51NDhYc/XciqqMdQjSRNH0Tjcaohki+ijMLjVDUTwNIZoT +zdTEUlj+QwlpRgkMVEkiTMUBtRDM5SqDzZDnWqAS9LOJ5LcWxTRyiz+xDBzPXI0c+pdoxlChooA 3QehGQG6VRcD3ecooHb8XJOMGC6IsT3deGeh4aKHZcJoZt7ZRjUL5n1ibO+GNbg7qnYYh9Ny8mzZ B8foSxu/SUQM8lgk6jWuG3SVKreYcWKKXvX9FlNBHvV7Hwx7tuZi4IgL8/POQEOYkH1+WE1DgtjE 0+MERdE0YO497+ubqxxVvrnDEo5Knybe8+8EtJZNtnjS70SDd1RPrJlYVab8fifUAPbvgJeQ044m 2aXpFb1sVJrH+dxFZeyXxQClyEplrHp+ic87/wyn/J2iYUXEUotvsXf6WTPb3JMUNMdUte7dHZqK ClVdmqGF3tlnrNJxDTVeUhw8VjzZWBpUE5wwTDkZmISdIWo6EIPCVtTrHVQOeWef3Zysd1mh9Stp eP5JKnI11/Z55561hzRsoRAcFAsnXVMUhtNTVgpSlcc/uQydHNZphjZBOdQUReF0Ip5qVdY+OPhV v3C9LzIYQNauc8kMrWCVq2p6uTA0OPu8U88Y7mUyfMb2weLps+Blk5IyH48Se7/KyHoZTGDuQf3O PEO2Wxh7D8edT0MLb8jdWNba4M2sbRbVonUQLfkNrsdvEMDFcpaSSCeUQKFsGLxBQBOjXsIV6hsk er7zzpp7FCx0FWywxP6E/vJqOlHF55121kRqfcJFyF3Sz88mKIqmIUzdy2zFKkOtuzRvFhKdVkTo FTIaeiedNecvLvoKafAOIXwhzj6e2mZnOdGTpxEsC9Q4DeNUrZeJwzvnjCE7f3RJGT3yvxWctimo /Vyfd8oZl/n6usqoPCaBPk7QezhtdmjlZmTAltsETRVDiDfHxaMl9B5PZ5+gRR4wBz+pzxEEKib9 4vbODBtOuIjCZ0Nui1viloaW3vX9GFpDRbN0B8csjqaD9kQSn3e2mTWLn1YYIvyyKYTFCYri6Unc 4N4m81gpZW+Wlat6PA2ZE62g93g6U9Gtwskr+2ArCDNxzrNKr6YNq3aj7gHWhxdEd+vLq4nfEUwQ wUFjUJZn4OQ072WozfFeO+tqf965Zk362V/R0CBc8UZDYW16o0fYPCObfRpHGKHLj3GEgSnQMf0e Tqf/KwmVXZ/BW6nz/E+FapEnAD5ndJOUGAFeqAlSHU+VMxnqvfPMmiHxyX7wwXqp5zOHOZbzFYMV NOfXGURUOEQbbH6iaBrRYs/sRQHNuXfTstlT4A4AFansox32HkxLlaKio7N98JoHrpsyiUpsUOYt ZpjWrObBWpud0fhFPu8UM+6wqVQ1+yCY4jIZifNV6/NOMEOsOfpXwsoCiCDB7DVHwXSTGZqrzebi RqcJjQjDuST2kNht/jua3pNODhw0P5C0RnsvsWoG/L0StwlnggzbUPhQeiBEEMc7vYx9LcaIJPJo UMWj1ykrq5Ncfd7JZe3xIVJXDP4dkGHw+QlhHJiflprrzLayvGZ2VYtaV88w8XP+SMYG4LE+2Po5 P9tEgZLqaTXRAB5TiNQBeJcs7xAVFYteNZgeChR1mitpsI5qT0PyqScW+bwzy3hAO1aB8Q7yprm8 M/bOK2vS2+7pNn/QZ/YrvmbnlTXpYZ/99U4rYyyFXbNVfN1X2fwkq+cUrjSCpYPDOSIUbE9ohGBW qX9lBzTUWz7vpLImFxggJ8byQesnNxojwhqUbxgdz37Dqzt9fprxrJ8ojGY0q+wSx8+kLQKOn163 qe+VCfKGpuc9ikaxhbVWMgI0+PF8ll6ZcNVCtWOeSFq0arpVZP7rcmVTKXPxTidjnpFJ/lH5fmOl Es4BFeXGZuU8P/TnnU7Gcsf62mBChSSvKL6zyexH6SSeS76hVe+OGf6X8g1kFHGGoqI0tmgFSLz5 YAfQSOskAYlqKe0c3UCTE7UzqM8+fr6qQUVv+lceRv9sGy7UvlNVFtP+eeeRIQRZt6DIaUV9EZe3 zc97DI2ogj3D6a7m9XZ+pmJotDXOoWrd1XcameXZCJ7T8uErAkKdkiEisGkuSYA/t53Li5YpS0V7 Csu/s8iaSzeRcGMDN1jDESd5mF4/7xwywjM8QmSjEVW5uu4FFoXQXZoNJmmFvezVMvbVBOqg0BWr Ze8UMl4P6oxJm7CvW7IvO9UTh6nYkREZMkIkCqaSaV/zw47qWy8blcuYp7KxrsHQrOf4kyphTfXz ziCzENwioCK5MKKCPJd/p5CxNQbZ2TINUdazwVo3ar2GKNNa5B0WFqUJC5pbXjKbimWTwBfUyDLK 46DYbTCKJUGF0miV2d9ltFDm5PPOH0MqL/J45WG0SBnqimfW0p2GlObzzh9jkOlRNFFERNOCt2NT FIXRdLLKbVuqCpqA18ymue9k/NoeB4VlaZY7tqwuOHiq2kHia+SzdKjKysK6wzS7IiEh8ddEiRkn vrPH7kE0Fq09ByaF6TzYmokOXD/jXOafd/YYc9XbYeV/jJiNwE1NURRJo9DRm9T1WTSrJomSaLds RbPkFPJ38hhen6WgXbjTONyT6OR0BvToUBBqRUQNIM3RBmZ+a6uo2LsGzR86XHSZm/fH3PyEK/KC PznR/rwzx5rUN7+yeZxnq/g2eyeO4Uuh/FUof4yj6ISrJrHvVcVN9jhCHEzQO3HswhhkJ6jhQjfR LVuyi4PxVKb1XkHDr+EFv+uunZjxd+IYcQgyhmW2uoqLyJ5rezVa5fSz3j/vvDFu0/Z1WMNL4twV voTeaWNNjreti5DJihldqGEA0mkAQuNcJpMEb0bB9FRng71SDd77WRCbssI02NGF2xGn6g/DCcKp rX2IstTnnTPWktNYqFOoQfWgtE/eyNv+ROWfd84YCw7zKxurvEvTXUFhNI1XJIFb3h+MK3iZ5Zyv 90elq0Xn57yfQk1d5S2ZvT0uEGaWBjMLmlrAJy2pEwumJu4F5X2O/mUs/3lnjF0gDAH75Qu3T+0e NTfO4fR554sxnvbKvZzQKYnk8fQ7XYzhInX7evXrftodn6CHY+1DeuGw3vHOFnv2mOSsONgSWlBA JfX5zBBqS1tSDlMIXJSurpQluQOfd7YYQaqSsSI5o2dX8c9QR1Phfp14+p0shsfb/lpCiGuKtIc4 QWE8jZvPuGJIWIG+0im9KRKuhPVKWLxzxZqzoPYgy4eDt8fGOEuUppcTp8WiPHCfQAqd8+hs65o8 YwUrm+8aZawkZA5dZGNqH29IkrE4lNcJqN+JYgTzfceLC33uL/x4VJNGptFWz6qNtSmLXBj5yY0Z 4WKmWSTP6DCc1j1PHrsGBwFT+GQTJXROGvwzEzJ4OJ5PXj/5Cg9vgoTeKWJN2lNlUN/CBss31mhZ Th97fN4ZYtwp82t6yGPI09Oxd3oY2/NQ2R7THSu71TuQeRtEqGxgDDQ9cTTNQusmOGHveaPpjrXT k8ziIICzqsB6SOeJ1WC+Op47/p0aRhBVEgJv+qD5gQS1zo+zSD/vzDAeX98FaSSvVWJD/NIolAZD Gawdq3cYvZcV+z6vewVg1NpeMcADFzVkYH3wO75hNRXyetChXGJrLrAyyAMrN9mgQtHnnRRm+RTs T+YdND+kaPMG6n193jlhvyBUeAwJVsndAVQtjKNPMAnzMCc9L/M+hePQciX9OggG7vycPzqrI1HC VoPH0TAGWltaTJAYaIKckyiYt1N7mgpmSe8aEZ+KKvXdB6WryTjWFYpeLUJ3PE1D0ey3vPxsfsIg OkMZbm/rzUuFDvtrK+MgSbUVP52jcrQbDPThgy+fib9kioNwspgTmfH6QmmsELtIhwmrt2p+oiB6 kX5QKGapwTdYkU9W3YmPR635/Z3Ps2/UvF7foyAa4S6aqlYwOymk1evLdmGBE2luQ3H29xhaOpuo A6Xhg62fiaLhgNYcyh0JkjMCDeNqJaSR2bxCaBbMeswqrDx5uM84CPzS5hLUvoyKpwOWxr5AYAsn f6iybvMThdAoI6HzLwGBTvaCKS+o9YOGxurbNliPwB2D+N49CY7i4AHQCW/3kjrFuctO3FAJcmDE D24yHVJNnYJI4B5VpKtcGCnlqoE39caJt5U2TDwdUaDGV/wDtH9e9wLrcUEabATqkrFj2Pa1F5r3 gM7EE+IG61FBWvjnldbwwVqqJ88qECyQcc5JYZpCaFjAMVWVHIsRd4kP6lEITTY6JGtxGFcWVgib L9AGlOjCSVPwfAAQmr7F6DbK9VMBNLRJCimGldUOC5hxTjgTqk1PWuskdY2LKIqi5Qk7KwmYs14C 5oTs6znRaHl6Dvtpt1jGR7YsH43LaJEcbI/C6CoyFIWHNegYwsdJMu+cTng8yuV9nxGdhqs278va 6BHREBAOMPS29Ls6FOkYJ6buLvCFjmvM5XsM7iBbY5LtxME5P+g1T7TNcFBT89SshQjCO9kfQwPJ UrCq2KPC9GIYvQDow3CmmMEzPl0cVfAY8XgwQeMXrYWtcmYbmqA4kG7wiGpC2aME68IddZq5F4Uk DEPVY7JhEwBv+mAb7ZzJQwqvFMxHY2Kr2gE9tXPsTQoudbU2st41uOnZjJg0A9BgWGDI2DGULpOv GGyz9R1Ks3LyEOp6VJmG8Dw2dSOfuaeFliMV4Npkv3lRmDpZZbqHsTQZqFNg8vkNJkemuqdoYx2s 0i0YHj1h6zkU2jU3H9Sl7lFhmlIkvfF81aBcHiwiOXj1MfF4cFRf3g9BidTQ4uGvGXoPpsFqYW8D 5zxtc0Fty6YAi0hBFUViobmEomBaKfqSf9f68u8CsXNOstqwyWC2lS7SFYW4nly5O7NKgu8IZkja prltH1QPggevfBfPdsbjEWH1F5wcxSVoYtoERWBpIDBhOiLrl9bzlojgZseSx/SJWdxWuEfgDutt SLR7TfbiqugIGQ1VWncB4FzEiwYMTdQ6+p1ZdwyxEb4jCobY2sCNZIPRotqStTmCPzweraD8lY1l iRFc9EsPw+kGTMeySnTbyus3wulpJemT1CGtY7QYlaSl/LqkfMvBT2nwVCY9CM99fKIuwCeB+kaW Ci+KvS66gwcgvuP9DKJEFxRfsw+GBS6tCGI4UsPj0QL6Ligi2kPR3stBIwqncVwA32qEhLKm4xdG dZMzFO0NpDiimvRUvpra9sHxCxWiSki6EA71DrgZ42me24V15FtypWPHiOJptX7aegbNEESvtpQ6 c8bjQUA9fi0htBGYAmuCongaKm3n7DRIq9OjNqlG0+w7YfhsGMUR1qTZFVuDUHoOvoQg+pqYsUwY 5NZNr4pGECWqT6NdAMyiUvcIqYf0Dpy0xdBgFaHd3HR78/GoA/2rJg0YzbqssRFjpakX7MqKSAqM EgWDEpPqgJSrSPNRSZrWT2CuCGrKFT+4gE70Bu40r/mGTGSrU41poCAdn9Q1f95l4DsCDCe5UAnS lhzM0TTvnirv/H7+Dx7/F+VH7BfcPhfDOaJYGt0AeI2Z7tQU7G7+7F7s3wGA50bUIwqlUSpFXgQc zBnIGOH8nMQJTO5VZIkDmwZecwP/psGyez+X/MT0hAAP5avSC95O/oTLHkEFlI3B09EBdOtlmEqy hx62xojiaES4vZTuZAS1WHEA9dQvxBVQBh1AUSA9RXtWD/qRXgNjEqtzUbFj4LZpUl2AOFehdA94 E34C0c58RAVpmmB1oikxoPxCsBjqKBSm+zk/Rsbz0QpKXzsM3OVC2X1NURRJA8p0TubqbISakmHw BmBY1lhFzKgtFkXSJoeTpG2SHl/FTT5U6qbIBUgVYdJkZgMc3J4jiLBDfEewxbY0FAmC4XAZLXLG A6ELj0dH0GW0/IgRlfcFKY4QJg1qr2E4kbiqF4YJKuO2xTo8JzRBEUyaUMOz8lfzwdcQPPVO/pqk iXOOaVbNJouum0ygL3fOpXeNumLIDiq9gjTojN6bWoOQDegDjwdx0NXuENEZBd/6HNJRWRo4jiZH YsRBXZLK6wdYDDE46OtsudiIAumpo2UpXlwsqWeiXGE5VbKoCBuY+C56OKqumCzQpa5V4Pl4fEcU BymPz3ewsnTD6caDd208HnE1vrdYJe623i0WBtIDCh7blCm69LCVrObkMMWVpzlzjzCSnra3ig+O Ejq7DAjxIZhro4UsbnksBwADMltFOqfhWsgvCULpJj8OsQ8h6GVA8nOQLSIxoVOE54OEvnhViOul ZVl8+RyFsTTAyoUgU8IXNg8kOk6inchsA0hYP4bi2rTcOHf2wXs/J5E5iTY0ZOY5sME9YUJfEVvS DAWBsNXuqRWJL4l6q6Qh9NV8sOZzzdIaPQd+xuNRzSN9bzOcaidP8MtshsVpaHHDt4lp6vmnJHl3 TFEtjvCg7jMSshlF07zszz5isMjBq9NAM3S0z+nKDQVVeXYNqrOSDnqxZsw3ZgjwoMDSVvF1e/E1 I52mBNIPoCh4PJih9otBT+5zv+XpGYXTCJQhUCqju9ZZAjxztTNtQ1i+LzyAsYZmSD0kj2cVZazl aa9CUgD/ogiNlzcaL1AqhXwRNIUQv3vxFcVUfEeUsXai8IgK5mBFoQUgMDPWufH4vw4i7ki0qSlY p/mJomkAC54gCBpUfpUpqeJVNla2q2yGzMMqVi/VN9e66pvrRAxjl0Y7FzhQjyLLciBEKxYp5auM vUqFgRlXp1kuW+0OBoGZpYrffZIQPP4vVp0gMATreN11RuE00ATnfF7DGqy5e7y4VvL6fUIDjSf1 DEvTusoA/bPBJ+jEchn8YTF+JqXzIb6J7d+oN/+wn9GmwndEh5AICTqEyj2ECgD9hHOesB2PR7qS +TtaxGtAYc4mKAqogf7pndbDuMpG9gseOaabcDawbrWCQgk8oac6rWzW9cUAiOrkSxveZygKjVGJ qYZA4BTrb+50Mw58I74j0qjg0kk9+aAJamtSsPMH3C08HnQQW/s+g2gCmq7M2wzj6Ykoy3dWW7Qi ROl+OVUceli4EHlIRxAPaQTNVZIPfgYhXV1k96MDdMIVbsbKYweTMxAeGCVhbr1qcEh31n1wq9ug CRrZ4veTTw48/q+6q8qumJ+rLzkjjAfcKM8dMLZRxnZJRhmbRiPLMF9vNj9RXXqxYbG2WJn7sjLB 5oUwGk0AzkI8GYVMA4nxAk2JrEzXSS561WABDZ7RcIG1QcHiOMc88VT1nEt4PMjH5newCI9lWRFq fqJgGpqSuFEk8wuMh4t4oJpjnCiQRuwICsXwuG8QLfvgEzSokUl2BsAA2xTeGSyiAVSALTc/Kfpt zKgsXZaCO1anW/bmAKTXshQYzkLF48EEXTUqdTYwQTfbmBHIQ/T55Riz2pqzMufajjEr0GfRBEXR 9NAKWizKc/AJ6ucWIzideuRI4yUDg6uIN1l+3O1IOpxRMN2SeL3UKuWgokfKiWJU5Vw4eDoCCd2y PaZIncvkrZ8Zkg4HPK/StDM65eVndKGHGkW2a53W+pmhhMdk0Swb++fCqBZ0ACpc7dmkz/jDeFih RcaODc23jHVI6/YZauJxbxVW3DVoBZ2ji7aFJzDOBY//CwbD4ypTRNbDxBUF0jAJPCHJnHYEkTCI otkJ3i0KKo1HPe6wFaE8htRfClmHHHwB1d1Rudf8sNpK6fuuoLjgv/ktrr1ClAcrHqiS+WBQ8jEk NHByvIrHI5mcX7TMzV125yesSqMBTAsHRUFtOh2h8BxRvnpdEVaI8lBJaLTig3fG9oZhFBWS2wJX hkquQN0DG89e7K1K8w5bYVVaUZCElvoVWkq44xdhrntXPB5sseILiJAQYkFve36FCtIV3Yw9PEys c3hfYxcvKxZax+AIWjHMg2zLzOrilh58YxTUOpl1U3Y/qUkreJBZgJI03cseYnjDdwRhYiJfo9bu wwUqdpMWgCZnHEeX71yVdgwQSLQZipmHLHnMZpnGHhb7JGq7qzcGMLjNUIiUlrZA68UHL3mcOUH1 XsS6c0+tJYMp4DXIAXuqiui78jsi1VJuyylB6XkP6bOlBTTLeePpfx1BoqkipioXKb2iQHoS806q BzzIO6qhbK6iIKipKgXSAzyjV0w8LMKPbR/8DMq7w/hSeoHni3ijd4i2n8QAwgaPwD/18vEdQc2M 1N6tzbGrAaWRqlpces6CjMeDLTa+wyD40pT5bLEojAZJGfaNFiZuiZpMOkVM01askCixQzpU72AJ Y9bSfDCAx/mjz8Gz2dlAifEcc5ggUojQR2TV1FbQuXWHXjbAwNBvbKFqZIMBGBpsr7lt+sDj/wvC A7cROQY2Q1EgvdjbWJa3d+B+bYs1mgyxcJ+Sg8lXGElLaHvqsJ5XlXPlUSjCzorZ+chReE41oYcb HQa8HLTZXF2xfAcCxaprrK7lLnQQu2IjsafU8HikDOwTJEftobKvTVBIPMyAwDRCYCj7Noa7sm0x eoEGTt1wZiuKpIfqQE0c1vZoAy/wTBEtstpxpktt2EYxYTB88f+8XsbC/Yoi6UQU1UyslnLQHjtX QOKd1s6VgMeDU3r/ioP0pveQDuHSAHhMmuQwDqK9OZuHrXvdfjRHu66/y9KTKpcaLpo8IUogpe7c PAVwD2wxZBqUEsuU72B3taRl7xrBpZmLISm3QeWgATyn+M+r4vFIlfzWy3jJYvXgSLEZikLpxd4P 5fZASNjDdCbPsXElhCqmUTP0t7r0GJQG5uDJPPjbpUmp6yytDtc5ODlShe/EA8g5PBAaQ68aBULE 4QHwYIPOIDCtVM7ZmY+/T9C6/PmssLtD8cP32I5CaXnWdlOc6sC3OSNhX83/lHwN7ZB1aBDF2n1w zhjM6grxj8ArQrSUvq1AdNNxsYxbD5JD9I5i6UZb30qajgYtIYDAqCEEf2s8HhTMbmND+wn3/GWI 7/dYWvYtXY0TTtBehghGf9UniKZAmqA/JTwAbKk+ONgVAAg41pEyRsljiiylCtnks9NG89ZPwYri u/5RD+r4EB8MRYW+rbkY8hWDayx9H0IAEZR8ITA7jKUh0yXmMK6xwuo36kHbsQznj9jZ5ycOpeXh Rz4GhxsI9X0mZUqEahXsMywgdLzzPmE0cnS75ukVi++I5odZ6qKSEAcrd5wcQwgNBEI75BwmX0BV r/ZDSInNT8g5nACcLoNLwTNFMwXDNOsdlpn9CNohwkNEni1g+V4PQmilczBPQYFPolqy7A2Z1qLE xzTXKYdFrxpJnBBdhsakDdaeP8Gcmqs9bTweqQL/ApmhJJAu52fHUGmEQcM9Wc59754sgyIrErhf LoS3Q6y0avU0YtTgJXtYbc0sDaq1EfMy06isWQwWU+4JxJL9DkU8yIZqbLBosO4zIElLG6zi8X+J ePC/xTJcFwOzQ1uWTTMWLhuWFk1OCGW6YeICjfxpTVAI8FAOtlSyX0/JHjyi81kkRfUzCVkNaog0 IJvPpCd5vYwqJzuUlVYNkrLSXx6BQOSa6WpNC48HYdDdYWyhIbtqJDJqhiKEB1oD5xJLbiW2kssI 1TaT5xqj+B4LhfB0iXVZtPQLU5xgD8GllxXFhZIQi46dWgo4dHRGd/nS2rtGrFUWo7fMWfY1ZwG4 mGc07ErxeIQR+oYpNgDnkGLbBP0t4TGrhUGdZh6gRZnrGrj/+dKi9t8AjxNELR+86YOeT4OmFgtm c5Llg+C6iTfWQbDgCipEk+I7Al4mXY13kZVEcdnYBEHXZry6gcejgtl3VyyjAdCuouuOAmkQlLvk V2TR4qFPqqtcWhR0ukiL2nEkrb21uw+eip0VBHwxM43zVqOQ20ppPbpH7OtfAyuP/8fYl2U5siPH /nMteXQwD9r/wgQzc0cw1eFZ/fNQ6neDjAQx+GADvyI6ghIJ8+sONj/gkGl+Fh8Pqh33jMbiNq8L T1V3FEZDjrmzeWaGvcOKZNAYSHYEZTbcOD8RuGOyCrZVTuVgGwxGtq1lwvAgdJIXwdSdoEMAXtAs vUqT9q6ReAczsZHv4AtoVimvn4gCj0dCb9+88IyYsd+mYU5RHA3KC4SozJOuLBr2USMKwbUM+xL0 4CS7naJAenCPDfG+DbksQsua55eVlNkYJ6iTDUfCmmtQ0qVugWOEKLWEbwnImcICI2rAgEq3EvoG t1SqTZ5fgx/wLzwnnuvn688d/UxTKIh3/n/OXZwE5oDlVTUJD5T/BPCoiwRxmtmE0bT59BJPz+F2 V880SVgJLkDC/QGgB9oPTiG60nntfmx72wjCoEPIyv71Jhy5Sn+yjdb4fJBxXEiwu7CVdXVvpb78 +thiqlpNx4N+TlZ7LSs7yoOqxbQBSCFqWvaPU6Kk8+H5AhXfzpJV4WPMtckILqCxnt89/4Dl4TAP gl5JNApWAieJQlJnkjoY3FpKu9KmA5ywxQ8IoqJyl5KaQEjM5rOWwhI1XMTb9MysCXtGVB5bvYQy ZLbuNE2h2aG6X6kUHx4C0D4/dFX9gwq4Q/WPibgES6E8fvRl2+sGVViCXqvwMPXiYfJJXdW7LCeg 4/P/qlPj/TZg7fWe3DlF4XVCgt8QWshJtK5tB9PsbiraOvt3OpiiSvWQE8BUfD0fxfsBzey8ZLTa YSzKiawV0GBUrTe5iAyONlWCc4ogHyQAdZhM+mCIhqF78XwGPBNSiPm4Krg4Oej0SLUUn6WwWo0D bNGiC+cSpEl4Zu/NpI+6HjDc+qmapLhanVSEvYOjF8EoKMXEl1BRoQHgufNPiAuUNyV0DTfECABf EmHzllhSdzDg0Jnsqhr9SdPw/Psk1atPZf01oAWelRSKeywURHcZBoxJrTkwxqkusNW5N1wUZU+l +P/h2DsBc4BKhE4l9M3Y4a8wf2uwbWsIr0yNckx72UjljBdcn3cwhOdKSWrIc3c+H/F+bxzJoBzJ JZTCfZJChY8qv2ez3xCEWwYl3FrYeedPLm7hksKidTJXgOmDn91jg6E+iiSV80jMWipE/cidBfDB 6kWl+ttG5GgmsqwTYrCoueQTd1HJ7YQbjc9HzcX+vZIQ5OfLeaG2wPtjq5Hbail/y93br8BrWE2t XrvInCKdD0HyVy93eLYb/M6ow3QStgmtQsaTIGDSopYwvfXlb5z+9nHpiVpnPbmj+8k3dxYK6GR/ fD7Ybpd7x5y2MRV7VlIIqKbLMaXkqSlYl3Pvch5eu+7Q4rdJiorXbDV3mB/6cCtHKB0RR3i2y9xk 3QGvNFRGBEHbgESFPvH8kqB0VFjen4KiTYei4YOb5E0H48nQzOU2GXmR4WcCb90mKXRH5PE5JEDN xLYo89+sOKq41lY1QZQcuCMC6UABYYUA+wkBFgDhEP1T9REqBVJULjilEKhRTU70IDlx5MAeETTp IurU9MGgDgle7j9JCuY59Ecc2y835v44+5Bm+BxFMTcITG7jwuS2GM4zAcfoyW2hmF7XBwW9alOn 7NUHr7Clc3e2qRJtzzBiNt9xdOgWya6/e/k5NkjMQ3If3QefpCldODRE+HxU5f92BaJfbrps+xxb JNIqoO5lGW4dtnzO/cqkDnS5gt6YTVIsRU3Y3iKBg4M3G2FJjzSdWssnmpK+3hSuEelJ+WIJJXvb qFdElW5ytzEso/Wuk49wkjqKJDlwScTl8p3kwhWt1NtMy4FJoqQi4F9bbSXxt9RKYq1DTrbz2W5h xC31zv0Mvt0WTIY6cTMIi2hViUlCSQERYKMHoIrZbFnnwCbRVAnO7SiE/jaE/sluy5R+zMqVj/9r IfFua8RjP7vtPd4WlKAB92yEzpKqlfuzSzQBX199ikLGIm1qodDtg03RhpwFXBVwareEgpoMpnCJ QxQuYwlcbNGwt43EPyRCRBDWHtUWEg49YsTBGNl8PmrLepik4BwI0CdKCowSkb53KoQ2P5L8sE60 GNGRNFlP1G4L420XO90+XIjjgpQFUcQd6nODZe+GxLKCvLzqAy+igkwOvRLhn0Cn+uoDF1I/q1Xy HbkXPh61jb7DbfYhHr3cHJglqviAzqZZKBUybkWoqt0FcxOxT7K1DfEhTNzGKHe4Ve19fubClXQy tzMPySpKyNVQwQbW8PLyir1uECUNxZCr+KBaCVwJWMyvvWw+H2y3q/clLjlQjiCm+DSFKiCDIOsi HBamplyQSFM6d2LJa7GQA9PE7JJNWT2A/GjtnIsaFilFrpLQmJHMxUJKy4oJFqEZSNdqb/sHvxN3 //bBEOkF8hy8sOwlg7V07W1ZUUIXYtYnTIoDbgJmmzB8bdKkGD3aIRj2FmmgmfFmYJuI4igPJXPl IqVWxckJsmtPS6lbB1cPc0TDQtR1iei+UJo67G3fJ6nL4qW07oO1sRslVBECrMzng6xkfMdJlO1p +wkBYq8XRLw1C2HdzvWsAty5n4qTPHGR+EKKwSLttc8G/e95FozcghazdfbZGBCiTkV5fXPflNN2 aJ0ocbSdZLWdPD3MUIVyu47B54Ok5MqjMb2l/+e4Bq6heyIQp72ocQ00xChmupm6BCz7U+ZO+qDg UBKhq4oRWx8FS1BXIAdb5Z9Yulh8DW9XufNae3CP2d42KAKwvF1XFXiN6v08k0SJJG8+8/lgktI3 8rGA87MuBy2HDoqTkBEASYlboyiIjqS1WU0aj+sLtltooTiM9fYM3k4667mcT5MqyERVQ5R8dADq Sdcbtc5ZTSI+j18SHUlJQeQdtNsWXlxNxjL5fFApqd8I7MKTbT2W5BFsBPWunvJWDemEdcnBfQCp 6Nw+B1a7kxQG3EMqckKd1wcYcU6cExAPKslwkhK3WwFaGxS3k4q7GGrJNdnbRoUSCRMpywU/nihC yvIvVikhGM4PiCLu+jVL6NuiYXdnKQSPDFQjIeREZj4AeXZwD6d+Fqa/tt1iGLbMF/L0wS+3BZUI AIdODFBgAMPObcLNP8DooePC9Sut9rZB9x+LDIbDVYOpwhegf7lbMPl8PuLLXPeFpoWOfXtj7tBK EQZsHc0khZNNDtP0t1/bISSZ8Cb524dobCVv8ujY7UsYFb54bLkgedulyKlhgOVeZBG8boObYmk5 NlOk4menwZ8GA/TDa0aOnCnz+agR8C0GxputP/FkbKeIDmXtpuIN9MuFkfCNBSPp7haYQz9FEwpp K/ng8eTZIE3aYoiXNhD4uN/w8xQUTKDYbcQ0qTbm0FCRIRR84pYPmiQUg+XWds48Ph8tpV9YtkXU 6TNJUdAtI49hsrq9JosBzi/SVnfaQ6WQGicplrAmbS8xx+fgGW6CjXupLANM9KeFLe2Xd9CvQCpR XvySCCtBS6pCtRAO3lKaUzF3XoPPRzH3r84bQHffMXfoq4iTq+FXsarbMuYwHSIvnMRdu3Lgq4js TeU2URzTI9MMbg56rHIVgtsfERVDQoNYYP16eaBoqZcNJklN3MqGwL4qowkOn+IoltH4fCQM9m0c WCRi8pxJUciNNsY5AbPmoxfa1CvFlTIYq25UIGGKG3gr3tJkNqrRuuXbE4qsuhM9YViasl4BlczY 0u8PzVEFpdBcsZIIyqK/DUxxN8SR7M9ufDwSxLjIv6pCFCnMzyxFMTcyTnQKuwNLlusRj3yxbVn6 Tl0fFGH8WXEs5Q735J5pz61yCaSeCGhDY+ZMDhgi0F9kmfDMLWDL/JJgKdE7cKhdwsGW0vmcqpps zXw+KuB+AyTholD2BW/l0GURqmcd0id2KNHATZz9eyhB18vLbv+yWUyC36QLv1n9LMoNl2ZiSAEL oc0iAk3p/s/+G6OdQ5tFlSY35Sk12Byh7Wl168nng+32MCGYmeBMQvnYJykKusHbOynuELXoXHal WScgmW4POKHJD+7AavHEpfIQFIx0PzDSuWB8vGGbBGEHdDV4eo3BoiCcKxDXGO16dHvZAAsgcwYw WG1QaXKmKUnhlvWOAWLi8VNmkRvnf76CqTm2Wzy/ZE+kvhMnSRlJEYub0x7PqbocMRH6LUqXeJp4 frtg7fNiaUKIgLsNfrubx1YGwRLuqSzkXzS7+tyh46IU9tckqp2DSkqQbeHaPSHf4PMR3PZaoCgj BmTiud7+dF2EIre5edU0rojITJcTMUf2tRRG3fzjxyTmn4MDSwBFYysdmP+F44nauyhMN+w9QlK0 liDIrbf9i2FcadmowYBru1XiSlrNeskIDfCN5ILKWOEetkkKY25gbltL2dA3lfhkOcUsM2Fs2CS+ lmKNa95aXULO/Sa5MxEeUbiWTsIO8hn+E3D7ARICpnffZgDbmvyWqDwpuzOWcjkoVIKUQpabDpq4 gf8igu5vvyEmSWgR+SxFQTcSQog6FsOVjOoqzpmtf+446jUqyw0NGE1OJEvnOl+da5CvER4lLqWV TijPdkA5wSOYEeVn7dtWIi6aXxLdbwgkM5EnGoyCdE5uGQxu1EsCD8Zvazje9+jZtKeoFJowDuLd gD7g0b222XTvKf+PTtWg3H2OImBJ0+R08+D+grvNfPZaJZ8fWiN9k2CHQ+WnoTAJQrQ3A1R4C00Y M3XS96aSMwctpBNZEpiK5Hnw+SAxucYoyo2pc/vMUVjm7kiKl/FCTzRABDcLb7L+YIm1ugRdjm0Y Wd8+E5J9uNXJc+9DL1Q8pHmuIHYDAOxqUJ3NrHHbdkvLXjfYblkoNyqnc3CqVtFtB581Ph8FlPfo llcDwvtan1gprHRP8fm9HdCmtwPQur/tAAqqcjGFYfcWUWvcwQltSJdTY4sSQjszM6qiDh04Aj9r XXEI/Gp622DDJXlXyUpmOBkADSU+iolffD4IKB93Ai5CZpvtmaWw1I133dDqLyJnU1wetYDEhIFp LnsbVasplhhh8lrYrOTgW+4ExAnW1EhFIJZJn8izt6mOA9DK+oopSUvKgR+jWrEF4WP2wc6lPqWo cQLwyeeDQGA8GghGgzurKd2+SejJiM3SZjJDApCrzE6mru28CZmZMRAIPBnBkWMOtwjB4eCB93m1 BPA+dCjPEZimdVgAzezYRM0Jtpn9CH5JsJgW8YDJSFx+xZ0kYVJ1FHKXi8//M4lTuZvZ973jQltG CtDm7agANPwsh8vzNnMXqMPK4UJjxjVslrYPPkvnbkYRVJJQ5z+TcsukyqAMQbvncCP72wYIU7kM D6lkDuMhn7h+buXytRU+HlxxrX4f35PH981OQmtG4EnPObjd2Kqt7SS3si+8pD5zFEbekjZkN02D twRIQy5SHOmQwOexNFjTphAWfngDc6n4Fnozyt2zZynTsdbC1jV4wurAwXqNHxB04NpdSozT8UHz cm1z4M+oc+kEeNX1DTVhk3o5ZiUHe6LafcOFkbeMiYju0OC33Dm5oe6WaLB34oAkvgW90RD80kdX NRPqG+bAoNEEsc9G69sH7bc9ihG2B7AToUNj7rfazSMMTJernpUDi0b4xJ6XbNOFNSAKL+GRKjdL iB9Vms7y6P6HReOkLp+GSw44f0Mpsr04H3XCU10rcnhnufkq+LVtLxvEASoDEKqswfiA57pkeHle uvL5CGA6vqsBxAW2r4M75ExCSHS7j9P5V7nqI7w+lOkCq2WzFAO6uYs69Y04OFj5nLDgpJG2jUiw 0uuSFdAGFVoeY455W/a2AWWpCqVMYOC8wMATnG3RSlHm4/NBHTd9p3B0fMmXNZkDt8ZMC8R+9uWw Zq5k1jlJ1VO4CtKDT1LImxR0ospYtz4CEntDgpP9TyQne0u6tiCiASz3R6o2xsaZyV43OLk37WXk 99nGlbFpu0t+9ayOxeeDytJsXylcBmUfLOk7TVG1Gwv1nNhjW+gtd1QJrCc7lM5BfEPKwLURpxtr SpWelRx8mubJ3xrV5ifk3najaU+nyTfalV9aP9Iby4FvI7pLSXSc4oPR384eUEF/olEZGDci1rpr aTDThZbE7Zx8XiOlLoORTgag+TmlfcsBLvfTwO3Syf0aKHXDKtHX0wdN0T6PwsmA1bdzQm3A6Zjl 8e+kQzu8mYsWEq631zCpQzyOfTciZzXYkYRutMyEF55+mZ4uOQi8ev+RWnijj0Hj/3J+lmB2NllR tOQVCad4s3vDc1vsQNQ4bAkFs2NyY1Lr4+A5SUUndza1uivKMjyvkJoU9E4WUcr5C+7+OjvolpSX RsAJ5ZbiT8BETqj9OjsIc7LNjiSQpTvdbXZeg6OemUTA2FX4ti4hUyLd0iaui1CAvm12XkMjrB02 zmgbZ4OtnXPgzJKFvMWUwMsYBxXIiKh3m+mnYG5Nb/q+dgiRGYWa6BoMnAxSLw/qk558XqOijnpm s9nRf4oiG/JaTs5rSISNBTsuSeEhBZnbjpyTH3qvpMzrOPwaD3Vx7KABCV7wsHXOsLH1hBBv8+hZ 0HcgLWcAgltBVyJZwlDJbP+/hkNd4Qw6wFTmSbS1ZC+IaqKstQKS+XmNhvB3WoGtG5QZqdXONjmv sdB5CD852hauHSE/VPa0qdksfyIUK2SIGu0r2VTvegcHtp9NWbtd8gvYasK6B9pUVFuGybnvKyqJ vgZCOHWQkHQwCHwwYtuUIyDdAj+vYVCXrILmghPZ6CbOPJ+mUcHsYNbx8i4Dyf6LaDZ1VKfZ4H6R G2owO3MoH0t38LWzgfUTMHI2ZIBU6u1MxFmagPyH7q2S7FXfp0euTaOoPFtueRYCY4pfzmX2eY1/ upS0be103XAnlF5+KL+GPzjKIYjPHSgzePVFcOwUeX2C9AdgozkWRNPDVvT5hbIPPj1of5z3ZvBT UTVSlYRoanB6UY71rJVErdfIp0vkEh66CHw1OLnmJJ9cEOd0/rzGPTi0TDmuy44GhXrj1tABIDqU IYnf3ZUal5OYxzt7OYRkOjt3XkMenskSMWa1kYNNzqDHDqSAwTUDcrRI3hDw+VZhGf5QRhY1eoMb a0rdcLD/wUGTk2Awozr0yp/XcIcvWH9NDn2g76H8WmXEPdfHFVhlxvoQIaRFa0QIa1u/1hhtW6MR m5IPNjvnUVSCIN0514lqSmI+S7ebDpsLtqrdepAY/9cSI/5A1s66JJ77lXiu6G+ZzznkQ4Pp6Sb4 pVOZVxaNcjg7r9XFLo0SCgzr3Mk6gTA7S4QjIo3o4k65kehUJmZtNyqIcnAIzVjI4wGlnIBjV03g CdGrfAczUEyOyKYj0WtlEb/AtjLQ8MG4fVsmAuzyfF7rij1f79MuEC1KM6UNjwWjUHkI81AN81Co 3mm1oJId83D1fN45a/128+XLyMHnZ6cTAkNIArcWPKo1Pycbo579DxtpLqaR9a7B5hosJqIHYIOt HoQMvLYInomi5TV9d7E+TYJY9WvrnbCGHyWj1poMT9za3Op1nIu5D2/lzyt/EEbLSrcYD2rwUhki gpLs3kJwyJ7IeasTt04eBfm28gmV/7zT1bjWiSxjJUGDYdVnMi52y8CqR2fz3l/7C4aHNT0rKAqY oZpxtlVyuZo2hh8/aTkPi3UEA2AFM7R1dUFJzwbHOkBcNVvrtUJfQ9kWa10Lyq7IL8R6XJqgKGSm 1jDgt9sHq22c8IHBDDLrzztTDX+qmSn1H+vSEq7r5/M7U40HNHL2Pi7YMTvncdwDujEVshZ+cEKr 7gOogg8+QzB9zHD0weUOnQmJ8CcWKRbNMv3+wi3Hdw1SrkTNg0Eq1rjrNIFKKWXMstDdiA6heY9o oYoQcrU7Q++hMwpbhSmpSWB3FX8GU9LsEti9TCeqvcfOWZXWkSkgosGyrhP2nysN6HOsoYX+PQ9y tvKg1ZVJ5WvyuVBRIzyFmjQxkw9eaT0pCgOYs2A/7yQ1hk/1K+/K1DktNyt9J6nxLytm/yEFY9a1 aF0p+SxUrxIDKebs7+Fzlk2BFE/rl/DpoJzPuXE2AYXnz1qD7aEFXfhCgNJzTFstOgqfU2JCummf W7bZ54LWV9X5Sa1+3glqXQRhW0EWdUNMtt5j6D2AxjE0MEEOTt+1m7fnpIweJyhDm0cTFAXQLLEO KhvZ4DEi8O6FIFUAsGGiTowIdyPrXOsxhxXRMYqg2+T5DLqBDfpL195iTZ37ZH/eyWk85f2YFgwC +f+iTwEnKIqh0eLsPRUrsNbBnJRNe/L+WBQrkJ/VTRZF0SZtKOo5B7/JUsLjRfKzNDbBQdXYpQd8 XWGiGEUUMH5npmGZTnOoTj5Y9zCRYYbJ7vPzTkzrj2xW/5FDHL5T8jScofdAGgpJrKg2cRnODF3/ 3Fl5ZMPlvNZmHtXvxDS8vZyFu26yfm+yBQk6LCSZpZwkYzq/D2Uf+l3M61E9mt71fYakA7GSvMxo P6oZQmVM+hfQpolC6bXH900G98pzeN0ZioLpjhlKzWh6PXFzqzjWyTBCONbY0eYMhdE0S8hAC/ng 1TEUV9ukdt8gqlvFZyB+Kjo2UOtwZZo59a7RDNG9ctc7CEUMd3T8qxLNEEXTjslEvoxqEWWns59C 75Q0nl4gxviJ04D4tWhxTxfB7s3dPd8JaVzATMKMmT4eSjoMv9Aeq1QUyyfQpqUTGtTgWm2ynq9W 1tCrvt9jW2E0jgEbDIR27llZOZyt/Hmno3VxCp5gEad2o0ms5ieKpuHw1Us17Ue4x7nYSmPo5rmq ZWPvZDQuRNEaxx2cQpROHJTEjYEZ6lY7CKJrIDqBW1pvk2dTP/SdjNbNVQ+uldMHA8RMPMsZavPz zkVjxWt9x0IU4yJTUlMUxdPISdCT797hmQ6uGr0WB1dR345r6D2eBn1IGH2SFTjcPtiJyyudNQEf 6m2wf1/gqtHIumdp38odlBF5p6J1mU9SYr74YMfQ3N1up9o+70Q0tj/S92Vf2ABbfgy9E9EQUIP4 eV7e8Gfn93Dnwbqn/RNES6/RRwE13hf5KKNGDh4NTTigFRbpoW84pdhTQShszKopyyooDKVZP+88 NGb1Uofnbc/BI+otSS5ED593Glp/rAc7tWgGBduefRYF1Cgbt5maO3knIxHtls1n5pxDpHlwm4W1 aAI9/zOrnwuMrQk9AOg+rRNZkzjUKRRFOCyXn7k2kfT5TkHrIraCf05rGQ5K60tNsk+ByfrnnYHW haH5Ooiws0n90wRF8TTQyO7IKJfGZgdRdnXss4Tg8MZo6J1/1mVngnQVLUwNtoQ2OBKDfNQJFHpX hYQ0xgrOFp3jVK2XncM7/Qx/IXn6c6sjv70jf75gFmWt53L5vLPPGI9/TxBKwIV2XZqgKJ6eBSf1 NF/zTmMgw52zuyTcOUkkXELvATVOapaim/bYQxs+P+062Rf9hAmdK5XWg0AC/oCQ/MM7zQtDS+8a lBUnK2YrZR/uQS2TH2i3fN6ZZ/hTc/0OhmCM91WxfyeeWTOst7QNdH5+0+ZMmO2cz1b4UlxC7wF1 pmELYk0cuBo8ad0LrE7TMUDYxXbYps4JcMkAcn35NvE7ohni2lkEmk3c7+bDXFHDZz9s7P15553x Mpl+ChHVgRy4FK+9vvPOsMvOLeUCD0jjhsXWuxOeTMbwLMWkHt5ZZ908hkdOVCTgYDPUST43mPBJ 98dkS2yBmFbAI6ZUnmpnMtd7J52xfMqsFc7yNlg3NbM+kKSH9U46w+Orf20y5I2FvQ3NTxRP49UA VLDqa6omN3+WfnOwYqO3APfYezidLWk9d0v3wVdQSudWJ/l/nmCrpERC9UKzhwaxelKnNIK2zzvd jCuI4SLrARoUTuMWE5Mqr8872YxIhV8NVdQn5PvFbnMYTXeeQdu1Z9H61T0/3csJZ1C2lPWdataN 2gnrhjvY/AC2gAo1W6r1/C1DcmKQbc78i/cjzEMgxzvVrLt75ehl+KCqRx5S1YHqy+edaGbl8+98 DC1wpuKaoCicJsCcYkvivRCtpbpZt07r+Rj603CCwuo0T2f6NthgEwRhkEmJZBTn0X/kRYepI1cx S4BOhcWiVw3mhyfQ2PsOlm6cg8zQCucIeGeZdfl02qXOQBEvtLx4/84xwwIqVB/buq8gCz/vLd+d YwYvJ22wd4oZDyDWFStgPRo8X02QzpuSUofZdh5aQACDkrs2LzwRiq181yAfwyU2zqFVfdACKmux jQgn7887vezXAa3kHf8nyO82QVEk3XGir7LEbm2Tnhw4gEZyXvC5pqdhyt+5Zd2k5kcmv06DzQ9U O1KhdMmEXc1ghQxZ2cYFgjS/XBVVyl68U8vY2sbJA5w9B8B9BemYAMHx4j7x/OedWob5TZ6OEepJ Mkj2OPGdWdalmg3btaQTus3hhiCdLiTGCU4GAn4nluH3YXeDfBMbOENozJyH87buxjinsOIAaIKi bfzzVREqetOo9UyA9KTiPAdLxc75Rh0SAGs/75yy/tiBdGNNQy2cHkean/c4GjB5zE9e3tvwBYQj 2iYN6qnu7vlOKWNrhQF0VmE638L0xg+Oc42ZBi6xRYzwoJAymqwIZgBxpVLh1rsG3Z+lqiK1Uzlo h4GHrTphr593PlmXqOhzAkHmsNR1108URiOQ7awcCddBbAUrZhU2pYbroBEmKmbvdDJeEE0JRvHh ooLoKSzd1LMU2asF7gV7kdLzAMk7U6pvvWwQAxFEnhclnbNYdmpAlyVyb031884mY4jwHQOB9gR7 ep+hKIwGGqz1YTqg57baCqMBlLdErBTaQvAKC8vSAgYN0ckpmvEz5bhTocbCSt4AfaMTcNfY8WnU K6uX1gJ9GX5J1GAVvIMVgA2aPxs2BL/Igxnr6vPOJOuPGJhmiD2oPe8aiqLo1pmhtiu+uz0V661n L5plN4h9Z5Gx1w86QZZqJQfPVQG2K0U9epgzZhpenD2GSTl3zuDpJYFihonvJLJ+pa4n3dHwYT9M iTNQTIUImHFuy887iYzJqpfuMRvkaVGsRTMUxdEA2Z44aNeLinbA77ndTTQFXVGfoagujSijAqmT fPBTCA1WKjSgLg1Jdt5jMIgHfCr/rHQ1QFAr5ncE3R9KXI1JeSIOBvk9Ozdbu2J/3uljfMX6HQgB h0pUjaCJYSS9AC/rBhaHn9t1r8zbgmrId1Zx7N65Y/eiT9KG43BhHnVDMUOF6b3N4LLR6gIv+F13 7YSNv3PHWBASQUMMu8EaYZLLeOLFAAr/55069qs/JpNhRBRgo9gUhUAP0ACg/0ry4UkcqRwLNxAg Ewpz1QrMeCV6Mwql55SM7Jw+eC52btgxyM8/2wMI490EUDyZMRwlkcZ6AxHn++edNsZNJg9dphz7 qoxVshtJQTxL8/NOG+NV70tIWtgDNBZvbbyzxhgqquOTLRmj9rgQirJGQ4e1A6PAm+ydNNZl34MU lAa5HBxn1gqufqHw2omrJaNSAQY4DzHtaw7/RYeQX/IHEuaEmaX4cCtCrEFg767PO2WMheH1dQpR FHm2u8neg2noo6FRtKff9X1N7x8Od6xuPHdZ7njni3nVFbrlywdbQmf5nFsLtQkuoTNjWcY8mChc 82icXXe9oXcNrvoqSau5fNBVj9op203nQimfd7pYl23Cd9H1fNjsns+/s8W6jHtxZblJyqqXl0HX HKWry+0Z39li3WyYzw1GKw8OtoTO9X3WSyaKodUTNzSZhNH/YUMWpSbPV4kd/ryTxRxd35c8dJd7 6J5kVagmlAfm550qhvWXv+sdkN0sXwDyqCiNswMKUzqQz5m1dUrvcxNZ2Fixx3SLvdPEmAvy+lpE PnN4sIrn47uUrABoIA2zywqMRhz5Gn8RJvROEmM2T5WvrWrZvtWyMaBI96Pu5eedI2Zo5+cEgqhV ydNBwO8UMe6vDWmGpTjo7KNm0gwrLcPX1zTdBf6dIHZD6Tx68sEx0g1Fjmx63xMMcqE9Eddu5OVM Vsdzyb/TwwhVZSSdqBrLQfNTIJMloGvh49EV9g0SQuYK6WKfnyiShsT6CXeeXGy48+AUr64J4WHS TO/UsNudL6nfwScIF3AncwQupQlcQ6UjyM64vu/x468aFDsYBE21VudtreJaTGbu2dfnnRf2C0SF x3jn5Fsta1EcDeXK3lozFbSWzeYTBA3uOfCeG4WneIPFSGmVgchf4eA3WAWmY0iV6USFSW3DhU5G QUwtbk9TuSzpXYMrnvCyOXPzwcqJNJknQzfj6SBIvE1DJv7YbTLV4fyEUXRDkFhMtarnaiznvflr iqZKxjyXT1SN5vSc7Z+KD8/yQYw7ZDt0zgp2eHrfxBwCWZXXPYCG5idEd9AOtMp6m4MWEFw3hJXe iY8Hvfnynao2BpzdN1iPomg0AqDAIMxmG6zIUIFhEB+MahmAnkZheQ+iEV1wYxWyuzl4OwPqDqPR y/dswulQT8TLpeI0ZSqvGJrVsh4SC4kxy4lSsdnVDM+Elyx/8jNreDqgzmXPwraiSd/enJ4ogoYS WK99ORGhGV8Dknnb9leF9aT2V4/AHYj4WQHLPng18az9scnrm+RX9d4vd+7klT90+jSBCiKBe1SO rkV1aDKhOOA76PzH9gmSDTwdVVu/wS8sTrHDpvkJq9FATzVxfNAwXPVxGuruNFToCoQLrEfV6G65 BeUFOVhLdZwzrRIvjtsL/UPJC6LyLEE9wk2Nu4ugGF8S9cPomguvCwzITQhYPRlQF9cQLVw8/34E cVLuEU3F/rpvNaiHDEPwoIQwRQyd2JyU0QBTJyLMzvFm9bIexdCWhmW653K4l/xJc7d8htB5qPJi KJsO56jYp4fNImHYHgXRaAQUHGjDBzuEBgDx2mUFjwdTtH2KGPHglfZzCEU0Q+idgws1pOLVKUyK IDFLihGSC2SDMpPvMbSDQNdG1TIOF2LWQfTfSWYVJ2xlkDig5FkBByKVwVQpWE/sUUkayoNUfyHq HtDTwSQDNTnpVJ/DHo8Ht9hltLAWhE7Kk8f3v4LonqjPzFtsXyfGJhdL6QoOY8j3mGko7xymqxwc YgbBFCTbJMjXaT0fis416K9MNvS7ehpZ7xrc8rSrXFLa5WBI4NXV5T7HFF/xX3usKCbAmT88DetR TZpSC43ybpCEx8S4PSyApFlmHt3N83oYSKuX00RYbfvBvpxr+OTyvOjPGjh5GbllBNSi7vGz2zU5 pwwHviPYYnTyBO/VByXyDTIL6mqMiccjxsYlRWE/TYJvutc6+nskDXdBcqFQw6BxXuKd3mQDj4XD eiJFNbiGokh6syOfhIUyr79kul2g+ws/tVCOI5r8ZPtY5A1VXpfwBl5V7xrdZCwGtVR9UDEIHFh2 ugEZxeNBJt++M9WKJtu+EgI9gkoDgnZOuSqrxdapzwTdF6hI65Q+kbAjpXsE7LByIiCOHPgKTORx NBNQysb8no38OyjlilUHmQPviyEwwndEEgs8neGGbIORonZR6A4sHx4PVtD85slnepP2de/6MJYe nX6FBgTe/BFBR9B9LU2zTjovdnhUkFbjORVR5cuTasyBEg/1GlBlr7CFYyyNwxmuFHtdZAd96PAd Qa66mKSyiKNBhxD4ILKYPj8NHo8W0DfvMEOIuJbhycaIYml0CHqlJyT1XmifwWDoXJ7OB8/Vk9UR VaSx3nCHt+qDBYszA7JBu5eJjqvgQx28vR/qnMMK+zrlZL3rX9XEkYTtSMWJmS0laRaesyzj8YBX 96vrQ8F/6thogqJoGufpWdpTHgu9EdbGSAioA/0TfTMTWRxhQXrphq/Zh5uOgU8xyIk6S+jck9Lp UGsjQ/f1gl8IQcB3RJxw7grUAWxwGHARNhukZDweFaQdvKBGNXK7fZsaI0RKn1sPmA4ZmvTCLId7 LFNyscod2y76ERWkKZEN1aSpgSE9VxAIS4lgpYm4fS91p2FuWgiqAkfHLvrzXw18RwDhJDMzL2GB 1zbFtoxzgb3jk8nwFf/Z0uB+WjRW84RsRME0EEQNSGxDAZOcjYJZXy6pVGC8aSsoiqUFvyssZgyG xzZBfbUNgRfpdcxz5ajk2JGPAcHe9nPNT8xPCO4gqiMBbWGD0GWVQkQsETY8/d9Uower0ckD6REF 0lA07IWwLp5Ac3g61qnFL4TrGpbOjyiSps8NLBSLD940BGJtVBbHzhJfQEzzkkfagM4QugG35UNn 8xGVo5G9FdBgqEINvABTejpCVkKm4WqC5/+7FYTIPF/284iCabSKzqWbmjVWuzcL01jjkhHQNdce i4LpJfrzlKjiHLcmdCYjrTKsb3hCAP2XtAcrkE1pzyG0yZ4fIUya9HmYffigU7qgu2D0+YnHo0PI 7zHiXzjD7cp2hDBpZBtZItNAelRDemQcF1Z+hU2r63ZEMOmyJVPSqw+OMDs318hzmJpSh8Y7BRpI kwAJhzUzaeIQRz5C5Q5SoVqnbxAHu+h7UVEaTTc8/q+iqxh0LEr1O0NRVboVCgNuRT0ndhvmGlRE OwQlqkyipDFBUSg9FSMagXUIpIQJwtVyFo0iobkasakAL7A1PusPxNCub+D5eHxHFAmxat8IdX36 Soki6TxG1tp4/F8KDIwJgMOQHx7nJw6lQYSqyY+hfJtidbrvaz0plYFcRxxL2xa7g22xc1+h/00m wjgT0YhVgBgnYl8qrAKwonOalwW+JFIOwjE0ixgJmGnDkUMRi38iqrp4Pkjpy/qaIkpXPTjgEQbT lelYzYZeeHzMz21tdmaNsv5aQ2FlmpQoZKQ+eN8wzVnhzY2i0Oor0xsaOTLY2ZsQpOaVe6RHfNmo s8qW6laD9eo3lZrZuqOrYsbjUdGjfR1DKBGdINlnaIaV6cq7DEcYS6/DknuIHmWbLCClhxKyGUXT grEkqUZzuK3nk74mrpaziM6TVeYmq1FVDdCAcVFmxd41SFhlpdil5tF9oaITN3lZt9QaHg8mqH1X hRYJsFcFZkbRNOpNjVLrcLxraAkzowfdnwEifiFiVrCCZliaVlNj7zs4DO9EUgP6IzymaQ7UyWZB FISYALUPr7yiTofv+LN036mgrDNdsWJZTRixPjce/290YBB4smNt8xOqeAypv1S7x6TxhnssUwqI 99jczSh1M2QdsniaDMLQ1+MUfOKFc5cpo4dTl5SVT/oBAapa6UXvzFUKDMywND1JC9/rDgZ/gd2H TRAfj6JFnyBJ5Av77qHQjIJpBEEus4TuarG5OkdFuhoVEGEwEaqwMi2k66JGRVpfGhXoXXcaQwLp Oul1QzJLEVmj5If7jB4VviPiPhNjthgKKVJT5pkaPdcgfl7weMTWyF+nNKRK4eTpExTF0+C29pOF WXuDeaQusplddKlBS96WUBRPDy0hVP5s8CUE23LyYbmEzoXAjH4AK18BeoD/sOcb6ILjOyKNCsbR je1VDpqgDhQbr6bzTzwetA/b+DqDAC+q6fZ/ZlyZhtB9K4p/gGSQMcA5IyzLR/hIk8nOjwnYPiJl dpEy+5fUElgNbPVTBuZkaoICJ9xb6N/RvcvJCFOvGpzRKFmPwhBBg+YH1wBdlNqJSPF4VDT7xkqj i1LZidT8RPgOcNDbumLSyy2Ct6SO2dkAf9/mJypLrybKqFQY5tP66RQjRVBPX+BWNouKq6Cbt0ml GY9KctGr/nlGj5F9UKR4IqPO/l89NyMej7IxD4OYxE3WE+76CQmHQOBVivrRemt0P6OnrAGHBCYN Kj0jwiGNeE9ELvDG9jxgLEBkK0pkvMTOMTxNBIacAuQVKCKZqxRNN2ZUlS5UtodRuA9Gp2tJDEeE tHg8mKDkGX1VZwMzNO4ZHSE8Ju1TkrXloXHiItLnb7sikyxyc4aiWJpax4DeZR98izVgyZvMW7JB n6o23bkQyNK5zTESDmcUShNyiaZP80Ed+rZ3kpF7bnj6X/k8y9KsBaU7PzHfkNpB1TRgkutIw4Bw ikQG/Y5p3dUZ6ncIeQe7cxvsDoOS+sqdbg20tcvSzSt0TlvMwS/hkCbuM1bDSyRCUbKLg0Gozv8H ERonAi14PIqj89cRBLoG0wZN0Iri6DShgcAzg0dQ7sOT1WkE8dKvN/mKEB7DGj6q3mPwI2iBZEh5 WUiiQd2eWmZFimQLvaGfX+LaKyxKFyariMNtMBx5T/pB2lnpeDxgHE5fQOzJbm4yX0ArCqMh6tAJ RVEQtKoT6kCPtWR1F2esrjCOlsxSq3e4jbGzSgoSX+hQNVi2UWYJa6aYPcAtSmOd4zuicgejn0Eq AgdN0D43mEGEdsXjUbljfmfzEnr3IGiFKOlKy5/iGDyp/hPj0bcXFct2jMcKMR7i+7BiiIF5ERs/ 4EOlSb1AIIMgHMczGlw26BmMnL9J4Q3f8WfBbFF/gYPtsE2xAkrkDDz+L2UKdqolYe6J2AoZhzii C6U/cYnJ7FraHaxIydQWVV9NUAiS7mKKCet6I5SxIG7SUmL1BaL1u8g8KZGNiejzqSgOlDPxHX9I vQ06GdmgqnTvdHg7J0reeDpKVG/JlaulC3XlExSF0VPWTUnY8TZo8oLWapE5C3kI0h/v/JggEWtd 0LHig1/ykJhMOBAJElqpcgF16nLCNvJL359aE/iOoF42Wa5vhMFwsEz1BCncbmcvZzz+z5r0DzVB kWrcHRZF0cBe9ZRMYeH8K9uqSegg2x02q5ekV6jcMRg/y3KFgx1BG51ZSJWyGGRVw7M4YWvfiV4E AlArqDDwwpcECJgl6Mu4gxWD9hTuD0gUPB4hhMZXLo9OXqE4tWYoiqNhB9URKWuLtWoiVBlGYZaT nTAs+RkUBtLkxSUYgtjgONdzAq1MXx2YtG9onbBzyA4RXKux+FQMYl8X3xFBhJZgZoY28x7pBomI ylvn9sTjwQT9oosx6FyXqLGiQBqQQvQypgAwtaztvmyFXHAE0pUFbu6xECjdJbOt7vwXEBjEH9gg NpPpgngmJ2iTYA8pjMcskkX7FQXSic7sqyparK6OA/AaQd2olnU8HhzSv1aQSFlX2mTFjEOqdQzd XW3SQpaNQykMoGY/lpOe198l6bXrHS4ID0+TFgYJqkokBn2Q54+KaBTuYGu1IH3luwaKpYsQV9KY NKgahOr0FNVnVTweid7+YrJUNWh9gmLCIYQ7KI9KSmYa26DSyZGctcG9XBMUxdFD+n+VDmKrllst azDbgQ8YVaX3ph4NfP1QCUcRHl1vD4PG0Kv+SYXa8mDZLtFVVt7C3Z91zsejBfRdjwbYCEofNj87 iqNBDDjzkwxKXjRTUg+aLkyR6nLV7ZBvuAUQorAAB99h55bB0T8N/1JlSY5e2QlkCWW5tSC5RO8o kG6E2jdq2mjQAgJURBpmafDxf0mbaDfhll9e69jvgTTUjjPUOM0Tym20B7px22HTrU9XXtgxuoPX FxNWDTZB1D7i6QtpnNZZIINxFlx90Qmkkod5H+PexHcExSBsCoTgzQcj00ELk3Fea3zFINPY3z0f 2svmC3/ZIViajdU0zX2ttOLFjqSQkXTD5h4+Ow6kKcixhJlel4+JxvwEBzNbY3UTMQXHK+BwYTaS fIsV2sXiO4IJYt+QjHIbrNhx7niTBD5x0P432zArAvmWmtwh25DVsmzHTTt/iELq3Wq1UkdZtZlw +w7BHUrB5hg+OMIMAjglO36qm3THTFRYO78LfQ+dbFj0qpHUpKzFATbXoDsMEuHq2JxgBI8H1dZ0 +6o8cPD/lnJVpXeIlAbAo6Y+PVnNV9t+TpGAUC+7SyimG8psRAHjGg/O9URCMC4gKxyUli3wAmlx AHTQ6NoOIVbsd6wqjWu+U8FZgxOiiuzFzx6rePxf6h08hLBT9xXv2KEIHmyzsf9F2AC+0iZolene COdy9lM6RHcQGp5YPtXghI1zMaK1qor0LkNY4ckK3GIBO91yGeVNdqgqTZjrLGn5YBVXSCwJ3ZEW Ho/0g77pCPi/2lNP3KGodAPZfGxnRHlMfRau3I+Za2A9aoJCETzDb6qtWm5bFXdwKVPK7ehD7E6w /QQEuJzT207pLmPapnf9Q4BqFMVB5cZBCNQkxQm3STz+L8qhOvNcjvcaCyPpSneELZwC2qoqLW4e HPKkLcDtaH5CcId022svPtwdVsHj7Uuy5KMLxEnic4GceCdgZvKQpszkjsAdqIuw37x9MLLGEn0U lLqBx6N6mZeDCHigh2m5h3RYkKarYWKhXt4sFh2mRsqF7GiR05EStcNIWiBO1uM1PKkYjLIhbDuB /t5wfSAlCijTs7P3da5hPoWviCB4iWoCtFvlYPVECKgw9jvThMeDake6yTyeZBPmwUnvKJAG3Bj1 MlPAu5Uzoo+LHUEgVdoERdCOyboyKTA2eDnoTE9uq0k/CF16KkpPmjfAuwL9pisz2fSuQaAo5+fE vWE8VSWNvZmPeBp4PNJ4K9/XPJpO/Uqb5BSF0icPIxdB2Fbl9cbWmA5zbZkUEmpupzCWpv3RIpVL gxU8CmTvUBKi/RHa7wyWSqXZOjr2uV977E2NJXzL+yQtVl03M07IDpuBM8vm0mEAfYwf8C88OaU+ zhnU0HL0aQql8DJ9AmR8ec4kuTu2n51YuiXAo+ESLvKxCaXwuspCZM5x8KMa6lNF0uTnLBqtiFyH GL5hbmlJ57X7se1tIwgDtf/5uhqUc4wxFzEabbTG54Oko3+vJchrlXVFb+moHMSMMDdMS8hNWBq7 cPIJr4ejPKTfnvRBQQuxm3BA98G321mupeTOysca8GEgkgqpYIPYGxRxr0B5tbf9A0mFuiK9/HAr sEmW2ZEm6Hmc7+AHBFHRLeHz/stMza4ZSYpK1CgqnUSpX5mK7F1EwCa8ho9LyWYpNjoUPbUmH7zE mMpJ6aGjjx0HsT/iitDAh18vUpvyGNKXba8boRYLe6y7+6CLv86+lX+eI4rPR52y77WEG7xyy9gs RdE1Y6PG/IA2oh2CdTyXJla/QHldoLyuD/pjls6NXKYPXgQB7TSPplmiZd2gYg4q5Mi5GG4Lf0/I OL8k6HUMeoiyVK1BOey5EbYEKzb8ElKI+CjPqZTJP/tB0fjOUlythrnwon/WOZZmI1HqvPpGLz1T 0iOxyqFJiqvViq3V9ej9q6NY4BsifDDcYKAVgHI+ZNXQuBeFSKghRgA5haiPMqVRnnzQJJ0INYnn gjwtpyjIrvlXQZ9V8vqspFDXY4KmUIZareeubpfHsYz9WzaafbaQoih7dvWCVK/OT706UW6hMooc A+16gMPOxm5KoeFp6ofSHNNeNgqTiBxaVK7gYHN0Pkg9nbk7nw8q1o9cOY9uJNWwQPVJCsU9koTN zJe21ksHGjWJfAc6AWoUOpTCorU6QllOZPk6kU1AJAr0PThLc+5Fp7a2qUuFymz2M6mQt8UvCZKR zbI+92PvOiE5S2hU4/vAjePzUW/RV5Ja/Yjyy9cFF8Xb5HWdOM1Aeq15We3kia4mCAzQcju7SOPD 0GdFWKLiDjRjoQED3XgG3PDdkEbDbJTRQtGRKL315W6cQs1pM5hgiZ+DTu6z2QX7PAnz5PPBfmu3 Nsv+UGO/cN9ZioJu8Jh6Ltaw7zCWsFkSKEMU6SuLm1OIAxH1dwjkMR6lmHPg5ZHtgoOJqnAOiAdA USZD25BEhRuVXxJUj4Y5jWYfNEvwBVLYPRhQhlYuPX9FAQWtd1KENEmhMyJKRGd3zm6prfQX0QMZ DsuHcpal/jlwRsxEtSFnW9kHx8rAxqNMEe3PllqUF+oLhVp4iv9M+mSnx4YjB9aI8roGcYqyTBys ArmK/GupXp5Db8SxL9iBcBkcf+vxAwrdESmwjLVr+W02PufZ+Kl6frvRPrJZigAh6HeDH05HIA6O ih1nknOT/ms9mxhavzTFwVZGZlvb73Z+jv0R8xIetvpgswSRa66DOSqfD6LuX7JwdMtN1/g4Bw6J eAztRoGKaIKcDQiSpiR2oDsETL1y3BxaJKohm8UN5+BBACqGJRssLTXaHuB0z3QKAJx1PiShZG8b wWZ4KLmnwnQP7PMxsp7oqJPkwCRRZ4J1HNWTLdKu8VmK6tkgfvVMnyb6SK7WfSkVW18Zr383XBh1 LzGCS/PBN9zYDfc0oTMwv5s0ktxMFVEdkTKqKtpsW+fAJxHSH1uu69kHq9dO9P1+2HuqfD7acO07 VGqEZN9QKTRKRMrUBt1OyehUPjLgRlqur8vwinYOjBKv0GlVWaleKdg9Wx69AL1BeRTwrcQrh5Ii OiSopTz4omFvG3kGMMulVxkGZ70WWmRwKcFuM/RKfMyiRQsGCHQ/k/Qec6vQAaNfL7plh36eQ4ll bRbdiOHWfovNXXhmgyxpwz26xwnqp/r70J11+YZKc5f5w66KHUrUkMmhXWLmhZTUQ5IDxA9132GV y+ytFz4eCeb+IpcjbnpARjm0S5x5X61KALLG9nCyDyegtfzkuKFhIn3DOyXkbfCg+yzqE1KymwXp ryZj5EIJ1b2ZOpWHmFfsdSNCA5PbTsoHB9VLGu46RYhl8/ng5L5QI0GxCpxjn1mKdUAQdGs/oVUC No0BRQjh1slNUq5mKYJcd9mNLiawHBxQfELu2Zf8ynCysonSYY4Nf1moQI5HpaDa20aI0C7f3+mD 1SfP6mQAez5Hz0eo/V8tgE3U4y1QBraJCJQWylVZpaQ2GboSts+knOnbQHVAzpuBbSIuDhaTZho+ WGIyKiw6VhGaZsLDEv9JBX6kAaqSeb0ZnKYOe9tABH4TLwvBXRusmZ1M5LOcEI3P/0vCm/OBy7I9 6VvonIhGbNviNhC1VlyVKJGHyJC7TmQCWkmxEXkRAbb74Cvp/Mqp0DkEkjJlEkoMIRUwwCB1my6u b8hoO/RO5AkCRFP3QSF3A02iabsNPh8kJsUnidEkrhDqqWqSQvdEmGnB5dfg6UWBEi1KyWclgwi9 N5uk0D9xKSHZJFBx8EOpb7gRNLm4QtSKlNDODiZmv7abvQm5lkMDRWVvnbOIYekEri2vxNCyF6yk wEFROfJXzA3wXFnP9RZ6KMJs/GS2+OP/h7bJe9mhtMlkIXqtt2t1G5ooUg3pXE99+uBdtw0BZrEc BmTuWYjuOpuwjBppoqwpLXbdcmiimM1JWqzY4Y0ZgI4yMf3nlpl8PqhO1m+x6sIy3jV+ybGLIhyl U1tiB7edr+B52d1ObpSlh09SGHOLVl1K9cH3G8jvdDlk4W2z1Alj+3NnNOAZZ3M1VIGc+SVR+kbw Gs1x4FvdfliWgeXHVjv9XBqbHxAF3d9YbISjAA7fWQoxJBs1SfJNZFfa7eie7FHLn4sUW+23EIwt 0jkJMBq8WjIG5EBQDwbAHK1uHPJAlPwM0PfouOD9gFLtbSMIAAmfRv9kkZlLqcPGaXPDIckNrRRz +6Y1ZiJPn/Jk7KV4DhMYJy+Fk21fCxhGBt6iLNsN7kNItnqUSX5v6UEBdEK3KsnVnQfWZseAGYFA tb7fSqZeWg7dFGlF3UdRFleuMGpZKwkOeTYen4+6AY6H3BYEgOh/JymqcwMdfPZCu7yHm70Bv+hQ knoLAaGh4rLTSH6B5fHkBL+/LDgnkhlyIsZN6gwlcqlHDa6EyGnSbcyhoyJFgbpYBho0See1Jf9Z zhnO54OVVL+zt7LUzLuTFAXdrbab2ILAV7Lp5ifK8wrTBsUhn6RQeU/A403wA4eHf4X+UROH+OQQ JWkl4e0IaO5XIRUHld72L8BEpaOHBgOU7FzEccxr8PmoWuKTRMQEWq8rP0FA6AdzFjB8GJSztcEj l5AS6TsRUrLW9DmKfco5R6agti4Ha/OMHmMZzxrILM5RkuL7QCPX5wgvrJcN5gjxMQAZ1QcDlYC5 z7vprGA+H8QA8ztQAjMFJ8Cdo1DJurLsVk3JutRRPMPl4auyW2qmZJ0DZ0XvvaHu68NF/wFfDiN7 Eh0Tggs2xGk9DbRZf4iOqiiF1oqNIrsrqXOSbnESQbCWwdkYfD44kq4EnxTmFlnMt6IUuCvidiNL tnjdTTwIYktG747/25SV7fqgaCnJZpogdQ43BjjRH3zfmJmMvRcL4g09fLrFwCOPdUK0svxt/8Cx n28iY5aDLaVzJHQVZWvm81Fj6TbfeHKPLZEpm6XQZJGc2UoKvA6lcfk0c3kloMAtSxsudll0jFL1 wTdcHVS1Jq24ouMq926C7U9W+zP7b6h2Dl0Ws3TUuhyqukeT6cSqZOKgcj35fJSY3Do3tlwjLbXd jklotAhblRMsZlW3cUlUA9vSqI9Kao1FBs1RFHIDpIGNRh5IFpXeGibnz8lb8hhI2Vkm6QsS48hN fwA+cur18Jf9S8aoiJZVvNe9z+VsKkYt6x2DDPdWlESSLN9KYfkPr0VgS+g/Q6zkcH0wcIsN0V4n fUcYTIZmi4bYzkK251tRmvNMMRw9EmuTJ8hdVHeuqEU3qPIgh7+YdvW6Q7vFwQ7lNtSbyv+JuIs1 pzZQGnw+wJY82Zs640iNSrnTFPMfASndVq1FK3E7M4JaQZIRSc6MyLHn4hKXRxYDrT1ApZMb5oZ+ OWAT58CehHNVknrgBTAoc1Bl89HsbSMStvH3iw+apQSbMBFmsl4y2G/pl1sVegqMvWySYtdFupkm KwSAdP/jRjEefUPAbPtaCqHb5H5uZvUafJLOJAA1Yn5VWFqcJEICCMMgfMFqSnnZ60Za6TIWFCYg P96mKFex8LbQxw28F7+ZonStAyCxjmfHRTE32uptwkFM2JJJ0ScgcLKyERqiIH5SjhuaL2qW1hrL Bz+VFt1RZTgExiKQapglFCbx9bzvVMJd922jDUctEXL0NBgPqQ82VME2znw+KnR/w5SglyfJWvva UOy6QH632VJqu5ok7wY4zRDcmaGu5igClzSh/8V05HDBJWcnggNJxNuJvgeTtwa4SaPHMmpv1gtQ 3S00YMzyiymp+KCFBMkAMY47D6VYou83xBTRW3nmKCxzw+u97SRqnwdKrLuVcUMAaO7ZdotjbjYD NnUuOXigBKmDcxiwrXQWDnrfLCICHkWHc9a4bbulZa8bbDfJxrGHoEGHUp8yIkVi0vl8FE/+OpQw QfXrggvr3IP2OiY4h3LP9GbAoq4YmwGzbF9KYdBtnK16Bw+6z6GdmQqy+Vay4ZRwvsI45Ue6bKpO 9mZvG2w3Qm/mbskHwymlvpbq+2vx+SCcvNkbJWpAfq+9P2spLHTDw2pDabao0J3Y1ka4Tw4Wc9xG OJ7utzjoZnoPpWQbHDwJtC2McpGIzJbXJLA5IQDuCObwv9yAksykHLgx4lSS8GzPPtipxAwOa+kE Enw+CAN+aTsTOQuTFpul0I9xlHS7t4gsFxkUiCdHsl43UlHvdQd+jOfkph9sHkJPXI0LyA42OHyx WkK7yiknDKBaM/C6QjbJKoUc9hz4MV6GbZcMQjcZBKgO1ZSlMI+lFBgySvHM5khswfkryw0dGVHF Obktp6bS2cr7uIX6FMpyxZHs+qCInyTchApv/VE1RHMprcY+bseBpXbA7rRQoUBd9/xtKFQKTBkl Yg16JAvdHBh1Q7ZWpcXaCh8PrreHf0PD1Mlf7hbeQltGoLTOKmjTJmkZAwct9nbBJXSr0CSFYbdM T0u9g09SQq2b8fVJ4yZtqrklUYNMco+8WC4V3kJfxkruxKBc0hmwcYsdL/I5+AE9ih/wL/E+FrpR eZuXbZsDa0bsNwIBzDgXInUmPZvaHgYRrGvPu+Fip/OkclL24bbfMiBigKdMKKyWlYnmglwd/o8f kuNUL6G8YQ68GXE/5VcByJP/ZyVwA7CJ0JzxoXKxelopj5eeQynmS8IBNRUdRY1uGzJ1SFP/G4Qr ukcBsT2jcGydHAEOfnKjojBx0yPJpTMBwSWqoiFyfA5uRmf8kj9i7vML9OGDDiXUiBUEnGOPz0c0 k/Tr4N5Ii585CimTW+4y1fq4rD6pWiISE7PctT0zCUwarY8LCYTkw+WZwJYR25jaCHPUkR9uwAkz KZzpgLdlbxtU3qjTu2BCboNut5S76A/ncK18/v3kXvtb0RiSURWavz5JscEMVKJcd77DMsomqY/p SpCppbuSYt4kO5RZYkjCVwsViKz/JPmcpJNMz8W4vELMrgG6lnkgGRlnJnvdv+JJ5CQ+GAy3nRVb tDoWn49gSvd+42HGFL8/yInQsBHBBwzTusXd1HKUunprAnZBLGp7kzJwbETQRURgsurSdQRDLnIS M7KdZp8TKkg8u4EerwDGfon9SG8sB56NaL8xRoIrhA3acedDrSo00aMMTBu/0ZOcVLJiyFTmLH1e QqXxvwklMzCVukn7QFSieS2AsDuBAqAdxaP7JVI6H3OWMmHZtPG0wRFvBfuPtXJoPwNsUl2upLA8 hGb3LFpJuN5e4iS+KSHM4CZXHwxeihKojIQXnv6P6eELbmvhDjuQ0ApGcKrgLJgcFOhRbm5GwiGy QViARhJOpaMuaW/YacHkmCVqV1myP2XJE+Kd9BzgPxxG54aUOcikWBR6AaCYArj+oN1fJ+cEkdSi XV01kn4dP2GYyHrbWQsn0H6dHFmCa3LU8eeHMRvB7LzERnwKzZye5M0IW7lkJjwokMzuIACCPLG/ XiIjLR1yb0qVLWodFyy561l41KJH6g9emojwwFOyxS2/TyHccKV8XuIivqlaiBVTaINm59yWfZkx U/u8BEWaWyOeD3M858Tw/MHsvMREtnYyrNmzCUisskRuP2eeWeyiOJMMkfQSEPFTBkmPWcLhHDxs BA55JFPrBbV9mFBdgaQ5C6wXk8zO/0s8pH3VZN1EgnuGoQwBDfmc0LWwm4S77fMSDtnf6Rury8IJ QGLW/OmQHR07SD7kyiG552p8/xMNjyv3vL1O+xILaWdtdtZEAuDgN1hGKRPdOtzxHZgITM9cVKo8 Nxj97mxnNb3p+/QIFgGuqg+65M9+VezY+gmpX+IgPV197RSdUDBI86XzEgVpO04UjJJrYTe5NBrN xp0s6+2HvMRAnBzageC8uYO3sU+4etJW2qNBySYTRdV5EFXut9S9EnL+285XfZ+dJceCzkni4FC2 JqurUvr+vARAWjsWSitwAsKASkyanZfwRyc5xI9KqQJEgq5o5t2oe5kY/wlPsglhvwQ/mh3S2Uh4 qV+8F8xObYlmAjiUxyAoAksHvf12Yghici1rJVHrJfLRziJUFPxTHzQ56+SvTFrbiUM/L3GPDq15 jx1WShjFT5+dl6BHh3KrdK3s1kpL3bTFID5sxw6ByZLojc5kSUUkUSHSpUJsGEcBWVSIOAKWhvws OKMBiHi+Zz58kaUXfb+xJrX7MqE2GqyqX+RmgqWQPy/Rjr1gtsl5FIxz91PnpcbIyUFMTJa5Nfa9 bg29iFScBNHAEKEyXXAoy2E4rdp8sNlZQPf1BnObs1MbjgvGzbSxgccF29RuPNj1qu/Ts0imgSSd D9ZAy+QQcnrG56W8aBFH9+nZdmVVKDtqel6Ki1qvQ3Uglc16yckVfRZ5m4JiyREMeiPRoTyJesjP 4IunoQawWaaGqkarLFP3c6/JdjBTdd3g2LQjeiks6lXN05NwrFVcmAtid9lsGaEqFp07hsIaBsXG Kmoe7rxR1nQXd6r4JKPQVMKRVQvqzUFYebsm1BtlzX4cHcgsWeQvPZ8CyDEkItgPgksTgaEd6JoG XAQd0lxMI+tdg90lnRpwSm2w5TP64g/SiJyJouU1ff2oWoZfrN1oOQqXwTJro1nLvvXkeMemO72L PnPh/OH8MM9qhMBw8H5ZRTl/UO9oQX9fBtV0eu6T0AykIeq9Mtv7vJHVvs5mKpHZYED13DaZnSf4 BFA9jJjr1woCSaKmZwW9R8zgWmaq81o1MW9XVIW6ZbLzZ5EtKPRVMEO7KttS2LNv2HOCtxPptK0A OZ/cblJci67baAX+NBxdIj0uTVAUM9MEGp+RfLDixrnHuipAKJO9B81gO/gWY8yMvHhXD3zeWGqa 1r6+TyCYazvOETbHdgLldZEgwQndmxB8bfngGVcrUIel5fo8N+xK6oMARYmWEFDlfn+hcMF3fd9i nQ2ypbR0iQ6sfLTYBJWF3kZ0Bt0txkiAwuQ9+wS9x82AAgMjS24lJqiT1SyblNY9bu4o7FilNZog 1m+qbFLqtUmB08GEURAv+LOVz1olwi9zv3QQ14hDolUTMIB81+gMotbREgZk+SqF13hTs3Tt8Xmj p9kO9S3GvILe6oXqs5yhKHhGyeVsYS+z7mrUKzjkWFW6JgrBsejzHjyryHbiQupcarBDusH5vU9t sZOt9mY0CEAKSFt6DmkrRb8Hz6hJYNFhOfrAnsYEaovgoJPifd6oaRbM9K/gGXETFHqGz08YPsO1 EkRfgdKBRbf56WTvwSalQIBD8xOFz6xWDkALffBL7GRhsKQRN53MIklAMwYB3Al6a+bK2O1V34+g xnw9d9JlOFi//oSnXEDtfM/njZV2YwQ7owmAQB1yo/evCYoiaNQ3Jaog7dDZm7frJf3Y2a53CfU3 UprNkJB66w6em4L7PcGNQgx99hP9uvugkQzlPJWUiEtE/eI3UpqWKbExu9Jwh4NVNk54Kdm087N+ 3jhpN1T7qorhK+tNMd4oabaEynX/WMSlGxEtTYK7MFknP0VMzqZYFEePqj22sw++hgBmGIWVn3Ox w6lPNvEs+mANIU40f+rR9K7vEzTJRt/URdVgjQzYZ8nhHMo0USC9U/oOhPBhiFZtgqJAGjHdOStz sspYNbn587tSSuZ/iKegBQcnKIyksVWg9bB9sFMalnG7sxMFS4d57mfq83Z1eCBm/sjSzKl3DSaI sqG9Umyeg8GH2+hZxGC0n6NQ2nEYusY6WYTFJ+iNimYVDhxWhMzgEDqxm3kRNYbDUsDmbLNyGEfS DIAaV4NdKjqk63kBIvOgJragwk+2HuAjleIjbH2YTpa9anBI09azEKqnQYfQyV0YQaF4nT9vNDSL EnyHFXGHTihftt9ibyw0m9ZGz1wjfBSSKOSeO6/VDr04eQi9kdC0Ep3PuH2wQAhikifmnC7DnyZB fB1EwkbqX6m3xcM+zeeNhKZYT9jqPJoPBoaZnQoMILrOzxsHzS6y+XUIUYkLB63NUFR9hm0Qlmu2 7s4y/0qSh6ejqnQIYQ29x9LXUg/Xtw0eS2faVVP6CVj9QnTBue4zwzV0otaNFaUg8sZAe9KxvhpV VjkYxuO8fxVtqLbPG//Mgun2ddWzkyaDWs5QGEyPTSysqC+Qo0s/16jAfHRhmmwO3m/0M0vrGAGC RW2D7bJxpvfs3MSL7ExVVfurAfDWKpuj7fJh2Kj+vLHP9CUADJ/ccNzBoumTRWqbpczH/5uMfrRu jlqaoSiaXoqZsqTo2oT8geGETJgmw7rF0rE35pntcU7Q0DE0Hsu4idpza4Lm77PNJtvSk0YBBEPS M9UMm0j1fGOe2SaT2kNJPiijR0WoyGkxzc8b8Ux37Z7fwVCjzHT1fOONeGYJWaFysYk8Y0vbOVS6 ydICyruMw/jGO9MUdVYSUfOywdfQTGAuZvUHTwyEoBh0ocySZ5JrnAr18nJ4o51ZyM7m16bIMweb oTQpq3k+Yq7PG+vMqnq33ioVgw4NXA+G3lhnzzlURPKkMEZ2n/MlBhE7GaAraRG9B9SONStVeUd9 1GdO3Hpi6EJTq3EmLEspe2AjNoAO9spPVWjpXYOioridlSKiHOyghoaeuHZpf94oZ/pT840WWfXA lbhvE/WNcqbHgA5qxG0Tbp4uBWZSzJ0BtcqTXEPvATUgYJihWsnOq7Xfc2iBOFyzUjLMHcSRULcG XAhqTcBrf5k28TuiGSJvgWxxyLcNd2CmIhD1ecbenzfG2S0NWzhETAeuRLQtbYqiwjTQ8CePbOrz tDmb5PjPInYXwrPzusGo3/hmVjRmvMgGhQaLF8/0QsxjsbK4QBkezDXQJyjIBSmUp8KZjPXe6GZW tyBhMZMFw8EO6pnzMMMLvmKwhsxKwHZZR+JC5XpNUBRRg5fgdxk8OpapGOwtCTG0mgcRHNxk7wF1 tpysSlufgx9DexPCId8vIBW2Aick1ISZ01JNBzWits8b08z62cJzCmzem36EjQKBfMZOyvd545kp 3JzzV1YPKlXz6XljmVmmTL2ZJLu4kxgsV56dhOgS6nKSb+82xwE150cZWb0Z2Tw7dYJ1z5wV/y6E TY3Mdi9wTPTesViIMI43kpn1tRgtTlbwOajqAZNzyTyP/HmjmN3i+dNQpR5Hu32fN4KZhQcbTk0k b+IIGtOFC/fKyctmY/v8hKVpRolNBGEONj+jzzJbJZIDerN7svRYUdcDSzFT99joLkWvGk3P0uGc fbB8I52DTiSFcwS80cvudfSV0RfGZnd+omAaEek5FKy53HN2RCKQqs4u69y72F9v5DKtfSKlaXRt g2M55okKa5JwcT/p/GhqLeLnJJh+zntEgyP+eaOWWUKGELENxkMcbP3MZnWezjcMDuiSfs3P+WlY Q9H8RKF0o3zZJJijnn8lw9lhT2cdP5Spsul5j6QNdH/iQ3bXOdjygQACvKm7FK8ABmLzg1iTwkZ9 8eOHQsefN06Z9bVZye4EcJ6oARJ+XEBz44TAvZ1y+bxxyvQT3kCRbTQccz17rvFGKbtZstNcAVnY 1+ui9+EqDrm4lcMbo0w/DxV4G2FuGjRDOMj6Aj6anY0BfX8eVZAQzygffBWEit70r1RssFKqwQpC 2xUczqH0eSOTWRztUSKr0qz35rt+3sNoWXic6RnL+hrbPHRh2OTqe63lYX2NNyqZJQES3+Mxnb9q imjn1FaHQqBz35Na2VEo/YF27g8Kc4hmKVG49a7BBb9ZMevEa3Lg/oKHrsoVo9fPG49MCyF/4+zg g1go4KX5iYJoo21aCn9S1Vkc0yGh8E3bSuwGFMzeaGRf91dJbK1y8JrrSWLRF2nKxIDj74S8ILMA 2Bn+9pch1bdeNiopZuao1CjkoBMaeD5i+849Uz9vLDJbC14xY04CbGktd4NFQTTKsmCvZOutrr4d 11FNnaBQIEQXWFiUZvcm9ywoIsOMKTxZS8CFNAKmTrA5rSiNpBYePCXVS2khSeXzxiBT8UqhT1b5 npwIBtF505cOYd+JVD9vDDILMe8dhucWyiyAgtkUheiOtihHeHV3h/fGANLw7iqWluYoLEszBWOv wgZbRGeV1t2bPApORpYKBefAS4DAef8ZrLZJnJhB4ht/7FdBKCsTG6g+MBVD4sua1zhn3ueNP2Y1 mTtFOJLBIarlJqtv9LG7dIFP2VY0E+eHRI3sRp9scNkURYVpSntilTQf7j3fGRRyGVVwsCi4t4Ca qPiBhGTUKkLZ4vPGHdPPSdw4sfvlC8KPglMxnsbanzfqmL3iN4SBJdd1G9BvzDEdRHSUEXcVrY28 XNhq9OKtDVDAfyom6I05ZvtM9Q5Bfvd+mj8pUziImRiy3kz1C7jhASRaf9VdOzHjb8wxAyEQOwVd eA5XIi01KaefbT4/b8Sx/98f40mEkKJtX0NvvDErmFI3Zol4CFOQYVYgvDKYqzbAsyuRm1EoTfll wU5t8Gy+ncOoKHKdCy6QVQ7D53saumaUw7IGIvLkzxtpTN8xpbA3tw8Oomq8dgHGHJ830pgVHL5B HlVcLAd5vFHGLFZEqipeHcqubTosuuzsZKgBs23eZW+MMTvOZNywsw8XZTbpxNhZdsUtRzGLExGB YJTZh2uO/WUh8/PGGLvtG/g1re2DVYRSyxYNjfV544v9v3JHFr5V8lE+Re/hNCCc4JIK4EoIZ/b+ 2Fzr7rKVrar4Rhf7iqcLrjEbLJ6uQC8TjorbbJXWFQ5RdQA3/dxX0pJauZ83upiOTCFc90w+WD6G 4JrAzHXC6Te2mLXXvrv0pNTPW+5444pZjADpweE8qLbdFxZ0eDf4HBT54CYLK9NMxKoaiDU/2PoO /6HEUASEw7NItcxI3obBfavJE9aFCOfzRhWzhJX4DrbXNSgfW5nLHXzh+Xkjit26/5NuwEOwPO2x N57YvceAgnFR1NFkicIqi4WLhPBq/YThNA2/imw/ymP7caZn757oRj1BFN5L53kpjBTRor++X0QJ vXHENDtqaGyGRBys83Mu3GrUg/F5o4hZXuUbrMjKEeTFdhHkbxyx26I/Z7lpnzb9LOhsrJXdgoCC 7pqgGOXRWMXYd7AJYtRTZdcEAaveOJW8i0j/odq+Npju+Td+mHVPCi316vZBM1TP/Uhp0bILH48a 0P07EgJAv0KJxCYoiqeB1wIwN1lGNseDsbc0DSiPZQnrGzfsq0VfZSDDwTEMnWBHSg+f61iwXbSH sOcAX27PCUSI/RszzE5L0QwVCPUbCEE8Ui5Lva/PGzFs/MZRSTV1wyT2LqAomMY9ck4gE/KCE6w7 xy36aZH4DHkUQaVbiJUuqoM9g99is7EDnSgRA6aYpOR6UuECAGfPWImkwHcE17zQL6newU6gyRSA jS48HQSK3eeHXTRsuFXvLR9G0hnsed7K4s2VZusnlWInNLwrncASVaQ1P8anzPULJQQ050SBHhWz BfA0GSyDIt0oNOZ1D6GhCYpC6cVAkRBiGyyUxhViRFU+HjTo8/xaQU1MGD+jexxK42R2XH2j5QFr Zk3mOoUYj2Ri+v09knawfUsks3DwO/6s+oLOGCfoRMxDgHO6ayAoVUavQJo1sx4F0snMPVf3QSV7 ip6wTDgqng6IGpdauBRSAv3vJdcehdFkp57ZcW8P9TawwWp2kcEz1dQh7vycqCvGWj1tozQ4RmjW dH5s0cHhNCB1NFje4kiqP7ve5jx71viOINEorNVTokYD5yclO4BOhD7xdFRyvY3VycQWB+DNM3oY R0PzFF4bFgMRV6LGalpuNlRBP+Qd1qOatMHJh/KN8QA8Gq25l8wYzpF4siaqsICV0DPoCMScGn0X STm+JEJRkeSsKHGgJMMyBXwZh67NcXIVPB9wDOd31ZWC/fhZfI5CkmEVjU4IRQjcOxKv09OFQLOp 9nzn5wTJGFurZ2KHD36LAfhSE/tiC4JeXDTwcT9bmm7B6WG0SBe2R5F0FQ+Kygwa7BQ6eSwxQ2eb FTwe0TA9UhR8HEWiPe4xFDENQdFqffGcgUxEaZqXM7vdOvXQlLGEvscYD9HFBHvN84mkzz22zplK h7iZUO9u1O8gBB3+q1RKlTQFC4s9Kk0vkjYyTTggnr8oEZhPPNUUSkOUH48HF9kYX8c0CY5YBDY/ cSiN1k+vriZAh0OpCTRXzIPXoaGEesg2FBmhi7XRH9ZGOWumkMqCvPUc2VRq6wOOqA36WZMlgK7e Rta7Bhc9m2JbrJ/9sH42XFYFuZ98xWiT+QRJeqi5VRUnKKpNwyO6Nx7yEIQHfc8dYqugQZQULJbP 9ziWloeuMIvZrRGwggoMEqjbs+FTs0na6AiAgF//IT7YEOXUp+5RaboKApNopctB6TxA6qT9nHmf eDw4qcd3zYwC9vXZYe+hNOQEIWyKQCbTO09YFwrBYrMVFRUT7geuoCiU3iLzUt1Vg/N+9lnafQ6D Ue1Z5L2ATVEbsbbLtxhlbvAdwQRVwadm9UEVoXaOOeYK0PLB4/8irFrq9g2A6RFeGjBVSWrhbEYt Ypvyy3aRM1ARzYGxR+gOg9wXxsln4Ct4XbpvmXhN+F/ReAgVsy1e3dgXDQw8QsV3/AHlHLBP9cFo UUAf84jtbePxCKjo81OE5ZSaxT2Ewmj6vCkkxoT97anRrXJT1mw4a6Mt5qvY4VFdWhjFQg1jDRdm BlZL6gIEo5culSWUZ5gu7nXxHZ0quT3Cd5j+69QUTZ8iUFgrq5gw1cXj0RL6JkadLK67ewdJz1E0 DWVX8A9Ms7NS51nNjVmusCkUEBlOj6gwLWB4bWwkcnCI0MxoC1G3+wTXCBSZktB5g8aP+eFm8pge oVJHr29ay6CEb0mbn98Jjwfx9IPllM4ivdYvLTwKqDt9hWEJz1ioycZrs2ZWbTmdzbAsXhxhYZoz RDUrG7zmAaYGr2WsoQ1tNAoxskUGAcnRLgZmUbR7/Mk+7Jv+CBqsKgQRUF1Em48Ha+h2f5S8gdhS 7hIKEdMoeSCakJpJoXsBjWBhokUxE1wPzpuP6tJZCRmNAc/AjH4QAwPJhZqKhKgLmkvqfmAa4KBO Aym76M+yH/iOAMqpchloHhwMGo7+GwvEPye+4CsGJY/WvzIyCgi1C4IZIcgDKtSrDtMyXdVbrEOE xE5flW49+hHF0jqD6iIaWLB0zU8D7WrSlwZy5ue3LkZ8AYiJYirPNT8xPSHGg9dXKTqCih1BGzq7 Msk9yQae/tcJxFdjSTo5RHFEcTTUwHtZ5o0H/SnXeDt3tadjD851RIH07GrRK55O+4ZB+5wqqwgs fWbnbFRqBuPGh9T6ZuPtNn5obj6imjRd384WXcQwEBaxtcHQ8Wd4fG40PB8toP01RdBsLxS51BRF oTRScYBgklMSrtDrbFfotdIGmjssCqUX3Tlyo9Myh3sCAQODlBgnEPxxSxNYuv5Q1KE9J9Amf36E YGkKdK9JIxMOOqPLRLWEgdCJFEcElgZU7gumiM2wb9F1hFhpmlDWlQym2LM1e859Y/8jimbdFLlG hJWu9DFV1qbBLjH0Djocu3mJnfOmmi8sqs9QIlDNTKo4RJOPULyDZw9k0XywCSpdpfhzwQw8HkRC K39fYjQHqRdoNqKyNPlBo3tjY8goCE4H28j0EAl0PeURhdLTNJWmDYRfSFPpZPG9DK0gYJ6kSs0J WmflzHYlp0l8wHdEcRCJUXQO16A77FxFUpU/n7fxeMTYcCBnVd1V0atPUBhLD9ZdDT91gvaWHcFQ m3c29hVTHnEwXd7T1QkTxXOmSZOrJ9pwMtnAXQ25XUof65wmzA1fEkXThU1DFoeIxDU0eQODOPH0 3QvP/6s0zcKrhJnvGgqDaVpOlNYNw9BdFQc6FVX5RivFde9GWJqWvomU2jk4uw4ajJW+IeeYrkBL d+pUTGKfOhkFXruH8BpfNiicVRNP3D4o4Tj34ZL99NnHeDyYocuMInIKp1qlixmnaIa1aUTTUCFm 2HM2NE4MVFzTkHUgb5ubks0omlbBjDw9G7w4PUFQ2NKfOstg8DCXrzIdn9SzFtyM+cYMUR70neR5 boMOoomCmwryreHxYIbaVaAimKrL4sNnKIqm4Y4Kt5splAcwLEJ5lJJ5f+E3QleROf0M+YfsswN7 6oOtIajdjUZHvTNBgK6R/QwbinOLnYxRFoyqvaKWiu+IqGNsINIDT4NXheDZ+KO+PR7/J3WMuCu0 qmFvYRMURdPgmHQi46R5W/x8PleFSb0BBLKNwzpj/iEDoap0oz7pBrDN5wdg2fxsafzyk2Km0Nxo Z9tIwUocVgoNzLA6LZY4rhAbVPUAp16Y5z75eDRD31IVFH+giosmKAqnwW8DHM+oY1UuSsTca66U sWbDms2wNq27DCeyDTZBkA+FSuVSh0xq+vR3y+JslPyQoMk+nH+DPJbQzhzsFELAzmiorYLHgy79 2F8TBHQaSFs+QVFEjU5R71QCw1U2HDKEcLFYwnGS52zh4owi6lHFEn8GLyzCezs1EzvJiLB52eMr gTz7oRGeZRxYs/iOYI8lrqBBzZM9nAWNnUUAxE8rveLxoIN4DyGWsUF0RQ/HJigsTZ8Jh1GmUMFw mZT85l5CMC6CGIh57fyY4Awy7IYkSkt+ZG7XahMlV171pSXyxM4ZRIDZidigqnlJCVOvGhzSLN1D yNsHzQ+A60VSFWPg8ahuVr7yDfRRaros+hmBPNCTOLPiUicM4sxf0uAeoORWv8SiwvSiW3npufng 6QZtEqTfPoAIJq/jbDC4cUDorTNYNK3koleNzmgm9NRI0mCsMZCHWMicq+HxCKr4C+SBSL4QCqMJ CpmHQHPyrJT5Fq8DJWQlOS0q7+pHUCiHV3XN66iej3g7LA0g6UDYPdyAyFGEvR77gLS+uL5S9N2Y UWW6UOB+kLehwWborD3pMKzNx4MZSh4IFbGl6eZ+t1gE84CvPZobXlak9hXPoMWI3qUmXS8wCqep 7A++/B38nl/QBkzUhcC8zGwupp1dQNJ1bnus2bsGgGA2N3aj9QYHVT2gRMJLKOeGpyOckHdYWQlY +He+t3zIOwTodKOCLUFyUpBlu7VXc2IUTYq5x2JNPDEOyYfn8KBdK8JlAqk2vEqJC0pcQVw5XHsi HtLGfUahdMLaGtDh9eEmZNW6Y7ng8SjZ+C4LEc+VLxJvRZG0ZIdS7XYGNbcnL+wiU8njxPXmlrwi lMcQfGyVO/gdVhEvJPV+sMUgo0k5oUJLkR/6cH9LbK+wLC1k0Sp3MOZP76yro3pS8fi/tHL466GO WvOFA6+wLI3+VBmu5AH1eQuDAMD8cSWPbYX7FeI8ulob5Q6WsI6+K0uG5I7tTAY6FLYR0+jf95Tm nsZ3/FWWnpViORw0Q1iX8mE7uw2PR0izbzUhCgnhr7cJCmWk2dmgkionKFUPg5ZyWB5B6BDxCFoh zsPOnmkDubbEMEzgLnEnUyr5BNmUu90o1BYULEfO3/Twhu+IqmYGcl0+GFZxyUSNPBU8HoGB99cZ xNZqf0RLo0Aa2OUTMq3imcb2smJdrpZTacugCQrR0hJ1XWpAr6cBnaGpdC6BKSheafJpWUTjUgDw KSsCM8rviHgtRFLBTckGwyrijJarbd54PDqDfqm0d2JCvKy4QjFpGjgV44ydf7FXn3kGOXu+ZQfB rJh7qDhRah7lAbsiigNTgMl8OTcysUQo5YMbBXevR+afSG18R6DTzqpipquyBsWJCdKluuVTxuNR Xfr2nwXOB9C2e3t1RYE08Ds9tb7tFmvFRf4HwYC0aVu8WHlK/63igS6VD3YGbfjajUaLbRiTwe4M MCET3EVhZbuthqxE8SUBCmaxhbpz98FysZPOMBSuJzrC4wHIY30D7sl9XpeRsEK8NPmr2bP5c1y4 V0QTf3WSQL+srLjCWJpdn/NlzQdPVgsKJ016SzP3ScdR4GF4vQNi270ghIYZvyOYIAqczMTKGa9e 0X6gnUKQRk+p4fGIQe+5mDQYUfi9gPIV8g8TJohlf6BgqqAvhmRHWoZQml1QbbIQLy1BxaxkI38l GwtFoUzNtwmqqTbZSomoB0QZj2MkS/cr5B8qQuwy5L7MmXPHVonznIXa8fj7JlvpVqaZuNGv4t7z MfsQEh6zWyA099AeOz/JtAV0zpFsFPEVBtLEcUqfQ4Mf0sTfN5lFbvKqaYaMQBFivj8kGqm9WiAW xHcNTiFVzLpgr9ex6/ymJ2PSwbIqHg8a9BesqLAJPaTR7zEdxdLIHqWiJX5m264llJKDX0lKtSkK Y2n5stP9QYPXpTvCaWJq5knuJ8GvZ4rg0dkhBgP6hUdCw9416v4MyZJPH3QInbgzF2vo8PFgCeX8 KxuDb066lfsdBdOoeZ5kL9k5Xea+WkIteyhEJz4JcEdlaRcvVcmsPCWzngAtlbAiTJBRW+VNj+M0 8e6+FSGZRe8omlY21iWYw0FrCPnxlDHW4ONByazdm16BIdKd24XeoS4eBIK7K2+fyMgxnBn1XidG pStRHoE8hjTNqEWqwW8yiP6kJB70SpBPJFEcnZ8G5OJo3v0pLGPgO4KaUCNvY0qPavaLpTpHg6qm Zzvj8eAiuyAPThAiqnzr9vsf7MPkZelxy9Kpm1ZeBljMJyhGTcv6UAnZeBKyBvcIUq/gyjLQr6Wp H1TUqKMNXVq76ekbi++IimbsrXcakHCwksfKou6DDY3HI/KhA4LZVOO2L3cFRdE0Usm2upnVN8rT khnVc3fNt40kU/MTojyKFc2yDz4/52QG0ElgRWhPMhQ6fxN84k6MKvGh8RTNdqiJV3hMT2qUczCk GS7FoQB54/FIV/HbewT5ainXmGWHeOmkfWUsehMmJzEq9yvBDQSYJigETPO6zmNkHxznAbPd8y3s a5yrrJutLQXmgeug37WdQSzb71jLo7JYRhdWDlpAkJRTtnBSejz+Ly0PXllINB7M/Y4l8RY9WTQX QHmYTRZKZi510siM5QRFwfROOp1FPixOPjw3MNe/RNwRMTQWEfumGeRiCT/dkhnFTnZUloYlE5xO 6/bBdhiq3LJJSAuPR4Jv9WsFYaM3sj01QRHKA0puPUsRD7dYXi621EpJlm1MUA40QRHKQ6KlpKrY 4Gf0SSYzwwe6i/fO1dK5qeFg/wNyFlg8dKhteteg6kqsfWWTRYO2WG+WAJ5ru+DxKB/7xYyS/aZ3 xnYYSw/6JNh91Qb7TWBGlb2lMIRmxDTq2A5BHqopYk5tuDsMXhJNlIQBpBb/k4TLnQx2RVCTRzRV J3cE8hjmj9CXD1YzK22rMXbSEDwe5RpXdZJ1e/5A1zprR7E0zsVeajWnjROnWKk19fRY03a2pDs/ JzqD2BJjqqXBe6vINtaQpuI827ZwS0F0+ocp/L4uNpuNnx0LeRBpRvV3DUZqWYU6h2h08fGg4HF9 JBhALdoGX5jQjkLpJgVucx3p5JlogmYufkjXQvZh5+e8bzFADyDUlasPjoLp0Htbamy0OgmVr5DD zVBirT+zXklFKAfzOyIdD2oLoI1kg7YYVNIky3mWEx7/l+CbReDQUvMVlFMUSjeaP2YTtz//AkSB rA1oKZh1n+IFSnCnKJaWz+qms4gGm6J0juzFhj1i6gaXVLqRIDTiMs2EEBtQiJpL+JaAoUkoZ1pJ vASEZeYxv/DZSOrP2/IDIt239XUSoarYKFlt0xQK44EdNUm3JTuqc5og5rFVs2ePF8mQLG1CYTyS m9Gs8uHCqU5usXYSgWwW1JKRk4GG3gCtkkutFfDHtrf9A8cAluwdlHPM87cSZX5WV+PzUdJxKWQ/ cmMr4I/6JIW2LWsxf5QGAw4mbyP2PCz/qAuKCXIESCF0WjGRcIv54hbPb3zirEp7bMREEFyDwF8/ Jy6yjpNOTWKxTK+82tv+gWU4mSSDxwzNMYYWGT2IRGTDOIE7PyAIi66ElxTL03cnUca2r2XqLdS9 IfPabl7HBw/aUjOQI90eKfQ8FO6srTt4p4P4+yzcGQwxwQBDw+ws2gasb0aifdPXba8bwRelEU2S AgcjKZyXVXZ2DlM+H7HtviHCiBsrcJY+S1F4jaTlRI8o2tFSlIkUz6VJi1KC80Dd8XMpqlYPGdf9 h17wLjD73sgAMUsQo0ySOoMkBPYMNc8Ew6czDr8kspdQ4Ciw8PC2LqQuzSVpwz0hhbiPyxzHxkMN 96cTSGmzFBas8a5rGSqvzYaK0zmyz98F2RvqeyRiyzRJYcFaAUARH6g8fKB6jjrsEW04UO3pMDGp hYtbY6UHO8QIgN3D93COFnZVSOF6kcJwAaoMkmH1wOffJ6mmX20zFsrrs5LCkvUgqqQYOmZJwQJ0 Dsa8mqO57wUXhdkC5FNb1wYPs8GLTRT0g0xr2o1o84G4vEk6/YqX0x+OXxIxFtiuldcoB5sjqNuK zrs7n4+q+uX7TEJdj2mJzdHfKh89eRQglDmhsI1ipplRQB1+codla1llrz588PttgXNvbpHnQwHR 5yQBRoiVg8Kd1YsK3SLZMw4WAvNYpHscirFe0K3mjdrPQ3z+vxHBR3Oolq/rLcSAUImgGSbvHEnD 87VVzYmLbp7uKZUirY+iDux/qi/CswvBjeRNMWNsMG6p2SCkZDtgffkcp1B/mi3YOaicNy8cEPL5 KjKcPH7y+WC31e94Em3lBhiBT1IUceNn7bkb1e5s6O1lkVLzpUkLJctJ+tvTJTfp37ZrTbbhMgWT BrojJgAaeSQtNL3KIGQ4G5aosJfKL4k0cinSTbEXDdYBSaOIBzwYTYauLu0X3oplo7G8tpZDi0Rg NdusZhdwftmZjEJFayIW1wbl2OQAGMJBtITw4jY4XAbGrhswBqS2GRuCKwlqcpTZo6ycOELy5MiB R6KRpU9aKFhIc+UMeHundJXMc2iSOK61C8+kRAbFM0dRyN0y6dKMjpDcjuRSuUi4lNy2zKOIKynw SXRfjqqgsn5JEoBjduJh0oROmHniEll3AHAAGZAfcve++/n5D5/ETmdf/GEarIIEC3dO0rl3+Hyk B3tLSMRH4GXBOfFZCmPulmgVMDRLLVUvAUy2RzlLtPJmhptDr8RVxRUa3QcPAcDCGDsJeYXSSxVX iEtpoqs2H65QsreN+GYk3LO0cQaKdrFSmwwLCmkOPh8kuY87PUMiAFDqFWnKgV+iFCNc8hRrKZW7 lnqzUlLdKMXaWgpjbim+t758cEbVyeD2LnTBgZZrByvj/xj7siRZciS5/zhLygj2hfc/GKGqZvDI brd8PeQQxZLyCE8EFlt0AT6N8htQKFi3Lcvzl1/y14bDReiDA9QWEXa4Jyuf/1drn/8xaiW4xX2S Ym1qCBGV6/JCRDddXoo31YBVufstZC4W+eDU5YPN0YTOe6G/L3kxnbJpQI6juAFvprYedfPubxvB HwgNod4tBuvuE2rdZJkA383QNrE8opXswiFzq+nZcJFENRrzXea58kYelrMlagN6zS3dDRfG3Lrg NmuOHG5ZEpJx1F8BsHjTvAmmZYit8EOSL2zHEqVkcmCdeO1bpa6X3S5oD2TPPJXOVc3HI/ncb7w1 Ob0PzigH3onGoIZVkxUCVr9yO7sbpKaxHmb3Wwy5Zgs7EWrEwQHFM6PcT97Q7vD2wX+J4+9crImn KFBcTtAr9rqRKBHP7tSKD96i7Vq9iAz4fHB2l99orPMx60neAgNFWFhUYq2zUtyKUqFBRWDaknV0 gyxvsxQZvkjNO7edffAUtwETjdI1RHShDEIXckLMKuoj9OR0rYJqb/vHBXeiVeKROVgUMKD7yCvL XjLSTPkleL4JfHyigDDohuTz6KZWcN7XNJzOuTpEy8eqxi9HG87AQzE5wapJ0Ls9FdwKgUAKPGCO 1okoSR+CrAxEQajJchUIKYPPb3mfJFAoECNpkppPUgFIQOJUZy/z+Sgz2V8ndyHp+EnfQh9F/IQn xHMZ1NQdUpxyN4d7oK9X8ZUUQ0ZEhDWPpXbrACCsw8ljWDxZ0awCeBZrDjuGK8usOGW5HTopFsk3 wRrOBuslIRK17Tb4fJCZPDpprE4ifbvyRDn0Uuw0lxcSVP/kRnh9T2tNNkyTTVLoprikkDbTHb5y 3HNYD7lNnqCMoiWdhkSVR1m76Zuwazm0U6RpVCcAgoPluOhWsd+DPyDz+X+J7SkxyXARvrdb6Kc4 GU72Lumm3lCv05m0G5AohK/1sYytl0NDRUh4EX/dffCu7fnB0GFlofuEfXkS4LeY2mIVNVZ9WVFa bLrl0FAxN0L7lqSH162WQOBBbKJz//H5CEb7i4+mFtUNlGJLxUXZfBMIadCiskkiVJ0HN6gbyScp jLmH/LdS9eHiRKk0v5tVS5pcBjrKR/AX+pnNXRgKVRD5JUE0yb5SlcV9xZ5m2Tb3eWJuZownUdz8 gCjo/mX4hu5tG7f/nwNfRWjrQiekmHR+myJgLfiwOWq07OSkIpdffTuUVAKQLmxzNxhAcssi3wpL 6RzNXXpXOJZ+4Hjyk4WiVDugVHvbCERCOK2EnYAtsKLSGEP49RP0dT4f8WZ8luztzlqqNwgIrRWB RO0QplI42SE5a5lJemAkJHDI6j5EZTMrK7JyL4+V+9m3YFVXlnCR/iZe+iebxoVexMi+XW7KpuXY XJHUetrR2WC4fti8soR7rhw+/68N50FAgcCAT1LoVZ7V10tOfehud7/ElpUtTBk+SaFiyJSoigyV U72TlArs4FdX5a33tLmUNmg6BYh52E0ZQU3yjTn0V8ysS266tWnQJLU2RBWBNTKfj1bSb8jf+sVE z6HDYqPyTFoGaautuWlFKeZkgV7gTXIDi0VsOB7acw8f7Ow+ZwacfQ0OkGB+zCSXNuhl8++9LZM1 7W0jxEQl/WreQVEAvD9ZYoKnBJ+PCiZX8ZsPApq9Hlv30GURMOc2WMVi6Q09XYFK1gMqYcVNkxTb lmfnz1wajSoB1HtOW6W3WpCqkEYjBQd2cn2SVvaX/YsGUbIEjLLX99Dsa9OkQBuf/xcCmRc+fbD7 cyiFotZozZdVHFcymsMmen5wJSyjM8cNjBaz0x11W5XpwDNQrs8J2aeJ7lagb6ieIaV0HL79oTuq qBQ6LVYyQtcczQdDt1X6NvPvbnw+6lD6JHWV7JAcXVZxDr0WkWb2ur1AWYcJN4M1u5Mf3YstzK4P iioBQyD25INH3bByX1QxO2HAHgNlBTRNUOFGEgfLPJYK4WvV7W2DpaRZogyjBkMo5U3L0RN31czn o6LSNSBgFDCo6XgbAqHl4m5sLZljMFjGLkQzs0sW8oayDfeH5yIjSrW7y9PuhpfBWVtTenMJIm1k bpErWAB7n/03VjuHnosql9RUug+GUkKjWBoiY/L5KOr2egnrpzQgaE8pIPRdZKgEor2V3tgBI9g2 LeMdFRlIa46iqFvS1cXYNesxej/H0kmuZDsPltbKIk6g+URf0UackgjYo9vLBogA8mdrJ3eNA2tK C0XypWRD7xihSetXoFRxa9BdxaYotl6kYWdqjpbMbvXRJP6ExhL8AyycDL0X1evOTFo1eCUAdjGT h+Wc6JRkyoMSoIHsmoraF9OuZnfovghKPYBKPMDT/SVOHHZSRm63s9P5/L8wtyZH+yNBNJulEFxC 2adkCk+9UoLLxESSOROcBYkIQyspDLpZnUy5JR886E7A+FckpIBNnPuasImORgyFPdmT0koiCoxf EqkdMLudlJ/joEmidhe3W816yQgR8I1TYgPweymFMXeTa4x5EjRe3nKNGaYygmhw36UUi10zJUly r0r7yd8WiO8mHzbgPZcomY6MoCHEz1KLMqPTZa8blSeZlwo1y8EipT66sKULndzAijER6GSzRLli 7PR6VUVyYMYIUAAKbpQTJrhksrMNCA4ofpIurqw6Kc0N3RilCY5D1AdPTSCytu3Oh25Dodtgh2Ra Q5UMXV4r4tKlmV8S3W9McxkMaLBaADrEguCgYBIYMiK1+UaXIBwhJswnKQq6EZg32nPw5N7T0G/n wijOGC2d2iucowhd0iiDDg1WHxzyBsxVql1UpHaOo8UYgIICdFxu5XYDVHkLHRkZJKNP3HzQHKXu grOdh1II476It6LcGEySJwQIC91wrQThTO2A2sy1G9Lpo3oIsMbdb3HMLV6tPKfn4ziY0pn3vngo YZbAPSavthX+OsAcpLvf0rLXDfYbIRMjq/R2MxPU+qa0r/PqfP5fiDdF6FA3udbUObRlRDAMlkQx xBuU0lVVWlQ+JQankSylpRQG3bKKq8xMcp03UJowI0pThmgFaGhMJEhpVtGRyZzqk73Z20awQB5K CLBt0FIqC4k6k/u1+HwQTmbH4ND/AWdi7eM5lP40OE8ExLDUjbJhZtANkAO89KjOSzEoXnBx0J2p ryJcxLj1EtQmV6e4dZ67zZ7oEnc2S6F1L2xvvgJKMpNyYM4I7AMzN7DNbLBTqcF1BGvpBBJ8PggD Rv2VwJ0fHwoTNkuhPePQ0b1VMGmTi5jxZKFXAZJcyHlYFBDYM0KUg2iAloYPluQ2iGA2lnPOqkqQ UeFqg/rGCQpAX3CS7flp/WX/yEwQbTcfFHSf8CKZxCqWUuDP+J2/MXaURMxdSaE/40RsBXqqIScI H5exzOBxXmRhadyAHDo0LtbQeOXUr5sHSr3gHSkKGIiTxpBbNXmlmeYFnr4NhUqBRWNm+gWrAhmh JoNz7e32Djhi+Hhwu/0Srcn0KXnOpNCjsVK8qk9rdjf+l0pxR7ruVlQB1hzFNElrBywffI5OCADT 0i1N/tUWT4JtDMWphWRoLhXeQpPGSunRVWi/syhhW3S4rCTgL3JDfkCkO/Lt64AUk9Uvn6VQEDuh 8FbNRreXcrVWuxNMzw6nZLy2Wxh0i/T/3+pQDSpKmGlhcChQy8IbemhAwRAHpmoJJQ5z4NPoCNOa RvdB0SSgMBLnGcBNhEaND5XLLMPO/6bnRIrw3GhatF660IBt4Lvk7TCMxg09leQA09ipUQ48sy4f vPmWUe0BMQQo3FRbZggA+ATwZmevlKteQ0IQvySIAAgqKfQq0WC1EmhtM5Y8xzef/5f9hexkAAts z+UW2jUCynSSK2vZnn+6iQmUMG6OO+8sxQIkXSyc6YMHSm2XxeMR2VuD9CepATi3T7Q0f6CQeSFv y942ICypzt3UNmtumgk1j76EqUmVz7+f22vfCi6JOzgI80OgCDwbcbkhUCJwkJWA3rwSAGEwS9+I PbZZimJuM/neErLZD7rkXA3tREiJOS6lklCh64C9E0D+Yz50IuPMZK8baSGxSUmrMw0GxC1jyzbv hEp8Pqrh3nYAZiTTAx6Nep+nUNUvUzCKSCVJRzkdZ1D8VVIkpZm+cQ68G3ELsGuyBDZdD165lQqu Em+TgbhPOuubVXvYJn8J/kh0LAfujfKvw2ajfC8H23NoUhp0YvP5CITzrVtHCcJyZbI/r4FSzv8P P2anMKHsCeetKu1RhoMCVrGeyWuclIFTMuPP7YPFSbC/yECYsEV5fvwqgDws1Ap1oxC0zqKFhOvt NUrKsoyBQtycPhj+Bo1vqbItPP0yOxlYI2UL0+AAONhYvGUVK5icKabScA4OfYL/z0A/5oSB43vZ Rgsmp7O/WCuhNxy8CQALa/AMCQVokKitkkhYkABoOrJn/oK7v07OCSHlY7CJvuVgfUk4iDPMLqjb vk4OykjFJkeWBYNyAsVm5zU2ysCTkL+4pKYFF1OXD8df4yiA0Q0F8BoZZVkYw7CRBwoHWzpoFuL+ yjIxALZAwrW84vCOmCZDuOFK+bzGRRknCPZU27zOOOgESiekkL33Ob4/r0FRViVTsyNsDtYsABec nNeQKItcd0I5w0ZCclUX/4ZUvGFJN1lTWDmv8VB2tUMpZ2jwqBElyEJpfLjD4zhkPECjYkSMjfos wiSz8f8aDmWEM5kue5s5CDhzLKlBygayz7iXTjb4eY2G8hMxTnMpojeMr5zXWCiblVze1Ygktbpt SlqjO5GklG3l/tdQCIveDp02fHDw/0wTvVAy29Atr7y+NpowFbE/Pe9sX1FL9DUQygIV0K6x+mC8 Nmxe/OM5E9bnNQzi0+Xr0CGTo7L7SBPNYHIgwdmby/icQ6e64fDqblxwApVhuetrBIRVSyRbrWxI c/Ae9oDyeKFfLHJTFP6BPxoKBirCCK+ClMSi42sAhMujSbEm3cGAbGkXSeqfw+jzGv7kx15mKm2F PhDBzJyd19gH5zjudBpbyxF+M2ndPOqqkJHsgrg5UTQ7WjPMtTR45AM/1BPz0P8LJvPkA5ylg+QW 9VCUYT1lJU3rNezBxiLNj7JbNji15px4Sq/y+LwGPTyytk0O2x+oHYzp99VrwIMTubG1bznqiWlN vo/unHbotNqtzPga7eBA7mpWr+yDN/bheLvZhZsnsgUBjPA+lBYbPCAh9eyxMxgqn9dYJ8sDEuUg Q4t63wOeKUtOA6hVv0Y6fMFsc6MMrLtRNRUVg7mh/KJKvmrpL29WNx1ElfKqXu14rS3m6wQv9TUO XhAikJbN7pVS2ZCsZym/0SwT//oxQCUJ/fNaWmQwRXpfz9MH1V/Pp3QzOod4aDA7jlabP8Ouq5rI EqU8RTA7mR66dMQWqD+7Vs1uo9vscJ9IaiSKdHhylK1AebdbLkPEdALkwqUz60kiu5JT0GjBIsmw jXIkNh2JXiuK+AVMWp6zsx8lFp70kqqBnlh4X02bHZ7eSJEZDCoOjKJk4A1QLs6GdCj3whqMJoR0 aGU5qS+aHxY2qvIJDhcU2pHWKhIEgZr29ACs5x/6cC/k2i6jkfWuwd6ifoZMgTVY4xV9NsbJhMxE gfKavrkmX43FRL/Q37lq+FFQbx2zqnnYum70hf598v79+f0vny+aH2KKapFHdfHdBVvG8zmFMkMT ks6D3H80yk76ggYsW63Wde3V3jU4mMV5TJQP5WAYdfBX2JI/q//zTlTLDwtbK2gCgJyeFfQeLAPm yEx9zLvBph8/a2wnYK1dHHYVzNBmWbnK0oODUx0Kq8WCpq0EZX+5eKNBgVoA5FWM8Liqvev7BPF3 Qp7bfbC6xkkWhxhVqJC9x8sgOvw6nhF67Zp9gqKAuVJYlTbhjAlHdxzoVA+fW6y7LuY7QQ0vL+19 RTMcPFfPqIguQzesk1UTd717lTkeAF9+ezEL/rzz0yzwxNkjgOO4AMdzyUvz/xwQaGpEZ9AoXwlF oy55v7noe9BshlZtbwuae8+uirklBIm4EPxEL7JGE8SSBEzhfLAz6Hx0P1trJVWiCSJnvYPiafg5 Oac0a8JG5btGZ5BUMffywWusPWkFrD0+79Q0hk7zawXJXZ2tH81QFDkjRmv0LmGJdbdkQgcUcBL8 M3GmWe55j5wzgZlnb20xHfe+8Q+cW2cFvRk1r3k2xpToIQoJkCrIzyFtVegoclaVp/Mu08BuBlhp TPLOkVI/76y0LEnN7/mhxEK9Z1AYO7MZPM254ZzMbI9BCEKS2Eu3hNnIvHPSuBC5DNIuPnhSOniv NyOmI9IUUZZoPdKe+/VlFLsxCp6bNuUU/HM6eqgA9NFkk5L3552QlsWLsAlieo9YgTmBJiiKnxHa nn1liLNzGpm9HkR0qxvtlJ293hNF0H2LPlSGD36NFRQcE7fYGvgf1gvnMCoNahL50ogoXvzOR8sy kTwB6GBRI41boz8LNqlTs/r8vNPReNW2r2sMxMhKIX7N0HsUbWzrKpweamNpGQct4UDVZLVGwST2 w6IwehDdWjcVfDh46g5hoEWl6BPIZLZlyHrAbU1t03otvPtoetf3CSJs6FyAxDJy8LbqWlTWPUsp f96paAiE9vw6peFcSYFCm6AokD6Z+8lKUx5WFBuX+YnLR9VDYoBsgsJIeihBVcC4/ASdZ+2c1Mg8 zk8uWlEVZs0w8eyBkvkjSDPtXaMJomXlIjGGgyYInMmt/gX6zlEo7XhelX4ErQQWSkXDKJQmImU2 8244x1ZOHilua/ZAy8zwQu8ctHsIgT3lgyep5xpLjeVZNFPL7E3XPLYYS7D7+qQsJqnvFDQs9krr mUYkIwfdYsDMs0x5Lsn8eWeg5Yerj/YbTiw4dtbigdA7A43hKXKNYudNr22ZRRMMvJMjGEHk4CH0 TkDLsssw02AbvAUG0VMjfJ7t1sC+YS6GX4asP+qIOk2v6GX/KsqflG76oHO61moX2Wzz884/y5L8 +7rIoMFVqx9C7/SzLDHCs3WTsautKU+3ppWcXV3ntL7OO/sML2/g/DR8sBmqDYdLTTqm+8oUzzrH TaakELLVdWNFqYe8s88YChEgNCl/zcGO6ZOUM3oHdejzzj3jBLfvm6yABCC9Pn5rGE0PUmGmtb5K orWVbAqmCT2T7mRTFEXTicrglLW3wcsdtULmKVNCvZ2AxITo0G1rlbTEdqkw/EM/78wzz+hHU1GI g03RlI89hCT5eBRO969FREdwOmpphqJwGtZBbXYjUp1/yo4QeuSdx83o31ln2WHCtekcak+jeQCh jbSD+uBwdWjEWxWoLREGqeWnSiJ5nu+sM0yQHHS9Q+jepxAm2SIMnyDr8046y7JwfiYIRcya6u3u hHVo5BuVWxr5RiE1WX5E1SUfWtZNlvg50UnNVHUotR/zKSdClB1mFDyHOqy7DfkCmYdE0Tuv0svI 4Z1xlgX3wu6iijEHmyDIFvOkPvP0eSec5UcgXBMESesifDAn6D2cliTmyTZdDitL5GH8tkTLl7n4 zjZjyKDOF2tnHGwJ5fOXwOFciI6T2SEsonwx+g4nxNgrP0WhpXcNSoqDjcElY8/lsi3lvP6Un9CJ Kz7vXDP8qflXPE1iXEoeDL1TzThDJwRq1bDBHSaeDueoTuuQ+qyW0Hs8fX5eEjZB7fPBU9YTT5/j OosfdAJGSUIWivVsdJXL/vlybOJ3RDPEOJqqzCda3NMtmE/wVZhynBtyf96ZZlnM0GcNITQt7KNq hsKqtHCKXVd8myjzMRoyRSxQhE+AZwjzd5pZdnvhJoNPDpogMAnOVQXMLNrv0GWu7PJQngNUfyrk qWwmV713lhmPWRxCraiJWp58I0GYBH8fVLDeSWZ4fHlVCC8HqGpRK5XzE4XTwJTBu9uq9pn3AatC KXGPoccMiKrtsfdwGkUPFlkaOS8cHJ9ApEvLKpuxMyaWFWo7RJfDytJOacRsn3eCGVcQ62Uz3UET BLdQOt238+mfd34Zos3hhxBRLihP9KfNHIbToE+LqAXoXZZ3w6Jjpvl9ZmqhWqM5jKdpc94TrzMO toIQP8Ndj/lGqQ1EKlaFNgtC52/djxgPARzv5DL0tBaPZ1ShbVDRY58EUITXkT/v1LIsvPJXpxmI 8HY32DuxLMsaFjB4UwZp09jBGb9Lu1Uz15h5J5bxFsPBMmRCycF32NliaSZiXKGF3XiLDbZ8kEAA t0b2EqqKRa8aoRRknTuzD5ZuoDsgcsI5A95pZfhL0/f6KWq1+CX2zirD+iHiblXz2sl1mU4xIObm OlgVCmODvZPKeALx6FntDjY/GY0RqJvJxQEWMFvNofNvqCc9H8krJCmfd0oZiw8smvES08D1s7KD Es8Z/3knlP3XAY3z64TuHki/88lwcBTUzNzQoi1Cm8CWnmRR8PxJuFo0Pe9xNBZv48FDfb1+KUwT NX8U4sgkOQHFScK3pgcKOoU5WvHzh7XlzzuZLCerd1Qa7ZwBv4SQHAv6LjRmOOH8551MxoLHdxwt i+vsydg7lyxLQRKypl6Wzs76SaIdST+9L19AUVlaYqB9ESHOQTN0wl0aFQggnc9pI45nA5CQ4ed8 6kFFbxplYkxS11g+WD0IkuwG7ZmfdxZZzpf/a/UglntvueOdQ8ZLgcpWzkdsDCUEdZmGvM+tIVTR 9ERF6aSWofjk9drszPPvz0wUKhrN85fkXRkE0KGgoHYwAVZYqtpTXP6dQcb6OStlUyEQBu0vMMik D9Pr550/hoVwzx9eVvCFLQhYbYKiKBpmLF3M/v9jzkoRgk1a63Dz7tW9ovjOH3sSsUlBhjrbjaJ3 OoukbbZWJ/C/aVK5CZdVZe6IEpRTo/rWy0YVM0xNoxe9Bus94yuZzJ/M6vNOH2Nv9YbREgxDSard KYrCaMxiG204rKMYonXTj8/I0dmh4+/ksezIcUpFcWD7kjNUofO2ZcqItgkFGgDVPRuNlfhUL5kF yQe/JIKTMfphl+UMCDwZRhcw+KrgZCdMfOeO5UcATIfQQpllLw8T36ljLCrS/WOYNeyJSZ31O0hs MnnLYXp778yxnA1RBqt0H7zcATmare7qyue6HGLiFb4gzJqoaSFhYoaJ78SxewxtUuzR9EcCwBla yBYxQ+fq5/PBPrvZPCX6cZuWfc+hKJCG9D2ok8tqZqkUS8Ua/cZVM6PlMWcoqkvTJ3iQ/myDozVn KZnJJGaI8R3rEqg0VNQiF0WetIbotfPOGWPzh+1VCThzMCQ0JPwEUF37804Z4yvekpmaqZidfduH 75wxnERwrizLrN874RlC+0KCwnobGaD9iil654zdu75KPaZe9ZhxLrEOowWh6kseKChyn1XqpLdf hVe6UX7eOWNEIZChsQXV3Wy5I1ttLevgBjH9804Zwza9MBghZipA+B4MvTPGsgyb2hiU+KIdCH1j 2g/U8bC16OFNtTEc1e98MdsBuMSsMOTVmkneBJSbRDs4x6NY4/QXbsDcdDDNrIGIK/XzThe7wVDu siW6tmsnDqW4G/7mPj7vdDGrOHzFQogT2613vLPFsHDxijKUwCbLu1xw4rIU//wq8PPiXfZOFuNx xipG3dkHb/6cP3sUYRg2rKcmeS4DQPXWEQOQqyncL4TY+SXRSV04NWv7oJIQMJti+faxPu9UMasM P+kGWYJzeHPjnSlmLRU0N7rBNxedidg/FMGHURUvEe6xOJ5mDMi2pQaLp4ESOsEPLb9WA4h8SscB KwcX/dxXzBLLl9/xR3sMjaPtg+djs7CsfLKW8nnniVms8F11nXBg9nP6nSbGEAFR4nRzlJ6ml8y2 5IjoW9kciPdOE2O+qkSe4RAHr7oC5EQdHfxeycgGuLGh0wxZ9po8YWUA/3lniV1Yfeq0QOWgeBEe dXSSWSeefueIserfvm96VChosqb5iarSwA20JT0myqF2kTP23tfMIoNWqvUThtMM+ZpMUVp9Kopw vOxtirJ69u/Opic3+cnrhxbwMvzK9qZ/zU5PLAdxsHTjJC5qjZ0k//NODrNk9ytdpQFCv/srhHig pLfVBYNp5bB0fiMCtmy+DAcwvBPDWA1iMlZ1Zdd0cYoU1RmLAIYBGZXCjUj/rLzRv2NgMMQ7aHrV AETFialjNR80PyeWq/Jn2IWPRxCP7+VDuGRtXu54p4Rl9/mgLQdRZqt5c3W5uh4RHlazf2eE3fZz N+wWhpttYPFD1gwQF1hyKAwAp5GeDeu6dxM8/Hnng1k+hVp9KT5Yd35AEuuHfYr1eaeD/cJQMehG Np9vuaNFgfTJ5BEmuhpjG9k0c066SqgH+M69erraQpg0c/SeBTLLD8gMOwHZvEg9KN7rkis8RBB+ 0gutqV6W9K7BFS+FIQLDNPD42SAdM6qBnleLwmicxRZG8yxHxPvAFFsYR0MEQeqS5Mvt0mwBoadu O2zRtJQTFBWkk8wYE5v0HG6QuNA2JD1jsn0FflTf7IujGpXJ67aCq2YoBHiQ1IO2pA8WSOfddQTt xMeD7nz51TfkenzoK3EYLZlq1X7azM0qZk3bDk5xJ2Bx+sp7FO0ndJ/sinGwGx7t7wqwNwse/Uwd gwBU4X4K1LSUziuIZsWsh5RCnT1WOLvGywkJsCwVz7/G4wFHY/sRLcsKYv+zAzl7FEXTtLK1sgxM zvhOugtyHKDuArJezVAE8BhLyar4c3t4Kob3HyfLaMRQwfV0T9l8F3brz21Qb2uePvf4jiDNyNRs xCvawD0GhTlpVJ4sCU8HV3z5LrkiZVbHQPMTRtFSp1quTtWWJ/NLXF4SoODbyEusRyVpXWLniio+ OLwDRWkEnIRQga9NKQpI57GDYohTo+3iOXxJFCR2GXpwnrBHWKSAC0ObrL+erLXg+fdjaPwuCVGq n+0Qm6SQX1jpnVvV7YHiuQfSnScbcWaLiD6kGj0KpCfv6zKkuDAenBnIHjA2J+IexokQFzpxIWog rdIIqV7NBSnC9iiSJkVnQP3ABz+H2tY5co5+PB7M0UXiNQuFaL1qMxSRDE/iBBYkNXGz2egyUizZ G/UFAC5l8z0GeLDwykqUBi8J9Z1a7WpsnAttLVbN9iA0/7yfyAzSpGBZsUeV6UXnpjKoTAHBZbUA CzqH8vM65z0eD66yC4DRejs/S1t+k/UwkqZmPkISqQiMq7UAtJjfZMOVu3pINBRSseTsg8MXzhyd f0F8BzZBh8cYwK4wO4P7xiSqqKu1kfWuwVVf2Tnk4aFBqdg5JOXVV8vkK0abzCdIcUGjxodPUFiZ xm/Y4DoGKjN6z83k3zrWe5aJh86hzs+JziEyEnTocvBULIHJuM8xDspYQkG58hzqwLGf/w49MseT U5i6R4VpYIyRpCpY7H7WQX5ZHDUUCfB4hDLzYIgCeejl1emMuv4eTDsYmDBQmuaVJk9YmOYxg5+i 8Z5v5QqK4B30L0MKMH24cOkFjVSK5J5gqA9QIIEQqrQSGD8EdRqljnXpHtWlIUYHBWGCpjmoHoTe p7Q6ap54POKqfmcbYNOWfetlPUJLI0sAK0q8+NZh+0TNl16yWXiWBiFELaAI3SH5wCbqIarzeAUS EmDTtqdwilg/rEL3BcYGWXVjXywwlazwHRGQk+gOyplpMMA9bBx5U5+0AI//E6bYlMXVbwmBHobT YEdm5geAL5wb2K+xvDyypmup1Mx6VJZeOn1WWz74KQ2HjZLNcums8yLZs46DH7iYvS6+gxcTvuP9 EFJ7jCTI8sUZhhNsEQBmpIbHgyVUPZqWyjKQBEzrxXeOwmmEhBANNtnXKn18tjZ2q44y29USjhFV pXXRQzbGB4sWgTgDFIcNxL1PXMpWhhr0oNqCc3U9crLe9Q8tAagQNh+sYrb3ks7C+Z3weBBPj/q1 yfib7eKR0IjC6cZwmvkxIqGeui8hamjxH9ug+hou+hFWpY3vIyTnfhDlEKFejb7gEumELR1OIVJY z6Yc7SJgFqW6R0g9JCc8bUq/p2ujDtzyMurg5uMRkPMXsw7ZHTUtNEEhXHonCgdrWrpceThBKdVq Oh0D7RpR5qOqNK0f4H2zNOD7BiFCJ4poO0l5ij5dpleBDVOQv7BppIv+LPuB7wiAnPR9ZUGOgy+F EyoWxqb9/A8ej9oa6btohhSKHguaoCiWbhP9F60g6huRLA86e3e5N1CozdZ0RKG0IDBdPcQuozrO T4FKwSy6xoB/2VtQT5RRgMPv6bnmJ6YnhHgQpKjoToMlrKWouYooDo9HR9CvQJEl6eQJ/YgC6V6o EzhNIuj8Nm6uNFp7JJWb1YRGFEnPJt5YLT44hGHDOagT57pG75wYRNJI5AEzxcffvg9dzUdUk5ac SSIy4wzoaTOvwjUvpOtZSRnPR2XFm9OrzTMIBr7HdBhNw3uiznI5CfXi8Ggsxlio0dKXmyyKppds g/a8g59CZcHihQ4GC3xRiryDvtolQNeeU4jtb3xHkLOqsohasQ12TFc2Fsign3g8AsLcY5oiVaRG pVt7HSFeeqLjw2iCUEVikjhFVfgzdsdmN9rGiPDSYrQ0XVMcLhRm7bGSoDC9w0qAFBh2NvNkdeYx 6lx617+aY5OHhwZNUT1HAi3bYQmKx4NwaPXvcAiFolKvfMeIgR6Ad+wl1iHODLHrdl3LtHDBgPYJ iorTUxamW/WPzVguMxiCkxLtgtGjH+dW45EFzhRukv1D9Ix7Bp6Px3dEwRA2GIgfPugkqidWUcKw 1sbjUXPs+6CuldYF9ySK4+n8y8HMtxtADBdxn6qDXUcYUIuLUMv0wRKODr844LEYC/WB+ihPIkJW cZhQ9VhnNSB6/JIgom6MggY97BaSQAOUd55PPID3wvNBVl+/p6hR7qJdeZwwoB6C41k0VNcNqCeU b7mGWi3VsvoR16dVlpd9WXnsy2qB6kJVKfHMVSEeGMZcCKgrO8jNK/jsyeFLgoOIVbONIpMNSjoA PqNq8znzMx6P6h5+EDE4ZA0Lb2AiOWGBugoyvSjghputKQhKA31jBYyZ3lVIy2YUUS9BYURy5uCQ V11oU4izs27MaqExLqZB97iAM+YcM4R5yJhbdGgOVviAYRxhdmeZ4fFghto3pBM18yLjMk5QFFED R9Xg3ENh6TY6HVygRrcJMkMFH+44SutnSECUGGCXOnAfF8UAvGwB05fzM8BHIcwDEjANJdRBlLXK ryin4juiCn5W9/AOWkEnfZzWJJsbj/8vPXqg1SrV/DQ/UUANX7Lzrssw90VV6UXM9DB4OervxtqY Mf+QxB9ahmrwwtCCWAoPgDNBYCMW6ZhWAvLGj+Q/xGGl0MAM69OD8I5EFSoOqnvAuJoRKgxf8fi/ 5HJYORsSXPMJigJqpDm9FAeVV5C3TaqCCuCWs7pA6QyL01IaoEq0Bu/Sn6RvNBZRkHEgAecOA/SL rI2SHxI0yYczRnkwYEwsCnLQCoKroanBrILHgzb9DYZE6AX8pqR7BkUxNW48SENZ8XXQREbMqFFN Drj13U3GbIYSeFVXvWiaX4DFci6xnKVPPmEUmBhRwrLsrPHzrkx3LenAtsF3BHsss7QBjJkNfkpD txpnUOkVjwddxKvWRVgaasDpIjpnGE+jdLbbFAL4/C1NtgB7SYUJqOCs4nTnx0RnEKv3hp5OLrh0 roqdJsB8XEEbfmjoZpzrGn1ZzM1Akum0BHvV4IzmsQrUgg+an9ko0w/K8Bh4PCqdfd/zqCzz97H5 iYAeAEA10+aC1gkNFmkLvL27UYGAsvmJatNGHCuqMBaPc3EEQeMEvBOUXkvqNKE5OwynNq71zlDR dJKLXjVYPyyYnUUyfVCouHap3DRQPcfjUUbmZ3RRh4w+5XeHhdzDDLOtbhwoID0uSbxOA32cE2Qa JHiGaniMgJo8Izk40qOS+VZkcQMAic4g9F9EQKZLuRyl6Lgxo+J0oWzrXPLfXs5OS+e8r0lIs83H gxlK/6WHV25xekZQj0nrFOr/iJz5KP9KyZ1t1u7MqBkF08OgVHP54BO0Jiq7bLOOVZAAScpDsgrk 69wGGbmHM4qliT046RwluzgI6nHuIvUPT/KKp4P5uZVXcnnZA2CBWhMUcw/r2Vi7ONTD7dxPtjFK dWoUK+bcY6GUx1LJVbyw+uyxc9ZsmAwmSXY1xvfowuKkrTi56pWqGLRwn6EoHuv2oz2DpWMn1ZCD e8kFj0dgGN9jTt04AYJP0IoCadQ4EKVoiyEFU+K6GYWblAd49bzDVoT0GGrQd9Xu+1O7R1UacrnE Cp1bvUu1M0nBH4Sgce1apK69wsp0Fnk1Fx+s8IoiurloVzwecQ+9vUEMTcZPmS85c0WBNMqHaJA5 kmEMl+xq3VWAG+WxWDlbIdKjW3ej+uD5KnBZnQLpJw5as1JsHjPEPvmQ/KOVprHQ8R2RKh51tfmz a1CmkYACZfB3Tjw8/r9IdhF30K8q3golpOmNuJbxNhqlkrjFYLPmZ9CodgatEOpBasv5XbcGeg8y 1cCUwJZcE3TiX11jpPeihD1y/iaIN3xHUJreTbSo5IPhFU/uWzRBUOUMI+kr+qb+HUXVbsljxfxD qgUWa5AhrLNrrFGdWZ62YLVqhkLAtJbQED1qPHjghGg6AbqNi/7cYUpWE06tAs/o8tQV4fjN7/hD 6gRo7OqD14RMt7SetY7H/4XIE2YBsK164VQrFJOehVK3gto3WsKxyUrogSj0jakvdV1D/iF1pWpm kZqDT9BJtPOmaSOarGctqfAKef+KH+ZL438Pe9WgaEav37IJy+Ng2eqC5hLv+ZTx+L9UzaRZDrTt hdyvKJJGlglCtx1CPD091XAbaWi5+DEd63jI71cKC/2R7ILNH4o3SDXOpX9S+4QuPbquzAKpSWZL qCTaEawI6CEOPa5DHwzHcDIz+WuPPvB4APRYzh7D23FXrKusuKJYGozZXmVZOxDYJg8V2zKqXZb5 jPZYGEwX0+zaPni6ihLpGqxyrjOPiwWgvgendANoe1HBzK/wHcEEdSsnLndBEO8nj87mLGT9Gh6P LrJv+Vvwi8khtAmKYmn8d71CTYxIGOpgixl1jkEUz4YEl3wJhajpfuXevlXfILmdQBwiCxr48tHU Yl2m0PTT5uMWycr9imLptFXpYMSYkyP7T84CEo4qZnzF9z0G6PxX1XVSGv1e9CH/cADz6gIwbTHR RAdxJBekOpd0N1T5iuvSsvYVUuhW+2SkdU55uWgMel9zi81UIeeL6Z/O0CxAtfJdA9y9fCJZKtGg itDaq5Ibh9IiHo+EyevXFmuV7IY7QWFZerFQVosTNJdHQlAvtVh6IvbTDP0ti4filQ+O85g7kZEA LFWupalyjy490ZE/G1vMIqEx9K5RJEQ0HlMoDTqDNr2pf9jO4ePBCsrfOhX15IftsYvYUSyNrnHH q1trg0BDA72W5a0NYsUowB0VpUeSeKnU/6kzrgk6V9X5X+qTQxfvXGjq/QwIkiSJ3/x2id5RME1q d59EDGjQCjrXwEhKQQcfD0pmt7GhycA1n/wa2++xtPgwvZfszI3aXcgjU5lPxCiiDTlBoZCHZoZO cRoceH92aK5de6yfe14S5QueVA25H2MnMz9u9q5BRYjoJyhW+6AVdFI9hrXwMeQrBrdY/q7aU/Qy 36r9DmHTwHPmnpZFimu6eGnay9IxBH7Wpt9hLM089SyX7IOnY/OkBbOJmTBBW5WRdJb89skFk2+x Qr9YfEeUz2NmxiQ7k4MVPPLOCv4QCO2Ye+griPZ2NGu4Ugw75B5OHM3b1N16ksQiFN+2m46chXt3 WAzzUKFDql21XW7UyT4gu61I+myhXCV/ywIJ6PmjfFEPi1414P64hvsddIkBiSAN9nOE4vFIH3h8 ndHIVku51MMdAqYpcl+3S1XkS22Z7Sp5nEnzCYqphzyCQDG0wXkJZ02ip03BN6hRDZnYgepcyByv F+9KZQN8x59aHkOeYsNDrQSlRn7h2WEVj/8vWh4Fsw0ij01QqIhHYoJKivViPGSSMKtNUFvZJyjE eJjVkeFhnlTjZPY5U25fRXssHkwQFW8WyeLpVswodrJDgem8BZUuPljR9SxfiZ2cmcLjkeDbr6L0 OfDbg1XcEcIDxVBoeVjFrNTl1jVtuO7J+XfDsIo7VMTTESSrMA5+Rrezq87ZwAk6gcw2henE3sQ5 I+mUtLqcaZveNaq54gjqEMmyQVtsYCMXxYkFj0e52O/uPAq4426xKJAGgbRBG5JbrA25QkEo6poA oAhoUdCOAR7sGIob1R5uVIIx1Lnas5U7autVfbFNH1Fk1tUWUKF5Lr4jomfKRzxVH2wBoalhzpcD jweJxrxVe2GE4Ih7k9UdBdIosnQZf8qlxYPD1FkiJjcqFbLHOj8niqRZEILGmA2eisH7MVlBCDVq rbXzk3exSva1sGF+jq8IjiArRpc7OKml8gdGm4uPR6SWb7muRVuHZ4dFcTTK3R0+EXYE0SqF8wPX bz+CCunhnZ/zvsMmw5+mclAbj1rOhAdO1Rk9G7zWs44g7EVo94N4dDUnm941uOSpFEiRZRscL206 J7gU8XiUqvoKMkYV6r1XgyGnKJJGs/lcY0lIjk6BHdE2BvBVMu0r8BaRAneKQmkJ2FKb1QabI3j9 rLnpIXp27iSs7+QaZ8XQkQoFpwclRM0lfMv7LJHfe9IMdscKzCizIK/nfOts/sBXkh8QgTrzVziN fk57BIKF0HvfacyqcbOQHrXJTYCWB3IsgTwGXOVkI57ieJr7pxPSysFr0+dcqgksCVi2nL1vWhVo dTcqpvXyeIiObW/7l5Z7aezSl+Zd+no2YeH9CdsrPh+x6b9LZ9SnXxdaTlvl98e61ISEB+5lmu8Y dBO2C3pstTiSPijATjfD1HcfvA8NpicakYiJgHoCZKfCxheOLSfAniyWmFx5tbeN4FScHfpGnUmC UXzTUioGnhhnUfEDgrAoX2Qnb8DM1OxxR4qK1NBcBvDe0AzQ1LQSY6XypVj1j7lNCv0O2WzNi30v DjZNtaOeJlHDhX+aZEUPoBoaFCsywXyevm573Qi7SL86YEZs0MHUB8NkpFxp8vmoUP2dn8Fhqdb5 7Lgovk4ZEkx1TnMTpW07D6aFGrugeSOx2dH1QcEs6fBWK4PDLaNBrlZA8w23HtJiIHUGWY9F90mr EmVS2PklQbuDFi4Jia8NhmqA+AnlYTbcE1II+yjfx9I5Ec/C2FdOMKe4XM0sjRpDdApgRl9/NvA4 JJpBcBGnjSYpLlezb5/69sEnKVGCQGpnYBcXIj+IsWqIXVd6sEPbX/YveF7LLJ9w0B97QtDEWlhF nobn3yep3kRNhA5crfVZSbHKBxTLeYoIHMOUhIwO2SZRqwTFQVtJUaBtJpGk1Wmw/TbO7iswoSEj GXGq4DFI+dui38wVL5/D3zaSYmIc0Eif4mCTNPCS+BPPNcTnI/bmlSHg4T3Zzb5+SSnU+gBFuqpV v4lCczWmMaZtvcYaoh3esXDeVFt++eCHN+v6ZKlA4r1jFWGWGurc0KdEwGElo0KzSBIAgqXAohpb grw6jflSYTHHMOA8xOej/uJv279zNsgsQJMUBdwwJYF+iUH1DEctEfN+XaWKV0VyihQ/ipBWizmJ 5K5+vD2EOCMT4g35zkQoTSZ0E6KGlVi99WVynEINavxYfYkHvB4e8IDj51QqP/l8sN8ulogmSaii t/EVB4Tla7i3ZYnFoE5btksS1OQKKfjDks9SjAXhLAEaaYPnJXDzbdWO7oyKC8uz1NcfxAxnQxMV GNjpbYPqEeBjo4EzYIO1QHrWOYiMkM//iwPD4JFucMupnDk0ScQ+P5eaVasboHDWBFnDHRVmcmmv HJgkujdQq+kOFy+zKrn2ym7hy0FAEdSmTux9cpPhHpLmypEDl0Rk4kWlte2DwR1SXdosUDPPoU3i fDBXKhagk3YhMzk0SqQNKQ5SJXA1V5fLPb/19CLbcjpnDqwS3bykbZUCtl89ULCC/y5keRFVg9BJ gBbt6DMU6sBj/9XQz7FVIqtIKIX74NA9SMjw6B6Vzwdh969KNm1z0yXe59gsEcTyJosABLHVaWZJ vu+sArThVYAcuiVKzB3hhA+3VjuhlEgWw1mek1xFUoXqD6+EVuZDFUr2tn9Inp58oIlOxcIQ89y+ RRLuqJTkwC/xl08Q5gM7rY5nu0XlbGhS9kKUBUslebaf6yjplq1om/tKCoNuab6Xvnyweu3Zreev WcSnTbB4aZc6yqQCByQH1+3KEpXLL/lrv0FL3gdDz5xTlXjHc01WPh919tfXJKFRWdtVi8uhaSLk 9JBSqVB77qGh9shu05tGEBPNPkchdzGbmOfyweaoAwC07NwuqAGwtb82nWXxebIKMoTRsLeNdEBY bCt0vauFWsdYSec237aSYLwZ+iaWr0hJrieourV8pylSqUZj/vzyc9paWub6AuO77rJf547KvuHC qHsa2WP4YBtuQrIHLqVE0aC3RgPXTVccULhXfWBGVJPJoXliJpmBZHQbiAY9S3ToL8y98PGoe/R9 vUF7IJPlaXMU0hfJ+qjuOgVPIg8n2SOW2gUtL3W/xZhr1pXmqj547S2BaA5Tl7wS3YPQYOsdNLeW mIeD8+j8vGKv+5d65eqt+2AVk551hddeNp8Pju7yTcYvwMutx0ExsFBE50pqNZK3gIXihYr0opwO OsPrRgGRKIhQs1UKn/VR+Eyg8pbdyK5aqJszkDm7DEE3rLwJ1Xa9gmpv+xcfvzaKX3CwKAC6hkxy p71ksJTGdysbNNE6v7ZbCL2emTq6lr9BltzA+82MA8/Zq5UEI87ARRHRpHrZpsHo7Cc0RnCwZtbe zgBFPCoNgzrbiENpTy9y1mFvG8nCc5Ig52iDdbPPuSpO/fkOPh9lJo454qIhHzI/kVIIvwZFJs1i ZE9ca1ZUKn1Y0ATtxeIrKYy5m+mmDB8c2UfpfzJ45tkuc5Jmjlo+at0rfyvJDZluh16KhUsILko+ WE2pK0/Hdht8PshM6vehRC431rxNUuimSIRx2cPUQcruDl0beZtVzllT1ZhWOfRTXHZiU3m49nbR feD4r3M4M8c9v3ktwq7VxXobhXge+GO2tw0qAUStTZaBMFiOe75OeBfcPJnPR4J7vt2U7GGrkSNl s/S34t5gVwQoklSHHUqb4kLEr/XtzPMceiqOLn0ZEYdXuUE3lIkgoS8JgwmgENsmPF+hltZIDmFR iQrj/JI/uOfQ0Fg+6OROMHml2PI5Hfj8/0IchokCZKDuJIUyIUwy19Z53bZYn0n0/OIyIbiqbZLC oJuChGXlO3i5pJ8kvZJXCoTNrsz0UOzAqbTP+dDciKHkmuxto3iSlbcuQD+gcuR2nS+AdCNjpb02 P+B/ibrRwG3UxbVZCkEkZ4PBsV2p7bmFzPX2fNnqBrJJdDjSfgvx2PIvzWybcLgNOOzcrubSie8n rEYr/Ifnz8BKygLiqiNQqr3tHygAoN2XBpdTYYGPRaWCLDe0Vsz1mx+b6ZxSn/0WesJkdpqH5W/I cj01qW7HgH7AcrP7EJQthuxYd/D8baO1bTKyJxfJhQy/wnJJ0QK8jW5Kp+XYXZFwtlVoHrjKBfaf Y4k9Z1wDmc9H7YD1H0FAQfvZJykqdDfIqpzLuDv3oRpC4uzB6pUA+ZZokkI4iUIkCTxxeCrdE7Ef AVtn1aRNQ3dIvMP/qODidoaaFBxz6LBoykWzdh8sf9uryLjkxKx8PlhJxcuTvO9laHnzt9BjEa2d swms13aW1HCCyKNXSMETn6RQhE9qIUhxbLAEDhq65yCn09KZu7MByZQo6EOUIvjM7Zksf9sAM8HO 2+iEIHNQENAQdcuhag0+H3Upb7mESx3/b01PqTs0WsRGONmVgQHaZEdTuBLfb6W34kWlwGjxWrvX focL3ToztQDHBixgwp6Q2Qss8SBy8UPLGM0SdBH0ssEs0UQH6G4ftJQ6iAMyuR2Nz0dZ7i/8KHsU /VlKobQ1hdTkeU/Zh+JFpc7lrBy3Ubm564OiJqWooDJbbNds8aRsDWBL8fngmbdcf4bdXXS8r2Lq UFEpdFtsFN7dZoXSvP3GBo+Ums6/4/PBoTQ9nhxJinwnX2zta8tFcTd80rqowAYxccvFuWexc4lc TpunKO4WeI3iSTb4uVShH2YG1LOh70TUeUEh6lyBPxA1Y7HwLCZML78kEgGXu7vAOM2xyIlodiLU oQKeQ9fFR1tWLg2oUF5ycQ5tFyGU06UYzXOJuAeRarjTZaeTq2k459h3UVebarQcbJJOdHxC0kpZ PqrDraamOHYPYoLZf8O1c+i7mEm4bYP1Eg6GVIKTPK3J05h8/p861+L7dzZa7lIKzRc3+iuzjOux PJohbqcLq8FW2I+lwHwxOwd7EPXPwVH/sAoZk+Yj53NKK0WThERDSsX7crBHt5eNyFn8q1BCsoFV pRMpdSmltKx3/BekVK1c8AvrPblj/0WwsDPrxEzgupnHnFMpX+hESk5Tz6EB40iGw2k+WEB5JuMk uehk4wwCDYC1ANhbEQRNTN8Ftm9/3b8097MEDPMVMISZzmakcxLqwef/NUsytER2VJ5ZClmQI90K N8H/lz6yW3N2RKbajVZSGHazSykTJw1eCygoFgn3tiscnClrNPDLNHCxEQraSiIem18SidIwoKQO lQZNEmZ+ijWT9ZIRKuA76kbO92spxU6MJK817TY0dT1WgoXfj2uK1OlLKZa8VubG4JuD5yboR4J1 zAQuweOcCRyaEDR3zoQwWFkpL3vdSJkmv+Enz7G5JT8Lcw4+/y/OOn5EwhLr0xEIDBkhlgAIAa9H 4kvmTobCyc0gcOckLE6HzKEjo6ECmJ5puCicc1QkVu8nTSlRE8UsoYx7IqYfaG5bFXelbm/7F1mr tVl8UO1t08iQBw0qJoEpI6SVy9d+g84bmWI+SVHYDRnOnrKZLXdxL7mUktQMO93nq1coA1dG4VWx hhhXcvCy0ob0O0Uj4TkIkB0wlBNbkt4IqGx5P0C1t9CVMRP3xqKzDZqkBDcWlbp5KIVY7scwTtnx D61WfZLCUncn1s1KAR0QNQcHjl5uDJC9QPmHMSOBJZ2ot9If1NseqCUIQnkCpXN8EF8yUF+Ayw/K +enut7TsdSPVx0HGeds+6FQauP2rkpPO56OI8hurRBvwekU0cmjNiBjpBJHL4LjtQt2gXLAMhdN2 vkspDLtl8FkkglAe3k1jQ6DQsgmp87mxG231Gq6jE0evdWUiAOjU2wZBAKnZiaBHDYZVOv+XiQos FPn8v6hbBkzhJXcrJqE9I7KCnqgwzQ03eBQh6C7wJaoib6GDIjhu6M8o4DtwhD7YWmobSsZM1QAu Pbc3Do3ze+DWqFD1WV8RJelJOXBoFHgdsIl6BzuWVpd6zcmzJp8PwoArhqBUBmb0T3MpdmjcKL6B rKg0t/Xh8aRXTMos1SiAOXBohAIgW92C2HCwNBeInAqNbWRwE1jKJgoKPhPA5dKcZpsHmew5cGjE 0S1pTArPy+7F8rAyGXecj198Ptpvv8q4sKKiNqrNURRzo5ADDc5s6RvoSFZ7g/ay0tyyWdzt+qCg rOTeTcsHb+XuNMAtmQR0LfgOyssIILFJK5Xu6dtQqBTYNGJT0zcFhQUbLM09ibiWwQlY+Xxwv7Xv +w3SqGQl+CRFUTeaF50WC5okAPas9lbKcoDJJkqw64P+ggbWKe2a+WjXzAzOQ+7m9dmbLGWIHCuF MnX14rlUewudGinP2vegCQ/0En+YToApdrJoahqnsvgBkYrfNdUlVh7Vt69mbuDWiNu7MCVpRilt TmZPVLk2+DuNuLXh4mo3y26V6AAOHiutlHGwVZVMctsUA5I8E6Aw7amYUOgwB3aNiRRzJG7kU7Th FhgZrQQ19QfAE6Ffo5+prqKF0lu6ZdzAsBHy8xXODkMVyjbQFJLHA0FgZs48TZ8l/8OwkSQtG5xH AYXGxnoLtRHO2cxqySDkbNHQ5ir5tW0v+wcoYNDZ1gZttxOCETj8c3Z15fMR12R/XW9EBrbndgtN G3FiQoLEupRpXfPhyQuZMfcGYc8mKYSXdNHZhPubXxokAwcG+Qvwt+yDILdOHYl24uYfyGRe0Nuy tw1Kb4K8F8ke3pJMyXCWVHKfKp8Pqri/JCRgrFDzQ8gJrBtBvgD9lh0+iRtX6ycl9GO9lVu640sC 88ZM5h4bAcsH22znqIEM/VKOWzbrMei/Zejf4+zkgWSMnJnsdSM5JLozVzIf9/WTOMdcF07wrI7F 56Mq7g0neZiBVtv70/IOLRzPjkDpbTkOZxIywZb3SooHYExJRSQElIGH41mVUqyT/NhzYCCg3LDE oGcI2IJzycoaxr2EUX4p/kh3LAcuji6eWauc5up1uTzfsgz8jjZlYOP4jaAszHJldWST9HkNlEqm BXYH9MOwE+se3JRU0VoiUZcH92ucVIBUokGTJqpfab+JTuI5ejqp7hsKcG1bMozeDhf7mapZtJBw u71GSUXql9BIIMg4XS50hsQrj5l2Ar7Pa4xUQOnXj7tujFRYoVIqFE3OWfXkgBoPB/x8QwOsZVLQ bUADURstmJy+hC0l272t8fQmaXLJ/TlBn0R+i+XDPh0qeTywZ/4CvL9ODjolFGyudJLN99pMDbca SyQFhdvXyXnKSCiF8vrjxdhtdl5Do5KpsNKhFq58Lbfr0MxMxN1CirEmXgOjcqPHxY4HBw+x0VfK xDWf6wxUBx1Xi/YPuExI4RbGDTfK5zUsKrCLwWXWN83TONjSmbgwmfbv9nmNiTC3VtTWmoZOXpFM CybnNSAqMtQE3cb42wJnIAGB5ozdYwmgY87NazR0PmUQ/X9Sr+SDo0gS0tYxiCI5180q1JrIE/9l YTqbLiqZrf/XYKi4DUZTW6Sh8c81gFgrV15B5zfJn9dYiFsk2eTwKcBa6KnMyXmNhIpr/CSrx/Yq KRIG1Ws5laR097V8DYSwr7ZZx97hoUic/74nIiMKiDekLaEYdo7l8/PT+c72FfVEX8Og8nT8W/JB N/yZ80nkFhgvn9cgqDyulsugWlCdv5PzGgJhM06WZPfl2TSXRti5uqnlCW/c9DOYHLNDzTJHzbdd xH74WRNoFy1YyRWm9ycqEnu5ss1pl1ahd+fnNf45r0oGWt9gAtlgUDaY17HMc/715zX6wSqwWqCW DhAGBRRozc5r7INzvNKBcDjPVl7MOHRaunLPW1Z7cC0IZ4dNaNp5avClcz7nJGeGPOoo0GlaEJ4g RqR3j6WsJGq9hj3YWCgCjAHYhg3GrTn/vJRc5fF5DXrKA9C2Qwfrb0yfnNeABydyZXO/Juui0TkH vBphPZRhDC8zvkY7PJCZe/2njvFEHe5c4Qu2PXAmP7PWiMxGm7mhxAt/BA+dF2V6g+tKigh1q7+/ +83A+t5CMKJY/Rrp8AWrzY1VkCBjzASMem/B5OB6wrJ3FsRensl3/o1iQSxKr0H+MTiSk3r6MqNu 7nObyXo4bzJVNju31+pSVQVes8MWgx1q9yAkvv+1tshoipKfRUQ/XwSoOfUtyxMKiAbT05cvHTlj YDeTAsbpea0rcrmSP3NJItNlWHIiPNkka5LhZ16riuXKaQzxaMZ+jh2Asgqxtyf4PncwffVOcrqb HAgza22GxqYt0WtNsbgZc2ZtVoNWz0JZkfXpClWx6NjpPj3iY5F+0X163jlrRbkSQOpOx2r1gh3g HnaRat7HjyJltfG7JMB7TjeXOEF+RjpvNrplNyr3baqKAQG3sNtcTyPrXYPtRVEo4Fh9sN5rPduU oTJxM1GsvG6sTDmNSerTjZWjYDnRzZukdhw+nVJLwDv2YYZgUDa8sXIYLBMu1JMSiuTVDSjWQHoF VC/cW/tMEL2/Jv4NnFB/2G21xmuvgoxHZzMFR+Bu44MB1cHHJpDvBM6fd7IaDuftS4jYGAZdibx+ 4QejGcroTe8rWbNm9xNoXkZfTtU5RlHELB/vbjPV55NPnKy4QNqKIAfWAdlNw/sW/GEN/3/RHpdm KAqZyQaB0/nwwUobkPXhHmuokb3HzDA+2V+RDwQFKniGNkFR0Mxia90WNENHNPke64+u2HL/uHee Gl6e6MXWpH7Q2g0M22zgblCJ/ySNa5JVbLVW5PXom9gNNrMaMVHGlZhqMZ/XoIzrJJrVMq6FxkZ0 Ck2Pm4nTZtVzeGj4TlIrEkzrnUwITFCXLC9jw33tUkbpDpd9j5yzndJTFp/zy+Jzn+W05pDwWgXF z5A0qAF3wg2Zy3KPTRU0wkMIKwjZqA86pTPooTxlT/r/eWeoWfj0rCD5rJebk77z0/iXoeFJ1yy6 XbiZJdxAXAQ7Se8AJZ/36BmnvZLSfAc/pUH6lUArpPv2iQBEh5CVDBwI7yltdegoepaRjCxrNQhG dA5SVqEhqvB556aVR7nP54dKC9XT0nduGs4OREHwVVL8nAhKxPxA9dbC56acHfMTxc+sB57Aud/h zk9vKdPK8+wwaHHJL548drac17gGjSI5RgF0ozZmY2ldgx1BCzar2DVnvX7eaWnlcQNZAhABYgO0 uc1PFEIjUD7JlQHRzmHEduHSGe3QmJrdkOidlFbcyqEVaYvw8zzBSHk0igfPc5BnevOi/oDDCtqU mdpExiVa9rLvMzQkfr1T9cHrGruqxL76/Lxz0njVfi8h8ufqvDvsPY7GCqKiYdbtDkKOi2UsGnJj slpPxbyq3ylpePmmFExmF0+kmACF2YaKOSu6wC6cjXzAqCjnUa+XN3GCn3dCWnExqGxYNBJ5eUiD 5ViFK4M0TRRJb9OMWeSj0b0SZHaboCiSRhzbc7VqWM/iXLEu1r2ACO9y11wJQ2kSg8B+8cFrqueq 2EW95zWB/uSKMfH0DDnzR5dmTr1rNEFYOitznjhogvJiyEnU0Pq8c9GK8KLPHuusg5dbN4xC6UpB sWHmsI2sHosU+9XA7tNS+HciWrmtwi3S3n46qvsk7pu5B8o/MLJiGQ3ADJi3I0C8bikUm/u889Bw RsuqiS0MDVbhSJ0sHmzZ/HmnobFC5YEiwXznsoNins9PFEnj9ESq4fC81G8TjGKnOoOaM2PfOWhF 9oXQNGx3uBDGBeIQbivorSCGhIj6Qhug8TelI5FT9YpeNirLVzbkU/dBpzSAbZTIqieT/LxT0Ipo G7aChhUQS73JxjsFDesWPaDWiiGqWrsSxqt5N0y6D1pD76H0pQ21dAcPpaGNONSVR4+HiudniiCr 0wCgMrZ+eSRE3hlojIR4/GTqiHKwUPF8I9U4zppsn3f+WXlsvyxhpVYPhW80RWEwPWitZyjhjmvz x70Kll/1G4YxmqIomE6cm17NFf6ShkAchbw1fkD05uF6WqhpBIwnf0PpInYJGiS9bLCKNmWe8zPY TQZTTK6is40/7+wzHmPt6yZj60INQs5QFE2j1tMmXQJYLpuzGkhodGN8lIliKIPpd+qZbXKk8krH 8pOOnZMNBSfKYEOS/9xszDYSgksiISXNomIiuZ7v1LPb/QIm2wdL6Veq0g8baX7emWflQVPrjKRn Qrr52DvxjKVo7q3kSuqoZ5tKbxouY1yS5/TvvLMbDHV5CHPwk3qXAmo4+8wFMCf161EPOhsOKni3 UC83h3faGf5CNeEbFTGSyhycoCbS8/mIuT7vrDOucm/yaDZQtaWqoWboPZzGOQQM1dWaL9J4UrH+ JmTEymsNvcfTSLc5Q4uRTr/A1LHRM60s31LDAFwY/tYgeTZUGPfKT1XI3jWoKg5rDhYfDLGI8FSC Mml/3iln7BR7PI0FPnGF4q+1CQrj6ULG4jZAx0m9r30lwON2l3WvSb/zzfDzsrN8zuXiw81YYeSN PB6brEIBm5H3xLXIElHZP1++TfyOYII4M7Q1Qjh9Fr/5MJ/3l8Ikilqfd7oZ6zv96xRCaErRHZuh sC4NK+9JhTJkrFM6mCcaGtp4OPYXgY88pt/D6XPJ8BQa7FNo0AQVSCjsE84xIxsnNCpNLmrYSmfm JZOnspnM9d6pZmz20cMDAm42+EWGiiv+PkhhvTPN2PbzQ6iaTB3M6+4SiuJpkAvxZSY5k4UJQlUI 8B1B8M7JMUx47p1lxn41qx2F3a153SUnCFO7ntNZSs9nEnSin20x5RErnXod04jaPu8ks7vHqsQd 6hV3SMnNHc6htj7vHDMkHOvXKUT1HFpBqdccBdQ4LkGdVtGj4272aIicEgJdcs8WML5TzJgQ0lxo rTvYGkIHtUCJh8DpzVK+6vusCJ3P3Y8mD1Ec7xQza2yBxSE23khOnspDkI6T1uTPO8HMbpKv0jSE 88VS4PyEOA4iy7tJqJ+4dxskEVAF7zfDY9HmJ6xNM5ufm2ENB5ufdFZnKrrp0dhYNGc9U3i+eCOe xprrRnYpetU/p4daFRqM61KsyoJ9+3nnlvGQ/j6CpIK7vTD9Ti0ropB2SPDqFst9lx8XLRxGmjp/ 8LK66zuzjH0rLhyZEHKwHXby7RNnmfwlLJkt7saNlcGSLfNq8nachJ93XhnLD2ROy/BiuOHFPjNu Zddzyn/eWWX/dUSDmsDg3eYnCqUhZdE2NarOCQSrL8Gl9hrFDyBJxHF63iPpRFA6Th5yFDn49mpo dFDJlvjKVcSuniRSEh5U/ACalLt4Z5SxsY2TB+4uHFD7EZxjQ9WcUpYpl887oww/4e5fgSIZd51a vJygKJJGbR+UezMEoc+kaxV2V5jLkFjTDEV16USFGFR9fLAZguTKCRCJ/IGdTK2kDA8cNpSqnE9F qOhNg/mZRCGSR6XBUHabAuRsl87PO5WMcfSv1hjrvdVvsHcmGaanMI1vLuBA0RzZgTwqF2QmaHqi qnSSdRc0kWyw/TUhjAt7eS6gCfU0YoQhEn8O7/UzgVhYKttTZv6dR4Zb0kT4ydvIyzj3UIfMYpOf HPDzziLDX3qDxLxV8tBp7zMUxdG4m3qpVQiOLi8twTpuUZFGC6qZvbPI2JVhLqbSEAfvzifE4dSl m2cTnYiddzo6QnCVBmwpPwSpvvWyUdEMlzyQ9D7ojJ5QFuMZfWL2zzuJjDFC/TqDCJkUPYozFAXS MEpFTUtdeRiCOLZjZUNPIeIwyuY7g+y2V9EX4cDDYbLqer5gQ/1dkN9zeuMwRx2Nwk14qXoZLWDZ 8ksiTBkr98YmpyoZw5kCEu4QpuwEiu8EMsaZt796/lsIPtUHGfTOH2MpaRIaZIdQzsMFiqf4wAR4 0AyTUxQWpnWLTdY9OHicWFaleQN7G7SpJg8vEQ50TqJBVJH0iRknvrPHvCTUN7REMVCLjjN0vkES zOMEcZ939hj22f5GloH7CaU7n6EokmYc1KubFLBIYyyN1lyYsJEWwxmKKtPSuKRtpw02Q31BmBh+ rNhlGRJwRE8JHnxioqViku75pncNuj+svObCpZQviS/NVovsJ89f/XnnjfEV69c9xoxlN5+gd9oY L3r0fBLtu6m+264mYfH2WCssSGCC3mljxeX2uij2/VLs58lOK/T0aUu061klicKNG1TOBvGC78pr J2L8nTZWJAlPyKYNXZ3AEy8MwnjQhJifd9bYr/4YjyHsVWCzbYZClAfqDgONNPCfz1/CjgbMQPAb Fzl5I8qi6P47ZYzXMKuJzQpDV/EDiVyGdxwp0XU32sJCaQ9bBDQfZnHWP8QR+HlnjD0NaOl+cDAU VYPQIf/mPj7vhDFWHC48kZ0igGl0DnKCwlgaS6gU21mdzFe7yWaxsLpuAog5Q1FlulnVnq0LGYhp hqgZV+l1vhKq+ghpal9oOzUeTokwPIlbo7XyeeeLFdf7KJ2uBOVelyduqLUo2xjr884WY9HMUR4E MTSRIX2G3qNpiY53CLtohmDu5g3EVoZvMtDVeAq9U8Vw12dWPATIGzL9tWjoXLGUNsYpBF9fJiSZ aBhc83N/2esNvWtw1ZMwnhQNJY+GkI6Nqib9OtH0O1OMB276OoVQ7C/suGmCwrr0yNTXN35Pz0Yc h+b4uCiP5Xpf70Sxm64O1VQ5eNn1ZCLQROfvtdIe2fof+DdAGKIrY/kqaxifd54YofWybqK9hfxU mI7hY/HPJ+ifn3eWGMv+36EQaC6l3AbrO0nMwgP8vDqQT7iL4h9P6XSldiuueltAYTitHCKtO3iD daE+2ukWeWLDze8y/7QMZzZawcv6iyihd4bYZR4McuA1WAMaob+8uff4vBPEmFe171sMuQv4ujY/ IcajA9nBAJz2lal4UTpRgY3pPBxvbX5CkAcRwDCE9eGBumJeOtfPhp2xAFcEzxKNwON9iH3Q9K7v hzT1JgES2T4YcWVR1RpXd+HjUQPa60GYTGTQtV5uxjsxrEiirsOVxvoaqi3SyqIaZZwgD4NRvfPC ioSA4MXGgHHm29dA2ntyVhjm4kBcikXRHEKXDRjRdV28WQH7vLPCCEEQyHVvHzQ/4ORl61Sszzsp jH+px4l4EJJBJV+IR4siaYQ9vVP4S8zwZtTLtElyJO+pywcM8xNjpbkMthSE9xVBhY5rX6uqngh5 x5nlUJR0H1F/xPJVFjPxHcEtT5h9biSqclC+emZH7WeoerUojp6zf91gJO9T9kbzE8bRIM0RNCwC ZqnL1g+VYczkYztOsUUVaXNmmJIanFdqMPUTAA2WMkDvmedjJdZAdaeObjaVnK3gqgkKIR7ELpxg 6A5aQXAU4w470QgfDxr0pX/tsMbSdPcZ6lEg3QkG3oarPymFMXx2bwyCCm3rsk1Qf4+jJcB+dlYn /4mDF1xPrrpGkdbwSQg6TYHOCYR6AyS1vgSZOytmPeYVspuRCSrnYCX7E9AuNdxHxeMRFthPoK6Q 8mzw4olGDyvS7G1eWYEqC2ZCgaltZeLn1U6gHkE8BmkIY0pMae6nIt1bPpt1sKR4tkBTHM2+Lc2q dr29+UEocI9K0lKnQk3AB+6whJJuUeow8XRwxd8TejKrRWXocjV6GEVT8lRQadTO5rXR2URriwSF 2eYV1qOKdBchNY/sg0Ng5qTUoByGz8WIthu04cEv4skvGQZRd7Fm8SVRPah/06CQUbA6WGCUxUME 1Ro8HxAMb6ZB/cXJbV293tFDimFlx3mo19PTMOUc3D7bFJnpPqlMo0dx9FRJSCAyDl7vgPB/IdMV 5omzN8PAAEleySipV3RBsrA9CqQLT6G+2R/jYKfQwAbVLit4POJg/qLv0lbkAjx6xDMEahlO7xIP OuE3BV+ggzCqkepQ8WrK5nsYR5PU3ScniINfY7tDQE+WjKg2UnABYjoqHf3I5EKiFKwp9qguvZis NirGDgJwhypmIHkSXHdOezwe3GPjG4hHeiMTKM1PHEijSEC/MYJdVaFmcz655mndoLmx99xDrqGQ iikvH2yXAQw8WFY4gTRYeZAuRrkj4VI4t9+kKkpXYyPrXYN7ntXWhAagDUpVE8hEPIbK5CtGe2x9 LaBK6PVtHPawLD1xDCEgAZu5Z/4lcohFqyKzYEaAp7ZYGElTJ69NXVIEElugCDkK/A+2GFwounwY cYXweiA62ODk0941OqYZKSZSGThogs78Z5LGTrw+8XhwTo/vchCqJZVsck3QeySNbhylKPCULHRx EjNSHFo2tDohiocrKIqktyplTbTM5oTAmc5W2GD+8JyG+pSgepmwclQcerpgYDrf4DuCCZLqGwXb NKgeBNdcmZ2dmw6PB7l880DIlOIgAJu9s9EjuDQMcxpgFzybW+8mZXYioSbrE3gA44rlCorgHZKj 7lNg4Kn6FUuupRTcj8Rygj2EFrAXzAAMGPuCgUHqqfiOKBLi4QzClw0GuD8RhOw7zpWMx/+JU1QK x1j+HkJhMI0lJOU+ghfwzgaXXkw2Br1okEFyhqKitElQWAdoOGnyXGMQZ4e7BstBLQ0pDoDEjWyx /ex14R2dArk9gneAvEejvO2DcVoG6pY/QqDh8WgJXXgHayOsk9YrQzGiaBqFTlcN7JR+8WhoCtVJ lFlxK7gRlaWpnnwSVdbtZ751+9JOQH1udfqbnOgMl5ka0DyYAEdGI9mdcrLeNSgpElGeihp/xe+C DJc2rdqVMx4Pwul7kcmQGH595ZLCo3C6wU+7iTjG1JUTtMmLMntvXMrLKh7jH2XpKdrPvLSfDOum czhb3b6f5JvlWSLKKhwrR7sAmEXB7hFzD1mPLizbc/CS0KyGQNh8/F8daAkMgdZSPBIaIV4a7NU8 zDOoy5pUPrCNtXxqdYxqvJ8RVaWzEjIxECdZzD+EJ5yDP53zJ8m165zZs6gTizknHYg9I930Z9kP fEeA5GTNFbcKB/IjRD1EzoFQ6PwPHo/6Gu0r4UCvKD99jRFCPIAF3o5baDSQll5HXSauXKk8pB0W xdI6hGZT3NeIH+T84JweWeze1UWjxHzgt8sNvMf03PMT0xMiPBQpLh7WHCxfnWVq/ZwvxuOR6sIv qDRL0skrQiOKpAHfPj9FclpUSQ7jHOL5SlYZ0BfOTxRKTxZSu9wWu5eLgRDKGY3iKZ2glNnKxj2P CQW1ONNyyRo/tDcfUU1a7ecMmawzAHWTddzuIjTBzzn8M57/XzpjdHyry1PWEQbTVMNP2ZurrV4Q HnXI1VxFIKAtFgXTS5SxJZ339aXzjgJyh1ALwNIniGxL0hQ0oWpFXlBWliaBfkRl6cn4Fze7D9bW ODflUE5/YsURgaV5Uege4ylNkOu4p3QIlh6Eb9ql3vPO2RkJxdwWoSvmjIQRgaVlIDzUXR1Pd5WW PhOCTITBnANbh1BDfY51T1XNpIqz7F2jzlhhh5ntjXVNU4FV3lSVBx4Mjwex0PrWDCK9uF75jhHV pVGPA0W5WGMjm7bShvW4Eg/Q1X1+omB6yrudlu4Y+OaMhGAAcC5EFhk2i4EkZ1J6G9csRB+ua+D5 eHzHX5EQ/Cx9sLo9fBpl3LI2Hv9XY0yrjW4hHkuPMJZeNMI1yxJQ6a8Evlg/lMDfDoIZYTDtGgzT B9ti55ReJ8ugwskaqJpRcDlTSaGDs0nVY53Tu1e9bBBNN7pyIfTGkIqjyTs0IKnFOfbC80FK/1s8 iEW4du+xMJoG+bA6wQciysUu+rmopwTUWgMhQWsoLE3LxnQzVOTgp1BZKDSyzpkgJ5HJHTv/Ar2X qu6x1+43MR4jLE0L4yGiLwclHLN30yw/Pwkej4r3d4ZY9djs/vhBPcPaNARZaqYWKddTtwAonZvX qJrn8MiGNZtRNA0OL+AvXEXjKjnDZCqlzIo0dtHJgzN9qit9NzrN08aFmjHhmCHGgzlr2/0OVvU4 X8f+aDu/AR4PZqjdGWKDlR9TfBHNKJwGzrJBeZ3K0ufOXAbyqFQ90DmE/iKT+hnyD6WaXNYdfA2d eCiPogk6t8AYnMp0PvQHi/NnMIdV7bXaq/6Vs7bFPisHy1nL2Dx9YR+Ix/+XcwiRZ803H5uhkEdB ulFd7RaQY7vIqhisxNvXai6BM2YfMkZeQsGsBwUDduk5ZYZWEOK6zGiIuTxuCVlPicFKlYEZFqdF PgQ23QZDwcBGSQ3EycejCZrfBzVp/9UP6hlF0+hzduQE1mClwZXK93Okm7B6B3qGpWnpYqh7ysEr r6DnrMJ7Y8GiVJyFSt3zJi2GW5km9XCGEA9Z3pEOo0ErCD8rs9d6bl88/i/KhhjzwN4w+dUMRQE1 graTxpjASR+jXVugNVxnAKL+toSigHpQU6qrhs/B99iqcFhRgwPHE/WMB503K6q7aKl4xoGVh++I hCrIi6rMy0p1x0+cJFTu+2lnsvB40EFsl/bzQ4+2H3pr2QTFtWlUQNsSIKhtmtL/H6ElcxsgOA96 THZ+THAGLZVcxeh57LjhZHASDvjF0zEBmkKsm0E4oWJuEAhfSsLUqwaHNGXMOpEZGvwaAzgC8zPH wONR5XV/xYpkjuD3sfmJQB64ONomqEdCJ0vBNDgJJgqDOpFVzWZUmV5FIKo+fLgVj90XJL2ZsM4E 4U3uMDAocK+zAuI6yUWvGqwfNX265Kiu1Tdq3/LMgEYvHg/Sse7pGFsi+BnqRZTPkHhYKw+ebmf0 vunY7M2Awa3QPI1HUKyGt3W9Jx/uJdY72M5sQY9dqwQtasP9QURddd32s7jsXYPmmGDAVBXRYBME yhVBDGvz8QhF9bu3ATm8W/GYEcgDrjvIJr3FKsEKKf8m95OiqqYmKAqmB7E/ZG/ZYBM0B9jy5ryJ LpDcNWpm8llJ1bndMRIPZxRLtyxmptu560fYe+2uoulJzPD0v1QqWEhCByldLPAMaYeTFEVH4eXm 2hSwH7ykqIXUjjssVsRjSQgQdBsuyqzjPKaJzDzR1lITpDWgyWAkVeuVqRj0cJ+hIh6jIFA/fNAC OonASmqO5YLHgzCx51+pxoIOuU/QiuJomFeB4u4nkNjhC4yEYYIVZVKtADfYijAeg38lmo8+XJTi +aVhRiIQzB7SniqUWqIU87huLRLXXiHGQ93nTr12Dl5zXQJ8nNyj4vGIdfirs4Eqem6eza8oila2 CrCMYJyJjQ0uoHQN2wrLedhgK8R4GOun3uFmq2f6c5IODJSX2Cw8O60TgWfSj1aUxjrHd/whiNeJ 7rJB5Y5zdFM363zErng82GHFJ8jOc8CU1l1BoYK0pIT2NF7UuEL2uxfH4XVWbzlDIcpDCE76Ep6B CBU6jORyTmN0znmJwa6diLRaodUBWO3I+Zsc3vAdQVWaS6iw46dBS6jDfo9bjJqccRz9i/QzKPDp ZdcVxdGdprao0shWY5jqUk7UWzVT21LsjF4h81ASyWV2H3yPgZGzQb/HBC2kelIMBLoDRafy1BQp 0YTv+Ksu3RVOc7CKEIxlJMGVNx6PUlWPghgyA65Vb/NwhUrSE8YfEu7Y+CfKsmco3nYLqFE1STqj V8g7nFJoL3e48zPWiRImC0IbzsUsCIFX/QNliW+BfxYJ8R1BxWwyh6fClgbLVMdYSY2xlPF4VJT2 M5qtam7xC2BYURSNJmzPxVhjHTGX7bAlI5JOK9ts5aAVFaWH8Jnc4Rr8kj9BLnrpZCMscH6lyrko 8U3PyO1+GoUGsfiSvzAwRR7kHCwTO9FUksZJH3g8gHisb+oqzCsA/fcZCsHSQOJV2l9hiwH6bVus a9oE8cjGiVpxIC0p6f9UxMuQwxP8Dg36s0u6IRhYYds4AS8YmLgofEcwQWKFZ5btkxQSk3SBU5ek Qmp4PLrGfum94WvWRZOvKJBGcAqAYhEGpvaZnRMFbkBmIN1SMheNFYKldQbNfAffYw0mTuDN4Bof EHWgCIx4eOAbzccqctm7/hUHlS7oQb8FRYRsDExBwsXjwSG9v9nhwBLXfnmHK0RLJ7hD7iVHEZCL FCjuUZiTMQ6abmG/wqK0ON8yEefgWwzGpwOMIkhRQc2m8MWg6w+RKkkgqLdaoI3Mdw1USw25MKoP qgaByCApqhO14PGoO3/1zChmAfzlY6OxolB60VCbgBIyM6W3Tbw0deKFl16Ot19hKM0lVOe4g0M8 zos1ALEIowL2qIrJDYsK9Dvgl+6R0Bh6178oUVU6QvXqCJUz80PX0M58PFpCd4+RP4UsHdAqzdCO Ymlqz+Zt4snobDRnJAg6xP5qcR2hHRIPhaOS0BQHnyFgLhOjkHMKwWZoKFbEkKQj+dslekfBdKM9 5IJWpQ1aQ+csG5KiSoOPBwWz8i0NjIS61AsI3u/BNJ6aoK4Wk6iorbmER+6ejbUhviYmKJaXZo61 +x0ccr/AmmT8cCaoTbBccNNDz6uhQTaad34KYQj4jqAeVNXPWHfQEirnrlEsfFI8PB6JBn7Xg6At VfLFme0QMQ1uZKZKJOsdvBB4j2XK7coXck8Tl95xLM2zRNze/sXtLYCpORJvNsDxZf67Kb19zsDk e6zQDxbfERXMKrkI8w5W7wBSUc3DEwrtf9MO6QdFmtZVVdwh7fBMOOCDBmFItDEDwmPM6aSoTCE5 zk+M8GDBTHAWDl4wA8SMTH7MD9TMeG0ROlDRYxzli3VY9KqRxgkLZlRv0KBsrACcZeHxxuNBwTV/ h9JIV0u5lI0dgqVpB9mL+47I3pAgM2K7JfaGTo0mKGYd8gj6L1cWJJJrWbq6IZpWiqygeItQguFi Xalzie/4Q+D+XM2l+6AFdGKGIkHEkx/h8X+p4UlREZ+2b1djh3J4jQFiNiEqQ3h08TJdnrzDKEAz FCI8hHJdpjGdHhAMiut79GV9sVyUjQ0EfIvqiulWzKhzskNxadGi5O6Ts0ugJ/RpxWeuaeHxSEfo V00648K/aOAdATxQPoaKh2UbgJbYEuppmQcAeFHmArlDNTwZ+xQZipbHUBT1SNTtWRJqcL4jsDpD IoIidpOWUF3OtE3vGgkt4YcfnRYAHLTHJoA2ojq1gsf/lY5J5iRbzVcTFIp4ZAE8dGFBo05TdfKC alusALtq8xMCPIi3H9J35eAAmIU6LrwdgZE6T4qhiEjoh06RnfiryTOagpM7AnhQ8f2/lW+hr0es Loh1A48Hl9h0mBnlh5DZteEFoR3WpNOmL4LRoijZIOIhSi5Gi0JRWR4tO0ZLa2tJW3o9LiTQ+x2A THMBpRNdaYLoxQlGyb5x4mbTZ4e8Q5qJndxr+WCEFmheaH4WHw/KHcnnBw8uymRcgNCOAmkKvbVa m51Aqtk3pvPdHSRg4mfzE6E7JlUFhm4oDh4FMQWrhOEtKD8lNhYJGqgwlgCF5spNNr1rECZyZhio 2WCXWLYVey7Fgcf/pfQm9Of5U8Y1sckpBncwOlxmSld7voyNSZq4bjHvG+KD/sw1OqUCOPD14VzT SKaflL2dqH7Ap2Wiy9VBh89U7zKMEMWWCCkIMiPOziCrBSRrATWoA9OZ34CdwA+I4JzfOT1g2wL3 2DTFinig0BeEBmBGwZhRCI8CJTYhPCY9QGRmE4bTXW5I7Q73pK6zJ2CD4BXV5kJyVqFsBm8Y2v2U x0F0bHvboEXPSBpVJR+UcUCknKTrc7Q0Ph+kHNekzn3YyirXriW9h9QIw4BSrMUQnYgOLaQeMktA 6p2moxhyClHTMiIZhJ1x8Cb03kDyZM4SNEsHhQI3cEhgpfzIv9aUyqu97V9SFdc/FJVjQegn8NIE +86x+AFBVHQlc3j9oRVQrwJuTmGNemPdFY+re76gvCayOMn0hIBrLf1tdlgXMzQONktQKz//R6pC aHnDsw/LgMVfUAQoouXJ67bXjYCLSxbZxQcdS9AYEnz+3C98PuqVeeyIQ3MjDUDA4bMURdeJguVU baGV6LpMsgXtX+HyqNtj51JUqoYA6VuGj1UEEwn2oyHrberbCSbFDWjqLVgoj6Xmbxs0OxhPZXm3 5OvdAjv6wmwN4gl8PiKN/+q4wrcFfe07S2G5usJfGJOKWuOJlMhjrT97wzcxU9gj8UjWJIWC0waH GckHnyTI9u8ttlQpUFsarOefy6rB5VagPuGGGADgS/7C5qF/5YMmCQhUFX+QpVEc4v359K1yVlkm fwxcUqzugSJjTdmAMUCBC+GZqQROZEyRy1/XBwVBgJHtVJBtT0GWXaClvHqnjXpsZikE2veLRjNX tnyOaW8b6VGybTaYypbhFwTQ0FlQ+pML8vmIt+n4MwFpUFL7OrqjQBt3IAyfm4cB16RkiFSfCUAr 7iOeYh8Xdjya7rl28THntkePK2kldXhwqDNUSP9OOEC714vIsOWXROTozDx22pBs21TQ8CjccR7i 8/8C6WVpylR4JeU7S2G4vZjQLqvLdsYLgunJAYf919W7H92R0EcxjxLrgTz9M1TzIXtPCfyUUHJT 0QiQKdYeWWVbXw7HKTZzEaWMJWwOlrG1XQWTOjconw/222M1gZy2oV9YnlApRFQPuidsF8cViWoI 7OlWvms79SWnsHpNkYa2iYrl4FkbHKkS8lWi0M4BSFBfZv91EC+cDUlUKPLMLwlKR+gFw0Fh+2Ad kFRZSMbnTj4f5bXpK68tNNted7+F7ohAfEDEXOkaA2T1QGZL5ll7Akvn3+XAHRGORlSMSUpw07jV tVZR+lokca4TpBYlvxV4kQzvM8SQRg+SHUcO7BFx8EojlxUADgZ2ODeLuY/mzuejRuP+yt1YDkcX 0ecoJClmoIaz61NWKkvK3Y7RlRw2oa+hhRRYJAqPCXkBiuVy8PrROd3GCdqKsrex5f7beKE2lMNq +93Nz7FFYiYYrSCc1OCYtDolrHRSHz4fKcH+VjkFW/r2q3PgkYjHMEnTHEcBCbHaLJxATDmmSYOT t1tokmjAxrnucLHVMBVHoA0p4Za6sNSNWiCQEgB8+JKEkr1t1CySOtNSlW3ZwX3W+mqsDHcUSXJg k4gj4ZesBcAn9ToG5MAn8fxtaMmW5oXIc0/kH1eP6ctWEhi9vpLCkFs6VHtOHzRJ2GDwAmc3ZKGF naRgAFpGRvjU1u3JDjatc+CUqDgHhNM1fTDkTHGWx8qVz/+rr8+gCqUSsP99kkIVvdXpMqW85MQt SR2j3fOqfiT17l6SgVeii50SNFa/sGOw5cVBXWmPiqhyTsKQEkp5FfhzswgydNGwt428J9iRnfSe aCKKYyWdcICuFWhYbD4fdGZvb1/BZGcJ/E5SpEzd5a0wbLtV1lpVcKvZtxtf3rbbPyLuJq5Hu1yP fMLTflaIuB5nV8CLGpdQHvwdy8+qD8KIGjI5dEwUKY/u3zYQBnomfEnLLPfCx6O+kQOJScSCkkD5 OpJC2iKyF3p/WxlguZ/bkGoBNS6GA2XduPKtdbRVjF0+WJR0sqmZSORA+7qNE0uiDDChvA74yA8A DA8xr9jr/qVODdCJD1YsSWbHDFUfPh/1Z2+xhIcSIrWvaknonAgVdpi3imQmG1fDiUxU77iUWr4h d2CdmJ2dJ9M2Dg4UObn+nNQEOCEliNmUaEpkC0GNjpblrlJQ7W0jwCNbbPLg5KAYAGZxcgCe9pL/ C2gf51idT8QdmCdmai018P6M4bmLiHp7jmFpHOpuhpnNgXmiIx6bmEPtMofGPunghNwg+/zQNeGh dE6RcyI02pa2pw0567C3DbTgqSUDqVEfPHnrQ02gcznw+SAvGd/acezz9fyspBB5DTeBrII/1bi6 V5QqdX/YRqIVnq2kGHottnlOPngM0DANU9EkbBLoXD9IXa3g7n7Jxw15bYcWigXoDul/FJcB0cm9 lMSf/Tb4fJCWlG/YGjnc5Upb5dBEkSd3TetqN/XixUnvcRO35kaloY2iCA69SCKtPBJpDR72g/5u 6DsjmCQui7Wxheu6XZaegGs58FG0bgnwyVuDFYZQ49aN2gtWUmCkiASzfV1vzG33bWnn0EkR5b0O 9g0z/t6Z3fBM2pQaEMuKBQXut9BKUWiZTot6DX69jXNWzyVfAchGFCJwMk5Mag03BussKC123HJo pSjoUeosKKXuBaV6ct0lw9tzOPD5iDH8CzgyONc3Boi9FOHyBnENTk3b/MU5SU2SMtQG2e5AlQMz RV9JrTN75eBVAABoKINOa/uURiFnGDyKBnj3bO69UHJN9rZR1Y2gCACmAOU/CSoLkrlP5NCUB9lr 8wOimPu7gIuy13cZILBTRNkNAiE5uWnpdnv7TfI74TW5Vg8CAjtFwCMYSG+h/falNKYTbhdqEZra 3sJRib7SeV+QZs6fOB7SZ6n2tkH7n9NTKP6HoVlFaRILyf2GFDc0VPwPygzOo34BEjl0VITQ9vlN prLZ80/NHe4bO2hMTGreyR3uQ0C2lGObgP3tkWaGfjakm1hQOn/L2LTzIuUEEoI4xW+He/rbRhgJ nUYiPTS/HlJdU9fbmfXM5yPRvW/xYcQAX5j+HLoqYlKQ4m5nPbiv9An9tmdvHdGtTVKMI+Fp1CQN 1h752J3AvnKYRIKYDZE2iDYKGE2F/ZL66Dbm0FeRZzrc8JYPlr2dsFhWHGe58vloJaVfKS45pM8k RUE3ML8nQTT3sl4FjWQMkC6cbc9nJYXSe+TeD/61Gmwl7QpFPUjvgPoA3Ac9zSrgMecUZ3vo9kvW tLeNwBKYnSWiIwfFAChkZLmarcHno2LJNxoA+Sm9Nn2SopB7JKKUTRO+zW625YCTTIeTjOadt8Bc 0UGRI5PAOMTWEGQrod9Dpfm5yEwmfuTk4MRfDXRxfY5oWs8vCeZIaLasdkn22+0spFIFPj8xJp+P sMe/aiXE2fVnIUURN4S54DZwM1yXj4Uw5f9n7MuSK4mR5P7fWWht2Bfd/2CCu0cgH6czWG0yCT2j TjILRAZi8WV4hVsIHO36QdHgjQepiOdYHp4jqJ8I3/gfJibd0s5olJ4ClTL3q5I61FAKHRarjAYk A5qyexoksE1lL9Vq4/ORJMa32i67Nu0xqMqBx6L8ZNBsc8VvTvIZk87f3KFtFE+yXfo75W5Z+Nr8 4GtXgsx6G8LXgvlOkbVE5jH02qgSPnW74Z/KXxKh2CVmYNIGPt5L5y7YlKpsEP3Ooc3iF/6P/+1B Kcf7vYU+izQzvWrxvXL4ZaT9aXQsmOr4KCA2WpQyxh538VEAVJVRnkj4oQxhJLFPCErpZ/bfKO0c Gi1y++DOk30xABfcMVhqpDH5/L+ErWlv+4MDXta15s6h2yKmF20K+4f/tNMwoG1rRjk6d9DYvklR zr1UqDaJP7RH/KGDebWZrNOHiXM8bBKgKxh+NYKUxLwe3V42YmWxD7BoJ9hXftS/SxIQtGW95D+h pOy7gVfYnj2K9a3pDeOgibLH1VOTpynx/nn6wCS0XJRHAyt7Wxw0kUGAKRrinvtlUkmtLxRtHV4O kKC7gHaNukPTxSkFiM1rjosqkwpSHNPok8Tw+aAR8PSU1IFCcXQVrnNovIiYe7Ypu1FDT27ptcc0 gWLYuvkNF1svcgxQhxjoYz2pElNKSCwj696NhBSMixtcUMAQBdZEZ4lmk/wlQT65SKKl+asW7RLq FBYp8JTg8xEg4Bc/C3na91kKk+5GIv8yonpb6drF5GqoW5Q4XpoE7ou3qaT+GxdHBbaKsoHxcgMG RZJA33DxbBBVz3U/FK3srxv1J8Wg3c0X65cgr2CHf2GMG1gwgij6Xb8RfEerF9ulEFqyafY+t0FL 5gXgZF7dHOMmIQJQ5YYmjJ2g0pZ0w6WH6Jdk6gnI20qpgQ9TbusNYz9gfqyJu1K3t41uOAKaoRtt i7UCOiHPZBtnPh+1un/bn+1vn9wc2jDiYgBfqhpH4jJHUprNO2+tuoZhDnwYAVRlD0CaIVz8KJ1v 71T/Sa0ASIxQd39jZttIqEUSauOA1e1to34JGceJpQkXtScx3WM+eOLF4PNBaZK+YzdLiPnkSoET o1OR1J7EPEBa8mSM3lZAoxK2fW9x2k3fj8qxABdHKS0guMDBBrZknp86CTHdQG1ScIhdbvve7usG 31smSYI0PS1G11o2+j61SefzUUr5CxFQaEn75Ephp7vR+D2LmQ1/QaeNwv3XEDiwwPCjFObdjNkv sImTszf6XGCXaOo5GZUaiD5AadA40vqTvdnbRqhAakp0Wb93r4DIxyC2BOolfD7IKK/vKQs4Drce 4+4cOjKindNRoAOshf8EKSzm3YWYcIlf190MiBtaMsoIBLJYvtw8gCpHeL9FaRe9G0wnMdXCyOkr pyQvKQemjPgtVH0sTLy5WFhC601uO3vy+SBbGu1XDdcAMb1tpdCWESTctqp1TE7sNuU1sGLN2JPQ RMsCAltGgMMJBoCjhS0+yq2oQjuxk6eEA5+dmTmrQY4smjNsM9s1/CVR6JYkJgk4XCzvBmZJVos4 SoEvozTPvlvdeKd+5wGhMSM6Vqc22VbB9WreKYhK7J1w/EYzaW1SBOk2wSPBcMcDw4Uk7zlJO5kp 0dj6vhrAbpmS2b17BTeUKgXejPioh3Kk7oul3aUWCTeeHJ/PB/db+9WfBCEEY1rfpCjt5mSpl+kM rtlvmSuPK6JLJk9S1w+KUiX2hnqrvtx5QAc/2yRW94LOHr5IuMsVgAIkqCEsl1pvoTtjFYcrie4P 6T7WE5kEVekYnyPFHxDhAvNXVGrova2rqZEDg0YQ2kneMs0+6I94mdu3M7paKs61zaFDo3rdI+fl y8XgLBTr7CvNUwzT1Q70W3IV0bR4OiaUN8yBQ2P6f2px0/6xfLlAYuAwBaAZAE6EFo259689qpht pQf1Hng0QnH+5Cv6DSD9o79htg5e+GYo4HgO8IdHI2+3oplJ+ZqZlFO7Qs/YWP+AFKFfAhnsshNM bB79vrbtZaOUW5Lh5S4WkqpoVSeDnpXP/9O34MdAge2S3XLo01gRks4dmmyOW9xj+FwWjpwEW8WU I3Jg1CgPjSoyfv3i5GPTz4acL5ey/Bs2FSRJLvSTGroN6L5ewJu/bcBXknHBIq+Li2WTHZAMptyp 8vl/Ad5ItiAFrTyJUuDWmKmfdi7iWr0VkP1rA7bPB7mTzICkHxQVJusVqJSl8IJ/EQqTjRkTTYeh A0Sb0azuuLg4M9nrRukkcSUEPGoxFC7AN1vHY/H5oK10jXgkhwxNfarc+T7Fgn6TOiTJ0m46bEvQ L2UXXa2Qi7XTFOFLWIKNoUEtF49KEBTldU9XwlZccAw7A5XgL7EfCY7lwLcRFxShE5uxm4t/ckXq WmcfNp+PTtP8CksYydZyDZ0+r4lStaQ7UwWXXxwtF9051lVF0UJW5H7Nk+pJASTMl+XupXLRYIFz wu6IM8pT7dQ1VAVj1xe4tph2z6KDhNvtNUuqrtQCp1VfDH8DMRjeViev+LzmSBXtah2ibShlmhg0 /i/OHyXaHISjjkaoKDg0mf4Pgb8kuPHyHzN5nyTYHJGTh8qS8bSSUCPDx3KIEdBgMMpxJEAIFc6N jNgzf2HdXzcHpAfq1ww2JbnYqAS5CcdJBa3b180RvFmbI1A8WwjZNuc1M6pwugLmxn1TzDxTLjzV 9bExeDXMzWtehJPD62xK04+Ln5yKFlSpjNTl5JJdiIpBRRIA+sjcFsINN8rnNSuqmAOhr42xiy92 cnqdRRI2u31eU6L6GMntHzElEJ/v5rwmRNXYkrvbTOT8p65rf9Ndz6UjqsnUvWZDFYFe7juj++I5 I5r+jV1MQOgBUKb4OuxDKioPqFM5IpmD/9dkqAK9z47ITipBkPBVZUOQEsUv7Kfq/7zmQvh3muWC Oa6gh4RWrTbnNRM6DxGxXajzwB7tuLD2nR37D+d2N0MNTs6QwKqEjMcjZHxiA7Z+VeEiBgiXNC1Y izDbLrc7+6woI/qaBtU77x/ysxwek89PGhJpaf2k069JUJVY97M5IJHWZ3NeUyB8iwtdkD2cYZOW k0c2bf0kW5Mdqf2aAFX3JYLhji8+xB7whkDvF9X9OqFfk/6k7zBzvmp3VklsOr7mP+dVV5dihHSw syeJsJBX0IFe9+c1++HN40Gn6HJD09G/q9fUB2G8iuSvfnUvSoI24SJOZWug2ZhZQbg7+rAIhuRi u0MT+G6A0ZMOwriIOAjwaU7x98NEyCpWcrRes57qKIhJ1qgWo9VAOYAKEKfQ/7zmPAhZJk+9jZ/V iM4qtjuvCU+VT8HJy3O2ORoZBeDUNMCmVWIMmrNSnzeKyF1pDitVLrY5Zy9OmotQdr6rWanjRzTt iagNYyViIr0TS3ne4LoirweGTdUXK8GAaJFk1sqf10zHXvD5rqRezH4+BUuj7wp9s0o1Zk7167bJ 4qmbpnNpWG+zkH/tLVa1ESgsn3yx6+qk/XUOvtSS6QXwjufqT1Qwh/lFveLFtMH6vLYWmUxR63PT QoSLTYWglFK1O+Pz2lisGlx97Q4+ZhKbuDuvXUWc1kkSDTvUVF7zkhRY7CtU012S7rWnWG/5zmmq FucZwekr06DkpIq75k24FkRJ5TmY2WkzJDatiF47ilXa1chxevdFZ+dEIzXEa4WYWHhhVd8dBmV8 3oL0iwQe7A8IzeiV+enp2/kzczhwplX5xpLSF6aCPDdybOJyW2VzLOqX4s7KJ0xzgzIAd22dfzK6 TVdGI+tdg4+LifJAxW+Lj16bi+8DNhNlysu699vE09FOGH5rvVPVeNcx4JgcXevb5kD4d9hWlcWW lgDY0f5MbUzZvvj+QOR8kdG/Tua2ac5UJTysthBmrTZ2pVrI552oxtDM8Q/aVbYoNCMPYlmB7vfn nadWHxa2PjCqJifgCLRBUbqcEAcq0WSKPw65hqyYf2El3W5rlC9jdnuiCOUKtXjNvogEWZSCAnZm lqF5Y4dq5/ghVF18x6UNihJm0glG6zn54mOyTRjp2SB0yN4zZlRqNz5rOoupU7tHKMqZK6VqhNUj auZhO87lO1RhWmuj+yBE92IuO9UXv9zhsz4x6EPvp6M5zRl/Yh8HeopASen+gjcb3zWot4SWZZWk RUEowceHR6gsjDXCKPR9gzV5ltwNes+bFV1POVqWpYbTU8OcLkYNUk63YR9tELOfLYIeF7vDTrUG Du0Wjb9meHsLA0kzKwRvDh75jU21M8IgRAGWzSjNRRt0CrksZd1T/H/eyWn4RPP+rivorF6eIxQl z4Butg1evVlcuB3amrs65BpEHzV83pNnRHt+XKJfc3EcEX5kJc92nk8qn3qdU56a6B+D5PNGaWtD R8kzW8bnAybmigt+x8mAxYyAP1/9vBPTKhQ1+6/9ocQC4TH8pWH6PDqn9TaITgJ+0NRTBNpFd+Pm GxTlz8LszT6SL37Pl55hekpeWqKprrAPmMsgC2IPzTwZRXCMEuhGJnmnwLwWi9LoAEttN+/POykN J2j4NSYAUabt6Q3TUQoNkAfYGtaBxtDCR/VIWdQPw6TALrIoidakfqiq4uIz6BOYUWLRDQ0fBk3I oCVT0QerbC55z3BQvPidkoZzKnkjJr9avCXWh8A/q8/POyPN/oq2RUJV45f25DXYOyWNp4igi6Wd gSt1slNE5IqKsE7HFc7Eolx6aGbTWKpy8UJjwB+2Nl5lSFtUhXXMWAv0REu9Ft4Qj+TveN8iYYeE 1dRiXdWdpklfQJcmSqbX9jjE1jNa6tSf1QZF2XRnU1UFKVodhU3VTSh6NY7j+WdRhL/z50SHSDtD 1hSX2xs7iR04Mhr19C5Vw7LkCQst81trUKv0805Gq9nkVjZFvLRog85L1ymEIobPUT7tuF5Ip6NZ xMq5ezH2zkWzux6MmOXcmGpOIAOEGClgL9IjEYfemWhPujhG9sXTRXSaoaPCq/6kvoOJdwHdpFJB ZF+nFOLgPu9ENGs5IDNkE7Ff+s2pYnpe8q4o+fPOQ6uPNq/aHJjFnTrBw9A7DQ3beu6QczCNit6J K7RBWLfYdMLQ8nz6nYXGk3g9g225reeGODFZb0BApFBmJaG6b5xi04zIuXpFLxt15kmH2aX5okjd EA44Iz0f9+edhPbfdxmCWR23+xzl05PIr2kWO+CyOJsRAF/DVHWO6HiE3vPpp6B/Fsd5nqThRGg2 ylJDPk2fAi4NlGp+4N7uoIDIOwUN2dCQQUG+i6WLMEIV26O2zzsBzTbYdkhtNSCsn0MUJtTo0Bdv AvVSh6vR9dKaN8tKNwDjOwHNUhUSFoYvHqfLHLB+JxR20YGZDSFAw4Bq/zFFsS49g6SXDYoytHEG q2lb7C47NbAcrc5rfN7pZwxj7atqHehq0z5DOxRl1IAit5Vt3twWEwvChKr3WgvbdUyo37ln7ERL FKNNX/yyLxvyJxQzOoXbpvwmHApQpxILKUNH9RNJ9nznnjElnprFb19U1je4gDDFGWl+3qlnuGzv AEzYfHzZNJzVDoXt6E4+bLN2dK3D8dRt7Fu2zmk1xzvzjLULb+xCH1Mu3o8mMB9q0xDH3MCncGZP LhV0UTFEsWa9vBzeiWdVQndoRPNG42KNjyG3sPMj5vq8885Yoefv1lBB6cI7Wjv0nlNL+Bj6oUbO KxKdkXNl8YZ9TdnYC++0syprIyTT8y43ECV4SEs3jMYinEMXiNo2DH72yk9raOldg84izanhfemL gRbXWpL5Pp/A5510xn9qsR3C1jIpS/keoTClJq6zGxr45EaXmHc+A2cuIMDaXfaeUivbBRBf95Kc 65kNQU0NWups2qPjalMP2GJTnwfV1ZdnE3/HXxuEiwDLue2vBfNJNIk5O/n6/rxTzhgq59dtj9y0 0A1eOxT1pkkpXuR+yA9kmsbD4HgKcRoCUzbUeOeb4eX5ccH4zBdtUAUP+Hz/nZY7A0NnKqlC5A70 lyyVPPXO5Kv3TjezzgUYgux/cDEiFejN6k1nvmJwgqbPC/EYfFBOPXQ/sSifPscTn1i33hm0hqwz VBIb+pjDJ+rE8xN7z6czMbvoeLARz8Wb9yc4V/oIs66fNmseNP2DLLH+Z4VpJG2fd6YZTxDj85QK 9rwq2Od+VOPjxLT1eSea4UZa8zsIcc4nXzSOm6N8GsJ4vXJWAwCe2N686ldvyaAumURVDpzjhJqz v0F1Pi52hErF80sVxymqoP2EHQLyL8PQgYJ+lgwRx/FOM+Nsi0FIVWvxqnXvlkwm/Fz5n3eSGccb N1sktBF6nxBvtg0KoRyDxOnRfKxqOLzMyaH3zno3zNQ7xex2PvZi34eLHSFYeJ9sdwoQDGIC4bAL PhNgCGXq9BrfpehV/9yfSjwQF2VC52fKOuXcyf3zzi/DvzTNr0+soHaa2ztn7/QydltwbLKpp3f5 TUiz8Co8VTNLO5/YO7uMMYgHqLH3zMV7i2efAVoYYgSdIpWNbOron28KDPN5gzS6CJ93bhn7D4hB c1M/hYsOUCKpCAeo8w2jEP3dvkdpDj81358ol4a2BqgEAo+3XYvp8G/BpgtZW9Mu+XdWWXWZ+bUZ TtYez/c1T/wqBt6Ej3SSxxfF0Ckdzl6AFFQpePFOKrPRP2IzI9AAf7YK0rFhyYiYN8+v+ryTyrC9 qXxtEBuUPfsd9s4p41yCCoXdm9P8U9h8tblGQSGrgDsUNaepMHECz7qLX2InOYTcmHQcThm82Odf 6OyhO/czn5ZQ0ZtGxdiUWsrw5QLt5D0C84PPO5uM35dXGvz41fV9AEHviTTuhUF2i2k5trmbg17E BK6Uc85mBfJOJjMYHAY/8vXA4lkQUsKexZOCGFKTgzX6+yjFf0gJWmreL3vXYP4j9XTRpOqlSUGN Ncl++/wlPu9UMhyF/Kv1qqo33xAUJdKY2gE6rjoeJh7LumYnRkxrTu/lBtXvTLLqSPspe9j52MNm FHqduDqqgq9GkOTZNVxbC/49tTykxG4vG+VBxHXQulmLwTsWtcbpllI/70QynoYLR1Q5hj42deG1 R1EuDRNIiL47wiPtYggPFgxCeGyk97zF4u405/RDMUZku8kBB3TAARfhGBrheZj23tlMxqJUL6+F ff/PO40MX7K5m0s0Ffw+zm3gbZgaCRd9nVzxnUZW86W1IBXCZwnySMu3+/rOI+NVhklrai6/q4YZ bYn2jUQcmWiTwvZ0sjus+uLcH8I2wXqBjCMaOixuK0BPGLv+DGpcSKKY2eI7i+w2hhJ9KsDjRmuJ e3R+ukyrx8x8PrrMPJ9mvQidWWhL+BZFCTUqhd5J6qeF5biyBEMtEDXPXArsnUOG11cfXmJMXGyL QHFsLFQ5aj1/A2n0FxKGz8FYnM7qGNF2551BViWCiz3ewxcP11PW4eX8qz/vBDK8YvNwXYv89ZrL pRGjGGbUuxMvZEOOimmBiRP22zwr/Gdgi94ZZNXd0ab6zVy8RQ0ncEg3sPEBfzqSXnKtNNrovzqw xJt83hlkCAsakm0ypPumFRmrVmhE4mD0XOfnnUBGEOivGx+ZhfRSuUMh5APD1pkh+gCO3cLOyhGk 0j53SktmSXr/nT1WJfQGEF7vvnhnCOelWQJ7SmEAzhCuqZGG6RPVrWyWiHjyeSeP8XeQx4IswRZD VG2W54nAzM87dwyRYOXv/cGkvjW/8d+pYzhBk6Qoawf1om4Q77NmfUZUrdPar+/MMcYzfiqbkvpc /CNbPVW2SoGJaZBOJ+EHg7NGweNEQJ4krnFxf96ZY9WZ0TSjs8UxMecfr6RorM87b6w+BnuI1XJH R9t83T16T6slHQrLHW9RS0+eo0RWSfrKdrML7Z03Vl3UYk4donkPUQG4EHpHWYEoTd35lecSJ2nu L4+9oXeNJmVEnFVqW3KxugxatdyiddLqd9rYrw41B0EI0lIj4gZFaTVUys5x8Xk0Gl3OzyhXz2Ki TyGsdNSiVv91CdnA5U7sqbFL3WZMyXIVeA8+jvS3ajV54br8XaPClbqWUuyqLgDORIu2C/lUx593 yhhxfd9jIHjmlXLr1nfGGBtK6OA7eIFirxamE5X5aACScTPpAIVpdbUrbPvio9a10S5j93XBGYza 3Gh9IYBkat66/xcxQ+90MW7PYK5ImzQudpEVzpRJQxifd7YYPpV+90diH/mH/jO2QSHko1coQVdD VSUOn+SkS+QPC3tyurRBMeSD1ZYU5Lhc4CuqsQ4G7ER/Oi3JXkHQPwPDVZRsiovQ9K7vYboT2il1 TS3aITDqFUJOvPi8E8WqxJ61QzTaScTbey70zhLj4AmDxDSscG2aHtJIt7vNJxAfzkb4O6deO9/F p2Tn8wXjl2DKE/lrEVF6k6Bwws+6bt5sMX/eKWIWLdG3L90X7c854ENuFL2vzztDjBOk9RWBwL0r +QIXW5ROk27Xy3Z9/e0ElvPBVpdDIytU+xOl0wLbMazb4gfolKhnX5KZxwwMEnHT2ZSiU4zEClek S3zX4J5PNtjIvqgzdM6PRvVQ+WoR2ON3hEYcRMvE9yfKpc8/AFdYNQZdIVzSrKpnsg9sIxnQ/kTN 6aTas0vDqpfHorFUEPLN7bxiizj/oRMcLHXpJuC9V21QlEyTPj+WTOS46ARBhkZu3jvx8WBU/+sE Nex2v0Vrj1Jp9s5WbQJPQyxBtKg9sh+gsqZvUH/PpFHr0NmsyEqoXDH0coI+Gk9D6l5Q7BlqLSLr A3LiS5u5s3fWY5Ihe2edbudctD+nsFd1c4JSxeMBbWPfRNqySsD+7wZFmTSkOEC/dZEBqqxIiWFe kYGmTBs7FKE9huRLhMLnYr2zREljgICxQ/Bk4MQa5nrs5//seuf0HL3jdwSlRmH3TE2d5FCGDRJI Efr+VBo9yqRT/T5AmOjRwtz2J8ykC4GvZgjbO8XHNGIFY9I5UckmiD1qTnfJeGw5fOzr8AE5lbM9 TSEImkyDyp4J5jI0yBAC1Yi8uCDwS6LOEPuKm0cIHiQ/7FRANGyJqHou/4LnA77hQ/1h0jT5YT+8 sZByWFFklKZOWT+3l2M7B7p/Ap1tNgdQj/UolZ5LTp9p++Jtj3a+ul6NObYH+5YYTA9gmtgPrVeE QSKxPcqlZahDQ3BbLBeaaEHoOyt4PIjUFzJkxjL4H26PukfEQ/Ap2xjkznHoifN39gXdfLv0C51i WNL3MJcmnxdDHl88V9xrQxG+qwW7p0kNZ/YATiDqat7yM+v2qtEYUXJenYU9Og5DvTOYF1At4AR8 PB5s0NM7Ix4G5VHbNxBF2fQC3kL9c0rE11F8VD+W50KpLmPM93+xD0VzmQ/NJQPgXImJO9d7rUbN PNsOPG9ZP5Mj2q4xR9a7Bne9lJcIX9JiHdiqawW8Hr5i8JmtXz0PujpMr1d72KNe4IHT8OI/CA14 ZTOLpYybnD3Ia+dHFmfTUqqsd/FyDNKLkDsnjeyUHYPJYhKy/UTp3a7l+aBadY9a1GoKFap5adEG nZ/YlQ2dKx+PB6F6fM/J8MVVAMpsg96zaYyB4AlfqRcgXEyThe75ccjupblAJVeeoCibVoyeNMbV YhsED3UILwv6egqRyv5sRWFcOcVMFxtMnVf8jugqM2j58EVdoVFk1I4GwcTjQUFfv5Gdte9vNEyP wNOYrSDyqBfUuqBTkIHZ1eYdpdNKmwcownr0LWgwB/XTXJE4Z8Wlc0omthVhGdZEfobHOtU+x75+ lbDrrfgdfyZDVXqw1fVggcAeBpFqG4//C7W4VcUJpe4bFObTOEGF6C1CGbYTE9DrstQaXq++Q1Fv eukcyGiQi39iIK/MudW+P6+1+V+homqFoOReF+zRKZnbI7BHpxgFsVm2GGgRSinMZk6KhMf/dYKE fMURrhd/P6J8GoIqnR0si9Kr+YADKYzx52mZjHRxRK1poerXyne5WAY4UxXqdp0/9Uk+RHcFhT0D RgSV9Wubk/Wukb4AwXiTqEUu2qEBq5GqplnG40FC/dA1efRg3Vd9AjSifLozX0zDeve9bCHxQa3o ZsXUgEJUvjji1jRnyv9NUNjnkinkntLRuzUqdiAIncDcz4Ed7aJhFgW8R0hGrISaDU45uFgm1CBa zH/g5uMRrNM3yACOAOJ4Qj1C8HSCgYe6ZiAocNgpHlCngS61O9A0Eo0+ak1nwWBm18JMiJqYmGlB d5JS+RuEoKGxNfoNdHaWR5pq+v4z8DsCVCdV4El24FLsKJyqt7L6gFk1Hg+aHt03iBEZ8CpglmyD omQajbUmr2fqd4xu+oFbXl6o6YsEKvGFRbn0olPuLpyz7sJ5HPfnbAisciRI3cCJFjkcaXOGUltP zy0/sT0x2kPNMqKpHrYj3EGL4GLn5OLxKASV74KMXenkPaERJdKwGeuU0rAIlK8Y9TL+Jr7v6REo yqRlpDiHacBcllTBn7tzkIFbDJ4d7AlV4NYrhw1gUvjwhz7nIwROMwSVPaVIjfOgCwl/Vba9TvqY 8XzUVvyO0oRz1uUMlxE2phOaiWUsG7GyIBI/IQ9Xfj//BZv+jCiVNnFFWZ1z8bbQOsVvM7Im5f1I V6wU0kqtyBjKOtNk1I+oMz2TjVibL0Zx6VuDesyU8Xg0HpvfQZp2lJdSP0LcNGxqiyPuT8hOpt+R 2nLNQDB4jAM0Ity0iCuEAdni47EC1wkRojdwwOLZQsflh/Rxtc0kkkNk+QjFPJC2nL/gnr7Y6Gci nUYr7BxQPB5kQuuq5PC4APFKhVbtUNSZJlloOpQT3uaypIaakOnhnrSech7YoCiVlkDOHE0fGScF mQX9+Vefu2wxCK2Kype3GKlOuGYB+78egufH43f8lQhhJOmLohD0p9Q3W2vj8X/NxpR2Lw6jfH/+ SqVRYXgUwkjGopBbwZ08iPM6XvNhLq2Ox9bcYpdbrZK5vGjSCqBHOtFV3ARQGyGxnSmCrDiNwMJf EiTTXQYdmJ6NlOa+yPJtmnjoSOH5oKKv38jpRkWidgN1CPSg0rsAePhPvTsT8RROBmhomEnYGQqb 08QmdEFiuPhwI49T7qbEphBgYTIQhok3WoFo7QJwoe79JtJjhFREnp6y8l1Ubyzg5thPnSnj8X/1 PPDfJa223h2aYXO6Oa0lS5chm3YZ+KE2sD8biAQX5caMkmkBX+ck9Gcq+9cE+tz0cFcSB4h2QVTL x/cIuhymcxd0xnJjhjCPWn6lQj6kT+dXsPYAsAqPBxs081cqtNg4qZ4KzSiZRmHbpnzdCPhAMAPM 45xBXF6IQgNNbhb0M2QiEo04rUWY6nOCFpylM/VvTwoJyA25rHAxJSSfZp3WekVgwe+IClZCXv+v gUnhX4EHoM+Nx/+XCf1AqgE9MtufUNijk354LV4kDcN7jIZ8FoW65dIzJCJqZ4AzssXrVYKyIFJE w0CljbCDBcb6HKgfZVHismZ717/YG2Wu6YvhYE5tRqTt2SA+HrE30tdFTyEI8vW0QVEuDUZnL20b Hg90WYfep9u9LzMb9H7GjWlu0NJBWvvOfxK1GITrPFkvZqHEKIJUVuCbUvJteUyyEOc/MB6bmEUu OkF94ujgH91WweMRe8ODNDcDrbtyGWQzyqZrJRrPSC0dibDdY/IDlR1HdzvFGdIQ1ZDuZfviqSKa S+wlA1ye4DetPx1ypnReENqkXm5gaIrfEclWsFyd7C1ysabiAmsTIaj0iseDAWLzxr3RxQvUqbxp NmOUx6ScojJEmmaqcX+SXpetKBTrY4yOQB5qmo0uden+qEujabZwkTEGgVPJedi556n2ln8wCLrk hKlXDWJ0twli88UuMYjCcoPOnxeP/6vrKqA+hECLZ0IzAnngQj5FNvr61D3ZplqxYRNtqXRL3e+w qCu9OJufdCHR4qn0+b5Wwz8NiRC0HUQoB3eLt3pnpmiqyUWvGhwgwqeG8NNclCmeHzspklfnanj8 X1DFxldDMXbVzWZIQQRZHB0KK8Z4/UgJeFqj8VcICqXxhGFIwjCkL4zHouVfkv8GONcCuvIqAvaK WE4zmKIi74y60oXgBVig+6JaI9XEww6oOh8PNuiyWIl2RavjaSnOCOSBTL/DyPXHOJqM1nIEyl7Q d7lKJP6cIATRom0KJTQflBAUSTa4cxz81HoydLbtlXqi0VTzVawQBXFGmXSjVimhxLZYx+Pk5+p4 ncIMj/+znGe5SpDiBeLNmINIoxu70TH5cRZrX83El6AlbePVGWt6iAHESoKL54kQtq6gd6DYgvAM P8NBEXWiGOqVrBh0dJ+hRB7Fl3Zi44OL4aigS84jVHLB4xFk+rdkBbSsLxJvRYk0fJlOtbpN337X qsi85QslSY/qxskrQnnQ6Pc1Tzx10qndFaMTzBAYzRvoaaB7/+ThuqWmtb3CprSaZZuXGBfvua7R BF+tFY//U2GRz22eXh+urrArXeUF6CiGr6YZjQ6k6UH0JL6xFaI89HGx16zFUQw1rT2HPMrPzTj1 NZ7kswGEZ2KQ1pWe9q5Rw4OEBAIwtVgQWknzbgxN8HikCvMrUZz0/vRPbIWK0pW2P9uReNulYIC/ 8bnGYAOOGxTDPCwI2YKbtLFtPxZmt4rSFcLkpisEtAvGESPnb6J4w+8IutJbQYiIci7W8ADamIki NTrDTPo31GxQ8NMz6RWSEGluN02CoSuB95ZZ85bZdCznCtHS2hkJ/XLxPOhcwwWaDNygsqGio45Q hZZUNi8Su+eT3vUvyP2kd7kWi0GnnGFiAUIfHv8ngIFlyfm/SFptg6JMGnSMU6Fm9cnOf0Ksx3DV LrTF8be8k/hjglJMjq0qxcZ3KXYKYUQh9VxPrEsEoxUoZcNt61vvnxLO+B1Bx4y1ap+ibEzvuZZT 55Wsaz5lPB7lQT7WYLsKHpby7tYGRYl0otkEvc54i7VlrWh0pb1ldvbPo3Qs5yFOwsy+eKlxgv25 JOlJjkutgZkOYHMmpY+wUVfNKYnGSCtCeCxWYdRJscVqDcjG8hs7+TUej0BCvkVUq4Dk8LoiiytK pRebiqQC4BvrsHwz0Rz9L4nwwAWjbyzMpTXQYedLi8Nd+zpf/6QicKJekhlxUV4HIKF28cCUAsTv CDaI1SrFh7gMo/3UVCuRZv1U2Hj8n/cYQzJ+zxpXSDnkIGKHWiIfqpAZlQxyv6Bzl5lMN2o78AyF gGnJ2jeljC3fcvXUlROKAxrQL2gjTU1XOaenXtjtmLFxv6JkWhAGKuXbYh2hNM00IjW+4vtXti7X l//dyaN8w3RIQIQd0SpFlVdbEimHFq5aHxI3K+b5u8K2NJE/XUiY/qAVc0N7sQPMRgdSIC4puwTl mVZwCqjnwekqCKl610DIdFPWjAoOWtQR2k36PjSAxeORTvl3w6OhmzgfKe4Q4rFxboZplp46/AIY ynJ0OVw9jAu9YrnprHZ098Xn8wNBbBJgf76LTLo+o5DcKpGU3kxoDL1rlAkhQDfiJrXoBEHFsZjg CR8PLvrdvoIQlKBaGp4q7iiXhg3GiaJGoe/QLHXAa87eMssomyTHHTWlZZEwBQieDyC45DlQz0u5 q57yOHErG8vUROzhzYRkG72jZFp8Fph++KITBL1C0VrS4ONBy6z2rw2iuKKwpNyg91waEhBATBM3 J5tfm89n6IBfKVyqcXCDQjkPIYKlDjiuFDRaZuipEyS4TtgArpNzDdjXNEjrcJxmXsgosPA7oq59 1zwj+6ITdA5DWuZqyFcMgIrZC3pe6gCr5dtT3CFkGrSfPO3DQq3h11gmVYRSuAMXtzYozqWlaaZU aN9UCBPecz6Heopwv1Iu0KCZlMF+gayf3fO8uvE7opYZdmap97oueQ8KfEUoKqRC+3/gHuIx+lff ltkOmYcTjcS5zQM5Z6Nt7CnXFiI8OPXk/oQID1m0rD58eVgtkOmsGmugUUn+ZstseZ7QNsoX8bDo VQPaD2eGY4gWNR7DupS6ZMl62ng8Im2kr1oj0zDvVqs7xkq37xjdar4gs41fKj0PWI5qg0Kw9BTY /lm8p3iu7p7Q9qFLS2/iIDT0cCiQR9trC0Hs2u9Q0YM9RUiW+mKsDeD7kr6wiscjDNUvJxJ0boHF tA2KjVoG7VmSkTYoliTLhGE+CuRFmSTM/hvgMS1UD1eSmFCToSBD0wnCdUPnP9JuFm3r0m2ZUfJk h2LTNNqgoKst9oU1qC79UK1i4fFI9+2bmgnYWCsXCrwjgAeQgb24uSFs6mzuczJpl3Y/VXL1TywU xpPt2pZh+H7UuKtwnSYphAqBqSSgnAT08k3r6vKpbXrXiJtJoNRiVc9FnxgCkDpCqRU8HlVj+esE NTV97ycWJtK1E9+hjAfWotqVfcoDk1yCqovvT4jvkBVfEfmn3DyxtJX7AFgR+1NqLi1JrRynAUA6 yF3pANE2nq8apInESA9BqUa+HcUNVK7UOevA45HS9PoVoqGseGXxdtiUzpNGCdcyoVvqc+4eBATS othYomXLjrHSAsCs6ctltDQQVgcLjVPTgb/KTBK5OVAw+2aJm1OfHRIPxTjM6S7aH9iNLdmELj7+ Lz4Luc9MNZZPNXaURsN1/cSd2TwCLSOEnz9LbR6BOFHiAYrAHZMF56I3vBZna0BUYNOCGQ3F8xFt GY8vep7WHwCYr/Jk07v+peIBkJovdofRIJjtoDTweFSq7q8skaLTj6JQTlEejUPWqxxaOAHqTteY mYEZJ0j2OBTiTlEirY4Z5Kd8sZ5rh0vtWIu2Pye3ArQHNRPaDR3YsEwKg0GElr9uwM4kt67LqK4n Aoz0z22dMGJyA/kD/gUop+gHkBuPYI5O5fuHBv1JJJrlPyhzAZYAGmYXaBkJ4rGE9uj6OVE9xjQ6 p7t4Ng3KRk3Y/bNL571GEnVsQ6kCDeteHj/R4W8bzOgXa/pFahQXK1lhgEcw3rkj+XxQcfxSOwEC tKzHGCC9Z9RIGKmLt0xwGuZFd4bovAQgeQ1tllMImmajZzQ1GduVGshQs+69y3W1DUQgii+hLw4/ 1/O5tQv0IOaV8JTgm2bHg528QTN3EqTOhQItFTpBn0jIHxAkRcUbsJtXGuqyfA0mUtSixt0LKmv1 IUe1YiPJgFps+un2dTmF3ofMqPukDRIXD0qwFK2A/mKSeq55zqLRgxznLw8+VkWu6bWrv26kOCCt YMbtnB9vWtgHMrE5f14+H1HGv1F5G6D2egcdOUXJNaAKJ16zwYHvlNLDjEssfwTLg22Ef3FRp3ok URHF6WmPM+3JEoEQmls2E4jZJI2jFGuYUlrrlmGJosH4JZHNBDEfSYCxdJl2554SAr9ueCikEPRx Rap0BM910/fXWQqb1ciPFsTnEajxdVhY2g1NLkp7nBQ2SWAop7+b1bPLE6Gve7+d7BHQtaRGGgQ2 u/xXKYJ/jtJKD3CICQBVyt+TOcZumAf6ok1qGA/wgkORhuffN6le5WA2Yyu75P2J3WGWnRIFUKZB YyBpbjaseVuEquIP09IlRWn21DRIOpNcPM1GeXbid6OnS127E39G0+C26DxzNcznmPa2QZ6kedkS fGhd+FBL8L/FP3FuvWTE2qxfFxzbnKU8JynU+GBTX7Dyfdv7FEhK1bjR54+fi8fusGetdkje1Zcb u0+BkLpkzBu6lyzWyIGoKELRJrN+UaFxJH5JUIywGZuqEMOVLFs2jIbM1AD1aXw+Es773iSMhsib 8E2K0m14ODtrCgqe6O1a01FSjOY8Wjx0R0ofNcvXpTRf/HtrEK+A2gEm9INm7D+EwkJRC3BCAvXW l99xioWoGbkFPOdikfvc0HL3GW3y+eB7q9+2voA8tFGfzy1sXU+anLh3bSbERXr4eewfV/twPaac wt61Wo4q3rhYUQLBIYQ19kVWa8icWNXKMOpc5Ij5hBIVIpT5SyLvEtazjdcbFwMMlyoT0vNTJ5// F1aGWREdmZZ3RnLolggA44kM1p1tqtkxAFl3jn/+ldMzpcAtEdQvhiSDVq/0Te0A5aUSEpsBZeVE qEM0N4POiRzS2EGy5siBXSJOqwB7ksvtt/Q/6XdT6Q5F8xz6JT7+0NJEOedx3xFIDg0TqWnRehrW X0vV/UjnogIRirdG4TIepMAyURcA9ClZmnHx/lGqJTPZkywKvd3R5UbTlxlDbb+H+Tm2TJTcRy3d F9ukEy4kPXWCDp+PJGG/eZw00E2XbZ8D00SIV7HH1oZVuHtur3DXdGEUcLrUAciha6JpBZsKWh93 ljYhitymnLQ70i8Cj6CXL+8A4IcvSSjZ20ajIklUSp6p0S6ENW7bagJ0NEly4JsoTvfX14Yyp94u SQ58EyEVQdmhZeoxpW13luzdNHdOYZLcTjuHxolTJ2my1uWiTaowlF+dnnznc4N0MZNHSFDhc0Ma cAeyNGHiL4mUP9jlL1Rv4OJMoSEZENgy8vkoA1hfGTdEheqjVZlD78S16O+SzZ900Y0G7f6+9nV6 me70kgPvxES1J7QdBZEtFyILd5p26jMxFvF/CLjCMdgyHSfH7IKLhr1thHxgTMrDlmwn6ez4ICD0 xI3N56OxrG+S0nP02/rzuUUa1ZCA6rV4S7t2VxiEus/0hht6pfa5hRm3ksjCO46Lx6Tznzvw1swl E8yE5K9NfeETuEmOsZhECZkcOigac7rKYrLa2AhkZEk5nE0vfDySzv1FLYeOQPkKSX/bvZCowzYA 0xulktlx+43zSLvcQoAIbzWMLn3xfBuRKG/OZjG5hsozrW4RjdBUP3lmeXh5xV73L0IDjb5sUbNk QUCAnf9eNp+PAvd3swT10XezJLBR1HRNonoscM9/GhcjgmJWilZozNouRaYvNj4atfniLaUGpVxZ ccLh7fzV+b1lFiIL6K/xaBRUe9voJBEPOnLzRbdbSS5SMO0lI9C+F7iEGm5CHh/L5DDhHuhVub4F u/VCzFqatFljuz2Xq/e9TfmltpO2L7ZHqYFlhiCFJkAuxiKG4iQUWln03CEkVdn4WwJReEFEJi85 Lla6nU9MX8tcmc//S85bsgaYigNk6bsUAq/hQCVaCsdIcu5gR6k7OwaqdduPUpRxizY1DWhe8/3g QIU8p3I6eJ/6PiDqIVhDc+JLP27Iezu0U5TIp8Ha252XwzUmTYnulMHng7KkfE8CSOEud5KUQz/F Tv+XbK7kGPc721zfjEhopRl0NoeOiktU/KS+UvKKYWYVKWi6I3KDbcU2pgaRQJ/Wdml6gq3l0FJx qmgTlwhCpIZb4+QE56AXHKXAUxEF8vxVlpz/vPuzSbHMHqgNawoTegpcZ8CeNJYdb+NZmbpVDl0V JYfy3wjsE3zY1FiaaZ/7Z0htmE7C1K3mp8qG0uLELYeuinIpzxDPskWRO50LjryKdqIEn49Q/L8i Nw5Rv5zzHPsqIpuEuga35qRWZVnkhgKhS4Ps1n2TwpSbGLRRFWHqo2cJXZACKLYAkFDhFmV448Cf P63k5IcK3GRvGxVvKEmHZC1hoywdfKTqECTHUTp3AX9AhK4pX5kSjbgeTmwOrRXB5j55dtKFf37V dkJRIg2O8JpCW2h9bxF+ZEjeYbbii+0SwNfn/+3bDO85TKpg7KcfajFlod80DSjVXjeY/5M4LCKA QF7qKKEL00XEQ40buivmSz7n+A34MNjV+S7F9opQ3yH1Fvlk7919u9rc7sRA2r553oeAbFIal5Cy XHyCC+zjlOvs2qA8yFeAkNhC2Jt/cCVTLi2HBouJMRuzZV8MsX4uNsJszgec+Xzwwa1LepiWBhSU dL5LUZsbHoMobd0ZZs3sQBICpA1IMr1+Cz0WeV8NzP19cUDthjIUxiKYc8NtkVl3gxRY4Y3FLmV9 hBtzaLKYJVSU+12sftsUI0PavfR8RA0Z32GJWuEXrZVDl0VAS0F4MDxbyzxUyAJAT7DpWxouIpsD m0W3DF6JExMudpTgwbSJTEFTgJUbJ1A86BAZKf1qpMKlTW8bwCWIt9mdyQAXZQENXS95nK3B56Os +xdki+P2p80dOi0OAkoouEFACd2eBCihSEYloKTcPYqlrNnA3Srf9lO+0TN4A1QNQMA+P0vgZJw1 UuwzByhC3ORhLxvsUWPMbqRvcbGDtPbQ4OyEKj4fJAHrmwkKYkqeX0lAKGcNl6EKdopq3FFcznpK +Zs1Ln6SatzAbVED0jeyLBqOG/TGQe3WmkZ1sgTnTAOR++K21FIK7RY5TByJmmdaDNlGQWL9uxuf /1dM4ugNkILWvu6396T7HMA9GJMutIQTbrpV1eoxqQN9aLv0t4V5lxAqF9+lkzPu3tUvOQkSiF2c KuErO///P+xWTl1vOMf8JcFREhW0yrbqeobBu05wW5TNfP5fLVzWb7hTcRZsk0LTRaBDTyRKpmBU d/NZ98pOeYS6oOFvcuy6KMLakEfuGA+C61S1BcUPIYDnJlIfs5PRi2sQH+o3SjuHrouZ8otzcD7J RSdpwQ1UCLcx+XyUc1+ULf/bGE2u67CcQ+PF84fFgNAUrU+Ru5bhbFNzx6pVs6cAgfHiKZZlhpPn 8OXiSAdkhjQLOOnRyk0oN1wtGH41gpREvR7dXjYiZXGT8u6+3M9NcjQnAuolI/xN+rrcKi6NfI1i cmy+CDm1orgtQcdrx0SJdqvehvH6cui+aONJ3VTjshIn3JI6sKVNTt0Q3sAmpYxZPEe7ALI6nl2j 7tB/cRKfVCcn3lxUmTRAVmVukgafj/C2vyw8aS5Sn/Zk7MFI+mMzzRCguS4rYi8H/aOg8LMU8h/J HO7KD7l4UIKQYyIeGJtUSSYAs6bwGNUf9MzsLO3c7G2DdHKbPvHwxXYJomfcpZr1khEgIH/vEtM0 XK++S7ERoxAlBmxvu1goAlTT8u9GfwE7TLHMdfJJiC1empw/epuE7sC5CkojVEuHR0ajJUrdD0Er L3vdoEFJ7dTamQ1UgQDYMDnXlKDJC3PcwI4RRNHvESUBie3qO+bAjhFpKNnqrRu2BG0MIXDYnM+c 4yZsgcrc0I+x02v6BI67OAepD1joVUMG7imARULB1aApBpSxdXFX6va20QXH4eRgM5eLztI5PXQB Qscz8/l/USR0bHDAe3qCd5R1o2XWoY6vs5SW89eSLCTZe6OuiZ2lCFzSZPba0vTlNrvPx1gm9cNS xqSYkJsMXSOY6EK97A4EVre3/Yu0DhdMX9ShxCVsxrmMSyGK+zeRpEjJ9G5SLHm9vtj8IIA7MBCy 3Z4G8G+nTYrBJSw4tkDI+wFz9QFeTBUw8Px8eCGQztZgRQuhgDrT/eDSstcNPjgyslPWKDf7KPfE hlKIRUTU4/ORiphHb16IiCO1Px9cCObemAg08/Q8GeX109udaAkMpfvs94OL8u7J/u1Y7MmOCyE6 iQA07fZi8AYf6byRDPUSgIHnz7PW9XCgkS9/SaRnSEXM1e/iOKXzp2Sn6KQafD5qK42vTTrRjETK 54qLVUZA2yrkzrIPB2IB8+4KnH0lw39uaj7yiovzbnJFNjsmXAywvFCDli7A8jyJGRzG4HjL2R+N P2e/SSWJSTnwZkycGAM0oS5cdwpvhT67wd735PNBJjC/ZRAIUqpXDSqH3owAabQ1rGNCRQHllGPb 7DIDtOENk8CbEdp/7OsPMz2fHpXqufqxJbRdPnfEWFVC/RstYRoHNyfYZooh8pf8xbCdMtibF10P z6PJFs1J9xaf/2dbiXs0f9W5oTsj/jS91Wp1bp/NZiVpcAqoCdxo2zcpAnUvSRoMCdaMR7AGYC6g AZl4pw5oJT9JzCJw//1wtKkKbihZCgwakRKSurXL8EWbdP4OIiiVk13y+eCCu5I1ejmE7naDUmjR iLqj9+4DbzXWVea24QyT0TnG7PpB0WypvE8ETgnX4ZuXROLqc5P13qi+CF26R1GjZLXeQo/Gyq+t 0LxUDQZWFGAplcWi8WSqiz8gUh1JX1EJ1gwove4uRXl3pVLvcinjwlmE6axOV89ibmBHKcy75eaw BcTd6ytXOllGXjLZ66faqkl6o1DwQ6OnPR2T7C/7FxdwcoqjxYgmJ8eQ0u4AdCK0aXyoXMSXJDJd Li4w8GmUjOg5Mlnbcb7sYsojo7jXJ6qjbDlA7NPI9uRIbIZw8Rzg/P/0mUX6PzE8dY57C7OdfU4G YpNr+DV/2Qg7KZ+9tn25vYBOOl89IYLPR+fIvza1oDbC0nOOYsYk3ZS6lbmZXEeJjxCyaax2/Dim AIFZIzaJ2HX543Lxc3QKiFOOENCdcwdLscqiCOYXJ3RCH/NC3pa9bcBYQkQfuQln2i7xn2rbgmin yuff4/a6DZPBYRtDxHpmuYFj44mFWcWtyxoLV0oTnpK9gCu9OnwysGzMlk+eh7YvDnrrJ/+qNCtb abbaRD5N8EuhFWSu66HjzGSvG4mHk/NGuJoWB+L2ppnHyZX4fIRVmt+pEu0Gx9M0CX0bked2qERY 2r27j7xnWd2iUoOWjp2mCGIi9YyVNWPKVzazQEgFmhGaCPREg1gScGEpB9nXR+1HimM5sG4UGRb4 C95zXOyTayRoEj2x+XwwEbi4AMKV0aajXgs36fOaKDXkysAo73mxE/W6x9bnKM1mkfs1T2rQhWSe hDvLFsuT6gk/pyJBwIfORmoC4XSijkimxbx7Fp0j3G6vWVJzybr/El49B7GwngJPC0+/7E4D3Ijf Gm7zbUkSAKn835y/SrQ7g1yl6iAlaDsYHqC2YiAlmozrQwt2x+z2JJHNxaMRaHuJesknRUUHcVFf nRkVPmWKAM78BXd/3R14wrFJssme5OKzklnlX13QvH3dHeQNzXaHIYegQlys3JzX1KgBUTJYiYgo 0bO8UMkrafXiALJ7qLwmRk3+xVA16nfxFPvcjOAosSOJpJRyxoPqaQI9kLwtkBuulM9rWtTgEUNY OjXCtNjR2Y+vbvu85kTYWmmD4IpXMxKHdvrReU2JcHSaZFYVo/Gf5Fhw/uTL5km1UOEbm/OaDzXX OlyLjSEuHnlOJYwoTej2xAxSSjec0EMJmv+zoZI5+39Nh5r0Uil5ltTRRrXO3Tm3YeWYrZ+E6/Oa DTWRgrQ7ciiCKsM9Oq+5EB5a9HO44ixjOSB5F9+dVkHhliNq9F2xs7Z0N60vYYR+fgxGvTg6GEcW 2aw0CHewTAZYzL8raom+JkKIOlKM2MT/cdEdv1vqvMjOqVyf1zSIT4+vzWGL69mc1yQIHyMYHD1v w/0DbvtjatiaJJFlM3xo9JoCNRCphGQnQ4KLI2zbQis2qb6n7gjFsxb9MSCuLdm/JQE22lsFu7OK bEHyXexmP387Se2eP8XnNf9p2Q0LsDvyaFxwblm2Pa/JDyJ5hbUhJxc0hOenz7DTiyuxAfhk4mKv qU+TlS/kVdm+5+L1GCQ20f/Q2HEB1UYoBErLnk6GmJ6ilTyt17wHX1aWuipnRVwsP4SAMdlp50r8 vGY9CFrTYzIhgsCxFyJPuDuvOQ+CcoVtJYHR1OInSAXEmg7lNQ3Txt5GY3tNeBCTtStJnIh0+Vkn eo7tUyIoGNfFAEWbcTDQfzAQvs1YivQGF9Y0H93SfNHR2fi50lLb+fOa7PAFy9eHRcZAQbeae/Pa YMSHBcWjSvQrB/tresMD4HwHG00CkSEqFsTkVFSc6ja6nYgJwa9O9+4fzFoXmieceiBr6GiDZpP8 U3+RomLR7qAl1MWA0qK2GZyMxYaleGiwO335h+UXViUnhbvz2lhsgt3Au9uYNLUla99nlFwOMmpe w7+2FfG7dXSkRCOGl3Zn5X7ODBJF7A7Ea5rK0/MNNcgwZDhkOx6bdkSvTUX8BZaSHc48uNjZqVXM vlohKBbeWN9nB5Y5HJFYJhglyhx0tNwdFeIW1VA7qtUPz43K74y1lszlYnUiPLj4pwVq1rlws3xS oKrHTwvI2YbGx0K17VIaWe8afVvGoFm+2GDxZAyEvTQCZ6Jced1cmc0jVF/sB2iDolyZbhwnpdbI viF3+zFNw559jD8ePH+0P4SDrNG2L95MRDkDOiX2Z53aeZCvDtXzn055FMxbbfTaqyh9UWQuMnPl ZJGLInNNUto60TYDqR6my8k2CP+HNB4ai2iDonwZEMvzXiv7CSpP+CnTT1C6/dYoYd7KlIkx0OI3 1wS+uFURHiD+l+XhjQEz/h8KaYrzuLRBUcbcOZM+uz198aur1qH+D3pk7ykzwK/7Ozyjy4AkwzYo SpkrI5D8qUl4XIZsSIsNWo/P06f3QYDuhrtayZebNMOZCdYHxsBKPEJQcmBn4odTM91e5Mt/3klq /Iyb1DOqLwYoKqLUofjGXCOKQSJgewwipH7cDXpPm1FHriudgcwQ1aJlhq0MzwyhNWJt1miDUBvM xDGOFq/W4Viw96Kg2ErgxajlgbISuU8mDolWTaR+fd4JaohBRKV3mRT0x6QA827pFa09Pu/8NMud vsoKmquX5whFuXMn6jqbjQPgY7I43zslM6qupz5xwsx77qwGGyDs6S5eWBQw95Fagwhy/qciuL6u V0DVniBtfej33BkAOnxbqxEBygW/A0Ql0YtOJKifd25ag8XBrxidKbLQ7i0WJs+Y4aVt6KFTLV4L eGVd1IIYRP1yg6LsWaqY2wwHan1usXOfooFLlYPdoTKiGIR/CUfB+3FlFMcxSp+bDetn90Ux6CRE iU0cNMY+77y0dn1APAYhFwfa3DYoyp85hO5tuc0Fx2ca1efbD6uz+TUWZdBd7tRz3sU2KC0ClMTd O4V6p/wcGMrYF7AAqEtkXCJqF7+z0nBM2Qtrg0Lh7U4nwUrTn+RE/fl5J6WhsZG8scGIjF/ZbxL9 zknj9UP7D2oX0zBuOL1xl2Gb1c4WZlOmidLowdCyOYvS4kdoQ16qFH5jFaYrGnWgSQBnaZhrehbN X/55Z6Q15zaezUi+qCt2AptcGU8oyJ93Qhr+qfeepyMiYj2N/bRBUSJNkwLUjuqLsRmmDarQXGX/ EBN6s6d+Z6Mx2vPjIjJAizfGcCFiGs0KFW5WLOAb5bKRYM91ywz4IvF3/LFB0I+ovmiDzh9hifxJ FEOUShuq106QSBbdN+iditbUTj9fWLvUGDM+3fTAk/r1Zh8cMeidiNZ8xrNauosH6YxOT2WDA1qk rZuICOBqJPft65OyWKS+89Ca+CnIENkR5WLYs3OWpryISv6809CadAWfKE23zoqWifYnyqRRQZ73 d6peox6RUMPbAtOJq3l4Y/U9lcbEUaBhfmFcfLoDWBV0ARGDwAmshKgXXLCN1GsNzoyqV/SyUVte 5io0TMnZ3YVhPZkY9YAE/Lxz0HiPeZdjWvuw1FtrvFPQcGwLEYzZekCdBhWyzh1u8dkG9XN4hN5T aameEpo/fbEdOhuNyCZu1akqi+j8Gd8kBDl/iG7zRgf1Q94ZaKzGEoGLq/uiM5QB9dPdVNvnnX/W LnXY+0CFdxiaQdqiMJmej7Yq2mR91h93KVjJwnRiTOUWRcl0YoKz1EBd68EtoCGG6QLr1b7PfyCk M5/QfU45DqfOX5ecgb1scIg49Npfi11k1HBlMpT5+L960IQ6ijfSvFX2Tj5jeIcITZ/Wol/dW/ST nG4qPoD1rGz6nXrWHFK9Jk06uXi5MeEzRcD6ShBvXeSoNwIWiYSUSZpaic3eNdihoWSIN9kofpPV thYdW9Fnmp935lm7JvAYf9FhDp92vnf9O/GsSQIJgk/7V5+eAr101TJ6HvmwiT/nz1C9Bc3fDzR/ VkiF0iTuXFyofjlW7twYUGHZsVejXkYO77Qz5uxEUy+yGLIarNih8/NZ7J4fMdfnnXXGc36TIQlm UxHjzsDeE2phJTv0z7RDpbvQI7DUd5LBkpOH6D2hRqzmSZBjJhcfEcJisK1ksmGnIKsaEWK+hDbH XvlpCy2963sgWipWpa/CRaG6AbardDHtzzvljKPi5YGIQGpcomi+2A6FGXWh+Z/5V3m+OFjV92Y7 xFapztB7Rp1J4TmFQ+dElIulQxMXTaN9LuTCANto6j0OCfTgyv3ybOLviHaIutBJLFjo+7oF8xDp EgP9/XlnnPE+yV81Bx0eycnQDkV9aWiBtSXWAt1AxrR8qDlJCJacps/3TjfjDB5Z395KG7dX3PMc /7NBiaB1gBcWgVgoWhEiQaugSp4aZ3LWe2eb2UD8JEJTM8NLRk57m3A7pbDeyWZNLp1PPkQDGHAm bH+ihBq0VBhJGgavTO9MJ7hSGAYv04Sb39h7Qp0VhSYyQl+8cY/iqSEVJIxjnODGvGABuNJAUSY/ T3EaadvnnWjGEyTxC+KnuGiD0rkgp+xk8vq888xYn3ucroIFn38lGlM2a44y6gYVrFoN5AqXdr/s 1zQ21SmwAEjRtPnPlBrS2dMXO0InyCSwOmV2gWtNWTfY9ZnWMPtR5SGM451m1qQ8dPIgUHNsUd+j bzWJah75804y42XrVT33Bzwh0qi1PyGOgzw81kJsnBHCoyg9CQ+SGcgyAP47xYwlGYr0TOVZLb4/ 55qHJSMZnY04ILZa0WmDUwC2niwmNBaLXjXaHmqoCjNV59WbJSFH45wTBN75ZfiXpu+JM4b61CW1 /YnyacCwes6+P5mMLcboypqQaIUBbjW/sHd2GU5/YnOn6PyU5/w03AAlSWemJJq88fwg/Se7e84b ozEo/bxzyzj/3UwSeX642BeWc9M9f6L8551a9l8hmlO5MbwkeyeWIXTQFqgWjQ7PzTC9NZ0I1SfW pXaf/rzzynh8K3YGpii23ANEQyJ9YIkWGqa1TmQzeiHFQ9Cs9q5/1RtTtKmJPmwVoOMUj3JsOqeq fN5pZfgjinxnnxjrVSBIbIfCZJrTjeXUu05lREOT922pItmW2qGoN50aP7HC2z5f71bYneIu5flG TV8wzuIpy5Qf6z/zaQoVvWm0P40Zomh315AFcPshY8Y+5+edTsY8xO8wpgbs+d6exzubjANr9sqq qYJa7UoJB+KzNF2FB4W2J+pMJ0IRt8QJ9iNOADxuWosW51TjpcQOGq/4uKC+RwHMpdY9VebfuWQ4 7EuGVoS+cLEv7Gy22Dsnan/eqWQsqzxPTD8yhy1U4tcGRYk0VBU7zeXYVixy/NqCsDmsI7Hjia7Z O4/sqcbqTr7c+eHGJdzJSYRLiHnGcUc3oEZi4StI962Xjdpm2KHVaWzFxcZjI7dtbin1804j44DV v7CivhDO+vLm/TuNDHkQNcu6TVPPH3yYa1zng5ywTpAHdYmFrWnrm2lQL3FOOiKd83k+LHgJsS20 NoPyKHJ/QLxNrFJU0Q97179QZWOobB1AYnX1hWDgxuz45Kufdw4ZM8XvMA1eFmn0tkNxJk3kQjWB 4hPxXKB4SamQQai46M47g8znPxNJmy83UzynKG+1FpFMbxp9dxr/odYYHM5Kn5iZ4juBjGGIhWon KDF3+pJyh873I1DJ+Yj5fFSw+iHiuAi2x5C78y2KkmmqN3VpydDR+zYXBwE7ap0V5yK+08fw+sTx bqnvcfEZYobgZ2FJf3ZugHrCkp7D5/OvXelpC9Fz5508xgkQc8TV72LVBjZJTI21P+/cMbzinSEq rKM7go6GYROjZBplCZLprUhUVzf9b5SZHokqlNIqduidO+Z3PQb02xfvTwOfNYQTYiYt05RKBAWv gK/2ayds/J071qQGR+FmW0xR9uSaMOfFZ5br/LxTx34NyRS1Ksa83hZ6Z44xhwI1GmyJTHZdp95n gxvIJu8QgYhTGoTqd94YvwA5nPe7+ATovAQs9RJD9Tmxm0AqmlTAUezHBtjqeRS9ajSG5nXVeQtx sa5Q0/QcgMzxeWeN8bLPXwUrLgcYhfn+hOk0jEBqytdar/ldNjQioxEIzddR0b9zxpoIJpgeSvXj FpNwPoWQPK3V1nnwFF9T3g0QAEUbE+p7Dv+F5BFfNojU+siAKrHFxqxrJyEP+1ifd8ZYu8RxhCFh XNFl2F6xvjPGkCTQuWn4IJrCgzZFTGX7R4ZBDcPQO2GMlxm/LsGp9gOnOhfwOSirZA2BGlx1SZqm qQpYfXN/uesNvWtw28temA4JWlSSdQBKGFnOTfB554sRCe47JOsZMg/uBkX5NJIezNFVZ2Ce2pyY 0W7bbBZverzTxQyocj4VkG1t8Y8MjpWLWmFwQIc1PLtCEHOCD/pPq8lr1kUSyTtb7Nasje0SLSrp ExDu+G3rJNTvXDE2/7/BQkAhl3JL1neqWJNYaFvLmPQNpjUWpVNlNgTvD/gt2AEKE+qkA0TMEBc7 QGcPINhLJat90mgI+bIiQ5FHDYt8rb+IFXrniWF7ltLEcRcrONAgUEm/x+edJsbK6vZd5X+BptSF m72TxJoZoAJbplwoSUWWQ4eUbk1PvD83KEyoJzsZY9zFcyHQYWBjgQM057kymwnMN3hIYqJc/Asj vvfzzhC7aKpJ8WAt2qEOYwlZ6BY+HtxidX5VHKg/vmD27/QwPJUI8BjL4GbUclSyON0nrVWSCPiF hfn04KfFLFmLDzdAWq30aThf2PkuCIQ7MQ9NPtyU69p405n4884Ns5IKsoyUr+Ki/UGqy5k0dHI/ 79Qwgqm8KaQx/aYEn+9PmExvDFmbCQyeu7Ne87j5UJ/b3Z8YL83L2sCHj4s3CoENOwJz8UYzTn7f BMwjsdm3ZJ3+rsE1n+gaV+TBXJyKmdKgQAdpuhmPB5nixSsynCPphWaHbVCYSmfCOA2liPbc/cKq O1lB3Mvwii3qS4vK+yJclfApDPNnOAdrS3YPnMXzF0epn9cNQsNfNrjECDcDDs4X7dA0Y1uQVfl4 MKfP33gzGowiCTMmS5xKb9BFqzr3um0oNzhkQgSkwUa2ICbLeyYNaDG+sLOt0xdvegASDJoYN2jm XMmyX0j1OVn40mXu1V41wjHQCEWX/LyXfIL6DKFn5dz9ePxfkGBtJho4q3lfsUeZNLhlED01A8tW u/cVR7rfGH3RtEMR1MOGYzh2tnhf8fx520wCLJ4brSZREAb9LM6ZkKeudCqICe5RZ7paJ4dkH6Eb EW1pzNBUPUw8HdzytX1vEEg1BGzbBoWpdOUG7eJpUHogr9cIHjh63WI96kwb7H6q9zHnhTGkjqs+ a756ytZR2HiF4zY4jcuwp8bhRb8Gv+QvuFkhVQcz+mJTnHJqYP5lf6Dfi+cDQN7TF2IrYPLLXl5v 9JBriOYi/VJZsWaJCrHeoGICEWdoeKl31qNkeir+1pF98Uid0lqLfLx1kjnInJDZQots5EXQwb+Q M4pU9CibpjLh2Jwca7GiHj9j6EMreDxiY9677GZDeDHboohxOFiyJtPwahPRAPuyTyizWQfqw6Ka vv+N9di53MW+M3RPTh1RxRpLULoh43Bpcni+7Xk3aLC52KP+9GKeOER0HvC9GCrIzkcuKeYT8fF4 cJdNj0TU+8CNgUGe7U+cTmd6VamP2CslJoU5K9MnZBjZq2TtIeuQAIYlu8H1ZTeIYvJkrXLSPduY JumGBYOyNs++TW5t14Aj612Dy55M+ZIIDOaiijWdY8nIV8vkKwYnaH23FjGBommWbVDYn14IP6VI Dq7DGfgaxS5SNpAtrmyw1x6n0/P9sp+QUdt0Klonh0lZSrkFgGk6n+923c4Hhap71J42hEemegcX bRCsw2yGOCYejxBn31N6UGsq+gu2Qe/pNHDB7L3Cfk8OevzWKjeIZfwgVgjDd56gCOchYwHQ1n3x Bj54q4DliSx/fj55vw2N1No61ef8E9vD3jXYIAI8hqmc3MZKBdymiUCWJx6PiKvfG1Q71WBvCIqA 0yj8YAAkvnw70TObAIycmTZDELUEOn/M+wESM2oXAvI2Ka8/KMEgXZcyVJZIeu4wdiZxFbcBnFR+ xr5eldjFit8RJUP4wk5MmL5YT8i8f4jtw+PRAfrueADXxcaJb1CYT7dCmyprm5VqF9r5AWMarAp4 5CJpsx61ppdF57Z9uQUZzLwgRIOe0PlPAsI0uJ2cI9F+9ro4j0613B7hPITmPPdX9kU9IegsyT9x pIbH/3WCsmWZGBl5EBpRPg1RAUhTu4lH92wozVHcgPF84zZkHVFrGiNQgqju4lAhDKU7m0K47mUa hjgFEOrquCPm45mT9a7RBIjtsiKSZrkkzROQyjYbr4zHA/pzX181K4X/ScLXBkX5NATmKKelTKi3 IjVBwvEMht/wb1S6OOLWdHnF3sPaCBZbQr1mZI8UhWEVW9FeHletK9OQG7/jL3p4k6JZexTNkJ1O XUObj/9rDi15T/BbqmNeR4icTsAHTQtCHTIJliomop0l2kGfS7Lno9Y0jfxg242mR5anINUw14bT Vstqm53ibi6bfxSoAeQfOknZPX+O/cDvCBCdvL9mnxLqInaMU9a6Bq8h+EXg8Wi2cTl2csubUObz TGhEyXQjRYq4EiqaJqvqITzqbTNoztknFuXSi0PdBKtJLDz+3KAThhB6hAo+u9LV5d/Qy86o9Hp6 rvmJ/YmBHmyXSVtxXm3FRO96UXpmw+NRDPLZDysT9qWzl/QjSqSpvnQ+520hiEqt0p5KyzmIpWSr WEeUSUsKEHJcvtzZBnz2epOtyRBYC19YFQwceehDIaPJ+Yga05pCtzLVN0NavxmEEobnrEPPccLz 0RH6BRZC2KBouLYoyqXlItS2qeE1ikuInSCNHKSKfTv0fkS5tJRxlgzwuPgAERO3DWQFR6zQk5WW J44Napz2BCFeTfgdQcmaZLdMrAcXi9KpSGignowBj/8rCMn2Bf+t25oeIWga87EifDQu+ubUwwS5 LpuPJSkHYYMi0HTRx5WFpsoPmgpuHCerGrzGckEBRHTQRJ85Eyn8qOMQVj5CEQ9SozL8mWyx4Q+A /RIQ6AOPB6nQxSsy64G8H8TBbIOi1jTweG1lt+yek1PDUwIMqt6i7IDljBVjI+pM85o/kYUYhd34 upnV6sRwDLU3mh6nui9yDYCQVsGHBmHT6x94fjx+R9S6p7aSyJpcFIRGMu/LstbG4xF1o33fYvjX zecAhak0yC3421kQWuMGIWroi6VJdRpe81EurRH9kmobF+8KsViq8jJDpk7bg/OF4d2IQaX8scI0 v2/8kiCZlsGiKwmdm8tA5aNaxwNiPng+KOjL93wMAhel3+b0iJNpCJb1pvkYPIPugJUfAA3NOxX6 eYbi5jRn0IUmlFy8HIOdSytMDOGp2OUvXAjL65QIBAJA7XtKVeOXBFGIHbMmPYZ29RjQmJPhD7Sn 8XjU8rg3Ga9Z9Prq9jg9w+50pYUpchIpniAd/I+G58OTRYzBVZHNKJterFj3IKBqjyslVMH0PcdK PmYYlZUmdXOCxBcgpY+5IuuN+Q+cxyzbF0OcgfAmc47W8Hg04PBcCFtLadlxcQwzyqYx/W1wS6XC NBAfhvNo+DcVhaGGvzeO0Ayb01bRC/I7Hz2Gc2hOUcGkcIGKVqSzXMEhbWC4j3wdA9Ei4qv+xY+a +J5s8ZbHuQmS9qfg8SgM3fY9P86BaXW5igzzT02PTg9vGby4tRsIUu7LUaubBMyYiCj8grTw+vWb OK9QG4yw1VdEA4Tk547Ls0ICH+IqzmWl4MAMu9ODkPJW76KPDBeSBCZPVoHHoy1a35Gafb7qYWhG 2TTcB2Fy6I4cazz9+4u8r3m6IFXcmtY3ppr+SxYGurenULK+4oZUNgNWQs+ziSx5O9Pd3jWKQuIN Uv6ei84QckROdWtbBY9H3I3vOA1MxMlDvKSfUT6NiVXvc1g+PQR2ZeO1Zj9BA0WUTlCUTw+ei82R qRbboBPWIEskjck0V16bFDuUGhWzWQiTesGBuTd+R/CRJQpDFjY9uNy+YidQG6KzeDyYIbbbNsNz wCnV/ByhsDU9oZ/IPzmSoV1ZW5yEau1tDaJTNGAowygdAT1k6XI2tfpyNwiqySjUqJsMsdPMs414 DhT9GOXhJky9ahClu6J0Gr5ogxaKAEbpOQYe/1fjNbPxWvAHujEoAnoMuEugyaoYlIQUotNk2/a1 QWZi2QZFnelFedI9010cSrUowJNkXL4xG+AnxaYQbnaSF10zuehdozDNnVnkanKx4c9eQn1gnI7H o3rsu/GKPAbVom9QyEAkO2raXgBJ5WxxRAxnR233KJmhKh5p4nvqS+OFZPUYuvctqdzA8IFuooOW 6AXwEOI5zWCK5hsz6kwLK4SA5ovKDTCJNFk++Qge/yeWSrRp7tDNhCKoh/HptyEZ2kiPI9AoFqX7 cMvyGfams0DBa/liO3QSOQCAaU2wTxAqCkJjqDVM0s4dj5GCOKN0WlDXpie5GNSjtKqCIeeGxyOs 0K+uUKblxnPRhxxETDfS9IojC78wH0hno6gHeDL8yEJRjynyoUrW+ZSsJ8M9IYj8jXnKvNar4hUH C0hmTWtHYbroXaOPbEHNQ3Pk5LAoaERJVZTSwnj8X7mi6g1QxS8eb0XJNI4qpDxU059CycS2t5X0 FPXo1XoeK4J6DCGfd5q+eCIEkwVAGwhkaCePYFU76Pk3ICc35s0ViZleYWea99fK9ATk4o3XNmTd clI2PB6JelxYOR7bpCx643WFnWlodzVligQyONg+DUnbM1McblK+wmRafbNEA7edHgM3WAGfIIb7 D06AJ7Wm40/fmJcQrY95lnWmcc7xOyJ5PFKjOt3uuSgIwcCNgFWACfD4/6LdxdEh0gPboFBOumKD RFcFQ6rkq0Q+LtJjYuyqDQqRHhrRD3VVB+eHTdOftWcGpJgj+vMHoBMwWBYIkv1n5PzNE2/4HUFn mv52re3sy216SGi3Up4zTqW/m0Kg8XxvUMhBhBgD5+Xqmt3sJwE+7V0zhAhtUAiZ7pLy0IB1PQPW CqnGikEEumZIG7O02tFFxfDncSKBYbDe9S+O3ZLHxroeG+n8blPozHnj8SgEXW6LxBhIgvQJ6wpl peEPDusCTVgnxCM4Ye1yuVk0tN4mvLRCCqJE7+SYxcWHP8DiVeLVFqixafIrbEjzK3CyX3L/m6Iw KwJ5dE1YybjQokwRiE51PM6fAI//qzEthD56lNsz6RVl0ikT5GH0+RMglkVmIDq9bcaxlYJ0KOdB UMtUw2M+DY8CW9ZTX5Aedf5o6/xUasIAHdfZfk/bZXMKDQjxSwIYzJR5JDVh6mXro+ArRYonfeDx COXhR4iFLguo9VxjIWq6g/yzTUDwHKXpnhGjelLU6pUxXWEyrTM08vDlnqFzgOiWS9O/BE0PnCGy kya1Bpr3hADh5u/4c4cywcGVEDYW9JhDsovRz0/H4/+6x5g14desq4O7omS6MN+nf9J/yPid03D3 q/I/0lRjT7/oQ9S0GBtZvemcb8cDzuqbQz+MoBs0skmxSxRjLPiuH+tI9u5XlEwzrzunhBKLXAzG gD+mNYX4ikGY3t+waVL8oDFvGxTCpiuICdROoJrHNOFJcE+tGjtBPBvmdYWtaTkhkbWrxfdnobNO x1+wx2rKvPAo1dmA1cpNFz+/McRK/JL3EzSW5M1Ur2avVwGyqGzCQoUYjwcz+vELFFz7t0L5ihmI 4B2mZvr2VCAxsQF52RJqRtdR7lCsNC1HLZnZ12tmf66qddI6JGbU4S6ps7jIyuE7QH/9ZkJj6F3/ Ikd1GUhw0QahkbJN74SPRyfoF9QMU500/QTtKJUeMNYo2f1Za7m4cs1JtUG7uxJ31JeWafSuqlfr U6/uBsoh1QowYs1FydIgF4bVw7iZkFyjd5RLN1r8ZuPUz2mpIjqVdATHz+Pj/+K26HNiJuZBer/n 0niqc/afflyWyuU8Si7O3Jjoi2uDYq1p3vBNtIy2ngHrqbvO7knmHilRZRyv5syaf0bz6U8BjY/v GkHNpBCc72IX/a5DxVRrfMVIo/OboFnIo78toR2ipoGG059OBMTtHY/slLuMFoafoL9z6TXlQkJ+ g31iu5bVZOtzUvKJApaTDRRi4ERT9tVM65Bh4ndEPTPigTG7tsU6HjTu4M10MqH9P/AP8Ri5Es8G hfRDTKCTy7x1wOUE8ljVD9CpyIfJeewQ5KFeWWczg4t/YUghdpJE54BOHi22urzrz90wyhf7sOhV A+5PI0B1kSfORftTYf9i2fHG4xFxo35dYgiKpVz24Y7h0psK5SZX0ep24bdFNrxplS+7xXaIlxZ2 YaqX8UgunUcphSuH6L1hbU74LzwZClAdtL22ENTtXSOKL6tVIqC0qNYAzc2/sIrHIwjD1TAVZgYj 8JsH7VAab096+i5jbuxiRTwnG7ZDY95PLAR5aH7TBDhjuqkdgsQuRLg5PISDsojk5+Agk0Ulxk6A WmZUPNlRWzpxeFips6PFuq4n6LEVf0qZhccj4bdvFVPMolq5eOAdgTwgZH+K1GY9xZq332KDrV4G aZb22qBQGW9rRm8mow//EAZ3aw2SoE/ys8umvCIIL2eDzj+S0LzVZVPb9K5RkMbObI5rtOgbO5/t pMImp4c7bktf0SXpeYCedaUYdqjnkcmJSrrSISu6jB2VjJSYT+yrfoJClMeQ7puQVPvLey1vINIF d4V8S6VJ5thIdwAKkVfHZJBu9qpBophZrjYFoeZBKG143umarwOPB6XG/LVBbJc9FNYdtqUTxRWL W5KsahNDfBjT2FEkZNN8dYe5tIaHssjiYjuUkQkBFsxrjC1GUV/wv0GnZd9EkSUhfkXU8OAnJpAH F+sInZvAFEwXHw8aHlczh1NHMPslAaYNilJpkC8h4SFzBKgK+Qbtla5nC2au2qAI5EG3wAHVDl+s qXjeHR0BdV3BrxsMV4N4KsgDzHq1FWdretcoUyRUWqYkozy2qz27DG4aeDwSfvuFmAYmZtxMMaco lwaQA/qcSXjXuvqlbbRmcI9TuNAuu+sH/Vlt5HQX2yJKeYM5Rm7UONkihWAT4P4douowXLpQISov 4be8b9LCAGHAzo0L8ivV9KeGqcK8ntqbPyACdd6Kg1dJ76wKy92oUCIvAXKG6XP5D4rdBZ7FOT/n BJBcB6zHucCr71OYUyumTJOuaLd5lgFoo2wQbMf6yQU4b8zABjSA/kkS9w7+2Pa2EZZh8BQRXD4u uLwl9JxY2Y/W+HxQdzx4D/63cShXfY7Te2KNvHGS+2PqOXUvn7XCWd5HidBglz1ACvHThqsvd/Fb DfoJ1DqCCNP5tw3iGSrQmOcnr5/JW87Ey6u9bQRoILR8CVYF/yBeDuAjTsmZgvvCHxDkRlcsj2MR NsrLs0tRpxrOtFCAMwg1ILzWZ2xzX8nX7HKCNA18/0FiFVZBOuqDHDpFJUZA8qiHAvJmgcZvBH96 DuOeEnbb6/7F5SjSiClXIwbHNInafKIFn4+61d+ai3yTetv5vN6DbizyRkR5motC68BCE7VwhNAb zPv1yUX9auGrtmpULrZLIFwXy5BONUUWJ84SmGQNTNotm2RGJmoHUxP6/ZewidakssxFdezO7GBi 5AErhRSCP7L3Y3UEQa/dV1bQTM1em/FAf3C2/h8aTBXRyuD/tEg1O5VQQUNamxT2rAlg3FXpNntO tkkd/SHKLp1KBBRLjoVwPn9AVfmhqKUBiJgE4JdEKD2287VX82k4UthO/bRTq+H5iEXevjLtyl55 f2J32LSm12h2rKfgXHKcyMuw57WTX0RXlxTl2tPdEJMvN3jTdkV+0AuE76SGCCWrBi8Mj0pzTHvb IFXq4r2YyLKTj8jvU6Yzt14y6uz/0qFmt7M81jcp1vtAArDT8lQguSrTLCPb91ZWKh67Y2+Xrrut +uK71GsHakPCXhlIJe6SbKUSImj3tlGhdSQtCoKjgFSbvRQu2fKeluhVTvXkxueDRGD0r6CE1icl y3yTwpR7dhKofApbtyvo7VU9dA91+hm6I9WPSt+f8+8cvtzmY0Ufc/AowUlHLmWDdzCUBGq+aYB5 Hqe//V3KZhOSiyL33GNILGe0yeeD7618ExUh1IR/292ksIO9UdoONyo7t5FLmp/AaJUbRuTu5JbC FrZqWxkbc/HCpK05qVCJWwj6q9yUzkkf2ModMZ+IokLEO39J0EAq5jDVfdH3lhqQ7TgcgyllaPLS 0tdJKiRlLSdzCpQUHcATGgw+BIq3BKxOClxdHCVlNxbPOUSECPIp6RMu3iA5FybsA1j/n7wkVU5o 0PoHLO3U/2NfriL9oXMO4dW0L5mi3s/lEk3o5ajYpa55zlEf+1ElkDzK2fF9JyE59ExEPOhtmOVx b8WRIJBob1a/NTq7a5MiVEgiDw+SZ754D4Ba3bMSFbLYdhapCDkLWfq1/Z7p59g1kYTFTDFXLdap LTySiY7qfD5IuvN1GSeaFhkamsO+S2HSDQxx15gIVS5FxXB+kE8WawNMCowwBwidE5cMRll4aHn6 /a1XqpROgLCqSOgTiGpaCGDMcflC/rbRyEgC3tSGBTdSgfsEhE7BVtzPg89HY1nvtvHcAYNSx3OU oo42SL+9EPkkkfxsTkGp7yswnAFSsKMU5tzLDBayL9okGF6D95hMSmbQyBxDDKTb+9QYbd3B7ODk Ogf2ia5QsBlHtBg+BOABtktO8cPn//W9yYwy/bIuCw0UF52nBEhDdNrduJ1j2jgSekT1fm4heVG8 hC3Bs33R6BACPOUbJTgWKtu+OL9t6BBWIJpIN7sgo2FvG833h4idbLp13iI4SeTC0pg9wYQz9FAs FwLB1HPT0eQJ3JFUNTqXoMVcm+R1u26dfclCuOwY/rnFri/saad2F9ul8zGPgpuLKTeET/lfaRMV DMrGVR+gEdVkcmCj6J9bAx3LFvySDXFM4YRyL3w8Gh/lr68tgwL9ZQYc+CiiJQ5rGrb52AcQMJS5 JC2SCBNp7fYBQiNF0oTHzHKgyo9dcj3nFBruGJAA6jWa2iXpBKmGpnCBduDl6BV73ShPykyQSBjm YnP+WkQbPinq5vNR5P5OJinTtx4vxcBMEV0WeCaXKmVG/Kd0sSLJyObnep3DdynSBOlbyoJqmrT1 7FKtaLGuJas3eI0Ru8+YTUTEHI9eQbW3ja43gtWglWWLNShTq3Zh2UtG6P2bJ3FkgKH3/Pre/kq5 qU8qfsNqTSXbqUWXsdBOalsMUJMDQ8U7rG2mwPNtIVROqljUeTv/eTJkDOgQQ68143N+eGh12NtG 4vDs3wI4b4tVbxCG4kE4XwCf/1ddwv0AZqSPJwcIO90ViXa2IVsvzZ0C4QSkcI6UO1c/Sv/wJyfp RYuPtBNaqzD848j2VCgscdF3RZKUDaIuX075b4e2ioVOS32JL7O8WZw3KufOVtpsfD6qS/x6438Z heYzccuhsSIxxl/c/HI9385JMr+BVnr3Cje0VoTGCnZH/+Lp+vbwM9sY5NVmfQB4RrJZ0mUs8KMR 03rQazn0VgSmqcAmPGuxzj5ERglRhDpF5vNRs+RXUEIHALI/vkmx5B49zbLEd5BXmkIa/TnJdDQn Bn1vob0iwCCArqnQzak/JwnBppEZm5AdFElbUZAQioRNQwRWuM3f9i8odk1EuXNR5IbXAAUM2vkm +HzQm6zpO5sEjqnv5ySFMiETyuGF+FmEMlLpGbmpa2AyIcBR2SaFKfdQjvgs3nYDlDzRxhhBCaIe qER5rwA/+KMJwlCF628btd3Ep2UAn3gr8hHPbe5GcBAi4Q/4V86t0H0ORxuXY50Dk0WMA3xz5GQ6 68XZXL3mChVi/+BCTLb2hxqpWu6Q+5S2sO8iy5q4QsTuBAc/eBGcf+R4CKCl2utGOADGI/W7O2cu jN2r11r1xaHKDX0W86PhSF3D8UsFNMdGi2Co9XHHlMMsLKDheG0ZOFpU3h1YLQJToFtYUrvpS2oX Pgq49MiemZBJYHOOQ8dCAKl/cqfGXva2wS6R9lASrV+5qDaBDGeXQ1XKfD4aB3wTZelO1a/JWQ69 FtFnAOvBvBa7U0WodOmQm7GWF3Ch2eJSpzuV5otv0hjwEsyiqZ0ER+3JgbNUaMuFJq5oahJxzKHb Ig8Z4v5dbN6NEoP/xPM7+HxwlMr3zKTQceaZLIV2i6CQ9ipoJKh83b+y1IohblHlluqbFMrwcZy7 l3x01uOjc76LDc1epgGwmlsC4gLrBlkR/DHvzGRNe9sINUFELMYttigN6Gfvu0bea/D5IO2+qACG M/xlQDj3TYoa3Z21OGVyac9QWjJcic5UJa5kFN+jv41itlRBuXj9BtYVa0lQis+pytwTJgNQhfiR dKGQN3nYywZ7ROmHOVP3xQ5SrkUqVud88fl/YZCVBUAK7ysLiJJuTucbfwPhW7lvK3JXYv5N4d2y vKcUuC6CsCaNFSUDrd+e0jjxCdI8FMI691tazCcnEnMhKoiAt7nSsrcNLjhGbFrr2qJNOpfLkJze yY/5fCSQ8Y3gQncRSeXzuUVZd2LnjbBngdxu4N5USFZMgtmv7VLsZS79HRlPznKz7gqzxVyob4A0 tVLNESA1kMNPFbcp+qzrrXZ72+AokTTbJhVpuFh/cgPyj1gMEfAcmi+Oa3zGJGBQ1vF23kLzReHZ i5svGsePDP7mZP7WoPqj7y12X2Q/7VyW2Rf73tJJuk+dLo3iesL4yEKh4KaCphDi+DdcO4fuizxj EEXMvhiQCxUPi400Jp+Pku78nQIQEPbYfeTQgRGhEuPoap03SFfIa7l3Yx5BKMgRAYEDY3ZtR9ku cfGx0nl6lypA6QBgWzQRjhkhNYCOt3OwR7eXDQAB5I2sScw2F/vc4OCmzy3rJQPYxK9pAJ3s81Vb ybELIwAa1QWMwFg3wlGa9AcQRRSmXMomQxtGmTKhSeuLdQLQdskJLSR6dsNhGdEro96j6y2i1AW2 a9gdGjHOpLu/dl9UmpzsfTPpaCfd4PP/At4yqcKf53FtyH9YMQKCMww1Cf19gybTDtYmlGTz21GK vRjVc5NqbL56B6cugVfioggm1K6T5NUKggHDJhjqdpQw09DbBskkKaKg+PliQCV8e8wPa9ZLRt/b r+EbWmW5P0cpzLj3+ib091SMbYxxgLVLgE7efpRiwWt2kxI5JFy8MDn5wElipkyFVyXqGRZEhVGB lrzjNpXysteN+pPCAkh5Zfv1cLLQIQHgujDHDTwZAYH9ReonKLE96MDAlVFyCeeiqMPAJWxJE4JD B8CsIeXC35BlbmjLaJCAavDR9XSV6jlmfRJdknct21Wyzvlt0CkFJ9G6uCt1e9vog6NqxmzbF+sF QF7eWMeZz0e9bueSaKJ5/oFfQ5PQmREZTmdBJdYorgCFpSLMW2fTpnhXKbBmlEsxfLl5UJSVWldp g/fdhVNKsEJlUlWBnmywIeqYD9g8YHV720jykU2Amu6i/uSJfeobQyeZz0c17jdliwXErM8HF7a6 KQRFUSUOBJabWJ27aF7e6B53k2JwiTKlcRf/4KBkmOdwcMmeLPz5mVH5MYuwrA8uLXvdSPZRo1yy kLnoKK00ZbN3DlXn81FC+Q1UAh+3fMfuP9ElbVQBbzoUxayttOeWND9kq9P07y3MutXrFleWi4MC oKcwE+03zoO70nZwoNr9IThjrevmQKg8f0mEC9RMSVEpXz2o83cslDE42774fJBP5vwdlTLVhL9a AaFHI9o5p7JNVOwhO0kKbGBIorFORZ/VOBLgFfen2sgY0gjjYonAwFyN6Z8okjsBmt7pf9UrtVdn vzklCUo5sGlEXGIJN9L0RYcpzUTrl5NG78nng0RglF8lHLxb8p2bhD6Niwc/mTtBo6GpUsq5rF8C EzjvlwQ+jdJVgDFRK77YWTqFLuZJiRUcGJOcAkDQr5uScHOibR4ks+fApzHR6KVodFW+JlgJJMNk 0peLz/+rgtMezV9lbujUqDmlZMLRedvtujKv7rNcWb5qkyJY9xpCluztiwNMWuNsl8o+p85NXXYp dEog6w3tKBVwQ8lSYNaYbZMA5PFFm5TakGdsqa3w+eCCa/3rgmNuMh/MW2jXCB1XNEWbbVI1F4yT LKV18SUVLQBWuYFfo5vMUF/TFtuksysNWG6NKak9zFCNvKgA6fMoa5Sszlvo10gY56hSfeadVhVd Tk1Ff9Kf82kv/oBIfeS23lgUo/e2HvxkYNkIxhvQAGma1mHZbmWZQJzx3huNonSW/m5275nu4nUu ZLcTcdBz9ylxaeRTKHkQctvtmNB+iL8jGi5x2pE48eZiw1zoczJrHsBOhKaNv0FvKCtrys8eherY MMQZikQToFiT693Q59EWnXu8eT4ZuzaqfS1ezpz1pkoNlJIJGQJAujHLBUVpENZaoPWMFNbV/Nq2 l/0LPSnHaC3WCyi0rgKuZtb/z9ibZbmSI0mi/1yLn3cwD73/hT2IiCqMnmXqN7q6C5HRaU4jiEEH Gfh8sJCuGluWxqr5jdxZCrmTwCrlZZIjHTmWRZQ4ZD2Fo3ijpikGdbMOkjRbaV0kLpxUOuW4EByf wJdNjUH1tYY4FMiuC3tb9rYBcYmkt0Jxaw0KA4CIztLeT5XPR1Vcv964OVHYWpf7lgP/RgDuqUSy llUDenGR7LlG9mpA396CCwwcs8kArK0Ubn/J1p04e2FJEWSaT2JIFArhZp1BheJwMXKmv250dlOM BE0eG6zlfX5H8dRPtMTngzruveDYWqLM/GhPFBCK+yXqak3JscF4YPg0dXf/bjBK9WmKMCay0EUN yQdfSwPGMCxrL1DGk+ATtM48yfv8Vv2R8lgOjBy9tzS31Hx3uVag0+yIy0SjMnByBBTyduCoaoPz 4TFL/byGSh1hwP5WlCiiCqgcMG9lKdHG+Rzdr5FSN6FjeIAWHxz2tnD3NxYD0G5r7I6dgBN7hqo7 6HjPooWE++01Tupuw9e65BK6l97O7uqyoWlt4emX6emi9WN6MkkmiJLKpLYff5VocjbZSmMZSmmv Cwjo2ymCzOmVugWTQ2MnyM4OH7wE0E622boEW1C931Te6jJWyhTwgtJo/kK8v85OIrGqnAuMbNwu /Xk2S8ynk5rFn9fwqCPO8dkR1BbJKPjUmp7X6Khnfq8OuIFyttyXQ90KnXQEBZBYf8KfCdYOkp+Z CenUYGtnQwBDHlrg34BGZMpACCnRlczr4twI436NjDpaQbS4bHKWa35p4ioZQzXG3T6vYVFXhK7Z ESAOixaHGSfnNSbqJi2aajJ5Y/snxERJRxKFJFDZ4OS8RkTnzwwhkof8eMbjx5MbTQmFlDyBw25d yqKIH3FkktNswGR2/18Dou5WGIvqKud0BgakanbmEjsD5bzPazyEL2rgNqOhoMwO4Ixm5zUa4s6i vk++pdoxvH3Ult/ztXpn5DUYwi+T5F3dhw/ehzwhKWqQvOUZULBjSYkEeoeTzm0bi6qir6FQl8Po 2Q+Eo2mwFHbPyu7Ymff1eQ2E8LS6g7YdQWSxSjZt7ILJqSzRtuT9fsnWsY7du0/OvPnrawjUE7tP yMgkKFq+PDAqSimNXsO4g4cYcL2zIIKDMnVXzCyJpcfXCKij6cJcY5bmg93tZx2od1j6/rzGP/3x mTmHMhnM+N+1EdJOa5LX+QHmFCQtgglYUZMV+iZInDk/HOILYRw0MIjmp0twVciRh4+MPANSfqKQ wnuyUKRkNFxd/bzaSk/iSrLWa+jTjRdx5n7ewQLElCXUDw2zz2vgg1Nr5e9TmTKHM/vqeQ17cCrD 5WWuNayflkwtE9rxdqED72F31mvMw0OZwY4YjxzuzppoWSde6OMsyEXaSMNJQXVg3LS3Iku93uDK UiL2f+RrCtRWWUVoJ2b6vMY7eMG+vo4dsgaIpuLcvFYZsbPgktbKNfaWZyxZNXU4q6aXaR2i1xoj zwRZwv+vJj8dnObIVFSnIupg6FiwsNksz9LWlBMhQf6vJUbMDqPmRDy3Bp07ta6qwheFRKMrS8Rp zE61O6sSssPpea0udvM9P/vJVTUuUyTLS5PTg+9ouiNRvNNZCko0AuPgCBrI6NaGXb9gT17xJeFD yNwLQMIuHo4OHr1qcCwTbNyZm2mwxVNLlwZkhbpYdPA8O0tILHAw7pX+TlzrApuf5WOYKygcrkvK 6peUxe6r2H3RBBEAnqpKZvUpmUGFefc8spxTTshHm7mG6K7hZgdT/4pq2LsG60chz1C1Y7hUaUUQ SxZMI3omipfXjZeZdE1GXMMnKAqYIUl9zp5uZ89wKeM9abzOs2czRhUUOwyYuQpY49Fwq2V5QGOT hw/CocQyf4EeV4dPCruu1oDtNK1+56x1x88u/BY2WA2/CeeDPiHg6tHhvP38YV8RWOQ0PWJ+J6zh 6jKNTHf1Rk/KVlDujnc8X3g5AiuYoc3SaaJFtwa/u2C20wv9mNOJpotd6oP5FjQQslMf89IERUEz DUehu5V9sH4ZVqKKQCiUvUfNMDfaXxME2YO6252gKGyG3lJnZ894j4ZQA2Rm+RHUiksfvXPV8PLC OE4CHTh4zt4Xzh0BHRDz1MrIcFARA5Yb5VoW4LLluwY5Fw2Cu6pk/VbJkLTVLVnHhe5GdAhNP6Mp RK22XvUJeo+csTcq3SuNYdxJ+bbgcG8PDnHpW601miCkB2db4mbQoAk65/AJEGHrwKwrwwSMNFsU dUsn0ojENW6xae/61xk01Sabt01GM1shp9cen3eWGqOn4ae0nkNEWm74/E5Ts68GMxCprpzDnTS1 /bN3cTewSqV81X3e4+dsyUWG3agNF+RYT5BTUjYLsJOGkhSxGbmiRfac0laNfo+fhcaA2RFRfBzw GeffVkHvwDD6vFPUugwPbItlm1jqYPgEhQE0MfwSol1Ue66euA8vklVqOmiGogiaARDEYacPPkP9 xM+UlSFOZk3QjFH1IdkRPeH9GDWK6xiF0K3KhVsI/svMLbUMSnefL5z3552f1h+rzyx/Rya5aHXZ DEVBNHR6Tla0zdShiVgssefietiN9sW8yaIwWiAZOnja4KWfBfHWtuSRdnas9H4a5r9CWS5neWMp jl562fcpGnIcVpGdg20zgPf5Dc/B/3lnp3V1FGwVSeYQ/d5yp+g9lgZ0HxODgE3l1eEuuknfk1lY nzT47vw7wTZTyAcpIhtuMIQyYZYVITr2M0mNFe8G35RSr6s3+/yfd2oa4z2GibC5tsFOatjUqagD iZooml7bDyIq1OAqlSAbJyiKpqHRBSskN9QdzQ112Y1jIfH8U3OBsTCcHvKLzd0Hr4+da/LkBrkY 0CqJS3NWKJF6kDa/1imwTuRnBBME5tfMLJBpUPnw/M3CemUloCGKpw3ea5E+7l5uaysfRuE06upg TiTnyBgyBl7cxpY5F9GwNsY7Jc1uREjiteGDR4sLZl9FUhnQDm+66ht5e5jOfY1TmC9/3hlpWOwE x67GBcTBYGig4zLdOEfT552QxoB/fMVCIAA1hB02P1E0nel7oWMae20W97LcpTtpjz0r1Vffw2nA GCT/RAs9Dt7m2ZiXYcX5E0C3bRzZfV4S+ViR6YpIe0UvG5XnWSdrI/mgcxo+Elsc2TY/72w0XmXt K52nIld9CtBRBVruRDVrXs4/NeuEZZnbEFs1QEjXEnoPp5G38Jgesk4Z1zrlxCconnb6N51QtFOD APgzJDooWWT20KzcQXmjdy4ao6FE9Ln46NMxDDT0of9SPdnZ552J1mWQ9WRkRf51Xmd956HZHjsR bTU6eplXlg6Go3ZKZ3C8NENRPE2N/ZOLze6Dozyg/NCGUlaUKiV9ACzdD4RqYUl6aTEU5v68s9Dw IYg4ZkKHzwabIcj1coZS5uP/qkTjsWGefT5DUUC9JCOWHH++qws+S8+YQE82tBFPvxPQeIvy+AH0 ygY/hYhAp/TM2W3ngGL18NzTyDPyYNR1FbIGSZ/vBLR+vSy7xGi6cyYriE9Vgj1pft75ZwwUfAmx vIZ9TSEOTVBYjkZy3asXzSqotOpk9Dm/UjJv80T1aCIXzh2ehg+OzMNxkcR+AYwxic0/mJuAHcGw UcV6+Tq8k88sZkcMy3kqVxIYzimCQmGrfd65Z11iOc8EwdK20JFVE/QeTuMUYns5m2R4Wd5dhpv4 FTVcw3LWd+aZVW4ZR28f/BRKe8PchBdZnb0WOht0EEEaUHW09/ay0NK7vh9Cixr+fbIT1i98BDt3 SBP9fNjnnXfWhRr7qisyvWYUrBmKwmnc8edtHbHQpitinqz+wjo69qCW0Hs4jQXMmxaAKxssaYUT EUxoBX4510Af4oPiT0HyoZb98+XgxM/4a4ZGl94qaFtmyjyRA5MLe/7h8047Y3HY1xBZM4hMC6Tj bYrC0vSGXp5MrmgOMnU6n592ZU9aEzcZzun3aFpl47N4JitoHHyGOshVnQBOiGRmM6CBoCSNNqiW p8qZfPbeOWeMpllTpNDRl0ELzrEiZA8ksd4pZ3x8fF31QCAWWTJzfqJoGhiBE1F3r71mLyjibsgG xYOlqW2y92g6W85Kn2wbvHZ/crCTEJB2fn5DlCZU5e9mFytvIx3Txd41WEKEKoxeiw+Kps9NJj5p O7fA551thmDz3vRNE3u+5bhZ/TvbDCHUgDoPAaRE4SUWFHHV72R+D2h4byMJvZPNbvW1wDDGBltC iz57Cobg+lnJbTibDNV05EhlP+I8xHK8k826MD2s208fDM+ZCpNd3Iz58841s7T6qzoNWGDbHgu9 M81YcIPozNpmL0N7aSud6dK/5iCaoLg8jQO60nZRwz2FCrCJizpYUCBDIoU5PFcP/UxwoXXjvRS9 ajQ/zFQlPNPzFZ6F5ggTwJOh9887zQzfNP2OFZlCeyT0zjLDAkLCmh/rC3YYZL9TR7JQaEwX530n mREMhGVR1P8pT/8HOmEnW+3y04XQ3BAkhgK2g7nnfApnTe8aJGSyFCJiWIMtoKFIBfgrvuK/Dmln KYjxoAmKgume6KdbTEktJW+oAg2cdAbV1rtV79/5ZV4VmjxUbPAd1gA3IixwgRU+soifxHAiuckS zpIcT9K7BtkGZXi2NIt4APBrZfweQgSdaSufd3oZax7fCRmuz4K83mYoCqbBqYQrTnfownatuTGM UQ2dGe9vvJPL8Pugggp66/LBZmgueJgt4aUhEqp+RgGNDWinn/mUhIreNMrGKJ9C2xMNDnHVb4me 6fy888oQiWwPhFR6xW8j3wLOz3ssDfkcKjsbigOiDs0B5Y8s/2wO7XhnlXX5l7EYNHzw6v3ZYegE KFQ8oVmTUDY1S/B3aRu2VL2n5Pw7qQyrfckjjY6WFh3yFoNDIyO9s9c+75wyojTKVzbWeJJlj6Xf KWWsVVCeKKuACG8Qx3aM9uSr0z293xll3RyuIFKYfPB0bI9V2x7ST8XxJJ0i8JYaBECyOLI6pfvW ywaBkDDki9+Ng9U8oIfPdGzhR3wPphFpzq8tRnDbfHBlUSwNiPTZBmbV2CZ8cYXvoL69+NKNnt6J fya6xHh3ZzXppUU+WXZFkjU2uxulARhB+xDS1CFV9IP87Cb0I+ld/4KWza18ldL6XTMEgQUKFa4T Kr6TyTjB33VF5A/Uv7cZikLphsp0vWaWhQ12q5u5fUqDIaVNUViZZv85G1RsPKx78DbRI2O2sWrN RSppaCw0QAMHsXgSKi72rn8VhZCdYgC9nLEQHJE7QXE/ICB/3plkbCDN74QMzOn6RNPvPDIrlZwD cmcvnCXXAwPCxwpnk45HnKKoNj3ZrsjUTtdg51BGEL0W+rMLGtW425jTF15e5Qf1aV9EtOB5J5Hh 5yyKFXvzwYv3UHXkObT2551DhlfM9ddFBmHbevGJYTC9iay3eiIEHYcLFKLDp3MIUO+figl6p5Dd qz5tgfAe3XT8SHCtWQym925oaGGbQamJWcJ37bVve9n3GapsRC+qLWCwIuoJ2lBEwS472evnnUFm u/RrhijVcqPFdwIZa6ZsNpKciTr+Ile6wRYE5wEJLRMKoZTff6ePcQMI4Kp8fYw7QQ1Co4tgGjjt QZSKKMUFYXnopqmDbejfolf9666HmqwPXhXqU+2fE5V/3sljrDrkr2ARVwOAyT4/YTQNdHStTooi 1Ne2GKFNSsfSNMbPO3WsS9gIaegzPNF0PlcIIo9V6IUmK8INHTkkq6w+GQR4oJzweaeOsdUgD4dW fbAuK6iwapCN9XknjjGcLl/5RkMSvpM3yN5pY13+dbBualabFuKVHcQ6iu+x1U0j7Z01dvcYNels 8GDonGbnB6/WhoYJJFlTJJxCbk/4M/PaG3rX4KrnBHVK5WowSMJJiXkiQeD3804aw+Ntf1/1g/QD L5u9c8a6JPI63drNLaU8xueekCGYdJR0WJtG5eKkLSwscnBA3lmLOJSZsLaFBj1rHDSnwz5hAquM lffp550yxoyVxQ65fnIQkgH1Ad7564TT74QxVv79kMZiWWh112cBRZVpwFJxVgqy0CaE1XVIp14s bqy8/rWAwmiaCWuudpldsf12zgXU6wvzsVnByGWpnrcuCRr5uoARK/ROFSMDgTHiIJyTg4Lp1WUI DAbC+LwzxSyv+spXcWi0izZ7J4pZIamTj2xelrVaQl/2NFXrOmEuoPkJg2nGiqXt4sPFu1ZYDoMd NIGDzbzez5oCIGKjnCuauUgITe/6fkaTrgbth+yDJuiEb0WF6ZOZfd5JYl12vF9YaSTM9WkgvnPE iHilDvG+Mvv1ulluqxMR52Hdn3eKWDcVq1k6OSylPxyWcX7W0oaIqw3aityLVE/P4D8xwdcJRKT9 O0GM+RQzrpWLD9aiH1uWaeVckZ93fhjBVF7xYDTdkdDn7bF0C2PpTSCMqcOdE6hYAA2zESt5nOOs WMLaQsi06q1FNSGP6BFL7wICIyv3OPdRrMQWo5klchsSy5WwTnLZWhRLD8p7ddKWNXjZVZBUkHUz Hg8Cxf59BqElSidlm6AwkgZSqDo5FbaWbotSVnI8XurJmj8tqksnCqCZpiAHb/50sOjp+7QSIEmJ MJhBia8OmG5e9xQamqEolMY0n8MD8YcNmqG51ToHYZWPB036/IvNkq7IJ9ksIWoa6O6dTTW+LZeG gW6g6elBI8jZLO+RtEyxALDXIfQYxp5LHiQWwwm1enIC/ldoacXOQuWtr0CaRbMecgwFmpbnBwfN D5xUyO4tZ8DjESY4f4VBlVIjD9snCqShWHKOheGY4J6a18xqci/LBiylJiiCeShPLSwRarg1s1XQ LJZFE2JGITqhtEbjKsKITa2CmOAe1aUrvSuKfOOKg7Uoe92LkoeJpyM2lCerjYntAl7bU7EeBtIA 5XYKhzEKGsOjIIBTPQqCRQlvsR6VpY2GSfSPBocEzwU8KDHT+SzKvoW6py1URjU3jysNMwFGwYdE QCqSndHMxoCIgi2KE80s3oA/kJ7F8wFxY958XsB7aBukW/PoId2QYLNpaXzPAizSdoBe3LSwSpSG QDrWo1h6StlzUIWCg4PNwGXvPMdWhQ9zIR0aprg/8Ec9W6O5Z6xpxPYomCYvGSiS5sOF4wlZAqYw Ho/4mD5Hw4OhdNFmPaIcQnIVpVWJCZ3oyci8MKo1fh0svs5xgXSsxziPrUQ1++AXGdqfFHeGClMa gEQQjbfkKfMj0VRpVLCu2KPa9GK+uiqb9Asli6Fs7CwhNZXPcY/Hg4vsF1KIPRY0jWx+omAa+Qjq 0cYEr3u49MKay0OhDHVa5qv9H7RD0XnTQ+ct/UTNkEombBqy0pMaHg2+AWBR/0yJoau5Ye8aJPSc GUYNNhg1ASrGPIfK5CsGC+hBvDIqIMLYa2Y9LE2fWQDAqZDZfOJSpNgyjCVbRmqelM7gFotL0+rp yBdv3xY9CWM70/wSfjrnZuMuPGkxUOznjN7tOp8PSlX3qDJdFSWyY6NBEzTPlmYH+iR1E4//i7tB LQHS0eddQe/BNJIUVOxxg2TZ6GUZMkJwAY08Vjwgs2JbLAqmt7YY2KE23No9vB0huka+fAKpQEVF 7LQEMMG+7DpWpntUmWZDaxCvYINKQvPch+ofnhwGj0fM1cs+rGrHbnYcfYoi0DSi/3NXLR3OMEu0 Q2hK3psmM0J0dv6Z6CpT32epqkhEZ2XCev7D6JlVRSmdMmHttBgEs3rsa1nZkW3iMyJAJzkJKd3B kEK50IScwD48HsEVfYY2QyhVlu5lH4bTJ/E7QfSVElJXjEoIhLtxDZ0vbgJnPSpML6HMttzP9qMk tM/B05Nhqc4ymVNqsOz61NZ+9rowD9Zb8BlByrqkkkO1hHlFL2DBwBMTxM2Gx6OakBfNptIU7LLk KeuIwumpFmvKdk6P6l36uZYnHDV7C3FElWlSe2ehN6wGRzGclbNGE0MKp5wkh/YmkPpELigoXOcc e9eo/0PdH9YCNVjVjOKXqpplPB7E078oZBT/Z99fExTF053cjerslt49FIJ0eNY/4nC19s8IK9PC KyLwtMFzevQJ4cHEJTTysjIiblB0p3/G1ezKtMjCZ0QkxEzOhqxzynqElmoxnMbm41EX+paFeAoh rpLTCWcohE1DODNPm5de2KNisJgovSvhjuFgsxGVptU8hNGSBsJusYROFAtsfNVVvxsxGSQqgjqB DIalal31Z90PfEaA6GzsbYhktwYvDV71I7E3TH0EPP6v3gaPLFjF98uDHlE0Tb52qtuQ96lOLwul URwJ04qnZCOKptnkQrKq1JVLXtJzDTQySXbi/C6KJzOJm4Bw9fTc9BPzE+I8pEjdWV/kYEWPBnUr HkKz4fF/STBgWgcL09l70COKpVG9Qm/DFajao0ddzLISULNuROgRBdOwfILmC5UYslJI5fQn5sQp wd4Gjv7C7vOAWhNA4NSTu90fmp2PqDJNKTjo4MjbBI08XkkZhxkbgOMsJzz/LwqZprODeOTR4ojC aYjGgLJh2u89j+TUhFQuNaE78H5E4bTUcVIRp75cagIwDCN1U32FxXNN0vJE0weWeu05hXhb4DOC PUZ5/I46kg23uZE4QfWEDHg86o/NrwkiPSpdKMwIUdMwEyzUf9ZNn/2mH3ha7BaEMzZBEWqaoMNJ O2QbbA0BvADYCo/pDL9FkhB3Z6442Xh9rDuX3jVqj8ECpaDXZoNN0Amm5R+w+8DjQSx0YQwMe1B1 bc8mi2rTkKk+IZsRNmDboQhxk6vMzKOs5grUI4qmlY8B96WBb85IaEF2wxqsaEU3ylpMIp93hevx FaDG0ZXxGX9FQnsRzslBhxDVp8RAXBuPB4dQT9/5GHb9vN2NEcXSoF+7hMcgNtiN3+CtZaFiB5JM 93wYTIu5QeFNDZawniUEAlDdwrtOOC0yVCwStEOod/GKu1e9bBBN8xorJDbhMHtQ5dnionEmDs8H Of1XwvFDl7jv2uuIcR6oKC7DkkPhx8tC0nRhLIRauS2isDrNpbGWIAmr3v7GWYHQSaCtKUpCoL6T /kORYASfUDOy+v0m0GOEJEQKdHPF2KCcrKBWIwpZKXg8Knv8hsLgc/m3OEUzLE8P2nRW5arwH52K gCDHarMFKSpDnM0wntYBRF0gDR5PZyiY7y0gQ+7JJhNyroV2aunxWGTGMUOgRzXIffPBAGdr0SXy p511hsejDsd3YWgZoMYnKIqnK+j0k3uUdt2DhbOTKPVMbibPISgEMqufIQtxc5t1KQV27z1MpviL MjEUm9xr8iLrCLAbMAHjsVfENuCr/lW/X9A/t0Hzgz/fBLxvBY//ly49Ik8Kzdv8RNE0tIXBe0t+ Dg273s9FVvwia4hXuMdmSEKk2nSuCobqDYZgZw7NU2GpUBHWgpkZIQtcJ6TiLR4r9QZmWJ5mXRrh lQ+2xda5fnlT98nHI4bd7bISKkT1lSvqMaNwGs1ZOFAn67JC78eA98KYM2WFiqM0qeLiNKeGbgEa fIYW8CbsQy9w70Yik3OBGQLTDlAlb22aHMQZAj1oiDtkiMfBthhMulk5a6vg8Uhn8rZZGRkiGCv1 HkJRQA0qExBOBlkcsp+WU9A2iZgGBTFbQ6EgHnHTwKP74Oc0RComoekL8IhE96SzhsjgOvkfbU8t 41j2rsEmo8z0mFQq5WCbrKTG6To/QMXjQRPxQZvhv9xp59Q9Z51heRpV4j2HGhxtU74OlbMt6SWQ xUHNtlM6gnp0VeOzhHPyI5yTF2AvtHVfUOU8qRhTVJYYUU2itqkxyOh/M6Nwuqp8v+odbIJQRSP+ Z46Bx6PK2TcsGJ2DSsdBzU+E9WD5EzYQOoUSRWPNcHIb7OO82Ko2QVF1mjZKZ3ORm5C/uAnphD+X xwo/gL0VDIDOJV2jK5wz6Aswo3Cavs7nSZKAOFj/pwAawIt+NTweJWSXmsC+CH6I+sxQSEKEZRkE ae2cLk4WR080WbyIxoGdQqE0HhuIlJywwfcYqead0nj4LlV8cl1HHZc6zctlNEUbjhmVp2V7CGch HzRD4G5MIcs3H4/gVO0rI6M4HrQ2bYIirAd5rL2Y6Jsnr9ICvpXFvrMVzmYUUA+m5lA39cH3GM6w iV8g80bOsGU6E1RFwkhmpDwfDuKM4unGsnTfDIg4GP+njy640PkwPP4vuBC7rEh8021wzJCDCNfy tLOVPQqPD93042q6LsAftcdCRQ82OKBL6sNFTQO4qdz0XGfnvFAfdl4oQ72KFRTSxmf8sccAZps+ aIIer9KSCx6PQsVvzVs6zJfkPdYVxdKZKev06n0SdXXBX9IrRGcxuq7QiqAeQxplYFnaYAuoIz2d U2AhTHSh+ORcLPHwQJg/vwS3Vwj1YM2Dqrk2WOX1BA/Mx9oJ+vH4vxiILEduMhbv/ISlaVTPWzGv RJS15l1Aw5hS7ewGA+StEOoxpVm6sw/eY+0gE0huO3UIMZlPQCYWD42qe0gvLFl8RlTzQCBUqPqn wY6gXUk0+QHoCY9HeDO/xUz8A/6fnmusUFIahcWeuqub9ZQc64GWpmE9SLjhBIVYDzJTcxOi08IS aUqPuugRh5z+HBWV0kwLEpAFx8/I+Zso3vAZUdVMxCgqLParsHgyoU6VDWjmDDweFT329y1WaSKQ vCq0Qg4ifXiyFaR7JSBADTK6OliDzJ3cVwia7sIhqq7Yn7oiHBJOED0VKe5a5EgyqcvL9v9TVwTo hp/xpwal0EL7SjulQXMBfOmzmvD4v9JV7ifEiLXdJRRKS69uxTIalC/sZhptjFJsqs5XHAaEWTED kSetTKE4+BnUajs/8GSguBrwK0pXiVPMy3Aeppkz9aqRgKDyVCrgSgiJgeI56YdW0Elg8Pi/4iBp vELh8SKFVhRIAyrTS1qu+E8zHd3yVAvjGVTQUtchHct5LAeR2eC3fD1xdSILep2/eI5RnkEVJhwd wTqwo+5pk+iOtCKgx+IKauhK2aAZ2oC9soF4dh4ej4Ae38JdE39lPzMUhdIoNqGc2Kx0v+rD3Liq Qo0WztxicSi9dDwXH24DkSbJ1t2A9tIipBNly4reNqriVhGilw4+I5gg+SM2ls5aa9Yeq+eabMz+ zgna8Hh0je2vOAivAeqiT1BIQUycIPoi4EJL9GcnnJ1sK1Ve2/R7PkRNU3wyLeVkzx4r4EMBc8BT GnS7Tm/EofR2AMi1b8mMpfsVRdJJKtPyaJmPR8v5eTtL7y01vuL7Hlspf51BjRbEzwqKGYiDjCjl XW2nbjq465Y+arpe5CuGeVRxfroPvsVA01+jSswDFAh5/YB536DYkKnmwQbr2epN7xoc0jqEmpLW NmwfY1qmdHDPBsDjQY9+3gnibJKXX+41FoXSi+YswpW3WxxCh7UNu/1xbRfTGlixOB5pUSyFaXio Cf1k8ySK1zNDaRMbRMWlE2CkH+gXeiQ0ht71j+7P2Z3pDiqaQapcOq078/Hgor9KwXkLm4Yf6ep5 7CiYhjNFL6U6IK92F83Z/XITSnEu/Q4piJKEycro883oAbKng1azY+ic4goWcaQr/LuxkNyjdxRN tywaPdMxGRVzinaHawdz0MHHI3pL+j6GABepj1r5ezQtUT6HA5NJ5ion3N2XHtWsC71DPQ9zsBNk qHwJU50D6kxwFxX63GBElg84CyMlyj+jef+ngCPCd40q9+ytwtnXBisrNokdklKIxyM991tW5HIh kf6WFXcIne6006rNg8Xs6m9werSEbOxp5IQdhdMjyQFRE9WeXTbOAsVtxtL0mapSZaJJMyX0YpGn u30dVjI+I5ihKVLCmj5YUajzIqAyVcbjEQXxG1uOPJAgUpugKJqGHeg5DbpVpjNp+xR/26s5zmP6 KbRjnIf4z9ppxI34KXRmf1f6kGQAytlTlRx3PfHEzyhfDMSiV40kKIlY3FSG4WBos3PqVwuQNx6P uBvf7BbKXpTrtLFj0PQild7ysSZzFvKjKJxKvYoKRXdNUIianur6rOKDw/EyyvZyjT/RdAOMWhOE e2TRJOeeQazc71DRQwqUkxqdHIy8ca4ZUSzPn8fj/8JSSdEVnZK9vS69Q3W8RlRw7UaQyt00YXJu FBuo7hGlGYpxHurPtzs4jAFLETvCpM3giowthgMH3dcfku+taEbNkx0VpuVl0+Qx1h6PMTh0SaPz BOl4PFIV+oUKPn+slVs02xHOA3dtpze9udVdit0gLFO4cvoWcoJCdTwx6VsuPtgSwuoZ8H1ge2yj 8s02Pak3Z5n+TGq/dRnWNr1rRGJNNGoBkezLyzSfO0wNXfYP938Qm2bRDGXfK+ixw2gabrXmPwIi vcALHTiPYiWPE5hvv8VCnAdlcPMQpGq4NiRQ5KsBN8mqK/rEhZHQIl0OxwJLAVxAhZ66+IwgYZVH 1NQZNB/iRk9DslQnL8PjkQyuLyDyWNg+RoHKJiiKppHp9VZ9izWfKgib8R9BkIKHlFxYdxxOc2qK /MbKlRoAgwwi5dpiJ93I0+xasVshlr5vqLjZ+tlhMM2WRmO/RoPVhEY2HeW0+HjEbPkGCi06E12h 6R0KTRdKCc3rUlusp4pq7/QjCAoAWkERyGPSZCwPiXmMK+ZxwttzzZStsvREzEh/KFbyK6SloOd4 9Seb3jUS8xDZgiUhDlpBkJNjBe3cigOPR/nqt+sPZafHZZDlFIXSOInBaHF3cegoGnVjJjfyqztb 9xB/KAoVGd4IM87Bpiihg5glNXDC5rnlmnASGFBFkCnjvnSsEIWX8CkBTZPb7KREHKg+rqwevCju M3iI8A/8F1QninutPNYSKSpP80jfWdVVnERToJhdl5Tuad+yl89SGFBTMWhL+NcEJLSQYG+8KpmI 6EZ39tLgwX7eEMKbmSaoVsAf2942Ul9CLE2UsQ1KXEuS+xXoFY3PB0nHRZ2ZeySu03YnKbRwGY1a FaZa0YQSpswiMRtqI+IOkjVACtHTprWgDHY+7gnnZj+ZWCpcS2gqZrpINcjZ8vDjRnXd8mpvG+lW SMSLCHyIy/2QIHdulFmV6ZxYa/EPBGFR/u6UMc+rF4OfUxRYQ8gYjI3pnY7tnQ5o0ditj5TKrZJC A0SJIxfxosvDiwaHoAN/RuHpE83sKmnuBEIizglCsTx93fa6wcVG2YG6KdxZt0uknwtgZhF3z1HB 56NStWcfVB8Auh2ZkM9SFF6D09xhmKRzqfPC4bm0wSsSa3MiT7AdF1WrRxJXYTYfPIU9xwd2IAlB o0DdSylshXTwuYb3vjpMm9LB+JCg4cENN/K4g5J8IAwoQI5cn8//iz4uiSvgpva9/nMKK9YTANu8 RLxrc5JhhleX4Ad+6szUQpMUVqy7ZkcKDHtcEGPG8pxNonkn3d9LCLR9jhGaNa30wIcYAOBDImKZ tJjYn+ZgtKmtPPyE6ydNw/Pvk1TTr9Y9K+W93DkKpT7OnMDpRqCPnpgrEejZcndLl0GnEFq6pCjM nlKqGnJTGFdMB2oGJ3mlj+7KcESRt/aJ3wBOHjT+8lOJhxk/JBKnJOCclkIaNEkN/uU8UubWS0Z1 /W/9V9Y6y+MtlWK1j0T0mW23up0OlKbDiUCdysOP7tjWhU2PoW88HgrnWYitgJdOW5cTrfSt5pD4 HPUsp+4Vo0LrSG7u92SE/UUKoHMoFvMAr8uWYT8P8fl/yeGzAIcgH9pIPklRtI2M6USTDtazFizB erKSxMkNEw0/uSPJD3DZSFJcPtgkAWoIgR4iieiLqagKeQm+3U/N11zcXI9TrEWNJdTy2D7o4F6Q 75RIZZt8PthurX/ntA2kzPoc3HH5OpM3ZaXHUpa7+/ZUfJISym82SVH9egh1Xowk/kzSObShHJ15 cKO7PLnmprC/MAHDGUUwUWG9lR8SlY7YhyXZRIO228nAmWL+AHvJ56O89nslyWfoVkZyaJeImv45 t00FBW17Nc9ODtUtsT0bpppidw7sElEIZx5EcJAGL40g66mo9JBy34vMxRfqeRn6PXO42V1JNIjO gV+ia+iB0eiDwR1gDW20Db1klLr9kkDD0bevt0LOUcgNkYDeVnFH0spzm353PXmBDUVWn6QIEkKk 0Cxc6RocUzRPHpllMo6Sy8rZ1hpOO2KK2u9+fs4hJoSJSVEBqdwCEvLMriBnjsrnI03YX1qMiM+e KnaOXROpADJsPlwHrVEBhBKW9GFfaIcxAghtE+lVCwW06YPttlrowyll6rPEZlIKQ5AG3BUgaHa5 Qsne9q92ERhBGrrObVwMXZBXFElyYJyofo1VSRgRoYJEIxWbpUhOD12scxtnySxDiehqL5NLIe3l 7KS8HHonDoJn0PjwwZbSCYMyQEZLcmhI56bD0zKs7Nq6bVkqY/BDov0GRFJmX1aDU4byplovrks+ /68QgP/lxsDqZm+hfSJgsW25cWvbzQh6oM27Ihr9nm2OQuZiUVNfde3p5pjwWciADpQh+dxzvjGj 7ZvmhTjOCZxwhNGwt42MBBhF4ujn4EtpnpiDsMqeYMEZOiiW+R1xU7zw8TPJgYGiGmJop5nXQpMo SCNSlm0jlkuATLb99g/LFxDCbLBZasDN9ESCcEYbUkXtAa0oWgeRaWSHEsVkcuChCA41IRC7bh/w IWfTFgVmWPJ8PGgc/Xae6pRguAF34KGIWgkKSbVtKwO4zxuNSh0k0tnmlddtiBJRNXYxx+fgsWSB bhNMrU80A5Q0mY6DBgktQ9g8gSriBL1irxsRP1iYzOUO1uXHp0h/sGw+/y81b9OaK0CKPEspCrkR DcnPFdF1R2HEoSKXan72TNo+TZH1S5fWRRE0lGoZNk3nNYr0eUEZhuQsZrKfBXau4bMamsQyJFdQ 7W2jozsRDZqrD9ZjO7tXjq7TXjKC7l+8EbsFmxCuG04GXoqIlJDXyksRp+g0svDeqnpvZtnbIFk5 8FKUiCLlKYsPlrzRpw4HFfMSmFxxvzUoxEJ0BjfDQ0Krw942EoYfEk2ZPliKu+B8yyhgZT4fJSbf 2RskF6lj55MUoq9hDVzo6kzC8E7OkRl5WNOtQQ/Rl1LsT67jaHcfbmIC6colwudEnZbQx8F6Dud2 3cRkNH/bSPCTVZJOGUMOVlEC00qltNn4fJCYlO9KN7ncT7Mth56KAHR15ozGzH+EvWmSLnQfYXWc pNBVcQkY2nrxwauTgNgXFmuhlgboOyUsISZZaVJJ5OR6wGs5tFVUy7ZOClfXeYWrZ58iCIIWzueD ScqemPAqQxFvj2eSwjp3Y6e2Sr+pd+Kl/78khRD8yy4jGGPF5tBZcQy72IoPjos4wcuZB4mBAYIq x66JA4bKw01tbqa47Lnl0Fkxq5kk6eF2pYdPkDqSkYHL5PNBbbJ884mIfKXYqk1ShB1BtaLT9pg4 2g1TI53cTcg1ioQARWWTFAbdclU0ZbknVKodUIDs8Jp8LgE5DdAKC+C22ZKdSSXXZG8bld0yKbHc bhuVo6kWYwLllktpr80/EEXdfiiJ8MDy6E1NAn9FNBEAJxNnhkg/YzzQgNIRNo1pp/ZbiMeWoFyu ywfvmVQeTKhPrXPmTaAkEAbgFIE22AlZxkP/LNVeN0AAiNYIcQoOyftowBawplSQ5YYWi7l6uUSz SwXQe3SHHotK4OhGxgRumgQougHNbU8gr+y29yEqm2F3phS8Bq9zI601sQdACNlFR8MAB3QhOtA3 XMnUTsuxyyLF02onVouDUpOTX+Qp2HrKfD4iovupNCwIgPbdnaSozI3kqUPQwApv1digSHKvz+Js 3sbNodHiIj82LTNWrk9NqZUTWw9joqeBxhALb0gxkSvg/xlBTRKOOXRaZKh5dghthjh4/tZ1dJfz Q/D5YCWVC+6X7aCIyXeWorgbhdozNQ7ObtIuQhRAh0WT1a/LCyaB2yLQAOp2M6CUT7tykwo95TRU 6D5xJbwXsZRILmdRtl+51L2mvW2E8N/k3YCGpEFRAI1YCZg4q5bPR2H3hdxQAgu/TXqalKHhInKm thwI0KyWREwJyVlVHUAvTwaGixcyYUbv3SXOTpp7breOyeEkna2dm9IYOr7iXKEFikA3edjLRs23 V6W5NFqxptBZGXw+yHIvYZZRESrTczwrKQq6wVft1NVVljtMBRywklYdVgImkbLcwHNRLVLy0bcP l0oDaeWF2Zh7r0pXHVgw44BAeSZz/1lfadnbBhfcYhsgKVRKHtonknQEIK2Nz0eHkseT7P/Cpaa1 y6bJge8iDGZwKrHmJQFwM/FEvdUki9GixHfULP0Dqd2MNvJUuhlmU9gUtYAT33RecCcbQnnwpOKk qk/db7Xb2wZLicIGA+QdG6yK2yACgdMYEuA5tF58ADgCEGxqhvskhdaL6Eietzb+VW9ltZ+rndqd MbLu/RZ6Lw6JiGTR1/K699u5h2oBZYGCYXBNIqlmkQoHRSEkud9Q7Rx6L/JMP5EXYgANVsSlvTXn aEw+/19UrtHZKo/ZRw7cFwst93AkmW/VmmkY1DZVq3mX3W+hO3BfRH9K1D5yHzk41ra2E5lCI4JA SQAmqqh9SDAbTVEu/Xp0e9lA0IiXYcok0XIwLCmEj4dyDb1kBCbtX7sNAW2h16XNUYjXRswNhpmy t0YRb62jerO3RhUYRpOhBePI6kzSapqDFwJwIJ37gA3KE9AUqRSf+GlQ8Y7qSRfTrl53aMI4hWbP YkBmZ0Ciw52J7GojDT7/r1lShxKoiX6rJbENI+QLy7SiJMxYrpzIqMmab7WV6UspNo4xVaPsgx/c C/1K6pqd4KjhguMsIdJuYOMP9nOrjD+avW0QTYq7lpS+JU/fWjm7TNphNeslI0CAH9yy/kDN7QrN 5j+cGCe9Y7oBcBici+Y3zVDmKook/aE/argnb9PVNR/dnlJPWJqqac3CI53VkYpUBS0rwnpvTSkv e92/wFwUy7ZBNaUCmJIED9DHDfwY05d0D54kHrGVZ5aimBtrE+eyKpFscBgAp1Bl0PoB2VT6cmjI qKW0k4Cjj2ElTKxQn8yCvM3NBB5UrQIw19nfMAGxIi7RIvyQv263RZUTDdpvpZdulGyUSwJLRsGN vgpvuOv61aHLoSfjZCWAEB8spTzv7XaPqpOv1mcpRdgSmGlT8nr44EvpnNXnTF6CTayzpTJhE6jN s3hMa3RrB6xub/uX3OPZttsHW0mgecnKiodSCOK+3rkSnR38Fe8kheLXnXGS9wPqvqTR0dzAuzWk DjZJMbbEbKezDx4nnf97zp5GwBuOaXa3R0Wh9XylirpZuvstLXvdYL8l2qtkCYxkFxipexvs/SQm nc9H4eS3MyP8D0t9yiWhNeNsFBZp2YC4SIJUVAKYS1vvHLC9+H4LY25ZT5e5ffB2NxCqBbKVOLoL ohoWlSYrOksukl6e7M3eNpI1ZHJLHyENBlPa4Ggzt1+LzwfR5N1vEhylrUR6NlxY6QbgPSMWLNxw gm6jXILM9qeSP7qofaILLoy5hVNurH1wsDCgA/OWULI9IfXAjiAvCdqkdO39oWSoB5QkJuXAoDGZ fOgagrzdYKMywuBaOoEEnw/CgPkdLBWkt7Vc6ERo0dgJL2kGJTkJxdZdt9cwh2agsZYjTAOLRoQT QgPIlDn7qQriegO9HA3zEzRlGDKLgoIzAaotpV3s5CCPPQcWjX50b0AAbTDqDUyY5DeEpRR4NH6L GyrHnb9y3NCkcZKpPZoV3obT/tEOuN4yvVSvKYU2jZKhLdRV0+DcCbhDwdib8JLOgi5Pd8QFaJJC ltOyN3l658CnMdskVSLMNWiSzltL67mcS53PB/dbuyhclidR1p9XwC+HVo3Am53QrjuHS5a6zHFH d4IJBGcsxw28GlFEFtZN/e799LvLWdWp02FqkejGrYXcBIcn+v9XVKNkVd5Cr8ZKwnYTCadBRZ75 RKYtNvfbOWMW/0Akc+jTROA7oonVnlmKwu5KQ8uyL5nUgYFppmn/2PKeXlSK/RqHpW7FB++/rTP3 Z2+oiDs35ke0bsiGnzi03XIJ63X8jKizRG8ZQMfKl60o4J1NKeoAciI0bLSd4KkJ4NXpCZUCx8bM YPncMjNbI7cm2c2cJHdaHQD3kzdyQ8fGoUiJ4ngavPu2IR7Xdb2BUyRlQ8rUUbR3l0fHr2172b/E IAFo8sHOpGn13PNLVD4fraP669xmnnMjpdC1EQFwF2ZRKlo9eWZCYT2lb2l4YymwbUQfl7qgKqpx 8GIJOhudqssLhJNVKZhZcR83FHUg83chb8veNiAsVSr2bsYAHCwGqJu647BMq3z+/eBevyoBpLes dStKgXVjpkUN6kiusL6695VWH8MrAQ3LW5MUxdyqKCVaEmhwyNtZnbM1Ch4W8Ny7lHv3AmMJyTOv O+PizGSvGywlVgIgSe6DBd0N9hHMW6uej4BK3n2bQuGcgLTPB/QWGjii3APUpMNwGO+r372LtwM6 rwTNU4QvqevyJn/RJ+s6fwhNb9FLK+yGSAagaVqGUQDD8C/NsRx4OCoBA7BEWFNyiLTjzl4VeW2i SRmYOP4vfhI2Duc89pP78xIozfOR1FXu8lzkhhNzmxtuZRcXrYCs8uB+iZPOn0nEPENWg3dcubcz oPOAuTN+h4x4nYucks1IjkpUlA8oWki43F6iJL5pkkNzkitGcuG3czNPyW6giPp5iZH4glvCsWfB d4uRWA3Evzg/SjQ5nfztLbkooAKKYwFWc2rgHO4h+xIf8bO7pGkXfS44+Fl00tymTitoSmtRk2xM 2jpTQidRiSt/od1fZweXAlbPoMaTBq0eKA4wlzh59ImzX2dHcHzNjsqPQKZR+4XT8xIa8SmY5nXs YyVsuVONdpNWv5ajAOjNjul5CYy0dhQ3CihRHqAEMjKohnN68D+0LwFQCTHWInl6XYwbIdwvYRHf lIahUOOaPtiNDxCeOey2z0tMpLk1+HaRdSNS2USIOybnJSJ6NpbOVBQi05jTIqK0TJUk13b9dV/i If6ZwbSitGfw2HoCMSJv1BNWo9/Gfi0EECsInW08Dgbs/L+EQ/wIGfHQVhsDUrmqnXWyO95p/dww n5doyDbJ9+yQrEeRW87OSyykh1YiUdJmp65uZ03aVy0Tov2mX/MSCmln7f66s1qBm99S5lELgmrC 3ibQyBXEKRliamNRTfQlENKxoyrIfAZPYNNQHbufgPolDNLTJl6Dpa0jCh/fbHZeoiBtRxrrOsO/ 08jDitjXm7lNLCO5fgazM5ldibCuwdcO6mawRqMK24mxpLU6pTKOTlTqrpVZ2HP9vIRAfNXFc6ft uX0w+gg0XFnDKH1/XgIgLYPqa4dANnxou2vnJfzRUZ7JzzKEX68tO51tyB4V1vAFPGr5FoSzwy1V dfrU76z1JMV7KYw+UVYFuomiGtTTyD8rPVkreVovkY92Fjq2EFhtPljk4w2FtvL4vMQ9dmgNnxzm GY04gWWz8xL06FBm1Trb3d1AOBex5gFCnmzJMUcvEY+dyaTVdKVh/THUbYAY4arG0oGAU6JG5kAC hI7OD2mmXo2lTG9wY/H6PamFqh7ZT7aC2gUT+pPk5c9LtGMvWL9WDlWMpUGLuXmpMGpfNRJqrmLN LvfUac3KQrh1XHstOJMNaFTUZSy3ywiFmhMpkWR64gP6n7K+iF5tL/LBuFnqJr7/pbyo2WEvP8v8 K1/u1/kGSySnQgHRYHZcus9mB/uKOBTOzktlUas1DzJpzGu4SuUIlY6ifmxlpWNbKPhSV9Rny6MA 9RobHBYCqZEMijzW0NkQs5iKOrwc0JrtQiXp1KGsRXQos8sxClXUOdjaOcdalU8MJMXCG2t9nzqQ bEEBwWLBKFJGstRb6w4JSR4en6xrOtih9UuhiULlLsOvzOo0B7+zNswu1KNGMtk3y/wDsnn0gSG4 z5U0xEGMVg8y0pmTLLuSc5lOMLYWa5iNqJkoWF5zfV/p2Oxz+aX1RlfTj8ImflrK3WH8bifPFGSd Tfx9mxxxtJxfS64wBz2hcaKWT+2pVompNkBC+qR1F+od6rwSCv55I6vZyaxaK+0KOGiCUiWWFSDP yseDo9loWFpB1E4mjEsT9B4vn4sLugdNbSCaeVuZA1bVy+WgenJ3xjemmv4Ot4lE0TXcdDQD9LGH aNhrSPJ6S/4VisXZOY9nCoVOCyaoMyiEEJQPfjobvuhc1IDMRnGPnBPxFVgHAT91j5tvRUFzJSPE BeXPD5vTnaHkMEd2aqx7H5zQvWwdzcsHX0MpAxczCCumExEhDtSko65tL1cDe2YhHKKMi10yuIr5 YFCZ3RZrgOdQQWcjOoSGhz4s0Dc5cd1N9h44yyS2y7QXMzSS4xrRuvcC2Sz3FHqPnLO5xAIv4oNm CKD6XuuiWA1ofVk0tkyxkE6SCEoa9GzCBuS7RqeQFKEImeVgjBmkvtwkZ6l+3ghqdh/9OoXosy5Z Os5QFDxT0WZL3Aio62Xh4Tl6TJflRGIwh1fR5z14liV4PYudfBAOfsmP1UAg1yY7RyqP6ZOVEusM tMBzTFslOgqeIXB87lYIhdpgPQ0wi5lrnpjz88ZO4+Mu22fkf9zwjVwuTVAYP8N9kL1iykGUWs3s K4FApvi5Kh3kDEUBtKQxy2KJgoNfZAmaw0B7oqyBzJQ0tg1sUmU7eD/+jGI5RhE0UYhjSTt0Xe3Q cuY3EZULeOHnjZmmJfTc9AyEQO7a3UPoN2Ka9uYE82MWU1clFMP69d3xaA39P7vKoiia1T8QWLsP F7EHnN5aakTvExPRennUpjIatvMVCh8UMX7jpWmhMo6ek7BGDrbLqtFzz08zP2+0NLtum02RXInB sax3Db1H0rjKBnuH1UqrczvDcQ9jqmWkAy4HFYXSKj0DZeCDr6ETSEzKcQDTuHYi9GEm9MkLvFLY 7Daz6tH0ru8TRNf1k9yySs/BDurz5zlbtUOaJoql13PXc5ux31S8NvbGSLM8bLOwen10sxfHWmEM Cd7eWsu05t8IabaGeNnTZ12DzdCCcNOc0nkuyH4YLi5KNUB0dK5rmMKr4fPGR7t30UktydbQoHOo IqLgxiGWIYqnDddr5xDupjyJ92DxMIqnUZ86mWTT1gJsouicXtPdByvNEDk/b1w0W78qATFczOvp 0J/IEb4tmh+s0CkBCGj2VOpF7OuXQk2wzxsV7QZuBf49xQetoF3AMP2RuMLnjYn2ko3B7E0wTc1P FE5DZuJssep4zzUcWbV7ul0e+iKyuvoeTyOSYwmZSEsN3uSBPRtYSSzNn/RGvE8YjP3gDMcxWx+2 nr1scJPRCaROVoM46JyeJ94XZGi2+XmjoWktFA8Xm9UQS51+CL2x0LRsqfHcDUQNRTHzcEDvwpHV EwqfvMjeSGiWz3OG2AjU4Mf0uRwHZTrPDOW9emY7h2p04E2Ar/80C6lr9EZCs2CIfeVETsy4IInc S7YS9EnuP28UNAuo51cwVFgG6p7RvzHQFE+j8FBTlr4abLmei6xZ0/DkBMN6zm8ENAtUpI2h0zrf RiH8DCFwq/bOGCA2ktqBCJtRZZHQX5eiQdLLBmsIucYJNNmdz4+AKBzDtYZS5uNRVv+dk4k30u4M RfE01rUDhaj5YCZpEHm2m16nEMPpN+7ZVyG6FII7SnnAHShygDIijTU4QJX0Ywq9JDDkVK401iDb 8417ZlUPWaP15oNBqk+CJyvmkebnjXqmu3an72MI+zrfhOONeaYl1CXWZ7VonKnWx4CWu6dkmEbN UFSM7gIJNfJhyqXPnxmCilSlNwTP6UqEDBBpFTVPfObwUr38HN54ZxZNELg4SGSsw5ERJ1WdNQts P9fnjXZmq/xOEC8txA1t3iX0Hk+j04O0vk2T6an0DWdKluWSRmu0eWfoPZ5G4sIZQtxsgx9DJ5E/ wQprrrADaVQIP3FfZc0V1hH5KQwtvev7KSTPlMn0R4PO6ZHaltnAibY+b5QzfVWlSwQso/BBg8hx +4RhPE0R2uR4c9SPbQ0tpg2aIYKNeJW9x9MAPuAYguWpD5a0NtoAwwUIcPNzhCfJQk2I9my0X8v+ +XJu4mcEMyT/wcSlBD6EmzGfG6ASMHwuyP15I5xZFcwve8r5jAtY5AxFlWlgwD0YGgyGRM0/x5C7 ypwLqBii441tZlVjJByVDigaPKtv9RzJlRpG5RytFehpYD46wblFOnkqncld741sZsGiKEI5+WDh dDcbG4phvXHNrPNXvucHBTgEYjY/UTQN/gcWyLDa9MiuX9T4ulKdad4ReyOaaY8RXtZKuYP3miGp m6h2cSJoosx56hRQpeB02bnndEzjsc8bz8z2GFXYqMigQdF0hhyyXGXy+rzRzOxG+p3Vn680blL/ xjLTAuqL0+ICGHn4Tb9lKUfqK7TE1G6Ow2nODNVjNNgKOmFWqwW/F8BlA6JFU01XrCn8gvtR5Zn2 rlE3nkgOXdEcrOzRQBRhKDTy541jZuF0/gqFKIvab+vnjWJmOT0KZ8l7P73O5qe0HD5lCJKrTVBc nsbG6Yk5PYe7xc7lAotMIILP5iNw4exCaoQjgEAlqRvhxV41mB/qFo42tg8WKp5TgrnH2bD988Yv 0zdN3+2NUoS+9fmJgmkyKXLxjjylF3RGw0TC8ApQdNEOe2OXGRII8wOTVh9sh+2Nhv5sQnMkJATq 2qOShswfNgC3btb0rkE+VtnXEAMvPww8aPFogs4p/3mjlv3PEe38hEyIpCYoiqVxNvQsNCsUFrWA cARllWNR66bVtyboPZbW8q1IT6sPtoAWRK8GpOfBdV1nOanPCCJ+AVIa4aKLqFLy4o1XZr1t4l1S J1MRLRN+rQwpcrUPZ8rl88Yrs5qHt54FHYIsyC0KvdHKbl/iBKLm2n2CxumA8kGhAYnMrWL9jTdW mX4fOnkhY/fBr3moFbPARK15oOR40bFihgxpPiUhe9MoGSMWUX7eHC661cwu+pyfN0LZ/0TSPIEa sqjlhek3PpndC0jot8FbwGS29k/O5Urxw5nTpieqTKepvs9cPvgJNCqg9V1CTueu2eQBc5YKFial 6ZdK95SZf2OT6Z5cKpbRsoCD7bC1kww6R6+fNzKZVkKa33Fio7dI9VzjjUxmbZtJExCxo84/Xd00 ksgN2QFhQdaE3rhktsWamAjdhwua2oCmS4K3Q+SAitcDtCaIYeKQvu56xDl/3rhk08GBqNqX5IOV PE5Gx2sT3nSfNyrZPcOeQ0jRxvKi0BuTzJBBmdr7kk5vtJoUuoPMLPVYaVnMSywsTHMJ5SFPIip7 /kzm8+VkX5WwapD2FvcGBNNw3QGoVxJhZsrnR9K7Bj1W7q5dWPiAp6Fs72HQOCnH8QOq+ueNRmaB 5vi6xxaV7dKdoTCSPsfPyQdsNnpZrgR2AtTm93yT/2Di3wlyDVaDauNZxOFGiicFg8oxQ2nSrpiv wncNRcefMR6FYkaKbxwyO4ZYLls8jSqA5ywcAMUwGxPWcy/x+WANPaVpLCOI5hGqa1MUBdNn1Zxw lkAJ1c3auLhoZyK0RXMFTlFUmp7yqN4qDm1vok/oroDIIJ3LEzOU2eX8hRMV/Rf05HwR0XXnjT9m lUGKNhRpExVP6UF6V6wIv6vPG33MXnF8ndRAYEF6y8GJYTANx/oqzQ9caZnsnkT9xu7djUZ0BdGJ YTC91NYod7hVoQZvOuWrq5dNr6YBpNBJ/mb6VXqlCsPnjT2mIyHxkkedBgO5zsR6oOxPHdBc5+eN PKZd2tb3Gqqs2WWv3r+Rx6xmOgHQojNTAzwFqeX5p03yckHgCCjvku7+G3VMv88KqkIneUVDVP6D bZ6EXKJoHQafhQs43x5is1eNdhl7iNzyGqwqBKM0ls1OWP55I47dooPVpiWHfZZPW3eCwngaVIlK 5xEyona+m4xwE1mB4G5iSv9GG7O4XMoxDKvzpQWgM19g3CITyxPkZXZVcVRPqFlUKtc7Ahg0Sr5s BAHuvNHb9sHarL1KkPDM0Pq8kcascPbdqYfBKay2fIbeA2oIf1GgYRs9oxBlaD3E5bc9SRs8ht4o Y7bLcHdWdkw1WDyE7nyDx6mOobV0uS+Yu1S4QDLCdIO9oXcNLnt2gIbMdzjoGILCOEOcc3qXzxtj zGKF9H3ZE5Z1c/o3wpiVokC4VTlRjvD5cjPaxXpMt497I4x9taHrtnx0e2ERRxh8XHnbJ4jZ1H1h nxmixEgKLWcle/DzxhebDlZFvUyGO9saueeCkvnl+YATUL+xxaz0/83O4CwT0qn5iUrTQFygf6WN dcKi6gsoyTKWLcTp9Iw3rtiFYQDjwROFw11AJ66jGBegHhMrZwoLU4gAR0fYvb8IFnpjiml6Bg/p 2e+geHpBB5pn0AkfPm9EMQsWbwdRTKCMM2j7BIVIj45MnjpkzOm3yeqcnJ7qm8zpF5DOmqA4nmau qgZZexpkUMA6k155j8FMBN6h7BChfALJvsKUdYiE0PSuEZyKYWJe2wfNEBIy+Qeenfx5I4npBKv1 6wjC6VPBSbUJisLpnIQy8+bGl42uKFFNmjqWsb4RxL7i6Sa8WXvwZjikT/q+KF6R4BlDp4aTr9K7 4fz32KPXCUSc/Rs9zFIqZmRl3MF69Kir8PudH+Pzxg6bv8FU6tFj7WwPplsYTG+K67tsPHi9P+Ye l5eXXce+J9DfiOm6iZjm4EUhVG0hOsSaxz5hNlH5WW1SxCL7pqxwu+O7Bgtos1y2nsFS1pklAYED Do8HkeJvQDl+ctLTNEFhKJ3pt2bU1F69gn9+l3yZGiRtaIKiunSScBU18zVY7wfmgGcH85Y/99mJ z5mxzsXkCvCYvO4hNDRDUSwNslCBtUH1waoemToqpKvy8Qhu5ktIRWzqe/ot36NYulPwmm92lhDs yEU13Et0sULrOlc/6++hNGp23GHsJmvwM/rELoMQICh77ZO2KVLCqV1AtanMNRRJs2rWQ4bhss5G 9kHzA/FqGSyeJYnHI1Bw/h1JY4sPP6R7FEkPkn1WsrpiG5eUgEjM9hgLzZqhCOfB7G9WWerUx1Kn 912Rkk22NgbYG9bakHjF/CFb1aQqiAruUWW6SiIedCEbeMtnij0pe5h4Orjlf+GEULgCH8DnJy5M A+daDG0PySQXq8pj+BZbrDLgEutRYZrWCrOIi1U8FhPK49ztiM+JCV6FRJ+BUiPCL4Dx9l1CqMny Q/7CmrVJLm+j+jVLhGcRVlIaztduBc8HVMN5G6xJrwctxnypmD2kG1Yws7chYKCcm710JmnBRAH0 ZnCqHgXTU8qnxEZp8Kv+3F/nAcKpTsiChYTguTWq6ANHQJkKw5sle9kgGtrkRbFRpsGS+rlkbgwv Szwe8TF/NTgQDKWLN+sR5RAqNigmD/rDteW+A7svN0ErCxCiinysh8G0aotyPedgEzThJT2pMApp IdDByf0ubKWdG0h0BSlUsLTYo/I0mH0wYxLsDKfAYDrW4CLJlP6sUjweXGUXWp614qBQf+k/PQyn J+plrXiXvvTqgDMJDDap5xqcqoe0QzUGtxxqd7s4hoazjeLd8PY6i2iQWDfgewYb7J9JeauuBkfW uwY5fSuiY1YflLBCy5H9Agji4/F/bjMGBmzYer7Rw/L0QDSEkiuozXBuHSYItzr/JW09RjKn2B6H 0zLRFTDvkV1oIAYiMUQ4ff7D3FAoQkpfKCd11mq7hues++MzgpOaoPuxZDGwLi9zza4C/knrJh6P 4GY+QZgMgk/ruofQezgNkj0d4UE6kIce62asRPBFWPOgFKr2WBRObwXJhI1r8LrZuWhgND+FLD/5 6+iSysFWg+8akebi17E63aPqdKVGNUGhNqgqdOJsqZ3UE8Hh8Sij/7WCOvUe7haLYNNwmTy/aRL+ 99wPWQr6e25j0YOciUXNBRTBPIR5LazNn4Hhe2U4DcI88ImkkKEWkngm4+g501Z/xr5mlR3ZJj4j QnSSK1+oTp2Kq1OjSrMEKz8XJB6PFtD+ysdyovDeM0FhPA0NONBPrGqm4oeU8kZzGAP9fhgMRaVp 9KlRUBQsr10bj5OxnqAhL9UVJ7JW0lnmJD8cW5bIPHVZedjiQ94PoS5tM7ZHNRjyHoBCLoFzFuDx YAm1bzwe2siyfzD2cxRQT3Ck6t5GTqhPo35RFtWo88kgiyMqTuumb4QlaPB4cZaVswGnz9yNKjbV 5LJiSWH+XNOcrHf9qwU0CPDR4GUzKqWybJbxeBBR34usypi4oSzlEeOIImqW7zs5TYiF+nBdCtTN tv4RuGCTXhhhcZozVASg5uB1s0aOMj1hiTxLUollGgbxt3EVu/KiI9wIiYhZtA1mrYZzVSd6uwDQ 5uNRJ9o7QJIbAr/lFhZHCJzOlOocw7kJ1YPFNOmaS+GO4bDgEdWm6VOHMtnQgFeHrNpJCwusQKQA f9ZWpdb/OapoJoAQPd8uIl5m4DMCTGcX9j4NDWZzVlBcYZrcz//B4xED6DYRBW+coBn7VT9CsAdc ztKsZlGdiNjhJss1NaucUTpImywKp8HDQL4qKIP0tDlDHRchBHFZ+BhnFdGluUKRMuOC6+m56Scm KMR6ENK5x7yD1z3oB47Zmw2P/xcJhiHG8F1BUTCNKkSHBJgdQv1aeE8XXYRrlMsGjSianoZ5ncUH j6Yzfteh2j1AQrVLpxIFHMrvokHqDSAanY+oNq1TCJVoMjUhPijNzYL+P+YLRRY8/881xOlEYeHC PUYYTlNekU7SuMp6Xc3ZCW1tZyew0MVNFoXTSyQyYjmm+R8awSVBypyOHXRIampE0w8KGJr2nEIU QMNnBJuMqoqjKVq8uFHAjKYpUJ5ocUTAadfqtpsMSzVNrwyNEDctT8qV7K6n0Kzuegme0LMLJ7Ym KMJNE3g4IZvkg5eGKnCviTInJYGAqpQElfsCKUqVziSRQ2T5CJU8iDirAKDaoAk6sbl0VWDpiceD aGj9atSjUnQSLcfDjKg8Da4AeD9u/UYsKShSk5x8pB5lkwzHCYrCaSVkZ9eov8GDMAuSh3Qs0TgA UV1XJWizCYyVBjXIayJ4/jw+I4qFmIlTNV+DTiEYgIqestbG4xHWI3+H00BlzEuoH2E4PannQa6P 8MHTVtBiu0oCb3sbT3OE8bT69Fld6Hy70BVcKBSomdOXvRsuMFz0zMyBP6IKss7p3ateNgioKaVY iXweFYRzB5a3M106fPfC80FWX79hr+CplP7EQmFAXSlctjwWaqt6LNRm8lio4mfjGooL1Gy3D1mb XmrFBCSn50E5tAWBZfJrWVND0R5TjgaXlfA3wR4j5CHKmqOzichBORmq4CyeoXCHx6O6x/gKhnCm URtIMzTDAjWImnVZXejE0/ynQrODZgaLDVJtSspmFE8vVoSqyAn1i5wAZ9cTL05eZaCPwz0N6BKC pmeS5oBhzphxzBDrUYjr5O+uwbL6zC4eqvKt4fFggtr8DoZEJLr0jRnF07hlz51A41t4daO7i7k6 R15l1ZWVM7TmsIRmWKAWhRXZtQ2ek2UoCXSiBUtnz4OVMpwibM6Ri2/1V9RT8Rl/iATOxIKpBk3Q hrOVTVDB4/8lqx/oV5e7x2YUTgMl3/vahr1vcjCbusgMldc6QZCcoJiHyAp+Ep6BfW3bYxW2n50V 6hMBgIhCIMPiEj8nkFB6orJScmCGBWqi8RqVjzVoiwGKJ7v3vSoejybol7AQkW79brEomkYA1cse rruUl4tWyJ9IbdbksMUZFqcFZMgEDXHwwhk09c4uoL0LRLOXrCnRcy7A2UoJV7VpshBnjPRgJkb1 VA22xc5Nzy94fouCx4NO/fqlOADt0lraFe2K4mnAu9moUTw9U3f/0jWd6tImjdC4hKJ4elTusaWb bH2z7E78u2kRj0YrSou8yVCyY7AIeVJPOPDz4DMi1QpquTME1mB77My0DqHSKx4P2ojtWy+QKsb5 SjLMuDiNNiKNdskWx5HAytlurupaK6w1dEhHUA9V7/OWHdd+RN/q+eeKgJpn0F4D5vEIJjktJ48B scAZZLS+mVEwbUi8BEkpDTY/UPrm/JyLCo9HlTNfQeRqoJVS07h7LMJ6oMN6cnozm+zQerJYiKSd JF+ARFBn59+JMlb2D9nM0eAZGWyGdpU7UIUXoRTv2Y0BEhWeaVc42d41qi1KfYlFQQ7W/wFcl4oM czU8HkEW/RCaumFPPnZT+hmSENOgapcB8kBG8WBROpQE5HU35Z6hNF7jBFV2ouvFygGwC1AZ0W9n iwHJwPMccoIwMU4/xHSaxxQtOGZUnC4smHV6jWvQBOVhRul1bT4eTFBq36c09isAJzZBEdiDruW9 lmL9n5WLw810jeGUHpxuTlAUTbtyjkiI6ZIQIdk4IFGOqlA6ayhNMnuTJAAraTu3Q0YS4oyC6UZN 6ZlM2qNfCtlKXR3AnBse/xdaiChrAubTxVPNkIU4UDfL2WaoyLCMVY/k2PLzy7AV3fl3ovq96Idj +WChYqKIIDbpeSXUgpLpMAJCTjBDvZoVY9m7RpEQ3SUxGzZohhpObFJczpfF4xEc5rs4jdilgvmt CVpRLA1juLZLMa87uDRyj50od1iBqJIxyFtsRWAP9PXR1Ft38FO6I2tRITrDhJ2GZCgLUiYx/0jw 7Etve4Wl6UL6BmD+NtgZBEAJJfLOdsbj/yIhYm8SIJAvGGZFkbRsJeuwa77P7poeQ+EjNT3yMpbm CkNpI9MzHiqXITgrAFWdxVJM0NkPW/6/hEcAM4Tz2yvTWOf4jAgTTI4UQTEaNEHnOsniSO1d8Xi0 xX5l9Djx+wWcrSiUpn1br9UpZNPrinCw8EiRur6aoBDsIaxrEokjEZzSeItBGWxkwoXOLbbIywd8 Gmcxzh/mHg9TvOEzoqKZwMBUZeCgHTahkalyPCQ641Da4yCC00gFyZ6LrSiURh8fkrfLimZtXUWP bP8SBXdXWVwhaFq9w6a6PJv+2mINsdBOlNiGtn0hWho1oawV9LiRiDiEz/iDZQecUfXBakKgpsvg Nm88Hh1B3z1okPtLbfcIiiJpxC9tydonmbstXTakdkBMcGpOIVsxB1FIX2m8zgexCLGTQe0vWm6j X0ztZMKkFxAC80s0Z+pVg5rZosy6qcCl5CqmZxN0ScKcgBSPR2HQ7xY0NB4vP2pFgfQ5EnBzuY1k qekK/ssUgYL/1f3tV6znwRBaQSAHv+UnaBWTcDOYVEAZB5nioOR3p9qui+YUfml8yF9AmM5OlAYL pa0zzJYtHo+AQre3QbkK3PAP4mxFoTQ8ODoxTdxjg57gom6Ma9fWIaCjPRaG0vSmLeIncPA9BjQ8 /bRI/zn/KVHMbHFSzn+PzCpVhAjUxmcEMwSAAyzNi4Zs7B+YLbC+CJ88PB5dY9/8qIKPWZfbsqJQ ujDah8UKdtaJc1typ7aE6obVXe8aivXxptrzd7A1tCaazoA84xA6/1TJ1FiFSk2bIIpbMmPlfkWh dKJ55JJa57pYktI6SiyqCPEV3zcZJtaWEF1/ubkvPWrFLMQJFY/peh7NtXDXcDukKnYThabDwvQw HdPkg28yyFWUvQX0SADJELMISlmj0jQFPdhhLVBL5rsGwGlB7uGdawM/pKHuWnS0rIrHoyb9dwsa SkeIN32ColAaItwgQ9tFf7IOpyacm+kaAKABohmK1abp9TepRcnB9hjUiVpif5xq0yB24BhC+tER Vm/gXywSGkPv+ieKQXb249rZn4AvFe/n8PFoCeXvZAMat61cWaEdxdKDiM7aLVZkF8K4CdSXVYt1 eIt1hyTEbSzN5oMH03CvHU108bMIapUSFYDPlY2cp+Qh3+gdBdNNeNeZug9aQgl7jF86DT4eFM0u EkbLhamyd8f2ezANUgxD6Nl/3OrXdhZASpceRX0STlCs6KHO6rrDheNBvQtoF5Khz3nE7GLCvp41 TBojmh0y0nJ8RlATEn9szzvoFJro38mRpvEVg4vs8ul5ZwEzQnszTVBMQQRWZxklAXvMS0Iwe7Z0 bLBiyQmKkdPKw9IdfAVhM8jycMHcDX03+fuZGDfIyO5cB9Y/PuOvolkBk8IGS8dgH8QviFhox5Ie v0RzcCTNK7G4QwYiEN4n7DFFhkKuI8TfNgG6AnmMZmfQ/hvkUSksqcHPoLEaGuhsH0LKYnb53NMe 6vymUP67BER71YD+I7WBIn/24oc0ynxb/ePzRfD4f5IKprPgNdrYIcpjszVm8i8Q5r5QM3KOqFhR ezPFih0TEFmOripO13qTjZFP9EOvK3Z+ICBIiRP2SqAdQ+trO4JYt9+xpActD8usPhh5A15fSTus 4vEIwvDdXS34Y3t7Pr9jcTwKBROZSH5UN8UTUAZcr3zit9MEhRgPaSjvfAcvCC1Ix3VrQDc4VnIF oVZXlgxJbsls2LsGgVBRt6/ewXYYzlp13dPC45H02y/Je2RZX5dYhPGA/w1ZuHaJLUN7nMX/qDGU ni0Q2qE2npkmmA7lg7pP51tDZrtIG+/858peIYuuCMAnld+6vGqb3vUvjua5tLIPOqNBrWY6xd7h jrWmf1fMzj/36yqxQ0GPgmB2dMVBjQaXpEfN3I3cUna78/MPiEfXSXSpS7OdRGOck58SpmAnlJ3V GcP1AeB9787aKLTTxWcEcWJmuipTGw62gE4W7AS7gceDVOOxsyH6CqkdXQY0QWFVOskL2lxq23ZL ACLcLgURWAwk9DvGTAv9IiZiegAMwEsh6t3yFz9ZGdEgCVzfTPGuGyduNn52KOdBXbNeRKYvV9fs 3Fy1KwpafPyftBZ25wlWKt742VEkjVTjRMHTJqiTwkWOZqbVvGSFIPepJRQhPCiPPGtdd3B+1IZa 1xBHs5/Um2rd4ySmaB1ii9Urrjhb07v+peaxUPqyQUuoQUOWKPacBh7/L0LKlJ0eN50XYeo9DkKu wQVLY/ELnjo/RzGfuoZw2KS4UxhKq6s8GAhx0BSVDWNDys3CmmQvfAaSjcTGN8q4gBE4TojSS/iU gKZZCHWtAr6SiaqcvlrTil+BfyCCdPpl35IQslv25j5RUXkajYGTZqjDA7wZRT0qaCd0XcdLpNSy z1MYUbO4mLPkhfKT189zIsKQgfJCJ4WleilIZAtmeUB9jXl179l04qcEnXoG05v8Lw1KOsrZucTi t/OH+XyUdXzfaACWFpJGbZLeo2roMxIyXVS5P8HPuKrT2bVxYUVpQoI5hehpzZLJ2/Z2w+rEaqkA +CcpAytzUKXqLBwwQX/BPQh9pS5SAGcgD6g2GSaBayoo/Tm0GXKCHrb4B4LAqN5eIu9AAKpqf9ZS VKgeIktd2ubyyllC5chufggOul1S6IAooRcJCqZHULBCURblPASPaE2XRrEBFu4a4zmatHkCu+11 o1pso/QJ0141IHT5b0hkMOlKk8//i3OHCQGZtEKry2cpirATtYPXFijvnC+XUrarGwa0yV9PWy6q Vw8D2JPNwcFztHpOza2GR+1nnlpikxVdzAa6I2VBBMXfFA/GhwQdDxSsz3oheIiDCiEn7WO0cv4G nBRSCP24akzVCI1nZeybh+QUFq0LPF0gcIPD+iT1rRqxLDHsIvwjAx1SNU1h1ZpUhRc3hYReZUet hGDqDdcS8oJgqoKetzn7qrM47W0jlB79XJIUcpMr5CItqVmGEydXw/Pv01TzlchlWMVy+XoWUyz3 gXKvK792Ln/ROjol2zlLxLjI2CVF0fa0fK0tH2zLgdG3xlDzI4NYw+YQlCYZxf5Q09MkKse0t400 Ktk+A8zYBut+wH+IV/lJnPh8EC5dXSbWB1jvLOOZpVDzozIaMGlBIEKMeQdFyWpMaQqP2/kdA0Go 2dDopMThOb/PsTubjBU2ygDkmBWVi87pBJyD6kaFBpL4kAgJwrR2MbRENG+hD3x3WZw9C7Xx+f/G U6yAo807SWHUPZkZLKut9eE3W1bJU1iQ5aWjnCLlD4Hzi/lDX1/iCczxrIALy74EwQYPeETmBULO NT8yerI+TrHDC5sgXXCZfuEy6xysSk3PrcDngw13XVqx34AAbONrkkJs9UBym0wT5QT849aw63Ym XtrPFRcWsbdCfZVo01OiHWdjbfAQWYGEXBj5rYsaawPod9zbhBQVOnvwQyLRXIKG4Rxog/bbeVuh H9DX4PP/Jb8tMg2+2y20TYT1TNvdSEHnZ27OKk/D6Yrnq23LcHPgmwgimOl7TR+sRgKAyNhtGPF+ nglnmA4riowWiWCj4ivSJjoHxokQ06uiu97BgVdrSfcW4uY5dE78rQxLLcb9eAWF1omtiStk262x Pa5CG71U5AyIprBNUgQMsfSWjrUaLhemQj9gk5E3YPayJI5KwzIii9rvtn7+wzyRh1GlEzsHr9We VIfb7VwgfD4IvG8piS1cBGnk19gkhYE37Pn62p7ornITXVr1MY1bpIwxCMihRHXR7PCW4+AH97me geCbBKidnVeEE20QXQGZnYKGThhK9rZ/SeqNosI/dTOU6kIIkjYCqJaQQRmU/H0lcdkh8noYQzlw UIRmHDgMxeSWexXzQxrM82owQ8XEVlIYdi/xgmvzwVbSSUbgo82iNrQpWd+mjgHqJRvoi32L2mxe 58BDEdtN6DRZG3eXikiI89kuP7dk5fNRDPCtBIImywmtbgoXmihiJk6eW6V00WAdobr/6vk5krr7 cAYmiok10TctkA6oNgxKEzM4UJBo50Z+L+ggP+SbXZjRsLeNHClUcCMFLWWqHvN264WvC5zd5vNB g3Z9H9wVBWIqENokRXLV6KwiTvLCW3NBtGQ97CIh3erbLYy5Zeg6pBY78qNXXQBaorjqwpI8ET5r t5DrqshzV32gRsnfNjqTKF0pSHF3SDH4bVuY8nOJ8vFIwfKbBZvx05drQJUDK0XUzOAJPFzCslWn VEFTyzpsbZAQKc/bGHVdWZUl3JODIyEWXCOk8nkCLigX0FIa1AvuykKrBufo+etGcVITjuYOqpjU NnQ31V42nw8O7vLt3QEed1mX55lDO0Wp7EGeA8H1Sf9Hu3CR0qz+NlIvPkuR/wvdtsCBvcODFwE/ P4tidf5/6GsKqSXUwBqygy/JgmpvG1E9Wf9HpGCDBZOz0e+UQSqfj/D7t84tYihOsau+kwNLxczq MUD2yRgOaRvZc5M1R+BaziRcIXkLLBU9mMyKuPMTcddJoTqW4U8wCZFV6X7Bk7wBYYyL4aJq6rC3 DQTiiVDfhLBpsBwXOthcHXNlPh8RPvtXoIQeOH3WfJJCBLZkrmqyljbNDAR/3G4yTec/X0oxbkRH d98+3HbAxNQzeSsL3l2sKi1qeQ2nMpozp1y4Q1/FYk4weftgRSVUX4gxPh/I54O8pPgkyTcG/b7H OTCH1oqDIFGpx5Gdn6oD2OpymDGA2DZLobniGuq39eaDr6UC77ApTmNHo0vCMmvrtIY99IOCzPa2 UdtEpTfXIG4OYYP5Nz4PFXQ+/y/dPbV5MzQwbhAQ+itihZ+QeggeihS36FRC0M1LjYYwzTdcaLA4 NElDpaXRH5hfrbTJkQPlziSfgHMFIeXK8nzxmhK/KT8kCid1senovpJpyKATP7GdC4vPB/XJcllF zIfh6HH72zm2WARHPwMqQDTtiZS6Hd0N+kGmFAIYl01SGHMrku4uMHxBNufIyzC+V7F7QymIVrjQ 1qBWGGRydCiVXJO9baTpZJGScLXnIwkDOMHDyQooxHe+8+YfCBpMj5ABa0p8h0sAzYHPIoSvwHYg yUJwv+SobLYUhLTpqSTfcCEsm2spr7F8cMDfObEhkYCo+/wH8H+wlirSoQGDj9zH0xQo1V43ggLQ BY6+0xiq99PGlJt8L8hyQ6vFXL+L3eQ99CeijL0W4Q/T2bGwBM7IseebFYeTNPAOFHcHbotAFZCC NWb1wRFbfcMPqLLbDZQDuee4xQcALzjBfccVonr5IcEkZWp9YHfZoNzkvLdIX5DX4vNRRyB9BUuN kplXxzGHfotAirolQ6O9qfe7czP7M7jEZC8FhIaLS+cRZb402CQBTAtZBoFuKv7HUFsbZkhE3jhP TUKOOXRcZIDwf/0EzwUnO/ofdNT5/D+JWMxyWex8JimKuxsvOCJ/qa0iMWI2l3pyFkQl2FyTFHuY kyfS2Q/g4MCbk6a1suVWher05B2YqAmMoiYYNd42WdPeNgJOIKKspiGSXdwXCjeJ6ii4ivl8EHZf 9B+11PDLpP5EAVHYDRBkozKhKm/TDKv2lLZ1JbTEta1z4LqI/I3lErq3a/Ca0lmmcK+YmqPzt9Sq oxYI/lemZo+wN3nYywZz1JjdNoFLmoNLTliXqmqLZ2Hw+QiF/G1BQBXOOZ77LQq6ofCGrtu0I6kY LwLNXCr3IDU5aX62JDdwXhRaF2VJcR+VVVtj6fzmZ/IzPavYFmBgnpALQs+R6lneWFr2tsH9RqR2 Y4ijQZNUoW4w9L0bnw+OpPmLtAYhSKhQ3VmKom5kxx0BjENMUvEzSd55OpOqV94C+8WLZ+e60HBz k7PlWtlmAouNxwSOyS0QlZs1O91utdvbRkLgleF2mz5YDRcSFirL1szn/8UaIaAdr5wuGjmHDozA yiPLtdSkSQeL8qnZOTYNgG7bb7EFo7VMVvHBW7kbbpdJZ9KkmaEIxlTfPW+NTtQ3XjuHFoycvVmS jN/TdTRPi7hdlq4nnw8yk1svIZizARCwLwc7hy6MWKZ+JnWqgVevvCWTva7ceTZHUcxtJVz5xtQv 35gx8wAcn3hAAJSRC6IZAOQxzWPI0xIJe3R72QATYIDAeQfbbsDUWa6hl4wwpd8LiW+QH+RE7MQ4 qZ06de93ern4QmrmOXjydZcvzKEVo5VLNEn5mSRcnOeurmpQnn3YBLslGqMTHcUakCHb1e0OzRgn Q4COVNEGZSYnqkjcLGdPDD4fzZKf3Ayq8PPUJ5gM7RhhYXAO7u3Y5GykY6Le3LqhrumF7tiPcQvU VbMPHnKvk87C+prICSjub3JscMtRvnJQo6/K/qPZ2wbBJNu4HaeaDVZ5G6WJWFSzXjLKcefXyS3g bX8mKXY3n8xxTSu0swRhZL/phYBZ3AwtB5aMz1KSZHH7kizebfSZSAFAGTEhvId4+gBWqdNfftyi Ul72ugHyDfHx2bLIkzSoXkJZBQIoF/q4gS/jLw8HHPrEJUr9RdMUxdzoDJ9rGawBwEsW679E4RTF kGgI5N6Mz5ZDa0ZNU1oSy1qP4RdoRwOnNnfcwspi+Nh0BZ+oZV+ltZW6vW10v7HmtqWesf23qEBT 8YwCxI7PR7XuW1XCfKAl+Bh+5dCcESu+F0ECQBwtrLdNlgKcOAr/Vc9xA3dGWBWrQEkun3JVbbjV Eex1NL5WOZNHMY6zdMA6pkNFx1lu/YDV7W3/Yq/vwoo3By0lRARGauOpFKK58zduggkECkY+SaEC dqNhyizWEEjFXb8gwm1BQCM4XZMUBt1sz1IYzoYLLjnzTXMmYNoWEJTYcESInK9UgXp7uJFp2esG DQGyItvsyQcdS+dvCv9+MpPO56OA8ta6eS4hvK9PvSQ0aYQBkoO6gcHBtFntjS6c2HvnXAEqRhsu DLsVK8nunYMnuYDWQFaVGw4l0MKuJPpVcKz5WexSqkDZm71tsOF4av8fv+oCHj5/GNTF+HwQUGYP u6lXk5k5pmeWYrmRCf4WQATYcXkpwKbpORAIZJEi65IYdg6NGqV6lArxXBwcz0UVDaw1+KVAGJd+ RIUOkciNKRzqMeX21w0CAXKQN3BPNliJEnIFTHPPpcPng0BgerikVObsXOrdaZZCs0Z0Us/PnRQI tF2bbru9e7c098RK7ludA7NGSE9Qdm3LUnhf0flz0p2DubFruyAEPAiXmIzlMsiqlBU1/xTy2XNg 1pjYVUdXqd9BISVUKYSgrFhKgVvjdwYnEA54bg/mLXRrnOzAqV5S6abilbdZCRekxwx7QJqkCNmt Mm4dUmAblyt5Du2TI6VSZTJzLiPG2ZMTksFWqiTq7sfdOweGjdkuuKZuJQdN0gnxBGw9K6rw+eCC a7/Ibjij5nMohY6NAJyhopEty92GXYbgDwVJiC9RRNn1h6LtZr2lOzy9pXE21FJyMrCC2PbNIExg gT3aGuI48UMiYCDZyBDAwYCtzaQezoudpbeTpS/+gQgZ+EsZG2TRsq4QWw5sG1GEyGgpNVM7rM3V xRIIjlZ7kxiP1lIsQWJKY9MHhwamE0V2wXBw9RWFnQk/SAEmq92KyaDaYQ58G6UYiASXKj8cjHBy Lg7pPwxgJ0Ljxi93VB5gKL1ddewcODdCgX5AKqCoOYm7WYyTc0x1k1qvaTLsxvX2h3OjnJokslEu COckbIAmryJqMvYFa7gT8j50AQLqzOX82raX/QM9ORNVVzVYvcTqqj/Q+ebzkY9B+breBAx8ypOh e+P5QsBMJjdqJldFUj80I7AELnWfpBjTzQQOiMb6BWw8QXehgBAPbrTgW6ZNSEdlpgEvDK3Mi3lb 9rYBcwmp3ahbvjO7eb8bfvRMec+NUfn8+8G9bgJHW2d0oNbVEM+Bg2NmQwqWYJbAtXI1sulr6tKi xSHdgYVjdogpT2ANHk9OSJAVdgNqLdLMhOdMhicr6p1qyImSM5O9bhRPMlIq0hEv13XmbJytYHBW Pf9PqBIf7Mg5IGHs8xSL+yGM7IYt7U3K9BT3U55CRRLAdWyeIohJneb3nXzwQwkmOnlIKzuhQSa1 BCguUsCyjxtQSnosB16OaC2xQYmAygaLAvpUnnsCsM3nIwCl8+BYQcUE1YeT83mNlHL+f4jlTgZX l++4nO+OKw6eqKta/+01UMrAKgkSyFouB4sBoLqKcI89CkitTF5vdDYpbJwIKKeVhFd9DZOyidcN WI36kCxyHtwLYGvh6ZfpydBg1SqqkpNgZ2HpX5xfJZgc5ObnuDBDnnOmdOMCnJyE9cgiKreXSoLJ sUtt6FIbz6U2ALTpSGVRTQLcibiSDSp/Rc6ELihm6wvw/jo76JXIcIZ1bg7WdOvWnTnx7gm0X2cH YU622RGIlCVgVJA5Pa/B0XkKJVvUF4RE6sDJGtLNrnoCARhEYHpeQyOsHSZqqF764IjJk5WAlJTJ vwHyurB92djLJTLoQm8nQdyvgVHWGVJQBc4+WLetksxDs6b2eY2K8lP8rwqnqopsxWbnNSbKEg3u 9NuW0W5artXC2NeUJJC/cXZeI6LsJK4mfeP2pW88wSSFvJNJiGdqap2oHidpYUr7OBkUven77DCg PPtSYFKEvKy/0O9HVRKs0c9rPJQf2BZWHtccVtH26XkNh/AUpb8k1EulltEt/disF9tVP9xGNtpb so8dufngyQfgtBupGKBf52tNcke2uALnX096P2prUVj0NRbCwcPSYy+qaRfHqlZ09ASzOcf+5zUS wtPNt1ZxSmltFF7F7LwGQtiQUzo2rnm4m4H/MxAZNjuLSG16XgWzM9XKJvJRgy+eMxtIMpYU+ufs gtlqteFASt1VM0ti8fE1CsIhKSWkrNwju1tRgRmL0Gil789rDISnTZb2nMuayvkjvV1Oz2sIhNOc fbU81OHvNOkyvlZa5vfQKiuYdDCIpqdrU+nkyffkaUCOjk4VnHVuqS1dYwAk8HonAFjpyVzJ1nqN frKBISbwpz54+XoNdulRY/68xj44t2b7PpepGESyDmfnNfDJci8Au98bauhmUGhsEDyETVboVCXN 3uhY5uScI7f64DsLdjlzU/S5wdgdBNWTZ0ACDb22nz37U5KlZG9waWF9s7M/fbCC7MlDJc95oqbP a8TDF7yX1o8pGkuOFnPzWmXkxkIxNg+H0pS1b3P/kthgNGQaY8GpLE5N2esOPjmFwodso4G/Nqmt MXjOw9iGJPdrR0iU/2uJEd+PgXOBGroN1kQz66kTP0FLNJgdV/EDoiPZrXUS6mHz81pfzLLvOsdN MTwWRNJsfmqtzdv6i1KaUI6IjmUdPP9HEBsOY9b8hnjPWURJ6CsANhtCe+oaOyybDkWvtUX8Bpud odaSD3bwbHwk62YQGIturT6+Vg+S80qokQLCKFwGyoFHg09P8ltr8ccUKSu7t/c7cY3XFu+rpZBw 3ZDwXFvnt4bfHmvUBXRFZlq4VRsKmtB5u8IaWe8a7C55enfj0ziDEIKkmyS2RvhMFDEvSVWTp4NX Q1lx+fJ5Z63hRwGVdblhbJuEEVDksE0DiNRM8LOw2NH8TDlW06uRg19cG0BKqCGd/QVeCKPqMWiW gFiObVfrwHY6V79z1rIDHjLpkRo0Qag1yMApVz4eBM0pfU0QVFlqWn5zvTPWcHU1XF07+QZb1tNH pjcurQ8wSINgBTO0aQt/piD5YDPUYaxakqiP5SQqiRkW408W83AJmUna0gRFYTPlTMf8/xn7rixZ diPJ/1xLHR5oMftf2MDM3BFZzfC6HNF4ZL+ojERCuDBRjVnqZpaQCVMsURsqZe9x8+NaYAc0bgkZ EXKCorgZqHFYEhmwqI3pbLVz0ThbjfeDNfGDI7pX1RBZc+ZwK64ZBk5MK3Cn70KKw97oVcA4qtdb AiKJ8PPOVUNmQPu4RTSIBiuTnXUqueey0N6IzqDpK0iYCEam9wx6j5xxtpJ93cVKO8FSepxTbuQ8 AT2yYms0QeRgjcz+NAdN0MhoyqRBTf6TyQElyG0ItcmCBhwfGXRvIv3l885T4xlEbTpytDRY4pVU NzoTvsfnnabGHfor/gHlFrnN8imKwmfE5edIH2YClpLZWm5U5gx6XYB2UOXnPXzObNJBoo8/DAe/ xcb5BrRgpsIYrK5Mdd1AGPk5pa0cHYXPdN/AQT98UOKemuAYcGKpn3eS2nm8pf21xzKa0o2yd5qg MICGPREEAxgryyZHAXTr5guWW0JCrxmKImip+DXZVrfHtrqDcbNhy8vSBqpfWt1kI7AnzKNdubvI jlEIDThqga5A9sHKrBL6QJSY9+edoGbZ7dcplPnR2ycoiqEXrFM6LMxUZG3Li6xDAv1U5Z/Jsvd3 ehovMkWIUjXedwmdWGdAhnlzghYUlmRoleUsWxmRXlIR9Yzf6Wk4RwhL35lwKw7W0ajZSPgnYP+8 s9OyrKOfi4wOFLJD5Qy9R9ISaO3CB7C8uu8SMi1aLCHSECRTE4XSg/EejE998B7rHufIH6Q3oLoK vsaPucefnBKwgmvsjTORnxFMEBUgV9t3MGgj/pogZhCpiWLptf0iY7Medxiq4DZBUSgNxia01GXs fb5OuXusDZedhxa6TVAcS/P4aSTvtatBOPsEdWtsAYjg05slBMnkFAKkc13vFCLvP++stGwWYKhN Fh8c1pjLlIoPetBRMG3oXltB6BbkeffYOyUNNz1ENbYoafqnZad0L4b9qGf6kubnnZCWLxa9lzt4 LM3MvSUrr/ZCIQve+ODMIXu/zimkln/e+WgsOBCDPvPwQWcQjJRIEECs/nmno+VHpE7zA+nGJjFj zk8US+fJHXbNdwT/JOhzPM4gexuT+J2MxoUoCdH/UnsGUmSKXLOIDKLsMRzOTiYPa9xMeyKn7BW9 bHCPcYt1nUH9nkFlV3NBxw36eeei8R7zLTaKFRFLu4fQOxcN6xakDyMNoVYvhVUIr0Gp3LBVqybj or1T0bLYT5CAlJlcuv0LABmRXVAjA/TIc0BlYobAtcbUZ0hgerWD8kbvVLRsjecTzsreu/oiOudJ HTymIU/+eSeiMZyeNkU8knkA3Zv+nYbmBY9ahknTVQrGi/eZ7k1fCBjhDEXhtDnFbpUS9y0ldrQ+ y97UNTgLZ/SkjAwg68YMggx2YWHIYv+8k9CY0rNMtmvzwcLFSaGvRJvnzzsHjadY+Yqnhc26FY93 ChpOdxDCNzGHVH6YW5I9e0+3rUY93RKydwIaW2As1KdyBw+FUC0rRcfQWUsD1RUc07S5Q4VPODRV E8n5fCegeRNsMu6xQSkrSEhaQiPNzzv/DHft8pueknm4hmrefpO988+wOWFRNG4ro/W9HN7xZByD 4mc8iKJytBphLRFOxcHXEDoZVLBGSpp2LTyyEjh6FWDhLuuVx9vhnX3GmJ1izzIw4GBVs5b5w54/ MdfnnXzGcNzPoS6HGZDQq2f17+QzrLxK8GJ264LemuVkpTJp5QxJ7gCL6D2eVsbNJlj34fI86CtT qPp07rSzd4033AGmP5fmyk9daOldg7oij2hE5T7opF55SdatrLQ/78yzLE7LcwxhTnle2gRF8fQk CLa4mWVPw2XDQUyxCeqr+l32Hk9jBeMm6WvewZLWs5PY8ZGSAZAX1NCEXTMke+GcuH++TJz4GdEE UceK18/YSFLMlRlHg8RWx96fd94Zj8r+lXEgNC2U/9MMRZVp6lIAOf/jfGFdZXtLG5M5K/aBzun3 cBpV48EODpWxONgeW2AvNCgi4Hc+B+lUWMDqDrRcqZanwpmc9t45ZwynDUE1fPCyRx3yroAi1jvl jK0/z+qlVFMQyd8VFIXTgDFhBQ2rCyXDdEI8dDoUD60422Lv4XSm5nQ98S5xCCOle5EBH3xyfPY1 8vnnxCQelWl4NUJoita2OqYRtH3e6WZcQWRRb3EXtofsZ2G0TSzSCUrX551thmhz9F9J/flP4970 72QzLKAOjtAc5vZZqsdCkK5wEF4l+p0N5zie5gpa5Q6+xcB5m/gF0BWD/ORQ17WyJARds0ebh1iO d64ZG1s0is2UCuFgE0QwO0OhkT/vVLP8OO1V6anAey73u8XemWZZGpa95+sk11x5PufnlJ4sn3CG wuo0vzeK8j7YDMHzDbINi7DgcUJo7Ubk9gA7ZbU3VVksetUIscCSIsruNmiLgbErfvC5ND/vNLMs 4e7vWBGb/lYW31lmWTa8PRdD2nVoedkhbU4zCIVmdm+Hd5IZzyDMT5e6U/9SdzrXFcwLKOwAdaeR WB5BdRppNSph86mbNb1rkJFVHj4lTx9sBe1GMW0AsPiK0Rn93f5BQYpL1iYoCqbBQ8GZarXpJKMZ nEFypBTi5YIW3vllXL84fNhms8EW0ATDZVY57QGB2EhmqMBxFARAWQghyfEkvWuQbXRSFBO7QDkR D8Z7/iyibTbCuXze6WUsenxXFnlL9JuzvrPLWBWiQtiVKpjbZ2im7A2yitadZigqTrMtOId8eDh4 cRr9GajNcUWnsiYVH6CUyOLcz3xqQkVv+kfz+YTjZChwsJpQ3ZJQOz/E/LzzyvJjDqIdRkwwy0ua nvdIWlincwKZk0zvI1/WtORUq/JVYya8k8qYbQszlYcPfgKRqFOpxFdRv1EomYl4g+DEpP61avcU nX/nlOVs8tdLGjwctMOgOdtVKez1804pw0rInoxJ1QjnWfVU451RZl0bsERVQMR15kWzOQ06jbLm MK/Gd0JZdn+iuqn1wcEC6Z3AbiGvZEHcoW2SExew9YTSZvYejSvVt142iINopEt7qPLlEgXDaqlf 1YUf8T2SlqfgV/uHv+VtIL7TybII/+iwLkN3OH9zj+2yIHBgMEziO5mMtzwPFiVWVTKLk+nq2Zzo qg5Cg+Djx/bY5LTwlk80b1Q+P5Le9U9kGUBaGPA3FUnDhJZI4HOT9887lyxLcviJFCEt3JhlaobC SJpqzmO5S1razTGtCh9Flm4GgHknklkqiRh6dx/sGjv3OQoGQ036ejInIogSCtINpPsxHp1iRorv PLJbE+pszg7If/4QC3AOOQpro4xxDu/PO4/M2kdPaZqi0jRe0wxFsTSlnMd2aHTf1YtCS5nrdJSH ZigqTU+dP5JOfzzeztVDx2TUmtDdgL6PHGNxxzcqcowrA9JpwfNOIcsSvwG+I99B5/TZq0mm0+db f94ZZHzF+rXJkIfVemtC7wQyfOgGoYWQ2//InnmZxtWiagmPoYYeLGl27wSyG0s3KqFp8GOodlSn RdZAO52qr4gewVyD1thX6bUTO/5OICMQgTc9MfETziNKG+oeNH9NlH3/vPPH8uMZazOEgELcX85Q CPSgSVGh0j5KoY10lvYDk3vUgQa1o4B2xDn9Th6zWxjYuz59uODfCpCaVc3KOTwkIw8OIK1HuoRl VPAoetW/9tiWceyWBSrmh1aNPKZPTP55p479KpqxOoKroTz9sXfqWBbf5xyrhvo932e4/tfJwren YxSkQUL/zhxjUC6TIlo2cvBTaO+TY5BpA6LmObWJ4YSD2g8FIgg+NgQwpAr5sn8c1GOwMavBe6xy yUDWsj7vvLEsA+EnGAICOTNr1gy9R9OSDgV9ZekUKiubNwEE5e1AalCE1Sn0Thq7DSAsRh/8FFob jnEqK7bhkvMJtz9+85+5v7z2ht41uOqbimbpDpaP1aqM/mQt5fPOGcviU301gBC+EBqhCQor08jo u1LTx9dS5IzmYvKruBnhO2UsS7QEiVhrPniHbIAfIFeCtOGBXox/1+RzBZqFJawL5drPO2OMGT03 WdUmq77JYHM1VRMqNX/eCWNYgOn7mAbxgvULm6CoMA2u+TlKs6JF/PTWV01wQ7AWK0o4toLCcLoY 0GP5YBMEGehUDAjcTHgKUBjoJaB0+jR/NqFC72SxS0Eo1JbTYDWzMlkVBQVhfN65Ykx323e+ityF 8nGanxDmMcinM9e4brVoJEptu1I61Kis6vrOFLs7bKi3Mb56G/BhPr82NZpw/nTS54BoRNVVyEPf YSjQ8DMiLFWX5Xn2QQsIFpByp9qFjwcLqH7nY/CZrnXdBRQF04BH9KbGM0X2V/OyNGAnmqA2Lgsh jKa5ck4Kdwc/pNHcW3WLtzrO3UiWRoOSbwaugC16nUCE2b8TxCyfAv5FRJ/lkNoECLPcTM/sf975 YfkRr7CiK0n0+85PFEoD7QXzDFf5UtrRlCZfLbTqJgTtb7x0l+sCB8cwnCBxDDXHgE4sRchqIBML zCDKvvkqqkR812AB7aVEtfpgGIaz2pd5EWY8HgSK94hWGIQF3C+LJYyk2fipyVpjprLPkpnw0vL7 WJbQt6gqrROoVqVj9YsjdnKsNSt5LKWdP0pOx9jIvOT0nNn5UdVVMxSiPJC9WTFJg2boHBNyykOi jMeDHn1JX2cQqpyl385Pj0JpiHidgMc04RD4qiK0AdO2klnldiCT5T2S9v7zqOw/c/AjOiFcoIz6 OlfM2WqmBMq+BgJvHNkWSLNk1mOKIQNp9ow1WMVjNTF9ylmSeDxga1yemEeVP/SfsgmKImnolcDD wvQG22wuxDC08SjEgG+hGYpgHkz+IJpXfLCaEMwNYQxLcc8G3UoxWVkDRQi0JfotNsvUuwapRqar zmRjgwM+Awha2U9gfeLpIEws31HQgKqLnFA4P39WpVFzUhQkihGjoJKbV+13ypbO96gqrVysLiqe cLiI6XoCRTTOUBKivPdwO7QOXR4IKFweL35bfEjUGqM07FZav1GgX8rnTzBXlc+3gucjruHdYxKq gBgUHUU0SSHbEPj3qqAHPl+zWvhzEhC0/OhglfcwMFWPYukp4H0mJ5yDt+jBTWiNYLNz4q8t1+GB 85r2eoVCFYY2o1BFj4Jp4nHO+kMSpcFiIYgY2T4reDyao/l/Y6HUvbLYI8Ih2hBtVfoMUPrUVL73 kJwu7B3OKXXeH+lYjwmHhLqqu8zh9lfzyS2HmM5QZs6kRW2ATaGp80O8tUlUsK7Yo9L0moSZLWlW owMna7zOywDX9znv8Xhwkz3tQ+2z8UM9X5ugOJgGSnF2h7yOK+a19/RYiEB6Jqw9Jh1KD0ayp+OR PT3/jOZGFmo6odeBlH6lharX2eWk1+kc4vrFZwQpPT0ZQQ/zQflqxQXMu75MvmKwgtavGaqC8XvN o4fFaUh6D5DMwG2GzVd1Z0YYmmam9INq/NxkcTjNk6gp2brCtqi99o1yFi6OnjsmnnsKIsQNV+hu 1/t8UKu6R7XpShmvRYl9DYbH6wQjMK2beDwCm92ixw86Rf07nO7v4TS6P4sylSiW0VUXeSQ9GRdh RuaDip3NJRShPBLL9o1Krxqe6n3NRXKnZ+JO1LLZD2Peinp6fw4hmj/gM4IJYibG6MkGfsZJh2Bq z7ssTzweZPTND2rjj6NlfY/pCDQNOXjQApWmtqkVBFMaYn14CC1J53f+mT/Lio0IjtYYe1UZMdG5 ukni7Fzwk2a6dMLFxP+MfS0rqQKOz4gAndSjIo5XgxbQAAFLXi9t4/F/oRWzAJ0My8c9hcKAusOi Sm3DQZ/45A0gNaYpJcQ+BKOhqDStHnSrWkn1NoAoNp9xWHOKNuponCJS8nAwZwEYt3LWrpd9P4bQ ZToTAjCTDbrI0NSV9fRIDY8Ha6ju33OEI7NeZYERhdSS7srWAuroMlnSsVq53HkQEhkxjqg6PQ1M RRZrf1SF6VBxot7OLmLZZ4Gp7NFJmurABnx552S9a1RZZN1+peSDI6cnpT+JVMfjQUw9vC7UzR4W /5ofRCOKqTvVqTvDw8U2tBHEwZGyf2xTGy3x70ThEJdP4UmtUqWd1LAXOovGSGTnzGDxdYsqdSZu 0hamCFue9K4RDTEJZ9Z9sEb0eXDpst58PGpEfyf2qDQU2edygkLgdCbAww6dXtbyyllapT4mHnbZ j6g6TR+2OeR7SvegE3Aw6UhzqGiPFthJZNISfwG1TwQRlBO2y/6s+4HPCCCdjd65k+EiZCjV3yg4 BtREPP8Hj0f9DV9CAjeec6k3b5GNKKCGv99Z7s32WGangHusZPNkPBNENhz3WBRQC4836lTeSgIQ JwhucCfcJNOXCvC5Whl7s/n2QyVhu+on5idEeohGP6kOwMGhMHUJkHlyDjz+r1MIczFYm77F+xGF 07ivzv8fFi1WhvyMFqes4Bpt1x3oMaJ42jQYBiW522WeoEx9gsW0t3zhV4fOOZusQBXhPILAxO0A LXvZYIuxKk2NcQwUsdRBDT4jweInmcTzwRLq5fughmtI4U7THEUR9aBkJs2ZaUlBPrJs4Vc1HuLJ pVzObEQR9TIBod59sHDoHNmQWdQcUTiX+zCRJ5nQKbvigVTt5LsGm4zmXRPlABuswVGKGVKctYvH oyaZ46YlOUieb/YC9Qhx0wOYRcKO5d21vEk2qTjBVn2hazpnKMJNy1K4KyDiYDdZamcD1rnluwxU LZsgxtRmf/pRySGyfIRSHgQzQNfVB6Nv7DZkDXRuXDweBEQPZlHiDSjh17vPogo1mKVt8dPY4hhZ fLITZI9sJCkgw22CogK19VmF+W0qDmWFQ+DSLYniNuQxQ14UuI6AC5jtqlBTshCf8Uc0dKK0knzQ OTRhKyjH0rXxeNQj+0a9QpIsPxJdI4yohens1mbteTiUYVNrTjnZXsZNGH+H1FQ6s8GzVoBGBjYp VtA8p9uUKfWg+t/40cGtk3p3e9kgpu4kJwBpjeH8TSHLkXR0Un/HeVU8H2T25ZtRj2JMATTOpigM qYm9b7t7MGSKXQiG+rRgaFGCnWsorFFnuQeV5sMDZpgASzWKejRAiJhlZJSSoQYjzyVV8elciA+J Gq2MFydRZxyMv9F5h5JpV/B4VPv4Ri2iJUCmvwnmhDVqclnTULba9c4IgRBQW/7REG4oL5tRQL2U bUylZ/Pav48TNZxbJ2WZdkPwVVaM5LKj0SrlQIHOmHPMEO1RKeuRtMuS7zIcAmS/wdyy4fFggtrN y9hppaDuvDMUBdRA30OUgUK3SGFp/9Z+9mDxBEWQ8z0ABsQSmiEVcU91v4oPnpU1Yg3mtNueRzb4 LWcPtwZIVblsaBT4+ap/VvGlXZqvdimMMgtjozNBBY//6xjCkcOeOVDJNj9RPH2yJZSGqrtPpdU8 HGpXlHPQxocTFBMRtXRa8sGveiDGs4QUYUM+G6n3iUM/T6Fw52RWSg7MsEbNdJWIWRsMD3P+POPF ulfF4/9i2fGcJtSt3wUUxdOIgjp9jq3T6p5cWV0rdVq3iwXOsEAtNvTWCtrPCkprLNxeSjiwlKj0 VtCGKXI0uqUPstbxGdEZtCQ1MH2w2tlKhRf1CVgKHo/oG34GqcqB+6JeZaoZRdSVSyh5RD35r3MJ re0toXOmuNvrjCLqIfLYLQ55LAQv+bxLkdT0osQ0o0UKvKPcgzqqpxyoh+Ezgj3GZvTelGbY13W3 wmhP7j+lVzwedBLvIcT0jUTIcScoLFBD+CRlMy7FWpEF5d7b+h4ATQ4rns0I7NH3Fudg+OBgBghf lSrfkpOfLQFdoUN1puXM4xi3+trpgDOjaJrKqxPFYB/skIYiDzFScww8/q/imXD6BRw7LwvNCO0B nTfApURqAX1jWShURSGjNwA5w5ygqDxtFLKkUkaaF+1xvvSZ6iVd4JLgrEcbb7RVz2IC6nY94slF 7/onkRWixzZ4C6hqxda5Gh6PEjKvvja1yZCQTQ+mZ8hCLGQn1GKYPLHtiMmjb68weZQW5SEUauOZ TGJJPvgWOzEnCNBK6vv54ZsOoRuq0b5cVlM04phRfbpQ7wRKtz4Y7rV1yVzC7xOPB0to/0J1Ises V3ZyRngPGeC25trSuzh0OiGVNx4rzcU4QVE07co5e/jgcIbWCSxGNH0SrpNRTLmb0m4E7dJ8NStY R8BnBMBgAWI68cEcHDC02hAkr3c8HgGGvstCbAOkm9LPkIXYaH6bzEa5oDlt9zyDC5aF1r57LBT1 MESV+C3p8lvQRhwouVL1BItIqL1K7UfCGeoVrRj0dp+hQB4DIcBcfbAJ6lsGBOc6Lng8AsSkr0Ma BI7z7/sWW1EoDczpuWlMrxQCuMroT75a7D47QcY2GuuK4B4ue9umD96HHqgeTs3PQNkmyRWHgSzO 7jF/fmlurxDuQbUBMPV8sDPofASTKbg14/F/yebwCNokLTocZoVojyItcpO47yyZagHlfIWFmkMW V4j2GAJOq0Cd7wKC+mBpmVLVmKBzEcvphb1/EHcQyntlGq+BzwgqHpWSt61lH+wIOht4Ci+0Kx6P IGc3W+Vqo6d3ujMUykqjsohimSLFkbwPncmAM7wHmM2aoRDvsSTbtXQG8fMoTAM/FWCcldGf42zJ exJodRJ9eXo9VPGGz/irarYKBZg4aIstEJdUj4dGZxxK118zxJLCVRZaIQ2xgp0gYTyZ3lpdEaJt t0eGGrJmKAROd62h1XzwSGhXCMN0FoXOEbSr/LkSsnL23p66Ihnf+IyoOM08lT++Bp+hXsxOKm88 /i9UniQncU3UC/ZYsbo0PNuoEAuP8rUc7DHppEZccKYtBVVeQxaiSf4z2eDgE5RAnB+i2UHKBV07 9IESD578Q3qVC+dMvWpQNePMEExsg/VZ0czlElop4/F/yZsZTh8qj9XTsRXF0rA9gXSLObbAw8qh 5ZTe1TGUSXDBOR1KegxaSUsWpuxfCtNAuxIZ3CFgRrlkmG1t6mP8EHJoxjaUEMCHRHT6xRioVh8s mm6bqqJIQAcej/BCV78d0TSqOPs2WVcUTdM8jWI2nKHRs28yLF0r3g+SrbjJwmia5TLSP2zwNdQg IJ6MIzXmRthYwU5EieJcXZMlj6E1lPWuwQR1KgslKgbPlIozgPbJCfBxkJrD4xGd3jcZA2d8DGRn bYKiYBr/HuSpBncWrgfDmaVdqQ8sNEyyFuIKsdPqAP0XoqpBNWevTSYiIaICfyYaGy3CudItmrF2 v6JgGpkcEtV+B22yDrBNUU2Ir/i+yVa+qDwmt9zcVxVmxehpNAVaUxm6wWRbVM1d3AygMn6R1nRc miZVM6Xmw91jJwdeOxmUoULHiWLKFBgDWpeaHmyyFlak8CERehrd1cyDRIPgMKXhP/FkWRWPB336 edm+eJLaKfOiPVZMRESHrDn+vtJqlV3WUYczXKBxZlMUhdNDtR4ZtpbHsLWTc9hhx3OOIXiEoWB6 1gzQgB0BMgjrHg2NoXeNoqEioensg9U8TOYcLR0+Htz12+969hZgBIXegs3QjuJpuNT14m6amKFh dbPE30VYj+T2yDsqTcvsp41SffCAui9wOKzq0TeAQSxNVwqSZbqB/LKQ3lFA3ahsNhQ2Dlk9cg3l WqSSlwYfjzgud5cp8sFd3zwl2+8RNUBn1ICz9BRlfOvUnzi1OW5xUXKcMxSrekiLgjPE4akLnXgn MV6E0cZMrCTCXgJpUf6hBrU5I+O+wGdExXuWFFuvPugcWrCP4hJoja8YHNS/NBmUjTU/qHcIoAak KmuCWIS94aJMZZiTTdp3c4LigHq952T9fHmkLGyy5klQFZN66XH3H8qgmIcd1i8+IyqckaKAfpEN lpPVVTRBiIZ2LOvxTSOje++85/QOiYjg2eXabAUV9VgHVXivBBxIbTZBMdZDtWmhhfaDFipkRHUi 8M8BfvILBpYn8iU9Yf6M8kVELHrXv5QocynVB00Qznt6b5wQeePxoPJ6bzLes8hay9cp9Bd4Gm0x I5I1vqQQZ5osynrw9+YM/c1EbFnAztyf/k+FveZSMNSh8FA5Q4Ua7fiv6629Llbvd6w5Tez9KndQ xnEO/67C18nr8XiEp/oFyoNyIuEmNkOhY8vqNE5wp8huEvhgKaxtM7TYv+EMxVgPVc5m8sF5Ljgh JvJuCZyxBkI1HsSzWE/9wZdT+mSHmtOmQDmLD4Y4O7mnyKw1LTz+L3Eh/LtA8bWnQ7YjqAegrl0e qv+RRowzpdYjIljT3WShRN6SdQInqD4KcKhVztSqdN2xjRJJDDI0AhBqUgGuy7e26V2j2qs8E+od DLQ4oWv5Yy3EHUtOf2OD2X+G1opNUCjsUcAw31uxUFvaYx05azXd+5oAYdL8hEgPwqR66XewBYRm +lk1lBw4qxppPUXLC/Iuiix2x04XWuviM4JgMVt7o/lgp3RBFsOLvg48HlXO6tcCIjir97vDwtp0 Ir7DBeDgVWc7LGlVVRrXE3Le+XeicJpnkLw32pf3BjQEU4ehJ0jv+5w6vMYKiglkQ+8bKm62f/bf qh4Q+PbBih6DWD46RfDxSLOifAdCS1UIhyzuKJimTOdDJOvMxknWzDO7dwsUtW0FRTgP7hNIuHcf bIc1hGMARZJqdwI8/SuZqT3IXbNejcXZmt41UvWYzMQowsTBwFQJAAiWhdLA4//KWFm8B2QSV6gm SFzG90hok60pBHAHwtAIHFTwl6Ef/A5MkjuF0TRJZKxE2aApApEMGnaUdYcIQzbxW/TUOrI+AOgv WogCTPiU90la+ZfqNBJF5fXnHU2IsuXJP/C/QDvPioDka73TFOrkITehxjRoHOezqFtaz/pPdBVl 1kMAikxuYuNDpmOLFVgOXqM+US7kQRJnaQ1zuRmpkrtGMbYH7jG2vW2kwsTpIZxBg7IOyDVvyS20 xueDtKP9EqpCEZgka5uk2MgF91leCqBRyFkO7qSRpexFgcyQQ0AKIdRdDR75bdV+L7STjuW5+5TR VktAaJAvdVY6jEt/QT6Wv20EaSAMOJOeiLDqhxdDxjmXeOePk67zDwRh0XPpE0ODGwDMQp+mqFaN zLwPbkmxN9uyfgfyTrv2+57uxZ5iI0TutcGuNAcH6J2AumUSBSCLNUHzxWI6ISXa7DhE534y2G2v G1RjN4/sMe6gm7+Ys9z5vmny+ahe/Z2hQQSlPl6IOUXxdaLTaDJsZ+/kWYpZ1l3Tok3KYuhgCk1d eKe3KgxIfQSE94IzEGBKmKWCE4TetCjfNxwme1+V7k0BYXxI0PVgJ2er3LivhCrgVbQs/IEmCp+P kpBvGCM62j+ITO4shUXrAthHpzoe6vuJNm1YkcSwZCJACkSEq2YpFqIWREYgoqeuf2YJcRJxroCh ofpFtj01dSCLQ1qRgYgYA1CPLIjn2Fck/kiDlhLc5YjrqsjU2L58f/4pOnJKsYYff6kUan7AYCoN t3bJ1Oojs2NkE0rJPDB8KUWBtgLJlrSU0rOU8pnsUbKaHwkNoMalxF7Z3uC1rStSOaa97Z+a+IM6 p3O4zmk5HzCk2H5OQD4f1fa/9c/4a5Vbdswp1v2gc3ZeFgjkOp1ltihklSjOlN3eJYXFaxm5lXQH P7zbALeZasJ1L0wbJ2kTQwSbnty9aEQTd37IX1zOUTlJoxK4w0miHOYPNZQbn49k9K4H6Y9aRLV8 3XBhwL2YyCbBGnqfdXvpsWVXj4FnkR/dkfqH0PnN5N/7jZYgJ3LimiFG8LmHV6V8TKbANMA5NT9C evI/TqEoNWXNJ0EPGhRRwumyWSY/+Xyw3W71kXUmqDC08XUohSVsoK5qNt7dSbimS8h0GW9KAeTa caW4hs0AADeIDV49ymCWw2ADjaIFA5Ml3B7bPY0S2gIVFWKb+CFR+aiIzpl80H7LJy9goI+Yg8// K7Vl8Egxmud+C30TcVaeo8H08dui9CijgEz2Kits9MXVJAXGieCC8TSSl0l7vExGgg1Fz90tW6F3 jkAhU8jlBIWipYuySLPoHDgnYr/x5G5S0G2+XjM6OOqy0jkxtE58Go6KlRKlsfOdpSjuxr0Id09X I6KIqLlLDq+y9TmSz1IEDpFYShcFvz8U/BNLbnqrJmVwZ/MtFb2BM8FOh2jNr8Z+ju0TpQUCLr0N VgTIXJRYYKPy+Ugg9hdxETEaVpdPUhh3Q7Jp5GGSRIMROrPcUrLLpWz6avB+Cx0U1ZjtPd/Bj27o padORRlIpwAWjUliogMmCYn7zhlK9rZ/CevNPm3IPybp3usa6szWweejiv93cgLsLmiPd5Kiivaa QIj0bD6ltVWrlcAyqnu9drjOcM5h1M14ssttkoPtN4R6Z3aSVtKEqJ5MAjuxoGjO7lvSZvc651gP BPtN160GlUsmbNdMXK/y+X/JOfB+Yy304q1zaKSIPJ9bWqlJYq2Mxi/FcUa1UtlZcxRSGKWCKjmH 9sg5DNSOSlcDu4E4TxoM1Ar6D6HwpJxdpNGwt41EQVjx78UG6/Ejx5Vtxwn6Np+POrTXg0rxJKp+ 61lKkWQ1Vvg5ipL7cbrJCVKTdOWJABS1/RYG3UvRtphozUs+596Gq9nqSfdbhs0nEWtU1T3f6ocR vR1KVJbJoZ1iBjd8jM0m7djWpN3nLw6B8c/M8fGof/QdKREARasCm6OQxpgQKVEWgV54kxAaeeFV 02xskw1N2d7G0OsuzsLwwXGzcAKGUSD59zCwFvYBQOhG6hbFMpynV+x1o4rJ0uwUH7xichaZtAjL 5vPRyV2+ZqlADIlawTZLoTrIQNVBujt0NqvjAkbIZSxE1EyvmASmis4iQvLqgxcoIV3dYXuHzORE GQz3YIm4ULI/Odz80i3wt42uNzm3s7okDUXFk+dnYEMASBQ+H2H4Pckl3h/Z9br6+TmwVUQYO5DZ 7mEkBzLGRcynDLCga/M6cwa+it7wryaE3r8mCWup9aXMpKNmRoFvMKwohQg/sIurqcNe932WOjG0 iXAhDZbk7pF0pJxbgs9Hqcn3LBXkyn0/SymEYZN9/vCGp+vFnlvJISRQFuy+lEIctkEgBVTPF6gO y45z0tGR/Gw7AMZoJJwyzbu4nvq155QRd+iuWEi4Opnl9sGC7nNkiLJ3fhY+H6Qm5RvLT053vV3t HPordhrCUCdI0JGWfZLGtnjgBAHVk9zQYXEpJVEQUJ8gAAjLskajBDGNYPKQHA/nBTMFUJfDILO9 bQQUFcivdw3NMWwn4CVOAgLBfD5S30tfk4TmkH5/m6RYfQ/kWJ0/GUluMqVvkPQX2Y5gXSHH4X4L XRbHuPi138JgeVTCtARj6xSdwUpihxsJbkP6pprSYt8thy6L2ay4x/BBlbez2Sa/eTtbj89HBOJf ticovvRrvZRjm0UIX2W6SgBOuzebbDi522CgnVFkFT+WkxTG3MPsTLYPPknwR6VAOlwHACIZ3F5A u4E08kNGJM+k87LJ3jYSKSSuv0gWPZ+jgqzEDI/rJcGQvTb/QBBPpl+202jgtvFl7R7jSGAKs83a 7ISWzHJJwJrJiDQV4Y5vuBCYPXSzpemD1ydTLQt3JKZpoxtN2ZkO7dgBSFqmLLr1BIq/bgQDkJSs D8t2XKqm7NjPCcXn/xfJXdyxpT/BUui3uMkx2tM1Zffw3KT34n1cyFhZ3B04Ll5RWTpyarDFlMAe AEWWHJrSBuoUCMZpxcZzu9xON2XUcuy4mNXDXXdQbjJA52IYcNJCPv/PhkC3OOBcB+POUui5WHAa rVy9+JaNApqzLL6EJ1leCwhNFxfvrSa/bQ633b3Pm3QK0FRIM2QeXbzyC9lndPyoj6BjDl0XGSDA TLD5YBncWUKStshLz/+rrCRnGFrPXExJDn0X8aO7FRyZa9XpWCO5BWOrKGTaLIWSfFIOKZLCKlcK 6wQjJ0vaWSXKjrx3CxSAk7jQUPrpm6xpbxvBJjCpjceuBoWU88QqXU3vNfj8v+Juqtfgp6H0mE1S VOwelBBZ5uCFGDkbsGTnB1iyp89RbBlDGfkkZEl6kCXgGa2FJQMFGggz8YYr0G4uoBMQ76U5on0G PySYo0YexJCnzrj7beKwk5kZam+h+WL5xcoCT4UNZJ+jEKpdEQaID8Gq9/LtlthaFbiks+HU9YeC MEDMx0XsUVtXQLWBMtVQzRXzESoNVegSFEzwnn08raVlbxvccNwfAM35YBC3E3U3+96NzweH0hzf aS7EcM6J+xzd72H3WYDg+MoeQkf3Y18lI3geSotwga4/9Bekvex+B89zxz4hOTfsOUZ6pWgusLbo QuUTtW46Net+q93eNlhKS85VPHI5GH3tTLcEeyAInkMbxrHb1yw1XKrpCvbk0IeRwVKb1/hjuBF1 2m0aBbIhubD9FhsxisA2tJTGo8WbEI+qDgxXgrVF5C/AvhWoUIMG8I3YzqERI/83EMsePjjeFv5D nKMx+fy/NK/Zf0NbgWUFm6Mo6kYOcY7VIp6xWzig9JY9M6mZ8YymKAq6l6BKJd/B07dBL9IlTecT WEg3olCGFKQlJPROxB7dXjbABAy5UrOfy0G7rWXpMiHZ0EtGiNJvuJvgAFcwI8dujIXS8sW52Mt1 r9OeJoZ9bmwGcYwmQztGkzZKrfrgMJyTQSMrpIPMgOYBUTcDaplnFxYI6uaLbFe7OzRklCY4GLk+ WGpysnRxQkYafD6apV+yIvh56tPJjS0ZK2E4xeKkb12RnlwdvLEDqqUUezISX9J4fpfrM4WDu8KG cQn+f/ZzYQQJ8Z4fEPx/BpX6qkxAmr1tRDlmGLnls3OXEnhk0k48AY1eMtpu6zuaLJQ8mM9+C2Nu dAk7/afIOhbWXZZoJf24tkjz0lvgy4iqknwKVBGoT5PyXJPwzhW+pNJFkdcZ9Czhtwe5vqeqlJe9 bqSizuS00NGBgwom7axFJkPnApx8Prrf8ncCR1gibalsmqKgG82qtpCWCmCCorNgOIV6v/KCR71D eW7ozzjoLly08zh4nntuaPiVJm25EyAsbrmdaMAMhmr1Mi6pqvyQiLLFGKkS+MZBO+5sgjZNxyfz +aBCmcpX/oZgjXq3PklRzI064YmQvayENrIjulr2cyktJ0bmwKNREpvoGI3ugwcBcwH9JllDlM2Z wwyQb3741Xq7tjvsO/JD/lLtS9KaTxfFAjEMFY7RYeDzEYn926SRCcT8CgJiJWykIwoC8L4sZYqi rUB8Emk67uEdB91Tp/bw4UZK5ydA314OcmgMNTHbClpBhL1d/uhMy1432HAU0RhUsNbgzK1RmTHC v4DPBxtuXXIbQ3TYN30dS2Gxe+OK283wuD15XUkIE249XExe7A6tGuVAWIVc5uCpCczzUiFF8kxZ weXJuhKOJdSYFtuUqlD2Zm8b6RuSvJUkOJJccKRQnIM33AkH+HwQT+Zf9ZI8hLa4sxQWu1l9A5q7 0JZ51666ZIJqH7BuKJM2KJ3rivtH1J3Ekky3YHIykbRSIsTzbDVoOFE2vIGu08GLo3qoh5QkKOXA sDEx3EEvgMT/dAka8BlrRg7Yk88HgcD8Bi0Dz1++qt2hZSPVj1IxvpaTbTFLiTx6prm1O/o9B56N iCgofzTW8sH6lOAAwIBQuIDUE7VDz+WCNAe99tJuKWCQ0Z4Dz0an2+ru1qCYcq/Jgj30Lxefj3QO HQCv8gorgg8wILRtxEZBKNkNPVFdcyRBrci7uYSDaJYibLcqub0yHuDgTC4oe/cxtlweNgxViJ7g vOGfCb7cj8d3Dpwbs1k6DWmIj+yUW9jEby2E2gqfj3pw32glgJ/zvHqZObRurLjh4P/iEJORntpb cYgJpAeU5gbejR4tnWXffPDa25pnPyPclllIK1xtwHmd0xPd7SuwUbJqb6F3I+0/4YyaxOZaJsF7 stzSGaCdkGPxD/wTHshTDMWFdZVXc+DfiCrEpFS/KSSAIXkZXfUW39qFdscGjoM6WoSRavC1BK8K nHIs5J4vJ7/4QqQIeEvtlkwGNQ9zYODoOiS10PWBgxJd4PaVyg/AJ0IHR9sJOJWYnyDAS5d7mwMP R9mAIrJOvOLa5ukMJZIN7rv0e+nqohvuDw9HXm2I2WzwiDJB4WfTYa4hTOV+gxsBVP3yyanLo+rX tr1sFHcPOckuH6xiksjlBbZmVj4faWeOr2BJ6MD+HN0hdxLZCei+HlFOd3gSXt9UAKqH3YGPo+w1 kLyJd9L60xE4kXaCgaNoOSh8L+Zw55hu4GjQt9Bxb8veNqAuVVk20zSmXzkGUPxkS1Z3qnz+/ehe N4ejHRSaGOuyA3Pg5ZgJD4VYp7laNwkkUeh4Xansc4XflRQTKOVAaPDu8eDe4ITVIEuHHlw/WUpj mwSFIOxE7ubLyqE4Ww7sHHHRSC2BrgYcLO4ebQlLe1Yxn4/quLcHJyjOSZn6fEpLoaXjLELgbIu8 R/HIe43qvJzBZr3mKUKZ1KleAFHLHLy2VClMWyi7kc7MTIn5diomoOk+bkwpCbIcuDqakCYq5ckH 7biUYMTAaUKrMrB1FJ73iSknW8pXHunzGisVuD/A86EnE5VgQcw2XPNuHIJCiwJeI6VyVnLmDG0K Yqujp2v2ZNAZPnw8k9Y5m1oSEwyzjmpv4TFWtJBwvb3GSUVycnBEkv3uhSrlgRyR7NqznD6vUVJB 2UPnUfvxIKlMQt4YdkSTA5hSX7lYUgIJCkMElG660G2V5LWSYHI6La1ZabHBQe8IQ7MMaGDo2IeU fDeOILRuc2JZPH+h3l9n50SR0rMh+kaDNUtgDMBrqqB6+zo76JN3mx3ux8GVWG12XmOjkpmP9tyS oEgd8hiGTyoqadM4BPrpnJ3XyAhLh+XYoc01ns118tg5TuKRilkanE1hDuE4ltiEv+BbqoN/XuOi AtQNAVx7bx/sxj/Bl7ptfbfPa1BUHiM+pET8d5GnEZ5Mt/po7VAesifzKszdtUhSobUFC0gzOy7p NSAqznWjmoANvnbO6YyAhXEjsO0mxg4a4gknoerw2Bmw/f8aDxUpqAIkYQMzdk0PDCOxs/rq+fMa DuGLmiOGrzgkVyxs0+M3mh0QStj9/lZqYZNNLdwm0TFL0V5DoeJRddeZwsGT/dGgHkuhlnNfbCTp 2FmUZUeqPBVlC2lDv+/o2EGYOEiWt8Fy2A3aKo6dfkLq1zAIT6tOgY0lGckFcYZms/MaBRWLgvra NjvDreMlimuzw966fECD2ZlKzAjb0ODBNNBakIekSv8JGohgg5xW+WlQYKPng+6tklh7fA2Bzquy IgMNpOmDXe67iMJ10pfyeQ2AsAyqnzt0McA52YefO6/hD45yCBQ0alXgWj93i9PapjYZcIvwUTAT g2h2WLg+p3vz4e4seMtdNTYY85CKxLYf+HqC5iptrXrTYGdl2lp2me12L8ue6DM1pvYnnxmf17in PHFP44VO1ddJrhY+8jXmKSpZQFZXYSCwZlLzOzmGy5CcQGW4am90JksxnG6wGi5rpGQytbhyFljt TDHQp0B7hDWWpyA7+KbvN9ac4h/l5YNNTpGy1Q/MHD+v0Q5vDT+UGbVS1Zj+n5yd1yojNlbRNW60 mu5xD+wvbotoNj92XmuM2NVmUTSrDw4RGRsVM0JETioGrC3jZiTSvcpE7eap1J77vJYYMT00tES4 6YM10WiAge9HPdFgelweqlkLDXcC9bMoxRDMDngB6OmbrEbra9rsgFBlPf093EzutbbIcEfJ+1g+ OLofcWSHpH4+87JOPkjI2oIBL1F1udOwWaBsmhS9VhbxC8jhe8rakiE+F89JGOn1gvAJTwfHzl08 PHaA+KX0iYLBKFQ+M4+CWa82PdX702nX6Wi1Pl225p24hvlZPI/FPObgqweS3sjaaayLwhazhw3K EJBsP5STclGNrHcNVo+MvREz2qDVs3um6/ZPI3YmipbX9GtLKRfqE6jQ2wxF8TKuDsCLVCODfbWg jnvXbHlpLVfQ+J2zxgnizNgB/UV/PHFMWpO8Ipiinl21JYMwILMKRgS6rtaApcXW552zhrO5KMgd zQfN0AmlFksqJzjn48HhvH2G1NLG/+QusSl6D5rP5UVxqGzrBXZFzU8gYiS4iEZvF8wXzNHmETSI NtRw7/Y0QWloksrE7a6Ei4K90P2gVL3aipqiKGw2k7Raug+2yaBaqRoQCmXvcTMStvwrbkaxYS8/ ot8Ja0XJNsR9rC7dtuOv8FX6nSFyjTv/zvshfY+h5IPHhmm0s+cN63AuNzmtZKgKncwF+PhbAWJH 8/NOV0NqgEU09pZv43b1f8hDSUQcCnufd7ZaEa9e8yFUxORr+AS9x86qkX7hQWksYNFhM4Y2s1Jf Qu/BczbB3klwvgZNEPRlSqEwLhAzJ+2qaoAwNaDPITtl9HACj5HvGpxDBMyw4W+DJe1nJQileCKU zztTzQIoW0JDz6GkvT1+fmeq8auhwLqn1aMTYahGnWmucwiFHqv8vEfQDuEbnfUfDjcOGjmhK2Z0 9WpsZIUgnS3dW2pVPfo9ggaSTtr8ufmgPOpsY8ETgNP5vPPUziu29B1Cg3GrbqbNUBhEA6B+Ehiz RTUlSFYcULxSEJ3XLbRGUXQnfn9UdnbGRdadGzaVjpKOsDIZKmOJ5xBWOexTBUNW9i7CYxRGK7nY xEpr8EgR6ABGinl/3jlq5anXWyyUaYvq6fs7RY17kyp+3TFXD099CLCOwhiJfrrMolC6S1lklumD pxmQMUYnmrf9ODk7uVZ7CzBSeSVcXhFFjd8ZalinLHAkmi9p0DZrkKHibX1CgM87Qa2Ij/nMEKol tRPZwBl6D6ZxBSFzX0MqPmjZGyntrF233mtyLeFBHUXTg0HfIPNZg8eLcwypGrK62gVKwx5Bawyh DQ5qs7Ae9q7vEzRd7jn7YODGE5ls8RyhUxOF02t79ZDKP/S0lIcKPzUKqOGrDTCGVciEbuUma90n CxX/bDMURtQkVI+8iw9eXZ0gWwHZiVQ1Ad/Mq6xTn+7MJOCBjiSeU+8azRB69QAi+eBA4lV0fxPS EIXUBvC1JYRaFM4AryBGITUuFijteEtsX7ZswmUjNlFLVM7q/DvRBKnCqkpHfSodYMhCYoJ3fd2A x24V6AvkmNCgvx4qVCX7vLPSijSJ2Qq7g06hBC886RaU/Hknpf1XRtaoy119Bb1z0jCtg1ZKxT1C Uh+O/JRPM0+hUi2ff6ekcSUyk+/SfO53gk46A+wqlQBxCgE2saVoXM4LogKBjXaJe0UvG1XoRdij UjQHhdRnl00mHTgAP++MNN5kvslYb6AyF1rGNkVRGXqiHTTS1MRABcKcnPKJsu3Mbos0cNxk74S0 IlVSFDvob87BO/QFCqWNapknqRizcFOdXQd5JbQEEEt6zYMqR++ENMZDRAxxEWkw3scJpYfQVbV9 3ulonGE/qMV/WI755AzFEXVC9WFnK5j17f1CGa/zoK5k/3GGooiapZ3zS0pWtN924cBqhNknLeE7 0lZWhRbiPSi6SdTT8DCdmr3vVDRm9oUpK8UNOHibZ0haDuWVzzsTrcjR0DNXAh6Q9sx5N1oUVOOD 4ChnPdWUrqxxIrTVZGmGydK8M9FuPNQl2tu/RXvh79ALpQ0arJ6XxNU5m0ywU9l+FIk+9M5Ewxwt ls7abj5oo01QG5iYnf3weSeilUfXmBY4TDzO7oalqs1RWJbGYTS8Ag1ny35RHi6ODVtCYxG/89CK jHpRcl3NB48Z4ZsD2zRmZuh7sjibUY6oUuycXrWXzcM7DY19E+ywjhjFBseg1y0hkT3X552FxqXu FSIuGACGn4jonYOGxce6fTb3L+i62ATls2i/youmI/JOQcNpvRhNJ7Y1ONgiQqX1nEfqy5eTF0BL CDHj2duNwv3wdrgloqWXfT+KEIifWAh2szboPlsnjzXqXdqfdwaaNY7ttMZ6ob8bdQI1RWFYXSfh 58kutJJdI2u3KwI5CGTiGnoPq+EyjimaEhKbj5AYiGIdznIsohUqAan9gUoQGilMXB9LJ35GMEPC wCSyPRIuP/doHucVyR4+U/95J6AViWs/6T2L7lQC1AyFNepFLRozdwDMw4tou3VPXSEXr8P6PaqG fggrQ5u9Cw62yYDLOxtKJAbIASUzDmNA3Yuk81RDG2yNvZPPSnYkVSPLmoOVPzDRDGnOHH/euWdF lh9P5xCN3lK3NzjemWeYn451M0wFq0tlh/WhUaZD8jqMsbTJ3oPqbEH1FOKMg6cdzF3pGADTvbMf zEYVNy0iWx7Z5ruHyO3zzjvjHiNYQey89bDzoEnOmiycjj/vtDOEnGN9xYwshoyb2r+zzhBHdSr0 M4uH1HDzphgKaMnBeGNZGfaddHazjrNghg+2xVDg2BXOhsg6TnRadJI3ojw2Jc5uPERMxzvprIij eU4fpgoaNEHgMjbxYEf+vHPOipg6TzyUQRPo1eOhd8pZkbAKRMO7d4GmH9MqZ6mAhnhQExTWqXmP LQmHcLAVBOTYTov2ukDOt8Qu/kS9aKMjrEanCoxFrxrNj2oeEsceVxwbGn1DyIVzCLzzzYqE0r8S e3JNbtr6TjfDAso0wXDbPfp26IyWF4qgCxfN+c424xGEs2dWioVwsPkBdUcrioQFGWZiAVG9m27d 86me2btGWZlcQohT5GBp6yzdSPmdr/ivI5q7qVBv+i6gKKDGXz7TwtMGhir03uARVNldIfJlFbdR eSeaFdfBmpNH9ZxXwhDenzhBTecRFXcqQp5DKf/Q+hBRv+uqUgPjnWfGNmulO/wk9oXkEaI7yjlK mM/9QMnm884zKw9GsUlRDUfdEwm9s8z4s+BoLm3aFnOzB5iAlmJF/Fpds+CdZMZOK6ZmCSi+6u2V YX+BX0z4y5meQengM4mknsM0eT6VoaI3jeYnU2BActjTTzmwQ1KVj96cn3d+GXfYbSWyU4bmJkA/ Nj/vobR0dKBx4aH09BWUxf4TfZqKDJyfqECd2IJGM9UHn5+GTL6J9Qq4Y8liDyEMQsdzUglbNXyK z7+TyxiNMlCEbr0NtsWqMPAIsOvnnVuGpZDm1xnUKA9R/ZZ/p5bhQyGA2ZI57Z3rjOVXVIYgoOs5 K1VZURl6Z5YVZ073r8Gz+oGbXcaWqMQsHMwV/XuamEA/hdrFOqX71stGtTOBWNfwwULp0dVYPDFd /7wTyxhnpq84sVFD8Laj33llzOonjS3NUm6N0RzpscbPJU5bffqdVca6FJut9CKgpy5OBCZjEFBf lS4z4HQmyqiOXQmhwi0vU3Ql9SPpXYNmaxPIQza77NR2lYZKbeR0gp71eSeV8cb+Lp6h8tUoJK0Z iiJpkAQ7mXVSvSqPs2W3//JEipDQ0RSFBWp1gSiTrsE2WZvnlocZOsGtibP0Q/vY+gPB/x/E7i5a zEjxnVFmWK3zfkXCzjAHIpQKDslJlSFA1D/vjDJ2ke5Nj+cQ3Z3b8IIUo2AaERcOn2bFM1GAGStm N2mGh3OyKYoq1GpvjKZ2dLvtaHyVNmsWGfjkjHB2+qFJMzAI58wUQkaLaNu7Bm0gqswuwG1ssGzj 3JXqBJ5v/Xknk+EV1Sn2NhDetF5IzDuXDB+6CU/smpaTWaKhJaXCkq3Q2AhkIePunUqGTjFrZ+RT 2nD79RBeG5SYbWdPL77Zud+gOr0XsXTXQJY1vM87laxICw8AV55DZVMLlfnq+QKcoRPVzc87k4xA UF9DPLMQUtBSXTMUgj4KT58ihTS06yvp0RtGc5gVIM4IleIERcH0lKSMBTo73XSstgFhC22yM1vU cgNI+nzLhiCVOlfWSETO8HknkXGTsXYvPcecHXJWuZrkdtXH551DhnPgV75K5bV+S/jvFDKsIHCj WjX4L9gazbeYqxWB0rLMHPWdQVZENiEnavhgE9ShQFU65WQ6O4lyIEZnWHx7QOcNCky3jM87gwxL oHaZg2QfdJOdg6ENoZ/H+rzzx8pjuqd8DOdvhhyszdB7OA1AJ3dWswp+pXiBGonLhQrB07Ca0Dt5 jFcZ91ijfQoHP6fPLcBjCUsonQW6KeNMgZQKwT/q8Lnp3tC7Bld9Vbls38ESshOBkqWBcP3zzh0j KtwvMiIcEb7Mi1p8Z44VMSy7rCJYNNvuFJ9zfxAfNEDgHguL02yToYPog7fJwKWHQTz5rFCRSfIM Q5EPfle4SixjJWfn804cI9ae1TKSKzR4yWMNmb+Wmj/vvDGW/9v3TY8jv96b/p02Zs36c00V9TVO 4FwczXDu3WSN1kZXd66gMJxWD7rZQtreJhtoswKlToW0c7Ge/yUniJI9sNt7OkCbkKF3ztjlItRO MYLaL40lj9SzwJp7fN4pY0ysPB1T7oXF058tFsM9wKvbyUoeNMEzawJhhbGCMvvanKAwnNYKWoxR OdxQCAwyAPEgNnjW4jbuNG5ErKbCjHWIjmDvGoCqksAMafigFQQXMxJCz7bl48EtVvNXwroh+4uS qk1QFE3LBmR0S8havwzWLb09EhKAptYWC8NpmyBWxOZXb2Oeuwmae4nqcRDbpYV1RzcC6kE/bCrq CCLi/p0oxoSK8yPkYrrIxfNLzsV+zrkL1uedJ8Zv+l3ygM5iQeHM5ieMpRcS1mXSXkCtutb++YW2 VV3ncK39FkKni6quPIA5+C22YZM65BzLKFvkxD7VwaEqiSWs1PjFZwTXPPnPexklqt+E9aylphYi jqAolHZCPT6XMQHPQAe/tjCUzpk+craCapm+xWrLfkifqMWqZi2qS2uGeprDB2/+LEij1rpk+zlg BYFQmmKr2CaZKgRWd9UUhWgPNGVnk7luu+a6CU0G+VrsxMeDVv1dQypiU+/TT+kexdIQRgCvVHKf MGPxyn2i+iXLZrBfN1rLeyytKAM+FTys1xdgCI4ZHQ6ysm1sXDwkBhErBN24dENpls16zDZk2Yxe ixpsDWWTjytlVDwewYO/G/WIy/O6rY0ehdJQsOnq90lgvw1vbex8JRn4/ThBEdqD2R80nKoPXrmf dGuWXxMVB7XJaAWKNUHGh+lWEBzco8p0ZSEHbAcf8H83FujWtjmpRo9C6VS+i0LQucj0o9H8xIVp RPv8Megg15In9KVv76/u7lI6PSpMq+7apxgK8zIUzprY56YsakDDmxDVZ7RKAHVDeAH++yX0opCJ Dwm22CAzCnIOGKgMpowevZPCr90Kng9oh5f+w2yaUv4t39JrD4mHFETvTVxnIA6GV852M0XrVhbt Gzv/TpSQEbi4S/PB0R7EjVZKMfQBAWXKDEzkZY0OlZSsMNQZJSt6FE5TF/QEDuQpcPAOYsnDND4L Ho+omd/FaQZD6aLOekQ+BGr7hMuobFEfJpHRAsGtPD1YTFTPQELWY6gHg8Uk+GLy1gMAQiyftCwB tLyXEcjgj4zjnTU3E6tgZbFH1ek1mMpnJq4FZAHioGFEO1hcPrdCwePRVfadj7GThzTL5ieOpqEW f40au2OraAvtsl7nKjNgZw/5h7rCqrqD9cZCJ1ndiBF1DGXm9ywtrk7WCGCL048hJub4jOCul7f3 7s0HwwavvA2Bj5MyCqfdL+Zsb8YFzU2sOEFRcRonQaerJEjOZxzDPRoXmRtI6dnw0gTF0bTsdXUc 5S+p4QHVNHVYoeM6qe6EprEVhXa7HugUCcJnBOd0YodVOsocVPM4l+iSRsU5UfF4cFAPv8gQFVBc q178fX+PpgENpiEtLii566JHSHvG1ZmE0RGVXT5usSia3suKQtOHW71HrbWxw4pqPXSAWFY8YW9L jOXvFtusTfeoNl0peFrkHcuBn3FCnJ4YWqDPgccjCutvph28qy6FtUfYaXR2iL0U8HWWLWUBIGCN zYqmlp/REcjDgcGi0Gf1GlQ0mwvpil1km560LJp1kJD7z9jXvZJThc+IIiH0Y2sWTSFfBcYFoWhG MueuweP/C2QxQ3MU9o4+QWE0vamVt60m1NJlcKw0HNXZqFTAUCgqTCtTBV3GBy8r4pBumflGw+nd qYWW5pRTGhKq5D3WTu3cHsE8ZL+3l9hAq3hOXzeknvENzybG4/9aQtJgBtej3px+ROH0pOJ5NeFl aJ87lGrN9ksrh9HiiErTkz3ESQthDR4tnnCoFZl8n8MIXox2krMnhB74l4dO1rtGDSBOTaboKQct IvycLEOdwyzj8SCc7utXLITJSZcLPaJ4mn36PoyMAEa9FxZzGXbTA75iChUjrk2zHpTU3UhfoRDs kQZVsxa0Kmtl8kYYCSbqZ7JZVgQvT3rXiI9I7sYSk2Nd00pkNMYm3Hw8wnR6RsbgG+ndvkCGEWKn 4aB3sv/uBIXpsWJOV8IDdndGpY9q0zTQoFSnBka3XEJlzUKZXFA46rkIWLwf2FekcNBaym76s+4H PiNAdDYe0Em8zVTMPw/moIvnLIoSeDxqbnyz6UF3yr175XVEwTRENLqSaap4jHyT+jS7Q2GugveI gulluepU5kqlPknQLWg2bYmc0KWKhcUBrSIAHH6YN9hFPzE/MdCDoGBNk5B7PE2g/EZ3GOgz4PF/ STHwx2MVN3vRY0ShNOFwlU0FtlglAceWw76VaZLLND9RLD27+oc8rU11xEr357d2YWoIV0iTgFSE E67xom63/UPr8xGVpiV0khJ9BcAw+eHRc87pMSprr+PsQjwfEcm8T68zvaOo4JXFEUbTaRHnalzE PvrlJ6QrAo+IxvZYFE0v0zoZxQcPFpEjQWaJdz0gB0o3KMaEakq7CoIU7+S7BjlrItSDWaYG626c HI9lnbN/Jx4PDqHlWb2AsoyDLvZ+hLDphSC6O1uzDiclwJ3K+/QFFRDNUASbLryezrW1fHgSsjMt kF5QbXGpeo8ADKA4pCGPVg5x5SOU9EBQj2yv+GAEDqhekTa++8DjQTS0vsPpAuaX3BY4QVFxmmyh Xae3N7bdY+foGOMqB5Joh/mJounJGBkGrRoIMGDdjI7wgz5wdJVNhMsUtsWAg5yoJruj4Pnz+Iwo FCKNVbqB9eoGohHUpWJ2tjAej8SEHvqGGrKoDKV7kYXxNFLW3pqJLPfqTdZzj5Xhm6xsQ8OMKKBm vQdI1uWDV4YALWv0aToJx7koVVrMQ57uxPhfzCJ5fviQKKJmzioTuI5KjOHKof/APvQ4USSeD7L6 +g0LJiyi3ybiCCPqQh3qbcEQbJI9GKKtlIIhdBC0isL6NLuHjeV4DTZFE46nwEohONyoscsMnhWk PakFnryEzyWMDwkOIuq8gorgg3fql1PJSsHjUd1jfKX1qBLVlj3nmGF9utIKfipX7cgAFQIlWmJp hpoEzTr/ToR7JV6oabu1RwwPtVE4EzErO5M9BcrDakK4RjH1CzljyjFDqEch5r6S8ctBE8QKNUk9 Jx3A48EEtf4VDfEcxK1hExTF0zgC8NZNUA82dAn14BcpjBYz9WuxgmZIRqQnUF8MBTk48r6l2VjV xU1WMlFCyMnO12voxgJu79VXHC34jD/r94vJKwfNz/mIWuRm0goe/9c5RNsh3hcm/IZPjeJpMNTO Be9mlL3uccOheo06pN+NGQq5iFW6A2x0cLA91gDuoyATCviYBbHqwdipUC9lFmd8VuoOzLg+nehj JkXl7qqEyF4K99vJXise/6eGDsts5EQMDxhnFFCDIgQlpGx91umN6JNCXA5Z387/mXF1mpdYS9MH j4Z6ItUiiTR+TgKuoQq0QwEWGOmeF6dJRZwh1kOGAfChscFKZz33LP2TVfB4JDn5jfWAmlet2StD M4qopTgtY6VzHE1cKcb4Ldeqc5H6ySUURdSDjF9GzjY41uPciYX66+SMr6bYm/ieE0Wcy34/KsH4 cHxGsMkSU476DL7JzHailV7xeNBFbBeziCdhZF3zcwpFATWO156q+eL2JJXARHGPatd+hZ2GHdMR 2EM2L73O6oOXhk5ySXFXlYbOezFiSpBRQp3ohzKdRiGjF86MAmrwqXD+tOqDzVBqk1iEdhYoHo+q r99tMvRSarrFxRmBPYBd6DBiMwKQmC6LxTffbucYxNXBCYrK00paUdbwwZMydJ13kkVnOodyZTu/ FUZrrNytR0O56F3/OqdzUfJa5oXD7FJZRzvpcsPjUUr2DRdirlHnPaZDEiIOoVasXiZQpwkDPwyp hsRAh1Aok1eJNFuMljn4IQR7gJ3NMjivKWWCBnYm3CJ/iOk0zykacsyoPE37xbGo5qzBaosg2UlX afPxYILSL8wimgGPcMWM0B4Iv7qqpeoAOfMwG9eFLE0CLDhBcTjNCZLiGQev31eA4xdzVhRHu+pC FU3nAgYQXtJbZNSXnlE03cRL2GTWc1DGASlZ1hnPlu14PIILfcOCkQiWdJXOZkxCRPWz2J3eCzoR dtHXS5Fae1gBf8bKHqq9sjrP4cJhNtZiE5ThRNlVdWnI6RV0YGgMbyREmrzPUCtvStKjVB9sgiAX rxZZLng8CBVv7ZWQRWiklNuqX1EsnRYYyeSxY4tBGI9bDHAcmyrUErJusRVBPUYRiqwXH26HrI3c ccadHXay17a2PBTJ90ehZlzZCilvrxDqsXVGq9W63EkZrgk06EH9pOLxiIPooSKLSZsSQ76AVlia hstk67s4pJMlRltALi/UELtwh60Q6tFvOeh3VajVNc4ZzQ4Q7LhbYsJWWILfYDSMCxaiNyU+I6p5 kJuw2EIcF9MGrbxG3Ec9yxOPR4Cz73S1Es+VvUm/QnVp2nDOrZjwLBozUALef/gRtKUulPh3oi69 zh7i8kbnLU9xGpCos/lLnsz75HWACiKXGoJTkfbxEMUbPiMoTTNN3Z2G5fsqOibAypeF0gOPR8mG H0G68UgF6XeGQhZiZWMs+S2Wpsvbz+LoxUZComYohE13aUsLWL4fYHnekNknnvLM0PmgOSUliCoh GUBPYREi2fyMCHlPJVzWujT4DCXpeQEmgcejdHV/7TEqVeNysAmKQmm08txmA4W5POThtpcAecB5 kNzKFuKKOYhmKTl98Eh6nqC800idWq/nGMIUpkFpvAVmzWVu0K0Bn/FX2awMmQAND4MKWLZD/bGU 8fi/JM6QORnqdV6W3YpCaXRRTqo0bQlVGsywbFbAItcS6jRy5DEdKnpMudvk5sO958+5AwsvAhk2 6PTsO0OB69z5bHBde5tEp6QVQT0Wy2ZTGl5zPyWPkeXvAfdzPB5hhS6eCgsOjuwFgCibolhtmvXE pbCwn/efl75R160sOnJ6hcG0wX1JU+Xgu2ztRWEhMqRAYWZKnympfCbvZ5Zr3sboBp8RzBD+Nyca qEVDdv7PQsMMKdbZxHj8XxJVapGByH9LryvkIbZplqTYWwimhzOk5gXDjOlOiStETg+JnsgHID0m G6MkSkd2KXqcO45s4EwwCkiJbaVbNWP1fkXBtGUbjVraHIzesmdlfwPoUzz+vs3WJfuyStu4vW8+ tmIe4qaiRxZTc++pdXPCo2TZBgygrIe4YrCHJAQZKXPwCYJO4aan1VlBgAvRT26hrHgyPqyA6fJL BSkI3zUA368twGvyQWiY2thhAsJlVTweNOrHr2CRxfEr4bXC0vQiB9r0X0D2dfGlST80FYVoQ8sZ ipWnlYauO9geSwVgKiCPUZqeGeE0JbwAxgOAmNvSgqEx9K5/MaSWjMjWNSI7UT9kr34YXPHx4K7f v8QoC36hWxTaUTQ9IOlRGOerzboM6nGO1muXUFH9kDJ3VJkeSdUgK1BfYaEBoZYMa2QuoVYMDVIT LhL6ISPA/DaS3lE43ajJPckZ1aAVROg6E9Y0+HhEcLlgGM3Gr7t+v8fTQIpNQqeN4NLydMsEGNo7 Rap2w5bvWNNDqty7+uBFoY52GDWNgWE7q4jlo0TqEdLG0bz/g+KO3jWiaVapUCYfHJIHl018wRMg 4fHgIks3Y2VoyMbGrU3vEDwNMcp8MzKSYnSRlWTU8UwQmc3QP8DT26LGR/WkwbGjUhABLLvJ8ipz eqRFJ8xCpu5Odmgt4zOishlTVkb3Giwlm3WJwoNwaMc0RN9kakMD3F7vBEXxNMQGutzNeAql7VgP uH14Tl+ab7IQ6yFpbilUcfCc9cQJtZDTC179yV5VeWXkjULNKF80xKJ3/Uv2pBBcrkET1E4aIB3A njYej/gbv0SDsZe/TqEQNw20EPiTtseoky7A2XJfTS4Bm6CYhqhMwxi/8yl6nBtVfkssvPLu5ynE LtemBN89hVi736GsxxY9gSnZvMIhqZVWm7mJVjweIRm+hYUKAvp00TA7FMgjGzk104Xpwwku0Cpe NkFQCrAJCqEeDHBQA/LBJuiEiaXzTZCRlUbvKOSs6JcAdFvZ/lHZjLonO6pM8+uMNdodbIvVxWrf D/4oHo/k3+4hhOUCqFAjnEEzFEE9Ei3Z6LdJzCJvBC2htva9yLrPUKyQ935Mo0QE34pMaDlqh0NU 34T9D9YjjYFXl32tveufTE2CVTUYZvEsRdZ12ELccWnaLzIGltBd6cszsh1G0wVQD2ZQ5CZkcyjZ ZlkHd6VMAg/nJ4Z6kAGUWKDm4FsMOm1TsNcT053AUDQPMDcLeuRgF2kFFQoZ4jP+4mmWSagYB1tB J/9dgtPXgceDbOOqnvAMQoHgAeTtKJYGyfm8YnX/BOIlJJxT07AtBl6ueIg7DKaN4ruWD/eeB4a/ MVREnJWqlLuRARYwz/aNFDd7PzsKpYFKhKRQSj7oCNogWjOUTouPR3oVfkYzhFqUyLoinTuKpaEx BuNmt/Utdp+hcr+lmQfSeLFsY0cwj8nK61giuayHm3B+21S3NVgb5JVVuieSE/t70j7BJCjtXf9Q 9EDjMPugFXSOrib4T04Dj/+r8polaQX1sGvMRv5KMEXgJHRzgzz/hDoE6RsL2G3Z+uEuMu37FIXT 3Cvn6/I+46A5amtAAW7Qa/z89bOfEpXKpcSLC5J6O4YWovwSPuV9lpZmadEYkrVaYhVBO4fC0w+p FpN/4F/QTmxRyNU0lOt8mkKZPJwGibqZLJ+lJGDM7jT0JtqDLC15jae/7Q9bZ4+jXcmEeU7qE1Cf eIVZGYwQF23q50TgBm0YarF5EX9se9s/FN7P9Izsg9IOqPs1qdy2xueDvKN/H0cwaiurP5MU+rls /KI8Pwg8o/270J3VUxB4sZpAN/5QAKGWp9Qmp5eDw6qIpupLVnbIXGl1vFG/b0DoLQlXCWNe7W3/ Uq8Aypi+9WhiNaUePkvnmlv8A0FcVL7xizSaJpTbZikKrelYO2j/IeLmBTUMh4IAF+MKHznFdohE nQn1wsF23IbP3JLFFhzfFzflgKDwmSVEXMQvega77XWDaqxgZ5k8cg66+XFhihR2onU+H7XMLvKD 1VicjO2xnEhRgM0MpGez4kADdl9e2cyXr7Csq4g/FEyTEvxCMR0OXrJusPJdtJjqzPKp0YgUkNI9 BFE4IJ+HCz8laHvQZCqpysNBeSw0oLvsj2GqkEL8x2WRG5IfzMgLIaKOexhEnt+YkwMmJw9Usssy Upms5iLrZDq/w7K1XEvyGD7cmmw+x/m21QSN9aya7LmVGoidVNc1EBGjAHxIhNQThVyl/emlfaSX 5u+CVA3Pv89STd8Qh0oVhFXvJMWKH2AuJBPD76U49yWdcNDOqHNYsbTf9YeCQECJ/iDHTLq7WksJ Ohw7UVWn7rTyYLSZIPvUIaDd5j2YGB3yQ6L+ECvXlfygdW1kyshmzXVi7s7nI7L07THKOpDWS9cn KIWyH4lekk1Q2A5XNKeZsW1JsnRL9R7fYfWalPsmrEx7sDIdbkrnckvEypwfLolQnaDmc+JIKNl1 rxtRh40fErGlC0NJuqlPGulxls7CJFwUGsqNz0eYPQ+YSBRE9FL6s5SimBtV6pPMWk4CxbLi1cfR mp3ecDN247tI/KMKUFTL9sFLR6BCpizg5zmMqGlByZ3zTWGRU/MjpCcf5BSLUrMyi9jMBqeaQddZ 2fzk88F+u+hqJrao3Y+Lrs4prGE3kqaHVY+KMNWkEY4rKgzROJ+ksIgte8Ams/H29EH2uXsgriDG YoOyESEPqHOD20MFbYGKSkayyA8J6keEDxf6O2mwGy7JshjogMnn/ycqDBfk5U3n0EIRit/AZ6p/ D3jFNUUu1U2RG1R+NEmBhyLIYKyQTCkzzvxIL1dIWw8CiyqU4GWgXDe1yKB7ShVHcRZpGp0DE0W5 Yp/Z6ewWcVB6Alwv46ZKE8XQRfFLnYDMEJx++9lvoY0iToQTBtgsdRmMygEvm+x5hj1C9VmKwCGJ 5f4pkOx8QLIDaS0btczh0HFUW5KYR0iKVQjefnf2c2ykSFuuidexwcoA54pWGn+2Op8PAu9fKvn0 1YVkv09SGHgnmJWqiI08t3ocidTKxGTOGwy3CQydFAXik0CHBttvMJWByAchWA11bHoHkQCQqT5Y 1sMaSva2UdeIESUTJ/CPtk7uPM7/I8iso1aSAy9FRaTPocQd3+qz3yJlPXDGoBAhxR1gXM3RNS1p D7JiC10eW0lh3M1Iac5xB03SOaJh29FE8ISJzZYwGhzIM6ztGr0CVdNm9zoHboqIdFTuX90H5w5h Nf0wfK98/l9iDoTRNIVWd5Leo27gCiob46Yqk3hlnEMJKnjJzqS6RvM5CjmMxUSYmw82R3mgBI0O CJV0G7X/oQeCWx8qoiSdXajRsLeNUBBLRzYPpVV8JW1QuFgMSDDlzFHQXeZ3ZRuiSJSD9kmKBKuh WtnPLNl2w01n2y0xcZT+F6ktmqW/oSKjjOqDzxLL2UU4iLEhgE6LdsZLQNYQQWFnElVlcuCpKA04 5rnFB3zIPglNFuY198LHg/5R+t5t1Igu81lIIY8xF1LPrBbQtnsqou3gTM9F0Lz8b0OsCHFEDbAZ G+xIgmgKbV0Bpzl39fkE6pyjRNToBAUjnsvUK/a6UcVEk4QeigZVTKBqrO3Wy+bz0cG9vw5usDfK V2ISGCuCFoGQG1a5iK7BsZuOF8EBoerbgD+JzVJkAWOaDnXewetKyNf2oLDeiQHORcqQKi2Ym9Dj fn7pFlR722glJfpQEUNbl3O5SkapUBeWvWSE4P/u97dNa5VrHBh4KyJSx8nJ+gYYn5sqiCLmqyOw CTlw/GwOzBWTkxyodDqN2KMyLkx2CrTBcCgBCFcl731+74a4O7enG0k3Gn7K+yx1UmQKfZI0aJbO fGWLAVbm81FickVUGDoSY5FuwSQ0WASiA7eaOZs1+iALyK9TnCohqHPZNMXIEaGzmJv1L7GrcyqP c6kZOmsCu4CZxOT/EHFJ1oqZdMqTO7RYLDJ/60Jp9SsTj7aVuMN5Nj4fZCbllwoGad1Eq2qWQpdF FGAhv5QMPbLqnaXkvtzQc/IdF9osLmHQu9w6bkDM16/wEJZG8wkBJASeYQBxQu+J8teDhMz2tpGO AaeHDSEMzUFsYGQwVCpYS4HRoljgz45DsaZA/8AnKax2Awp0rrIqGBtstHUsnaBsS9sah3c1EnoO rRaHbrYsHYfc7lJKBZYgixqyraLDz5JKYR5eT5jUsLRUVOKPxQ+J1EKohb4Ye6+rwwpC8izqN5bJ 5/9FIZZwOhUkb7kk9lqEA1ymGsN/hCZ06lWjjin9Oif6HjZJYdBNzW7a59rgBcrSRx8yWwReB96U OJZADGnI+oAp1KlUck32thHOhqFSVxoHKwSiv899gHiMvZC9Nv/A/wKIRAv3u3ES+C2i9AakTTXz yfPtkiNt2nCYP2Kfu99iZLYYaia74zf02W8b+qise55zBKKFFEw7QWYBVxXmFePhgZZqrxsgASih tqcPVlWq0KYbIublzuf/yaCROS7UQJ9iQGi5CEvOk2OtYRlcGsWSE2KqLTm5ve4ceC6CLSvdh3YH r72drB/KRHTpXieqJPcTmrKUlUahu9xmN2XUcuy5WBkmJUo9cTAWDZzWSYI4FzOf/5cUX7VAoJCS apMU1bpxkMJSs3jtzaxgQU/Z2SElvXoGF5ouLnmYK9Ef6cEfg49+4nBqzbUT0yROzKxUpCf7DGVc cdWk5phD18VsFt1aSsMLfPBxzLIEzEvP/2sp8S4j4eTS+XLou9gqQkpVuCmx8rSXWu+Xz7eXT1Io yCd7hk2pFQ42SdC2nlmTVAFwN4xpA6yEhvQj3bYJzTtzaLxIa8pJOKsNKphM5U9YSWvw+Sjuzl+n UsYvk54eXOi8OCpaPG7J1HavF1niC6kSP21zFCtcq+Ym4/e5bhEX5iVnN/chfeI1ZOyt6jlBi/1a ffDG4ocEc0TDGBBxfbCFVBHQ4xuy9BZaL5arOqfYETw6StLbJEVxdye8RK4DSHOh7GTbTYphhJcs wki7/lAQBUgV1OqU4263DisgeDDSXRCZrlWVkEVnFE/gwnFbS8veNrjgGEkOESM4GMhtQc1f37vx +ehM+uUvCHGa1toTK0VhN9JDIK2yY0xSc5yb6OqVOry7+Sz9bWzeJmu0HC7IZJ3LrEtu5ew9qA0i 1SKhFEz8TVSO7rfa7W0jSDtFjYbgtsMLfJBjNX4f5MBz6MI4bu1NMIINVaXbEAhtGKm30rYxseBD ZBxaOJc4cYTKBdpwsQ+j6MWtZR88VkIZBpQIbrhxpqJpwwG5glQPLfBvzHYOfRi5E2cT1ISDFXG3 BWfwPuDzUdD9XVWC9Qal7n2OoqAbeVXbUgQHV2s6+/pcqQYW+KZG5MCKMf8/1YrGZhrH4YKSz022 2W05M1VPJiC0EjoPCDXhkHInaXR722Ahkczf2O3QYNsNvRlhSrNeMshyH684lt4K61B3kkLgNgzO m0Sx2BjYRqCFsnx38MS8EJPQj7GzMtmm8Ujm7eSWckJt1KKJbScGlMQ1nNyiXYBL5OB29btDR8ZJ Ed1J420NSk2GHFSxg9Lg85GS4W9BVRgWPtdb7MlY0X9rbVnTZPYrLEJdVHFI8HK2lGJTRk5P2sUH D7pzh8yWGADn7GHbjN5BaDifj+PSUqC079sG0eQSAkf+sMlpu+fgHrxywArRS/5rv/GMpp7qes6k OOReNJIxR7TetqUjaGrZfmtodvhSCiHc7Ae0JWjX6ncpnez+hE0osAFg0itl2yDbdL5ig6MemFy3 rJSXvW4kUVNpAcKAiYMKJm3tJJeChVZuYM4I2ui932hrB2Biu1ySHLgzAhUgdaNuABM28ojDqWAm ZLUEqO3BNDe0Zxzsl9BO0AYHmJzDpk0pHXUk8NyU5xMBCICt8ga3THXclbq9bXAsqfj2XxojZ76y 7C42CiaBQeN3tVt5P/Zfb88shSrYizYXy+31eBUq6qYdABcTrm+fpQhh0syhWx6N+ZHRAK+m7UX3 hAZ3PcErErrsKFMj6L8tgdXtbYMbbsqXiM1cDtbxBnJVTro8lkI4d/ayErG7zCHm9U3JgU0jtty+ /qcoUUrZhyxtln8ZBpwvPXzLxXG3YqW0fPBz6SQjJ+7m6Q3u1sAhWMFNg7grUFIMlGzLpWWvGylA 8tjuDJY46GAqKAxvJSedzwdb7nqkGfN9Mcu5paXQrBHYFDiTJ8O+ZW8LCGTC7dcmlRW0msLIm4kJ LkwfPNGFqcwJKYl9AyhjUiN8UyUYXq/AH3qRsvvbRnxtxZS5+GAFb/zLRCDttfh8EFNm33MsmWRm j+WZpbDgDWmfDApRkTUz7Qg7Y37sAwZMG2IbAuWGho3i4FTVaetj2NjRVGqV3OFzZoNOkajQC3uO zp4KKn0eMZGllAPLxkRV4nPq7XYHQwieRFdaByea4PNBLHD1j4yyA+Gcu+dC00bshbObsxVNkqQO O2WNuwEozjsMD70D18YTVDQhJ2gAPy/CcaI9CVENoA3Pdblp7UR4HBiU6KfT0dqMVEhrz4FrY9KW g+pH9UGxNyzTugTosZYC20ZsOQ8rpcYCZS8KqNkshW4ze7FJ6fW36lqrabLfo0Yc1MhtliKA9xIq oEjLpjxCWtBVT+dcVYJSoNRv6GYsI2RwJDrtx+c7B96NYmThRKp3UPANCyzJ9JxVzOejRpzvOHs5 qi/fSYqCb9Cn+9iXTiEhgC6LkqRJOgvsFgQC+0bVklH0ZVg5nkbcyf8rMqAl7NuJVivrmHRnKCR3 XpWNklV/C+0byaQ4q0YtlI4/REl5SCtkclzQheAfiKRIborCRA4VuMe4KAcWjjAjXpcaSC2Senld oxSvwNV+d1xc8hbtRADm9TCUiXxLQ+5XaDx0SWoB6nmW1gnYbtmExob8jKjDxCwX5GsbDNfVUtM9 PgChCE0cH/Abu+RA4aX27LcI3d0BRV+pSEn0HBptm7xoAmZAMr7nqyS74f5h4/iSx6FvdFZJI9Dk hMlbMUMidwhW94AfurRf2/a2UexdWHojuJuDJql0U/WFry+fj0gnFx2gStQmz/DOUsihXJXcW29W KtulJMkoRqdsfS3vfAdejlcMgD0wDZdQca41wLiUovRJ4Z+xABxtqIpCluyC35a9bcBgqiy9ZW44 DooDIE8iiOBOlc+/n93rsW2m7DpaUbhffZZCqAkF2qp1b3sTPYB6x8RvcpYmC/WapSj2HtxFvZCs zMGjyhN2nTRrM0OBfHOiQeEqNERmnbI95BxKtOXA0lFsUba8sw+WyMF8jjvu/Ah8Pirnfov3545D eT71pdDVEf8OJidZ8D139c73zn4sDd7XmqYIa1KZm0DVz4fv7gnkAIg1mYsnNhi5jRp2wCju3zpk OTB2lK8dRbOTDxYIQMpOBldoVwbOjt9ISiKXK+Xavb70eQ2WKiJ+gBhmktVch3G01wRWvegAEEp5 dL+GSvWsZNZw52BfhIPhusqZFdg3J/YqT1g2RXHqQJOgwViIqChaR7jfXgOlKjVMXPdK4erF485U aGEB1haefpmdCtyRekvd0lz8DIs6ZEwco9lhxWRnT0tkpyJ8K2mDhZRulyF7DZEqGktcPyJ5zdzu 7d/gqEMrORaV1lhLOnYlqe51NjT+i/wFfX+dnhNs4ywC5qL5YD2TkicTCrS9P6/xUZVPlE0Poyke /6xOYnpew6PzFGwLoIZrBiKoahhOqSpwLFIUtoLSa3BUPYJcBK9p8L7bSQPhzcA+F87UXiUaQLdr 5Jb5YnBn05u+Lx75ErfGrlu7ergZwnI8P2rf7fMaF9VHPKqb2jHlNvQ/zme+RkVcPGACCDSJraVe N91DhluCQp3SgACvMVFFOiN1yNx9uOCk8yuPpeJ25l2m4jaBpVBGGo+vAVEAryFRlY4q1txiDYke etUSUxhan388Wzd/XiMifFFZLWYznEevD5mqZuc1HqqSZ8Nx4zD3PL21nZhDmH/RMEjpazRUXWMU Svw+XFIJmkhIzMCgPFM+WDqBXRWu9/EjBRftrEbX7+jgkVZCHs0HpbG95yYx8XNzfl4jITytzg4m Z+uQAvXGZ+c1DqogAbBu5GIbJ6b1ejZrhe75XdwLNJgdIbYJp7Th5mYLFmGUgYYyWx0Em5DEBSAu JRns5iqkkX1eg6CaKW0GFJJCvOV9EZRpFQQBq/55DYGqbKxseniiN9aUk8/PawRUTZet9d5+3CDM Lb/nHoZvaygamp1BND9dFIk8fbD5KX3X81WkRQIQUeH2K9xbUKhVR1K5K3lbr8FPNVAEhFezD5oe JMeMt9pZUp/X0Kc+eO2uCFGX38g2O6+BD85lKObvWlxyrE758pxs37yMMgp52fR7o2O5y4tIEprj EYBGaXbAqxeLBxzryqJbmgT8L+U0T2V28E3fby3jRfJdNGh26CrEdtEJmz6vIY+9od1aPyZvXKYv nddSI666SXJtsoMHOjx+8MzpNrKTrHnKjQXHcpIEfd138OJQgTjdYg/k/AcA1QithYxN76zT1pus buL9X+uM+H4U0+qFVVkOOnk2rnVuDSqLBrPTt28tmfdgZ5Xtt9ZrjRHrFfrq0JT7MRfiYZ2hDJnm H1OQaFfmJwx5pB6uWZpX5WeC67Vro3o4HAmXpHsTUv+GpkLWJS98Ng2LXuuL+Al4XyVZFHKwov6J xYXOrtAai06ekb5uLbAgKkUPFRBG8TIKOTSatukZyTk1e5XLzoJnmhH9ovmRRXylLiSHG/Oc10ho UKM3VHDKMwKEQiHYKSeLX4/ARta7BpuLUVzbYtRsP/0bD0kePYTRRCHzmr5+ln6680bpTlAUMsM1 ExI2yh0gUZAs6UrZS4znd6nbAf7hBGVVXZMP3jw7aRxaW0I/jnNOo6gmBGOnP9Fm/6HK53vqZYPD me6fVRhRDna1wwCU4JBc+XhwOm8/nXHPofdWSa3UDL1HzQCIIqlo01FGrd7IR1w/oowAMTMsVjBD W8BH2aPOxx51nNVyG/rnCyz2CSAcAZgHktXGqojai5qgKG4Wj3ZXNTyqN+cKcq2hOhCKZe+BM3K2 /n1AQ3t0Lz+g33lr1eTVe1s+QdUT0ZMN5eITxNCOVdcocu40ixsrTx8cq5bgXir9eSAccN2wEYKO 88KBWW8NaGYBHoI91mlhnXO9gxKLWqp47LC/+7yT1hgF38yCG1KYcD+j30lrVTqFMPazO2z02m94 2B08u8ZdQu/RMxI4nC3QYfHBTulza5dzyCeyjZG5ENcCGSPAe4FaIrGPZk7ARPBdoyu+SVl9+2B5 O4zCuQTWHp93xhrjJ19CcutDSFpvfPjOWOPChTmIK2GfN3Zt45RFrZ2Uqkiu8vMeQWfLL5Zofeuh 9Y22wXRZS/c5FManbIq1vkk9udVWlaSjCBoI4pNtk/OgQal7hlMOE87zU3ze+WpVFghf6RfOtEZF Bc1QGEI3+O5Ja2yBRzO6hdAE4CuELnDq0gxFMbQM5RYXhIYbCKERNYsQM+i52jGEXjQtbHb3Y2iK 9xgF0Q0gEYQOywc/hkxs4Zym+/POVatS13lmCHNa9g2i36lq3JvQ0krT8newEg3hMC/k8cRE1cLo d6ZavRr0bU8fPMk4wXPC+c+rvkMAlFf9YCsNuR0Fi4xeRG3jd6ZaVa8U5KuWfbCuBlh5glP3+Xkn qiGNTjcYklkostRb/3knqnENVaIcDd7QJTxGziO3CtfQBLJUMiNRMC287CTbQ4NHi2dDwWiAfR9U bZssIRAP0EEWDX13sh5N7/o+Q3CyOEd0fwY7qU9gIgh/h15NFE2v7eeQaA7n36rlTlAUTROXVupj sXsrZK0PI/XVBaK2JigOpydrYy35YBN0Qq06CpHDix0f6WpWuX6e23Kua6XCysHnnaDGCeL5Mwko 4mAARxx16magEx3F04bztU0Ga9j8ZKrv7DTc9Y3ndLMJSqUbvzElagGTU9Som4AJeienVVlAsraa fbBNBvmswtQU6EYAcAjvrzSXAwEaVlJXQGvoXd9vMjq3qThrg06h3HaiMA4MNj7v1DTusfwVDNHV s1a/6t+ZaRaiijBr+M8+HP+ZLmjP5OoS/05w1TdjXk8frNUD3eQT+RQPhlpiET+hG0WFzkzCutP3 il72jxr9ibRW80HxdG2M+wiHm593XhqrXul7j+FybusuoagKjQitM+XiITRoSqmeYSnb2jybMT4u sndWGl6+6Jzu24dbSjyBb+6k7o0Kvg0qI2ODENKJJRhfPUNqHb2z0qpA9y/qz8hZGvsC9Sz3zzsn jRM8vjIO3ofsPmqGwoB6MaStQg73uvOlgNa6brXMRfrfGWkMVFRllRzE9XFnRagDOtw5QyeVyqRW bVh3NrI5gCUzRAxhZZ93Qlp1Qx5C522weBH6A1xD57z+vPPR6mOnomOIOOT5zFAUUIPN3gUloQpE u+LGaWert55YqRg/5p2MVqXUcaZG9rAcPBjaA2IiPQt+hp2vTiF995BbA9Nq55A4RO9ktHrdLQVI L9cWqSIvnrJjTPPzzkXDZbt8DS0BsuGPirNfUxRF1AC8dlS3rG7W+wPy6C5xtIDZ0EEU1aS7qYhQ WIyDn9RsOuMuxEmN7rAENgnUk2rn9Iq9nB7emWj4inQFQ0fDB80Q0J4SXz3X5OediGYBuWZI2gYQ p62e17/z0LDyYKdywsQrQj+uCP0YV4S+TKucvdPQeFJrDWme+lVbAYYHWjO7qCkPdTEW7Tt8BKhg yS6914aWXjYoLaqbOuYddJfttZcsclfan3cWWpU66HNSs6dLjSDNUBhRk2VNs1HeZXVY2pr2SpfL MByA/k5Cw8/LVGv/f8a+MzmS2Gj2/5yFoYA33/0P9pCZVejhUxdXUoSw2tjmNDEwZdJUpa31pq0L pwTKKFLLTCAuCEmESDGR1tB+vlyd+BnBBKky3YSrBsLJbZqhdEOplbOpP+8cNNbBfIYozTYIXrwN 1bA4vTctQoZV7ne2XBW+9YYXPke2p63vBDRcM+yyL0y4DR4v1nO0DSn2wDet0F9mokMG4YUi8TwV zwbbYu/8syrZBij1sPzKwTFCnXQCeGHwFaPSx/oKh6BeVlq6SygKqAFpADrFy9PTXVFPhp9diWZI OhOb7D2gzsZj3GDX2GATBB3ac/iw2gl/4ryLZDTx23b6TLRrvYeo7fNOPeMeIx8WIEIbLK/fW7bH 5/ddn3fmGe6k8d3d4OXKLE9N5yigpgJ0YzxK+8/hjkX43ORYvOz113feGbPWwZnhhcbBJmhXCDR3 Ej3h1dulqQaUGKpCUDl7mj9EdLzzztjdUtWMTrv54iJSRVbG6uKJrD7vtLMqL4qvwgcStKez+s46 47KlzLldWej/7Fs7a1/HtN1j76QzzzgA6LuDTRBMmmcWPR8H0MyUY4ei6s8GghHhdDcKTNGrRvND 5HRh75CDDulzXJrG+jn6P++MMx7S3x2OQj/S7KHQO+GMtRaAzJvdWF0aOYItyMUaoRBgGtph73wz rH4evVvZBAebH5R2T64q/1g4FC16zwGRj7wav+t8KmdN7xplZGxtoDJjg6Ws6GAxpz/H/OedbFal uGsTxKgHFw75opqhKJxGW/nkt8OMHvKsLl1wTteiM+hklneG3sNpR3Kew6f44NfYhptPZ0oGknkX mnFQ/QtgqUxlbGmrUgbjnWrGfEP6/LRU0WEvtfRzGNAy8Oecm+XzTjXDDF9gUJZlEU7BdqcojKcb /R2Mbg7mgGPwBfljgbqip6wpigrUiWWMlAna4OAFaogwrkkvjLJPAGo1bHTm0Ef5mU9ZqOhNowni IU39Gw26xfJJqdmhqOdr+ryTzOpjFdJNhR6VKmLbND/vwTSuhkK58PTjwg4XWJ6umcpaTg9+p5gx FRBmihwqDjY/Czc9tSmgpFLOOS0kLPNPNDvpEbFUwKcE/TvDDMt96RSi4UO+wXSqaxWx8Uevn3eC GZZC8U1WpBq+SFf3GYqiaSgrdWn+UKZfCJgtcaebs0L/gIWhd3rZV6OV1VcO3gTa42w1p70mBA00 loN7Ncl6uVPBWAd133rZKBRKJAWzncjBoulzSZh2Ib7F92hagcJXK5oY0tuKfueWEchASQdDBrUt 9tQiysPK0xWcZLvH4vK0SmZJBTSq5U0m9eNcao35NBBUq7ZBWSdiqmmciWqEJ/Uj6V0jhJm6P7Or CZR04gLxftIYIcxOsPhOLKuSHnpmaC0IJ6d7CkXRdCNOOpnN3jlSi3On81VSOSGM187eSWVVjKLz jWiBcPBgcYP+SvODsypPhlaaHHkAwMGUQJjXlYsZLL5zygyqBca0Dec0IS4RcURi9e/8rMzng222 vfxKogeiklbvLovCaaLwIENitbNe3At9z2SKBSdN8IzsnVCGt2ePdWUhOfOjELpAT9yqLo5zBpUm bWekR5RRWePKgnQ68rzTyQwT92L1DYSpqcWf3/rzzibjK34XhuC0wzzOUIoh3gPRUBMicTHfaFev kCKYi8Ry0jYAUwzD6akuPVteHLxZj0goddlgFDaU5G/JBiYI63SL1xrqxJC/c8mqSxa3pHCI6H3e SefSnTyG+pmszzuVzGCgT9mDPnWdrETOUAj4aM0On0y9K0KJz6W2B9kj8tlFAt6wgt5pZGwT8xgq q/pw24jnpqdeDSYIFA0BOQtcmXEkUezK2ogIvz7vLDLuMXbHRP0pl/pzPm+p6AFBvc87iQzHwP4+ hHAzEDhg8xPG05MqzkUpPQx0vBO9u2VpoAAPYyG8U8iqyCaAUqlKPcu96luGhrMYLaMBjEY6B8Sp ziGEBjUsfg0IPKa9bHBOC8wpUfF1PTQhELWGoqGxPu8EMhbObg+INFjKbjdH2b/zxyxEQDRnbcTK SjjbiJkyg9xkA5glnkLv9DFeZSotkmzHwabo5JFQwGazvp/ZECcadrtQlz2n28r3qgdrlZ8RdclY MQNuxgbLyc6pz8IXum6fd/ZYlezq11XP6Hx6WeidPHbDRemidcqmtXuRXXn5TalankJheVpVDzWB 9tMEwnKCBKB0iaAlNAw0g8hkgxpVkyetQCXyXaOkle2xQjoLBwsXT1qvpPMkxZ935hjL/5eoQf4T RWmeVvQ7c4wRAo6hbcgFKAh5I5Eyp+q09u4mM+/EMS5h3mSF0k0cPK0/IWKlrg21ZNDxWD9mjpVZ 2L9qBGwZfN5pY9Wt5dC59cEuMpC/JHuyx+edNVbl/uiQaUwGKhX9XvXvpLEqiyNcLpaS1VKt7nGy peISKQWQNk1QHFCrZPYMntdD2brx7VY9Vxmcr25haCdK6Pomw73CzwjILJZy7OyD1hCWqAAKu/Dx 4CKr9SsWoh06pa41QVE8jQj4nAUmFwO8hzc4NglG0pChQAY3WRxQMxMVpoGDryCY1exKwga0LWdV bjLAH17n09iq1yGU7FWDuodysUXgEAebH3wDjIbP4vy8U8UMk/7dqkdrY9+LLIym9yQn4fpbLqNp gCtQrfQ6Nw3AO39O1GdlvYPCpxr8IisQHdAhBIHiUuVgxO9u9E1xEstZUdviZwQ3vWam0c+agyao J6oYkLeb8XgQK/5qIsJv8ByC3gBqYTBd4YBex91hdwHVdn2uULuzBRTVphNBwUPA37EeTgvCQ0g0 TfPYTWpv1EQCKmBgkAHy2qtmKER7YKPP3mbxQYdQAt2NtcWd+HjUqr/QV6oRs9NW/KbvYTidKyXq bQ0liq+wLpRINGLprEGTX7yW93AalTuePouSZxxuMHRiFYjfiJHZJypPRISgv4HoG09aNM3SWf+b cFgHg0YOdpGhk8tDpIyKxyNw8Dd8GlF93jdl7VE0DYXZs0S39zcoZqbCGVNzuRPOabXFHsE9xtJN z6XEwWqLaYLLkbWGMpg/ZLYQl0Y3q01EVZdczNC7BulGEeVnJR/w37OjCyUUkUFMPP1PYhST2wVR iMuLiqvTZ4/NZDSWPmRBNInjGrbHOtvgvMV6VJ22fKznO3iT9Vy+oI2x6lEzROmkPQhtSBKuaLxj nF4UFPAhf5SFsIEJh+noyRFKfwJceLHy124FzweIofmrAwRJ/5bvTd+j+jSUyaDzLVJ4P1++y8dv WrzQ1go4Q5XOehROzykCUKs+eNkjw9MQcvjnwF6gCS56fYES1CD4XeqXjCVVK3oUTxcCqP8b7tHQ nZSG95x4PKJm+lWmhg+CobS9jdgj9iG+yHMrUIOhkSs1iDXbqOmYGEOGKRE95HrIPuQFNVe5g5en YV/dYRFLp72zupdsUjeE4/H97AvLG6wt9qhArU597eKFI3AaTDiwnqg4AIsXPB5cZeM2yagxANgJ MZCaoDichneMqL2DaHwXYjgp2fDSGUMHrqGYgcgQJ0tDJz8aOsAeneioEGGeIAhEbCP1cgDu/5kU tdY5hO8WnxFc9pU1M2JfNSglI4FysNiDozKKp8elhrMEQAHD7flGD+vTMLM6m3qQ5tzrcLdGiDHh L5HWr0xZ/c6fEx1E4mYqrV83rT+HdIFj/NImA/CGwLSEpkAHBGi3a4c+KF/do/J0TaLO0zyGg+oe kEffUj8bE4//C3RGIDAKg5VMas3Qezx9gtTFHhCtPlA6Y0+dRo2L2bMKHxP5FjdZFE9vVgyh4OXD heCfBZiT8DBQUy2EO1QUvhrQAL3dTUbCEz4jqpxJ1bMWH0SVgocF471a88Tj/8rqjUsOTNcNhiL0 NFASbdGoBZIwkw2//8CpprvhByyVDTzdI6yHOvUnz2oaePUqFjrLr6A8pup069T7IhYPx/cPeO3e JcNU4TP+gpydqVg+WIMjr6mqxQlV8Hi0gn7RWNEoZcjgMxRG1I1ezVMgGCwh5ygI1S75vDKs+tqj 8jQNO8+EyBe9zVsYAuqyYm6cbTfZUCuVPQ709vA9WquVxXF8SEAFWoalKj7YTXZeVQHxSV/xeMSE /kXjwPqtrFRyikYUUWP/YGIMU9VKvpiqNC6Pfm3rJI6oQD1JOtgqz+/2wBk28qdG8V0IDHKf8SzP glT/KIYyP52sd426QJlT06YPWkVAMdLK9BxnGY8HEfX4RphTrD9132UjiqjBVoI0ggpDupStuHgL Q+cXHFb3GHGBmr+2ZAM4+Bqi8GxhYajPchIv/suqQv6JXJCMWlLGIBmfEfER2aanwIQGa0YXuZQi tuHj/2xGM4EDz+XyoUeMn66AeQzzHa70S5XbUMnZVTxGM8zZiArUNPlbiZE1Bob1TDkAG9+UYDs5 2Tmlk3WLcJoAXE6XKbvqz7sOfEYA7CSeV+KrarNpKVCNj6C88x88/q8OB89kkEXBdLYJiuLp1ulI foU8cnEhj6aeKxAxO3lhaETxtE6hc7pPDT5B8ySs/ewG2Z5ABriwW41dRxgACNz3pp+YnxjuMYRa zD4oZy064RknNTwenUEeLLIvL1JR9xbQiKJpSChBE9mSssqvgqhFmo3rDKpShMcEReH07Lfo+rv2 itUJK2CiFk+W0SycJpcDbJgMOoU3gWiDPqL6tMROcucxDUkZCXOc06CNwoRhnHWP56M19AtVBdIe 2P82RWE8nYg6y9aK7rNfp3jKbvEmA/XJNlkUTy8hy8szWDB0ZheJ5uShDLbYZFuMQIIKw492hQSp 4cl3DTYZEfiJnBINxnM56ygrGDrh4ojQ066Yb/VpUqVAC7QZCuHTg4vIUOUnqcneil5MG5hxVMJH OEMRfJpFQpnYVfey85wMBgAsDcGqsmxpNwxyyYhefPRyiC8foagH40QpG2nQOX1mnn4EgGMPPB6E Qyt9h0Msc7erJzSiAnUjtX6Zn9BJtAls2IgX8UtJQXAs47mMKJ6e4pJVFsZWVUSm+iteP5UhqtRZ TKyykRiCauzPbPOxFzw/Hp8RxULYZE12lRx0DgGaokR9rY3H/5cuGT2Z96MJE8XTo/H8X8ItuL45 oa/VWVNQ6zex5REG1NsCnOqD56wF1aCeBZ4G9I7/pDIAJSSe0sg6qVm5w4cEEXUjN4ESbYMHvuHL CYjk1TD2wvNBXv8I50ioAG2ydY/qMKIe7B9uIaj6qN0IiRltOYuGNvsvXERhjTpLiYssFg6etsLz jxp5SFvP6TNYo02ATUPgFCXw5FX8TcTHCGvUZNWnKvZvrU4nAyWdGCvAAPB4VPrwxJ7HJPo5ldZL nKIZQz6APHN9N8gzuFLnLpRF2WTcIVRDXjajiHqpOKRThoP3gfo+C6WzYd/O35cuB5SGUhiarfz/ Bjwr9q5RCVYqptpnX5n9+YqnCvOt4fGoz5G+4iEE8+XBv84oosZmgjZSYpUDf1pCfECIHOuGuC6E 8VhCMyxRi00mDUoOHlCjzwcELW/7QnQD89Z0suS26w9w916BRUUVn/FXDf8kRXcwQuKGjZrmp+Dx f51DeDnUmiEp4/MTBdQJNJexrL8KtlfzcKgtr53xF+Yem38TEldlvsXh7jFU7ZNqZyOf9JRZG+Ii wBnOQd0f/zdqD8y4RC1FZR1D3a0ozhkGu0NmEavi8QiX51uM25HUiOmH0IwCanAZOvzZDf2aukPw y75UskFhMQpUxQVq4vIqy6/rEiwoVrUh7UrDrhMKzcKDGuK1DPp+WNq1+jTpiDPEe0jZg+erBtth pYllXE/uh8cj3cn+66rHSn6y+hlF1DAYPesmmcLrlMAH7YNEtqNVBwXWuYTCAjVz0JX79sHDRdhL Qd6PLI4B1x/awxTovYO9ydDacg7U4fEZkXyFNLzy8sH2GLQweDeVXvF40Em84gzM39Aczs8ZFNen OxuJ1uMAk8lweSdOtVI13MkNHjwjuIcVz/6L0oo8fkJwmri8QZMGKsDxAZgX0unTqGQ0xZlRPA2q OWHBxQdNUJ+J6kiU68DjUfHsG3aGdgpEZ32CIrgHsi3kG8N5QFf8tpfm4reZVw4nKKpPy4166ZRe v05pQGvocLxQuxzg0MJckJ28TUTXI6Rc9K7RKS2HAEKGOBiTDBx3dk9PLozHo4zsO1qEBgX5ejZB IRlxoCgtk2Xg8lhDFy4vmwc8SNHZD6FQJo9F1TW0xcazxSBMO9aWtWKn2zllltEzKB1XOi3NqzBV S+8aNMkonbwH7Sf3leI7S2h0KQ+szccjTNWF4P+YTh5FUDRBEd4D5yRAZ8ZnPRsgOeisXU+lWZIx yWYUTg9LNEr2wev3aZS525St4sktBbBGEviDig7YO7dJRo3pGUXT0MDBwV6LDwaIwVXAts7qHY// CzDEO0RmSpfFMUMyIlguudiB3GEFYaf0dH7ZuUmxArTHQnUPYamUd+z+cO3gZYklSpGhc5XAxJTo T+TuDSd0veIVFF3GZ0R7jHgP8YE4OGQIWk+YoXNu4vEgUmxeeyXiEWl4Lbd0tqJYGkDFrsgdmyxT HhQchV2qzVUlRpXX2IrgHtRXmMSp22BLCJ2kcyZRirK1BsoGfQFkGoWtN652hQS4Vwj32GywbpaH ONghhDSYMq+tVjwekBHnr+L0ps7QnZ+wOF3pVpaKAzuz3/OTQDfJb0OAmntshbF0tyC6+ODw8nMI 4UeRrTmgiEUdkEYnWBT1gdH14jQWOj4jKk7Tk1u7k4P1NxI8MRkq7orHI9DZL/Q0wolxKeMrlJiu Mp4xY7ch3U7iPfKujveorsC9QrwH18Uq2+S8CE+mwlDaUOVkExHWh7jAcAgluWKPH5I/Hsp4w2dE dTO2N6AUZIO22IZmlSYIcp1hLP1LRrkidEGsZxMUkhErRO6rCcD1JkGvKSXce41hPWqCQux0ty7i 8uG2N6A2WrusAFDAZxm7UfmOfN+nsAj0Ej8jkoJjF5EgXA1WFdpFd1o9XwQe/1e2yu2EqKpeItmK QmkwVRsZ3ogP8SeZvJ+cqVg3sQpdSLnXmIlILOIWGOaCdtGyh1FJp2lKbydiYUd7FnQXqKXTHw7Q pjjMiqAeqknXTUgMBxVeUcu1WyxlPB7ssGd+8HbEvT4i7isKpVMiN8r9k+vlAEGJ1AkKJ5BJusVW VJoecm0pbJBx8Ht+pPMlyOPm3GUnHaMSwx4KEgdRh25yk4g5WxHWwzj1JSUfPNkY1bRP+sDjAdZj eTqGx2BfXPYlAa0QOl2Zxht9vk9pMC1lY+aXAEFM8+BcYSzdTPrkDp5snACv1qrC4jpp02BaUtBl bAC0kLirihCJT/iMYII6JwgeHRyqcYDOJSkFTJCK8HhwjV2pewY9+Jj9nNIhFTFjgqi78R9gYGkj L/u2RHObQUOSat2NFUKnac865f8zv/x/ToIKhGKVa8uGaD82GR0/K+CihKNbyYy1+xXF0ioJFbJJ NNgmQ/V+qiTEVwxO6V8koCZIu2djK2YicuKTFLnPNZytHn2S565lhQXQkolOx6VpiQiSVM/B9xgu p04/Yah4LYq9ArYIEhA8pTKFPdhkLaSP4EMC9D1921ou1QeBYc4czy3ZgVXxeNCmn34MMfknRX96 trHCwjScf0znvrHqatNyPrO4LMOAYKlm6G/h6b7bHZxHVlFg7WREow+EMwqnECwqOzI+cNY9EhpD 7/pH+2fg1vLBDqHUq+6hE7Hh8X8tIR3qkO9hBMMZ2lEsDb5hL6u64+Z29RxTAxfSg5RvKnNHdWkF 0yszmOZgp1CCr2rZQ2sIkKEpt0k0rPU7l9+W0jsKpkk7PgcmH+JgS+hsMzHt0uDjQdXs9lhN0wxX /a3c7/doGhxYrqHkCkzF+XUgQl9pD0AvNUMR1ENmCEBr+nBjoZOrpEo3G1TnzjfJux5NsQZXt9G8 /1MS4C34jKAopFS17emD1hBcZrP5HfIVo3N6f20yGsXlW5neIXr6vBPwC/le9dmOIZqoKR+bFB/n BEXRtPkmmGznF8UlnxN1wyyE0fSAOplSejTH4FBEP0mzswPGAJ8RVc1wcJxvs/lg+VgB0ZqX0wmG dqztceWF1IWe33qUO6QiAubN6og4HCx48RgqNRXHevRsWI8dYj2MMk4KFAevCi1A5ijNvOhIrcJR 450HjMl4mj8qm+0onKbWOPzLlg+WkMGAIClE3ng80g2+VaFiKav5a3KGQug0gZXUduQmW8tnyABn lcYtzQ7qHWOnhVeUgQv5PFpDlUo8bZgwQyaRGccQUneenfVWXheL9zsU92DBbE3Kl3NQwtGW1BCx ySoej/BU+zugLmhvAOJmMxQF1GgRwS98GlGKgZ7EPcajzj2Lr6EQ69Gt/9N9uEiG3QaU19lAhKm1 dhkaRmwgVvZ/VDij/MkOtafZ16CUqA1WWTw7mVHyudQWHg+usrS+jiHIsTRYxNkERVCPRE3T6nWz 1pdTEde6FhwN2D5NUKiUp+J9lehrbV/hYoZaHu1t0M2G/6jAMGce4NOAyYcqJl1sm941ImsSGbxU w18esxdoG8giAB3EHdem23e4iFC6PxdZGE9Dw3uvqgMH2n5qBG0BM3kIFWHPMT8x0oOlackErsc0 +iQWZ7EA90ouK+vI7I8h7S/ocQEWpQVU6MuDzwiCRXY1ABn1wSCLu1BEEztm4PHgHnswnaQ1oLDZ r8rZjuJputf2tqptMWqIcIvlvlxfaKKnRS7iDuNp6ec0tqI5eCy00OkZmiFASYq4iNAsL4B97Bss ElCKj4iKHqzdSxrXhIKZGUEcXRaii48HRY9HmZvSJ1KAvlssCqdbp+jgNg+F0auTNU9ibhPU03TM 4o5wHrPoAtt3cCzMSbNyEVjorBi0nVkWohMgtEwnPRRMi7LpXf8Q9phFRLtyiXbnsZRZj0cbC4// SwZOylbnVxk3mpa09+unQgeuzWr691gtInAA5rHM2w+SJaZ/n6J4uhPPCTVIHzRFVDTo2aLFmlC+ p3Qg8mRqX+Yy7hzR64uf8j5JS/ssdTqWoHzL9QAcScqMiEEM5Q+IsJ3ffETAXslw9mmKCYlQxu2E 2NPZBbQ9yntg6ooSV3YHKYKfQrk88VySjJDTM0s7nVNnoM0EhZgO20PmZYUqawi0xnysRse2t/1L iKkW+dYWpxu1gR43W62jNT4fJR6X+MuVh/pC2ZfKIXWkCKsBB8dk2DPk3Ybv3LfdOiDsK7OAFKKo nW23ffAMHzrjTaAPNO7B5PyBzAeExBEWrboeIfNqbxuJWKh8JmXKzJ2g7GOVzQx2zLH4A4LIKH8z 7KHbXerN8XOKitUQpjpfRF/W7iDQTcVqiVOyToE+hM1SaIpIps/IlJ7kYKtpDCi7o+2NSlpqlbfY WcRnKTT6hRHA6EnsttcN7jb2ALYwehx0948k5AEQd4XPRwC074I10p/aHt+JFEXYUFGBOK4B8sld sGoamUliLBC5Q9OAFNWshzS9ZOTGwdEfJ1dF6UtrKfciwLlkeiDajbqdQ/I3lYSZh71/ypQKATHn eXkUBvhHk7HChrFCCgEg+Zu1kAH7PvfMtVFKYd16ikG+eGw3IA2NX0YQblZzEcWsqgM8VKSWa+1/ u0+YfUZjJrJPYsvcbAKLeE6GDK/oB0bEKAAfEiH12ptE3Nlxuy5x8E6yxkL4+/PpO5CsVEK4YQBh Hu8H2lnp3fr1OE+Vi8goMZtrdMvgm9laimJt0+tuLAlx8PN7A3jIrsqJjjeEEriW4FsKZsxPz7dH zbYzPyTK17jhIA5mg224EwmoqHFuVD7/r/J+UXWW+Uu/sxRKfxTaWpsjxwll1naeGWB3Yky3Ou/p HRawdb2pUT2+GtVogiPxL/JBXntQKq1A4asB/9KWtxkLW+P8kIgyndX8mBpM5qsgKZwq8ZfG5yPQ 3q2ucd1VlCCfSYpi7gVK8BceZF/h3LSvejeswt36LhIAqYIUyVKKg6cl0CWgMj6qRwAGCF6MTLHg 26n5kdOTG3IK1ampnZsUL3GwvK2dYGUpm598PthvtwRJzmtDuDSfQylmLNK23qsjZRQrQZ438H51 OxFm9kmKMSFshYgVzcFz27ITNEm3yiPbNOUqpIXLZLTmsKJCwSV+SFRBYolfRoocNEknXZadYh2M KUPPlztJKoZQ5fGC93LooihxO3knIr9ls1BVNjqMMMEFb95mKbBRBO6BGS6djjXYUjpB9hBbHkup n9OTFYBOvYAN/VOGDmItNn/biD7NOlufd1B+Qoy1KvjwUQyNFMf+XkqEKn3ZT4VOiujk9y5rHKJE uklWphOKZMtxTyBUfZIicIhsOzZwkTZ4HWkiFK90XGgFdVsG6aiTIDvE4dl+t/ZzbKXIM3sNmSUP 7+2f9Gd3yU/OUfl8EHn/0iSiPhuZWjZJsZkiTLpGKp7nbls+mQxs6qWcDcFDqesHBcU2KaUkkfNu IWxSkhPFpU5BeIiYMRemHsbJ2ihj+dCGkr1t1DgioSGvosHoi+dNK52hsHcHnw9W0rUQ4nbDj2n1 2W5RURtaaB3MXU1So8U6V9IapjQDRkO+KykMu7eAaoQ8ctAkLaC4GitMYjDi6MZKSpCd3fQF3req zQZ2DiwVdT0UciR8MJTIqDLlPNdk5fNREPBLvAkHaM83gws9FVF5hHqlsTzT8rTtXNEOdzy/5Vg+ SSGLUZoyW/4d+9FCBSBnUrAbtxdchOQBQ0F4vi9oZxdrNOx1gz4/sSLwfdfgTNh0cpMlRwU4c4a+ il/O0goou4wdfZreo245kXVYPlvljd7p3HB5JNtwbd8upNk3vhYEeGYbFzhdBZ65K2onVSYeZ5aq /mUFQLciUuVWtVOJ4jI5R1E3DV5hXLp80FpCzqPb6fyBz0eCll5bYiOSCovoA/ksRRVu1SdlLYD5 khQYSeepTEeMTKei5dBdcYm4IEnL/kha4tw/edVg2QSo9DlVXGrni2wFqwK7/LL1ir1uVA8ob4ku POpk3Xxu0s3no8P7qoFx4eB/KX/m8xRqhHTaBhqRsUNq2OV1cUmzHtcmZ1zzFFnCdOUlWdCafKE1 SNvO2SN4VoNsMGlgsyCVa9SunY/ddK32ttEdRwwtdD5tULh0sukqw+lpLxkh+etX4A0qWl1fx3cI xAaGQ7LT5H2u6XpOue/kELa9jfiZA5tFxJScpNSmD7aYEuL6AnUNkB0gw5QkIVspIYstDTiJ4Wvq sNd9nyUSLFEQ7j4o0z3/VGD1c/RkPh+lJ/0rXGIpD5UKn6UQjN1ZAq0mZQ2hYAdp1Wxlpta5BzRL f3st/rdB1Qnt14LRDZF+a62yqJlRQfpETC/yitl1ypo7NFukSurZanP7YEupdupOgtDZ+HyQnpRf MCQUDetlEOfQbhGdthOObe+8pYdkTYEJ4Y17N9H4HPotLu23Uu/g6UmD2siWfto4H9XY4C200dmo 5AJw7HDIbG/7Z+uEfhMYXM+6gKjPO65gJQWOi0iUPfCWVhr6hCk/Bt1hzXsN+lQVwdk6xKFVgMug H2axr+hew6UUmi4ORpMgWfvgs4T8NtEmHqDaNboalAvIEziDojlvlaXFBlwOTReJy570OrZB+22N LEOIlsvk8xGT+BesVrjnWw6IbRfBnxHu9T+INvKwSYJJdzLnTqQbPklh5M0oaExh2uaDaQMsBqoR lDRYBVUqzGPGr9iQkSP70qFUiI/lh/wl8FSXVNRQUSU7MaOyVIjOgFgYf0AEuLnxEhsD50hpVBm1 aQoBJXARzuBG8VhKYzl2tCteWhQPqXfHxW4xOrz7Hby0tKAO16i7CwrnYCHzhOpn4gbUqzIV0q0z UKq9boQHYIZCPWEMU1uuwgCva8vlzuf/xaTRfZZJO3nC79iBUaCJbaCJkZcLX/Z9QRMd6AvFlYEF Izox0n8g2YqDL6dyzuxKJNI6C2GXxY7lINK+st5dbtObgmo5tmCUFwEKfDYorjzfxBJs4lzOfD6C snvbe1gkgDPtTlJU8gbKtSNj9xJcWY4sKenaxkxXmM2hCeMiYGSqVMvBGUdQnGuQ6AXQFpkzzy78 NexGkZItJ61J2TGHLoy8a2fmJajBoAEwAVIJbkw+H62lb15foans5fXl0IdRDmg9Of16XVbWWI61 hWCTZ7uBESOgDcxQxIzl4HUTyNpsBQKtjVqbyAFE4FWcw9xw/fHyzKER4+DsDJDhbbDm96pSMqxn V/P5IPQuv/QdsW1quqa5OfRixCe1PUw9Hh7e0yAmPSm3Q0xZvQIXeDG62jXWig8eLQFHvcG4xg0G usdUDqMLBryd6/wBWzK9bDBJPI5a5undsu9pcGyS5JhZgQvNGMul0CoOgDzefuYoCrw7cEodiYhy XZLCDGVSvG5y1kW34lJgxyg/Uuo5JR/sTKJW7izES1ZAKgTZoZtxpqv3l5DqXva2wRUn1+VC71MO mqQBNbuk37vx+eBMmt9xAEDFJ65sT0wZBd4Q9eiD9jYqwaXth5LY/ISaoGRpsxQG3pO7qEn3uj26 15WGaFPaRgVCNUxPCpFxAD9sgt11wVV/2wjcTpKWvLw5GGhyDTY6Ic+a+XxUzP1t4LChrnQLAqEt o8i05R5K2KJm412muRIhPTU8To59GbdZfXUfPKTcaadMnekF0H5dJFN0WrwCm4ww6xu8nUNfRmoM zy4iW79Etoyom+1Y+Evy+Sju/vYiAhQJxeU7R1HYvQez2+wVuJKaV+CoC0xHq7pvgymwZgRWhgf3 HN2Hy7M5F0ilCg16la0ZTWAi/AJPC3eHT9Lwt43IWqxPKtvtFxmVOpjD6oZkvWQELn3MBymeASR0 fRLd2KCxJLqlSBMLjsPblxIBZerDteIgitChUc5fo5PUy8HLASdRG2DoIaIsMIsXtAQSiLCIR4fo diuTGt+hR+NMAt/O5oPSE/QeJA9xTkc+/895ovYYvqG6nmkKiZGAMfLQMJERM9UFAu6q8Y/hWPcc 2zSylN0VBvQnDIBm38kMIYYKfNe5OKlsNNlQaWhxDSxAxUq0geOHBAGlKpQw5rWBH3LSqtLZ0201 6yUjeED/vuHoeP7AAwKfRtQ3MTXTTmxULP1YOm9sTQJAlb1OGRg1ojPB7K3r+urjThIMNU4Er3bl PvkgnWQm+/mN7pJtPKWlvOx1I3gXnZukF8HB8AFQtGIze6GpG7g1Iuwe38ESIYrt6eoGdo2IZBs6 GADG/EevbbVJGMVnoU7gLmeKPjn0a1QFrksTqn9pQiXIaUD8C2vpxKm1srICKMv55HNKQcjTqrn0 yuaHBFcc3VMaPRk02I5D9co0fTKfj4re37LzPMx7eyYprHlnQuDydnLJuFx2plMS8CPCUZMUQU3A XUSKm+/gaylDk3fIkRCKmZ26Rxn1rAYABZXqrDOw/G3/asXlKum2emmAFUasKnnzWAqB3d3rAVtL 8LxTejZcXPCmHWodVqWs1WVZZh3Vq5QUe9eGi+NuBksj38FPpRPJb/hlsst0YssifV5kwvBE/5H5 lW24tOx1gw2XaPGwxfnfzvk/kz108sLajc9HCLgL7+acsvE5n3ApLHk3Ko7sbH0BQQEIgVu7e1+g Fy/mxtaN0vITh2J+cSgADj2hAKU1YMJHFemzlirlVs/i2LQEU52yN3vbIBSgdERO6w5e8S5TlNKz bPl8EFNmP7ybrL4H61rjTlNY84a3XN5UDeWfQByVE/EGaIMR06a0pO64MPRmY/ckNcMHbzPleea+ MBQ4ee+mfCM0vhD0w/CggPbsIRP5SjlwcEQoUAlelhdUckrXOSnK5lKoJ5jg80EocL0MuL0AD6hP 7B17OIIWmPZSo7Knlrz9nXVa4fTu7RYEAhPH84OaTFXKHbz9XQqg4kSdok85itA7DYdEx7XQnHxL gwO9bXR6S6tOJmFlOJDi7LemLVexmAIXx/8/jSvgwGAt+CT9ifOmr4CyuGY9AgAFGYcTDg9PNpuk COi95AEKaUcbvCBwUp1UoAADtEkCNkqFXwSUzOBYlNqP73cOnBwVFAIhOJcPCr7r+aP0Qc/C4PPB Fdd+ia1PyjDfgkDo5QiqLjpl1vwe9JIyZhfDS6JN6OfFVDcwc7xVk2Q98Hl7TNDrqxANEoFygGfK LA6YEtI8r9pGyaq/hWaONbE6ifoFDVPPD+LxUs7kV8ZOZ80u/oCgE9d+hUsNAeWjBpADP8fzwRu9 peY001anQylIrTDLS1Y9tJZiURIylyTJwsETORjvbsBBIIy0EmXEQc9B4IvN127ZZFAAMQeGjtov 6Oau5YP2G7BEYqsPAClCR8dHfYzyE8BapQdNGVg6QpYeE1q2mT9sxKIKKdMu1dV8d/X2yR+ejtTb oNqahlvvPtfXTMJRQCJ4SlSCgjbA1qI34xJ/bdvb/sWraOLkcjB0V5G9MsLoyucj8sn+dSjtb6HR HJo6VjJl5BivkHIbq6Lm60IzZvW4O3B1vBwdkpM0eEh5ooiRuuRq0S8DGeJESyDBNdjt0tvHIXDL 3jbgMdEPaxLgp0Eh5R6Vxr2wVKt8/v3kXrt/TRK1nPaDNQmMHTMxx4iGk+VweV6rHgZYkhtd+05S TKdkN8DurnJtrgcuaHi2s8MEWT6KEEI6YcMTCxw5RBtG0aFSWw68HQWNOcfRfAbNEjr26sie0IDP B8WlC++iPzakr8mQ8GkKC960L6QQEyPvPS8+oLigfxvIem2aIqhJFWdwsWyyVn6qS+1EQCc3ZMX7 7LgkXd8OVdya2d96ZoliZDlweERRldUlihlqsCignBhPcEq0KwOLR3HDrR5A1Ugk3exMcJY+r6FS kwHAeethBJ2ytteWQHi1tcRmEE/u10CpQTRSLiK1+ODYrlUpEUmv4nMJ7iXRSEY7gP4W1hGKFhKu t9cwqbkjTcYVZYOTBjcbPkgI8fTL9DR0ZbWIxg2SgD3nX5xvJZqcMb78Q3q/quuZHl5iDBJRqdwt mBxxbRfpWhq8BlDBTusEBZzIoq3KU6s14K7Qv82JjPD8hX9/nZ1kPhmg4/hgDZPVRYqrBRXc19lB 4OCzo/uvUIHBZuc1NmqZ9dB+bmSbHTW+iFKqxQonGbmFcSheIyMsHc7OpvysBu9MIvfLNJFajbgc uT2zIA8T3pIvDncS0f0aFzV0g1iylWBtv4K1sDscwwyc2uc1KMLUmnqLJkegG6ZqmJzXiKiJcNeL yNvYV+BTmoVInwagrJv+kJic13iouQ4iml4+eNh4bpsT4ImNu6G4RTm3TsV+pHNEyBtImRCA13Co IZzRyqEMYoHulJCPeRT4uJw/Qt7j8xoN8RdtNjsyeqqUy7DZeY2FzkPgjJ2zpmRDussRo1MXLF9l m9ksXnyNhNptjUwlIPMB3sJLsJDtCOkf+M9QzbaxqwoAq0x9tK+a3jQ4dQhv3yS9a1AGC9s5Lp1z OK7PaxSEp8v8OnWoXQUBSE3OawzUwFOudPxOmhwy0FXHlqmZRG0AB5YjaDA5co87X132wW+tzmoZ FSLHhmozawG1UMwhkQTlrJuSWHp8DYD4PZJs0yhtk+6xfyLOJPGBk7yUz2v4w7tn+ewQYcPDDyhx Ts9r9IOTHGX+RmIZr/XS/NhZtRu+5nz/3eLo19gH04N4A0pT2YdbJ0K7v1IIoEPTIJGZC6oVgmcw 8tvNWknceg18msMhunZWny4wWgBSYazVVh6f17AHh5ZpkQzlYoC1F+opcnZeg54mfdG26QlNqX7C f848nbS82TKqlRoglPCNzuQplk0vPvjGQiDTEtvXZ2OdLJ4wNio4NBqjk3viFVm96fuNpTSMUv82 OPVP8GBwnPPnNdzBG5pQv3YWKX9l+s56LTLimoPXbqd6ETtEFI5Te5+1Ke2s1Kwn+1pi5K7m7JAs rcHx/qmfuw/gZUirtrOqGDkmRNYd1NEilq0MCrteNZgd5vDM7mxQHTZ3qiqhSg9p0WB2+r6zM+zO osiUpue1uIj1muhwOdzvOzefnp4N/n/+VKq1Y19Liwx3spL35sNF0ZyvFoIPmJ51QptC5JbEtJhs 9PpAs2lZ9FpYxFdgPt9z+mA5xTClTtg2fF7Liu0BHA+DZZ8tWNhgZDAYhcrkt3bJZoFUw66MLq1i c4Z8YjoWK4qVpUz74lcElgWQ2DQApbwli0aFvpqQMNhlPQobWe8aLB9KawxJH46rQdKgE0UcVSN+ JoqW142Wp14NCtm+fN75a0009nPidOXpTbAXJlw5uyJShZ+RY/ujCZo6k/PwwdLSTT02u9YH2Nlc Yxmd7E6DInRdrQELKCM/JDicJc4/5UZ8eRYn812E28F4mY9HEXP6WkILxSKWbgzXFN1djdaEw/FF s3rcw21lKjbbr/Z37hp+DoGMezD+4eB3O+RpOwlRq6eZz/XFlj/dW1GobSxlq6uoCYqCZmQtQITu 7YO22FmhsrIG1f7zzlzDYuh+fam5tmjRemcoipupsK6eO2do7IvAkoQmEViVMLXOn/N+RnfJ2Ayp QF8BfeAdUdTONGoe8ADYXEPnMFpICVF02n6BsZfzeeet8ZRl5EwchwarkcFbgEI/ZaG7EZ5CHv4w FiAJAYGUJug9dJZ+EuwpXB97ul5dPqGbI0I3Gz8qtUYTJDeLJkGadgU1zq5d54hoQ2ZFcEBXFMAu Xifhb8v45eyxqaJGeApRAqGqL13Tzbs6SN/4Bdcen3fGWnsExIfU2DKgxYTz2hRFAXT/IhsDiy0C Fs+hlVyEHlQFK/y8R9CWfK0EMUsbPAqaCbxQSYiPkbPZzU5JxaGje1tkVo1+j6AhP7gIAx3VB+Xt Z+1RFQBgs/p5p6shV0j9KwxCV+KHobHNUBhDo9taKWdGKaQ8jK2WzhfjMXRDN1YzFAXRUtPai4T0 fXFjMLxKYGHRARSpzJAe2+BaYvWFjUfl7t3e9f0caoSAJFzrNtg5BI9swolm3p93plp79NgsUMzT yk6aoCiMPluA4HSDXIH74dCG1p02I6Qdr7IokFatnp5713rPkrCOs5komfO1g8ZHamhjYop+ADWL jFtEgeN3lhrWKWuGdRCszUHbbEC4WqrOfX7eSWpNreCv0hg+EmpwNkPvsTSWUGaIaOyrXrz6c1L4 5ksIwE3TGYmC6aFsaubqgwfT/eydmilD3zr0G7XJaO2F7k/BQW1m1qPpXd8nSNHQSXuSDwYBrWc5 CfoBwZooml7SIzzzIYID2APAa2iComgaMXsvdUhyvlNUSnsMv42R+BYiAk1QHE6zBCRs436wjetE uAk6q2KnoWLAlLbzmES2AfFDhxLPqXf9a4JmomQNB4M2YnEy+ySeIYqnDeFrgRo1GL7Kh1E8jbQS DB+rH6a+zI4wZUAhxCfq3PeYoHdm2j2EluWsN5E80fOZGwRzjKfhoEatNJTnQZ7DmbWvUM1a9q7B MV0ouWpqY7fSdNYhTvgfgpPz552XxpD/5vJShWwQgPaM452WhnmtxDFaz9mlIYQh7rc4T5AFK6zv ATWWolGumw8O9jiLpTQacp20D2K+VfLGNIyH6A3brE7eK3rZqD5PJOOs0wcF1OdEaxLNOPfm552U xpvs+5ymRFdbfk6/c9KwcJGTDXIDcQqNnoxslemLysDoTCDELHGRvVPS2uO8V+/gdVbI+BQSCAD9 PLEj8UU42rDQcRzkGy+ymPx5p6S1ZD1VmE/4oEWUiAjg7VTb552QxgkuPkOMfLAkeUVrisKIerJK NpfXy9zt4eTQZs5Dd0sjE7/T0Zjd8QBKpAdx8GiojkG9I07RCZQIpJrYgGDMnV+m9wuG6dTvfWej 4UPIaQQIwged1At3MRfROb0/72S0BkD5TewZjaMrUmmEpDmKgmrkb2fpNHPlSaO5PE3GDWZSEHMb YOiditZcWGwtVjI5+BydWAXsWLbBoCKziNFr0BQBoo5COH4WiUH0TkVrhhyG/M/0Qbl96r2zuXou hvl5Z6Lhwt31V9oBj9Tht9k7Ea1JO7oLTiffou4cqw2hSh5FKLobbvidh8bDmsQNCYnvLyHxPkiA EgT9xNBd9aMOvPb5WIh0TS/Zy/DhnYaG35Aqh6vJ3bS5Ct7ZpKt23WZzfd5ZaO1Bwyq1p1AWcGA2 Qe8hNXRTaZ423c6gLeMynCjjimVtwju5hN5D6my2PLvK1Lpe/Qf4Fk34rQ7JQULTjzJQsPZFqERj pqc8tPSy7yfREkkTkHUbNEMnnEtyOV1pf94paHbqfiVmqFVWUic1RVFQDTE0YM8dt0A5GemJZd9l bRLrzTX0HlQj3qUrtfwY082ZkKKOcaIIh55D5JBVAFovFxcReayd+BnRDJE+PNjZqChvX7fmQqo/ evv7805AY9/oOzFDfFpwq9gMhQVqsPVTKYaoTvIlpGvIsobHOYcQOOmsfg+qEw0nztRQQU2Dx4x7 zL3pk4ZF1NH9YU+V0Mrzc6mgpwLaaPauQczI+v1IM/tgnKETd8mk8kzy5518hsdX/tplHbCXlu4E RUF1JZq6zeQl6nlBi5M5bCFMeBlo8Z159lQ/sjxP8+3+wIjn/PxO5tlJyWodzZYQjoI1+VW6AR8C t8878YxLiF0xCj9oUFANNM2UFXFen3feGULOUb8miLI6lCdV2zkMqif7qbazIJeQPGTs1VrQrbFy xb5zHFSz20UouQabIDKpYWJtvjOlqAYgnVp0LfbTACKg4511xg4XW84dqEUNhulsw93BRv68k86a 2EzPRYZcnf0Dm6AY00GneBMxwont9TNCUt0yZPoExaAOKqWRgqrBJujkzie2S2TnA96sOx4uIuUH oGeKP3VjvxS9ajQ/rC/2Z1C8mItJucC56/PON+MpfSNqrhaWzcsFLkQhNXTzeia9jYKGggERuZCX afWfX2dYgfGdbOaQoEVrexvuFjsJB+qlJHcuJDhCDiM6ggtomfOpnjW9a5CWNSFV1h0sb0UkLVZ+ 5yv+85Bm9IwbRxIPnKEoogYB7OTz3ZDTpVydvq4AiZ73EBvQDL1H1HKQfNtjO1FuHdVn+KGfy7GJ bD1IN0dxf95DiC2EzzvNzFAABXEbS0TURJRoOoiRpCHO8/M/7zQz1j6+g6GKw07UIM5QFE9DfPns MZNx6CM7tSzN4QzhhijMZigqUiexMxfFbzn4GjpH/PkvzxwQ8AbVVRdE/EGAnj/zKQ0VvWmUkxHB MFj54GC32Jln6TqcE+Lzzi9DKLI8EmJpqBH9f7fYezB9JlVyM6aGCcGCS6BWdsYafnLrq3dymUHi UHdtd/BAKJ2zd1AXCvJOJxuXbjbE7AoqjZNLTzV86tC/c8twU9KvqHQ7q9ctDe1uyrGj1887tQwr Ia2v9dOIppl3h0XBNEBAEOVdVp+WLzoxHoLAsD49qKHS+XP+bLUS9qPBW9EnmwN+iuXXhgycwPMK ZZiGMm1m5dY4U33rZYNAiIp8VK63wchAJyaRQ+HCl/geTCNMuIcQG7OZMZtXPt55ZUzrAe+YBitr JuKwCPSoDvSgNyCvsbBAzYWxFjmai2iRn6k+2QCIYTEfO6F0GpS8kIYKZWQRqHtWP5LeNei10ksF VTYOqBTwyq4FlW/WDM9m+7yTyn716+mIAY9E6gBrhqJgGqVdOL2qXA/vq+nQVhJJhSUv3o5+Z5Rh jycG05XXOAfHtp6Fck6JqfoiNE4YCsFlA1ByaOo/6sWMFd8JZX4MDZQhOTTwia16di5G/HHMzOej nNVnCKsPNkZVSg6coSiaZiw0KTfF6hmRkayepZqMF9xhym4zFJWopzr1u9/Be4kDhQ7oEmIRwdCR 7MUG08mGxg5+N19DtOV5p5I19wzJQIzbYE2ObP1v0BU/70wyvmL/dY81yKf5OfROJMOHIh9rPZuY egdwym0uqzUVcQw10e3eeWR+0xML7cOtC50bC+QWkhJRkOMSqgi/GBdlpq1aQ+QUfN55ZIQkUKWQ QHwMRnU6W2U3Zvg91/l5p5GxUVa+NhmT1V4ukDPEfBR6hOAXYBGf2mJQlRlUsGQNHyybn4aE9Z1D xvXPepDEr/cjfg1rOmC9l1ibC3En0XggocBm9of1IWskon73eaeQPaHQqMMHKwv1KfVTeMp+3hlk vOp/NRKxYXv1LfZOIOMKAkl5bdUToaUwfYul7TYhXThgTFBUoB5TcjtyCOv9XvWZCWtlJDThXz0Z FJzc6pySiKbRsnQkMH4KXzY4pys9ZIGAsMEAiyePUeHsnBGfd/aY4X9shoiMBe9k9ztF7+E0eivs HybjaVQCJdlJPGls8U4iHCI1RXE4rZoi/UD3cE1TlCNOHNBrFuUHZn+87NsmuPr8ebNRZg589q7B XV8lRJCKD5aSnWuhm1Rx+bxTx6zP9oUHJjrrQjrfmWNWSOh9m6HTCWj7vciKOYblpmCGp1BYnVbR o9B7OZd0u/Xr5AVlJ+b0J73ojeEhlP2RV0+4lSbPWZHW8V3/yulRD/DBcvpRpLZzXjl/3mljrP+P 75serd6nF/3OGmuuLl+sm9F2yQ5nqALKQHJv0CEZ99g7acwqv0B6qGyWnrJZ6/TiqUzIEsiHWchz wt0BRb0yBJuYoXfKGOaHKs6DFXcNhhniPcYttsfnnTHWHruiYaof+bs2/U4Yw1PnDXsl80oel8Pp mbNvzzcq222cnxjuwXQMyoM22PwMNMkWfV2gyQ9WHS88ZDNZPgXVdxirvp93tphh+rG1cvdBC+is +i1HkF34eHCLVd9hOILoiN5uMP1OFXPka9vm9wm4h0PuU3b/vQZEpu2wP6PplWk0qOGrMg3IkESJ scOMMEWs8Plivk4gIu7feWJMqHiLEQalwebn3JEsUZ8Nsz7vNLFfmCosNoiagRzs8xOG0ghHZMkq DXAv1+M/XnZdldI6nT8narOy/k4DJQ03n2/Qv4XSE5yIZ28UTKHUWgHXquybsNI5FJ8RXPMUGyib aBgONkEJvPEfMnYzHg8Cxe5hENuNiHn39IJHCyPpnEh7NkJLVctnsJjZvPuTYXWvBRTVpZOErIb6 iONKo85zMNfdKS8AYOeyVnWjZBcUBnKmn4zqrpqhEO1hmLNefdAZBDcDqVrvxMeDTn3+PqMpOoNK uJFaolAaJ2anvjSXUGrjajLQLJdssb7c3KK/h9KYIe4tJWX5YYgvVMdrNo1GqBkMEnsXGbVEeyD3 sEiaRbP+N9WwDQbU7X4GOMJD9oJlVDweMDce9H1RWPlDPVibobAyndLFnFVKo3cvmtUrtD8gWa4Z itAexLyea7ovH2yG9qi03+smhgbvPcwQOBy0s9pEVHXpxNi7BrmGXGShf2UD/rvh2rS0b06q0aNQ OpXvohAkPvO+qUYPQ+kzhVQttxb07YjhBjbS2NkazdiYPSpMW5yY1vbB0DCLyJ7NW36g1JSJry5A 93eUBgHVuHxedL3xIVFRiGiYLcumxXvhR/hykxsaJ13B8wHpcH3nq9Tzb5QQ0RyFtEPQeRshSXT/ Gk6OouG3+1pBCp+Fsx7F0lNgqir9yvp4NS98U4MGdTily1K4fY42GMnh1qpfApbUq+hRMF10DlFp do4rKQ5IEv2UyEfE4//iZerQoZzHDYZ6xD0cFB0kz406qOD0AWsGqpSFjZUCQDSR6zHUQ84oo/ng /R+YD0JCQiagvUndqqE826B9S2tVk6pgZbFHxelF6GubkveC1+RgtrFhLcX7+xz4eDy4ym4HUett SGnc5icMpkE/FKyEwM5tVnKSAbCMdZIXySUU8g/lRbzW8uFeZSexyOoX9rOmEz25JlvRQIz+gMnh xxD6ePiM4K5HojYWOQoalI6d+2ir9FpwUkbR9Fh+1xvSmhxPn6CoOD10DvEux26jpBQKHZmY3cwJ Iq9HExRH05yZoru+PHc9IAwA5W3ypIBgpG5jAcwShOsfEjatQUbd6h7VpisFmCRUpkE1j7qmzLoA bcDj/yJx4N9OJI5kkmiC3qNpgwY3KM9lVu8HyQjwaWRls6jwmrZvsSia3pSn2KJycHDwdOtYRVL1 PDthiUh29jJJJnQYvzQ7lqZ7VJqWTHwf3GkcBKZqIDyRO3euOjz+LwqryiMwsZp3giLsNITykG2Y vwfKgDya997Lj6AEv3otoAjlYVgq2VfYEVQZTSdwPDEBIJKd5ZQIWmzkhffzvYx954dThc+IQiGR V6lRxcGbGyeY5bF7QnU8/k/MomCd3LD7xkJhPA2rzya188mEzDP6DYydqeeS8clYKKpMmy5FyckH rytm+DHB4RC1+w1AGwuvOPcaWew47KzHSpFrfEiQstJNL0sYNl9hWDoOCeozUsPjEYP+xtPG/eiI nzweGlFEjUzlbK7i1h51W98n7Za8LNShcc94cUTFad71K0vnnYNDPfg1b0nDD4TpojEgqIEV4U+X 0KCcdLLe9U+RASHwy0XgF4AzhZ8+6SAeDyLq2wCiazGatQAg2gRFATXUWDq8NxUMjeSwe1AjrMb4 pXU6wuK07TMFjP0GjAvb7ETSXXzEcqaHGMVOEUkUvRHSW0626BQ3Qjoi6x2V5VINlpMNlD75C24+ HhWnPRZiyRQIRzmM2RSF+Gl6bhfbXb0W4mKIwW/ELxT6J7ve0ojK0zRnOwFUmxqoZ8ScI625a5LD JzlgIndC+pksDnpM2WUPdwR8RgDrZGMDiH4NRf0NiBUntoP6+Q8e/xcRSHh7zBiCOJuhEOwB6Cn9 iCTkoZVDf8/0+HtCPE+7LIqolyWs9OgStk4zBN4oFHboybjx2wzyzeg5ywbU12U/MUEh1mPKKp4d ew5We01g3/Ecmg2PR3fZd+VjsDj9bLIonEaXAIISpuHdUnHI4qJFFuenwp9c8xPF0/goFF179cHz +ob/20y3M40pA7lO513A8nIjr8F8z6pe9q9jqDIKmZUONRRzPfGaycKcPZzx/P/SI8OpVOq9zEYY USOx7zRKkz9Fd5ICsIp2mYEjZ5ssiqhNKSdJ+z5dyBngPaglk6Rwrh9YVrIRjfIetena1RDMm7z6 EdWnp8B4iZRNDtbggGmImmQnYBwRdvrBw3Bv4leCz7tNUIidhstJ3U3ZBdZQcopC285zOe9uHaAR Yadh+3UWz2R4nG7zAUCn1HAx0yQeMn9lyT+WuhEk3D9qOQSXj1DUgz4CK687GEMBIFrJCfSBx6OA 6BswRGNnEhw1QVF9GhqsUDJr1uBQy35TbQNo5/8opkfvhRMURdRo+GN3NTU42FDJDIeAH8FlSFww KLNke4AT9IPj44e6Qu4teP4VPuOvaKhvdls56BRawFwLW742Ho+ioV/CHoivd7qnUBhRL9ovOsml i/zLNmtfbr+Iqqld9XFIrS2Wsg9eG4K97OikJ5zNduJKCehKVRptjlwvapHQQHxIEFM3KePTfHGh jGHY8nMIZE4RPAvxfJDXP6pC8l5El+w5hsKYGuHQkNM5/kTxGvVZV7E/oi67bBGFNWqiPWD94oOD GRAGlkV5QHgN43+Rt4L+1ejBQL18VfGpE4sPiRqtncE0AZ5Z5m8kueAGZeI6S8HjUekjfd9laCa0 ew7NsEYNGlBjVYCZfafyNERtNkvxDBiHoqHOnxPd9VmAvObDPYcgiA8dYxzUEOGkQucgpR7QAAoI GuqMSccM0R5kkXVePxpuZi+Panhd4vFggtqFVGFPIZqHyoPPUBRSQ3/6pNmZwE0cdUtltLMbAF0i NrhSdhRLaIYlauq77qoJqneC5vnR5wbGbgYhEQkal1ADNLehC0WLJqvAolyHzwh2mQUwtH/hoAk6 P6aQT3gmqODx/+UcIku5Xk7rjOJpRD99ZpOXhF/XpUmlK83JRhn32Iz5iNIcYCORg++xBjRkkiUl /qTyUad8HtYvXSyN00rpgfl3iXqx4qVBE7Qx72xF71XxeIRl+IUMJi1ievFsRuE0jBVQoDYsQ9dx NNgBT8s5QJNlosSfE+VkvOOp66bhKZ6BQSdNzgW/XHOIbRKh+AFkwuvTJCPOEOxByQGhfDTYFitN VpJomePxSHLSzyDJd2IFXfG3GcXTVJwe3Q0750ze5UgpG0wYzS276mcUTwMcS7548sGLZ6Ut0HqL wOVwnqBtEBOUfO6kuR+VYHRP8RnBFlMqxihGg22xYqDFdmYNjweNxBtNE52GJZ+vdOAMo+nJRmKz Fkea25wCEoS0jSM1pO7R+XMCChCPXvPf4mATNFEQaknaFSUtAiQhHoipRG3TiGeC5E29a3BIN57O nTEjB9tiW7otEOsYePyf1TOWXwvIrHeCIrQHujuOdoWcTU5O+B3ZxWKaUNicoKg+vRz3WnzwQ7qf yGgt0RMG9PL6dRXMUEulNLVLKBe9a3RIsy401LO/WmF0WGYLCEE6Ho/ysd8KMZMJ2T2lQyJiEUPT aHYsKZgycEvZSVLLTXFnKJPHXnSq8t2uTyQE6VKowZDicjZBn+wkTsq50UeKZMQqQNXSu/4FqGJ0 aYMBhjYeZeVs8/H/BVBFnbyaHTo9I7wHFiec3p2gQOkeuQQRfaFTmmaYnKAonJZZiZToNdwK/jiT 0basAQaIF3TMldwmKE35VqgH9aVnFE0zh5s1CXiWLkHhXGhbVubni8TjEWDoCgMzZaWTYvFgesZE ROBpC+H31HdN1/uGbupiSbHKxU0WqnvwHsvES2nwssc+pyjxDUQMnQS8SowRyndtMxy5RES6vc9Q LI+Ys5nYrJ8pOSYPJUs5kZ3fGI9HoeL+WkIAedVyCeMriqXhJthPDGZ7rGRzM98nVBx2SvfuoM4V wT2GzF0rCVAc/JQ+6XxHn5XX2EKJkaF0JbUZkjbjKldIe3uFcA/dX5QU1mBb7NxeScrSteLxgIc4 x1eugXJHzRcxtMLiNCSY2nSFoUEwlBYQz0xpewDSxS22wlDa+OIC3D0LCE2ycz/nPOyUziwCQYcU 92zNxOd6cRpfEz4j0spjZ3TPO2iCToIkd7RzGFU8/r9gzip/SrkLKJSXhhKcYV0pdFaTwz3ko0y4 x2LakfhzojNIFcXFgJGmcD9N0HLYlKnN2hFZo75yVtDqW5Aq+iY8bPGGzwhK01xBJa87aILOPxXE s1KtMwyl+2/AEMpmVATTDEWxNLReO7HxusZmLV56JUVd2HJ6w3KGQuA0I+QtyB2H298o50BeU1K4 57arlIeBuwGl2768STJ5PPiMiODCSGjWO+gM2rPoWjgrdePx6AzyshknA8itOv2eX6HMNAUpAQhE gHjSxy3LDVS7TGvohOTJzJJWyEJsAuVJxv3RG0BWiXAjSbMCrLJp5IQJXNw5AB8C0KY2zIqgHmYu mff0wfqs5yIQF/pkOXg8CoTuNY/JIO51XqzHimLpxFBRRkmA3hPlz5IQ1LcNer8IjMAttmJVD5Hk y/TBZiixojXETqAhELVzM+CckBZkgc7tbVKyl/0LDZOIWdVg2UalfT0lZgYe/xeiilgGXKP7SjCt KJiGiwZ8241PP9v12kh0auIeW+gxao+FwbTcyRuPmH01SVDxgFVu3/IBPOf04oGOKsVJn87Km6x4 DK2hrHcNJoj3fBpEUCfcIiIAVWiQYA2BUYTHIz79r9I0PuZBLa6Qh9hQ5yhZIKreKFAiihR2qNAw Z6eYcdsKodOdNbNepw/e3JjpJBw7S/eE+4/CMCg4VWDmgRr2mhlr9yuKpXkUogk5fVBzY598I8sK uPEVg2P6As4YCAnS7unYCrHTU5oexgBKzYrUgMzNK8m9WWrEKRSXpnkKCcqwvqAMgIcOCJgyXy0n n2D7udTzi5CVkinqwR5rYXyMD3lfQmNZOTH5oLJrxykqiMuqeDzq099QUbbBqOKuK+O1YrjHuOZa DIu6w6dBZfZ8A8ArzVGslcfSNMHiGmyOBmTcO7YTziGwZfgvM3ylez67cbd+g6Fh7/onSQq3lg1W FYJNEnfJuRHweLSI2nfKioi2ATKpGdpROI2284lGi+UbLWevm2V6xcgxgfJJ1OaOStOMaUBBvIPf 9eewgc7AkFELCk+kjIP0wn5iGVc7R3bSO4qnRdVMWkTpaxHlofbGWa98PKib1f19DiFrqdsP6h0S EXEOjWLZaW91TC8stuqFxbUcDbNDXQ9yw3aW40Z+1Mt3QUtxsUV2zuQTmfOSbQjRYTbxM5o3gArl OfAZQVmI9aBC6yENWkJoCKhFdr5IPB7cZFd7WqgFlGBpRasZCvHTMG8qpXpdaLj9aDqBnRfOiPXV DIX4aUO+2oHdnyW0gXqsSskgT1mn/KUQYuMAh0OWW9lVe9dghtg9REfJB6sL9ab/UxAO7X8zERkl IBXM8/Z/dshEnLQlsf2ETXZZHKVfrMfuvslCqTwSpFKVcHB9hINPSFYAmZZ4zglqFTAOlBErAB/j 6f+ocrajgHqzQaYgT4PlZLDLFTAvbTweyS16wMjmC5v09WatOwRPwwW0SesVM6TQUUZ/0ywC4LDm uyxETxtXs00fbA2B8XXSA0EXgWCa8ouiL8iimW29xxDL9zuU9lA4VNXaqh5ypXOtyaT17LKKx4OD +noiSX0SNax0uYg7CqmrIluXPulU5ZC2x+rNZmhRP4kzFII9OhEJ0nRL+TKi1zl92/mGSRxD4AVH PqatKJ1hBVd2gFQ6o/jJjsrTuE5gn2BmCg5sg1+wLAOAb8Pj0Qx9F4Ym7WNu/X5HYA9Adk5ub5o5 4Jrmu4SWm3A0qP1pgkKhPKGDk7Sn05f2dDrZnU/QQkuDQXcnrRnd6Yma0uoysG1617/Yml3qrxwU MMLMVEqu6CHuuDz9DVtsUL+HyoFNUBhRQzwnla64B1JbJlZxQphkZMTakssL7RjsoeOHzLn0KAku iJefLzax8AET26nia4MaHlk2AGFxBRUuPHxGEC/Sta7tmnywFYT+vq76OvB4kHJcCXw+hmC6X/Xy HUXUQC9D69K32HhsXBZxaNxiYBWQjbjjiJozk62VOO8WQzyd2erhCoIDOaH6tPnEptk3WKQ5HD4i OoPUVyeDg4PBOuFgpIr84uNB2eOe0kR6qBF1kR47CqeJWRxzyOoYuh5Gj4KIqU3QiXqHsRF3hPSY RfqBpGtycAoH+OJnRzVROM7C4QpSagbf8UkTBZOibHrXIFgkqrPuOX0wPBWcuVg6y2ng8X+JwMnp 9vwqtMLjBMlH6PVTBwm/Q8k8JMiKmbFu0opoNz66Cy3mFMXTneZQs43ugwGG6tmiyNgbw8WFlB// MoFEDFUahHsPYIgaTPiU90laVEVrSRbRibBZRowTRT8KKLY8+QMibOf+mqZOps0VOsspFstDeoRo qvAooi8M4B4TFZ/CAlpjk4cq+CkOqtn+kuACB89dOSMw1j6zdCYCNr+cJfBpcWDTXdTL+GPb2/6l Yt46r7N2cdpnp1WVpOC2yOf/Ra53tzbepz5JoakLcfjJkJy9q4hGwlSbwwlTZNtqlkIQNR190Q/z wbcbimh7KijC+T3kuoW+Buxifmgt6ULm1d42AjWIudmaJ2cMjBBGDFmUnYNj8QcEEM/8qwqSGTg+ 5klRsRrAnRPM1WG4BpY41e+gZLvys5HuLIWWiLqsVik+2I5rqHx0cBBZSWuUpIY7EOogaOZmNu09 hd32usHFRoWPk1Q2H3Tzjz6T8oe+Cp+PAGjfsRGSn9oe24kUxdfAlgHGrur0WUu0QGQ1jfpIRKDt wpI+z6WoZj2y2kJUvOfgbaGx2FunEvUCqIEVWfoIQOvJ+CdC5FOyg58SOU9Q5qOKtVCdtXD2aylm gAxjhRQiQMqFyFDuCgL3I926tYpI7/PUyCFPPKpP5ErXrapcdqjqCKptFhAtp7ByrYLsUH9xfPUX S4Z9p+SWx0L6T8I9hLEazm8YYjmOiEEAPiTC6jGMhPShDRdIlIqqaidXYyX8/fmrSC12Pu7WdU1e UhhpJ/iGEfCNS65KYocqerKgQnUf0rTmQJGiUHuqQZRm98G23FkfUGWUf0A+aWjmJBUoJsDNDHWY K1Q5pr1t1CESVTo3H7TjJi5ixspzdz4f7Lj6zXZlyfOxvMsplv6gxJcZ4Jw/FWfAUATWGNPzOZfC AraIruKMc/ACdjrH3nkVKellEDwwnXWwIgJK3vI+Y6HnDz8kokxn1fanBsPZQxVf+rmQweHz/1TS 41LCvVzGc3pHIfcCr7wT68tmbNrjlh9NSvec3qDHm/ddpABStjCxst1cj/AyiPtpwdiMEP1zMnAp kaZKq1WIp7iYnqyQU+z3wrx2EBkiQRUuJWBNZEcy2uTzwX67VCHSZgCAaOD7+yxFcTccpXvNXh0p o7p0bp/XXxIYI5+lsI5NR3ZWCWzwtQTx68XLEVJWcJnERHaCOJEZ8M4jsAiVAXvbqIKkIn/pPhgn rw3jBg/GlKHry9NzZDEFUdfjohjaKNIZORGaI/59dULVOTisr18HMdKcpMBHMdPyGnmbqmz5VtlA bV5tEht2ltI5w+VIOXlNock4KXop3iKNo3NgpAhKCSGOnfqwHJSeQDR0CjsDI8XQSfERKVB/CRXJ q+KdQyvFBrWULj9bChK5FWcSz1dekz27UWDopbiV/YNQaINN0igoAhTiZKH1mVichUhqpWXKD7Cq v3r7OfZSJHkxUShSg9Ui4aHIdXBCcD4fBN75O/BmTTQ/SVzspgil6iHuAtPcVj3NHckkZc5KG6ZK lEM7RTZnWd334eL4QHdiqWWdS8AS3LnAYcioy7RyLcj7Sva2fxGH6FXJoenoRuAqqCP6wHw+yHT3 L+4ZmmqtPtstqmmDb3lutWSmrq0uF6vedW5fSTQb1koK426JMJfZfbCVtCkDP82KfAGTLkgtWpk4 uxoNA1XUZgc7B5aKrlcwE48zDgYTybvIiOqEXXw+avL/gjuiXtIf08nQVHFBpTF1B2Pl5JbbJ/X1 mmSFmL5PUshiVNi9ZG+yrr3JOtvihHvm7TpOMLm3tGIBvgZRl7yzizby140a/axHylexV4Ykieo0 nSZaAN5tPh+0aecv1QLUbHt6DqVItrpTByd13291TS9tEz6t/QYCkc1SDBfh9EyS8Dj4odTB9SSd 6hxQC3VcYtMbbjnoZa/6AI4oLpMDZ0UDrSFGyj5oKQEwLiXBk1Tz+aiH9E2HhZFerldJLueQyzhZ A9juiycklkjnzcggKDw1N8GN4deCDNMXcF5VgRMRVfCRK+HX0LbcPFiBqQFiBCWrJECyEBHFXjcK laR/zhXFQTWTcXZ1kjVe2Xz+n0d3UZEbGiFPrBQ4LKJqQjfl4Zlu3ssz3bKsGIfeQPZ5itxgpK2L U8gHS07godxpy4owICHyzkTWAPuIdnGbj9t0rfa20WLCKhq4VmywWGnSXgqXlr3k/yKk0khQveKx OTBZlFJbW5TcJNdBapZITorqTUSwEUzJNDdwWcTlwZrSytsHz+D2Qp9tZp1LQEl0lpbOpkQcyAzx wmuqv26kFc82wGJtqV3eG2w8kjSWz4/l80F2ciV2OSHocI58iwGh02KT3sxWStubaCAUvWJUKJXm lpvPUhh2GzpLYsRt3NMbWtXi38L2/gRmhSlcQ12WXWKSV8ysU87codei+cFU0tM4WE9pEZ9CPmfj 80FyUtPXFUdQb+03DgjdFjsttPLwthsTVHGsh917J17bDunPod2iWOjn/rrD7bvVvBPKykCQQMmJ wVLjtqMTEwDHjobM9rZ/9k02DaoYthqUrTNdTXS24fORBN/Fi/Kox/3xKIbk0HERUcw5s6sUnXon h4unUoYTdRZ/r2e3Dg4tF0dXQUlEx0em8ExHAcBzkEh84oHzOyxmcJisTgGj613BBgg/5K8rDs0G H4xeNCZbG9Abm3w+IhJ/s/UB3iLu2ScpApIs+HhlCuL9hxUHHLH/UWsdMR9Fv1dp1ScpjLu1lCoz Dw4+SdBIR0jNkgmgtOzyFpTO2rnvflCp1qF00txkbxtxsJjflqnhXOEkJ0ICJqne1/fa/AFR4H1j Ss0piu5XBSsHxovWFyjyDzyRQJZXFXlYijRxLAklpR0X4rOZvq6dqg8+TWeGGgs/eZ1vf6eFlHgm tGkGLL0zH7XGQKn2un+CAYoE9ukWxMUEoDchN/0ETHz+X81uTlNmr+oJBELvRfg69sEvn1Fl2QaY gDWsJyi9unRqDswXL2d25Tt40QQlAMAA6CQMPHV7xGVBiaLiivW7qaeWY/PFzC0nAfB8BcATeTUM BM6lw+eDLTd/nd748kd5tlxovwi2yEA0bPW35C1vcFF/3DGmeYIS+i8KXbwN5dYfcdCT0g5wInjF ZVRLBE1CAaGw4UB9qProOubQgJEQyQkJeR9u17uKfD/G5PP/4mRN1toIRaxPxTs0YWzElkzj8KFM mb3JVFhLISOiOo40By6MhkZeyZ0G5y2bdGrYbYkXVtQW1a1jj7RR7TPf7gl9PHPowkjVXLIGfLDW N4CKWktr8Pkg9k7ftSWW3qFm6pMUVbyJ4UrJdK1PwlZdiTfVpV2YIfM3fZL+VrtOPJs1+FoCXLJl MmhPWDahdcAkhsYCyIGRoGiS1n3bYJLoHdMLrzoOVhEAzoDrgAW40IixzPoVedPId16GaA6dGHET g5RlcsXjOsZkgcyFMWks5Xb9oIjARl0jCJracPlZ51hCORiTdOJjRAZcSawtofz5JaS6l71tcMct 9k5oiKTBTqVzXEnos9XG56Nu5Q2XJNMHudn2dHUDP0aUF9nLrV4SmE5dQ/etO9IElnc2TX/yIE+y uqcPPk37nBVzZJUEzhVXq2C3rBKeb3JLDolrqXZ72wjdTiY/c2QN2nAd8ptMzCANnkNLxnGjSlnn UoDqnkqhJ+MimIEUDIpCVKf64VgyMkCb0qHFhotNGWVnhdPHBoe3F8zy3vIn2KOJNjk7Kt7YmBLu f7DbOTRl5HF1jn1uuyHTZc4RWtCaI5zdgSvjL/Fr1gPwc/aVxMyhL+OWxJE5oXVg1KwEhyqHFlXt 1GrSJEWRN5yWzk6jko8GB04m7rIiD2aIxFaVVhCogqnV+jNLo9vbRnStzelhMZeDYUtbV+fyXMt6 yQg6+cv0C0WKUq+Dbo7NGWmhK30R+g1fUmSmybr6cLmbk0MO3RmlHXpiwuSDFwQAvqUwMXFLqYlN chYo8iEcc5Cqc5y7Gt+hP+OUPrjMHNI1c4B5BGMIWPgMPh9N03eCQmXp+txwoUEj9DpPhtvshrvS azyVHMc9+42WYodG9SA7yQAcPKQc50ClJAhmaSKmJCIH7SQKNoIYZNHSpodlDiwaQT8WbavfQQXH 8/eqebSa9ZIROsBDSq4aarCsG1LGHo1cSiJjdzZ3/VQqZbroGq1UbCnFStjCK/Xigx/dJ41oe0rM Z50TfhECV8AkagRbsa9rtaW87HUjtRpiTOgGo0FlE0TxjAdPADv5/L8YpGy6EaD4SELlwKsR52E9 L1tNEwp/6oYUhISBQCfQnzcDpxyaNeIKA0SQVEkOjjRppVDEj5LqYxAnjh1HV5sKZfKrvbZSt7f9 k7+1qOLHQeVcSL4V0/TJfD6oU95yLm98IEP7U1wK/Rqx2lFcMmrJtwhk6tmLS6V5BS4wbMT5Jl8d Ukw4OFCwIe5ajXAcOEVPproonACpR1bC7Qysbm8bpSdMTQn+0qCl1CB/ysZ356kUwrrztzQUk4h1 pbNy4NiIDceQclpnoLXh9QBAyy0MAPPKN1yMNGE9oEo/vM6nXQmoI0FUKJuce40CkJPh47k6UZaZ txU307LXjZQgeWg3c3pwd9oG923imE920vl8lOn+asVRXOF6XeXQtREKnrRQtr4AQlurwOWxvC+w mlfgQttGc9jJopjkh2Jy4uolH1Gc3ZAY20LAwTobEG/4VHqdsjd720jsUPJ/FJfiYBXvExgSnt32 Wnw+iCivLaG0GKkxNJ+OZWjdCG5tZ8QHZC7+VFWdTFTNrgyXNjiqRVdcHHiTo5WFqszXpnlCXAGS yWwzDZQDNkGmEBiGn9oPLEFvvESyUg7cGxObx6TcLh8MKIj2CVPdE0rw+QB0Oq6+sTF3Trz04ChC A0dqIeXqdZMkYimmqTSzooEz2I0qAwdHqFEQrJ3yHbz7nSZEAOiTCuYTokEsODYLOqptzcm3mX0j fkh0erOhy2RYw62bUGAX0c/i89GW+8ZRQIw6UzTVJik2niEkfXgS1z3XPac3IwEazzStMP2gP+q5 55mefPCCAKxBBhgW4AvALUyqW+wVM4FTufyx/M6BjSNwCEkA5uKDQu+KJJ2/4onM+HxwxT0ob56c mfYz7VlLUexd6fvA+MZYFe7aTH1rtb9zcROsHHg5OlJwCw2/95fmD/pBGUVu8E5gxcfwfNItjov/ 6m2UrBpc6OVozg+1a0BSJIbEecHFY2WksvgDgti7/UIuQbnikQPIgZsj+G+422YbFgrQ4170LhTu VYIb+ZbgYjtH+RdVGXvXK2C3sB36EM4bUnalyj8+0czsbMT2+KtQATEHdo6uSjJ6v4Mlu2clC3M6 AKQI/RwfPWjOB+a8pstVzoGjo5TpAUmXOQ8oXk4/wd3mer4ksvCSiy0dlcaxiK3htgZOfD+GZCXA p6gSseNJBCHBXR6Vv7btbf/iVfSu06m7kwv0mwpTonruGT4fydv8SlAazVqelRQyKaHpCzlTraS6 r/QG7QVNeoNQIe23GOPNu22MO1wkxUh5FfEqdsrsJVUJ+DQ4ysPn7mLglr1txGNKFPV9Bu9997Kl kZQqn38/u9ftMuE5Ejz2AzcJrB3RZ2QW17225F1w1CmHy0Wu5dLZOfB2RHoiVyyhANPTi8NsgCDO JhPKJlxCJzyY6NtQ7BeRllF0qNWWA3dHHN70yEhyzEiuno1+gG44SLjx+aia+60NkCEF3OfV4Mih wSMIv7jiiqFyGFhJ8a8Xl3GZhMJqmiK0SSX8LQF8ZIOfSg0W4JtNpvPzUd9g/wS9OPQJflgt/9Yj y4HHI7IwlpWIXNNgcQA6QIaj2Hz+f8FTokZU6+Wdfl5jpS5v214lEQm/dM0RCwJjWU/unNzL0Muv kVIHbok6EcC02WAzBBAOlG5Qf4ObVRb+ixliQb8avdk6i9YRbrfXOKlD0C5TOIEtXQ6aHygfk4EH Je3Pa5TUwfJXzD2V4+JbWJQjYy0rmhyIJwwiCJmWjOpwpYxrjSrRDUJqlrwFk4MrButmdB9uEaAC mEQDTDjDwRYcywfRdkXTLNMZYeYv/Pvr7JwokpERLdRs8I4J+FI/hCyfWPt1duQirtkRJgA/i8Ql fORraNQz9Z862hianVKqkygqtc1kI9Jc1fc1MMLSUUIBzX8bbOmgWg/7HpIDOsKLJD1AVrypL3Nx uJOI7tewqMtJBnkoIUrzKk9nyOWYxfVun9eYqD9o7vkjaBxq/0CqcHJeI6KuQOrcAdX3VfLLKwH1 9uPeoMNqtq/xUEcqI/fmvHywpbPbCYY2G/YLOtB5EXfDqLGik4JCg4OUCQJ4DYe6wpmCSeE5zMy/ KiutzGfOIXbC989rNNQfI6PrO4IUtNvsvIZCeGiiNiGdbOq2+FGDsogj3Tvxc3RODZYOxKOxo2RT 8EtQAr7NiO+pQHZOBtZ0O6oxFQflZDSufYVr9vMaBuHUYSUkS1c8JwduAW8h7s1JDdbnNQjqj+el ngK5tPbqS+c1BMJmXP1agqLtf9Vpca66LtJmZYc2WMHkzC4et1qRzXuoCKXXhiB0p9Pc3nBlQ5S4 UVUDqizna5paEmuPr/FPz8TQwzGEG4uD3eyYKmu9ls9r9MO7p9rsUBWZZx+Rf5id19gHB3mV4Jg5 FTbRJQhpU5l/aekYWfI18umSwkdOv+7gs3OCq9WK7J1OTFS59yCJxNAZfPx2s1YSt17DHmysrKZa TT5Y8br1TeT+yWPG5zXowZk1v/dV5e5kJY3a09GRjNs8ZSPXQObB8X5JPpeSHbtC69GRLCAEfUA0 3CP5XFmwc+K+OvtcUXYDhIAaqoATPBXZwVd9v7Ak1NJWbT5odqDTpSbiOdU+r8GOvaFdWJweWros 31mvZcYuCaaTf3U7djpVX9Xfz/vKRVUn2LwWGXkmSMdGWiRj3WPnrJGJ8hnvp9lLH6x48I7s+B9u J/coJN7/tcaI6UF/CCXL7oMKsWwWcWdRXDS6ssR6Qfxb7M6qdfip/Fpe7Cpxw8fAah0weLLp6TKP Z19/OmvktbjYTbMOyXv3wacHtiwyYFosdWxySxtizkYToV4fcDYti15Li/gKGOdAnsUHO3jOSlRj qEJtLLyz/EYvQmYPit54NBjFytInINlCXs2r+60lsQ2q7q2ZnOkXTZC8moeYR+ORXkULorCxQWEE 1IJ4MsNXtkPCgFVeV9jIetdg/SjkIalUg62fvdT7aETQROHymr6/puKN80ZPuBzFy2gkATGtaWlK iHn80O4+GUF7DIf3hyuI5R+ijTV4wHxulr2W+tQditgspxHK3qGpzr6rtWApJ/l5569htetcLmX5 YNXpk+9K5idXPh6FzPUrZAYLrdK8UzP0HjOjHQQTnry8nJjc6SqzmG+QPkDKDIYVzNCuCppT9sGW UKJy2hbSeM2RG3kii7wiiBw2ms2rr1jtXd8nqHf5x67ug/ZYr9VE6BvqZO9hM1T+81fGxRsiPUso ipsrjB5GvvQ+emnaBKU7Qbk53SgKnLsIok1nUXuuMMi+gASnxmvGKjLK8VmV2MadKjZKSP1d3/dY J9SxdkXO3as/J4LoU5rOZaG/EZ1Cw1NSgSJwm9zg8J211pNh1GpNFh2u5ZKHpZXsE9RdjO2dtIYJ YtpVSc3WoAmCMSHtQpKvoCKiJOTqCuhB9C0a9HPCUc93jQ4h+cgKjM0c7UdYxy5YBWDGn3fGWhfD 1LZY0cSe06+We05HAXRH4SXRjARRUOYvx2OoVFM9Btn46vy8R9BSL6mL1mc2eBg0BhJbSUU1wIuF +G9EYOByabdJZtXo9whaVN2CdK76oDSqZEu+amr1885X61D08wRDncQCgtO8x1AYRBMyW8a2ILq7 K1iCC7UH0VTh5AxFUTQUFGBsuYsPftVnUPtbV5EVimvscSK/P7sC1Rdyk5S7i/gYhdGNheg8qS/K wRr357rs0uTN+/POVetPtV7nNAh056M9jn4nqnmCOmh/QuLMdsvGhB7pLYuREpL4c/68ydgL1uBL CEL60EYlih9RAyuHKMWhHMag4ppesVv6eeepYZ1KsI6KLRrsHMIdyWBv9fl5p6n1x8pgqlkEqk2F FoFN0XswjTWEELo0d9+j5KsIWPMmYnSJUaU+iqYH5wYZrQ82RWBfAZKs4moqw5TGYSJXCiI/nNTW 81E34z2aNterk6bM6oNmqDEKZYQMyZoonF77HkRikVT0G+8iiuJpmhmUVtXwAZth2i5rg5DHTeOt 6TMUBtTaZZ3ncO4XtrehTIR8TDLiMBgiv3hsyWWWn7luugGKKj8jmiEqOTRqjnFwbGNVh6wS0xBF 1IbxtWwVigmZDSQVEKOAGp4HnUUwThDCRJsgGnipIwa0hibonZ3GFWyV1enDXUKAjIt3NU/EBqC1 6vOI+AFh3+PR0Bp61+CgLhIYI4yIg44hsKAZXaOF9HnnpjFWSF/HEGiJjT5RmqAooC5q0ierIvba h4OIW3e43qDHC0us7wG16gI0BJs+XLwHBKRTZUB9QtAtvwOaoTeGoSxJO3+v6GWjnIwndCboh4MF 1KhbslM62/y889J+XWVCowNEsXyPvdPSsG7pnOZ+aSc4mkaygjyOydVAY8GU6N9ZaV0efjQMyT54 yrHPDT6L4kWsqEYt9nru57POkeuNfANGLr3POyutS5wT6ercPmgNpbN7mdTXXNvnnZPGiNo3Gc9k BkL7rqE4oqarZfeCWduelKGG7Hc9ME+aoSiiVmUnjbF9uE2wk1S2Sp4sYiNa7iKUPQdQwzcjNz6h YTrle9/5aPgQahzNyiYYB4sYoenCvD5lPv6vYrT8G9H2HneGopAacnIQpzEhiLS7i9NKCVVCELtb Xv/OResG8UCt5g6XGtNnpc0djqETwooo2je2JUSrMnG0OodEIHrnonX3depJOj7JLwOYE9pBPdL8 vFPR+uPVrDVE0jPNXzVDYUka0l6TC5a+Rc2hnueCcNxQB3LIzqGoJt2HLiYe1Bx8hgDxZkhOrvXJ EBgHTRKrqGxKRF9+/B7eeWhWpaGW2B10DME7e+omm+vzTkOzgFwTJCAHIocnGnonobGWjWYve9sy M3CBYzD0XKp/b8ecvXPQGDNkKa3cwdkeE+pGqUoOEuLhLD/Cl/XcnJPRzBU6nJTwe+egseXGlo8o MfVSYk5IA9MNBoxpf94paPhdlVTaLoMVYyUtUDMUhtSFgHP3uOyzGEYIBA2foclvj2voPaRGtMvj log7DRYNge2Xuyr3kP6eTdJ1SAQLolMg/r6snfgZ0QTRLESE9AZQqZs141IkL/ZEcJ93/lmXW/KT 2iPVLoAj2gxF5Wnctz316aYhPRnPI4EXYHlrR/6hk/o9ok7/J0mjUiS8Xy6qY7PhuafJQMKzyAQj UU2FkyEF9FQ9G2yLvZPPrHiBE7onHwweVFlQQX068xWDJXTb8YwXgXmB0rBNUBRQw0XMIXgowLYr a7TQ6hRmcVWneLzzzlgg4BLa9LrkYBOUIEVPNQx0VVOe3IdnacGrA5KirJqY/x6its877YxLiKCX woCagyX2Td6GMMden3fWGY6h8V1eZCWEuptqOkcBdUNxiAVkoadSL84USn6pNXDRrOscB9TcXABf 2eB7DHh+omjPCmptFLXFEgDcmeZg++n/EM7xzjnrEgU8pw8V7DVYAxk+XQwXT2T1eaecWRHdJgjr m5crK5aaoRDSMVnDn25FvMstn+XtffmNzrNm6O8Sdd0sFnLw8tk5qXGzI7E/fw9ePnXD0TbcmDfE 093YL0WvGkwQ8VItPYNlHOcESmIsnFPgnW3WHxqVYTqIFCjT5yeKp9H/P9evlxdLvaJYbXjzGZoD 1gN6p5p195EtwryUB/OCPOucECYYglq3FLETqTpDbc6ndtb0rkFKxlwMgqQ+WM46qWuHFdT5itEh 3X9NEOxCkues7zQzTBDIr7W5tBpF0E25gL6RPIPKFep/Z5l1A3EuhLM+2ARtqNsVNjgXXAw6Jb3W OZVQdcCqn/cMIhPw804yu/XXpk5HQ2zNXwuyM4Xn+w+wfZ93ktnvuscPYSUK5W2GonB606GQN6y0 HYrP0FTbnnYh1Xsc7xQzfD9s0dfBQ4iDbzF4uLXKLtAJXs/i6lQt7Js6G+hZWdGjM2N9p5d1RwIX Mhg06BIrEkJg43R+3tllv2JpVuvZlGAzQPPzHkyjt4aDZ5g8/wkas7PMC3nb3gOyCv47t8wAcai6 spvIwefn5K8DZtC0U0kQV6MCFDLsgmbnZAilCj5F6N+pZbgpCf+lgpAN2mLn2+hqQ58P+rwzy7AU sgfT40cuskV28ZygKJYWk7Pt6hnr8rIQ2BSWsTby3lEWeueVsebCVHVReIuD94DArZiVyLKTjxXi aqDLl8i7BKR/XkseNpc/77yy7hTFUVha5KBY+nw7hL6fj8aX+B5Liz3xPUPE7Hg+9s4qQyAEBfdE 1sI5m5FXzIvzsL880TwqgLrFwvK0knm6FGDAFpvMxzbV5hRLD7DJZVBITf+N9B3aCJ7Tj6SXjQBm DKY3u2Vto7HEYBo5H3VNf/o6seI7p6yX/0vpO92ASEajvq2mKAymG6nLaRoAb+T5819M19G9gv/O KOumqY5y/fTBka27YwnJxeCkFimzpTFAqW0o943HB3QxVnwnlN26UJrZ9PigNcQZ6luXyg8AVZ93 Qhlz1u+kHjD7ipPEZiiKphHCn5l3qaLJopdcLpk1Y7KYidsMReVpyl8uCIH7YOcQnCw7aPVC4dUk ffoBODXE1X6QmfkaoifPO5WMYYm4doRUcbAWRysSvgC54PPOJMMrlvTrIoORdver/p1HhnMos+5g zYyzmKazEmXqog4Hv3ZM0DuN7F71WWTV3NvT4QA/l/g+9KL3zgC7ogmUaNu5QbuvvoY68ePvNDKD 8EJxl73WITFrAj5OFktMbc91ft5ZZNik3dtkDL0RUvTbaX0nkXWZ5MIghIAOqF1lERI38HJnVhAs wknnpyFhfaeQdSmRAFu3sw82QXucay1tql7Oc7WmQdZPR3TJeHRgfqyNiHn9vDPIupipOH4oTsDB ykJwqhNiqI/PO4GMVYfvyiLryWwFaH7CaHrRJ9U895CwNu+RUbZEvKhBq5XOn/N+CDUZgiW1EdPT Rtyw4ahy31vlJH4Q/KonnVpgGOHgLBLMVkLW9bIRIIakX1oNaTC84v9j7LuSZMmRJP/jLCkj4GTv f7CFqprBI7vd8vXMB6pLyiM8ESBGlBQieinFsD7v1DGG0786HOCcUMlPM/QeTqOtQu+L0uyupzQ3 Z6js5XppVBVmzeOdOMZ0UO3DtH3wYxqi8q0o39jYcqRqnoWzWQmkBdljvzf0ssFdz4SjFu4xDpqh 84eS/M6S3eedOMZQ4Tsja6wxXSD5O22MEUJGkDi3w4FdPSZTv8Qs0ZNXp99ZY7eLWDZhwRxshiYM tAYrMgsMjTElgNUGr/nywxxfKStVST/vpDEC7UlilWFBL1d+t+6RRdMsNX/eOWNW/f+66TNbB3eC ouI0bk9QuEUUO/lZqpeH0GwJ1Un6CI/pMJ5mnpXFZ+Xg8TRMDqihA/XGRnV5ThBKxQyzrwrB9lcN 5odRIuplPlgfGjIpRkQYn3e6WJf941NWRG2q9NuGfmeLddPaEyWEpenkJqkJTjCej3VvIr6TxW6X tZJ2qMHv+RM5ntmg1wVkINpkEQgNpnMGaM/4DuNO/7xTxS6kqrB4r8HyDZikMtTbhY8Ht1jNX4EQ DdHpVaQJiqJpPAWsgSVk5hBmlWn3uRg0buAOi6NppvQSS6+PWPoJ4s7ttBHekSYGHIx4UmRqnuCN bXqdQMTbv5PEmFBhZzUx6jhofmalDiD7FevzzhEzSPpzy9NUvdxso0WhNP47uOxaQo8toEk5130Z XnalYiZvsRA3zR5rWTRk4nBrZjUV02oco6BVJpY0es2gZNLbWQnrxJrAdwTXPMWaW8t3sAVU9QOD rpvxeBAo9vq1w3BH5L1uoBhG0hkKxK2YBUit192yyriBZJ9areLRorp0kooVvc01OKqTFxYlDM88 Q0iWRlik+hbozAJTd+uumqEolF6MgFZiZs9BZxDKlUIt7sTHgzZ98UCRIH2snnGbPz0OpQHEaybt CZGJK8kgz2ZwxWZ2g9T+HkrjjM48fKA+pMEDoXVirU3t6gXhBPwc7FPj1yPS43JahKDBd0QdVrZW K5s/HLSEzjXYqokPVjweEDf2d7qKtk/eF/fao0gatSRIEFfbY2S1qmhGnpHkGKrjXnuE9FA1qEzW PDjcsiLMugYpUQMt7izlVAgk08uKpWwlY5OSFT0qTFcmY5l9NQ34DqV72jYn0+hRJP1woioTW4pB +BnUw0gaZ/SsQ2hgVngtCqrkjigKIpoSl1iP6tLKxdIm74eD91fBzKJ2DuJEmjQYEoZ+I4Rp3FyM vUt8SVQTYu+ncSHlRigyk41RzGUHbE88H9A3fiUblPNv1MbQHIWcQ5zTrfGcJr/O9HSAyEvNXK16 bpZt9CiWRlAK3o80dcvV1AWECtUJ2scslO4X2fVl7UpPHzQRH/lKalX0KJauRCtOHiAaLKOHcSlv olkmHg8O6pS/poihEImMmqGIdzgq2B44k7NcdoGo+T+IbW0nr55TFuEFko0eAz1Y8ZBZDgdvbmzI wTSiOsfJ56s8MMc4vwSRjJTkN8gi64o9qk2rf9g3pYc7QpmhumJK0hk46WfB48H8/OofYkFVmexy fuJQGpXXYsJUIPo7prNWb0Y3aSdyBYXcQ6M6t+WDX2St1z6r1OFOzDvlytRhD9DQmCaqwU4hNPHw HcFNj+hl8Ce0QckYNJ0YmyICw+PRHru5BntpScYhPkNhbbqjVIYKNbXiG6jFFPHIrMDTgxChnulT 9DiYFjBYyMX8GOuIBw/LE3DIzjbrjWgqCYuff733hXnQuQPfEW0xht7kkGkwmMc4MZUaiGPi8Qhu 1r+Cacxpbc9F9h5MAxaMQwh6G5m+DKBUyKRx009LdVeotWmPRcH01h4rs/jgyOkJDUZG+jDYSSPJ Ch0txQaIMGC3zrFjZbpHlenK5jw8Q3wQlurkkqrSnf9g4vGIvzq/bjL0oyp+SJugCDeNrkTbteps PkHecCH9M+FWHoLGrYFee4Ty6KIl1CJ/JBamqXPMoLMBwY7uxjnwK/m9gwqMMKyCNrc3yDBX+I6/ NBcmzTk1aAXBlVwr4MRaeDxYQdNLQh5Bcav6DIXhNNgJNF4y3Y5xrT1Kcc28wX4CY6GoMK0edE7y WLqR7tlUs5ycdXOPodoKwRacQmCGNqhUZdqDq8faqZ3bI5yHXL7LyN0H3WMdE841cEY8/q81JNQr EsNaLwk6iqcn1/maBgxuFBA1waXuTNZBkRtM0YhK07rqqSBugwM9EjLYKlVBFJ0G92OyJBbqc/NS NXlQjyiezsQIwWrYByNwnHOe03V+nIzHg3j6Vs26Hjv/Vfc1NKJ4GoCpk6laCbGP4kWhrBqNeep6 c2OEpekp5YU9fLg9RGjHbLHoT+jRhekc8MmAedUPziPLyJjq4juCCSpKOHb1wdrQWe5k56HNx4PS 9P6+6qGOUctDow+B02huFBLjSE6g8AarZhnKxubnsalGlfg57wkHfahWE0ihyUwUKmt7Ub8LMs7A UsEQplmDiPQN9JluBxGYtoHvCCCd1BegimYxRTld9bDBwpKFezIejyhAd4I4G+BnQ3zTZihEeuDG rSy2SxqnuGfloFKSsvrRzaNiROE0OQcnSWlDQ/IZOpcXLnrUTRZkZoDRYOlxEk4LKPJz009MUAz0 EIiq3sHqrlVgZrTdGx6PDqELV6RGHKu4F3s/omgauiK9ibQhKdgrAEupXk5Qu0IVIwqnJ6VKsmkI rUdeCUqEpW3xWzb4XnS2PitoImCDKCgbZmZ6VvWyf8mcNNYqoJKC9oQO6oI+MP7ss+Hw/L8WkUhS HYhFT8lGGFCLMm5MBBxIy3qsedj9BsTiNCHhEQXUQOzSpfIOHg1VhImTnPqx4Acn2Wri8BJ1ci8g j6bQ+I5gl4nOOkiI5uB4s7NQiYY5QQMej9Aw9StcJEcqb8/rR4ibplNlMp+Os4byi0MVNoBNUISb rjptmV9p8OJ0Aw4CVqq8yNJYQoXw6Key/HqEcogsH6GgB808d5Ht7r0LMgzUWARBaonHg2hofTMR 2SNp457TUXEaJcyehrEyQYPz7kbOblWNBoU1yEYUT8PzD6cvFfIltXX+S1xk++ycseQBM2Zhe/6s ICq/Ip6e7SpRIzvL+I4oFmIyLyWzeZXfz+01ic89R+/aeDzqj3nljMRXQDL2rU6PMJ4eiBHnjRbl J7zEQ5y+xbJLmo8wnlZGNhkKcfDK0D63ewaiUC6eRVKeY1OxlVTNeiGLFOXDlwQBNd3gU9EAaIQB yzuAMUR6nIMOzwdp/ZXMkYJMpvfMvcrCiJqsegE4F0nj6+b12VJ8EEdNX3mEBWrhxYnq1OB5/UnX oFpHaY8zVXXQ0wOZGoDOhWrkXsLfxHqMkIrIPnShJrYGZWUJmkhMW89xh8ejysf3KqLIRtveRJxh gbqS5svLHHyphdoGiq4UDbY+9Nie188ooLacY+Q7+DkEgg6SMfI3zvZKzOvp2Vah+gu4hUPOmHLM EOpRqc9GtrkGy+vTXDITTK3h8WCCmuf1eHBJ5cFvshkF1ABEomA2mNeffyLKvv1smP9Sj/q8AD0T sIJmyESUzJuKz1l2c4LeF/wBcKpC1oo4mAnGgGIObR7JxrfyKwIcfMdfBfxBuXANmh8QTLvNT8Hj 0TH0q3SGbnW9YngziqcTC9Td4ORwNEtOs2PLSs4KtJDjBMVERAVDUnpZ1+5lAYG9itnjwWqPJw78 lSroyyfh6I/tG0UHZlifHkrEx/TBsDB76WKA9gwe/5/OaVIilheGZhROo38KVKf7c9D7QQypmhx5 v6jKywkKq9Mij22yWzjYBJ1Fgh6EJPEWbK3IIVubVR7UHmq5xWnSEGcM9NiMgdrwwXZYMbl33Lh4 PBKb/BUrIsd+cvoZhdOApZ3LrJvmwFyuVQEgg/spbFxTmqBQFU9ZRKf5FAe7yBZAZFDFZ/H13MrE wKD1kUG2KD9zP/LAuE/xHcEWoxUeOVw22BaDqBbFOM/Jj8eDJuJIX7Eim5nl0n9mGEwv6rrb/d6p 2qbKWVFnEbfirNlwwTMCetCYDGXp4YPn9OOsvwLCLEqL4xwXJEx3XFhnS+yfIa1zwfHsXYMzWofP YI+Mgy4xIHQp+NTOv8XjUe11fa0gtFKoHmATFCE9gPPudXswXamAJPPJvV08uQ5SfTs/J7jEBGjJ 1C3l4F3Ws2TONlP5/gSLqKohEsDxU/CCnRV/004uetdgBRFSLpyqBit6AGbJqtDZ0Xj8f5H1YNm1 3pR+hhzEhGyDTuDMNnbtfkiTXmxWgc6PmqFAnrrtFLTX4NX7vs+Ga3QKRDd3y3VyQ8m8gFVFQKcY doteHDMqThfy6AvA1TZogiZI4vK+2Xw8wlKt70MaAnm13AmKoB6ywt2XHtVqcwIZVI6NpIkUXRMU BdMjq5Khs3o9ggMofEMagv7ciXmBDmlEVoDyshRrHTLqSs8olgbID1IMgnpcTHACYVOunGc34/EI KzS/JigT5VHvGRRSEDuRtF7zKORcSximrcuPgmK1tlgskqfCq/w107VzOTvsLE+yNAhkAHZc+Woj eYPByKUg0ud9hiJ5jIOQ3PlgE1SqcOy15ILHo0A6/c41FlpqPkMriqQB4oNkhe2xMqoHQomAN6kv QcyL19iKoB5DIWKiFYDJieqU3h1FCflyF8i5yjhI1j7Q2RhXskKi2yssTds1RrgZB+0xIHjkwtVq xeORcs6v4j0ac/kGQissTVf6lSe750dJy5fQdofXcx4mq7yuEOrRCSLLEnuRMZpqQuf/ck1VsjBl UwCLxoBgasO9nDefStNY6fiOqORBTY8uFmt3FivU5RP1sE7MWPF4hDf7niAiauh/qgkKhaWhYjoa G84IiWQhQayHIA1N/pI+QRHWw/S7KKK45BP507iCTpp0TmrqdILFSk71WUGDBKUTUY58AyHw2Bq+ IyqayaFs3kETlACw6QqlBx7/XwQrKuPycWVMo1C6s/uzXea17WWndNq8rHWN0dWbExSCprvpMFQf HOhxlkUhyYFiA/TK5BZD94U036eqCGsWfkdUmmaWuqg1MJZrDcAYpBDzfM6ijcejZHV/TRDI/YzP bIJCfWlIKKfUi5mVS0kaVhspLwOYozNqkeIKKYiS8qDdrwbPNRZoUTRWWBO8Y/qtzzNjC5i49tMf 8g/zK3xHUDQjMWG46PrwUBpiMkmg8pTxeBQIfQNhtvSNPFJcUSgNHB2gQhZKtzIN/pK72FFsj6Vt QI8VC3ok8uOZSHDwghD6PL2QHQWbcpIPzi8HzW8etNgitoQK2yn4kr/UGJjq2WDJxkmB2U05Ie3A 45F++zcxAYW9sq/00opiaaxy11kcFD952FEr2R7b0wXcVxhLS8G96iyq5RGiHJCjmiL/lA1EHhuI wBEASvczWfAYWkJZ7xpNENlR5pCQvD8GbWylf2cHNDweUem/D6GCr3kQiyvkIGYyWmjRTvy0K3ad e4wNxKG6q+XzK0RNd6GouBzyAysHRwHyLeS2nAyoZdKEcIZgTgaxE7dixsr9imJpQWCoF2GDWhv7 7DdeQ+Cd4PH3PbbS9wQ1odk9n18hA3HQWs4Sr35OBdcQzG1cNVx6XHMFxYVpVlt3XT7cWLpNGHep BY0Dit2wBu0cyLaRnWYtVpBg9LLvS0guZEPerRyUr9Lunhd9XxWP/0utnDMEfsO6DcQVl6VBbXE4 OQSV3SRh1zm9JAQVRulxh8E0EUC7luGDH9NtI0InbnpB2jSxgQhQJqTjN9OpGwqNoZeNQiHqmkGb wgarCZ2Eu1lHh48HN/32c1rYtH5m6Srk7SiYHrRn0encqXZvBslQmU02Q7265PSOytKD1fcsZHn+ Qpaj1lpoPkh/FpxDFFlkYkLTOnYev1ykdxRM81efmXh9DYam2it12f0NPh6RW+5Fpms9wU/Zr/od RdM4YKDU6eSNNr2syB6ECXpUR8LsWNBjqbW6ffAZQtZVFjGd6xyuW2XFDl54A1hD9hOyRm72rlHh nqlqmXfQEirABcuXpvEVIw1Bj4UYOSPvLRd6v0PkNHo/pXVrQdc1rayIQpRXzc4WMcGKHSKnDZCn wnR5CtPnkM5DiA4YkBYw95jSs8cOm7Tk6Uahmyy+I5ggIvHWYmeDg+djJqdWEAvt/42CiDSQCaBN UEhB7JgWMVmwgsQUp+SJk6ZA804Gvd9/4zyKsVxECNRN3yGAAnsCpBvnWpvSY6CzBLTVx9P6UdVs R9G0q1ASEMPB0GalbV1EPW08Hmksej6WLGEt6OvYBIWoaaoFt5zvMe0TtCVRJbeWYUCYHTMQFQrZ hd8vbDqdxGe0RTvNCX+MShXKxRfE35W/rOxZud+hpIcw90LC5AcJg0NIK+Ck9Hg8AjHcdIOBIQp8 j4LgDvXx4PZDjzRjSFWX9Ki0p5SkB4JJzVAI86DxBhFqNvgMdYiRs+d2ltA5iDLvukW+3MYtz+aP ymYUPdlRZTqxZl+TdJeS6y4BqVOWGu9p4fFI/O1bEwZNnEZAhCYognkk1jzGvcfWys8Sck+b1h1L tUN5PKEQlxy11lOZrvCUTYWo4JM4TcrlACqEohB0j6ivvLp8a5veNSJpCu1KwWkOhoMpTQ43bB/u uDL9fQhh15R+DZH2n9F0Gn4IoQpo/J/HmgSldz+lY5gHdSpkGFUew6h9ApbzP7OkzcB3qCy8nnwM 2Xym67KtIKle4juCWJGF176Z0nPwU1r1NnDsBh6Pqma/pM1w5PcrQbnDyjSRVDOZMUCf13yjJBOE Y6w4RULccTStLSZs3X7sauFZD40bntLAQ25abW+5Hp98aHu+SgdmfsXfskLpDpofBFBFgdDi41G+ emniOG+W2nleFNpRMM10Y4rFWvFPw5qqgF6Y7NK5nGmn3fk571tsNmtqbB98i8E4Khe6r52zLu9C ++hFZAeESKcKbRJjaHrXKFRkwbWMOxiSquaqqtnJ+/B4lLD+kgoGZ4utWk5QTiHGA/YkZwokeotb 2azFTyg0u7uMU2+eqvcpiqYlRrpLKT5YRlYYTVe2f2Ax2iYNgNia6sR+SO1MUCFKL+FbAqJmIQOo 0JK9M0Djn4tjn5pRgMtNfkAE6/SjmvofiFcpx2vTFErk4WWzfPu6+blQ1IO7qxIY3Akno/R9im0P VRsSZmh6f2bOkSoMtnBOrnUyMriLYpYQJ6Ki8ENHUa/gj21vG2EZuIYWQUMclHUQkiyN29b4fJB2 tO/TCBZt1Dv0SQrhHplKi20YU0peSdSdlq0Cy0PYeLaWQvy0eKqZbA4O3q0/v8BMS2ZA/aQLZtMG 04MGwibr2q5dXu1tg0kikCEP5h8ZWhkMvkBd63TmAUNs8QOCuCj/B+4MkeMNreUo9N5qp322CS6B YFEuRDg7T2FLACXpg4JmR80y20o+2DS1OqEtgcj/ZDb7xEiU7KwNlDuYo6g95Bnsttf9i8yRtaY4 6OrH/GspnLnj8xF9/Ds4Qu5T22M2kaIAG9LaDoih42hyn9G0S/Zq7Fh3MUX16iFQTFEYWfqjYg7Z acgNcTGlExfQ9aZRXIRWRukh3m2qB9NO4v1bTBZXaey8aexqg+TTHzjM8fkgC7kqBFS5go7KSPOZ prBojeZ0Inzt/6A7VIbQeuf34O/PghpgiMKg4YP+LjmqgVb7LTn2s/cbPTgwTT31qno6EssGS6GV 64UQbX/bP8lliCJssHpRFrL5hOwnVWMV/P35q99ZsqykN/bds+dicxcwO1hpxjVX+yOfR9ofoYx1 evMjpyjYlixTmgx8OPgBDgTxWIoEdgK+utCnDLsdcSQYoi5ROfxt/9Q+09HE4W45I7+cL+bzEY/T Mzb2a3FxPDgH+Z69l41Im9q25QwTQgccYsWNKz3d2jeF5es1JFXRfPDydS7ocNVhXokQ+UTdCD2s BveAtryydmKGZm8baeWSZJZ96Ap8zkJNTXLU5yE+H8ktf08SioiVtiM2SWHMvRg2eG1t5Os1Bfyh 12fbNeNKkfJHFSq2tTvc8uO59MHHUKv6zFZSaYQHscARV0Sv+9tGkYASW9EYki/Xk7Zl+SGfbH7y +WDD1V99ooZwqTynUsxWhOQHaW1UzKVZj5x+5zWVBLfHJymqYg8ZLMyWffjieJSdiLqasLml0Ayu PiQY2HPJQUUFVWq9bVRAkss4e2kcNEnYxmJND8aUodHLr3ZjIcpteX0kh9aJbMjmOjy9TTN5jU0I GqS3E6QsTVLgnYhaFM/stZsPlt8iMEqzLNPHLyPL1J4NKDQYxTQXYZFu0TkwT0QyzgS39uaD0hNM khJcmieG7okzOTiNASjmej/bLbRPbOqodfcQgMOSagCbkDimuAPnsE1ShAyRThxxdDbYJCEUO+c2 FjqgM6DFcLGxsERyFSUNvvr6OfZPzIqV8vTB6ki7izwNGUI+/y9tWJ5JKInmK/aVYwfFighJPCqm uU7Fy3W7kgzwQVYHyKGF4mJTvwipxsHzXCjMn0ydxMVzSa8lJ2UeuwCNtHJ9x/tK9rZ/UYYq0AEc rDOLKiZ7uqz08Pmo5P+LMwQYCne9TVJU0kaN/ySpXgto6hVxJe06fSUBJW4rKQy7qZNSJTXMwVbS hoZPW+SdQbly0hZnsd+cQR1qdAlUTZvd6xz4KLp05SqUYOBgEBH0MgXky5XPByHA+qW4g3pJv42j HDopoo1/foZsOCzY2NiZlB+pcxj9+SSF/EVR8IcA1+MCrndDPalTF3rBOBnCTexgY9cVFFJlGWNA o2GvGzT5J0/uRvMgOMZZ8SN1Emzwt8COM3RTLM8sKUZH3a08p1IkV30COqKx3LJU9smsbbdtp9JZ DNmDgMBOUXU/ANJVgOvPWkInNlVwDNHHRn17iMNI3cGETPdBG1FVJgd+iuBSCytC5XMOWkuQDlCD JPfC54MW0i9bV6Cpcr3I9BwYKqoq7vJNkwhaY1XlQhtHaukmlOjM+TaGXquVvZcPnsHBi3NSiGid Eynj9EaeC5G9RicXwJ0uT6/Y60YCRWxib+o0crBuP4gc0iEsm89HZ/c3waFlSoM8Z3eoDQJGdW/V gu5Os3nluYO9ERTgFpmRmqXIA8aclsSXNj0czdJAppsknn9OwAQcQQVUCzw0hnSPw3St9rbRBUeB TnWUOChUOicdob74HD0fYfi/Q6VG2ZYrGZsDX8X8/yC43LY83EH41CajKR4jNGHXIPCqYkBgrIjM RCI8afjguJF8Iu3M/PKsqX624pa4N+yBWemBlJ0ja+qw1w0U4rdwaxQm5OA97b5FJpsr8/koNfFZ ksAnqu3jAiNyaK4IYH2HMOct5rpwrDJV1kxmHsWnKQaPGPqx+GDHUmnAWwzVTEAvkjv5AIW8MX9E /dccOuXGHdorFp1HJCJq0FqCcZJ6SucG5fNBbnL9FRlRIr2uF4GUQ4PFQVZjc0oRLWuN70ALZ0GN lyNpc+iwSMPEs9N69cFzk4RyYuetMs9PPZs0vlHhrwh6gcy4QMhsbxv1bivTWxLQSy52Bp+/thVJ WBcspcBjEVmyJ3CyC0QileYzS6H23mZraegEQvnNdb4z1eo5S4tVYS6l0GbRENlVgUBdTy0AFZNE Us9JTlpjWRcZXKayLiAS17OCkEZ+SRRSMpaspMdy0Nl9dvhmYo1kk89HFGLHAJiBA/Sz+jNLoWAI vIUyomZhansaxr7qdItnX2ATIaxZCgNvEa2L0l0Ks1jgDRVfyPDxXFqAtqniDTwbqhCzuU4q8O32 tsGxVCkZMlkMGCCoTVm3DsgmM1zaa/MDgqDyug5wx+FQno99eeC2CN8mKO+Rc0qO2rBuE/ghwzC2 EDnwgndgt4hjST6L0gJ9Ct4n5IbqDBwgYKVTS+NpMAuaUoPSdpw2awyUaq8bgQFY6d4Ux8hMA3/U F8h1iZuXO5+PSDS/e7ncuTdcChwX0T0BC725oqwcVGWi80gS9+F4iRxYLiLTZZdSGRmHiz8G+4fi LKsju1OzcsNSqMhtsdx2N2XUcmy5yHIAtXJsUEy5YJPO5PXEl3w+ItL4FZcsEADg605SVO5utBQs jo4czGTU8abngYFKRvZJ+ls8JFcht+qdJBjFdEh5ie8IZihjysUGNvS3ilfgXM4xh7aLOpao5GGD 1UxOdshWI0zG+Xy0krzcjRmF5R6VcXySosC7EZu0XGBl5O1UiOmQNwAki9dMAuNF7DdS+hr7sxx8 klpbAzgA8q7PiZIp9LRRICxYuPSotM7J8rcNkBMEjwLN7IP1vc+O5tldz3fw+SjuviVKqkHip2EH wmYpqnaPvnhimwR4Wu1Bl1iRIEMg2esBgfnitbLYEvje+57de53oImXx+iYcy3l27yzjDhRlqs8S fy1+STBLTbEkYyYOVg8Ak4B3FstvoftiWT5LEmCj3+FTNQn9F6G06EphlWupXIiJIyjOWkAtnaWl wIAxO/txq820L9ANnKkJ2iadqwhvF6gbIgwZ5b78pZ+6l71tUDVZzHTZ7NCgWZo0ytDf3fh8cCpd yLafZj8Ez/osRYE3Cm4nld8PzsSPpSb8NuoBeToQJwcujGZIMDfJ1Ro8P5nYc9AAp+zThr8zyTUI QvPJDjeN4HXB1W5vG+HaSeGXbXe9tt0nL0haSw164Dn0YRz7F7AdixqyfjZJoREjwMHo6Br2v9O8 RTzaYpgBdE6W17tjJ0bK0RAWaoND2/v5oAL4ukwJ2I8k+h37AyZfD2SSuO0cOjEq4F4q6K58zXXO uTqa2BFj8vl/KV6TYYcb4KvcHVoxAml+gshmtstZSmu0Xe6O3K593fst8GIEUIYl3MrWAIenu1QS gdoQxzqZdGF3aVMiAAyt1p9JGt3eNqJpMZUtFOnjYMBSeoIr3dBLRshSD7slsFHAx773W+zHiAyu d8OTnjOJlVzZWO0rCjFd3SiHhow8uc82a9uH28yFvoQMKyew3FsAirylwsks9QLct79usN02cbfy uciPGxowmYS2QHmNz0ez5FEAGe74eeoFT+bQlBHMvHP/mNZ+79PlMDMViJxIsqYvpTDq3qKQkEjD wZbS2balr0oL7wX5etZ9JoJMoHHaj5wuq0xAmr1tVFgiUUIFXQ78kpMsyDkAhit6yWi/fbNqCVdF Z9YnKfRlJEiZuC8upeRs7BNv5OpLaVDcOemD/iwsldR8uAf3WOC+S1cVzkqaJMxPI9CqjaewlJe9 btTOJaIrUSCCg0omqw0xfE5+Pfl8hMXxkglnhODE/hQpA3tGBbJnGqjKi7yTYuzkjpKrlRUr0baW eW7oz9h1sdG7SYOjTHDws92KDA4OWWR4gQZC79Wfva9nE0t+/JKgZkLSO9nqNqgakEei+DH4x5nP R/Xu36J0EAK76qo5tGjEKumtTxfSaHU6rguRlhWWeAxokiKMSVPRuqm+1OYtUs4TLO5VGQRMgAQb w276OoDW9SM7NTUFVre3jbQfWVjaZE1wsEouLLGFiOCpFEK60/eGYw6x0nN2R1F3KmoKaJud6DIV rwXs7EHAACzENlyMMWGXFkQ8GzwImBVLq6hEWc5aAngX4RP0xIDMZJhkGy4te90IJMhuwGrdB2sK 0KhXyUnn81FA+a1ZUylfMp+zOwSZjGI8UjUF4Ptp1bdKwz02BdIevpTCqFvimNIcSY/myAkgwS1h 7gTiRCrQTQb4DThI0FbQivYaZW/2thFCkGW3zTCg3gTo3JYnGiM08mQpfD6IJ39TlDL500+zMjRr RFIAKHCm1FqX/AUzuA6Vz4p/rEA/ShM7h26NXWIZ0N+w4YEInrQwcXHvCi0wFCfB/zzfJxA85W4U LZGmlAO/xkRN4nNqDyJUOGiaoOxWRBHYk89HLBOfJm4ubNz6VHJDx0as0y7cJmYpy9Ibs1TLbJbn Qj/W4oDAshHlQ5YBVh0+WDUA9x1KblTBPhOeq3kXTsLrK0oCnuey5cIviXIT3nBb6iP7qo/Ac3NJ fh5rKfBslHj39yTNH1KGfJJCfDeZFLJ2oCzksDT3pIDUDqfVDKU+mcCFto2qKxXRujh4yWSmVgjE BMwEp/qUlQi0TJi8qYTyeHznwLdRxXmUJucdLO6u6MngT6yt8PnggmvfkNxMu5nrYZ1D50aEfud6 s4S2j+0KbABwFoeZNLUH9EFRRMngGZKONtgknUfGbom2ewNi2aBQgE6Avg7JnVdjo2QV30LrxkLP Rpo/wjYFX0l911JTqSwsnbtn8QOC/db8hmO1G2IV+8EGBO6NqEFUOqclCwP2NIls4Losm4MXhNeV YvtGlxqbPlza0iRLh85XE8aFqiuRHFHOvd8euSiKHubAvfFsF91IUuqfj1I/hFxVORsAUIT2jQ/0 jZW69Lv2Fvg3ZpJFzlXDTUaoXjbWSSKe0wV8q99vsYGjrItU8ubguQnQ01A2EYcbxBwZPoBAg+L1 Lo+uX9v2tlHUndU3uYNxuwCOlvLhrHw+4pz80mtpNGd5tltIoJwIkGpzy2966kiPBAGx0ymWyWrl wMQRC0nACaW59UlzEVCeD5CjNSqUVB0/KwpCEiCrWxVB0LdlbxvRl4hZLmQxcbCeN3S3JfqTKp9/ P7jX/p4kCl3tB2QSGDlKBr+PYrnt+UuT9ZZyqU8tYFTvUwZOjllZLij+yYfbNTm3/oQuToYG0Jke EnFr1TejyZtuqEREBL/lL2kkOG34YMjutZfEsc6q5fORpsQ3rOtcrufamk/TO7RzpMgfRBMMiyNa 7jLNIVtLEKLzaYpQJpVJSRGyjYMfSickaKgmko07ADShPBJEMytCOnXgvhTIcuDoKM46jiNyKjhY ELCb0EuYLz4ftQS+60oTd8jTN/m8BErrfKWBlkXphrdBq15WqrW57Prk5YSD+yVMOh8DyjsjAJ0v HC7QFKy+TUdHnOdDMn97omsNyePC/120jnC5vQRJfFOJ2DVB3zlofs6vIA2OE4vh6f+aHb7gtjV0 wvlkMVKhXrGKD9HsDC735Tgl2LcrJSmF5cjCjTacHBDMjuS1lLhq8AJAo1uhADgd/s+81BY0hFkC QniD2PsL9v46PYl4aETYouQO55qm3bsgfbWgdvs6PYhykk2P8PGE8gybnZfQiA8BrwCVB3MOMQnE LYdEU82G8KWltS+BkdYOXR9qKd2HGz0OGE1luYGebWasJvbKF0KTfPG3NPj9vIRFfFMxudeiaAIH Wzvnt2DNtvbdPi8xEV/QUdzL7J0YDk2bnJeISEunczul5hvLvWSTUQQlJrGtyPYSD/FjRjaz5uyD 57In90g0M2ZJ+3y+bjp8YkVhsI3HzoDN/5dwiF9hhhhse08Ri6rioQ4r9POPffX8eYmG7A+tX7ND 4l6l3QNm5yUW4kMLRLeammlAt548qk5MpdX35w6gUWq0sYj+L03HTnuOnQTRMV7pZ6GMhookcTZo xFSUZSel+7WvGr2+o2OnSH2VFmocPIFtYjm0Ew59XqIge3ra5HSdUODcbJuclxiID2HRoFw0rd/P n4/BdKnDl86GBqMsQIPJIXcW0IQ7+OTAOL50MiTOZsAF3ygWd86xhos+5+uRWhLrji8BkH5HtmZr l6VTv5ZOM7OYwd5j+byEP3b5bJudwYmcVPS32XkJfnSSZ2oepu0R4n6MQthRWxQhYYEEFgbR7JD3 TySlDZ6OEXs06BN/EuuNg5kaJOJSgoffbs5KutZL3KONZX20dQcrXI+WGGoBrvB5iXp0Zklrz0+d pujAJucl5NGRXGmBniwPS1QLltRYKsa0OTkG8l7K9oZHsjTV1Wzct9m4YfqI25xLBzDnLrMrFEZZ RsspPRBt/MWfl3jHlmcmUZtZGAdbOgD5SV5t589LtGNv2L8uLKoa45rW7LxUGHXLdQSEoPWpO0Qu Jk+d7CKjUJ53e+aX+qJtaqbwPW8ffHbA72+FrdhNJ/TMqBkOnwJXYje5JSFh/i/lRc0OwUEbC8wG 1WDBSZGMGuVEg9npd+1ku7EMJYrZeaksarnC83PW7u7eI/vsyKtWuhH1SrNEZ/IU5kEUv30pfhta w7BFwdrZMBfdJEFQyqPBVTILVytMNi2KXqqKelUyRFoi5JiD1s7JNHpRwQwKY+GN9etQRg6EH8pC wShSHuRmr+m6I0QG2eIZV3dkbO9SR6Gy5PhLlTpUvepQSGo3bF4Z3EBuFjwUQNY3KpgJaIfLEZmC Gb/HyslaZqgy+aDVc5YP2Vw/jcCZKFhez/IZSpqBm/H180Zb075I6OOrF9QBb8vNTubSvaV/rpfi 0XIYLpMYwlqMDR4uT/hZLjJp1zn3kXBhBWFXdahuseNqzVdq3n/eWGtaQuQ/Mq+1wRrU52I09Gzl 40HAnObXDiOJnomkZug9YkYWAg/pmb3iSnsqk4fKl0Kzh1NoopB5syJYsyJn2mJaVJj2ufsmySHj RD19U+fwXGIFep7QaMq2xfLSBEUxs6TFqjhZHLTHOuCCbLs2VMneg2Yo5u7vI2gB2lyyT1AUNeN3 6HKy4QQR1SzklRxVOEGkdbD8E4XN6O8i6Bl38EOontMCfTEuISiyUMKFUKwCPFoXkFSNabViooyL 9Yy2SOnjYGXEpcD2hOELrY3oFJqeVfDqY0OcUELN0HvknJR0nRmy4HBSiUrB4ex+DNHKxSqt0Qyx Zt8lGt4f0XAKzZ95V9bVEzjZSltxAHSmqlteL1vcrc8bU82OIdYy0r6DZuhE3EPOA2uPzxtRzeKn +RUeYmJhn9J8hqLwGVEocl6LgTLVmnUMSUSDuvNre9nnPX7GgS+7RlIdOPhBDZAmATjQPzoRkTnR wPSeJWtWRa3Mqlr0e/x8NjLr9QgGfFDWjl8gqbrc6ueNpGaZwrAZ0sSev7TN6RH0G0nNjg/KG7pT WiNAQJoH26Ppk68Wn6Eohu6LU4OivA3OmDm//Amk5Ty8IHOLrHTSNaqy9kJ4jTJ30R2jILqxrsFm oQ3Wst+LYe4P6tyfN4Ka1lDvXzOEnKrQn14TFIXR+EG7TGmRvHc2rYVqcBtQeEUO01V/o6dZfqo4 ejYffAmVApTGZCf6rKCyxXTMdAmhlVy6LlcUEvi80dO0Tlkx5NvaYMWNbBpasE77vLHT7Lb1/F1I T3wnwfGaovdYGmtokLjXrLjatvvqps1De9EgxIXD38hpentS1GulKh8HrxzWdGapUW51nIhwsYx+ bnkg8wqgKZQ9ml/NjPdgGgHfYo5B9AcHzRDwWlJW79CpiaLptf0cIo+BjpZ1eAHojZhmFyh9QZob 6+Zr2Eg5IvH39ig+Q2FATQE26nTY4C1oOF0gy2C7hzVJqz4zAi8/EFtwEPGcetdghtjt2VT71mDN 1ROsDHMvWJ83VpqVkNJ3wIjbl99o9cMopG6F57PTQLM8wTBDrJKoIwbAhmbojZT2NUPoxvvg5xCM UKkJDkjjSWgq1aMg6yc8ISrkVzpr6F2Dk5oJeC/PoHOonL3My/Ns2/x5o6TZSVC+wiGIC0KU3Sco iqiBsYFQndH2uphDdALT6d0FHDI28RsfzcoCPIf+2wlsQx1jN2rP42jrG/3XSaVssNMY7z20vaKX /atAT8tVGxRRQ0R8mu3H/LzR0W7V65khSnO15cfQGxnNtjYqZHTHo/waxZhMfm2atCjkNI2M9sZF s5xeRAbe+Rwsoh4goW7KAqKSuDpd1U7OQdtsHOAj34hxUOPojYtm8RAjxsKuIQetoRN9VbGtc22f Nyaa3nH4OcRDGWDtku4mC0NqeIG1ac4gvU3zekCl1UXYUDy2etkbD82yu6WEdfvgOQe7VdzzZ4Yg bcmQGiIspjNIAUBBYTpVe99oaPoSoaore2EcdFLvs4J4bJ88iY8HMfXweAj/LSVi0g2H3khoOt8z 7eTGNvx59ZD6BIzN1I0qaFEKqd84aN+ZveUe/SpAYV2c1KKRzwglzZ7I6oecGNromRBJP4dEHXrj oH3tskGigwal9ufY24oYR5qfNwqaZkgqjewqMOtYxDz6FEUxNWK1PoXqAJYRrWo7iFSJpVE5/gId RFFNml155EfJhztFs6ANzKrrglERu/I7AdbbOpewF+zl8fBGQLO4nXo0pd1B5xAQzUw8657r88Y/ s3Wevs4haF4WqgFqgt5DavilMXHN18GgWbX1rPhqpUW4N/saeg+pRT1io2f54AjPhPlZ1A6fEJQq VJ8fdD2GwF/O7cobzmYv+34OLd728LfzQTPUT6SobvpK+/NGPtPfmm/EeP5bagaQw6UZCmNq8PSt koi7bN+uBknQVnwtrozxxjyzcBcz1ORg0K6DwYmD1olBi9hC84QBgOuRK4NfDzTZlm5ahnXI7wgm yGrT6qminGLuzLT7wXSdkGN/3ohnWkP5FtAUEA2STb388UY80zZTqS9VK9/vciX6q++4s8ncGvWN d2bVYywfyv3aYHMEt/MTvSzO0TzZzBZDDWZI5fz+0s1TAY3dlM8b7czqFzyjB+nnHAwgBKYqj9oz zZ831pkeX+VrEXUQIQkQ0QRFMXWltrEIsJTKnM5fXJvwIDbk0zA61RvlzKofWAptUD6Eg09QSvnE c02WafDDmKryAy2NlhmfNNc9xG2fN8aZ7TJi8QhX1WC5/bQm9wm71ueNcKaAc/RfuX3/oQ+idZ3D kHqIBmuOYJXkbfHNhqk/4LZftsve6GZfVfxmm234y6+E2lMqNLyDW9GZeEPFsJ2I2G8/DSACOt7o ZtaVZxV/7ztoghp8M1lhPLHV541tdq/bJxyiKFcfftm/kc3uujvrphZrrqZ1m6u9eA8ISkQ2QWGR mjkH8iMfbIJqGuAm8LKHO14lsOkcJWcO4dlDaGM35kvRqwbzQ+xd70zKOFjK0TJZl6Cx9M8b0cyu +vF9kdEOuXiL7I1npgWEYKhk0+SFiqGLYXX6wrG+mGiwgR32RjOzoKrwr+bq4HCPoAprVN30Z7vR EhXxEuD+Q23Op3rW9K5RToYLbMvual9RstTRm5R6eOcr/vOU5gTBJSTdBRTF053QBbIKcATVSw5O 8LqxIwhCjTZB7/G0uTmtJuRUW04BXwmihYs2tGS9jk1RVXTwcUbDw2/eI2hS/eKNYGZNbrqEbKtU AwNRb9bK2OLEg+XzRjCzysevPiKOun5vsTd+mX6WOm95UUX85Yd06m6C0YbjF97oZfp92ELslLXQ YDNUEoCaRA8s7OW2WBpqmJSMLOlqqHSmrG/UMkvIhFugHRgHu8NSHVYYmvPzxiz7z2Ca0QE2d/Pi 4huvzO4FqsxUU3ccOV8Dg3nZ5XsWq+C/0cosHWRdqD2D14UgGj7HIirxJFFL6KEESQQaytJ2ZqmC T+35N1aZFvsSfYN6Hhy0w8ZuW12u0evnjVSmlWDSxXDT/JFzbPnaYlEwDUXw3ron9Y12oxIuLm7U jAVkwsVvnLLbBkcHUfC7+YDJz49N152uVus5mymdMqAv1yBUlOkCZXSpvvWyUXGR6mCDxHIOCqZh Z99l24hf8T2YFtTiuzBEQK53gd4YZZaPUcfBUKzo0jijLF2D7wqteLvFwgK1Kmc0aloiEv/ILGmj XzNpgnHu/zzoW3j+vEQeAv4WzI4l9SPpZYNW6xDEwwb0TRhNV2COuOcA//i88cks0hxfoeI6EU2j GqmmKIqlIY+J3MsU5+tMXjsTAlOREH89TlFYoGYQXVEEtsEL1OcoXFtuTuuEQZ1WaScUxiLqG1L6 1/qT3cHPG5nsq1FWypRGBoinU7WzMtgY/kHT+PNGJrM20vyaIVzZtfWLUIyCacwrjBKUWCAmskV0 pmgLgA/Ax7Kk/o1JprfPOoGoclGbq1ycqz7DZ5UKlWtV4NQJNFsAbMBt94eTamuIXjxvPLIbloBn R53helnrGRGEyATnr/680cj0iqV8BdMV5ZF6L7I3FpmdQ4mpvKalgyntjMTiFE5guKq4dm8kMrvq B5sb1uq4xo27lTQBqVE3eq+eqd0wQXLsCVwX2sRrDVE64vNGIjNEApWyVX6lZLpE04Cz4dXZc52f Nw7ZfzTKeNUjpOjFz6E3CpnuIOLNEFsWKKblxhmCRUgBxUhmRTiGG/LVNwKZfp4lMJ5w9uuSf0/0 M6Amk6aOoTlpITcpEN0gzorN5o1E/E6fN/7Y1x4b3CQaVDhLTd4KWBHj80Yfu2WHr/lB4PAgOd/Y Y1q22GJ9bHMH6bt5l0x+Jiy+TmZAuMneyGP3MGNduvhgEzRgTzQrEdEnzAMYiuVpVKIbjGVYADMc MKx3+SXBOV3lU9TvYHjFE1klAcnG+rxRx6xw9o34gCNKZqVCM/QeThucs4srTjjnFUyto5suwYlZ ipU83ohjX3c91d5t8D0GqDTIh5Lf7yR8gDMIvg+1DXAcXde9oZcN7vqmihnx8RwsIxttdBMoLp83 4piVp/vXMd3G/IZ0vvHGrBi1yPa5cOB1ER/L4cDnkOqOlQ7L06RntlGGDzZDOPhRP6HO9QnRdxJR gcIVqKU06u8OyRBsvWuQsrLmMbrU9vrFMzQAAXlMn8vt80Yas/L/Rdpj8S30vJEX2gxF1WkEEf0k 8jqSETs7nqGRhoVzulIylxfZG2fsnmZn8QwyNTl4wnGi9PMl8rk4WSvU99UFmSyb/uQrQUCvvs8b Y8xOaXZYCYXSYK1ooLOU0+/xeSOM3S7kV8qKxJc4Lc1PiPfo6Yo00Bq1XXvd8qjsQbtT8xPG08wb uhIPDn7R94SziDWPkUDVkKY6vDrzluSSbzH2RT5vZLHlkP4ipRAbLOM42auq77vw8eAaq9+IGLbz 6FGkCYrC6UymmO50VO/pqaLaNIC4KgpJU5Fb7O9wGvArH25teqbaqT27aJpSiLCuYC5lGC+tfo8g Au7faGI3pWLjp/ug+UHcKU20c5p+3lhi6zemiqLzOFbLTTdaGEtDAmgoPkRGn4rZoYKW6TWPBT4V YXktRk6z2CFSCwebH/iIdGwoHNJA2ZPNsiAaCDauBEksZWUDE98RwPK2TAnLHWwBnTO0CiyOIygK pR9wMM9zBL173QkKQ+lKFot1emAaaFXFBJ1gW0D8a7SAorp0MgWr2Xx4wMHppAJq/8CBoMsfha0p pCgQC7h1V81QCPYgH3NLGZWDoccSnIF+yFrl40Gj/i4hwmSxHkk1FacljqURH26r/5xYNBv09Ry6 y8QGsGdsi/X3WBpFOwEWifXo+cF6zHWi6Up37YU0dSXxX2iTQKzHJbVk/lD4jqDHypt5EIOgwW6x uocq7+cvxuP/xAZrDXU7BDVDUSwN8ZpzxPgm66XfwuJM3tsYoxs4uEdgD9kPNLnItJFu2exciwMC gaKMwTBNDbElf+T5s4mo6tKIGXrX4Brj8VOrCmfVCmdQnxO66uQPE0//ixVF2jOlGG6u0ePKNHo/ FFqlhRyBSUzoGfQqDsooa/IW61FlupsbyriDQz0GpZkpx3SmY597jEZNlIeh4D6lio3Oi98JXxIB qsh53iRGle39dnTv1HxEdaDg+fdj6He6QR3/RqKe5ihkHUIqtrEIRcsvkqOVb1BShqrDJzkw+nyP ouk5lWisO3g0fd6iwhQF19YJ5gazfkgaVzApgIz7Uq6kWkWPommz+2hcSxwcQN27OoCzTDwenNSX s9o9FiK7WjMUMQ8HfMj3pmoO/XWXJKvPZb1NlrkSmkXruP431qMKFMPBj6FOvKv36UHFrDKymhDk Pgt3P0IVrCz2qDq9BPWghOKk8Ahx0CdoGIunCG5MPB7Mz/A2PSu1bOX1ebdZGEwPgC3k1Mh2Z3c9 /dq3i1XBlNKWUEw/5H1UVVp8DCwWkJ0Drgvsb9QNDAOLr0hZwUefjwMhdiC/I8jpqTWcK6vUHJSP QVKX0fAJ0DsejzZZ/dpkhNHNC6jqYXUa+RjASVKJb/ANkHtsKZSOJ+QMtSNNUBxNM19cajCv+aCn M/UVmxqqAwYEizk9EBRAHu79yHhMe9dgi9GNgQG/DYbJAw16KaWfeDw4qKc3ODAZ4GjVVjxh7e/R NKqRmc7eaGCgbAYeENXy0qZVtDQFcIZoj0XRtP5MyqzacBFnDQIVlQc1wJgkc0IFjSwTtgwuzY61 6R7VpiuVciYLFRpUFYL6Lxuu8LLG4xGD9dcKAngy34y+R9hppAknS62qBVEDwJRgcmdjlcXxck/p COahm4zAYg58X+ZjjSbTJIevCm8G0p0n4EMNInIwnvQWGeYK3/EX3kxeLBq0gs6kF+FWe9t4PMIs egeoWgj13QHqYTxNpmZvy9Hl9Zp6rDT9EAL9i5XXHpWmFyOcMucdvHhPjcrOQwgcM+qowYihsQnT WFr2Lmunbm6PgB5qklVsKBvsHjs5vU6RkRoe/9caolQT6v2sERsNOgqocVKdTHVLsPP8CMsRZ6lf PBVRkwwXR1ScnnRjatavX/n2ofuJy/dUzkpdgKITvdGvGfIGFPU0C52sd406QKybNd5kult4TqN4 PiXhmTMeD6jQ4xs8TaGCNDypH1E8zUb9oPcAjiFIt1t7o5RmzMS2b1VohMVpAe/THj7YGqr4zKZY 6Fz6J7+Uaj60kiFQeQ7MqyS06A83QjYiFYQG3d01WEp2JsuCvc3Hg+L02l9LqKKJwP+hCQqx0xDw LiyxkKCwkmktnf92XyOP5S5MIypO09pv9c1GWZfIP5Uxz3GzRwcqgmzExlwYTCEA7xCo01jKbvqz 7Ae+IwB18uCY7PliaLYUVjYgwzktOh6Puhu/yHZ0hxz3qh9ROI3gFtIdhlmE27ml9Sdw6bbHAFS2 PRaF0zqGOlBNHJJmCISok2ovCcCMDhcddfPZeEVppafnpp+YoBDqYTXpdgflrPXkyKJbnoQDj/8v F9kQf9gLiyMKpinF1KjszTOoeICY1tx+BjVyCTk/UTQ9WXCu4iTW/lgvDbTp1mZSD//TVMgZ33S4 TegnNSo0qwFE8/MR1aYzczHP6hP9CbnJ2P/Hrj6HRcbz/1xDPHLQzm75ylVE8TRAcL1TP13GFI6/ z5kmwVKAH05HHFE8TfTOKl3i5v2Km+9UNywXmNaf4B0tbyp8IwKu0ARtVz8wc3PiO4JdRtXuTv9h DdbfgFMqz+kTNODx6BgqX+EiD316OWqCQug0EMwtLXPuaLktwzJQekONemwAm6AIOl2TQEAqvtan +Fr5q1Oc/lxkOKd0kSUEx2oMPVI5y971jxYZ5ImTD8ZPwIVE3+mT8ePxIBpa6TslY1AlqwV+a1Se xgY+v0Y3I+80PBpCXGcWVeds6mYLP6KA2o9fertW4b4zs/p+TtGilAyuEXPJMxfQl4qAehKKZbvs fDy+469gaCXl9hd6DJ+xofryiSrweKTN4DPE7gLioLwvk2yEETUNqtk4ZrwIMQBtMrLpbZNBPUdX WRxREw9jCgSPNeXqC/cAWnbYZCA9DKrCTOkCDSoj+lG9e9XLBiG1CSsrecWPYujyk7TSuvacv3vh +SCxr9+wM5RjC7UsNUUx2gPlRSgecxFNwc62JB2tK31uHCf9jrBETYhSbspBmycEKFGfMPrsqk71 kwacObVhQJyhMylFVqyIT7QvviRqtDJhzcRWtSsD2iDy041tV/B4VPr4BjPgVKNFqWZohiVqgBlo yw7pMvTDLAgCutwO7YY1rbRsRhG1QulKwzsNfhDlBQmO3gx9PyY5HJsNR1AoAHlz1BlzjhmiPQph HqzkaVBa1tBVFLi8NTweTFD7Li8u+lvfq2xGETWssjqvYCb2CdACVNEgDd22Enve10rsZ1ihTlIz k/bSfrSXIESwz+dStitDLZi3OxJXaL2fjVIuK3pWe9e/aviLLvEajOPSyAXhBBU8/q9zCLEPBDe/ FHRmFFFT6H2lke2un3v4MSS33EGaVDXLgBmzEVn56EJU9cc3CNLJZxlVGZlsVHEYDyEGrogJkDg7 oZXSAzOuULNomvsdDA9zjtFqlN+KxyMsQ/5OOciKWHcFRQE1G61GRiT6vl+a1PXvbpu6ldSnCuvT usmGKh/jUZwGpv5k23SeGGBhqUKbBpNzJBG13PI0uYjzH2APlfA52BbbTTYtta2CxyP+hudkgiii BIGGns1QFFLTznSQjPx/XExXkDMNV4sB5ifZEopC6iGJmCpBnC8Dk7P1z0Wzty2hXqjUNOkfV2GT Or+qi8Bw4zuCPUb7silrr5m9nXtOOCA7scdKr3g8aCQ2Dxex3GiiWpIHQzOsT0+Sxpe1ODIxGLSf pCS0OFKTei48pSOwh4GD2xg+ePkV/cEq4QGghJF0sHiGqUQvWKtO4SJtcGaI9uDmWsg1bLBrDA1K HkJnIePxqPy6vk5plM0q6mk2QRHag2XiVquRyHDZWjw9p4tQtkahPa6gqD7NGBCI1zt4i2OcVLVU UqKp6V94kGcaBSZG8+u2oukPMKN4OomJKCgUB6t7wGiXh9A5K/D4/wJazPgd6oULzZCJmDY5UuZZ 0nNqfkonx+K3voqBp2eokqc4eqc7+CGUNtD2Rc166DFQ1Rz17x+ajhLVKZYdI1V8R8QBKiwqtumD 4V5nWVvo8s3HI0DVN6meMnmseGuCIrgHAL1gRjmZtZscdwZVfdkpTTCvJiiKpkfWKV27D34GgW01 B1fQOeLK3HI0QduMnpY1P00yqkvPKJim8SLS+eGD4WH2KtIpX73j8X/hhdieXWwiOjB4hjRESgzJ qgQiH6PaBKU5vO5xAhmHdc5YK48p667DBw+EAK9KqQrMAK6vmtYAJhWAJGq9whWDHu8zCqUTQ8S9 GAhxsAnqJfNAqufT8XiEh/HCUHMKx7lNvIu4olgaapk9XyHKSoEpHkJ5uJgO2oIWCa0I7iG0IjRJ fLjo+3GuSRpVn7zj7IdOrcVNZ3U6T1HI5kt6e4XVaaoNjMbbjIOxgECUlG5rrXg8EtDxQ4hVj01/ HA8VV1idxjnZk/ltnVO0Zi+dOUcah1CySGiFsTTL92X3O/ghVNvJ2tOyUxrYYHmZ0TwRFANRzXkI YaXjO6LKGcF4iUVqDpqgjvSVmgN7Vzz+v2DOGAONK/O6QnVpZGPjWpXOlB322oj6EdyjOWhxhXAP deoH/VzrkFS4gMGAhHb53VVINDD5T4w+ISIw8g2EANlo+I6obkaaVBZTMztTE96z/F0RNww8HiUb v6ReUTcbV19ohUTEAoILXUx5jZVkkWLacpmk5wa40pqgEDnd1WY1ROITCI0NdxhwomjDvU3OdNMQ hFTfp7BIWUl8x59KcOhR2WATdF5asXTOG49Hh5BnY0I4JrgA3RUUikxvwIJRpJNROYkobLOe+9la HRVwDZ3SK+YhCsnwX4qdDSo3farNSrUt+pGe+KgBFweQ0mUAsbCD7wjKZpTqnBTH1GBt1lS7KmHn PfF4JMB0iXYX9zrXXUJRLJ1omqx2IYJGNaK5tYmNwD+eawkfxBkKZT1I4c2SysuPVB45G9CXpmog zrgmxy3cAZ0AnqwigDRfh172Lz59JeBQg2X0OIZ4kZ0bAY8HYI9LF+eRBcw4I3ubolgrD8fQMDkP FBa9sgihAUvpU3fU2Qqj6SbAkCDmqT6IKlQyMlvOqHnkSroiKrBQ6zzfjkjdikJ7ZL1rNEOks1Y6 JRGVJwpQQ7eeOhQpNTwezNDVuVedFlz+SxhfUTSNXpr6G4TDdBWFSJJCtEJvMlCPu930IXbaJO53 8sHrivDwyJ1aeWda2lj0JV29sdUJGe10q2bL3vVPYGef3QctoROsU3QDRSG+YnBO79tmZVhAUPv2 aHGF6Gn422YCkihIWYZ7JBYK0kgXF5B5LaGwOD2EiZbR2CXjYpc1eIaKJYU+AZlmQKKBzopYiL4k bLQWZqH4kgA+TS+yKdAZB6Ws6CdNUaJXxeNBq357WYgzhHbtenS5w9o0z8pSHH8vLaYhjapbFoKU k4S5w3haRtt0KNbgOf3g/W4aFbVtnZBNTGOU0/K8dxm2EV826gER2lkIMOdgdaFzRU5r6/DxaBFd JxIG312a+5qhHcXTC75I1n9Gp3UQ2Dke+18yFMo0cPAO0R5kkNWm1LXVBz6NSZ/QgwLTrrBAzct+ siyTaAryy0d6R/F0IxMRrQAfDFNVC59EFYOPB4Wz+t2K5le3K8+93+Pp8xTR09J6pbppu86/qXgr eq9mrPod63qk9zbrYM9nMBo6wXXaldYsnLIG25zRvAEEpzu9a1AW4grqM3UftIJqTcaSOhsajwfn dPleQXSIK1d/aYfx9PnlYcS6vM26PFys7HOxRZZyMRrZ/kc8rTYQB89ZwVDdnWgPuKp19UEAlZAf YUuecBQayuI7gglCWQiF7uGDZWRnCbHqURAN7VjY4x5CvADZtbvg6R0F1KkX8MRXtlMo+f2eerqI qkrxJM5QiPbQ5poMGzn4VX+CQKgC0KW1JWw/Vu83Kx5n746n/6PC2Y4C6q0llCURk689G8hdVOc+ QfLG45HY4jdhHDlrqcsvsh1jp2n2m8y1pZfkLJfNloG7thiHY//NRMQW8sH3GD3HapHt2OpESDPj 2DA8OCfCl5k9q/f7b+1pdt9sMBpQa1k90rNp8fi/EFXCejQoCTrkbIcyeSzes2ggntQwO+0TyG+f oD38Htsh1kO5mBHr5+MwsSqUKZIa9WcXcVkzZ4WwMeIi9n9UOKP2yY5q08rmG3pxNtgKykNY5HMa LTweXGMlfa0geHi3cm1/doT1wBUOCcoLWiwutbj7ShcwdD0mQpm8xXqQtBk43KoHAJhDjlrnvB/S d9/0g1lAMlC/ssu7tuldo9Irq/aVBkAcDAxTwXz4sQ7iDmvTzQ8h6gvAYo2lTZuhEOsBhbO0vfaa ZrNiUCIPLZnr+F1CMdaDm0ulxfpVWtznzD7pQJa8EPJSAhfSpkZdpmiGLaFCg118R6SATy6ifGvH 41tbgaBldJPqwONB4Wx87zGqhOBf2QTFxel+LQ/lyGETdK6u7epCgCGKi7hjqIfqQnP5YF16UCnP 8Srvw9GBg2Xk0+VWl3+2Z6x5s/2zQ1kPSr/Vke9g3Y1z9CcFQouPB/f8TTdY+FgSzbzXWBRM4xI5 wTRBixX/tK1kj50lchkqdwQGd37O+x6bRUuHzfq6073G8slZoTrMCTprmvK+qE9U/uInY5VagUQZ mt41CBWJgRm9Fx8MT3VyM7U3zomNxyMJuMeohPV7hq+PeHkKoR6FiNHkyh59rwv1MEIQ8ELNemQ5 RfG0Mo5E43ANmiS4rpxra8hr/HzSEgS/wboUgvJQCHoAQ1Rhwre8T5M8JoZYdwPNXEIQM5jEiUcJ 7Gj4ARG88ztkhFEfesB3mkKxvEQbbUmUQ3AIZSbKe1A3kpzN0Wg7nvQ5f+ZlXerK/VFXPh+45QB0 pmcD0UDMUIMOCix2fmgu6mX8se1tg4a9qouJ9vUclHcAsMU89pytjc8HicfT6viRWdu5Uq/rTQpN XQYdj0sywtRajjNv1fU7e6I/o9ZSiKPuRHgmWY6nen1vEFmXXmk4sQAzZ4MVrQoIBZ2pWiRumox5 tbeNUA3sJKLxQH4iWqFKX0/uwSLRmGPxA4LIKN9TSfAzhFLp2XJRdE3/pLmS0zdX216u5jVjMhau /0ojwfcPooxOpl2cBpumehI9kBbI6Tg7ehIXfCJKoIfwq+S6LpyaJpD8lgjHaATO7oNuf8rrcyn0 Vfh8BEFLX5cb0KuVf5vNUhRiU1a8rynaJqx225UcasVQeoknhRZTVLQeVs5v2QebpQbT+nM9czEV RjOk30FNp8PMGrhbR+Xv5q8bND6G2q49+6BM9sQbrrUMZ4UUQkDKfzA7+s9I85mmKNAGrQVCueJN NZicym80AXwiDh48XozGiQ+KTib5/0m6e11lfERJDd5i0q46R8+udJAEQeucePln5XqBRAwD6AH+ nhaI4UpbTA1WuYYFhexHT7LGSvj78zdbEzsfwjWXvJBTWLqGaHdheYFk4G0+tpmqBIZnbJP+x10f FEQCUqMerABxuGsJjiVN0HPMEUlTIHR2wgZQW7tSlWPa2wbBEl3ZcycihMPdcEXA4bk7n/8nYxr/ NYWM6+Pwm0Ltj0q2oplz9CYHAYoNUh2IPZCu4zvpg6LCCM+lxVOcgxcfsWxGpqYw6HgnQZfZy0lH GnpobXlprVCigl8ScaaJB1HDsQ5WabCUaG1BTvB5iM9HsL31dcexhFVu4i+U5PvVSkTIVc1VTDko ALKqI0Kmq8LmFCmASMejVrVB6tMGObEAPH638aYzCpIMKg3HKeCwqenJCTnF0tRUFKagjQbL3Pao giaONvl8sN+qh5U4f4BFbvM2QnKKEdZgC0nACtK5K2WvH8lWiSIgIFHbJIVlbGW3XfWynm7uluBG QcAsWvbwv8uCf+IaAzC5JwcWFWIU+SV/ieeirO+D7bdaalE7jSFl6PnSPb9lkE3A9hVKyaGNIn7W LtQT89tHKQVGJwadWTQz5yQFPorn6lHbWvgHDpa/oc2ILhT7RWOmwQbagsLVD3uMkybb4i3SNjoH RorgT0tmh6pNQ/kE4VcldR7jlUaKoZPi2PVrJbEwvi9KNodOijTG6fTKMDcBg6UBt+l1JIA4fZIi dEgy+eUxfLBJKhAVafQjAAo0ywdv4denwx7Ozt+9/fyHlSJLbfQA0GCVpApHGZ7co/L5IO7O3wV/ WusC2OeTFMbdUGecjBGY5woJwTw3u6JMZ8NZXoGhm+KqIg6t4YMf3HmcOw3nx5mkwpvOjLzyD306 WrkG5Cxf8UuCSWqqszGsbFR35iRB7oj1xI5aSQ78FL8NhDgfWETtAtVyYKgIK89CbvDSJFED2oy2 JfzJlVSdoZdDR8VBuGwz6eDpagWQq25UDqJfIMoOQ5xrIBfz3gi99y1qs3+dA0tF3G4Cb9F6koOK thvkJBZMTtTF5//V4+dKQsGkP86uoaciUn4AiZdSEzJzzACmTa+5zf5st5DHmGUZWLMPXrfdKHPn LSMq9DF4cC8W/Atm36IHgY2GvW6kC0ISLEydOJjSZ0nwE5WbApw5Q1/Fsm9qIrpw/9ayzIGxYqJl 5Inspptz7nqr2zu5e2nm7GiawqB76vanAg8HW0sNSkdwSmMjGzYMlfcbHZdhiLjqgziiuEwOrBXv qdR1KnU/lVLONEhDYNgLn4/kLL9Ra1DDzPVJcwNvRZmjnHM2eTWgdFf8PHvWqwGZNmIywQ0BI9lM Je/gNRPocI8lH+WBLj8izgnj6h+y0Qv/hbP1ir1upF5tkJHpg7X7R1tigfSy+fy/zm5ecJkKIc9S CiVChkixoqCdm+4Cj2D/4PW3RWXdpA8KUhOZb7XSffBZOrFiL1zq54hFVw/VyTmR7TbQTrCzr3pB tbeNOv5sASwKpnFQqNTOjcpm2fkcPR+JYKSv/K1tOdfcSQqDbhDnttuXdpyClplUn7kMq0bzNss5 LHWL6lAFoq0XRHuyEdCHh9xwYfW36UI7UPhEhZfs9outqcNeN9KK13m0sg/W1T75oSom50fg85HM 9zd0BDu/jEu5yqHNIgKVk/7nW8odnuVSjViSV5M9Cs1S7LOodqT0vr9MczJMQs4c8lQ6kd+m+Bx8 qJGIg6RPhJa8OmXMHRotUqZqMjWwQUsJxWOBivJsfD5KTb7bbmR210sJyaHT4iArY7rFmUQlZALH q1xi6KBEaL+FVotrCAvZtg8XxtbPytJ+W7wlCGObWLTcbwwwHQuZ7W2jUoBOI/pTMUk0HBta6Pi+ k1tlPv+veglvfJTAwLz2SQqr3ZulcSwQINkG/E50KJWKXE3UK3nnYCWFdoukTZ8gQsyZ/DBnCvKP sdS+hWfepGMn/v0PcZ7oy1tRabH3lkO7xTzES8t3MG7R+TSrzJbJ5//FIlaHG0KbVzM+h4aLaEB1 0kAEqkW5RPSrQfAQT+6vAmXguKgoBmVugWufAuU5jinwnlgugTIDfCtwKOHQBhxsNhdLLYR48Uui yhuP7MJGHGrqP8wTAZ5Li6CJk8ZtfkAUdt+IUv4MqLjXG3cHrouovYH1ULz2JmyrRA0kPoNTqbC4 oQ33t651of2Chgv6O3usEcix6F5K3t4Jxs/mYQEuEy9oXYFS7XUDMEBnO2CwYlJGtuzkHN0wuuaO y53PR83u2xTg69EvpN7IO7Re3GQaySO3Er/l3e4xHkTJbgZry4H3ovt3tiLbquLCDGdLp5OEIP5m DscatbUPMizZsN3KbXdPf9tgltjoRpXOB4WU0BsVSPuEl3w+grH7LE2LA8gc8kmKit10soAluxff vBpwQsoHVQKxIZukf8BKsryWr3ckOrcdcJhOqSfQW7dKJmzHM9dUl/wRdcyh/SI34+ydW46DlUxS p9/VT+u18flgKZXvwxtdygrdAJ+kKO6mVA+k1ARvG3ToFSVLy2sQm1Q80Q0cGNERoBBWkXdeecKA AgVoKs6S1XcS8mXWcBsdMKow3L4JTTxz6MA42H7bVBbWoDAgFSUMpBDx+SDsvlr7rKBm/DTEpdos RcVu0Fp6UnsJrd2SXGMWWZFluid5ubMUFrslPbcEDlgPOACsNwpksByAq0Csmk3BAtwGhJcIgJOH vW0wSxTKmJn02Zm9OQMoTFM9n9W30Iax3GK3SpQ4A548N3Rh7MBrD5rP8lAq0/NcamUbwmSTd9z1 QUHNxDhHwrmNB+d24kloGALEc9bRLHvyeM8s8uzGs/tpLvnbBlfcFsyW5dxyzc1PwJPVzz1/d+Pz UaPyl9IskI4ItO4sRXE3qLK8gaz8Rs4KZ6l1KzchJETwplmKSZDMcAU34eA3HM6PPYyIPTL+PNnm ngFYH7JGpy642u1tI1i7wqTWfNBSgvHxFPK/Zj4fFXJ/+XfjVk3tTlJox4iC0Pkbtok/9eW+OnDc 9FNpM6jnfov9GIW67bzmOHi0hP9PWtsnqBmtiCWA8jwaHj9fiEnCtnPox5hZUELn0wer40KaleJG aUw+H4XdNwjQOQ8o/NMSCC0Z6ReXqNTOQ0k68xRPJ1STLYHRu9eVAk9GwGRYo1T5g4NNUq/ndEzL pAwXBB1ZyAUyvAAIRgyLzdLo9rYBMICxze75DtpvHXF3Vr6hl/zftPrABn+aS7EvY93XrZIOzNNY tFAKNSv4c55PDyhDY0aZEWVpsOSL+wR8YiHUXux4QxmiV9WVGmk9NCNyQmRJanmH1oxSEiuT3SUO KgYs+IAIi5MGn//XLKkINX5FAbE5I2yYUbz6cXfP7SwA+Q2TBVDaXUp/h93QPPbBwW8n0mxtSOUI OlBJbudoPyM//RmqasoLpNnbBvGk5NNluFOv4U4HwIeae61mveQ/heZZXmGx5FlKYdBNPXMZymAp 5WkydOf46+anC6aYI3ECg0Y/lPKWV8N+igEJSk2d+M4FXcNNQe1zmlfYs+CsovG41ZXu6wYlyq0O EosCHBQrbaSN+Ef0I/j8v8ij5P0BKtWfDC6waYRUTYNQeCkGMdkMHcEe7bOboLHAb0p0Q59GX0qs nHBwiMmZ+nPz0zVl19wqxLcqUMtskdafTaRpkkxEt7cNSibEBSDz8UHVABB55HqxUTIJnBpR7V5f +w1d+twfuFLo1Agedz8XmNkN86wwUNeozetKlErUJEUQkya+Da4QG+xUmif8mkXsP8r4ULr4/KuZ JQnV2/XeQY9Kb/tXD66yEaxBK4nasDyNOw+lENL9y1GXKcR6cDiBWePZb4U66rbLIH/kxbdVisUD cCK8++3voDtv0dv2F73tZOnnAqXgyDq/R6Yk9OzooHWkMJlhku235K8b7LekPq4IFHm4aM2Cn5hs mVfn88F+m9/It8rs8YFRhn6NqwqSm25LYHtLgG7T/wnJDQ0blxjFRZXK8uy3gZY3lBqJwwFaBQfX WWDg/SBjIYrXSpS92dtG8ECmtwyUNRgJ8KThUvbZa/H5iGv7C0WZId5dSZK1aYod0Afrb5A3aizL GMf2hDtYuNxxbMcW3XBx2M3etTSKOXjnBHXpxsD9HOTz7EWao7JY3RFnF+KXLFoiTSkHvo04l8QN 2HcwgCBSKbnx7Mnngzhg/Gp7406qVzAzx86NoP6X4lSuPPayPLdltyA+b588DgisG6FCwZBSlzoH qwassz7x9xAb0OBnsHkOsW6JMAQFCMtzB4ntObBudM7tGAyWODhPIE+eKiC18PkohfsVB4DjCMcR n6QY3Y0dV28/d1pwec6lRmxAEcokWwYX2jeqrtRk5N1kQ6W60skkTyDZmMGdiGItgzjL8gVG6N0z OHl958C/UQAEmjQvHzzuzkkS2eds4PPBDXclEsxR5YduRD5JUdwNFwBIPNgkTfH/2fTmahDKhGYN mqSYNymNYwmy9SvIts8M1datUwmLSMlkIoA6GVz+ltlQI41f8heAounQlY0J60MF7GSe5COVxQ+I pEhudsJTDKWXfV1VcmDjaIbESPct0c3LsttM3JUSXSIebcPFaiSsmWzuJg5eWAICHt1ubLjzf73p GkR94Oz0Dn0iZ75R+TAHNo6JFicEcjcfHNWVh+yHBgAUoY9jvi585ieGwu/NcwMjR5wl6OeWbHrr CZGMIsqK8rXJ+IL6pRsudnJUHLDK9uEWu/dES49xN2xsGMtMen1QcW6XR9uvbXvbSB+SCgDiMM15 67hnFSqdO3dd5fMR5cT7S1aFojPkjQNCM0fIEvUma+t5bcDRz+2911syWaatlQM3R6wkqpFklZfy fAAUMHCEHAxnaUN0kIL94+S0MJb/WeXq9o/tbxsRmDBLWTETB2t6Iz+RNFKqfP796F77nko06Sn8 1hsGBJaOKOTSCrQ1y+F4mcrcgG5NyuGIONdaigLvkcV3r9kHD7wXYPyCLJ09AtM/rCXAEFgT/aEX qFNzKNKWA1dHcMnYyp3UTOBgORw6J4IIVj0fVHIf4WxLkXkqP6sp1PpDgtL78HOJ2Cpp/VWH5zaI rfk8RUCTKqm2zNZuu7k6aO9nm01CnyGAvMlKgJcCipWZkKlnmihElgNzRxHXYV5Eai4HCwRKT2o1 TnQrA3dHZBq+mujXU4mBc7jp5zVYyihSgvnBH0VO6b38uLHa9CQOBUGd3a+hUnaTgyYMHAeLAiqI doVp+DrhUStmK0bWH2mnIBvNooWEC+41UMqiD58PqnSB4KD5AUi6ynV44emX2cnoyQrWvW+YVFbT vzg/SjQ5OI5GW56W0CZXoAA0cUg66YlYBiZvweQAJY91Q25Xy5fbBVzgiZMQW9MjPVeilU9UAJBj naw3IPzOX8D319k5YWSXDmtrPhh6cgkQC7DyibVfZwdQ52SzoxuQjMxls/MaHWWo2CEHacvoSmW5 e3NiFYOzc1agl5JeY6MsaScIIHJdtGs3d1ZUR4+fXNU16f69jK/DeoAKFA51I5b7NTLK6AXh507w XbXB7vwzOcWMd9vnNSzC1O70tXQqcRLTJ+c1JuLSgZ7EMqPL80/bVW0m9XilJ0GFAkzOa0SURbhD 7tGXDzdwHA2SwhLcGJg5MbkRPxZeZo+vAQEArwFRFvOQbRGCk0HKL5aVTgi9nn880Wv+vMZD+ENl k+fk18TkymfnNRrKpkfPA9IEW7z1j+Nueut/uCHoayyEX0Y1f2BobfBz+YRXrVCmYMEEHBRKbCxE FrxiJwm52leNtt/RqUOSMorePuiOPzGpKmOIUT6vcVCWzsIzOWCVVoFt6f0XTA7D6dG8JTJGc75N UV1NajbDvUCDyZlsczSJjrUv0bEzPfb2wJCe27Q12cmCGQw5opyvW2pJrD2+RkBZgCAc7pwkO4Zx tZ/vHt5/LJ/X+AerwFBIW5xbYsYoyobZeQ1+cJDDCbTtYhaFJ2FyheM1pjs7dZISaWUQzU4TK0J4 zO61CaStJyLcFJY+kTNk+2kEuiWmkEHFbzdtJWHrNe7BxiI6azeq+HKwuAeMM7ZBzvHweY16cGZJ OPbMBcEljfd/t8l5jXhwJKOOllY3dWPAzq0km4d3Qyr1EyTeGx3JdB6qX4PnYSf4ASQ7y+bhXGDS QTrLCCxbcDDTA9IGmeLzGu5kz8P+q+5xjiB06X6I0c2f12CHb5i/z2RUvMryjfVaZcTGomjmbk5k SzP7qdOv0zdQsaY0FpzJpn5UZA1Wbm6BLYlDB6STDUFyCjqhEgtsIWaHu8m9CQn0fy0xYnao7ZPJ u9GgOixQLILnUVQ0urHSvbH8yjp3xbTpea0u4u9C3WyS2iRxje6H8uireVMfKarUR6Joh2Weqsua g++slc6NQg9jSLK0gT7ieS3QGhuwC5mqfgbLplnRa2URr8p6EHUqbbDFMyrltwCMxdPBuTP8yhIk e7iqsVjh0d7C9AwpZ2F6Zr5ILFZmTN8nOVEkCpVVC2pZpubZAdknLskEm1bqZVbKZ1LrkKaWCKN2 uTSRKajxe6zshmCbYa4GLZ++ULnCH03wTBQsr5m+zh5kz+eW9LPnnbiWDRSC2iin5Zx3Ji2KbKvb FXZ+XdwVwvWHEySMvLwJ27xwh/PqG4UH8x+ekONBDZ+GkWAVsulq/ddep142OJyZlK5GiSgO3qNO Enk9cTofDwJm6wbp6sLirVTl0gy9R8wQmSjQZKkua5wviUauEgabmb6EopB5k5/3Jh0+8qSpLkNm lHcp+ZcH8x2KimZ3TFuaoChm7pyZNgl75KAtNmQQhSsJdbL3oPmhPWiCwKtrqdwlFEXN0K6EsrdD QsEBsIJrviyjtNiC7fyc9yO6E0/UyPLVYHvsxJT7nMwKDM+fAslStkAQBIN10ylfo3Q0C+YQZVxJ 9FBCQkZ2RU6Yg8+pjGuhuxEeQuv7ECL3ot8Jeg+cdbJ30IB9BU3HOADi4hME4K+VWqMJYrl+SDl8 XOXwM0GtwMKaW/6kkWPPIlQt3g3qb3T4GfRxonTg552qlmXEBOB1bj5Ywr7y0iFyfoLPO1ON4ZNn 7EvpCMTnKeTHGYqi505z0moi2D1PL/okOrDYKQTWvYo+7+Fzpr4V/Br78MFjoAnhT4EcZ0FflNxR qYWAqsWyqNVZ/V2DawwVe6jaDh+UQ5WdJS16brP6eWepZWltfqVeSGXbnHeGwgiaYRBLb/RLkzcq RQ+mCxzDotlL0VEILUlaWA76cEPoUUEtYQg9wKSVagvu3co7iaojStzFd4xi6EbaFbjJPljXHhc8 s4aZ9+edoZYfnvqW3SNUl4yDzRmKwmhAeUi0U/LepXhAff56GTMTci26yaI42oB7U2Yq8+Hyw80A E0UgUU2wvaLuM0ob7ARnKhUZrYi6xu8ENSxU2YBNAhs42DkE+K3EnPv8vPPT8I57fEXShdCcfM+h 91AaAgJoH8oefrJhf4lXtKLmGlrsVfGgjmJpORV1IuY0eN2wADyEThkrq73L6FqY+QLQFGWP5lc3 4z2WRoVCuur0IeZg0MaK4h3jY+jURMH0uhMklbH6Q/sbm6AomAba6dxCzUqH589xf92etu23uvdw /ZUwmmbpGbaPPtgETWA+2JqBlE9f1J1F6Znd6nPtIFt0GPGcetdoghouPxDKbLjd1Vkk5IMWdBRO GwaaAEtUiwodFnyG3mlpWTqvvSTiF88MZclksHyIiqs6YhuhDmfonZV2j6FG0SoNflBDwpcOKTyG UqE+JlJ65Ac4gvZ4lLOG3vX9KuNbnNSIS4iDjiHogrolYf68c9K4x9bXHoNrLdpzPkFRPA3A1jmd s7V5CKkzN7CdluOrGIawvvoeTyOSYxpPfq4G7/KcOVmlSFoM5xBSHDjugWxF9aPSv3h7RS/7V3W+ bqnQb7/KKjQhZPJwgsjPOyHt11WWrYpY2q12vPPR2LxAfX4XFTnOP13nz8q0Qfr8axpp752OZkU+ 9OVX9cEzjvO7Niph4pzeaaIlBr0sXBAgGmUVaFXvoMjROx0tJ/Pc62yDatAaKgU1Yd5OtX3eyWic 4HuVcToAGy43a33nonGXLWpAOP5s53p7YM6SOVdZNcreOxXNQpWXHgboQxWmiqTt75P8TfhInCnC VdbYGoWUiYFhqGryeWeiYcVLAqIpe22uNQW02xT6LGU+HpWi61c8BAJJTTcceqeh4XxvdLM3bYzz T2M5An26emYlWI8h9TsLzS5S5KtMQTnYDKEHUyF/zrQ1nSsoU+mEJPS2WOoufhCJPPTOQmPhg5cY AbMaDOq5h9LAExbNzzsJDdftGr+SDtSj852hsCANetXKhjTvQ3aWJDLAds3g58MJ++8UtOeknqYm 8lSG4GpVERJRCRK+1USAJAqONMoUTi/Xy+XhnYHGsJ0sxpWHD5ogKJETo3wi0/V5J6BxmX+H1Ep6 lpek3/lnWHgFlOHpukadEFZmZUgxLSvLzSv27/QzntSUupqin80vZeOJPthkyX5VmPNwCa1KAjqC XALEvDa09LLv59CiyFpXhZGDTmpQeGWPu9L+vLPPeOaWr3AI27ISmawZCiNqtOJHrc5gyGaIkdlK vaXXbp3Ud+oZfl4CF4fwnePiOxecOc8pBoouePpnW40lORa0/lCAbelmZTBs4XdEE0RE3q4sUOP2 MItmQD0ZMKKK8Hlnnlkd7LnLiKTGBrcZCovTsFIBtczy1rq8dF+zn0gwgTNQxzvvjJVjpmP0gtVg mwy+odCHl5JB6nMbaBG4DOjSUzVPxbPBntg77Yy1C1EX2YrnoHP6ZBzifMJG8/POOsPjy68yCdYU o1BqgqKIGoF7n3VffXVn5qXdtkk+nUUwrGf4Tjl7Sh8t38FRnbgtBnJTEoXK6OLuIQgqSHBArHbf PRyGn3fG2d1jdVCsh4M1R0uVmMWZqfV5J5wh3Bx+kakT22FjUL3jHAXUjboq2exTTlqfulM7xKpi 1trcVe6dbnbrr4PSTRpsj50NUEai6/xa56bqm9uw1aRy2k/ZT/OHWI53upl1t1g1Sz5oggAZpUDP WfT58842s8T6KycDlq5ToZ8TFMI5aLu3U7L+T5l+CBVQQ62xupcBFt6pZrzHcIFNEgE12ASlkTQz oHYCN9JYTaTwII4T6vl0I74Uvepf80PfOxssWJyTnpiQ5umfd54Z/tJ0Ew5uR9Y7qTrDCYriaVRb Tvpmc3GusdJ/XMTwtjjSWiZi+E4zu2igM7/FB9tiJxmD7gXvsfNnoUPPIj9tMSAbL655fjDB7yQz pmTyB2nLB62gUUGj5grqfMX/5ZAG6CDPdLdYFE33BAEVtvxwBkHKy1HTsnsodNzy8us7wyw77XVI 65GDrSAUFM8eZdkDH7nImFb7vuBwznSVk6gq9S/eCWbs/y82xzirAAn+8M9C0rpktnvWVfm8E8xY 9/ieIfROS7/X2Du/jIUhKqoyy6hkvjjJHDUvW0KigXGGogI16RlrZpY/OPgeWxB2bIWc13MhM8Y7 k0iZdvimXRGVzoz1nVqWk2Fc26ZkEQfDuLbUvW06P+/MMobSvsWK1Phpqn3r0+/UMvbWECFKcpaH kLPuclFnuoqA50soqk8nbi6oXPngewzGVtlgUwNYBjE2wGopaHaajJ+EC+1dgx6Qso0iKn5xPyR0 S6ZMzEevn3deGaEa+SuYbiTNpjtBUTDdSSvrPTsGuC4vT5dbWmx000Bd6J1V9qDu1ODh4LgysBQq khok9Wey1EZc6K00ILIy6TFGmOr2slHpjBiPRKkZDgqmz/m+1Yhe+BHfg2nECfVrj7VsEBOboSiY RgzUEyH9RHlQlFn+urM6yoPla91jYXl6ChrEJSSnrJ/Jytne6eT4pHCeDdYKa/xzQSutgktbaBhq Of1IetkIXiaAB1Ewg40lRtMVvnVcUSe17J93Rhkv7VsZwn8MNDtBezZHUTjdVKBOzXGt6/JcR6u3 hJ8sZ30nlGUZalRoWhUfPGdNpH6wNLSgx9hlhFHxgoCTjMf/czFafOeT3cpQRUiAaBFYmqniWdmT RKkxM5+PktZvMAyAPidpvgDFKJ5GpNwXA1msIkC2rDKUZ7fKEDofRip/55Lh7dmM7vKB7yXdrB4i 1xCW4T6DfiJhvhPVEPgP95+1r9Z8px3PO5MMv6bMdesoPliPA3aBPEjOX/15J5LhFXP9dZXBQvte Ze88MnwpJcHUSaSSQ0tOSmTvnAcRHCrlgPFOI+NBVNTcGD54YaikE0F3KoJtWs0kCoNCHetkRai/ YsNpDdHW9/NOIyMggXiGIfT4KNf+E8wJluXPcvq8s8h+NcoYXCKo6MWLi+8kMiw8SBWnTnk9qKiC yyaLEFiCVVbO0AT5aUhZ3ylkdhMD3bqzD76CQLY/p4MQMfO8UuYKQrbYoAGNbpA3EnF5ft4ZZFny S+j+PIPqQhmNRIaLJzD/vBPIeNvfLcYjAKuuyP6TMxRG1JACG9kwiuAau9ZlEX9Da4jl187PeT+G RJGmMZEN3idDoWNDxoLl1zPbaBzCmRA/DZZWIdIxKSnretngqFaztbPLwcEAi5gbIhrO8Hmnj/EY csSiJEBwk0It2aboPaZGO5pTNLxCPVzpElVNY0h3dM1U+Hgnj91tBvcnH/y+P5tuwnOPbQ4w2prq rxuaqeM2W816b+hlg/te1nuCA/cLBy4V1neiPJ+Y+p08RlT4DRp/BPogVsRmKKxQU5OIlQqGjGJr EPSR3SEVLoWGW3ynjmWpl7Dk0XzwkPFseNjtJek2rSmqJjiHuOrLD0WNlbjSxOXzzhwj1F7daMKr 5nStmgRjN5WGSs2fd+IYMYj3pKaZDCA5Bb+JTVFUop5oJsLNklvqJPHNg8Y2uzWFQB2wy+ydN8YT jWUzScr2Kym7zimEMy2RZX+OpT2T6c1AoYjp61Ui2MQNvbPGmNo3ZqzCflTHJgFrU3US9T0+76Qx a0V+UTWwvwjV0vyEmI8Om6gLfW26wGSyO6qlZaN7beidMZadOH6yijv4/Gxo7iAJhrMc2vRS44MM Vt6VvF3fY4zFP+98MeuWAgZM+BAHyzrOSlTv6+y7zztdjKeYLyGsb3r9tuylj3euGFtPhd7DlpZ1 kkRUoE67W20ICbPtsTCmZlGINkHV3YKYt5a08P+ddGgUcmRU3CRMdP7k1e8ZRMz9O1EMvyW7ZFBe 90Hzcz5LIJyTp6/PO0/sF66K5Wnc8uXmHC2KpztP6eaC+yPbaYRokbdbkUtzEjKvheBpcupH43YZ 9+UxNx1lcrrFnzMGerWyKYY6JYiZcg9pKp4lvWuAzNt2yQ8ffAEl9yDGGRQBPh5GC296BL77uenD cDrLmtm8G+twd+9U9/biIj9ICygqTztfYzcfHDPUEDsndusBrsqDeVsCFJUumDnTKUXlV81QFE9T ghi2j9MHR5BVOryCucrHg259/oVnwHqUliVpLSGAOq1bXaTITfYCvlXUCqlDrs/U3+Np97XAIeOD Yxc3IinJfZ7tdS4aiRIUXGNImuvltcCfQu/6F5xhTCo2c/D6dJcMazl/MR4PyBtP7SwrtvyhRJLN UBRPI2VHjlkMYl5vi2PKLBWbbGx3SO0R4gNxOHfXHewQAiK4QQRf9deTujdD6Wcyg1iltpSMcDV8 R5BwFDuekw/4/7NvK22mkUNMPB0Ro77zjSFJiDs/YTQNgO7sNit9atlMhYpm0kwPYd1iPapPq/za ulh1/WHVnXv9JJeIeOjWBLs9UhQQOHc4B2QWrI3Qi98JX/IHqgqhAul1jWwsZfWjy+kbZUw8H5A4 5nfSSjH/RlkDzVFIPIRGcyNSksZftW5H5slTZlOVCcB4HNQ9CqfnlIM1fb84OOADfZNzlLLnswlv 6DQkRFpPhFT9ErCkZkWPwmm6Bs/dqV/JwfL6k+6SVwM6DR6PmJnf5xBjodw8nO4R+RCQnZN0I6vE LkvTc7KUunnvnYiOdUMkHD0Mpy3hUIFxPQVG2E5Bltm8CBsWEE5qpBkQ5v4hVMSwi93eNWoksvS6 ZWuJTuvgGkoSDEtglhY8Hlxl4xtDDUpepbehJigMpuEd1yhcQ1hVnw7urNSbIGZokTfKJRQyEId6 F0rv03iIUudnrQlnD3KNE/UQZ0bfhp8Gu9L5uBCCJMjvCPJ6qgqUtasPTrJLKQmFj5MyiqZRpPui KZCMlv2u72GJGk7W596U5DmiabOtyEXX16AeI9RqOEF/I6irStT1q0QN2iFaqKJK1XOk0ebj/Avg PU5ot/ej5UHh6h5VqGsV7IzRoqkwq7Y4KJWIvG7i8YjH0W5C9oOWEUw+qucb/T2cRr5BIRjUH/5P 4jCmmneuUNZcWX4lC5d7LAqn95KgAE+hdk+hs4Q6BDzXNrzH2boy44URR0MvqLeHavf/GfuuJFly 5dj/WkvbNWjB/S+McPcIZPVlxmnyPRqGY5NV2SiIEC5Yoe5RhZqtLRTvpw8qDZ3Z7k3lsDzxeMRi /T6EUFmq+XLtegSghkBJT/Qs/g+YoLuZzFkqWPj8xwo/CEl59QjuYWSpLQr0lqkP+2QTmwB9bVKg 0dcWBXrC3gNem/tOEOcK3xEFQ5nHM8NqDlpClc1gJhxt4/FoCe2vQ+gsAGzVm3H0MKCmqpAY9Ojb s5agi+xiY9qSFgxmKKpPmzSFpAI5eOms75Ovdgrm7ETcWZOhHloMWPd0tFSztVM/t0eAj045oU6n CQ12kZ1DQhonJ9TC49Ea8ilihSOjO13R7jUudBRSo88Pr81+iQrTs1b6+AlWRdtKzNGIStS67Edn iZqDZ60D8HvaYizQyPYwDRRMzyLVZj5OOlnvGlQX+buD9OaDUTnyaO7vlfF4EFL3721GnX7UXmyC oogatekTxRkT8VxlvVuTo/Rh9VdsQ4sYR1iiFhlx7jt4NNQHKPQ1K2IUrx5ZWTVC10w3KVu0iRsh JTHLqIJ0BQ7WkIZ4mkLizccjzEf6TlvRWwHuwyYohlAjWaX/AB1Q4UphPkPU6ZKlB4IbTVBUoKbD 3zo3/tTAThRBQ+skqIwfaXcHNRI1ivBn8kfNt5WIHHrgOwJsJ+ms5FtxcO8zaF8w2oPEDx4PCh+t fp1DqAXmh+kyongaStaQ7zB4cEvX2HMu96w8OW23wtCI4mkdQ6QLYGAhagh2dsLYTOd5gIkGveZQ fMS/6QB4pueqn5ifEPFh7TEhO6v3URIcqlRcPuEOHo8OIUd2Yi6GvFf9IhtRNN1Z9xCIE//UPEI8 i7UafLq1VX1+omh6qsNa5x08q6/lhHKJTaAzQf1E1FTGRZmV3taZBW3rAtEBfUTV6YyEH80RVqcb YjUynMH/V/g1csl4PlpC351WStu2fE/pKJ5G4njur6mz+RxH9R5CebgG7BYxGpIVUTxtrQ2h7NQc 8WAo7fPX0BUOTLxWWQBIaB4DTS2vKKtOk1g/ouo0QVDQY8g+GPCsyV8DzPqJx6M+2a+kFfOMpqZN UIifRjwt6g6VhGl4J02PaSQ87LFsQl0jwk9X4c5ooKDBsa/n4B+FDpEL8vhpNzHzENkUKIGuRy2H APMRqnoAeIJuQPHBOAp9Lyb47cS+eDwIhm4jkYcQtmq7APMRVadRcOu5FbvG4NhhE1Rw4oorNYYr vo0onJ4U6+xVHWmGUT+ZPTJoBiXYj1BEOJ1cnPqCqCtWhMRT7DLtsfPx+I4oFGInevXmg06hk8Oa s9RaG4//ReJQ5Qwp0G2RjTCcRruzM9BnsEixYoEZ8hgeLA6He4wwnFbXoqr6Wp/qa0OvZm+Vpxuc zbgLU68qUuCenn5Ok0+NLwniaUZC13fxHI0GMAcyksUv/JR4Psjq67f4QGOL7DKBRhhPF5TM0rTC 0KzN42mSu/mPsFr1UygsUJPxVIsqhxcUBjj5KnCLoHYw8LvEKp4wvsIFLlMNLHsJfxPwMUJKIjtG HSgwG6xdfwIHc10sBY9HdY/0tYiwL2q7TcQZFqjhBt+JLf4PlQOBq2FaD2EPa9ZLORRp/YyiaTV/ OgMbDX4MFZAzhkl0nuCnEh+cqdXFwlB5gGdMOGaI96ClzpJbHgflZA00NTaXz5WPx4MJUgPLjiHt 1RssziiahpBMRz1CWT1xkhkXPDzftrL6Sp8TZvUzZCSKKjVS9+FW8MEt25PH0MaRb4APgKrwGwCu 5OVXnLb4jmCTDWmfUMKUgyYIUmBZhtyt4PG/ZM24H3Fd1KuIN6NoGqCdvuhOi2Noyp1jCTJUHFMF qRLusRnCPdR/FnKTg+2xPqD/0+QlPLCG5BTLGhvywPlQyZa/a1SfRmmxDOJfOfgWw8wzhVgVj0cT 5KVFlkgGwW6OqZpRNI3fs8M40KAMdbhUcB/DK/iJ/UgKVMXV6aQGffHBQ6G9++zWJSsdkrhszDfJ 26E6XW5xmmzEGWI96Is31OjgYESpmmuWAsoqeDwicezvWBFn4cMbn1E4DQHtc0p3C6cRYfw46Xdb KNQTGkNaQaE0HiUXetI8pccTb3VUk9EHRT7aIYErzyAsJCTC86u0iEWB7/iHfAW8Y7YPXlqcfG04 Elc8HnQRm+cbRZ3ogozV77EZQz0QARF6wFhIBgHMc5rjFiuMku2UjqAepsMk+Yr2JV8BUN7JNzRD AwjARaupgSoyPI0G69oKFmmFM6NoujLf2FUFtOptxIYjiKi6c+UPPB5VzubXEkIvhV6DNkER1oPy wG1mA3a2mhwefI4Sl3TtRDJxCUXVaXkp9EERi36VsiHMucbOiRoxi34B8jrjkYsX7Oitu4Zy0btG pUXe85Rj0eA1j91IdAJwF4//ldKz8AmaQGk335ghGxGit+d2n142G84bT9V2Hnpky6LFGerksVff p2ZorntMn0v4JGuVtBvAFWmzjOYJaD2owBLYKa7doiXHjKrTcmDsma0JDpqhk90VQ5hvPh4Bqn6B GTA39eowzQjtIWJ9XsPQMKO69EDh0ae6YvWq0IzC6ZENR5V98HtsnzMJLvJEnCXgfymi02hFixJa flpk1JeeUTRN6uBJ5sWYum4caUHxlsf0OQzw+F9woaWSJ3qId4+FZET0NwBL1AoqDEbkOLmr3WMs BtohFMt7sPAqI45xjTgW0P2oHMstGOUz69RDLffc/ygPX/GKQZ/3GYrlKVVF3GyDwWFWo4DLOXVz weMRHOY7lkYmWusNFVcUS0M8Guoepr1dr/b2yVizyzDt4VbBKwJ7DClKi4rJwav3sE5c1mRNFDsj dWxQkhQLi1I2X9LbKwR7bFlN8h7jYIfQ+Q0kMtRqxeMRGfFXzQOKovnWzVZYma6VHTLJCXW2z11j qFx1D74attgKsR4sD0KvyQfbYhMslLWpMbRwDkvmPVNBHHJzTVuOZ9C0d43E8gzKcIfkVbNmQve7 4vEIcebXWNGRfj5mXKbUCvWlKQY3smEW58MZb6TyC+2BhoRmKER76HgWARwFXPyivMfOnU42PdOx ExotzQgWVUH7e+QbCgEk0vAdQWmaS2gM8VmH81mBLC7UOajU64yD6dtlZeSNutlYd5OFdEQK3Mus dFy6C2uve7nxxhhMNxI/J5ghkQxpyqLBb3qEvQ0lTlQ9kDlpk6FxW8j4fQqLkMnmd/xLDW6nNX3Q DKVxwgcWFnPeeDw6hX5VXhFX1UuJXqHO9CBTihk9mObu744CmltLnEM0bx3TK2YjCpSn6tCNpk+i XxckpVSchgaHjBI2vcKhUtofFhBhdviOoG42WTCrsiuvN2E96Z5cpdDdxuN/laaJwwLs9aneryiY BkMAsaK3f7AtxU84Obp606h9TOMnrFjaQ2K4e/tgp9CAYiQp9OdghCMQFSnngLlMp3FClmWXRF+H XvZfwgxt9TtYQpb3Fj9h9IHHA6zHZWxiP03QpNJNN1YUTZOMM3Yxb+klGD6L92lo451TqLqq6Qqj aWl2Aulrg19kGaK70PMBaOhkfvQDRgwJesLZXzQFUFEIhHt+RzBBgpyJ8nuG7CSgtcXXBLEUj0cX 2UUtileH/zINr5utKJwG9u6cj1XVMrSj63Vvo01Cp/HmNhmmFWKndQxNtTnml81GOhsZ3hGsTi/Y DYtrB1LznsBPpFs3Y/l+ReG0CeiYu/Tw6mUFQWFY3YyvGBzU+yb1THAn+UdeF1ohG3FtinvYQU12 rol7FAuRTtp8XQBXWJ4WYEh1VA6+zeZJvkbXQQ1IP8+c84lnZ1OLCHAWa7OWhPMSXxLAp2VMzt9L g5JW0IxkJXF+azweNOrnL3Aw2yWPNHfMRoS6R9vZM47mHJek2iu1GeAbIG3uWH2aljZbMq67XhbQ CXLbyLTE2ycihs4QDQCh9N4BSs553rsMpmZ82X/0gCb8/nyw2mIaXXzLs5HxeLSI7l0mBNYJ2gB9 tznaUUw9kJXVZQqUUPWy8+cE9ckh5jNPg5jvqD49qEfJ/NcGv81AcTmTIUJinXlzSjI1BWFSVojD +jKU3lFMraysZYoQcLigqlal2T74eMRyuUmHDubzv+0e1vs9qE5ykx60ERfNJdUnZFxeXqzZyPU7 VPgQMhh9ERs8IJq4xZYO6zM7tdMfERKLyBLyz2jeBaIgI981qA1R0p8KGDZYBX+CWIw/8BxJeDy4 zS7bjtEheqOlesS445gaaIZs03JOaitVA4Nv7VfY994JimNqKVXN5oNTyVqF/0DnUX1iT3hsMbFH /IaWfUuedRRSYfEdUfEMJIU8RvPBiFIJG5j30wmI9t90RJaGxvyWQNkhGxH115anVz56cqJU38v3 WAO7UhMUwz24x+jqq8HPofMSgJ5Jygvqi1xrmTIWkHMeTw9IxbMdhdRb0M7NiJGDpWUnmUwWJm88 Hmkuelo2LW8tdflVtkP0dPI40bTO8rhMMtPGh3XLMoGPHaKn5am1hBFej7AphH3PvWr+0ScFLlSg zmT1IK3JX672rODvWOKDgdBcd1Dl49xwm/sN/HQ8/pfEB/9btDjSzTl2rJZHi3bTy3FmKzXMu4u8 t723icHtGO3BolkvyQeHl0MGm6QdJPa1oMXItBXtfxBuqXNqtTNqoOxQgDpThimRrTmSr9KMGI57 up7djMcjLbjv0hBcvxpCf5ugCO0BtvPJOVDe1TVW7wpiK1BbrDvLZYdqeUtNetmz1seeNTWcYUX1 6RNCdySwlfS7c2ac7TUlmiIP26Z3/Vf1dQ/6cHAwOMzslXcau4j7b/1pWQpkFDfvFgv1PSDQnatd 6R1FV6MBFfp5y318ujvSjtEeOnz28MFXEIh6Z4IJOTsnFQWqKlH5uGYpe2AriOKnfNcgWFT1VTYB 89oEoHY2yQ6tZ0Pj8SDlmN8rKKNG8Ah57SichlkjMB5GRuzEW0hDp25nsy6AFklG3DHag02yLgWL Xu8ttpHS75yUcAAJydSkEmsBoZTtOetJPadeNTiC2Prp9D7QYEy7c8YNxUGLjwdljyvtgbByUeTx 2tbtKJhGfbijUKf5md2BeAjibX56rcl8a3cE9ZhFE8OEjIP3N+BTu2i5AY+kkkRmzZT2BMVzCtgg YYamdw0CRXqDQlbfB+2wdA4hlt9PtDLweLCALmG8SJ57fasw5RTF0nSP76sqmT9/WlmO9QDaRLhX ZuUSwU9RMG29rkHZRQ6ao3UumbOVmBWf9LtCswh5fV9UoUOmpraZEEPLXzcgbFI86cyqmK24mLhh aLZIrSkELfyACNz5XT+j1deDwc8plMwD4w7lFYI70LjPUvjYzAlI2ZwZ+EsK4ac4oKa2JEXbNdhK ghhZXpUrCc4Ks1KMidIKHSA02ot6HX/42/5LjWllMjk4KOk4Mbu4ZSduaXw+yDqejvSP7NrKgz2T svj7Y/hFW1mG+mguU5U7YAeG+kCeZWspBFF3QyUmHzy9T4B7riTJqhNcMQaCS2uDT9b8WeRtmpR5 tbeNJmkTCbE1QP24KfE4e6lLbWgsfkAQFpVfuRkKYrw0fJqiajUY0ZAR7g5t2K5wCulWr+eXaR0P koeCF+AqygQqcLBpStAQ7sJX4W8pwFCfHB86Fufk4365YGpS2fgtkQwBi2lV+nDV8TFlAd/Ak7ev wuejivU3zx7g1Yo947MUxdewCOsjZYmgnFC+jltMc8Qe8C3JF1NUs3Z0le4MOhFols5aHLITZc0a Ql/ss8OlGeBhwm4dkk8hDX5L0PignPn/kYg7WR4c33F8b5grpBAEUn8lIfgASP7caQoL14yRShPx ru1pqL3zTTIal80LskNN0x+i1FIRbOsB6wHQuZm5QQRtwBeHBCrE9w2ejOCvO5KIQQC+JELrkecK /L4NXrnugp2Dds/n32epXj1PcTs2dt3jWBaqfsC/tkooFzLwlZG2XDqSAxo7sySaUKQo1J4qhFR5 AdXytZZgqDTlsXCC+lZIVFxgTkGi94f1EdOrHNPeNpJoEiBNYMThwOGz4UoSFfP8Hnw+qu9/K1NT zbi25/SOlT9wevMb2ALZvsvSpjg7WyAn681+LEXh9mATv3Yq5XPw8vXJrcq5qHl6nzS0dpaN0Tn6 4YQ1ShWyaERzMH5JxJimLdeWLddOFjhXuNZbib80Pv8XbI+NRoS1ZbU7SSEgZDGVdWXYUbeXHrMc owkI2S6+nFOk/1GT+ZksHxz62enaQAGZVU+WtJXmA83KBUbgsOnpyQo5/dvxpW9ecRy0kk7KttTo OdkJnw+22y9K55nms5rKs93CGjYyfyBwNUmqPjOxHYVeC5IAqdMnKSpiDx1G6nJwuI2QTGcl1UbO oVfoX5rQ/ioLrNfkuKJCRzl+SVA9YkqyZYuzry0OBKkEszin0+TzUcPxO3UrTSRan6TQRpGF/oIS LCcJ4t0+Sf0aI6fSfSUFPorXOqgLbN0fpZ1ad79C5xU4MoZVhd6y6ewMhJHOWaRvdA6MFLFcqQW/ VCdZ2aEh56BbsjWhkWLopDj2N92D2tv7a5KisBv4394JI2CRLV8rzlSSVQAgY7Z8kiJ0SBIjpjII 4OAZbjo/c26SIxpIQgnrh3MuGZE4O3939nPspZhZ6x86k8atAZzL0xyozjfw+Ugn9gJoCCaZpFDf HC62U0RASUsWpbmlZk9zWzY15p6nWpD6oH/h+Fips8FjABDMmnHziHgrUsVAYgJYaCvXgryvZG/7 L3W9kQlEpgECT+58dmq2k7sOPh9luh4pcWuhMNUeB/vAUhFNczLxl0lW97SXLyVeyFpKK2dfSmHU LVEZcsU12H7rYL4O7bdz2vadREYnZQ91TirJW0WbDewcmCqajOWiI7UNqtgCz8LyLayt+Pxf+43/ MZZ9f9zMQlvFcxXfrizgfMWdAlNV3wjZ+pqusJcDX8VE4CQ4Hyb5+ZjZQ3vu3NGMJoEqHlI+T1zs cC4n7+yCjYa9btDnp+/t6qJo9mFLCRJjhR3Wc7FuPh+1aH8pNUIaqfT+rKVIu5reimO2ZBvOYeqZ xJwf9zlJ3acpDLopwNOz0On54mnwl6P1CMDYCbpRopI/Jwo1Fb2YVR/AEbVlcuiuqC5tV42bg9YS zNN1h59MiM9HDaRv9HVGS6umZy3FZjDoQbKuLPWU7gI8tV49y3MPFbfBjfHXPIEXoTVlPfIppaCX gR0IotUG2h6nJhYVIffn00imNbZesdf9Fw2kiZbfLi2/kR5I2NEJZPj8X4e32eZCIKQ/h3esEEJ5 hy4U1vmn5MgjnN5egENj3qYpsoTpkjP/bxy/uDJn3RTmJucYn/RPPqnauZMaGCI0YHLtgmpvG11x DG8WzV45KFg6E7aMj2YvGayleYsBBJQybLsazTnwWZQUj6tZkgLqak6oaLmu5azooTLNDYwWcXvI Hm9PH7y0hEkqkos/0z2Quau0dKJe8PhzAyzQwDV12OsGgvEUu1pSLVpXuvGcw+hwMhJYmc8H2cnV K9Kljx08ngpcjuHYi1LNw6q563Ib83Y5WfQYh09TCB9pgmcZWOvpCECb+fxghdVcIAAl+5TgPdLw u5O+YoadMufOUckbnUSAIti+5WCL6fw/NZXOVcTng/Sk/UKLktxdn5pJ6LjYWadkTZy0B3lTLYny OM16zuxbLrRcXAaP0M4rDrnHbJz4dFIO9czSOaGQZGDLDUqqVFCmHjhktrf9V+ekNVJoWmuuaM3Y Hsu3F6ylwHQRmfLv/CT/1K/CUui6iDZZh8uNwGwDVWBTbB7ynWb7thkBK4e2i1MB9WbEyMGTuPN4 Bwze2pOFBWmwQ6fkudCYt8LSYvcth7aLFlRWSmJw0Ol9woDNCA3VMD4fUYl9kkQnonrvlXLMsfPi oFNM15ndsyRmyCrILobVElMdzVIYerO3VKfx8dul0Mxx8uc2On0FT4TQAESDBwHqJai4z+aCqYVb iF8SaWARytYZekP/+YcExTzQyaJeJcAd/IAIbJN/7Tige+cFk+TAfRGXHKVAl5RmTtrr1q9J8nCs LVX6P2uaQoS2NNSyOGt5PSj/sxtOPFMJ4ICwQaUYxgCUdiAVyyxwWmugVHvdAA6AdTYrVQlm1QZS ZwAuldxyWW/5F5dG3Vyq/j6zFHrGELU1pnvGjG6mgmms6SkKKmgWVgYWjAB3MkVJvOs4eL8S7dyd WDeZ8PLKFEKoECU+qxjbrdyGN/XUcmzBKAEj5vIaLEXJO1Ncqp79zOejvsAvfCRRdV+TFBW8IVZy TqNxK3C9OKwE0G/3jXEdmhy6MC6RipOos6ncFAUGiWCAkjCCa6BRPrWgb1kMCGHFJdN1zKENI/fi CV6IkJwXMI9iYWXw1HptfD5YSeXbdBkKw7VdmG0OjRgbvWNWF6AE5joOb5sKwwFv68Bb2iSFynyd CcoWQGDPW6Y8eWiFHJOkC8/pvRl31souWKIUw+2d0Mszh06MIEKdO6yyec3BWt+jJ6nw5jX4fBB6 p++VhAOl5vpMUlTwBimv52LiRdBLnQ4voRSq4CUsLWuSYv8Y4QJy88HxJbBTRfeckkYLkj3qjtNS Ef+a+BIBcPKwtw0mqQofoJW070qCgKdAaqzAhW6MZd0wQHEAAt1+S3ChHyODpRMNO4yrXhhX4TUr GFcmSqnrgyIG23wHmQDMluiOjEMp4wqi1irxt7i985eO6l72thGKglmcBCw56FBadcmH6Pzdjc9H ghme68peDnZdSDdu0Tu0ZUQi26e2HLEmzVYTaep2LgEP7fMUht6ikEj6oLaHyHYuHzAh8QlA/58Q UnxsCF+fTVN+GDhN3XC129tG0uC42hjU2aDVNHZjif7EXjXz+ai+9B1U0gEwPYsptGYENI7pqJ1L qlmST9sd/48KhR/e//BmlCKEat/VBXOhLQtFl555w41WwAr+IeMYhzdcvx7QJJHbOfRmlMJRQiZv g5VzT0zGMwrxN5+PAu/vs5vxO9E9NkdR4A17nXMsuTsjWDFeg3NnXUgLuDFaDuwZ8/8ssY2XjvBL EzobbsCng+Ll64RCKHYTiwyx5AK8Y+vPJI1ubxuxtVihRHnKBoOWFppEMOHQS0Zqfd94HCbw9Wkx xQ6N4B0zrjMFbAKYqIDdfOP1MtzCMocWjVI/qFXhd32gXedcrefsH2pWNiCyiKGAKh0EJtFGc1Zk Sep7hyaNVKSaaFn5oHrA7sgOuYPS4PN/IXClrQoMRbo5XGzT2FAEoOYdYyWuYamMSPWRNADQ82wp hWE3N1FNrPJz8BwObiCFAnIILGEqJr4NEt1zZP0MolKr/ECavW1EP5ZC+Fo+8EtIKmcjrtWsl4zA AbdOKZ4f8OAPOTLHXo2d9sRFqf85n7KfSrV4CN4hJueLKVbCZtdRJmn1mqSh1AZeSxEg59xRi7rF CA4Q+WL26EFuxaW87HX/Jag+QZy2QeHSHkWsf4Dh+HwUeHt2glkiQrFfJeMcGDYCH0ClXqtJ9pQd HoiubpGq8TmhqvkT5dCxUVuuUPRYg5/dKDcMWj5uVKcTKdpQ3wPG5ezlLcVDqUV0e9t/XXB7qbm7 /BY9MUW3cu5G1STwbJS5gi0mXmZImchMtVmKIm/EsiADmIRfL9kPpuQLLAPz7XjKwLZReQ50DmiN ysHDgE5XKzFLdj2TM4iBQ9u7MVpr14JnrG5vG11xBAgkeaMm1wQuAFUTLQAqF58P0pNf5BumEY9v Yw6MG1Er30xvzbixCbcsZRa3MmgnPr6ndxh5LyuXTB9uGACaP34GVnMhh4Ea98zwRyo4KBgo2YZL y1430oIk1qSV4oPBKeHVUJWfdD4fBZV+ejfp+0KHojzHd1j0pnejZCJw6cx0+aRgGqm4BPdpX0th 6L2Y5W/pq+8vC9BRJnC+Xcf3yeq2jm/oKeMYzGiTeqGyN3vdCALPM3ft7YMuuXPaJYn8nOuCzwcx Zb4Ol1PBKDUSbgsldHCEwqt6lYV4k7IEzj2xYMO9zj2XaKCjay5WIZH4CueJg3eaGjx2EZOdkwkK AIO00gZxpI5YuySZy4pKUex1o6OJzQHITthgUMET3ssy5IQTfD4IBm6OwugI5bd6NRJyaOOIddIh nWOonLwtkUvyH5WpNSRhFQwEPo6IKnDLvbiqQHVmzSzjeCj/VJG8WS/u5FQkT3fpqsAvici3xMHL GIOD8QXOpjYvGSymwMjxO5HTJIF3/2BzQydH6Pr3LrNmZLukR8m2mZwOOs9MGlAziwu9HFXPHers jq/OLiQCz1ZSgrI2IqWhE57eLyh9d8/iZP2dAzPHbJOEq9gHC77hEcl1cPJHPh9ccu1XnZLuM0/L MjRzrJCNmqt4qltM/geC9CycFOG8vSQQuDl6M65L/ZHDLZzsc/jBURepLqQTupq/WOfkeF69jZJV ggvdHCuBc11q2R2HGLMKli+W9LnPzuMHBClK83OJSR9yCtg6+CxFwTeUK85Sat0igbqKQwWF+hpU Om2OpYgNHYURNM3aOR6fp9UgiU7OAJLelrbYOTiQILfSHu2o+7IRQ1B9XQIqOFidcsyhFtEAkiI0 dMz9mzEA9Aq3qc9RKJoNJ5hNTDZuuEzUDWNKCjxJl2Tm7jfcPywd2zvmtBNBCTA5oiVkhGx2b1ZZ oCeivpRk/pq/7b8gAmsJiXk97dAYLpL/OUcDn4+4Jx4HqBIlDsudpJBIuWgHWs0AohE7xKZuX3ch bdlcd31QVMxlxC2PDA42SZDGg+pxItpkIe6uNE+tWENnF66SHhDcsreNKnCYnZqJyeGgKKCC7iuN pFT5/PvBvS68ixSMInLZnaTQimbTmapMz+G4fBbZpsPO8I717CspZlOqp1uqD76SIEWGHhAm6XwV YN7ITmZyBRegaZyhQ622HHg7yqQAuGXW3zholiARo6r+yRH4/J/IpaYkGXKZD6Iy9Hfk2z4a7J1C 8ep902FIiym7+nEODB5xvKm5lLYPdioNWMwmChcsGGfswVO+QA+DwppqxH0JkuXA4hFZGFVrV68+ WBQALCIP3ol+ZeDxCGzvd3UJTd5K2x1O0uc1UipALoFGluYyYm6aw4tLvsAA8vbGwGucVM5KzkII 9umDhQAUPJ5gwiDqPjETNUkggnw+CBWnQm0gwZYQinxeo6SiRh91trYPmp8N9wSG3Od6+LzGSOcF t0CCJRkyACaI2L2FazeYHKTSnrshi8sPLgDpObknJ2nM5jD7Gh8VHNhC/oia+0V7B+MRGtqOfMvi ymVoxvJmy7SOmPkLAP86O9J+RYSdhg/WMkG0Lgd0FHBfZwdRTrHZ4TohhR6dXM7Oa2hUMsWrgMcQ 7KbTeUSNblQrNTstA7vM2XkNjLB05FA8a/bBl045uxzGIgyMOvpAxDMNaQKhPnGBuJOY7tewqAB8 g58bWjI+aHLORhVYufbdPq8xUbn1//PX6T9FWRsXECfnNSLCvqL5bjGS8kkjmluIrPJFeM82Oa/x UBHvDonHbD54Nlt3rZSAB+D95FNtiM4N0B4sgcg8NZQyIQCv4VABpl8xo2TXgZfjPQAfoyQwaz9h 6ec1GiriX2p2+FSqknzX7LzGQthYJClRJY+aLfNi3QG49u5/KsaaeI2EiuDRbNXe4cbU8P9lLeXc wGNBawsbi4bEOIxYkLN91ej+HZ06qhoVav1x0OW1zgnEYPHkxevzGgUVGbtqLpxcWqEBrMl5jYGw GQddi8aySvb8lvzpXsnGTyNH0GByJKnVhVnrX1aF/bxyWpDWyNRyLMSfrwScFiUVMmH7vLVKYu3x NQDiAcnDuFErctwiQoH6tK72VsvnNfzB0lH0ZhuLkAxCRzg7r8FPEYUF+hHVIkTaCaueNtblt6Vu 6MjX0KdIWxDsCB644ysdO7kvChW0DdnoJLUtVwxuwfOTQ1DGc1YSt17jHmyswmbalun3TjfuYSLD 9CqPz2vUgzNLOui4sKQ7nqh9Y7PzGvIU08/MxQRskE26OmS59C2Yhpjn92vAgzOZ1G2Qv3y4UIhz XKCIIpNCoFiofTjJtoVCV0oPWBu/x+c13sEvIPFe0u01aO1MnMpi8+38eY12ipRS7NjZopzCkBgh AufntcyIvUWgdjJm1vnL+vaDh34KkhwjfpiSY8GxrOZQp/CjBt9bJ79YixH3CSzB+CAYcqLC1cFm 4IZyj0JC/l9LjAypCJwYhERyUOkM3DbprFBeNJgf0/XDyVPs1qqkf3N6XquLRdraJ0zOBvYfyc2I Af65IhLUX6AKSXQua/nIgYiDXekZhBqe9hkwCoi/UEoLbC34FZ5Pb+nis+la9FpZxE/ApseUgdq8 hhtlbVQsWTSD2Fh09MiTyg9mqKXAt8HCwShYRiEPKnXLpyf7tVUkvU48Vrvt6ihato6+ktN+k1MY xu9zryOg5NEMGRtyowDVRuvxh3B219jIetdgeyGIA+Ak+6Dlc7JFYhJ+GjE0Uby87vHDNDRTWGff GYoiZnDYIXCscmvbovfhdDZz9E7RQ9yBQvgHM8RceA1JpnPwfn5NZwcgb0TfDJCZSvIx9JA6y0Ob 0KQqw++pl40OaEJEVZzetzhdNyQjZLNT+XgQNKf8FfkAfl6BZ7AZiqJm+pRO5sxUOJ53DSGW9i0G nV1DYgUztFngOGFC98Fz0gQM+5CfdS95NF51lS1iBNtUZlfGtTRBUdzcyallj9gGa5ktSeBA3QAQ 2ij6Gekr5wKCquGotAmKIme43ED42ZGhDOtUcWW3WISjsU3o5527hpfXGS2ReQ5+CEHLMvPlAF0/ EZ7kXND4Pz/BuSAkJKn+tMAOwSbrKtm3fgeHPK4+lXUttDfCU2jbBFFUY7DGcvfYe/BsvldjWSjY qZ6i+LA2O7nPKTSXs/veo+fMzzmXldhW68JZIC+WQHUQuQ+boRKfNfjjdQokbbm/nC02VdUIL3lu MSIlNVjSfj5HoiFnpX/eSWvYLOK2oHTJxxCTUiJQMxRF0JCTOktkmuIhy/N2Cm1XhwTN3Atk7yE0 dNb5d28y+zj4NX9C8TnIlFkQPmDFEqkpyQZQ2Wi3RWbl6CiEBmgbqw7FUQ3qazCB4T12LoLPO2Gt XEE/22MgLJy4CZ+lGQqj6M3ez5Aay9nnVpaGMnO1KhkkL700FoXRUqKfRNFr8EAR2eOuKrOeDdWK +tbEj7L8gjvX6j6iPkZxdKvy2a3bB51CZ/NJUrzNvD/vZDXGNL6GCrcUFZj422uKolAaBu4dBT5j zjT3lEtLs0V0A7CdusqiWFpiI1QFssGT1MG9m5iHMZImtjbR7wqi/pkkEeMXUeL4natWvMa6U58+ eE+jV1kwngPp805VK7K1tzkSJQSN8JuovjPVeAeBXdzNKARN+6s1UlvxVVS3V+ujcJpAqnM18e/n 4A1EOM5TrAInEawj5MlL5lOBqBE1kOZXR+M9nL46UasUHwwFmqpQCcjMP+8sNfypyQNGPgYBBTo7 aYKieBoE217AcCMXpDJDVomsdjPdhVNMtwkKA2oVoJey1XWz1YydhbIAt9lJ8laS9TCpTyjNUZ3N 8MRz6l2jCZpUSsSJrcEarCBSmDfY+rwT1IpYSc9BBIUbEA29hBhF1PRUKd0wMeep7UIs7CaKLEO8 NCfonZ1W3FMFUh8+eDo/JgBoojqe+H1pKqGliYQImJjxqGgNvev7XbZ5lw0K42vQOXSuyyYLh5MF f965aRYr2ASJT9RQbfFyxzs3DfNKmmMrhv4EFdsK9JnrVp4hyz1D3qlpWIpcET1LBlPYbvZ6zp0F h3TaGWx4qdBphvf+T6PcRCFZxgh8RS8bXGacG+ob2aCIegJGwk022/y8M9Nw0uf8PUXU6UK2ZVMU hdTsYcxUnQGyt3Mcz/yazmgnxIaX2TsvjVm9nFKl31sf/V40hJfQ6MDKAjAml6qKCjjkLEe+IeOg 5NE7L61493lS8kyDVlEZOsHA52ifd1YaY2ovt/JQRvGkFK96vHPSivfn8052UF8lqJxmyQ5Ca957 fqekWXrHjHX74Af1hDAAObY7UcoH59BcSEPaBEWGNQHhYXq3l40y+0l8deo+WMy4xxbv+vwSn3dC mp1jz0EEtX8p+GqGoqAa2O9eioOsi4r0qNdL3ETmTtUrH+90tJvanyu8+HBnCBqVKPngtj+zUGSr Qk9VotLaY+MoFtE7Ha04ehhhqA/K7Sskgwm7G2l+3tloRcY1miGyRbGzoT5uMxSWpdHRgKmidZ2X S/lBYL951xkSxTqIorq0umHDJqo/FnP7nJ8ox/MgGucvaBT7KxSOaNTonl60l+PDOxWtCJlaJrQA fdAEoRLC0mvdc33emWiMyb8TV+CiS7+lxXciGo8h9Ai3lRFhPu0gmDGGF8+I6NESeo+pL+ZM+rwc 7KQ+8W46Bw17PhvnxdBJDRwTiweZGDEvDy29bFBdnCTrsfmtwfoaEApmOHN+kM87DQ1/a25fxxAu +krAjWYoDKnZT+0zOXChemcDU+TVV1T0tYTeQ+rz83LrTIKBNWgJlfM5Jx6l98W5EWFbWyU6gmYm VqkMoa67E78jmCAJrfAOmpBwd8PmcY4f3p64aD7vHDQeld+bDJgdkk5thqL6NN6v5223Vs+rumlI k4jP0DFktirvBDTcMkQjTgnxc7BjCHK1ma4BnKFzRDH9bwigCvilTUKkiqiH3jUIGBkpMgqxwSBC aJPwnD2T/Hmnn+HxNb4DRgCNSPfUBEURNYzE+qRIvih67m6ZE4G3asmjZ6NN9h5Ro0SAmUF64YNH 1OfsOGFDpW38uV+bSHyNwnIAzLDiZiZ8uDs/78Qz62wD8SIp+ls+SNAnY/mspbw+77wzxJvjO6Km sA6CP+s7RxE1jvNOmLPw5mLETGEWtgM6gOVW4zmuUaP2sQr/eg62x0qD6bDxO1LaW//lSEILQe3s 6QAR0fHOOmOPi+wOwag4aIKQa5sO9Mifd9JZkSHFcwhBlSND3dsmKAqoKUY30nZSdevTy2dsd6u9 2lDJ5QTFqA7MzC48YbZAcTqEoM904mj8H2NW0vS4yM76hDwg6kOkNaG8WPSq0fwQhAd0hg2ecbSq jOPkTp93vhkP6f59jdGZtHnO+k43wwLCNVboxIT5qSKdS6xvOgk2c/dih72zzYpLGS0CljTYDgOX oEKxnwXqSlwngyWc9/Kxuk0OoYLfuWZFKgLwCcHhocFy1rYpUXkWUOcrBmd08VCxKZqesJP1ssc7 1QwzBFocdE91BrW0XbtgkW9A4AtADTZD79E01i/C6CUTSw62gs5hWBvsQSgc1ifL0FVSNIWAbDrM SWG12rtG6QZVQmbTgFJ0tTW0lOPPc5193olm5fLNrURNuiIuTpuhKJreZ01CVdXE6AcBWpqhXq1E DeCEtVnfSWb4gVhehK6zD77HKqoek4cQbBmAhsFNtwZ//vVz1VTo8PV5J5jddGwUhkMc7BID/I2R 4gk/P+/8MobSfkbzwqLYxLOA3kNpXAwwT9t22pxL7GlxlHT7iGjYa3qi+rS8CaeEVecjrArfFJRa JTdzEvHE8wZ+l8yox8+UjI80DLfeNegB0TxtwczYBm0xhF2C8Y5eP+/cMq4f32JEPLCTlBx3984s 4+0MaEfvpqcG/R8rC+3iAkYw5GkqC70Ty4qTFOk4b4M3Wjtd+DYbrdBL28xKEpS3z90/dZM4Z6pv vWwQB430xp2u+EwSXs9v0z/vvDJG4r7DqqTV1UnwKYpiaRwXUHRwpEfylP78t91yVyB2DenxTitj 5UyoRAZCffEnnSxP48IaoC1gilDt6AS+qNGJ2UAtwlP6YS8bYcxY7RA6cQL7yVmC+O/sUxizEyu+ s8o4xd+xIsp7VPy2KQqD6UqN/mXYVtLjLRQiGUIV/FJ9isLyNJH2s7E8xMFzeuiz507/Cdhb0/MM 0S+qHmgnjscJdDFWfCeUFfHmADDrBOMhi+eFiTZVF7z1HN58PkpZ7wzxP8bVQmNtTVEUTuP462tZ HtahA2yFoZKnyvoAfAyfoqhAPaUQuhn6cfBwuo2SJnT1gf8t5+TjXszonTVo4wMD74uIvjzvXDJW B9mGXsztOeioXnUvucOdv/rzTiXDK+b6tYbgY14hhW1AxTCc3ginx7YC9WC1TxDgNrzFsVE5phvG O5GsON1u8K/V4FWPc/6i5QGrtJQqXLYI3ERHsQNnlAmC0CLiSvi8E8mKKanCBXppmD8mn9Y3f004 DM3PO4+sXDvZ35iqfffZO5EMa6+BI03HLbCliWuSYQibfiyddVqbImd9p5HZFgDIlZc9B+9Hnxxs nGODJxGImwA7w9X63LRnCeWfUevtJSIq+7yzyO42W4Ak2WCFITiwEtFwFvvnnUTGwoM37FVlG1BP 86P6nUOGNbQgKkcVGMLIl8uAEYmgf+yJzGWiXaP6tGjSvdXkg59DBUByae+i5rEXGcCQ34f9K9YQ URBJOVnXywZHNan2VAy0QbcZxOuWbAvG+rwTyFj2+C4MNQLhph9D7/wxRAmZwKrltB/q2LGR2NY0 OafOiinLHu/0seIas0PeX6PlB3iGjIbcExJazvnP8uPJxTP0nLP3Ws2Cb+hlo0YZZYkIKtegrIzu TqZXXD7v9DGiXn0NEQ3RVGfykPqdPsaYkYH0MkrrWCtf1Mdwm0v+zoJMhwXqoYQ+3cFP6pM1nfuq s9d68uNZya3vQLadq75AksLzVmrpft7JY463P3+TYsZ1Y8a2UF9kplXz5507Zg2AJ+dYVBq/MeM7 dQxY5MSAyC6ws5/G9FailC0gm7rYxONJHQbVXDyzsFHGwSYIDC9QfhIh9yfeNkonWgeZ+pdXi2AT NvTOG+P8bNlbVh90k0GXiwhzeEV+3mlj2C0XN81bC5W7fgPGd9YYm/WIhuhgx/I0KxZy2503J5sQ VND8hDE1gdCrM6Pg4POTYLpX6CRLVZS5TIEe2lZEbzTfYmwNft4pYwbsRzomj8JLTEuzwaOFmVbh 41EvunxlZfRFb+Ve9VFEDYEHVM7cBlQ91yF1YqdsQNrMdlgM+GDFQzag67EBLRmx4q7Uk4WlIxMN MAkaq7HrZ/V7BBF2/84V43nJa6w/g+ZnmZkIk/TPO1XMgI/PAoLADztfNj9RON3pUtQvOFipaqPg LtY7yx67VqNCtxg8zYkprfngtWn4fcNwj2CG7l20jj1dQM2U1XxT5czeNaBDUZgBhV0fbAFVJGM/ pO1mPB7Eiv27clZpIL3vCRQG0zK59CMaGs3eQyRFnQuoVId2tqg2rQnqihX7V6w4T7QDJyGSfiZa Y2o70zqG5sCZHr2qvWZ72eAWwwzhE5IPOoPOCZ9NIT7x8aBVX+p3Xo/CPaIwY7ZE0TTK0me5Obaz 1Oty2Vl6EWUMnXpRW96jae9DL3l6cvDaYh2G+yVg6OT5TdRN9FJxtgKC6MF0tXeNsAwqTss8nrQk LqGe5+wqF46KxwP+xv4uTlfUcPbt//QolIaIDRiHVlrsso9n4YwaBdJkuLd8j9AeY6mvUe5wq/cA MlSZxp/ZOiuGkBmgc2lpRYt05WOEc+E7gmyD0PvB9qgGfMeGfzJbZbWeZKNHoXSqPj+FyS1i13qp UWEojQUEwRWl9CwluBbanh4F4e/gJdaj4rS8rE8cuHywLdba+eF2yvIm3OcIonrVbjz8cPfTxMEo vZhXfEkUKDKn3wqlF33UldND4yHxz24FzwfEw5ttsGSLBKvle9H3kHrIDlDi7QXQWX8cwLb7EpxI j25ynZ8T5GNTLjvU7ODgKeu5ZXYGCFhgj4Y7GWZy5+Jrm9C4LxVLSlb0KJim6MmiZaYNOobQhdZB O8vE48FBnb57ZAyFKGCpGYrohyDl9ETheeyynJf57SUcIJqhSkliGsn1P8AeigM5eCx9YsVS1uS9 3ubJTTmXGUoppCX2/chVsLrYowL1YoGatW8M56gjH+N8fpa+OMQ28HgwQbdytrneBmNLn6AolmaP rIkkD8LUTM3zsdStLNQWvY25hEIO4hJQqDcffAmlej6pytRqn6tqEMCXcK1QZXs+doR8DXxHkNJj 6cD4tvlgCWtjKIFSD07KKJhGie7rqqeYavarvocFalTwgUOVQFxnxEkrWfh0S5EJwtm+x8JgWuDg LG8IArTsqocBipwINwi1J88k5Aww1g7l6mxLSin90stGB7WEmZW3pu4pPUFJSjfGxOMR5Oy7cAY8 VkVl1WYoiqaTxOFQlvyPEIxbunnoAclaFthgLEjusSia3ls8F171HGyGULLeW/kqzL8GaGvYYwXV YUxTe5h2w941mKAicqUc1bL3URpwAVnXd554PEKcpa9zmvKaeXk43SPwNOrePVF6m26WrRTXg0Gh I6tstlzJq0dQD2imIokQRVw8wcpwGt5fg5rzZ7sl+dMDFIyaB3o3hA9Zlwxzhe+IYiEdz2X6oBXU zjYW2qe3jcejFeQtjqk6AEKqizjrYTyNuuJIVgDqnUAfXWTyAZ8kaxIMgxmKitOLct0Qx/HBq0Lg p3WIpaE4PaFZTHdm0hHzEO/RG62dEro9AnvgLgDSO3UfdJHthagdf+FIDY8Ha6jur3g6004LnV4j Q0cB9eQuc+UuYM48qS/Cw4rPmg0OM6Ly9LRWNJtPHGyK9okegQUmm4zOQuT3dgp5LnhDoTXthjpZ 7xpUFnn+DKFixuOncwLGTh4HSrp4PAio+/5eRAjo09WoGFFADXNEYDy21e8nJ2g9ZPpFCY9uDY4R FqfFAep1+eA3GSSkCjgQAL+c0GiIPZZoQ3wmSKoVRQBze9d/lV734DxxsJQM1Duds5uPR73o9X0M YSmXW/UYIXga+pTgnqg43eQbvynBPOxEOnvB8UIjKk7TUwsanYUDb87BqgdqHUi8qZFzDiLDxhIW Rdh5vm1EXEcD3xHAOhH8rlQVLNZqyKiCagGzZCwlPB6UPS5nXKSfBbxJ9bt+hHAPwCtbzQZ8be2R 8hjb3St78m79iOLpRRtUtnowULeXIqKQsJtgzxByBnYRYZyTWj6oR/X03PQTE/QH2gOcIhus8JpT 4QSdEL3h8egQ+q6bjSHnYp+fKJruNGCoTpRq3fUq0Ky/8yPVfMxPFE1PdqJnpvAtB2/WQyC50izs RNMLlFBWOUDDglkjFmi7DSBaoY+oNE2BQdhUiQbE0iQ3Gcw1eGiPE1nj+ahH9i13UsDcQcfepiiM p+k3vJMp5Y7aXbGrtOTx9Ma/lWhFFE/Lx2O458m6laG+90n5kavCBb0NijmjQIQ2BFob7YoIIrvV uwabTKWzxDYrBx3TUDhbRqyfePwvcLnsdngA3WM6xE4z4aDNLlGL2Xuraa1kCE9I3kyboAg7TcMg kFanD7e2uBAPbWZkgHk0xpW4MfFuuMwevRyCy0eo64FjelXSzzRoglAZapIT6AOPB8HQuuByLiGQ 6ttVZhhRPI1V1iFNooss1+k6wrVmS19xYleboSieVpd15iTGJoHfWbC8deLpakwp3DnyywEfmzLo Uh62TXY+Ht8RxUK8yGgvqUHH0MYxITbi2nj8/yMpRGPmvbw0NP4ZTw/qOjNnTSnZHsvV9ARh6+pQ hhHH0+zU02RMg+0xbPSTrC9OEIq7zdAeJNYntuIucJEyz/iSIKDuggbLiBHAFEOXr0pLCJy+e+H5 KK33k3rJ8Ys9squdE0bU52c887TMWnBKZIh5/XDmXceut0UUVqgp1tWBn7ThOYdwQFM8GAY8MN2m BCWcBXFeURzKavhk8+BLgvKZXGBEdpnX2anV1pkTgG9X8Hg0Rfv7MsN2Z3DOGZphhRqMzb52t8R+ 0cQkS43XidGb6uhI7GcUUC+5L7MRvx6B6AWAa4IjDNPWhFW0ZUuFdghATdQtMOAZU44Zwj2KhExb 9UFZWS/QVsSySK3h8ajJ8SscWpTav4n9jCJquIb3tGj+hj4rdyvu+ER4SmHeOgB/ZmI/Q0Ii/+5R lb7Wea/7BjykpRznr5qLakvA7I1zjZ3fkQRpq8AiWsV3RHkrRVDQybZBMwRTEP6BZ4YKHo8Oom+V GGAfK6IMm6Aook7Qpd++Wvpc09PWIh1hytwUN6acIR1RDtVTd/58HKpBzU5d5g/nV0MeS8lSVJ5o JjhJ2BSnleoDMyxRUykYVp0+2B4rrQ8pmK6KxyNc3u2TsUwyGIbdGYoiavAEXSQYWIaxtzOlSnGv DsgImkrVvyvUM8/ug1fPJiQr2cVD/oqNxFOnSIoUFepyC9Td3jWaIbaBmHpo0BKacAiT4fQqeDzo 1a9vPAw74E9aP6OQmjJVJwS2Ngcu4cu1S0biwB60c3pGIfUQlkq2OOPLFmfAES9hDTKtPxkbkbJQ 44BAAihdj1QwGpr4jmCPFWl79O2DTRCI12wOnuwYjweNxFa/wyFaqparzDnDiBrwVyJQedtznauE X1Ej52SxaGPndIT2kATuSEIIp4sQTmdNQrhdrXlQvKUSk7FqYBj1Myi4qICRljgziqgJM1zUnLXB LzLBvX/aydvw+F+UX9VfCwitd49FcA+ISIHo674TpJqQSbZrdmHXQZUoLqGoQr3YYp613cGLZwle VMQHnyUERjmzkoYk8vwwqCWs24umTcCMIupEKbizJqsP3otOEmoA+hiP/5nX4zH8DkQaa4JCMiJz MrlyUd+jXGfcq8nUwN+xQyjUyqP0y6yj+uArCNIDo1A5a40NSgEniKpKgF1L3aoKULX0rkGXTF6v 1BzXoAmChYh6ree8w+MRoOq7uEhDc0j62ARFeI9Z0fIsy/Ew1EISfFqY6kZLjmXWwTMKqAfrPcRX 2uC91pOfgmUxDHGGJJanNHJTKurkp0s27V0DbHAiEob22hos40hJ/jVI9fB4lNXfQ4g1gCx9EY+n Z8hGbCiADhdnKOvRiLnoGGizerQYVahVM0Rn3gePFs+Bk3CqsjTEwjebshShoFBDvfIVg67vMxTM m0R64BizwTBDDeBRTFHJBY//GS3KrhpSTNUT+xXF0+fjIfCxqtMR+/K0dVg5BKbB0xL7FSE+GPOd MHEnH2yGTiIGbFCiPl0isJzxdFNwXGlJ+0uCe4UFakYHc5fhgx1DYLdKYbpWPB7REa/wAFu0eFVU y22Gwgo1JDt7MSRMH7055Xd1V6ds/Iu5zVYI+RiqUJfmg2+zE3cOiG/xoE7wXmH5jMpoaFw2goNV ocZSx3f8oRW8fdAMjeKEzb0rHv8Ld8YmFUKKcVnjK9SZrijhT8flEf2iaLGxHahocbuF+YoxHwoT qYsLKyD8ouzWb9gyTZXwwdzEQoB5EJY3NB9HvsEQyG8N3xEVz9imp52TBk0QJFoIaK8U7Qzj6X7P Ic4Qqmfjqi6uKJ7uJLr0ZKzxru0mI65qemeNAimaoRA+TUznGLn5YJssVXDtuhi+aY8t1lAFx5Ex 4+NRkiGXze/4BwIfoWf1wWboLIUkfa688XhwDD3oaUwGWiX1kqJXFE+fzYySGQQbaV1eh3tvFMLh CfhY2fEMK+QjCoGvlKw/KRkEDM5/nqhMDkuRqngaPwDqHqDWXCbQpkDMigAfZOKfF57DB8XTJ/0l cA5BZ8bj0VX2rSlI7CtZf5qgKJzGDdABmLAuEA2rSVEYj2n5piEvJygW91BFSHiG9OAZMhjXgtzs c8cCO01rd9D55CiR2R4pUn4detkAEqNWK+0O5yOQgS6KDMvq6AOPB4iP5X0y0l/R+UwXuriieHrx R1R6ev5pETmhEv7opsxwcvLsx3QYTxdhfplfcfAuB6SX6HhNIhD0Ytiuh5B2w/4C2swKQ3tkvWsw QZSB65uGgJxp4wHtTsVPkEIaHo8usl8aQ/jv0rPHonga/10faRWrnME2zBzcNkpxvMf29px1xfBp XWA5+eD32EnD2pkbWknASDkzqS9Q8qxYmgBLeuWMJfwVxdPSqVqTrF8OWkEQ5y6yu218xfc9ti6p lVU2Gshc/PQK8dPQ7RRoi6qU4m5S2yP7lVZhkmErKC5Q07VlkXLXxNhU8fVMQJ9JAp1nsgy7uHbD HY/7n+YbbLUWBGb8kgBAvQj1QK/TBuWs+4QZW4rSq+LxoFl/ER+cIboLP/dYzEZEvWxaUxWsNb/p aYRh0gw9W6d1xXJ5nBqxf1t36C7wTuMcE6i4Qn/63DSFNtLgyqGFiRrdvBcZg3l8SdQGYu1ejDIO VltM/Dh2dvh4tIa+rQGQjjUB8TFDOwqn0Qw7mWrxpJXpplhAIzveY6VhHIUdlaflnDCGpIbGl0I3 qONg1ItrB/ofdTsTKPDgK5RxYWcyld5RON0k2ClzgH7NARrMX4q8/wYfDypn1TeZlgsSnptv7Pdo GiJ96WpTYaqEGWKwOJMDhEFetwkK1T0UCiUrD12AMPzM4N9NCfME6LkUUjIykAbZGHY8zCoZ/Ud8 R1AX4gRB+MIHq9+fcF+wsdb4in8KCuK/ZRf6Iqh3iKAGDai2brFiW+4mfdLx7QlZ3tUnKI6mDfua fLAJ6ohPukgKm5kIkWkN6GASRVrydKMwN8d3BBNEDfMCQSobFCs26O2qj3hCoR1zEX9p4+L7H/2T HXIRO43HkvOkrmJwGrTuEZyhu2/7juEerHewwKPBL/rzw6Emyj7iuQXOLjBpXNZe9894OkAqnO0o mN7k1Y9F1jgHTVA/R760f08MhscjvcWbbkzLWEu7PbIdw6cxQ3PsS5RaziTjt7p7iwlQ739TEcdQ w3V4pIs9BuWrrYvs/NRNnmwVIu/n4odp6mPa3u1d/6nvkRlUc1DV42R7W1TCs2nxeIBmWPs7FMIL p9uK3rFUHjNWilaQKWUSBJAwXy5hnsgJ4QTFcA9VzvjXzvJMUC/wcUwSqTq/9ZbEUAXCuhAdg9DI KmcUQNmh/jTrJLNLmqFf46gBWR7139PC45HG0Hc+BuZ5q7cBtCO4B25zx5fTzqU1hwyN7KXF1p0H tEOpvKVTWrFiemJF1OnP5UinunPMzNyG7G5ZigeYQTqo8rBtetdIhIkaQ8QaahDcA+oh/EXYQ9yx /PQ3z4WQ+XFdAnao7gGb3zws6OmgQRsPqDZeYzQgR2qgCfoD7SGX39kejfc2QIsrJEotGAqrPNvI jMeGhiavVlChzy6+IwgVVeyo6w5eNtuUBUF6NfB4cI1ND4QEGOpWHNcEhcXpXCmhk22LJQKGaMXR 3UahbQKPkbDuOJjWKZ3uYBMEjsveoI9RKO+ElDzIO2gvBQy97emqvBDwFf88gjrFKDlofgpVsDg/ i48H6eot3m/5TCDbuTZJO4qlGxpkU6kpTF1oGE62phTBzWdCmRk/532LTame1LV88B4rIOsTtyNE qkjh4BnE6lE6Z9uUmZ1UGZreNYoUWfIYXEEcDFE1W7aaUBp4PMpX668VtL7veSkYvM8QQkXaFUva A5tBUA+JdEKl5oR13TTwUxhMk97SGiEfHPj6c0NgZEDWlHYubEXTDQjnEjgjyDg9WMybOkysQb+H /goTu3B5wDWzRohtViSu3Fue/IC/0J0U3AEV6WG6CAj2vgCgLlqYlqLbAQF+OY4nxLwV0wRiSpMC Sk5xSM2qWerDB68NbbBigaxAdr+hnIPa0JyVrsK47ueD+BjbXvdfpDtKX9jAb4F6RGeJGeYufD5S XfRZ4tmOTYsevs/Se1iNxzilxZBViywblodGb6bMBBWT5YspQn1oRfROfQYOXv5ALxQQaQoIZ8Bg WduD/EDDQUJ1eFcyr/a2/5KwoE46BshY01oV2t91SW1oLH5AEBfVX8AP+I58ZWc5RZVqMFshMmUX /6J7sSrVdCVienZ2n9XyaScYfJBUOdmdbleJ+qTenaSgymkCx1fiMNSMAROCGrdPBrvtdSMgI420 h2y1r74gvLmTMrSzpvh81DT7TtFQzoAzz52lKMBGpR4nkwvGINeyUhpRXkQQpVtKyymqVw8TQpnL B1tMCcjVkiQDC4MOqnpBXxhCWYXg9kvAo2UvvyVoelDgdBWCzzko0T83HEno8EXKfD5KQ8bXwURF 7JN+1TtNYdEa5aJzy1vFMVEDCwxpWERlHVJU2BYSDR8UnUxE6SE4tMHrRbT1LUahQuGARWpoJNue G/XiiBgF4EsitB5hojDJs8HqRZsuX6ionVyNVfD359M3GA3UycaGhU1SKPsxaDxRRXsBDuRhAxcX sCK6TBYUKYq1ZzcToO2D7TgQp0bqTK/3iU4n7SPlrE7vQILQTa1yTHvbKFailbZ0G+rVbTihUlPg BDwxn4/YnDflp8nCJFz9maVQ+4M3cqlyG3eBfAoOQoJZ/Y/RRvJzKaxes8nYq+T06tUVPmFEh/1x p3NiO/+Z9FHpHtwg+9bUMULATQ0PfklEmmbaDw8LDl0FjtqgAUW56fMQn4/ajPMrYEK2Cp+qO0lR zM17QmZsUs51RWr4sF3zxOJks5wiBZAqbPXUsTTvsQSXd9xGktjZAFkTUV2HFHBp/3sF9eSHnGJ5 ago3wBHdBi0lFOnE/zkHIp8P9lv9LmGj78iE1ScpirsRpoGga91q9HG8fNSv8e+5yJNPUljENu70 7j54mX+fuL7AC5jt6jzpMQlhb6Jc8es7rqigva+3DQpIhfX9JYDsaveCq9mUiAZjytD15XYbhZEB 935d2cEcOimuUmmMszy/rV4AmD37UsqkRHGWAitFKbIhL6EmGgfNUoF/2TgXGE+l8+9nqWoKoESC wBWBpBMX6R6dAy9FxWRYQ736oAxuwnePRze9FEMzxZn6r1MJx1e6yJAcuik2JrnifFCyyX2nTsSx miW5c2x3egvsFKEOSUmiJKXh9Gy40RJA1EyZFuLNZo6LjSWqjJr/78Z+ju0Upc9oPu2XnJQHysGM m+eofD6IvH+Vkgj6zo+TWWCoiMfQQYadmBJdEfUaOQ0IC6kInzeSMF5woaOiOrNzMxKa+/ZEEtTP 65Bg9TnBJ8mvmKxCZgrO72tETvIbvyTqGglHTKXGOZgGJLrYE4vJzTv4fFT0/waDQkG5tMfHPjBV RPca91vdJsjcy1UcztlUmqkKf/dbGHcTf7YKCSAcbL+dtbqAjpdbOyydiBjtSP0zSPyNpoGqarN9 nQNbRdOxXGSD2qCVlDD3xhSufD4qmaSvHA7Wyecivkd3aKzIgIX2fULzDb/fUivZDDwqumM+SSGT kcb0QBD74HVbtHbaEE3vHNt5LLlKo7pSINcuPLJBjYa9btDlpzr8Vl1p92Zqn+Uk5iQaQ11g8/mg R3uFhyX2icJbf47uSLwaB2gfq1tx+/ytpn6eW/PqP/w5l89SGHWr7r9Fqd6XUg2dptnoZI8e5ElO yCuAQSeE889Rtr4OJcrL5NBekSbcJ7olI208Kk55dkn7nVkvfD7qIaWv/QbsWK4XW5wDf0UDF8/s gpZDXTZE3XVPky7oYiLIBzeEizDhaMNUoS8xH7jWc1JUAWrKKPBWJqDmRPoN/IGSGIMaX6/Y60YS 1k2R5PbBuv3FiNVn/2w+Hx3d33kuRJpq68/RHWqEAD47knPPB1lLJvAwy63A5TtLoSFMNguK4oPN ElrWtBhQry3BeRf7C8W3hhpNm08UUKu9bXR002Ug7eWDYqXeuiQcQX3h8xGO/y4lQkzYOZ037A5c Fk+sRBXX6hLE2BMGoe1XghgHrZeWApvFm5vIIJmDzVJB1A02okyVzx4Taw9CLY0up1D5cGhNHfa6 gWC8wlCqPWhIlpyccJ5H71yZzwfJyfzVcgPKAkbyPksxFJueS3NYNZdlA7GuXHkX63l5NTf2WuS9 dX7R5oPHSuVEGXULGgEC2WAjOyM0b/jZyV0xu06Zc4dmi4X8c7TAfLDkBG6yqqjNxuej5OT7hiO5 uz4ZXInCbjivdSkHi/OQnIf+ZSa40I/WhitRyXsNNd7G9sErJgDBA/IuqzyoHnOScJlRUqW29QAh s71tUAzgBddpHzdpLioY28kGB2tnML3i81HF5FsQg5aY6Snlho6LkP9FzzarrDRQwjDJ703xT5Kv CGvhSgotF4f1t0f1wTM4WOUBb6Hu5DnhmpzOmN2ecxwoQCsrreZvG51K6J1MuuVp0NFd6aDGlVQm nw9yk/ILRcJeVX0mKZQNGXSJmdsQtTjzxb+aaHGbbAhNtDRJYdQ9pPGpevejR3wOt7OlG2mg51BC 7tDodgYIJg29VnY85InO/G0jpE0j9UpnEopEU62iDTU2KqPvtfkBUdg9vw4ldHEbDGZ8lkIsCcqp jS0oXHBltWyHUsLlo7JSQ8xnsxQis4dUd1LxwbsCEL3fizphaaGbhfk8C+H8m8H+NyXGrC1Qqr1u gAWopCgWyoe0wu2jrsBs0po78QCfj6oBt0RJPImUfm7gHZovAup1YiSLHiGz6LKgk3mfACWSykJI GbgvAswgYzji9Tk81bcOH1kWls5xVdX37SSXN9Jly213U00tx+6L/PsG1EdsUEgJJSAzHUqZz0dN gV+WKADdjK8dF1W7we6Fed706tvMDiqR/6JAJXP4JMWoElkRcMdxsLN74uddQzor59SrkxFkEx1T mg5WWDJZxxw6MGbCSlYTwrZdXx34PnEpwVeNzwdL6Zed8EQBCpeVT1IUdyMXPgmRoSLPKT6c9Sif EaLbOkUuNEmxMB+LATJe4nCjgAHU9iAjCyqbFk4llL4ahXjzbZzQxjOHJoyo/YKLxdOpTA81SiaA jitpDT4fhd3961QCUL8++qA5tGEccPjKvEJZfNvGX0MPzjBL6OjWu93+7R0zixR7yqPYg1OoAUWI SWrnFByGLiGBsRB64JPEbc8vCSZJXg0UatLgxYCTPjDQYfEtNGIsv/AlLGytJzcJnRjPK7PE7UaD rbnRYEGobQCTRixy1wcFUUASeqvfwYvdDYV+irecM4m2AzKrZI8AOkNfKqp72dsGCApU5cDImj7o TFqLAF7+3Y3PR2IZ31EA6ItnXfR0Z+k96oY6I/xj0vDi27yz1BvrAvypWdDXLIVRN4FKvZAB2ctl QAJRmGAJaqj/De924m0xQCplE8Kt+612e9sI1E6s20aqpMHquGCqC/ZfM5+P6krfgFLY69R03b5y aMlIHMMY3YD/o20jqANMbFZNnZJ/2m//8GTkGko6m5I7T8DlHOoNcB7DfkPdvKhsANQGlL++8JIE befQk5FHOuz6tg9Wxc1qj6F6Pfl8sN2u7jUnFNJl6SmYhK6MoIgA5uYNgVXddZDNMfkvA+zgcxQF 3YuVyaksjoPNEUys4EUhyQMc3FKigYZ6AT2r9WeSRre3jThaLFDSmkKDthuQqgImt6yX/EskSzaO iELqU8aNvRkhnHEuI6tQSoicK6l3J/WfOGeZGXwOzRn7kuAs46WuU5DN3LHPrbYnBXyghryAccRl QFFpbF+VfoVvV8s7tGdEERDpLTsCHJSbnAUh+E87hyOf/3Oa1CAHfuLpeYcGjaAvQF/ELT5hYWL6 Il8Wn7t7ifIfDo3aRiLuj0dv7eT37YSUIwuyhIVVlJwMlJTGib27h0ostPBLItoxp2cRgctBiKVz Gacs+kzWS0Y77vtUIm/woUTm2KOR7fk+Vb09p5KHShk24j+uMTK95R2YNLrJ3knXig+em8CZaKCH g4IJlB9RC5goMUEjjUH9o3WUl71u1M4luoQ8Kw2GDDj/Q9UOSE/w+T+Jo4woAZZCzdynKQq76QoC srqBTGBALurooKalgiWEVErhQrPGbvIYNHdoV+QCzJGRoZqxSY0scsusgArwIGw/agEn6UR0e9to x7FGycKTBq8GnBxBUByUTAK7xv8ud9PPEivEJykKu4ny6GwQioC8/VzK0kGQ6TDuSk1SBDJp8hwS I4KDryV4oQ3jaJ9Mq0+qSiREdw3aBOKjqCewur1tJETLZkBRelouovukqmYO0nkshZDu67VH6UiG JvnmJoFjI4glpCaZK/w5sFuzOu7aLs7SJnBqtuH+wJi0dAcPA04ECdUjNk4KvYeJfTtXFMRypmHf bMOlZa8bbDjaO/Q+72A9gU31MiYnnc//pb8mTyxSeW7cHZo2gtzrWiNA4qzkJRPr4WbK+N3qW+ja SBGss4ZsRd2eACBhE7qNLCztNAGAo1sadjqNv9EA8Rplb/a6EUKQeW7lGb4ufvzkNrlyYcEckM8H IeXDUSqKs9BH6M/xHda7N4Q1KnV59U8OywVP/KdyyyVq6OmOi8mSDIPkA8LhdpiwGhMgkziec+O6 OxtmIebHEZRY4FTERKJSDswbFTrDk3DdQavpfEaXQMsJJvh8EApcEXoj7cAI+R5MoX0jmhHnIi2G gi8zeao7cvEsLpOLyVkK/BvPBzWZFvXsgzUrMduzkcEOv/jkHpgIZREyoyjgqe4grz0H/o3qW0N9 cTQfFHtDebLLnRCLKTBw/M7iGECiIwyMn09SiPBOhWe2pboz5cfPmmAvms6Mm8SFDo7GV9qSQtq3 4J0hBAyzcxla15Kl+oeaH14Rbd3uSZwsv3Ng4ZhtkoZw8Bws9h5bRmGIpPl8cMXdQEAhLzAcTyk3 NHEEfLPPnZ1PUYkQZNs7NTP9Bo9m+iRFofeguMiLaTO6uaOgrwj7K+T8SWEn6175W2SjZJXfQhfH ykLLlAjOlBb4j9BvWcI2IJDxAyJlv2+yQEPbZF/f7xwYOZ7vXbRL7X7HUdhdEMFp8iSwVUgOEYyd HIfSOE1WdxvKheD0nOUS8iXLa7Oc2YkZR3uvPZpR1D7MgZGjGJrs5A4frEYJf3tJ9gNAETo52m+M OWIWB9hdfiq5gZUjPGVpy9nVoOys0CukbNONriFvbVK++R9ejvzT5WLUv1yM0CFok0rDOyW4pato Aredim7dLo+8X9v2thE2QEc2qaYcNEswXCKIGZ1dPh+spEdb68cQgvNJT0I7R95vbZpGgtNNN29I X1QnFhkm8JcDP0fgVaQC0O7glaWTo6VEOA+YAieqVCWJXpewm1vlMVNT/S10dGyU7m+ThxIHa3qf 6dXJvVPl80El9/ExotQoGlGPHVYOTB3tfpOKM5O40dzeAGLFlsTlnbwNF7g64oNYEFhEwnPwWUIE QAAXYso+i8WUIK/TG5RmoE7Omf660dlt+vTLB00TTMuVgZ1zlc9HtdxvbMA5bxPO5OdYCrX+MjmC 2cRbvrWh89i+mBAR2zRFQJOqCtwkZomDI7syoKC0M1hrAxrAAlQj8hJq5mrDfcmQ5cDdUQ6kOJBI e+dgYQCcnXnyTjQrA3vH77aAMl1C4HzHfV5DpYoogOHvVup//mm5tVq/7FPIKdjR/RooVehFEmpa 2dE2ByYGSvmkoGeZC2SyZu0qjHfqNW6EPGhumog/ejWf1zCpAkgjhXqKZ3NQ/nZOOumztrbw9Mvs VNQ9FCTh/SxKAp+H/+b8KtHssB2winVyz9ot7uFM4ppQpgT1MnkLZqdTMnyK8j4fyju6SEC9ibYE faQuRjfDcuwNKqCg+PBA31+n5wQY5h8y7mANE9SpeFEVVHBfpweRQ7PpEUae4tvdZuc1ODoPUXu9 styA2amidYE+AaXliwYAiAGz8xoaVe9MIqX1wdcOWiVzKPtvuzKspUk499tZO/kicHlDfV4Do6pu EHptoBlrsDt/ocvH3H+3z2tUhKm1gmQWZBLdlswSOybnNSbC0gHKrZHVyo3FU0Nk93TJ7r0nK7S9 RkTVFRBXYeWWgx09ZUPXuJieBJtSKmxTSveEfm081gZEALwGRFXmFmdOtuglSLcZ2CAigj/6+cdz M+TPazyEP1R2i8TDICKvFL+02XkNhqp8SNGQvYIt3vvP+WoltNEcmfwaCmFjEWs7SRTV4PEiEKMb CFJU12o52whLpyaLEX/wLb6vGo2/o2OnKONo0wddXvusQ4lGnRDs8xoG8elpk5N0QqH8aP/i8xoE YTPieh/cwjL99nZRrgJGNEGRrJ39GgJV0RBx3JQ73JTjvDoCFoJIYd6TZWlw8lsgiHhB2rV11hgN sILZWUo1eJBrsPosfNGVs9fyeY1/6sNN1tIhIAPys5qd1+AHJzmb/XstCxFlYmSmIa7V3/HbyvEh nB3uKJJcNNjsnBdGn3GxnHY2gPnNApbESfqBs7lnraRsvcY91agRUJ/IPmjppBNqMRE9OdH4vEY9 VQoUmhyp27XkpTQKc0dncmWXv5ilStYBNNnlz9Zcg+OiHTuvEQ/OZK2d/yNvXBIEOhbL6ufPLzg+ kdNn4FIa6I28DW9JdvBV32+sSXWWpQ3GQWtnFfE60FLJn9dwp6rqYNPDA5xNJ1rOUCQv2lqF7MTi cJrSXaMlb+8RNUplS2ssOJUTgdljsiQ7ZOqkrVXWQA2E1TNIbDEwgjbx+TZKzGI/uT8hof6vNUb8 gZVZBfkSGqwSe96OcwUq7+e1woiTcXm8I1o2bq1zJvq5/Fpf5JLNiK2nC2yU4gIbU2r00o+4OlHR ucwS4VTTcD58EbjrouIBif597q7UFktrCRA5eBSjkZkuNDvpVYODeQkhouUzfPlUSN2qcVghNPbn rcWEnXYcqNdZPBiFyyebQGeRNH/OT9sOxyotOxxrd+dmRfGyumdQPffB9xcxWIscI4rWbaJt8LND UTn/7HKpIrPZu0b7iwkFKR7LiH1YQAyecX40QmiiiHnJw8OinkxBcxoWCeMcTBANQHE7clrOMX0t nc65aj2himqgg/ujCRLDb6tetm+9LMEbhHZyrOOfTGsT05ewUjvwA1nQrPpjluD8kuCALgStN+FE myOxzofiFTFDufLx4ITe6+v6Ipd+38v9nbmG+wvKz1Sx5BEE8UZbQtSz1hKi9KfhsIIZ2uTsneDl Dn6BpbxHXUmOReOE/Vl2s6i/wIARUDxLuZYmKIqbexO+mGV8DtYzO3Ei+ZCgNX3eaWtYDGbemK0Z NH4gQ+0TFEXOQLmDCmR7bJaHauR8vxPRQlFBNdcodO46fQYZtXIP1wTBIv4ko0Krn0hlibTWEDUX oMS6zBzVoBbYIcq6krREJc9yK0A0QGSiCYr1552yVmWA9hUA4VqgN4Mm6D14TrIhHnsZI3u2feUg ybQXF+uBO75Hz8jfVKFCeVCDHUI144ovRT7NJ0qTqM+kyUuXQJtsX84Wm/au0SHUfpk32k1w7r7U pZl6DrzPO1uNO3R8H0JUdafniGYoiqA7LEoLlcEoulqXx4htFJuss1eHd6bfQ2gc96TODkKvOPg9 n8AInUMuD9iucn5C9EwuISujVmpVQfo9hJbVcaEJmw/qbAALsNUja/XzTlWrAAd5mCg1vywsyq1s xGG0WMbZuGppVu+4lhtGnwO/2BRFcbQw6ud/sg++y1oFG6Gw8lPbiZplKU/vAhaEceda5UekxyiQ blUFRGlpVdfSKid860w5TvS5P+88tfq4N2qXUWonY6trgqJIGhniWdzNKmN9dlfo36UnRzfwduVN FoXSMvt+BOjvMQRr9LSrBDJRNZMBaEGgVSnyRr0ioxZR2vidpVZNgR7F7eqDYRw3px3brM/PO0mt Chj2Xf4BPqfdg/o9mAa0wRWf5dQskAOXENt5WkI0KuNBHUXT0k5d9P7Q4MEQoqEEjToKHo4qD4PB xjydGCl+NL8aGu/RtNwFMTOr+mAAxwmLSwbIUKuJwum17xKSqmhFJ3r4DEXhNJlXVdc6BFngv60K Wb+kkJYBkNImi+NpTQ0jm/VI9ENNesDbXQr0GUBwFaCZoJx7hymKoYnn1LtGM4RgqNFtRoPOoVlz cVOw9XnnpvGk/g6GYERBbRyrIEbxNBQrMUFm1FyUebCE2LLVWs/hmu2gfmemVUc2zrGHD7bJxgl7 RiX0CAagaGVRJgMFp8oO6b5WKovp6jsxDcu9EEDcqQDNQafQSauS+RWV/HnnpbFW9avYcX7D9iQc 77Q0TOsEMIYu5DqFiLaSJ1i3mlmbxcmy76w0RnKyX5bA8X5k2Ej8myD/ANO4CnDXbBrC8gIK4EC+ P9y9opeNSvQsklHsSINSsnP2T+PKtvl5J6XhmE/f5zT1udrNON45adWsrGc2x4sT02xvhgFq4Dhr SKppCb3H0yjVkc+QDG297hJCM6xSofSc0xlqkdRgT7jiOwoKvOG95EGpo3dKGsIhlucXa+IabA2d TZzFIqrt805Iq48pmF32hcF081L0OyENu2xS/cFLZp35sUyvQGw1DFrrdgy989Hw9SztTIEZ5xeY ceNahzIpQUNwdCLSIyFIpwJ3wUloeJhO7d53Ohq+hGDGQulvDdbnOaenYYYyH48S+/aVc+DArenp ZEQhNeqYvYxsHdUyrvxzr1aHPXkVWK0Mqd+paDyHrBI9fLjR0Og4H1SQ7ielZf2xsFyP/y5Trk0H kRhE71Q05J2FsLNFGjEHpa0N5rSsfJwt/XlnouG6XeNrhgjGJRpeMxQWpRv6AOzssee8n4Oobzcn LCQR8iCKqtIqDa3C0hkHP6krvNJat5P65PgEUzeCrxDE0FlOJXsZPbzT0PAXCsSovnO/t8H5+L63 ZC/m+ryz0GyZP0V7eGqXvu5V9h5Qo5iN0lmpVrXvT9V+Uo9SaSuUmrSE3gNqnNS6w6xCdBXEz4mU YD7ZRI1di9c+TurMc0jt/6c2tPSyQXFx8ABqlMl6igfQcVvqp6+0P+8UNJ659y6jTBb9I/vdZWFI DR3IITtU4ha8Ip0LIjlrbBQvTr8z0PD7cnttsYc4aIrQ51nAzYsXOyHqR5kR7RjEGvuqQKIpy+8I ZkiGPHXKUOzMsXk1n/dfNBLGHvi8E9CwiKqfQxTZxLIvVDLXFIUF6gVFgVpMqL9kx1RDl9sxC2SO 66h+j6m9IY+msQ8WMcKLD+0ZTtGANilX0aDqPkIKquepfMa++uedflZNPwSaW9MHwwjBgp1b58zy 5519VmXmqflgDsfiNJmjmqEopgYfBFUzg+QN9OhNHouUHFU/FhvQ2GfvMXVmFQXGK1QR5+DVj3Pa nHinUa4HahsiFov6OxLd2q77nr9rtM2UsdY7KKZu5y42x5m8Pu/MM0Sc41dAhDLFuHfZO/EMYRQm qK/mXg/EbYviMaqHjJvblZ3nMKbWLpu8yjnYBNEYFbotmKCTP51DW7SrRaewsxr20wIipuOdd8Ym l+4wxtRreUyd4AytvsUJrj7vtDO7br/KQ5tSSp6VvbPOeAwBy1GmMWG/jqFKVLKOodUMkv9OOuME sX62kYRq8Akq57KnkwyoBbPszYhpo+RI/hGbMUaAKXrVaH4o89SIn+Ng8eI5e7aAC+cUeGecVQkS PQtIwr3tzk8UUUPQ6SRl3ZpkdVxW3szDFLFQoDb49DvdDKufXPMttd591XpRG0WMU83Tqe1Utqr9 QLMPug3eJoeAwe9kM+sGs2x2B1tAOOd41Z+F/nnnmrFd0b+vetw4s/sh/c40wwQBpdhFyIPQab/4 8j0Jgi1ELzht8Z1oVs3TCTIX2Qe/x06eBDknTtC5xdakANvkLYLDOdNYTuKqVMF455kx46DmHAr9 GPCTVFtCLfEMmeebP+88M1Y++tcSovl8vwXYd5oZTy5kHNR+0CHtTY60KG3CJdRFpcIMRSVquXyd SLD7cLcYGqyIfSFh2GaVP9ZmJQvw6ullj86c9Z1hxvmRNF+5w73Eptj4fc7PO8GMwfT6OoFInVrP 9LwH07gXKEJvZrHnV13J24jNpS96IvKJ0xPVpwUnh/KeDzY954Kp528vUgtNhaJOEC+E8hSanZP9 NVXwKUH/Ti7DPamSGdV7NWiHwZ5cLsLnsPu8c8uwEvL3HdaoFpkcxfBOLcOXIqmnCJVAHnKNpTJv 8+T1nIbbSovvzLLqcqonxKk+eOHspPUJbpdM6s+Zs3jNFXQYGhyvMheUkab61sv+q3JGRoMNKnvA mpCXAnbu551YxkjTi6/0Js3CR/oMRbE0alc9D+O4QkvVWxzV7QphEjD8lg/L05ZnyK55kFY22UY8 +epZmOhlbHY7zAMM936FUxR92D2nH0kvG0HMeKYngqgWBN/YuqHGjBDz6Ih+3lllVWj0r07r2XMt 30biO6kMxzTFwfo0CF7dy2od4I+67hUUAW2Kwvo0MXi7sITGwVPWXUDokzYY0ld28Bayyx/4GfyM x/9z+bv+qzBE7R7AzAbicM7QOfWE/Rgz8/lgm+35nZGx6dnWXUVRMI1uY6f7oIpnDGLM7dsT/I4y hU1RVKCe1E1b0nZej7YzgmnQ4yez+ol2PYMCQOThQNx/EC/6IqIlzzuZDD8nRR2XDOY4WGEIWmG8 vs9f/XnnkuEV8zcUr6I8UrsfRO9UMl71hY4zVsEfgKcYAjhla5NBOG/KB+OdSVbdnHCRbKDBC0Nw nkf9jNsMyI8pzyL4RqJbnmkYr0XUt73s+wxBLB5KV71rcAfQyZn/oQfu/LwTyerDbEGr9scgVftm 9e9EMma6kA0B7aSAK10owHZW01mS0yiJsGUqPw0Z6zuNzDrFiAFX8eEe1R2k8rqE+BgldVO+zj8N VjfyejAYcNGrBruMFta7E1PFQWWPMgVZwZIYn3cSGesOftkTf8XIIXmn9Z1DhiUEJcfBsgpg0rMk I7Se7xxSUqMQyBBn851CxuNMFDLp7qRHd2dg5zTWhtFILE1S4Al2gh2q62A2ORaYzsefdwpZdaVi ytbZYFwN8EPUJxvr804gYzz9nZBRdmPf0tk7f4ydFeo1GFQRivyu4mgkBOqmsiHAJRTH00wjtIM4 eKs1oehB8XT0olOTUj97iE2mOmitufve0MsGtz1naHVJXXV3RDhR0BZhDSW5zzt9jLjw/R0PsWOy PGB8J48ZHtOjaEA+Ut+esq7kDio0NhFeOqxP84LauzYffJOdnKYWNjlOPD1mKjywJq1gyY1Ckqmc FRUjvmuUs5KCsLiEOChghDaH9OJLzZ935hjr/9+gIYqtzOQtjnfiGLv1ibhX3fBd3RR1WgWUWYSl e3n6nTfG2i/PaYkvrEd/H1PTWqG+2oJFQR82QYuxOilc7gxGzNA7a6zKJfcF8AHxL+mO1r7H5500 RtzXd0JWUKaUogXnJ4R7ABHTh+vIoZFoC+icfu7euIoXhd4ZY36PnYRdKWu5KSs6ddi4i2Tfcx4t 4R4Wmt95UzXv7jB0h/kd76d030xZ2bLTYBkHhKCkUFH4eHCNVT+DcMnSCx3apzZBUTyN6prjYagp O5rXplNxA9CTu1rG+s4Vq6aOtgnBsMEzVqTDm741Z2GxgCJqx5T39w879TqBiLl/Z4pVSeaD40OV vX3Bo2lD5FD+RH193oli/Eu/g2nZD9/CdAuD6Z0uKQEZfS0uuE/DadU89srmLNdC6DRJjgnsaRt8 g6V9TpvEBYSTaVX10hII/6Q17ZuyUggG3xFc88CBQyVk+2BQhjlrNdJuxuNBpHixwYIRozW+L6Ml DKWRssJi3apmOnaoZTWTL6BKfxEuoKgunay7OpMPF7aY8yanl1iPE1HwFD9fxLr0JmPn1l01QyHY g6CzSgq8Bp1BLPobc5WPB5360r4CIejWnoXuZ1CPYumeaUqUbQkV3VyUZRDOHEuo3CZrf4+lJVdz 3q9piz3I1wJradzfEhyYKDWpDwsOO5BaFU9aKM2yWY/ZhihULPaiNNglhrfmJXT+YjweQYO/4yB6 vu4L9uhRJA0JD5zRhr7vfQ2vmqkLTUkGGNppgiKwx6DP9167+mB1xbQrIugh0ti58bMorUQsAq7D WrayMTL38R1BrpFVMGNEzQHfsfvS1fIDPACe/osXRSADA+t9EWc9rkxDYH9ldTHOXZScrdqmn0cn UESxD7dYjyrTlo0l2slxsD0GAVw4KUwpfJ3/T4EhyKD8SIsa4Ehn9GLR4kuishCBQoSwnGEY9TRD TJwyPCgPFDwf0A4vAYgFW6j5N9h22ByFxMNCK6tSHXN2g+mS3UbuhJ2wUsRB3aNg2vKxOpYPDvYo JxvbSfSxuSDzSU8rSGy0TWTcl4QlJSt6FEwXgV9p7KjB8NM9S7mqnFQZjwcndcr/HQtJv5IzFJEP kS/2nEnSwD+h7iAV61KSsjRIlWxzkOsx2IMUskbAFAePhSBP0RfYHyfdgO+edOHQqoT09E/fj1wF a4s9Kk8v12HgXY/cYygfa6Jq/6A6g8eDCRq/y0LIjMZlSfUwmgbvubFFKSOLK8fUyrSyUFuj+xoK KYhaQ1N6HvPR8zhJ8ElggZtCw/AkCYllSHpAUg5jPkaENIzGdwSXPUUYRjIfonzVBSDHr1IPzsoo nEaJ7tllrKDQgUYTFNan6WiJvIT6cLR885ye/9jl9DFtguJwmq3lmu7gAHN0QAf2AIR6UfWgwvcG nrMTlpd4hqlHRu3qHtWn9UetJp0h1vV0DmVzH0Iyg8cj0JnXp61dBDn/cW/794AaecpmnoF4h/Iw aCT9R6k2Wc9sQxegcLjLooB6E5AHMLAPNkUdMcocwsNA6HuQKlWxEc//gRX8UO1Yn+5RfbpKOa+Q pZAvX61DyVDhUM0Tj0c5ffo6hsBPqPnWXnsEnwYJS+IB0BGQrooJwiTEV6zgj+FaXj2CekhRAZhf DFbHUkR9ZgWVUgEXT4xFV+cC3TlwK3/wk3iXDHOF7wjCocmZWRLNWY6oOg9DUZUpR9t4PFpCFxzM 4ytR3bl69bWHMTVdh8TwxTlUpyPMS7/l6QRDGU1RVJ5WJxo0TB+8MITgDuYVLE+j8Uq9RvjI/TTo aebygD2IwsWXBGnrUqeV7rEcVBhC0GiNstTweESG3l9pK4WBT+rhl9mIgmow9MFDdLe4bYLDIIJs 1xnYuEYYM46oQL2U0Sek1RocMLTLmRZUrJF2tH4CIAoR8+BcKCuQ4mpmOvauURuINbNCGzQOVjqD /HxT6Szj8SCovnCYpRY2FCBup2xEUTVKQZ37SCV8FqEEEGYvhyV81ImMMB4XqHkCydqEwy3hI4eC pAHCouEyKOQQ4hT/menWhujRhe+IKOOTlhVz+GDd6IZbgH/g5uNRN/oWFxmDUwGseeo6Ygg1UPiz yf0UF7/FialJAjXJvdKKQyOqUFM2YENATgPbVqp9nN2OmopqHyc1ENdjkpA8SH681/1Z+APfEWA7 UXdcwkFhsB7HuTob9yfunI7Hox5H/UrM4H8KN0SfoBDwAVJgm553kLVsYh795vbA0dsmi2JqZC5n d3VlrmLhDmPcoSpKPcGz+7DTqgqQmb5qPz09l/3E/MR4D7IU6tg+WOJaVbw5p9BsePwvSQbGi4o+ PV4cUUTNcOjMvwE7pXNr82MnU2500tb8RBH1VEImjW4OnnOcddjy7lLtOint4mZExxUxG4Vm2m0C 0Qd9RPVpgidPRJu5x0AX4GY5//eooriOc2jj+WgJfd/2WL0FealNURRSY6V2HXOSg7dLDed0cwz+ RmLAHseIQuolGXgTPF8PtT5PKNluWZ/SDJ2BE5AN9CaRX5RVqJO9a5C50lYILjk+GOosbSp+gio/ 8Xh0Cvkeo7384DV/D6EQPw2SQsvL19A9m6FHaQzg1sAA0wRF+OlCqNQerBZy8Iss4Vcf9M6DFfqW eDCtQ0uhz+ejmEOA+QiVPdADWiyk2GBXPZI8oupPcoPHg3Bo+jHNI32jhH+TshFVqGG21GkaKUe4 6X2NEy9uT1shlGQTFAXUU2zWlcXYZI6UmbYm/MRTVCAwQmWKek44kCjK+pntthERJ2V8RxQLqfTa 7qB77JzSSdWds73xeETiSF+nNGum+zbJRhhQkxdNPThRWveyix65mG+x3A3XOaKAWknZCceTD7bF kuwQWPngYtKEVPkpV6Z/F7hIgjy+JIioG3WFZOEBe1DHl4MwyRLqOPEbng8y+/abTsY22dXOiQNq kBTIEsEamvNSNpvQMZD+5oGqNRQWqU0ApSwfPG9N6CJBVTNvuVZLLRgV1Ma6mvIUlvGJO8GXBMcQ 1QfWUKd1eKf1HAKVT4JwV/B4VPu4km+YTbDcn102wyJ1pUJMK+YDl6hBlRVPNwd8QImVmf0M42nG fEulj/WUPiDeXPuE7wNu+XPOZWlVI4upUKaBwbADz5hxzBDvUXiFbZF/b7MS6XxiLASRWjwe9Tnu RcZWa6fgjG+zGcXTBOBn3oL/YQaLXxU3fDp7o1CYOtfJRApraIaURJI1V5538F70xodmURRmAuGf iT0QyQ328wRkWQ0WNVV8R5S3JoqftOGD562p8yY6M1Tw+F/nECYDoWelsJQmKAqncckDDKCaGWjR LmJaEfnJ4LQhIOAmmzEjkdFQp0jM6lck5qQbo59Dp7EXfT4/k4CDbgcysfNt5J8ZqZXqA/PfRWqo aPnwv4x9aZIrPZLcf56lrA37cv+LDdw9Asnqzng1kkn4pHlJZoFYYvFFewySK8lYvxWP/8W2y/KN RxB2y2cziqfVbB3u+zI28a+06li1PnAGY7XOuEbNqUHnwIaLzBtngQwKlaLXU8UM7psBLdZ4LbdE TT7iDPEe4khBSMsGzVCmRxpPoZTxeNCuv9R6M1dGSbTdkHpGITUIXeeYMLu3Ll1E8e0Ig5bGK6Je LaIopDZWvczKOXjpA2DeRFYydIMTVZPwNyH/RhSjRaWcY9m7BrssSUdn3MEKjNsIia30iseDbuJz lWH5ScGw3xkKi9SA5kHaWneZZDdYxm9Ug+J1D6qNndQR5MOLQ3KAX1cqGEpwJ3hFDQYB40onO2AZ H2UMeEb9yONTsCoWGGcUUVd6UEpyXIMW0UCuJwWLMfB4VD/7btmznJCecyjCfKAHDN9XsTN7T9W1 4PY2wVe0E5NJfMyoSE3LTWRj1QdfQpgMUqtRxT9RgBpqA9J1DFRJ2XQt5aJ3jfqthhBOPljho6NP yV22Gh7/C7rIagB+B7ryaYJCPiL8upG32gSN2TxgzHv4BM1qxrgzVMvj5tqZSfq+5scoT5QOfI3k Gc5FMNkyGvQPg45g6dcwiN1wfEfQKVvUZG2cIA6aoLPDFH7hp8HjwQTdThlbIogUa7nndAT6mATm NSNFdTR3PWmVCRWLi4k5WeLnBIeQcg1x7dbDtcvgP8PkUxZm57bWLuxUnefRlZ9OGWWmZxRQ8/cG 2mP4YKiYNtuS/lTveDxCDdXvYEj1++FcqflPNmK+lFZaDWuPLXZlOEPU09QhFCp8TAGq0GPQYMEQ SvhgYOkmO69EhxrIM8EaAAdSvXf9oOH7DBXzCDxr0BC1wXBVq4lEDolIPP5nuMh/DS5HfSitK4qo wbo4EzOn8RH7FexsvRlHGlGwyXCvCPYxisw4KMepoMrixTn6XBAExjkN3DPXWZfVD+wox3Wflgr3 CivUmSkHlPVssGPoHJmS7Gy14vGAjzi/sXkZCWS52MUVFqjJU6jVeAqDUjZaQ9OJQWePePl1hbCP rpnp3QcvDUEQFMxq0ckgyS2HMxwXMGJjGc0K1Fjq+I5IMk91RZ1G1VHaGX7ZnKDz2RWP/3+wZzQs G1e/YoVC03IKbubsNmtz8GKT4BlhH4X6FYmf8y+uC6ZAA39QdcoGbAWsldgAyqQjJcRi8D/80QF2 eeMN3xEpMQ017JMPmqBzUO+pCVoDj/+ppswdyeDzWUJRQI2YqVNbXQ4cVF7lQZ1GcQeOk0pZj2OF CGqp4lYtofosoYXaaCrk28ELqgqN3ulQwrbgU12kIxi+I6pQs3TfydzkYDM0l7CekBTH438dQ+o7 Jje+4wRF4TQEDzpVtORcPokyozpq6yZbjoPXGvYrJCRKCU867bPfcxrwLsAPVDyDdfBghRp1rhMs bur0PTI6U+/6z+JZGtkHhdPncpHCIMRn8PhfLQ78esS/PiX8FUXTAD73Jv4Pe0DdQDFQ8LfAuhuA uvNzIoQ5hYYW54mDJujcAue4b2z28JShJBDBMY1mFjT3tSVUEsFnK8J8SJwB2CMfNEPnVzYpptEH Hg8wH784rei711TvEgoh1Jih2cyGhGYr3keEea+EhgpbWtxjYTgt3K820LqR3CoJVSFGPSC6ZNKk CWiA2x2yfQrvqDJE90N8x79gQ7MIglYuE+hcLY19RHTN8XikVeXRIuMe/DvK7WqCQkpiwe1VDcbQ u6w2E7v1yMTlLYmcXRd9CKGm+PSqz3ARH2eCesqicYACzxVTucZxurYvoztW8FcUTkuqam+iGDkY amiMwhbHyRz5iu97bF2JGFZfJ00abiQUYqiH+FHG2Sx9uqRg28ncbSrNNrSC4gI1dac7ifUcvPp6 djAU3bM4m/usLukwQW0MVbSMZrgarYWhKr4kkDbdxMQMpazDU9aTRe6um/5cp3g8atZf+XLMEOjF AKLaDIX1aVhKrNJM2nSU7Sj8zHKUCkNQYpM+dxxOMxFtmqH2zNCiA/BgTo+GK0Jqno9IBZEqQ+Hr xkJj6GWjLhCRZ1mczexZzUlX4Hb1w84OHw+u+rvJNJ1n97R+y687CqdR/Oytm+W2czoYDJU1nKjA aJgS3VGBum+VFdUN6o+26S4QKlxNli3nnC6sQxIxVGnAJiLwz/WV3lE43RhOj9GHD7aGcA4xAkyD j0dUl/p9DAE11i7VZb+H0yLIdPlkM2mlBR4nqCc2PriGIJmnCQrlPYZpeU0f/JyGi00SmyxPnK9k mRcQVBvqHCgGuVkyulH4jqAuBMQZ7FruoCU0z6+sFQB53P0eTiOvvpghzgZOs/qIvIcwaqB2qDRs nqTFRYZ6d+GzRsk2zVAUTw+ZJ1Sp5F5jJzhJLJDzqzKycVZOUflVzn/5R8B8mdqhuoDviCpnLJWI y9EulwNu51tsMARDOyQkpm82WaZg77OEQkIioHmdICgeQzVdBRQ2lIX3AO5ZExTjPVQRqt0HX0Iw 2EJFiHgGmJuxCEl32LMmuiF1x1M521E4bbKUW7Cz7bAzVCQVnqIdh8cj/eD6XylracnLHjuEUPO4 XPvSyZofQomsWvNvcabCjvmIUi9XYag9IkwnbwFmK0sNDjBG7rGOMKigF5y/zO1ZwN+xwgdxeeBo 22Blj10E9ziHSsXjfyl8SNIdyWO6N9mO9fLQR1zDuByjOdklt0fmPYEroRmK8R6sumZSWzl4YahA rmjREnGf7IMyfHRxgwDT5Fl9S2fUQNlRdTpJnmGzJc3BltA6b60OfFp4PFKDa9/hdIaCRvJgaEd4 j1SJqVq3Op0upmpewl2jCwEnKNTL2zqfy/bhRovnoppJIjoAgswhs1uZQa0fPULQ2WInekfVaaNs dmlSXl/KckKgna3RWvD4XxkZYyEEiuOi8nYcTiNahAoi91iWXXSnfJgZkiJlda+JHeI9JOAlRfKd H8ZdPbdYn0yGzt9/MvrKCtsgYgd9E5oocgUVmu3iO4JgMZGSiDzXBq+bzU6dqZNgDTwe1c08pxdi CIqL857SUTg9Nv39WCJj0374FitaVpV2KcMoiTsMpwmU2qSAa7AJOgdQwsFTpe+BcpdUdLFQwNPb nrBm6G/wK4IzaMq8TobRyQ2jAV5WW/BsMD4e8Vwc+YoHoaD05TSxo2AacmF9CsxZKe7RjbJJ8rI5 TSAA1vxEYA/pvQLR4oPvsHPHjL0EOTvrqNDBEpgG/eA/8g0wQcqmd43EPYqg98MHQ1ShyUks67kR 8HgkBDd/XfPr+5rPKYqlzxWFo9ks2btJdhHsAQFNK3qM6rbjKQ6mmWjoUhoPliGd3xWqOku+JBnW kKh7bkAROq7KTD16gwxRiQnf8j5JixyXPZYRf12NvO6aOgti5+ec/IC/0J38x1A/AKjVpykqUEOM Xj8uWBvnrZ3icmI7XE+YMXYtJIJivabXzH6yKpSLD46sqhNwMxZgsawmzTvO/89GIQGBKD3erIY/ tr1uhGkQsrNVH4zNATAPOxfnJ+fzQdrRb0jEsx2dif1Y36TQ2YWqXmXYalqtWmERLmJGoOq8/W01 hTjqJvskiZp/mf6dq3HDvyfJuQQGklw8g/ZJ4JCTv2lq5tXe9l/oqlm5pibemoS5DCvEZopDY/ED gsCofheJELt9ZWdynXq/r0mdcmPEtapzOBOZqirm46ySr0IKrRFp6TcGdQY42J4b5VxkuNiotdww Y9iWMPX5aYC+ZjbtPYPd9rpRMVaa5tSHk3YtDybUKIUA6qvw+ahc/V1rRKHpizyVUxRgY5Wc4NhK 0zivt9fS8jI4EU7G4mspKlibhUlW6yN/yQmvswN7pWNSguXXZI5GN1+ETmw/OSif0Bp+S9D1oBbO JjpXg0H12lDKhvuKz/9FKC/mWH5SoHzxjDmFZevK/it0S1BzTOhs05Y1QfjfSFRs5FXNU1i3nuIC s+TK4XY+wPBYU26J6KcwVSt45Ya0jRG6QYkYBfC9g5COoWciYK9cCZ9zB6c8xB07uRrr4O/P/1LI r+dMUjfXJimsXGPLVXlpI6pL3vEAjcrFUWbPyYw6UhRryzRxtnEHW0wT5qxzC1uNZulmdRugmR9S khDBuGDlmPa2UaxESFqmXTQH1UTQ2VU2MXfn8xGj8xcJhnrG9bH6TaECCLzdehvyYj+x7/BZSjXb 7msTuhd2MMWSetujRBu8PHvmBj44bIGc/Jg4MASV4AWfhO6ncZeycFRoLYkviZjTWaX9qqEYDwbS xQoFzkN8Pmo0/tL1RKQPgwCfpCjmhvYPeHiWlAy6tZiEN+tsRIRQH1wGeJEOCF1u1urqWfcvW5wG zRfIM9At8KwW8qt73WKN/RA8bKJ6skROsesL2/mbc7W2b+qCUEAOCqNNPh/st6u1g/kAbrAhpPVJ ilHWQDws5+TVsq1fnYBLtEmqJS+fpLCMvUwLvvjg0LQEjzHUHSlodfYeY/RWJ5VPeBIasKigwa+3 jQpIm+R7EqvKzQ/RBF9dQmcMKkPnl/aLhE/I/7zbLbRSxGyeqXFJq7yGlZDSara8KMxmRcgceCk6 7GEnxpYcNEkV4LEGMSIiHMEGJ1x/8JbCBYsT0ZmL9I/OgZkiTl5mblWmk/X6B519LDX4SjPF0E1x 7AuekWQKWmqXNZRDP0U0p+ElYEjZXnuzHBca2ZbjoknssxSBQ+TdsYd04Ue+1WwQDs5K6hK2qnXI QoimlJSRqfVmuers59hQMavGJuWmlm4hqfeic3eOyucjsVjfb8yJUfPK8x5KsaUiGJ6TdsxMdKWF ikQXSu4/1E1B362bY2DoqbjY6dhT+inX75Bg2fMrkKuNegA6UJykysTuxEnC1xp3KNnb/qtttJhR YFg6ufPefbK32lEryYGr4n8bCREXCGqXT1JU0z43Ea43K4yclbTdVjHLHk8KYNtP7tBXkeoyAFo1 H2y/ncyhNy+4QdU7U6Do3OEd+w3t2X2L2sPfNtpvlZQagkQ4aCWlM0WmqZsrnw/227oBJe83hCP9 1txy6K2IknwvFAcSnm945b+n6oXthG6hzVJIZWTrcU11+efT5UdTcNAdEkf3PFuD//JcbyDrZkrY XxJRlzFeaK+4dN6Twoahai0VdDHZbesJBp2hv+JjMq0gHYW38Wy4SL8arwVBh2IbrjicmCxYqywx abBZiqNuRgFDcnvjlpYqXHQzyaN08tgkA+CCA8K4n+9YXFs6lagxkwOHRWDWVHXThkvOCEwAXEiB +pwOfD7qIX1bCsHBD/6Pd5JCQiPFilpVjx8itS7E0zppV9TWpfSErHBDwAiPbtpH2OApXGIIRAfB gtoANQknDpKfRqn2RJ1LY+wVe92I58AGEnr7NlivFswAyRKWzeejszt/zRI6v7Vd8dgc2CyiaALS XnXc0ahpeG7S2jKyTLoKxDnwWcxuASehcw4XFHE201lMIjrAz1QwfhTeGsRN2nzCgFrtbaMLjo2A Ys4n1+9+ZAk843P0fLCUriOuXKd5OOQ7SSEO++zJs+i7Ux1Kd+rnyEv/nye5KNsEUnNgtehN/zl5 pXO4QGz026Z62khHeVYvaqo0CCbBfPdia+qw1w0k47eKuTKgLm5QdfbubGJvzpX5fCT3fRtK/Nd0 B7kgyBzaLdYq2qdN0+iX3VhlPr3Zc2NpidMUxt0iFLV5Bw+W6kTYRn0wtCj35FmN/41UfIm8Ypad zd820kktLCmhwa3BkpM6epL79Gx8PkhOys3gVKasuObuFRd6LiLXgiq6A0hmLc56WG5k0XZzNG0O TReXpMEE1+Lg3e2T4uJ+JgkUwWVWNpJQMqElEZQFHQvpbxvccOwsTbUoJ6n+OpZyqUR79oK1FNgu IpD4VgNFjlqxQHySorh7wIdptFqNBjqXwyGrigFdHjG+40oMyhY4QizH+mgS9woqNMubJw6A4StL Ty2xJjAaLSKtrkTPDH7JP2+4SeXddTUj4bAhcGQ7/4LPB0XKa96pFjemvF5309h6EWgt+rQZqJYo P/bWYTnIsxvAxeSTFMbdDANePHLrBGMbIF/sN6A0m1RiErba2e7r6jafaDPZ2wYhZePZjY42hrNp poT1Tky5yTLre21+QBBTXixJ9z4uC4A+TTGapFEdI+mKq7RTVWEJ9WRNU2fcpWkK0dmUkZt1LR/8 9B7oa/cm1vVZofiMev6scwEPqkKPdA1j2BDktwRoAFS4EAAUDbbjzqk0N2MPUO34fMSk+ZZPzbLA enZcaBlT6Greh3Vzt5ssm3g9I+9JZjljysCD0Y08txvCzAc+OsHwqjRFWVDeG5k4SqrCN7qcltvv pqRaDj0YUxZRbd/B8pOzfwXnyynz+Yic7pNEBQ9qs42rEJpDG8Zzavzworb6W7897zoceHNi/nxn KcSVKPbo7Q6OCjjprGVx51w6T24uN1x15+1Y/9hWWjJ1xxwaMfJYP/EoS7kcdMWlAl4T/u7z9/D5 YCmV37xHmFrkW1oKrRgBxAOW3fBto2bHtwGEaPg2zKJPUmxuTvzW/xgNFuyOzVYfUl1435mxLjGK qBUBPGi9E7p55tCLkdZDZ/uu4oO1vk94xrAZVmJ8Pgq8fwGU2OnJlz6bQzfGk60DX5IEiYR2d3d8 ieTliS/J3QsCgR3jTeLWmj7caKmf6xJq1pCbRUeOiJuhzYN9SICJEDh52NsGs8QwaVfSsHd1Gjbc 2CVjX1iAC/0Yy/wGKdH/kIIHNklR5A3hkLPLthtZdeden28ynV7Uu1l/6/qgqA9nVcriw8VKVnh+ rG0Ev6l6G8+/k0KDVPCoqe5lbxtccZKgw81ggzLdcyQZCKJxv4U4k0enj8+hGPIorOXAkxENpn51 Dog0KXZ2nzOXpUsU4Cp/cc1SbHSu1kkvPniqyxCQZD6UzBYIHsj/IReActwPbJdYMMQF2O1tI2Q7 sf9doNvuoNsM7Z6m6mzNfP4v+gijdLxyup5fOfRlRJwNSc9lpxITcvkysjxkRtXNEIE5Nmbc1+7r t+tXguBXl+/gHgApJ8HgeXSfv3peqImA2zk0ZuQaW5SmssEquZARE+JtTD4fCWD/miP0DVO+2y20 Ztw1sRXgZ1J2cHtqsoZvOpO6z1EUdq/Ck7umO1gQMMeESA32KSq8A4VQNgUQO6MJ3PoDLB3d3jbA Bgw6ny6qh3LQdhsQ1O5KN/SSAYLi4SGxwIK0GWU7n6UQv41S7uzNSMfyNeNKGnm4F3Prt1MZOjSq ZjIIKNNg2+1k6jNRpxVx94m/RxdZaxIViKumOiOyJHW9Q49GGoCfz5t3sK53Uhn4bKE0+PxfCFx2 BfD7wD7KZ+nfnEgy5uSZUt2QeazkYuEZFE9bS2HYzfYb0cw2eHZS4ajaqCCH+ghbbRWO8RlFpfEz xqX3b/pY5sCn8QSUOrMzax3zQsV7Q1+AG65mveRfea5URsAEmM+hFEbdndntsHr3oBy1DqVtcofA mbhmVg6cGn2SziQvH3ySwISvnRL4jOUrNxwYt5RJo6XyrSzlZa/7r4bunux6czBswEkz1YZbaOgG do2J0LCn3k14Yv/acCHKZKP+NtC4J8rE/4t/T5GscaWugTK40LDRoAFNOLj20EdXh23fYtSNijfQ 85glKMd2SISIsp2kFNHtbaP9hrobYlIfLhiHOmigIGc+/1e9W81bAEPh7euzFIXdkErGpdONVrKL r6UsZ2YWluBTZbMUwUwa+7SzSIetzIvFKee4hgkquwJwic+smcAx9aTiYLWspyuwur1t1IZjk5JI dQ1aSvAGFGS581QKQd3pF9e/UMfz5iaBbyPq3Yv+TRYF9Gp6fhkGwunHpNWJftaGi6NuFkuKLC5K uoWls9vASkIoB5QJGA88u3FfwL7C4G+24dKy1w02HL1mqMpkg2EpGxB2Sk46nw823EV2szrOHKc8 cUBY8G6ZHtbWFejbiieAmXTrCpwEz3XqcuzdKMlnaUdw8LB7LjQ0SEg6cTX0DXnvQ92YrmNQyblF yt7sdSOQIBPclaYPTuNKiXsISTSfDyLKciEU6gUj75xPOSD0b6TFWxkUykK8NNiYpKUKC8fccrjv JJCdQwNHSax1JWjauYoEJgh0qW4StzrULlGfBNEIpp04FZIU/kSjKPa6/+SUIqqzQSdTrVn4gHpi CT4fRAKj/kri6IZ8j+/QxBGwsZN+JEvisD28tJRmsySuIINQJBC4OKL6QqbAJrV/7+vNU9u5f86n SSQbqY5KBvDJIOYXRQHPdKnSwC+JJolopUX1VQ4KvQtQoLzjKhZTYOOILXdxudxewOSm52QKfRyR xJ7jNXlTN3uqm7b+sxBqQkBO1wdFfQHBJ+SXOq9f6tltqL9AApsiEq0MoSgam+GNl7EncfL9zoGV Iza2+G5M4jgo9oZqi2hrtRU+H1xy7V5yZq/ybdGTQzdHAIFO2GwEnJMwZAfktNIv1mSQXdr1QdGO Y+VtyT1kPe4hgAnS90pyNufvmOoIVL7dt9RGySrAhXaORT1v8FbQGKAgDW+rfiImXhnjHHz8gMja wGveBM6j+rKfYm7g6HhmaXIt1atH8vC4SZhiBW4R+KYdFwuScC2xdaHBYRRlnaiSGQolt4rkjU+e co7ids7jx9tgUAIxB46Ocik5t1KlclS5LRp0i6vEDgZAFKGl40PvEqUZFYn6rKQI4g0bbnBMDeLN S0ZBZe/eraRWs91xsamjGMpJAUG6FEEQws+HLqFy14LDGku3FGhPJ1Pc5craUHSIX/KPyBuCrskH Y3gheRDEZlY+/5e9wUUJEuhrkxTSKDvFSLI50UioioHAKNugpz3lbXKsOTB2dDY3O3o22CRBZLXR tw3hUoJkITETqL81ECfpjOD4t2VvG7GYGC2VfgfFAScZlFEotjaffz+61/7Gd5NNhSq2T1IYeKPe PWs3KuU5Vd3hoA23EOvn8vZmZeDuiCIloyVJaAvVY4F3okU4vRxPSLyIWoAe4hn6omcfSkHGz6FQ Ww78HXHR8MenEIgGzRKiAMXNJxzn83+BluinhcbaOZGfMCCU+yvi55j8xmXqQHooLV9LvXtQGXg8 4nQjlrKwU8vBC96QgZhL2OW9+ugUO+5EOWcQK8aNKaVElgOXR1npAo9bqg/acIBpO4hi8/mgLVB8 Lcm7a+IH4pxzmj6vwVITLwgK/krYepNKq4pLV3g0UekMR/drqNRAfadRKFNxDRYq4eLJ0JMy+7A2 ybscvHypzwrGkSn5Y218XgOl5h59G4IzNlh3abCcTuYWnn6Zn/OCW6qyKBJ5lMRwlqZurzESJocU rz0drdTcwPlsii0jH+RGxcslweQIMgFTAx88d6soJJLmsak4KhEc0qArdBhh5ACtmS/0++vsoGEi K5FyB60fEil4YBdUcF9nB2FOsdnRBViEvdfsvMZG5yEEvdTatUb3HIZ4O7+IY7mAB7C65GtkhKUz 5DGL/EvDXTrnx91Lbj2QDLTuZUYFDoongNQ73I2A7te4qMlKpgBrcQe78jv1M5D87/Z5DYra0wDQ 5FRMdEnZJuc1JOK+QiCEvqpgN3k+fPfpui0D8uOcnNeAqOGsN//U7MMF3eCuwCXAs0fMPqLdQe04 MyQHQkGUCQF4jYea4hn82vajI5tjiEy9cnod9NXz5zUcwh8qpyriMBCREzHbbHZegyE8hA6SSgrE uSfC2thnY0dFghL7uqcGS2cI5d5JZePgQXWqrMuzoH3+n7kQ493I6AYrj0Au21eNBuDRqVOYcUjg b15gb0vIjbEeTvywPq9hEJ429TrdeUA4niDWl85rENQQVqQfS/4kl729kl0pushKdqIXDm2wgsmZ rEbvOu/gsTRYf7WwYnTeZK8m4THQDhoKRRQw1b1VWN/7vEZA51VVKNrMyjUYmeQkgiLxtVo+r/GP 7RFNBtsCiP2FQ8LsvEY/OMgL4OzsbzJEZOvFjEOoDbDY7d+GZ3uNfTA71MCEFaEPt0ELVjULfJuA W8I74VBJXsSJQhD0WtYKFN/nNfBpjh4VdV+DAp9cypJe/7kBPq9hT3s62EWmGFh/4M5qcl5jHhzJ jXidbDEPus0GhWjZ4+fzJi5a9xrx4EhW25qtLA2e0vd24n7VrQt8E4YAkTg1GjRbsognVpIdfNX3 C4umV3NNk2DNtjwL2SrU6j8h0+c13LE3fDYWXPAKbaA5O69lRtxy0Pl5zNNGHfWeOuzOks1GGXnK jQVncqpSsRGXrV34WoH6BdmjmJ0TfpbBdBbdipN4o/lKv3nzKCTW/7XEyHiKdEgWhjUYPHusxGCn njvo81pgbKIkanZqtiur8qrh9LxWF7leK7uLVhE6wYlV8fPsDn44C+yq/ETRjuAzKd3BF8/JI8+i QCi5T3Rzgk6571FiFd0Uls4cmk3PotfKIn6CTQZEplodB6vmU62URTMojYVXlk+PSEZIzSsFgxQN RrEyUHVwL/AJYg/K0Fg7OQ8CHWUj+kUzJAUSlTA42AxN/C1AdlM2q0N8FscT7SWpGLzL5YpM4Y3f o2VV4gtNIX3QvbVHk1pdI4AmCpeXVRWLoYzEh/bj552/ht8F1CxxDxD1pLJd/LnnYTWhlij7Lnh/ NEPEz27ZM+75YIzSORXQ02d9GgKpSl4LPAk6X3ozv2ATFkLC/JLgfGaulSEDaIM1qsEd4wmUKx8P DujtgQ+iHCqZQ6LMZigKmrGuu6R2cQatctfQqHv4JuuXSxNFzVDbRLictw/PIXTivaqoGUxtM+8R 7xOGu8gRlXAtTVAUNndGhttQgMVZkMAvTdXvGypl73HzYwNa5LV73og2CjZBUeAMOJ07FaHi2pcf 0k1WjpggOHdaxTWKnK143+SK3q4rOqSxIXybtMmQBapLm9hAnchktl9hIPXwO4Kki0l7stPo0UE6 p3tlaQNYr887a609xIdiyCLEP6TSqsobBEBwsjnn8XagA12DpAiZ7wSd6+UWW6MJGjxoS+o++ASd DAmSHcIVAQBEsYQNnmWhhBmJffR04sXweSesNTUnqPrcfLCcPcu5VIKH73y1Jn32Z4Iyhd3ruOd0 FEBDyKoXWuP+R03Q4acQLW90Cs3sgJn3CDrTUQOSW6X64KBZ0O0qyGlAFY3aJHGzmAXRmKTdJpmV o6MIOlFkrBXczhrU2Dj340jmUV0/72Q17pb2FULDtUpevzZD70E02iHLzK7Mabc7DQslUmtLb6gS qjYWRdFdSbtN1HgE/eY61zvaJSaExPAH5WokJSwH4861so9Yj1EY3ajOP4Xfmxe/d4Irs4xsZzN8 3olq7fEK0QxRfilvjxTfeWrYmkvOaV5jFZuPbWL2bow1k52nFkXSatvDxNIHm6CBlILtACRhCdcy RfoJcYA2S6YUj3GLqG78TlPDOpU7KtAQNhjCsdNYBwusz887S83CEc0QI0PCc/rdZO/B9LmAGvBW LufTuwB7XEJSHlvs2d/yahRNI4eCUgaPIQ5+DFUsfYpmwk0F+4wdj02nF9TcqX40v9oZ79G06niY md580ARNlGAUIUOvJgqn173p1a+HYB5U0m2GoniaRg+WsqNSxshU9bG2TWzkXMbDOz5hQM0qTqKI gAYvkDEFK+IWD/DtWOXoi6H3uXZgH+9Y4jn1rsEMMVxESuSD9VdHWvTZqoQ0RBG1k0C0yQBFzPNu sndqGvIxEooURbOUuL2AOCuK6pRgqYRdYoLemWlf8TQrYBwct7/RQWpVcmzIi8n06+igVNol78c5 jdnqOzGNy51tekowadApdD5Bpejzx+TPOy+NB+WtkvHIAhpOWAbOUBRPo+3fUXe2KiIFzcwWzPF6 jb4EKrG+x9MIhohPGMpcx9MOI0KzWOAMpaDBeImCXA0nb6ZHjZP37GWjpIwZfVl3UFJ2vlCI+zrb /Lyz0v73KgOqjQqcmqEonoYSSp+lC1F9/itNb4Ylr5vBscc0/d45aazzycBRulBzegMDvE6YYdAF 9JxsZ2LY5CFtv5OxPtr1+x4UO3rnpDX3wkhV8WL1eLG0VkzmINf2eWek/QqoeSij7QAlIJuhKKDG AQAQ77KDmk4x6oMxOeddP0UAxQxFATUkVLC91A1r8zugHosm2Sx7AK1L7Bd45I1Vmd4vPaZTvfed jcY1hBmqQ6Wz4Vu5gGqlkzplPv5XMZrhM4DsbH/bFEUhNZTH+8lVLW0thOBKwUfcGNo60cwCIfU7 F63JSIEZfffBO4UnzUuQuWM9eoFvzSx1M34aFORafhKJQfTORUPeSYk69q1tMLznrIqH6olWPu9U NExR9qN6KOtATXr5ZfbOROPuhLclLRD/Q8H15YbWeU4HoRcaS/IkiqrSnKKTmNbug0/ROf0hAsGT CMAwSi+vE2Eiz9xT7nIq2cvt4Z2HxqYJwUJVHefqHeeGmnpSX36uzzsNzULy5yCiqQYRf5qgKKRG xtq5jVRcpFIE07I5motk1OqExncSGqMGrqEhbRpaG+ogOsc07Igz1xD2WeVqK5PqHKiHlny5DJMK fu8ktOaOKntpDV1OSTtZnVIQiE1+3jlov9YQW82bxm1eXnxnoDWzl5sEFDOm3sVVxMta3tfIxaUg 3wlozUCeqEo3HyweAkUP6CCiqqHERnDOmrSXw9mr/Xl9nfgd0QRtHdE6sE80Yn7NExpomC70ZT7v /DNeJ76GGD3BFrn0fg+isEINAdSiqx2Za9uedvR2pfrT3CZp8E4/a6ZEvxNOEhtsimCZA54Q4fkn v6NQE3qqWAk4oqmfp/IZw47PO/usiQ5+zuiizkS51lfn/Zt00s8sf97JZ3zcsw7eXPSlxHM2Q1FQ TQisBOSkRbPcHCzPle42K0bzeKeesfqhCzsvH2yGSj6HA+IgNVbPTiLBeFEXFnVU0rLNgY/Yinfm GTvbPIcoGzAfq1HQbYYxz/L6vBPPCFnwNVQ0sTA08IP6nXeGg5pNDtpJ0H+PSqk8qFsx9XWanxlm 4Z121sx1BgdJ8cGX0NnDZ8EMCqyMDR9HdovQYMh02NlPC2jauwZXGSVDEkn8GizrKInSLDhW8ued ddZk8GYHNZ9Dnx7gX5uhGNVBLEd3O+J5HUPqcs3snq+/3DvprIkPVnchNVGDz9C5BTKlgDL4eimj V4sz53wRXZLYjzEGjL1qNEGC4UnwqeevgFGGIfWEc593xhnP6fx9k+FMkk42vzQKqZGcQKlIHbFe VXalHcasToKlQxS32DvdjIdQU0y8fbgBYwURj4xXKKKfH9uww1vRdJm3zSFg8DvZjGkZTS5pbqrB VxBESbiCOl8xOKZ/5a0FV868EfU71QwTBH5Qp9QAzqC2i6MXkvzCCiPqZn3Ed6bZTexR//FBE9RO cjHQHGYNHwAkgTl2RR2VedK8+g6TOhjvRLMmz2zqzjEpgx4l/6wMC2jRkufZeJ93otnv2gdmE2cd Csc2Q2FADZ4ZmArWKZPflcDlbTi+A20tzVBUo07aW1A6s8G2GDQSz4qqPKXPWZEpl7UziyHIk6YX PvqwN/1HhXqCM+qD3WKAhzNp7XN+3hlmTf6PXykZuFPrFqjfCWa8GAhNzI7w6FdQ7cQWfomdvMXy jXd+GRNCll9ZZNRg05Ph8zlAoyGsGXcPyVUVyBy0OyfrkjqVKEP/Ti/DRbnYJetkvebrPpZ2I8sP BcNeP+/sMqwEswA1jAfb2hce9M4tw5cCAtMI6iLIQ4BNVIZOjLcc5FGb+Te+U8uehEzyxBy8dLZK ArCmsY84prteQScGrWmc0Q9NsW+9bBAIEXpXuTc0GAwYSkjyasaP+B5MYzF43YMYIcbw/cLLomAa xyPsQsyeMF8QcGpUX1OkWL1A/c4ra07k3E2mD40dg6keR8/nl6WcKohBiciyValTtDEZoPZ5Vk8/ 9HdaGctY5CWwDAvGRpeGVT5XwJLGej9L6/NOK2sSq7Oc9fzbBWF38vk0RVEw3Wi0O9xypj0pa2W/ VMUzKk5xisIKdeJBKwXM/Chgnjgde2my/oo62RBGqAMl1oCZH48JKPXiPu+UMq8MzVWYqQCw9kNc c4bP4WIOCDTb551Shm2299c5RKJNu3WPdz4ZY/CGnKgYXIiG7m74nS3zOPPmDoXvdDK8fZk6gJIP vs3OW8/MVuJGaJYaQWkdCUhDbouKha8hmvK8k8mIilPKSnsnDtZK3F2UUeR+n3cuWZNA5/c9BuOt fmGKUTANXeVzUBeXmEMYbADgVg1s1hMQIbTCeGeSPSV8NIVt8E7imQXk9lMTtJeEsiu4kB0o5UwN M62hTgz5O5MMR0JmG2jT8DtLoJEp665VmyzX+XknkmGT9u9gkZCq3T2YfqeRGRgKoiEIllFEG3SP HTQLoUMIEGcTHpUNGes7i8zgYADisQzLwZZQRfm2S0Ud7nFT2moNeQ702X9Y1LZOYrN3jTYZk3p2 7TRY5ewclPKRPVH5551DxrrDr04ik7uLA35nkGHdLpTLqBgH1bTZ2NnIdLOe3THklRMIqGtUoBZL etHEW4M3OdIJVKAohwlq0NAg1YyChCDV4qa8sqB0Avq8E8h4UOsYomQKB8NVgTItoPRYn3f6GOE/ 3wXqRoGym9K/s8dYfS10cBxWoO7ZdRyH8NOTFiFOt38njzUnRlGdxwbPN9b5tcdQ9XWiFoubC6Iy 5yIhc7o8FGlEdHzZ4LKvpB8sKoFxMMRrG5IdOTNfPu/kMetEGg2BCFBKirR7DkXxNHWKB2mPxHxQ ZNNcQPO1RG/J4ul37lhL1mzNSlnzk7KeX7qdeBq7APy6DHct2T/huIUqMgNIPYYbk+8age1J9yVd Q4MCxgEPXzUuav68M8ewBJ/qK3FV1BjPXn59Z45xYivjIUM0pO2L6LzANOJPy83LHu/EMUOwnSN6 qis9XabzxD1jQJlOuKqTA1H1HnygSplRrAGvemyiht5pY83t5VohVYODUo6zYVlfBxthfN5ZY0x5 /SYTeSr/UF3N5ieETQ96NE9LOfoyYSKovyZzBzsRw7BO4jtnzJF56FEkH/ycbid4ORHQlhx4Sctk 1fG6kCgu07UbsVT1ru/nNKTKECeSZs9BK+hsY0XXsOP5vBPGfjWj8SDdokE5tgmKAurM0p7UZDFB e3hVqIzsBgW7uo/sO1vsqQoRO63BF1CFSAUvMvaGYN9AslTRyf3DZr02JkH371wx/JaJMuBbxlfb d3FO5lKOBbE+71SxX6gqNespG+NFjxZG02jBjNWypfTdRXXgr9FU9OipLLOXayF6ukjjdN3B5+eE OyfQGcxZ5wl7syjTdDyFxy4ZQspZwezgdwQXvSCd7ItrsAUEsWxmZJD8alEw7WYpdtFjg+/t7Y0W BtO5s89qyM4maWtyuMpFlze0N7SAosp0kpZVlz/hrfkBP10gYCSm+O5Ad1K+AS3wAuwVwFu38qoZ iqJppCPoJ1GagYOWUIOxueK/xMeDXn3+xdzAehx3i/Uwms7wmpJHQ6UbiCGpIMxQrW5WuUNIbHmP pqXGCcjiuoOXPVBlbMYSn0hilghCKKNSBzxf2ipF//EdUSOaXdairOPKmyboSMqW6PzFeDwCB99g WnB0hK/zzlAUTcP8FxmreTh2wcoxQ4uy96os3sJQj/Ae1iITLi8/uLyWCrxPKpm9ZzmBvEoWg/od 5ys2QVUq/VO5oke1aYasE6Q0H/Ade5xIVNroJybF01GD7NaFOrNbCjL4Juv/rE1PcmnYhh6epuJs fgKhZdd8j2rTAtbvKdXY+ajGwhOlNEisoA09wWoiSYF+GhR+LGgqGqnXXzaCnan2KkDMJvaICUfC z8BE/USjeD4gHs7vnJWw+pO0ePG1x9RDXPV5FINVjXk19ks2hNUJPJG64KTuUTxtk5PFlBIfXeDO ibLHJpEDfY9Ege+F/wm4FFWydg48S/ayQTBEjZja5x0Mu7hBw2KAUyYeD47qCxoqHgzlW8DvEf0Q P2/PbFhhm1EJRErW5XLI4EHVZCPXQ7zHIiQPQYkN3kQEmy6Z3FApoDKy7oHIpkE+iTbGhl1kdbFH BeolzBA5lWhIL9bAkDAWcWvOiVrweDBBo34FQ/IZz57V9ziaxg2mqv1k/T7ZEmqtWRWtgRlmS+gP DmJTKNj2U/c4ydKagNdnCnuNxeSt7gEA80kO5uNGyKYTviO47CkSN5v5fnthCIiEJm3mgqMyCqef TcZ/y9Mse87awwI1QBeLenb/oWcpGFfK6pvEYNSG9j0Wh9M8fgsv7nWdFIEkzyzqYgVVWKBMk0JH YQj6KrnVp0lG+eoeFajprgNB5u2D6h4nxOrJRBYnHo9gZ77HWBwGUBfoL5uh93jalM9oT55ZOkuU g60/spuggBWkPJA1cY9F8fQWuHOO5sNdQidlhdIGS2dni23Fh5C/ao2Ijauov1mf7lF9GhjdwmKc D/yOczBMOoX9wD0Ej0c8Vt9jVdkbZNI8I+sRgJrGDFn2AufWJ31EgjDnT8jmZQn0qgpDPcJ6qFFP URQMAqMyI9swnUxLPQ7a+JEEfY7+n4ai8nhEczrSTXxHEA2RxwFBfh+0xyZONO6Rc1rg8WgF3YtM JHEiIi5ZqochNaT0x8WYD1bCzeFjWam6ZwZ5vO2j+vSiztkeusnGc5OdqThZa5akJwoFBN0vuvae ULiRZuCd1k4R3R6hPWQdu+k7oEGVoZPjT0lUjdTweLCI6nejNaMRRYSXsaGjmJoyXqO7hO4Qn8zI 4ssCosRrEqtoRBXqqbQBTXMbvNOacZBh1+OkPrt6GMiRul44BkEscD+drHeNqouEm9F5VINm6KRr OPd1vuHxIKa+4E4DQeCuvxMUhdTQ2of6six0oAubDf4KwLyV8DPM08UXjwvU8kFb2wdbQzBGTydK 1BoaZ7lOqcAUaQ/9zHQrQ8S54TsiRiJrZqsnHxxSVWlU+oP9jMf/akbzKsOlW5oHQyNEUIP221QE gviiWEGonLVFjbxEubxkncQRFahpO3aS9cFePdVKfgbhMGf5VDR9SOQAwV6EKl0iKL/m20jE1Tvw HQGys+kAEjw4sbeMcwiy8Awuzk3c8XjU4ri1RZHPzvPjWUIh3oOujMma9Hh9x5ylYvUieFVgQ3CP RQG1UHmwqxaa4c4QANIJsoLCnKGq2Lz8yN4KjXjtqp+YoBDuoT4iVrYNVnw9Kd9Wl2w2PB4dQt8t DqDZETD4/EThNBSizwU8qzVa1/TKx15WcIRT3PAzKAqnEcnhr2ZyxcHbiLXT7056VPgpiDAfRJpB 3iazK21NIDqhj6g8TY1B6BQPulXR24mbjLkec9FcMp6Pqov7O3HF/QCRC5+jKKJGiNYHdZ2oRtVd mSHjfnDG3XLznBFF1EsRdbfCxjX4PsnAQO91sToE2CKlg0C823QnkWOUFahJrh9RgZoK3vCbKz4Y 1+VkzYRsgISJx6NjqH7fZEzqs8eLI8RPM+Uot1tfm+t6bPqjsFvfCO/jBEX4aa4JEFPvYItopHM0 Ldb2Nv6zbhMbJLYbF+R6FHMIMB+hsgdpUtRctcGu+tTZYKPSNR4PwqGbcojWglse5SiboahCjeZA LxQ6li2cI2HcrHJLl6bYDEUR9SSIPmmGUic8XpJuJ+5cdH4jwhykF2p14eRg0DfZg7Rddj4e3xGV 8FNQooYVPc/etfH4nwoNXG5Y1PtOUBhRD0ZA9KkUCHY408WhRLQ6M/WTEUbUQ+EiKascvDgExEob mqAMSocE36BrT3WWnJ+kjHQ/fEkQUvOInqxuz4lb0xDmoIIOHb974fkgsb+8Vkp1sk12FVBGGFGT pSAbIfDsl6l3Mcaw/4RDibE2R1ikJsF59VR88Lz17Ifz4tRXpOLHEpseNUtqkMLM2sv4m5CPEZIS m+DBa/rgTJcuB3GUGvF4VPrwvJX4Gcj39dvnmGGRGpS7UecyKziANZTZ1+yhYz9nbVNmP6OA2iD4 7L1r8NpQQ6koK6AGIo9yrNhloCbDgKY8yDOmHDNEfFTzp5w+KC0bmaapqMy3hsejPscFmGO90SDn ESCYUURNkc6McyNjDQHHcP6rgbJHV1a6doAhpsx+hkXqbPLKd7hlfACEKk/qk5mWSiQJKGYnKwP4 dpSLiUHaxHf9h2jgSel5o3GwGdow7dAMFTwenUO3z8GbFvFnfbqtM1T5QOK6p/Wg+2ped81kAcvm FNe9ttmMWYlaRCowtltghA4KtOuSHOFXlzMOpLwRB51/RzyNMVupQDDjKjVaHAPFShu0y0CtYYhT zx7G439h8zoDRPzfsO7xKYqCahjunF28+/9AGqZKjKzko64mqaqwSs2dkihEpeFCq8pZYdBboZJd niohTRa+WTSs5RapyUqcIeijscexJYSyPehqZw2qmnZCz4zHIyLHParl1tG+5ZhmFFZX6rxS+4m+ L3NlDxnlU03KXUq+iELQBykcqdThg83QyVlQOthb/grnjpRRBVLkCsIfmV2Wd+DL8R2RjEV567i2 BCwytXROhIPHg35i826QHAcL5Cq9Sj3DKjXdu+n9i4MICEwr4/dmQQDk8IepBc8I89HpGgCpEx/u ZbbPfu0UL00bDVeypRp+UGrZQKHekVUsMc4opkaLpFDT0gdN0HlsMAoEswyPRyHjLTGylsSaQhte QZsR7AOgSqi82xrqaPdpDSVynhhVE2+qNRTVqcXlSBRH1uDVD8CoThiROUXg7cgXjnq2IADIXNf0 lO1d/1FkPDkYKfYcrPqB61b88dXw+F9CH5igjF+iXcWqGQXV7Lj26ZtspHxVgpfZwp8JojEWj6FQ Mq9yk9HaVIPD807KkbillHbQ3BrHECVopwimxrhbtOeYUZm6yCqAhi8aDAKLmEqGG5uPB2to+yYj ki/xBHSuwoxwH4wYT4BrbKCR0kVRU0hVuBiqs3CCoqB6aAUNURLHl6QXGrYFhoAwlIBwkZ3ToCRA iaLm20wc1JqeUUzdWMcncdEGyzoWmlWcoN7xeJTaX43gqYD/h8Uam6GYkgjOeE7Gaz33js1QIlxI M1SQh+sYinU+WBdKO/vgyJgJ2JBk4QD6OJksD6yCSis4k/C1d0risncN9tgi7qPS6I2DsTnqGCKU lVzweBQx3narfIYRU1/JzhXF1Ej5TjzXrPjRU2ueuTan2jeI9+kUWhHww9Vw8x08pgZndleFQxtV iip/KrORpC/tLyXuFRapM4+fybyMgyNjSpEqXKsVjwecxHmFPsizogfD3WUriqlRqeudDlE8hubI voZIWecxNJgDY5etMKYmRFNOgBosdV1g1K/aFDBCsmrJlAokbyDmGpsjqlJjqeM7oiq14Hn1DoZf POmc8It7Vzwewc++y/hospRxEbAriqgRL8EleFu42IbT6zvPPg8XTdNrRcAPk6qC9TlqjITyNGOU wfZOxgAd00OrjklkAkxcIPb2RR5v+I4gXCRdM6PoZoMmCJw7A1etgcf/ErHQrcfG9q3jryighvwx YhVjBPXpNriAV/kmmzqGEj8nOIY6pyaP6YPDPjrugT3sJoNUNOn1iV2L/e1UknmY4Dv+hcQvWyKV 11kHCgaFJ8tJPTYej46h7wojxF9AV/IJikWnSZkqlpQVSikwXGy5W6UIS3fonF4hKVFsMhkjc/AJ wnaaqIOzEzR7JhS9wUWWwJ3+8IE2lWJWhProPKfTVP1sev0MpgmyHD1RZ8bjUSzk8DOsFkJg16Uq rCiehnZv7xQpwwQ1WZRwa4N6wv/E2W3x9Ao1PoiMXqpRr6dGfTK7MWeGWzGVYkrqRJ/lKUnDwgKh LSEUtPWy/8DFAJ21fNAMbZjoad/0gccD2Me60oJYQxNtvXxxHyuKp0+4C8nFZqZ3uwwvMdbhNHKo U5qs+wrjaYWLRQTO8sWNhnwquiWk3cGKkQKnHY51ENP/AdrTqkOEKOM7ghnqYt11CS0TpMOUY9NE A5oLqeHxv2ThJGaKf5gum2NFATVogn0MAzPAdqM6ZSpT1ZQWk0SL8q4PcdTsRCdqCWnwvD6TOruJ +6BLoAS6J092YhsumUNCMSsKqJMIQTvfwaBDexCijuoQX/F9l630jROmMNK8BcYVAqnRCSrdLJJO /jo8XOzNfZNgm+k3WVilXtplZfrgWetJl1DyltfdSWErJWUK5qRBKQ/qBtZwLYCH8EsicBXOoaJz qNxz6HzAhQ6tiseDlv0FfnA2ETGsK5y3wiL1hhD17J5yzF0uLXEYkhqbzAzcVhhQd6GqaIvM4VKm JnRcKFhRIVJMRPHK4GF3CAGf2btXGVQ8+LJRL0jeRjII6E4xhmRYZrkRzCU8Hlz2NykTUPjcfg2U dU3RjiJq5EVICtJNW4sTgnb1lvSeLkGwQ2IiRfAS1Tg1+F12so5WF2XwAfOoiZf9KFSppW7Qf7lL 7yiibjIA2hRp52Br6IRRQgqnwceD6tltuJo4FZKeeWfoPaKGTwAAu5djbxh8Boz0QpDKByrLmqF/ qnysDRsTG3yG8Md0qnxACx+GgtTCB/2Opd3BAEHJHCqa+I6oOERwp0QYOWgNAX0jBjhkcvd7RA0b jF8qFoB3EvKnCYqJiWDWp+z+rdV32fk13B/pJD3JJyiGUkvRSzC09PhMnF/6/B+dQ2mf0DOV5pUP ql1Q0dKc7VAkxHcEE0QBT+jU+mApR5avOvtDeDziJd5NxtoQWqNreDy0Q2IiOVPNtbofoZhzaM7m uI/RTat7x7iPqqLQ8MHPIZg9T6kxJSjnUQrkhNYI7MAkIdvTeYn2rgEnSE4chQRODpqhcySZk9iJ tfB4JL74C2qOvdySH9Q7xFGDudmTsVvgAOiKXklHk9m4mObZjnHUSleF/0j9LqGzm84nMZgATX2t xSN9kH65cfDPx76dNfwdCn0sig+MfgerDRWGa/iDW8XjwTmdvivU1KbLN6LeUUTNVtmQsCAdA7Zb KjQJVUrooxpyaIeoDwVDMPmywQofFVbGiBiZk0HNgK4UE1YFBZK8ldKLKp4Ne9cgGGLxLLXSffAV lFYW6iMtPB5MUPnOyZB8NlCGbYJCIWoKfSw3DOh0uBR0SKo6pC/TrpMTFKrmqSJm3hN9PF0gyL+O bltsnykhq2yY39r6meWyyqjsjO/4R/l18U60wWAxO1eywthL3HF9+ptfz9L0aPeUDnU+wCqDE6+2 WKnXzPbcn6b2fqLF4aYcIehDLlvbhOEuI6jSt2eZ3w0mpkgqhn4BsDZjIG6kxpb0ru9bbAhgvgQ3 X16fBke5q012XhSPRwnHLwQs8rt+KVM7rE9jgkZe3bYYxSCkpaNUvxJTgYQKSeuOYdQqDK3ig28x Fhe7wGcJnm3UGV5z6M/42Z6yZmIQ8RWR1lAX8HX6YA2O2SkIDa1SPv5n1QPPLZQh9kUv7iiaxv3V J0j2nKCVDCt8vldywnScqOyddX7O+xabwiRucV72Gs8WO/8aKkNUq4K2aZevZCZsaPzM6oEQert6 1yBUJLCzrGfQFoMM2FRdKA08Hq2g/msFLVDertZ7ioJpZG59yAqZKh+MeQT5WF73QB/KhLpTGE0T p3lOmeKDFT7OKQqS2VTgA0i7RIRB0jqHXkXOecPpTUEmfEvA3aw8qJdgMTRjEgp2jyaYAqhT/IAI 5enTxH98jpE2LydI5cr3nYYqfqJlXQfsuxnRJWU2Iej3ly4aHx8UbTXJULPivB44fqbDcUcvGYTo Celo+ihArgW6EPCheZAfY9vrRriGJAGC5oPSDhgHmPRta3w+4tl/o6mpHLAvFpb3VBA0EgPRJMfU kTEZ9GOqIov8u1FhQ4spxFPLUDuJu5Au9iOj8weOAgsgExLVdCaFTzhqHydCIYfTVM2rvW2EbGBL cbGKtsCvYIIDnZVF5wpQxhY/IAB7/rLoaID248DxWYqK1TAR7vtCqjc97yUn3IaX8ysN5LWWQoNE ni2rMvda9SFytnMbgdrMQtq5+pMROdG0bBuTx8a9Z7DbXvefigT5GXQubeo8Mrpehc//BUXD5oJI ZoXwss9SFF8jSAFUWM4KCCST1dJKd2fk8xdej4UUer2QGrWptqTBZgmWprMLrndu3JXJHEZcSQwu A7Hk4PxNUWF8S4DOl1pla3cQxqGjsa3GEGwWUogC+aXRlJHEjJyegymsWxM0RxElMqkGkfiVmSzs b2StDRBqpWVQCgvXyvW3FGT2oyDTyT3aks3dtEamXJx8784fRNaDYYkYBOBLItBee5OLg8w86SEn ZD+ZGgvh78/fVI1t/PPLNlAvfJJCAZBKDbRqknq9FrfWPgGOyDFAgjiuER8UxAHD2h/ZB1tL571h cjG5ls42o4Ai/N3OfdYB76Myj6lWjmlvGzWIECNBEcUHq8wmpoAiEPH5P8v7OJYmQcTPhotFQPJF g2yKxxkXBnqHFgm0RfMRHUuxrJ5kmghl4ODFWWgl01wXt91cwD5gw/WTmjcRKfxUKvgx9CX/xINU 9WMrZekZB+QpQ7B+HuLzf6rlc9nBOXY9N1wUcaN3ek6lZq3YsfJVSiEE0Jidu7oNXiQFYlVHCXmn R8j7zC+KYJtS51C93TJ6nSZP1ZWwmbCebJFT7P3CTlo1/rQXgWFOVJX3jzb5fLDdavqaJKjZNJrB 2iT9U1oP4DNNUu37iujCjMPUQHKdPkkxKsRcTZIP90yara+qC25UNBspB0IIUgJ2MFcDFhU0+PW2 UfWItgtN6LR2rUrTiQlIHKuDEWXo/9LS15lEf6d1S7Q5NFQkv7MOrx8V2QXy0mnJJVPq8kJ/DhwV AXtg1gYUkg2WvO1zDJWl+kgGfCgx6Jzsp8OdF1GksxfpIZ0DS8VLo66j+KD0bQ8aXaF4D0vF0FPx oedlIY+HWBU+SyGDEWoFI6VqVbbmJQCogrgeMzqHPksROMQULC1ZrV8pLhFrQFWjBpDO/9AcLMD/ gyJtrTfHVWc/x66KlAVZS4fSespIJ5qQaPlJnPl8EHaXXzKEqKHniybOga+iugQgNliWO7v7dpyj qJom8wn9KfTQ9UFBqa3wWGnSJ2oPTm2c8GdswOZo+gbAvhB3VN47/66Va0feV7K3jZpGEvQWwaE3 j3jOq8piuqNSkgNrxcTw+aviDyRKa89Kigra2EnIo1R57KBb2UqCSuSzkoqvpCjqnpJEnTS9tBAY kwSUL5zapS+D3Sf1/A2pnwJjjUbvQFW02b7Ogbsi9htFvQe7s214dxZZ+eAOQmbI56P99l2RhIMy IWg+SaHWHk5uc6QgnC9bMwQCyz5JebrDQA4MFhPzZRKsug9OZzz3wqRYJQ6lk1k2Ms9g5Qj8HRrk 69KIutzxQovFRQ39jA4mBt4jLJmgd6QgACadocdiuYA12Xyx6nZj7sBj0V0oUzelolnntemc1wu3 PEFAYLKIYgBv/yaQevv2GTh7ICFXwf0GiUai0QczdtBbFvRZ7FBK/rYRZI1aRbQV0iDYYwHHlrvl vCyfDxpIt8NGshzYaZCS8kkKOY2wWZyjVWNbyQuOMip7GjqrI/RxO9x/wq+hYjl9sJj7bOGTmy6y Pguq2l0eMACxN6YY6RH/ZKuM3xKFSqzfAmtugyom54BaUlLpZfP5SOv7Fx4Cs9n6s5RipRBUcEf1 LJeC5MpMEuvaJEFPh2DnwGwxu9r3MLWHhy1TIDEAXQ1BRk5yS3goqe4N9VCcflfDoNrbRvcb8zbT LSrbuZ8D+4yh0rSXjLQwvk+lJo27J1QKy9xIyNGsUlmpiMInsfv6gNeaQWhz4LcoZiblG7IPF4e9 Tp40JbSLOCmxsF0Rw7ZFHVl0BgxYU4e9blAKSOJd5TsooITGtBCMJybj80FqMn+Dj4g1fWqUoeci ApWzlqzmht6qu1K26nC/cyxVr77FpoudxxIkmG1wctq5+s8p1Sj6veB4NeUpeMK5hs8je8V8O+XR HbouFkI7V1VPsnpPEuCgkuRAPRufj3KT78Y/Cd7tNv5z6LvY26DUpRC0fTySGKU51Rqpox9LofHi 6mq3yd20P+6mJ1U7S7WR41jOKV4Ly9ti8NHNAYphjoTM9rZR10R+gkOaxKSb8VSCRr3oQlhKgfWi FMbyF2EfYKivqlLovQie2FlKaRuQbYOFLCAb2J8GZCvVGPs5NF8cbIfsLUevfR29CgyYYEPApXRW KkR4uZSYuJ1ciKoGqiottt5yaL4oUPZeFFrd6xKwcCyRK9tymXz+Ly6o8hBeBdcrRtY878WASbMY 7a0OPJWBaqUpyKbASUfdSbiEcbfKSkklynRLlNB4GSCMyIign+SUZign30nsh/7wcuSxVIiN5ZdE Qk+VCS55sxVlTV5yMIKtgxTdvtfmB0SB9+XQsCsAoX6aS9o0hVASCOU0FH45TdVvOxh5FtN9ghft XUwxOluoYzZGOPg0tXP4JwmGnf3Xl0pQaZw1NZhUoVPqbYFS7XX/gQU4gQtxXqN1k5IH2qAmqcZm veVfTBoZPQxCv549FxsxZgKB3d0rO/chndjYASWzd1MSyYETI/4AVqzlKpy/XIXRXYJqS5OrMECf wk8CaAyhF+T13u6mrFoOnRiJIQDYpvhgkBKoJLHefWJVPv+XNl/uFgsUVBx9lkIvxsZ+5ZpWgGMi pZa3FLKEKnFcUg7NGJcuuaRLLn1dcq1BLJqxAKiCE+4LddGHSGRqYtuU/SV/238UBM4eVYJyC1gl A8nFTXRyLD7/l5wzC3DIPB9eXw79GJG2OZgdlxxryIS3TZ6OhLd1Yog0SaFEHxHI2W6r+qjSnOB2 wqWJlBpa4BEiuWZy9NS45hby9MyhIeMgZQT6yj5oks51JyjCCaEGnw9C71/wJPZg83j2W1TvRl8L NhNWpSwEtQhdkqqDJxIWpk1S7HPOUq4r+FwE15kjIHnoCoESb4IbGlYSShEF8gaZ8JIuovqwtw0m ieXJlNT/Tter6ZxDTV04FuBCU8Yyvju6iG/y6s/ZHYXe+NuAaDfZ4jG6g7jKnt23G11DWVoKXBnR KxV7Vlbn6x5KJy2s0BJhqpvKuetJe1yUWstyjHv0VPeytw2uODoTLMIbNSjVPTdQ1bnbuN1ClMn4 hcIBuK8/kqo5NGbEeungKjrMpFoBNw/qBbIAVwFJs1kKI2+xRyY1ZTncXmU/iRuPbszeGJPA7kad FsgfwlCUBcOdaeTHLwmW0qJXShZhVKEyltJaAjmR3s3no9pS/1pKDbdquvaeOTRnhK7NudWIwGH9 5NKxm3zRBhu6y++32J1RKByEdjb4Uiq0WNtSOTrxgWwK8F/n7c7a+W/Ydg7dGbOAbpvUfg4GuoUO v/BuY/L5KPD+1uFvwMYAkeVzFMXdgIueHKoZ6haqibf+NkwY4vw6yQ/uwJ8RGufcMEmyYOm6EhV0 bE80kmWvV09cxs4JRHZR/GpA4N9JGt3eNkAGSLh4Ukidg7bbLDBWV76hl4xwpV7JVTcXvNF+G5X/ 8GhEPWm6l+6Jht25aXSrXILEWMzDMocmjV3AiS4b2J5uZQm+dxX0Hba8TyogWjaAUOCxQRqTlSUj K0973SCHo+YGdYJsUHYCnkoVFicNPh/N0rfePNWlqXhksxRSIlEN4B0v0mjNV2OkWvsSpNGefSmF UbeCRMrHa3Dsm6T7CuWOGpSLOZ9lsU94rowxLrt/08oyB1aN4Ofz5KZvlwaV3yhtywCxZr1ktN++ rfYKwu36RJOxVyOjyW2wyT4uHwk/j91050yqy5dSjOKmUe6ad/DUBEnuhBwplhLkMtVOQl7RqKFM F3KrLOVlrxsVKQV7a8UHb1UWIevPjTD5/F8oSnpXAz5Ed2abpSjmRuaMX9oEnzO72lTrJRwgK1JC xqAELvRs5Mm9lui9y28QatFCBRXHFMiRoJbwnxSI5XboCW/W45KEIrq97T/226pDh9NwiipaDayN gYGc+XxU7741E15lKKH0y/3LoW8jsnRY4ywLuovLrmVaw5v5MAAENksRyKSJurVoqsPhqQZ08D6K qgE9NwofQZHwZOIgbKynK7C6ve0/unAwe04+aCnBu4/FgNp5KoWI7l+nEjMI+mrYJIX1bia51fxl QABJVn6T67HL1Hn5LfZuJEMdohk++AWH+GdPMrXzWVStkAFcUKzqOL0yz23bcGnZ60Zsdtbf1EJZ 2WHvINuLqXFSk87no4Dyl5Yfat1sDtsshQXvXqkPZVCckZbjlUrfJqHVoJXqSymMukUNyKqY5Kdi AhVfLEsRuCr8eFhY6rD3ReMXJIRbpezNXjdCCGbBTLcPWkugrRDbfd518fkgoMztO4Ej7XVelfUc Wjhi3Xeq6xVGS7tYaRLWiwuAN4iPAFhUdMXFOBNm+GqezKd5AvTNmapBt4cKKTbo8Z8w+8K6SlJk IAqFv250MCHuVrNag0EEN9nBCBH35PMB3HT6HSdSEw2Rr/pqjo0ckeiSgy/rGckksLC0m5VMWiPM lNMUODkipDAHq+mDdb7bzOfoQb+BORxwK6ri0u0Ut1W7QMpBansOnByRnbDCMqVeck3IziW3ZFUC MBufD7bc/AZ4o3lR0tWxyaGXI3QrjJPJ6ltxtlLa8J2Q+wwcYC2FC80cl5VM1h0sOwGc80QYXEsJ W3rlLgwFwkxkfCggKIWT83cO3Byh3CSHHnUri3crzxU9l7R6ait8PrjjrrbfUA144Z/d0Ds0dETm 0ZfbWJ+UtDTvfF/377MPwbPWLMXESUnWte3DPZgKZJaIK6FHw6CUOA2xCumdV2ij5ORvG4EoWCxB rI4BXZKiy+okt6wsjfNH8wMifb+boLDJhDxyPw3LwNURdYhKKGXxW644N7AkJ3m1lW8aF9s6SiMB EHUbHEYxQP4EwY028tDYFN8bHECEz4+9AcEj/I6ox5TI6ZK8+PJjCRquU6pGAyCK0NbxN7cLQDHK 3vkcxcLZ51RKqxpXgKxdBZWjbHfqScR+8I4LfR37UMwtmMDKX10B5HSb2ysP2HgyP2HPouKWUvAg ib+27W2jyJtMCqH7OBi963w+ObSwBeHzkU1G+XUqkRX+7LeQQ7m2tU7kBS42Je1B2/KaSWqipeiD okmSDkDePjjt5CzDujtBS+eOm13eawXpdQNrkrJ3Dn9b9raRBJn+rNR9sMY3MD+cpJ0qn48Kud8r SYJX9Tm6w8CbMWXfJsE+c/GWLpATlsSRw28rKSRSiimgSdrPJOHfntyMx9pGa7fJFwo10B/6tKJs ebk51GnLgcOj8nnKrScfLPKGfw/P7ln1fARaunwKNYMLLcRvRSB0eRRbsDdPUARYZuv7Fgc6VFd8 niKsSSVsSe7MGm4tF96alfIkZ+etKh9MdVBKZyf5txRZDowe5aeLxkKtPlgcQKMJThO6lYHTIwA5 tyJQmOxKQ9Fm6fMaLHVF/BBpMwRcTwybWFyay/EBuXqV8jVU6icKSAJo7+2DTVGnB3ySDFAFg173 G96v0IIUdCNT88du/7wGSh2SkbzWMBk2WG+p06aNtC08/TI9HbqsKgXgtCY9FYseMiFF7dFoehqL uOZFA+SSyY5CfX26ozNkSZW/BdPTlX5lRgEcbKdN0kG3eBT7xJSDofkgzQumoZnS/jN/wd9f5yeR HXXOav7mGqxjMicjYECWT7j9Oj+IHZbNj65AFu+Kzc5reNTRLcmIr7OhuVpy67DzW6V5AQHTKBSv wREWj2xEUrmDwwLHALugJ4nUpy1HbNLFM2BKoudq8RDR/RoadRwimJzeWvLBLn34PXI9nAT78xoX YWrN4bEKNwncRQG+npPzGhR1XYG906OMO6tPFyVJfbmY5uyOvHkNic7HLBUUx8gXR6ulg5On85Rh 9f+c0qzrTkAMK07qNh6PA3vT98lhrHRyI2pIbTDBpGfIMifT/r56/rwGRPhDu8+OukisjnWbnddw qKu0dwKuapX/XppjSov0Ntj9B9JUDqrB0hk6d0is0eCzkxBswUkHCf+ZZ5oerQFCBubxB3JRvq8a bcCjcyeLL7m3D0pjgeKijP+JRdfnNRDqj/dlZc0fzFIDJmNyXsMgbEZZg5rJZZ/JYx+IDyRn3Qhh AruwYHKm1kySQWhaj1oLDDTPBhoU7q/nRiPcFoWXn4ZSUSZmnxeXLKM/rzEQlifhNbAs9cFKtI12 bWxBls9rBNQfDxotHUhiVuiKaHZe4x8c5QgA4NdmQSJ1wOUeUoqV19rgz0brh2h2mtIF21+PuwpE fFddFkePtZtUEhIPm3P0IGfwxLXTXSXaWIRD9EpHNQ6aHCi3kk0ESMHnNfDBmSVVQkwOAbk8u5od 0p/XqAdnckV2MYpLjo1k4OMEWXTLxc75nE3DNzqTpQy5JZGwL3+kYZPllcjXOuFzm4uKYz2RkYyD MqVHGhIL9/Ma8nTZbOHAofc3B93o2MhMMs4ayp/XgIdvWL5urErFKZpiYHZeK41dhMx+LvFmcJo2 kx871XdW29st1F7rjDwTmKdm6Ujkp904ewGaku1G1JbnpDNPQfl5EMyQ0m3v0yrl81plxOywNwQB Px+sFlvqqNIPK3j6fXa6ISF98WBrPQfPa4URCxaqkDDGNfjDhYiepbOMDwG7HkvkX+uL3bIviMxv H+xCh4AS6I/IvuD+fEIhqmYW6mhl0uXTRWfTuei1uoifYLOr32n6kK8KzAmamhX0K5TGwjvr7i0u NJx/xGQpGoyiZXATT9Czp8NDhi+fJogtw8G9HI0VhcuSf87Up9Hg4TKIU3BG58k8IPPDLhf4kR3z vstli0whjt/j5SRH4lW5fjRo/ZC5SVIaETRRwLym3+rUx5blnW+vd/4aNwb1saeWTU9Soyd0nZAz nj45P/j+cIIUt6Tpw416djpBIRGi5x5Lo8tMFlu/L4HYmndhe5162eB4JuihkOKrQTc7cDc6nnPl 41HIXL8ur0V+FLJgw1tGtxcESBY1cnACLVjkaAmNsV0mqkGI0GBYwQyxgrrpo22D4/nGSSKoNImg uWbyHwEwQl9gA8SK7FQZ19IERVFzN0la0tc52OV+DjntsYZS2XvY/JjJ1h/1ageQNn67vxPXzlMA 7AJS1Kzmui4FEr5zNkF1U2q083Pez2hp2OQiWGh5EFhjwryADT0sodnoloFqO67pBR7Y9gsMrsX8 jiDnIkEEiDAfdIOlDDU8ntELLY7oFLoTxDiScovTI+d30lpX+wksVLvD5q7JosPWyTQiHQsS0VZt DSaoc+nUzT4FBz+l4fg10YSDDejYewhhi3OvAASTSezj9CIF5LtGhxBJIUPSosO7G9BUGAJQQ+3w nbDGHZq+Eq9MZff6zFAUP0Oz+wTl02KgWkb2U6jO7afQns4MeQ+gM0WuaOLYfHCkI275jfMQuVc/ eWllJMDOC8yGWBm1Uqvq0e8B9NnHCFxOcIiiuwa1Nk44tAUsTq1+3tlq3C1+TMvZsIDAk+5FFsbQ sLgf1ZBEqGsk77jWZZgQFFq9Gh0F0V05QhMkv107lZMZQficskGYufMzM8VYm12JzuLPrfuI9hhF 0UTwn/Bj3EFr6MQVsohu50b+vDPVmN36JmPQBHJKSR5FvxPVsDVhJTtXtez9ThWy9+RIGSLTdJFF cbSBZZdsUNdT2+gnbDy/ApV9gJUFVBNxNJEfs8hx9JKLqG38zlPrLsZSKGeuwRCOCBKkKdrn552m 1oVrey6yQnxOv1f9eygNmgMtQrKJjAziabSEyrJbH017Z4NEsfRgWbVkVX/yM0NQNDorKPEYWntu aW3xNNKlTe2j+dXPeI+ls8VCRVAZDpqgs/Low4DYOH/eGWqIhfb6PobA5HoqHO/8NJxCTd1nVZ2l A2XVMfa/2fGpdMLhBIXRNFPNQgSPBi+Pnfwibfi6IOhZHZc/LrJO9aNz61A+w6DEc+pdgwliz3Cw yKHBoI27KFOthDRE4bRzQLSC0CXN8pJl9TCKpqH0CkqsFstJXF0zC56mRuCDAaAhiN6pafcQylnn bb40x3ORVZgFMBsrSMYUPU+ezI0KCY9zGlPVd2Yaiw5GJx4+6BBqrRsU7aTUn3dimt3Zdggx9D43 XmvF07F3XloXHwKNVavOj1T8FFLRioiPCYkzFVjfw2lHMqSWtg/eDhvnzB6tEohWyiyJxlgNAusN BG2IpzzsvaKX/UeBHlWf5IPBYmb1KWrz885KwzGf2tcaoj5Xv+f0OyeN/QuSrbs1eWatxZo8rXrC 0Ynz1hp6D6dRp9MMjeKDJRwnXzp3bKNx2sk+qtYNtDTROMHdQs81q3dQ6uidkmYFOSgdUhGCgxZR B6BRt1Ntn3dCGid4fB1DhfiF4Vf9Ox0Nu2xuSkBZuayXaeWyc8FUa/acILjbOf3ORutyjEAgrVZG ea562KbO1iiYVSdycRaeKzrRDaBLom4NDtOp3PtORmNWzzAIkls2aIYKKlZcQydw/7xz0X6n9T9w cOzAGN0ZigJqNBN71cpB9C/jtEFclcuHn6RpGjPmnYiGbMCgMLP44CkZnQymdtkE7JV2s33TmWaz EbD8IBJ96J2I1s05bbEpYoOhqupKUukfaX7eeWi4bdf4miFicfvyu/6dhca9iZysG2OoU1jXzqHt JZBexm30RBVpRUO5qyLdb0X6rPsNSD6tMArsdvFd6KQC8QlWAK3lVK6X0cM7Bw1/YVahvk4fNEEg Mpgjz1yfdwoa4/FfwRD0DWlMqQl6j6eRbCInYxGZdcXavdmzcnqSVidXvRPQmLlwCVGXV4PDPLF8 GO+CsY++GBEODXZgcE2gcOpTGVp62fdjaA35oQvGWNx8rHXo3HOTrLQ/7/wzHrm330N7QtyhqA/Z FIURNS0um7lZ9lmWS4lVqdQ0WVxaP+ydftYFC6T/V/HBKtPndC1jQxWAt/059YviRW4VMIi3kxjo 6sTvCGaIvWbijeEff3aJGTavc1eyTg0rzc87+6yLkvok9sScC3jOGYqK042KAsu5+oVgQJXuaZuk tLUtA3a8c8/YjCdcbFCMpowLzgfeAnEcE/vSJuIY4hZBOC84oFVtVEOETbF36ll3gFCjc6cGRdTn bMiyITiT/HlnnuHx5WuINzvJItRK1gxFITX6gZASzVb6WI4uy/IrUfEMIBpts/eQ+pY+GruHHGyG QBeGlI1prJ84b6nSDzQNFhLRV2a/h7Dt80474y5jd6PmO1hIDQs0ooRTXp931pll6BYxFs3siamf pnMUUzf6ovdk9nsnRb6ss91v3gpiobrOcYUaB1GleIoGX0PnE/PmSQZ6x2JVGtddkRXW+eGf9g8B He+ksy5kDw6guXywGTrHTxWneuTPO+fMiuhfWRlocOMCOt4pZ12SlohQkp3U5K6oepZdR6wTcqMJ iiEdOIZaYxmMg08QrPgSmukn/67pxA1ZwC5U78nvnGI1bf5P+BXB/AjKISeMfJ0wSqO0JLPWcwy8 0836Q6Sym6wkV8jm/EQBNarDvfZhN9nJFVwSa0HYS435OrN1yN7JZjyEtMW0gNpdQBXwC0iUF/k3 5mYLCGSzTJX8uZ7aWdO7BkkZ4YkIR3ywBXSuGaX156D/vDPNrLP/nNJwbsyz+xn0TjTD2QFSXN8u Qq+SnYTVSr/IaQp9c4Le42nEQrzHBv0I6qWBn4sq9QpYGvcT2llb4RKFTJgl0VpOqmwUwXinmSHh YGuDcptABGNSq5bQyQgZOs6Uy+edZsbCR/9aQtSYovurZiiKp2nH00nTZgWfgsDClrfpIoYDum6a oahADetQyAjTt4iDbbGTxIBH3UnqPHctw60ziVQcgQ389LIHRQI/7wSzbir9iKKHD7rFRup5GNZn ft75ZQymfQGpm4HQcS3PN975ZV1mbeedsweLlFk0TYds89Nlncf5icrTifFwqeQHc/DK2Tk4dytF 6ryDvFcSYtAKQ69zzmuEQQWuzzu7DKsd0QZsG7cP2mJ7W7vj3Ir1804uw1K4sWL6kYdsmc8ZHUXT rJy1NR3hQfYyC0N7dcvvG/R6BAN+Z5Z1078CSGP7cEuLEG1Y8nNikZRt+hNjtx/WDTK5skaa6lsv G5XOEEY3ei5qsEY0RJGZ1C/8iO/RtMBvmqEmm10Zk/sURdE0s/rSq93zpa5rs5uvA2iGGLGusbA+ rUYr/RvQJWNEz4xsnxle4GycKRoAJrKqCFNNnlc/KhVZVk9D9Hda2QMw6zL9RldRUjEVTCwWv/r5 os87rQxTPC9MiIcWNPCaWO6cpDCghiZfn9u60c0xQ8BRPYbf3BWcpLBETVOnIsWL8q14cfLWvrMK jGl1KEcxbT0BJJiFP+NxASXX5vNOKmNtiGUzqoJMVFR+WLkHJyBRsPN8VubzwWWWvlF4DFsfQMM7 paxLBrDj7NAyWrn7DKmNwD4QXHVshqIa9aSSamHsp+EiPs6eXWMZ/hc0C3oRozHYgFKiTr2tInry vPPJmB4xbSXPeT1MoxPYoHvPo2jtzzudjK+Yft1lsN3qF6YYxdM9ESZ0/UKuNDgc20yLr2cqnWKC 3rlk97YvxNVpsAlKadZMxDQmCOIgtCroaI9CruVHuHOtoU4Q+TuXzBAJAI/zVqsCzmMJbaBKmInm Oj/vVDLbpnYU/Riiat/64juTjLkuOMsb9RjS7SiUzoARGMkzLYPUyLPEGrLWdyKZ3cXMqu7giLOG fQbhOiiOnQBpCyNdaGZyTkDAobyVCATo551HxniIqQYpVBpU+SAeksWzE1R83mlkLD2Mr9sM14Na SpqgMKKeJNgBxQw9sHN8GDQaapvdMeQsWxHqGpWoUWGFuCwxMenBxMAouJxfC+KuJ80oSFqJiYHA K29JlHYcCsxywOedQ2adHPAQV/bBbrNCXV8KMqzPO4OsP2Ry5WTggauioxl6D6kRMHbKynqJWtq7 W5gYY5Wjk2aCl+/0sa/LrBQfvNkKWTpYO7HwUTAzFJaHs1GjnhJi2+u+N/SywX1f7RjqPhgoBuKs nKGTy37e6WPGKPC7jM1rlAkIAtAchUXqQbk0Ry7OfpX427h5a0HVRoDpqEitZlmlV5yG27EvqDgR Wr9xKfYq7SKkRFAyJQxWiSvhUZ939hgSV+o2FFKDNShoPDdYkYVjqfnzTh5jE8C3GWNwcn3m8pDo nTzWZXFwTsymgsf5S5xFnuaY3pBGTq677J07xjXMax7UcBvsIKqQElnYS1BKq4WuJURWk5aGu8gr H5u4oXfmGCdIoA8G1xws70CXQ1a7e3zeiWPMe9PXOQRbjtK7R0PvvDE27IEqL44qH9nFrk/earka rgqU4jg/YVRNdib4vT5caPC5dBbyC0rLo44jEXqUpzfVKl29MZNJ+3knjXWJniMja9MHTdD5WDUW z3HEx6N+tAeMWHr0RG/NM/t3whjRryzdu0XBKMtLQ7VU7wPBVdC2WBhTMzdHSuaDTdDZF23vJlWr ck5syupILQYH0w/79TqEiLp/Z4sxryKggdKdGozsAy0fzk/v6/NOFiMw3RNXuoIhry/5xkJhOL0o s5v3Rb/apJwfnu5OhbVFWjh0fk7Ua+UCooSzBt9g6RxgaGSxkjghdM67Dt6jhenevnkrOtT8juCm p5IVqLw+WN56/i0LCQgo8HgQLPZLF+M/xklG+LZmKAynyaofJl3V4TpmxbNOKxuzuOxm39Ci+nSq 6iT2O3jxbJxDOtMmGfRVgDqp4QCp0IKtKBSElV81RVE8vThFg27WGrSGGpRphPlIfDzo1+fxtYZQ Qy/jshN6HE+DKFaqraE6jMZKcYZu1bOGwrGoLe/xtGx+66bHkQ2e2sMsr0HQHIJf51Gjv8DbpSAi orCThdOsnvWYcchDqPDC56A1dK6Eqh5HGRWPB/yN3b4OIfWllh9CPaxPJ20yK551gWI6RXazT9C6 Vs09gnyMpaozO64cbJNt1KcHPwCZK2B6EiXAC2JNbMKqOGmT4hU9KlBL8juVfgd8xz5JEp0Mzt98 0o0eRdMPNYoZK8PX2yTrYTSNW2yl20ac1ZpkaB7eOAjykrzFelSflg9xtm5X/aL/wAZnNYp7lAYj aNaGGvm8i51WyliK1Ut2bY+iaeHOEu/UlegkzF4FbwIGN5BbxfMB8XClr4yMov4t35u+h9RDsFy6 UPiLrjvtQvOqgdDOX4Y7Fgd1j+LpaeDF/xGxbAMtj62U7OwFaO0g40BvEgasELZ7VCwpW9GjeFpu TU21fA46hs6nL11Fs0w8HpzUKX3tMsZC+Zaoe0Q/HLCRy1KtLiifmVrzCTDYlJHEPiNeZBw9jKaZ cYCD7IMfQyf76BA+IW3iLKdFaaIJKTtaQVFmzsCLLDD2qEgNWULqDLEZnU4cNZhwwBKCmJhzYxY8 HkzQ+G6TIYWto9wlFAbTE9ai27DAOJBciaEN/ieFqzLJ852fEywhwcSLLHbKtdhBRAWgIjExmaZo nKCTBq6T1Z99A81jP4awx/EdwV2vnDWpvJgemh2qxczICk7KKJrGSflV9sByZEdJExTWqM/27ZSY okhchxWgsvq2ZECMnBXlak1QHE2LQ8ayasrp5qyQ6j3BIjXiGmSdOlVSC6AAskkHMNobZZSv7lGN Gr0GAhm2D8Z02VOsxLNdJx6PiBzfnUToOkG9wWfoPZxGvpHo1oyrHKWzSg/ZSpA6geVksaJ8rj0W hdN764jOwwc7qfeEI8piMFSA650EeAxU1xqQNFTjN64dK9Q9qlALfl9RsLJBZCmwadjmqCf5w+NR Uu/F12Zk8h8pOWiGIgg1krYOKSkzs6S+DTVhWGZnFQ3qW0OVoR4BPrqZCRuAjGCMahlrSshUWTo7 qfFU9RV+LQ2qBePRzYFvesV3/CsYYqRlgzbZ6pKOPBlH23g8WkK3VUY4Q2JL4HZbexhRN5Y9qHMK gx2ogFn9dXpSj+rjUP21RwVqT0X38MHLHuj1ZetzTJg0sFcGBeWfRthneSAfnTK6PYJ8qFmWAL+y QRXqE3YWRcQjNTwesaE9IOoKNOmqc2PqEcXUkFtCr8ZJrTqgmbdu9x/svCsYMo6oRj0ZC9XRpg+e l204YmdKDZxTB2YRl2+f0YwBdOd66mS9a9QKEqVMpmjzQmAXyk9d1bOMx4OYev7iRFOv/7nuRxRU 91zo6mF0l5m3le6xfruvIrbdSBn/o0a9pOOxro5H7VAlL0UNRYD6u7wHKyFo5w5EEGRpGe3G8B0R K1FkjlF9MGTVmsPO2s3Ho570FRzguYUwg3IemqEQR40SbCtGpe9d3enNo4gecbguaicWP/Fz3tMO +tjtNqiZ1wiR+qFeUEe3pVVzju8QZeJ6YXaFAga9puy+Pwt/4DsChCerQo06HBjMJaaC2crOUz// C4//1ebguYz5Gt3v+xHSEjtBDUsYqt7p98NNlhlxMLVfVMzlJotiauFiWqEOxRmKTxCImimNLlxM naurVwQoEjcvDefttp+YnxD1IddKrGwbvPxayaD8QfaBx6Nj6LvLMQRju/MTRdQdzN+m3UQhoeya FYlgJc7P6A76GFFEPVkSK0Ysu7xuJPa5ASs0edvDI1OCsQSC0jyMFjrWB1r2stEpxAJ17xrQ+BV9 DqLzTO3PGZrxfLSEfJMxPESATpaapiiKqaHt00fJgnL24WZxEHvMyUl3aVubY0Qx9RpWVk0+eOoK 1/CeyJg6m2hUEjUXnJToTyLbKKtQk10/ogo1iNuoLK472HkLX03VM07EOCJaonsyG3t8UNXMy2cj RFEz6VCzFauJOEkpe1RL+NGry8bbHBGKGr41KHrkO9hF1s4xOmAyzAIjpLYreXmIHwrAD6qeiXtO mPkItT1YNpNLigbrRp+PZGsaatd4PIiH7gQx8gEUG7Rjm6CoQo2a2zmau26vLiiCUB/bTeLPv0k+ QVFIrXusVAMQcQVltusbzNO3yOMbahbLLHO2DGypmuIWg+df4TuCYIhUDuZuNlgJfwLhyZN3bTwe UTluu14oGuyvfBUsRhhTj3UVPhAWVUJkSHfZvXrieh0GRxhTS/St5eWDbbJZcoVsJK96kjirMB8s YKAkkp+8jF+JLwmCah3R1CGbCEsNZw4jldF0/O6F54Pc/vY5iOujTv58AsYwqK70qpzJwqHdnRIE 3Vwto5NvUkgYyygsU/OWT8rM0ndmBoezc2pQBgUiwmhwwr7jpK2tIB1p9P7iFG/CPkZITVS/dcj7 dLj2a+tYoYwYZyl4PCp/XKQ5phPvAclHzdAMy9SVKGH6vODQxu2m7B5RjAeMDNWQ3c8opFbacULU 4sMt5HdAGRgOnYQcFOnrylBha9PLA0Bj1jFD1Ie8O8B2skGZ2QRJRN4dreHxYIIuP5rNVpShJNXJ CYoiakRqcApgnZ74D6SuDQW0vSy5b6pqYAnNkJmohGxaqXDcnCPBnRNVD5Vhz0xNhtLwODtbbf0g tvQqLLIcfEewy9iOrko9qoQ1OUGoGGRNUMHjf51E3JBoWz/d1hkF1LSH3ItyihAR7m14Aa24n0A/ P7IRE2dITBSpbFpkfFUaTgQE064mc8pz5/dEAvksicqP82c+nLJFDYIZl6nZYScHUIOqHyB/8hc5 v2nF49EE+V1WRY0BiPKSOWYUUcM39YRDfTmioXs/etHAhWlrpQU7lariKrUus30HJ5VNuL9CMADy nGctFSlQs1eFl0b07UVq8hJnCPoQYgh1Axt0CA2S93kIpYzHI/VJB30IaIa7pU+/y2YUU4NT0afr cIIuOByd12t22h3l6LmGQmJila+kjpP1UDchkdeh8k4yx9kPVY5do1J+sojcZUkHsED4jkgmL5Nb T+s3DlZiPJ/FAhhKmHg86Ca28nUK0Ve13BLjDKvUVGup5qRwMtXtZfxRm1epC/DoOqYjyIcREplA aPCLbLNo3VilhhQNHcdwCmUYQuJ+rhdZxRLjjCLqSpB5o8KzBk3QnFP2f22Ogccj8u9lkLMGWyC+ c4/pCPNBf4NObReJUK7kxM08tyNgdzdK2Yyq1Eu5KFmYGrx8tlEbqiIrrJSRmFEKn7Z9lHNej55y 0btGx3RRTWj5YKCYsyzli3fOJjwe5WTfXAU0v0rLXveYISuxASOXjcOBIvX1x6XHrVxMujPsZ6iX J40YeQWUxyugVnSzx2LamgcoazI/bewJTlFMrQu5aM8xoyI1xc4hg7F9UMpx0pedhDzbfDyYoNsp I1sBiNBCUUHNUIT6gLUEHCidrZBXuSBqYwkha6XNcuLnBIcQNfMK/dM0+B6rcLBfdJ+Cq87KVDjf 5APSHS/fXiJjVnxHBBHWIUTiVPnSwK1gW3OGesfjEWyofWetwEDWC/qYISlxUPKiqU8PFXk/pcFN scpHQXtLh1Cs88FW/SLKvN6yDRyo+8m6p2LFBAMwwYYSqp8N1169MhaDvu8zVM1jFX9MeuFxsKSs gEaDCTonHB6PYDHp6xBibvRkrSsKpqHiBHFgN8HpzUDmwBxYFQ36AVZbXBHmY0jRa6qXOJ9e4j4n 9CpdGnUTIaJp5BdJj9OU9pcO9wrr05TLS3jIBgPFgK/MYLrViscjKZ3vThn1/aTTwAkKy9Nt8mx2 sSFxEmlKUy5PYZLFxQkKMR8iuohwKHahtlg7N/2iJAxWEPRVqIbLcmXN7HSMW57GQsd3RABh8sMT q9Ra4FY5E93zfMSueDxCnn2DPqCsXpi4aIJCoWlK3ieTTT6h4uWOd2l7EfSxHfSxYtCHiBwqPU/5 rnOLnYwC1+RW/f7c+1OnNKj/sHChn+fDHW/4jqA6TWHKgtTOBk0QtPeIgAbiBo//JWHB84qu8d0z +hWSEpHRd1L65MBRl59BhKhwi81UTaBhhQhqhYi7Nx+8wXGy9JMuUeTjxNVnqlhKJCaJlPXHpyST z4bviMrTjIAaE3oONkEgwslkKm88HuWr3klk2IxTAwBTm6BQbxqRYqld1Y1zXC9zeE+NIHeCg9Pq RilbISexcovJRjl/2Sg36G8g5xDRRUEjAHp4UdCW+kMF2pSJWRHgQ23ETAKGBuWrSDvVjD6BFh7/ q7+BLUbwK6F+mqAolKZyZ88uxtRTc6X7gaST/9mhLGkTFEp8MFFNkFi34dbNwPeZpNZDLKl2ubmR 6IKzCtJqbnXDTAdfEkBiWFtMdKTSoBlCn1Vtr9EHHg8QH/tbdhrKtpVSzZqhKJaGCliHNKj22Dkb PFRsLGhSaohSR9pjYSytUDGLVJbzI3jWIDyd6HcHKDWK7cjGENk2YM0nLl7boSPrXf8hPgARTR7W 9f8Ye68kV3ZlSfSfYym7Bi3e/Af24O4RSNY+GbX6ow2rz91ZTIIQIVyQt4VkY52rkSfSidgaHo/k qn4pDS22DzxdXVEsXShbvs2x7SwEo7ygIMqSB9PVq1+xQvx07+/p6lkgZwnRfRk6OSdaJKJukjSJ E7utdItmLN+vKJZWIJT3M2gFwf6MJQ9Q+PF4cEpfbCdl3Sc9GjxdXTEfsVCXUrpUnb5BFgilcdVx sfa1gv7GeyQax2nwUPpcPifdqFxBHYcPfSQryq8NtwNqOtZlpfUwPySA4IM9eIIGpmMcVBQCrk0J xDmn8HjUqf8lNETYyGW5rLAyTYGPcr1titN/APdwKEMDbk8zFMfSmcImcrj58iNpUBcX3G9DgAOS KJTzAhESWoDwi72h0Bh62SgUYvUeG9YGK3k0ui+zrcPHozX0fQrVE0m1Pq5CdxRMDxq2iAfEhLU2 D4XGldEB3NwkuqPKtOgtcPv14c5QQ3c+MRQCSx2yGGgiEp/H7/ofW+kdBdMQmkN7o0wfDFVVkeUx Bx18PKiaXTyMNlSC1ZenY/s9mL5ksuHOEu3K43YqGJvOEM8mTFAo8DGl0d3u4BOUcQtogk7mlYlW PPka+tEd7mDYOW6WjAABnxHUhKQEt1P2wUBVa091byCPu9+D6UR92idfpVscqpE2QSGCelCN0q6s EzWW7acQZTp4CsEb0yYoDqav2JRrTllNCB7RFfAMlDzSOVKt7goG7oafY/Jso/AIxGdERTNeP5uH EAfLNmpRVRHsIDweKXz80hREW3NdLMwO6Ygd6ZiUBpBtZIcyuMEEoR7DJbp3CPXQRW/s8fFc9Oei PI8jGJVwZ5dv1CKlCu0calw5G7HoXSMJFM5BV77ab756DjYnAqWNxyPRxXtKN0tY4e/gMxTCp9Hc 6PRh5B7byffY+WSTO4P0n4E9dgifFoRh5zv4EqopgZYmYn0/WRSBi4t9W5h85/nYtrN0v2MJ6vLG aM042MTCOCk9Ho+QDPsrHyOEPz97LHRwYcCfponEjO4SKNhZpqLjFtOYoBDqITTMLHfw9hhahRSB Q+WeSFjrbeDawe1HzUUVzSiCsqPCdBKvfu472BLqWaFFrWnh8UhmaH+FQqgWtnolPnYE9UiV6lQu zdCpPCG4EPmiYkpdzOIOBfO2kIg9++B115PKnkBSRn8LAh9FprdbuLqfWS6XbLEDvaPCdGFGz/Pd BsPCnHhOzmPoH+64MH2b9Cya5R/SdG2CQoEPlF0NFwSzX/HHaPYr9eDK5sp2K44Q6qFgD513G2wF oVvSwcyXzQ2yqKHKPeSNC0GAtoKon8p3jdialBnqFNDnYEWzc9Vsc8kceDwqms2vawzV34L4xSYo CqbhlnDCH4KFKhW6rVJ2gvhiXiVngdUlNuKOgR78iiRGaHDUKzszTRk9FFIkkpLoioDa6741M1pX 4yP+lBna8mfdbhMASa+mikdafPxfFJdMZTzI9bX0REJRMA3Kaz+pv3HtFiWNyNcclNmWWt7IPkMR zINp5zl9WvHB24dnyRQ4tJk/GwvKCF6wktARmHRSsDCh6V2jyr3AnOyzcjA4FfTWuYTOwsfj/9KC 00W/yHSzCcophHkkGm1tJfLAUK8L81hW/+imogOJ7hRG0+RmbEV+HCwjS2mv2Ruh01DJzPIrKeCI dIqEUZHe0ELUYsKnBIzNLF49C0MFN60sMRs8ZLlR+plH/oEI2lm+dlqngEapd5pCTiJC6kqfpv+j v4tsoc9ZVADorQQHZ/un/lBUHKKxb5138OLQ2WwQQTMJi9ZpPHVWWGfXssCA5oF7jG2vG8EZWD9b BHlysMx1jUV+Bni5fD6i17fvoIimbSBk+jSF1i4k2MuYdZEraFy7vFIyBh72W/XVFIKoKXx2dvj0 4dbQ0knjwddGbkafGhq34cyEwO3PInfTBM39bSNclUpESdpwCFiako+zXkmbQt+SfyCIjMqNHaVp jlBqljtNUa0aB043l3GgGoitMzFhR1J3cFXMWiFFitT0rwdnqvlg04QsP41FfTgcuITZoIRxQoAG f0TZlXsOu+11o2qsFJlK90E52vlJ2a/FZVX4fNQz++5Lo0cB+uSdpSjERhWoDxpcoGA94VlhxbRU DEoEvQ/3MElRxdosEoco5TT60Cyd32qkmcVZON9BiHzqD5IAQL0+h+RvSgrjU4Kuh6hl1JLWYAAZ aKdSSW/DYSGF+I/LJndiR0fP5doppbBu3dB9BUwV8DMUsJNYZomTV8iXBp7+nE205Iq1qbltWDHT 4JEk6PCIhrmaoHROzAdK5Gc1nd+C3UaDETEQwIdECT+bHgKec9A0AYPLX6YiXWMhPIgKy1ewXc99 2L4nKZT+gPk4ZOyFk+n9CuzUZf4UgIEU637kFIXbspRM0wzerurp+W/nyTg2K49YTnCh4pYDVQFJ Pnl+Jlo5pr1tpNKEetGcLK9xsP5HzVV8zLk7n/8XoZNriaLG9dYe2eIIARJ9pG2QvSGlC8zSybCs BQIntuwHU1jA3mp+zOKDF7DPMoEYEbK2Wk7UNynfACgrisjQOnTfoPOyzd42ykqY1w4fspX4C2IO auqdh/j8v1B7knWia+xzyYVwkImq4ywOB6EphFRk5OTR1CfaboEXqYAU6eskK0Y/9lPjbGmEfUug tL0bo6q1qEFJHtUjqidL5BTLVDMUaHP6oNNlAEMhzbg2+Xyw3x5jE7aKGiKm247NKaYtYsNRIROz VAU9l4puLp7eJpe5yCksZAvskKUEkh8lkIZD7TwwFAqcvI1UM8oKQP4TWm+GKyro8OttgyJS4akk VEi7qJBKLoHaaQwrQ/uX9t0NKQTCX/BeDt0Uaa7dsgNA1Y9XDaCmq5ZCUwBOUmCnCNwDl5KuuPJc cRC+6yDw8oo7hxKV5gEtAoIIEDEcT05cpIF0DvwUQSrh8mvyH79WVyVBMoiTRD/F0FBxPgKNjCpx fKWrx5xDS0VyPkZuxu7s1MuR3rBga5XVSK+U5MBTEREFBZuKyDHFi82roYa6qe6MNG6gkyCrD6S+ cFaCdsmv3n7+w1ORhcjUug9WTFp7SRD3bEk+HwnGfidydNnNN9PNsasibWDGcNNApvFMdQ0gAmmi yoXACy60VVyMKXHT+OCnUoOTEE1AYGla1pQ1Lr2hoJPQyvUiJ8qSHxI1jlT2l8vAnnZ0lyTtRhzd dfD5f7VnuWjQk28XRJMDZ0U0hTeTKasHyAZdK6m7SUVbontyJYWBN+Gy/6sA1ukHwlptJm52dhGF oSpXsP4olGmFbXawc+Ct6PttJIZKHKxuC98CYwpXPh9FAd9GHuiEV9yuPkmxeDVkiUprF83nEk5n J/h2o4CBTVJIZCySZebJXb40nCbAWCA3sDKJAsqQhhMwJYCoM3y+YKNhrxvV/yUOr+LtYCEZS+n8 oktc2ASLztBh8ctkWqKfKL49RriBxSIy+YLOCHtrlb4et8C9HxPKlt0JN/BYdB2eQs6UBjuVxoQF Ior70uHZIi9OhNgV63WR76BTiRIzOTBZdKfXnPYd+CHnR1hTtkDnZfl81EdKX2sJDdFc840CApdF d4Whig15VkBXKaBkDGjquohPzQ03hIwkXlsk6Gu4me4JdusgCeRE1wLoIKY/E9Ko154e6c+5ir3u X0rWqQuA3Z+O/1lkU8lJ2Xw+Ort/ublTveAqPOTAatHabWNPg2aNNZMnJ/MyZSRwqFmKnGEkKHfW 5PDh0hxgyVCoMHWW2MQiJYp/AMWv2smjX+BvG53dmbA18mM5WEQ5Wy66s+wlIyGMbzaRhba3aBK4 LRo1Fjp7qi0VqkmT+TllTUn8GoXyWA4I7BavJnotwwdbSosM/UGEH7DBMJ9WOSDj2KbeTL7omjrs dYNyADvatbIcUKuXA9Atl+/A+TEynw+Sk/HdEijMBJ9CZWi5SH+YSQMaFk0eW1MAs2wpVS5wzVLs YD4EQ0s+OEarZsD8yBiHyVArOrwh+dLI+SqPa6cMukPTxUJw50bIY4OW0kI/gTFino3PR8nJdzWX x2O7rckc2i6CkXF+5yaTM1AeTEflbJlmlAdwG43ykEPfxTVkdCZjuJpurDRxQW5R9StgP+rWDlBa WYWg5YdjIbO97R+9k3PjDxkvDrvgwFpq8g4qWEmB8yLCiO+jm1razKltkqKoGz5pYD2I9nmOPy8A ZDolZ7eJMa5+Dq0Xh/P3ig9+KJ2cNxPFQB7xRoAsyZANa6BNk0grKy3233JovSjNkLS43dLVJWmI v4hnPGfT5PMRj/jmJrKABW7nsYAN3RcBiYdZjLC0vWQKqiUR9o0x26qE5jhLYdhtdSWiazk4oq0C E7nNhgBQP9q7N7QKOsTsVnZN2cJohB8SEWWZ5oJDguLbRn8Kn4KquhTk+/kV+AcivM03SQ2d3Lbq PbtLjCdBtF2GLE2hMOF1JbiTSgAc7mV+KpUYnc2UY7OXy8HrSue83Jv52oa2deWhsaCx9jOAuKGk ijcG7usGeABanU72KTAU7ThaNcj77ERLfD5i0dyCN6fXfJvvNP0ZeU8i5xhSCl5C5cvUbqKbXH43 Bz6MV1+20O20lut2iqjxLCY42EBiBVJiDIwSrykIvIBs6i1vKqrl2IeRRMfUCGZP1/8pm1MkG5aZ z0dtgZueeCBQxhN4x1aMTWJP1dres7v9UEvFA++cb+AdejGaishewwe/4s5Xy9mQ7AkQBwpgbgqT NBL5tzPWpO2YQzNG3iLodk4fvK2LijG+d6+NzwdrqXwncYCa1IfUl0M7xiYwezOo9ujum3uW0rJK XKN2rk1S7G/ONZQplMHBaya4B3KlbQOS3pPpmDo/0BO4YMb1tZCjZw79GCn9v2Zm+D2viOQJ7rdu 8XrSRD4fxd3fWiIZvwzpjzZJUbkb6ngnoDfx+F6L8YyBRs6+38iXskmKPc4l2ZOmDzZJLUFBUg7e GcX7NOVuQ0Booaq/TxIUW/W2wSQZLZSmlWm6aHSGdpOyVJbfQkvG8vjpMQ6APt6TnISejPAhhb9m 8+02pqNMsntZdZYrVVgKTBkzMTIUNBo++HY7l/+ipiwOpXEOI3NppkoNsoU+n/bSsrcNbrglqWJN 0nX2xH7u8oBp3G4h0uTKqyk/XkC09me/vcfdkGg8C+jcrN2RJrU60uR6onVcHz5LMW6bN1wv3Qdv Vo6KI6gZjTbJsGENllER8G/88mrhsdDID4m0wSkKTiMqDZql3aFgi0MJ2uA5tGYc+0qs4T/GEZGu Bl0OrRmh5Nylt0mr6seNqNE/SVbV1xYtx96M1MwgRssGBwX2c8IuOv1BNcQw2zuRm48K2H+R2zn0 ZuRGBNit+XCBt1yEqF9PPh9st2t4zpotyJ3UD/JJeo+7y/+H4/lMwraeQE1uZpWGFNcaAfa9+iRF cfcqTN0WoSYcLr79RDLnTFZ7KZ+XakROEi7SJ1H4d5ZGt7cNsAESxaRroQbtm9m2lGdPvqGXDCAU u37tN4iKl/qE3bFHI643/risUUKg0VbSINqFGVzPziPJoUmj5IvPTbx90CSdpQmv4S7DlFHOwdQp 8IxacycLkNYFBnJX0zu0aZQWf0pSC08updQa1ce5hdLg8xEEd33PEn6eduVFcmzUWGXiYD24Oa5Z 7NyXuF4BmLelFIbdhAQkeTelL+8m2HZDkp/grgJBfvnqDbYJz6yMcbn9m1aWObBqhCCYdPZ29UHF t/OG8oY/ibNeMspz59ckgX1T6tM4ib0a2+PnzX/l6ofSNOwpDqVnKcVK2Dq5taK6u3Mt9OpPmE3B YiyldfYUpZ/AqGh0uWXL0upKednr/tnP3SP7YJ3KczVUNQXQzw0cG799HbDumG72B7IUODYCGbB5 EaoaiX8NE+qFeprwJvhCXg0ILRupo7b2JvyIg6cmiJQg+axCLvV9iJ8AJgGx5SaTi7UECrHzQyLm FjV6F+G4bTkcF9uZqoznb6BkEpg2ygDHzm5R2RDloujs0xSF3aPQINkQAaAjX/ZWqtd8GBYQNk0R zISQOzDxkg9eDshQlqQtGvjb5xDkldZB2iKyi+0Eawqsbm8bVXK141b1wWqULQtudOLVwecjPY31 lZswh0AVwScpLHcX2RZ0myTmQSy/pZktIjgR5eq+4/62bkwUXNHgN1zaa1OVlKrP80StWWsJniTE nK5LJJ1p2etGQpAsvAGEa4POpdoms3IkJ53PRyHlt24NfhokgneWorgbQTAIbdOaArS4Uc1kZ28K JGD6bSmFcfcKPAzOtIBYoiJlb/C3pGsaNiVgLfyoW6XszV43EjtkH7awG8dBWy4lGusgv1+Lzwch 5fVJpT8EmZ1krNg0hQVveF6xaViUw7n0fJr0fKVyBLSJpSCeQwPHrhOpsLrGwXYc5PnOqYW1vts5 v+tgNSABbQrU8U9hn9riJZKVcmDhiJOJ/QBiKTVYnRKK0kQJnliCzweRwFURVxIH/ZN6M93YxBHE ZIkRIz1piegAeapYzgKLvOE93cDFESEFpbE7AfEcrPENrwHET4RQnBmvoM+zMUnxEbxr8kx3kNue AxdHJ97+rwQJ6nmSl69YS4GNY/rqnSgChX1bueFS6OM4JBVFSjI7usmNZwR6lfEMJTKYw4VGjmsI Z8JiJQcvB5yJR4WEnhhwrdMkMbbMMP4AI85yODl/58DJUdsaTcqRfFDkjYaCkvkT6PP54I77j1rU wn91a5ShlyMSIUBlve09/FoD3c0T3UpNaU1SHHlLBqkNHxxngkOPYmsids1JSdot66D8LbRRsqpv oZljJeEki/JEwAVTigwBd+2Wc/ss/oGgDXe1NoRZAhR6X3PiHBg6QhKJxrtzGMV0WrMp5yI6yqBY dvL6W+zoqNLSUGlpPJ6X+P+cT6HFNWzFF22eFtzVkMXln8fYYFD8MAeGjonSIMhxe/PBgF0nCxIl YgBCETo6PvwuozpBUufZbxHAG94CcPn2ji72n2LKmYiu3BIDcO5J7OhokbdIA73do3uCFjK69CTO 0jEPmoFWej0R+c8uV9QGKYve9g+JyEWLCRt0KLVdTYX1bAA+H62kq/vDwKiRGf4c3SGRclTyBIch l1NzKyOzBqX1IpSHhFwOXB1Rya1aH90Hm6V+zqsBTS12dGehkAMEfgEOgLzfKo+jmupvoa9jKyK8 z+mDt73hOP2jZgOffz+61/4+lQZZn0/RJHB2FPDhhMLF6gGzujNxNi86etHw8tVSCtmUqhftcgcH ByyA3tIU5z2hfcY4G7HYgAEDADuXn0ORthyYOwIWw56JpIM4aJb6aEVKhrPq+Uha4reXCCRfoIp2 5ykU+0uQJSFmmKE3EgYT+9tlegWuXnhA4PAInAFBS1tyiPuhvp/Dus6xqSMFStTiGbQ2S650EBs3 ppQSWQ48Hr3D1FTMbU8xF1YKEoKa6FYGJo/fUEoScytDSj+7Py+x0j4fKa5Xr30bMbdOl/s7W9ga BL0U7y+9RErnzySaC561KwcxDhYEnNMi7bpoPweZrZaqPB7RM4EwUsGTpuKPz/68xEl802RHUr2D zu28RJsBcQtP/8/s8AW3lbrPfc4kBo49SEzpS/oSI2lyBhuTFP7ZxAeYNQZcUdzKuUjUF7lbMDmi vZet2uR+apMn0Bon7hpSAAK+TrR3UO/OAYIGVqfUzM+DfX+dnRNFSu04sdbNQaunYGEybyso4L7O DiKHZbOjHpukOZdNz0t0xKeg/QQzMjMRaSVrouDAS0KA4ADZmF0vsZHWDsEAldqzGmztTGABBpKM zAYtRA50LEPEDcFJvhjcSTj3S2TENy1EcZPGYIPd+bCLNAPe9nkJizS329cOcxa2kViQpH99tHY6 IqHVTNqGIvummSASM1UlAM7h5LxERPwzdiloh9TH/AG0CRjLUUYzD9jYLTXbQG5AJNjGRQIyc/m8 BET8CNmjnwxPrTbExmzkw8M3UUYTbqafl3jIvmi22WFojQwIXRrNzks0ZDtrYumsq9pSHOWOFo2l aHNVK9a+xEL6ZRgokq1pg80OUDFlLLqrnKQZuSwVEKvYcfMHelG+sRoNwKNjR2VsdG9sUA4LxZSm lvaJqV/iID1dfGNtgbZQ+qQIK2bnJQrSdpyESJqaqCPc6PB0ke6d7BCZggazI9pWIYJWwwPYghd9 ljbb+T8QO7FmhU8Y1lhmki9PFrZkPi8hEF+VkrYoGwwfrEILty9rvZbPSwCkZWCQtqbWGk6/Wf1U fgl/dJQD/H+yLvMqbAS4yDakDTNzbIS5yfIhmh3e5lWsrfrF2lq5oOvVrBkCja1MQhJRuWehLoS9 lrd22qpEO4tSG2PQVYWDl6+bSq0nKRqfl7hHh9b0tZMd0l5oMMjZeQl6dChDGpLybSY6lq7cD+Hd zMVKchDyS8ijQ1k49ikh6Pl4qpyIp8g1Gz6FJ8Ra1PUbIKs3UOshTv/UZAdf9f3OmqwOJmkBcNCp zAoUMcUnZvq8xDv2hn7uqJkG2NG9sl7qjNpZLFm37XS2vj2hL+OqRaXsdLaXKqMdCuZfMHzwWuz5 2zhDqTdWwA+lvFgFyQpEtx9KHbpJIcH+LzVGfT8Ul0+ASSEbDlaJRf1M2r0FT7/PTl9+Zw27s2go qdl5KS/aeoXnbTGgA2wwDB+a594ONsKPYyIkUbwje1RuZw22djrsZuCUB394NvYZLnfo5TdKd8CW 2pHZdCx6KS3qF2BBqGwKRnGwpAIJnRkW4enozipf0SAs7GtjdioOZjA9g17N7UqQLBflB21tWzWo IFUyll80P6xRFyk/ly/l53NvdzQYO89leJcLSAv+WkcxcJfLFJlCG79Hy8k6Z110fw4G7j87gHd6 I34mCpeX7Bcs5MmUWi5+qb9x1/SjJKjyj2IxT05728k8CHdmeboUmqQSNR9OEKOdtO/g22vinCAr GojTWUiUlCDCSFmGhd6CpRbv5426psXOYLBAKNwGXeylZfmhnw/i48HpvP10xtQuysZ1v9jfiGu6 uxAyr1FN2XiJiIUlNBQgVrbyh+MdopgZZQIEy2v44IUyip+zJgxywy5LRVdAKKCF+INIy/KtpQmK gmY5xReybTXY7UWvKN5IKJS9R80wO9pfcSGgSif38/P5jbRmBzSaevQWMhEbxzuO1K6IDSlnLABF cbOU+atgehz8DDr/NQS0AJrB1moSZNn0AEZZoFPExiS1hXQI9hho9Th8GD9zsKwCtRTlXAvtjegQ GuVrgmDVx8TZJug9claJtM9hHntngpqzaBtliThBNbuc3xtfzZI30r+AsrHBJ2hBIGvIMP4cposi I8DUVJRaz49KUh/RpKi88l2jQ0iNH9KxOVjKXmuT7i70Dt/IanYd9e9DiKrulX1pzlAUPUNPFi4h zSIgKWKTOyO0MQUPCZlk2ec9fHYljUbhGA23sQEq/2LDFbsMMOMf06AVJv7S+r0a/R4+A0lHpcNK BwMO6muchGjLweCs+s8bUY2v2NL+ip8RxIDb5CHiG1HNiiHIL8Z00zQiKq0wVq2Hj/zCZygKoUXm AzjWh3vRg6w+iUyrUBic3tofqosVXLlW9RHlMYqhWxUBu3cfjDWTaJaNIDHvzxtJzZLb+nXTc6+X m52+cdS0NdEUXtk1a8Z0+h64s8M5M+Qp8SKLomgVWAkzs8HxxOCkV8DkgeCvDd5XZDuivMeknkI8 RiyitvEbRU3rlIWxxiq0BsM3nj0mNPDq8/PGUPtPpKjmBzLUfitj74E0gERs06diS0hiI2zYD+tx oGFfvZ0RRdKDh0vd6w5P8edEEvDaBLixnTiS/wkUOc674QWpfTS/uhnvkbSkLwpmu/hg4MYzPzIh 7hCriULpZfRrO4YQWLV8T6EoloZgPuAGzYpjah2yOLZcaAThsKtDhcE0c4y6n8EnqAJmw27UBsYx bWJhNhcNvB/Q6HIg8Zx612iCMDOLX02D4YFRnNzKrtbnjZhmkdT3TQ8kJBSwvHgYRdOgGeD23V49 XGZFmDb5t5RfoVQqJ+iNlmbrV2XVkn3wQ+icnb1QnezsMILrWSEjWYZA4n19VBYT1TdWmq12K5FV H3QIncyhyn79hKKfN1KaBQq+gqp4fidoFFWWMxSF05mqjGu59rME/egHlpalHicfXwbWe+Ok3ZIA FGZS9sE7hoM9LqnVpdlBlvyRV+FPo+VlIRjdmHtFL/tXeR5O2D4YJKaOYnZObX7eKGl2k32fQpTn 6vcieyOkaeFmZmRWWj3/unZOrc/tHZ7W7BR646NZmY6VsrKzDxZOF5RKZmqcoQHUFXGwPVM0Cz3a 0a7TN5tvnzc+mpU7sHogOe+DFhH8qIixOxu6fd7YaDbB4/ucJqhqZJ+hMJ6mCEQ1xDAcCL0HltVb hWXaJB2JMxTF0ylpl8nO6TaET3Zx8vQJ3UbWg05kkaRRN5DTs5jHcoCwMJ3avW9UNH0IfR4GFTc1 GH2oW30OAqmfNybaLbk/FSFQm5k72gxFAbVIjWO6n9MoBs5Pk6ovwlQlV159o6HZJhdpiOYENecn JRsnGQdjnLXoDSVfSvdA66clbL72WDeKPPRGQ7NFQFUahOQ2GNbz5PjqOI80P28sNN220oe3qhCB uB1KypqhsBzdlLRaWj/L8mioZK/bd+ZOOoeienRXo4eZzjZvcs3QiX8avL15DtWO0JG4NJxY6M9D EtKq9TJ6eGOg3aC9SG7DBk0QhbfVkp/r80ZAs2WevsJFiCyXrwl6j6dd+GFbWwdOGF6vX324/DMS K1tC7/G0Mm4UPBQTpUuLrbTTomAS4ukTV03iGgaQbZ1Yi5LHUxlaetngLkusnE3VPeatewD/L8uq E1p/3thnduSWr2iITbu8vHT2Rj67hf4+xavCEpIiHbPWcm0MKNijJfQeUJ+flw1mIVs0GCLvJAaF CiRELECuT5NBkDZcbqkc/Tg68TOCwiubhQg+f8w0Xi7NDZpSZnm69+eNemaXSfoOh8AYRafUZiiq TIP/dfJ2v8pq4lXGun1PnrWWvEzx4Y14Zp14ii9SXUaDzxCCHAjpc4bQIdnidKIAhPOZwnkqnQ22 xN54Z1a5YFUxMePgoID6XGRTS+BM8ueNdmbZVf2eIFzzPd9mcxRQo4Z9Aqlswljo03hTbE1rqEIW 2s/p94D6Fj6kQNO/FGhOvIxaPk+hjBOb7EJk+OdrQMaCXkfmu4dz7/NGObMlxONnluWDB9RgtePo TXl93hhnViX8niCWQWi0qoZzFFCD0dzHym70IMuHSVhQLZ60DrdCf+ObWYUJXxHGNT7YChr4fySP ADoFhWairGui0goy+P10fojleOObWW9Ldmm5+mATNBJDcWja5c8b3ezm1TZBDL+BSSMOyaYoBHR0 mltON5YTTYHHUJvOESrko3CKwgI1szHANX3wTYZzCFLiNLccA7krT6rz24jcucRoMr++zxvX7HuG JhVEOVi0CKAlL7J+joE3qpm+aeq/osXkCtmcnyiehhBwJyOV89NqdTremsmqr3BENM7iG9HMDiFO TF7LB48Wz9NzUXEZiChQ/RkMAKCRyaub+ymd2bsG9xgLQ2OzAc3BlhCST0mId75icEpnDxYZGOLG mTecfiOZ6fAgpKw2K0/34dBy4EeLoabPz+0T9B5OY48h4aBZjQ22gOZZTiejkwAG6IRJ6S0bv0yS 6CsnYVUKYLxRzG77H+K8U1K96ANULaF0TjJ863ne+fNGMbO6x/cSorpUH56SvTHM7s8Cc0vjBs/S XNZhL0Pkw5pwGXbhjWCm34cLoy+S8Tj4FsO5PGj9DFUHlIkUDGCNp3O6Xvo0mUmfN3KZ5oeOhIhc fbBbjD047rA5P2/csv/E0ur2bFbG7/y8B9NoW1OOJ3usOC3xgNLMdoZ5xv9d8xNVpxO/Y9MWa19b 7GSrkOOVSj+oCsSfUgADONzxM+d1wuhUoH9jlmm1M2NtRRpYpV5+OVDqXABnrj5vxDKLNOd3gyOz Q++B0BurTB9KeId7n6OS7CpqKRvU7AQvNHRDB+iNVPZVe61yJqyPM2GbHeRopCtEvyz6gCIfI/oF wXSfD0Oxb71sVDnD1FA7ywZrQ5/Ac6jvhR/xPZhGnFB/zZAcOXyGomAamVAX2gkXfVlreps1uz1Y q4KSJ/6dv9usvMXNUWAyH5sUGiFGGmyixgrS4qarPHdRjPCcnj7ob4SyW4AnZUMEDqS7XVOE458B 4Nl8nzdCmcWa43ubQeyole0V/DdCmQVDBaA76zo/YtiAUI3ktTM6lnGOwvo0RS9PcN588Ap+OfdT Qikvs+rYgddEzgo9J9QCxmMAyoPv88Yms4OI9FZSF9e5wFA4Ue2s18FKy4m6+Hywz/b8niJgL+tT PHsjk2lmIe2MVEDLaJVrIlulNDuVtVq3/o1LptdnxaOlfQfvkw1ALSmZuWH9thv9GwF0BTqx/cAB zVfRtneNukBSlKGzCgerDJ11rh7F+dafNyKZvWL9dZU1aNxejGIYUG+gPEYWur5TqsXgv+wbsMdR EEjQB+ONRmaXPWOcubMPjjQ7iQuAajyJWj1RHnvRAySwjjZmpsqbFhGFgD9vNDIdCqZQ2GwYyh16 OpE0470TZM/PG4tsOwj0a4bQltw3HHojkekSQjO6oJIvPmJnZIh4sUCqurK6mACgbkha3zhkFqoQ i1eJVeBgS2ifuQPul5Whk3R0xoZrnv3304A9hDKrNxLRgP+8UcjsM+QPwiWU7xI6KfJqxNdjSXze GGS38vC0Wiv16ZJ3gd4IZLaECkVA1M/otKOS4wwrsUKQg/klwuYbf8zWP+uu0gUvjy44hN6gRkRF 0AaDMHZWFxjFWLv8ghcHPPClP2/8Ma0BQesLSyYcjM4CAytBn8f6vLHHrHbmbSBmuACkU4lEM/Qe UYMfzXnxArXuUF73kyVyE011rv0bdWw/oKqa+vTBT6EEe0IyZVkZKnIpGiiUNACqpC3j9ntDLxtc 9zyiyyJHoyzfydhi5nB55u3zRh2zaCF9rSHWQdNtlL0xx7bj47GGPGmd7k+o5MBqZ8XN5d6IY5a0 CqNEX6eerq8TnGQmZM2lk3a221A7pPMnRGUIaaayVuaGnzfemLJWbrLKr6jBkrLzcarAnwvh80Yb swbA9zlN/6O5PB56I43duj/ovNZqzX14J3Flp/xAotKg9m+cse3wNdSiWWjmYCkH2htlJ2mlpoEz uygpY5c7/+TbA9qEDL0xxiyrZ6BIU2QN1oomtpxbbI/PG2FsO+zrK6tH3IBrwuYnhHuwV9/atJSj Li++VhkV0TptOZrhjS5m9xjT+U7BKg5+Ss95EmgIMFAd7cRzpsXHwhDtPVy1MXd/178wVbmzI81B E5TBauEZcn6BzxtXzI6wb6oGzdDbM0FRQA2FUFz0y+v3s1+8/XaLooQzSDssDKiZk3WKMmuwBZQa dDlk5JRJrFDZA3xDXP0/bNUbhbzrVaPqPSn1IkLXS4SGTLqu+bMg1ueNJLZ/Q6rUqt9GMtb8RME0 fdMmc0wBX5uYCfDeYwWtCA4zBMprIWyaZJZOr0oNNj9QMj8bSxusQ7TC1NSRT+MWK/vmrNSpwWcE RCguoDoJXuSgE4hKvqwsQu2rRbG0K8YYqhzXDpx6bILCUBqoxbN0k9FZ5ONIGavucwWTM4N1tqg2 nYp6iGX44DsMalpd/p/nn1UqvGujQFeQo+S8HtqqZiiKpUX0mawoadASOjuTvyaKBXw86NTn70AI sgGFhTsxWqJYGiXec58012S4jUOI47vcACnkorS8x9JiSaIelLoPvoToFwTsMc7gs4u4ZPirAyrU IdB5Q2kWzvo/mIaN3WgOWkIDjXJdYqPi8QgZfAlRFlb+lOea71EsjeOzi6fP0uK+DqA7dfO0QA/T 1lCP0B6D1dOe1/TBZuhkqADcC1EFdlQSAJ25AlCDm4gqpmP0ZsFnBMmGPGRpH6ABn7HnKETKne98 co0ehdIPKYq0Z14St0HW49o0yAl5GVN1sp2r9kZrNwyinShusR7VptXeqPA/scFZUR0mTXAbpNH3 WLKTH0iG4e73Q9VGZ/MiQ8aHRHUhJRusnOXJvgizjQpB+smv3QqeDyiHc35tMkr5I7r3OQpJhwCd 9Uy9ClAGdHsRlUdhDoLOBjt+OKh7FEyTtXJO4nIHO4cS0CrwsmY+NmG2QwA+OvUN4LTCONFQZ9Sr 6FEwTTWZheTFB4NPn0BLV/0sE49HrMz531go3/J0j4iHdCMsJYm9e6LGbr6fqTUXRm8JMkEV6UYP g2leRnWzi1j300UUmgG6huD0QtpdbuhwPAZh8weUI8ctsrjYowL1Inw6qwWU0VkdyjZQLMDHgXyL x4OrbNxgUfsMP0y9+yyMplFdhLOEErKZTF4/U8LDEEO70myv8+8Ea2hVbw7a4ClrawU6ElRCh3YG wkTUSVE5AygeIb+fQ6hO4TOCnL7IiVjwvO4pTS1tUkP9BwY7eDxYQstPah7UKJjOcpdQVKAGxKRv Qq+hDjeQSVlOX0lY7TK09AmKw2nDZmYfHA6DwvxQxtoLmD4IVxY69oAe0Jrh8oAIXMKH/FUWwjXm g7Fc5l5VbcQx8fj/C4kD1fva5l1C7/E00hQ6owKQSJ9d1k6QZZxLmhVX8ldpostNFsXTEquuud/h HtXo9Ig4D/ezmVXCP4sLbq/9B6LvzrJjfbpH9elKfi9gbD4YzQ69OJZ6ap54PGKw+l1WjUcOpr2D GXoEn0bg3tmR5TGU+rY1lDq3LWJHOCiYkFeP0B5KyaqEzY1hQanjdHbOmuawC/7hJMB8iSJwZnI8 ijkIUSs+IwiHQEo5f5GtDg7aZPtE/GpznV8Sj0e4xXvbs/Cf2OWdd5uFMXVrBAp1q74Cy27V15Xt SELvI6v62qPytHrRlYI+GhwfPGDqybrJPivqRJZEv04ATRoXb3kAH9QmxocEaSsbQKXIqpk0E95l J2LsQkCfYwKPR6vo+y7LiV46zadoREH1lIR+sbRj7FIdztBXczhDbZa3jqhALW+z3ojx5OB5K3Rt dyPTpeByk1KznNXQiqHBnjvpZL1r1Aci3ixJETB5blz2PJmNlc4yHg+C6v4dM1Kn/7ntRxRTq/ya hlHFZ3V+OKwOp1fwaa1JqnhYn5Yg/FjTB+8CwTW0JHLFoUu9duoSgMmUq/6Z6ZaGFm3iRshHpD4O BFN8sHZ0oSUevuDm40F9en1TgRjAQOHOJigEUOMua8pRATbv3myFpGszEH4r0ycoqk9LLHcoRR9y +h5MOk62Cc0XpmWjIDnlHJL4QJH2fDuJiJQGPiOAdpLrS7AEBgK8eNnnRE4uWyZ4/F9MIMaGgGjw fNUERRF1oyM5ObrE4KdLt8uicTSCS4e160cUUS/trV2ZnElqiRMETdnCqBlksoTmlsqPrC2iF56e q35ifkK8B4uKOdc7KG2lCJ+4PbPh8eAMat9aDCIVJS/fjyieRj4KmS7RWJF7OPQ19WlHUBuU3+b8 RPE07lpGztWHe0qfK7Z2xdMbh1FhnxVyODVh3mmcYy0gmqCPqDotywWIOmNY1DBQ+RV9W3zFk+xl PB8tofkVL+J2KGAc2BRFATWQ8BCmdgXYWV0InkqergDr3kIjCqjX0A3Wiw8WDQH8JPoPqmcDVZx6 pWAyuRwXl7fJqh9RfXqqvCi5RQ52jy3qZJFWP/H4vw4hbk1ur3InKIRPw+ysdWPTn38Np42bFGVn F2sZVWpE8GnCD88SUct+Pz6V5wQFAq9qgnDxz+2JbQErSMUzaeUQXz5CSQ92gNYkqoGDJghSy1ti An3g8SAYmj5BDHvQR6IEkCYoqk83URT8GqtluOLJoIYE0/qyh9FcRhRPE/lzMheWYeG6jFdnjwyX YKZwPMQ+SpmMlhJlTKHjz3q12wqeP4/PiCIhhkDEq2qwAvX5OMV6a208/q8WGWMC9GyoUqgJisLp QVge+aBsIrZiKwj6V9u3GNCeusbicJqZRqHFGQcH4Bfo7y+e0vBMnvDcRlZPa1d0zvKTktH9GR8S xNOMhNKgNEMC3Vz48k7oKWtD58DE80Fe/6t8RvWU+cSKYTgNjc45nfO75P0m0cBkfETYnxrnd8Ql aov2pg8+RbmA9mXKwWh20LBzwL2g4RQ4cUD2Ij7NrPAhUZ+VVKnErJWDcRSAwWGedaJePB7M0AMP 5l0GE6N+5StmWKNuPJ7ntMR+ZvMQzHU7h7wTqcLEfkbhtBxdsIB8uOjXc4oh4JWgGUQtCc0D1heK ScByXeAZE44Zwj2oqdyTZD6SUzYrfFOZBbbUGh4PZqj9qg2BIGGmXZyhKJ4mBr/Q6Q+LqFTKAzck deSTyK6Dgs1YRDOsUTNcrF14j/7gPWZKZZPyiHh6no9iYg9a87nFzu8I4L2XYHEh4TP+quLXpaW0 6p2hDi0mzVDB41E49H2Voblcn1brjOJplLa77ByhGLiED2bxrFGrG/C3TjoIJygmJHLt2DyNx4MC kiHnLpuyOE/TFCcX6poN4RAjTCO1UntgxjXqLe+J7INVPhBvGeu34vHopN5fwRBaUw3qSjZBUTwN YTGgjx3MsFpzqtS4CHyVuilP9XeFGn5VPlwuWUfUQAUmHGiNUmZoS0NOCb9gLbdATTrijNEe0veo 3QedQkCrGOs3ZTweSU6W72gRLfB+9T1mFFBDFPuczqYLczIF5wGdVHkblPpEAN14QDOUxpNCzLAC 0SM6eVKDdQ5oraBWoGsmLXhE4OAkzv1A8AFqwWcEWyxJ2KMtH6y4SBMibLHSKx4POontm0pGJ1Wa m2uCwvo0pQPJgWAw1K4J5SymG0yvmWSndIT26Ermz9Hlwy0ujjoKE8R9Uug8yRU/2QJo2yAKDGoL C1HF4uKMwumqlFXigf0RD1yrivJ71tLA41G06BOUWH0tkNzxaHFGcA8UyvooHk53dnglXjEv9HVT lIUrKKpPr2wpWfPBix4Qfx6DhbPzU6OvzsmApkuB9GRnvdoklIveNTqkiaRi106D4WFOQsMeDgzZ 8XiUkP2qCuF3eKQZZkhGhHHIaM74HdTXkCOuHCjoW9LuGRRq5FVNENuoHHyC1kxIw+SBUxPtbFkv ZeFBxFKj2i0acsyoPF3o6oK6uQ/KN5BUk2oHmzQ8HgGq2tcZVIG+r7dZPyO8B3qgfVyrwHOUXPD0 cu1SN3ZJ/DvBGcRAqBUlZOUmZOdUXqDts42I6g3t1TlBgDOglJyfJhnlpWcUTbckcQ/iy+uV4jux 1awS91i94/EIMHTRDFxCmG4AU2yGQjIiJLiLommkrLk5djrNlKzsAQtVO4RCdQ8qw3QRfzl4yjrL CXu3WBx7AMNLRAzN7KBVB9FvJyPS6H3GUnn0m9zUHeDgkKFWrEmWCx6PEDH9V7qBWPoqmK4olsbC 66019wZK3VqH50xLVkJrFggl/p0gUpS5q+is9aGzIlJEJL3kzH0ehVghrahoYEMfjt/S2yvEewjo sQU9o13vjwAxZ1cT5HJOPzwekBFvr15URExo9ZR1RaE0aq69T7/npxNeoGLqTri4HewQWmEo3UWq 13Xf7ild0co8hw/B5WgFtUkN940ktiLVpxmFlaax0PEZkVQeG9GZTQ4OdkoD2iCHqV3xeAQ68wlS 6tZEp7UJCtWlgVqc0pSmn9u+sNeeH9ir5/QrxHssMaGZ07fGX7cJ1XmypS1Q3vk7vMB4CCEGgWsL o++HMt7wGUFpmnurlZR90ASBvsHb+4TSA4//S45S2HI0yLqf0ivkIjY06qW2JNeN5wxy3majk7km KEROawVNudzMB+yB2ccSVFWogcMjzwkWmhHTPHVFzAA/IwLfI0Tsi7hgDjZBJ9GROswJ0PH4v84g TgYWT72R4opCabRXe5nWLAST2TqIqbMpR6zHOfGNSbZCKqLA9/+rhUtATGqELVZiMBlKT4h4gLr5 0x8OEOUd8RlB2Ux03/8GQvXEP0viMOvkGiuuTH+LcBP3ui47YUWhNBD7HfQD6/5QyIkt1kH54/8j gYTMbk5QKOwhuFCRzFm5MmegKJ+/mSh9Ai0CmKzgQm6dfJrCNoHb2/AExIdEaBgWFrvcXC/6uKEG KrXF0QcejyhA3wQX1DpqviLuK4qlQa07y9XVlLfOazYQ2/Ujafg62mNhLE2ib1X7h8O9xgDXWgwV IT3TMp25YJp8ko1zQnNbKnyk/yE+I5ggSi9R1ZODMYAa3Ly4BHpKDY8HYJgrfcKwEP9dvvSNFcXS ZbOvWrxmRgVodekbHW6YrlYveKwQOt1ZM7PrflyTW/izVcLX2P+B4ynB9qhDo8sJDe10a2as3a8o lraKUOrbB9tjKw0pvp6gH48Hp/QlJ/C/xZqnoYAmKCYigqxJmQnqeki2Qroe28matDTQCopL08Yv yD54LJ2QTVIyDQYBixUDoFfgSop7FtBsa7EWsmvwIRF4mhfY6t0HlYSgP7BET1gVjwdN+jG/lhCt 2vYVW1xRLA2JubOzerJIiG4eZpTsbvdQsMg2Q7HsNGeo5TvYHqtwkoRTOfBUCeRf1swapLs6tdlI qrdQaAy9bNT/EeJMrgD9mtsiohFO4yQ0eDxaQ35OW+Td4Ybri2hH0TQ4iL0308LFPewMDpS6LBbK lAegMHdUme7bikLJh4vrRGNvseYBabIiV+TNCwx1xPJfL+kdRdPk1KKvMX3QGmowM1EWOvh4RHH5 LgoVwLJgh2IT9B5Ng0WGYLEXo7j0h8ExktkoAN8+DTy9Q2GPLn2z2n3wlB6CM20vCbujGkKD1om6 Eej6PwiA3CAZWQo+IygKMZevVjxLbnJ2VmVdwgpBFXe/R9P4Db9rHmRv1HtO7xA9PZCPdfNEdlVB HkPriuI26MBpgsJoWhTwInJ9eXSVK5BDRQyFAiMkmbUkMuyAZVcVTUZ2iGjwGX9VzXCF+WDpxs6V gH8AE/D4v3iIzMdwJq1bNdtRNE3t8kHSI9ONfmXgAOF1oMdwIMOOgR5MN+R1yMGDxRMBVrSPWHdF PZEZfQJuCrzEH1TkLg2x6F0j6ZMkDuvyQRO0UbSZipA3Ho8YHN89ViSspV3Y6w6R05ggpPRWFCLF ksIVkvUzy5biK+hvGmJllUfDRXqgab9EiUonzhYrOIGGXaAMm+fj1M7K/Y6Fp4WB6d0HTRC0782V pFU8HvV+vu8xwKjODrsrKJTJ62RJTbcHGMPSeFB/9D9m0FRMBW7HOA9LWIcPTgIqIxeKNWMFge9F QT3l5VikJFZZ1YzaJzsqTKdsPM072AqCixlvppoWHg8mqOSvhBUu3q3ei35HOA94QcJ1Zlhhmigf YYVoDC+W1HIi6w5l8nT4JGajHC4aD7SQSf+EgtYSsVTnFpPk3f4BXtw60Gvbu0ZEVgpzDwLMORgQ 5uwxwgLYPdxxYdpvMbMSQI+tePtwh8oecGOrZD+QT1+bm7LOfPcYARmaoRjooWKH6q/tMjU7fCBB tEtcQvBCJIEBeHAcAtQKtSVUSEXCZwSxIhEecKz3QUuobbP1O+858HiUbtz2YeMxTSLbdSgJS9OF 8jnJBJhGW25RUhsrIdhkiaV3pKw7DqeJskMAZ4PNEP5QPvEW77EFJymRoSmJgH/vWzWj3j4+IpL1 YKZaKBpcLpgknxxySBsmLT4eJKy/6PSgM7T0BEJRMI0uc185ywngpK5WxD+7jnenpCjX8PmJYB6z 2OmTfbjtQ1RseHkA/nEiBiZiCS6PFatJ9HpTorR3DSJFHkJdRMR+iYiAIlVKs0NiDo9HInDe29AC WlzgNkE5RbF0m1R+M80cVGC7ZayVHVsWPQplayjMncJgmn2fTNUeDZoiBHVQZWZZCJF1Q9RQ4ayA yIuG7PlG05Q856cEbM1CsCLZ63AMnEJs4JyuiUnEiawn/0CELf9uQyPAbfOxcklRfXrRRQHROKU9 4JtqUI/KyjqRwdTDJPyeMtt/pGUlyQE5XYV3GBzOEyorpD4HXF20GgfJEnVN3PfzAXuMba8b9OqX lARZA+FgYIbzgTz+ID3H54O041cBjT5tRB/ZLIV+Lo0aXuZA1pc824D3WKUY9+5st2vFmkIAtRQF mpxG27xlfHpE7ka5MyBlCvUrT0CLCj5qfLTncBXzam8bTFJjhUjSrxlsHs4TCJydpoQgiS3+gQDf WX6VQDKTs3xnKaxUbyAZhrU1EIJdTENvzq8HLMfsFFLohsg+Wd6mlXxVqOF3sicwVSqjzc2JXB1N 6gbf18yGvSew2173LwgjBOt8UJ0ILWHlD+dc5fP/qlbjEEP5tLbH2CVFAXYiNIb2oP8no6l7MKkj RIQePC9tLUX1arVdK2R5bPAddy6GlFAgpH1SzyxiLVord8TlOZEVxHONNrf8lKDnYTK5XFNS3+C5 NE/KXyUiBFeFFKI/fgkwnsiqA32d7jSFReuK42gzUx3IvZdj0KiAUEwoF/hEuXDFctSsghRToHxa i+lEARNHFacJnf3BXB+0ng4B+PVQOOmqyA+Jkn1ebp0SXxw0S+fxMsQYO6kaq+Dvz19dfNE6NmLJ 66KUQsmPSl7H1D5Dun9JnHU7zXV3nktdfyiIA4YowLKS7DfWLvOcIpC8I0rm7LctRGwnSA9c+9lv g3qOaW/7h1Ll3IJocdCGO8uzKFaeu/P5f7GlVZmFNVWad5JC2Y/cqYuicxqon3TZ0szz2f6A8rsd S1G4LaegkpmOcfBgCVnHxBUOuvRCu5pCevBSaiS4XaeggphVHxLRpdmlTkSjZeYAWkkwHcTPcsLe xuejJqPXjeQIWCHpeX1eUhRwQ2IB1tmmVzmz10VyLSt56ZGe4NpukfiH0Pnnyw4fHBVLL9IhbPXo SPjZZhyscpA/9SjpyQQ5xcrUPJR6voNCynlesFsyP/l8sN3qt6kkS+izPxfcn1xFMyLFvyS9TN1c VipM/8NZQjmFNWxFScjxbbj1x7N8upSWzznezkUgiXxgkPKkvJtBigqa+3rboHxEWWqmfjZou50H OzF8dTCiDP1e2nf9qBDOfknBObRPBPiss9qt5DabUnUmWMepQmW4fWLgn4ibR2KMkla+vqE4Xs9J vUYzTca5mM2ebALJJdBh6AA7XZGG0TkwUMTBq7yWHRGJ73ElZVoQYpJooBg6KI5b6SewiNVPujfY LIWURQgUjGKKFg9CBL7Ry/VzF7rJNksRMCRJCH4r0d2PmtU5G5u3QwqRjrbaSIkDKbt6T9ba+jk2 UZQQSHHluMd7qshnqZwLhM8HYfcVZmTRCSAkNEB9ksKwu8D3YGVJUruxQBM2xNRkUD2p5hIY+igu wmZ6fgYvRu4NHiMdF/KJ75kNsPuP+i36++V6j/eV7G3/0tWrjbkJxFSNw4p7kZcT2F98Pqr4X+ai /BkgBb0eN85IWg+oHlA6TYq5t+Zi1SQW2lKqya0CQzNF9jZQ3i8+2FKasPdJjf19GuQsHt3nqMc3 gcDeeqT12L3OgZuib7jZ5h28ZlsF2oGpFZ+PxBx+RUqNtl7PJL1H3ejwoys7rlAsA0/Zv5QrjlYZ 52iSQgajSkl0l9Lg99vE0YQWHipK5QQELCFBuOCHDEByzi7QaNjrBk1+kvFVr8eQ71o6IRmrAQmm nKGnYpnfVSUK+DAKtFmKVKs7ARulWm17ytYES6mTiMiyUhlj+yzFUBEiIFTj5uCn0gm0C5ltwNIs +CoR3AreToVNKreqnUoUlsmBq6Lj1UoX5bw3+ylAE15isp6X5fNRB+lqDquKsHk73lmKiYwwppBa 0yTbyuV3OgMX0tAozWn+tyFcpMjBVpZ3/amZnJDmhMFWMxmIlgjq6+VMUKNEe+oXnM4TmJ/yl0gR 9pcPTgApwtHWk2fx+ejw/m7VNooWjCcOCNVBEAdAkdPyXEpUWW5iQqm5M+W1WYrMYPoSE1Zsvfyw 9QYiAQCXBFw7ORpzE1TgILLdhRlx2YJqbxvdcESuyZOqP55UM62sYGnaS/6/KKg0dokfD9PAX9HE mXM3kYJe03bK59zud99yvYWlwGDxChUl+rzKVUaTBNAy2goEr8FTohK1P8DCb9T+p+ynIWvqsNeN lOIxPU0+pu36mFZ41yj/Orc1nw+Sk6tVxP8YOc6sTxwQwrCbRHWtZLKys2HzqEQfFW44Atg4S39j RyqyeRscnzXmKDSTRdx9Yg4SahZkz394yJO2Yj6dsuQObRYLXWEyfHds0FKCUpa1iWbj80FyUvrX DUdSN1AuNkmh0SKBtGZ6MtiXTE53GO36wlz7rhw6LS5lcLxcNNgkNag5Np6aZBfPRXuqBewTldch QXJxkNne9k8Vg1Qkhs6vzANh1s3OYi9YSYHX4i/1PSYnGV2Ee8GFXovnlZHmVskz46q7ajPUwReA JN2uQGi2OCRAnG2u9j2UJnCEGUAzFkwkEgkJcFTkwaqljoGqShSv4of8ecGlUXwwXlHrheU+6ELz +aBCWT2ilJAB8D1X7TvHdotQfKlgrFDNukB2Q4DavcDEAbuxNbZONUlh2K1KSa/VB0f7VeiwAYIP TR7Y99HNdJzcGnTTEwVkV5ItbFTzQyKKLMlXkF3BcI4hxpQZaEX5c5/IZ/MPRHG3hwHew22rPbMU KlqzzdO3FhB8Abz2BqKFDqUTvs/isxQCs4XZk+pM+VKdgWrOGJPC+rAgnUNVEpAsBr4apVS8KVCq vW4EBdjk/vLMXdvrSmBbbJ5KMFbj81E1wE8lb8ORfuGzFMXdW/Do6T4xzSREzmat7pl3ptsBSbmE 6GypGWy5de8rXtTShmDdlpF5h+9AMwwkaGJYgaPcXjdV1CgrFEwSm93Sm+NgyUmB79wPW5WZz0da fOU/UUAh1tImKap14wY+p9Kwbu7guSBICbhkDilpwycpxpQI488VxcHvt9LOJZJYoQTub0kLKhFb hFoucJ9GVZOeYw69FxUqtWJ+1B7bF4iCslJ9Ut/G54OVVL4dTtH/rl9Gy6H7YiM4sozt91t1qtEi X44NgTG6VygD+0UEAURNKPhWNU+5SYY78YlqWOsGBHkVrRpk9FLAvUYWMvDMof2iYBNQn/HBgCVQ fJVH+Rp8Pgi6H1OdqjMTTzwXXFTshrB2J31U1TeuAe63Qb0Kh5Z4nhtYMCaaAoHNJ2TA4ybcIeeU J5IKztJJqcmPVOYE1Z5MbAlnieVffkgwS/JCmSv54MWAPHSksPoWejCW6SVKTUijXtnt54YujJ39 3J23b7h+4SV1+oZLqGGqsBTYMAKxK9ascWgfMPKAOvoQDBBWnZlI2zNhAMwhOMr9q7m07G2DG06E rMGaAAfN0slAq+SIGjdcCDG5eG3JzuGSzQ+EIrRiRGUHYigXZFK9/GYaLIWsCAeZ5MCL0fVWSlEB stxyd4X2Sp0GlgSHJ2FTnqucfqO7/CBcZblwI5Wxt/0T1I400wbtuJQXN+uJvmrm8/+q5LIIDL45 1ZdslkI/RsiHIA+1c+nEr8lx7dQEIXdESrHccbEhI2UwW+nJB9tx53KD+NkSQWuhLZ00IwU83/zz X9B2Dg0ZFVGOxXruuN2ZDPk2ghEAmufzUdj9CxnQKad6V1JoyEi3uMr/O0+l9lTfWPRjTwBFD5+j KOxezG8bMbQavJALgdMtf2r4mLD9bRanBTBxId01SaPb20bAADZzMbM2qK405zm/m9INvWQAn7iW ceIE4lcaz0IKsdvQfV0pmfL1kr/FJJRsW0kXzjiewIW2jFpIzBds8Gbu+eNQPa7ikJRmHBKYf3R4 DefS3T63JLW8Q2PGacDAVHxQctJUr8QOSoPPR7PkpxIxYPh54EvpsxSCTDqVRWayRiWVzsyE2ZX6 IE90l1LsJCNivpCR60o71z3QwQVFHF47DdordGGGNQuKTD+U89HTO/vbRpxjEfp5KHFQ7W0AvEId sZr1ktF++61+DRbAg8SJ3RkhED4FAcBPW/P1iM3D5VcqkfdaSiGKmy0BignY4BgTqEDmLGnek0Ig AMBSAhGoAbGTaTtuZaW87HX/qlDWJAycBMvYqTzZXFVPAO3cwKMRSJxvOxAiE/vTXgo8GuEHgn4q +2EoBmR12zItCKFpxFipwE1JGVxo0qgNR3F9G55TCS5ddNg7V+Y5MprwSoW6wPNnk16i0zx1e9u/ 7jd2l23QfoOJYjIdn8zn/1ntxnwg955PqBT6NKLGgwDJGf5z+alUFRmwrARIlk1SBDFpWkPFYBDr YbZViGNaMQACwJu28DjOkULhKHxaAqvb2/6l24dijg9aSTBgG9LB5qEUArp/TRJziFWe+y1WwkZ8 JJsmTJIMdVF7w7VmHYFMwQnttzDqnso4RvLBawGj070pUegZfrpg4cL3E90sEBlQCfX9lpa9biT/ SJdG1oI0GHerbLnLwOudz0fCa7/kRnhd1udUivVGKlVGtgFxqGJgFRMro5x7BEV8W0pR1E2JHJRK ug+XW3LuaKrJn/0GPVLaYyxy5s/vQzjLxZqip6LXjcIAao3IFrVeW9R2YhalQydvXnw+CCh/9U0y f9NHfC2Hho1UX+PmktveSEawTSc4AA630TAQmbtuuDDsZpc2J9ZDODiMskOCdpvy/Eo01T0/I/gP g/r47FJbtESSUg48G3Eu4ecHHcAHTRN0Fqbw73vy+SAOePwLGGIDaAiuvU1TaNuIbwcDA+tV0rzU jFRm87b3qNl7lYFvI7RtaaFSWQ/goGkapaQ24KdCDDxaT3J4xL3Kk4jhkzLdQVZ7Dnwb/fSmCZQN TqMoRdoaFYspMG4Ey8BhXaL5wzkqP12B0LoRUgUgcWXv6GavB2wQyc3JOt1MN/RuVGmpCx/Rr1bj gkzp3Kx+khEI71+DUCTWLX8onKQcTl7fOTBvdIGNE6JUHxR573NKiStyYkQ+H9xxv5R7Ue07CeYz SVHkDVLTuWRycpxJ87b32eLZcSZ069YkxbRJakSJtM7Bj28WvOkiwKU0UjOXK7fmuhobJav+Fvo3 VnoYVADdMKCERPcYSpJwlkYqi3/gX7w3wpMartf0ACkDD8fzwdAW7XM5uXSy2T1EuLMovK3s1kU5 NnHk9dYqywIcvEy5IEcFAwyI2SwoJpijJcRkzis+bgaDqoc58HB0t93BA1eDAbsKJfdRmQWCIjRx /I9TKprPvCpsjiJ0N0CNACsvb+i2bY4PcyZDdzeZ5fGO+8PGcSpOWj54zQRKCaZFX8Bd4qGxFvpX FVJyu1w9GxLI+SF/QgMGkYLlyjHAcXIItnzWLJ+PSCfe9dahREr4DbxDJ0e0LNC4LwZbZnFF/dy1 XG7jESTJgZUjJkmYI1Ugx5VgRSH6nCXsf0EtCSREqtSil9/A2Fzl8VFT+S00c5QSfYIwkQ0WU2ZY +TLwTpXPvx/d6zK5Sb0wyc87SaH/TGHMYtII2GweU46cXSGyXYXIHPg5Oo+yAOVqgwfee4DjToRg O8nWXuJNAiU14MqKOuZl5lCfLQeOjpnCK5C02dMHgwbsamCcWfX8vxBLLEqhC4sz+YkDooo3nAAh YZSs/lZc8wcw1+31t3whFIGtI8qsPJWm2JTz8b0812dtJrFVzr0GNj+bJ9ibhfWI2/eWBlkOjB29 w4SusA+2405EJ0+0iWZl4Oz4jaRk86QypvSj+/MaK2VThUbAbZRc0oaZxC1SwyTfwi48Tu7XSCkn WgqCRMQLvl/21UKghz7cJuPkhBxZJ/wJB6ANgkgWEbuJ96NP+nmNk7JsZM6tRjc9DdZcGklCiK0t PP0yO1k9WcxOlyI0gr8qZXqmjdHs9MYepeclDIwFCgDlS/ASKWExewtmhyIaZ+HocptP7nbSsTaz brUTO2LfYR5TIYMBuSDRXNMo7yy7vU4PGiY4YltSrTs5XDkDd0OaFKKxz2t4lGGCVHx6eAFixwI+ ztl5DY4y4uxM7qF0xKHpZDLi51uV4mgABHqcndfQCGuH1ciz9O5g8WODzgkJvlT+OfmGsIMUUmYz J18I7mx60/e1IzL33FRc52AdgF5HMdum9nmNijC1VrXtP+bQi13Fcxqf+RoTZelHd/Z5JNlSrnxd lmY/9STotY3ZeY2Isusftq34ce+b0aIFsTf0JSTC2pe4cSQEVJg+jOv7TQO/z2tAlKUdhpNZzjx4 Y2Y6mJ6RuM/ORZE/r/FQlvuyTY9i8kpBI5ue13AIT6HX1EhgMph7uY02Q5kiTXSxhNdgKHsmqzRV gx/NA0qOiS3bk/CfeIcibomgT5g0A8viO6vR9Ds6eIRE6j35YOVsiOUzTD5HwOc1EMLTRijphtlC KYZi/bSTCiYH/h19kt3LUjaFTMW5uWunM9CVFWgwOXOIw81SLQevhmBi4YZEFOlATLcJaksQckDr lGk+L66Skl71fXZW0bE8mg+63TPU3piYnQDi8xoB5YebbLODzudsfiq/xj84yzOditzsoSd3cjo5 zhWARl3PzB6i2SHk70QT24e7dBC/UVp4A3mctAdTVyZWfqBZ7nlrp51KtLNYt567LR+sfH1SRsZB Jykan9fAB6eWFdO6oNo0NIaqtYwBokMZBa2KCpXkxmgnLd1VQUgHKWPZ5MZeQx4cyl28/7p8uBSb SjmTygoRWwUUxwbpplH8LaVHFBKv8XmNePADTO4o+pxpMDgkenQEsZ2Y6fMa7/AN09eVRSDsvFfW a6ExAyqSafRtG2vQ5panTllOQYLDnYU7r2VGbOosUVo5XHYXKEKhAIzmugXMSucYprEMsoyTezeS Im53n1v581pkxOwsqvOjxmeDSrFo0G/xjwqeDu6s5LPTht1ZFTUITc9rgRHrFb6NYC0a+oFQC07P uRcMI3JCZ48GX8uLOJQZBra87+A4mrMrwX7AjY6ySl9E952MHL0h1EAohGzAbDoVvRYX8aqSWq31 DpZVjGYctgqVsfDO+nXuAFSAGNWiwShYhmNLnwzNOT27OTik6fpiOAiaj7H8ovlRP19GzRy8n1+g LiPvWDj4TZbtIe+Hy/J8x10uUWQKbPweLrsC0pBP0bg+RWTd6eghgCaKl5cZOensycxwqGks0lww QWSwFzpJIObJEosiaJ1+ydQ0rtC9MmT/3wHzTsUH218FKgIUC+IEzT1FYEcfcRT6qnDDiT1Rp142 OpwxNbWPO+heLye0ZBUWtbnPO3ONIXP9On8We1j9JhTvMTPyEHpJD2PTrmeHTeqccYf1dvEOUdC8 CRrqxKJocCj2mOcq6/ICG6iVyuSb/S8QNtCCt4RraYKiqLnLLQ3lBRvs9oI4MiPhhkLZe9iMhO0e 0LJ3HNBM8hP6nbSGeYW9A4gWhi1qvnDON2l3hlhVZgkoCpyFLW6LM8TB04qE656Rx0nGzqpOvN4z uPUFyqydAjZFVVhBHaKkiwkF/cpssJz0JMyirJ1r//POWGPy/esUwq2Aar5N0HvkjNIWfVOGCdUt 2ombLnaZfkin7EC+99BZDJOTdDbqsHFwHN+Jwxo0CInjS3An1EGFJYTSeyapj2VqKgx83tlq2Yj9 qxEPrMEm6ISNcqqC1OE7WY1bNH2fQtSdqftusih8xg+BpqjUVc9cbPeTA2zAY6C1zXDvnauG8565 Ao0wbfAZyjAtpdzmRqKcCpPXWmkQBITAfPyZVY5+j5/PRqbIyKSNoAYlUX1P9kQIo/q8M9UQ0CRP vmRkSHfffGcoDKErJefHMKJazV5CrFebBfroXhqLYmjJQvRNsgMH7/2cXTXgnUJGyOjwjccxRM35 goMFd67VfUR5jILoJoGRxKYrBwsToaEhvYe8P+8kNayh3r7jRPC0SrpXfRRGk361+rzq/O16qKzi dbGSWGNN/DvRBBGPVnv2wa/6Bm8iHKo4hTKiFQmuFsoKUxTvIRZR1/idooZ1KoZDIxqUg5U3ylQ5 5fyv8/POULPb1mZIrWd86Nz3IHoPpiWZdK7NrgQDKkVerD8/rq2nDhatLaIomh4UYKEovA02RwtO Y1Bo5zYDE75K0YZoMKRCiBat66OGxns0ne9BtKoPBm9sjt/vEKuJwmmv1NtBhJChPdXDKJzu9LWU AhsKZBRt9gKZcR7Phihm2/hOTsvezKD2kA02QSfIO28/BJA50WITkDZTGRFZKjA5jiWeU+8aTBBl /MAp9cEarJlUc2ZY6/NOTeNJ7fEiHuuoQqvfw7JcFFCjCtwh8mITJNuCzUx+uPvwYE8EE/ROTMsO I8Y54IMnHCcuO2lY510PQ/VE+eyEAhA5CXWPRzxr6F2Dg7rIv7oMH7TJemvKVs+uzZ93WlqWsMez gkD1auLKcoKigBpgbBThXPeZsngSzqpmX0QqkcGH3klpVhWA7ry0V/bVXqmjwFCxUTHj/MInIEXS tsBU+6GsfS6Eoxt1r+hlg0KZwPpbPfrtPXqoFqr2dA68+XnnpDE3/65BU52rUxmbMxQVoXEkOB0d TR4ZWFOgf3rFFdwQ22PvjDRW+ZhyCBLTHkgMbKhAYFldhcSUUYyDhE/flFSAZMa1+KZF6+edkcaS EwNFBnkatIYGDmpuslzb552PZi0QzRCDZ1TeZPHNGQoD6gmV85bMPLYzVxYELffm5bJ1N1kUUCfp 0U7ZqczLRjvh8oYvPJOyTsgeamMnmDl5ZqOHHh1GBIdh/fTzTkbDhzBSnJvKkBw0Q7VJRAqqfHw8 yuvn1zEEBcZKoK1mKIqoAeQ7E2R6xh1CTQZCX2V62tpQS2dE/c5Ey061ht+CD94FyxOSkDynqdzD pGyhRw+mZuMP4OeQCETvTDQuAlHQ6h20ywb4pDyHRpqfdyIaLtv9vcsIxX3qQu88NK6hjLSV3XjC hi/Ws5DaYqaEqEbwHIoq0naTFZ7CHHyGTl7fAFllvFhRG2PNFXjlOkXw8nK9XB7eWWiM2sUZGndQ 5QM63oRInWxnfd5JaCzYr6+IGkZtZTzH0HtAjWOIyg91eWHRCR5AyTlvqKFFoyX0HlCLq421o8rH F2D4TPOCgXGVWObZXhR+mFB/7MTtACx0a0NLL/t+DC2WPFpW7Sxf/OLZ0yLtIaf5vDPQcr7+w7rK phg5d4bCiBrmugu5tpbQGm5hAFsYR56TGckl9B5RI9hFFDRke8XBQHknHdggUzHlQGqwpA9daBCH Tu6+0EXImfAzogky61gO6EubQ3NCEMeO2Am6Pu/sM9bB+nc0hDO6P5d9VJymp1zVBYbS/QUInxi6 bk9by7Sk7J16hlsGeN9zV1N/n4PDFs/74+8rGjrfAHgONFQRqeF8pnKeimeDPbF35lnOVrvva04f FE+PE/lL9+nM0+edeMbuX/qeIDBF6KihCYriabz5STKtUI/isXV7zs9+ZUTH7Rm+s85YH+AEbSr1 c/DmxkbpD/9nqGNDqqnIfxdIVlipkJFtpnsI2j7vpLO7hErhPJXiThs5QRxDpLO8Pu+cM0Sb47u6 SDmdedP6d8YZQiho9k7XVYP0k3mfgzR5s9bmvo3vhLNbf53SpJ3ZOzMLShhjkKcHkZ4NxpRKtMRT IYXfT/OHcI53wpl1t7C5VvfBEg4ck+LBjvx555tlkXS+JghECgL7NEEhoGOQ3rm7NVZLcQX6tm5j tYxhAj3vbLNb9yCSwAaboLNpoayCjBqWw2A6McmAEiF2x09BjtKN/FL0rn9PkMx1Z7vBYrblBHet zzvXDF817V/BogpXPkFRON3YacjeeaZ/kLlgUK+EbflOx0NssXemGZY/M41B/X0NvsVw7NDdDpTF c8iJjkeYSAYOScGjlc6a3jXIyNgRXZQS0aAVRLl+oXw6XzE6pH2CGPXgwqF6pCYoiqZBiUff0HtA KbnJQxnLYNPnPHO5sHeSGbfY5t7it5/ZhfPOCsqElWXpqk5YNTMlAY+0sORITznpqlIB451jdntA ZQt5t7FmBeyA1CkxWud7lM87x4xVj4vsUMMRofz0m/6dY2aZ8rlgi3GoZ1tewU/oO5tRyF7WJnun mOEHYudiSv5iPvIXoyMw3J3CDgAY781ZHORVIEm6LVaWvz7v9LIs/jNK0VxIHHRIT/TzrG86P+/s MsbS+SsQInFq36LHO7nMboZzj1xzXZHNpekwXaGf+CZNT1SdponV7lu4+/2Fu2cUpIwVfhUpSYGd EDH0Oue8LhjEbH3eqWW4KQmS7pUtIA6aIPqYqFbY6+edWZYfTGKn5CwKeeXrFotiaSgqnXC/e8JK 4VbWFRPrCJS+AoVHIOB3Xll2Hmcnh1KDTRA4lieooxLPCT7PNUZkB4BAgL+Qgv9QpvrWy/5VN5uN 6CAOapL1mWjvhhi9f95pZVwMvsXYAmIIv71H9s4q8x6Z+V3QU27cPisFIGTmRJYDr7GwOj2FSOSF TeLYORIIgWkJSF3JzMEgj9INa8lXBJPBe81Senqgv3PKLrxMhAaIzuOK5RSdX6GxEAvdrs87p8xC za9GK1Lmcnv175Qydg06K2cu69jqZSdSJV+VM/r3cYrC4jQjwFEoDTLK1VLvaVK8YVLaeW5wsVVa xAsCGjke70+2DD7vfDIeQzx/KimKpSyIDqtydq64JVn+zOeDbXar04QuDLTner53fRROQwGk72Y3 /NktzcPpWou1Ozq775qiqDwNHBkK97zROHibLEG0iPqiG9ZmnWhW1GPbD8veoGj7IqIdzzuXjHEJ HQkr60Kt3rrQmaxarGmxP+9UsvyYfyIH402Gq/C2Wt+ZZDiJEiDcMpCl/mW/omDNweT4lkUeGO9E sgv46E1MqXb1wdscsALZVTkrgqEloUIQItEDzyTHahVRg/jzTiTLUocra2Q5FkmZhHD5Au/eHypE z887j4zbdH2lZMRT7eFn9TuLLJulUyFdmJTEPXltnYVIOeHK4iKKUz8NOes7iYxbgIsn6chO62lx zDMDI1McHBXTObYsnc4yO/v3rHZcz9ZIRKLyeeeQPeHQ/xjIIn3tggz18XmnkOEkmN+tVtwOtBa2 CQoD6sUQyIxlOr6BUck7BC4MQs6OEnGuUX26Eb7aUr6DLaENomCWekxP58hIVfVpCtotxusXCAzK Ol82OKppVlDEkOJghBYBLijGsD7v9LH8GO8ZyB693pKKx4vv7DE2owflGqwZLT427/u5vEDdO3YZ 6x7v5DHeZgQrLsmkrEcmpUDueDRhgTOKpiy/LugqN/oT4gy51ntDLxvc9zykW6dxGgcHxUxRH2GQ 9Hknj1m48B/IR0p3EYUFaspwSLexUwrE/VP6uO6W5743ft07dez2ycYiEYGD77KO0puqi+W80GzZ GtZnY8MgiVKg3cQMtt41wtqzxwG8oA2WlQGcy2Ok1Px5J44Zrs/SVszQ4oGfPSJ6J445j6Wmaq3W TCdTKhMuATs3VZy7yTe+88a4hFU0y9kHT8vOdsVPVWUWfw5oyc6BI5YBCcy3CcSuxeedNfaQEXAk 2GBJB8S0pESwx+edNMast3yd0wCblN69tvjOGeNTYCOs7EmHiKxElDOxEvCV9lm8ycKYmitokvWo wVcQ1N9HlkQaCCxT4JlE+i8oE9OFG1GC0ru+n9MIBb7SVieMQWtPjrUnu+fjwQqq31kZZQFxndoE RSE13A5OOJy9gL+mT1AjoEKQeyjEaYv9DfiYRe7U5RJ9O+7vIod1JK0dmkFsgjA8OvOGGMGOoGSv GtQ9ktqH4w42P6OJnXAWxPq8E8WyJPufeLoX8ow9nm5hPL1JRGDTmdhXXzVZyEDeYxmer4TltRA6 zT4riE8+2AZLI53N12S6A5JU6eJK4wIBLQ35tWWtYJDzMwJY3lablYSWJh8ClhbxR4WpwhEUhdPz N2IIMWmqNxIKo2m6N5XZjNBSnK+aZjbzFKgQOmejRcVpa0Qv3ffL+3tngk6UcG5BgqcbF5P8rlOj /iDRLA91VTMUhdOLOh8oYvugJXT+qpQa4UuLx4NWfWnfeT0FEC+ys8fRNLP5pHZYb3LYpeIA+zFU HBhkDpPV8h5No3DHM4jtHA2+xSZoRE11oVFhqisMKHiQkLqHLZEH0yyd9ZhtyMNnkm3IQUtoQhqH sd75ADweUDeejKwqsDz/WfZDqEfR9AA6eJaLv6dLiGaosi1E5VjA7DRDEdyDfoOb+HQbvH6/4IYn PEzplM6TtBy2HbqNZEkpIyM3Ap8RpBuKFdk81oDPOMcCrcPxnU+20aNgOpX5tccGbW1u8bXH1WkU C6qRNjrpkh4HTZf4qs2T+h5Vp3WLdfLjNTh6ep0ko8r8s9FikUDOlagDAeEvFgKM0YtcEh8SVYZY uC8soRHzyGIFwpBznjBRPwkLng8oHPO7gE8x/0ZynuYo5h2CHVWKRUJ1dlcfLnUbQbNNukjhoO5R NG0WsgyVNXirnuL6hfd6A6eDTLqTilVE0/gRuagMdUbJih5F05VR4pz0aeZgyMVaEgH50A3F4xEz 83sVMRbK96rvEfUQOOZ+TszJ7AvlUvP6Sr04YeEE0zhluIpiuIdgeVwNHPwcAnq6ZXP9AquDpZEE BkVDqb8//nGD5cUeVajVYAXIjANcwpVunFiNd+f5nwoeD66yX21EZLB1XOZqj4NpXGDZIK/nQEq+ hHoyGFFue7Iw1Pl3oqReV1mrPnjOugnB112fzwVEEaC1ywkyGlDxBBnZMYRmHj4jSOpJcCnys+ag fKyem5IdilpwUkbR9NjeqVe9H4B+dtw0Q2GJmp3oTqYPQLB5L8/qB9vPlGAglZEz9Hc4XXtaPlzE 0Cio3fNcTPsEWVSppNQgiEcEsN8+GcWre1SirkkZmUponjmcuPbcndWy+onHI9RZ+zqHYBpa2y2d 9fd4GgkH+2ScIfyLaTZaG7muomoRaMmAKiAh61E8vY3gK4TnehRhBux0K4EEuyG0Y2lknY0I6jPM JOcFB29WqHtUoZamwBWKd7Fh+CeWrMJQnng8IrF+I4ZO9oY15wF1j9DTEN9A4UcuFR0qUqYHMxra qggdz/QgjeRdH6E97C4rEqmixsVPFTj4XC9Z8udQNjn3ohDmJ1mFq+HPzHeCqIyOzwiiIXoy9cm7 vk8noYOuv9XnOtkxHo9W0C8yGXmQVHbWDIURddcd736o7Cax+opM1n1iQEjROR3Vp8XfaLvewRtl EOdFt1hsMggLqg8ELRhAP3J58B7dXzZIWnXNz3EHgwdvdstRoE4Nj0dr6JbOFGjSTOf260cUU5vG sOvnTikxkwxERrPpeRYTiB9RhXoqr0d8YcPF4M9zEPNQO8fzGMA3sFmE4BN8XziJXSudrHcNqovm f9buYKUzABeXSmcZjwcx9W2VUWiHWv3IEW2Gopi6c4ZKc6rLo5bTlHWwhg+3a7HFwwo1M/pOOyoN t8tRIU6ZlJdNFPEHb3v5NLcfihIqLePZgs8IZkheQ6y6aXBUVd920m4+HvWjv8MhiNHVcmFnI0RQ 50ZugglT9eV2FecgGubv0foF5o2oQk23kb0So6KVeIoOls7GScL7EFvq3DpAwDJiIu50UN3p3vZn 3Q98RoDt5NoZg/qUNLKRV0UdQ6VYNE3weMQFql8HUaHf4QUNjRDyAb+qUVJ3EP4wTAyoEYZcbHu5 99mIIuqVhGdowjOwpzIYLw4UloDCA88FTS2W10aa7N/guH6u+on5iREfjBMbS0McvPJRBWkv51LA 49Ep9CsrYw58q9MjjKdhxtgdBwxMvGNfc+pWnW52emN+onh6Eks2EpXdhlIk7jCc2ZnSmoinU2bb mChO6NNhQdI6x5pA9EAfUXla3ejO3jiMHbZEXSASXdjqhwl1xvNRm+wbucjt1R7FiiiiBhYOKktu wbRIQ2SrtTmIEdJqJig8oohaWovUWbDBoqGeJk5j42mnvCZvO1go05pEblFWnyaxfkT16UkfRrQi fbCbDOwiVTNOuDgi/PRY37AqpWPFU44R4qdJlZqmG3z+5ZTNnNL0dv1gU4GHUISflt/C6OS2jstZ RrKUIJcvmVxgO+uSIiN7C0hmqSUsuRwCzEeo6oHKx06wbLJBE9SoyIOC2Dnb8HgQDV3sKwOfzQK+ h4sjqk8jeOstW1/+hC7ZRU8ml4G4ZJlCwlhBUTwtTRge8hx4fpIqVUGIMjwDtJxak9o72onp/KgA mV9jwfPn8RlRLERKvSgK9VIUcI/JCANlKDwe9cjy9zWGdUgNPk1QHE+jbN/NY+H8q1qD/vww5W4x HBJaQWE8rXWxxeXYt0DdoGE+Eo2u0Sk725UqIAzVqHKc85OS0VIFHxIE1KwN5cXyB+H6BjBH64QA 8TH2wvNBXt/y1ykEmm2ZV1VohAH1BIhhTSNsApNjU9TZ1GMoRE0mraG4RM2slaJBGjwnO6F9SYs6 DP3EPkg/UBraE365QMs1ID5UxN9EfIyQkEgaWZPGIgcjKSQAk37Ityt4PKp8lK9jCF262m8Rf4Yl 6lZ4Oi/L6xfK6szrW3ZiGS4NK57NKJw2PbwpxuZ8GJu9DVA2ZLSAa5lyDJBnOC8I7FYvD/LM3/Wv rHUIzjAunAHpdWIS0VJreDxaQt9JGRrtZV5Y1YyiaSLPyqIIPqpoM5sc/rnpwaqWMj7uIab1M6xQ S3Wp5urDxQefSAGYM6b1MAct0u1CaQjgWwKyrACLuwmf8VcNH350Phia4cSjkkBJreDxf2nE4OUQ edZ+040ZRdOQ7x1JCqZw7SDJT+amY5ooXgdTSHtsxnxEphuiPHHwPVbhQiCP81pR2uCVlyrtoU8k vSlkLkortQdmWKEWRWpwBXFQ3eMcbYUTdOL4isejCfIVRC+9AfBk8UNoRtE0uAxnYyWXryDWh9Ei HEkcyzD9nJ5hfdousj59cExVLSfP6l0kDiwSCeZOeZOev1bLLU+TjThjsIeEPfIddAadWF9w5HOd ZDweiU56cVEyp83dJzhBUTgNzzI0OSycRgvdY8XugkPnlHah4BmF06Nqi83pg0/QyblA2RxcQSek pDoUINWoXp3YZ+4Hgg9xInxGsMUkgMKKngZNUO20bIHKUK94POgjPjc9lxAgFagB2wyF9elJ4cli AOG66GCepINvgXVrSL11SkdgD2i0vIoHnugHMtpV1rhnw7EKuTa4X+d7lR8IBTukirXFGUXTyuVH ltp0drVpgEmktnCC3oHHo9LZd0bGSgLEfmyCIrTH4DU2zEvp5K4eAJ1YyEBE4EM2v8ai8vSi8MvI 6Q4Ofj3L6RxnQ0soQXnGrGEpWH5+FHoJm4py0bv+dUpXScTUe1UCM6slVM/GxeNBPnYnSF0yau97 ujFDMmKiuVv2RmK6rPG6ruxtpp46D6FQJq8oUWdKz8EmCGzYk4JRQRnwxSzT3ALAcaWULev5Mhqi KceMqtNlqcPatw8OfTW25lmYfDxYQbfDwaAAaNxSuzfJZoT3gF9fn9lodSecLs25dnk51+5cc64j GIXTg4BFat/Z8EBfcb+z+Frg9tXJL9fkDNSS89Mko8T0jKLpJqzZYiDOwQAxNcsPEQp8eDwCDH1X hfDtSr191hmSETvYmqk3B5gX59plqenQjKPxmO78O1H9nlAqols0XEDMOZLoAUG/YDBgeFxhB1MZ AZAAJyPS6X1GsbS0k3ehhzkHm6CVCntOMKbF4xEgxvdYk7cwgunlS2hFwTTtBIVg4SnUVnY+697O Zz3/q1VeV4T38Ivsf2LFE2cNqNtPgwz1LCVz2ulMNMnoNPUlv71CvAc13GtV+b56SgNYembh62Qg FY9HbMTvlJVZDz3MNUFhaXolEqWssDhpHsAJ2rNdjgJfjRMUBtMSg5MJCQfbY2ki5d5aQucPAcCG yaA9Cdg8RKRbaRorHZ8RqeXxFGqiSrVLlYIH2harflc8HunDeBOxSCsFtg2XSrZCiWnAFs2kjKpn 2UuLfZqTaSaH0GYoBHxw64yq+6xKL5ylV+gxjGK0+ooyUdFFxo7N+Bn5eiYgpGz4jKA2TbubTrEA DZqhBjy/aZQOPP6vdEPwcvTIhkfTK4qme6PfRpHJBhaTFxbTcupmm636Egqx0wTboQ3gg/fIYDgE xVeGQucgLiytFRQWCyqJjz9JhlA2P+Ov4vSUD+e8Ppznyt1V0OkTaOHxKKP3utmSMgP0n271foVC 0xsgCWqgIyEDHMCCxZN8O9pDDro4p1fIRqQvSzNZwceyHKQWcK0I7DyZN4go7CLCcBwlwZ/+EIHI XcdnBIUzGrme3Kv7oIy1QT6NnfoTneDxqDb9jRgi8pVAP01QFE0Dlwa4YrX+TyneZiUtVW3WDCi0 JijU9pA6+17DBwdV9YJol3S7k1vWxs7UWQFEtWcSQ4d73CSizlYE91jk0zfBPdqFe6BoVWQIeA5D PB7APdY39hUSuzVf3YEVhdPgnPXFdhw22WYXTy1ESj9RY4i+ENpkYTitHv1kRaNfE3Go5c2J2iVJ LrihpVqZkGE3ODoxbFRViJg3fEYwQUKdVWIZamXYoXyjSmKon5wNj0cX2Z0gHjn4D63Tik8NCYlJ VrdmmAiUpLfqE8EM1IehZj9y1hWip7v0TFWdvth42MCnMYTA22fpULRCxVfiiM/BvNKtm7F8v6J4 WsFQq9QT5GCbrBlBAepPeDw4py8pmgyOSbm+e9WH8GmcA5XyjmRszjo8FipmDghTwruEYrSHaq7k uHDwlPW8xQa4k0sItSbqck+wXhq9zzsl3Xl4E3aND4nw07rJavVBdaFz0SbdZGc34PGgUX+JUoxE wLw8r3bv+rA6fQ6AkUT2JcnFuT9QM/W6x4DghKYoVp/mFDXZ6VA+1nOyRUsJMqVYUyNwkalNJwS1 yO2O4dAYetmoB8Sa2SbEnIPOoQ7Fb3EUMh9/n6KV09ciAnMPpH+boR0F1GBzdVWT2Yje0x1ux7rm rQXu2JLnjqrTMgToTY4bV+sPuLzUUZYgZKgmiFlIGrdTEReMt/8YSu8ooFbVNW9pvG/XP4G50zKo 3eDjQemsfNcWCxLxdoW89ntAbYqUE/A4M5VoLo076rzutuiEa4JCeQ8uobNtig9+159DHp425LSc 5CWjAAZe9DkUOu4zsRPVOMOH4zOi8r1EmHCvarCbDCwjBjOQxt3vAbWkh+2g5n+Mmke959CO6Yis zVT3Iy395mTdV1MTwJAzFAXUk72vXpSTlScnw+WeshitZ9nsonZ+AVgaoNWf9tz1bKngM6LS2WS6 Sv0mDpZyNHi4cIZONLRjfQ8/hqg0wEIRHWA0QyEbcVfaSE9POarxOBgweuGjujzDDtEemqG97uCb DGjybLUztGqmZM3YTjtnrIl2jqd2tqOIWpqUJ3lvPhh8GjOzFCRvPB4pLvoMJctaS7sF/B3CPc4l 2S/e/lwPyYQoM8o4P9e5xTdZDJ/WXS/2OLERtoSgyYoTWpqU6AfJIBipGTqneT6O7Szg71jgYyhd nT5YTtYM43b+t4rHI0CVn0JC8DUEQ3ePRQE1UiOwOIaxOObVP6HYjQt8ZD+mQ7CHaQ6wzszB6x4n CwNKcQjLADE41j1Is6NHMvUWVTqjAsqOytNk1Z4QPSUfNEHAAEpk6Py8ePxfIkNcezgV0AH2GYrQ HjiBgXgytEen+L722MrVuVKiSmOGQrU8uSL9L+M3UZe4evmVrGgWPoyM8TPLJZMt9qF3VJ8mlBct 7O6D9tjAgbB/rIu4w/r0xQsxtMRpPy8cZofxNNL6Sh9i0upXNpuAtNJyC1u2pDVBMdqjce2U6cMt Lg76Q/KYPqdEof0xVhNMdtGBIs9FntMt6V2DaJF6i2XwECrDDyFQk/c2tt3A40HGccHBxW4yOQn5 FEURNVWGhhTO6FXiUwRG67JdligHiLR1xxG1tpeAQyXfux52HDCy5xSNOavMFhouMd5qzGKFqNpT rxqcQpNfqXOvcdAMTUctnj3GxyOOguPLy5DXBILqy7fbUUAN1QVoaBubDJU6o2wOwlflpEDpNM5Q BPeYZCSOOu9w4R4TCgNduMUzd7T+AbFs/JCLPettJJJwjM/4S99jZgLNOWiXVfSlJdyeBh6P0tb+ tcsIqieigRNExmEQDbECsdX8Qdq6Xd+Dv/v/CX/ZXKA7hRF1V9WQ6RUHTVE9GXwuwBfTEGi2Quji og887E3hlHVD6k0xJnxKwNpkm7VLZ7kTVa/kHiQZGbWdf/IPRPjOb8MJRLk06fJpClXzYIrRKtVd mxm8ZCwkOGmAwKGWLy3Ik/5QNE3sEi7ifznYSoJ+2zk7EpH40GoC/Rrg6Q0pKHxRBklWyh/bXjeC NQiQJZf27Ak+Sn9y+20nB+TzEcP+u5hPyzZivGyWQmuXToq9wc5OjlWcNYXwXv8Eqyr7YgqB1NKA NWpm7U8hrZTzbVTOhznyoJ73yT7OOkJq/kPSsKuZV3vbv6ANcj89QwMGnGspwRiOmKk5Fv9AEBsV n6XOiw2x1Bp3lqKCNWR/TlpgmM7zL3dsO3nPVWDswLnKWCFFctSLJlN1yoPrKvpjrs/M5yQdWDCE II8EFa4EZCb+Hjv3nsVue92/aB1lqAc7XKWywiZIlINzwvL5qGj9ncfy93rIUzlFMTZAzZAbakZ9 gVm7Dqa6DVrEqoj7c6Soaj2Uhfz/jH1Xlis90tw719JnDrzR/hcmREQmij1/Zd/Rg3A1+oqsBmHS hKExugavF8FSO21Z/IIHQ2eOtahXg3gMrGxH5dO5jt8SGVDwgmNDR4PjZNJk9wxAWz7/Lz4512AB e+upOuYUlq4rdXKhCCPVD2gJ8mBavFBU28et2bSYwtq1WK7/R9u8nQnZqF6QENyBAZWnNr4J0cXP eqick1EAviQSaCIURI38cRv57cw8LWZP2H6yNTz/PktItL6KRucybPnG2nTSfD+XCMfYhhdGyr+d zSmLXwqY1GFszpyiaHt2MYL3HfySQ2Q9xaM6++psuETY55n5H8DVfma/nWpCvPklUayENZRQ57RB G260PuXWMnfn8xFrenylbBQ0BjnAJymU/yiEeybbcEMhpHmZmBPF+XVm92MpiriHhHOS1GHSrT5C xWqA4MoNdw7PWUxSD7Rp2NeC42ulI7K4+CVBTkKBi1I5V4VeWFxJZ010rt0TujY+H/UafxGF8CI1 PSspxIRQy0quQSSbba8/1pGdbMa80fzvIhEQofR5zNjgjZABtYKqmPuc5GtSo7lUNsZoLPmI6skP OcWWL2yBkGWkQSHlmbCkzXK2HZ8PttsNltgragiWLiEvp7iMnahyYfWj1ofrgGwRY6QD4gKxOUV1 7CEN+CH24njcp9LI0B7sEo1D34mLTTkuatqIK4UtKuAq6G2jChJViLj7NRgnb9GiGz0VRpSh78u1 pJApILKS9YQBoZUiWtvoBVoRqbZ9/ZWYTIkzBOiTZinwUgT2wbBpywfLcCE9uM4fIrh+guEYEVib 8Lnz9aDWO3Gx+dv+dXKXnboPlp0ktP0wSzRTDN0Uvxyk1WAaTPnuLEWBd8s4i0CSVj1bmvmdVAqX im0Qg/FZihAiJms15vTBZgnV5pOuyYEc4pyTfbVGmwh2magm99Xez38YKlIRRFKo5UqhQvdxCO06 R+XzkVrsN1yWBrsg5fgkhXE3hHcm7WYoN7z9KDonEfttUCaq9N/h/RZ6KqKjAbmUegfHpKOy3kmi 3WVMNDGEBYCoNoSQWrlG5H0le9u/JPaallLbDNyI5uvgY/DoroPPB5nu9e+QUUPBnqt3kqKqNvbk CXKsMNJ72+uupHKLtnCZtZUU+pEvIwoXH2wltZW3cKCAqzEnZZxA6SYI1rX1aOyxh50DX0VXLFho 99pgeLXzYDemcOXz/2rzE2zUaO91nSdDZ0UwY2APeY9uV4dPFICxqttymb0cWCuCW8EGm6TNOVw0 DXLmRoJMBeGwd7WxwdajrH5fl0PU5YsXmiuuqRRObKu8ii8loCupDZLgzxm6Kz7+0hIp2iww5TtN 71G3guU+pRaLDUeMONdSr2bbCSZcdRvcwF/RbQbQx/TBq5NgLpRlHYA015L0YEUag/oZwm8/lagw kwODRTmTnenZqgZcWEo6H7MkuHhels9Hopa/EBFkjNYvK9yQ0ZhZfcsuorKzq1oOikpI1bK4RnMO PRZFG65VVhS13BQutYHOL3r7G02TgtJpPXfEWW8yRqberlP2ir3un9FSZ9u2Xno7zK90qZ7/bfP5 6PCu33E35QvmjZZCn8XU2bFNhs6abWany/A6YNwt21jNUmQL00WJ3ewmcfC4e4MuTj8NACZObM1Q IaHS3fDzEDniAgbV3jZaS8zgNuWKOOiGm2eS5fc67SUjNL/HAeRnkRy3nsM7jLuhBpy3O3jV5g5e afFiZZpbSC1hNSBwWvTG/0k2iw9eM5kLym+U4TqfdFITZnCLwr20AaAAqOFr6rDXDaoBtOYGBN4H S+FO7ieY3gnM+HyQnYxfXTfAR0BM91kKAdlwQlppKlvrS6c3ZWS5bFTuHtf1NbZbFKWoC1Xbb/2t nxx0NKOFNChejSZdJ9BC8HkksJhlp+y5Q7/FIoG5LVjt9h5s2TgyuDrybHz+X9mJoZOR5964O3Rc RD/kJNLJcEj0VjQoG3pj5hAD4Xftt9BycQ3RZGUKR/FeTRLid/h3SR7sHOOVKVxCH6IB54l+wYVD ZnvbqBgg0LGsF9uVtG6jEhIEKmLm8/9LxYSoZwb5NkmhDB8go5MnMjWb6UCqtoA4fHKJ2caTzaHt 4pDgd6GpIIdbfYM4IT0e4C50ElEGSwnBEpyZaBBpZSXaUvBL/rzgCoUNOBjDqGUdg2BK8fmgRPkb aoP0aF4RtRwbLw6RsDQ10IPz3gmND0h0bEJDa5LCsJtgv1YkbVC+xNEzCjJbss2AzBEVCW9KcFAb xIFdUvbkucneNsrgBo9sRt/0bpziC52UV2Yxfa/ND4ji7vY1SyjoU9DMZylEk2C/obiqWWqyrNpu Q0D574al4bMUi1tzlgTQbg9A+9xe56pPqBhBZrc0ytnVRVrBgCM9NVW8K1CqvW4AByDTMVFBEYMX ltJEr48bLust/0WlwSyhOFDmdRfMoQGj7Kjp26qIshdvd5O3oTwXxWhFlIEDo+AMSHAFmRgXMgHr cQiqyRPlpBeZt/6uiyhy0kTK7XdTTi3HDoxU6anAF9lgOPY0p/cqM5//FzV9WhRQxuO2XKJiN44/ nErNGt57esO79dQurKQWn6RYRETVNwk093zz3AEVQ/jeMew+ucomvIs8iNKJjdxOWpOwI9Ew7yuB s0M9XxusZHIiFm69s6Mbn48II7+Jj/tXHTf0YWzsq7bl+DYKXBDftpb5wWe0Ozw3CYwYvSMwhnhr 4/LWTlyB4phalTC5G4OrBtwt3DCJTXrvm9DKM4dGjMy21paGoTg/nKSOT2Zd6SRDfD4Iuu/9xpwW mWAtV7Anh16MA+S+SlU8Jrol+X6bVNgzeEkePkuxgQwvuFXu4LPUTkZaiP3e2CRUykf1DYEcHfqI L+EskYbJLwlmid2lQlt7DVYNmAa8Lay+hW6M5VJEqSXH/7s8Xmg5NGRERR6KwvnuuOQQk74dyMXq qypLgSMj5lvKRkJQpIugIHVp7T1l1QTVVh1Lm+TsQSeip7207G2DK24popQg5vbFBAi+mgLnbmh8 PjiWbudE/stg7+UHRBG6MibG3cPWDXgS1WEmkwzkQnYERYu7PugvJE6lBLGGS2OD86B0nSF5MHnM r03iNuDOG7/91A1X/W2jxcRzaTDd5aAtl5PBbuE+y+ej0tINKflf414FHtFmKbRmRHiEi02A5BNT bqeL9uyafSfrWr7lYm/GJa7xvIOtpXNxwSawKtFFcS4ZSeDMBSon/43dzqE3YyY2mcULGwx5C9qu MG9j8vlgxz3y14yWOnnHNw4I7RmJ6ardtSEqPetVgHs4tbVtr1IG/oxAynDDNSL8ONgklbMkARAj MLAhESWdrTYkq5CLav3Blg5/24itRYJM4hXHQZWldaIxCai0rJeM0KUeBwwRKHGBlJvo/uHRCDNm 1wbH1vOlBOlqy+GAy7UcLjRp1FKilJQN1tDFfXeiPzp6N8xWYUw5YNTb0as8V5R76Zaktndo0yg1 /kojGA1GlWibRwWoD4PP/0+qhvh9WnnWUsiMJD5wNeudrLvLUEhJdng36v9qLYWR95I477zDzU82 IoMkbBfkCnAMLWAGfxrAAAP3m8Il2vPxSyL+se64tXxQ+W2WOatYNFkvGWW63wA4MHAK6qM+SWHg TZzJcNm1KTcZnkqUoZIYS2peDgi8Gm9lKcmCJz2TVCA1QptYqPpAVoMiWXMAxIgLNSv6NkfUZa8b FSlJlpAcLQfrVu4tOAW8dfl8RGT3WaJzNS4a/Lo+S1HkjQJoP0eo5HvOv7qrPafFVLfwWHLdrBxa NiqarGofcfBj6QSOgF2plHsSUqoeAUGBS/hsU1jWWiV3JX/b6IbjebRH98H22xQ47XwGiiaBaSPS m3ssYT4AC52XepND10bsJNdZHRQNr15ZkpscK0ugXtskRTCTJrnwIkhOeYTnK2ywEzYUipTnrqD2 z1pwFm+ooPX1dAWWv+1fbbhCPogGrSSYZJu5Lg+lENb9y0SFacR6AEuBcaNZXa3mBs3oJdoklTTd cs/cwJI+6K+ju7EFpsHBAef6QQ2AGMFzde9FT+8J11hwe4kWvfstLXvdYL8J2p3YYSrXlQ3yTI3l gJOfdD4fhZSOEZQzlvDPd5bCenej03aeDsZhGMmiCSoDzkgud7+FcbdmSW7NHLyjC7PqBQw19ts4 f0wlJRlwObhR4qsu3pQwfH5LEAdskidg326DNlyBDTELcHstPh+ElHfDSYl0sPn9HEthwRvtykrC r7z3mjFt0+JlxWipo/VadMPFgTdZoYs1SA4eeKN1L/boSUYQBwDZvUB3/xnUymej2sIlcpVyYOEo qX2gxHvxweqUMBcVI3BPPh/EAbdswhibRIUrC5lDD0cAZzq690pP6FBhbsQ0yZEbMSIKxQGBiWN2 z+/Eji0HzdI8vzNcLpOZojeI/P1QiANmzbWr8Kxcd5DengMTx8QVS7eQ4YMib/i36/CuWEuBi6Pg c19xAHhP+frO5NDGEWYXfeR1W7pegIPUXFUO17bkoLs+KKotEY6jcjYHh+MkeMzhCGAOl+0SpGxO plENznvlcPL9zoGRY7YcrkIizwbLdNHF4Do4dzWfD264a6FWHM39Q8ydT1MUeVPzZ/VmBYG57jT1 TAAToSaLALiuD4qwXZIAUOd73s73CRvPKbvZ6Tg7EYUzdqEqEVwsyw7H45SsElzo5ljF7RKNu6Er x5QCJCjAx88/x5k7fsC/JEkYgeJ2TU8NLvBzxLlEJRLnuneRl6iXnaeB4c6H9bvjwshbgtASFh/5 qS41wE+X1I4LJemzsjh0bjG3hC6L/0YJxBz4OTo3Z9FES4PqAbASUdg8AKIIDR3zI9zPIwwQvHqh JoGjI+zUQBhmkY8uPahYyv0BmjTW0q3ltpj+sHQU0FTdk/R0T6DZ0ir8IyhXq9sOtl641BBs7HKV bdDH1NsGcYBEJXotPjjFK8uzpLZZ+Xy0kG60pEYcyfM3PQldHcFHxF1t2v2wsn1auoY9hTRJNehy YOvo8ja15e2DpycwH4AAmWLKJYjJmqhENDByWdp0/Nuytw04TDILSxJxSW5VUiHtIZ+VE73y+fez ez1VE+xN6RfcckBg7ZipsNaXzh+2UXqxmHI0V5HqrVdHBwTejpktLgC7me9ycJ7X3FCtJacb7J9S KAU9QFQZwIHjv7nsHGq15cDdEZRRcQW0pEZfPkvjXPAMdc5dyucjdYnvHA6KyTiSnysulPwjTLDt 6gW4dTvfaUwvwPHtNE0R0qQx5h6t3sGLuee6O9+yhaUchW6YlRqkP8RsUFr6W44sBx6P3mMaWH02 WByAgI8rYaJdGZg8fmMp2UeYlTGlL6bPa7BUUKUEOHC7LmLf3dk5KP7YWqqXmPsaKhVAlmhxUNPw wePuBNXJJe+wOmH6qzwGsTxkXHAVu5A/vvDzGiiVK+67yh2svwRkLPETbeHpl+k5L7ilmoq0TQ07 ePbgdCsMz4LZQW8NXJxhYKW8rsqNfHzY1qAmFbO3YHak+EfZERvuAsKnL1kaDZJDm2BJDQsIXSx0 TaYx31l2e52eE2CQZNKJGtBgPcrVBYGrBRXc1+kBPj/b9DBFIzicFqGYndfg6DzUKeE/kvuISIoV PiK70DY1U8K/mn7La2iEtSMLGsHd5gN3GzC9XqNLOhu6ysxsIT5xvoPSQvmicCcB3a+BUcERwrq2 kv91k38kM0pK6zkKP69REaZ2++TIOwvzgtyQk/MaE2FjNTSQiImmdEv3QCiXno321jZ7N5ic14io 3EaS/B/G4//QM+waxZgHiRu4Ut54CbOJDUWxF4MoEwTwGhAVsA+HDmbCSQZibCIlStqdDGIcY/nz Gg+V56p3mCWyK+KS6KodzQ6XTrOJgK7j5XKvvbz/P675brB0BsF/Y2hjjWdjgTJRK9FA5484Z0Rn /E2nZgw/tDC0fdX0psGxU9SEZCmDg3G4ICGLpdP6Calf4yA8Xf3Y6TJIR+WTese02gxmBzcs6mnu 8dT2tSaWyAThSLSVoFNYMDsyMBqV4D8Oz6E8FUBAn+1siErt8YTotGHtZGb5vLhKYvXxNQTCCUl/ nlZ1ONfhbnzAF8oX9gS1n9cAqDz05CHiLap7cjXA7LyGPzjKM02LdrMYsfbrHNKmmxWiEGa+D9Hs MGefSABs8NnZ4EjghjiHcjsJmbzBWV6v6DPhb/bEtdNZJdpZWfKrbfrgXJvB3/EHrn+f17inPKpa Q/qQ1OEUleV85WvQgzMZ9cZGX67/6F/b4RA6npGKNSn3Q8U3PJN5Y1VbQbe6f05jSJqMzG51YRuN YS5yRdrTSBLAa7J61fcbS85pJ2jqPhggckIHF7NzYqbPa7zDN/x1KKN6NrOdQ5/XOmNBIw1GIbMY DGIsNyQ+CzZdqR8kstxYr1VG7mqRa2X2kC8vEtK35/+dZYcxTrRDKOSayCYphVxgp+kehV2vGswO edppMQNLlzmP7Tqz7vOCp99nx+URhvIv6r2Dgabpea0vYr2C7rdUhqWOTfPKGbTwrYMGD0cTIYnC HeFol6ZnPV4YAPudzFOLZ9RzPZL0Tz7TidNpRvJAs2la9Fpb5NbCnoIRng+WVEAbkLXnCrWx6NwZ 4/vcQY2zbb/R3ylsuIvRFZrdeUdjPzI/JD2zGHSuYocaRcFytya1AEft9jvOLYhyS+edjh8akFNm 8KgdIJ/Y5XJFpuDG79GyS68uMbRWdlYqRQN5vTdCaKJwec3veFB1l3swv7PXihpJZ3qaKWTn5R2h BKNlzVVrrF8J2x/Gy+81xQ72PuquvNbxTSwYoWoGmxBytumILrOwOvWywQpSIiFTFQ662CvKuLzY c+XjQcScylfYI8fb7ufPO3UNdxe4oktVRPSop+scomzsPepOxULhsIIZouYTEq073BnqHcBEIvqA oJ1FYDUctiDO0X5G+dbSBEVBc2eFrCfOEwdtMXhDifvQUCh7j5phITq/9hhUN2HI5RMUhc2YBRiy 2h5bo/sJPVO+RxCBUiwARXGzVRJBcrHhMo3mQBJG9CxcRzLtnyph0JuindvvLwIkPu+kNUSf1NDq e9/BKomrKfY5Fz36G+Eh5CtIuCIEP+vusffIOQnPv/K2K8xgWFQ8zHcFQT3Va63RBHGP0UDOBj+k E6CehlA7+XrSdQV5rZMYAjRBWh+VtKgg+Hnnq91DqO95B0vZ3S2SiofvdLUi45hngjK13assJPGt UfQM1dtzj0ADiCGQtFhEnllPCHQrre/hszsWzUHZEQ62hAADreC5MC8917Cyik7dQniS5/k4Nasa HYXPiUtoVSIdOSiJOrkv7WfhT10/71Q1xDO5fe0x0CURUt41FEbQE/kFiatkqk33kwMD223B8AfZ DEUhtJyI52QZkYP3fsZZQaXpIjtXGmDK7O0j5mY/EFeuVX26vev7DLUqKS0yQznoFEpoUPL2nnl/ 3llqTG79FJK1CH6pUu85HYXRKEX1RUmd/4j76JY8RVysLsajF36iOFozNCiEosGR16vCUXfzGAI0 pJlQPx6YLFOlh1pEfeN3khoWKgNpqpDb4NUNkJm4zfr8vHPUeIz5NpNGAmsqnqK+U9Swhqxlb5X6 Mdr0lj1NSmScxnIU11AUS4/K/KvTvZKDraEJIshoUs1ciIsEX09s2wHNiVjRej5qZ7zH0vKVxgGk YHF7sJjZxFV8DLWaKJhee3wH0wRrNOrVcYaiaJpWBsIgsDyWnQmSoG2qf7Zehs9QGE5rlzWVWFu6 pNlxzv52DiDC0VoaRMZCeZXHT3NdUWGJ59S7RjNUIe4Pop8NOoc2nKCFVUQXOoqnDQiNMgfKRWBr rOQH9Ts1DXc9DBx7NiNCxC2uU5No9EuVsbmn8ffemWnFWcVDLR8OF7kP7eFEK4OTUo4pl75CdRpq MuwrU7OWvev7Vca+zNmzo/rgwP2ZlW/Mkj/vvLTykIks4ciwthteJHunpXk+Zk36Tj+V5KfQDRzb zm7J885Kw0pcsl+WMu14+jxn2WdAGdSRz3tDo5j49HpeEGF2YeZo3L2ilw2uMrHSi9o8xX8FQJWI KEBpZ37eSWnlkabVKUR9LhodaoaiEjSUCs/+aN16PKN6LahtV1nHOW02u++UNFb5eLYsGc+sB4k+ B2iBm8DYTsg+pcQWSpMwPj6nQbtm34RVft4paQyHJnHDjIo4aA2dQ84C6lzb552QZhP8ZByFMn7D z+l3PloxzBDqoVYtY/uKM5Sx/6xaJgAaZigKqGU6OIb8r0a5OI8Kv95cxV/AG1HNbnEpNYrO9X7R MJ3qve9sNHwJdeh3yd0HCxjPoW1mVpmP/6sUzX4q+q5p+13/zkXD8Y6bTP4e8nWqyTDogF7aLgMr RBH1OxWtyASXWivFBwcvDBh8J6qJnDU0zzaTBsQkVZPCN8vPITGI3qlozKpYjh4l+aBdNkcWfhxl ns87Ew237fKDWjhs+Drdwsc7EQ17U9FQc/O0h8pQfNqyFH10DkUFaR3UU06X88J4AF5TU9bUIIH8 JDINGkyMhvrTBpPZwzsNrYjpBIzQvIMKH3AMl5n1nuvzzkKzgNyWEGeDSU++M/QeUVsbdeU+vbA4 3LZoU3ZaaWva1s94J6HhpFbdVY15Do7yRFVgUPgHFVjAZHlSD3SZoXqfSx5PbWjpZd/PIWotwrCo +KA1hDqK/LpX2p93Dhr+1ly/1hCE8GFK6jMURtRA569SbQ0t6WXR2InkPin172XqGO8ENK5gbK+l psZ6mhoTeAJUhLZaPieVlqb/buIl/kBI58vYid8RTRBLHoVa4h19GDNrhq0ACZ9QFfi888+KSLXP OYQIsPTnto+K0whGOuCYusvqcgO+hOj35q3Zw6H3iBq9eKwh6lPYYCc1mB05QZ4MuywhiJCYP14Q BzTF81Q8G83eNYgXOTUnJ64+KKKebhkPouTnnXnG9OrXBGEh8u/SBEUBNbqZ8OM2jey1uqmqIAhy 7sKEPbs22XtALRc26MIwrOHgDec5W65VsoZA9ZFZvTu5QqhEYe7cgA9R2+eddXb3WJ3tDpqgcxOI XdVSXp930hnCzdG+7noWQp7uxjvlDOc0tR6a7Sx4g3nWOqhdqnO6OE/onXF28QqUYbLBVxCy3xNF Jwn1n9BR9TWkmdRSKftp/hDN8c44Y3eLeIWqnKzenCxBcV0QjZE/74Sz8tgWWeUDpJ45vfDxTjcr 0rxBY9Xd5VrzpnwvVpPFBG2foLBAzWhm05lagx9CC0qPjb3DcvIMKM1hDgvqZpB5QFtSOr8Z+CR+ x18T1BarsBwsWjz5mTTEzzt/3rlm+FPT+BUtinXiExTF0xSCZ1Vd+nNpmW0jJHar9eUHIiZusXei Gc8g/tmTSkYcPFocEForsiIeiYq0rNAiuAVbBn/2rZ01vWuQknFv0SvCBstZoV3Am/6c8593lpmF /E8DEUyuDIdBm6AonEYg3Yc0jCu9MLwHVKX8VMgQHtYie+eYFflHYm+xTM3Bt9jELqOiD7qr53wS /RPaXaWhxUFzOUmrUgPjnWLGfIOtjW5WDygECNgBP0SiC88JVz7vFDPWPdrXDFWcdUCx2AxF4TR0 +U7S6jL95uBIZPmuLu2wtjcR3wlm+H0oygNYlA+2x86NvhALUUrl/Ipn5qtiAVZO98/tsHIdf97J ZcW9Qlphas/BykLl7rA5P+/cMkQiT07PuSAMMHtC9s4tKxJj6GNWB3ekebuIo7mqQ6nL5ycqT4tb PoGXsMHL0zA+3+Ad8BbLppjdGq/ZARe85FYYnQL078wy3pS831u6g91iJ4tRLHzy4M87sQxLIc2v MwgkqK8e/TuvDF8KgGfXGcSMdXpZCH4qhpSespbr/Jy/8rGZ5h38DFpnlVAqDS0gOOKyjYaM+KeR sEA2jTGm+tbLRoUzLJ61qOrEQeXpfv52td4XfsT3WBqLoX5dY6iBoN3rMxTF0kA7wFzPfHbrND8D Xp4WViOh9WssrE5T2nFYkbqT6D15T6wJ3iabZKjApSUoue49YN+gCew5Pf3Q3yllF15WNgOigmic mRWYXUvCCEicPu+UMt7Z34sIRNlWtiMZ3hlluMhYns5UJ0RSzxDV3KzT9NIZa8mcorA8ze7PSmTW c/DaIqwICxYkoJt5Y0kxZT0f2aBNB71WFy5mrPhOJ7vndEUqxxbH+YCp0hmSPinzZz4fbLPtST1m g6bRvdxFFEXTOP36ptjZf8hRnD5DlV0Dkyt09OY7lwxvn9Um4yE8n8LQ+XXPITaLxNPa+bv0XxaI 2Ddg9WAm62uIljzvTDIWB9mKXukOVhcCqkmI1bU/70QyvGL57tVD27fW6Vf9O48MXwp+62AwSq+Q soaLgrXtHY5CZQpM0DuN7Knfs32pwetC5+g/x89k/JoggckaG/qvOAAJlqi+hjrx4+80MgJ4MTU0 f+RgcXFHPsMOxbkm5+edRWab1M4hYotQE8JRYlMUAj5w1yNQFyERFhW4tVC+HZD6ZnGRLKaGlPWd RMYdwNL90kG0nEhxMstz+IDpS5o0NOAq4QwZCugNcGA4tHkjEVfg551D9uyy/ybanb1bErtk8Ev9 vFPIcBDM73gal0OZt9P6zh/DGkKLY/ZlamAU2xGRnLqEApCXSolC4Fyj+jSMzMAb4zzJCdsqZycs ryrVb7ilglbM+nRGXSixdHlxwJSQ/rzTx7AI2GpthbusFd9lSITpdY/EZX3eyWOsenxjhuAuUdLt tL5zxxgu8gIoy/uIudltf07E7MfQcDLLO3XsBtRDog3jEW3o5wg6F5cZOsARXLi0BKB5Q7UFagKP /Z69bHDbNyEZdA7tO0PgNg6TKS6fd+pYeeh1CqgZkKfbaX1njjEMB/Vgu3eaCaMwqxflvkluxwLG d+KYIUfOxm704uHgm6yA6iktUJCBs1kYEvOMphylQJW0LvSdPu+8sQu071WYoeqYoXQSJgsYS82f d9qY1f/tGMJ6IQVhXZbGO2uM5STwoumbA5oG5JDN8XuTYcROqwRyuYbCkJoQek61DZZywFr9/A+K hxKWq+Fjgb+gL87tAdF24/NOGSsiM51AsZGtwcFb0auYJcgen3fGGHfLd10IWJPC2ovmJ8R7dJJX tnERJiBPFgzVcm0cRrPa6ztfrLgX8RaaYT9oBnSiy9kFxAyhHzS2sYLRDESCQ16LthjpL593thg7 pUpa2Wht1ykCBjzq7JYTQXzeyWJ2hj2gaTqit1sXeqeK4akEnk8tVlk8h6oD7js11QxwX3yLxXAP zkxiys7h3mOSHaBWOkR2q1wMeCmRVVTvBFH84PNOFLOkClZE5EKPfLnQOIMUC515+rzzxAiqWl9n EDRzSrml1xaG05v3WDVO/cymskNVy+HQV/nsdn5O1GdlzXXl4oOjPXALt6JWPVDSdOvCVQcxYKzM ff0bIVLB7wgu+jQE82g++BlUwYglZzfj8SBY7N8pGTSGqWpnExRG0xmXV7fddPakryAwLdwEZFKY jisoqk2bnuV8Bt9i0B3IVAmDX9GYKpwJgwRdg/PDPsRVzVAUTuMQP5diFejjqb2efVxZ7EUSisej Vr1Xzrr005DXJ8fD9CieRju/E+dkugzp6jKQ9EXJgbmyaX3193haNr9nd1VS6TlcxNDJ8seSSyq0 mUoVLwiNSQAHYWjn4TRLZz0kG1KBsCUupZaczwj7w6L+RhkVjwfUjcsYSwosz3+V7wRF0bRUiIkt YW2RFwLlPbaMiKXJ4PjyHsE9BgsfaxLaycGusQJYZ1kCLnY0tgrL93DVOjd7+aESnzIykh7xHUG+ IWtLHUYcNEEJemuMhM51gMf/yYtieovQY3qs2MNoGoKoqzlZ9dswfrVbvpfgd+LnRLEi/+zd7mCx 4klWKwmdJvB1zo9O3UFUfdEH0cVvjF5sTnzJX5WhRqVfwN/RnWXCATT20p/dCp4PYHnrGz9NOf8G pRibo5B32Om0a4LxRh6Wr9W4qLPJ/AUndY/iaa2ekcVVyI+g3lqApoLsfq60jXYcUGaLNWxqrRSG igY7o2JFj+LpKqdvWjppUF5/vmGptDPLxOMRMXN9TRGjoXwRMT3iHlLyp9Kr6j+Ae49mtgOwWTIm fatUTeAq+hvvce6m7IOdQ5CbPpmqZOJg20wBdKAZJkgF56J4LOQGy4s9KlEvJa2NCE/CzoiOqiCg 8uZHmxiPB3fZ+IadIYWto3pW38NwelA5qrqRhXoc9I8ryXYc6hUmwtBD/qGA46MmH25liA66ustS QeWDF3s6c9gAi6ctn51DWMn4juCylxZ6o3AlB8/I1qQk48lBOh6PNtl3NESBo3lJCj2sUEMpdQ96 VcFFrtJtgFm95OEowAB6kCYojqfFZybag4Ojg+u5ukZnG3GcCAZGjhQahAQ7FQxbfSDmVK/uUYW6 snjfZdXYH6tGOCQ1gV/HxOPBSd2/W/Vs6KEgbzP0HlAj45iUz93yaZyZTN7KjIPcQwbUdMdERtaj gHqLuypLtPFlidYahKXLMinGk9VX4acXZDxgJzkvOpiyx/iO6CqrrJlxCc27hM4OMFedc5hOPB5x WH2CquVveMDRHj3CT0O+8JyjrAdB8q8mW0MnXcom69USDd552UdoD2E7J4XrMLDxSTDDebrWUkSB Pv9fk+Z7tADviKFmvjPUkXDiOyLQGdEepMhp0Cbbwqsj5Wgbj/8LuCj34qQ2773u4wo1ZU+HyAko +zbfZvQIoCoc2dw6qKMKtZrRw1yt52WJn0QLuvoUpz5TdA7/RE5wQofjXNy47h/AB/kY+JIgbyVB vBeRgcolAwEcw8S+nNWLx4NV1NL3bZ9op9M9ZBxRTD2hDEtAhhJXwfGGIiKvDVVGLlhFI6pRS5dq TYp6cvDENQHEsGUbj+L1EOKj4qOgFg+26DXTyXrXqBEkPhkJdxy0iiDGKK7LyhmPR3ToO0OaWV70 XsUfUVDdKaLfWnOuy3QBxgaJTeO6NPcbGmGJ2qr4c/ngi2hAltwIZSeEGJMFNhprwdD6Zz6E6EWv uBEF1SrAjkZw52gO7gQ3sC5dRpuPRw3pb0wM86NyYWcjhFAnwitNlxJXj1XM0tPxOHfRMiPUEZWo afO3t/xhaAh7Ig5kHYDNzYVuJZQqaKnDt+vslVNt4PYSIY858B0BuJN4symXmDmneenBEpOpN9sm eDyofVzOOFcLzpBxi0MjiqixDUVVFgp/1GuCSl6tSON9Wlo2oohaGjkIeJS3DpugcWLA82NPmZ/1 s8vourCBYKQ46E9Pz10/MT8x4oPpmESE6iMilFavW4fQbHg8usp8AWEuBj/s0jhGFE9Td7mPZnJU aHfY/Cg0Yelj0b2U8xPF05MMqLlYGePggIaB6BoYSLZaUeainC7qjRXG2ZnmOdYFog36iArUmV6V gxocZ2DFklOEnhIb05Cdw/NRffE7HML1UNqjWBFG1JA1G7LMHXQYGN5rXdX4mycE8F7riCLqpT7Z EDF6PMToRsELoYba2UsjidUK/BbMSeQXZRVqEutHVKEGvJd01uyDM11qFzDvBA14/F8Icz5GX6Fy D6EQP73b9dDtpAIZZ/MkZcvb9RMVLk1QhJ8m/vBk1yyfcXBYVUIBtlP/teEA35Ls3MS+skydHkfP pXeN7rEMeGtFe1SD3UcnwKJg7gnXBx7/n2gcmyV8z8lGVKHGDXne33wEe7uZqhvmkEyGTI0tjhEF 1FOooZHVrRehjW2yClsZONxRFw/CY9QTmtxkG37I87EWPB+P74hCIa4g2eX0xy7nJK1DUKlzGeDx CPDRv68xwCaIIdEEhfE05I7HtN10Nls3TAz4Ne6+mMY2vaXxdzw95ryDbTEYvUIAiCsICBlxhmhS DT1KbmY/p8kxxJcEAbWa0IlNjgL8hgHMS800Rj6H7154Psjrq0+RhArmd9o6wni64ezZS+SNvmiD Jd1AdsAZCkHxxtZQXKNmM1p6eP1LD++kM+ukHQQ0wKgiVZXP8Ku0QlNBVPVVxd+EfIyQkUh0Z1+G /MhOJwMha4pOVgoejyof39UzFIokZskZmmGJmthFgPiU2FNkhhlHK+mSWtmiQmI/o3BaPEP2S2yw Ywgk70QiSiaFfE9GTZXW1Gg1IVx15BkTjhkiPggXmjI442Dd+pPuNFl2tIbHgwlqvoRw5Cw2S24v ekbRNE7KEysynxisonXl9eca5rqpNI5EiIMlNMMSdVbWKuxQvvKcA9oXZTtzfOJOYzQN5FVD5knM tRVgUSLEd/xVw+/0atGgCZoISZcmqODxfzXrRSaDj2G/8ktRNJ3OKTnOXWNK5gLPi1q/mmX453Zu Joo/Q0KiiU5T942DH0MbJX3hzlBThNMpJwhuiwgANnXMxWml+MAMK9RLPh3UfOdghQ+gL5VCrIrH owm6tTOi+M5N2uo9qGcUThcyllJ1mw45mJAqRQ1/htPQxzWFqrBAzV0yh4jj4xLHO5KZPCHHwXwD uZ+JwhMHjV5QufVp8hFniPeo1+nl2/ClbVhdESg1U8bjkejkr3wDVy91DDRBUTxNCwioNioW2jQ4 Muhic8fOTi1sLqFQHE/IYAlucvAJmv0sRlqkQpEJah9UnUS0DuDqz9wPBh/rF98R6VcUzsy+gyYI 9XOuJ/DU8HjQSWzfyEVGbQxhNEFhNH3is3NPGaITHGaDDIFO4JTWDh0XndIR3KNbcTF3H2yCzuIr bVU1oyldvrY3ngFn+yG4zTBVLC7OKJquFL+fmQLIHGwFncuS9eVzoQ08HonopK+MDN2ULzjDjPAe kGc7W2y76cRYzoqmHruxolF71ARF9elFXRNjzXPw+jSA7rWoKnSC6C2TeGmPtAaf0PWIKBe9a7CC zJtNwKHi8RYAteq0nvO64fEIuPh9SkNtnOq7NkEhGZFKXjkZOnjWh0lGXJTkPbLLe8xQJk9MVnIg NXiHA3SxtejReT6xbZa6d8OPx9ZSoesUC7KEs+I7AsSQDAJYCdZg2NchUDMCLD4eQar8nudPL08O ehRoiiLEhyqLNXVjtMrHlFPUTT/vO2WdUTw9tIbkQD0fB2oc/LAtAWjwHKcnqKO4GdYQJN2RfOSn S0aJ6RmF001icLs0Hyzh2IYVPqFWx+NRTv+dcDDJrLfROkM2IiilVXUz5GbDjSeBXMxW9mCfVJvs b3mPnRhNc/A24lktZ2vJQAHhdAFOcQ+IxpSOomK96hWDZu8zCqYZCqHMmn1wzJDr35Zc8HgUK3rR g3VaoLHB3bIZWlE0jayxk0Vr1pwuonNy1vlYc04rva4I8DGKYqE6ffBgMS+InUwhzMHTTuZGBdAQ OnF0mvrS315hcZpAhjbqHQwSg1YDzRBOnoPHAzriL7EzIO0qqM42QWFtGozf7rauHTIKtoSS4iNU PRYBfpygMJomVWqsZ7A9liBh0NA9RvV+ldq2ykIIolE+a+NihhZWOr4jksuTfLucOHga/Ai4eCaI S2jviscj2Nkv+DQCCnYkNUGhwrTY7eYEeFYK7RPY3tjdgYt1FosVVwz4EItD7Y0k7VVBhqCBAoA6 FWLQaCVXin13RDCq4l/SeMN3BLEiaXZjs3zGQRPU4VlqoPqBx/8XScqKyoBMuTlBIR0RCSsyeW2x vi/jN7sG7Nli1GHjBIXoaRVdRZnn4AlrQvBTVBY6aVkfrGKjt/hDJcnyFBaBX+J3RMVpOm508oE4 aILQHxP09dz6ePxfhxADZ9o4XzbiCoWmAZ6m9CnzMQiuG9hj0nicYI/WtmEZVsRGzNI90V866vq6 xmDBWYY0hqDCUEwZ+Lxo7rQgeBR0pt41qJtNle1VHFrOUIB7RUoyuzvZxgpL0xcwxAuQ2Ff4v9kM RdE0FGkRLKtcdv5VnOZCSUrz3mrLoukVinsYN2FuHzxjLVg4XNm4vOinDDADMQLQskWR1y1uCLXD l/yFh8GW90HhdIbeH3v1Z97xeAT3+N5kk8XxC35dUTi9Np3mlvbTSQOTh9MUI1M43fDjapOF4XRV VUibrD+b7CST6GAlSlekVQo00M9kIO1okI2GvIhVhYCs5nf8pTvQhMsjxFJ+7jj8qsQWUsPjEa3+ V3sD/x0dvzVBIR+Ry3wuFctwYPsS6mQiCQ/TkhU9VgifVgeosHrPwdsbUJqu7JHjokcxhbXXKYeu cy6vdMtmrN6vKJwWlqETAqvBNtkEUkhVIb5icEzv71gRnDnq09gEhfDpMzW9gjYvSUoGXSbt4dru UHDzYzosTmtztb188AmaveADOg1bAN7MSSJM7dzxqGR1Krpz1hAN8ksCWVNCGEap3QeVhYAdYRPw 3AgVj0d9es/IeMviU1BythkKa9Pn80aqbiaJUMX3GA2IuYRm7pZurCiaHhSa7EUl6lJurAjJzlZ6 EtIUgSNnaFW6xiJ9KSXdWGjYy0Z9eqnAte6DQap2H926Onz8fYZWump5hD3ALXHchGNH4TTqOWjs Gfp1luUKOrNdrEehjDbVuaPidGePbJZ5B8/qUTmGtiyPIUAMzYpsUBEXTYb/MpTeUTjdilQ75QTc XYPmnHkrU3TzJMF8PJI/uceQri1e+H4O7fd4GmgxBIm0JSQIf3efobHcTbrT4UIzFMt7EOMiZOd4 kJ0dCTy4ZWpwnDfaDAtQqvjp58r/gVWIuyQj28F3BIUhLp6e1d/It79R0tzSVoA27n6Pp2H38o0X gvZTqfcc2mE8zTarpE7oRurlsgRRfzuHBgXaOUFxPC2iJjF3HPwmo+nfJF+z1bOfJwUuGjTBC3rS 7bnq6SyL7wgmaJCdwBa7Bi8M9SGeC6Kh/W82Ii9AtIP2s4JCNiJuMrZ93EPa8VSwbfGqx9Xx2jHW QxUhkaLnNymaIrtKWQsqZ01GdijtwbxBmp3jqZztKJ7elquyUcZBhaGc4MWnGHnj8aD2Wn2CuqWs 5kXOCQrB040ba7rQ2Whu3FLo5WzGLdnAHjsET0vAXIZZHPycPjOBy1jgaWg7NoI9IJlKNT80cvwQ YvV+/63voftZg2VkZUpv8VyZFY9HSAY/hIScadRn9AkKtfJGJd7MmFJjV2e59Eefu5TpHgoh1EMZ 2dZhva+JwrkFTmBeVRYCynzIgbMRrgPZblLLrG5GAZQdqk/LLKopJGreITv/qcTHUI1fePxfQnCM ps/P30DksQmKoB5wJAFcyOQW+xrOtcuVpSIypVB+1ARFUA/DBFsRvz+FRfA2sJA4QZ2Q7KSihzwN f2a5VDK6yOA7/qKzwl/JBwd15jJuC3HHxelfNhOQPpnPFgujaagtNhbpSKovvsXS0r6rhHrcQzqG erBsttvy4RYW0eWfKk4noGSoA0cydKGGGEkutLKjzS6+I4gVKy/4Tf1yDlY2KwBN856vA49HZbNf IlWZQYMXPXYUTS8GQvKwp01JueZR5PJwi6HGKDLijqNpqlOldoevCdpTrKQGFlkhP6FDZe7M1cmt 5wVT7alXjY4gingNIqc5WNUMliqshJ0MAI8HNY8roENo1A+eOT/dvedD6ekEOUpF0/XmrqBrjtmu iwIkVTVDEdJjUpwbuBcfHOkB18dBXPFGUfGcSuxvgEFRscNnvS1EkOb5HUGoWFkPGoyH1vBf4Vy3 uVcJwaWBx6OM9XKAJM69CKm0GcophHrARHMWU8MF5Te5ukdpRh8HMd7dIVMYTlMhpqN9boPmCDv4 /H7kxG4s10ZK0ZmzRY/TSpesCxiiEBO+JaBsEu0xOptBVOwRlr6d1amuIjBh/IAI2+mHNXm/fSN5 TXeaQsU8TBOKE2Y5Xqls1gDi594XtR7XHTH4+KA/uS7ys+Pg5SEIy54PoBnZ2XtLQLNVQLKBJlEG kcer+GPb60a6lDxC5JpUH9ckFLuTJG9b4/NB4tG+wXm0awMU32cptHWZSCJ3MdLdzte/fg03RTyH 6qq+mEIQdZcB8rjDhVZVOI9OObKWs8eIPktYxg3AHUpXu5R5tbeNUA0MHGezs6mLx3uO+rpodACm 2OIHBJHRr9CxAT/SLp0jp6hYjcroSKUonce/HNhQe/Nq/mie49NGK/h+qnUrTePgawn+x8B9csud dDCTN4VC0U+D7WNm195T2G2v+xeMsRViGzgYX6Ft+U2VvgqfjyBo37c/G8APc0riCe+JC1jAhNqK 9QKRRR1MAjJukcu2r6WoZD2SsjOVrNdzeJ+Y6wSUU+aI6wR70j9LUD2A1AoIx90R+Ywb+C0BJJ/B I8FNNhjAAd053nAb3gophIDke8URoZILyFvlazmFhesB1Q8sZnLMCuBzOpo2KMh2NEGLt2k5hZXr LhfJ1n3wgsj5qaELyE0H+QniPsCYRyvh3DebtX0hiRgI4EuCa44ylbMTbDW7XxPs8ap/hmwNz7/P U70uHU3NpA068HPPhdofkDzt1SyiESxlW06DdUAuJ1gBiGeWUxRvi75ApKgNds9NyGHRQBGL5cy4 HLUXOFoDs0S7BVOsHNPeNoiXKHpauNw0GKjxhHNSVJxbLxkxgj1nm3IQhEvVemYpFAAhSygb9aVD F9MO8EolZJslvLfWUhR0DwrF9WV+AuWuJcgVn8QzU3QQ1uw72wHeiQFCyO+lIxY/+SVBWkKNlDqF PKYyva2lPXjl9fMQn4+Qe7dTRAAJwn2yIG2W4sCbdUfPTKawMmTC7IsJoWGoGeBFMiBViKIq75da b2qCbsPJrYjdO2c52nWMKzPNaUmkut0080NOseuLlHNX90GB5YnApqQVR5t8PthxD9UDD+K6ZDnL ZykuZSNwoTks8zcv+0OBZCZPcEXy5CyFyBBx7yuFvEd9hLxTwVHUKKIL7lpN0h4cyEtgz8UuNtFF ha4A/JKoilQVdycftOMgR8i2cx2MK0Prl5vjMjhiTLGulWLopUgl5t6SVKlBb3PoAzNAIw1VL2bn wEwR2AcVSAQO2Q84hCB9F0w5p3iXVnWb8jsoP8RcG3OR7tE5cFN0DnWrUkarj/7gXmJtV7ophnaK Xw7SjCtxfuWr85lDQ0WU0fvo11YgFbcLzC5BjNaeqw3nwFFRtgYQ/ep30CyBiZRG4fuhqT9WIdQR +rrnQu5JIKPv/n6OHRUziwEEl2mwguS5p6SQN0fl80HwXX4p76DXBaUqn6Qw+AZSDSquluyyhcxk t2+XTek07pVlYGiquJTtzlZ98JpkgUkO02VUaqHUJRgAydXnmmvlGpHTVY1fEvWOyKUu8oIpw+rT hfZvdE9CxSQHtoqJmKHvDi0Al9dQOge+ijD0ZDblNRP0Rnwlze3C3nBi9ZUUxd6TYcDajJk42EpC hDxbFZ4PIKRlNqco4CPCbOuR2WMTOwfOiqYLshPLrRoMr4YSmFCMufL5qM9/wwD8x6j/9Vs1yaG3 IpBkIFlV6x/RgpYn9+LBIvXzK+KUA3PFRDUoegZmH7w/gk1UazVkcWNVulISDBg89LvWfgBH/rpB r5++ilQU4XCXUhu5aSnBoDP0VyzzWz6FzorjMQ8M/BXRHWOPf7jA95zLK9yd64v7rVwb3MBg0W1e ZxNAvV2A+phsrcFNBTQ9eMxw0VUeHUCJA1jrhxIVZnLosCjcWq8kfHLgl6RB6TJWHXvh80EfKf1C 9gETXutzKIWERkCwl2R4JrM4t8fjvW2ylv2xwg1BI+wkdTFl+sOUaai/obrdCKsp+EVYXkKhpxGX C3mky9gr9rpR3YSyBdCAtEF1E8DoZW/Wy+bzwdH9q9vWqF5w4X05sFlEckL5gtos7JY/J5VmkLGo CNeALLJZilxhHMqvUGnsB3wEE8RZC6OAc8bSVpo4I5za8MNd6dEvqPa2f91vFAWxQfcbNjiX0vkc Pf8vKRXmJpv4g2cphVE3yMNFIjwToVI2EfQEzzaDsFVSVVgQCKwWVSpFq4QmZxxsKW0oURLLTdLV pmUqCgKcH/L2SCoSvqb66wYFAeqogMDigwLKcWJwoc3nynw+SE5+ecMQhcK/zWYphGNPwkaGtJz6 Up17Ex0xjKTW5dWrWfrbvXySXK7B0cYIlIokZ04ml9pcpvgN5hWCkPI4dsqdO/Rb5L2NXDn5oElK cEc3Tmfj81Fu8t3+J7n7oT3k0HGREJJZnRgypfFEMYz1GHkBsaj9FlouLsnsjp18sP02J7vPTODO 6QYZYe2tAnYYzo+eHjhktreNigHWVRLzIfsR3EuT6h8CfD7/LxU+riRUJfK1H8ih6yK+9kzSyKbZ jKqbodkIsDKTmOIFuNB2cZjzgPGw2lOAmxkA/s0O5Typ4mR1BOaC+OFlEGl1JWaP/JK/sEgCWGiw +hsQhlpJZfL5iErs9xvve/SrHhuUHBsvQiOsdatNUjzHJikTbk6twrHqnaQw6OapMhKxkRx8kgb8 O5YOpZOaQDdP3pQdDqP5B5AenUkl12RvG8STPLnnZkVg4tAl6unssUSN9QSa3eYHBAFl+hZ0xPHY oK/jsxTCSXAoDTAeOUuNlT6xQWEkk+UWU6o3mQL3RRxK3G81VR8c+HeuA7h941CCsQX/xromGAwD hvSUVPHGQKn2uhEeADtN7TMM3epKGfkyZ+kES3w+ItJ4bkJNDeK9Hyfh0IARWAYAY6xbOfcwyETa Imaxn4uGhwLKwIERFeGq9Lb5cGcJBgQJN9kue5cuWyYUeNHxBkmk3I435dRy7MBIgH9rPflgucmu hbVq6G3w+Uhf9jugxElZqFhpkxTiSqAbOMs29dSZqydwcDBxXEllS5eTFGuI6H5TwaR+sWkSZI2q JDKAkJkU7gOx5qfwbmNqQs6adB1z6MKYixTD+h2sqXuSJzZSztHR+Hywksr3qQSzW6Dg7yRFUTdc gnoX1o/3mwVNWEnb6RCg9/gkxd4xLJQQAK3BU5NybrRqje+V1lLkicMKNwx+zGtqIStPTsX7oYLM bmeicDQYuuQceowI6vlCPh/VS24QwP4dfppyledyaMUIa6BOVrQKlKMXB5jM7VrFMCX3Wfrb3Xwa E3tei6ZxjsuJg4380AHUpyjYtMkB1DcTYcJZWtnfNpilJqXZmnyw/QYUNRcCa2+hGWP5Rc3aops+ R3cUdQ+KZYhwjf3muFtK9jjIBCxMqyoFdoyZvzINmoYPXgs4R1KuZI6do2NCd98OJR7mEAqYT3dp 2dsGF9xiZZLqpxo0SSfOVJx/QqbG54NDaaWv+y0D6XFyy+fojqJuoCzRTWwONOnVgSYy+8IF17Pr gufAkxFFBdFG5DwwH4vq89fApImizvjFz20kg0qE6ThwQXlirfAspdrtbYOlxFmaifcbBytQzrar sP818/mojHvlVIUl2Cq32yyFvoxImRAZNzuWujsMA2Fix9L535YhunJszMgVMlGms8E33DmZ2IRj sbtnswXr9AFCUPjfyO0cGjNy+hbiVR8Mdzv2kLxRGpPPR1G352/E/3divW/UHVozAieJNoAfSgJL DnVzvSEAioPPURR1L0L/V+GC4uBV3LX2mWMKFzdQtRInBF5rMrZr/UGWjm5vG1G1TD+s+uD7RuVD 3AV6yX9hS1V6QxW33Kg7tGfsoNYvuX6AkzTdIQUr2GSyzv23jTCaQ39Gndy9kVnbr9IgOgO1TTh+ CWfSITCCMgUokp2aMaWXC3FXzzt0aDTYW2Otu90C3/nHFPqtnauIz/9L0JC7k7rS7RIlcmzS2GV+ Y3XcVZfvt93dgrB3CjRrLcWmMqSRSGq+P1LzHS7n57QTYwumjdjSSE7At8FhPXC5KVbatLHMgU2j m543MPBsUO1tdpr5gEOT9ZLRhvv29AbUBcyNO0lx1C01KJPtO4lDsrU0aneyRNvOzs6BUaMcO3Bm sxbQH+3QcwpxMQmNAwuVxBb3RmmvcYkp9DY31GWvG3VzKSpWJQtV/eir5w5YYo8udHMDt0aE3bcY wJCScKlrWpADu0bkNMCWiDOCEg+UCSQJlalSxES38ddlChf6Naqb22u9g+OVz020R5u69c9Oo7DW 2iSPAhkPnVKr467U7W2jG47+X1S10+DMJLQheNSgZBI4Nn6zJq6l5Xy6S6FlI5Bd54JzfhuUv5y9 Ve8FR4chm6QIZNK4ZU68mHzwEuU5MVcG3kbK/HCSRYmyTbo4kaB5WwKr29v+JbPaGj0bW7uejRt0 AwEieCyFsO7fLQGMqzwbLix2N9YlDTMBRyqnkUJA1loCghtqw8W2jbJGUbg4r2z4OUzTOb9To57x hr0Dz+qFeJeOJLlRU0MbLi173UgHknF3ZR2Xg44l5ley112dzwcb7krXUD+BuiP7aS+Fzo1UqRuS giI17xZyK88M8ZHHLXeH1o2TlX5CyWzwwBtGLUuyEeMEMgkisDiXGo3pGeNfxCn9Y/gtEUqQde7O cImD4boy7YyR4a/F54OY8heJAqgsRLNPTBlF3g02so0ZON1UIAOWVTTh2yuJG0g+dcfF+iNyh2W9 m4M3mM4ZhfZJoQg9/MrZsRhoYwxK5bNLbQETuUo5cHBEKCD4G7txHLSa0mjCb9YTTPD5CL6cvg8m VDup2axZCj0cOyVIdrKqiY5dtb1VEGDbm1QozlJg4ojIi9iATaQkB83SgnRfY8kUHd2eulAEY+MX JRSi3YLASP62f53eJzZdPhib4hx9uuMq1lLg4iiFhS86BSCZ+drO5NDFEac1dJ+9tES5ChnztGme 1m3jb1YSF9o4mpu1yNkcDBtQBkxUILaG0Psc41XWKxQS3DAaAqpQSZxcv3Pg4+g6G62o+V3mTXVT 7eoO1Vb4fHDFXR0JO4HOv6+Cbw6dHIGDOZGewQAQLl1eVyNgoNBtsySfpDD0lliUvOPn4x1PfjW6 SvS1BlqpS80GTDCxXxlTijup+lto5aiCd6dVL4wKkSvzjkvkd55/jlQWPyCCCLavWYKNZYU1lc9S FHkj5D37zQMBaTEx8i71Sv6sue5+CyNv1ZQkm8XBD++ThECQhLNUUWlSjI5QuWDtELos9hvlD3Pg 5pj+n2TEt0LKfUPKAmq4WtYD+InQzjFfpzBGVqjNky9icxTrZQ/0c02ftovKR+eHTRtcSZKM2xSI DR2Jn+hLgffaT7DUUHPLsiuEupnyvHNIw3HybGlAplzgr2172yjutjPpDtpusPMlPQGNfj4fHdy/ 2rnEB36dSVHYXSmNxEYHTcCr0yjOmdo8ojzXx5QqSQ48Hb2d222VPERTPLzOby0X8HLSSvbI1gaI sp0f/meVx09N5bfQ1bFJEKBKqq36l5ynDQYH0DifDyq5qX3dbuLItFszCXwdUUWgH2hxRQAa50rQ 1/mVcAZddyVFYbckAUYezQdvnPQy++b9CJBJTon87Qmo3gBiKBO5ZOQcqrTlwNoR6Txl2lK6g83S yaNVhz23PJ8PEt3/8hGhUfwaz44L5f4y0Mrzyq8/6Unr+Qq4ANds8xTBTKputiwXw+u9CN57grZ1 lSBiA3J98zdEhITp6+u6gUuJLAcGj8jBaClfWVvioB13oqYiVu1ErzJwePxuCzRlusyN/ez+vIZK 1aOAvIQwBUPLchI6Vvpi6sNgy6+BUoVmpHTs+Ldy8PLbAECsqhqQoW/NwLOvRd7y5lXsIv4IOz6v YVKVrlw5V7GaS/U2l+qJSqfwEAtPv0xPRU9Wew1G98xiNhQ4Ev+X87NEswMFl0mCK5FKKLgbKKBv s6U5GW5zgkAwO90ipDp98NmZBe6QjIfIr6d9PNYSal7IcVDOqtN473rVt+lJtKwHtJSQeQ5aP+1c iCx5QxT38xoeVUGdbXp4Axa2gm12XoOj81AnaTiZJn1XQZGndZLPWqbSH2h7mJ3X0Ahrh8pIEKjx weNHQAsLA8/doN6SJRFEtgpwpiVfAO4klvs1MDpvSkOUE4sSDsjBWgCV2nj0b2qf16iogo/bbXJk nAXETfOl8xoTVd2g8JE3ocixumtKVBkYNinT29J5jYiqqyAuuc5xuOnsSqgWUcOuQlhFYqzg3ZIx iFTR0clEALwGRNXNMboMwDux11Wz0wF++sFx2/PnNR6qsh58lg7pewIl0RE5mh3o9jfp9gPiXs3n GmfzGt7878uNU4OlM4iMgBOlD5dRksBFz1Ifa8BLEEs6rDj7w5aT7SvctJ/XSAjHjpwc85w+WKYP tz92j05a+3mNg/C0cSanIbZQ+ESdnpPzGgVhM9IRtA73JR7LrWfacJYEhIQtnH6NgbBsqRCBYMQH m5wT9ZyTd7GMPTpMeIWExC/ZKq15smPayOvBq77Pjii3XcI/HHS544OFR2u1fF4DoPo4z+jNGhqf k1cWZuc1/MFJDm7yKK4D3YejISHBasXsthm10fIhmh12ZpfEjtaV3UOuB51vaG4hQkRenERnZB0E MGTsMMtaO01Voo2Frjy4XssHK16fsIGJWVt5fF7jHpxZ98ZioYRMHeC0ODmvMQ+O5AZp7LZMUKvJ kJhSP7IEHawPDSvvv0Y8PJJVM5avSr4yJID7n62rpTMhBt1Iyi2oGcP0El6wjzIkXuPzGvBUz8NQ zPVBZ/I55Tanqp2L9/Ma7vANf80OWk4z2//weS0yVlOsGwIe49RRxsrKn1QTqPPDgBkb67XEaJsa tR5RI68L7urILnZTfwhqkVPlaXAAT+ZNMSRccuZPSJz/a4ERs0OyX96UIsnbJQ/7OLmYJCRKwdPv s9NX/54dIgqSn8mvtcUqoHOH75JqHYPcOc4OaFXeP+vdVZD+DHYmWWkavFvdYRyIYDoTYbukUZ9h RN4oYNbbg8qmXdFrXZGxoITVWcuf+dbyz78t2KkQGgtvLF87PL834anVQ8EoUoacGTT0vRSUki+e NpcTIMr1aX6nrlU3kF1S31+P/edYNBgAdTZDHwqShlooFfyUs+Yole3qGlnvGqweBnC7cRFthTgE 1UNmkg16omeiYHlNXz4GmDxLR4IInKEoWgbputcxzMKgMDUTZD1fO6eORoXh+qMZIsx4tVV8sP11 dtJJH7CRzgydzGLLPCydQ+mkz4RB0A2dd3yv9rLB4cy104turuI317n/8hLOI1c+HgXM7etiXySP db+63klrVVXITr6VWtQo+WsNzV67b7Fr3PjOWcMdSGDVWnRJ4eC9IJhF0z2Ffk5riLMGGc5zB53b CgJDlm6tau/6PkGdoqujERjCwW6vczKwlgh/4s87Yw1/6hjfQfO5Ck64dCcoipp5Qp/80fBXa407 Qc1VkM6LXWRRFDZTfndjR/lgE3Q+u4OFXbXJZjZlYzR8KrY0eRK6v8Dn4ncEGRdtrGdjtZWDoR1T MmXj8z9/3tlqDIE95eKGxFk4l6dc72S1Kr1dIO3dR4We4iZ2WOpdQemWWqMJgm/LuY2gCKPBT+kz FVA7THKLdy9wUpkKws9MQh91tFCK5LtGpxD70aB926AJAtRE1S2IHb4T1Xgf/UosqOpOuIRmKIqe IWXR6TulEOjaYJx9MYqHQIDMquzzHj4DwSd1cx5GHCwGAgTgBGdDaemAyWVV74fRGcDXj0uzitFR +CwjlZ1YQeQgQBFMA7nhzjFTP+8stQoVjWQzxJoPTM9+oJ7nU/QeQkMNt9FYVxNzLqR+CVirm4ZN Z+tYUxTF0GpJb+lCcvBdhlSrsRuygccdcugbFPNHexontld9xHeMguimtv3edzDODMIthYl5f94p alhEzXeZyj74/upR9DtDjXsT3ACa/bDEKkdLatYQsCvGDBu2vMmiONq4jjquOXiSsQH85BmJZvQ5 iXiTUZea7NBMHR6jFVHb+J2ghoXK4sbiitZgxQ0ITjCaOUvi885Pq4+JwTQqCEsqd4beQ2ndQLDb M1e5Mb2HkWsftuE6LHZtCUWx9KjaXsR1cLBddm6CdfIKeVs2wK+3fA6kFAcJ+nRbPupmvMfSqlAg TNRx9MBkz+mTJFbTIVYTBdNr+01GpRp+9S3/vDPTcAx1qkAk6/f0um1jnQwhmbZI6zt59TkMp7mE dqGMAQdPxXA4J9CWKP5cwDjBTQaZpoocVZqiAhJPe9c/JkjGYTZogjL6VdKdQQc6iqcN32sHNREn 1ExR8TCKp8GxwyGTvXq4nCtLTqImaG1HD72T0qor0K/CDcTBmQ1lFhxDZO6dcLrIsKdBaqo2JW+P eNbQu77fZJuCh22J/bGc/YHi9mL6d/Zs/rxT0uqjrz4NQXyuvCZRKM5QFE8DbXtus2VqGWPMcU+h 5kYhmwZnrK++x9PZaESzUZ1mtkedBv2pho3GYxocYnO8Ovv/TNNGMDMf3l7RywZXGS1CIJfkg+Jp lDtVYj0R3OedkIZjPo3vyx7pGEWTNENRPA2zRCDQbounTkeg5b0dYp3vGnqPp1HkkzFqHT7YDJ2d cTIyiPbQxaARxYhiRaeQCdQy0Ey3esewl/0zHMrU6udgiwjgKgbJubbPOxmNAfX3LivCDXtO9s5F q95RHdbVQfru8LM8ksGIsaCLzVAUUCdVWlttPniRfkKZf5FqPfGvwR4YPLWgNbh/WKg0KEyndO87 E60aJha62tMHa/HMSmA4ZPn4+L/yenk30sLWU453HhqOd6Cru1/rcHRw8ELythgQGtMi6nca2g2G lvxR1+OPev4MtOZpL0qq45pUq6d7bCOtDShQO4jEHnqnod1ddo6E5IN22aT/M2boXAmfdxYabtv9 HVITh0uxds1QWI/GSY3L0qOh7Pjz4v0NSK24TNY7B61KQoISK8kHmyHIcCKcm0IwntONIL7WCIsr Jk2rar2MHt4paIzaCe8s5Q6qfEAC1STU5vq8M9AsIP/aZJ3iGHeCongaARtUv8qtKw5HnlNHwZDn zZqo7/yzms2PZ6F7Y4ODhUETpj8IDuoT9kvViA7oHcqZcKB5akNLL/t+DMkrZKrfPAV5SXS3XHRP Bntzf97pZ1UAUZshPMc7tTy3fRhRsxsuohDhC8nbYQrjhTunJjXX0HtEnQmWOwkrGno22DmEBTVT IiLvBEZjlCm1+sIAuP2Qsvd4OvE7ghmaSfwOdpqx2s2nGfEImSVQmfy8c89YB/NzSP9tE9HEZigq Tjd04puTFtBLGbd0X+pTujc7lXfmGd6eApB7sXHIwWYIlhrnxkDfGvKZ5/+wOzg2O36jSzdPxTPK 333eiWfWvsMdxhma12T3bE5U/fAHnkn+vPPO2Fb3vBWP8fLpzy6LImrEsuf8TE/pw8OhXpIRGCH6 YfXpd9IZCwSseUCYyAZPOUo64VZm56cxH1cpGzlRwd5AScyt93BffN45Z3eT9UKwAgeDK55cm3c9 gLWfd8oZ4s3x3Y5nIYTAbHWco4i60VppJqt8jDTb5Qldf8vKn5st5zCiBivqbKVzjthgEzQAtgSy mQo9C+UgHViIeaCjwjTfgiGCOd75ZuxucXNts09zKQwQPCXZX0+A9Hmnm9lla0mrrPeASSpew3+n m2HhDSphrNtZ7d5Z7VSPkcjDck+nd7aZJWUQEUC9RYPhghFVAdZEp+8EXi60uxON/YDo/UGzg4ym zV+U3/HXDGGZ+6Bg6EzPlk/zWVefd65ZzVdEHGhgxota4j5DUUSN1v2JhrI15ju3h3T6Kesn6HSa dpW9U814CrG+SM0EDZ62DhCpCakAqOOsKQmv4YuInYdJwq2eNb1rlJXJJASCIRpUPQOPPYuT3/mK wTFdfmFeMM7bYH1nmWGCdiEj37ivo98uWZ0OmwYHysLFd5JZFRcPuytlH2yCZqdfL/P6k6udk5GE fCj2oubRoF9xhVWpgPHOMWPGwd2VSFYYCctOyI4xjNYGydPPO8fsV+VDHcf9fZG9U8z4s/DSK17D Z6Yj9H2f1ywEggSaoahEDXnGs7tAtrbBwfdzwmyMlE70nieEws4kgkWRkSZdAnVnzvrOLqtuFdKV s/abs0Ig0Wxzz3H6eSeX1YfOqcIQaVO7eA/6nVpmcKfOdEBnUOlXSE0Wc5R0uD2gd2ZZlYUyqq7p DraA0nkTVP6a9YCmdNRGlgExHPCSO2F0CtC/E8twVXLlzPYMmqBzCaOKgnJhr593XhlWwj2DCIuG gywzBpuhKJxGQQKyyyZ91V0pDACP4tJXa1LUqfNzgi2m7s/axQefIXTJUBxiVt95hCMjA3kd+rM/ XKjOmOpbL/tX7SwharBB9emz3RiVADfbP++ssio1v6+MjMD3dNdQFE1jeUPeJN9YsVuPY0F8xxyd qMXGeyyuT5MuXaWgIkzllEpBgYgXseQnVKxdxjRF5Q90vFC79qyeZujvlLKLL2u6z+DXKy4mfF+2 8FSgX33eKWW8tOfXKQSZWaBQfIrCcLpShGdaCZ/YbnOyruMWz6AAoykKC9TaX5PVZw7e41hn/w9d 9djFtcnJGlzj1vdJGuYF/3LZft7ZZIbUom9jU3nx7Jep4tlYCr/GzHz+X1krJhbHEmIDn6EonmYw tBmn0XyPZjoqLy533EXByNr171QyvH1W7Z5ohV0fUyc0k+oEtI+scoi1avWcL24Q4cFK9zVES553 Ihl+TXoWTbkTzutOiNpckz/D+as/7zwyvuL3LoOjT623/vpOI8M5lOmWRvVmmoXs6Zr8RFSyx1FA kKELxjuLrF5ZmcUCBgevDIHZOweri52WglnVaMq2dtpDVl9DnQDydxZZNfFUHHJZQ1LqgIXPQx7q 0PPzTiKrD6vF+mQIOpkoaIZCxAfIrRXdaPqENLK+mbM2KgqzukiR8Yac9Z1CVt20aNF2WYOnZOnE mmy0oV0P6oaWEKRKGhQPQJjxRiJYXJ93Bhk3GUtCiXQEDqp7jLIHb34gGz7vBDJe9p5x8FBH5IBV ZRMUhtMov86xTDANah3GI2dELwB5oSAVca5RgbpRvRG2aD44lnOnioiXBeox5hZ0L6Gb0hlls90h HDBOJL5scFCTz9IHbZ36/RXq2jOz7AHox+edPFZlYfGF5gQoHkg5m6H3eBqNxM0OdLdG4jI1/nPX L+MBnQsmbyt7vFPHqoukrGLoqgcojQoQtOV5lU3cypzL8xlwB0EZBYHtNd8betngsq8KhEjS4GCY GEhekh19VujnnTqGx9v+niHEqCndNRQWqHFOn7/IkOTnN592Tg8XTgEdga7NWENhgfrc8idKrr35 4JsMQM49TLdpNHYGUCdFIALMPXVAlbTSOvfzzhsj0J4C1xMFAQ2W1neZrp2/tebPO22MDYBvVOeS BKTXPd5ZY7zIQKgTi26j/4hFwnM65ZW91crGLZdQGFGrckaDaA02QescYedUkj/BgoGmivwkDoMc mm8PaGd71SipJ+qliHxYnHyYIQ/dpLi7x+edMcbNclvRPJKR+ZLsrQkKIdODipbJRT/68gJ+p9mG CkOpWmHonTD25Kxb6dYDfJ3g1Q3afCDlSKhtMLBExb+gWFCYsw7h8rbe9f2Y7qSM972zD5ZyrC07 xHK27uedLcYzzIPFzFY5/dDHs4aiiBpwiz7d15J9P68Mze716QqYoDZZHFELV9WmD173mCD0FLo3 nAS2dvJ64VrUwWPBMXSnaBBz/04VY1olOr1wVd1LB3CR7YIenpzp884UY7v+OxjqRC0Vb7W2MJ5e lGqe5nB5Dg3X/GrEhxv8tRldvIXg6cJTqKu42J/iYgdEvSWS6VCA2kWXHf9M9DSQYFvaChdnfkdw 1bPmDx0aHzRBCagaXvVQ/GoR4GPeWIjteui20yvCZiiMpxUudi+dzW6NxBPDZDun21zLbBtaVJ9W M3pJIH09AukTtMXWEn2IO1gcg439xkYF4GqgSN36q6YohHzgQpXYvA2aIviKZuOu8vEIefbdrm9Y kPPeZD0MqBNMQJdLDpw83yHU9J6S5ACKg0ZseQ+o1WBp+DGXD16grgPl6UWxr3pWDa3azyQCTwAE DtBRHk+zdtZDuiFvsv+jPJRO+N7tJhsVjwfsjV2+bjJqx1NCVBMUxdODURvdfFhcbA7zSHt3n6B9 nZp7hPgAJwZ3vM7rOp/69LnWznWv2hCcWDbhjXMQtHNecFMhqQsFMvSuQcIhG9kiCZTi/I2UwajS vjkJR4/i6YcZVZjf0qH9TlBcnu5ko02LhXL3nHVwZ+uYhp8fb7Ielae7WqxDFaJx8cGQZYKVSJaX 3Ey0tWHLEGEqcoDSb0rG+AZf8hfurA+pMhAfsJhy4JTjoTROzoLn38+hsb4Paqr5N6JHNEch8xBy 313m8FQamB5RA5hj4dAcXj7rUUQ9BV5cZD/P66R0wrq8zgQUERXAiBA0LzHAhIwvZQ4cekbNih5F 1Ob5IXw6ByX2aDvJkWqWiceDKdrza4oYD+ULiukR+xAZd68jSYEB+h1DAWOaVChi2toY9XIVxZAP gfLS9sHPoXEuNqLu6Ei4ihoa1Nmhc0fft0ZNDCW+I+okMm01W0Ks28GUo1RQZ3CBnwMfjwd32fiF iUFPnCJKmqA4opZsTlJSNqV1QoBwqy5alSpRQ52f82de3/YdvDQEpUdGicRQN8JWUYE95yzttkCp 8XMI7Tx8R3TZy9BSOOHhl/05fHgdotyDozIKqcctwDKvx2k2L0+hhyVqKJ5s1iWwgk7OZGoeZ38W k2QCdMz32F8RNVvw2wcHCHfs0U4E9dmrdcHDBHI6bEOj3QnCgffJKF7doxK1kMGzPINVPk741afy +onHI+CZg4akygyXj3Xv+veAWi1sQL+TfBonLiHaMZy/CxehTmoITFYkZT0CfCgpgx6sD175SNhi VUoe+1xkktytyGgawF9jXoAwsUv4jmiClopm2weBhvpJgbsUmPLE4xGN1dN6ycht/PdeXewRgpo8 hQJrCqgJdiq2SxCGlstcQlCnmCoN9QjvYX7Wy/isunolv7TrSajJUxhQJlU/hKxobL6fme8EgVFT 8R0R7ExLR3y7i6pq53pUiFN623g8WkHrF7hTXd67ycKAurHwsaWef/4Fxpg22fVthoBHs2M6KlDL w3I19YHa7QOd+3XCAgVrlJJw5/QQETpBRQN42vIgPjoVdHuE+ADVs5yPpGA1B0N37pR1zo7U8Hi0 hubXGsqJXjrdM/sRRdTUOFnJ9LthquOAhtGKU8Xp2cyAcUQlaojJow1d7uA32U7lpLGlS4ERa0hi KCyG4B7Nj58eD+oRRdTUPjlHPynjHOygHrhqVDzLeDyIqG8biN2jwhPIA8YRRdSI8s8h673Euafz FGDAYTX8BrddscXDCvWSwAk3GwdvA0EkoZp0LoKAQYfPxr4sZGrmQ4hedIkbUUQt+Xw6OtngxaFJ EPhPaeeqH2GFeqevXcaYjOU4TVAIoYYsPITNfswp1rbbOYboqv4fwUu7gcxHVKFG1niSMVQROTDc 0AqCfR7cLoCG7AOy8AqXzgeRRplvJxFn4MB3BOBO2p2gHqfBHFDryT42ZfPQrsLjUY/je4IKxCXG s4JCwAdkNMcsrpCzk4M7K6vFIo1TQIVbLAqnF6tm6PJzYLWPEwRX+Mn0hQrVqYhNiqYiSirnDEzP RT8xPyHeYwrrOJsPXn3dXRf1iXbw+P8ix0CkIcCENj9RMI1suKtQx/mhSJ2Jv5mERYagsFXORhRM C9K6RY/ej9IJrIVOrDlV9uBPTSH0TqdbCJQQButNILqgj6g+rTOIynKwhEH2sLXHOhzn8GfnkvH8 v+hknA7E5mx0aIqicBrx2VlCy5Xg83ZgXuM6kKzZKgZ+HVE4vYZ0TtTjqE+Po0I7KHeZVFX0FKXr SXt4+N6zj2gVajLrRwigdmp98cHusZM3SNL1nJt4PGqT/UIuYqkyttYEhQDqQQZQsxZHb90lzXJ1 eMyJ9lwkd0QAanRoAQted3BQ1aQCV2ETCCasUvacTB7wFRT5cC/PpXeNumQoRZbxDJog5END5i59 4PEgFlrfsRAdz4k/0gRF5elGDQAecrjGTlB33eDmhcSMzgk60fSIytOT+cYuWUcw65uZCRlwzqQQ 454/eWoj4aMjbK+Q3gLK/NoKno/Hd0SREOXxiyKh8lh3nBhHsLoTY+Px/4XFAS9gCgnYBEXR9GDx lfV2Yl/ncI+zsqb1y86Jkc3jbITRtFJVUabWhYTB4gxIhimV5QwKPK+xJogUiln5Sch2r3rZIJzu 1H4vkoAnBVwAc3iBMfuAUBqeD5L6Wr8OaqotzatlNsJwmiyF7En9klwXcamKsZd0A40YPf6uT094 f9lgp1BaA91DiS7Bz7wQNJRZ9Sg0FEzZS/hk8uJLgtoZ/V+m+okcLtGlqxd90hA8HszQr2MIQo61 V7/qZ1ifpgg1vLGV1a/hCYeYJEZqpdFC5+fE0TS219w+2DGUUwdqKBUBqHMtJEvRkarCzAbQN8ed Md+YId6DsgO7yrejXnmG8+mUqz0HYGt4PJig24pmEWlRPS77TTajcBroHqj5YV7g3NE4V5WwS6Km K8ubhMScNTRDSuKWnzDPIQ6XpLDTAjedZCnQNzqFuxCgNgBNqKBr5VecvfiOYJcpGtosoHHQDJ3M k2AwzFDB4/8bm6yhTOKbbIYKH+fzRgIgXjnr4/3WiQEn4GNUXvWYoJiRqHQ+38E3GfDpi2RAupp3 CclUYFkb6OObKubCEVF9YIb1acJfUej0wcoeUDTgVX+CDDweTdB37ZWCEvUe1DMKp9GtOZGti5st 6Z4MlHxpzmhoBrfInXF1mntMsIRdH/2ucq6CifOH3uYnwuoMpwe2IQvgsL/04jT5iDOEe1B0azYT +khOJjvhgxQzTtCZ8XikOfmtwsQWOJoANkFRPF15TItCBtmo3F174JzNpj59fqJuzPoZauNVpapU nN7XZwxSLidyboJ7gNJ0DlcWp3kInezsZ+4HgY+8E98R6VcICFOTDzqlG1QxpDPUKx4PuojNm2Qs ZOPUqLeLOOPiNGKhmQwx1ETnILM+u5vJ+WMAl+cpHcE9umRd2RbU4CtoIpLAjAG3CAVK3ngV9esG 1fCBFMogVawsziiarpTjXIk0Fw5GtsMFTx7Q2YR4/H8JFtFKMYNlTlAE92B7Yw7DcCLdcFY0vViN FU06MicoKk4bk6wJD/MQpQZsDlZNKgqhaV/IrB/omJC2zejaRZSL3jWqLGYihfodrP+DH1O08dXw +L/yMQJm8Tu0cldQSEYk2ENNDU5V93ysp0tohdKdnUGhTF6T/skYPnh7A1fX6PLDzfB8HTqDAJ5L wuE71Y5tLnxHgBjaUlhsywdNUOulb1XNNh8PJij9KgrBjgNpvk1QBPYAQ/lM0JpGaF3V26xtDS8r 0hNaExRF0wJ0bknCcfAW4j77dm62N9q5p9BUxwSh5lFozJGvUN6gwPSMgulGYemTthYfLN0AnU8A /N7xeLSCvA/N3iyWYx2ej82Qi9jRQZTxPTOzeinR1dS9QNZcfgb9pe4BnNBuPtw4CEpb3eRd+z5H NiMmrE+kmj9UTDEuIm3eZyiWhz8HeIfig8GFspk11JILHv9npPgjDkcdVx9mRaE09nXvoz+enNY2 TFoGsgRAGssVtCKoxyjmwFF98AkCMrF2cjhQ4a6drPpOBXVWm+gy9aW9vUKoB7WFRpJ7aSqXzZqG pCtOaFTxeCSg8610RpnQclusKyxMw7R00OmFZ5CIdlhBCUx3K3lslxhaIdSDh8+yeRpXnPzMTGEL kpg8NINmYcJKRThQ+tu4YCF6aeE7/tLKa6h82qAzKKdiZM0TgeHxSMjLbzGeV4gmCFnSBIXq0pV6 i5cntff01kauXnetdPbkBIVQDxUUWQrDwB9UYKETBu2hotms8CLDfTdo0Irbk6fXwxhv+I6oaKb7 XYXp5IU5vZ8J6Aw8/j+VPFA04+2sCYoiadGkdjNb97NwfQVl8YG4xbLLMqwQOd3V2lBZHoMXXs8y OUnkUNGsg3lHMcFNQVvSER+6L3r7+I6/tE/O4Vx8sDNo1roZSZ/UBY9HiDwPFBk1o9bx6OesUGT6 /LSwaTH19hMYWD/DVDBZNOuQSuIhvWIiIrNU1nc0+C2GYn0mom9DfKYuKlxUsl7BqKAMocvn2LsG RTO63vfEjIODktUTU5s72Tqpxorr0t+6FQS9rou9X1EkDavZDjnEH5NgatZVzZOHmky3JukdnZ8T g14JIls+eLK6yzyLm7nYhM+kCAwJWiHQXsRpN9zdJhFvtiKcxzLXzZV8UCgN8KIEhk7YhccjCtB3 IESMd76o1xWF0vDW6KhHcDuNRGyk2oflVu67mvSJnxNEitRe2qp9SRREM8QJSuqOwbEKtxWRQic4 5YKdyD1UESLtG98RTBDhZqOq/Fq9O9bQTGGXA1aMeDyi1F9IJ8NC/Id00dEMhVTETF+kbWb3E71j s0hYhMewA00WO9LVFQKnFSOmlH3wbOzcvoC4dulxpyKKR1Naf+K6ttKtmbF0v6JYWsnGVOmMg22y 83pJQNbGVwyO6d/qOcj75+1trCiWRsDVWeQ1Se7dLRJaV2roBPPFl1AYS4uUUQTYLFePEi4kC0Xu KVfSvpTPo3dy7vgux2rrsBYe7/iSQNNUTF/ydjSo4tFgvC1+wqp4/F89es4Q+fn7brIomIZWwEhw cRcRsY5raJNcoRsutNaCXmEw3aVnwoSew+3RnyQ+bSlPr3OgTvboodPKvhzcn9KNhcbQy/5Fkmry 2OJgYKqTZqgqeGJ1PB6toZvRy5QDcdgNhnYUTqu9UefD4HCRqnMFuZsEpItNmzuqTIslteUVwcFZ Ui1BsJOV6ZFOljGpH9NQpYAawU/5by/pHYXTzZx+/usqA7tCzrYwq8DjQdXsImG0o3DfX+T0fg+n pdDXl45nZKzZ1e7zpPOBjiFg3zRBsVWL+GOyBShPWfGcrjBGTuSMg2XHet4uDZrBwJwCc+0Oyc3e NSrd86AurfqgJVRgm8pzFrq4+z2cxkHgNxlXC4r29XKAdhhOg7/R6berotConpAVLwq1hZtMExSH 04pm0x28t3FiqjxbUg8anCDirQYPXZ5Fz1XPAB7fEVXNGrYRMBU2GBDmXPbKFxAM7ZiI6Ck9obJk JV1vgB0SEaERNXNxeaE2XKFKdXTVPJabA+wY56GqGbOtfS0xUDUbBaZKnKCU0lT5qAH2XpHGSbBz PFWzHYXTTHIXpZltUEJ27squyntPG49H7I1vVxJkrOZDzgkKUdOAooyy3bMFJ7sBYZpLw6B+5v4A MQ9RPY3/K8twksncqX0ElTP8P4e6Yzgz0D+cj1U7K/c7VvZQOM2MlYPRWxJc/vAHnqgCj0dQqu8V hGZFzVfydYc6eVxBUsejQ8C+yh7yaaeyx3Thkx3CPCSrLJ28/ejkwTG6o1mWWHct4EbJug0RUcbW mo8NIqVPdqg8Td37YajFfldQGmZOWWtaeDxSgfMJ4pWFYwVFYZ+hUHgapfsuQgKWULlwxZKqY4Wg 3GozFArlGaC83MFn6ERVFZA8Au9RzZEj26IQ1zl3AfiwDjSrbfiOqPBKZgJlDTUYEOaEtZIzQftw h5XpX2Azzs189lgcTVM1eNo11ob7Jpy1NG2PNVnwcYJCnAevMXTnfXAgzIlTziwZyw7WcqyLDLr0 tWFSi1xChQa7+I5I/94Mo6YPtsfO2UM20Dm4Bx6Pyma36MENmWXP7TMUlqZTZ8y2jCK1mjuyNsm7 M97Na4iHuGPYtMpCOqbXPabBAUWDWDN0ElYoazKtxXoAUBR/kUGptr1qBMcjDm+JSbYcw0AwSdUE LT7+r4yVHXoIibWLFNpRMN1oZ92WFgv8XLrxNE/U8hgE0M++83Petxjzzr1lN74fu3FUKivkUNn8 SeeUU247CFlGtDfrbR/CjIzfEUSKmUKvKMbYYFCqcxtVicClgcf/JQLHx4DpQfCuCcopiqXFZFX6 zl509Yy1FTQLWfUo9EalMncKg2liyIbg5WM9PkCVxUQao23gXQuQaCAwT+wveE9QiN6wQpRgwre8 T9LiST03846J0pl8MCEQ2SWfc+aRHxDBOr9rQyCytXlrQ3YbvrZZC939mqzGK04vZvOpF3qLklWP O4/oe3zQX/VFttts8OoQDpzEHucGqazJIPFERpW+XjCeecAeY9vrRmS7Jf7PHZR11HOGsg3Uzsbm 8xG1/pcQE37alJ/F9B5Wo8ePYDpl80DczHBMviKbijlOkeqLKcRPi+28NVkEkNksnexr0g4CmdlJ 1BZBMwWsnobUmZVtlzGv9rYRoIEtDjowQNAA9VGuJbiTCXg2x+IHBIFRuSUQzmlO6kb5NIW16vMj I8XPBmpot+Na6W0l7c7UDZ6XU+yHyMO4lumD7bkzE+dUKwwez6+fkiYUSjQ/VFbK3G+ewW573QjC yLhxMkPjoEJRgWoqT96Th/D5iHX3DT+jnTVOZJ+lKMIGBryTIS7wUJ23llbdvLZDidkXU1SxVq1o Wa7WvVa6xpmwMV2Lup81z1LxOYQQ2yP3JRHb0PhsLfBbgq7H1DJadzB4DGj2vN82fBVSCP/I3+gG Ni1GubSgnKI4G/yhDlFNUcsKjhSdTBvmBzqZGkB/TYspFqQWt0y61G3cmuMJnqG9mEmdgnSVNEEK AAYdDl2bhX1hiLa/bcSTlrGvuAvVpXsa7PLUwEeuhuffZ+lxmuJ/DKO9fGUqcwo1PxpF9JqJosxC DhBr++zH8ZYDllv0spyiWHt2mZeM6sMNBGDbuejGBcBSl+EkZBx+BhBws98W9Rz+tn8pMdZOqTgO hmZE3MR1cI5EPh9RpW/xmv29yWl9LrlQ9oPVazeuhXqw6cWc69VhRedQmd3PpbB8vdT7SNMH33HA BnQ4WkCg6RzlLDXCMRGCy9Tx6143QhtAXxKlJMxqJbvcM5FNWEq9zUxgyInoG5+PlPRuJMDoCndN fdxwUhhzM2KqTECQ4lKKTIak5bLuS/PiGs1/gz9ArcY9fLCg+/zpyGfVSauwVE1M/aUPTHmd20kz H+QU270MAT6bD4oq4Ximw3u0yeeDDVe/i9iAP7Q5nivuTzm9PpL1q3u6FUi79yT/0ZdPUljGFr0j ycIszVtAOq8NYgfrWSN1qHKR5cFZRekdzXKhikoGzINfElSQihyRCSviYK0QeJdxcQwGlaHnS/tF Y2hizvokhSaKkJiAEoLnt27jCjJV6U4Wqq5llQMXRcAe2C4CW8WGm+CeeMnUT/s5NaqIDEPY3fMU 6ABOWKRpdA5sFLESiu7/Oxj2ajGCRvkeNoqhj+LY3wKxrH/ma8OZQx/FRofb6ULnJ07zFLfU5C6B 6Qqd58BIUfBM8GAkwlweWb0FgjQ/l2wPdDBV7wZBBqLYRBd9d/bzv4wU/7sMUCCpLXjQ2T18Pgi8 HykZsUAn+dPXCS8wU8QSZsm/Xp3h5IpEg7uUikQtUU2m64OCeluVBnMePtxTCYtps/XYWmP8xGlC rgOnDkp+Omso2dtGfSOmcYhVOFh39oTxVWZ4HdWSHPgpotpysbIMHxdF859ZisraMBHtfU7X3Jn5 LiZ1jqjGrMSXiymMvBcxaqgl2aBZgnQDWunsrkH4tHdfTFAATBRqvHVttrBz4KkoH/oCX4vtwwXz ie52bsrK56M44PtYgv1Z7Y8fXuiqiJAFOAirS/ar/gWyoWkyn0sgF5+kkMSoqkmjUT0Hbx9tmCpC GxaIUDZ8icYadKTDFkCD5qKNhr3uX1CIXrTlCmMflv8JaeJagjVn6KxY5q9Z2nQ5uTdc4KyIkhlg s918OMHUSF7fnlcCrPZ2ZynGi3CWiIbV4KA+sPMH0HOkep6ogKjHBjB5BT4cUtB+LlFYJofeijqX 4GfpA78kDfiHMh48MQufj0R1v9mwwKdmNu5skkIqY6JBZzPC+crNpVPGaNb5B9/arQNDc8Wle2uN O1jc3eqgOBNpVlAFW0ueVGeuGq8s5NGXq1fsdf8KloYS3nEtHBFRZuGOetl8Pjq9v3WuGmUL5rOU YnUQnN2pGU0GHppOkyHRl9lJT47AzoHDIjoBynTL9sHrAWdnJfQaWOiGjD7RARWFxQbiI2EjLlxQ 7W3/IObvxPKEBl1xUMjkUjqfo+cj/YvvSi7VcHAs+yRFYTdoZCeEyeZ03wrBEOuBiAK/1kSVQTkg MFlMl+nQmw82Sez0L/RUpY2adEyfz5g4tdESJptI4Jo67HUjsXjpO+Q7KKQ8AcRWQDhX5vNBdrI8 7ubewl6btx+QQ6NFZNRnw2Xh1cBdcrmrCUp5lmUwuzmapb+NyzeR5xrsVGpImSdlcGACN+EIw7Ob ak4nYyRzxbw6ZcwdWi0WNSZXuYMmCRapW52i2fh8lJx8n0qkdbOKrkkKzRbRQqX/j3X/mxM+YXfi CqDUbNZ+C90WFwnEq8kUuD1ANhB9C51gUH8DaLFqvyFeYLm7pwcLme1t/z9jX5Yly24k959rqaOD eej9L0wwM3dEFhlelxLVeGK/yIxCYvDBhqhxwpCybknwbbvf2OypEq3ESgr8Fr9LJlJeQZ89P52B 0HAREoZSRxWWDSouVn/rVOeRPUw1LeIcOi6OLn/uUnxwDMAGtwiNKmy48wGEi58tjFMJVFpKGaiw tNh+y6HjYlZ2gvaUDVZ+O0lJ1mlcJp+PWMTfKICCmtLjf5Jjz0XWLNilxySRDKBJKjRxlIgjeJA2 SWHYTcoHiWI2XKAEIKN5aymVVcVhA+WI1b4f5I92UpHOzC/5S6UQIjZMUNu1tD/hvATb+l6bHxA0 mS5gSwXvkyqsqwWaA99FoEkg+YCEnLPUy/bCUofNM2epJ5T5bZZCdPZQGUDWcKnfGiWsNyqarTRe PNcENQ0XNFZ+BqzeqabijYFS7XUjOAAiykrddwxWkm1QNy4i52W9ZSS7e+XjOb2UsHmuuNB8cQOB vKp3c1fxVDftvbJ3c0s38ZAcuC9CTlIWML37cOtvOZ2djEICXKmhkWutA3DFyBEpt+FNHbUcuy9K GX2m4oOh2M/Cl/x3TpnPRzD2X/rfm7vu2XFRvbvx8G7TcSXS5WPcrehSuBIHBeTQgHGJLJvlbJ5d CI4K4ACMGGyiAqjHqBMmqoW3G5MT8tUk6JhDB0YGCItpgg3W1D1bjj9L67Xx+WgpfQdLsKKt7brq 5NCDseWHT4MbrjenrCWFmYOVpT18kkJJvl5ULak+fE0SDF7IvEYQLnQFpDJwxYC4du0sZOOZQxNG 0EvKLvD+scEiSpDfZF21Bp+Pwm7vw4m1hgLg5T3mEpW7h+Al3cpvlD6z7UafEZbfBpEZmqRY5lqu QzzCOXjJZIOaRYDKbnDEki7dINMLqI1MfAkniax2fkkwSV357TPYdkNMzOuJ5bcShd1l/urCDTEo 7ySFgO1WLz6A/5R9uzXVdHkmdfAGWVcKnBgF2sXsGAnylt8G+PW7Z5H70skiFv+VWRkX4N7r82kv LXvbCG0rzSce4BysYgIVN66Dxu0Wokx+CRWDGvODP+7OUhR2Iz0GGM0OJdhnWfVtZgJu8Vd0qg9q lmIKpGS+mZ7N2/o55+s5rs7pzSJlR96uA6iSgniO8Z+NX37qfqvd3jaIKIlVWlLGWlcZq5Q0SYk+ W71mPh/MUvptnAv2yAW259CREZS7DmWmeyi5m15nr0dM7LT8UIotGUUc+W9rAtTF9qYMB1bYiSkJ CZzksCI1+k/Ydg4tGZXlUiHdBoMEwrteehlj8vlI8vpXpNQJ9L6lgNCTcRc2Apznd26HcWtvyVsC vTqsNAemjJkcG3SFZXORxnNw7xPEJOwp3G4ZFUEe3GQ50OyyP5M0ur1thAygLo1EDOcl06YF+L+2 W9ZLRsjS/XVwV3S72+Vj5z9sGSGFWbOxRZeqkrT2HEZChgNDNTJkDn0ZO1tvU/c7B68qFTB2hpAB J6ycFJxF4Mx8seMGLxfgvv11o+1m3pXTByNJQEWAsc9Ig89Hs/RLPR1hSxvPdgtRJpOGesvUMNfI DuHeAFk79m17gTI2Z1wmcTR98GhyATC5iux2GiSMhU7KKHMnCDd1D5SoasMviWjH6lPSxoGD8ySG ILgnbdZL/ivLlfY1eADrWUqxPSMKlNX1DNf1GUZk51SSvm4pIPBnvJPU6x384D53dSGLB/vtnLaF 9SfYFJ2gF5pAirvNBXXZ6/4loj7kvMNBBZMKbABXx0I3NzBpTF8qNZgQYhPH04MLXBqhmwB1XuCp KAVVdnEpqJKoPVsI4UhGa8uhTaNYEDNrlvJjCw9YTh7Kck+UmxkinQ1HQyQ4z5PHpCouD0J+SUTb Ur7FxGTdxAS7rEy5OaBgEhg14jL/tZRwRiGd8EmKYm4oxpwFNMyG8GyL7cQt2sBZrbs5XilwagRo lZf/JCGCw11Ko8BKkUf3RMuyCT1RsJQqAo+nIbC6ve1fzC2QLH3QJCXIIBgQZ/D5iMbuK4laI0gg HnOiHHg1GkJwJ7vVAKPw0lst2w2u6mK9JOmDokCJQvydLW8OF62ETnehBO2AdiJj7E17kJPN4P5E pmL7LS173WC/ZdG26h10Ko1amkShzs/C54P9dmHd2pwUnXhmKYSY9EdnBN3vp4r7DcpNz1IKg25R A9gi1OBRQEVwVAhXmrVDJo3LBrWCvghDrxdtCjKQXvcvgODoOpy6lxtOWkjTNST3a/H5oE/5i40M Xgq2+nPDhcXuCb8CKgQg6kYa6tA3SqopgcM+FKorNG1U32SwQ6rhxgEdMn17SXhe1QbQuc7yGbTL ZI/aoiXSlHJg25j+zw4kKiposBLlgu/BD2k9k88HccCVnmeEjW5Aa7dgEhs3bnAEileV+rwFE2g5 ec9bc4dZCpwbzwd1IgOmZLGvndn5sysciKnDv1GSnGbag7MOovSqOSvNHeS158C5MZFshCiJ1FsO yuAmqPmcpIq1FFg3/ueOK5Bgy083N/RuBD4S9Ibt3dy1bZKS7D9ZoaysBXR9UFzHRcC9lg+OMjm5 ZRYV4+Qm0KsVhRkulwVGJiALWgInq+8cuDcCfdBVc8s+aJJO/C5uwkl2Cp8PbrjrnGbzcf65PZMU xd0QtOtrVkNPnHu4eultJG95N+btmqSYNsmCCUtEGryMm8oAG5COPJDJRqgPLS0qw+KuYkQp2qRK b6GBY5UjmPRsJqSRmVCclA1lenrDnrSOH/AvIRLme7hbHwvHHHg4nu/d0P9LU7sMM+NSLUVsONbe cr77LS52i/KW7mBLCW4XZ4FuySGNCrndH5K8M8pu7Wc/xDeKHubAw1HCgeWc/nKcGddxphbzRIQ6 JJ8PwoBH7ofCJcik8tPyDmwc4SYLrPKaZstzfuthEeX5oQzc3YRa5A0X+zhOeYGO5oO3vEG8WlMM XLQZFgu9lXoAcOFDEOvCfm3b2/4VdvehMGBcEd8TpCa1vNusfD5YSe37ghM+8Nql5tDKEcFRB59F Le/esrdNoIj140rZCCi030KICXvdM6U72CSB0j7B6hKdAuL6wtzAUwzg/lUeDzXV3kI3x2bWM7KU HZ7mAmMgAYuzMSqffz+513UvogcWu4Vfh1JoPrPZ8S6mlj33ck+DsUwhAOCJ6h3vwM/RaZRTTNz5 MHHH2QgnBRQcFxJA9AJD7nvuQ7EPWdY1Zg712XLg6CjGHgRJxh0s7q55q3927mc+H5Vxv4MARIE0 Qb3TFBW7wVE9k3MZ7zu5JHTb19+6MbHUNEUYkyq40mYlewut53XclonyIh/3/EVkhFGc8yQTuDqu Bbg0yHJg6ij33AKn3eKDNtyCHJ0Q8OhTBq6O6Ah8r6XJoO2iJz6vkVJTtN9hv2Qnd/OMBB2M7EsJ vxlP7tc4qZ2FTPOHRGNvDd4OOIvj/OZyWIH4yDKNIIgCgAeMm9il+7F2P69RUrsWNDDstcGwuOfX Yb6LbPzzGiM1JGG62PQU6miVKlKFGzyanNEIMO0GUmIr+P8pXM/btHzbYDCOzC2YHAAQ0Ukqwwdb PpsSW0s+avW80GJrsoMcWuGzlRFu1GmEd67219mB2KuMicsdrFXST9ZLKk5B7fZ1dhA3TJsdIQGw BGlghNl5DY0aBOxwQHdTn+9d22vTzNGLJW1NV/h7DYzajR7R9bDBYKUZhgwA2ciJD0Y91N+i3BYA myVf8O0kkPs1LGrA3IjCjeWmwRDKbQtOAu/gz2tMhKmV0oNNTiWatNla+rxGRFg6ACVNxUE4oqW6 LtOQmqzIltuypfMaDzV0kBhTn8Xgg++rdG6ZYuZX54qHAzXiIQoCADTZxnX9plf95zUcalJQRWEt PepaVbNDM5ufRL/Fz2s0hD/UlNlsO4K3JzwSZuc1FMJDgNt2GYU0Qt2nR9WVHcmq2TEWwGsghI21 eeoU2RGXx444n+wWvvOZ1xewynIM6Ywrz8pGI9L3VaPjd3TqULAOMoo+WJ7vwvegqnxegyA8XX1y 6o9xShFSaHJeQyBsxin/4WRkm+U6Y1iu1g850zSsR/saALVEYCduq7188KUDHuYkbnPDV4gy6tDT AvwdR3Fmgs9bqyQWHl/jn4aOy+KioZvKuMD5E0mf80hxXy2f1+inPZZXNjs4+yaRNbTfeJ0dXXPw ELIA8cRZXnIEUM+qaekCR18jnyZRU9gPr+SDz049h2FPBPgPZBmdiX2nUj2AXPg5PGftdFKJNhYz hExmmwYrXOeUqtKrPD6vQQ/OrHthOZAdGZ1NzmvEgyMZpWOAHBTx0IvTNH6mryKoTdmp8xrv8Ejm rJhTc/JFv9aZjgEoJEtoICkPyvg39E1BZ/zBn/aUYwdf9f3CMqsiJQYcdCZvogYwO2eJfl6DHb5h /r7Osadm9jP5tcTYLJcf+26sNCxWPjf8uFijNqw79FpgxKZuBNNOWRfMa11wbvANSWYykEEdGZJq g4gsGM9nJlK6osabEP/X8iJmhyWhWtYdrAg7GwGmQHvg6ffZ6Wv+x41VqdXB2XmtLPIeQAWfpXeJ 1xQ/k9eufibzkpfySHgm89hpkrBp/uawRN2gYfPGOlEzCh34Nys5SuhJgatzAdn0KHqtKjZZjaGL T+DjusBHkr2ZftcKgbHwxspfs9Pwvp2i8yI7B9MDoSs2IHx67pUFx64ftz/f09l90fwsbSrdWem5 s87/op1zs3NvAaRWmQ5W4HI6UNi7XHuZKZDxe6gs9vkJdCCkZoNh+k8cz65BI24mipXX/J4gND+L lBA4QVGwjPylQxFMEU9RYZFnz+oG6mvSWBaiP5qgycPnnMM+3C7HgGIEsrRzqXf4xQur3mAKwvoZ Cf7svfYq0PhfZ/OiSJwNutZRUSIVsuXKx4PD2ZAOmiHZtQHJqRl6D5jBeYBABDmH7E6v6fJQk/VM AdXZ2RD4KpihramB4IUNd4YqJBBklIZYutKYeNKxGsKPwNFZsrU0QVHI3KkQQQC9DXZ57WVejQ1F sveYGS4o3SeIMIeF1OdeX+9cNZ7QcNWltCU22c53k01WCjhDRl/v/Jz3Ixo+Plg88w5eke7sbtAG bCJz7HTqGQhFKgQFOrVrihrTasUEm4wuQGtJdn7dvAVmXlRqOkf0QmsjOoXkYeanEC6FuTzleuep NVEU+pLJ51lCu5arckgpdy2hcZGg75EzcjcU3wk+tcFP6dSQIHVx+Tpkn6kgtajLj6VJLh+VNViQ +7yT1HjDUwwbt58NNkFAv8kGbI/PO0eNh7xPEEX8qObeWLbnDEXRM1pxKF5VD4G8JX1CoHxDIJCn dAy9h8/IvJCPAtfjw11CsAXbEuztCyJgsgpDRQra/wARe5FVpej38PlsZFrtbamM7KsycmIsGNpg CaVWP+8EtQbkX/8+p9GQbqv7Pf9OUGMphPWzYqLPSxVpEtS2SWVDiqU4siEKoen2ftJQpqj5iy4D 4UeQ3VjzOWcS2T9o6w9WXVB9n7fmI6ZjFEPL9WI0MYuac4sr4kTW6tvM+/NOTmuPO4hXfUC1rHeT RVE0YKkd8EwDyNC1xTjq1Q14GhG8XEJRGN2ZQu0p+Od82NcJ5atNHagNo5kpuZZGHt9kJzo9hKJl L/s+Q2OIx0+MLAerbZxJl7TT+e8+78y09oiweeWHS/ee0++RtImtjmlCxh5TE9KwnJjW865OTItC aRwo8EKtyQdLNNL5TyUpHZ1DiKxKgh2mRWC5/oAK5/0edTLeQ2lvZKzCm4yDKmOgjmShyyBSE8XS a/sxRKA1v7rdPRYF04QQ99RcWH1UQzOcSzmbrEgb/e6xMJqGqdc5fmrxwSYIyFsg/ikKhW5D5Sob FDtAiiotUSGI59S7BhM0CEXH3rdBE4RmlGT8CGWIwulcvwtARJtQMlG1wyicRmnqnKLFZLO6FA83 4VWuu3IiyGFMq3c6GidItI/WfLA91s71MzdczjOg7YDTUyoTcicQucA/P6pZQ+8aHNMsqg78yzZo i0G9spgVYf68k9EY8e/vmx5tJmlBcYKicBqIEBCVlxXmhdnnIUQvaB5CiRrLrK6+h9PZNOqg5eSD BYtnDsDyaEQyrnNcFHaBoOgDncFNxsZD2Ct62ag2T2j9Mr1DR1efv7WytYnCzvy8E9F4kX0fQlTl 6jebf+ehsXVB4nB3U93VvL3T6/L2jjzUuYTew2mU+KTX2+/g4TSwiasR40HBQ8nTFTDl4JXwA4/1 W+2gwNE7Da2J+YPjp3UfbA2dzEU2V7m2zzsJDe849tcmK9QRHV4qe+egsZKYaO3drJnKfrrVyrLV ymAxk2yGonDaZURa9+Ee03NM4POQe50Dm6CFM0O4mxvN8wCgMRgMK5qfdwYavoRyq4VYIg3Wll9Z 4WI9B+DnnYDWHuSCZghM+xN3eLT4Tj9rUtrtcp+X73BxfD5g75a0LmTfjKff2WdPJToLLpQvSW8C bJ5XkcQhmoBqIjZsy0Y2G3xM7BwSaeidfcZdxm7zZjDEQbsMFRDiuAFs/byTz3DZrt8ZB32c7jkU VqNPvAaspNsUictAo6t9geftmsy8U894UKvgqvO6j1v3aJP253QCG6g6TcmJ0n9i0uLm9sBk7/DO PGMsxCp9FWahXuhiOQuYVE80uT/vxDM7CDRBUvgD9Kb4Vf/OO8PCA/8btRuvKjrZDH4enpLBH92W 0Hs4fXPW3KcPdgzNClPgsiUmes62QtpZxVkOViCCvfFUhuxl34+hRfb5kgjNulKcbQ+LT8tK+/PO OuORW76WEHSiakne7HknnXGGEEWP7qj8tn2GmEaZNP8uprH2zjnDz8t0vgCaaIMdQ2dNplFVWhwT IExm9SCiifFFDPZj5MTviCaIYn2FV/5JBJo7MwPqy2gIuuefd8oZq2C3MkRuMZJCwDVsisLSNJU4 5zSoQlO8yOIZDSaVtTK540n9HlDLePgEioNQPKUOmqLa8yC2TPHignERAzuUrXBAUzFPtbPBjtg7 4Qw/J1Uf4afugwMVUpOh4JnlzzvfjI9/H0Oov8BVwScoCqjrgK0u2W0sfFDpQI6N9K2VfugoflC/ B9SZbGrYEzNp5eDd5gUb7MzCB05sJB2YIEg8n6sW3NJLpiY74/PONeMaEmNB8eL0eBFmz3lKODyv zzvVzMqE32l9B5Hbi4vvTDPEUCRSs1dDMzCGqZyglXryc3q6wOo70ay5IWGpRLxysAk6CS8LyOw4 Q8JtV6FiJIsNZZSn9UMkxzvRzHpblMLsPljGAQcV1gvzyJ93nll7ZJ+XlLCy4Eh+DL3zzBghEMOx qvdVlx9DIy/3d2hI+zVDYYGaS6hm1s44+DF0Dp9ZN/c+WPN9k5CGlcXiyk9BEtWN9VL0rtEMEceh Y4iDgqEJ2w5T5emfd5IZ/tQHz6F4UWeAz1AUUVM6HMBBzVBXWm/q/Mlh0wxmsMneOWY8hSZ3F5NX Dt4/bADESQvzxEV7AhpGYAOD6fpDx3SvnTW9a5CUVbZVQZ+xQbWzDeyaaAqdrxid034KiUc8pTVt ExQF1MgFsIQ0F6A2eQW/VQIV1QSqRlV8p5c1MTmwu1hcNGEzLaFa57loqntdwf2N5VdA6JgmUXdW kqpUvnhnl92MY4r4CtnInypcB1pXjB2hWv15Z5ex8OHREHEO9Jkfzy6LImpycobkwMjOuajyUqYp qfVEIQROUVShTgyHqsic9SFzQpsIHXRJF85RyVgmYWHShf7nMqfpM/p5J5Y1l5wdBDppsHsM8pMq DM35eeeVMZz+PqZJmaK7oabnPZzG3ZBoDGJCc7OVaxFSr95Frc2nJypPJ3bJgH7z4WLuMT8ty9wb jn5yL9jMqgec75IbYHQqz7+TynBXys2yUxOEg91jcFWXL0qvn3dOGVbCcwiREEMBgNsDeueU4VvP qQbHl2S1xdy9PA04mMGkoaQrBPA7paxJ8wrtsVl8uOXpc4Zumisg7B1FnfyGY7phL2aKGxhbqm+9 bFRc5PHDeo4G1acHrJSY1i/8iu/xNFbDN7SsUS7gtjjeCWVM60GTnqt7sEhBPrY4aITIYJFihbrI wvq0Oq3QmuJA3Ki0ChNCocbiYisFyETCPKgVDk4U+iee1tMA/Z1O1qQTCwB5EoBq2Wo4UzQXne2B sOyfdzoZw8XvKYJMXCsXQvXOJmsylu/GQaRZ/DQ2YqbLvfL6M1uWtb6Tydj15w2Oiq8N3idDrWCw 2smiK7hlP3TiOcsN3CoeuKZXzHDxnUrGcyixCS3/aoDFeX/BnjllIn9PTsPng3227z7Dc3Dwowaj TVEUUeNr+5aPCpEf6Vp8p+FI+74uQjEqUU/VppW35idvnW1gxtByBpIKV3SXmxMewK8AxrMvIlrx vNPI8HMWO4KqD07Z2ALdw5Tn884iwyvm7wpsRWBfbwX2nUR2D6I5rYZ/rltH/7buQnzwg9vyv3jn kDXZQ1Mwdfvg8F902ejTRC1+hMKka8B5r0MCNFPeTYuIktufdw4ZEbw0Kyr0+MYNoOQBEDDJeqPI 8nmnkLVHzdlmCBtsLy/ivzPICG9Ew5xQfCleo0pJ5Ya2UW9mfbGBjNiQtb4TyLgDiGRoApG37PXF CaO9TJovKrBglD9yoA0BysD1bJ1E1F0+7/yxGw51Nn41qPIxoGDP2tCJzD/v9DGrPXxNED4FlTCb oDCgph7BHNukCRP9HJgNwJRdAPKitB4416hE3eRd3codvLw424klJHY9z5o+kQXVvwuVWtEfbv3i gHEk8WWDk5oCxXAY88FQVSUnk4sf6/NOHWNE/avNATZIqn4KvTPH2FvJ9Nc1OOckt4i3PVSRDM6J q0KFj3fi2LPJQM234RIQCk409VprOjfb1iYDVYGmKohsr+ve0MsGt31jcWgxseegGWogaXANrRNR vxPH+PivGRqEnNw1FJaoCflgyYHd6D288jF7cxv0sTxifGeN3bSVN7cNvslOrLXTEuSjwhq7slcE 09gCxTdKgCptXSijft5JY032r/A/p8sMB0vsz+5lxfd8dv68c8aaBDG+7noWmKpnre+UsSZ/Cp1C ZGkUHqg8p5P0LtlrhQ61llAYUicc0BnaxDZYxpEWpPWGeq1o2SR5hm2KpVda4bghGDFD73wxzg/V 9gnM02C96CYXKBARxuedLsbc6lezHvmqGuKcnxDvMSisZ1IoJ9oq3gUaPVu7o7flzPp3shi3GLP6 KejQvAzWhQ7T6KIdngwZjNHqB3nBkUenVO0whvCfd6pYkz0tZHUoH8PBaCwNGnvMswofj3rRPkE8 AOhtg7qzzVAUUMsEhKZ+7HEsC4ogY8EeBytDOrGxxWLAB2cITQMbvO5RoGCUiJtukFlVdou8FiyW 9UP5EjuDiLh/p4kxq+ISAqHRBpuhgRz2h32L9XlniRl09qv6iqS+FK97tDCcXvQl6lb3AAhZs4KQ +NY9YKxAXF4LodOFZ1DNxQcvT7fSzg+wqiysJ/sa5ElTBHgxv7asFZkbvyO46JMOH4WMV8UKelaF KSzWJx4PYsXu+Qb/XYSk6UI7WxhMZ923Tmgh9IaR0KIOsnwtkZJqBUXlaYPcoxRgw63fzw3OB68x YoNlOtepIZlZt3hoq5qhEPCBtOdMLfqIGjRDZwdPniKwYMPjQbf+1xIChb/Me431MJoGG3JI5Yvu bo4JzpR15RJq5ByK1fIeTQtmfNbOpmXDg1ZZtGc+MyOxgQL5qlWcb0c9QqB9PJhm6azHVENyoeiz pcFqi7koOAUECY9H6ODvLhk141P2FlCPgmnYK0D73GuLfuND7BvnoOQYrmZFjwAfg392gWSqDX6N TXR22mRtsQ24dMqhGBcbINqb2kjMxyYlK3pUnq4i/DBC0KAJOquyJylWnGyjR8F0Kp6xkvY8KTVy V1AYTIv3m+2UXuUKfI5yAyGomClh7VF12kJFgAltsHzshEInJQUBH3tsofNGhgJYdh1IgUwgtdF5 0RnBl/yFOhtgCJEZhdYI8w20yruM9lrB8wHpcN2yh+SK8aui+GuTFPMOSco0Qjjo807KrHK2RjC0 KJeMk7pH8TSNF/cerKRy8KweuwBeA0RQ9wGABjIOdHHINyoMFQ15Rr2KHsXTONRBjUJar0Fp/YkZ GkmaEDXC4xExc/5nOCSRb85QxD2kEnoThhxaOlgODBchwrkMFKP7h8sojKd5RueU72AHEQSrUPZr gr/CnZtaugXxE3STKNRj2EWWF3tUolYnsQtJ3SG8TSx0LUS1/zD7Lng8uMvm90GEFLaOC3/tYTxN H6vWPCXrZo4GyRPfcicyg9QIl1BIQGTCkaApb4MtobOhNnQL1QU6v3UlkYOAoYb/Gu0JP4hwwOM7 gsu+SDMHv70GoynMRYLVTy04K6OAevwm2SGmmvey72GFGgTNc1RIvgMi3y7kMSpp4eTMU7+FExQH 1FKHz9MHxwe30k8eRilGUKUSYlhCgWm7jqy+PhjzaS/7R2EIEInsg9c9utQmz3adeDw4qvv+Oqpx z9d2C0P9PaCG9yAFqykMzyVE/e5K8XP009XlABG3IuXoUUC9lwgcufngdY+0TwhE1tjZYxV9oMrC ELAhwC+MefHBTJjxHdFdpsrZmD4INPQIndQ88XiU1JfveBF6yPXmZD0CUJOlUNFvlbqgH0fnEKIP LevTtbJbjwUc4T3USEyicyRiW857Iqk/GQbkbFh8RdMMvlyYIBQ1gYqd+U4QDQjxHVE01An0IFdq 7suVSuf01z101joej6hAv8Gd6vLeTRZG1C3T1cP04GQsoU3WejM9OKxhO6aj8jQUGhFK1+bDZbqc T6K6KdpAQNKOdZF5UDXI5UF8dErn9gjxYZ2y/8SYnytpSWYQnGs8Hq2h72Z0ppF2u0SOEYXUUC45 3zycT5Yv8gwG7xYQdRYHsYhGVKCe1oZm7YyDp60Lr1/pgno+aDJURDcarVbYtiG3uRY6We/6Vxto ge9ogw7qiVZcUuks4/GIDe2Qj82JZRzkef2IQmrg609IvXWrnwkiHZoIYaCQVMFvYMKIKx7Wp4mJ SYAc2GBraOKanE2txEUAEulkXDWoSM2HDr3oDzdiRqK1OIoPxuMwJXvIv/DxoD69k02Q1D7BdJl3 gkIINbyqBtXn5YTu1de0lcsShY8ChSYoqk9nVu7rOT850BRmMBai+muihkcDQkYAtUThACTX9Eyy q/58yMB3BOBOooX2XE1D1Xlyrq9E5Ddd2/D4v6hAvNaxgsf0WGiEgI/R6e5txdeZL2aoSaiCxqcE /XGLReG09HHKYh+x6H05QVCynyTgIWtFrb2rsT8JXD2RWHou+on5CfEeVG1BQ9sHZfXA35is2Wx4 PBJj+KZxDBZyb1Y/omAa8G6ItBtVCs4tNj81NZ+fNLMBYkYUTIMGhgZrmT5chPl5gzaJ9hiY/y0a B/xNoHBPksFtAdH9fETV6SyOVNEKKrRzUvl1taRG69lveD5YQv1X+RWxebuAmBGF04jPELQ5TeEK 454sIxl78/zQ3ooeUTi9pmHLiw83FoJpZVJ5Os2TPLFNP0D5hx+JTKKsPk1e/QgB1GqwynBhXcOF s4JyyYqFTrA4IgD1k7TyHqP2e7l7LARQQ2WpS/m1k6/g9elzqSzv1SdHmI8IQA38IXDBKfvgCRlq 9XBGlgZVBvmODQ6EIhX3bEqPi6e9a9QjQ8ZaKWirQRPUKZiGctiJj/B4EAstP6WFy6PE47gXfVSf bjTN5a4gjyMtA3xkpmGCxEzi5xBOjyicnkM9+to1ED1J7QEQZWqjcgUa0b2QbjdJ1Dx3wQ/TYTcU PB+P7/grFJr0ANRgoCEQx4UwXxuPRzSOX2JLAE3kS7cbYTg9mBiZK/WZ0elLqFIklilrhnWallAc Tqvuqou+3ose+sq7yN6KdLuxq1307FFlMh/9oIYUAL8kiKfZRezQ8QAi5hzUBjEvaPrzZhh74fkg q6+/eBzokkHSwqYohnuwC2S2AX0RtmNdoGHygWdlbRPDG3GFmv5vmzUNDj5FCeyfJmr9ia5Rvkb1 DLW5VmglCLyHavibeI8RVqiboiCpmneHsTdAmTlDgNfi8WCGxreABRSXaq9eOpthhRoCKAOpq9L6 NUzi7fxRlIAjqRVlWab1MwqnV1JFiApDHPwuS9QunUPM8ckeO6w6UIeFIgXiVcedMeGYIdyD2kKJ ysEaFE6vE5yzyQHCLB4PJujCPfAYPXHWVfiYUThd1WhdjSCPXkFXYFafJv4oZvWt8WbGEpphhTqZ 3XLywZsc5/9uhJEiS6H6h9XCUkqDYyEm3+uv1d412GR0EBzyVhjXW6GeCLRItyu1gsf/F42YgdMQ ObZNUBROAwsEkoJ1orcEcVk5K66Id3LmaZ3WGTISJfCBZqgNXqFeo59t1Sjwet6qyCOWZMQGHN+m grlIrdQemHGB2hw6tg9W9jgLUHWhvSoe/xfdDmcXsQX1NlpnFE5niuhQ1JoZK6aZARD0blzabHRn 1s+wOm20aOYbHPwQqieQg64WwcGAT2f6wiZ2KtB2ruUWp8lHnCHYozMWWlIwX26pAFK3ttgJOjMe jwQnvy8yLuR+M9YZxdPApp0L2OLpE9QlZ3H0lgxI3ccshmWYUTw95IY3JW82H3mzEwHCQ2Gy9grZ wGy0aFB/APGd+0Hgo/2I7wi2mEqKmwpeHHRIt40IAlvshEV4PGgjtv51BtFjrl4ZphlF06AWnPNg mfJAGz15xiqwx6TLDDPWzs8JeEBWWfxPSutEuIGCGiuLIAjJq7pDga2h5QSSoQOqWFmcUTRdTflk Nx80QXtRJ4rF7oHHo8LZhQuRbQdOGxS5bYYiuAd6PxAttYt+EiCpQ4h25PLkoDsfZyiqToPEj0S0 VB/uDJ00LCEPxyE01AqA1QLSCuxdUs5dQLnoXaNTWqxoSzu+GkDVBFDO1+DxKKf/BlRl/BAQRbYJ iqLpQRIH4YJST57L9xh+W+2xSoNeHkKhSh7XTq5l+eCVxXM+nD/BZF1BHjOZZZRggeglEFZcu5Xs XYMOmXWiW/PBErJuOsq4MPF4BKi6jVbJMAGLM+4SiuAeOF/OwnGhqrGnc357HrfqwbicMxSF04Mk sgzdFRsuehq3i5Ht0gl3Ny/1xbAG5DF6MFuLjOrSM4qmm/obkrznYPnGSYRZ9qgnxsbjEWLo+5gG hqJQ50ETFLMR2XpL1orumYBpkqIJ+5cLRyWkqvNz/iTbDZWFxoWXr3NxnYs+y5e74LeQMD79hqTx etUrBh3eZxRLUzd508TTBk3QSWImE6pacsHjER5mfh3TtN6D4a8maEWxNKPZawMAytQ14yzLih7o FtseWxHaYxAw9N9SZwvbCTmG9E/OTbaotTiJbYHiAfWivpW3V4j22AKb1e6DwWGgxS/d1lrxeKSg 86t4vwkwd3D5CkvTQAaP6hpDc3Q/hAhQtaJH9arQCmNpSXVWCQ/Uxx0YVtmdsS6pdmcVkAc0wG+s kJiFM6OXprHQ8R1/laZlKqPBStO0aGCouCseD7ZY+VbQAXmljHuNrVBdGqCLk0Y6aTxd0viQC56h Pax2v0K0B2TvUh5djGiJRnEFYYbmTGJw7GImZQvsPSKq4FzxRRpv+I6obEYNpixonvD2ZO72RhFU fOzA4/+SUGbcjbLZvDiGFcXSwDDBc8O0XiViyBWUm/HIIQ2xjAW0QuS0eoeLFVgO3tyoIKVsYvLO 9/TKEgc8gXigQAjpIfxizeI7oto06MEZQBobbIJSqcw86kle8Pi/ziCuFuC2ADu0CQpFpoGBb9zC yMYa5YYJ9Ngy42bVjIgRHNIrZCIKfL+Ellr76SBCoDCzeQegBwBoVP/HR7bM9Xs5QNTKwHcEVTOa JYN05YMhy5sVEM5lk/H4v5obeDnCXmGIahMUxdLIjXoXoAoyG72Zz1bmMSohuML2LycolvYoxJGx JMTBziD0Z9sabEJP5L00ItmFEBzIK+K0c2+bRMjZipAegsIA1uGDgmlsae2xExPh8QhPtb6WEG1U 82VrriiWhipVF672bKdzGJUrMaRq7JDHreWrK4ylFSMWqTOUdGv3E83iASxnJnmcjDJghQBvPIv8 Z0LwRiWhPbLeNZigrja9oNOb2oDMV9PSHoNaFB6PSPXfVUWKr9AcRhMUxdJFyQZqYSC4zMUyB8OL wuoQW9B1mRPJCpHTkuOeO/ngUJhzWJz8fqu5cbKwwf0EtwSG1DDdvDWzZe/6Z7Lxnwk9yL5jWM2M r/i+x9ZVW5TuIERp6j2EYiIiGZrN5HM6mTSmintPaTqqaAXFlemiemv3wfbYBKBqQiEaDTJEREzo C1N/qjV0qrl37jEclviS9yWke4x3og0qeZyV2PmNta+Kx4Mm/fjG4zUcQLRn0gyFhelz7MOHpxg9 QdUhFqbLFRgih0ozFMbSXbLcu/jg/JYM98VFCSZAPItmCDxvMtXpAn1DoTH0slH/R35/qfigUwiA C8EQzpWAx4Ob/nbpRalHFDavMHcUTOMA7fCEdXqCK8Jk4QRcuMKarDskIm6Z2QmQ9+UhfSK5ChGF KSLiyGAgooMob03ytn+bSO8omG7JlDq3D1pCE2ca07E0+HjEcEnfpxDkqfqFTu/3YBpws06E0LB8 tVbnb0zubE3QdAmmHSt78CLbs/ngoFcouc4mbW40miptpYgi7ah7oALv1sjAUOA7gqIQRctnoxAT B62gAkscQRP2wOPBMb1/CcFlVjQ9Vtx/CnuMvN2GXOKvvH9lwsF0DPeYJigOpnk+N+NrjlvyWGhY tCLG+Nkkiw7pG/5/aOBh3d2Lnnay+I5ggiRHCb6SDYoVsSx1zCIU2jEN8XsFZSJPsl/0O6Qhzs3W fPXC9HLPjVKLM4AKjNc0QTHOg00fALVt8LrroMGc2AkTjHFW7gfFYXEGSK9zPEWzHQXTkqOcXbC8 vlxKEIGK4HRzTzweiS1+X/Q0sW+3Sb9D1DRcwIdb18ChyOkbjZ6u5p0wDWu2YxZiUdNn+uDNMVQD ahZqGmc2clk0x6TkB1fNK3JGIhK+I8o22PSpdGXjYBUPkBC5bU6MiscjKNX4uugLLosnEtqhTh6F PSgXQYqUUgwKeyweTIS8Fhd33yHMg5k8XShs8GsMQotjE2sG8fuR5AMMjVZWiuq8uHveFfiOIBIq PHwm/ZA5WNn1pDdFffe08HikAudnEM4rGDy1x/JnRzAPME7B/Tfp8hOauHR5YaTBmtnuzc+gUCdP uh5538HPoNIxR1MJ/TiHEekbAxZPBekQwOLWf2YWh+/4g6cJpZnuw8Vz1mahYsHj/wubHhaGZT5b LJT1aHRrdmmYLuoGCUCkZbG9OodjzXaI8pA0TJMuQ/vSDJ7IwpZQHuz5ybOWFK5GUVPXDC6ETeA7 gkiRvZ/Ryx1si62auQLO8TbweFQz+9Ucozvwdf7ZYVk6s0FvVgnnn8Y9g+r2LZYJ3UW+usNYWoJB g+FQvs1zsBDhfDupvjSwZEwaFocWyez4gwxItadeNTqCeEZnSnVy0PxAUtDCoMXHg4JH+vaNWo18 eo+kdxRJt06vLpsK93IBS3OWaq7HgLX7/EQQjyk57i6Y0C2IItcAFxLWayjbn6xuDQm7o58BvN+s t3lIvyF8R6To0akTOJsPdomN1vj/qTkNPP5PCTje8ovdcZugnKJIusE9e5Y+Lt41uaQHzmszGYex l2S5UxhKD+6cvu6gKerI6tB/HHRxgRNvMh0hQLgQolOF3oBC1F/Ct7xP0ipCKm4NqMRlBYvn+1lE g1MqPyACdX7bJHUIcTw5qxBy7xuNqrhk+MBkHHgzmYzjhD3/JRn1lS1Eet3EATVLQkV8+VIukGH2 swn7MB+OUXLJutlRT4BULNXYvH4/tr1u1KmXxBB7/ByUc1TgmYj1OIuKzwdJx62eXZe2dN0PGR+/ P0ZscM5KV08mto1fd26XbXLvgPm4vWgK0dOS6Ibwvw2OZoAozyoCv26Ed8S5Nlq5oPu06tUOXsS+ 4kv+0q6YFCQF7gJrh2spDePyox3EDwjCopJ+XWpJjSifpahMjQo+xE6KIRpYgRf8tSWHfBA3LzOF FFohqgybeIFz8CrjagW+UhSJORutLMl0I9+D4xRgUflJX7e9bgRf5Pxkoqg5qEhUJmh4+BvPwuXz Uam6f60lcCRqu8KLOUXhNZoz0GjYxnaZyUiJUEZydN6ggbLWUmjsQlXKxNKCBk9AMgiz1N86Ow5W kmTYV/hHdKyKnGihTSg+PZD4LUHDQyDP3poPjo0hHZSLNPP5f1HIeXwDfjHKfhZTWLGGuKDSTGw5 4JJ1MOVETggPJgrpNS2msGTdLXrMPtg01ZMbjKWaPkw2ZyPPvqKe2iFYwOKAAYgYA+BLIpBeoUYD C7M7ubD8uaZTN0GdtPn8+yzV9L2WUMRt+XI6cor1PojJR47KmuxcyXCMq9CeLBPp+ZzeUaQ9pYhP K2EN3ls8HwodL5HLIMfIsmSRO/QJKhkQmErlmPa2kS4T0bBUf9egDcf63FI2q5eMCvt+xTEun5rV O0mh5MesFDNXm6NPMVs37dy2WVEgBV1+LIWVa3JXNzqqNviGq+esW9L8gCFv5qGBeKAA+ANydvea EUtj/JKIKF0VZxcPtxUHoBYonenzEJ+POozftWuEDrW251SKAm4gWcH/XZb006xH7Jd+RZdJEzHP u0j3A1cYmov1Dp7UAsIIRjzrRhDxhJzkRoEEkNSifM1k9GSAnGKjF53ZDANmvtj8c73b9TTa5PPB dqvfhxINQuf1WMgprF9jJXWZKDUmcNYYgl6E57ULbXebpLCAzWByL7mMr+syfiK2NEtJNGM/kVOB dgMSW0wbbJ1/Or1Jq4prxd42Kh6ZFXL3wZsgdW1JmzGiDN1eLmpPDAaC//bdb6F9Ikp3nRqHnKU2 rn53a93FG1LNpm6RA/9EFMNZYWvq57cHEYJYe0L8l8kboAFCqleGcmfTQZ7GyYq0i86BgaJxghfS HR88OxmbJ1SlgWLooPiw8SSRgmbaNeDMoYUiqEwgLzjuSlkttXMHoTTUzgVTxiYpQoVIBu0kqdUH p8IAeiji3YYSVK7i46H5Sjtb4oq+e/o59lA0ERAmKRw0SSdHHPICOD8Hnw/C7vzLpRQIpPqE3bGL YmaoZAnt+SePIgEMwaIqdLos7hEY2iiqKYvWlg82SSj5VsjymVAKtBk5SZw2yIaU9fCFkr1t1DAi tLGxFrkaq1qYpFrXJOuwo1CSAyNF1Pu/JRzIee1fkxTVs0+2iENpqzILdpVXI+meZUreKBXYSgqj blbbaONigyZpp3N8pFrl0AWSB6SoMEmDfZsCfcZb0GbnOgdWigh0KEjEuu1+lMVO3J7M+mXlyuej GKB9B0qNogbpTlJs/oLzmgIwEm1y2VNIgVhN+/wVtfkkhexF0RbzMzhCZJIUW8SrSg0yb+xeA+1M dzNIMF+Q0bDXDRr8pFSNJtnqNqzBXwC+JhYU+pt8PuJQf0Mg2HIeV8IyB4aKxq2a0himTNp2T07D 9xXCZetdSmHMPcWLIROfg4Md8z7HEBRfgOU7caYEmQfaIhUxz6rtHkpUlMmBpaIbu85FS0UO/BIk oFliBSdi4fNB++hX5S2DVEkSmk1SyGHMLL3VbOyq0q6n4nJs6Flgrbv5bYy6Zhd7rOSDxdwARVSF fnueZLdnCx1BEgEa4pyD7eHoFXvdIFQynUY5dCYn6Z29UNn5wrRvPh8d3ZcJO1gMoCzIcyyFuiDU sizmMqno2KaJKnisv3XK/GqaIisYKHRTPy/74KnJOOlVryTqdWDuIYAF0WHIQKCZSLyISxZUe9to LRGuRiWG/YQagJd2Y6HZS/5LO4WpySZa+9lwYZn7hFNnJRkgFPLeDjqC/4Ph1gbddFkLCNwVrwJ6 btMHr74VWJkhnqb/ZCmT2NCzxWBKT6oeeUSqXNZhr/s+S91q3CJ8Zl9LJ/0bV7gg8/kgNxm/wH2V gn+P23QIwab4hUPW+lNyg8fWFi+t8+a2WQrDbml751l88G7AeXGYj9H+DR5LTcZUyIcawGPkrJhJ pwy5Q4/FonZ/JTqCgyYpzS5TR2h78fkgNym/UNhA2j0ttxy6LEJ355zYy7nn05QeUFZaJoMOcLDh 13Jos7iGHOAEMy6PvxmCgnP2k7J3DsHFTkOlMS50Yyey8QcDme1tg1LAZLidBMRO1PIkdgTtOpGE sJICo0VlyV+xErSc0f/3SQqr3RDBmNPrJSu1/dTerL501lny2ltotThUVBqyOPvSTEuTghpJhBBo ztBUeQCa37BjqGGgotJi4y2HVou5CIG0sg9eeoNWAldSmXz+f2EPw8rwy5Qhx2aLJOm3bErWYCvY JMG1/vwj5RtJMNEkhVG3WgJgJdngWS5cqeBZwcpbrdS/QoGy0VX0RAHZERKFTrH8kghkI4FdUvg2 lFemVPTayJTB+znrf/MDorD7tzHDWfbrOZQCw0VrCQzcvpyl3l1EBV4kQ7PUaRVpsxSDslnspkK+ Bl9KyNrx8nRcPBFrI8Ex49ykKAZlVLwnUKq9bsTgo6HgYoO8LWKv2F7Kg/JvEKbSW/5LbldYG7K1 b7AUmi7CtbYv9jmYwY3avdE9hvdx2YVTRBm4LrpaKkjVPjigbaKVW02RGBFc56pjCiLnw3I73dPf 9i+a40yKKJN/CeVcWciCxgafj8jo7et+QxRQZro9uNB3EWYg3eBrRJJfwE1vZq4Do5i0fJJiQEl/ rb0ttEqQyBHfT2zzFoUGdPBBM6/tNDUJOebQeVG1gCVNAw7GUwNphyFir43P/4sjgkUzUX56mHw5 9F7Ev9MpaWoWsPPi2liuJj471Vt7C8wX0/9ZfpsYKgnCZ5NEBRo6rZ/T5ARNWabepLFAnQw3u3dN aN+ZQ/NFQDfOHUUOpwZNEipMAvmf2IzPB1F3+kV3xC9TviYp5DuCMnve3YA3XS463G7s3LBAOa9G Tw78F+UVTmmiO3jBBA3cUpXmnjlatOzcJ3cHlYWWL9UniSBHfkkwSU01bjm+94ucaIA/8cpi6S00 YHxAyDylNw+l+UQBUdRNrSVDAGK/USlO4JJy91uW+EHXBwVRAMXPc5WavHxHhU8aDbTcTfJ+wlEu jWuwWOFQikjnaS352wYXnPC1I9/BKiYIWnnuNu63EGAyv/UNaKHVQcf0WXqPuuU101H78aN7J4eY VM5SYc87ZZ+l2Nj8biMbLDc5se2aiEkJcwOfn2EAI4CKWt/GTz91v9Vubxvh2aUVJnrWdB3ns59b YjMX/n18PoLhfKNMCGnN11M4h1aMYLacgLJkJz/etkkvzZDJJ8G7bZPYi1E2njIX5nBPpXM+I75h XWkDyMKmAQWCYab3n3jtHHoxcifugmqnDY4FpGgLq9eTz/8vQTcgSJApv3MUBd14d0gmGWS7UbpV tbfuwmqN8jo2R1HQvVTqXqw+cniuN9SVNq+3BpiXpCDQeiOSF46ZPkmj29tGqADqFokiui4iCuLu K1myoZeMhLEuIFB8yQIM6o0nY0NGmC+vlnT1d3VE5f0hvwvKQcAcWflb6MjY6ZuzIQtpg4MnKFvY swxkCpQbVBth+IHsuvRyoe1qeIeejIxrYFc5fHB2RFP3uI00+Pw/p4k1KIAnLuwth66MNGqaZIER vL0eVZHmdVxECXcthVG34Fy7Fx88N+kJoryy2RmIhvhvVmqIQ7KdHTmFSmz68UsiujEDSYlDcDCG xGrqQZy0WS8ZbbjfqtdgAKx7v8W+jNRTpQUQl9JIjsOZ4rdRemU64TgHxoxIjUT4lRdRvV5E566G Hzxf7ORBJzQlrQZiawh7ceEo8jb/U3/dqJlLPj/PLQ0qmJwp72KMLjRzA3fGRLhTvvo0xCWO/Bzd IcBkQ9goVxMHr9CwE3aioFKvNLf3Yny2HPozGiwgUYqPgzP+ziI7a4l8rbNyzgw27i1g/Tp8aHEy Whl3pW5vG9xvDJI2fVY0aL8N6J7J7xwFk8Ch8Zsrwa2Fstm83no5dGiEPlAXgY7F7rnNlhGSOq4w MsszSRHApPFP36Zt0Fw29wQ0rUyovBiz9gReUuJnpkeH0fV0BFa3t406cAIpCR3Qr3wxfJ+ENO08 lEIw92++BFKIx5QoByaNYJRAyacODwKkTkNJup0tS+mNVDvttyjqHuwIbJaINNxDqUDGpVKJv4Bj yJ1ZGgEJSJobxVW135K/brDfxNvqLfugUwkVpiU73dX5fLDf9g0oi1Q3CjHgd57CavciqHsZSxuO eA7E6SM5JLcNR1HGVo3acShA2OAYkzHmKNCz5Y47U5XpWACuGRQSqBJ4a5S92etGkYDsdNVmGt7q O5e5/BXO8lyLzwetyrvliHyDnEpd6TmYwnL3RNWt72GQXAgLG/INVZCqeGmx/sY7LpYc4R2XeM3L Glx7rp5kHXU90iQHPcFYAkDWdwKxs07ZpbaAiRSlHBg2JmrsgMjGu46DlhNCJomOnFiCzweRwPhd ykWy3W+VMrRshBpGH2LbgiGQs1eWCnW4BaAwXfrODwqOb+pnUc3IBr7/Bhz+5ICLliWwDa+Dvpdg Jp/DEyd1u/WAQUZ7Djwb/fgGudAHIwkkiQtD/nLx+aiy9CsSAMA3X7JkDl0bZ9IkWWVJLqxy4snd zZwSiLDK4ULbRrDNEHPv6YMTTc6HrwoVA7a98yiq9gKUUTL1JpbncLL5zoFvY7ZJGjDzscEy3XMk 6PCtrfD54I5rv0R7udXaM0lR5A32GTxf7iQ5jxSBmie6AK77JEWR9zB/kNF98EruOEkoLFJVWQJ/ Fyf8gjR1AVOA8vNGmVT5LbRurFQeIRQG0RK2OI+X8xskRQLjbGx+wD/1Dpnq4npND0IwsG/EsUSL ZvfZhe2xk7puFN7Ore0Qiti/UQLQXRXd/qiNMamlgh0FsvGj8+SCW3MBf3D3y3qj4mEO7Butv7QJ h7JBqS4qVgp2BgAUoX+jHRcOoMDxmK9tUQ4MHM35qioSAOqTdAO2TtQ2lA4Jf3becLGDIyWOdqvV h8vLQZIOfAj6S2AoM9XttA1Fdx80XBf1a9ve9i8U5Vir+WDMrtaXxH5OfMHng5V095tyfwIE2zNL IX9y0rjIFOrAPrn93DmNjNtLdvXVHNg4pv+z2iOyMhtslhb8momrBJsC/BO6GVRW6kAMXeVxUNv+ thF9ibKZG9tUg9KT85fqG+u5Pfn8+9G99reHmlwDvk6l0HlmI7/txesByfEAiO+G93Mh3mFLKSZR Mlj6b3unc14U0HJJplhp0/W4QtcXZruABhCfasQcSrPlwM0x06AJKBxxKu5awrk3DBpQ9XyEWPK1 pOhBBmDPNEUVb3Qr+iL4m5ISaXqC0msygfozTflOUwQzqQIFTBLYOdwsDqZFla6y6E9nMZ0mdlfl hl9X+kfyYzlwdLQGE1pTyQcLA06iK+GViV5lYOkIMM76leoyN3Yszuc1VOrQ+KP6hdvKDuL0kZQU E7DlUtpegHsNlDoI77STVdGbw+0JnD2E9IHZSTknd1MCQ4dqkKLANDLdfoAuPq9hUgdxmFmuCib7 FkxKg4Y/b6u28PTL7HRUrHVob9WUcDCWrv/i/CjR5EzW3cq0pES2hDwGe09OoegXNxFMTpdM7VYI sK9FGISQ0FRiF/ekgIK1neXDzARGpxmek3XmL9z76+wkhrwwdpLW6PTZgQZYGwZVPpH26+wA6Lxt dlTNpocEFw+m5zU46pliNECXmnDUKMuZb1ltpKxb3yRbXkMjrB3ZpcoSpFxBHmjJgjKhGsloBL5J KhoVCtBPS74A3Eko92tg1NENosMcO+4atHaAdWbudpZT+7xGRZhbS2o1p5C/LgjvNTmvIVE3O+KJ hFVqLf2KkbS2XIykQACdk/MaEPVs2pklM7jm4AFRzSBMdV5j51weUzcdjAwqblFoBjg6mQCA13io Sz4VUWNm8AgmPcMutPKadvI5xfLnNRzqol1qMsgiIHOvsT1Ci99oesBXAG3VOCVpXZ2EfftspWaL GF9jIWytTfA2/LNtuIySCpJ10QVGcxVuLaUgBdpf6+6sRrvv6Nxhc23SoluD07egIY5z59y0n9c4 CE/X73OHpNKxfWO9BkFdbT2UirySndyfOZN7prVzUgVr1b6GQD1R6x8ZGa1CODik7dx6EBOoNJZb G3YYxCNDMgD/IzPH572lSf28RkDnVQUaYe3YBiNKntxRWOJz0n9e4x+sApNdtdlBJ0agP8zOa/SD oxz3/9mTpv08irlcYj8wJ5Mx4XVSiWaH3stgrflwC0XlHMuZXbWxUDTadqmz2Fh+oL/raWunkUq0 szIB7Yvsdg5Wvc5TuGdIlH9ew57+hD12ZTWRUGxyXmMenMmoivZaTUarNxcaO1dJNyhEmyzvUrM3 OpNlP6SjmYOfyZieohbImieFJixokxCOm/js/8friqqXn9eABz8AhdjGlObhnBcMmTrtTH7OkZ8/ r+EO33B8zw7+oJn91HmtMnJj8azZhoGgRY5OnbpcW6NniqtgY73WGHkkEHbcc/fBw+azGhMcc1hj hIN4Ui220xGAFjNX0ZgWep/XCiP+PlZiW6dOFAerxK5B1wyAPvD0++x0Sez57BBRkPzYeS0uYrni TF7So6vktJsMNkzesjfQsh87r6XF7iCaXMXQqo/xRQNmYy+CstFspasnzAgHGNqNyPMHlU2LotfC In4BtTxEY+egtbOL2aSdRBtPR1dW+T52IODSaXIlUngwPdQ+ovCKpie5LB1sifqPu5+7MMs7da07 HpstFhv8WJ7wuBLhCD2hvlhAZP7eAcXe5ZrLTCGN34Nl0z4CqG36YMj+tgrLZY3wmShaXr+OHn5K Is6YExSFy4lqAq1oT3Vo4P1cMWPLJdoE5s5g/dEEEVlUkuzh07WHR5kMeOfqqEdCEwhYnyd51pmU vQGL2i2/JDibi2myFB+sgp+GwH0tVz4eRMzp++aStS96lZqhKGJOQBft3FxfbIPYwSU0d3pa1PVi sIIZ2tScLxJgK18CbEhsG5wPWHLNyVjbayMvpV4EihtKt5bS9ihm7uJ0JomPJOf1nbykVLGxGspk 70Ez0rX8fQLRZ+HeXu+ENUxrRbusOSZ0l0t9XDDd1gTNSip75+cEYbMU1ZOFh88eO3dEZ9yNtXL+ gtQkFMkiUEJJnNo1Rc1p4RyCPQYgDiyw0VjUoKSiQ8Cef/RZWJ93thoj4G4TRJOMQTjTXUHvcbNy yfPDusbhpimSRCBTdm7ozPu2NoIJktxzazSO5WATBLdz9BMnbR0qcg7pI6FwAfGNTEIf5bNA5eK7 RocQZyZBrECDoUGhzcEVAI3Dd6Jal5vk1yFExGWDYodmKAqeOzn16A4rAqrJw8PUqteiJ8WmeAq9 R8847rl2NqXnOfgMnbP4BJ1diKITyO0hJ3lcIWjjA0rsZdZs7xrlFpyaqpVUHdl1psrYWKi+f95Z ah0afjdtZyYCgjDQA/cqC0PoztPH6mEdOB+vso6rWcMEQZWxKIaW73BNjKE5+DbrwPRDkhI0tQ1C zW3uV0CJCDK2so8Ij1EQ3QgBmQLxc9A5dNL4ypSmneX+eeeoMbv9vutJRZEuPycoCqMR4vdNkCor rGVfuZrSspfFqNHORRTF0X0Iukfnag42Qah9wmuYUKI1qHb841qrs3C5PrQiKhq/E9SwUlXW0CrK dxWVghBLfOE+P+/8tN+xooo/tG25U/QeS0suCR17Tcw5Cq4odmPNkVo1hShnrqEomB6E7Z8Tefig KQI/HUKKZIKMivu+SHaUrtGYekSL1vNp9q7vMyQY0X/VDmlnrKO6Q6gmiqb3vez5GL+aboT80iic xhELlLXm4lww08tjEG30hs+8+JgwnubxQhkMG2yCkBXISDRLFVKJ6iIkHCtYYqJCEs+pd40mqNDJ 0nwtq09QhtRkU4K1Pu+8NGyy+r3JCDph/qLyYRRQ4zjuozz1w3ITeeJUeAqlmaw+/85Ku6dQ3pJV 308BscHYqrIWCdneXujlsNHPwFXCDfcIZw296/tdtlXn2BL028OrzwMANE7QuVI+75w0xgo3oGbe j/Og3dv+nZPGec3UpvYiYloO/Kzqq+IYStuJsu+UNMZy3DlblLT91ec5qeWEDhQRjSdAJFYIXjOw 2gNxtTB3NOJe0ctGBXqJHe7sgyGsgH+Si1Obn3dGGlZD8UrZtjJi6fcUeieksX/BBlhyfNXevob6 cJPmDoFdW0PvEbXqdDQnaj7YDLUBykFhzjF3PZFSleYzGi0gToAQegseVDl656N1+dXh/GGxjIMt IlhFSe2wts87G61LOvU5htiApvuwZigMqScNQmq1jupmGYi7rFoN7SSwKKdphqKQOmUZ6rL2UcRb 5i6DqnECeg4hNemyROk1ZGVnb0ArrV8wDItQn3cqGr5k8xxqY/lgvfmzq7WGTpDxeWei9cc2VufQ kGawR4zvRDRku40zZLUg8M6vJC2BfTLhoRccYup3HlqXSAeqZSp8rC/HT3jDnt3Eg3oVVNVpG0tP VPLaoHpgB5HoQ+88tH4dLUu/g3YZnFyF0Btpft5paF3wuK/SB5G4ydOydxYa1lAD3+PiOyYZhTqH smf2Zy8k7/REFenOinSpJDRw8NIHlPusIn0u6zPXzOzZvGU41J9GmPwd3jlo+AuzmEMqK/Zb+oDi g4h65zf4vFPQuMy/73pO8yh+178z0LpZpZ37xtsZbEpzCQHJY2lZ38XUMd4JaDyoxWGUrNG+skZz wg3G8tYTFLW0KEjXSKAgyhl97FscWnrZoLRIFvrelDXioCW0cfwzmjk35Oedf4a/9eZleAxQ8Vqe yz6KqFHrc6dPoheutPqg8pjo1buYNMY7+4yZPaKhunlec7Bo6ATXqUNBhDPEqhfJ6oxA4W2L7fll 5MTvCCZoKjdV6QOlAbdmPtuaOQPE/z/v5DNrGVle9iNXVGhS+wyFxWmK9VCehIlr6tcsrbWndD/8 oH4PqIHBxS0PixQfPKAGhHGYQiawZWlJhR2xDc5nyuapejbYE3snnnWpnaHPLBOV6SEXWmnVPc35 isESWn7X40HaaFDUThMUBdSAYcD8xapnu1z7AuAYbQlNqB1pk70H1F77qJvgzir4oJobq8OBRHjz BE1oM5xDcjo6EMqXVA3MH9/1rz2GDqQPhlfs1NlWd+XzTjlDuDm+LzJWQmb2cPGdcYYQalATa5of 4Rhuep7P7eAeKtTFVM85DqgRBZ3tNHzwjANtVBpY0iHk3O6SFqOseWIh7Gn+EM3xTjiz7hbYeG35 oAkqJVEnCqIN+fPON7Mi+tcEoVm3bkr2TjezmhtWiB3T4Dz5IXTF55Gy2T32zjbrEkM6M7PpU8TB JmiAx3GibaT1bRSUcrkLAew4X3I+FzlUN+5L0btGE0QU5xCmczh1BF7PrBVDnKd/3rlmv05p7cfC 9/NY6J1qxig8E9PhYlh06zR5/mvwkCDyxT32zjRj+2px7dThg81QgqFKSQQFQ50UoswKKBlKgyn8 OA8TFPzOM2NOJl3+1nxQ9WxPJHtcQp2vGJ3S3z2yghtnTj+E3llmODzQ5IB9kHWByqVRd60m6WBt A0y9k8y6/CLPzGQCgjnYBFWUyVaj8zAdSJaUaUiF5sqi9KxUVSmA8c4xY77BeyybLyF6AEJ2QGWD Of5MuXzeOWase/zqQGOTj2eTReE02IknAqpGoV4rO4W67CtfmIfLF74zzPD7sEHfAMe0wcD3CeDf uaVeOCCqojIkeYrIQi9/mvpMn3d2GeaH+sVLqjwcrCxU4aPELTbn551cxlh6fy8gxmbl7rD3WBo3 Q6LkvKupjuQ9oC4YHhUdaKzO6Ynq0/hDQVxmWahendNzi2GDTUmEDRSQi2qvXNwg0+D2NQOMvuxd gx6QiBu65vdzzcPyTE2uE0583ollWAk3lpYiDR2k7g6LYulOSGLb5lw96CQoyhQlxYTvKFDQQA/o nVZ2+6xFVUMOt8VxFudoIrtOiDqZzxWIDIh/MiHWxpjq9rJR4Qy/fAGN0gZVp+EZzkMWNPbPO6uM i8F3mMpC+MrkAJh3UhkjRSTyeS+PFOfT4tgeKS6ac/AaC6vT01AeXQAh3CqTbURASAacZCjnBCIK 3qxLPwVFQtQiPKWn/fk7pYyogkTKRhaSHLuka4qghIA/G/fw551SxlDzu5EIQlcrF0H1zidj12Aj WmuWsdIExgr4O22vnGXHJr7Tybrk7hsELu/gi6jO8/8ara4mrRplzYdrqMFibTyunzy7Pu9ksntM 0+SW1EQE4qqcLQSkqGScH+HzTibrD3/Tqh7oz/V2z6EomiYCb6/thnvy+lSLY7qp5XhmKCpPT0J/ q8pDHGyGzg+fAKuXvFyBymQjuhW9YORWlDWyNUQjnnceGaMSBotUTtFgZaE6jJ96/urPO42Mr7h/ 3WPwzV5e9HhnkXUjbAzo5sglBHeuuYSIKs0GB3pAFRP0TiK7N33pKfvgOf0JpxN0ZbSEylZO35EP dSI7qfCmNdQJH38nkRGPIAeVrdRrWVyMs0GgvJPuzc87h6w/zrEGcQWaCrRbm6EQ8IHiKy1TCiVl Gg1AB2DL4HNWnkOd5zAy1ncGWZcMCULAWXzw0mKH/k9XAR+ls9ElCYoyCcgTcNHxNiJwP593Apk3 o+GpW31Q0WOgMcOy0AnLP+/8MSs7fC0hFHKZFWqCwmh6ZRo1mhDYkphVpqklSscEkFf0i0nXfGeP 8TRjDz736YPvMUil1EGD+FUB+G9SlweSYUJapPULAybr//POHutuarnYndVgmKpaUpFPwVifd+6Y 1YefJURZC2ga2gy9h9MAcxaWhUzlcsIARk2y3Ytf9hPVaxY93oljXXIEuMNy98Frr3SyXCbfmFEX IqiqYy7hoZcpYeCWe0MvG1z2TDhSoX81B4PEQG/HBIrL5504hsfb+lpDRHyke9m/88YYIuB03tmq 05smAUrqU3f1/QlEhpDSYXVa8eJiVYyDzRDMinZmn3zTBDfL8Ykq3IB3UgVUOetK9q5/4OyhmHEH S+o7AkZmWTV/3kljrP57NERAH0ptCDt9hqLqNHqb0CQ0mkZlcilmXW2mLAvEh/WA3ilj+HpqNdXK XcbBUjKYacG9lxH1WbF9iOuKLmWGJHu+HaBNzNA7Yay7o1yC9ZgN3ogeSsjq+arPO1+sS73jK+MA nuopTr/TxVhMIoS/m0X8ktQ16WJSTZ+U/9xWWHxni3V5XJxMbLAD1O4tvGBcsUDOFGYooyvNnL4g 2Cf8hynrECzP3jUCVQlulrYPmqCOHpzkKQofjxrR+SvjoAP6uLC8d6IYkUbUI7aOKrTkk5eF9rzO uqtaTv/OE+MWm0RT5eyDFz3ShshekXwcirhD2Ebod1HyuN4JGsTbv9PEeGAKbrbvYBN0dot8Tc+F +3lnif0XqIobtdzKawuj6UWZZk/pp6j1jfKHlGpk0QNnPmF5LQROk81C1Vwbbs4KeARShUy9YJBX SZSe1AFezK4tZ4XINr8juOiVrJKSq0ETdH4QE/qA2leLsB7zqbwyFFKy49F0C6NpiKHMlrLXzbwB lHaxngcaLMWQ5S2qTSdWOwiwt8GWEPDSZ6MV9hDPlV8rRUFXI0U8s/j+8FY1RSHaA2cq1NaWD5qi CaK4BGMSH4869b9YGyhQznuP9TicZkZmIMVzGjlTlYhMW0Ope/W+v4fTiDNYMZs6heZzCqESO2Zj sAibXUC1BCA+fwZQUcjePJpm4ayHXEP6fi1S1zRYZbGfS5/BXhkVjwfMjf0L+4qoIWVv1PcomoaI 3tlk7IuhArJcmvnsAkIZqBpbuy2hHoE9EO+j5tqKD143SwgBt3wtIXyTuiQccaCBT7Gpj9QlFDP0 rkG6IbEKdGZt0ASdj2JGef7ok270KJpO5TshG5TRmHcFhdF0pp1lNwP0VYdHQkPsOh7Twyl1PapN oyeM9jMdCDhYQrZOoNxYuUQLsUOogirWuA9QxwQa5iZkaPnyS6LCEPliU/bM6NCyVnFSurxaUVLf Cp4PSIf/AcFHq04yA5yjkHZYURqivR1VBmp1/nzt0wytGrQ4VDzrUTyN0ihiQIF/62OOWgb6hYP+ OqtCFr7JjBBIR+JsGCoa6oyCFT2KpyFvAv0d3mUclNYnICZ4jswy8XhwVKf/ioYk9M0ZipiHqOic VcIYEb2OZNkZtLm9XwYJnaG0vofxtMqL51b0wQv4Z9KRrktLDxVqWhYuFmwBKEEv2HGL3d416iKK dKgCEbbrYMIBISR2OM66L3j8X3dZ4XrD73KJqz0Op1V+sPrrHMXWTYbQpxWGEtSrtIRC+qFh8HmT l6eLiFIQOJMmyThhCUooHgou7XzdDyJJP4fQy8N3BJd9EeZMrpbX2wC2Op2g/5OYdTwebLLbh+ZB jRrKvOFiDwvU5yQYKSVbQQPyT5bVd17wXeqnPkExepomjcJ3cvBzCAbTiSfAmRt4+VL8CwsLyANk 9fWBmFO4ukcFagj+nkAxC4R/aysNOn1U+TzbdeLx4KTu3yc1KgpUO7QZeg+okaeg/IC7PmsJgSOM xoYIrEU9DuT+FRlHj8AeysjY2rPBs/raMjBCiSf1zLR3B8wPmDNQFSDk4Tw71qd7VJ+utEKD+qUP wgudCGvJ3uOccng8orD+ZtrBvuqmZD0CT5PGUSH2xyVUGm2HM5uX3Vx2W6us4GMBR1gPQV9LFTZY KsOVlbONmgCKhoCcoRfNGtBAytnR+Zz5ThAdCPEdUTBEl5hZ7qAVlE5OplO2t43HgxX0q3KWk1q8 d5OFATXg5asn5e+dQZhtMpBuJQjXUNXTMR2Vp1UROpF08cHLHmd5nrtsiyE+ypCi5YB+YgMaJZcH 7dEpn9sjtIfk4EAo8kEX2YS3sfpkqeHxaA35FNGLN9FHZ3hWNqKImne9VNaJZpBA7KAAo3t+d5kE YBGNqECtu75BGNcGzzlOXF/R/RHTpbsXOEGENGDLj5ceD+oRRdQUrcI6XD7ooF7QsbHSWcbjQUR9 oa+8AAvFX3yXjSiillKMEFWYKskNLHrENUPgo9VlhMQR1qeXWoisoHFw2OKABuyUG1NDU5eg8kXu Bnp78yFDL1rEjZCPyIh605hRg5E4zqknKe/zFnj8X71oFfBBc7mt1hGipxvvL/eunkDM8qo/x5BJ MSJrHdYDGlF9OrPiQZttDIvhLWMhRIsDIBhwLc4i6jrKB3BB9B/Mz1V/XmPgOwJcZ1fNTPdZd5eK c+JWJfnw2cDjUYfjV7MetSrc/jZBUTjd6JzTXGlpUoheSgxgNJkSQ6+WtI4onNYp1KSPA9cmnyDo BK9MtSyUqdsG0BV9NGRpFKNPz0U/MT8x2EPegkw4OFjtdUBAiGfQbHg8OoMuhQOTQU4RuMw2QVE0 jXJeh7KmQc6my72hCuhnEI9PTVAUTc8hGXamZvUSlhcVhOB3rGi6UtQWWwzBf2XsTklU6wHR/XxE 5WkeQjsRk34GblslHGeFEh8wcsl4PlpDvxIOBOcPD2hE8fRgE4iAeenA3wJ+o+C4JM1mcb2KKJ5e Q26e8w4XXl7As+ImA6AHARFLQ4WGo1lGUVafJq1+hOBplV8rFxEHYyisIbXwM1ETj0enkHc42OJn 2az6VT9C8PSgemCptoZoYCSGwgXHNGqUaIIi8HQR8HUTemYgOdWnz9uj4aZO9MmKoI+BtBYFIAjm IMG/Tp5L7xo1yZCrdkYxGjRBHcLgJPKehA+PB8HQTTgYOqNz2m9SP6L6NA1huzrRFMW79xhE1IxJ JjAuwukR1aenMcl84I/EjAwM4EyGwz7XW6WlDQSrgeA9efGP6he2x87H4zuiUIjnz1zFBx1DsM9Q RnViUzweMTh+ZWTATOQrRDXicJqQM0NJn98iXciQ5AjQ4cik33AFheE0OxwFoAcbLCPbC91UQFpx 0SdAw7nFMpHiaDrkJyMjRxVfEsTThLyOLVwMu9iGLp9ZXTJopuH5IKv/lXHQ/430PU1RHE+j9VOd rrnGdBrQmPPGQihbaw3FBWpSyaYs4OZjATcgqZyAIoGo0Dz/YT8DNoxA7KCqgiNbNXzStPAlUaNV 4OA+fDCSS0YM8UO2XcHjwQzNX4AYUI3p2cAZmmGBulLVI1lONuT4ip+2VTfM60DOK62fUTi9yCEj xd0Gv8ogF8QyF+uvheQN2nVAJfPkVbAUdNgZE44Zwj0YBZXU72C9+sSLkbDkhseDCWrfxcVFduzV 95hROA2EU28E9GCXtcL0tZoBhrL6k7agXoclNMMCtXKx1pYPXsE/cTOkzHmR7bZrogUDDClAl1w/ AN17+RXlVHzHX2qB0ODxwfKNNCsrXyiM4/HoGPqeICo/9ZuQzVDeo24SFCxn3VILZuWsdasRydib e2zGZETJe9BImIMjhk5gdzI0rSDAtAcNXyb13pC4bUqYi9Ca7V3/okTPwrxsFu8SULO5qAe0Kh6P 1Ct8i9FGD3j4OjycnlE4jdgN1SBzNjdUAy069sXfD5bOqU71d3W6CMtQvrAMcPooPUtyep0DFVwF YF8pRI6ucy23OE0q4ozBHpsoj5F80ASdSGJL2/XsNDwetOpv2YMnMhrgqMbYBEXhNMyzOnrFjHrO kXo5QF0aQ52eCs0o0TMKp4dWEBING/wiqxBnEBXxXDOd8SHkpjOLV+tn7gd+D+AYvuNP8QpQxmzQ Id2zkdRPQFTxeNBFbN/XGE1U6xVhmmFxmrqB1FehKy5TG5Hqm0M8zzVBGlnn5wQcoGVV6eyDI6pO MHSOIPOfPP8LOZxMyNM2bBNo0jugipXFGQXTlIc4UXSZPjjPDqUVTNC5IvH4vwpnMsMrKL16tjEj sAe8AU4MNhRC90WpcfNWHt1uMcje2ARFxWlTdN0qfezrQD3hqXCin0GS1DnWxNHcG9VcSuTDSffq Jxe9a3RIC15OL1MOCqahAVfFGF8NjwfpWPd0jMRXdsf61YCbIRMxE8wwHO0hSUW2EeESbWRWkuJ4 CIUaeUwiSDC2wZbQpM4JEjTKDszJxb1hGUz/DKDwnWe3aMgxo+J0URjdyvDBCosViugsm20+/i9A lf5dIHEuY3xGaA9y6udyp8DpBbQMBuqwU7owRuMERdG0YafJctZgE9RgC10UCIEJugunMhF6yDZV vip5jFPxHQEyWJDgLIGP3B0OA9d0LaGTNuHxCC/0q8sKUHCd9xoLiYiUGBLDpVOk3KHTtU9DxpyE anmkGCp7sNrRKmtiHC4HKJ0Aht0pVIUSmorkAKFDhf+BipoTEWnyPkOlPErfVkjk26AJGgD4MqMv ueDxIFLs32RfChM/QoIrCqUB2+mS55EqQzGYWU4uzARDzmUraEVYjyE0jDXsL5f7rPkMLenGFTTR ikOVG1sMMAt0F6gW9S28vUKsx2ZlGlmuDQaGcWPX1mrF4wERcX5fY3kTX+73/Aor0yqbJTuDJkWh OUFgHzk9ofkZtMJQuqszVpcPdkqfbB5i/Vte0xO2uJ33PK6Riq7RuGgh2qXiO6KSRxYvYfpgp/Sg QohswPB4hDj7hZxGODGuQtUKtaUhBDfn7W2IZkdI3njo4tPp4ivEerBLXxv1TIlQO//AwuusqQHr yroiwqsqU3N8JPBT1Ip56OIN3xEVzViSLnX5YJC8k8noDDpbDY//L2qUDBrmhTGskIcIwNlgxw9b DHQUX0EMLmS5UV0Fd4XAaUVA4xluf+x8JjTVCXo9V3JZsumSRSQ0kB6uL3YNviMoTU+mqbx6NNgE nc8yombeeDzKVr10r6inE+R4ZyiKpaG92qXvjWysQxZJsOAkrDCrZqwB4pReIQ+R1aBsmN/Hqnw1 qFMlWtqde35DnQfZ2KDMDmxU+0MBouYOviOomlELd7Y9fDBkOUil3GPrJBsrLEz/WkKEva7qeKoV xdLorp95rIYV6sjLNEErTYPh99KSXWMrVvUgQZW2zRrsEAL6CFsMyQbcgFjzgsNFYlhBwtpwc5tE xNmKkB5LUq9J0gzJq98tA1zANTT6wOMR0uP7FJq4LfLlaq4omAbmCxxc9VVHqs2LZmBG2z0GYKxt sjCYLhZM3+FSEaGHOnWPDeAYEDyfaBv9InBE4c9gJaE9st41miAG0xDW4zCNAZSAnCbNLqWGxyNC vW8yqVHhX8w3VlwhFbERME0/5GQ3vVkkYBVnY9Qv821bIXS6K1Nt2QfPx87dBWXErnwsTeSWLnIG STWcUF40Y+1+RcF0Evg+l+SDNtlJWgh3RBDGVwzO6V/BNOz16rwElxUTEbG1kovi9rquIPdwE5Lz Wc160CssTbP5fKLC6oNvsnOglrEFDIZuOTER57pHG2R0kq2L9VgL0aX4kuCgzmoe9juo5nECkZKl ObAqHo+69B4LycAUx/2+SyisTJ9fcqSZzb190eBYlWl2MRkLLVYbOEOx7nQjjipvHzwfg0HfuWsQ C51zeNTBohBbflBX+xEB2IKhMfSyf5GkSOmyQccQtu6wng4fj9bQL2RwQRw2ry53FE6PQnNEN2lZ THOkLpTzla3I7m+/o8q0SFLFTBP6o4qbcoOhXRK4PLcE7S50ECXnQd72byfpHYXTjapCK9MDmIOW EEr3RYZZg48HZbNfSoLoyxEdbhP0Hk6b5IAsBYm+H8UiIFRzLhJmDINO71jXQ9LceflwgR4Q8zDz yF4RmBIZDJmXjloIYkC3RwYKEd8Rle65uWiGpUEriJwHHb174PHgIvulRUnzIiLYNEEhdBqnEGk5 lpCtm5Ct5jJ5iW5anKA4nGZnrIi+UsZFU51ktYjHR5odNLRVeAX4PlPV6t70pOziO4IJYlPjZMDT B+NILfPWLIiFdsxD9GOaWhc4kvazgkIe4kK4NvvVFspOAVJlR/kGceucoBjooXpZucPFdILJCr4q SvfnTwA3HVusU6PzxLa4cZ2GWPSuke4JC4qJirgcrEl/vsGgQnvi8aDwmv8zYz2RvNc8dgib7sSe DivdT2rSSv1+JVf1IAJRExTTEFmZ7pIR7F8ygqvCkdy4ANAwU0pPT3sYMud5Bc4WS/c7Fp5mxroo UjVve+Bs6DEknnRyejweoBi2n0HmhtSgG+wwhh2F04pqlXxVuqYbdiGPvFy7vAosjBmKcR5k0peV fHCSVAMgr6l2D4if/P1w66NiBh+ti7wfVD7ZsfA0+2Jbp/T2U/rs1BN1MpquaeHxSFzIZwgHAI9L tgE0QRHOA5WALss3ntJSHOAeozsl91hiLsMJCkXyxE1QNJ2/oume2eDrLLzi+Fa3eUHUqCAhAlzc OtC0rcJ3/EXUrOBb2WCF11ZlY8v+4Y5L094eY1wJHO+8HegdBtMUOmRfinz63q4la6dTQKWuRzGq 7w5xHgLCVFbPanXlHBiltXpiOYpWDOQtnarLCTL7Bez93l0xuBBNge9432OsAq7JdrgG32NFUviw fcbjUdnsOx+jGvu6zj87rkzTG5oZa72a0zyEJldQ8RY9eYg7hk03lYOWD454zSeBaYhIUVeEbpuZ mWQKnJUf/EGGpNpTrxppC/F+72REc7BbDDuVOp1p8fF/cVuwG6FQ2qDuZvMThdJnFgDt2LKy6Wtd nuZs198Gthk2PxHIY1atHCNEOwv3nKTI6QGXo+FhgbAX7fwAx6ygz5Dr4iqUTe8aBYqqBuU7GJLq xJvEzdeTIeHxKF9tX0cQyr00tNAE5RSF0sgWO90shXil24pEPequ7jMOJopEuVMYS3ea/1RtoHpl y8fJTxeuRLq4IHxYnKKKi69DAT5ThN6gQstf932SiMp4+OIoNWVFi2M3ImHgocYP+BesUxDZjcR1 3GmKhfL4LyN4o884Ukjm8omndhGlnm7x8roJI2rtHxR3bLhwoXHybvFZKRrMvupJyjhN6J2haugl /OGvG4EZ6FZLMSYNSjrO6qwMj6Ayx+cjXn37Pq5Rg4G2ic/Se1SNx7iEisGnd13Teq3n+CxWQANt 1xdTiJ+WZHDP1QefpQKoVO3S5z7BQ+diIhHrLLL+s+oVDl4Ev+JLgklqvOyxLSEoCLYp6QYtzSKv NrSc+AFBXJR/FUAyS7HPLEWVaii9jbQsGgK60yvV57jy5GwuSnQnfVDQ7CCjNUlakMMtgWyg7ug2 AXnECWgvSiAQr6CIHbeb56/+upECARPYzrYQB1WJkJZJCefsRj7/L9IdJgQsidrWs+Oi+BoSiX01 42hCgTE9hTTH503S0bWWonK1+ZbkuX3wJP9ELANAZO44ED2IrkJhHMVfctW7g/E3JYTxLUHPQ26s Sa375K37sx5akT3ZhqNCCtEfV6hBioQn6KQ9vE9TWLNGKaShRJSx5coedjCdRBYLkkV9RvlNiyks Wne1zJSvfVmX8IcehSHAQjkN7OaK2678dAjDb4pZCELEGABfEuX6SciG4YNBZNKQTUHtafP591mq +ZtpD11S2F/dSQoFP1qhla8RFFcujmTcibqCCJM6VQB0ekeR9pQNYGP/lYO3FysYTUPOtfBUaoQy NtyDAyAmVAJcpHJMe9sgUtLhrRbI6L5gIcw71LuYWy8ZlfZ9kvAkNYz7JS7Q/Tmo5JFun93cV7r4 BOvRQo6Hd6te28cHRTURVouSqNLpUqXnuczmSdgUCcDMYNFpvE9UrScxBN2LRizR80siqjSPo9xk 0tqsut/glsrwtZ+H+HzUZPwlgQaMZm3XbSqFAfcGd4E0bmb9EA8wF9v9OJFCudo87yLlD2Hz6ehg w+2AnP/Tu0QJVqsFknroKFPyjcI67eroyQI5/W3zcqL2Oyik3NhtouG1yeeD7XbNWmmhjUt5fsWU UdQNmkwfLJAzbRMjbyhtM7xM27St0yRFFWywHaj0mn3w4hGQVhXuH2hWn53NciOc2WXs/IO4Uogi yU7yS/5Szc2Ea2mwLshJBqc60IwoQ6+XX4ZKpRH9dycpdE9ENHdC4GQFtrZvH6Tn5g39DLUKTVJg n6hCFOoiUu8uV70bwjoIkXcy0couTlGC+xtLlWs+bEXaRefAPxEHb5Lq8vDBS2xUdOCsNz4fnEnb iTCEzSQSce6ZFDooNhzcM1sxBHXs60/Kn1XSubMNn6QIFyKRFPgn+WCTNGCuUAAPxHZLGUZmrHVj baH7T2TRd1c/xxaKLCJN3iMaNEknZ5ZkSjmxEJ+P5GF/I0NInX5WUhh1Z9xptSdLcqtrf0BNoVoR oC2auHZ9UNSVJSmv9Ds4uuh8OBgr5L3iJJEcT15ynoQC6Xr4Qsne9k/ia1dbtntbFioZNMU8B3cd fD7Ic/evev8iyHLfSYrq2UucqixBYfi/uFlpkXgldbx76r6SwqCb1xqqXj5wknaCTOh59y13dhBi xE9bdAtNFGi8BW22rnNgpYjbDWdSoyyJBiMNpTklw7Ry5fPRdvvFGmpUNch3kkJpvcUst/t2a8sL kso4NUm8+TVJIXvRwLJ9++AVSfT2xxYM65wcNIRH9xqaHWjeUKrzwoyGvW7Q4Z/SkilFQ/alVCsz zx+ElXw+aM8+mvmCbQHpdOl5OXBUNLGCOd0XeOVnw5Xhkuf8+W2awphbsnAoatjgdckCgHszvWG4 4HUVbgFSA3oWlHo/lagpk0NPxVwoHZflbnK7/GgwZxkDnLyHzwf9o2uqqIxmU07kTlLsAIPO7KjF +FWUD5SlYpouZInWs5vfxlgRmnQ1lgQ4eGFpwP0WZHBqfVagj6S8A4lGIBEQ3F+WXrHX/UueCNBA H6zTv/sQu+HkVnz+X9LeQrJjOnt6tlyoDDI1OdXS3MwtR3g6kBGqv3VKIWuaImmQbvktQ6aigp+K AW2dUwqYRiykAXUWAvjBlGzQfSVgxEULqr1ttJYqndvZBSjrdgFWalIjPJ+j54O1NL7PJWhzVxgb +SSFUTdEOrjuxfdMy+mMJTuz8Rwk9PFALSDwVrxW04tFSg5exx3nbFrIugldO59F60Cq/jT8e5mi nwarqcNe932W6EwA7s32QRHlPKtXop1zZT4fKXyXrwSuID7l8rRZClHYZDRSlRPQo51NKwRNfxot sdhNTQ7NUgwckQMli94cPA5IY0A/krN0jr1urE+oB1C9kMB+8+iUIXfosFjkmDPUD7gaEieo56eS yNn4fJSb/PIsB/ayPVlu6LEIxy1U1LOBa1JeD7jGyQ59unNXDk0WlylWmqjTfATBzn8QRjZzLZ+N ltMTuMFWaXeSHhRktrcNbjjF27KeXp06kol1L8QQuOEKVlJgs4gs85fIA34tdAZ8ksJqN3rbKtlT phkds1t7qw+IzVdS6LM4GAIVWS+Vx3oJxoF5t8pDaWYoxydqoeOYYkmHIgYqKi023nLoswjeAVpu a/tgpbdhNB20ifl8xB/+LuNSsnc+ztyh0yKIrGhOSgQdbm+3c5LodkL9RionapLCsHuompTu4Cvp HBcgAjLIJrqbwmkdC6iDegUVDZ1JoAjZ20aVN2o0L6mFoBpFFMDZYzOLRgPKAD8girt/F7vPsl/t 1icDt0Xcb5sq1kuzBKc2v99a89IbOmg+SzEum1UlGZlz8KV0juZ67jgE4UB/dDqwASjTfwbdcme+ ukU06uO3BDiAytpbZRd31GkhJS3eeT3BNo/PR/yZXzZMVPdZNzsJHRfxmkDcewq3p2uB5nrz3Jpc LTUHlosuKFsnlT45+Cw1GEQP84hJZ74a+3WLcBfQWke5nW4qqOXYcjGzcdJMYMXdU+F42LpsO1Pm 88GGu6A/4kkQBhR0WH2WQkBJpXp882732F4NgJSRzxLSAZulEFEiloiJDo8HtQVj7jaTNLBw04G7 jnbu4sEA8N92ppq0HHPou2gCRlAEsOGWTDZhW63XxueDpVS8ZIK9hRuJ2g0+SaEYX0eiO9uwC64k J6vl+lCN9q0rBdaLDh+tk7ESB5+kTiwJbRqgjV7VYcm8xnBul1Fu24TmnTm0XhysugFs6YNVKDuQ K1xKa/D5KOz2gJJdb/wyhKjYJEW1bvjSAOlv4K1zKjmbJlez+oKAyPQKZeC+eEXkk0yH0pfp0ARG a1eJ7+Z0khU2xhEoFBaXqJzaxUwf9rbBJMmBwDBK6VLWOthRUpFH8S20XyzjriTcjZtQnmvWkEMD RuCKoFq4HMC1u6NL+nTvs8JAjIWlwIERHU9Ct1LfPtxi91mIQ2YEHfJqIrgleBYWKk/0+fSWlr1t cMMtxkqpbB80S/VMr2pnjfstRJjctgnVRyC60/Ol8OcShd0o7UDdtDvGxHu4kNNzHeeRCCXt+qBo LU01TO7gGdzJ4cBf22oJlF6ZnBB8CNHiH4DdWS48a6l2e9tgLS3Wp5krabAa5ZRLPX6gzOejytL+ 2nCs3uQrXphDJ8apksm2sNs0VKkb1lxcHoLp1TZcbMXI5lKlAoYGh0tC0pFrC2mubKywlED+KWjt /CdiO4dWjDyudpV3DAcDA5ZBd1/Uryef/5fctY55QIGu9lwOzRh3Zx9gWG+pV6dDoNrdnUWLyMfm KIq6F/mzVScOB58jEuGnDHbOXzMzARaJ1xsLCP2ZpNHtbQNYgBre1HDQoLISZHl1orSsl/zfBPoK QKj3TIr9GNmlZL+M7h/Cvsn9o1o40AfyDuVvoSGjSgFp9TvcVu75GzpjFMACVrV4EiCsTku90svF tqvhHVoyEhEEIGbzwekRbcr2dKTB5yPo7a9CLnjyjxBmjk0ZyVgfvRl62/3gzxWtS4+lAFZMtZTC qHvJ8JWdgXQVCSgLcc43pscoS6K9QCBO6QTi5B/glixS2rStzIEtIxjHPLmX4snl8SQC+ck/8QR+ esl/ZrkSvgYHYN9QKTZmBFxpbTOsPH/q1U9fpXozd1LaXWspVBdh1I0L2QdfS+fcTvCspCxvkkp0 3Y1nE4mSchw3/9NlrxuUKEVoo0qjBlVMKur0RFAudHMDe0YAcW7FhChlIqXKc3ZHYTcK6J2+yURP nOwzGXqCevBM4cAcdohg6NDoW44lSg62mACyBlSfeKWJupJ4kYOSquegoDCUCrkrdXvbPy84Rt8a tOPGOdEIyUK3gc//q9zNIwhLaz3t3NCkcYBxs/nT/z96wxfDKEF3yDq7J5Zqd5IiiInq3BmHvw0X 1AU0QOniJI0Ov0CiJ7BFEWgCAeo9gdXtbf9Q7QO6d/mgScptE1yFGtXg80Fukn5RJpBDrKdxEvg0 glSCMgNXrrFuvPjWxFUifZSEam242KiRBdxCSbFcHkmxs6qAv2nseW/wlODPdYJMeokjbW4UWNWG S8teN9hwUnhOchxOjq+DINMwmvbqfD6SXftmBfCnSU/nJLRqXBQLR+gpII4yuE2MSTVt/nNJ3Tpu 7NW4xNBmOMDB44D/z9iVJTuSI8d/nuWZDPty/4sJ7h6B5OvKqBrJZOgZdZL5QCyx+ILOS1M/F27l YxP51rBsUBxlf/3WKHuz140EDmXILJDJcJAJFBS7oqXzHXw+iCh/mRGCKoWtfkFdoV8jqr0nXMKv R/+URLY2kW9QEKgiB3CJ646LVUeY4y9eVhxsx2WIO4xNceezL3Kr1IxgiW4A6F3YprZ4iRylHFg2 oiVaJbI6fdBiOlfoIGDp5IuTzweRwPgNMznfDhc8m6XYtBFORWTgq+8tUSgiKHq/CIq8PRIIXBvP B5Gz1oRb4mB9b9QAkPyzKZCgsky+TgF0ga0Slp2V6RI4wi+JDm+upUUvdA7K4RY8xTlJFWspsG38 FjrkZYbTNj/ggNC3ERoG8JcxcMBq1ZlKeTRv6GYogSmFC40bJUmLZpIP3tA9V/OmCzeyE9RfhGni 22VO2PIUTi7fOXBuzKTuo8mgLlNZXg5oA17r+BNrK3w+sjC4lVxbNec/XJeHHJo3QmPRwyXiTPL0 tjf9EIWizBdnErg3Xg0SWTtx8IJ3QoOqUEBinCBgL3GX2QKDCBwV6I00qfJb6N5Yk1TGNMA8njkF vEKShHPOWy9+wP+CEERzqKYHIRgYOJoX8WjJ+O3QmrmkruISCem6FuXYwZE+IbVKAbp+KUCjbDIy o6VxJuzcqKLCDyq1zJ/dL+st+8tGpBxSS6XUUq9SCzKxIne4AQRF6OBox4UnccCAP548ObBwhGd8 h9uDqfaeGz97f6nwjaVEgsWsG+4vHo6KA+QDWq5D4Tm4z15rw1Trxvk7yXI7/1iRjNcfkMdd1q/5 20ZxN7FvReZXxUVwocRVBMZps/L5YCG18R1Rspf3dE5CF0eqi/YLoOjjOhcZW5ky2Y0RZdcH/W0h pU4pCQ5OpoB1SYcPJEDL4Cur6Z063TjTzyqPg5qqb6GRo5oBVAW0QcnJGjkxaK4nVefzQSE3fdOX RlE8cicpDLszUSbXqfAK2eRJ2L3auaN6Ey7wcnT9Q0aHNnhEea641qe4FPP8KEOe31jnA/DujLzF eTkUZ8uBm2Nm9Qx2D0KZNEeZtLTrEnp9Vj0fIZZ+lbvl5zCeaQol/tpmK2BaUyAPn6beTTEJWnY3 hQscHVFklW5UvYOncGdGapdU7bkzC4H1gC0j7qNz9LraPxIgy4Glo/y7T8DeRYjrXnSAuIPMycpE qzLwdERT4LtVOStF7zyF+/wZKZ1H0pmjBNQyZeQpQZaSVQPUgrXKEm2ocXD/GSfhY9CyJ3qy9uyD H9uz1zmmMF0LsrhTbRMgqtEmxUXsuv2gj37+jJL0pjSgOfGQZLaWl94KKoaMK6FS9fkzRtILbtW5 Wf1ECrMhu1H5X5wfJZoclkqIPTSYUrITe2YiAqjkS0FFZm7B5HR1AOYaPjgu8Nwd4FV2KR2f61Mi mgUnUsMtA1p/nfkL9v46O+iWcHayKtzZK9yIcqecVQqqt6+zg7Ah2+wIDIDOC1XxMTt/RkZ6CPQ8 AN6z7rPRh2PdSnEjI+AmDVTyZ1xkS0d2qSNVHxzJffb9ud5VRkqYHjmDsxKD7gn66w50I5L7z6hI bwrfGOyplHyw0vb5Ajk2n5zt82dIZFMrCrrt+krJsWb/xefPgMiXDja/FOg7ETfea+vN2QC9Nbd7 +DMc0sfIZbdSS0yDLR0obYChTK3+vSEgz/uOnR5GT+M6ftNa5/NnNKSvYAjwdGzRaRIZq5wTi4WY c4jlz5/BkP2hvX4tHdL2gJbR7PwZCtlDhNxO1rNprZJN7RDdemco13ytdoOlM8RMlqEBB++wnaAz Q9KSQi1wNK3UXoUCOKLoH5SPfF81un1Hp06xGnb2QXdXL0VaSPCw+/wZBNnT9XtfkVE6k++rP0Mg 24wIgeYaLnoIAw1bOsOTD8CQ7Ej+MwDS5Ex1siUVVR+pqI307txGlO7d8oUhzBbpcMNRnJng89Yq iYXHP+MfveqSis1kRW1dpZxaTrwojmOr5fNn9GOrQG0Knx38Ntg2mp0/Yx87yKnPn5fAtDh1kqMi t9kaAOLbDGH7Z+Rjs0M52pYEaE+PmRP0+0AVYgw9TlamEv9u8nAqP+gzec7aaaMSbSx2+SlaZoNV rmGYRvH589N+/gx67MySaDXbbQKzFxYIODl/Rjx2JFdGz9sini5NFsEgzFiFHX6bnD/jHTuSpZMp hAMHWzps5jQ0X6Gh1aDlRGn+SUAt8O3psbpCxo7veL+wpiCQpVQfDAmZdS78tHP8fP4MdvwNx9fS YduDpSbOzp8lRttYkFWfAqvj1JGfAzv72mPq7C9jQ/xZYPQjQbJHUp8vT+UMNJBBTB8KjPUcntxD DdTwgfSipHQVjTdh/n+WF212SD/uctbt11kX8VlSqboUPB3cWCLgfd1Ykuvl7PxZWbTlmqjFb8fv 2WLbuSJbEyXViOS6LGGwo2467S9q9t4f1J2hs5lQQDx/REL0g401wD1oAMihKX4h2TQo+rOqaL8A xUcT8Cw2aO1sbFD+yRXqYuGN1b6PHfQ5Id1soWAUKEOlFxzI5NMztsOw0uUbVXY4RO+L5ofl6TrG HRxmjDwOXDy1g8DQweqhSgWk9352ud4yUzDj91BZPa8CRugdtHrAE1VxmtCZKFZe8/tczmTy4ITX BEXBcuJ77myZRK3zihnPdM+ecYPlMFpml6MuiY+si8M+2+AsmYy9AMjjOX+AyIOz7jnKR9Guy959 7XXqZaOzmccOoBc2WPkeiHpRGSofDw5n9V4tm1iNBYS7hN4DZnRe0bt3I/i+Ja/a2S274lljuoDf C2PNPqfT07tIN7w8NTIgQ2YrcriCw4kYa4kaGtg0kECyZGtNe9f3CULBBDLG/Q7aYm12mvGiIw/g bBT4jF/nMzMfgEE0QVHQjNywb/GuAS9q1cPCJXN4TBAEMKzWGkXNXZr8sE63wVOKBMtkyjJtYMln Yi8N4j9ngrDDKVxT1JgWyCFKuEhWy6xpaXCg41KboJ77+vPCVbNDaJSvFYQ6EhEXNkHvcTMqf0DM VtPz6XslNyI8L9B8gh4s6HvgnPk5J+gp/F042AQNeKGyG4qKfStV9s0VyoGFOFrS+aidBR0avmt0 COF0BuLDB5ugnSWvToXDF5qa7dDsW4yuDlRyp9iIZigKnslS69WkMRELebM1U76LQqurFT+F3qNn JF6sZEzVNeZtSJ+LakLUgl1EaIud65xSdqAwlYoeyXxMmVWIfo+ezz5GXQyEleaDwETnCwq5uieU qJ8XippesSWPEOmGin50RwxvMxQG0HD7hHCV0vYt77itU8i4M7BfcomRKIKWhB8K1j74JqtQ/+pF UCI4umfVq8FWp7nDXrfkI7JjFEKzzgvFu+6D5RfwyZZ3St6fF3qap7bfFxkFl57M/YWc9mzNIWFg pu59OJa4URZWJTHKfXAJRVG0kI1wgffBa2Ln/fOmAONeo7Usj7TVFsWE8Vumh1FENeMXbpqtUxk7 JJY2OGiXVXAoJJPZ5+eFmuaX7XeWAchsBVnAZug9kMYSGgwQpeADPRrX6m1SPZjs1U+nN0SRtHr0 bZXsgy2hc+PMkzkudVeBrWPptVRynYAIate32hoZ75E0oj0WxtBttsHKqnPIKOGcFfnzwkqzWOgW f6iSxK/u9yKLYmmpfJEpyNJYqyYlgmixeKsHGANNUBhM8/QFDNYHaz8DX7VrkcYhutFExVo7H6UB 6YgKQjyn3jWaILTo0ej3QRN0/t1KPb9KJEMUTRuy1y4ygk3WzTVeCGl20wP1OYSnZu2w+jENSqsd Qrl5B+OFj/YrWPwzU02sig1jEdWSEZhigpCfNvozX++UxUT1hY7mFQeePp2VIA52kcF4XJoFJX9e 2Gge8O/vdAN5ESDlNkFRNA0tsE6kquryMq3mIdSTJWbntK+Wyb9w0TyOI+lj5jt4h6fOts5PbEaN s01OxoCgYWuDlfX5MPaKXjbIx4RhJJ9BgwGrTrKt4vP5+M8LFc0vsvaVrlKTCz0em6Go/CwDJyHQ 2d1pl9OY/KY/f73fYy9ENE/nWSBD5maDzdCZANw0dJFDQw/kccT5kAjqmNdMmJUVOyhv9EJE82CI cXTfd7A1BAU8ZqwntP680NC891G+ZqiQEDPuGorDaWBf6rBe6sCP7aWyYndaT1BC0wxF4TRlHKDx 0H2wTQbHlHNOUJfunNNI7ydTekwpheYg/GcomN7tZYM1tCn+MGknx8EuMsy9lDIzH4+S+u+EAw7m tNi0GYri6ZWJDprNYQvLS61VjiHUop0OW3ghoPkeL4K+NB9sDW0QtyYSRCT1ECIY9C1IZHwWqv5f 6T7Rhl4IaL7LFq13SNbjoF0GKBvbqOf8m58X/pldtrt+rSEhcJMHQy/ssyfIPBmHi87PXf0cMk/d Lgcek+17IZ99B0Mts/vHwQ/qCrdYFGAgj7WgJ8Ye2ILG6qQU7m2BydnhhXvmJRrOzGIng4PKHqUW gapAtf28UM9sfvN3nwdCMGUUL3u8MM9s4ZX8LTo/CccQ6Jz2JErqy7Ck/oV45gd1VRNj+eBlD5A7 W5ISXe2ZhloVeFLeC5MeTE9haOll348hgs2BfK0+aIbOn16l3Hju7s8L78yP3O94mgyBku4MhfE0 NTGmWaL1Nfx0hiK2Cz637SZOL6wz+3lZcAXA3wcLhs6GOzOW1e3Bb9wkfshoERwHyDd+mTjxO4IJ mtTDoqAhYoh0XZlhyowZOhnl/ryQznwNeTzNmxY6nQWppE1RWJleNPR25+rerlMaUPmetSI10En9 HlCjbIw/HBgyHzygrmcFFuZDsJU5eQIxZhRpLjigKZin0tlgQ+yFcebpURMSf/mgeHFB5Y7HyJnl zwvhzB6f3wc1ouHSb8bxQjfzeHH+wL3dSvdb1CDqhtGejWto3errC9vsu/CBOq4PHlBvpEw1y2Cm AKjA8j5FCEaHZPmlU4Oux3eN1hAZC30sHzRB8FxleRkiHJ8XrpmFm+M2VNmHxSvxfFW/OYqoIZLT 1aDkVUZyBGdop3oxQXubkOEL1ew7ou6b7sxd+pScofNTbVRRJELbkYEzYqJzPXHZ+2n9EMnxQjXz 3hYrHlXic9XF56CosuVzdY6GzwvTzG/b74M6Az6xmh9DL0QzX7fUwDC9QvAuvCM/RRGm63Dx+vQL z8xvMtpYTIIRONwJQjcSxTGgx1EAp8whFSMy2gWFMhjGeil612iCeP6w+axBwdCqlFagLk//vLDM HNQzv7P6otKeT1AUUDcK84u3Qdm5C1mo9dKnErlZ2GMvHDPHAvEQEoW6PxRqAMlQYe8i4p2rfmfV +Cdj6fpDjR4vnTW9a5STTemFJx+UOuydpjGoO1/xn8c0J2iiy+GH0Au/zCZoI+PoqVkLiEIDQi0U Us0IBW6ugPVCL/u9xahFwMFWEEoLSMCSZPkGE3zUjnBtM0ui6Kz0VP1dg4SjkxBksCAQnqpQHfNc lDyzZ8rl88IuswlOftWzRlLsFLQZiuLpTWX+1q2HuLOfPLlKA5MdVroJcoai+nRid36AVmGDzRDg sTDPon9KO3ttMm3roGNkdPEvcZrex58XWpnND3Vmz2lTfPCyULMW4vl9Py+sMo9F5vcCYr28eLrx winzmwF1s2pBD4xmnFo+ZNBcKaHWDfbyQil78u2zHCQ01x6huQ1FlDrRisIt1tAblX4IIiHcfdgc Zn3RKTr/wiizm1Ji80uR0LpwRGAlqO0ESOjnhVDm6+d31YPmUXeHRcF0lxdXHZaxTsdFn5u9Ls9Y Nw1ROz8nysdYMDt/uQ82QQgVTyCkqkcHG4TiMgtgu4b4BxrklynVt172b5VFeEL5oOI0xDSHTIfx I74H04jFvwtnOHzrvsH0C5nMAqEBt8a+HOEh0ob6GybvlNsGflq3WFicVuFMIrOVllBn71D4eoID JPzLWgU21kicOo8dVFPQ2/OcftjLRtAySjhU2VdjY7J2AN/n2VgDgSHY54VK5lf2d6y4wC+pFz71 wiSzY1quuibbAIqUE1x78RP7/JfLSmcvRDJPJxlFqzDUn8LQPh8DYRwWXxfcndYWlwpRJWo743H8 ZFH780Ij87oQUfZLVnu8OadKZ1uKpuezMp8Pttn+3mZggVZUpmyGomiaodDeu1rpbK2rxd+G+4A9 uNYXDpm9PRs75HTbYDnrXPlcg+hG0Pv8rBa5OCHjBMniB15DvoZowfPCILNfUzEQMeQavMHRulo4 56/+vBDI7BXLNzyx8h5cXr9/4Y/5OYQQejf3B6EmpVSulvsSdqY7FRP0Qh/73mXkYdrgnXqUzqDf JK8C2AWLqbFB6sP5TW03rSGWCz8v9DE7EhLlCTeFG8peRikEsn3Tpuqs3fl5YY/9t0lmxX705/wc eiGPedUUsg3UxZaWDLYR1s25m1Bh4Tk0AHpryFhfuGO+AZYAeN0H70SfQ/qco5loj3kCLB3KC3Uv /gjADXkXEYiBzwt17CsWgqBI8UGFszGMuHnC2/F5YY75Xf+NF8JrFGADbILCaBop/dzd1eRoJUD+ uEmAZWp/0EYFENeoPN2qqckWH7y0OM7yQRBHLMNGWYVqruc7gePvBKk6BBgnEl82OKgpJrfbuIMB qpo8S5G2rM8LacwXw3d5moIWcEmyGXoPp0FYmDx7qncRWU+kb8qclzxetnURXyhjv3tA4w4+Qw1e LDLbA5Q8be6nyfIQQtWMuPa67Q29bHDZIwwQIcgGw8Oc5UT4xMlbyueFMmaPt/UdDiFEpbOhZigs TxPukZtJNWwsHJNqkCyoPFOW6ce8EMa+U9YupAIHD4dQGYXkG42czomxFQ9QdhCpPvU/lbKiq8d3 jTD2zDQ2u4kcLKff1EtAllXz54Uu5uX/73OahkeUQ9IERdVpCuy0kYyCUOVpxa/N3VYThGCNUvdC FvMOhwpm1GjgYPnG+YIEX15FQ71Cw4cBEzh20MvNtwO0iRd6oYr5/BDsIUBVvoAqALWK7OH7Hp8X ppgnvN8XPciiZRRvs74QxbyWxNJrU7GsL4l+kyjWl3eAOvofmp8wnuYWG41q++MyuE6SeIL1DMdG yexCFIU0DvhfFCK+mLEOQfK23vX9lKY2OlhnyQdN0FngVd5LJ9L6vJDE/AhzqD2V+el+ThCLZiiK p4V67d15Gq3fqlCfXlgkC1FbLI6nWRXSChp3BS00oWk6zxmqBdInVvRYILCsH0qX2BlEsP0LQ8xz qsVJwObUoBka1Wgs5SQGnxeCmP2p+XsJdayeciuvLYymwexdpVUreqzqZnKdICXJftMTFylZ+ztq +o+64pkgQLJxhVEtplbWnSAMjph4ErZx7RpZ6MF3BBc9IyFkNT5oggCWUF0RMl8tCqYfKgsLAMp1 7gSFwXSGcAUlNuVn2d11OKXrOpwhfKcVFJWmEy/6lvPywUvTBUcEFbDO/jpHa2ZaC9EBNBdYe38Y q9leNrjGNrX1x7yDZmhCyZUX/U58POjU5/kVK+KJMm/O2sNoGhT6sbK6Yd3k4uVnQTw1hQYQxorQ 8h5No2rHPSb3mHHdY9AAOsH14o0Oplg/CRmJQVCKLRC4h5GHB9P+rhHLkGUP4anSxVOlE0CUrmrq qHg8AgZ/E35o8kw7A31pWJpOPHqu5KBqsBJCZQ6CCSoUV+YERWAPuTSwI2KDJiijapxmljt8O3sM hw5UCHCxgUuxKYvUpQ8z9K5BtiGBz0TdYQ6aoPN2W5Lx9WQbPQqmU70pPTPWqfjeZyiuTWOGdiuO yauOyRuLBCB5n0/rIfaoNt2t/yzgWX7EYc4KAhNMjXqkiFOxIlHtC4JPEItwKi+CL3xJVBhi5wfk L+jgsi3ChKMhcdj8s1vB8wF9Y3k4TWAndOAbiZ2ao5hyiG1GURQEQ40ldCnoqImIYAjkUhXPehRP G+Q3t+HDbdWXtLcYClhTfUv9bNFgD/EfQ0VDnVGpokfxdGE+33RUt3tUF6jQyRl3lonHg6P6lqdH 83io1DtFEe8QqRyE9JdEvaA7qHgxoUOqFdWoNcq8vsd4D1r/diowcHguswQjwqU+66Z4BkpDmCLw GfHHOXCR5cUeVajZil6LBqlnyHKlPCkLyOv0+TirFI8HMzS+A+pBT+jmOVmPA2oRyJLlZLO7ChOy J6sMZV4AXEMh+XC8y+ieFTNASa48iWAOvJhdgMwKN7TyM9e8JxF6efiO4LaX5ilMSW1QSlb3oKb0 z7kgOx4Pdtn8TsnA2pLfgCYorFCfaR1JzFRsN9JRlNbT9l5dxDxMn6L/PaIuReDxclMy9Mgg7g0I R0qUKyJntWfubnkyPABzalb3qEJdGTDCms0HK3zMRe0apHYTj/8vJA5Wu9qtDPX3iNpsB6EVhsvK KFN0YQBpVbJVSAWAdUPO0aOIetsdroRrPXLV50hdaK8Sl4ewRZ6xC6TKBuUiiHg4y27YuwYTpFBa ZmgchIcBJExtxPNr4PGIwPodUddO6ypPOXqEnu70/ENDmEuo4GzQEqKQAZcQ+MVVlaEegT36VM1s 28DynbpAJybt0hc+Of7JWxMLe9hWHYfbzHeCaD6I74jCIfI0iDzRoBWURjYdznOG4vEIt9i/4sWT a7HHe+OhMKRuVPjIMoU5t33Lvsk0WSxvkmrKYzqqT68kkm9fPtgpVEZrEHbmMX0S5ALAD04hXH0o reTywD0oxYEvCfLWRTADxYc16CabUNUQqj41PP6/rKGMznRtN+sYUUyNx/ATX1BV86xj0YZV5xDh /VhEI6pQC8bQt3r2+4vqC254oooPzZhmFUCt0ciSEpWPjx4P6hHF1FkcqZSbDzqoTzzXl0QCc8bj QUw9vP4qp2L2We9VNqKgGqiiDoUWq31QVFX4YAmf04qpeadshBVq3fVN+hTt0afI5w/HAT3kXQ3J y8W7nt5556Xnw4Vey941mKGs4pBweZdSfPJzw5uX8xZ4PIJ2pu9zCCFGuc3WEQKooU8zdrF4ce7h d31S1rHZJ3NH5hFVqDNRHAOODxzw8oOJK2solbKU8LwaQ32QRjRTpajTvevPuh/4jgDaKY5UyTZU 7ZaTyOTW5WneOx6PehzfwRDE4/K4AfUI8R6Afc1lydiJ+VyACv307pCqhJIH91gUUC9WF0ejyfBo pCsP7bETcawukyr+WSySNFaLuH7Tc9NPzE8I96BkC1xgfTDMGS41Bnsn5cDj/1JiwP/K1fkyfkcU TVuXbDufPl2hinZV8tBrN1DeiKLpKVBeYnWRgzdaF/jmQAKDxHGOkSI7NCAZasKCpGGONYFofD6i +jSVBU/UoWZZpqqMMg6gkrhmzw+A56MllL6uMqLv25XIGWE83allOs2XYpJcbH6njjWX0In2WBRP s7WsgoYNHi6mtksTwBwe1WMzs0+kcqG4yT6iVahJqh9RhZqVnBOg1+SDXWQ5LbU4TsyAx//VJmMH G0v1IduNED49GnH3F4GfnTVeaUKkZj0ZD5ygCD6tFmsX8IOD32MoL4DXpNLHyaSs9MFDqNNx4XHx XHrXqEuG2tD4GjRBMNgkCRu2eXg8CIaW14Z44KBCjnKETVBUoG6yFe66sXoXc0MucMupZBvEHpbw RxROSySnDdmcDl2lYkq1Ewwt6r1O6irSRiehB1PB1AXG/PoJno/Hd0ShEOuvhl68Sc3ZuCcTYSRz Lgk8/i9hhq7qGWguF+8xonh6FKKqWF4kL3E5zaU6kgjVs+RLKIqnB8PEutr2wROOdI6cNM0LHk1R gob2oiZ5pSb4TcnY+cKXBAF1l96JOXifz3SA+SoCnqGLgueDtL5+IxdRjy3z6piNMKCugHk0c6Ds 1Gb3WGhaS6gDHWyLKKxRy+FUgmYcvHx2IrKOmxmloQ6UMBVy4Fb5Q9ncDBCWVfGpQYEviVqtXD6T NWoOyjnW2WisMcPsCI9HhY+btXI6EZQhyzHlnLhIjbQVnCFmZVPXeibhzpllqMEuJfYzCqh12cMb wAcHNECqoQxVPtBcnSrjsz6I0iwMJBx5xpRjhogPgvJqk4Bpc74dptZcBE+QhceDGWrfa2jRLv3e 9jOKpyt7rWAYZJzUuIyV1585Q7WYgvgTviHM62fISFS+Ko5CuxwFQPAz8DVLAigNE0PKJvrfDdhb 4O69Aou/Gd/xN0LiyeiHD5ZxYBV1TVDB4/86iCjLiRIemRyaoCieRtFpiGGMrHUXL7oizdiG+Jh0 R+MExYRE1ajr9MHPIXrAAzdA9jJq7jyHEo0oTzizqV8uTiulB+bfS9SLovMarPABkzTZAq+Kx6MJ +l5BmJtWh9/1M4qnUZ7vc1q9Hi4mpn5fsvSXCGdI2Yr4MyxQ21XGmIiDAz5OKL0pooiEtY+kGlLu RPmi81zLrU93e9dgghqv6EE4AwdN0DmPTIDpvCgeD9r10+96OZ82tvd9gqKAmgJVmzJq/wfJKCne sstRsysPzOnt+hnq4mnpNDZSOXj1FcwMKNRL+/YcRzytEpqzFQ34uR8EPrAC+I5giyWSNxYdJ2Tm xVO641qjfUnpFY8HncT23Sijg2q9IkwzDKdnpoOZ+U30ds1ec/Gr/sTD95CO8B7dlGHGHXyCBmR8 G526F7BsxBHsRag1zCdZazRM1bB3DQ7pRsRiWs0HTdC5Hwk3QLl74PGo+Dq/wmn0O+pDG58R4AN4 uXO9b8vpVxk+QQMIBd1ig/cHJygqT5ue61TlbN7K2SIFCPYurN+DbsE2UaasYUcrvK1HPbnoXaPa ogpnvOc5KJwGrkbyJydMweP/yull6Qmx9HwnKCYjIhKqDqmSO4o1El2OCeRuqwrNUCKP9DBo6flg K6gNKAfJPuFcNyk1IvfO23ba+gGH70y7RSuOGVWniy2dvHywhOwc7oSu1rNg8XgEqfqFWwTqFSen TVCE95hcQY5cOIumOY8M0lV2SNfqwqUzjKYFqUoUHujp0YGr8CrsrAqcQxooxi0z4cIqxcAs3RbZ tHcNsMHUYEJN0gfLNwCzkTdQ73g8ggz5CmIyxILQI7EYUhEhCgyddYOXqykt8LSBQBDFsK7Y+Tl/ K9+PwuXBweKgs9/K6HTkQVVoz11VeUWjC+yjWq90xaDB+wyF8gjKa4NtDg6GGBp0PUGLLBc8HgFi 0q9kA7/LlWBaUSSNPBfkQHO9W8WZGxTQ8R5iWraCVgT3GMR5NNWdOdgKOjcgfNxG0S02oICCLYaL q0ysepLbv1S3Vwj32IR7kFOvQRO02K36ocV2xeP/ks/J+u2AMPfK9Aor02rlFcecleErSHQBaXtQ tJgTFMI9pPAK7TEb7JA+k4Bmz2DNAwZMhQLviYJ5FetuXMAQvKf5HZFQnpgJq/pglWlIyvIMOmE6 Hv8X5ow4a+wuWlVpgkJl6cp6dDYmIkSkvPBKE1mhPaA8rQkK0R5ijEOrGwMD28YVtM/+weFP+ZwT SotKlWkSiiUOzvYXY7zhO4LKNGH3rELbYFsM/h2aoDXw+P8iRllRNQPyxCYoiqRZGz7xh7GAxkxe NSu9th+328hW8lghdFp67S3f4TbI9jyxUC0i+65WdYuVxk4pVJAesu+2d/2bDhyh/TZoghDIShzm LBI8Hp1Bv7isKLmCdWQTFApMD/IopswjeqcQEIHBOblg8Amn2GPt/Jy/gfJqkx1J+7rm01l/YAWp CX0SUlpugV7wA44iWuCPes7Uu/6lgYitVX1QsnrOa6l1nngz4/F/8Tfwv4xX0YGyCYoiabgNgEam mBCOTx4orprNcqOX6UCPFet6yM5G85TqrQiBINVkT3X+igkqKCtCaOEA4YY203Bbm0TM2YqQHjR7 2OdeXD4olD7hFC2f0UQaeDzCUznSgw6ASJp5rGmGolAaHJOOeJfbCTBwWze5L3e3OX99NXn7FYbS RONBa8kHLwhNVBUM14n2T5V5BJVPUSDE9rCC0B5Z7/rXCZImJQVxRQE6h3SlNMwJJxoe/18kqsBn gG26T1BYmWaDFbkEGS4T76g9NsAnFBSmg+3KbHWF0OnOC74L/9rrk62eVP6c0zJITMBtkQ6dEmuL UNDBCW0VM5buVxRKKxDKpKZo0B4DI3DK3LbxFYNT+qrASXSQOn0eKa4QOs1stZmNBlRcbiBUt53S UAu1XGPFQA9WXRupUhxsj1FUsRfa28Pn5KwmNFMH8PIs8SOMsA5rYQaKLwn0TKXngbe1QQWPRnlU 7LHzW+PxoEk/vzusjSXcW/BYUSx98oyzs5gGMVRUe4x1abJUGAkRG6YZikWnzXxt++DHdOmEu0LC OIEekogVIm32XJubkcwNhcbQy/6l/XOuRkoH76tYjuZG1SmyMx9/nyH4aT4JPbZNo6cZZ2hHwfQg mipPt/qpy8VzNsN5bbKr+LqjsnTfCqaFWKwXsQi45TkMSUY++eoc6AOwgdgZIm7wtn9bSO8omKY8 Kwrd2QctoZNuF8HN0uDjEcXlG1xeVFBwZPB+D6YBN2s0sTX9pXOOLgfCrOqx4uhuJbFjWQ+2Vocs tsezhOoErWKRRbY75N2x/ZGE0Cr9XA3bY8WSEIPjO4KSUBU/QSYt1U1aWoU2KkMZSOLu92BaksMP LrgQV35pdjuETjfKwXTXyStuzEouv8eK9L/kBMXBdH7jJ7DoCuA0OUD4x5pYXcudkifM3u5FTxY1 vuNvNTNqoNqgWHGDEWj6VBmPRzzE76IiQJhEKtkEhTxEeN6vMizbgOCowxh4ZmqLddcW2jHMQ61V VYbGY896gpQGvAuD6RPWnbSanR+8IAQJTKxzPDWzHQXTm2Xp1MWy616WPknVWZdcAXNPPB7xN9Z/ 8tXSLmp6h8E0bGRn2Y41k682pe95GZlby/AzKERNz/rW+QGTtXYCOCXgRVMttcbYgj4TP6/C2er2 rn8FwlQ1gKrL60MYrSzzEK14PFI4u6IMnA3AQfLlIe7QsgV+o4skLBI4OguwFPag+Y6EPVL1PRbC PHiP0U3SBpshaB9XeuOg5DEhOMeyK/UVM3WDH+Q9yu/4jiAUEoFjU3mAg5ddoWyFU/psYDwezFD5 Fl9CtZANBpugCOaRmLAu6/Kgu+pQKjrMX6FFn6BQJU9Ci3gJG3wJwTYLprIC440yGU6mzD/z7F0Z orH/vNh/3lFdulCxvBW6S3Dwsivls6x5uOO69O+iGWpSNx/boa4HCC7n8jXv+o7ep1ZQacWU3RtN pDVBMcxDtQ5hqfLD1DxX7wTmqKpwf/5flZLKQO8VRapuoFnIIcF3BKGi7nmIIthge6wX3sOg2Q08 /q+iGbcTkCuUbNQE/bUubaYktHHx+JBaHs6RasV4iPvvwbRVxDg4UGgC9wjJY1J9Zze7P7BFSGfH H2RAqj31qpG2EAOgnYYPdouh6McddkJePP4vbgvb842M+hsHhZLTaRPY4T6125YSGiss5lOHckzz TtgRxAPQAxTsmWxw8KIiQvLSab8xxompB7mumU3o1GA5eHuHszW9a6TpoftdzkjTa2YFCDxNUE4D j0fpav2Kg1Dsha2nTRAZhe/fOiSk3AzvKqMf9gv6dlS5kBAU5U5hLC0thkwVJg6aojkSALtNCAZQ oCrSrw1S69lecGOgBr0Bhai/hG95nyRy4M+/hvuZWGMx5nLDFc+OZW958gMiUOd3SkbMDng3Pk0x GRFhNH8iLKSGhcRcPk0AR4pKZ5Chk8N4im0PmZQtsjaLmsJaSRC66VR9g9J7M+lpQEqQJaOZNx+k x9j2un9RYTofSIMsDko6TvS4KF1xYtPG54Os47YRmdqje5nKM0uhl8vgEqrKVzstM0y8oplfJGAf K/tiCtHTBArVynObg80SvKTghbIlP42i02Dega4+Ys1Vr3Iw6/L8kghSNS+rFcHEMCj9CXAL1QFA EFv8gADcWb5bZUz0+lV5zymsU+9BGEN1QEP33KPt5oiPlbPl93QPfP/+ytoHBEBt8DIjKtW1SosJ 0wX0GEogJxputNfldvP8ddvrRhIEatmrjJbc9OPclGeTMx488Qefj2rV3+E1Ug94Dd9ZiuJr1mIX NTO5luivpkIaxQHZtId5qq+lqFo9WGNUaUyDJ/kZjKlGY2goLkNbH2sJHYKOUDIn2mcTik/ZI35L 0PEYKsOm4YNDY+riSoA/Cp8PkpBykdQ80NBTGPUxmEphzbqSvZmHmGUVNrM8mViStZNp4sBoWk1h 0bqrY6Y9t+6e2wXQj8lceG/o68vPdyJkORlOOzFAu2E2gwDagLxHdFQ3Tdajbr6Yzo9gmchZtJvP v09Tvdl+lnY1FMO/VlOo+dEpUuz0soWZFZBx44DNCgR4Vuj8jmJtALVwJMlboT7qXgUUXRh0sPcx Ts6fVdo/23IAw4R94DKVY9rbRtpMg+c20zYO2nIbGr/iAW+9ZFTb/2XmBsxjz8/BFMp+VBbTSjKG WSGkiDiZ7P/YaexmB1NYvCbgvBDnqsGBMjib8qBBK/TT6yAJbwIf0yYpgN3LRgUFVn5JRJZmQNlp Cri7K3w13AosQ/bzEJ//F1yPwRVY1bU9AVMYcg9KWBnqAw4LVi5itu9QkL2bW95F2h9lK+NXob/0 u99Ggvgu/i7E3FBZICgCzok/jBiYsZmSnvyPU6xLzdmpUl+u7ncKQbQtwcnRJp8P9tvjRkp5VKBO 53pOpbCGzfraqF6ATK6gh8zNZyknZ8HkFBaxl4yCUvLBlhIEu08WMUU0S2DQEffZ6WKNHwmnOSFF hawEfkkknEsrZLlol+uiXSn3y8L0YFAZ2r38ym6LMG6evOXQPRGw4T54PTC9LWs7qmg+kzS9hJQD +0TUw6V5KtzVnje/rZBo3wavPmdHEVfmZLxTlkHAaDlfkW7ROfBPRDLOKlsud7D8ZMMo5Ydm2o3P B4fSbRcJjI1+2hMthQ6Kja3R5pOEb7MUt0rCieq5lJ7VJEXAkCQdq85aNgebpFaAu+lUcDiH9GpG doDlHt1sCS36buvnv1gocr8lYayTY6xhiCoiQ5mj8vkg8M6/lBknydPPSgoDbwZL3WoifbVl5aPz wvWKMFPInR6BoYviIs1lACVng9faEuz0UDYHemaOrqizmlbJOb7LehhD/rZRz0hchpQ1WOG1nDlu xOugRcvng1R3e0TJoAgolH51hnNgpHj+ttWu6xQ9YJobKdIATSsJfQdfSWHcTVrVORqaD1pJ54Tu Z4sVo1UlnE8yrkBcQcOY9UjrsXmdAytFF7DsmdpEHAzGV/uW+uC5Cvj8v2IANpmadA3uJIXqepuE s6t3vlvzg5sWCJqkUtyOM3BTvDKoQ2Ca4dJuIB7ABKRJBhUkAJ5B8NuGcwbiWOqiOs5o2OtGeiBE Ym0K7yC88KU0apXZRIIjZ2ioWH7rXaA+PGq/sxRpVgPo6CRYCuj34futscpN/a/2HEph0K0ju9Nz koMdSicEYDhJHARg5/pXUEuBzv05uaGQZocSVWVyYKmIQ2motVZ94Jek2al1hJSjFz4fdJBu7Y2C BQDK03rbJikqb+PAPylId509OQqR4FzdygOSxNm9b2PctWRBSAHhYKWlk7OPdGJ5Wi21E72hjgnt HeS57AIyVXGaXrHXjWomsjeh1xIHZXAIeNm2OtO++Xx0dP/S+8Rs9q8gIFQGoRph3fkG3cmDbknC 8x1GKj5LkROMllLd6tXu26s9i/OEEKtS12E2Kk8zcwI5oBWalD8W07Xa2/7lfoNLY/dB99tCszbx yrKX/F/UU7BXKpjMPklR0I1ycZfJligOxSXQy+qGVW+EBKsYEJgrqpiBA1m57nw6/ifdQOJvUldj lqRiAOvdSwozD66mDnvd91nqZBChiueD4smZS5d124nr+fw/Jb55meFEegCQOXRYxI8I+JogR/Az dbIVCb7KcidYpzZNf/crR9nABw8DMoiMNFmFc8WCjzI7SjAXRpmapBUz6ZQfd+ixSMvKTZVGGzRL J2rqy4icjc9Huck3dZiU7vbESqHLIsI6aKWli8R2+c9BRz85mZLLzg0X2iwuSYItAxz3hy+TePWb SvNJvRE2UegblLDCIscDg8z2tlHbJGl2pMBHBhVOBIhISOOhYCkFRosKIzQn3FvQc85PWyB0WqQM xkLsLaFmKiqy+jbBSjNFJ8q3ciWFVotjmC138uHmbwX9qiTzpZGaDJQ3Da0ymuL5VpVW87f9Gx4b t4EPVnxbtHHCSiqTz0d66N9RAP+q+Rhzx2aLsB0YtCHEJEHZ23snUKYQtzFRrVOTFEbdhGSfDKH4 YCspQ/AN1swsUfYK0jVOpQyBAIDqQTHToXQOcn/bqPQmtB+3Gzg4P1McoXNw6iA8cfXmB0Rh9/51 wZ3VT6Nv+94QSdJpC2NY4xPwZVdUmQ2dacVKs/jZHTgu4lBiMC3/ivr4V6y5cF1PorX2PKf35gUH ieKfAXFwCql4V6BUe90ACUBj07lkKLjILGeDSZaH2HBZbxnxZ37pFJrf1Z2l0CQGBJpdm2VwW8x9 ql1uy1hAvri1gMB1URr053xuBCZx8A3XUWvILJiMDj/Gxo4dwvpC+NYot9dNCbUcuy7mLZxE9UHJ SQf/n8lJTpnPR3T0/J8woMz6bLjQdxEpnyFtKLt7Mzgg9BxRQg6cJimGlAjxt5YPtuEm7M6KIP4L xPZdxKJBrXZQtHI7UU1ijjl0XpR+UYLTsA1WMJlDsCR0H/h8sJLKN74WG6hShsQmKQq7Ie4Eh/di 95tiJRFpktMgmFjZJIVqfOzo9qGm9xjPJM2TI3H5gIuFVI7turIBYcOxTT9P65vQvjOH5os04dmg r/rgBcqiywL0QD4fRN3X1UsuKvhpHrZRDv0XcZj1kU0w/mS88xYosxufoZLmZdzAgPG6Waxn8FlK aLWp1k1PBULYIX+JaA6ZKkrOmiUyhfklwSw13Wx9+2D77SwhUe9ZewsdGMv6pmSR0LPzc3RHYTfs 6s/fN12Cd1SvvaHX7bU3hCgqKwUWjOiUskC5JHW1ruXHHmcVncOHZrknEzyHZ5UnMxr8KZH7/vSW lr1tcMFtwdtW9sEqJjnpSoWxI5+PDqX5fXKnZVBWn6X3qBuajABIlubFgO0muecUJZa0kF4MSIBm KYy6hZ3YLFSW/dS6y0Slv4q6Nk4AD+AqeDWIIdEYxVdKXCTjxtKXRJB2ov0340oOVqE8lw9r3ZC3 5fNRXenb5At+gTU/91sNa92FguDbALeTasFqmwiyTc0nLSXstxriTHhm9yyzynwDSgRF8PKWWSWM M/bSUkI5HhfrfyHbuUalbm7E3WTz1a7NV8lqQ7N6Pfl8JHjdvjMTRPsQffQ5ioJulLZPbpu9HzCv fGEBxcvOJKA5bI6ioHupxg05ARvumXRuyjKFd4MiWhMchf1YEMNafyZpdHvbABcwVb59BlWVADtU 2tqyXvJf+nzk3PLuuIi3/BdDRio7mt17h7i7XW+QwvH0DWgOpW+hI6MRSAaVVzg4xuTcSXVXGg5C KK4wMAJOja5HHarX5YLb1fAOPRlZ+oVI6PJBDe9ZYAzOHZQGn48ExH6rqY5vIcwcujJ2AgPZlyF8 m/gBqYqQda5+Nwn5Wkph0C0eeid0koMnuQ1Fkk0ZujNLKAEQiUMbPlJYxqX0E8fPL4kIx6pM7u6D 8rcFi0RRZ7JeMtpvv4wHmd/u21qKjRmh67x5sHMppassssZ0qT4IxfpSCjHcW/EPlTM4OBBnNwRd SnIroIIIiTfxz+e0LbSDulWlvOx1o14uT24I29hgQJyTwUmXd6GXG9gzpv/Y7RCYOMpzKkVBNy5M 2LUOQScqJXSlAYWaTZbEERpHyt9Cg0ad3EW+OxxsKUGi/tx9rHWD05YGnc0HbjQSlOHYZVXclbq9 bXS9MdpGlmeD9tsoVTztc21mPv+vWjeDIqS865o55NCjcVCkhv11FCi3vGEXTYlc3RA2IcUnKcKX 6MwmvtYGW0ppQ1XBZR/PfkN5nSic8wAqn2DMekNgdXvbqAEnpoRsLLvfb+3cptLFOft58PmIwO5H t2U0iLtvAy6wacR+A7EtOUF7FZfqg9GFZyYDfre236KYWyDbqtojB8eXnK0F56MhrOmGkRNWEsrO HT1C/I13v6VlrxtJP7Kq1BV0X123c2xScpypSefzwX77xf7jT5PqcyqFABMAPmcdpvM8RW6jYhZa wWoIVLJatZTCoNugE7P54PvtzN8o7LecCTvJGpWOzq9DP4lCkcALNSVkmt8SEbUZdaNxbYPWUluD wMazONfi80E8Wb7PbkgEYKs/iymsdcOCp1eKrJHWTnwgcW809hU3gJQX3XAxwoQk/kE9BA6+49DV aJCuQ+8WZC7qYVfA4+loXdiitmiJFKUcODYC/SCPNCkiDEd3d4CfxWc/kQSfj+KAb6xpgfJvu8L8 OfZsXJRa3d163mN6xaRlZ1AA0edpbmDaiKo5KWs538F63h1yvaS1ITc5J9NeptQGhhKOoHZdLQcZ 7TkwbTS6LTqUd1AGB3iXICYVaylwbfzO4ITDmfBruxWT0LZx9kwWRbIEjlGaaCZ0pxSLYl70ROjb uJjA9c6OAAfr5p5bZS7OOHgmQGQrN0GKUjJL5MsTOJl858C4MTsnWQIJHCzNRcrLQ6W2wueDG+4J A+wIgtf3rZiE3o1oXCCQ9DRXIuLsedfqPe/eJYWoD4o2HH1mZIPRvm0wAL1CdUyzhKSXIXoishrV fywj40yq+BaaN9bEOKBJLYqmj2xew/5CiI2TLS5+QNCDu9NEvDwuV/h5+SxFgXediz67FlOO0R0e WOmFZ14hw0uUsYGjRHuT6rjp1nGhsbvLGKaElE6QTEhqQX27gNS9nbKUaVbP74iaS0xwIXxjg+7z BkCmFJ2BnggNHB/cGyMrQMDzFe/NgYMjykoAelKDgTIb7Lqyb3KOc2vGtY3toxvuLxaOKpgIB7/r DZaA+ujUxEAZt511k1kwAZqlImrd5eLfAZ7T2/5NFXKDCm2D9tv5janQcRKyWfl8xDj51VxCNWK1 Z5LCWndjCaAXa3mzRaNebnEztY5TRVokObBxfGQAqLjB4U4SE5QuLsVE0psoT0vLkJP+rvI4qKn2 Fho5ImyFm3UvPig3QRAgN4NznvP5f+HeKC7KeORrksKwO2Xyu64QQPaIcvbsrtZ9UzSKKymmUDJI KgK/l4dwsmDjNwkFPFkIFhZg3GdxnbkhTCoTt2S0nOmvG0WUbObOsX2wuDtNaddBW4bPR2ilb1x3 pjH8ekBdoZ8j6qud8soWeGdXOu68P7iWSPuzaYowJnXJEpSVbA4PqGtW9CflYIR7gHR3BjSdzeSr /CP1sRw4Oor5AQYcC0v1FpbIIVJraKJPGVg6Yi21r+xEFNcrSv95jZRypk3iiZSS6Y/B/URpG4if Fg+cIMEJ769xUr62Bpnot5Hz0w4gghs3JXoiBLPY4YUbCjkPjk9T7UdN//MaJWWXbSntGXRqo703 JM+28PTL7GSIsKqglCX1XwmX5vXPXyWaHSBLtgQQNwGm0/EA1PqWjC/qnkrdgtlhiRFOBcUHmx1A cSCsQVzJylC/Yt+N6I+GawYa4XXmL9D76/QkgqERYqvdfVlRAOU3riWgwz+v0VEGytmnR1R3nGe4 iDg7r6FRznQ+A6/NnNHHao67oWGnAQHg9cjZeQ2MsHaotzYqtUZG9RzhxEXgU4LPI0bAyq0Yd6Dw IIdn6oW5Ecb9GhZlNySmt4MNVtlGSCGQ226f15gIU2t+xNkoEwCR45M4Oa8REZZOozdhSz8uLuqC P6M7bBL4NsPbvMZD52MGJTa6FKP6l2IUFuNJx2TjuM4sdLr3wF0Fun5nk43r+E3dgc9rOJQRzjA5 I/RnZ3UROTvwsWH4eP6O/HmNhnK+TqleCFcCarPzGgvhoY2lk6y8j76I9/0nq+hmDOZ9kddICBtL an7K0jj47DQ4uk9GQif6wR4jYIvwUURB6ET6vmp0+46OHbWz1RtJ2fdV60iNeeycuO7zGgVl6YA/ k0NGKW9DudkFkwMFofNTV5NdXbW6D3FnSZlL5+wCAyO/RkA5UU7wzErdd/AzeRcwJRtr2Ag40RWu cHMEfhRzzgyf11Yh8/HzGgCdV12EjcBw3gcFQCfgMth+q+XzGv5gFRiULVvDCN287rPzGvxks4qH iooixME9IYsHsLpVcySjWhYP4exoR6lntB6NH8qttk7BmHUmf2p1ob6CsLD+LMS8lrR2vWmwsShq 3Pa4g1Wu4Y/KnuNJYj6vUQ/OrJW/lg6Rx2v6kfwa8uBI7pSOSIbyGyU7CqLkYZEh+vsmBvka8PBI tjUzfbAjeZy9c5Iw0KsSWiGVOqcbgeEPG9w5PUZX6LniO94vrGl2csozrqw0bJllSAihwM9rtMM3 /HUmo8w0qfhMbbxoY6Gyfg5mo2fB6NjLQuJss7GPa4wb67XCiE0tMdpEKggHj5ohSFgqsUYwm9mF CWkHAZOHXYGFrNsREuP/Wl/E7BDaD8lfH1Q4m4Qi8jovePp9dlzEL1v7bLpYL2bntbSY1f49wU53 mFGZXg/acr2QakSzY+e1sJjVIAXaQeYyy69zFEn7uamQroIJAZnjRj11itAiEYAszkVkJ71qcChT qpfqNjZo7YDV2qRbA3Wx6NgZ38EOfF9rv7PzTlvLlnSdMKT59Fxj5l6Ll8vOEeRt6ihUNiFaGIrZ cPFFCz2tIVg/rAd5Am/0Wjtg2LtcZ5nZ7F2D1aPSxpSj7t1bvc1Ejd+fRuBMFCyvmb+WD/yMCq9B TVAULEtGtE6rAdV1I54yi6cSaJg6pP+vCwhodx882zo/XCZ0H4BHNPQTxR+xvge0edAi8uYre7af d8ZaNnIIOtPTB6vfozBNlFqufDyIlw1/peMHlRzwL3yGooAZ2fMJWfptT3vn/sRZyaOeCWVmQ18F MwQlAPDUGBuO9Miv4Rc+66gOA4N0FVkL6ksVKttAilu2tZS1RyEz61BnutV9veDik9VWOaGfpQ7Y bBT4mFGjnUCsaE6PCt+5aphWBM1b/pXoLPZ6J2hmh4NOMFVVbI2i5i406GCt1dpWSilOLA8xyq7Q 52wt1YcyEAY4c2jnpOtrZmEcooSLVY0K9qoNjnM0mZ/z36G1ER1C63uPIZ2tk5QQVQmC4KczIe2m yI+uotdZTfawUiSz3MZGNEHs2a/E+JmDTRA4N7UkgxN1pKI8rpgdDHSTyOWjdhY2Kt81OoSYqUvz uUwPfwqg9Kr/QeHwnaOGHSpilAWHmTruAAnZDEXBMzlqnRKwjIBIGxFnJm2TgzxHvsthv1PUeNxz c0HY1gabIUQnexXVfM5/BPOe1CN279AkmY8nsyrR79EzIHTsjq00fFAKhcBEjZ/U6uedoJaBCcpf hxCoij/UN7cZCgPoylOoucRIt54rTqHtXp/o1VhZLIqgVYYeskrjYDMEW/KGuiE2WaHrBdv65Nsj eYcnutd8xHSMQmiJx6ZFy08Oll8wv2OQmPfnnZzG1NbXkMGzp7syc4aiKBquQSM1895xRdEp5KlL 0Y7tufs7Ny27rUPPqfrg6SmMfc6NtcXgG1sBdwL2tzIrovyOEYooZ/zOTcNC5QnNObZB2+zEElkm cKvPzzs1je/oB7Xcc/GdoAzbFL2H0riCGEpnafj0SalmAzUwRJps1zdHfkSx9CDwYyiR52CLCBZ4 SyzpvWDzuZLC7Z3E4S/tGldbL+M9ljY1CIA+lw+aoTPfq8r6HCI1UTC9U/qOhvjV/e6yKJqWsUMZ 3Ypj+9oTlebl+nO8bu/2hOG0dpk8LTnYBO0CtQz5N6F3i4o9W/mEEpyFLyVRYYjn1LsGE8Q92SXm 80DeWQPWBBHMEMXThljBBJF7jNuHSCRVD6OAGsv7/KwuO/+dyKtnSNn57OfQOyHtzlCvlBLlYLvs 3GvnB4ZFF6qrHRIjLJHh8quNseM1T6Gr6eedj4Y1oLIqFUc02GWPhJjR0Cz5805HY52qfF/28MFp VMTmBEUBdTbkpWE+52zuT9RzN0DD2e/T66vvAXWmyTxo1nKt7s8xdO61qQYiTuvz6GZZY2HlNGYy hamjUfaKXjZIySTIL2uHdK0dIFM0peN3cpvPOxcNB3258aKXEUuvnpS9c9Gyuozof7mgMft8YjXW abd9hyuuraH3iFqapOg3qxqUnmoQhNTbpl3rmSJ0xIT3RJEAlbNzHrRyCx4UOHqnojEg4jkkReM8 HxwZ+mvUW8m1fd6JaPkhEWsR0QaXvjCaoTCk5i4b0zxjRzc/MHSdpzuiZqLFOUNRSC3UWRduuF/c MI0GZqKTH2qFMNnFdbwXTdKRpxYK0woK06nY+85Cy46IhWmZD9aZP4myDqITfn3eSWhZDsvPDKFS UdP2otA7Bw3ZbuP5XLphF9YV7cFfYeVWdAoUU79T0L4SezlYX1jBOrlqpxdLE9ATm2pQ+gElAMII 27zyfWIOvVPQuM2SzHeaD9pmC46u7GSMND/vDLT8oM8960A1KnkX7J2AxoI0krHWbIYkzSVj5jHc i7Anryq+8894UjMf20opLmiOksZnY7G7tgkuJ+Lr3GUAiKN40J82mNwd3ulnbJgQIFTWHVT6AG6M Nz827+edfcZlfpcQzxyAzUa759B7TA2eB9jVpMbJKW0b6KUkOv+odgYosNbQe0ytqAFFj9F88Mwe G2o3qdEldOQpJ7pUlWps2I6nOrT0su/n0GJ1qDCZ0qApAvKPEIYTeO3PO/cMf2v+vswAZDoJo8fU 78yzLC1Z7GgXDh+X5THK09S4MfU78Qy/78LKmAKbzS+w2cbK6Yz4NyzLKwg2QOQBEoUyJC7hLx8n fkc0QYszQ2V1IKivMTOoAFRQHXt/3nlnrIR5QMRghH6xeG+borA8vWjqXcULAvbFAumTw+Z9U9d7 VL/H1HCZoULPZvWDgx/VZ7OfD6D044m7SqZiyJki+rXC9AD5h+pnlL35vLPOstw3AeZghTHdCiN+ h6YS6pnlzzvpjI/7NuME4Qjq9Z5DUUwNHsg53twLbFNIUT1VsWMpIVqnoczeGWcMiDIXDwNmDr6G xtk6tdLf4RzUZ5ES8tF4mgDTBhyU2+0Ve9doDQmKL7XV5QXGjF2sEuz5/M873yxLU/apUZPeRXKg es5RSI3WBoCcVoKdVKrjBKH/5Ilrn4ZXeGeb3RIs+K4+GOw1D1TTkuzPzzzVthV1JwpjI0t/2j+E c7yzzdDf4iUvWykNmqBaFK3Adyh/3slmvG1vNMS0DNUGIAlshkJEByQEVney2VqOTswr5es7nJ1H 9c41y24RsnCl2ODA4HnymJqJ5hzQJU0U8CfOFui5H7TkSGhChbHoXf82Q9QVs0HR0IKFh6AI5xh4 Z5oR2vM7XlSTwScoiqhJNBttWI16rMsQFpNE0GkaWGCPvfPMsjtgTBXHONgeO78AxO3NFBWiIQqY iLIYwFXMx3eYoOB3lhk2iUTDGxXVHmkE9CCHFXw6XzE6pn2P8UTGlTPX3WNRQN2Qle1kInzdYImE LhRSYMl73duK+O8UMy5grovJW4qDraAE/M5cxC5MHHeNRfxO1C81aCg8K0lVorveGWbZ1OdPhtq5 x6hPJGjH+XWI+fqZJ474vDPMfpU+uiBEkAhJdw1FETXce7APbIokTaI+a8muplbLtnP6nWCGH4h9 jiW/wQdeicupQciJBDMYV6NAhFmEEUBGiHzZ051Z6zu5LCcnl1XOU64OuoMJHXky6J3Ozzu3LOfr JqcKLGlTyBNset7DackwdIUuDBZJeZaeQ+1exG/dSfjvzDImhAROLcLKONyUDFE9uqkoUXfICtAj A0CwgssPxDkzwOiUnn8nlmUhRIvoMDbYNXbCcpFUziH3eeeVEazxC+GBEt2cd4KiaLpncKWT3e6i tSpnbYI2MGftDIQ6PyfaYqqdMVbkcJFlC1Ugqc6fiTjBD93ksCQbAiAc45cw1bdeNiqe4aJvYrpw UIkaQotDlo34Ed+jaZEnvktD+MobTb9zyjyr73ub2kWnbbl6rcmh9z0Nt419p5Q9vdaqfENWYFOS xWBtrcJTurfVWSsD5RV3O/g+KEd4Vk/383dGmQPMzifacEUrKmxFqTrbz8/xeWeU/erXY2ahtNLq BVG9E8pwTrd5va7guFe8LA2PNGdMZ6CsNEVhhZpHy1hcIRxsEZ2c+yReuN6J9FhLbk+ZGGAQiMdj +0kv3887m+xWhlKWCF9iJM60HhmNaBsnlvu8s8nYSPpeRCBPV9SmbIaicHqehX4CZ19Ea0+PFuGT YItoDHfce6eSZde8pE2EDd7mQNmDjXFc9fucGfw3E0x3Gki4kAv2NUQjnnciGcMSFmCnOmZz3y7H CT0VDp+/+vPOI+Mr/mpHoz5CXUiBFMNwGqqgMw13CcFhKPhvp4YYa/gVtcOKCXpnkWW3SxuJcCoO F9Gw5+y8CMHSQFMuCfKB7Q2tGuq7aQ31bS/7PkO4Dc8BVKnm3Op2tHw/sRSvBjgAfd5JZFneE19w M87zckTDO4eMdVMyTJEmMlpsFOQfMgg5H2O2ljiHkbK+U8i4AZhG7Fl98H50gn5z4gztcW61wYZ9 Ak+kQVdj4HK2XiJKCp93BhkRf1RLpVmnBpXOxiriJJxgfXzeCWQ4B+Z3Pxp3Q5nFT6F3+hiWEIhR CztainL8XUgj58YSRroS7UWga1SgbnJEhVyUDbe4mPDvo4WcaEBDORyzKQCXN5MFJiAwjTQ/7+yx LGITsnlhXacD2yACYdTfc6B+3rljv2tnPzQq+qGwps3QezyN1grILHsn6yQ21su2+nMmcnH+GHe8 eGeOPSB7SXtw8BkCjLlN5ayQ4gdbDLqEuOwhGJoR117PvaGXDS57SqbXwhnioBlqcEHgDJ0w7PPO HMuiXH7BgRGiphtOvxPHDI4Fbr2CaPB6LAYCg8Ch5Aspk8DSYYFaWX2lbAMHhzSUCY9mBJobEtdL TPPGtjs03ygCqpwVTA++a5SzWm1x+2BZfbX8rJw/9vPOGjNg39dVn4nOuqdQVJ9G+QlYFeu1VlT0 jVmXqiEaGlEzWkJhQE3F3SlUzHxQMagXFgi/DnHGEuqLXGWYa+rM3x4QW5efd8bYZSIUOqposLrZ 2rKqref3/bwTxrI8H59DiJM9bt3snS/GPLkQxTC1xRYJs+KLKb2fNI4dpkX4The7W2xJkXhdRWLU XjG9VWSEBsBfY7doQaScIp5MWYeAeVvv+n5Kd7aA/sDEUOVIC2AXPh71or9jRTqgz+rh9DtTjFgj 1oJmsQaHKq+sCuXbAhpg+2mHxeH0JJ5K1ObrYALrmBP7bAqLQvDrnEkUmIHCP1gs64f6JXYEEXH/ zhNjTr5Y7SAEloNN0MqzW89ifd5pYvxTv0NF+AGUUhzu0aJgmsI6q7VkRQ91gBo9GxgqUs2S2q/I yFoMnS6sdjBS5uBwDwSOEEWnj0xSlRcK6tDtopYoOApKWScKzPiOAJhH56Y8y/JBE3RiKYlQAWKO x4NQ0QxSLFRUqnMnKIylc6aIhJtaZsea5XSF0Xqhdi9XUFSaTiwH9cXyIgefoAH2SiItAZ3msowl jmIudWrzenirmqEQ78EZgkGWD5qhOab+w4lS+HiEO/suLIImWOZNWXsUTJMOObOxe/rozkc4qTKv fMoNJPce7u/BtGDGqAaR/czBa9MNJSL2UwHMQy2fh/RgDAJ6eL76w9RBxndEXEOuHdQhbLDCInfv jxBIeDygbuzfS+hcBin7Nd+jWBrWBRB8MNfG2R00Dc9jF9Sr2S12egT3oJTw2Vy6zKafoIiPz845 uTwDITifGiKERRo0ozfFkbpUYobeNUg2qF+Zhrwsrr13gsqyHOLrSTZ6FEun8t3dkJnG9sprj0vT mXJVw0/pa85sKhY0Zx7duhs9Kk130Xl3rT54vlozOqtq1BfsBjrELwBzeZdl1JCczwtQCr7kb6Az GBpwQIVs/QhhLsHtH2w2PB+QDi8uT3LFkGvJF5fXQ9phZb+xKztFQzUb7KwJabUlEG38+R5F01OF oYuLuXO0xkBjcpAZvqH4XAnCB1KvbbKy+4M7o1xFj6LpsqWCSgE0DlZ9Pfkr8dTQgcbjwUn9C5jH YKjcYKhH1MOB4mLvFiKCJm/qQjlRRJKi+qjYKKnvMdyDVQ8wZ23w+n3LcH3gXY9TiRVz1IVAtoci UN+3PD1YW+xReXpJfWHKVwekSWp0wtOYVsmIdQseDyboF49j0Bb6Eld7GE2ztrhytoRs0V2X1gy1 WRu68wTVEgr5h0J7iJfKwYkuaEE3tcgQSexNA97ExQbfp/OV9xzydw1y+irioYRiyeRUPpZqk/1w wVEZhdNjfkeLFUE5/TD0pWF5ulfKqIjijBpjtZx+JnoOM1rk5cEJisvTVIShdKAGm6AKogvlhcGU 2melVSrDUe9syJXhwZhTtLpH5WmVhcqgMhwHr3qsTG1m+OPg8Qh05gmZ1JjPTFK3WjP0Hk+je51v uQxLiJARtDWgU70pXYU8AFA3JBw9iqe3ETiEihmPoidFPLqsPjdixcqrLKGy11BYgZCHE+1Yne5R dbrK26PScYiD0DAny5aH2QnaJh4PUvpWvs7pk73h6ruHUASfRvDTWy+2hCqNi7mEMkSuuIRALMgq C/UI6qHKYpfC8LmimEGyywrx5XMtblERV6tcbAmEoI5gZOY7QXQfxHf8BXIGCGTzQSuIHp+M9s41 g8ejFbS+DqGc1OG90VAYUKN8v9iEo/TCqONusuQOzUB12TEdFacFG++KljlYQH2C+ryZvaAHhKSj 6xQCogF+HmTEW5+VYoH4kiBppfxiqUTDcDCqC7EA+AtHanj8X2vIwK+gfLRLlxpRSE3U2fZcrC+y 9ZW29ouHmXlar35E9enJI/ocOtMH79WfjXWC86aTGsADeckXsLvgadvzY6Tn7xrVFjVFkG3QYLXF lhOrmShT4/EgpO6/VhEz1nuVjSikblvTYrVFbAsvfIy2vLZYHe0xwvI0ZZdHnsMHry2eM3TQtBjn 0ED1TCB8oMvRlJsPHXrRH26EjESVzNge1aA1VIZSJei/8PGoFf2d1wN/WMuli48QP52RaWRqLS6K 5ThLIa3mXKBcvI84ovJ0JhURcu8cuAEGK0MN3JDGCZoFYIYqIgNRp5V+GPeuP8t+4DsCZGdTD1pQ fOJxuYLOndZZyzxRXcfjUYPjuwWElsQ5dP2gHiHaY7DwYbYUkPFwwt2U/JsQVehpcotF8bTgMKsw vV+FDVBO0DlZcM/sJcLd2VrSGsRlB9TqT0/PTT8xPyHYg7DODGUEG6z0eu5J3dQnp8Dj0SF0k1ZG iwjl1+VKjSicBrX0bCHH5E0K0HOC2q7dziCau2iConB6kg89JpNXDl46A/ixruVbrHWi0gp114iJ p2WOtYBofj6i6nQmjEG0pF1pZL65yXDvslA0zj/j+X+tIbUcO6hAV7AiDKgbNllz6yVIIl462fRm fVWHI/FzgjXUtwOlbPBoqEB5d1Hf/OQFOU1pDlNSCzVmdhGtPp3sXYNNtrnJuqgK3bvdkLlqrA7W EzTg8egU+t5k5EpJUIZfGqKn4Vs7hvPthiofwpdfEaq0mrXqR4ServTImY07aF5gM3gudc5z/Mvf BMQXqsNSnUC3dkqPjefSuwZLiIzEc6luH4zEUavKmW33gceDaOhmHEIMAZBzkcEjqk/jTwEvy+4x 0cBE4kh5OJnsFvBHFE8rJaNwDQeCDBQMIXhADx4rqM/dyOosNKoG5Q7h2HUUPB+P7/hbLERClQ06 hiDIL0z9CVPxeNQi++4AobxXygV7jDCehhTVbO7+cq5073CQMKiLvqD9qRX0j3j6v8TxBQPyTJMq QqfhcMECfp7UEQAKMj8pGbyf+CVBQN1MU1EKi+ccc3g5OBasiI298HyQ1pfvVj2REfMSEkcYUNNF iHrOagJdGeqZu4MZ0Ea0NRRWqFnwYKJkg59CKy34/ZAI1NYoWAmgKKDfSK16dACthr8J9xhhhbqq C0TuOAejudTWWIOvJ/bF48EMje/KEF7gHF8OO5thhbo2KuApFUPg3FzynUrznCFl0sjrZxROL1HH K7XcOPhVBp2btOWQV9JmtZu2LyhOQ36lPKgzZhwzRHuI7jspGsjBwukT2Ei/IrWGx4MJat8Vakq9 ravwMaNwGmLa8FNGsCNIlaf1CfbmhZr4UEVUWj/DCnWWfgXpmBwu/n7De0YeFOeLsvQrEtYqJXoA uvf6K+qp+I6ohE/315HvoAlCCCZzvPPOePxfxxAjNTSsIfZsExQKfKDykKaLmO/Lp0fxb7teYJsm Yj5DOmIVUarcwauvJDvKRRjm3bnQtDvRhwkZBNgjTmml+MCMC9QsnbHBpsHqHuA2iii1Kh6PJuj7 pidhCYYhNkFROA1a+wmAhrXJUHswnlQWX5MZa/FQaIblaUFfm/Ky9tjhQNr17ChaB54cbzcl/pWw KPn2lVudJhlxxlgPKnskBYvJ4+mzgftQKDRTxuOR4qRPkJI3NA7uRTajcLoCULVFFu+IRh3vCmSP 9xFXcWnXGYXTo5lZd/fByx6wHAVYnhfZOaUlLUhIHfOouR/4/bJ3DbZYEgomZx90SFO4j/pmpVc8 HrQRrzgDHqODKv3mNEFhMA0FlEELXgkET99iJS3jR/cE31cd0hHWQ+X7rqOoX34CzILqSelp9Q3F UmXfMHxF3xHBLBQjHU/F0uKMgulK3H0i/ViDraAk9R1Uuwcejypn3yk9ein1YY3PCOwxKDsLUyWl 9O6xDISAlz/OXwW0Licoqk4vFuVHZ8d+9MedE1zffbLWpmA6Qy6G6HJc1hDSoq6XCygXvWt0SBMt 1OvyQcF0Vn6PLbYaHv9f0jG6M/crAjdDJiJclY1/iJSePFRuscu1B20gWc1jhiJ52mKyeuXg6PIz z8BINwHOgKcyh1P5+gGD7zQ7imThO4IO2VIjeg4fNEGAI2VVzTYfj+BUv1TyUO6QoTInKAJ7wJT0 nDHTyazSK50i1TsaBj+uTVAUTA+JAi9pe6xH2+Mk7CcsByaanfpETyCYCaMfiCoH2sveIaNM1Yxi 6aYWPfEHGoyf0Br/AIggdzwewYW+D+lM9s+8ExTzEAtaq9OEGQYtG5TQ1+KN6ATpXm2xUNuDZ9BK dfrgdVfADBJl2OAuUfdusn9D3Rw7D2BA5yHS4H2GUnmymIQwkQ0GF5rNKPUnisPjERrmF9aDv0v2 Tv2KImmAUkDbaIYsf1YQ/m1vIaoLnfg5UaAoWemVfPBIGoJ0BR1t5qsNbVduMSZDgF+Q2v4lvL1C rAcB01CU80ETtIByMovtiscj/ZxbmOaGpCBmvdrJK4qle0WeStkwFRaLX/RFaSzFPYqLJ68wlu7y Kc3bBx3T55w+5w5E4CgQPNlZ4kW/KBAMsfuLF+LFgO+Iah7UwCXIWIOVpqFeoFBxVzweQc6+a/eV gKXbQ1yhunQFaFHGG+Rsjlt5Ld2bG4hWbYJitAfvMe0grcmfJmh5PRF0ofrJgqVEUahIT2YgqLDQ vwjjDd8RVc3Y3BBZqjzmJFAllPj2+QY8/i8JZZ5YKOMwjdYERbE0elLQrTBfKTD5vGqWLwcIGj42 QSFwmn2vkUf3wWHB4EITCYFNdo6hvmXRSfgYZukpK+IH5Xf8jWfXaQOhwYpCe5ibxEle8Pi/MHnq N+L/xp2gUGR6oGqWDAFz/mlsQ3pkxmKqmlHdAcf0immI7K7KzL1fM/dz0YOeydsdLUQw64i5gmLu OSpBF38YQJvaMCtCekA8pFBNzgcDMkwHMqyTbKz/rTBN2CutvDVBUSwNhl3vuseI+UDxSEpw3cPq XoGH0gSFuh5kQTf41dtgoSLE/lrFMQ0wP84ZgsgXzBhRaUHlYLi3DRcCvuQvWBh8RPFB2QadF1gr PJE6Hg+gHpcvjqkF4aLmS6hfsdg00rFUBOYcsJ2xotlI27EwA1V87bEwmBYFUUpMHGwJIV0dU8zR fUIWGniQRNaRPp03BbtIJSGgKvgdwQTJZMtVhtgRZ7bRRuGtdkKShsf/F40qnIU1D2/Tr5CICB24 c0zIF6FPujtyjw0kIsLC9LpMs2KFleliMKrug3dYT0rfctUEQWyoseKR5QUMQDpOaKuZsXS/omA6 iZuweAhx0B7rVTA5LF6+YnBK7++KB63jUbizCYppiJTyaAZaHI/bpjluU9SDso1cQXFlmh2LvO/g 9/yGAiwWtDwk4C3NPYbaIsCQuASsxXpS5KaXjaDTskbURd/9osectyR8y6p4PGjS/+ayslNykR4r LEyDKplIBGWwOD2NPzFcc9GK1ZZHQmE0zQ5iyyb7cgn1KeEX1hpMKDHC7xFrB81YyM4hkkk3FBpD LxvBGBQD7eSDTqHZAA7+4dbj49Ea+oZTnSsDGkyeb+wonIbuahdhR7FiNnA5FLeK0xOKSw7sqDBt CZnW0HjW0EYGcyaFCRkUGlYaApdjMwA+Uf7rIr2jcLqJSp+UuF4nT+QAhQlOTYOPR1Wzy6hXYIir /hI49ns0fR5bNEVe2VJWfhWDxd3W9bRtPJEwQ7GsB6NpeZH0by8S+MGT4cvmRpvQ4q/kvcAuff2M qy6EmE/vGlWFspoa1QctoQoLKV5E0MXd79F0og751zmN/Ldelt0OsdOgSM38ZGTLg8XsgkMAdWYX d4+j6S7Q9PDBc3rw5lunejl6iXRoQOEVx3GWjeJ1scNj+I5ogmiY0J7BYMFwtNcEnVho/5uGyJye jIlL1NwhDXFSwWupCH2ixsepXYjzJg9UA3rsEOihP7VKtrN+gTrPbqjU0wSWCmoz7EHjfEKMmE2v czxlsx1F09TQR666ffAm/WoiQ597GI8HhddfPE0krHDp8AkKo+lciOl0AS/5tFC1QmRos2zxQ+gf ni2baRkHD4WwFskswiG0SddhdwzwDpCmgbvyQ4il+x3LepCesOb0wXL6Qjoh/uBW8XikkvdLOQdw kLzvGRSq5G2ZjC3jb8zrLnF+XVc9aahMa4JCmAfXxfgavCoE7uTJDpmwnpi7TPm24ZeAKe9PnQ/y Hr8OviMIhRbPIPij2+CF12G+rDUtPB5JwH3zWOHg3dptH+4I5gGc30lT9z2kt2f0rTYvm2UU1zRB oUiels5/geUnYIGAZhlC3p+fOElAhpkFpX7lisYG9GIDekeV6SItXFp7abDCK+S7xo+1D/e/K9PS PcnwuLsTFAbThHn06tpLXh2CKFbzLQapbZugMJieKnmQCs3BqQmsAgEmQ2P2UqW9VCm7h5sb/jFa QYXuuviOIFSUIEOSMEy6wjATsazQ9HXg8ahsNr8miEWKx3Bsx5VpQcySbTFZudCDo1H0jByyNcyy dv89mB6bwkIc/BYD2yvBMhBbLIH0q5wW1Q6sX/xBBqTaU68aSS8xFsy0B+Cg+QHRd4mFuPh4xG0Z 33EQU+u27goKVadJZN1XeSk5BO/kRqZYhVusmoHCjkAeoF0AhqfS/XygZhnQl7nkyQbqgzqMFeJp FUZ5pAO5DGXTu/5N0qNN5hwcHEm15Glacxp4PIqD0lcoTQD9uqX7nKJYGl2qc40lq3lMoAqUsLaV mvuMZ4fB5BQF02CBYOsQNF1v3XgteqAUIuE2dKehQY10I9ONHYcTdegNKkT5JXzL+ySRAr9rIRDm 5AvV5O5agasrs/ozj/yA4Ki+DmQsJAL/c1ZzuvMU1adB9ZBqOWAd8FazbP5EQxReYvGsThOuyCkO qZmWda4oDraUTtJV0oTWJkKYId9jzBO84WB0kcFf8iL+2Pa6EZqhUu+1LR+UdsADk9ITkLTh8xGx 3mMiYkPQwUw3JqLrchBrDh5DpmF+UnHHT+9RvIIGjpyvphBAvdTgkStQflyBxkkXirWj90C3tVG9 ArjbBnr8qlc7mAJ9/JJgkoSgzkLoofzAY5ualImUwnEiJ35AEBjlb9hQo0H1l3NSVKkG+machZMM 1MC+igmZ1+2ghjoM30kPwSARZqFRGh/tS+MDQkAQnGSVCHSjzUN6Yc81+rxzv3kGu+11IwkChtfE EGhQnaieXSc1+xPm8fl/9cywbFBCrM/RLcmH17WU2Y1O7sshqRjeqXU4QG+xPam1FNWrsQjBdRG0 YT21tDEILKf+K4+mTQcu0KF/Oh3vEl20icbfFBGmUMX7t0g6779q5idbmo0cIVA7+HzEIv8uFmUw ecii9GkKi9aQ8u1NidjPOWuBYOAVxwiGBxNAaFAf4sEUVq27FM0l2z0exDnUZCEcwlwflpEoeaDF hVz/LIKfTTCP4mxGAYyj30O6wlkq7MJyMIzMueaa6Hdp8/n3Waq/dhzKoC2v55YL69abIgRFHrUn 3OnOL9ssDCsOIOdNkxTF2lOT9KeFCSwe+xqC5Z8A+eQjXWXHcn7HEy5BlMVVKse0t43SNQFi5cnV He4GVnFXE/5EB3w+onGu72h7Uhn1ObxD1Q8mbC6IjxKjtz/GdNp0pwaDHUuh7AcTkjZo98LB0Wgr bwjoqP9RoX/Gwxv7uZFn2zylPblds7eNiJwqLkrWIlEgGytp1NpYQzrhauPzUZPxtycgusDtGk6l MOSGZ+LKLla5qGmoushMV7ebXXCtpEj6o5j62byDg/MhRkotL0RDaddOPEQBXwrwImVspqMnD+T0 d6uXNInZ46CVlHBdLCXzk88H2+3q6zCxRathfm23vynpQW3ZMttBw12J5tar0HSSieSTFCNCtN2k kJ8fhfx2ZmPl1qT/ToV8wvbANYWz8w8CS2GKSgafjl8SmZlQNnfQj52D9UFWoz47IB6Tz/9PTCq2 U66JQA4NFNHEhZqeMhIYjbmt7ShXvqE0lz3NgYMiiGDKSgieHvNLhghl2SqDwJNNZsj0IjgnJQEh NCpBTlekYXQOLBSdNy2KmIFsrMaGiukP/bQbnw/OpH3LtFL7Rj+t3KUUuigCYoPa0fQiyTLDqROI XI/Sk7oPn6UIGJKo4TXrWj5YEQBlyFrkgQcyDEyEsNqAzqejLcFF3239HNsoSgekr+6DZumkbqoj oUbF5yN92O/GPl11a8l3kt7Dbjy2SQ62OsAaBihGqMRKUqGgFe2juz4oqLVxDSGn9cFLSf0EefRg BnoGtflklrgEzoLRsB7GULK3/RtlKMvVhEmqRTzQ9adjEkolOfBS/LMzC7H80u8kRRVtYMKRe5iZ 4hjTC7Z1Ta8mFcQztpLCqJuwj7mlG7evbtwJEVNeVcWAE1RDgEH2FVIjrFBovCXt4W/7t/02wLCx wYB8qAhKRjdXPh/1938pfDaJFd5JirX1uik4GH3xKn8R72+T1FL1SQr5i2w7ntT4Dt7ABjh/yFER nsBcoaAII9tF+4YSzBdnNOx1gx6/5GQqLdsTLWW0307+TOWlM/Wbzwcd2l+ukwhoKajpsxQpVlOu YCUC0CuDAC8rDdIQJf+1khuXBq6KLkHI896Ge3QPYdQYBIzM9jy6I9Amx3VK53Y7lKgqkwNbRXi7 cr8RY2ZHGL7khBxwSGW5sRc+HzWQvg+ljLipXguYHPgqoigOgtW6BCuc0QonzWiaZHPEx+Z/G4JF eBqhqOaDVZbQXKhQzuLuKhPkYVRMeCFSdQLJ0aXpFXvdv+lWlyRBwqs+0oHLTMpMyubz0dH9neU2 zCaobD5LoTQIYFGLWpDUdShtetDdc3ZdB5oSaZYiIxjT+7QEZfbHhbvi8m9DJh6QCaEfSoaKG92D ASW4ogXV3vZvyEdK8dpw8dcm1zjtJYOl9ATdjAK2aAN3lqKoG7dNJ/7HWQ6GV8OxZFjaxnaxagGB v+INKLvc7rtXupeUdSn+jF7J2RyNpq4bfc+GJhmZ+g6sqcNeN1KJX6/oNXaseInPlfl8kJusX6xY 3JcPADKHHot4O6hZqoJ7rsnlVIdFbrfsglFttVmKoSPC8Bv1/iEOn9vzRKxAL6L6lqBMyLAb9iwN yqikrZhPZ/O3jbD87Lkx6dKgSToLcwl+lGfj80FuUr4niZTudl0Wc2iziDJHJ/BO4JE2r/hnS5fu QHF2brjQZ3FJJH5IYHfcrtIJNDsow+Q77AnpXToSpA3YNsI58GAuDNLfNmqbsFAiOCSYTgZim6D0 MsstWEmB0+K39B5XEtSc89MVCK0WgUWE9p7LNNMTUbW3xbtfSipOsM6h1+KQTHyWaPxtrIJgd+7K yjLzueDQByDijeKCDdQVqhioqEQEJb8kuuDUe6vFByu9bZhacyWVyeeDAuXtCXCSkAfOqxWSY7NF lAIGlyEmqe3hBcoOuUuxG6Es5ZMURt2cpCa173bVvgFlGzBWKhKXg66gsGwIkzvQxmik60w6B3my t/2brlPJ0lNB5WpKSa8tYKWxlM4H8wP+1WDS5kS9vT1LKQSS0INJFtw0Ckt+wS3AgbP8Yco9lALH xYtFKu0OTsBKie6BhEfNvFKi//wAOJXNTCqpeE+gVHvdAAmA8wpK9EWDlWPbiQsz9Xb7iZb4fFQM +C5QZnHa7wUXmi4Ch98302o2Kke1oygT2W1giZm9YhK4LrryDCiNPvgsLfzoVRlcW3AsYXkOUP5C 9OYot9dNDbUcuy6S4pi7Nlz3DZdRT2d4eQ7xzOejjsAvxj4C0/l0l0LfRRwRfQm0hQLT3hdy064X U+v9TlIIKbFTSdo7uT797lY7omKF3b2MxUVXU+e5gNrbdqqaxBxz6LyYVerewmlv5xGcCzRL+rv1 2vj8v6SbRXc8X9wuQjuH1ov4KTsCDUO2je0uFoXuj0S2lXJzk8B70fGjs6fmw50kNHi7hGWxy1qV egjKOTi2yyi3a0L7zhx6Lw4S1UYnMomDFSgT/NR+RBDk81HU/V0LyPhlSnuCgKjUjZYWruxkBcp5 Xb3gs+qMNRqtaJL+bmk+qOmgwScJTapWkTphkgpkbsimQejM+A4VZ00S4VD8kmCSVL5Fm8UGY4sA 8sR1wNpb6L9Y5vfJvSXm+5zcUdANChZe+wK4tsNvoMrkpTfpQ3R9UNSA0yQxYOLgk1Qaav8ESU4I imTa5zWotRGllPt8OkvL3ja435ZcGuQZM/MtmBDEzOCH2y1EmDy6fKyO5HQO4xNd3bA7tGEkbWTX 2v4AmeAqsmrA6FTF7PqgKDlhACAGbbsMWlz3J9WnFgmZR2cDMtlLkCuvYOaC9cRq4c4UbeWXBItp icZPuG1NDrc9f+Su5GE0yIHn0Inx8fjiFLfBV7iNk9CLkba1NiFSfXK0W2e4L2vq5CZoOTZjZAo3 pZYxv9Uy4K0DOz0h/88xS0Rc66Sxnlvjv6DtHJoxcpmd2JwUEg6GB1xdmQUK7Xz+n2E3Q160Z+vX WqpR4A0p5E79SoO8lVt/E4abB1N15f1co8B7kd04CzM5Dl7JpTkTyUabjKQ15OmJ+hFN+PozTaPb 2wbIAIHbtypL+1aWdu1NdOqW9ZKRPNa3XxxMFGUya5MUgrcZB9CxhgYgZU274kiLUAo3SzcOSQ5N GVUzaY0Ffw7eXtqlDZShWVlKxSyqp2DjWGGlP/h29bxDW0ZZoaX1DMaRqCQwYw+lwecj+O33HUc5 6XYV+HNozIjCCNSK7Y5DhmAsCWm7qRqAZqwtpdiZkde7xYt1XhLAybQolVU4S/0cBZylxTYTSjYQ zbNoiZV9fklEO1Y3QPjJ5fhJFMR6F30m6yWDakBy+IQa5APekPeOi60ZGXez+GBLyXkAOw1v567q nl858Ga8Pe9JwSwODuuaJ4sbU4StAtGyLi0ZdHUpisIGvRWW8rLX/Vs/t4gKUC4VoALWpS7cQj83 MGj8r5kDsYnjGjTmwKERSQWgUXSTZKarf+JNuXlgS+jIWW05tGjs7L61qlmqPktbHp+d1ijnWtu9 gloCwBKk5oEWRSJvlVwaafJLov3GNUQ3Kg3ab6D9qUi5UTMJTBqV3dgk8TLDT7eeeCm0aUTn4Lx6 MluZ3Wa1ytISmwsF78XmoWYpAplIbI7ZtQ1eyp3zBHy9WXoCA4xC/ATAhFTDXk9XYHV727924TbL 3pJo4ywR1CpzK55KIaT7l50u04h16X85cGoEEgfstmp8JBBvuk1Sv/4F8Ony8ttfrBp5HE1h3+aD fRsQSS9FziAd1W7hKBf6EVAQzY0aq9pwadnrBhtOvjt5Nh90LM0sqBOJBnw+2HDzVxsOP016eieh WeOUJepSqeRcPmTfUDhLeCW8Q0O6Y0spDL3l7fBfHOXZcHC35BLF2Q3MTBt3wyGTy7y2rUrZm71u hBDkVitt+GCorjQ2G+3tDHw+iCnzL+wypPDrSs+5FBa8KcAGwiQk1EHdLo59Qwm7GkEACaGuuDDy 5mKqCNNssB134rEE697z8yfoCGycdyhbnm8ewP4U9qktXCJNKQemjYk8GhzbdfugxZSpqP1DacnJ 54NA4Bp/KrEFfLVdzd4c+jbi58Fqytb53re4JHCWmrrdPaxzYNwokY5GNRYftJrK+R/wDKSiBXXb aXQmfCZN4tp1tqRkML/kr8f3Uo1puYxWxj9L0L1iMQXOjf91eUA/reQHQxFaNwI9AcnM5VncdC5F IWNEdjOgniqLC70bJUs7K/UjOHh+cuYIW6lpktaQz4MQ/1L2W57Fyek7B+aNV5c2qbWbSveSQKlD XbTaCp8P7rgHs2RR9g/LQz5LUehdKQBQprt9jzlu53tet+9E0FLXB0W0NyZRpkbSnfa21FaqQnYt VLwE3KG2fiGMEcvIiJMqwYUGjpV+PBD64oBmcFG8dP5+Zr7wreAHRCDB/HUwNdyuqT+zFKpko105 0xXwdWNibLhUvAaXu4MEYxPHIQe+nH1wkOBZJ+eWZCRw1s8kaxVZHMJocJG2E5cyNXD4HX9j5qiN rkG5bk90W0XhExiK0MQx/5ojylDnJ9MNXByhRX8utiZDAxTjWKQS8aS5IDTuD48pYxtHlmjb7N0H W0kgnpzDm74qGxowiQ5HCcLgFTpQUDlwab+27W3/FnijKe+D0btA+GEccK5SPh91dL8ZgsQIfjVP QidH5pudhE32vVd7mifZo6VKkKy2WwzuZikk04eQg+OWG2IKdjmBWwbHJFOeBxEDxMZWeWzUVIIL zRx5sUEQr/ug7GQtQMgZeKfK54Ni7i8KE2KeuvuzksK4O9HO0ZoBrk5PAbvphzjkADxYCvwccU/K 5EkI+LSe3gm4uAsFGLLda0cXv+4BfBdR4xnVLKfmUKEtB46O2UQlxMHWYIF3yV27ZVY9H2GWfJbY v+tq1T7TFOr8wR1jlb4t8i7FzR+6VMUHHURuIy5wdUTURVjAZq12XLo1/Lrpg9RkqIZ7jeBmlGOR TSCwvPo/UiHLga2jbO1w8ZKi03WMMAoAvpvbZaJbGfg6ojHwbakmous9uT+vkVJBEEAaZbFywGzZ wCWFhnCmmzAd/vYaJxVoRQpGKR+R9TBy50nNz0VJ49QNS+hOWFcbsr7FVNSr3Y/HPq9RUnFLPpgg +aBT+/yUdRtnC0+/zE6BPoSSN8EJQDOppGQIKR1MDvBsfde5Daq0sp/YD58C+e221C2YnK74qOlq a+NxoDm/Uu2sQ5FCcWKxxuWD5iS8NjNIWXXmL+T76+ygY0IFzU2aIAfvmOwhfa2C+u3r7CDIyV+z UygMTGV8zM5rZFSAvypoJJnQOo5UF2KtuEaVshHBwdl5jYuwdMhhX5nSJCunu7mQIkBAbUviD96C 7DmxTbIAOM4XgUtn2M9rVFTA5aZpM88MDVo6Z+2RKQvd2/Z5DYkwtaJZn7kgJxU+UtCf0+S8BkRF TDu3m6EySfGK7XwcDc5aSJqc13CogDjHfdUppcHhtiQXOsidkwOMuCQSK+1mKk6hcU2/SUb4vEZD Rf17+M2wPVLoR8tjttSzr5h2nUMsf16DoSLe5bN0yNyD6Y5m5zUUwkMLAVAdVqmde7lSwtyl3Oa/ c0peAyFsrC0/69J98NlJ5yTe9FWgJVjdsgxBklEhXIcX8H3VaPgdnTriAA7BkS5HDD13dr3gFLU+ r0EQn142OenHSKWTEtB0RQwmB7iNDt6QEW6UoYkmkb1Vy0hFNqDB5MiyaMpwhoMfyRShb93UkAYt sKALCdE6HMVE4erWQm2Sr/o+O6syz6BIpQbFPyeULjKtarV8XqMfrAK1KdhGsC4MVNc1O6+xDw5y 6GNPClPTWS4lR7OdH9mtrE2jH0YP0eywijZHnj740kHwWWkutLd8HTfPZNrwAIeMkNdyVqTJn9ew pzgKue9nsNJ1lX0HhMvG5zXowZm1yveFhW0JqLom5zXiwZFcYQ4CFC4jnkG3P0EhdnUlrYTGsoR7 oyNZQIhC/ugszh/dZ2fDNE37KoOaJe4kFKCBGT3vmh67KyrKf17DnaKiBdaMSKTFL0VICFC65OdE U/nzGuzw0vDZkVsxHIiLL53XGiM2VkOEMy7Unwauqgqt+rT3t506rxXG8jg05+bD/zP2XUmS5Eiy /3GWlBVw8u5/sAdVNYNH7rpl9c5Ko3qmPMMTAWJEiSfyZwrORcSgJsEFobH+M4GAGElorStrvAn0 f60vYnaQBa6VmFhwsCpsaY1fB7SkP6/VxaJSw3PsVEIKsh87r6VFLlfq8TeD+JvIRhdjtNnsjLVs dl4Li8UTeFo42OAJPKR3NokLQPWtJiIg9I9+Gm3te3tg2TQpei0r4hsg2rhIQKtcAa2KxjV5WmeL 4enoxsrfxw4kXCCQZ6FgFChDdevcKdXqQBYoExvSp2MeIFzhDL9ofpba1IqUyxMpgxUPNVfMzzlu UF+kluhmKwptvrIeYQ3REcO9hfN4JOZdHLR6AFhSa5HwmShWXjN9X+lAKqXiy+edt4Yvhba67GMi 4jFFH6rRTldpObFuvrj+aIKmLnWdQfUp4EPM58QnmiAIjWWGN8jSf6jWjhzMu684Cfkh0dm8hSxa Puhab00ZNHx6+XgQL6f6tcEW0ZdAFWqG3gNm5CCbTbLh/enh8KJFO/IHrW4YrGCGNlOoOYku4uBZ +7kXId8nYX5YE5Eb0Sg3Dyk/CPxZsrWUtEchc6dceJml+6AtdrKUqcp9Q43sPWZGsvYdFdalzMcn KAqaq7yR7Sz+xoTSU0YTtJins/gTRc1dpD4pqXKw+6uef4OTE1b0uarOtBfJH4HPjzOnU7ymqDMt kEOUcLHSegL46oOBHUFYU8K10NoIDyHPR4lrbMQNlJuQvgfOOtkxQ9LMGKlcCu1+BKLWrL6E3iNn QCVwtUOz2Ae/4ceaY1cVo88VzFSuwfsCKEf0Asjoo4IWdirfNTqF6FCUZa+bvexz0pa5xOiAzOE7 U608ouF2ClHPnVhLzVAUPQM83E/cY0Uf+nEqPiz7imKzIqpj6D18xnlPQ8vC2Fi2mJaWLlRUu2T8 FnB3hbLQ3CrEKc3HmlmV6Ch8TlxDhTL4GoQmmhNU2h8aUtfPO02tgClcfs0QIuN8c693mhqOj441 xG6AJGvIvRZpZrrqwWLEybJYFEJ3KhxScNAGW0MoZqzGch/cdE/awi4aGOxQPAVccd2aj/iOUQwt CZZCcLoGSzDO58lsb+b9eaeoMbf1GRKydro3MycoiqIBjIRWePHcvV93B4p4K3cf1aLod4Ja8Tr9 0CYbzyZLoNdvamjhqgdkTDkGIEF1EpCZHlYRNY3fCWrFVVi6QNf9AV23UoYwCavPzzs/rciBwtYQ dxQ+c1HqkFP0HkrD3xu9Q53P9Pdet7QKBTllYdSZ0xqKYmnZCa8mV9R2k1TQuGEfvsWWgaYxQXud MN6CwK9d+2prZbzH0jKXPOkPfrgNmqGTvxe1Vzt0aqJgekkjzdYQGUANnSNNUBRNA8J1NkjtVhyr t49BRoK0fLI0jTFBYTjNOHqlPX2wCRoFVewukbGTzFPv20x4gIkyNVEhiefUuwYTRHDV4OGqQbVD UPeyaMLoP0fxtAFX7Rgi3oQnmoqHUTwNShgIVSZ2NFN37FBr3Xiz+H7MKe2dlFYcyDAzgQwcvMxR sCrpGr73RO5aJJaJ/B0dE7Qxrm7W0Lu+32SbEkcQ7PHBSs+ryOm4niT5805JszLVVxUIUYlsnDhB UTydqVM3d7ImT1pOa+gXl36i3GGFjndCGlciuxZF1tXlsa4+qeo+cWdmulrOx00iaBOtLrDdcmHm aLQ9e9ngJhNrb5hmhkOUWwFPkGfvbPPzzkfDMZ/uXc92NYKq3vygfuejYeFmdljShVbdWtCYTuPv VGzQGnoPqJHRE6evHcTB+zsgCzVUfADOP0EePgvaa5OKeHCZQSfd6h0UOXqnoyEcEs96re2DLaKd u+jWubbPOxmNEfX+niIAWgE68SkKQ+rJIllbFg7tO0VNMRLLZaREcYqikDqR0UCxRBv8HJpQXqPx yckAx3K3NCDkIYp+vsh+kTCdqr3vVDR8COFCY7c72F12ViL1FM5q5eNBTN3310EEWHxNzz6LQmpw Lc/57JX6CSS7QRfYcpHZVfKq0DsPrbhz7ERpzwZbRIugcwrusuNDEAf1HyZ4mpD/n1fBT/Shdx4a 9xnJHkyCNWifAWVNqF4daX7eaWhFQh7PXdZokZRunycsSHfkrfSs4EmUR/PMXmJ1/dlmiT8nOqpZ bJ1yZ55fJOsBKbKSWZGGKfNkw5Xsr0oaRW9erpfFwzsHrYjCg/YrQZ4cVPo4Z3We6sjP9XmnoOGk v5c9o2f0wEa7S+g9okazh8IPxdSfpmhWlOcnrMlEV7NxGN4JaDyquXZqu4Md1bDQXTpwzl3W0I7H dqT6JuxE0a4dT3Fo6WXfzyFZ6lbSDjRohs4PW7zMIHH8eeef8cxtX9Wzualh4t2wd/oZniKBYSUr vVJB1Hsa1VHnaBrYEnoPqYHuxMLYlSL0HOwYAu8ZvH1J9oHSKFkIKqWjl9mvPD+9nPgZwQSxMl1R Ej4DEnV3ZwZ0HL818E2fd/KZ1Yc1IWTPYroBTbYZiorToBd3+bTIJmReFuPVyerIMu2gfo+oVTk+ 16nUaDh4Zl/q5D+FEj4nCUGNnT7dxO4hI1P1bLAn9s48Y0Td3pRDMrA1LMaCI/t5J57h8Tm/A0bE DL166eOddMZTCFV0lttZPWs3Hhp5XmPUq4v1zjljgQD30y7MXjnYBIEu3Bt36dltZ9MV0fcSDgCg g1BKcM89zOvnnXJ291gBQ8EGTdBJZ1XQhG7S551xxuzKq2f0Z0eNAmbH1nGOIurGvuFu3S6yOi6z Q2XrLhi3VT7e6Wa3AAvfBR/uBKEb1ol53ej/FMo8TKqnJKLrn+bPtHcNOvKLRaHCrJWDJqhiYSoY GvnzzjYrcnv/ysnAPVvDw8V3qlkxjPlaLtInCDIPISt8EOuyXQjjnWlWJIZ0EggG8hoMFHzSLTY6 yBOu56ZfxHxunGsZZWpccaQzobxo7xpNEE9pug5oUCi0E4GzFOfpn3eeGWE936EQKlSZbCxNUBRP t05ER3LpOd6YCoUEMSNsOjsn/51lRjSQVhCvMw4eLGaYE7EBs+FPOHRKT5S/M1Hr8/EeJiD4nWPG nIxLhx0yDVpBECvntXCuSL5icEg/1UVZpuDK2RcR9M4xwxSdv31ioeZw4Hk11Val3FOhV5GfQu8U s5u2gr3pg2+y0TkhnKJ2Qvcqk3T6KDWwq6k9K1lVKmC8M8xuG6gqba0oWFchO056rmwUloGfd4bZ r8oHY2+cdjPdRRTF0+cSQIxohhcwl/Muh3VZKRWWnYX3zi/DN4QuRz5hcvbBdtlJKOH/PCVfCP1K lvs3rK1yOr/zZVD3YW/61/yUtbMPXhjaPWmPzfl5p5YVMU2+9hhLVWX69LwH07oaAKhzOdVEJEOX kpqTFBkJaXqi+nTi7b26UPT9mg/D7GvBMl4+PKtl8zICAgQOhT9zXQ8Mmrl83nlluCqXKNNMyzjY NQYiJ6+h81183mllWAnp+56HrmXB1W8TFAXTMIF2/ybkrvnypVof0zPW4t6675yy4tzylRQPpSdj JfxzQtoVdY+FcBfrp4CtAw8jXALr0qX61stGpTOWXQdLZxxUnx6V8iyYaHyJ78G0sG/flSF8ZPLi 6zujDJGQ0FPWzYBLyEV5sL0rK6ex/aKP69NM5gkZBUKIuFECGSpvdanwnG+aEkgnZOH1tYF5hj2Y 5/S0QH/nk114We6qDMGLi4VmSIMlky1eJ1h855Mx1txf+QbgB41KkZqiMJpulWUPK57R+81L+N64 70habYrC+jTLHktCDusRckAHosAyjXxpQCSWbDAARUE19mc8zp9MDD/vXDIWhkgEhhYXZMGg1DJV PKOWOkoZM/P54CpL7Wub4VQCM9lnKAqncQmfK2Fb7Wyn6e36JqMQGltux1G9E8nw9pkW1pl4Bg7e 5AAafSDzoWDxOZJ5VDGfbuNk4Ds9dSF68bzTyPBtSq8YFiM26KCG4IjwCOe3/ryzyPiK82sJVVRH 6k3I3jlkvOkzT59sBWr4YZjYVXUTUHAtz+2JCXqnkPGmF4WsTB8czwAtCmosIanHsURoSNoseMBC gBJvWkOsf33eKWQEJOgc2jaYLGiHzBjxDP1suM87g+xXn0wlEjRul9/07wQy3tDwC6L+v1h2aBVi 3cC4/Hyvcrakph5S1nf+WJHkGwpCbCTO+TQST5izugzk4Kl74geuMmr5UC8coY03Epu9a3TXU0rG 6mfpmseeqZKIfj3n6eedPca7/hd8HD23Wbzq8c4dwxJaLJetYqJyAMaKRT7AhRF+XCEsYa5Rfbop Ee3lDhciDUcHiMedKUFIhBOP8vKs+nb6DDgMmMzHzzt1jGtA9AzZOm1HRMKPJRXRo8f6vBPHiugL X5c9DiBiZzVD7+G0aaVtugrwsmeBGK2fYtAGSqYSGMElFIfT3GQ6hebXKbTruWMpLIq6ELgjFN+F u9xPA6gkI669rntDLxtc9sTEtKaktXnSCiIUtXqQuZTPO22Mj+/vy14ECA+H3lljRWZw4Ni79AfA RpbV0yRXZQ8QBwSVDsvThNlvXfb7atueuT+p0EoUNUJLCHVSiWBO8kghLJs9aV34Tj7vnLEi+1cE 5JA10WBZ/djmoHM+9/NOGWP5v31NEI2PnqT1nTHGEGzQnchoCIC22TmNcro1EhMtXbiEwoBaV72M LddjbFmgygk1uaTCGfwWBI/FmUAzvtsC4q75vNPFimSpkM0TM1SvlwbX+lTCscfnnS1mmdUzP1Dq K+OiGd7JYtxiqN4no4hJ2dJEGnjycYuhk2zzE8bTylg3+/Acbqu+NMRSkwC8ceIqVmcnvIIKD1xm rEOwvK13DUBVWS5XefigBTQq8ShM1/h41InuXxNEE3SZ7nGConAa30PfNPZhWShV12kyJ2KWhaTn iB0Wh9OsKC4iy/d6TPdwVeCHscIwTspUTWEOGTXMXChfYkcQ8fbvJDF8mQyBzj2SfdAEzWwrFq28 zztHjJiq/nVI8/NLuZFQFEyLcMf2JmsexcutwDc5BZo8OsLyWgycVkWRWoQcPGWt8LVcdLAGMhol 0x+ap2Z0I5RcW8oKRUh+RnDPJxXMUvPBsAxnokkZqxD7ahHYAxS0r3teqc6doDCWpnHTdOEhYydg BYnNrxYiuIBaQVFpOhUFQhL6mlfo69zsCIUgA4NsA31WwkIqitWFWhJ5PaRVzVCI9thUHszCCGcH aEMuvKtVvxMfD1r1xQ8hTC0Vh9DJM0pLFExzCT2+jaa3z6KQOC30bQRkRZyW92AaMzRYDcIVpcH7 P+ewSGIMsVvWlnWqaQEAbni+EsQE2+IzIqLhfK16JNSyuEfOsYvHA+LGRXsIO4PqCj17NENRMI2Y /URC2fHluzglqgBjYGWztE2gsUdwj6HWWBey8yqVAmwMajgj/Q00zDx3DeEOqA+A8bEpjtQlEjP0 rkG2QShVoUuBBuEWKyiSCqZPttGjYFrWRX5M07sJIjk2QWEwjYbaLtPxMPS64yabvfkmG6TPIh/r UXHaAEPT9lq5XehyAvShzjFCxZN0cioTpBrQ3QUe5voQkTuCD4k6ZGJEFcJiaM27fgQwH3K0Gidh wfMB53D9YtVByr/lm7P2kHVY0XCcy7wtuxjPVNGRmcVmZYguaZ0/J8rIhIQRl2M+jNV2splELwtk ZLO2Jj8rooQBjiyMFA12luxlg1VE1thQx56DoqGzr4khRIo18XhEy/zGBzMaKreL2CPmIQiVJ9rJ 1cSZW/IZOl+p6wsk6pVzFcVoD7FWBX7NT866MsCvACtQwbrMSstCKDWCVECA+wUusrjYo/o0+V7I grmIQOMZzDcKwZG4wM+Jj8eDu2x832WD1tDNM7IehtMDST1UZpWR7e0mFkPKVSwuLvahO39OsISG 2Q5tHxzsUc/x2bfCaWrEM2Ci5nnDO2P5+jlE8np/j6clY/6iUVEbrXVY68FRGcXTY10iEFuOiBnm 8oC6hwVq8E1S4xJCz14aTMjqJ2hskoofbAFzhuKAmrjxxU3GwStn8BABExZZPaDni3RDOFvh41BV qQ/EnMrVPSpQV0XUFJvWoLrH2ZuEPCGzm3g8OKovpIrFYbgUPuze/h5RW8oxu6hiKJ2h2YbGBjDB jdUiZAJA4SDl6FFEvY03Qg2P2dotnZ2vN4Hnwk22IJ44VcKHpD6o8GNedPBmfbpH9enKI5r2ITYI DjPoY8C7LE88/q+kXguuw8Cq3WMowk8jV+hNbDGwTgBm0BrKdAj4H6kkIsznbR+hPfwya1Y64zoW LK+Vc1UNgc7SudmYt4H28tPh4zPznSF0Mys+I8KcqTAkI+vtOxl47SXH1942Ho+Ai794HEld3hsP hTE1MVU0nv4fiZ+6geWk1bNsYtq28muP6tNLDN9Z5zNDxuM4F9mZIM4Q1BFIYk0Z9IgG2aVcHrxH p3xuj/AeFDmAqGbywagueyYBfM+BgcejRfSbQ4/iabt0qREF1ahAQsBzeeLqdXv0+i+eAWJkDBlH VKFeSX16ljU4OGQIje66mhAxNREtZhLErHVAkuca6WS9a1Rd1AG07mDVxc0iGGtnGY8HQXX/tYgI L7932YhialTNAPOw0semk69KH5edCKa9AT5GXKBmh2xrDe1nDQ383onohXMOjZUkuFQ5J7gB5kOH XjSJG1FMTZ+mk9DP5IOWEEizRP2fq4mP/6sZbSBH3H13gmIANd2rST6EWDVLy4qG6nYqEDGpmqCo QE2/lwwJeA7EVA1GQyelO8tS+p1AYc4kPgMhg5XeWPeyP8t+4DMCZCeFccpM0qlIthROTDOVB4J5 gsejFsf3HoOAskQdNEEh3AOIGEFrjQo0fYvhHjRM1XahihEF1IaI2YJ7bH67nKByrvqTtCRJ5MHb ia4wixpL+DooO2A3/cT8hGgPYc7Wyj5Y8XVVgSfO5Dc8HokxfM8Pij20rbf5icJpAHNFhbLKx74a 5yM5KA8WLzY/UTgtsYql64yD1846cFXsym+gPVomIa/Rpxpd6EzbHOsB0f58ROVpKsLtLkJip2H9 VsYBB0x85Dh/xvP/6pJpOjuYQJ63jiigRoAGDVO3pyC13Xgc01Wo4JtseywKqJcOoa4+Yn/6iBUK AWxrgwmU4brNjAM/khUwthGtQE1e/YgK1DNpDeXkgw4h9lB4sJyQAY9Hh5CvIbI4sFTLc0qH6OnJ itA0f6ohSBU70Wk4vJya0ZqgCD1dWPHZEhLaj5DQWSGszHdOECSEVX8dnVJr0kF5rDyX3vWvJtmi 9aAGI3GMIV2Gc2UOPB51OH6tIBQ9pNXPCYoK1A06ZjMNkzwZ9BmT5Mkqxblk033hRxROowcKLivx QKswR87GUICXAMFwG3aC0htqlA0+gfYPIObXVfD8eHxGFAnVN7IdmnhrCF2+Nh6PKBzfXUSkzmz2 2gSF0fQkG9GF3iYNxJ1L5pChkwmZTteIomnwm5FoqH/RLro8QdKo9yKhLoDAB/WEKqjl55gsbDb5 OU1laXxIEE7TLjlT+wzTPh1eXtNcXEMAguH5IK0v34AYfEVlXq2u8Xc4fQmbQDfbFM1619BIy7Tw RlyiZkkos4048m0jpnNQw4YcWBa0onHr4BQ64f6JCQBrpweQFfE38R4jLFELsYjk0wZlHAvUEC6M WQoejwofHixiwaBOVHv3Y2iGJWrizmpXMi8ekBKOLl1TzFDBDc60fobRNA9oeAT54GiG1kHUNAO4 OaEFyl0GLSrog0G2wGFnzDdmCPeg7tKJHIYPFk2f2WYeih+Lx4MJat/IRaoErivwMaNoGjWt3iXK BUwVcMLK6iHngnWD0hl1j7GEZkhIZN2Zqjg2ODp4l9FktXl2x+h5MSOhQW0D5Qyoe6+/IuTAZ/xV w8+L3m8cNEGrshPMCSp4PDqGfpXO0LHu805QFE2jrn220BKE4cQUJXnpLFGzIjMfm0ZHnDEdUdn8 KD54unHO4ExjTOygdn4qQWcFCqYUWNhUMBelldIDMyxQdwmgyEd4O8gf0CFq99KwAY9HqLxvwBAB 8fUC8GcUTWeqBHO5SgClW8JaMi0vlLD2YsDFGZanCZSyE4bDzcfmmQwIO0IysKBkTmVTCBAUkKLh LOrVaXIRZwz2wBnUKrtlHDRBADexEHVizozHg179/AYMqQN+L7IZhdMnlPuBvqGYdgOKRhYKTfXq m+Q9fAVF4fSgDfdKOorSEyvOE2mOBnKGsAxtyO5tVNau1s/cDwAfKQQ+I9hiDBJbJ3qRgw7p3k1O vpVe8XjQR/wFOaOLak2OWpxhdXpK1qxbKFSyJ6wFbhBWncbG0yEdgT3U2oGlqA82Qf2EhYmVVkwQ 5IdYHClscJTzznCad0AVK4szCqbRRKDebfVBKyhlOsGz2j3weFQ3+0ZPI9+tbTtcaEZoD/q87eTi HkaOZp5NHSjd8/CI1ARFxelVJH9C4C8H7wCd8DyvpDYi2mRaa504X6DCO5rrLp9s7xod0iyXiRFd H0Z0gXEUz6Cz0fH4fwEtEgTX81XIi4JpeBqCJXWtlIqzpFAPtGxjAN7CjHWGGnkEM0DmxAfPNhr4 KEWgxXNP1c2MtdMFB8ZsVGMSz27RjGNGteniufzy4aZjU2zfE7jj8X/hqYqcctDouI3WGcE9WBQ6 h6WzgADAMKIdHUCU06O2plM6jKZ1PA/WPKSbrxlKMCipyIhxSp+pWCysAXqGIsXENN0WGWWqZhRM N4N7iLKZp6cb7UTvTb9073g8AgyN7yXEVOxy6mfIRGxkJBeh785rVz+lR2nbJiiz/MY9Fmt7CCnE kJqD42FazSfTlqx0axLTBAeIqycBv3ylK+igis+I9hh93Si+pcEQVWfJclPXkgse/1ekyGyx8Yu5 gJgVxdKwPT4RtNGgT07dfJMRrWJ2AN0VdFYE9xiqN8s2Yl5M6omlocQwk1Q6UX3OFEhBwRprvNOH 9pfw9grhHsRMV3qyatAMUS2MNPkTVOPxgIo4f1WmNxEfd4JCtIdlrE6pJ2VNlPrsqpQnY92m47VC tIf4cy1vH7SQ90r7ZLO4DagPPLfJwyA/rzQ2GxcwxNsInxFp5RkiuPtgxzTczwh7PV8BHg/2WPGi EFl5WIiEqWuColiaSqZk4IomdfU8wMhx2OvIwwjjK0R70G5joduFgVDvxsJiQUVi0K70nNB5qLDW kfVzkaIz9EUYb/iMqGrGpdNFrO9Xe/skM4v/AncBPP5fkg12+ymOqgmKYmkwppGkurtkHV5WrKwi mOOGyy2uEDmtNGztO9hFv84mO/OvZKOAkiZzSTXdEdQ8ZUVKiOEzIvA9ah2D0lsaHLVYSek6B37e ePy/ABkKwirw2GyCQo1p+DoN2SMC84HmhHDBZV7+hrrsOKVXyENUUUiiHTM/oLyTXKA/L8mBc/BT CL6hr46DUn5Wj3zO1LsGVbMp3RxFjMNFjM5F06VuisQPj/+XwjRxr1Rm1gRFsTTSTJR8tk1QStVC xYWSlpo/lfchJyiW9ZDUKxFnHLwkVCH4Sam9BKIOZgiHxbLbgWxdd7ZJhJytCOqxpP5f0vBB2UY5 walEvEYfeDxYQsmBr3gQamU1PzMUBdMAfaGwrp7PSPOKuI9699gAKF57LA6mGUW3dAe/xtY5aWqR CWCrJwuiXWCF32eDyDzgaVYSIuIanxFNEJU6l3bnYpEwSTJCpfB+PgGP/0ujSu2xAQa+R0IrCqZR wYZUoNkkzn332ID0oaAwnSBR5KsrhE53wago2LkuoBB5zDmbF+5JcKRga8X22PmysWggeY/VYzUz lu5XFEyrJARZPB+0x/o5GJawrI2vGJzS+xs6Tft42nxpgkIeIoqK5zs20OJo954vK3n/MMGlTiso xHmQqjlkizSuLdJCrR5GUcJQnpsxgy2FPQb1htEViVqHtYAhzQ8J0PfmQyYPKeokcAkBiFLlfbAq Hg969L8S1kZ+/sV5rLAwvXHVpuQmLSqfseSRHAIrRVPNUBhM00KyLznaXDI3hDTPX98ocp9TCMI5 izXoBcgpNi99IG4oNIZeNgiFpHc/c/PB0FQdcrg/PL35eLSGblGIzTSkkONO0Y6iaWIWp5SEiHxt 7mubenbxnIFfWcrcUWV6UK5jJWEW08UsovyzeduryXqOVJFZ4cUgHZz/bSS9o2haIoKFpu8atIbW bKqy1DT4eERx+XUM0QGteeF1v0fTeGpRWHlbxtrH8Akq6XraysMVExQbtYhFtpsPftVDIppNH5gi Qg6xS/ceWgc4J8dVFyoo9fJd/yrdU4XQBi0hZB5aAVDF3e/RtBgyXxwggEbqRb3uEDsNpuZsZdxN 5hlrYcKjYPE6I+84mhbcrDcfvOhxzugMrDRX0O5VRf4OM/CiVsi96ekni8/4q2wmWyYNSjcSyO2a oBML7ZCHmL7bY5mmqNcZYEfRNJ7qu1pKT1yYpRuD4AmtIKwwTVCM89BFNqcPtsXO7TNhX0woFTBZ ky3WKhjeyibXOZ6y2Y6i6U3t8kpnQA0GNpuQwsAveO5hPP6fVIOxk9uzgkLcNM0iZTlGAa/iBI4h dXc5tnjCusPKtHF9Jdc5H7nO3XsR/YlGUec4Z7TUGpo0iLrz1xnE0v3+h65HI6STg9KNE0OKXIxq Lh7/T7oegIOUa9qyY9cWIIVqd/uEna2YCKWf4hOEc0MTFMI8uoS72vDBY8U5AFqkMMzJxzYbWSi8 IujlP+aDvAdFHp8RhEIsSZeW7+CF11xJLq41LTweScCl72sM6F4UPX2GIpxHUoM1X5W8y7Nrq3hK n7ebsu5QJW+p5lHv4FihcX7vMihFmejPTlSwfJ+QEMkUjR3oxQ70jkrTYmpS7s0Gq7yen//0D3dc mvZrjAUkgN3mlTPdoa5HQ3NjWY31XGLDpWHAebclhEKFTdA/cB6zbB9sgmaFGSsBuRtUo1RVmkZz oTRqmnp7rNBcF58RMTVZmm57++B7bA/ZRJ+oAo8H6cb4tccIo7hVsx1Wpski63beAHfmE9TJTJYH BwqjJCLuGDWtahD1qdZ89Kka1GYmIMOIptNo8uAYg9Hjebl9exsEZeIj/tIbKF329d25jid8mINn yNlhfDzKVy+SirInwHf3K7O4o2CamhVwytAEwZla+yqD4W0rCNapNkERykNSDKvv7sMFwqxSeqKo x+pjIj/DXkDJCdY9P/PBwaCDys/4S9Sji8raL5WVospqsOY08HgkAfcdKRISjjqmJogJ1/unDi4c Awghqt6u6oFTUbDy2q4Ja4qC6c7WYRc0mINlZBXnxTQaGaJeVPSQbwCBg3g9U4fesEIUYMKnvE8S fBULsUcccKxk1T02sB5I61ue/AERrPP7JKIW9JfNRAql8qoHjIB1nMfIfoa+1oYnd7HiGeIGud3E ITVjv6rEtT6J64kRUXIdjPLPFUlbEUwTVAzRGYEx6y3hj22v+xfdjtmQDco6IOSlGv5ojc8HaUf7 VoihT9sjXmHSBa+PEdrZjG635wV8bBZ+WUGbiUVqLqYQP02txaFOIQevfyDFO3mHBKjPNTnZl83E OsIwftUrHUxCJD8kQlUpHrLoCF0+IgBbhhaoccQWf0AQGOVvTAMUActzJknNILqvR5ZGJ0AN2VW8 wPsuHlsP137NKTRD5O8+yho+eLPjXOoo6hI41AlLw5bbNGzdcAbidvMEdtvrBrXYLcubWX1QnQh4 enE5TirJ5/9Vrcb7AZZb2+13iJD+DqfYVEIxw4CNK0MH02QQyB23qFWntRTVqwdTkCkcOQfP8uHH Pijjd9YSQCDsmSV4RpAemgmqFhh/U0SYNPr3T5GlJonNGhwek5U3A4bM5yMaef06l849BTGfm6dx Gt6n6ZwncpkitaxlwtEafRVYyqeJNkT1qg6msGrdjVuWffBEpCGG2J0UV1i+2paDWih1l2kWZHE2 gwB8SEQuK+yVCE9dHU/dep2tiH2XNp9/n6V6pb1kcwdFxK+1FEp+oHlGCT1CGVenVyQE/RPFiaVH PQhl5CRFofYkaWzs2XzwOACsbzBRMUlwU2gQDEDqX/E91h8kha5SOaa9bURcmMSgkdiRtlfHK2Xo LJ/VS0ZE4PR9eE9qoz6Hdyj7AcFlgZKJlJHWB5EyyuI2J6lWP5bC4rXOIxNpalcjDqsKMoCSxifn aFetJGJ/IFbjot2FKtj8kCAhkUhla4q3qbGBlYSyC/WngLzk8/8FsFfBqq5t3kmKIu7FSWoumbv6 cNL9OWT97O7Z0TI5RdofZcsgWnTgi/VBC+RclmkKTzRA6SC0uFFEaOEcX5d0bxbIKdSl1tVW2LDm oJWUIMablMxPPh9st18cIWAfGlpFPklhCXshWOru64a2uCe2JTsepMKcwiYprGETTDRWvYN30mD9 C31zltdQLxKpnJgiePYg5BGmqOT7tkH5iLrmrcq4rN7tNpCHs0I7GFGGdi/tG6JfuCDTjShD/0Qk VV2a9pikvh0HckL37isJVBabpMBAETePFE9lzNVvrHT21yR6Qh39kxGqCtfRbyso40CBx9mK9IvO gYMiDt6tPuPywWtsha0KzHrj81H+5qES8easfuZb6c+hiSKpHmTEG5/qcZlM1yKwAd9osxQBQxKr Z4Au+GCzBH7CuUC3ZEDO+wyG5iLVwtCW2KLvtn6OXRTJWSzY/jZollDLlijeSRD5fBB2l+9iNk11 61XVy7GPYmUNm7XI+mW8AG/t5XpEA1+hbAJDI8XFXbQF8dzjae2f9z77jEk4DvCTk/BvTsD3QIX9 aWU9jKFkb/sX87VQnxxD1sl9suhEA8hzctfB56OK/2/i4vkxqEr4JEXKegusqpmr1yNTu4o7FIUx s9vhToGhmaIJygjvyEGTdF5+s0rCQwlOnYJlzypERIVE4y1ps3mdAzdF1wKhQJANhuMbNBEjB7Ly +UjK4ZdUdaOuwT25QzvFtcT0cPoiUZUueO5V7TruyR34KYKUw0OppunDRaSfc2lX+bRvVN3kOIlp q5TMggbzxRkNe90/BUGmD6byWagqIy8FmHKGlorlyubzCNt0N7nhZGCpKMvaDjiQ7bdm1uSQtGfG S/0viq9plmKkCIvaiZhzDg547JApX1td7AWbiq7uCKQHYboNhTQ7lJK/bQRXY/62ZU6x3d8BDc8p 940TyfP5qIH07dme8c3V24LMgasicMV0X1jNCFaTZHyGk3ObXw4Fc9z+NgSLZLOXnj54q7/nBatS ZLngOCT4EkJ7B5meNh3Kt07T89eNKiaS1JULTLouMLBOFx+/l83ng6P7VxcJ3MdKRLDNUqgMMtHA lpkA5qt0D7opa2qqDrQR0yxFRjCdCkVTERMHryuB/5Flz0nHSHVzC/XACrRo1+MyXau9bXS/ETy7 0/DhdgGKuZrZS/5LPQXzgU5r3U/xLTBXRDyJzLbvZCQH+VSQzliroSPaJkaQpYDAXTF5KaAqx63t Kb4Bd3d2kqlX4x/McYkv4TaE2pbjauqw132fpS5hEMQNNiignJVIXCoXZD4fpCa/ELRg6HzhH3Po sAihbB4Vwh5tUnQMvsaiLmvdkvjmLMXIERmYSsi65dtxa2fjQoqQxTf4wpehnu0k3aqKtWIunXLk Dj0W1UlC284HTdJ5Qv0SqIzy+SA1KQ4/IssY0Mt2ReVy6LKIDNNPJWoUJlfAmKu7ieCs2xBsObRZ XEOEGUEj6oVGpDYBpEVcj+JCPdmaCDPUV0M0Ry0tR0Fme9uoaSIYP5HGVbdtogDwSip2F6ykwGjx 25ZbqQk6x09PIHRapMMYXMhsJYHmo9LbamP6SqIBB1dSaLU4GG1PhZUcfJLGiZzmIqB/z7bgY4SV VNBEyLgV860pLTbecmi1aPcb2vg2WOUNSC+B9Mvk80Fq8htigyiJAYVNUgQhQYOtDyxcTlIHJcga J7MaUxYeE8knKQy6uZKG2vtjPcyiUU+YNM2P8kT1s3NHoowCV9ETBGSHSBQKrvFDIpQN99meCgLO qmcd9+wxdASww/sJx/gDIjxk+3W/4Vxsz1KKcSQsJZlAEaRVlncEJiQbGSpNKv5rlkJY9uLFlhgt moiQllIDcpBw2ATgGSQ8Sdbs7Ye8LuqoeEugVHvdvxhY8CbWYM20hsJLkURs1lv+iz8jb1x4rT3m waHlIqh6fW+zOzl/6q51KQqPPFC6o21y4LkIIAMzt8Q+JQcH/Z05G41aaefYPo8W6vUNEGkKwZsQ irFONxXUcuy5KEgbneQ0GLx/glnxw0Zl5vORpmz6CijJM6Ecm01SVOlutMyrqVjUnZtP0ljplgL2 Kj5JIaJESnxbDYH9wAHKCVJbaSq9LfSBUPE6iwuFwUEvr+1MNWk55tB3MZtks/oBpdyCSbXL7mSd jc9HLJFvl2Wo+Nb2dOBC50VUVM8ua8aCWNReELBtXrXCQullTVIUdQ/i1c6lc4ebmgC9VcTanwu+ 6V04940bBilUuU0Tmnfm0HpR8taEJ9pg9UngO1h6y2vw+aheMr/yt4xvhnbqNkkh5RHaAoOIF9l6 XXBbJUBTwBucyzZJfxvGrLaGDxcfCaLsFu/6BErEz53sBdW5ghJqRsFZk0SFWH5IMEmVJe6u2lJ/ NMBBIjT53cbn/wsMeZM3kJ8zKRa5ZuWNMBLit65tVacmt5UCuN26flDUfxMjVMSjti48CVR+dPKp k07kPxlHA5Ar0h9yn09jadnbBvfbksEg9Q1Kcm7luRDmluhg43aLda5/K86B6FCuEk0OTRgTFdVa zf8XYJKn258NNKZtlsKge6lbQmYEB+/l4srJRfKgFQ4XpESUguwJvA9sApYKUVnp9rYRoJ2wiaKK 9/U8OFFXGU0orpr5fNRZ+oVox51argFaDo0Yp2w/HG079wMmTW45COq9V5ViJ0Z2S9ZKd7il7nH2 HDJbxpMTuAAuJZq2nE33v/HaOXRizJyc2WhkxcGwgHCXY2KSxuTzUcz9qxDAhsSzkEIvRnQh+qgm U3iOQochg9LjkG24m/gcRTH3Ij1rbRXgtqNhIdkIE+oiv9M10ISX0Cq2Ds2a+zNJo9vbBpgASYKb KWNZLni9T0AstYuW9ZIBcuK2KAn9wrJs/VlIIWy7iDxrnrCwObTbbcHTyLK3Pr1FWWPNa0EmKKTK wRtL6+yRXrpUe06ghw+rwJcyeu2oUz/IdnW763vQjaYHIyRtt3K3G7jYMoE/adDg8/+aJUmpAjlx ucY5tGSEbA42WdJSOivL9hiA5xf01hyanGNPxq3p0ZlUHmXwE1acF8H/jMpbXUmue4kAQvB4IJdn gdKmbWUOTBlBjdZSyssHI0icLU2q3zlc9ZKRvLyfSYyucQEwFbRJCkNueXi37Etpuof3btflHIRY X0qh8DUbucPmqu+7lGC9CJ8q8yiYSBYxSbj6G6ADlHDzmlJe9rp/ydPUKQ3+6azUWvfYZrWDTm7g zZhoCmOYABL+JG3/TFMUdKMNc75rUmmArUNd37LcRUBOkYBHt/wttGeUcdzI4w4eBZx48hxxlWvp xF8J3StglWDlDTQ+BLetiLtSt7eN7jduuKFQaXiodELUSb8w5IWZz/+LK8H5ABz0CxwYOjTCwe3k nc1K3WdpbSsqoXBvpe4Fr1KbpAheIovhMfLwwYKAAbeRTV9fkAFAMSBygmaOzB7X0w9Y3d426r9l HdrdB01SSb1WHTQ8lUIsd/pGvTGDWJf4lwOTRrXZz3FnmvKg3LjtzmDbj0HAXMX7AbFL4wrotW1v KGlILqu1M2mEtm+s3s5qOvX7bMOlZa8bcdi1lNTKHd7KBd4wNUtNOp+PAsrvqhK/GqpX2yyFpW7e M3NLhP/MR739AHgXqB/QyEjULIVR91JViSzAISN77Tcs6XPlDd1wSNKGkEqT6TWLDrdA2Zu9boQN ZFlpKkWZ9WoeFb/h9lp8/l/sraychszuC8MJzRpRbz13zjA47kDCa6i3DiAij6VMNxhdcbHkiLxg 2afsJd3mEuxlTuC4+Bgc1OAoeE5b1EYHkhJKCXi4tP11g0CA3dya2/LBSpTn1ukiAe7J54NAYPiW 4xGEJLJdO8IcOjZ2FgOW9ylnaRc8USyvA7Y7e54bWDZCW0GKLHn6oMWE6tQ5p7ukVgtSFRp8T9Rs 2SdhzVl57iChPQeWjc62rVW+6bW6gTW0tOg0VCvWUuDZKIbBUzFBTFfyA3sLTRuR06BTb2Wl3ZxB ek7Aa2DdmKUygwtdG5fsriS8wsFZJgP4riwHjLNWUmUBauAspHl5ozGX1GsULQW2jfJdxHlUmg+a pH4uAFnm1Vb4fHDDtV+9JfQVy7VRyaFxY6WyehvLESaOUEIdrHua25sjTALnRh0YqAKI1NUeT7A0 T5hXTNf4ZO0oFWNLIuMppPZhFRlhMvnbRmAlnkpaUAX4KF4SJ1qqKIWeP44T6PMHRPrzv5pLuEao vWmzFAXe0HKB8Ij0ahzcRfFnvL0pG6c2fL/FGiRKcHmGc7jFtwIF1ybUzUaFSfEUUh4UvveV8xvU O8yBeaOTArUINSjP7XAVVScE2InQvfE37A2dppqfPDewb8ySplVjhiIbAChZQFm2aQH0fA0uc+zf KJWNNqoPNwxYyHvFNzm3tf2VwnIF2A+7XOw7DxZ+SIQK4PUvOwMOxuo6dzklIuF1yeejhfSNVyY2 8KF15dDCERojXaoGiJVObFm8lavQgAsJxgRF2+1vgMlIbEFyuADK8zM3dWUTbcISdQISGMUNYkyr lAf1tuxtA+JSpaBfSndQbnK+BAGX6jny+HxQxU3foRKoZXX3ZyWFUXehcN223bZQ8nHhOm8NACJa fCXF/ElOkkq0HC5Wqa7tAJOGmIldpIWtNShIvwBYNkoOhdlyYOWoewbIknEHhUpw55EJ2gkx+HyQ 585vSk6WB+G8GVzo5ji/hKMQd8tIfrGOaxU5Hit3miKESZ2iT5LAxMGPbvju0tML91tdSFSIWB5s duPjr+6PtMdy4OcoDR5IIhFFy8GCANyfXAkTbcrA0PFbL6EwzaXgnScnn9dAqZpfIf15dHB3BygV 3s+mwwoKBA/u1zCpAqlEjClMmG2wGTpL9SzKJNbSBMBEYTlaWpXC1zg+TbMfy/jzGiRVF2058Ujz wUiCoy3WX0/IiqdfZue84DZRm/OapKQAXQHyXRHuJ5qdyfTWvGP7powij+xN4xN2uhdty5iTBLPT GfXswsXB4VaToNffp7gTQFAwGm8TfZ+GawZwLFxKD+T9dXqg80or4rqyD9YrqVReIEz5BNqv0wPQ 5bDpEWobEBXKCHF6XmOjqspGn9lJb5NBDQ/rRqQtUzYJgGB6XiMjLB65gK6VffDF01ivzYyMyoaA i7QCcCBAuAI1M0e5Ecb9GhdVtyNug01uDlbaLnDQZOa/2+c1KKqKz212+FfPz1KzDZPzGhJVRVKI qW+ZjSEkD+nSh1dskfVycl4DIswx2/67rjs4WwIKUQuGfBSi71kq2lCjhKzfWUfj+n33ojd9nxye SdBB4gpiZGxMrDoyO7bnGMuf13AIv6i8A6udigCDyBgMn/kaDFXV6hHhuohEmd7SXjQG9r6/+6QG SwdCymj31zt4GxJqomVK6oclBAbVDc5ORN5BIso3VqPXd3TuSFwjS5o2X88riGnxXEbK/3kNg/C0 0Umr6P+gk9K2hpPzGgSdh4BKQBXYVEXXWFdhoxtAGRdXt47IawhUE6mwnJU73Fh6TDSz8crAwRTJ jEMl4Ud+hczweW8BgMNXfZ+d1RQmzu2DIiBotgtFfKb88xr/YOnUe+yQsIU2DM1rOD2v4Q/OcvBH IH+uouOsToo8IUR2p/i6hns8RNND2jYWvA8O9itn7um0x1P5RCy0E4G0EwLD+rMQ9VrW2umiEu0s rp0BvI8NVrs+JyUB/23l8XmNe3BozV/HDsoHVLHn5LwGPRUFC2wson0Q9JxA7sqJDhpd0UKFSkqU 7I3OZOr6UD/ABoP6Z9QdJ0JAKq2e1JVdgArmYiOXJD1GV8jZ8RnvVxaxT7tM4SGvuD0iRCmOtRMz fV7jnSp1FM1OMWFNKGv49LxWGaskhVyRRQr03QtDlTEhoTTFAeyvNUZsa8nQdho2PvANSGWezVW2 DBvnhOILa/qgfA1wtMAkvX6EBPm/VhgxPZsYqoap1mB1WGjZs3R2bq/Pa32xSp/p951VR7Zj+vNa XKwyBYcWv/X0z+WkFIOw4Ns/q80OntfSYnWm/6rSjKj7SeLTOX9nYp36BKZZgPVEJdkGVQQuTIdk 06DotbCIb4BFfFyJPmjx7GkSJLVCXSw6eIwDqdnB3Nae/Vh+J64x3kEakQlRo3bEg8NK1WtBbZVL gYzmh4g+1AV98Du9IiKh7NWZbxiCUZcEMlc/UII+Cfx6NDWy3jXaXKi4TpHyOGj1NBACeG0ROxPF y0tS1exdJgouFV6EBpMPJgh5O+hWFvM0arfLv4k6RDx8CrXrhemPJmjq2BHfKD3uO5uiPnmpZQbQ HS+3hBI+2QpQFvX+K4SD+SHR4dwEm90+6GJvfasMdBJUPh6cznt/LSEAeiGy7DP0HjKbTsSWfS47 1NuvdqOJcIcBkmIArGCGZEC4u+72/gj4nRvlBHKsi4CCje6f9CJRXICpD5QLLOFaStyjoNn8HITB btfhqoIZsnQloU72HjU/lIfzG8nVkZV0P4Le2WrVBOr2aoa+olq1ZmgTt80ZWm17wTUKnM32XJ7L HOwGO2HzOYJwbSHuAWEtS78FMmC4vNAatwuM2IrPO1etukBEl+YIB4M69pJNUn2hu/HfTiEiB4pH P+9MNWaTmiAFgufkcbeLc5zeJbTg8mS11miCUBzMVI23wSeI1nqyTkHPZsh8l0qHBUFEJpuP4lk0 Yfq809TuKSSrXw0+QeAK4BeExOE7S83uo2eCMpXcCbTUDEXxMwQzUP+aHgNN70rX2Qxc1GmXrGPo PYDGeQ9MPk1YbPDE9BwtoG6QpNYQ9xYFAsSFo08yH1dmVaOjADoJ/bHW9EFZ1DwBe5c6VKufd4oa dkvq38kXetJAFfgMhSE01Cq36oaUq9nufb4oAU/Fg0UHN5bGohgaaKgzNRCftMFhaQv2KUhkUPc5 +eliHjvQzxNSda9b9xHXMQqiG9HWtRHgyMGC6FJV1j13y/6809OwhsxHzgIh9MElk8kJisJoZCED lSzP3otn74OeptKihWuRllAUR3eKHK6xlw+OuEYWsFAARoJ6jm1EiFCoQ7OHxAyq7xiliHrG7+Q0 rFNqhk+2bDUYuJHNaO6yPj/v3DS+43eeUfiRVDnkDL1H0rjJMiPpaixHYgOEauDZxywMIn62hKJQ GkEU1k6vPtgxBLXeM9dtCZNOrBWrP9hkBdr47LDOr27GeyiNEgWvsLXuoAmC1CS7rSf1zZ93Whp+ 1fS9hGhmSUVJTVAUTJ/jDtUxxs3rGqKqOpb0X0KWfzqXKIymVT1c8w4+Qa2e272q3dPAS0tq6aPf APSehESFIp5T7xpNEKPFtO9gx8l5VSlBEM0QhdOGycQEkVADxMlqvoTeKWlMxmjR2PatHzq7sROY yFOoUbQBM/TOSKsXjL7lcbWvx1VuMM+dSfXVk5edg04ORagCNUrQX/cUCuF+3glpVUQVXIxaSVdF ASUmasTAiDB/3vloVd3fJ55Gp701ikBwgqJ4OhPflC0CAlu23FOoW+LaG/M6Fljf42ksRU5QbtMH T1fP2y/whhlPU2RUHUPkZfAFyYWZo3H2il42yshIICbeUYOhqxpMnDhDbX7eyWi8ycZ3xoEvnZwB zVBUggZ6sMtRiD2eca/6SYlz9Ximm1m+U9GqdFChIWKKIo/RHjEYDQ7GJFmNlUzvGfTljjB7tKdf SH2jdyoaoyHizzaPaw62hs4NudSWqO3zTkRjB2R/3fXk15R511AUTyMZ6mL8EoKet5/TIB96tSw7 pfGdhlaFEET3Yt3BjyEwJAoTzXN8n1MukYlNWcyGLLXA0c+gMJ16ve8stCq3oAJcTfPBbrLz85Vy nLz4805C4zHWvmYILZGatpeE3jlobF4BbzatyHoO6rUcvMDOm1yuslc93iloT1qfdFCne1AjXTn/ ocTMWUMVYuistzaxh8Gxnle7T8yhdwpadRenbPoPy+2xEYSmJM2eND/vDDTctvIVuCkHalHpzlAU UFfmZIxeeA6REai8nu0TnkPA4dg5FFWkmXIgXV0+OFDoxISoik4VPjYV/HCVAflNUm5vXq6XucM7 /cyKNJCgIbi6ZMe1deAhsiqFc33e2WeMx79zMgZ4o/ld/04+w8IjQ0+1Vor1FDt7CsxzPK1H5KAl 9B5P46Bm2TWLzJgvhSHBIKpV0sVOIgL/YQZD8CvHxYmGbR5PaWjpZd+PoaUuGEUaNGiGUptuzJz2 5516xiM3f20yALLPcvC0/p15xhki9HWYMP+a7pqSAVH2ngblLbmE3gNqxLpINaCe64MdQ+vcP7jf VWI9B+o279RuisL9CvPTxYmfEU0QCh9dblcdzuPmy1wwW4xwxt6fd94Z1lD9niGaQY11m6lRaRrM p5NPVUN10G/JKvfOagSy07HU76wzvH2hIifqmTbYDCXqq9GP+yRO5xBSkX/CZ68AHExUvmpngz2x d9IZvk11w6o8eKrLYeQzaab4cSb588454+PfGQeqzGf737s+CqghnTMAubDaGRkH5sGzrjL/rsYV fiecsTzAigf9qzXYBOGWTKD/e8YxCycI4PsyEKyOy6dGX5bv+tce+7+QzoYSOI/ek/h/3ulmiDZH /w6GUKGYN55+Z5thBUH+bWdzk4F9rk0Q/nLxljNuYrWcw3iatlXn9Gk++B4719gJqJFnJShiTvO8 XGwH6Xe9sRDRHO9kMza3WBlih1aDJgg2CLQKqSeP/Lxzzeqj+VzFfwU9YE0/hN6pZlXiwPh+TXlu s+ir0lleyVdQm3YIvTPNeI9hZgpotzY4KnhDgKmSjbcQGVLiANUcdgnOakEO1Y34UvSu0QQx01CJ sV3hbVz6VO2CLE//vPPM8KsmDxYZ9hRh33yConAaYkuQKba2/JSpDEMh4rZMKqzZPfbOMsPyrzye yaHWYCuonR95LvDlVDzzdticMsABynxch4kIfueYWSkd2TyVQjhoBZ3wfSWtoM5XjC7639E0Nv30 utA7wwxnB+rDIvsb67V4dTo1152n0L4m6D2aNioHlWp9sAkaiaevXJzOkU3BMKDOKFeQeBBcOVVK X7zzy5hu8ILfZE411LKqcB3rvCtnCBIbn3d+Gb7EPb/2GNWC54VMvdPLvLQo7ZwzQ7CwvtB7NTvU JHMO3ju7rAqvDac4LaF9l9CJms+XMNc02cINigL2GCAlYJ79XOo007jPO7OM8yPIFI0LOGiHQXg+ y+Rqzs87sez/hNJsB+3i6dg7rYxtawRslLYV8KVbNfEkrLM58AUpvaYnqk0nVV5REbDBd1iBSCsz Uzo4ncSFag8J+wS9g7mu9UWn5vw7qaxKrvvF+BwngMwaz6VYP++cMpbN5neugVtj3nz1nVLGvk3+ wiFCtindolC/+Sq7tej/vDPKnmxsCeWxrq837nkwrrNVXueJYBsrr2iOEYYPI1cnS/Wtl43qZkJr ylq3eoegng285X2x8CW+h9KIEn41gDI/8l7zUSiNlQrN5mXtDaE3pyhA3t4oYAjrFotr0wbumA/G YzLZ2OxxLIk3rvM6FDKA0QDEvPFWmB3L6Gl9/s4mq5dzl+R1hS4k2zboxMxKrTYUuD7vbDJCML8X Eahz0qvVFIWxND03byO6j4uCGW16+f5E80aXfueSGcKRYijFB++RnbfeaXGKMhjCndoEnTET2Efj cfykKOnnnUlWXeqqLJ7WhUyjqcJZRcSFQsaJiT7vTLIqfddnhiB/SSCXzVAUTOPwA2pBPA0oXXm2 0bNHRSexTB4rRtXpKZmULXXZ/ajLNlj5qGcI5u/sQwg8lhbHiTPQ+/A1RAuedxJZlRQuiq7PoIMa SqDU2D4H9v68c8j4it8HNTQ2KprRBlCMgmnCt2dlVYis8v7wf4uX7xuIZRUT9M4gq247vJHv2nBT +gW/xqzy/cCZzZSepuvQ/8zUdtMaIon3884gI36Xpt6yhx+L7pqJCMpNn8kfuK9+3glk3KTfsRCh VHv5Sf3OH+MNjeSWuT/hMKLTDYohAOgoR0uguhsS1nf6mDWJUQ7SEup3CRXkLWezq0dW9mSZrEEi ZwBrBp+AdpuISHA+7+wxfAYP6tyIxMuXfQU/SzmPoaDyeSePWdXhawmhDDMvHOadO4Z1S7VU6E2R Qr6GY8ZP7E/NNMDHz72axNV8p46xA8oQsMqkqD4mRejb7olG93mswF5VxWnkQ51Gt61fEDBOJL5s cFBXyTa17oMBqpYsi5G2rM87cQzpSvOEjGoqJNm2O0Pv4bRBOTelD3jZM2lE2+c83KzGCOFTayK+ 08buZb82ccAcvFMPn7QE/T3UzTa8aNngoPkcBLAy4trrtjf0ssFlzxnqokT1S4mqQHyxx3XOo/J5 p40REP679DqBNrmbLCxOw6VoX4KGCaRRraE3L06DEGdA6bA4LbQHAZ0afIYmwJuVIHucp+fEIGyP X2GmdGP2lJW6M593zpgBVlEwYyOIg+X0axUFxKXmzztlDOfJ/p4gXIQmIccJimrTE1d9l20cfRR6 tnOa/uRqImbaQHAJhQF1IoZhcp44eE4Pru8cggOjkmgHFXWyB0KH2//ZhAu908WqW8k1yqZosDb0 Odd0CPU9Pu9sMWZW32iYgrBhXCTDO1kMT/XMopB2U9+J+wrx9JJz9XSJdM3Pn/E0ddV98KJQKWvW UpWxjlInRWY3b23UWgoz1iFI3ta7BiQWSg80uuRp0AIaPalPD4WrzztRjEeYh9OYWZqfs/+kCYrC 6cwzqG2H5JXr2YDelu0wcsm1w8JwejCXpxyxBr/GUPbuiTr7J/2rvPPRQ0O7IyOLrHeCKDD2eSeJ MaVivoEurQ2aoFn7Ut30bIXPO0fsN54K501HSl/q3WJhNI3rZO1mAvKrV1fZH/JBJwc6EXHW+XOi JiuXUFHRozxFDwgEn3BXffoElTVddaTMr6Ts2nJWVPj5GcFFX0TxUaw4bl2xQDOBVSEIfbUI6uFq MRYJYW7S5UG1MJjOLLy6hNUiHJlLqLgVMS76ZPTnFlWmGdicnbOzD95jnRARW6LRbVgFZbNrRPAL oHFeF7Q4NENRNK35HDxaNWiGVspStAT6B48HffpysR6ktGDLzuLhdI/D6UFV/WHYaaocmp3F8MIZ w2lxWt7DaUlwoh7Utg8+RWevwwRKqMUKWWPtMoIIwA7PV32YeFl8RtRjZUWom+OeCzNBc0aOVoAf 4fEIGZy/jiG2AEC+sQmKomlqtcjJj5usXEfLKuVPTtCudtH3COtBkTywPboPdo91UDXg/ogJgtJA HfJNgSgpqKJb0kjSiBl61yjdYKxIBKUGgRYhGK7KWT3pRo+i6VS/8VTDap0+QWE0zU3WjLBxLrLi xfu5bgdxAGvAjLVHtWkJCG2rD90wDkiqc52uLDDM2W69SS++UTkbco+gXDmdFycLPuQvxFmpApcX bk4mHCfRI+DpB7bPeD6gHP4qe1DDv+WbtPaQdFhBb6H6LYKh3pMroY/s+vq9FILyOn9OkJIJtthJ r+PgWX1CG7uI/oNmn5Qr8R2ARoGyQ39AZ1Sr6FE8jWV9srrBPjQHq7/mtMTBOLcLHo/S+vSVcTAc Kve27xHxEDdCp8okc7Kxis9Q6d3rHpl8Ga6iGOwhtmq9g59DUMYem/I5aQBDSiWCDiBKy7SnvwXq wepijwrUS5rVi7lrQSllMOHAwuJdBo4jHg8maPwqDNETuvld1sN4Gu7VIw13r6BCmpaQvOJZXaTf G5dQzD7sYjsXHx40zJkHQLJQoJ6ohRP5OhB549PxP/k5hHnFZwSXvdSXsORssIwMgbGaFjgqo4B6 /CbYJSrUXnJmWKDukPOi8g+FvYEzs6wegJ6seJH4E05QGFBP4ROIq+JwWVJw1mWp/Jxx5zaXw0UC G7ZTyBqQaG+SUbK6RwXqSkBVk7EeB6t7wEGiKaufeDyCnI2vPYaKQu23i9jfI2p0rxMxMEvOjHMO cxpEZ6OzWsS7HnvspBw9iqj3FoVDUVG9jXp0iDOoGsR6DIiCEfnaWMoGFnhQX1AkO9ane1SfrlmQ V5KBOAgMcxa76Zyc9A+PR0m9n9OazO1mepygCDvd6WxL0j1cGSubWVxChWxqLCFgV4sKQz2Cetgd NmTIpGC3sot4rpk1CBlIeaAH2NXjQCQP8uLMd4LQKqr4jCAYovspIZw2aAWhZyt+wbnP8Hiwgm6j XvLCavF6ytHDiLpR4iSZ8sICz9g2GeVH5A4zATfgMR2Vp5eOnyZcVXss4sAaPodQo3g+wulUdAqd r7pBdSmXB+zRqZzbI7CHtODaaMUHXWQr4T/4Dc/lhscjFvSvuz6B7NGmH9Qjiqhhq3HioTY9b/Xe z4n10tXxTNki6hEVqFmgxfWXfLhZWSqZ0QqwnIhBuIjWougZsub8uOjxoB5RRC39t17oVNHvZUDL WSJJz2mW8XjEhP6Vc0jc7CLwRxRSd0lUp22lD9qrqPSxlhsxrenyHSMsUPMG36MXHzwaAvEnJzlX j34uZtZf6Ype0dCZDxd60RxuRCG1DIbOxVt9sB5HtuLiuZr4+L+a0cxxEWJwx2qCYvR0ZlLmxqc0 41QwlK7xac3TBDxGVKDORAqVisrhGXj1Yo2Ufc4anDdVAnCoITD4Xrpm6Yl17/qz7gc+I8B1Np5D XWJCHYcA7/qBk47B0Pk/PB61OL4r+AXRx1hXaSAkI+Kg3rV4ziG/PAGGxro0oOF7LIqnqY+DqpkG 3pycoJOUbtRc2a2vgOSpDJnZhz7T2tNz00/MT4z24OlDmIYGQ5xB+kHN+tnweHQIfS8gANmLtE05 P1E03cng2MXxQjSxF6qzXENvUFJtfqJoGjIm57cWHCZ9wWEQN58bfGmHjbyk4wFu2g+lpDL9cqwH tOxlgy2mqtncEmXgouQeg4Mx8fPjbDY8Hy2hewoZ+OGnAHJncxQF1IjYHF5O/vi+JA56yEkDvrtK zogC6kXuyi7q2pd5K/gAvawu8DQ4eFXEe9g+o+jR5BFlFWqS6kcInhbUo8gRttxTCGREHrP1BA14 PDqFvsNFzvcjlDNC8PQYlOh0/D2l4oUuX8XlzAoVjjlBEXga4MOGu3z44JAYyJnNJLvzDGkPMYV0 hVDxPN0uWbd3jbpklb1RcqI5aIIGCLeydusDj0fRUPoKF+nk3C8yeEQVagBDupy26AK39xU8af7H TpU/lvBHFE/LrSwlQqihWY5XZx8RfY1BoHJKDdgRhk0DRf16zo8fhLnXTvD8eHxGEAux+dO2Wh3b VylMoqd0A84tgMcjBsf3BIG1XcBqswkK4+k1rqoHN1v3HkeTwMekssT2iz6Mp6eS1dZ98IQDMl2E 3IBIdvKZvMRPQMcL7syZErY6qHe3lw0CastWZeONrMbQ5eeP1Lw4p+9eeD5I69s3NhjCzqBT+xSF ATU86xcTQMZChGmLKEUsF9fQADBeaygsUVMQl0e+DT5F50RCDVzCMAMq5jivCmSlGqXq4R1uRfxN wMcI6YhUrUAn1weFi9B7YAG2zlLweFT4uAVYTBFOjtof5ZywRC3k2fLEvhPFmZm2Jg8XK4sySOxn FFAbpFWwMg4OGjpH6ijQZUQFFiLmS7Yv8DgC2wD+BQ48Y8oxQ8AHxbpmEuU3OeX3nPyGZwDSBo9H a+gXqooUieK1sxnF0xVyeKMQYI9SRCGeobLNwXoQtafrstrZDOmIcljq0j/pV/8E0s5nRyWtoXM+ rZL1mzRACk7WCNS9F2BRUMVn/CUXWLNK1NlL1HWhMNE1QQWP/+sc4oZEz7pffPmM4mlgLE8+wMWC wJES7aZhPrPrBRLHhE02YzZiVwMo+WCb7OQCcLuWW+eJk8am4mIjQgR5wqaCuQitFB6Y/6hQU0pV gwofE7bNSiJWxeORdsX3CiIgvg6vnc0onkbAc3LS6RSOOi1eLHmOK3/CgidXUFyfJvo1EYCfLtLg ZGTjbJxBtx54Bp1crVOpCQdroZtZvTrB3Cj4jAjuQRZQZ97BQRMEAT+m+CfqzHg86Nbfq17ZGzoH 6a6gKKCuFboDsr476ZAqD4yFZtmXoUDTOK6gUBjPdMt79eGpvg5I4HIFwSKg8pg+4SM0kM5JOfcD wMexgs8ItphyVfUTOeiUhroqr/pWesXjQSOx/1pBoL3W5PfYDMvTNDNPhlw4X/W1VazTRachMdTt kI7gHnYG5Z588C7QyLO1KcTQ2VikH+KwQFCALx51ag8WWVucUTRNIaf/6z/d0WhlCthO6IvH/1V8 JTIXchCUTtIERXiPUXm9O25x0RBZ7tOibS56ORRrAc2oPK2UNanfysHPoIojG25qKAuBLcMeyICa WYGKckd33QWUi971r9piV7rRn3Sj9CYPO1To8Pi/YIvCFOOL6LfTOkMq4iTorFxlzu3Q1wW5DvdS 6pa0zlAjrzBbLbv54EnruS9Q6mZicY57+Db/0Iui0Nfvh7RWEe0W3ThmVJ4uov8YcugmfecW6Gq7 1rX5eASp+oVbBHJt3k7rjPAeiD1wyDicIXv0gyXklcVecbXwlI7CaZpO54SoxgbHLYKtPCj4Bmm8 bS2g2RGyoc6Bqrz3yChSNaNouqnBMdYdrOyxp7yCzo3f8XgEGbqIGJaTqOO+vDw9QyoixF27omn6 KBLXMB9XAHqW7W4khRlqe/AeYx/QBo+EEmzeYTFHDaaRKpUYF9rrZ+46EvFLRaTB+4yV8oj3mGxF c7CMDNYDUgbOBY//CxHjLI4KII0maEWxdCYAf1QresDC+eeSWasXPSgphyW0IrgHfgJg03364KAq 0NFHV5sV26GS5jKg4kWzcYpFfUtvrxDuwUysSZahXVkGVixZ9MAtgMcj+ZxvITgI5pKcbRMU1qax glYygcUzQdXJrPUqnp0Jai5EGcI97AJLzQeDl7eJdhZgc8i2SqLUHFJWzAko15D38No0Fjo+I1LK k/QSI8b6GAOenGWp53TWKB6PUGfr6x6DVUQZ23P6FcXSOAtOsFKcML6zqzJcgzckrE5mXX/CPXD6 TA0ELTEbQ5WjNGqQJ/rSZTosE5aAowtBzBdhvOEzglDRDiEqVXGwLTZqnwapH3g8Sja+G/UMo+f0 U3qFVMSidLVb0WNcF4DWUvZIiEYHnKAQO60YUdZbezwaXpAFOwtocItBzavKJ6CxmYMeTHust3CH 4jMijgvOoKknORhusclS9Rz4eePxKF31FaTAGXEVKD02Q6HIdKH6WzJ9oQHhJ0GDa7+OGwXYDZ7S K6YiUv9us8m85KPCGVpwl4YELi/6NU/UxXQMDY+mI/CygBj+4TOCutlUf7VWH5SvnoCKDSyEnBmP Rypndwld7KtcyjlDUTQNVdcTrjf3JJGzNE3Kc3IduMrSAGcoFvYYAgpVHzwfO2fLGlv6oeOcp4m1 NZIRyPrOMFUzd5tE1NmK0B5iSLWmGnVzOiKEy6vkc85xgMcDtMej4s54GkSpfHv1K4qnYZYMULCI 0COt7jfZIIxQRKnkVLIVxtOkRJ9rvfngbdYCYtmkVB6g8szuaMm1kUHlH6gQWFWI/rH4jGiGpCxE GhDkUY0GlCaIEMiyzkmHx/8lUiUVkPGj3p8mKAqnz1GAgxoaaWS5CAfM429SR5nR4uQuOynrCvHT Ood2Gj74OVRQxQUrDSuknKiHjsEd3fyKNLth+VjZjOIwKwqnzUSiS7DzVp4grEKgLjYvX/F9l61f TDKax6N5bxMUwqcb+Jo9u7DHvv2NCnKYS3IXX0FxdZpqFHPdwa76BZbUgoI5m2QV2kvcZBA2HZ2x qDuTF8pi4UMCCD4TjqrSa72lVzTxKGX3c9654vGgU3/LQixCUhLi6pytKJxG7QA2xla/FxfVqh5X tHyn7NFiLDwtQRMW5zn4EoJuVGJsmLCaUBECpIr+zChzZCgkeDQ0hl72L6IU6L4+GKTqLCEBYs7Z icejNdS+NhnquG1eBaYdxdODPbK5HeyR0vxxgaHHp4VOa1TmDtmI8mibu/vg5ft9HqdzN6XyJgIu bDK4VFVekf/bSHpH8XSTyXZOxQctocUoHb90Gnw8orn4KaTQkAgjj4b2ezwtrNj55dxQYq70pcB0 C2f4oyYolvZgnsVjRYMDhs7Rw6SV/Q3UFbnJKqquHcnGuPpCIPToXYO6kLxarMsxHcN+4qtSFOBA FXe/x9NIq7/DReg+lXrVc3YIn4azFv1GjPCbL2s8uys5LBGN5rLDeJpgxO1SXpcndV494RbLdP09 Vz5AaCy9guGaCcC6Nz0dZfEZwQRRmWFkseuzp8VnXk+cqCbiCYZ2zEW8WAbMZh7UBb97LCQjkmo3 hlwQRy7DWRzjAZgvxA2aoRjsYVzo7IPvsZNHnoNIZY85MiJhXmSLsPJsgp3jqZztKKCWHGWXGly/ 0sQn881LHIxzVuDx/yS2iK3cbjy9Q+z0bBe/wJQsubTHVCFfpi2ucrZD7LTarF08MTpRa4IGoGFS OjqBCiaMVY8BP6KKgyR/HUKs3u9Y3INRokSJOBjLhb1u/IInq8fjAZLhap9IhxugkJLvJguF8jr9 2KqxXFZ21Xtgg5fPUHOJqh1iPboEB4jJ4+Cb7ISLJw5WaVGi8XQBXIxmEfvOB36f7V2DWEjGNrPf wYqvJ17p6r6nhccjGbjvJQQGVOPBrwmKsB5oH59UtQ8HDPkxDa1gLy2embayxw6F8lyGsvrg0TTc s1Khvd9JLeqJpln2ALqsgAcsZ7Qm89qmd/2LrjklXTEFomLtdeoiVgtxx9Xp73uMnMZ5BU13KO5B rEfJXllM2eXv27gdsoJjVBMUYj0kWq4CI4cLhkmAVcm4dkFxj+cUdVbKmTvg6GwFFRrs4jMiuiaD xEVCNAcrnHWztzlh6cDjUeEs/7rHqNN3T+mwOE3TsXU9SkRKoLwWdeiMSAasIlLWHUfTzMfoeaTh ll4Xjh51yBrYHDR7WURapJOb7dveoMYLPiKqejACkrMfB7vGBkWZMD+LjwdVj3tIZ1lMJCGTbYKi YLqNRmEqc6zdxWniJ27bxXusuOY0QRHSA5UjHD6p+mDpBnpkEGForE3DL4b6y4P+lSD9zwcLgxXP z/hL2WMm8slm8tpTOSdES1LETQOPRypw3wQOBGGE2WqCmE68f+pmhDit6jGXsYEy1KanW423MkyZ O4XRdFPbQhSOfikcCaiuc2IUAhlQX6msjMDZCB6w560pRG94Iaow4VMCwmYRJliN6EG0keJF6IMx rT9rkz8gwnZ6k0M42Y2M+VqVpFAtTzttFLcaRzeCcI/UySBT+YzoYNrdhDG12Lxp3METV1A2z1Lt nKaO024xLUNMzeMBkDWv4o9trxsUYeVYm6T/mrx+gLlJzKvOxmh8Psg72ncdn1Zt6cZEymJeH5sk u3QrMu7lDWkwda2aBvDe8sUUgqhZyV9TBtHzCRvrOKl97zK9abidWSDC1jhf5PnHqlc9eC1/2wjU IJ8JgakRy/KqhxlrkmzGODcHf0AQGN3kQ7casrMv56SoWI1a+omo7aw+f5qeffTujtrnTsdXSD+F FPohNi2ifQdbSxMSDV5Ga3Ap499EjfanIVLN3G6ewW573YjRQUf2/QyqE9VWprx9+ip8/r9Q7wBF re0KUatJE5ZjkT7aWhrTC9bW81gS+3BPhRRVrOlcAqJ498FTkA7PtkSx7szQkig1CCjZjks00iYi f1NHGJ8SoIVpyXF2SvXBETKjTkGIYKuQQgRI8XOJ7XsgLAaJhTZNYdW6En3WjF8GFobKjACDDzuY QLz8qTqYwrI1RZRJEbfBG9QVzhWUo0jwMtmqLKFm+NOR4m7ieRRmMwigcvl7RFeklbuHDwaTOVH8 lvlE2nz+fZZq+hY1h/hby/s5l0Ldj4n2EAoD5JgtraVMMVhwgRgGsDlip3cUas9hB9L2weMA8OFZ oANfGlqnFCUQUQEyW3CVcKnKMe1to3SNPaXC0hEHJwgNxdro6fL5oCayfMOxvzcpj/qspFD7AxcN IC224ZIrxqBnfA/vcg1+U1i8JlRvFbYQOfjhDcRng2YTDAEzqAyIJsuiOv6gYo2XjZC16EMiMIjK +5mtok2FV0zSgIoDBT3OQ3w+6jP+EkIDs7q2dScpjLjhILDkHtko4u0CKYP2l+rEJveIxg96X0kK gvbYd/CQG0YjEJBn6egkhbnKJZreh/DPWpd4bzbIKZSmlsmCWrIcdHRn4BqTcvnJ54Pt9qv8iOIc bCjvJIX4asaUuy/L2+otHinWFxMGeuU2SWENWytpiKIwHjMTzHZZFNQ5EVIDMoZqaAv8TXT1EVcK VVSyrNFTxFjcRZqwktDd3o+F329W7j8YUYaGLze3VSkFJ9zVssqhgeJiRDmnFWkJfLaePsVZNEnT XX9z4KAI1IOIQkYbuv6b52RDHxzXL2Ah0BkcxH9Cl7GAsQP4tnMWaRmdAwtFLFdO0kzbB8v/S53k IldaKIYeiuO20yT4jXbaZb7m0ESx0d62mh411tQV0NUksYYEqKRNUgQNSdkkv7oPNknIz3ditSbB AHghQQA9Au0tbGmCi74b+/kPF8Wh8tH2wbAhUJHWwT0qnw+i7vwdddNVt34ZTYZRNw9u1j1ZaNtm LAAZm+JWnGMmS3JzaKS4pAJfx/LBQXwN9krABpGZB84Q2a/UgUSHtZVrPt5XsreNWkaKIyWbsqnC hEnqFeUXHtx18PmoMesHN48f1Fv6k5oEVopoB6OW1KpNknDdWkmZF10VoaH6SgqD7iWYmrR3xsWC nmsNiLI2WNFG9pHF7yTEHfjgth51Pfauc2CmqNuh7NXIxOdgSL5zwBHfCblPPh9tt28xXdglE3zj kxTqVbPgNlNzsONyimcvzUu2bTjFMwd+ihKjAFJWZZNUbzA5EVoO4lWgbnUiJoZSHRJIFZU+6jBf pNGw1w1a/ASrVbWQKq14tZSANJWbAkw5Q0vFsr4zEzAF2afxWYpkqwGAgkt7sv0mZF9jh5aBUpHa 6J2lMOQWfXGpBbAcbIey2y6LKqvkoHXUEDFL+O4rmuPA1vihRGGZHJoqZrOyz9MHfkhaNVcBPc6X y+cD2Gz6JVoAdYF6pWVy4KqIggkrb843X6owKZrcy6EizC1lf/sn9jqhQ+SDF0zg0jI2mYwFMr8q BWTa4LIJiDDsMvWKvW7EAFGftm8flL71Rs1VhtCbz0dH969eP7+ndK0nA2tFCBKCA9KGYOnnpkuX JANmjkk77Fx9liIrmC55kCY1vXaNTk78vTsCeDVJoBPCThN8ImF/21A1eYQL/G0jXj5mZwGvY4M3 Ac4iY4172ksGS6n/qiptfugzSVHMjQCtd3X5QXP4UkGXzBxOjXwZjTmwVxQ1ksDQ5MOzlPKEWzUb JXCbJiagoMzWUGJAffHCauqw132fJXxKgfhj9kHx5OzgNDIKWJnPB5nJJcvwgsNROZ9Cbmix2OjG gMiG0CNYOzp8LRXTnEGvwNgy+Q+PRblPlubDhRnvfkJ5+bmnExvNqlOJKo9Q4CiPS6ccuUOTRXpW 7rrSHSwzQb+LaeuJxfh8lJn8QmKD1N2eMm7osogTt4MZJfiRiTxQBSNPp1fP4QC2HNosgnOPNSTP 6Xw9p+FJkmQQwklaEFohKw0PIJoDEebCILO9bVAIYDPp3PhZQ7IjGErZbGKdHCjz+Uh87zvopkVo flZS6LSIMLezUKaV1JNX3tYmIZSmymhVayWFVotDkzTYM+Lg6Ru0vwsuNfa2J6nb0AohMJmU7FtS Wuy75dBq0YPuvH2wwtvZNUOHcZl8PihPXrEHho7oJj/ODDk2WwT3amzXTuuUyRHSTy3tTAhJupMU Bt1LyoP7Dg4XZdt6DNbdgObPbB3QHaqjPgftGZ1JhQuQH/IXRbZOlbpBIyEtEbS/omLHCas3f0AU dbevWUIDt62rZpADw0WHkUASRTTi6QQsiFngv6RFTJreEAgcFy8UaZEMysH32zw7rtIrLUFiEJBq tE3Oj/0ZzE/Q7faOQPHXjWAAJKlJf6botiWqFnQjNpfO6cTnIwbNd0Mgs453eY45NF1EDANWi2Aj J6AbdhTh0nAflFEdS5ID10XTTM1Q0/XBMX+AI7GEBL4RkE3s1i1emsRuAlVijW6qqOXQdVEVjtal T9zTxa8nmfCgT5n5fESi+RbYRxRQyOG0SYoK3Xh5eN03i7prTw4nyY9ZDGIDm6RYO8RKbs0HL3SX xNjJmt2VKhno5eL8RYUO6vRGVZOcYw6dFxUqtSbXmOZW5idvXtaAO9uYz0crKX3tN9Rbarvo2hx6 LwId40UlAtuuvkptw6Nu8gltkmIzcxnC7Tt4agK12o26DDr+530KD/lFSxvO3Si3Z0L7zhyaLwoz saSguq4z1glRtz4RBEE+HwTd5duUCTTPWsaz3aJCN/I1wEqM0jflgjJEFvH+G2SGfZKiQveggPzZ WtUH50KM1MEMpVrYWUCN6T+KrgC+LBIJfJJwiuttg0mqAm/Juep+E+diGEWGHay8hQaM5Rc5fau3 8AQBUdANdXKoObnOdbnmXiNt326tsxTQ9YOiegnhW8zKNPh22ydMrGgtoKhUICRiPXEWB0jNffpK y942uN/UnKTXiwZNEsxBRcBv3G4hvOThhg5lxwWK4M+Ge4+6AbWlFNZwD7TSL8CkFVcqnpAfs2mK 2Y+m8t188F4ubNAmVHpQxU09d9d3PGdCOUkRjLZYKwTRudvbBgGlyMWNgHYOOpVOHElJNnbS+XyE wvmVwA2+wY26Qy9GlFTPgT0EbwNq0ulr44qIdQRLtuFiM0YRRlgL0OClbgCT1ramyXmnIfZNJt7+ TOb/Bmzn0IxRTqdL2mocDAo4DGCCUIzPRy3Ke3LT/aNTNvOZpCjqRqzST77gp1Ju3g/oubhuepmO BsyBIWOmhTNcG6RsRJVxy9/WhjCATHb6mOcAISiZOAjKZ/Znlka3t/2LotVMI2tcO7TUW1V5sWW9 5L80+ipLbwXyYffojj0Z6SIj3w9uvOZM0XMnXbo6zR2Zv4WmjJIsXvL74uDxZAPCttKqCeuobbYx M+EIlBsq/cG2q90d2jKqXQJZPh+MHnGCVB4pbaTB5yPk7XcCRzXpdvnGcpV/PbsbCpSpu7JIc3Xw kkf1Mu5c7qtnUevrBXdPZBv8goPSgUnRFQpjEqlTmMWAbgDRPAuV2Dzmh0ScbBldtOmDUSROsM1/ OStKLxllub+8B4HDaA8KJ/ZmHFhAo21bSuMS+/fwBopMmm0pxerX1SGRNjgmYFaUliZbSwi7KyWO aK2JpJeWS7eqlJe97l+t3M72uwbFSkiXlzoCaOUGDo3pyw6erVzJ29/aW2DRCBN6YGHSKpbmsgek NJey7JI5AndeCVzo0SjrL/CwffClBDLnoBnVyUVPLpjFigSnC625H7SMrYq7Ure3DWKltTy/tUH7 baBkYjo+mc9Hte7vWIk2luspUIY2jYOW8JOi8pDTmLlZVemhsqNN4Flu4NOI8D1rDRUfPAgA6bhi zSAyOhHlphq96pRb7PDbEFjd3vYv2b6mMiUHTVKBHg6ruJ2HUojlvp7nTHKRQtBTyiYpLHU3MkZN FLOfnNR16cbKHgQs+mtqv4XwksXTqMvfqj/+VhXu3RmZLMijYH7ykAdb7qxcJM2NCqvab2nZ6wb7 jS5yJ6HpPuhUgvSgeTKvzueD/Ta/DYr41TweYDk0a5ySQpjdGgKJYhpsCIhsw4bAdCvCHLs1LmEm BDf90umFmEuuW5afQEJRsBbK2JkNCJKgboWyN3vdCBvICmURs6Q4s6T1EyQR2dfOt8DnI/rW99mN 3AJb/VlMoebIpN4RaaIMm7BahHqDJFvlsUR1OQG6QstGcgLO9trDB9txQO0l8tpgWAJgCDKsvSBf Myrdq3O50RIJSjkwbUz/zwE4ffpgNUoEdAyAzmXB54M4YHpdiUcQmBMohNgshbaNEG44cV3b1vOm p5vlcMM5Sm3fnnfg24iAgugJGC/aYD3vWdAQqEp0F8RtSQTcaIuzPcCisxLdQUZ7DnwbE1cszKtZ o+RgDIpR1EA79+fi89GO+wYrQVG/5OvFk0PnRjALTjRo89E34Sy2lC56ouOLVgYXWjcuCdfIOy1f zDWkM8H4Ru0fk4TgqcpVDvOTBQT3DE4+3znwbswk7uM8UksgX1cwCA+ruVRb4fNRCy5/ryQEyuVB dIXujZVCWnKOZZvpTtKs2R2LBlodNklh3M0llIpVTh7rPSzOc42It42WFXijZ0sylCPTA6vIGJMq voX2jZUqbJWueYCrABP2I8jyTFy8J7Bd/AH/AgcyhcPdyo6OzVIUd+M7PLvMu0tz9O4pnIjJpJWi kmj7La52VyW40wcH4qyTzy2ifTdcqIEMYDyFAwZ/b19FP2bH/IyIkEP9kU5FJA5WCxgnUuG5OwCe CC0cf4uIA7BSARfxOQpVsuHKQ5an+CaEkSqgvNJavaBzo1gpNnGc3ERVtjz1seU5N1wBS5Vp7jmw m9qUDSixCpW1XS72neE/P+QvYchmKUpaXuteUsUDtGZWPh8spF8+l6ycP7SuHPo4giEBoTQrmMC4 8/ZyvVh5jiSCJ7p+0B8LCRn+HW5ucmLpymyCFqmZVlmJrkENgTPEjC7sbdnbRhx3dk0IudCg1AS+ x8NM1Cqffz+41/4Olcic2k9vKTBzxO1G8v+2BG4x3FctoHSvBYw1vAMXuDl6arKGgu/xkE16OdnI ufc7e0upZtafUoK69cB3dNbBuJQcarPlwM8RASXvtaxybnYGZTs7LEtbbVY9H6S5vwx68G7UpbvT FCr8MQiotGnGTVe2t7z7fIpvnS1vTlMEMUEOBnZgbz54XWngWmN7ImGeemYqPABrqbSOXlf5R/Jj OTB1BE4TF9tUXWneuhJQvlLCR/mcz/8Lh6M0l5p3Hk9+XgOlJvTPiQSzqSBOJXCTBOB8jWWBluHB /RomNeAn5TNHNiUHm6F6brae0MBHK3eNJW/iE3XgZgFOCMenCfcDBvZ5DZKaq0SOSWAgB+srSZST XC08/TI7DTwIzY6Acri5Kz1/hLWPJge32l4EudEBojpDcAO8p043OZZKSYLJoQorCoTZB+8DZLgO 0JwpIeZalacVKHDneEW4hdnCbD6I99fZOZ/RWB2xMvd0VAma6JltgFpQu32dHdCNq8+OeO4F3hjb puc1NDpPdaDNZx/DCZRXNoqlQ2VsdbsV32tg1ORtjNiamyzf6xj2RbjEMrU20jmx5zBHTKiVgvAL 8QpHuRHF/RoWNcAd6dk8eKdxsMp2lS4YNG/b5zUmwtxai8TWDlIZHLOanNeICGsHdoF75Ftk69vP 6FGtf4tKpaEAX+OhhqOeoTUBEBr86OnrJJjGA2jQClNJG19lRZLIM8iAyWz+v4ZDDeGMGKW9iViK X5Wz08BwJ6Fk9fx5jYZavjLrBkEFZY+6u5yd11gID50gpBPBKLhtn25zuVm3tL5/tW7tayTUbkwN N0sbfGfNcy5UFlYT9BJ6Iox0IAaugK5AIco3VqPfd3TsFNX5RZUY7j529n+XO3Q7MdvnNQpqEjrQ 5JQfY5MyI+bkvMZADdD/wim5TJs9PXkVgJRSWlShoi9YMDlTt9bKd/AzGXpFjJILSGAnRiGtrYN0 1zApmQk+r61CwsDnNQA6r7pMX0PKh5dcUMsVIGm1fF7DnyZuuWZHuABwMqDuxtl5DX5wkmeEPC1b NQ1r1G91gg0YRncuaro8RLOj05jS8hrujXXioEb6VconoyiDvG4IHyIsPIkRYl7LWTt9VKKNJT47 FDdt0OScyGCxQNvONfh5jXpwZlkZrV0ge6HpACfnNeRpKlecvVSKTU65ElpNf6SJChA90uyNjuRu 9ufFB+eMDIiPbNaGoCGcwEkA+wigiYX0Lj1eV1Te+rzGO83FIFuVWmZ1tcyKRG9LWG3nz2u0wzf8 PnXIq57NZ+e1xIhrDk5KS3h+/ck3Vndbay4d6w69FhixqYXqq4RbcfCoGdLDML3AY/1kWIX6UZBW glckE/yraExCz+e1vIjfj/2zJOpxutRjXLdWhD0ZzOe1uNgeRYTnxqqsCHJ2XiuLTe1f+KQlr5l1 O5NPiDadJzLRY5XmSHQm9/UOoq3owA7Y6MInbiYU0NB2p/wRVaB7ewDZdCh6rSriG6DOc2dipsHy rpXlEF8rtMWiY8dqHM28q9Fl6ayXiXgZzM9guYzQAc7P9vmBwGvyctlMDp6JYmWTot1z+WAThDQI sD5piy0YC1BdBic1msvCO7iiRta7BpuLxfsl0vG6NmC9wWqVnUVCZ6JoeU3fXcSESLfzRstRuIwM Bppx2lTAhdYfZ/e7PEuvo1ziQzRBU9c5zb3TuubeUE8asNCiJWqB3w2FIgo4RQOAkLxJ7q9y9556 2eBw1oUu9wIOutfb+RASIaGF8HknrOF03vPr6kLJ9gS6HjC/89Vwd9FIjmxUtqe7n8lZ1F1tMdDK DH8VzNBuyrNy98EbQQVk3J6EUTv/36lCNhcrQMj2UQFSurWUtkcxM9X2IMs1fNAeg4YSk9PaUCV7 D5qhsfnrCBL/wI+gd65aM+WsTb09VlvXcn/4DeqNJmjBkk7V1ihs7kVhc72Dle1Ra4NaMkGzcFJR 9WdCCRheshBR8ftrZmEcgj3WqZE5Fo2rOVhCetJ/Cn7Xc2F/3olqTf4DXzlXE2zgbrL3yBl1rUbE spbQsKOZXoS9OMIBNmReaY1mCHz+823WO9gMwYcwVxLMYA0yKHaIKICC2Pi5JPNROoth++edpdZc fW2wtK3BZmg27RwqHL6T1Bg/fUdAmVLuXI6aoSh8hhj4yS1mthhIC0c+aVZXJMjRkTLv8TNSL9Id UZC1wc5pFNnQn5cS7Sq0iEIkQHIYoof5GDOrFh3Fz2aekmbzgZ+BVEYqKjW1+nlnqLVHwM9JkqAL MV/WDIUh9KItUTfDz00tayuydqu3wuq+WWEsiqFVrEdl1gebobMST2y1pejTRh+rqVYNHA9z571u 1UdUxyiIlnhsm2rd3zouHAgTCd/gc33e2WlMbn0NSUfqbLJaPU58J6dhb26q05Tkyfvwmwwegp68 U1mbSyiKo01drM3mg3czzimNaiFlak4IULWEOvAUFSLJmdo7xiiimvE7N61JFBYuhMzfOVg7I6O5 wV3W5+edmtakJ/zsMvoCCLnPGXoPpZMwe6ukYiTH2j0LG7TyZRY2kP5qCUWx9NBNBpUWG/wYghhN 4dd+0sqFfcZN1mgniX+wvzq/ehnvsTTiPR5DJJRr0AShHalosUOgJgqmd/JgiGURfvRIPkFRNI17 5Jw93u1ZyYVEKNTxY26N66qLheH05B0/6J7CwSaoF9gYLFVWT+QLIU7kqcDlAhFlMqICEc+pdw0m iJLqc/HG52DHCaCpU/nV+rwz0rDHhDHACpKH0/kD8jOrHkbxNODJqoCpfEjLDS0hNe43yxzDZuid kPZsMrHTOXj3ObeNy56ZfCdqlvKzAPxWEHmgwnMVs4be9f0m2+xd9EEpPw5OaujbrQjz552OxpD/ zhCxjyCfQujSZigKqIFEAke2eZ/nWhRNUpd4DHXY4qnA+h5Qay2iliqm7LpqWXmAl1QpAwLL2Jy0 hsBA/GlApebC3NE4e0Uv+1d9vnVj7rkMJKSykhmltfl5J6M1OZh8XWW4F3vzm+ydi4aVW3AMrez4 6nXv+lm3QT9PpLMNpPdORWOdjslYbcWHB+6JMj1FMspZL6icESuOQBWqDRlSZF7woLzROxWtif4D BKPqrcVhHgV2lkzKaq7t805Ea7IV/DqokY+Vp0YfRtRIOWZ332EqxVq5jJUL2VkCcqgZiiJqB3fI Hz5dLVrUIVKviQD0CsuuyboIXKzP3YyQCJ16Q8KQwPx5Z6HhQ1gqk7SZBs1Qq0VATQi4f95JaDzo x1faOtB2pQaeZiiKqFGoBAzG3L1XWk6KGd30IKygyIj6nYLGfIB5/RLr4+qg8hwCU48mRWjytNKk /MCjHfQRuA7YQSTm0DsFjZWP8kv4wWFnEF5tkuxJ8/POQGsPrLoZjWHx7/sMRRE1HF9OztF9htr1 uWptZe8307aP51BUke4KpbPsrvKXGu08FyEch3FSz7XmkuIz0hw67/1/xr4suXYcWfL/rEXWhnl4 +19Yw90jwKMqhm6ZtTWy8iVFCsIQgw/ouVq5Xt4O7/Qz/Ibie7Y5fFDlo0AeOPEum+vzzj7jMt/f m6xTGMOTsnfyGY8hQoOyqYdMIakIO5dHIfP65rDzd+4ZDuou+iKDIg5+UE/kTJslxEwbcU4QfhfS uAhwf2pDSx8blBaFp549+aAZSqAXKF5M+/NOPWuChz4tDdCHsDl9hsKImmZye7m+Cvmotsm2Y877 TH6VvUfUEhs8e4bKOxrsGBqoRy90BCEiugCHZXmWZO3FskL5+fJx4juCCWJlelRCX068WN2aGTZI VDSG+fbnnXfGltH3DNFPmwg/zVBYnN6bBXvrxAMU5pusJvcHqaUYWuGddcbKMaem8KDm4GkrdAwa 5APAz++9mDR9o6A5CvjzkjyJ5Pq8k85YuyAIb5ItzMEBi0v113Pe8RODJbTS1zndcUSj9GsTFEXU gDcPkA2teDazm6IOcJ60hDa3LjfZe0QNJYTKY5ebjIMvoZMSn4B30fPzhKOcGUA6ERZCrByVBXfb Q9D2eaebNbfgaUMeGMOrK+egz5Owc0iSft7ZZqwTjq+slXWQeYOhd7IZUuVR6OiwLwjPKLBAFHq4 OAE8Vss5DqgLNxeP6bLvMQ39nbqgtMEJamjwKOamkCgT9af5QzDHO9fMuluUU88+aILOprNo8YSj n3eqWROJ6as0BBjVmn7TvxPNmOdwgkyI76T1fkyfH3APoUWnGk5QWKAmRbjSgEiDTdBJE+YJ01CV Ady1oDOGOaQiUiZ0eovMhOpi0bf+NUF99TsoFNoVdxmT1nMKvLPMfp3SChYJfbuYhSicBk8L14lZ O8xdPRSCKKbDptuye+ydY0Y4EKuKAO/Z4Fl9OjHHoMj7WUGooUwBGwDpoLT1fHyHs31rkJI11sxW 6T5oBYFaZGZynZ8YHdK/o2lUzOfdYlE0DdLmSMmkCU7El5wbDOkk6wHt5FTFd3pZE3sAM9Pv4BM0 a17Mv8ASPsd1qxJeR3YFl9NMyVmpqVL64p1ddtONUZuqHyizCtcBEXpWT2fK5fPOLsMfcd9QqAtB BAzn9HP6nV7GXBk5maTU6q1Qq8u6nRjch2Pv39ll+AtpclBytkFz1M4MVWJ3sIigXzwotlaogAAX 8EueJjv5884su12yLgWsfrW2oQMhH996Qq3PO7GMwfR3fZqcKWpyaXreg2lcDSwHbWtxrDa8jTjZ 2RX0pbiw4zutrMnErGXBVjXcJbQW8ryta6yIpZ+UVWFGcP2a90Wn6Pw7qwzLfWuPETDNwVBl6NdL NKbXzzupjJWz22ilBU9TvHDP6Sic7lxByQhkoKLcypDLqkEgdBtS+p1UdjMyXkU2WCgEemLaEAlC +bWeu4bgqQ4cZMNvhkb35Uv1rY+NimcyBunZBxWoIdZl3syT3xjssnXbQISEZKra3E0WxdNQ1kaT w3HSy3N56OVcHycyunmVxRVqQTy2KNPkxU9JgkAoF3sZGUfdQy5FbTJApNozpsfSejqgvzPKUMdi aRpqfhzY6uccndty8XI493n/vDPKGG6ur4AaPcFWL4rqnVDGzgHNh61Q1qHrdKtnxbEwtbri1Tuf jH0ghkOlTh8uGKZBiU98snNk9EZ9goEub8PaG4/v52K8+M4mu2d1A+YTYBjUKaeqZ+egJHBonLDi 884msz7ScxShAl/7TVvfuWS2dAe8gLWIdkue1/fmNz+sVTweimrUUyDFpe22HkXnUmgraoSEDlEf AlxxPEOV9we1dV9D27416AOJ/kuTKg06q6tHj+gXfN55ZPaJT3kRQKBal7cS32lkzS1ChuHGOyR/ H6krY7pCofL8NEzQO4vsKeK3Z3DEEGhjJgqKFF5axqmhbgEPLQASqq8hss8+7yyy5kLFK5ECNPc2 XXBetURwYf4/7ySyX50yBk8Igvf2iPGdQ2aF0045hWI+PGg8I2KEjtCZFrpaQuuwcYaikHpOKe/W 7IMf1aM3kH1pVbDPfpWhQyfmE/UhXP7eSkTm8HlnkN12NPQbfVDt7MTKS4iGE5p/3glkOAfWLxgw +hKo+9gERSG1dBsqJVHJW8gmwH/CNwqnZd5lpLUC6RpVqGFzCsdYSV7nxyN+FugedyZlsJUjMBTa nWeCSJc9/+oigXEi8WODg5pElgampw2GqwKiVp2ysT7v5DHG1N+nkJBe7c7Qe0wNLSPkYqJp4MSm YKmU0tj5FIe8eQ3/nTrWjNB6cq+ZfPDqYjmfTeFh9oHO3rBNhoMdERvwqo/j3tDHBrc9bT/H4CYb w1l8tUGGhUfLOjH1O3XsF1j6Yj7SBea9M8eaKThvlhxgm5JScm2rPcq1TUHvRmjpsELNfj110W1w aN5ZRWNO5a0rIVWdYikiZT1/VaDPLG8FMpHfGuWthMPQvlyDVT5I/2CqVfPnnTbGDkD5xlWhR8a4 VRMUFahRUzl/4mWAhk4KKputXWkZWomFvuNcQmFMTUAVBLl98MQejOqeRBqDdBrk9lnlh24SQofb BNpEDb1Txu78wG/cB91jsDQmgKKev/TnnTHGvvt3KFRQh5MFOucnBnxQEs279TvfNplcutWt78MU Ut/5YtxiLHyojl+vcfKuDSpoDTKySFshoyC5eWX6qMzM614NfS1+awSrEg8hFR8s5zjxu3wXzi/y eSeL2RH2LCA6oM/mveh3qhieombzNA00APO8PH3/Jc6gYnn9O1OMO4wVj0bWOAebIMjq10Ih0w1Z 0UyqD4D2GTSW9UP9EjuCCLl/J4oxq9q65++gCZqK99m1WJ93npih0p8JQi2pQDjAJigMpuV/3tzS ck2zaTzXGOWHRIN2ta8WYqdJFKspJR88a8XVuGm2mJCPUK+bDuCo3yfl15a1gtjHdwT3PK+vAb6o DVZbRMLBjAxaXy3Ce3wRWnjRY3LSvLFiGExnQKmaSw8t0eewhCqZ13K1YFjGJRSVp5NCoLSGD3eG TuwzpITCWkGlfMUsVG/HysrrYheHpihEfIhl2J9BU7SKNN2BAeLjAe6spK9TCCT+Mi8+uEfRdJco wzQq/ZyPsJ50LAWg3lbA7+/RtCxLURFKd7jQxdZrpwYOmPTnzy3XwkXTLXSq8lUg7iye9ZBtKIv4 JcDHFagHemeq8nXOXTwewYPLd6zI+L76KdSjYHpwk/Fe5ibrF2F+spx9J6hbaahHeA/AT5sMnW3w yhkZ7rJFPSsL1rG8xnDwZNCzt9SRJBMz9K1BtkGJgV7oQtQvfwbqajKRBc4Oj0fEqF8J6ySt30PF HgbTYEahJWe4vGwgT6z85d7Mg342yDZ6VJ+2whDkpW1wXF5BM1MaaIh0ivqsJxumZnYBIOZ6EHH9 4iVRYYhaDOxs4UosksUDxHzVol+7FTwf0A4vQFiCxcQVLD+pe0g8hHzl5AsYC01axklYv95YaJMf 1flz/qSPFaEaypPUQ9r5rEdBqNtI1IKDK9oklwKNzv4gzyhY0aNwujDNXJ3uwxysAgt+I++iWSYe D47q9KsCi2io3PJij8iHyBg7cLsmzcywgjNU5Y1CgDDVyrmKwnDaGKvscnDwPtl5w7ljChOOcxyp vJbQ+WDDCJv8YhdZXexRiXoNScSR9dxQQCUjA6bMqrP0c+Dj8eguG7+2Gf4uza+yHobTAxpD0oAh uDM5dvHMVfcJYvWcSyhkIA7zHFo+eEbWO1QkKBUPZ4tMi2to6cFniyXqec8h1ALwjuCyZ8msDtI0 6zXKOrFXScJ7FByVUTyNKXluMvyiBaopNkFhgXqgsTGy6cTPzLgny8EqS48JwHNTqehxPM0GM2TT bfDCGWo2i8jeVCcarxTLgcQAoQGwZXgw5pSt7lGBujJQ7Fsc6H1Vz7Du1Wo9ZzYej4gc+StexI7j WWYz9B5QI+PgDZ+2zBnp1CzX2D6bikUQ0UXdBhlHjwLqLc8hgjk0WOVsw2oOvsxM6seySew0TQF1 bVBhUFQ7lqd7VJ6mEMBeMmbgIEDM2FKRhlTAxONBTt++kYsAQtd6qYg9AlBDIvkczsgfYczYKo+j TOVzVhnJo6YKC+/6CO7RrXCms6gKR8iUDI4Vg/TlE3SfjE/+zB1Ayw4K48x3ggBkrHhHBO0UjEE6 HsMtVtCWNCOdE9ji8X8BF3mRsSS0niUUBtRk0MvHa9Pc69qgkt9IeYGBy0fHdFSdXkbxHXe4LAXQ DulGmSBkNuRciaNJFtu5PICPTvHcHgE+usBCiVkrB11kC+0jdcpSw+PRGvqOFwkaE4RNVOgooka/ 6iSrbhK3kRE4oCFfQENxPe8R1acnfUxYirLhpq0b6F0JnDTwiqSzT4lwVDqAYr4eOlnf+pfMwIBl ig1aRIDVFvXJcsbjQUQ9vgNGavTX7inHiCJqKEaDvmG1RZiT/bg+ZXH0K5iXRhaPy9NcPE3WZ+1a n5VxVtHJmIbWUF1UC8FVTz/Ps3zmw4em0DTeETESmbYiBLfBOhwFur6MbxYf/1+60VCTrGV5yjFC AHWmBpz5MPfNghQnqAiih2ix1eJs+qg8nVk5a5nk3yadisFYSFJ5fUkC7vwOKnwMGSEzqLhXPRQG 8Y4A2tkFLW/qJjbTAzqTv+RlADEfPP6vBgcnE33Xsa7aQBROo0Z7ttilAs287xbz9OxkasO3WBRO 6xSqjSFRZZmAE1SoAN+T2GTpBA1JrKq1yNU/2zo9F/3E/ISAD8EZ/qtb39Aj4EV9Eg48/q97jLEi grN1qVIjCqaRPEkgyDj146Kn104O7ATeRfMTBdP0Fz5hv7qs+emyTkgQJirSwxB2oFWMsgdUXCqs H7kdvQNE4/MRFad1Bi1JCS/asNI/4cRbuRGxMc678fw/mS5cQ5jwNu8pFMXT2JpAMRgjcdXt0M7R TZ0KbcRujMQRxdPKH7K8goSEVDAE14Wzo6SUD6+qQsvvSVw5PKVbu6fQJrN+hPhplV8ls9QfmaV9 st2uJtmJFkeEnx77G/tKslTpd5NF8TRcsDrlfYRc7BfN0KfJVEMcIPkpFOGny24Ot7PB7rHz1x0n 69Amg4nn2GbTyHSV//r2yHrVt0ZrCOcz/MB8MBYH7M4J4dh94PEgGFp+03MyQLPt+x7Toa4HMPDT +T/nz8IiGlkcaRk4ptfsXKkRhdNTAl2r2sBPJzh4nN97sLyQCDimBMhJXIHoBFgJIPPrKHh+PN4R hUJq/azpgxXwVycM9Rwra+PxiMThe8x6stheN1ocYTw9eZEtwzIIRaoZErZzqvzqN30YT1vdtXUf fI9B9ImSmtRYPge1CeiiILsAhaSKrU7qrTUUBtQECykBhgdccYR5bSAG8fjdC88Haf2voxrazmU+ wVAYUGMRrW3GAZ34JyNLLV9PkA7zRRRWqIt4ZDITXLeGXwBSRvdnstpZodhIww6QcBoVE+AKZkV8 8ubwkqB6JvmBRKPTnlx4t8HnrxjjruDxqPDh4SJPddCN+42GZlihbsjmlym9I2v1Zv2gcLUWESRQ mNfPKJ5Gj7Sxw+/DPYdYGto6hxJEFwcNBuDSQQGW8uDOmHHMEO4hh1xZbXGwXj2I9uwup9bweDBB /XsJkTy8iu+yGcXTZ9IB96Aofifwo1laD2T4mZVMmgSCVOoLhYxEHr+5ik1WHzZZRRt9TTpRAbHc 2Kxmc/QHi+sHXXCvv+JPgnf8VcJvgxqdHDRB4J6w9HUmqODx6Bz6jqcHOtb9QsxnFE+fOT8xBL0q KRk4CcbbuuqrwT0WwUzYYzMmJHLp1JJ9uJUhOO0Qy53Iw8u0iB9FcND6s6lhLlIrxQdmWKBmK7pO TlCdPkF9olMg9dJV8XiEZfieINBcWr3qXTOKpxHZ4Zx2FkfbFgCdoKwkg7+u4lf9jMvTzaNkG3yC wEU/f+HMJhDw03I6WWwk4vKuVymY5Ce8468zaNCIUIMmKKMhSTjMTBmP/y+ak+qA34tsRgE19jWK 6iLbDWGYBVus/bai6RvHFRQF1MasH3Sfynf5Q+Bsna0BCyNhzoY6rZOWNKhiz/1g8AGSwjv+kq+Y kmPioEMaS0hw1nNn4vGgjdi+q9P0UK3Jr7EZgz3IrPeUdeztYI/W3e7l3NREB3f+nOgMEsuuDx98 Be22YKlMKhmhH1QtHxTwRH8BchAeLLK0OKNouipl/U+XgJ7OSpQO0xwDj0eVs/Z1i6GX8lV7nRHa AwWnjnKWoRbHql6+l4kg7/mGg5MTFFWn4c/GKHH74LVXKCp2GsQkVGGzOtELfxliHIA9vRLKRd8a HdLEUzWK33JIlpBtcYxBTcbj/wQtMt/AH4IMdM1QFE4D3nSOHpN/86SegKqUb1JP51IkrTPUySNt HB1DH2wJLWRhJ7fjNXZC01kle4sUB9Z+AOI7127Rj2NG1enCOLpLLo+DJWSJfwGkLZuPR4CqX6hF zM28jdYZwT0mNJZ3asnBDNPBDJNAQDVaiRvhKR1F00OAKipLavAJyicWykUZa22gwzGorDjXBqOh q5RHKB/eESCD2d8YmTE1B+UbHWQjphAnjMPjUU6/viYoM0jcjr+fIRnxXCR9ULBHToqPNMPIrtFZ oA2gPRbLewgwNJMPHinCS6dKafEc0wUWE8Q1ArCDsiZalU5GpMX7DNXyCGKYjYRfDgYYSrnoGiu5 4PEgUpzjV7LBAPVKLUahNNwqzhayduG5VppvsVb9yocaftYKWhHYY1R1V1X66OMJpWGTVaFUimsM PihsQy+ElgUCPtSL+tbeXmFpml4uo5FyNy48+4TRu8n47kQReDwgI16wB4tJmzUS7yGusDTd2Fo1 77a+x5x3gmbxmof6ZhCjDMEejKHTbssHO6UL5LYrBOAgTp6RFdAxIaPvI4XgCxeC2DO/NSpNs6SY KaTDwUrTJ+ysEojZFY8HW+whRPPAQjzBApNmKNSXpiNQdzbiTu4kcX4Xh591si20hEK0BwUXi8Q9 ipRGG7sbkHWYNEUGOu8sNTViUZImogr+t1+c8YZ3RGUz5qmb0DMOvseQ7ymWHnj8X8oVwpaDCDn9 lF4hGxF6X5MXM7U9dvVDqFHW2iIhP6VXiJyWunQjeDG3cfv0HRLyMAbEBKUT8kDdkp4ThGE2GvLc iz7pW4PiNN02FszgbXDEWeLiOwd+3ng8yue/01Ww2gtk1W2ColgaGc4JFZPqHCibuYdbq+2Kn0hi qPPnBNkYOYio9fjg7R+o43a6LiJxRVSKPQZLtPNfdpTqLgWI4lZ4R1A2M079uoPS1V5lBI+IM+Px f0VCWC3EvcqmnBMUxdJgwfZBaWJM0KiPElzrDhZqNds1tmJlDwbRNd/hIj0yjgj81dO5znGXk50A qeYO+kRG/9XcbQjbxksiLAyBDIttaA7KNgp7ttw3feDxAOqxv6U9QHU7IYhnGyuETkP1dRPH/v+g 4rurp2Nj3j02y/I9FgbTihFpu6nB7jFgOsemYEA6h9PZrV11xar06QcnpZWEID7Ed0TCFTx9Si0a sjGAEgJc0uxSanj8X/cYT2T8d/k2N1YUSwPCdU5pyt7yyq/XJ3GzfMZTGpaczFdXCJ3WITRU8Rhf FY8JJE/qKryeN0k+BzKkOFUho11uzYziMCuKpZMy+cnDesx999gqTflqavzE4JTe62uP0Tx+Xt2K FUKnodEyqOvD5sbDsiMV0jS5ocqlFRQjPaRGQdsfDnbRI1WadQlu1hu0PDr3GNJWyPqjYmE91gKh Jr4kOKZJIvsv9/bedq7KNs5tiseDLv2sX0sIH0CMt81QWJielFvM1h87d9dlajb3uj970IUrViw9 LRyVdM7a1TkraLM29OlZmIbl01wybcXxh9AKLUGPhcbQx0YcKebybEloMDjV7OzwoafDx99naF34 fZaM9/kbzVt43VE4PTKv+u3GtjlZm7UAWGex0KQ5PcW5o8q08TIMNdQf8WlodyX6i2ERnVgx9aEW IkVhMgKS30bSOwqnoTF8zh8cCTZoDaF0r7piGnw8KJs9zQ3NBhkNd4be42kwY1D1UHcDKWtyLExh mmnyMDW5fHks7sE8a5bpg6es46QG1ODDIgJQnqLGDV0cuEj9jKswRAs3fmtQFuIJDYVRH7SG6mTG lySMu9/jaRzU3ylroSTuJQHtMJxmpz4PRzKM4tFi3dXoLoDkGfx+x+F0U036Dp6RpRM61yyCQm8D 0gwsCyGSlbftveppKYt3RHUzLKFJr3INlpEhRNHtdIKhHRIRr4oXM7JBOew7QSERcSUmqkrfB6m3 VvQo0xkcOznNbsdQj6a2T/LBW4hI6jP5GkkCTHL6g99ORRIvyc7x1M12FE5vlaYFLufgeLMx1SM9 6TAeDyqv6Rv2SlJ1u1iPHQKnqbbYbu0+D/dPmFQJMteW7hMU8xBZWASewAbPN1KjtS9vMsAX4bNB 02RyN+q3sjL9RfCOCAyjQ0j6Od31cwiWUCxz/rx4/H/S9gAiBJopNkGhUB5Vcaf1MQCcdvnyuZ4J gqy/JigEetB/gxInNtgEES8+KAKW0gI4fsjBDWkGKBB1PtB7BMh4RxALlarO6vLBK6/FCRxp4fFI YOgbsUgh/lbvIR0BPRKLHnQT5CFdKXJGtJCImiRJtenXWCiUtyQbVJIPDlgEmC2be8uqjTgA6Lvj AkG5VsZoTd619q1/MTWnLOzntbAva537T7ynVvD4/wI3Y4H70fDaoa4HDVx6si1GOycTz3E3WxQ2 t8HNdgj0GETCiIhYvoiI54Qo0APgxTpOnmpuJhRWh/ITtopWUKG/Lt7xvsWEBwYuxwc7pOukZgp4 dgOPB7fY/MZNkykqh0hOUBRND6YbuThHKq/HHKk6EbHnZX6sO46mperRpg8+QQBvrmzdnwT5WnKk aDwLuYN9extAk/AVwRGkdKwLj3djrYIWtLjiafHxiNzyfcujBtD61RvYUSyNtg5iZferbUUlairY X3+APMzUd0cgD2EXSpaAWX7Kiud2Wws2HywrLoB5VBPCvQVs93xgMFjwfMdfgeKiCakG22FQxFJN KA08HoVB+fsSA6Rn3d5PTlEojdPlzMD29ljO2xPWtN22rtdqLXr8oD8yVsj+dx9silIB0lX9sZNY zsILjyj8H5QcfzKF6A0qRAkmvOV9khbLpsSmU3GgipZ6gsVK3Vh02/LkD/gXtlwY2XOjohjh0xSK 5REtVEnWYJYE7COhHpmhgrAwidVX2t38qZZ3TiwomGvwvDWhtDS7PvvcZ03T1BbkegCNAFzNS/hj 2+dGbDvsstokjNv8j3Eukyy/vzZa4/OR5OL3bqNTW7oFxpxCRxcKsLIrJysFr5ohoUpWQKO/pi2m EEA9CEgUqTU9pFb0ehBfsc9RBlzamN03lonWieNWveLBi/BXJnHBpmYFjWUv3PlnOVJgEuQQsY8Q PfIHBGHRteRg8xrkz37FquRY8X5dD8TTpJF1/pMn+J2uIJ6bWQkkp9AOkWCXjfaODb6WzjJajbf8 CWzWbJuKXrBa+2mo4VF/+eav2z43kiDgjZbGHVQmguKB1DfPZ/P5qGP2XWnckPRq+5pOpCi8xk0M jr3bcszshJfFfJJ9e8m4aS1F5eqRAp3lE3gheFn6tbGUBNBjaogCNHAQjsZnK4lvCVoepp5H9lS/ IrAo+BYySOqGrUIK0R/5e5YyTFxHfVymUlizhkjlQEGE1LK2GU7Ttn6x1TEpOYT36mAKi9aaHxY6 NNg0naPvHHyZastl7EERMWifQQcb3rH7K8pmDICXRDA9M44sPhhEBpcwK0BnH/L591mq+bu5CJHg BnifT1JYtu7sSmejl625DXx2HiasgRp3/BU1SVGkPZmkpS4ToO5GdBvg4Ym9QRpwOicgY82z485a GihroejvSpVj2tdGkRKBsP0ZNEngFksP+Gx1Ph+U9n/53bFk1b9WUqz6MczVVxuuXKr0qo6ZOWEb PlvHUhRto+UPNHnKPviGa+f2Pcfp0IaDPhZrRjSrwJXVm8t2l+drI640IfltyJCL6DtM0vnlE1vW qIzz+Qiv5/E2BR5Aqq7XpYN+Eu+PrULU8K089gsFEcRIXVi3Bcwpkv6oFGOA1pYPHnHDanpgj1Gf CYaABMWCfAmgiPI109GTC3IKlamHstqxfNDRDXVQgTZHm3w+2G71WxYW5AP4GN1JiivYyEW8zgjp ZS8d9W6a52jm7+yTFJawWVxL5jheHzNkhLag1LBXfXbz6OwDDNQC4ZXzg7hSiKKTYBT72qB4VOWD LGu3ca3dBoQwuTgGI8rQ8KV9c/FKk1WlT1JooEiVplXMugwMfE/+l/zYm0x/fSUFDoqAPAhvRdZi yfOupHYuN5Qk8X6QhTfxs2mT5oG4CVUYpyvSMToHFoo4eIlM028nRxolJyf9aeao3fh81G78FVDi 7Cq3FZJDE0UAiQDsqs6nuuq5o0zP30Z+JinChZiW1Wx3sEkaZJZTqRZcGOigqdQNDMLgsTB+N/Vz 7KKoJVQUV5aHs3iuXwE4z4bk80HUXW6ZTZh+kKefsDs2UqwMlWq2LDe7AHxeAskWXm9sSnb9oKDS RlBIzaLl0QTEKm1zgYLfZD5+7rEmLRkcCZn2A+Waj5OAy5f8JavX81T0TbQcZglKbuQGdBRKcuCl +N2Y5apB+Na/JimqZ8PF8xxF5AexqnRNtrsYseLgp7uUwqibSNlapbtzDbQ22tPYGVnFJOCTt2TR tLgaFBpvQZu96xzYKeJ6wKG05Za8r1vyuRCmmsHnmqx8PooBvsNJ+CVXQipskkJtPfGGilcke/Pr 7eRgXnCT5IImKSQwMgZgb8wGT+Bwo81JG6q0NiQySc5L0IIH9poqzBdmNOxzoxY/Ofgr2VBsKfU6 5fJ67rzN54MG7W9nYEpHXbGCHHgqSjnwXE34ryT/la78l1iwRU3+7rMUx9yyM2N5koMXbtGwS0OI WUQBvcnPHvEM6l6og/ipRFGZHLoq2n4bSnOH166Q/VQ1oXMvfP5f7SPhJ6AQeWVlcmCrKEIE/BcE LHK3ky11Ioc8Tu4N+d/GwOuqitL2wWLuPkpHFqI+9gmOoZsP6R1oG1T81vgTXJpesc+NQiXOUhWo r3oXpqPFZkqEZfP54OzO3+U3lO+h+3dnKVQG4QXXPculNKoxZFaeLuvAH6tZiqxgOq//XFL2wWsB ZVXqYGPD7dz2YLlg4OsaujhtPT7TtdrX/kXK3+pl79vLhiJtsivLPjJST/klibr50n4nKQy6WYhv PLDJcZhOyydHU8C1QuSzJiksc4vksFb1wdO31CeyN352gtUVxS8atjNIdigwPrCaOuxz32eJHnvn zGZDgIMCynP5NPn/zZX5fJSa/HKrQHg613N2hyBsRgG8twA9Aufd0WuI17LVul32M8cmi/Rdwv/F Bz+V4OFxNgdb2gsCTEWnkkLPKtKK2XTKkjt0WSyql4jx0GVeytQE1G96wuTZ+HyQmpRfOGwwugEt tUkKbRY7cRHFzbs2s1NpfyLwM1eY7c5LOfRZFPsciow+OECrIIJPcgkHkHaxQjlQ5KZ0BKW0HASZ 7WujSkCSeMF2DQMdwQuwS95vBSspcFrEJP3SR22kW9yjO3RaRISD9WOCThvFb5XeSIXTStppeU8g tFocXdmtooD2RAG1Md5rRLEN+Goqf1sAafEYx52tmhLxnnxJdCixprSZ63Kwylvdk/kbAn4+/y/6 sDLiTWmRO0mhVgiS3Am2PCepoyZtgjyF/5JS1sA02CSFQbcOJdOSaeULLYocjny789iJuAkoPYcU BJCBNQZ6SmdSISiEL4kKb4SwzWz0z/kzpaN3DnExcmGjzR8QBJQ3CtCUnm+mG4/NUogioVgzNyvx kNpv7AhsFnQRKi26AWqWQlS29pucX9Pj/FpqQWGMclVnhhZQJDSUQhQwYMybZ36MTUu1z41gAKq5 NRuGVZUgrcFTqZ8bk8//S2/Xm3DlMRrKoekiyvNDbQ6axHSWkrrYD+40NEkcYEAZuC66oGwZOpDH rQVAtvoErJzyvdeYVEdFAwopHbGbRN2o0U0FtRy7LkoNY/c7GLofcEpGATllPh+RrZ0JOi0KKPNq 7ubQdxH9UdD3LIE7q+/ibXTV/UctIDReNOGQLmBSf+SLJsFfuYoLOkGmJJ4EyW1B2FQBQhNPTVKO OXRepNnImR1F3ZeIUs7lLvxBO6cen49WkodKTWzHs37b19kdhd2tsPaWHD1KUq/wtVeM5lyC02tv gfuiizef26v54GWlsvoJrLdhJgBQ4QEGwE2h+sIot2tC/84cui+qjytDAw2KAhLYCVpKa/D5KOq+ s4Tn8Kcp4zmVQsZjynT2siquiexzv+2rTlyL40pyYMB4mya7VR+epkmC9KWcq07CSzWxxHijAG0P FymbJBzj+tpgkhQjdWGTrrImDOfECi2svYUOjGV8S6duHkrlOZSiqLvTpLI/+rv1ChQT0CJsiezP un5QEAVQWBacGR8cQ5rOWX2OG+K3kDEXP5QIpyAx9+ksLfvaiPeo61/WMXclnctlZKlUN+63EF9y XXUsOy5QA7+1gNCHMVGEl+ILQpj07rW3WXyWiACyWYq5jxIQYQ+SgydwULnuLBVBohgIXsqDElly jtwfCMaxVog2VLevDZYSZS9h7OiDTqXeNvV7aebM56Oy0iXx8+zGrVrKTXNDM0ZUU2FerrwfBInk bROmfXKmlqEMNlzoxihEQGn02OFgp9Ls7ARMVkwmsW8EWmz9DfvPfwK2c+jGKJwtsNo+GBZwU62T 5evJ54P99otaA8x+rQ8sIPRj3JWkrGW17tm3yzlDs88PJQhW2RxFUTeCHMbX3QeHJE+csWkIkgza OhG3G+EG++CtP5M0un3tXwQtmRdq0H5DbKbyYsv6yP9NoO+8BY6dPkmx5vUkJeLhiZphQ2b1x7jq JTt2IjRl7G7/nn3wSOncjePcceRoQVp+0eqroNnEv38u/UG2q98d2jKCHIf0Vhyk5NI359CsixSZ dsI+Ph/M0vpO4KgkDUKiz1KIMMmbOoYOTe628TIaZ17GXbnf7RZG3Yssm02RuX2JnOfEKSCssxkI aM+qg7i3RmOec65TMc9CpZ39a4N4crMU0Njv7s373ee8qDTfhN+KPjLIctOvegmOALn46rVh0A1w 6R5r+FJqrkO3Z/MgYC0n1Fpo/zpJsochPjk9+GTUHCuAAGzlAmWYl8zgsfZgXZDZnLeqUl72uUGB kpXJQSMzDQqVToZA51b0hSefjy647w03JW1/Q6XAoBE9LSS3rXqa2540t7FXgvutkNbEBC50aAQ2 AiilnHywpcRkZGuWgDKD0R6hE4hkzyz+QNLEqrgrdfva6H5jmruFL9kXXzLOuZoFGUHBJPBoFOjo aynhIF9PgTK0aEQNGlwJ1xJbyeXWYGpjBcrFMo4mKcKXNBnpqIqbvqu4+ItDtudHnvDNpPiB9WiA +mKnekNgdfvaSLOPZIBVkw+aJOhsSwGh81AKsdxpfie5yCBWe+63sNR98rezBYwm2nddrto3a3KT xrXn3W9h0K39Jne09OWOVrA6O1UEUymFEAGsJEgsdOik5UZ5Ve23tOxzg/0m8jp7MBp0Ks2urUqG AZ+PONq/OnD40zz2Xzn0aSSqarlPE/7J/L/g51a9IQAzJpulMOq2slK/g3fg6tltRWosZYy6p04l 9doRefHWtgpl98+N5A01PQJ3D49aIWlfm4r8a/H5IKDM3wKQaDPian0O77DYDS77gOby2VHQnEeh x2BvCId5LNWyHY8bujVSDiHJeVmD7bhWoF5PXbkEKQTmKVDd3EB00b06lxstkZ+UA7/GRIkdqPTm 4oPVKM+ClyDCORL5fBAHjG/6RGHRZt9ZCh0b0UqFTLX7FJXLn0De5SWTdtu5gWUjPGhpdUUOrQbr eSNvgIV1ZjEArCCWMWG898NGCYvOynO5h/iSvw7vUdjzHtdjA0WEQjBtrVhLgWejRBWePBckhpKv A3EOTRuhSQ1Nn2zFN0IfbSktd7EeBXPDDC50bVxyklviKa0bB2AZnihgEmiaJjBrnbgmIMbOGcWV 5xmcfL5zYNt4pTWGcKbDcaYnnJ9Lyui1FT4f3HDt1w0HkHF5IF2hcSM6CXiFp7l535b3IKeCaW4d DjEJnBvd6/u/m0s4t8c5tCnll05YtnDcNZjOIDlBvQKryPiSKr6Fzo2VxYCeRb1NABTwqoInkvTc UWvgD4hYAt+MQLhWVmL7bZaiuLuyJ7CzRHph3uQaLUMKbV0CEg4MiN0bqRyOkoUPDsRJ5+qXnFtK 5YRg3eIpRDzjHIf7yvkN6h3mwLxRFxRsZpibqLqjWsDcMtQ8y3Pz+Qj39i2QgCmHNsedowjXjdMG MEBz3zvXqTeXeJy7Csn2NuUf/o0GM90+eAZ3soYpN690Do8K72Yq1qEpn86fCJGIS/q1bV8bwQIY JI1n0HY72Zsq1SdFqXz+X3STCw4EaNMnKaROTghq1SLcZBfgzpRIrueMMA7abrEUyVaGn33w3KTu CpSpnGTP/xok7pDC0qAxBv3Ti3tb9rVB7a1KOlPeTtPpt3iDhPvPeq18/v3gXr9U2YAKr+Cv+ySF UTc8XZFearct4qqZwK12NaMmDwGtpJA/KVenXpsP90wq7XwcnXnQfaPSaDux5Zm2UZkdALFsnBwK s+XAyhH3DNdQZW7SL26sQZRIl9OJg/l8pCbxncAh6eLdfqcpKnbDMkgiq6q9tdvyhpKLdwTW6D5N EcQEMRfa/Gv74LiAnSDFWqiWAOYhbbISwa0A9KPg91t8LAd+jk7E3YmFk32VYc5/Oruknyb6lIGh IzoCXgxYTHMRTw4vUH5eA6UOehftmnOyg3sZbAkE4H5rAQCb8+B+DZN6onI7gJNl++CVt/Mfwxda mcnJcK38BG97qLn8gMXgqv1Yu5/XIKm7aMsEedcG6yud4IjRdzt54uc1ROogaGl2umytga6gm4XK xtHknA9CWKREBA2/4XAAmsCx0w3fbktJgsnhUYSaUfLhJiSQS53qcyfCrruWD8pdCLcSmiUzf0He X2cHMq+406YUxTlYaxI4DCZtBaXb19mR9ZFmh0cOypGL9leYndfIqGd63fSVsiO4SnOcG5QunUBJ nXzMzmtchKXDPhvN9mzw4BECxIuze+64E+OuLKEAYHpAoCj5Qm+Ja/68RkUdaEcq+s12B6trz8aC F/Ru2+c1JOqPYJSeIk6udF86rwERlk5j2Hhl/dZV+1m7XGnR6pPzGg71bIySsrR01l06Z/GebGoV iWzAUS6JV4m/vKn6Xbfvzt7/azTUEc2wrkZzzDNQbk7hEA40vO4cYvnzGgz1R6ffaCiJflXDZuc1 FDoP4WV9ylmu0UbW0e2bl6i1/audOq+BUBdBjwbNd/AibU7znJYiuE1gnysVNlAKg/HlD+J531eN Tt/RqVOI+4fmoA1WV4O2P0PkfuLp1yAITytlslOHbFICUTg5ryEQNiOp7dOkRgCv2Z67qiZCfkRL 1qN9DYD6dVLRFV/6NW48geAs8KnYvNtP2ENtTQlCNvbHmd/z1ioUuP68xj/4O6q834TWurKlJ2Lo RdSGVsvnNfrpMlG3paN+EUgZ04+d1+AHJzmv/3VdVCjCIExk27bJOjQczeEhmp7GQ1kwtvLA2CpU 4Qct53VjZWoDpEUEGzpMaIt4ztppohLtrMw8g2RlDTY7fSrPaCuPz2vUg0PLZMP7BbIXWvpwcl5D HpzJcv2cLgWpcJAgiNkc5VfRiZZgb3QmdxmojO6Dk0YWVCPEHAW3rklMdAxccWgUwUvlKccOfur7 jWUQv60ltD0LA9d/DGli7/x5jXb4heV7dpA0zeaz81pixM6i7AgMIAzkvy+pZuRbFbrw9dcCI88E Vnoy64wc/D4frSE6pl3j2Q5gbLOxXIltYup65YypNP55LS8yoMJxXDqrHRysCDvdH74UPP0+O32N 79khmCD7lfVaWcRyTYxxptXM5vJ7qqSZh/fOyrLZea0r4v+RR1uII9bgoeDZQVAFYk6B2msltL8z +6DaF/SLHJBNe6LXqiL+AvKWYSlXg+VdOxWKXNYKabHo3LFyWZeyGE6NKiINg8EoVIbQqBi0VlNs jlWbZXklaAzWqknuiyZIOrQQxbDhVsvg15uUdCVk8yxxDPQLUDwR3sElNbK+NdhcBPVvMCZtMFB/ muSQ/zQiZ6Joec38fakL0rt9gqJwGX9r2Oiq3gMCgWs9j+a4PjRzsqPVowmSJstgAV9pyI/B1DYA G2zjn5lqrCig9wof1oLmzF4Xp9br1McGhzMvdvgi+6CLvVFd6Ue+9J93xlp/oA6aITABK3CXNkPv ITPo2IwFi1/tw6QQgFSvfgAtcr0FvwpmaDNhr4mqLBy8EXQCw06NcBRcC7BLrCVyZyW0j1ABUrq1 lLZHQbMkswa98TRoj+FnDl1JqJK9R80P48GOIPKd9024orAZ1daTcW3Hg6brDb9H8v497l2rtkZx s8qINZXkg+0xmH2sDv46hGhPGNGHdI9A5cfd1dtVw55ZGIdgj3V271fKd7CEdBEZhCN6obURnkLf Z3QTauDusffIOamxcY45FaFH8quePoTdVxCR3Sq0RhPEPUZ5Gxusr0HEY2lDoKuZSp8KIBNJ02fP kstH5SwyCT/vJLV7w6/MhcTBJ2h2qX1D3vCdo8Ydmr4PIeq4E2WpGYrCZ1RHAU83dMOE56RVfR7X 6nMNObHoPX721KsO/vocHHAFFbE2pQw12jpXjQQ2ZHCXv9XprBT9Hj+DBCVWMaWhROxlEgUnzqTu WKufd4JaB/Svf2WmMLUFbqD5DIURdBU+1gVGqF9lfJlsLCzIrHshOgqhpd9XlWhwuCH0hMIP3ZuK 1HrV9slUOsXdvW7RR0zHKIZWhbVvEUG247oryDessJ5Lcn/eyWlMbr3sIykSdMFru7ssCqMXO/at Lc/el1XF0Nnzm8woIdhlURxNaGMuRbFQ+QJcwzooKxYqY8C/uJFQREoaAG6UlTFGEdWM37lpWKjA IqbEDr8Ga2ecV6gZca7Jzzs1jd/4Xd4ofGXKPkPvoTRusnSRsQiqZ3Jm2qwuPw++mgM/olh66KCe LJFxsHPoHNo9nYPYrFNkVEPj5g7Yb7X+6vzqZbzH0t7KmIMwKw5W/jlTNAX7gEJNFEzv5MEQ2180 s+zpXmVROI2LpAuhxBmih7jKY8Un65xDzWcojKfp6FUVVnOwGRqALkyrHkJ3bxD4uTYT1RPlSUZU IOI59a1/zRBgZz7YgTLpoMUEa33eKWnYZfU7XCTeRKLzLMpF8TS2NrKxbRPUrynzrNOPoVm9H/ZO SOuOiimtLh9uPD1Q5yCQoTRYFSHZT+f3y7hJcEA//Bjmqu98tC7IHOqqrfvgpIaxZC4zS/6809EY KvgxxCMLx0EvnnC8s9EwrQBerumK4YtWiTqFyMTkKTSQe6jA+h5PYyUyX53UOORgpxBwHyhp8DH6 D8tlD7F+gy0IRVkvZa/oY4OUTHeYxLLGFctqJ1DeQyZpbX7euWj9UaK1icX2osGzZigqQQPMB+SU dQs3nQO0hOZFVo2RDaP3zkSzKh8lRIYPDtHbtIyl3AqcQbJmqEGtr8OYBIjZW++gvNE7E+3mZEMS UONKQBVU9aiUejLk9nnnoXGCvR7Eex3hR3lq9GFAjX7qOQisWjZ5lygaWsubzg2lN81QFFCnJIiH aFaPrEE7G+wk8Inqfe0soZEoh9iZeCBLLaASOxCGOiLvJDS8hNJGe5DKwEEzBGkSnnuoXH3eOWg9 X3pVZ18ebd1K2TLNUBRRL3YJu6EU0OvyiHoWk18Bu8qdvd8ZaN0Qw+d6Utl1PNFQgtNkJvoH/tU4 i2nAk1Rkg3M1qom2y8gbemegMasiy4PWoxq0y2jzIO+LND/vBLSerxiN1lCjM9KtC73zz7rEaAdM FG2GRrtFxZUd3DHQLNM5FFWkdZMh2/bBmxnzJKNr6yaD0Nqmtew5WQsOVm41L9fL2uGdfYbfMIkG Q74+BxU+KnJgQqn2XJ938pkt8+cmA1u6jBsuvlPP2ERlnd7Nz9lmcM35dFmMgFlrCb0H1H5QF0mI lCult2F8fP4/GradgDqj+F/JhOl0kkC7No+nNLT0sUFlUVZ7+xk0QwncEdNU35934hl+19y+Zmji BiUVQjMUBdRoR4O94Kr8u1x5lVVdGGukZhJr77Qz/Hnp89kmtw4Hh+PhqC4oDCAYgnZok6csQuzF skv5+fJw4juCCSLBY3Z1VAGBMldmwIzEhR17f95ZZ7xM1nc0hFOf3QTNUFibxo0ySVyVPcgF5fcr /nwunGrmF++cMxaOOUOJ/DwOnrau2Wqnejk4wh3Jntqp+FikcrJ4UEA99K1BuMii2ch01uXgeMW9 RM04k/x5Z5yx8tG/JwhIvN4843jnmzFchHgogbGsnZEdr+oiyc0SD+3OpHqnm7E+wJlRdbE91cUG LNXkcUPri3NiSJ2m4d8MmDjhEjOrPQRtn3e2GZeQehuMGvtlR57z8hz/rDmnvD7vZDNEm7d2xoQD JYrZvPDxTjXrV/LZqvag3hlDCFLWHi6eUMBW0DvTjAkhZ2bW6YNf9eeqXInmmhDoG+gHMeRObCVS cffGQsRyvDPN2Nyi/1dRwnHJh6jg16pMfeTPO9GMd23+jhbBqFrTE453nll3YZ5uGmGow3avne2r zre7N8feaWa8x7AuaFtlg00Q7A8mHVKhCX7+sbBF1GqVMPlPQQrVjfVS9K3BBDEUmlAEsUGh0G7d jOT6CdfeSWb4VdP6miC42uR9U9Z3jhlWEIwdcBHbKX0dGmFy1X5cBMv9UN8pZl3Nq4bFvnzwFTTQ MCR8NSG8mlnREjzkMqH08/EcJh74nWDWXbzwHKzZB60ghFdylzmf+nnnl1nErwnilVWsd2ATFEXT OF0Gy1iuqv7gFpK3gNBhtwl6j6axxTRBbD63B9bRTqi7hOxDq3CRNE/MGfoYLPfRPlpqqlS+eCeX 3XRj0u4et9k5jYXrOP9jEFUOavbnnVz2q+whb1AAOG9z/p1bZp2Jc9HnZQX80b1JNtRZLALeG5fz nVqGvw/hQFzrNtgMbUioZfQTgLs/dxoTDRj5sXBafy5xmiahn3daGeeHW4sxngavCqVioKA5P++s sl+h9CVM7eoNoHdSGYMval0l5yWMC3yxHI0daMGnMD1RcZpg1dw0Sxx8Ae2JqgdV5kBUG2bJR9gJ klc0P834gqWXzzuljPguLqDKW56Ddti5bofMc0avn3dGGdfP9wQ1NrLbPYKiULqTL91Lt6IQ5ciV 0VMqSXVFMnmRr77zybo3WWujmxwHRzBANWN2qc2vcyCDzgyDK+xFTAzdsJwq1bc+Niqbce1M3mIc VJw+yT3LDz9AJn7e6WRcDP3XDEnPxmcoCqUb7SSLM8rnFQI7wYxfaDDsdGTZP2rTg2d16XSSmARP naBoQpiajyG9zyYxi9iQaSxmRxk9Cbafdy4ZSljEI6JhpfI9QhBO0TxrgYsAbNzPO5fsd6v+/Ldg DkORxqcoiqVblw2qaV2NsvMtnC1HULXipsPvTDK2gHg+S0K13Q7f+cEIDukuUkB7PYcry0KLKqvo C47H75Pd3s87j6wnK72OZI6xgPZPK5zB6ROFjLN3P+88Mmyz/Q0TgvqlasqaoSiYxuVw/vLbC2fU 1lC2MasvooUyrGYoKk5PQjLr0G4bV1euEviLHYbHUi8wssQMgXrNdYrrxdcQDXjeKWTd7UFGScsH qwqllZgAnvRqf94ZZPzE74QVtDYAhh2gGAXT8EuGeVw2cGuv7g4ys3NccQ6dXwAT9M4f6+rXnpkh LlyDp/QnewXO3rC/hY27Bl9vmINAg5fSblpDVET4vPPHunjggLAxY92AAwgof7ZxJYkA2qOfd/oY N+l3dRoF95qSR9Pv7DHe0EgyEmW+aHKFMgSDxQyKbjWoGaDznKEomp6yPs/Mx+r1bT+bbJ1ksim5 OPkCXkAXMMSfJ2aCTcADHUdJ6vPOHeMm4+0jnGK7OMWT3KQm/8UTlX/eqWOsOuSvfAx3Q5m3fP/O HMO6JQexl2wKYItw1kzZpuHo8c6NT5BrVJwGELxlT9f3dUSt9VxjUKTB6yvqb4RSw58ARSgEi61f EDAZqp934hhbOKTVLZHsripESym1ZBIM6/NOGzPoz1N6bXSKbh4svrPGcHYh39itmrzl3PnRSGt+ 2S+CTHHZv5PGbjhdunR2+mXYn1MIZtVq1eM4SnNqk1HdEhkw4tprtTf0scFlL+LB6HewTvTenbX8 k7eUzztpjIDwb8AQ4R7pll7fOWMIEehFKCBVZxHWYeRQQnB6xnIvwnfKGFNWXmGUPdHgoLx8fmbD 4QxrxH2OUjZkz/+oIJFWSFB4yrqAwPm8M8a6NIURBvG45mBFj1K2XHFPGvN5J4yx+P9degXWv1CN UhMU1aaxOM4vx7k45/RJ0Mx45+SPxfgIJ0NwxcZ3uhgLv8zIKg3lONwu6/nhFN+Vk5xoq6CdFLSC 10++/R+y+D7vZDHOjySI6CfHwQg+4K/KAmSPzztXDJul+UUvX8eM0vRdQCHWAwYguyYTIz47zuPp k3vceJo60pyfMJ5mPbFXThMHxwuNc970YaJoqJMtVq+JhksoyszrW41LlN8aAaqI6lwj++AJRxUR oezCx6Mu9HckROvz2e41FoXTgGWf+NSpCJugL9buUx7bi0LsC3CHheE0y4q9MBLi4IizAgoaDKKg IN9RAlT/A79mhsxNvRNEyaLPO0WsS/QNwkwmRuzNjRPVjqFD9kTtn3eGWJde6FMUgrVaKRdx1qJg GvUyCOkY7HVnE4+DG9W6Gvuo+xKS10LUdFXpfjYfbAXBfOCkIZL5WgVrieKprAetpOTaUlaqp+Ad wT3P/3rSgVKDlRWbBMJA1s14PAgVR/66xSrlEC/kvsWxtKRirTC9Btv0CITquK5WHQVRraCoMJ1U Td0z+3CrZrUDAsNbbJ6Tp5PNsqnpPSYl1y5ocWiGomB6cQmtSjwVB83QQhuJZbOd+HjQpi+/UlaE 5PMCg3scTEssfjlyelw/1HIF9eZVHezvwbSiDFSDKIXW2zVHO4fySZXQ4/ih4UelQiuZC6TQ0zX2 xtIsm/WQZii7TxaYNBgUJicJWgN8hMcjXHD/tYRQK69+SvcolkYx6Vzuc9sEre64YHqZWdUMiaUm KEJ60E8owyrOB6uPn6xJ24xlIdCXRGktSDQg8LoliyR9mKFvDZKNLL5Yrj4IsQHOjORhTmCKx4Nr vpavQ2hAfHBfXHD/szKN0p8B8mr2Pbba9jhoXjpdjyrTEos/QV/y4QLyxsD9y4/u0HUnn24gN+ok e5Z+3YeoGIiXBJEiQ8SmWLrBxJeVivO3TFVWRGfTFjwfEA4vMlhKxYxJtt9kPaQcdgDOxG5BKLSX 052nfFIZCnVC8jp/TpCQLamg7zt4rDjH+X6TPqvnNmlysULnv+3BnPpBnFGpokfRdNW5XlvzQcHQ 2bxbsMxz0OHxf3EyhZRGNFS6p2Q94h0CjguGgtipJ21wUWaQ0YvXzrCMmNX3GOvB9QNfNRu8h5hw jqL8zWU0wVcQkoF1EmC3b32a+Ba84682dKceAHqIU0JBFRRZImP6OfHxeHSX1a+DaNCp7hKkehRO s0V2otrl5VcaVlCEqV+5SpBUbA3F5ENhW2Qbu9qDyRv1HD+oNqKHCC4W60ITd/wJJOF9Pu9BhMQQ 7wgue7PTUeUse3WutgmjRNZ6cFZG8fT4zZFCCQWASZuguD6Nk3rSaxArqFBPmEk9SCBZBxGbLpyg OJ6mcC5gGzb4BJXUz2lHsthZSScYIoesUZeV/jNAOHqPjILVPapPs1SK7mH3wcseWdJJZ79OPB5R OH5BPRI1De5R/R5Qm7rgnLrMKQUzzTC2MwiW3RDwlhUZR4+gHnLRAyzRBzuqF/QRs6GFoA0HACPq QihTo58/qC0okh3L0z0qT+suA3rEB2Fhpp0H5y7LE49HOf0vEmKHqMCzxyLoNKgXgm1yCTUA0LSE gGU3k4GcWHvFAo6QHkrJalbtldYyP1V2TCMBU0XQYj0hNB0YElplP/T5nvlOEDCMFe+IiHbiPZfl g1YQDUh4iJzkGI9HmMXvnD5TBm49SyiMqAGd3pT6pu5CsrlCprOTS8EBMaNjOqpOCwtTU7+DbTIo mexuridzgZTPJhAC04ZqDmHm1mdlnoWXBFkruYhThlXzGlbBEruZmlBqePxfa4iXPaV6UOg0EnQU Uk/T8DTI2WaHVFgG6huoMIRYlxHjiOrTcyorY32ag8OFYHCPqJwhdapLqvEFZNIMoaeeHw89HtQj CqmlMDClK8jBaot1maDg+Qvg8SCkHr94QIVgsztBUUjdiThbpowzUr7o8j0dv9g5V6KJh+VpRl+1 iHF3kSqQOD8RNSTg8NjC71KFLkcqj9befJjQi85wIwqpcdYgG2vVBy0hSJyq0z4XH4/K0174UP1+ /jCytQkKsdOZytTJ4Pd7lmYTBANI63D05qjOEZWnM+3ghK1HLRLfO8jgyEl+LaoM4Y5XM5aWrJVB xb3qz6IYeEeA6lQQNIq03wgPZKN1Ku9Gx6Tj8YgFdI8hbkjM17zH0IgC6tYfoWWygIaDFvfqV8Nj OTJ4RAH1Yj2jZ6rkIZO3GaIm25mwTnNB6NjUKq7HqvR1+unpueknJigGe7Dikckn42C11wY1ZB5C s+HxSImhfSdlCOVX9R7ZiKJp+tgbB4j/9CCn21gO6gSBRPMTRdNGIFvqka3nDBqovJ6zhq+nKSnN cja5G8ikMt1yrAVE0/MRVacpBwdUFhMOAGe5FgCtmZSq/RknDMPz/1pDMsuB5cO6myyKp9ER7Uvc XgIbtqt2D0Y0kqCariA0onjazSjK8MGCIUiBjspC8LnZ0S6jaPcGwA4bUAZRVp8mp36E0GnaLEzS GDUonoYjCDdZPfsNj0en0PcaIkuqdAcGjxA6DdDiomiehAOXY8sHcjztsU5FIk5QBJ0GZ/oshsZ4 urUnnt4nnN6dGtLp7KKaJQ9L2RfwFLH1bo+sV31rtIQaO6PPYAQO8MeoaX7OJjweBEPrF7Yc1YF+ s/oRwj0yp8XusT5ViCWBY/Ru99j5La1HNqL69BRZs4lv13gIZUwQSh1n3RDVeZZ0G9B4OytoUKFr w/14Pl6C58fjHX+GQlQO12D16Va3CvBrbTwe8TfS1wqq6LfV24ceYTi9C8ljxbYYxQ6kB4NdalsM CEOtoDicZr6hmJGDc4ASjM1NwizDmIpOFehO45ishK36Ob21hMJ4Wq44rfI+QznJsOUVdBCeQmcb 4vkgq6/fa4hs6PnEQmE8DWLwVhEIVz19eNQEKs6YOiurGKhqhBVq0qSg7eODp2Qnw280sYVk8Fy9 UDK405ABZiJAz3gNnzQ2vCTqs0rbQ2Jdxal20DSvWUSyUvD4v2r4xMMAzEC5Gs7QDCvUaCOucnv1 KhFlNp+aGy2iy6G0fkbhtK56mZZq8DZZOZnBohUA3DpA3bz+CxUONriDHHVW7FuDpJUuVOfoXz7o nN4QjqXO1LkD8HgwQXeXkRKNUnMBB8NmKIqnoSmGvHiwxtHJgaQGPvSiUe3IXMWotVNZKCxRm1Kg Ja8PP+GkGwW2uKrApoF68g8xPue/bOcuAObeC7AoqOIdUQ2fmKqsTmv2VhPEOAVjPDNU8Pi/ziHx yBqgoHeCongavLcB9IElrevyfQeuT8E9Fhlx2GTzby5ilaEZB9tk0C5f4G1wCa29M6/6aQ2j+rOp Xi46K3UH5j8q1P/pFtBnLbMZCKji8WiCvktnhMPX4WiPGYXTmV0g0aApfuIFxVIeS/MFlpa0qcL6 tG4ymStx8AlaVBtuAk+3noAKh64pqD7LQHFenkazCu8IJogVISFHNGiCzvu2uJozZTwe9Ornd8qq DvjNN2YUT0PVFuhyNTmG/MRNdWB6PE3TQq2gKJ4eyiIqLRU4+ASNjUTMbEwzNBoobYbLuaImO/cD v0f3AO8Itpj1EYku56BTGiVj9gFhCo7Hgz7ir8oZ3VNhfmETFFen6VlmlXr0e7x83yXwLnHgYh2O GYE9ujocYriUh+GCyn+vqYuqCYcaaFxCNxBFyHK+GWqUHiyytDijaLp2CQvppu/VzTnP2SxkQzvr GI9HtddvVQY0U2q/sdCM0B6oO58Uo29DLYoCxEx7jO0XPb15OEFRdXqx1lMZ6Gjwix5IuyxYJ2xG kZ9hi0GAm8Ar8gxcPLnoWyMqq+QYnsGKHrgfeQadrYzHo3zsewWRlMTwUxMU8hAzTx7LLM6Sd47U uaLdVbEvndcnZZ2hQB5V3IHt8MHLZjW1weIxbrEMfKRsKFDvzugvoNwulh0b4nhHRAEikIr0fA1G ASpdeKoTuvPxf+GpCFpEDDSvMOeM0B4T6ooSDGNOL7w0G619uRfXpHERD+komh5cQYiBfPBr/qRi MC5lXRE23JsFNvGjUeVATd47ZFSomlEwDT4M2Cyp+aB0o7dJUc/zS/eOxyO80DdBIZP9sx1+P6NY GuWMk4M1u8XY6DBhV7m706yMjQVusVDWYxpeaPjgkSJSVjZB4Rh4ZgvWrGDZISDuaMLUq1pBT1C8 4684aJHhqcHyMfxYtjdKLng8ihR/QRb5dxlXYzEKpXNKdCtV6nWuvYfI2tzY7fxS0675FYE9RpEg cL2DTxCi5pJUFYLaWWG0nZjMT2AkyGv/Et1eIdiDLDt689pgkLw1JOF1MqWKxyMa4v4KFFGnYnxp ExRWpjtyjWFO3Ce7TN0JHHt3L3mMbGXFFUbSXTqC0j2ZV/ekoCx6jprBQLGeeaydkTTglKYNfOFC sGbit0YqeRKBE9P3OaQb4esMFHfF48EWy3eCWGWtjMgv+n6F0tKUhpnFmZpi0esU2vcUIoyfayiE e7A31qTUeRYwY3+eQgQ8dYLySkY3S2YKZMUDQoWw8osu3vCOP8tmlWpwHLzoMa52zsDjUSz9q4eI stm8ycYKiYi0BE7LdF5X3r6GOrEU6tNvJwGtEDkt1e3Stg/ehp4Ah2U5uJ17g2wdMKQZ8CEDbY/t FlU9VlibnoJ6MNnYV/4/Q/CHyUI9ywSP/+sU4mpBY3zUewqFCtMsm8nZbpFYf0NFXkbSPaGLAI7p FfIQCb6HGYIPPkE4keEewto0xBpYm56oNIIVjFLdI50z9a1B2WyyyVrpvc1B6SqiRrvoT7Kx4sr0 9wQR97pu4XVFsTQAz30gd+MEjUY5OIrAycQNaCFI2NoExaIeBN3ntHy4aKEEZjiN2xohMKwrAhTz Q9I0HDPd14bCPXjJn5IMRRYAhA8y2wACmrHXST8HHo/QQt+YM3xHzY9YcAidTrK11R47h8KX4IAT o1E1MxbZCoNpZfKL7AQOXppuoLXQ4SedsBF4oemFRaRPP2jJWU0IaGG+I5ggFu2HSkO0TxEDKGfC H6GykBoejy4yT+ilF8dY1bONFQXTJ1PCRZZv0ay6PQJ2g2FhCCZivrpC6LRJ/zMUqhdyCXICJEHy 5GOldaKcqXCGQiIwWFg9VjSjLsyKgmlzj2BYpcGommnKorylxk8MTun9PUG0jZ9XnmqFPMRFRJ4L 4qJm84RC7tNybidTZFhhaZrXGNQ8fPDSNOqI2F6qmcGprXOPNUJhMP/ZLcnhUa+PfV9Cg7EQ+7g2 KGE9IWdTTeh8Bh4PuvQPU5M1SOQd5DZpisLS9MJdy0uBRETd9Kx5jObaQiwVaYpi1WlqdZQ0fLA1 hMno2Ag4hlI7FzwBZ42Ll8QuqCN4NDSGPvZPktSkmR0Hw1Mt0y8/KRsfjxaRH9Qy5OhYSN5C3FE8 PVCansz4FAtdggJAPx4LIVWSLHfIRNwqBz2D5/QVcvQU3To3GWiu9APYaJNXgJPKfzpI7yiebjJF 7sZ08Z3cz7RYwpEGHw/KZrcNrSOHhAavCu33eFoUl5GyZ2RLGqacoOFcBSiHWk6/Q1kP3twvovcT ua/k6NKJd2eu7G7QM7Qj2RhXW6hQXRvviKpCYiaMO2gFnRtbNi2UxN3v8TRMwH/VFYH/r1e4fIfg aTprdjtxYGjjdOhG6yZrQnuffsfRtCia7Q4+QWduIBYivVeaYzCaRn6E/4nJug52YH3jHdEETeaq dflgGRnqrgJvnFhoxzzEX4AzdEP3LXrskIcIMdO9rTLthVcCGUSM5goC3kwTFAI9su2t5INnZPI+ 5k1/QqQmSjkuMhptFJPqHE/ZbEfRNEkOe07pw2AwuFlbK8kmak88HhRer2RwtZT1hLH3DIpx09R4 HcnRZsPtNE/gUX7cr6UZxWXHNMShpsby4RZeK/LCMrWCxvlhbI/BjpAifl+ayqwv4x0RFEZOSOkO Sjewj3lznl+44vFIOedXugE8SLmi0zuKppF09bVtLtCOnj5BsvitInCYrscOcR5dOPF5hwvHQ9yr WDFjrEQ6kL4MP96fOh/oPWrheEcQCsnSRn6aHLSCYBQt5ZyaFh7/nwTgoFXXLsNlRzgPCIICIeSH 9DJIDD2QncAh0jInKJTIk9irKGIcbl2R2jlkHZYBy59E63b0CArcwuSI1mRa2/StEVGTZ1ClgA4H K7yeIHLf9uEOK9NXhpKAU4CVCm4fm6Ewmqb40pxGRJwj+RLq7jmBm7n4DMVAD1ZekSjb4Dy7gU4W oh1A77HNqmImLCrg/CAgryVUCA/HO4JYkZtrLCWu1zu4QLJIVNZUBx4P0o3bHuOBhaBhPfd8WJrO EoSZvsfKZZHplCZJimppyFh3DPSQdo5qQ+mLqdmA42EhGJIMKN7zz4f2GHANP/v2NiiZjlf8fQYJ SpX6ZbcMek7Ti4GP/5Pd8gNzCbpMebaxQ8HpBDH3to1Ehsa39lWmtKb1V0fx+YlQHlOWxzAitcGx ZuciQ4N+6BbbotHnlGkvek7A+eBgaGuCd0SaHqoJpTvYLTbnUEKf08DjUb46f13zC4ZwfgblFIXS cCFxwCLaY60ld2Cdru8BdKk1WHMKY2kiynN+BpuiNErNhbopCf4Y53gmRQqJObzw4G90g+lN/SW8 JeBq0rZuyvGY5wF73SdahAUGlu1Zr5M/IMKW16+sFaF0e2Cd8qN6b7NSroIkn0yOi7ESgEhGwYzx Ig2LZXQTC+VRS0Cmzxw8HjoHaGL3Athx2OnhGjnTdH7BjkwhAxfoNfyx7XMjMAO9AVK9g5KOE10X 3g9ttMbnI2L97QOxcY0OJpVIbZr+NHOZawpABdeSrg7ruXmG0aY6yBe+mkIEtQr5ddzBo6JzvyFs 4fHT0yyVhvWDly5E9hZZmyZgXu1rI0QDYVVV0p28bBoXExx9KWGIS5M/IMB3lu9CdaOe2FWryims VJ+TcmT2vwVquAoWEglXbA1vJdlxpNAKsQp8JhuAfunRJ0VMKP+hoJdqgZ85Z0lUfDilZO43T2C3 fW6kQcCo6D+lTWudaYhqeFJBPh9Vq+fX1QYnodqvikVOUYANu1eob1g1ds/qJ9MCIVmN+4X6ka2l qF49kokLDB8c+wFbUUCHNUszSWN54OztmM2c6KBNOD5LYnxL0PNgSX9K7H1esXfIDGU5Kmw4KqQQ /nH9RiVJ2CDmU5/FFBatEVSNTcQ9zLQLQWi44rqUcXEyFVoT62QKq9YyKVusqHG4fY9RT+DdNU39 rMu8KX6Wz4aBbez+irMZBOAlEVBPml4UruJgGJkBQ21W1NLm8++zVPP8Or7hutwogmWTFNatwRSk nh0wZx00HqHPcDsl45ed+6758R3F2lOl/fYMXnbsFTglUV82WFlyckNvceC3Br7MVSrHtK/9S/+s TYp+tGsi01ARF31qbn1kROP0O479WsAe+0UzWsL32qXOVLUwsvSWdSTaH0sWyDy82/UqS2Hxeslc gYc3By+rbem+81g6k5Jg3nlWUoMGD+ouQIda1cicrFPIU6QpNMIJDUlxzwkwlgCCJ5xvfD4IBH4x OeGxW+s16FDP5r1gSd3s60TadvekbV1tlDk9q80p0v6QbEwlyVeDZ22N6VgRtvpc1JuCjYn3GLQF mLGZkJ4MkFOoSi2nsiZBvbtc6/mxUzy60SafD7bbtSOlOCpaopgAn6Swgj2Z2TbP23ZxaYLBLEqZ LYgfNklhCVu+gFn9/Pz089cJkCDzKMLruU4yPbQX2fZohiGwFKboPFbsa4PykTpEVqht7kh0ktzG D4chwOTzUbPxG15dqDh3HW1z6J04yYNZXsSGh+ilUl1oo4nIYJIC88TrF7RX88GyWwgLN5rEIXmb CQ9jJS0gOUEEWPPhKzb/2r/09OagIzsHz07MPLrSPTG0T/zdb2TxszxBQOif2FiGTKYZ49Y4ko5+ 7Em3881yYKCIKijxDj1NH2ySThhz7hwjdTLEV3sb3H6yX4gt+u7q5z8MFBlQjtV9MGhITlV8qHMY 8vkg7M7fORwNdeuTnMQWipn6Hytblluc7ZoXVeulJQOspBwCQw/FJWga1K5tcNbZPBuMKoNIc8+2 mNswAPg/AxBaru84K8V8yV8do9HZdhydVmqYpDEmS+8/iF35fJDo3pWkxmwB4fpZSWFBG0jMSd15 WbmSHcyVlOsV8mahSispDLqXUReTD7aSEE52GLNgksB/at3sT8AjwnG/HmE99q5zYKSo7VZ1qtlg OL4KJYQfciArn49CgO+aNoSgv/D6ObRSBPjDiUOs3g4HGQ05dEqLuQ2fpJC/aCKo6vOPi9g/63lR vNrEmPtexhyCDDyqypRgvjCjYZ8bYSCkf0rFlDNYix8xameO2hP8OEM7xZp+xQCb1iY3mgzsFNVY BU24WdVtP/JfTDlNmijdkzsMuZm4CYumwfcbuMeZWlIwF4DoTqKVPTJ2VLzBTvFDiaoyOTBUdFfX maTVmPwOTTzOeDmd/IDPB/2j9K0ABpfuXNuzlEIWY0cMWQwKilDfcderdmvXnqNiLne+DbEiZiVJ NE1+0DQFGLbz3++uHuRSwAl0MSSR8b2QN7g0vWKfG0RKUtij65MGa/WDgcMgoJfN54Oj+6qAyc4M wiC31Z8DV0X3DHQ/Tmy95Oj0NJOX37qTYXNgq3jx+3tlH2yWcLNvCYaixA11VbSRFlG2sD5p63GY rtW+NlpKIBkT2W6DdQHAvdGVZR8ZLKXf6NnNl96qUmCsmP8P0jXwpxXWCD4wTmeciCGM74k2gU1S DBphijvsXH6Kb72AkNTV7z+ZwYAIICoBKAhgl2QI5zmspg773PdZIoN1d6Ef+0UVnIV49jXv8Lky nw8yk/UrnsRCnhd5lENzRfbbzt+7C3p0cqJrYdq66c2c9K17JTd2VxT2aKmnvZ6eNiiAwBuxpz3h CdfppJwli9VEWjGDTplxh/aKZXYV3YYPlpmcE8q8pmfj88HRXb7LJWR0Py23HBosovR2QiWzGAAI 49I+h/sqQ37dnXBDh8WlatLK1QevlqAK0PMSgi1BE4ZIYyQ+DSi02tMDgsz2tX/BRB/HE6vtg4sp 08tesJICj8X/vN/oDpqfnkBosggkItAh1VYS9qgqbxurQStpD0f55dBlcfQgx00nhIBEsJCiGxZA 1ASb7GHKCcRLSjQ14kv+xGNXtXBr8Vo3DjvmQkCC8/l/8YclnL6x3e79FvsszkFbM0P6CWCo8iQP IElZT/dZzIHR4l1JWa4m2Sn0gPqtsWtbrJYAkN3Zvh0ArSHO/FnZERIl12RfG9XdsiBsk0NBY+qH HKGzpxnng53JHxDBbDzqJjIQdwgE/n2WYhjJMsCo8JC5WhQAG7gktuw5la+vcmC2mMy9/Nz20wff bwX92m5miwk9JhzdFVVtrLHzO+bH0rRU+9wAB1BVeZtWgNtWVAJNKYmWl/WVEX/mFwOLAMv0rKXQ IeYccyOta/FO6x5pXe4HS4K2igLKwHDRibKttTv4WtpnM1Sm9ynRJVGEdRTwC7GbUIqxRjcV1HJs uJhNDnT5YOj+OtuyRmXm8xEdPf1HFFDmk8CFlouQc0K9xAVBSbYQnkQwZMtNvBQQei7SmCJXOVDX x4G6gnGXOn89oP7GrPQDSTCkPOcC2wBOVJOWYw5NF3mo7/N7NR8UKp2jZTPuaL02Ph+spHu/4QDC uVjb16kURd2t0EKnV2MaleK4tp6vuMogZk6TFIrx8ehu6p1wcETACX9QlWTHG+2uRfhJZiBH9YVR bs+Ezp059F0cCMcBYruDgoB0/ozyej/7js9H9ZJv0ASuNtb+fJKiQjcMqN0LDplcGsVhJc3sGCGl m4pPUixwLYtuXXPz+lCf0B+CNCA5Q8WobZoYovKWKalI1VGfJJzi+tpgkugXMw2tncbdbueYEGeR lbfQe7GMXxrg/DHlWUmhxvWAVcOaybbbch5WlgKwuVY1g07kwH3RHWOqNNA52CS1ec5xOsqTm75m I7c2s8aYEnm5T19p2dcG95vgtf9Z6M7QFBR3unG7hSIiv9jpmcqSyF19lqKgG24oCJAM5LYvNjJb wsv7rfBQ6vpBUSmAt+qgkj4HT00G8FbEgCa4D5zjG42lSQln9L7AtGWpcEvQmy8JltLaIhYPH3Qo 0eCOeSu0wHNowjiuknMViAA1m6tykEMbRsGUZCg4KHvtqmGTElWijRT3iMuxD+MSkKtVH6z2Ns5R gpoPHzthZ7Ye1GI7/izS/8Rr59CHkYskJZwENmiSICdZBHUbk88H++2XaQMK2LU+oIDQiREXfZ+U Udah1JaX3lDftZ4Jr37NURR0U8AAFt3NBwe7nZvn/Kik9xOqRPgAe7IQhEGa6JM0/GsDTIBOI4aA GrTfYLgl2H7L+sgIUjq+rreKjLmNeyj94cbIolLy9G1u5x/tdh1hRR9iZhLaMfYpGE4tPnhmMmAV R3pwqsDrk0WRmigH2MelP8h2dbtDQ0YK6u1RPUNx3Z5Va+LRDT0FPh/gS34Z6rG3hT3nsxTiS4i8 Le4svIfvsRMN9Omot3bbb7EnI7dbnrX64PXJc+Cc3FaeNifs7jA0h4kMji+Ua0CLtUhp07QyB6aM IByrW5KTD8rfoCJIpccTfesjI0jAt/5+mQxDnjMpjLlZLpmp21LikaulNMdjIzO2L6UQwE1oOyJG HxwSAMTkpvMYziSo8hKEQ7DlhlQJW/NWVMrLPjeoT0qDf0mEZbks71kPfUm3eKGTG3gzJpZjvyDK kra/pbcaBd0UqOkk5P4/gmJwzVuWy6IS7jdijpW/1VBfhD2lLPPB/G0+ODZNv5i/pXNyQ1ANUCXI boOlDLKCFXGpQMaX/HW/jaI2XPE/xdkzRUq3daNeUuNS97eIDw0s11OfDA0ah4KAZnp0mwVj01V1 aJe0xmySInSJbK3QPfLBlxKkVU4Qrsrb2WzUaTkbELO6K+Kzpx+w/GtjyT5Uk2ryQZNUCMTgOcND KcRyXxNLyrQhg1jt2W9hpbuAMEohV7LaRu5exKXxCWOADYqR7bfYpJH1kiSJp3RBbzURSTwpBlXR 0d2NzD/EMx2KxblRXVX7LS373GC/GVdiVh90Ks3RFSqBYcDnoyT3u9TNP016uiahTeOiUsTM7rE3 6+2apO5w3Em7Nc1SGHWLFHD2lA8edReaik0BKGHfjpYBKIF0rMPWrhdnylSVb4nUDYfQ3NMHh3O1 Rs5222vx+SCgLN9hAPCPuFqfHRfWugcNPyUchnuZYRFBb0TH8Fiq0lbnDReH3UREDBLcOHjbBBjj QfMcas5PRuZQojqXW6VvdS43WiI/KQd2jQI/oBXQhg9WohzA6/1QVHLy+SAOmLespFymgfR/A4HY sXGjrsf/ykom3vNe7COorgTQrgKBwLIREYXp9G4fNE3n2N5JIiY4rlYlp5DS6yi81aGisxJdOnrx JdHpLRrXf5ilnWjv3AW84ioWU+DZ+J9broChkC+XNIemjegbISXJVnyrN4XrlHMUeiIRMdj1g/4q 5DZVKjl44I0GH0Qy2YJDOXSoZIIKGr3T0k3hZPGdA9tG4M+katySD5boQhlI/aJW+Hxwxf3W7AWm jL7qNklR4K3m0vaVtCnjYY5XxfNcEBV8kmLCJBEBc9zhAgMARN6qK52MgkgxyI/gpGJ4gFVkhEkV 30LnRkqkAsql5hJOBJ4vZwFBy+z8I3T7+QMiBRJvwbEVhR+QrtF3Dswbz3t3ZY3blHtNgkTwieHK vdQHs/32d7W7qbHGwaMl6K33LXnsveEsx0QGrP8CVsS+cn6Deoc5MG90Qs4ubAlwsGLAiewF8B8A T4Tujbn9AisB/g3Snc9RrJC9YfUwujVzVdVmQUt+YVQhIXGWwdIf/o3K8PsdHNbdYAS5pZoJVZvO LTbRiKvwkCDF1CT92ravjWABskJXNbcU325oISqibLPy+Yhucnu54lnSMv7ZbyF5EnbNw8Whzj/R 7pLNXIUElPWb0+PuwMTRm7n09LTB+Sbnhl5rSuMYVnMLoTm6BBBDOonJKuXBvS372oi6RJ4JxC5s UHKChpOSk50qn38/udf+tkpFI7biUvJJCuNuqpFUrwasdZXrFnIbbTeZtWuSQgalUrgqtnvtt7kE 22/8QnisnZBg0WctoeN0ogDmB0AsGymH0mw58HLM5sQ3CMXWoGAJi1CiPbPq+aCwNH3DKXooDBWf tRQK/CWCutgjIdnLzZpxaXjkLS9pTVOEMaFOFr53+eA9ATQlM9lPJ+dNcIHm2Y2wuVICcV3hH8mP 5cDQERdUIuRtTh8sCmht6w6faFQGjo4y0H0alWK43kn6vERKaGj9HzCsiIvVCABi2fompazi1bc1 i+kBvMRJyB7/b1HJ9yT3d/AQYOBG46JOFfr6mzMERQ/8mvjXj2o/uKOflyiJX5ommbiLXQEO1lga 0OD5IVkLT//X7PADtxRSmRwihwHCFNUpQVqiyVkUYS1SQ5JDCI9snBzW6j4nSPJSSTA5Ksm2zuyW g1/95yci9WehZHes7MXlg89DTpwT2iUzf0HeX2fnxJCMi5Yi7XUjbVh1DbkBFRRvX2dH6j2aHU4F yByL/leYnZfQiA91xo9tDUvZxnLaxABz6jIoDVLyEhhp6fDK78nsCtcFKMPD4ITV4ihDH2M02T+B 5cHPyxd7Syj25yUs4pcKc4Navw9aOgV8BrNrap+XmEhTK5UQmxw2JGRmgMl5iYi0dAZ1n7sXbBVB ssqW58Xb0EAak/MSD/HHILJhG3L74JCkjWZ21S1WUKDn4ZRwFpuu37X77mz+v4RDfAVPpL0y4fuL PUsRsU6cIQI30Hmfl2hIv2j3jUUKIbCfIrnRQDuaHWJI3K0RDFbr+9O8/MnPrFv7EglpY0nL77+M musEe3TS3jDVWaEIOuhjILhf+0E3zvdV05cGp06Zb/D/Ns6EiSLaT0D9EgXZ0+tr6ZBNigWnyXkJ gfgQof9rm4Bo3+JHsAG5ujNtxnYNm5cAiJMz2aNt9PvScPfVmdilpXPu9lahz0SpMWh3g/3HDJ+3 VqEg5ecl/uGnrvrK/Yd2sgHSWy2fl+jH7h5fOppIcDKmn8kvsY8OcoTRlobhUp/JTaynrAwmi1V+ Jr9EPpod6oQ26YdzuFg/GMUbInKhSktMyUk6SPhvPwsxr+WsnSYq0cZiFrbWmD7Y5JwQSEtn5fF5 CXp0ZknI0k8dHO+LVmCYnJeIR0dyw74i2JK3+ezTURAQstCpU+mqQMXe8EimXkSlNIup6nHp8MBs kmZp6MqJ0r4AEm0w68npMbriffB5CXf0B1Bdv6kl2/wPAP+DoZbsiUo+L8GOfWH+OnVQuSiTJDZq dgWzU1npE32GIP/rrDvZojJOTbP20EuFUZuaUhlAk/ngiCz80mXaxjrTPBrtGhHyjEQXx3T1jHfX pwazQ0mfumleXa/hYQfXTrosJyX7vFQXb8Dx+8aqxDtJbimYHRQrO82QVDNjLswzObPdp+YZ2YPU HInOZKnWuNDhQ4DYmJEkTweopA0ElSc7RYWFES6gJxeQTXuil7Ki/gJLklmksWvb81BOkKJQwQzS Yv++sbgL0WZBbd1iwShShrbhuXAeyZHlukdTlzsrQdDyM25fGA2yPz1q8sE3Vy/YutRTh5QulBAI WIct0jnABXhwSY2sbw2WzyBevcqd6DrqdmChKMTWCJ2JguU1vyMeK5n7nf5GWbPLjjKrxRqLZ8W7 2POsNytlE8HQ6tEESZOlEajHwWtAa8JygX2OlqFSVwhKKOc8GwRR7HVxavBF50uCw7lIjkWCvcmF Tc7dC9bdD5E7fDw4nff3xQ631wpMs83Qe8R87i7wMy0UZBP2qtZsxUJSZWkXfxXM0Ga7rMmcmcNF O56gfNMuNEGyBq0hZFsIgCsUgjGblm0tZe1RzNwVDzJF06Dz+eRDVKZmR/7zRlbTYjCs45C+ITOg dCcoippBOQSb3PGgLF5rggi1EAC7kWTc+XPej+heZGFJUBEH22Nns0N+DQXUc0QvmCJc012mo71d OWyAd/iO9z3W2b7for3uK5fMWHzqiF7obUSn0PhOuRphA+3usffAOdFsum8iC6BOl6ojHHCfXF0f Ft9UaI0miGXomRkcznyDww568pyQt0NegbON0j+NOljgNmRy+SidRd2NzxtJzQ4hehN1KmRy8Anq TdZC0Dd846hZ+OQTxHSEQu6UCtIMRdFzp9wyWwKsjbGZLI+0R6n3ROImF/5GUdNxz1S8N24gDr6E 4FRQdUzDk2xt4vwgN4MeRP6Wp7NS9Hv4fPbxNjWf5APfcYJzpUFondbPG0FNiUJO38c0Uree9y1q hBE0nFP26urVn7deX2+Ua/SPJ8LOXoiOQmiBQLv2Gge/6c/FhQRGkhBwY+YaAigASqeVAAOv+XT7 1vdTqKndU9SNLl5XOpHcOfDlnJL3542cpjXU7wzxyEIbnFJumqEojAboElUlhYcjEVGrm2yZw2WG Vr+3M6I4GuRqyop1H/wmO4dYdrXnAcE1wPvThohWhdV5pqqMMYqoZvzGTdNCJVUWjU0ftM0GME8y 2uvz80ZNs2/0aIg7iq9MNxh6D6VxAwHYSO9JkRzbBTVQqFBZGOkkXENRLD3G+y7rCfp9jYw8QNIn aQ1Usami8Ku/Or96Ge+xtByqCs7M7oNVf07oKWPvDoGaKJhe+zuYppclXUY0QVE03el8zlACE3R2 m5PS+nQASG/llujDcJpLqEMI3gaboAa41lykWMMRYxXyaNKk/sP57yQjKhDxnPrWPyeIKYIG1Q4h 58JadyWYIYqnDdtrE4S+fqYUvoqHUThdaaibvmiyXpxfzWFEWGHW6Hnjo9kECRKrcLrccLpSAb2x NAEWUaWzHlQy0WWB/krdDz1m2bcG53RVcbU2H5zTwNYjbQjz542NZpf29wSB4dVoQ6YJisJpZBon 38heQZSXA5s8tPFSkye7Ks0bF00rURJ+suzm4D2e88c9s5IlZXxiiUGt5wlaVINOMPDRD2Ov6GOj 4jwrrE3ndPNzGrUDHPh0+5ifNyqajnlJ6iD6syIilLx8hqIC9KStt9M7OiK2ewhtZ1qfSMCIn29E tFvjg4CISA37HkLQvwY4u1lGBpMIEtHASu1QecmI1b3cQXGjNyKaoiEq080tteftIWnBtT+Fq6rt 80ZDs3j6+xRi9FGeCn0YTyPhWNkV1adIROyA1fn0nIk9wwxF8TRFHKDlvHzwYxruDZMttNQpt9WV 1KNgTWdBAGQdB0MVkTcOml4i4DnOOBs0Q5BsTwILZT4eBdTfSetAz5VyXJqhKKBedD7fVmJFK/V6 XBHVJTEapMC86t8IaHePo1ZGxHB7HIrOMQfVNpI0z+90rjjOJezixaMFGHbfXUbe0BsBzRaBqJ7m EeLl1vMHnI1rABYGnzf+mUUK42uXNTojZS8pvtHP7KCeyMluQL3SNS7IF9txVkGxcyiqR4vo0ebM PvhBfU6hMWrSTVbgIiE5Y9boiWFsXqyXs8Mb+cyCdgFfZPZZ3eyzV+j3cYL2XJ837pkt8++AuqBp OZ5j6D2edtYw5SUl+pQeUf6VbjPDWVVvzDMLGVipb7Rq5OBtwnbm15Vn5S4D+vlsEnebP0pfvTK0 9LHvx9CSDVhiK4yDZigtaHAzWkz780Y8s9/Vq9KYWtwUFV04m6Ewnjb2guPy02MOL7aHmYN4p/A9 nga2E0to9HoHO4YqjLMqCCjYZIhaqio4qDYuRhrl58vCie8IJkjleqB0z4BbyFyZy0xMsSE6uD9v rDOtoexXWfqRH2pBAG8zFJWmGz2Hs4k8QeT5esPXfWtnaAFrht7j6URvkobsbfrgPCHgUiH2wLZG S01Y62pFmSG1PJXOqJ30eaOc2UHLS74LtdjXBSrwnMMveCb588Y4s96fTxBVaxNljDyefuOb6RSa dNSd3hTbwwkwE1ejSYcuN2t8o5t9FT5Go7Aah7uEUBpNSlobUG9L5H3kpgNFBVxiZrWHoO3zxjaz JUQgp3wL5vUtgM62fLLP5K/PG9nM8vP5nXCgQsEQRP3mKJ5GvxdZ5bQVRPkKAjmgU+ENZ7It2XCO y9OZm6slH+5VX2FdkJSRJQgxKPLOjLCxXZ7WD5Ecb0wz620xFZNywb7YrAyRf5Koah7580Y0u0Ww rwkCNw/mYTZBIZhjiBI0rHSWskGA8PHFV9B2cYc3mpmVPRBIT8VC8ysWgibCPhcZHoNeyN5Eu45C bDmR01tcJkSqRd/6F2BhddqkcdAWY3mX91g/4dobyUy/as6/gkV83k1Z3zhmVk4C8ZWKJJLlb5es KMle8cyrNeXfKGZa/oTey1tHg6+gs33PMVRI5uhZxpONSo+w54Dcy2M5TDjwG8HMMrL+do1BXaFt UfE7PzE6pG9GphmiN4j3D9/4ZTo8MsvT28HAgpaxPL2v3tzu3pl/o5dZKMRDaGiPjV97DCWxrvo9 RM8EHUo4UWjXQftoialS+eKNXWbVV170ixS8BfQ0f62MnTvYMUNm9Hljl1nZo32tIW7VdZtkb+Sy mynD/6haAX/P22Ilok1NsuJA4Ddumf5A7CLOwh7rvL72KHID6UKbgLOBz38m991B3Xdc95c5TX+J zxuvzOaHS4d3swavChk/+MS98/NGK7NY+hdiCn/yXb2/8cYqs7Y1a0HOml7Lnb/yKss70JCTsOmJ itOJJ0tf7JRxsGv+HF/ko0hfZm3q30LUsdJt+EzPus4XlJT8vHHKtNgRO50FRCEHDoYog2Mvd8iJ wD5vlDKthPSdsDbq1t9r/o1RZo0bVoWS1RXXfmSK/F9i/TSDbL4Ryr6arF1Vof5UhaC3zA4Eaa4T bTeifzcqcXBeYo/9cqX61sdGdTMez3BLtMFaZDMLUAKD488bn0yL4RfCg+YtwHDaDEWxNOrmnW0r pfRtXohHNp/dk9ID2KtrLK5Nq8sqnQtyP34ma9PA+9LyiuLgJzGTEyE1QDbt0LHBlNJT3P7zRiaz +jvRHVXu1dCbZx0+s1pJ0CY0pz5vZDK7s79DIUL+GYBoiuJgepEGbJWzIYGrqdKix4odwbamKCxO J9l3UhyUgy2iEyueRIiky1RBAx3EUaeG4BJ9wfH4fVI+8vNGJPs6pmfrqp+h6TRVOQO+TFL8mc8H 22x/zxA+qT6Vszca2Q3hobbjnEQib02I3/2uUAvxYDGqTk+aXPWetg+e07cxzlGjq/6EIwVy9Web AbvZABHY6ZaFOh143jhk+muKFgUGvA1WFkL9XufQ2p83Cpl9YrUJYkMNxRHKnQufGEXT0MntgtES 24r48pI1rFB9jhIk0wQoxtE0Jyjt6YOXFgtKrN2q053aa9hlKIh3BACZ0m5aQ53Q8Tf+mI4Etn7o OcGhKS5G5WlxNUGL//NGH9Mmbb+QZpsqEB5Ov7HH9BSa5ef0aWIiLqZ1vMrIta+i/MA5u2EJvZHH 9PdRWWhLtWE/qg2NjfRO/cYT8pBzhyVEtCJEWUZ9kOPgb33euGNfm2ywEqxBdbPZBnnKUEAfnzfq mJUdbjgtf9kB4aa7hsJ4elPispou4dpEj2d2EamZRqE0NhW4hqLyNEXiz60iHZkbqeAYOonqGJTe b2BKo6YLf4IKpxZEi61fEDCOJH5shAJWziqhlOLexidTzUUmPCf0+rzxxqxy9hvoSn0EjxbfaGM6 vDLqQr1vu+1TsfYzRNLsREJZ3277N9bY911W8x0cUjWRsLLjm2Am27kfzy6DhjFiVRKDrtmefWxw 2yvlWLrt173te1pbnqgnu/68scbyf+Okiff4/4x9V5IssZHkf52ljQYt9v4HW7h7BLKak9GPa2ML zvBlVxYKIoSLlP0qeyONWSmBipbt2jVeWSvTbZBYw3agdFSeVrY6WOjR4J1oaHJU6r4lcKGaiq/4 Lj9Q3YEIhSetrPx+3ihjX0krW8E2WFZ/dq9sBUrNnzfGmJX/v4+hRZXsixh644vliyTX38dBbc3V Lebh7bOKCpX4d6IOB1MyiRGOR4zwbFB44ZEOf86jE9EhF0PghGMWHbN8O0B0Ev68kcU0PzICo7CC Bqub7S61jnp+6c8bV8xa7r+K0zBCHs8hFII9qCNfb5uVm0MB9eq3Uc/8klssDKi5xc4/bD7YFjsp DKzJ6akL64Ak/3iKEZeE+21e4+pet941QlQNURC6D1pAA5JNXEC78PHgHqvfbVZ6n9PoSBMUxdMw pnGgmai+xctCw5DCyOnd7/ONI/bVqJ+Qv7PBJ+iEzqvTYiPBOYUaW5gggH8yfJ7rnSDKzX3eKGKW U7E1tqSR/pWS7ZSliHYOuM8bQyz/RlSpUX+WY7mQsxZG0+TSrzm96JFd9HsRsWRKltMweS2ETUNS GFqezQfPWSe2QF22w07kKAFepCZlyRXOc1bIivIzAhYUAVWLUhgaNEHI9FhlrND5alEwPfv3BFWE pOli7lsYTFeIWKa0LqzcIujcss9VH80pdC0qTScBXiWq1x5RvXPBjA3xZxrJnTxkZbb0E4/HMflT XNTi0AyFWA/O0Cb1XYNmaIHjpw5Z4uNBo758V4Wo0z4vMriH0TQMk3b1JWSaA3JE5QxxCc1qMp/9 PZrOFODE5trTB5uhk67C301LCPZnZZoIWP6h6T0IFx5Ms27WQ5qh6kK6fzhYZZFgAN5io+LxCBhc fy0hZtF+SvcomIYCxEjNqqwUK7MJ6qU82PJsLcQeYT0kfjJkZ8FBEzSo5CE8FvC7FIpjYRGXCijk W8JIUoixdw2yDVKhVnXCoYMWTzg+isD3J9voUTCd6vceO0kiKq93guLa9OTZPAwM065nzJrbaapz Nive96g2jVyTidjywfOxc8B00pvp9dVhFIQeK4AanZUacP6cyosUEB8S1YUkewbz0DMgJFwMpjvs eza/dit4PiAcTg+mt/D3uDDK8qush5zD2qjMnBQLjZIvHkaofGHOcDbgpO5ROD3NcVgUjv1F4agT ZZMkyaqdi6kxQSK4bQmQPqAzalX0KJzmoY5TM/ugaAjmIUoYZpl4PCJl3rpH8nCoDM84ekQ8xLLv sxNrttFnnc5ZleuLqmeVgBhstBDuocprZljd89MEOn+oDDoEcB2htUpfVHEUK1nEF7fI8mKPKtTL qFFT9Y8hTQ7YZQAejBv8/FE8Hlxmw08iM3TE/vZ4uofx9GCns5lKxRrN4+mpEAATxC+lNRSzD8Vy 2dsHW0PnmAfsMfGxcmIh82bGkUekPmTQ/CRCMw+fEaT1lRvsv82rK4zDpFFR8BtGAfWYvzociQq1 npH1sEJ9fg6Q/k3YmyoLyupXYmtM7lWIYTlBf1eoq2HPJLApeua5BpCC4DsDZFYpr5M66HWdEB7U N71NRsnqHlWoq9gbhZpeq3h9rk0gOqey+onHI9CZn9VFYsydjUefoveQWpkKlAR4nSOtn6adnwfq QUWOQ8jwK3KOHoXUm/e8bE00OKYKKnmtJwnEn2NpqLpY8QAWOXm+xrNjhbpHFWoYXaAltpIPwsOc I54Siec2yxOPB1n9r5zjJHA/4L76BEXoaWAm+lh1mLhgJTYoExxMTSpMkMQceQpFaA+5xFFSkQPf gTnHGhCmzsTDwBCjU4FxAyPcUXaY+U4Q9nrFZ0TITh4/Eu+YX+Idtch39dyLG49HS+i7MJShwlTW 7UX3MKYWZqe68kJe+3qgUi03Uc4bZXbe91GBWr3otugXy8ErQzgx5IAMBD4aQJlGQ2gHoUGXy4P3 6FTO7RHewzplk9DOddlGBapgYvKc2A6P/4sGrSkC3wPeNMaDjoJqMJjPZbKcTNYdz5BZZXfljmka ZyMqUQsyZEkXB4cMnS3WYQaAx1JHvMSYEYodsLf+gZPZNdDJeteouqjaWVo+WHWxF2og4jjLeDwI qofP0ObEcvk4ImZEQTVKxwNCxrzKBsrsP+bJzAsbpY+RiseMI65QE1OVxh18DZ3gEJZJ3GUnoq5T NoNUUMapPR8y9KI53IiCaiMn/LcgPCR5ps7Zufh41I3+JVGBzy/b7/oR4qcZVKeZbIKytTsytMlv kwOAIE1QVKCWS+WcrBxOpugnVAGmqhDIwZ4hyPL9nN3sx5Kq3VgKv3f9+XUGPiNAdsrqTBIeY23T K6+DPW8EQ+f/4fGox3HTMoEc5w8/0WYoiqhbV3esWtqx9y0Ope2gKtzCtseiiHqRIkbqNodiM9QQ +MyUgKhLmbE1JS0A/OAV8NPTc9VPTFCI9yAoeFVVQKpnNrQwEMjlxDt4PLrIvg8hYNnP8XW3WBRO w+kL2VFzyJDLKsGa4kFPe+ljROG0ZBggFuODt+tJpJ/CBp/p6ZslgExJLd4tNMyxLhBtz0dUn6Y2 YIK6IQd8VR0nddA1LQFgmPF8tIZ8k0kkDhyk5f36EQXUYJGdWK8odn44U2BFtytCBeSBNlkUUEsm p41n8Ap+WVBDIkkbAt5Q7uYU5SQEPBuJVqEmrX5EFWoJdS1AzGxQQA0otswXT8yAx6M+mW8ySsGQ qdXvGgrh04MqXdu1AwVhnMpaXdp8YCdqgiL4dGFqPwphm+N2sBAM5XPPU54Msk2Ngk3QYCT2XZ2P 2yXrVe8aLKHKyuuWRte+Gl1wuiBw8aQeA48HwdD8DoZ4QnOuNUFRhRrYgJOxVh3TQHY61+6kma54 YoiPE06PKJyeAg0Vlhm7JP2yYWL26IVOCxXinJUg2Uw48AmJfoAxv3aC58/jM/4KhbZclzhYefEE EFxOZ7FuPP6vJpkKSVg86W6xOJxmUXH6Fpsp+QRRiUxbTClZ4t/5k6Ew+h0cgH/y3ZlVwi9wm8is ZYMIhGMSmU29yMWtJRTG07zpadCEiwyFP+HL6zatg5PJLjwfpPWXbcf1hkIDqUWaorhGjYusLFtD ezxtoG4CXuAobGPbjbhGzSZ0WdUHm6KTL+2T1Gc2fmfFgbTp1oGGK9itaCV7FX8T8THCGnUVi0yo oeZ5fQOKnZ4m+DQ8/q/CB44htAQIo9QMzbBGXSUW2KxbD2STdevRT9cuG7yJkNfPKJxe1LeDyI4P XhlKqa5Z6Gp2orm6U5FbPJinwGX38gDPmHDMEPBR5Y67mg86p/eWMBJEZxoeDyaoeVqPyQQRvFDI SRMUhdNIbiGsVFnj6BMmv5TBP3ELcgTCGRqdtaktFNaoJUi1VBlatzLUZkOquowHNDsUupGSQU0B Tuc/gN17BRaZIT7jryL+lM0SB5ugkmVndiao4PF/HUN4EKFn7Tchm1E4DQ8yJAGJOSsA5stb0RD7 Ed5jp2Wt1hnTESXrYSIfXzaU4O8lUmLRKBuLIt5pm+R5/dkUMBejlcoDMy5RyzaI9SEOVvZofbKX DFYWHo9wed+9aCLi6y2+ziiaztLrd6IUFA8smi69XoUhMpS4guL6NPdWEy6v1ac+XTp9uegeDM2S zZigYFOU1aQb7uVpHO/4jOgMYslsqw20vQ3UKW7JUOicdng8kpv8bgOpBX6rHjMKpys7icWiHnj5 3FAICsue0jc7pWeI9tDMZFI5ONwVVBqYak0rKJ0Zo7gZIucK3PrcDwIfj+Ezgi1GixfY4PmgQ7qj tsKr6fyoeDxoJLbva4z+qTXdMygKpkFJAKqtG/h1rMuq38k4ZV3+VTykY3EPKXJKH6Y/+jB5A2Ce RSSrpQBlyC2GpiycAgYyKIsVWVmcUTBd1QWiaJsGraB0Vixrr1Cdw+P/KpzhMTRTaO1tExTBPSR8 366t8mKKofL9KPee79YCmlF1WnWzly0Grm8mLe48VuHhJh5rpVo5FG/betSTi971r8riopKnBqt5 oO4tVv1qeDxKx76JdnnSy8mD6RkF06gUwxbaAVUAYBigal2FvF1d2mOGEnnE1ffFb98vmGfTBgpK fYScnVMxUXbpfKtFnXDM0nCiHQth+IyIJiX6T1s+GAuI6iesmm0+HgGqfrWi8cfm9v7GjOAeqGFB mcqlOZNJcaOeXG9Kv7cVhWYUTKvBfGLO6cMFDJ0FWlFExGP9rGqZv1DrDkWOmq9I3qBG1YxiaV1f a2oFTV9BJ047y1Jlxd7xeAQY+p4gHJOlXhLQDJmIOIMGKYBffmUS376t6JaKb7GoND1IwJyQ2bPB JwhV9rGJPjmJU5umVZkJZkAPpl7hikF39xkK5ZnUvbKyG64DO5FZYzwZWsHj/wsepvF3GX5IryiS BgsHzkYqbowkwUWCy0f1iseiVARW0IrQHqpI95yrDx4ojgbEX2VCv8lyoQ83WogFcABqRX2rbq8Q 7bEJLyI+UIN1ocucxQy2Kx6PmIgeKLLBv6m961XFFRamF6ktfdkZREERUThkDqSKh9uUrTCSNsMN 4Yb6I5RXCpxu6KmVUIJJnXzghAKNiQNfvBAvJnxGpJPHACipt5FubwPCheognmnD4xHkbHwd0uLl 3x7rCpWlmxJ6P4PqI8qwLyZvQnNcKyhEe4gFtHWLbVJDG7fYyQPgd5etgTg3t1ihazUQVID+ffHF Gz4jqpnpFhMqZpW7xSY+RJH0wOP/Uq1gUIma2bw0uxUSEaHavqjpy4pHc7Lv2ViPSwL4K5qgEDjd RU9gFMjB46CCqihB4aiZgXjKuquozWjAtMd3i7IeKyYisrUBPWMbrCQE0VepcuWNx6Nk1eMgRs3Y 4oBD2wTFAtOIflJtFgel7nZStAJXNt95hOCQXiEPUY1DuUi0x0UCYl7jRHXq/ZwferJqlLbs3qhB d/0RNpVhVoTzoEz1nhJRno+Icp9pSs10nVRjxWXp/jVBhL2uW3VdUSQNNl0fLkXVhwpCm80xt9zo PeM65ASFqh6Et9QtvYX9EO3OsX/WRyH0vkMPmhrBiYJFYIBC4my4rw25ffiQv5AwS3Whde0YW3UR CFj64fEA6LG+extwIa75NulXiJyG0Rt6WLrGwGv5uYoDTrSbKI1qj4WhdOU1Jmeb/uWOBIo+2vQ8 hHYCeZ/tQ2KLAGBBEGYFIYiL8jOCCZIPGSuUGLIxgNDbkMl9Sg2PB9fYbR9yMvDv8tWsWDETEeEP f0pWzOqczpFqJPg28cVNR3CFyOmupmBuPni2elJQnMkMpdG4SaSUJ6TuMDT8aTg1rGJGYZgVhdLS p1qb1z0H7bFBnxwVhPiKwSm9fxVdkfY/BKAVIqepvpQsnUdnwz0ARuuGgIWBqCnnrBjmQSBekT5V efSpcLOdraMVBEn6PWyPgWWHIlbP7kl+8raml42g01VUzeaD0lUU95rALavi8ahF7zNE7W7UWVK5 MxSWpc8SGkDMGQ+xOusH6arP0IZ+tmbob9HpolOoPKdQnWbNllmW7kg4qOCFOjZaAqg9pRsKDXvZ PylSKS8fDEx1Zl2M7535eLSGbjAtbNp5kdk8WNxRNA3yKkoerp1Ts7egM51ELB9z4ZMdMhG3qNAp ++C77EQP57TZEqiC6CtRDDlRzgMQu/+2kN5RNN2ybLZ51c9xwVQ44JdAdoOPB0Wz+ku2Ar86qvA2 Qe/RtPxsPYbGgVRd+q0U6QxRrLNWw8Hsv3U9gAP2wXvQBdcFzpuzhhYcSOWXwPY8Uo1xxYUKu974 jKAmJFHlJSHBdcFU5zRLqitDEne/R9P4Db+lc6hMU2/hfofRdALLLpku90gkXitYFBBW7TEcP5yg v6PptqWtvK/ea4Nb7Yk1RYemEtNQQRoFHvRd23PTZ3/XvyZoN6Vlzc/pc3jNpboyYqEd0hBL/pog 4jLY09KHhjTECX3+nJRZDNWtJHyyUGcRBWjcCYpRHkpYJb6Ur/jSuUzP2UMHhrPvzsUvYwDYblJf sZlW53iKZjuKpreWDk80DYY1G7QZYAI88XgktPh9TCNhLe2GQjtETVdWgtot3HfDvsDj+rFruWdQ LJLHzupSPWO1WzQ7Yf3A/a4ONBBmZFMhCwaGoX1rKtNTB58RpRsSZSDLjoPSDbQ8iX89X7ji8QhJ 5RMkOVw4KF5Rhh1q5EGJbdMeVH6j1fkbaz4ThOqfJigGefCQngIBTf91zxEHzY3ZtnQ9zhHU5QIM VADdB+t8gPe4FvAZQSgkUaEsjlR2jlSBNu4SyCMtPB5cY3cFERIMbC5ue5ugCOSB+OusoKt8X5NX FVd5OFIoiWiCQo08Of7MdAcPpukvSw2f8ykn9xUZONOjHkgmgMWt/UybanzGP3isywcru5aiM4jN wx1rTl8eK6v8YEbK2pcz9Hc0nZrOG0h1DltCo17v+tanFYV2WJgWkVVVZw7Wf0aOMdogIwkKxrvy uKrSFpqwO/fmWKG9Kj4jiBVpWb8aZbw4OHej9C6lzjrweHCNzW/QNLV81nPPh4XpBHkqCquIRLb8 EALoyTlSvAGQse44mi6qSHcfbj62IQdA4ZPzY5/okOa/1S629bNvZ4PysviICIsnHJXq0v12NtDa WKIhLj4ecVvW1xaDK0Dr5S6gKJYmTGgPcwEAatEqZZT6tQW0ybLm/EQQjyk9d3S3bLBbDGLKJ2An +wf089TpipzZ8ofH93xAMMiO+BmRpgelKrqIrJcLWk4WrG7lOfQHHo/KruUrX6X0E1aDJiinKJQ+ ix/rpiYrCs2Zb8LK5H5L69Ua9DmFsXRXntGmD5oiHBGYCcS/qVXcyNhjuNvOfQKAdqYEvQGFqL+E T3mfJKMgNiE64VhAHOeJFs/5z2p4b3nyD/wL04k1zl9r3dJZTqFQXs9Wvwemo09l+AQ5FVYUhVjE 28noJoyoWV2s6KnYYCvpZKgnJOLBc06lk2kAN4qsrJHCX2E580A9xrbXDQqwU/QfFqrHxY7Cm5st 7B9oX/L5iFd/wR7SrgAc54oM5RTDPaC+updarVDIbQ4vXyZHgGrss5pC+LSAHjJAbF8GiMCNtCaq VEO+wAJRQr52fskTUy+SNk3BvNrbBrOk5JUNwDOgwE6QZoOn9BAncSz+gSAwujo6LJfgBngOJSkV vYeOGRG1JfNDAEsTNs0u/XqSK8Pm0T8w/EMkhVcf7rF0dh0l5zBLZ9tB9wFFEPSNEPJl7jdPYLe9 boRfVAVNtsfVWfwVVqqyizj/gs9HHTPvd+Aa29D0Ik3JZikKsBUelakO/Tm767h0l3XXEvneWktR vXoYh1yi+POiz+o4F/NJhytPphNeQ7cBawkrHaoZP5nyQcLib2oI41MCML7qsaZSWaajh05MryZs 3XBUSCH4o1z4kKwmG9V8rqlCCqvWA/TNSvIdTtRB4lQjswxwC7ETESNUHU1x2dp8NasPt2x9lszJ PKmpk7YwnlA/QxKND95fgTajAIqMv4d0RWI6RMpwMIjM+VGHSmpp8/n3aaq/JPTgutxKeq65sHDN SWKQhfN7bUcy4mAyJh58uraf31GwPU3gtRcfvO7YEMqbL9gJ4AuVLM+WOwfJQGELyD2XqRzT3jaI lVi6HvLZHJcHiRhb3mjo6PL5qLj/XTSiinG/+QhWd4BFy4yRmJxxx2ULAHCL57vjXJI6p7B6zWO7 9jJ8uKXHjTuB+PJ29vFEhRV2buCCIEKEWaOVjQoqQfyQiCzNdL+oAVIovcrSI8B/hOCdh/h81GX8 hasGq7r2JxKIQu6VSSy7TqSrTs/aenYsiEl/cCVF2h/F+Paz+3BLRx2QqyryApTOCYdgTFmWrEyu kp4MkFPs88LtRoiuBp3d56apwo6PNvl8sN2uMgHVUSFjQ7UMm6Swhr1I67iukhLxHoQ7PHattQ6f pLCILUbwbt0HX0nntICuDnPbRjt2ws/zIMUZRLxukKJCNwx+yF/1o1nr9MEaIZ2/AlppjClDs5er QiR7V0x5dtRVDs0T0cUFMtCKtGY4zip2esIAevhxkgL3RGAemJbsVHyw9Bb7ome5CODnH+ZtT+03 kHWYphhbkW7RObBPhKAey4/A5NhgRTbIR7NEQvvE0D9xpvK13Vj9LNcTOYcGinCmgc+LlfrXTlc8 t98MjvoONkkRMCQRg/5/qTAA5SPskjxsa53SH6h2I9UZPBbG77Z+jh0UZW+bBVLL6yJDzkoU8/nc H3w+iLv/CxqyKRxyJyn0UMwSIbIywGZaITkiCe+wDACamCwCQxPFRSrDkHQnB6+1QU+pMS+Dn9YE 8ooXIPC2iPdbub7jPBv5IRFhSC6KtFaibwMP7hNx71p1cNfB56OK/7coGgGBWAo+SVFFGxhqP4no 5eqW4+eLFjeaRBjiKymMuiUoIyY+B03SOF/lnJB967FzvzTJohGjSouT9QjrsXmdAyfFq1+5STfi YDA+3A7sla1c+fy/+vtcSY3azTeYDL0UcSBgkw2vSU7XlJlCQ3C7gVdpkxSyF7k+epWXme4aJXDA bTcFkwWyIpW8mQTAcS0wioCu2MUZ+esGPX4ZJk8KVk/ysLSUIKBeuJRgyBn6KZZf5LOK+vC4pf8c +CkiUmZBe7j8V+7V91sh27Owcrv8egsMFb3433PdPvh+q4Cig3PBWYITeRcoHZjnk9ehT+aHEkVl cuCoCLgaUxJLUIZztSGxJynUknvh85GOpWe57I9AV6D253oLOYwMlNg0J70qe9KWySMyyCPEZ836 Ngq5E2slBS6DNngLCWDozloH+FUnxKVj4GAtQDJTKN86Sa/Y6/4lUDTbHj4ofwNb3rTPy+bz0dH9 LToMWEPtt8KdQ1vFRPVzEiVZfxuXIDNRCzBRB4Z+mqXQB6aagt70wetvyNMX6GYFwMd+/jtuOJQ1 WgEieT0W07Xa20ZLqVGiM9/B2wC7k2R1/o6ejwD8NzFh0wBt712fDRcG3WgEjFZNFHXuy8pn4G/Q NVLGNUthoZs3W2tK39qTvk34o1YtGvjATAQEqAWgZYIiA7FaDqy5r/s+TV3qO2t3HxRQLqgjSMdp ZT7/r9SENz5eijJl9rEhCpvV7uriunvu4vnbYJvNjHBNOjbHBotyVlRjiYMD+WFB2ZOpO5zAehJk khSfo/cP0oo7UGZ720j6k9U36i9psNRkd5rtAAHe+HyQmhTfcBSPBaG7PbFS6LEIDCK00tSMPLHE FQRby3wFgcROBkDKocnikmkXM1gNfsOdy+ucWVsOeUhzqH95QmaAgODV1tMDg8z2tkElgHppK0tY TmqeOBFOoNao0NALVlJgs4gk0/M3HtNg++enKxD6LKrcTR31/xAHYIC2zE6rVhI8+rJPUgjH3iYQ kn2w/bbPbwwnRJa7ae3L7nZqnFWqizRfSYudtxwaLWapx7KNp8FKb2ezNfmWl8nng9Skfht4UZJ2 XqhfDq0W0TQBB9222wAG0wqU2wWw6M1h2y3wWgSUUzpovfnwAGrhUtIebblGLBuatR1oY/RQdSad vZjsbf8svNEH/QznTmchFExe8AJ/KGi6+QeisPu7c4IWblvjmaUQSDKw3xiz/0fz5aBanL9iywJ/ 7M7Kgd8iOifEGxddcGU/LQGCa0TV72rCYZZOQAkYEhT9Zn5cTUu1142QACgCdL4PBtNUwVLd5Emf U09vGfFnfC0JbYPA9GmchJaLaLkOFCMZUY4s206KXYLdYjTQ0Tw5CTwXswmmDml9cvANN9G9rXRi Qm+Pro7oGuD6JXpzlNvqpoJajj0XZQS35BOzXH0dKXkx386U+XzUEfhl/rrJBr0ZXOi6CLnaDqsS y+D2hQMsyiOaU8wzSTGkhGtoSwhrP7K7/RzSFR1XnEoJRzn7UCfjXTgX2Ahwopq0HHPou5jFftjC BezssC0ourMd23ptfD5YSeU7oESnvxJcYJMUhd2tc5LSNmQbBX/NxqJ62D0R+NskhWJ8PI5GozAI B8cEIIkbWbZ58EBpXHOVorcUXxjldk1o3plD60VI+p38FtetDQoCTuojQui5rgafD6Lua5LL5/DL lK9DKWQ8FnJmc3F85LjAkmReX/kEQd3T3MB98VpRy0i4P0bCJxIZULAZdK0asDtkVanQ3QLoCeSM Nkk4xfW2wSR1OZ+l6oNtN8himfxu4/NRlvvdDqAm6S7PSoqC7s6TO+9sWS5ImrbdmPWpqlSriVzn wIARzGIxZufywU/uVU/kpKAbZQXqoaAtTpG+RF7u01ha9rbB/basnJR80CTBnE09k8btFmqITD+4 uWqoSN7r1zS9R91QZBxESraLMXEtcPh1/LiQcyo+TX/bmtfGC46Do5HP8QwL0qRaN6ysJO3YrWSy 8a2m7rfa7W3/grSvZTxjd5wt0IpmKt+gBp5DI8bfqmowp6+PxGwOnRhlNFhLsahb08WuSVtOziKV ThvuDytGnkq84jVYGbeCQpvMxAoxUqVyTWVPD/zU/0Zs59CKEf9NhXB/8UFzVAE4YLKRxuTz/wq6 meQi2q8X959DM8aNoHvl7ZrpbSavvc3mJNpRU/U5ioJuk7rOLKxxsP0G+/eTX2XN0TmjGoviFdYO hRiw/kzS6Pa2EUOLjYDatw/abyf4XSovtqyXjEClXuoWbRsoxfYkubEjI52FiUKQ/NzVDoPyoOdv AI0qNQktGWXqWWnOrcG3295GHQW2vUBBhhYy+CE7qXewb3dwu/rdoSmj1PfnkBf88C5fA3WdYkon Ex18PpqmeyyxBnXWFMWPbZZCiEkiuaY4OlmYbRIAWl4eTwIvY2spjLqlatSp0sfBLzgQ94t+v3NT 7nOAL9nITFSUGvXyLFTaNK7MgS8jKnyy/2CWy8E4ErBxYLZRs14y2nDza5IKYvd2fWRybMw42OVO Zg+76VavpTSNZ5tlc2VLKXaS4RoiYkuDLSVIMaIOs7ThMsTo6FKNUgAkajOb81ZVysteN6hQsoC7 ifrSoFip7VzkELvQyw3sGcEa9VnCzUJgIpQ7fJaiqBuA+D6gL6I0F5B3T3PTtjSXhSAlcKFBo2ig lSVHDR5QnmMIqoYs2MLjC8HymSUSkhB/4Iq1Mu5K3d422m8sJ3Wx/rrfDw1+azKU2SiYBBaN38Vu ydGdL8gM3iYpirpRtn10VQHe8mL3fri1wD/4JEXF7mb4WrZ0OdhSIopruwoLLBsnwUrAP7QtFaHb EVjd3ja64BhQVvEm6qXclAadVZ4zPJRCOPcvZyumEKs9QUCogX1Oj4ErzJhtq92OQKmGfT+RVL/7 7W+fxrpl172vCgJIvueqh7U6QDh9goaMoxsy0B2KxblRXVX7LS173WC/ZYZKlaUADjqVJnBCVblJ 5/OR5to3K4A/TfqapRDTvUVNMpr2fmjai+DV/6j+l7fPUhh2y6x7zzt4WQneTXBhwNF9FgtyYO63 TIM/6LvVCzVlOYqfEqEDNT2yiL9qEdDM6kpzz17g80FAmdN3Bkf8xkMNyKFdI5or/WRoSV57E2L+ gr2dYBsS2IV0f/QZdcPFYbdq11I7aJeAQzLaZDcSSs9oL1FarAJLNiq9q3O54RIpSjlwbEz0xsWU 1+GD1SgniD5YHyeS4PORjuhvjAkCtnzRSrFn4+7kJjkAvibP4TYPYAHga/GYMjBthBSgXFT2HSzu ngMG6ooD8Ef3qDIvRHcBXhgsOivRHcnf9q/DeyfJIiTnSiIz4VkB9cvF56Md933DFdDQ89MSCG0b kZtBhE79bZRMDMcFoWa34pn7ZnChb+MiP2BIGWF8KSPsE7We4Hbpa0MuU7xlwrMyCco3g5PLdw6M G6+4Bq9uDbZxGq1H0C9qhc8HN9zVRrBF81PKNZvJoXMj8D9OCMRKavtaXtEkSWluntkn6R8CJPIA 4WCTVJC1oQOAx+YJshPrc4UiECCWUn3eKJMqvoXWjXSu2MQFARgAplxhsFRhSkxd4xNd8A/8S+6Q ORxVJectLAXujWAsUYRkXXp7u7RJT1lAb99efYvtG7s0oiTrty9oGYcqnMKoYwPWVp7DmPBoXZww ZF85PyI2+Bl/UXJOrFJ80HajFKqofkBPhPaNv83lkJiiWnfnKGROJhoQW7CNjoBrJKz+NHM3JQAQ K4UGjhYGCP5Wv7RpoSSLJE1tShhWrSXFOtzB56KAp7RL+rVtbxtF3dxuU7ju6QncSRFyzcLWzMrn o4X0S9+40TP+VpVCD8euSeqmAjAI8pAWSeoWK52IhkapnKQY1c3ZSWv44LlJb+BeDcZKFMxG6y1N 2kFBZGyV8uDelr1twF0iL3BMKdFPB0+cuL4moZV2qnz+/eBet2JCFXh88O7PwR1F3SgXOtqt003W a90st2q3TVooapJiCiXlRbPEo/KjR3KO1IoWHHtL6cRClFNIHTHnqMwO2tW0mVRmy4GXI05uprnA ONugWZIuEjPXqueDNHd9QyghWADoyHN0R9Xu2Wi6687WhjGhFnTd3hIYvd9pijAmVRVcpmcaHBdQ TjaCBcWjG0Vdst3zEgyG2sG/1cdyYOiI/yG4BKhVGywI6Luo6zHRpwwcHb+BOCTjVlrO+6n0eQ2U 8hVHKq64LmmAiaofi4wC494C5WuYlME0lXEIhXzHY/HUCHQcnXR3FC6HlScJgUlsOD2a/UAmfl6D pJwpgonjuncfND8Tkh6s4LaFp19m57zgluQMkx5kMECYMr9lGSuYHGTAkouQ88zqzeEADVQDlAAE ElBKEkyOOrijqkV5hdDRP4LKMWuNCdTSLF9MZt4om/ygxfsD2tgDeX+dnUSBPLqFbB+sV3LiSR3X BbXb19lB2LBtduQOAZ708tl5jYwy+iSbFMruCdu49mDVLXr6JFQds/MaF2HpaHaWsLfrwd6eL1wW LUQKWof15J8yfwK+kEqh+WJvWSj+vEZFWXBHlI7kqJIfR5UknhX0btvnNSTC1EpHxSYHBOxCghEn 5zUgym5atIfr+qnnxhobLmAr1141m9dwKKO+oqXT7+CTAz63/KWQ7FfqtaPPVpLL+l27787e/2s0 lMFRpyAkqWl7q9Wq6kaGZfYPD7H8eQ2Gsqpzz+yQs9dTttl5DYWwsUABmNJ8RplWCLdOA+R01Z+R R8smNVg6QwlHVm8kf8u0nHNsJwFITnBVNsldKIf90MQQgZHvK9xHn9cwCKdOVU7Wsw9WV2urqo7d Tzz9GgThaeOTTjkTkk86i8/OawyEjYV7yxiAmJ1RHRSxpCguycNlTiqvEVBORtkaiQk+B687ToDA l1zlztEOSpKgyOeAQq0uM8HntYWwi6/6Pj0sf50IWlo2pdn6xArsamW0Wj6v4Q83iR/K7JywC0OG PafnNfrBUd6q0SJVTmNOpHJadoFaAMUMOvoa+2SVhWE+XJoPPj0DDbmpbhGur0V5APIjKvxtIKTs SWuniUq0tVhv3JP1fQ42O2y1ML/K4/Ma9vDUmt/nDv7IovI8Juc15sHaqezwNw8N8/Q20ZBUNhOx 7Ojj14iHh7LWzio+WNnDWkO8sk5gCIaE+Ef4lyiPwNrAw2dS6j6vAQ9+APVitwwJtwMtTmxepAzZ Tsj0eQ13+IbZZkdOxXAfvqfya40xu3Vsab6zuGDFqqEOnMP8LU19rTDyUNBFNIYPfqGfi6Fm1ihP 9FTBKaTiEUquJ/3DS6UraLwJ8n+tL9q1WE7gTaQ/BwW/MFOSLEIpePp9dhy0ZrNDOEH2C/21tJiF cobCWjecUe4mgl0gr+hFszxd2icKd9zAqfpwz50TGdRKZZ8+YEyILD9tVCMaNgS0ki8km/ZEr2VF /AIGwarFB0u8zm6VQl2Futg/zx0G1gC910FBdbEKg/mBngCUGZ1Js3r1c7nz1lIpyJ2Z33lrOZlw zVDldTyVVxgNtwHLPlxbiNsKW0cJOgAdPwEBD66qkfWuwebC6Q1nq+6Dlk/Pi4pNP43YmShcXrN9 3+pi9/q99U5aw6/CjAfkAx4+g5pdykuZ8UvMGBV5g6tHE0REyMhkiYy8bkh4UqqKcIf7C6L8uGDh zHxS4UHG4F4XqNb9ZaPDmZV7s4kfbpLWIOPGZhDKBp93ylqWZ/EzQwvFIoCSbIbeY2aAZlBopW+g +tM2V6irMJ2XMMu6UL5ghjblNIbknscj9wzCGhhLMmo8N+VaW8o+5FWhMwI4sPKtpbw9ipqZ1m6w KX3Q+Xx2tFrVUCb/vNPVsBi6T5B6tFzJdwlFcXOjUWO14+ZsSp8gnJzewN+CHHf+nfcjuhfd7oxs OPgFBiuqQgtTmOGlurck56fMXyGkcu+vbO8a5FwgcyYITfhgSEcYO4gautDbCCPnZRNEbSjCBtpN Sd9DZ5yuT2IBscPWHC2zx2x3BV1E0XvsnMnlagCtbB80QeeQG8CCshx9Egz42nEOQYAqSDMz2XxU z6Lr6+edpmY3fIUoaffBJ2hKdJISh+8sNd5H5WsFZSq5Y5ZthsLwuZN6bUhi1KKbl33YV1YJkY6G PIXe4+dszimztDs45GrMMeFuwtxr+lXXJjVQMEOPQp3VoqP4OSXToq0+8DPSanQboRV1/bxT1BjP fKemGR3pzixXMxRG0LSy3EPzMlKenrlvic5vihwmr0RHIbSUM2alixMHP6cbPIC61lCHwJi8wlCz r0UJ5636iOsYxdBNzfoufbHu+mK1obTAY3rm/XmnpzG79TBRJhBog1eSHDhDURiNEGuo5ML0vax8 b7JuKRggs5aCvbPTsjdZu47rfotW52AGDLQx9z6/MDIwJRlka0z2ohFHq3Q4KGj8zk7L6owB7Dnu YNjGE4LaNuvz805OwzsuP4fMG1TlSp+i91gaaRi7h9vc4TdiFec5JjdJW4SnchFFwTT1QkAt2z54 h7UueCCoUJ9gTCiq36KZJC0380O62nrXYIa6NMZm80EzhCpXEvADIjVRNL1T/t5m+Hz0KWyConAa Zb+Th5rIUVeGIw+wlm2uugJ8TlAcT3N7ZfaXZ76CxqMiGxX8HAopJwBiulbkOXw2n6REBSOe9q5/ ThCgHzboyK3gEgmniA50FFC7e4rSDeTSzJatfhjF041Soq1ZQwwJgoOHWPHmBG0yDTBB74w0bjJh Y3kMcbjt53M+1yUJtgy2vFTawDc55zRDtkc0a+hd368ywEEQBVGhhoNdZbUXImRQ1/m889EYKvSv FUTtLlj82QRF8TS6ikD39v8D1LuOISgETbvJ3tloVlCC0LNI6eUhpaeT2p8kTJLYo6xCasjZ02gt gsUP4P7D2St62eAqM2i1HIqWS2Wen7c1HtT1ZMOfdzLa76tsWSGxcO9riqIiNNwRHyHsDqF4P4VI vhftY7ha1jsXDW8vCcgxmw8+Rev8vjIMPVO0IRxOxWdo8HV4bwCAcPuFw142SspYCKpy4rlH3Zn3 LMRePffa552Jxg7I/IqHCtZPWXeXRRE1isAAVTfrgpXqXTDzLpiCVxuO8Z2HZqEKatDaZc9lDyL6 OmdGY0S9T+yCGsdZRBzQBi7gSDsUhkoi7zQ0fAiOrgTfAx80Q2dxThI28H/9vLPQfiX2tPtE35Xy N5qhKKSG9YffXwTpD1ftWfPL5ooyIueyf+egWdEFB9AuPtxw6BzOK0n+qSGyItoRpGICPSgcf7fZ speN2mDmEJJ80DY7ie9mll9Hmp93CloWuM+2GYMnuiPVu82imPrcj8g1pqPP1RuUTdF0q7SV3QDj nYGWXVh9GMeqP5TPiYpxBlGClM9eCzO3Ag5Phc8t/q1V7OXv8E5AY9zOqdnPoNIH/BG2mvJzfd75 Zyxi+Awx8kFNcfQ7Qe8hNVZeIgfdgOeLskISNdIEVSlAGuDsnX1mSTd1eoYPNkGg1cCPk0c1esKJ OmvEsHVCnKEBf4tDSy8b1BbVSs0EC3PQDKWTjgktttL+vJPPuIbK1y4D6sF0sTlDYUjNjpgKiSLF VIcISYWeuwwCSVpC7yF1/n+boPNVuZI4WDgEhbUTz5EJ08ivMnV6xLKLn15+voyc+BnBBAkhJOAC 58SMmQvcF7BxEI5+3plnvE3K13WPRV/G7Wu8E8+yLOxAdu2euCbXx5zFLNGhatytdv/OO8tu2DhH v4PXPs79NCErioi6TUSPUl8XaWBKMk/VM2oRfN5pZ1a8oEr4HQytUAbd3iAF1j/vrLMsobSvgDFR y+g2nKOIutIipJqzHupo65mg7FlZvpvsPaLOZlS05BLPwZZQPmtoUH0Hmf05J+QK2qGZdE6Bc+Pj FjO7PYRtn3fC2d1j/ydvhdpN5qHdUl6fd74Z4s3xHVFTSYcrW03nMKJe0C/oRbEhzD3tmAZk11cQ CVbqOocRNXBj4NRNHy7q9QSMyDGZkxVgcRV004iY7a7b/RmEc7yzzdjeYq5hQJBr7wDF/80Q8OQe +fNONvvV4ch6tZ8sTBAnKIqop6gv5qAyEmWzeEyfbeWH0N4IcjlBYYWapWlcWz7YCkIt9oQ61J4/ e6iS1wbJx0WWLWCvW3QmlBeL3jWYIDk1LvkRrutHuMc5/HmP9ROvvRPNfp3SnAxkT/smre80M6yg Toknd7TcZVyZRwXZ6pAlK3y8s8x4Bm0tnTv4CspwsRwkvcBxEug1tkGQIZEuNh/bYUKC3zlm2CNV To11+6AVVFpLQ0SFzleMLvr+K5ymQYhfY+8MM5wdCQVqEAiFBx7eBAKVN1sTaKO6qAl6D6dden41 3lEc7hZruJMnveEb0FQse3S0GAqux0wHaQmqUvzinV+WpTcAExaJqSUSMlk8O8ec7OTOD1k+7/wy /IjbT2lVs4nh9FDonV7GwhAOoWGIaaBIrnQh1WIEK79M/Hd2GRsI3GOJ9VcOXvc44fP5CpMiYQio Ey0gJjT/MroElzxNN+fPO7Msy47wTAzuDhscF8Tkl43T+XknluXH/gJNb2dNYVH7BL0H09I96aa6 Qxm14Yp8ay0v4S/QizVBUYE6qTAkHPB8cMAdeem54JcqZ+eiMQ0RJnko/OPHNwOMTuX5d15ZlqTT mRv1EdPtI0LyYssj5myAzzutDGvh1yHUaJfV7iEUBdOdhSHaLqm0uK5W0SzWUjyX27SU9Z1Udhut J4prPngFf0P0SiuooRo3eNNl/I7nzFZZ5fKl+tbLxqUzGOrqmC5+TFdIH5jx8OQ7Rp1Wr3tUiT/h M2++8c4pywa4nyzJ0P2cmu+G80jDsa1MFnmRxQVqtVqbONN8hymNgoJmtGJFhKKZnaJEivwGGCXR sahrnyW9bNBqbWy1qvyxgNVj8ybXtXCz/VCgsn/eGWW/OmU44qG7ArtHn6IwnG6ouo5kwdCgS5Ug eLm72MWAq6emKCxQMxM9Cd7wwcPpRjxMFrb1HMaKK0kOboBW4zpzwWJGi+9ssqcylCR1gf4MUc3n Z0GnAP9xzMzno7vsVqhxwiPZqJ0kV05RFFCDOITfOFn17AGSC2vIVbSLN+zfuWR4fXWApL4zH/Wd Ns7yzjQkQMaxzk/CyWSC2k6m8IPSui8iWvG8M8myfEKwepi0crDSULfSEFKszzuRLEuY8wtNhQIJ dS+FU4wCaoiOnDU0XBqc2jribBQSgajVMbAYCVSMAupBjeKxyQHm4IghHPloszKth5xzZvFs0yUE DgJUeNMioiLd551GhjNB1XtsTgxXNA2bQElrrvPzziLjLv01Q7CkARPJZijEfICCO5faPJS7BDwI lxkAgT+Vu6yj6NiwhN45ZAZYaQgAtw9+Vp844PzCxHyc6LTONHlWF1RsAaCFI5n3EoHs+rxTyCwe AgMB4b0GA3OekEsl6rNpPu8Mst/3/Y/Y62Xey+ydQIZ1CyqiiaZu8u2GkOOZIovikXdykriEogp1 kzVq4wRxsAkCaex8APGKvZ5FQxt52BSAH4qwGnqPhgWmEsPnnT/GRo5simhDzMFwVajhKiIa6/PO HvtVO5N9ikQSfIbeQ2qIgBU2N+y6X2QsmlTaJUYRI8zr/p079uCqUr+DzxA05JYJpoJ7IMMLQm7I bMmIba/p3tDLBtc9Ea9Ac/qg6x42mkw7T+5SPu/csd+Q1x8DfdBEVDMUVqgHwqCxiqPJp5/Te3rh AyVBixjfmWO3YU8wlg0XME2xTAawOdG9iC0jKnqC4IC6naWtSO34rkHayu+USuo+WF7fqC6HTKvm zztvzDoAmiA8KN+j5Hf9O22MNBacQtU9vke+Fg5zutDl2WTNSmfvrDEuYJzTU6ApDrcytCs913CR MfvgKTTQg84ooOfbBNrZXjWqe+S3eLHkNNs2MsL4vFPG8mNRZOVp/LHRPeV4Z4xl8wHZVPdVqzXf VustoklCTlssjqiZtUpBbj0Kch1lxt7qktpuW4metijxI4Ck+Nc1sIaME981glUxFmpitNDOXilH pk4W/svCx6Ne9HerlR7o8mfmBEXxNNY4ClsOq8pXOCbt5poo8JC0HRbG00N4Ksp5crAJahBj6BRC SFgixeD51N1G/ZQCJnYEEXP/zhTLkr2vieoyNlyuj2LFc1ivzztRjF/1G81AeF9pl7ERRdNoTEDp /ZH7cu1vEdZV9yhOFm8hdprrgvKhNvgETUpZa4cVNpNMJLzT6VWYISWtIAXxM4J7noCYk65uHwzN sLv1yCD21SK4BzK65whieSmtu4LCWForaC3vb2SXkG/gc1nlrLuEfIuK08k3zvbBJmgTAAAxERQ9 BpQs2CKbCNLQsUZEcYuvmqEomIbcP6K7ln3QDMHishpxlY8Hzfrb35AHJsD31bONHgbTOdNdx0UZ clsOfk3F5ePPeWSsn/4eTCvKwOYad/BD+pzQGRIfrHuMM18ilVFiEHY8YC57LM3SWf+LbciCR/HB aotjSlu3lFHxeIQO/q57SOmveqjYw+r0uVlPqF7dz6JVRwdDH+0CzB0d3CO8xyTbaQ1SWzhogkDG a2CbMx2DofGQgCOs0IjY2VRH6pKJGXrXKNlgHDTnHYRcHDA41L45yUaPYulUvycIxlp533Ssh7E0 CZnZdCqwH4aDX1m6kHIVpxvXfI+q013tVacePrQ69BByFt69obiINAiRIp3XTxoLFNFl9AKVgg+J CkOUQFsS2F80e2QwDW39oq/dCp6PeIc3mKY9H5T8qf9rkxRSD1leFKaTHat2+VH0+FEsxJ4bTuoe hdOTdxgkd3ywSTrRc8E3wFXWE0T6ChMOKjhSZpORogHPqFjRo3C66qhGHmWDRUN9TCF8z02Jx4Oj OqWvo5rREP8/zVBEP6QM6twGde1L1/6m6rD3O84EEZmHfRaG0zLVkSL6WM9lf24zCCezAIv1ZML7 JVf5OeEYvNBFlhd7VKO2PuLuIkvBHY/5Bt3D2Dw9Jz4eDyboF2Zo0LDu9hF7HE5T2Hsuy8gW9xm1 mARdHDQgZie68+9ESb16O+0ODvfY2MWihdczIbtQriFBEKFhAaNF5wcR0ip8RnDZi3v4395DMMUo qr8W/IZRPE39pIemgLt0XupzDyvUA0oqu0nBoxPBYEk9AeA6iNAM1QSF8fSyBvwdvHCWNmQTqRM/ ADNUUk+7r04NyVYfkDl1q3tUoa4su+8q7OLt5oLDOrv4YWPi8X8RObjeUFGgIKJN0XtELYrKOYYq b3NuMreOHRB3LIyHztfM0Kzqnx5F1HRZykNiuhw8Z02jUmCYm4z7lRDqAjowFvmgxqC4dixQ96hA XREbUIrXBwFiJmzEdZnliceDpL6t79seXTeQg22CIgB1V85KkjMseQni4RoaqKdKIb4SQMA1FME9 JAczlkqMk9T/ypysgA7O/jH0Ciaia0wQ0rEOmOzMd4KAZKz4jAjcWSRNdQctIcS2cjLtbePxCLno S4hqRCRmr5vV9zCkJk+hzmqls5rLrb4Wl4Qb0KatvO6j8rQAMUy1bHCAMMLN2UnMbGBI5qxjCLAP 3Gq5PICPTvncHgE+rFOW2h0M3HnWKeto54hreDxaQ9981ozmdIVEsHGho5gaHWBwNpNHRE4HOv84 X0BD2sbZHFGBWjYUq9bsg6dlC9iFJCf0RYAYC5Bo22dUieD+eG10st41agP1N0BDSydCHSKJ5YzH g5j6doGs8A/Mkh9DI4qpAdc9IeMQI2EkacPSmrkWVRwBX2mG+BhhfVqX/JYs/E5fa+hsnkXkwvnj laRf3PX0HsPFOR9C9KJF3AgpiUXmZ6qg7VsaOgtwysl8Lj4e1ae/E/uKEKNcQMMIEdR50xjGJ4jV GYaLHRqb1uJo2ycoqk9ndnZOziJkDK/eQVweHGJNbqDUs5ry0FQOp0vl564/y37gMwJoZyMZiB57 GLI6HGf5dflNnri24/Gow/FdGoJCAC0ybIJCSmInU9PY8yi+OrQz1eucA3VJ22JRPL3Y/OGVyzxj 2gT1tCaugcrvXM68J7KlJoFs6CH39Nz0E/MTAj4ojreHkrLhSRmoaSo0ok6Ax6Mz6BvYia5XkUk8 5yeKptFHcSIQZorqzOYMuy58erp+94iiaXkqnSu3++B91j5QlSaNo4KbULXDQASqSNQzTXOsBUTz 8xFVp9H5ranADQlDNxz02WOoefMnObsQz/+LTyaaOGlIzyaLAuqzgSlF1X9cs8KZHHM314GfVHDm JosCastYG6eKg/PJTjy00J7GHJ2vUAv/ZWH9E47JbCNagZrU+hEVqCeb0HtLtWJf1Yqz7ulLCG79 xOPvMzR+EaNJlsK72ARFATWu6HOnGOEOEt0OMF+1OHV8Zi/gjwg/XansDq8RH2wRwT8C7HHWhk6A tTd71ifu4QFAaYbbJOtV7xo1ySiQD6USG3RMn2yHKdNJgvvA40EwNH+JLeFg7dvLiyMqUKMB01XL kXrg8Aq+rDhF40D3hBX8EYXTkk2cibjNqQnKBA0BATVJ2wJ8+hxLxJ0R91FhwoAT65oKnj+PzwhC ocXiGYSAbdAxtHg/8uhdG49HPbIL7SQFlrlGvksojKfp21GKgxmSk+zyHLVdKpCb5Y0wnqYZx4sB TK6wWNwiJJ7YGQp52GO0X1tA+lHHVif11hoKA2rl85Uh0TrBsCPMgQhgp/VkSQvPB3l9+77riUEh hkRTFAbUjW2gkq0NRHMRlYZ28TbZqtvkukdco2bZUNGyXb3J5QcmXQoAqD2bjv6dcBTKql1lWt6o ik8AGT4kOIfkcL5K9sFYCichJmionlsNj0eVDy+f8VQHnAG/rMnnhDXqDj28ZdkFXn8+3XrfZrNm K57NKJ5eRNbPom1W3Mp+M68v4P5iEZ3kKW35UaExUFGoBnrbkWfMOGaI9yjLgR42eLM+qSnUUmt4 PGpzfJdgoW1X6ESgCYriaYTcJ+Pci0WOPhfNggrCRRZw/2OIk6qcbEY16iEe2ejLB084kM2kJkQM ihSFG5FyHpTWBvDeK7CoqOIz/qIk7iQFi1TuBNW+pfxyInY8/s9zCCsILWuYZ9gERfE0qFHjvK0a 0KAjXGfTQv1A4D22CXj3z4wZiVKwWHfw8uuA0SL1x88xVCuIBJqgyrzjZ1PFXKxWyg/MsEQtL0oA Z22wugdEx0xXqeLxCJf3jaAmt4uoGE1QFE9n1tLl2s3Ieppo19kl85EZyqYbOOP6dFWUvH3w2llG 4ydTRqfAfHGzKYtjCEQgLPGrFUxFCHxGVMNXEEQ8zL5a8h0vqJT1JF14PGjW/5LRUQv8YvBnFFCj GiWSBRMO7A4PFnt5NDmXndIzlMerlqsOH/yURkov6wqoW1bUF7F0oAF4tsb+mfvB4AN7i8+IBCx0 x9uN7zygRjYWt1jpFY8HjcSbcRCfBuprvXCYGZanqTzZswu7sh4lZv0crgBHd3Md0hHaw276avLl 4wKoT7gBHTPaUJ8lfWLFigsvIxVs8KVEFOHBIkuLM4qmq/CKDIE12C02mvYb9MPweFQ5+y56oJlS +1WqmhHcA6dFlzUx3ZVpZqD6fXKZhhNCAozACYrK0ytJ+4QtIA6+guYJ5uj4gk7rwlehKyyg7IV9 XnTXXUS56F2jTiurHVW1j6uOeiLFQYssSAI2PP6vnJ6P4Xfot2w2QzJiRqkjVQdUUcuRRKnzj29O DxA4c9YZ6uRJlGGUOzhqMUPsHq6bvMUgUiKpZXwRsuaoJlgFqFp614gHxDNorOGD8YCA8+cErc3H /wWoEjoNUJx9t1gE9xCvfhvIA0VYF3Tf8+JhFvhmOqSjYHoQzTDVCOLgHIUTBC5aVqCMgqLHNDU9 yAzh2+SrlDcoVDWjWJrZf0pZnoo5e6/+xGmqetTVOx7/l3iF+qz4Tu0Wp2dIRkRxeuxlTKlV18Xk qW8v4Ov2QyiU9+A1thY1BTl4pLjOCkLlj3usuYgpfhXpj8BNw8mIdHmfoVyexK0sYKzlGpeWOZTS l1zw+P8SKTb9MB4priiUBhPnxBFFKgwjX8A0VF6cxXECbFOvWBHcY/DsHWB72WBLCA3Fs3FNqPNk NbrwKrGZMNCiYtS3+vYK4R6bUl59FR+sDc1K3Q99tiseD8iIN6Vnh38zafVDaIWlaTaCyxUZoqeF 0Pf5ojonahNSowxD6a77iyuIg53S5+6CIqVkmE6C0LYEBxBFm0jwBQyR1ozPiErTXSrBd7Ai/J46 kereFY9HmLP0fQgRPHtVmNafCtOmK91IbHUyIvvFDvfYFimuGO7BeuqcNvAHZVHoJKtgOvOeL8hk 2dFvHXgFQKjgcPZFGW/4jKA0LZEzyJjYYDWP81cJGDqh9MDj/0uuUVGkAMLUJigkIxLqMa62h+Jn riBpMBHIsJ1Tv0LktGwlcRHZ4M0NdJwXnUjA3wBXhN5Tg8VBBFjtcd+itMcKa9M6g4aYZNet/Bx5 rWWxNfPG41HB41fVDHH5aHeLRaE0tFlxNA8LhFq6kWJ3Sa8+qDODQ3qFXMQma8l+h4uFmR17mPc8 XNwlPJiboWZ+WGl2AR1717hq9tKmP2uhNdZNIUaMx/9J38A/ZuH3YUSvKJYGy/LsM7Mk64OKIjLc GNlQH7DB8xkKlT3IiO6TRzAHn6F2FgbbD0QyzE3uT6IzdAdoMqM8bf425K7hQyJdBsaKWaf1FXJv 4IeSQVvPb4nHA6zH+u7Ts36bb59+hdhp2iT5JhuZMbzSser2befGcBeAFQbTJnRW73ChweBqVG6y cyKfg5nn1clSUTQ7a22WCw3eI+tdgwlaTDOayoqNWPFEy4zKGugPzIXw+D+l4DAZi7GqF15XFEzD hfHkGLdmRmo+2/QTSqoCw0A5QPnqCrHTEp3e4m/sy9+AE8CCmTSPaVa3uLsKNJjga/iDRMFrZhSH WVEwnaa154sPtsnAqBGYtfEV3zfZyr/Krkj8HwrQ+puJSANrNTfWTejp9i1d3OGGmytGeqjsykJP lwuquj8V3X6DLRZ4L2IqIeeCOx5XS8/uTU4sMz8kgN/TsW0nwe8vjuH8OFX/Sz0HKh7/V5eeM8TT vtwZiomIm0UzVzprbkNykqNp7Z+RZPuT+Hf+usjaJDOawy2a9ZkonlcS1iqR8jDeAjEC0TNU4W4s NIZeNur/NBXthw86hdaJ4ITKPGcbHg/WUPqVkCFBIqmIM7SjaHqw5pG3Az2am9qXPB/oa3F4+Y6Z iCxMy2OMg89QqRvyJ+z/4DfWMoNEKxLVjIDkt5P0jqLpgKpJIrekPdLg40HVrHospMAQ1/2VVt7v 0TTwZoDk0c+Y+VhPxcuKxdvQAxbZNkGhtId4GZnS2+PeMVBVgR43kH9nCZ2gqk6WZukP0yHtMa6+ EFlvfNegKFTFqhdTM3uLFU65SSQwCOPu92j6v9UECcStt3K/YyJi/hFOQenG9n4GcobbH5vu0bbD aHqb188dbILg8luh/4NgEazczkXW2LVFeNKei55wbXxGVDUjlXUpYV2esJYzP1PaJYiF9v8m7ZEH pT38HtshDxHyQqlk4wDl9Zi1p3I5QNtNI3cI9FDlXg1mDp6PFfST0PY6j6E53ZYaiI0ii80EO8dT NdtRNL3LlmbF9MHQZucQSryozw+JxyO1xd/qOefftxsJ7RA3XReF8pwklbIL4O/RnOKyUP7TBP1N QxxDvi3j+rZ0ElhlGQTc9FmrnMoKuRWiGL6UlWkjjs+IoDCEKqLPY4MxXOYS+P7MesXj/wvXF6FH ZYSnCYpl8kiuM7oPWtCu7LGFYqhycPBDOoR50EIB+Fwf7gSdPKiSCpsqmkxNFtyb5BYEvvNB3uPX wWcEkZAIHP8t714q0OqMpWtaePyfQoL0G0MPql2u745wHoneNvVeY9PFKk6cuT2ln+MuoVAnj+VU 20AcvPDKYLFToaoVaEixAokLH036/QM4uTWgFxvQO6pMQ6EKaydNH6zw2vrIFisWPP6/oM3wQ5X1 eHCEwh6FeapbIy1ubannsOvNJQTEp01QjPPgElrUF+LgQJhK54TOUxrh/c5yM8FWgkxo794dK7yZ 8BlBqFizZqb4YKf0BJ6K93wdeDyS8LrBNE8c9MSfjHWHpWkuIXkoYJPpwJZ8DmdI+kLZmIg7jKaX atIMczh4Pgbd45IkWtHHhBDcD8nQLKStn317G4Te4COimocSVXGh862agYzG5XS2GB+P2C3fdHrm j/2a1u0olm7k+vLO5Pyo+UOm5tjXIQDRgOYnAnkITj8pVqzBcTAwk5pMDM8dts/NRfBwHYxqzmU/ HxgMmwX4jCBSrEMc1u6DbbEzMSpMn1Mfj/9rBRHEh9Rj3d5GTlEsjYIfEIumzL2hFma48nT17+dy 0eCcomAaXS460nUfNEX15HXnnyNmh8vEWgOoABiVAEvacflSid6gQpRgwqcEZM3CBlAVL7og+eGt DXKaHLXPkp38A8FZXb+rr2SWrls7M+2qoCYJ1YFEVIc726Bg02BWWYTJ42vJ7yYOqbl/VFzlYNO0 zy8BOyZip0+Kv9D0RlYGnBIaI5kWElbCH9te9y++3aLvrwZLXOeWhcz5sMbng7SjewENPyO92ghC sFkK8R6VdLsmOB5aiQbMK1koT/ZaefJrMYUA6m72osUHj6vP7QwcA/AMHf+hEP6ZAD5FK/9nkbVp MubV3jaSr2iqLvLIRihHllyGG3tibjbmWPwDAbwz/xKwgAHRcyblFFWqcaMPAPEM0zCvlPnodXl2 Btl3mXKk0BGxqQbCUjUHO5XOvphbMqtwtZt9k7q5KKgDvH7mdvMEdtvrRrXYogLa8kFlolYB2MV3 PP+Cz/+LQs5AAWo+tV/jv5yiCBtBGXguWjcd7ql+MuXVbTHtUrsvpqhgPciR/r8s8hPbITAkezeh oA+5KiD0IInSyU5PdNImHJ9lYX5K0PQYrBXhfrTB4TGrZDU94KuQQvjHL51caDlBzec6K6SwaE0Q 2qTpOnSSoNuok2lmLiH2PVDAqDqZ4qq1emZiJNTHlP0kaXOxDw0rrnPOZipf0LoJzf2f/RVob3/b KNuX05S8Esf1P8YlLNmhs8X5/Pss1SuNrymlidOzlsK6NWheZzMLwIhbzjiKBThozVefTJA0SVGs LWA+/QNtcKBVmTDUlszPzqS8Svm9nN8RNptghJlU5fC3jbSZ6A7dpM3UrjbTyQiHJN7O5cnno9r+ r2gbqEd4IfokhbofkyGSOUMDjOYNoj2uScdmtKxzKSxeL5krMGHtD+CzUfEAElM8lyhQYApfZ82R aNtct7ugwKkPidjSkkYRQr/xKsEkTdiyY3H08xCf/xdgT4XcCqLiEwhEETf89SB+sj3vd5vNTI9V K4xkrxzhD0XofDGlU/HBr7heYBqkAPukcGU2hZS4yBaFzS/t3oyQU2z2wj5aysUHnd2En4gE0yaf D7bbgwdhmwiswXVdBHIKa9iL+mcOmVndK7SZwjoucL6qz1JYxCYsDShjH2wpnT0ClAORn61V9D8I jQUrHEZUPx1xNEFFhZIV/JCggMQOLltENlgjZCwJoYIOyeej7PYXSF8OsNVLSDk0UZxoFu05XSi/ tOV17LF9lggw0CwFLooIBMorsogGiiADs2e9cPKQ93lOqyLfIHYRjbFI3+gc2ChiwbIOWQUtqr6W CgCBAsjSRjH0UXz0CRiA4vRiPdsmKYq84XKGlqMDH0r2IsDKDKFYRxpOqcqBkyLgmQQX4USwwScJ eAZ0LbnhANwf8lKGT9PgwTB+N/Zz7KRYppBp2QfDhvSZZPR34j4+H4nEfqe5dNatl1+eYy/Fhlhp mG3iWTOreJ5bptcBVkNPn1aBoZniqtJgZpbP4Ra0oeJKvYTzWENt0m5CMGZw4JfrQN5XsreNmkZC zmiSOtFOJA2VJOhyR60kB3aKqPn/ghIjPu2Xm5cDP0U0hEEb6oQ3VgIgruMtgUIKAmAzbyspirsn uR5rkf3LwVYSgVdEHbGedG5QoUjArmU/v61HW4/d6xw4Kvp2I6TWBkPynV83m7he5fNREPBLwrJR wfmGk6GnImi537bA9H1UUbJcT8WzkoZPUkhhNOKmKktfhuTnwDt/oFDOoZ6oF80jtvhRz8bupg7z RRoNe90IBcEcrgibXprpfAIPM3mHn/Rn8/mgRTt/yRVsOpw8h1IkWw112w4oh+23Or2utOTkzrob 8Hk2S2HQPQVIJx5iXILhPgEApKpHt8LbOeGn8Gq40uBiv+qDNqKuTA6dFbNYMUWaqMUdzdI+0azE TE+UxueDFtIlU1OyANoCtT9LKfSB4cnd87bSUnW6OQB2rpyy0CEyC9wQLUK2MBSYffDS0tnNeSpU 6lCBQXiMERhkKU2hgOtEvWKvGxFAmJPMegclcOc3oNALpn3z+eDoLv1rlhpms+fn6A61QQZLuMnx 6asm58jMtr0ANx+j4MgMxoSchKHlYLOEMvfZb7QZYLO/8JDP0K8H0g8h3SNbUO1to6WELmSZs/vg AOw5FCpNe8kIw+9LiTUpOl5AG9dnKSx0n0yvDxaCSPnU2U3RxnxFG8cFHuXAYlH9YkDzy/DBcxME fpMxIL1zoB/CYgAqS2gxUoDVkTV12Ou+TxNNL06mzIYSB0WUC80lhoNzZT4fJCf7JicMsaX7d7OT 0Gexqq9dDX602dVRCkfFLnPE7e6zGBstEn80O+kgHBxoXNcJMwA6QsB4fpbN5krZLI2DoA/iijtR ZnvbSB5VdvcKvx92NSp8rHeg1s7ng+ykfFuckdXdrnpjDr0WAQIGocH41VvsovWkcHSGIQ6Fayk0 WzSxq6bCSXPCD1QwJiZJycn5ZoAlccdhkqi33dODhMz2tjFWFFfblEYq+SmJdzAbV2AKYSkFdotA pH0f3vQJBaLaJykKu6mulUiY+A/AJPQEQfUNP3vTSoL+Q/ZJihHZ3HBLkPV1IesNzf85czfNovNC NGkqhV7wjC6brySabPNDIjmVzCWkI3wPP7uxUZuKs2Xy+SA3qd9YNq7LecF+OXZcnJLVTyZ9xSvs P2qtQxyB/MZJVBy3W2C5iCa3oKKt+nCDpYrCp3xNwb2Cb8U5lc5Ewlu0/bAgwEOp0A6VHxJzZHEa GQtrov/7Q55QO8cDeydn7vgHohbTt1MV2+1rPkspxJIQCTB9llYtVlcqqMaauNPGpWSzFEKzVZpM e/pwSybthF3kW8KzalY6CCPvXT8D+D9qm3hboFR73QAMwBTuBC9VgxeWSC5lYencmXw+4tD8KuRS sy7dOCB0XoSY6ci0T6JVTMsueDlSvm7vpZrzYg6sF9GEodSlJAs4+IYbDTBPgrbO0ZFGpwRfR7Gq EL85yu12U0Ytx9aL4hkVSYNeG9U8x5LLYM0p8/mIkf59KiEMKPNpw4XeizjjHRHJfrc5mxMyMTw7 KcUrJqH5Ijqq75Trk8TNTY3bhO5bbrLr7rQ922wFOFlNeo45dF/M7Oj+H6rROa/7ksPJCS35fLSS fmG0UX9q1+Q0h/6LjSXKtr2/xKhK/SUKdCju7jc5CQwYEQTIG65sH5ywBkVKWOPisUV9X1Z8qR5G AYZRbt9k+dsGwAn1uwvK4xoUBJyfQPlXPfcon48qJuU7OcEvUy4hK4cWjNS9XEKONpoS3O22uhOO BpIum6TYNYaRUpW6Qb3F7g5/AXg/UQYc1iWD5Tl66vB2geO5TRLVDvkhwSQ14W5m8sG2W1tCTBbW 3kITxt9IZMp2sNlhkxQKXQOAs/sVuqZJqbYbTbRNhJfU0K4/9BctaxaWQzj4yQ3l9FqSHqvAi2Fj 9sSSZWLu8/SWlr1tcL9Jka+LHNodi3y2KWRZGfxwu4UQk/Wr2J1Bpe71aZyEXownDEKEtB2Fs836 FFE3M5YiFn/3aYr5jzKn3nfw5OScRLOYvfk5jIbg72Bhq2ay8a2m7rfa7W0jULvquCX5kKxk0o0O BkXwHNoxjpub8B/jUv3acKEfo/RWhvsx7jrdWXgnV8k6v9k2tFv+hyHjXD37YNW3ArHZmiUKXhsO cfbHUUEuILD/N2g7h4aMQiMXuFPaYIDA3lKz8vXk8//SvKazMN3mrih4Di0ZgfYGZ8SKb6CDefGt D0fdThQ8bY6ioFtQN7lPa/BaN2RlF6XwEAkAQcOiCtgRrC21/qBKR7e3DYABrs2XfNB+O1l4ktJn y3rJSB3rVwcO7wQXaJ+kELudGQOY6TtykGHX294UPCJfHXAOZSahL6PA2yfxSD54L3fCDJ4GVAl6 a614WQmtdtQYQXJzePv21w3yN6FuM0WOOKiZu6FLJuOTNPh8hL71U0k+l+cebPOeSbE3Y6YuRJlW x93XhLmMepFvySkAOTRnJEUNdJTqg99vEOHv5MYkFCgTeNAomJCEBKlJrA9FStTg4YcE4eQiLGDP 7oOxJEYV6+Dcn3rJaL/9ImthftpTe4vtGSmqSvtHnkn4rWwpre289fMTe4Uy8GfEUuIaQq5pg6O6 wAVbCK4ZTp77QFUlUm4oicL2vFWV8rLXjbq5jCeL5CGuBEVFKN9kr4dubmDSmP5Lm5fIRMQkPktR 0I20+Xynrdy2o7T+ZLnLXZuyc9pyaNI4su72XnzwotIJVit6cszf0ixZKuoLtVEsgE3iTZJCRLe3 ja43VZOeQfvtZD8yyAZLjs9Hxe7veBJEfSKkfJKioBtUj2G2p9TTcJ/GE8W4GgJE+u8kRQiTpjVE HXkNDjUFcmvVLSEWVngJOkOpDPOHcPZ2BFa3t/2zAwcMrA2apEKtGp4zPJRCPPdtU0oxcsAy4Tm6 o6AbVKCR2XMAfdRIE0v3m8UAMJT1ylsNg24d3VOWDfPB457zog29C90sK3sHUBUFpAvpYKPCqvZb Wva6wX7LalCO5oNOJThMDktNOp8P9tv1sxR+F79Nvoo1OXRrBFS6s+InII4KlPvp5m4WKIsDcUK7 xl1UVlJ2Nm+Wi9holk23FAAEN/iGnKZNjjg03uoFmxI0zU/5k6yNxoUNhuk6u49tv3buBD4fBJS/ kW8Qk6oPOyCHjo24W85vgUUOuz1e2CTYnr0BxCrDblh8G6grtGyUajjUmXyw03uVBndgKBCkgYyJ iMvUsZpGpYN1LjdcIkspB6aNwj+cOa8s5HKwGiXk4MUE3JPPB+HS/HUwoVEB9LTNUmzbuKm8lr1k MprncAbwqrpnvesd+DaibM5qwCD0TfqtiimBbTi7g96fJ/GHRyFLvhMAsYn3bdfYcpDUngPfRnSt 2aqEqq0NCryRXUkZoWItBcaN3x4P4jWdD88PfiJ0bgQvEylJsuJbqsaShHN79kR33EQ3tG5ccpVL M/lgV9y5foARlMsDcq1Er29IjYK1yOvOMzh5fefAuxGBs6gU4r1lr8vAPmMK3Vdb4fPBFdfuJNmq +Sk1PUspirxh4zRQ8PGlNId3vZWzcJYmsZVdfyg6vqlT10Wn6Pf4PinvCRonJNwJC2j01QCdAGcd 5J+pQW+0SVXfQv9GWlAD123mcsiUGS7xWsU04bvwD0QS0N92GADP1DSftRRF3tDoxyyoMnn+03a5 qEkDBTHckaXbhgvL3WwvzclDnINH3hAw6ERsn60GnUGiUjtpbLDo3VfTb1D0MAcWjpJyhwTJGD5Y MeAsUh28A/iJ0MMx/5JDImYlX8uQHJg4IjuBXqZUaakH0G7jJK/h7VypACBail0cSbfprd3Bsd0D Ktaw1UK0NGFAI9m6xp/xhJTlntx0QeCHxCjKN2/0M2GTucU5pyqfjwRtfnWXGr3jbwoXGjnigukn nDWh7LFatb5JYkNW0n5U7dAkhSATKQEsHuAcbJJgWQT1saSKCTpV4JzsSkviszRWKQ/ybdnbRvQl oicp16PhgpbLkH5mqnw+qOP+ApkgJoBF3Z2kMO6mkZpAJp2Wsk6m2Mnpgic0oC4SJylmUTJW2tKV 2I9v8/njJyBD2f/M+wkGWlFzCRtyVOYH7eraTMqz5cDQEdk8M9zR76BZAm5ICn0nqufz/8IrKXgA xObhCebQ0xFclxOPXZnINdwIayyPvFHacGBAYOqI043d2dHvYIdSrROCdSx3V8R+k8uNRuC1Z4qh /FYgy4Gro1zt6klwqSPFwTYcfJh4O000KgNbR/QExtdaQp5U1z25P6+RUtE2hfm6VQOWxPsn9RfT hXa3bNC31zipJK+99XQHm6HFHcY619l4DaLQRXVe9tKYslzpfoRmn9coqchDpqZMTTcN3lgqLBmC sYWnX2angAyh63/9iFW4vTjJDR5MDrSNz6UwhSeBB8RwPEBvRqaAVagDcILJ6cPsdqcPvnzm+TM1 C36zwaUgurISf4ucOAOYWWf+gr2/zs6JIdWUROpvg8IjKIYwBIAU4uc1OCrokiebHS40UOepJMTZ eY2MSmYpFHj3ZBlb680TW5ZDqARNk2HOzmtcVJzrfjbk9MGjxzPd58gxNGBHl0TOmAjb8VOA+Os4 N+K4X6OiggOE/FsgCG2wyvaJPRmt1vP2n9eQCFNrdbZlpIkJQ4Nhk/MaEBWdPSfXH16wJblCRzRu JivYMpDD5LyGQyWTYY01Q3GtJRqjJiehMzUYWjc4kWwunQY/FZP2u57f/MKf12ioCEYCjQ0z4uOR oXDohMdUkoekw+c1GOIBUr+WDnl7PfnGeg2F8NDCyZyuBPSwwjYEF9OXBLQVal8DoeK4tillyPml DHkWSz7xDiuQHUlyIgWgwjWqArNIvWLbV41+39GpU9UxMpHa61l0zuLCfXXOs/V5DYL4dPbJGT/G KZ0USaBhWzA7tHrY3eC14Pp7m5aCTib1Qydx2hMGs6MKyJRX8/z2aoaKRCaKJUFnOisMYPW4VRrJ Ui1qSZGNrlfB9CypRPW0fUiWbiTrirVaPq/hD5aBWTUvSvTDzaSiGKPZeQ1+cJJXlhrNNaWLdWgI W6/3n5t42bHzGvoUL/EvUWk5OBgC2LLEwkU6GSvirMQ7nQDts4Bw23jS2umkEu2srARDGi3DoTtn cqo8ZEF5/rxGPTi0JGdpap7U9wFOXZPzGvLgTKZLSLe+Phxn3EZlpr08D8NXkWxvdCZ321jLB7/O z38+R8TgdX4iTNT3f3j+LOD8kVw/ble0OPq8xjtFhUL2YrcPBoSsWxKm7URMn9doh2/Yvg9lNL7m PZRfa4y45iZb+8MkosjCsI01LhlikeFMwdXgUFYtf3QWMzh4jgpsfxVwbQAujsOsQWsdVwBd5oBn MUtCovxf64uYnU3zWNmEcFDsi5Xa5WpZ8PT77PR9ZyfZnVVH8XPntbbIm6DyzrKW/qq5+aksgD9P 5b4MjfVaWSzyYQEqhNPDwc+drFwC4c5A0T0z70iI0E8Ujo5Ke0DZNCl6rSviJ1jqCkl2vlzZ+fMf SQwAfQlPB+fOqF+LB02eOu6d9c5cw12cxq3/0L663qIije+sEuSX1jtxrbjnJw18bLiba5xfSk5y ZxJ7oxoCDdZ/Oi4FAh5cVyPrXf/aXHmxa5Yf75QTCjRm743YmShaXrN9nT3SFazZJygKl4GPA03G ZA7H6q5nzOPY7q2UvEkdxsuqtib6fnDweBknc69MtzqI1MW6r+f7DXQsgT3x9ms32Hh0OCsYLEKE FEeEQGClJEE9Kx8PIub0ff6gSlFhRG4z9B4yCxUKGcNLpC0WCtIH5u4wv9nfGWt4eV7tNLq2wWfo nMcAzVMfClCKxH/SgP2o6MmBWG3p1lLaHgXNpCVDsyb5oPP57F/psdWGKtl71Ix0LX0f0FzzrLmq /RSFPpsejeSAVIpp+BGUyrqB4R6OKoriZpVQSUKwwer25+CZIJaxCbTT2X38Jw04KOajqPj7/ZXV i4lSLkbMuVFKNN8yHL16dQaVhd5GeAjdFcTlRuDA8Av+navG05ULpw1bQt0laNHacGrorhdS9B47 Z+GK4Q7bfNAMnTjhXDerN0HUz2Uzl3A1SJdBuqUh2KCAFqq1fNfoFOLUMJ3VYDNUrIZImcN3nhrj p/61hJT5Ux9AMxSFzwCUwSnNqA5rG80I5KI0veyTp2mJvtPUcN4TBLsTcy8O3vvZCYaN0nym4bAw 2phSGVo+KnVWi36Pn8+BsBn9DOlEXcNGiB+RdQs9pfp5J6lhu5hK3ZJpLHrSPW9P3N9JavjU847A EKkcNmxriTHDaUOvFRQOK4xFMbQK0Vv+Q1vyMcq/RmlgOZILAhWTpW5so44e64D7Vn3EdoyCaBpB gdG9fLAg+mTDKvvMvD/vBDWelF734ZGFPni9KcY7Pw17E0qQeQ+3/KT4lbkRLsM5IqDzqz6KoxUi zmlNjccgvlD00fShCjAz5H4UJDeVxAxqy6hyOJa97PsMDRbGGqUxNFg7Y+wi1aLV5+ednVbkFaMZ UjuVn9k9Vnwnp3ENkQPqfoTi55qVXHXsx2JplGsoCqbJXsxAS/lgu2wgw550+IXoMxhzJhfZReNX h3V+NTPeg2nJXkBejH0fDlb/QWwlliNkaqJoehkFxJYernn6IGiComga5jHnGOo+QTWZshiMdOpt 91Qv0ofhNFfGElv2IYxtytLNznMMGmNgFxPWiPgIoChTExWMeE69azBBnQc18ksbVD2sDUK3SrDW 552Thk1Wv4MhtCxZrbTyYRRPVypBjJptgsZKTkkbJkdPX13rh70z0m64OBedPzn4XQ+P4crmDIp+ AAhRTRTo/Equ6r7yNKgn8l2DfCMxh1/E7XOwm2xnCYzUWfLnnY/Gc8BvsqrQ8qxk3RacoSigzlQ6 HNvhw9tZn9m8ndjnAaleFdb3gDo7sVGmqPMxRW0b7syZOs2ok4E+TveCCgwtzEFyYepopL2il43q 8yqR5eyDBdQwxKbK0Rk/72y0X1eZAdGxy+atQUdFaLlX95KtGsRs3PnWF2FNwyUuoiiiTmo4d/Hw ertTdAIVSFowHDopxewiZMFC8KcjSsqIibxfSJGjdzIa4iGhhpHb22CrqHfpr9azkz7vVDTO8Pc5 BGBuoQa5ZigKqSvDoV29Czamd8Hm7m6BMe859E5EY3YnblVJPng4lCAN3wmFGSewWnLkS5MCR7i3 sVgdC0MtkXceGla8YmpRrfNDtT6XPEtZTEU+7zS08rio6CAa6LtS20UzFIXUa6gYNGybCWYtm5nt fnsLM8Tb/p2ExoSg6ARqPtw+D/PRzNu+Qq15U/2BgFgSSLBDfJuRO/ROQmPlwwT8sg8Grd5gJGGG Rpqfdw4aZijdtIxkYzokdU9c3zloWETMOuasTmS4J9Eoa3s7I3k7452CVhwzvKqqrvWpuuI2Kyd2 ETgP0jeyA0uwDkSOhozOKvayeHhnoCGiyAwVu+kbZ+fF1uEiInuuzzsBjUf9r14YgtVx07J3/hnm tcx7DjUK9VtaVuA07aVF3MpaQ+8hdTZF0ZUYMXKwCTr/FgZGa6lXOPG/kQ2TiM+fZJY+1SF72fdz CAseZ7SahdWbhWfed5cB9Ur7884+45lbvnYZKvamjc0PDWNqOsrN7o5yoyXneaiSxl0G4LOW0HtM fX5e5GOAoRQf7ByaKA4N2YG1TXVD9guBoUMIDHjpt5kTPyOYIDUMh0rUaDOZO/M5bzeP7X7Gzzv1 rDz68xYQYVUToq0ZisrTInnk7InrKjfrUMTI654cXc7Qe0id/p8OoN146+92oZ3Qtof1/JBNCFzK k80QolnUI+ctn1Gm8PPOO2NIbU2N5oOhFdo23MqZ5M877ayIRP41QYlqRssnKAqpYZYysjKNRmvd 68QjMIylZX5Qv4fU6lyftYPGuw1e+yhzlW3MhQwZWtOoQdSDJiU6K265h73+eWec2R5Dwmo4Ya9Q nyU0BEYCs/rzTjhDevWrRM1KCMM0NZ2jkLpxlY8Lw0vuHFtydRsVgPOs9PFON/OQ+szMLj7YCoKJ CnpjFA9fJ52Xhr/Bhakic9s/DDQ+73SzIlQPqkJgbmjQBEFilYcItNs/72wzvuKvCUKATTNJTVAU Uc8vbWyuIMeUoWGzHe7Cn5sTFEM6sHSA1PDBVlCHJkaWDE/pJ9dItBPZxJ9koqe3CE2oLxa961+o hQxShQ3aYnvKrB3aPP3zzjQrj4OBR4t4vZu1vhPNWG4hoGx773kYeoo6IdPvse5SWO88M55B2mKA BmvwFVRgk7NJfm2pDUJa0QdBpZK9j/l4DxMT/M4yK5IwRBwtpadrOQbkgvTuT0DEVwwO6Qt6Yehc aBJyJygKp4E+Q9XVVbBmvyX8SpR5oUaI0xXfKWbFRLDOf5GTD7e6WKA5N9RzOYd2pajBidCTfEkz baQlqkr1i3eGGREAhEwJWJ7RzuPXysDes3X9M09U/3lnmBU5n1lpCLOJs26tewhF4TQIGFALdVx5 r8O7rNTNMl2+YsWzd34Z26yqUOMa02B7DDEV1ENRXQSotKh4JmIWnOoue7ozZX3nlpVkl1ge7Q5W FzqXUTewz/y8U8sYiXwDgwi9ThdT9s4s48XQectnW0BtuH0BYJcX+wLBMU5PVJ5O7B+ewPAOvsM6 kGqFPaATP8M6lpdYxo0EyhG4f+aA0Sk9/84rw2InMyFTsUqDdhjg6vIZOrnD551WxvUzvkJpkhP3 Rb+8s8pYrWCf1ZALOII8pTc1NVYWJUuPAzCsThPVsjaF1Nb1Fjg3azt3z4LZOUHFq27lYRt9fSgV gO10+VJ962WjOEiaIGn4oPL0PLuNOvcnZuE7Ro3W/LWEALM0ADBnKAqlK+FTLVvRY7ULLpvqKLLD 0V3M6Z1R9lTOtjxCNjOlSSDDuccgasZDesHhdUtoFvAFSlex2qOUnrjszzuhjEUsVjuyGmz0aGAs DZtedqyIP/28E8oYKvo9hulAINXqzTbe6WS8x+h7nqx0Nss1lNtzuV3aWA5OfGeTFddR35VbaNfH 4HvD5GHLJART1ETRB7z8p6Heh7vMFYsZKr5zyZ6yEGsAADadVTiZ059TXiAzKI983rlkbCJ9B9Nk EzHl0AxFwTSk/0YmPJi8RLGlGKUMlykA3MF4wO9EMrx9tcJ09sFT+lo3yILMWM+OaosXWUUHpUEc A9eLryE68bzTyIr0cGn4WX2wshCUTSXpvPbnnUWGV7w3PWvZKI7Ue9O/k8jYix6Ej5t3LJQXXYt/ FStVn3SMWvwAKYbBtAr4kwQxDl59TfDl3JMTBGO5LLwHGNmQm4Eh3G0CdcLH3zlkhkcgkq9rcONP +NQx+MKG/rxTyAz68xtOJXC2ZigEfJRKBeciMuKGvkzmVVYnyYjSk4GeHpbQO4GsiJAD2LgQMf0i YgaAeeeC7NK6PCFa4b+suGYbGFPMHqyPiAb8550/Vq6kXJaozAVho4ySZWB9Ns3nnT6Gc2D+Kk9T dusBnL2zx7CGpigI3RDkpUuNP6N5aQhyNEuTraGoPC2uzyzKtsrjmAZfVGj5Est5sqjFSwxNiQGy 0SCixYHAFJT+vJPH2MaRu+7IPhioCr7hLL6eE/PzTh1j0eN7lzW5Avht/84cw+GVKZRmdiBaTS6U 1qz+gWNo67Z/J459dYHGHWwNIS3djQUqFKrPBUIeNVmZDbpdmRBEd90betngtm+sC8G1wQbd9v2s SxXw14mn34ljfPy7XU/ER7p4hnfeWHF14mmqX8hZPalP6aFoXGmrd9pYcde9vQmr4uDNaOQrvfOg hpjZaAyZJvVugZMC9sxyVgjh812jnJWNxMIJ4mBJPWJSRsSl5s87aQxL6ELtsdzIh5aMHCcoKk5P uoEsP6iHaPWbsM7hHg4zuYfDO2WMlV8sDF55NtgSWmf/NIp/M6JuSd6XC6p52HM/+XaA6Pb4eSeM cX4YLkLAxwZdZABfD2MijM87X4wZb/lOWSerUveYDuEenXjytbxHVr1Vv8s1QZ/EZXKLxXAPpqwz dx9uxpHOqpmqCkGnoQu6R7Y4YCb82tphvW696/sx3VVQNNWP6VQr9MzN+HMXPh51osfXPUYPdPkz c4KieBpiHyM1040ZqbRbvM97el0xOwnqnSfGCWK1AxYHNvgZNAZq66oKTWIwJTkPdAOak9QvsSOI gPt3mhiza1JOEymdNXntEr2CItfFs5E/7ywxftX2tcNo/ljapWtE0TRE1J0IRbGvR2qf8ZfEvtCL JSyvhcjpwlyecY4Gn6Cloody1oXjgkcQtmShERsAQ8pZgbDlZwSwvK2ih04iDHYE5SqCZoXUV4uC 6YfPwnueWfS6KygMpivQinO78tDMbmvZdYvVhyWOFRRVptWiX7DFs8HRMCffrZmCuKmTpUBEVQOE veBeR3XlVl41Q1E0DYgES67LB83QhgON1GISHw869cWbG0Tp43yezW+xHkXTkAXvJlZVrzC6yh7t 6sXVYQzx/h5NI8pgVYieRBpu7X6c+aX0wgmq0fdbSmtxw3b6o179YVqz4DP+gjKgjuiDFRbP/Kg/ dv5veDxgbuzfS6hbaVwTFAXTeN3zww2vC7GeKXHGRuhQcV6LJigCeyBUatTv8sEmaI2TTK5G2k+D pnwjIXEC54io+2dTHKlLJWboXYNsg6yoXIkq5iDY4jjhj3wt68k2ehRMp7q/E1bkVfuC8noYSw9g g7VuGq2arpiebnw2EJejYXpUmlZneQ0lHeO2WNtawHDTzOwErrWie4xIsVOhDv2G0q8L0UShBR8S 14WgwEA7q83ix2IwPWALqa/dCp4PSIe3QUboC4X8GxEEmqSQd0hNpJEMdTa2B0AQGbA6Wh9saOOk 7lE4zc8DEOYOFiwOWKUmqo0lsFF2YrBI2+xGkU1GigY7o1xFj8Jps/toc/pg0dBZR7rsT8KHx4Oj +hcGn9EQ4k+boYh7CGAw9DstJbO5QrQ4st/7vYNAwZSsh+G0WAqz3sGjRSyTgfCIQujw1B2EnbGG VpEG3gL1YHWxRwXqJVZvUx8a6NnBfKPBFYA66OfEx+PBBA3fZ4y9iTKez0kUx9MTut7JgXmknQoe nJYbxfeWfA2FBERqv67S7+Dw4BPV500L0iRjAVqlF/ygbbJCfauLtL/CZwRpfRU8WIKDy6FtdZwp oulhLfgRo4B67BtQS40IFsTFr/selqiJD6aVJzXQR7tp/aBQvBiaCB44Q2FELUs9RtQabIZaof4C mS7ni8A1Hkwy2gdhU6M4+GDMp71stMvEfhYNse9b+QDvtiqtn3g8OKz792GNIL8ihrcZeg+pT5i6 WJge5Iphl7Xr0FhIzZTvEG4c5Bw9Cqn3VqBM1Zz1mBD3hqVxIgeeQziiq5WG8ACaWwRvGNWOFeoe Vahrpr+HdhkHwWHOAd25cWrNE49HLNbf/HBIC/QbD0X4acS60J4114oBVoWW0NRc4bpvk7dZ59/5 x23GKiwBiOc9mbSCEQ0yBJguDVLu6nIAVHry/J+Z7wQBx1jxGUE8ZII5okwNDynQUyoqn56fA49H uMWb1dNrFtzdQuU0TVEYVCtvTcmKZy13L8C20kxgYMJTsPLCjyrUq6iuqKS0P4WPs1nLOaFFBkKa IbHGgru9QYUwlwfv0Smf2yO8B4UIz12o2Lo7ChnckK2g+Fw0eDxaRH7fszmCS7XCXMO40FFUPalO la7iKT2ShWdozdscj3zHiGrUU6k9VNNtuJkrMP6DLZ8T+QyE6AwaaYEGJZb8mOnxqB5RVC2hgUz3 Lg1WXjyLXDY6K2c8HkTV3VcRF1Gh/ovf9iOKqqHuclL3LX0lGJebiy7yTKt9KOEXWzwuUWt/qZb/ 1IagKV9UWdU5NBtv+0Z/SWhbzIcQTWA9PiOiJDZKv8zqg7Wj4cjITTIXHw9K1E8biBcfzvua/SAa IYI6sV2ffIbWdn3K/8/YeyU5kytJo+9cS9lv0OLuf2EX7h6BZJ3JqK/nYdDWp5PMAiFCuOikKKvN 0bJBO0fISWRilimcX+gqfCIOxUOzp1VozFyJEpUeCrA4okvl57bHesZ3BNBO+jHTC4GDdTnO0X+2 L36Sfv4Pj/+ryyFaDKT68t1jISdxcI/xGFL9ddw9tq+UB15NeywKqQ0Sg7MWAxtznKB0jmNw0Qsn aJ/s03ppuD1x7PT0XPUT8xMCPtiNPnHJ8sFqHzsNBcTns/H4v/QYmKAAgrJucWhEATU1ByhdozOI AoYOGbrATiTOmp8ooJYVzs5ik32dQWmflCnB/5ou8TBb4BYbtJrA5ULXHGsD0QB9RAVqiZxXBdYV lrZZaq6wVGaT4txpGc9HfLK7hBg+Yw0T+q45ikLqwRp+8o79bskVu1a/HfuVPXcdUUi9PKT+X9WK TH9nOKXg5E9pJlY/GuReK+tt7R5DJETiO4LUNamGX7sPCqlhwLOV3J94cUT46fGrG022VLn46RHi p2meyy8zSbPkE7SLcYC7hCc4QRF+uhBZLz1uDZ6VJQTsjeEQcItDDeupE5L/Ot0+Wa9616hPhnUH 1oYPOqcn8JbsfZ2DE48H4dD6dQqBZdkf1ZOoRo0iGdSWuvXJZvPEfnGfWxE/WZ9sRAH1VJN1qWu/ 2LXKRMVs2O9IvKIn8kS4y+Ctfn57GCHPx1bwfDy+I4qF2NkquflgNeoJ71QevWvj8f8izyAr2HJX UBxQY910cw84x9AjR1W2Z62L0qNcQXFATQR+NuWlx315zwnFUGq/txN3zsKkBMJ5CGeR29QLXdxa QmFEjWMVoFBeZIjEDWDeTnTNpOws0IXnI0TDd85B95l5+WQjrlKTLDU9sd93DdFF1K562A5qDYVV 6iwDTxkJ7cdI6CSsMBZi6WPUic2F/ySfBX7uPBwM8Cy3Ov4m5mOEnER1o9O6g7Iykt+YlZ0wHY// q/bBuwyIBvSWTD4nrFKj1LfT7OYlKC84JfbPLttUoe78nD/uelzlxYeLXTzxUJcRbFtsDIkZDYIh vGxgP+PQM2YcM4R8NJXxRW4d3vEGqJai+j/nnxoeDyaofbfKoKdT1i3jzyieBmWnL6Bnsuy73cwM gEzCyomrQvOFAkNhlVo0sibxtzZuowN7bqwmHwqo4U+RNivCJuxe4O69Buvv+icjsUs5uO9xE45W fYIKHv+XQAN1c9Fw6zcjm1E4jZLGSSO3WtBC7xrttzuAqBMOzj02Q0KiHM2actd2LZZOINRQtJyK hoCcYNu6VnoynbB7U8VcrFaqD8y4SJ1+hdPuQHEOuia23V4Vj/8Xth0h8agn2ARF4TSCHPwBHi7u 5c1WKIQ7/hWHoCSqwgq1MjJpVK0vjao2wT6WNF4F9mNwkSFTAw8IF8mVCp64r/EdEd5D8XQtPtgZ BNkQcVpTxuNBu35dsh2DZ3bBbw1/RgE1jDLRjjbAh0x8Be+c5t1FYrRxWmfIR6xbpenpgwM+YAIG gQYsoRMjNul9NVy1Fc6Ocz8YfPw8+I6/iNEI133QHhvQBqPmXekVjwe9xOb3mFw9zzw/4M4ZRdMA hqAV5pTWPu8eG+uaLCdqD3R+TnAIJQlzqkCdb4F6oEoGY5SkwtBZXsz7awE7D/3ygRzKgkUWF2cU TRtRc1AimINx7c59zVYXZCDw+L9qZ6q+FrhU3AmKEB/oaaC62wy6qLI9ETHXkBqu8NUmKKpPG5NM ZxEHv+hbBYxEwlAkePM87zSzAUGLZgQuolz0rn/quqLOb4NVPc7pz2ARmuh4PMrpvyco8/vXvedD MmJGv56mHRL4mM0xVUS5K6df7qk4Q6E8brFdR/LBT2mI77YiKe6FK5/RNID9UAvfQOI71Y5/Jr4j wAxhGiE6MHwwHtAJaphu1LX5eKSi46e03HKg7XqFmGaE+EAEgbrZslO6O5keELHsZ1Byl6AZRdOD rQ0+boPf842aTpNnEHr3u5qqHsQy8degKm9dMipVzSiYpotAommlDUa1O2GEmZD0jsejFXQx+Oyu ITbj2agZCsmIDQX86mKCQMn7Esrbyx7wPLA99qe+B4Q4hw8X0AD+r5WFIH1TGFRO1s0gQAKbPicj 0ud9hnJ52mO9dx8MVXVidIrg1JILHv8vmJimH8ZDxRXF0oDNdDMDHOQBXeGBO1ddgFwsoRUhPoYw MXKS5OA8jgUhd6ZS567BgTZlJYwcj27i47Lqpb69wtp0Ziw9hVucjlsEBjWTutnO5YjHIzLidySU N7NWP4RWFEtDyBjCOcsOIeJJzbP02nGSSS45yjCWHpJkWNmHL0BDpvUwVtCANQDV8HGDmUjwxQwR TYHviGoe0l4oxQdNUK9wEGOouCsej2Bnv1L6Sdl8D4RWqDBd5QlUvLvxVBap+KMJIoCeKyhEfCwd Qmxd7C7dXlfygukGi0InCymQyTiHEItCQFFBaf+LMt7wHVHVjKHiTt0Hq3n0Uofq8Wvg8SiW/ubU U7NGUsycoJiMuJmkbqt55OGKi7N2D4RmcTrrCsHTqieOdAdHe5S8TxgkzFApDR7vTFfRAUZhDpY8 955Petc/JSmr6KzVV2kGYl0uN+dnxePRGfQth1twrI5bm15RKL0yRZiGAK8ux8RIUdTf6S1EHtIr 5CIOiQvt7MOFw5C2QQUdKOXlTDxIzV3XPcABj4DO1LsGVbMpjSGBy9cFl59MRsy7E3BmPP5fmhuE vspwgxMUhdJoa5wVks12axa33SpCb1MKbmwyxjs/JwKXc4J6Hj74BG3o4lWS7QDn7Mo1ABjG1wFD Cu6c7G0SYWcrAnuoap9NrWq6l147sXpnBaGe78LjEV7om6AAN+JKIxHNUKw3jcLrqsuusVZuNsa4 RMnGTMapX2EsTcjvzqoM5YeviT56hQvwD9VhUPqerCtCfxD1FcySlYT2yHrXYIJ6Uz1R1cVsNu7w +dwM3ntKDY9HMlXp6xCCthDaUT5BUSxdqOOFvp+s7tdu3qZHMcvAMH2YwNAK0dPUq1gzbx+cSHaW dqffOqPzkRdVGTg3MDb8geSs18yWvWsUCMkmgc36tJ1Hc8KZpgJaS42vGJzSv3obtI9HGc0mKOQi bpKAuusL1X57G9UIiuxtWGV6hZXppbJrSj54Pn/u0jUngYsdysmFUnkJIpuNgKSenWpXmAviSyL4 tIQ9pHs/3NgOUleqINS+Kh4PuvSX0EqKS1WLxGcoiqXhvndOUqPS9y3KuGbIXG6Ai29+0YexdJck 9yg++CnUIGsKHiakp89mRg/n/DuETh3Bc6YQsIVCY+hlo1BI+kJz+KBTaEHMmefs2d14/H2GVplf MwTsbEO8qRnaUTA9aHpTk4dC3dVzSqHTsW2ybU3oHZIRCWldizVDDvecTmddQsMTawgWmwymGyvn +H3K/zpJ7yiYbnID2JQw39tlzqhKayJeg48HVbNrmqAjGbf98CW034NpPMU8fidLx/rj/VvtX54l VN37d8fKHrzBNhN7Dn4KndjwPMBgeqBjkhkrFvhqdWQa1MIxf2Qq3+8QPi0kDE0ENNg9VvISSQW6 uPs9mJbO2FcDETpX8BOwCYqpiPT93cOqijU/1gDtFs2ufPmOg+kLo/qNpoIWZWW3FrEisELchp1i ohAFas9FT09ZfEdUNFvqHE4fLB3LI6uwjFBox0zEb1Z9ppRG8ntsh0zEjpJHtd00ssjjFKgquV8W 0DBljx3iPCSUh1awDZaO4YhHaRGQxXputVoZTiJnQ4jYTLBzPEWzHerkqenTVZjuXpg+66ZVoc3O bYDHg7LrpWoWy1dLu+pC+0/kNMR9nSY1rvRJNsVuurYY2GzHRES1Nrg8ODiWaoEEPyik0aBivime 06mnnCl98hi1s3K/Y2kPqpfLTStfNy3YpS9hqU5Gj8cjaY/vi75Qtrh4Qr9DlbxJLmtzCkdtyTku xACKBbS9qrhDlIeQMGXf4VYVx5n/ykMaHJeaCdMH1Z6+vD91Pth7JFX4jiASsnLiuIOVXQu05nBI n52AxyMNuG+HAFQLW7tk3x2hPFA3gKBpsRWU7hbb3Q/pc8sNq3jsCOUx5DMmOvR66NCjTsTNJmgK JgJkr9DigEY5irUsM6v/vNh/3lFdung6ln3wsutMcvhF93D/uy5NbxsUFNazgkJlj07NAYNugnTy aOdMlxGcvRiPbMcoDy6dwbOag8NgFmzqIIAF98MTSG8mG2KEkK3evTlW6K+L74j075mNFWnElHVr ZicZcqLdwONBsjG/+8+FP9AV8NphXTpJftLllyQGxy3GSMxNOIqYiDuMpa0gzUxC0ZRtMWgmZJql JGy+WqXqqY70eTm2xoSj2lOvGhU8hqDA2wfNz1kHAredDcbHg2w1fydjTHn6jaR3FEk3mrJJKJgt jmz8VaQFw89o/OianwjiMauy1XUHR8G01TZ9NgoDxi1rB9JBSEedDwgG7VN+R6Tqga1FLyQbbId1 lnATz3w8/p+KrmiUrUf7PkWRNGRW3PaYutx133S1mUQ3+qPZdLlTGEp3s6NLPtgUtdR7Yu4LitQ6 N3EheQOhdAc4JlOH3oBCVGDCtwRkzUKCCxi3GJBeZQWLgJFQPuesTn5ABOr8FmHqQOKsS4kmyef9 sUGz+snSIeJpWJWSwNHEjeo6qpcZjacwoGZaP/ZKPtzq9LnD0ED/ocdokdFWggnOeU10tWnUa/X7 se11IygDs7Eht4Dha+mEoLNRtAd0Rz7/L2Y9i8PoXubc7ixFQTWaRUjL0o+cFLr9E4zsuiHN+y5e +8gpRE+LaAeyug1+Hs0+C7XvYceOmJo1/AJkUoMawyJr00TMq71tBKlSaXFbhRFuJ1xLBVL7uLTH HIsfEIRF+TfsDKnZPZNyCsvUsGM/14J1W897Xqkh9nsVWcNsWo4cKbRDJBrmXGfdB4e/ouK9plBD YF0m1qkp3dogdaG6s6ev2143gi8SDzOU519+dD0f1FQF66vw+Qh69l3Lh4BQ7befmFMUXuPwBFfD atV7rUt3YYqotdQpY8G1FBWrSaODLdAdvE4El6FirhMDkGfmKJQt7wBR5EQXbULxd/PXDRZTNrmq 7oNjY7o4tXXDVCHF0I9veFU+CcjP2fnPNIUVa9Rj1/kDzuTAIboNZ5Yt6U939V3PrOtgikvWgn0o n803Ruq44E6MRc4Lmgf0F2s0tvjpQKLuefM0Uv75JVGqz+nJTNRS9kStjZyNa38CAz7/Pkv1qnha k416o3eSokAbyIw+gYUHehG4YWOXQc9laL7OJJLUwUmKIu3Z1figpDsHR1mlcxslNMFxeqfJQw41 xxMRD1S1aCBuMpVj2ttGOpUQelmQfbXBgHqjSVYH3Vw+HxRE1rcwPnl8/dJcVWl/b/rSSZKV/XWR aLLBubox8rbUsRTr6LExn+odLtCqp9mmMNUTAoMS7WZAgGuUJluqGQG7ry8J8hE5sTdZ3rUlY/Vz G6MtRPTUeYjPRx3G9TVJwNfV2p+VFAXcuHmGZLaZstXUPGVrN2WDGYm73kXaH5V2Sm8+vycc2qDr IuJOUJlXG79ByoGol3VZ92aCnGJZalM23z7o6EbAKgbUaJPPB9vtOpngSdRlUda9kxRr6bFqvbul bTw7Xdv8NqovRSinqICtxHZ2cRP6I6YHatnZYl2INFjXcLud9LaD4nz2OIBFxBMVnjL8kkBCRhcb b0sN3misa6j9zIgytHtpv9pEOAL2lztgFHhDSROpiVdoZaqkEvYFzOzl6t05MFA8UQAlzmhEaYOD q6HseY5rQWMbLNnZCKCHFGrzCEGcrEjH6Bw4KOrgJVbmDpacnBNKyQkdFEMLxXEtOIv6SlTJv3F3 aKIIriaQ+Q6P7cUT3DWn15DgwuqzFKFCEttEezN95eCz1M7H905RvXMJl2n2MLDhlFdbHb97+vkP F0VxOsvwQbPUTuqnXsYclc8HYXf5XkosiNdLLs+xjyKV8ne+Isx+Ep2AeV89IlQhZBMYGCkCv0fw VWnDB48BYFE/M4EP5zaDgAwPd8SOzNmINjN/7ZXsbf/S1csGwhKik3whmIowg0OhJAdWir/dXBkV IRcct9qWAzdFqMsiVNpTqsKwn7jVpJK9YLuKN41yaKfIiPLkH6v6YGvpxBjnsMCCoTLaSXxFL8pE X6O0tB5tPfauc+CnCBo++feV1q4cDMY3pjj56Nrx+WjHfVf9yY7oFwCRQ0NFJE3oOKaLR68+SWu4 4jmgCT5JIX+RTVdadNtga2mTGt0pkd9ar2iUsO4P9BWaG1ne0gYzGva6QYtf1hSCy0Ld2RbTOBFY UxgAW87QVLHMm8IRMbHpb/JMUyRbDYAHKtpe25ayHrecrAVYeANMwqYpDLtNN0WgtflAZmHQggSa WKMBOWLhIFB5q/CwX/XBGlFYJge+iheupoQ3P9LwKfWm6vTZ33z+X1qWAlAggezPJIUsxk3B6n5p ntshjzt7SAAnuOIOuCFYhO3H0codvIc00BEvdKg4/x4YCIRVjGKb9iEiAqfpFXvd91mi3D2KStUH K5rMrYPpTPvm88Hp/csIho5qPT+nd6wNQjndUizuzl52k2KgVeBm83JAYK8I70CzUO4+2Cyd1wCo WKf3TBC7p/YOJTCx49pKj2pBtbeNrjhWsidxERwsEFhpbVm/2UtGCP70FVJSSh2OQD5JYaF7DMKL ne+5pmUk6PePi1xz9GwODBax32TiTnQoh4vhPzd/K/IPHGOe3L+xGgAoP0T8M6SoPc+tw143Uoof IjRWHxRTwpBNKPy5Mp+PyFbf1HOKKpNPZrMUgrBHIRgis1KChpKtn0I9a6mo7Ja8/ha7LDYD8g8f HKcOqZmGOSF1/xzfclSeJDycI5+kFbehzPa2QQo3WAUohEDKdZWTVDqEVLiFZuPzUXbyXQwgo7td DGQOjRYHFR7G3oauoayg0T5HcrIDVpWWUui0aOxzwCVt8BsuLfQpF5fSud56Yb2AnvONets9PSjI bG8bCzUjwaVpcGrdMWyrA3mEC65gJQVei/lLfY/RI9p3EAz3SQrr3RMaoExtuJLKsOob8i2rMN16 uD4oOJRMkKcuHy5UdA1yz3+oC8buG1ZSkgwf7zpfSXTP4JdEh5KM7xlScrDi2/lYXnbogvL5oER5 lR6UFG+UWO6hFLstbnoJdtVJ+mxj30miPV6WU56bvgZ2i76SZicBi8ONu2ESQuvhBC95NDtxKNGX BmBjFgR4JpVck71tpFIo6lVPAmrNHx5LIGKe9UrO4onk+QH/DLx/1MNt60rO5MBxUUCSDpceA0S2 7IcSwPj3UJpeyA0sF5M8ugE3Xj74LJWTh0p4/UR7KAIAc5IIwhygngPefLsCpdrrBkgA/C97wfCL Q7fC0rlEqZZz5j3rLSPN3V8MLHSEUHH3WQptYhrg9VRtp03MGI/e5b5oktXcyDywXcT7E90/ec9x 8A0Hzu8amfSHhm4uK+KcoELw5ii31z39bSPCflYXd/qggPLcak2hUk6Zzwcb7jYrl0UBZfZnw4Ve 5l28h+Xt7rW83S3jXEFuikfdofGiCriry2yp32oAjLqR61JA/qxu0BJFSEfUiKIcQEpGVJOcYw6d F3M1flHxwVBJyFT4d5+gg8//04UAj0KZqLZ9y2+h+WIjDQJ8CfWX2oWPzub+VSeFy15ZCtwXDT+K AKv74FFAWUuCMwgoWzWELaTAccWc825cLwsZeObQfRGhNRLcknxQFJBOYkCoTz0XBZ8Pou70S2AF P02Zz1KKqt1ImQTOVp5blqG3MqHHKr/NK1GcAwNG97PYuS4fLpAdK5EMw7PfIIIpSh/M2QquDojn 2CSRxsgviSZJmqD01eFg+23UnUyCt/H5f+GQmfhTmJTGNTZLUdg9WH5jYM8NtzxAQlaRb2WpGX4i ByaM+CAGlMkYtOnBcGUcRl0AihPeoMnBbiaso1Lmr3AxXNvfNrjhtvjp/2MRh2h9yiSwccOFzubZ Gye8GOFa1r/qb6ERIwCWrqVGlEnKjjIRp7bIkmD7LIVhNz0JZtp38M7JPBntoqYJLCUHrHB+6NFU VTPZ+KOmLrja7W3/VAQf9LVQGcpKJqs1FWdr5vNRYekithmk41qtT3ISujFOwgGXGTfAyOrS1y46 +ezD7aXc2I5xy5igNB9sLZ0T48StW6VcQK0lYDgV8J7D7H8x2zm0Y8wsKBVAD20wPOCoUt08e3Dy +X81KhkrdWpAP3P0HnaX/y+RoLWfU6l59c3KSkIE1jtHUditiHIvqYetRz1snrStQZeGnRP4SbC9 NPDrFGQUsHv2SRrd3jZGBuDM7skH7TcUiCVB2LJe8l/aWAwZgH9+RB5zbMpIVZpRdBTBxtWIosX+ sasH57WA0JVRDJL/awTfT44OuQCkgAlWVqXw+DqpRUOYv8n0vOh29bxDX8YpM7TRmw9KTuDcxCZc G2nw+aAWkOr3LKGT364TfI6dGZEirJGXg0vN2gqIu3qFRYrTaXNszah2rlIyDp7mwlgSuCvNEkgl FAgHKLEtuATj2FastOldmQNvRlidcJLUFOBgJIlFr2JwZ7JeMthv5ZtoQz3udr1kcmzOSBmxNbwW sJzNBjsG3284fn0pxfLXXEONMlkcHNZ1QqEOvhfPpCYK/FlKCIvaBqyLDXorK2V/3VihBhQJhd3V w26094s8UBf6uYFF4zcUB+cBy8HjyeACj0b8ONRO7y7Ou/byPHeM6rZNFJNjBheaNIosMVZOPjhQ OSExpddfGivh3hRgCech5EohiG9l3JW6vW2032TXJaTybRqfFXkyQhX5UTEJbBrle/NVewMm9FHn zaFPI2y50GzVWTRM9YAVSuLtxe8HhN8mKfQ8J1d2Vh7ds96ju59XnlBTJ6+twkhdeCUYgrRNpfun I7C6vW1wv8lyZ7uDk6tllVklrnRO88HnIxmN7/uNKcR6OgKhVWMis82tCoAuzs5sWx4OnNNw3v0W Y0yMWdt98DLu+cGrpSboLe1CoEWCGEKHemhu1FfVfkv+usF+Kzq6VaEcHlD2E4jK5BgsAz4f7Lfb XWJlHD9N/oqUwmJ3V7ckWUegV3dw2lRoYkdgl9sRqGHUzf02+6g+eMVklnoWlAiAJ3Kt0oo4/0wA J1C19YJNsfH1LX8i39PqPhioCzgZnt17LT4fBJT5G68EKzJcrbefG1o2AqMJhzRgbvHahNCxBzfp Ycawe6bkmNzQs1HThCaeD3Z4n5B4jHMB0bcJ9WyYRkAwbQGdR/tqel0pWiJHKQeujYJAlL2AnbDB ipSbJhs42ffk80G0NH6RcPDrU1pQsxT6NnZKPtfqnUq5fZOmVK6F9QZfUHFAYNyogIJKvckHR1B0 aKHSjQP6bq2anRMqHpkONDiKlehS0IVf8mdy0mf2QXF3grY945+KtRQ4N6KwtH9NErh2TwYXWjfS J2SLcYvqW+12+cN83du5G1rWyuBC70aLu+e6g5dMUO1OmqQG6OMgY5CqmYXopXQzOBl958C8UXJN tLW8g20cgN94P51DnM8HN9wve8tC+aP0rKRQf2RkYrq3r6RpNjMgGuyf61nkxYDAvtF7cCdbGz5c dPdqOGcpQNLXua+NwYzToKMaiVVknElV30L7xipG11QYwOIZ2X1ncVapYwPJyg/4L7qrjRz5J+4O HByBWKIy7fA097qnmbysmALXET3HFo6dJZNGyhYHRwgCaIpyEvZbSQvXHVM44OoRMe0r6DeoeJgD B0dcUPJ0WtMHqwWknQRYGoBPhBaOX9g3UXhQjvhaSqFIdgIgt6bt7dzqIglbrmFq527T9Mt/uDgy K9Elz8HjgNz7OYtowgdLx5VkO1MIhTg3xy735KZ6Dr8k2G9Cvf2v0AYsAOi+hoSs8vlgJf3eb0AI AnrnkxTyJzslSFwle3I6TYykuCXxBADcJinEmGgXDbIpOHhZCdSbRHOmhOlK6uZm5HSQTf1ZpTzY N3/bgL4krgk65TYoCjj7lDZnsFGrfD4ovu1vYs7AF++r4ZsDN0dRU+XL7NvNpOtQoXQ5+gU1ZJuk kETJ9TEFxJkPEOfMOw7WTggXbIkXLakTRMZPEMD0oF1RG4IS+S3R0U1gAJQhbbBZ2nMJ33d2BZ8P 8tz8neee3wkdta+1FCv88VRaLgedHT+RGcwY3b26i3wOTB0RdJEC1/odvNwNPACa0ji7z8ZbiT0W /hsAUH5YLfqWH8uBqyMKquTAFZ659RJaaXCn2tlEpzKwdfzGUSrPRUC5PDv5vEZKFXOEKLIMxUcQ 801WDKj9Efmbs+nkfo2TKlQihZ4kKjKVh2w6QQs5vxh32wn6IH3CwpOczlkhuLr9UPn6vEZJFQ40 rLiRiK3BO0voWfyQsYWnX2bnvOCWRKqhwBCS1IrCDK1IXyOkKhesE0ZupR/oCTy97mGq0COxrcqc JJgcSWvtJb7pegQQ62hwyRs8r094TD8MLB8EhFAZP+sL+jL5C/f+OjsnhmRuWzJDSQ4KjxaaMOwo FdRuX2dHLmyaHeYduFMXm26YndfQqGbZF5l2HclK5crRUyRHMscwY+LsvAZGWDrdzAq7Dw4GhO33 APwYPGW0pQQbZNmLSztf/C3Zcp/XsKgCdMMW0pa+6H70RYfJUUIX/PMaE9UHxr0FKkUpgvUITs5r RFR1/ZFIbPVaVUZ4RE+H4ArDzcl5jYcq2G6FbcjWfLhIyRN1b2LXU9szkWjbANzqrut3Pb87u/+v 4VBFOEOwLVuyiREmUQ0nHmq7kxhwDrH8eY2G6qNap9khb68z3afHb7ixqmWx5uNokiSAR4yLcKcz G71Sg6UjzzhxazV44oHNTSMAXF8orLGQ25EXV4gvACLg+6rR7zs6dWgMlrsMMW7TvJ0ggToqZGR/ XoMgPF19X9kBdb60++S8hkAV/G3C/0u1IrY7YlAhYfqRjLxNLqDB5Exyk/cwGYBHM3Mgi0+LCcdJ PecqQyYGCLqxdDITfN5aZ83R8iqYnVVNkDb7oNrsSRqT6A0nbvi8Rj9YBdWXDoWi0POk8QBn5zX2 wUFOMhINEnip06hDSDaWDdVRm9fjIZodJfbsOmrwM7mfX3YUhYcNSSUVWnrjhXq+fCHktZy100Ul 2lhMxs76Wj7Y5JwImiiktvL4vAY9OLPuhcU6H7DsBWxXzc5ryIMzmUp12dpE3cB9rDUSGq7IEJIh 0uwNz2QyRsQi5eDdxrOnzkGcGRcuYP6Iv61UiYY1DGDIHj1Tq/PzGu/gF5hyS5Pear9ah+Wc9Ew0 24mYPq/RDt8w+/RM+RWju8+SNUVMo72VeFO5RNRe42pHPHQI1MBMbzU4luV+sZpMmtrD0QLCBM0x obLG6Lr7E5KukYD2ATzVPQmJ83+tMGJ+AIDbFDa3QaUzIHcklnm+6fNaX6xqU/6+tOoofqO/Fher JFrPeZOGkUVat9kBm1r4IwAgk4vXhPEOz+M8pg8XQnNOmUyUaZogT0liq6Bw30i/hwqHg7JpUfRa WMQvsLSrcvdB53JqJt1bKwTGopPHANmaHdAB6riX1jt7rUorHovHLdApYGoHc35qQcVpIlGw3MWh SQqW0xMsQz0LUT5PZuhh8Po/W6yCJnrCFiIeXFgj613/2l0sltqg1dNrFRClETwThctr/rrVJfJe fIKieBkpTF/L2DNQEq036HGuyIkIqwNow4CZvg4UKrPh1srO7dVwNaILd87pzYIiLYUGtLGpSmLt 116nXjY4nmll+X/0nluH3bPws5WP/ytkljYLvnLcJfQeM5/ba0AiQgR/3u25eWG61fnssOEIrGCG uHHQr10+OJbvTM+kigmwfED2EaM1ZP268btnz7eW8vYoau6N0mt0o9GgAxoTL3Z/Q53sPWyW4LhN kJhb+DHzXUNR4Hw+FCdlz4Yrah4t4+/pPkMoEKngGkXOsHvH1NTlg2eksw+wTbnJxtkOEhc/M0TQ OQQxriI2ldE/73S1KmX7MzVDJlcj35S0VSmOoOj6eWer8RTyTSZEBIAD407Qe+yMzaHMotkSGvV6 EbJ8qSsMSBCrtUYThKjvxDrgiGqw1saGKAQbGbDfqRlMcyau6P3QmJaEPgposUbweWeq8RRiVkrH YA2es48lfAJUDt+JahZBfZ1CwBVXyfJzhqIAGogyuCw0C4Lq1RpZVz78/IEuif1OU2PyxU1me+3R gYTA2AmiAK7CDOEUooPTarQoQ97+iNRZNfo9goa5sWQOW/KB3wGEkjQPIW7/eSepMZ7pv2boLOVO uUzNUBhEQ6syUUeRmjV1FefMSAhys7BxS9FRFM0mK+Rkkw+3spFH3ehIIorOcGJj2YeCwhDtBcTA yz6iO0ZhdBOBL7c7GP4D7FlC92ben3eCGtZQ9xkSWASNcKJ1NUNRIM3Sz7lRiuXvakfLrdGEEEBO HH7XR5G00I27ze3DbWicFK+nIYUoNBC7xFZRLJ7sRiOSVu1wUNL4nZ+Ghcr6Bjg3PlhHY9WpztaJ Hz/v9LQqXIGmiOuFXzlubew9lAaMiNbMRZ2Ljl1xE7GRHfsBg1atoSiWJkvmxJdQP9JguwxEC7Df SJY5J0bTObSo60HXTbZY51c34z2WzlQXeaut1pmpk/YDBMXnnZmGP/Xe9XTow9yguGsTFEXTiGXB QL8T1K4bIWM+9XsQqGmCwnB68Cabz+AHNeR1KjF/iai2Km0kyjYAcyoxUcGI59S7RhNUOTOExtTi 3ZJz2ffeLb9an3dWGmMpv+vFzjqbg1rWqh9G8TSVDve8XoSrJF9BUs+g+Aqo5pygd05avWh0tcU4 2CkE5Y291OoZwGVMTlBHDaaSq7qvQA0KinzX4JwW+WNL0G+74vNJOYscRuEF+XlnpFXBd78SDrhG 9nvVvxPSeHZRncb0DUcqX/4yriuqbFUV1vd4GlUBbpzJEIyD02PI1KK8DcKgc7dyguio2+AMQuTV Ze0Vvexf9flMr2sNhoiBFy2DoXP5ft7paFUt5KdAT2WuPr0Y9M5Gw7qFNfKe1atBTASN15geRWPf Y+9ktCoCFHVWpw8+Q4NqGTymT7AIvUZcZAWVVwii/4CafduFFDl6J6MhGiKSsRAUpMGiIehuCFlV 2+edisYJzl/hItq+paxbpA/jaapBtlS8CXaZnxDf8xmC2JFmKIqnk0RElnJ7DH5MZ4Qok4orE0Vb IfRKx4EOxaGCYN6hMBQTeeeh4UsUDfXdfdAMnSCrCMZ4cp3POw3NjnnNEPFYvErzrZm909CQ7FLU WHpPXE7eaEaz5vbAUEPhXf/OQuM9yrmReywHT8ragAYkcTADuhCSiaqQcqTHQ0Zg4NuM3KF3Ftrd Zoy1bDBkdVYlG5WM+XknoeG2vXc9uyAN3zmW563vJDTGmcAwZkPmd9pLmHzf7l5XpHqWTqKoKG0B IwyNbPCiNEgZaKvxqEauwD4h7k+FQ7iGrGIvg4d3CloVfwf4zp180AzBAkwwz5Mhf94ZaIzI99dR zVh1jOwT9B5RCzWJu8oKi6vO7Mjz+ghj12Gls3f6GYMGbTOtoXXXEBxpQeMUEBbWzwyYMgydAM+n 3uR4ikNLL/t+EC3RYBbpZxwMlQdtI/l+pP15Z59V9dWfbiHKM7Xc4tA7+YwzRET+uqaf9WKE5r6w 85J8Cb1H1CBXQ68n0wJDgx1EJy5cZwvKvgDKkFXxIqqYBNQzw3+cnPgd0QSNL+UnmHeYNzNUrhjw wRDn8049YyGsf8dDCEDG9oj6nXiGo3oJ+Lq8eH+BwlvAfOsZekP1PaJG5ZgRNapvNnjpA0qQsIll 3grzJIEWsSwLLoo2b/VssC32TjurrrJWiJLSYGiFAWwN/sAzyZ931lmV1/uzydBWK73d2z6KqKFU A4+Q7dWz7W5pU4k9kzL6cHOTvUfUAvVh7bDzzMGW0CC0cIu4MNGe3TKkQcoxAE3CNWZ+e9gpn3fG WRUokvCyOyiihs0zu/PnSF2fd8IZM3RPOZoe+2GebE3nKKJu1MKY247plV0mBGjL5iuItB12neOI WptLWpN73wmqUOoD+g4TNBAyTrUX6Z+GWdq3/TMI53hnm1mDC0Uhaj1w0ATBFbIpGhr58042s8v2 K16EkL60wzlBIaKDvHzemlxBKoGweDbsX2IBeGnonWpW5TTfSsXvYoPeYp1f6aQ1gPpB8GmlrA5j Zj0uEz29xWdCdbHoXf+coMKsnoOlHGvXadI8/fNONMOfmn7dY8iecJnaBEUBtbTnyGcXgyp3j4Vm aX6PdZfCeqeZVbONxd7qPtgKOjkMLngrUGO7FbVgoR49JmnCT+2s6V2DnIyVIRZpbNAKOlFxTSLk d77ivw5pTRC6B/tOUBRPoxA0WDM2QHCtXp/uDIoKTWaqT9B7PO2en5n4ew2+xdDlT5OlM8AS56DW CkXWS6MDRruqqpS/eCeYEQLACVpJW4ykVdbO0oJjGi7vczR93glm+BF3/9pjrOat5Rf9O7+MqfKi bHgyXPl0N12g4x9lvmIkvHd6WZUY8slIKSCjwese54yfM9HT5SQem5c7itZYjWgMXvI0S3Wfd2oZ EzKmG0vpxtWSRpTlO2zOzzuzDJHI/m4BFXqWVA+l34llvBgSNZ7vAkqPhYph8bMkaTU9UXUa6SCE gLXR6u3RT7o39iHe9ETNmzAZTBtslOAxk9wAoy9716AFRN/hApaTDYYqQ0zEMGb0+nlnlWEl5Pw1 QTCNLXjMJigKpTtDafkXDEPdWU6/bt1ss/qOjPWdU/a0WbvarP22WYEpLih9iMN5wnOB8s/lCQDM ohXblSzEHuLLRoUz+ThJbK46QaRORJ9yW558x2CHje8dhkVRSQjUDEWhNHY/NHjUyzj/dPusDH8N A5Pdj/CdUMYzSBAh6sdJs+5nEtfakV50ARNPEFQKc/o6gH2x1tn0nL7T/fydT0Z8GSOgppyDdBLG 0hVQYIo6Qmnz884ns0r8E0sv0Chqucd0CPSojPfNOwUSQo+7d3Gl2UkiKKcorE4nXmD5Gby2mABe HomiYBMwfIKoO2KjhnIf7jKXLGao+M4lq5eQsBUxAlxDSPOZq9rllgbI/eedS/YrY8UMgdhVUQS1 GYqCaQgrnAs2aRGdYNr9z0+UYm6yOIc8pX8nkuHtM+9vHIY2XBDears0ladREjQQHkqXDYsNgrS+ hmjE804jY1QylaluH6wuVJbEU05UsT/vLDK+4i8wDIoj9d707yQyfClNLcmjZnl6lOl8jTK9PE1B VVLt3jlkVS43OID68sFri7NBGHyQtokSmmQJKtLODkZspr6b1lAnfPydQ0Y4AoPF2myoagh2SE5Q s/oEJ/PzTiGrj+zONo15sol9Cb0zyFg1paEuJffLj6K6zJu+EVOjTQYmcMMSeieQWZsY2N/cfLCT +qSSdY6yeVIDGTYZC8E3BAJKZ7HXBz2OztHnnT92Y6FEI1UNKk9D0J7YyHo2zeedPsa62XevHndD YW1TExRG0yDg7mSKlrj1m1DjqHkkJ5ED/qQlFJWnW5WSjKrUqTwIckhQEiqOExlu91KXx73SIcKQ oZ5nOGCcSHzZ4KCWdNOk6ZUaRpyhhOuAlcU+1uedOlYfvVS77Btz6DtD7+E0QgQC67uToipRMCaU 1vyy39moLO/EMd9kCKOLD76EMlo0ncaoAzrgi/rqFRXxRp9J8mbdcm/oZYPLnnDXYlXqCxqFFEFi hnPylvJ5J45VUZyfPivxHqncTRZWp4cQ0rcJtKbfZKVeODC88ISVDqvTLL3mwcw1j6uUen7dBss+ FvDLuWmqeOabkt9ASQF5Zikr3Gb4rhHQnn8TRdU0WE4/ZtJFdJLlzztnjOX/76oQ6dCSkeOXRrVp tFXA31ZvDOSw9WPMccnw8pxu2a76d8YYF7CcNfb0wQuLhElXFT3miQ1zFjwWhKEhqTL3AyNg6J0v dokIhftRg+4xWNNIi/mc1593ulh9HIos4YDi17hFoXe2GJ5i6XPaRX++oGWPp9vDO9zFFBvfyWL3 Hiu09NTgGetJCtV6gzva+cWcDUTBHYRY87pXd3/X91O6U80KoH0ftIDmycTkmLcLHw+usZq/IiEa oM/nDIrCacDnYC3sRSHKZ7IolG+siJaN77A4nK7MVHPywVHTMB/Mm25gla5FK4sntUBiWT9UL7Ej iID7d5YYoQg4e2grb4NnZGuYMVFfn3eS2G9EFa3AkNKzxaEZCqPpXQnK2wbKU12Ryt+ERanowRoT QHktxE2TzkKH22t0q5QModws2mIgMVKBWHgtGL0KL6SclfRTfEcAyhMFSoooHOwMOoe07HYg9dWi YBrYgK+aBy58qDvYBIXBdEMwXZpXpkkoZ9lstHmFh7Ybx7aoMo2qSIOrXvHBu6znrj8HRlN/rM0T UZPY2ijePuUzciuvmqEQ7EEsaNk6jG7pidgvdgDrTnw86tT/wjJAamI2rwr1KJo+h6hFiCp7tH3B 05PqDKwKjWZN1v4eTUt3DscPk/vSrw/BggYT7FIIOcsASBIbzFMa3g0/NBewYJp1s/4PqmFTYbHd wmJFFG7KgxWPB9SNX3Uh0ItRp/IJioJpdGYHJBJsj6UybYIGe5iaIOSKmqAI7IEeJIAcULPWYBME KY+OrtIPLeIHsVnkszYJ2bCeqnxsUrKiR6XpKgjDFHVsumdGQkKztW9OttGjYDqpdWXB9IBgzL50 wx4G00WH0HWO5awI+Jq3B0KN5miJnxPVhUQ4rMUHy8egggK388p87KR7mxMEO1zS9ZFwXhuiCUwK viSqC8mjaZhDGvYmo+mBrkzin90Kng9Ih3N9HUOU8W8U0tAchbRDysTRe5yxUG2GhwHPoHksBI5O wUHdo3Aa7RjKVFQfPKkHK6eBoAP09IR1M3HdGwBHwmQYKRrojHIVPQqni2FfpahXXEOn0ImN0cws E49HvMzxv9EQTRE0QxH1EJQKeHtKXQii+h4tjtEdHAyOgVKyHoM9tHxU9mi37EFHlw5+CwtDkz4W Ap0J8/FDKL7BFru9659t6J1VGEKYonwjVzVZ+znw8XhwlY3vNYRLorKRqQn6M5yeLTkqjxGbCTG1 fSeIgKHOz4mWkMyHnuGSOFAv61SKh0UQMikk9UBPNzBD57q1RTLf8R1BUq+CUJ53MIrCybnFOij4 DaN4Gr/MV1KPeum6CVkP69PgcOQTlUgZbqLVZUn9ptuegAxofHKC4ng6CZ7QfLgTVM50FcbT89zI c1BhL6PU1bnqW33w5VSt7lF9WnUhgP58sLLHTInThVIhHo8wZ997jDj+3hzY2d8DauQpgMBMySyi Pk2YNPwZJ7k7srDe9Gc8GUePAuptDKn/Q9KE8HmizQmQr+dEbUuODCQ+n3tnUF9QLDuWp3tUngZm FpBXslzqFVMBpGYYkSxPPB5xWL+7rBVhuRwsOUERdhoABBhYurggZEfcgJjG3oWlO2KnOz/njwI+ ZYM0MHgTdvpkhmWSHn4Omb25uWBZsX56OjHMzHeCgGKs+I4oGLoQj2+kB8D8nUc2kBt4PFpB32Wh DBkmSDb4DIUBdUfxddCSaZs5k83QsJ2H+j2Qzrzso+o0qz0vAfXZNrslmMVjhsq5qhrN0ho7+7R7 KA/Wo1M5t0dYD0ShiKT79MGBnUOmqOWE7Hg8WkPf4RBCV2ie+y4bUUQ90/giAsEsdnk8NPMtDF2K wojq0/RaBPF++uCt+nPEdIqeEewB23hGBaBCA1/7A4mqa6GT9a5/igwg1bTBaosnwyvSCcwZjwcR 9QV2amLRZJ2+y0YUUSvlKCa4JHdhC4ZIz0LhAzmhdTjGP8rTvdzBg6Ez5ydTlefJOnH67FLTY831 3JDzIUMvOsSNkI1I0CLQWT5YK3ojdGB8s/h4VJ7+niDUripUc2yCQvQ0Cx/Z1INGpqgKK0OsSRsA n+gwTlBUns6seKC7x4Ep98AKQgR97ne2gHDDd8RVbII4USo/Vz2khfAdAaqT588JYs242kQ40TWR /ls//4fH/9XgEL5xwh/jag1E4TQuZccssvZ69YTTcO38TmNFbbEonF6JGIaqypB69YOwV9yCCdEu SmcwAhYaBoENT52enot+Yn5CrAf7q4UyBRoMbwYLVLXIZsPj/9JiYEqG9qEs4jk/UTDdSafvw4+g kRzTCTdXO4IWYSScnyiYplbF2agj+XCr9+es6AqFzpXcsrzORmOTAgxTOuZYB4je5yMqTotB1hPJ iGfr4gfQaVJhHI4/++QeeD5aQuNrikhA6s8SisJphN1AK3SrvupoFqhzFu/VEzfCPRaF04uYxfPy xYcLDEaDrCnfgLFNoWXMgHZLhWEym4hWnianfkTlaZBAWfBoPiicPj/CIGmknpABj0eH0LdQDmlS 5SKnR4icZo+sZ0ffE3ljYmaOHIKYmQt6RMjpwkyM8rY2XFzw2pU6IehDb9lPmW1FqQQuptsi61Xv GrXIqNG1mXbUq/dWQObLcnXpA49HsdD+OqUhLFL67UOPqDyNFS5FEyVkZKeYo2D3+v2m2Qii6RFF 08B/tSK6MwaiMHjPTyTc8usEgaM13vOAC4Mz3n9QQrt+gufj8R1/RUIVvSYbrDy9wUbnybs2Ho86 ZN/BYuUPVO4KCqPpSRuna7k4upOA1n7gMPXe83E0LYEuCeesi1mEiBUMcwnqhJ5yJ+CMXpc/FJbP VLDVOb21hMJwWoKKKHUjZQURV9DyniiggsN3LzwfJPU3WGQTET2yecEeIwynZY9TsoVCNB/WGurt +pxDNkxrKKxPS/FEJQ0OnrMCddy5pNOkyiKCAVokntUGYRg0XK2CT68HfMlfbdaT02UfjJ5wDiHB 8mYpeDwqe3wvIkg41v4I54T1aXBM6MvJlAxNY8vqd/YjG0JYhjqbUTRtCQcCFBus/JpOwj16o7fp ydv6ahT3oFFHhYsNhRYMdMZ8Y4ZgD2l1VQXV1cuvJ5/Zy4w6WsPjwQQ1P6eZfsHoo+xLGp9ROF2Z tE6cYaS4DEpPF8RwZOopJWOxitpCYYE6KRejGIXU9XRQ07t7jiyKC4qKPIc6vOkaIMeA3Hv5lbKG 828yYiGFWoMnrV1C7WeGCh7/L6JmRL2hamwTFIXTQGQOEUnJqu/JS4tnbxh26GQh1fisMyQjqjKd VfbIT9ljjPMTr0ER3H6irjLIOOuZ2m+l/lDhzvis2d414m8Qc5a11aTwz98PxvUse+xV8Xg0Qd/h NKUk6vAK/ozCaSASz7rZ3iVLFhXBy75fK7OR7aCecXWasRArqhocDgOwU1qSlSzo2hMvJJzfYtJx ZYKpKo/viA4hgctX88EOIUFrKVOU8XikNzm+r3o2wG+wOKN4GsWos26KqQaeteTKFZPXiqX0bq44 Q2G8LciZzqL+lO/P4gevUpCzdDZBJ8Fl4JepADPO/aDvcfDiO4ItRlQ5jEt80BYb2JskuJRe8XjQ RbwJGSGgYL3W4tX7GRan6a5MtwaxWZO7BKx52axnF1jhbEZYD4O4SIyUw23VAw88uILOQgQ3iil9 Igq9wd+l3mCRlcUZRdO1S2eaCynf4sU5FSbNV1DsHnj8X3RfrBa0Umq/kLwZgT1wVvXNLSFj5X0n qHtYdOIWdPY4QVFxeiVDdTYfPBaaQPEvKwoV0MlotDAq86FzRaC17vLJRe8arCACXqvysnqVPUo9 uYvMAeZqePy/5GNQLyy0INYERdE0/JfcsoTCHmM7nIo6d0g3BptlTFlnKJFXhAhmUM3BV1ABKm9W AYPXoqg41JULbWgR81+HoEUvjhnVptUZA/XDB2cAQV+aVbPNx4MJSt8UKZryreRVsxlhPRB9Ae1S LaeXpyJrHn0mP4O6g8tnFE1TnLPgTvfh5vQdFC8xgAaUCbtYiAA3oMpBdV5rkFGiakbBNFr8ICbk 4YMVPTYw6/yje8fjEVzoG30PAEV55IVmyELswFbOef3KSnG4UGp+SM/hxfsZ6npMdugJU9BgEzTO NmplEbLYRj1ZTDNlC5DpKfB6nV1ZhsJ3/BkHLRXvlxfvIc04u8DQueDxCAzzC9MJgZRx664rCqUh 8NdPjC/9hSHSvwmf1OUJK2XqsYJWBPWg2V1hD92GW5gGM2p2qQvB+KRfL+ECMjG24y/Z7RVCPQQ4 A+PPBu9BD5o+oHpS8XjAQpz9KxvLm4ghj6RXWJiWA1dKds2zHq5rvj5lM8AxpEIZRtJDtrfzDp6N nflJa7O50SHgZbcYwlBTB75gIRoT4TsimTwlGf0OBr0fFLXERbgrHo8AZ/NrBVVEE/uZoFBamslY Nzeys5a8UoYu4IV6sIrMFRRDPXT4CPNKWaJzm2IFFbR6ehdXPA1W3Bt4TajsZZQC8zdXvOE7gsL0 lmbOmj5YyeNcwsOstwYe/1ckzeYdYnvUXWyCQhYidU/ImjBMp1cVV6lO9F3g+GmCQtj04jWPvNwG j6Qr5Dcmq4oNZkyFxsmTmE42Jtvju0VRjxVXpnn4FBUXiweKYKM2Ie/PP+Pxf51BajbiB7qYzhUq TM9CipRysfNPLH0wF2NUonQ+NTukV0hCHJKiTMUHz8VSRtGDVHFmTYUacG1zTyJVntcgYVMXZkUw Dxn/FMl3lSvfVc/RxdWOeDPj8SgO8i1GdQoiRPe951cUSiN+By/K5NvnNdwqmdZoNNyaxF9zhkJJ D50+RWKd5RHrPNfVRDMefzSu46G5LI3cBEiEoDptzjaJgLMVAT3W0Cbb1QclG/0c/9L0OF+HxyOo 0DebHn5JNV8d7hUCp2HYsrcbtwFlf7OxfUv3DOm4ycJYujIbW+purKe7AdRrm3SvB72lMyFmXRH5 7flnslVUEkLRmt8RTJDoLVuubZt3KpINBFSC+p5bAI9HbPr1vcnw3z3COSvkIbLwiuxfNTMEcsaQ yvT6MSdJq5mtEDitRL7nO/gSOgsZ5BNhqc5P3WlYMlhEZXUIx4bVzKgKs6JYWjAG/lc22CZLK0nI /STDePx9k600v5INOsc/yPIV4qYp5d5yst7G9GQjoxfj/UPSZLiC4sq00ND5Dp7PDwCCtghSaZ3T mcpCZ54qgDD4+OwkOxUx8CURcLqK1jJ9UL567kcpgNe+Kh4PevQXbcZblmSi4hWPFQXT0Iw/mcLq NkMytZHOov1LSHosv+ljkTyiqAr5Pxz8ItvsoQMEnGbr50pk7Zrqnexq5fL4/VADCl/yV/sHDl0+ 6BRaHSbMP2zp8PHgqt/fdGgUcdvsHgvtKJpemVLcNXmPdbneaymPPfJ24PSOCtODPWiK6NrgKIYK gBaRgUmRI1fbqHSOBlTnfy2kdxRNY6WzqzF90BLaPZN/gp4tHw+KZr+AMNQxxcq3CXqPplFqY9Es CfKCVuGVXmqOgxkwXLcJijU9hBenrZZA6XbVn6MB1keECm2kq+T/VJLs2MFBEmHGyNS831E03ZY0 lVWXvoW5k2RAN55H7x54PLjHrqYH4x7EYsyaNUFhNF2o9pqWpRuEDJpsTr66QqMaimHH0bTICbn6 cGOhc7KtQkcJFKPh+ftjhlIFW689Fz1LzfiOqGhGHMyQUue4Sp1AYYt9gVho/5uEKKVO7Prk99gO SYiUw5Wce4Psa7ZSYl51j7uCluVjO4R5ZOOx3sGKZu0slbUVCjUUoju7Y12I+7OhpdQ5nqLZjqJp pnBgDnUfrCaUmxxtTwI88XgkGPyraIad3G4ktEPQNFma9Adj4V5IKmpWUAbJ/Vr8DApB0yrcg+Rp w+1srHMP469hPgZYFYtm9D/KFD15HNpZuN//EPVoopA1p5CB3ZIYvp/MpeLxCMTgZxAPdJQoy4Ur 7lAfr5H/bAQp5GNXtVyaJ9V8vW2CQpRHJ4iBViwavGiGOyZV+WgBbMbr4yQzaLdlLIb5wO6xfPEd QSSkalm2rOPajdZmBSKoXOLxSP3tKggymAb9Hz1am6EI5gE9P0hrdVtCZTjJLuV7jW24Y2iGQoE8 wwS34cMtCkGfogyr3J/EYxtUaKBJf35PkjCabGub3jWiaW4B7qcPtseQCNcf6x7uWHL6Vs2ICcHF sS5DaofRNHEeshrFP1VTW2Q0fTlkAFpqhkKch8S70Ge0wWYIu+ocHyp6INxNdLlf6MwXeG32S90o 1JfFdwSxouplQ6oDw8VnTkhStlr05/DG40G6MX7fYwDeX7HXHVamobmqHNyUc9yeBC1eZ0h1twbY YWU6STmn3sExwaDIY1pY9Mipy0Ryw7wL1ZEfNseEpNpTrxpJeqis2JMPtscK/N44P4uPBzWPlL4O Icqrg+9n8xPF0sBQwY5uGIOM5sHYVyUxLZeyUCXqvPNz3rfYFHaBRVUNdo2dxHmXBSVW8KbgA0lB gqmjpLWf+cBgqHaN7wgCIQTd0NgbPigdQ/NTh9A59fF4pKfsoTTrrkg99r3ncwpBHoTBjOyS3H3d hDUVa9afLca6IiS5UxRMdybqS1A7DjZFsAWGzjvlBs5BTGmDhnr++T5ktpkK9AYVWv6675OEHj5M EpXbo+rFkILCMGpC9zP7/IAI1fnNh6a57rpZK9pDEVqoMaIeBHXA0WUbf6MPVu3FtANQQ0Y3cUjN quqSXdLtz5x5PwfIOeCkygDHiUSXrQwBynNhNljOPFiP4a8bYRmSOqzVB2Ud57DTjQ8Naj4f0eq/ 3Q/p0pZvKzqn0Mtl04B9ZIN7jGFOZIUoOZcv39MXUwifVo2xSXy6XeGBE/0CJD0IGTqhEDjA9PMF CLpBimExfjT98mpvGxESFQ+Jo9BO5sF9fe6HXhVZjxM58QOCwKi0rzMbmV7pjyVQiirVKqbv5b2O 7dVXlI27x9azmqhpTqEVYhfmbN3BO9LIDlimw1pCk55koAIXzYb6ZeZ28wTWXzcCMDLFn2QFpemi c0BmZyVo57/g88HJ/Yh0I3bbiLVgpOPTFEXYoDWjVOaLKeXLCBrpauGv61WbQluXLWegWX3wnlCb gFex7XqOkpMtsFZS0WXpgD7mRA9tgvGp7sZv+ctxAl1KHy46RmasqLnw+YhD/l0souDnAF7Cpyks WqMxtESX6iDDUZS78gCfJNzJU+G8gE6mWIiaWf4mcorDzWXrmST+gNjR41xpTdJn46cDjIpyg5cc GQTgSyKaNOPIwbIRB4PInLSCIQGWFZ9/n6Waf4kOSY31ueXCuvWGJPRiBRarimwHQBmRixfN1zm4 VvHjO4q1zUJy5uHDxVnBjLVIQW/MueQwUEBUhE7TD+nPplE5pr1tJMxEuW5lJRwMzHhmV5XnufWS QU1k7a9QoE2aeTznUij60WWjdDfcMpormNJ3wwFIY+dSWLyWRPAiV5zDPZcyzIGWNlwq9B3hhjtz hSWKYraVjdi045dENE6tpG6DsV9QP2xMw/p5iM8HgcBFnoumWEFTfAzvooh75UWwjHtN9XrVYJen JIgzizveRcIfxWjSct1s1419IHY8uYcoVDCsZz6ZJ04E4TrWpdybA3KKNanlQDG6Dzq7qb5blc1P Ph9st/rNX5i8ZC9mTypq73MLHFFKDpip0ydp6bKTQFPLPklhDXsttdCyD7eG3UuDm6RS20Q8M7gw ODlAfKfNHyFFKJTZ2wb1I9nepXQH74MkyrADpzj5/L9QV/J33ZB8vtst9E6ctDLJT5H2oooKEyKF AdxlnKTAPNEwD7jwsw+e3Z79BW45s9sTajch0BdfEWwdWMY7W5F20TlwT8Q8ybDMpGRc/7rs1sRd rHRPDO0Tx/4WHGT1s1zNyhz6J+IexXZzW2Tp4rMCUNt1B6SjlSYpAoZIJIWUOhtsks6Btk9mzn4R xRN3Ne9kqD3yWBi/2/r5DwNFzs6QddlwJ4rS6AvLg3tUPh+pw36zYWipS7kdm6Qw7E6sZpPAWb82 HgpJLAioCoAiLQ0CQw9Fntjl/C/dB+864lcumy2jhhtpJInL0WCSsPVrPN5Xsrf9S1SvNKFoGo0x yBhaWd4dHYWSHLgo/q9xEG/cB/2QAxdFOVYBJ1utVFKt+E/O0PZaUtvVV1IYdRMgcn7T5IPRGTpM V1Ft4cF9tt6WAt/mUY5e03pk9di7zoGPYjaRAjTAfTAY3+qXHVz5fBQCtO84qVG4+ZmkUFlvtgvD Ukky+STJdVJFW4eC5sBKEfqiAqILdf3lV7rpYAIkiMykO51uSRn9ob8fBZgvzmjY6wYtfiPiVzkv VJP4LPAZbooB4McZuimW+R1zVwpHXeZQDtwU1Vl12mK9Ek5NFfXm+w1+9zZLMVAkveqgToB/80oU rIYW4VoUBEEfBhr39QdKkH4oUVImB36KDlerqgXUWwvI6P+JKJ574fNBA+kXZhZ7Jdf+nNwhiZGA tcUm7WMEI35VbQ4VmY7HyqGjIpnCAHElH7yFVAHkWCTpTfDNcIhD6RORt1l69IelV+x1o2IA07ey ig/G/oBahFr9ZfP56Oj+5sfQSa2XdGcp1AWhEiHzIILTm3tNovw2vPxGcSHNUuQBY53IrH2XL2Dk HEoNFQ2W3yDlsBbR6Z3yF9hwOMGuZEG1t42WEtYQtZxt8C5Ao/kyPkfPRwD+b+gjuKyVQA2bpCjm 5tE959xWo6x0o2JZKT1EtLaGT1Jc5mY9aSicHF/hZAXPs8lraaD5z5oK3M3Oa4J5DlEsz3HrsNcN JOIJoM08dDVY+tYsOMNVx+ejzORbeRj8nLLSs+GioBtqHUCLqEri8QDTN+zXbKdS8npJ7K7YacCQ tUCyeyFR1RIAQZ5KAyFTakn9JJKtmjgrbj+Z7W2jhpJQR/8jrXt+WqhpcAvNxuejzOQXtgZo7tYe s+mw3C3p2GsoJIifsT6rN/5Vx036oKgtqaKSOOj5lrvP6hkZ1l2UAztxU85MgztwDo1C2z09KMhs bxsUAgg8Oh+mHHdYjgui4ZzylcRKCjwWkWPmX5kJ6RbPJIXF7sx40qBr0NcVBRStWzIc5ccws09S iMaeBldfPtySEh3gJGKw10xoUTX6EhIl8UMNA60kBoX8kuhQYnJbNVdXpLajO0Ng4Vmak88H9cny XQhAoxMyd3eSQqWQrUKSbIJPcMVONoEZZZj8FZCARm3MgdGi1IzRUVOwmOcNlRrIw+SUolqyG9WR zhmCS6gDbMxiAM8kuF3Y2wbxJPsmWSqyCYcu1w9Ex4hdJl188wP+FXWzfYCfC8Giz1IYddPAa9mh BItpP5R42fihVO4shbBsc2SY2Yc7S7vWzl4gUl1Ul3C/ZfQ5BiiCeebLDVml2usGQABecClVVd6q 5SbtPM4Z/oHwBp+PSgGXR/yjJhzd6HyWYn+Ygj7AEG7k/FMxrMTJOHPyLBdBhQLKwG8R70/rEwP5 9/Vg/gpctgZVVdAuWVk+Z5QCJXZzlNvopn5ajv0Ws9Jbt3q/isTnAmWOWs+1zOcjNvr+nyigzP5s uBBQUiiXujyBW/vaDJX5uMS4D1MOHReXurhVGORan9wELHoIrDByXMkoEJP1Eswdrm/jqUnIMYeW i9kIWPMOCpWgascgoJ0omM8HK6l8e3zAuercG/lOUhR1Q1bTWcToLU1XdTq/zrrw2rXvJMU+5rz9 l9yqHuUHNHDTgLw1VhIMzxLjSR5cRBeWcT0sZNyZQ9fFYRJP0hC5uqNn/fSsoPucf3w+CLrTN0Yb kJBa5o2UQt9F1AoR7d2i0vCi0qIOg7mbZq+8BcaLho+EOs/wwbFJoG+PRDrW+UkyJKCw3XC+FPoc oLCkSWIPmF8STFJTUUllk1Hvdju5Uzfp3cbnIxTyd1GJgqT7Chbm0Hqxc7tRQFIC1/sC3IgO18m9 WHnr+qAgCJD0uQkazbuSBuL4cwFpJVUQl4mRXNLBzOQMXgDXXva2wf0mW6Y+pw82SZ1mYQh+uN1C eMllrXElpfNxvX7Fk+9Bt4xmwOLIDjDp00sBaCbaLEH9zGYppj52AQKKD35yp3MbJMqSJ3RfmnTA G/uNZ2f+QB+LpUJgorq9bYRnJ2fElNWyN5YKQIWUAWkQAs+hBeODBJRTLuqTD8Yk9GCcLOJSrJJB N12zjLuWupcCLrkvxyaM1ErLTS6V7XGpXCdxztAqUjyJiIBxQmKJGbSR9RuvnUMTRqk6ku9ug+GU ZpNDREtj8vn/EnOjqVlrfeYoirlRNoQolrdMRt6+3bKV47L+d5ujKOZexe7+7oMf3DjRKi4IMEdg qyD4APKegj2HA9EnaXR724ifxYW0JGq0rqjRSVSXRIla1kv+C1KqwhvQdldNJMdWjG3xuFZ0hL70 dX8fD1M9L6PR5tCLsUutRzTR9UUTzbCD7YX8I1x1PZNekynJhWJVBqPHke1qdodujEO8kbzuoMzk pM1VS+ksLz4fzNKjmk4AAUpU7apj5diQEXZxa+xlVVyG5xIVSR5OnjvQ+RE5dmSk2szaFLTm4Plb Pn/DSrQ9A2cLQnRITajcCz94lFEtUtq0rMyBJSO40UvUiOEDvwQJKC1sofKtlwwqAcmPbrXGEYaU W+qOPRkppyoqG3G47a6lWm8QwBxHaymWvSYkIMumOl9W/8lJzgJYRZK84wQZsq0s+GHaBqKLrXkr KuVlr/tXJ5d6iTYYCgf6LkQHLnRyA2dGKBx9O6IRlTjmcyxFQTfaMJ12iVJ2rtQ6YpbL1cksd4I7 pvwt9GZUJ3eZicV4ikonoIdvVJG0M8QngKfK7A8RsAwHUivirtTtbaMNJzciCT8mL8oA4UcWORhy mc//iyvh9pX5EebNoT0jEOc4jFSfRL3kqqr2bIbVCA288hb4M+J8k6kVURH7Kuxs+GRkqGqzqER9 uipfNCjUntsOqLvbD1jd3jbqv8kQTbC36lJBDQ2rLscdnkohljt9F3GZQQCG4JMUVrorUW9mAo/W klNutoq4NNeT507SB/11dm/qF2vws/ss1LET/QeRTasYflYSQNIIMzNN82y/pWWvGwk/bkEmqg86 u1eaU7N0/mo+HwWUvxwv8NPkr1ApBHRPwnFXtn4AZc9UxM2Xgryp86BZCqPupVnSqfRojUDG9mQO zLpPHoft14QNXPKMoqnHLVD2Zq8bYQPJLKmSjKiuidMGTlEGlHstPh8ElL/gXBmwz/oFMKmh4Aji 84WCaAGea61tKvNowUJtDcfSwukhPFeNw24qzk2pZcwH2I3WyDnXCHyfwBqw0ov1ecL8Stdqelwp XCJBKdc47oZEgEy0NWgxlRPaMfY4Qf7k80EgML5hOCj9lZ5vHBDaNQISPpJsZSqvuHQpOJax4Fxy X90c+DVCfGJJrLf74OAJLKaSTc2Yy2k7jylTlAs1Z+W5DKX5JcEkLeW5OryHH97UM2kmfrn4/L+8 HTRJ84eUDZ+ksNgN0v/e1Zq5ebhgDVqG1syFkZNncKFl4xLJpO87eNvkRChj1Cr7P6iYiEORCgmL hYZBlsHJ3zsHno1StQZ9guYFHBR4jwVNe/yJZ6vz+eCGa78cvqmJ8PSWQtdGqbDNB2HiKK6Mfv5N c0v2SYoJkyxQpn0HLwb0cVL0kcXEmefGVoGS+SX58lhFRphU7S20bTTnzyLnz4J9yuPlnHQyDeUd zQ8IOnA3WGKxm8zLy+fKgXMjTqX1lblRN9axgVQcUPGt3rg7tm5kwyQXKqxxcBxO2mgKMD05p23J slCRCCcmb18xP74GvyPi41iQNHzQdhtQQpKcM7AToXVj/iUICT+cWr5WUqyPjWZJNxU/yNVN7y2J 3cVeLpkNjJX+MG9k2J2ExE0PkQJ35gCki7WACr9UamYKTjtRMLkHN/0s+CVR1J1ZKZEVRnZQN9qf psfWZuXz0ULKXwuJdeSH1ZVD/8bKbFMC0APx9zAmDoRIHDtB/UObpBjT3UVnSz449B0pfhvNWrlQ f5M4LYp16K6gU3JRb8veNiAuiWViTPfmqQmomEZcOr8Gn38/uNc1ayYMEHZEdV9NrRy4OGaS1lzk mAXdeiNKxmsmJXHD7sDGEbmJxMQUMj226OMEjYByD1YDIJtQqY5I6bYB3doM+znn5FCYLQdGji4l nnUqcbC4+yx2dRln1fNBYWl5cjIUPCRZcPk0RdXuSeCb45RdV3zJs/4KRJbdfJoiiAmqLQCY5uKD p3B7QTGhE7F8Ll7gTNg3QbjdM/t+v8XHcuDmKD9EaJnt7IPtuBOnigY50acM7By/IZSFeS4CyuXV gM9rpNRQoUQKVc3AoCtbZDGgUpBQWFzUvXlyv8ZJDWx3ASfr9sFmqI0OqBIX0tldqisQGy8eGyKD q9mP0/fzGiU1qC9RVKsyieNgfaWeVhYUYuHpl9lpEIbgcdoSayVsCgOfUtRijyZnonxbt9IPWA+n CweYJgkt1xXlJMHkWEdpsu0mEI0mB1DkjaYuM5KT/+vqnwX3M4ycMznvM38h3l9n58SQpJdUgUs4 KDxaUJRjAaCgePs6O1g+1WaHRw5+rQ2+D2fnNTQ6D4HAf37PMY01kcfVoheYlLWshA/D7LwGRlg6 Qx6FqflgszMmrFJhACFlv5okmkQmJpSV0QNwkBtB3K9hUXMOd63PYJVtVM9VYNzt8xoTtcRjRJND bDIyfjQ7NDmvEVGTej38ZFxatGxvR25aBZjOhoMAX+OhhgLLUhfyDjY5J307E8eLMCFIh70K+2xc 2VT1u17fnb3/13CouR3P2QzaV4z2FA+N3nlRQ+r88xoNNfGCntkhZa/fpfMaC+GhtUmXHLdOa31I y7EsP5tukRosnaEDuVEcO7crjj0TOtpLicdkWFfogwFtoQqtHko/2b5q9PmOTp3MfGOoyzYcV4DC 2qbUU+snoH6NgvC0qhQ2OWSTItPW5LzGQA1/GEtp7RJt5tPud+FnMHINivwaATWZwDAdKz74vqro iJCokVaCLyHl/AaSi4arLjPB561FxRS86vvsLOH9c1s+qDYLroxEkFotn9fwB6ug+tJRw4jGEn4m v8Y+OMhJQxrVVZ93Kn7qLCux0aDZfYqi2aElaiYEScPt8s8hojv0TIDPYJUbPgYIC/vPQhhjOau8 C6KNlXlhgaZog00ONLGVXuXxeQ16cGbdC4vlJF5W9Gvl7LyGPDiTKxVHpktoseenY6f2R94nGYDt NeDhmSx6YUs++LEDnMCiCxQa2icuoKBxB+ODlhUiEyl8ZhPj8xrvNElT0DC2+KDpgVdIkbDazp/X aIdv6DsrW3n7h6JAmp7XIiPuOaofleS2sdnOZyyecetC7KtQbDU4lRNPZW5RGyxsPqHygvsFgdkT LmzsNBYo4IEx9wMIwTUjJMb/tcCI6dkkHzMA0GBl2DPjTbSjgqffp6dLgPBMRrc7qw70oaTkEcxO lvTRMJzRmRE/eMgRdzCWO1y9VhabDA0R2yuHTzeHn/D/amuw4bFwhAFBBe1V1GHpZ4+V5ohs2hO9 1hWbSvHYUrv5YEjjDm48S2YQF/vnnSUcFjKZ5FvrnbaGu5jnsqIc7rJxJUeSl4JOvFMvty+an8U4 cAtgtL8ARudgwJzw6Dl7DbcMqX3nBTva1wQ8uKRG1rsGq2cQWJQVDmYPB3uvi5TE882AzkTR8pLu l01QJoT+Lp93yhq2BYVoS7NcYtLyR41FiPh6LvFA+qMJIng2D50+l0wGtbuCA2ezNA0nGV5uqG4V KHVNWn9797XXqZcNVhDTrUpeiQatoDNFtYvJUPl4FDHnrxmipjbUXm2GopA5UU+937inGjIEgvPs 6GuHDWcZRTHztturZx98CQ0IAcOk2jzShhxlBclXgdbTrTXtXd8nCF3yCluI5oPO5wEbBFa+Gspk 71Ez0rW7x9QHGuy1+AxFcXPlGUTiCeut/ancDxfPGoDfWb01Cpw7vfOQgPpwA+cO+zzhHFpHHEXd FskXQP+gXTlsEp0+71Q1hJ9yvVgqla1btwewRJT+stDciE6h6TNEEQ3iBqBYpQl6D51xejCx2NWW 0PSyGMqId4LSXULvsTP4AIgLW2PborVb+lnAf/acZA0/zlrdirJBND+HxlmSJPNROwspIN81OoWk 3Ccu37jKRyeEEg+VCofvJLUmJOnXKcTDrD1LKIqfweJAauXVMbHTVR2rN/VC2K9j6D2AzhRYPBnp pJMlB+/9wAPmHGPyJuqFPRK686DNibT9AV1ZMfo9gBaKDmun3EFZ1Nq0+Ma8tfp5Z6gxVei/ZgjI ARxlNkNhDN1EceyWubd99Q5KF6yfGUaxwlgUREvC70zNHTz/mgVaulMN6Y7MS1AR0NKYPOPvtqqP qI5RFN1kV9CEAmkumFz7WYJMh89S2p93dhqz2/IdCCEkp62DJigKo+ccMJi6cCvR1KhEK3la3GQ5 FcftRXE02J6UFWs+eKAIobXZqUk5oBm1eZMNOFtVKjVRVkaVw0E143duWhPXpJ5XxFGswbGNRWvo HEjz805Ns3d81hALSHt4jvrOTMMSImoPtsPqZuyHTjT92gcesdsSikLpwZuM/qI22DF07qyzhRqB Vh1xS0mGWl/A/Q5rsM6vZsZ7KO1yRw2IFBus/LNhg874GAI1USy9pK7nYQh4LSjt2gRFwTQEPaDg Vwwak/vy4vMeV3QeFUVNUBxN48+Gr7YPNkEDFdoqEDGarNPMdxC7VaTC0hEViHhOveufEwQ7DxtU PDwfk4b6GGhAR+G0YXvZ3wZR5GwOFnxVPYzC6dpJkLn9MDq9CjvE4rdEV/a0FfTOR+OFKExsTT7c OkeGBlRiiexEjWMJ8jB4COBM3VeYhkZtn3c6Gla7VVdr8kEraE9UKX/oQpg/72w0vOJu3zc9LpR+ b/p3MhoqAKx0VBMMP+dxbx5Oz3JlMoqrZb1z0bgS5VUtX4f++Dp0ZLpJ8kYTHTDEIOftEIU0kB0z 7YmcsVf0skGdbMoBbC8fDA+zYIfAGWrz805Fwylf8tcSoiRXX36PvTPRmuyJgDGfhqxK9VY7GGyY A5irrr0T0Zqb7OXUpw+3DYZqkM1QaSBlLIquUcEPUF4ERN4tpLjROxGtuSlzlegaB1tD4BPzFDqJ zOedhtYug9hOoQKcZ0F7RzMUh9OTLLThLbBrv2MsflbLcApqhqJwOiWlZOMOdgqdM/T8LSJZL9iw TIH0UW1qFGDp/QHCbHvZYA0RNVyxzm3QDPUm9hx5fJ93CloTkf2ZoYGuKyMyzVAUT6Omjqy+ORp2 uw+hRJu9AWbx9DsBjceQLK5UObs9hg0bzpEBzOcxBKcAMT5Zn+XR2eZ1KBJv6J2A1ty4gAvSBqX1 YMeokXESgs87/wyX7a0KKePA8fesoSiexicPWa/yHGJ3T8yqUZZjz3fxcygqSKsNRsCFDZ6S9ULT OMqsncBity4yLEJoqilgRahaL2eHd/JZE+WGYeIdNEENBVge1Huuzzv3zJb5VyzUKYtxb7L3cNoN dVe9ekbdneHLrM1R51D91RJ6D6f9oCYg2gZfQidgPytwVisMjUmlnga/IMPm5/FUhpZe9v0YAgMO d1jpPmiGEA4pYVhpf96JZ/hbc/k6qCnZCr0ym6Ewnq6of7oCv1TC3Bt+P5tsWkr2TjtjqItNVidV 5znYMVRgIkNVdjCGIfsogREQkhH+oi717eHE7wgmiLYppajxQ2k4mTIDdEbAeT8/yOedddYkqf2s IdDuC/oENkNhZZp0KpGC6A5Shl/2yen5qBwZSPidc4a3L5yaxJydg22yiVh3DXZ90DVJm2d5yuQy 4+Kft3RGxfLPO+WsSaYB+Xy9gyMVuuoe5Uzy551xxuZf/Z4gZJl079IERfE0atgncrulM/l+Gr/T nR1oM6FN9h5PZ6tOV8rKaPCb7Jw6YHbTX6YDPCf1TPpIovgBSJE77SFo+7yzze4eo8GiDYqnSa2l ruz5LT7vZLMmC4IHq0ARHeRU1nCO4unGVb7TcCRHsglCe+uWPVq31s871ayZ8/lZEdRWq2Pc8jRY VIngX4Bdzv185kxpLdqs+H/7QU4RyvFONbPmFusdxQdN0Hk7Xinn5h758840azIk/crIILC2pt/0 70SzJptjHMOuOp+Xe4NYabEp4TC8wjvPrJm9TGnAfNqgt9gZzY6l+n0jcIeFkdrpCk/k9BaXCaXF oneNJmgJqjB8sGDxvL80VU/i93lnmeFP/TVBcBOBw5pPUBRONzk7XFn+6jE0jCpud6w7W/GdY0Yw EPcWmVEaPKdvCBdZXQDdZaEwy6sOvGCScubjOEw88DvDrMkeBkGi6mfdVfnAoJY2aT277fNOMOMh Pb8v+kIxt4vpiKLpTrm5md3ZgfoRVpymVxE7QN1hC+/sMssHMTNl+uDX2EDbUF6NDXu5S56eqDHa ddA8Wlqq1d41SjeoWFQkxw6oO/+sfHKz3dkCAt//804u4xn2fY2hcVqIudMMRdE0jD4BMU4q36M0 6g3WlK5A2MjWI3unluH3oaUDlosPNkPnml+ApeClG0DB5JSV834LZdP1c4nTnQnrO62M88OttdUh 294hOyfeTlvCqHN+3lllLbvSrC8gaNsj+7TpeQ+lgZSfv/TTcrn9n2WrCqF09h0WlaaBhcMfrUus PHax5xBqtGjkJVZBdSQNBrTWgoNrruTGF4R7ft4pZVjsqwqIOHzQDlsN/HWWCnv9vDPKiNNIX4VX aDwXopU0QVEoLUJZ6c6Vyqt4Uah5RIRszEDS73wyIoOYa1TKgXDwMGiPE71RNhE0V0RF9GgE/Kkh CAO8+FKl+tbLBnHQEPWeVSEOKk3P1aUgWdfkOwY77C4hOYPgK8c9g6JQutE7RWoyNJQbt7tRmIEo UOwWSr+TyXjNCzTFiJp8TIQbqN0ncJgYt55l1gHJIsQDX1Spn0AkpjL6PuxlI2wZ89Uhw1i0ZNi1 yScdg5M4wr9zX37euWSMNOdXwgo4a4MwmU1RFEs3iBnsbtiODkVqj4TILJRLGkDTmqKwNq1FtFjE f5RMNox21n6UrhboZKwsnvCd4nC4y1yomKHiO4+Mx1AX7E7QRJDWGPS1httRUvyZzwfbbK+vc4hM IlpDa4aiYBo63AOoHdtm7fY3puqNLAsBhqMZiorTk0XXQgkHDRfKgL50SSxOp1FXJ0164j6AD+gP YFu+hoiieqeQMSoR1GMlH6wq1IuM68r5qz/vDDK8Yl6/7rEGV2bfZe8EMt70/ao3ewXNzEHmGl6c bkTYA6AYBdOjcO1U6crWR1e2gaTHWAows1op33V2WUULD7s3s/GlNUTqzuedP9ac9VOphgZxC+On dlzEEks7Z9LnnT7WrnST41tZwU13hkKwRwWLnEyu/8fknmEhbvqOsLdqk5E2gCX0Th4jDIxHdFYr 8TpQnZP6LFLYGuKxmU7wM6hJNOhqeaKJn1Ef5DhKzJ937ph9B2lR2QcVp082RlUlCKCPzzt1jFWH GwpxDaF0Dyknm6EwnKb1uYRkeSAtE0eDXkd2Bnlevoai6jQWQiNiygeboTM55QQuFI2ZBOl32RMg WUVrLgMYYyBgYgI/78yx5tzoWoWrqm5z1eAFqRbQOVY+77yxJpHppywEeEZJN6d/p40xRlDjxyx4 Viveh5Ygp257ionhtn9njfEu4yXWBYfpDxxmDWBhJmX3kbvCNgW7jLLqkLuG7OJjtjf0ssFt3wQY UsZRPOM4eTAybN72J55+Z42xOl2+dhnRHoDG2QyF1Wkc1AYv6yyhOT3DfPckle6qVu+csWZSDScT ZRexPl3Eef6Scx4Dcoz4taOWQiwD5KAK8DqUqFLOymLJ550yxpy1au00HyypPxmlwBql5s87Y4zV /++y0EK7e9826zthrJkY4ZLY7gTmzGVkUWDeflADmsCb7J0vxsovJ4gGlRos4WgA9gC5gBUNucYl Pz5Y8+SB2OE2gDbhQu9sMc4Pz+fNPj0HXWTnoJ7OQhifd7KY9SC/Mg6ci+PSEN6pYuzTU/bTdFA6 OLm3AWSTBrW8ZIS6d6bYDRebamLtrv7zydAdSJkB9TlQS2+CC4magGLKvL7VnVykd54Y02JurVbu oAUE/L4ovrvw8eAeq9+QPFqfz4sIfieJEUm6L8CDdcXqxXvxtrXD4LujHRbH052p6hg++D1GH4uR VBVadUzjSmEjZfQQ652gQbT9O0XMcqqKoGH7YCnZSc3lJtT7+rwzxIin2l9HEIW12OLQBIXRNLn0 8vustEa9mt+V6SuLHqw4AZHXQtA0qfRV6FcOfkgnChnSQOaEpDXtau7Egw6vAgspZ6XdNb4jQORp BW31WLf3WM896JhFyHy1KJhGS+4rEmJwtu4EhcE0+vQC6XAFsXLF5sZ8rPaI59EKiirTjI1PCMTm RslPc6MhY0002EIkdHIwackUJHkMTvK6mMWR7WUDxgZr0q0QTsXBavco1fAW24mPR336+Z2zQiRi 3nu+h9F0Y7FjeN1slOtmKVkUkejddLi/R9OIMorKQd0HmyHYopyrLKm5cX6ANMR6gVwIRKGoHmTB dLV3jVqsrAuJJM7BCovndC4mOljxeEDb2L+XEK6N6qd0D0vThV7M3etCNSWboMmNYoVFx5v1COox WO9o2mocrDRd0UFsq4saDqlYYYELYir8a7kPdwnEDL1rkG0wzWgS1OXA78AJIfuhWk+20aNg+qFE LSa3uDduabqHsXQB6pXSzypN56dLX69zHHrKvOZ7VJqWI1opysfKk4+NM1sbzXqm9AU6hIvceSgb oPAJGMKl8qKqiS/5C06VCQpPlG7iDwFDQ4Guf2BNh+ffj6Exv1NWCvg3zLrNUUg5nIUkZ8tZpxxj WDvbq3soVHCM4KDuUTStjCzn0n2wgxrY+F6S8o0JuXi5xuGMbpTXrP2BnFGqokfRdE3Whp4+6Bwq Z4+LOTbLxOPBFN2yR/VgSPpnnKGIdgi+CQg02TIycTk2T2ove/SJQ5EZWY+jaWb1hXghDt7gOJN0 zjmaooImXxuFz2Yn/ZBlqVufHiwu9qg+bX36qmConhNzWLoxlCQDEonHo6vsO1rEJVHncw6F0fS5 R+A3UL3ssVx+gcx2myBejFxCMfeQOCEwZ22wbbZQCqKJJ3qIwGMrqUebvaFlAZs3P4fQycN3BEm9 iGOG+LhylSfmq2OL8YzfMAqnx/L6dJUOEYACF+vRowI10LIo/w2ThTvXjif1qC1lNRFhmqoZiuNp 4ROU1JcnqU8LnMnFcBFKFUn8w0phVqSoGYVLb5JRsLpHBWpZV1UJL9RHeGEua3xDRg2PRxSObzTM xIcBomIz9B5Qu7FH1l1FzwoTzqfwBqtFGbpVqBGdjKNHAfUWTQoBiw03ZU17UNQZlWioeFSZMcAI BLXFQXFBceyGvWt0l3FmZO5dr7l3B7WRX1hrnng8yOnbd8paYchXb4+jR9Bp8uYXU0cuoUQlYdYW 6QnFCZqJ0OnOz/krJStl6zCSFqLsmHBqL/ITzk5EdM4eBy0gQBCf+U4QuHcV3xFEQ4sriA9o0ArK QOTxD+xt4/EItPiLn0Dq16p3k4URdeNJeStn+FHNAJVuM/8vSQXmvBRv+6g8vaQpkOgQU2REZikH ikFEnZwUtS7MEl2G0JhF2TuXB+zRqZvbI7AHJT5OMkc0TLsW6wXSbIpnTmCEx6M19Itqh1JPRcpl LOgopJ4MiMr0pCNlVzo9m+OSxJObeo2oQD3Z3KhsYGjwTuK5Bs7UyNMLQnomiA4xx7whwZIfCz2e 1CMKqbMqZ7UtH2wRpZPlb1XOMh4PQurxrb9AdX4IG9kERSE1rtyBX9nw921facrb7ZBqtXji/6hP l9F98GgI4kTmVjmg/pu64OVU7TyR6HyY0GvZu0ZMcS0hSb+0K/1yDv+uJXRWLB6PetEeDMkaduLY 8mNohODpQlC5FRSRfbg6zqzTlDzABk42QVF5OrNL1pZyjsXW8MAxtIADqGgjQw307OHZ1AwBjhGd LhLk7a4/MzDwHQGss5ly59Bg7hS46yVQefZyx+NRh+P7nKYF7rzx9Iji6SZk8Lyay+Uy7ZbzxkEh NyzDiOLpxdIicgkOvIUHca+J5bNBERzcaLryIOL1w1Onp+ein5ifGOyhbLUPH5S0djSFGQ2fjAOP R0IM3/wEGsGtWzkbUTSNc/Kc0lNl6MfxjBIn1fFUCpUwP1E0jco46GPKyeaTb+wTSEPa3bSDzham 9+KiPE7CJNEsx1pA9DwfUXFaKidDbY5BTN3WHlMsAonWkvF8tIS+i4uFcIpnCUXxNICfEDVdTlAY w5v1PBJEUFiOyRtRPO36Lzn54G1EgHlgRcrqNDTlMvW9CxYEfJLZRbTyNBn1I4ROi0sv3456u92V kC81yU6wOCLo9IMt52NYqngJm6AQOj0Idt3JYK+jlwt7dfUBSHS5mkcEna7MNMiGssEyMmhMnbin cQ1h5mD5i/4G8opKgmK6LbJe9a5RiwzLrus261fuuiyUwAio333g8YAxfltk3FC44sejdhLCPXTP 1+YZWb3XmMSWeY11p7iMKJqeRAyVpiNYpstZQqYJDBRtsrPdOv1iUbDGn3nuMvQmrpXg+Xh8RxQJ kSq+dJuta4+zS1lCZZ6thsejDtmvaBqQiXqpmiOMpkdhbdFF3pCQua+ZF4nQIfN7Po6mzTag+WBb 7NwU+/z3qgzVcdIxOqFPiA+VRWhnvajFrSUUhtNyNJldSq9nyxi2nGCGwcN3LzwfZPU1fU0RPWfm RXuMMJwuokEPC4XKuD2g5Tc9irKmgzfCAjUbF6lrB/V0+QmryeyVckvnXoNUF4lk/C9RqoFoiJXw t0Rz/uYiFllTc1BKdq5F1fNhVYTHo7rHd86KMhG6aq6aExao6/pxX4DEVv32rH4U22UdUlGaoRlF 0yaDN3SPj9vjWBCgAuaXi6gB+dqovEhxIKgIQ6/AUWfMN2aI9hBzI0nhIw1XpAKCkEUQaDXg8WCC mlfwMbNwhCpwmbQJiqLpynMaFfzMuz5RFRghHETszz9uslnxo1FXKOQiEvBbMncZB7/ra2Ysl3kM 0ZloEzB01moDKxqQey+/opyK7/gzZ20r+6AJOqdQ5zl7Jqjg8X8dQ4xkEXn2m4/NUNmjUIKka2eN NHg4bzbnxnYxvLUNyjBDKiJR5RAX9sEmqJ7L6sSOpkvVIHyLAvVYhJ6did8ULxeblaIDM6xPS2ia YjsatMVwE7hZQMXj/1LPId0XpT5epJqgKJqmNsHSTS/pJY+mK43IjCQ1Ld2YcXWaK2gQY87BJuik FychY508QZiqwyeoyXMXIpH0E/XidLd3jc4gIhlazj5ogk4et9QkO78FHg869eum9Lzq2QC/8jkz iqcrfadGFyQYjsdXdEBUBWE9hjHGZ6iK1yWoqD1Wnj0GJ5YKeRk2gdDwSGKMU6Q0gc71wO/xjfiO YI+psrilgLK9ONfOypwS7yq94vGgjXiLHjRgBA2kXjDMDKvT9OjefdlVTwM+3WOq6Qu1uKz2OiOs B7Yzy9LbB2/VD1Q6OrEMY59TJ7H2OtjgOJnCD6BQHiwOe9fglO6mbjZ8sCUEcrvUzcbA40HhbF1+ QmLtFSCcfGcoQnsg2YYkpzJ5BM7e4FjzQcMQrMEZiqrTNOMqtKi3wW/6iRmCWwfx5SPtTQ88YuKg rIDJu+rJRe8a6bkyWuzqRPfbiYa/+9QmWw2P/5ecPuOHAAXXJigkIsIb04gtFPbwhOwEeZ5vDIn4 I2edoUBe5cxssn052BKCTT24KxT2aIO6vzyFCi1oz6f16w9EgV18R4AXYjhdk6zLkne7ywaomN3l tfl4MEHJY0WB0xCtXjzVjNAecsCV1AB327pFD/6VVldMfkxH4fQQ9UmN1odmuidcuAvLuKgrnhUq nbhzf8JqEn9Nvgp5Y9q7BshgHkIo2/qgfOPcs2kJf987Ho/wQuPrHsuUGyhO1JwxDxEGHNnuMajA FccL7TocXD6pLtT5OVFVaBLEwHuMg6M9cM+PpLLZWUGk+ROiDzI9/uR6XV2pR4rv+EsyuVFKRoMm aNIFDRNUcsHjQajYy69sAxHq9GtsRbE06oEw4lS7EDm3n9KMhCyWHp6QrQjsYTd8GcUHm6AT6EK2 X243FZQEOixtJBoFTW+Eqb9Et1cI9iDYuWWjuiyv3ZcMhekf2mtXPP4vlUWeQZuYIQ8VV1iZFhom i6wxhFc1JmtbXjcDi00ilCHYwwRezfbOSZTIWEEkSXQrh7b3kPrSRExq2sAXLgRRfr7r/8/YeyVJ kivJov+xlpQn4OTuf2EPqmoGj+xxyzozLYI63eUZnggQI0oilTz1f+b2QRN0dkCT4+25APD4vxS8 WEBCOIELwyYoFJamrAcx3WKLp3sGCd6pQKiadvKKwR5F9KhETCcZTM1YUiduXpTpHFC3T2JJUWwG ACqcXl9s8YbPiKpmXDpo+dugLXZyoWwWAGvg8X8lGzzQUTWbl2W3Qh6ivG5H9bLidkWG877Zq2aQ JtEEhbhpKTJk3WL5ucUg9rZaka79AqJty5+LaDweko/r1rZ3/VMDrrTpgxeFtkxcQFjA49EZ5FUz xjydtVc/pFesL83ox7CuyNxvHFQcWA7L9axDesU0RG0x6cP0envQ55BOq9WpdHVt2klD5oxmEgPW cdcegfh2fEZUNbM8dfuguuuCsIq6YyfZWHFh+htXTtQrwnGboCiUTnS3W1YIAkK+OPL+9oGwBKpN UKzpYSCh5oNNUIG8fqO3QoJs52IMfV5244MhgYHqtNnaJCLOVoT0WMt0qbIPlmyknJiQ1/POeDxC etxYmu1NiI/D7NLnKIROl/pVWTwXWXKm3flqshfvx7JQaIXBdLFqUPbBax5997NiTH8JYmqMFedk unG+BsBIrChEi3R8RjBF1MwpbZpKnlOAKgSD8UufZKbh8f9FLrjg75Vbm15RMF1lIJWeqtlyIANY 9tm0OqspeK0QOq0GUBWc6vry4QZYo1W2R1AUAvzMEtbFc/Wn4dywqhmFYVYUTCfyfIt2WXl2Ge7K JkmGxlcMzun93WKlbfyDe10hdHrQIWG6ck4pT3ejF882SjflnBXWprl0EglQGnyX4QfVKq82yABl 1jway/wDdZqenWdH4B4/JMJOL8l5FB+UsXZwrgUWWhWPR3rlvsvkXMq4wQuvK4qmUe8Zyoil1Znd yWYtJ9eDfuHBYqiSR+rPya2rD17zONHheTXwP07cWGobFLs/KcjJlNk4L+USOJg440P+agAVMARs 0Dm0wFUTPyHz8WgN+VWm0JsCWd5l3VE4Dbnsk1TWa9m+3UJalTtFi8RIUJQ7Kk2r6MGOig2+hs4Z RiMMbDIwl1dTCxG9VZqAIin7tpDeUTjdiKM6P334oCV0PqCZmung40Hd7NdVxgOo38Lifg+npQQB HIOixTNVw6NFpFUeLVZv0+9/uLSou5Gf7gZEYU46STZCgj1bplUJ2LPnm6FmOjqnZoxMyfsdYqcV BXWBX7u7Up0sY0+h5yGIu9/DaQkOfx3TNELsfo/tEDs9cI8Jv8m64vUEWPxWnDFu4PIdhtNLYWK5 g5emCyXOivKNCcNt2vwhBlKj47nq6SWLz4jKZpPN1X0H5RsZ+BK1EE8wtGMaYvvKNzLlVZP3x3ZI Q9wksBqf7kwQjisCGZbMtUUBaiYBt0OgRxVzQz3W7DW/PaCHVKBshgnK9K/jPYZsmpgrSXWOp2q2 o3B6N0tVhw9WFEIqz0jmzD8ejwSD938y1tIuBWiHuOmy2Fl17SUq/VK2IuseM7sWy1h3iJsemiDR xfNDF+8IOzsuNlTNTn62ZLxNEDSN8r5s7Lu965/K951tRA6aoJRNgPqkLhWP/1PXQ0CPBs64x9M7 1Mjbcopy2XKiRzVD2cRQsIS277EQ6EH/Q3BWffBYcaH2SpdsdPtr27RnP8HjoCHviUce6D0CBHxG EArl9XYIAV1V2WZHQIHHIwW49HWNUayOnrqaoAjokeiAUZovoV625/SUAveih++xUCNPaq/LfJGu Rt6ESl4tWx3WBQlz6isu2qHCpwZwcmtBL7agd1SZNruo3O6gWDGxsPdjDcT9b8npxLJZxuffFRQG 053rxhgukE4eP+76M680TKcDGSYoBnoQ0FnW9sHKZgtfE5kWKHqs3JKCJbLIIAPSL3mD9rF81yBU lNLAEqBzOaDzbOJZuJwQ+uHxSHH6lu65IaEfDzEUm6Eomh7cYyln22M5XfWlxaS+qHbfRETcMdKD M5QkBHe90oFbaPN8taQkwTwBLTJihVDwAG+J7TFhqfbUq0aHEJYOtUpssC3WqkQ7zw7j40HRI/2i QqNN1m8svaNYGkmNEK2an+Z3F29lX0EUcOT8RDCPSQnBMtXimPXhSJ2lco7RKvIGAPeT1va7S1j7 Zz5AGKp+4TOCSJEraBT2NjjYFgM1TUrtaeDxSFA5fSUbEAhgDqEJyimEeUio86G3tH2R90YKQrA6 Xfc+hcF0pzRgYoFRnsCcor7PFzvotg53ibOAJgtnJ9g/NygaeJkS9AYWogATPiXgatKS4vw0uYwi +MsKF/uibja8KiZ/wP+CqOJLP7jOnEI2IjqdabMAjQlDgioGBy+IoniI/gcyuglDamVlSj1Sd1wz VtI4mQUAibCRWufGT8rKIJoO+5dM/qXV8Me214269eqxSrDzyqaeHyP3spMJt8bno7TjO2ikSRtC KZ+l97AaPBc64+1tiA/lq0wos2PQVD6yxRQCqFVkLOK7lIcYfQIiXAiTvN9zwfVdZLYFqDUIPIuk TRMwr/a2kXoFOx2pSYMA0iSNawmtAuKFx9l6/AFBYHRD6y5Br/TdcFVv6b3ZPhhSWw0E1tfe7aAJ thYTuwJ040ihFSLLaNIo1+DtjhNZQ7KBegOjAINOCWrYFp0rb6OWmp8MdtvrRtVYuUIzOOKgg6m3 vaVa1lfh81G9+tsOEXuo9vbMUhRhp0JedEu2mHK+jJfVmi8m6EfaYooq1qoR5a34aD8awmucSJ8r E46+uU4w9iGFgmXMG5mNZsHx2YfipwRdD5J/ISTigwNkzD3gRMKZzwdpyKORy94rsRX18U9KYd26 kVzWpTWES3roaEKeV3Q0dQhx/lQdTX+LUVN50gYvh5x0dqiEjnk6Z1SiiXZD4fokWT8wNvSaI6MA fEiQzUpUJysXyZ6LnPOoUNLlrI20+XwAGS6/NBjJXc7PyfSn5scE5xcYRshYmdpQIc+C83W2LiIu TVIUbMOr8g0TO4HLzPwEbLlzQlOjCNKDJwQBkgfK765TOaa9bRAr8fjOmqvHIwWC15LsRk+Xz/+L La3SLO08rn9JCnU/WDaS0iB33EN1FbCaOy6V5edSWL0mdSr1egffcfkEeR2m01pJTcXZTi9SuB+i mWl1IwK8+SERk5NwWMWVxPwqEpgwgFJ9vzQ+/y/MnpZdBVPxiQRCNAhVqdtVhEVnwrK28qS101lm OUXiH9Wa+ZSy4uDFNVhodxRs6ak8OkVRz7YjVZCq5pd2bw7IKdSlpuQp6kY+6OwuiLaXsvnJ54Pt 9gtdTYfQdTkevLfew7RECT1Dx0BsJzkPZvUvs6DrCxgVsYdMFhJrABx8u0HYei0TyN+tZhzveRYk IyC/A+kuUFFBkVpvGxSQCsGfUnHgYI2QXEeWhQBjytDspX0rfcHguOwrAJ9D88TJIiRxQKzSztvT LyNfn/HrLZkD90RQwSTUJOnT/Oh39wkD8U3ru4H6fKGbCeXFyNsD1t0Ji7SLzoF9oivqtUTyPQer siFVYYmE9omhf+JMv5AzOLvKxTfm0EAR8COJvBtGNl17UjapTB62TJ+kCBqSSBSqTVo77QuHvkft w5wE0IRfQ/qfVLPisTB+N/Zz7KCYbXaSD4YNgR4ZL/DzpfP5IO4u35QqWup+WbIHHopQR6cASEmW 5payb5rL/hrLANS8pkNgaKKovmyde/rg9eyFUxoXAkSIYCCjCxCveL4JTO01Hu/L3zbqGbGIJP/o OgjmwSSdy6GSQdRRKsmBjSLOhMug5vmDIGeMZynF0npsp3WZaUPVe3qxhImfndzr7rcw7F7CqbXt g2Zpk0x0UomiasliQ59ulEVVd/ZarajN7nUOnBRdqKCVcQeBRCAKxKgDoFA+H8mBfJe1aXYJGV+f pFBdb5I6PbcXJfuXLtE1vB3dr7fATDERNQnipiDXX4FSH4CNJOFE0glVIF2MzARnEWQDqcJ8oUbD XvdPSZCLFLEg4FwAQ9ulJzhyhn6KZfVf4STdTdadpUi0GnUDzFL/cQGwW1eSSwU33FjuOBkYKnob G64UPtipBHsDfO2iWJ1IG/XSBjhcodD9D1hvfipRVyYHjoqOWGuir3HQUkptd1U8zt7m8/9SshSC Auljf/ZbSGTM+Zb9x7VcIjadh6Gw6Urgkn7QH6eSbi0bLJysZ7UWUz5fYCCrT1tJXKsyo+wPU6/Y 60Y1EwaSY97BaiYno5JdVy+bz0fq3r+M7TGbDx02B76KYEZQ8HMlw2XNYbAjJqg/bjw5is9SZAQj PcuTbmYfbJZgkzPo+EJ48cnjMolyuUmRBoWTR7ag2tv+dcH1wguOg/cBTLDl/Bw9H+mnfMeTaJLU B/2YA2tF48SuvLZVKSXHs2Tkca0Vh/u+5cBbUVVSAoe3Dx5PnoimdXAMWH6jEyK+RPwqbVeWhcpN cuuw1w104qlaUGafPnj+VoaoHHNlPh+kJuO7SAmSTlnp2XAhDntoAamCi9ZS9/wNwijZ3biHVUxi g8UuB0o1b1e9qQmUa5C1K+oes1GdGz0nTBZaokCOuANltreNWkqS+R7TBy2l8xEKB88SbXw+Sk2+ LziSusHhtUkKPRa7GDPDXYXkqyz1z309YXCKaymFJouyU3qhpS1c+Emn0vky2on9eHTDobORqtjT A4TM9raRTHPXEjLqjIPY1uxVOtYFKymwWfz25GZU1Mi4uCsp9FlEIUy8KltJS4A2rKTeHMbGtFOT FAKyu5R4yvTBk9yMy7+T8wCpkJ5Fbhx1/KhMiTtbK2ndt/3rfjtB9vBBJzco7Vn2kmXy+YhCXL8n CTzcuZ+VFKqFTDKuinC0nZtAk5T3NrIsLIONh54Dr0WsJOkLr+rDPZT6RGIrr0Uq2mCSGmCYHXhj VgN4Jp1Q3N824sjKmYFyoBSA5PqBQn819bS9Nn9A1GBqv+63s+wRLPoshVE3abJIEYmIXJICJay2 MP+X0OVKPksxMjv/mC3DdWdQbnK+cUgoMQpoG9PTeBqdtT/APs8zX3oIZX/5KRESYLOzxKZApoI7 j26Y7XZ5uWa95b84WNITGDT5uHF36LlIyFahbQldYrL7U53LpnncDakGiygD00WVzRugxtMHm6bz E9sYVMFJ1MgAOxblOWSzjYTMcpvdFFHLsemiBJtnHT4oolznm1Ax4Lwqn/8XJT1ZGFDArPFJikrd 0G6HzVm5ee4FBOzmsrKQHfJJCjElktYv4vSVxxIO8kXArAyF3Sf7ycRt4UcVyhjtawknOcccGi8y CkIMn31QMWCd05tQ0nNFND4fLKVfpW5wMmtPzyRFYTc6rZBLNX30LPdOYdvW1egZrHpzkkI9PmKQ KxHYGiw3AddtUOADxd26RrPyHPHRiB3HdbKQe2cOvRcHW5RtiLA2nNJ0Tr0hKwsYzPL5KOr2KIDa NfhmynrO7qjU3eUtmK+vl5eV0B+9nL6LvcmB/aJbw51LuPngUcC590+UJwQghL0aBS8JfS5090Jp SZNEAwN+SDBJJkAjeNLyDhx6NE0nCmtvof9iuZpz+MuUJd1PPyA0YEThHiBALyv1Z7sRy+Ay14Yu yYEDY2bFBkUAhZW936h7oMyPGJ6TdMIbnV7nd0Tei9nvVxwUeHN9SHDBGf5PyIvspW6g4LPUiBq3 W4gwWR4qUZmW4pL161B6j7oBlUSte3bHuckXTrWAfWsBiPlslsKoe0pLhIVKDs8FB/MbKhVPWHxh PTQgD5oqJoB4sVgIaahubxssJc2SMpR28QdlFlT4cChBDjyHRozzUmgZdeNSrVerOIdOjGjPYBIc 9s8kxsSK9/JawChee4utGKXKV1b2wWvdHfFoXvJ+H2v1JnMQtJqhkvYFlyRkO4dWjFnMtSo2dnVn 51IBbbDq9eTzUdD9q9Tdqf/8zFEUdCNUAY7RUNvGMxaYa94zqTY/uAM3RoBkOEeNmQkHT98QEW9S ntM5XqHJzxAAbVRV6vozSaPb2waoAFpb9LKSD9puFTrITcmGXjIAT1xvC0msY6M9mckfhowKJ+uP O8Bf9w/VJ3W7uep1Dh0ZZZDCzoIN3qNM+ZzRKMMA236+fRoO5kqpUCRiGeAfB7er3R16Mk4d2VO+ sNMz6U6jNQFx0uDz/5olyakOKGE+sxQiTKBDt1ZyaKniSiqL0EHLlEXcwSHHtoxslySZWnHwKm5G yKUq7gJ0lWc8sBOsJw1K5lmgtOlbmQNfRgBhRURqd+CHoDjcSSRqNeslo/12la8lk4299iAnYmfG ySzXSDVgjz6k2uuf++hk5cCa0a1k3KRhP/3u1k8ICd4OD6UC8VADBZzQCtRa5jFeVcrLXvcvUNf/ samuIB4vCRqglxv4M37zRiWgQaTUEysFDo2yA+lz7WLivGsmy3NVkKBp084XiBNaNHay9lNT3kEm ihbT+ZEna6O/DXCr5yRKzHPh3tfP2f6zKSCapBDR7W0jzpbsT+cdVHvbqK+ryI+KSWDSKBmXr9ob EvlHnjf/4dLIjvcSmw1tW69Qpum2zDKKtUmKACaoG2GryWl5XleZsxfgBNIJfRsnnVu5C60ELfx9 Nge15qwjsLq9bST9SBTO6HfQJBXIcnEpdR5LIZ47fXvFMoWAHqNPUljrPjMB4JtrP9bhcj5IFCwK oHWUbbgYYEJIV6ZgRM7pSXKBcUjiBHCKCmklUHr+6WibEIjqGy4te91gwzGBK5CTskGH91moXUT2 81vz+WDDzV8ucvhqCIyyWQqL3TNdSC5JJlRhURm3LO8IoJZnsxSG3eoIiHyTH/LNBPwaPSVecSgJ cA1Bwho4BMQVvLetRNmbvW4QCDCUrKPdwRBdCAB4eu+1+HzQqLwoyqwIYnCubskkdGyE6875cIBg hemifHijsS5eRucSKiAkbOfQspE53Pk9+/bBttxJ0Sqkqml6MxaQ6ihMwtjjZ5Dpzi61BUxkKeXA tBENUYK6yCROD6YVK3R3GfHsyeeDUGD8OpiQvz3yR2QnBJkuCrgjOUXAYae5XAMjHEzNI+8WoUyE 5mr4CzZY5H2+KygXLGa6wM+MJik76CPLYSF7pktPAn5IlJ6YW1H1QaF3Gn2rHFCxmFqIMhnza8uR 6JIfAEXo3DhYDlC/pJJ9a5oacCzZnsOpqtv1g4LC0rbq2/DBaya7QbxALg/QzCx07EmThEAIuqSb w8nnOwfejcIfIDHJwwfbOHtX6WDVVvh8cMW179O7oKZUn/ZSaN4ILNiZhHqb3tma3ihqdJ+kDtiE JilmTUopqtzB44DZd5tLgn5gGTEOIE8N2sKNIsPOmlT1LXRvROcDp5wib5iOMKc4VwOwPnSRPWc6 f0DUhPtunTQqT61bNAkMHEF7E4DiZrrdzdPwK11qqdPecuzgSGZgTSyacPByQAI2iboj55tsi4o+ yOJQtUQ9bV9RP2oB8jOi9hLTkyQ/2ZSdWwoygjrWA/CJ0MExt2/dQyIyyyWX5sDCUUL0J44sy9q5 IgnyhtvdqpUnWOwmI5FjD8ehTUS8UnrwSmMNblcJIsGYAXcxxUjwm06UTO7BPdq2t/0r7m693EGT lFOSB9+5Yiqfj4Cm7dfBTdv450wK+ZMnSOmTTDLAJ+bcjx5JtmAJk+TMnMDH0eu4ieU/DTZJG0oA vckUA+ZC1CzJjaL00BRBs+Qi35a9bcBekuP3Et19XbPUkyubocFOlc+/H9zrMW2mxii+nv213ULz mc3MrTZv5z5uoMxo1M5tLgadAzdHl2ElpcgGb8JtBMNVyUmeqFei/UXsOgyNfoDwv8Sc6a8baSPJ mkfSG8PxrKiKWqPxbG8+H1Vy29eGQ4sTef6NKUNLR3QPcIO6TiQVUKRAth4sDsnPmqYIZUKtrJNI 0c2Ig9eWFsABcwtG2TOcCIhaRokQxUyagnxLkOXA1FFYzQp00vDBooBdmgjLE63KwNXxuylQmOhK F9Am6fMaKXVxvE4OtQwZsNp0j/SWvlQTqlG6X+Oknoh9RuYqUO5sV3cdKk0niJO2zdkWGXcP8fFI 6BMtD650Pygjn9coqcNDhvPTND/tzs859TKrJ60tPP0yO13c/sygTODmTI8ehWnnWwlmZ0kRoFm3 my6FBgmgBZO63W15sSSYHXVxX1TpoQRztix9wiZEawugOiYHjRpmhpIwgu4H9v46PcmsMVqZ3Qfr Tk5j/5xPOZH26/Q8ovT5R/j4Ag2TYrPzGhvhH+iWJ2mLzhtvM/1n/Zg5WwYznbPzGhl1eRufadns BHDw6vaJsuGN4S5qTWAB/Ern/MG/zhd/yyX3eY2LOk4QLpolHcTldU8ma9k8m9rnNSjqqGf65BCC 2qdbGmByXkMibix2JJuHRNU7knS28zOaHSlMzmtA1MF2Y0D0f3x5VgOkFOcPKyNg4WJ14T8sF/e7 nt+d/f/XeOj8k4mzaZMWfA11bOIaTkAEBym2/88fPq/hEH5ROXc1QzSDt9eZ8GN2XoMhbKzcCItw hDu9/pigFaozOMvdEO6voRAWvST9ivr+5en7Q2L9JBYqiayOuJ0qAHCwOHE0GiPr7qtGv+/o2JFK wpJB4XIwT1ur9qpWx4moX8MgPG2OKpl8GxZ7pfVDS7tgcnC/IgF3OLKIJOqJpHKJJMk6/q8hUL8K AHVWH2zpVEhr0D0FTRao9qOkhJ4hDCYBbmeGz2tL1NnPawSE71HaCODK22Dl2Q7yICO/Wj6v8Q/3 SPtaOo2KuMk31mvwg5OcRcc9p9XTSr9Fx15c/TmzZEijh2h2Ni/zwWCag9/p4BRAGZKNRyg1s0Sy VI4FCBknrSWt8jCINlZRL40WBhxscs6hz4D6pDHj8xr14MwyY0KVlsiIQNaoyXkNefpVhExebMyz +anj4SJOHQf6vQY8OJKFgvi/GX3dJyZsldIIC1yhtYhjp9AYhDTh5eXhMxlpn9d4p8tQC+WOmn0w KGQr3ZSxd/68Rjt8Qz+T1fSG8ErNwmKcD30tM+Kegy1g6hbZ4GrvvrWaq8+frTWt3fhaZOS2JgAi leaDnzuAJk5UVlDxLfj/SsdPupMQHZmurDFjvM9riZELlDUzSpBqsELsBraZF3rB0+/z40p+2Xpo qP+SnoXZeS0ududC0uUHZJEl51ieynO0yzuaLqEVhTvS8VuSE11XThRHToJvI7YW4xlzbKy43aj6 RS8CQ2UnvWpwLEtvtfP84aBjGQR5tmVPyIqn/3VnqVaLVIZOaQwGo1h5UDRcrXtWFbOL+ySiwVgL Ag3DCX7R/CxFyVQ05nDDwQFxFkEfz7U0NvVoJxKzDig2MQ8urGHvGqyeIZ4/4aEctHp6B5sGvzTR M1G4vNavSx3a5Okun3feGr6UhMJGn5ZMTEQnOn2y5EgEMHJ9qHfaWndHy6qeWf3qmY1ZIMVF2to5 imcHMBftIEgpF/Rn9rpQNVJwP++0te4qfk3Uh3aRXucWmsUAtJWPBxFz8oSC/imoFhFjpBl6D5kB DMUSWtUljUc14TUIPlzEY72Fsihm3qxr1DHv4AishNgHzWdKGvOeZzWRRA8RATzfWsrbo6AZoPGa umhG/ZHKHLTv5Z2EOtl71HwWg+w4sYT4l9FUZ01TMxTFzYiYsUZ8k5EyZnBHq8Je7iMbHMERLWJ/ pWm2BttkUKc17O0Jp1C6JVsrFc9I4W3rN1gW0iHKuVhuhZqCD56STt0JtSx0N6JTyJobWeWfRvDA vGvoPXZWNgmItcP49rxk7K/mxu4OmXkPnjMds84pUCiDxEEztM/XulrOcq8+e/HsjiZQDfCgOKbJ 6KOEFkxs+a7RMcQQqNXhg8NBOd9JQofvTLUuQ0zNECs/ABYjPPAZigJofPdo+izPvZwuQ5FmL0dv T0zfiWo3+QIKzQdbQ2MBaF14zY8Bue8puQ1AcCgx+QCvrBz9HkGjMLG4ePb2gZ8Br7FOkTGEjp93 mhoLR+X7oKa7DpsbmqEwiGbqXs0LVSA0N/gmXpbtVnAQVBqLomj1pE8KNH3wqyxju46snnRaUCAm TgQ5F91W8Qtb3Ud8xyiMbvROqTvdwXMMukIhUMz7805Rwxoa5TuOxl5t5U5QFEgjFxpQvbIlNPsV fRa2mDlYdbHMd4IarzJuHdI9NfhVdsKHBXQdSz/n/xrFMlHxkEV7pmyKKR6Ip/4eSmeDys7Gq2xe ghc0kLJk1E6s9Xnnp9k7Pklq4UcOT1Lf6Wm8glhdrQ7+SO1hOs7p9LS1nXgVxdKDBcE2aF/NwY6h k1+D1yBY+gl3SpdqBKkh4BWpxTq/2hnvsbSbyfXBS5CD1X8SjL0ZIEOnJgqm1/4OpulpKQIBJyiK prtIIAAuc4K6O+/gmr78PUoCcoLCcJp5fKv87TnYBHVwrxOiV6qvNPg2ogREuCuKZJITFZB4Tr3r XxOEEp4Pqh7Cdp7/oxLPEMXTebTvmwxdyyyeDOuHUUANHHe/q8VVD+XN7FAiqWVzht5ZaZwh1X9S 8cHT1bOEGsuG3GQNUTXVMnGBka26rz4NfRE/76S0LnUwgvWXDzqFgGrQXX/Cxs87J60/SnVW6gBy vg8vP79T0lADKOUKQeAUWqndgLrdgHp5EfGdkcalyFSsrjt4W/WkSecYJT9mopOOAwcCNeCKQLac gfvl7RW9bFSg59QUGaAXh322kw7PJcfGNj/vhDRWvdZXTkZlrr78FHrno2HhVvmiFkdX5eRXfbES EZbQ8iX0HlAjoy/v7M9WFrTCqdm74C5MTY2MkuJPp9I9DjBvGFLj6J2O9kRDU4IZ071+CrjK7GfU XNvnnYzGCZ5f0VABKaBsr3i8c9EQUM99BY5ojEq4njZZbz5D9MHmDEUBdZK1buvLBzuGCrzWqKwC RtKJzCel1WEWAmVzuBX1BwtDMZF3JtqT1nst2uMJKMyp7Ybp/7wT0RhRf0dDkIih3YvNUBRQL3rr 9uF3ffNOc8nl6j6z7ci7/p2F1h03fM6f7IPjhulSg7xYTTDyb09eT2Mawv55ddsuI3nonYV2d1kV Ep2DgatPVixf1PNlft5JaP3RNVbaCg5THduvsncOGvcmjS+ag4arAxbg5XTl+UFQ1zkUlaR1DlWR 8J6a3zmgJ3S95Wo5J69+wtMGYj8o9iLRt3q9HB7eGWj4DavBqLIPmiBghqgverLi9XknoHGZl+9N 1imOcW+y93gaWqnUpDUJGlolOPY87asgwk4/l9B7PH3+6SwNNcFg2rjH0NkKWzJHmKBykk3qY8FD FvJ3iNzzeGpDSy/7fgwt3WGy/+SgGUJ1SFCqlfbnnX2G3/UmZURUo3hWbm3onXx2Z2gyGqLGSvNN dnLwK/wEYRwtofeAGrEullDvZDH2flmM59xB7XnR4WGiIri2qrMI19A7xW77MnPiZwQTJPuULZU1 aJKaPXOFNQs+7yzk/XmnnnX1jJ5jCBwKqL77DEW16Ub+eau+yXK9Wesy0X4cQ+4z804869dRbtF5 r618NxnOiNnpTHZ+jwnWDUGy4JCgiIREyotng02xd96ZlS4qayc+OFhhVZkunkn+vNPO8Pj6joZI 8Oz9nkJRQI2fDJjilelh4UOn0Lw5GZJ2bbL3gDpbQN211/qVhj8LpkAwrFbSqZBLF955599AbQnS vfi1zXKv2Lv+uceQYduggLpP3tmgU+X1eWecdRHINR9Tj/0QZWMt5zCentKc3w7myN5zNi8DyTxk 22PvfDMmhNxcMonn4KhXxOiNGLVEucdEfdFK7RTW9fcDniKa451vhtCWdldtqQq73Gbm3AEnyBWb euTPO92Md+33MZ0hpA9dN5ugKJ5G7xUp2aUINT+m0SX2QwgwZU1QDOjA0hnUKNSgrySBCr4JLAYs eM48edV16naA3g7lHzKaUFwsetcIs8AVJC8VDpZwpEaRXyoifd6pZjyl19cpTRm0nTwUemeasZzE YPGe0ovhtEKh4h2gkz8ZcPqdaIblT2pwF3y6P2KY69y9NObkFuu9wLeRoBjoSEAnBiL3XjkrRe8a ZWSsvhKoqUErCLgeqc+fc/7zzjL7P4c0VDrgXe8TFEXTjUhXkcy42ertARELLzzwcqmwd44Z168m KG0f/Bo7i6VDjAAr6BwD0zTFtsgB56ugaoEkVSl/8U4xMwAAyh1sQ3dSFgjsONv1pAPYYyfzK593 ihnLHt9NoFqI4fSL/p1ghq+lUCu0WQG/ZBeYwbIxef4OGwKboag8nbrK07zNOHjZoxVYNMs5tp6g UAE3sSoZRd/Lnu5MWN+5ZbcD3Qm20qBLDE4Gxdqm8/NOLfsVSnOHsRhc/ZZ/J5bxYgBtY1+HkFaq d4DEDOIOQ76p6Ylq04mtn/PW0wevTSdUzUAc5xntTaIMVmVBLILL1wwwOpXn32ll+IccRZCMfTBM 2dhWVxy9ft5ZZVgJ6Xv9IKsqu9/1E4XSnYGiuIjjEvApe5WdqzgyReKQr75zym6XFeGND7Z+cjlx NdBByOjbOluu0r4AjgyN2Fby6Iwu1bdeNqqbMRszxdnp8Xo9d5iY5XVNvmOww27llWZpiOD3LZu9 M8oYB9WrLEdca7ZyK87X295YVJxP/DnRNS9kkBL7wsrrZBPxnMwTbmIsm1UKzUhnFsdO4+0/PaOn Y/fnnU/WpQ0D4JQUrwAs4bzAzSDpou/rhIrvfLIuwaGvPivYlLDktSkKY+mq4vSySIgGSSqcESlo bmnVL/qwOM17rA0e0xwulGEDHk2s/cTKnGRJkY/VqHU/L/KXh8nnnUvGY1rA3yHgL9Yrg76Twwif cn5W5vMRtHV+zRDJRIiMbYaiYJpCvLRX0DZTJ5p6/OPZZtCC1wxF1elJI7mGAMeGi40+h0yt6pFt +FbIiAdF6TbO+QZtdV9DxFG9s8hYJ+YMjZp9MMZGTaZ2cn7rzzuJjK/4CwuD67Dem/6dQ8YHqXS1 lhWnSy6O/ZWH2pQo6JB6+juFrDvnHraSPnjtFZJvkwIocGM5QR0naCaaX5yfm6nvpjVE0YrPO4Ws uy44u8ccpjoVaMBJH/7kaPPzziDjJp1fVxmL4il5NP1OIMMVNHAOVaKfUadmVsebHuLXP1WEe9yr DUvonT/Ge3hxakr3wTYZGocNm0DVadAPqLwH7aQG1xBAmryJCArX550+hs/opr/bfTAYZ16irp+o cXze2WNWdfhaQmg+PfnYO3kM6xbmkrvRI57nUZEUfyYPxUjkC7YxWkJRcVqJGOwIfPA9Bnw0bxrA 8c61zy5a7ufX+kF3FIfIRQFThfHzzh3rEvRmJjZ9METVboIdnwlan3fmGMPp+l1YZNeu+zn9Thy7 wWJyJ57F+pMJpa2vTVZ12b/zxrp7ElYHKlyGxjpnWZsS/qGwRS4CLNK7EQXIjLj2eu4NvWxw2VMf rfV5B2tEsyvAy/6E0++8sf648Fg4NEQa8hkKi9Oj2+GjqpD4dNL+KPWCgYc1ot9ZY0xZmxLR6YMj hlaBDhnD6UaoYuVqA2SmQPONGqBKWWE2w3eNUlaSD7Kq99mr92eXla02aan5884ZwxL61WalMsK+ bdZ3yhgDBHoTbePVTYmisSA60/Am4vKc/p0x1qWBcI6fzUYQB+9Dn60FOp1S1g3WGFcZmkrALv7k 2//ZxAu988W60xBgcOiD7jGI+pu17h6fd7oYNkv9LrwCZ3ji73tKh1APntLU3FS02Ort0zdbS2fj FVMkfueKdbdLA7LaB5ufBJF0ylZAKLNOSp+c/22l2DMV89pXgwjEz3g/pbvaP8voGg5JAkKkqPa+ Cx+PrrH8dQbRA10Ke5ygKJyGnDgAHrcyPV03Jq90KVDbKVDvLDHusMWZIdmHg9ddBzStEy/6fvKZ OmipVmnkAHl5ypfYEUS4/TtJjCkVrubReZuNy9rP57husl7qfX3eOWJdSsZfdVd857L94wRFwXQn H2GNm7K6ToxrM0gSjVZFnT/nrx5rryyecbhwKp7MciPobeBiwxHEniIZAXTca6qaJb1rcM8nVYPS 9sGOoHFmnsENpL5aFEs/Z7QwxMwRL5kljKWRsjIn4grKyRuIedGEjjk9FKdsBUWF6UQNATmaa/Aj qJ4gZbLZC5eVk7iZzzW+QaB/8Em38KoZioLppWx+ljtY6f7kTNSwOgMfD9r09bvFip5Fmbd038Ng OrNN31U263umi7uXwHWRSZpVPfp7MC1jX2yutHywGQLFsNLngEpooKXpkMYpCVwagAEeS7Ns1mOi oUyH6/bB6ornUiBzDCEYHo+Awb/YYmwmtDtBUSwNzM6AD4ftMQdRQ16UWoTEltMzhhMUIT0mfb86 vSI1WGUalWisIu6xk+Il5SX0a8zoL21KI3VpxAy9a5BsVKmgEc3AQZDFk0x2wRhOeIHHI07Udxw0 yCW5yUaPK9MLO6s9p/RFvUoYrf265ntUmbZfW9d8fa75k7/PjmaoiOEAvVKBf4AE0VFxBwDtknlZ mu5RLG31oCzIIhx8WKkAy5xiRvi1W8Hz78fQuEgPWkpSxr+1S8jsIemQbc5lqXxfmXhg1s7oJ40/ onJk6jA9CqeVkRXYv9lwyx5nQhbRgedcLIWVdqBhgDIn/r32B3NGtYoehdNlrzd6VEEFXNHMibTw +L9YmTcakggaZygiHsKy9uTSxVOykfQn7LOtgtqJhlCeYErWY6xHUzo/ffBoqM4BZzoto0FsJwtD JCCyLHXr0wQi4jP+akM31KBoYXE2gOUbO8n285z4eDyYoDF/7TMiKTzf6GE4DcW/xZPVyh7XdUCi cZwg6rRwCcXsQ+GEtN3afKiZBVYDCD1Rn4bpgJwZAOlv2DgAi/lBVO1dg8teuWpSkzV5k7UCsi4s TMF3GMXT7hincBFeT4VFU01QWJ+GqmceV9ubQacl9WS2SEAPSQAn6O94uqiDysGT+j3aFnAWypWQ cyFiEC2TTtp5qw+6nKLVPapPqy7UpnqJ0/mZcA1FrM2kfuLx4Ki+uE5CPeDO05+77D2gFlSy7yZ7 KhCCWOuAb+xmmqkOEF0TkNT3KKDeW3x5Wg7Vy/E6KStITLmKonmuHOCcWcE/1ydLi4MCgyLZsTzd o/K07rImBDUHYWHOJq4MqAEQxuMRg/UbyAA4eK23xdEj6DRK5mdSzF+AFtNeWoQwgdWF6NrCJRQh PbSEAAHWwLuXDA5wcYGa4zHdG+sDZ4IAdoYt18/Md4I68k18RhANLXVZ5/ZBK+iszSRP3PPF4PF/ YRZZkJSd363f9zCi7uySpWXHNFp15tCcl9QYzgxVWKnwto+q0yspFaUjAwfPyc5eOBd84UV2Zr0S Gwst9w1Gd0F1+WI9qNiADwmyVsokMUawwWCduQg7ATk1PB7VhdKvKQIsuW2/60cUUoOoDwqHYxno AeaoxZu2VjeJG1F9ehrYY9/BwR7g62wVF89EnRSTgqioXJz/jHs0P0Z61d41qC1KeKHJk6l5hfes S6BHVTnLeDygQv+CdVKhn4GsJigKqS3nsNoiVHOzA197b15bBNdQRPG4PK1dNqYPHgyhod1TE/4e TcbOqx6YvLrOtT8fLvSiP9yIQmo6LJ3XKncwgkLG1DO+WXw8akX7TcZbDxFGLV6eHiF2ug/z9+YE FVqjSB+nJMOenZvOQ+oRlacRTZ1sDIgoDOzvDSZl80QqZcnPCx32oeJa7qRJwcf8uerPYwOfEaA6 2+0fcrClUM/x3zhBwHHh8YigML6DRZy885mgEOzRGQE9wOByK0NpXN3l5jKCIwqnlyVl1O/u1I3j BEEu/xwThfKmvYMUN9RNg7YDTp2enot+Yn5irAeT1kTSOAfDm5WJxiLOoNnweHAG/crqaSxIlJfm Jwqm4WkLDRNX8UgeIJ6/XJZ3WbHMND9RMD27CHasnHHwwlCHozCiQGA686hb+jib8Qxq3nTMsQ4Q rc9HVJzOXDpTNdgJWgNL0iBSIFzDr32+VzwfFRe9+srpQKBIdT5NURROD+p1aorIT6hXqquu4eh7 dP60x6JweqnB4XIwniyhi9hhQ2ZUxBNWqVcNnN4PE1A2Ea08nexdg6w1mcHZ9EHhNKpYVfWwEyyO CDn9HELMWbFUsXVsgkLk9MzUo3Zrqr3uBA1HDkljWBMUIacL+WO90OSEg8M9zmlR2xL4Hsh1rbaC /LFU+qCn2yLrVe8atciwhIi5tkGnNNzOKcoP2S48HsRC6zvfKMDhgkViExSVp1t92AmT2oqOhzHm L68xAD/YIhtRNK17HotHA1EYkjJd43yxo1k0nacI0ZCnrzhJoONx/QTPj8dnRJEQls7J6pIPOoWg aSl9/LOO8fi/IiGtNpQTLlVzhNH0pCzDGLbFymw+QbT00RYj8ogrKI6mTfRk++D0jXOvzya9HGBg KMoFfxzixxIxE/ec3lpCYTjdZNhBaDkMUhxajpSSUo5j7IXng6S+/Woiolo1L1dzhOF0JVZxN1tD rV6iXRm3R4ZUX2soLFCbffe8g0/RQnW0iFQ/IXcyiWfq/JuQOYSGhZXwt1RzQi6iUjIIZtmglKwm 1YrBtCt4PCp7fPc4IOFY+/by4gwL1Ew4lslNwm/2Kr3VdndZY9njzNCMomkZ5J2ErvvgqMXa0QLi eTNh11N4DJFjXdEqRSvFQWfMN2YI9qBSQZ3rDt6pnzRf+QFkAo9HPY7vNiKFZ/c9hmYUTSNbRNKe mcr3XWmJV1x4OjMjI7GJGdmMCtSDeM66JC20Hmw5zuZdstisbVN5gHghciVhq1quPDfqWPyMP5mI kP+yQRMEEdSmtkUrePyfjXqWatGwfg7qGYXTiSIklb2fdQNrlhaBeeJqGgSDaQmFVMQiC6qefLAZ yvieau26yXpPdHvJqxP0cUICaikZm5WqAzMuUEsXRv1WUpS4xxbkyVj2OPkeHo9maH9d9YQW1OkH 9YzCaYhSd8FthL7vTgGqI11b89qNRTbD6rQysSrYUJ1PdfoE9omK1SgtjpqF089gJSierVclmP1e fEaE9SAaZqfkgyaImuikJ5z4AY//S25S5hxYQc3j6RnF0xCy1lXOfKMUp/tmqN48WA87pmcoi9cY SKc+fPDC2YTZQZc1B8yDmzHGSfJNYHM96Ptl7xrp4qkq3e+gPTZIKf5h+6ni8aCN+Oh3YQWhFlgv GGaGxen1nYYhFrpc1lGulwIPWRTOZoT16KosNqkqtkdVsdcz+73JEffctZlKX5CqYontfDpSKAsW WVmcUTRdBessIrgUbzRB8rbK4OVsQjweFc6+8VRopZizMicoAnuQpLSpGk8bBThWmrFycnXO82+7 Ve9nVJwWf6NlFvE52Ao6kS6EROmiMGAP3JrZUYDnm6FTtR755KJ3DVYQm2RDC8lSMMLvT/RQtcVW w+P/ysf4GL4HhJ82QVE0PaToaqJCgxLZBgy+spzw4DF2wgwV8iir1CYZdBxsBZ3zuZaeWDWbKJY1 +XWQzpMR8/frEbToxTGj2nRZYtLvOzgDqErVHwK/eDyYoPRd88B3UuiCpQmKwB6TiLzx5PQPGgZv b2VFCrHzkI6i6ZHFTxA3Iz0m7x2a2wONHqygDc8M5mPAXxVUOajNaw0yKlTNKJhuqppV5a31WgSe s79Voe97x+P/C+oVPYTSbkY/QxZiI1BxeyM6DZ+gMku7RY/kh3Qo6zGFE0rNBwd7nE0LMAMbZEjv F21xKjVn4awLNWZnIdLffYYqeewg9ibbsuZk8TxPVCGVvLMw8fi/0DBO4Kjj0hNWFErD7mXc1Ats MTebXlQNkO4JYhWuoBVhPXCi4/Bh8YyDraB9foGSZaM884KZOq04IThAE2NmV9+q2yvEemyD4i0f rAd9rmLeCu2kSng8YiH6BDW+GiFD3kFcYWG6yQdInN5zX+3h13zdV9WjDKvcrzCUlhQD+6cavMUK KnchuDQBW9VIdT1ZOELeRxtYhWksdHxGpGHKyv0WAn8X+4xyYk65EWP28XgEOPt1BmHx7O2R9Ioi aUT6oNY50fe6JeKQXjcOKpbRrxDrIQrQJpu+bekesuSBWpj8beHvNiElhRXE/jhOLqpuPlzxhs+I imb57RY7P79PAcv3Gng8iqS/C68QKivzcuxWFEmf2P9Sx2jBNVyPYdN3TrcYNWM5QSFsWitomyzD o9QJ/TEcE4iDUBoaDBTRKgMYj4fk47tFSY8VV6avo+S3sSQQAIYrP3/G4//LGQR1rDL63WJRJC07 qWEdH2hS1icOWp7O521N+hWTEKlUUQXYrPVCFk8wVnercpM6eevChXZzMUBu5/VH2FSFWRHMAxcB mvMst3HQNb9G5iFKUWQ8/r/omxEguq8A3Ioi6dSJD9rmt7XycAG4Wsv0QBEnjCYoVvSgyMImKpiD 52L1/K81SfQ9E4AibKEqDLoaZOQQHSVfG+qT4kP+AsIMOiBp8FwDbTHumz7weIDz+IUrnyBIoflk MxSF0kBJYQ81v8bGPaUpjqCyYpq+x8JQWtcYSnU2eHMD3r9lsvlzMrNe2II9+0IQ/fGDcMIqQoRv 4zOCCZJ11DKuHYkkLJmdlcAldAKwhscjcSoPhAQLwl8szyYLeYhssOat2kY3QySJCJIsJTFl/CBE QisETitPzdxrj4kxYmlgnLlLzyY7i2klEzjDyYzkDceG1cyoCrOiWJrtEBhIJh8MKgRBwaSSEF/x fZOtK05VlLrBleFKda6QhthIp1/eQBy5XPJG9eYGUwOtobA0zTysUBRHwyVvnFsYABKuoYoSLuuu QMs3nJMMk9RiRa1RLxuomUro9b8txD5yb1PwllXxeNCkn57R85pljbLdNRRWppHRI0rx9s+XO6Jb 2SCc9xmKNaeZZW3m6Rx8hs4hnICAQWX6TPqgLH7u+MhOCncpTzA0hl72r/5PlRQcB51DZwktFXV2 5uPBXb+/5e4r0h5wPzVDOwqnB6tmFDikQ/Ja8+Yb7pB8LrhkGesOeYhbKX0ePly8GZRzqPUJAVlw uwkuR2ej0gYUSdm3i/SOwumWeQAxg9OgJQTXXWkvpcHHI4LLN1gIfbnyMFn3ezidaCHtDTK6Slzt pdLLtZCmkyAnKBb1kIrgXj74MdTOAbmZ+SRU0M7x0ynLfRZoR64BGwD3Rqbm/Q6h002y5an7oBUE 6VTdRNDD3e/hNL7D29vgbAAhBtUAm6EQO00jci0cbLd2xUw3+jS2yRZhepihOJ5W7Z4y0hz8sl8o AC1JdS4YIrFRlEEzK0iz23PX004WnxHMEDlkY1LYnYMSDgrP8xRBNLT/rerBxxjAJj+nd0hD7Jua A6pvjCJOAmkVlGc3ClD3CYqBHiJwqIK/y+OnhfurNMLNIFLYB9VeIU75Q1cXSXWOp2y2o3h6E/Ta m9Bm7UGbnSBde2Tuicf/JbOYLGU9y8GP6R3CptlAZNVJmtOmMUTVivVzDTXdGeBvFmJFM9YG32Pn 4ZPX6yJDm2xwkVHStNJ598vKnqX7HWtOS6JzDR+M4FLgrIRf8CT1ePxfMAZOJtIWyMvbBEXxNAJK scHF31ATmhPENFCxUHENwR3iPKh60iavMw5e9EgFZbdkdPozd8R5ZERHFfIGdT7Ae9RK8BlR6V76 k6P6YIXXsapy+poWHg+usfJduofOUGtXQnBHOI9EsBmgkqYsNC5csdZ7Sq9mRY8d4TwG76865BY1 rlvUBkcW7hIs3W/07NliTJm47gZLtOwd6MUO9I4q07zwIGGzfLDCaz25R/+x/uH+t+I0qdCgQa4b Ce04mia3rrqsu3xtujwX3ZqEgkyaoBjnocIrJ4iDTdDAHI0mVY/S1s5FCHTmQudX7pe6Qf9YvmsQ KjKM7lZeTM6EPglgNiJrqgOPR4LT3zgPuC0AF+ITFFamK7aYNITqbQNxglq59huC43f+nL8Kr21p i61ni7UF8S78Aih6nBXKnB5lXbxQhSP7RVLtqVeNjiCG0rPewXdYkZvF2WB8PKK2fMvek8vVL3Nj R6F0o7eE9AMZEblOORLw620DXpzmJwJ5THke9159cJAHHLt6tsr9OAm93DXtwO0/JMi7BGXTu0aK HgihJxM5DQY1Q9Qp9bc08Pi/6q7McwHp2V/+LSHIgwYuZBILSsU2j+6G7IZ16ZEsT2EsTWJUXgRK cdAUjTHg7zdpCw0p90UuJ9RZT7bRge2nAL1Bhai+RNOi96ST1mNFwgzM7Bj1nUsSDBF8LefCnfwB /0J1CiF7Lgw51muaovo0obhZYqXAwtBLiPyNQYU0LSRRgOhzE0bUTOyLoHmlXGn3iXOpNlAzME1n OugfeRIpoHAoRw9YoJfwx7bX/Yts1+xWSzfpON/CpGrYCYwanw+yjvaL0Yr+JUVZbJZCK5fzGGxI zUIhi+m7aGHnRqNo1idfTCF82jyPZemSHo9xuNA3mBBgluD3VymsPAHMA4PnZ5Gyaerl1d72L+2K 2+iA/V7TWoLAtRiJY/EHBGHRpY7zAkQ+3S9JIaewUr1Bjb7yFaWMKzOkBghL+c0x5rQPDNNgiP9T RqfkLxmdiqgosZa/TjwEaThsOXCBG+LUzO3m+eu21/0LwFhNTkcMIFLuAMBg7HfCeD4fVau/S41A AFWcFT5LUXiNGhnqZw4dgpKz0V2kYs61lHP2tRTVq0eWtRS1Xzl4SyhBh0AwYTiUncU1JTZUbMcl OmgTi0/CJz8lAOMzR6sm7XWVF8/5ZhYgdcNOIYXgj/Jdss7EVdTLvMspLFpXVfUHZYbgL7V1MMGw zw8mFiSrDqa4as291jVbPd+yfkaaVqaIU8AWJWrDTWTa5747B+l8UHqMAfAhQSYrYqsZs45bLTob zYj2J4Tn8xGR/FvuvbIJnJ+1FOp9YC2txGs+U36oiFFWoE6m+eo7E+vJSYoi7SkgrOzoOXi2T8ct YG/ALluwImNpH8paKMn/UBXPJCrHtLeNdJnoDT05SRyMGXRidLW/TizP5//FlOaxNGnlcb1LUqj5 QUmLavAhqAUkL113x8mc/+7F/ZzC2vVWZbZUH3zDzXP/DxTdIM2UcM4Sr4uqWmN7qrled4F8tj4k YkpLy9yMcAh8wSSRCIkZO4FN4/P/lMXXuqs/9SFQSUXhNQCpzNmGQx0WrzjyO9q8WT877lpKkfJH VQ8/SSQuXQGrhXD+HNWNITdC7Slze0qjLCqaPyY48j9Of2tSs39gg85ucLaMhtcmnw/22y9dAq5+ gjVtksIK9mZxrXpiq54aJ2nke8Ntt7KlhENw9/BqW6v6cFtpCbi9QhbMCSgrlSrRwUafZp4DHJRB QooKXO30tkH1iLIfVYF3fQLvWSZl2GGEOPl8kNv274Z+wRe3v4wBo8h7kklVDfoJJlTxGu2qPklp OJMqB96JjnmAEocPlt2CYj8KTTHShDXT7GrpYweCrYN+nrMVZacUmCfq5EVBqjYfrMQ2Z2vmpd34 fJS//cLN4PAqF9yYQ/vEVmQO6EW22vOPm5OyTFvlQ3EnKQKGJGGviiS/rkDH3h18s5XJpxokdJqU FZCSg8fC+N3Wz7F/osBXuXYfDBmSUxE1/ATmfD4Iu58yksxhfjuyxxaKAqjxlGaamz3NJVjTygCg LcsgMPRQVGO2jz598P0GA9eU2bruJ1RaTbo82HaZDLRyfcf7Sva2UcuIAXcaNpgwI3xhJ08osCL5 /L9as9xagKGM8UxSVNBeMn3J2yYpN6+VgO3sS6l5tS2HNopjSfWL5lMjP+ZT0B6chpQdFStAte/B JgD0edajqzf8baP9xtmpTOQ4OI6vpSLwYq58PggC1q/GWmOrMt1JCpWq2b+W3x2L2obIouGCX2+o 3/okhfxF9faLjLrIqLGVBPZZazR0PWdtSRKwPDf4BvRu0c73ARoNe92gyb+kzMjJaiv5UprokhOH n+DHGZoplvld2CZObTw2b4GZojqrg2QwLaWZm+83mXQVnUoeBARuiqr6vWGKz++15jnLpW4FwNpg PLmR4kLk/geXq59K1JTJgZ0iXF0Fx/qvkRlCAFHpz6vy+cj+5XspwTUVyd+dpJDFSO8OuW0PgkIf glW9NlTDRfZyaKi4KMac5SyQrwHSSXNB2toUYZc35GKnvxOaVE1876HpFXvdv2SrQWHzQQncApON fdpeNp8Pzu78K+rGbPbHljMwVURqwlh7ZIu6ZfyyRUOzPwL0NH2WIhMYGiedsyZvH2yWzhd9ThsK REM19Jzqwu+rI4dtutKjWVDtbaMLjqfSEh5iefXqpCmjNzm+2UtG4inffRKowFYw33ySwjI3tFuh GXiLlNnrSlwOJok6qk9SWOc2O6q6fPCgG7oOhQhl1JXKJnorE8NA4ctMyU/Lcuuw1w004jeRoaJ8 tkv5bFQI4cl7fiE+H+Umv8wnIZe2rtBVDs0VKzVmNjL8/y9dK1MuJRgWZgnKsdnBiknsrthM2rv5 4N2AATVayfDME0RlGjGQyQe21RZpxe0n/W2jhhKxfTsvH7SU0A9oahLNxuf/lZpwv6Hu0i49P4f+ ip09t2StyBNKjMuMdZMYILGnn0qhweJS23bSHY+Dg/l7PYESNiCWEn4LNFcAfoVOO+Q+enpgkP62 kR0DYTWqeteVXcMaeG8e3b1gJQUWi/8V3mP7DUIoPklR1D22ZK6yr6RHjAfkfaHY2JezSQrh2JIv SGKGpHJXUu5wEVwwvTsraUJpegnoh5UEODbubK0kKvbxQ6L7jQXKLnezft3NYFVbtZLK5PNBalKv RKrMXpFn12cphVohbC6lrl2GcqgxGqHTPFRgGlQ50X4LjBaxlJjeDil8jkdu5sRGsPyRLQO6AkX6 e9AM6YAbsxzAQ4m9EL3tXziklqYGENvofHIykj44TeD68gcEEeVdS3TmRjl1XXG5HHgtOhQpzWza YNO7SqU06sfyVCq3wRSYLXqPUlOgwWfpXGoNHEeEASfjWVLVb4zS8PHnmLrsECw5ve5fQIBCRCyG ZXUltLeJBO0nWuLz/9Lb9TZc2eNZS2Hc3dEJqOZ3PwrV/qh1OSnMbo3KYZ3uHBguOlO2S3+mZwd1 AtKGOjClfeBNuXchtvSsVdy/ZGOW2+qmglqODRdFdKRbgQZFlAsy60xOTszB56OWwDfgBmFAeUSJ c2y5WOjKMDzNbS4ICjzVdWICk9AmKUSUDDMeSD74BXdOIEBSRCfes4iTTTnNAsw0KEjGVJOUYw5N F7M8hgwXMC5NZA3Z+6KB3fh8sJLKN2l/MvC/jOsc2i7C5gNlo23dpeq6Tnm7ogj5oMMnKRTjUxGg UWWFg03SPMEeLLWJbAN9fLOs5Ey6EzuO62Ih584c+i6SVJL65j3HwZrehRlPIo6Hz0dR93ftLeOb KVecOIfOiyOrpVQtzR2OqwXFNT1sGk/gAutFF8hoJuI0rnQq4iRQ9rG5oH+2SWGG5dAiIYtIdJ8k nOJ62wiFLPhxvoO2G2CqYlSx9hZ6Lz4wZLweJUlpIW6TFGpcQzXwzEe37bZKutuNHmjKcoEIYFUp cF+8jjFVBcp6C5QLOPAm+cSzkja6bLwCM41zUVvoVxgUNWV9SFAwWfIzl1LPuEL7qKdL4qJxu4UA k0suplTP+W1/+qNbmEMHxsS2ya6uUjxS9VpA5tQVSaV77S2wYPT7DRmND36/LQCVugypwVBPDCgX jw7UsyCRzFrhWUq129tGWuCDfBGe3CP7yV2mmuqozNbM5yPeyDcMBwwoAON8kkIXxslDaWZj8cO7 77LXtpW9z54cfnLHNoxL3l75DlZ7q9Bn7VWCNEAga9EVEvtRQ/3CShKwnUMbRvwX6joOHwypdL4F xZNpTD4fbLf963brFH++2y00Yty83ZKR+YDq8tJbpjOdKx00n6Mo6F7sB3TppnHwg3udF2nsFp0w EJBecrgKW6hMjfszSaPb2waoAIqrjfkM2m7nE/SJ6Iby+QhS+ss9hrCJ/SykELbNfkAebghLK1dx 1VFAdEPY7eWS0I1RC6lUlrE5WJwEFupcsqs8CxK0486iEjgHqE9noBAd2q5+d+jHaNL7EK2wwVAB dROcf3ZQGnz+X7PEqAqN4XaFe3LoyNiBwl/7Xm+1+3arct6RqkjevpTCmFspSe93cGzyzAmnDTOT DcztpB0akGqsw6Iib4ES6Zf8kIhwLAHDtHwQTj0XIyW1mvWS/0py1RzHVhvPUgpD7irKsZ9JZTtH wpQMmwAmdynFutfcRYPINw5eeZuQVOmsBMzzi6HCSyYSepUb/SU2562olJe9btTKFdGGVVwOBsMB Fo3yNAut3MCaMVE+9iucJExqPadSFHOjgw4JHBOBWkRgMMmtNL1mkpuKDK86f1BUnxy62u/gMfcG eo/MsQSJowrcYcMeRhd3A2I6vIi7Ure3jfabMpKefFDlDfK/Skw26iWBPeO307kMm/eP1rhNUhRz D55KMkmhrGpaXlS6FEnAu5dPUoQvaUIHqmzCwZbSwqIBsISVgM2kHfsN7ICGW4mCu9YPWN3eNuq/ ycxhbx80SdBHbTpneCiFWO4HhMNQCRnEenpLgUcjNlz94pEAPJY8M1GpkkEAIJm24cKgWzsNXqw2 eG8JCoatipVUNmrLi0vpnIQdMKzcqK6qDZeWvW6w4R7w5C8MJc4+ztlJTTqf/1dAqXZdQVZ8U5PQ ptFknqck6GCLur2KK9YEAbmcfc1SGHUvmeQSs8zhArrOVXleXjCctc/XrlnaqLIjrOC1bRXK3ux1 /0IHtiVRqOV6IycVGvof51ZffD4IKC+GkmsJVc2Kd/Bpio3Pt/nIFUK6Jvu2FFQHoLHauQSqHMPu 0KxRjp+5kn7DwXDLc89zHBA+esJ32GBQDht6Wj+D0l5sUVu4RIJSDuwaE5WpUU8ad7AaZWtqxtaz qfl8EAjcloDwJCAF9QeRGzs2UtuHWjxqes/b9NYSM7iSkZRyYNmIZJDAiU2sKQcHmUBZA3KZSHQH dDZIlQSr9Ic8H17MSnQHOe05sGxEdsEjqUm8pvliopR0lvI8FlPg2fhfe4fSyLS7a6nF4G66pyRr 55bsHFIYITZPdFn/5FpqEbh7URHizEfywbYc+JE7kdmKxvSJawhHKWzJgxvT0k3hhsKlFpW7jZMM IWYbrBoA/wLeUCcL4vPBHfdLJKpgfdf0rKQo8q6SAFkXY7KKUyladZfvXIdXAwLnxqtAMiRVNx6p uhMMlbNgJDR2/gh8l7gE23SFsYqMManiW+jcWJP4XF0CEqjB8Xw5+7jJRApBC39A5GLw7SDbKGe/ nlmKIu865fRttFLYbXlQ2fLNT2rywlLs3mhst5Z98NO7nEnri53K85fQJGCwQGkb1LX21fOj1gc/ I+ouqRewtg/abgNa+2L6AT0Rujc+YlHi76D4lm60FNg34h/A3Uo1g0v6crs7Ybvd3Ja8m/uHfyOj pa4stl8lkgkjtZP+SN+4N1TKqNXUiV6bqJjck5uVUn5IFHfLyYA89y5eQGLhAmA7/N5tVj4fLaT9 60yiY/xzJoXkSdSflipIA3+q3vKu1YGCHSIiFlIGHo6+kEoyRbHrJDsXyrhIbxks4QxnF/cE4BlO nPkHrZILfFv2tkFzSRK+RXF3uXE3MH7FDNQqn38/uNf+niSE04h27ySFvjObslo7WzP3qQaktvJt 5j4rKYq7u+TEsqB/ed4+Jcqfu+L2Rp4LgEkqZOWcu3fQGB0dAmflUJotB1aOaKuy113aHTRL1GHi uTurng8KS+uX5wO0D/t6crjQzRHaucC7uTSSDnHaXVZbYOSZOpUisHNUDxFvy6JJu2IPrHZvFLko gXhy3qJaL7mrlRKI61p/S34sB36OyMF4vw1hTIZjTBiwmAcW+pSBoeN3S0CJLjUBfcN9XgKl8v+S on1ATExz/fzJNddbqVeAbDjV9CVMOj8GWCVe/TBhtsHCpHNjZQjbiLi0YQnBJEasP3AB0qPaj2z7 8xIk8U2TbA1oV6PB+kpzijzeThj+eQmR+ILbKkqSw6EqhcBcvE+jyaHhbq86pwHlyp6TcLYVbNPc lPssmBxRTHole4KD9wFAMZlFTJyzXkZjeJQWfV8Y9KLmNvMX5v11dqDzymXzXyO+DEl3GfEVFG9f ZwdhQ/maHRQyi0iUmJ2XyIgPdUrUzmkUypyWq9HnWhzCVSgchtl5iYu0dDqnRVRTE0rT0gESbNAh CGr9qUhQu6Jrn7n/88XeTsK4X6IivilFd08Ayviag5ZOA0yJ6+FE9p+XkEhTa1otRd5gwCVjfjU5 LwGRlk5jvb/eyv++cj+1Xb+QUo1V8hIO8ccMWoL1Xu/wTE4nQvKHpFt48jCfYyrx6PoJmMze/0s0 xI/InSobaQotCYpaVTi0u8wlzqmQPy/BkH5R62mbzhTg7h3bWh7swewAznky6Afevjw9K5I8Vnrm socvgZC+GYL+SBW1wTdWw/kFw6mzmc6HbVEGCcCsaDgA4OD7qtHpOzp1isSf5b+3nd7edk5MWOAQ tT4vQZA9Pb4mh3xSyfzQDTGYnEqJlpmVZ8jQ2xoipV7p5+76ES8BECdnLkvKug++dM6Rgzsbk7Nh mIaGJ6S0pN0NZTwKRS3JsdHvKpidZXkGu2scrDi7gHVm3FfL5yX60dKpvrHUMKK1hP2Lz0vso4Oc jGQ3TBmJwoF+6ji89gQUhtF6iXw0O01dfho6cXAE8vlmT5pKZfWTbcBqV1c6JZCAQEbIaymr3Aui jaW+9RDddjjaonYDT53sKo/PS9Bzz6yvCwu1AyxcTc5LxKMjmbV9SpvIYmauGximK2lckk3OS7xj RzInJ+/tgy2dRJ4WhGZQs9ZsYengCmsoSOb0+Fwxqfm8hDv6AmStWzRJxZv75+xqTE1+2gmYPi/B jr1h/1o6FQEmyfOcnZcSozYWJdhWcZA/JVVMVsPye3Rju4utBmdykuzIXHfwaPAcXr2h9oqNBS4E CH65Q7wPYcT51+nqGW9C/F/Ki5odCj2fS3j7oLrZRpeRC+LkNJ+X4qLOxec633ZlVVB6ND0vpUWt 10JMfxVR5CSS28EhNd/uGfxdTXUkjHYMRZt88MUDtvqE9iNkTM41DgEV9PNxx5F539uDyKY/0UtZ UV/B5uJhxVODIY1LFtnvxOF4+n+5shoCbpzlFgtGkTIADt+Ah+bqqgWieFYIKoKFkNwXzQ+r+OeR 7YO3qSmDsOVDeALcsamEsIEF7qg1Ee/gmhpiIkbLZ6gfJKHVfcuu5xSSiUojciYKlpeJsqA+h+d4 j847Q1G4fLJqWg5bWrp4cKhJTfihEfy7d/LDeFnGTXndwTfYOIFC2exRU3S/ImdHzIPyJdoze12c Wq9TLxuczqRkD2L2NWgJnZS48zA6SR8fD47nvb6CQqpt7ul31xthTZcXTqAsqwLSjMo9gfBbGc2o Fi9LRzHzroZRWz7cjKLOk4TiTaCdtc55ylri5mlLvlr2dGspbY+C5s52GVUBbNABPbMJ4tWGKtl7 1Awdg+9NVql9wqq4GgbREU1G377CPoB+e+wz7hnUbkk6ipu7JaJl+uDX+wQ3Xfi0dUKkLltQ3O/K R4HK8gssC+UQpVzsbYwh1efhsvblBJxS8ztZEHob0Skkj0A/hYgbAOJZE/QeOQt/gsaFafLDMtAL rbU8d9hwiMN76HwmiCiZlesddO4lFFQhqkH1NfSkN4HHAPeDNX3elmQ+amfReP3zxlKzU4jQtDTv YBPUTX+eCodvJDWLn/p3ZgFMcW03BHojqek3kwZkLw6VadVPod4NyQeDS5cZeY+fs7kWyL1Kg91j A1iiCb4JUq+MaJNVNHQwSyWJ9fFkpvfOG0VNm4TijwMFfxv4GQm+T8Soger1eWOo8fFmHekizXn8 TictuDMUhtCMg3pxiRGSOKzVOlzxIANspLpYFEN30tMHpWg03Bi6YRNkde3PXKmuUYCprNjHiBe9 6COqYxRENyoe9SbSbHOmYAUfR0iimffnjZ2mNdR+R0I47encpRmK4mgUNYA86L6GdvaW/VMVQ+fJ dlkUSI+lwmFdPvg5PWaHnhazjAnDUsL2EgMb1EAyJVNM7UAc9fdIGhIsiILWYHmVg2Ebq+5A+B3N zxs3zW7b7/JG4UfSwIkz9B5Lu7H3MoleGMpdliM8wMzZ4dHPioLpwcbq0K/Pwc8hAPcRE3GXnXu9 LXFqEusvw/qr86uX8R5MZ7qcAazXtw9W/gHgiTdZh0ZNFE2v/Z3EU/OMhhOaoCia7tJ77j5B8v2U 5XC+3R6sfk1QGE7b+ZPucA9qgGBnVh8DdQV19DtNPs/JLB1RgYjn1LtGE8Q7vjNI4KDiIcARTG8q wQxRPO2IfU0QOpaZHpuqHkbxNFqmWDc+QXneFdS9kig7A07QGyHtrl+0Xgih7jdO2WhfjHMUFV31 qdPvFfY7gEoTELOvNg3KiXzX95uMkTcgzsUHnULn9Uo2H8L8eaOjWaSQvq96utgNLz6/sdE0rQIP b/cGSY4bApr+gvVbs2T+jYxmsZBY1r354J0w8D13EmwIx1FnNr/AT6L1bqZBkVP27GWj6jxTMVBL bVA4DZGSJYZsm583LpqO+fxrj6Fz1bfn829MNK3bQj/UVrwW5G1U8qIdWZWJrMISeg+nUaZTa340 Hy7c85wW5/2XEo5UyViH3PM5/ToIN4iIvFlIfaM3IppFQ+KhC4mebq0snXBWd32u7fNGQ9M73pyM Rzq63mV7PP3GQrNNxqu+dy8IuaIRkATXJQ3uIZqhKJ7G6wJUXbYPtsn2SSnPXCPoyIkF1kwxMdjD NIpnkZ9oOBjqiLxx0CyrH2LDJB+sLw8KscBCmY9Hab1fZERl42apch3mFEUR9QL+POv+6szwr6h6 ma5HU5Hb8q5/Y6B9RUNtremD91LP6XEmabMYfcLRRZ8nyLktJpncJXebkTj0xkD72mZNGlntamR1 +H1KbfWcGJ83ApqmyCDDuusZVI3tef0b/0yLqNMSfiebIZYyZX+xZvGIejg0741+9nWV9ckeKQdb RMApQLGHVxnSu8WQMpNDAOVKoLCsXC9zhzf22Y3a6W+1fLDKR15c+whu1ueNfGbr3NcQz5xOYQwP qN+4Z1bI1gWmQxm0J/cBq/T3UztjuGb4G/XMYgbW6stOPnhpqMDdYZOAvs63ngWDXZUOKo0YkvHU hpZe9v0cOhPMigd1HzgYNn+1JKjYWbGfN+aZNYy/T+pJ3al6L/swoC6KgRyZL04VlbH6vCSPWgyx 8MY709e7MDWzECjMwc+hc1c1cy5YGXgs2fVQQAAlXSANvmyc+BnRBJFXPaiqXkmZky9zhYMG5VPH 3p832pmVwTwcSj9yREUrzWcoLE4vaoeVB0E1723v4k8DEbLN0HtAjcoxjugxCFngcDfZWfp7Sjqs navSXGXBtYfe9w8twVQ7I+Dg88Y5s9KFKh+Kimi3+SNsUFFpqJxJ/rxRziy7+hUvAonXuwfUb4Qz O4VkD+LiamJVyYTHdWgGjU20yd4D6mzoqVn523PwvP5MQIeS8Q+dC072J+IeCYp008QtZmZ7iNo+ b3Szrz3Wt1SM9lUxAsy4iW6W1+eNbaZw81dpiHWQeYuLb2Qz/WJk5JGMJihH9XiRkYKjgoa3nOOA WpvrGWyCqBQ+RH6ZhGCyiNRUkAXufj/IqWnv+mdHno1GDZZxnCBKuvPnOPi8Uc3srs3fEwRy3poe UL8xzWzZUrggX3qQGTpRM9QD6jSyHUJvRDObIOyt1fMdLCVbZ1st+UMjhzn3Hf/mJCMb7iBIFshm QnHR3jWaIBbN6lg+eLSYpfcMlZ3PG83MLvrvM4heA/sWht5YZorCxaE2b0/4c7UbCiV3LmD1l1vs jWSm5b+0dJh4zEduBoACKPJQBGsCBS4qeoctEoxAQRG+pbNi7xqkZNXMQYYPWkG1VxOePZv588Yw s0P6u72KlDDTLFoTFIXTsNyEm7f3gLLLFcj11nXnXa7gjV9m63fz8GnDB1tBUE4BD092jed3McPC ySAEQhtULJCeKpUv3uhl3/nGJl56IA3mrwWOeeW3+XOiwfJ5o5f9p+zB2cRZJzwZZyiKpgEcR8Jh M1RqNrBL3srqSQtGk04zFNWnE7F2K/EI5mAz1BFb8xRFg/XERZ0zdMJY5I1nXi9zug9706gBbRZy xQerCp30nlWWE/bOzxuvTJHI/s7pheGqd4e9h9KSPEED3vsbVAvSAlr5SjlsNyh6Y5VZHoCtNRb7 Gxx8h01wBkqX9uW5VtswY2uk1OfyhEueWV90is6/kcq02BkAjUV9GQ4OKVtCup5LsX7eOGVaCbc4 raIH2Pfdw6A3Spndztxh2zGbu92Eda/k8A7I5TBhfWOUfXVZ4Xzgww0UEcdtioNu6HUU9tJobtzY WOrzqhUyp/q8Mcq+Cos99e2DDumzia1utibfMdhho/+aIXzkrZu90cm+4EFpTpuhdPsbSc2gLkl+ g929scmsk8TmIe5eDOxYsYM6zvIrgxQWZKvn/wiQ3gnlss0Ie0xP6akI/Hkjk936OxnAopZDRImx NETZxXM5V3n/vJHJ7Mr2LiKLSAsNT0ptaI6iYLrRgsdd485HDQdIlzo9VkzJS2dvXDLr+bM6Xdsd LvzuZPFF4nLr7NfUWZ/NFFEFHYW66Fa+Z6z4xiT7Pqc1RQPyGIwQT/J7jjlWT8fMfP5fKSsx5OjO 9Vu/f+OR3e7jyNTV5ipaxc32VnJC8Mgo3WmGovL0pG56l/1Ff+wvYPd5gulKjYsTvFLUCfuMLXuk MVTRsUVEHNUbicyKg0J7KKdfntMXBFhqcp3f+vPGIbNX9B4Qq2y4CNvFCr0xyPShwthbFiY/JxGA c3XPtDOBbCMCohjDPYhimKwOcXAwA6y9QSPDEjrf9M70/IRawU8HeyFT3E1rqBM8/kYg05mQVA9y 31hHyg/gQmn6mev8vPHH/tsl+zE0lZzSOEMh3KOApzmGqIjC/2Ze9QNk1ip1eSDxGpbQG3vsXsRY OxQA4eB3GTRfOm/VxPpHo8gTtaPbRrZTH+y4v+tfm6yRUq1B5WlUeOXCc8Lyzxt37LbYviYIwdiT kL1Rx7SEZiXNZxt8nOpVlN8/U7f1x74JgucSisrTTXpNxkJoj/v5WufFWkXzFLghkH6oH4i6VQca 8xwiFwVMf6zPG3NMa4C+sexz2+CQqmo8+3NhfN54Yxf78410xSt1ryy+0casr0J7qyYIORDBTvot 1x8Vd5n1gN5YY9+brJQ7+Dm9ASiERinvMjpfUAQUBSSK0yCwvW57Qy8b3PbKOLJLyXgBHyklmyoo Nn3eWGM3WLBjiOBP0Rn8oH5jjd1qFODjXhfa3l1Fp+9WzvY2VN4bacyue6WigCRqsEWEOnTKy74x aOPyLG8syQAlRQVQJa3AbfNd/8rq2yp3sKx+wcCGeVbNnzfKmNX/v0GdgP6cQ/Husqg6ja3dN/kg XEMCunINiau5WBcqFg+9EcYuFuNc8pNriINlHMi5adrwQ3/vE18LjU9tPzSQ820BbSKG3uhiX/Mz k/qsyfusiP3TFFJzj88bW+zmVl/FaRxAo3vO+kYW01OLQoRL/TDA27r3WVXSR6d+Acmt+QkjarrI sQhtg9fNztyf318kqPPkCfNMRh0vhFBkXvPqXrfeNYJU8fRpVLbkoAU0x0zyFTqH3OeNKGYd0/y1 gOh/Pp8dFgXU2MknAJpORqBVjqoeqV3YIiWzucPCgHpya23eYxz8HluwDAJ3HHJfC13/fScoo4tY 7wQN4u3fSGKWVOFunnSZ1WA5Wetdv+BJvT9vHDH9qr+qHrDELaV7NN3CaHoTlJe86sH6iijQ48p+ c9oIymshcJpIsskzRYNNELj06AAxVqzwjJU+eEI/gJQA2u011c2S3jVYQaTSj2xntXNjTzpEwS7S dTMeD2LF/t3dqNL5uWyWMJgGkb40O47BBrc8DJ6xntXDLNhWUFSaTsVixTvYBK0TbfSxLSU7V/4g 9zcT3IC6GQnqXnrVDIVgD2KnZ+ZFz0GHECqWVWCPxMeDTn3xuockwRY0LP2i73FtmsKDBuGECbQh 8UoSyopLqG4DVPX3aNrtLOYmXIiDl4XGmaPUtoR1CeiQVBqwy1iw4PF7MM3CWQ+JhgqBGtUHOVhl 0QxdAD+qeDxCBudfSwi7vvkh1KNgetAcjdIJAr4mF0JdANRYZXEW60L3COwxVHrVHT5dlAnAMpzR naqnCBPnEn+hQWUgIyralEbqkogZetcg2yBnY1ShFutFLZ44AiKU1H2beDy45uv3BA0KIN1so4fB dNHOGt5BnN5jzf226ek1yWusR7VpXWPdko55YZ2rAuQ0J4Np6sQ2sjfWIKodvDaUw53MW+xl/yoM tUZ+QpN4KqPpuZb0BsZJWPB8ABi6mDyGIRTxbyx6aZJC1qEa0WV5LDQsACrUujBMVScls/PnRCkZ U40hLMN4sAzQrDoJy1LGgVYE9eInjDoaFTZrf1BnyV42iIakeiJxAg46iMoYTVJ6s0w8HhzVt764 PRqSBCo/NGIegtGIhlz2nMxkrCET65ZWg94czMn632gPiCL5cJtkA6AgRkMT2KEyZM6cgK2qUO+5 FerB8mKPKtRQ00bBw4ezapRwZJPU62fv4fFggsavwhBkHWf1cLHH4TQQDM0UBdCHdk2vPIdIdwgX UbbgEgrphyovljZ8uIWhsy1OksEJOkHQJsEub2RfdKCGEoofRPie8BlBVk88Xh/yHRp+2Vfa0gmB j+8wiqfH+s5ZK82hL9ijRxVqqJMNVusl7E11AqTyuKevSDyzJ05QHE+r/U59XA6+x1h1pXVdRum0 NhrED+DSqAuQkYB4l4yS1T2qUNesOEhHdfajuq0TDFXL6icej0Bn30c1/EBqf+6y94Aa2GDuMYor IeOAeIhpVIEKV6wHhGoLsvoeBdRb/MMiBmu5DNbVO52m6V210PrBQoAfA2BDqC0O6guKZ8cCdY8K 1LrLupUYm9OAzsXQJg+hWvPE4//CnBWlbywk+QRF4GmYMfTdmFFAXXOZ4wBQeSBRqjAkV93OnxMt Ie6xTSWcvhXf4jY9CdnZaA03+DmmB/ys2eSAwlMHPoUqCtYmQ76Jz4iiIdFbyCjjoBVUHDtxbsWN x4MVNPZXuJiRUNHmzWYojKhZwGdSTN9h2MWYC2p3NThUm2Hvmfhzgk50NkGK7YOnHGPCpqqYkGci pLORxAlKd2F52Tutncq5PUJ7UIPw/AQqnnPQRbYrha5Qn04NjwdrqPlFpjCK4g/bd9mIQupJPmLb HhCVm7bCKst7HET34yYbUYF6Kl+VY9V8HKv22Ujw4Jb+Aqx4mkEbAa0BvhMQpWugk/WuURuIu2yy xsjBiosQSZ0qnWU8HoTU/bv8Sn3+eumIIwqp1ayv028yfvsqfEzWQIjAh/+qmOJxfVp3/Co+OKJq n7DnbC1W8PvZf428aXTYQXibP/MhQy+aw42QjaiQGogjG5yisHs36xw+/r80oysijFq8cjZC+HQC G7ElE/Ao6tpTH0dSOZPI12KQsxHVp7OAMI2x0JKrPZ3fzjVDoBkeOzFj31v2DOSXQLOa7Eu76sHr x2cEuM4mA8YiXGcxR5h6AnhZ34FDg8ejDsf3VQ/Z1jyfCYrC6UYleM/mBxlNtsWKSTGj8FGt9jqi cHpR+WUqaZ+DELfB8vQJJlbqgpy1AYChta2hAQ9wZ3ou+on5idEePJ9Z79dgiLN6/ovsXWbD45EU w3fplbaCq3uPbETBdCdeKPVuRxCddcwUtufbZnWa1IiCaflzDoknjUcof4P0cK61TFHqev5/DEnj qVmxaS51W0D0PR9RcTqzS78axd8WWchbe+zsTf7i47w/ng+W0K/SEG6HIqMzTlEUTiM+e4xgAUS4 OjCr3Xxjuen5iMLpJVXzShFBDjdtBbwsSfMN6LlKUnDGJVtR2mQX0crTJNWPEDtN0YHRavJB4TTK TLwWzjcz8fj/cgiRJ/Xo5IwQOz0lQun9DT+OftE18/Dy9Iiw04WS3TNR14ODJ2QQ6mT6RaWcCaIU +2iYMuqZouhsPbJe9a7BEuLxPOVOxUGn9IIxFcthuw88HsRCyzcZVwvAVOMWPkZUnm6SZbBSPTjv NyGr8zai8zaR5RFF06p4oFmlga/OCcqAmmfxpEBMkrdXyfTjPncJApTrJnh+PD7jz0gI+8IGnUIn aGs6RdbaePxf0bRKZygnFC96jDCa5hZjUKEt1i/Tbg7fYk9laMTRtIwD8vDBt1iHy9TiNbZybfZX EGSjUT4pQurn9NYSCsNpRUJ1y9+0XHA5GCIMh8cJ1/F8kNTX71Y9oTD4Ym2KwnCatPqyxg2FLtdu rO11Iba0uYbCAjV/8bNF72BTBHTfub+2UFXnPN/MWVfl3wRgFDGplfC3ZHPCAnWV0FtPPiglO+lG IvbjbNqCx6Oyx/cxRO3Bvn2XzbBAXTv3VrW6UB2u947KuO8y1OU4QzOKppe2l8DTw8HToGsWJSy8 yojoZSzEE6CiTwE+tsPOmG/MEO1Br5c2iYfh4NF0n7z4W2oNjwcT1L6j6YXyE4NgTVAUTSNMg7hH Yip/0nuKVBQBO/EvuYRwnjIjmyEbcctqWUH1mPecPlHriXxpd5foc95Jq08kxSDywid6+RVnID7j zwp+VRuxenGuAa3NpgpczfD4P4lkWEGIPEfywtmMoumEArXUecCqh16tVxbV10gUpnKq3YzJiKws oi1ig6M92EOqFFM+lxq0vylqBowVYBo/m/Ll4rNSd2CG9WkRE0YZPmiLrZOx8BA5O7ni8X9JV2Bm u+uG2QRF0TQs9hDNudFLu0S789W7ETXoTSZOFRenCXrNNFcc+Zorniu4nbAumXkw7PAaa2s4pxXO 1qsRzGMFnxFMEMn0dI+1QRMEKSTZvp+8A48Hnfr5fQap/31VB2YUTtfCGDRZulG6h0InAHNnc4CD 7aafoSxeFSavVh98BQ144hY1yU7GPQaLtLBAwBLPP3M/8HtsFHxGpFwhoclZfdAWO7sl8xrD2sXj QRfxIs6IAQXrFXehTVBYm57Ix9a42m/ZM/rzzWQHBwuT1/lzgjPIouhUfLjoaWiVmjbw3LW2JcTZ FiTmB167HiuysDijYBpiY7i+xOOYLn7SYcVAtTlg+vF4VDfzbAMvR38PzJJNUIT1AAEaWA9FiH13 phg8g4odTIDkuTvAjGrTSli7Sb/sx3PyBLoTOrd0wi0r82BuMv6kKgIwSVc82d41OqSrgsTpg+Pv 1+YhXedqeDzK6H9RgPA9gJBtExTyEFkT6tsz+u13O1CdFzyNdiQz1hkq5HFmRmfJY/Sn/ZPBht5N wAHQvDcbIJVi41BsJqVeLDta/uAzgv7YUskj3cEpQGVzOdW1+XiEpvoVTKPfsi6aakZYj4mINBFY opQ+16fkcauKSCJ0SEfBtPEPZ88++DU/ILrUyZegI+Qspp4Dri1+m3w18gYVqmYUS6toP1pLPijb GCA9sB6/esfjEVrol74QxclvQj9DGmJDYxXyv3aLFZ+gKnc3kciqQRZnrOvBfFVSixycoAB+75A0 8olez16QyhcYS0j0kYVfGuKyd/0rDpqJlxkHg1PNIapvPd8qHv9XoMigEgIp5GhxglYUSWfWpYtZ b40ivt0iT7NtL7vmZTTEFUE9RhGkfFYfblGoQbKUuIGTFkMekRJeO9FiEjv40uklur1CqMeW/pJs Aa+hawH5WhZc52rE4xEN8TsZA5qu1n0nKKxLV51B2a755HByOD74NZ+uKeAKoR5dzI28fPBDGrRn 4n+RAZ+N1ZaET+YvbWDVpbHQ8RmRSh6vr92zDwa9hxEq46Czo/F4hDf75gCxZr+v3sCKImnwKyFV 4YHiXBfq4eqUiIO8cL9CqIc4QJPNnzHZHm5eEiplSuMVoVBjI62i+lqGDD++yeINnxHVzDAzs7Iu zcEqHmjgVUXSA4//T/1D1MzmxZutkIZY6FBaXLKCx6vJvJpcDG6xbpDXFcKmu0gJKnzMx3rzxLiw BGJ3bGKFJvPoBrV185B8bLco6rHiwrTgHURNc7glISk71vNnPP6vM0i9RlZe/ZBesb50YtVVSFcw fSwOKiohKJtH/4Nx0IpZiAxkkxrR6RHCRToB2ydm89hfk1lbQge4QTkNmKSrnDP1rkHNTBHiVkWI zDde8wAjLRncnVRjhWXp5oEilh7xoTt7QWhFkTR+sb6ohI5AcZFTzAmqMt6S+BKLip0/568t1kjy 0HBBDLAmQZ8GdbLZqCgIXZgzZYiyIXA23NWGYjj4kAAHo3pr790HyzVOllu1b/rA45F++y8QAwIx SLDbDEWhNDjMUEO3XANYJz+l93Sd6QQnWO2xMJQWRbMwg+DgHWjoZozZlGuc/9CJCs7VWtY/wEpY QQh0BX5GMEHiIRYVzgpJkcg1TjZciGPBYsXjwTW2/RoT6AV/sd5QcYVExOSWtqhtIFR0h/uTgFQD wtCVj5HQCnHTso2ScAUHj6WBVIMFqbo/54IGd6yIsgGhHJBavWRGWZgVxdKAodM+8g7aZCcL7t0q QnzF4Jj+FUuzhTRvwWOFuGki791jG2lFufeYwztHAfddSyguTBMNXZiUcbgVoXlOj9WkIojSdGKc Ri00HJMQLLEGK5Ux+CERcFoAj9p8UL464IwspNCqeDySK/9O6BsRWe2e02FdmsK9xWco5XwrHkJT S6szG09zhcE0LzLWWGxwsBlET2Dahbo0WD+VmsoD3PlOl9lSnlhoDL1s1P0h2uy/UpSwoVyCrZ4V i8ejNZS/r3pIjqFpqxnaUTQ9aBo1q1Kvcz0sTzeKq+lkVQIlyh3SELcwQq364GvobKBagY7GChkK K9g/RKUD4A/kZN8O0juKpgGYhSSe3JCGF+7JohTJLg0+/i96i+IeXPcX5bHfo2lQyKhZMRQ4o4Lp wWKZX/bRLsu9Y5MW40FvH+wUShWYhkEx0zNvq4AO0dgT/YHh9g/JGOaLTMn7HQKn5bDNTEqD1aVH 3+ogQxB3v0fTv2Td8XeBVK5X32yHwOkOlEdt29INKpMZX9zFGQYdhzVBYTS9ZdCimtB8akK7o7zF oAOuY6VVijTVTK9IlGCfm55esviMYIK4dBagTDYYQWr2Id0bxEI7JCH+Uj3JaFM+yPIdkhApCzMM RD6KNPLIqdgPww6Ke5qgEOWhxuHQPTbuPbZQYpy0C0FdGhkrEY1IMxEjLlPqHE/RbEfRtAlRyjyB g0HN+l4qm8498XgkGDz+k7CWduGKO8RMZxRbvfwzcnIDm5JVSVPhPhk3YYeYacPcjzvcLYYmRBUV OuVzaLP1U9Cir7Td/XKxZ+F+x5rTzFQFEOFg7JaTzkiV46T0ePx/UvVA7kLPUU1QLJCnWmJzgpR7 bUA3x91GaQqqCQpBHrRBHqrfj4tn3kjIkGEsbrENup11NhCJZKye+aDusanxGUEkJMDrtsTeW/TQ AassQoEnhcf/JSzEYBq1hweruCOQx3lhrJt8Vcv7vcXS8C2G88gmKBTI22bX8h/vhA2FB9w5WYX7 WeTakpEPFQBtcAFZ+3mx/byjurQpLy3zPxy37NosOWPzcId16V8YBuDoC7m1mqAwlqYVK9WIVVWc zo/KLd8VBOFITVAM8pAYDonyHKz9fPbv+YqrGGQZ+BiKDFaajLZzjvTL2yi01cVnBJGiMOW67TnY CmJXktd8HXg8yDbGd1URpudfukI7rEszox912hYjAUdbLJH6S34ULWiQsO6/Y+kxxPIdD1P8BGS1 CtEFVeYtQRiQ+nBsV9ixXxjVnnrVSFhok1nXig82P2cdyjjhHHJ4PEpXv0XdsXbbg7nfUSTduuSE +uWPWXB4rlxiOW1+ls1PhPCQDRuQiD5cEMw54dakFO7YC/qsNNjsjGnO5yIGvQqUTe8axInkh1Ml 0wbDmUE2WtJdaeDxqOpaf93y51d6zOpI4nn/VPA20jCdE0AsH9A97/6tXMNV71MYSjc2LKQNw0FT NOHcnGG19UO14MZqJZKNc7V3YsqpP284IYov0bTovYTFvlhlLfsMwL/zzj6LoSf+EWwF/oAIVn7b G1QAQXVh3fJ9TqFKHti+GRDkTOj9clILkk20feQwAXqKfG7CiFpWvqncwZYSEsoT87JPv0H6hFIA CC7wfiVgHR1Kr+CPba8bdOrVgW67+GBQBtTwKbU4WuPzQdbRfpmQoVVCwUebpfeo+jwGiY0t/Tfc bQIzyKfWNZZH3tenNoXg6SbZ4LR8uM36dsIX8texmnA0TdoB8Ys8Z94iYfP/Z+y7smPZkST/cy08 c6DF/jc2MDN3RLIrnPf1x+DVHQYziIRwYcLky6u9bQSpIq58T7U7zqlHUPnJPE7yyhvuLNLFXxDE RVf1tepaQ3BUnk0XVaoZOhbCVZl8NFevON/ro2G+XQ6O9oHvv6iKEa5pSs80QWEuZ+kMnbnJ7F2i xw4daiQlPP9uArvtdSP8Ip2hZ18+6GQaJctFE5ISfP5f2DOsGzQJa78w6pyiADvJtq3bYuI1Z2yX q382Cq0ZtZiiejXq8/CJFPe5j7vlZqHn+OSWA8l+USlm4cLsqEBntsIExWfWw08Jeh6sgKD77IOB YxIIJDi/N/wUUgj+KN9Bdiauoo7nZIqL1tU60pmpfmWLrFJAA3mwTib6cWsxhVVrA1PLJKh+udUu 5LFkcAHGCFlH7jmQYDqgqHs+ID1GAfiQCKVnBlPDB6tan/Chy3UibT7/Pks1/WInMqvM1x8ohXIf o9J6q5NG1jdCZokOlTxtvvo59Jof31GsPY12Z6jYR87i5GpogGuSGk0siPWEuhsUGX9otGEalWPa 2/6py1SIs+JgUMZektxZ5tZLRhTOX6f3pJfHM0mh5AdEnaGfbhtOoHM54JQHD5usR51TWLtmFN0y 420OvuGgo7cnlU53PVc2eLTYcKg/Yh/25oLdha6e/JCIKM2yEYlhqUu5FJO0nErVz0N8PoLreeWR 0TlS43rVcqlbGtys6lO7XeKqXr5O/XpxJTbctZIi3Q8AzghESz74FdeQ+m95TUG/OxGaX3DYl0VF 88cER/bHKdakltJgmT7o6D77u0t/arTJ54Pt9ks7Bm3Hti5DSOXA90lCPiu/ehIZyq3x1+KyBAXR kk1SVMLW0Q0OkA9eXlsdHkdJqW0H+ZWtRvqQYlsi4BSiqNDHix8SlY+Y/BOMpMHaIJB+Z+Q9GFOG Ti/dT27OKHbafkzdQuvEKQ2rZkXsJY9fYmZS3RfxsJIbA4aYEAkxDnajOVh6i/lpmwgQ2E0tGkag o98p19F/1ny4irJTCswTdfCiBWINEY8oCyJhcaZpnhi6J45fhX4WP+nzbJMUxd3QdRs5XU9ktFZN IoVdTonIEFGhSYribtGmByizNtgksRVyzlUy72cDgVr+ybhHB3QE6vjd1c+xfaKutSLzu3LN7yD+ pLb8HJXPB2F3/j646adb+7OSwrCbdRLZcTHNrd3TXHpVK82lmSj9AUMHRaYcZcpUioM3HftGNZUy IGOMiXIJm/+gP252Ua7rONm9/JCoYyRCFev9yAct4KFGuPqydfD5qOD/nelCaKCQmmeTFBW0JRnX 27ZJatWNXLNoeXQqvRrMOXRRHMTqL7E+VsqPCPNJAE4csLJVtJeEzuGy8UOT27YeVT32rnNgo3i3 W2fpn8NF8UkT5NySlc9H2y19r6TGTuWz3UJdPR7cKXlJUp1+WXEmR6NXvLxNUkhe5OzA/sMHX0lw GcxDaOuxTwbHexD1BgDvAMVd+4EZDXvdCANh1lNyaad4P5bSRB20cynBjTM0Uyy/oGpgFZQxn1mK 9KpBPkYgZJVtxMa235BE+FKqrgifAzfF6+m6yx0cBgEURwb8l2C1DLdhzBJidGjc/8ALxg+l5G8b 7TfiHfuuPmgpJSBpuFvOB/H5f0npKqHZtDK/kxRSGDlJNTsBTcwPOZmV5gQ0pW9JvyiCXbONTb0P DZ7kDtw9bp181iUQYA1aaGcgTw4k3MvR89eNKiaCpAuRdU3bT2TRZGl/fvvm89HR7acSdSwxm1SS s1kKVUE6Im1S2xlz1+Jan+eE7VZ/y0AE2CxFHjDiBf0vFfbcO3vM1jkdE4HJoE1MkowR4u+VHsGC am8b3W/UkesSZ+7eqzqH1ZSN4/k9ej7C73+DjtpmBtfvJIUxN0qFa/ervtOc7FlFaxQNbRefpLDO rX5/6suHi4gYc2eW3dNJPWDdRUVUnFOoBkFdptwctw573fdZ6nLsGuprD4/sT8ZchWiGBTGfjzKT Xy4MAE2vp5QbeivikAfv3EWcesu2lBAsiZYGF2Gvl8TmimyjjSaMVntk9JEgdUCvEXRTe0cQWlA3 GkyASFlx98lsbxukbzJcqnP5oKXUWFfiFpqNz/+XzIR07vYUlUJ/RdwowFkLRgMjx0uLlf8AxQsY mXIphQaLS2qVnVD+3h8of9snM2m9qhCQMrtWyEwwSQC4sJ3vIMhsb/tX04Qy9Bwsx0U5hhBl0CMz n/+X6h5DR2gL4a/0SQqL3YwnVbHdzFGqNQX4V5iY05zdJykGY5sQ2PLBqyXnT4KcC9O3BstRXoGs egEPSTtIW0lUBOCHRGhs1iUbu/8crPDWKqGcWJqTz0fk4f49ScAuIqn3SYoQJLCMgPiFMLTQwis2 SRUNdlaXRgVaQ9st8FlUYAoaFSkxHDzHPW8GbQceSkCfwW4JTpQnlaB2GIsBPJMKDY74IVHdjYWA Pg13nH9IHYB6WVZZAL60/AURHPI76kYH97tvElgt4n6j/dJ0XbDl/E+oIEw/lBgza5ZCVPbQ0a3C W/pGZaOlkaDfAN+KNuUgCITezwDvPM98qSGrVHvdAAfA8mRjYwSDBZQNS4mRzgkx9JYRe+YX9wGk ROxxn6XQHwZui0VwY2D/KCxBnctJ8WTVcBFPKKAM7BZdTHbQEULDBZPAw3HLIOaETbNq1eG6EKJk lNvppnpaju0Ws5kydB8UUJ7vVhIrMBDh81E/4JffIjpe86LXc2i4KMmHNb3ZPb5yk3wJEFTu1CTF gBJhtrSi5mOXJ61LQ/2hirt4KhUS3KExJ83K+sg45tByMSsnWTzBx3JQRoHUJVseyOP4fLCSyi9H QRSf+uWj59B0EaRVmA44W/ZqPVBx2bGj23FtOXBdRI7DGoCKIRxskk54Db74YgKXZmujqEbXeMPA Fe5aWMi3M4eui+DDAa4zlg/W88b9qZW0Bp8Pgu6Lu2HjDt9MWTfoDn0XB2ET6P16DXf5SpJYj3wX 811JsVsMi0rCaj9ZOthY6/xPFq/ReitrZIFw8S8AmdBYQJOEU1xvG0ySEfaNfH35agthHdcBK2+h 82KZ/WuSSJTeX5FSFHTjtMFKsu2WeXo5tsTFiQtFo1hUCrwXr11M2sMH326jQOiJ4BLoqyx5MldS aVjQ71cUlEqQ/JDgfqMu8URZygafJJcAb9xuoYLI+EZwcQP1+nUovQfdKCuy0l3HRZg0L731fRE4 KEbbLIVBtzgjqd7B77fRYZhqlW74JgppC8QqvM5/iH6cut5qt7cNlhJL3LOyiMtBhxJQbqxPAtbO 56PG0jeUFDQP6ofbJIUejJNgW3VygQlc/VLX9u4Otk3bq0qxCSMbS2ON4YNXumuHc3enWg/O2Mk8 uEF2raCE+gWVJFw7hyaMIvcBE+OD5qiRmMs5GpPPRzF3+9puNOut7W630IYRSSbSf6u8pVHq3W7L uwF5uoleDnwYAZGhGHiSGHjKt417jqNzUCzhSTP0G/COZ7sh4u2oBvRnkka3t40gAcQis+evQdut wgtzK9fQS0aAye9mdyVW8hoN5tiKMbNlMozil9nLlINVrttvt347lKEX42BdEqYwPthuO0f1yXuo 3Z52B+0xMxVFKNfBKCRK04HtanaHboyU2UlDyAAOykxO8KC/sZ3vmc//p1kCFrHtZ7uFREj4sO/s RVy0tLyxtC5sMs1nu8UGMrzZK9V7Wk03UDoX2T5xkGZpNTS71cdFyWBBTWBcPj/l6PkhQTS52Js0 Mczs6Q/cW0ReP3tYLxntt/kVTRbmJNeFKMeWjExMhG/vt6PLM2kkx+BA4tOXUqx5zRMbgCEbrPA2 94nYCvmm51pAIQXtU0T0ZwDoKLMzbzWlvOx1I+10sUi44TgYBictqc/WhUZuYMyYKN3yECKnQFI3 nAycGYHDAg9SvgQbPJKeLMltUEZRkkundqVvoTXjIHSyQlfJhgsuQQg5BQ+E7U4jbmJCSKRDv43u VKrhrtTtbf+63uSzpUH7Dbx7/oknwM98/l+Vbp7SgIOur6M71L4upBsnx1AOB0sUYDd/rhZd8kmK wCVNqLdOBRYOdioNBPLDFB83qt1EvaELrvIkJeasHbC6ve2f7bchi9jh+w0Gq+LenFU7+HyQmFy2 hO7F87/W01kKDBo9xa3ZDRr77E5qG087APaqtt/CmFs3+yzNB09xwZAg0ImYt7nRJwHmbQHniRie 0hi239Ky1w32m0puEonoVySiw3dCMiN5dT4f7Lf16+jGV5Ov1XAOLRonwVyiBJCizcxE7QBiKDbL k+2eSmHQveWQy2CAg89SAoNHpin7/KLMWsGZJbjBo+6VeWtbfbI3e90gDNjilaw7GJqrn8RgMblf i88H8WT+7lLSIvjcGs/hHeK6E2u3FMWSvXc2zBssyH6qjqW8jMmeQ6NGNeBqVuKRb4FyTsT0JKSe 3Xb+lnOA41hqAAQM6mOyQW3R0vbX/YtFCil2H6xCCYKSKIB78vkAZ3obAgKYQPLkwU6EZo34duBh 6QScq8573n14M5e8JcUBgVsjAgpaVssEbH6bgA3IoA6C3gZgV6auBaFyMnv4zkpzB+nsOXBrTK6z OhUsTQ+WoCRAa0koXy4+/18yuIJKV74MgRz6NU6C3mbLXnrzBA4CdfPCAsawBC40bFRDYOy0fLgs nAo1+MyCydlwWe6g6lBmgC7TTeCGgqXAsdGFNUYn6ZaDNs5UWJEoIM3ngxvugb9rPn7KVwLXorgb Nikj3/okUVaW5abiWS5icJ+kmC5ZVCm5w214j7mg2sdgCR5XkwpRJAOTf4JVZHTJ5G8bYScEv8mC mmKPFgZLbdFUIFH1k78gIgl8197aEjHjzlIUd+NYoASMhZRzOeqN6A8TINnTy0qhceOgbe5okvS7 HQ2ERyfHPacB6SZnecIyRLNEiZYTLF0pPxg+6GWD1tKS1irFkDjYdd6axAaAJOPzEejtl6ET4tKS nzMpFMcmc3tsj5VqcomN4lq1CANcQCL/4dzIdklRGbs8oG40N84voBjb6ufm64SipELDmIl6yT24 Kb3ED4miboprJOnYJNexgeoXM4eTj83K5/9lYsD5ADJwXTeeHJo3Nva7iXT4f/yvcvvdu5nbDFpP HnUH7o0o4hbR2YYPjjE9EfgJqmk3swsguZXId2TiiMZ/0Ci5oLdlbxsRl9ikVKW730p3Q4IhZOBO lc+/H9xrf+82UJGgDnQnKYy6E2XoLe13B8cpIwOvdJ/15bWAwMIxO1p59zs4KKChBIBbAIVWnLYo kkB/7Xwy2kv0B3VGDlXZcmDimGkJDWDJuINmqeyadIPPqucjqNIvXbZe2E27sLfQxxGUdEC5s8Xd ut9oZ7Bm8g3XLiUnMHI8pxsjyrPu7+Bl3AQZ/p1Iw4UIWSdSp1Dsh+qH67p+S3ksB06O6F+ZUn/2 wTZcQo+Ps4QuZWDlCBSOz9Jgmks5QJ+kz2uglPHdILcdxi7tjyLbCbXc1RFVS8NzvYZJGehJitc1 GRq0h6h8kn0omwkSAP+5zWsQXO4fSmXDuMr1+lGL+rwGSRkAGtkUpuKDdZV2o340qFp4+mV2MgRY tdOqzuxMeOnmP5wvJZocColTA17eYMNZAZnBkMXa1cEAweSI9zazrAqFitbNf75SqIeLHwiKEtI2 WB2j3IVPgBQcDEsevPvr7KBTwtgxyQchOc0d8Xkh66EWlG5fZ0dhg2ZH11+BqFex2XmNjM5D6ACN XLZpiMuSXN5yZVvuNhrrOpid17gIS4eSs1P9JA4eYU/0j4YEskl7lo1sgycCDRLyBd5OQrhfo6IM wM0gx5YIAA5W14ZAldnsts9rSJQVnX8tHRS1aPbAyXkNiLB0GsuPNVlANBgrzgcC2GUKZuC213Ao o3bEyanyMrhdiw03QjpcUlYUEBsa7gEUVr8l/YRKLnrT98kxWjLxS2dqUIomPKLMM+tscJ9DLH9e g6H8uFhX6x6BTYRtzdl5DYXw0MLdvppD29t28nZVF1LpmUPbXwOhfHsiQy3/8bT8T25WT7a2h4q0 YMsS8bcJhTgZM9ShfF81mnxHp04xj+/tg+6uDWNslmj7iadfgyA+vb8mh1xSmt9wcl5DoIw+dqJ4 hE3OCbhui5bOLVaerUb9fw2A8sP8l+DqflBaJ4tY80z0ohLbOhs3SfQZMhxIxjLze95agHbzVd9n Z8mqcc3sg5Vmax9yKToJ4Oc1+sEqqN8bq1EHN/mp8xr74CCnJjbRu7jUsxSidOokj6IrTJlk7hDN DnGQs+jeKpenhaUzYf3IbGwCYUOKSeHGQgONNBtLWeVbEG0sIkZnSskHTQ6YyOQztJXH5zXoybIG t8lhjRvFAwBNNTuvIQ/OZFz/CX5TVmos1Y+dsW5kCOSW1HqjM1m6I5JX4+AJPXC0fbOwDx2bKegW WSqNYgvpsbhiOvh5jXfwDSzurCGwyOU3naj2LFBOz4mYPq/RDm+N/H0oo9tESjhn57XEmOWTgG5+ sp2VRro7a3Rn1FAnjUKrwaEs+sP/kmpPIALCPwH++N3nb8qwIUQIxMvgh8mw+xAS4P9aXsyyjUDB jKyadsmi5546wRqvrLPcP6/FxSxpJpudZHcWVL1sel5Li1iwxIbsoT5ZPxHA9lPZdTZQD7qqNdGp TDItcnQfHD7TIZrYGguLs6JeXenSiKIQKTqQJ3c8Nq2JXsuK+ArUxs/9DoYzbmx/05kIT//zzmLg iDbLKL633llruIwTHYeXFxXXPZiLGDUoBbFsY9S+aILkxVxENSoP1aiDBgSYA3bXSR1PEE/HC/Hz axHewSU1st412F2UififVj6UuUTuaETOROHymt/bK0+SMTzmeWes4VuhqTcZbUwmKImnHnW7fgUF u9vIIdEEqfrzfwhrLJX1JddSNIIWaotYQXD6hm7ypPOMd18pwv55J6xhtReRQlL3wQr454cJVT+h Kx8PzmcpytgMLRSL6GKnGXqPmdF6LawmXt2jdVVrRnIgXy31wq+CGdrUoZ01Zx8eHN+5lfIkZAbE kNYk68NbiPFS9nxrKW+PouZOw4IlC8J1LQjrBFGIx0pDmew9bD6LoXvsI84WcPLNT+h3qhqO6EZh qOWgom2q2Dii840MgeRQuTUKnFEfxszM5oPf7+vEyomHYYKdHP8TE0SecBpQUbkXWBbIIcq5klTp qHu0ytU9WueGlAxtWehtRKfQ/F5BjbABdhM5Qe+hM07X9aOcUytoPPzivX2Cyrp77D12zlZElEOu Bku7doKW3xA+/ZzQs6v3QZGMQfUY3GFUzkIPie8aHUJiFJnzRbtAUHA1eAtB3vCdomYB1LOCMnAo tdU7Q1H83Cnfh80opEzxdmuB5oVDrtgsZdb+HkDjuBfZkVhZDp6YNvzl00wIoUcrVWiqMkF45Eud jo4kn3eCWhY1lx6E3QfOEKgqSfdYavXzzk9DqpDb9zGNjnQvN/t656fZ6QFtqGSt1tWcCZJTMXF+ nFLetY+C6M6tszJ5/CtfHj+EaNs5faiGvc9BvdVsbBhqGQIYeNVHRMcoim7Voujsg0XRYBTxIjvh +uedm2bZ7XfdZ6Kwco/pKIwGYxkCO4aQgWC1d+xb/0rBnOUYxdEmLjZpGsPBL7IKg5pCoV4YGIPs zgSVARB+L9VSTOlA/PT3QPqs06UuKq4gDYZsdBJIWX1+3olpfEdfQxJFwEc+V/17KI0lpDZ0t2ZG 9toqpOWshAhaxbAlFMXSg/3VVQne52CbbI6TKddCW5AFNect1hGMrEqhOEx+CFdb7/o+QdbKAPLG Biv/5F0VS3fI00TB9DYoms0rbg7kbzZBUTCNa8StBydvenfYywVv4P5xxSYojqZZPNwUX+Hg5zTE yElj5R5DZMSLDKCwCnawREQFIZ5T7xpN0GZwQPLevjDlfFapGmKVWIYonDYohu0xlLey+DGsHkbR NKrxboQ6r5yoXBp9rgAtMs7eOxstO45hbNGtt9GtYbB3Vg1kDrXHZmtVpg6sIBMPg6zR9bKG3jU4 pt3NofugQ+ikHoOhxQmK8uedi8aA/5aB6IQFfGpffky/c9F4dqUrksmLbN+manap5yH5BhZY38Np FQXomDJ98C7PwASJo38Sy90TyyGJimKQx8s0J3LCXtHL/lWeH5XHNQeF0wtVfkaLs83POxONN9lN ybyOCO68T1FUg540vlguyo+G3o+bxean7+zH0DsRDW+vSkcTm6E9bIYzA+N8gMSeN9irONLhngVk FRTaERJ5u5DiRu9ENIZDXD6FqRkHW0Un+SU1HTJ4n3caWn4cwBQOQX6YysQ2Q2FATe0HIr+/wGey SLtmqGQ/a4aigBoAQYCq5QqfHvw5yFk6dU70cL6JRQE7qB+e5YYyG1QgLhKGKiLvHLQnrS86qcs9 qUfPJF6cf818PMrrvw8iaMNUGQ5zhqKIGqRexNGmhw2MsmOGy3It2tZdzfidgJYdMvy/XcKTZsHv iiShdBLrfkIXyj0n4GaJ+AeK1LcZeUPvBDTMEKmeEHj3wXDVJ3SQ/845Mz/v/DPGCr6GhMHmAXiP 6rAifU7MUR5L5n1F+zZxOIqor/XFO/vMQg30eMiufhzeNrKyWuug5gMUxPYUuKMoHKo/oDdYvV7G Du/kM/yFhQy9ReQKByt8QG2V59Ce6/POPcsi+D2XfaEH2bgT9B5Q4xgig6EKGtR38vZy4fR6P6P7 EnoPqHFSq1OYsg+30bz6KpKePbnayZJ53SU0VplYIT9+SkNLLxuUFkk/n+SNazBI3llbkttdaX/e iWd25D4zhB5LJU9fMxRG1KRU5fWUXrOnrelG1PRK1hJ6j6gV7J58NbFpyMHjxdk6fQuwyU7gWY2l jgQMATDqmd8WTvyMYIKoINoaPVEbogSzZK6wBycVduz9eWedcQ19t8T6MAalzVBYnN6FQtjeMVzX GB7dct9kqOJrht4jalSOFUoTUM3hacVDjokB4zqhZDPvB3IrcfqAO2C1M2KjP++UM3ybvMlWJu6F g4ODuqp15Uzy551xliUk9zVBSBPZCdUERRE1Wc2lNDfaW0t3GmX5m4dDvVrl451uxvoA/uydKWy8 c70BYwPqBe2HHxrDg1DNvH7TBez8XR1/thntIWr7vLPNuMf6G9sM9XsKH/y0lNfnnWxGtMLvvL7/ EBxhLecwoh6E91Uvvw4/ps+kLed2ppJsBb1TzXiRsTSUeEvtVG/K0fqJoTuJZTgrgLuUkxqd5YDx 2A90imCOd6qZtbdYdq0+WMoxZ9NNf46DzzvTLIuE9TVBkBxc0+Ec70QzLlvVpx9R9Vs7K2aoApmQ ajZp7zyzbNzXmipCIg2aoAyD1bMs6S4z4Mw7eI/RHTJDuRmkRZKZUFwsetdoggjo+L96akActSTZ xhOuvbPMskD8miBe6siedvZg8Z1khhWEcBrwKDul5y0u1nwVHsHc0hZ755jxDOr8s7k8OHjpbJ2r vcwtWT7o8lk8CbAjvSTnYzhcit41yMmqyq7zDlpBFXI2iqY7X/G/HNKFoUfy2uI7vwxnRy4sB7mS Gn2OVZ6utwWUW7MW2Tu9LMvjDYcPRXk42AoCTWHJxeVMEACwXQqH/PIw8fSOlpYqhS/e2WVMN6aK ikS9AE7EPyufLGNWKj3Okzt+3tllbAd61iqNQ9yj26Ppd3IZvxYKFIwLKs92d4FZ4HjphCWsGYrq 04l6jXvOO9wZYpVSLSm4903+5Boop4MveonTnRnrO6/szg/62T54WajI+bD2OT/vtDJGIus7UuSS rn7Lv7PKeDEU80izHmL3/kbR1c/yPY5rTU9UnE4sC63OyjOHW/UYw7DjZ26gfSl/nowIBLEI6Dlm fNEpOf/OKctChwIvpT5Q8vI9ODRL2NTR6+edUoaVkH+BXzLJ93eColC6F6qn5234jro9Xy1rOgC4 jmqq/O+EsnuJAV/ng00Q8nnmc8zoJ/RUUfTI5OcBLAr+1OVK9a2X/atwNhQpctAhveA6JWri5DtG XdbytYTg6/FVen2nk2XH2lMj+P89ik6CljWnlGcsMd1icXG6i65BI8LFPv1kG7on6DRnZmPQ4WnE 5iXqe2+msdg6yuhZRPi8k8kuumzIqnFgnfJ2z0TUsMl1rvL+eSeTMVT8rt+TsMWVpCmKYulG23MP mwG6v41o8roM1Zr9og+r05QfXpnNxJXrlZetJzXt5ytQp74CB0M/VORWDQ38MS/wdzFUfCeS8RiS EEjjaQTQNgHNuZ17hka353dlPh9ss/0dTJMHCr9cm6EomEYEP0pxbHSmUZncLHvZXhYqLlH4ziLD 2wtAtSiEwsFbQOenN274HxnwNKVsEOyAFeH8oceyrSHiqN45ZBaVEOVxB6sKrWbMn/NXf94pZHjF 2yRj2ITaCK4fQyiGwfSmUEpLVr+nCr3YGtp6UxpXVbLp7wQy7jKeQ41Jx7xHBGqJMNIFrh71e2hd kEZNin9H3yAzQ9caIrb2804gyyIp0ZvIBvf7PBuuEM5y0r75eeeP/W6SycIZoWfxo/qdP5alGNX3 xnYEFTFX0uop3UR1BJHteXhgDb3TxwwJ1iAPXHywXYZ64oKDn8pCMJnhLoP4QANAkuaU1kYkzv2d PXZrr0NIYA5WnkblTIChPj7v5DEcBPP7LqM3wZOQvXPHsIYmz+faDT+uaz1TwblLFux8s6hZaw1F 1ekmw2q8qA2OqELuNZfwMABwJorKb/QrKeSZoUplMGAcSXzZ4KSmReeE54oNDqmqXQX8PtbnnTjG eLp87TKCvNIF2L/zxthYoWWsgaP7og6sdtn2tv2gkjNv+3famOWDxNZ3H3wJrXXu1Mpe/T5RbxEi JKF33ABslHmse+0NvWxw2xMJM3tvPhjaNS/JCJzEpXzeaWP5sfb+wnukW/V4Z41lcSsfmSaggW9S n29SX7YLNr2Txi4qbyc2CTncNZThJbeYs4LQ2oc0MHF0AyRF+U/lrAsYpc87Z4w4e/5NXY5yPd8+ 4gahmGlWzZ93yhir/+trCfF2puy2JigqTvMmSwQfcAnxDpJzdRu+hCpSLN5k74QxNjgUURN0xsES jlrr2aKTE3RioV7ZvxPPFVDjn3wbQOw+ft7pYpwfHKzwgfDBymb7HPUS2t3j884Wy48fqor3BRiv cdHk72Qxh5ylkpKFi2zdqM8qUB479Xla5fWdK8YtxsrrIuDXEgBuMQh8zAbEEySg29mK5HDOLlrU +ed5fat73XrX91NaQs0rCXKWHHKG/nXTH7gLH48a0d85Pa3P57PDongaeS6AZq4Zs8rwNmt1RvQg PVk7LI6nubXY99LgZTMgzqo7paFTYjEBMi9E79QusSOIePt3khhzKkLOlvFyvbtxgrYqQ5YTVa/P O0fsF6IKP0uR5vIc0mE0vRZbq66xT1UxUqDNy5op/cxZmLwW4qYJJINqpQ+esyYIopHJC/HBc5rK iIdG1pT/otdeU9ks6V2De97oYX36YEfQojwi6boZjwex4kUG88arRJ/cIygMplHzOPlB87JZ6l7z aK05qhPpk1ZQVJnWBM0h4cGRb9lsVRSdGl9nt3MPFTaKlOajbJZJ9TbXah3SIdiD0d2aKp/N6qhF EPQlYbkTH48a9b9QiygmS8GSlJYomu65XhPLSr1Vr5slZNu2hIY7EPT3aNqh93tyhjj4IT0GyFzC 5LWzohovusHYCJ1GSFt6MM26WQ+JhmSzzKXS9HoEvs7fOdR6HxWPR1w6b7FuhZUIhC7nJ4qlkbfB F239uK6+URPyknildPXd77NHWA/JUgPM4YNN0IBRDLGQ7P60jtYhPVMA1znX+6YwUpdCzNC7BtlG 5ubqUrDs2SYIslpKWM+NOfF4cM3X9HUIoWxHx06boLg0jWkZ3XGvctgZj6Uu46DhVY8elab7FN0w 3cFbrDh7BtrHOI5OOCut1HQWFSWOf4jbcjIva9M9iqWBaQTiVQoDMGtnqSKj3l1YvT83ZsHzAeXw 6f8If48SFS26NEkh65AS3wS1MRYaDyVTwoMEdlLnGSd1j8LpKUxVE6IBw2Uo0OlrMpxeUDdO6XoQ Ul+z9gd1RrGKHoXTlUf1WCX7oIOoQoNcgmZl4vGIlvld92A0VO5R3SPmIcS4gDpz6Ou4lF78LVb3 yAjNmJL1GOzRdEZPH7wP3TOtnog6g/un1NEKFIIadG7AzblCFVvv+lcfeqYuCYYuZjfYdaiu4AI/ Jz4eD+6y8R0N4ZaArZNPUBhOL6pUrGawPH4vWkISHxwEdqLjxyUU0g9HV2Nn+OAAfCyoTZth4KrO fDXqn4OF01AMm+sWF2HSzM8ILnsTp1jVBy+/ZglWnKCo4/FgBf1qIuJsRRfBJygsUC8cRDDylKx3 I1mDST31L1X1YKWbExTG09PoY8sHT8hO+DM2JNwKVCUH7zBon0PbECnqycgffDkFq3tUoIbCDc5o 80G5fgwLSiemrDjx+L84HFJihoJ/84C6vwfUwAZv1oXQJWQBBF6nkqgqiXhXxos4SZHU9wjrITQi aMg+eOms4RAzxRyYMmRW1zJlrRFEDKoLimbH+nSP6tNuypiaDwLDnBOX9ORzl+WJx4Oc/mLyhtI3 EM+8LtQj8DTCCPgvZFtCrhEP38raTVcA/mW2hCKohyr4cwpPJT04vCfC3Q6iuSi+J2kyxBkkZ/s5 /X5mvhOEnkHFZ/wVDS2U3GzQCoJ7KBkKIGHh8Qi0+B0uUnGrrHo3WVyepm9Oa1Y5o7mZDFBpwI4T G75cWEKJvydoROt8bvSt4nAb0RO1IFkOLwjlsQ2SkcU0WjOXB+zRqZvbI7CHTGLXpjY8B11kG1Jw vIhO6IvHgzXULg1acRQNdB4idBRTTyHMt5PopcRgMp4X7gFmGkPGEVWo51RlaHUfvFPWoLLExi+S /In4iiEjMjzwsIGUvPY5We/6p8hAJlWBgxUXAWxToyxnPB6Q7S6wk2US6vMz9dAMRUE14n5VXXWX MSdX6WOvW10E205c8bhArcte223Mp7qIiv6iZsI58ZOgd4SYQ7Hr7K/5sKEXveFGTEfk1BTdZcXv Mtr0qtl+1igeDwrU+7u4CNJtrVfBY4TwaURDlJziBEHu0SeIwsCcoFYdlTeiAjVdcQFNWRoY2OMg KufcnojjUAmCQ0HnEpqYF+i1/dAwyS57vAw+IwB2NnlViy++3fCsnmnZrL728394POpx3F0mjOP8 oR27zVAUUTdWz5qTydIwU6+sgMWarcnhDCOKqGXnvWXVuUkA5QwlWG6vXFn7OAnsoFoemB44g3Dw 9PTc9RMT9A+8Ryp3MMxZr+L/okyHxyM1hm+FHNoKrpu3jiieRqNq6BvXIVR9fk42ny7mbBoob0Tx 9BSeQTQoDl4bqgt7x5xgQEykRGwdvLGnOhS3CbTsZaNTCNHQprPlGXDVbm2yff4PH3hOg4znozWU vlMOiMD02yYbYUTN+qJS+0GdfOcjGjWRKUddfgpFEfWSQk5R5lqezJXiLYuaxtCCOfMhogvSC0qv spFoFWrS6kdUobby2VCG72nNSWfSZDcd4c3E49Ep5JuM5AZypWr1gHGE8OnBCmz3Tbb6wxtvDn0F ltVmKIJPF9lTLhZiOXhSdnboBvGX5bwzDU0gffInKGkKerS1ybq9619tsrM7sw86pwH7YyOwndAf jwfx0K9GIkyqSPy2CYpK1I1KZtThkJJZd1W8rASWF5nE309EPaIS9SSgdU5161nE4E+eX9jR21A4 BHWrPSRaTRTiOa2g5XHtBM+vx2f8GQ0t1ReX1xdPIL5MR/rkfnj8X9oMqsCipFDuCoojatLGh7Nc 1iWTZdddBjY4m8TyiCNqxovy7pzf3p1wlC9THI6NSDoJ8IGmOlpHGeUDO6h3t5cNQurGZKMnucBi twhffrI+wpvP6bsXng8S+4dvJ9zQZHDgcxRXqbl0DAoD+Is3gjKRLSoO1WaEuxFXqUUnG82Hm5ad SckL8WIGVnjiP0FS4E+iuQciidXxt7Rzwio1b/sTct5BeVkFl5h6Zmf14vFgin7BhmhG0LdvsxlW qameM7frvUE0QXkZVU9sFQnwcGZoRhH1SqKMrztc7OKZkrOcCRtKbRDLBK8OkE9xsiBlc+gZk44Z Qj7IRQSe3Adv13c++dPOMsPjUaPj+xwC9u2E/l7Gn1FAjUY9kjHl8x1mdvIxQwUeZRAuoTQtLZth lZr44FkkjFeeVhn5ZOxoYIL6SSyYnIAqfdIygG/LVejGNuBn/KkX2GfxQRMEmznmH2eCCh7/V2bP uABb9jmoZxRQQ89yGMYMJ1IfjhpKzIT/Hy/FQRFzrKCQj2iaC0LG5GtpuifSykaTRtgf7DFIY6AJ XsMsMT42Uiu1B2ZcpOYEyfeNg7bYOitziym1Kh6PJuiXiA7RSxDKtBmKAmo0h0/mvjxgpOwzA8ZW H2Xu2uyun3GJmlfZ2ndw4Nk8N2pqBoCt5yymJmdbrO8hoq1XKRieDvyMiObCtSOVs4shPVH5WkoC T9iZ8XjE4vjFJWMX/CqgzCiirgNYKgHPgNFbtTjZbo3L+l1+TM8ooh5EJM6+tg82Qa2DBVqqrExh jMJ+fZWuB+wR94PBx9mFz4jU8djg2NL52BdVNfoclEOBHy8eD3qJv8QZqEDIaoMmKIynZ718ekIX 61WAa5fz2xKONFTPZgT46Mw1ZirdB8/qcSY14J3RCZowxtMhJOec86UgibJokeXFGcXTVSuISpUa tIKA3WeFup1zBo9H0aJn9YLrA4hT7wqKEB99d5pO604/XwsTsa12tAWOZ4tsn6CoQi0Wx1QziIO3 ytbZVAZoONl36ZtT2VA8ozYCbHSvhHLRu0anNOPoNLcPXvaoQzSXuRoe/y/yHugJlr7uCorC6cEJ mrkZpiplzzdQVPSkvrrC4gxl8sgaX8TsavBrbDYohVg4nXKfBC4CbQjtWbSkr0nQoh3HjArUZUsW WN4A7foEnu93D4HONh+PMFW/6kLohq8rXjEjxMdUIJSTHdK5eLMV4j2e1UOMRYd0FE4P1jKWDEw5 +AQ1qDHTcB74xdQWFU477suCOgcVeq1LRpmqGUXTTP+he119UL4BIUepe5zMDI9HmKFvEUrAKCCp 7hMUxdLgIp+lYrRDVBadKNXURiRmSPKuOKRDdQ/2NiA66YNN0E4VJu/045zoTU+2OAZhaGBi13qd XYnVxGdEW4yAD8vLngk6l6bM/er5VvF41Eb8BfjASYYvSBO0olA6bRY9qglRgmqhgwe1HK8sEvjJ FbQiwMfQ6TyVkM38C1SVp+PLz/8oWa44aMqDlQy63S/p7RUCPtTbyEKdZUedAaquQt1J0SoejwR0 fingbuKG7gSFtenGSkcxTn0py1D3eX+hzoB6kxZlGEpLbVENnrEf5W0oDtSy5As41siGGaLKy6MQ rNo0Fjo+I6pNq9am6n3y6n0ZsFdnMnbuBDweoc7mVxwEAG7Zl0i2QnnpihVEC3kBPvIDy5vLmVLT y2YrBHwQxLCaDdzSjSvoxAhwBmRRCEDzQbXFjmYD3O/wmd+M8YbPiMpmTYjOO1jNo9aaTEBn4PH/ oltB8t+88jAr5CJWEumz65wl4yqAUm967mabqAkKsdPd5BiGDx5J1xNaEXIDvDREg4dM8dBNpVNp e6y3KOyx4to0iVJfg03QuWNY9arnv/F4lK36Ic3J6El8bJugUGV6s+lThmE9hDSTfPvyqlmFEj0P 6RVSEQW/l1k5B5+gDde+1aXfPk4URDYr6rq04f6hD4DL50y9a6AlKGmh/9v+YamSlXzYoOLxKA5y KAONbhM/8m6xKJKGLSmsqyxQPKeAZ6tNQCtVhJBsIlBcoa7HkhcwiZgcHOuBcj3kgABlQGa5ad62 ESh2/F0Z5WnztklEna1QKo/S9ltp/U7+LZzp6YPY3jr6wOMRXOibEI1jo7LUpRkKwdNU7uXv5zVW y1U+KdvhQomyjdxjYShNcyi4+/jgfWjgdhMrC+dgbgNxNU/pweRp/KCrbxUhKgfgMwK4EJkbj6Cy NcjASKeo+k8/Zx4ejyj1fkrzRMbP1ezp/ArJiDmZHzlqG+fGZ4uVe6x2R8PIaIl7LARPd50+q/jg S2gjVaEFS6J5mwAzlFauEMvBwe4lM0rDrCiU1tJpkxWhNr0iVCdNq1UR4iu+77FVfvE3kPY/VdcV hdKo8nqGgVNa4Lyh3oY3EDnTuuf/xnp0Ueq7U+pxz7faICpktq0AdnKPoW7AbZx7dqYdEn69bHAK bQHv6QXAQenqOWyzZMjPbYrHgzb9+CU73dgqueDgFRamQbUz97F+jZG4yQgxMWvbbiWhFQXTqiqe 42f7cEMh7AKoBEJ0qcNuHWC8BYXgjpsLINIbC42hl436P0zElrrRq7ls8AZT33o6fDy46u8xRMAQ olsE2JqhHUXTiPDBbHHX9rSurW3O5pDc2cKUMndIRpSPxBQpel6n7X1eC5zlxbpra1Az4DEEf0o6 21Fm4dtFekfRtDyR/gdRBVX6rmAxDT4ekVx+Fc3Q0oJslE3QezSNp2hlZ3JduNPuLtv1ygtVN5TY f1u1jCXRhdVvND3agofw3lKehlYM0cFQi+lINRj7mTcyde93CJ/WAY34wAYrTEPykrEMVHH3ezQt h7SvDiJaWvWKnO0QPk0f8uXqQuQwWbAoJDWLZkhKNEFxNM16a1PtrD2WGyeTOSufwpyoKp7ceGIb NpCWChrv7bnpmWLhM4IJItF3T0lWTzdOgG1F1RZBLLRjJuI3kCEPKnt4TWiHTMSZv1bQubL28nzs 4dmZmz0m6G+gxxQZiIN3EFcDy5oZPXSVs0BpFZkxZZ2k1zmeotmOomlhYM4abz4Y4GzWJHWgE/ri 8aDsWsZXNE1z3nZZQDtETlNqsY59AfhXmXte4WmKeWmCQuS0z8z2wTY6lATbmlWiwQPhHM0iKSqU Qbb7crJn4X6H0h5TICEmrBw0Qekk8omx3knp8XgEY/hWPgFjvdJUUxMURdPnbqS7xDSa1KzXHqAv ZwGV4towO8R5iIEoL63VHvml86We8Gqzqogqyla60Wi/kulo82Dvs71rVLhnXVrV1+na1jjhzvyr 8Z4WHg9usZvRsy6NJma/UpQ7gnkk2m/wXpZ0+RMKiezbxNT0FRTBPIwfVaTwUdYjRdkAgixSZWBC TEp5pcU2OvXAk1v/ebH/vKO6NMzU0XhmXXpehTN6KagmhO7hDuvStzlGSAjq4utmGzsMpu0W87Lr SNe1dtwVlOu2uvSOYR5cOlPV15n9Lj37C342SYKvGazhpDSD2llne/XL3ig018VnBKFi5gqaZCJy sBVUJtWyQbQbeDy6xX5xWZGrrts83FEwDTjvQKrtLCnv92CLVWdJAfdEJuKOg+mtYtD04bZ+Npy6 k3pjJ+Fo7OEP2u9A75utMSGp9tSrBkeQyq2Qg7fB5ge2OeIbLD4eVDzSd1kaa7c9yPsdSuQNEljH 455wzWoX1xLnpxFu3vl73ncYiBVELiQfHOIBP/muquv5zs9v5QJqElE60QMQMleGsuldozgx0bOn VB+0wxClLel3pYHHo3T1F7AcReqn4pFTFEnjbx8UypesB2XABPFI2bQHTjpWDEmVUxhKC/4ir14O mqKzmoC5Buwamgxo2s56kw0iy8mfM6QQFZjwKe+TtAjEwxrlMJ0Qht5S4r7uLU/+ggjV6euITXrQ tL5MJlKolNfJYwUGSPh7XuuVPFPK4/Eu66x70OomDKipcNbVveBg03RSTQAVO297GN1AIggsl4xT CCuUl7gV8Me21/2rUT8mD20OBmXoWQRXQG34fJB0tF+pPbqX+csPKHRzoQi+VAZw90+HUWGXV6uf UbbKFlOIn25s7sg9lMNN7gnHaPLbGkDVUqGbHGp0hxZZm6Zh7m8bQaqY1RODhRPpzHPTWqpUyCZH bPEXBGHRb4kPRLLfrklRnRrw9QEZbet09G3413N6WEaLQ+l63qTQDJHJWZ+r+uCn0tlSK8GhBluu Q20KbjMbtoINfIzM7ebp67bX/UuDYEiDepRH5aPvIV3Avgqfj6BnNz9jLRZiGH08J1MUX4NBAaT5 tmr1Hk4KOnHAXUz4V1tMUbl6yIS1CspYr9z77mcaagcJGznsud+rRIRnwsmELlaiiTbR+JsiwvTB e/8UIkObqo3t2rd11P2SVIRgqpBC7Ect39NEL4xRv46mKMwGHXLwy86W6ldjl4mIbkcTyDh0CEph 1VrOCkUeSiXf3it8IdpAXQjixLjwmIhApemno2Sz54PSYxSAD4lgekKh7eWDVa3PycbD8MzW5vMR m/yb5VpZ/SvPJIV1a4hILXg8gkzW9yQFLwsj04TZO/86t5/fUaw9jXwnmFX6gln1c5D0XnnNAYsg e9sEZZlRz7FAbTwTqhzT3jZK1wgjWqn5YFjG1bYw0XPrJSMiZ/nK17DAK+y/fZJC4Q/WrpWbaU01 r13T9tLQjN000HKK4m0rPG4VHvdTeETbdW3GJWeSoEFBvCf8Ec5rTtzertotVTF+SAQE+e1EuhT4 tFWqTC/6eYjPR3i99ZWzVfrE3iZjTiEUZJMmna46SrsEj85EhXTX6Umb3uv9/QU6T3fwYwkI2TFp FjQhGadeNhX3y6Ks+WOFIxPkFAtTMxCAxZANxl/A95CUzE8+H2y3W33EGoSwelvlmuGksIINv6BM fItNklsIlHRdtOt8rri4hC1egoxt67PdTlBT6Z/NAu08KRyZZmDB/lD6BZUpIYoK0dz8kMjNhNUR xQMcrA/Sm6w+odbE56Nm4y8OA8rqjzNpDu0T5abda7Ya7XbEA7pq7rOQsytZ5cA/EVeP4ETpDpbe soPWO9WoAdEEuIrRed/ybIb3iRMWZaoUGCi6yMVKz2AFABjV8OCmgWLooDj2dx2bxc/yeJeFFoqN ZhSl+Xbj/WICw9sNAsv0MmQOPBSFRGbyv3xwDHoCWaiJ1Ym4uLGSDQmw86sR9dTxu6uf//BQZP1I FW0OSnJ7WdLThZwfn/8vErF01a39WUlh3F0fmep6S5GNbRwSP5jmrmRpbg5tFNHSQ62aV9cujzM7 RGJWp6BFmic0TeqHYEmxlos5vfbaK9nb/imsZ9SqyVSJjKGGGiEP7jr4fJDpXlKVGrOQ/BnXuj5w UpSvujROVQsY10mxqBupSbpOiqGVoknKzDV9sJUEikeDQgor2mhAJUVU1HYAmGY90nrsXefAS1Gq OZidfQdH8c0kabQT5/H5KAT4hXNsbFXmO0mhut4CglhGb8hSIMhnk7TvdutYX7bdQv6iAdRG8sHj JEiAsGQFs0CqPeJHCuEMOPeownxhRsNeN2rxm1a1KVebzmeBDfNWix+WnKGjYvllOQlp5YLD1Gcp Eq2GZAlIrmZ8m2u/+21c9abK7q9mKQaKCErsggW37gZ/UsBFWNru6Cap/8hrHyxYYvDtUKKsTA48 FS9DL43hg5YSTMAEhTlfOJ+P+kffDTYo2+Tan/0WkhgT87eVjIJWpwunPOHAyO1Gk6Gr4lZl6Swb H7yDdO6ARo8WOi1tnnsN3mXotRPPD60TZ+kVe91/sD+aD8rfNrhzzN962Xz+X0c37zdqFpRnlkJt kFVum43hwHjwIvhY1t+EwNUsReIgxtTLUnbInlgBg1XPxtgSuqonOplsApTOSiWuw5Ue1QJ/2+h+ kyizUPzVXZSh21mNhWYvGalffC+lRv3s6wSTA3NFxdx9y1BgXL+lRY5MX85Dk3dg0i/6q/o2zM59 9QvtO3nVgH0yOyWYLaimQHwYD0DCP1P103LcOux1g1KAZimN5IPStzPly6ULMp//l8Y3Vw0U09aT 44YOi8Dnn7Mgi9wAENJN3yB0k+XFUK8FZWyx2KXyvZMP3g0YUJ2hDR0cWVudYsoAmtqAnSZlxT0o s71tpP0pVbmefNBSanW0pSbRbHw+yEzKN8qYjO526fk5dFns8oRZiiI7CGR+KlX3roBGsymh59Bm cU0ZKpvx9INgSzjVVjOHvBPDLcYLkAmBdCyqX+kBQWZ726hpMr6YsZ0JNw8lVORZwC5YSYHRInLM 9iszgdtsuqW30GhxEovd0rCVBLSv6fGwGqm+G4s8mqQo6Da5mUFDXA6OrxnnVOo1ixACbRkmL5AF xRe/6QlpK2mx8ZZDp0UdSr1QUp+DVd76oA8Slubk80GBsvxK3xBKrsvRz7HVIkAuOdl2A3LaJ6mt 5qJFDeg8bbfAa1FBDJJbSjly8ByX8vxmRzlJscYVmHAFdqRELAbwTCLfUW8b1d10rzGsHDjRGGqj k3CiJ3p4nfyTvyBqUP7fDi7cVu8shTCSLluYadJOu/j9VqT/Se2rNb2KG/gtJvPnHgIDcPClVKFz Cemdc/TtBgIlaRmg7w18/IkKLjWEYsj8lAAHkEkfJhUAg+UmraE9s7jhst4yYs/4hmMRF18+WEk+ S7FJDFsCZo33NAfYXrpYEoQwCigDz8UrKTupZcnBw+5xZqmLgTWBERALtBPBRbvtUW6nmxpqOfZc pJ71tCVVPVTKZ9cMtgfQv+Tz/0WIjyIL8xqh5Nh1sZDduD2B264qCxVtr7yVdksBoe2iHd39GTzq btQSZLf7/PaTrlFipDWAsIkF3dcRTmKOOfRdzKoBbLGut8OzIfYweXRDOJvPByupfBe6oZly7o1n JUVRdyOmpCdrLtXcvahkOAri2rKLN+fAejHxoqT81fLhgkrGOdarGNeJmi3SR6f+FA6rcY0sZN6Z Q+tFofyJcbLBet7daAU1r8Hng6A7fR/dGd9M2TdSCs0XRQhlyZVFJVXeWFRq5VbeWGfQJMUS1yI8 Eh7JwVsmcFXOPC3PdoN3Ho/uDvenAnxvRrqrSaLuBD8kmKQq8Rm6D3Gw7YZ1z5CZlbfQfrH8Iqyx hrO/IqUQqF3TtRuqtCC6pQCa/SnJRaKkeklgwKg2KSjpxKlz8EjpRE0AyCEzOQdApl4k0DebWllA al9pUDpx8kOC+21RQ75Q0YiDT9KY4r02brcQX3InidcixBX7V+ktNGHEhTqyM/URDwwvBaR6q0pp 3FmKmY/UvdyMgzjcnsnJZXteqnSfgCJniTuiNAn/PJpxT11vtdvbBvGkpke9Sg46lM63MgVRhxp4 Dn0YnyKu7HJRn7xSxTk0YoQu9dlP62L+Lzv0JBHND6VyeyaxEyN7JmuoljueoPvk/vX8f5pOBrC3 iqYgLlzAwfiCShKunUMnRstxS04+aI7O0bZFlktj8vko5l7fc4RgH8JuPkdRzC3Edt/OoMXR5tut XZkD2APaHIUxdxHKTZaDlF73222dxHYBhw17nQSFdm43FHO4/PozSaPb2wagALGOmiDJzSHJZyOg H6BcQy8ZQCfW9yRVMsAvYDLHfoxVgjRO8WvTaGtnIeV8ySPLmBE5NGT03SbN2f1gAioKkVCIo2ZP W4lCbPjqT8w9Oy3g6wW2q9kdWjJOUrFXkvRTcuknOAszA/2BdSSfj3C3vt3UomTueFuUsSkjhHF3 md59Ix/ayNitezTJa0FLKXaR4SZKkr12PWHQ1SH4i9SBMXeGIQUzEzJGz5xRLs8CJXR79bYR25j4 EiECxkUEwF97SbinZr1khAj4trMCTrW0eaPJ2JcRyjSZtXR5eF8hQ8jZe4sS3XtbSv+wOZfVznCr nUw1cHBfVXg7CQtkNIDCAX+/4Z8zO/NWU8rLXjdq5BILIHleDobCKbBL+aGF4eTz0f32K5wUSuqG k4E9o2jrHRmBJbkIsZTkdlQ3leQWphVM30J/xs5uSVfvhIMvpV2g1lOalhLa392xSh1lTBQIrYZL pUB+SBApLd5ruTUftN8Sfht7lBvlksChEZf5r84S4KDr6+iOYm6cz6Cui6UF8QODJyGcTD+uRue8 2hx4NEL/ZasEkHzwGOC8d+qQ7aJH4zL9YkpzsTwJ0Ia3A1a3t41EH8lpK8Qrc1B1soLyRKh756EU Qrl/IXCYQKynsxS4NFqPkqg21XB1KJmMT/YabnG/gvyHTaPIteSVjC/DgkUtskZmJATqECxjJVFd GtK3uVFcVfstLXvdYL+xEIDKhA+GoBxLwtsn9O58Pthvyzkl04VGoL9xpyksdRPN5RI+578YKlEw a7lsDYSgs09TGHVzw41OWT4OF6gEVTpAQYjHLRX4fUxTBeUZdwGvbStQ9mavG4kbcn52Gz4YnAvf g7L7tfh8EFDm7x2XYapcv4pvoV0j7hbVugtpto0dyUpBFopg81zKXE1dvyg6vSVEs4cPDls+V0NT xzujyoeAniR2iK5RIpMdaguXyE/KgWOj80jPym0+aDWd+D0zaQSvi88HgcD4lvWBzEB5dI9y6NnY zagoWcWkungoDtx5A4HlgUBg2ighQMTnJP5zsJY39kadnZ4l8+yx2TqplKgeEGpc2i0GDPLZc2Da mGj5QpbtHYyktOtghfIEdovPR1fcbxgOCoKXIpBD10YUcoAuNQvrMryZC8qwuzlB19oyuNC2cUnV x8kmjyo2pKLw/3jLG8Z7NzmBVHBLN4MbipYC30aBDwAIyN0HBd6znOucu+V8yXw+uOLaN4+iAGRW Lxkwh86NlcUAOikxzZV9GokUNLZXMYCNN01SHHizt1Rpg8HhxgEVeGIiTGDk1ZPQzSwPUFUYq8j4 kqq9hdaNxdClXRJIqPzzeKntBPjcLWgB8RdE2EDfb6zUkW2wbyDQosC7yQ19VEtP0uPJ06vnuRCE 8P0W26ETpVSoF8rBD28U9NqgSeqsaHizSUdvQWD6fvbV8uM65mdEvSUCuoeogcPVzM7rdfF8garh 8/9FYqMSd/cQTgL/RmjQd0aUyYKlldxwpl4oJQgn3luKDRwpeEj8pw0P+h1q2OJNFvK76DiT5f2B gsk9uMGB14f8FXavSmMVDpqk88err1vbrHw+8jLov84k9JauKU8OPRyBRkM0prjo7Jnmpt9QxHDs BJr2iigDE0e0crcqatWHGwUgBIICBHbT7l0K0CkDUY3FgHD2ot6WvW3AXBKgq6rfXW+/G719eYbs VPl8RHH3lcQ8ZEBPF40Mn6XQeYZlXNkZdKoAWEj5LfgDoyBfSlHcrWrAmHL+no63BkNgwiVkDin4 YufJegZ0IDiqZUBZnJRDXbYcmDniopHZg4iC+Qm8M5qpzF2rno/ASp7oehUBa+SGlKGfI9zLzt07 XSx7PKYGPH8VeaebwwWGjucSECCg6QhvF/h2wgAcdUIHLuCeMhMZ4AR/6EGHnO6X9lgOHB3lm/tl /928b5JzltgfJK74/H/B4cxKQUCfpM9rpFQQ7mMyqY9Hg/TpIqNnwz0dAbaUcHK/xknFhY7P+TJ9 8BDg3Psng1PBhIVuRlRDrTTUdNIj2o8L9fMaJRUXbaEfqw2an33iOBNnW3j6ZXYKWP2qu53zTkkM KrhL/3K+lWh2tspuyZKSsvMFKa1pKr4ZQaI2WjA7ogi++F22k/jWlNcUX6l0gXWZQpwo/Pw+4BAB IHsg76/TA5VXIt3FE+RgfQAU0g2mfCLt1+lBLWn79PACLND1ajY7r7FRyVSigeWxDOMH7xljvdXk EK5OcCFm5zUywtpRw60yE+HgAOV5rqmZZXaZamb3DrBSiFLwNskXe8uL4vMaF503LVRE2uD32mCl bah+Sc5mt89rUFQeg7kmsCREzyhvwcl5DYmwdNj/H82tDGbxim2azcVpaXDAyXkNiIrEFrCj6vTB N1Y9hzK5IrzsIQAjAipCwEfVT8BkNv9f46GCeEYwSSb+i7A0toIw0V1g0nNpfl7DIf6h+Wt2CJPp 7Ihidl6DofMQEoy+djWRjdS3F/1r/arUTrfaDZbOYC1kSfqag81Op4oxVJ1xJZ6sbBD+jvsYfLTx M6mSoH3VaPYdHTvSY8tSD89XPfzc8JMRdesnon4Ng8pjcdkUTzdKM3SbnNcg6DzUEgE2rkByvp/b 7xdgW8S265EaTI7ketcsd/BG9gIBd/FMzhAuNjQbaAkN6VjmVc1rq5AA9XmNgPA9Ntawt/i22/m2 J/HtSnHO9VM+r/FPERH1WTqNUrioO3N2XoMfnORwLygo4kv1uQ8PEdHZs1u9J1c7fA19MDskO6yt hGPPrzY/lLELaREgcS/w+3Gn08rp/DM6d560yrsg2lhSzyLIRIOXrpMsSU4ENz6vUQ/PrG6TwzoJ qge7+eS8hjx+JIMfbqeO1PumigkX5UdNSer1hkdy4ZrRHM1299U6b7Usfz8LNPfcZGqJn8Q1nNNj dMXnPq/xTnFpFkrg2WA4SNw8ou/t/HmNdvCG3WeHyQgOPbLCOTuvNUbccoPbKbvuiODr3Fil+Maq l4D8WmEs7j18stDig4eDYIcsoJVQI4NK82SFETqVIDz9kAzhfoSE+L/WFzE7BD10aUP1qw0F6rGA sOfiwtPvs9PvjVXsxqrjHjuvpUUsV8nWTJU6zoVYDYt1Esk+LuMouWxNFOwQrr6KtLDLo4UNnmuD xiFm55xBrbEEmcmY2DgKOqo3BsimPdFrWRHfgEsYJx90KEOLmoBaJMKf16Iib6zyNTtw76qjJg8F o0hZQrCrOdeoXlWfWjk9qAS1Xb1PHYXK6i1CwdAHb1Ojxrqn6UWcy1Gt/AKOaEdWT7yDS2pkvWuw eswtRcgZBzKfoxJqyRQ4JHImCpbX/HWlT5m2+QRFwXKStXe5qUTbdvbU5FUz8NZ9gsJoWUfyohvs Wu2hY/eJa5e2MmiZLWH+E4yaBvSHz/++MDWobPBlg7OZRqhrSpVluioLTEPFE4Yj4eedr8Z4OX3N EG9BNMptht4DZhA6BpeQWV5kkuW1hMry86fl25+OIubNc3kL88jBgUUbJsFTSIcJ90FCZnonV5gc AN9hJ+kQcDaYoM6ixrn7ig86ns9UDfHVG6pk7zGz5Py/TiCs+Xxvr3eqGqYV+Otc+uXzDYdenePy Ih1rd6paFDV3ytbAOscH32OAyCTI9KH603tRXNgpb4h0lEeQXV9ZIIco4WIlek+Khe+LOD0fl03X 59ycn3eeWnn0Hxv1HxthA+vusfe4WZppQH8YKzRvr2OUMm9oWGkkqkJrNEETmPw86dPIwXKuAWB9 hvQWVtAJlyclfRfUaAuyGZmDUDkLIEC+a3TBy92KeCIOBgRFTsiPg7zhO0WtPGLhjRgQ3Bg/vJpt hqLgGQAdaIslK0WL7TglSHUhV+22W9+j52yOBXuROLzX8msMyGTolQjBjwaY1EgoJVog7/SlTjdo vPNOUMM+Jn1v75l84AyhTWJt+9Tq552fxjzhOy+lllEvN/V656fhUxmNyKiAbbJ5LdKK+6IOChSo LBZF0CJebQH39k7POX0CQ6jyc4bOzVqXsdjRdmD/FWJOVvMR0TEKofGFI3Ze3QcLoWHKoSAx7887 N40hTfMZYlSA4kijIARnKIqiid1nu0JrKPfhLfux7k1WhxPUozBaLMeliVr9URergOv3pSJ0pxc6 M7CJgjJd3aiXYlIHIqi/x9FojBF3DiaBDQZtxEEqFdE+P+/MtCJUgU2RNA7xmesuovdQGlfZBHFi eTeDbQjVVundgtk6SX312moUS8NPC06xaPhosG0Gt6axjVN8VtEagtGiIAK2qUg9Trnaetf3GRLD ET7PPlj1pwIEwAAZCjVRML1T/l5E9LLs5S6iKJyGcZNL1OFI4q2pbUZJHYJjCurqmqEwnp46iPId bIYmNNNPtEPoJzqti2yjnsn9PJtaOqJCEc+pd41mCCiNRFVEDSoeorYhM3GiGaKA2jCZlowB75PX jRffGWm47OVONJstoXp7PecAtNUEM1A7h94JaZwgVlcHnXU4ODa2w4unU3f2ZGbnjqVqTqMjJSEx SBtdM2voXYOTuqrqTOkVDobXP6uBleg6S/6809F+5WMMvlHG7NvzsXc2GqaVVxkh3TyGMLF22dOQ VccQJeNZX30PqGHNK7K17qnZL+Zzwgizk4MERdpzIDEpKfQ5IAeI9kRO2St62b/K87PX5YMC6jUA ueUMtfl556LhoM/7+y7Dth/J99g7FQ3rtqgnb6r8WdBPwmLzvoLhC91HLqH3gFpFPiSravCUdWfo 7Kt0ruLFnGyiks4llNGj71QeREzk7UKqG70z0ZiUMddoOoaaH0MQsFfKUXNtn3ceGt5xfqccqFec vOrOUBhRT6I92RWkH+rtgZXrowLzFIPovbPQihCCyMbUyXCvTxh7n8sYJURm9T1lakmdGaKKH0Bd LFQ6EoYyIu8ktCLQJaaG8AUO1pmHHzYP6nPPfN45aOUxItS70Sa8jNvEiEJqJLsDbqU/rvyQHTRc l4vR9u3KD+8MtCevF72Mw0VWAyzCujoMysdqLByVSbxnJWrsOhSJOPTOQOMikI2cdlnxXYYyXCIK pp6v4vNOQCv5el/YOYSPnOnOUBRSw8RoYFvYOaRaGYuK+WEx1GQh9Tv9rDhpaNd6h1tVnDRUT96T V+Wj0x8XwpWQ/Ldqvawd3tln7Jcwr88qEGWXBjx79USfLKTuuT7v5LPyAGFteQOCM26r5517hoVX Wd9LYsB0SHj5EnKtDOCbAA3jEnqPqLNRz5BS+HD7hOMcx4v2KQlCBmLykUaNogjhSE9taOll34+h JRewxZIAB+uqV5AlGS+m/XlnnrFhXL5miDItJOprhqKIGuYlI/MbYN5atiPzqaTuJI9t3bB33hmD XYaLkG+ywcPFs2zO1Z+lIoqWhoxTQWtDAIxv5dvDiZ8RTBBx+Z3tCDrPuitz7YkEHlhF7M877Yx1 sP51UFPxcbARzxkKa9OALMIS1jfZxXTU3JdvsovpeCedsXC8GEkjK9Pgm+z8SSg6V+atONwkwMZm L04fQEmteDbYEnvnnOHbVMLaSRjiYFCFaoI95Uzy551yVqT/9TVBuMTo6aEJiuJptFxgDuJdseTg afikPbyFakiFd76ZbzKYn00ffAmdzV82AhGUPmhHqLgJRSy4TKHT5E57CNo+73Qz3ilmfd59UDx9 1mVjXg7p7c8724xghd+lj46agjc33slmCKHY+6m2gr6hHGUMNy5AmGcd5z/j6UrPaxu88jF4kZHb CRhV62oTYeEUILcp0G+xELEc71wzNrcYC222ni8iAnbzLPQgFhr58041KwIvP4cQheLX9NLQO9Os SF7kBJVjeNN5eTydqbxhx3Q1EtU70czhHJXAIRvsK+moKw0skUKj6U50NVV18OWj6LjFZkJ1sehd owkSnEMO8cv/Urp9qv/TT7j2TjPDn5rWr2ARk5Q9WHxnmbHaIjV+qy6CZusdoLwcNt1xL3GLvZPM iAbiCgJR0wZfQRX9jb1oRXhO6SJd/oXELNPsez6Ow6XoXYOMjJ3nvcrwQSuo7jGELD/n/OedYfY/ hzQAS2w52QRF0TSI6CMTdy5c+byifJ3STlSawYGhCXqPpi1WxNF5B1tBCG/OaqEL2IISdifKc5Hg CV2STPNoialS+eKdXsZ0wyr3nCBOV1W+AeAFlsA80fvnnV7Gssd3l4x2zGtf1EsUTZ/bgMXFbKDy 7uRpFLlcmD8NbwK9k8vw/bAulAFiscFmCHbGgwB1Cs8vGGZiEkFvyWfOfi5zujNhfSeW3R7ZksTM unQaGA6vJd2rOT/vvLLyEDltAUFNIlW/5d9pZQy+yEu8DQ66tBjZtVZvcGwHSr+zypgHcOXg5LXB dhi2QlXRBG6ogKyxS00pAlxgEEMw5wtagX/eSWVY7JvuO1Qi0qAdhiNal9Do9fPOKSuPRIGBOzLZ 93eColAabbsT6TpbKk+3iy2VmvHMV9t0P913RhkrLuwAjX4HmyD4xsEaj6XXdc4I+cdXcMLP6Z3B vb9ihZAu5stGZbMqxsbwQYc00jhlY2vyHYM26/ju0iMwqHveGYpCaRyPbq9Hebk0L7BseqAIfLzd YmFxWtYXY4hZzjNIpyB4rWebZaqDlzYm60cFVVYUrs/PYIMpo++0PX9nkxFbRqmUJfIG+8qMpbEi 6QR84pQTKr6zyXhlf3eisS8bAzRNURRLAz4JnUvlptAU8IS1UljRZC7crPGdSlZcwOGlw7FO6lyB 3UQsfbbZFD6vUe8JajZjXtzvYqj4TiS7x/SqQrfCb4Jom9wYy7KQcabq804kYw9p+Azh9cglQmBj UxRF0yhGQTNmeOVsmw0YgAeXlbhcDuydRlZc7nIPdgk5OD7x5Ejn1JAb4TlrZ6baE9XSGvYj7hdf RNveNWgCSXoX0tM2WIcj1z5F2Fj7884h4yt+n9QU78FNagDFKJru1LdIZvOJ1XLpGrknK98jL5dw +juD7KIZNjQebPBe/VkzqHyQtbkgTdypB4of6VCiy9R20yIiDvPzziAjHIF4D5E26d4nubQThRP0 /gMvyM87gYxtsl9QM5KIqgdD7/wxYhsHqYg4aCDDOwhIxFU/adxuGE70LbCE3uljjBYF/BWooX6B zVI7N0Zfwo7DQJJzWaF40LB8YCjhfUSAKj7v7LEiFjgj0Tvc6vRQvnHC8s87eQwHwRzfSwgVoXnh Hu/cMazbhbYGC71Uk4MRp6nJjWaeDqBP+xKKqtPSZZ7SbJj7aSM26KVnKtxndEfzZHkWTnA/HewH NHAcBIwjiS8bAWKYzGcSNjkYpAr6awqHxvq8E8cM/PN121NPcjim6p03hsOrkJeR1DAEQdDx9Yap mmJoWHX6nTZ2W9Fr9eyDh0N99UK0FCqLZy3lJQ1QyVtmKMI/0sR76GWD274qEOJe2zndXnQzFcez MMvnnTZGsOuveIgL8lY93lljVkkAKO/CGfLtcKCNZVUPrSysobA6raSewY4GP6fP/iqk9IBYh36l QJ+ktEHKjPqfyllZLPy8c8aKeDoQ2yedlYMn9dvO6XN2ft4pY6z+X4oGngOvoABOZzMUVaeBdvpe Q2W4uXcjREAHtYycEn9P1OHomBqqMmvwnCyRQEZIzEa6trY6jY3NYMSm1wqMkKF3vhgnqMjiavig iwwIfqnIntjh804XsyakJkhWGBnF6Yu0D+EeFP6s2VlQ2Q2JIM7UvVPftgntvnPFuIKQcmQ6Vmi4 nXrkc6xzniN7tbSXOAsia0L36zpXk0H9eWeKFbfcgdGzD1pBJ4BUU+78Vj4e9aF9gvAYzc+ZKWiC ooA6E25P/XQJELXrRtjbjRY5+dxiYUANzbwTHOTqw62bwY0ZfQzAKAFAU1aSgdODvhXVS+wMItz+ nSSGLzMT5ZFoTMVBE7RMgAuV1PV554gZ6vG7UQ83hO7hdAvD6U2zveJmcr1bxR4ryAXREtoOROW1 EDddWHJlk1mDn0FASrHSzqQ1QfYLVx2WAyxeBRhS0kq5LnxGcNGLxWIAYVfuwRlUtgQpIPTVomja +c9W9CD16IJhWhhMw6uh5p29hbgctpjylRtoq/sKikrTSbDF1u7gW+xcXGX1RsHvQvKzcJ1YQQxO 8rq4RTulQ6wH5jInGI3boEMIGmtZWI/Ex6NG/a+kFXCzedP6HkbThQXX7thyMkU0QzRpMzXUZcX7 /h5NiyTJzTV8sE8851uWSSObrNPhwzS0ZKMiX/HhzsJZj4LpNFX3kGx8vrLxJ5kXTQS1Gjwe8Db2 N6mlslB1IWc9CqbR2BoK1qUz0KbXzer2PYa2sk1QhPUYOHthnXgH/0pO1FsXQBxo/4DJSLGdhTnM EATflEbq0ogZetcg2yhiYorA0Z1AjwoIs6TzR59so0fBdCrfgdCghEb2bKOHwTRch/P24r1V7CWl Z717cDeWyVb1qDYtJb1dBQ2uDzQYgJcORSYJoMHsi9SWkllCgMRKv5i8yeJ0j4LpSZIqypRShwEg S/nGXKpFwW0HzweMw9m/Nhn1bxtjas1RyDlE8SwRAYVQaFEYQ8Wz5tzMAeSYxGF6FE5P4RbLWD7Y HEEt78wLEfiJ7gzEXuHoAI8CkJT+YM4oVtGjcFpaFasIgV8eX9S6iuAss0w8HpzU6bsyxGCIAYdm KOIdAh6AypAbNZbqKkOGfaUIQ6Z9HLZZDPYQZ3VVH+5JvQcaP8rq67kU6ejYGFWDygoo2BWq2HrX qIlIKAMUp+nW2IkUP/kGPDEoyXxmCo8HE3TxQoXrbQCBdc+hMJqmXxyBACx75ItarJSU4wQl9BO1 hELyoVGexQLaXyygXs9NCdYtziGAs4i9qqh1NXw6yGl+DqEShc8I7noJ5nDJabDya0piO56DquPx iNb7jfWAmp7qXZqgsEDd0YamlBl1vVHtk6Ee1LJcIh66qJqgOJxeQo0nH2yCejvrvkp/CYTPWmQ6 WADvRoqaW30A5lSs7lGBukrrbNU7eNmjU48cid3E4xGJw09qopkAPqjjNjn6e0DtPaBM6VdWqIvN C7IBnD3skrHejay+RwH1FpRKWBcONkUZXgnT9OFPNrYXZb8rgY4oQw3qC4pmxwJ1jwrUEjiZeSYf hIZZlHrkXZYnHg+S+vqrtginQ371mqAIPA0QxkjFbE7OGpouLlhAhJa4YM6sLXb+nj8R+E2Aqia3 FpxCKHQgOpRMJVx0aXFZIWjSU4FT+52gjtsJnxFFQ6x6NJ7WHLSETupZp0BSbePxCLX4XTnLUGE6 UYxH1D2MqGXEUJqVzgoOM3mgCu25ZVt5NgFv+6g8vUgQ35XCARy8DZRIxF+y0Dmnx5paQsBegS+f y4P26FTO7RHaA0EPQumyfdBNdqLFJcvg86fg8agw5CQFSucnOujcAvWIQmooo0PdxCn0dTluUZY/ 7qJnndYRFagZXKCuXn2wKRpneU2w7nhSww89S/8E/X9oq8F64PrnZL1r1AbiEc1LSIMVFwEGGCqd ZTwehNSjfS8iClMkT1tHFFKDBDbMm5KCS+bvibu+eGEIJAUjikf16cmVcYK47YPjy8+iQb8+C1+O Pg1bHIs+Xmd3zYcLTaYEPiOYoCyJplp98Ga0If7LXHw8wnXeg5oJ3EQI7iH1CNHTGS7M1boZQ80U TVBezlDowGFogqL6NApZ5wwCjwgDEyYKme95Tl/ykpASLxTxuR2p5Qs2EA2T7K7Hy+AzAlynEFWt WEy0tRTq3GiqIBg6/4fHg8JH+6VvAu0WFoU1QVE83Vg660OMVpQ4XNYsD7djBGzVGNEjiqeFh8lF mAahdgdX0ECvHj00FO1PflmH+mj4VRQMS89NPzE/IdpDPE3JUnJQ0nqSmKFm+8k48Hh0j/3KyRAG rZu0jiiaRgEJgCr3qKzFczIThSXiDDJ0mp8omp6kjO9NGSoOXjk7d9iCPxyOIPxVydwEihymeITc FhBtz0dUneYZlBNyGwxYzUTXlY4aHP4TzSo8Hy2h8RUu4naQOp+mKIqnx5Jr7nXwWnbyQD/Q42lS vLTHonhaGos7aQOl+ngJnC+gEzaONAyC9rzqOy0X4ZTMLqKVp0mqHyF2WhnZTHdQPF2g8aMm2YkW R4SdHuvXVY+lCmcum6AQOw13yuwWVBLldL7mMNXOIbM0TlCEnWaDFZj45IOtIWKnazX4/cYhLXsv VNOoZ4pqs/XIetW7Rj0yll2hp2SDXfR5DBN97QOPB7HQnSAeOKzdXVDeiMrTWOEjy48SK6hf4y61 G3SNrWwclxFF01MVj66GvUpnmaFQQzJQc5cu7mTNDJxoHSnnGmvzMRM8vx6fEUVCnBke6xoMMrSa Y8vXxuP/khJiRoYwEYLfNkFxNJ2p5WEUl5xv1SNL84Q5PbCpWkFxND3UPew+GIEDtcSTGzTWFmdG i4wqXXKTwOnP9EHn9NYSCsNpRkKzTQ0ntzdw+QS8X4fvXng+yOrrL8kc3BwPNHiE4bRQecmz+tQu 5VcaBFxDqOJoDcUFagpwTdmazXqT1gQQ9iJukfT3s2HZZ00U8kR00gD3UAl/b3vZoHimYLGn5INS MqiiMZY5m7bg8aju8Y3s5JmGRpLp5oQFauLOxB9DO0gepqxHSfyEuLPcja05/yGEt5SZPQQF0IrO nw+uOEW6+5LUG7SaQfzqcLS7sDPmGzNEe1ByYCaKvk93KGKrvjUZdbSGx4MJat9oj4X60853gqJo utKvs9LPrDK93zIxAxoM/yjWOC5mKguFBWpTCkzTB28CNYjV02Qd9t0niWWNraFJ3jr8L8pV50ba zc/4q4L/P4Rf1HQlZn8mqODx/3IMAapRx003ZhRNg3kwGHartLivvqvFjYA4t0HlbqygkItYJQyz 7uAF6g6GSxGiir5TrB1VyMI0JEWb8uWis1J4YIb1aUXTvdxBWwyaDt38Aioej4h23gOifx7h8PtO UBRNA0EKJVEj2gHe4yypWa/m2/JG/Qyr09pbUzn9vDk9fiEMDAfTjfOtt8y7bgxxgDIdRb04jesC n/En1qNQQGeXK6BTLWxDzJnxeETgSF/5mPrf/W6xKJymjymZ02Zhtp3AkVzxA+IebqcwQ1W8KjNz YfLKg8mrqdHahbBFOH7LW1AJKzYme4OWb6CcgM8IthhNzMdgk4yDthiAAPwDIUWIx4Mu4lV+o+85 SK+1eig0w+I0KEB5eiiU27j9DZrxMVbsFNVH3WxGUA+54a4p2cD5yAbC7LAnOqsj36irChy8eI2h JoUMymJFFhZnFExXCSiPeQetoBMgdNngzTHweFR6/W6z0jK+16v+FmE9gA4ago3+PzgHq7e6JVzh 0h5nlxSboKg4vVjI2F1JRx+PtMeJ43pj6JqokLNJs6OLaaHgLVrrrp5c9K7RIU3dCib2GqzkcXIB ZvR1robH/5WOSaMK6di+WyzkISZk9NSUMmUP16jKq17w9PBO/QwF8giMgqaZDw44O5sMxxAnaOPG YWl6oJjMDU1GvVh2i1YcMypNF15fcBvywShAJzDWIb02Hw8mKP3qQ2NNo5RkExRhPUjUTDN7Sk9F DpU8yt6e0rNfy0M6CqaH8jByfTX4NV8zYbCSTD7Jfe7qgFR60E9J81qDjBJVM4qlrTPWRWjtw8Ew AxxfAfJ6x+P/BS2UGUXfhH6GNMRzj3RtCdEQ5/JbbCdHCxVU9HVIh7IeatQ3IRnaRTKcODdBuItx 0Opz0+qO8jHQHQEGul5XV3rJ4DP+YIsjD9s+GJwKgjMSEcwFjweBYv/uQoPBUcdl2a0oks48g0T1 hVInHdklnkNRP+YaiYEwVtCKoB6DpZ5t8id9PnCqndCoS0zoz1m6R9MWIxYFDbJx6fQS3V4h1GOr uUEqEAcD5C3AwH5or13xeKSd86tNv4kYuhMU1qU3zRKcTs9j04iss3rVDCmzqVCGkfQwhEv1wa95 tFPrloJpB1aPhbUGD/YvaWDVpbHQ8RlRxaOpO7990ASdoFi03/P3VjweaXiNrwmqiCb25ZCtUFea MIY9nCzemnc2arriwHU4w2WFUI/FlgagBRh4zTce0hOSC1tUaMBiCFKnMBxKMqgE5m+yeMNnRDUz 0RDFlVrblXP2ueiTivFr4PGI31K/Imm2DmFtZRMU0hCRDQEQdmtmri2dXXCaNnJWuF8hbLqLlOB4 zUchuJ17fG416WuHlKmZvAGLZ4fkveaT3jVC3ssKcE8fvCTU5c0EPVM8Hp1B34A8CKlio/sERZE0 +EYozDvMgzR4mW9Lwp3CLcBkMA5aMQtRe6tuH+4tNgtV/8iRqjDfbQwUIQ+Dxi2KSVc4Z+pdg5rZ NImz6YOhGNoqLMGeeDPj8Yi7sb5SDWJe962ZrSiSRh39RME1GWj6maBOH3YhhVD11QSFkh46g4qs f8pj/QP/95O6Z0rh7oWgnbIw2Mt9FiqcDbe1ScSbrQjnsdRfpSCDBuUasy/adSK5Gng8wnl8936g Z0iwic1QCJtOylEt1zinZ/JrrJuaDtgt2wKhFYbSSsamkELzSec35MnPPqvqHs6kg7xJlqGPH4Ri VhCCzgc/I5L0UNtQqq97WEzcz3dJS8effr4QPB6x6W8ozagHP/hkYyskIgIJk3YRk4W2qo6lQrci O5c1KxJaIW6awl27SeCs5a+Cx8JOG6q7QpJB+l0bkDFo1NGfyEpmlIVZUSwtdarO/FaDNtmquBZV EeIrvm+ylb/BZnCMqU/VdUWxdCdksZmf7ZC/he6x0g3/OkhS0EUfxtK6nWbOPnjVdcOFHGbROIXW plEitJfQaAQLPvfsJLtzltjLBnKm24xtqw/KVwcUMaQivSoeD1r0dw3xlqXwxbhLKAqm0WNEsOwN VtDpXFkoJ2/+3GxjxZrTpEaJhTm/XBLOEdTPJBDUCaX4VOT2A5ulTpfZUp5YaAy9bBQLSYSyFx80 QzQ9Fwk88/FgDf2CveIFGnI6zdCOomkwKvuSkx3zsZ18DdEmU/lYKRYs7hA4XZTRW1Bd7iaDTkg7 zw8p4p5vgGXXjn0oR0LkZN8O0juKphuDxdkokseBE4SToW/Jdw0+HtFbfvXoUbPvV0VwhyzEzraq KcAA83o3Wb6qJ+zYaIJCUY+tOz4vH/yqPxkGgLtFbglnabJbXSBrAS+pH/CM3ReZivc7BE43qXn0 O+giO799CAoFRdz9Hk2fLXrVTBkYIlitF7C4Q+A0dXNEhUJV8elAl+wgc4kAaoKiaHqIpimNvF0e EyR0o2tbqkuflbW0GwcJL+iytuemZ/kdnxEVzZCwak9qcIIUzMw4QScW2iEJsczvjH6Qzn63WFiX JiZ4XfZGLzcfa1ebiqwKTVCI8sjSNdvTBz+mYWO2G4Hu4CjUKdNNxGkVAAEJdY6naLajaHqLlDDF QXSPc5RmsqqK4Ifi8UgxeH+lG5n1sscXIARNMx9rDvOAtOyP+5E88mZgkmiCYg6iiL4y06xPNL2R 0VcFi3BR6HQiKbRMgKbtt6QyqZb4jAgHkxkDqXa2r9br+VVKWM/fWvH4v1Q9JIbbcFB7rLhDgbyB unR1awBcnz5B++rmoMVuExSCPPpWplp8cAyDLC/REYR9EahxNP8D/a9mWtk8sHsEUPiMIBKi8dbK ItPnS6aH9IR03c8/4/FI/s3PIEwGiE/twSruCOQBXu/ZQianOGhPaoc01Y25xRoCFU1QKJCnwv0m iZeDwznH2WONmLcTTHfQEKkgSH4SBPfRN7f289r2rn+xWNcUVnFeS5u0YHzyY83DHdelPaMnvRM1 ykKFVc1QGEzTSpsAHd7z020zgfK4zbHhktM7RHmwrJhoEq3BCVLo/pSS1H9OAPUgIpiN73b2V7/M DdoH812DUDGJKl7vYEvofAHNOHYDj0dVs9/XWPsWFtphYZooj1K6EaRouyLvBEm9Fnm3mFvtDvXx Svdq0C0KqXvY4E2IxYHWRqWILVZZIvEX/aqULpBqT73rX8r3u+47WGvj3CgSoTyJJR6PEtb1tccW cAKk/mmCQr3pwoZGcgZZXlaNLjQXtwlK1DHt/D3vewyK7IAu9OSDk39QXF1aQWutE//IYjuxwgNP rXZRMNPfNYgUgTknMdwH7bETkAze8+gI4fEoYfU9RsQ0ED07X8nyFGI8oMidiY0kCqYRa8/4Ypdy O/TVkFQ5hZoeEmTI4m5k9/3ccFxPJ80gdf583R3tUKUbGU7sBX/ijaY35ZfwKe+TJNZGp+ogOLem 8JIbGlRMGE6QPvkLojb91WSg0CJuF4Q5Pk+hTh4MAkDMPLOzWcuvyubzssmhUy0wTnK6iYXydMRI guoqHp9beQ24KHQd14Td40cSmnqdVXXe41bDH9teNwIzmG9288EoHKvKPObkNI3PB3nH8GY9RXFJ rb6yAzlFcTUU+vsmwB81RoR2P25Vu7yEVhHa2WoK4dMSLm81+eDJK34Vb00IRHcg8qkQwzP+XN0/ i5RN0y+v9rbRJBUVqCWX19Cp5WLqa9DyCQyxxV8QREblW366AcZCHqDNUhRcAz0OE3HL8KHfb2U0 fDveLtseXOcUGiGyjzoVQ3LwYwnp2WCxASkDsln63KA83gBsytxvnsFue90IwEiBmNm6DzqYTqSN eiVS0lX4fMQf/y4UQfy/9htg5xQiP+gVsNK0ejUwG6YUUzxdG3V5UxG/KEJX8dSWUSYH7wnNcxxt 8AbBCAJqmEWl82LNdlyigzax+PQn4Kf8BcbvlQg9DtpxZ/bl/n6uvMznI/THL38pAiu+zFhTFGdj D48MoY4snWWIOJJYVgcJdzyYBpJI2gOlv8vWO6m3mL56i5DzH1X1IlT8RckvIFN21GzAAPeSI4MA fEiUzDI+Srn7YGXrmejVA5bP5vPvs1RvqK2fZoGiPtdcGGyfV+6rsSSEXvWqzlAsAjaQa5/JAuaW i6LtaWF2usPN+M/3DE0OzNIJ9phlN8DE8UWej4dunqtUjmlvG/WHCGZEi9IGzRIc+WTyM7de8l8s TpVmMa1X80PpzetSmsI5iN0KHlX6uURgA8cOyJWaTVAKq9fkk89BbfM5rhJjqvtccY0dM6kyNrYA zl13Bq6n5kktbVz1IREURJPEZuOsrNGQx4nqHanA5yE+/y/AnmiK0AJ9PF5SFHMvkRfyRexdt6nU t7tNIYn0wztS/ihSY2x9+HDrsxvY6k7lD4jmV4IdBpXX6WW8Hh8c+R+nUJWacejMz2D0hZkkZ3XS +cnng/32WEQjtYWT9yrzTlKIrV6Itnd2XeqSnCRUZnJJz4b6nk1SjAhpyjemD96NPVvg3HGNZX5Q X1GWgiNpJsX5XOU4YogpKhlFA35IUECSdgOlDDTYBTdhio7FMRhThlYv/RtVBEAASl0+SaF5IsRW sZI0SSd6Hbel35KHAZmUMHkDhqiQztoIxeI02Ml9AsYBxSD19HuHgiWbATifwdYBFtvZinJUCuwT IaenxI1qcVeRDpzRlap5aTc+H51JHiuxGZKokX9XUmigCDQ/3H7dnlSylawBuO0kiAzX3C1wUHSV lIQDxgafpF7RMy2W4+ISkDUV7gWUl4kt+u7r59hB0ah4tfqgJLfXaeHgyT/4fFTu/z64aahb+7OS Qg/FnSx1U5rba/E0d2cXGK4U0GAMEJooAu/X1MO34fb2az0nktSITuhKE29OEhqkqkBdZ+2V7G0j 0hmbRZsNWqqvKOIBmmuqM1sHnw9W0iOiK/pMgdjlDSgDI0VoR1C8IRWvSPZbsu3F9XYqeOg2S2HY TTk0mjTYYFsc1FnwpQS2rl3apyeYylZ1JwHNitpsX+fAShH7TVI75OJzMCBftwLNuSYrn//XfuNS amxWljtJsbYe8zbHGRWWHszwdrrh7Rip+34L+Yt0b9umOdz37R0tKClmwxOfgKiqbgtnY2Dv1g81 mC/SaNjrRnogQutvG3wtnQiqsnvUExw5Q0PFsr+JMdSzA8XLZymSrAZICOU233DOOEcHsvqGa9xn mqUo6Mb5Am6rmB/jMj+oXjYLjAZIbAAZED/Z4XwFmfsfAtfsVKKoTA4sFXEqESQCPQ0btJTgjCeD m3OD8fmghfRL9Rzw4EwAgk1SFHMDY4Ic1EX2erkctHzpQxm7UuFkaKq4qMpkPFcOt4kEvDDll8+E zToqFWXToHMehcro+2Y0vWKvG+kTSXS43sH4H2eWm5r9ZfP54Owu3u1nlNUoWXBxazk0VkywqMgU ymbUDaybQ0acLjNQxPFpinxgOovXawv76Ic3NObhLgorZd5w51oqPLybhIoQXK70iBZUe9u/SPmL YZYGCwNOkstOwPk9ej7ie/7i6m3mcE8YEFa6N6H7psIMGJtVk0rPzcDGyHKzT1KIG5F3wGjLB4+6 18lNNgpWMFJOULXET0JSFKqoFRIz5aa5ddjrRjLxkt9xHWsnWwENIdHOuTKfD3KT9e2NRy8hWE35 LEVhd6N0bN1iVp0sZHRfShTrksgMpDpVMon9FUW533X64FB+uNi1ZV7BGZEkvYKL+3aRtuIOlNne 9s+IstACjoOWElU15Ak4G58PcpN2dWaIxQanu12hmRx6LHZKN9aVDGAjb06Kf3aryUG6sZjvUg5N FreEVDJNzjj4FbdrT/Atp5AKULnUbmRX8JxLrHI8SMhsbxv1TVh2o502BrvhzgrL2uIwJ+bzUcUk /UpOcMmmGyyFPouTMga9uU4zqDJWfeO8my/MupMUi++pwa3qUn1oM2euTw6Cci0b3IBIEOuH4kcD 84AyBlpKi823HBotyrm8k7OlwYpvAJToNC6Tz/+LQSxpGvh61GeSQrGQQSj23W9IrzRJfVupCTAS N2bIgdci0JzKbwkz4uAFE2rL4QKmKW7tlUoG1Kvr0ENc2WEShchVfsj/Z+y7slzZjWX/OZZed8Gb N/+BPUREJootVfaWfnAknSKrQZg0Yf6WKBR8HVaWXD8ZZk7kRqIutvkBUeDtwRLRgYB2rKdCGdgt msM7pXioDrZTu4LWjLF1KklfjrMUQrPJzFsC3XDwYsDs4+yTzhbTBrYNSwk2g+ebaRwy8+WHUIWD 3xKx0cni28IlbbauRZRtKWnDZb1lRKHxWZreiavpKQeEnovU/S6S4aPV8rTIOy9qNAkwgftZMWVg unglZc1Ppz/H0vljzvVL3zWwaArUooiehNKG/BbLbXdTRC3HpouuwJd8UEy5qEr2w0gz8/moKVC/ dhzigEJjTJukqNrdipzyhjd0k6vKlrE9PYGggk9SrB0iscLSfPDA+5ynTXzJBC/n3hh2DhYr8B2Q HjWymtQcc2i8yDAo7URK8fbAFZ2MtRUkns3I56Ol9I3dgrhV7V9ndxR4N1IhtnH3wAqZzlcb2R1g O8qJNkmhHl8ncAKSGjbYJA2Cq3InCvn817mrMO/sEeLGH9fIQu6dOfReRAEMi8VkMlxApELpMMu0 ag0+H8Xd3xccP6Y8Dd3QfRFJE+q0XqIUqa9Lx+hiJCFRZZP0p2dMhYmOD3clnZDkXA4irZ28a8qt OwNOMTep0z5JOMb1tsEkVasGTB9su52cR3c4q2+h/2KZ39uNQor76QiEBoydXsJjOMCE6aIBTNip ZMlExe6uDwqiAAHZh7bb+NpuCY5bqHSdSRptwzWMjXGWv5E396sNiiRAXxJccEslSp64HHySzvrk idK43UIdkfmdm2SkKv2r/Ba4MKL8RifqVW2WymhefmuMLalTrD5T1wdFFxzP48GWwBxPSwB4tgqA AOVoAIRiogvzzbPaIXMAnb2p+612e9tgKYk5AoywDXYopd23YP818/mosPTdNwHdvNYrVZxDJ0Yg XwEImA7837fajX1hh1IvxjLOsRXj4kZrZfhwD6V2DixS4CC3Pw0Shz4BUqj58wWYJGg7h1aMRvFr q/tgYKXzp3bN0Zh8Pgq6bzOXk9Qpen33W+jGuBl1U9XT+iZefTtBuxNpa3HEWw7sGLNzscELssET uBMTjw0WGBRFThQIKQ/st8w+/jnK+jNLo9vb/gEMOJ8oRGp3a+pzBI4p64WW9ZKRQt8FvamZCyb4 EynFlozkkOTrAb+qU/2qyBLkkBQ39cyhJ6O0Qufcd/DC0ljg6OJiz1SBF46ZtZlOKZSCP9oA7up5 h66Mw2RC0/bBkpNmYu1AavD5/wV/S2XffrVpcuzLeOL4ziqGNSqHRwHNOwX0ZfQL7g9jRk7PEjZy rctnOydUqRu97TNLaMBNNgKKMoM0qZlnoRJMGvS2QTzJ1G3uvXwQXB21zib6TNZLBjsu/UpzyZG4 vnH5D2tG9ATqcjqSJObZqNxfVjKutJYDb0a0vLmNIGtug8Pf0Gs6W42nEuKkQi0bUGRO2IuWDPvz VlfKy143QixNEkZy80GxEjBVLMWdK3Dy+Yg5mr/CgCmo1DNLIcaES0lC/NCr6ViR/yfOMzWhMmFd zfPc0KJRWOXZCSDhcMvdE8HjZga3zgItROtkVNP7mcUfUKStkLtSt7eN9hsLSqN0H7TfUMBbRj/O fD4qd/skyV3lHLarPadSWO4G1lTeyZJW7dPL3ZRFMU267kjKwKYRSCDmt/QZ0uAVkzEhvIlf7wQn Y3Wx3QsT4l3B9Hl6Aqvb2/4h2wdNqeqD0W5A4uFK6jyUQkh3/j6UmEKs67CXA6NGNTjPRI1mPQHC WURuS8t7AqXP4vstjrpZMalytKjtoWkD2zspRZkheW5q4oStdNAdMq3zbL+lZa8b7LckjMmsPhiM EsKbVblJ5/P/0psXjgC/TfkKlsJyd0cnQIUS/hMwCdLNIiGPPQEKQNs0hXE3q2+riLNd2kNx2wOa MiosnbU0M/+VDLPhDopb5r1tNcrurxsEAsLgbDYFOBiqC847FF87mTSfD0LKK4nAwBvgYdCn7jSF 9e4s6x12kEw9S9g30KshusbWievO59CxkbUSBEbTh3t6n5XSVX8DBWAhlGvwrzwhSCXVPdcbL5Gn lAPPRhxMXE30c9ag1XSu9GQ+PHvy+SAQ+CWMwFO8PxCK2LURfTjoDFvfu1Xve8Na3AOB7ibxObBt BFacLk7kKmmwr8Tm7WMJBU/V4yEPCKCIJ1/xlgNYtuOXRJPEJK4p4eW78oDJqUn1GSgtPh9tufx1 MBUChK5LSA6NG1HKgaecgQPKukyBxM6E4buT53Cxc6PkjzCdNnh6co6juUuV0FgCaEXehTyq4OlM by5p2GR/2wBsSu/YtWQCuq6m6Ky960+srfD54Iq7cocWY/+UrxwuNG9E2gCi0oMzqZdOsd3qu/H+ 1CTFKiSqmazlg00SOWnTCCcLAqZUGJ8EHVJaGKvIeJOqvoXujVWavVtOxPhMZhT40M0bCDrNix8Q idB/H0vNqgt3lkKFbCJyJbRBmEB3uaiqO44E7tqG77fYwbFISSv7cLmB4JwMaYi3BZogW+PozBTU 0/bV9KMGL7/jb27gXD5ouw0U1MX3A4AidHD8LTlWWY/It0TZInA37NP6pmMQaSei4tKgkF6eZmm9 TM4mtzDuVslkPYOd3Cgy4XDu5tjMjgywwsLWnoiSJHfp+rVtbxuhKHk2/6eQOJRlhuRI2qx8/l9K 4vwBEUzifPFJCovd51Xh/6m4yAGCbHoXr56MgsKAIsrAxtHquCfHlxRAe/hdHX1E9nCJxz2xEutK oGTBjbP8oFlysW/L3jbiL0mblnISHCyibBQspoda5fNBHTd95ybgSLRUnt0Wht2s4w4vBiQqyUv3 R+4PXZYP1VdSFHZLhHV1kd37Q3aH1gN6+JmX/do0+IP5zFlsA0jNkx7MS8yhPFsO3BwzvaHZfUs+ aJYqgiWRlauejwq5F/wmMA48J9YV2Mqho+Mko1uGhYy8tyMo0nJ0Lkgpjg0ILB3PsbrFxNU992WE hdwW+CeyKc8FXSvBTYNC0DihAFD5pUGWA0/H214qAlAUB1AUijbyeppoVQamjvCE/AZSQpT5/HDe Xvq8RkpVriN9SbKu/wAVankbdOyuChlWHE/u1zipQimSpg+UotXgUFPqi1LDG1I/55aXdvSilBjD p0e5H6HI5zVKqqZil2gZaIPmZ5/cSgXKtvD0y+xUSLGq8NZ1aANhQS1EQYCjyRk0At3G7Ur9avnm NazvjTPXEQHB5HSVJKEUYYNNzllXDb1y1twyyulLYtDYKmTHkPo+8xfu/XV2TgxJ/7QJ50MbFB5t +LOxOVlQvn2dHcQN+2t2sPYwrTY7r6HReQj56EAqrgut9Oa+Ic0FtIGbdH2218CoOts9ZzbeONha hTrLmF3O6BvWvlN0HexTXib5AnCnven70imLzVkwqG2w2vZse6vCuNvnNSaq6dZsbekg9SrU28BX vkZE1XjKRNTojKbKh7J+raf+eDslfMzb5KDCQl3IxNo2B1s6IJUStMXJWXC1YRkOdO4vcT+hk9n+ fw2HKsIZesmyqwHHQ8iJcHZmg+jJD93N8uc1GrI/9JkdEvc6xTZoYBvMDnqQ53IvzQq1IuCw6N+v UkKWkRqcUqONJbMHtqs1+MZCxZrubwVcrnPRsArXUVeuGxxl1F5tXzXafUenjkLp2u6gBBYIDKaf rZ+A+jUKqo/HpRYcOaVz+L56jYGwGSdl/ZJ3RPp0ijtyDm/4d+9lv0ZANbGgAn9dKotysMkBPvhc e2QkFThcUzkc+pNYZIsw2WK3FgImvur77CxpISXd8MmTshOInwyN50ar5fMa/mDp1O+NRV03lDM0 O6/BDw5yMkmlbwhYpGJnij+zCsNLfXBj0OYhnB0ZhPThg28seGKMLE7yPGfOkIwNrYTBG13YL5az ysEg2lh5vJk0g6M4iK86p/T4vEY9OLNMVLRfMHthGZST8xrx4Eiu9Nd1GITuGkWGDCd16iS3cnqN d3gkc3JYs9bgCf2JvRqcc7GvEL0NSbFB860tdEnT43U1qYL0Gu5Uz8J2UefaYyq4kGBNYnZOwPR5 DXb4ht+nTt2cYr/OX0uM2FiVrf3txJqRLhtiLN9YFY0YCbEFZzKOBMJasw/eaKyrm68qIwRofyK1 ABSKIjYkRLghIWH+r+VFzA4V5886Hz4YMntNNrvRAsfT77PTV/+PG6tCml2z81pZrOp4AN6YfuTN fMFYjFF8drprYr/WFfHLGICWiukc/ExGY0ui6tB7WaiJIzttKEQSc4Y6jmOyaVH0WlXEL6CsdMmT cHlVsYGPWM2hCE8Hx07/nh043tdRs4eCUaBMPdhS3P1cvChND6klLAR1+TaS3xfdWau9HzwJkeDC WyAxRfm4cW/BsqgD37TLbVNP4YzfQ+VEnueLluiJk5uFyoTORLHyMgakXenoKabpAc87bQ0/SlIj X3c6PCQ8kzh/lTfyoRfsBJFoglQCooahBi+Uneykl6ne68YdT5JE6Vk6FT+qgKv5iioxXzY4m4VP 2+kOWkED5UTJXFc+HsXL9WuGCFTe0w/nd84arq5O0aPumuHbzePYUrYd1pPvsHfKGr89a+0sHzwd 7etEC0I77nO6wbSU5MdmdTzACCzZWsrao5AZMGhE4+UOOp4nMm/mEw1FsveYGUKb6fsEwhbP9/Z6 p6vhDyPHiCLoEvfpF+pYLhMLRCcrtkZRcxcUBLG9DbeKeMLECk1tGqKeH45k7EkhGmSjPILs+srC OEQJFw17ctY8qYCsdPQEtxJVX+hsRIfQ9AuMsH+iBvadoPe4GaW/QgFRcz+3eFAykMlXUIMKmNVZ owlifbWy8KPBjr0TE3dYk0vEL88tVxdi4Rl0ZdL5KKBFB7LPO0+NhxDrq/L35mCgq5mm2u5QOXyn qdVHM7yL8pgJKm7dg+d3nhr+tN6u6w5L98mLY00tRYKuEIorZ38Pn1Ebw9xk2stosGMIZq6VZvNM Sxug1wwEwKRDV/ZLpY7ewZ93lhqPTOped5wzGjhF8II01+GzWj/vJLWKqrtfZKyLoSPdC2EynKEw gu7ExphODWCgt2t/U/hR2EZiWSwKoSnrU0kEtOF2NDaY0QA4g3s3zHd3kdeCegEABl7zEdsxiqGl JYrivw8WQ+PTCCSaeX/eCWpYRD195V8UXSLKUhMURdGAPJ1jZl0vwmkde2g1eU0MKui2y6Iwuqte 2ISWbXPfCWoDZZnEmwzGTYW0mg6FxUovaYqmmN6Bv+z7DA3m7dT2skHbbM4uC1aQjD/v5LQqUMEz Q4zGNyUh+KXvkfRZQg0Romz1APxQE5GV1bxdqCbxp+YSikLpofbqrsUHP4cSAeNyazzHczYbCOT4 Vn35Il1tvev7BCHcA94j3cGBjRDQYnwMlZooll77O1qknWVnjZ4TFAXTwPTh/jJkDNDQNkGFmDtO EFSJbYKiaJr8j5opd6TB49MFFR/2+TPoMlhfCOs2a2Ln55CYqEDEc+pdowmaXDqYIA0qHbYxVhdK Ee3nKJw2RKZNEK5eEVxVkYvCaagpnLc2oeeRGrXpxJT1ng+waQYdeiekVWuGwdBq++CF59RnS81q q7hyeNUPoNYq8TC0BDTVrKF3fb/JaKiWae9jg8H1T5BO+nKdJX/e6WhVksJf+QbOwr49G3tno1lZ DsozzQ6h4Wrz585vDtNDcd2qq+/hNFbiEM+6+XB5Vuea310aRxniTwRhITP7aaBKZXoUOWWv6GWj 2jx7F2M1HxROr52tWzjb/Lxz0aocfH7XEM/R4XvsnYpWja+X2dpjJUgSEFQ0nv1axiLp1xKKwukk WLV6F+mrd0FZ/gZGFZ2wG7RXkXAskD4JCERE5L1CChy9M9EYDbGfOvIdbA3VngRjzLV93nlo9fEB A3YSL4ckiOxmTVEYUBMHQy19eaJeK7myqvec6/Zz+p2GxkCFkfSQjdO4Nk4n5oEJFDA1cFPv2Els OcMCupHdhEas42AoJfLOQsOXuIfK9sH68rvIhfXkPXw8Suu/s9aBiL7cWus7BY3lClDQOnNVRNQ+ VxkeS561jpqt2PrOQGNaz6w1K1x21aEiH7BJvU1K0lZQ+EmM7UYfzqKhrYc49M5A4zbT/qLpHgeD eZ5wd8k5Js3POwGtSkReM0QEdcN3CqrEKQrr0eiC0ZtUJ1Fvrhye5rVm7rjhdBJFBWlaOFWGmzbc o/rsg05ibab0Ksyfz1ENCmwFiQIxvVXrZfDwTj+zKg0yXUmIVJcQ6VD2EpRqz/V5Z5/VR/ahm80M tDGGR0Pv5LMqo9DzBVZX7Ltu18dqdXpWVriFuYbeA2rl3Ezotw9+EMETCp1nNlFPArVp1VPnhsNM Y0NyPLWhpZd9P4jgyQHSWUs+GDR/4LBmvJj25516VmUMpxkiMhQS5oSI2RSFIXWZTFy99KHiIm+z PF34CcootobeQ2ot4VZ5vtvgAUaCzWGWsOFJYJOUHjYqNYiAIRP47eXE74hmiIjzLjYsdfPlzdwS wiMEOee3/rwTz6o8Fp5FhAS6jO0dsXfeGZM5CBptbxhacdrKZ5fBiDqgZug9pEYnnunYYs9ZTWPP W+FpOXnfQ8egT4UEkJcqOH/avNWzwZ7YO+vM+uIQZK/bB4MqdCjd4evOJH/eSWesfYyvnIP+VCQy a4KikBoskEGpGi2h6nZgZ29sFw+viI20y95D6mz1xUK8pgaHdJ7PIauatQ/UrruEfNB7AZWNErzm uIe47fNOOGMFlbsL4GMbFFKDEkeo+VlK6/PON0PAOW7OoeeghLu9RP3ON8MSQnUoNeObdUQsftvv ek0bQRFTzzmMqVG7r0Vs6vKwqdn7aa1R7Gk3gH+zlOppZ43/eT/YKYI53ulm1t6CMs+uPthfmmrd iodG/ryzzapsE78iRuRo4K3aBP2N56AauvhBpdkplHd1WHCpvsfeqWa38lEXE/u6bmKPtnPLEOz9 AWod2mrgBVVgaeQOjwkjnQn1xaJ3jTALhEx3wfF7uvrzwLQTWd9PxPZONMOfmn8hOpA/7ewh9TvN rJr0XBEvGNHQrN567skaQ0hEuu2xd5ZZNQ+Ms3Qoss7B48UEHhD5U/Ak3DRGQI8RrIEBCtN8rIdL 0bsGWZkqrzSU12BpK/DvIip0vmJ0Snu4qICacmUeLr4zzHB4ZMrPt+HA8u1NsixKJ3mv8LTVBL0H 1OaBUekFYYNtMRYUC43JwKCCyx7TEjakISGY6SItTVWqX7zzy9jiNll1FqqR0fHPAs08y3MPPOTP O7/svyofMOOFxJLPUBRQ7yrmnaPKx3UwSHLZLTqELKB+Z5dV4bXP5iosgFTvgKKBCELQmWPtsbPd VEQq2F4ZnLpLnu5MWt+ZZbdLtgedMLYDJBC0lWqFoTk/78Sy+nAUbYfhhk/VA6F3Xhkb1xS8Gsla HPlOD/0p7AiidxunJypPp8FACIAUG3yHQRJsUNENApgLvs285mnNfP4ueOKaBQaZKp93VhkW+5Jh bEo+GKYMgZxUY3r9vJPKsBKSX/PSTgEBf9wzOgqmTzKDxka9vrGrOqqsO6p8dIB2mbK+U8qqu37m piK1e7riEjuTe47sRNWrcxsvoWA7KM8NQPZMK0ZjS/Wtl/2jdJZYRLFBh/T5AfpS52vyHYMdNryN qK4soDqp3imKgmmQcFAxc0ZZS84oy+napRFgoGssrk9vuYMIPkVxXKk2dsCHTbN4IWIgfKpRy5Ha czTSU1bfaYD+zidjHYs4D9MFofw9o2nE5rSz/0HT8vPOJ+Od/X2RQYq4tXKnKIym2eMQn7Uj9m9u 8N3STl49y8jKOUVhgZp1xQxRQhs8msY5sWmMdqaonWCRIOoBxT+W9yA96hV8BovvVDI/p0m4Fv3n ROWTMzT63qxGnWyJzwf7bHttCI8hQ6r9CRajcBq52IAmslfP2vVnFld6iOBq6M13HhneniEgrxcb HGZ2cokNIAMr1A1ZMfcZQO8N4TSUxH0NEUj1ziJjWMIZ2tYMqm6kMs8XsEKN5PXzTiLjK9ZfFxkK wMkvsncOGQ8itqB5wSN53VxC1HBC808l/I7jifrp7xSye9XDk8AHqwwBJQ4hEfIR2wD0DiX8Ruox MMOZ+m5aQ53o8XcKWXVhucVTC4PFxWeHT2aPP+evmZ93Bhn7ZL9miC3b6n2ydwIZ7iDUzpIk9zMl VHFrUb6JtSUFQ6CZNSyhd/6YQcGAbmU4zcGX0CwDJxM32S7wJaQpKgcsHwQX3kjE7fl5p4/d+iv8 RnzwCnVhrxhiFePzzh6r+crL2wQBTDUv4OOdPIZ1S3V55scQS4M8jjvNAIEu/DhrIVpCUYVauoxb oqB75XsKISwHjZw417PMp0zicQz9dDB5M20qBQPGicSXjQ5q+e30OxioCkQihUNjfd6ZYwb/+epy AEachp9C78QxojkJk17aZH0LTc5NpnraJNa1GN/nnTdWL7tuSL1p9KdADYUPQhFp21jYPCSqKoNA niEM/wgU76GXDW77KufhcgfDxJzvECjv3Aqfd94YqRY+QxfyIW9UzlBYoJ4UunbPtCxvMCl/fMGq XDL9nTV2c9bSFTD2J2BspEEREwPT4N2qgI2b/XsiaYrnrHA/5LtGST3Z0GncwZN6HANMs2r+vHPG rAHw1IVA8SxsvmiCovI0KjjO9cUSGs4WL/3pRXdmVDyFwog6cYJ0CpXnFKrpHIUoAqmCf66uKVe1 VihTi9D0GoIRM/TOF2NOL6DHSD7oHiugixHvcV7/804XY2rlATWDZxwb4zmEYrgHo8Xu0WLN3opO u1jgeAKNZqXXd7KYIQXg7AZ9bw239Hp2WAGEAeZHE8QVsYC0pM5UzOvPjL44v+P9lMZBAzQVqsoa tIDmhvoA06zCx//ZisaTdEGXuS5nKIqn4Soycrm6aKk+1g3rCRa3b7E4nlauSkV5DjZDOGMq3GOZ soK6t01ljiSW9UP5EjuDkr1rUPWAuQeS+uWDZmjB94Cn7Mn5Pu8kMeIefzfr9w8JPDZBUTRNhOU5 JbzqMcyHmB0g1/4+v7eRoNufyOlKlRob/AwaiKmoP3oOgQVhZbmnohi7sPPQFFTSCtQnvyO46Anp XEtyVstjxTNVU6h7yELj8SBW7PP7oqeg9rorKAym0QGq3ZHlpV9lPRqjm4R8cQn5FtWmNUGo//jg eJiBDZVYQQTOPA/pONHJCXWznNdFLtopHUXTS6gzWmFqsOr9OSSG8B6Jj0fN+l8XPba9ZCxJFImj afbIutU9QJ28MzRdbCDfPdbfo2mwJHn80DdbgxfOUMrvuNZJiGo0y8YpjbZi15V9g2kWznoUTDO5 PYdC3j5oCVUaZvIaGxWPR+DgX9hXLurupdceBdOUjudaV2GoubFl3mKRUY2Bll+coAjvARUcaLah uaPBrjHAgCCcx9LrAtheUgQUeECfaFMbqUskZuhdg2yDHnvnQ+4g3OLKqrn9gBKLx6PSq99jpD3T EPnWpntcm1ZhyPs/83H4lrwHAyGWT3CP9ag27flYnT7cYBrXJHXG0J1GLYWAmL0o4QuNlX5ReeTx 40uiwhCFGLIMPyh3uizfWORLnz+7FTwfcA6XryHOJ5X8W7991h7SDhuV9fP0eHo5trNRU0ZuhJTj xEndo3ga9x7BMMOHCzE/2zVXycSdD8q7yAYdBHFKftX+AM8oV9GjeNrU0Lua9U4zQuhfJJdZZpl4 PDiqLwh/ezhULq6qR9RDaEWAAtUN/dqpXiVO7/Y+a0UrjTlZjwEfDHKohK7hqxPdAaJmJxqIK/KF hmR0KsTE5iNVsfWuURuRM4P2JoZzDw0lHJCtYFZ/Tnw8HkzQ8H1G5Q8Qp2e7ExTH01g4NTusqlH1 lAQ7tYPoYdEo6tX5OcESGpKD2dsHv+zPFkKWL17vgKseRCt7At8F8QSsAPwgQmcE3xFc9vIe7rJD 706TQl9pECsJjAAe/9cuk5IHLIgv3KOHFWq0WUulnR6qlyjbyFgPJWDXicc/aoL+DKgLasw+3Izs /MLnlkfOChFliOlRAf3sMfQ+oJ3wQMypWt2jCjWY3SjeK3W9Js0NoqlDbcQx8XhwVPcLGfpBvwg1 zebxYo8CakCcYH6CyWCJCCqzEqki6d7UYYF2Q1bfo4B6K6vvIrr0S3ShMFLvVVZowJVncqU67ZyR /Q8KDIpox/p0j+rT0BJGycwKaG48iIyeRwOkXicej5L6XwhzlHLruHdZhJ9GnDXQx+LR0xfs2FQY qlAVkrpgRqyvJRSBPbqw9zj2OLDtiX8TqgVohrEwdIJguDSzcnberacCy/Y7QQD9V3zHH6CzTHs/ G6wutM/n8uTtbePxCLn4i0zGYh4dEDRDYUQ9wCYj//z/xJ5MNkP3fwREBqcEb/uQjsg4KOc2ffA2 UAVWBWzzIvQrlODPDOEnbEB45/LAPdjBxpcEaetSKJ3vYL36Sg8T1KdTw+PRGiq/pog2OrdAPaKQ elIKjjLa/9euOgXTVgDLr3SH9aJHVKDWXV8E7iwPuBMCvI2cKUaMBQ0gdooQPmSE1z0/Xno8qEcU UosmleiYq0GLCGwjOQ2dXYzHAzL0FRmgvwAAku1GjCMKqeE0PUzqBRX8tFxMKA+rOKK4mKxPNuL6 NK/60u/gTaBz16O0JJ/vDjM3qemxxXp213zY0IsWcSMkJLILvXrbPngzuqu5i1IEHv+f6tOIMOot 4I8YQY1kdXlpCHoPzlLIXmYcAyRyTVBUn87ikakuVhn1/QweQyeBRsuNSVlq6Jgps8UpQJvH/Fz1 cI3FdwTIzmYXmQ1Lm6Wu86tOAu3Of/B4UPhojlsUxnH+qCisCYrCaSGqWDg3FQ/fYpl6t+q0jmpZ 64jCaV7glZ4YHKpNEJQGym4EnoCRtRvCCvTRcOTj1Onpuegn5idEewyVzlL2wWqvkCzWGTQbHv+X FgOTEzBs1mVKjSiYplg+HFvsCGrFYZ2ZNqJCnK1hfMQRBdNTukE0fNPgp3Q6UWFj+ozte4Joyb5h E1bCbGiZYy0gmp+PqDqd2dlgPIAB7ajNPdarup8sAuL5aAn1r0OI2PJ+m/UjCqf5syWobSicTt7W gEKAQ/App6U9FoXTkslJSwDzdQHmBM+lTR8wNCXG2ERPn8iZRy765Vcil80DfEeQtRYJclLzhIPu sQLgiJpkJ1gcEXp6/JIdIFWK1Q9NUIieHoQGr0t0GY5abGkUz+spZcUJitDTZbNV0dmP5uC4zrMD 8B9CgwstBAh8BVS0VAJl0u2R9ap3DZYQqoq4PqYPOqVB4eMmaycJweNBLHQB+JwMRGdIR22Comi6 MWPNY1sBfxBoplO63GtMkq8nmh5RND3FJStKXKXFyn8TbhFlc8+f5TnJUpBqnvLOH5yU11LwfDy+ I4qEcMFn6lBqMMjQmWwj/a6NxyMOx+/SGZDJ5SrCRNE0wAQIdJpnrMndzUpzq+pRL1VqRNH0IMoj DZqccrCiB2wW52jqQ0uDozClp6I00j8Kvumc3lpCYThNqvmCyye0Gc4aMHQ5lAh5kWEH4/kgqf9F twNgs8wrZDbicHrcCj7FJ+vlI0I5wdbQyka3G3GBmp3oQW8ADn4KgaMwJnXeznEBkZvF4pl61ufz CNRUCX9LNyckJFJWca/RfFBK1rYop+DbFTwelT2+S/i0IgDESzM0wwJ1zdf3Bb36UbKnZGICU54T 4j+cofkPJTx6wWrwNiKEE6qkb7HLkP/R+oz0y/N7sA5msLNi7xrkrDLsbO0OFk0D1yZ4eWt4PJig 24jGzCL8K/AqsAmKommQWYFclBMeCMxFRmYA9JIKTbodbh1qC4UFak5Q2tLu2vuWPTqMiid8UnGR wQJMol3ARTaQ+/CTePkV5VR8x58VfHBibdAEnVS3JZmZtILHo2Pom6AAuxA69toERdE0UttBcwFW Fk2ygpXF5mLmYHAYr36GfMQqaaqZfbAJAj1sbVTLEJ7Dv5vi3R1Obw1JEYmqRmml8sCM69OamZ59 0BbbXSL+wABVPB5N0LdyFzUiwF+xCYqiaQSlFF+1aHFfe46eHp5UKdaJnmFxmpxfAMx8uPlYL9Kx RsJ6fuxJntT5n7OFs/WqBFPyH98RnUHkJ4AIY4MmqAIUz1b9TBmPR3KT9TtWZAP8UlxmFE7DrnAU 3fRwMevZ6ZpZQrgMhZAvaQVF4fRQEpH29sFbHA3vX3SRFUhX8JQeaD7xkJz7gd8jRcZ3RNoVhUqT O/ugLQZjNPJ/UUvB40EX8VfNgzIzZOpqguLaNCHB2296pa5qb2TXNxvFKb8zwnoYXMjETfoTTMNN 9aStAJieKS9tkKap8LcxcEMGZbEiC4szCqargFRZXcTsXUR44DSuANh+4vEgVrwCMULqA4Nzg+kZ gT1GIZneSvZ9U+sSBw/loa3/k2RA3fk50S3GPL0qIav1maBc0RlrPIMgPMC85IS/OGqoeIs83eWT i971r8LiCWKrD1by6NDl5BZbDY//Kx0jWha/Q7/0hBkSESsmaDfPNsrNNkqaN6Pf3madoUSeWKxL iet6SOMbVtyQHpDLREXTmGcQRV7o93Qdgha9OGZUmoZWGrXx7mBlxTYWgR9QZsbjwQSl+ZVtsPDO AE8TFGE9NEHT8WYpXzJrbtWriie5t5rQDIPpTJodVf413C3WUKnfJCic4HnJ5Bz7CTWKKXFe649R pGpGsXSTpkdl0WxXL5qhTNCr4Pe94/EILlS/tlimr2vxdGyGPMRGe5LkVNY2L2N8XnWYSqYMz6BQ 2mOqQ0+eQnUTyPNvdggjlSIK0LnClnZhogYk6sKoETkPkQ7vMxTK4xbL9AXSYGghVK3UH8sFj/8L DMOgEtIiY/oKWlEkDcX/Ex7mZQwOxUFEluNuN3EYejFiBa0I6oFGE0phafpwtxhcvGAch1usGmP6 bDGgfyGvghv0l+r2CqEe+0oC/1IGLttdlM9vg8cj9ZxfFY9NoU6Pg1YUSYPnDYFX8wUs1MvgCkrJ fRQhwGRn0Aojacl0NvlutMd3A32OcwtLHAbe15M6cIMe7Y84sOrSWOj4jkgoj1usSS+vXT/X0Smj hotwVzz+L7wZi/yIJvb2LbZCZWk0f5C9O9Tj8jSrxAcoc3bFk1cI9aDWYt6q30sOqSlQnLltRmRn girUSXhI0xaYSo84rR+2eMN3BHXpzXJi6sUHq3iAMSDFgTXweERvaV+BIluH895iK6QhFlbKevKa Wb+6HjW7syRgAjZBIWy6S7adWoscbAWdqdkLEtCcIGhJ0al8gp8OTuJPeYqKkvVYYWF6yt0mLx+8 JDQskj7/jMf/laxyO+FUHBdXvqJIGpJHQ/AKZvMqTG/u7OE4GMj3KA5aEQsxS7O91eGDJ6ulgJu2 l/g/ldg8BIqoQuJsBczoiudMvWtQM6PD3Z7rDgZiWE0mZSfezHj8n9wNHAAEve5LRFxRKI1bt+9m C+f8U3I7kq7WBlXgdvcZClU9VJdOZtwy7z1/7iYoEW7qvZ7tlqSTV9Gj79DugR+AG9skAs5WhPOg JAPatNUHJRtzVTULTk408HiE87g9aCw4in/XiwteUTC9WLqnQQwvsuF8+rN2r3+E2dslfk4UKzKY tmZ9f4TyFokW9Imm8Eme1TSqJAf3g4PPSkJgT/E7ohlSkCgSWeGlimyjo7fAFCulhscjPv36uukL /r2nf7hCIiI1K0qXFcIwJCd3GbqqQsLAiE6h0AqB09ZZTcMHjxUL9Bcym/RQcOmJFKmBH506dXQo spoZlWFWFExTvSsNbg8N2mULSsddJSG+4vsuo8/aU3ZF4v+UXVdMQ6ReZys/LkbpyPLzwu7U0q8y zIpxHqy3oh5gg8Px5sk9aBYCXDA2HSuzJ2LsAMLgTMjOsoNxkl42UDSVFsMwHtBVhpnQR5WQ9Kp4 POjRz+8OonoHl96yomgamG+0oK9iefL2WBrN5ToRptgeC6Ppzgsc3go2+BI6VzK8/0RmQTBNtBkJ Hh2+mSiB32BoDL1s1P5hMLRNPNiDoX5WTpF80s58PFpD3t1g8oZTYV6lvB2F07CAOK/fqiVklfUz BUPD841Ei2rqcoc8xG2t9+2DF4UKPAbWMpYdhAV517PVToYrLqhvF+kdhdMts7mxBXvdpq0CIb9Z hgRNBx+PCC7f7R+05Up/Jug9nIYQBEKgbG41fYtSzwka1RuIpXsTesfC08nTLBt8gjaARkP6VBNu pOTjtXau+I7ODw38zBmZovc7RE6zcj/RbrbBCtPnOt4MZiCKu9/D6UQ68tcxDfpIvVYkOwynF8qK y6jhZ1tNjxbB+rY9NvGPmqA4nCYOTxgODh4MQQK9M24F9ngBks2EjClap3f4tbBDDIXvCCZIcqaD kosXjITy0N7L5KkyHg+qZvUX2gxzk64i7g5ZiHTcgBqv6BvDLZFx616tRfByNUEhzIM1D9aUbPBY qJ+fie09OrOdk4NtMdRKESQuU+scT9VsR+H0JpUBYHUfrCgEO3IBhfbE45Fm8PyPjLW0xxogBE3T pL0PJyHSFtaEuZlvyK+l+hn0NwkREZwPHgmdWwaKu6qa7U6XT7rbUXNzf4sqL1budyzrwRAo0UGB g1bQibSm1P3P/sXjEZLKC9M80NGDLFd1ekfRdGULul2byGQXWgbR1yeoEGTDCYpRHqRCb1Xu963c Y/lnNOSJ8oDQYp6qeeBKApOjzgd2j1IqviOIhJTSQ9DHBqu7ppnMbjQtPB4pC32vIMoePGDFHaE8 gF1GKciqZoXMNdknjLpsi/WaTFN5hxp5smJTC4iDI4VYUoK0GI3GwEOkAyA4oJRWId9J/efF/vOO CtNmF1VZseWgLQZME0m/7B7uWHS6fl3zqPtKLVwTFMbSmdTDR5uqXdeffF1/8nYhyh2iPNg2LLXf wSZo9RPWo70huYFz4RcGlUD6l5NJ/fRL3CiUBcR3/KV9nxor9xyMuNFrJ2joXJ8Dj0dVs1/XPFrP j7DQjmJppDED9jy2xfpKvsVmdRJiMw0Cfs5fxI1iXMRUbrJxDmhgaAm7P3nGXvT7K5sm3wn9qpQu kGpPvWt0BrHp06lNlbprUyGb0S0GtyQ8Hlzz+/sWgwxm683T1R2F0tQ8ybUvmyAVpptorN0mqG4e 0p2f877FJinilNW2wUPpTUXlbRNUWjYvP4Z7cNVqFwUzaTu4Q0UPmo6VNbMPtsXgjcTo+OxhPP4/ yb8Bk7Bva0NCRa+HR6KQx9yGgsG2NIwHJQPUoS/LMB45hbE03UUXPUM1WD4GtQFAFiguxCo+RZVp 3NuJKqcIvSGFKL+EbwmImuxrjKXMFX15HkW5DTBEiHltefID/kVOYAUAlRoYdvs0hTJ5tNgCcTIT e7+aIT3ybuwccqfNaconOcU6ecxXCzGb+3b4IC0AP9q+zIT1TBDzNuZfHZUzSoV6CX9se90Iy8D2 TxZq6FE0hfgMsVVnvhqfj3r1v/yAaNd1VXHpuPz+GHn1ZNQQ7sEGhaxqa3EF8zZYQONiCtHTzSyh tw+3U1bPJBnp9yTGJw9j3kEuB8J5CnC6hHm1t43wDMQFN1EUcEiQIQfBniKm+glQFz8giIuKX/uy t0dUPS94UYj+1+dgCwSMs5VAdjEOWV7TaeSDRF7zTYqtECXo2qoPXgMBAqqwAQ2IjGwUWkHi/9OA P6Mr7k1gt71uBGCUTtXaPuhgmjAeUgV6FT4ftcy+FxMI/rXf+Fps3NfwKJeLDSYrEWuSJ5PeWH4B zZuKOUUF6yExL0lTpkeaMqNGcFLM1iQVI/Grs5jQhup0gWAvTFj8TQ1hfEvQ9KDQB17YB8c29Gpd IXgqpBD98ST6WRcj4C5fR1NYtWbrrPGSR64/qpUZz4M8j3Q0QdKFDkEpLlszgITIiA2eicCudhIW fHKGWqFJw0133pTClfQNt5IjowB8ScQt4/0PHooNyvYX3DYlqZM2n4+Y5L8UGOm1V59rLlT8OOlr X3JqA+IKHQ8RFLFvdJSfbA6nr7ZcFGxPGZiMXnzw9tDZWydzyER7Jl9vKEhBk+kk7TyTTaVyTHvb SP5MZvWm23CpQexDZCW0esmouP/LSYnOy7dBlFMo+pF5tRWpCwLxkC5azwHWgxgf+QSlsHhNlOJu FL7n4JBYqAVUymPh+K5VHiYNTowNnCJWgVQ2QsFEXxLROMlSROLCoSrwwfFWJKJ3HuLzEWDvu4sG X61a17OSQjDIRioishQRe9bUR/O6dk9KYKNih3ek/FGFiqUotwYvHZ0jaa8uefyzu8n1ACp2Eq6y lLKZjp4ckFPs9IKze5rd/bpsYJSMdD+do4HPB9vt6usQcoX4F4evT1KMrcb1T5aWtM2LA0Jqz25m 2/c1vkthDZsOJXvN4YNvt3NEnGiyMbdt9fw/rNDCxAkM5/rTUXclpghaM/a2Qf1IInpmvzlv5D0h JIZ/rIMxZWj3cmFX8nfd8CW92y20T0RiDhrMdQdsV72BWtpGVqy+kgL/RPHACCiqPthXDsZ+Ra5T jTaljD4T1NHA1kE7z9mK923/ZE1PaeXP4ZOUasvS9aSBYuig+JXACY49IDv4WCiGjEVSPag4wqWU 11WHlUy+PDiTm0wGJopiYCP9Jwqdg4OLYMXWsEQBkT2hb5ZxRcWNDEAEwUXfjf0cmyhaS392H5Tl npynqJQ4R+XzQeD9W5dxsiub7iSFgTeFGedwl0DqaTDPrSrAMQZA3UcugYGPovdl/1sJHqqwNOog bRE+0klOuEC9oRCHA+qaa69kbxv1jER5FZ5YNERM0gL1r/LkroPPB6nu/rXfUEiiGZ9NUlTSRtII 2MO1UszdqyWFrlScJHySTVIYdhMbUmu7g/EZYEQxEk9uKFU3iMcxluqKyn+oFms1bTavc2CmiOIX 4eiActtgQL6zIolqONdk5fNRDNC+Jgmtm0oPQ5ukUFtv5Wv50piluJp3Y9Qi2aZ9jd4CP0UojLJk QhcFDX5yA/awi4BGUD9ATwwdfpwsKJ1Tg/kijYa9biQHor6jWv2bfOUkqzdK/f/A2ZvPBx3a1X6F k8A6zXpn6T3mRqgMqPWoXnjbDkU/sWh359u2mcF1fdAfXeyKlrsPDugDzLqanAPYEmXTzJ7m68A6 Uc3LDiVqyuTQUxFoCrQWdvVBSwkicmImnHuBz0c6lr8gfYib6m1j58BUETWTRTK+YUVOzOSy1dmR kCcwaK5CmENXRYnArsXq9RJ2w9LcCqkR6umekPjk/1xKpLg1YkPB+r80vWKvG4VKjAKG0tzhae5J qBoN1THtm89HR/cvOAQVCx770sBZEaWWTOcOq5lkFmsEGGlU/pSn1p4+S5EPTFd+O2USPC9w7Vz7 8yyfZp02IAfpfzYIcYMNAgyur2ZBtbeNlhJjJInqcrAoALAphkrTXjKSvvDMhEHAZgr3HN1x0I3M JI1uJIfRXLag0wtSTLQKOosmKa50C7U2pw+XSAS1W+AraeOe+lAtALdQA8UuU/PTktw67HWDWoBA 2DNlH5S/gcetislcmc8HqclDdOAJBJLD6s+xFPvBUIrwQvzkws21hKNNWS71N1QyiT0WVeieo/lw 4VkDAvqTOGMoUIK3iJYSMDbQmiRtxU0os71t0FOSZc4kMZaD1lLHk0JXz8bng9zkmgqRvgaR2adv m0ObRQA8IZ6SDV5Tih9LZn5CukNBiMe1FPosLuL4ae9tg3dNTnjEfYe1dE499E2w4TIbdZSOTQ8Q MtvbBqUAodeqdOVqtiz3vPaWME8vWEqB0yIo4L8aSyh5AkzmkxRL7xVjNkprhlpvVnzjP7J5S6qt JikGZKuvrZX0mMABNYLVZJpgaE6yWjDoEMsIHH+yVtJi7y2HXotYeLSnmj5Y7W11maC0cz3w+aBC WX4BagGDXPWZpFArZFB9eLggD2xFNEmD5QhKFp3db0rNObBblAEZ0tu+fXCYTUISvdQ7ORujAYHv LmdINCF1o0MJulj2tn+pOu0tL0GU8Hj3n1xhVWG0+8l++AFR2P2tF4ImbltP2B0YLqIpkDk3Evfu IGjYoVRr99JbbuvOUozMVsaxsg+XgLVhBkdFDKCjGtt1qOaeb0Y8huLu7QqUaq/7FxRgQV2agyUn rY+6lJyc8IXPRwya71OJFt2o0PoshR4xg6A/93kvMjSncHxPju+HArjF3YHrIuTJpCSbsg8XsrXP LYCUDhncBh6DtZXO/JL+HuU2u6mglmPXRbl7NPkIt35JNL2IF4q8js9HLYHvbi5tsVGO80mKSt0g CkPLoFnY7XwRVHFNJRT327qTFGJKxFFLffngkAkANwrztXMlrXMpkd0/N5WlN29/p6pJyjGHxos8 bwBfqD6oFrDPNxA1f1Zs4/NRWel2dMV3hItFfjZcFHcDDzAoJSTK7HbvinPTTQMInOxzeQoXmC9i w6kK0IcPNktnlbS8IWcDqdpxzrgkUUwwDiFKSn6e9U3o35lD88VBxASdrWywtvdJpZkOgUrI54Ow +1rqcJ9issvTNQndF3HxwA3FKWvFr/6S1/TiWyEdTpP0t2NMkQhvSdeIAI2FOeh2hmIAuEGULNyA Np8FRea0TxL3Pb8kmCST2Z/VB99vSbjewuJb6L9Yrr0g+RBQ4thXoDiHBoyQK5Rso6W5yzWc62gO n2hUP2aaGzgwIiBWFaBWH+5+O9kZ0NqYpH4O8cnW0obhNapOwFpfDNde9rbBBaeNhhjQBpskuO7y 3G3cb6GMyC9bnXy2/k//Kr6FLozQDgRwwkEmVCpTMYBQGoFM1Hvq+qC/Ie1t+eCxEoD9qSbKQABr C9ozyORoT2LlgvjHYiE0LP1tg6W0WAyoUkuvrpZ+soIkgf8GMfAcGjHOX1LODZcqBC5skkIjxhNl oK40s8P+mx9Kra17KJW732InRpJD4Rnvwy3jAooxRYEExq0SQLGpTwKlji+4ZPGXjeJJlpOm9QWu uQ5slrLmaEw+H2Qmv8y7UeFgqONzFAXdu1F0/3GEdb3rc40/vhbTQck5MGPM/28JyFVk3+D29dRW m6PomAaKr48hPFemLTXQFv2ZpOFvG8ACREBCNmmDthtU/pR8tayXDJLc5DEAC5SkgT9dk9iPUe3u vn0hXeR2yy4xDwKRKRvl0JCxq6dkGAqpK6tJ2c+RsZXjFkk60+McEggdsvaAs1xwu/rdoSUjtXbA ISg+WGpyrlO2z9pJ2Pl8hL39rnVTSLp/bbcYYgIqmxbQb/+GtuoNJ9m40lKKXWQoxTulWTQfn52R UHLbsjmH3MXgsdUo1A8WP8SzLFJCaUxvG1GOp7Lb4YM4EhAtZtcEHDE+H+237xgAlx3Rmz5JsS8j ZdaKIu1Bk7vLqXWMe4M/hy2lWPiakbRmgIOV3s4Bzc6PzqQEW7pCwh8gKQRZsTlvVaW87HWDAiWL bmNysjgoUjoJb+fqrQu93MCdMdGRQbNExh+AUvMpBQT2jPhxWHtrXgpI1VWgJmX96dhEkWrlb6E/ IyCyZmBhgwcBoH5BOoxl3ARpEaKVUAXo+Xw9dDqsikvpUn5JdL3xVEql+aD9dn7mOXXQoF4SODR+ 253z5ZC1roszzaFF4ywP4YaShnl4rVsNAYqJUd5OkxThSxrFVWC07IPtN3DxzpxVQSdQxCPzrTXM FTrYiHG8IbD8bSPZRyli1uGD6pMNSvZM3zoPpRDO/fhdUH0dGcS+JP8cuDSKqDHoG62OwOapRGZb Tck7AjBPsA0XBt0MAlIS8j091DYoMMOzmsC3Bg/vRcMCAAo7LC9yo7yqNlxa9rrBhpMArfmkTfcm 6ghF+TfCTJzPBxtu/kKY4LcpX2d3WOxmgZLAOMJwWDRgwcTU6qTzXLxAGTs1qtidJIaQHjGEcnYx XBfI3jpH3ZJHWoXATsf9kHltW4WyN3vdCB5IfV4Vu9dT7AYwWsbeJ3jl80Gjsnw3KgHKw7XxnEth sXuQikxkMjm31YTmS8H1U3UuEdLDsDu0a5To/Gql+eCNE1h0DerAZWhbZlrwnAPp/C0DfJ/C9quF S+Qo5cCwMbGiSpzSHbSYGlr0zHPPLcjnIyXRbyQOtAZKf4BvoWXjIB85GyNppN69n5sly0a4Egrf CgQCz0aIAdLJKZc72Fdu8IjWlDYLaq57Xn3xPIkavsWAQU57Djwb/fQGwMAHBd6AZ8oCvWItBaaN ibij72o3uqcPxiR0bcSidQFICNYlV/WBTsh6MjjjUeTQtpGFXFBMmg/+uyCQ2ABSk+12Vs9iMQAa rxIEojGXBGwULQW+jQgJVcgVLHe7OEI+IZg6Naj98PngimvfFcoCfHS9Woc5dG5E7XEU+cogzRV5 klyKxTiTxQAC+jVJMWeyS3tk++A975P6zJyVnfSO8r2KAQjoIAVJ+XnjTKr4Flo3Vi0hiHOy6H3m isfLiZ5XZylupLL4ARE88FtLi6T6/FxxgXuj9JegsmF5rvwTFVPO5mJajCltv4WBtxiTi8qrHG53 aTW2T7DfcMvR97rQR5jaufsK+vE1+B1Rc4kBtzwKt/wI1b7IOschg8fnowrlrxQOcNXyFCgD/0Zw TtAREB+QmiTj6mwwwZCfdZomQp//MHBU7paXDw4MOP/PiSsJoQRFrSMyg3yEyNj5h/mYifq1bW8b 4QKYwS11K6/0KtztqxS12qx8PmKcXPTEhQeuK/iTW1jsHuiWyGx33H9iz3v3Gyzt6X2TFuO6OUuF BB0OHlIWeBuUImbO2VyZRILKgi8MStDwuMC3ZW8bsJeqbrC6fbCQErgPc1CrfP795F43z+Whjzpw S1f9MAdWjkgqJv1krdidGgkU1Iec1etKGUUrW0v/YFECaGGDB0sZjrIpqRxAyVqznqE/QPuhUrkT c6jOlgM3R1magSe+qw+GDJhNX4mkis8Hme6lLxWVEejDdasmoZ8jfr5Bsy7lJ6V9YXHcwaglThMX U4QyoRAC9LOyD34sNXBVp8rd0A8pW50T1Eqogriu+7cUyHLg6ChHO6rXTR9sy5VZVIad6FQGlo7/ iaLEOjhhoMdKn9dQqUlpu4Pv+CODdPdPhZrLlfmjYgiP7tdAqZ2VTGk68lttcDRumX0WOESTlNPO ecWiipgj9Ap9dPuRq35ew6R2Newa/R9Eseb87Ca7ATC28PTL7LRHiHUoLQG+AnUa/A/nRwknZxMy 6dyAVR6Y0nTfZmqsaJ8FkyNpJPCRfPBuSUXATV4pWIJ7KxFe1KihMipQ8HXmL9T76+wkQg7OIV+o 3M9B8dHOZAsRqXwi7dfZAc55+uzI06DAcnfb9LwGRy2zdA8yu7TnUfHx/dVZ72XONhEycXpeQyOs HYbOZdNUpuxL6Conux6wwBjqS26IIBN1gkCC6r75wm8ZZ3xeA6MG1A2S/tII5+Zgte21s3hKJ079 vEZFLV1TFUX/qKrTPVqT8xoTNXPggyKYndFCS/KMJs9OZ3RbBiZ5jYgajnqunckTusx7Qp9fGMJD FJ06kwO/wiwuKm7uR9tP2GS2/18DoiYkP9SW2LnNlOVjF//s3CItzXOK5c9rPMRN4rPD0xlAGXCk NDuv0RAeAllhZ2saYZ4uLHldo9SMnFNGqcHSGWzBlsp4kYPNDhID+GEkqv2USjXrhrMIMeKJ4NCL 9I1lbxocO42JR5cFn4t2nevxRAxVnY4TUr/GQXjaVPqH4CMg+gDnpsl5DYKwGxcVadttiaSrskEn BdWxoUgsC9BgcqaOnf/Oywq1IBuPnTMliECpQiJs1yJKtti1Bc0kvur77CySbdem1gYHK8+eU9ki v1o+r/FPe6LEYS0jukt0m53X4AcnOYIf2JXqVodTmp06TTH1IFjbEbavoQ9mxwzQZWzebwEEvZWJ mzyxmnbCMYU+E5IcFcgI9NY8a5WBQbSxsqnVdR98ckqTqOjK4/Ma9bRHR8tuLJQPoHCkyXkNeZoK FiB4+qmz2vJTp2ZvFGVyZKnaGx3JzMOKnK7K43QF4Q4I/HQ1QAaaV1w6APc1iDecdPGGz5MaSK/x TjM9yCzrNg2anbYplQwK386f12inSSDla3bUcPL7/LXIiI0FcHbe1fVEV7utferuSqeOGniUYQvO 5FQEfizDB8/lwaNqRBpkMIM2hUdhg9F5UeLfWdePsOtV32eH5sw414cP6g4lkBolG1bw9Pvs9P0r 2iGc4N5Yr7VFLFcwtPNKKpCBLurokC6ldc5Oc4b2a2UR3z0Fo5W97rzsNQSB56pv1BdTHgAjGsoc /zQsbdQFLyabDkWvdUX8Amp4MP/UoIzihGXq54NA9HmtKrZ8e0J27KDPMihyyFgwipRFYO/VcFgl PY1q1g1YChrFI+V34loTsxwgtT188NVzJmEWK+MvSK1SKHOgJdEBxN5lPbIaWe8a7C1RQ1DVtkGX 1jwXOklnjdCZKFhe63v5ZGg9JLYWhQAPJihRL7duZQ3eiGWTenXXX+vLBereOWtcQAwEm83T+tJ7 BhiQTU7UA2CA1Nh9pWU2Qti9LlANwlF82eBsLmaTtn2wAn4hgYEWvXw8CpjzV9CDKK+yKKEZigJm zlBRG7/x6vKop0lTSwzR5DMURcyb/nEg2fvghLUTUqHE16UOdfb8khyiKhQodGTPtpay9ihk7hSK gNqBDzqeT7K/icGuDWWy95gZnIdtE8TkA00ZNOBsgqKgGQrng0rLhipKXrgv/cpn1Ux3XbY3ghPa bnUZNpY5nwb+ycwLxAeJUkcPqKpAhNIYREX5Z9v1lYVyiDIuivoAWuDDzUeneQ4v9DaiQ2j8in2I G9h+vb8z1Voyz+FUpq2gtq6Q6Mr3Btv7djaiCSJDrVfGzxw0QUA8nhh3SwQSbJGmGACszgKLTipi DMpnIf/ju0YXvOqO4qrzCuEErXPzq6cMjcN3lhqjp/6VdWWCilu/MxQFz2SplbJMSLT0fG3klnMf T7BRHMT/Hj0j8cLfjcq5Dw65GpQzJgk7gZIA9gcdjGB6ji7Jg7kig/fzzlFrYufStbf6wD8VRKxG 7hSkpj/vFLUGlnD5PqbRnurlpl7vFDWeHmzWj2SV6LI8gM4s9ErxmTKXLItFEbRAoLWJGNou9wqt HRSKpZN5/h+uG5zSaIfCwhUHttd8ur3r+ww1itCeD5k+WAjdgFJikJj3552e1h6Suld9JpbQrfpE QTRgHaO4CzxUDq8WrauLomM/ncgfRdESEi1FF1lZD/YD/folV2aUkmYV4Bo90QpLq0zJFFM7UJXz PYyGBEumThGl2Dhol82zQtUYO6nv552b1qSC9lxkhV8pMUh86XsgbVKrSfg8lKJL9yWUCHGRtQOi UC2hKJKmQs/5VUGl1uClH1BuUA2S7jz0GyUcAd6zii9flKutd32fIFIcM7EWNjiuEUqQDI+hUROF 0mvv700GxE+nFSEnKIqlwS9Ah9kmqDCm4ARRLloT1NF21wSFwTSlVqs8LWvZnqVWsrXKpP8ORW/o s1LWIG3/LE0piQpDPKfeNZqgwqVDP9R6ER8nFhpLTXpiGaJo2qC9NkGYm7xusPhOSMNN3+gT2+0Q Etdbh1BF9ifZFcHQzgS989HuIVSIVtTgxzQsEMAmZm217WRabSyJEQ9DQ0ATzbJ3fb/IeG7BQXf6 YGj9PYbxP0v+vLPRmIzN75segDAZFPFLo2gaQQ4mwA8hyprrppcaBPWyspukvXPRWBGQbQqrqxy8 xYOgc0xW5tHKOEE/bfaA6mptEPE5H8Ze0csG0bQIe0NFsuFFsrZLE1gZzhqfdyoaTvnkpY5lJcQy 7j32zkTDui30ZzRnT5dXp5xxvhZOdbm/zDsR7abzFAO1wWYI+jrwO+cMzYaqOumxOFc7qwS42LxX SHmjdyJak7MxyhxcQxxsDZ1LQvl8ru3zTkNj82N9BUPwmC3Af9oMReF0I8SD2i5iECdv7lQa3Krn TEkmbrIonE7ycGp5+ODdnRMkp4SEBeKk9Rw8ajlDgAgEhHNWompmOBjKiLxz0PAlVMdyZbqWXSvz hOFdMJhz+37eKWi/ytDYUWBq1DLuDEXx9AKqCb+txdMjXSvCnhwNe1IyQy68E9BuUk9apA3elD9h +Ri0K4ErDAifWwWzxhSTKmB3l5E29E5AY9VDGBg1Ci9QCHTPxWutntf/vPPP2qNojAuCKQeL0R5Q v/PPcFLjIKrtgjuW+8SWXB1XddJ6By68089uF6yizWCD3w1rFyZmPKk3LGZ4UgP2SVsP9PKtVi9z h3f2mdVoqLBWfdAMjQFpaV5lc33eyWc46J+7nrEhsg3ASm2G3gNqHET1amKx8OHShugSXtz5cOH5 d+oZjmqSPEuv1QdvM6N1vROdq89R2xJ1aM7rnZ+wkxoBCfhbGlp62aCwSCXtTLEeDZqimrLy+rOW 9uedeYa/NX9XpSeNpNJtFIYRtQwt3UxO4lS6zJKJHCGMG4bufOed4felNmbjAtHgaStKBdJ6OX/U OjmarEQS3e46jG0u9oXlhc877YwThFrJnDTVnbRt79pmdUkD+sQc+/NOO+Nh6dc9meowp5q3Mv3O OuNRjZyD0qjaZWN42ko2t/EXby/1PaJOFI0422uwH8/BdtnZ6Qly2EQHQf2nUYMd8qswD1jIpLx2 Nvxdg4BxsvKR1FRNzjmDCNfkXIFb83mnnDVJgH0FjEBw9ZvXvxPOcAzB8rOm7NLz45rGJipymD28 S9C9880YmeMuAz/BB19Ce6H1kCjRg9B8icUP0S7Ct1Gic7s9nF6fd7qZLyFULO5gJQwUDtjaOMfR 551thmNofPebWQdBamT95iiiBnQKpvAq2vdFEoku+zK9/JopFsGGcxhRs34PyVkfPCcbJ1mnBxty MsBD1CVKRMEijtwPcopQjneumfW2Ku0HfbC/FCB/RUMjf96pZk1gyq+cDCiqte4EhWiOiWN6Jdfn E0aBFOHer6ga2zScoLA+zZSjk7SpQROE8+EciEAYF1jkFaT2nMNqzvBAnJPNhOJi0btGEyQ4R08+ KFxMtYr/BDmkzzvNDH/qg+cQURazVHyPvdPMsIRwkSED0AyB2esdoH2NmVfxDtA7y4zNq8a/mxq0 HPwQopMGBGaxhKDakiRMB+gVjlpkC147K0XvGiRlUg7fVkJzIZUM6WTjKXS+4r9OaQXU+M1u6/md YYbDAxSvXHs1YPlDViRuQj0gQBhsgt4DaqdyNFmgc7BD6ITlYxAjhfJrr8VC74TEAidRpoW0BFWp ffHOL2PGQVjHnhpwnVWlHKsMyj2ezKN83vll7QEnWu2sXAQnZygKqGHdI4KCSToM93dIkjCg8AUl XThDUYE6QfOj0hLLBp+hCX8x3IkgTxcUlgnOx80Gy5CfS53uzFnfmWWcH1KmF/scyQW2yHdVJfbs 7fl5J5ZZKPJMD9lu6SKm3nllbFsTR5acXE7dShNzKNf6fA+r37/Tyqy90lDGKD74DkO4KCVOHNIz Kf9P8CkGx+wHHSGzv+jUnX9nlTEcFZ1M8IV09S72OZwEmD+r9fNOKsNKeM4g1T3Av7/Yl3dSWTNL y9KtrwGEQXdQWXF/1LNFUPhCzvrOKWvJrL1JFLfBZugEh9gNpEmUivud7PsJdklDxkxKpLOl+tbL /lU6g8CYDzqm91mhVc7Mk+8YbLHbJFPHcQD+67XFd0IZIiHA7lI34dTzT09Clt1NRebousfC8vRm nlGrwFMMTemTtPZJWxJEq+lzdUJp6vcPiulsKsxhhymr73Q/f6eTXXBZalJQhUYdOzcQFluZ5deT 9fTPO52s5Utu0TkNvQHw0X2KwmiaJNc8uqFa27qtaHGBWMEHPkVTFNank3V/ug9e+JggYU9lZBM1 ikI+FRcR7HXhJegFfAaL71Sye05TmwszhJY2jcxPPD5oA3M+K/P54CZL3+VFxpx9O5rhnUjmPY6a XKeQcG7rcQBcYdhomP9phqIC9VR/rPQ7eHzacc934ewb+llUJpjUEUU4vdNlSLE6/nlnkbHPJbSH Mtc8XL3hTH+VGvP5qz/vJDK+YvvaZRX1kfYAFMNwepOlMR3cWpiy7ke7gRV8olCJUIzhHoK10iee g9dfgeuhszkSsnSuRFKFO/6VDll6FmttDXWCx98pZDgSsuk3dg2m39SBT6cQQj8x0eedQcZN+t1I ZGFcNATOUIj3qJTbY+EnM26k8iftQXBtmv4ufhfOUBRPT/qhouXjg5fwF7YDVMdxsnWk87RyonAo lg/SW+8j4jj8vNPHfJP9N30MaqVFAOATl3/e2WNssn2nrLgbylM5eyePYQktTlDTwoFnkWHGYT5s vg6QB2m2hKICNW0GK3sBNjggBkyGlaUB1hKSAxqDoAfXQXOHhKqDgOlu+nnnjjUJL1Xufh+cpFGn LOL7WJ935hhPoe9wCOd8kesnZ+g9ngY3mtFiUaba5QqtTZauRTw3DS/7d95Yc4VLgjBs8Mv+JPFb fTZyWky6cQDvcbL9AlX4R51428sGl72Y41OX/eX8VlAQ1eRYJ55+5439N+psUNDgbrKwQD0o19Bu 5exKyNTNDj5BVWkZZOidNXZBVa0qYKxPwNganA5wup14+vzsibrWlUl5ycLReNIKMSe+a4Syp+6+ xPamm9Yhqy9LzLpS8+edM9YkiPFMEKw4IJjgExSVpxdRi1SHZiMxTesegu/trehBx3lcZO+EMS5g pRFMSDnclGz1BEkdVvAzzDovKhb9JaTY7gZGxNA7XYxJfVKumn3QPQZQmwy+T+TweWeLMeP9xpwV irNdzNk7VwxP9XETVfxTG5cEVZrfY8zNND9hPM16UFc83Z94GofFPPu9UxcNuJjVRQJCE5j2DfN6 M6Ofy+94P6UROFUyMX3QAlpQAeAZcg6MzztPrD0qhJogVgYesP07S4ywV2I8riiatIhZFtrLdxgp wNphMdqjMlXVBKUn4UDF+ewGHomwMplVzWpI6RVYNlK9xI4gou3fOWLMqWhKBblDG2yCVjGN3d7X 550iZoD071b9BpbiTlAYTG95ElnOmogFFFF8D5f6osQ8QXntb9g0/cxtuEdQB0+4EHN2LndYlJNM D+YPTg7ihZSzkiuL7wju+URyb0/LBzuCUhFeqELoq0Vgj99NxIqINF00TAtj6UJSpNHlnJtgsnoO 66TJr1ZQVJrWBOFo8MG2WIXj9rA2a4KvwiT5lzh+xiZswJt3tQ7pEO3BPUbKsg1WvD8fwbAIZx8e jzBnNxKSA+b6DoV6FE2zcJbk0EC7tGv7CXH/u4Yct9jfo2khaLG7dvXBpqg3JPJJuEVI96ItwVOa NHp23W8wzcJZj4JpIMgrzBKHD1ZZzCg88RobFY8HvI39fQohu6Ygu01QFEwjb0Ok4yqf3Tliee9x 1RhIzuEERXCPocri5jy1faWrKkw+pgxIoRi/DO9QaGaADhkxwsrHZrJ3/SPbQK0t+yDYIj4zaeOc bKNHwXSq39nG4DWRverR49o06kKtJTumd794mNX8mG5st+Ee61Ftuk9jqt7B0R67nQMVLuvINmph mZd4GESmoLWBSexkXhanexRMm6w+SpMYqC+nfOPsMXaAxklY8HzAOFzfVQ+q+DdsIZujkHMosw+j 8J7btLsgU0d8brL6yJioDtOjcHpOyTAQfCaRR83RXAPUjcyDiFrYFAFrpLZC1KLU/sDOqFbRo3C6 JtXO6IvCweqvqUpb95xuE48HR3X6Lk8zGioXVdUj3iEAuXQ6/JHxwHyMB6gsLszQZNkD2yzGezBa xElvgx/VUBgYieRU2qMtKwxt4j32D6BfV6li612DLuKUYFUWRQFuN8o3RqVB4M85IwoeDyZo/ALE 4DRjwUsTFIXT0APtUnMTqKp7+RX3jdWFSqH9Z+fnBEuISJjSdvHBrgZqep8ZYhdx9rmmJNDpoYp3 nuvWFkktxncElz25CTjMfLDqa4PeP2s9+A2jeJqmNw+tF28EcIRNUFifnqxP92HK3mjQmEh8Zdle 5EzWpzs/J6q+JqLGc/XB0dNtrl4Jyjp/Sq6rUqMJjY8fxJHIyR+AOTWre1SfpjrJiX5Uye/LeVIb EYR4z2Pi8Qh15jPEshW23BePrL9H1EhUFrN6lDgINSdXvmCT0dVBd9nC4cijOoqolYmSg2yDH9UV atL00MikCaPnSp8Y1hbzD9UFjGbH+nSP6tMmv9iI9uAgOMw+X0fayAnEJh7/XxistdO66t5lEXy6 006Pclj/h+1WXFKwULJBGvqU3tQaisAeuuyrjGOrVFUqT6GTOKeORVWgMZhSJpVsIk3ukO6d+U4Q GiwV3xFFQ6KFE/PBwepCIP4zIu5t4/FoCf2CTydUV3Bf2wyFITUA5lkAc/oz2n7DLlsuLZBhYq4l FJWn1YmGh5cPXhg66/OEZRI4OTd9gwovukDot1LPqTxwj07p3B7BPQAFZSxdfLBm/apCM+C4w+PB Gqrf51BONNFJvstGFFIz61BESgq9HFCYt5LjZ8od2zqtIypQTwZEjbhkDZ7YbzSyKrPURFH4Jg0r 1HnRrQS15lroZL1rVFyU3nkrPmgRwQxDadnKGY8HIfXwpGMqRAD839fQiELqThO9XJznImbC4jF0 K/hqBZAoHtan1WBO/Q6+hmD+wrwSNA6oL8uzCbYOEAT+mQ8XetEeboQA6izVF5nn1FsaQnVOgJa5 +Pj/0oyGM3utt4A/QgB1AqSqVgNQQ8jAJ4gMbE7QxC+tCYrq01SeOBkYiUBddz2KHRV4tkGVYZQK IMOQhAEF7gXOzXQDsrv+LPuB7wiQnewjZgE886CMcqKj81pUhu/nP3g86nB8wxZBxSFO0iYoxE/3 BzFEDY/pumZ0TTY2PYqU2mJRPL2I6GxLeBiJeGCCTh45eqdQO2Quz3+GOo0oT/PU6em56SfmJ0Z7 EJNHrS8NVvjY+Al4Bs2Gx6MzqH2dQbzjUcaz+Ymiae4wOsDoCOrN5+cKesMWdlvpbETR9KSwUm0U yOHgHaCE/gbrC2B/UVqYfFYSDYD4oGGOtYBofT6i6rTI4jWLLwVTUF5fyOryZjwMSg2ej5bQd/0e t0NBz9amKIqnoWA50jTtMoDOHJWXt+PP1H3VHovi6UXPvDJ4BHPwHhAwlyDZcYrgPCxj9CQMNPrl VyKX2Tm+I9hjW95UakjPq8iA2HMrrz/R4ojoiOOyxmUCc/6tWu8eC8HTg22NbnuspKtl1uZ2XGdf DlscEXiaylvgGmYf7BpLJ6vvvQpStU+EL6M8wjIL/b3BDLMeWa9612AJsQOE2fBBpzTiRgKp2+4D jwex0K+snrrI0HKwCYrq04jZoGLm+sGAMdopXYs3ONBMVo9sRNH0HJayTg1MJjlBOJEmj0P4RuyV JBKftwwBfpA2Xj/B8/H4jigSsgJ+9UETBHFClU/X2nj8f2mRcfHUi1ocUTQNESBsoeIsF3cQhBvJ cCgDCAxaQVE0LYnOsySGD7bFOvH3XYZU7cTLHb2isjIb5biKAO60c3prCYXhdBuyx1On/kTChi6f qMnx5hx74fkgq6/fFxm9yObVMRthOF0pzZA8FFI7aEk22NcQ7iJbQ39XqHMlsZWDF4bGAnp6MGlN QEjQ3asPyXQiaUW5RzX8LdWcsEJtqh51+6CUrPcsZ6ezaQsej+oeHixyNsnyu/j7GRaoG8BmbHuz MLSzNejRTXezRRwjmqEZCuElXWUMqjnYMXQOnl53Eil6wktI7gKLmq2Q+EA1w1BnzDdmiPYo5rS4 fVA0XdKSBmU7vwAej5ocTvnlelsoQMEZzWYoCqcr7V9RRs+sUKfVPasHxiRzDTVQXpmSzbBCLc2u pax+3aweXrsNhBRyOOqGpd0WYqjDNQpo0qvPDZI0vyNiSok2LgB+dQB+6xMoBs1QweP/OocYDWE9 jktymaG6BwoPLTXVXIFhdMQQol/LWQe9kbiEYj4iJ2jIlXqUe9WvvsEbk7P5OndVZoV6kmeOrGhT wFyUVioPzLhAzXC6UOY1O2wLcfU08OteFY9HE9S+9hgVaYnI1QRF4TRsZPue/fpzbBev6C2ly5Nq Zj0x4/I0s3kBFzk4Ko+wA0Dr0eM41/6g9tn5iGXxbL0ywQzJ8B2BsFlNbwyFEyvmKmTnTBmP/0tu UowftsDXnaEooK5qcjjpt/IgULTYL4ejUZ2DSyjUxWNFiHhtGzxahEbw3PKhPmfPIsIRu5DHZP6Z +wHgo9CC74jEK6j5RoSRBu2xsXc1jaFe8XjQSLyYMzxGB9UnZZ1xeZrV17ENHUxPOZWn96VJzeK8 +hmhPVR9LYoWyxMt0iXwLJutjIP+W4uYs65Gxw805jxaZGlxRuE0DU9PBqYGR78iTAA2sNx7DryB x/+loCOsPlA49Z7SEdwDrAnUguwQSmk6oirV7OIemVEVSoszKk8rZa2ZDAUOPkEdlxfUUXAIYUNs 2VKgWX5u6B8Eelc/uehdgxXEnBW+ej5Y0QN4Klan52p4/H8R96CCer8EhRmF0xDzHLCT+zFxj+4K eaWMcdHTzSgcM5TIM4Zd2z54VaiCVA96CnvqidxMHEIUoKDj0/UIWjTjmFFxusgZYDyDFRb73qKz rs3HI0DVL9QiqZC3bDYjuIfYrJTwZVJ/TUrQ8HOuZhrO951hcVqljM2iBwfvACEeS4R2pY2IaFf1 QJDCiCC/b4uMIlUziqbBiIFCvSQ+kklcAvidknhkq3c8/i/AEB8jhazcFRQyETdxVK16J9rNuEGr uRkr6sk6g/4WymOboXm3QT3E3iAEx7piarD9ShK5IIcjobh3nV0HDd5nKJSnVDWRBMTBAEOwsRIc Ohc8HoWKXppm0MRq3fLK9Ipi6SwmYvZDui7bYmeqXAUXGa3VFVcE9kB2hGJYvoPf89AIATSVtxj0 LmltmmgQgvJaxuR8y26vEOyxJd9Vtg86g+Bywy1yfueKxyMm4vcWg11HbcmLQiusTFPmTFqudMBN voKSutCCnG0zK11hKC2kXanTB0/HJuqMtBeGY/lSi+gcTfuXOLAq01jo+I5IKG+LnlB90ASde5CK /NALqng8Uof5hpwRhkmhLE1QqCxdIVzRXJYh73b54ntlixQbKzhcQSHYY0lfSKV7Rp4/jYXFk3pP AFYEvj9xIXWWycIog0KG+Zsv3vAdUdVMwGkGjMWhAKh6rmUmAGvg8X/lGgy7UTVbyQ/pFRIRydRM ppqMf3L6Rr2UsiGnak5QCJyWpP3KywffYuDENtp4wyurFGJQwEwDz5eH5OO8RWGPFZemuXRE2ORw i0KCmaOviMf/la7y1QDbGhe0uGKBaQowkSROmZjkQI8quvjiIY22J+KgFfMQOUF53+Fmq6sl1gBx zZ/NKqDHhDB9A6YNVotXPWfqXaOqGecAPGYbFEmja5t0zZ9cY8WF6e9ImhDRfeuuK4qk0Yqh96xh hZRqbPY9XYtJCYgmKJT1GIKLy1V6XQIQ3FvQmKfX/UmZOqxukRMA2N9pPg+MgznbpGQvGxHq1dZg RM1BM7TOckraN33g8f8FTgUXoorr02YoCqWhggoSmdUVKfhmFCnCSwSFQXldeywMpYt6Ov+p4QWJ vXObb0pUlQRhUwmfGBFo/IBrbyUhSmvjO4IJ6jylR1akmO0+6ied3kxXganF48EElV9gKvx7TzK2 olC6iCLVu+2xusvdY4isJGfanL2xQuS0KcPM7INnq5CGqZMFDxgoVwKDIXqPNQ6C57oTJGWYFYXS dI84Bz6gvxoMK5TMPwrGbXj8fY+hdfdVdkXe/5RdV4icBhCGPBid0nW7ZsWX/y/ktO2ejyvTLLsW pvMcbtn1bKpC0CN9sYkph/7S2WNUQ6fRiTqsNPHgywZ6plsncRk+KF0951xVstFXxeP/i1w5Shhf 9JYVFqYXxNzz9GxMhMT/0Ctv6+6xMJbuYjkzUObgaCoAhQq9aXAK1SbNYGqtdioiUfrVQqFhL/tX +we3oQ+aIQAiRXHamY8HN/2+M8TpxLEwL1NzR9E0UktAhCzdSG3dWIinrTVZpzVZd0hENBiDI2Lu MXSOoJFmFWRxQQq7sMmKvrrk0/7TRHpH0TQN3Ziz+KCy4jkpKmMZSO/i8aBqVn2Xab3gul9e8tjv 0TSeopHdUm7aN4N47bJy5TorVJc1QbGuh9zs9x18De0T6QJlRh24c/8UeiOfoGgAA4MmQ7vWyNS8 3yF0mu7aS0wpDlaYhtoir2po4u73aDrR2PDrnEZ0Vi9kcYfQ6XNfnl91WbDYpPLKYJHYCW6yBQ0M TVAcTStYVLqxbrqBmLMDpKwW64T9i0oepG52WodfD7tq7xoVzbC5GiAlNihYLC1JlxuEEDwe0RB/ AacH+eweTe+QhjhI1CxW8oD/g1ZQRr/45mPFCBw7xHlku8GqDx4LzQWwGdONNAeS46SLjHnqMrXO 8RTNdhRNbyZiZa7lg9WEIMYnYtieeDzib3yvoCxyy3UGCGHThQizbbLTuS03ToD06I/btUxjJ+wY Ni2Or5yQ9gP0OEnU2RpwAirydKxZ6YZkytK3qPJi5X7Huh5MN6rSjfqkG3Nu4Q1PSo/HI5W8X1sM cBBySDRBsUhe5bQ4fSMtKyWiR+/aQoXNW05QCPPoVwn3lyAuahv9BKSsCSGJWoVIkFR4XePOmQ/w HtVlfEcQCilIlHxXeuS7GvQheUifowmPRwpw334/5P/32xzbEcwjsXBPw3lusdV9BfWWfIuNKy20 Y408dsW6TG36Y2pz7m5QKywf23CjWYIKQbjmpEiUE1QDmhqf+I6Ip0nI65LJxLpl10wX4R/rHu64 Lv3dPSS+cN3Wzw5VPYRWTF523eUx/cm3OZZddHrHMA9JwjCj52ArCPpve3pn4+THiXXpTM4UWq39 UjcKOZD4jiBUzNYcu4MVzcacxCAAXoLH/6U5rVusfSsL7bAuncSEHrbFKKsh8wQinyU3AGUJ0hB3 HEyznLgEh1njoSGiN7tUuD/riI6lmCAqniW6saWLpNpT7xpJ33NmCD7Q4HDO1oaE3Rcfj7gtfoup Q486aL81jx0F060L/uLuCWQakahZBZrmDOGS0gxFKA90ANBYlbB7fYTdT3ybER0WdQ+JmpYJKXqA gOe2i4MBioPfEUSKRRc8G9AcbI/NsfgHIo3F40EgdM0BaDoGTA/r5ZygnKJYulHZvbj0PewyHeVR krfoz6Vs4kI5hcE0K4ppUJ6Kg93055o/FxC7I+d2JqyKLuOLqh7AlVOF3rBCFGCiw+571kn/loVQ FAM6Cby12zl1Gc7BrmLyAyJY53c4xDx73Ywjp1Apj3KdeLtMMEwtDvU4SXIzAsdozV3GU+x8qCOG ImY5Ze8iok0Po6XE+utGnCUzdkkro+kG5raX8Me21430KLlcKDqiwTgcLVeSVNp5Vz4fEev394Hd iOafd5bew2o8Jj694z3oF2JeteuWGNt0p4kU4qcF6kzlDh5Xb/SUqmgcJw9fTUZt9CMFWoz2a65h Xu1tI/WKKUwe40Yop/DuPJlxFTwVFLHFDwgCo0seZxUdUfW83UT6IgSPbWT4uRmkIZka9fnN2a9T dkbitWyTQidEKugACeGD56/n/5kw5CP2DPRxsqMhPv5DBiwpIzeB3fa6wdVGViL1EmzQuXSyM92l gPXw+eDo/uUzugEf6eNZS1GAjamA0ZPzEvHDmlRMdRulwbTKDqaoXi1JwTKUxI6bxJ7j8UTqZ9FQ CaUneJNxLSEp0Y5L9NAmGH9TQxjfEvQ8pPSBDMcGgzasrgu1bngqpBD8kX+xgoirqLveaQqL1hVS BEgAMoMk8IZZZoQvV6UOEQpqqKfrYIrFqBU/ip7YH3oi7IEWe43YcpCppjocfb36CYx/CD23kiOD AHxJhNNjoQjcJRusal1BKmBFLW0+/z5L9fbOijXaqA91ZymU/EDFaE0i9SAVlynNkJmMNKPinRAO ki/aclGwLVz+fztxoduBPEzCQxupMx1eOgqcNAiHbp7LVI5pbxvESrIar4R7ctAsUSJF7tBbLxmE Ares1gSqoQ76dehIoe4HpRiJS5C57/QOUR7XBicDVWTH9986enRLsMG2HOwZzg1MmmIBBXjQgasD +tAgogxJCKsbFdxa/JIgJ6Fsw8kDuwZT+IJm9mAHA4ojfD5qM96ASUozFSpoTygQRt2gncB81zF7 2YtraSfvxNKny47vSP1DHuNVwpUcfMfNDvvIxsQtNXRXiBkm5B9hAZM2k9KTB3IKlakHA6ZR72AM hkU9b+bzk88HO656ZoKDGsrqbdXnWAqr2PCJJtPbRJoeAfg2XNVz0sZckxSq6RVJfNGdK/fxqOlB ia5XWbYijYaSZkOAuX9YYYA2oUBFElfnlwQVJBn9VjGqanOaB9TWWCCpg0FlaPjSfqVv+OHQn7dJ Cv0Tp6RPS7YybbmwmbqaO43Dr9rtAWNYiBBFyuOo0aMMF0CTTRgEV9Lg59IvekGzo/+s+RAW5aoU OCiCOU154bLu4NAr+IJgkuigGFooPp7RjJZwenGmbJKiyFtkj+lSMrk+1r+SuKq/o6XQRHGLDgNe oQ0OLgIzkX8+faMrDNodXIRwVeCi78Z+jk0UM4/uXu6gNBfQAXXmz4bk80Hgnb+DJXrq1pvm5sBG EQsYkyRxpkopkJvnyoSBeS6TaEYBoY/ikiJRz3fwRLdwHQEMcvLchL5qVVMA1NfNLu01117J3vbP rlEWFp16T5ykBY9hwqdRLMmBkyKul2+5FBpg0VrNJimqaaPkNNSPVzHg1pNaYphZpF+5fZLCuHtL cmdVH7ygNBPaOV2yTWMmobCo3VvI9FyPtB7b1zkwU0SoIxBNST4YkO9s4SkIRK58PurwOw6L/3Jj t/LZbqG63vxVlbyNSFjedr/dzm7pvt1CBmOW2vAqPvjt1k/KURHvAmgEYkZl5R/MEOBJfqjCfJFG /rqRIog6j8KmV3ocUAh1DeJ8z1KCJ2doqViui5Bowp2s0DtLkWg1JS+y61OjSOsCYE1+OVxKVB3V Ugqj7illKzX68230l4VqW2PyemaJThuVLUjsCvBggbb1Q4myMjk0VcysiLMTboOWEgCHUr4/eQqf /5eerlURftjq9UmKCtwo8p3wqBuZOi0vAZwf2RuSg+w7hZOhrSIIWagpSRhkXGEQTDFWEk/u2nCF ES7ShC/GDUypbifqFXvdiABC8abNpn/alidmVC4M1tfL5vPR0f2bhwbNgse+NLBWhBrhZhHXaVa9 TYeMMHC2mklvPkuRE0xfynPr8MFmCVfPqKyWZtS261yUvthgi4CrT78lVy2o9rbRUhLocS4fbiNA bZPzOXo+WEr9l743DtKUnvstjLkBPEoyBx40LPelNC6CZJytvnySwlK3+B//jQ/dCyaGpuPUTwRQ qPDdccA0duGp+mlpbvXXDXTipQOGZM0GS+A6zFEZBazM5yOJ74uh5etBImZd6EgOPRaR8cNqIBv8 qF0EG9piluZK84g1k9hkUedRT3e4aS4aZFvmXQO13Eb80QKaFvYhpK24C2W2t/1T/LOwushBa+ns GhoVgMvZ+HxUDPiueFMaut3Wfw59FgGMAVrffIVSS/dYyt5AGfkiaXNotLgk6DRH98GLlGsjgtms BZzgssOb/EySAgaKxz4GZwoDQqdFWgafXcvgOwl0RVrayXN4dp8YM/P5SHtv/EpNzsIsV0klh16L CHHgiGtqM+fzl1ff6LFr4nvULkr6oAiQLWhE3j5cjE0mSZv97RMgLdGKFnWIKaKGS1sriXJ2/JLo VAK2alLbSYOO7vNf5GJx3nny+aBEWb5jpTLYH72nUmy3iNpOoS8xJXl2cWbRBG5YatbkRGu7BX6L WElEjJplXv/yd5/9/D1b/u6gPXRVlbDLcFz9kI3HQwmVXnvbKKBkjNQGucRnVn9YpIRIhN0W53Dc /IAIavPLnxvIjvWE3YHlIi44ch9KNXmwgnhZhxJOY0k7SVxZsxQis6WKnhVT5/Ggkc7Rj60/GQa0 AdwHWie4XgaYfXnmSw9ZpdrrBliAQqFCgkowFCsrrcQyDDZc1lv+S1eFzQTscvQBfZZClxjC10Wc 4T8li7szXf+cCerCITnwXbyastma3uPG3VDEaKsqOTkpz1aSl4mgaDT4KLfbTRG1HPsuss+dTG5u epoLuGDnn4jWFp+PEOwedlcLA8p8IsrQeJGKl7m52VCmC5R5xVw3pqIMjpP0D+2QVbcPNkkT1tY9 S9q6wTqG+FpSdDn72DdGVZOaYw6tF3M1eP/2QbWAnc2H+vwhjc9HRJH9dSqR297LM0lR2N3Yqpxm VDVAe/GqkhzOSQjNt6oUmC+iI9BZBCBzloNN0pZBBQPKhELlYuGJYFHJUI1rZCEDzxyaLw6afFDe xwarTyZ4r3MlrcHng6j7N7wNv0x5+rmh/SJAESj4J68qVbetQu7l+Db4Ltsk/a1xXSfrkxwcnlRR 3qoULTwxBrw/FktvWC/AIYCqbpNEMjW/JJgkeb5PgSfmfrZbt84+S2+hAWMZ31ku1HPLfromoQNj b1QY2O5cVbJLFDe2WlRV2tRT6/qgIAgQXy27mvOVgECKcwKByTMJUdMgHA42VsxcALa+GK697G2D +21JD0sGRMPZ16iQ62cpjdsthJiM70iJPpn9q/YW2jBCQu9rlrJwXKy9yVK4SGzlzlIcdPP6r7Rp uhINiALOHXY+VTq8C5fZpHEMufsAiCGdZq3wLKXa7W2DpcSiWxmt+qBDCZqa5Hw3yIHn0Inx0VUj MgB3an2aJqEVI2iTo8iPWqrF11VY5jo085TECPZb7MUo/toWQULwRZW657CeRqG4eQVnB9EULjGA Hv4Tsp1DL0beW/D3Kj4YVqmfg9uK15PP/0vvmhPaqXl9KwGhGyMIzCPP4c7L6QEEpnmdl0u9cxTF 3AaZ3G364CHAeX8c30nGDUjaWVIBvqhQAKA/kzS6vW1A0RpS3CewnYO2W927cXWgPM/nI1SpYwLV HQcL/Irx5tiQUeWSMX0hbdeDaNOjAYgVGBc7h46MxiBps/vgyOTWAdzGHw4M8aSOf0Pfav909hkK Tm4Dt6vhHXoyUn0/U3PdBstM4MUs15M0+Pw/sbcqQY1fQUBsy3gWf98SxmqWv9l+y/1GSn16pPSH L6OcdixDeWQhGnbrmlxLlSxd7DcIPXcUlDYl8yxSQmlMbxuJ04irxVmSVANj52Za+PxqPh9UAtKv hgAtQOa93/5wZiQZKV2H4XrJSGm5WF/P666lGMTNo4bYPw22lrB+2sqdCFPIPW7WusVwh2hJVnPe DFCXvW6AfRv0X17onmhQqHRug1bltoNWbuDPeNZi+44CoItU51MKCAwacRoif3MfopEqSdi8M4gm YZbb581yQ4dG1W9BDfXhQt37iYSTpLLQ7t7acYgdTyy+fzYCb1VxSQznl/zF2YKupg/acCi0bPmd o14SeDTK9OaLWwtE6Hq6lKFHI5hlA/BGK1BKDFPKqv1K0gG2Z5MUAUxMim8qop63lXsCjLFJPGaB ErWXKcoNbkLgJyhpbQ2B1e1to8yEJbdGOPdFsYBzU9nkQYFq8PkgM7lBN+eJKcR+ktzApxGsEkYB PJUog9388E7NQXCDSAjbcGHUrcNbN1z+uuHShE51J7kNkqSbUlkQ+68Am8JCDrVp23Bp2esGHQFp aWQhTITBYu2tIpVSbtL5fBRR/mou4beBnbnPUljsJoqS1iOE4VDuVjCcPK2gi2LTnaUw7JZvlcSh OPgsNaj2kRKdC4U2UHQr0Kg7ywh3Ae9tq1D2Zq8bBAKydKDErgYtptnhjc30fi0+HzFtf4lkoq5T V322XIjtXlREkGYGYgLURol8g/8YJNd4LkERjXF3aNgo/4ukHlx6enAk7SbQwKg7f279iuCzcHsR cVrYfrV4iSSlHFg2IhIQCWcVH3QwgTVPy0UI1/H5iGTyvZio69GvangOTRslBVmKcQTS8BLAOVAe hTHG2wwEAtdGRBTETWyVl/aTw6Gsfa5mhJAQnFkFYtbgMkGMaBI1fKsBI/nbRqe3tEdIN+GgyBuo DxJpzk+w+Hy04355WNPR9gkqQ99G/DZnKVVL4Uwwookida1m0IZUChcaN6qQ26gtr8Gzk7yBhmJQ mVarfTfFVexdolZHby4J2ChaCpwbs9OSU64+KPKGdYSasbUVPh9cce0balrQYq75piehd2OFunoZ BgIYT52kVNEH2PMG29MmKYy8Rbud+Q6+eM/9iN1AtJLcVEheRm0UrpSUGHbSpIpvoXmj0EoIJn/c UoW8iBM9T1XfzsG6+AGRj8G3TAtp9fnpVAb+jeD7JhLck+UnaTmmCz5nnp+M6XCl2MBRGS7Uy2y4 gTecUeldn/ae57PEvIAkEtVz91X044/F74iIgYy7WR3XoO2GormUaAbQE6GBo729deDYfgGAw+co FMmmItv/Z+y7shzZkSX/uZY870CL2f/CBmbmjmB2h1f2/OC+ngoyEoRwYYINP2qvruZ9k5E9Txn9 GhTm2MKR0MmMDNsGz+GAwF5b6Ik9RhfGZDYg/NCG26S4S9SvbXvbCBfAiokEo8oVjCrnxFc6VNus fD5aSN8tb4EDrzJkDl0cgUU5O2UpVjr/NVyKHhilH/flqSZQmwMbRywkJicyfMhfhg8ncOqIknAC lYU6MWvdHQorDSUmtEou7m3Z2wbkJYkh3Tal26o0SOMp7E6Vz78f3OuWTLCxuOjTlfbLLQq76SuN VNp6ueOqQ6odJuk65Ou2kkISJWHveVtc+XhgzX3OizUUUIJwVDvVEiZw9w35D5TKnZZDbTZKKwQn 9xUX/dYYbQ2e4jxRTj7N5/8XtNJJ5Gm386ylqNqNiBvOzYbEMYESCWb37odScUXxHDg6wmidFdwt /ZZ9eTmQQyzJgaZEDEgcCQjgetKKH0DgfumP5cDSEc0lMU1q98GDgFUkTTPRpww8Hf8TiIMKBc1L NUmf10Cpg1mG/aYy7vNfWEpd2tDsCEAdigf3a5jUAaCkdt3Md7AZ6u28fG5TBIGzoSbBFbm5ZHZJ j24/mlmf1yCpQ7iFue0qd7C+Uq+qVUJK5fMaIp0X3JIG409M+UXEVI3/w/lRgsmZlAMYtRlIiWe7 erjcU/+nao2La72GR901SdC89cGbJYi5Jo5z7DIgC/rS8jlbgbqoAFXWmb8g76+zg4YSNKDRS/BB 0RHUXig/d6KVE2e/zg7Chm6zI/wImpJqleA7X0Ojnlm5P3+BWaicaWr78t6Ge/OId43peQ2MsHbU sx0scbdxYQD1rIcJ49Yi1E3rnaWUAif1TMP1fMG3PG4/r2FR1wmC3gKtravAESxt760QA5Hp5zUm wtzu/r12YCFViLjB5LxGRFg7Hbf9tnPGNY4paNPWxdtACoaT8xoP9fz/JP7cBgUlOHg3cuKqSFL8 6ZW6t4iHsIm+lP2ETGbz/zUc6ghnKA3ZCQSsDDiZkp4b4KRo7P2vnj+v0RD/0PE1O6TtdbZGaIEc HTuZyH/Daw8I4F9Q8h6enxXXkXiNhLCzaKDbEguRLV1/wvN7z4UMjWVaFvwpZbMJQQKpDa1I31g8 IV/jIBw78rjcav27j2buaRZxV+CW83mNgvC0uhU2OWSU4gfS5LzGQN1kWmgyqSr2uA3Ik5q7yEZB n1oWoMHkyHmvSYSkPSIkbNDC+o2Ts+CzuAjUkijHEkbWrq0zdbS8CmYHjApk8KX6oOLsPHF5se5j +byGP/0xm7HZoRxuGjY7r8EPTnJIkNS6VTg7qdl0paheOWUIo89VacHPa+jTrzyC6GLt5s7nUM5j nHhHkMizAmuVC0anClLZP8D+ec4qA4NoYzHBAHXQB5ucM6kER56DYHxeox6cWdZynNYDwc+12U/D 7LzGPDiTwR9Ns1ijKG3KJOjY2a6jdTZoNtHe6ExmbtHERG7pK+I5OyiBLMr+RxO/AxYY+JdguOX0 eF1Ne9X3K2uq3KFclYMBIWHDw/bHCZk+r+FOl0DK16G8pTdls/NaY8Q910j2v+IjyaekVAZcEqrL 7j78WmHEruZNXpTKl69Ufp0MbA8GBKi4Ql1LTTTKuSMISpTOlSEhQf6v9cUu9Depa3ewKizwGawJ ndDz81pdtIPRLnS7suoYfp+/lhaxXuvm7BhTJEmwhp1YqUBzdmCUKNmIMNxhmGwY/z4fQWyoMQ8i QeDNCbgrJdUTshDWzYDzc0g2LYpey4p4VTUYK33kOCilOAuxciHVCn2x8Mry2WE3H5XcUf1Ufuet 4SqulOgt6bIfvKZ4MnuvBA22t0TuCy8tHsjFDqAHqrZSPfEIEleQsKA+AWkSoGbAED0vuMt6VDWy 3jXYW5KmK1TP4qBba3aho9FCAUs02lwmzGJ3OpQLKKijCYrC5ZTzJWHh7GFRTScz7ZWZS0ysfiPR RBM0dSaX6oNPUJmgwVN2pJ7TfhLJdk4j/jKoU+51cWqo3PJlgxVEK3BQQXyw+v0s6pi1XPl4FDGP rw22UCsiXlUz9B4xAxQ6qfLs5w/hOraEsoc9Y7q+2DtfDZ/TtHbmHby4AZp6XrJOOVuod3pjwF+X tqY/lFtRurWUtkcxcyf6itrONtjtteqQM0hDlew9aIbOU/s+gWCTDOiXTVAUNdeWSXu0PZafCSrb zqVM6xOrtkZhc9fa6dTF5nBB6tA4oLUIQeobH8sCEfCvZwl15Ah2fWV71/c9Rs22Mx+kz9bp9Nlz SYOOyxN6obURHULjO25uhA1sD37eiWr40rOxIVzjDGPd9Cy01ssL7UIYs9AaTRBL9jMz/Jn5hj/g BMJ6WCuooHZIKU1+Onsc5C8OymeBL8F3jS749G1+vr3Oeg4DxVvUOHwnqTF8+k67MjHFrd8ZiqJn qDciKjR4Q5G7Hk+hfkUOZ3ejvXeOGo573u69stnKwUOgDY9qsflpqF6K8LTMnNAkeTBX1L7/vFPU usi5QE4QnM6BM5RQAeASAL7k885QQ6JgSiwWG6Ah3XHW2wyFETQ9dUtqVohu7dbFePRJ8Tm7XO87 QY1rkUzQmu/guWmHu0/qht9vbVYJaKGaAY8VAAy86COqYxRDN9Wf/7PqA4uynhUk5v15Z6cxt53f USKa4FzamqAoiJ5Uh9pWFBtEq1jDvj5CooTsc5NFUbQK9S3pPkvziRP3hK8SnfZgVUQPBPiqA8VC rSZKppjWgRjq72H0WafkgnY6HWiwXZam4F0nsZufd2paVxtYM8Qjh1+57wy9B9JYQtQT6baEEoWB uYQSm50EfiQ5xmIJRZH0YKzYJVbDwdurZ7snSXllVCImyUQVrfYfHn9qr86vVsZ7JK0CBamN2wcr /jSBMH+QcXzeWWmIhbaf0/TnG2zK3VgoiqVxjYwr2eNqR/QnmtOtLyAFYRMUBtNEMcDwzgc7p+Fm 1jYbzUBvn6SUkDWqAlfQ96QkKgzxtHf91wSdP6r6oOOkwcpvK7tan3dCWhfg/9ljAJ7SSsJqh1E0 DYzxSN1Md9Ch9wnKw/WzgE2zFfROR+sCZBLuuX1wkPWeWdgk9nlWTWqrEilGOAytrkwza+hdg2Oa bZ7KcqMGQ+vXLELCSenz552M1sW+/MrkabA9CEHjDEXhdB4s/ngqn1nHFaOhruSnUHXY0DsXjSVE dpyzZOfzIzufOtJeZj4ZRMux2L04x8Z5ALBoathcxl7RywZlMloXpCVqzHJqTNu90pwUjh/z805F wzGfft31UOUat4j4zkTrJk+XV3fDz+2mMuBYXdHw6j5y70S0LjUp9ORr8sEheuv8WMb7PMdT42c1 +A5ARA8KDnQyt2bhsJeNUjKxGUhHz5eOfu6k86GMp8/K/LzT0Nj++G5gFMYa+a6hMJ6eTOnn9hla FzfcmhfLRkFtibssiqeFmG5F8XS58TTWD5r9jZprJ/sCWggztKHNAr4Te0eOg6GMyDsHjQEfL3nJ 0nDQQT3PQcSk82xePh5l9V4wwxlAn3BImtgMRQH1YtFju6o6hEocMrwePdq1rNj6TkDrbhpLlrEN 3koFcYFQDNAYBoSkaQ4PAF0jAolEdNtly1422GVD2iHqpF6xzBPM1iJH1HOufN75ZzgJ1vqKF8Ea rvOZoSigxo+Hlrtjzwn+VreZQo4iMYxqLPR39tm9yqh0b4PXoxGtdwo5oO4BLhv+SepsDUKgEGo0 KtbL3eGdfNalTUgazPJBE3TmfFCPC1n65517xmXum0wKf0h5tiet79SzLnFNNAfVLj2nfXfVp16e pBVZgpbQezytmIEFj+LDRQidHQz0GUGwO4FBRybM+ZM6gRaoHtzK0NLLBnXFwd21mHJwMGj+CS4E glppf96JZ/hb8/46hmDMDVNAn6EwoK6s1LuaURn1sXFKbiUH829bQu8BNRYw7rBRuIQ4eNZ67rIJ cwFGQxs0NBkZ4WBC5xRIhy8bJ35HNEF0BiH4+gzwFOi2yfLmQX3C+v15Z511qWo/m4yWHDPdNRRW puFGWLwzOIjfs4N6T8cqjFWtXfjOOWPZmMkYyRsavJm64FNk4FdwxLPsrSnSQx/neUtngx2xd8oZ fk2ZyNHkTIMhFeYw7ZgzyZ93xhkf9yUk0SNQYPq9yaKAmvEiIBZeuk92fZHW66wFEdCxyd4D6mzF xdEoi83hLiFkBMSqZ0KyEnVGARcCAm+dn7Jfsz3cTZ93ttndY7Wo/1McYIbieSF3paW8Pu9kM4Sb c/x8p/UAlG7P6t+5Zuwaoua6DILXtySMpnob1x6EAm1sOIcBtSYosbXKwaEcE/k3uRMwdzi3voVN ANAQtrQf4BShHO9Usy6PGMwM9Ys42F9a9pIg5nnVzzvTrMs94CtaRK9DwuqcoBjNASEswvTNu+Ae QqVcLzkQLzRBYXmaGcfsbJFx0AR1GsYSt441WSmHiTnMCKVhDwKUILlMqC0WvWswQYsNVbkU7cel 6CzTTXtUiCF93llm+FPTd4MD3YlM5KQmKAqn4ZdyMlXT4ENkN6z4OlZ374LNBjG22DvHDMufBaGR iMDjcFcQJHlZ5cyovmacrkAMQzUSfdwyH9PhUvSuUUrWVTKbPljOeu5GRjInk+Ur/nVIczJwGaSb kb3Ty3B2ZAKmh6kVJEqbqzottkKRWoHFiu/ssmeLVSphDucOQIvvxOvw85bzeTtZqziNi5E+Wg70 j5acKoUv3sllTDeoq47qbYVDJi5q5Rsb/A1c3id6+7yTyzDBZnSluhAkxgy/yRmKomkoFAHrYrj7 QjolZyiN5pByMBVthqLydKJg41g8YTj4DJVewSgjv7zCM417rCPCAJf65xKnKabzeaeVdWHmUcIj nLx48RJ4ZX4km6bz884qQySyf6VjODRS8zjonVRmUKdzRmcTUMtKzNTe6MmRHQDDaHqi2rQc0vrm ScTBdxgCaJgcEkt+ltae5iF/Pqifg5qSyDK/6BSdf6eUYbET+012oA2GKEPHmzvkLNTPO6OM62d/ 5xqozkGq1CYoCqU7jdIUEyJk3Jwqwn9hwGjgDoDEma++88l6MmBrT9T66OkKOkK+e50Imocxgp9d KDC3kZ/Ruj73ecUKkVHyZf9VNytQZ7VBNQ8Y95pW4eQ7BoXF8V2bbmg3oJFpMxSF0siDYHSbNENp JAds5naNz0vzbOydS/ZkY7nIHoTJ9mTNg/OSeAad4HMsaAkjX8VOQd33bOXpGX2n8/k7lczK74Rf MR2jZAJjabQLNv/zXOX9804l6xL0f6YIsjutlbuIolgatFD4gC2LhGbySKgTd2OFs+oXfVicJp/l vGnzwUuLME2ZhS5OYEjTFwGlRXQdCc6eF/ZLndvPO43sHkN5yPITZyR3DBCzNVNiE8WDzzuNjB2k 9TVDlDnoN2N9J5FxXguC6WSMxMLenBocLEyJioDrTjMUVacngTA9jTt4Sn9OCYjmZ1HtoCiXCKIC +hfBNO4SX0MEUb0zyLqEXYjx2D5YVWhONvnQs9ifdwIZXjH7PSbQjBV/DZ4YBtN7021Y9UQwcpyr wbaWle/xU1M5/Z0+xnNINQ9B8eZ4aq8nXEexRlSNkw+gGgMd5wHGJnSCqOymNdQJHX+njxGLwDWU h/RlRzec/AKrjzX5E/R+3tlj3KS+hIwoDEqLQxneyWO4gjpdeFIXD9EA0bzpgS6rpgWKKhxnKIqm J7H1bUimeOynfg/o+6xWFUJLQSc1OjANy2fUBzmOysXnnTqG7yCXJUtZPj/K8udyFNX4HNjj884c Y9XB73p1Q6hHPr1H9s4c4xpStGg6aSxdiUFeB8Xk2E2qzddQVJ0e0tqpbNVzcKTiWT7YWhQAQ/lG lpboFPx0sHhJEzUMMI4kvmx0UrO3QVavBuNopEZUJfKW9XmnjTGe/q7fNzoVDD+G3lljOLxodZWG NhTkK7btMgAObJdBRVe3/TtprLtEGi0RbPDqNN0aedIDdtAbivBAVEGUiCJnCGyv397Qywa3fVXV gyopV80HeJgizPqJ0svnnTTWH3tvZRyEewDyaDMUVqdBHYcSjlU96KRpgvLtajVI4RprKKxOs1U/ 5BXHwXcZDDNWQnkh7bVPOCTPJwpBSrmoeM5KN9XPO2MMOSvykvNJ2P0aPKnPU7XTE2Z/3gljhPSV rwlixrOrtxHf+WKGojkL3rEMSduNAaP/j8ScWWXxnS5mCxhpBNkpHG7CgYZtSSrfnylipFghbUV2 LJy53Ass26tGOX1iropUTIMuMnyB5PbPffJ554oxtfoGVMGajtBnm58ooAYAFZrQ1cPF4ny63KfP T1rdYqF3ptiTsgotxeGSNPJJYK0qVFEkTRJRR0GG99u81sy9br1rwGFJsgIrxQctoIVFyVBmFz7+ v2A9aH/+YO3fSWJcdpSMvZJoRCapKpT3JUANd9V954hdrMdYBHdy8AlC14r9f1SFJipEPKY6/xfc CfVO0CDY/p0ixvOSEySmBgeboH1WLL/uRA6fd4bYL0CVFFQ3kBT3kA6jaZINoeCgosfqdu4gcLkp PUUfAMlrMWh6MVld2QeboHOSpbWQ3qHocdbSapIpXGxGFKGFlLOSWYbvCC56mTapMp1uZRpsDWlm Vch8tSiYfjqI7KXh9Em38NrCYBotAoRzVjbrxWseZRUHda7bQWxRZVr15ralP0jmkCZo93a2ceIR hEp061K4gLSeVmZeF7Roh3QUTS/O0Dklsw9Wuy+LmSRJg3g8Qpz9Ai2CvzenQ/J6FE2zbpbWcmB5 LY6czi05cvq8uRUW+3s0jUOahxBVlzXYIV0STonNrB4ytGDZ8ZAGGK4zgbgt1s66WY+CadEM62zb ByssgqHAJXD2DB4PWBv7Fy0B+Xy6mMUeBdNI/1B5vbDX6owfMkpNiQHK0pqgEOpBqeUhOUEONkEL 27ahBQmBzyGILQqLWJXoj+GqtXxsUq2iR6VpM7ParC8KcvEj4cCepOhx8mM8HkSK5XsF8QZL2eOg HsbSmf4MzWGv2eof8JuqNw66Sug9Kk3L87Mpb+VwEXn1XGoQmgFPFXoOiVgY9O86a6HgYzmVl7Xp HsXSoBeAGlztMIJAkfINyPQqp28Fzwd8w9sgY92KEv5N8mecpJBySPD0MtT9OTC2uVfBPN2u/ZPV oxGAk7pH4bRUmKqroT/uDCeKnGWZzNCJ1ldhOK2wkruv9gd0RqmKHoXTSlph+uSD1V9hasLLepaJ x4OjOuX/jIZYhNUMRbRDdDTgrmcaQ3ntYQlHUR2NgCGK4HGfxbxDHi9L1Lp1qXUtAfQIYTLBy0/m znA6Gdhjoxr1yFRsvWvQRFxSg5GLBfRlKToF/xPRQPs58fF4MEHjZmS8SXBP1KeC3/8VUPe967LS UKpO6m0icUisCj82F9Ef7MOalg+etW6YP00qxOZxIh4agsEFB7Wvsz3muuVFXK38juC6L0zopyrV 83oz7TO1Ap0V/IpRRP3sM4NaI62/ExSWqHsmTGi4sHe1XL5QCCEL7QFBMU1QHFFTQJ9KXRocPX2C qbPXOEHnGOqJ7g3n+OmQqcK6R3XX22SUrO5RibqKJ7Vq8sEqH7DGEPZ1TDwekTi+i2co9lRUI2yG 3kNqsFwoUYUCBEFnnbIvhSE1EGEyZ6TYKg/rKKTeKp7l2n3wwxrRyqZON+kuJcuJaRFZiW+nvKBY dqxQ96hCXVnwSOIichAcZp84SkLMNU88/ldWL2o4javubRahpzuRnVQb/z8cCaT6y30YCBBpw1vd o/NzotssK1TkaSTsa2U8NFAdVScabJnecP4AUrcBuIBb+50gaDFVfEcE7CT2NVPAq7p8ISr4vcrF 9Hw+Hv8LtsgrMBGP0zxt7WFM3REGVVPIGWSwmRGDkNSbFGjsAy6hqEBtPNZFNBAHz8r6OBmb5Lsq UBmF4vk7dTK6KwVnvNXKiB5fEhGBSN8YFlo/yE7akOP7zuWCx/9aQzzgEy10su+yEQXVkK15UGfn TL2YqjKrA2JKd8mlEZWo5xRIgfJUw1k6QJjvBRbroornufdPtE8EMdmTYGFD2eka6GS9a1RdLKJA 30GLCOxytlXOaZbxeESF9jaQxQg/0lvXBEVBNXoNTm7BMUSermguezhHoZFXTaJ4XKFmXrZUXVzf 1cV1pqGaZC72A/8JgkkQ3ubPfKjQhLXgOyLoK3HT6Afb4ByFbbvsBGV4/H/pRlOTsFYvUI8QPg2+ Jhn3nKAmM4ElVJ43OTZNWjhBUYFa3oJYIRzojjR4DMGgvA/ygNIJ3kujZldjcQ8VXv7ZdtUjwsd3 BHmrEENJZLvUzPa0LoovIho6/w+PR7Wh76wDBO08m4eLIwqokUAhoHs0PO4Wa67JAJUK32JRQL1Y EhvqJ56QZ9sEQT4QyM5ONMM4sTnZ9BW+Hj88dXp6LvqJ+YnhHjx8BMNvaXnl48RXm5caDN7weHAG tdtoxXOAspc1PBYaUTwtSTOS3HgGSa2LoDzIaRnmDHgWTVAUT08Wz7qcc/vjnFvBmpolyVPoXD6w XvqhygmKzLhb6JdjXSA6n4+oPq1mNFVSMQBkurnJTkpEcw3eM3j+rzUkjbhzLvZ6j+kwnk5ECg3n KDyk6CzRk8Hah9MRRxRPL1bwm3CtHDwpKxtCCYneHTDxGF1CMDQGAHmzXYVcOvXiO4LElWKd5/ca PhjPBXxNep2emAGPR6fQd05GplS9mJgRoqcn+Jq9OPK1Xnh57w82GM0zTVCEnq60ywMVzQe/6jNc zbLk3ycsqoXRb+jjVQT8UE22LlmvetdgCVVx7Wgj0PO1Edh9q/l9Qq2Bx4Ng6DYS+WooLULbxSYo qlAD8TDAd7cS/s3loUBgc4VYyOiaIwqnkbKg6KFmmSjK+Jd19fP/hZoQw+l5zlTq6SQzAzr3WJuP neD5eHxHFAqpdk/CVMvuu4yG91CTa62Nx6NQ6JtKhkUOlU2foH+G06blwW7QuvdY9QPpbIpuPaAR htPbzIOmDx57QZJ6T5p2nc88px7zjb1x0QPcQnSnHdRbSyiMp5tUJ6dpUDaHl589rK+EhgSeD/L6 4t16hvvEMqwrXjHiIvW8SkJsKRbvkyFx8GDoWURhkToHzp0n5U4nIDRxD8Rd9Dif4M42CooDnmRl /C3dnJCQSJ2uM8vNByVlffXCPXd2bcHjUenjXmacT/L8brw4wyo1aNGlLPEQB5NKy8pkvDjciIJT NEMtvGTE8TtYQD3g11QhXwgaR4PeEuUXh3IrkLfXhZ4x5Zgh5IMHUc5CNOSLaCjUesC6OCESHo8a HXef4R9TL4LQLs1QFFFDdEmczGxl6uSJPWnEQsVQXI/iQlGZWlK4DSeCDQ4b6uhwFyX2eU2zWN7U NsNlCxyjF2FxwuM7ojo+gZ2zFh80Q0C082I7M1Tw+F8SMexq4B3ruIp4MwqpE/Ux+Zv/n5CMrhhY Jpsemy5Uvs1myEiU3nST/lIrT3FoIN7d8n9PCTh8MscxKQ1k1E0Jc7FaKT4w4zK1NHT29oEzxF4f wyFQ1PF4pF/hu6w50aW15gfRjGJqNCHhuJe93zq8kt9ndpB5TdVoCjMsUvMa67ndwduJe8xzFIlv d/Y06ZuNcDRQgQp9Rb1GjR8X3xHNkGof4nFk53F0CIoI8jFTxuOR5uR3cUh98OUB44xi6vNz08jM ko66ryJnkTElWx2wqtYSimJqOeKeuC35YEtoQpCK4k6Ih07kOGWHQ3wCevBzPyh8nIb4jmCTJUI+ umgK7pUGB7ktHeSzciseD7qJv6pn9FB90tYZRdQogY6y3WBZbk5qc9CSj1nZYjeAExRBPtaQuBlR +BxuARYWv0sIGJhpQEkXyDMSN2FTgBzBAkaWF2cUUVehzFfKPhjb7gQABNG3E3rh8ah6dtPWwgIs sDi3ejYjgQ9gL7wgRCuFbkcPoLuXWw8GJuuLMypRL8m5en3xUdFZ5/45h4N4CiftY+8YVniYMxgs QqHnaigXvWtEaaX4QO53sMrHyvIprnM1PP4X6IOP4YdAudwmKOQjotiQqaYggY/u+Nfz4E3scSQy b52hTF4V206HUHsOoRPD4apPbCcOwNDkAiMRCro+zWuhQD+OGVWoBRsqwjSUi2nAPd8FXlybj/8F q3I3jrIuhHpGoA8x66mazcS+ZUfFZDHMmNhTv5endBRSywKvK/XgYBOESvDJVHlKn1QVKCJquyIb RPX6h1GENcooVDWjiLqZHae0uKfnHGAD9qriYu94/H+BDQFJUdrV95gxHZGe0zk5MK+btfv5C7qf 0gM/kA6hUN+DUI6xKFPOwSENJ5FYVTLlUE6G3cQPvd/OTuoAN9Vr7jro8T6jcDpNFaYpdcbBYEMt ZUYyUA3D438Fi3KnRoy6fIJWFE2jWDwkrs9TurssTN58XcaKubs2w4owH0OwoSSFmPQoxNQC9h32 EM6gBZQhPZfJRIRHMRVxvrW3V1ie5vFcN1MzDjqD0Bjg/9HOxOPxiI5Yv1fQplinB0IrLE/jIpFr lnSqigsPpLa9dnZuaSsurhDzYVKdpvb6QMyhiHe2+pB/IqSC2XFMWFNfCsEqT2Oh4zv+VffIg70g DpqgMYu01c5RWfF4BDz7JilATb2m5PnYCuWlO0XbH8zHvJgPyQ1JrNP1FleI+RAXqDNzPQPTI2Yb ENYsxA7ARgRMXeJdkXCCAIwr4ps03vAdge7AVl163sHIUhMddMXSA4//lW1IxAFNsuSH9ArpiE3U hOsu6fsKRm5XmCF5aXGF8Gnd76NuH24o3c+PsLs0hk6ilpnaZlbO6MT0lBal7bHi+jRz+SZXida9 wQGzb6Hqz2Th8egMql/XPOKZMsadoFBlutGVpFwEPmuv1G/XshIgBh+EQ3rFbETp/wuBvx8Efjsz tNeU7MCCVyslFtEP+2EBCbDZK6Bj7xpUzpSv1me4ZDJWbRBwZjz+v5A4CH6FBItNUBRKA0E4UnKa S0qeo55N0X2CTlhjiKEVSnsMIYYkMdQfiaEJmGulnWZG0bouloMGwB4dGLRMJVeZ2yQCz1aE9lhD Qou9+aAZWmU1oT1OWoTHIxX3R08ZD04U79oVxV2hWh6sbSCAqYuMCmTOleoPIMa7ZCsMpgljeKEk di5Bydyjkgx8Nc5pAs0RJ85yAcKE2+E7giki8iyvJc7mcseMs9qTlNzPj43HI6Gq7/IrXCe+8rEV MhLXpONPtl0m0CtZHBAKytLFHduUvFaIoO4C5Skfm1/5WELbB/4WKL+ez6dvG2oEYCRusymyuhn1 YVYUTDMUSrut5YMhhuocjPVaanzF9122fnEU4GpR0WaxCQoR1Oxw1CugQ7qIuQD36ec0rjtdZDHc g3oLWV7A+RolYLPiL8iiuZxPRWcJwsqoL0KslYr8arRCKk4vG4DwTdWjTB+Usa6SJWRRT7iDx4NW /fwFEEaphR4FmqGwOr0SgdNmaJNrv6TW3a5TC11Xucdi6Wmqvox5BwednTOsJ8pBQSQaaXDh5joh UIeKe6YVuwVDY+hl/0WVApLfB80QcaMMZk60jseDu/5BCPPeo3D6Fe3cUTyNKkff1SpAiOauw5bU K5iRwVeei2hH1emRrAtUfbiLaPWTabGPyIVZFS5K4Qw4zv+0kt5RPN2ycHkUFOSgyuIJKLaUcdPg 4xHT5Re3HpEi/K9tgt7jaVc1nU0ZWd+zeTzdhDxvhHss22X7324tFTVxG/wYglL5ghU2/FoBjJAf OU6gjuB0EOkrg2R/16h8L3Ehmkdy0FXWz/8l/XEo4+73eFpEma8JgnhqfdTdQwz1pI6Xu0b1woaZ PKSHC+NyhWmC4ni6Kk4sPnj1HjyXjgMECceqk36/JyND8Sd3GohfIzvU4PEdUd2MHdaO+FKDwsUC NAMvIkRDO6YjfgudIRA7gaYnHDuKpxMbrcXS95N4XrgHmd2ekQ1LOHYI98iCBbc7+AqC1eyJtjRB KE2LN16VqS7T7BxP2WxH8fSuYpExI+NgVaF24gbBp/fE438pBxdLWcsTCu0QPl0pMVSs6JEfgRiI b1lGRldFTVDMRtw6fIoPHk/PBaE5JRwnHmg7K+FQ4vlLWnmxdr9jfQ/yE1TC52AJxy7y/zm5S8Xj EZbhuypEgeZyRap26NxSWE3c23gc2UHl5/ZP1398Oh5mh2AP3mPUq7bBqUCz7jRIPgbRejbpDZrC Au6c+QDwQdnCd/yrKpSkrJweP61Wsg6988oLj0dCcN+6wbBpbQ9ocYd0xEa1xb6MK1UuV2rwRJBF AK0POUGhVN4SGkYLqY4Hk1dhGYg9isLrakO4mUSjJVAjWCZUF3qxC72jyrQKr5A18MFgnaBEWKxY 8HiUkd18Q3YCaD/tu4TCaLpRpWp5fyw95j++rqDvkfyeD9EekojRNdafa+xs8xP8LMTOAjPMxtJa WUSiLCDpfAkVVsjxHUGsmKVzVocPVjc7s70l2FkHHo+kp72DyMlAfL+TR9M7Lk1XukyYhg4qCFo3 QBc240r10tylJI6mGZ8OlabHV2kanci+5ayF6aqKu6FsjMIs80cHVG171+AQWqaymHywPdZN3ONs MT4ekVy+ca+oZrR+qx47CqZhbA7OeLIJokSxJiixESRlhuUTFEE9Jsni8Mr0we95eGQQE0EK6Epq U2dSVYBvQRB21Sib3vVf4h6dJUkNtsfWNMnXnAYejxLWX0JwQPbsy5NSmv8+Q7jeZUzLEhpa2UJ6 CDq9mbFOVy9PobwHuZqlibDamqNh8skwzsfDZRLN9HPRQ6/sTBMit054ObXoDTFEISZ8S4B95bxu yqGepBVxB//cE9BNkV76uc/5ARG68xthTovddZtkOYWCecQHU4xEbCnoURHucYK5ajyOiXtYVuMp VsyTX5IYL3M6miEDd4ZIkdfZiYOhNkGqy1kW8OmA9cwD+BjbXjeSpWSRerFbn9ft1u8lNYkfIE34 fJB2NE87+I+Z5V34Yk6xpwuardPs/Qb8w6wdDQNeq6FB1Mv8JlIIo2YXsa5nuKiYc6GtTM+SihRt THluAUYDDBFOhqtkXu1t/6ndKXJZhU8pbwaI2u3Gyu8438UPCAKjm5yxfY1YdpbrF5BCKDUu/krU BFENpVm/DHpiHlu37LF1TqEhohTxVAK6VAL2pM9PNhOlz/D3NRCzUGoE0xXM+czt5gnsttcNqrEi 38H8zgadSyfZk/vx+U0Kn4/q1d9lEESx0E+5sxQF2JkOShL3WsQ0XtrLSt406/TI08EUVawH5U2b kK4cPMvv8K5EewC8FyC2wT+DmFK3HZdopE1M/qaUMG3V3r+Fih8LXDcbDN2A/ScxITgrpBD/8asv hGsReJdnMUVhdmbjA2WPLD457W7I2aE1Og8mWEb8VB1Mcd1a80O13PallgvNqo2iJnP9ExFVnt8L nazz552fdD5Qve1vGxGmJa2T72B162HgwBNbbj7/Pks1f8OqAUpo5UYBOcUuL/unLzp5/R9oDKBZ klkmcXyVZc++b+K65hTF2upNk+diw40DMsAynfyXCak4caZLo1Rv/qHukqlVDn/bKFJinqZsJN9s BEoRQuacfFYv+SdnGscS+X83lswpVP8odB9dy5AydDlg7TqTLMbDu2QXzcUHRUURVo0SayPqEdhX gs0zpzzLzibZ5BFhw0Egf8LZzVPaAvsXfUmQkWzNTrVJ2to3DW0nLqt+HuLzEWTvu3wNkF8FfcIn KYy4N3pDy0WI5HkhMEi++uZ8Kzu7IwmQosrRoshOW1dkB4SXBIffqYiyt8FWW8asyvtnPXY43d82 uuHoOgWNCRuMxHAieqnIjDb5fLDdftE8UC5rqz4rKSxhUyW/mmUAJM6dCtPJnZAKyPDUP6ewhi0j gZqHD56XnPRmLPo7gUNXF6QgoamH8BClbbS2peANbUt726B8JFb5kqjecpULuMFuZl51MKIMPV/a LyYDQpN9sZ85tFCcdCfdxWu09Slikz+hChud/OQQGKJCBK5eTDw4OGwmnWO1cd2AdQB4I7NbAi/B 2VnzIS3KWCkwUQSjpKrTWHywGptMrVG7h4li6KL4W6OAxc/S78Ed2ihiSwplbTrV6erJCL9fJVLQ fZIiZIhMO7po1BxsksBWBLsmCSK7YZdL8AgSHQStrE9/9/XzP3wU2XKUOhoHpbiQwlYpeo7K54Oo +5dyHH1163hWUhh1Fzq53ipA3q5KZOhrVQGKJbk5tFIUhO9kg8sH324LwZ7Q+iChsUHHHvbZucKl X/dxAvH4JRF+hl39YrwGku0wSWsB7MODuw4+HxX8f+l5I3pDudgnKdLXO2nDj/iJNknNuo1gNHgl YKa2fJLCoNtRatMHLyadGLF1/mBwC4QwhOk9QDspMdG8FW32rnPgp+iKIJWsYg2G4zsHt5OEK58P Jmn9Ig818vFv/hY6Ki4WA+YymFGtySepy9C+UnLYjQVyYKl4xVBzvoMj1eAbhM67tD7x10yW/XG+ gJJPKeYLNBr2ukGLn0RY0G00LFtK85y/tpRgyxm6KpblhW0F6DA3+LJ2jZSrUYM+S8kQ+oOuPtpv vRSvuo1GMFbXBwXXm8zwtniM+6HpwXcZ9GoCHvdZ443/JFGPGVxYlAn8UKK2TA58FXEoSb2pVx+0 lKAoIHX3c3Lw+aB/dPv8QyUEOA4++y2kMlJLjmeIuJ7lGivW7gyiSqIdo8nQWRFq2ygorTvYjVrh QA6wAg2m96ZjMhxwdYDjrwEExLl6xV733wSQuX1Qt+ysWEKCMO2bz0dH9zfusVG34HEwDdwVJVl8 lpKZTg5iog0vIh1iFkyIh9EsRW4wfXEpVSlZ13kZDiDpn7ObqKNzSLEwgEgJ+Sq75XRccuWCam8b LSVh00g8FxpBFcoOVwReWfaSf0moiB+KQCA991sUc4O7A+52NvhscU88GBJdhWYizzRJMalR9AZD iV63ChhMn8AJSwWVgAq4BCsBFJGl2CSlPy3HrcNeNxKL5xoC69YGS9+glG36BZnPR0Lf31EAOXbr wkZy6LII9i7Utx16RIC5Afy2FSuhwWEo7BzbLBIC2StTXQ63EJChv8xTqZBoxPoT4Rfkt5K14j6U 2d42aiixTyLqJwctJVIxJrfQbHw+ykw8nlSBEunbldTPodNiZ9DNeiJtudlNlwRoc4d3oWu1lEKr RXUlWxdOtF+caDn5bd20rwWC7fwtczEzWYqYKiocDwwy29sGhYApngOdzgpL+jyUUJei5m4vWEmB 2SJyzPkrMzmXarnOHjk0WxxUuZQaIVZSpzY6V1Ja1VcSjRU0SSEcW7buMjbl4BAk+sCRx446Vadt EWB+mFV0g6hkoJW02HfLodsibqTzXvST0WCFt5MNilZ0AgI+/yeJmAnxRm/05rih3yIqQaOsPmyS aveTe4EcLUXrXbuf3IHhoosZVG23+my3QnyKjMCAZNuV3KMyURnpgP2yus8zSRqA/JKgt2TcKzXf EOCxj46foMqgEjxEfkDUXbpGVSQBILDbT70kMF20Cy5R1ocKYa1mX0uLbcnMDdcNdpwD18UbKyUV c1N+OgLYxYk48XMgzU5oHLh2iFhwWFHsxlsCpdrrBkAA9uDQ1dZgoFFUPehzBU9pvWVUC0hfi4k2 3bhmfJZCq5gpNK21KRVy4CzKS45nIoI2T3MD50Vwbnlo0x1Cg3cERjqJGxlFJNynOtU0aDwPkJiU 2+mmjlqOnRezLjhyaDgYh+Z8PtEOkO3h81FDYP1HGEAgkU9SCCgZ1DAabhhTZvZawN4XUALanU1S LB8iGqiRQuutKsFyKDXeuLTBoW4bSm+o6eBUBOnbfOEk6ZhD90UpN2fR9zmoFnCmV8wmGBfy+WAl VT+7cXojmq5fVsuh/yK6hXSt1wXXZnLkX2U9QoTQp2ASGDA6jH0UuQ6VB9kGQcTSYcV7cpOE5vdm k65zneMuG9fOQhaeOTRglEVloyqBBqtPnoiSXJia1+DzUdTt243QLfwy5emZhBaMg2pYykhYVXLr TvRRLrgNbCubpLjSze2GdpYNPknnVAdrtsgfju1Flt6wXubm/eSTxO4svySYJKa3tSpiqssd9Naa RZ1Ylt5CE8ZyoSW4Gjdyt/0kcKELI1KGAWCYZbl0xFTBpDfX4YUMhWW5gQ0j+m/lNcttEEzv9OgA a02kGjbF8b+gdZz7FQide9nbBgUT9kzg1+mDTdKYU+WOxu0WwkvWd88EGLCf/lV7C60YE2k1qOxY WWn2W3vjUuIs4S6yWYrNzc2Suvjgucns53yuYmAjGIO5IV2azt8ClAjIR8xbN1EJ+pIgoBR7X0Y7 HHQorQatChxK0ATPoRvjU8XlCYY7tV5r6hzaMaL3BTCAoW0LBT3UDxiXpT5H9oZ36MfoFNp1B8cC NiAbFhmiqH+WJZwSBATOeQ406W+8dg79GHMzdlbxwXBKY1YCts+lMPn8X0E3K92dytc36A4dGTf7 uDUZ1q307dttoM1haECaGWqOoqCb0ChA2boPF5K8IH+ZKQax0zm7SZMsgHcWnmT9maTR7W3/xdBq VdLp1aVC6VSgE6VlvWRkI/NLXZ4k8Mvlz7ErIytvabo+lug11Meqbh8HqW8PukNbxi75RlQJbbhx 0jkv9mKzu6V5futSJOt80lsy7yCA4Mj27a8bSfCz5kZuqQZLTRyY3EYafD4C3v6SpqGgNGWJbJpC MuT5Cc417XKYmb+P99+qR0p7+f0WmzNSnAZK3T44OPl8aKXtChrCGSjcSfw/6k84faGaZ5ES0gS9 bRBObpnrjeKDSm/t7GkqgyAC4/NBKSDdJiUnZJIU/pxKcdCt2ptTkSgUqAZcf3TU5wUrBQaNt0sJ a1EbbDFlIEoAKyGEuyAsI2ABB+/54ypga+mWlfKy1w0qlIPIkg02gAarmKypaToJ1eTzf9FGGfBO yCXNS4vMgU/jOQ9Xvz6NqMOxPPV/6thswwqePXdxOKFRo6apbJZnOVyccjvxUSdaqcHmakhKHTjl Dg9a+k+rjrtSt7cNgiUW38B+9EFb7vwqi3933SiZBFaN39Vuvhyy3nXFMXPo1TiIVG7uRJhpzWa6 dMMlxSp4VTZJEcCksfhG6RkbvGSyYSnD7js+PS2EjaBv4S7c51CgR6W1BFa3t41KJgy7U00+GOVG 3iVE4Qw+H3HY8/e5xCRiPzCcwK8RIkA8tIfPEhed6KNjTO8J8M7Wjovjbh5IUztuPjsOIEpwblnt 3mikN9qAwZijU+YSbA/fcWnZ6wY7Lovir5rAcPoffCbrEk97dT4f7LhbyGUqg9+mXHuHHFo2gh4+ 0tVeo3274XDkarkpTHUrS7Fn4zbTguaDzxJs4bfISef0hDAbNxzCq46mUObNbUXK3ux1I5lDyfWa 3YwrPrezkjbFS89Jt/h80Kqs3xgTSOjgJHsW0x/Q7mGmeyqPEvjWQHasPJcmmkFC5IbGjaosQUDM B0Pk7jMjOKhQym2gy1E9q1CQelQS3XO7ERM5Sjmwbky8y9E0MccQl30GAJqyzFSX5PNBLHB7J8pm GiKmm+qG5o2I6wad3cym2Um2yHTzpVDcVDdwb1TlnD4q1QfL4s70QUFr0PTqnE9V+keNVdDJaOXW AwZZ7Tlwb/TTuyX6ynFQ7H12rarHcGnh89GO++4KcE3lp/wW+jdO1gO6zQeguc4SSLt6Q7ehm6gk LjRwVGWpb1azOXh+UoHGoW9JzpQBWGoHQG0wo0xOiy4p2CheChwcs62kE0pMHxR7zwnzdnzf+TI+ H1xxvzTWUUIo9aog5djCsS8WvJ1KUcwmDP2l5d5XrIHYJMWxN5WNBcvt46uSi7SkV1mirxOvFLpA FaZ1iGkpQ2+cSZXfQg/HmgVapsFcgWgFkwqo+RFjn3jE8gMiGZJriMFcl+yV51gKfBzRhlOxxKPK nm7sLdlapSju+p1jI0etpSbKSXsoJ7WtsTdb2WfDzUGJA+ZxWxq6+2r6ASCul40aTALhCEBRrhLJ RI2YXzcAoAiNHHO/AHgxeFCAe6omgZWjEhRcoleBdXnzZFRXtjmx2/BAIPZyFP+/SA+qXDzueW+4 FcpIDbZciwp3ZRfyR87pxYPYhP3atrf9R+SdYVnrg2bp3BWZFfbzv1U+H1FOfvEnCRBMN/AO7RzR gDtZ3JSuxvmv7lK+Ux1wGvQgqFFMGfg5KllnWS354Cvp/OTnMGIpF2okqckSFPusgfKDdsnFvi17 26DDJLkNpicaLKbE9UKe+/mb+fz70b1u2UTCv0i789cshZF3Yi9gDOvoCqpM+bpep9dyl3uA58DV MbPDhWCSHjQcvCSALl4CDAVqvjizF/lL/ax53Ao/VCx3Yg712XLg65jNla80neHN5XzPedG3AH6z 6vmomOvH0lRegzWSboLSopL3JPxNATf/62IoyOV13Y3mcJwWAU0qAwAaGdjg1Vx4yQ9Un8ilzEPw twyKVaUO4rpG4NIgyy2UJnGgyfDB44A9m0EoNp+P6Ev9a5bQEDyrz+smn5dYqf6/JF1oyEoIB3D+ a2evLpHbKK/0RL/ic3S/RErnY6B5TPikiF6jXyNwyCWlE9myKVDhaS5fqEo7b4pNpEe/H0Twz0uc xDel6/y5jeSycmNuoHqEN2lt4en/mh2+4La8RHACigtWKrdwh0eTgwWU9zR2AH6Jp5FbrQzQs7vO vURI/O4+rJ/UfbCzaC7IslXtsg4rKe5HilhUmgIlFN5m/sK9v84OMgoqse50BwVIsA8R07Sggvs6 Oygnla/Z4W0G3yTNzktwxIdgDDdoZS3i21qX+MaaPCZqguOn2XkJjbR0tGYa1VjNMIdLB6oGk3GW POTRpiTQC2xN3P8lXwAuS76fl8CIb1qkMcp4U4NhlE/Ot2RuvdvnJSrS1BrJ1JYO6tpETHByXkIi LZ1Ga+vp1iHqsZEzQSC5gW6y3fYvARE/ZhRNjuQh24NLOqu+4PCRWkuds+qmw9b7EvcTOpkAgJd4 iF8hM4MmgFsjfbYqIEIThoib1fPnJRzSH2rqkDanycSGNDsvsZAeWhSzmaa0keVUyD6bMCXM0EYy ssRLJKRfRgD3xf3VvYqKVmRGc4QXNy/5XgjKzezPg9WGdqTvq0bb7+jU4alcBN3ioBQ2oyVFEYAT 131eoiA9bdCIE5+mH+OUylaFrm3B7OBgchipZDa2569yxOLs9GF8m5cQiLMjum1XD7J/9yDHHsS1 Mccfu8qyOankvQiULXZtifv4eYmA+KqLQNuESN0GFWgnMIXqidTyeYl/7PIZNj3sw1ESN/nOegl+ dJIjjq7K6YkgKa7HNtJ1e1jLQbYvoY9mRyaySVap6bEjXm31k23wfIEWQJKvETojqO6Dh99u2iof g2hnkfHXJGLTrohNPbGxvJvbyuPzEvXo0Jrja2PRSIUwRE7OS8ijM7nVq9BCx6J2hbTG8pCHOojS 7Y3O5C5eTb6DT85CFZZFGNQq4F/BFAMsjsZwNj2eV5Ohx0u8Y8uTjSKUNW3Q7JBrScWMEzF9XqId e8P8PTuqxzWbnZcqozYWZgeVAus3ll392EnTtec7Uh8JsQWHsmyIm8rWrfXn2DmJyS6NyfxZQiia shKbBy0j8ZdQPVfGhAT6v1QYNTtb1bFUfbA67KqF0RV6Lp+X+qIijuVrJ0uelVur2IR9XsqLtmCh ztIMfTVSX67vQ6Vmzc8ozhd5KS7q29lh7FXi2LVe9ZpB1CgdJE8ci/ssk1WLI5gXEOHsDsymV9FL aVG/gXoeTZK93qWDxiSAzayaQWIsvLSWzQ8XGpbgYNVOfOdgeoDcGVXWw+RAZF8+fVKQlnSa+VD8 ovlRMUhG3/3L6Bs2qb1LFBtY7V2EWz//5qcDjb3L7VZPgY3fo2XIH0mkTtiQ6fFgX3VspnmNAJoo XF5zfd/qECdP00/mN+aabYxCQVprVSe6B+ho3nM4EAufbaD1aIKmaolaR/t2qjFZZ35hSUs50XTy eibvkxsMtMG9LlqNqfDnjbimxV6k9iwNm210nbNvU1GXGTS5zxtvzULm9hUVIh2o+5mh95j5XF7n 8h/igJNsxEWuJeRVRpCNWnEUVjBD8rQAfd8HL2/sc7+s0cR/XA3e34wLydGnOm32fGspb4+i5i7Z 8C13y73v9XV+BAHVGgpl72Ez8rWbU7CKjeIvUyXNUBQ4Qx165DWsLq3mpyS0yi3eQzreSq5R5Nyp vnZmuPvgFVeAsFqj/lEFF0/i9IVsGGSkHVVku8Gy+jHBJlMbqEs+vGd3dwDTeJMjB8GLzxthTaeQ XJYwQ2z5617wtOuNsGbp5KNeg//aD4mWlGo7pZMji96j52ziB2sTEsrB4kNAbloVUv2knScJZbFz UOIAXV8KjQ1qaNEd/PPGVrNLnshYyT6nR/Z5nVxXZu8QOnwjq90LSTNkfbIz36jJ2wxFATT+svOT X7ERKNLZOVTrPYdgOadz6D2CxoFPR0uRqzh4BD33CW5WooZW7id65L9snR7o7ZdOHd0RP29UNW0T sh675GauhcTJiE4mJoHQ81t83phqfLzl7+SU1HxKNdkMhUE0HYhlhC5jsHx798VKrgORn9VZoyi6 s941M/FXUy05BYpg0TA+Rru1oQEuxOxiKSITZuB1H1EeozC6VR5AZQ8fDAQy4GLNQDHvzxtLzfLb 8rWGKLsEuw+boCiQRqAPdLrn771Pb9u37Wqi9cqwvXHU7IhQCL2rDxctexbyqjyoYXGCJiLSDHSq KrytKB7omgdiqr+H0uiTYmoG0Mg22C4D7ZDft/r8vFHU7B3rr+oPvnLf2th7MK0raKTC1gX0Idgj 4BJ66tEni91eqo+i6cH8FKZEPnj9B0hubDRi9879kanMKgpG4U3yRb3aetf3CUK8R0T69MHwjUCW SoIFQjVROO11euciVTh/3nM6iqYlz796tfrYw7tiimGJaqKxJSYoDKep5DckpsrBJmhT+bNLZAw+ l1lYYsBTK5zsJScqLPGcetdognBAUyvJBpUPe2IBjxnW+rwx0yyW2l8TBJ4WDY+tfhjF09AsObFL 37aC5rjENOozmfiKA4jeeGk2QVuwz+KDF5/ThkwGw0Xw7VZhQ5/mh5WgGFoDmnDW0Lu+X2S7ETck 7l57uHugSEjEZ5b8eWOl3WPgmaBGn/rk99gbKU3T2ilp7Bhi0/QjsUEIKx5CUGFVhfU9nsZKbNo4 2wf7SZjMb6A7qeBz/m+C9U7ydRYSuCeZPkXO3Ct62SgjY8ZBiR4NiqfPIb1E3z/77vNGSdNiuPE0 r3pcrSN7Qv/GSNO6LXJ4SN7imV7vAObN+4XQvdEMvcfTKPNRT6SL/9mfOuuZhNFpTlQoRjQK9ZcW zr3eF/0RrnA4AV2fN0Ka5WTsPA+WoTnYGkpdXVxksp83OpoF1J6TKQErdEe9i+ifAbUphwz666aL H25+DM2yzdbyjYx2AxUEgbn44GCY0s8iRN0WVY81BvJiZPVQXsHBouTD0DCUE3njomnFJ26zRpGD 1qaDYaC/wR7pCSr4eBRR16+0FRxdCuPYDEUBNZIN9bhM9/lCh0Gzcxh6duXwNyLaTQcAW1jFB9tm J6VHeyRlidKeCIvd1I0zuhH5T0K6bTPyh96IaPoZmJURu26DYaqgtcoZOgfp542Hptv2VoZIacRX zuV1oTcamtbQWfSo1jjAg4K71nCe3iWcMAnTNotK0p0WTfDi9cE7YaCIoVkkMro6J7DiwSGNigqg WFawl8XDGwnNgvb8Jm3UZ1URC3n6+rxx0GyZ313GQwe360x3Db0H1JmMWgedN3qq+FF9kpHhaSva pFpD7wE1IGdMW+U4w8FmCEvkJGN0sUYbdVNyGYK5/Yc6ALRnuMUhe9n3g2iZWfycPmiKGlhuXAMr 7c8bA+3+rc9lBrA4BP98hqKIGhasiKizlz6WO6Y1klq0hqCzojX0HlGf35cpByUMbbBzaIF53hLM UcCmy1kBQRs4vNE9pTn1Y+fE74gmCDOTqizlEGuaSfPJiMTU6GPvzxv9zAph8+syw139vYbC8jR0 1mBxb7usJd9lNK8yylB3zYc38pnVjpWUzeSDJ/bABE90y5mUwY2HLHVAJQtlNOatnjGq+bxxz+5J i6aGZOivVTz8OoZEQM8kf96oZ9ZZ/46oyVzoN69/I55pgsipGu6BURDZeVM1OXthsKvETfYeUaM+ wJJHYYGag0fU+JmSrOKBMsymnUXzAOA+Om4xM91D2PZ5o51977Eu071+CcNjmKcE+NyfN9aZ4RW+ VxDldOCjYU3nMKKGK2EmHEJojuq9MZbaDRhE0R92neOIGonElP/nlCWFIuq8wJPCX332V1l0POFl l3/kvLcf9BThHG+cM+tv2QrKPljKAQQb48WTPn7eKGf/0eLgY4ivwX+zCQoRHR3Vju71V8szGFEv IqfYAbruw2+EM5sgzMyubM9z0AShMgp9wS2g4kk1EoFok54m1NhAEtWN/VL0rsEEEX6ZEncaB4WL Z4WyI0xVpM8b3Ux/avKcTI1YpE973CUURdTwvoRRix3TLbn/DpRhrAeEhro1n9/YZoYI4t8t/fn5 pT/f11x1s8AAxTD8BlO1kUk5aXCFb+2sFL1rlJSx8Cpbwn5tCSmJwA0HJNbnjWr2H6e04mk0OW5S 9kY00+GRWA9a05pkKlVLoH86RxhFO5ug93janT+X6HjroeP1BlpWH6QGFWBgyOeARD3aCyB+0Ula sqrUwHijmX1lHCdIxFl0dgxQT5ZyrNJkKZzL541mZoWP7zYQr4md7iaL4mnkykC8LOuT7dtmTWs7 ajpfKt4bx8zQEzymsdhtsBlC46dRi4nX/Mk1KQExEd9lxBWXQt2Zs77xy766ZP/NDT7naBrWOJ2f N3qZBdPfZQ86ThN9q+l5D6bRut4kl12TkOXTU/a4mg57+Q6LqtNJh/TkLHGwHQaucWmCleWN/6+m hjWdlM7dh3jLPDA6teffqGVa7DKH7/Kv7t7hOJ+6zUXlBNWfN2aZVsKt32fVPcDDf07pKJjuFRCP MqpBPEp1FDDlqq1yBgEipqxvxDLDB7E9tp7BA6GT43fk85RRayfoJqsKm+LnTBMwTPPqFrKf/Hkj ln2VzloqywfVPc7Bn9WJXpPvGGyx8V18RQrVeI9qhqJgmkl9msXkwdJ0d90CvXuHl4170UflaXO/ 2ENKoayFTtY9TliErcRg+lxfi8Sqs8QbnSXxadg0SupZZP68ccqsAk/HRvUTYWdjFLpzcxaBaQEx +bxxyv6zT3b+LXVeWrmLKIqmG/3AhslcnIWcHSTdWylePANwRVMU1qeTomle9TPfqx7ucdAzKZqi CkoqbTDQyG3As0BQzQv4DBbf+GR2DlHJYWwN2K6EOreJ6xIH0Tin3OeNT2ZJa/qaITKK+vbi2Rub zIJw5GGj2zZjBG6L6KkMDaoMcYaiAvVi84uuRDbYDCHQOsm7VNQRYUl+BiIzPw2/PC8mW0OEUr1R ySwsEdBjJB+sMLThpMWDaO3PG5NME/zrqq8oj7TsV/0bkUznUB6mMy+fkNldyKlrlzFiKeySAaYY Az6INWvsI3Kwb4TsfkGBkYyNcyZBDfbssnq+qFPBjipvWkMUA/y88ch0JBCDV4aE04YLp/UFARXC OM8N93mjkf1Hm0x9bEGqqiMa3nhkVjklkmpMURLx+6Mzz3IEGioyCSHwknMURdTyK/pvpGvDCT3p cgz3i5MnZOJmEvQBGxYQNLW9k4iY5vPGIvu1zUbxQXWPhOoca2cnyvq8kcis8uCLiLElTJChJm0T FAbUk8qEU74ygzgaM5vByhGI/EQzyxZRVKJuBDRA4d0H7yRCpwuwNV5mZ29QmxB1BDJbCwgpFwuM M4kvGxzVVX5yVHNuDlU/q3DA+I4B0VifNwKZRdQeL0qFDpSQtO8UvYfU6EYjK/N91vcszv4FPNX2 WYVJNu/7N/qY7TOhYsTXTA9fE92rsstSI4i+aRIoBOOGqRli2+u8N/SywX1fWTWDfocNSssWXtFk isvnjT6mx9v3ZUbMB+A7NkNxibpQBNRL1KzOuLmlJ/YDQa8A02GJmnnryizArnwLsNL9HLuI0YrO 9JJdOl1nuOaK561UGvq8UceUt6p4RkNVDZbYV+Q2zLRq/rwxx7SGfnWjiePdzXuJb8Sx2+EFH9pc eBIVFEUcYw7AJbSonI277I03ZjdpZybRtw+elUF7t5lL88oAzChmQpqNHna+baBN1NAba8zyeiWm hOZx0FWGA2lI82SPzxtp7GZXX0kHBEDHBZ69Uca+uvW7GWo6MRhWr3V7mRH6JlbBf2OM2RZj2XXy mObg3foTwqVOynzOacJ9h1E32b5oWpR5TZopHfp544vdPgry+VJ90AJa51pUE2cXPh7cZPWbzEIr dOrkaoKiiBoNS6pyWmVIlChWhiSzw9LZ9gL+G1fse4dJbIeD1z06HL2LUFUg9rQitTmKpyMZrneC BkH3b1QxS6uIGUINxQbLylJf8qY8odznjSmmPzWPrwliMY/arZqgKJ7uSZKfltUn0aIaGWoE5hXJ yJoCeAvR09w3hMna4EfQksczu2Qn14Se5VO/R9kByajS1onf6dOieHowbU2LdHEOdgSheibhARxB Ed7jN6eF+AH0LWyCwnAavehOe21VzoqrWdWLy5upJGNBt6g6rV70kIssB5ugiTrplEJ6bR2VD6nL AM6ELhNtI93EWod0CPjgDEH7xAer359oXbfYTnw86NZfwAd5LYjwQZYzXkscT/MQ2l46U4PDYsV+ l5AjF/t7PC0xTlSEuIQ42CF9Vk2aqN2Ij4nzXpgQ5LGdGfrts3aWznoUTifG0Z30ew1WWwTYnIdQ GRWPB+yNe4sVxZV4PU84ehRMI3geNQ8vDcnDmpUztVyLclY7hHoE+BhU1FuClK1+oZ0wLj17Yxhh 9ST1k3sMJqA/ZBhupFDKyFhTwHcEpSFJNJaZfRBwEci/qj/65Bs9CqZT+c7IWPcFr8ImKAymyeBY Xr8vdKNSHDRuq75DA5nXWI+q096IznfwRvTJNdB1Fl+VHjFs1YNe18FgR/ngUnpZnu5RMG2oM5oH 0x1Dlfjcdq/EGJw/uxU8HxAP5/c5TTl/NpJsjkLqIVBDRTWzSb3v6tWz6d6EY2CJUCSmR9G0OcgS rKTBMTHz3IPDOL0LMsGicQAB1DYhsP0BnlG0okfRNGD01JxdPjgyb0nGr8wy8XjEzZxf5xCDIZZh NUMR/XDQ6LtNzQvwQ55vUHXeUEMgCTMl62E0TXWvF32YXcqEWBWb9RR8FP+Qxh9IqqHKf/Uqtt41 6CMSQV2n6h+8Y5RunDXIys5ZSwWPB1fZ+L3NBjUJfILCaBoJWWJjVJih6tFib90rQ3TC0RIKKYgi 9aadfHB48DihUWZbFb81yq20ROkAEiNNh1y3n0PY4/iOIKmvLHgANmOD8rG9zfUbECA8/tcmM7D1 iTUuIKZHFWqk0WBvJJf4xkkjo8ZC82rCPSg9zQmKw2k24GX00R6jD8ign3gOJ0gB9hjaw1PeelA9 A10b9ijeJpv2slFlqGtzDR+s7IFGgSX1E49HCVn6miHgGM7SuxzW93gaCQfZGzSPHdxjbh7LXW4e jTwoeFJHcA9JVPVd7mAzRPWrOshiBa+sVJb5EzUtIAIzqDMorh0L1D0qUAvnUZKU4pMXF6kAmEQm yxOPRzTWbzwMQAq13pS+R/jpzl59q1I3OykfTSxJS5cjE+tCicJwnZ8TXWUMF6V9OoR+pQ9MQWS4 W5JIPPiCTGrPrstAXOSfme8EdaSb+I4IdGaap90HlYXqhiItE4628fifyEWBO1FcWVdgoIcRdWMQ lCSUc24Zn5dSRKJn6k1+ANdQWKBO0vGSvPe4YjDtJHTzJJWMqNu59bM4nYnAPNo0lwfw0Smi2yPA Ryf+lWw1G3QOnWXUZIR2/gOP/8WFZuU/0U2n+BSNKKRGQWJkugQSdyYzHaatad4uR3JO4ohK1LSj PHlKGj5YxJhOQJcGKBoQGjihyzKM7LkoTwgA18HHUI8n9YhCamqXYNEsH7SKAEZyg6+Mx4OQunvO IQFGZKzFQVUjCqnbljGMw1+Z/0kEZjg6BkZxyejiYX2ahQ8gqHzwtLVhE0yJeEw0cShzVmjDCSDZ fBjRiz5xI6Qkyrq6EATLwYOhmUQzmIuPB/Xp/Q2hBpqs1ttKHCGEGnl9K14Z6tI8X8TlDZsgpK1W GRpRfVq+ZwiKOfCWGVxBE1Wgyi7QycDnNlk9IuJAySZP3u76s+wHviPAdkrEs06toGnK5XU1REEI hs7/w+NRj+PqDTA0RKsCUhQ2Q1FAjZAHqCrD5RmyYbBkV13erMCTTHssCqiXqq6q+ywF1IPlaYCP xM/OQEYUJbgM8Hjs9PRc9RMT9Afgo1OEmYOhzgquSB5Cs+Hxvw4hRoto6qwLGRpROA3LupFm23YG rSv/lv1/RC9oGldqROH0ZGNnZmu4pitYcdIvGpOyfA+MeTJTT7AJALDK9M6xHhAd0EdUnc6coZFI Khs4ZrmzgNNt5OrwB8bzwRrq6esUwvVQAFewKYoCaqjYOMGFKrDt9utVRxucouWnUBRQm1hOZr+e g+dkEORqRZWPk8ifFJy1IcibVvi4tnalcjeZ9SMEUEunq8j7vFzvc+J0VRA74eKIANT4U7+AeVg+ 9dbvR4ifHpTJ3SZphmaoTRBCR0cHA+SiCYrw0zUJbybK5noomycPhuxoNUuBCXUh3GMgBBYYw/DU tSZZr3rXqEnGJTRYx+egY3qf1c4/+9ySA48H0dD8jRpCAT9f1ZOoPt2gzZA9+zoXfV92TNOlRfcY eBLqkY2oPj3tAhONg7xP/stGEWJqwCGenin1YRJ6kxIUP2TPubPg+Xh8xz9DoV6TD5qghIuSK+D8 MHg8Umf47nBAR+aEst5EHGE8PSXuMZ0KlPweq1TBNn3FZXiPEcfTWZlG98G2WGlYlpN6phUYSFnH ilVI2gDwnXZOby2hMKCWcuDiEsoQWDGA+QIKbPHw3QvPB2l9/d5koLIVdHptisJ4minHro5nkAoe r3pKxisWqtsYiSOuUIuIyYusfeVkJ6E8sSI6kkg59skcgQmB/w9hDfuHas5Ww98Szwkr1Oyz1prv oKwVer4Mrs+mLXg8mKH53Uak2864AigzrFDDur50mxdInXheD00gh8RAhoMzNGM9vMk7bHcfvEJ9 thM0B7JICmsvklqrYNAV7O11gWdMOGYI+KDz8n9JfDR4w/LuRPKIx4MJak5J5KEMhG5NybfZjOLp SqkNGPohmx80zrK8nqUU0cnYwqPCUFiizgJ6uPrbhVWdQOFsUiCFeNnnvQjuzPDlbUB48ta3Amy1 d43SVom9r+mDZghG8KyJnxkqePwvlRguocb2nN9kM4qnQRk7f88UgXVUXqASDayUjkHSeo5ZAy/O mJLIGLDM4oPXzk4EhCqnrvrzSUPnEMx54fv3sylkLlYrxQdmWKFmd6M2qr1z0J2dx+7uHFDxeATM c/EBPomUsLUrjTejeBokv5FSdfW3lkwH/8TQ2WWYanNtvBkXqNlJTELmpQeZ19o5R08wx159T+dv kJkHhK1PcFRoMOr1aXSr8B3BDDXCPBqR5hycKtW0hM4XZzweNOtX/krJ1AK/spMzrE8nnD27u6FZ 6a6jU3q7PJfKnBVLKNTHkwZK63ewJVT3gI6UDHKxHRJD70K5SRgwsD1oGQd4tPiOYI9J+k0q5hy0 x84RYPZKJz7G40Ej8UnJmL8BNPIUz2ZYoF7QLCeuTSJw6drjityBlGxTJIAzFME9TIoJrhs2eP11 wMFqiBmdIbNML9MkSWUEq8gQLFxkdXFG8XSlC3WT0AcHLaET2GyihU+ePfB4VDy7FXwGa1OYybvL IsQHWgznkM0KE0dqxb1xM/X+xa4nvxH1xRmVqBftXmET78MtwM4zx9kAQtC557+scDQrFL9FKcyV lIveNTqoK0NpZh4crPCxIUzPXbYaHo/y+u+DGnZkUK/1CQoJiQ2ZWLlpfW8u8YFG2U3rp3WjZxRR U/uuspRugyM+oGucyLnJ9JvQRqyLK4H+T/NaKSR71wAzRLRZVa+Dg3GBrOh2Pmbz8WCCrr4rJxPV +1XuBEWID3Fas2neI4FKXvegz6Dy+osPnlFErat+yiOBg99k56UB6VRlaJUlEVhJIwyyU/dtk1Gs akYBNQsAOUsPLl89OHjSty39qd7xeIQZ+o4WMxtAV+FjhnxExtN1W+GjuG45ruXqqLy5mkmgzH8r fCwlXBxu8RV1oiquVEJaL64UJCHOxbZwVl4+It3eZyiYxxL+KOkOBqrqS/LJJxoteDyKFn/BFlmx 2z5BKwqnweJGPciLryPbFoP6fXbU2epW9lgR4GMIKiUPvPF44LVzqCVoSlOIaaWURbdDjAQeGT5+ /xbgXiHgY7N0JtdgDjqDTkbZuN9OdlzxeMBHnN+ImLwp2ekZ2YqiaWjUAXVmZ1AVxX7QHWinizrb BvhYYTRtWoJl+eB1oX6OuUFtdlgc7NbN8gUpOuqo0glWdRoLHd8Rle+p7LHLHTRBZ+HpUjsboeLx v7S8eF5NphuekK1QZLriaKZGrwAf/QJf57V168PZdisEfBgnmsCYKQsDABPrppGL+d9CmFXsachU /rB6Rj2BhzXe8B1R4Ux9VjFa02W0nvjTnF33Gng8Sjd+SZQPR71pgkI6IsxvyzasGf7rksZTcqHp WVzxdYXYaQWJlaJwo47b36jQhKdWYGEzq6qMDTDDD7+tPJVFqXusuDrN62uzLsTB60IwLviR/j0e /19geXADB33UJyjWmsa05J6siSiEB/MxpsmMg/pyMtmK2YiKEZ/h4hYb0Pc0AzrzllljQp8VIjpn 7n/Qob0aOlPvGhTO2NioJA5pMC7ZOY8k5LpOtrHC2vTlcODliHzdzTP6FcXSyLlGqleGiakmJ2jO K8PUi2MZVijuMYQXYq7Vv4wTgTI7gTO14DrCF5AOIQkH6y0wWDNFg2Ryw9YjviSCw3BzLcqfcNAM LXl6YN/0gccDtMf6bpHBALeCCmAzFBWn0TEfEvJjtlGb0+oz/V4EhxneIltRKL2V0i8eQhx8j527 JcH8hT1EUI7Yzc9QgwTP7AelIKsKwXSO3xFMELMNwBg48NBl2exEnUqxUmp4PKLVr69IkbLn0Eu2 CQrpiIV6uM32WJENMIlSAgRTGRd1Ce2xEDytDr2yMg6+hOCmsaSsDGpu2XRKKBQq36Amrguelj7M ikJp2SOlSTYiB8MLoXouNGvjK77vsZW/cw1gyKC36hMUkhH7w9rovzQ7+zCxbjLJjPO74uK0lLzm 9MEmaMPPGNkShbl7y6jLUYTpzA1yVaryq8taiFHGlwToaTmSVQkuVhdc7AsEUREUVsXjQZ9++SnE 9B+lFuSwNkNRLA29AEBb7R7LrCRYNpZ8hsYt368wljZV7rF9uIiqBncE9unh3w7TeyCqcPF1ts7J WLFQaAy97L8YrS3l6oNmCMwGll7L2bl4PLjpb6NegTe0028HaEfBNKhQ5xdozdusxWPF1i8HCH+b 1tAO2YhC4/2XVwvMenHpUHAREQtQ2dhkAHtTtKT8p6P0joLpxqJ0TTIDTle+nGR0XmRp8PGI4/LL j4S89eVN1v0eTJu4cpFgBTWYLoWj9wccXLoF0/vffi3d7rOvCYIM6K5MV/JJgc7n41+WjcCvA/jA Aob5JFP8fkfBNNj5MGp5Bt1j5wsUWVMYd78H00ipb77KdwNyul4W0I7h0wXlRPMfH10oKikMOfB1 JiB6NENxNK3ife8+ePEeRiJly5P8TFAe8vxb7N13GolfOzvUT/EdUdmM1ITNLsfwPpygX9K1RSy0 /xb3IF4WB9CDL98xE5HWkcwsKFKV/ZxODwuo4vzUBIVQDwE4Bp0COPg5DXQEBk4QlOEYTxZaF+LM k2bneIpmO4qmN41b+mQrkYPVhM4xqsLpSYDxeCS4+KtoxmR+eka/w9I0WPXmEIna/XR/v0LTUHdu aSbBtGMiImMg8ezGw7Nr7QRlZ/aaimYTQDjZljN3gCD61yHE2v2O1T0UCvU7GMfl5NbaNifswuN/ wqmkdAE/xXlPoVArj0uo52IcjnxJLrXe7gap5JqhGOrBFmKjKzIHB5ej8I1sXbEQ/PDYQlzU4sKl Mx/0Pa4mfEcQC8mZVXKL+ZFb5BYWITotPP6XxBAL0xCk61ezc4fRdKWmx/Y9BlSnuUzM5XvsJJkW C+1QKo8Uu5F39uFp/5z7tBKyWHva3ey3QVMoUOqfwPuqCU1pUnzHP7maiTUPDgbq7FmsMnYQd1yY /kYyQKu7QAHHJiiMpjPt/aaLVGWPEAH1KM8e80MohHpoBY1nsLJipxRcIxURR8ecw5TyuP/zT7/8 jUJNb3xHECtS7rXJVJODVc0g2Eyw0Pm58XhUNfslwYTFs9M9pcPCNPKxkpd0FdHEcO/azlBDtqwT 1xd9SuJomg3Euu9gKyid/KInQ1Od/32qP9bpY4lCAHVKDU21p941OISWih3UqOJgW0yEdkzQ4uMR weX7lAarufVrILWjYLrRIkCoYFqzukgnm302QUNw6s7Ped9ik/S5mbSC0lMU4h2Wu7ias64T1bH3 A0WDCt0mWti5GGXTu0bKHqxIV7LzrN3DLXbSuiLJ9jTweKSsnL6yDRpi74sKzikKpjEj5xTexhcn ntyAHqXdLn2leR3EuVMYTdMboRVyETnYV3Zc6h2AVhBkTzBaWPWYcFg8ScrAn3jbPzSD5be8T9Li JMGJgQNBs4wX5z6BDmlALU9+QITs/CW4iGt33RaZFD/CHlmjKT3xMJWRTxH0tRuLg/p3MhxPYUyt tKyzV8bBpwluJaISobEFYv3ANLFwxuOBZ4uV8Me2143wDETRZMEaspM2B/oCWx4brfH5KO+oX4tJ ngyt3lmKXV1o9rfNTQF2qT/uWusGXCgMZvObSCGGWhLmI93B91uaIOnglIEkzAmySQeiHBPuup/V HswHAbD4kn8KWCwpeIInTKJcbrh0uf3GHIsfEARGJf+61RBpfm25qFLNYrqM3+XK4QKwoOd4r6ON a6mQQlNE6bou7bzVnipRORupN6X4Z1k2sOFNIh98Kqp0PBnstteNVAh4YldKoegn5bm0oNytAvQq fD5i3u2vtbQB7e+31JhTFGCnIv+bZAg07C0dTLV05ysMQGbsYArtXdjHgM+9DzZLUDM9IQCpZQ3O a1gQcJlCfQEV6Jzopk1A/r6vG1lPoLyzoRdlg4EbTk68pbYMZ4UU4j9+rSWUMlAFehZTWLSuqDPS uYJUcvIc/4+EnZ2dXjYXZYe6Pig6mBhFltx98FQWgPMzK1xMAMwkU0A7UUEHkmt/ScQxBqA/TpDt s1xdZLVZmhtFr7XdoC1tPv8+S7/NpugSW24UIKn913MJodxOYB9Cm4lW1xkzc2Ka4mVZuiEU7bgo 1J48vYcMWDl4Bz+B67SaDLkgC7pYdoRvN3x3fqiOZ1qVY9rb/ou7UKnEo0GT1EqakhQ41zWfj2r7 v4Jtsv9uLJlTKPwBpxf2jWXyS+FHkYGTQ4gHnXnt8I6tXgiHndSn5mCTBKHcc+1LfWhtGHegrL/h ZNRQgEYgZmUjBhD8kogvTR5wkf1dWa7ylc73ERULZSg+H0H2vLKmQm6FENoTB4QR9+LUFIOCgDzl FI965c0h/uxnd6T+UVt5LYy0Duh5lpU2coYkTcIKT9ECQ0smbKamJyvkFNu9yAWZwSgHHd01sW/A XH7y+WC7/So/AvrQVn1WUgivpjy10A6NNm9efxw9+SRtIMNtksIaNpsbXZKD/UtyMMH/aBoEfaez 3zidgEfL6QCBlQS8C3XK+SVB+aiIzKlOWvVOGvhJXfrLgxFl6Plyc1uVUqANeztFOTRRRBMXK0nZ f9+N241VbM94YW6bPQoIXBRViaJEU/XB6yMbtxvlxFFiG71ksauRfgO6B9E2ZyzKWCmwUVQqDvkP 5f/l5v/AASaz1W58Pkrffp1J2LylP5MUkhYrjcebWbzlXqZXAJbJ6sJ3ZG+fpAgXkpIJed/BJ+lM x/kLBHuocw4pYnQkGhlSGkQWfXf18z+cFMmbXuINrYveOx9ZZKIwR+XzkUrsL62USf50u5MURt2Z Se4wt1IzpWCSyzqEVQHKNKfA0ExxFTkKSE4m3RTuXPrnM1trwqeBRlu5IwdZYwwHrgN5X8neNmoZ qQqp81vxCCZpwf008eCug89Hee43NY9CZigX+yRFBe3z6Wg8TqtGFoEc5VlKlgMmaeV1JykMukll WGNsH6yYVBHPmOktmtMF1rwoyCHYTYmJ5q1os3edA0NF3G7yIjfnSe/N5plmZq/z3JKVz//V3mec 1MjGvzF36Ki4SB3axjMD9Nx9b7vakHTlBKbMtlvIYDTepkLvtS+DsZ4YBoLFLPvvCsa2ANebwDvQ PNd+YEbDXjfCQKj7qAM80Y+GSwktTQLxE4w5Q1fFp0GraBKujtetKweuik6wUv+RKjzVi0r92W8T Cku2lGIXGC4QuQuML3cBhHptVlpzlgm8vgq3rVPsfvys+kCNqCuTQ19F2bs2ap5r0FLK8vbGD9EL n48kdb/RWFByznU8+y3kMVL2ohkLH3ppLr5TkIwIKgLGu1vghrBrVhxbobMiBzuUTtJzlhIYwgXA QbTWqAyyaP4GuQiSy5yoV+x1/1kwGYIXDxezBNZ3k+97pn3z+eDoLvd+YzUc04nepE9TrA5CR+zk CjwiVwkwMhycvtIzTZE8iEnrZvWR8u0jVRyx5+RuigL2hAEcQiUG3ZAapeWS6xZUe9toLakUQN1Y DlaiPDmhxHKnveSfEH5MEvKElJ5JCoPujaSNmgHSZ3a42on0kq+lAekOm6Swzi33ycleLIfb8U8w 5qTfSUs9bwTYyEyAt0WTj/oknuTWYa8bacUbiyj5YPkbWG78G88RyucjT5hr1MnXQ2yC68unKURh EyKqurYEdqetJdBZLculV5sqJrHRYiNGVFnuTF8+ghOuJ5m0RtBjilkG10U5pyraijtRZnvbSB1V ESVdqjhoLQ0YfLNEmWfj80FuUr+vOMpDw3bCJin0WiRHP6dq8Jp0kX1FUA4jOxRfS6HZ4tJO0/3F wUsBlNjHrqIqWIJlJzacvBlQReuPx5nigNBtUe2k2hUsdQ+W0JlfXaKVWEqB3SLiiF/4ERSnynX2 yKHfIjq+QyLSBhXdDhUl/l0riYLGmqQYjm0o2uGDg5AGBCCzqt31ZPsSXGFhu8EIgjwGrSRK2PJL /nHDJVh7+mClNySrBKyfhcrnIw5x/7XfcLuB3uSzFIFIcI+NWk0z7czX8lnaw4uVk0peNkth3E3l Irjh+eAVyjPJSPLNGxcCIiy9AY/UQYZb2UEShfQUfkkEI2F+m+VijtkgLxEyJFNCRmgP8wP+gto0 XXHnVfaFHefAd/FccZvch7FMIkwQJB5LiXh+JFoFwbGOpcB4MbHLjsws38ErlBVQGNK1QV4CLVmt E4BeNtDkM196yCrVXjfi8ckvjyCCM9iWOxezyWKcr9Jb/sWg8T5cBZvQZyn0ipHVh5uh1DwNT5LR erbQm1euQu/AfBEFYZGMVvbBtxxwzFtogHM6Q+Ra7QOpdqBtUm6ze/rbRpO0dCDdwRD+J1IhRwTq Wnw+6gnU/wgEynwy3dB+EVUdcDiWQUpQ6TFICbGH5hiTkk9SjCkRbkuGDY+kIzDsC+IYPJcAUWpE VVRyTKHyXIlsq4+iYw4NGItk1Nq+g8oBgKiyqAvXDD4frKTybVFJejtYID5JUeSNts9ZKd08Kjvl 31VYatlvuLWn10wCC0ZvVc5NV2EOF6WNKKmRNXuOW9BmGVfR4xrxThnXzkIunjm0YJRLJYIVHxQG QLVWknPn9uHzUeD9Db3BzVHL0zYJTRhhgQh9m+qFJZ+ZQmcpq74ttzrNgQujy1zzBLXhyXRP5FZ4 dsO6uJpYBALJgoiWcCxNEo5xvW0wSY0Z7lrVB99uRqgprL6FNozl6s6xBQc28H5acKEPIxSXTixU bbuVYYhSLObtiS5zDSW6gRGjrEjBV1M0wHqLJmkAgEaKhDzQEAqwL45wFzDF3K8+KJq++pLggjNk CQmQHDRJaxpJ5YTBjc9HQhnegeNzMNTs9WkuhWaMAFiiCJC8slTanaXmlSU4g/gshWE3U7huFsyO saKxRUX4KGW1czrvxrpKIgcEH4xNwHLhhja8vW2kCK6lJBvv1fxQgqPqkB1jzXz+r0KuYASoUV44 aQ4NGVEQQh8sWc87JZcOQ8bihxI08rXfYkdGys+d8HH74EspnWB+1yJfgtFo74muASQNoNb+n5jt HDoyZslAqPpWb/UNlyT5CqhfTz4faV5/R90s2tSreZ1DT0a6fxQ5MbJtMq7jYPU1daZ/Lp+jKOpG QYqtgOGDpyYDRJEhW8+ONUkqcsMFTgtlFAV8kka3t40oWtR3HDRn5KDtBm1WaYK0rJeM5LF+0SDJ Am+3rhTbMrLfXc3THHFSMuT20JoigWQ071KGvoxdvh6Jc8XBdxskGzZtowDkg577pKrz+VM6ylow DL/odjW8Q2dGCfA3ck40OCygViJnsW75/P80S4AjPiprOfZmhMdwSoYiHblXjwE6XdwsmtweTf7D nLHpZl8+eKAEJluqtAE5s5caMYRwkkFFKUs0zwIlnsX8kiCapC7Nfymon4A7Vfb0T6ihl4yy3O8S Jfx5Sn/KSrE9IxV8mtGLv110e7o4nEHyspbSH37nSU0R57vSqxpCR4SUnygZeDxkuZClg0A4KKjq zpsLqr9uUKKcMmEmq5aDIqUJ+zvJGaCZG5g0frNGSfmDggKkj3yWopibIjVkUSnLZeZBHM5G80Py vJMqCkzfQptGXW9tkfvHwdM3mMlliYRDQxRqWaRFwu48nw0EiR+r467U7W0jyhYxXYBd2KD9BnHS KjsHFEwCo8Zfrud4OTQWSXOxSQplsKkQ0S3Sxn5zzbVChL2Mm6DkYJMUAUxYlT1HkLRlH/evk3b0 PToRfxD8PKuK9duNHm6DWQFCJm8JrG5vG+n2idr/DMa5gYgSm7mdh1KI5k7jayUxgXgMdXPg1QhQ IRna1uceNALQ0Z3Wwx7tF2ASmjWaWA1kOGy4uLdzEG221YBw67SCx0oCVAm9Y1io3f2W/HWD/ebU reaDTiVknerBnaXB5/+KJyXpW9Tg8lkKi90UEW1JyMnzX5eFnCWyCkAuXQJtlsKgW1Vu6V9y8Cjg hDQItaiHDTcOJi8odWAVYf/y1rYKZW/2upHEoRxmSL7hoLU0IbjNJHevxeeDePKxv5DB96BEybOa wmr3QisAAO/MajccPAV868DiFc7Tqttkw3Po2sjDuFaVsjlYEAv5uMbMEGUlQAUTWciAZ9DirLC1 aOESOUo58G1EICBQlyj/1Sn/0CzLJEBAWpLPB4HAGF+rCWhaKPH5LMXOjazktmLYgLQscMqFYqRO wqkOxQmsGyEIKB+neQdre8NGBd37pLb3ydK2qEwg9FANut1iwCCnPQfWjX56906tMQ5GVOqAOP5Q A3Px+WjLfWuPQMqZXDufpBDcDcqtGhasvdVl+JvzF1W342mYL2VwoXejVGlfnIhPeHwuf2SGQJkA xSz1hEyzQpBO6M4l/RpFS4F5o6trUFTFBsMGnENUFf3aCp8Prrj2K1pCeFOvi2wO7RvR4TiZQ79U iuJck4ZU2dLchONDkxRzJptYJtmH2zhZoEMNKayj+sbKU0N4RdMiatAbZ1K1t9C/sbKsVDZ1JE7C PCSrBtfdVNj3G2fkBwRNuN86P8xyHlRX4OCIUwnUW7UqyW/vF/pW+s1z5QHB/RZbOHJ6iqq5pV5s wJmJs5omOyf5nLLm/tRAVi1wGt1X0g/mD3rZqLvEiok4FRy03QDTFLhvAD8RWjjaRvDkBJiV8sQB gYejGcefwNjVV/MVhhwuLQEzmO433D9MHGUBOqYP3vOGnGgFtRBxN1z3GDEQ0lpRD91kuEvWr217 2whDSfLDlGmIXw+Q/hLtEm3HyuejhfRL+ZDwwHTD7tDHEd2Ks0TN3nKkR6J2LlNPAId7eEQZGDle wsmgECsHx1B2+DsBuACSAPQCC9G4gF41AKXQKbnIN3/biLukWIlzxcEiylbV1ofZDp8Pqri/fZtR BCTU3iYpDrsn/Yus1A0+q0WURQVKspTRG7aVFFMoGXZ3WVkyW7YzKZ87ZUyeSQ0qvqhW0Vh+gkCO 9ACqx8bKoTRbDvwccc8IZMLDpN6SyTngyjCictXzEV7pGx+Y4YrRwebwaQoF/hKxb6k7fmI6LQc9 dq+ZzGfDRSCTyg03pVU7H61apLYZzlhMdBsIA5JAZEuJEojrWoBLfiwHpo6opwpkkpIPFgQMZHSc JfQpA1dHwCG/AYKQ1aEWhSbp8xooZcUA58wxCEDfhDGqrlRrukvJFQFew6QMvjt9Q8SkXA+TsuNQ hQ8BCQJj5a0WVKdTIbLOkh7lfoT8n9cgKQtEg7SNlGVZuHN+9jnOq9G18PTL7GRQIbSGtjCmaHYC vVF0CgaTg17rKGw1kBrAn1At3Ja8BtDxt2sBBZPTWf9fiVcbBw+PZkNSQmmt1qDMTehJ5arB9s0U ZJ35C/T+OjsnhKw0N9xSY91ecDsfuacgSgW129fZkXe4ZkdM9/MdanFjdl4jo/NQR92WBkW8z1ol JolH9egGwZmgLWl2XuOi7IASWvPa4EvnBHbn/JcSQAHWStpkCB7zInn5om/pFfd5jYrOm1J3F1s9 +WAA5SLoF1Ci7fMaEmW1Dmwu+E8n2k7bJuc1IMK+Wkr1LSAy31RqZ6bu2pkUGufkvIZDGR0kQpOL spDyiI6d/X1ussmSdgUHJwkoiAS6Euo2rud3Z+v/NRrKrmVz9vgW/xZKIgqHQKXDFXSWY/68BkNZ 1KBn6ZC01wkApP1oNDsnazxTvgxwm5kKMj1r/dZpaT0iq9RoY4m4PQVyn49EQoOJ9ab3BCmyNbFd ySJMhTUTGpG+rxrtvqNTR757S9WQ5dWQjoYpYaTtrNPPaxCUpeH9TA75pPJToeVfMDnIMAQQ1uQM h0TAWm7eydkWS78GQPm6qXwNNjkn4N2wMWUJG33JzTouGL08nwCSLXZrlcTC42v8gwOS8U/GkWaD 1WbLypKVPZ/7eY1+8uM2Y7MjMdxks/Ma+2TRVk7KuuxSr+0xCtnlSthkdwB9jXw4O8xVl5r862ny TyQvJ0I0SGRHOQ1nMu+pVfMPanaessrBINpYdHMaiZUiDjY5gwRLZFd5fF6DHp5Z/Wty6KBCn19O zmvEgyO50Zm5bDt18iNUtx5JY3nKQbE3PJIZ70gHSf7pdmGdGQEhYmpfgbZOnbqBfwlBjJwes6tJ BaTXcAc/AA/jLqp2v1Ttc06efcXZOZ//eQ12+Ibfp44cxZhdUME0mJ1K0LGgs40tkHvq0IyMG2sk N2h+LTBmNb4JfWw+3BQVlO1OfEgH73IyaF6J6njoxxI/ZJaEBPm/lhcxOyovWu9jTtfPwmFAQA3s /D6vxcWs4uRXsMONVfzYeS0scrnyLWfykln1kpmEHzQ7c1uh47WsyGCHh3Gud3ga1ecAlngWoHcT 2xWyhxCpR6Uis/5liGxaFL0WFfELEGkMuoAPSiigS2d+jVAXi46dUb+PHTRZBnuL4oMH04Ma3jlt rubIGle1hheBiDQPBiuKlJVysVNrgwNnTtAEoLSotOTscG+B6gls8M8ut0k9BTJ+D5Ul/EJOX/FB l9Y6ZxeXayNuJoqVl1Xw7UpH9yYtP5ffOWvcFnRwMsfqc8ZdbbE5fSkBe+fg2TBanrrN6/DBL/Ve T1CK0haoG2ezZWkgdPZX0MAi4VO9Vwgr80uCs5lqI11akBy0ghZSOkxXy5WPB4ezqbLox8PfXPf0 kPCdsJalLjFUuSTQgZ42voScH7qqezW+89WyMJOYGhUUH9MCFCRTlutsBtYKGoFkj0zyYXEYZU+2 lpL2KGTubGwMMR+GUK5kh579pnyioUb2HjPDBMVPIHpkoCWDlNsmKAqaQZbB9WRHUN47Oc6xbz+C GvykVGuNouYuJl8momjlB1G04aOcWdAEbhYUJpaH+jQLJ9hr+PWVBXGIEi5S1UaTrHq7wkfnU0tT wrXQ2AgPoe8juulOKD5B73EzlAlRx1jToTKFbQ0pHDZHy1Ku3Mqs0QThvoYL0fLBci6o855QEYBG 4LjOad3EHEWuDN0N6osNSmdho/JdowseE5R1Fon8rwnKsz4Kh+8ktSzOzdchREBx63ePRcEz1i0s YR0nM8Y9hdjK1CmUbxvxPXpG4sW1A5kEG2yGEk4246ihMJNkNYdEGB2I/K1Px7Lu552ihn3MsGUM 2qJyUM6ONgnV006OVz/vDDXkCfX3DP1/xr4zSZocOfZ/naXtGbS4/8Ue3D0CWb3M6G9ImmE5O1mV jYII4aLAPOqmXu8MNfvWAUkcpe2SOpVPGk1GSb06IZ4rH0URdB9MTXcbPngETdMOMmHBTjyP8qLv OAUgwkZ4gZd8RHWMQuhGNHqXYQYHI8ucCJXH7Nl3+/POTrPUVjNEsQP0wNsta7xz0zx1r0/qPtgW Y79+3tQdDBfbZFEU3aWaNe20rhdD1Mm4TlvZ6fmmQjM+pnT09sjUSzGtAzHU38NodMVwx0/efBoM 11hZIsUu6/PzzkzLaiVoQhg04ycVM40z9B5I4yKjHUhScjHOAeKVH2j4GeyjkC/FJRRF0oNTswuX EIdb+tkn6E3yJzoHEsz2CKEFtoOuPu2LcGXv+j5BkscsnTJsHAzU2BsFK094DIGaKJRe+ztHZUWB Ab6+NIql6e1dq0VA52xIvseGjC0HYyGWxjBBYTDN5vxaRH2udVGfcAuv+QS7hMWkdeaAuxFt+3P4 zGIyogIQz6l3jSYI5/TK4w46hXpOkgysRDJE0bQBnywUQqtXNqYqHUbRdCU5hkxEriAxrQgcSs3m CiiHrAl6Z6M9e6wTXMXBK/Mwuk+y1D2pR6EqFnAOmYonSzmjC2YNvWtwTBdVxuiHysGg+ruo93aC ivx556L9SsakltWAffR77J2Khmnt9L3ILhhO8zr5elMMR4cQ1JlUXH2PplERkGWKmcTPL0wsqNB2 Ss9z4kx2NzJ3GiY+053I+XpFL/tXaZ63rg3KN9L5MHJk6mzz805Ey7I2tRnyGqKYiJqiqP5MbHUh T43tHQIoeU6f39edLxr5NZyi93Da3SxfWs4ZVjwF3ArAKfaGrSsyVuBhyCcFk/u2Cqlu9E5DY0rG OqIqFfV6n9dCocMfUWY/7yQ0zvD4ChdBlSu13l0WxtNE7KTuDbB5Ha4GyUY8htb1kXtnoOHbyXOZ e93Bw8VzoGbGEsCqbBRaK3N6gGKBuEWWdlEwlBF5J6AxqScxdvc7WFf+XAVbkuGZj0d16O+DmswR ImI1Q1FADX74oCiHOzhdK8LiciKD4ru869/ZZ/nCFqrEaOrjtHd+3L4GQMKo0/eTfjCgPslQZY6J nPOhxZI09M4+4yIgqDoJ5JkuyJOsObYxzpH6eSef5ceYef+wbo2vnMvrHu/cM6yhxt6O6/LTEkdr qKarOj9xlWqXReXoTmuvVVmI5+B1oTO/Jx5PrHsUqIsRIUN0zZnK9gPipNXqZe3wzjxj0C5gB13i OWiCJg4+hoB7rs878YwVjORLiO8Gazzqd2qG3gNqt0kb3WXna3qwL83Zeej12Rp6D6hxVLPcuphy cPBzCJOUhbwD9HVNHlUJahidPrfAe97S0NLLvp9DSwbxhRU0DpoiWCjJm+FEGZ932hnP3Ft3xXxO FM/YMtIUhSE1pkgO9BJY6S761NsVfVqlGwT2nXXGJYylsSXYw8HReCdpOvEUq/bwvGHrG9VZVGWw EEB8+3Jx4ndEM8SEtRNullFsMGtm0OfZ1MBJ93knnWUJOD4HEWT1UUD1GQpr01vUF6ue8Z1vH77+ OAnG+4XvlDPcMxADOX89a4ccbIYALBvycoH1UgYSkt1U7FzuynmLZ/Qp+bwzzli74OKhv1A2r2FC g5ooD3C/6J93whken993WQcQr497l0UhNTAFCKSteFYII1FDtXafIPYqtMveQ2qE5jCphbu5Dx5S A8/ZaccOYb1MOCQ0DRClw28TJ5h77SFu+7yTze4SovSUDclqGJQfAZEqr8871wwB50zfOQdqFCjy WcM5CqkbRWjdzrLvtn0Ftdpux3m6eME70yy7Mv8e6w6elPXVBthNSMpOBj5VHOFtBxD6DyTdPRoi lOOdaZavrDq6fTZYztEXrYNYc/y8E83stv2aILQkEN7bBEUhNeX5xKTgCkr7Wsmxz+1WcsZ9faeZ ZQNyNvpi2qAJwgFGzTDc9eeF8MszsSUULrMqu8VlQnWx6F2DCSJ5OjPN1aBwEQa7QgSfn+PzTjIj quc7GEIpI+9xV1AUUNMd5GSPpl8ITyorv65uAmLnvVEi1QSFiA4KO+1Bfd49rj5vr6vBcGlSRwWS reaZSnLlQGF/PrbDpehdg6SsyhZkDR+0gtrYVp8+i+nzzi/jIX23GE9k3Abp3mPv/DIcHjQCm8WJ +Mm9hs8HDGcGQ3bYZug9oMYe6zx9yvLBlhAwzOXkIEveDieoUJ+IGnRgaGdaSEtPlcoX7+yym3FM IYInrXuqUg5KkuL2Trl83tll/6fyATnWsyjvJosC6k011VpMb65mJyeeLZF8hkA2Vwn/nVuWzW0P ZPvhg80QTc3QJcEpBLZmIQhkE6aOJvslTrNv/XnnlWU3I+ws12qwwtCEQAe32Jyfd1rZr2jaGVPo APv0vEfTuBnKrXZQyaF7kxX+b3YGVYRlWkBReVrY340ysQ0WK+7ZATFLlL2CudNeUuND3xXlJPoR yPuiL3vXoAUk6gZjSw0GKTuxbBdOrNfPO6UMK0FCuVYYQskFvRGfoCiY7hQOno7ZRB/UQWVt3crZ pjAYTsCwOs3Le8l6mMOTsm6pjBc5ypn1+dBeBHzpS9e528tGpTMRNlSl7tW7iGcZKvI6hynfMdhh vxvR6Dik6pjEdzpZFt8fCuSOkJ73ngdm1zEe+Nl1z4flaSk4eLrBnxen4LnTzt811GdFVZq2QK3S ohpq3j8se1lS3+l9/k4my+4Z24siIdS5mTdkdp1Y2QHA5vNOJmOs2L5TMqD+pCShOYqiaemnTjOW cScw+lzN5sFig3GC5igsUFPAYa11B+8CNdZNypCG+ok+zPsc6w1SnbTwtAo+g8V3JhnPoSUaAnNX Fuomp2j2xT7/+azM54N9tr8PInr39e1J6zuNLEsHelRajbE0tKpDyPNyGNUY6A1phqIK9VSDbKjV OspzEK21cQA1VaiXZWS41H7aOFHwTpcf1YmjeueQERAnnAfveg5eGTLraiihft4pZHjFXH9dZM0l r4lQDMNptMlKH+7pQB1asTWKWaVmEFKKtNPfCWQ8iAy6uXywylBHJLfRywVZA5pgyEwq/aJOhjmw SaqvoU7w+DuBLEsfHjydPDWMHxOtTLszHu5nZX3e+WMsNn/H06pRNs/I3uljjKG6wesL1OVbohi/ fBRR9ZMEP388zlAUT0+5n2dC8Va+ULwO7+EJ4V3Co8+PLVm1IsRQwgJ+sOMIkT/v5LGn/IoLyAaD cSao0PxQqWJ83rljvOz9GGK0j9uh4H6zGQoDaprMSFqe8g3TOeRtUXGXbP+NdglnKKpQN0bSAz4f NjhmCOL9g+cYjPfSuT6oRoBGSEfimlFpMRgwuRafd+qYNXIArBcJ8QFVnZxGvdYzQ+vzThzLoi9Y Vs85orgN7Optjt5DatAWkJXVpX12dkS+SqCpuHdKq86zfyeOcZ/pOuvbB+8EJfDFJLkHLedzGbAC i1NaGpd0HHDLvaGXjS58JhY6sjkYKgbOpKZPXD7vxDE+7vvsgj7S8ArsO28sSx77nDlWBYIbvOet XagYVj5GMn2Ud9oYK7AMlBfp43td+vg5G8bJmlhiOFMFwXRVIgv/CZv3xfNW9iA/76wxQ61WamX7 4Il9ktZ/KTV/3klj7AHsr5hxoeu9n4MoKlED0Ac0pxD2587Ozhlb8rKeUv6yXtk7ZYxLeCiTWD54 VgYlJZi3U/8T4SknZdKcC03sfNtAm6ihd8IY5yeLgtB9sNIZEl4uoLMsP+98MWZX7Wt+8GFlXNzZ O10MT/VELkK2+ZFDKput0kwfzMmqz08YUytrRXRhg8fU58A5O3iwXZ+g/MkDa8kuDVfkvO7Mvdq7 RrAqbK0pYN68wLy8el5dtcXCx//VjcahzgrlvLizd6IYsUZQRRv1yu0nL0/DH9ebrdUr+O88MU4Q s1WqxGvwCeoTfrqNO2yXXiSdshK7Hsgk650g8tU+7zQxplWbaT3Fv6fLG+BTq1BK51RYn3eWmIHS v4qvOFbL8LpHi+LpTjGUvq8AYbYWdFHFV3UPitojq28hdJoyZxsMPhs8WhwnkKTfG/TROyaIaWtD osdsFpghpa0TX/5pYTxN0Vhi821wPMOk3AYIu/nTonAa+IyvWAjXRtqecLQwnAZng8UaK51t52yc 5Gl66ax242y0qDqtuv2S9fB6rId7B8ltbFJ7G2pnnUdQA8fxnAFw0VoXumiHdBRPL1IM50QkrEGH 0JmircrHTnw8wp19R4tg8BdATIzUEsXTnV0yuoMRXt6vLSra7F4YYgJOVst7PK04A5sL97yGC4lJ YCRkFRc7SKtLoJAsGj26Qx5Os3TWo3A66ZQerFJzsOIihNu5BMqoeDxg06Vf4TQKLOkiF3sUTgPJ NaqkPStxeYZhQHvLhQYa+Z2coAjxgdflzCwf7BabtaOMM9nggFOzO6igZ4J+E8irlpFNKlb0qDxd lZF1iep232NptV4XCx/ntMTjUfV1fk0QC78gVdgExeVpJKpEtYm+4eI5Z06an9IwVNY11qPytGl5 qFe/nl59g+rZ2WISiOmgOTMzgbwHbLSosXJxeZP16R5F07QgOj+dfBvp/rkYTu+hBuQPUiM8H5AO 5/dNTx3/1i+Ho4e0w8remCWooAJlL59d9jNk4pbUYXoUTU82gWYWrCE/lNUT6q4OKzqWz06oteSK RrsCNK5K7Q/yjGoVPYqmCxPNPvr2wSuwunZhKzjxeHBSp++TmsEQWNM2QxH1cDDfoJIRnQeoKy+N bynGEjVEpz5usxjxwcoiRB1ssHMITY0TCChrTZBj3CT1SuIbilV7PkoV9q5RH5EpmZBnHVfgULrR ifE+9/c58PF4MEHjV2UIIdVOHgz1MJqGjz1BGMaav9A8WNNbtNiA6dUSCvmHQ/q54gPtfpfQPslS mUDVofCBajghMYVO14jl57rlRTJH8B3BXV+JiKHtqQYrwJ7jZIkmhd8wCqefTSYhjwbDAb/re1yi BrAcQGYJe89sqTyaQEViTKM9eywOp4sgCt0HxwcD1FEnC7CgOlaYEEKhAniPhXOo1QdjTsnqHpWo 5ajTC0m+3QnEJ8JtJ41Ul2NMPB7hzjyrx4PYcRX6WjZD7/G04YNLkUAuTupk4vmFdqNmzoiGR+VJ HcE9knQXpjGC5l1CHZQUIGGkCneONvKkaRTTIP8yqC8ooh0r1D2qUNdirhXDB07QyLU2OXydGAmP Byl9+7WEOr2r7lUWAahxA5wbvkpOAJTD7e7Dy3UGYewxbAlFaA9mZOdI7qq+SsQOd33eCHc704vO 1KkSYg5yU8elNPOdIBiIVHzHX8FQH7P5oD3W4DnDFXDCXjweraDvQ4jWxWVdEH6P69Ns0RPqyrla y21Q2Z2jzFkD2lxLKKpPy/lsNSYey1UjCp3z+jmTBRBekPJkCbtQVgg3bS4P3oP6GPiS92OIGoQn sKL4wswuvlChvsASCARn8XjEg56/pgiMD0atIkJHETV2I3JVwy7m3C9EmLeDSXcUi6hHVKGeLLxu klU1OKjqXOoZFTkiYkD7VTO6Ek9w9g20l66FTta7/tkH+l9EzHk5ekawcJbxeESG/kV1wd3Tbrd+ RBE1s1azgxkUOk12kzWxOyi4REYqqeJxgZpXfdNma89BvSf4jhBvR2nx3AtZqvkQOqoAAc2HDU1t LHzHX2RxEohs8FhoM5eE+gsfjwrUvoQM5vgD50yfoBhBDXdvyZsjf13d5Tth/6QJgoKHtRJHVJ+m UeXZloWZhzBgA8fQyVvhl0Q4Q0GxvUztQ8SElS7m61714I/iOwJsp26wwRZHH97iqGsmOQ6e6e94 /L+0OKCLhXKAT1AUTjeaVeblfPo6koPOtml6gxEwrF0/onCa8jhwauM8FcIDBk8hyA+BCMEJOrnq Ihh9jUGho/7T03PRT8xPCPdQzrqYmXEwzNmJHtSgOEc3Ho/OoO98A2D28ihVjCiYRlGaFlIGfd2u Y1bynn4ElewyiyMKpicFB9aigy6He0oviGtW9VnBzh9yOcPGQmMl0zDHekA0Ph9RbTrTzh0OPBgg Wkv+DuZ90JyFhS08H7HJfoGqILaJYqZNURROQ5T2TJFNDL7BWQolWcUaxddkregRhdNLTgub8kkc Ljq4Q05pFIXTmRRsThF2CphD7Srk0q0M3xGRyRAsMsW2wUgKZxurBXRCBjweHUL/hyv1dQiF8Okh 3w7bY1WSy2Jsutai5N81QRF8Gp5PgJvV6YMXX0fbnZJEtBEABZBhZeILSpbh9sh61btGPTKc0kse Xhx0Su9dSSL6AUUEjwex0PymAhFqOopnrCOqTjfEswJaqHzfL1dqLe9E1zT9lI6q01J6XYRUYSAQ g/f8+bMaTT8oQ3XmaosVvemffK4xlJPdUPB8PL4jiISIW5zicnDQBCHrWzp518bj/2qQqXIGmkvx BtkIo+mJe54i819EIOm8OUH6S1hx/B1Nz7GaD9aq3yg7NfHqcbk3VadpTFapFwJ0p53TW0soDKd5 j5VNO9gCsKXBy89y2twkY+yF54Ok/leHA8JshWA4TVEYTsMjr4gWNTlZbkvZWMjiGupQr2CXdcT1 aZblB6UHxrjSA8jBTnLRtnGlepZx5Uls2JDeSMqLV/C3dHNCRmIT0IPnNQfjuUD0QRbBpeDxqOzx DZ8e5PkVz+pnWJ8G+LXsYvrTZQF2zYQDGDrnSrEVgBmaoRJeEvKevm4cvIkIWf+Z2SRr50nUen9A i27y3vxhjdpwZ8w3Zgj3qCy/Zsmg5H2j6QVVeSyLs8rweDBBvy4ytNWkxKcJiqLpStuAgWvz/xUa wSZL6ntjzqoJ6paRzZCRyMNlVZku14fDcTbSpDgAdxmAaXIOBOS1MdIoV56bbGp8x585qxXOLmWz 4RSQ9FJqBY//lz49I88x7gqKomlIJYxGg3msIFzKXlncybzfTpzejBU9Qz6iqVfIaTlfp+W2C/Vd 6fwKBkFRNF3hrA2vv59N/XJxWrO9a1Se1haT+fv16BooHbArV/eqeDyaID+EqA9HSsV0zNmMomlo xoOKu73NejmtQ8YmBL/ubYKBMy5Oiw4tiYaVL4XjhOe1be9wtBN1SQQeZpcFyQ0ib69No7SA74jO IBalaaCnwXhSBeVdnkEp4/FIbtInSMS6SQqbX/UziqeBRIHupgm7NmVhJNvR68JILggcuISieFoV 1VXI+uVgS+jcyOczhzQVz1WFjg2WEKwY4Wz6M/eDwMdvgu8I9hgrixSIs0F77EQI8h9DgQWPB13E 5xAipwp5S70Z2YyxHpOqHhZO04TJGhzZrN9AB1iGD54R1gMSmzQJaj54afFEinuSJpMZRpZi+GCY BZyffiBBsGiRpcUZhdNVVNYieHBxeDADUqLcIKuMx6PK2Xe0OAmXvDypGYE9sDjg1SCr4JMvNVeZ lg4kO0CVpjQoLc6oOr2yFO+F5OiXxIHGGLQZROKAGu6uMu3AnMM1AF3wq59c9K4RoRU3/Eo0nOKg aLGf5cRQqJ4cHY9HCdl3KJTxRuM2gGbIRWwEnDXP6YcrVpycftycHqhD5qwzFMljRXUnAmA5+CkE je+VhXyFzUHTBHVWUGn4NK99As04ZlSctg4ZqbAarLCYRRU8H7P5eDBB6ZdKHlob67LGZwT2gByQ 6KZK6ldxkaGiRjST+uqqtzMKp4fBqRhOc7AVhND6hIBdIkMrJ5VeBwV5B/kY+3bIKFM1o2i60Vfi pM/DB2fapaFG9Oodj/8LLoSXQ7IAspxPUEhFbKxI24EMtSHXdt3jksYXyNw6g0J1D+Gp6jPYClrQ zVujCQ0DbKFSEiqP0Hy0Xl9XEvTwHX9FQlN+XBwMT7Vsts7FUvB4FCr+Fq+gZoan9CuKpfNg77l6 Qjarb7FE5XfThxlmNr0irMco5rpRfHDEIgRYz9HDa6wDHyG/Loj0keKIqOmX7vYKK9NJBbPafNAZ VEfvjE3b2cN4PBLQ+XUGbUp1ejq2wso0U/pRu9c8ukM609p+z+PoMCXKEOtBLCeUcnxwKAN8bjYq sXBQxiJi1E312QoWs+SBVZme9q5/le5BIfJBE3Ru2SZdhpPj4fF/aTDxDJpMNjyWXqG2dKP+W3Gu ZruF18aOinM1rbC4QqwHxbl24mW2E0kkjbE0EKkDTQyuoAXIFkNF6C+CxUGD1ocw3vAdUdWMM7MI MWpOSs9Qr0tqse418Ph/EVCug4C3u4JCJqIixWqRYqXLlxguq7n4yaImP7dYCJtmPXFJrnM9cp2d rNPGgCOfW6bSGPEcjhuNQjbvn7KilD1WzESUcjuTjf4YAgNxUyTHnjcej86gX0w7tGuebGxFoTTE wkYRvw4X/h6ejTVa4zEOGskP6fUnERH6NckH22Lr/Ep7dyHyzqEzOhcZMCvgcs2fzpja9HOm3jWo mk0FiuMOBmOYrWiLrZNsrLgw/d0d26JpeT6/okga2tRDKF5ChTobGpuoaRcfGH3RaaPzc6IVtN6h QnD6W7vTQwIlxk4FynpSNMhWAEQI3RUztmGhBF8SIGGmJAdW90EzdG7KRhgCEEN4PAB6/KpMU1yX zB3NUBRKI4sADs/6h6wiGUdqbOciglFgeywMpRkprmHN+ueeTxTOg9MKCq/zBFpJLDIWzc7fhTqQ lYSgx8/vCCZICX1pNph9e0d0zvXUU2p4/J8iVTyS8S/CldZmKOQigg8NHUcjuIx1yRtQq80SxR3Z tARXCJwmSEiGbBouJG9BlIwGUrA/ArNRHVbeO1kORVY0ozjMimJpiVSVwgYZB8XSKDrxmG4nt8Hj wTG9fZPxDsGOnRcJs0LgNPWF0r3o1YJWyWO7nc2ajixfcWmadddFYgYHP4X2TIkK06i79pOvkhmc kKU2mqfRg5UtVvgN6mWDc1qiuJNaghyUrzLRFTlhVTweNOlvvkqJYZRa2JTQDEXBNMSGAWu1dKw8 ssG1XDrr7C4bvP7WnX5R5QZIeqN2Rt1pAPQyMnkYY4BnR/L+EwuNoZf9kyPFBE6DZuh8atNVvTMf j9aQX/XEXNOiEN06TdGOwmmYQHT1T1g3k0urgqF+E7I+bBHtkIq422vltSHpgdaACot7QvYMd32m ASmOgf81kd5ROM0UAcZ7yQcVFltvgxywszT5eERw8dq9IsMkf2aboPdwGk+hOCw5ZSowzcdlu7hG Vb+KnTtU9lBztbGTON1ijlcZwjl8AXbZmoTdg0OGsn0GYHK7IyLyW71rVLvHBOVErBAH3WQn6euS JIUs7n4Pp8GP+YVjwMlar9zijqHTEPEahigfwxtBOIaGVc3OtnDl6R2H0yKz1uWDp/RQXdpZVbMT DVXJDnRkp/QibM9VTztZfEcwQSoKZeovcXCKVN/Sk0QwtP/NQ8xaeb+UPXZIQ5xkuJj/sSsxMSMb I3tGhgRcMxQiPZSyNtF92+WQtTM9KZ8Mjd2NtU4CTu0Gua0jgJZi53jKZjuKpzeX0GTbWoNuMuhK 8JxGCozHI/7GNwWILs1PMLRD3DTNIpOrnH3REyoLCeZKsnwJxSxEOUVJ0rQ+kqatw+Ci00sLRcYq k/ZOzwSYE3wJK5O8iO/4S9qjMYXTYAQXeI5yBZxbAY8HOIb92w2p/fAqsgkKhfIm4XhuEEDFapsg iu6ru5FdSnCHQA/mWcBq+ODA8rnTSThqV0ZWGjxrscdIBcOlMx/kPfq7+I4gFuIjCMt9MLQZEgcK dp7FhMcjeaFflWlgb/vVydsR0ANr/8Qn1bcYu8AymBjXamyDfa4JCnXyiKdfqVUfbuEVhK9MTyTU i8gnAxIGKQi4X1BJtBY0MWP4joinSepPlzS3V79Rde1DZmNoIO64Mu2HEP9d2BAAemMTFEbTbVwq NLZYudo5xnrhFtuu7r5DoAdz1d3m9MFa0OdC7xNBjjQZ2hIleDTCmM7p3C93o9BbF9/xF08TlSsf bAVBIV3a5XXg8SjfqL/yDRSqdvacdcelaV70zdSFConp5CF2lULMjHWZR8nf4fQu2mPl7rETT52D mvo7aG7UTcE5pLUopKAUQKqBgan21LtGgDyWO4T34OCIzkK3Mjhy8vF/sVvw2AKaot+qx46i6Ubk PW2KOUGSQOEEUWaWEzSl1ND5Oe97bAovPan4ysHzDSgmzL5E3gAcfBJtRq96hD6zXSQMsPH8jkjW gyAh5poaDG2WJu9hoNcGHv9PInBA9ex7z4sK8np6QKAKjg0qe+BHuCnrSN6l79OluVMYTUuUIdE7 c6THOxMnzqSuDn1K1iKZqvAo7x3yn1SiN7TQ8tcNuJrCBQ9mHIXidFmVjzSoygzLiskPiICdvwi/ oE0+RxEluYIjvhqNNRMwNKul86VS1IEUjj2GtRFzis0PmbKWfQefpnly1LEkMzROoo/9307023AO oWlCSKsV8Ye/7p94Bua6GhT8AWYzJLbQGp8P8o5Wvg9sIY+exRQ7utCIlVEj+9LNoXlzTbPeGnM7 s976cW8XRr9cVRu8RHTO6BPWEQDbO9A+UolBotrQo13twXwQ/4ovCSap8jZLZEt1ODaw7Q3NvFJY 4xlzLH5AEBg9Gh+81hIZeneWolo1Q0c4ZFgRpG/LX/PeN7bueU23TgrdENlIRabqg+dnAJBMIEAx S/3sP3p0JVTTkbvRuObJYP11IzYHzqU22RHioHMJ5knSsOir8Pn/wiCHnkntN77OKQqwExkveRnj pRACLsaLDN2mCiHuy5GiivVI0hagIhUHT/Mn1W6K1GKQRhGBBkkL7LizbBNNtAnH3zLXTRH8gwJX cB4ePqgU0kqWIy+kwvj8v1jkS9ci8C6P9USKwuzMG26zNcaMBJkGIiMwXInw5PmN+oMOprBuvZiI qH+/nv59W2cTSIcUjPiOgAYTSt2Bjj7L/hKIYwxA67kg25eojnVA3ATnrMkyyA47+c3m8wGVvJSv HXdCZlp33UkKQ+1MxeMhVpkMOjKO7JP4ZK/Llkn9M+64KNYWMH9unUv7nksN1I65NwUbOlQWiNI7 t2mm/86JA0B3MqHKMe1to3RNDTTS7TlYSQS0+aV8Vi8ZVffn97FE6t/FM+YUqn5Q1ILpMylmJVWL BEqZjpQhmt4O77B6zd7qGKn74GgrAKsT5FbgsXCCzsnLEO4s5zXPhPXmKW2hcC+/JIKCEOypQKCN 7hJf0A6bJqTc+Py/IHvScoINRnnigCjiXpRtKGY5AdNxrz3KkFhZbXIzUnxQAM8vEn6XskUdXzfc ya/wGRTXOfF2IxqkU/cL2BNmbCalJw/kFJu94MxOi9A0Djq6oa8nUdcTaPD5YLtdFgwOTdLdAJDz SYrJiqxhT2PiIS62SZrsdkr9Aywpm6SwiL2k8CVm8Jrl60xqvSkvaVC36kTxM3qlz0GnAnxVfa3Y 2wblI/qZ9KWjaVX3M1mD7q/YPYwoQ8eX3zwYrKh9i9g5NFBECOckxQan8Vtha700r2KjvKxJChwU z80jHavGThAHh820kzmMKQWQc4ZngR7g1SiVG3YRja8oW6XAQhFsEnlz9emDI69akWAcLRRDD8WZ LghdKin0vnpmKYq7lcCxZSfz39a9ikStQyOXex0yBzaK7tkBTXMfvIy0z35blPIFq3MvkRYnmCgo bwhc9N3Yz7GNogQHm/j37XrWn4gvS+p+jsrng7D7tzTjJHv67rfYSJFQ9DaWlwGGZ7kU01OWS9Sv fAJDJ0VVa/eSHtH61iNKoM6yGHnSaYRRUz2BM9/sO5brPU5PKX5J1DSisqcUq6skvDBJa5NzjJO7 Dj4flfx9v6n6Xah3eScpqmgjQzinavNJ2nclDQq2cJJ2JnS/64OC/UbR1dTz9sHKSSehnTBl5ySd yVvy414giRTQYtp6hPXYvs6BnaIrWI7e7iAQzbkztzQXV658PooB1lcCBzFoeLrfSQr9X1aiot70 k/tKnnd1tatIDW7JGTgqonfKuv9QdXuMi0k/71/SrqR9nKk5ebVO7gXGPLDwlGG+SKNhrxvBIFhM 6iQP9c6klUvJc94Tq24+H7Rof3lRwYSrjHWDgMBUMUnxgkezRL3TtqwNqsnLq0o7L19KYcwtqU9z tR+P72QBsxB9bJaVUN0mb78VzBJyHuLz7FCiqkwObBXBRhZIJN9BSwkTpoWQe+HzQQfpF2o2Q1oA l4ZPUshjTNSG7070HMVrAbV6wnuy3FTc/zaEi/A0wlXpgx1KGeokg7WijCLnpG0HVIqIzKLMVH+I esVeNwiVhrJceQTPfSsmoIFLGKRsPv+vo1slbiSO+VZMAnNFaBFuFt2K06zqgxi57pNruq9ZDtwV AeCXW45UZtZlyZxFvdhuE7LvBAUmPIwKYYMIIg2XXLWg2ttGS0k1AKrHcrAoYPcuYNa0lwyWUv/e b4gFkQ7cSQrL3BOhUjGHExTNnK23ar2+XfDJtUkK69y62iUnNx85ubMPzsRPNFeZmZyffJnUFQom QEXDXdST3DrsdQOh+GXIx+2D8jcE8QJRz5X5fJCa/JIIAUfnLPQnCohh2FBt3HMZ+KhVz996InmP zQAYrqhgErssqlkLypQN9+xewEHKeKnhoGZ8jmzlhyhCslbchjLb2/7ZUapS/nSIE2ovS+bByKD5 fFQJ+O4HUBr66drm0Gixd+o1OqMokVsv8nB+2A6pGf08h06LSzeb2pLraUu2EyNCchX9fCa5S+WS 2hmAIpbs+cFBZnvbqGfCjSZnoSYHAYrx7NRIpOoFKynwWlSSbIcSY0fURssVkM2h2+Kg2DdrQFxK eWYHi9Zu2kUnoEx+KoV2i6Mbaa/4YLPUocQHkJ8gNicKpJhzJXcOHkzUMdBSWmy95dBuMXOjpUq3 s1RNDjrD+IHmmSQD8vmIQvxrv4GHu64GaI79FhF112lNEkyStUvQ95EYFkAkya2VA8NFX0pQ2vPB C5QniDkhhVoC7ezEyeZSglh2B5SX3RAeSoW+O/ySSNeJMVKjXGrHOmTn/xxIYIeRcrbX5gcE/aX9 DVxHD7ft8Wy4EEiyCNHK29TBxu0vNfpcsnECqrSdSoHpojSiSE2bPnhuMiFnSVldkARO2oKJPEcm fIt3J5Tn0kNoI8dvCZAAVXgt1bzLsojy7L3OOgIspfWWEYPmV7CEbZ4ubjSHvou4YuBGZmAJdlx5 FuXdmqe5lSkp4+7AeBHvzzS3y2mpP/4DA0A/4KaZwXW5K6M8R6MohNuj3F43NdRybLxImTkQlH0w hP9ZrSLRnB+cz0eM9O8MDmFAmU8VN7RehOHJMNYeTvFaHTBRc33MYnL1SYohJTq0CQjg4BccOhO5 iAUBEefMoniHEiIM01HEd1M4iTnm0HxR2MiqkkBVBEhtjEqlN/Sva+Pz0UpqXwElICZIBO4kRWF3 E6pkGsIWBF0rK/WSDKI0qcVskxTK8XWZCubqg08S+EVwqdRKOmdlEtSdPtC4y8Z1spCDZw7tFwfb uXNKg2YmByEXa0kDyDP4fBB1p19SYfhlyn6O7qjUjcQcwBhHlshVh8iS0bz2Bn6YT1KscE3gRObR zcGxN3CCXUpz0SzGfmPtjU1CIC5RcdYkkbHNLwkmqSlUGtsH324ri+/B2lvowFh+yTqC+FH2V6gU Y7VRJiEjU9iJ2S+4pLh11WjZRK5z4MEoiVGktyn5YJM0QJwdLF/ThiuZLXOj/RgSwn6lQede9rbB /SYHz0Tdwp5ctzAvhJPcLI3bLcSXzO9+QEZGBmLynaUo6kbWATeU4bO07yy1dSE4EIS2WYq9zWWv KPnL9WD+zwbDQS6hjLMrVmJCXCbCvvPT/7CAPXW91W5vG4mBq8gtwPZ1hIUCYW8qzNbM5/9VVuLJ jRVZnyw39GJE+9ldrHTROYV2POysTbN07rfQjHEkFblT8sH32wnkM8jpZklQZBQ3aPMH0ef/RWzn 0IxRSW7vagu4hzrB5TIWa2lMPh9lJr+Mvjolr+/BHdoxAqyDM8fhgD37mbRSuo6nSJRsjqKYexWB uej4xcFDAAhkwIeRYC7uM4FQmsHKkRv6JI1ubxuAAtjBnQoB5hMCtJYsj29ZLxlpY33H3JUs8H4T k9CSEXV70Gp8IbXu6mFDavzkj9Rp7IgcejIKuT00VxxskqAEvkDiJ3RizYrTE4LO55s7m4YUvTZs u9rdoSujtPf7TNMHy0wAaZHrdBp8PkLe+lJSbxzJ4wPDiW0ZUZ9M8vrA9TaT998G+1FqmiSH3ubQ l3EQM0HbCRu8/3b+r0IRk0bn6exsYlCgjgkYjhTzLFCi/iG/JIgmxRcdnKs2nLMOlTKZPuNz+Xwk L9+/AiWanPf0TFIYcjfJGhlrnaYBFiiN68E8Rx2+lEJxEYY/qPf6YJW31dc+me1gUelcmi3TfS8B mtmQF2X15s0AddnrRq1cwr7RbrBBkdJE3YKR0kIrN/Bn/MUapVN1JT762XEhvoTqB52hEqpwrZkO lP6ObPgSnKbM30KLRuFLBn0FNdyqEuIX7n763NOz4EwT6ldEaRJLqSou3Wr5JUGoxLVEPocN2nBn 4S+pAW8UTAKTxu9aN18OeND1dSxFQTcuZ3ybMSOJ1LNat0xjp0TUq09ShC9pym6zeOz5ehIB244V ZSDKDQttbE265DRAoKm5aw2B1e1tgwuOZKRBEX4Nqk8OaM6L18ZTKURz5wvo6ppe/HT5NnNjq8bS 2C1JNk00YxCBNDlFCWoqXseNvRqJnpxibs0v5lbv58+Yi6d3myNTuRhb7vyR1C/IEATzLZeWvW6w 5RgsgQTvgw6m8/M2fuVJTjqfj0LK7wyOP065wms5NGucPL3lvrMIVvLim+4bInLh8eCzFMbdjABm 2nfwQID6mDCrL1Q+TwISJlxEBEBkXtxWo+zNXvcviUNKtNmg1bTkGY/8fi0+H4SU+RsemFGFFcfO piksdy8C36AWgIMJ292Ab4PAcE7Tps8qA+/QslE5XO8kcXGw8/uc3KhxTxG2W04kcZ2t1/B9FPzJ 7QZM5CjlwLQRJ5N6Jj37oK1zFlnNktvfk88HocDvpgCsk3q7Xe/QthHNVMT2uvVP+Ly8oVtou2F4 JfewzoFvI3Dik6os2HkarOuNSm7JNFIAzLdRZR1cL6AMJisWtxzAy51fEkzSUlRZ7qDQG56hPJNh Qs/no8LS/DVJ5Cfefm7o3AgZmJFVya2E6zl+IqtVSasZNPaVw4XWjXLb2+rFcbA7rp/POadQomMa 0AFTBz34joXVcVpzScFG8VLg3ZhtktpSiXL58Y27sUrZ+Ax8PrjjfskjoChU6nVSyaF5I1V+kBBZ otudSVpAr364Jl4OCNwbHdRF9wYb7FiaJ5pfmCbJ1Z3IKUkHifkJclqsIiNNqvoWujdK6rBOtuIq 4fDM66GJI/v4My5+wPssrfLNEyCtHlBbn6UY291ohlE8080X+ibTXSYowJrbfvu73L1kucPBM92T fZ7/knDck7dD3ZUgOUADEOb87KvpB+cHvWyQnkg+Qt5p/XqnAaWXRd8egE+E/o25z++Ykt4a7FbY JIUy2YAGJIatJFMUl4NEOcBMjE6E6BrQ+Q8LRxVLyh0utHunNYZ1TkBlIdcbqJwf+tpsctyl63ff 9i8QJepfPmiWQPQkn/WcF5XPB+3cX4o/wgc++Uno4lhpje4m3zAIuy6O9QoBIEG1mDKwcbxKAI0g Ew6+32BlTU+JgpQU4ir4NxPm5px29YcezI58W/a2kfAYcRMl38Ewy72aVPY5APn8+8m99jeIEsoi jShym6Qw8CYcd3arB2SGglJLcPM5uqV2X0kxiZI17Jru8ESU50XQhEGme241InYLDc1hiXUSBJAi jZZDdbYcuDnqoqkMjXywyBu4U+EDq57/F16pKK1BR+0JvENDRwQfJ1aaF0BRvWzShDLhqdTanaYI ZSJFki3C+34I732ek7bj7dnPReVUbGUGNFACgsDkLwWyHFg6Cq0P1lIrPngUsLrEaSY6lYGnI6A4 3xEl3qnCx0+T9HmNlEom9A1aoKq6AUTuWqND/mHsCSy3lX2Nk4rpHbdE+L+GizOFE2ibwltlmBpl VSiL6Q2nR7kfpZvPa5RUUDBhditLNQ7WWVpLFV1o8HxeY6SChixfnYaCiNw3gyr+g/OjBJODjh08 d5PBlFKd3sW9TjSgr2VbQMHkqHm7N3cZB5uc1oCqKVQD6KWxF4Tl03CNA9wBVX9Ixn6h3l9nRzUr Os0tH6xb0lbJhlQ+gfbr7EDBp33NDu7xwi43Z+c1NDoPgc40WhumJt7EMuVR3RyqBN1Uy2tfAyMs HeoGJ7ZwNViInfKasIJM0mAVwJf8k7M8F12fLvqWXNrPa1hUHHVDkrgNWjqdDhA/Qol+XmMiTK2E AHzpQLASH6jJeY2IsHSoA+BX1sjt2jWXej0xzoFrS+c1Hio46Rk17pF98NAaQkd0FZUlxizaV0Qy oBIsaT9Bk9n9fw2HCsIZ2hahfIkFCOWkqnho0/Un4RDLn9doqFxGyZkMbEiy9tB81ey8hkLnIeDy Tpq8rOqfyb02o9QnP5OTLIxSo41FZP+2/fWAI8Cz5vzQ+Ar1IsloN9Q9IVHyM5G+275qNPuOTp1i sr3JByWwZ9LJNYdL1Pq8BkFFIt6aHDugfuBUY5PzGgJhMwLBkaUWwTr28oZ/IYDfG/5GlHwNgIr4 VJgVCdT2erMyZB9n5njqQIh7NYZKzaiCUGSjWNSSIhs9r4LZgZo2yh88k/M9k08o0aoQRGf8vEY/ WDr1e2M16eFmm53X2AcHeadbkXkQDmrcmVHIsluM+CPbWK+RD2ZH/ueTf/qe15eQ1innkiIYom3c YFUCJJPUmvyDWN1zVjkYRBuLrp+zy6O5e54BlALlrdAyGJ/XoKfcoAeT41h26Hfa7LyGPDiTKyLD VIcdO0z6JGtMljdDHnouSbU3PJM5LQKpc/AbK+/FBrb1iVrhAVVpJ7LQt0mP2dWkBtJrvFNkLoqL nDcWB03POXQS28DtREyf12gHb9h97SjMprHvtNl5rTEWE1Yv1+G7oAhnx84YDqUZhfaN0GELDmWX 5ie0ceWrtwpNqA2Kc6ZlYztXGEDH6qKBPH/+HWrnypGQKP/X+iJmRyY8iYUzDqrCogTAsheQA5/X 6mK5Qn5fVxZs2G12XkuLdhEMut0KaETOsJRZ2vZDeUK7TqoRYbRDwMNmfs7B104HMqQRbTwGTeno RghMZ2NtgLGvYbLpUfRaVsSrUvQIJ7sPyihgXKcadYW+WHTuDL+yTPJPnRZfPe/cNVzGSODrdmjI iahcmUXFeNSC0BR2uFoULEt1frd2Bz+ZJ8QwQYHHtXXWs1TnT+oOPfVzWu5yW9VTSOP3aBm7S1iH fAfdWxsuFNxdRM9E4fKScYctIGDFSlp+NL/T1rAxEslGNfvp003muax8jdJ2zxfWH02QvAihc2HD jXoAtQILBKDHczzTSw2pNwoqaAlsMvyr7L2nXjY6ngUsIpmGg1XwVxUi69zwfDwImZMfQPhf8IOh Iekz9B4zm1REHS4PRYayLSH5DlfaXK0LwQpmaFfN0DPcyIf2VRLsnbh1JJNA7CYIuqD7Wr61pr3r +wR1ErInwdsadECvKlu3cyehTvYeNktp8+sMQh+U4vyaoChuRrdfprGqt+ZZ/YhOzalYnahi9TeC M7qzt7O3EKH7CxHaxwQAnupHJ7+AzQPLrdgwOK+BCPILLAvoEOVcrLZO0PBs0ASdqL6wkHhOBTQ3 omNo3qRLoAiiUO8Seo+dUf1rdAdx3fmxr38KjU1FVht+ib1z1fjzgslXUGCz4eZdmAz617JqXwoV xjagAviOH6qMDQpooYvEd41OoUYYKM/p6rrSRINO1TQgc/hOVCviTD6XPLXUKrNtzVAUQMuaeVqJ h9aHHiLKxlruINvhMu8RNGA3NGqcrfhgm2yfQ37kLbJDAim3yGkFDQWgvL5E6gYteN5pajwyKduW 0vJBeXsCWoxd6ZOuft5ZagVCm99ljQzIaKeMlmYoDKJFmjFBkVHy5YPUUc1K5Zwi20kzURRNGT+0 rpMPflCfE3+g1qqO9Kyi1Uw2sWCaDZCBl31Ed4zCaBoXAsw+fNAuOxfJJLK8nV/6885Qs6jmu/Az sYQ8P30nqBXp/J5NZm1DwCj8JstpulgNEkrbZFEgLcAVvTebW3BaIH2mhdcXCqxn90n7p7FWCphK pmiKCR6Ipv4eSbsIyy7UD+dg8MaGhjl3WZ+fd3qahSOaITU/Fuhpw2foPZY2Cp+5gkxGi76E4Cph 4I9K+gqXUBRM8wpDlzn7YMfQyULnCcuXePznv0lJPhCIQ/CW6rDOr2bGezCNGgVOaFBvfDBsIxp3 RBF1qNRE0bRV6a+KbYXl5z2FonCa7g51NSE8oBDunYwpNghsdRMqZZqgMJ4eLK1iX9pgE4Q+fSHz jWoQ5+xgOaRvHj4nu5KWqHDEc+pdowlCmLgbYVYcdAqhyqSrnmiGKKDO9TsZI6AVa8DKh1E4DVbB SNQqpfT8drmVwvqaK69k02B756QVpzfuSiVIDrbH1jmoV1FnFbV5umQD7ICaDv9WugKaatbQu75f ZJuiWVP2uteDPsOki5kKzAjz552RZq+oCWLoDXXqcU/pdz4aphXlZxTPdQiVkq1LWChIaIcQDURZ YH0PpwFpF99alp/PCmroCiPK4SGE7iG4ARA+RNMMF22mxrWz9opeNrjIpjXmmw8GkkILmCnZbPPz zkbDKV9uLShZHbHAGcWmKKpBo10xitrzDBy3V4NaaW4E1kiz4RS9x9Oe0m/By3b6cts7Px1SJTbC Fi7+LuU1gM9AecUvcNuFlDh6J6OxIse5SVxLHLSITiZfzQGjts87Fa3IxOfZZQWcAFhA2gyFATW0 DaBGaPUy4dDY4FluFQ/lN0MyvhPRLFChOsbwwcPFcTImiXYB7XciQqquFSC5G05e+AZfKMy2l416 PBSDpGq8BrvJUpHvz4mt+XhUi15fdz1EUyoa1zZDUUC9BPFwwdWzB9oNqF1S5MS+fte/k9Cec4gM cw22hhI2VyIOEj6dJ20l9bGybk1EO8nots3IHXonoXERFIk+DB+0zcb5DaTOf4bPOwet5P/pZeDP q/S60QxFAXWjDKT0jKgB4c4ghea3ZnUFm0LtsqgkTaFZBMzJB4+GEqrpc0om/KydwqSsQ74A7m8/ sOazer0MHt4JaEVuUWANU/ehXd0HdJoLeQwwFf2888+KurBfm+wcLAVZoE3QezyNYwhB4nZZ7NRv t2esebPW7hy9d/ZZycZB3xIV3Y/dXkekCJpBVUODtH1usrPiO/ix0G9+SkNLL/t+DC2e1Cc02T5o hjrmlnfRSvvzTj7j35q+oiHAKc8p5OHiO/eMTxE9WM29gK4ZNkNpub/3IuGfS+g9oAYMjHEi8h4b 7BiClujK1MWBcPjJik20bij+/QH77cvKid8RTNAQeZHQfKIEzZx5dMnAwzFif96ZZ0W62s8mQ75e 5q0uvhPPGA6Bwig8PjFUww/q2YrOpgzGgSmHvPPOWDkmZBH4DBscsnj+eqKD2dnoKCWTCcpgnyIi 89bOWHP7vNPOrDEOtd7dfDBwEKve+LozyZ931hkev814k6z7oaegTVAUUEPYXKgWVe/3cn8HOK/7 EmIDi5vsPaDOFlBnBTkcbIL2QpuGChd0UV69ibsHCSGgIfCkG+4havu8M87uEhqTtTMOCqjPd5Qi xllen3fCGcLNW6G2OByMdY8X3/lmOKY7PS3NyuGcQbf50yQgxp7zBZi9081Yfh3cXErJ2pOS1XPe n2OMbVVYo6+lKhugnAVNp7If6BTBHO90syJ2JtP57IP9pTja2N84/+zzzjYrVznc6x4QHkTIaRMU 4zmI4uhOfpH9pyxUmssYDjCIuILeuWZWgmuNcuw2aIIm2mODfsP0tDybqvGcQkec7vCQeiKhCWF3 0bsGE0S8a5GTSnH8GuwdIK/JnLXwFYMtlr8veoR3GYvKJigKp1EZAQ9VAAXIMbu9w67el58UFtcE hZgONJ1R4sg++AqaGenFkMfMSnOr1A+sVCZwcT7Ow6XoXYOUjLiFVdiC5qAVdF56GU+h8xWj1nz+ NUE0CPFD+p1jhrODvKA1TFmdSjkuzDdcWb2i3KwJeo+mDQ3ciAmzwVYQaoA7dYnNJHitSsafDOoG 3jc2jimqVnvXKN1gTREJPAZEjFX5Rk2JuHIAsT/vDDOWPX4V8AsRnHePRdH0bhQITa4SRlAkZwiN dZuhAoAnC/jv/DL8PuhboL1cffBTGs0kXVroK8ENjJNI3kw60cwlT9On9vPOLcP8sBw0eus+WFVo AYfNHTbn551aVmT4+LWAIHAPZJFNz3soLaz8SHtYfyPX/hioNG8A1eGen++8MuYBOIIA1ffB8Qsn 4txVcVAFuMz4MLTwQ70Wl6/ZX3TKzr/TyorEtaBVSPsLDtphZ7dmGQqemOLzzirDSvgFKiMlZa87 QVEo3amiqn2F+55S2YYqG5aYncNtmGPjO6esmLBzS3Rx0uDF+5P17OlN1lVZ5YVav7IS4Je+lJ37 1stGdTN68CQmGxzUQ8wnYlcHaE2+Y9Rlrb9maICI7qDEdz4ZA8XBupkVFk3MibVp+ghIzKk4n+yd TlYuI0E6BaYNOplsoBpW6a4JoNOJDQkHoRUP9LyxC6dn9H3Yy0boMsE1lXMwQWcsfaZbttc/fZ1Q 8Z1NVrJzW2yKFkUo611EUSzd6KxL5VzqXXG5yhf1CRVba46+C4vTmdhElJdt8EUEE79MRiaqimdm JFdM5B1gaazJW/WeoeI7k+weQ5QsxYDzbnKG4OTLGTq5Hp8PttmvY5rNSGbimqEomAYG4myu5jCq dEmJpV6g0FQHKPFzgmwjc5vR3luDF18hNJdYoMIfd3J7qaZRbBWq5ztdghSVSD/vJDI2uaTeROoP B68K9aVQ5vzVn3cOGV9x/brHGjSvHYT3TiHDOZQol9qtRXZe3CUKaZyi/sZZBk3i6e8MMu8AQe8v +2BVobIWbI6KDOKpvNNZfD3z0gFdZ7pva6gTPP7OICty4ATVvop4X933c7dFf6Wffm7HzzuBjJvU zyFG3gRTtTtDIdqDfnv8AyAvT0XkTGpUgbJMpcbVpIQPZyiKpic147c4P/uL83MW0KJImlwt52jk 2DXgfUDfxK9ym4hYyZ939tgtvUJg1AfVPKDLyjIUNNg+7+Qxdtj29wRBJm3fjP6dO4Z1i4S11LV+ 3MvBSOTlBBtdq2l0EGW0hKLidGtqfOXigxen4S9StvDj55u65jCjNsytASawo4DpOPt5p46xhyON 63IHXWWdBoeMhsb6vBPHWPP4btU3cEHqxTK888ZwdhU6oGdvAeUrvt+l40gGOZ1qcNm/08aYsU7h YIoPfk5vVNenyvd1NDQ8cJUhgm4UYcZbXsO9oZcNLvsm5vhIPigjOyuhbGFcTjj9ThsrIlQ9a4ho DzRGbIbC4jT9QNh7Yd2sPnUzHrYqemwXtXjnjHGGGC+iOmqDt1k3jx9c4IB8w2yxqgeymMT+kOOk lHVB8OTzThm7MHuYn/pgOf3YUwFxqfnzzhgjqO87ZQVkrTDY0ARFtenJCZrTBXdZz1S8uFb2c1qb LPFzov7GZBaheXoYLOdZGCoKDoOqdSoq8ANVj1wP3mXuBUa80DtbjKe0+AcsfXDQPYZAomiL7fF5 J4sxs/qVcMBUF41km58YL039it18fpp3WYl2Uv8Hv7rNTxhPT4ReBWrRNnjh9fzxZ/J4SkMVrcPD glcdMiKU9Oc1Z+51613fT2mWaPNqvMY4aAGtmZocG3fh41Eb+jsSonzovIizd5YYnsqMFZNVpsEI sx2G29mrZsCWa4f9GU6DA1h8uEAGqLPtQerqOIdOEShkImUEloryJXYEEW7/zhFjSiVtOEbTHCwj A6rTGhbr804R45/qQAZ6geN8LhdS3sJgmjxxMv2Zskpbj/d852IiT5xFb2T0LYZNs+5KhSYNdkhP Uj1Moens571kMMtFiSSBYCGlrLDf4XcE9zyBwWWQK8bBjiAYx/LuhtJXi2LpeQMhgYjxA6W7xcJY GuhTUFWtakaDWsnH911v1cyNP1pUmE5VKgNz+uAr6MzNaob0gJV7p2vs6JQdhF5fXhe0aId0FEwv qjJtaoRr0CFEaLBUqRIfj/r0v1JW/Dhz+i3W42AaRw8jLeoxDJc/g7iHs+gBFDBKy3swjSiDhdes 2lC+1l9QjT9BXerELC50kgwUwt4dKQa3w0o+36dHsXQSWUyJKwerK0L4lXvkXL2fHsXSNd1TWmsI guy3Cd3D0jSx5XX5JlNzAzOUqP6jTXYrrz3CeqDBjqlh5VUKxiossuzaJtv05xaDZzivMUqroT8G SLHlY1TOwndE9xi7GmQJaRBkYxHVxj/6ZBs9CqZT+zVDrPqmC/XoYTQNNT16zKh431xOv6jLK/+4 Xu2i71Ftumt7gd9vgx1DMGRsTc66OC9UvD/zdkKuDqcUaaAZm5fF6R5F03PwAJLXXiGojwZnZw3N wrt6nIwFzwecwzm+thll/OmPY3MUsg4JL0/ZQXnFfOSAR+7uHrdwlVMdpkfxtFKyJcOv9Rh+4W4/ eT3oesg4JjirUipDWAD37FL7AzqjWkWP4ukq+dMi4mHxcLGcnFUdA9gK4vHgrE7pf8Mh7D2boYh5 OFjAF9sHOVkpTukFQdYBQ7x0uM/CeJq3/blMlg92Ep0lNYYxpEBmTMbppToKeOGoDl2liq13jRr1 zDTYYsdwFg0TjnXyDWYf/ZwXeDyYoPFNAsKKOkf73WZ/xtNpJifNr1t/He1OUId7pJZQTD9kkDMo w8TBC0NAhdbFuxUWjcwTIUAI7AP8KEBB9YMIrTx8R3DbS6yyiAZULg0ooWEmAD5+wyigHnN+ZWTw nikPbLFHBWrIUQ+6XEjam/U3OTTSF8ixHr7H4oCamyvX4oNX8E9cPiEvdCZowghPRKGEK63DzQy2 1rdJRtHqHhWotcdGoTEqB6t7lEInYGR2E49HHI7vY4jKYNDhsRl6j6jlTD+sSwi4EHcqXWN7pXCH CkP4Vp7UUUS9twrUpfhw4UIQTUaXj7JwJ/ljztqoYwH5l0GFQdHshr1rdJdJm2JmHzhBiHenXeB5 4vEgqW+/ueG0rrrhUISdRr1zFMnBoh0ERUktISrBSB1+rOp7LIJ6qFEP41MNXPI4hNZsJ26lCD+Q Cm0uLjbsNsAt8s/Md4LY/8J3BOHQUouDgbWBXVm/PxOku7q3jceDFbT8smcGn9ki2xde3qOYGgJP aF4lP6eT186aGzTQR2faGorq09gvZ26gHGaDndOonjWylHmTwbVKNvFnWVI/L5cH7UHyLr4kyFvZ KFuZu4yDKkPl3GU8qMv5OjweLaJboFYghXbSgzAfUVQNx5+RFUsjc31Ulyqpt5a5FoMzjKhEPRkR sRtog83ROJFClc0Y2kAVSFriPbBIwUXs+bHS41E9oqiawlyZGvA2aBmBjbsl5JkzHg/Y0L/uMmr0 t9utH1FQ3SgA47LL7oi+KDNQDPoxzh9lgJgRVahX4gYDs8IGz1zPgQbJc0oK4keetBfoFM3H9T0f NjQdTfEdf7HFq7rR9asbvWtNJv/Cx/8LsJOy4JBFswkK4dOIqemTwwkawkzzspcrw5CEhxWHRlSh BuLlpBu0oKLBMAIOriAIADSARGHVgT9FSnoUqUOXnX5AdtljOeM7AmBnT95C5GCuMJUK2LiK+vkf PB71OH4BYmAWCyEwm6AooFYjkT6l5AH17GS7Wpq3ySrWmbZYFFDrGKLqFAYeQ5ygdKLORMk3qlOj QqqGNRY8jp2enqt+Yn5CuIfKiqZ1QgYF89YTpW/lrSflwOORGMN3Yg8ke0Ht2eYnCqeRbo30AF/3 dkQVmCl2BBXcNJqfKJymMGBLY20fvPQBCU+aXqIHRM1dOQogsAHngEIZ3gSi9fmI6tOZhu6LFOEz YDVTs+Ts4F7ZKT0ZU8bzwRJq34dQIZzqtslGFFCj74J2ffYCbHo6rcXMTyEyZMjXEQXUa1jVMPvg OVkqqHWjFAwZ2HOHSZO5MPlEy6z1W6EmrX5EFWppv1ZZeXGwiwwNbx4s56fE4/8FEkOi1NchFIKn N4lSyemaLGsK+HoLsCcfcTO4EYGnEcADbbaSD76GemeYmIW/3yQ2Iq8V8JWyDLdL1qveNeqSYWa2 8K/7sYI7wVYzLYE+8HgQDf0PZggl/HLv+ahCDWDIEKCSKyjfa4wSOUa1g5mzJiiKp3XPq3+BgTAD 5qwFfSVgfnGNnTwDusRUyidpfP4gnLiOgufj8R1RKMTS9KR5BwddY4BMUBgUbW88/l8YHBXb/mkj jjCeXpO6Hn7PtysaeCK8e88XN10ccTwtn4V6B2u0nsVZJpJW0VlPNA3Fd1hSIm+EkTPAnXZOby2h MKBu8lqSzhukFQxdvhoCCh6+e+H5IK2/wEX2EVHgXBcRM8IaNW1NKGBLxi+0dzRF/YJjBpX92Gkd YY2aVcPVqPXKwaaoQ3CUQjogSp1fnhB9CGNSOHAjLS9exd8SzgnpiFw9XXVGDspaAV7mDMHyAo9H hY/vq36Q5Fd8hmZYo25E3Vfr1p+vGpZxTPqqGFGqGx1xRtH0EuJsCTq08s04cOOszRb5OZ1ot0eD AQmTVzC318WdMeGYId6DiwfWVj5YNI0+E4+hc17i8WCC2ndaD9lpLmKboCiaxi0OF+3KZB5LyFLV c3+yt0E/SsSNTMlmWKI2+k+bPtxoum9YgWqXna9rLMA21gE60SZXnxsSy/yOv4r4A/gtGzRBY5L1 wAkqePy/9OphjiQ4nCYoiqZRCR+9uKspJXf8GGJXWtrcuKi5gkIyomGF6h1u6QxViaSLbJ+AT75n DcxZuP39bAqYi9BK3YEZF6hl9yIzs+5OJicQaa2JJrUqHo8m6HsFCQ8/PamfUTQNvNxJkpLBy7O8 lQhmkIEQHTrAEpM6VVieZpND7hsavBfd0JJE1QqgBuD+zLAb/4Ty6vXKBE9/1wjaycrZlvX7dhl8 LNFMnQPIWOPxoFk/70Wm8nQjRdhnKIqnq0TWi5o/J+V5KBzShxFNahr6dUbxtLHFk2Q5KeKmY3oS HNy2vN4SXK1xkndqmUDgaO4HgA/8BL7jL+mKWvMdtMfObSQdZbh/4PGgk3hLZwSoUbfwKnLOKJqm lFYVfnpQQOey6ik/IaO3mc1YcUZwD5mU41b3wWuLDZLnSSSgdXZGJcelkV6OmH9g51iwOOxdg1Na a6fxsOagJQRm35JyxRh4PCqd/SLaES45PN2YEd4DreET8VqIeBKPZkizQlF4seob3RlRW5xReRr4 G3Q2RvLBDyGUgvoix6WBLCKZpkkHuhNo/4AfdPWTi971LzLrbqRsclCweH4Eoc3B/cTj/wW2SHGh cbv1M2Qi0gu3e7qRCSEVmfX6T551VY3uO0ONPAmWgrRqg6cbMBcdk85lZ1mfU5p03ykvUno+zeuf QDOOGVenSVGge4cGpRtUPyEf+iQxeDxCVH2nG/hNyrplxRnhPSbVpcfysiI8TqzmkadTNWspVhSa UTQ9CA3OmVUzDt7ggIoKSNGseZylVUxQjwJDkxnWbZFNe9cAGsz7q+acfbCiBzSxNEG94/H/ghgi 3qTdTvQMiYiDWt3b8B51GVkhA0WdPGEl3YtnUKjsManAhPjbBq+7bqhZCh8E89JmPDtZAiQ2GS4R kQ7vMwqlE0lA/wfukdcJqngrgKCDxyNAzL3nGVaiWofegWZoRbE0ulkjU2hOTURiY7DHUp0uDlMo D4QltCK8xzBETK4+ePUe1KiCGIegvLarzLoq6opgwIJi9kt4e4V4D5onLQPnXR9lsB66hLlarXg8 YiL+qnlsSnV63WxFsTRYE/DecMOEcrmsmX6C2mO4aqREGcbSJvKa7+BgBphBkvGOCWqodlDGlJ0x yJdKH1iVaax0fMdfNY++iKnioAmaibQ93IS74vFgj5VfWpSTyYZnYysUl25EwQwTwS09+SHUyV1T l3U0Y9SvEO3BzZXIOsDAnBkTtCFjd05R3vMoEIl0P+HlVga83HCmPHzxhu8IKtNs0PdGoh0H7bEz LcsgVWvg8f9U80D0u54VFDIRJ8Of6wk4q+E7TozSTAd37OQEjhVCp4d0PWjUysHv+QY4D30uec+b OPlAmb5s9Cbb47217V3/Qt/P1LoPXhRq0u48J/fG41G6+qvuigR6jLuColAakGBg4t3NXctGbu7F MMIDCb9O6RUSEaXJUKQ1zRBBEwQzw0kbOqLyVNk9cWLvyMYGhBnGI54z9a4R8FW9jbZ9MCADOHq6 u0+ysaJQuq7vggeBrxC5tgmKQumUAcWjdyrB90ypOUFrFgcLQfZDkeKKVT04M5Ln4uBnEBgJZ2mg 4HGu9XNxsHhE5x+RVNi/lbVNIuZsRVCPNWS5OaoPlmyspHZTPacBHo803H/F0iBJtekJ/YpiadDN RpEyDLWmv0hS1bVzenUDiRXG0jWpp5N9eLAwE7pdhJwhqiMKvfIMaQgT6S+mkhDF3/EdwQR1Fcuo ltcrqRKJTlubV+5PP78MHo8Uqu4e44bCv/ggGVbIRZyV2jndNtnenm1A006oD0CejcCxQvB0V02I EREHm6EKFhAvHaRj6EuyONsnuYhodKwLnpYyzIqCadWEeFDaoGB67yld9/PT8BXfN9n6hTcDHr3O i4VZIXi6IqHvhpw6sWJ3Y/LZTCcXOLnhSyguTVNOubc7eDANzYG0pAxDw22WZiHkeO549AIgO6MW a0k4LfElgaDpVpO+Lh+UsO6Td24RFFbF45Fk+a/KNEotrFVphkKkxwnizimzXOVMoovMx9Z1/Fns I3GGYt3pLjWT7YMfQ2f+1yD/GQjYAggmMItoRfexiUJ+YqEx9LJ/sqQqpWFGdddWMMqGdKN35uPR GvpmSUHKpEHbUTO0o2gajQ3EK8sTsnE9W+nLZF4S7pawo8r06HJnkWinK2kS+QrZwGbySyeEGdRB G1BFkyvh//pI7yiabqwG9U4OIQfVFQGe3rLLGnw8KJtV32Q6cXDdb99k+z2aBseF1mzDEjJzkVRd MXs0PZorw+xYd5qxUJbkQp635nHWE1AvTDfOGY2oaJJGdk4hOLn+QCLG3ZGper9D8DR7G6UwpS/F U/oGII/QUJDE3e/RNDSH/arnv4vorF4Brx1ipxuLid322OjLC6+zJIPiT5RdbIL+EU0nqi9x8FMI cm/ojLHw2iEMw3ysdZE34R5+TezA68F3RFUzlkkaHTc4WD5WzoZlLINYaP+biKge9C9djx0SEWkY Sf0+0eyq52NpTweXt+Q2SDvGeQhntooP95jec7U21KRPDcAsHJGAFlVEJxLrHE/VbEfRtLQoAfHz wYpCGWqU+LqTAOPxf0kGF0tYTyJ+vQFi3DQzIpMRgtaZlcrQOvbSfQWaRxMU4qapOp2KEvtSn6oZ juUNKihCoRN/IOyCURT/TCinfZ1B/q5RukGipvLWkf0aQ9xZVLI4aQce/y9QqoLcpVye3Q5dW2iG LOxCpfb9naCR7gSBeK4J+hPmQfSmDzZBZ/lAzKWq+QN1xEQ74MZ4hn42D/IeVQx8RxAJ4VyHHMv2 QRPUTm4ts6iTyuDxf2kLUTICEPh+NfJ2BPOgjhr0towlRd8DTtAaLiI4afurCQo18pSpTjZPOdzm D2jVpWsFtZMayAIQmksFuFpAnKwBvdiA3lFhuggilIie5mBbbI7eLVQseDwCCvkWGyya5W+6+A5j aZKhm+tQZpaitIJy8ZJHLa5DuUOYhyTyDA5Tbz424Z1QJg0GaW3DriRky9EdQyu/X+5GobcuviOI FFlwnZslbQ62gkpilwE8u4HHo2zj1xZDtwaOfDZBYWEa3I3S3KDky4u1E7QqL9bKvn3n50S3GBFC FG3X4Bn9hk/FIrsFXbNqlkgLrQXYD+SULpJqT73rX2i8UdQCKt4+hChYJ5ru7DA+HpFbvm+xhT54 vyWPHYXS0KgYRX5RVK+w8tmZoMGqIiZoAn2uCYpAHlPVMrqsa/B8FcJCnRZW5yrYsFKTBynOIBRK iNxwFcqmdw0CxaKya6o+aIvxg1UTSgOPR2VXL0yTVwVID4UiOEFq0L5+6+5Mx66qx3bBgcEyMYtC G+QuiXKnKJaWy82Z6uKDNVgR/429KVuOzsakQkolMpLK05ka9AYVogATKabv+QKZ0C1vYYXozaWy R+myQO4tT35AVFssX/F0h1EzykM+TSEbkTkZWguZaCFa7pHBoeo/jQ/3RjuCLhwptj4kySXV6YNN E5YVTOuI60RPfVL/PqGg2VlTp9a2VfDHttf9S98dFFkflHTAx5RtrnauGz7/L2b9lHrFQlvkmabQ zQXVD7WouJpkMCqtqubyFQtsShlNpBA/Ta3SrQLirukhS+VzV4NtRCLHrF3ljwqYYgO2aLUH8UH0 K74kmCUZucisln4DZMnlNpMRX8YJ4PkBQWD0S0gHcWRBr9BnKaxUI7Y+ufzySvX25CPV20/s1ASV bVJohli5mERC4OA9+5XWmSkKVg2odE8xNzN45JBnzNxvnsBue92oFsuwUR2zcTtmJ8qVl/UP4E58 PkKf+SzhfzcwbP2WGqlmFlSn2OaY2cBD6XKCKKLta2ndkymqV2uWoLjkg2f5qRYIuxDlOTcQe1hu FRlgx52YE020CcanrR6/Jeh5DBmNmu2oF9N6A09R+CFYKqQQ/XFVhLPHnogO652nsGrNglqBCrcE 9Fa1o2mxt66jqVCAqOuDoqNpuwqDDZ6qYb9l5bLEPZtmDG7Xs//az/7Sh2MUwJAqiOlY0R/KSIaX rXvKtYte1tPm80Fl/1eyVvGujNZskkLRjwFmImqGWEKA5ReH6iUm+ZSpnFgK2nJRsD2HomyLuZ/u UIet7/mfxWtuY71SKgZ3BsSyfngmm07lmPa2f+Zr7CdpUEkEZG5PaPWSEY/Td5xsA9EM+FpJofAH lSqrWU1AitGpwKW7iAwqAcVP779NXlalFeKqxWuzcHhZJyqZtCHtsJUH++UkV+ApIkLszXPaAgyE vuQvJmdflInra26r78Odied4Pw/x+X8K6amSe+a8fUVMYdC9MEu9eVYypzdiCxsZwuyldE/vSP7D mvho/tjgdxzUdXqWEFpDU7aw0YgSQwGylDmbaenJBDnFwtQ4tbPc7+R6wsMbEuWCTI02+Xyw326r kaktdP+goO2TFNawzweitGzdapg82ySt1s2Ta0LnxycpLGJrKQ0GQxz8igOPauyh5BYo1kVGJ+rV FWd6p/57VYGt2NsGBSRx8cz4N/fmN9yWIjK2z+TzEe7ql1s0Oo1QfbVJCu0TgSUZuViNrW9JnVPA YV4pKzil2iQF/oligkFBpmwfvERyAqRENhaKbBWO9uoJoGuGcxO3ofMV5akUGCjq5CWZs/pgJQAU ZSQkCAPF0EHxq4pELGSy38lnKeQsIvRGOOz7rd8qQFlu73b+RHcIDEwUzbCjnVN0+WCztM7fnlEB IKtz52qeHgDJAlMhdNF3Yz//YaLIOv8mfbq7dh/Fycx66hzufP5f9X6BQwhteiYptlFMxIR4otvz 8ESXrWHebx1dbLkEhj6Kq0kPTXM1brWtn6MbmilUiT0xd6rab2gQnUwTfcdyzccJ+uKXRE0jelEo ZFJPjpO0wEDW0V0Hn/9nbxYvhxAQv4tPUlTTXogoq5QG6WZWXHRn1mzVgJnSdCvF0EsR2jTQYB7d BysoAUUG9jmBfCeunkuo2smqOxpx6xHXY/s6B2aKsqDHRtvTB4FoJkIKSenmyueD/XZdzLiSGtn4 124ydFNEWgJTHIOkV+Q4miRAZ227rbLcTTGwU7xCqC1lH26PfwN4JEz6CcKTKpeUhqqoTZJxfZFG w173L++OmXwovpQAtaCaboInZ+ioWH7PEiZoXMmLHDgqIlamMQ47s1xK1SzskcM322+LrHktpTDo ntJL6cMHn6WeiMGSRw4g7lS7PEsf+dM5oFZ90EbUlcmhp2KuUmicd9BSglaRgOUnbOLzQQspfd9v UIDK9faxc2CqiKIJjiL54NG46zriNXbKxEJLj/ttCBehsfTZTNsHiyfX+a3OFUf+x8mzTmBJ6erc +G+ikcys1Yl6xV43KprIGpjBAAcrmpzMx0zxyubz0dHtFxzvN8zmuDXcHDgromYyr9AV/lOutwLX x/IKHOSUbZYiJ5i+JQuSkg82S+PcQztl+ZwAZkF6Sm2cT8CW6bbkqgXV3ja632SS8wxepTS5GeB3 +HwkoHLvNwL+t5w/7iyFUTcrS7M5zaFlB6nvqwAKJ2W3MA3sFSU1gpKSErj6JHCoB8wJpwLqXyAC l9c0JmgDnAUFVk9z67DXDZTihV+TVggHZXDnvGtqSs+V+XyUnHwfSyDpFBjy+SyFQGy0lEqZw9BH ky5nlI+V/y3r3cWB2PkPj8UlJtEdbJaQjJwURBLEBXEglbE6RJob/jF5K25Cme1tg6bSlqsZgVoc tJbGSmVoD83G54Pc5JfLIsWhn8ZtDm0W4TKG5NYlDLjkZbPI7NokDByBlEOfReReEGhO2QebJOh0 nVRFNoIVIQdjpU5zAgDlas8PEDLb2/7dN1k2GIwNZPbK9Auobz4fXHA7/cpN8g+FGnyS4oI3ytxl TVtJNJYSWBSam9laSyP5JMWAbG60zVrA3rcW0GH0hu1VJWYNvCgniWlxRkiAS1sraTV/20jIgJDZ Rn4sByu+wcBLLccy+XwEqP1GQ1ICdD1Rd2i2CJAZXDQdUdu7BIwylO6MLAs5FD+6A7dFmWDQV2n5 YEf3OdHO4QHhV2w3GC1zzRV0rzpkSOhnzzOpECfPL4lKb+TODEG1QB8lAyWD8aY//URMmx8Qhd2/ 3RlwLt4eXA4MF1F7y4bRkj6YWKBbojPTxJ0qaho6lALHxcSNSxp68cFjpVknKvZoMZ2PxH/H3gla KES0w1DJim8qzfJbIiwAOcRD8NHRTTr+/KmNsh7wk9ZbRr1uD5a4bujRnZ48N3RdhJCj+1IypNwG Wj97obplXgXSQ4F3YLt4XoAhZRZRLX8R1c6tBldc1pWwz9C8JHgStybAYHWU2+6mjFqObRdJURs6 u8c9u/NGRM/sJKfM54Mdt76PJYQBODLvJIXGi42wpOqqoA9mogqAzEnCPrRJilElQmypsZse+W8o N/eeCju6q/UpC9hBI3qq6RLZVh89xxxaLypYop6TDSoG7IazAn93r43P/0uih+9H54DHFy6H7ott 4Fxy8h5CbC9RjuxSvDOPm8MF9ouJ3jMoA7Q7eBjQYFOYxZpF3gKjQnBqOm2oM2Q8bueEBp45tF9E 1R00wFJ8sJDybGsjQ6zB5/9lq8Pn8NOU/ey3kPZIsbCWbl0p234rAgCp+tZm9UkKq91CcG1B3PaF uI0z21DNkzvcoNh3UteXtl75h7U0TRKvKX5JgC9hX+n/4EvyPoGdUjhW30ILxnKddRgX7UER6Wcp RXE3tIEHYmwrLC0XMToLeLoML/7HEt3AhVF4OWa43QefpfP/ZBZHVDNJCHQpdo2ZQE7Yrz7o3Mve NrjiiNfm5rJBs7RObiYIROOGC1Emv1XVEiBA9etYiuJuvKZjJIkz2Rdnsqmnqrh7d5+lf5S7JxNe Dp6dNFx4rnSANIJEt8aGCZp7OJ9YLjxrqXZ7279Q7WPu6YOOpZNNL0b6YBby+aiS6+gABum4VuuT 6IZujGiAjUa9ki+CFjG3YyxH/o9b7o7tGJesvnTBlXvBAWN48tnCtjdUxehJAbgSLntoUf4vajuH dowqmUwRsjlojnqScDDq15PPR6rXvpI4oT39quOGhow0i6uV7FC6wtaLCWzXWHgjprY5isLuRTXn nEjGzumh80Mz5uw5KffUBJQplxzDAqStKIz7JI1ubxsgA2jqufC6Nmi7nVt6yQ+vZb1kkOWm/pXA VR5H/Z5JsSkj/Kml+KuF1JzpNwsr4GT6McJj2B26Msrwa4mvz8F32/nMkwuSbwwrqN24kNAi/oEq iylfG75dLe/Ql1ES/KhU+aDk5ExwYYHxfPjg8/+C35IugIgLAY7PUogy6TReTsMBysWJNsP7BAjc 1l1KsTUjp2fnO3gGB4ApZS+BxWli1wIXAEjOyhLNs1AJl7TeNthv1M7ou95BxbcTTSqehKY2n4/2 W/u+39RYKs+Gi6NunNylGwi35kurHZCyc30RsBe0lmL9a2Jxuk7ufk/utvo5r+FzK0lMFEloawX6 5dknjXijW1fKy143aufSzmGTm81BsdKEaAAFIhbauYFHY/of+BuZX+xN2CxFYXdm2E1IyP/jf2IJ AF+cUPjJhjGpXlcKXRq7MlwJiq1HUAzl7nNBopFYIEYOD0LCJ+AB2SEuBnipFXKp/88vCe63xYJS 54bjoA0H1ASbsXWjZBL4NOI2/18jy7y+Nlyog81jiZNIjr/YJSp3F/OHhx7/9EmKMCZN1QCQO2zw sxtM1BPckpa0T6RJIsnZcCj5oomNm9F7Aqvb2/5F3FokMmlQhfKsQGEfznE++HxknfJ9weFFSi7P JMVS2PRA7cYghWqTnd3m0zykeOQYkz/MGlkFyCIj53YrlFSIpdxn4RlL3x2sJKK6EHm1WzM5N/uy 1w32G2smfaTlg44lOPuYp+7qfD7YbzfsrtL0LSC5PcdSXO6mK2o10ZqcilORy1julFZJJtI0RWH3 5DZalp6tK6nRAQY4F+cS+O3chJuFOtp/w3P6XOP1KVL2Zq/7F127DBZ0OWgxnaClLSX4a/H5IKL8 5cOT86BOyXMuhfXuxbgbkjQ4l1hCpN58mY1LaDOkXMbYzqFrI9twENHbPtjpDSJ7h8EJziUoZVJS nakpBc3OP87txkvkKeXAtxGBs243ujrJeJN757xsbyIJ7Mnng0jgIikZGhFi8hxMsXMj1CCplmse zcmZOIVpp4omdXjgHVg3IhmkPkuRqnHJT997dsisoq6cwSNfEkBLaFxQmY7ijsp0B3ntObBu9OyE VvM2KPKG4vmUAD3WUuDd+L85HHWV8xNUhuaNqOWAYmWTxF65UrgsSa0im4dsKVzo3rjIJs1QnLbB wTgACZKijcj75HBbYBxEhJQAaDTokoiNwqXAvhExIRGCVZps9Xp9rzPRUgWtrfD5yHLmG9aFo7GA fOuTFEXe6FuMKsoSYSbdna8aG/yqBsC7xiYpjrzZ7971DnYsDXigDxax0R3YaW1VA9izQIAx+uVN qvwWOjgKQdEkN0b5TaYUGcaNMrQ+QdPiB0Q69N9qSCTW5/bMUhR5I+Q9Z1HzRHe0Sy6dyxtMG0AL 229xwZvTsyQMua4wJJKfecKNpZrJSaw7dQ8XA0cgNvZV9YP7g142yE4o1Y/Y3QdttzlJzsCEA0AR ujjmR6ufmzP9Lr8FPo44SzKIyd72LoVKrLKTra4CTY043XCxkeNQEZudfw6exJ03Rz+XgQD8o1Jm 27uzIn/ORERWruzXtr1tFHczpFTcPW/cXZgBMS1rs/L5aCXdC467838ggqGZI9SBXIhk8D95TLmY YXMpwQbLYsrAzRGzZK4q0wdP4hoc0pks42hOqbCdeRIVenK2HxRPLvht2dsGFCapsBYG3hwUBnT4 bAkDnyqffz+61/4W0aTNSU7PUooNaKC+Si7C/5MBRLaYsuWbwzGJsKUUBd6Dpf6duU52vkq1nThc iFqzHgCmBecxIU4akK3I2JxOzpn+utHZLVYOjxMOmqVxDj8lF7Pq+Qiy9EujDZ53Hem1T1Nc8AYS vRhcGZQBD717817TuXyfHRcBTeTHl1NvPtixNPI++SBFqsHIHYu8OQCXG1re/Qd0+V8iZDlwdkQS xgZTqt0HDwNa0SU+0awMrB2RaHw7FM/KxedFys9rqFTFqPQOZWc/1KXXpXgq2nveFiq9BkrVJY9L atUHm6F+JgXF7y0qBRwIuCGhQkj7URSwXLwfRbvPa5hUAaRRQ2B2H1QJoBAcr6u28PTL7FSAjlQu yYK9AWIh1QT+KNHkbGJKTMQXOBPnB3SELaoCTIBdtICCyaGoRMvykM+Ph/woSNI6Xd1AVVtLRkeT bRLAO85ag8hM/gK+v86Ot0uqxH9qdQYFzI+kqgn4++c1OqqiFjyzw3qyGt2YndfYqKLBgabb8gut 53tUb4GX0YaupRli4jUyqgYFaKzW2WAx9omSzuujp0G8RKe0HwhNwBYqFLgA3Eks92tcVOEdo4Yb 5dk4WG1bgooEirbPa1BUlcRocvSvng3MZJuT8xoSVd1/Q2BOHdHVoe70SPFm27Ij+jUg4hxzcqas iWe6ARG81s/qEOImIVZi0XIuHDY8r8b1/QYqE1/xPjmMBmFcnDnAZIE5aVlnzlm3P4dY/ryGQ/Xh l2brIFVq4djsvMZCVdk+7ACMLUFheCVoAIDcBK25X2q0sWglk6tsZWu9uf6JNceZ3UY64Mk82qZ2 2wAWrsIGFoex76tGz+/o1KnCkEiXzffuCa+QVRKF1U9E/RoF4Wn1UG1yyCpd09bS5zUEwmYEIDl3 p5Lkq4FUCnHQ1vJvbpUaTM7sNivbB1s658Q8OQdKO8jwz024CKCE3B+1AICTLXZrFcpPfF7jnwp2 MusfhC9rsOpsqyoIQ2nv8xr9YBXU76XTpIlbbHZeYx8c5GBH9Ft0bDzdeGE9AeKUZzCdHqLZaXK8 at0HP5MhNVaqNCT6CRyl3TtoxYhbC4QBT1plYhBtrMKEfgvWvl2BHpphm2WptvL4vAY9OLN+X1g4 Rfc9dV4jngrNTGm0GNEWLlI/12nGIx5iqSTcGx7JnJW8hg/eb5wT28nCwrOECiVaOsTiYAcHeYQb PNOB7PMa7uAHMJcigiDWA4IgCw//sZ09+nkNdviGyWaHuQgJu3fpvNYYsbEga1wol0NF0enya6Xx EODGGqhXS1E0OJOxqXENyYZnPTY850/acwhQOzO2MDM1FL74veffodeVXAmJ83+tLzKeopwxFdQ0 WBn2LO2p67zg6ffZ6davzlQfoQg5rQQ5O6+lRSzXRCG/aXCsXHw3nfuy+plMnQNJR0RnsnBGQyS/ MR5wyPmCtTelNWbd578hTRmQV9TyAXvATWSo7KRXDQ5lFYMyq4sclFA0/D/EYlVIjEXHjllaau0g E/g6dt65a7iJEyRrqhOO6ky3UZ1Z4wCXJouVTIJfND/q5WdiaDjcvXVC5V2lMAaZolHE7ztBfAf2 b5fbp57N3jXYW/LYI7pNg1ZPSqZe2oidiWLlNdP3lQ5t8rTuBEXBMoQrBzIXP3vWPZgJOrci0ANY D6NlYq8A6bLBQ55zqFepxJw/CsQQoYtRFoZo4E+mr5far9jF/JLobDZY0fJBK2i3UhgRQjbn805a q4/Fd/7hLvkh+KBkD3veWWvMQiQium0Rre1cozmWn0Ab5AuDYAVzhCAJl7rWUl0PmG+X83ObX+PZ q+gL3LAQ5H6KrijdWkrbo6AZAUZFml990AF9jt5NyaXaUCd7j5qRrn1f7uhbNKq7a4KisLlSspeM AdZbmcjoiG7bD6E+KSzK/kZwRnfaERKvaINXf86fdcIfEtZ6h2ivlVuRaCEfRV/CL7AsnEOUciW5 yNU7WEJagWTiGb3Q3IiOoV8XfOOtcA/pd7Yaj1esoDmNrdbYUTNK3w0ONzaJlVqjCeL5LE8LDbaC ztI6k1SYWIAPWYui646FAqfRTEYfNbSoVfF5p6pV6WCgfkxBSA6GBu2wk8PXQejwnanGPeoryHpk C0uu+QxF4TNyLnpw6xxq5YJlVnb76gkItZ1D7/EzDnz2fqRLW7pD5YHXQqkYBuLYYwu0h+k2PbQa /dKpG/TgeeepYR8zcPk/SocZG3lJI6rVzztNrcL7oH8f1ECMAqvtMxSG0DDhKcU0RcCiTd63L9Ml kEZhWQM11iiGlgM6Rfxt8AzjRAx9NrbIO/I4+AgSSgPsHqRsUBG0oo/4jlEQ3ShZs+SccZUVoNmA 859hYt6fd4oak9vqBzXLPvPXEorC6MkuK39yCtLK/Ys9+1bdg4eWDNpkURxtV1lVaaPe0sbAx5TV JRFVgWtgLEQjWsIEM3VTTPFAPPX3QPqsU7bYYdXrg4EbIdorv70+P+/8NCtOfZd+zg+VqFTHGXoP pY1+nUUEoaVl604p6u5uiU3oWjVRLD3UYBV4j4NvsjMLMzHtyY0aCklwWgCq8JZqsM6vXsZ7LO3a UGjS+qAJAh1bYgcdQjVRMO01ettkLIvVGw1F0TRuTyDSs6Wpm0tIwlDbcGkzQ4xMExSG07i/UQDe Plh1bOxEjSlCYxbk+JlsTAKm1iwmJyoU8Zx612iCsC0pmWSDTqGOwr+5F6zPOyutPvYOmiDULShE ZcXDKJ6uU1Q0057PpAwaKc3/46DsISfonZP27LFMOdGcHzlRiGPtVVM3xd5hgrRskkJ8iFmjC2cN vWtwTLOquguxaBx0CJ3jqLgbYf68M9KqwCKaIMbeaLbI85MTFMXTaG0CU2UGIeB7WAWxUTRVh9Ao Vuh456NxJRKZ0Or0wUOhVCBZTc/PgbRyy0tucq0NagXNh7VX9LJBRkZRmiEtv3G1/DrsxqpmqM3P Ox3t/15koMihYGozFBWgRdnLbIPR5puUval7zLIzSBIbZuidjFYlGIym/DN4Qr/q3iDqkW1dEwWs ob22qZcFSFK7uuGUDv68k9FYjtMpxFJ0e3xjy7kLKVKDPP/zTkWrcvHRDPFIxq8uLyDOUBhODwqv JbcvaA9w+ApAz0QhEG6yKJxONK/O4u7n/tz0MLE82SaUiqHTgJ4eHTB4j+BPJl7HgTCUEnnnoTGr ZylISiLrURJZWFC8vlPm41Ehen1d9YAgVFT6bYaieBqXOHqEy+Gwjskrg0bA3GVzJyPFvHPQbix0 orY7eFN+zJNsQtsNqJx5rnpyYqDggyQz/9Cn2HcZmUPvHLSnBcZOqQaDU51wiOcIzPA+7xQ0XLb3 HBKNgeAbv8neGWhYQ0iv25zGHuafYur8jzD2zg5gfCegIV3KXDyLEA8ONxjKJxwv5MP0EznOLklN sPTqpBpk82K9DB7e+WeM2clhJDVEgyYIeGYtoT3X551+xmX+Xfhg52mSqs8Jeg+nAZms7A2q8T7S 8vv9bDKGkCwrAoOpJfQeTmcDv+Sauw+e1BccPz3poO6su2KToaTbCbgslH6y0tDSywaFxcEwGAZV NmiGBt6Wx8hK+/NOPavikFntDK8HIZOKL7YpCgPqsqmJZbusUaXcdll1AcgNXpnW0HtADSd1XGIn e6w+eNYKwSqKFAAD288e42W/IaSB+PeHWiuPlxO/I5ohHtSQk0DWilxJ7sxj1c7CxXnP/XknnmER 5e92IXCBZd6A+p12xhswURvbJTK3BUaIF5cK1nkyEdEMvQfUKhw3lPeWDxYvnmC8It5dcgmRDTFn aMICnYmUF89IDPm8k86qhORAURRgcTyAxTPRxEacsLd/3jlnzK7q11XWAcXrt7PxzjirZrnXqETM g1pkT3oS9r3vMbStdPZOOGPhg2sHoEsbbILOGQEXMNmonCf3lNUBKjPlXHE/8Mlyy71i7xotIcqr SV19XnX18wNu+RZBAeTzTjdDuDm+A2pK6cztWf072wwriOJqPW9HcmQ/p9tVgQZg2KKhd7IZA2oZ 3rFAVOst4M8KZZvEuOwEMAs9DnGtkJIByFP2A5wilOOdbGbNLRQVgY7RoAk6m65XZeojf965ZvVx 3LMJ2sQD3AkK0RydGI7thnIj+QrqLS8/p3eypvM704wTxNLZ4EJq4xaoF67v1KjlfOK6CQWAwXMK lT6aQeYtOtPm3PFdowli42fSI4SDokWkSUWsqMJXDLZYGl83fUHyRAKsJiiKp0/UjC3WjSBMWxMG 0Sfw8gk60fy2i+ydZVbdAqMW4l04+Cl9ZvfcY4JLnTO7J60gQCHRW/ohQsMqZ6XoXaOUDDOzqXmo QSuonV1sdPzOV4wa89/9QwDDzgr2UOidYYYJ2lhByeYCnUqHLVSRFfFnoIxjE/QeThuVAwJg1Qdb QQmFSWKhqc9fxlbytiEf3FD1xsYxTVWqX7zzy5hvMKmnSB0kIM56qko4TpbMlOoE/uXzzi9j2eM7 JYPuUQF822YoCqdZWsxK4KU4512yc2T4DJlvfOfnBAkHGxxyN9dgh9D8/4xdV5IsOXL8r7O00aDF /S9GuHsEsno3o98azYjhcLIrCwURwkU7NzmVc8GfAWaRu7HAEgXC/T+XOt2Zsb4Ty6pQ8zicFQ+t 4ZfYWUCpGNBnft55Zb9iaadMQdLcp+c9lhbWCcCRZAtI+qlaQPOqOWx34XlnlVk22EBmnT5cWNA5 P/Ok3iwqjnVT8WIPok7OcQQ8tjlgEK7xeSeV4aJELHB+NQqptiukCt8XGiniUqyfd04ZVkJaXxOE A7agpG0TFMXSHS36Rttxls3kC0JMWXOP3SmPZySs74yy6jZFRUXDUq402IBS5FySdj4hI2RUMEHw +GN5EdnUo+3ct142qptlXV/bBzURzxwV2aKuyXcMdtivax4aPg1SLTZDUSgNTBfoUcUqr5dpVwqU zUzM6YbS73Sye83nptq0MN2T2UbrK4FYTMTmyuhGIGHF8QNJb1ZnPaWn49bnnU1m5XdoORYW8alU yli6IcFh3HiOu/55Z5PVR7JYUwQzxibFYk5RGEvXeZ1jOygPw6XTIOl5K2cO23ynklk2yaJr8cGr Hu2cqDkrlt5ACZFXPTNdOs79CE6/V++LvetfZaHB6US9E2UTzhB0nFhngYHZ551IZh2kZ4bQ+K2y S+OnRsE0amIDxWJHRk9H/Rb5F3VWPbLhhN5ZZHj7wjQDoAsbvHxPL/Um2O+qzfyJ4bb6wxrRTpcf 1YmieueQ4deU9XlmTM3By0KtyTz4fOvPO4WMrzi+0rFarPhr+MQwmN7UKKwKoXHPOB1xUhcfczWT UGYAKMbBNGFmQMDb4AB7MlW2kaRBt2dpsWFDdfSkM+XdtIZoh/J5J5ARjUAwQ2E+Nks1YXD0bgu7 iIDmft75Y796ZFbrx3nvKf07fYwRFIrSm96wiWZOwCOCGVXA4qwUuZqwN6mcoSiangLCQIvShlu/ B2Oo1mT1+yLnSygjQz6J5czbRASB6/NOHvNYCC3N5oOKHgVtXX7pc2B+3rljrDp87zGqWshChRMU RtNocBSG7v8n+YZpdg5daimbaDOUvRAKvTPH8HearM8lulMvYKifTVzPrHcSWbB0ypR+I0pmC9E5 lMcNA0zNnM87c4zNBi4hSqxqMCLLOXMF9uhjfd55Yyyc7a98A0uh1BtOv9PGcHZhCeWVdYGd5Zrd OrbvdPnjCIx42b+zxh58fZPdVbt2VygH7CqTA9SQobXDqwzLu2GVZsp6uufe0MsGlz0B9qtQtoGD MjLIIG5TJy6fd9YYY4XveJFoD1RzbYbC6vRALaiVYkUPugyZa+OyutkJ4z3heKeM3RmqvVQfHGOP FXUiV6askMnIUi+WzDzJLMVTVgDR+K5Rysp4sVABlIN1EU+qpouo1Px5J4yx+u+bjNEzcWL7Aobe +WJV+hCDJrMKhwZTMgWMNftBLQvrxL8TdTg4Q5gJG7zPCoY99WQAtB8pdwddkZGO1XoNwYgXeieL YYJ2YGt5QglG8PDK/rxzxSy1+so4ENCPW3l9p4oRKSaWb/P5GeZrUQzBSPmBtO2mf2eK3T504+Gr weaHLdBBwdYzJwPSu6rz4wU5bfPaM3cqEb7zxKqUakn5KD5oBQGQrS+4Cx8P7rH6XRWiB/p8FlAU T2dpNme31iXpVG3WWrxsdk5XQ9u/c8SqeaCTj+mDbzHooll7A4a6Y+vG65wyrKJ6J4jpzuedIsac aiOZR6/HBk/JxpwyJurr884Qq4+ChTfq9zeRpYXRNNHLpQzLWZfTwgp6UF702E6BbiFsmtTnmlR4 TbfwOgDzANOZUI+Jm1+VaaaLDLGAFlLOSjNffEZw0Qs2vcSCWpcFdf56ZsOpQuirRcE0OiBfFz1C UlqOaYLCYBoNMpqdq2yGIroJyLfh1h8VdVOtoKgyLTdCZO8+eLrRAX5MihXPWoVKKn1mgOhASyTn dUGLdkpH0fTSEoLBmA06hKCSPtUhS3w8aNTnbzAM+Ptl3iZrj6JpQIKGhDmxhBIXqxgtlVAGmhEl d0bt79E0Wis8nde8gx9CaxNgzsLiSVTp8srSNIIQAn9uj7WzbtajYDoRtLhHv4MVFsFeVDV11E+P gulyofcy2cEm5+mqGYqi6SFXwmIzVPJFl2eBz7TJkpVeewT2GLycIA/jg83QRsgyuowtz5rhTw/A DNqSOK1AH7WEjNTlT49q05U11znU5BiekAFUKw1qKJN+ehRN/+ZEseqbLtajh9E0j+nttenCuF3H tP9Lag1Zd6NHtWkVhqjvYoM3WYEDBw+QhSEAz4mcblAL7Oz/UwHNuLwsTvcompadVSWj6Qyo+lMz CA7QagCdP17wfMA4XPMrnKaOP6J7n6OQc7gpDrOTQapGdUjVWTgeT++bcfQonkZLGbtIGcf8oiis jY7QZMaBOmWnATGpqo3spNof0BnFKnoUT5vt5396WAM+KJ0YaIrj8YiUOf8zGqrJM44e8Q6BQRhn zSbLySorZpv1VxceHJ1VOG6zGO3BumJO0we/7IFULI03R4doZaaT1QR8AdooPyjOXKGKrXf9qw9N hhUHkXnOrbbwG+ACPyc+Hg/usvFd94DTUn3q9z0Op4VgcNcB1RkX6eAuF3u2GVY+l1BIPhxCCsmI uK972Z9sCXWu3WWIVmBDiKwe4GmoDPzMdYuL5GfhM4LLXgoexbzj3FmvnXdOkuYo+A2jePo/snr4 HD6wxR4WqJmR7Z7MV68Wz+pBdnKZeOSgmqA/4+kT49Tkg5c9zj46L0L+4Un3Tu5HggI5HR1whgyt b2+SUbS6RwXqKqPPolZHGZe82qnGy6x+4vEIc/adsyJdqCyaaobeA2pkHKh7iMPCEhEMZ2jP2Deh eCgMndDs/Mw8qSOsR0oqUAvY2b584uEATVMUKhWd0I5alQPl5Qb1l0F5QbHsWJ/uUX1asPLR5BPT 3CdmoLVhRLI88XiQ1LdfHJdO76obDUXY6Y5j+vzEWUuIPGItobmTWzNSXFRLKIJ69MnydBVPoUpv BIfQyXbTrqWT/bwyXOM5QVhzHVEmLNa9S4Z8E58RREPTPJqLD1YXKkYCgpQGHv8XalEhAqorO93L PoyouYTEiFq0+U6+yYj1ZumsU82GSygqTy8W8POWJuyeTwH/pBywHM4snfU0RJMatIuliVF5wB40 GceHBFnrEn+eXSAOBuyE/SY3yUgNj/9rDW1OEcge/SI7RxRSQ5SCdFxLW5vDOc85ZARXgFOTVYZG VKCeUzAPtVbqumnreYdVp/L6jmaNq37jfYGsEzzPPHTsXaMukPpk8w5aREjnhfZYOePxIKS+TSDO ENCR7QaMI4qoQWoBmdvBwQLB4iaDi4sVPia9kskTD+vTwpdv0RH3Fx2RAWN1ZROAAQjAR/zF3GQ+ VOhFf7jxNxuxTel2zXa1l8+PKVvOEzfi8aA+vX7dZIgwQOiyCQrR0+cgQLJqE6SyOCdo0uaSrVYK V2qCovp0Jly80YEKA8NbHkMDRa4pzS6EneisNrbOfkjsoxuQXfXQpsdnBLhO9RGTYENpmicMicJk p5+QpOPxqMPxHU9TTmjei2yEaA8yFHq5Eh7LU47azcr6u1k/onB6qbQ4hwaeeoOx0IkK4eQhG0bc idIZxCnEU4fqWXbRT8xPCPaYqpxZ7jo8aZ2wWVevfjY8Hgkx1O9YEbXfdVlSIwqmkWwNnuk6gtK0 bXWy+uvmicPP5icKpqcYdm3dwWPF889ImAjqXBBSpxHKhIw/YciZjjnWAqL3+Yiq08RMAwfTOID0 pNMEYQNBh+Pk2ng+WkLfqM5CifznlA7D6cxmfTaxivMrO6qz9OYmXmUty1pHFE4vgvGyKIkcPGs9 s1N5UyLf2NhzclygIQD/837L08neNUhaBXhtVHzjYPfYifdpnIvMD4//CxAjE5iqPW4TFGKnEU7j JNUeaxSGE+yVuTkbHFk21Yl/5/0QqgwWTzg3fHDQYqNB4dYEJZRxrj4BHKV+oP7mPbJe9a5/gBmK am0aNEGJgBKUw3YfeDyIhdYNFvlukMWEU7vNUFSfbrrolzPtyD5SAb9soyWOOtyXakT1aWXzhU7m GFiQYMqKbhaFcnGPpXPuyEMApxDkWX+g43EdBc+fx2dEoRBmiKVWG3SPoRMnIthaG4//q0fGoACY ifpMUBhOL/ZZi00QNTONzQr85dVVNEDMiMNpuSsIhV8eY0po5Jw7sV6fvEUJ5omaSmXnM897UG+t oTCebtRVBiAc8srnpjdwOawz2aMYYy88H6EZvIRPM0E0yVb1wtAI42lIT5a+BPI4KVnxNdQJPuQa wnWkRusIK9RyOC0yySuPSR4kW2YaEjKblJ4mlYwGVtQTh8KT1fC3VHNCNmKVuIfBPhz62oGJZ3gK uWI8HtU95vddRo7f1aOaYYW6klbfhTY7+6l6Wj8lcEailMQ5zwzNWAePN7iAvxy8/lpghzx0Du00 KfTZUGxHzFdB3F4XdsaEY4Zwj6J0vhQfFE6f854ctJ+TATQ8HkxQ++4jIk8Hr8onKAqnoWgAmxfl 8qALLhmZwSUX/4hdtoAdZko2wwI1aYhZOrj50cEF8HqvPWRvDr9d+VYOFT8ri+K3/IrCJj4jSloF N6OGuYm7U29yLbY/MUEFj/8vrfrR2J27KygKp4FRxU2pkutoQkxzj6XuanipuHDpjMmInJk573Cp dvRTmtu08PumXecAwqTB4YdCFMZnpezADOvTAp1N4WGm42GoDEb0RN2r4vFogv6T4dLabbTOKJzG MoHeqsPvkycZEFh05Yp+1ThnWJ1mo5U+9TZ47RXwiF3EiD5TAJ4UthiEDgokrZDMenGaqpgzBHs4 brH54GdQNRX8c23i8X8ROKRuiiD1lu9nFE8DbXbWjbF9Rm8Xtkizdwd7oGjBFRTF00N7aylYXDdY 7Gue22kDHQkoQ5mDkXedSBEq1EzmfuD3y9412GKs3gO15oO22Dksm4zezj2Mx4M24q/CGR1UHzXO GUbTE9uxuPRbXcuvsSWRD7q8QaFBExRhPawHlKVbnu8hfdbLZGiUVZyGpD63WMGhhZh/ID+wYJGV xRlF05WCgVOWU/NaTvXz+rz/UOweePxfhbMsIzyKHXg4PSO0xyD8ngxmdoAk57ElHWj1D7g6WnV6 RtVpu8aSJezz5huAkowGazOE0+foyLQS2kmqIydlxfd28eSid40ardKtoH42Byt6AE7CfGOuhseD hOweQpggCI4y1bQJiomIBMFsz+n3SrbH6khORDxrwjrRM1TIo74QIkwfPGfdtcDeSKhFChxkTRD5 KuS6XfcEenHMqDiN8B5rh4AqDsYBOgtB9txr8/F/AapYFYKA17qYvBmhPSY7ZJoW1hVL86KHrBM0 QcmqQjOKpuV+x+K/DRfWeWKtPTlBrUCBYMgoFwWZQXOWfTtkVKiaUTDdyPZF6dsHq3qcmRUd+pz6 eDzCC31XPXDuFjQBbYJCHuJELK0VRBB1tvAQoNnkGSsUZ3UIxcIeg9QWzpPs4XWN4VTu+O6Ew2xg 7KVwIVs+9hguD5EO7zPUyZtJKI/sg+GFcLxLoSoXPP6/wGFA4ajoHGiCVhRK47RwDcpxbcuwxeB5 bMlGuTpwKwJ7jKIea7/DLd6D9GMy9yXvZmcQQPgFhokQ1fslur3CyjTRnFtEzX2JmgVNViaAqInj 8UjYo3yf0mD213YJ4yssTdd9nTeE73RtYCin+h5jZkkdyjCW7jK81UVfvy76cxKvAaoPIiGwaGi8 MZvEgbeJA6s0jZWOz4hK07Isl15ec/0lqI0VLgE0L/H4vzS8uB8REKR7Sq8olgbS+xxC3twopPeJ qZmWMzU7isKaoBjsQfEcyQSXzhO0KZaGMdmmf3JviFomTbsrub4s2uVvunjDZwSlaXlLUuNfg+0x 6FRvxdIDj/9LtYInOvKMdbHlK4qlO5maqRUvmyUPFS2WpsZZ8ubGCpHTpNlR6M6Ge8+f+KRTfQcx ywnwZKvU2cEDJr89xluU9VhhbZqKJ6hv+OBFodalLnQmC4//L4cQDVzGrU2vKJZGUWQUmSXva1JG C27q0EniDFxwntIr5CE2oaRK9sEmqJ5NNdMm+B56cBVc0Ia6AbKxs89wvl/tnKl3japm5NHXdgej kaVNbRtEnBmPB1tsfaerhL0CnmATFMXSaMKgeG/i7WlTqQITtEv2suKU3kDn34lgr3JsIfaeg51B yDPOX+ApPaHNQ6ImTL7LmRugRRFEmLFNIuJsRUiPRbets5+WD5ZtbFZQsW/6wOPBEsp+CPH3Q0eq ok9lUxRCp9lBbNuVpqX9yuL9Xo6FGcw3ucnCYJolD5B5fbj9jRM9ADfHLnRatEqCGxdElREnohBk NSF4yvMzghlSRk+OHoahBtnZYKszI+/n2MXjEaHei2YSjIOqx0UGr5CKSBPgNmSKABaZr6EJCJWA DJscJ26yEDrd1Z8XLnqPr+4GyJRg0OEUYrLBBhB+vIouEB2KrGhGZZgVBdMCMvy3U0s6e3pZ0Yyv GBzT+5dYJzL/p4O4Qug05JcaDYJ5TNflEl6LJo1KWIEf1gqKS9PcXdU4qvnKL6EOBLICNc7gjlho kdBQUGw0zYViiXqsJeG0xIcEcqaiscokYV2ThJFIWMIm66vi8UgS9xtvRuHqdKXuVxRNQ1AdF7GL nJEhp5pHv4LlCONshsJoWlnW5Prg4GChMxngUgIZjPiH+g6tQlEQPDtikJ9YaAy9bNQAYk7PlpoG 5fQFPX9e1Tvz8fcZWr9EzsCMp+y4ZmhH4TTKnmf+TeLkfJ9rJNFHchm4isCMsdCOKtMjC/EqE5J9 y2Z9o8mvi/2crFDtJFoI/FMmUD/lP02kdxROq3c4hFzkoCXUIXfFfCMNPh4xXO5VbzIwRIHeKXqP p1Fuo5q7EaJGWt72AYt52RQhJ7MpCoU9hHBZDBcl4+R1oQWVNJHG50kOEmvTMNz6oUoGjADcHJmq 9zsET1cJu3Oz1YczPmCZoMN3DzweZRz7+6CGbCos4G2CwngaQnm9dUWG51q/4OnFm5fnUO3DJyiO pwmCWczMOPg51NBIqurUnwNpbMEbLUlrP0zVzcQOCxifEUyQlYUkRvmIC50lPhldFERDO2Qi9l9d VqbR6XbIdlicXuT+VCt71O5ZPZRZb0oGQLdmKMR6VGYcmUV8Dl72mOcsOpt3KuM4wbWuMig0UU9Q cp3jKZztKKCmuVRJyLBtsLpQB7ATHzf3xOMRhWN/HdTIWc+l4QH1jpHTiBdLW169v8LctXc31yb6 URMUFqc1M8m60feunyciQ+O+s4V4dlY3r/LJkhCEvr+OIVbvdyjtwbt+tmfQEjrn+xIS4QReeDxC MlwKh+B7mO7Lqd+hTl6vROS56WjtbttSF7MPzhA9TzhDf2M9ymJqxsEReYAQofqhDtlk5IVNRhUl nKrzAd8DvoHPCKIhUjgQoPugGYLehhpANS08HskLfRPJUDJs/ZY9doT1yFLwuiJwfutneE2ZgteE H5ZNUIT1gNsdoFJ7+OAsoAlbgCK5TsSHW9ruQIPzwmdrWU3oxSb0jorTRUgqAI5ssD22xVdWC3HH stOXJsWaA6pmu3htcYdYj0QJL68tSpVDS8jJU9D2qIao2iHWg3oMFXI4NmiGFv4HVeClrDXjNsNd B7mdAjWFfvkbhU6C+IwgXMwUw20UquJgSwhcekmX14HHI9npW53meoHk0b7V1x2Wpw3VmY0nNQx9 BvMhXvWE38NuTiYlcUSt3SV9mPXow5zDDF1aVV8RGlUpMA0cTNDKhXiPA6r21Lv+qTDU1QLq3gKi xZUMx8/Pgcf/lbWyT09pkVv42FFADZzLKPu6+krPtLFPT2tIgsshtaoJiqAeNDJspakR1NJTv4eB 4zQDhYLm4WJlaKDBgXIJZaRdi7LpXaNwkWXXROI4B0OcgfRGkbOcBh7/n1Tg0DF7VhA1loKkDBf8 XlLxOP9U3NRvOuIc0h7LCvg5hdoecmJn/1uDRdQnpkaXj1N0siozCDjpMUJ5pDWZQvQGGKIKE0Xj ggyJWmaT4rhn15xvm1X8aJ0OjXCsmPwDEbjze5qwNZp8ITVNUZEaxrgnOJGfDSn2TuOgtwJEP4Bn ALSGThzpT/dD6EwOHxw0BPJMIxoYNz7qmfStR5zagarPvMitkD+2vW4wTQRWTXodabDs9cRdsrw5 4RufD3KPdtWD2b5mcHVB1BKPfQ/G2C9rpmEBlsGPc+6KC+Hvtkx/IKcQRq1udHoGrzRChanT6BiC KvB9rdRjAt0FIo+rPbgPgmAJan9fC0M1xsxCGiIbNhJPhpyplE6i2OIfCGKjkr/yDzS84N16Zyms Vy8sJhYgWAhJt6CfVnFFJqAY3Twp9EMsVDEvctMujzPZSQ5Q++a5DXcpoKBZbkSmtiE8xf3maey2 1/2L0jFzvYMOphM/VnL9zm1T+HxUs+5fswTiHOww7ixFMTbqJdBOLwZCgzSZKcaMdUWHkqt14w8F s5Rk2aJ0rV8x6gHgM7j6EjQncphy3agad9Shc6KRNjH5FDripwSdjyl6a+8+qBzSC7pOOL43fBVS CAIpXlMzXgfdWq6zQgpL152Tg948A+3htcZykgUcUpvTBO6bTqawdm3sRCKCOfgdt5F6VjXx1/lG m5DYDv/XDpjO/tKIYxCAD/kTCLKpbTGF/UhUxh+c4LM00ubzQV3tKV5zSvcPxdV9kmLdD5gkg/Ep klltjtfrk0rU5dFA446Lou1pOnFSsGpXweqkMmdqNkGjqPJCaXJQlXoXrt0fosxMq3JMe9tIoEkl tbR9UFUEF6maYHPrJf/F5eSxRP7f44OTQu2PIqdNSaIAX+eW7IVK7PKgQI3SDu8w4KaNSUoSz0nJ c7aO0hesdkjFwyKT3dQ5p84DYG305lltoZUYPyTCg2TX9+KwrMZ/8r/BnORkzY3PR63Gb+2GSo/h r3gpDLlpR7qua2LafnaDzGVJCXyQ/OyOBEDKFoVqNx8cuJdOQN1hIQPYVUdLgXkbqUOo/jNnMzk9 uSCnv81eynoGHd30qBQTpk0+H2y3X56kExEJQgufpJixmCiYa1yY1orXj7a8BagBojOLkxQVsoeW ECS0bPCjG6zXLAGHAcsG2ZkMCB7Rv4BSuNTvLryx+CFBBalYq3H4oEnaOJZ4Jg2GlKHjS//uhgB7 B60/n6TQQnGyQkJi7ZkkCAX5JPVUXMKbtumapMBDERUAScms7IOXSM4vXtFlZpUtZ5p9kl+9oNsx fgC6cNKibJUCE0UXKNiD7mUcrARwYmIpDNNEMXRRHPsXegZnF+4Pn6Qo7galya1M5P47nkJbcewD Dbs1SRE6RIdRLZKKLeMyO6H9keU1B7nwtMzWowAOOFAAqON3bz/HPopM4Sbs/WxQjjvQuxP4dVQ+ H4TdV4eZWJJJdNN1CYx9FItgId3KAPSpVhkgUVuv0CawWBkgh0aKi/FkJUhPwwVAnGVFNDRgav0c 0VvOHjgf2Kct13y8r2RvG4nrMXerlKzulJLiJO0kddBzcNfB56Oi/zeSrwCKMm7RPwdeirDxRFN2 bpukSjSdHEtTNU2ZmTZ56F1/KNhubIigj+aDl5Mg7pYT3V/OmX1yNJa/achFfDfbHFbUHv620XYT hbEPHwzMB8yZ6etVPv9PQRAupUY3lOdQCiX2wKysVAhm5X8mL9uOsrbtt4Xypu23kMZIckwpwsyW BzO7wPRJJPmdQ2mhrMc2P+vTkISnEvNFGw173aDRP8nEnzKVntmYsGWdL9vU6IcrZ2iqWH6rXqBC PK7qRQ5MFZNMFSsRX1xLfVrrsUyuB7X6ERrYWgpjbvmZsUGt4RbeTvqG2Juuiic0rnIuBQOiomhC bUo7lSgtkwNbRTmSgScsQ6G0XUju/JBTlnYnauLzkZylH93U30E1sT75W+CrCJAAipO1O/KxNMen w3zsx5hoqDeZA26IGCHsfE810STtxnByAk8McgxBNWfGFitLVXOFK5jETyfrFXvdKFQiWGQRd8TB SiY7ycDrTPvm89HZfaMA+Zohc6zP4R0KhKAYUIdZUZ1oLzUvwHGnqwDHX1fTFCmEWP6mGmV+apS9 AwI8szQbQXXowvGDkd/Q76flkmsXVHvbaC2xCIDta4MVKWHgqEvLXjJC8vu5RNQ/cs30JLmBwSLK bzQVciF00DZUADjnZDdINuzGm09SWOlWuP1fCLbz78n+JwZy9HVWEzuSjaQQyum3R5hw1mGvG4nF q+4mTbDqmmAnfjW10XPuZD7/vwh9U3VirSdYCsHYi00A9rCxqNLwBA5yr0p4T5ZajLaXY5tFYqwL 1IZt8MMbqiwshVBsBjVKkj+pDoaiHMkr7kPpbxs1lXgedbZMOGgpkfqmLTQbn49yEw+W+B+Do9Su AmgOnRZxfY8s+XxwHvJyTaei4IA6Busa4oZWi2uYqfL2weslCVXbRgjJyYLUXQNDluJXkCvq+QFD +tsGpQCtpM4G3OxcF5TkgZcTZuzE+JnPRwJ8fsHxLkNttFyUTQ7dFgFsh9CMraQyLh6yI9JTvQSZ qk9SDMpmMD2FkZgPRuKE9NAtEcFxL3D5NkXjwQDIKAvg0tZK4mHMD/kLlQ2Ckg8qKrU2qf4CQuDk 80GB8q4k6XsPunvc/RY7LiKkbL1lm6U97eiuTKdYrJwqvWiWwribWUnqSnP7V5rbcZ0xX8vUsoOG PHSukeHi9XDj6VAqBNbxQyKiLM/syf02waFiiwlGBMUk1M5dxD8QxJRX7VJsa6hGj2eWQl3rIaCW +krA6DkLC+J2dipV/MY6lQLbRRQDlJjpgitPE24CDpMIXwYeFt+IImHIgwZaEpmtfRbfIHphrxuB AZjDJZ3dEv1ihRJ5Ji26zoLl8xGP5pZMOL20mr9svhxaLwI8jW62x5TNQbV5U4BchaXkdkM58F4E ZZYprpgi9Ysp0jddcgubueCVDaodJRTxC45Ogo2s3U0ptRx7LxLbNne5g+H8p5rQaFZmPh/x0r9J s4gDyhw3PQndF03+oW1DlUg2pIuwdiEB6xaWQvvFJYF9KIjb4Cjkuk/qIMFvCMxl1MlQfYMMMQV1 CW6rj6ZjDv0XVQ4ANN4HlQP2mXrdcCf95fMRXeS7RIkbpvZLvc6hA2Oj2fI0UZ4xugs8nffv3fFt l1CTAwtGRyLXRtshDh4GQCMjAUcHC/BzKwl9kvDDnLQl/1CF0PomNPHMoQXjkHwzrOFtsBJlIlVZ pnp8/n9x1snU3NjPqRQVuwdFeHtycMmqXg6gY4BV3yDBaJMUwrUl1COJRw5WWDp7DLSXRRVe4Eop 6gh7cxKzzinR7ySRTMgPCSaJWli7yd+rXX+vPbHh8HmsvoUujGV/bzean+3rdZpDG0YSa2oyRBuC josvmawGEF+yyTzq+kNRC07SoCv78ASUqZLuCqligG15uicIfRXUzHK/CqEgs+lDggtO1FBrV/bl eS4IFfKlaNxuIcRk/FpJUKjpX+W30IsRr4yT2w6lWpwZWlBJsVmatKDQLP3tbp6a2rnttnM77FpP KCP+0SrlJIa0aaL2BdD7KF+yXAjaX7e3DZYS2SOTABUNOpRwwhHNBwwCn4/UeL8Bk8D0Vypva5JC P8bJnvcNuyH4fsH/rn89IS5g+y02ZFyqdo/kgy+lBtm5wv3W4QxbmOQlKOfjevj5T+B2Dg0ZuZGg A9190Bx1dNM1R2Py+Wi7/Sp2d6lF3TmKom5IVgzgqK34xhaNSpTDgUtjwwra5iiKupe005eKb+sp vnUI8nVbSGjGZKF2FlELqOP2Z5JGt7cNYAGsvW1KpmjQdmvnWJWqQ8t6yQg2+Q2eqDyO+i0rxbaM mRXK1h08Mdzxa87l+RtsSCzoDn0ZVedPrQwfbLehVpILTyAo8e0q4GTFJ3eCGah9bQB3NbxDZ8ap Ju4mPpCD5SYpJxb0QY/n8/+LkBgFpSFS5LMUQkwaleWztykJX5TFMMr1V17EA6U/zBl5JgE2ZcNN TVZBmYngiQ0pMaYmnW7EC/kjTm0FSlRe54cE0SS9QCbw2jYYU+IkNfyKiGP5fMS28XqJ5CFxZtdn KcX2jFhKZI0L5t5cNXS2bi0UMB08yw38GVFVkilRWj54wxvYpRNqTekf9A65IdQAQAKAo0NWd95M UJe97l/NXPRlfFCkhD4f/4+TRE0+H0kd+SzhzKeDz3zquIFLo4xEYPsipVmoIZj5F3TxTKt3AF5s +Vto02hac0UOTmTmWv7Wy7nFSGaF5RWSQbp/gTyCGOoH0FIr467U7W0j3haP7i3Jmm0fIlOxYgTk zOf/VezmKQ1E6Oo3UgqdGoG6GaV1s5CjO6kXu93dG7Jr1ScpAphQCAuO4MkHh3RlKLFvKmFD8mJ2 kts6tD4ajAixU70jsLq9bdSCk/dOyT6oPjlbkcveuYQGn/+XdcpQbgx3pOd+i7WwGxmSmg+477i8 YX7cd2SIq/0WxtxaSWtPHzxQOrH7mQzeb2fNov7G/bY7saZQXrsFE8Ba7XWD/Sb+FtFNGnQqATOk WvdZGnw+2G/zXnDq2BGa8IRKMcQE20xyhnQKWV7GrdUhOWBPerE7dmwUdUs28RxsLaFGsiu6WHAu AFaJUqIVAp19YW3y2rYSZW/2upFP0SJtgvwSDlpM6MoRuX7+9+LzUVnpmwgI1+sfGgb5NMUW6Ai7 F9NY4ikZO1bpH6HEvRlRur9DDm0b6UoIO4A7aJrw3r1nlkfgiYvkDtFS6nTZQuOZnUULl0hTyoFx IwKBJqDS9EEH07kqRZeEuiSfDwKB+R0IFIqJPoFAaN2IrQLBTKMI5EKym/Lc5uIRNH5XIBB4N0oT ENIsvfpgeS622zldgbIVNgDgF+okEeWzUBHwPJe9CX7IX6zbDWE9GxR4FzdIhSY3n/9fUjjQf8HA u5MUBd5DTtbJ8tw6s1UATqraPc/tMjfo+kNRIVdFN7nF5y+3eAj2ZvpLnbMD+p8sGwAbz6OK5kGW wQ1FS4F/owSu0XxTPXe5HBvuqSnh1NoKnw+uuPZtT1jww5EDY5MUBd5wB0FCux1lMrwY0OjepmJA cuerHFg4XjfiwdO7jHt6o60H+75NSte5jaqOpQ3pATg5U2jYeZOqvYUWjlT5yWh9csC2ohJvRf4s bHcqi38gUqIfX3ccqfW53/ZS4OIIQSRqkRSjcFfy953k7sW3BEVC229/2ziWke5wi2+pFxVt4fl6 guukuhIldE8MuS/vbdyX/YuTsxB52aDtNjclqdAKAXwitHHM7Rd8Iv2uvQU+jhCjL7S9spa3QK1q eVOKQMESTbp5wf3DyDF1tuA4+AWX0l5UH8Hm2hCdweEOOxFU4+cP3RJM269te9uIJaDam0TFm8EP oFm9NjdLPSuVz0cLqX6F3YIHfi2kkECJZq5sJRAspX3l/baqSjQlRvariDLwckQVl/caVG5t8Ihy 0Ly8WAZXOzXZKwhOP/R7QqXyIt+WvW1AXnL3meyDQZbPOpIL1vkAPv9+cK9boKRgPkAJ+aHlBH6O qiue9bOTNXNpaiHBhJWyMykgxW4rKQq7+5Kdg8Lu/YTdgHVtKEsgBigrJ+CGASDEaQgbFlokOi2H Cm05sHTEPTMILlnbB4u7U2fCSfoIn4/gSu1rKWUYgcC3405TrPNXb4VysMSUbJp4XlvNhEUgTVOE Mak6utfOPjiKEjdlt7WUQA2ZlM2G4hgxrKylfauQ5cDX8faWGuPKqRiSG+6kJ83QE5vPBx2B/I3H RXO37ivp+3kNlBqifTUnVXIbSUZzEpFyc9nRhhOWX8OkBq1INksW4W8SCdQMnRV2AiMoUzDbQaeb hxdkGqvK3Y96P5bx5zVIateTr6gZULwZUGnGyoLjWfyf1xDpvOC2NVR0ZqPtUunIx/s0mhwAuunZ bBY09eIBFrU2qC0wXZjkNTxqOIpkWf8MjnkHZsL8+MTlRpf5hJI4e5E1ZwScEBt8QO+vs5PIh37R Yj1HQ5Vhz/mgE2e/zo7Chmd2AFEpZC5ydl4jo/MQyp0DYGvdZ72bo9o5qnezf5zkwnF2XuMiLB2S SurUCprpRthrwb0zMVQ8+2jPLGApum550VHkom8ncdyvUVEzIjfy5O2DFbZhIS6Q226f15CoIYUZ Njny551wTJ02Oa8BUTM/UJXN/08G1x4Q1dQuzB1FSU7OazjU5FWHNSOEciuP4+659hPiaQm2nNBd fqnQt6vUHxvX9pvgq89rNNQQzVBrow1KsDYkKezgn1PfFCkhJfh5DYa4R743Fkl7vfjSeQ2F8BA4 VFu9WhkXuVtqz4+QRHc6yWsghI216aaSWaWt+anSno17JqFQEgnOEXXQo3CxVwY3ZB7Qtq8aLb+j U0cNo/9ibqH7n9TMPvH0axDUHpvLIkoSaT7Qm+bkvIZA2Iybvo2uspEl+6PcdbhGNu4YswENJmcO Qf9ll1qutihKOgvGw4P40QZ4xCTINpPDDUseoNB5a5XEwuNr/NNAuKV2xJDj7nBt0YoqnazPzid/ XqMfrAIzs1a62KSJW2x2XmMfHORnIw0yg9UIoWseL6y9HLV9Tp1q6KPXyKe53wydm2zwMxl5Su8q 8J/NTgNNUNtZZzxLjL7ClrLKxCDaWOrt11x90OScu3IyUjxZzPi8Bj3twWhrclAGKDTX5OS8Rjw4 kin9zP+Gp067gWHhIcCIRxILFO6NjmQiINj3tsGb++d8aGtTfv5EGCCvEcWOpvH59wvCCDd4nhRB eg138APQbu/cdMsHw0GWqdC5nT36eQ127A2/rvNt8jCandcKYxOt63xQc0XRVe6p8xSFqJgqRdHg TJYBBor/PtxW7NlES76fq4A9UgjMbgzX0XNINLuSKSEx/q/VRcwOz5u2KBTFQUXYCqiUeEcFT7/P jiv52exwY1VbTJ/XwmIzZRb0aKxk1q778KrVe2dwgzPRiDDYkWaEsLRt3DP5hFHrbCt0qXAbnv+h dQEgIqjkD+qiX0A2bYpei4r4BSS3umX6ua/pJyTdurkU4eng2BnfwQ5cgcAq8VAwCpRRrx1tOiik 5SvLslOyOtBkTm/kvvDO4sRskkU5+Orp8PiG7GORQe/qZth4InSkXLvcJvUUyPg9VE6Wuy/k2zYY qP8caKzzNuJmolh5PbEyi0cIdsCoshmKomVUooHN2H74bD981nhqQCRJC60ezRDxILVQpI6Dnz6g OxIgclZQGvBKkwJbJeUC0h+k91c5fE+9bHA4F5U3evZBS+hEZEIYQ7Hx805Zw+m87w7Dc6uRU3AX 0XvIDNYDeKFyb+Ii2g7kW2Va3EONMIdfBVO0qUcDsXEffJMtSNbNJm0fUGg2NY3hylPBzGHzS+nW UtoeBc19qFtG5RoOdn2B68a+a0OV7D1qBkF/f59BgK/kGzW/09XuEU3vcVVbi3nsFQoW2BFd6LzL 7kZwRneFhI0KYxy8RLYQ/QDOgOsd3ImkYiuw5MhHgWv2CywL5BDssm7leir3crCE9GQVkvY5m/nz zlVrD9vBYkPeCtWjn3emGj70bO2zgppwMSczL+WuoG6n9KQvmRVaowni+Yx+mw+edSXEKsNK9iCf sNpYAakvAOTR43RQP4vSzZ93mhqPIaygvsgL5WBAUKB5rszhO0uN8VP7ioAyIcXtZqXvLDV8s05F /pItQOzFFXvXdLGxSSkEHUPv8TOKbPSyrOr81KfzcyZhQ25Hqs8LIH4itIFXLhVNkgdyRWDn552j hn28mZhO9kg56KueCLSyTHYWU/28U9QapMJ+5V5Ai3bKQWqGwhC6UT9rW+J+7nd3KWq7WrkV6PJs NdYohu5DU1Pu4Mkp/HZk2IfO9mo7m4s8QmE4oCITt6KPuI5REN1Y19hV/rrVZwi9KjEe2rkWPu/0 tCa7vq8oGsnc1xKKwuiTMKIdVk2bv5Qr+gzCnSXvvV4ocRRH202W0/LBj2nY8DSKwSFoACCaOofs 9ACikqmYYmoH4qi/B9LokNKRELqpNjiysQpqBajh552a1tRM0AxJGOG8anqW0HsojSVUL46YmAYX zSr4lTRZJzdLzrmKYmnJ+LXOu56Ddw7PgQy1MrZXd67SOWzkMRRqn7QvytXWu75PkHRFOu4PGzRB 6LRtwcsgURMF02uP701GJHq9N30UTQNEPuTiiwnq3B3Wx3Dx3lnw22mCwnCadKsTKCwfNEEnRT2J HP2IAIxJ57yQxFan8tp0LVFBiOfUu/4xQQVgRh90CnWA94VSRPs5iqcN2WsThOM2k+es4mEUT1fy Y6gRJJei/BDSip1Ho17o0Dsfrbn2/H97zEzgc4U8Y3G1FyBIGw1lfkCcUdbokllD7xoc05U6tIsT xMHA+k0dIjh75c87G81C/q+bHmWWcWPFdzIaphVuhGyS6xBqzfkMTZF1e2ycEv9OcNM3oc81T19G VxlwJ6YSKM23scAAQLeQFH9YPdOmyBl79rJ/FecncA82qEyWwJtk2XS2+XmnojVpRv8uIpZRPVh8 J6JZ/WgU6a1grqpZXp0Z8iwEZTQHVr3z0Jq77ZUpV9R5YyGibMsmDx8SBKnhSoDq2qZSFgFJVzec WOXPOw/NynEVANjhg9YQ1BNN57C2zzsLjRM8vtZQAXChNo8W30lo2GSQpb6g80G5eouFqkPPTrQ4 TZz/nYOGT6ezA+3ZbPBYCBXjpU22EspcSlppGgbkZulkffZHR+SdgtYMDnv+Xh0+2EUG0UTO0Eke P+8MtP8qRA/0XCFVYDMUxdMLXmCoFRsYFnJ4hhheLis6FkTfedW/08+aq2KXMboPjs07CXwH/II9 +bNKFnfZhEZ1I0xbHLT1sIbe6Wftelp2VoU4OJhqFWUcZ+I/7+yz9oCqPeMg9OYe1GE5ulOd393S mAjYLmvFhdU3fiHtsqgezYb8+VVz8eHWo7v0BgjLg9s3HTB20QtCrrJ5sV4GD+/UMxZphKjmBM18 J2iDCc7C0J7r8848aw8K1tpglL0nyYMT9B5Oo82cL/8cd1q5bmmLVC7mrLN6Uv/OO+NBzU0mqFl9 oGakn59pkeADVA4n1VYHdDI6YtXMpqiXhpZe9v0YWi7+2H3QDKG0JT3ZlfbnnXbGdvF33XWidkZE oGYojKfpVFSs7zWaDK6IOKc/tBDnsBLWEnqPpxHq4qDuOo16uj4zJ745+ZkJPw4EwZSjPgcfUHBA VQKq/mXlxM+IJoiCGIlZ/YCloZkzg9nGjKOf9PXzzjljFczjaVmuINuYzzkU1qY36kEkGjBrbW7r fXJN08pCNzEbKP+dcsbCsbJWJu0cLF48lwwgNHkoHDrnHmGymYwB5GJUolHtbLAl9s44Y+WC2vNo DdmggxoeTjJjOrP8eSec4fGVvuNF5Ah9enX6nW7GY4jdnntQj6se2krpXjpLTu14Z5ux8IGv3cko 12ATNMbmLywL9A20M89y6lCM898hFHfLPURtn3ey2bPJNrFTHBRQT9JpcPamvD7vXLMm6vh3Wo+C xfaU7J1qhhgK8FxYXHAF9S3ntEn+ljk5gkntvZ93pllzVewOpKENjnhdA8gOsvLPPNVK6kuD4Pr5 fwN1vx/g1LR3DRryQpWhd2KDlXjgu8ZzOo/8eSeatUf0WRdZBohKQiH80BDNMcatKLL9c1vyXcZX PKenYxTfaWa37DEaLY85aIL2ydknnG8RUMMZY9LV8hxUSLEzK2pbXCbUFu1dowlimJhq80HR4sk5 SjFZHr5isMWS1xb5akieyH7VBEXxNNBsoyezugBtx+yJwOS34usJ9ZZhgd4pZgQD6Xym5RUHry3C dLIL/NsRQFc18HHYgiv6Q98hq5wVe9cgJevKxdodLGdNSW4JcML4vPPLeEq3XxNEgxC/x97pZZig TVKZaTm6VCg783OYmBq8TX0FvYfTSAh5j1VWpznYGbRT3q029oA6PHaLfCBoM4U2YsbGMTlVCl+8 k8u8B4R3ZVlokoyphAOaDvjEmXL5vJPL2gNNtPp9oTjIPYSicBrVlZHVnaecQ3bsAjkpmqGTnFn9 /p1aht+HOWhfXB8c/JQ+12HeFE8CvRxW0/ROxb2XIThxedN92JtG/WceQZk0Fw5WFYLKowF95ued VdaERrfpccYUZbdsft6DadwMjBBz8hU0L7Zj5it3UYtvsag4LeuUthgycvCqB0wad9UtliB3sBgJ IWUtqJXg9jX7i07V+XdOWXM5pz6IluZgkDJ4L/AMGb1+3illWAppf20xOqLuWxZ6Z5RZtUIMTdXN eB2Y5BVvsUF2hQvzvxPKbj7WksKhdJv0sPMGjJWYuxNzwuSVkE3K8zfCl750nfvWy0aFM1nGjuaD mohZ4jUsxPEdgy02fuFfACtgeVMzFAXTKPqjcGaoVql5CePRDPhBJSe/58PitHpjZavbSmWOyXTj JF4TMkesLM4TD1RqFPFwBuUOACvP6Qn6+7yTyRxcBrkn9sjQcmDGAdGDIcWUc5f3zzuZjJV4P6e5 pRYX87hzFEXTsGUbQJ8aqrV2j4UGmHC3dmbAzXcqGXtAZP8UslZaWY6hQpSQsoEQ0YdO9FCpbPxB 9eJnzAv8pbzn551IdgtDkyIraC+cn4Mw57YyTVYhx5/5fJS0foM9SCXq+971UTiNTx2tO3PT6In0 QCcVjKtoVa8uvrPImgte1s1IpzoUA5Wh8xaQcdpSmUfGQQgetliDPtZOlx/ViaN655A1cd+B82DO ysEKQ+ddVfo63/rzTiHjK9ZfN1lD+dfzjXcGGe96oBnmUOfnrNWxDfu7VjVgw1kFCPYIUYzDaW6z TkILBy8ModbetiwdUgZkTuax5+ihQnimuJvWUCd4/J1A1lymeM0uQdBugqBwwSucrpM4zs87f6w9 hJZvNNUtLr7Tx5q5xzJ2gw/IWUwoGZMZVWFTXqW7B4XqyhmK4ulJPaYqG2IOfpdxuazcvIJfJEpE u3SAFkd9sOPN3vVPREzq2weVPQDmp+Q/DIs+79wx3va37CFaB66HVHyXvZPHsIjYSGT4TjOHUow5 fq7OYVhylJiNrPnOHcPfEVooz+SDA10BCu6JnnsT7jIUfIZpxAClFdXFfnHAOJP4IcFZTXr0Wjyy l4tDwW41C8YF1dHPO3OMVfTvtB5qTCDu+gy9h9SAc5KD2HT6jPRA7If6ZFNUFrvv33ljzzbLPLBr vgYz85wXFRRE1vDPvy/EKp5tBcY5kJCZcjJuujf0ssF9X8U9eAbNUDoxg6jR58z+vPPGWr4u1oar IuQjrXsShSVqMX4dLN0kC676YrPE/gSPyZBn77Sxm7dSgdoGT8sAe52VkFdcc93Ae5NBElVTi+et xNh+3lljjrRHrWz7cBN7EqpPqlXz5500xhbAd0QElb+ypycd75wxBgk4qmuzLgeSzEtE8GL1+em7 Ye3fKWO8SdlknexycLCkA0XVE1CZ6R5CbKa2lRx0Oo/cLtAmZuidMMa8Xp3EfAcrnfU1sjERxued L9Yec1RLW1E1G88CivEeUGlQlQwlkHTnB72sHxcgyEbUeGeLNecdDnF4Ofj8VAh+doKqzry1aWf5 xOWGFjLrPdpipGN93rliTZoiL7po55BuxhXbhY8HN1n9xnbSB31e1Nk7UQxPJWDN8rL6dEm3MkTm k4WLq1ht8Z0nxh3GrTUYE3G44WI/sUqWRvo5pU0ZtBb+GzQSH5Vdcl4/7zQxHphYOrmqWV9vs36j QSlbor4+7yyx/wJVQRuilAsrb2E8TVHrbiBpVNiNZXjyp+7K3x11H6b1LYROF4rIL0ozcPBTGviw DUkL7LB20nyKgpJaWGDqR8SQ0lbINfAzgqs+Ee7BHEWDVYYgr2CehBmPB9Fi/xUtMn5NNxYKw+kC ycFtDgRnKi5ucVb3/YTSmOX1LapOw/IOBDo1WtfTaK2jLNgRMCk7l3ranMpE5zRoXBDz5xbWOqRD vAcgnYVi/DboEKKCqCLAxMeDZv3vix4/ztPg6FE83ekd24zAgn6fKzKUTjxsEbzdwPf9PZ7GDLE8 TaacBjuEkDzB2TKZuS5k0nGLGbYb91K64TRrZz0KpxOOqyJNDg1aQucWINoACKSKxyNwsB9CVZEl isOX9ROF01CvOcF/9T1Wrshnpo+A9lipds33CO8xeDx3gCNssAlau58kvvKUPtFnGnuL34FbDE0y gNEtIyMC99Oj8rS843Db+cAJSsCcVVnHnnyjR+F0quXrEGLhl/0DTVBcnu5kY7q4V8/eRWySICYq jy0/XGM9Kk9L24tqFzbcYBpm8E2t+jWggUX6fGcDEfEVFdCMzlvsZf8CnUEgmwMCqMVYcZ8Dk261 5+PKp0ekQ/gxfIFfAQ1tvfhN1kPaIeV0yYYkqmoTrshQaDk6ZibEc0w4ehROT1mjduUd/WEpbBrs IWoHJGZtmrVB/yyDxYHTuvYHd5bsZaOslUgPSKfZoHMIiZ5KO7NMPB7xMvN/BkP1ntQ9oh6SxyHz aHJ6W/GEo45kh/Zgx4x5fY8BH7zBN+U8OPhdv84Pu+T0MWBAA5QE4QwQ2q6gW89HqWLrXaM+IhON VW1IEp6CWg8Ztj/nTit4PJig4duscL0hvEh3CYXRNHhAmS5XrAyV6cjFWYez5ge1nbmEYv6h9HPZ Rb3OgOgjJsSiXWk9jqQtKxT41DU8RfV3O4dwo+Izgru+StUz3cESMqCpmZAV/IZROD0u9pUoR/j8 sG6qCQrxHgvVjoIGKuoesHW3pL7B9tZU4gtLZ51/5y/2KiJvH2yC0j4Xe5b8ObTPJ85UKFSc3wTi zDBleBDmlKzuUYmal15epSwfVPhAZKVO6wla8fi/YGf4+Rhajecqe4+nAWun67BYGlhCiMppzgjD 7zMtqAxtetfzpI7iacChKCg0fLBTCEXAczFrCcHxAezGs4SooIeYYFBfUEQ7Vqh7VKEWEmZuOXzs YgcutTaFXDz/Go9HHNbfVDvoCtx4ukfwadTewbVbZswIfpSW0ILUXRamSlIwWMAR2kMZWUvU9Gys d/M9FyZnJ2ws9P72Cavoy7iAGQSr4wcamd4oQ7qJz/gjGDrphUr401PWBhd6NSl623g8WEHzN7QT xZWvUygMqFnBJ9+axzTl2WSDqrrQJlcT34BLKKpPqxddjQw9Lx1xnsu0Q/GcfaC8z95dOoVQyMdy yuXBe1DDCB8SJK1LyMVUfDB8cIJACD5vpIbHozW0f00RViT6YEaEjiJqWBqcG95M82SBavGQ4xmB 5HGWwogq1JO7rBdWP3pJD8S87rOWR9NNdmarK6ImgRKtCUihXwedrHcNaotdfSB1ysbtlNW0SW/H aZbxeBBR304Zc2oK9KOwaTMUhdSoSAw59PAq453Aq6wPM9VBh6sO44qHFWqi7wmWtMGzshP2QF1+ qkJ9doJE9Qr9KidOzAuJWTSIGyEhUd0NesBqsGAI0DyGxOfeweMRtvPXOYQQozbvto4QQY0iehen ZSCB3d5LnOQcs9tK4J4mKKpPZ4I6R+FBDSwk4g0mZRVog8R2dFsQt/epRHkYlSYyonXXIzLDZwTY TjrnbRYBMFiPA4yHxnrq+bU7Hg8KH7/gwWh3ZlqLaoJCxAdWkAxcJOMxiu0xdkINYt6K77Eonl5U YehNwI+2fYLmCRc3lF9Y+ICY86zshqDLxWOnp+emn5ifGPCBrD7hJ7TBQGfg8DGWORkHHv9fLjI6 1KzhsdCIomlEwc7i+G5LI6ufDjor6IxrfqJoeormUlQfKuWCzta5cWggxR2WThAscDBKixVXU6Zj jjWB6Hw+ouI0dbgKBVBR90DZTabTJ9mW3Q2gGXg+apP9Iv2SewPZFZujKKBeNBjOzRv2vbl098ky rJR/goFsrdYRBdRL0VDrxQfPyVBd3ICzwV+4TMyXpPFY9CDI6NanSawfUX160rSjN/YTe0uuWQGF rqWC2AkXRwSgfrJWlvZIlnp6rSOMqAc6rGu4Sv640MWzjIuDX7MXqEeEoJa5cEfIYYPXhgCsgHwL Zwh2cCQkFrCjSyV+Md8uWa961z+6ZCdBxarToBlKSGAkKNAHHo/CoW9UTKF44ZVmGCHmg2pCZClx CdXpqnjwI7cuWd3e4RhRQD1FSFR2X6nbxv/yxD4V9+Cg49KEEsMw+bwO3vj6gZjHtRQ8fx6f8Vcw RDFmG3SRpQ31CJ69a+PxqEnmvWjVkUB0qfccigJqpH4Q9GjW4lDZni2O5YwOSCv6HosDahZe084+ eG0onaMB+QEzDlAWOhmbWJ8ylWGpRyf11hKKI2oa5eYuyyWACgUxX2MJ3XA+YeH5IK//RbgDOoIU XpuiMKJeoCnMZYCGSkM9BUOqW2MNTVoBotM6whI1C4sgvPtgUwTCbx2Sxp/93JmFAh8wCPshISsz QFYRf0s6JyxRo0WIG2/4cGkKhO2CcVfweFT5+AYvDhL9rijVDEvUjRYdVu8432R5zrGmNRfxq3Vj S81YDE+k1rp9uBXY8wZNlpRjLZDUWcRfNCerYG+vCz1jyjFDxAcrH4tQfA0WUJetD4RvOB4PJqh9 qwvRzCJlv8lmFE8Tg89dgWwexjhmZFZg4Hn+EbsMmhNKymZYoqYvTp0qDc2nNAQMjHpuxIvO1Qmr WtjeaFn/0DbJCrAoEeIzIkbieM04Zir0ZcAEFTz+r2NIbDK25+4KiuLpTAT1sjTDHeCVcBSlsmhf NTMNmCEjURUPgRg5XDQDnLrR6uHRelb2IiQGrNsGj59NBXORWqk9MMMK9ZCnaVk+WOGjQpCYScSq eDySr6hf53QnGP52gWYUTyMKQue4Wg2fBSkxgWZyrlSf7o4743hatOicfbAtlpByp0KNGJJbRVLI QKdQHAh0Di9PIzHEZ0RnEFdQXnewMwgQDHFaU8bjQa/+khR46aGKwn64JigKqCk33cTiwL1AgSCx OHh7CuxRXP92RgH1qMKbleqDndJn5s5NnyjI2fdKXRJem9Z+UHCb+8HgI+TDZ0TaeOJIcadx0Bbb iNcJaS294vGgj9i8PC1Hz4Ju652gsDwNXF7rxv45x/UVCF6KpsGWKbDy1gRFYA+ZKZwl0X24EzTx BeRjSulbWXRusIQR8/NIsViRtcUZRdOVCtM7S8PCZXpQcFtyRGxzDDz+r9LZYvGVonxe9JgR2kN0 RDEPKSSYHLhYezXgxzmjlpWnZ1SeJl4TmhTZB0dQo3/UcAFhgs7d3HhMUSK4UPS2p0dA2d41ioTY YR07+6BY8Zz3Wb36E6/g8Sghu9IMrAXgh6DvqGYopCNaC8iEqnCSOvZVeCEWzrpr6MxQJo+Wk63q uq/pqS2ea2wnoPwRLAKwR3WCtLQesMbnNVCgHceMytMwfz6PJHY4pDTGdAPusAqE1ubj/9JmYIcD q2c9h1AE90C9AzD5blm9HKYkPTB9gnq6p3QUTZuEjpZQ+1pClay9LCrZ2XKpS9oVATy9WCjQaz0y ylTNKJhugns03vPd2Vjg2oFJwy/dOx6PAEO+x8wVFpKVHkvPkIy4BH11SB5DGEwQWh2m7jqxR+wQ CtU9xLVTfZGDJ6xjAPMrV7cOq5KpXj1tHSDyW8clIy5712iPMRFDhGCDAYYQNkhKMBc8HkWK/0nj gIazTdCKQmnk6iOXObzyujyjT9MheScFKUkraEVojyETzp2nD34I7VRx7xOSt1GeYKE/gclX8BHg OP1S3l4h2mNzZgqQ8xoMk7f3JsUYkgd4PBLQ+d5iQBNTXcQmKER7pExpV3kAnvtyOJ01jyvh3qqT EVcYSstzI7Xhg11j8LkFDkYCweTYU+gMorgV+qUSCFZpGgsdnxGVPIQIJqRh+VUJTG2TDPeZqYrH oy1WvjP66cLfmqAolIbGzpAYjdAexSuvPV/P244LWBMUoj3EAGoE3DV5iBKVeJZQPvtM93wFuk+c cdBJQUNFze6bM97wGUFpemOCxurbByt5pFrI4IATAx7/X5QrKopm9O/RBEWhNGK60ZqXplubt3YP eUDTOUtuw71C5LTVE+cdbIuVk8oDwJ/l4jY2DhpYmAGlhzwDbjz3nk9617+U4PYWbGhn17Y/+5hq CVBv3Xj8fzmD6Jj2JGMrCqVBHIBmu7u5lwstb8Mk3ak3YYf0CrmIlR63WwJUe3xpcJ/EdEigHAUi khAQKiHoRlDREbm4fs7UuwZFM7lKbonbbxe3b/RU19V9co0VV6a/IXmEvcpsgxMUhdIoW0Et2CWY MvlSmCC1eVURGtQc6Pw7EeyVJFWpnZU+vT02JmgOsMYGyBV3GCEPtaPHQWRazqg3y9qGcAR8SISF IdeusVTCwZINZXvYN33g8QhPtb5OaXR+atseKa4olkaUjLKi9cfauDyyOh43EnS/tMfiWJqAV3mU c/BrbOE1zClxn8w4F/HIcJ4jSkQZyCpCRL7hM4IJ4vFMnREOSf0xTHaldgkEM/B4xKn/LipiSmGM 5hMUhdK4oKCUJycbmG2YS2KZ6P8Q9DET0ITaYyFy2kpB1KTg4L0NGLRQHhqn9FnZZAbXc/xgTvCf r4ucljjMikLpRFOkIXwwBwMLlSV7m5PY8BWDU/oXIRrMnvo0EFeInO5IV1l+Mrlyb5CZrgddySEw qBX0d2G6ZGKGOHgovQBmY8wK9mCigwfEYQDIA2kXNlDWYgVRXi/7voRkkry7SJvdGUADP6CSjb4q Ho8ky9vXHmsotKSrdr+iWBrIjtFLKhYJperZ2AlZ/B47+aD1NlYsPE1v+17v4KfQPpc73KMoPH22 6yCNrOEriWiHfqOHQmPoZf/kSInPykEZfaU/0Q87Onz8fYZQofi66QH2ho+OZmhHwTRMIKBEY9In me1jE66oDnw972+x4o6lpxnL/pdJy7kDi+qiMtnMrdCJFA0bpk/AHvw2kd5RMN2yGHasCa1bE0Kk Ju4jJJzxeFA0uxeZ4kJiQD1W3O/BdDIH6eTI4EySnqqKtH/kBLGzrgmKjVrkzUbpEw5+Cq16QkDc c9xkPasuPXCHdTQM4ATg1siUvd8hdJrBdFvcahy8Lt2aviBEcfd7MJ24Fr6Oacj5yEOaExRCp08a MLqAMB3I43Tt2eh4JtME0IY1QTF0munYYGeDg59C+2TebagufeKIKlmGc72L0fFD3TvzsENEhs/4 o2gG4GPxwdIxHP8MhhEK7f+Nhgixx5JuQr9DGuJMTMdMVrmO+aRj46Zju1q2scO6dJqO3rDBJuj8 7Q6+Ai96mM8iDzv/RVMeX02uczxFsx0F09SBLxRussFLQnMKGD73xOORaPA30AP5akE0ZRMUwqZB kcq1mTZMybaWIFzRvHBfh+erOyYh2gQ1HxwVfGIHaIBN8TRBr6cpGZQy6e3wparMhYzP+JPsKxLi uiTEAl8zFQXPR+Pxf0l7SOQC07z9ot+hTF5DY35t14Z5LG2a6kRU9kier+4Q5cF+xbm5hw9eEloZ Kl5E3o8OgbCsLbbli3XCkQd4Dy4hPiMq3ON0bpNFxeZuy8QvV3LAak0Lj0fqQh4q4tdj2ozs3CYo Ankk3vPZ4Yq9Odr+HNzW7jgnKb6EJiiUydMZ1ATsbFcE7lxi60SsldIwEyEDO9Un9YYYHpDBCFOt /bzYft5RXbonKpyBc2uDwWDOhUYwHZuHO2Yh/m7QZ7AWnd+yw1i60LM2+zXfizOkKpVazPen+gSF IA/24tpWxWMXx5WvfQJWmMgINY0KPlFXeaGwAdpLv9SNQmtdfEYQKRJDleg3rsFWkJYlSXYDj0c1 s/TrFmsoKNwJimJp+dXKWLzSUcUQZriqnYU4ZI3c+XeiM0iNeZZUOfgtRmfJNVQzSwBoMSBo1IdC syHdzgbvCnxGcAapa5joWr9TvXBOYNW5xc6CxeMRt8XL0ji8KFHTbyi9o1Aayr2jZufY1epi5QWl RJug1elR0vl33reYlHBb4RbjcEtC5wuc+5Q1MwCdlY8klNVorDzbBcEAwsHPiAJFHlvkemiwLVYo NIOTKQ08HqWrv2DlAPQ8K4gFwveNDeC9qoBS9Wier64+rTk2EfCZLHcKQdO86M+F2H2wUBEG2jNJ Z3Hh/yx014JcJbzYJy/qCxSiAhM+5X2SwGZEMp/Yo6fpCL8usHKDnwkjAv6B4Ki+lTPML3vh+1F3 T6FUHipDaKDgqKH9cTMCRzOVTuRkWMgyGU+xl4tEJpl5cHCw0AZCj6p9tOtaAEVBzOLkbXT3ynRW twL+2Pa6Qad+CQzD2hAHy1tPnEVWPYJTPh9lHd8FWNq0lQuelgLie9BIc4A8bTG1y5Taw1uKZylM a5PhDwXw6caEY63kg0eNUM/bVOGBVVsfFMoH9gmZ4zk24Xx5Ncyrve2f4hX8dmevnAuQHDl4hC35 lUCmh38giIvyrwIIupvzQhdzCuvUC2upOuTDZGCHeK2evY49pxsnhV6IVeonafjg2RnSKGDvueU2 7OswSx0T1MCBydxunr9ue90IvsjgsUhjubiEcD3TuwWDPv8Fn4+gZ9/alCzo9vnsuCi+hvQwuhCu FKO9x144MabSsEBBxA6mqFo9hPhoa/lgswStGHhJmFTM2eL0PYa6MHbceWuobxgWf1NFGJ8SdDyY 36N06YPqIP2kHjIu2fBUSCH2I9/OfVPg0KmxdOcprFkTgjYpsVgNE6uTaQOdlnGWz0TNOp1MsRy1 JijdwUuyEBBJErOYC7WhzD2HNmEHFHV/6cMxCKClVRDSEX1G2rAGTdOZ6W7WE2nz+fdpqum7olbR ynrUGrQDXg8mqAwSf2D0sgen1y5DsTcypbnlolj7uiQOH24gsNPJpQaNAAGCLeSXDWxuLOMfSuOZ UOWY9rZ/CaDRzMsGVURA+pKQ4tx6yYjF6fma/GDQCijPuRSF27gZTridDO2ZmQYJJ1O7G1A02h7p 9A5r11JYXOyCiCGiHVcX0KJTLqQ4zan6UZH6/MA68ac3jwRKQRrPDwlwIFua78MGr+7XkzGzA3bi ssbnox7jt4sJ3Izq93aLQm50/6CM4ml/u5W1k4j0i9cbww/vSPnDZAaHhHPGowk79rlCR5f6O9p6 W5hYctQXeVSPE44skFPs9MJTCWpSNujsrpDVEw+vTT4fbLf2HVQidjoH8r6TFCKrJ1Cfo/gNt8z1 5WS2xUGNOFaWT1JYwpY3YCanvOSHU37yhxPjDQKH0WjcMJI0Mb2K4mynAHxVec3fNiofscg/yvRB k3TOTxnA18GQMrR7ucmtTBUwQftKMubQQHFulkVMOaZvirqqil26l9jq8iJtDhwUs8OrNxGOHCy/ hepKcolzepuwtU38RwFkEoIzOpROQNvtbf/SJsgU1tNw0xPCSeBj1fh8cCjtb6F8Vj/xO/kkRYE3 wPyjuEYllIjKLbPdKlIfLgKSAxNFd+zo0jDn4GUk7KJcqRjXAXpIIpwha8SfFrLou6ufYxPFbAF3 8sHge4sQXpzco/L5f1X7OaOTsKbrERi7KJZ1ASE0CawmkH/WUXaR4Y78UyaBoY3iYp7bxWjo6a6k CTRyPTlbo/tUhQ0wY09IOqE9/9PKtR7vK9nb/tUyGokB5UjVTm6oKUt1uKNUkgMjRRwK3yupoFYz bsU/B06KMPEEAJ1Kz7SaTF5uA5nBtKon62A2SWHYvchZ3LSl4GAraQIEMigKDVWvRul0MtPIp6JG 4y1ps3edAy9FE7A8AYuUiZKbTQLFJwYRLFr5fNTe/0V/bbRCuddb6Ka4JhUuhuH4qoDpnYGSmXSC 1zvumRTyF1VsY9NVg60kVFQr9JfFPEstE7AvGBYiS6owX5jRsNcNWvzTlFJMN6XbUlrUaORSgiVn 6Kj4eEqLJAyg05VkzoGjIuplcAZu25yB63R2eZl8Y+63zRNPSymMucU72won9xNOdghXQjRZYLW0 2GGqFAwmww2gVT+Ukr/tX2g1EoRt0FKCwqrinNwLn/+XCYxidYizzWeSQg4jEGPEroqBRhiMqB9l WMY7Jglkcr8NsSIk2WdJO3DwcLJi5xYqFpxj/CTqnKQOTXi0s38oQH5Jev66Qag0pTrMygkHL5lM dgQx7ZvPB0f3NYIRLBSZ4xNPBs6KkO3HNtvVSiaVAjjCi1xM1qQuos1SZAVz9T7v4LOU0CNZQs5u NGdzVagEFhow7Ss9ogXV3ja630Q533ewIKBDg4dXlr1kpJ7yrS+D47Cl8iylOOjGUrqs/NbMqTNX 6QDLO5Ay2JqksNBtpnjpDl4LWLvCyJ3xJA4o+LchMwEMG79Obo8g4azDXjdolrDUDWV+H5S/tTlk lXeOnczn/6XxzQsOZE/+fDZLIQa7kYJGoifVVNhEonLsbpbvnu/ZjQiSY4vFblLx2Qc/lU4iu2sS G22lPaDixp5th5JTE2fFPSizvW0kjNrfVFSwgLb5Tc/G54PU5BdOncrQ7Tm6Q5dFVFwlLyP9gkoM pHw6DXGDJHf4fgttFpfUnHrOPnhqkiEml0nQP+lubhB2gnQhdcGgU9QfezNFAaHPog6ltaqAxnSH ICUN5kX4vF6wkgKjRSSZ3/utoDZa2l1JodMiliyYsQ4UXctXUkcUZYJO/dZxQ6vFIZUZkeE4eGPp /MwnSy6s46YFJQwiRbE6waG1A3TK7jXZ2waHUqGyHJBVNuieamtM6li0c5jy+Yg9/B0q4W4qOz2T FEqFkKO/x/Lt1rXxzmkIGgFrlYCQuLt74LaIBjfhtFW5bn0o1gv6mQtNPqykVTfKWKgVnMSaKSL0 gHUmISOzt41QNtZ3IwRgw/fC+B3D3HSQgPIPBAFl+u3ZeV72K8kNDRdTX/TFtUMpZVf5Lr1RBCur qGT0vRw4LiaabwDQP+5wiQ8QiZQAH8SiBsymEGQivd6dBNNxs1yK7ebYcrFwfgovuDMMqypBvp/f sZ9LlM//S26XVVzs8kfpMoemi0CtASziAaX8YjoR86k6VqK6l1cOXBfduZOu1TZ4AnfSknNBsvR2 Do1upzs42Gh140Qqt9VNBbUcuy4WUrBKu4Oj+5NQhucozXw+6gh4ltstCijzKeKGvoso6aC+7YCS cSepEjWmSUIV0yYpRJSIIvJCJh4dBQ1pypKUSNnZhKZBoR8FUW31kXLMofMid9JJYNodNEl7Ah7w I/o2n49WUvpaSeg/1v6UAkLvRVyEo6ulBGBberwX01gObKut+SSFYnyE/kEWzwdP4Cp05oDmwUo6 x1JpZGK17domo96uCe07c2i+OHCAlZII4CoOAz+Xct9zyrRqDT4fBd2/fM8otLGfQymqdItr5LSi QekuW0nV5VUGrWdskv72M28j38EmaW20cGGmh1IAMclkXaMPU6h63O8kEXfKDwkmqQl0k4sPvt2M WlBYeQsNGMuvcJK2Z/vrTIqCbiDXoVk47EySeQyLSoIFStUxJUtyAwdG94yp23Ldec8kNBOB/+BK OsExwI0qT0L/Fvlgv8KgJMnxQ4L7bfF+U22Jg5LcXWsT56xxu4X4kvlNTs/wROh1PrMUBd2obAy4 XdssNXM9BSOd0lhEmIBvYLMU+5ozCijU4uXgaG3E2Yk1JEg7LmlmwVgBRQ8qHFipEPStbm8b4dmJ 1i4CApYLBFwoE7NZBCnwHBox/kcRF3dqvdS+HDoxImEauo2I+e+uNVd2GybqDDCHn9yxFaNMrBYr cBw8M6GWTpOR3sYhIOSyXDcGzN9/47VzaMWoeSXa0gYDKrVEMgdq15PPRy3K7xCg0Wfu8kZyaMa4 KVQ8ipnmap+oPLmu32kq0ytvgRtjNpp6G7v5cKk1YCfuJU/YkVpmCABm93k7/HN/Jml0e9uIniWa rDFFt3NoGwg82m5ZLxkhJr/9GAXY7jd7+8OQEYU3Xqb/p/64IQHKqo6/nWm41VcOHRk7jXMLoUga POY+53ZKVA86BzN8mTYdztF76uwZsndtyHZ1u0NPRt7a6E0VHywzQfeCsc85G/l8pGH4fb1RR7pf S70cujISmpx2cV3w2r2Ie/KReXuUlFjlUoptGXmzC6LMwWfpXGGrd0rLT2InBrVC0zIYDuA+FigR 0swPidjG+Z0ggcuB+61mvWSU4/pSEtQbNbd6A6XYmBGFt3Y5JPSBtUDpioXODFiGLaV/GJ2r8Fa+ Cm8DPRPQvpi+AbNFSECnoxl4vFmtebM/Xfa6kTgNgqG+5H+6LsYdKjFV/QB0cgN7xu+YG/MLgdX6 eBTkwJ4RhyHab/WhjBYrT1bGdkpy4cBt6VvozyjWcUk6lumoZif32GcBJZrBLgRIKLE22r/8dFQC EGxYDXelbm/7B13r/D9I8OdgZCRgoXXQoFwSODTK7+arXAI46Po6laKYG9v0S1X1fK4rsPTqq2qc GPBOUoQuGWRFFtmglscGdaw163mLKqhST6AByLMJS+kkYJDw93bA6va2UTuAspiN7H4OxrcZexNB eU7SwecjBY3+tZJAeyj52lrlwKUR2MDC8rZNUl4ETlD0MZm5JXKuXX2/xTE3i7eoHdlgk9TPXY0s XYbeNUE9jvsNcAAYu+R26yXUvNSnRLqPXRWA5oNOJeDWNUtnafD5KJ781cnFT1O+9lsoNIJpRX3v YnCsqFRaylY5OendzD5LYdDNEAlNch98Ke1CDV1Vuk9kClsN2B5lrCIsTd7aVp/szV43wgbS3SqR zc5Ba2k31hmR3K/F54N48iohsBhFfxFsfJ+mWG6EivNZ+LYBsRnC3zLYhjixiVqmljWj7tCtUXDc tIgR5KBp6hO+F7JZy2fznesUWNQzW5tdZNC6crvR0vbX/TPs7mX4oHPpXKFsZaBINPl8EAdc/3Me QUTi9pvmho6NBJpmiguwYFLLLZiU7c1cooIUBwSWjUgFZT6sjvf66nifzdh6VwaHrDep442+LPXo SrueloN09hxYNqpjDfpWbj4YSWlQq53Sl4vPRzfct4JfkYDwPZdC00YqPheW5pjAreZOTkWyGkUA k2wJXOjayLJja3t3H5yGU3m/U1fjzNdSlAQSBTV8Cx2DLIEbCpYC20ZtazrtJR8Ud5+Zl6Jfqa3w +eCG+yVzWICNrpdOmkPbxsost+TsAJPpABNuPSOapO61gMC30a1mAAjwweuTaPs0YtxOAkdtW4II BxC3sBVnv8j4ksnfNsJOMFbaGnALMKE4V9pJo6nkN1JZ/AMRSeCXrjEZ9fk5lgLvRjPkaXU6t73W 6XkuCepSIJn7brhYgkRCWnX44NHSiYGg0c+1dKI9Ohpgmlh3O5tvX8obnaD4GVFvSTYGggVMhwUU BGFSBB3AToTmjV9aYzzBWHt7llIokN0w/cvV2Io4Amx4XywljMMcFhC7N04FS8R1cXD0+zoR/Alu i1CmkGeRCgkSDdS7qeFrgn5t29tGAEqiUpIouMlh3aVME4Cr5/35fIQyvWEAF42wgTekDB0cgWwe qF5ZtJSvvvGe7pA+JrV6GFIGFo5YSdQRUzjA4UFQFmjBVDIpzibpjQxuFirHWRu4DC/sbdnbBtQl uc6QLadBYQCQK0Pg91T5/PvRvfb4irsHChg5P9stjLsrFtDMVlYqZV6hBMqeGZGiOiwg8HFUwx6x pBQQx0PKWfUsxLmniBQTxkPZjWfQjzn5AWSgjZRDXbYcODm6lPicbDFxsMAbzipyd6p6Pqrjfm+4 jOy770szzaGZ46QYwJWCrvMqaI7ua+mEu80z3cDN8SxKFk3kC6LBIZS7pXPzi+wOxzNh4yAdiW53 /wHu4pf2WA7sHFFQ7d6htEE7DprSkp+b6FMGfo5oCTgugAY9VZBEm6TPa6jUQfBDySSbAMkgXc20 o8a1Dun4//Pkfg2Uugsg9s5QgIPNEFqWMPBlwWSXzXAbxxa+B2SHQChz0X584Oc1TOqA0DB9q/Qq 5KBCQIK3CpYAVGc/r0FShwarsMryiqCoIBrOtBr7vIZI5yM3qTg1iQ4IOMB0YAlqQiwCnLzidrqD yVGFG8g2H7yj1LGcSpFiSxrgmWL58IZiaJ/QLZn5C/L+OjvolQhUOqsP1gY4r8ciXy0o3r7OzsMu sfgWOZIOa8zOa2zUMzF8Zx6yzp7RiSrCUX0yUDebg12uzc5rZISlo9nhKazBls4eOG8SO7dj93NR F9keIFeD2nHJF3pLn7vPa1zUAbmhoN9S7XZddPJ5+VTMral9XoMiTK0VI23poKwlADcm5zUi6ro/ R0nJ4DaFv4joEn1cZVFoWnByXuOhjsIIwdvFVtC4spAnJO0LqZ7JP+NHx0VHODKT33Hdvqn5+3kN h7ooh5WIHSmvIjJWPARTYJJFV8+f12ioK3HX7DDUBGSyk+GOz3yNhfDQ2IRdGNo2o0imBA3Nhp// lEh4jYS6oBlIOWQZQodDbSzomkBKVEI/ABCxKZDoxoMzGoex76tGp+/o1FFfrbbmgzLYE9YmVpDO r7I+r1FQl9KBLZ30Y3xSsDM1O68xEHYjANt5XcFDOkcZ/b/efj+6p/L/DGZnSj9i0+W77cfle/JG KUXwUTCJNjG2sFUGPhIQ2WLXlpbw5zUA6gIo1ky5FxusOgtxeF5aJ7P5vIY/Xbramh6WTCiFy64a TRNeZ8cw/7CH187qUrABJjLN7CpRgIeZwUM4O0ztk26sdG+s2cBHQYlIO6tyGZ21w0YrejyoPXrW Ku+CaGcVQtnhwWKDJmfB7Yo31vkNP69RT5feryaHhRKUDzbVWTA5ryEPzmSW0aoLGmcaIarYOL3h ePLZbmfya8DDM1k6vZIeWVd6BDf4OYllPowu0WhD8DVsQeznnB6fq0n9o9d4pxvE7xxjdfug2UFH gvFOO5v08xrtdBUdnhurUvkk+8Z6LTJiY9H+fLukOv1u7dgRAblSxM+JR68lxi7RlDdi7YlfKsIB KqqD6bAYDqKHxuo4xEbztXUg6P3zWmBkQMWLXBp+HFSGhe43pwqwkc9rebELM2aHst9ZcAaw6Xkt Lnbreej7sGzWc/dObG+XAyFdFohGROEO45yW1bLOD8podtQ4GwlHO507jLbD5++hcgSb70zQkCGy aU/0WljsptR7Yqa6fDCkMYNv1swgLhbeWeNr8QBWUNddPO+0NdzFFccNE3zyaLrrPp4VxTkrlK3J 2cl94a3FUtCU9si8zY45UIZeQLKhuYgeXGkCrJ/bEhUi6j25pkbWuwaba7AT1J5By+cElJsIi0bo TBQtr1m+zh5goOAj5hMUhcvYFydc3sMOH93qbFKvdvOt6iZ774y17rXprkIYBwt66GC2ebSfBTRB DiGNdnfsa7QENun9Vc7eUy8bHc4qcJTpgy72EyPULBh25eNRxJy+gsKF4LUUj5jf+WrdekGte821 id4nrEMWiQ0d01sni2LmTZmItuYdHFl0thA4+wwLByNc1j/AiD83MqREs6dbS2l7FDR3Kfr0nn3Q +Yw2ioRoG8pk71GzBP2/DmgUGriONEFR2AyBC7jJGB60rHsE9X61nifLrOpvBEd0rwqYLXy+vbKz ZlaZsJVmjQzhhrSeYQBMMWqcdH5/ZaEcgj0GkTwsnVx9sIR0VYJ70PhCcyM6hOb4WkGNtct6D6H3 yFkKu7h0k3XvhUjjIZQMi4WW+nKY43vonI2ANclg1GB7bPWVEq2yIE8HGxXjj6KnNDDx6J8Oamfh j/Bdo0OIhzSK3TbYBCEOZ3gHgcN3jpqFT88KysQUt5uVvnPUGAKhUTWrQ2WupVU528LjQ3yynULv 4XOmsBWgjeyQjXw7ZLjCziEnXtGYcC2VkyUr9hVtkgdzRbHUzztDrQtEh4ucdoRiIvOrnksyyXkn tfp5J6h1cITLrxkq8I3Knny9E9R4eqCYQf6m/L+uWo1R16F3sBLgyayxRiF0pwr4EABkPAAQLCDA kZmiT1B4dpF7PPyg4H4OhIEXfcR0jGLoJkt41OtssASjFloqnSgx7887OY3Jbf0OotEGJ1tKExRF 0RD4PTHFdluQel0IpZurotgsrnUQhdHdzuf/CqPnCSMg+0uwlUxUiLeGGQ/LlJmCKaZ1IIL6exxt AiwF/+ODtTNOgClg4urz885M6+omfJd+zlJOzxJ6j6SxhGickh3UsKdR9s5c+WSNDhKZllAUSg+e 00PAj/EAP044du6s2XiRQTEWuHQ2WNH+xVuqwTq/ehnvobQMvCq1vHzQBLUN6hPDYyjURLH0Tr+O ocEj+p7TUSwN5xtoHBpzr0+nW9WUtyHTQQYqNkFxME1fEJ3T/Tmnz+4dexWcaIUIK3b9cNNT2nAW kxEVhnhOvWs0QVpBKNNr0HHS++bUIr1an3dCWn9cHTRBCG54/1jxMIqm6SCHu0CHUCY9lyvoen1D BMIPoXc62pNt/Fc0vVgGIk0S0fQ434WpfoIcPn05mDS6YtbQu75fZFAnOOczcYgadAidhVMFY5gl f97JaAz4f930wMyPGyu+c9EwrYgVz2QkO4R69wpikya/KvPLmjzvVLTuVLSWCEbnYIcQSKSlVlqh rpMXNP6XJ/Yh4QhMd5oTOWGv6GWjfIzE2CLAZ7mAz3OMTdai62zz885E+++LDF3eUT1YfCeidYkT wszBeoWlXmsZaKdZsaNnxwy989Dw8oX1Q4rxaLAZOi+NvU64wqrnn4RAP3t5Qyhrk3Zze4UUN3rn oXXXNhqsWWvQGqL9kYwvavu8s9DY/Vhfa4gtAxqTaYbCcJoih70bFV0CjoqFsvNjZmnTAHrvHDTG KTx+MmXpOPgmAxH9hNAstM60S2E9aCK3aJNQHZI++yMi8k5B4xpiIXpJ1PjaEJaFH4EzlDIf/1dS jyNgMKRaftW/E9CQ6cJztbbl6PN6dxnc3G2XLbQpedW/88+6TBNQfWjLB+ukzrag/LTJij0heoWV N1ixog5D9mw9sDPSht75Z93sYukl44PBqU7sL6r+SPPzTj/rj5yxZxwE33hF8Z19xqIZyx6O7Tjx k5cUG1mmnKG9nRP7Tj5j5sIGj2zfOXhNcZ6rSnQKtJIhzrx0ULPOCrHK5sV6GTu8c8/wDaXG31gO 5KAJgntx0U021+edetZlY2hlM+4o6t03L5u9U894Dg3CYE31KUNXwDXV9/CkFRU9raH3eBoZt9rM op/PL/p5B0t7Uo0WrrdjUT6MUA94OFKc/KkMLb1sUFgcspHr2wdN0UCBUroyaX/eiWddhnDPSY2v V+klpxkKA2rQPJtonvynfc0+xWAk5ry7rfc77Qy/LxvxQCf48ODxwBbZyjjOZDV5FhJ7jJgRiP4v Cyd+RjBB0sPoSwNqWvJkniMlVkH6We6fd9YZb5P2tctA/Ybqgc9QWJpGytGTwRJgpFi9q1G2nUMT 2qg2Q+8BdaKvBCJpdsbG40S425mgkwEnzhCNZggn5nYulcf1LZ0NtsTeKWesXLBm1hgVcTCkQrPa 9Lld+uedcfar8IHzCwzpIkVjTlAUUKPhD8FkK3ywjWbh0DKqHvVCjCn8Tjdj4QMTNNVRnU9HdUP7 tLDsTLoZjiGGBQnXLGJHNLXdaA9R2+edbdYlkQAcfrmDAmqIvrC/fVKs9XknmyHc/HXXU0QH8pLW cI4CanAuT0o2reOccve7vtNGQB1nFLHVcY4Damb1Up+/Fmbox+Os3l0tZ+hJFd15/Dep0grsBkOE crxTzbqYmaB01u6DlXi2sc2BOvy8M81YeevfE4T/SzohnKAooEYENgqV7biC8pWdGyM7O2hT9Acr 6J1nduEcpMrbYCsIPR2k9sxZ00kPGhP/AQAGgWykH3ajvRS9azRBtCZqcj1v7noOr/jKUxZhxOed ZYav+ivjQKc371v2eCeZYQXBaK9XZ+KRASZdfqhL2hbr9U5QiOhgdRry6T7YCupnreTNPh0IBfix zQ9084YEQ/hWzkrRuwYpGZdOIflXg+WsZ+FUQXw6XzG66X2CxCOmN4hXPd75ZbygsYIE/KVkaLeW aqE6qMvNLeOXvdPLbuFsSux55ubl+42i2wkZO0uL55aHNTy3GGpmtOvAxjExVQpfvLPLbgeoJrY5 Cvyx+LUy6m8S5p0pl887u4xlj+8GRy0UB7mHUBROb/afZ3Ld+e59HzRsXHe+NtrLdP6dIOGgXiMa qj7YDAG7sFE4YV0ot14psYLoBeTl/XOJ050Z6zuvDPNDZkrqOqq7N+dhqdqmdticn3da2X/F0uTe p34X0HssLeu689Kt+AK6uJeWHxHV4qKO76Qyw8LhS+uMHs8ZXeAQu0GMoqe3NPMQB7G9CfGTldz5 glYSn3dOGRY7KS7DGtHrXmIbdrYMhc9Z8XmnlGEl3FiaqS5F/lPySPGdUsYthpNnVLOL7d08CXM1 HxVkrD1Vk+V/Z5TxihBzgzZyHG4P8ZzQHdI6hf+4aalwIkeZzAC+9KXq3LdeNiqckbKR1QjKnm40 AiPYIluT7xhhGH7lY+jUgZNiMxTF0iDnj8x8j6XX4qLgxTTnKOSUnU/2TifjPc9ELHUpX5IZgWNw Am0ADhkB0n1nYBrkRNgo5s2s1nN6Qj0/72yyCy5Lm3Rp4ljZt8mMLKjhcOLs/nlnkzFW/L7pFxEX 805RFEw34qOzyaSMpISMwF/+LiqdcdNyisLqNOXSRtJFlp6LrPLowUFfaC+9lozPqTOPpcd2opXv GSu+M8m62Du0hBdVGlAICjEglla7Y8zM54N9tr8XEX6q2m+r/p1Hxlho0cRyGzSa55/sLBmhchEt 2g1whqLy9GR7ucvVnINvs/M3z90jaCtoqJ1XfaJfwQktfujqZWuIKKp3Ehl+TQrKTDI9NVhdqMxe rWmxP+8cMr7id/2eOuwUaxFAMYqm0W0GS9ocUdvcztbYo7mjQ2GblAjFOJrmBJW2fPDqa2bvmVgh ZKybOk+wdnIvQkq7aQ1R7O/zziDDkVDZo4fENIeluHiccCgx3+i5zs87gcw26VM5E5aq3xkK0R6y mGGBPtHZEqoxjVoyaO1JdY/UCM5QFE6rct+rqHb16oEvkDRO1NpIZ1lwz6DlxUbRoSEWAVvUu4jY 1p939lhPRiLfQ04zw4uvHX1cOZ+fHfB5J4/hHNi3LvRjxHWo99oEheE0YJxVBfzFImMyI4epPF9B L9nRnX/n/RRSb7AlqqE3F1OQVuoAlxKX/QL/DX8WXoRn13XKZ8Nz1EDAOJH4IcFBLZwrGUIadJWh akPQK2DLn3fi2K/KmXxTID5SvcXxThvrEiAHyd4a0UkwKuLr17AKEd2mddm/s8a6M6KgnuyDl4XO /ZFS4jm9aHZB+vgC04B64RmB7TXbG3rZ4LJXsqo+a7p9VugnsJyFxKV83lljv8rTF+6RbuHsnTSG EGEV8n3bLZxd09hk/xItTadnvHPG7gyhHeaDgxnmmYwlBks/MVYdzG7bIIuMperiOSv8tPiuf6Ds AarJPnhSX6vqXufffd4ZYyz/1++rHrXAfQFD73wxBgiFMkTde0Dm4gAYztx+TtN5ARfZO10Mn05B lCH3YQ6Oh5loAG3Gi3A17qsLT52oUo/Feo3AiBd6J4tdFoKkeTVY2WyD3cgttsfnnSvWJZX3lXGg nDCeBRRiPSDWWJgLaX6yN+qBdLMt1un7xvkJ42ktoM354WAJ2Tk4WLXPckpbQ/3GExciJYJPwbz6 sZ0ihO88McbsVNofo/tgGce5Z1VaPgfG550mZkfYM0G0Pp+Xp/FOEsNTaV2lGNpZukRzaW4CypTM JNHeOWLdreQmTEts8KIH+mJgv1M2rqSWFTNR/JRQv0dgdxBs/04RY07FpUNAgwatoJRhh/3DjsX6 vDPE8FXz+oqEWLYrN5huUTANaVsYsJgcWmne9EGXdVtKDzMnpfQtRE0TRg9aog82Qec8Yk7Gwitm aJm0LAzOV1pCCylnZecbnxHc84SaDba2NDiSIUmtsULmq0Wx9Ozf2UZFRMpetCYojKURCM3qLcTB GrhAnQQ/q2wGrwqtoKgyneRBMNQgG/uBvULFBR0gEqGAjSHiivqwBbbG54e9oEU7pKNgeiXVgyjy xUFL6KT1RMODscrHg0Z98VCR/AX8ODDsMz5LHExvchEM1Qnte5uhItUBVoWmmw7392Da29CzMaae XhXFIXRingklFx7SUICidHob8vvFakg3lmbdrEexdCJrvgxN0PX1RkE3dRMdrHg8YG3sX6wNVFfS 9kOoR7E0tPfhZeU+Fo9wVW7bxfQ6Ml9NUIj1EJxTSjHzUYwHWQl6Z5O1+1LQ+RBsBqrG0OEFWdjS sUm9ih6VpisrignMEhuE2Vhn/cg15sTweDy45tv3Ncaib7pQjx7G0hlKw6m4+xC/mixjkjcQzzXm UI8elaat6NHI5O3tMnlRUVylmAthT+cApyvzouQw73rKnxmVl7Xp/2fsynIkuZHlf56lMOC+3P9i QzNzZ2RJ4d16wAOlgaIyksnFF1t6FEuDWkQvNDmjkaXIUHHvrDNpnHzl00PC4cPewJlDBX+Qan2S Qs4hJFCb0JwyjykeCz0uhHS3YsLRo3B6LokwULmKw4UtnoswzaKEA9kvjeMmyI3wuvwRRMpAZxSr 6FE4Ta0K1Aa6D1Z/BSJJEU6ZeDw4qm95uno0VJNjGXrEO4TKCAwnFQMNHg3m9DW3i6D3zoQD+ywM pzk1fSrhmE/CAYmqlFSeRn8aYBkc1SmRUIA+9Hx0KrbeNWoikhhVRVpFmZuyU8RikRLRz4mPx4MJ mvXXPkN8ke5BFIbT+BjgFqwu1BwPfFLNO0Gjur5QD7mHQ8B7JfXlSerPi5zDzsoeiPIW/as21jzx JLSBtYMIKQQ+I7jsmbJC+doHS8igG8KkvuA3jOLpMfdXNAQGdCFXWRMU1qc7EVW8yiDrDZCwkvoO Qx5TiJ88iDr/TtQCUvd9NR9sj60JcHyiTNVeHXZw5qd3DiI4gpwc7YGXU7C6R/VpucVu1HZtUNkD Xe4sYtiYeDw4qvs3Z57CYCA82gy9B9SyUEWLh/EgS4vZfBknxQ9QF5pUXa08qqOAWqj6tgWe3g94 urNwSSYAiJ4nmx+sCw2E3gMNKKoLTsEWk941mKAsJ1QuIQ6G8V1y/vtBcoPHI/6qR0ND6dsPXctt giLstEx0qEv4P8gxU6qdnox7UtjLaFLZDqEI6tF1ialF2GlVwPc8S7JWwFwrYYu7zEqLlJRP4Mus D8oh3iVDvonPiBBnJGnC09EGKwudY0725b1tPB6RgH6Tw1Fc2cmr0z2uTmOGai1WOWPiok22iUNj 5YyeiVxCUXV6sebcO12Ger8uQwtJzAleZVq54VXe2QRCWRzHFRUevNHaqZvbI7CHZa0wwrDBwMFt S60SirN4/G/8eTZGqAJCSRKRoKOQGt7tJ1k1IDCg+DdtndbAp26HURRGVJ+eS4UhYl9nvthXWA2f eRqsvkI79wTAKgyhTAPlZaC1rntO1rtGTSCGikuEsuUW8bARlQr3Oc0yHg9C6vELHAx0ZLtc1hGF 1G3Lrjr5TZYsGAKyeFjhY7GdRZp4WJ4WGVFSsP2Rgj1XGEyv+rTy9DkZu/DllMqfODAvHIZGzviM YIIoSz0lkzevTF6BzQy5fSfJ4uNRL9rjRTnl0aWo3XhxhPjpE+gPtbUwRZPIEvE1m1kOobs1rZM4 ogJ1pvfCElFsbiaug2kZetBFNowgo6xiIh9ALdDUgXRoXfZn4Q98RoDspBBVom4DhqQWBxpKRaC8 8394PGpxrK+TGvFNfqCvIwqokR+MvM0+ANvN5buxETxchOyZNlkUUC+W7mcVmoElOE7QGudC3wyf ceeftCNJI4YXC86dnp6rfmJ+YrhHIRCG6jgcDHIGixGesyfnwON/u8mY8ALLXtZVGxhRPN0ZTxer kwF546YLZTUnStXajFA/onh6UvZtpDx98Hh6UzCVgJjzjmuCIgkzNOT0EOZHs/02gZa9bHQMNSJi WD2rNDnY2mZNX4HNdDwfraFfoKrRBW63KYoiagAwzsRYvjrExfFOqy0nVPitADuiiFpcXziY+OBJ GRjVlBsjo7XuxV4jo2dzReu3Qk1S/Qjh05TrHCqYcDCOwuq1SYnyxIsjgk+jivEFy8NabeUe1CF6 egLxUavhyzsdv4V8pXIRWxyN080JitDTVcjXrTLjrhdUlc4OgiS1fKlOkD94lqPACOQrvku+XTJ/ 1z90yWB4vH3QBCVk+XJ26wOPR+HQb9AQSvj1TlBUoYYjFKSEjMQBqfkf59p1W0xfzl0jCqjtJhvL Bv5IPIVA0mksnuD2mXUTPZxR862oXqHCed0Ez5/HZ/wpGKpiKNTLUCg5GYgLov54PAqGvnWo4BlW WELTBIUBNbw7CzWcTYb6Gi6m4T2OCcUYTVAYUE/pCJXlg22xgVpKHQR2zpPBrsI2CLRiJGJ2ztl7 UG9/2SCibuQmoGYAjxdqaDEpW4sEfRy+e+H5IK9/TuofesWdKa0eUY8wou7SLxNBalTJvDEaEvAM a2hK9L10/J2oAkvpkyWn4HU3GfxjzxHRqe2BBbVQGAScAYZMFBRHAGZV/C3ZnJiPKN44zi0NRlHo smUF3a7g8ajyMb9maJDlV65wTlijHiBIdaNpKsVXzrG796JnacsImzNWwhMAn/izUR90MOvS9LbE IqpwKMIkFpUxKqjbVxuG/Ux8RlSCJdDja7CAumVKsANH1vB41OZYXykHhN5ryneCwoA6Z+I9NrN5 RHPJ0tahFB+7bPMspbRQWKNOCqhL9cEDalRTGkrfZI1jTiS8xKSsMt+5FVhUVPEZf9IKzALEcNAE nSCzM6A+E1Tw+N+OIa6gxv7cnaAonKbj26DBNlfQuJzoQYjv/+TI5pzoGdMRGQNWMX/rtQ1anXCa LYPFec422QsmWDe1TEOcfBmtFB6Yfy5RryW/7quIh8oeRYaBAqp4PJogb5SxEMnXuAD8GYXT4LSP TKMLFvEvoBNQLgfgD2hbSJwqrE8rF1v1Dl5cTNBLQI0fygxnspZsTqAzVsASgaWol6fRrcJnRGgP w1IlH+wM2mkZoTVlPB406+fvZj1i1HuRzSichk45XAmtF312m9OkRn+sOXYzuuYMdfEao74XwUmc QQtNVCT1kNkl9TVxHUBNb+4HgI8AAZ8RbDGJuoLnboO22IZvI+W7zpfF40Ej8dcWo31qvQnZDMvT MDGVlB35rAQDSh24O/Z11nEP6Qjt0aVVrnYZB58gKp4DyIEzqKcMxiwLQ+WHMSjExj1WZG1xRsE0 bDGhvbTvoBV0MuShCTqXAR4PYsX1jaiaREte5cAZwT0GY0XZTE3WFpM1OEAztnt+sIOD8vSMytPy Ujp3YvXh9qKlMMQJQhl5TUl7sMkJxdueHvXkoneNDmnmqtTh1mBVj3MYUFOtztXw+N+kPVgvyfgh oIJqMxRSEVEgBmLCcvqaXTu51ep01ra9cDZDibxK3gEBuxruNTYGIUPymWh9F4ksS0IS9fN5/RNo xjGj8rTKrqnpLGo33WCIxQh5bT4eIaruHnMvjrLa3WQR4AOrY1BTXcd08nLZ2Q/9qp+An6NjOgqn ZQ7ImNAGmyHII8MvqBEydG72MmVIiYgQLQ3K81qTjCJVM4qmm/TxBhIUDVb2WHD54pfuHY//F8gQ UBRUYrEJCqmInWZcySaoCyU8UPPNxUF5IlbyFIq1PVgWgl69DU5FPPfU2rhkAWdYINSIJwWLD3wu NNScikh79xkK5QnOgGKXDc7iSFWoxRMf4fEoVPzucJDEAVUsTdCKYum0gPJo2YW3S3Nhhpx9uw25 emIFrQjvMbLarMRUcbj1+4RCWtVFnzLDM1z0OGxwhwHF9Ut3e4V4j60JEpFsOpHsJHxNAuxgz+Dx SD7nNxUxfaPLVxRLs4tI2KY49cPN3Kmq6YcQnEglRBnG0iw5N2xqG+wegxIwUJdTGlW1tmp96Ioe FZTun+I0Fjo+409CeQkHmg2aoHmiLTbM6t4Vj0egs+9sDLVSyX5rgkJtaZ3SdTre405QJ7BURCno oWqCQrzH5uGTh0S8+PKNsTSIQInBOEKqsRoLiwWW9+fsQ903fxPGGz4jqpoxT2XXUYOh8lB66oql Bx7/L8Bg+hJAV8kmKKQiQquzLZM5Of80neKyaKsqlTOWuLjFQui09G/lvtkf9811VkrfSb7JEMAo hTcdfd4KEg248dyLPuld/6j0yv2owSZoLRlL1HMe4fG/nUGCOKL2emXgVigxjVCxjCbaxigyJGMk RCEu6gvNTGDwOaRXzETk3prP4BN0jrWUhohkDdYbvOgSoPBgR/+gLHXVc6beNaiaUd0st3EH45Gd YFEOQOskGysuTOevCSLwdd/C9IpiaTBDcUgbWihXmypoMrlRAtHhChVXrOtBimrl/lEMZVWzDKJm p/3Pru3EDoR6zBM+nrkB+D6n6dY21CXCh0SiDCKM5+WDZRtnKajxfQIMPB5gPW4kRE9pkKTaJUmt KJjGd0fVxlqIX0qCLbv2K/AsVpleYTBdZR8lTcpVH2BnhTYe2GosCTUAVZmOwbsEHpBo5bpc8Mh6 1z+ghQD+lKek98fOpZmaeHYpNTz+N8Fgvhr+O3m3coKiWBpdE8i0i8wCTQb3RzgHk4EaJgXWtcdC 7HRXSYgi7Rwc6gG7llTk2XbO0yx4NaOICk4zHYqsZkZpmBXF0gyE0EtKPjhaiJbeLAnxFYNTejsW RqKD0Om7/hErJCKCZtdVukdvQ1YSg64V7kl2dkKyJvSKoR7sWKRUfPBA6Kyf3kdn2fUcI5PM4JM4 oYZERhZgIGqxSrsQHxJYSMgYkbI7GlQTQqQ+RQFaFY8Hbfr5K1REpQV8JJuhsDB9/hMJBSoSqldd qEtIsEvWw9Q6VxhLd6YQVXiq+uCpOtyesSAxQxtAaqrcD+CHCLLOpVwGB28GfEjU/iE7CgmSDUrp K/pxPGd35uPRGvJNJnQaJNO73/Q7CqZRzDmhVjXoaxb9kEWhcqGviPcUCu1YdloIBgXT/QbTc9Kx 29Q6xzn0snqszK0WNZD+4SG9o2C6CU8l9Yp9fUjHwEXJdCwNPh5RXL5jRezRQocLTdB7MG2aA1UX GeWXWvKyIgNUSXgBQKYJimWn5c2m9k972j/nxN5zUaMGm4zmBuhtQA6C1jhjP87I1LzfIXi6UduE Io0arDB9Fq1EkSGJu9+DaUmHfx3T2BWykOYEhcE06q4jO4xhkixpLuT1cUxw+P2OgunBPdYba4Yc /B7LsGbjRYiyIn1tESsuHtzgS0F70zzsAJbFZ0RVs0QRSkZEHBQrnhi6KBhGKLT/Gw8xD+p63BUU 8hDpYytKPS3Iq4OpSnbDv9GX25HsGOex3qtmJ0PtQORsicDB5q/zHkPQi9Ujsc7xVM12FEzvShFK aEra4DWhuQWoO8cMHo+UFj1fJWiZ9N2e/SLbIXC6UrhiOkuqmBwc7FlZB6ElSXbhih0Cp8clt/zm uEBtBoKB7EGffzt5FPOxBh1ck0C6hxBL9zuWnaZRVGI0nZJH04XLyXxEKx6PUAzfN31BvRcyWjZB sWcLAUIufCLDOU3Q1c45R6C72O8Q5sF8bLR2B6e4FHSWAegjof6kZOyOFcmV4feeD/Q+27tGqtOD /pCt+mDQ+0RUDBrvaeHx4Bq7ewyPwb8b2aFPUATzQD3b3aIoLuQrCM5F2WEe/a6gUCRPih6538FW 0MyQjjWhxfNvbREfixBYZU82WtWAXmxA76gyLR/tAmqgDbbHzneUmgnahzuuTI/vSAi7a1+Yxw5h Hilfd2je849p7WrtbrHuKyiEeZC98W/1pX321IIZJCfoDEW5f4VgIBvb/ZI3CoGg+IwgVKRUcOFs arCi2ejC4Z+FNPB4VDTzhJWBMwTkd/OMfod1afY25nKSFOE3JCIOsrEFvad/Nu1JwmDaxKbLHeye 3x2gYvhjUOAM9rUMuylviosdvriOpNpT7xqVPNg3JIRBg22xUpsgDGc/4PG/sVvkLkFzpDtBUSyN KB3mPu7oy0KCJojW3ZygRfE0TlAE8phyTdhi1VPaW1uswmOUTi1EUp2rjBlboSUAgC2zXRgMq7X4 jEjUg2Bg+mppsC1WofXNmlAaeDzKV/evex7WH5dFRvvi98Mjo384hxAvoNN7wrqre9efwzVZwppT KOuhdCMzVqz5iRVPAIKqEmr/8KjDrbxI3zizIi/2mm80zUYpPyUgazLRgGMFB2iWCfUKtxCGiycE nfwDEazzW7gCVWfS0XyaQqW8xLS1s7qKpAwnPikcACRQ7+P89iy204UjxVJ5SseIQuSgaRrQ6qS5 Fn2kCsR4aMYOYnnvqFayaGgV/LHtdSMwg3Aw7Q6WuJ77gMK/uEj5fJB2tO+0gyZt5bbJBId4zzsA Nms92WKa+foflmIND1heWwkWfyjAT0tocpbtgyceYHqlIqvDhv2HA6nudDZ6Q6MA7NkrYe5vG0xS Y1QE4XEaKWDtKPWoi9chOGKLfyCIi24hX7caIs2vLRcVqtmRbu55CITV0+rorsU017iuSbEVIt0f Vxk+eJ0RkicTDpDYcqnvpDojigoNNtGZ280T2G2vG5RiF7lSlVtuV28HnQywAkGKaHkVPh8Vq+fX jtuItPp8dlwUX6NSMurq5qXZUBwwrZjqxdhJYWQ7mKJy9chSWc7Th1tK62depkz/znHXlsyloMmg HYfQycD4mxrC+JTIc4L9Vshk2GDoGFDvJCIES4UUoj/GlYDNChy4SJ7VFBatEWafIGgJhFbQWeTJ dEJ04tE25olWMTqZwqq17P9K6z54RQ1+3HTxYrK/QC+jw91Ztx1NhP0lD8cggD2E96SATcXUxx00 TdDxLKqopc3n36fpsWUVJOucD6iy+SSFmh84mOjBiiUEsEMxpN4kApnKTFRsKNpyUaw9lchmpbU5 P5LdkO5EYY1oz7NwIbHT0KXIFDr64ZFsOpVj2tsGoVI3Uabpg0oiiAMk/X8CMz4f8Th/qegRyVSe SYp1P+hbknTrQzg3WyjAhFz9oTZdLhd/KGoxMorWxuNgk4SwKKsTc9YmGglUGlyo2jUI25HAprJR QR2GHxJkJPS7Q/ClwRS+YGPe6PMDgQs+HwQC47eH7Tm+2tfhHYXcaEQOsq2U9hPDbQQYF10+P//1 K0uR+EcV6LMp729P3t/pAr6kpJdPqA0IzwkpoSVcGP+sxwhHDsjpz0YvndbSGnR2QwxRiKnzg/L5 YLvVb+0Gqo2hE+OTFJewUV5LN7PFfa0if07Flak77KRskqIa9qBFRxVeiIOf3XCSScQe4y9tEsxB 6KTu2zmPOuXfq+prxd42qh8Rj4Ys0QZN0j5Rq6r8gyFl6PZy8efyVIDSCHwcbZZC90SqpORRTAI+ XfUhkGgu7gpEfs1SYJ/omAcwp3yw/BYGfCeYkdV4BY6UwJo6ZBk0f9DP06Ekqy1+SEQMJjZtPYOl J8BsqXoP/8TQQHE8YlaEALAA2h6PydBDsRF8pZLRw/C0Qtty4AMgqDZNETTEBK32TD54IQnmU4lm ZZBN6XtICmTjHEUnieCi78Z+jk0Uswwp1vTBsCHnh5Yu3rlC+HwQeRdP41R3wtXa0nWfin0Uu0RA tpUCBA+hSIfMkqlJJIR61x/6I4pv0SOZg5e0T7Q0CcAFRo06BcXbApluTOWaj/eV7G3/pK03Fj1y 0InQ4X3WknQ3fyBZx+cjufNfYGIcA+Nrx0VVbSwSQGTTj5tN+lLaI5vqzjl88Wc1SWHkTc7ZXJK2 WrdzdHYcfEd6Jkc47ZORSEwW6XwhDmKnW9Zm/zoHboq4IVhz61SKLd4DzudH6LSCpUUrn49EU74r AuyzjKtXnUM/RRQJBwUrrfSfvLA9RndF5p3c/yUHhopXCVWHd38O73OhQUIFzWoqXoyi9oB62Aih KMR8oUbDXjdo8y+ppmwN/M7UQj13c1WbH6acoadiWd9YGiKux/XqyoGnoksWDLvyzywN32/IUW2/ wRvAl1IYdouDJpIMBy8tNSApaIuFU6mtRpB/hvNOBdiJXgF2KlFZJoeuirkIJdKnD1pKJ3AS+AJC bHw+aCKlX5g+yAvUy4XNga0iqiaFntJOQxMlnzS06X4n40R/xe1vQ7wIGXq1UCmNgxeXOukTdGDY wHUU5iaDKtdgaEpc0Jl6xV43iJYEiOjP4O3+vqR90cvm85HA9zd2Fn2l+nh25cBbEYKEGYqWTUnt WUrbJXhWvVWTTKkazVLkBdOn2dsnHzzuRrsZmorsRtZqhjqJYjQw44AQ61Uu8LeNlhLLlJJLS1cu 7QRdSZ2A83f0fLCUxi+2HiL/VJ6lFJa6B+WutvMclqMi0BM1CMksyCFsksJatxEdSAhpo93SUqeh LoIEFOBQjSsyf0PRF0pwYJPdPLcOe91AKp7HUarSH66XbtU2NF8YBqzM56PsxDcc/2PkXOu2tXPo sQhMBPxCssGPxoUfUSVaee5mkYpFk9hkUY3ttZMPXgzAv6xJn062BlCFP6cSFPqp2E/iirtQZnvb P8l/Qu7QBy0lKEETSd3ybHw+yE7K9wVHceh29Ypy6LOIMgDkQaS4N5Ay2ql0NoYrOjWpECf9oagz ydyNCroaLn7k3LTApbDxtsGFwNGdUPlrvIj7Y3CmKCB0Wlxym4acKAeDsZ2DJTV+4smuMp+PKia/ Oksoj5YrhJFDr8VBw1fJO2yuqStEDIK2VtJad7/FZotcH73pfmvP/QaztKEzGi0BSD7R5AyQ/gZS pQ7QKcPXZG8bxZNMcOlbr0FlpY67XIdxmXw+ohB7PMnQEaiEfSEAObRbXOwKlO1ov+EVk1rZ2GBX gER+m6Qw6Bb/vpAfInFjraQ1z2YbwGOhRplGByYVJOIGduxZGlAF1pl08txkbxvV3riEWrY+00no 8W1x2BU6sP70vTb/QBR191/3WzaJO5ulEEtCX1NBbAjcusLxfbPInaknm42ilgPPxYur3an7cJdS B8OLyjxAkrSB/VZBZfoZFJNCLOqJLiV3c2y6WMRKku43VVy5lk40T/wdDKX1lhGD5ltTloXX9OQm oe3ihlRhnc1ykzrdrxMZhReW2ro1k8B30b07x5QTynyErVHulikncpPVUSpjWQUBkSwXy+12U0Yt x76LWkTjGQzAfn7cac3KzOeDDXerb93DANa2fJaiajcgHigBTAOVcBGoGFDbLQYA0Giz9BfxkPoM Xn5L6IAvaewD1Wyk9EIiDXpBhLbVR9Axh+aLWbIh4DHZoAsuZaqMJZK4+fzfCH1iPNIr67ZOQvdF ymP0sRzdRvcek0hPjrHtzUV6cmC/6FHA2FpR+4G3DRQmZ5e6LNowRV66Bdh/KpyMejsndPDMof0i LQgKpHd90CQhpeLihSgEn4+i7l8AN/wyED7xSYqq3RK+1C4jp6/6fqu9XHO4eqtvgQPjdYdrBlMa 94I7e3mWknh2g9oN0WLsN3BCC8Q1c7+TRIYKPySYJPktF3Zii5tmoARalgBGrL6FFozll/Yl/QP2 FS7MoQcjTlzgJ2y7UbPbASblAkw6bd+7/lAQBSTZocjZ4suTGkceXAB5KFVo5lKaDn1vFEwmAPsX xLWXvW1wwS3p8Jbkg7Lc3dtQoNO43UKMyfjuemeYEVDKymcpirqR0kAg0VEmPU+fpb1dDxz6iT5L f6Y/1s3aGwcLA1qhcrZEn9CN2VvqfKxZn78H+TnWCnemdyA/5A+gdrgD3kFL6fwTAVY/kE/g838r K7HrjUsVGZhNUmjGiPYe4P4Ga28kAAn4X4z1d77azgbmyrEbo8y4J92pONhSkvLByMbwg00BE2Eq uuNu+ydoO4dujJn8YipT2GBgpb5IUEb1evL5SPP6V2YCBG992pShHyOLuJRFEDIgLb/ddhpueZpg xGNzFAXdq8nsdG4fvIg7VgF+jwf3HgMgSgJRcPKQbdKfSRrd3jbiaImtbnRR9/rKDfydqmRDLxnB Sj1QUi8X8/NkJn/2ZKSPgRbSaM6PWEwhuZBycfflHJoyWrkksU3JweET9dw81EBAw5K1PYaT2Myd KqVUvjZ4uzreoS3jFFk0qfSWvPTWsaWHjE/S4PPBLF35dN6F6Eb0y/XLsTEjjGRosanrbXSv4i76 5ZiyiDtX5tiZUfWSOu5waSRnL8wt+ERDgRIAVPC3QEJCCILQV4HSzs3eNggnF1vdSVCTtEwZ4rw0 YZg467JeMkhy8zdjtEhx9gZKsTdjpXSx8/rRrvdAKRffb5CP9aUUq1/bwb198KV0ju3z/1mornNB kTUJxh+QOGAQqj1vDqjLXjfq5lINEzwdG6xeAj68SJHo5gYOjd8qR1iE1HN5rApyYNEIXAAaStLu 2VxKxbLcMmmzkzRLjsQJPRoHOftVXZP6dE0WXaUn0S6ZgKTK/G2C2NNRCgC21Iq4K3V72z9xtvKQ +OzwPmVHyqvMZKNeErg0otQ9vtI3HuRfLe/QpRGviiqJ0ADOkaTUUcrplrpxFWmSIoQJZGCIsM0+ eAwAQFfZLHWfWBJlXYC6FoCsDZgKCGh7P2B1e9sIzs1OC5M+DUa6ObuXF2rtPJRCPHf5Lk9mULby U+kOjBrF1DiBklsTndTAVRAgHWiT1He6R3ccc7Ne0mbxwSap9nayq03234kycfkL+Xaijw6gHfKW u9/SstcN9ltiCWBIC3s8WthA9xdlJp3P/012jVNKe9V1M5PQqxE6TIPgXeFw1FoiT7t7PffMUvGq UmzWKDfqTNw7BwcrQaKVTh46uim6d2YJvbcOjcPMW9sKlL3Z60YChziOBmkhGrSWUGYWjXSvxeeD ePKXHELOUD0mTcqmKbY/J14Z1SIcS22RSQKharbdipDLzHIYdYeOjYq6y2B2xsEguRNK6pnVUqiD wFoXxxIZB4PQBXbMLFoiSSkHno3ohvJum5wmDjqXIL7JOi6aG3w+iAPmN16pkBww7mKKXRtJ+k/T ykq5tSfNHZem1NygOQe2jbChlf8wI0sO1vI+2wGnN7mAECOHpbWSPHCdsWza9bXkN+WH/OnwLlt2 TvvaOdVzdsuLp2ItBb6NIhl8Hd6QBUOS7ZMUo7vBo1CJm81clxaDP5vTBBD6WwIXOjcuOn2NJa7S uh24E5nCvGknyhqfXTwkz6LcJIO3RHMu6dcoWAqsG7Gth8CT2QdLc0GUYzxYW+HzwQ33qPZajI0n nqUUBd6VjjOUOyHEZLiq+jmXhhcDBraDzVIsQcJZyqZEsi8wAK1WoLrZ8t6QLTMOs/yCKiWGnTWp 2lto30j0xMkDhTShDRYV91EV7sRAjlQW/8DfFP14IzaZrd4SZWDhiPykUIfErHYBD/FE1zW2oERc vZAbezhqMRWivDlc0kk/xyuto+EUi+2xVFhC4e18xr68N9Yc+RkRKweXUs5yM/ArgoXCrdxiAD0R ejjmx7uIui4U03lAXYGLIwi4Z5LO2VHU8y5EAqtRObyde87fe8fFNo5Dd1y7g3dO1j47sBDUtais 4ghB0PDPOUNjTxP2a9ve9g8wykKLJRs0SwhUqSZyjsDK5/+mJa60GO2lccOl0MkR9R6I0SYLl6pr Ztb0pbbBRhVjysDK8XJzZJtaXTUNjcoNDkW1QACXN6UAJixmGzp39Dp35Nuytw34S9VcZ7IPhlpO SZa79Rx/fD6o4/5ieKEU1Qggs0kKA++KRuUVlCjSq6WzdUouHDWNpKM/FFxwUpRorfjgOdzgOcK+ ybnlofmAHhzs25AznklnB9uYOVRny4GjI9J5gUtobLCuscG5QuHNyuS16vkIrvRLnw1pfsep79MU ivwVxizTBMhQmrZpmvWpmlBFSNMUgUwqE5MhpiQHiwPOAYVmt/ytO9x3KR5dUBFnzZv5ybcCWQ5s HWVrh7tN+hv91ihPzCIJBaCs+Px/QeLMKnVKm6TPS6zUzkeKTpno7iWT9FK9siQJdpLe57IS5Uuk dP7MCQIou077QxtshuqJTHfqIlIsSDBLxC7jEEaWiNPKlfvx+3xe4iS+aVL+1imoycEaS7gMJNG2 8PS/ZocvuE2hranwBnxFZYeScUc0ObtcQ75FL5rmJ3Z1G2cIOCdbQMHkdPntyph4fhkTVzSkZuky Jj5xV+OhdV4KxysuSciVI4V/cO+vs5NIza9svPtgzRIAM1kCKKjevs7OU0tqohZSamcT7IbpeQmO +BQERk9Slo1HOWSairM6a+0sQt5dteUlNNLa0fRUBdv1HkLA2fQzRXIJWziOlmTKeP6ID3dxboRy vwRGfFO0ggARoqcsB6ttz0zHN7g2tc9LVKS5NRi3rR2UbJTT0rA+WjudB/q8CqPDTTHa7SgNQgI5 OS8BEf/MKFo0MuhxbDX8DEpHt4YufBPOD4NQkooEoFZUAMZ1/Rae9CUe4kfkLnh7MgVWBNOcnVVZ K0s4xfLnJRyyLzptdjinqK529kYwOy+xEB9C4jpS8qp/1h5DitZlbs0UrWdL0V4iIe0s6avL4YmD oyNOFrthUYc1crZon4wDcP0D71p/aCFjG6vR8Ts6dtRZg9efDckuIXgf4tjpJ6R+iYL0tJFJmvIO skrX9o31EgTxKaSmA9GJFbJ7vh1/NpvU8Z/JhCFfQiDOzpwi/9ORh4OfO+CSJFiHA0G6cm/McBEx orqGUyAXu7dwgvBV36eHgNxMergNVp89G1IU9bMkPy/xj9aOBYntRzNJIbhls/MS/egoR5l/9OxW IcuvdZRiXMjm5PdWUXuJfTQ7hvZnHjFWevTYIOPXQS0trNESZASEEpUjzg+KKq7nrfIwiHZWlovs aD5oclZpTLzRMxifl7BHh3LK38cOslVZoeMjX2IencmV5iDLJgcWcnaf1+Qxzwkf3SL1JeKxM5k7 arDmyMGTeqnDzynw8YIAFlIMrrUFtYX0GF5NyiC9BDz6AeSELk8nDpqd89NWIWTOJv28hDv2huN7 Z1XGmMV31kudUXO6GDk7D7mm2yLq3VRbEDkPg4m8VBntUBCEhtg1Do5/yHSiQtManXz4x7IWi1Nk sEeT8vV32DyWX2qMmh7WGCfcNWxQJbbBI4oJ2PmIz0uFUSejNRrbT7M7q1L6nrPzUl686/UsjuIO zb1Pb6AR18rZQb/ZpCPCeIfX9Ga5h4PjjCYkrshOh6tlSyiOs+mPcj7ojKi4OSibLkUvpUX9Aos5 RZWDQb22n1TL4YKo0BiLzp3pW0vFWlCdss/OG3lNdzGhj3KLBZVmeQ+/qiJFKk1jdCKKXzQ/ixAj kY85eDc/gdWwqLYKRWyoKzB/Py/Y0QPe5Xaqp4DG79EyNherG5tWRXl7PDjQj+P13gieicLlNT3k YcrF7Z485HmjrelHAVG0ytABh8/Y3uvYaWQ7mRPN8gRYjyaIGmxjj+KDTdAGenZthn57raWb/9z1 jX7ZIGWR5F9l8T31ssHhTA0E2k/YoIs9QW5VSOzKx9+XkMMdmuxTAFEtVKnjDEUhM9JzSI9YPbGJ CUm0Q/XzZ9KUzhBYwQyBX4I7vSwfLpRvAilDuVVIYydxbs8aYLV94C0931rK26OgGXkuWUbbB53P u/cuPdqGOtl71Ix8rX7tMWaG+UbNb3Q1hT4wiYfylKOvqk/QIDFJRxDtw9XhCE7ozgmaFIHQ4JFh 3jDH3FW2qOenFkKtEbR6Ph16fn5/ZeEcgj3WhQJBUdIGTdCJgRnEovWF9kZ0CI3+tYIai5fVg583 qpo+lC2g7QJRncpFWkF9Os+YfS4rtUYTRPj1ntTu4WCJxUmzztqeKtLvffaYpKQ2NKnhBEqdn0EF LWxUvmt0CKk8Jn8ZZ5/iuOxbOoXQOXyjqdl1tL8PIcKK2/Ar/o2mdr/ZADja4sOWTLD3fO6VW4Xm hZ1C7+GzjnswHQmZ4eA1jRObg7EkIjaw10Z4HOxDoAX0eDPTgeeNpGbnAYUOG2HqHNTXIAaHHdTU 6ueNo2a7Zd0giEJ+GGCl4HP0HkPjc0l4zFaLpk2B+6Im+0dQHRyfHgXRfZnpZ/fB5gjAjgH9L7Vb M1CiqjEiBYcHKo4Vq/uI7hhF0c3M4Wkcy8FSDNglsPAz8/68MdQsvU02RwLWwhV13m0WxdG4WCBq XLzEmt2wsVBqgen7YOuQ2ywKpCV1OGQVPzx9RA4GKyLi2iCeAewnkf6cjIpsNVM4xTQPRFR/j6TR Jy0UK+JEcfCOxhzClJ3Q6/NGT7Prtn/ts4I3TtkTjTd2mq6gRkPCIiEfYGPdWrcVF6c9M1RcRSuK pQcbhyBk+OCpBuKhgkAWQmznyywuNloXFrylmqzzq5vxHktfo6tuhWiH7ZWeiprttUOpJgqm155f mRgtLXu951AUTeMiOafPMvreIP1Y5bHkFrIIiL2VEYbTbPdMKfpN12pAMxCk/co6HYoYi751lJDF fTuL6YkKRzyn3jWYIBrHtsErn4POIRiNkRNaCWiI4mlrodsKAvAkU8xD5cMonq5bRpZGAhU/QKS0 mk2HDVpCZpX2xkm7VyI2Vxs++CGUUKSZLCCuk9aZ52ehoDoDIdoCmnLW0Lu+X2VbUP2p83q6cPjJ MnRgwo0wf94YaRYqfE8QZJrbuMnqGyHtTusozfge7mPAGuJ+7L2RGKjA+h5PYyXKOqVmHy4opp4t MKZQnycS2qTHpESZA7BWaVPkrL2il43q80R7trl9UDyNu0wp+lnsnzc6mk55kXv8sgdqYNxo6I2N pnULtlOp1VxRS9smk1WIkjFvZqAdNUPv8TQSeqZkhSkrBycQwf+lSHwNRh6VzrJo6wFcBVg4QiJv F1Lk6I2MZuEQU3m60mjQGoIXheREcm2fNyrabYA8hVYUHwuYcTZDUUB9DgK6yRn+jM7tFg1lnyE0 bLZtsiigTlRamYlQPQ7PTQ/HycKKEHQgqNl7jiUsOlCx6I/sYBhKibzx0PQhjKhrtnL99C7GQrlV gpmZj0el6O+LbDDU2J5yvLHQdLqDFaOmNmtCzVya8zknrjbdQoOBV/0bCc1iIW0auViXfnWyYKtb ppgMkIBqjSiQRBQjsdpioq2HOvRGQrtZFeCL7Q7aZeBY6yYbaX7eOGi6bNf4PocGAThe+HhjoNne 7FQ0UjUIwYpbYHSRq5r6YFZxfSOgWWGIV1hWIyw/jbBzMIP+nHRQQ2u96qCGxDdkLjrSSJXr5fDw xj+zqH2/Yc8HFCG7sLBzfd7oZ7bMfZMxdKbufbvHUBRO0yytui/aABbfQdXlKmRR2UBL6D2cVsiA eiubPhxuZQhFxEWPkLNXz9oh8Hyjw9EhPZULxZ+sNLT0su/HEABbgOPV5oOW0EAbiZ937oHPG/fM OsblKxjC6qHRgs1QFE8joj3HUDPp8K5knvL8w10/z3bzov0b88xCXSYcg4UPDg7JKwPt+CUpA7SE 5XBNR0AoJ3SoYz9WTvyMYIJoPrco8YfLq7k589yrElCHJtXnjXhmZbD1NUNkLsx1D+qwNL0lGzYs bU2Ue+ZBTadISWOTxsIZeo+nE/0lkIvl4YOFi7C434DBcg11t7juxJVDFqjNWzsb7Im9sc4sXJTB NyELHHROw0dlmwVG/7yRzqz86qeQdI+Qrs57CkXxdKVFfB/OXMgOMjvPGYX4bDzQErTJ3uNptK1x yW+qqGrweDrvDftYQ3NMZDeYIKbBiImhouCGewjaPm+Es2ePFWjN+KB4+vzv1IxGhrU+b3wzRZvj +xSikA7as9ZxjuJpuLmN4gQqGLM1hwXJj5jhIsqkajmH8TTLr2dfbh+8NDTO6qnWcz6Hc1NGNiC2 S5u2sh/oFMEcb2wz68jTm3mxIy/aFb/phNwjE4488ueNbHbrDlY7Y/7FCK8lT1rf2Ga2cBPtZfyu Z5FFd30bxqWGNYPd9W9kM0s5QBtLdJHRYGvoxKz7XOEodEJzvlHL7swikcGEUuYtRtPWLfF545o9 U5TRxvbBwsU0KDkKaR6+YnRO51/h4nl0Dy9QvzHNbhgOgX7bZKMkczCoJkKHCRrJKflvRDNtALbk l1Kz5aKnCBf3pvSpyosALthlh4olVDzLY/FAbubnjWZ2i+Csmg0fLGk9p08TIb/zFf92TDMyxHWQ 2oV1RPE0xcML5UyJCE7bkQuNZHEhgoefQm8cs1t0OTOjJtm6TbKzgtZaNU05x54ltHcVsRGXLJY4 AG2uqkr5izeKmSUcOIUalcFLox161RIam0YwP/PcCp83ipnVPb7jaVSaCyWZNENRPI1m04CW1I+r OngXEb1Rm6EKuCVL+G8EM/0+zFr3ILRsD0u5zwx1dFl7Y04GUm0WqhoyBmAw75/LniZG+/NGLvtu ki3pqLniD+QD01RCdmKrzxu3zILp9TU9KGhCUtun5z2YRuN6URqk/XsBSVi1qrJoLMU3Zpmmh0aD qxExzcGRQelcMaDhkxN8TkqTN6ZUGgx4cTqZBQZhhp83YpldlVVnz/LBYPf4PBULe/288cq0EpLX zfI1jk35LqAomkb9COUyS+r79nsMvgBWAYGvoKWsb7QyK7owZR0UdOLgXfoFjepFp6ITU5c2eI+R HNUaEUxf8s5962Wj0iLRU0RbaVAjGqAaeRKuyXcMttj4XkMoLZ8YKvsMRdF0pbVuNUvm80/VUR7n 6LN+EOBTFiu+ccrsEBI0aKuNSHOtyeo0ytOLDOm82K8h9fxkZjiY0V+gk56SegJiP2+UMqti0aSo StMRwBm2bjJVJKfwZSdafKOU3WDzq9OqMqjXzt4YZdY1oN+nY6RT3w7+HTjWrn+1dYHeCGWWT0r2 kogPDt6LhmF1qfJ4SOj0FIE3gX4AMG3Mi/0lMu3zRifTOdQN80tRXrYuJ2cIv0ORKn/m81HSmr6u ehKK+r5XfRRP41NRD1KqyhaEQ4VqcqgQMKo2Q1F9eqrH2pmZcbgztNZe2egICX0yss6YmoxzDO50 WVKU+vy8McluBQI4D/QKNVhhCLon4mys/Xkjktkr1l8XWVP51zCKUTwNRBB2kTXJOgH58kat244k EP6KFNTfaGS2yxjhbFITOXhxsa40UpGlHGrtXNx1w9qqAzyhOo/WEIsunzcamY4EWoEhAdJgdVRc JI1whhN0zc8bi8w26fc5JDRVvzMUAj5IREDEBjcQeapk0qNQKf2pppiG+IMzFIXTkzyVmdW0z/W2 ESFABRuiIYl58GQpm0rZBlwvlKuwNiKQw583CtnXJsvQ3LJBZQ/I1rFvWU9Y/nljkFnh4dcE4W4A uNMmKAynKSxLxA0R5DNfRweemuS1wldZhM03+tg9zZiJJh+8jXg2GIT4mVhAmbpKXh4JEg7wH246 wwHjROKHRAd1UrY6fTA2yzmBl2BkY33eyGMWT/8CVYEOUquHQ2/UMcUImfz6Zpss049GGPvml/2Z e3ePfWOOfW+yNZoPnrTCYI9+MJihk8NmxtOJcSVSCMzaY7s39LLBZa9WdBKHPF0OeYLwPrPWdeLp N+aYHm/fgAYiPtItDL3xxprj4+GYMqx0lpLnrOdn9+ortUiElQ7r01w8a5c73NIZaq5oFoKWuah0 zYwDjU5gKkHvs5x1Qdbz88Ya+8pZFxX6NHha35JAUaXmzxtpTCvwQl4xGXi8ANBlExSVp0FVg5is RUNwivBG69rbG61IJ3iRvVHG7BplLlaUTZTL0YQofsUKokMzlNi2BMNRwEcqAwiqO4IRMvRGGLP5 welTOZkarHBWrPZ6buHxeeOLWWr13SRDaeHce3cBhYBpuhIuIyBgs11JYhkUslM/pkWLb2yxr5R1 zzl9sPk5Afo5w2SNeiblLFFGizQAhUABaui+wzqlCN+4YhazM0pU/czUYphxzCpJn3IW7ueNKqYF VL9PaZqgz+mR0BtRzJbdZu9wGt5eLmCsLFbXtTqxooM93nhiX41o0OV8sAk6U7HO0ZBpjXouxyk6 wiCPEe6b+ZHZ5WX0eaOJWU7FRjQ4DDYY0Qdy45yg3tfnjSWmr1o8EmKPH5KdCPd8hsJomtKoyVXk UT/4MR704rRRFC1VU5FvMXC6MlMnaJzDnSEY4S6izmY/QZVA9514L4DziRdS0kr9K3xGQIXa7K+y M6HBsQypkwOBixuPB7Fi/xUrIiRlCKsJCoNpeN7MdetmxJgIOi3FD9bNljcRW1ScTnTdm513FAcP ps8WAGCRrATQz1KT4wy2F1ooOa8LXLRTOoqmF9dQkyIKB60hMB2SjJsSHw9a9flXExE/DppyxmkJ o+mMnFWue/gnmq9LlSFTqqGwlw63cpJa3qNphBmFu4tuchysLJQKcHOUwwZy82wHJiZDFvaUpUw3 mGbhrEfBdCKIAaJwPtgSOnfjULVwVDweYYN9CclHFW90a9M9CqYhXA33L3e2pF8kJyirAURFhunI zh6hPcSS2423GQebILTETgDaKdGcNpRoiXqgKQ3KUtCGsnxsUrWiR7VpEiiR9zYfOEEo71LW8Xzp k230KJhOZX+Fiqz6PqXXHgbTGROUk9OiRneD5l7mxeSR/o57rEe1actUiwiH5RIOV15tozpA5eGT ep+4fRMOc86dDk8IiaAZn5fF6R4F0+g0wFd3a2AQzlhxb6MrjJOw4PmAdDj9qufMIsts/TI4ekg7 pLyX68OdW79d0NlwKtCsiYZWnX8nysikVyGbndxvwnEixZ2ydHTOXYR1Q9MvGslRGrn2B3VGwYoe hdM808/2p49slUuXlOaqRKBOuDQ/PSpPj+2XffdoiH0FzVBEPQQfFW0Ns/wq1MyU5ddeXvZA909Z fY/hHoxxvobb4YB+MX74wlMoSXeXP0UDSAPFoatVsfWuURuRDruLPrKM4NgPrPucKbRp6ufA//T3 cDrR3OELDwNraIQPNkFxOE2X73TrQi7BUKiRoAkaqpx1/p1gCQ1RV4WfTk8b8STu0MFYKnugs0Gi B/o2Pw1uc+ji+zmEsxefEST1Vda6z+AJGUyPWevBbxjF088m412PkwMtTZugsD49WetoJoGeaZ33 P+cTy4nwHLXL6tM9jqfZf5fDR38cPtbM9WQbuBXLCZ/PZYWCEJT6zmnR0QjOrT4Ic8pW96g+TXkS xA7TB5U90EdZJq848XhwUo9bwsd6ozzYvD2g/h5RnygVYAa0QhEPmlWMvGPZRZB3bCb+iEd1BPdI 4q6ulH1wngu4CaVQsnqhi1+I/iSaFKaBZ7Un32Sb9eke1aeruXvIL2YXt0CBEB0r8PUEKHg8Surn V85aUWWql+fSI/Q03DLPtGBt/A8QRmq/olwGWB75dWhEA8ykUyhCeygnm5MTNKlXwvfc6+wnFLaY YJyFWTYpndB0A+Ki/CBi9TYZEk58RhQNUQNmrTtYXQiuKjxme9t4PFhC6zeyE9WV/ZzTYUS92KA3 +xw4HE7bZeMq58BIZ9oSisrTsoib4mrOh6t5bjG4CZgX6kToy6Oc7HWIDPCTvNNKUiQ+JEhbJUqM EroNBg+eOw/Vp1PD438tDCmOQhX3ue1HFFNP0kqlJfwIejMi+lbvcNXzEVWoJ6uqa3O3cXDMUAMh ZFLscKLskZW4gqqdIcAMXsR10cl616i6yJQ+0SyuOC7pnGTnnFB18STIeDyIqUf6uuyp0t9us3VE MTVaYEN2nLzLGJzqLivFMfjphowjLlBLoyINH26zNW9MCnsc53evmV3rQg0u3NvzoUPT4AWfERES q/BmwwdFQyeYK5wgaDPg8b91o1nMxr5AU9gmKARQo/QxXJzihAi3k7iTY2NmR9laExQVqDPz1r0o m7+ZB/8MriDwWODeodoQRDx5VLGvBBkekuTtsocYDT4jgHay5kG3Jg5FB8q57IeW0zlUOx7/Ly0O oFnzg30dISFxFfYRXcejVcfg99RvNFSTQTtHFFAvpWMSgdHcYoIStMwgrUnGJowsz5/igdUQWOHY 6em56ifmJ4Z7MI6uqfhgxVfIaKlbPxseDw6hOr+jRWg/rYvKG1E4jehwoEdg0Ne2vTp9wg0/gk4A Y4WPEYXTU9hg22jziRbB3wBqnTuMDa5hxmiI2EBdAp3Ce0D0Px9ReVpnUON/XCAe98OFioM+iUs2 TraN5/8Lz6WQi3i7ZCMKqBF4D8vhkXvkR5OBTj2SgAU5V3ssCqgXc7KZxvTBczLoaLY0JJML6WXS zSpKLjWzUHJlcul1jM8I9phVzSQnNOvlKJxoS6f0iRnw+N8OocuUosO0JiiET8PorANbIOirdAeo 6EF5HnY4OiTlNUERfLryqocIgw+3gH/OaFprQEkIJqpSiafCK4TbcSh5k4yCWSPU9KBMfgdqxwZN UDoZASsX7QR1eDwKhsr3TV8guD4uX3NEFWoi8AtdBwn4UC5PqhTxpJgsuHwas35EFWrLydqygXcp T6GTU54vsQ0SM5E3sbzYyBtfPwhzr6fg+fP4jCgWwg3fqKqvQfcYTSm4R9baeDwicXzvscpfqN5j KIqngSIc7KaZ7eKa3uMos3gbkc4WnKAwnt6SMc3TB084QBW0NuLqZ3qk00mSEqumGfmaHdRbaygM qNEAO0uO6OAEXRkDmKP2xHUxTiSP54O8vvguI5QYbTI2SDVFYUANaZiyzV4RqqZWGkIJxGhT54LI 1mkdYYk680AZLJ9x8PLZWDBooxreybnOQY2YG3LEMMpFLoiighXx97aXjTqtxODTLkaDkRTOyhcT 6ARweDyqfPxiApHmd0WpZliilozpspSj0WH9f9zdxRtls+K64QzNUA2vqJHI4hkHC6fbCajHWAyn VwNLTiZonUKr5yuzTG24M2YcM8R7FCGnhTBfjjBvsEklbwoNTzweTNCvYAhq7zVdBZQZhdN1SJ0h MZmHN44ZLKIDgQgIu2xDeok52QwJiVsVWHaDOHg4fVZHZcEMVeUTmUsanmgzGEfhELwFWCQ7+IxI IUZWHSZrZg7h+XzclJ7imaCCx/92DJHTMhpBt35Qz1DgA+IDJ7G36mLb12hxdFtXqBxXMw6YISGR UCm0MXywGQLXYY0lzcAJsi8DJ8pyt0xbnHw5rRQfmGGJurNqRnlSDSp8QGFFiJi9Kh6PZsiXUHWe S4M2p81QFFDjEsPF6y4dbB6ICbSz25kB9mwKVXGFWpUPSgVz8PJiOpPH4haU8RJEKuR7iluEIkj1 miziV+ZnBDPUpBFMpap8GZvnL1aZtZxIMuPxv9E4VKBGmHrbHDOKqCupUtWgHbJDNo5C7tPxHqOZ oemMIuphNDsVPvIjUnWO7HOIDamWrnP4ZIoU0KUV4l4/lHyxlAO/Ez4j0q8oTFZ38kETlE6yy1/k BC4VjwedxO54D5kMFsicec46wwL1JLG+GbG+Z1escB0mer2hrakJivAeEq/4N2/8bK6zPqFcxVOo gzBOG2p64iDqp6KbhYssLs4ongaGBni8dAdN0HnpydmChgUejzi/+TtcpBffuLqlM0J8AP15fl8j QyN9vXYKiyZCvOrJuUIJf0YV6pXfZV0hxARE7eYe26jEMONohWidc670nh4R5aJ3DZYQoR5V5sr1 misjJaPVOAxbGh6PUrLffTJ4mV8A9YziaVq9oiDhWf1ltDbKhxuzPpsT3gx18njTz62LbD8XWV5Q xEiCdlZgS2RuAt8OWGz+kFhvNgr05JhRfbosQTrL9MFqi6gUsIK/Nh//G6ZKaPTyHSzOCPBBRiv9 lw0Sc6UHejfTN/Rah3HJZhRPD+ViAKjbYCvoZKnQBRZLYUDFXKpxjPUHGZj7dskoVDWjcBo/EzLF OnxQ3eOkwmVKfKp3PP43zBAfwwoG3NImKGQjAnR2Zt6a0b07axy6wraC5klZrQk0o/K0VIZ2JQuK g62gE/SiLE3K2ATaIy+5LXKLAcFRx2Uj0uV9hmJ5Bmdgfah54QAIjCTrDwBi8XiEiPFQiJqVqNfN R2kxCqaRS56Ue5k+TAWIUFsst+QpK20nuIJWhPcYrDhPiQpy8FjxpC3n71MtD5ChcwFZMJ0BGUIk s3+Lb6+wNs2SWcu0muTgsLwln1cYMuDxSEDnlz4MLkOqFmuCwto07ASL7Mo67d2cEl2IwpEbJ5Nt alGGeA/J5MlpioNjg8+v20FMYCB0UqJGjZ1CJDR98sZTm8ZCx2dEtWkGQrJ456AJOlex2L8ny6t4 /G+oM4aVk9mGl4VWqC8Nf6ki1D3xHut2N1pZ9wzaBohZId5D4snLB/6gjBRPpnTCTjlNw4aj8hwH GRGmEvmHjloPZ7zhM4JIMTECoueGBttiwL83xdIDj0exdPnK6Am8XVeCaYVcxDKpAGd6i71WZ3Co Ai9tD9h0aYuF2OmuwuKsPvgWg0bMlD7MWYgTID3sBaSbBcccPHnuNZ/0rkFxWs6Jg5Q7DjZBe6mJ WM+FgMejhD59RYpIqcuYHkqvKJSedAKa3bEe4olv9cesQISSR9YhvWIqovxuVTVstzgNasP5rRPp vou2Aizzo0oAMhe9Ex/9nKl3DcpmmqBt9qbLE3oxrXmLnVxjxaXp8XUGEfm6+z2DolAawdfI7h+B Ov52ikvZyQ7pc1VXBYorVPYw3xa168sjNQ2f9ZNxSGr6XG+zbFJc4E3agd7IRP/I3yYRdLYisIc1 oAtReRw0Q/tkwYy86gkY8XiwhJLvMSIZyJK6pPoVhdJwqBigYXmyUZZHijfZQJ3QGmQrDKUF7Bxp +nA7iPV8gy4kw0k8xqAkY6EbCJyHqFZlisEj612DCeqkR0mTe63qNu7zrFjl6CeOwOMRqX5/HUKo eFFI3iYo5CKi8gpveqFhmiTvNw0lSROnJVZi8R57LARPdzXo1d2oT3ejzJNBJMDM1IPucgpAuQDX TpFNkRXNKA6zolA6kUu/h4LwMZ2smbpJ67fU+Irve2xdnp3kqeHNcEtCKwqlO5y2enZJ7t62lRJr mvVRYEq+guLSNHFC8tvq64vwiytmL3GAwEABaALiMMA50kOtM7Pv3GPLXjY4pskVL02S5ddv82zg spkgwwoGjwdd+nVnCGckcVlp3zUUBdPw3xtwGbBQSGrTJGvW7hn9eQmfolh5Wkxn3lJdyAtl9Mhe obTFY+gELUBvNgqxU8yF5PQbC42hl/0TTSpRm0iDUvoKE13ukrPw8Xhw1e/vYwhl3CazTczQjqJp lNmBX/c2q2DCg5VX04IlF97Q0zvkIooTXfsdPFhszMao7bGAFJNYU5XHBpAW/7SS3lE03UhFTIVV Mw5aQzBl1jmdBh8PqmbXFECRc6I/kE/QezQNagyUPSiUqQmy8hCOodtDBFjDJig2ayFoPFMkhoNX zTbVu3jVbwCrF4PFhAutn333g3PbDZIpfL9D9DSllyaNyDRYaRqaWAIn7IHHA9Ri+ibaQfgJRBCf oCiaHrRNUHv+7LGV2vZj6DoDQNnDhCt2GE2LTp/38sFrQmcuBpl3OKfP/brZr26D+HeInWNdmJMd knR8RiT5uqRD2X2wfAzKizxnEQvtmIn4vYLy+KXssUMm4kQ+Rmlr6guVCy+nBJjBy6cre+wQ6ZFZ E2pEd3LwYHGBJ0hOJMoU53XIpCpbKpTN9DrHUzTbUTRtcpRddDLn0ZwDbg1V6c4tPPF4pBvswSKR ashYC3wqbYZi6DSwHqM4T0pZGKUrandxbipDaIZC6LQQLqbR3R+e1ImWz42OrQy3qIzuIp2BC3Ab lEF6xGEWS/c71vZgqtokgN+uIRKq3NPsRCseD4AM+84Q/ltchw+2fEfhNO7YQYkDcVy2OwTAE8Id yDtKsJqgEOnBhGwV8jM4eFEIhPHNCgfKhqD/sOYBZVCWFet8wPd4DJ8RxEKZEI9E1BkHA9+DQCYH hbTw+H+RF6IGQL+U+h3qTgNbPpKXFUc1Fxdczb050mPcaywUytPxvI1ZfzUHGP5AW5l1V8g7SNOj kjiFO0HAvCb7WnvXiKuJGIhi1TbYHoON9/ixBuKOC9O/lT0yMmZPN3YYTUM0OG/Xycvz2WLJNIfg XTusKLRjoAehnJ0ekRy8BX3WFvRisjzHUgdx+QRLtGgD2K1f+kahxS4+IyDaibchg4B6DQLOX+la stD/xuNR1Sx9ZawFKeK+hekdRdM4J1GYNhpZoYkKmYhDUstFDi7JPEpi4DS3WGJaxsHB97MXYr9J 9m3S3yXpHnC9RoebC6baU+/6p5pHXnKuW5dnd0JFiV+CUYnH/8ZvYY++0SHp3vNRLE0VuFq2/FoQ P0+foJmdRgaoqk1QBPOYpngi8+P1mB+ncyqDR898DAofQsU2etVhgtDjvFKU9q5R5V5SA3n4YFsM UCaWCnMaeDxKWPOvex7+H4+DQgphHpihnp0j1ZkSqeiRSNuUi3YzmEdOsfA0fTM3M1cO+sgJ/6iT J1FqEZ7gODOQbqDW0UFzATX9goWowUR7lffkiFy7VKVoWlHnEe51bZDRkdafeeQfiNDl34xWuhFC dcGnKdTKqxTqbDyLUcBnaFjYIyN/jByOVNxsPMUhNTPWrH2Ur0nABFYRrn40G4eqXUF1BIVwvCaq cdxBVsIf2143QjOIkpiXD5a5nueX9BZa4/NRs96PIxYC2Aq42E46L78/Bq5JGV16MEjBpjejZ7ZS 0Qk5msEZcgoR1E2uQNJe6I+X3VlIDZVLCiyjmLYYFiSkqQ3ixdibV8W82ttGgAZuuK129GqwO1Hq 0YS+AAR88Q8EcdEvTw6ohpb5teWiSjXYYgNakhZbr3FjawGqpbH8WCeFfojVUGfbB5+lAkTAFN8F 1Rx0LFFoxOaEhishJzeB3fa6EYSRlKBKB20OOpfOvGVZRpzzic9HHbP5laER0d+vjgUJrO/RUYHg kLrSk+5AydVitv2PqAb37QdTVK8e2VS6pw83ie1nHwOedGapw5GLnrYJIWvHt85o2xkcf1NHmOT3 90+R2AfxQxoMo3fWlZmywVUhheiP8g9KR+d3vtMUFq0bhcybjqOTjtg/nUO1EJrG85uQCx1MUZht xdg0mw+eyu5KlkdnRW13CuxgC0IEFl2W/aUPxxgAH/InehlkL33QLKFpK4piT5vPv89STe0rTIJT b0Nk6pMUin6At0AkHVbQSUqKQ/WgHqB/HLMgGdWOi0LtKQowulg2+CRBKGwnCb93tHEW4Z5AAlIS lieyCVWOaW8biTPxcptkmnEwitlKTQn/3HrJKFT6zvgbuX+3Ayti8XvrHyiHZEBY509tKRkbwhpX 4PLDOyxeMx/p7Rm8/9GB+Vi0mzpzdQ47xp0pI3TA9+zNA4FSqr9tROWUcX1X0EReKVZSAx2SV/t5 iM9HQnr5a78hOqntsQpKUcSNn/xsMuOTwRzKGR5ttwsF2a4/lFMk/lGLUfC6D5605XNKQ2VQhREg 2RlRgbrA9iwTNpPSkw1yiqWpcSgN9hk16OhG3CHl5NEmnw+2W/3OSkDMa49xYk5hBbuxupbMWLKP beXHczK6qidc1adPUljClmy5RCv7l2glJuJk/gKgg2YmfVTYzv9Qgq1TAb6qvFbsbYPykVR2klqO aV4dqxPbkypWByPK2O/lKoDw7SAVkm4vLYcOinBPHSLpYJZSXlfBgQaXBnkYpn2aAwtFUMGU3tbh g6W3KZ8kci76TqF13YVd67zyAFdCP0+HEiRz7G3/JKnXsmAzeVzg1Umfp2Az8FAMTRQf32jhsWE6 87hMhi6KjaTO0W0plZKHlwDYWDWB2Lp9kiJgSKJy7prS3JkOTsuY9t3nrOqonUxryroCZHsIHQla 9N3Wz3+wUeT1ZjjHOryMdJIOIwefn5PPB2H3LzEZ2uo+Ot45MFJEkyDzUKo/LkhkUeRJrlldogxz 3e4TGDopLgaUJ5auPjiCr83z3y9aX45FK0UZewBwiGu8les+TvUHfkjUMuo0cd1VgzEXoWVFd7pz ctfB54NE99f1VoBCGfvGAIGZInrXAK6TaUG3yX2rbUl2JzQsBZfIJimMuonu3LLb5mArqcLVaoMk BJAaRMHMrxxFI6qA7XRL2mxe58BO8W43cNNtEIzvxBPUM8E1Wfl8sN0enjnvt8Yg4N5voaPigjya OeAhN0l3v411S26bvn7abyGFUUKoVjiZVyr2rFVIr2eRq8793GV2BplyxoRk/jxAo2GvG7lTyBxH EnKZ8huUQp0w1OVagi1n6KpY5ncGx8bRuF5dOXBVdMGCaV4dA1LAtuGWIBHFmdS2lkKoCNVQJr6m Db7h+gbDIkn4/CQni71KlbUBdSKuwU4lCsvk0FcxU8yhVHWzq9t1ZSxZgWFyL3w+UtT95X8LcYE6 n6M79oHp9Cw15eqauivtjVIsajppLu4iGeDGwGt2sFWd7E91cp5TCEYnkyWTBboPnHKgoIJsF+VT hFGXqFfsdf+kUbRLu4OVTFDD5Y7rZfP54Owuv0vcyByfYkDgrYjUBNtsZC+Z1Ono9J2zEdFO9jWn z1KkDrKkqCunFw42Syf5QXM7k+EAz+BWJH6Bbklhyz09sgXV3jZaStTgIalJg7faqNTNv6Png6XU f1VMENel8iylMOpO5RrhDtrBGBzrxHrVRS0rbLBtksJCt+xbm9ym21MLAOh9pym36V1PGM5OANWu GqTAIB18s9w67HUjpXj5ebAhyUERJWoMMpuYK/P5KDf5rpiAocMav89SiMJu1NetgvVBZ8Ykms+p 1CzhnYRNqGLyB5NFCajk6YM3bXvJ5/wu2zSawb3l2T3oEN3FWnEXymxvG3SUhmanbB+0lE6gMZpR ORufD3KT3/sNPb925Ypy6LPYSXZILmGAK99OJThnG4Stg6irpRQaLa4uKSe1b9MDrzkLtQLDxqV0 vleXOGFhsovfqPbH4ExhQOi0aE0TAMYwUBklCSreFSsVrKTAahGiYv17JZHx3x9j7ijqXhSTJryc K4mgA9MhZss/Cz/iR3dotij5PaCVfLhAv3PGAgFJ5h5NwnAFAgZwfnhEWPny0hY7bzk0W8zs945N wN/wzgNih7PlmG2c9+bzEYP4G7EOiM45e2/+FtstDhxFsn/jdrPpgr6E1yqBIUk+SWHUTR76kL89 h4uROOlIaVTVx41E2XMcSnDmLmcVUVqYZxI1k/QhEcwmiXTFjgB6FkQ2Q0Vi6FDqe23+gQhoc2sB Yj6cV9kXzZYDz0Wk15SYc0hkGtsvuAGRXNXe6GeuUykwXbywWrCVbLg9OKRXCSyMAtJbgiMCFMJA 29kgZs48bp5Lyd0cuy7SfToRz4jBspN+Qu1GeaZzBOotg2rA+HaEo013es7u0Hdx04uJlWI2Ktfy uDvRoE1giepWQzkwXnxEZf/pNXSWEvzeUb6nhGYFPhvxFL1R0Otm1mq9bsqo5dh4MZvLe/LB8Ouz TuozwdWXz0cA9m8KBMKAk8Y9Z3dsvYi6ZL3Cuwoju6wXLwciucJTDr0Xl3kvKj/LD8/obDfUk4S5 AVFmcsc1SNQUEg6Ja6uPnmMOzRclYTQX7ZY56IJLoIcTENpr4/MRT+T7WII2Ue0X5Z9D+0UA8nEx m9fH1LFE7N8qF9oGTzubpFCRr8vyrN7BgUlEL66hPJeZNFcS8Ixw3IZZ7W2b0MEzh/6Lg1lf4/rT oEk612WimELNa/D5IOp+XHW4T/HTwC/ZZynkPPZEXKTTafJw46EqNyIW38a8sxS7xkjXQN7v5bJC 9wD5jqZwgE6cH93wuIgA6OVJOQfNEpW4+CHBLPHirurqcrD9ttAMxeex+BaaMJb5ncFRdHB/HUoh WJvNizqXHUo1XfREIxK5yI5gWpob2DDeFty/hJzPXZ0m+gvMTQBHE3ygo79f4LvO+r5BuPaytw1u uKU6rnKT5LkJoO1VUiuN+y0UErkEdV6MEKc5+eStvoVWjFCxgqiTW3x1x0cWGE85xqTW7rMUsh+z LKnVzp1PO/ec1egLNPFnG1oNJNaIgUaZA6sWnqVUu71tJAiO6ttGnGWDltICkK6xNFszn4+IIzeD 43+NW7VePGkO/RiB3YJWocXdvZg6DdzvugP/AZayDRcbMrKttBp1DDh4yQQF+wpf6QIrefwL5xPt yQKKzT8x2zk0ZFSaW6WQVXNzAltHpEpdo7Of+XwUdn+rh0GrqVJYyeYoCruB+DorqVhHoMmosrM2 Wl3sABUzn6Mo7Ba/ZhWTXik3VDr3UFobzA90BOAjIEGszLcD16Y/kzS6vW2AC1AroOoEvzZEJ1RK JvPYsl4yQpX+ovmROzKeSQqFryukRGS1TBzFtFoAOHjd6RHLjT1z6MqoYLpP6j1wuNsNUK5B7DZ0 5gvSnwbrsvZDjICUrw3dro536MtIAf4CtIQPSk5aHeyqnh2UBp+PZulbRIxy0rCt81kK2ZCVDLaZ vE85PVRaozr4dtLVXkspirqNSSufmJEfDX6kgmeuuqxkJrpzWG0JDMsG2PdA7KtQibV2fkhEOZZB tVgkV0fsZFdJt9NZXnrJCBbwK81VcfIGAYE5o53cfFftt7FdXGQLaiozGeBntZRi+WuF0mT8cXAg DkBbGeVhyhINGc6cZYMzfoNBqPa8WaAue90/SNSwce6DVUxOGtalfYBubmDR+A3EIUoZ2m20iLZZ iqJuHIbnKLUSAFDKhguoFVwd5bmVW5cJXGjSKIQJ/VRt8ASuIh6VbiiEjJFsYZbAG+8oBgBaamVc ivHwQ4L9tkwlvPpghCSot5mdV+bzUbH7WwCBQl0PIymHNo1Y9V4xIeKjuOTaGC6SCYcTr70FPo3n eBMCWf5o/csf7aQm+2RtS0spJUrhoPENQCHYrbgYvSOwur1tpNvH/K335YMKlAuFGeEheCiFcO78 C2tKCa+n1h04NYqocX7mR/uxuQpCmfl671R3asyxVeOSJxN7usM7Gpikc6ks6F/TIg0SeMmAb8By 475st2TCWog+JaKx81RaxAdw0Kl0rvLJ9OvkJp3PB/vtt6A6U5wH+BaaNU4y/YuJGuKfnEPak1d0 BwpPPkth1C3YxN7LB69QrgF3EMaTGxZO4P+2SiHWvjDrvLWtRHlfN9hwSnPJ7c7X8RDWDlUimeec W3w+qCv95ieholzXVxwQO6DTGB6/Kaapy6e6EGtKfi3qPh1xghC5oWUjkH4Qo2EGx8EaJ4B2nwus qa500n0IjTVYQyICQYWQPTOLlshRyoFpYyJUH0AlKdXl4vjAnYvK3ZAt5fNBHDC+UzhkfaVfReMc 2zaS9F+8rqReofLcnbZTKLa7OeXAtxH6E2x3j5J8sK537yAJwM8BHtY5jZ7VPWi8FxZETz3PHeS0 58C30Q/vBptPG4xEgY6uaDhYS4FxY/pqnGiSAFd4Du/QuREHAYyu+m3nmuJIQarl+AkiAriUQuvG ZSWTnH3wYsDYJwMwGbYGX1Me84P2toS/0Z1L+jXZ3zZSpTXY8vbB8twTUkr84dw+fD644do3yKRg g9QnWArdGyvgSrVer29wnsz1igUJTRLOTJukv3AmVfXm4BXKBEkNiM2jUdlO4K2AAXqHpSN4HP1y JlV8C+0b5fQwVxa5lGcvg6UzRZWzdHbC4h/4mwgJg3RqUj5I08DBEWRCJrdmeHX+qTj0Le2aPM1t Fx8YWziKs5QIo+DgJcpzYZ8jfKqOOzdkD1kyAeQMQdW+pDeqd/Ezgu6SVCF77z5ou+1zrIrWN4Ce CC0c8+3mMmZIrL3dMCDwcERuAqOQ1A3ZXYiyU3dptStP27OH3bGJI/3i+17ZB7/gaH205dh8VlhO RE9QdaTCFB3u9C7q17a9bRR1SyiqbB80SecHpSnVD9DRfD4inPRfZxK6S+OZpJA9uSklPpWRDJE2 RaWQQL2kNrrp+uXAyPHKABTiujn4dgOqoJNSfi55FJNwDlVZGsIMBoWIC3xb9rYRR5kyAFWQ5fpA lglmZNSdKp9/P7jX/p4kqn3kpwUXmDki6k7U7lVZ+5xJw2sBEKC2Ku6ka6ZWUkyhZAsu9+6D1wI2 FX5kmDqgrAWBRORNUMdFFI/yp7NyKM2WAz/HTOflk7khlbJBszQlE0v6iJ7/m6AEeSrQF+1c5DZN UbGb5KXWPe5uzdVsTjjqde+T8NY7TRHGpElTXPps6+qz5ZONQJGt0NNxQQfAFP5QeqrA4jI5+ZYf y4GpIzpYrGqSnKTBggAYFUs7FI3KwNXxnyBKqCXgQ22SPq+BUpZ1PcyPTXUjjTp8juguJcI7YDI8 uF/DpAxnA3zvnamRufMjxLpOkLEnde3Bojg3AAWmOjrNFeBAoMVcuB+xzuc1SMoQsGOde1DHjoP1 lXoRyBT3xec1RMog9mt2EMkyhQHGlJLiTBmj2UF78qTjbt28sh/Zq2wjDMJNz/TrXuOj7Fz3tXgW cfBLDRLrGRLTKCYBT8KrHxsNuHxQcdAtmfkL9f46PeiVqEoCkIUG65UwPP0hUPkE2q/Tg7hh2vQI Hn8+Y5Nmitl5DY0yOJQLLbcuhtsYrRlxEnAEqwNMRDaandfAKHv0uDNDw+3Ce2cHnHN+ybMIYIm0 UqIf6ET0mBeDyou+nU1v+r52JEMCJ1EfrLC9mtxTT3bTPq8xEaZWQiGGsmSPxjROz0e+RkRZ9x+U JLqd0WN6ka2xaqWNhcifk/MaD+XMNJNrJvtgS+cc7xNnNvVp20Jvk6UT+lVi/aDI6dBkwklfw6Es 9VRc8YTeQu75h6CGDInQwbMOqjyf12goPxGjYVABmuw8nGlhG24sQv+nK7VI9Zl42zS8TtsgHiKr 1GDpqLS2Rtk+XLQt2810w9hrZ1qK40rDeYyfHKex76tGv+/o2Cnib5fmgxJY2LWwYHuCi/V5jYLw dL2Ts3+MUbqpekgrqWB2II541s41uVxtO/pfuD82/Ee2aPo1BMqJhVMyI7YPfuygjoKbmIXHcyIn 7r2aSJcEhJowdN5bhZWxz2sElMHmYIZQSLXhYMXZdY4A/von7fu8xj9YBvV77TTK4aKFx9l5jX5w lFPEZlv37FxOxZ1CSndkxPntr11RNDsmi2CT9MQ+66Ri0HsmGAJJpSiUFdZNFdECkn5PWuVgEO0s KmbWRR4xB03OqmbxcPbt+LyGPVnaE1/HDqoHm1Js9ASIzmQcO5kpOHF+XLDymXH/oiyYjTR7/3gm L+nXrEe/ZgM4A5fdLYotDGeoGw750wZJyJwes6tJCaTXgAc/AKX8GrkzGjQ7ymEwO2eTfl7DnSws y3NjVQgoEJPC2XmtMWJjNTkWmEMzUmRH0vACUXtoZeMevVYYeSaY+8724UJETnaxAf1C+XLjGqZp 4+SGnmzuX3MHqlZ8XuuLmJ3NTjWVRzSoCtv6SEv3ecHT77PTpdL4dWVJv4uz81paxHLNiUBao4pk 4NS8eTbNv3qsSnAIVCOiaEdKoi8UiHM54YaatLQEcZu00rM0z38JonGGAqJDsulR9FpWxC+wLKXY PiilOFekOFq1Ql7sP11Z0OKrUlplLBiFyrCdgHCPlct6Mc1VUI6rlcsmiaJG74vmhz2OJZ1ZDpdt BBlL1cb2iS+zvPhOAlZ+OhJh2lO6qEbWuwarR7o1XGkaDNU/t9STG5EzUbS85nfEk8l/Sh7xvJPW shydh4SodPYkzyV2MVwWmg/ZkaFhuKxgRzSiNR+YGv8kgQCoTPdJtReIjiQK3EP6g/z+KnvvqZeN zmZFPf/QwyZFlPTHlisfDw7n/R0wo6fQyr253hlrmCFkW3DIs4orrwQhHQiuJ0MUZV+HXwUztJs4 j9xiHHyLnaMJK5Mhc4Eiv7r98FCtyMJa8R2Wl9L2KGbuQoHI+JODjmd4V7O1DEe2zztdDV91+O1F RyxSMLHRbYaisLkSCyJ5sUrHzxsYzuWB4cLBoXJrFDd3YUCWYp/1xD45w95tkRYCqXWWVFEhWlse Tvzedn9lgRyilCup5yo46HI4aIVZu3quZaG3EZ1C49cpxNplvUvoPXJOJlzD8EEkY0vdAXF16xTg Wxws8x46Z+nW9Ez2rAbLumD6CKg0YY599CwoxCJJhIILmKlB9SzsVL5rcAoNmlt12qWlfo3k0IXj KUSNw3eWWpZLjCaINWyCijFNNkNR9IwDaHSWW1iL3sMUsWvu2xWxO6eax9B7+AyZEfV8WGfmYDME VigmhKkXHEtzllFPtp5CfiBXbPd/3jlq2Mi0bmqqSHNQU4NutBSGODH5552ilh8Nvy71DMSbHfhm m6EwgsYxVIdJ00CSM3vXfnsOP1D2syJrFEKrfLhllLYfo7QT+Z91hMIIFQ/6tsx90PACsQxd363q I65jFEM3Lp6yVLNfPkN1QmKEPYqZ9+ednpZFkvgKhNAFB1LYJiiKoqGScJZ69gLrGPN27NOVgezD 24dRGN2H4mc2EZfzxgi4zmkzzEUR+pxsiwwtgKPOvKBnS8kUkzto9rLvMzR4hZ2X6T5YOwPCZYz1 Tuj3eeemZTmQPzOEixo2Ez5D75E0biC4y5RpwI/SXPIIbbGLaZjucfXOTOMmY9VH19R2ZRTc9WeD ndA6F+GIT1qoeJLWF/QcaV+cq613fZ8g87hiaUyDVX9y7QI0dGjURLH02t8TRD/L/lQOo2AaMMEh hWZM0KDtoYpjKtfTVJcMbp5CYTTNeukWemhf9BDsPXeRuAVqh6vBBxu1Q3CrKur0UhIVhnhOvWs0 QdyWuurHvepzX5A5VHq1Pu+UtPwoQfQfEUXaD2UVrXgYhdPQHhnwY9QhJF6BGGnSPVjUgSDZ6qyg d0JaFh4TcfQcPtgK2tQ0aKlZowfsa0wQ+37AWFVprkoza+hdg2OacvPUrrJBh9D5tEGCQ50lf97p aFmQy6+bHqhwORFygqJwOpP2wSKTujzfxt7JDqHOjijLq+/hNPqOYlmX7IMVOmBNcwIisj6Yy1c2 FtHR4GHNtPih7BW9bFSdF/czFx8snK5QgeAMtfl556LxIvuudVCUa9xY6J2Klg1cDQ1+O4S6mV2h xtoME3vWUrNK0DsTjYlLV7e5+OCloN1Bl1VGtkHzET12LmroMR+/kuG8JD7vTLRsYrTAsd9Ba+gc 2dPML2r7vPPQsmTrvyr0oANA3cxmKAynJ32Jiq2hLqeZqRjsxkK0h+Emi8LpJFf4RfEHDt7f6Scc WrCNPikZOrwUnG+ZoQG+cumkffZHR+Sdg4YP2WLDzOaDYfQHeMkMhjIfj7L67zXEfgitdTVDUTy9 KJXp0iGjulkROJ8ubzDYT+FV/05Ay46FBZbDB19D7VzwgGTwnEbWWlgxA+S8EaaNK813GXlD7wS0 pwdGDRENhqaCuzdvsnN6f975Z7hs1/yVcRB749HiO/0sm6Bxr8MoDHXcNupNQ6D7MS2efmefZVdV X5uejRwuGwYeWUmQapjxDIZNDSF3hX0LbCGsWC9zh3fyGb5hZrW+S9uwu9UeOpxTgsZ7rs879yw/ kg9dhp/6h6cP9h5Po4yd2Y+3sqJEhQWoVtuHSevqFi6+U8+yfNRxh/U7OFL4fG0o9SppPbEivWXg Zw0HFdSJC4WfrDS09LLv59CirUNqDBc5aA0NTK7CxbQ/78wzfNf8HQ1N1M7qujMUBtQwCDlnqfHO er+66ruP5lkr/iutofeAWnKDDczy6oNFQ+e6AjxYRfs9ACGhlCYqBThAfmB68GXjxM+IJmgoXR2i wubrzEyTXYQ4Y+/PO+sMiyh/117x9QDw8RkKS9MAvvSWk5/UvstqZilUXnJ1+S57D6jdrnHLmICD zdCEi+WeihfPbwZGDWcIYDJ8Lr6p1c4GW2LvlDP8mpMlex4gGgyqcFKybu4X/fPOOMPjy8OhJUMa SBl1TzneCWcMGDEvy4XDEMjZGhrJgJ4nU6ielL3zzRiZo+SR6N2twWbo7NA5KwB/MnKy644HdoHH J4BX7raHMOrzTjfjGpI/UbqDIurzF5uZzOT1eWebId4c9xwSOrj/sJNjLecopG5sM4hiBjBHfyxU qE6liHE50Pyda+YF2J4oz6PBO/Igl1Upzywcc1niawUbNyEI2A92imiOd65ZdmV1JKk+aIbmia6m UOYjf96pZlZ6+NpkSG1Ip9QEhXgOSM8bZ5EyT+7ofeasZ1tCrBxzCb0TzbKxX8+HzuKDJggotZPX UC4VSiBnb2XNIYzp5OO4xWZCdbHoXaMJIqCjUWCVg8WL8BESKarwFYNNlr4nCJRxxPg+QVFE3eg7 vO3AGTSaNG3+YfxzIA2WFajfSWbEA4FTR5FZGy5iChY+kug9p+Ieci5ckJlB6w0c4Vs7K0XvGiRl 9OCBaa8PlrUiqmJT8Jz0n3eG2a9jWgH1NQjhBEUBdYPJC0CtBgduXn49z40rPT+qhYvv/DLUjpFy 9ESJfg22gk4slwrt5ajNDBskht6geBeo4gGO64qqFL94p5ex/4/SWadfxhkAfqlaQieoYiFtnoPz 804vyw86sRMLXAsFQi7uJQqoacJTkqvyVWBONUOFnpGcIXSMVcF/J5fh94G0JUyYiw82QwXV6gHF OhhnniXUEVCfWwRHAlCulzrdmbO+E8tueZoULxusLgSpKC6gcx983nll+SFy2g6DwH26t9g7rcw6 62d6ZvIFdBscvfktNmZyc4d3VhnzQX1pFH00eO31xOfsAskSFf0apvO4OAvuvkkcg+r3lJ1/J5Vl sSjYfC4+GKYs0aoG1cJeP++csvyIFJw/z5YjKmyp3hmKomk0oFFWMdUrGOxaJFRp5cvKGW2rmLO+ U8qyE1x2Y69st2vtvcEjpyMhq9P7/Mos8ddG7DkBTF/Szn3rZaPSmSQKBJ6ayWlAZ4lXxnrgz37e GWVZQn6aIkk/DRiK3BmKommcpyM3t9WFL5uDPNxKNqO5aI3Wdz5ZlmctcFNJ3UTaSeAYXHuf/3XJ peiELCeLoV5voUQhA0YsP8vqO93P3+lkrA6SVL6zBmjfM5wGHJdenD8nIumfdzoZa/Hbo8Xz36pO vrzw8c4mwzlN+F0z5Y+T/RUPhWZ2k5lz0bll4zuZzBJKyF1yaShXscLHhnIAipZqceTKFsf5KXBe ncAR7RMv4DNYfKeS5WtTtMWVhu8LGwXnBz7vPyXIn/l8sM/291U/2J5L9yaLwmnGQlA81yJi68GR Qm5JeNZAtwL1O48Mb6/uT+138PorOgEJnkcofHBtUrAMJYeGeH+ny5DqxFG9s8jwa2Z6NmaJFGav nZ2obYkFeaKT/XknkfEVfyGpEHLKm5kQxTCaBofMWvSTno3ui5opVkWJQqBRpZ7+TiGzBgvahZwg Dl4ZOgnrudFJ1zpzUbN8ioDS+KF4dKa8m9YQBbc+7xSy7LrgVWCqKmlpAT4mu3snRK7z884g+3eb jGiqfmcoBnxQHmUyn6AmAcKe5moylRpXoDmff8QMReG0tAgWw2kNHi2mc9H3LQ+Vk/qdk6jxpK6U TwIk+0GPg0PzeaeP3WAIwvc+qOwBloO0m05Y/nlnj7Hw8F1+5d0ApK1NUBhOU1Z2Gin6xEK1mJnD StU5rRuKPyRrvnPHLCxnIpp88D7iPkdYw+8FPSoIXRO4lyDeTDntfK46hwHjROKHBAc1bdLKIGms uJImmPpn7bP82sf6vDPHGE8nX0K87UEHeXrR78wxHF4Z8dA22sGAzqJD7Kk9Y3Kp1UDk78Sx7Nzo NQgp4+CVoXOB7JEN7Hr+TfCiQkTKrsxt7m0Pyzd+SHDbV3Nxmj5oiiDdOMR2PgH1O3Esy0bhH4iP dCtD77wx1hKo95Ec8VGTkcVqoioLK0MUgRRWOqxQDxY8UFC1wWbobCCI70uyKcE/c0lHBZkFQJUN SZSS1gUQ6eedNUagvQyKZvLBsvqRtnxRz+H0eSeNWQdAE4Q4ipi8ve4SigrUeCdkTMYZqwy82Go9 U2WX2rmKit1k75QxVn+Zk0HaxAaboJ4QTMnA4WzcEzqq7IE1DlHKn3ybQJuQoXfCGJN6lsxkUNSu QRGhH8uICOPzzhdjbvUrqUc9YTwLKIZ7UJF4mX11ESBGisTTeJrnbGi+xcKAGhcZmkXVh1s4O9f8 WUGCDJ15GiwtAmf+A3G8HxZ7tMOIAvq8c8WyvGmJxxs+aAGdaKsLrrELHw/usfp9j9EBHemOTVAU T8NTZBRRn+hp6cYNUOYZDvdIjux854l5K7rTCt4G32HQasysUhFYM1qXHn9XDvKTH5VdAjg+7zQx JlXrO6l3GhQMU4YgUb2vzztLjJiqb9g0bMgADPcJCqNpGO7Vmgz6en4DJ0FbX0hVj2w5fQuB041H UO3Lhwd0Vk6e0anycS7MMqRRCLXPc1cuAYaUtE7cL/iM4KJPQkyTAs3BykJzqdmBixuPB7Hiry5i RUhKXx9NUBhMn2k9N6ZJ6I2xnasKSR0Hds7lNLoW1aYTm/TQQPfBs3q4xQyIQMHTEpL7TDdk2Yiu SM7r4hbtkI6i6UUKPX1FbNASKgWhBOO/xMeDXv2vwhmrrpRnEaUliqbxogPtPQOXkywrRYbu6Olz iFeD3vf3aBphBpfQHndwPAwY842KXsAfpNoowrvECkAzArGxBdMsnPUomBYoGCJGPtgSOue02hPn sMDjETb4F/ieFhu3Nt2jYPrkWogQm++x7qbntMG1CerIDjVBEdxj6PSBCIkNXjdbOKMXm4jrhO6l sEW20JDIoFMAEmn5GAsZ+Iwg28gyHtjVB04QuP7S5joZ5MTjwTVfPKWfTG7XD3ULbYLCYLqwy5qd FTWfU7p/gfKKKaD1qDatfIyKPzZ4PoYsMssSZe0zI5ICJdW5A4svATSj87I43aNgepK3MREUkw2J AIH5RoJy6ODXbgXPB5zD+X3TU8X/bPY7RzHrkLaWPRuys2wzH6iFhr7KWVFSZsLRo2j6JI04fuXe zcF79QukmSRxmBNEQpL4h/JnZzbZYKj9gZ1RraJH0XQR+LU+g9Vfe5EfejmZEx6PaJm+iooHQzU7 wLxHzENcUugVu8yQ/FD346zTKXqCb8JtFkbTe6jeUX1wdHBZeJyCwyDdrcJV1CgrD6EbFIeuUsXW u0ZdRDKkKmXQT9bbGBafv4HJwmydhK98+ns0/cjCWF1oMrzwu75H0TQqxwM8CK8LdUd2Uk7fJmgB gcklFLIPLalPxQe/61M5f5/qJsDdnciXyM5K2AMkkqHO5ucQ7otPj+DTU+reiok4eD42h/AwBb9h FE7/3mQw2Svrphs9rE9P6CDJcxiKHjTnY1I/KJUn4VOw21if7nE4vYUaHz74Xb8hdZ4R2OMqQ5GI OlWgHvWF+jTiAG+SUbO6R/Xpyrs+ybkiXecK0Fe76tMnaMXjEersXmV4DhyAOi86uL8H1Ohfo7sq u0EkrxXFLNrGrnVtY2mYWnlURwH13iJnClvV160t1gbaP+39AGZAG4UlfEjlwDj1rPbkm2yzPt2j +jQx4Wc26vJBkCEgabkG6vkv8HiQ07ff5HDowl5yeI/g0zjKx/lZE4XgRyko4aJcBrw/o2hSpYAP 5ykUoT3sLusUqDgDi1OMWFeCOcNQYSgjoFbx9cwJ2kU/0DHyNhnyTXxGxLWT0umePmiTAd0tk/fe Nh6PltAv+DRSgK82Yg8jarp67FKsdAZ+lPmgUhjFSmco53EJReVpdqIb+502eHkaTjBL/jAnjDwp PcuPFRCGBjWmXB64Ry/2skHWuuSCzuOIg3r1DT5rapSlhscjGrRPkSSYwfeg0J140FFIjbL2N028 tH4DoupwjwEhN0aMIypQT8IY0th38JAa3PlKiVoEiCc4op7Qwgdl9HmRkl8Lnax3jbpALC5ucn45 aBHBtUg6cCtnPB6E1P3XIkIpp91e64hCaiCLIGtmV9lJweu9yrZ3EjNa0WKKh/VpNi52ImOcg5MU 6uCNzxZHgWZnl6ge7lpke/MhQy/6w42Qj5iFOqPzWXJKHxg5QzboJ8ni41Ezun0f1NysAHTZDMUI agh3wBaDM3RunkvZ7I6NQbXCOokjKlBnAj3yoD99HgQHDC6hc4au1ZnYzw7fM9YWSdlleZh2QHbZ IzTDZwTYziYyqzZZLdbiOAnopH/TTz//h8f/S4ujIOl5wK8jxHsMOgvW7CD8Xm5pyMlk5+DIVnwd UUBtgJglvMfixcAJOgtxnnXUZFOVctuEyW4Y/GXQU3p6rvqJ+QnhHmInNLMWuIWPuRX7o07Q8Ph/ 0WIAmF3Gh5qfKJw2vYFuoLwspfPBtL67FFUDdE/zE4XTchjeshXg4OH0iSNnghIuuognWilSP8NJ TlZq5he2HhCtz0dUnZbSSVezvhMNvbXJzvnPHAfcUDz/n5bQYFnIu2QjCqjHYuVjL6dKXflX5OdO lUqOfR1RQL1EdIFQqQ2ek8Gio1MbAngG1I2GKK0gyUvp/NanSasfIX6a7pQbhAgbjOjC6hCDoRMu jgg/jVrSUxoiVapd2OII4dPIOLDJDPoqs0rZnGXXfx+0ceQERfBp3dyptTt4CwjbYaudsTrmjhB8 aJT8FCrUo2ZoTbJe9a5RkwxLaJR9B01Qmq2zDILEAI8HwdDyCeKGBD1htDtBUX26YYJkDU28h1SD SbYrrtc10Di1CYrC6amyYqGC8i6S+WFK1gf8WcB/yqAlbtlYdupQpbNy0aS+hoLnz+MzogK+zLao ecJB91iGEjW/9Ikj8PjfWmSme4r69L3ow3B6sdhhuwnKyN7h6N1mDfXpbeDpEYfTcoAlKZGD5xsn XdmtS6obCiVS0YeQmVjjGRUDO6e3llAYT/MUohcVOArwZxW+/ESnjfX8MfbC80Faf6tnYpPhoL7V sxHG04TlkUejytBXE6gaQfrcD8QGn6x1/LlCPakwpcGqZ/Quz/SbRudv1baFZgAhCCiNc1MXr+Fv 6eaEhES0ooEvbj4YR+FcgUzy0SLA41HhwxcRQWaDPL/hpaEZlqgbpUunpRy9EBuzKWI67KCGiq0B z2YshscDCMvCBqvAgsKOdj9XEUQus0gKlU5uZ7WxTG24s2LvGmStkhaSXxcHi6dHly8VrMHxeDBD rX9tMyi914f0O6N4uhI+LUNlCOkAovx/xt4syZVcSRL951pCSjAPb/8Le1BVMzjjtlucLJFqZGel kx4gBht0YNYK62U0x6j2DhV25mQzZCRulYZ28+HiPRZUiGn/SvGcTOBDpYwDcYHlUqVIh8R3RDV8 Sr5lURWyd6IhoCXDzTNBBY//l1Y9zmhyxGyCQn2Pc0cB0WzFRZBOfZNtr8TOk3wY3W7GhERlq635 cFv1QLwmS1rPMdd4k1XYqbZMS5x8Oa2UHphhhZqs6NxIB+JgdY+C/2EOsSoej2B5d4LwJAFy+IVs hqJ4Gp7EuMCcbkdrOCMCNfcyQy5u+lRhgXrIhrplH7xXD6eXxeJZXnNDndO8T3H1oE5Wr8MifmR+ RzBDjWTWrYnaHtX0iXyAWdZMGY9HkpP5KxhiC3xegPmMAmrkNaNTT16dxOYUhTlMtwr6HgQzYAmF 0nhVAigsUy8VahQtgpyZZbG8JsgExAdjFoEbLD9zPwh8lEvwHcEek1OAlGKS/wi5ozZHMOlJrSse DxqJrXxNEDPfdlWGZlyfhupkMlT5SS+H8cZrHlf+bQwSpTBBEdqjm3WZSozl8VUEw64vMzKd+fx/ Gz2oGVUXxqrtRousLc4onK6NzmVFENhyq6+9JYqto9498HhUOvMC/pAXHrR7ujejZ4T3QLY3ajFZ vFGI1JCTAt1TedUvBuao4M+oQL3UIcsCUOcHQH22F7jRpEWfPXbiHXrDD+NP9B9yfl1Cuehdo2Oa LY5d72BljxPlsBB1IomGx6OMzMse7K5NStR44WzGbETEQtUI0KOMi58+m6BcnlS1bv0MVfLIG99D tcXxVVvsUA2spQv6OpnzgVJfCWDFKTmvgwLtOGZUni6C40GgwAbLyGDdJGWlzceDCUq+x5i9nSfO IXj3WIT34ATJAIyVRR1HotolV4IbBETxlI7i6ZGJNUNoY4PH0xP+5aXLJbCc/5s1QxrhDEsCvdYk o07VjMJpSAegBS2hvOpCeSfRc+WB1Tse/xdiiEE4SvftZmQz5CIC2NnIiCAmD215YfLa9VE8O7VY ND3/VPfoUifV4NdYo8U7Q8V5PikXiRNwyjqaOnVcLiIt3meolUemXW/kIvZ2haVTh/XTDztoBY9H oeLvdAOQqitesaJYGtN67nlzKYfYkBcWcXtZRnbSJ8PkrQjuQU30hn6PDzdUrOTVZfGkzhknLXPM SYGCBbUBv6W3Vwj32FTO4QrSYKC8JltBHv54PKIieiTEYhJQNe0Kna2wNt3Z91m6sU6qOXyLoWHj ZxACSklRhnCPLqzmHj54PgZN5EyaDFQpqUKglLVBIPhst/HUprHQ8R1/IYNrohQTB00QfAu6ys27 4vEIc+bXmAmBINnwhHWF6tIM98t44B63u0F07/+I4K4Q7sEsYy+toMWMsDGWzszCk5Fc4FrGWwxG JGUQrpS/KeMN3xGVzXgGTfGl5kVUQRA+CVG1Bh7/L3qUMCQu62ZjKwqlETBB58y1PeayLVbTcmnK Sbc1bbEQOS0s4mKDkINf873slJoFQtAeZkzZgfWA6yDteO41n/Suf9Wm6+ZO42BVIbjESZkrbzz+ rzNISieI5Z90bMUq06i3yikRWA9iTxUI1WpRI8pC5k62Yiqi2l6y4CzrUa44B81wDabE1B7bsFJy GTkn7aFcQGfqXaMWosRP8h2Ur0IpT+nYOsnGikvT30uIgIjd/RpbUSyNTiMIuQ6+l+IrKx49e1Fo oT3DSHH9Le0xJUvJwSFVJ/xJlHijl9Sc4CS0RnWzDqRVpgeieUoSdLYisIfQMPCz80EzdA62UcXK 6AOPR3Ch9HUKQeoCbm8+QyF2OlMUxpIwWMbf4j34x8aSGtOK9yuMpeWMUCV3Vp9ISBRNeiDnDbpX kvgJ0TAn3PrBZeuKwSPrXaMJ4vEDvzcOSQ2yPs/rc1dDBhSPR/fY7bHy3RalPe4xFJIRoQTXqBP2 f2KU+SbbgIGwPoRKl+VjKwRP0x8JIrg+XI4LJkgkIJTuN5toOKgnLx65FFnVjPIwKwqmBexEKu2D 4YXOCq0yuG18xeCcvk3WIuVBSopc0ekQPU1Svbu0jk65OvMArs0PaqpHcg3F1WnJUbCgweGmG+fH 7khJKcJ0gkfiQTLCkAZYQ+7okbHLCvNsvWwgarp4yW9yEjmoLERbKjEUVsXjQaP+oj3kX4o+0rPL wuL0SjRvt6us7rJsl419zW0LzJk0Q7H0tCRNevXB1xD8xwtck88MjX3OJR7pCaiFPpPQzzcaGkMv G0VDKgvtOyipP18wVVs+Zx4ejxbRr8seKeS6Of2O4mkabaZZkkVD6fahZ543XOzeZ90hGZEIjq1D hoNXPSC0XApKkxltwiHKK84q0w7/Xx/pHcXTLWl7qXxWmtvano+kWcgJ/wYfj0guv3j1YLLOS7Xb 7/E0qm1A5RWvm5Vi/HGwpKpnZLlNn6BQ2oM0zSmeMQebILTaIEfIqww67oqGKFTeASdhEGg3GYXv dwifZnuDbrg26Bg6Z0nf6pHtgceDm+yXWB6wB9ioPkEhfBpt6CGW1NljS+cRJ0j1D9bNphc9dhhP s1y4U+4++Cl0wqET5LDosRaDCau8Ah6DacW6MB87hOH4jmCCupqIeflgGdmJ1aj5VBAM7ZiK+MuS ZFDa466gkIkI0deyDUp+cuPkSnDQg7AtNqebA+wY6sF6WRGUocwbT3f0r+iFTZfWNXVcNeFd9zDg 63jKZjuKp3cl1kOQmDbarQoBMiK00J54/F+6wcVS1hNp+E2/Y+j0uqru2GKPqWYTFqYyZXUi4g6x HsJRlX0HRwa3c8h32phCsRNGbY11RZZ4gLF5xGEoII3viATwqbw0VTabXjYrcLRNDGVOiIXHIyBD /ZogJKH1wZbvKJxGpH8SVTMYHeXy6KFEen1bprsg7hDpwZweVUQfbIJGQiIPr2aGQliZnKAqOwSA cx/wPaq++I4gFCKlftEaQoOB72EuS7bvSSPxeCQv9A2+J1ygX6THjpAeALmA0G23GHaTJgicTRcv p66zJigUyhMgeMmXbT0ycAnCFNRCRDQNYKqpu6Npiy45O8vqQVOlD98RUVlJS+gC3/fumE4ogfXb QNxxZdrPIHqT4V7FprUJCoNpbLHzN9gE5eUTVJqz78CSurdYjPQQw25VH5wEVBrSVgKn155nC2/K KmMhFehq9EvfKNRZwHcEkSKBZr3W7IOtoIw2NK/5OvB4kG6MX/QNFF32lT7ZYWUaXN+arj9AGgYy A7itGktqaot1fk4UB/EW0xXFwSGdGcbNfK28TpqahbeaWLSFFNCULphqT71rZHAjTQYiYjg4vwXd f07Q4uNB0SN9g6lYv+pXi3KHutPAeZjXDyaICtc+QU7VXH0bVXNHOI/Z1dQY1YfLTjiJBR0OcYud j6fXeKMxCo2k0OS8UpRN7xrJesjSl0qLHGyLwd6RZbNz5OHxfyWsvMWQeezbYM0pCqUblblllMl/ csWBc99sAwhDpS+ZdHkKY2nKuo9Z72BVD7C5KzWZCjTFzuGDGiQ4O/uH0Oxc8w2m6QvPbwnYmgyC stySMsU+hXtFY4lZ+fkLJj/gX+hy1gDARoLogk9TqJWHndaLMgoIbVwOR8OBKw5HJsWbThwpjqhZ VhV8cbh49pmm3iD3MKtM2lCUA+zhZHkZrvWoxvEWtxr+2Pa6EZxBrdZ9B8tbe6nsUpwoqfH5IOto 3xj8Jvhav7P0HlWDW19YYjRYVc/T/WqzdIYAM0Yd2GTeU4ig5k2+JMHN4UaN5wRCoE0mB9S8IMt4 Mp1M/7B2ToYH8kH8K77kL0QDdLQ4SeddGVvAijVPEiHHuTn4AUFc9MuSA6qhZX5tuahUDat3SJp2 a5dlT84KBTBMZBngHrNOCu0QBxVQGiNIDp6dAcW2UYtCgj9ZBWGhETEUTtvM7eb567bXjTCM5la/ fNC5tLMygR8Aqvl8xCH/RlchZUTR5M5SFF8nyJlLThVr6fzm1dVixOeYFPqYww+mqF4themXin4H Dgmml8ToDTgg4D8pCFawzkDh7Q7HJ3aT3xI0PabKjXIc7W6r0Ce2HCkdG7YKKYR/3LUkEDbQdw9l IaewaE2YXkZLQCg0gO5xMFH/iKdVnp2tdh1McdVa2JiafPBMdp04shIdTIVkdPMxTaiJdzRe95c+ HGMASgQEuT4LacYQcgobTIwnRZBRhd58/n2Wav6+5Ai0oP6qTVJYt0ZVNmdUWyHNhFva11KjNxCk mRZaw0U7Lgq1p8Sop5bULDdQglbuCSCbKb/jjqPy+yB24Hw9T2QTqhzT3jYSZxLEiklbdrpxJh5A 3JW59ZJRbf+XsDm5fzcbySnW/cDhXdKw/pAar+QCV0cVjXNhdz+8o3DbSrK1FR9skhLackkGansP mW6iaIQHoHML0JsVjYjv5pdEdGlSqArLj9DRsfI+ROd4qfbzEJ+PZJcvYk/ysefjWn9mKQy5N+si 3XISXBzeiBWVgYqVAwmhllKk/lH2dYf+Nok+dzKIfLBAY1p7jm9xYjucPovs2B8zHLkgp9jshQmt fJDXNXupvRe5y0Bclc8H++1X9ZGlisc5MaeYrojMdjXPbNN0kabCkp4EQFBos0kKS9hUrZxtFB+8 hD1mQeAuyiuCMNGmZf9zDqROCfiq8lqxt43KR2ylVUJCOFgf5AR5XLx1MKSMHV+8PMLzpxkI3iYp dFAEuRsaIIaaSYRzCFb0VcSmVjEnKbBQFBUMeS31djh4gQTskUSpUyQnyHMIV0d1n65BlO7ioVRS 87f9S1KvVynt1Gs4XvdYzBcrPRRDE8Xf+rAsfrbHZTJ0UWxkdcqOFP9UeTypBHAd8EZ1CeYc2CjK zAA8mDV9sBoA3AQAPyetc2z+Lw53IEDhKCNs0XdfP8c2ilkFNtJiODg0JBW1DM+PzueDsDt/n9yc 60fHOwdGilBBgmrcKssqbSt7GaD3K5YywFqRTWDopLiqJmncwStJwNEDhyUAlvPyFsDYmXZV5ZqP U1ydX/IHaQg9FXmzD2vMngO9EoL8gzXM54NE91Yj1ZiFXv41WMqBlyLEZWkp0K0UcJawud3CCC/9 mGEpGic2SWHUDeADsER38JV0PryjaMtJgrZeFuoY4Qq0T6Ch6RVtNq9z4Kb4bDdiZTkIxzcxv1LS zZXP/5ftRpudcUU+c+iniNRt1O7OFI3/JbfbTNsrbhsgY9tuYVWbd9buI/tgK6mebT/Y5QFIpKE5 y84aIqvKw46yug40Gva6QY+fhI8GHzAOy5YSPM67ggC4coaeimX9kitAeXisG04GnorK4WUbLePS e1y7cH6hB8yz38KYmyT73VR3a153gyrICbSFaz8HEphj5lwKuBh4MevrUKKGXA5cFeGcxesNBps2 aCnBs11YmBN/8/mgf3QbbIrVURt6Yu7AVhEVE/SuWQgl5pH/vdgfw2+6sxCcppdDX8XFm529Ohtu xYQqYMXgNFCaoB9VRVeXVe+ESNqJesVe9w/pahxlyQermJxkLpnBwObz0dH9LYkKVbA66nN0R0E3 Jtd6DMxy6RWm8lvKhk4/QYirNebAXDG74mcjboSDzxKcTk7URZcKeFd3FlUqRZRgogNlsCtbUO1t o/uNXQBBH9uFPlZQDLeuLHvJfwmoiByKQODxCQ7sFbNU4msbDjuSXtGUpKUrF8h4R5MU17mll02F 8+VFeiKzVtoUcWSvbc1McmjmNQclsNweTUKaE/NbAqF4ZbdNdId2G9q9ICZmFLAyn4/IRL862ki4 1n42XIjCHkTOkgqy2f1flr9BEsCgR1aiRMEkNllUlbuu5IN3A/DpIwsCueFDtnV2FxpEzx8IhVwX ymxvGzWU2JLcCpW2h0r1/I60sANisPH5KDP55SIIzmC7akU59FkEdm2I0kVX7u06YFBHdwWDkZBq cSmFRouLddylDJaDTRJAHqnSRA4wrRMeE4rNPdzgh8t2vqMgs73tn33bNKqGYi38c7ywfQGKZObz kfbetZ1mZpIZpd9JCovdGUULcfT3jQK4khpztiylkOyTFMOxFQBJpv4LPnKSyHNiozFGuRlonFEq BLyws+u2HaBThq/J3vaP+y1T69kG3VMjZTW3AUXi8/9iEKu7TWOPW+uO7RYnDZi6b7e8bZLgymyl ykmrAJukMOjuUperd7jbrZ3IG44hqMWAAEq1EFqV93LiJUgC60wquSZ726jwJv/yIcWZEx0SvgYf pU1Q2c85Gzc/IIq6/X7T5szET95ZimEkJBdtNZVGIkCMS2mWZEtpQHHZDqXAc9H7JoDY++AduArE GIlghZbNDKrqxoU+cH5TIMCzXMVKselikVUeBXdzH4aZ6VjszFH7+bd8/r8o7tKjOz1Hd2i7SNnv lrbJgTb1cRF2J5IRhCW5je4c+C7q/VEDyN0H7wicK630RZLaPCFBk73nBOeFnW7g7L3TTRG1HPsu ZhIgTPayZkeTUDnBGpWZz0cNge8iLqIA5q4+SVGlu5GzP67ZUC8XTzL6LQXgSLVJigEl8lzMy4db 6T5bK7MGAjwEoLWUC0EEWsg3JKitPmqOOfRe5HkDjZbpg+43GKYTRgp+MJ8PVtLtmsiaCADtpx0Q mi82rPoxkuPamsXfwLVNR9fOC7rJgfsiggCaVTUJzLZH3hoO422DFgvh1HMOJXqcijgAJefCldQf A88cui8OGjQAku2DJulM+RKn+BzofP6f9RJ6hOCnqV9LKYq6zzLB3X+rSoLbUH53by+9AWvksxR7 xlDBCPR6G3yWIAF+EmX6Dm3qjWPRTe4qgl77nSVY0Oltg1mqErnGX6fB9htKujxSWHoLLRjL/Mb6 70H96GeSoqi7qxaQm2EnVN4mdmLcqtIqybATOTBhhKu5ZJzTHW4tINVJaS0pyY9NWtLkgkFTIPcr Doolqy8JLrgl7Qf1dJvDbM8SHF05auN+C/Elv+RBz1Vbfij44rP0HnVDlBFzs2ZxhMlwhMlkfVyz VOudpTjqFrKGQMnZH6Bkghn1Wl2CRh2zI4MmuZucX7RarXCLoccv+QvPnlTFTbeKS8FxccEgB55D K8YvYTX8x7hU68WS5tCKUf2AVJZj/mtyzD+9R2S8DJiW9lvsxShVvqJTqeSLw4EnUCb7nacSyBa8 36DmV9C++sJKFn/Zv+oljeojGgyotOsUAfIcpXz+vwheQ2mhguXncxQF3RB3PEF3sjOpESku0fRW qkG2IdTocxQF3cbD/l+85Am6FwS16QCADPcE4SwqDaACC4mB/QG1D3/bABQgUXDoQNug7QaSnC6n lvWSEaT0V6Ub2Of+ZCZ/ODJmTE31hZQfhb5pqnTglycvl4SWjF2XluDbs6+nsQSpLDJCUVRCU1g+ aOcfwV9LJnttyHa1u0NTRmrtFNrP2WDkiIYiKndQGnz+X7MkrgmgE+PWS0JbRrjajzwdYFJrmr6U aroOzOztaSnFNjJNFLbig9dL9lk/kC8kWOnsQ/ZVYOMNAgdgmzgaFCkR3ccv+YtwfALd5YMqb70P gtF+YBbB56P95pPEl2Np8utMCmNuonDSdID7dg5JTdDXdCOZWX0pxV7n6lG25YPH3I3mGZMCRxuc JJZLuIcbQqOs3rz5ny573ag+KUVM0tc5KFRasOeT2Q46uYE/47eTAwl/qOCBmeuzFAXdmfgFmZyj CCdFQ2a5m5gT6kygfqn8LXRolMDIbCw+cvBTaSICQuf/bLi5TtzJSiYLVf180g9wpVbEXcnf9q/r rcFKwwbttxPFZEqo1I16SeDRiGrs/5pY5oeNlEOPRlIB6nIHQknestQ9td9Y6t638haYNEIDhkuJ bTgNzkdKI6F9z/12wndoIGC/Ua8FzK6+rqgh+lN62790H+u0toCrGp6k2nxFwQTl8xGBvXylb5n8 hAf1Ftg0nv1WWCUZ4tmgk2tF3FJJ6ZXw47yVt3/5NCap06X5TFI9h5pcR0WYKKw7ZVzoHTzQ3G7B hO5y+pZgvzG6OrfB8EGnEtmX4mivzuejePJbKII/DVuVNkshvKSVK4q57j9JrWYaHgeTtHyWwqCb Z82U7jwHRyo1tH3QNEJVaXVSn86pBEstgCvPJV6fAmVv9roRT5tFt9W6D9pwqcypKu6JOvh8EE8+ NmkMH8CzXF9xQFzrRgu30R8F0mKTKK7CZi5F+9A2OQGnifrk0K9RHTgwCH1w1PIJlOYCdK8U+FRM 1gKgGK6OHxq+/UZL5CflwLERcQDruAAZ2GCttHMuCRx4Igk+H8QB4xfVtlCS5Vpd5dC0ET/P2WnD +AEQYXH0BAVMVVfC4apAIHBtBI6eZld1Fx+s530iJeTRJGxP1HTrVi8ThwSw1uQ9Ks8dZLTnwLUR pzeVaOvaPijwpgQuT++KxRTYNv5vClfoJPmc3qFvI5ojvtH023oGJ9s/oScqaThdHxTVlUgzQUPS Bstze4Kkz1TfpOxFUjoOeCr+ANtEay7J1yhaCpwbs00S/cts8Dy3qekHfh6fD66436q9CP2B0PNJ igJvhJDQZXYy17rFAPBFnGkCgJlNUkyYZHayJFW3XKoOFcoF7BWljddZksx6ziQlmnTg7hz9EiZV fAvNG+mlAtqlBjIqGC3NTFDGiWnO5cAPiFpw3l1i4k9OfUkXPxH4N6IKwdNoWfmtzyfRpeicEl0I ONqGi8vdwTTt3oHGkYQ43OumOWagZgIgxL6ct9GzvWzEyJH+iMxS3ZMks5krCfAB9ERo4PjIjTE7 SSy+3cA7cHCEDj1Ue/NwQTbavau7lFxZa0y0bnTFxRaOuuLwX9pwW3B1rsFO17nBgKuglk0FoaXC Ex09FRf1a9ve9i8mRa9EmnDQoVRr1m5BisDnI77J/nUoobv0pHChiyNKFoMNCIkc92ramWCLW2EA AEvTkciBjaPr2cD9wofLEUgoci+iJzKImgSAs/fRUCsW1NRwb8veNqAuyXmG+02DQkrIk7NGc1ZX 5fPvJ/f6VTKhyhVBPzZJYdzNCmUbLkcvDRIKJSxnwp1o+FlJIYOS5Nu5dvXBQ8oFUw1q1ILuNsFU RnMJcctA+5J2WE7KoTRbDtwcsRTYEkCgZYPhus/aFT/rfBWf/y9oJYDmf/p+qt2hoePsCJZSsWlq O3vkvVN2rnvjOatpijAmVdVuXBo22AWHickGNN1go+tQGiQYISBjevItP5YDS0c550LRJt3BooAu TSegJzaf/y8YSpqQ7Csf9XmNlEr+/yDghBTLDu60Rn7m6Jpe0nEUB/drnFRM2AZ2ssMHh+Li707s BZ7dBgK0bjcKHXHq06Pbj/jw8xolFQjYsdA9hVaejlY+50KVgB2Sos9rjFQgDKEzWy9GXUF24QW0 CyYHdG2o45mQ7xeyZCuf26i60bqbCyiYHDJMzumv5uR4mpND4r10VtmQSmisOw1CZKA/eEKoCqul L8j76+ycGLKxmDRk9DTSNQQ9MyUid0Hx9nV2QC9JNjvCxp/v2NNn5zU0KpmlxAH1Pt1nY7JjQpe5 0pxBOQkaxuy8BkZF+FR4OJbug4fYCyB9oBTO7LQCLyOhKtAgAYmy5Iu9JVD68xoWFTSCSE5eTEM4 aHIGDLaYhpzL8vMaE5WHVmJLBzSAsuxffF4DIiwd3GMoO2pf1ZSc69a7yR7DbXeYFv1rOFTA4WIl fVD5moPtq7PozvKhMeqZHLDu2T8ZlO2lLPu4jt/d3vR9crJUNpiCggo2lWuBbjbFhjqHWP68BkPl iRiH+CQk7UH4TNPzGgv5zjLkP4VsHJRc0ArwBK1dp9Rg7QydyEiZbHAuydmqkNfl/QV3pEUnWYrW VdSNcBr7xmp60+DYoRUPRCh8UAoLHRhCMtEp+bxGQUVSB8/aIZ9084KnsWYwOTCoPZmGy4qiF+wN /1YcFTF7ta7RawRUAKJqmpXhg4NsobGWlmSQ9om1FlmnsOc7dzwYtwSh89oqNFH4vAZA51VXY6bR AWLWYGi2E7pLCavV8nkNf8rDSba10yiH23xrvUY/OMrhVjTp4outNWbfjooUiRvX+prO13qNfYrQ GOzwFx8c838WXppZFBuoaixhkEHtruvcl5guz1rlYBBtrao9VbIPVrtGZkMk6Mrj8xr28NT6de6g fEB3F07Oa8yDQ1mKq606zq9NrzZSmZvxcytebXyNeIpBRSA5uHzwtYMW2Xl98pA7nIJpI9JAJj4L iMIIN3yeFEB6DXi4PoXx380Hzc44caGaRGeTfl7DHb5h/bqy6B7KVJCz81plxD0HVJbZoZLEtl1Y Y6TsUJrFSgfVRINTmWgj8Bu2D37sTKLLJz0bsUYLy2zQ2P2hyzq7+67jZ6/6PjuLbpapqWPdvGM9 APoiwxrV2c9rfbGoX6zZqXZnVegCanZei4tYrkSu95asatbvoUxukLfPoBRO0Ygw3DE3wu6Dz07K 59NxSBRwjQcQ/khPUwY7G10VuM87JJsWRa+FRfwCrAYl8kA0GNQ47Un0S60QF4vOne7BIBVcNikF 3YPBKFSGJ9AYxafn3KrJiTQ7OZFmsEsgcl94abGJn/PywUscGbDWLG2xdE4I2ume9P38fKz57nL7 1FMw4/dgWWZ+YIbItHEst3WAHjnv9EboTBQtL+nl008Uja5J1IpPUBQuJ8JnhZHB2aPKD3MJSsHw YM6olRlcPVpAurjUmefg4CLop1Dn6iygMxEjETtaqMON5jgEJLz92uvUy0ZnM4+dQWwwB93raKYU URkqHw8i5vR9seM0b1T60Ay9h8ymE9EJ3+ASSo6/QgHQ6tKTsaLhr4IZAikfd3pPPjiyCPX7mkjq 2yeWAvgRYSGuLdTa8Zaebi2l7VHQ3KUqJmBRu8CiBq1bCvMg7fq8s9WwGEb6vtsZhah+zxmK4uaK k7LKaAewImqbiIjV0rQzaEuUnw2O4IjGr4+IOd3BN9kA344+IOc59MiEiEAViAkpfSzs/sqCOUQ5 V2JG2sV36M53qLmtkiWpvtDdiE6hm5IyjGTtst1N9h45iwWIyNgEsfvafgql0e8S2h45vxPVMEHE Odbamw+edp1EEb56omDllbJYkYCdgnV0ci8w+imeBWQm3zU6hVge29Jb3eNiQXs3ZhAUDt9Zatii BlDXJZaJKkZKYDMUhc+4f8a4vg6DnFcZyY06HQqK/7uOoff4+Zz3yXo/wwev+oAgvQcV/PY5n3pN kpBAyoymQn5EMgftd945atjIFKoZhRJIHNTVgLyYbvnU6uedosZMYf2aIRhF4jSwGQoj6IqmhpfD AMDe3rcnnYmZexIEBEXWKIQmZg/2RtkHr/pQIJvy7pDHmqOKpZVY3GJ5Yd+qj7/r+zHUyI9sjCo1 WIZxjmlyFIDL/bzT0yy7/QoTMc/gfdkERVE0Cm4oc7o1yHIZ7MKaqFfFprczojAa7TvEz/UOfgqd vxoaY8xPQePflLTp6DBWls6pmGJiB6Kov8fRaJJy8Yx1B8M2npRBSgUnk/+8c9Pwjit/3WRgxzeZ gHGG3iNp3GSQg4AalFANt/hTemnZmxlycMISikJpVTaAEvHBb7IGb04WWkj+zUm2hTh9yOFXg3V+ 9TLeQ2nxyiDyn7MPBmyEvrmAH5CoiWLpnb5jadpZPgWOd1Yar89NO0szI4SNh1fHerHm2ByIKXUK RdE0FExw/Izig53TsMfqq6uPcaaLgpCoAGHhoMZF1UsDEc+pd40mCJuLF6wNBmrc8HlTerU+75Q0 hlIeLZJPA5LG2j5B74y0YorhMO3QIQQXKltBsBz7MdmV4jpH74Q07jEC9rPKiHk+xfkE85omwEfL 6EBTggwHZIXvEZNGl8yydw2O6SrUZ8s+6BCCOpCMC2bJn3c6Wnk4RDqEIO3QBpADmqAonEbDHxTo 6oeQ+TNji125rL69y/NORrOVCIXVcQdHoNXzP+DEYIJWck2x2unCC9IdDYqcslf0slF1frEvrzJZ vmUy2IBRKfXEXPPzzkWzktczQ8w1RvNj+p2KxvpR5wy1ewh5H6yTny1SI6q/mqH3cNrz+T2laTwf UOys5/pJZMWVNCmMjWpHwQx1qCeglnKbhZQ3emeiFQGjmL4MH7SGCha52EO1fd55aIyn11dKhopM gae7zVAYTm9EQLw1DYC+PBa6EgfneFy+yaJwGlVANHb69MFv+pnKyZfI1cvUsiCqqtICY7LkQNpn f2RE3kloRUJMyMX2HXROL3g/SzE88/GoEt2+zmk1RLYnHO8UNKS6aIDVBzP8WFdPSfhSlK4CBYur /p2BVhwNi7KnD447K9BUx68PyDCyMxIeGoMCArWhye27jMShdwZaERoFyZjS+uZpfd+oejBcPJnO 552Ahst25681BIm5Op+DOoqnAb/2jKNzrryN2kE9N4A+JHW1y6J6NJmMZ/HooJ7PQQ3lufO8aopY RolufIPaKgDrdiDPVayXtcM7+4wxu3ieRL6UqyU6gG+XfMjZ3p938hmX+Xe0SBjMfPpg7+G0HUPW jlczozmiWghP5qywTdUSeg+nlXHjCkt38GjxLKHz0+smO2dy4RXSKIbdmUYWqj5ZZWjpZYO6ooIh 7bWcHfwCO/Qu2OG5CT7vzDP8rdnLrphNNHpr3Z60vvPO8BTtLPlzSBcrufdFElGv0qToHtTv8TRA YFg7gAL7YMFQXv2swVRptYc/ZalES+s0VD5xjX65OPE7ggkSWDGx/pqRkZsx80JtlBHOCVM/76wz XibftTOSO+a6ayiqTMOU4uTv189SKGECqGTMjE3GVrRm6D2ePreMBdIkCnGwGToHzwmkwb5jI/4c dGKDTpA4QKmC6KKVzqgk/3mnnBV5b55rK+07WDx9lqageGeSP++MMyZX9SvhgMjIuQI94Xjnm7Ew hB5z3mYZ2yiZJNWwPl00nPID2mTv8TTqHlxCCEpssAkaLa+MloOy+oL0gLw9nNyQLQIoyb32ELR9 3tlm3GMSwqjFB8MqliUuDDKszzvZDNHm8HCRy40yOpShVB83CqjBQRmWXADKoRma1DDyJTQGGORq OccBNaemsuzDwWaoAaWItUOacG9UIgP9E0d/QuN4P8gpYjneuWbsbRGLv+TitNzFCcIBnTxP/JKf d6pZEfz6awkhsZFeGCcohHNAKKSJmYAlBJMPcx0upmmUZ7nqfO9Es1v2qBINq5etkE9Qdv4fahUV FOhTp4R/J8b2/G2838RmQm2x6F2jCaIWZiIKj4NlHEDoCIdQ+IrBHkvz+yJD8sTTVRMUxdNkmaHk 9+PC/F5brHxS8oVtWCz0TjLD+pcRQGYdn4Pd9BtR8tikTqy5T+Aja1AUvoB9BEX4Vs5K0bsGKZlE PaimpsFy1k41UqygzlcMTunspzQPnEJzkLvFonAanbdz07vufJGWOvuHhUriklUfxp9+55d5sNjL KHewFVQxO41yqvQBG0mV/gTILxJzTKbLqVL64p1exv42S4uV9TOWQ/ln5coWLslQKZfPO72MZY9b wF8CEEHfcXvK8c4v4w8D5EterumQ3Y7Z1G44R1SyZgX/nV5WJPDb4Je1fLA5Ood0m6IBQL3oZGmL KAzKxADlernTnTnrO7WMGZk6HGv5YPcYAHz8k09w9XlnljGa/tXhQHXhhH6etL4zy4pkT9Cs6r6G fJPBfMWJ0xPFcq2hqDydtIaYTWi4KRlaxGwloHR4Uhv1qScxygMGM8ndLzpl5995ZcUVnZLM9tI1 20PjRPJI5/Ktn3daGZZC/s7qaRqbbuXsnVXmEIbpAldjcLEyZwVI1/EdrOIiZ30nlRUZA5wYCPB5 G7wPXaGfNWlItE+E2NiKBY6HbmAAMH0pO/etlw1iIWoWdx1D/R5DEHFCjwQTPfmOQaP1ZvVqOQ74 idyLLAqn0UyDYeNykPS8lLLWLKuHWpiBPN4ZZbzIBIFRr6wxspise0CVf5D+dGZowZBdrrGFet6Y CsSAyurZB/+8E8pYgSeCvHCXUe+InZvM3ncTvuyEi++EMrbJytciMkklT+vf+WS8ymj12abjWq9j 41TGwWDoqvC908mYUKr7s7IPDsDrKErUwibQPhFEoXf1gPhB6xsQpQv9XQwX38lkxdWu6LKIAdQH qnq0c1Q0VvDB8v+8k8mKJF6fGSIXFK5VNkNRQI15hdK6Fc/qyD5DtfaLtKdXOGcoKlAD3Q3X6pF8 uDN09tIkzIwzVPOQcWxC7eGc5yRg2BoijuqdR8bsaAnncQerDDUTTynnr/6808jwivccYuSE+kgr nrS+k8hwDmU265MJ8Xe2YUgBzpRHlsI8wkWKp79zyIpZpZ2joW0fvLp4wgnYgmgJpdQFx4cUPHJM 8KTBQdEaYmPu884hI4KXJaFOQAyOOxVSTxC1G5cAkJ2fdwoZa823uijaAuBUt/LxTiFj1Rcrp03u InLJgVxorOGjyEKVq81gn1MURdToT7AmVH2wlOMcqJATVuUDJ1JlaahD97zBpG3UBz6OH+XzTiB7 IDGFotfNe3G5n3O6Mi0/y3583vljOAjm+tpkvBwS/b05QWFEvagoN43WCnWcK8A/bTkNKcEgGHpn j+Fz5FvdabKz+5fVFfDdZUi2YQEXTCxnAQChL1YX+wUC40jil0SQGE5NGsUH3WWzmrnWmaD1eeeO GVL2qb+iAFyeXvQ7c4xoThTPyrLb/uRF5sNzLoxyOeRY/TyG3oljzy6jXqwGW0IwF0mdkJgCWOfa 23QJJyQuOyPix3DPXja47Qn1KAwPNBgm5kwRCRvngiifd+LYL9xZlggGNLLSnaKwRE0F56vY0Pu4 ppbDoIxIN7cBz955YxdXVQYDIg4+RQuXOP2ZIdpEZyiVIJGHADmNPEp5K53MP++0MeStUpBb7Q5K yxawJEq1av68s8awhrbPEBYfdP5AG/UJiirUCKFhrWvNaFMkQK+1JQ8ez3/jYPt3zpglhefsXKqh rduMRu8QNI0lD/Q6q8mpFxybaGHn2wUioeDzzhhjXl+Vld3Ba2d1ssAM9azPO2GMyZVf9ZwLpPTj mZ8Q70HYa79XfXGDqzJkiz5k8G3FxXe6GPeY5NtI9lEarPk5VyNUJ9jjOOnvJAqhQbgRLwQcybwq sqwyf97JYtYrBVi638FTDpgcMM8qfDy6yHwB4b+lA/pTfX1nihXp+46yXIPo5ArNW61khFrpbFhl 6J0ohpen4d45zJoPfo91Ku530cVBTpByWs8CEf3kR2Z3EHH/zhOzAxMYGio2crAJ2nvKMK/39Xmn ifFPnV+ndEf0AoNKm6AonD6BHVZQt6S+5mFKMScPZ04mTbRlIvItRk6rJIR0opu0pF30rcBwmIUP Ag+YtG5SOICFJmJISSvbvPiO4KInkS5Nwj04OJohVdHpofXVIrjHvMBywiorjcm6lz1aGE6jxbH6 sOLirG04Lq9Nt/5Y1JngEorq05ohoV00OCBmyyZOXMOGW02mFlhCjE7yushFO6VDwAc32Qkxuw9a Q+cQIrAYvFU+HnTr8y88A36deU+hHsbTCNcK+dGCl9crPggwv9bQ4/vR3+NpnNKYmmJVn+kyzefP T7T63CwMnYzjrCmGS0xYKWuGlWHhNItnPQqnk1ocJVcfbA3VaspK5/DG4xE6+Lv8WtmWSneComh6 oFkPITqrDA3P5QvrSibIgNa/JigCfAxWhqC46YNVzhISisQtda6xCXiM9FKhdA8VB4jwWkY2k71r kG+Q04Jj3wdO0Jn8E2kRU1VPvtGjaDpVX0FKb88KAgDXJiiMpvuktL4FQqCo+jE96wPLK3bP96g+ Tc146HcuH7xXDzNm2DOoMjR48sBdB7hg/GuJoBmhlwXqHkXTtHGGzrAGEDMXE44TZdDQFSSmgucD 2uH67kVTx7/12yfrIfEQsKo+rv3Ack0mMCK6Z62TIPzOz4lSMmFiKDrE4ULMK8WGlHGcI6nQXBdJ 54mnUf9kpGjAMwpW9CiepnbC+fGewaIh2Erz+85diceDo/p3ux7RUM1+l/WIezgqXZkNsAj/GJP2 Qp+mOmoIYuzM63uM+FDhoyUfHHjWN5zPldfDuUoiBAPyfA1iNygPXa2KrXeNmtEsLyKy4lAZ1pzP 6FOyXOcQLXg8mKDx3Scbk/GF5xs9DqdZXkzDltC8DhaLASsnaLI8wCUUEhAHCRxwsLXhIvNOwDjG oAp6W1DgIsMOmloNYERZoOscwkr+9AhATUG3UqUwVK/C0LmTCo1uAGjsnx7F09AM++JJMdjYd4LC CvWkfWXXuhkgjVhWD/FcCTKNXZNVqHuMnx6KcaoPNkF9wYiQDgi4aCBSQFsGsIo7cvGM+qY3yihb 3aMKNQBZQHqQ33vrcyCwUg6dWf389CigRuvx2WMwN4Hykc/Qe0BtTaBGYSJKL6B2JttYaQNTPq8C elh5UkcB9ZagQBP8rD1csgonWpr2AUDdQRamZDX0axuZWpQYnIIuJr1rMEFdcjC1+iDMEAQxsuSX 8sTjEexsfKVkCFsBC/UJivDT6CGfkBfB+P8RSc2wJ9N+mAwywINzXn4IRXgPpWQAFWvgD0oaR4ZD JIBChC7OJGmKjoMbjM4fxsd2COH8xXf8he3s26RPncbRTqDNjtUPwi48/i/korCdqK7s7KWzHgbU g91DoyacwxMwbG4yqbqpdAYBdS2hqD69TFhAp9C+pxCUeHajB1ahR2MdBHx0S04o+HwRH0Tm4Eui rJV1ZU1Re5guZ5vKLebcZw2P/wu6qCnClu2XrzmiiBo/4bdJHI3LLG3tThSXFwAW0Ygq1JP8+UI/ XQ02RRNCwhKmAxIKsrCs0PK3gLhBB63APXSy3vUvmQH+ijZoEZ14kcLEOM0yHg/I0MOLi7wAgZBs w7tAI4qoIcECnIfQZqOxSMebbOdroCPvYlLF/1Gf3kLmbUfmnbXcoPEAjU3aMoMDQ2lhpNQVtZX5 sKEX/eFGSEik0ADCTh8UC6HRpJzqpDp4/F/YThXwQXSZd4JCBDXO6XNVWSdxsdXPXmvKDjefE3Ie mqCoPs0iYQfVVAPDDa6gAY2lRP+FBWGQZkoxmDLYu7GnY1f9WfYD3xFgO0VIRFzMIWmznCMus7v3 c2L3jsf/CxMItoTUVrcJisJptBphe+rg17R9iw3KrKpLRvsObrEonF46VKjOfQbGbpwgNEGLPOrO 5J/zrnrmz0uaVYh70U/MTwj4kFTXkDT1uNLUC8ZNatbPhscjMYbvix5g9rKu3MCIgukO9e58HU/z Ko6pqrs4fLpBfUPzEwXT0/gtPflwC0MQ2IFbKGkuHQoEZLTiqED/CQvgtoDofD6i4jSFuMq5NDTg 4pbp9Ji10xJu5JLx/L+Ki4Z9QK3N840RhtOU86j9UqVcrwLlTdtj2IPWaB1ROL2Urv5vHxH46X72 RpKfd4KABakedC6s7Ee3K5LLlBnfESStibz6qhJadTc4OPI1nnoni5x4/H2C9lMYYo8fa7VdxMeI 4mlIG0EC11gKw83PM1pyLgEvSXvOUASgrsKdFYLKONwGx/n0BfYnpYRmpaDnuaeB64C2XYGqlDXJ etW7/sUbPydy8kEzlEDhYzls94HHg2BofaMZsJbLuDSOEdWnoak5SC1Vk0yWp4vqYC4nPBDR2wRF 4TRiVVxg6pVtohkyY6FUJqXGuIRglMt7jElmBcIXUh7XUfB8PL4jCIWIGTpTfAfdYxnMdN5Da208 HvXIvjFDqPWU+qygMJyGnlCt1VNW0X9IR5zGUYTReTW4xwjD6S3bF/Fc2sNzATAUkySf8zohI0iS FGJjwNkzzDDtoN5aQmE8rS7ilvPnWtMR5tiebCWDYY/ng6z+Fs/YRUSTbD3HUBhPAzRUdjPHxYpy iTWBiovEDLaPmbSOsEBduXVKv4MXz04af34HFGCIWJ1S9RadDMkwtAO8hr8lnBMWqDtZUlteudth VScAzVX6HmeN4vFghh7MEKeTRL8bDc2wQj2hYjpmNsRHn25KmUd3tlTnpsUUzVANjwcQbyMbvACL oy2BIAsQPvS8iPhYdI4E3IpVagOeMeOYIeCD+6stUYGWU4Hgb5l48LUTnOLxqMvh2wxbCjjlmq58 zoziaSpTIfNmMg9yaFbWCv5vY64PDi8KdlQXCivUVhKSBNO82M60cQ00FuzPOVQSvEeEGWJJG7HY 5UpBPYrf8VcJv49afbCstaNKrQkqePy/nEMoxddx2WQziqdxVMLs0kitXV7dZNa3Yv8Ix06rDM2Q kVh5UC8izTl40gpjk430CaTWumon3qOj4QPFkp9NEXORWqk9MMMCNeseZVGCoHi3+0RrkGc004CK x6OcdX7vsc5iX7tLKAqoM39EeqWQb9f6NeoqtTpZqrim4gzr02u886LPlOczfWy1noPjBF6Cv7I8 TOXpei0WmVjhOyK4B21MBreaxCN4Cs1z+rBVChlKPB5pTv4C4SOxm1fdbEYRNbpF4C9ZRH2iIu9F LzHrhfZofgiF2nimGSiM55iPhFc/e3XS4wmOZvBYsqsMRdcEy6AHhI8QAd8R7LEkrpRk3tP2Yxqq 1lQwOaFpxeNBH7F9B0NIJWpLXhiaYTy9cUEqqe/kKzjao0xPyeaiPwMnKEJ7UJH7BHB5+mAXGQTf KtHAEp5MmYgh7EPoJlH18kaLrC3OKJ6WfHKbJJM1sVgxQfDPZLTXTkiGx6PS2fcKohPfvG2yGcE9 kBoA9y+M4ijrek8OVT0mtxilBzo/J7rGVPVQGX+PS+PACXcCwk5k57lXh4S8Jr26oOBNzq9LKBe9 a3RKs7OxJUK5u2szAP8tVN5cDY9HSf239ADrMLipbYJCOiI7QDQBJ7Oe0rzKyAoVYgQO7sZzmaFK HhlAWO4+eIPjfDZkvU2bIc02ZNqBImnmNTKvhwINOWZUnS5bKuX0wuNgGRk6byz1rM3HI0DVL+gr 0Lyr3zMognuAWkIXVissXiQeGhyuwjSp5MZDOgqnqWjd8xIS//rgnjMInfuG1jSYIoh1srT0mBDN JYVeq05Tp2pG0bRUK9LiCuJgZY9zjHGL1bPX8HgkXvGNZcBZUtpNyGbIRuwgkA1rOsslQbdY177D IT2gfaczKNTKEyRvitA6H0Ir4ORrdjGlTvyzRzJ3M5yPaCbXcdmItHifoVaeIGfqlHEwwNCiUQjb QgWPR5Fi+77nCcWmwQVnaEWxdKba/U6OySMzlHvs4UcPiPlqCa0I7SEF3JOgLh8uvPyk78n4mtBb J5vh3DtI8lZiC+639vYKa9NkjY9OgyAOmqHzhY0JPqzR8HjERnTEEAlWaAW06ktohbXpVVgWskOI qrTaY+pcSt6jOGBohbH0FFZTOqY533xsrQK1fnGiIaLQh8xe6F6IO388tWmsdHzHX0UP6l/bYKf0 HuZQsnfF45GQ1y+tTsQDj8TQCvWlO/v0uzjaI19Q3nKxvJMouzHgCtEehATDNUADf1CxEcvJIhE5 4xobEGnmKQ07wIJCPhWDHtJ4w3cEtWlGQDkxUuRge6yCYqFYeuDx/yJdQSDmKn5Kr5CNWCh01jwQ kjz5JEHBtSnnAChPWywKpUcWFlHo+z6fNjRog0C7MhCCWiHR9/S6AZ+Dhjz3nk9616A4LY8beuRq sKpQ8Xv+pDZ4PDqELj+Bl3onNMQbZCuUmZ5cOMtdpVg0NVepblEjJLMtVFwxF9FYmM0Hv8dQ4mpI n9GyOVmIWvodxKKGilCfXwo6U+8a1c2Yr67VfVC+ijyYhJezZTMej2rT8ysSIvAVPUCboCiWhlgB 8N4uwnQnCBqSpt45iNxmqLhCbY8hmFDZPtghdHYddMDwU5XcwC1G6t8KBJc6C1kAmbutZLKX/UuZ odPwV4NmaE/JJaCPNPB4pOOevkIh9Dxh+OYzFAXT0DQGBMY6ZICHeaxIbSyVPAC+1yYLg2lignOW MEN+fKUhoX/O5qLKYkLThWgh/H0n2zhvCjEOkwweWe8aTFCXXQ897+EyrJjmrMkia1GsXTwe3WPf SAZQ4r+0PVYUTBcKUhYeOICe0XBKS2glKw+dH6iZxNAKsdOdCX1ihywn99zMCVizfSagKqE/5yvr ioN6DLAhphyOFc0oD7OiYDqRnVCmSh7TSx4nBSbQmDUhvmJwTO/vewz6iBCh9QkKqYiN+jnJvVvn 9IpHFmmcNiQtWRN6xVAPCSqX7MPFmwGxTkgFztOygVSkm2sHxwSe22iRcbrg8KaXDc5pGkj0piZi 8ybiWUBFOMl6oh08HmmWp+8ZqmxAudLZikvTUFZuHgq1fIWDZ3Pt+6m8iTMUK0+L6Ly6D3cJgV2Z u0zt0AmilTQ4KecUQqwILUmPhYa9bBQLka1Z2CnjoJS+Qb6Ta2BnPv4+Q8A8fV31AKesi+rcUTQN fWKIGFm+UYqvIXQTvc06qBSCWGjH2tOMEsVwSQ/DJZ1Lsre5JYtbERfRMGFQGpAv+Giaykh6R9F0 I+CssB+iQUsI3laqK6bBx4OqWfeLTMuFlTO/6fd7NH2eWhSFKR4szuwKQyfOS5aQlenaHjv2atEN JhPpeWVxU5o4JgBHAux1wiKJeQG0XjpkaVAd9nuMuvc7xE7X/JaxnnUwt3C9kMXd79F0omnTV1kR BxDgHjZBMXaamDg3Blh9TZ+gfMW5Z+sWTe8YO62lM+7gpfuOLj2IItA5AxKY/mSrIgmmikMa18eu 2rsGE8TS/SxkcHAwWPD5j4tpVGU8Hml7+AQ1Ljxqe3g+tqNoGgzBUb3+gzqHmbMW1inMVGu4LsMO kR6GNxOpftfbQjx5F/xZaEN+/jVwx0QykKAJui8ur8tDLHrXSPxETjal+2BFoZO78shGKQGP/4u/ QXVOZKxllHsIhcBpsKTyNptIBzLQlyR1t9fu3VlS+x/AaQl8cPAlRN0lwDMQCsFDhAL4k7RLEpe+ 3OxZut+xtodAndIZetBUtQ+zDT17F49HaKpvXDAc9ShsaBMUKuXhHqvJHTXLeKQ9GuMjls3geK0J CpEeVGBCCdIH7/7g+l7Vl1BLk6jXlZg0AMMwH+g9Qkx8RxAKseW42U/TYHvsRFRcAZAawuORDtw3 jWwKeHEPoQjoAVDTmZRkK2hSwY0kqZb7dJJUqoam2qFSnli+nbasHLwotAA5gP4QCq8JEjr0SoR+ BSjXP0hmrQW92ILeUWVaTE0o7PqgPVZwUa4f6x/uuDLtkVBXlz6DwubR9A6lParUc8yhRDYYcq5l xKc9BhSaZihGekgiT1peefoeQ9v5THBScwOgGh3Tmw7sKIn3y96gvTXfNdC/z2SRDaZlHPyYLptL 4GRlA48H99gc33sMSOV9S9M7LE1n8g+rJN/OP003ZJ1SFSwC5BUzKfkzmj5xsfDT7bLIAFw4keOk /v0GPG+p8opfBl6IcLi5aKo99a4RIo+27G03H5zecpaoCAeLj0eyFd+h4iLP5CLydhRMo940zmnl DgrdVBeBcrssMtBTbIIinMcsam7k7IPvsXNlocnKCRqFjDKe0jhK0ln2CNeuGGXTu0ah4lTvufhg ewyQVELZT36Mx6OE9ZdqMFA9+zZYc4qCaSh1jr7qsCa92j+EebTtTfrO7g21y1Oo7MG21xpMt5Yj Lss5djZ6JkgkkJE1RNeV/A0cB6Aewhf3ooWowUQdgPeMQfyEkiStjENRwNdzui2RgM4n8wMiZOdt cQgmuyF88MxTqJeXqUQpNySspGVm46VtEhPQcTvnnJuNpzCotnkilmN5Hx3VobNsACsnHgZYIkoR NbS6z2suwv4evMfY9rqRLCURMbMuH5R3oD/C0/us28bno8TjriZ2ZpuC0DtNoanL5DmUimM+tmHP oGHojLsynJWYUwiiFrJThLL0RSiDgmk6y4QlogVNA1osNRzXbLTDNO0qmVd7278kLNqkAEGDfgRz HLixVhongya2+AFBaJS/kUPoESJLv7MUhde4LICospZid+uAXKy4RhVheDGZe1JoiUjgHdAtPjhE L0O0FcqHcAdCLbDeUmND84DyTTeH3fa6kbcLK/mEPWrQyQSegwryJ+zm8xHz7js+Ai629ssKkrpc GCA1WlhxLWU6ZifasVbXHGo0ZNLRFFWsZV6SOAUaHrBw720w0YfwR6usllQ0Fjs7WYQNq1hEmj+/ JWh78OrPMBaywQAy53yRpvmGs0IKASA3EfGGGyWp7jSFZWto6HWKXBKHBnQ9T6ZalcHSXAG6zFXT FNetOU1iUXHwqizkCqcYeMCg4w+hasyZmI7e6/6SiGMUgC8JVPTMWlPZWvZsDUYZQ6H2Cej4/L/Y 5Ozho3ZT5rOWwmCbHVhUKbGCQGLody1NK8yORbKzdlwUbU+h0Hq6g00SNP1x10n8PRmfvBFdzb4U NShNrXJMe9u/vIIqqU8aDK7XivCMJx/US/6LLs06Ltl/5TmWQuWPBAoVlUXYIZLnC8nAlDuUCwUB iTq8w/L1Ipczy7+ewP4fg8UugEA3hZcRuS9tOBCzG6i29I9S4YhYL35JxOWUUlwfGtwRu/Wlvj4k afh81Gf87lRThAYYZ5+kMOYG4qrmmR2z1x55lOqd2FV69bM7kv+oWkJL6jlr3drI2U3nYEWNCjFl nXnQt2yiW1tkyP7Y4cgIOcXy1HJY6NkHHd0d7KaidH7y+WC7XVdSKoX/4Mbs7Wb/OcWKeiixFbP3 HVD7shJbba16djuuNVcK69hLVh05+eBFSHggL1a0IOK9JNzdukqu50Tq1IGvqrEVe9vI02RJuqH4 oA13dgRti890M6oMXV9uS5anNBZxuta2OXRRnJsg3mycxcTEwnS823DYA8lInKTARhF2jFksj+2D A0DPuX91B89kWA1gU5cZzkGLPdmtSm23t41kLqgDUpXiVk9xaxuD0Bb828bno57jN4CPFdD2mCuF TooQ6T6nl1tNluo+gfihLrETIg82SRE4JLFCgtTKB5skkH4hQEgN1I4EQmA/NK7P/A/hi75b+zm2 UpQWSGJfloNu83MiFJVbz7nD54PAu3w7UNFZ91HzzrGZIhR3Cs14OEm7eiXA0LMU0mWIxPQkdFOE TB6LJMMHz3TrPOsI4SlBWCdyKtYWOJME4AkdKsxkeyV7279kUFORcWlZdnTj/WW+11EsyYGf4v9T 9QcSZVwx5hz4KaKUiLB7c2dV4oqNx0BNaE0SPNa3T1IYdhOiRi6QDV5QOvkkrHeLRGXQPrPiHERd cD7tdMva7F/nwFER9xsrSm0VHwzLl2Qwhnuy8vkoCPgG88EyucI/yycpFNgDBacOMzMBLd+LbpMU OGHS97hnUshizOxhFyGOyiNCmBaU94sKt/B4RQSG2v8SgPqHSswXa+SvG+EghJn1YflSSnJpOUsJ zpyhr2K5UpaiCvdvEbkc+CoiVgaseLtwdSuOUgP7qNhSSmgg21IKg24TTpnNB48C0FrMRS0kFK4W ywEDfBoAsn/W16FEGbkcOCuKkYxomyYVHLSUTkif1SQ7ATifj5pI32gRaH3Ac/BOUhR0w30N2Otk sEfV/ZcQzdZQOltpJvfAjcHXdEwkQFSDxZOgnHewlIiooUMb9XcQnrXaqKTUH65esdf9iwOSZr6D 1UzwurzfzhHO5yOF7+/UhGHIqM/RHcqDTNKrknHRehlujpek3UiRIsR7NkuRIYyE9JM0vtPV+IYM FQBCSyQHMhtZ5u7wfGi0NV/pUS6o9rbRUmLi1hkwcbBuW+7Svzifo+cjFP8vUMRGIFDTnaQo6l4k Ww0zgjmTtK/PfTFFAzC7nRWbA49FXB1ymi7VhztJVJqxcDI3irPRcBqviRCsPbKEs/rrBlnu7tK+ GD4onuzoUnG7nLuUz0c6356bcHci8177WUqhz+Kgrm4SxQGyTaYNAmGGavAj6fKzFBAaLXJlIDtP PnjFZJzkBGaCPLvPJpEGOaz3QLmaP3DGvU6U2d42kkdljCTwSLvgkQquZJFI/mx8PshNfjFmKA8N 3wKbpNBrEc3+AQq3ER6K7bwMTor1KE88mbxEGZgtokne34l7CbDpPQSPOGnekF3nGTpOJcjHPiZn igJCt8U5VQPoqghQKpHEtCpbCbAkM58P7rcL9GNUJKOQm+WGfouAjAz6HvpKSncloe2jlUQXBU1S iMj2AKj64K2l2RAUbJL3TgaC6cehhCscOE87QKdMX5O9bXAosajE3WyD7ilQEdjtO181+XxQoLxK stJP38AtPQbmoWAIyVeZ2FBO1/LeCennrC+d38+J1jnwXISawZCw3B18u4F+OAChQbGbIDTWS1Dt 66Abg5akM6nkmuxtI6QNZXmgvYDtttoPwc2o/ubNhXDOxs0PCALK/7nfMiGUd5ZCUevRrx0lBMLI gudSmjvboXROFdyUPJQC38Vrbvq/99sJH8ErglYzFMLKhA0RJpIy8VL8QSzqWa5ipdB4MTGBYz7B oVlZ6Xxa5RF1siK9ZYQ9/r7g6NOd9rPhQqcYFrvZm1FAWQyHBCMc982Dgr2F3YH34qMqm6YPF3KD DQimBRI49LMzm92Fv2E1H3NrdlNGLYfei4nmA00OgxwMwd4Gu5zoVWY+H3UEvtvdiALKeppwofsi IDDAlHiWu5rnJkMeccSULBf/zqH9okAlcPnz4QKQwX5sdFreaNHQ+QscYKDt0QfCupAznPQcc+i/ KBGjxKnVoPvtRJSTbT+QuPl8xBT5TXgERvtpwYUOjA39gJmcErpk1UBwW08ObltotNokhYp8Q0Wl 3n2wBO5s5tkY+52VtEBdk98n/00D/h9ASekYycQzhxaMg4EkFNl8sKLSbqr11ZNE8/l/Bd2Z1Im8 WMe9yUlowwigzCi4kk0vzHxhz6Iln1m1t5rvWoqNY5i6yeeLwwUodSiBso67Jhj8g6SsRQ1wLNJ+ pwnQab1tNE0krHWp9fR1N9y5FCQEwtpbaMRYxncxYA9qSD83XCh1jbJSHRdgooIb8RMysJTHVzGp 6xxYMcqLlMKg2QefpIxdJ7PKhasodTbGETMXHDO5X31QSnrzS4IbjvUkCCT5oElKYHxzuzRuuBBj 8guEkyFP0+nEarMUhd2JeS5pY5ola+xio5Tis8RSumYprnUbuqb5YMkJcGDoNrFCOddJFqlI27Mg fVA6sGLhWUq129tGqHayHBsrlLndCiXKdl24/5r5fFTG/dbnayTQtXsshY6MkPAYg9oE/ycfZjdu KISQiaCFMEH7LbZkZCgJ+2AfvAO3GtpcFDs4yS7kY0QSgIwLvvgLMEnUdg4tGTPlaKhybIPmaCT4 hHOOxuTzUdT9XaBsCN4RiPocRVE3FIOhg+K1t6ubXvZFtkOayHEBgSvjSZcpLEeRNBs8VOpQTkdR hPwRlABI81NlkD6gD7R9dHvbgKRFuvqsUn+qrv4EUwNN0sk29JIRrvSiAll64xq6Z1JszFgaUxO3 8a6OBUDLxUC4kyAjRd2hM6N1liqpshye3TZro/oCRGJzRWwCPeQTIHWwCaV8bfh2NbxDb8bJNdSy jGSyG8n0c00LlYW8kM//a5ZUggJ44qm9xe6MdHHI7jJc6X4rCf6UvE25y+2axPaMm4038Uj25ZEk uJ+uc/dk2RGRttWICkBxHP8aymsWKpGuyi8J4klq8NPFzQaV3vqg0SPYM1kvGaECfimJcX6+zqQw 6O7QOKrdzWRKvforKzlzvV3vuBz4MyI1kgAUjZZ3uR6WwOGszkIbJgmwLqKVCsXHEBtldefNBnXZ 60bNXOYkyUyZbwNugdXJWGmhmRuYNCLq9qXE/5ok+HUlsHPg0gibDOYmaVqeq64u8txBaBLz3NGH Z3ChTaPdb8VaurcDd27aCRlUFLuBz81ZAr0VdBUQHX4ALrUyLp1J+CXRhhsiAiwftOGqgRtBP858 Pip2/1al27ADeDZcFHajSe8SvZBXpQCMit1re4Uy4XVskiKECYRgUD4SeGI84ImzXKCDwM7tCSXR MCHyDRVpBN8/gF14R2B1e9tI/VFSWbJIW+7pcC6CKRMJ0EH5fJCbpMua4OQSs/xsuL+lsKsV/weT BffZq94RmLyXteFihAl32uJu2g5I5yQVoFUHJ+nsh3MVcMOhQ90hmpXbLZlkatDwW4INl7dgpsUH HUsgyyVBclfn81FA+UtRnf2t9YQBYbG7AIczdjccjqgT1KxJU8UmpLmj+yyFUbeUHUHvtsHrSvVk NpnVUsxZo1BMQ/kBqwizzmvbSpS92ev+pfecqqpv9VbfUh+JJ/n5gRafj+pK7SsOkDj7+goEQt0R lN/osp4xTSc2GwZ8K1C0LeZ0xRpl1wdFi4kIVyQhNthiglo6ECWJhaXzM0DkBPDARDluBJooUlq4 RJpSDnwbETcTqLTojsrBmmkLpRisj3On8vkgELiqz0VS87hU1gPxDr0beTKV7lYqmaruBqCYHgqQ ZK9QIDBvhBkt295FXt/l8fpOnUaNhg2ANpycQomCoj5Ku+6WtFLglwTHN+VWB10XNRgcciZC9iHX sfh8tOe+ecnUTsvP8R26N05i37qprsGAyXO4Qqke+c2MZFSKHNo3LipElSL98PLoh5/POTsC6tnk UgyYnPGEh1gN4sJGgy6p2CheCvwbsbGvtaUNlunCyoDHyknJ+Px/6cLhgi1Q7fFJikLvSikkhZJE mdxEt1OWQSiTdssBgYUjEMXM4YYgAiPfgneGX8EiFDnv1FdbDD/JXy7wa6YMvREnVX8LLRxrkkMq Qd6JLUleVpANm8Sanp9z8QMihOA3NoDM+pKepRSF3nQkHsVUR1C0HZ7p7mwNJmBDHCEY2zgOpW/7 Dl6lhJP1NOv4CtV4VgwWUBCwQfvZl/lGGDu/46/+ErHVNiimhFGXMCID+InQxtE2gqNMgAHH9e5z FGG7gXhHY920Nspy2gmYoK4DTSlQXXF/+DjKDJTxIgdvwsG962yGqf4SOgZqeoPzgLiS3nGm7Ne2 ve0fKEpQhqoP2m4VQnhMy9qsfP5fUFPeZRCn3pe8lEMrR1Io85iudDyKkynS3FYZAAu3WEgZeDli IQ0vPNrgJRPgxvPsQnZPmK5LLQGdKIjECm5qyLdlbxvwl9hfqlSC0KCQctS1m8A1qfL5oJKbvs8k qqXlB2ga+DmKgnHOpCtKf1X9CngmVsdde96VFPIoF6tug8HAHg5/V0iJgFosgb25zRrMiyaC2fN5 IFM6MYcCbTmwdBRlD90AFu6SQ1lOFrjYckbyWvV8hFdqvwLvgrbdA6AIXR2RFQFUmrxqUoyNU2gJ Z3K+eTiAIrB1hIojz2wEhTbY/TYgS14rg6V0jg9wZyWEWNHwPtHduibg0iDLga+jWOuwkCdZsKdL FgR1pRh+YvP5CEXpsVJjosvykp9Kn9dAqWaGHhC2tjmCAq/PUXc85Ym7HY77GibVEwEw+DmxS/HB ZqjRCRqkJOw2dBd0eIF1UmkFkx71fuz6z2uQVN2FBlrAPtipveGT/qNw9vMaIlXpQ2SLIpPUBbGM i7Dk0eRA5e/8FG5Bw4tFiIDlMJOpz+ECCiaHwnTwVRk+eEqCqluiT1RB3zsVHlrnosbr4fxPWEgz f8HeX2fnRBdTdZK1fbDo6Bx2/DVwiH9eY6OKsMFnh1OBHcHTg1/5GhlVqGXjppf956RFvUHdKhmh olEu9oIwO69xEZYOZcfKWN0Hj7AnViMUqgR2r9AMp0DZeU26dOWLvqUHzuc1KqqOuoGCuw9W2i67 DBFwdvu8hkT10Y2alFuvrGstn5zXgAhLp1FjPZvoT62uR3K+3WrdUKRLNjmv4VDNJs0Gnp4Pvq/A X6UkMSZntiUgxQLnpSKiBo7Fock8Al6joeplEYigcuhmAZ8hRCXL5nOI5c9rMIQ/VKY5trFYG+vU 66cNcjQ7gJImGRJTzsYVSBB5jZueDXe0DpbOyGr47+aDA0khjH3u402MZG/QusTGYlcCZSMcxr6v sB8/r2FQvV1/xIU2KIMt0Esmsb+fePo1CKqPzaUmh4zSnZtNzmsIVA38/822WcUnJ2WHRazcrIz9 GgDVxAAMsyK37/xARzaACgyxCgh+J4+lx+Ogawh4NpkodN5ahYXzz2v8c16VeFyw4JcPVp0Fx51f 12r5vEY/VTK8z6nTKInLghpm5zX2wUEOJaS5ilAQsCE0vOjJ/rK3+vd0adrXyAez07h0Skk+OFK7 QeGEin5ghzQCyIGGoIdKzT8L+8VSVpkYRBuLyvNIq32wyemb2v7oGIzPa9CDM2t9H8kMMZjqcHJe Ix4cya1RdNVOnfLc5udbbRWhbWFCWq/xDo5kUWsSyaMcbud675QBZAbF9lwRirIHOqcNaM2cHr8r kmY/r+FOdb4fSJw+aHYgLc0u9hny5zXY4Rv6mSyWKdYfJVcpsRjMDpjsUDX2/lC72hqD9CFtLAR7 EhQNzuRUxKkd1QffWBN3C2Q6Coogi8EUe2ioaOI+5WliUn48lF/ri4yn2LCeffpgzaHUjJx1Vufn tbqIczH5sVPsxqo4SzU7r6VFLFcU+MwjljnYLZktghA0OyQ0UTciCnYIncliHOXvfgcUNNQSxvQM KIaglI+0Dlks+oPlQrJpU/RaVsQvQKlMFNB9MKgxrjGZD0Nh7J83luDYbCDcUDAKlCl+NKhdgOkZ 6iiSSDPJqSGRBi1aI/dF8yNuyJIO5PrSgQR7vaHujfgt4RejKSoIjB047F1um3oKZvweKicWcSoc dJMPWj047+VRRORMFCuv+T1BJJuTAq4JioLllCnzPC0pJT/KMonRHOyQvzD90QQpEJQTWHmcwJCU nku9UlT9nNE4qtULqqTwQvyD/P4qf2972b/OZpLDbNC1ntveUn/IlY9H8fK0GcKDC3qUWIU2Q1HA nKin0Qy1N3rp2fvT3fGOs5bLD40i5k2kOpUcbfBya+3Q35RORG4VAGOyR4ByJHOr+A7LS0l7FDL3 YWiQO2iLpXMAkCdcG2pk7zEziq3l+/ZC9FWan8/vZDVMK2CzNEVVQToNP4KmVMcwQQlVW9Vao6gZ EC6Ey1pC7VlCJ1mHSC8tP09uledYqhJtsleppHKvr2zvGiRcRDq2wtu9lXu7nxfcVarqC62N8BD6 nqDGwmW7e+w9bhY80fVqwDAuDv6osn7lBDFhtTJrNEH0AeuCpvUHmoYG+3l/U4eC8aecegpocDQv hDIVQbNni00VNMILnjitTm9vDjZB2+oDVDl8J6lhhxa/4blcMlHFbd1NFkXPHTn7GH4MDZFoxZrx AuLJlapDZd7D52y5hRQNNNgmmzXV8yVK2hP+FtaqE1pOtGjLj1Im86fPO0cNG5kNH4hw+SA0EepT ywyp6+edooZE4V7zWY+Nn1579hkKI+gx7y7DP9FVWfbeJW3L27M8P1FijUJoaWfUuu/guWlCbwQT SOth6veyZV1ZUi4/hE9ZzUc1vCiGbvIFyab8fOEfQL4nRYl5f97paVWKOjZDzN3RD8GFbzMUhdEk 8rfucCt4oXoYrdObRTEAk7XNoji60/eiJOHS04NLh3JfFV6LVs1I95BkEDEF+axMNRDTOxBH/T2Q Ro8UszoF3udg2MZh7UrAAz/v5LQqMqZNEacDP2q6ofQ7Oa1KLIkaV6rTnxvM6vTnsmjbmxnNrdLe uWl4+8bGaqcuLQdPNcD7OAcR+6vgNxQz3yV7Znh/dX71Mt6DaTOTO0eYrOJvv6f0DhopI2So1ETR 9Nr7e5uBB8b2uCYoCqdPyAaXIpTUMUGT3A1Vx0j7krNubZaKvdPSqvUxOnT4fbCj+iQb0GdWs4dm D0WMUcDq1jn/4NPoKOJp7xpMEC/7RcaIBp1D545rElYnmiEKqA3ca0kKnbPX9lT1nZOGyx7Az3M+ eDtMFD7ztHRtfnTutYLeKWnVKTI4in24BzVIaEWiz+efG//Lk3RTYACdMNoCmmrW0LsGB7VMP7ME abML0p7TIMlat86SP++ENOZjvsdEzGqw3vB87J2PxhgVEzRNZB76PdfdG3GAaT5X7/K809GwEqsM hevywU6hvip9LxpVsWH0TU9HrLIf8uAybYqctFf0slF1nhSrRlYaBwuozx7Q2Tvb/Lyz0f7fqwxl 0dH8Jnsno2HdFszQyu6su4ef0yY01gmtWkZrfOeisUzHqcGBboOf04ufxP4OANQs6J6UA+WYDgxQ RkzkzcJhL/tXwYMnmg1aQwXcT91OtX3emWhVLj5PTg8GM+2zbYbCiHpSiGZOi4Zk6s1oKDmR6ERD 3TdZFFED7o9QmsI9HPyYPmfvOauFGwbdnRI/QOkPeJvhmCTxsz9CIu80tCqxEkg/irC5m5fpUdvm GkCI/nlnodXHsVEzNOj1fO/6dxIaK0nwd1jbZJ+rLOKHzG28AbYBJ+dd/85BY15PxIIh9b9In1Ct npPVKfhZQlOMuwxC0I1IbVCvfJcte9mo8CHBZ/UIl/cI4bEur+ZzGs3POwUNl+0av5IOtk/8Jntn oGENnfcdVGM0o6J0d9m4iOGcXNLgnYDGyhCjoc4uKgdfQ+scEsOqiucAL0tmYCBD0TcK/61V62Xw 8M4/w18oYrUEsjhogjKQf4Lcz/V5p59VSaY9ZUXQv4pQOJyg94AaxxCWUL+0quzs/JrK1ezdtVtW 9k4+Y8ggtzQtofUsoXNZrEJWJ676k+DhxGmQNKowmAEYibpPVhtaetmgssgmWF7MzjjooJ65Ff4k MET6vHPPquChzxJC7a7W7XnrO/HMZwhBouWtVJg2C4yrjAWhNFtC7wE19AYZDMGwxwbPW1H825Xa j6mfED+LOEsiMqzisSG/rJz4HdEE0WmPSW6pwEKbO/M5hoRy72PvzzvtrIpM+8wQSi/QofAZimrT qEVDsdKoQr3lO0MAmRgsfzfDv76TzvD2RUa56Q42Q5CgOwdNYUsso1RmLHVsO8qIzVs8G2yJvXPO 8GsuhkH4MWyweHqmJc/FM8mfd8oZH59f5zSvjjHuKRTF02i5wCrHzulOUUCd04zHVDzjHcBN9h5P o2uNmWF4Y4NNEHxi4e7ZJfNwvk/hAHqTBZR++s2Y4x629eedboYfYQliztO6XSunE0NtypucDCuv zzvbzBL077z+/Emo1lnDOYqnqWosC0GsoLQfE5U+XFhtlmVghXeu2UUrUNjCBp+gScqxakO7na1B QENe2HW0Vt8PcIpQjneuWZUCEUjU/Q6aoHk2H4nVIAx/3qlmzFm9eEaDR1gyQdHWZyiEc0B1pqnB TIcHU+kBP8ik1mDO3Eye/51pxpQMNaGu+6z3K4IB2zXAjYi/PbF1RWGXk4gfFCcS+oy8m1BeLHrX aIbExU/ZB0WLFXEDZ+hcoZ93nhn+1Fug5qshedrT4+l3mhmXEGLEbjDg8xsm6xmeg8RtP0GjtgL1 O8uM3avG85n3GQfHS9WcaUtIQznU2vdlNAJm9FMeviJDvs87x4wpGYtmnagpDlpC55hW67meg/7z TjGrMj77QrzgNoDeiE1QFE73wh7HcG31ZBay4AYnBwNv9Lg0Qe/htAeLrdCGh4OvoI5GUEnyL5gV njyYINCkSAsj+9UUVSl+8c4vu/nGbAyqJxjy/LMgW5RqF70+l887v+xX2UM6h7DgSJ60vtPLvD1f avcSfr1SagjpbYY68DMs4b+zy/D7sD/fE2WMOdgMUamgjEz4zwbwmgc6eh2gL++fW/TozFjfmWXV xfn7YIuVg91iuGTkdDXn551Yxlh6fS8g1DvSs8PeY2lkG/OSW7iAhluonOyx/rg4f7Iu4jurrMo2 mX908sF22Hn7NAtt2+gQsrakehiH4B4A1ckMMDqF599JZVjsWwZO6Q6aoHMl0PkFuPT6eeeUYSXk X8kGymvpls3eKWXV5JzmxWxOQcoJ79i+2eamyxAS1ndG2W2z1kRXVA6O70jYDIACgFGGy6fTvYBg h0b40peyc9962ahuJt4G0fYy4vyhhOqqgnvUNfmOwQ5b3yk9cAnt6wyKYmmQdoE7teJ0pjCiitM8 EVj0gCOc3fNhcZqqzoU2gxjw6lNI+53OYmwiuXbUy1gPosoplZAh6mApPc/azzubjAV4w8BMDtij DKbp+0Mg5Pn8/nlnk/HK/m6TSXjrJmTvZDJ2DRJ32Q2FyvJQaFxQ67mqrRX9ziXDHi9cRBIqrI9Q IeFXkygenNUDwqqs328WIYGRnk/5nrHiO5OsJltDbcphd6DAMn9ESzRvXSArP+9MMvaQvoPFwfbc c0xH0fSUzrxXp89y9xmqLO4IZg+CkGYoqk5DMRCZl9xm5Let4iuk5dD74QwtcKa5zXCHMeBjZ9nW EGFU7yQy4uGYsWb2OThYWehcI2ztQr3y884h4yt+h0IVxZFWvI34TiDDl27lY1adRqtDeF8AKtQ5 A+6dimlAKIbR9CoC/VYfPKc/aR28+4bgHmiPU94CdJJOlA3V3bSGOrHj7/wxHAmCjtMrA0OyTgWs minD38+Z/Xmnj9XHOtaa9QRTXfTvO3uMN7R0P2QEAq9z1Dca/dLQ1aXG1QYcqHKGomh6aoa6nIr6 fBqtCRAJI0hXaMAmO6kL5JNYc7ltRMSYn3fuGDcZ+4fcHRpU9Ghdpsk/kFT/vFPHeNen7yWEhZhu /f6dOcYlBOKvKq6LodA0/f21klNagWkRV/OdOIbP4dqhSrANjqhqJxRtQEaw9pplhtqA0QCjtRIR 5SBgnEj8kuigpkdB5zHEQVcZrHW5BM4Erc87baw+BHK7ykAFqf3O0Hs4jRCBP2JSqWNkika64p4x os4ZlOwqeyeNVReULUXIofJAyKW5x2OsEJhReMsP1GgapOsz41r33Bt62eCyrxLdpxgoB0PELEWa yFvK5500Zifu1wwhRH3C6XfOGEsBpLAUr3qIfciyEGI8lYV6dVPCd8pYNXeCjvPXB9tku8NZhF5H eZ3FhGo+MzK05IEoaEihlLLCKZ3v+ldSj1TRB2VkaCjqDyw1f94JY8Qf3lOIdz061ulWp98JY1ZN Ogd1s0Y0cVjqszYKd+igRtCkNRRG1EzVGULZcGcINoTIwKGUflY1AAToNE7sX9yMtwNE6NjnnS1G GgJz1U2X5uk0IirslGk0hPF5J4tVCQl8ZRxINtBQsfkJ0R4doNfpGg21PxoNDMAkSJy73fTvVLF7 kXXJo/ZHHhX5EnzhycdABXZM0YBRnC6Jul9XQ5aCcJ93oliVzBE5CMUHTVDigmSaVfj4f7nHaIH+ VF7fWWJVsg6g9VpVqNAZSEwN6vuobpZcNeadJFadudo6yQgcbAGd+BBHW6EIIYwEwdHAOTVIi64/ +RHZJfz2884R44EpBkvfPlhKhqBEBPm+Pu8UMTbqvwH3QMkVCG7ZBEXRdJfU126Ws/Zi/BXkf8mL Hr2b7ncLcdOV0fSiACEHj6YTFOOSclYUnQrXGmWDy0rUbfOclZqa+I7gole9DKvFBkcy1GtJmPF4 ECv27wmCv7sR6TlBYTCN7saiFS7LZsMJG9Cb8O7GlnsaVlBUmk50ji1LGoSuloCcdfZzPEl8cG+o Wki/KbF2DjX3vC5u0U7pEOtBr6YFQS0btIRQvVfdbCc+HjTqf3U3aNMw7yHUo2haykx1WVUoXxeC 0uimJaUBag2R0vIeTSPMYPFejbLrWYLlfzKwczdu7qgF6jvBaXAUO++GVgSSVwumWTfrUTCdpml7 bR+ssIjAmrfQORjweAQNvhPUFVji/S7nJ6xNZ9Sm87LCUJnXOhY9KJ+hWaw23SOwB6m8HfxKH2yG 1kn2Wt1SsT4bd1SxO8gjQhN8Uw61SyNm6F2DdKPIGXXfgTOUNsyBZR170o0eRdOp/MpYJxXr/Zju YTRdKKxv6bs7gIpQt6Yf0zDD4z3Wo9q0JWRI/m2waLoy2VjkjKFvmDYlZDokVyiHJwU0I/OyON2j aJqy+vns1CoLIlCHmHAAL8piHSQj8HwAGFq/Efi6ex1S1UPSYac4s+NhmswrGAu51j5cFJsZNvYo npbhV1aDIz8NjhOwQggI2htADDX4TTPjKEzJ0KOq1z3WtGJ7FE9TN0FdbRu8i5grO67ngpt4PDiq 0zcDiNGQRBg4QxHx8BxCkGBiyWwRU5V+3O6rWnmRRvVK63scT2cVPJYPtzJ0dtnJ5pMk9U8AQpPv xRkC9BDVoatTsfWuUSPaOhwMFzsSXkzQ2cOrEuUJUCQeDyboF4uDzVqEDzZBYTg9wCCbhlA81/5K Dg7u2yeIL6MlFLIPVV00JH4vl7h6Lntc95kTBMsSdhHboMAAOIuCLeocQisP3xFc9pW+Q1uKMNsV YU7mkeZWsQe/YRRPQ4Tm67LHTYEfyyYoLFCTEy405zaBCmX1Y1BfWC0gJC4oUPcwnqZOJWRMfLAJ OrfyYpyIfKmCaI5Lr1VgTjt6f7BsvGAP2lj2qEBdyW/J8mTI15Ph5DCF7QZkdvPTo4A6zVvCR3CJ 6w/8CZ+i94gaXHskrZk8FkkMukhVQpNavrEw9vupPKqjiFp87zML0weH5e2T1SfAs1A7w5G6tIaI rOxwYUu+yTYL1D0qUFdWprtkdDkIMARJ+qUgME88HmT1v3KyyibbTTl6BJ5GUeycztTLpwRTMTvG ylJ1FlWqFSsu9gjsoTVUZVx1Bv6iuOzBzVxtyZjx7LgKzg7S/kbARfmZSCTsFMI5jO+IwiGiPCor aBysMIRSHU+RE2bg8WgJfU9QpuIDJPBthsKQGm1EXL5WO4OmldXO5H2y6RYLmBKXUFSfVidaVEMN FzB04rlMeDkcv0bRbT+WaTUwu/FOKzMefEmQti41ObjZOBg6GDKOAtWnhscjGvQvuAcdMGmJLB50 FFPPRDkq5wIVcorNSC+7xNlZw0ZSGFGFehJM1QpbFxw8pu6kEGTJCk4QzYj3IMYGLNSOEo1b6GS9 a1BdZEbfFtcSBy2iBvBuV+0s4/GAC33PITaPEE234dHQiEJq3phtF/fPyewHLal3mOgp1DssYhxh gZoTBKF2Hxy3mM7sF6QTKuG3Ts+TRY1kIvAfMjTvUXxHMEGFaDP0XmxQMDTPGajy6YlJ8HhQoN7f 0FeI9NQ2/ZweIXw6oVFVTaprLPaDpSosvTxM0JruCjOiAnVmEwhkXw2E3zLnwE3cs8E9Emsh3Id4 UaCX6QZkdz3IkfiOANgplYq0bKjaLO0sRCZNPwDi4PGg8tHvTcaLHfiDdZUYRhRQo1AK7Q5rJNZ2 k44pKQa2yXY1xviIAmodQ7jEOTAaGuxxnB3XaYhzZggaMKnxwEJ1JANt0tPFwwC9hK/4q8Uhz2MN Vn09XyC0xkk58Hh0CPk5jbnAQYkwxOcnCqeRWZO3rDOIBFzNT5POGQ0FAJrX/EThNA2nzkZSozU9 5ekTEC5WJkQlm42Ih7aARq4MTxDLexOI1ucjqk9TVf8cOEsDhO626q+7i3g0zs2J5/9LeRHXA0HM NkVRQI2+yyije/0VOuSGnp7JiVIo4dsmiwLqtURmbcUHP4VOoD92Kpqic+x0SnUtNMsr7Ftb67dA TVr9CNHT5JDlWe7goLMmQHs9MQMe/yckhi1srNV2y2cjhE8D2TmVz5OxeTkKTVq5jceQ81xGBJ8u xJI1se3aw7aDS14HFk+yeJDOYYXtxNHnBSsq1KgaWpusV71rxBtHtroWranWsio4Cn2TnBwoGQw8 HkRDF/HBV0O2MS4tekQV6kZtXOLX1SabTthsKxnJHoaARmkdUTxtOdlUMDT5g2RWqE8sVGBqwosM kridtGhYJJE3DimP6yh4Ph7f8VcstBpveg5WoYbGLP/AtTYejzgc31Qy2EeUetWERhRPoznzHM6j FhcNLJSPMjIiMzxOUBhPbyvMVx8uD6ims/TNkwqGOVm6uTyG4HiJbMcO6q0lFAbUjaBXs6bCMWL4 cjipE2Q1xl54Psjrm+f11BnOBLjfkzquUc8vIuu500rzKWK1hWto0uoWaeuIa9QUP9msf3Dw8tk+ IY+kXuFq0jc1YbDOoCqUmJcXr+JvCeeENWr0HHG0NR+Utp5jbvBQOtFowePBDI1vaN4gy++ig2dY o56U9RhGi+6bhBciPogulVnHqJbYz1gKTx3ElH2wYwhFgUznXxI2gZYhoxVsW5YzWac24BkzjhkC PqQplNlJ5KB4+uSvg4rdiCPweLSEvrWFoHVUkajYBEXxNLJb9A8bk3noUg1lrSctpBgVJiiBmsuc bIYlajE1h6Vm6UFVVVS4wbXETZaKCHnIWskwxz16iVJQWOZ3RFmrOmTkcsxi9blzgwOrpc5FK3g8 atZ/94EYe8psmRMUxdOAeoyZkgninYDUGa2T/nYk1lcwBrWCQjqiGqhy6iqPUxeEqRJgQaSNnz8E ZhbUptosLsISJ19GK6UHZlyhJt6s7e2D1T3ODqimqVTx+H9RfevUf25+088onIav5fkBpoWLdZbp AHzUHY0oNZ3kMuP6NAseqCvZcG96wL/ZSYQS/iqT+nmJCq50NKjXYBExJ78jOoMIY6D3mQadQRNN FBFaU8bjQbN+fq8gtsDn1TabUTwN0OUYedgETaePQ0epOwsIZve2gkJhPNWlAfq2wSFDe+2W81Z5 +oSvvegiQwEHG3PuB4CPjYLvCLYYpaZrZ22RgybofAm3wA8SDzweNBLbrxUE3mu7eJgZlqdBGh9r Gzx4UIpYrHrWXWT0Rj82TlCE9pDIUF6EUXO4pUWqeQu3CKBgpoDwoLAr5DlBl/ZYkaXFGUXTdDxF d2r7oAk6N0vlfjun0cDj/yq+YjvRh2/eFtCM4B4A+pycqdotVml8LR8FBnxsAaVUrX4/o/L0UnfQ Q8b5AMyhep/oM31maSB3oi0FaeQobJLv6/LJRe8arKBBEaZEjwgOVvTounEB32h4PMrHfjfJYGPe 7yEdBdM4x4ENVi8DnPHt+VhfLsw5lqesM9TIE9GuUu6Mg6esDVbvS8DODQxmp+ckjbWycSXdP4Fm HDMqTheZu22uIA5WWCwyGj4fs/l4hKj6xpfjN2FdwSYognuwE12nocvOChrTax6sMEsHbrlwxYyC aQndn0Nl+fDAFoEfwh4F//QkUTzH00B3akhK8hanqVI1o1iafrnl3ITVBxU9dpH82Pmje8fjwQTd yiuFpVHvaJdTP0MmIoh2Z8GbeOkgdYS32LnbXIRpFlbvcQaFyh7s6rYhQuKYX9f8SSY3smkUhdC5 N7E4MDI7cAl1XCYiDd5nqJRHZfKlcIiDpWOkTf5QZLDg8ShQ9HSM/HmSOOZVElxRKI1q5pBXs3yB mjPG1QlkPtbpfooltCK4h8RhiiCJHPwQOlOSCRDDNZZyZuZ29hgg0rCxypidb93tFcI9GAFNtPFs 0Ay1WRm/URoejwdUxPmgFkmv2pSTWT5FYXG6sRG9jcXRaEvNXWZnEytnbA1QizIMpiW3uGV+t8vT I1uQNl+DoVAp56SQqwRTVlxgkCr04jTWBb4jKk4TNt25ljgYAL8o2P0B8BiPR7vstoCUuyHduGp5 K5SXZsA/0j2H+u1v1OR6lHMtV+sMAR+bFKAmQpBK641VD+hLTyhM8SbbIET90GUZ6iMZOKV8+6wI qPAdUeEs6wAqPhhwEd3tpWh64PGo6uE3GWcT379uPrZCMiLRHrrqEQvNS5U6S+hqe4BWpF0Woqel 9lrVba0PcJEJ/e7SmAZCcg15vYGMuAGAR2LkV33Su0bVafXGeKFxsHMIyAiJn+SNx6OM/jtYBPi0 QPvFJiiKphc5AhR3JNhDOcZm6XW4wtCqbk+2Qi6iBHQWxU84OBwGbLu1bQWdAyNRYWgC59HA98Xp cPVz7F2Dupm1WYnO46CEFV0UYhHOls14PIqF/KrHCU/oKw1vNUFRNA037AFGr4JFSUew5JGkfTLZ 3kA1FMHiCpU9TDinVB/8EDprZpbGohCAPbA2BF4IKDX4TEPnzIOhwrMEXxLAYagBB9KSD5qhs7N0 r9XRBx6PVNy/NXHxN1eiWDRDIXoaeKFKrzX2EIerCSLUdTsSspi1x8JwWtr/S5XFdSuLGayDcwVt LqFJv8ROBwmkuFCqn7iYTDJ4ZL3rX3ihnOmYCGEexcVnZS0lH7Cux+PBTXZl7iXOSGWPK6kcshET xGFEaIWxJEUhuYSAuXWfxLIsGFohelpanTJx5WBLaBdY3U3B8lBdX1R9XbXr3pFHkVXNKA6zonBa wVAr5Q5aQfmsPO4xIJPweHBKb6+aUcVr8vr0wusKuYhAMvTueuVdMGEJewzXoyQjTisorE0rVaVP soZbNUPhdrE2fYIh9NelwNTMzyYTQcYuq8Ri8SWBqCmPn5O2DR9UFSr4EYRwWRWPB336W3hltMi6 5oWcrSieXidoRuHPMrITb3lGNrejYE8gNKwNvWLlaaYR9LTR4AlHXxsQD6Ss5QQCU7K4Ffl7R2Kd Ebd7LDSGXjZqAG1JVhQfjEl2FnkSRSHz8WgNra81BBJRY4jGGdpRPI0gf+TibVY1dFUWyi4Og8Kj Acx3rD3NTTb+f8a+LMuRHTn2n2vJ0wfzoP0v7MHM3BHM1+FZrR+UpBtkJIjBBxuk4jW+LjJK3jO/ kLeEXBSXcnmszUfTVD7SO4qnG73s6LRug5bQ+fzapWk6+HhQN7uhkOJC1s6udPl7NA3EGc6enJPF iqm70XbezuGYpH1qgkJlDy6h3E0P7pHKW9lUKAmoAoIJrerWR6f8yPnO/bgjN3vXoCxE8fJSqcPE QafQSYWrgRP2wOP/0nbXq6GmuT1W3CF6mq4JzdQGzp3+CDABDmx1s+XS9ztGTzNWHEJPj/bgXrH+ 2pRE1VlJeTPshqQ5OBQQFXWjVjk04zuiuhmTVdQ+bTBg8FmWyxSqMh7/FxVRJFgoe9zS9A6piIPw 8m4sIGjcOZJhFlfP2Tj0NUEh0iM3FcyqDzZBSDHASEsqLOJAYj5WCHdFj3Wth4lY9K4BC0ik+i1I DH8/loVQstcZdA5OPP4vrcViCWthV0sTFCKnkRCV3N2yZVveAQDUuI6asADRBIXIaeqZljmbD06T wlSfbJ3YcmC0OnXyNgM+YNrzl5c9a/c7lPYYJhfcfdAKArVFXfZz+uPxCE31XViEQ1DFzrQJCm1b aMpGvXoZRQ5ncEzaq5q7RDeOyw6BHjyDaht38LIQ/KEWqD9Ix86ZtGgvsQn0KKwPP9h7FCPwHUEk RNRrAqPQBk0QLZyZbZwUG49HInDfhzS0aRrJZJqgCOcBiahzBrmC1xyOoDq7obu60EbzSxMU4TxU USxVWDKH7OLeAq+3b/kgQ5FwDzY3AJoGFuGHIgvqQJPOhu+IqJpqHNY72BbLpiXC/uGOS9OejlGj GjHQvlKUO4ylC9pjNBOWjqDbJpS2ro5gB+tJExTiPIgpb4nlDA7WHpspn5wnkzBOIxEy6ntGHkh8 Sb/sjUJvXXxHECkmJqqb1n4c7JA+s02iHAATeDxINsY39h62SmVfvvgOS9MNXqwSvUdeVpbd7fiJ ipGkluSXOj8nioNYCypr+OArKOEMpeLbucAgyMpgMtMIGsANiuEYlmrbu/4lf98n+4ccbIJOSCQl yrT4eJSufmMYIGJPXJdNUBRKN3Z/2IwQVXMZCA8SGd0naBczUNgRykPeUbVKwKs+YDPovwALllUS ynQIo882VN3P2Yef6ApRNr1rJOvBNAy8axu0xcqeVaXpnAYe/6duOa95oPluoCixotdvhSjDKM0o UmeTXV2P2qyXCLXWabrlKYylKQKXGtdQancNJWB2QZBxn5vUKFtRBxAeAAtDy+JihSjBhG95n6RF SW4oYHEA21y41/O3UqkWjhWTHxA16r0+TbEdNIRxc/s0hXREGEl16OhkthEJMP4PFaGlyI20nu41 8hlPcURNvFAnu56DTdOZlzPLiSsJQTolPM40ZUTUSN4y5Uyshj+2vW4kxMSIKNNunIOSjgyVS8Kk TlrJ5yNq/fhOXInQuvhpCpMHLXAEjaUvB3xUs084UXW3JHaWhbIxNd5TiKDuLKBtWUTvq9oJf3HA PLYkusuYuN2gWglbCkgkwAz9aphXe9u/ZPBpW4fhpLzEDcGHFZ7TPySJLX5AEBdd2JCaQQg0+7Pl ojo1XDNHX4ZfGF1YGIGEu7U6Ju8gc04KzRCbhMzTHTx9XRtatsCZgzOVG5VAWIg9yx36mdxunr9u e90IwcgifiMin4POpX2ObgWP57/g81Gt+jv/QDh1juFnx0XxNeAUJ5u0bjT2XrVi9R6u5DkBdvGD KapWG7yqyvevXt+/fHYfGMaLOSwAQmUohz1v14HbxHLzQhExKPyWoONBljQYUz4YPObkhE1Cy/BU SCH8o3z3pqH5Dz2qeqcpLFmz6ZGGgdBa5hFV2BSHpKNsFWhgqGmK1ahtfrYP3r9fJ5rZrA2jaH2y 8UR7RDSsOzqv+0sfbvvb/sWUHoN4xuG2uNjIVTr8AFPw+YBMnq9KpaSZAEL5Wkxh2focnSPz7P8P QyZcj6RP7cEJyy5qXrTlolh7DlHwqJbLwdPZsRYqvFZ1zDCMZtWx01lv/wCr4kKVw982CJUkA4sG nw0G1utjSvflhE98PiJyeqyE5xq5f2XdSQqFPzaaQr1Paw913v9sDyX3wRmjOz/I9GnCslqSHC4H O73TPr9vRhcbSkSw1oCgDkSnTvyJY7A3T2lRmLS3DTISsu1hU65hWHX/bJq8Vd0vjc//S0lPnoDn 09qFE+UUhtyDojHpQvbWVUcZD2TPAoXOD3pfSTUJzSh+3Zi3crQr4qXJYwmqaHMTW32mEYTcamqV pqV33/YP3j1WZ/NBZ/eEgoyYeG3y+WC/1Ue8AQsP+AdaSfg0xXxFwvWapW6D/GPW1xpcDSy3bfm6 A0ZFbKFiSQK0wTdcByiEDQcU0Gg8jkYRJYUBsu6UgK8qsBV726CAxPr+WbbbB2uEjKpbFZ/P5/+V 3vIAwiJOtx2bQwvFCaw7CRecpDS7l7EhHO7QK8BpNEmBhyIiClE8CE7jYAkuqB6VPDakJ6AgK/zc VGo8a2ixIbtVpu32tsHZLYtAtpc0aC3BY0auQTRRDF0Ux20XEXGA0wumMz5JUeQNra8hRQGWkXpy a+RGzxDBHrjPNEkRMkSCViejbT7YJA2kaz1pkmo6iWqXoFWjNu8QvOi7rZ9jH0XmJ73wguNg0JBz Pahaf0JzPh8E3uUXf5rOPOmeSoGTIh7DrZaXSxLlNjzPpbs389y5l0kS5dBKkbdWR9Lvgye6E2q1 WZI7MAUWRQ36uj/ElrVyzcdZouaXRNp6PJU669qU0+DRfX5kCMXy6K6Dz0cl/189EaQT45b8c+Cm iH4wFfOTiVVL6ZD1ttqziVXP1biSuj4o2G5LYjLEUnNwRgN0d85VQvbiGBAGkcU9BNkh0N92ujVt Nq9z4KeIUIcAiElEHwdB+RZQ3EYRrnw+kkz5//2S6/jabqH9y6T9SzYWNUQ5vWrbrc4EUsPygzuw VEwUgQJ3kTlKTe2JJyeuMoimozMCXfimwj8VF6HMjvPqelMMe90IBGGHkkS9WQPhUjqHGhV8kUHw +aBDe2dJRGFgZq/mRQ5MFV2wIG1zLj2XtKnogjVm+k1I4YovpRgpwgWyR/bBZ2lv5A6NpckCUERT B5JAjXMgra9DiSpyObBVBF6NEJGRiw9aSmdZdcWTJwLn80EH6XeDBJQ06gfaJIU8RhkoO5269iud Mks1dN/ZtzO7AW4IFmGolCrr6hycY3UOnLlQdUBlKaGLB7wRPeUbBZsTpYaMqFfsdaNYiXCRXrcP VjM5x8Myh4HN56Oje33nJoTiIkP3aYrVQeCosrYnurxiVDRxuV3Qfl2cOQfuitl7kUm9yPSl5JTA aLQiJexiURlpkFgGKQH7dKVHuKDa20YXHNH7UlAZV0GlMgbnHpr2ksFa+u3zikyBNS+bpCjshtHL wEcb7qh6CaDW5vaKE+eFT9LfpW6qoNjgZcoMP7yqbtKm1yKrAahVNLAdcntkCWcd9rqRVLz0vfv2 QQFlP+mJBITnynz+fZbWL1U5kHTK4yCYQ4/F2qhytVQpQeXh1kyWS6rAeDFZzSQ0WRxS/aSysAaH ZwGevvmHwx/iHEqVLSUcgPRGAdjtulBme9uop0R55tHvYMnJOV2zjAFn4/P/Sk7YVEITuD1nd+iz iCPv3P3ZkMZF64cQNroWm6JT9v0WGi0uYxOJJNv2A44YkDTYTegRWGjzWJpUPYBbM5kwDoPM9rZR 2wSHdl3JBrvg4FJL9AJIkpnPBxfcLzn0Iiu5e3aHXotwYznrpxiMrUn2ikhIntNcSbXeSm5otjhU T2rSLGpXsyijSXA+jDg2adaRHzvxnQ3QIQB1bSXRs5hfEoGQqIguc5h8zWE6KtBFK6lMPh+UKGv6 niQgq/aTv8V2izQT7C3ZdtvZ4aKDNz6bAjsVv+ACv0VEMUxyR76DlygBkCiw1EQqN08WNFgwwRqG iphUgXkmFSKf+CV/5W990ae7I5MnGOMEYCflYRm2n6uIH/CvsFv3WwaA8kaUgeUiEExEINUrEDas wwQFNVtKA5aGdigFnoveYQK2xoe7lM4BVMWTPYl3w67DeQBRCArpZTq5WpqrYCk2XSQUoEqaB34V VldC7kym6/lqvWVUC/iOKOnSnZ6jO7RdRPRwNnUeN6J0tIR8xZnmDomrIe4OfBfx/oy7WTTS4Ec3 nFoLSi4Q2YWLWrVmN1oW1WzMrdk9l71tMEkMJSEE7YPB1+eYLJ3V87F8PuoJpO+jG5CbdYV3c+i8 CO3tURlnyC1mX7cY7T1CSiBSY5MUY0qMD5p9uEtpAOzdCZloJ2Nc1FfZgKkUcg7REpArnPQcc+i9 yIAa8qvFB2voZonBEM7C5yOeiE8SZhTiKAyhfZKisBteMYC22f22y3BoG93YOV8nZk5eoQzsF50H 0RAR2uAVSpTIUOKmAviGuqNAuNkFToYDbM3AM4f2i+IZLVYPNFhVqfatNDevweeDqDt/p7l5sYx7 awGhAeOgyHVJ0xGk3W2ZGlMioxs5vC0HDozJukt18ujmYLUA9u03CA/UKF6QyP+hiPOZNjSKM0rO miR0tfW2wSTJ20vYiflgJ4DuEaOKpbfQgrHM76b35pn0dXKHQteFUunNSm+VzHvBSzK7l0RPTDKO uj4oasHJFUUgwP3F7EtAalNY6URCDU1R1icBCz4xwPqhRpFBuPaytw3uN4ml0xBMg3GOmkThztLk dgt1ROY3wiSDftsZ4NgsvQfdUmWEgWf2WSqOMZnlKhUvtZm6PijCkgpbI2B7fjjYsMVaqbOdm4Ap HLQ7pdsKHRwgPsda4VlKtdvbRoh2MkTR27FBswRtXjKzkI7x+Uhm9ptYgxAU1TOfpNCMEeoBYxDd SG5Wc/La2RXLxUQWTei432I3RlmdTiFx5oPEOXuqrMIiSN7ob0i1BgHrSaAGmPy/Eds5dGPM2m6Z bTgOhgakmQznaEw+H0le+8HN/7hTuOcupNCOEf4bo2XDZ0Pt0eFuW10mlN5yv62lwI9R2SOpfcUH v91mQru/ScHwpHKT6mqJypqdclEPrH10e9sAFkB2zZr0cOCgotK5QCU2i84ln49ApXe7JZbeOEE3 UIo9GRko9W3qWF3q+xTpu05NE6QPC7pDU0aVS96225luUFEzW7mUh0DDm43YzsiFwtcGbt/+utF+ Wwy3zZHIbRnPxktNHJtzFfH5SEPMgYEqQRE98ey3kAuZB1tLZjBcQQtVrfucI9PblOyBai3FzoxV OUn3wWdpbPSM2DXJAwscJIE26J8GsRVkfhYp4ZLW2wbhJCXoikrepWaHK3UpMIE6k/WS0Ya7Sa60 IYekF3yWYnNGlN6oXU4kTne5vhMeN6dJMIW0tRSbnSuUJhI3P+6MaUKeZne22yBdT2/e1ghgA1IZ Xf3bEgBwWN8SobqYk7CJoEGx0kmP6xQnEt3cwKIx0af4wQVQ0AXhrc9SFHUjI8SBPawW0NvwNHe5 XDi4sKYxkkOTRqu9JYInONi51EcD3QaVooIGnxmekwoEzdgfYEutjEu3V37JXxtu1jl90IY7/6I7 O6jHmc//q9jNlwMkdF3Nvhz6NA76FbArKqn5bbY7J6hlw3swC6WBHCcpQpg0Gn3C1NMHh76dUDvL YQ3eTSc3IQ6ns7iEjAVSJ94RWN3eNrrhWAvYFDbk4JybXYjpqp2nUojnvpOk5JiQ5QtWCqwakeSS z7ZNuK+Mq4NQSTiU9uNM9+yOo27ut6GIaTzUtjMP57rc7AhkyGdVyhg3KKh1MOhzuxWTzFSV3xLs Nx7ajVJ2GnQsNTAYRNJenc9HWe4vQXXmgetZSn9DTJJTSGsqJvBchvpyixxb57Hn2K6RlUk4cvng 5KQKrCTD7oJiSZddY4NiYEdXPfNCsgplb/a6kUkR+yaT+05RutbEzMSj85zj80FZqaSvDQdB/DNV 4wkEYgP0QXMHpGgLISXKa0S+YQEAyAXk26TsSNcHRT0406NJPjhcCfSdqcP73AgIHBF3Y10hUNs8 um68RI5SDlwbETizVkKsjQZNEwSNt/Dve/L5IBAY/SuHY31pXW2WHPo2gpfg7imATxBWJZoSK2Gq Ky1nAubAuBHyE3IepmkRB0t0F+GlUxyBcwwgfWPXoLNGDJ/m62w5SGnPgXGjSOn0aF4+KPI+P8ti bnHijMXnoxzuu65UaDz+gLpC60b0lQYAXFZ8k3ImUriar0nzBIRdKVzo3Sj9rJZ69cGrAVBmLl0K /RlceYF2G93jcSHSnUsSNoqWAvNGhITEmEgUkoMluidWkwRNbYXPBzfcNXXynBaqrzc/Cf0bKymB ywldtSQHmXRVBsQ26dNnKVYg4QKpApnUB2RyViRSNeDLQCc56R3tilMnqhqyC6Nf0qSqb6GBY030 eGiEg2WRTBktnXNPLjcnHFj8gMjKYH5F3oI9XfJ2DjwccSwBWzLzsEzXe5bnyl1Wk4Mws9un5djE 0XhvasW1x4APJo7nwOYsQYwCKwazNPAborq+b+OETTV+R3AoLRk2j+2DIspzFFX9hQPwidDEMff+ dXbTweYxncmBiyPOEvC3FSIR3bXd53L168G3EILrhottHEkKzCIu5Ye4BNDpPNGMsN2gCYDXAnTg pIJv+mHoY7p+bdvb/gUMOEl18kH7DZZIrOfXE17w+UjNxg8lzgcgAfspB4RGjuj6ANao4BEFre64 gORCCSAud4soAydHLCRuoiE1n/G4F50r7dxEZVZJ1J5oZkspAesJSgm4Fi7wbdnbBuylLmk2mqM3 v0QzkD61K+xOlc8HddxfmC6YAzaCEGySQvcZgCcowSVBelXcqJXQpkMo13a3yxzYOeKDLEjqPngG B9rbAoC8MIWbynOrsiKE8biGnZdDebYcGDpeQfHG04iDIbt3mzJknFXPR4Vcr3bLPqugaVdvOzf0 dJwkUyynu5+Ut3nRBMg50/JN20PKwNRRpxvqbqP4YBccENgnLhZq+ZxKZBlTBjGj3T1+gKH5pUCW A1dHudpVuHYUH7ThOhI6M3/YfD7qCXzL2KG5W/d1nPu8RkrNurlgtKoYkFtyiEki4kJLaXoH7jVO agArUcUO0agNNkMZAm+sxiF/mxCKVAEKrGz6wKRHuR+7/vMaJTV35OtZTbjs81MhJVgk0bbw9Mvs NHAhtIYWjyNqC/Kk7dzg0eR0LKDGJhtdRLrXAJI06VEDaEwSuICCyelDpiryfSj1NidrPXHDxtwh b0OyRcENxAB4vbM8EbXUmb9Q76+zg2YJq+JSZ6uPOhsQ48JxFVRvX2dHYYNmh+kZAjbQUzU7r5HR eaifdT0Qrug+m8PmiRIEViFZibkBZuc1LsLS0ex0Nrg5eIi9a4VmHWEA6eSDxVx5gFVddJq94Fte E5/XqKjhAMEBtFZLPlhpeySRH6Fn/XkNiTC1JgQgwC4ivVKw4zk5rwERls6gTHZNdkQPFzk+6Wzz fbWLoyRew6EGpzqtmZZ8cIx7Qu8CPun8p6wZgBMEVRYhdRvX9Ftw0tdoqAnGD3b7FMkdBUQiJIC9 kon2OcTy5zUYao9qnR3qiS2+bbPzGgrhIUjZpHHddmkTbSoJxVvaA5AUeaUGS2dIXV2Q5PpAkuGz C0sbg0juE8YSQbJ56kCZH4ex76tGw+/o1MnMXGu9gxLYE5gLawidqs9rEISn1faypUNGKdF6nJzX EKhZil+bs0jU7lG/vz8SEkz66AsWTM4k2K/uegefnF2hbEKgFrQuQW7Du0FR/OSA5/N4xvLWKmRk f17jn/OqqyvdoPIqB6vNlmEN1lbL5zX6aY/bzJIdDzrYkhni9LwGP83s4ldp1umn7LlurE6PenX6 cejJ5iGanqakVZbo6WH/rwKNVfYScXp2lKLIREIkvM4rE/9hSascDKKdRcXMSbSSBpudWZSVt5XH 5zXqsUPr69jBZUX4OCfnNeTBmVxRYUwm/AiVdXMGAQTGaiAntqiurR6dyXLiWZJWX1da/eRwA6Ux KofDZ4bNlJNhAIbUANY8B/WNnhncfV7jnSZnSvCreShz0OzgVGTSej41f16jHb7hr0MZpRMWfjk7 rzVG7CwKI5ADxp2lwjV3VsvFd9ZwDvJrhbEJLEXsY/LBlw7A2RBwomnjWTJo+KKHtmnmy+7+ZY0y mPi81hcZUBGQlcYdrDkE+Q7Wqs/8f16ri4g4pLBnh3LlxqJgL2bntbSI5Vp52iTT1QCy2DuxKTkY awMRI9mIKNoxF6dn8LVzluWanbA+oO8WlMZag1ogSvkZ/cFyEdn0KHotKzY56ICWzWoHB0Man+uP 6JcTl+Pp6MryncX/tqFyIElssZ2D+RmVlpLbZDVG8xZ+rZRqEpMGktnG7osmSIUgdZ45eKM64bzZ tLnKA2AlSvuc3Kv+dOCwd3ksPwUzfg+WkzXNZpaQaHYh0VFqk/5aI3YmipbX/N5dmXCe5PfWO2mt GXkdfgt2+KTtaelm8CpDyzEu9SGaoMmgJyswzE/HbOMaa4POFwgHR6drbN+UUUYFf5PhX2XwLdh4 eDgTe9VV5uheiD/xZ+tUjoCVxuedstYehPFitgXIOQN7m6H3kFmaXaMrKsS/qgnQw/rCAEdn2/Xi DeooZt6UxCZO0wY/gRYKokXiRxD8hAoGwkK6u5A47lvs7EVBZ4MJ6mTRztqmD9pj8ECvKgChSvYe NYPy8B0XUqaptDtBUdgMhsPAiWk16eLghrJatTMINFGHFUVxcyce9L/tLAGSyDtRlh1okJ5BAGWB CCWyTCWVe39l9WKilCvJeLgOHywhPa8nA/Tz9p93qhoz7/odG7J0+UzQe+Ss2t+JjJNxHgdYeVpB Jbs61IQvuhdaowliDRFadj541nWOwmJdsgTvZYQq2IaZCMfyQyeLQfksYnQ/7zQ1HkKk8m9x1rc7 xMNIpYpkBo3Dd5Yad6ivIFaMMlHF+Eqboih+1hRRf4IBYsrZWTOzXEXs7XnpO0uNuReTrq3uxr5Q mRMfwklKieC5iQAFJdKPITUqyPnRyRw04HknqWEjs+ezaYqlQe3AKjh3Yo3k885Ra1Kbe/J26DH8 4EbxGQpD6EaklVei21julLZIRaX80bmCixVZoxhahY2en8GrPlAYOTdBl2AN1FDZ3x8slCIzTelW fUR2jILoRnW6ITlIDp5ioG/CMDHvzzs/rT2Wn4u9MXh41rbvBEVh9MlfIETiBjO1FA+jK5EYqopB Y1m7LIqjOysWFYVHGxz7cW7EE+fSogitMbZOIFKHlUNvN8qBmN6BOOrvgTTUZHmFDaqMcDBwI0xj xRXu8/POTeM7emVMpzI07lPzLOydm9Yk0Tqq2MTMV6tDP8acD8+xOj4mCqYHT6Bemcr3eo3SzlW+ IA3Z2WE9C6eZaMQkRG14h3V+NTPeg2kXhGjDVHud5AjRg24CLJCpiaLptfvXVUZDy/7UDqNwGv8J TIrM/mK6xAiOIQenz7Oa/BgK42kSQOg0YoMm6NzICSDqatAYiEPormcGBuPh9cCI59S7BhPEk3pv Kmbs7YoZiNWWac6gAx0F1PlXmYO+2eiFWPkwiqfpoFJE/pj8l8mt4IC1xTQeEbZ3RtoNF+sS53q1 55w+4VWl8iGaGAtmcgR+wiWVKgxMG102a+hdg3OaYmAoC/igU+ikjYm/CK6WzzsfjfnYrzoQDeqr JxzvdDROK9uEyS6yypo3a4h9OSgdAYAl8+9sNK5EbpxVmg+ecOCiUTceGldjUOrvHKjoB6GAmAkq cM5e0ctG5XmiqtTr4WDx9NpCmZ5jbX7eyWi8yX5lHNDlokWeZigqQculSIrPtPzkHsM5PfLI3i2k ugdn6D2eRkavprxciuqDGWrwqVuNeivQ3wTYgzJQkO2hVj7e0ruFVDh6p6I116M992H2wcKhpeow Khjt805EY0A9vmYIBOZS7zH9zkPDvOImG9u9q0efl0K8r5/lWtX6qe80NNZBWScrz+AZx4mcW6a4 KWA25wgfFMrCsm2oQZJl4kgYCom8s9DwJRDjLcvK9eUq9p5rcRaphmc+HuX17WuGBvU2qJDBGYoi agrPt+teXTVXklWf2eCwKXlE/U5Ba46srvUZvMuTIR4k9jCAfqWxSTjpwEsMMvCOvsvIHHqnoHER yNGy3EFXEvQBqPFWz9L8vDPQcNn+qgvBlKau56AOC9Iom/XLHW6EAYo7vMyYB1LD29pg7/wzVoYY STPC0GAHdUoVbbDFwsdCdZqadLqPEIXBYdvK9XJ3eKef4S+kW2wfTOs5aILwKxR58Mz1eWefsYSR vjcZmiFzeVnxnXzGQjYpMMmaqBCJN/QLulZeOUPsqiX0Hk8j5Z7iU20f7kENSx7ZOEHYbjV6FwzI jfQ5SZgcT2nIXjaoLEqzb8s0zamScFntRVJyK+3PO/XMjtyn8DE3DULuDEUBNdrRJ1l1ZP646o81 7+mFjzHvEnoPqLGAcVD3SXAHB1tCdJgBz4xV+9qBzsdtByjzCYqJGHjkVexd/5qgNuUTIhDBjwRa 6NILp9O9P++8Mx6V34V7SAUJIaBvDWvTm5us2EHdu1/2sFDZjsqnajNn6D2ehvQ8stbOTrcGCxfL hk0EaRQ0lAMzHzMEsHhBc6PNWzsb7Im9k85YusAVtikzosH6qSDt8Jw9k/x555zx8fk9QYkALk/r 3xlnPIUmgWW2yUy2gLphfZsG3aT+iTbZezwt8AzE9wgfk1CUJignEqpVO+uzktFDIYiuF0Qk7oZ7 CNo+73wz9rSV5+7ig4EVT0BBbf2W8vq8080QbY7vFURW/bp1j3e2Ga76wSCxGkeIHDAd04JvWdK6 TMnwnWzWXJ1nSGB1PAKrFBnoQMuruAjSKO+6wc5GpR3YjYWI5Xgnm92OfEd/2QYlHAjSszL1kT/v XLMmddbnEIJNqOylNEEhnGOg5pq8/XPOiOrH9CjJVxDjLKygd6YZMzIcLee/zD7YBO0JRBklC/Om UTMJREAZwBueJTWxmVBcLHrXvyboJC/FB0s4SpMSCtwzP+88M57S7euip77UflZQFE4jzoWqnI6b MdWgR3GxZ2eai5KpCQohHaz2dBwTNtgpfX7ctgDr5BYDaFqkPTKDBxbWw1cEGo7vGmRkpCsuOcys 6zBzHhtdwnznnP+8M8x4SLevFVRwGaTu+cY7wQxnB3DljwJWRf3t6oS5mFqCEqcm6D2aNvB9F2tM g62gE+iC4lKImF7wMtUWAz1bwJfZrqAqpS/e6WU33Vi0fSqLISZxHVASaPzG86bl804vaw820Tqs OKyYlmmGomgahoCjdOumjhPmunAhTKMMLt3RL2MB/51bht+HOetY4w53j42+2fIDWhrJPKOlTlYf 5AxvzaMzYX3nld0WGbVlbbCi0OpDkeL5zM87rQw7LH33NxhmpGeHvYfSuhiQ0k9bQALecQGxScfp 2RCs0QKKatOJNY8+WRLj4LigcwX2VcWazgmSCOpSVwOTE/ws9wv6AnzeOWW8KOXp3bcPBimbWY5X wKN83illXD+er0qLBvT72994Z5SxcYOSveD2+NdwnaLza4yr4wBRPuar74Qy3hBMMmRg3daDfpko JM4mbuI5ThMdL9uSoRzhS1/Kzn3rZaO6olxjRZl2jDFID03e3mjNfd75ZIZ8+64KnWs39buEolC6 4QzK26Au51/3mm/JTZzGoLAnr/m4Nk1wh/SK62ZRdDLZWEBeLOWr58SrQyjyjSOA7goJiaMy+k73 83cyGcFllCjs0nOEzgHzjQwHzU5QwwlI++edTEagmEdCOHCgWt5IvtUURbE0kJ2g/xjwNydnSxsK R4UzBuWcorA2TSIG8GM+eGURkIg5qeaYgeZBmRe4X87pBhryqd4zVHwnkhlM65w/iQkrIwX24sBK HI0pOW7kzzuRjB2k72B6sDn3HNNRMM1Q6Lx1tcLZ7D5DVTrzdLRMXjh7Z5Hh7VUPYilDwzND55RB EY4zNAg/gmssQgPslJ0uP4rh++edQ8bS4BTKo/tgVaFRJUUOW5PPO4WMr3j7rGQvoqbQHoRiGE1v ZKzUDf8PE49huuC1iq0xyWhBSk6IYhxNq+iRuw+e0w/UXBNlCcBNnIlUvImjvQOfninupkXUCR5/ Z5AhNxJ2fGstwXVCemlQbmDhq+c6P+8EsvYoOaP2/uNoqrvN3glkVjUFfYYJBZz3kNeRGgWNs58q fXkIxlVOURRPK05slZ2gVp9e9JldoKMpL49+EEuCtHJqkE8C2qTfNiJQkp93+liTdzAqZqn6oKoH DL7lG3vi8s87ewwnwfwuvvJ2SLeA/84dwxoCT+NE7M4hB4bHBPipxEz8+DlIisia79QxO8/OGZ0p mFbz45Z28vRzxeA4Rnn6TMmWUto6X3yiAJQW+4UBs/ryeaeOcREQMkTEuQZjaZxUToSfE4d93olj DKi/w0VKuNaLqXrnjRHMydMnGYH8HBVXu3FuKxGdvy/bZfZOG7sB9YvZ1Tlw4LlHj/iz3TZ1FlB8 xa0P7ZHMyNYd94ZeNrju1T9EzmKDZiidhakWx0mKP++0MTzefjWBEKQ+AfU7a4xBAkksxs0clEQ1 Yau8Las/oYfnZO+ksZu0gu/pg1fOChJ4c7s6AWndJLueH2UyZftB6GhJ60Jq+HnnjDX3S+NlaINy srPaOyN4MIo/75QxK/8/E0TYLGCANkFRdRpEtTNBVlCEmpzb7/Rs3AQQT9Dk4hIKQ+rMbLWTxcrB J+icoatTcPs8B1CVic3ByAfN5Hw7QOwdfN7pYpifJZgHM4/tpn7AdUNLhFtsj887W8xS3ieiBrQX Z73PTwj2mORt+xYjENTAHqk0b9QTesT5CSNq8upnIs+Ag5eFTpB7InKVN1Kb1IVGNIAzAG7LZV4J WQoMf96ZYvyOpnCx+GB1s1lMhOLs5887UYwI1u+Amv7nT+X1nSaGp+jfRKsb2cY2NyQYJF7JVxfU Ze2wMKBmEDRIvtDgCwhaKND54g5btZclNX6cSsAG50djdxBv/04SY1LFqkemPioHy8laJdQbLYv1 eeeINYnzPUkr3RorFQg5QVE4TVmd9ggQ7quzP6VIzKrHcj+5FuOmtcPoCcvBg0UUjqnBAVB5guiH klYUqwFAIFxISetEKRPfEdzz+K/hLnEHRzKYBHiF0leLounZv0F5FWFi7l5YbGE0jaR1Z5NDRxvD svpzV6fqdbPiuPIWlaaTEGeTyt8cboMszTHojVjSOXcajCsbxJrPj0fjm7wubNEO6Siclpnc7lSx 5KAlVAC44S22Ex8PGvXl1qZVyIawX/I11KNwGp5vIPQYuBwWHT+uyJBtDY15bT/7ezjtp/RL9b6d OKslWIVLLg71b5zSjcxsVa9uNM3KWY+iaYHuF9ujGrSGTsashmI5WxqPR9Dg/CvhQIElX7xQj6Jp 2nu32kyOoWzjjmUYLjWfoeJ96B6hPQbbOkP8Zw42QwPlJjBnqPJ5Fs9Y6jMCDg/ezaYcapdIzNC7 RvmG4OWEDXEQ+esEbW1r55x8o0fRdDLReMtZ2T64vJ8eRtNNSgzb2RvN4TBjpYeq2qy/0aPqtJWG KhtlHLxRP84NO6jaeXY91Asphj4B1QBUzCTQjM7L8nSPomnZ7J3IsHHAlSXycqpKJaFWWvB8RDr0 RjS2JGX8UQf1OQpph5XizN2DoUlBLwZD4tgxa02sfJT+6VE8TVqPNo8NnnGsBaFBUlZBH0tJWCHQ IhpbDPW6x5pWbI/i6SLgYpbFd34svlc2UvcsE49Hif2vXj2/u3g83SPqITo9KFCb31dN01UY2nKv 5jGpus1t9jfcoyEnsMHO6naCldRxkaCEjzqIlAhAjGpA61E6yJUqtt41aiMSCLOXhhNR4EAB0iLT cINSnXg8mKBRf20zBhje4+hhPI3SkBQ1uYTodGzg4HYnaDVLWnvMP2TFQ9Z6rX7Dp1tNUCpgq/78 L4hQkdWjkI9vF25R5xAucHxHcNsTB3POyuWDZWQnmqhiSeE3jALqsb7jxYpbbO07QWGJGrZ6vSYJ eg/m1crqx2TvkDV8hCzaY3GJmiLxg9UPDo6eTnC+ABaPFaITETWaMkAEsgN/nCHV42gPGln2qERd qZZKW2UbVPeA9vWwrH7i8X+xOPB2EyW4WT0e6u8RNfrXwDJ04l3QaB2oDVCkCvRK843t0IipPKmj iHrrgtp9+XCZUptutIS+wq2iUkZ/oR7UIMtDY0rtMbpdfHpUoRazd0hleFyV4ZOSdSYCcH2fnx4W qNuvwhBqXfWmHD0CT0NTHXYJk0LwCGOWJOFrpkaeMaUI9sACjsAexpTa2ayZiAAji2Mt3mE8piuL dNT5wvR3tBcZJ9shhJrYp0dgj0Swx9IErTtBOPKE+D+X4v70COyB4tQXeJqSDzAKtxkKQ+qGTisv EJXOKNqpsu+0BP8koag0cAlFBWp0lqFIwZoGB29Fwwxg05MV/p5pTdpVrEGN3UIXsQv3oNwqviRI W0lHPMdW8cGa9ZDx4F84UsPjEQ/6uzBEu7/aL11zRCE1Ctsogc+btzpw8VxAl806s5HJRlShniwr UnjcBgsYT6x1jn+gXujosQA4ZDMa4RvCU9yi10En612j4iLtThB426BFxD27VDrLeDwIqfudITOK /VFyqBmKQmowPQDzMDEhCLhZNJSoD8zqIksjooqHBWrd9a1UH2401BqUqonLa6nQM+lsMzA2SMub DxuaZiL4joiPSKTHlh/6viyOdSbXPPQWH4/a0elrm1WEGG06LG+E+OlzO+AuN47CJg2e3dYMDBIn 6CSubngyogJ15gE0drWBakZYQhPqv2feWRs66XRLFM0HdPGHVut0A7K7/qz7ge8IkJ2MqGcvKhER qYwlVKB7ThjQ+R88HhGBvm8y8ApPyuzFsxHCp3FQ15GdCDT73WPD29FjI+fQHovi6ZVUdvUh2QSd s/Nc/CDqsHh2/gUAMMRmcQJ18LnSBcTMifn5G+8xl+ZnXQ7HgkUig72TceDx6BD6BZ7G70PLcs1P FE3DEhZ41+rI12rV6dKky0BHgeHV6RFF01PagUskl3VJLnAsgnG26b+e/HUQEJMgO8PYHeDz2wOi 9/mIytM6hLZAZxslcCKp8CVjsnJxFlLG81Gb7NcSwrkovWVOURRPA3MNyIc1owGYdI4CKX6SfcvD D6Eonl4sebSiLll5umS5Eyxs4OC1unF+B9B4AHO11m+BmrT6ERWoJ9XeYATng11kSaLH4NVPPB7B y39dZHijdqtnI0RPLybD5moygEN2yEfpDsDf657SEXqaOh0nFuRfz8HusbTRMUTwioRj90QD5l4r kjwsJBgveZOsV71rsITQC6mJphMaNEFAXDS5uvSBx4Ng6NcE4VwvgNraBIWID4i/n/9weZOs50uU ygaCRXXTFU+icHoOSSzJN5dFAvyXSLjPCZYMXt7PGaSAMnE5nNn7AaT8Ggqej8d3/BUK7c4yLAer Lp6UmILF51TZePxf0gyqIpHk4qWzEYbTAzQgF1E817E5eGGLeVB0FvAw7PT4RzidxHimmozlGwWQ M6kt5Q47SVDpz1ktKusGuvOe01tLKIynBxkKU7bLA0Utwcv3ucrY5DifvvB8kNX/Kp4BHFEebY8R x9MEBzucgfQ3myLi5LDfBmRzbIriEjW1TyZtXcp8PPLgGrW3UEPwJE1pkOVCvyV6Q5DkrCL+lm5O SEdsgnpwEXEwikKqRejgE4ni8aju8Z3WUxp93FhohhVq2AgO2b9PmnVUB3w0c/AAbXwZoXX+LYXX O9l2HLz+iqrNRo8Z5zSQwzITRumVsG3E1Q48Y8IxQ7yHuVJLoqE5AB+i+/RjB4O34fFggn4lrYva EMWT1hlF0/jtTgTEAjSiok4QZyb4tU5LWhMdMqgtFBaos4kETh+8ctYp7iHlpV7OVJNKtssUBhZH 3y2/VnvXv9iI5LXZYEnrOQNZqjsTVPB4dAz9qpw1Ym7vCoqiaRwYY+p0pqfp8nN6bhfGP++9jNA6 YzaizmmyETnYBC1MzrCc9eSJm9YL0BkaKC3mH7TundBK4YEZ1qentPCJz+OgsgfwMF1doFXxeETh 8HSDkbeFbT5BUTSdWXuVbg6pdtcPJ0tWWTbLzdAeM6xO8yKDdocPPkE7t50opUzjF/JXYQuLggMN DbAgrDiNgAbfEZ1BxDIkw+E7FxGco8xO6Yk5Mx6PKBx+06tX38h/9QmKwmno9qPHlL2RaAA9wGFG d7AHOJ1aQaEwnklNqs9crlNARgQIhXpusZPDDyChcJEhPEQhaO4HgI/li+8ItliSEOeoPmiCMnQw qIxXesXjQR/xnkGyFyyQOPM24gyL01MMBcvHAOK0jB7YGzuk12ymXDEjsAfz9I7CmA/eA6oQ9mNJ gJ3W0iWOh+ixodaC89VjRVYWZxRM86SC23T3QRMEfbYh5Yox8HhUOPsGdtKFT3RaTlCE9qA0cMum V3Hu+bH8DKqOgp2JpE9U72dUnF52OrfigwPM4YHTO/m+2F4nSqeGcK1mP0O6r8snF71rFAmxwVrF 5KjVXbnmMkn3ekJGPB6kYyN9bTFIO0LQ0ycoZCJmFFzXNJ5dzdcI9/zuzpKalEFExjpDiTxi8gCP 9sHRHuffZZA6WiAu2TZpr+Sz1LylyOv2CcneNcILUfN+r+qDpWNn8/AXqWvz8WCC0ndKTzMu3PU2 QRHaAxo559PR3echTRtw8aFd+ywbnZmHdBRMy9e1Nx5FHC4C/yzQNQWHQT68muRzEO1jQ1Od12rT 1KiaUSzdiHtlT9gGA5yBdMRi+Oodj0d4oe9rHhAKafhogkIiIg7p7pS6wdK6brHB35MTNFEE0xkU CnvwdB5t3MFrHidxgs8ATd1OqDxEnK6EQXbkDXVcIiL93WcolMfraws7vR/s9MloyCr9gWwUHo/g ML6CmNuiVjeHVzxWFEmnRWGPbflqq/Ma3uTliLMhj5LEzwkCRZE37DIbdwUVxLx1dvLFYQnUpB5z PhTXfCLb+rfs9gqxHsQqriXlgbUdDdNTynIGbLXi8X+K5+CxTSkZB06vKJLGf+IJPQj1YmoOgXAc yQABRtOhDCPpLl3yPH3wax5aqHuqP1b35Mzgmsd1jLMVRqlel8ZCx3dEFQ+2WJc8E9Zt0qNuL/ut E6Tg8Uga5psurqrd1clbobQ0ZUzlbkuoR79Qj53cvwWMb5ugGOrB07mqw0F34Z+msmI6lwtPY3Sg z5E95a+MasxAYwW5p3VYwUHDdwSBIi1uqqCLHAyzuBI3JyLpgceDSPoX15d8gnVzsRUSEUmTKq7z OkVlpazHNXWdVD3WFgtx06oFZZKAmhwHhDiDG+DabCDC2g2OiigJbdqEo+GBpMh08gg4W2FhWkKv U5ih6T53Bb+g1LfPgsXj0RmUvs6g0gkL8f7hihWmE2qtWYWgUaRKuSn6tE3iDL7iloutmIcoMHS9 g22xhShqbFGA0NaQqsfCLd3A9QUk/UrnTL1rUDOjeeuaPfugZBWnQRKu/KQaKy5L/xfqlb+SJiiK pNGwA3lsKVDMi5H0Zi7mgnknftsG81h/i3rUyjucg03QyVsT8CaLYrhnq1HI6lxmJ5KlcRKM3q+h JPFmK8J5LC0hOnNo0AztPUzd/mTbeDwCU9WvJQRb0coAVjMUhdI4GAfU/ax7qHSesifDaZsD5T7b Y3EozSxsULOTw0V1QjeHTuTo1aOKwnrH6Mw1yg/q1K4WPLLeNZgg1HBw1k8NQ/cRmKFyiz33WcPj /9ILVnPsl6jHikLpQkWGZA4tQ1UELqGChc92/ZxUKuIeC4HT1C1rQz368bXH0izy6uA1dv7VCXul hBLsc+hPZBWzZe8aBUJWbk0+GFUzGwLwJJ98xeCU3t908TZ5e95DKOQhMlIcV9NjP6onw0XdJ2Wz tYLCujRZ0DWtOziU6vxMJ6rjNYbGFi4r7DF8ZCMuifRv9leBQtTLBnKmW57ktfmgilDpJuwOXjEe j+TKvSzNqAl1lpzvKRSWpWlnp14zIiGm1NKsuNz6SVCsZigWneb1rVyUw002MrrNZNnhOhCGAYC0 +tNp61zKpW+sMfSyfzR/kN/dwThkOwu8CJ1LPP4+Q+tKDkgc9mzyNbwktKNgGkt1SLuIHdaVbzom yWDmqzirGArtWHWawXRXXt+fqivDn7ToYYO7HtkHO6yb6RM0GR57ZJaldxRMi6l5Urfqg5bQOX9V pDubmY9H/JZfgM7Euplvsv0eTEOIsgCOt61mRviVEaS6O9oB5GHg+x2qeugik4E2B2/+zM3qBCWD wROBxDjMNc/fx14rGJB+j1Hyfoe4aTY2kLb5oFPofORW3R2KuPs9mJbi8APygORTqVfgbIe4aZxC k+g57DH0P32C9kOpR4itCQqD6S0UDHVhOPgp1M6yWSSS0AQCmihsbGDXwYmQOu1mYIdSG74jmCCc 7FB5qz4YQyq1LkY8QqEdkxC/V1DG7kq36rpDEqIs/oxNB/S0gzwgJ2krKKEPqQmKQR6cmZHv4Fts n8xrgXDPK3om+Ukm+Y2esxqX1+UgFr1rJHtClddE9sZKzt6o7dy4QorNPfF4JLNYv1YQ8tWCLNsm KMRMZ9Tt65XIS/vaaYotTrsWbEFNUMxB3Dp8hg/e+UGFkdE1IQzQy6MjGcx/KhzR8pePPev2O5T1 YKg4pHw/rvJ9AU5IyvUno8fj/wuEATp0wH/6BIUKeZ0N6GWy5bV4LbqY7CvzVTpfc4JCjAfjmy6Y kMmxGVoRZHxd9Dn11POWCTCACCQ1zwd1j1wQ3xFEQhJ+q3v4YFsMZ4CY0Gnh8UhZ6LtuPwkUqB5L 7wjjkagstNxmbCU3/AH7ujlDCntVExRhPGSB3BLbzxxuWbqAMJgoWtEmaGK46BIOP1rGAt9k3WdW efAdf0GmV7N4yCeowsrSQ8WCx/+VjlUVzUBfKx4J7SiYrqxLN+eQnYvGl1CXcwuWUN/LxKl2DPKg JAzlTDQ4mrOf2ShJWMUMhD+RZgViTaUtFO59CZGuxHcNQkWZQm5aRnGwJXSiE0nnpDrweFQ0q1+h IirNZd/C/Y7r0rzdu7MQZTxGFiJNnmXEStwc7UlikMdS1zD54IfQSOBHEYqXqTfN/4Sg+QI9opzS xVHtqXeNDiGR6AlgkAC9JgiOXZqgxcejfPUb4qFOw7X+2VEsDcHeUQVsrezUJ58gsX9NW8icancE 8VCto0ttoD9qAwWAYBShq1o/iFmo6s5tBaMX3PtXhLLpXYNIsbCzgWqODb7H0pbgdE4Dj0f56i+1 YCSb+0aK+knfZ2gD2Vh1vY+hCiwhHhSbZYN+QUdPmuUpDKZpYsg9aoOjYIArh8GT0o3CPKMxq4UT OxH+N5pmrZffEjE1aTpGp80zsGSiskcfnaXFfiJufkCE6fyuDDHAlW+mpinUyYNYJ9Q1cdQgMqrG ailwYzH6Rkk4HmjCkf4OqUvVPqp3qyGXxzlMYQ9YvvVEWeUGFjoOLdQNHqjH2Pa6kXg5eT484DUo 60DURb4L0j8+H6Qd7bsLRJO2csUEabgcPoZTqDjaYxjoDM5M3mmtuRp2Gh8UgKdl5jLm9sGr+HOh Yj+YePSzWAtnaZEmjMUB4PbVL6/2tpF2hUzIyB+fwLeQIXfC9YRuwQ/5YYsfEARGxWdJcl6INPsz S1GhGt7nZ2ZubC1nSAKEh1vVztzrcNOkv50Qi1ztOHidcSFSWnTjONf1+V/RDDq/OVwpaIrK7eYJ 7LbXjQQImMFm0cez08frRrrGg/dsPD4fQc+++0HM8/p6dlwUYIMbCDMjk8KndIsZjfZq6Lzz0dSw 4MEUlauHmEAsA2nwOshuUElkuRpA8JPJ42Ca7fzB2nFo7lqliJ0vfksAxUdp+7/FKc/Nmil9DJmw zOcjDvmvNA2+QKCq3mmKa9awvCmMgTLJ0tt4ZefuRvoKPseEimXVNIVF62HzU3zwVBYtwI33K3Rd Y7xxpgnLq6Ptur/E4RgD0BfrPaIrorfmO2iWKMcq6l3afP59lhCHPlXrSvOmne4k/WnuUnAdowCr rISl2EolJSL2ZqIahXZcFGtPJiMNFt82PLE2iBySfN/wFGPVcaKxd77vxAHox5tI5Zj2thFrgQqw rBeWixIAUC9ViUzOrZcMQqX5S1SHtL8bbBPu+n7mgmuC49DaQ5NuAWwPNeOcgQXsmHNWj8LKOTLZ cQdNEtDUqFV0JiQLSBDaSE4KekIBGU1gqxoV/KX8kogoTfYUEnAO06r77dwOpIL38xCfj9B6N2cj rAaU6jafWYpC7nOyogNS7PBupQxnv3STsASaw7Ey+KAImp+E9Ww+eF57DiyofTDxB4GxkmOVCwgf UG2sj4G2GSCn2OWFGe1gTMlBZ/dCGaEpm598/n2Wev8FuDo/T/9ym0pR2H1+ZKa2VhyBKYultk1M Raa2wAzaJMV4EN1we/vgfaIT1SeUWlihTSclpWTlTuw8n+/oFH+vqq8Ve9ugfkTxKhBefLA+yN5U LTmTzZAytHq5HBje+FjD6TZCcuidCCntUWRWBpvxdEtsU/a2rGJ3z/9zYJ4IyAPTtsW54uAraQMu WUycuqclDgOSQ9kFLfZjt6q03d42OrnZiR2i3w+XtwA0UN4gle6JoX3iuJqMKheY3YxPUkhXzIVu Jq6RMh6NlMzgkiLJOs85SREuJMlMaSvF3TfuZnbVMw+Cs8Nw1fHcqmgDZxLs6njAe8neNuiGsIjN dq4NynF3Oum41BtG5fNB2H21iFQywMXaLrc8xx6KKCXV5koyZjxFvq60QVgH2MUUhnNooriETJts HXHwci2LtMQSwo/j5CbC2QChmEE5buXSYfpK9rZRz4gd2Z3Fv+dPxllahd5ZPxAE4fNRyf87hSMT E9UBn6SwpH3+/2PIJQgx08zLD6XWXLJpd57cXR8UBAHUIhoyXBjzS03mbL4khdCzn1BJlKwK0dvA W0NA02vabF7nwEnR9xuA2z4YjC8z+2FRofL5SMfhu16CQv0JAsadpFBab0IAZNv6gXLcVfMmqsCu t+K5SWCmeFVQF0VaODgWdEBSeFG3CZ54IxFokxLrQ4iCgde9thTDXjcCQQgmMuQs6SKf5fyM9Mv6 AeWPz0cd2v11KlUUiGFt77MUSVajDgA8qC2lppu/ySDeykpAAU9fSmHQzYLSi7rVCSaAb7C60tlY O6sDiSwMqeH6OpUoIJcDQ0XgzYYgIsUHLSX011TwOAE4nw86SHe/kWiOSa639JYDR0UUJ8kTHsY0 r1QQN9h1coreRlHDrG9DsEgSYUFBQLpBwIneoVAweb9VQPo6hXfg3YluO14LQHMn6RV73b9KJvRM sMFKJsD9ErPWy+bzwdldvqMA7Js6rnp+DmwVcSoxfxsGTh/S3lkCjJhzF8QWPeoOfBUz/R9oG3AH myVkyZ2fiwsOBYFMQj6pIkCitJUeyYJqbxtdcOwD1H0Hr1EOtc/P5+j5f2mnsAiF/vujGZsDY0Ux c0ZdyciMnQ0IQftGc0nUhqKRTVJY6BZcZFJuj4NNUkITOwFPSzehvqn4eRJwHGMA8eT2CBLOOux1 A5V4AWgTsRHLOzIZEpFDPJe5Mp+P8I+3+sbLDG/1qBTl0F6xUiBEzHyoPKSVvGTCxJlFylpcKD7H /oqs5TbZB7bHPjBzv60hpfjSIHWe2LUFIwT3OjQIrgFltrf9Sxl14lezQWsJ0S8LfuerGp8PagFX dY/sPnxMu9KfObRYBJbqpMPuY1rmdiR2p+Gh/PGsqKsPihqTUnEq2QevvvUN+Ig00xYcTZnm7kHh WLxnf7zNFAaEJouTF1yTWHzrvG7JSWuLZiAgSGY+HwnvfV9whWz/K5mWQ5tFROtQYG0GY8s7+0qa VOhJApB4ITf0WRymeFXucBO4wchItYB9jrtGODa8pRrI5/gFbCVRY4tf8tcFB7s3H1RVGrPmJUZw mXw+og9/5yaFcN5yL7jYaREJ3EzdtxtzeE7SzE2lJvoy+KkUWC3KAQOScnX64NXu8//B5cA67jkp wTNiwWSAGXtuFwoC81ACp9/eNgoo5V1uot/nICQWKS/EBMwZ+8l++AH/Crt5dp+wHUHbnaUw7Aaw v9amBTQE/JWcNe3ppYg+KKuPQymwW8ShJMkrWZs+mjwwEAS7mk3KegKnPYkZpXHuQNSfibO2PFfB Uuy3yAZTU3LSbnLSz0KaXFj9REt8/l/8Gc4udjm3ic1S6BGTwVHr3qiES5vF3XldOMnk9cm4O7Bc vIKyPGs0eLUbIkOD5buTsNVm4kYGHGd+0G6ve/rbRpPEc0STxEF5LuKtaa3KzOcj/PqvCiUquKs+ R3dU624k7LuzGRrfy5MT7T2yXC6sLYeui0vo2kQtNQ5+KuGHByuIgIATLQ2SASiqVShchI6ADOGk 5JhD20XFSphoH3S/lfOrEECBvc7nI5rIt003tRHA3fBJisJuxEZjLnOsODtrOqG4ssxCaFtGUGKT FGrxddUC9vLhZnDndhs0iz/bCUpDRCZVKiU0MIqHA2zNuzOHzovyptyTVh8cvKw0WAGG6cLg80HU nb6hNxDd4Uz7JEW17lEhQFONP3x2SZq2ktrq3dFbxck0OTBfdPgWVbRssEk6WwydRcK3UKzclUJh MGCGfjM8dapPEuSH9bbBJJmvl0A4O7nrUDkbQpxF1t5C98UyvmsBG2cSyAU+SVHU3UFNr6td8ITJ zCKBG+5btVE4VJYb+C+i4aksV5HSvJHSOTp4TLNPCVjSKlTLggIUCiYL/bML4dr+tsH9tuSnM5oP xjlCeVCFWW63UENkfvP6coIqID7TZykKutHqG008Wc5SvUrgBIxb7W0On6Uw6GbnrSxZuq/rXwUx wl3mMFeUvmANS/61ILMmcTDV867d3jZCtAuHXJIPVqGcrFIxH8t8/l+0EQbduFPr1XvKoQ8j0lE4 s5pd7jmkjfNY1Wkkpn1fhnGOjRgJuIVTrg9+cp8LbkoY5ywlnLDk2haKk2C5fqElidjOoRGjOt1M AWwwpNIgwYLV68nno5jbV5JO+fStf5kDK8byf1ANHk2iDyi90R6CZ9Le18yTAGKboyjmlsFXL7zd ODhY6YTs54xdUpldqFAyBKDRRSfE/YG1j25vG6ACEHUC01Z9UFGpo4qr7Zb1kpE4X/mKuSslZp/2 W2zHWNUXL0YfocEYKwFpJidHVDbPGHOHfoyy+iqbPFEOT1Hp/MrSUUVw1DOxE32To4UAjIrXhm1X vzt0ZBQRcua6fDB6xEKhhDsoDT7/L+itKlDjVwwQezICF0iZBi0l0lxY6k7bmgQIX9ZdSmHMvaUK JtHZur5Kb7T+3eq/nX8OimZMiKk16KwgU7FAiZudXxLxjXkYJRHX5XVKudkJkTVMUs16yQgUsL4m qVDW6IHhxLaMkKYxNytw1pMzjlGFtjMcLjLJl1II4eaZROiPDT5JZ+2gnKRCwJzkQDYeTj8QFENP /zYE2I7gt0TqNCwB7FR9sFZuP7EFI6WFVm5gzvht44D/mL49YN/4LEUxN1Y/Npxpg0MLx5Pcsqwo MGe+NaXQntFKb5T81uCn0jg3fW/Uvq40EZJ8OkjrHThuAEutiLtSt7f963pbmwUBDrbfTqbaxcxG uSQwaBToSJPEyBHFE0rA2SRFMTfumkEEg0nMp2ml7p0c3DURf/gkRfiSRogygFU+OOGmo+omO3hY VedM379J0TVQAOlMaf2A1e1t/6KvTwoCaHDGjYWT5/wcfD7S0PgladiJV35WUljpRmLShllYjbKu v97Z5TZJYxHOo/32D5fGxkCJgwdK8LAEOFRaUOdCzbSEp2YoxLJyu/USKL7Y60a6j4Tf5HkHnUrt HPtqwJ1Vy+ejePKXVyxtjtZzKkVB915ELG/X4octn8olkw6jEnkm80qzFAXdM8kZbQ0ffL8B85RA I+HJvTJ9+Bo0FH9oqpV51Fp9sjd73UjbEDdbZUVTgzZcOsEcy/nQUeLzQTz5WKQpfhh0CH0CgbDW vdHNbcz18a+xXE/9vARwXIO4ZcQvDLtDu0aZFmTjZ812QZTnyFggbGHLNfjKdbYEoEICOaHNUu8N l0hRyoFhIw4mlkqEpOWg1ZRyFozm3MyTz0eBwLckAuRy4F/ssxQ6Ng4aAiavmJTevJ3bKa6vshIV JhkIBJaNAAJRkUXWDuOxdoDCJBBLdAU6OxxFPZZ6J+tu+D+3a2o5yGjPgWVjoiwswCXiUIzLoYAa VZNvDBZT4NmYeEV+TRJulHxJFDn0bKRoeFlleu1tO4uiqrFbhDFZlsGFpo2S6u0A1NpgkwQH7mYi dSckqFDJ5gGPKBOK8Y2+XFKvUbQUuDYqcEbRTSvJDVjhkCDTUKiDFj4fXHG/oN0FtUmwNn2SosC7 UgIpd3dp1glFiEleTjU5J2PySfrbaqbL57s/Pt/5RCOjJyoelTQnqibENRc2dVADH/1SJlV7C40b KycJXinEvVGn36Il5Ornn+OEv/yASO3wG45LTn15OnCBdyOKEMxzs8Lts8WuM6FFB8xz2/bAOzZv ZHOpc21o8Dz37IWToQzut5Pp0cUaSlHAb0PSZt+2yejZXvZPERKBA+cDDkT/QsK7A+CJ0Lzxt8hG JT/1wb0F7o0QsgHaTW4zkwKsxjiBk8LyOACSH7rh/rBvZPZKGwcNNw5As7gqDkgnPGX7CYzijnM2 /fAfpujXtr1tFHabam/1QdsNLqySsjkhMZ//10LijCJO3tdGNocOjkidgAO1iDKTtEZYQK7tCm1A 81QRZWDhiIWk5cEzicPtLU2IIgA1AnFaME2QwcGlBToJ44fKIo5787cNqEuNgkiV2e6oJj6ZIV1Q upDaqfL5qIr7HSuhsdnYXbdJCl1ndicswFq5sj6Wp7XsnppKb8tXUhR2dw+Sug++kmCHAUw6se+N Wtkk5SQak8EKoF1Jm0lhthw4OeKeoSBJIw6nuIEU6CZdIscAxvD5CKz0q0KJzLQjifVpiuX9EiEm RnYfhKTK0aC5vdMkI8+mKYKYNJPxbXfwYve57s//voTpQnOdIfrZFUAr5RN9P5BliY/lwM4RvSXC lDY3HAcLAmbfhqFEmzLwcwQO5/tUAm+r7gs0/bwGSh01M9oWFSPjymTJ6kpXfmyjucWD+zVM6oAq YQWN8gwWAaAFcC431G7A7jofT/zkOVHg6IrTJz2S/aiIfl6DpA7VFtIE57iDndpCCZCthadfZqfD +VS3/1ZBCS0qCFkWmm69BkhdSi/Q+nFRm3JFbSCDZTWARvEXLqBgcmT73Te5XRy8gQsUz8iivsFj qNChBlYoeL2zy+jAPPMX5P11dtL/mZhNovsVB4uOECkZTPnE2a+zg7DBZ0fQP0mZJ5ue19Cow/yK hn1LuwqS1pb9Q3HOmt0rX8Pm18CoO55kVIaGHBxWepKN1KeU1s8PvlQlaAy2INFQ8iNFTxj3a1jU 0Qhi0ZZQJg2anXl+Rhkznp/l8xoT9cd/D7+2ypFgSQ+fnteYqJs+bXUjOXAn9mVMuLroJPyT0/Ma EXWncY1Efi0HD65P5gQxAFXZYBuh0i6q2pQoAevNocnEk74GRF0BDWBt3TRYz4TzpIU5k6RtzjmW P6/xUBfZwuYHT2Et9eaz8xoN+d5Kq7mWjSAAXU02l/UDWNl8UqO9JQPZSeoNh8sB2GenoSOL6hoW JMsmNJ2qAB/iPPat1ej1HR08lNkYZDZrMO4WhD/ZOuonpH6Ng/h0s8nZP8Yo3cAXyO0vmJwmK/Ti LZHkDWwSZL3hjyKx/D+DyZnqZP8XJgLcpJGhP4LJQd9xbcHZKNCPlJsodF5cJbH2+BoC8QJR9Vr1 ENejAg+8dHUzzq/weQ2A+mOZtsUkpRou646YndfwB2c5+rNrJLvXQfWwO6uvdjv9q7jFQzQ7dE/p W17fOz/d2XN/udfVycbamkzIMgXD1/mj0IvyrFXuBdHGIhRiZ0muZpdcPdl8ruo6nl37eY17+gPS tjsLW2ovv7Negx4cyoT5FaOLDCrpWrXxBj0QkXVd9fBQFpymNh98X01k9dg8iAxXZY8R+DWkY9Aa y+nxuWL5/PMa8eAHmEKvtzsYEBKKwpyd8zWf13iHb1i+Th2UxSpvUs7Oa5URFx1Y/yQEfAsadzY5 syNpFuhBEhMNzmQzZy4C+ZcH5A/BrPOnECcKrfVOnETr6MYOEpJSvqTRzUP5tcLY5R0BcCAhx+1C jgf8M6dUwwqefp8dV/Gz2fm9sV5ri1yuqMEuJx3ldatmsDzxY6d2q3W8Vha7A9YaTgYbbHbO/j5/ Cq5TUEQaUKAUVMfSbhumXIitHZJNe6LXuiJ+AUmsTjYYORjUGI0tlcwgLxYdO6N+zQ7VXaSGLUZ4 MD2D7L7h06MalLH7eAQVsvvclfmdt9a/lGiLDx4PQju8JrVgT/jTBegjs6Yjatnl8foUyvg9WnYH sFXlp1tdqndA1Jr6co3QmShcXlbEtysdEgjk7WqCong5cf1sh2AhLPFkIm1HO2RqqAiuHgbMtEZL 1NDiYBN0Immoy29KanTQpqjgN0UpRAl/k99f5ew99bLB2UwBUVAdfdC1DvVIHnctVz4eHM6my6IZ AgizGZyAOJTo6kK6ddaoGXt3NnGsQW2KUcBzDKcZRTHz5t7qQ+YXY9wmx8noF9yB5VuNFhBvucK6 KEIzZuHKt5by9iho7k0atLP4oC12gtbJrhCW/eedrYbFMDxqLpKnHzJNsBmKomaUdlAztsp9U721 03KYVxnRIHU6+CoMm7vWEP1iOPghhD7iJrWqoPqXExW2SsfBjECLf7fdX1kwh2CTddZXOw1mNRjS MWW50WPePu9Utf5QsXW/NxYv252g98AZ1T9UNYZzsGY2SVFI+NVqEzTbhRS9R87n52XRfonyuB7K I8AyNWm7FyhBlDEVKOFWg1YpLmeCZs8emypqhKcQY+bG/gYHh4LOXq/G4TtLrYuZrwmyHtnCTZZ9 hqLoGT0buHIVL0Z34xOBOn2NQRj98hh6D59lD86mT/fBZuicNDBL2cTwd9zycgmjfBWIDvmRyeR1 8XnnqGGXJGamgEHboD/1hJcq7CJd/bxT1JgoeHZBBRfgRXu99/w7RY0RNFDXkzQHEB6Sm6GWLUAx elgFV4yqrFEI3el4MTZx/BxuYayiLJnZtj8BQ9pGm6WQGlZFSrfsI65jFEM30/JpyQfHf6RNCGc7 V8rnnZ7G3PZXIIQ+eL+VjXd2GrNT9Dq3+xDWryja3TBQq6+mtPpOTkPpgKYyNLm1wZvR9Zw8mUzw gmh6DWawaSy6A2UoYj9qB+Kov8fRmZRXYLdZX923vno2MWTxuMv6/Lxz06w89cwQyqUt0beAM/Qe SRuKqM7uLMedjL/HM9WgH5ksKC6hKJSWh+e5UpoPHkonNBqqMLJoSDZF253WhMMbrPOrmfEeSivc q+rX2WDARhApJWcMjZooll77V32D26vdUygKpju0V2Yemgs467rhMDWMNUELZRCdQmE0rcLPYprK QROEy3SeQ1m+3r1SVYtXPW1iz0SiGuIg4jn1rtEEUduSCpIadAqdn1J7rBLNEIXThu21c5qG2fup HkbhNOyIzldN64chs7QV1NlRMBtCV2B756PdCULg74Md02eP8RiVIvYWBgdYB8wLth6TRtfMGnrX 94uMgfe5X8lK46AtBh4psR8nis+fdzZaV+/366YHOoeUS01QFE4D04Ylets824UyrPUse6Lh9dX3 cBorUWrz/+WdAjBMmTQDKkCjj01wFZzTqJrFn+Jh7BW9bHCRqTw2d/LBqmQp0f2Fnmefdyrar4tM eBh2dbsXO96paN251q2bLn8V9JMOTmtMbxcSaM8peo+nkdCzUpbz9sGm6GQYLeWilPXsW/wKFIFa 0MraBEZdzXBK/nzemWiMhoj37BJ+7s2rQefMbpwv9Dg/7zw0NkC+Cx6U0q/3nH6nobHicfbWWSS2 iKauegZD6jqzM48fXrssiqcVJZ8UuPng1bKK6LrxVK4Z+HPCGBe5/XIpI+2zP0Ii7yQ0ZvUslAGa ZIMO6rXRZWM0lPn4/1KIHpxnCmRwhqKAGhYrQHhkL7Zeg5nVzHUGyDO/698ZaNzkwiys4YOn9Scw gvwwVdXPLkM9DWk9QtlGDHJb5W4zEofeGWi3C3ZL9S7eDE3syc4Jfo3POwHNQoXnpMYJzDayzVAU UENQePTlNUXQn32XUaBDwn0AnWiXRfVo/t1n8Yztg83Q+Wh4OBUZxqZzXLLpgWIBCq1AMTYv1svc 4Z191iUyCJ1VCmVy0ATltofURPdcn3fyWZcisiaIgQ8oHryiNUHv8TSOIQo9ZCsrFpq6E/4Cfv1T OPMl9B5P+0kNLS8fPFzMUKXBOQfJvjWoidkaFYnZIUOn7ykNLb1sUFgkE/ZEhsMHndSzziVV65X2 5515hr81fx9D+HGqhOc5Q2FAXXAMJZcPs6yVoHPmzcpawSHSEnoPqCU32CDQk3zwZuGZ3tqk+VRA pCpiXVFJDU24Doi0q6ssvWs0QUxXJcwy0Ol1V+ZUGytpJ9fZn3fa2a81RKlVtKDmugd1WJpGDauv 4gyPvq9BCBF13GS9OVrhnXSGt6cAzUxE5XOweBE960wzAwJfNu3GTRmyQMSzzVs7o7rE551zduPF 1JW89vQwhXaXtP6Z5M875azLkMl6YvKjKRAQ9az1nXHWZaKJqquRF2GH6wd16l48ayCFaZe9R9TZ 8FOLbtQabA1tuF7PpspHQyVcIvX0m4dwEZ50sz2EbZ93vhnXENEccyUfNEMJRH/2ulJen3e6GeEK vsk2A3F0tNZtOIcRdW/X5rMD1pH8nF7McwTmQGFOHec4osYEsbNvgy2hhIB67soJQp+kqLVIn7sE BON+sFNEc7yTzbpAPdhddfqglANFXZ5C5+DMn3euWX9Un22PIbNBLdUmKAR0QJu/E6elFTT9FDKj K66g2eycfmea3ZRjF3bHdrndMbBEFkjmIpvnjXo15xBFIbBgIPREOhOKi0XvGk0Qi4sUFtFgKcdZ iNIN74Wv+L8c09SXwqKyCYri6UZPXVK0qM0vlUcUF4f+CQFD4J1tgkJMB9V45mDSOsdNWmEUXlOa 4nSeueuJzaIO+8IMjcTy8BUp9PF555hZLR1ojlV9sCrh+f1UOjsH/eedYvZfpzQWckn3DHpnmOHs YLAovXkJq9/yNAklUsCCDKIm6D2c9hU0N329OfgKWtApQi5MZ/hm1GBgps8ST0QcXUFVSl+888u6 1AYQRvMo2h2HDWEdMLHvTLPmSW0+7/yyX3UPFvDxPBVuNUNROL3p18hUiAV8VaoxQ5VyGwRMD+qD ooD/zi7D79OtPl19sBlaMPxM2BB0/OwnnuFxhYp/Bsr1Vj06U9Z3ZlmXdTgOZ1aHOHhZaA0dQed3 +LwTy/rDUbQFhI56enbYeyyNtjWxv9UXUL/CjlMSocSTg8qqBRQVpxPhLLORvcrBEXc8zCq1U870 nPtNej2kqoG9yjtJ7hdMlz/vrDIs9i1bb5Kme76k6ZN7LlNR7fXzTirDSkjfpVc6xkLzziYoiqUB mzwHTzOjtJWKNxFHH9XRHeAeMmF9p5SxOcNkY7D/xcET1jTgoIJKBiPFtagzmyjZ04he+hJ29pcN 0C9F7her+qAeGQTFTK1w8h2DHTbarxk68Vi6RY93QhnTsQJVZ+9vQFLIi9PV8QznjylmRvjOJ+vX /WIsGxjPs0WGOmwiieVM0InvpHy9BzMwwCZp162UnjH4551O1qVoD1KLJmpAhpvBNDtuhN6fq7x/ 3ulkbJJ9L6LFAtW+kVAUTDceQvRwYySUDXWPUDE7QnpCM0ZTFFanBWidqfjgtUUUJUolxRWSrF31 exioISRAsvOU7xkqvlPJblmIFmIYwPCfnKG1gEJCJePcKJ93KhkrM98X2WBzLnnK+s4jsxB+NFYb WDl7WIkQbL9Ae7f2fqeR4e1JJB9Wpe4PPLEmaGYThVX4U8vjOic8APo6sOS+hoiieieR4ddkZ6PO tHywstBZp6q/n7/6884h4yv2X/cYwbVev3+nkOEcSqTXJUO3znJF5Vp3n/gTDNO5GgDFGO2hwpma iancFlA7v9I5nQnCK2BfUar3/OqLCeb5eGq7aQ11osffGWQ4EkjaXIXU1iXdE8I9zlXCXn3PdX7e CWTYpBdLZSbFQEjfGQrhHmwBUdOFOmDS2msU8cdhL3V56GZWzlAUTU/16AsTVw6OcF04hRJZCAW9 oGQn9aSkLCh+/XYRgc3+vLPHuqyQzsyItslBRY+2QDDGH32i8s87eczKDpoPtotwN6SL9njnjjGa rnQmUGds0OWM6mhlS0N1s7JYjKv5Th3jacYbfLN8xsGr02n2BikZFvBhv5BZnQaFkMYROGYdA0xB pM87dcy6DZD94DHEQVfZRAIoENlYn3fimGF/vq4y3PP1QqreeWPd5L9MVX5Qmchw4+evSeV2Eas1 yd5pY9xkkgIXXHHdhOx8HtYNjnFUpzd69bxBiMtDqJoZ17rf3tDLBlUP8sdPhJ590AylPRKrvVAf /bzTxn6Bzi7c4wmn31ljRGLBNKV4dXpQ4NQcG1e2stBEsi2cdFidJtpsJRZWOTiY4dwEc006D6d0 dthg7tYpJ0W2IlIopay0oP68c8aenB76czYoI9vIY7gEzm/weaeMsfr/PUGU+EO3wiYoKk5PMMaG ENJo2ncSx8lCaMN6HXPSvZxLKAyoqbZLiV4b/CJDyYbFW5JYFyQalLJCKB0X420AUU7l804X66b8 CcLz8MESjpMGF6MhjM87W8xAX88hBMN30//m/IRYD1h+tpZdoyF3A3gUJk7aYrirbX7CeJrX96I+ rAavK56oZ7O3Cp3dk+RtntKDegSkN8yrIMsf5/POFLOYnfoV2QdNUD7L3uqKhY8H11j1UxqLZYtb fBdQFE5nXiTVyM+jlltWnNltiVAJcSZCGE6zWLgyAQ1LtGsuoIb9OZBrwS6lFRJQcBDh6od5Y34k dgfB9u8kMaZUZrO3fbAJolDWDzsW6/POESOgan2tIFQESr2Y6RYG0wTDkHElSJ5H0Ig0jCc+cRUp o28xarpya5GZycFT1nNWwLw6S/T7rCg2GxtAx7ARFFpIKSud7PAdwT1PmZgujY9+NT5OpFYkZYaO DB4PQsULWuSNR3Z/v1ssjKXR3NhSPWPVzDysoagzzRvlHJ3bbD9aVJhOVPc6U919uP2xsygHNF3J hGpLPmECWOGyB4v8Olcne9kgZUWoCKJG8UFLCCxSVi3qTnw8aNQXL7wWSYItmbMYoSWMpgsQDKma K2pp0wqLMDPzqtAJp63s0d+jaYQZqpvN5IOjYWiShvwDmDyiP9hLoysBqd4AO1swzbpZj4JpmXpv qTJw0Brq7A/wGhsVj0fIYJ+hpLiSlXOfoCiYRhY+KHSsulC6zARIS/gEjWmwzh6BPWQdtyrtdTjY BJ2c5+wB3Ab0/BywPmHtHqAIxMCbWqhdGjFD7/p3tkHwPQdNEIRnJMl0ThU8HlGivkNFLN9CzLsm KAymi+RHTNurznGPad95kNV3BbQelaZV9RjaQSNfNiZdbxApJjM/LwTvtQXuxknOugmgGZuXteke BdOTPVYQzzAUCjkx34DQKvsp0OzB8wHlcPavm4wa/jgyfY5CyiE0h1HU1V1/zj8X1YeXjOesxXGd PQqnp6gJ6m/0p79RQKWXtRUSjgQ0rsmfnW0J2/JSr3OsCcX2KJwuQt53OX24Cz3MRHMlehoyFng8 OKqTr6Lm0RBc1myGItrhyJNuOlIUGvRLNrMvGhey7DEbtauxzWKwB9P5TuvlIQEShdMo7p41I9Lq eTRRTD4v5B2Qi6GtoAtV2LtGPUSe1HDmxgAKESbopOdb9el+Tnw8Ht1l3+E0yKF158s8DMNp1IXy rtvDxfxIeu0rE5/py9D5OcESIrx8ZIpUjHxFKqAB09PJv7JU4nEV0OEDYKqGdxZsUecQ7gt8R3DZ Fyp5Ddl0DpeEaegCcF9DEBWPR5vsGy6EruAJqe4eC+vT0LfsgnWQO79N8hwX8ZIWE8Qkku2xGDuN m6y1dgePhjompVKLGQoo6gC1ifO6g2ifgUZ2rEexlw1OaoZDlWx7DYbKA4MgKamfeDyCnHl9ukqL GeWWee+y94jasMHn92wsBw2KNUijKqPiLdPYgYO48qiOIurNEn6Xz2d/fD5PFgmfgS2GZj2pR6HM R6KSPFqJpGRokxGVju+I7jICqhKZChyEF+q4+dlmrXni8SCpr980oMqZuliPHoGnuYZQUJd5JXjo EoSHzSgFc0mUAgqMp1CE9VCjfsh5HUSHHwYlSH1nAwdWhaHal3AzCfzRDhLEBLHJTiGskU+PsB5Y AxXaaXewulDPqvaeW3F/elid/tWoJ/26fB1DYUjdWJ4uJr1wUu7ipbObwE45JnEJReXpJdhiFvIs 39LZyT7qxJkhYCeuMvYbUyUQvVDg6YI9OpVzewT26NtgwcUHa9XP3YWoGql9eshGrPPXFCG66g8L OgqpzWcoW2Wo1pk9IFrWfYV0xzAu64gK1Lrsp9S75qPe1aDXhR4J0R4bt7JCauQZGXI50DK6BjpZ 7xp1gVgSAkLdBi2iNuR8jeMs4/EgpB6/FhH2RbtM6BGF1JCvGV1SZoiGaNIq/ZcrvDjb3AZbHHF9 WncYNanGlybVCRNORtBUn4aOV6YjIShBbPz9zIcKTRQNviNiI8o6rwwfvMXRBYeBQSkeD+rTt3TG yUSI0ZbXFkeInoa596omPnWO0e7YYOqkc4JOulitBzSi+nRmxXDNpo49Q92BFXRypxNrCWB+Zn17 alvQJ6+Neeu962HDiu8IcJ2NeywJzCA/JXZaKTCDYOj8Dx6PaEA35+DFDnr0qt7iGFFALUTVNse8 Ew25Vu65lbt1ySalArTHooB6MddaiUnHEtppMBrC74RykeTya8vqVzf8gdTvTBcOc07bga/4i/G7 k4wFHKANJv2QiCesnvF4dAh9bzFG+GvdMygKpyFYj7KB4YWAU7X5aXJiQFI2CLXh/ETh9CRMakjN g4MXX7GE2pDecgOGxPisaB4CDJ3BHPAeEI3PR1Se5iGEGgRxQ4jQ2DyE4kjdPLRHLhnPR2vouziE 6wHOIj5FUUCNKHNUFp/I4ujVfZeGWOOSfZvW4hhRQL2GBGAMh58uvBymBGelJIKnK+Bn0vZm6wci m7AP8QI1SfUjKlBPik+1yb3GwS4yHHuqiJ1wcUTgaZDXbIKYtmKttguJGSF6GinH3KZxAhG75ogP qZ00lM/ww2uGIvR0YTIGfL0PtoggOt4Gq4mwailrsJZNnzCIw//Ad8m7ZL3qXaMuGdBm8Mj1wTgc KallgNYSHv9Xi4Ovhh7ruJCYEVWo4UGOPKB5lywXZ0o1F1oc7L1rgqJ4epIuPipBm+Bn/nBBwwgU 0DIIT2OXncnKND8pdMiDmBQg5ddP8Hw8viOKhVhXRFhiw4MZmjp618bjEYXD95h1ZWGtku4SCgNq GFNWJx7CI9maQLh+um+y5VJU4++AmkwEG5wqhWixSsm0wGFLSQmZh+xQI1Tyk3prDYURdRdFgSf1 oE+U4ct74a0ClPPC80FiX75zDnR2ypdoTlykJoesGJ6hducjIm81PAP836wPNMIitQxOBTxrD/AM dcreYTtCdQ8gp7bsOpDF8bTFD2ll/C3dnJCQyFYrsQU2GEchd0lIn5+j4PH/pYw/SPObXhuaYY0a oCGYvGqGyIYzxAetZ82soxqldcZieDyHGi0COVhAfWYBhh1ELqKgL8RHrxO5Ff4uoEsdeMaUY4aA j2p4quKDBdRwShW+vDU8HkxQ+2bWQ/ukAmdnExQF1KAbAfCRmM0D/FqVtgI9mS1tTTCZYlI2Q0Li VlK2ig9ePNsIouVJXaCdWYcwQ2yMwAKjXAdBhpn4jihtZa+VJGENlraeT2Uv+kxQweNRs/67usjg c16i1IwC6gT/iSmtnMmMw48hVsyUtTaai3AFxXREpqtNnN/2wKcnEB6VGMECPVW62rZONSl+Li1x jNKa7V2DLcaa0IWdOd5jAIA0DQZU8Xh0UP+SDJSaxD2FZhRQZ2IXx3KyXR4Xge+qKOhGd9NmmGGF WleZdMvG2g8PCJbBjbg19FZPcDS1xxBCYmlSxcsK1Ihb8R3RISRLvHQHO4RO9MVDBEpVePxfkpMq ZpPYf/dYFFHDLgviFd5LbN2VlFPfzkacKGBrCUUR9VDh9YWvuRPLhpqgdY474fIGFd8ShPEeBD5+ J3zHH+IVsEWrPmiCzkGfGX1BAw6PB63Em5Mxf0MG3C5wcYYFaqpOXnzwoL6UePX0mtcpTTIKJyjC e7ijkpzf28OKBjEjJ4hbooJ/Uo1BBH7q9A0GnRYZgkWLLC7OKJ6unRpDRUD8K2TCLS0W0FnHePxf 0aKqr1DuebZYBPiAvBS8xk3co1K5R8oMO5lS3mSNghX8GRWol+SXinZauRpDQL6dILBK3CMD0Cnb DmnOnx+ehF9XUC5612AFkWq3FwUoOVjZo02BuOpcDY9HuMXfrHH8EOPWp2dIRkR4afrbnX6KlzUu jTyig7e7A8xQJI8lM/pA2uD32OoQ4KZI3pkRZPWyPRzUnkXiOK+DAv04ZlSfLkSbAQHsg6dku0ma 4Rx+eDyYofQL0IBKzOpeGJoR4mNS9B469EaJrrfssee15EI4oFM6CqcFJZuZpzQHr5wlSJWvLivF OWYlEabR+J0+CJTJU32aMlUziqYbZwYmTT5oCaVzXsrV5fzBeDxK6n+VFlGIaZdVP0MqIszveud2 4pWfrZ5YaTSrCVooWusQisrTg4IDq6guVG4zGiC8cxODRwwWx0kolNx2ig6hsAnlbKci0uF9hlJ5 i6kYtBltMMzQiWXVMTg/CB4PQsX+jZ4mi2NeGa8VxdLodOJm8Tbi9PbzieanzdXJ9Ifd8yvCe2iC RmNCNtpNyCAE0LJR6s5hBg4ifV8aVjcyWeZj39LbKyxO8xrbW3iPvW4jOu/BvtcJ3Csej/RzbhuR CfVmV8332Aqr07UwqU9WWmQmxT1WwR+00hnwDJKiDAEfXXDNPX2wPXbyVxRgK7ONhN4zoSFQkERe DQjqU52e9q5RdZqAjyQ1wXTZrCdULFsV513xeAQ7+9VoRUDwoKdXKC+N2qKh8Rr17pv3N/q8/Q1c MJqgEPAh8ERaIkQr+FdtsZPe0s02adNUCuaXADfBHAVFDOuzAvOJ7/ircAYFbx9sj53b0SZoDTwe BdO/RKqwK3C32ASFXETow8xZq9fNpl1jqOfcfHV6+X6F4Glpve4xfXj22KrVcHm7z9XZAhGbHeZr BXmRSeUle9e/lOB2oooXB5ugtQnGOAd+3ng8ylcv106RM1A668oOrFBlGsFirTydoTZ0U3o0oQwb c7KHbef0CsmIJv0v5G+/GuUncWonhJ6EnZUzMbUqWMS+pu0dlurtRE+9a1A5mzyg2eHQoIwVpTkB 89ZJN1Zcnf6mATFp2TcUWlE0Ddz0gFeIgsVCsU1J3LcrwmQu5Z2fE4Ff5W6jwyhfbCchHsAJURH3 pCF50W5j4cLv7HxCocFtJYk7WxHeg4AYdI/voHA6nb3NUxsUQTwe4D1uOE0nQPSO4RxgMxSF0zga QVFwTJWM3CaRi+MSpRjUcZeF4TQReYilfLgJGUAeQ4KUZZZzKDGnp0wU5L3o5mOSwSPrXYMJ6pQW quJL1eIm7hPynUyyUmp4/F8yVdJm/CXtsaJo+iQ2dCSTUcsYNF7lEqrEjxDtQcSq9liIn+5W7Ug+ +B5LNZ00L0sWN2WkNJggqBhWFhnXxU9LHWZF0bSgnWOR+cvBEEOlqcFx0ia+YnBO/1KCI1VmXTH3 FZMRUeGdycyRhiqMUvao7t1KMqRWUFycJlRoyQVhtRsLQUl6oauByiukgKD8f/YY8GWNUELgStVn LfRExZcERhKLuaoQ5vsizEeZJO0lGrHi8ahT/4vzi1oLeMM2Q1E4DQDxyW2mx0Jru9ziEi+xU9mj ebQYK0+bDmfxwWMhSJ+cuKrwFELmQSvpiTXOXwYNihsMjaGXjVpAMtyWX0u3L8kAGgwFMzvz8fcZ WvlX4YwWTeuCGXYUUBO4eNaLK5fTvpzRkBQfTZGymfDADumIqphV+drVfoGLCQzxszS3dLzAgJFJ MkAeDIkeUVM5Se8ooG7EB7dKDSYOWkMzTQIvTvg3+HjEc/klXZFYPPNdtt/jaTyFiqt0BzBBpLtx l9XkNA6gPcyCbIfaHjJNSKP64KCzE6GvJdP2WtJJ7zCHDZVoCJAAQPH4I1P4focAajpt97qWDzqG zsLZ4+ri7vd4OtFX/kEHE6Dz9Mh2CKBuoNO7nvJEfmwThB3xY8R6GEBrgqJ4epLgMmRkNx4ju9pO 8gvNHLZZW4IBvcr2QL3g/wxlHTeyw0LGd0SFM+SsWVwFDgoXBxr1plGV8fi/tD1s4f3wF7EJCsmI AA624oCqvrNhYCBzZhnZZOFOExSDPcTfaHewFXRi8VFgDsaE4+wGXl5n7XTqUO4f3F6Xi1j0rpEg Jc9niXjtK+J19ppxsMuJ/PF4UHpNfpFtS1kxtT5BIXg6AePhJTLkZpfEcZ3aB5RObYLC2jSluYZC 5eElG/pFznzSvDxVNztRNKFXlXkVkMj5y82exfsdi3vQ5E8chXk5CgW3iKLhk9Xj8QhQ9RvrQX1U L97vUCivl5uo0izSMUJnuTLRV8rqOf0OsR6iSKWaffAttleDkQPR5ScRn5vl60qsB7DsUCTw7ga6 pviOIBQi8LVK2bTu4hM0SxEGEMUiPB7pC30jGYRAvXiqHUE9EkKhVUr17oYfPBU6LsaUyumuoFAo T1qLS2f1eqAeqcM8sEm6AmlHF16ooMuDkIm9VvWgF3vQO6pNF1Y7KMVig22xjGLTj3UQdyw8/Z2P oYcHzJFPUKjtAc+6MpzuW9p0eRgScm2L4cbXBMVQD/agZTo6ne8OIhJF8nIW/P4sxsXSdKOiMZQ1 eveElbQlvmsQKmYRE1RYzLewOAGdZWxzziU8HmUb95q3dzuR081Yd1ibJl2z9ep7zJXNypTeWZGF SzGTkn9gp5d8Atajfw9zYjgGNDE44HAjZ18grBJAiyldPNWeetfoECJPU8DgdYHBJ+U7R79maPHx iOLyXZvWD7XuHouCaVAJoIRrdM266vYJquU61vY7QRHQw3TvpTowHtUBVGrmUhsDoEU0y7T7kQ+B P0WtdteibHrXKFKk/FtnwDi63/MVji48RADVxeP/iwoc/AHyvpFiTlEsfTIZ1OxZOBt0q20O9CjT O2Rbvn7QLk9hME1xKtShffBY8eyNyvzrREIVtLSufANClEAAIve7cKHlrxuJDPEOk1TeBBYiC/t6 Nu8gzRgijvyA/wXbSYvdfW97QlqDI74zbyUnEzUQ9Guxes69j8IN5SvO1ZHNajzFITUzVmm8ty+N 9/MfJyDumLiirrioX0FCA471H+qcehV/+OtGnDs2EbOO7fxY3qCERmjeicX4fJB2/GpHy7H8goZo vBw8JtHF6ZgPFoXQj5bVKRdTvSrdOYUoahN/zd0Hr3/shhaQFJbrPnE2CUGb4TWUcuF6dnXMq71t MEmUY9pJlTTZnXAtrcwUmESxxQ8IAqNby+csoTCI6rXPUlSrxmNjECJJGYvs0FfgoAzjCetrN4hO oa8Llbpxo/vgW+5cZqs0coIqrkhiQ9oJNs6qgzBD5nbzBNZfN6jFLlbQdqs+6Fza6LbyC89/wef/ 1TRjMRahVl/PjosCbAQIJxBajkBjc8WqsSZEBPDHdT9OobnLluXousMthIxzKQN3xrW0IUaNtYS4 Aw0jKOh1LxXhQNXrBph8sewHkxAOqoScBKjzf0HvgM//i0tutA7Ufma/0xQWrRsgko1CrxS3WHYw VaLOM4kdVLGsmqa/q9ZnOooPN5Xt6XwCYednIs5FnCjZPVAvgmTC/pKIYwxAKZf3kE6+HIM1x+1e TbmfmLITMgyfZT7/Pkv1yuiJnX9+UlnDa5JC3Q/UQwrUbVCBhaZhVy0Wrn1GNzuRWjGma05RrD1V CdmSidvracGmhV4gFb4qiEMEyrSlijniACBXTKpyTHvbSKuS3hyTioMcDK6HBuNSPquXjLicvxwW 2Pu96UhOUbidNl5V4jHqD1kkACOtbv2hCQdgO7zD4vUWjVMBQbtqlThpIEkjXWqg2JcEiDJ13qDT 1DylLSTX8UsixjSxIEmTlLaX98+6HDyi+nmIz0dtxu9JIjiprWeSoogbl/L/Y+y7kiTZgeT+6yxt NGix9z8Y4e4RyOrdjJ63pBGP5GRXFgoihIvBS0dokLG8LrJaumgQiB/a2R0JgBRJMhZV+Mvj1AGV bkCEswojFTI7yNoQJFNdoj4+2uaDnEJtauIamxL/dhN/GPFKceck85PPB9utfmf+aDz2fjkMOYXw 6g4uFVcaESHVE7cKRxbLbBOcZm2Swho2wbFNoDMO3ieCAelJWossgxIU89lqbHIM/+mUgK8qrxV7 26h8RIWdIR2Z4VX+BuqZlIgGI8rQ8eU3FYYg+NsIyaGDIoAYZ7uZnDlY4e2upNq9iN1c5CIHFopg gzGvnUQ3cnCALNCN51fYSm8TEjkGCoi/oL+02I/dKtJ2e9uIPM2etYVM8wYB5xfqYifQQzE0URxX CETVggHXmRsEhC6KDWoyZvZSCRFxJRDA660EsJIrfuXARlHGxZidsnywPDvvk8aiLc5JOldm5XR2 yO9DylLgIgfwJXvboBvCMhKqjj4oxd1nrUoY79wffD6IuvO3SgFddduTm8RGir0ztTU9GeIiLclV 35pJLqgHsgkMnRQXHbqmnBc42HY7/x+j6OhHkruymXhl2hsBPFouJ6avZG8btYx0JjWdSZSQ4k3c VAg/B3cdfD7Ic/d3agK/2S/lrxx4KZ7vtiAHUr0cOcEHtzOp+iRB8X77JIVBN8PJ1RgCrPZUk9BT O8GRVHcyarbckZ36FylTpvFWtNm7zoGbIrYb5S7QtbaBKwkg7plNXq/y+WC7LY+5Kf1ANZuv7RYL 7KHqLw3dRv24dGu2yf0U0/bGWg4MFSEzykrSIAeYg2P56EtdVJNssGXj2Z4XSS4o5ZHH7t4Uw143 aPHLgmoTkbU33WiSHFYrOyPglfD5oEE7fwkW4IJFq8FnKZKtlmJBxbUiVW+X3IE1sGuAnWPp7rcw 5DahWPUf+9N/hD01fAMJuz65bRbDqmBxwZ/tZ30dStXfNsKryXdb8OvcXRq+1qIsBRJRfP5fkrpM jjFZqBn7JIVExjTZeHRN3eFShGCYOuhxo6Rh/rcx9JqNs0U6LAeLJhFLtr05SVD7PNMEzdjZIDKL plkBnO0y9Yq9bhQpMcklmlSDCia55zTNYWDz+eDoLt9BAJu+4yro58BbEUc3CgBO8jyRazZmDKxE TIT4vHoaPkuRF4wUCWEk5IOXlU6glSXGDuTjCR6nIiUE6ZQKWekRLqj2ttH9xqU0hFAfjuyDF1g2 5zd7yWApXUAEgwDUJNKV3MuBvSJULdlJ2kZo7DIqJzV/FXcPbEQDa5LCMrdoDtpv/dlvJ14/aXql 5QHsYKAhn9TOPnPFWlh7ZAlnHfa6kVI8HbvaMyiePBfjniZfkPl8RLea3xcc+luA0/sshTBsICAb BXyRvvXCWaJ8LCGVhB7J+JyFgNhkcZicU/bBg260bRcieCyldMLvrpZtpUE0KHv1caHM9raR/Kc4 exLaHa6kclLnnnl0t/OT8vkgM/lls0hx6PYUAkKfRRpR4kY1tkN2QX3I4l0AW3EZjBwaLQIEQoP1 4cMtvZ0MYFHap5AHlJkGZ/LXAGZmO99tBLO9bVAImIRGFHkql+GlfQRn7J+cHznz+Uh9L33Fk4W6 I7eplEOvRaQQ46RbJtXcWx1eeSvbpGcmoJ4+SSEcW0S4tLcPXsUtMM5JWZwQBE2mGL8QSqIClW/r drHxlkOzRbLQIYGyfFBJaexaq1ZSmXz+vzCIaaaKjNAnKdQLAbNoypgKkLbdnSC7wNnkJC0hLTRJ YdAtRacsA4I8b467EONVq7uddG0B19KIizk//LmvqArMMwkFKHvbv0A2JKxgOEudrERg7mYlzgqF Uf6BCGZzUxPNaUacfk+lwHLRFHcr/Y8wTUUwCRSVNg41Vd4SC508lQLPRT+V+jQZrP1ARrFsIIuB MODsvYmyZwPJ4qxdInhmHjfNrf66ARCgmM+ZnJhYBySLDwWpxR2X9Zb/Ut31JhyN5n2WQp8YcGXb bN0jyuVxd6HWL9NcJnOKuwPfRZFuIY44hw9e64b2+rnvmcHNDbVUFeloIc6eSbut7rnsbf9CS0DP yQeluUg3u/DrKfP5qCHgO25bGAAh6TtJUaW7FXZws/dySfSWW8wuDgfY5OJqkmJEiZASSvQf7Cgu uHFWD2Ml1IPPlUJpWdSzCvWL0A+QK5wEHXPovZipXtSEHW0XOwpNrC6r7hO98vlgJZXxFSuBUSDF BZukKOwGiASOMcOBbcOBbXW5Ei/iSTfrDuwXHT46RaWZD5WGQNgEQhAlrmFRpjovcf8NpOLh+Foz 8Myh/aKUMTKSYxs0SWcvSFQbInl8Poi6n3ZA03GG6/XZb1GpGy2hUboDSEFR9LKS3ISJLKGouWYp to2hvkpNd7BZ6oDVtiJ3uIIEThoRVEwg7BX5mGaJS5ofEsxSNTJW9cH3m0mlF9beQg/G3zjkjUMJ B5xPUhR2Q/gNje7p2InevazUr0zxpnoU09zAhRFQOaW5tfjgk1QQAwxKrWQKpNAfpNHoHLL8+eJv Mg16+SHBDccu5bnhpg+apLNEBaUA+JbPRzIZv4XnIA5Y17izFIXdKNQ7dV8Ik3khOOxYapZ28VmK w24eyGMvH54MDg66ombVvim+AA42W42uczDV8q7d3jZYSpvFN7l6ckhWMalGBoMkeA69GMe+JGOG 7Lh20Wj0aQrtGNkSmMvFw2a7nqc9d+sOrDRdoC/HfoxisEmOd37J8cIXDXIN5PKns4gaE5iGOnvB 7fYFlyRkO4d+jAopt7RU99VSBTtlEcDdTibL5/+les1iQE/fQpg5dGTclXWl3Ly3ZK5WMAAp3lsq xNJpjqK4e5H+OdMePjha6exWJFgqBiAbZIEO4D28Hf75g2sf3d42omgRj7zzHVRXOknVVCO2Zb3k v2T6VHzDG1xbzxy7MqIjoDCf1rCzu0BW2smJfpIGYNwd2jJKtbgNShJzsIWUIDrb5IlWGyrwCD0b pbiIaJH2tYHb1fAOjRl5cZ/7hSuKg7KTk6w2wuzaSIPPRzJi38Z6FJTuT/XtD2tGUNlW8kJuGY4t za05Jzut7BCT2JtxMVYq5Q5+KgHBmYkDBCwQp7iAOOghgPFHQIRiJWic6m0j0rGkjRh1V+nKU3d2 brnFnk2hl4z22/fZXaCw0K9TQY7dGaE2NboDcMdzJlW4oZm8CH5BW0qxADbXUJcaVL+mTai3nUSU e6gAJVMR6LcGTMiJe3FKqDtvHqjLXjcqURIWQM8uDd7M7d3I/WjmBh6N37xR7C2qSiHy8FkKASYw cGtE+lB9FiesEl15mRL5NmlyxAwudGkcRJY0NZk4XMrWWCe9ZW7SoFeSuihb5091ALmBerA67krd 3jaibE06oJbug+233FTvqxslk8Cn8bvazZcDIPTRE8uhUeNAbgJbCpUo215GqIUws5coK+hDNkkR wKSRXNv2voPvt3Hy/i3xR4A0ElQxz34D2h2HyQ9Art4SWN3e9i/W1urqePfb8T4H6Cw6Z3gohXDu /G3VSLIebiWfpLDYTSUN5WpoCaTpNnutOQ1w6AbXfoujbkK5IAdigxffJoBvWfKPC2DSKoJtAXIB K7PdmgnPLn1KxGMnI2lzsvo2UZwMEsvgEXWSk87ng/02v1veik+vkVwO7RphDDuAbFRLoFLPiRWT E4Cbes3MoOTbLIVht0ol0guzTCGJ6w/UUyMBELzoguYbTyWsIpg48ai1GmVv9roROtBCyeWDNtz5 G0sR5V6LzwcRZfZZqgofMFWXAphDz8bzJdA5IYWNVuhMaYl7oyQYdxzq0AbIDU0b1Q+pnZEQB2sK QE4AdGpUcuEyQ/mI8+OTJg44a2Gnw6IlUpRyYNuIwLmrWFJ80GIiHZzAt7P3+HwQB4xfPEAkSOtK s+TYuBFd79raxU+4KlQZ9BwSQeA6qeTAuRH6Cux6d8YBHJxDscdZKaSjUk60TyGaUGmnGBJV55To si3FD/nj8C57peSD4u4zRWlLchVrKbBulLHh1+GN2qt0mDRJod3MBosiJXdpnss9narmi5MEprJS uNC8UU2B2eVD3B8f4klOSKOdSgZrbDeJrqLGRj12+XNxkhQsBe6N2UjJS0bE6zEiRqKoRLe2wueD G679kmIDOpqyrzZJUdxduerb9DxXOpmUhhdxu9DgkqDlrj8UBUviUPQ72OGNP45oidW3c3PPJXgz I3FkxxShN8qkqm+hgSMu7ooEhPaE6lsyWIJSAilvJxpZ/AMRPPAXYwkkqfIUlgIPR5xKQJmMZvR2 tWmU5vJmVZo7LrQ7NnEUFWerZrJvzQQ+r+f+Z1AK5+GTuIsJD8JJgYbQvp0TMqf4GVF7SQIkq/ug gPIcZkuihQPwidDE8TfwjfYa9QG+BS6OOEqgJkNWFMOAUlyHZKdSHRkAiRpdcLGN41JASQYlBw8D 6gRSQD3vE5pCEgNp+AQhEDuGiBGT9Wv+tlHUTUYXLYw1aLvBpYTkDZCu+HywkG7UrSIU2kv7maSQ PTmoRWLt7ZHp7kVgQO5+hkOk3gPKwMoRC4nLo6hIW57tNlHFTSjyoaoE3UwJJbCcC9wGOD8X+Lbs bQPqkpnP5OyDAspx1umU5E+qfP794F77u9g9wGdgkcImKbSf2eoI2G6rZXo3d/ZVHUK5b0AZ+Dlm y3LBaffBVxIUxU/ESlj3OEsMJ8aJVrEOBvqiLAg5KYfibDlwdMzmx1cbIcscNEsLzQTxlKuej+BK 4yuBywBAdl68Nk2hxF8myMTOn3P4DMdPlOyFb7iCej83cHWE2ToLSo36dBz8foO1cd2Ncfc5orIE 2ithbPA/7g9mWQJkObB1RHdJnKVdfLDi24n6haOZ6FQGvo7fIMrBNBeL76LfPy+BUj8f+T+zcJcZ 8HaQ22j+GJIVb+xTuiDAS5h0/kyioAW8+Hhsz+fYPtkrTFaQFqKodALAJZE/qIpWgG+B8XHpflwa n5cgiW8qmcghb+JxvYnPe5bEz0Mk/3kJkfiCWxqg1IROUhakwRe1O18CJE3OKHQu2g4IWMUBAUwe WQI40UyyBRRMTtfykTv8dC9B3GknNsKpSKr7gtclT/aKXgywcD8Z0Bx4ujyY99fZQa+ERZL+DNYr ATVFGiMo3b7OjlDOmh1mZ4Num8tm5yUy4kMITaBNa0SlNZOLsI7sJtdLbWDMzktcpKUz6JVaZFZY HrPCAZeZSZ0x9AJamluufYDMITss+RGkJ4z7JSrimxJIUrm2bdDkzAYbOOb9u31eQiK+4BT1E6xN USbQQkK0xtl5iYi0djqlja2UjRrblc/M26VIEi4Azs5LPMQ/I+1DkCJ98PwjQdBJxBuwAzFTKmnj u6JQMK7rt/CkL+EQPyIL1tYJSdoAExLVgBJOUXnsnGL58xIN2RedNj2cVOAlOjIdzs5LLGQ76+wn wcJ47MwrkjCHa2dCKsb9doO1ow7blOsuB4fbQpllSPfwbCuWwdDRRmhdEXvjNPaN1ej4HR07It5W gYiqOfqe+2MW0qv5Vz8vUZA9Pb+OHfJJEYNpcl5iID4EFOXgrWJF7OLJ6+y3HwK3KrMBDSZHnK0T hy0fvJN9Qp0Mh0UCSOEnqnZ/Qn8Nvb9MFDqvLXQF+Krvs7MYADXB2doDZ1tn8mVz2mr5vIQ/WgVq UtAxiuqwP2zWa3Zegh+d5MC3rD1sY616GyHgKXunf7mS1kvoo9lx9kj2watEZ2oSVIq4sc68yQ0c Dmqo65efRSF45axyMIg2VlZNtg4fbHJ2EanhREDj8xL12KHVvm8sbCm0wDU5LyGPnckUjPCQhwqW VmqkgQLD55FcqO4l4NGZPOXSLDOV+jhbniCqwSebBNt98hbJk2T2HFErz+mxu5oUQHqJd/QDEOS3 t0Qh97hIyGSwSHQwPy/Rjr1h/p4dFMpZq+PsvJQYdc1VZvBOParktagqtLKfOps9WKqJBmdyYn4+ ch0++Oyce+osCYrUAR666QUGGWvwCsFHSvlSRjcP5ZfyomaHDeuu3gcHaw0NUkdwnxc8/T47ffva GXZjgRlts/NSWdRyRZka7GZVOrIOIMzO7snBITRElGZEFO10oR7YG+Jw2x2wD0W2dY6dflLtxGM7 sV0LvNy5N8qFZNOk6KWqqF9gkW2cpPecPNpBdCVfOdR6Py81xXs1a3YEx0b/oPvaeeOt2U0MsZrs 7IcTOzQn0lBlh0QadhmN3BfNDw/bmesdLBoEpH9A+JedRZCyWCxL2Cho6/9QpsclNbLeNVg92lST DD/rbVAGAWZK/NJEzkTB8lLCZZuLzB4SUjVBUbSMiHHQgEJnT3WTK3RMXZQf/RyHq4fhMsuIS0Cs 1e/JfLYWOOX032kzowxE8bVBFWX0AzbZ/VX+3lMvG5zNhdCrKlGn6qfP2bWpLIlcVz4eBcweE+Ln A/uykdujGXqPmK3xagUx9M2IMVd3Om/bYSe9LM4PjULm3QS7MkfoL+8U9PMnyfr4nwq2KNkjOAFI Xy++w/Ka9q7vEwSoEyRo+x20xc6J30lfrw1FsvegGdla+rraEVS0ck+gN7KaphUT1KjDY3jQ5kcQ 3VvEMgaFQ8XWKGru7P1MAR7nlzTUCb5Pxl6YU0D6uVtXlkU8KWFc24KZBXGIMi4iHIb0DzgY0BEw DJ3QC52N6BAa5TsyZOWy3UPoPW4GWIq+tmxKE4c9bGOBEuJEtQV+k9VZowmCocNIlIbS4EnXTtCw ZHXsbLAEeSgGSMh6wHzJKMMPamf1qYrGnxf8FM2Yg7FmkpdZIXD4RlK70dPXIURMMUnYmqEoeIZ0 45jZJdUnu6QSxGYRkKfQapc08x4947hXx6dvH2yGQCw+p7BmqEG+gW2PQUZPZffnHtOD9jtvFDXt Y6pmIZDyQVCi86aDrCBEjZ83hpryhJu1sy6GpLvXGz+/MdTs9GDPvrlYjbR6l9Bwzr2qnR1ElFij CJpriOgzH7wqVs4GGEObLEPbhSn+OVuJ4IDye7o1H1EdoxC6KSlFIdIGC6Ghh6MgMe/PGztNa6h7 lCgMBAJywCFshqIoetE0tly7xmF2YBnnq9dXN+yStMuiMNoKP4w0NNgMpdpgjZa6NI9A42ciKR9L hBCUAjGxA1HU3+NoeEtKK3xPHwzaiNozY71z+n/euGn3ttUU8d9CDCU9wdB7JG38vVZMHPNMynaH +NWXtX4grmUGPG/MNL18tcXTfHCILNoLjRRY4InOLSeflcKG6/D26vxqZbyH0o4fmpPtHg5WWJ1k viUySz9vtDQFQ1KP83OIoJh2j6EomEYUfGLEara6K/kEAZ5pggdzgymtYyiMpnn8Gl5xPYTijn7e hMye0HplyXGubtKIT+4IapFjiOfUu0YThBVUIfpgg44hyLhOS6/W542Spk1W/RjC/6JtNjJBKx5G 4TR6r6OSbEy4R9omtXIOCCspipSgFfRGSPuaIHQrfLAVtCEjWmnvCRjsokYvkA4IbBq+Ky0BTTFr 6F2Dc5pKtIkgUQ3aYu0E60n8z5I/b3S0/5WNiSPb4LoxfIKicBp5pbd2+tU4pBItlSdkULSaFRDf yGgWyMk2pW0fvPrcThbWec7DZ69wOyPfQHqLXkkmOsUpe0UvGyVkxHcsshs5WJUMeitshM02P29c tP91k2WrIRayiDVDUQF6Mp1fllsM6ZdaG6w27xVexfA3Jprl86Tr7dx98GO64BYbSQC9c87AI4EK UBUKegRFXcVwqk5/3phoXynZuXbv4NFQbXY51fZ546FZPP2dkqF1TMdlm6EwnoauwaRqidDnbGUg GAJQxWplmbEMN1kUTyfVWdfoPvgxnTpqWXTVPbOTikycE6nGU15g+cJgtr1skJIlSonCZcEGndMb LSRmEecDPm8UtP9Vh8Z6G0pfPGl9Y6BZqsuGZjIsbMtG3D/bYzjvY8LcQ1f9GwHNsgHe8SnfwbP6 c/TkDtAixNZACWRRLaOG1og/RtPHdxl5Q28ENFsEBObjV7TBwFTDdPvqObA/b/wzXbZCnt+Ug92T 7DMUBdQoV42+h9ufFxbNSB0uV1OdzCrtsqgcLZ7HXCp/rEtkPGuogE9KIiNEP/fOkgwHAANuC1hM VquXtcMb+cyCdkzQYoVNgyYIcrns+pxbYX3euGe2zD1pZewsF6m7yd7jafnUDoh6Wy+jpOvWWD1p HRANtCX0Hk/joOYElX6HW5E+ScdQvb5C4DDxLMfpBGsZHNRUfbLK0NLLvh9Dsk/ppd5BB/UJQCXp cr7x/rwRz/RdfyVl+HEq9Qc0Q1E8jQMIaljulMZPEuBcmhjMWrs7M7+xzvTzUuwB/GYfPGtFvZWF FWQcQMJWaUOj5ooGI4puV1xl6V2DCZoMEyWzhnLVNWaGKpVsTvf+vJHOtIby90HN23Xuu4aiynSD asj5CMtagQ+zGaKCjjYZz2zN0Hs8jT48A+lNuae1H7mniarQWUjcZNQuYOF+kKhNu5V5S2eDDbE3 xtnt3YH50rsP1k2FAQFvpzPJnzfCmR7/VVyEfR54dT5BUTxNXNAUKbjR42H7Od2W98RA1LBN9h5P ZzuF9iTwZXsywMLHgis5wcFIOfB/sIAN2Al6krBzc7M9fM3PG9nsdrQB4RjbB0MqEmGGozfl9Xnj mhlU4Xdajzt63XZzFE+jewZ0oh3TWcYFU9X76Tnr8rrHG8/M8kGcLWaDxsEmaJ1EfnSzHW4bsKCl kBuS2Mj09oObIpLjjWd2W1vAI9DDiYMlHGfJZuEzRv680cz0iutXwoFjo00/hN5YZrZssW5o28UV NLtvsczaha2gbs2fN5KZ3WOI3zP8RGywjGyeIJglahKEMy73zDmEJlUmKM+YTKgtFr1rNEE8hFzt uXpOf3aL4Ly1F75idErXX8HiGff0cPqNYWZtZySqxcAJY013djh3cjevvUVhNk1QCOigNsi58KYP toIYsowuZwcoXwmcN4Gig9cqTspbOStF7xpkZEQ5cJHboBU0SRjhCup8xf9ySKO/XtI9g97IZZog sKfbGNYga0IpUiVsEt1B/atVLFZ845bZFltcF5TC5uArqPacqYdFEzC0FhVOgj7RkozvXEy12rv+ kW4g+2XKOoniVL6xttg1gEF+3qhlVvXoX3sMxZdKdVvNUBRNg3YCscJ2EeVev2+Z7TIgyrkCWL9/ I5bp90Hn6+Rd2EAafIbGBEeYuESwr7ABMYmoE+Z07tlb82CO/HkjlX0Vpxf9lDU42q7r1jxLfn7e OGUWiezvBQR2Unp22HsojYsBgHupggpLfhcQtSeEJW+Okn5jlGl6tIAQUNngaLvcz/KblJxHrxZq NrzlEQ+iXgtaoTlfsDP7eSOU6aKUzuygcDEHA5RNKVIzQ/+88ckMpZG+cw3E5Qw1NEFRKA3dPdOz J7ajFcs1wCS4Kg7kOCJffWOT2Q1BL92q0nR9StPga55tTLL0OelWUWGtEOHZiF36EnUmdPKNTWYJ Z6VIYb6DdchOuifI3Zp8x6jJ+gv8gpzn6wyKQmms7lFoxM0ma6nTS9MzGw1YDSJd83FpmvWyJsCm +IKTHbKTacwzS+K3dgimJCI80IZgeMQsVBl995f9E1k2CaHavJUYS5/kTgTin35W7OeNSWah4jfI Yy2JJvsUhbE0so1yAUKwn7QpWiVvL5xRQJNTFNameY+txiYiB7vHQEM4a3BMVe/hDz8E2+R+ROF3 3OI9Q8U3Fpkd00xYB7mti7qIkzO09tnk+Naw+/68scisg/Sdj5EiM55jOgqmUZI7p7CD7CulNbSI 8u5eOJuO3HyjkOnts2aItQ8ONkOpp3x+qpJMwul8FQHlYF8CeRGY0PsaIobqjUBmUQl5dnsNH3QO nb8pGfLz5/fnjT9mr/gdCpFD3G71/o0+pnMIDjxTJWn8nPNq8FsAOWlRxBYZ4IlhML3UhxY6euVb 88ASSjwmsITSiWF5lqcBHzmIVWRKu2kNESbweWOP6UjIkiWUg/UgkZdgj6XW3omQ6/y8kcfuJv3f SKp9ZygEexRWXLMsQKCVBtxL4zmEEp3pWwHTzxmKounJFtlKRDRw8PJ9wSkBsB89nHaZlGkoSBjb pv9Pv01ElFk+b8yxbzTMHtMH1TyQzLAweO6W8Xkjjtld/2sJ4W5gr1cTFEbTE2iYVk2WsA2iypK8 Gp0+nvo0nuYbbcw6oKzbq/TBwQuLhfiyKeX9k/pSfh6mLhtsVhQW+0UAk7/zeaONWa+BUzN380FX GQSzluwJxvq8kcYu8sdmiCoh6EmgYGdT9B5PgxfNKHobL7pQeFnKjcNFwc8RU+0YeuOMfd9ls2Qf 7BhqFT47UKxDh2OAY83aKxQozkSxvHJve3r6ft5IY3bbU4xwUnO3TyfYt5wWXxaJS/m8ccasBPYd MBLuQSMEzVBYnYbi7iBjgIWz0q6f5brsjLWaVT3eGGOWs7LcAdCkDRfMUNGZobt3WhCznErq0Vek uyNyKOWsi+7eb4Qxy1nJhZq8yjjooE7FMHkozX3e+GJaQ9sniNEhNf7ShVS98cXsKqtwknFufRvX eafzFUxIthng9Y0uZhkh6x3AjdjgM5RP3IquLTMO4OcYMU6Uz9ER+sm3A0SazueNLGYTNATzWD5Y 3QzlPm2yPT5vXDHLrXyC+GbcXrf0+kYV01Oo3rdZTY64Nef3gGI0fY+1bcHQG1PswgQg2QI4jAab n3NO0x++yyltQNuYQTdWEHOieRVkO1UI33hi1ieV+Ldy1pouhwUaNPy4E1F83mhit2H6BNRbzGKP hd5IYvZUoUqzlYWqHPYGm4jbttiATK5tsTCgVmFxM+Hi4AsIt/otCwFWSCTDRK+iABKTH4ndQbD9 G0XMkirDc24fbIKgaMNY6ISlnzeGmL5q/l5BHfXL+lxkYTi94UdUkqt+r+VCX5ttOSatVHhgTt/+ Rk2jLuaDJ61QG9iIZAE5g++H7NExTyB6Cy6kpBUke35GcNMnVRTHHRzJsBVNI0/D40Gw2P2mF4CP au31LqEwnEaTYM9iokOLHQYWzsoqXjjLFL/jEopq08LSr8I0YpUH1onQGjQEk/c4IZAIm1AjLXQp zevCFu2YjuLphcibpig+aA3VWSRUW3fi40Gn/mkAMW1FnrhuQN3jgBrYciJVzc+iOvKeIv9m2Dhs ivp7QI1jWuV7Qjk4OBwGIkCTxn9Al8N2jwxyzDqTfJhbeTxd7V3/IBqeAL3eQYtoIGzXRTYqHg94 G7fL2hVamkiMJiiKp6F5NgxdVinV7IYflag3TRD86TVBEdoDvMoGHdfig5/Ta4KORqlvZKUrSyUN 3LgM9WyWVJWSUccMnxElHELj0dpb0v+coHQWp7gJ51jB4/+FEwXTJgBNfYLi6vSmMYOTougMZnw6 07PEe2e76HtUnb7o8uWDxdOjnyg6JypXFrDBM6X2Eu7mDqie5M+MzMvydI/i6UkcTFWREbWrH1Yr zs6FKwbxCSdnwfPvBxHSwG8AvoDeXhzqIecQd9ls5go/upRiEQyZ0SXS1oQuH8tnPQqop+ZIbWYO dhCBg3nizTpNQYd+tBA/A3N9IyRhrGioM2pV9CigromgKiw9G6wCmxLFkn+glo3HI1bmN2SI4RCc TW2GIt4hSRyFhb3/x1osz2d6fVWnhA9onymx72FATRG9VcVdreMJqM8aHKzmQgYd8AXyYCotCyFz gyzt6lRsvesfrXoxAzgUohLO39hqoEOns+DxYILGdwWfJSkgD22Cwnh6or6Yugt6ad1Q0Ks2ry8u qifjtu8x+ZDUsa2cbD852fm3HX+/u0aFDGWYLyLOM9yiziEU/vEZwW1PV+a1d/bBUrK8DbdY8BtG AfXvTQYKdIEbtE1QVKJGmQVartLuGFzlyuvHSmZBODaCV+2xuETN+ymzS8bB9hj2F71iQCLjIllk jgLH1tFby0CVOtqDHpY9KlFXchR6ZuWDg8HylsIEpHYTj0egMw8YKSmA4Ar1Gpuh94j6RKkLsgtl qCCE/3JnxtLpP0jhvI6/xpM6iqi3LijlrhxshqAkszuyWJao4TrH0hAYOGCNo2bqe2z7uwYTJIZU GXcQYKiTbM2rLE88HmX1V1UgKYFD0OhJa4/Q07AMhpmBdODBDGxShAdAg0JCFDzFz8pTKEJ7qPCx lHqsLio7K9QTfoDgbUK+fYIPwU0GG70OsRnwXf0UAvUFnxFEQ5NS1TPfwUpDZ2HKLfB8FB7/1xIS shP1FSAsbYbCkPosb1hBe/WM7SRVz/oyq5gpkSvOUFShXowXJ1RkbPBj6ByiJ2HnMVRP4FmkP4y4 iEB8tgK910rZr0+P8B54I1xhu/igbn3bnV6S+LLt00M6YvMux+YUodHyEF1GFFKj+A7Mq4Gqqto/ DIi6k1nPJelkshFKdyShFFij5nBD6gZ1k6pe2bnSkmzSMjYxpEXQx70OOlnvGjWCKNqxaXbFQYsI 1FidQyvnzwhD6l9XGeGq7SJiRhRSQ7sd4imqJI6TWzv4Vcwllj56T4apGnGJWneYSHf7KVG31CG4 u4Q6G4WKXVB/QTIPDuV8uNBr2btGVHFZC7BSPS8Z+qz23paJv/DxYAld4KKkPqeJU2uCQvw0dAbW rmoDnYusDadKJbf3XJWKbJygqESds7r1O4lMRi0jrKATf6IiJH0T/GSZwdCihxD8BmkGZHc9Xgaf ESA7G+WouvzhejLLs4Y+H1cAfI3weNTk+IWIgXY7qPw2QSHgA/ovrTijtcl/mVtsO6ZqZrRutcWi eBpFDKLNloZiE3ROoQRLTxkvgGyBfBy5P9KNfmLTni4gBoEZPiLqcXB+kCDaoJxsw5Wc6/UkBnj8 X0oMzMnQ2lzLY6ERRdNAF5zAlk166g5Mz8nUWGHtbKCJr/mJounZVTKU1mKtD9cOvIQzSexxnCfh FI8dtmg2CiChGFNmdVb1stEZRKwQyioY8GPxvIVmFs0P2CrG89ES+pVwgGfXu1fPRhhPJxY+DEp1 Tum5naOQDavHcNFE30YUTy8CFucUEH+mx5aiz0ojYJ7SDaqWlIUD1LPCKLG1fgvUJNWPqEA9kyks Zx8e1JnMF0/IgMcjeLkfQsx1sVThsWYTFKKnUaBeKnegeEYDbsl5MPzHGkK0ak2gEaGn5Su8yTTW YGtooFk/JplkUPsbRRKDi0I3SKqRiFqbrFe9a9QmI1ETKjw2aIKAOR7ydOkDj0ctjv51SlMTd1wO x4gK1GcTAM6wLalHf9Uysj6n4ajPd3SWy4jCaV1jmEoOlKfLDBYhzFmgEQRoMEI09hrh6/nDoiMg 5ddO8Px5fEYUCXFzUXdAg1fwGw1ZcKpsPB5ROL5BQ4w8WnJU1Qij6dmv+eug6bhrUI9hfQ9QT1x3 YITRNGdmDlafOXi+UU5yaHYdUETuwi3WVkhlhW7wvOf01hIKw2nyf9iQqszCLrx8UD2e+vELzwdZ ff0lKAQsJT3XNEVhOE1wsNy7ZU+ZbIpmesh2bJRyisIKtTDRVTuIp5pP0YDIG7tkbVAlZLJ4hplC pQeJvxfxt1RzQjoiPQN6fgYlrRBcotFMnaXg8aju4TPEa5Ykv+mx0AwL1E10elOfnnILIOKjDatV Q8DU1N5nHE3z/JGhCweLpkknW1J55Q1dKIRHO+cqE8F1gWfMN2YI+OgULl0UxOVg0XSGCwWWxVll eDzqcnzf9QsFJLZaNEFRNA3dlsEKEnJ5+L0M5axywlLOmiF4w6x+hgVqquEv63Wk+hSGaDmxJfSO PBhKmMhZWYysALtdA8GJlYzPiHJWlO4JKbbB4QybclaYoILH/8sxNCj3dHlSM4qmE6UZqsvh9XUJ rYusVKas5taJFRSyESuDoUJM2SpXvhxr5aRng76vFZYaMi6p1FHO9MMpl9BK4YEZ1qc7CVJTh9F0 MiKU/Fc1t4CKx6MJungPJ7l8ladnFE6jqz7KMvIqZERdJLgQ4i51D0ZWXEJxeZozNHST0TNOM3Sm opHuTNuJDX0V7THkQlAVIe3JqtPd3jWaIVpQqpDPwQ6h2cnf5pLA40Gzfvxu1pPW79XpGcXTtVBY KFuPYzFpFEVB5xHhHtPr9zMkI5q2mQQn51NbRAkQvHEJTiJelLYZD2bAWAB084QD4Q0+I9hjQk4n pvQreUrfkQcT8QO5DTwe9BHbd0oP3k4FCdgmKK5Oi0hmJJdzz7jkZKPxNQ+hTegBJyhCe3TNTJFg ebmC5eeLnNupJAnonE0w6PHaS8UegzgCJKw9WPR3/eOUPoF9Lj5ogsZgkQ+MqzHw+H8h/BKnPu9F PyO4BwAEA27sOoRaMu1S0KS2a3sUVac7/86fPLK0uw/eiobywJqSmD7RdDV/N2AxGUOS7+vqyUXv GvVZlYnl5IOCRaC0eOmf37nh8Ujb4/uez/gdxq2azZCKmBkJJZdO7jcfG4TvCO7RtnE4ZqiQJ0OA IaLduEQ7+MbBf7upOM19RDuKTA1uWvU+5gl04phRcRrSNdCcnMMHqyvilmE+tjYfDyYo5a98DL9J oaWLJiiCewCsfe6BaRp5KIlaTk+9EjPjap5uzCiaNv2cLZGYPa430EZ3bA1SOBIpCuyEgGuGX3BJ nNdq09PeNcAGG9xjVx+0gqANL9+E1TsejzL68ZWPofILzKlPUMxEhCgwVQ1EZnVdBnRunEe2yz2k Q2UPIaq4xTTYLQY2K4ChQlQBWCKQPjXUadQOZIIxEWnvPkOdPAnftmewfKzOSvDzSfILHv8vkSJJ HACsa4JWFEqDrTRqXt6FTneLQb7N8rEFbRyuoBVhPQYv75V1BuWvM2hlaEyQ7Qvm36ZqDcifGfRl AgF+q26vEOuxmalmnUH5nkEdTpwSla4Vj0fiOb+E8jYbat4gW1EojWNyUGBZZcWxfYvVac5lIChk K5utMJSe8gIUo748jHoc9lxIpg0M6QomrHLkQ5n2KUzj18FnRCUPyZH36oMVppPgjudP7IrH/4uI F6otX9jpFSpLV6ouJT+DcrtYD97Vam0gudcEhViPLTa0youy3GgCdeIWnIb1OItxA+raMYk/1Eyl or+1WLG48BlBYVqiVmJwcNAEnUteNSFEEXg8CqV9izFzQ5xR1oXfryiURm14sHwgohT1ZCXscbUW F9CGtsdC6LQYmEvqFesyOM5LgybV6E2GLkQXYxpnE4i+6MC0K5RHxNkKS9OykKiUF+JghxC0hfml c954PDiE2rf+UkHBbF3c64pC6WUGQM2AHpqgTXkhT8zGEymumIkoXaF8Bw+l04aX0CI/AZgPUang 6o6yTP4By/M2oafeNaqasTJtZ1G6OIbzXXPXPX9yjRUWpvt3b4O4133B9ysKpVOBaGY2gh2Uvh04 LeFDloQ22ouMFFco68Ee9Nh1+GAThCvs5E28xtoJHQCu+qHca/npLGOBu+l2kkScrQjpsaa1fYoP iqXPISR78jr6wOMB0uOX8AkU5ZEJ+QyF0GlYt9JDQffY9Mo0THmGl+7zNtWKFcbSTU2vtnzw/iEq Yewk0doO/WJ6ccEYtgE1Mcs1bdsj612jCcL5DGqDhqoL6fw4i94yP2feGx4PJqh6Nsa4Gf/uycZW yEVEzWxQ5ZlWZLL/2WQngEqJfv1Exd32WBRLD8XSud/BJmjQs7VLwgtGCZl9/IqLDzAo+RNZzYzK MCuKpRkJneCAITUHwwqdlcPc9XxfvuL7HiPu5omEJq9PRzGsGDrNdbNNR3DQ1c0LHp6u9upq3CvG edBabLADzcFrZmcLgGzHsmuDuhBrIokEF7qbcUWwwwp+h142wk4TZwb/MhtUEzq3fpXhT18Vjwc9 +vVrhlBooYGVZigKpleFrEdLJlDV/TwCuHwvnyHIT+umj2XyOEOJxUUOfkxDlQr0YaCp0kktUWBs 5zya0M5BrAjlJI+FxtDLRh1EAc0oJMhBp9A59IlGRUuHjwdrqN6yIrWasOofwNkOcR5waSsKgUhQ aNaahxyWExQ2MlBO0Q65iHS0P6Fz98F32QapBaz3QquWlrTLIEZVIeVWHkFTOUjvKJxuSbrcnCgO WkNQRZB5Rhp8PKia3cKrIkNWzvyc3qFQHixv+r6q7tuTDMhtJauaIXKxCYp1pwnFW9LLW885naCS V1uT6P05lCunMsEvqQNGzRjQLjJq3u8QOk0a6znXqg86hrbVZ6SJu9/D6UQ3kC+iHWSfCuXmNUMh dhoZ2U5Vl9ZMY/s51LNJDkHZY5uyxw7jaXMh2duHew5NRKPkuJzEJtNeC9keuHskkCc3aS1Ea+Mz ohnCMVSBm7dB0eL5QeTUhhodHo+oiJdGppV3/tXtse4onIbGEsDlVpkeXKzSWmSVzzTOqqHvdwj0 KNZcrT74HusZ4kVVXjYnakhsoZ3zGnDpM5G4vi4RsehdAxJQJT2qyJ/NTR/PT3mOTBVO5554PJJa 7N+nUKY2VfeDeoeGLRPh4nCvyNqyS1cMCcJVbXM/hWImIoOhpLJQumWhei73VNIs6o9Bd0k4Bpr1 MY388rHv9q4RFmaouTp9sKQefh1cAueuxONBSlbuTSbwXqMsqs9Q6NqCcLElt0+oiqIp7tGTa5cn sCU0QzHSg2toKhqaj+xAQku9glGE2jSYaiQodIrCFIJzH+w9YHz4jCAaksUvOeYarPSaVlFdqKaF x6MZ+paumKzTVC+c7QjpkQk3Gy61uFZ99IWaZfWr0ECIExTq5C016EfxwZbQTCjsAoVNl/a9q1ki IYDEeT7BtFMPerEHvaPatKGEVD/jYKVXdIMtXCx4PErJfAmRtwieVn04LjsMqNUgu3iqYQrUGTGw qbuDxWx4sx1CPbiEXhSYQGRMRW3687ujo86Aie4yIPoB0aQlVGiui88I5O/zlnNC9kFL6Mzxmrrq 68DjQeVsfJ/TBeicR6Jqh8Vp6MPUXv0UUr8eQdxkuU7gexpo06IkDKhZMlsKqNf4Uh3YgK4ZjaxD R5ComYFInsZhOd32BhIQfkZE1qRuEmUKNNgEtXPHi3Gw+HhQ9ijfE0ThjH4ReTuUnd77+tpggvYz Qb27pe/e2Sx9dwT0mAoWUZ2y4U7QOYLIrERdaID5SZ/NTc+4cwTOdqEw7CvjM4JgkVR6uBX4YHsM 6o2snOU08HikAud7THAz2H/0q8udonAa1JUxZzFC9KTXkIAeYtdT2iMPKwzlFMbTPKDRf/bBsTDA U03kqFClXCvLjH2Du4iQiE6zFy5EFSZ8SkDXLCZlyrsMiJss4Os+mQy7TrDA5R+IkJ23Ass6AGoL 3/MUyuXhOsMOwFmDi41e2YVnESRzyOIA58V8xlMYVndNEAMeDt4IOsEVZCkTPdqwphKJLohDO39d 8uesjj+2vW7QrxcGH51+Gyx7hbQWRSlGa3w+SD2uPoNZSRKHfWcpBH0kNRGrgz66kROqHd70UkBy Jpn3FGKo5XZT0vDBE9i1eu5UoTyzlOBliwgqUy32BDfnaMiPjHm1tw0mqUnHvHCuAJslUQ4KxHWR 53p+hcU/EEA8r26erjUEmxcDm1MUXgPzAxi4pbCDounCCbfsuIaCDWXWSaEbYhP+TFrm9SEm1jN/ PZHDdTZfBn5d3lKYVqrUcL95Ervtdf+ox57rkCc3Bx1MG/PNk/dcm3w+QqB9t82oUdivBGxOUYgN +5kxqjm2nb3BEHsTX5oMmQ/0R/KTKapZmyvilMPUvDK51AHcExVbgRuGVKszdMc6VTXRhrRyEU5U vW7Q+JCfAqTBbVA1ZGH/qTMEW4UUIkBuLsueCbqDIPo8ey6sXDesoU77Q3izDxw95JdhV5z/5MkB jbeqefqXIrU0u9fV7EbAOFEhYun6zMvoTboxJ2iEoMzP/hKJYxRAbcQgpmtO37RB04QGqbROe9p8 /n2aav4tz4TS8B53ksJgG0gZ5msow559A8gWCrLwy81C7s0inThuuSjanl3iOtKFIeLYUtoB0YaK PPXkU73D4oeQT4iLQZueDuImVjmmvW2QsXVeb4x2NKgssoD9b8pp9ZJBXWSt75SN/e5xSfc5heIf RUbsw3CxJd0ukfQG0SVahXTgpD8UlbB5MJVxB7vkUHdasEqS2/iZNFJgEmMCkAF687QW6Gt72yAp MZwD09shfm+SjhWhdKD2NT4fhAL3XFI5t4Il9ExSFHQjoRpMqyScS0SuECG1OeChgvBhp3ekAGKN 6t2bD7bfyJummSaCyn2mJbHZCGV1chbrY6NtNsjpb7cXqvvaYId3Bv5T+fzk88F+u9hGcl4bYpEr LpxTWMg+d8pYddsVN6EM5O6Aa1tymyEeZ5MUVbKlOjikqTceTb2K6QBSb0vv66wglmnLIAgGK4ki 8FU1tmJvG+QmRcUjkjo5aL/Vs7+5WU4+N/l8hJzJX9FSIRun3EMptFBEuAL9UxNKEb9bKykv5wux 8K9JCjwUz91Da9vdGFrudkUcGiL7ykwWyIfztyA9j1CBuOtzGAH7qEOpJPkqBSaKIE+zYb3aHbSS ztVjri80UQxdFMele7Ajgr/W6g0DQhtF8j2syi/mh1faOm1qWAQg2dcmKYKHJBE7W1k+2CSdA+R8 +0ybUjqYNVEe4FALh1HwfB4Mn7/tX8TFBQKiDYYPAXmXm+VkWHw+0on9RcKf9GV/Jil0UkTNv7HA Kilmk/WGGgiRWVTSnTyTuv5QUG2rViq5gxeTgFMbXOInFDqJg6KqgUZshtZAK5cV05e/bQSiYVtk yHthDCMvVqhp8AbvqJXkwExRZ8J3RRJglHkdyHNgpwgFic1c10qSMEe1Q2mUdS1L+Y00S2HcvSkn Q2sKDbaUQPk4/ydNueCAM1QxmFBSOkusQarx1rXZws6BoSL2G/Uu+roDl1Le0AqQnm6ufD4KAm5z RNsTlYj5teNClT1q7wiw1qjC42W3VbozqXPxBlIOXBWvHqo4aOuLg4Zg6VxcjS02iB9QMOWEsNR5 RzWViasbVAx73aDXv1SUZB8AFSpfTaBxsSKQ4MwZGiuW+QuTRYm0Xe8sReLVoKSfHLpbaalJNJ86 YMQzc8sVqHzZYooRI/19ls50L/rusElSUTFV/Rb3EBC16+tcopRcDqwVEdnxhmur+qDFhClXJ/Gc T3w+6iN9i8mhxZjxpj5JIZux0VfaLPDOf1389aycOXLREBGYB+7fAOwufHF/8MWgjoH5qtxkn1wX mlHQ2sWKqmjk0LLV6XrFXvevYKnNfAdVTaB1NaSCXjafD07vK+XEEgtmc4xnw0VhN6smg/VTZbrD zfHqdKvFufET2ixFhjDdLCjMrvzpt+Gb77FyMfjaVK8EZHR44J4QfKVHu6Da20ZXHBtuW4r6j1H5 WUqiPZy/o+cjJP8vFC1Y8ulalefAYtGUeCq1+iXmNN1OuaXiFosgbvokhUjsInyo5qpcr9cCLMSm dSMldk+8IAM4VK4bauC5PdKEsw573T/04k+Y1JsPCin7Ll2CVSfD5vOR1vcvhj5k0+h4ZLMUxd1I /kFbMgxSl1M5dmsuKJAQg9Ra8XJuaLQ4qBQCCVQfPO6Gs9n5PkkyGBCsIGeGTmdUdIKUnjtRZnvb t0kq/4MOAsh/kpbL19fj/BI89YEcbHw+SE4u3pgZJvt3JO5qlkKzxSGLquqsh2Y8R7LQ7bKbSUXv pD8UHEsjMFZGa+xEMZu0h1bQp2RXkM1/uOLWfmu5wrLl0G5x0kxwJILZRnIw20Adhu1u6Bfx+WCW botbXieqet5wKXRcBKtwdLnl8b+Sq37nVaetJVE7NEsxMJs1k52bDzZLhSa4NEIogKRSkok612c+ KXuZbwt3NX/bKKhkNaBKU6VeAtbMWULj7fy6fD5iEv/WM9iQV30mKRQNmcQbt2ygv7Z8kjbNjzFJ 6/zX9EkKI2911vZOPviGqyeUJMOFVcoFs1weS+erdCAzqA3MUwk1TXvbv6pvNww4BxvviPOGKHmz ZrLX5h+IQu9vSBKq1WeVXwPhwHgR/D8ES8kWEKStTWeuQozAym8ooNixFDgvonvCGqX1vPt6FOQX bM4SwaM9ZUgoSiYM0uhk5E6uJaW6ipZC68Uk0eZKQTVa7aqydC6JJp+GEy7x+X9J72qngsSTnlkK 7WIGtZ3czbzxPGHgXdrODiqBJLUC78B9Ee9P9eZEQiwHr3ifu2Gdn4E2whVtYkEpMw4qer3jVreG 91z2thHjkVTHrYz3eg4VlLt58p7vnPn8vwT5psUBUGq6kxTiShoTXEtEBg9Wy06aq8vCIsrrAaEB 4xrCTZQ7fFVy59RSOjMEzCh7ugBxFYqCoCsgbziJOubQgZEXPGQtig8WLOHOYdPoRGJ8PlhJ5ZvV Nxn5XyWjHHowQuYKyifNEG5tuMNgkwYEEW6gG9gkhbJ8vNl2Tc0HS04ydUSqDKmR9jYh3tmUb7yD HWlrNp45NGFE0AajZfoJc7DK0jk4mX+dC2fw+aho8ssuF79Mz892i8JuhGijzGIAHNi9e2VJ0Ddh uIq34QIfRs/gdq7DB5ukDqxMp7IJ/udsRIbdUEmElDP8X6pP0srD3jaYpKaOCYWfONh2y1QURDiG 8ltoxFjGd5qLo4aUK5+kKOymplFLBkgCmjRdiElxC6uNf6U0N7BiRK9Uas5sHXHwYkDFFEGLDjZo IPoVgZdppIcA9YJwMsC/+pAILcn7Da7oNmiSsJbU9WjcbiHKZHkht0qf79yJnaoiNk1R3I21c36h 4afSNsIosoq2fJpGLT5NMXJb9e6dffCIEj3pQcZsqbTwJgeHKq8VlEgwM1gwRFDe7W2DtbTZMslM dzlow6GdTs3qBl3wHFoyfpVyGVnhVu1PRBmaMkLI42zqbEy/SW9pHkujJIu7V8mu0ZdjV0YVSzZb bGvvi8dBDwnRGAtw0OEt7NcNtJUwZz9fqElit3PoypgVJ681fHBE12ZegxL25PNR2P3LXphcw6ft HfoyQrUCRinZqm8rOSwwebEJhON65yiKupcUM6TsvB5lZ7CkITBP4Yxz5a5UGHrCbuYEAYwZHoD7 6Pa2kUcB0aDadxxUVxonINiWbeglI5Gs70ipAgYNVLVPUojgZjy5q3la9TWyFQNydtsv0Cg8NQnN GTtpfKPRboiDo3EgxpigonSCL4SMCFfauebOYQSzApO/NpS7mt6hPSNVd8pewr6t6zLcodzH/XZO Oz4fzZIfS6S/9d8IitCg0Ym1buYtanZnAjeqF3Kreznk2KGRCliw5/HBD6VFiCq5Eg3WvPhuQOMA BQbgJrrfFiox7+OHBPEk8cmNyqoaVHyDnIC4xzXrJaP99lsBGxZ//YZKsUdjy1TOSAbGoRO36BK7 uNXwAmnNllKsgc2lVEfxwYPuc/mcc3uqrrTA22pYSgj0G86urAa9OaEue90I2CVbGaIpOejoXsAi SNgA/dzAqTFRyOWrn4tseT1pbmDVCGI44FziaDNJ2e5NNCHFJ/umCbCjErjQq3GwCzdgMGKDg0wy 8BM42JnAQTOf+AmcdB21K0i+WR2Xulf8kGi/0c0hUQSyJHcc7u1shmK2XpnP/6vaza0FWOi6PPYc 2jWiZgYgTrcSJZct67iJCDaWKIHD9kmKQCaNCceYwnXNi+uCoCGuaHnDT1ybpHRn3J4NCAJitawl sLq9bXS/sfgmQeOUpje9d4FAMs8ZHkohqPsXBxBKV2g430kK5bBpIU4iL1sCNXvtre3scgi7uepR ji0bxb75v6r8kMDeEBvmJCWg3riSsIY7cVLtVkzyTMteN9hvub7x2ceZdPpQITfpfD7Yb79V1VnK 289+C6vdZE/gepFwTW2OxNnNuwOTdio2S2HYTXzNWPMOvt/OTjzB6ZJE3Zr6JziVzn5DsS3zqLUS ZW/2un+EAQWFVB+04QCEV2l2r8Xng4CyfAMoMqqk9RFczaFzI3pQOLwRVi8zBBP2rbEOzB23wXUQ Kje0blQK1yujHw62405Eec7vyupbB8ok45hvQBxQC/f8m/wI2JCqlAPzRpxLtAgh3lmDFhO44TqX zhrl80EcML4hFOg7g0zjsxTbN5L8P92ouZbsie6k4oDlcBeME/g3IhncangPHzRLZ28NeFkT451R TdmW5gElTdhSuwaXg9z2HPg3om/NgHvu5YPi7pGqmgInzFx8Ptpxv+IAVF6xBn2Swmo3lWlBHlLx bU9D4p5XL9dxpl8IRWjhqJ7AboyEODgY52TqaKWwn9tBoMyiVkLHiqp18ujiJClYCjwcs244qpD7 4ImuObOi68DngxvuhgE2H9B+vdi30MWRFPdGjCcn6TJuzw9djE9xYhIilrr+0F8R5Zq5+OB13BNt n8NIiCX8X1QQ7zidSqfaTr/USRXfQhtHGmUVxGIcKOfLYOncDZO75SzixT/wTz8DBqDIckp5pikK vOE0MFbalp6s5LqrqC26b3OGG5ttuL/L3S/ThDZAVwu+YMZb4wHfaWgEONi+jROwGfWykR6J4O9S FHcQeQaCUVxBmNjx+Yjc5f1cluoSQ68bdwdejrDAmoC8reZxQOt+w5W5bxyQPe7+w8xRGb6Agj19 FbuBPZ1cSmDzrsKKLwDxIOOnn426o6n7tW1vG4QBEmebEs2efsHh5qwMdaBCxuf/tZIcIUggm09S FHZTXKswLKfYMRNNqZKseuWy9/Z2buDneCcpqcmUruPlWSNjQ1M0EbY8wEtn05saC5AfgzXZBb8t e9uAweQGNNMHRZTojy3JaKbK599P7rUda8q9CQRHfnKTwNMxs3wM2wGTlYDck3dz+3b9qH09wHNg 6phpuIh4W5M051ftLUHlj31K9JTIngc1Zy3qdp7dDPMvo+ZMf91IVpx5LhiMNmiWVpXR2Eldq56P lCW+ZbMzqqXnmrklytDZkRfcTNnU+2kFp5pJWSbpf7YjuX6apghlosRs40q3wS448GYK9WUp4LKT PA47Axpcdv3BLUuILAfejtZdOocJy2YcLAo4p2A1/MTm8xEU5zsKoDXSvqTlz2uklKW1PHDxqBhQ JIzIuhK79HJVg4sJD+7XOClD9ZjtEuVv+8nfwM0YIJVwIZ1gj05zrPPC3hVLBrBY0+9HMerzGiVl c+Urs7CSy0Gn9vkJO1tx59fA0y+zk+FJpDM7KyvJhJk2/j+cHyWanDZpX+R4ABGWt64Kg5nMgRq3 FlAwOV3Lp+7mg0NMz6EzqYaNvO0sI5LzOvbbDwHAGdEqGKgP7v11dtAsIYlyt+aDVs8JeLdZYaF2 +zo7iBvm1+wUUjnTttl5DY3OQ2DPIIcyTfHNwh6PalETDAiQ7D57DYywdBYxpakWHyzERsftbFoW JGuH8JtbisERAJdcfmTpCeV+DYsyOkG4xGqXpER/mLirUN0JxcX2eY2JMkCd63vpYCl3ApMwOa8B EZYOHZ5IOKDE3zWcKZTvNecQwi4xOa/hUMZJT1jyIOJoj0dw4xw1FfHWspS/tmYsSyQRCI3G9f4W nvQ1GsqoinSV+5ds+M5dR4TEua53Yvvn/NH8eQ2G8qNfl6W3gRYApNQ1O6+hUDYMiVp6PHW2H8dl 8SPViFTzCIap4akjFoDh3NOVEj+3KOTEM+/4cy0P4ZUase1I7XEY+75qtP2OTp3Mnn/RRS8fa95B Ky2KIkGg/PMaBGXBEjQ5ajI1SrN1m53XGChDSAIrpkwr0OK9Lxw5jQeOnMwKNJidScLtLqTScPBj Bwo/tWh2TkJAjR8A2hBQQiwgE4nOa6tQL/3zGgBlSRqhoUZDYrlw/1hxtktJvtXyeQ1/8mM6kwWI oC4uFXzpUvI6O2Yavyl2yVTDtY7hcdmKd/qhayArg79np/Xqg/f568kPhF8vdcAfnaylBjhmhWfQ oh68klYZGUQ7i7jRDFCVDZqcfZYOOwpt5fF5jXpwaM1fxw6qB3v5jfUa8uBM7mT9LZucQkErSdZV 32MA3pr06mvAg43V5YIu/YjHmxD9/Qo5TYKP14S9JR2vEIESx5PTY3lFYbjPa7yDH2D+RrF7S/ac imqkncMzf16jHb7h8Nkh8ga1k0yBdcrkBdODxX0C5+Ze38sAkYBBukQLsFMm8/NaYuS2Jghy9+qD nzstJWqEAJsAnY2sEuPoOOVA3OV5Yop+PJZfC4x2tsEqLXcfrDl01qFsmkvB0+/TM+6dte3Oqmv6 7LzWFhkFkAYxdAAP4aE4O5s2dqa6mowt8lpZxGcTtL9qyj48MKM2G4julGI708NomSrYbaM+01GY MFB20qsGxzItP0cT2Kh5XREBhKDCtUJnLLyz1tedBWmPukb2YDAKlWHdcS4Sm4kTrY3iZJrRTHvk ZJNzO8MvCgelPbLm8sHP5Q1FUeLPeH1t5bAAif50ILF3eXw/m71rsLloYZ2TDDCSG2Ccm3aY9jyx M1G0vKbHg3wMjTyyUo3AE0yQRLTEmMHh08xuBg1Xz91nYS9HgPUwXuaNpfbidh6Q+CEZupi4uIDE SvJVww+A1AUCICT5V5l8T71scDhTjbZ01oI42MV+VpA61Lny8eB03vvrdAb/qRXS1oRsjmaIrSD3 hAfAOHl/um5n0pLEYQCsYIY2jdI2UmYbvMdRzxJspDIUmLqsRoWbTusUBGcAwlu6tZS2R0Fz77y2 cGTaoC1Wam0EztaGKtl71Ix6q08Q/y3gK/Ro1gRFYXNFm7bt5bS+ue4R1NZlYl35mne+Gl5eyLSR tg93gs7ltKmbV9pZUGMa9gpHJEoFxITa/ZUFcgj2mFpAc4p+O5MTjQtgfGxMn1j/885Wyw8Z2w4h li77naD3yFlKoGNWAzMMOUVyBcnmT+LzgAlboTWaIIA7zp5B6V6DZV0FGR0l+eFfDU6IsldkTTix kXoJNLvlovl556n5DQ9/+OqDQ0Ghz4+Pg9bhO00tP9LhdggRVNxvePhOU7N1e35VU86Cm4rHhyej Hl71YXzHU+g9fEbfnq0fKBjaYEvopF3ggipAPGF1Kcv0IzKaEERP3CorbXjeSWrYxxA3OgEma60c 9FXRSJIDxrkGPu8cNSQKaf+aoXO6dPScbIbCCBr+zOf8tFZrv4a6FX7umqwzbfRLQ401CqEhfn+m hsg9DR4GYUogBCb3YbgGsugDtlglTDalW/QR2TGKoZswaWjA2GAxNOgRkuLN+/POT8tS1fmKg9C4 7MOz03d6GrcmpI96sW5G3cXrqz1fG55Usin5vbPTslt8b5mm7XQ90LHR6bqUeQptOO5hCTXQDCty g0w9EBM8EE39PY4G10RtQ8r2pmvlhN9Sa6isPj/v5LQsw5hnhmikgEqbzdB7IA3gPoRXunsSttmE kwGjoTYDfpSdfQlFkTRRMYP0DBv8qocaJ82vAGs8qQadLOHgbDx+9VfnVyvjPZJ2jiPo/j6o+rPO zyqecIdOTRRKrz2+bnq6Wj4FjndaGk6hhnN6mSXzCRuTqYmAf2q9MejzO+gjCqZZOxyJsi8a7Jw+ +UObBe0SANHKOXxoBtEnveHPf8P1yUHEc+pdownC8dPQN7JBx8mC6m1RdrU+75y0/HA/dJGxX7yT T9A7I41nF1UOqrml1XZNG6faiJSfnw4deiek+SGEHLX4YBME6VbIDhOpB8xeIn+/Q9+jgi7AnNF1 s4beNTimySXONM3QoC128r1C1ZQ6S/6809GyhJetCsS4EmbJzW/6dzIaphWHUG0mEw4mcbIK4tjZ ZZ9BYrLy6ns0jfvQYOh3uOkYrNMG8dVnA5/LhJq954wj3oPH3X4oe0UvG+VjlMRGVdYGi6bPFkty S2vz805Fw2L4VWKlMBdSZpuhKJpG6DZa9v5OK1eff2VP7E9Ytgwy9E5EY1QuD6dVffBjGo3CvKmW 2WFVtBlwn+s5Q0ePqKirHT4ocfRORGNGxlR1SPh59BsMnXhqywSjts87DQ3vOPtXOF2wqmv3WOid hcZweoGrNzwWWt1jocH+pMHP3aronYSWBQ9EHM0CPAc7ps9lhSIWkZ5oW5TEJkeBthDMH4BIzRcH QymRdw4a1xAb6YzzNOicpo4k11DKfDxK6r/DxaGqtl9k7ww0bm36erdmtdZ6FWnWbtfhm21mXPXv BLSn6DH7HTypP9c7Mg1e9Rk4d27ECnhBIwAZsbbvMvKG3glovsvOxc4Z4mBoqqWyBCz85uedf4bL dn3fZGBIVWrlaobCajQ0e8aFdlyIHnwazbQZXjrZbrJ39hlOQepk7qGsfjxZfQPubqCsi5sMhmBC 51MB/CQ4Z6s1L9bL5OGdfGYxO7RW1x00Qbhqyfuse67PO/csP9rhWf7MUDMnRUAT9B5OG8ejDlcP KWW6q2Vq7gcGEy9rZrwzzxgycILSKD54LHR2EgpCvMlGO9+GAlol0WQGB0Oh8pMVhpZeNigrEnM+ ermDDuqJaE7RYtqfd+IZvmsuX8cQfpwKhSqboTCehlnRXM0ExCZNYkTOy8vtnKBEYEvoPZ5GqItW YcbhboMnrWBRpd2VcKR50i5xHNGZR8cZ3+2qqyy9azBBVGRhSxlV7Z6vP3OHXQsinLH35511xiJY /9pkaFmWue9lHxemuclKc3qHC2TWQl9EWRjs5pf9ezydJNt7DoFyB2+mQvMV/mI6hlBHFoO/0UGA eZRXzgY7Yu+UM2ZHpL404p44WDfVtbhhE/Z5Z5yx9/d9CpFEBZE2m6CwNt0XzXV1JIN0OW4/dfgS 6tMlet7ZZrfukVlZ1WATVDPVdSuZi6vlIhOMVRnrI3bDJWame8XeNVhClHpkQmyDQRXRi5d2eF6f d64Zc6vvZjxldKDrav3mMJ4W4dy4rucN+/Dia/JwcabqwjPvRLOb1DPItcEmaNTeT3pJxCuohrUL 80ESEPVM9oObIpLjnWjG1hZLZp1dw9m9a5gXqg6KhUb+vPPMsnDwXysIeU2bjlZ4p5llCQQPeLdr BY1c7hbrxStn6I1pBb2zzDhBKHec8GD6oAk6N+PJ91YmCv/sr0Z7OSwyZNiZeatRmVBaLHrXvyZo daLLOShYPCkxJX4hy8NXDLbYhXMoWDyPPl3nd4oZVhB4eGu4B8a+ziAwc7K286qzGQ/vnWHGzhW3 GIU/NDhm4ezUE+GIZr62Z2SQokMqA4TTYz5cit41yMia3EEYMnLQCkJzjJCyE4/wFaO+fPrGuxSa hNwJiqLpTsrr9tprux3Vs/L7FcDqrs7/Ti7LxpsaEGL1wVcQ+tq7Mt842wvfQUbXEMVFtYhOD6ao SuGLd27ZbQAVwsXOgCJrVc6aoY+Ayzvl8nnnlv2fqgfgrZXqrZqhMJqG3lzxZiHmyi0wAJMwSPmk IC/K9+/MMmseoCydhg82Qx3OKXBXZXX6zDqqu1hlgHehYHxrHp0J6zurjLVpFoUa89bd9nWZgTo/ O8onsvq8k8oYSn8nrGgGnfvCI8V3ThmmBwLPVaoyApNfh5DVXLQwT2//vFPKmAzyYKFDvIaLtoN4 E2liyFhP7iFhLCjGQXfwB+LRZoBBifTPO6MMi50a2ItkKQ2G/80tSSn25LCfd0IZvuoNpZmVyzuW KGBNURRMdxKmvbU6DCw1JZF00R3ARjBjfSeUWc0F4MN+B8eRw7Bub+ZjZ/0ghyXmDv7RrRG+9KXt 3LdeNiqc0ftikQvMwVtkeSrdWJPvGOyxm4+p33h+zXSrHu90MoaKuN5FPuB/Oar1/L91o7mAaG5V j3c2WXa3q13UQyxkHE22yE6KtxwedA7pJRZsRUulbnAPIFRgOX0nvPSdTJYFlSeqbEoUDEKHnKIO v3YKy5wb8/NOJmOw+H3VA6MGpTufoiiabrSPzdZcHbg3vTDULj56zm4omHcqGdPJxqprWT54sIjg WplPgaQaZAqQsiaJhyDbGbd8X+xdg4NI1elJX8KNngnxzLktmM/jE3Fgft6JZGwh/Sp7sDmX7lUW hdOTHtYuwz9aubZ7jVRIlc6Gc4DfWWTERr7zoxpalCcekpvTHr2rI9tAf28nfP/Z6fKjOlFU7xyy 7DYhA6qVNlj9fgkFhqbF/rxTyPCK5RfyF9WR9gAUo3C605dQdleAuUqAf6tyZr4OCxY6kk9/J5Dx rp8qdxQfvLZIm0Ld9Q3p66T+XAEEpoM2lynupjVExcrPO4EsS3gByoTLhmKtirOe5OZ0zoj5eeeP 5cc/1lr1hFJtP4fe6WO4hGpjwlFoBXJ+YYp/kT62EaZQLC3xwuEMRfG0yAdbzmkcvC4EqfQlrTRI maGWiyWEFdCocsUCkeF/i941ioakIbPuoKpHzxCGxJc+cfnnnTvGusP3Qc27QSbWnKAQH50GPeUk ADoa9db+HyuaZIETPZ6QQJCq+c4c42lGx7QmG/T22KCPsygXm6roIk4YXNMZBEc3XBhwzF4QMMxA +SF/oYBzlgeotOB5CmXi05m4rM87b4xVj++clcbj9bbq31ljDuVseZsVT6FHkkTSaNHBLiKVXnkK vZPGPKBGard8uEn9Apikskm2wP9tusqQ3aIKlRnZuu3e0MsGl32Vc6MqZ8srZwDbMD1C5lI+76Sx LH7z/4J75Auxf+eMIUQAxh461lY5G5dX1yTmiKyel4eA0lF5uqtyNpR5fBVf10lTB4MASBHtubuU 1KhdBW0lZIWWtC7afL8zxpC0LpGh5Lq3r+veiUmrruoTF33eCWNYgem7cEaJv3QRVe98MV5kELMo +Tai+/I+K9MceaY0eqYk/p2ow8FAcXGCOHjSCiAn+nwMqSGSQt7hRm89oyeXbwdoEy/0ThZjUk8W whp3sHss9WFbbI/PO1cMm+VCGRgZAks170X/ThWzpxxQheo9YUry1+WZqUZ9cvGBd6YYtxjqQYCL +3DLQvNkeZ3eqAVIM87P2WrATSU4us0rIcuz8PPOE2PMzsOH3qgajMOyprr26N5/3mlidoQ9C2iL Wuwp6ztJjCgjqlcld9ed/TaA3HIXJ4NLEL5zxLjDWDCbvfngfejWqKAkgaaeyuYZtBt3GHwyH41d cmU/7xQx5o9s/KjqkW7VA/StrrpX7+vzzhDL8l982hvY6KXePnSLgmmUrxC/mR1BJ45Lst+7GU0c 388Y0C0ETTfChPAdbPBQEd7wo6cs71jwVeUyi3oDEJ5ECylppfwLPiO45ykSs7LcZDzry7gFCEbH vZ3xeBAqXlww7/mqOoxPUBhLn6x+puwtxLWt3YquloPKFzi0toKi0nRSmkFwuQbP6unaSIGQk2nA fJi03pGZLAKsntcFLdohHQXTKxHkkXgWcfC0HjbHDP8SHw8a9UYytHsecnrrBtM9DKbTZN1DVdZR c3ZUp8kQSSvO2eH9PZhWfw4F1Z58sLJQAstTOpFnSUNhVRhraUZ1KnPeWJqFsx7F0pqZvObywdCH jbBTXAOj4vEIF/ydsKKFaRoxnKCwNs3K4mrZJqhNZ/wgjfpxKYbpjJ8I62H3O9r8NtghdKKoBf3N xVsMWGml/RCRISVzIztQOkZOLj4jSDZIZ0mlVx80QScIolUyLreJx4NIsdavCcK5em4fP4R6WJvO +8rDsk0/mp/SYw1v0wMTxmusR7VpqTDssZMPFkufA+JcOKgmgk8HhAah5YCZ/3TcDlI/Myovi9M9 iqVlZtU5UaWDGsPwJ59tsNrU124FzweQvNW+jiFq+MOcxecopByifk+xXIkxUVGZodCifYaMLYGz YumsR9H0lHn1IrOHg51DGVLcbSplPdlMkbNMprQ+WKaFgaJhzihV0aNoumyhPFgf4mD114xuPQOc MvF4cFKn72iawRCq8TZDEe0QvQ20bCRSBdUeE2Uu0Eu00tkiuIbbLIymF+tCpWQfPFg8EWuirjR6 ZAsYK0IZ4LIlqfg9H5WKrXeNGvXShqXXR0EQNZhupNRpMvUDeCQeDyboVxOR5ShwiGyCwmgarjro U1o0Xau7V5CLYhMEXWmmrD2kHgozLofm/Tg0n3nIkPZuRZzec/RwcTcQDhuuNaEWdQ5Ve9fgrjdV d0rpcrB8jG17lnrwG0bhNKABX3c9YB7rFj16WJ4GcbVX04I7cd6oltOPTYCHbjJ6MmCPxdBp6puo AbbcOxFFj3mWSaHwMsS3ChVUWwek4eT0cFZr9SEB0ceyR+XpKv38NpIPBsrbkKVQTj/xeHBSj++r jDQHAEltht7jadQjoYEIpEZmm3XQd7AQ9g+EFqseC8S7ypM6wnqUJEMmljQ4+CkEcfBMOtIZzxm+ KDHcRCCHSBVNY6dQi0nvGl1lFF5CI9sGwYU6mGpikeWJx6OU/he4HGBJ3rCaoAg6Tdo8FELkXlmQ a1IOvrIHmsWSkn4+FnAE9YDnFDDTqsA2ov0rpanOtQaxiybzyjxGFfkZ0r7ndPqh4KQdQqgc4jOi YIhQD6kL18vgADNTjKlzKW48/i/QIh9LiBS/TqEwoKYNQ012TPfkagLn0pgGo0Zh02coKk4vVlT3 pL3nno+9J+BUOSWxWAFsKPQ9gcDSD0XPc3nAHp2quT0Ce8hw+LxN98Fa9WeFKl48Pw4ejyjQ95zW HIHsQfKySNBRSE0j9JaHFYZaKteTkWql4moi1WTEOKL6NJ3iR4bxvA0eMZ4EGjwiO6k3qVjkK569 DL4+5IyugY69a9QEomTHlN33vCc1FAuHVc7yZ4Qh9fBVxBVDfmS/eeuIYmrkt2NkQ02fTbAd+1pK d23Kcz4ZpGqE9WmqLqKF4cM9iBYgMerWL5CmGFN3rCsQ4H/mQ4VeNIgbUUxNTWUcy90Hg74ucKwZ 4Sw+/i9gJ+8yxBhteW1xhPDps+KcSD9+QOx1xFAju5QUhYqAVRMU1aczW/Bke3LA56G0MaAqP6DP JuMc6HmQbI8fvcA5lAR5u+zPuh/4jADYSXZLSYIzJJapsIROqlGZovXzP3g8Kg35BIl2hki43gmK AmpUz0ejhYHVzjzpAH/Hka/ZCb8jCqgNEEMP4TMwGRgmInhiobWIOYPCQFG3iIZ86PD2dPEwMIPC R0RwBpI1YV5gg5Kyjf6jTqHZ8Pi/hBgYLYL/s5af0yMKp7nDyjR84iBKzly9eTuwdEaXL81PFE6j GYmKYU0+eLQIIP8GmRJNRIiQMa1HzfEHXtaI9h71IHqfj6g6rQZHSSS5EDqbdZrM2RZ7ikCE4flo Cd0mGU8cVGP6pdSPMKIugnxYt77RnEFSXcuT/PP/mw36OqKIem3pVJjU4uVrwi9qrwH8KOaogH/O UwgUoEofj9ZvgTrZuwZ5Kz07JkNwDbrKJrCRbA2eqAGPR6fQ/nWVYbHS5EQzFMKnz09xDrBiyM6l A4mID7JEsYpW6Q59HRF8mubCJ33c2QdvAk2wcyGMg/IZ3LaN6JHZpToLJuXbJetV7xqd0wiEgOzz QTN0Mg5CHiFjMPB4EA+tXyQOwoIviWNEFWrWPgADtC4ZvWaYlI3qdgIncswmYzaiCjWdbyCQIL0l BoyZ6OkGlDFZN9ToguPZj5l2oJ38A0z5NRQ8fx6fEZXwmc8vthE5WIUaEANZl66Nx//VJNNyOz9Z uz2OEUXUwAqeHbBdYHm0O0Hbj+whAjMnKIyop/nl3sH2WIJMHIyJmXKc0KtxglioBikFwMN7Um8t oTCkblLEFVMBriCOLz8bmZvkHJkLzweJfU1fdz2dOiinqimKQ2ogYgjW4RTR5UBsu7bsRBrwS7Qp imvUzFulKbS+NIV2O/EgbwCqBi+mqaifJbhSAuDZcLqoir8lmxPyEekaMHopPhhHocnmF3S7gsf/ WfpgKCKan8eLMyxSNwqXZgFhUEgrjviQNoNJmE6l9jOWwuMBhP1lgxWpQcnN9JABz6WSPYXCHhX/ oBSNxrUDz5h0zBDwUaXNIIGhK6vcGkhYlPdIreHxYIZ+dcro2JiqH9QzCqiRvgPwUZnPwxhnK3E9 l0PJlrhm+mpSWygkJBLIsHepPnhaNmHZTb7eCajXnpILblMeeUS13xIsoih8xl+Ja9nsdnAwOENa a6p10Qoe/29cMvCP0l1BobpHRfGhmeQS6Bymhg8GtlHsZ5PyAFZQyEesXYFQ9cFpLvAvtU1Gx4XK RlAnVQGWIxB8dEorlQdmXKOWFn5tPljpAyBbZRGr4vF/KeiwFGnCfD5BUUCNKh/gwdmSVkl6iOXS rz1H8Wb0jCvUKn2w18rBJigBiNfAVkT99dyUcvOiXkaBZBTaeV6gxtfEZ0SHECXNllBny1FnwNJK cRQrAo9HJI5vfRj2wOdFv84ooobKxDl5qrU5dnUTBXhEb4d7AC2gFRSyEYXt/Bq8vJjGuaJBcUWb o+yRmHF0NekThPEeCP6ydw22GIQ7C9VQbdAEoW5L66B2flM8HnQSb0rGFYSzvl08zIzhHjiam9kE OjJmUf6+mM703CixaYIiuEffJsmwfLB77MTAJ/nd8p4EJXdJkpPOglBHAPnVg0VWF2cUTtPw9Bzz s/qgCTqLiZKiKHgPPB4Vz74bZYzN59X2mBHeY/CQhtWRbBQUTbOCn7I7K5c9DXQ2owL1Ihd8L1Vh V7o5WcffaZI4g/DkWiTgD0pZAiVAwq/LJxe9a3RIc4KsgtYdlXdeuRCP8QOlQjwewRa/4QyZBJfp rdYZcxHRYJUdZ7+REBOyZtU0yPq5B94MJfIazyB4n9jg3Xq4JyZUZ4l8bZtuyn2g5F7pZtYf+wR6 ccyoPm2IKrq6aFC6cS55O6TX5uMRouquIMKLUFVct4I/I8AH2jujN/cHams55ixdPMOUpwdP6Sic BjceNk9r+XDDaQTRCqdP7rWW2rHSwEdfhvK8VqCmSNWMoukmwAflHDQY5mwKY3m+dO94PErqv+EM QFGUdhH4M+Yiop6Yt03Q9MwDIlnJmtFLHlE8hGJtD3Xr6/TB7/mzZAoqS2S5ALXOCv7aNC2lTvS8 XEQ6vM9QKI84hpZm8sETsika0DmZCh6PEDHja4+RxDEvrnNFoTRyxrPHsqHLIURzKQr1cbypRlFY Ed4D5jNIVdvywQ+hBYlhiG1TginNwYN8koMDyjbzsW/d7RUWpxMPIeCkbdAEddhKMKVvteLxiIv4 XTnDmqj9yuesKJQeBJ1lQ9oD+WFo1/P5JTtBAZoC0qEM8R7q0W+KLqx9laUB7EmQ4rSaByoplAem 72ojreqpTWOh4zP+qE3/X7zH2f9L+T38nfB4sMWKh9IKmpBrXOHtFWKnu3SXHO/RHKtY5OvnkaKV XleI99hJRGh26ino9tOEe8XyGRLKO6vnZPjU9GybaKYKeeLbZoVyAT4jqE3TmWSBHG6DJujkepn3 9AmlBx7/l4AyEUOMVLdDqlbIRUSkuEZ2nlRv3t0YyaRxkQJUKwqtEDo9hITJwwevvZ7/PapEB0Cp T2pEQ/8BTF943rYrlEfM2Yq5iExTB7dYHneLFSAZRNbMG49HZ9B3tlqA3FrbI8UVhdKLW8zzrvPy DrnHyZcVE409nUi2QiqiRP9zGT7YBFXaMBkqb0MDlHltQ9GyZUozrKcPPfWuQdVMIWLJ2Qdlq+VE XsxBzw+Q8fi/AqELfN3PIR2F0onV+2JNnwFwqoXSWconiBQTwlZGiivU9WB/bHGLabBQep2sAhxH XPN9nshH6XxGwEiZKjbx3VCSoLMVgT2WVCgJG9CgWDrV2ikWXEcfeDxCVN0ZogkgIs5e7xSF4GmQ gOq2+Gf06ep4qLIbGXFsfC1tsjCYbip1PIMX7xO6fSxO0d2uydOFxnYQOv2BgoPLBfu7RroVRJtJ L7jLIBoztOh5hhTrXKB4/F8aVRKCwT9s5e6ykIwIL8kTMNouA2XMimYNEao8AFKdVvJYUTQttN3L DLWTWZ50iT3EltW7J6IKvT60lmhRZEUzisOsKJpOTOU3v5oGAwydY4gdV5g+4/HgnL4ztJi74f6s 95wOqYgLAiLbfMmB6HGzTdRJLWFFSmhLKK5N06W2sWjGwYtmJac2JHXfz0kA3CEKr4XVI+R3cAZX l7UkHJf4kMBEgs0xSFb4YI2ctFKTEeuqeDxo1P/SZUDMWuVmwxmKommgT8fszlDoMHg0hsJwf3Io e5ii6QqjaYolT+m6c7AZQs1sE1ILp3VIS1BohxQjeLsSQH+DoTH0slEXkUTWJnWp1q6vLVwF+Hkn dMfj7zO0bvGepekK/et9afU7iqdRiQFm133bpwH0UFH2Rus5qruJn+yoND0k47WlirsfVVzQn88e U2l6IGxRg2NSFGYQf/DbRXpH8XSjfWQnfFGD1tA5yeTtgSwSjwd1s/aLWJ9YO/N4er/H06i2DRq1 eGFR2CHSpFQAacR6ZFtDO9b2oOZLp4Xk8ooEykJnh1aGkZggiFXRiLtAmaGfo/kHNTm/yih7v0P8 NC1aFmdUg46h882bKqdQxd3v8bSaXTZBnA00Mdst3u8QQN35jllHzsxp+RKiC7bx6ueysseOAmpU AMCxY5OZg8eLmI01aGp/rn64hjOpZ2OBWiDJjVoLDWXxGX8Vztok6oyD4sVZVi2mUZXx+H8R98iD 4h5evN9RQA2ZwpOIDVPxAp/VMo5Z3NoeL2DiHjsEe/hNlnzwPXYueLog8q5fJ3iUNDd90tFdYFTi VMSidw14QJLm7uIodF9CJ/OEpzk+7lxWeDxSW/w+p7M4En5O79CzBUiGMk2vC3bPyUkcwxWYxqQc GScoBE+zm7PJ5ddw2z/nCFqdrJtWzlfYUg1GrZaUkfxlZc/i/Y7VPQo5iHP4YEk9fN74BU9Wj8f/ iWTAv5XelV/1OxTKo499nTZBLT32CSjlWM7a7yEUQj0ovZRQz7bBJyihC7Zl75eghbvpmizTJ+Jz H/g9VCHwGUEsRFsbWF34oAk669uQpOfOx+NBLFS+M47JuL56Ur8jpAczjnUVmDb5WSYwlF1g6ByJ vsVCoTyROJoEBdu4KwgksonglwYKANTSjwwkBvCU1g8o2NaDZp8Un/GHAlMtTTX8ZkwabLGVkwWL BY//i1LfpfnKJezV+x2G00xaUx+GqKJEv/ZYcQeOAdcqm6EQ6rFMIW/44FiYDCOtqqw+b2j8CoiO iAdgHSgOaQkVQifxGUGwSOB94XRqsFMakiSS66wDjwcJx6Ps0fTrwd/m3vRhcZqmteL88BTy6x2K 4/3HqWTVTGv3n1gP+JFUH7yFOM7vK1ldCPnnRb3ezpunkC6Vbn+Dho/4jOAUklwnjkwbfIbq5hdE XI3Hg7rHFcXFBLHf0Nf1cInCaeA1gXW9GkPTSKxIA92wNtE+hxMUIT2mmtCm8d7nw0aEZsIm26ZA b3QLmjZQQAOI/gdGs1eMsuld/1L2IAjGBttkZ3MyuoHmFB7/Z87Kix7+H7d6T/WX90+FSNUqV5o7 reVAj5KS8aQKGGbSLk+htgerr3Pyl5nzXvV1z5ROOMfKBxr4xB4h4zi7DLqmP0JuGF6IOkz4lICx WSVmSreJjdZtFvb1rD6KfcKyYvIP/AvcSdov+pkou/k0hZzEVZm5Er1JmYYsIgcA7dmIHCDemNN4 CoNqauKeRZ98sGkaZyp25s1aekqLNTrUZc9u7JRRY/5hZfyx7XUjVUpqMZmr1PRGx4BuGjdbG63x +ahh/y2CQqc25LM+S+9xNR5LPIVMxrwz1mAVjUblqqJVIp6p855CFDXrz2tTXWDtx7b+HEn03CI6 7xzs/CEa7Lw2DMT2D2ijV8e82ttGoAaW0RRZZ4Q5jIYQvSeCMcAUW/wDQWSUvxVy0X0rczyzFAXX 8IyCrq9hPCFI4Ehh1xvExd3dUCGFfohV1xUXynLTMGy5MzEno6c6Za8JcmGIjTI3Jxcxt5unsNte N+J0EHmW2FzkoHNpA9LD73j+BZ//LxXrDYxy388sRRE2GvRjDDfUnPyXPJiovae1xBPADqaoZm3V /KZImyxH23EL7gNbARKwH7T86wWVM9qAZEpMq1hEBW5+StD3GIyui9ofxdUI0DjLRY0h+CqkEAFS /H4buhcbhQb2naewcg2MA9J9Maja8JOp9koZgkHSLEJczVMsSc1yY1/DB4+0of+KbhdWE0zYJexV 4cnY0XzdXxpxDALwIX/xpYG/90HTVM/zPKWASObz79NU8+3iW7ftp4HZ57MUFq/hQAGDI1ZhB7aH 6rHn4IA+KJB7UKs0FhX+UBAJ0EDgJeVvCTgJmU11tPITNZygtMP278+kf4DkKse0t41k0FiVnRQ+ 5WCAPWSETSmtXvJfnOksaA1bAuvOUij/kfptVc/LvGebaI3qwFiU1uz8DvU/aNH6cjLVMyHnZKIm YwNydRJ+Tt4ExWR687QW4gX2thFrmgh9OmViMBoMDKepYQt+X+Pz/4LtaeFVEIWuX1CKgu5FCZBs RPuzR295LaVrOlXRLbHjO9IAKVpDU03H+ajIpAJP7k4mTF1nhQp4NMCZoVl3fYy0zQg5hfrUPL0n uHE22OndW5U052iTzwcb7kHH4kHA9voTeOcUYqwJIF7VYCErXWsuim2bDkhy/dycwko2L3hQX3x4 uB7rZGmEFkH5NC8e9K2a6/MPVcsp4l0gy6y3DWpIRToXafpgEOJzOpC7WgejytD15fJhVIfEIn5E 0XLooog4DgmczdI5Zh36gCv81rK3z1JgowhKGGEzEK60wdYSpExgQ8jGbC+7d0aeC31xWgcR08lj 6SR03d42olCLNSSQSEpeBjiHQ2KCUemjGBopzuKzxBAUxxcb6DZJUewtygfVgCSYMsutAxSrlAyI 4/kkRQCRhHLtAJrFB5skkBjg+cwN1+YJVovM7cGvoU1yHQ+ML9nbRggRQkBRILTBECIzKxBAxsvn g9A7j6/Qm9a6wHv4JMVmirTu8IJkq1b+p8V2skwXiE7zCgzdFFdNr8yhdiKLs1xRPoHEUoIAC9tv kxxY/PNyiTEsvPJDokkiCZZoUAym0QitvUIGCMDLfD5KdttX5A0+VqEOg01SLLGHQyk34fbOtmvW UgN2M/+YaSlqijZJUeQ9RWtA4cIGW0kdfWtUsykAlqCbL/4MthvozCgreV37vm203QiE2O0OBuc7 kUY2pnDl8//s8+MfoxAxryxIDk0VgUg468f9KWSBJV1mBh+q3LIeo+0WMhlFxs8CheYLCoUUM5zN hbveEM/P8jjhyY2WDlGw7lAx7HX/sKioBZrXHKyOj6tlc5YgAcDngz7tb2dpFInHlb7IgbGiDFwG 3tn2m9soY6Mn879FeHOXUowYIawvUwqWg80SGrFnQwvWB1P7TGHHjiwXJm0/6+tQopZcDqwV3d81 Q0/UBi4lqGskGf+doI7PB12k9O1qBlX/XC+nOgfeik60mibT6MxPEa2ms85TdrJeDs0VV5HqDy2S OXgOdy58aBYswmqQd1HmIKOkT+tYStlful6x141oIP0t0x3wf2RIgAuUz0dH9+Xmsx6AIO0xoAwM Fs9jc5EpczPd7e54bRSXKkoAVNksRSohAh/tItHKUh6f14laJHryCCgHAkm6uCNMbvznKz3yBdXe NlpKEtHfzQcFARWkQpmb2UtGQirfBTgch2ge3EkKS92IlFpa29FH04mxzYuXIP9mT00Ck8XE6gtm J9/Bl9IA4LRJKaShoKgEDriWhq5Sbo824azDXjcSjOcs1XQHBZSAfitJnSvz+SA3Ge1rwzFf2l8X XAjG3oWihFpAA3VoK5qcU9cy3tnEbEQxIHZaHBJ16ndw/MhZiimTzgws7aLa6DmViBKAHygUQ64V pb9tkMDR6TUTJKFBSwn406KS2mx8PshNavuOJ3FP9sv+zKHZIkqwELlcRnqYTgUB+/2y0IerpObQ bXGLMGNCDuO2TcC+RbA16FFVUExkIgxZtR/lJ/2Wcvd926AWQNrDWKSjg5JpjfxzgHZiks4KzXw+ 0uD7pfYgnah7dIeGi7gDx0jGjMV/ueQ3RNxsJQ3al2iSQlR2V5Zbkw8+SXAOpXsgVtLecq842TtK AZA4pZyBVtJi9y2HjovZ1FRm80FlpRPKJ9ZhITXA5yMe8Q0CTCBifyOzc+y6uORnauo8Y3mVEtJk wyB/FXVXm6Yw7Cazai1pYK1HA6uDZ4ucFGtpr0U9LVRMzgkEgXSJA/NUKlSL4IcEESXlHEvaSuAy esCYJ7gHy5my77X5B4KQMl28jXdypfli0xQG3nD0ajWZUhhcfPxcgouLld/A6dG5FHgvOq6NDjM2 eDXgXDtIfIWvhc81oZEJDBq29KGdfRNdhUux+SLTkzVl9zHJbqZWWLUKCjzW+HxQDqi/mDTY6Pky 9/Mf9osUeNouLkuOByPvIgVwJrrNJVZy4L94ObOLImAcPBBYSHAlqAZTnd5ECaVsOs3eR7sd77ns bSPUhGW4zYf/z9ibJcmyA8eh/7WWNhrmQftfmODuEchqMqPPpUkPl3onq7JRGGLwQelJJQn7h/3K zOeDPTd/gWwpjrEuZS3HFoyda2k9Pd3tyJJ0zc/y1U/NoQfj0lpqhLlxeLA3uLK77BoSwiXW36pk phuzBLOHk7JjDk0Ys6zzEln8HDxc6pU7DlgRPh8tpV/gElSg+pURyaENI6361rb8dqZ1i5QnhJ0X 4bY9iQt8GF3qOqOhaoND3FCiTJWGBPBpKNLhxYeCNzaFFJKekZw8c+jDOKqEUlHJ1aBJOkeCrnGQ aPl8FHiXr0AADq5U7fBJisrdkLmELYRV3ypR0CosiUrL/QbddZukuNxNYs0WNWtfalYHkLHnaiZf 55/Vpv2GCAyidIDG2CShfKm3DSapC2LCchQH228nWJWDEKtvoRdjmd8hJSSqK7awT1KoeA00aRM7 67FpEsYkXQiFJqnrg6I+HNElqScffCXtvemHhkk611rPRJzOJTWa/ZMvDCcTvc8vCW44CoVmwto1 OP2IilMIgLjdQpjJ+IWiSFAJRP3RZ+k98IbQI2apmdjcmaV1Z6n25bPU+52luNzNlkmhjCMHP7rZ n8omu4JLjKDbJk+qc8Sj48By4c7kzPNLgqUkUSPY/9ig/ZZO3F0lCFEznw/CgL2+A29cqj3fQyl0 ZURzEOIBBm5fdfuhNFn30KFEAg/3W2zLKAN4nNA2eI0SpdueGXiXfV5MqJRV0R/BPvsCTRK5nUNb RuDiwT8ad3C80shSNzqxBZ+P4u5vJhtxrfVpe4fGjFsya9UtmLeR2sA3vlKGhBnYHEVx95LCfNcc 9WeOEFdAJkuYLgSSIhwDhlDU13zg7aPb2wbYAM5O6xSka90xSzCJFoMXbj58PkBQ3EkSNbD8qAdr kxTit0f7Co/go+ic41y9pDtPiOA4k9CdUc3uVWb34RYDJivemUQSMP8I9cbN8NM5+1TANoy7mt6h PyPlCmp2V5mHJ8HGPHdQGnz+X7PElkAnguJeb7FDI2mjyTCBA7IEtpRKWtkDpZocZxJbNEo/fvHA 4XCD7nN5DpCQMEvcGUTjwDmuoco4cDQoUCLrh18ShJPs5qJZ7IOKb6idM/06yaJeMmpU+pnEMxq3 CVanT1IYc2O/TXmigb7uymLQfUwOxjl3011Ksec5T+xMmAkHz3NPHLZTVcv73NSl0vxLBk6w8cvq z5sV6rLXjYBd5NWKULIuoQQCQauoJ4B2bmDV+A3GwYRQ1gXP+SxFMXemMhczF4nQmuYzwMrbUrmp qIb5W2jWKAew1WQQ39KDVi67nhuBPYF+vmBmogQLkNwd/x80WayOu1K3t432G+PITr0jDtpvsIUy 43OUTAK7xu9qN0/pTVeA51SKYu5BG7mRm5Uou00Xtpgr9AOtMHySIoxJIyVr9bZ9cOLNZhOGaFNE kXWx1FtpBQG0J30qrSWwur1tdL8xRCLeQoMzbwaJcUDiDD4f+ad8q9PlrlDuTlJY7G6wR2vGJ3EV NrJI3YCPFlJeMIkdG3V0T15eHBz8dmKRxfgYEJMTmCXuzAzlzA7sKaADd7+lZa8b7DcmubMSdspB p1IDdKwrM+l8Poonv8kT/GnK5bPn0LNxbnbejMYOUJfXS1LJpmEzodTssxQF3dajHaIlU8TIykpn 852EGWhkwJVWGiRT0u8ClEo0h67jHpaWviWIJ9kxmYuh6Fxuj9opN8kQ8YQbfD6icfWv1IQuyXV9 xQFhuRtNgUmICjsnEFm52DesIIj2ZlrXMOoOnRsHS48nCq0+2GJK51fItO86IWCBJTEC87YgjTlA PC3sdFi0RKJSDrwbcS4R+74lHrFdPOLM3qhqnZxIgs8HccBF5fIIQo69Huxy6N6Iot1oKuBSBqB6 WWnyulFuUtFSZxwQ2DdCZoFGxBQB0OBd7woSeOIVl/fG+a1cD+selwx1sZTmUliZXxLlJvKa2dsH xd2wqmB8WSvWUuDf+J3BKd2bdEq+kxQCvDMqALUlq73l7kwBWJoalWJt6v11fVBQVVoCmdTmw0Xi 1DNDaIqDjtzAlJefNXopaKg0mXRxkhQsBRaO0rim7fz0wdPcJIvx872Fzwc33INX0nxA+/VZSVHc DQDQmZo0HGQyvem9CHc2y+bSfZJi4iQTOFZzNTwoyjWBUiISp4EEJz4B4kbQVKhFb8RJld5CF8ea iXkD0Qq0LpBveFWBKU8A/c/ZGYsfEDTh2nccQG59eYKlwMgRTTgA3to0WbbVavY0tzVnl0qYR/st LnazntRkw9ceG74JfEbixgKnYhf6HpxZ4tudpbRv52R0f9mIHMjt5lh4X0m47ESEO5+3+fy/5Da6 0ZywQe8NF3g5QmD0xJF5mwAAQKfmdgmiu83XIJtGN1xs5rhUKWEktJ6m93mJk/6Ly3WOn93mJHep ZfyOKCsCDeTqfm3b2wa0E+IninywyvXBgqt4nULXzMrno5V0+V18O+ID07OUoribF5ypHQ4KlGQn VCRHwZ0FXj2kDBwdLztn0byagy2lCmkbeqkghVsbdCbE3ZUmxucPXaU+0LdlbxswmKSfCT0/G6zp jfyJq+PkQXw+KOOm75CSrHu2H2ySwrgb7g/V2YE4xK+q+CxGiBsbbg62lKK4e1mUJFrF+GqbnFMb +jiosXYI31QSBWlYDKgdOmjzcnMo0pYDY0eEZIvwEgZL43rJw/Bsqb44q56P6rjfHYEMDEffT+Qd ejvC4XLMVq36NskwI4KiKrzEsZTKM00RzKTKa2XrWNr3WOo4is4vXIgNwLqS/MTAlYig/Kc/uGUJ keXA3RHdJUKVus6lfm+4CYCVZgmdysDeEb20/BVSou2MxMAm6fMaKhWF+3Bt2IbH7WnZHNGDxpzV UOvk0f0aKJWreswEbuQngSPjtNExDSQv+jAyfUFPhmBoKBC5hD82+Oc1TCqA0dDVsfQ76NzOe9L0 EEEqnn6ZnQLIke5/c6CnxGAj2YRXajA7i1J/Y5iH86DitSg5yc3DJrJSW0HB7HQ6HGSKiGrwnORk CXkMkbsGwd3GeYeHB7ZtQug88xfw/XV60CxRpjWaD9Ys6SSvE1JxQu3X6YGFeLHp4WYCTCURfYPZ eQ2OSpaV0drbJKQg1WNn9cxfUIBkOdtraFQMCDAyLJVt0Oyck2JUgCSkmA0KooyeEVGegxv/5hGm J5L7NTAqwN3Q73ITycVBk7OyGAwoL7bPa1RUpItja4f/FJ1jMnAwOa8xUZGu24B/9o9p/E3nvO32 cN6aV2xfI6Kiox47Kt3hZiAA3gKBjKQfaBle9hO+crXShPeafwtR+hoQFdRFCCSVG1ZBPENUA4L3 Lcj7OcXy5zUeKvkW2Ip0WMHd65RsoSV7NDsL9mm1mWLLOSWyQ25JtlcjEkezTFOjjSXTh9KLD96G POkFGCnkA05caATBwUwKvWwIHZe7rxqNv6NjJ7PwSINMDcr0T0xUpXN8/vPzGgYVmbpqci6tlOK7 nJzXGAibERCbRj9y8m1Scvj/TubDi5Qjm97PawRUVPnEcUNr6/xlMjfQUIcTBi/3WaY5F1F9DDCD TBw6r62SWHp8DYBwQA4VQGg1x8Gqs0CqKPCr5fMa/mAVmP5qkSk6hXGp44vZeQ1+iu45tLlc+hBH phmGSDkbt/oJf93uIZqdNrRmqg82OycVA1mOIuIQnqQnAJYOaCNAlizqwStrlZNBtLEyq7LQUbPB mEhQpOeNdQ7/z2vUU57udblg9rKXT85ryIMjGSiIcv2+azY1+vMH7mTNkHHWvuGPXwMeHsnW3t8+ +L46i/EEQNpXJ1YYiVyujpu8MZpNj+sVPZc/r/EOfgAxIgkX0eAQiMbf8Qc2c5/XaIdv2L42Fhwa KiuynJ3XIiM21qQwS3IhLUnRsy6kPJWuaUigpDUWnMkpG43tDh4OniPh3Ek0lhtrQtGJ2GxQcAfU nNncd0E/HsqvBUbMziYSK/XhgzeHSlax+hyOn9fyIs7F5cdOshurLpoVUVcomB0Us0cTN7siKHQw Vk19+bEDrp5JR0RnMq/zJAOM9BhgtAoVsE6IemExebBUy6JuQ20wwxjUQdn0KnqtK+JVKT7/f46d s5GHkLvw3v68VhVLviVq1G6wzlA7eKbnnbqGqxhgtS2B3soqR3cuDe3XyaWpc3qVI4qVu9KIoT71 ePrUvWP1IEs5J88CPFAGGAXCLEg1d3m8P4U0fg+WE9HUEK7Z2wctn0o2Iv5oQmeiaHnN8rW7Mm4s Ugk1QVG0jCtmyBYJhw9Nm3QypzkNgjWFeBNiPZqgyeu8sp+vIMGO5g3EknrUZ/EAlI5wmTKDEMj7 yZss/yqj76mXDZZQoanloBEGB7vXIYfHwzlXPh6czvv7YqfCJ+2nNUPvETN6QZSDbIbkYxVCSwi2 uLbFJNso/FUwQ1veIDvdwa/2CXlbs22EF32R7AbqlhWxGayLLN1aStujmLk3VYAEB1kOB2kFhQJd SaiTvQfNYOn7BFHuCDFNIz5cMxSFzedDEfGUZgXXdWXGdn88Qgh/U4MjOKNhTncWD2IKGxx7NfPs G0sMbaC1iOZFaxGtCsDDCAq1CywL5hClXEn6YjyFOFglsU9qWxFK+3lnq5WH8VDYv2+sXg6/wt7J asX8Y+WiyVOouoxoxUmqCVoJpVurtUYTxE0GFLEPdonh7D1n88yywGjUv8M+ZPUOBW4K1VFFC/Vp vutfp1DqFDbmcJkzS30JaB2+E9WKkKRPeJiJKu43PnwnqhVjO8yavezTXdUHorSuuboYS/EYeo+f 0UWio2VmXZCD1zTOOpmbSQ4M5Cbl88CHAIgBZIf8yGUO+vC809SwkemD3gn81yA4EZQBuEdqavXz zlI7r9jS+IoRM/CiHeGFzVAYQld261n0gWrNys6/StS0otJIgyeniqxRDE0BDRwxxYenPQY4kmAy ZzmhXMKmdWUlECLw6VZ9RHeMgmiVWEG/9cGC6DkKISfnPffnnaFmya1mSGWfCTTRPYWiMJrpaV/u EtKKa0EUoMa8KkaVN26yKI7WJqPclg3ez1jVtEOoCXlyQiYZUIz8IRw4UxHEFA/EUn8PpE2IBRl0 8cGwjTBl4RJYfX7e6WlF6uNf0RBwfw14CJui91gaVxkFM3c27Edy7Eeh44lgDRUnqNZQFEwP+cay fqDhIok7DdSWkMR5T1KKYAsmHr9arPOrm/EeTOOkZX1+SL13NC//bBiFcF10SNVE0fTa+/scQvcS l6NNUBRO4x7B8Wz9nrW3exUNqjOyVY/dYRMUxtOD5w9ZZxqsPHaOG5iDFLZ7dofeOnsdqPYwj6aT p6GI59S7RhOETTbkK8dBxxB8nxVPE84QBdQG7rXfjg7aiEmsehjF01jdo17IR5W/Lrmy0115QHEx if53Ulq5Wn5bfOL98InngkJvpnj4ienO7SqbEKBZKz1j5A0o6ayhdw3OaXFAQZGzQXsMruFDsgUl f94paUVw+a+rHpW6cbPVd0aanV2o1bhXES1uTZO2usHuQr9T9dX3eBp1WiYcKgSl9ItpVdKm3285 2di50kgAbVA+awhIUDZ4aHv2skGdDKc5lASyDxZPw91bLNk2P+98NBzz2YOhYVXEMm4w9E5GKyZK 22rbdk4311w78Wr2qz6DWqcZeo+nJU3KhvMd7gxNdnPYLITNbiPbGiEepPQIjLri4YMiR+9cNEZD 6S2lp9IBk0BgeT/vTDTrfzx3fcEr4XCxGQrj6bPWx+rVW/PFPQwqKogXge6iYu80tCJ9ZATSdHPi 4DUPOu0BkcSs/tzxLNI3JI0Nf3KhI5hBYSgl8s5CK4aIPXHQnD74OX3OIYmHZz7+r0o0pewk0u53 /TsHDac7dURGdvWH5tBqfO24HTAvCr1T0LjHJZXJgDr1G1DDlfNcxYlsD8hZLfI9O0G+jRjktsrd ZSQOvVPQuAgIEpq68r30BEAVhf+Bqk3z885AK49TvHXBwMAH99inKIqo2850vOq+za5GP+Js72VA i17bLKpIU18dq6f64Egh1HDmUrxY8b80wdOAyIOjNeS4rVwvm4d3+lmRRCEE85hzcNAMlZTHEhR2 rs87+4zrPNsMMfABLAeS3jZB7wE1ziHp8ls7o8i5Wp5p6yksdsvr37lnTF24eLgyNHjW2sdZjp3S GOeeP9uAqmwU3eoU3S7UfrLa0NLLvp9Dawi7KLvvla6/7plo2XSvtD/v1DN2jMvXLsOPU1vKPkNh RE0hmpqN5QGGsM1QJ9qRaStUM20JvUfUmRIvgE6TWlV29iU00IVvU8aEbWDRbLEcoZSBlYVe0VVY WXrXaILoFLKyxOjOneIezSfxZqGoj70/77wzqw8/M0SC/tx3DYXFaeQcgzwZUTyKRdG1tJxsk41C aGfi5wS1exZei6SNyyNtPGj1PaSJcXbw3GuYmH/54Ve0eYtngz2xd9LZjRebwqF2wyHcarJjPb9M /7xzzvD4/DVBAOPNfrvNUUAN5axxggiT6O973I5qusaEA50VbbL3gBqVD2wy6C/64BPEGHorr18p d3OYJQwYIDMEUu67h6jt88434xIyebXmgyYIpxDT8rNl1uedbka0QvsKqCmls5bf9e9ss2ImD614 1gp5Zq+/MqSRttr2fvw72exmrdCJ9cEnaJ+zfxZCqIEpLMw4gJ7HnyFnsBsMTXvXoCNPpcfVCQ3i oL/0vJ3UdmHU8nnnmhW5Tn2tICQ2UHSxCYoC6kmfEMIhZPJQ/BBC1mMrCF7vWkHvTLNb92iDsmpt XFm1E5bnRM0HimFB7ZjIYZY8yPtHR5t0JhQX7V3/mqBNVLAGRYsDhpPKWQtfMdhivypDbKSTb6oJ iuJpwAlOuJtti+3qDjx1NVfCWg2/tCYohHQgj8CNVXywi35RHKlSiq/SqVeRN+WXKUDy8BUBh+O7 BilZJaYDEDYbLGcFW1ArqPMVo0P6dzhNm5A7QVE4jYV6lk13Kj59WFWe3rzRUEVB88km6D2cdu9P rHwfbAVBwQNOM0MuGGd+eEylAbwbXBszrZolqkrxi3d+Gfv/bG10is1UCh4J19HaWTu4qE8cUT7v /DJMcPq+6CsOK0qTaoaicBoe5AOKWw4rn04xb5vahYXeliipoID/zi7D79Nw+tCy1AaboUZCUJeH AUTVB/N/kF8BXJg/t+jR/U2jBjTL0vQK1WC4+1WGFtC5KT7vxDJGIt7g0IV3jqB0m4jvvDK7GMZJ dn0B9XxdQgARNxU1NPC1gKLiNLJB/NE7+eChdDphc6blGjOzJkgiTm7wA8cP5XVkgkGHo887qwyL ffNnH8gSNGiCTpY9+b+U0evnnVSGlZBv0UNVDzJn7wxFsTQ6N3RY0i2207SKdF19OL6jbvoP4wQM i9MywVh7++A9MizKtocUeTtkSynoBJnw1ohf+pJ37lsvGwRCXYy7Mn2wJtmZduX0a/Idg8riqF9b DOX/BvU2m6EoliaMobhvE7Rlri/h6iYNAiVaQ5K/E8qedEypvSr/P1PmnygrdZpgnJN1SKz4ZKxA s25e9jiBlNOTz/Z555NddFneydgtTaknut15SoHnBNqfdz6Z3dlP8ZW2QRSG0xRFwTTMhQdWv6Yo u8IzDGTHLZ2R28ApCqvT6gGBPWyDhUKQnC9jk5jY4Q8mHSPYSbBIDW7Wrd8zVnznknldCF4g8glB UDE5Q2fpCwMOLfjPO5eMPaTvm2ywO5fuTRZF06iJD+QxKk9DtMMXUXFzS8A4fRFF5WkAzjE1zFw5 +EEE2lVlcA9TucrkEg6yUOZHzIT7xdcQcVTvNDKGJYR7AF9kg9WFEr3P2LTYn3cWGV4x118XWQO2 1hsc7yQy1u8pmLqsPL1Uk94ktbgc/6qgulBA/Z1DZqEqqx3JB+8BneMXjh5LOlcnCKUKCIguSDAn 1JgvIbETPf7OISsShoNog6RSCgthwntkndvn552fdwrZry6ZJEGXWdVrhkK8BzLjlmnNiH7ZYH2j cQlhu1MxjXDnyhmKwumpc2ikO1y8x/mfQiYU4NFpDGpiDy42yNkBNOFtxGbvGgVDW/3D7IOKHh0i mvyjT1j+eaePFVkwfk0Q7gbmO5qgMJyeLC12k5RraxsqGlZH1g2CK5yxNd/JYzzNGCRXiVzVemlR vTZQ9SfL00AP0BK104enL5YW+0UBD/CzPu/kMayBStVdEn81GEsD0vty/xzr804dY+Fsf19l6I8x CNEMvcfT0n5GyioJgsEs22i/u3oXkWKvPIXeiWPF9Yjgfu3DLQudhZGT+AfnX+3BwlmH0UVDCpEZ 2Lrv3tDLBpd9IyYv9+WDZgg7NwvkcuLpd+IYT1zfZAyeCfggTU1TFNanJy4AQrio1yB3ZlbOWrK6 EAy6DQ38Thu7SWttnCIO3qxvC6Ae6u3BFWywCwRYEf5/o2WGJEpJK5kWn3fWGJNWXmWS2+OggzqB 4shw5nzB5500RlifH9RYb5T5S7fR+k4ZK7L+GahT2E1Gz2w1WuXthD7iml5afGeMFYkgnCts8KC+ PT5MEDr0VYjgioramEJWowYHP/N8W0CbiKF3vhjnpwrn0X2wullXNQs8hPF5p4tZymvFaU4G1dm2 lz3e6WJ4DKDg7qpx5wIuriSbqGbLTVZwT2iCwoiaf3YrvMCbflBuMgDMUgJQDxO0zy2UuQ83epwJ p8C8OrJEnXzeuWJFalAgrKCwocFoLOjGSJyi8PFgBd0ZIoyTZujrBkPvTDHDk56/qHgPSOSeQS35 3b1y1t0e9Z0o9lTOOvEeHLwVvc/ZvyahnWXAxqcIfo66A67a/CjtUvf/884T45HJzgYF9DRYabFZ R+Wcc+vzThMjqMqbZOrVb0CWvHzfongafJcTsy0T/+7MdDAr56ppzqiHdbCy+hZCp1URAm7eBked dd7uTFtPpnjSPitOQ+keHRgihpS2IhvhdwSwPJXMRrmDgxmGIGgVWl8tCqcRKXxhp0k5rjcWCsPp DNFB1g1YOZO4NX9Xqt6rcoaWglZQVJxOVLCEnIcPN69PKMUO0RLO5iPt/iwsetuiZJnXBS7aMR3C PTbhZnQG12CJPcpovMh24uNBr77kr2MaJP6ymqODexhPc4+lavDp2m7lY/Zl1o2TfVOxWt7jafEk UTNju5WD42FgvlolO1QROCKQZryE/d8pz3nDaZbOehROJ3WgpRhTlYQRgQhQG5dAGRWPR+Dg70Y0 3yhfSlQPq9OVQkPs9dDbsnltsTIAM0EGV7DsEdxjMo6mELsNPkFQHaZuP6QGTvLURfNgFQLNIJKc lJFNilb0qDqtfCMXnUWuKQ7QWu5mIHvyjR6F0w8tKjG9Xago+CHUw3CasMVszTDv2bMBxGBMnXoo uPEe61F1ukuwoo3mg4XTBUbzYItTbejMViY/ukG3lPwZaaAZn7fYy0aVoUrK5SLpmZZ7lOo8kWcu 0mI4kSmeD0iH8ztppZJ/e8CvPaQdghpO9T8GQ0OCFYuCWdWCoUVDW1bPehRQTzZ/pJGjwXMy0NHS aJSt6qA7UJZI+3EjImGoaLCzZC8bhEO67KkzocEqsCf9sAinTDwe8TJ/lfD53dX7rD2iHg7qnlCN E0lZHVeHoe96q2dg/jMp62E8LY4lG/Ea7KRGVQh1pq2rLNOVDiESzFDQ7Ca718Uqtt41aiNyZlDI xoD+HjOOdJIOxqf9HPh4PLjKfpUXWZHal9Xbw3h6o7zIf8R4uhX3sNi0cdMEbVaGOj8nWEI8gHIT g7VdHfR+IujVgcRnj+P8KY2VIaYgDe8s4KLOIdwX+I4grSe3Lgs/nS9+ulG2XzQpHJVRQD1+c+yA E1u37tHDCvVCMNQYT4PGihNVaf2krLBMiNlH5h6LK9SszEM30gYHmKeT08CCEH2pNiHTCG4vra3J GYGKxUV70M2yRxVqlrvKnNTP46DCB5yHmqkrTjweoc4uz05yzCi4bK989CigRk0ZgpKbBaHzX4D3 UaeqVWoJbEkvNFSJ+DlRvMg1BEC9DX4MQQFgTJaoe1onCK1aQ7zSOl1FfJNtVqh7VKGuZAJRQtYG IYbAemZBH0grPB6RWL3NwRVeUWhqtzbUI/x0l+DZMBfLAkVPqsKfV1iIgUiW4h/I2z6CeygpQwVR Ay9ftlpPlgFl2CqIOfwAxGOlhP2ZeSq92TEE0ia+IwqHCtVOaWjNwUpDqRehV3vbeDxaQ+v7KoMU U/k6h8KQegEG04qyeSWwcrBMyW+1k7ZCo4QzFFWoF/N6HMM+eFJ2skV4BYvMehJg9APOGgJvlZLx uTyAj0713B4BPugZW6Hf7YPa9b1k2erANhmP/2sRsfQP3yzYYDsVOoqpqeHV6jbcWSsze0SkjgfZ UoCxMGQcUY160ub7BfGxTrp44lBHVYG/ypARwU+GvEhHkcZ9dLLeNWoEsXoGkowNWkQI6ER1OV+G x4OY+vLJ5H8NiCRabDZDUVDdqckqA0bEQ/N2E6uKQ7SZnq66NOIatYJF5WXtycsy8XaSE2onOAdB l40gRnzn2+ZDiF40iRsxJZFlM91pHIzIQWAJQ5zFx4Ma9UNJFCcaXJfsUfUIMdSoo+82hC2faXrN rHa6gJCnUCe3WeLnvB/VMPgDk2xpoFbkIKQBVtOjCPOxUkXxEZMIaf9CEVt0hu26h97aZ0RBNfTr KXA6NFibowEkL2uY8z+fEdWo3bTSAkYcs1BbswmKQmpAj2EKZ+jXLv44wZ30JRL6dVffZFFIzdYg 0EfcZJVAwMGA8WQa6HI0lkchMi9TSzBdQCr/6eliYs6CGviKqJVIxfytbtD2PXb+aW1KzE/Eg8eD U6h+t4HICwAvwuYnCqjZsC/bVPLRjnZLz75dQmjMPg1VNaKAevLqzijn2uDx4rnA6+BmLyi7Vnmi TCqVI1VX/Gd9IHqgj6hCzVPoBEISO4FuLgk8GSKeQz/J2YZ4PlpC31owuB8KEgGbojCkhspSo048 K7B9ebN1zezy3Xl38xYaUUi9NDeIm2y44dBJxXoi7xeUnQGGLAFoBU0GABv6rVGTWj+iGrXUujbd EzXoFILX3FA94wSMIwJQA2RtE8R8l2ypi8IfIYJ6kCNlAtQDzhHOU7iLaFU0ijRDEYK6GvLM4DFX lBo+6CfVa2pHw7sjE72XKMFeZbxwO2W96l3/gjSAROyDETng5ECoy+4Djwfh0PJdRtVVlLVh5uwz FIXUMoXty3WEk6stleGigkjLnA00ohr1HDpceBplGhTiXwJ4BhJzXSY/kNakzsWkxks6lwtw5ddY 8Hw8viOKhpjYr3QHq1GfH1jnyEmP8XhE5PgluIQYuN0i/ggj6sXSfbervk4n3KGKYBrnk4IUmqA4 oi5BzrHPsVHM8DxD/oc5xyBpM2VCPO9JvbWGwpCaBgLoTnJI+2LMV5N04Dj3OZ4PUvvyHVODlVRY +NIUhSF1ASxm+RpqAuctIrfXnSKiRzhFYZW60I1qy8/7odyh130yMXFdoMjQyD2DhMWJm9B15w60 Ov6Wek5Ypa707NjKXPfNXCe4NMzLzorF41Hx4zu3H6L6ebw4wyo14ItLrsKTjAV3gTuXo6PP0FVQ bj9jQTw2E9G5sMEj6pL3WZAS64ZKEgAgUFjEAc3qEGDzBj5jzjFj0Ac79kMKOuMWPxpOaBlOt4bH gwlq31VqCOqgMeITFAXUJJQ16t3/j1SWu/LWsqgLqasM8jXMymZUpaYANYxFhg+3OgTRusS+C50Y Cb6C/hKtowB4u1aCSI/5rn9IBlZocPpgeSuYU0kTVPD4vxr2/PkGdZ+yN+xnFFDjFj7xjoXRoHQs 32SXaD+hnWRLKCQlsnBWUrqDYxpSBwByCPVR4Z9G1UDkYySUAYPrvFbqD8y4Ss3CGcsAGqz2cRLX asYBFY9HB/X/ZrrAaN0nKAqoM5E7tOGVTYenGRChuxofxev4M6xRL9U8iGjgcLutWPl0oD4Xx96J eikd4TPI8GRO3hI14mJ8x5+Yj0Qp5JW8RN1JDxHxN2U8HhE5vFXGW2+S3X9XUBRRQ8jjHBTJyFJ7 pu0TJBNPQj5ILOIKiiLqUVWBtX7HujD8M1fnnKZUOPYYdDkpE4O6Cn3n535g+IBH4TuCPUarF7rI 2qAJyvAloqzbGfB40Ev8hTzDSqgt3xUUxtNnWgfCXMXTk7VeGQhPlzmDOYef0hHko9PzPaHpaYPf Y/BVLlt1D2yyQYeKs6k7pJOgBdputMjy4ozi6SoYvnYaB7vGzk+gQ+gcRnj8v9B+aawzr8LHjCAf SDBkKyY7hV621/Cb/T/CToEmL52fE11j1M8xDGNfT04GbCpMdtkqOxma1NBWm6zLgLF5u9Fj27tG pzSri+ig2GDoTnDVxBxfDY//F+xiZovsSizOkI9Io265cnbalzmGetHcSIiP4hYBM1TKYypW0q4+ eA0fIrWTKjPALp7NsCSy3Kg+O+hm4DYK9OSYUYGarKpCAKoNVltMwEewcrb5eLCCbl1IGDUAKq6+ x4wQH1DuOHfusISsy9+NjlOluFRVZcOPh3QUTQsKJH6hBoe/lhP/ZdaBmY6dWJGHdCPdFgh8auWp Pk2pqhkF0y2JKyUJgnWZHOhw8No8P3TH4xFo6DtSRAGrtIvCn1EsDfuAMcY0lc5Vt5eF9vQVdFZH tS7QDPU9xAGinbQGv+bPzbJSEuzsTFytpMQk9JJPVESZ10tHXPauf4gz1C7rm36tb0pNEu0905YL Ho8gMelXsgHXhovtXFEoDTb/EDJSBub9IswfhZhUXAF3RYCPYVgYKRA4lxJbjFA8AOYB+FgkcNKv C6xDug3MC/iQ+vYKAR9ELNbGHhAH60RDI5golxNU4/F/0hH53BZ5yGcoLE6j6KFKoIB5V+CjuT8X SHHZKmcrjKWHXWPVB9tjZyaos2igofPnsLUxwOGAnwJW3C1OY6XjO6LitAwm5exWnDV+TsjS1HTa u+LxCHbmhxD/LeKB9Oh1RqE0tCSFMDfEx7jaDNIOZqS4HBKzYsQHj+cuHxfawZyADJFim1NuorjG OmRumNJSORj+nUhTvNGKNj6+I6hN01tyC/fBwWoe6AKoIL8GHv8nOhj/FmUzwMVtgkI+Ilx5luuY nv8qLnE/dn7SVZdcXCF+uguOqNpQufYk6HKnc6AOZmNkjbO9sbBhWGCGXKXL5RF1tuLiNIv3tJrW 4NDOvsxZKm88/l8OoYLFQ4SyJigUmoZ0RZ21Gtqjuq9UmTk7BD+VZKf0CumIXSJDLflw+z/nYqeh CyqvJ7XfmxwFBBQQvgcO/2lET71rUDWjWXnlctOgdJVyP+qQnVxjxaVpP4Tw6xH6Sn86TVAUSqcC tEc1jbwBALiF0iW76tlMxPMjUlyhtodkX7vOoP6cQbClPD89G2QD3QHkFw0ydeun04YerFI3liTs bEVwD4lRVp1FNRePpRM8q3iwjD7weAD3WP1rjyF9hGiiz1AIn24ELq5k99iq6d5jLqEz9nJ8+Qpj aWnnUMZMgy+hlBM0PRgqTtxcvMcA+keyceK2cu3bWILHdwTgYJpJwv2aA4MOpqulkUH000+yh8cj napbVRRHHP+yX2TeChmJmfnYVjXx/FcxcwQ8PM0JIJPqxF0W4qcl1znE5hgPqxXetmclSetsnYkh i6xP8oxIelkXPy2BmBVF0yoKpUFnmzTc2ebcMtAKU1GIrxic09v7G8rdcH/WGwuFfERoUo6ULd0Y tbhDUmFazoSVHACtobA2zYssFfbrOTjoDNCX1QjBPyF3o7s0zJIXXW0GMnJ3Jy8sY+BLIgA1ZT1U Xmxyj2An5wSJ6tSf18DjkXB5/p4hhFS5eOF1xWgPLCF6OLLL2pJ72pyA3ltkaNfYVf+n/PTZmM/g SyilDB9ppPTnV59VhgkFDgudjUDg/z0YGkMvG3GlGAVNaQ3NbPF0P6G1AvhyIlw8/j5D64oMMS4A xmnfFtmO4mlQBs7/Nc7PqHt4VSin3jxlBW+FM7RDQuLu2l3Lhws7Oz9AbuK0guGBiicFBdH3Gflb nEFm0juKp5ssfxZttzloCc2m9uOJ/gYfj4guv+76xNLZnaCQjwjs6xjLMrImkVwypdwTGFgPF+je sbwHy0FV6Oj6+LafDXyO1EQFnd5xKVPWtKGO05HTg9DgNxnF73cIoG4MEwlo0KBT6IQIKiJQGne/ h9PfB3VRskijBZ+gEECNaHHzIjjbCU7IXngdxT0m1kmmbY/tOJxWXVFuP+2xB4BPt34BtqFhH0m3 H4rXwKcPbTw3s0MAiO+IymabWpTjDooWzxnQFe0hGNr/piM2iXZC32N71WOHfMQtqpQJoKBuYwnH XK6uPCvTPc5QiPUQAWpqq819HaPOSly0Z+dFdlbB5BKiN1VFDsCoxOmI9q7BXU8oQ03S90gWcYH1 VqrIdnNPPB5pB38voUwaWb8TFKKnK8GK26011/Ummddie6xaTels/81GhEuLDz5B5+Y6xzSDoX5u uCkRfH5kZRb5dQixdr9j+WlmZJaYje0JBxRA2ZKGOzUe/5fAh6AeAqn7BIXmLZ1uP1fAvLlrXaU5 qJm3AD+vCYqhHsJ1mvrrYzIBYE/OVYaRM1VKTNPqFicK3bQe2VcsCnxHEAplemlRvUKD5fSpT2HI alp4PFKCG18X/eTv9ExQhPQAoxOIhcuUcofIc8WUZUyp2u8hFCE9hizHCg/rXB6kBxR0zkpuRbq4 0LwUFgZpBbDaE/AotaAXW9A7Kk0Xnj4tEcfAwbbYCRcJQ2QDccelab/GqLaIUgJsGG2CwmAawgO1 emGxZvfhOG+T7hab954PgR6miyOB5X3zjbNeJtSU21Z7rKBVQwQ6bqsGs4nuK6jQZBffEUngi8HR 7qAVtE64WY1rN/B4VDdLXwkZAjJK2dgEhaXpxtr9KH4GDXeuPevGRZgS1eNpVBIH0+of7uWDV16Z 1SetoBMgnemiICONIBN4Rek2Nyibgu+IziDpnsh7fDmTDJx6IlV+IPyBxyOKyz2DsFyWyg+esu5Q Km/LrNnomq0brgq6uFdlKM9u3r47wnnMqtNn38EPIdim56zC4lmrJ2uVdTvOHxT2AGi+cpRN7xqJ e0j3hDl9ciAAoNm7svh+wtuBx4MZ+qVSBY+AvG/xPqcomEbIdjaRBUBQyF2O80jumYTVZLq4OYXR NPUENiRRbfBgEXJFjcgcoC5Pbke0EI/+3qHNUPMlcWwqMeFb3idp8a6n9SQGhLBK7BOaclTROd/E D4ignflrpzE72o/9YYo5iUAwWNYFqDnmAaungL0rHsfUtUg7jhTH1FLjpEoOh8u5AwSfCn6ln5Xa wEY80wRbDqgJI2R/8B5j2+v+BWcoS8CYdTNX2pzJZaM1Ph/kHSN/H9iNQg9X6T29x9WIxCrxZtUQ H8o2lkDmJjw0SZiX40QKMdQsUKepbT5vlbEtKGrXSlMOGLWVSR3qjgoPPVK4QFzKvNrbRpAGFdHY DIIru4yMITLbFzvSyMP5AUFgdKXz2N9Hux61XZ+lsFa9IXA6XAJ29OrdDqbfriLcp/snxZ6IbBQS /qHBAXrAOAwqPRSU+Skdg5gEbX5IM2RuN89gt71uhGFkKQ0KCTboXNpQBBfPfhU+H9Wrv4uN6HPC ZPLOUhRgI288OaDRFOBeUuxgqmvaWlpJiig8mKKK9ViybRH9rl76HWwVkNJLEqWt1SfFlhuUUTpy q4w1YaUiHKh63aDrMZnH8tzXYPgYfKWo5LBWSCH+42b6zEIA8B1PwREmGFGujyU0s8BnJ9dHA5oE Mx0abC4utHGrpunvunVuqfpwOx8LiCpBGVOa5h5A4FYHJnV/qcQxCMCXREg9Qhwy7bg4GAmvExeP ilrafP59lmppX+dSRYcBdXqfpFj4A3BPkRQ222fZGFQF3Wr+5yTdp2jHRbG2NIWlyq3h4s7P3dBk hXMuIkjtE+8JUZ2BHiZ1l0yuckx720iiiSA0aaRwMLQeSNRV+axeMiJz3lgJa6mx+TvTnaVY/IOg 2GJYmTpdmqlMIdPYAQFg107vKOAWHJiwIxv8jjuZNaxUScVD8TFRJa6haA2nnx825lU3orMDvyQQ ZSwSIUL0icFNsUFXp0QOuH18/l8i+dyd6Fa2C4vNKYq5YSk2cEYYHGS6nW3lWeTOZeWa30UKIFXc BUib2eAA/Y58v0uWcZ5IiphhnFMA7UEP5vHSNivkFOpTy2NhyCt6XIT+XqNLmvOcGHw+2G/tuzYy GYrsZyWFNWzofW3180li8PbQSeaaVY8W0NA+STEmhFfbEjR4XbeFEweAW5gJjj0rAXBAtoroK4kf s1MGvqrA5m8bCeiqz0j5weqaWecnGZmdnDPZDClD15ffVBgs4UcTLYc2iqi/wnrTevpqbWolXVWZ ScUuTVLgowgymBJbS3Pno804T8a/t3yjAXFJW9NJ+fxzGK3pwBmeV3rb4OQWdpitAQ3GhqmJGre0 s+LzUQL3rSbD8mf7mqQo7m4yFOjm8lbVn2UNoE9bSWel7e2TFEFDhB6uktGtj4zuWf/rhKRFpVoW a5fcyXGKDsbR4wHwJXvboB1CBHqiGp8GqyMhfmDQPEfl85FSbP0Kleit2y4hJsduimRVzeFZ7sjm RVF2WS5KREcNeQWGdoqryFSAHE8OHgOctC1vBNkQ00WXnwXdk7mfnwK14lYuJaavZG8b9Yx4GJF1 jSHp4EZ5p0jLAaWSHBgqJobPD4KmAIcyb1stB4aKJh9n6S1dS10hFoQuU5VZDTx7m6Qw6hZOTcpE 9VEmwokKxW1WbIFa6NSMG0xvC7raKCl5SZvt6xxYKvp2a9Jkbo8mc8LlZiThyuej7XaBWCwdoAox L84oh66KsH+F9YuJ7FEZ0PzIWWRW1Ta7rncObBUTa6JAqanX/5zcfaDRWJrcF9pZBUmFf0oN4Vyh GvM1qBj2ugEOgjES80EOvpbS2DRdPmsJ3pyhs2KZ3/dbpeTFBRvlwFkRSXy5epbYcJeZB4H6aRuu 9HzXUmwEI9GUcoeH2tDPY0Lun8NoJGmnoGgCwbwfqGT4qUQluRx4KwKx1pXnLh+4liCdMgS9z73w +aiF9MsEFwVpMO19kkImY9sMJ02Bp4pPzXByeMcWgBoPJ0N3RQIe+wZpwAZH1CCD4zGL2+xEMV2V JaBjGv2VUVq8TD1/3SBUGsxvCQTUoJIJeO1TkoRl8/ng7C7fCRyVDUkctll6D7plfDnmNp83FBxc p6h3FzE40S3UBDRLkUJI105Lakmmq6SPHbDBHa6CjKwpme+BwnqD5ioV8V26oNrbRhfca5kbKkVJ kzTtJSMQ//dSwnnY0lUgzoHHIrAm6ULTiTy64gWNmEsupY500ibp70o3y4c22FICpK/ztEM82ZEh MjMZqNPB4jG3R5hw1mGvG+nFb4lfTB8UUAKXK/XOk8jx+SA1mb8abljfOz9LKQRiI8vtMjuBTsjc vpSswo0sF1Q7q5jETosqBWyxZvYjs7uAYMiS2W2oUbdtBPQNM79Cy8NrRZntbaOWkgjodCfjoKUE byTyuc+t1/h8VAr4rbOLc/ESP3Notoiaynk1ozCeo9M1QIFvdm/unB2MnUO3xaXGbZvDB6934/Fm Ys0nTqKNNlBs4I4Aul77reMKxZZDu8VJJP+c9EARYoOHUju/AzffOewyn48E+LwzyXOexfNL3Muh 4SJNqgbxDP9Dis/eXnurxaSbzyK9h1LouDjUlITElQ1eexsQjmLwdqKAPtbsUgvBAyB8UclAK2mx 9ZZDx0XebycD5MnNwYhFrScpDJ8rh88HBcr6Td5jqzM9UXfsuUhHQXVOMEnbJZuBm+uG9WvJ3Qdy YLqIlcRi96jNhxt154QqDJPcDTMM2Q8AM4BDSsrAPJMKNRX4JVHljYcRdRAqu8qsK503PGuEGtfo e/ID/hV2i/sA8aQnoAx8F8/9tpiRFM3NqJlR5OahVE3p6ayD4fa4gfEiDiXR0Mn94OCHEsA2ML5B FHAy54ZMvRFzQ5APhbNvmqtYKXZepG5RYhKPYVlVCeIWBK/387vw+YhC881So1N3voz9HHgvAoAA 3rukm0FYYyIkyctLMjrJp6NJcmC++OjKCi0xLlriXLWgwJLTA22VTv1MugjvH/IBWOe2Xvdc9rYR XIJNOEqqazAAO5YvaUY5ZT4fdQT8fqsWBUBe+E5S6L4IJlZbpXgzd9l5jRqH02ULBD9skmJIiUD+ vflwMTfnikYMpn43jh5y1WBwXQBQQoZgznCSdMyh/yJvLslP2aD7DVVvgmbQj+HzwUoq36cSKAW1 P1F36MCIesHAT2jQNiq3Cfu3HIFzgpBnkkJRPs4OuRc2+KlEDpz6uTVvtFAYTbF8TpfB4QBbc/HM oQXjkPKu0tx+09xz6C0Zh56lOvh8FHR/1wLg3lohG+CTFFW6gR2FZK4Vca+1cIb4d3VkyS53u/2t c02bJBu8iDsgRb+InEBMnLokIialFZEPouCsSSKxil8STBL3WW0l+2DbbbahRJ6lt9CGsd6WN3V9 NtWC6rPfQq1r9C5azVYxaaV6lrtqvfCSTkXDrg8K0BOkFeep/Taf/bbS+T8FUjVnlsAhhEYY0MoU wkV82h8I1172tsEFt+hMXSjRV8r0Q6lkKXMDGNr4fCSTsb9SkwxxGmru+SxFUTdmctBcynBuY/ks 7QvCAfvDZymudRPSnkTFds8AMmsa8jY2BM6ZAV26RJ8m/C3Aj7GAPdXzrt3eNlhLiw0B3GU2aMPB cZTRGYSp+fy/yrhM4HCp9msLm0NHRpjjnFPJeeqm/zDYh3atWYD2jb+WY0tGRpIQ3PXBJ+lMCMJt bjgozxoPh70RxEj/G7KdQ0tGGYDgvPTBoUqFBh2oXk8+/6+gm8VICI5VELh9kqKoe3e5pLivZ3Ld 65qe+006X5qkKOpe1BKBxpwPfr8ViqqJiw0n3TzE8sMBrRPqInEIJuOXBLAAUpD6IA+Sg6pKk7Ic yjb0kkGSm9JXakL1qa/7LXZmRNTdc7eVNHLy/ptc3Ji/NfgQK+oOrRm1kpKEVTl4KeDEj1iM3G7n EljINOElc65g8sAlfm3odjW8Q3NG3tsVnFMfjCBxonm2/BAy8flIy9ALJjw1JSl9rUBy7M8IeHLl qSVpke3gUrhz2lo6C+uupSjsxg+Ld1zJh9vxrlCzo3gxgFG5Ub4XOpBC4qDIZ7ESWlB624h1zNob 4aUaVHs7X6hyx7mF9JLRjptfpxLYQIDS3EkKLRobam/DQe5zuGBfhVDTj8mL4Fa3tRSCuGmxB2MC H7xCOQAqSVJWrWjRsJcr4T4cVlndeTNCXfa6f4G6Uh53sGbuuUKTegJo5gZGjYkF2a+IEv5Gq9yy UuDUiEAUZaVsxSQEf25NtCobTZuq7MURgqFVY6dfyslDlg9+LG1cPyWLGXkyVeW59WyRk2+dsBu5 ipVxV+r2ttEFR4gyVMds0IYDjWeKno2KSWDWKMHmr2IArjuUk32SorB7oNh9bnATFOtzOvINXse3 QjmGT1KEMBG0JNV8Bz+WVsa9wzT3nOOzsODbG03DcHVARds7Aqvb20Y33BJVYvvgpJsi9W0oi/L5 IDdJ34B3pPTIw+8khbXuQsSET1JVQoLa20h1PbU3hwfGho06u9U9Sf12vBk6bgragjQBh12ytGFg AKxpB73xURVLy143EoBkrESFOg06lXBFswmBPJrPB/vtiqpzb/G3qU92Eno2QtcCt78mB2oIXn1L c5lO3WzF7atzbNrITnZqqi+1cbOT8/7AzmaSJAuQN9IUQ4GuI2nJPGutRtmbve4fGodls6qpQYsC /CCehOcPWHw+CCmvPSpzOPQW69q3SBkaNwI7i6JqEyR3EYZB5Ntge0qU/1Uckhs6N3I1nZueRFEO miaYv6yRKYEClnyaqiwlUDlh1vZDDUSPl7a/bkQmZaG7sQ7HwfpLZ86k7nN+Ej4fRALD8Uo8qDuF Dm+8FLs3snUitj85At1T3VXHxQaU7KluYN+IiIK6LBJC4mCtShBC5A1TCgT+as7m2cNQDIHg9bcc pLXnwL4RpzdjbhAdbVDoPTokt3+ogbn4fJTEeSAgL0Li8a5Xcw4dHIFCGJWOLay/VZ7ZSOIaOzZy MoBes5K40MJxsUoJPUsfbJbOmX3+gkLkTYOB6pDhN42MIDBApqiSuKFwKfBwzGzuExm4fLBUN5mD dqmt8PngjuteELCT+jyw7oYLXRxhAXWmxpdScwQzyBTVjYsoIWuTFIbeEomqcgypj2NI2mmdwADL mi5e4MmyHpApL4x37pc2mfxtI7GoTTIXQ8tF5xAm9mexdiHYT2i7+AGREMk3bpm8ejpU2ixFkTci vBOEOH97repB5SoOplh1XexbbOU4lb6xLcDBT+9aOji4lPs5M9FRtObVh6bFWUr7tk4AZdbLRv0l aZDs4oNCygIZBy6OAfxEaOX4QN+KkZx+pbqBlyNKS5Cv5PcxEhjTAsnzq9Xp2ACaZPOK+8PMsVN5 VTjB/UDgkSMuXNDUqK3zHL+FRRP83ugFobrnyn5t29tG0AD6PSShlpOjlgsjVIZLbVY+H5kafFNz BBD8OpRCBiU4uGgAaJJOkG+imShveasytWnifjkwdEzsecL5PBUffL91+JwJIAhJv9SEx80Ey53D COimi31b9rYBfUm2IcRPaFBMebLMWpTip8rng1LurQdQphZ9DBbXbZLCwJvQbvZR2c9t1fu5cyfL 4cbGL2wrKaZRsvwGr1Ybns5JhpYU8e9QdtxMdM/q5c0KfAZMBo2YQ4G2HNg6ypsAdAzkxhoM200u LpPXquf/BViyvhT6du05lUKZP/rQuIAECq2uj9SGaf/BoemmuoGzI9TeCBFcffjgFe8F9Fumeyo6 lJlypFA0Qvx7Uoz+wJYlQpYDa0dZ2yFUVtVkedXkzM1O0sia6FUG3o5INL41pGAFVvcFm35eQ6Wa KSU9ilqVAOTSXpFzVNbVlVBZGif3a6BUXSoShXofPAQAxm0wtD1zUzakf1TqTebvmh71fqRGn9cw qQJGw95SkcRW8SS3wulPgsdt4emX2aloyepiqwK9IQlsQ/8P50eJJgerXZIMpHZ5R/fsbonYoQqw k6uuv8ZH9V5qey0fbPmcoAjSGubSs9vQobV478KmLSf8tTN/wd5fZ0fqRoDftuWD9Uv2NNmWgvrt 6+wAeVlsdoQGgJ0RwTeYndfQ6Dx0ktqfE5V5yrZpwMWjeuJAEhagou/H2XkNjKpknSDPz/SWgy2d tZHio66L2yydRF0CQbBcOJkH/s0jSU8k92tYVHGAFOpIrO2DJmedt5dE5DlPPq8xEaZWOgY2OQAc EeWoyXmNiKp4duc6TrqyUEByzR+0xWxf1eKmD6/xUIXsC2el8ADi4Aju2tCl2lOVETDdWdUmYqvK ne6CkwkofQ2HqnxRIDimBgC0K4hryEhpiA7CIZY/r9FQfaTrqrWQKupO22bnNRSqoBSdWx5kfetF 1pkv4nYm70U+hqnB0qH4AQQpmg+Ot4UGQ0PRDrn+RDObGwvYhorcHvYAvq8afb+jU6dKqmV1H5To Qx6Y+Segl5/XIKiKz6fJaT9GKZUqGybnNQTCZlxcOk4k6WRcmYjEVciutPej9VUwOZOXOv54H2xy aPBe0UaCWtSC2QNhtliMDZsjE4fOW+tko3S+CmYHUiasf2wfrDqbpUBIYZrPa/RTVfPRZKhkgr7n JnCE7gmv0wPgPyAu0thg238ZY/vkF4YAgDbItTGIpkc2zWklH2x6JhB+CXEUdtZ5xyZ2O0L8CibU olqLklaZGEQ7K9MjBM1SG2x2GgUCkV/l8XmNeuoT9eieA5i9UFCYk/Ma8uBMBmW70maDkWG/keEY PjnYWDY5rwEPzmSetJRdssGb1wvnZ0sqokEvigEPmAXAtOAAeByviEb/vMY7XJ9F0Ozpg5NrKuU9 f9qJmD6v0Q7fMH/f54gwMw3BMDuvRcaK6hkhtTtZg+gR15hUluXOSt2B/q8lRuxqeRV1SdP2xw5s Q2B7UPUXro0nXiAKssKrZwDexEDKxfx4Kr8WGDE7OKAKAEw+eHeo1C7qUcHT77PTpf91JmPZlVXl 5URFmGB2kMudg7Ma1Kjw5+O5Y+V8Qo0aeGvUjYiiHcEf57qDRzt7nQiWjvAnFhmtNWKyeyFBGzZ1 hGQZKJs+Ra91xWqKvefAoZ3crtdO7sQPPcm1EQpj0bkzvy/0hsqBoFiizgfTQ/yMCdao2uHaPqtx zsil2ak7vy+aH8nRNtEf2qU/dOoF5KlocCQYpRJDi3ih414n9sFlNbLeNVg90iuiBpIGrR6QRoUO JXomCpaX9KptgjK0jEmS0wRF0TLSe+Bn1cAfRTnWpERd9dQd4CkHrIfhMpMIySHU/OQSAPVPkFxY mqYfITP3LRVTiH+Q4V9l8j31ssHZXEkyosODBrvXwaRl9zVXPh4FzOlrhtDVbgUFJ83Qe8QMhQkK RCxHPO7idKys2lmlOciDUwtmSCD1YhzI8VztaB6f9Txo7TBT6Wh3QPwTiAQwzFvxHZaXsvYoZu50 22tkXGvQFjtBULP6D6pk70EzsjXPt9SkPZctBSw0QVHUDLnJoZuS1Vb1Fol27I5Rm1loR7Y3ghO6 U0OjUnROw73cT0KyuAbP/XVOp05N7A3KQ8XEg2Z0r6+kdw0yrtTUks4+WD56giLaVIHo93knq+EQ WvNrghpLl+OuoPfAWakkUHZO6BvbY8NBMitJxhmITSu0RhNEgbHOqo4G22MT2OjZGBzipoRcOOeQ cRlqepSoo34We5Cfd57aPYQytKpsMN4MUlIGMFA5fKepVfFKn/gnE1asai9nKIqecXsPtvlU9Ok3 PGykLcodhLxynkLv4TOOe7Z+thKwXe4MwcFpDjKtCqpByZC18CEooDoASexFVhrwvJPUsI9ZXB3S 9+GgP7WfbUaVkZpa/bxz1BjOjO9jGojRXoen7e8ctapCE8wdTGTkRBTun0JnaUkedIqgs8QaRdAq a7TEng4Hr/mwBg+nJlRYU6MtENs+nYxpVIJvzUdkxyiENtIVfncbdAqlCUNsBol5f975aVhDw296 2TMjIO80sOYMRVE0JFoHSHBaQwBueBRdHekIbrH3D6Mw2oB7Q0Ja4zEfPlsA/sNSzjh5a9JVT7JL hRRGphyI6R2Ipf4eR6PhzYJhpXEsB22zBD0q7pITzX/e2WlVFtI2RQybUY2RYi+n6D2UBoyIAJlq dfouRX4sotRuM4POb1pEUSw9KFAH+wAf/LIHNHKDfwsR/XJ+cDcywrKa3mGdX72M91g6m7YI8JI+ qPxzzlteMCSXft6ZafhTU//eZvS0bHeXRdE0riB4WoqpN9jOs+qYOGp01t1u4/TOS2M4PTQz2wdN 0DwhA4SxiSMmtG5LhYykF2jq44ZxHPGcetdgglArqnOR4sBB59AeVAFmfrU+76w07LKyv3Ixemfv 5Af1OymNZQ5srdSyIT5SckvLcwXZmT3RJNAEvXPSOEEsAi0qQnKwFTTg/ViGBKHwdyFMJ9jhzAm+ osoWULJZQ+8aHNSN0WKq2QfjNQy5S8KNMH/eGWlMxzxZFTMLNyrVVjlBUTydJSxmOer57Zs225Wm YZcHbF/VV9/jaaxEeX4KoTCvOH+HeUfLhDITbEBjXLQLcWwhIoHI/cPaK3rZqDrPwjPVNTVYPN3T kHHsSSc/73Q0XmX1+7KHLhectWyGogo0EdYo69k5Pc3IIIMQ405geRVjo72T0Vim49opjIY4eMpa oQRVl9pgZzXBuhEyUGd5UxQmIyTyZiEljt7JaKx3TKk9bx8sHGonIiJI76ynzzsVjRM8vjZZKfSx u5ssDKhX4SnkjXmqBKlYluplxrBQyk0WBdSJjUIiua59tY5p5Enop3INnQyVJhnQpJnwNgNoh8zP /kiJvPPQmNV3FsrSHeycbnR5QTiU+XgQUff5NUODHNubtb6z0KrAK9BDMVpME6qDLjNjuW44HtRd /05Cqy6LXXh/avC7fgKQBQQ7oqFChtUPuaDo9gJeCxMA32XkDr2T0LwHhryi+GB4KvRDOEMnkfm8 c9BqvhYYlRgYkDYqoWKaobAeTa+r4hbojb10RUO32zwLVa25y6KCNDyRuHiSDw6mArg8tdnlG5v7 TnZQUw8GdnLNq/Xyd3gnoDFqH7rjuw+aIEoCMATcc33e+WcWkH9tMuyvle8ERQE1aM+jujkjsIXD ez27OO48YZNrCb0H1DioyaiSiggHB7+02XDOEeF5rq8JlecGreP20wFnIZbtloaWXvb9GFpiDINC Y4MO6rmbYkd44H3eyWf4W/N37Qw/TqWttmYoCqghQApUkG6t81/dZ2hkZ3msMpcRGN6pZ/h5acvc BMxvDzB/nJCqFGISIGsI6QgmJ8KegWsM+NXVV1l612CCppToWJfO0Hm65sw5S6vn7OHPO/MMe7Tk rxmiT+fcnti/88686TOK1clY5rUZKqN6ykH9Ms3QezyNujE2WatcQxw8XDzx1cnqjOFxbrbUFE/j I2nQN2/tbLAl9s46Y7iIVmHfDBc5WDsVWH+eImeSP++kM5Zfx1c0BInLMp+7Poqn66DLjCuHjeQN 1QJCim2yAeFAbbL3eBr1AUZfmR0ODjZBJa1zeZWp2tlOKRGEljfxb2Cfkyysvg+Cts874cz3GCWj fDCsInJAhoApr88734xlwl8JB0oU8mxkwzmKpxuZVM1BQaXf8mtaO3vSSismdpzDeJoAcxbhbPAV BLeaE0wSzbkaaOc6qPCRFH/bD3CKUI53thl7W+IJ9+GDJRxFil4/ELb/vJPNeNd+wxUoH9xuW/Wd asZli2rHrHbTD4p3c4u1co/pjUCWK+idaXYnaHSShDjYBJ2MFRgXKj2io9g7J6hTD5FM4WJsJvBe it71jwnC6XMHBYtjw4OeKWvhKwZb7FfKiq/Ne3rK+k4zwwo6gckJFrtNEDaDdzio5yIFQ6xgTVCI 6GCw2IToaF+Ijg4Bh5XowtN62lBX4wrClTgoavY4D5eid40yMtbMqKKtQStojV22SNSdrxgc0nn9 miAYhPQ7QVE0TWX13muy8vSu1TvzfZic2qRjoSboPZqWYyT2Fjv0HGwFndgwn0A/Mac/K2huQc7o UQh4IVaRK6pS/OKdXsbqqzhBNhCoqJz13Eb0UJkpl887vYxVj+89xuoSaaWaoSia3lTnbw+m3DGb pQNEJ0z5iYezCvjv5LLbYgVlwwfN0EoLGBXZFDUUlRv/JQIk4Bbmz615dCas78Sy6tr8ybTVb+0V jSXdmvDw/LzzyurDUbRIESpMafkl9k4rY7KxyUucvoDWhXZIboYLiK0sLqCoOJ1Y82gz3cGL0ye0 ggQ/JWbwvzbWHjPL1WCYsxov+wtSRz/vpDJclHb2pOyDAYAxs/y68/N+3jllXD/7O9fgPr356jul rErgduye7BLbdToccSfXKzhhRrai0DujzCouDWpfzQcPpVGQ2G0Q/Yt7bLEJPaGU1liF6F/Kzn3r ZaO6ohQcRArOw83kwOwS+ndNvmPQZR2/OkCI2FK/OywKpbGjRs3VER44ESwb6wb7yBIC1DUf1qZV NptTJzB32BQxcUFRe0iT/8S8VbaxQBBViN0XZqHK6Dvtz9/ZZFWS9rT7FOcOyTNjaVhkCmsPaNbn nU3GK/sbA0NdRcYfmqIQ5lEBr2+mvztkIG1lIY+Ezq2UfYrC2rRaQIv3vQTYtctWpUYxBflPIAos GGG/3eRlQKu91XuGiu9EMh5DhI4viqjS4oDNVcrZi2s4Tuj+eSeSMWP9VfRgc+45pqNgGt9KorGK 01Ba+HFXy+SFs9IdJvTOIsPbF20zYTe/sB7nUwZtq4ndxIFBy0bqOZPtj+vF1xBBVO8cMvyaRWIy Sli7J6yFJD0evuev/rxTyPCKtX5NEPZlpZ+p8IlhME1CS2/NsK2Fs0L+bzHAK/oyiKkIUAyD6a1i h1ZSvQc1LGJBbxlF6ViDhACdY88KOFtz/lAd29aQGC3vBLJ6ZYp7t8F1mCqidapc5To/7/wxbNIL pWLkTSjV7ZG9s8eq+e21AscAaFx1GHVkEqPII6+US8toT1TOUBRNT6E96qo+eKseNS2a4zGlh/Ya XT9pg4NiCxWHrYuI3+Tzzh174DBE+mhQzaOfFchQCGIOn3fqmFUdvkIh3A2SkuEEhdE04NFkKotC jq6B9PcT9dXIaDX2QefnvJ9CjYkYitE+3KrQST/WkhgB6HWFfnuQzIfvcMUxezHAA9HX5504Vq/8 x5TS9XSl67bqVvp6Jmh93mljVeJYzznN/VWn1zzeWWNEcqLvU0yAAFJCvsnSHs16iFluF4mfEyVk 3F1ZSyjfJTQGlmXqFNwDlagIJE2rV+peZsa1brg39LLBZS/PRmja2KAZyvDI5NGyTjj9ThqzWOEL c4YQldwrzVBcnCbdd5lryqpUR1HdzEuv5+polpG9M8aqdEuQsDNl5eCtepidLgo0lRPEQr+JrRC0 NQqlnHHsKmVddPh+J4xdkD2QOj7onM6QOuTXlZo/73wxFv89oMaDFPkjsUITFNWmUVkbUEm1i4xd KnZZrWCNJuLaDrN/Z4uxv4HqfWs1+eA4+1lShagVA+qFokFXwASldJz7t/+D5cyvCOaHYeKYsrac /ZIQFoySucX2+LxTxYj58kNIxl8IPta6p1CIlkZVqFfnQHWWxFUVGqZSDB7CsLriO1PspqwjUYOI g6Ws528pJ3duSsjOgk10daS1ZSHYc14N2U4hwneeWJU3Le5EqVmMdTksHSoyTLMKHw/usfqdsdIC fSXP6d9JYngqUSpmGBWh1eld1i2ZXZXNtm+xOJ5mZFOZeHDwokcGlHOoKgSDGgDJATkngRRIrUdk V/qx7xQxHpjcYlvB4s63rngOfa6ncj7+884Q+4WoIvIFUmdnbu9NH4XTQNTCucmU9nEDa1pOVlic Td/lCNb5OcEeo0wj4NA++DE9K6uATFqhy9QlwktsA5xUiBdS0kp+Gr4juOnph4oZ98ELi3uoLASp rxZF05Bp+8Lc02mjer7Rwmj6BLWojiq1mNLCYVbfp3s2rlHvKR2VppOwZkCK2OBNVnDzERyJCbV7 ZqN+D0JOAS7I68IW7ZgOsR7wYzy7M9/B0vqURlOHLPHxoFFfft1jSDWI0RGjJQqnuYRa9cJZna5/ BqmKbEsIpgRGaXkPpxFnqGJGUT0OdgqBRV8X02fk9ABSs3Am199OdU6Pplm/w3dEPVbMTGP4rcHw h1taboQC4PGAtrHrd7CI1SOZGE5QFE2jM34u5pxtgpaTNYqQgNJiQJtGExRhPQZBUh1WXDbYBEEb FqcyuxsVlOGixB9lvpPw/xDiooRsUrCiR7XpyuOnyHq4XOvhfCZOCuyIIPF4cNE/x/RieovuwYXf 97g4rYvMxb3WWC99+uYa1j0qTndR6TJL8xwsnIZG3JpFBuiw05nFkAzjh1mxJNCMzMvqdI/CabMg wocBcr8NXJdxFywW88dJWfB8QDn8lXFQxr/RjExzFJIO4dO0xrSAeszuoLxN0wNhzgjuRfWsRwG1 mcc2Ijs53OoZSh3gc4M6Vs96zcTDQFtC9RCJtc1HLLZHATUPdVSmtw86iFCukwzDOVfxeHBU51+U VX539ZSjR8RDAHJPXmyiArBtNOzryQ/28OoZI3PcZT1Ge/AOX8LDrAcPA63KRAQAKvj1/AL0atwI bxuEblAeukIVW+8adBGXuAl1aEjSCmoJHHq2Ts8X4/Fggsb3XcaKFCIGm6AwoB7kUtzKUG8GW4RI xS0vynWg83P+WkLnfOg+eEANUPQiKxgFpj0TYYuUe2fzT7BFHUS4SPEdwWVPDkdBlcYGS8nOxFch 8HFWRhH1WL94vRSp3XeCwgo1zGLY2aO2d97uMgC/ySoxprPyHdfZY/Q0pb2HUHnjovJAjd/n9u9Y Qescr1QIhase03q0EoHSdrAHfSx7VKFGwQmO4T35oMJHRSZclNZPPP5PzJkaRhSicbRHfw+pUZMc WG9Ue6HEIHga0Dyv4FPKNxa6C1Y86xHag0Z5UJ/YPtgxtFDjIDMBeJiTuLOYDeYmg2/kzNk32WaF ukcV6kpvZphF+CDA0Dn26xKTLE88HlFY+3fAiNxODl+coAg8DeKzbjD6V6L9ZBNUaOkpphQQ9Zqg COyhNdTULGuKdivhMGUMep+LpgnoPfnPFQwXlOFpRGWnEKqH+I4gHKLNUGf1X4NVhs4yFOywt43H oyX06yZLiFj3lRbocYE6Y5cZM2HYumHxrKxtxbMC3opmKCpQL+VadU8fHHMGHNv5fIZz54JuIFzi jqUYDBoV5YF7dIrn9gjuQbNYNICKD2rWn20GTw1Ex6nh8WANVS/hM0aAaRbFuY0GHcXUvOxb99pQ a7N6QLSvKWPe3q0foXRH5urZ8w4eU090FpOusgbYFC+9c2qDaXcC244cwj10st416gMR6AG8mg1a RP1ksVwD5zjLeDyIqccvPiKWNenrmqAopgbuGSgPQ+BPoihY+jCsHl1it6vmjn9UqMu6gzdbz028 QN/gMVRRTF5Uf6FBPJqtDxd60SBuhHREWjDkrbx1XzI0+FdTwdDi4xHe4wZDnE3EGEhzbIZC/DRk GPYwjgKETqy1URFaWLzYUADWDEUlaiVbDJI5MOAgnuEcNtDcI2ToJB2J0RCyjwauFGAx6172CPA/ IwqpBw/qtgiMYcOKa6HVbQCKfv7nM6IKdW7faRl6j8A9+wSFgI9BPuJ2FY/yqHiM5ZiqOl2nYkQB tRAxPWvg53GCBiS856Y1B0B4ZScRYaBxlOGI2dMFxKDx+xkx3oPFMxaSNCicPr+eCOPwof+MsD5d vyv4ZJA+jPERhdMgdnkzuvEic1AexEq80yqRSsxPFE5PacBYtwyDH9M0Fl7SEm4TScdSOI0mDBYB PXOsC7TsZaNDiF1oVvDPQBdHTtFKvbHCPHLJeD5aQr9FciDPdyEfI65QE4tusuaQOPV+/RYYvws9 bbC8EQXUWwWNLUmG/UgyAJw+cxa+vCO5L5Q8YyYGWQX8bV6iJqt+RCXqyfpiWvKDWYbQprBGIakZ hWs8HsHL23e4KKZU9XBxhPBpetZQR1/gYG9G1+WWw2BKOXBxRPDpmoQKZjeIg9cX4SZA4iHqix39 H84ljc0h3Vh4YKtP1u1doz4Zy2YdxWUNRuI4OeOQmkAfeDyIhn4R6yF8ANc9n6CoRA3CEES0zQmu VhfELXNkawjhmrA+2YhK1MJ6VIpMYeCr8xSCgNAmAK902vOyk7hZTU0n25+0qLZNdj4e3/FXLNQW 1To5WA0/Z9NIXmvj8Sie/p4gVjzbreGPMJ5ejVYdySZoT09aZ3eQ50lekt/0cTxtQkLVB99jfSak euJrIn1i0rpQa60JkHi6ueig3t1eNqovsnrfsnzJ2rj4cnDcdfruhecjQMM3JoaWNLTJ0BRFATWW /JmiPDyvTxZFlzWdVzYz0eqcorBGLTzZXM0HJ5MtgJQ71T1QByyQUEf5jA5wZ4OhcOFV/C3dnLBG TfXp3cWY6tOioZPugFv2Q7pdweNR5eMb/TrE8vNwcYY1avwSiz8epZUpKWaQj3KhZ4S0ILGfsRRe 4/nDXJSDxdNg6TQ0N7CIzq+YhhT0IX1SWRoqj0w3M44ZIj7Urt8s4nNQPH2SAM0WHCbxeNTm8Lye TRgI/cG52WcoCqih9XPWENPWQm/KrbQVVmbd0tZC93rc9jMsUsuWulGDgIPf9ufs33Ay4VUGChih Z4vwGTSFMJtegUWFB9/xZxWfni8aLG0tUxiTM0MFj/+Xc2hQ8ekSgWYUT6OUBZlJo7QO6TCxeJa6 Qz56yyaIP//mI9apGvW8NeqBzK4NSoiB0nruMjRbO32lGzAciOCd0krtgRmWqBkGFaYoGlT4OCHE 6OoDrYrHIxLHDYbwdmRV9Fs9m1FADRFaSEQbEahJn2pIxnF40nrb0TOsUAtbLgR1exDU51o76UbL tMQBQYg9E9qe4urpZE3eAjX+TnzH34iPXnzQKbRAseIMnV8ajwcN+6syxMkQs/9OUBRRA6AG4Fn1 XmLzCarDIiTUzppZB85QGk/K5cX0A8uDzDsvD2cI3vX4uwZ7iSCTAzoIz6AHg49wHt8R7LEk0GJO PmiC8iZuHSpDveLxoJV4UzKSqvD97fI1Z1ygZkmxGaBhyjVwUd/DDQRX4mnGCYr1PVTMEG2zP9bB A1y9XIc8J05SidQIlSFAH3D4DWQIFi2yuDijeJrCWyf7IhW1F7d77cSU8BCaY+DxKFpMXxOEHJ9S TjZBEeJjAHfWWzJzZXPpUgV/WO4BkoLhzmZUoF5K1aUNXOv3FkOrh3JmIPdug+AnZUTnTybj1wWU i941Ki7SCq+qcla9cobQa2VtsdXw+L+SekZQFIdZnm/MkIwI6YrGUu//iDLlGdmaBgOBI8cwm6AZ iuQ1zsxa2Yfbrl8Jpw8nqOGiJ2rmXDiEVtKo5zoo0I9jRuVpQPrAFq/DBy8tbnmm1rX5eASq8lix CKJ2vuVRWJwR4gPdzWH2JFCgFB6fflOyNicRBdeETukonh5FhWnusfZlzw2J4J4HNRbPYbzoz0QH b8T6KPFQJ0/1aepUzSicFrMAQAIfDHYGZDaX0Oodj0egoVsXIu4aVyvVxTVDIRuRysB5Wzd6bTd3 b0mkIOWs2WTKZ6juIbId9bE02BqaAzygSU40su9sTCAUEkqnwda8bES6vM9QLI/AM+AgfbCUDH7f BJ6dycLjQbB4QyFKVmK6AX7TBK0omobV42jZUgvQNR+aQtmeb6CCwyW0IsTHKCzgIzi3we+xBRDR oJJXY85KeFEioBYxODOyb+ntFVaneYE16npquJ3oymP23FQVj0cKOt98VqhL1n7ZiCtEfFT0n5s1 naGX5LoDbbhA8IB8smlRhsG0ctZhknDXt/zkrGefTWngnpW1yMpCrDjoV8Dw4FansdDxHVHVQ4Cz 1XywY/p8wVbBeVc8HuHObg+IXdnJdOMuoVBfutFaMlldyNh2bHA8pxD3gmYoRHxwczVKswNuzF9U dMQTI6+aFArtk7LS9zUn1qzy+Xbk6NZoRYUS3xFUpzdxZ1UAvZqvEeeuVDlGND3weMRz+UW2w3m9 bgdoRcH0idRBkWrFyVIuf3I2aHKDSdTHbY+F+Gl5kmxaJnFwdPAEVriKVQ9tqZVl6Ao4LOAAgGW6 Wh5hZyssT9PttgmC3y4Ev0DHeMhXKm88HmWs3+jggj/pkRhaocw02YhiP5MvwBYZHDdm89Rsmtfd OaVXyEaUPowqi+WpLJ71Az0GavOC9tB3o3cyklhUZuoPy2HeiJ5616Bwxj4rodI2KGEFvE42iOtk G+vfxWna3SYqTTuoakXBdKIlScsm4V4km0e3DTrUMFYU3gex4gqlPYZcbdIdvCrUcN7QQOMk3h2a Z0gUO6rvHShAOExdX0nizlYE91hkcdDRzgZF0+lMu9peow88Hiyh9E1zmWBK9duqX7Ha9GCw6Dpn bM3pHsuPH0n2FtkKo+mqoqtETfuXqGmGLMPqqr1SDI6tem5K0iefqhAdP/AdwQR1uf8Uuf8Ua5ci I1ZchIIKHo9Uqr6hnZDVruDQ2gRF0TSor1CkVDnx/Fd247aBHNRcABK9SLDHQvw0+S21re6DX/Rt AuFMyemzznrNsnSBQvC5HMyliBMEsym965/yOandwQBD09DB7eQyeDw4pS+0U8qDuD7bnaAQPg3I 2chGFR9D4mYseczs5q2jeOV1xcVpukiog8rBIWf77KpF56yC8K4z94dVMm4zpC+wq1aXtTAOw5dE NhKEeTRyfzmoKkQSp7SkV8XjQaN+fpPt6I6Tr8DQioJp7I3znstbZD1X5yhQSdVmaLksdxhMS5db AkP5ERiCHwuolYTDoPyRRi6EVJ0V3yFfAWmOGwuNoZf9kymVzETqnkIQhUkiKWQ+/j5DK998g1BZ 4ONwW2iKdhROI5PCJvZYqKTiGVmrbtMCro2maEfF6cEWPfvvNni0CJjZGHQh65iESV40FM2h3pu/ pRnkJb2jcBrtqrO2UWywQWvo/AhN2hVp8PGgbvaLKQU7vDKvocR+D6dBjxm0arEJ6jl7ygodKZug tZZPUCjuQcY4UlQfbIIKNO4njT8KcOVdbDugq6BAgkjsMUim8P0OAdREeaTNqJqDjqETi7IcIGHc /R5O4zf8pV2BanK7yNcdRtPIWPcs2k/Tlg2pZG25RRtxA5qgOJpm8b7s5cNFvqKXCoFplIXOrT95 UiXglAqVmJN7tRZ6yuI7ggkilmECnGuDgsVzAA/JlCEW2iEb8cnp1YcGr/2SondIRxx027jOyHRO ZL6xpIvLqgfNrzhDMdhjq786fHC8UIfPcxb0FemMcN2biC+kAAxKnI1Y9K4BE6iyr0HLDA2qC6H+ reL73BOP/0s5uFjKCvUAn6AQPA2qFM0MpF6RkpMUZk+m4jXWdvnyHbMRxYaWSDc5jVZZPHsAPW1J 5eXKAA1mUaDmAiKVvw4hFu/33+LTqVIIjoPRXMDdlzNJq3g8kvf4xnUW4w/7BMVKeZVYRWNKQYn9 x83sd/UVBDF8TVAUTi/GQg1FbBs8WDyxIm4tdjdA8GhybkM/ospJ69F8zfauQSxU2NZYc/hgOf3J kmQYVdPC45EO3Lf+ySREud4VFEpPn3AJ8h7i1Z3jyH0B2K8yplSDuq8mKFTKk7xHEqwzfcE6z0k4 kTOxx3oyjFT7NdNcbf1QoFRN6MUm9I5q00XA11HvYFvs/AGs5LODuOPa9C+TiZzlwGgTFKp7dACq 2P0loKrtcrfYsjNobGSgmqAQ6qGl838FYk4KMbF5sohSoBwKgI4rvp1pRblCK6jQYBffEcSKRRlr Lz5oBZ0Pl1PomfyBx6Oymd/z0hhA1AAYqc1QXJtGP1YUOx5C7TFkJZmD+HvmMnQpicPpIdB09cFm aJ2wt82yDU8F9WlWheg4hjYuFXEMT7Wn3jUqeiCaLoviSxxshnDpKhJafPxfGatMJthluXssiqYh mT5an35Kr2xawWUzC5RjLRlGnKAI6TFN1310Hzwh2+cXTl2h4jmaTQcuQQkZ2kM/ME64YpRN7xpp e7D7TJlhDbbHNvTrWBVKA49HOnB3jy1V73HT35QspyicJq5z5yvOTbcZJq1z7yvvgc6DxLlTqO8h S7qi9kWZV5yhQg2m0CW+MGhQ9bVBzYRd+0zpMkMMUYkJ3/I+TcumiYQgip9nZfYJauvM7E8Oyw+I 0J1+WpM3dOa5fZlNpFAxj32gzKUyWIudIrgU+JOJyDHroP9h1wf9VWHMhdc5B5umdHYUhLYIw28Z qwnndUUrF47tMJ95IB9j2+v+pUtZFVfXG1dTWILZFqj9fD7KPPJ3bibvgZTvNIW2LpAQJrnKYB8M hlBFq2xpquM6ituxphBH3aT2JtuA9sjpjIWqRyfSfAAXQ8oHGB7nAWSFlDFzKXN/2z/AVee8FruM GGNGyefrQOf/IVds8QOC2OhJYXmzIdi8ALScomo1WhOw0BRseqCraOF1VxhAAic2lPknxZ6I1DPv 5N5x8FlKqDlNFkLOJb03Pbc7tE7Pes9ElOYnid32uhGQEfERDJ180NG0zzUjAub5F3w+OLzndxpL yn7fzyxFMTaEKMANNeEhiNbbydQIi+bJZKoxPJmimrWIZfRtscFLsgCMFbNwO7f8huPwWUutQNMc BUzqcKtcRFEzfkvQ92CQlAZJ5WlsR+qdeHKbcwCsFVKIASnfyvgZEInRvxZTWLjGJQdxMjGo2mLe Wki538ugaNQ1qZqmsHLdZW9Tiw9+y+Gem5uVa4h8kiiCLXfilA5lgP2lE8cwAF8SwfWIA1GTiINm 6eQLatidLb35/Pss1V9ynugJNlS3fJJi7Y9Jb83EFXQmaTmJqmD18z8nufhFOy4KtyeP75rNmOu5 5eY5XEErJx+4Q764ybIMSMGTvf1Q7cT0Kse0t/1LsLIMqr9zMMTeOd8kqDi3XvK/cKYbu7+P41SK 9T8IZbSyPgIdh8uYdT1bINul0PBBUWGEohWyd+NgkwQf1XkSCKoQAXqDyUflCFcdUNu9eVZ7gs1m bxvhQVjbR8DAwVgw0CRVUgJ2H5+PGo3XcpPLDq3gr1MpCroXUcpAQJr4cvMadi6ucDF7fbzvIg2Q mkSiIoqYg0fdDdWdQiGicx9QBJ6pPzVAkIM9TtrmhJxCfWqSOVPO2QetpNTJpGc+P/l8sN3qN+IB S7p/H91hGRuaZHu5QsFO15tryVWbMiD4g2ySojr2YBuN6kI22Eo6VxkoopXZLZwUG13Zp5qr58ck I48a3oVHGb8kqiCxdLT6HQxCvGtn2awOhpSh6Uv7Tk5gdPklipZDF0VwENACsQpAGdNFmDOJkypk o+CsSQpsFM/N05mXLN5vHAxmfZZM3glrkdKDc9aseQR/E7EzBd55JpUka6XARxFozKUgaftghJgT izMIqPRRDI0UZ+5fK4kF0PbYBIZOio3YkG2y5oBIG7ARUofDqwDLPSlyYKV4Za1WXj7YJMF+AtmI wCED0gnkFS0qMVO3fDwYvmRvG8FnSFpsQoM2dwqEg7gJNJ5Th88HYXee37cbrtVHoTHHZooLK4lM Astzh+e51BC3PDeZ1HAO3RTVmn2Rq07oCvbBiva5dBtVOmHuAUWCBPxouQbkTDD4JX+1jc6yHBq6 Du5aWlXtoaNYkgM/RTRnf5HPqJVdnpUU1bTRM3f/F5qWjivpLQmDQrPklnySwqCbt1unwqkGW0nQ ikDcxJJk2hOMWBXl8Idk2gLfojb71zmwVHQhS9yMPqhekibC1B9WFSqfjxQdfsVJKEPMqyGXQ1PF RSeqMav1joRVo+sk9WEENFI3m9stpDEqTprCXs8rnT96hVlKZfMISCNqsMDhBAsIvUeKMV9/imGv +6cuyKBWIwkmWkppb8k5QeGYz0dN2vUdA/Bm28/JHWlX01ix4TaUDFhydbSaNGHcb5NyGF0f9Bfm sRoiYtVLkElkEMHVBp3+84PItX1jsmDR9rNobaZDiVJyObBWxH7jJKHDawOXEigyTVpyJ3Pk80EP 6Zf8eYbAQN23sBR6K05R9axzBOzRpeppE5KJNpm+JX1Q1ERi0THTKyxr7SoGOClnLZ2GSyfJShYD NLSxG2W+4Qh2yXrFXjeqmHTGkWX4YBWTtHZRhFg2n4+O7m8HSkg0nZ1+7RVDf0UmuYvsSVKtssfc FRZSP6ZTVNzsJAcGi9kipbJJKCoinqvj386fvrccc04+nSTzvaD/3Ari75Ue7QJ/24ibLx0eKenP 8XA+dxcmYtpLRhIYv8RRt9hZd5LCQvfEqVR2cgRtcvmCNoaF3xPmxz5JYaWb9euy5G62HnezhIIe MV9ot42VALFAJYD1QfQv2iNNOOuw1w3k4rXT1tg+KJ4EslVnylyZz/9L6psXHH57yLT6LMW2MJNN W7GrhslX08yUQALmuB0/u+olsc+i8EeqenPwHPecOLsVesDhgyDbzK4t4JJodBBv5UaU2d72L43U s3CyDxZPtk0NU4AGG58PMpP2fcFRoq5fRkgOrRYh/zpabaYZ37LbvCKKdRAbCIq2lEKvxWWGym36 4EUlWjNM6u9BRMVa2wsi7A1vXfst4wrFlkOzxbmomrZr0qG0dQSjCFMJ2YIMA5//Lwp8hQYPl7uX Q7tFlFHP4WVsmQGRK6+8ZVp3JcmFVJ+kGJEtRWtV3upTeTtJx4nHGvOQDrcrQNQbdh6MuRGq5yue tmQ+HfotejxJoBYHoxZ10yZu58rh8xGL2O83CdTsb7ndHDsuLkaR1XR5JlssmKRzkjTbbqvVe3IH lovCKqLGLcxxezDH51g6073ILhoInEyGj1gtRN6UBhbcj5oB/JIIRyISqI4m5Bmsb583RPkF++0E spsfEASUF7nOvYWjsoOV5dMUQ0kKAetaQVRbtLVUgPgiaXbWft1xA99FV5UF88cHr3Wf/04ABBBY S2kRin8X+IhBUwfK2TfNVbAUGy9mWn0MiaeObALyHQp8RIP08418/r8o79IPI1/Wfg6tFzfd3oUG 0H953F2HgUwyO4EWdwfei1dZtjE54XDP7glxYik/nEMJqmGszuE+6M0sCKzbPZe97Z+TlOcdDMEO aqAQ7Cnz+YiV/o2YQBgA6ec7SaGfeaEyaF8edpdrGbPmxZQAJmeTFEpcLymHpOGDo/zbRHSdTOcJ CBNiJhZKKNACRYpgznDSdMyh/2JmwSRtpigcdMFRRps1kJP78PloJXmshN8QuIWzS25uEjowNkqI NEOQSknWwG312sbAzdUnKVTl43lEhwob/Fhq52Zolap8FVbLm726vOnMRBFlx9iaiWcOLRiHTKtQ HLLBooAhAuJZSWvw+Sjqzl8rCQyfL7GnHJowwm58nJ1lStdqkApbojalqkouGJYDF0bHbzVZNrTH smF0qg+jxgCR2Vbg/4BJakTfICFEJqdJYrmGXxJMkrUpCeDiYNttj15Mhrfx+SjL/a5P0gYLZQ6f pBCtTbmethzCJR6t4CXDxa4TC+/McgMjxkyUJuB/wrM//OKB/1kJfW6YoKHpyupcBtGpQAI6g6hn GK697G2DC06BpPCSHIx2dM4zaeY3brcQYfJLAjOnE7T19pi/h2aMCT5WXQVuuVxsr72NejEmdd5Z it3Nt3ZR8+EmcHRn5FJCzXzN9pS6C5UOsmqFZynVbm8bBJSJ3cmus8kbxgCIZ2VzgBPx+SAK2L+g XLhTAVG3SQoNGfGq1Dc1elYZ1vCGllH3Q2m4eFiOHRnXFoFt+HDbb9BZ2WyanExxovpKqBLCRsRI /xuznUNHRquX7FF8MKhSHZlcpJbG5PNR0P2r+9ZZfrs9k8CTsfy/RCXM6e2ALjerrnaAl95q3cvn KAq6AZE5k5PZzuXgt9vasLYl1ZhQE2lj54RrpcuV6E7S6Pa2ASaA0j1Dfdxx+7hwjeyyxGtZLxmh Su8kqZGLnXbdc3NozAjon+w2RSEpVzUjr2IAQfxdHnWHzowCb2dJ1HDwUsCJs1dvJIrLCp71kga7 5c7IheLXBm9Xuzv0ZmTkCXms6YNRJHBXcL+NNPh8NE23qqTmOALZcUOl0J6R0rxVnON2jaqxmE4Q 6AafuSZHmPzhz2hCl8mHy/dLNBvi7bNOAtfkSYSmXsOuGzgcFCrt3Oxtg3hSyJJKHC4H1d7g4Sdx iJr1khEo4OInWV2hrMBNc/9waAS2fZsP2rmJkq+lQW8hExiZzdfS34bnWRuPg1cokS9DZEQi4YjB sZY6RKUb4HVZzXnzQV32un9UKGtmqU6DwXBgEKqWAHq5gU9jounrU3xDSb6uclOTwKgRqADuOBNa k/6siUG1bbpQ5/Ls5kOYQ6dG8bLzns0HO5cafC8WCfDnw88JOEgd7biRTsy/fva+zSVE4nrb6ILD qY2qlQ/acCiCNvGzUTEJvBpRj/2+4MDog9PNnaQo6sZVD5kIk5sH4MprbxBhtgql8CWcpAhf0oZx 2rIPDp2YoCOrc3s+ftOn8+Tu5MLB2ga1Te8IrG5vG8k/8oZrapu0a3F1onXKVgKEM/h8kJpczkSW lr+iuTtLoRp2p9eeOTdBps6Itecin354F9YMteHCsFv040p7EA5eV9qrQ9sxsY675sQvyA1XgDXt YDg+ymJp2etGGpDsBbDVokHHUjvHHhPrc+d0Ph/h3r6r3fxt0EX3WQqr3bTWlUMIKrqSzsKGy9W7 A7MBsm+zFMbdDJZKUdG7PIoaVCBkGRvowNWAOOEsoagDHmfmWWs1yt7sdYNIQIC3We+gHZfXVFX0 LKvF56PC0v5O4RBinazyiZdCcDcW06qsR6JzMpitAfc2G9ais7i6IXJD50Y14ZLs4jlomgB6BuEp E7h81tRmw6Kz6U2MIKmnHjCRpZQD70Yh2AEtYC5Xr7LGSXZGMQD8nnw+iATmb9o/tQ7vlgvdG9Ge BuvBkzj5PbChm570JDkZMAf2jZCgoP/wkFn8+DKLZ6IrkgDswebiP6nUwUMltHhxCQLrw9424tyy StlT8UGh97mb1ao8M7f4fHTF/RIVpVrDZbvl0MBxJmD06Av4/xl7s+RIcmRZ9D/WQnmCebj7X9iD qprBg33cmNXVIsjuSmc4ERhs0IGFpTYdP9FzNy9i4B8cPxE6OC5xTbAWbLBJOsHS2f+DGjZoqs/C vkGpSE+gDgv7IMvhhqKlwMIR21qmM4QGcLBMt5D8iI5RK3w+uOJ+CfohHCygAfkkRZF3pevMqL6S VvNyAARffSWh4GOTFEfeSkykiJQfbvKmLAWZC2BTNCSoBMnj7ToBjP3SJlV9C10caxLijc4q5E4z pQAmZxTulhMSL/6AoAnXf/VzwZMqT2UpMHIEindRfiR7plu9/LaTUZbPqYSSv+23WImEdTfpsHDw LA5sJNjLydf6HHZJRRPcYwOM/Ns5kVlqDowcdUFxn3UfrJ3boPqFjxuAT4ROjrn9cgNN9VemG1g5 oo4LuFvxYAk+snbBpbLd7DKtizONvRx5ZEMa24cbBpzJRuQtCndCcxjJCQJ1cs/Y5jdlv7btbf+i UnQAMGww+iRYnzyT2qx8PtLP/EXBFTzw0t9y6OeIhW6OQ7QEbS5TW0WHkNwG+HEKKQNDR6wkWcix YcnBU7h9/ttwgBQoogO0yLh7c+mdw4hkG0e+LXvbgL5Ee2ugSX1QSAkXKWYq5yupfP5fhVyqjKKL waqxTVIYd3fEkWmbokTt7ulYVjZqJaRCx11KMY2SLYEs9ZY8btzdAQJIlCAqMBNfaIuCljPZSKYt wLy0HAq05cDWERElE91FWQAOBuxG+Yrx4Kx6Pigtre9DKaMh0nd/TqVQ54/KJLWL8T5WySZBBgi+ yyOV6/+QA2tH0XrfFH0HBMB3Nd1sIrKWNMgQIUH3oz+gZWmQ5cDbUdZ2PIOaD9pxJwEWGKFMtCoD c0esJb/gaNVTSb3w0tLnNVJqCAJwKhEYSjjuco5JreXqShQU3Hlyv8ZJzdFKnSZXGnyG9kroDHMh QZPeJLbQ3q5AkIm0LLASsqHPa5TUXMUusXSgwYq4J3VWw7stPP0yO+0RY4XuF3MYgEwpFcmwI5od QHBmH07GSdMloRsjZhoZidDPFRTMTqfu+kmglg9+98PjfVPMtUB2bA8mwomyWoBOZBit1pm/UO+v 05OMiEO3Ixu0fFqhLC+RyifSfp0ewfJtengBwnITCSln5zU2amb+cO4UY06cPNfFo85X0h0LUFzi 7zUyao4E6E3Ohe3aq0zoFg1OA9JaVCTF1YF+nNi4+VZIKNb9eY2LmmRpoLYg2cSVvHW7gfMRzG23 z2tQhKk1XaRm5irIQjrFAOhbH60dUN17nW4d0i7QPeGK1s5qpDdgdl4jopb/nw6dnliK5ODBNSgm NSm4hivxVKUpE4ClUoHPjhClrwFRk3QY2APstJ0UHKExt9bZkZsp/znG8uc1HsIv2n3tqIeEqi1P Z8zOazTkOytPEzpECOwI91327UWidyvL1GDtDHkXgeJsg3ciUzo7k2F+mZQIoxhSAjGpAh6BeoNv rEbj7+jcoclcIpJCg3G3TghBUtHJjdfnNQ5qsnTV5NgRhVJct8l5DYKwGyeWTvcSbWcl2WQkVvKW /3V2eg2BGtDIBP8DI2KDV0I2Ydvsrc0CvaVJHRLQ9FqlnSxw6Ly3SmLt8TUCami7cFoWK/4crDzb 2DBgA7J8XuOf9pjOtB9NJOP3arPzGv3gKM8wVrqePJscA9mF7Hx7/bOa5fdr7IPZaSKOKC9b18gA bsQdCsQqX6+9ZQeBHiRK++WH1QzLWuVjEG0sEiOGnIs42OQMI0eCs/B5DXtwaC3fV6y6NRZmfXJe Yx6cyYDU1G5dNArqW2h4IgKT0oLshiH9XiMensmclU4gOwenaVFXd1CAfhZUwxM7aFAmbqhA5vR4 Xk3KIL0GPO0qQvZyB83Oicnlhnju2Px5DXf4hsNmRyB2QI66b6zXIiM2VlX46h6yxcPAEwFZHQRV i2HdxtcSIzZ1FrKvdR882sFZO0Dsh+vVZPMUuQUCHeqXFYKITMyPh/JrgRGzA8zNeT8Zp21fO2Nk aG7zPi94+n12+r2yut1YVMHU7LzWFrFckVZ0mTlhFZHEpV7s2k7yo+KThCOiaEe2Dr1kH5xOgwus CIx1Lo1JKBObZ03F/ExEloGy6VT0WlfEN7BMQSv74GDjnWReUCEx9s8bizp+KB2stTwWjEJlqh9t uvdienYtfirvSkwWjZSTC2i9E9f43TCNKITGcPBocA608XlnQQ9wo+9x5odaCEBiky3iuhpZ7xqs HgIfu5KJfpMJRFP05YKyJGii0eaavrn4GNTJyZHTBEXRMr7O0Qj2xtlT5rS0tObibX0gzRxAG4fL jJO39Hr3Td3hbHwipk1vBzCzcwICCfD+DM9auBKS31/l8j31ssHZXDg1m6cPB13rpRK9Cqxn5ePB 4SzZFDucqQRfum+wd8oarq5eKA9hS2jIFIQNaiLcjUbbHe0QhcwATgLoqGpZe1BqBchWRRgIUU+8 UWQxg40Cfjm7X0q3ltL2KGbubJeR6W6DthgKMSrdN5TJ3oNmpGvl+3xGN4ZOW5qgKGiuDJrlecFy a10eFvYroJWlMMb2RnBCd9petE16CIeLUDuneweMnFqZ/QSJrKZRkg8pOH9tv76S3vV9j6F4AmA5 tWs4WB0x51WVcS30NqJDaHyHzY21y3En6D1ultDuOPNvEwTcia2gIRENdCdRffJKazRBrNnPSbgj B0sr2gmUM3We4ZUGBZOl3cgyMMrbpPNRQAvVab7rX4cQiYA2GBa0mGwgZQ7faWpNppJfeQVRxfJA 5wxFwXOnP0h31NUc103uZE8uJrpp0M1T6D16dv2MTmUzDZ54AUe6tvShcKmZFCKNHcCxAJDYy6z0 4HknqWGTkO+4MoVmOAhP1FEW4BI6W+HzzlFrENqsv2bopDidviWaoTCAbjx7TGQVnYFLmVlukTqh Smg11iiCltJBlw0GB58hKEDXKZf4sy6bmRaiFFDZzkjpFn1EdoxCaErIvrDUM6CBChLz/rzz09qj im1xEJkDyWPod3paM4uZ0V2xt11b1HNklO1FMRTNtMmiKFrg/VZ1nxGTrFMIVnjzpOxNNeiUdJHt Ti9LKOBQC8TUDsRRfw+jzzplYgrYhw/aZRk8G37embXPOzmNl23/miHY2zRicjVD74E0llC/HjMI GkcyNfUKYVRHftCQhUsoiqRH1e7q1QdfQudoO/sB39U5hkrBxYZNVkzl2Pqr86uX8R5JI9pj87kT vs/Bij97CQQP8PvnnZeGWGj/OobQse/t7rEolgab7CR5prF60tXLcFzLeaAnyboTFAfT7DxP1nM4 2ARNFN5y6iodduiKsiGm5vz5uXDIchTxnHrXaIJw029W/TRogoAJLIYeWp93Thojqf01QbTOlsUM a4dRNI0gZzAkkOwKVUC/rdImEcTVDqF3Rtqzx0aqPniHHrNfN8nEM1UqqhPqAPUHEvflCyjJrKF3 fb/INg+hLu+Cfr0LYBUiUsNJ9fLnnY/WBN+1CRLFDyt5eu35nY+GeQU3ixYyOoWSdwlhBZ29y0M2 Gcur7+E0SgJkNDYBFNrFMIxz6kAtpjIWAjMJnJZGwYyfhoAkU5fPSXtFLxvcZJMaEFXl5zpuOH2C eYE4Z5ufdzZae/RoLeHgET084Xgno2HhoqVq+oY6p70P9m2t252x985FY+LCs2ULqr8f6fmTB7VW F92Zz9F/9hwJewMHVWeOjYjIm4XUN3rnot1oKBfmHRwsXIRJC82ZzoL6vDPRmlxDvyr0hUZ2fk6/ 89BYSWQ73k1mtsT5WSsD29NqZUSFc5dF8XQy4+pefLgVj1IncdOoB22pZGINAac38RXSDMyAMBQS eWeh4UM24Yv0utJgB/U53eShkjIfj7L69nUODXquZU/J3jloON4zrWWakWIaGRcq09M+Snc97Ah4 179T0KziQmBH9+GmZFBV2VTLHOjFo4SI0wjSrETXouXhu4zMoXcKWnO7NMhE+WBwqnN6Cud5zrfP OwOtPbjqRs5nG6xn3l0WBdRowY9Blg93mWBVioYMt3/Sevr5cJdF5ehOj+8upbX+KK0NKuolCOOj 2Qz+sESNyQNGnw0abVarBx6dnxEEQ5kTVMrwQRPE9ho32Z7r884+s2VuS4i7DAXFVe5d9h5QP5K0 ph9SpNQrTSNvZgC0YPCXd/IZU26jMSYffA2d9HQRrs+Aep9/0I6fYDp22INmqMDf0tDSy76fQ5BW olRf8UEn9YIPCX/rlfbnnXvWHt1n5fUTV6LQL5yhMKKu9ToVoYpW3S7tZAGe1+MytWbYO/MM3y+V ZnHm++Bp62rnoE9WtIdPL1vRNWEeFnCwyEJdX2XpXYMJ4lVGa3cMBIBxhk7AmHiOAD7weSeesTrc vmaI5c657xqKStNgfw0YwxjFI1efIYhP2i4b687Qe0SNujGueUAQfLAZOtdaTX1U4V5OCLukT58Z TGdmUl47Y6vi8846aw4OGjR40GBcIXBQzAKjf95JZ+z9fUfUwDsWSg9ogqKIGmDm8/Vv22RDBXu6 gbXq1enRneL5zji7lY+xudc42ATB9BzIsi3XRiDXpeaDEhka2p1cYfV9ELV93gln3GPCmMvLqd1m POT/FAOeaP3zzjdrMiL4ioZQoljr9pujiLqRSjXmLb+6UxG0h66ymiwJE3/OXzeZpGU1+AqC5UUj 2LecLQBZpqyDCnJYgErvBzlFLMc724wNearzT4I6Oeg33d1kDMFC/7yTzazy8LWCkNk8YI53rhkD hA3FgmXa6hCFsS3WkzOpQcysWkHvVLObcizmyho0QbBsQi8VoLqC8seEOBnmEK44TIaLsZnAeyl6 12iCiHOF1KcN1hyjLCWT1sJXDLaYWYRogvCxeU9PWt9pZlhBIAjvtPyU7tWCaBCek5/SQJ9pgkJE B2uqoz3DzeoTmkCkT3XmT12FbCDUCKN6CItAw/Fd/8rJJttkGmwFtSIqBtqBn3eK2a9DWhN0LoPU 7wRF4XTboCYsE3M8v0h72IqupnYOkGqFoXd+2QUEIdbxwVbQ+eGY/0YqPnJ8scxlaAB2Hjs6pqdK 8Yt3ehnzDYbRjStoNP4vLqGem7rPAPp/3ullrHv0rxmqOKwoJqkZisJpSF6AY+4iYX07MbjLvAig 8iWOeefPCRIOlnumePjz4eGfrQRFcGppoxGxmLs3VOvaD4sft+rRmbG+E8vu/GRlG/nJNpDRsAQC rujnnVeGSGT92mEgk6bll9g7rayZHJ9JOBBzf+X4cus2PZMVBi2gqDidePag7uaDx9KzQDdLanx7 7ZO1UgYaKq0UDYPLlvlfdKrOv5PKmjw2GAfdwSHAdUgA+wyfd04ZVkKaX2GQXHqfHRaF0lS72NNR U3s0x2zu4b2gBRlUJazvjDJ8uzS+6P0ZHPuSzm/Ofhh45RlqLvS5YseoEbz0pevct142Kpyx0bxY YOSg4jTsZ1W+X5PvGOyw4bcYO7IAFZDCqxmKQum627dvbEV5w9KxculkCZB4XfNxcZpVaTNH7aws ThITcYuBtkvI5vk32PZIWLmt0L2ljZ5Sei69zzuZjJACqoEVuso1usMxlkaFgF5dP2dd9c87mayJ /flUp9GkP9vEM9Z3LpnfYyZ0AZjZup6NW7PFyll2ius7lYwd/y7aT/bBkYkFghuFiilwr0avGxlr lWcaoKLjlu8ZKr4TyXgMMc1Y4rWQdU0nCxS9EZHTZprPRynrd4dj0EDqQqjeaWScV1CVmyGCzm/2 5c7s4pezYtNqhqLytCy+4JLig/dZTwZ2gutBZPRqlb7sZ2rkMXvOAXgY+Rra9q5BC0jysiBQ2uDg TSM/QBT3804h4yvWX/cYkLXNC2fvDDLe9AOTae0wiBC5DP+mrKHq9xNfK/GJYTCtHlkWijM/YBgY XZwfZT0yEIvoDzKBYKCrdaa6m9aQKC3vBLLmsqltS6Bwk/HMVkVd5J6dCLnOzzt/7FeTTKIENKn3 m/6dPsaiKeVR2GmGGMgiLog3PZKlSrk04lQqZyiKpqeVhZjZc/DSIur3fSilb+cgQn8UYGYUkKCN jFzWu4hI4z7v5LGWLGEFqcoH1TxgcElsAKqwn3fumFUdnnyMd4OkrjlBYTQ9wdJY24xREXaZ+n6q XXKFyAZhNIlQ6J05xtOMaagwHxzuHlvwuF9bDHLsBywhLCb4DoN60y8EmHnh55051lxO7mRfwwfj aIy9BTc798XnnTfGU2h9zRDVm0GasBl6D6dPiMC6mQDkAMjRx16UKOFc6RGPSi5PoXfW2A2nu6yc +mPlNAlEz2OJxtKBRafgHo9ZyB9lxrXuuDf0ssFlX4V0LckH60Sf40ttxHXC6XfWGOHg310ywj3I K9IMhdVpXPbnbrCMbE2n1YN0Yj2ghYKXwaTD6vRQwsFONAeboYTq/QImDLJWuNMkhs2jH7pBUK6z lJW4+c87ZexJWfsz6JxmvC/Bppo/74wxVv/r1xKiyF+6iKp3vhhDMCAYerEl1OmVJyXZNq2CdtIo J9e/08WaC6XTpdcGT1nbBCSeul+woqjdtOYWuz8ZlWI3A8PF8Hkni2F+eDyv1O5g99i504qsdff4 vHPF2HIvX/MDdavCra/5CbEenVDyZS0y4yOwKJRMLe1sE8y25ifEenCLzUWmGAfLx2DmlCgTw4Rj zcJmWoeHQEFZhaUe7TDiiD/vPLELqOqblWkOmqBzksgMrJwD4/NOE2uPdBxKOz9mgb4u5OydJWYw I0DEhjeAblUoCZPXJGVlppbvJLG7xaYsnGZut2x23r0luFaTunrO6LVMjR/hNrLsR2RX+rHvHDHm VPKTI52lSCWGdcVZk37B81V/3ili/wdRBTeDs5zvEgqj6YX+jzzi6/X+aFT5uDr7g5qxvMdC1DQz 0VFo0szBo+mEok2qKnqsExUl8+RZUI0rggspZ6WRBj4juOhlHtOIC+ZgVaGzQIvRdTMeD2LFXy3E ykpn9dJ9C4Ppc/yeSGeKLnde4bp+DiWytKeD8aRWUFSZVhv6JBZ38Ehog8onyuq59Lm7fkh+Rs2T fI11UYt2SkfR9CJFfPFY12BZPZjniv8SHw8a9eUXahEyA+ue0j2KpiG5BTVFqwq1NN04dq3lonql uDNKf4+mUbVjV0Odi7GuENo6W+js9cI2dId6TiUapgqI0qnN6cF0Z92sR8F0EnRano39ejYCDdpl 21lGxeMRMDj/WkLQy2i+x3oUTEPd4Hzl7mVR93RpxkYQl7QYALHTBEVYj0F9L2RuPtgEQa6qLmpF o6V1EmGhq4GSzqhKbaQHyscm9Sp6VJqu5PqUqT027x7LqZBri87rxOP/YkQpuV0onHlK38NgOgtm 9lg09HtKj+xN6Lzsnu9Radqx5VKL249o1YC/x8jaY3vC4rsTyADtESwjCaAZl5e16R4F00SclU1D 8DMgepJiUOZe5q/dCp4PCIfL1xCOgGxS2neOQsZhpZWl9cXOHeT2VS1Jz5K0bbC3WTvrUTit9ntT Rta+MrJz45xgCLRqhNOp4tCgJRp0BjYiEkm1zUcptkfhNM/0imKTDzqHEMtpm80y8XjEyfSEY3s0 VJt3gHrEOhxIOGr1lKySW0DQ2RhusXPSgGQpWQ/DafafuxwI++NAeAKVAtunrbJHBZ+aBtYT3Wh0 zqgb5DoVW+8a9RB1AHG3secwmG+klhK7Hf0c+Hg8uMpucVHrTZQjn6AwnB6UXzChytGGo/IA6G0+ QfCL1wSF1ENygKgbYYMHQ2fyYWLNpD6doAWi0kjqE/FC1WCLOodwtOAzgruexMwK6KkNnpBN0TnO 4dTxeLCCfif1wFas26bvYX0a9lWwvWOiOkxDKMmfOUuK6ZyfyfdYFE8Lr1ibRGJZiNMeG5CYNw+U czei6s09BnOsDoexDHMoh3rQw7JH9elKgeFEF0QNKntUyF2JyjwmHo8gZ9+VM4ZWhMJphqJ4mhbf K7NJCK0qqr5B7xwuUyoWQXUByCxeZRHUwzS9Vx0++AydDdwSdzvcw0or1PEChIgY2R9S4bXHuMHx GcEEESfUeks+CC50vtekHlDNE49HOf29ypLyN9QWvcfRI/A0ORw0rqJ3ZYWAs2aoQJdA3pVwGrEZ iqAeVvaYOowmk/qqgLqDBlRJtDvfIvIDnEKojADx+YOI1U8h1A7xGRHijF3ERQsU1RxUFwI+RhlH 23g8WkJ+TFOHCC9Qvo6hMKKWDWh29UVkrVY6c6cPnLXJZygqTy8tCUi92nAZChv3I51PINE7AfA7 a4hWcYQwlwfs0Smd2yOwB0q8VeUGG9SqR+tEneRzNuHxaBHlr3MowzGr4pYwEnQUUk+WX3HOmtZp c7xQqsOM4s/e8Fb0iArUk8KUYxK3yMEiRjqe1yxUHhS3qvzR2MRB950iJ+6gk/WukcAAD6DN4iIH LaITz2Xpna+c8XgQUvfvVisF+oEItAmKQmrqLc26zeJ7juKdxDaXzdVJhorxgEZYn7bCdE0+XNhi ATZLfTKAh6TIlOTmOUFSv3AYUDj5GRFRfBGvyJiIg5ZQgniKyj2Lj0e9aD+HWIdEiNFv2jpC9DSQ bXtmRYagPT/yOMlbHI09W05QVJ+WaudUx3USHXreA2CGcyfmJfQ0aKeNLY4T4KFdWFEnoCql7nq8 DD4jwHVyBaGnp8Esz6DiKYHTfv6Dx6MOx69m/aK7u0eLI0R7nLBldO0mnkfpMT19FDygAastFsXT S7VFnHscfILmOboHkDVKyhYKHjyv4L2YacydLhoGccdnxGAPkjV3voNROE7Sp9/vZByfERan63dW T2EICAfY/ETRNPVfqiCL6EmL5ItMCfhCa7Ou6VzEEUXTk6kodTht8GDx5GOopBH22moanRwGqU2c mBrB3qMeRNvzEVWnM+EeZFdDXglX7uYUrbwbP/J8WP6MkI3Y0tdNxkpDv5CzEcbTjR6V5kaBuk2y PZaGa+RB78D3WBRPLzkuTNl5znTR5ZCVgFongyGEO5WYvEyPRNo0tX7r06TUj6g+rTCxFEHOyoWc nYTGJN9OyIDH/wUu18SSKHVLQyPETuPU2upE40/K5uf/SAdCl00zFGGnC0U6BvW4NTgy+ExKn1Id QNy7AGxqItYUUpJREbMmWa9616hJxqLZZoWIg/GAoHxMSP0Z8HgQDM3vFhAKRcRo2gRF8TS+20EV JekGzuQ565JarnQDkwGDR1SfhtwG9JUkJERdPfxNiHRU2uWqE31eMhEASvwUGnE0QL5+gufH4zOi Cj6PaUqVarAK/oCFJ4/etfH4f+mRQayjsMKoCYrCaSRB8OnwnDWPK3mynR0Nxw4DxIw4nFZZPjUf vM+6z/GKJhMvemQHRKUlVBMqgYTAUNtBvbWEwniaa6dUgcvrBZef4LwL2HluiIXng7T+ku2EqqIg 7p2iKJ6mtVmjbginaFXrksHYzuma5cI9RlihJtwD0FMfbIrOeZpQ7yANaMEMYZAGhIShUVys4eBV DX9ve9mo0UoXKqlS5dwuQeGcbcnYdgWPR4UPD4aYrKBQVGf2cHGGJWpoSOy6zZIScuIO+Ehez1+d vCsk9jNWwuNlL8lADhZP190XsJ5LDgsgp1ChmgZLLA2VR6ObGccM8R40MulytuuleVLWxurMqeAv iceDGWoX2YlDh/C1pwQ7o4DaGJuUusWRVNl1RgN00QZUbLtG67dz3c+wRq3+RrFgMD/G3RDpwlFN /ZM8gML/Mc2uBiwyUPdegcXtic/4a5sNWrxrsLQVfUV1LlrB4//lIGLwiQDVJigKqFNFxrEszwBl yr1fTdNsUQ6/GXZxhnREEjYZbtrgjbJzdc1NYWDAGUBkaco4MqqL8MQpl9JK5YEZlqgJO6PFuA2q fHQYQvCyPwcmHo+4dr8qH7hd27ik3xlF1GCWA4HpvdbempNcanf9k8xqLtWpwgo1w6G+hKpaF1U1 AcY4O6qxit/2Gpl2nlA5Q6MKDc+nQI12FT4jmCFeYqzc2mDHEPwEmbTOlPF4xOH4ddmjCT4vX3NG ITXgafAV6dZKbNecgw42hvegYCQnKNTFq9LjLNsHT1pbOsuoCVRVYbAgHfhBD9MEx6AHgQ/ILz4j Eq+g6VRiH4iDJgj2MJytdrI+PB50En+hzoCqqO3SNWcYUCMPmtOICWPS8ooF6p6qVfBXooYh91iE 95B5CbNbGxyXd9JnuLryEIJ++WjyoEadGisTivseLrK6OKOAGigveHKpxdG9xYGqEGXZUPAeeDyq nX03ymjxgbvNJigCfAwcQvSsF69euQcr+NW1XScwLargz6hAvQTppEq7Bk/KABrqS5iqc6vDxxlb DK7TBQkaf1/XTy5616i4yJLZIGKIg5U9ECKw/DpXw+NBStZ/Vc7wPYybks0ongbPVJwlo7NuF/fY hOEI75GqZa0zlMgTj3Vqgub4RgwlCLzrom8bZn4/NOyg7ixteq5/As04ZlSfxlEPxzKpnfXlCceZ ZmLCoOrGxyNIlZc9WBrG3OwnEorwHqwsDvlOYy1RHMgO6fGIVLmM14zi6ZEF9W3ZB4+n0YGokxkZ SKyko8CZGj8SmR7Vea08TZGqGYXTQN4BncWdxsEwZ3BCZU3+RER4PErqfQUxGwJ/o10SxwyZiA08 MkHxRJOyQ/p8pBOiT1CFjhLPoFDbYxpiqPtgKwgQm5NRSux+I542AzhalknE9jIR6fA+Y6E8rKCl DsfqT0YGDUoG0yUXPB6Fir9Qi6jXQYNEE7SiWBpqNiB1WUY2mgtvl0njReE617YVtCK4h6nDVKnD 1KsOM/jwHEIMnRRxVfZAQLoDfRk7+MI9pLu9QrgHWS6j0M+Fg/WhIVQuMGuteDySz/k+pKmN9ZSF Vgj3wBarZNkKlVdsBZ2vuyevnEHtSDqUYSgtR8C67+Bb7CygsamvDSTD2o2VxUSr8sZK7VObxkLH Z0RCeYRUwV3JBjukE7wLGSnuiscjzNlNx3igI9eYnmusUFoasEUUaewMyvcMStLspBQlhe05QSHc g/D7kSiNPBSjNDbqB6IUOhKcCaobbR6eQeSyIy1HKdW6rECo4zOC2jTdpcsUXWq6p3uBMnlWQX4N PB6F0n4GsYQEAaeCIoLNUBRKI2Aa8Ml2olR3YOdyG9yMOM9S+hVip7varIIDrcskOxcMNEKaDDjR Ha3MxkTThFEnRcpNKY+YsxVXp1UW4snFQTN0jgjqI/xAJwGP/+sQ4pXVWTu7ExSF0mhsDWC2DOuh mHFTPqcYMGaSMchTesVMRKkxGNd5PuL/FQ5brN2eCRpAzbMPjUyxnRX2w8ag96Gn3jWomzEQ6oNm WRyUrpZNkTBcYyfXWHFt+jsQIkh0X2jwikJplFcBw7SKR9GyodVGd4uy88U2s5Jaoa4HC4vwf/fB 4UJYGIgMAWUoqABN6r2iiEJXuAyTcPeUJOhsRWCPxfI9lqQPiqXTzEUtxBP04vEIUfXdh0b/r/Z2 T6Eolj4nMEWCDVw+0hrOkmp5e2WRTt7cY2EsrRCoivtUH6/7fV7/nL/0j4DLEILEn2ZFfDg3zKcm BAUZfkYwQVTIS4mIhpSowsJ0taN3iwzr5PV4PGLUfx/TcNyoLJlrgkIuYoIaZfc9tvp0lbPJUjqx HiwZaY+F2OmuklC9g++xk2NDTd45QKWpJIQjoUEimBZFnKCSyKhfUSydmGXUxrOIg8GF0P4fKgnx FYNjen+DYRryfsWrnKCYiSi7Vks2zqQ4uLzs4mKUbGtpBYW1aZojwNTZh5uNYV7Ihz3XDXDU0lSe VfqeAB26LznBSvyQwEKCLE3EDj6oJgS+nICd50TD40Gbfn4jXyncSDilZigsTQMaDHT2bbJ6/yep 56EZqga/X7FQXhZmfPrgoRC8t2EZiVMoj0QzzfO/gR7p1Eko5VI4CCnGh0QNIDLsWko+6BQ6n1AE ZtmZj7/PELDXNkM81FFG39VT+h2F0xA1Oofpdlvburxqhp6xZaysGXOK9t/CHj1TlIKDTxHY80uY szGpdE+3UpqPQMuk/K+P9I7CaXSrKq7n6oPWEDj7Sxpeg48HVbP6vcsAhIBMuE/QezgNHtmm5KtN UO8eTgOx1AyAn+gAxwkKhT06FXGUjtbd7gSh83xie+YbM+F6JGn8rKT2Q0cbQn3tIqPo/Q7R06SR lbzvoGNo720udBDF3e/htDgyXywg6KbC/s4mKKYiormxmuLCKWkETdC8VTOQMmyConCaKM2zdMT6 JRFWxxBs1LCZxBnP6I3KOhkNYJhbMlE3EzuUf/EZUdVMapTpDgoWF6DUvKkRC+1Y2CN9TRB6gSXf HuIOmYiAMvRpSHJQT7MlZDvl6TWPvAxNtWOoB2emCSzULliIVogbTD1eZNA7I9ohIfmqqLAwJnEi YtG7RsonbPssthA5KCGDjLPQUHNPPP4vqUXPWNF08QkKkdNY5HDNNQZH3u6oOQH8Nr+Wno2gsGMi ogrTwiymK+BFo8mVJQ0DJUdQyXAGITiqJBd9nUGs3O9Y2UMNxHwHraC+KYyakLlUPB5p5/gKEnCG 8cKdoNCzBcjpPougd+izW4BY8063aoZYVRMUIj1kNbqomMzBJ2gg2IUTJdBUY6VS5XCLF8RlBpCk tzbwO+MzglCIpi1w5vZBE7SlHC6CKx6PJsjzMWxHCmT3i6baEdAjMWFddkhDr9a17/esfkh3wB81 QaFInmphW4Kv+wq+Qn8DRn9T+ViqSzy7TLdRTCQ+xlrQa9u7/iVPNYUZ4qAtNk8Sw4ST7cP9b9Vp CXtAe7/cMygMpgvRC36LVd60IklJ2IMqgt2JiDsEekjgrBGCz8HbhxvJTGJ/FdT3RX/IUYkiaOfK QRyqFVRY+8JnBKGisxKyD3ZIn1tEJtHnssTj/6qacYshQk3XUGvHhenCk8dIUq14R6zsdL1YsxD5 nT/nT9z0sibi2M8EwSGaGW+BKd7c2RTg4FMHTlG6rQ32APEZwRnEvVV5emmwCTrHZdUELT4elDzS NwNosQRxDTh2KDqdCCwnEqZScaD5BO3qNLs6kjE1dwTzmMUOn+GDb7F6LrjBotI5TRMUGop6PwDk nVOZTVOXobR3jYTdOUGy9eVgW6yuysr7ibEGHo/y1W82NN1z8z2DcopCaZSzzvt3A1Pt5lnqOS3c Rmp1Noopy53CWLpLXpJqixw8VNwLqQFPoXVWaGPWfwKuMx3yYq/58jeoL8JPCcialD7BYc8BLWVl 9QAZk/B77pnJHxABO2/GwT59J1HrCuCnUCov0aiE9ms4lZBgkttyLjCg4zFlwFZPsxlPcUjNWDqV 6YPm6Xx/YwNTLuHg89tlOoye+GCd10SgRZ9eq+GPba8bEe54HOWZfVDwd74BMctQuePzEbf+W3CR Lm0obfgshXYuK1P3tTvgg6Eiyh9VCOopnzbrc+QUQqiZcbTCajUHW00Dm6FORkUTwTrOSmg2oTsL VBdKS1fEvNrb/gVoAH9YxFYsHqYeuCgZqZ7cb/EHBAjP7LghdvdxHj0N6ZyiSjVUlAagULrXhu++ DI0IT19Ld9OtnEIrxCq/LSIYOVz02YYJkNZSB1UdrLsOfbuz3DOL4vlJYLe9bkTnIGuT4sMaDAZ7 EnCJk561y+cjDvn3LAEZ9UXnyCkKsFMCsIGoQ6ylpQAbJ1Mv02Cei2q0djJF9WrBq5r6GRxulk8p c1nfnMSsJAlRo9zWIQOWwSu0UhHhb/yUyHWCokybhWsOho7ZRDOj6QFThRSiP/KvvlkR4eeau6S4 aI1C7E7GnWqbLaCCadokCaFctKiEpGmK1ajlECRP3/0IMy2Y+aiTWHDEFcSKkD87dxF7IPtLH45B AD4kSvax5WZ6Bs3SOTMoqHmWRtp8/n2W6q8dB95qq+W55WLRDzQ8Ouv5kGbi8Uz6lLm3JaLOi1Hw copi7dnVFSK2moPDzhtE5RfVq+ZJsOHmxrIjKQHnXJl0DpBO5Zj2tkGoxMO7oudlg2H19qoqqs2t l/xXbV+VWfQC5jNJIU9xEzY8swFlhI5ZgjN6+4PdYzu8w+I14R1Qt/PBQ4EFeZ0ufaazsBqZeudr QdCAP4spxKoRa978kD9I9wjjbPDyPu5/yi6A18fn/wXYk3gsTOv3Y3kXhtx0It1XdPk61VcJGUt0 Oblkbk6R+Ifg+dTZtcFi7llhn5fImEa2sjJpMhn+jqj5AMFxpfTkgJxipxdq/pMUokEr6aQERU4t o00+H2y3mr5WEnoMve/nTIrF9Aqgetkm6fGeOJmtu0quiZDQJimsYbPsSnk6G3y79dYK+9Ao0J5w kwj0vvuUI4m4eNTuLhTW54cE5aMiDhWRMxw0Sb31JH/jwZAytHtp3wU2sK++xNBy6J6IQAXmgFZh gyzCLWK7JuMspPVzkgL7RFDBKJorbUYOFzVzQovBih+1c6sIRXQ8oWUQOHk6k0qSp1Lgn+iKeiOJ 9pqc9krzHtaTTrLe+HwkOti/thuLnxRFs0mKwu5GbFpa5u5WpTrIKhs9HFUCQAfcJikChiQGAcOk vPt1EliFtCpozQLeiGRaShgIUfJgD3E86L1kbxuxFsllSAKIpHKRITBKYcw8R+XzQdT9aDDzLsS9 2vozS2HYjcZ1L0QRV9oFKrTEJ19BogpkgUwCQxvFxTLtWVDZh1tKSuCbAadS2kk+SqvmEAOZX8xt uc7j9Lvkh/ylrAfEnIaik/ucNdJZOCd3HXw+qvh7CieXF6pkf62lqKQNGwO4s1u1ZF9xWEgIph+3 K613lsKwmznurBK2qrcasGATAJ4QK5KQpWhsvtEesqCQ13a6NW12r3NgpugbDnADHwzIVxaFJ3BP Vj7/ryCASwmpznz8OEM7Rdz0eGHHGZXhhsCmFCuc0XV6C/wUrxBq0zXXbqQ067n2AUnfMnXtufHg SsR6oPNIGebrTDHsdYMmv2r+k1Zm9CTUWoIYKq+ns243n49atN+hUkWBeF453RxYKiqJP7PUfcNl txPAVm++4ciE0lKKoSIS1BVufzy4/QXCIQzI2T+CpI/M7GnkgoRxAfFoxxJV5HJoqpgJgeidBkC9 NxdwQv2hWvWh8Pl/KepSs6CTA3SNOQNXRdCpEU9KfxAlk1ScY7XypXrm7GKWObRVXKxsF/NM3E8H oODpkyOykw2EcaUw0KBtDNpmxGBfpl6x141iJdrAcE41WMmkFlLCYDCw+Xx0eHsbqYmJBi3W/Oy4 97AbvAhwsuSVgzyXB4MgI7cwsBqxr5qmSB+k0xKPomI2eJ6boCQGYSv0AVpJgFCBoolqd8GRv9Ij XFDtbf8i5g98lzboijsX9ehGAtHz/5JQYclks3PwnN1x2F3Z5zftAuwDA0WcrMio+Sein3eSYtwI +Q3Ih2ywDXCWVVrDlFEBvyhYdX0hwCceO7dHlXDWYa8bKcWbYuPwQSHlgF8jD5WT7fD5SOX7V9cf DLJHJCSHHosQVzshX3OVEJbkBWDDpas0t4uQhlpAbLLYdHgTZMPBl1JZC8yvzHr3Ro5IiYdFiB/U VEibmY90Yw5dFiX/2YsQbOVi+de5Qc0XcDY+HyQnxc9uulNDaBYGNTZJoc8ivCoh89aM7lAd2lcA oTZ8zdkwXqMMjRaXdW7V4U7pSU7A/UmkM58MDqLYZPYl1ukQLrCj7y6C2d42aptQsSgTz59E8CAt LaPgjQuuYCUFVotSFftaSSRIXuJeDq0W0fA4oU0VSQ+sIm8L9LRMemYlYDptkkJAdpfgzMw+XATJ yRgSrYTOSgKPj1syNR5KYPrlS0xb8p0OvRaFyM5oCNmgqtKcUBXnSiqTz/+LQ8z5QEaE5pZPUqgX cjK2sej2xEkqzOUowpCSSVsvwT01SWHYPVTtpkQjBw+7kURz8lF6O9k/kdd9AefdIQHLfojQfhRi 4YdEHNkmOR4NKf+w5kCYixSt8TXwB0Rx93eFEk1cfp8+SyGSZGwmJ1u1tzopTbzp44Wmv9XelhHU cmC5iKDLJB+mD77fxvkdUmHFBAikXgn5Yyh/frVNzeyb6CpYij0XpTzDoj8G23Bnm+3EPmU/lwWf j0R3v1l89Oh+4DY5dF0EgvFcoNXgJF0qNNS7TD3dRDcZYisHtouoBzN3K+xYcrBZagsLqdMu+Nx1 eS9q0VVWPXsz8wFrds9lbxsxHVnllqsXB0P4D/znh73KzOejlsAv0j4gN4+fV46NF1Hr7vPmubRu FaRkZU9OoIvvkxSrh0g2hI0TDhdTsjsUYhh2Zxj0MUBH6RKZwWSKYJ5w0nPMofWiVQNayz7ofjsp ySJ6FJcQn49W0neohISpjqcHF3ovot4LQfNh0LbRLrRtm5leXrB38UkKFa67YBPERHDwSQIensqW KAac7CDxkOfOPuGNFrvJGMm/M4fmi3IgWKhG2WDNpbQVBGB58fl/mepwu+GbAVbfJymqdcMuCdYB bnJaWPUmtESRuAwqn0n62zQGoCMfHDrRIB+PZAnopDQbSfsDvkHQcO5QHfRJgnyP3jaYpKr0lkFA n5fU1/K2cgeLb6EBY5nfZxJ7Qak9kxQF3VAnB+eoG7yEljgGL6kXXtIo1tf1g6IO3BD6b/vgFUqw 7uAcQwDOKn2ylon1hR+0UAu4EK697G2D+42qcw0qgTZoksjq5iQ1brcQYfLLTzjD9A3w+jtLUdAN 0D2Oouq1AC/e1lSWz5K1mbp+0F+0kar8rX7lbw3FyMm7FraLaW92czcou2cfQuUgq1h4llLt9rZB PMnrrQj7z0H7Df6FBIk06IHn0InxkZklkgt3KiGKmqTQihGyPjNlc4ejwKAdSnu7zuwac5sieI69 GElT71vOFvs6W0AGqGcwjUURBdBBvQM0dRAi/S9iO4dejCpQDomFcnAsVxZp9+zlyecjxeubvXGS IFrWni5laMe4cXK3tawjAEMlv97aRUxWsJFtkqKgewmutMXE3t9U9X4mOY8tCcOSt5VWcL0hvsPp 7bM0ur1tAAtgw5vKAzaoqrTIJ1OyoZf8l0afuPQV8du8dl85NmWEZkaXZjGAFLV540TBHRO4Xm9u Eroyai1VczXtNzfp62S2iCMLfWTOnlKf8qTW/Yfsb0lfG7xdLe/Ql1EqYg3FFhuMIoEWFsOAczzy +Qhc+u1RLT3pB2QSOjNSHoaOKiaHme2Gq8xtTVlE8qpcTGHYbcIQufrgUBzSbDrD7gkszqBWKPUN GyRIAM+yWAmdDb1txMqeBJek6oOKb0gSGV2eD9ZLRltuf2+5AoUF9Al8lsKwG1fcqtXE1uj74kQJ 6/Ge44IUeK2lv93OqxQv63i8vOEcM0yPDs4Xu5EUObEJGygsmTbDVlfKy173L1hXAXTIBouWzurl BkRxnc//iziK52jgs8qzlqK4G5kzmFrV6kp1DAcJ0oiS4Lc1lvH7c+jSOFgtqlMiz/OKPE/ClEqj 2PyCFGCms90EgBPuBT97X8k10JX0tn+xtuZgSMnBMCYQUzIln8zn/0u5mytrPXluaNQ4KIVC81uW KIvL0p1jaXi5e1TXy8qBUyMoclTCXlSL4OAlE7SYVldd6cz8JIf9hE04wDbOpvW0Kle3t40UaItA b9sHTVICHYx13M5TKUR0p1/RUle54k5SWOwuPLGNrzWaeDcUQuA9q+IbvmzbbzHGRORaAXHSA8Q5 v9hJXGR8ASPQCUYY9hsExSACmynaYPstLXvdYL8hBCi0mbBBxxIaZNJjOd8Hnw/22/xltcfWQrl1 3NCuEdvcukFoCfRevY6rg1S6WaN4tTv2a9TZLfuYlq+C38y8pHsxebrUFFJCBvoHmpDnHq/XbI9p Iz8lEjkk37/Ii6e4thh0j/JWgr8Wnw9iyvzNk8xgR9VHeT6Hno1oWaD+xisMCnXgQhL6tpgDKtOF NZMwuaFpo7pwZUh1ZVyaG1T7FlSqUH8D3k08JmhFIjPCVmaSbwETWUo5sG1MbBuDqs144LrZnBWL r5rn0gkl+HwQMF0BLZ5L/ZfSYQ6NGyFByQ6iZ3G+z6D4fa1mtjum5cC5Uelgg09H8sHb3hN9fF7u pTG+9HwP6xSscq8u6V/pbf+k3CbWTjgo9gZcTF24irUUWDd+ix0q5UM752nChd6NoBfYWcskTtrY 9G5sw5O4BN0iJXGheeNi9gZcmA8O69onTk2LzPZ+DqU27QRDPYCiUtg+SuJG9rcNsKZLhjPlDpbq 1iVqDqw/+Hxww110t83Hj3DnNklR4F0p/0z5ZMFM9vB6AJ2TjU3RvOsdGDj64X1+0vTBg6V55iWr 6w3KNhq8hMljO6u50y9rUuW30MBRAIosMfpMDS5eVWfz9kn8w7lQF39AhBD0OIAqT2LW9+sDmgMX RyQoMmq2BIV+N5bsThORwrnkWi05tnFU80SN7/40vuf5y4BiyrzofA1ZzC7Z/cCDbN/eiZxSc+Di KBVcaJBowzmDNYOis6SINYCgCG0cH6kNcxMD1uSe3YGPI5g50NpoJiB+tkHyrkBSwYngALRidMX9 w8iR9s82ODjgLFdQxrY1dBfc4VA1AZkBXY6N7WPCfm3b2/6FoxxFILji6UlBAYJ1mrNsK5+PWCff cbcgguU5lUIK5Qn1RmEdlHagRNoppBz7EfIdHncHZo6XnFOIWOLg/VxIyw0KNAHb3QDqJ2yZMeiJ t5HMXfTbsrcNCExNTle06eGgpvcES1AZfqp8Pirlfq8kEjryk5wEho7KKdA0UxVpkBtk/dxqNqEo 1bu2Vg4cHZ1HWWUJWh9L0IGoayUafRf4PdXKSkkHx300+oWh6GjMHOqz5cDTUek8oG7jDhfcPSTd M6uej2q532c3+cF9P8Cu0NURsCYX0kTbcprMTQaLytbSQs3apymCmVT2aeGT7oMdSu3k7Q1UL/ZO 8tleVCsrxFb3vJWefGuQ5cDXUb52wCpxw3GwMGDvKQeQiV5lYOwIMM6v3kkl/cJruZ/XUKkLdoFi mO7+c+0kc5KvdLFxYk4x8NtroNQdrzRUhePg5W7cRp3c6fM1QheNsVSlQyxAm+h7u3o/oCmf1zCp A0bDBhw7Uhrs1E6pE/cGO9bPa5DUQdPSGuos4lJcsPHmYM4YTU6HvOjupqM52/IiQO/bpW0yIzsu oGByJEsCVwMfbJdB2GZu8H2g5Xvyy70lo4m2Bnt6VN+Y+Qv4/jo7J4hky60i+bDB+iWQ/pHQCAq4 r7ODwMFnR9htqr4Xn57X4Og8Ba7O2UjD5bJ1ixHGtdoFA3RXFH8NjbrHj1P+RBwsyD73InQQRAvo Z5pwg1KIFBt38+9cqFvTm76vHR09u1Aze3uHGJTYNOXbfK61z2tUhLndPjui65xF28lUxke+RkRc OygbDVPWgCrAZU3kYRHRROlQk/MaD3V07eTJ0+7gwfXZtYz15B2P3Wp1baJnqXjskyNI6Ws41L0u MqaA3yDIE9iAIs6J4IlOXj1/XqOhnq9fqrFRwNyT5QO9xoPZwVuiXDQN5L7yxdwKiKvevyslvEZC XfgMbCnm/Bw82d/nv7vQvHWuiRbAIKMEtXkAkCDl5Bur0fM7OnbYPcqFwSIHZfpnP2V+XjuH4+c1 CuqP0aUmh3HYXsMm5zUG6lDnQNKxx3LCzbwyEvORkRjbVBJeI6AuI1vA7zRH9aEn7w6V9VVJ3DrT nWQrn0jxQk8iE4nOa6ukpFd9n51V6ReSlZ3ly+DeWwqE6ECWz2v402VW+TU7ndG7b6zX4AcneUZf rS1PNXZtdmNtWgPwVp9UWaGPQTQ7DAyHNGqGl0wJ1mbLYicdyotS5WfpbMr7nIRqUQpeWatcDKKN RZbNYtdMg1GRUhUKs53c9fMa9eDMmj45gpcg1Ezdz+TXmAdnMupoWNQG9SvlVhtpT6L4+YqNvUY8 XcQBLBoBIWp72te03ZM8woLOQuuGYRs/VB3I6XG8mpRBeg148A2IqW1SNtu1w08YjSIppueETJ/X cIe3RrHpEdMUGQ5AY5yd1yoj7rlGDwyH+rc2m2MgesreIQI4R0JjwaGcZFsg06ueH7Oi1nI6k0Jm zcZ+goIyzFHh31KgP5vyJY7y+/i8VhgtooLmfC4+WHuo5LzEPip4+n12ujTSfHYoNr197bwWF7sc siBaY+CH2ro3Y9kOsmbsrtbWfy0tMtwhhLbw3OnlOXdOqHPiWrCRIYsAN2u1X+Fbw2J+JibLUNk0 KnotLHZX7GV9xAZDG8Nim9lphcRYdO6M72AQCXQFLs+CwShUBhFrJrXLQKaZvp/OeT2cTDNzvRS/ aH5kT5QUK6cnVj4bC/CQLdYxPHYRGZ2L4KyXDij2Lo/rp5DG78FyIh0R+n0MBzlo9dRu0quN8Jko Wl6zfN/p0CYnBUwTFIXLgMgBbGmIh7IvYh2tXzt7+PsZkSaaoMCO8OShaSdSXs4CgkUtqgXgCUA/ EHlc3uT4V1l8T71sdDiLbEQDAw5WwQfvW2yGysejiDn7DEmdBc4A466h95AZIhP5tu+hsJ4vnK/4 /4mrPXudLIqZdWNDr9WHG/icGCVv0zSmJj0L/RNIYJDMgcqwfGspb4+C5i6/vSZV7Oaq2A1B4lAF CGWy96gZ+dp3XAgeQ6vzTlAUNiOXBlndAVhr3sCQWuWytCx+Br0T1vDyqkaLksXhXu+p9EFBQUSG QNGwQkTYFYrvPIP8/kp61yDnklna3HewjLSYm1wtC92Nf55CBCGxdjk8+nlnq/FDYa1LuxOeQm2b QCZY5gZyWIjmvdIaTRBrGltJ6X6SUljh1YFcHYXW84eRyIyAJRWKCVUC54MSWjx4P+9Uta7OBFSj 0/DBmDMojzGCgc7hO1ONWzR9n0IEFkO3yWYoCp8B4hQyQ/Yg0y0vai/VS4hEiyprf4+fUWXD5oJi lg+eeq3zw2mDhj3Wz4m3LqOd2xhYYi+z0oLnnafGIxOn0IakhA1CFIHELHRDavXzTlNDppDzrxkq 56JA5d5mKAyhAZQZeWpeoM3v/iClFNesOW+ercgaxdCy/JmDlM85rt7qmZq2ukvWoAQhKdoGNi0K ET90freqj/iOURBNp2tA0pIPOoXATWJZDN2TzztFrT8ybHYKkTuQ7iaLomjkwmNIiAXJu08VHL4d ETorpQK4yaIw2qCyWfXDfKlXOJAa9OOaafrsymQtg8GJjQxZ7EfyoNnLvs+QUB+NqqsatMsyU2Lu sj4/7/S0/uiw2U0GNEdjH05T9B5K203WeRHQ1VJAazbsR3qwH0Accg1FsfRg/kDUiw22hvY5H8/C oYgWVDlzZtSNxjq1NKzFOr+aGe+xtPcyGjENGjRD569acaxDqCYKptf2TKwpiPqhy4hNUBRNQ+b5 BImG1HMbJ0zQVq4BkmOnngMnKA6nubva2j7YQd0haLEUTsNYttUsCxVUDyFyiRPKkcRz6l2jCUI9 NE3WHTmoPpbQAzD7gvV5p6XxoN5fm4zW2QCpW/UwiqdrRzI2zIcZcD07haALYZHjrMRgYILeSWnd QcSjju2Dr6B1fpWyJf0w+4nt5LYHS7lK9v52MYi8ULr4vHPSukBz5KRnH4zYcM65LFOLkj/vlLRf 6ZhUs3hs+in0zkjDtBKMnozWAMS+WVbDr9ZLiDR0V331PZ7GSlQmJkHa8rhXL4QP4PqSRZQ7dRsh KQLwDAIStPse2l7Ry/5Vni9g7thg8fQcFIeF7cf8vPPRWPOq36cQpbm+pigqQU/C9HL3g7osTzmS XL+ZcsC+W1P0Hk+jTicHJwpmcfBu4URNlV4cZw3hdKV/wcKV34ECItnE24VUOXqno/VkfntFVlfl sbqqqEILWlXb552M1h8vMHs3QHFYi9YMhQH1pByNCa2C3rO9XNYebgxl7LnLooA6JbV2dvbhEhrA oKYjBxweTlwJb92ziBbNzZIcgB0LQzmRdyYa03pRY6jay8EO6sQJRziU+XhUil5fB/Ug+T97yvHO Q8PeRvbY83Ll8OTN+JqE8dBl70D9dxoaNznLQUzaNdy8foOVT8vGeRKTtbscHpA0El8LurFvM7KH 3mlomKFJECMKgTYYogp0QHlgpPl5Z6F1wWhsm/EkwmfKBZ1TFIXUMFuH+e20k2hdAjE0VnyKerdG 2DsJjUc109atTti+Scc8O66jXp9pYXBiSSoKn6Oa0tgbcpDN6/UTTgufdw4afkVGinTOsEEzBOMy uV2d2P/zTkHr0uB77jIQTovwwpyg94jaZWmLl+yhG+vdnuFZ2YQWpa2h94gaObc5xw4ffA2deyCt roZ8g5RrZm0IuFKQfiGTMp7i0NLLBqVF+Q/TBlODjmqoq0tX9lz7n3f+GX7XXL/XEDorkg/WFIUx dSNJz/AJUKJ3iND5xUxGbIHpY2voPabGEua6LSwScfB24YCEWiKRcaa86bUJKRrEhYBwsRrmIitL 7/rXDFV1N+oknZeLKJ2rn+FjP4vp884+Y4G4fwdEyOrnvjMUVqc3nSWHszzKBVFVV4TGTql+mb2H 1OftWZee6slzsBlaE24zjMmxy0qT+HNDoa7QFHze8hmNyT7v1DN8mwT2rsTsbIkmLMLQXrIgOJP8 eWee4fHpJzUFbBN5MMsnKAqpOUGTYG02xqr5fTNi9OIQohrbZe8hdbYK9RpaQuNZQmcbnyirFSML dcKPAOskkOK8XCdhWL0fxG2fd9bZXUKdEqIaDLB4QvElf+a8Pu+kM1xK97LvKgiczXHxCu+cM0RR EKBviPtVgSUQQxXYB80BaI81neOQWjPDpIzDXUFnJSKiY9cZN5CKtGCxQosGrmA3GiKc451yZv0t YqeTDyp9bEhsiQY78uedcdYf8WfbYkhtECLYBIWIjkGXEHNohI6On9OdBGUeQmx/cgW908288nFi b6B+NWiCNnhh1B6hr+XGlGkOUamhPWkxRhOoL0XvGk2QhJ9ZZeRg/TEoSJk6D18x2GLpOynDx1Ki 1SYoCqihlIJkMtkpfdXniECxCWpUbeMEhaAOhotLXlYcPCk7L33OaJoPA5kICzVfQfKVe1iLdLD9 vNPMWIKYrJrJJaS6SwjMnJbU5M45/3lnmXWZETzRIgpfJQ0Phd5JZjg7zi8GFzCTVDuxbfLmPCN6 IoKhrG8T9B5POwB/TSqGrnkVQ/e5rxIQFDyDAPLYPK3OlKGbDpWc2a6sKgUw3ilm3U0wps6gOSlp rbQVKFH8EfLIn3eKGQsf/SvjAI2jUlNSMxTG000taAeWX1YZHfI0QyiMGIf6nV/WBdk+l6G4QRx8 j51I9KQZbAOdG2xmmYMuiKpnKAzdsge5Np93blkX/xk6BeUOBrmT0SEbp/PzTi1jJLK+EzJELml5 4eydWcaLIZFZlnx60vAWUEm+gAZ0ZrSAovJ0Yr0DMZsPHkunOQGjySycQe6CDD1gFX8YUEOg1zww mOB83nllWOxS5kfcbIMm6GzMqnzq/Cafd1pZf5QKvOwhnoNPUBRLd/ZZqSsANYeUhmWsLTXvBp07 3g3l3kll3X0/p1RnOXgsPcDvWRKamzijmdRmaoWyENG/5J371sv+VVpclRgqDtYkOyuTLQ5U4j7v nLIuQaXvGRokWvsMRaE0bIUfb5Dzp3XTse2c13kyQoPAvDPKnnSsqt9aSUqYbJJBEwLtaGYbZ+sN IYQqfluiglnuUU7f6YD+Tii78LI+khT5oGTJkObcjqsy4zyJc/+8E8p4ZX8vIqL+GS9qiqJYGm2D 84tZDQjoHbdt3K70CCAUNP04RWF5mkqNEOvxwSIhMJnLsima5yobhMygZEQDULSgbgGfoeI7mezW hSh/gAEkyMkZ2vABZSnjhF2fdzIZttn+TlkHTaQujOqdSsZ5PX93TEcKoZLpi4iGrFxELTm49Z1J hrenDghmwIfbaT0rJW21yYjpSRKW2fJc+YGQmq8hAqneeWTsc+mE3ssHw28iBRBide3PO40Mr3gz VoZN9D9ufo+9s8i68TV2M0D0OYeq8zX2zq5SSNgkFdTfSWTcZUzmt7Rl9nWwhk0NEsotqSuos7K2 uCHs0xFjZyq8aQ2J1PJOIutXrJiEHQxVHUE40hLGcyLkOj/vHLJfbTI29jHPNK/XDIWAjyo4VacZ CHSY8SdSyMg0oc4VSoTnj5ihKJqeapNtARbZSbLaYoZfSDHri4YTmm0y5qqw1AK6wfuIOHs/7wSy GwtRSckGFT16X3Sg4Bn1eeePscn2HU3zbuDW0ASF0TT0d3syWjTDFhPhT92o5ZggNsk6f877KWQy MlPSqXNeRExNcHBqXEKw1115qDy9cawi+MS37yrF0142OKilAVIE+yhGCc2AYIi2jtPo884dM/TP N9T13Jj1FvDfiWOG5oQgoSmmlkcqLSfXmQeU1zLWd97Ys8n+T3l6nX/aeWDTtnGiBEiFxxPpA7BY ZcP6uO4NvWxw2fMqK3Sh02C9aGr887I/4fQ7b+xXeVq113PjkQ6iGYrCaYQILpGKjIzONkxZJ7n9 pNbV7caW76wxpqxKOLjJ1nw22UkPUPIgiQXUerKe0K7G5QjxoIYUSinrosn3O2msu74lYSw26Jw+ 1+US5etkgp93zhjL/+MroKbQX3qg5FFxGqfHuV9ytk401N/VaB2UOeMS2sjTtITCgFpls818lMPt RJcFAUKmrPjz1FFeTtjF9k++HSAsZ37EX/Ozs+wtc/ei0C6soJKHMD7vdDHrQn4lHOiBP0Whd7YY nur0tXQaVO+ze1GoXnfdlqZBgt/JYt0t07akwDlYPgbaGXYUG9EJjTchZ9DgLQTFzCsj26lF+E4V I6Cf7IVKLAMHTRBs6Km1e6aKjwfXWP1GDNEEfV3M2TtPDE8lNRHdW3dfgwsjZpIDhSBbO+xvtMey is9aT81jUCKV3Y0GwZVBSPkgigAmP/nR2ZWE7DtLjCkV3YcTax4crKwIaC2D4XOhfd5JYr8gVeR1 oHpZL6a8RcE0bNIHIx2hFquzoGuplyo+i4vttxA3XYj12GTuTlX5khwJkC01FqYhZU28KEr3uEAW rQFvyso9jc8I7nnqNNZpzMx2y4p7DdntQO2rRbH0w2ZhalLJIBn3DAqD6XNtUWrqR8aWdOcWKq8N 846Fb4ThOltUmbYZSjqD0nMG1XNvLYLaJI7exAgyzDuSqryuL6Gd0iHag2E0vIZ90BrqJzPWFO3E xyPQ2a+cFWHi6l557WE0TVsLcekobP0AO9t2Jv1Jzqzs0d+jaXn7sp56By9NQwCc2tVA5UHnqUpo nt7RnQKdHkx31s16FEwneX7WcgetIQAAyExAdwCPB8yN7ag8LaEOXQ2PhHpYmob5lymg0F3XocGl s74sPQb0JjRBEdpjcHfR4tMGn6Bz9nYIx/AaO/fbErCzdLpAtJ+NPaN8jKw4fEaQbTAfq1V1oep1 IRTOdQph6+Hxf7GiKpPbhcLZXUFhMI1Q8SQW1v3p7TYQ05p2IJ1AxsX1e1SaVuUVGakPhvUAhBzX mNMxZ2nV4TAdKAFpoBmdl7XpHgXTyulzZk6fqcO8mG9kNxWHngieDziHs37dZFTyhwiyz1HIOgR8 ejcLe85HNINztqywaKjJapiqHoXTUqbui9AqDrcPfZbGZOqDPtCYNB7oqzOcXsSkXCEGycX2KJwm 2PGcA6SucrDq4sp7SNOsTDweHNVpfU0RoyFUbW2GIubhwCqqw9CKJ3qjfh5QZ5M+40aQAh4Vd1n/ G+0BXIgPts1OEHu2IISYWb8/U6PC0EhEe+wfFIeuUsXWuwaXPePoQc8iDIV6eBkGE8Ri/KCOj8eD CRrfa4j1qF08Z+1xOA1G+EjuYUHeOsPpRHccTBCqr80mKKQfan9JLZ/DjYbaSuziovx6UtZu5Vcg QfHpwi3qHELjCJ8RXPZMWaGW4oMlZNifQuDjqIzi6fGrCw0SNGRefYLC+jRY4ZKYRNWjUG/+/2Pz G/2jLI3Y69LY43ia91MpxQenuUwqvgE1cC5EIDAxhx2I2JPUY9UTFG1YD1pZ9qg+rT1WKk2sOKjs AZbdsKR+4vEIc/YNMAe/8qy4e5W9B9TIOOjqQbRLxZ9YIco0z0abD6tpyQSDV1kUUG8lotJW4mAz 1OY5LoA84ymERjGrj1D4YoEEBVPfYxRbxWcEE2SOqLn4ILgQgKRFTLI88XhEYfUlZDRyEPfvBEXg 6U5yOE3g4GAJ8ohNEMJ3c7CsAHZrgiKkR5+iKAxhqKXLIn2qExkVHMqELa6aWeqHsCNdAn9g0+KH EEqH+IwIcabjWdqnezgNaME4Tl6obePxaAV9n9KZaNWvUygMqJtI8+7rAZKeVc5msgPpJJgoZnGG our00trZNBTk4BSFvOsiLgNL6KzLRS5VzpQ7K2xXXqxHL/ayQda6SCKDF68Nhg7uw6wURmp4PFpD 89cUge7Rt1dfRxRRQ1EAjkxmOdhkpCvc4nS6ZoFEDQPGEdWnQVw6obREz9cjeg6q3ZqTnCSI78Df g+EA+oCAV8lx0E10st41agKxgF9pMcBBiwhSdfLQWTnj8SCiHukr5aBIf+9Oox9RRN0IF6IyL24y ns5GBOrdFOJXvvjpEZaniTgbyuvHV15/VuIJFxNV4c+p3ZuMUYqy1bM358OFhm0XP+MvrjgNSG0w 5Os5hKY0chYfj1rRv8rTiDBofqQJCtHTsKvaywRgJ1NIm6BVrQYCnwkrvo6oPC0i9O5FA/t7g1iG WtpEkYBJNPh/RYktctOKOgGFKXXVn8cGPiOAdVKeYsp/AQwsbZZ21nchnup8px2PRw2O71gIzjt5 3WBxROF041U/arbS2eqP9em1rSYKV1ssCqdXU+01q/bKFGmw8AG3E/n5wlK6YBIxQRS6RozX0wXD oJeAj/iL8TuLtKmLa1OXTNsLnkGz4fF/STHwvKHc8r7F6RFF051Yj1HtDCI9T4g8KjDcNquhYUYU TU8iomdmcZqDl+9zWpmqVtAShn9XUzSN0zRNOs88AkJ0Px9RdTqTm0DdTwx4eHOOztdD59afc1zn zwj5iO2b0aoa0U1bRxhPS0l4muxbXyNdTJ4F2VhDyzrRI4qnlzocTWF1e9JW9A3BdZEx7D4TIsov 8gU2GFrrtz5NVv0IsdNbLWjl9cPzerhF9q0m2YkWR4SdftDlzFNElLprKMRO072DtyYbHCwRi6Gw rKCWz36vVp8eEXZaQA+oBvngwOACxBoaBMjIwCvjgQ6TKutRoZpqPbJe9a5Rj6yAIYVEyAZNUFtN pbO2+8DjQTC0vuvTtMsCKssmKIR7sHQ2qisIp2wrCPTZ7j0ydCg1QVE4PUl/gj2KBmLBeApV3GQ0 SSsnoJ27yseadgBAZM92paiRnGV8RhQK8RjqqqB113pDAV/HNDoWePy/iAlBpLy0izgbYTi96W82 XFmx9uoTVNbFw1QHe4w4nFZJKA8fPCODc0AfOoUWhL8JqSrsjNHzEnmNHdRbSyiMp7uUunheQ//2 YsvPQc0eBajWeD7I6qvfZFIpgCbu9MLQCOPpOhksLm+StWRljy1ozKB9Z/dYKCxQS/qkyoyitgfM gN2adZOdFQSBeTouwsilIeA7n1i8hr+lmxMWqKsYUhL5GM626wslGvI1Zyl4PJihm9Yzm0M7E6BZ m6IZVqhBt9tzupxZXw/eoyzHnRGMgLx+xlp4YiYIZF6G5/VgJ6DnQwdvyAwVeaCiwgjq14ax3YWd FXvXIGstEutihXpcOVzI7Uo58BxODY8HM9S++QmIauqDXJxRPI1Xg43gZI1jtEY518z+JVNZKZmj jILLfoYV6mT0n+SDl84a2VdMOM43DLg54R4TA90dynURROLNd41K+AwXxQKalwXU1hj0/cYEFTwe nUPflN9Bxad8tZeieDpN1Fy3i5hDEcA3WTdfQViUJ0MuzpCNSLTdlP/EfPwnFtYQwmomrWch7cUV BE8qMck2DiRjtFJ5YMYFahHJeFBzUN2jr9SLSSpVPP4vLIMzXE6e4Ff9jOJpoFPA4feUlarP8jFp czpNClILUqeKy9MSHlDUOPpFe6SSAAxm3WPUCd93WZuTx4UEvj6caPSq8BkR2KOSmMB5Kr6NM7Tw uriI59LE40Gvfv7ikaEDPrtf9TOKpyt1lOVgjj7iuqqBnXKwAnugVKEJiuJpqb4MuEja4GWPBiRE kzDemYw96WpecPlXVNjmfuD3uDvxGZF2hVLWlnzQBJ0TcrAN2EqveDxoI/5SZujIf2kZogkKo+kJ SY9Vjc46xZZaEvfwQzohVtIWi8AeJhn4f5QZ5nl2nRxD8m80sWIslLFw6JmGhpEHiywtziiarsw3 5mzZB00QpB9MumIMPP5fKmfopdSHIzUjtAdt3vocEsUDrKF7+X52A34gZe0q38+oOu2Fs7588C0G zzeU3riCxm5dguWA1bJ6Sbqv6ycXvWt0SEurUvyE7RwpII+1pyEkisejfOybVU9yDQ1ZNEEhD5HR NDF0zOnZIRUyeG/P6U+wZ43oGUrkcV3M3oYPPkEJFdZFEhmAVSfEM8cOSDChrtcfA4Wx9a4BXoip KqfRBissTv0C0C3j4xGe6pcKHMg1pPppgiK0B7AMpuNPlh3VDkRDTA/LDuhYnUFRND2Epxq05OLg WAZYtfQqH9y601r8KwDEoEqxJc9rxWlqVM0omG5ElVchGjhY1WMkEVzq+SQ8HuGFflVeEQKBim0T FNIQGxyUcu6WsEJlnRPUCvtVErsfvMVwBoXKHjx81i53cGx5gb4NzU4K7uO0JFaZ6PGkrumlIdLj fYZCeYPKHizia9AEQT+HCK5acsHjUaD4C9SJah2qoZqgFUXS6MZgi1kXmpqyBi1nu4rJRhmufbsi rMcQtFygXw5eeMXVUors3Rt66nQOapRiRvzNdOxbd3uFWA8als+Rlg/GAWJp+Icu2xWPR+o53y1E SmP1C8lbUSQNB7FH9HZ0ClizbAbfTC+bQXZcOpRhJN11i7HyMfJDcTk7tQGUWlm6Xyfvo/+E+oDI qEEk88o0Fjo+I6pMC+RRtg92SOe1u/j0u+LxCHF2sfcKmpBr7CvUGWpLo4nHUp2BPdzynm0IO4Ra 7SYQvEKwh7BmZMtjYOivUJr+pKrdnxc6q4ZW1Pr2UItGh8x6rAD24TOCSDHJhtNK+OWCFvG9ygVg DTz+X2DBdL7GxNoEhTzEiphAc0EZ3O1U301tNel6jGVVsxUCpxlDD53S4zmlz3zAzVMqZ/McbTnL LAAUgwJ0LIHiJpRHwNmKa9O85wcjag52CCG3kS5X3nj8vxxCVBp5ZIJXFErPTc2c7UAPGusgnQda 1QOhkoq16VdMQ5S7NE8YDl4Uam2fw1ls+jM5NdPJNQMi1tBvpQ+LN6Gn3jVQEmR7fohUP+QlKanF LVOGszwzHg/2WPeyImaWEFHY7NkERaE0+JWwftJcOOSDKnBzWwFtAkthExSKepjnZrqDh9JYJj2r JHQOoVXotIG+4k+nP0hOHgkVYtzwIQGdfsqgdCcfFEvntOU2UEcfeDxAeqzvix4EfNmCa4ZC5PRq LHh0u8dYU+Y9NqReYXVFK7yuMJYmR5MV11t4VTbWN/YAS/cnOj8HKkOCwrQEWeB8KkJQLednBBPU GSr2ZcNUSHN+prEhTsDW8Hhwj+3vDitcfCvqqTZBUSxNXZidzKDt/GlYleOEXdu22wnAihU8Voyc 7soyhg93jxX4dNFna+K8SDyECoQqiH4m4WkI2UQ6/YpiaQlUNRlJcdAeK+ChS5Ch8RXf99i6XFap w06pZPgMxTxELhzXxB1juTV5vcc0JCusNL3CYJo1s64Oopk5GZqqlrOzcGsV9Go3GuMoKVLRCtkL 8jP1WAtp6/iQ4BhaDC0n0w0OqglVZHwCC62KxyPF8m9CPSsK+ZpIrLAyvQARSsaCdqMESnipfqYZ ckHTFUbTXfKAbLVy8FjoRKInO2VVcYG6BybHueeBs+gEEQJ75cHQGHrZqP9D3aVBIwkOxiLbq4uL ujMfD676/atNj2Y45LQ1QzsKpwlo+qqa9XSrZqkmy1gJBOMM7ZCIuFVwXc0Hm6ETAE210ZGxAhlD zcFCwUR03Mv/OknvKJxuVHNPjTd+8i7lSeNWo1jBDwR68HjEcMnfxxByzHmlFvd7OA2cJH1aspnY nDPQFbzItBD6Pm1v0+9Q1UMKXrNsH6zFukATXIkUKRjZwWuLTM3z5fWMFs5+zJEper9D6DSLQuAy +qAVlHIWfpGauPs9nE4UpX3yDWg+EeFjExTzEOWUoJrHuYG8bViHWwVA1cP1THccTRsEpvjghWkY kNaRVVZsUG+n1R+A4kjGf5iom4sdvhJ8RjRBbLFSvU2DgsUFqQ1dTicW2iENMftF1qTWCUL7s4RC HuIEsSU5kGEOb4oVaSNLmHs6y26HSI8kNjTvcQ4+Q+uk76l2XfUDFe9HmBvFeAYlTkMsetdI94Th NDelBmVkAJ1KbXPuiccj/sa37jRS1jJul36HuOmKlJW8ANITmhXQ8MXkZhOUUBjVBMU0RDlFyVli Pc4SwJKfNEDI8p4KzTXgFEXqBnhLX4cQS/c71vWgAeJmQpb3TcjOJZokinySejz+L10PrhYeZc8e i8LpShpimkpOz4xSQ4e6HsP1zSZ9yzRBIc6jq644hw8+QRtt58IJQqljZpm5I9SHV89ZRk9vA+cK PiMytSEcj04mGjRBJ4tUo+Oc3QuPRwpw3xc9pfpQ/7QJinAeSLBPTpCW9Taae/7AeN6VyykyqAmK cB5GnptqH87bPpzA/57Ei6X7k5HuIoKLSLZYaahDWQd6sQO9o8p0oczikgQ+B9tiCDpFe2oFj0eV 6W+cB1S6vyKhHUbT56BBV9WAMI38UG0xvq65k7g41Y5xHlOd5+2D1xVTH/Dfm+qOdarxEXuOLXGW EaouWkGFAAF8RpSx6vCh2CIHW0Ew19M9Xwcej9S78tcZVMjwu5ZaO65MI5GX4Rg5ZMsta82FjMh7 WITLoCTEefD+mpMOHBxsgiDTuSXuDNhBR0MaEwSo/vl3BeDcC6XaU+8auduwLdbZPpTpt64xiA9K ynTx8eCe39/NH/XxrgPHjmJpvK780XQGtWpSJ4ilnUN2Mp5uExShPCY/D9L/Png+dq5DsO5YVxyI y5NZtucfokfIu3QVyqZ3DSJF1jx6oQEHB9tighyTrzfweJSwXqQQcUyL9/xj4hIF08B+DQr6Mqff O1+UBynNyDwWKTeS5U5hNM290yYbZBw8WDypYmZxFyZACNwXza2A1O7oOyJuv2AhCjDhU95niWak tRMnewYkocrrcZsxJ+vnyOMPiICd39onoAi0/dhwpJCNWBAPCTxOcW5e7KC6A0BHvQ98wZCDpg9H CmNqY/JSQIeDwxbbSewHbWXgRZaoGNbQ2YJrPfYwDaKsiD+2vW5QghVFqsjDrvTpmWs6XwdNfs7v wueDvKP9Sl2plJaeWYrtXDbPaWu2otxo9Y+6XGf57Bm8Nq0mUoiglu5rku1WmtdJAZonUOHjLKHo 3mgmmeDS1ID+gM7X1TCv9rZ/yVecK5isMsiBEWdLnaE+RMUciz8gCIzyLzc7kJGfjjTz0+AxAoqT NxSHgxqKjLDVcUXZ0oyTYjNECpkD/2/DXUtoeevmR3V3IyOEsxRZiXhrbjfPYLe9bgRhZAmNvRwN KhQhQRay5dwffD6ikH+72qFG9MXmUE/pPTxCHSTbGQTVzWYH00jmsgDox/ULSFHBekhdeapgPZ+C NWxc06TpaoH+X1MQnsDd6JB6y5BltFIR3Fb0upHrBNUpq7Sr6vCC7E4EJaHpAU+FFMI/8nfXA0al QNo/0xRXrQsAkkSUA1idqCNYME2Z3SC6u0C3uWqawrK1emdjbh+8uYjm6ERJEospwYVLct0oWwPx uL/U4RgE4EMidhkuuUVtZg2apZaNGVR72nz+fZZqukA9NmtRQKcmgc1SWLnGYqoqpW0KD2WjT1Va +FCZqdEEW1suCranxCdNEmbsx6ysQTgns8M4N5WRVXdc5AH0H3pKmEzlmPa2QazUFQNIm+kKUp+d K59HJLR6yai6377WUmPv90oP5RTrfrBNnQ3w2WQwRbheG8M7RNXpLzmF5etFUGxhK42DTxI4agNW Ezi9M0AycLzbgAg2cM9685y2lNrsbSMsCGYn0xsYw7ACf+9VXUagTvh81GbcX1mtHKpTvpMUxtyI l0b1wsi5nNKF7DFTqdT+yPfwjsQ/BM8fU3ak88rHILsqovqh+jh7lURRhYFAAdKrrscKRybIKcKD LFlyDSk0jUehKbcila7zhfP5YL/daAnPodzTiUa0SYri7kVPUoo8M7VNrsJ40sSrbT6ny3/kFBax NxOyLEMpGT0KXs3azpSXSUdQwHI3NSshqyguHqW7C0gKets/zUzwOjYYeHhQ8Ry7hyFlaPfyK7st WMKPFloO/RPRBR6NDBRyhUqbdyV5njLhKef2gCEshOBzEIh98PStpoRmilVIzgEnGYNiXf4fMul5 JpXU/G0j4jQBxPIum4932U7Q0v6hj1Xj85E6wTeCmOXP1p9JCjmLlUYC02RS6nQpxgJ6oZfZ1jWZ DEwUgc7smp3qw0Whr4JmvqZkQM+BGxPhXBbLbjz4vWRvG7VDZH3HuSqPJzusLcQZm6Py+SDsLt+h Ek112y1F5thFMYPCQEaS0lyDYoEuWV1LprHOyeQktFFkfDhWkQhzuSLMZxGdLzpDaRm8D6iHJvUE UFJLWHjlWo8DLKIPiWIAAmgKfRRr2XZwEyrCM6mjVJIDI0WcCd+Ej0KJ7KtemQMnxfO7nb8o6p2M AvvufiYlhpZUfbkyzDm0Uhy83XAX+2DbDeXIMSsba/0cSpCzxErqVEJGgrLTLWmze50DL0UFOidC GtLRHa6jW6CjoEDpZD98/r80+IlJfhpHOXRTRF3txJDL9OB797b+OUnGcJgRkbjabiGBkTkJSY82 OIwGZRF4XIhEXffo6mCjrI3GIzWYry3FsNcNmvxLfI9VHSeipUS94cGlBEvO0FGxXP4ZS3RYzDM9 h9J7zK3WKsBYvt/KaLesxI5/0cmdfSnF/i8svBEho8Fm6Rx0iGwmDyXYRzUSG+jmAdHgnwXEox1K lJDLoaeiAGujkz00urOHYLLTJSJ4lhSfj4Qsf5nfdtaGbi0gMFVUdo0CgOumCI6OaBKdHwc9AoGp aDJ0VZR9R1N1sj3VyQFbNGSkiCaR7S8UlhtsWwq67fhpCKSdp1fsdaOKCd2Rdi4+qGICtxtBi3vZ fD46ur/rSjiBK5oOPkuhNMhCJ7K7QNHO1cUaV7ucfKiW+yxFNjDWiqy7+eDt/rOdZwZ5D61IQIx4 KmUkCY0eaCs9qgXV3vYvSVRaStug+61BPZyCH9NeMoLwf4eTOA6lemKTFMXcEkWVsyvgI9JCJy+f HytvRd44mqQYNaKrnaXKISFg1Sgh5V2mvHIG3HLofJag1kjsBOw1b5Jbh71upBIvD+U6fVA8CeCR eAonMubzAbTmWrnLlgLYwn11P3PosFippE+uCdBHg0mMAGy1mVwIGmjFKiaxxWKTdnXNPvhagoNg Rw32fAUL8iHU7CE7oCEMhFDI9aDM9raR9ifP7qFK5ZjLA8rzPZKTiICVzwepya9YicrQOE5tkkKX RbrhNk0SAJHN2dXAUDo3lravWkuhzeKSSPOUwu58DOAyTcXlImgtXGy4QqgW4Q79cTdTGBD6LKpr cpK1qsEuOBr78Xo6f8h8PpLe+yXzwOrLBWnl0GkRNYAx5xQAEiby3WpvfXfHsWW409kkxeJ7Akjk 7oNvuDM/yN/YWQIEtsuLktDtAtBAvrJpS7bTodVirgq32x2MV7SWStVwKeTz/4VBjP4giR0+SaFa yBDEzyepulTz+TjanaAL06BzYpMURt2MgEbiFc/hNnBPatMAKkS5pK/Gg6tD9eF88ecVKQkstF+u yd42YsgmkYqYv517Rrg9vqG8BE9ovPkD/hV2ew+3p6/9FgJJAInsNOj5/2jfyVLAZrxPeXRW3ja9 GXAoBYaLCLo4S60VHy6sdpw4ULkJjGM6sYEwgcOFCqWcybWkNFfBUuy4yGniRHMwWZVez7+gOFM/ +47P/ycGDXb5YxGTY8/FRr345h4xxEczzT1BocNtMpcQ4+7AdBHvzwxOOSwHL3aftLDLtPysnE2o LWsqqAXQ4x3r33rdc9nbRnAJ7TQZ5l3t+IIWepdxZ8p8PhLi87B7WxhQ1qVA5NB1EYY0uNWSTdLq F1HSTUcVe8YxSTm0XVyC17ZSfPBSN6owcKNmcnLODwS6EH7AAc2sHg0BGcJJzDGHvotcIyd3y9MH 46pRYhy/d6+Nz0dVpW/zTsr4jqcFFzovwvLsxC9LU3MC7HHl0UVAArRtSj6MkxTK8clE6P/6Cqay 4V0hutqCNhIPrg7mBDy+hBBi18TcO3NovUhSSd0Tf1uDBQHo81G97eRCfP5fjjoirCEIqM+hFPuZ g8ReWncE6fTtdm51p/TlPpNPUuwYo+3G3ISDl96ggl8au7mdIvsqYdKOEfdgRsFZk7TysLcNJon7 jEm0DUYXOWG38GksvYXui+U6xmA+Ns6kdFVWcmi/CG0qyF06umSa0izQJfOiS0CkUpYb+C9ezxgJ O85H2PHEuQVYEp5J49xDW3afndAXQHkzQPyG4NrL3ja43+j00WWf1699HsJ5GcGWxu0WyoiMbyjy CZ3LzzkVn/0WBd2JtbdZ/eRuzniEFYVDTOquy2cpZj+yoibvLg5+v82G9vSQLUqCyhpL3eAfnci+ /gAkzFrhhjKUvW0QTxYzz1s+aL8BD8M2TYMaeA5tGMdFmfAAQnG6PlC3HBoxwiFgJgJ95GG17FRq aZrRMBoC2++32IlR/DUZU8/HmHqeowaw2+3KYauqK45wrmAN/S9kO4dOjJkmnnM9g2G5SqHSOz2p +Py/gm4Gn4j42xUJzaEXI8w3QCO+FL9uBcqapfvI2lued46ioHsVm5zsg9Nrakt9SXAFjgVnAxIJ Atpk6fQ6Xw8T2982QAUwb1vqnXBQVemswCZUQMt6yQA7sb+rShXf2xzPQor9GCfDSXNpgliMN03K dOxEHzd9Cw0ZuzSvRJTt9aICICV2brdNig185TeruFBSHz9MnqV6beB29btDS8bJ4wgcOB+MH7HS YqUaPhF8/l86hipBMSe691tsyoj8rfIQlK7IvJ56tV/kJAqHtpTCoFvI9jSmDw/wDVB5MrUWURVo d5+TfhKHA7DU9kiJRAR+SBBOLrVwSWnjoNLbifCoin+y5qyXjEAB30upkBS+n0kKASYb5mDTvBvO n8a4kVIxi0ZoizRfSiGEW5F0q3e45ZKTf9YpSNc5tQu2NBi1YGsBppxpMGxVpbzsdSOBGvK1EotL HCxUsno9kLyTz/8X1ijohhVMQZ+lKOhGXfj8UlNALpxK2/K3pfgbwLdFpXPmb6E/Y9+yDpYkcZuP gMZZSWjxIY5hgoZ+KrxiAciCkNLeV21tJX/bvxhbawtfsi++pJc2ill5ZT7/X2rd4GfCBPlOUhR0 D0pEuAC/89tUeXO1iDkEL+EkRfCSRmGDrly392sedzY9YOHD+t3rXJrEDaQEsDno0bSmtIbA8reN xGdNGnP54JSbKfV/6B/x+SAzuZQSTinYtiVfO+8c2DRCBhvHAkEI7AisYvqz5WSjXnqraG/Zhovx JVLj175bT607pQQnS5VxeznxBOq3+BuAemLWKdlgGy4te91gwxFjmqTGkq4aC4xDjRRwIlg+H8mu /XLZI5e53ipu6NSogsmeJpoFwqqvpWHEUgCI1vZZisJuSRz0RbUVDpdZckLtNNjxXnA8pAHNiazO d9jBcSPB1CuUvdnrBnGApEY6LzoOWkwnVqqmALkWnw8iyvyruwRuVF1fiymEdtPZAXCPLHU6EiKB e9tAYBRTycTmZdwd+jV22i+2Nu9gWw51fljLELfc4IKEUu/Zk7WysXX+Tn6Ea0hRyoFjIwJnRgCj 3UGLCexLHitQPuTz/+LgMDLqVDm8ncrYs3HhzK7XRGVl9+GBbblnJyDhKhAITBslBnii/cLsZJeb neyUzxG3ppreIL01s5mF3B3Q78VrSwDFDXvb6PTmidSpoCV8BwNvyE5NE8BcfD7acd/t3EJg5yUJ 5NC2EQfBaDj6ra5ULSM5iUTzdu7JU4alcKFvowq555npw010gaFPdExjSmLR50LgTf1RJGRK4Yai pcC4UfADMCVZy+dgiS7g5DxUTrbB54Mr7kK7vRr5rSmaQ+/GiibcQNL5f7gUZV8uBRQKbZb+IUHS 9/TBsd1nisDBYXqCqS9s1zW25by346RJVd9C80bhJ6oUtSrcR5hSEPm2We4eqSz+gAAe2L6990ir J47DZilUyIZ32pYZBjmmq3mi21xNa8Gy0jdcGHmTrTSn5LTmldOai77PgJXSfS939VgajWWhV7Jv 40QeqTnwb4TSPStKI93B2rmINKTpDPhEaOD4iIjLL6T+kMDrcxSKZKMwD08qRwYMbwnkPIojAxhW 8Ib7h4Ujiz02ODIA8eVMNJibmKRRKVrH8xXRH+1YTdWvbXvbKO7maaT6EgfttwH/K97sJ9/l89FC +rYMETrwio7l0MURRybUSEzkuIi4zTBgO9V0siutkDKwcfS+SVdHoH91BM4x0TNL/4DAgVvM+213 4gPOAUWujQPflr3tXzT3Bh1KG9TyPof4llD2TpXPv5/ca/ev3TZQKWQ91CYpjLvTYAvXdltdy4W1 liRbqARQbgoXeDlmCwLOtryDl5VOeAQADlO4815UCgQrh2o6lbL587JyKM6WAzdHWZqdEHBRH4mD 47pHFclvVj0fCUr84gigetN3fzZcLPHHWClZ0WQTR0v8xLyVAeg1eOAdODqeRTlFoezDB8/hkgQB 2DgZEL8kQL5ltbuT0pNvAbIcWDqqhYUYaSUfjCnoUklnGjafD3oCefxKdEm98ELu5yVSGv8vWcv7 xHlWfavpqq4D+OOknF4M+fYSJ50f42ClJSXW9aXEer7wCq0Ekk3gqFWpEdvpLU0OSnp0+3GufF6i JL6ppHyLKm/lVt4QfFfpjLaFp//P7PAFtxQuzxHMMxvwikZEN0OzYHLQvTIJeQTbkwxpcXGqU7wW CaFaQMHkqMe/yrqDV5NwNIOjTHuecz8nSbJRAIpNWHAp68xfmPfX2TkxZLMG7vRBq6eD8kSUX0Hx 9nV2ZBuu2RGuDWxVhm2cnpfQiE9BSGTsxvYacpHpeRpofN2RAAxsMD0vgZHWDk/qndrwwWPsefZR gYcmOgAz4bz+oVQ05n1zfV2cG3HcL2ER3xRBP0/66oMBlLP1ik+Q0z4vMZHm1k5pIXbB/ykQg9Lk vERE2lhNEiR2+HR6Dkm5jnoxqtjmYQi3l3iIP0bah6uzXsvBoZIJKJpUJCQBjbc8WdQ2nyagSnxy hCd9CYf4EVnsdvL9gHTakugDHn9IzQZix5+XaEi/aK9fs0PWHpnTnJ2XWEgPAURSgMkxl0tT8oW7 nEu1wIjG1H5eIiHtLB63q/Y7eK6/TnwDeQeCI06yJvRfBZuuotSAe9I3VtObBscOkRFFOv0clOjX 3oXJbOdw/LxEQfb0/JocEkotYD0f+RIDaTcCsj1YbBHXJvvklLJd9HCA8CAL0GByoNlHOHv3wdv9 aMGXZIUQCJcKiwwNkoaWRCYKnddWSSw9vgRAOiFJHqldZgbdnaxbqqNIp6fV8nkJf7QK1KagTwa5 0dzJNjsvwY9O8gz9iD2G9dSaM2ybWYLhVl/Q/pCFQTQ7TdkqBbU42MaCtcXJKs0p/mSoZepQLsRA lB/KZVjSKgODaGPhloHZavXBJ6dnFu/ayuPzEvXYmeWnDo9vnO+JKANMzkvIoyN5Ue2wOcwPVhYW Gbp9EcLnZujjl4DHjmTGwlnkkZx86ayGRtdcWjprZDN7Kkin2wZJPj1eV4SkfV7iHX0BxPhNutJo 0Jm8shn/wHLy8xLt2Bvm7zMZ0QQKCpqdlxqjNhZLWNMFosCbcvzDupLGGWU4aYwFZ3IqEtD6X5m6 s1LgBEar8bNRoQw2WWGkchdA9yXlyxnlc5+X+qJ+PxYW0W3wwZpDEAUV76jg6ffZ6Xv+z40FL2eb nZfSopZrasTRmr13lfZRZ5u8Xq1VmAlKNSI6k4XqT8L4p0dS/WzUVtkMhwDbyWESc331zlDLz8Rj GSSbDkUvZUV9A2Q+YG/6YFDjjXyeFTPIi4U3Vvs+lFE4WE8sGEXK6Bbj19H0nG/auvpnP8sXBESa RRt3kfui+VnSoJUK5HoS0wk29q5NbbPWIWzy/zP2XdmR7Eiy/7kWfkCLt/+FPZiZOyLZE866fc4M OD0VzCASwoUJZO+gZ7K9tstj9ymU8XusLIsfXOnsnXHQ6qmwXGN3ntCZKFheN1jmlQ5dcqLMNUFR tAzJLRD7LOKpEgynw9UaLpMJyLyTaKIJYuV+dQrxcvDtBbWVzhYA4v+NBiwWEGJxmDb/ZDYt1H2F PwlfNjibCVNbFMzQYPX7npsQIbny8Shgbl+HM5oHTegQQZqiq2uuH8NwYoeN4tKPtfTmvhd9Xepj FDFvpVlp3cHDngafQ9Y2C9yZXQYRmw46Kj8A/Vm2tZS1RyFzb2I8iiTaPd0661C0jR9QND9vbDXL 1vz2YgcfqQ/JT5qgKGhGp390GTDTJ/6qi+E4tQkqqNSo2BpFzX1o7Shh718n9DlJF5EwiAs7hHVZ a4X5EbKBHx5Bfn0lvWuQcbGq0Qpbr02uVspHx5KX5fmEzxtTzSJgj304m42ly+k32BtTzXJJFFqL l6PPJvDYcLUlhChk0ZLjHN8jZ+RuZ2pmBinRBpuhM+XYubQtOHH5iaRIh2DqUSgaQDYf1bPoL/p5 o6l9nUKdobYGq7P2nljyosbhG0tNW7Tm7xnKRBX3Gz2/0dQ0s4vYffNqghxId9qMuvj0Bkkuif3G UtOBn7CItux39pf9DgQ/O4rx2mVzCgkh4RFMJYDEXmal/c4bSc3OzEYHOcQvGvSndmgGUGr15EOf N44aH28mAzmkC5GBGO3YbDZFYQyNE/OEEmpEj9Hd/Rw5n2s+DzrBscoaBdHCge467mBTdEK/c68C 7UAlFpRO2LumQ0MhFDPdsk+3d32folavXPi3aniT4yHjxLw/bwQ1y26/09NK5kC6J3UUR0NzCHwo 0+vtLU2Po5Mr80+oG9s2iwJpmb7PTclVDh4MnYVzrnryQde54lddEqlDlkGgONVATO5AJPX3SDr/ P3GJ2LuwQfsMxReZvp4D6fPGTrN3vItIygY4PCmvrjl6j6ZxC0ESIs/m6A+K0GoRZUd/zGuA/sZO 0+szzNmFen7bZT+wz/YEX1nuBXC7X9JFmiRiAa3OHuv8ame8h9NuczXYENNgBSAYLShGhkxNFE+v 7QFRURwFi5t7VkcBNcogkFs1X93Up0+QyY2B5CjvAU5QGFHzzwbrzAcrkMnyay4aNq6+CCNokiqv SENQAnAg8Zx612CCKLdaiCPWoPohhNWH+YCtzxsrzc7q9LXL6JuNQNDqh1FI3QiyStm5sqSfyqSo GBAEIGKfoDdOmoXUBOvL/Z2DF8nOzkU3KUsV+1w9PKkaEsFK7v52JYhM2PLnjZKm1S7EJ048G4zX sIr4XZA//Lwx0ixa+A6pm6xMboE1CqkLpWlYSRFrz42Hz442sjqsqoql8298NAvmJKyekg92DJ2f N3qols+nWrfsz0F/QExyzqP9sPaKXjZKylgimzyGOFilDA9yCZ1T7/NGR7O77Dsroy7X1wxFNWgK 1EEy/8dlw6cnHcWFRWdHZKcZeg+pkdMzXe11+ODtQoqKQY+jYNKhIL6YdJzX7ZTLH0/IOKhw9EZG s4CIRfqs7NVlXTKEpJeMrs7PnzcqmsXU32uooPRLIWHNUBhTL9Tml8nQgj1evV6WXFh9nf+y2iaL YmpTEpEq9npUsc/Nvuc5tikGuc+8mDg/MWcGYsCSMCwMpUTeeGj6EGrOb2HQONg5PRob5wjS+fi/ MnvsSKGOil9kbyw0ne4QWxE0kwj0et32cjauDExmkpWF3khoVnUh8nyxED+d+iQAek5EYzAaKiZa exboRp4JmMR6uLHkDr2R0GwR4ByCTYcPhqgCj1EOGGl+3jhoumzX902GtSyor2YorEijmTFm9nNo 7wthHLdL2PgHcpdFJWmpPq+x73Driuc220X1euTjIg/LVRBBI2TarF6P+J6fEURDWfJhnKdebu0D UFix9E4a/nnjn1lEXr43GQ0jy91k7wE1jiFWW5chzysVNR2f77Wzgo6wltB7QI2DWm6xpfrgVel0 Fs0q5AydYyiNVM2PMP+A80mzs6c6tPSyQW2RBelKGWQNOqgXlDaUl6X9eSOfWcv4ux0GIDnOMp+h MKKuLJpN7SewV70fhlqgJa5QkLUl9B5RK9htqL5lHywYgiwjaDUMhjaot1IaQ/cO1e8fILGvwMrS uwYTRFHDLs9PCft3rqEEdCf55yeu+7wxz2wN+SZLP3JFhRS7z1BYnYYbGNHS4njUO0PnsvCO4WRP izP0Hk+jdIw1tOVIuB9Hwo3tBGKHPEJap2on3Z4AisvMpLx8Npq9axAucoa2fHX39dUt1HLlJjmT /HljndnjPkGmWHcmaGafoCierkzKdrPyPRBUFg2d88iW0Mn7XUD0jXKmTTapDog73QabIMjNQLqS iT0MOjeTskkcDGaJfuZmuIeg7fPGOLM9xu5Gkm5Yct0wKLZQxvOnnZjk80Y4s0rhLeBbQQAdb+/+ vPHNFENRoWdVM1GhI7hFQ93FsU627NHQG93MAuqKqanUJ+TgMzTPoVzoDw7zz5OkEkHEzLYkoKX3 A54inOONbqbYdqlnKGpeNxXXcw+crZIVDI38eWOb3Zj/a48hsQEE1yYoCqjBJsESsnNaJ6wcMFjM 4ikkiW8soTeumaVkrJst4DU02ASdxQOtUC6hfg6MRaFeyGTSHR6HkxGaQH0petcItEDKyyZXmINi odl6zybNw1eMjun1ddPjY1ketwmK4mlEQY6ZAnqKBuSsL6K14vXFPK33/MYzs0OISf3ed/DK0IAE RqWfHKp0VC1EFRugskFVs8d5uBS9a5CSmUUIqAgabAWd/zA/O6uerxid0vNrBVH6BMZVNkFROE16 8LjIhT6bQ8sLlXyIBx6E3HGC3sNprKCGdQFXERv0FmcTVYrPmxlY7hJdneB7sDqHyXdFVapfvDHM rMWK02dT57LuxPVkSWul9Dlu5PJ5Y5hZ2WN93fS0fqRSomYoCqeRKUNdIHmXoxgAGMJnjphOCJZZ xH/jl+n7aZghCHb5YDOUgXVB6QNL6Jz9E39LgwsiOByAB3jRg7JknzdumeVj5mlZfTDg/RC2G53T +Xmjllks7dPDjiywGSU/wKD3YFoWbUhUfX6y56kVolu+gpaLzb0xy246iBAI+A4NXvXYAACRdIMU +lxwSRrQCEQgmAUxVzPAYOb8eSOWGZ6Atfs67mAo4BOByrTzpEufN16ZlsL/VD2oeXTPoCiYBvBw puk2RWkkR3jYLUaEB4JpZqxvrDK7IjoLZgQzcPA2GfxVc5Pf3lhnu5GXAEPin9aIYPrSdu5bLxtV FiXlsIoP1idbrcr1c02+Y7DFRvk1Q+OHMoM2Q1EwzVCxyeABiUfew8vT3c+jc7QWI0u9Mcqea2ws IMAw8POmGokVfefEMkNaJx0r0ilC3gRV6cI8VDl9p//5G6FMtUEJOKShUAjADUbTmHBVic6a7J83 Qtn/BIv0wCBpK92bPoqm6bgHMwcLhYjLl59c7kZ0QfvMpyisTqv9U/LwwW76swSTa8qutsY5a/Av OTsNAAxgjL2Az2DxjUz2XReqZCay3EdMc24b8ohYUSf35vPBTZbK101GMujIntS/Ucls5Q70OFLx 0lnLN6nfXjprgDhphqLy9GTp7FwvzQfH/kLOv+xE/OYogJ1RzbFnWYT+7HQ5Up1IqjcemVWK2WxN afvg9fuUlJSfv/rzRiPTK+b2FQuhvkN4rWEUw2j6TOSJgHaz+j1d2qh0lYQhGpJzblJPfyORWV2I Sb1CIg6O+AC2KidVPVKjxSWS+nFWTYcNSaa+m9aQWC1vJDIdCZlEDTMF2+x6sVUBQjxT1rO9P28c Mm3SXyhOlsVL8nzjjUJmV/SiQkqjEwiuesjI8qqHTlulytXJDs8fwRmKwukptR3tIA63LpTODiub /Xp4oU86OFegVJHLQmP+9hGxUz5vBDLbZNQ0XjLXddM6YGMhYPtD/ZTxeeOP2WX/nW/wbpDaNSco DqdRUKQsH2nkQKpLgD/Nbj6756CmzUzn73k/hST/NWU2w8EZCOf22kJNnp2W0EyhgiPEb87lXaHn fXHAqKfzQyIgsCg/nCgOusoWKjQmxLA+b9Sx/6mcsbQIOghSBpuh93garehCLktSD6gsJvVkRVXf ZLjKuk6hN+LYVyuaUDsbHNAAJ5AJjwtWzoppX5Ch3tCcywxs3XLPXja47ClJVCVvwcF60Qtao7zs Tzz9Rhyz8vR3l4yQj9z8sn/jjVmIgJSMgstMycYVTF+9uYhML8NwVW+0sa+cNVExVcPtkm1U0nhO owwODAtTMrR6IB2EEonlrEx1P2+ssXGB9idQpP4+Byt7pBOyMJopNX/eSGNW/v/uAVFDLD1Y8hDw MTer09ZG7KL4LtpajmRLCDo5toTCgJodDjarbLAJgugkBAqJiemwRZUjFvA6bP/k2wFiY/fzRhjT /AwCPTKLphysblYnvY1ARBifN76YNkvzlFWq6tkV5Dg/Idqjk4rQnYrAuoOqQqNcYB4FMjk/f6I9 YKzWfLBQ6JwP50qsTTk9GazSCkfUjICgzKsiS6jJ540rZnclcXmyleGgCQJPeSrLKnz8n5ihH7NA X88ZFIXTSJBBdTBzi14ergZtQ1hYrNfU8o0nZhPEiuJQYjYeOAx0ONZZLlRpgptpVQekImgmZPSR 2ZWA7BtNzFIq1aYZK/arYgHAMf1V0LJYnzeWmP7U/L3DkGkV6OfaBEXBNP4dFBM8ZZ3LlfZrpqQV UtZ1lb9biJwWnX4ymeDgwXSDpWInLm82iA4x3ib1ARe/8EJKWSdKoviMAJe3GSPyu9egCUqQ3SZy GlpfLYJ6fIGDBSTehjXRDIXBNKw/QEFXeyMn69mfoIsQX9bNFuTNtISi2rTUUJcB8NfNWYEPWitL 9XvCHAdBC5CLiB1AYc15XVNCO6VDsAc8CJBMFx8sqz/HnMDlO/HxoFNfvO4hVbAFgJHHij2KpuHi jXzJdfX4SaaxT2AMNRmuOUp/j6YVZqAoPe/g7Y2OJI9OZBCtPGc2lYlmI3KR9rHJg+nOwlmPgmlx DdeihDwHVRbP7Z5YN4NxJh4PqBv7VzCN6oo8CTlBUTCNLxHtn2YTVK1XBrJy9k2WZHmFCYrAHvBA ODNDsJQGP6YRJGApUcb63OyDE7QgPZXhPY+6uOVjdPjFZwTZhvRihaJu2Stn+RzUaJkqPMLj/4UW hZYePeltgsJgOrP06sX7Tok6HdNzOe2545biPdaj2rSFio1sQg6Wj52dgBXU2f9ZuaPXgXwMDZBO zU1qoBmfl8XpHgXTyump34CBlrPMNzKU1Tb/7FbwfAAYmr6GWLJFYan1Z45C2iEoLlsWVkjvyYtU 7Wxe+7jOOxG1sx6F02jHQT9tJh8cy3C2AFxsm8oerlaRKj32FjEpV4lBYrE9Cqd5qJ+rkCIxW51V 1l9zqgqHZ5l4POJlfq8iHtL1Yhl6RD0ED2rUPQ37akLDi3JMxcseGYI4TMl6GE4vlT3IQdiPRtwJ hs5ddk5FY2aCFFIFOstAe+wfFIeuVMXWu0ZdRB5Asv1c4GAM5RtlVfI4wF7H48FdNupXRsZ61C4e TvcwnD5PnUR7XlRe83CaHgqaoETFW05QyD+U8TBWpA0eDZ3JPYnw5M1aqYVCuWHaXeHTBVvUOYTG CD4jSOrpP9z7voMSspL2MnsmHJVRPP1sMh7UyIBYrtIERfVpeJ+NQR43qh6lD5M9P/8VBYYhAVRa N224HsXTg2hNTKUPzlKAhVWj8ygRqukc0awpnr8PEoosL1+wB30se1SfpjwJdtf0wVB5e0gbCyw1 PB5hzvyux9TORA6kZxw9CqhxR589wbsc5xFaOZyWE0NTT2gxZQUMjFdZBPZIoj1XMYLqfOAwG9kl VibwQujHUfYUs4bSIggADg0uRPviM4IJatIXTsUH4YVOnpTkgHKuFzwe5PQtfwVDFWjJdgPqHmGn oU5y4hWkjrCvhPSMTRCAvGZfWenQywmKsB7W4qhcSbuKd2JtVphXTYOcQcOS1WnAFno6AQ167H4I YYngM4JgiIxyBJU+WFmoJ8lTnUtx4/F/rSDhOlFcIXdCMxQG1I3RUDObgT7S8soZfaFZOauLrh6Y oag6bVXXqbh6XigDYIvIugXKgwKG0v8Tu+GmLSxidm+0dmrn9gjtIeuTTcFuDQYOHkuiKmWkhscj HvR3JzrDM8u8YkmEjiLqScKdJAZAZ53L09aTYS4Hncm8+UzRiOrT0yBVO/lgAeP5gLZrFR4GCjmK nCbKYBlHCd0G3UMn613/Ehmo4xm0iFBWUaJ1rjc8HkTUw7OypnLAD1lgNkFRRA0c/Fj0asJNZtZC KHyMCz9bWbss8ff8FQwBTe6Dr6EKyFoSooqNCd55DcEsErZzYF44DBwx+BkRWZwJ/eQxxMFIHDkl oZ/n4uNReXp+XfUVEUavnpONED2NnGzvrDh65rIcLzRE+R10yujOpY/K07D2A40M5WmwybCQcTSn cyOCbVeo35nOj6MqsQXxBag2/tl21SO8x2cEuE4uHXhUa2g6T1pDZI4P7Oc/ePy/8IDKooSRX/Uj CqfByIIWpesukwpvuE4ryIK/NK32OqJwmvo45/YsU0PWBMFw6+yqMSnjgUjobDdeeejjZPzzni4a 5mRyAx8R9TeqmAnZB2Nw0DGMZ9BsePxfWgyMFc/NUHa7CygKpsGC8S4rfiKplJC8SVkNERTa9vmJ gumpsv2mOBAHO4LOoVyh0cIWGXgpiUdQowQ7QshMxxzrANH5fETF6Uz/rgG+SYWqHUgqnCIEp6zl Iz3G89ES2l8pGfm+/XLtRhxOT9LqTf51qGvPtoNEzwftqaBqyT0WhdNb+mRZlcN8sdPne6ctheiI 56IqWyQX6ttBL4oSC1aeJq1+ROXpSUm8nqg6wEGH0Ea6wfjmhAyfEWGnXbZb8HvRpC6iakThNLbh OSfrMGRwegQ9ljsMIp7xUzrCTiN8JxCm+uBrqJNXtjlB5w7ok33GCWp5qShP48+2Flmvetc/rrGW 4SNkg130mYcf1AT6+Iwwml63PM2oAK+E9WEzFIXT6I6dPMzKHSeTzEbhOF91v56CoJBrhqL69OTC WLaSNmOvTOx0ArKsZkWLs01563ZatgLiOdvVokZylvEZUQGfMkI7Jx+8gD9XEhlxbTwetch+aS0B MtEu5GyE4fQsP+aRyAlq69I1kZxZTj8dyjDCcHqqJKS62CNpvjoMCiaVMM/7o4zGwJs0SUCJgO28 B/XWGgrjaZosnTRqs0rGDjG3y7lyk9AeYy88H2T19fsmAzKiSKybUxTG0xsZRzYyojNalzAUhqNG Mm5NshEWqLuSVqlYpHUlA3eH11IWDQhRUWbtCF3Kn0at+obYRjX8LeGcsEDNPmuTfkW7+hVnbVVL 62cpeDyqe/giwo4CfrLOm7TOsEA9J2U95AMPUe3scI9hwqZQRXfY2Qy18BLv+lSHD15/JWZ6SgAF oaLcYgn0rywMlUelmwnHDNEenCBEVj4onD5HWpP320m58XgwQe27crZQQAJ7zyYoCqcB/xuodVFx Gh4US0lrAXLek9bKwtC57GdYoCYccc15B29EQ2pobmoPnGMGpi8EDLEZxGAe7EErv+I0xGdEZERW zmAIaoMlrXsoPTsTVPB4dAz9QlRR8Slf8aUonIamAJDMSaVFyAZbaXGz/CUNc7KcuIJCMmJVTZGe ARy8tAhoPI1GSJMaMKdmvoE6CXqISF6dz0rlgRnWp6ncNTL7rcPDCRQkUxL29Vz6ePy/aOh0xm2X 8DujcBqCbqOSwayMtVya1JjXoGNXu+pnXJ0mKE8GwvvLQHiD/9HABsFea4WYRm6xZE2q+jCi0arC ZwQTJL/uqdN6+hY7Ed8cki4/hwUeD1r16xvrwQb4fOS7oniaUjablCy2EWstzk+goaqwHtlbHDOK p62zM6hUxcG32DnWRu6U5D5nEAiblA0kXAsk5bkf+D2yQXxGsMUIxusoNdigCSpAjklkqFc8HnQR 2zfyFfYHpqLMCYrFPR4OEMQ9RINeFPdw5OtKxENxi0VYDxXO5q7TB7/GKn59IT9hDhI6BiuLONUL 4gzkBxYsEvg6o2haqeqahFVx0AQhSCek7sRZA49HhbPvQ5qFAZTUbYIisAfaErA9cB+FspJNUE5J B9NZ+RBAZfV+RsXppdKr8HYcnMAB0sxJ4LetINAeESuOLOzBD9m+rp9c9K5Rm5XEhFqbD47q3Ins 2XqySDwe5WPfkDySa8btkM0omAbrFH5TydAwZboqcBIKjdIeaVvVbIYaeQRJ7cKjj4NPEKCaFCUD ZnEkmEqxKI39klHW649/wth614gjJbFb3mIcLN3YBns9ETYfj+BU33EQhY55uWqCIrAHKfUzWfoO CYXLQ1xXpWoOV5eeUTA9uIKAJPfBi/e5QCWPogNnhwEaLH9qxmhzS57XatNUqZpRLN2od9+TzqDk ZxB6uT0Lfd87Ho/gQh5Ks68GehTMBGyCQh4iDKdX2TdhHRY/n/XjqgwQLyl2BsW6HoQwgN5sg6Nh oI8EiyPizU6chWAdxxS6zZ3H7rw8RBq8z1Apj4CzDNkkGywdAyGIdPGSCx6PAsX9K9dAJH1JZCuK pDP0Dnsalo7NVJKnY+qaMddo27D3K4J6DEmXLsl4reea7zzN0NqCufuZnSEHRaB6gaT+YTb2rbu9 wsK0etBZTNZ8GS7w4WAcA511PB7REH9J5W22gDxfXWFhGsowCNEdkVedydpRMXZywja00Aoj6WE4 xO2D32JszFNPDGj+c9pQoqoBzgaVctxotzCNx/AZkVLeEOb+DnZIV1eiPO+Jx4MtVr6veVRb0Lfz CQqlpRs83ZufQX13r7tmKVlQKW84T3OFUA+6smzp3J8BYUUjpDOhIM31UsBChJmX52LAQf3QotU6 rKAF4TOCwrTpm0l3YLr51rkksyoeJ5IeePxfqQb/LYpmMBu3CQppiJXNheIcKSnC4Rbb04UpF1CZ tsVC2LQUGSoFqla9vZ+10ZDPncDylSbyFtrdgl4NuCo8b69SHuFmK65M834vtftgZxAMYrmCct54 /F9nEM3fqEy1Lxd6hRLTlMIlTRsNxMbwAun8martGmeFRypO6RXTEKXdZQHjerr0KH8klJ8hONDO GiISBiLNqMrUH5LndI3R2BqfERTN6AE4tyhS2yOadq43pfMop+Dxf/U2MBlEPu4raLqiUJoWrvhu FSlCYt0ixSo57slkrFi2umJRD/luspjBwektHWT6wj12cpoTvjBbTWiMdTjxEV3rlpLJXjZCwoiU 0KsPiqVxk7GOWU8EiscDoMc9pklxW/Rv9Yt+RbH0Is1umUrnyeeL112nWomi2VXfZGEsLQnFLZrd bl+hYjrJblY+jyKZosmKVQmJ1p/5VISgWs7P+EtwoCb2OGh0nK1kNmQBdULehseje+wXlgr/rt/C 9Ap5iHTYULUVJIV+HQB2J5UVRoID3gRaQiFwuitd5RnM4fJ/JmQeCMJdgPUkIvIamm+NKdly4HRJ pNOvKJaWZjDl1mwwqNDZ1NQZOvcxXzE4pvd38wclGHwnPkExC3FT8dVX0Mqez6NHZytoZrcgWXFh mocQ+4caLndjIppm5Z7SOAAFoOoqIauJLeK25MRY8kMC5DQNSReIGjaoJFTnTEVQoVXx+L8Eyxkr 4rSn2bBmKKxLn5kE9dRZiKv7RZbkTNol6mGyyiuWnaaWySp38GMa4ooDsoLAyGfkGQB4gLRwTqFM 7Pylbyx/2SAW6mQw730HnUInWOiCZO7Mx6M19Eu0HNHtvk36HUXTo1BRqHrR7MnHStreYyUMjDO0 o7r0kNT9SskHz8dWAUy9C9IJ+KWaG4O2yAhI/tdEekfRtPIxcAF80BKaUA7hRZYGH4/4Lb80K0A/ nldncb9H0yZEuWh0w4S1ZMcFr9oce58pas0Jin1ahBdnNjrb4xx5fs2C1ptU8k4iLn3hjFqL5IH2 Y41M0fsdAqflGCXLPw5aQeefbolyQBN3v0fTMrz4OqYRe1DMXxMUAqcb+xkeLEJj2iZoJj+FUFW0 Lv0Oo+ltx/TwwQlSC4x52n4UaMGAuYxtCGkDWEqC1H4t7Kq9a1Q0oxAlwFg2KFg8X19WRo5QaMck xO9DKOMKy92rijskISJhfRw35jbwK5SF2rB0g/a2mqAY5kEcXlrTh6cFjXyssuwK5SVJMUqpU5DX R3Bg2rsGBKBCMHAXRaE7SxOETfmzQmsKj0cyi/+bsMJ00CcoBE3zDKI0HbkJ0+ktZQvPwMI9dKA0 QX9yEEHPLD7cquKghF0TXvEcbCKJczlkCFt+nUEs3O9Y1YPI+13ucNktVc2/k9Lj8QDE8IuDWJi7 PFssVMjrmZJdNkEjLRf1qNLF5QpCQUPmCVEwvRgJ7arORv3qbGR4yg3VpeE1Vwls7GiR1UIfzaez gdIXPiMSnZZyuZqsLrhLNyRmA+e/TQuPR8JC3xMEmaFG6IEmKEJ5oCs20zTQ1MkY3aulQSXJDml4 YNkKilAeI8kdwFTJn6pig5/cSqoJbegSy9qWIGHIa1LvTu1neifjMyKWJlC8iQ0ODbbFJi0HrHm4 /12XZqiIQgLiG5ugMJZuxDAMv+abKXaiu1oumhP9K01QDPIwMZzpg1cVIcQKtyIS2s6tNpoMTFBd hS1E776CCq118RlBpEhnm4W72QZtsT0K+w3g2A08HilOexzEySC979liYV064eTplx/V3Yn1JJcs mhXmq5Bv4Rb7G+SxYWVow91ie6JnyxV0zqbSBHLANyNNsHQ7G3tPvWukvEQCtLqr/XZXy7kIEms6 Z4fx8YjZ8khy/8BfQnKhPkNRLC21geYzRPsZ86plrGpetWj+c4YijMcUA7rWO1xiAozKq7SpziZY y4zbcY3BSY29LguE2NrYsaIHwXiqDXHQHoOcstmMp4HHo3z1u6yYF+/5x8MliqXBuXes2eBP1pbH H2OJxzmFHOOBXxQsosZyIeBtNjga76yfmauKHih3L/RgO4FaHV3HTO6cIYWovkSZndfXXRTHG/J0 G4Oy8Cp7jETq9k8/GTJ/QYTqvGc16UJQyH4MyKhSGpzxcCoZlLylpCDEybF8yiy0J1lcScN0K/CL /srskZD54Fw7wNBSJ58V4RHJ8GeeMDud4mC82ayGP7a97l8iTGCP+mCZKzpzErptjc//i1eviv/i FXhnKfRzQdjYSr82CsV7rS317r1WNLrlNJFC/DRJZHMS2znno4uboVyRN48kgOmGJPALeO4NqkIL 7BUXMK/2thGoihEjLmIUGafJlp1YHXIh9IaeY/EXBJFR/g08Q6h5G9I5haVqNFyHeK2UEXb9ijIA JrOGaynXOOlvL8SxWUUcLoiIQuO5btB6qyqCdGwKFBoZQwILkLnfPIPd9rp/cTnKoP8NB9WJcGNK QvqcPXz+nwTy84/hymoO2pqlKMJG4jIUXtBMk7YE1EEBbt6g+J2+7jqZonq1oas674zlbvIshIAr UhDxn6h4AApCdisqLp19LPSLrVQEKTS9btD0mMpjiaWWkZqVGwuF9KESlvl8kIZciVzuz4xuwng6 Z7Kce48jAfsAMJXMKbRJdTJV7HYDoW2ooFXNU1y2NhZw98Gbiyfn653CLHKcGiR1wE37p6OgsL/E 4RgG4EOidF8CuXP7oGmCW5SmqafN59+n6Vzc3xUjtLFqeyYpVPwAbaGuqhIspC2akacqNR2py0Sd 2KItF0XbU4VZeKTZ4FsO8natmkH0PBcgO7C8+AaAL5O+AVKpHNPe9q+EbXQpm3dHe0IPvKsBdr5Q Ph8V93/5ubH1e50DKOARNP83UdVDO65tR8SiTOj9DxTE/PQOq9dbjnep+OCTBApVSUmoYTg0ERJB ZVzo7Pz05kntCeybvW2QkxBXXYqgIFIYkHwVIJk/VFFufP4/AavRR6Husk1SGHRPcBamhdrnr6uu jV9Lc8Teqtv9plIk/VGLUn6TaZoXFdvgO952Ug/kxNmb8XkHqq8sOpk8RjjyQE6xzQvpZYsqRBzs 7Ibu4FQ6P/l8sN2ujQnmA43HPi4f2NxxXj8WgpX7AkIEcKQ14O1Wn9S6N5+ksIjNdvXYZtdxGcFz dlh1jGw22mtvuuPVRHmBM1Nk4lG6u7CWzg+JCkiGeOg+GHZ4LxYvIR44+XyU3n5jYwuW8KOElkP/ RBb6TVoHxaS2L5Vqb7dUajgizR4wRIU0ZrZg49jgRbYFL1+cH0CFwLfbCNaUsTwXFhqPOpNKkqVS 4KCIg5fYPfrCaTAmTOkU6IGLVePzUQb3S9cTZ9ejOJhDD8VGHwFZUSDHhaeiigBzP77I7dq7BSaK AGdykpBb28BJQpsViMapOhKrsdQ+XeAP5UH78PHA95K9bcRZ5D5rVPviYIUkGBOoFDkqnw/C7vyt T0BP3XYxsjm2UYTu30imzHTeednysY4D09w+3ag0hz6KS+LLCL1ssBAA0I2eO4VABpQXmgJ0iKax U03vIAOBlmZvG0wSQ4A2JePNhtNPMjAdhWU6aiU5cFJE2+b74GY3fT7mXIGVIvrBA8yHZV6Te7vK cAPEXpO0Jk3mNUlh1O1yMtUH224Df3qpNFw4cRiUXRks4KwunNOdrqgVm9c5MFPEdqMgGg5pGxzH t9kyxS1Z+fy/QgD+Y7qGPAZdoZviWvR7mQ4zWtPIQud9um+3E/VU324hf7FI/JSANQ5e1y4d/tqS lDmztJY1sFH0QuORCszXlsJf9y9fisUwHYNRYKt8LbmU4MkZOiqWuX5Fk+ebm+k5lCLBahjEDQiR 2367MFmgZIftt6rSbdcviq43QkGLuiQle2qyT4YEOL40GhOUxgRXo/IXihYIZf1QooBcDi0VMzts 1Mi0gUvp3BMSMEOhqPD5qIP0SwAMbmLYM3eWogI3uAywlDayOY2SLZws+YIeUzLYbA5NFZfy256L D19tyEmZrwJNkJPCsT6ZaNONRklB1eHS9Iq9bsT/oP1Cy80Hb/anrCigl83no7P7t3A17XmeHfce dCMzwbEkX1f81K9W427JGecDSYrNUugDk83sbfhgOw46jfA85p0POWKhbypYsABp/cAn9YoWVHvb v0j5jFZt0AXXZpPCKDRA+Xywlvr+OpZwHqLOeCcpDLrhUvEspVGrYyJ6HQYgmUSV2CSFpW7B+nJt Pnj17RwYqRHsCOARdDSYmUzUc8FfzMRvWJJb/XUjkXhOT6XiHgcFlJPkdoYBK/P5IDX55RUMfGDZ Ty03tFdEK32QOE3w0SjF8Wul8yxKdGLIhl/Lsb9iV7vWz/BHwBqi3HJ6BJ+9866HkhMWHbo8NC9x A8psbxspo7I2uYgg4WABJZT0jcjZ+Py/UhP+Y2jMAjVokxRaLKLKMRpb9XIQnCaDfk6lmhzCRvML LqXQY3HJXLGv5YPvtw47xTbU2kY9idzhcyHxVMo/bOG6g2C2t43aJuzZStUJMbx18Tu41vhaesFK ClwWkSSnX6kJjrh8V1Jos4hLcMgmHStp0VCetbchrdRNV5hnkkI8tmQM/o/v9JwZGvdNxqUn/WdV EkA/iGMVxOr5iqYt+U6HPovUCmVf0gfdU+fkk3ojIDx8PihQXvE9XmUUUrimDDl2WgQYEuVTTdJs LtSMnWDlpRM05+6TFEbdXElzzu7D7S6Vc7xNmXOfMLKrC57R3euQFaQgsMB+uSZ7278ECqmIhMLb ifMYuAI7ADY3ltJem7/gX2G3N3F7eopKodsiCJlnFbCuTRuUaahj6gLZodSJPeWhFNgtOqp25We4 lbeT2eShYjdIFqRHdKIwoVqMBHHcNFfBUuy3yC5clyNclxkF1cFKkUjJ+d96y/+it0uH7schJoeO ixAkgZJjNrSESGpIc9HZ8jR3u2xIDiwX3bZziyGyvxgiCZD4jpaHbMxrViOKmiY0eae4k5rdc9nb RpNECTVkwzY4wH9suivA7o/PR3T0e3R7GICW152lqNTdOuaG3GUZxQz3eoc1lmNKVvFiQGi7aGd3 SXfwUvcEW61NGQhTIxLHUmdBexJHPtwRTlqOOfRdVDGgU9pag3HVAFgk47rXxuejpZS+ogBQCur4 WkpR2A2RhpmGIWzP1kgX/CcaLc1i4HxlkxSqWw/WkwCptMEhtidchbky1b/bua+l/DghPVuk9eYI WzPvzKH1IgBA0Eudd7AooEM2gktpDT4fRN1PP0B9b4QB7QkDQtJjptTlxZDuPBxcIj1VSYU9S+lv x5i9GH1zcAggvCOXlUxQky7kiwwgb+iQDBiezdLK/rbBLFWF3ZISIYmUG+6KahcW30L/xfKrGrBp N/+UTEIDRqpct214Nm8ICGCSHWCCPqzluYEDoyxIEUmm6YNDcCYIy1weBRuPhXNMEjIQ6BQSYGwg rr3sbYMbTtZMWwqY2/x4z4W2IKHD8If7LZQRufRiqo8g5mFm77MUhd1A4I8uCg1BJstBJrlVn6XW p1ffAhtGx+HMTAgJh5vnAlHSJuG252hFmRINOGziWqhykFUtPEupdnvbIKKUXHquxQdtONAfmd83 qIHn0IjxkTSSagSu1X7VVnLoxDgparRNNwRsWqt2n0Avu6jRmm7ylf+wYpTGAS3O9yO6j6YLyPuZ Lmjw6EiyH6YLI9bQ/4K2c2jFyEP5BEut+GBoJdSTRI0Yk89HXcpfuQk0cVDI9jmKwm7wnAbZL6q+ DT+v4VjjROM2LjAgcGMETIYbTSHT7o8+HyIxeLVLUKT0PeWADg4tCnOQVH6o2N3eNjiUEguLud9B daU1Z1UVtmW9ZCSO9Y1th3wv/Vd9kmLR62Tql2KQfKH/W3fr5Q6hLIXdoSNjF5gtcwZm/vKAr2da 6DpVmBS2xHCBWoYdRq9SvTZUlxreoSej1PenjGHnNYbtxPowORlp8Pl/zZJp0/7q5f7hynjut1r2 lcJ01Sek7xefvN0jJce2jNxuc5XsgyNxThAAYWeiJ+DSQUXuQZO1tkCZx9GgUIm5Kj8koiLhULra 4NMlZ89CEtEG7mt8/l/7jS+HgxKyWz5JIcQkoeJWzNL8/DS9l7tGurz17JZoOXBmFFkMtrisdnPw ScKZNAUxXeBGFqJQ0gRMDqbhmRbDVlbKy143qlDy6K7i9td2KyYo7xFkutDMDewZv3mjmF+0sFGc urMURd1ICc/9Y7IZ56fuGdwWWWL/0EFwWwYX+jOKbzPrM9yAEkZZkPUBRPCEx5UGYRmaE0T4UKnD 6rgrdXvdiLRFDQ1RR/eljiLFbNLQ2CiZBBaNSm6+2kvAhK6nrhR6NILTB9yj1XFPbNm9+DZutXus Mn2WIoSJOVvVcQfPc1EXmasKYQJiPMMpeuk18MFZdrSewOr2tn/14FaTn0NzP4cOa2Mtpc5T6d+2 MvYc1XHvJIXFbgSUcNPVJHVqdpgOgrtfnCOl3g0XRt3EBcyhksm4JRNMz9kObEtCuK9TFxUbDjLP vTLeeDTF0rLXjdQfeSzRJkODjqUO3KHy3NX5fBRR7q9Z4ldDE1KbpbDa3RqpE6ZYAx14W0pVFhjA ms59cTixVaMo2tId4eAbLp1DrdMOE/LOzfgnCeZxHYJymQvEapS92etGAodkTwwGSxy0JgCLJogM Lgh8Pogor9Aq0Zeod9T9dOFCu8ZGrd5O4Bt+msuBb5u4EJ7eg3VYxt2hX6POJQiv+KBpGowoG8Wl oGl4tjRS4o62JSpZoHLlR7mG0j45cGxMJiXKYoMNWkwFYASp7e/J54NA4JcxKiRz6GZusxR6NvYt sXCrLFHB0jq6FGBWdtKasShyYNoIBQqKs2yacXLwtvd5FxA+mYWewKZaDQowL+zmn+LVJSir+9v+ zbjtd1DgjYaxRNgq1lLg2ogd94svSQOuq6GVQ9tGFAjBoa5OVnIYbsEf50yKSpPvrl/0R2FpQkrD B2/owgZmLxEFwL5tyvIGnBwyeJZkLEnBRtFSYNyY2VtHhzInHyzRPeebetbn0/h81IT7rlEWgN4e SdEcWjdWokxGs2oAJJc8z83LABTnkBl3kv5mTW6ZGOxvE4NGpe9GbABYe0nkZZjFFG/uOGtS1bfQ u7FSN7x1ao2dDAz+nT+Cvg1KoP6M89v5CyJ84LcAgGj16ZmlKPAGduK8t5ffUpk3z03VY8pZLj7w D/9GZtfWi+uPfyN2AlknzHNbqwo7x5TCRf/Zt3Mii9Qc2DfqgqIfevPB2rlw3pZMP/AToX+jnRbW OaGzRrsaADkwcISI+LngTmrVHBnAvI0Wl3PmiwzY3jmJHRyXAPDEI3PwFA4csbYJxVllQGmUds2A Z1SENeT0m6pf2/a2UdgtbpeautNIvjBDMA+X2mbl8xHl5DuDEzzwKQaEJo6QrB+FRS/6gM4LDKjq E1DCd7O9hIAycHF8hABE0FkXHgjZsROQLUKWAdFZ2piUq4cL1g+yuIt8W/a2AXuJIBN69NpgLe9V i3wcd6p8/v3gXvubhcvmOyt9NklR1N23OIKmG9UIoVE3t3oZ7oR+FxgQWDle+cMh+cPxJX8IdfpF l6CyG/qfm/QlAIZhSQbV/HlpORRny4GZIwJKhoASq+VgwG5cxgIGVD3/L0WJqRALXbvxHEpRtRtm fAO6cpqmTRQ58RP8zk0cCe1Zm6YIZFKnmgGp+2BriSxt6XAWoAJT47nVgVuqQAVQcO1bgCwHjo4C HFW5SNigDbc6bZwJn9h8/l8oSjZOKpFdPkmf10ApZzonjaICJeC46Xpj1H7rSgWpPA/u1zApA6xE gGmVd8ijH7WpTrEkTALd2ibvx8n0AThjeISabv+i6+5rkJSh0MaAW63cVj1IAocpUeWxtYWnX2Yn C3CE2Zk/ymBQv2XvjXWsYHKQdBs9HbH2In7WyDjFUpJzYyxfQMHkkB0IYOn2wYElJODir6cn8Ul1 qUhPzcLayDTtlBz+Ar2/zs4JIQly6Evdt3XVx1BBrxL4Q+32dXakma/ZUSQF+4zEHYbPfA2NcmYT CNAt5yqRsMaz+hyhdrctOLNrel4DI6ydwdgaflI2GEY5n1ga+LlhlABG/nTvQTSBQmW+8Fsa3H1e w6IMJjd1Dzk7GgyhXHfNsrTe7fMaE2Fu950dVSMnesfLZuc1JMpC1kEAwA7pkS3/x44Y16ZwNoO4 vQZEGWw3BdWapDJuAgLeTSW4BDk/cO4sCwwxaonmvo7fQpS+xkPZ5Wx2KzR4guk7q48woYJ3y/nx 3BP58xoO8Q+tNj10NgRvr9+18xoMYWth7ZS+LUE7WaYX/XMysDKMWqudO6+hEL6aIuj2voPn+giq AIdgrr/gf0mKMi8hFIDhAuA7Cxft5zUQysAWEBpZpKhVmnO3UH0kQxNc+s9rGISnrek/Da+Fq2D5 0nkNgs5DbQ1W1ZRpDGiseBkbfVSTXsU8yQI0mBw57+1FrBYHRyP3eX4RSi1QZCsVJHcC2tBdQhUy E4fOe0vaK5/XCIjfIxMOgL1s0N1+wtgqwuyJqj+v8Q+WjhXUptA1XUZtNjuv0Q+O8rPr4Tvtmoci 3Mjbchnj5gR22W0wotmhS1HK4w7OHznb8+StY+pU3gCdkYnEQuPJpxZ14JW0ysAg2liZxw6+KBts chYgX8yv8vi8hj35CXs0OQSzJ9qoYHJeYx6cyeOBH3fagu0L9BvjAv2mncmvEQ/O5C5Azcg+2OSU Exa0JF3sEySORbMnSNUtIFqw/x+vK1J8P68BD76AKVvdlXzQoYx2nRQ6T8j0eQ13+Ib1a2Ph0KpA R2l2XmuMuOcGukIEfll/aDr+gZ08nTo1WVnotcKYBZeil+XywWennYsvFdpppw1Lb7peJlzBo5A1 ki9pdPNQfq0v4u+Tbk1ad1Dh7ATpZs58zubPa3UR56IBRBQkMWbayTfWa2kxq/8L8VxNxPlOc3Wg kVDsVFslVo26EVG4w8xrLdcZe6RZTqRzNlFjUrEp+7/YO4OKH0r5mYgsw2TToui1rMhgkILPcrnq 1+UK8YMwqdD2+7wWFXHsLJ8dxo2oHJB4aATVYHrAqD7xmpXLToJUbT81mW+SSrNL9uZiFCt39fBF F+XgJY50/pDGu5PAx5Gp3kesQwfAiZpPJkYrnPF7sOzCI5vwKQ0G6z8fwsJ8I3QmipbXrF/LJ0OY nMwmTVAULsPHCnrPAvHhvT2XQB/H+xxISoxGE02QLKvLTD54MoF+CS5xbK+TqgzBIQpKe2cZne1K bIi6r6ic8WWDFSSwgxbSzi4wBm/szaZ+y5WPRxFz/jp+iHUWOkTAr+jqmuzdc7XUC33kxT4fgTHi HIW/CmZoE8m/DfCwHh1IMCDmrFVitAVKV6x/FFQoQHhtpdx0S2l7FDN3Gl+skYcP2mKIFjhBUNX4 vPPVsBjuEioibp2l3NJdQ1HUXEXFKnYG9StMV0Xx1Am9tpOMorC5y8wSLXIb7gy1hG7YUgMfKn6q I/J+PQcSzyC/v5Le9X2TdWEcF4Uyu38LmXJscj8/9+TnnayGU2j6KcTLjrVLCLhrgt4D52RJ1zSb lHOgTjuFkA9Y4Ly4sKzSGk4QNllFCGuDbbI9kUIgeYC4D0wYsqUehDhWqZuPpj2mmsafpxA9BGyw QusJm0Q1h8rhO0+NW/Q7ds6EFfcbPL/z1BgCFXjJNxEdx5JuBmkzMkSnN0i9ddb38BnwPaydTKSM Br/lsXBg/SjR8N3lOrwwpeesxil3z+lB+513lhqPTOyjTI8+DUITddC6WKk4scPnnaSWHxU/n6Fz S5+8ZPoMhRE0u/YteatViCt17afFi3OgFqoiaxRC4+vH1IBSpcFz03M3ngtS7vB7ArBJTU3M0PkM ADHTdWsU2zGKoRtpDjSrs0HH0PkapjR9Zt6fd35afswaLUwkcyDdczqKolEhGLMYVxaQNC+wykNK JPV6cURRGN0tAzN4ermtaDhGpgbpBE4QRCGYgbEFSKA4LyaTO9hbL/s+Q4NKNYP4Vg3aZSWD4c1d 1ufnnZyWHwktu0MgaUptdc3QeyTtS6gPa2YMGrSrTs8aBZsZ1AbVEopCafgFgQ3N4g8HW0INYraA 7Zm3g2GIVpepU7b+6vzqZbyH0u5xNUlC0mDVHxwN4jhCpCaKpdctHXI25Gc5700WRdO9yRREKkcz TZOgh/+dyz/joPZNFobTBKRnXIQ2WHmsgCqGKSIypsPbTgUg9i/ONTHXIwU57V3/mKGzntHO0KDq IWpVxbwL1uedlPZrk5HPRtfsfaOhd1IatnYDGqaarBFks7atoUR7Px5DNAfjDL1z0jxeHHvTknDv fg/q1lEXbAZpnHtXymUMElnI3d9XnYZyRZ93ShrXO0Gxm8UgDlpD4+wV0k3qec/POyPNQgWLhhha SiPfJygKqDO1IHuyY6gN31llp2ZtaDCBDfT5zkezG5FKvd0HzzjO5sf5qUJQd4eZwmy+AVVQqN9j rL2ilw2uMlaCKo94DV4nM8gnDEU/73Q0LIZyD2oWH5mP3cv+nY6WzaVopH6dmf3+Osdfc8vPUbwV 9s5Gw9ubyGEbPniNHsYCWT5X53Q++68jpS+g10MM+pwHT8A4/GWjeIgBY5evbr++uvDYmKJ91PZ5 56Jxhr9v+4JGIV0W9aFhRM3WfJ6WlZkCBH11e71+lnnYSf3ORGPRg6E07Hps8HoZmrQp0Xj4ZPWp JxlgZCpGoMhIKzCDwlBL5J2Ihg/ZNNalKI0GzRA6axKAOK/xeeeh5ceOUDM0mMGU7DMUhdSojD7y IVBxdO5QZlCvSj0Kirzt31lo+eoadB4yHLwYjexiIn6llBhw50O0T6aZgEmshxy77GWjygcvs0na Jwdl9ue2ldD0iW/m552Ehvt2968ZwhY/oYeXzd4paNic6KTeMvTo2lr0997TexmNKo7cZVFFmgDG ExiqkdrTEzDCEj7TEhOuMufrzrzLCLOHRj3g21aun9g3n3cCGv7CqurPHj4Y4uxcpPwDT5a1Pu/8 s/woh09Rq8A3RdDnM/QeUktV8YQhxSREUFLwbs++JL3a7hp6D6lhCaUes7HQLrbjJGEQ6VFaBqXG tJsMCc9B23GwZMhL3OrQ0ssGtUUCYHopd9BRvTr0Whkxpv15Z5/hb83f1SEAcNBU8xmKYmrIByAn UO31xEPZYed7OdnzRC1uVfROPcP3y2Y8ISA2WDx0jvATNjA8Q6dwlkHj1EUtIVBboUB5JVaW3jWa IAogTRtSebyZIS6FGOccpJ935lmWtPYzQ4iSsVF8hsLq9EbHEKgF3WXNTdKID7NdBss9m6H3mNqd hzNDeQ0eMY6T6vch6ce08u4swC6mjE3prpfPqHj5eaedWfHixK70MdCgiHEDbCQIee6fd9YZK7Df 8RAV6Ob0Cuw75yyL6+a0fFoSdt9kKTend0xQY7TJ3kNqxEOYGWrt2WAT1NpZQTULR32uW6COBOok reJk18M51ZmWUp93xpl1tSEZNe+gCUJC3yUentfnnXCGeNMgnWr+UEtn3+rZO90Mf1hRf8N6ztLg ZDSUr0w29oz3nOOIGmuhqDhUbnHo/NNzsoCkKjuwAZ1P3nkA2bBbW/YDngKh5fPONsuuhNEWNTE5 qPSx0dmWHdjIn3eymVXRbYLwdh25Tc+ec7yTzbDwIDyzikXPqNm4E88s0/Xnyx62hN65ZtlkQ2el droGm6GJwA66nsApppQWCk1YZYCf0py0GKEJ1Jeid41miFdYkUR2yY/+/CiCBffCV4yOaU/sm14N 5XG/6t+JZlmQNpTnnB20sjfmd7/HNAzebYJCVEfm6YOr0wa7yCCDvjZp9nB1OZuL6jQbolu0sfyy mQEiju8aJGWVYeJ8Bu2xlInyxxLqfMXolP4dTxOM5IfQO8cMeyyxOZ9ddm67aEElKMQAwbMZ1PWd YuZtINiGFR+0gjJ8VM4M7yUyB10uMUEI3QoMGzPKqqapSv2Ld4YZEw7YfqZGPFEiGETIjhMjNpYH T2ZQPu8MM5Y+/B4ThAierPemfyeYWa4MeKupF44+DAEMjFxxXDmEKljDf+eX4fvpPH42oS8Ko2yG zrY6+aIhprtKmVxlIMQDHuB1Dyqyf965ZbdA3ShvoMGA92D6Cxc05+edWvZrhzlpirY2Nj3vwbQs 2sCcNh01q8OyzYqoV9ODnWELKKpPJ0rxMS23wcse1N/pagJ1CF8yMVkEcuMbmCu5BUan9Pw7rSwL aQrJeTbLONgEoYolJdVeP++sMqyENL+ueXKQ6rPDoliappa5LGUbM9ONlRlr0Y3Py1C4MhyAYXla 2caSse66xroMc1GNkMvM2axjqPqa2aQCgOlL27lvvWwQCA1mrGVVH1SfbrUYd2NNvmOww37d89iT yBR9hqJYulIZzCEwkHOaF+VR3E8OMph2z4f1aXqj7N3nUzmbxk1EmKB7Hsd9JW34ZKzIUZGmITWx nJ73wuedT0Z4meh2dEtbDZpcDKahZzMl57ROrPjOJ8vSHjboJlYR4UxII2yOomi6MSOjFgZjoXIr Q6m5IcZMsMvRHIUVakaJaSsje9D2e5d1VmGmf/UuDZ0gXGQnvqYQz/yhXpyV8BksvpPJ7jkEBVCc Q7CDIKgZmvznzyBt4+TEn3cyGdGt363EQROp7DnrO5Usyy7hBGnWmh+0XbIuR16OFeowd9QMRRXq KWArmiY2ODwazq6TqolnY4CXyEYZRZzOsH8Q0voiIpLqnUfG8iDurnMrTh+8ho8uJA+itT/vNDK+ 4i+0EMojwNcaRjEMpzO5LGl4CZ8AV2pd0VacJfxFJ2yCFP9EfCD33T54M/qcYudU7FUJWd+0URqU vyWdIFPhTWtItJZ3Elk27dQKfqOGrJ7gOOunLYXIdX7eOWTYpTeelpkzmEPZj+p3ChnBkQSZ4U2h c0XnqywKGauc1LmSPDWu+ncGGTeAqooMGjlcRBWw+oNHNZB5JLJCEnTS0yFBZf52EkHi+rwTyAiH lF4qxeU4qOpxTh820Unh+Lzzx1h3mN9LCJeD3Io4QWE4TS+eUsRlhZe1SRKi5W7UlgnhDtE139lj 7JXwpEaV3IaLZzhvQWFilPDbyb26rEGgSwgwdcZCd5FiVJk/7+wxrgEjRjcfdJedq1PCMmeC1ued O8Zm9nevFd2dUm+T4506ZnBOuFlaJ7HsapsMWl0GmYaCRNYp9M4ce277NpoPtoTWKhWyeJ1clp1B hsMSIvMVF1pmYGsKxeQgvFPHrIhFNFzzQTPE2gpBMevE0+/MsV9QabnRAJRze63vxLFs8sR7JK+c UQtTlTM1YEn5ZS+Cx1BYn+YVVio3GYcLJQfDfnINYeUUGgSd8we9RRCSgCyxnHXR5PudNsacVR5F ufmggPFE7UOQj/PBn3fWGBGz35APCv2lfk+hqDwNh1hYy5gKQa+mTngOPIq68ZxOZFZxCYURNdXS c2t3sAk6h1mpUtgqs2Fm2OIg44H9n3xbQKiN8COi+VG4iMajBqub9TTFh+57fN4JY8x4fQHxUkek +JSF3vlieAr4uO4qRPhjblloXfXPhmBQ8xMH1NhbNUOnQoPXzc4lnlBJJs8XEQy71WzjloTDe7o/ swpRn3eyWBZNFnKWLfugCTqTJQUwWDl+3rli+ZGP0wKiB/p6zqAonkYzb3RezOwAkTKjDlBPLkFU oeeiHRbH06ybMYzX4NfYmmeW4FgJtji0XpMYC3QsQ0+m3gmShOw7T4w5FbOoXu9ghcVz0JNUfHbv +rzTxAyT/kxQJ1R53GssCqYRTpzLY1tKP9kzIAu6id+LnJUCBEzpW4icLkNbq/rgwfSZk/PNcoIW lAImY0RQpKAbN4QYUs4K8Xx+RnDPE9I5KOalwapCZyVsSQ7gCIpi6Tl/hYpVNhI+QWEsfU5I6rnq jM6aoE7LqeawvDXc+6NFpekkno83ytadoAThk4RIC9ze0tLmEQQPpPNukMjM69oS2iEdBdOLjpaF PAYNdghBIJOVnp34eNCq/1U3A5KvrEv46XEwPbHHqtXN2r6MHwpwuCTDdlLLezDtfegiWF65sDx+ vdB54AxNODcsFe+puzA69Tk9lu6sm/UolkZTjepe3QfVpqEZI3PdE5Dg8f8CDgYsx1wJOUFRLI14 fwza13OCrkAcOMrZy2agcmmCIrTHUGFx6LYfnrDCUufMeQHXHHWheYI6SjRvSJ5BM+QHoC5LxyYl K3pUmq7kirXNig4HTVCuuMf4R59ko0exdCp+CDFfRZ0ChFeboDCWzvSN21a7H6oQscc6XbhqAiGm a6xHpekhUHBRVlault5Z/HDXq+ognlxmdSoxVGhBdZy7kkAzPi9r0z2KpeVFSApulegvT+rcC6CL stlrBc8HDI75DWWgjn/rt3zfQ9YhcHl7TwXOuGaK187q8FBodId29iiaRkUCiUYrPlwsQ0bzeRh+ GjJPVGWEbkGDPkoxtbZHLLZH0TTVKho72TZYdfFkHCqfzjLxeHBSp++TmsFQvViGHjEPB+U9azHw a6MzJA0aFvqQxpCCDgEzsh6jPbh87CJ/aC4bOsOJ2hs4qRNWLDWHE3QJoXRD6SCXqth6178a9bsn GyoVJ1h+GDRaRKiLx/91lW2uN4QX1e/6HkfTICvtli2apmQTo+mc/VabCTb1mqCQfijWfBvVhwv2 WCDTEVK1oH02iQ5u4Bs1uaDPew6hk4fPCO568g7hEOKD5WOQ6BVLCkdlFE7/3mTgIZkiMycorE+f TQtJGDkzqsvKnP5sCDMkBNYDHS7usT/D6bEkUbEeiYoN2nabIGCcqE4uVdhj/M7P1gWGtD5MIBpZ 9qg+rbJQZ0FHg6oeLUsVHHndxOMRf/WbSMbQCteqzdB7PG2OqMDFn8mA61CjhlBGNISqB31jz4WD 3jCvsiie3qqYZTkz5ceZabeFXiu7rJtaBQR/tkmIY4cwvaODKajLdw0mSHzpoaLH8KIHIM0S9Kg1 TzwepfTf8Gng8Wp7gqEIPo0E+8TuuHhhX0l+nSaoJnrsMiODy58mKIJ6KE7MKNdyIFPUAFUJ7lvZ AOZFmNOOpLajakcjKjuEwNjEZ0TBELuH8Le1wapCI+leOJfixuPBCnrY83wuIYv+Oobi6jS7q7NZ 5Qw3mypn8FK0ylkT+BVTFFWnTZqiKSN9DD7PK5wMZG8VXwEWrmRVAboHTndnEdMbrZ3auT1Ce9At 9kxOWT6oPA1UIc+hciYLjweLqP1iugCDZm6xJEJHITUFTtpOhodpKzlgKNGkxuS8mxWGRlSf1kl9 /j/JhxsxljMti0Txcz6hBmLauudD9gnl6TfoJjpZ7xrVFtXiyN0HrSJIp1b1yXLG4wEZuq/vGcLZ 2m/aOqKQGuYFJxwe1aKh6VTx861eBZiCjpKo4nF5egqwWHy45emOXdaJDs4AxhDZ2SFVDdUkeIvf pAxAAXxGMEFNZKk1fDDoa0VRjgHO4uNBefoXpxXhS0UL2SYohE83cMiSSXXKsNwmqDjG84RF20Lq EZWnUVVASWioMsSrE32xBLFcFF2ZRQONOcTqJAgNcHz6AdldD+9jfEYA7GxMykgIwmDWpw2VFuJ9 ziXQ8XjU4PBgiLHzMg0jm6AonoYmAWCojsmr7eYc40LwB2JPbbEonqabF4j3Qp5R63cYahFGfpUA lLEg1lU1QeBDF5zWFw1zVuHAR0RNRJoML7Zb9/KkFR4pVTvkfBd4PDqDvncYkOxl39r0CKNpxKRN vVUcQXmZ8NuJpktxxFltZp03omh6KkoEosIGO4LOfQEZQXaiN5B0Ww4eRLuCFp/pmWMdIHqfjxA7 vbXFjJaIyHVzitZCoxJ/9pkuPP+vHpmmswNOcZdQGE8nVl/7NqoUqY+iKHTHwE6k+7bHonjaVBZ1 Sqd7SmeoKyUYkjJt7cDgM6/H1/JTAdWG55mXp0mrH1F5eqpuzxROg07pBFie8eonHv/XIaQYCkyp K1kxonga1xb6xi7o0SkeOEXyuyL5w8v3I8JOV+6xPIla5ODI4LzPCU77G1S7zg4bLHxAf6BA37KA f2ktsl71rsESwvlyzp52B7vooZ9DjfxzOX5GWJ4udwWplp0BfL0XfVSfRqQ8OiXlJB24XckMAna2 hGC6ZjMUxdPM6tXH5kBtSJZfB7LLJXQ5sL/FLYgbFFo3TZCvpeD59Z8R1aeR1aAqvJMPVsCH0qtI v2t/RhxP/2qzAjLR8r3ow3gaFA42hTVBg2oehDIsa3ugYJOtwzHieFqlobp88JQMM1RkKnymCr16 WghQoItekWDY2EG9tYbCgLoTdTboJ9QAGnR0+dlx7CKeiV94Pkjr6z2pGRo2tsnq3WdhRN3R/HFm AoAqztkU8ZKrqFVmZYm/J6rAEjVOBL6GexCdtZyAQIA+A0pDVPOEuvZPQ7EHqDGv4m9J54SUxEpy wuBcdUmeIG+FEewwwl3B48EcDadxMBRB9jsvi2OGNerB86fq/IGHRnbAB1yYzEUIqprM7Gcshyfw K69yDh5Qk4RInecTgUCSJ5snIxU6N5ztLvCMKccM8R6Fql2Sx+ego7rjChDAvDU8HkxQ+95ni8t4 eWI/o4AaNcTBPhbz1jbtp1yE3xXwjL12XPczrFFLt6s/gxfPwFFY8CumBgoUtyiJB8GBBkgjnvQK LLYnPuOPIn5lFcIGTdCGXnPRBBU8/q9evbhk0Hy62lQzCqhBz8LXqRWk9ozZv4p4t3jZbxPEnzEf UbrTpftgE3SusZN1Uxv4nNSZItQseSA6yHTFKZfTSvGBGZaoGSlOOcDPS7YDEIzaokABVTz+L7Kd k1waWvw2QVFAnREN1WoqyvA+SpcolZwoVUi7okDVnwVqWIsmH3yCSiulQUGKtkEFwF5uMVRZMUul PrRoPIbPiCZIMI8yfDCe1BosKiHszHg8aNZfAS/VsrGCEJtqgqKI+nwVAJ1Zg/WcHs15UpOaSCbw fhW8Qmm8rvJrqz74VTbPjECbm+HiOa+HXWWoKUIcYe4HgI8DEJ8RyVcQHrypyc1BE3SWKDX7ISVQ 8XjQSBzfZETkC3QgtgkK4+kFiGNyfY9JkV5eY304+PVcEsm3WIT2kH3JNs/u+fi9bmD6pwnAQeNA njk4l84fNFH8bTdcJPZ1RvF0tWRs30ETBAYHI6M2x8Dj/0UBhTq6ENu1CYrgHhK/Vw9o0th8+j1f PPeYFM1iAX9G9WndYidamT7cLYaqUjf1igGwPEVdUZUG+uCHhF+XUC561+iQJo9s0AkvjeuEl+ag kNQP4It4PJig/X1Ik14zbpNshlTEQlCeeQJA2e9Cg1ezLQa5emtFz1Alr+p0Vido30bipuFnN5eg E1xh71L/hAwKMDv646Awtt41AAzRbhJ9Zx80QWe79qy62ebjwQRdhRih0wrwMzcOiuAeZLOewzFb p3V3y+orYbs6pBdgBDqDonDaJHQoa6vBUYsQBUiF4fSAl6JusVUoET63FHqtPE2dqhlF0y2JHiX5 E2c7Zqozc09DJBWPR4ChXysIWN52W9EzZCIilF6tGNwjlWK3WIMesR/SBPrxDArFPSbZP4PlMw6O h8lQy0piSUHLgIQzCD+dX6R+4LxMRHq8zyiShmzAWTlU0NFgCRlqveqR5YLH/9VEZHqLct28E7Si SBrt/LOxzIUTvkeuQ7lWc32YVtxkakVoD7C56fd4h9tETD1RMBnXPIT7s0TMgcdfieD+39LbK0R7 kMGcoCxjg7Whz7mWBGWtFY//S0CH3KrNLpBH0issTctNpScH3+9phbNB6y7RE5CPSooyjKQF1RwS eR2PyGtbMPoksvjMTsaFuBgoZnXbkZjd0jTWLD4jKHpQ5r5N9hA52CF9zn1VhU5Wg8f/C+QM9Ra5 HGiCQnVpaGb25Zg8QsstUFzNmJqTlH5NUIT26OqNVQkNVYKdmlbQ3tBQLhJQ7tAFYKBIhhtq0WiR WZMVjGF8RlQ2oxqD1RfzdkAVAIymObAGHv8vqQbxJ1BgsQkKiYiVDdm5vGy2reZxDo7b3JjXT2KF wOmhYodEGcojygB3M1DPSXDJ7RzUEhiC9HZNKG+0a71FiUl8xh8El5bE1EwPU7Nn83WtOW88HmXz v5iaKImnK2e6Qo3pKiNXRT+jKRfbyMWGlxhPDunKJyvkIQ4zu10+eKC4AC+jIfwJFM/RnHgGdTon whUA7Vm7xba/6x8NxLp123NQsnpuASpsIN7MeDxSYKpfqQagSi0lr5qtKJKGZss5YO1oVuvBRLya F9AAYzWi3QplPQZnRigFDjZBXS2fRT1ceD/CF72de/Esso56TKaqv3lKEnK2IqiHsDCL5FcNCqUz PCu1b/rA4wHUY30vIdxgoBH5DP2lNQ2ur4u4b183YL87Raqyzc49FpWmCaJHU2f74NcY0EHMQ5nO Q3OCDUSYsEGj9Wc+BSEyYPEZ0QQxROxmAZSMAHRuFioaQ2YhNTweSVR5MsYTGf+O5U5NUMxEBF18 N+O37F2sNd908tEDYNJthUsoRE6rudElMtTzvec7Oqy1yiWx7DnYIjqBACojWOPyKBpcQeTTryiU JmXx5KUqe3RvkMF+qQ1BWRtf8X2PrfTN9m1I+x+x8hXSEDfp4s8KGi44UF2lG2zfZM2NFVamJXJW hGktj1BewRHfhxGA4FHOa6wmOSpObhFrsRbaEeBDIug0MR5ZfPGcjcw6Kqxg5H2wKh4PmvQ3EqLE MA77hw+9wrL0Rj5f3KYFJDjPxvb2bGx292pbUSxNoiY6qMmHGyoia1k0RD750HmvRKNNBEsdVzfo KTcUol/KCoWnKylSsrdf196+D/Jkf9jS4ePRGvrWPalYw/uSyHYUTIP8dP6nmUBVkxADQ6HsQPxJ IBhnaEdl6aFTSCwFDk86dlZ3saIitJU5iYMaeWiglP91kd5RMN1o00J3Lhu0hODgLT50Gnw8Irjs 71MIl/y80Okd0hBxTK/hlraju/Rb3TK0oaoHxLw0QbGqB4PpIo+28ni0QbQZURVDobFqymTZYQlA egQn3+ON3Oxdg5IQnVoG95gGraCEDaejdw88Him7f5eE0AVHPdgnKKYhSupBe2zmdZVzZjHtPKp6 +ASF0Oky3sFUA5IDDb8WjY1zJZpXKbVmCBFOj4kd8D74jGCCkLRBhaf7oFhx7VRMNfiEQjtW9fB7 TAsPBJtLIdshC3FQmdsrHovCoIJS1e0MIHSMbYJCnIc6GmtXH7xmtiD7WQ2xuKHqyGAaBBNI+isk sc7PHHrXSPaEQI9NCTgOSsdgCSIUwrkG8HhE3/At5vkq2lA+QTHQg2aRywxbOr1WZJ3ANoPq9tmx ZjsmIYriW7YPftHPZfuBUKreijy4EToRgZ+/ziDW7Xcs68FIKBPZyUEraECuU3Z0reLxf6EYBPNg t/ZusVAjj7owo15t9+VnUJWKIMWpiiuX7xjmwUQ1k1Sf83WLQlExNUi/UXsJRzYbsBO9IDhEoDZ8 Gxs4VvAZQSQkyXKAQW2whB6YBvXd08Lj/xKA4+lF0vpj+bMjnEdqZEbVZJ2NllT8yE1SzjyloQ9k SygKpp2/8X/8AQro9HPLEgkwNHksDJaEcTZNTJXaz4vt5x3Vpam91GAH4INmCIQKph5sHu64Lp2/ rjEAGCodzjVBYTANasIJBd2+nqoRJn5vgu9g0y8/hP6GeUBNzwfP6PfZojj9aQ8AmkgX9hwdbVCv YLhpsGma6+Iz3vfYUCrPY0SD9thevQp5n+rA48E1Vuevaww1oWePhXVpos2GCXUBqmsQvLL3NWMF QMP2WAzz4MwAeGqDTRDM9mo24fKRz4ql5dhmazzRke12Nlixw2f8ob104mXtsdXuBGUUnThBi48H E7S/hWEWxa/LXUFRLA19SNixX3GqagH0iR63k+w65CU0QRHEg9Y2JzTud/BIsZzwbXC9nBV0zmy0 yc43goYtiHw/9FGwQIidjR1LetDuWLLc65HlPtmMDF0QrOPxKF/9LnlkEmnvNSZa4HvdtVOM2zU9 mIszYZVSIhKPtSDzIlnuFGvkcetAw90GL7zCMCozrD8zskGJQ0KGe+Dsr0WlsFtY5LLlpwRUTWQm 599K/p5C28rqYXrCz0TUxl8QwTq/A2qm2fuW73MKdfKAShqEehFQtWk2VqjhNYzBAW2YYTbjKTZz YWOnzu6DTVPB9j2p5ZD29NlqXEnnN1W8Jjg084F6jG2vG7HtuJaa1pJXoM5v30k8oHYCVD4fyS1+ a+XRpq0+hjcp9HNBK7oRBSgjhexutU0OiOy0Du9y5BTipzd5doOexns8cA8IW05AhTBLC7gzzmcF mZ/3A7opV8K82tsGk0Qfjp0VN8KOg6gqkCAGJenRTF/8BUFgdGmbutUQac5ny0WFalT7UPjw7Gz1 6q0OxZNst+LqMeOk0AuxUsd80vaGg9cZ4Vhb4T90thysAjpLkQWqQRTlzdxunsBue92oFMs2R5bR aL7AqgyFAIbHfRU+H4kxfaOEoSlmFtqapSjATnSrzd2KsbszcaXZ6HaMJ2T+qx9MUbkaUKUmC24b vFyNbTRJGD/3ZWuQtcBawl/V0SbJyEmsUgS1ar1ugMaXEhO6bjYYPu9kJOpLb5gqpBD7Ub6DbHxl uK6exRSF2VAIOdcNSHBAoHXYQPBgqmBdGAJtL3SsNE1h0dpcpkb34SnJgilHdAN0Cc51x1wfbGm4 qf7sL3G47W8bgfSMs5B80Cy1uqsgVieR4vMBk/wqDIrVcU7vekkLOYVl6wX/rc2CEfuwzlCE5kjR j+fIReqnHReF2lNy1LVtH3zHAZC95Xy8waKiTyk8v/DJuGP7tVaY923/ipTOXTN8MKDemSQx6M4O 4PNRaf/bKLGx7zuvTVAKRT9appT5MJhMzo4jSmCCWnsI5Ro7vMPaNdcHxLx88KpRgzHrYgt2T8jA 0/y4NmYuKB01T2mlQswPiXAgtCEd0L6vwqb8mHrVyiai3Ph8EAeM9jVJ8PH+NkBOUcS9iATJ3eoi 5wpxu6mWe7WUZOO4tbM7Uv6ozp1qPjjBA6KrixQjYmUM6TBRTC5Q9IR1mMvodX/bKAwgaDiJ7Jq8 UX1etddkyfzk88F2a9/CDQid+viapCjqBobxHKu1GBqkurQFag9WGwGY9V5wIRxk62Zr2YfbzD8H zvl7iHbYsOEajGkkVN6NiEfl7sL34If8pZqbCKTVoEmC+TcFYOpgRBnVsEv1lSTjEqzh9ETeoX/i ZG7Sna1YiSNwefNpYUBPdykFBoq44Fga2fIM2k8rBKCZXbbAw7msZo4nczV5BgGjrEMJnGp72z94 0y11aqRw8OzElHMrHRRDC8V567Sb1YLxzQvOoYci+q4nMHYPzla8kg2hUvdFZuppkxThQpIkcf+v TP45XwscVhOLJA0SbYw9oYOQB4Oe8YD3kr1t0A3JMmOnJBEHB4Z0afiVOSqfD0KlX04C9NRt19Ek xzaKmbq5nuVKGptZblGPnxLMey8zCQx9FBfRRXml7oPHAAUREJk55QSWpZYif5jJpgR6s9d6nJRx fkhEGVLBnwTYIRoir+I0uvVl6+Dz/2rMctFQIbs/2y2qaEM1Dr7GKtjip+dQqqbCvHB5+CRFUffk Siqi5pUval5bZ4IaOHhQRUu9VKvI4aYHp7TtdEWt2LvOgZcithv1cwEktUHVknJuBC6Pc01WPh+V S25bhKUDVCHm9QPOoZ0imnZn0WdHOrLNKR9yGVPR8xbIdNtvIYFR6qdbciD7kQM5QS2c3ogFPZkP dB9Z+Ef0VdF41B/tvhTDXjfo8XMR7UY06Bm6raWCO05RAEw5Q0vFsn5tOBSIZ3rWUiRZjWaOvNNV VhL/jGWlwrtO2k3TXTkDT0X5EqOgVIoPHgUAkXyCG/aPVktzMyMeaDhVVC0QiPipRAW5HJoqZlkG StV7XVXvE8SkKTOyM1d8PtKxvIC+qZwGQJxbDQhsFSUFAdkRlZbgvZ2c+7GSC6fIh5kBZeirKF/X VeYdLAyAbTtgk5Wd7JSp0dkGrVwbnLgLSK2Xp1fsdSN2g9SGRUPbt9nfapEUz/mFm88Hh3fxaVI1 gAY911ox8FZEqQXnUjOPpXNNtosYuYJOZ+Za8VmKjGAAc6evffPBCQ6QREikkEDOpa5JhZUzc2dJ wZS4rfSoFlR724iVT3x6XskH3XAdoodE9k17yUg/5btdiwOxpfbccGGhe9OevLq9YuvXw5Q1CHGG YRRpkxQisKXjtGfywSNK6CjSQBm8alRT5ElFEytwrDPxG5bm1mGvG8nEE71G1QENCilnW102ASfb 4fP/BVqDHBJea3eWQhQ2wEddrM9Fcr6X35g4KM0drRr4KMcei0IfLTWWngwOCEjEfoRhQz0QXWgc S7RkgOcMHFeuC2W2t41aSrIwVY1ye40S3GpqKAIy2Ph8kJzUbyg/haH79czJocsiOI0otZp3l6nG SyverLrRMymmg55Dm8U1zEt5+uBp7vlbThiwt2VwlDOGEDpyPZb3++Nupjgg9FkEgx85d1UNrlp5 aKAlwEpcL1hJgdEi0uT1dSgVYi2uY0UOnRaxAE+yYGS9sSQAyuJbZQa3CSDZ1ScphmMzDCjq/5fb /4fVIqCKUgXr5aylqknCzgRZiTIGWklLvtOh1WK29q14ae3hpYH0rpVUJp8PcpNfdVywMOpjfJJD r0X0m4GKMTztJFJe7NhB3Su0YTpEA2ySwrCbyeuWLeXuj3NFmmjQJ4L6NwlXNFuEPdD54s/3v7Kj ISFrYW8bld4IYhuZkDaozhLOg2IxAbpnKe21+QsipM38znPRxKVxn09TVO5OSXh+LZtBJTitpVap g5Vk8u6F3MBxUcQC3mzThwurBWuc4CjUqhaVQRplIH4IMMwzj5voKlr6w3KRtrhUNsRg6Umn5ZB4 eVlvGUGPfzlVYZvn/Cym0CSmgOZOdUrmcKAKKdHtc/6P0TsC78B1EagCqjUPAko43NbJCax7qRIl nkvC17jpCiSrzHnAmt1z2dsGk5TFgJBn1XSaWjmHA6110avMfD4CsP9vGACftDtJIaZkElPi/e7R mqvKVkorClOCDpdN0j+1Q5oPHisBvTMrfalWQ/WFhl6DyNaJvB5RtCzhpOaYQ+dFrpE6GluWHCxW gpAI76wTDPD5iIrl1Tc5E9HF4pmkKOyGiSVY0cJKzPMte11pL/O2yJukfpukKOwmlQbsjerDhSad IykzUToraRGcJP2QDQ6EYYQkYiT7zhx6L45K72H24jRYXens4iwP2DX4fBB1X5F9yonim+lPNSB0 X8RdrHKhFK7VniR8i25rgpaUvn2SYolrzg5nQIPDkzJ63egjohpwEooi3jWgzwVXB+rFNkn0COGH BJO0FXXLvoqbk9vt/NIl0UEW30L/xXItY/Ad0hn9kZHPoQFjp1lDvtZeaZqCUT1n+nR4CX05mOYG DoxX3mBJt3DlByZ50tqTh7LYPeHKO8V7RMeXQoUZOnqG4drL3ja44JZ5M00frGSyZ7fKLLdbLHT9 zYjIsFXsrT5LKYq6E4FuxYFufTsrveICd4wJqIU2SzH5kQ0TijxqcJDJyfBh9STiEUoadbLaTaQb NQ6yqoVQrO72ttFSkhPBuIP2W4X3DxmNUAPPoRHjWN+yWA136lfUHToxTkosKiEB4GQ5gxbAAGfx 7+lq1zm2YlzCAapikr1igqj7rMnBMv85lKAcQ9TygvJfwRL6X8h2Dq0YUQ8EJXAMHxzL1ZJ5VY7J 5/+L3jVK2BVBqc9RFHSjMT96NRLtENlSTnqteG+pp+khQGDGeALTxvotNUI0+MF9Juyc3Zm1t7P3 Nn4EDAVhOOsHfT087G5vG8ECJi0bKPGYr8QjvsWpzlDLesl/giZZekMZ9/JrcuzHSK76zG5wjgTD BeicaXyWQTaWXw4NGU1tdosesR/5sDLOfE+o60mLd2VuSHqed0Q3Ur02TNf21422G12+itxPi3n2 ZZb22D0+1+Xg89EsXViAidMijm13mkIyJHSvayvJoJP7WUusg5msyPJI6Q9bRmL/YZ9lg4eT8FpY dJKH6hY2B+VCcVWfYJw0eY+U4BShtw02HEkS2FU+GFYdqhNEINWsl4w23C8SAHb8QznOgTHjuRYh qbqn2THCvsRZAHvkKy3Sb5YbODOCBiBbXLkRpW83oo4NPdnMPT9uWQ+Ssd5R+Mq0GLaqUl72ulE3 l5R+RkwarGACuq6Y/ejmBvaM6dsNnu1cIO3XZdvkwKARcSzKSoN1EtD/xCtBAgdsqH5Ez9vI/Tl0 aOyE+O9U7+DnEtwcFqCIoOalBswjpgnLiLoUOdHuIsnModvrBltOB1Med9CW6/B6FjsbJZPApFGe HF/VgE3G3u2chC6NszBtM71HUNzSLb65QdE5GefwWYowJk1lgPwMFz7RQJNI0lxD8sCwuzK6wowB s+Q9gdXtbaM6LjkT6m9ycNZNlXZQ7TyXQkB3/s5NaNpU0rPjwmL3ua8Bn7CWQL+oQPgLuC5d6735 jovC7rFk/EWMJAefpNnQ1aDc0QZgKVMfs3TCKDHp7dZMMhI6fUqw4ygWXke9g86l896SWAUdh89H IeUvPXUGqFeXLodWjRSmO39IMxilfhKMMmkXZgjLORIn9GqcJPbtOu7gG+6c3SeW0YYD0RaSmNAe XlhFcMziYWs1yt7sdYMNR5HMThq8Bq2lAoIteyF7LT4fhJRPJMDIm+De/cBNQ8NG5OLAURaBcpm5 Cfu2N+WOeH4DeSVQbujYyCL/CR/2HTRP54sADazpkkMc3lGA6+eDcKkigGSrwyImSvvkwLMxmZjo pqOTBq2mUnJjIwWKkHw+gJte1r9CSBy4j9tVjm0bqT2ytmEooILhPd221k1Q3IsnB76NwIrTSWWp 872+Ot/zxN1zUDr8ZHEowcljFmE8EPDFy0tQVx/2tn8d36lK1rhW145AemiSq1hNgXEj9tw3343x yWPcmEPnRvBezwHb3YW4DGvpliFxBFYRdzMqRQ6tG9UWAC3AB5ukE7jDJ5sdpnXOz75FYcZ3UIBG aOQsScJGAVPg3ShK1ovfDMy0thTkz1HB54M7rufvScIiInzZJikKvnHdD3ytzunq04EmZBjIqrnR 56DrF0XALikg1emDR5WrweZsSqUFTfzEML1KJArf48ObVP0ttG+skmFLFDykLzmzCkADGvUcfsYJ nfgL/qXoxzyOxPoHHRA4OJ7PnZQfycPgb71aigLwtIeVC/rwtt/C2HsnabQUHxyOcx5HV2RJDWnA +Iy1JUjWnEX2s2/vRC6pOXBwNJXsE3LX7YPqAQ1EbF7jAwiK0MIx91s10RHGct7N4wITR6i1nEOp kjsmQoU3T04oW41rek6O5s2T0MXRQPAGbRuXgnuSYMgmUuKLypBkdcLPAPVYRDa73KMbEDp9yB98 CvS7sw/abxAEVrjUZuXz/0XRRhDBpyIQGjlC+mYUFiDkBbqmBQJ1bPe1LvXGlIGTo+FMUAvpPjgG HpR0SKYp2T3/F4PzSmwAYHer1Af9tuxtAwKTEhN1vcfT9Yaaazcbtcrn30/utb8LcPiaWv5aSWHg 3ViltAQX1qlXvS65HeiEx52vpCjwFjtyt9188Cxul7Nd0SVEfwlW9ASk5sI7o5pyvlNzKM+WA0PH bBY9bUnHbl1lm3MPTIk/nZfl8/+llosD8odWlj5NUcUbXEHVflSBS929HzbtoyWPNG/fOzB11PEG PfE+ffCgElwaRkFU2DpZVmU8NfC3ABjAktG3BFkOXB2RhJGU01fxQRvuHHxSZCoTzcrA1hGQyG8M /KwEd/kkfV4jpYIcjrvMuwJVVe5JSO5wj/TSXb3/NU4qZyELIZhJOy0uzQNU1zj3PvwfyMvpMOfj NoMUbiUOJl3t/kXn3dcoqQBHIxplv4Md28DkGGcLT7/MToESq46jpbwEn97ZfuMGjyZnAROwzTIE rCUvA8zZTdV3kWShBRRMDgmSM08VJ+dTnES9HN0EsgPgubtI7ZrUhoTcdKZQ+MxfyPfX2cGdQGWb vO6g1dNB7GJ7sqCA+zo70s1/ZgdCLIbnxuy8hkYFvRKIXgyjTJ6/YvtRfSIaR0x0nPycndfACEuH vg/n7e5gS2eDE7gFCNznj6rQWwJzYLBynnFOXaQbsdyvYVFB1YutNkInNRhIeSSBk0CA+rzGRJha Q98u+aIjB+l0jsfkvEZExY7oc1EPK9oqbmTRtm3fV9BF1+S8xkPn1wzJiAPtbYPvq93TCc/WlDJb W9VkxLsszpHa+uQIUvoaDhXURVj6X9I+BJ2JuIZzAABLj4MHuJXPazRUnnt+WQ+potTrS+c1FCpG BAD6yDDuKtAyP5vV6/7gSZtXarSxaEOcV0k+3GixtZMHI3IFcR7ZJoUScDui0vkDcWjfV41+39Gp w452UyGDg9G3zq3Y1NE+AfVrEMSn99fkkFQq6i0m5zUEwmY8CRGqakaWnLl58lprcVwEZPbNBTSY nCnmNgsiGmxyWoMPKCb/TA4U1ROzMuRRP6hDwsTT0VolsfT4Gv8UcJOpvJqoDsnB2DZ1iUhyItvy eY1+uEeKzY66BIDzN+qvcn5eox8c5ec8g0eV2tfWgZbBpUJqdPvz9FrRa+zD+eGqQUhsg80PsFkN 3nQ8lfvZw6R1nVMZBNITe9M117JWWRhEWwuVklZkDcbBpgeLm121lcfnNewpMgr/urJacowWNe+j Q1mKh0XhDSSOq2P9iO8VAjkN1+2NDmWy/vLQ4hnP4jl//6blJwNDKGmxjNZHp5AVriwQPk0SkjpI rwFPuYqQMlJJj5EKupVEqbYTMn1ewx17Q5sdAdmBOpL68/nM1zoj9xZqsMm8Yt05jSCINi7mCNJ7 TOdfq4zY14L6CwmR+nz4RyvDJHNSaIzC5IjpB3LWH1KdcXJfNT+ey681RoZUiAfLIsSPg2pn4AZJ RutcA5/XCqMdjba37NKqKpxhdl6ri1yvuKpycf9h4iR08kj2kO1YhPvSjoiO5S51sVJ98GMZhzQr PWfxUGiN+UejJzjK+dzLjsumT9FrZRHfgJmkESXKwfDGgHmQ31ghMhZeWt/xDgT6wIb3aDCKlUEE PKfxVFURngzWYWxF6OwCmJGIyST5RfOzeOQAE2uDzw/InZ3WENAYK4us27NKN0QegHooj+mnsMbv 0XLiDYsmdVo+GLS/tMkvpBE/E4XLa5bvWx3a5IL0cYKieBkuOOJj8/DBB1syUdp2pcNHY+yduVbE d8KZrBtsX+0RMPz3atAPQnEamCx6TtDYBaX8H6Rg3oLltvy8M9ew2AtJkEAu2GA1/FX6Ftyz8vHg dDaYsWZoAenEBppm6D1mBvVBElFec23VLdDLLlfSGKeUgbCCGTLmo1TD85dq+Il1zj086bYH3vHs woQkJKKgvRKbZ/mW8vYoau5qmJFXqkFb7Fx9ReyQhjrZe9iMfO0GhlKvPXscFBGboShwhhra6JqX ygvsmsS3/MDULrYoipw7MXz/pyh9wp+TTS+nZO+VxmJjbXWct5AR5BnkF1jSuwZJVyJitlLpkIOl pLl1tafLQnsjOoXG9yZrrF6CSqcJeo+dJZ82U7HbaqbtpQzoUVnsDAxH8lprNEF0hz8rpPlgqcU8 mzUtFFNRtV8Q0dccNsIcmwTOGUmruvt5J6vxFJLAz8w+2ARtZrZJSofvXDXeR+P7FCKyuN/4+Z2r hr8Mjo1zuz3Iyu1SZ3ZyWWxIGFna/h5B47ynVeOiTAQHD4IgWjzodQEgAmqwIkag3gLEF2GLVmel A887Uw27JBEqhQ6/DcIUnYRUUM6z7OvnnahWJDn3XPMZSBTUNn2GwhCanpadDQy1Wz11L7RwofDB oE0Eq6xRDM364YlleV5z8PT0HBiN/pjUPYCiurrXKEDTqCGla9koxmMURDfFhyVVH3QMAQWvDOyc dp93jpqlt9+FH9Tj0j2nozAa0gxwM+kWRq/iYfSaq1v63paXxd4ZauXKjPV0B+/69AnDxqIq9EnD mkSNoYpWeTBQ7cJED/bWy77P0BhCXLEKvYpXoc95NKYEU1efn3eCWlHP5bv4gzuiexL2zk/DEmKB Yy8r1M98C/WN0i3sZtBTR0soCqUHdcYI0bLBm4fnFjhbbUipDhzgJmLNYA+oWId1fjUz3kNpKV+c mWFNVIMmCIK00p7vUKqJYumdfpU46Gk5bzAUBdNIjGZyN9SJNt+P62KT8Eg1H64ATlAYTQ87hZIP mqCzPhL4s1Y9hE0RQQ+LMR/wO3M9apBz6l2DCaKzdyOeQYOqh7kvhaeVeIYonDZkz3lRmtPg7itg 9lr9MIqnq6TqknlamgkPXYra9FOowxSKM/ROS3vKQFP+DrMtX0I0ZJYSDBwaz03PeHrSm5n8/X0l ahZW8uedlcblrnhaopDFFWqgyWGixify+ryT0sojVYevn6ElGy63whoF1BnhopFAO+0tTTr8fOFz /Ljss4OH3jlpvOsl+2yJ2WOVVleH//lgn2ecG3bQF7XhvGugVaCC/DD3il72fRGR41epl2iDlcqA X2OOfq6ZzzsljVfZd7mD4lzj3mTvjDT2L2DG1f2gBi/T+xdpO+N6UlKCM/QeUMNtjxcJ+zca/KCG YKu8eWuqFVrYlF/Dmdx5dI4nXhxstrwT0oo4QOhjMrAeTi+BPGBWbx0SoZ93Ohoj6nvZc8GAF1Bv wPjORuM226RbV2uDyamI5rpkwakNRo1vbrMook70siyS8eHgRQ+aN8HKrUD3AxoH2dPWBnpDoRuY gWEoKPLORcOH7ClWzB3sqEY7SqKimY9HiX37iocA26R9pM1QFFLDCQ91D4eh77m9WN/zg7B2+MI7 EY27nEj9WosPD3rh5M9rKOeoOKzJRwc+qvH0bOshyJI/9E5EK2bmBLmo7IMy+5PZsAPD+ObzzkPD DCXPW0l9wEeufmcorEmffzImFXMYD9XsB9Gq1eOhnpJ1wt5ZaMWw1WfxkLHHwQNGCNsNmGHhqN4F CgSOTIPk7g89G1SxhwsfPyMIh6hFM8TUG5epB3wTxQZ/YPPzeeegWUT+FQ4BMLja3WTvETXOIUnR mXBvnekW7ccYlrY2ora5hN4jakQNammoZbjGk3Psjlu+sXZ24uDZiHREhg+MPr4KxMBeHLKXDUqL FMmCvJQPOqlPaFR1tKy0P+8ENPytv7qFk95Z+Z7UYUhNiaxk7nrQtXaMUJrtSmSRu8Il9B5Sn6+X 6VhVysXBltBCtMnlCLAw+A0U0D7nHehn53jDJXxVVuxdgwkCROgkrCzBLiramT8z7HtJQh97f97Z Z1YI0wzV/8/YdyZJ0iPH/q+ztD2DFrz/wR7cPQJZTWb0fFwzYnZ2siobDRHCxY+MUctKdw2FxWlY WFNZ1+8yCxMr/da0yRYMPDRD7yG1N+MreZwavKMKMYZBhz1kZYywOUPEK8qpz6tng22xd+qZh9SN TBsbFDHuJrIqXDD65515VuTgadHPD4XroCN6T6EopIYW4JmfbPphY0xnwiQZ8bEztqbBOt9pZwyH sMkay2EaPKReKBVNiawx5U/MOaBIWLCPoUznnnv4xs8768za2jifW/NBEwQEapF8eF6fd9IZC4W/ co5MBqtP0DvnDDEU8vrmfuejUOKc0RBYGR4vgkunrnMcUXPpbFLOONgEof56khYKPgFhVmk0dTJb xLKowpf9gKcQrH7eKWdsb/F8bgQucNAEpYTaDIOhkT/vjLMiAPMzQbimC0qUNkEhogN6IUsCKjB5 uCX8Ouljx0Po3A9Wf33nmxUj5kNGu/tgEwT+9Bg0zCq4e8qgWEajCgz7U8U4TWC/FL3rXxM0O/lU HBQKwZl2mjoPXzG66Of3PYbsCdm6TVAUT4MqAICuee5t+lfIR2XWaRPUgTbRBIXxNImvVYWPegsf 3F3npJYAPQR8J1hx5BG3H/pYfhnNABDHd41yMqVx6Q62glCCULWn8xWj5rwf0owLcRmkW8F/p5lh gqDLA21Kq+BnRyWeiGVeQDDqE5qg92jaV1BLu/lgKwhWUGCas7i41wmdM3MS7O0Cx0b6n5muKhUw 3klmnm80Wr1gIHRaWeu5INlyhyPM551khjNsfy+hSuvae9G/c8ysN4HOqvc4tsXVsOhsPkMF8pWs 4L9TzIrMIlCZ1gZarjYDvmIbCZKz8jAAUqgpFkDZ7PyUt+xBYZHPO72syFQQODdqxHAwyB00+nkE ncjq884uQySy89clBtpUkdkVp+c9lIaAWyJ5utv0jOtUVPdy2P2ikRYXUFSdTqzfV+mpcXBqQjuB dKqbl9iJJ6Gbo1t+Urj6B5eveWBQZu/zTizDRUktnon41wZjJrQ6tUNO1P5555WVR6lgieZCGhIE UGyGolga2fyJfasxp/PMdoudH2wWB3iARM2E9Z1WVpw4XSYdizjcdIxQeylfgaoJDFAT66g1Qpi+ BJ771stGpTNTc9g+qDwNPm2SbOHkOwZbbPxCCAFXAM0Bm6EolkYZfTRK4qsuRCgwUR5reNWjXQDw O6cMMzSHkFNSv+San/LcQ1O1yYpnsv2HlL7DvYwy2LR2sJSe0h6fd0oZilhgWEOJg5EQdEjYuQEP tIrOe+7y/nmnlPHO/u4CLdjc9n23WRRMn7VCvpL1oku7wNY0t5fO0vR0451QVuR6hNXDI4aDB9MI VMowNjAqBey0UnmhQcYX0akX8BkrvtPJbl2oT6k5gdTNxDg3ePlRJWyczOnzTif7lbLi7ciUGbfq 8c4l48rd1+K735tMzERXmTlv5r6E71Sy4rqXpRNIxcG2GTKYLvO4slOrdIyFsgxrjOdoBiLF1xCB VO9EMoYlRNgT/6DBykLdqtWoFX7eeWR4xZJ/XWRN8FoDKUbRNLChcL2XV8HJWF3NsZXSrUK0SKGm hvo7iay4/7CwChp8ggDP3cnk+E+OsAjc7MhYO0VGqPGmNSRayzuJrEgnHnFPqxqKNyt2Z932hMh1 ft45ZGyT3U124VTlrqF3DhljqE6VFCA6Nm33EBg28UTOC1HpqqLgXHHXv1PIiihsAI6rGZTrRXys tsHZ2JyiQYoL1xDpVOhV0oXIGokAnnzeGWTcZaqYje6Dqh4dQmyUGjh77/NOIPt123MN4XKQXxEn KIynyURUjQxMcvRGpMOfyRkmsbXA85CEzXf6GI8ziYAIGZP3bSTOgaYZpB1IJN+dtqgDxASYD5+7 rPWLBCYN5vNOH+NJLW1Z3tO7OcfuxHKTxycSl/V5J48x5veclRRY8EEAk7QZeg+oBeccbZg6ChgI hh2vtH9RIxFacDqG3qljN6AGBdoHxzOcSU/TIYtnkUhjXf6xyPoyI1tTKSYH4Z07xiLWYMlMx9G6 mJhy/sN45mQu5fNOHSuPFc8X4iPfVus7cYzVKAXqyslm8o5ZhradZfULrlWGlg7L00P1Dh7UBi9Q q3Wdy3mBQHKS1g3ZdGtbwxgb39uQRClppY7t5502Rqg9Y7yt3HVfmTQce+L6lJo/76wxlv/7912P aQZQxCYoqk6jdHSuFddK7Yjs1Eic3Nk8qBNoa1pCYUhNawJc5T54SA3jwImiIQJHyFvUprLHoFzu T74tIPqgfN4ZY0zqmay22X2wutm5C4pREcbnnTBWHnNUOx9hvfPAOt/5YkWCu2OI2kNlwpocl4ck 2MLF5UyNd7pYMcOraX0dDpaRQcWqN5VeATXbg4FlQWvs7L3zC5xu0Yyakd71/ZRGMxeBJ1u0uXp7 o4AeIyOPk/B93rliRUJGzwRttcI9qX9nihFohE70NLGv0YfXpmt+YHnQorAdFsfTTFmBYbfhghkg i0roANTD0OdjUr9AJMFpTg0TO4KI7HzniVlSxYJw9sEKi2Vs2VSddfp5p4kZJv2rNI36JSISm6Ao mob38lh010bSOmVyCRp0W8OgrydDLyYl30LgNP2HK/WFNPgh3QoQwdL9PPdbMf1U2u5BLI61eCWt IK7zO4J7niToWVvzwcpCJ4Lq8h/GERShPRAWf93zVepaPkFhMH1+9LPeU7XuRnV1vUoit3U3tltb tKg0ndiALpUpO4cbCC04mSUF0/X8T5XtO0SIhbEJqbpmYa1DOoqmVTFrdGXQ4MX71Fl8h0Q5Hg86 9b9geeRkr0v56WE0DcuG7kaoAHYabvFErgyxpcngkKr+Hk0jymDjZ9fsgx9CIFdnakQUmH/vtSXI T4OyToVOD6Y7C2c9CqaTlHR6Gz4IlbcmUIz8ulHxeIQN/hUq4ljM3Q+hHsXSJ61BYagnQ98vtn8Y S0t0iHWz7GJoPQJ7oE/eoMW/ffDiPex1J+Xgy0KgQo+0yagQWjs/G+mB8jH2L/EdUbYh0y82yPo1 IM7nxyz0pKpn6+HxqPT6XVgkQIdWVJqgMJaG3tCkVyfbP+xyqj82mtOeV3L14R6Bp60Jnan1LRkH 7bFM5fKh/tg52MbIAjLAtxF3iXTQtIRoWIsviepClIiBVjIGKk8x3Shnhvg7Oe9f8HzAOlzfwTSl /BuIAzZHIe+wkvO8LBRaRCoZOaqbbPySsxJqZz2KpqcqQ1UA8/oAzEGZmTOpDw074tJkRwh8GvM0 KbbNRy+2R9F0ochngW6EDV5/BfqPAU6ZeDw4qdP3Sc1gCOBbm6GIeziUbyxzbOzknsmjQVJDZEjh LmZG1sNomiyOQtK+BrvKULFPMIzlVVbPsbxZGALCrEHrBvnH1arYetc/+tAtbZnHbUjMMd3IOOJ5 f58DH48HEzS+OxysR8F6xCYojKYHieDmzYTkdV1Y3mVxZB77nKCQgEi6bimt+ODB0IRD8KJg1QLv WVJ7Y7DrCHMIAhd1DuF8x3cEd32VdPUzeD6WWQj9OVdCx+P/2mQ8qEEB2pcV3qP69CDmbDM5paSH S5+f9bzlSgisB3rn3GNxfZo6+kPl+fF1158TgnopP8g1zmmBuKVB8+QsnoVzCNhzx3rQzLJH9elK 3eqx5h0MlQdLPRnBjYnH/8XiwAkwAVN/0DD9PZ5GwgFCOE6DjKsMkCdNyzkmaM28qDCHwJxXWYT1 SNV8vqYPXjmDdQw0FSTice7zIvg0mkWQ3kCjwJS9E93QelSertpceWYfBBcau0mBo57TD48HKX29 PKCs9A3KKDcaiuDTwIQgUc0ysUR2bDNU0QCViaX8nrnJIqyH3WVUVUCVhGteCPyRWx8qnE24xW2Z 6p0LgjUioHj8FAK2Ht8RIc66crHtgzYZ9FSlTNHbxuPREvpmSrFCeYJ7T1p7XJ7GLpMVOipnoOlY 5Uxgj0XhvOxrKCpPLyb1RU7f5Tp9g1+IshNJmWfjJiKssIZQuGodEDaWCtVp7RTQ7RHaA0BQ8uym D4bs3OdakqZQang8WkS/iC5onptjLInQUUgN5sSJTrKhGSA743iYmZKlrQUKX4wYR1SgVnOjigpU HyoQ9dMHkevIxzJ+FtY9GtEE1UwH3Ucn610jmQFJw9fpgxbRODGq0MErZzwehNTjO2KkTD+auzZB UUgNfBO87S0aAkDXCh+TaFJCXyt/PlLFw/K0uj/UWNDg0NdFydkup1gQHijeNRGGVXgNQoPGkzK0 D/AdER9RJCkqeKzqCh7AwptJ94lt8XgEyvPKWZHw0ET32hP7EeKn2eMQVHqQ3epSQqMlC6/PbV2s +jqi+rTAZm1TIqfJ2mYQzpAAm4YyLu0FJl2Z2lT3tdLNfN3LHvxjfEcA7GyyhhOqoXPHs9V6cuMi b/Pe8XjU4vi+7MuiiNHyCQrxHiApwCHZumS9eWkImn+ODV7NADEjCqgX0dMNYRWHYhN0gqEzwTvL YAhyfEtsqk7B/JMo9XTxMOfCHPiKqMHBc7qoE1SyKwidHzxxT59N1PB4dAh9Z62Aspd9i9MjCqfP 2kA4XaudQd2qsIBQeJEI5kKGyRtROG3RYm938D4rvFuATycyeGE7U9ILnIIK68FM3xxrAdEAfUTV aepsns2FFgakHKo0pvGuktX+AQoczwdLqP/CVAHxDq9wm6K4PC3Eh5oZUMzNl6NQbDXNmb08PaKA eok3Tm6GBsczgA8LXQYqwELegZ5fZ1MAsQPOCwrKVp8mrX5E9elJRY/JraZBx3TqRQsKJQQ8HjXJ PONQEFV/HdMheHoBGtyMm3kCk9Yd8JGsopbhVm+EzRGBpws3Vx1ElHHw6iLUj07SlAX4gCOg7AcB WqD1Scq3R9ar3jVYQoi8ie7wwW76UagkAyGDgceDYGj+KuAjPJu3RzZCvEdj73BJQwjgKm9wpDUM JDwbyqmaoKg+PYdyVmEaBoO3TOx0g+U5TgDIdE3gzriCoMsLmhydkK+r4Pn4z4jq06aST4UdDVbA xwrnD3giuc8I4+n2u/y6gEy+KygMp7HFeqrXeDEtB8Rc11Mk6QZ8HXE4LaozXXLUHLGL/qSKdXWJ 5pz/wHyBST0KO4jXcp73nN5aQmE8rdJQ7SyelfWgy4H7Sjx89/qMsELdHO5BCgebZDcnG2E8DX38 PmqytH5nt8ozBZ1BCkex6tn4u0KdRps+2BR11Nc7tSJOqHgigUFUFVVhCMSBbrvX8LeEc0JCIoUV p/yEZi7uTHmiBxU0T7Jf8HhU+PBgCG9HR4J5K0MzrFA3xEIKp8HYpK4h3c3KLVYvFomY18+/xfCq 2q2mwM5wuiSg/SAaifri2U5V/l44kysrQ+UR6mbCMUO4B9VLM0pLNlg4ff6xxJRSa3g8WkK/+qxY kOQVaIKicLpR34NtfiStdAxV0pqyLHFwDGFBMyWbYYVa3Y0itcDySA8ADwZDYgqg9HOCd8p3TjSt oFT1Q8tyq79We9e/SvhpSuV1Ory8bRTiuiao4PGISLa+zulBxafie2xG0TSUBs61W8VBPCHU7n5O 5ytjPkAu1woK0dOdWf3s2wdHT+d+DiGUtnBOo1fceAwNlBgzfXHKZbRSeWCGBWoZdND3RoPVPRJE v5lCrIrHI1Te9yHUqcOS7xaLomkEI4Nquaa/NJxpRyCEZawokEqeKo6mm8oewwdfQWj3bDkGrJPY 5Cpv84VLFncllJe9Oo28E98RnUFiSUnjdbn5xEn15yLF5cScGY9HipMeCqmSjRU0/KafUTiNOtY5 eaz1M1lM1wTNbbwXaJYu1++Kwmnqtf7fLUYBr7ZmZj4GENSE7hUuMuI5T2Aw94O/R6CK74i0K4os XqYPmqDz+9syTCy94vGgjdh+MTgKsxavTs8wmN5AOOZhTMRJXDi3WNcfcUjnTotlbLFY3IO9r278 FecAofjaz4Ha1ATq9WT1dDEjN7EB108jKYsViXydUTDNTgjAeM0HTdBEPMpY8WQ9eDwqnH1nG+il 1HkrizMCe5w4FHWzMa2/0Ua65ftscfU53ZhtdH5OsMWyOtHO+r3BdG+AFKbaJF1xfpZKL5gMkx5g ZMj2dQHloneNKov8pQN5ZIOC6XSWOEsWAJ3j8X+BFvmY1N/uCoqJiITkbUMGd76jSFIlOTK4ofDI jHWGGnnNlCumD34G9QF9RpVeVx2UbYQbBc7mLFn3x3Nq612DDhl1J7v5TYzs2ca5B/tW1Wzz8QhO 9YvAga4fekk2QRHYg2YcsDrWIT2rdRMztMn8kN6A/+gMioLpwU592UTDcPDqfYOU+ya6fE6spyVz 6kW94i19XqtNU6RqRrF0o4/SbNSW5mB4s5T2kO5U73g8ggt9X/PUnmxXdGCGPMQGWSGpbiNkvId0 q8OuNvCZm9kDzVDaQy36yooYB99isDpp1C8FcfQEncr5YaJEJ6XKW0w8RJq8z1AobzBfreRKcbB0 bKyhuuuJS/H4v9AwyjXo1+Bd6BVF0oglwW63XGPKW2oKYvLkGsP4CSvCeowiGEy/g01QgalXpXp9 2QkJma554k1BUmA2Nr6Et1eI9RB/edXsgzWhpYlLn+2Kx/8LD5HSWH17JL3CwjTukUb4H6EMpPGz ajZ8WYEe6FiPFUbS0pSG9oINDmVIkNIti1iPdcJoiqTAGUYdZbQTb2EaCx3f8YdQHm7X5oMd0ucq luDA3hWPB1vswd5zuSEeQDBsMxSqS3fabSwTJh/L64pQN3alzgUpQM1QCPYgWLFmriQjQzcuIVSf W1IgdD5qV3l1sS+NDAWL1nusSOXwHUFhejOGHjyLODhmse1l8jkDj/8XCWU4IBRYmtgERaE04KJw lbSiWaINJotmabli8IJUn+2xEDdtnresCXFw0OuGr1sXfWO2Rg1HCOVtyoOjMHPNt+h/iO+IpF6p ckYmkAZNUG/mdgdeIR6PDiHPNXgis/Cb7gRFoTRW6rnn07YGImwkBSxPQgUjEGpo7fCUXjEPMQkl lX1w6H3P6aQAOoQgY6osaODmbKiDkD6na4wK+/iOqGjGbTlT8sFZZEsy09DAwOP/EjljH4Sk7HTZ GyuKpaHXMaiay1CxEnQjs411Q8VC2RLOUKjqQW2q8+scPjhY6Mz5+U2xdo/7bNOq+xx8ICcgDsv0 QTRjSULOVgT1QPX7vNem4isHBdN0lpTuSR94PIB6/MLkIYEiLcVmKAqmQX8e598ZoX7Wx71NdGhW PK735gqDaXEQ6+o+2CaDKgws1QgWWuhuSPcE9E0I6P3MpyQE4XJ+RzRBAuPtrqEZAyhBWpSaFSk1 PB5dZPN7k+HfQeHfJigKpiH6dAIgC6En72FxpDKRtzQB2MLkYQnFyOmt9nz2wSdojzIzADDosM5z mytfpRocNIJlUjS4gkioX1EwLQeJrftsZ5frbOdKauzHtdT4iu+bbKXvUwheu5UKiZqgkIeIigcq WLaC5Nqie6w7mmoud/1ZcWW6e8fCBq9MJzRcq4ztWus1mVUyq6/IXnp2b3IU+vWygaApBeCgweCD akL0pxIhelU8HimWf1cVoZ5Y6TSoGQoL0yiAr7pdwittZyfoKGEsRKC7bvpYdpoiZ02oqvbkYycA yszEcAqdTQa5csQ/kBRikHr+18vfWGPoZaP2zxR7rPmgU2hUeAn+sKXDx/+1hoQWIgXpmknsKJ5G K2tYhYxN1uHBUMkX+Uo1AE7RjnU9LJBuPvhBPZCPoZdLvm/qFICH1gpiIPRT/7eX9I7i6UYHyUZF Uw1aQ+ukesQAwnYDj0cMl/qVkWEJFsBcbILe42kAzs4u4+1i0WJ3Q4k9uymXL4A+bIJiqxbG00ne AOkRyqM8WBoqvJ4gMSuG7ohlOnJ6uozbRUbZ+x1ip6tyVbVYm7dYO7D3ktuEKO5+j6chOvyrB42a qzgAnKAQO92ARcnbTRN2615XbNmjRSpIaoJi7LTOafqScPBNtmurGwVEBEM4mSe9pUBbheb7D9eF 2dihT4fvCCZoMFdFkGCD0RNOtKv2FoKhHet6zK8VBDfcE3HeCQppiORITRMzG4tWcMJSCYhPbaHm p9AOK9MqekwpnM1H4ezcjaPSLRc4BpRSKOC1Mn4QoB8Yk1jzZw69a0ABqur6zDsoI8MhqSb7ydHx +H8SDSbw/hpL7BA3nQj0SNn4G83tJM6tszwhY1yuCYpZiFpBrGhw8LJZhWQOYw6woc8eo0geih/n HoFy49cZxNL9joU9GApVshA5aAWdW7Gy0ostg8cjFMO3ZrDO+meLhRp50BYa24B3EPZwgkurxSXg VqKpTefnBCuI91jZqr/ufEOhc86cdOAqw+CmUdEDfHbYnNX59Dbw28F3BKFQJo910CiSg+X0Z/pl u37mCY//SwGOXmM4DPqzgiKYB9rpCOCcI+UK3UAzD1MuPz/TMHuAHcE8JHmCnrwPNxTaJ1Dopog7 kJWKIwXgB1RwgHazBjSlsfAdf4gvNVSEfdAErRNINcl3tYLHo7rib1kP9ne95rHDYJo0xNsd67k4 iSxp1rjFcElogkKYh0nkpTvYCjq3egWNgCvo5AsJMRoVYZHNn1UP6XSDTdNdF98RhIokbWSWPjTY Cjo7VVqm57zD41G2cUNFXlkIUR+m7w5L0wnN2GV6gfjTUvQDTp+TyAreRnssLk1T2SwJ8ZqeDvTZ tSddqjIdaygHkSNFr4+zAwvAuRdKtafeNSp6cHN1Jqwc7BqrsFP8EXoNj/+L3YKpXezz1rvHomD6 HHDEdvghlLdhFKukrjlBg8L6nKAI5QGAAOSm9/ThFj3mbpShxiF0QtJCTeWz9Soiu7OvW7qBEJsb +29RD8jD+2BYM1gr8xA6hwEe/5emMkWtkPbly2QVq+v1W891ec6v4qoeaVnRo8nsCpnH2tsz1pyi YFrebCkR+crBpuicOkDJQYgCqI7EnwYOo/nkG1z4ZDcbVogKTPiWgKvJk3p30n3ZKJFleC+98gD9 gaEKP+C/wDpZ7duXa2fI4tedtsipn3Ia7yBG0Gm8TFRiSOHAWijmNJ7CkFppGcNBDV6iBpw8o4mM kBoudhAmgEtSw2tmgiJvEX9se92IbsdpAoLYBstcQaRh62K0xueDtOO2Epncgx1Mcz6bpdjPhXX8 Oh3wkYyZwF6LN1v3dWJNIX7aHY+7D77fUKjOcIyBuPLZHKATI4MF8QO6QgudHdcwr/a2f6hXtJRk 7IKVxwzwpB60of4hR2zxA4LAKH8nZ/TPfjrSOYWlagibQkXTsrM91u12ZFcPrpTZl3FSaIfIKj6R oDb4WppQqOrS+DjH/2x00gR394duaJnbzRPYba/7B4KxUgTABiOVYfWKYb8Knw9O7vmdoQFnZyba mqUowEb1CvnStFJaoqcU6tVrezKyJtsTOphCZxfCYHlb2XBZUylRdItaMRkMMCaxAE93qBRlNsNU Ksr3df9ynfg/1Lu+OwIKnN4bpgophH9cFjnBj6VRmeJasqawaF3Zk04GQuuA5vNggh5LFwjtRNkI uTRNYdV62Pw0H5wYBMYmHWo5TWilccvhJO2oau4vdTjGAOxnBXgeGnChNmSDZqlNiEixopY2n3+f pXpzNSlXQ4vlkhZyig1eECelbhTF3olmSMpFiv44OzwFi3ZcFGtP1vSL6B0lP5ccCk/U0ZO3QqIE dJO33kBDfPbrrTBl1JyiyrXStQ0RTBsMq3eiVR29Z6Xy+YjG6VArgWrY+n0igVD1I5He2hwpI5Y9 0Xrj+k9USnTo8A6L19QcSlJAS18KaDCFyJlWGOfwLgOBDatGDKvOadSbp7RoR9rbRmRpnkqobnAY Ku+f8ykXbvHzd43PR4C973AJgu4VqCefpDDiHlSJm8vAIGt5TmLgvUrlj1b87I6kP64w0/DBULEJ 5jFnSy1hz9NQn3En3CzEV69HSE8myCmUpSa0evCn06CVBNC6SvQnIuDzwXa70Go8Byx6H7dXnVNY wh7IgouVYs/NNK020sBO+nFt85Z9ksIa9lINWwuq7efoRvjeIcCPSVpntlBSg0LBonSgmHiU7i6Z hFd8SVA+EtRuiazowqqg4o1Eaek6GFGGdi93khg8YgmnS/LIoX0iEFJQkHSmULrQxiZlvSZ3yesO GKJCmvgdUgAZT68IPblzehS19JNKY+wFoK59lhNwmzqTCr0M+CURb1rauYYO2W74siFfzIObBoqh g+K40CuBjfEx466k0EIRED3wO0wdtjXr78MH3nw5CZBNPkkRMiQJhD5VA5iPeu5JF84q67Lmgjrs JoWYKqt50F19PPC9ZG8bdEMkAyJJvX4l9UA/LdKdPKcOnw+i7quTz9sN1yrgij5JYdSdpfdVvdLm gp6oZZka0VxQdJZHYGijqLYsEHo+XHxRhzFRnRL8Sqjc/lB4D1IlmNNyvcdB0taX/MEYgveNyv7D aIuQ/0qSP0WlJAdGiij4/+YtQiK7P9stLGjDrTRBuU0+gc27jScMIaAPkk3n/4pPUhR0T1a0T46z fPCVdLbSRrWEzEVoMsr/BC5FhRa5O11RK/auc2CliDgHZxIMGX0wHB88O4Tjy5XP/6u9zwMMNYj5 td1CreqE9UP7WGYm3bdbLelW/cdyab0cuClKYZT29cMHX0lzNRnZAG8NvXz2RSaMzSr6jtRgvrYU w173H3ogW4OBzupZrgSzn6UES87QULH8Aquh5VpmepZSJFndadTVs5f+5/D9lqWUhv3WNiUMuj4o ut5Y9BeDsayn9n9iURAa1eUHr0Rc/EW/QNQsILvkhxIF5HJoqWj9fSS0NnAp5X4iLOl0nj/y+UhN 95f3LdKLp8GWA09FFEzKlzHO6MX93qr5vUlkr3g0GZoqLnlOpHUHb9JukNUT3W+BakyQFmhQJhw/ RI9Ce+Zh6RV73ShSoiBIZfzAwQomY+lMOef55vPB0V38VJKhWf8myOTAWPE8tohV68pnESlNx4uo VElNXTbtNEuRNAgFYGF9W3xw/P4Jxgr+n+DpCWxiorIg91RgzbTSo1lQ7W2jpcS0bQrHP7sHAR0s GaEZ7SWDpXTRs7RcRjcifR3dYcy9wa5a3RR4Rne4GuAXDp+doJLaJMVl7ibMWvLB6yXnl9zOQk9q Ru4KCV9Tw2gQispEb1iOW4e9bqAST8nG1OWk3N2HoZ2kTQZG5wzMfD7KTL6jACjjw7/nzlKMwgah kQBL4PuGFL6JXgPVQjkuEK9WL4kdFlUoQQfLhlsIaBD0XIwnYVMOvi7ObmC0QFIGBvNaUGZ720gY 1Wpv3QcrdkPVZ3ILzcbng8zkl2QadaEfeYcceixSZwbWtALXCOgkJDZJQSLGFuee59BkcUm9Oomm n8Yjpo+WHcWMsd8yKB+84IBjPYso/9AXcKwHwZZDl8UptbRJfn6fJAjhUOqQmhFLCCspsFlEjumZ Cc95+jo8h1Jos8iu0lpNpOrzJ179rLzRioYgNhTyfZJCOLaA6jnfwY9uOJg2tCrZmUy0JTuTxEQP cjHUMNBKWjKdDn0WszSIB9smHFRSWggntJLK5PNBebL+7m5v9W18kkKlkAmIDcM/TNKk6TjZsXmR B5qIIEnDJykMurnPBKDR4O3bc0S0kcWxbntOSg9DgKydX/zZ3XQwEdQv12RvG6Vv7Euii4G6Gxwl 1CVC0Mrb6Zwcmx8QRd3l1/2WT4adnqUUlboTA0rxiDa9O29DAEe3H0ruaZoDt8WLqRWPL7s3CWjW JVO+kWi2E75tsPTaKKC7DPQj8szjZrmKlWK7RQrqMxvEUC036VirWwKxWW8ZlQLy14ajQXd+PN5j w8Umjru1ccd2tVRYRjvDqJJiyrA7cFyU/nyDckH2wdsmJ9I6d7RMUIBrkKR1Que10OGd2k7qdE9/ 2wgsQdkL3JY2GLw/NxPcyinz+agfsL4mCVFA2U/lLfRcpNwlTbg1SZJ0YuWt5MuAAPXbJikElGjD TUJsOXioNKB9NKVelCHsBv39sSAIWrh1UP2QH5ykHHPouphl7jJYnuRgodI5E3gOQhmGz/+XlYTm d0VtyycpirpBSQemxHBtlaVmaaPL/AxeMWjc+CSFYnwS/Z4qBcynFAAw1jlRVQpIqVPKFeBA1NdU rXB4rVl35tB4cdB5gpV/GywISHMJEpDX4PNB0H3NO3WYIQjoz9EdFboHcSUSwgCupF/LodS3F5Va cg2jHHgvev524qvug2O34JkKSQNuN/S/ySpJ4KkVtmVQkdUkoaOttw0mqVmvpPhgZJGTNqhMzcpb aL5Y5neSi17jF7Ikh+6LnbRQKadSn9gkjS5dBCdrZQeXSW5gv5gNW1K6NMP64xiDlisc4sjJAt2V Fp7nTEKPDSqF5McYfmv72wb3m5yZCCTQoEmiRidPlMbtFqJL5nf6ltOJonurz8kdBd1IjiFi4aW3 7Gy1Wury0ltHh9VmKeY+YnrSpOMHBw+VIARUTdoREvKofxn/GoWOH3TTWSpEOtztbYN4Ugx1gbY5 JCu9mdwmPJL4fITB+Q6VULr7DrpDG0ZZnc4yDWxbLJM7SVV2w4adKDHB/faHD6MKJVJ3pPu3BQEZ KklobKM+CTKwdcYZPJ0l9L/h2jn0YeTkQF3/DlbDTXtyu7UTrfL5f8XchH1BzK+2K3SQQytGuPdB AdNAASSsWOltXjzpeR2/3gIvxvw/i2037kwb7qGENGRtVgJOZMoSLEoqIFuwSd7Xw8Pu9rYBJgC0 Rdh8LB9UVDrJzhCGpmW9ZISYvJmJCIGo4l4D+Bz7MUJrlrZbIkdMF3GuZd2myagujZUDQ0a3R0lD svpju3jYQl+po9dK6AREAih6DVQojIVd9NoQXWp3h5aM9O1GSLV9cHoEmYjYQ2nw+X8hbxlXdQq7 3dpbaMoI3Rw0nZOtpVQcm1xTd+Ak9a5sLcUmMtK7VtRdn6j7pDsJbjbEdKWEMtmg0ixSPZSzQA2w UIkKQvySIJ6kxGPHJ9hgDAmQphgi1qyXDEoB+TtUgsJ36fse3bEv40RrUvry/NPyUymNZEtpTcIe tJRi5WuZ4lKDJTkhGE3KcwVAwN48qucs5PU3XKqd4QUNhq2qlP11/0J0TaQhNlis1KVXBSDv5PMR aXR9LSWKuaxL+MuBPaPoyqPz+iVrlAh+1gK2vOA3cOjLuf059GfU2Q2vUx8u5e/kVg2zD3hgazSo RvN1QgAKvapEr4skJ4durxsxtiihXiikwcE2XJJQPqjHmc9HxW6PAzghwIPiXPFZCiWwO7Duc3mF 0uXUWXubXqGs5c5ShC9pIteiqG3DXUsT7Owl6EQHhhuzBL83VCj7D1ahdwRWt7eNrji2TRabSxyc cbN1eNfOUylEc/8C4VCosaQnDAhr3ZDGnNWYNsCNV6+9pWQR+OxpLt9wcdjN2UF6bYOHAWcXn7Ul QBfVJyqXUiGEEpPebsmEtRB9S7DhSF9vm7J0HHQsncBY3pngqfL5YMM9YuquNvJFm8ihUyNaO1Bn FFzy/InYGyr6JKfbrlRu4yS0apyMAHKu3QfbcVg75+bMVKbLoyLbEjrwLHuU/TJXiNUoe7PXjdCB 5G2R/K5Bi6nsWsUi3Wvx+aCwdDGUlB6lJOl+oKahXSMqbwPwawJyieQT7q2ljN6Pjm9panR90B9B JUyItg8WCaDvBiAXK0tovSGcaQNBDq00fwo7HRYwUdknB46N6X+Myr4JfEguKwAE3KSs1A+Uuvh8 EAlcEKUQl1CjffZc7Nm4mZUMMyqCEs9t6DI8YH6CQqwigcC0EekgLrkmh9TWb0P3LKuZToCB3xgi OBgxZE/0kEX/FK8uQVh92NtGp7dS3TV8UBY3VxHw7WQti89HOoffVDca/JZ8d1zo2ohLY9CBU0lc 2wrCgchm0kIWBRQ4lMSFto2qLKF95IPtuJOZQHlqi6p07kvpb6AcJJQ+xKUtiRsKlwLfRhkv0mRm +2DQgPPxElg5Nx+fD66437rP6HeTEGSTFEXegMmeQ8Nc4XHDJQeZUKlAIBPFlF0fFO03ySARHMHB 20sVkgu46AENOCfGYtiZcCSUTs+phzKp8lto3ViTFQIEykXdjhXZBhkZ0gTQFeUHRLqr33Bckeqv FUYO3BtRh2A/13SzoEJi+clJsV0eey3of9h+CyNvLaW8tg8OoEBIidoPU10g+bYI3gguTuTxs2/n RBapOXBvTESgIfeXV3Ny5i2AWTLGOJ+3+fy/gG+i72Dz1me7hRLZGaKHfQ9HBgx3uKzZ1cRnuw6X OTZwZJk7Se0nfan9wPS7SfSu7LXPuqKKBIvpFXENjXNN1K9te9s/4u5zGD2DnUkEs+DnPr8fPv+v hfSgA8u8kxSyJ6GIJD9o2oDmvr1xklb1RuW6EWVg4ugul6n16YNHlL3BphBb/2w7avdTKGFL6jj9 AI9ygW/+tgF1yfRpe/dByckqg5UEOKhVPh8Vcu+ZRIVauJfk9my3MO5mkbIZs3S0tYxkUiVxakyK 5fCJwMoR9ySRAVUhU023sjSgSb6WggDQ+YBAbwPS6z+0bcjIfZyVQ3G2HJg5ZnLYKmnPPhiwG0El t8usev6/CEqcGAttu6dXGfo5gp9q2sTURuruj96S6/4B+9bvjotQJpQVOyG8TqX2nEon5+8noR5d 6lGzdqYnmxRcmIWQRDK+BMhy4OioJhZ43LI3yH4s4eJM3ZwfNp+PoDi/pG0qkV0+SZ/XSKkKKo1f tpFNKzE7SuEIX5Nw/5rWFHiNkyr47qRQbtYEODisO1W4xXRut21iZCz1ZuhVn7gxXdl+wms/r1FS Nf8ZKCUsH+zYxscQ8d8Wnn6ZnQrEkTA4am2yLwwd8iJCWTA5sAwZW9KZcL5Ug5KIgOEsgdWLW829 xkc1UebtZfkAy73QYBDFBOXEIW0toFloTZ4pEj7zF+j9dXYSjwT0blXq7snbJbhxJB1VUL99nR3E Dd+zU0ZxLDdm5zU0qlCvg70TvRb+n4yeHOomTUHiJQCg1ey8BkZYOjT9bo0gbQ62dE7uCRmbzjpS hUC55CZgVAp0GJKVi3Mjjvs1LKo4QDCfJMLY4ADlJYwaJunzGhNVhedfSwc5SKeSBCbnNSLCvkJd e0gSgZBSl/ar9DG1ii01AjE5r/FQxUnPmFq4Ug6+ryAPkgAIgEzClvCuKRxDGw9YAJ8c4Ulfw6EK Nwv67rHucQZWETg7579Nmg711fPnNRqqMmh6ZoekvX6XzmssVA1FIuNX5meiKDE/K1dblDhN+aQG S0cOBlXAtnqBbWTogVwlUumZnjGp3rtQk62AH9GXwPZVo9d3dOoUSa/u5oMxt2A2SZJoPwH1axRU 5VxmkyO8FhTZUrLZeQ2CsBsX1DKLUyRgpOMt/9S8jr1QxpQFaDA7U7F005nc7pkMX8iJWmpn6REz RAWJSYIy4MCZMHReW2JDf14jIJyQqOHfhKN6QwTqfl1ST62Wz2v8U6XBq+lJnEkAoJb9xec1+MFJ DteyUke1ttqyAwiFr1Zur9+xo6+hD2aHcD+KAdng9JFcKqjzBEXiMyl2A2o7NtT5eRdl4JW0yr8g 2lk0jm1QyrfBJ2dKEaado+DzGvXUJ+qxY6clB2hRKjg6kyv4k8UwIqqTWmQomVHaWq5qN9ZrwIMz mXw/CDf54AhtnF+VWWmlOKqobLMBVruRssA93eUgqYD0Gu9UQ/kBm9V8MGrN7rILaSdi+rxGO3zD X7ODmi5I5Zqd1xojNtYgh317szF5FFj2lR4BoMEQ7K8VRjsTcA/V6oPPDtlHVB6p6LwzC4Co1vkn gxZzKV/O6Oap/FpfxOwgn0W0PH1Q4exkYsRtgDWAp99nx3U1NqmQuLKqsEZUYghmJ9OgSPhZko6q q2eh726zg4aRiUZE0Y6Ea6oJh+fbPNuoSy7gEuBo3c5pQ8XwztgRtXx2dByTTYOi17IiXhVJ91nG TLxWvYkX1ISrhGugLhZeWd8XOoLHuknvEyE8mB6Amc5pU4UMmQitfky3RllqQTt/X5JIFCobeiZp b6VnbyUA/BYPQBw8lGAjue+c3B06Al/p+xTO+D1WxtHPRtCgmnFxbtz5+LrkGNsInomC5TV/3enQ JScbRRMURcuJviDdPFJgZvllcOUqmbB9cBZNGC4r2FFKMfNFzowEqAf2G5gPaJkWMrLPwXO2F+6S TXo/2684o/iywQoq8vaWP1F3f6Jedpvki56khY8Hh7M0ZWyGkCc3Fqk1Q+8RMwCPRPB1x/KxnqwG db7KPmPVC1MLZmizt1EFHOLg+eg5icE0IsAYwMdNwZiNX2gF3xVAAs+2lLVHMXMn/ioRGKxBWwzB vWLmhirZe9AMzsP3BCGiaS37+fzOVsMBTTSInzYocVyI2pp+BBGaoGprFDZ3Mfp4/Grw0Odkayux 2Vahldyb2NoAsbG4wSPIr6+kdw0yLiphL7r2aPB8tAoxcG5KtDaiQ0j+ZfDy/aF5Cy6xewi9B84o a6GQMQ3NMPMNDZFj2wpaELr0Qms0QQx7xljJB8srzuo/R/RChflkGP1Ef1VTybJUa9I2H01bTBWN 8BCios8iqpiDYUETBCHxdVA4fGepYYfm7xue/U7U/X2GouAZFWTYApqvwyruIgcnOJuslZCrKmd/ j55dXgwIGB9sCZ20txdVNEAvnlIXAc6/yHkcQGKvsmZ71/cZEs8RJtg+CE40TtpfpZ7V6uedolbF En7C5wzAaK/0LeC3hgE0tWhdjH8M6WiRMcP2LAUPJuXBWGONImiVNUSs0vDkpuf0AUAWyhlYQUu0 WVBAmItjz5hVo7iOUQgtHFmukjr0UOuE0OdeIJkZ0m+fd3oac9v6tcngX19H9uT0nZ1WxeMHxMrM PvuuzpZZ24Bq4PBdoGwURTtOliKQHDwSmsgk0VDFBIG0R7LMgqkZUNMQxH7EDra97PsMjUkZH3Ab bNAuK4CncJOsPj/v3LSqPrZmiJcWjoVyixvv1DQuIaqvNNU0xhzXibDtYtQ0eMKYqPo7Mw0vT9xH G7Tf4WBLCObHu7PyjmPobCd5FkIVhPgWtVfnVyvjPZLO5oeaEqF7yUXeoH+zBoEytUOhJgqltySt /Biil+W8sVAUS8P1A7eKJghyBEYAaSeHM83VNVZ3FZ8wmB46heYdbILmPGECK+8oHQKuYAJk7M2f u5JdLkMRz6l3DSYIoOwz+YyIRnLM/vmOnbaBh9bnnZLGSOq7dgguXJHoPGuHUTSNIj76bebJ3Kl1 Kn+i3V2Wf1DpCxP0Tkj7qq0KsN9uLpYhlYAIkaLzgKHBjvGELLj5kNBQRecqZg29a3BM0+yzSJ2f g1bQbIViMeC85s87He3XFhMVDGSFtpIHQ+98NEzspptlMkXsTrkIadEKONTkZmmImHc6GtciO89V rOu6Hk7DPvnYhGk8AOMd7nRSE0H23yjKSPUeI+0VvWxUnSeMsZMkw8HqZAMi7ZyjNj/vbDSc8+n7 GKIoF03rNUNRBRp3jowWBIulYIAyDpDElHHQJUwz9B5P34R+Ue+Zw+3Nwxx6dFXKTh7fySLuYL1J nG488eKgvtE7F83KcXQtmT5YONQ6jVzRom2fdyYa3vHGi4wNqbi0/Bx6J6KxlNgu0RqR47BeBhBh 3k9dEEm1bRYF1EnRUGLiwcHv+r7OZzYVhCaQ7VRVPycrVhs+lz5gBoShkMg7DQ1fQgZxk3N1u87V FazLrF575uNRRJ1shthMRde1Vo8X30lo7FxNVj0MNAybLttltdxdhgxKl/07B427nOlqLnfwlAOq Fx0/Oi77c2qr7DE76DNE+0GYxncZqUPvHDTuMmIXp+jD0+nD8AHrJGtA5vXzTkHDdXvLQsxw8ZWr 33MoiqgBH8Dvc3lN0Rn65ewMnyEmUtplUT3a7rJMYkzL+WatGwoScimqMPoezUBp0jGAHu2t1hNs +nnnn1VJE6I72KcPNkEzS9oNCqOfd/oZl3n6miB41Bf2wDVB7wE1jiEc1P32UClbahS91jxrXU7R eyefWcpNpd7tgxc+plIC3mWZhJci2af5w5szQwD+loaWXvb9GFoy/KxsaKx6GxoLBACTVd+fd+4Z ftZcvg5qVilbuQd1GFGzdtayWaVlIPWFD8LCtbR147O1hN4j6kyJt5Oh4ryywcMh1IxhlMPa2ZmY WmXhjLoeart0vHJ5laV3DSZoUjFksZO64e9yfZl3p2XLWcj7804842XyHTCCeQ+hep+hsDQNMN7Y diajSFTtGGpywsAmWxCd1gy9R9QuG0JGqg0+Q+eTzobYBAmfC+xE+ZqhRW9tZlJeOxtsib2zzqqU Gkh/GT4oYDwhgqz3Tl7cP++kMzb/xlfailZ1mfNusiiirszrax12Ts91oyFF1OLBFmuKvTPOWB/g 2qEdtQabIJSFwOIhego2w2DIQ7w/ExF2dtpwQjUOXL3rH3vs3JJsbXDQBFX223D0prw+73yzKhOC 78pHp++9N5yjiBo0JpgxW7iIQqYFQ4KUKlwszhF6Z5vdiJrqCzZogsD6gXvopMQD5BzzUuyNIis4 w2U/yClkVp93tpn1tkDnEHp6O3oal6UUlCEp9Hknm1VRT55jGsC60ovf9O9UMy5bQKeTmeoOmuXo mIaVrh1CvaJmhhX0zjS79elZeBZxsAmqgChWymlC8WxPCUF0iCVm+pIWYzOB91L0rn9N0Frkd3JQ KLRKUjUfkejnnWfGU9qrr13RImak3RmK4ulGvEufxWaIahvyT9m+x2D8ZrHQO8sM67/gOOmSMe5X xrhQXBB3mTl7n1UtBXaEqbSw/DKYIeX3884xY1LWWDRDA1qDLSHsXFV7Ol/xv5zSUMwo6Rbw3xlm Vlceg06JLOBLB4MF/HJ5r+dish7QO8GsOhj4/x5CZ9NmUIykggkuWG/qxCJdhFljxu1keqoUv3jn l1WhwmFakGhhQI9d4TpGEy4FTjDl884vY+GjfB3TMK+t1LXRDEXhNDUdel4mEob2vIHK1/QuGVIs w0u/s8vYP1BlmuwyDjZD56pYpbQpTHlHs2AyGABEDfIDt+zRmbG+M8t8fs5U9uWD4e3OfqvWNp2f d2IZQhFTdtyXMlUIXtf0vMfSuBmo5GS9DEgWXmgHyZicnnNy+xkUVafT0A/Nskf/LntASp10EgrN oYKiwhlkVMH+nCu5+QURGp93Ulk1QScK1/tgEOBRl5wHRq+fd04ZVkL+biI2CUN4g+OdUmYAhlm0 avCnNN3PUq61RHdk4OSYsL4zyrjDMuFku/rg6djZXTtRyAEuFUguJ/0s0a9uhC996Tp3e9mockYh B+iW2qDqNFx7GUujEvd5J5T9brMS/wKtRFDwbYqiYBrg0tHT8vI0FcQM4+HtoJMEuBvhO5/s6bOa CcagjMMkYxoNkgJXMukVr9aUsWJBoPRLSwfL6Um3/bzTyViBp34D1TEaOQisgZ0w4uxpCRafN/28 08kYLPo5zRoHVPzbeI6hKJwGoRAArWbB0OjeBcrkesgqrTrY/p1MVl3FQeIDGmyfoeZd6YiB8mLB LHW5ewPItMFgeyr4jBbfqWQ8iKhWjPzxDI1BFVOyBEiA9Pgzn4+S1u+NNugfVW64GMXTWNrSMFPC 0a+n5a7Zu/UT3TPNUFShhswQZMx5IHHwXjQiZ8BgyEaAnQfF+NeUkEP5wQXji4g4qncWGeMScqDa yj54j6OYw8f5qT/vJDK+Yv0KF+mT1G7Z451DVsUmR+lM5SDkrO5pWXj8IffYieLZxCiG8TTv+oZW lA1PI/Hkz8gs0IuGiwqthyeAYp3XC/XdtIZEanmnkFUJxKM23YoGl0w7iR1xXydIrvPzziAjUs1n iKcW2pLQSLQZCgEfFXc9XK0yVK7QdT1/IoEMNaJKlauGcnfFXf/OHyMSjAfRkkjxeoyK0K8BPlrE 1vNoMj9CaPbD3YZdL2skYlt/3ulj3GRkICKBt0Flj3MKFRFVT2D+eWePscu2v5cQPowq3JqgMJ6e jRg84aIB3cqmv59lt7cIc0XrihMU1adFj66p3MEn6NwvJ9USpRVsXZFZOgzs+kL4iTPWFYqxED7v 3LEq7xEgPXL2wVgauS1uMogUfN6ZY0T/+BJiggu4QL1YznfimIeLbS2RxVEENOA44G2W4E/AwXQK vfPGnus+EQnMwc5pFCXmRnWl0PNqFt1lgEE1SucysjV5YhIQ3oljhKsKbraTD9aMHikxID4/cPm8 88b+D1KaiI/c7yYL69PnnJ5pJLdLS276eY4SJ2isndyy8Z01Vp1Z13F923AjxhNuzUErpxN6dnJj mJOdH4Pae0iilLXSc/3zThpznD0ihO2DIsZzPTZBWs4y/bxzxlj//4bEoDAPZSqfoKg8DQLCefvu ncS+nIYwhyvunDOFLY7Ez4laHLjIeiIdgYNPEJK7QptoZBxtmV8YbiVA6uBd5l5guDk/73yxy0Oo lFfXYIWzWSXYAXrg550uZinvk5FB4KrsdBdQCPegSEOerh2TqsPySvHsA6x40x9454pVl44BGt0H 52mcG/VEdmiKnyS4n9XEyiIQz+eD8NfTvZkzo4zPO1OM21glxbR80ASdBKcopzpHweedKIYjrH7v MCBe65qe1L/TxAg0Yit6W9WjT1J8zVb3gs5QW9YOi+NpVsx2Tz54fwO0+dYp0zTXSizNIeRG4Txv Zn/3CCKw850kVqV5T8fhO1hl8SStwrOc9f9554ixV3+biAwKUMFE69VmKIqmO0jQq3FeiK4qFkKf FJm8FojIw3pYSX0LgdNcGJ2i8Bq8OA0g1VyS+UARTq3YTLFVep+lm7WiHsvvCC56QYOXYHnLYXkF vWyZV0Psq0VwD5wTX7hFhKTlwj1aGEyfqZllFj+kqQyl0uLIDstDd8+WUFScTkVBIl0bWk5XLQYK qZAZaKq9tkIda7iCbXXKc17XkdBO6RDvQbGYTgNiDV6+n8IoIdnH40GrvqSvUwh7tKDebZSWMJqu OIVk9kFk5/LSoiI3U4yrps3U36NphBk8nuVGwMHqQhntmemlxQWDNOLQJ9V+OuU5PZjurJz1KJgm 07ARB2CD8HXnsF1WTx0Vj0fY4N9LqENq3k+hHgXTYzMLSy48SIcwBtODDCUJD1JKHHusR2gPg3RW Fc7qUzgD3Q3ez7RFBTG/qHwPvniG7iRiMcvHJhUrelSdRshfKyQLfdAE5ZmkzVjPX+Pxf7GiBpNb mGlsz8d6XJzuQOUttyBKy62ZW3UnAvn78B7rUXFa+VhdusSXm37CghHgtSYvq/Mb3glaDSc/O/d7 p+YmJdCMzcvqdI+CaQLwK9A7zOnJxWO+ARUj4lnODVrwfMA5nOsrmqaMP3lINkch6xDOm4kirYiF 1mhGDIdJlzUUT7BNne/SPz0Kp806NpHDwcFzVmjq4iBiwpHPNmbZA8pMP501RkaKhjujWkWPwuli 6Nd5ByvAYsp5FZ3di8cjWub82maMhnB82QxFzEMAwqAMp3IQkDyW1aNGMbx4Vlg86/ycaBWx3lFH 8sGuspoHosVpJ3WhbjUc0AEMgdANnrxKFfauUSe6KAwi7qyiqKR8Az0m/PHckwWPR1eZX/aFPTUa RORb+OhhQA34a3W1yvO7TW6IlmVGgCkCANGmKOYfkuFC3J0Gv8x6GpD05FE9cAA1GTSTz4AlTOyi TiJEq/iO4LaXAgP9mTRYSnZmWdYMBbdJFFGP+d1pxWF5Lta7hsIaNct7ZShVHZWtB6b1qVOsOlPJ oxuAuscAalKkGieIg+M9CIhZJLpAE2YU4oM7JOLP1ba4ny/eo9jLRpUh4s1q6z4YMA+dODl8jInH I9jZN8Yclo4QiPYZeg+phZg89wIDQrgO0VAN03LWLY0HQZxflCvkbR+F1FtntXM1+4UMdbSi0a8X qOpM1mhyiimklOH348LeiUZoPapQmzfjFMTcJZ/zINVRN3ieeDzI6lv6XkIATLbpSVmPANRYJ+fw YSf1BEaUlOQEUdtI9pVIQpPtsQjuIac4eGxoIJ6ZuLy8igwaqOc5V6O+yUYExuMP4hh+DOGAwXdE 4RDPH3QfbdAeW3MnHtrnWtx4PFpBfpUxRc2gMJd907IeF6gREOU1rXhGBQcVz0ZbVjxrdHjhGooK 1CurNPQMfgzB14ENJkwR7KZIg4aQLljd1KHv3mzt1M/tEeLDsMF41AZVqAecZ3gXjdTweESEHl+L COB3N4slEzoKqlF7H03eJzD4UDWfmJhVr00cvBsZVI+oRD0pA9OFxufgkI8JO0kCaAE6PleBGFUZ QEJscvoNuotO1rv+UV4861DIvOW1jxNfAS6s4lnG4/+FDU2VflS0bIKioBqKL2MXx8RspAeqfazm DparMzonVzyuUPO2z6X44Cf1PskqfTGxhs6GbYRQb1TgWXtgOmppGS4kfEfESGS+OSiYyMHQr6OY uOS50fB4hO0cXxEjgoXaLyF6/IWgPmFaWt7kmNXZUt0X0zrnlNVfR1ShFuwV+o0acEYMYmJ62ifi ZXUR+auZNOAcQbyGnv26dz1cD/EdAbZTB9Agq74MFvKwghrUCxkOnf/D41GP4xt2VhY1jPwUGlFE DVQc1Du8TQYtI4MHk3fJrGNmN60eUUQtgZzOcPkMJHJggnIHueVss6qGPVxuFFmiq4eaUU8XEYPG L74ianHw8CGJSINVPnpXp7WccAePR2fQ7bQSP42+1HNMjyig7mZVmfwMSpZnnNBuXJbC7JbYjyig Xlw6TUhEDo7Lg6TzHqKN59qBs8IWo5YH/LfYS/EuEL3PR1SgZjf6LBZZDKMhQgZPBuCvEZNw8oGM 56M1dC97Lhh6Cz7ndBhRZ/l3WZdjevqRsRtsuiZA7rbLooh6qVEmNcr6qFGmtM/66qxxQ5aw0wcO c4TDBFJSDeGf1ajJrB8hgnpTQAY1XBt0ToPoyOARwlB4PDqGvA3Ey550qYurGiGCelMqv7pUfnce olXJifpIkDnTBEUIagmZ9bq7D7aIOjixFG+kuzAcl8T1oPYSQgDUC61P1qveNVhDJNUP1fLH1VqG xYkushP5DzwehEPr+yJDrQjnuk9QVKNm8UOqlxIPzMnxDG24FxzMkmyCooBa7i9NDcXWiA7InCA4 eYOqwIAaStVyZqDOLQqRkx7VtsnOx+M7oiJ+JvBMXY58uxxtw6ySZ+/aeDxqk/0unxGefG/6MKCG eEUvprIELdPlcIZerdQIgwyj/Y4woDbxgdp8uBVYiMpkkaXSBoGr6KaHtCEwutDPsJN6awmFEXUj 4qPIfDFD7kcQc6incMcBCv4ZYZH6NoIkK6RO2T2Gwoi6cRGZ2OQYpTtrk7BgLaJR3Ol8xFVqur+1 dAcnlK0KjTT26/NuQC1OVtCwLXmh4c60Ov6Wdk5YpWZmvwY9BDgoLcvncODVVmcpnxFiPkb9niIo OSJOsymaYZn6RKkTIFKLh4St2gR91OZ8qeXwsxnq4ZHRes7h7INH1JALKUrtoapw7mSaDJAdhusF sBNHnzHnmCHmo/CiJyxdg0XUJ1XqsuxoDY8HM9R+SVh03qYOaZhRRI3w7RyQoOshce2FYjlZ0pNJ 8I+Tp5O3ea77GZISNxPXpIMo3ZQjZ/orowAI1bcF1jjdqICBBir/B10zL8LimsB3/FnHz+IpZOcp nKQgD/6X870Fj0cH0XejY1D16aLMZxRRU+sHEkkqMI5dndiaVKZexFUNK8HOmJPIk1pqOhy8wJjO 3DVI85I8DrwnDyKaCKKTSEi0EVuzvesf1Ghs2eqD9thAA8CYvxWPR4S7X+pmnSWYcvdYFFKD2z4q JWXZb803a62CnlOFiQqt1KiKi9REnolpyMFmKJ0L6oQxANefwO4kaFK7OL/0br2q+nCj0d3Bd/yB 8ETFa/mgJQTbTPXKZsp4POjYz981aiyheZdQFFJDex3GPmoAwT7DJ2gt4hippoxzXBMUyuNVkTaJ AObg1TPUzNoiu36fm3J2hdSDfmvn/7FFaEkHamD4jmCPsby4dVrvWz3riPjZboWcAB4PmontW4qp m9mXT1AYTwNXtco2paG5i0M+Br18eUpnHrLcYxHkQ6nWq8zZyVoXGDWFvs3FjagBB0XIN5AiWLhI AOyM4ukqy6CSqw+aoLmGrEgBb8fjQbj4awXRyWre8uKMMB99EfOxvMvRu08QfA9+nF0/rMsxowq1 18769sHjaULzyPlEDrbPAU41aqAeAEH4IevXVZSL3jVYQSt/8RRycgGLk9Ns4m1RvMTjUUp2MR/0 MBPE3DtlM2QkkqdAnjpB1HNdgHDLV+MjLSudzVApT+onQ2nreNLWs4JOnpnFSBxpTdO+p1g0u7D9 cVHwdw0aZUtMBXrgjOuBA1W4tFU623z8X7Aq+eUUELXuEoowHyhJnnusdGu3Pny7UdYwSEPa1QLq GQXUk/SMhnaRDX4IgaQJ4wTAhsb5z6rXmbKgi02RXqtQU6tqRvE0fceBgLmD4c4yHAn5Q/eOxyPY 0Dd0kQJs7YoPzJCQCLunNbqKQNAYv/Zuq1nhY425TeJjhhIfDACHaovjqS1mGow1ZojAVVVAbHBO yeqJrIx5CYn0eZ9RME28/gki9h0sJTsZMf/LyYwKHv8voSKpHLBG0gStKJZGbebEosNy1rmcyoG+ qAved6i3cAWtCPIxivHGmw+2ghYkZjYbkQSvTFbu4fkCTArceuaFfEh9e4WQj002WSb+jIP3omH0 8UOr7YrHA0Li/lUXkkbWbSSusDwNRmJjFGsiwTYtsPPx4uL5DRlsaIWYD8l1FhlyFjfkhJoAj2dS Dzc8daw8Xcmaw5k1nvL0tHeNytPkSYmROB5GIkpPggefgwmPR2pe31KvvBTz1VxcocR0p2CeadpD E9fFGZrmiqRfFB81QSHmg1xNikxy4DJWtnGSPfwQBJ7lPjr14Jh6FWh8QybLG62IIvEdUeEskzc+ kw+2xyjlqGB64PH/gg4G2xkKfj5BISGxIl91wgZ8W5wuZU0y5qvVC2crxE/zlK6CUXN4so3VHZl3 ckzqiAJ4huuGjMl2DbhYG8d3/KEH12pPd9AEdbRvedGfRYLHo0Pol0Z5lxyIT1CoNL2pKFjcyK1y X2Gf5sRCyOQhlMxQYoV8RMWIXULc/Qpxo9jaJ+VOmK+eiaT49kIxtkE6k61BXWObCjErwnvgJgCq WGZuyU/p1iFXp7v7JBsrLE7320TEc/xFpeqVsxUF07R0qNV0/0ctbVqsKLIKZwhahjZDsbwHYQyb qDIONkO4vU6+SpICdHH7Yp91wE+8c9lDys2tJYk8WxHeA5ESKvf5DoqmYUfBwhnySjwe4D3W5UUj mkZtE9oiNkNRNL14kU3jJI5JZrIusrLdlAQtG9tkYTRd7AZLPnjCeqYBGjNss568AA0hHNOg/pNS NZ+iENTL+R3BBMmLpFOckm0lEYGoU0i6XUoNj/9Lq0pNsoEu4r3po2C60P6HMmP/D7pncm5dkrrO 2m8wu7NQaIUIap3PiUuIgwfT68TPDeka9dzhNsmElSgsSAXLqWhwBZFZv6JgmkJVMLNNPmiTQV1Q ioInksPjwTG9v4WqoHtUWWjQBMV0RKSMa1u2MUu96h4yKaNQVU1Wvl9/V6fLIomDg+0xrKdz4A9W XmGWgKyKUl5JrjYZziTqs57AqellA2FTylHSZsEGVYWAA1Dl9RxpeDzq1P+iuYAzw4BMMxRF08g0 x+rFZujsAbe0ybSEELqT7va86WP1ac5QYWbPwfdYO8lppnM9IuikfOxcCB2nELjK8Bb0WGgMvWzU AiJVqspJsl4nycFT7YdtHT7+PkPrqgxJJrajZeTn9I7CaXTWzulssODzVXcNlXLxr0irNEM75iNy k+VRffDqPYi/5xvsJltJ1euUaLOBztD/tpPeUTithGwQ4alBS2i1ugmiR0qMxyOiy/cSgpp9eWr3 +z2aFuhs7NY8Y73sFhQukmWsPTkaZof6HoyFijJ7DveqJ96VSFPq6ZBMhRJvhQgJv/3eY9S+3yGC mq0fZo82WGkaa5YrCNq4+z2ahvaw98gYGKJiRsadJihEUHdIURbzQpyyPuMKmpLQIRpmu3rFjhHU XDopTR/8mAblqqH3gRWU0IrGvyRasXDBpcfKDnVxfEcwQYPshE5AVe/X2R79a3HlEAvtmI34Cz+N XmW+F/2OomlwGAfwQlpBqzvPF8ADTzcms2FOUBRNb+GEuljj/Ys1jqLZKmqQnXumQXcefXrwUYBm ZEhi3Z859K5/i1JS3ZSD8jHUZNS9mXvi8Ug72O+xZgkrNY5sgkKwBy0jyYiQr+ZyAXNi1rx07wLm O0RPS7Xz/0CD4cx1wpSKKiI+cmWZmCQROCC+93UGsXS/Y30PQvAnQ0UOWkEDVS1GMiczw+ORvsf3 IU39gtqcCLRD65ZG6Gkzu8gpYy0yvPaeNkEoiZqHQoj06JKdFlhqzJuPnd9qP6fEIHb6HIq06oRn MnYVlRPn09tAMQLf8Ze1zVycIA6W0Z8lK/e6E0Xg8X8pwTGQRceu9avjtSOoRzrHCxjL05obtVj8 cw7uZntss0+sJRRBPYaEFqchhLcH0wmeuGdaqky1oP0hJEOhFNw5d9E9tx40AZP4joivCQgDLIJ9 sD02sgQe2UDccWn6W00QMllfkdAO5T0669Hdah69VeeSnePVTQIapRw5QSHUg1DN3todvHa/UfOY xeH3ner85zeBeUAXERegYadpsYvvCEJFcKpaTf0OtoQwXUzHUh14PMg2xq9sg4CufvdYWJlOpHBU p5KlYkypE1TwnidTqkK3nHssDqZ5PMtulYNPENjCIw0FQhm+cjRtxWlX8II53eYGdzW+IzK5oSFr 0x5r9xDaaEeKc7D4eMRx+b7GFmkS9V5jUSzdaORSkhm5jO6KJ5VOqaZS1a6RS4TzsM4qmkk22BY7 i6MsSpiBa3eCdosUcWUBBvuD7ooHQv6uUaQou8N+B4ObQSlPqrhp4PEoX+3f9zyyPmKY+KWmDfI6 Q2Rp1m0wD8INlbAOr6CBU+/y3CkMpsVTnbzwRQrjSj5HM5TyKGQMHdlzJaCANCjL06lfV8utLFL5 n98SuGy5NSTF8Cm4zay+02KIndOWJz8gwnb6NGF+ofPZ9u2RiWb6fgeS6EK7jWGOozQbP6lnZs0V bUQI0slsPMUhtei8JG9y8Gk6eR/M1FxgeQA4faYJDWlW5YCVuDX8+7pRs56LSHwFDso6ejYNuvPB jc8HacevZrSMJst1C0ihqwsI9q17s/X8RpIX0Mbu3mzFQpfjRApB1E10O2Hs0+Na0ks5hzZbm/Dx PbcPoRZy3UJVCYfX1TKv9rbBJHERpUW19wThTXLlzqHXp/CAY47FDwgCo8v/ZScGC6HMx7kkhaVq +MdPyCtaeuY0+3IjbroquHVJij0ReRoj6bXBUbCgeIMvRYMpqD/QTvMkvCdEgnlS5n7zDNZfN0Ix ktxaqMo0VcEX+a6oIwQ1NT4fAdC+40c0vE5K8yymKMKGzBoUgJqV0jo1c1Gv3iu5msVJgYqfTFHB eiQBGecdvFRE3nSRMH5HPJzp/ocIUprmaDhZqSjLYjdF8A+5TyyoANhg+JgTfct2dMNcIYX4jwd0 zntRCMVrpJTCojWMgqC/bzA0qJLyZKqTjDW5vBAYrmkKq9ZdFkG9+WDTtOGdBI4Tpmmcy5IlJejy 5p+OTGB/ycQxCGA/6z2kE1Jvjjtolhr0CeQ/mjaff5+lmr8PJojZNFAnfJJioxfUiTJRnjCMBixC DKrWqykRzc60TzsuirWn8rQ172DH9wZFrUOTEoVr6J9QXWegWgPY/g92gctVjmlv+0e+duJ+2pFy 0IbbZx8L9ntSHz7/L8q0UDVs/T7HUqj+kShHwC41oDIUqhFej6tB7Y/loFh8UFQVkc0CF1RxTzrK 6ZWukxY2C/Dm3ZT/SSwwndOIEGJFSwUbn18ScaaZ1HJhYzAODJiE8qVANMznI8he+9pvqH3Wfpto OYUh9yDMyrAOYxX3kgQJYVtpTVo4WkmRAkh1ZYvqg8Xccy8wIORnsk5+IsuTEzlNaLDLQsz19OSE nGJ9apKCAcyyQSsJUZky/9Emnw+229UnwHyg7wisyp2kEF4N1xXYTBkghIhceXOp/yhvLk/ccgpr 2KTjldKGD57aFljKoI8K982EXgdZr5W6S2dWSMejhneh0DS/JKofsdm42ZTl4OjhOblZzg8y+XyU 3Obv3I0o+Ov7m0MXRVAwzm9kWHbbipsJ1DZd8eL8/rqvpMBGEWwwkhWbJJZbf9JblEQW2irQHQSm 3nw6cfJAJgC0PJ1JJdE2Ogc+ikaeBh6k+aD89lwOhcdupY9iaKQ4ttdIGH5SpO/iP3PopIjt/SWi a9Kw9CllO8bskdedpAgYkogI6Z35KweDoUP17FzQmXQPqDTiAERjG1kz9anqePB7yd72L+LiqJSs 5mBFgHVSaAbN5xfK54Owu/wi4ZO+dgVlcmymCPXByd6CSm3TywCNkvTMcqGqaF6BoZviIp2hN1tQ j5QDVktqgB8VzVaX7yIO7sLqd7kG5ChH6UuilpECJUlYToKdeBM3MHh4cNfB56OK/69yJKWyH5+u wFARrWt0ZpeVAs6fqtUj29mxJlgN8ObwSQqDboTS6HxXH2wlYRE1ihKcSUKV0zn76PdT6WmnK27F 3nUOLBWx3eiUTEdWDYbjO18o09uVK5//V3ufKwm3xnyqAaGpIoK8MZoLw8M1ym63IgU5lf3T3W5R Wdu0UBsLjq25tMxZSQjfC+WzKyR4em8SBcFNhb4jtZivP8Ww141kQUTEZ1GgNO4eqaGmIVmQBGfO 0Fix/AqUQJIrMz1LKZKuBqp3yAGdZTeqlnO/Zfb0pOBU+11KYchtRe1WffCTG720UUmxOhfd3LIb SsgKKnwbINXsh1L1t40OpUFAujSsJYwAiaKOMhyPlNwLn48aSL9s36Au0G75PwfeimJPnkmq4g8N HbkCXqcrL9OhxaBoMjRXFIEoKy/JX3lJhoUybwRgaTZstSj5SY1mzD5FUi9Tr9jrRhUTRko6lQTx VcXkJH6WmJTN5/+l8U2MJGbzocjkwGARBhc0UN5qYM+03T6wQWHox6R1y6w+S5EfjDqR0Mzw4bZq Myw5exb6+uwI0IcgiHR2KNAXcCC9wgXV3jY6ulndLry9azG6G3DQJ1DlqTTtJYOl1C9wjZiRzUjg WUth0L1EZDRhS0kumpOpA0VPzFk9MwlcFq/iFRoJNnjB5OQMJ/8n+vGESfADUGYCxvBGoZvwDUty 67DXDeTil6yUJfjdvaWNdqekeBCV8fl/pSY8p1ES3F8BZQjDBk59pK0VBO86h68hNrMkl85wKpjE VotEjjQZBzWXxaOjO5DGSakJyPtNTVu6e2CJQR3AW24r2dsG+Rs5+pkhkwYrdy/qGZPL2fh8kJqU 74YA9aEflYccuy2e38agVgrRNY1EZRP73o4/KtddKId2i2tIqln02PXQY1E/hNgnKwHnMtsQJQaE DZ0Boh36Y3KmMCD0W7SmCV1iMVisxLSBW6gXrKTAcBFhxK9YCbXRej2qcui3iKvtBOxTalcDNltW epO7M/64znnYfZJCOPYwabnpg1cCUCqZtFw7F1yvY8joDERgAD7F5NVKWnKfDg0XSUM/wTILcBxU U4JfIZlp7aRBfD7iEH/XlNikTOOWS0LLRVhLDxoGcpKm9DBIkE2U/0YXhjIXNklh1K2VlNcVlPEk F332XWiOS+ndrUMJWVYHaWZlB0MCnmxv+4dQYSvsSzVGOZwn3KdTZqqQFeIHRMD+b7Y+Wrg9p2cp hbLWCxGlcjUiJrYfSp2hhB1KKC7yUAp8FxV0UWo4++Cg2lGAzKRY4flvHRws9DeAQoXYHCTaxk1z q79ugATIcuoeQrAP23BngUK6mRsu6y2jWoDPEkUOscvztYDNofUiYDKAaVkjd/JqZZp7YhyPu6G2 bxFl4L3o/p09i3Sdr43wufmTEP6Ubt4og7M6x7+hWD4VntTqnsveNpokQmqrUBO1uOFQO2coe0MA rPD5/6Isiyig7Cu9m2P3RZbeigHYUDV0OECjKaYQJbgFlZyE9ouUhj9raN/BJ+lcBwD8SbEQklU8 uM6iqcgMcGQPd4aTpGMO/RcZKta12vRBsVLfsLnGz91r4/MRFcvvN0RZM/3yssihAyNk32bJFmED W21R5Lmft6UpFNfxSQol+boMBtf0wdsB/fxPiJCwklAJ3aSUoLQBCoQhhKRjJBPPHFowklPSWHi3 wYKAkrcCyrwGn/9X1M1tit/Mg2LPoQnjoEQGvYIILFkmt5JRS0y+3cib0iTFQteSSCcugMOFaZ9L utKbFsrkPcmmqDDjR+cbhAKbJKg6622DSWqqT0oRfN9aAI4isTpZegttGB8YMo4fljnTVeHNoQ9j L2wHTM9yW+4OLpk8zgkumex+d31QEASIrtZU8G5XyhnnEU7+RE5WO+ExYFo4k2Sjx1rADSf3srcN 7jf5w2W2qjlokoB+kZNi43YLhUTmryyXLUDajNosRUE3OSNjei1gUGyKs1QViRNhkvadpb/Jj6Uz NeHg99u5s0/QthlPjpxQlbmlbiQHrF9Ptbxrt7f9A9BeN8H6GpLV3qCtzMJszXz+v7BGUCmtfd6T OzRkBG0fd5pkQ6aWrRFEkzW8d+7J8Mg5dmQUYnvIQWY8OqGovJx41fZby1tgL5zpZ9rOkv/fgO0c OjJK1ahuJnEcrIh7UpMhy8ox+fx/Eb1uBIQ9le7Qk3EL7LYMFACfXjuTak0OdoMYts9RFHMv/uRt qQK3Hml5pDhYQawEnHgIys7E6uDMpgpJXw8Pu9vbRgQtVN4qEZMaVFTaJ66XnG7LesmI4/fLRQYh LvaQT1KsfI0W9+7u4HDthyrI6t4zWW5mlUNfRmECinR2OTgM51xa1ekjgFsXoCvPSju/wg6CraSv DdGlbnfozEjJw5ZSnT4YO2IVaWac63Lw+Qh5+y15QD3pmZ5ZiqmQ6DlPo15Dsu8uJSkYC5tc/HqL zRnl5J1S8sGRE8DIzERMFwV+OwF5k7tuoVqD36wCJcZm/JJI9YCKxQCl2mAMCRiQUzejZr1klON+ N01ECb8a6jm2Z5yot82yrEc55+Mm01T/BrxkeU0p8GdEDKM2t9h+9WH7tXXuriH9HhDkClJvSPJC FCKhr0ejYasp5WWvG9Qn5cXc6vTBOrlz8swAjnfy+eB+699JLnjEdV2drBy4NAITwA2XnTTKhrNA OMLlohKQ8jR9kRzaNIpPW4YaumPfhkCCmN1ANgIANIQr4Vw06P7WAePOiY4XSX4O3V43uN82j6Uq 8nr1UKkPKPbppEG9JHBqRJfwuyEAK8u81jNLYa0707I63frk9vpkLV7FPbf48CQ38GoUO45afNWH izOFry+L2lhL59dAzhacNn5I5gaQ0hsCq9vb/tGAQ5Gl++CMmyxJ43NKDD4fZCbpF9K0K3W6kxSW uqE3P9meVkOgb6+80WLLhJ5vvSR2a9zGq+0+ePqWN34GZEkI5MFRwiTR56cDR5nbLZhkpHP6lmDD JTVxWVziYA0B0JoFx12dz0cB5TdJm7+ahzWRQ7tGmRF2w9ucPzWHUJ5cw1jai86NNkth1M02JWx0 fXii7rNgNm84oE02DWYH/wZKPz+ZC8QKlL3Z60bYQGnTzeyD1hIwy2z6tb0Wnw8Cyttcouwf3Fph 5nOnKax1bxR3FitIOMchnkLU2wlgAQ7l6T0ROAmPG5o2Cvqe5Y6arzvqubV2gYp057nUB6yUAVsu EJuCi9H5N/mRraGwTw5sGxEIEFsi8REBwbmYsKmlrH5CCT4fBALju/iG0l/ZVzMix8aNC8kJxT/V qHT9R/xEzg8YQPQoEAicG7MVA0blZHGwnjeykXMoUz/rXNiwnqG6DRRcobFx4sBrbcmWLL/kT7bt XsMHpXDnIykLxDoKn4923O9i9+Rqv5MUBd4orYyG1a8MbjqFtExaTSrPRdqqDC40b1Qdly1PG5zr tvuCD2QmUwkpHMtzlbwKApjT8gxuKFoK3Bslbg2nGXo1czBgwErWiz1zx+eDG+5qR1iM/a0pmkP/ xsrDexdbSTM/aS4hn3Jrrmn5JMX6I9KoM8W6R7j3BLYnmaXk0PndowLLBOZE4xMLHq/4MCZVewsN HM3pQeidBfICMwoc/+oi/oxUFj8gSk++wYGi1KdbMgk8HFGEkGamMbpyqZ7nFpVxG7u5zrvNsYmj SG973cGjpQwsLvJnlHHX6tKvZZZCSsu+njPySc2Bh+PZLouIrk7wBAerBSwsfHzdAHgiNHHMvyJK AVbqs90iWDfANudIysnDAHaZNlfSsmxu9ukK0Dm2cZziaqXkg0Nxz+/5TLiEWgb8waa8UkXAnz+7 3DwXmkv6kj90IRv8Mn2wM+n8GEx6T25f+XywkG4/gHuT2MD6bLeQPDnJcN+mT1trcfAEaOM/ruFb POwOrBy9a4KF44MTKU52AgdoZnDIbkGZoUDtlEwCXJIu7G3Z2wbMJfYVAVr1wfrd53LQJO1U+fz7 wb2ukgTl1tHCyA+iK7BzzASHni3eTJC+l+RRd64XQNmYC2olRVE3EEc4jnfzwUtvJ8/afVL8EPhw YpNAXeKVgdCL5X3j5FCZLQeOjrhnCMLdI/tgqG7YOAscWPX8fwErZQhEnJ/tCQJifb8Ms9Qp4CR0 8sz5Qe0gF/ItDjEJXB2xKIkOLPLGKF9CtbvQdDHp6B4n1ZXAH0rSKDP09czSzva2f/SW0KDsPhhN ELkUz92JNmXg6/gNoWzMcwnr8pP78xooNZP4I6uaFZOa2WRiAremy4+dvzVGzmuY1ABVorPsZMzN wcMk8ksTk9wTU8+ZGSYVJCgkixJSI+F+Wjd9XoOkBv06tpW0itZdRdBfZ78eZC08/TI7Dd1Yvjoy 7B+TFaS6rJZuNDlEA+xiski71eY1gO4ELwg3eEoSTE6n7mEvMgUtjynoiVATcIBZkjbQzWbXDQ1c 8DN+MpimoPI/iPfX2TkhJEVqNzIlG7R60L2QNFtB7fZ1dhA2dJsdmTeChkEcK6fnNTQ6T1Fvfe+c jafE4IRn9SIjUWCJ5fbxr4FR8+gRFlo+2No58cvuEDNgVtuBKJHBPM8f3JP5Ym8nUdyvYVED5AaR NRXzbbDK9q5jiAWx2+c1JmrXZW4mK0YiLAN6j5PzGhE1E1ofcv5EVfv6ENcz2b6xBq4MTs5rPNRU YCFsu/hgR8+Jhk7c2IvWzjkb4AEARnGnWDrqbdf1W2jS13Couek3LdaBbUNTmgAJfMpijaSvnj+v 0RB+UEmO2eyQskdXHs7OayyEhyCnBfST5WfFEUgnec6ukVC3O4a8RkLNCyK95eyDt2oTitnUlaxQ ue2b3SXIt6CVfe4F1IBsYzU6fkfHTla+Ia3NlJy31VsqpK6c9bQ+r1FQkxK4nTI6oSBVlWxyXmOg JkIckm6TIJlr3Xb/ak4iYV9VPqnB5EzRIgjC0nBDaUDVR2KCDxEkgpXPKkeshJw5E4POa4uIHrzq ++ysxioIAHc26GoHmcC6IbV8XsOflh1/5LMDiibTIM7Oa/CDk/yszin+pRRslvuEtNSMI3H+zfVz CmeHd/oQLWJcWgTQU6UIdggES4YbD5YOFdJhqbWoAq+cVf4F0cbKMtkFJFaDTc6y9A89qs9r1NOu 25VNDoHsRIxKBjc6kgelnt3sqk9nstecsxt9t+E85NeAB0fyJAByz+6DT05GNEAX0ope/0YNAZZy 6BXB0hruFVcLkvpHr/EOfgHSfIaoog2OgGhyBoPH6Oc12uGl0Wx2FGYvViVtdl5LjLjmAIHs8rBu tDBwCltyBBJOHVaFOj7m/UxOZgGmdmN+VDXgSGXh4DlOYdUCEO1ZPyBhkq+R8iWMspf3eS0vYnZI PW49Fx9UN4OvljKLc8p9XouL7Uoi0PdBN1bdN9p5rSxiuRJFu0yi5vwktw8LtRmbnQVEtiQjojPZ BH2UU+wnp4DwQSpIBtDvgHB4FYMd9VrMRiYaywDZdCh6rSriN7CkOC8rp3lxxvNM2zaDIjz9zxuL 6T5fgNheRYNRrAzu9hSpDkSa3LOT+yotSkmkyTCJMHJfNEOqBC1pZ60v7awEDc6l5mKDg0FjTorm Ykfw+ZW/M8b+vLPWsH4oOd8IRdOg9YMKCpsrjdCZKFxe009mYsQyyy5PvBwFzImkNUK+cPycjL14 NkGdTh4/E/VxY9GEEbOwVwp+XDKFdCxo0TKzPUnRPpEUTa5OVnHy0oJa9ya9n/1XGtl93jlrWO+q /6RefPAKfibNHk4afDw4n5W7W9yDi7CxbKYZeo+ZIRRRucmy11x7uh3q6gCsqXIiAVjBDMFSBLe6 XBvL01VkoTW1OVzBrw+pGQ/KLZ+rrJSbcPm7vk+QdMXSYmuRg3bZ+bWKlwVxi887XY0JW/sOm1HR A7bZJiiKm1FcANDBitKdN6UQam15C78nNKXV3wgO6V5lZCmA2niEa/a5E0dhmQU32ciFuWshTBrR Hk8hv8HsXYOkSygHFrE1WEraVUD/gWTu552qhnNoek7KWiuLl+tO0HvoDMEkllpbtVNouzZUK+VG h1Au8FJrNEFMuyxt4GBp17lfThQGKAWKGgm/a+5GEJgLTzey+Zq2mIoa4SlEqkNlEZGDQUGhd8t7 CBKH7yy1JiTpEwJlgopBZLEZiuJnGuuubKZxJ8eqfgrBLcHqPlCgt7T9PYDObNEhIyUtloNHQRXI qioqXzqXzl6iRCDVrY1OfrfOSvudd44a9rHk+BMp2BzsR80EvCDoa/XzTlHDbsm+hBjeZwBGO6ic NkVhEL0gRjuzJmYQLW6Mmbpd8Hl2V+t9p6g1qXc1LPbig03RwmKcZgPWKVOn3jVKpfTNA7PDDBtF dozCaCayjc0aGyyMnufM3j9SFfq889OY3i6/yrgl5VbrCeo7P802J5KwZJH0Hrdpn7pLrZ58zDsa UShtxZ+s2mG+tUOKhoPxo8u+5SF34sTJgRBGps6FyR3srZd9nyI6XTelbRq0zyowy4yGT0j9eWen 8R3vVSaf4iXJaZui93BaWkljahGxSdazd+yH/SUgvrdWH8XTEvMZdU4f7CRCGnZCnkURthM71imS 3yKxH52xVm7TR/2M93haTtJnahorQLndCtC5ASTEUztEaqKAeu1fRQ5aWk5PN955abyDaIFubqgn vS7iFYHr5X5OcDdzXloYUmuXga9og00QhJ8S8SQoArU1NpP9THoMvDbY6jIc8Zx612iCKuGaVHzm oAJiPineFEkYPegopjb8k00Q2HD4V15AjCJqaWKnvQw+lEyjH7pv2ZX50ZjWBL1T0ppDiHuhS0h3 oSZa7Q1aoLKPsc8XNDL8soStmYjtRzVr6F2Dk5oU0JbyHbSCJlTbmZGdGOLzTkhrV1XdUjIozLCZ YhMUBdRYaSdPNfQCclcXfKYynZXne7ZixzsdrcnTkGIry4dbKDtn0ib6DlDrs867MNa40dog6nM/ pL2il42yMirSTHboOViprGRxW0BU/ryz0ZoEo59wKIuO7uf0OxkN67ZQAsIyeYiLWTkIaY9XPBYY DZqh94AaSb2Q1c/g5zTM0eiwSpbVAKEIwdrJPkwrazwBI4kcn3cuGuKhQRQ6wAc2aA2B1cgoBwJ4 n3cmmrVAnk1GjkW9RY93IlpT9worfhsGXZoik4Uo+0sY6/ox/c5Dw7erxyMBv34F/ADz6LmyP3Fm aEHJGIRPaOARNAOaFcifhoXZ9rLBGmKoCC0jHzRD57gf7IfiIvi8s9DahS9gl2GKIGmN1NOnKIqp YRN3fnWre7F+DC/WM0eSwzf+FS/7dxIaNzn3107DB8/sVzm7Y7LTwxMNlw5YnyAZEfAH1KdvM3KH 3klo7bG0pC5NyVY+wD2L2P1HtkOfdw5auybx58cSDpvC7nebRTE1LhGIDbphIxWVqNy3WB6U5+dy I6d3BhqvMnmgU9nJPNAFFYJc6xZ2IcP+c7G2n6n+DbeQThC4ah8o+X7eCWhNtBs0L6SvnrsDGMs5 cOnZWc+W/rzzz1jE8JNas9HpGLl8ht5DahWzv2gMMFL2qv20dB8MgWo0hnf6GY9qNTO029ojj9Uz jiHSN+DvdE4iImEXGalIkzO+8paHll72/SBymme7g45qwEjlz3Dm6vPOPuOh62uI8ewk6vjZZmFM XYENEmUIfyLTTSihYkJHkFy9t9l7TI1wF/trqqE6n4ZqwRRtYvFRuz+XtFTY68Q9gpIxUZsusbL0 rsEMKaSG1wJC6rrdnzntQd3hH2jpfd65Z1YKe24zLPuybtbxTj1jroPWT7Y+BpDV3jVEd8O22UZP RjP0HlIn2kuA3szdxsGT+7VOnmb9+DNfZVJCs3LjqY9262c8cD/vxLN2EUJDkPNx2Xnn+JraJWeS P++8M7YAix/VJGEDkLeennMUU1f6frZmBxHIEh4RrepsoQXvLG2z95g6G/x1TqalHDym7mOd+WB3 DGIY0O3Fad7RqsX9TrNlhYzwIea7RruM2UbhRHHQDJ2TjsTLs3vy+rxzzhBx/iqgUUyH2Ff1ncOY GmRh+BtbDTa5zkNVN1ceId0lDN4ZZzemBi7dB5ugDfJRRxOexnItb+Nco0ic8Nf7AVBhXj/vjDPr cZ3zh3ZgGjRBCZpNjBjzyJ93wlmTxekD6oBMauk36Xjnm3HhZWA5/KCGd50d1Hu6w8NiCMAV9E43 u1fZhkeXDZqgSlkY9LQRop3p6ZDewCIDX4cKvsU4TZsC2nzXYIJWkhJm80Hh0Fk+rQu4UPiKwR5L 4yvpwNdCp9snKAqp23lzxKMuYpimVxjTJQmfFDxb//mdasZDCJHiJL5Og+f1IIN33WQJC3RQ26AT rD6oa/Z4D5eid42yMjZXqQemwVbQokAmVlDnK0antEM79GpYwfeqf6eZ4eyA2vPYZoEKxk67Rfzs wOm5kpmovLPMbm0I2YkPtoLAnjpxoAGDcJGJbo2qbwFVBXKrrqla7V2jlIMdIOa5ZyCISjnHWY4s N0Ly5/NOMmPl4zuxp4otVVs0Q1FAvSttC4y+OSg0L2g5Xtih5ZVCz52fE+QctNxblYk9B58hqPMs lhjOhXOS8MZtCEMbwBfWz617dCat7/Qyzg+hC1V0jupNjgLjF2lgntjq884uYzT9jQ1iTZnobE3P ezQNaw8WXVex6alfrVbqhhUgPHB4anqiCnUaCoQYMnLw8usJdCDcwUvshAtJdmGVWsYdYO2V3ACj U3n+nVmGxb7Y5GATWYMmCPR1uZqOXj/vxLJ2hQpsgprQNF6ffueV4UvPWT4J4hDIo0yHbrbpnpYw XjAJ7HdaGW8ISRYy3eDgCVlrq0MhjDtsie+D6it6wQi1cv/Sdu5bLxuVzpisNlbQeuteoO7QCGUD fk2+Y9Rrzb9mCM3odQ/pKJauNCSs2ytDdU2/5pOTXU66MQzc+s4pM6gA8wzWp7X+JyWvoPq/smLp nJChLfrGElMG3DhJPcrqCYf8vFPKWINn7X4QCVOoY8lg+uzpUbmIzlLqn3dKGYvxPkU4sICHaigN 2BSFwTS1r1049aR/1bN6mAFYJJS3yzq/E8qYTzKYBifXBg+mUSFr1bL6BK6ljHjQlO40Tngq+AwV 3+lktzI0mY5DnRAbmhlZAtsKNzVqBp93Ohn7SOtrEZFQROsKzVAUTKM4M1Y1469zInXvlKW0fRGt 1h2kGBWoyclEOn8H70YDIITFhG0G1ksj3ipRAxrn206XJdUJpnpnkrE8OGWq232wHseYXU5D56f+ vBPJ8Iq5fuVjlbiI7jf9O48MX7pZMatewV9eoIaembU4NvNuyqe/08ieLtBazYcLGTo56qTVOPjk nW6UsI49a7cTeEqBN60hEVveaWRE8RaCPdiOblIpJ+ajECaBCLnOzzuLzHCgXzc9IFVQSbQZCiEf QA11RGkZMleTut+86ReVNSUwT/garrJ3DhlbxUK4ikrWx73KzlnY9iAa+dz4YGKxMJSkK5uBI+23 kwi8yeedQnZjIYGwNKjqMYrUOCCgPT7vDDIrO2iCGFjibkByZRMURtOzXzlQEsnpVM3ek0ytl0Bn SYTNd/4YPofVjjYlJjMfMRnW7wf7dXD/AtR4E1eVwT9A8IlUwTWKsW8+7/wxtnGYaNCqQoOuso29 zITsZMefd/YYw+n0fZWBEVKXR0Pv5DEiOnGVlWxdoNrdL7aW6TIX0PMwbYt37tjXJvvftcWzy7as P7nJzv+ABiLUG9G2Bn4iM641gWKyEN7JY8R1s3a/GUhzsG70SlMN5nXC6Xfu2C/s2cV85Islf6eO WSFhkgygwhk5ayqctWE5/TlJPeF4Z44192eeqvlwsDW0AU9c9AMq/5+x90qOZVeWRP9rLLRn0KLn P7AHd49AFvfJ4Lrb2gy8fVaykiiIEC7g+rlom3ISDtQboIrTkEIpZV20+H4njjGnz4QLkWTHQfEi vAhlQH2+hM87b4yYj/SVkcExugIqYhMUladRTDpnz7Al1Mf2VvRkFK9W9NW0eGeNNUn+njt+UW1n rH17HCcwRFS2KbNzQqMqgWeSgWR6cHtApBl93jljnB8qW+ONbfCyWadGCSAu4/NOGbPM6ivhgBHp vnXFd8YYYWe43svyiz67BEFlxcSjxezzE8bT3GKrzeyDV17HGjBolqLVXriX2fxBpwey7GW6O3Pu lCJ8p4s1Y9Y3CrbYoAlq7USZ3CHnwPi8s8X+pxON2pSyHk1QFE6jcnDOTeupDnqSu7NuNneLcyc3 q3m8U8U4QczlJ9cRB+9vrA46NCKFAorvNpk5no95s09wjyBiO9+ZYkypeEiv2n2wsiLMhxkM974+ 70SxX6gqteo3Cr+e0bcomIZG1QlLpuYCXQeX2h9tespa0HBjytpC8DQ70UMiTeMRaSoncQK4c7Ao hNkxIDEBw/h3Nd2UFUwFfkaAy2OxI2X5W2VvIkIBQiJysPTD40Go2L8b0XACKeWmrC2MpQH2KMts rM5OSEZGQPbn/ihbjntYQVFhOhVmYksAT+YsWkHw5zzXe5G87gYgjdINFLSgy0Re15PQDukQ7QE/ RnisJR/8EDrJGWdoJz4etOrLr5QV4T0xOaK1RME0iHI4hNy0cdZL+smXSo/CjvFa3oNpP6Sn4DDz gcNAVj/Bo4MW8WfBIiNj6Z565LSPTR5LE22Lz4jYhrT9zJSK4SBc3j6/WAlnGRWPR+DgO0FJAg44 GC+kqkfRNAjHA6bDNkXK7ynJcHcZeFFTu6xHeI/BRvRKxEutdEXRoVMKqJnAnRvyQFWYGax5dMg2 InUlZJOyFT2qTdfKNqvZWFdvs2Y0KRQJnUwWj0fUqO9YEcUFqorZBIXRNORP5vLi/Wz5Nsj25avu K67fo9q0CkNdqT0Hg3uc6G0W1IrYIMvAqjZh8NdPx20iGTTj9LI43aNoGhhCVLsWVWPRTuPSySjW 5K6kvhU8HxAPl+esrGtTyr/RQU6TFHMPF7JWV40HtOlWz1yA8ISL1RAfPQqoZRzXTNlkbi98kEAN IncmlQOcQcjpo46JRh7NG/qVY5BebI8C6soOa+8CfvQrNADxf3UBZ5l4PDis0zeImvEQfdE0QxH/ kLpVXWjgSX2YavFi+oqH2MziPosBH7zNMqsaI3+Z66AInbjta4KMeZLcDrQrG1CgKA9dvYqtd426 iAyEJiHCRIYOZhyAHpOdgRgCjwcT9As0xNY5oNE2QWFAPQYJmsMD6u7q1SdPcxML+rlrgkISovAe k4UxDo5mOEEZas+87tv5G8nCOWmtTGaaQRd1EKGgic8I0nodRKQraLCUbMCHnNUeXCdRRD0u14Wd EaxHBPs+Q2GJGkoVk5cBCh8VOnaW1ydGQZnOelAL5iaLQ2pB81b34dbOSDhlqx70mQzOKuxRzolN sx2IiF68B60se1SipkgJJOCWD6p8kDqjPuKYeDw4q+d3aYgSBcCM2Ay9x9SoSdJ4iBEPjIcmDb0z 9BdwmtI69uSZpEDjC4pialHJeqfLee/lriEowdSOQ5iSlefnbmuIdii0YXdp70QvtB5VqCtjxark lYMwQ7MRl421kSceD9L69h0QVZrQTs/KegSgJlGhL5sW0KVMPR/gwCwHS/zB3TZZhPZQVjaU3Y8u 121MEDU90LSRaew5MVjDTvCL7YAPIUHxUwhLBJ8Roc7ogAJgpA3aZOcbmML3nh2MxyPo4nd9OrML vW9a1sOgetLGeqtONkYi+5CbbDWjLJxzmmpnWEJRgVpcuy55wf7IC2akGQB4kije4JjNxZbI8aRQ KNEbarWy7IIPCRJXpvSAKvqgCvVJgs1M4eSveDxaQ/XXFKF6+lz2I4qqJ0mt7nw2GmUB1eVI5Wqe QmyNUfWIStSTrMQp3Nl8cGdlnfnd9MTAVQZwp7GDsYdRPeuiVGiXZb1rUF4ckl9Od9AiGis13vXn NMt4PKJEf+8yyvT3S4keUUwNS5Oxh/XoJ6XtVfvYzRqMUPHIPkFxhZqladkx9ceOCRV6cBKX1hAu ZoHMyeTA0qIaruVly9414iSab/X0QUvorHYJMZYTzePxoEJ90w6DOaJ77U2OEUKo6TdcDYw3JaMp vpSweiiedZS1xKiPKtQ5G6NsamC8waRjwygnN5roTeDPFRVsSnsjE87r3vVn2Q98RoDtbLRhXEvy y1TlZq8V+5TB0PkPj0c9jl8IajTv140WR8hJhDQlbIWsera89XPu5eGNxFm7cYFGFE8bIGYMDTz1 OEFQIYeOHdtkdEqguc5AwTLjwuvp4mHOmhj4iD/6iGdiaHrCwUofZ9YFRzhXGh6PFBnuRY9/TF/g fUH4IwqnO9WpszVVz2mUsoPyqM0nmgLl+DlBUTgtUYaRVX7NT/n1hNYNqT1v+p3SklNjZqUnQduH drjWBaL9+YgK1FiH2Fw7aY+dLbOVceDw5Hdy5gvP/5/WEIWonmM6CqjBQAXkYxlPQf6U5Cl0F6Oa LA9pk0UB9RKhtUpMqD5iQpt2sF0+zBCWVfGD7LqKvxmwWC9Rk10/ohK1RDtTFv8334D6/PrCAiOa rng8Qp35KcRERWSpe0yH+OmFs0eCywhMnJwIXY/aHPKRhxE5RoSfLqyKgQPlg3fr4eBdsTTZSGyo p5HrQagnrreUb5usV71r1Caji+tkw5WD3/SZWsjUM8DjQTC0vu8x1Irgi+YTFJWoUXFFgGJZfe+X 6QKTmx/XD3Q4w4jC6Sk2Wak2sCQhuh0YCYM8DrT8pjkznGOWxja0Qb6ugufX4zOiGj67G5VWAhx0 DHV0XXmKnBMcj0ddsl+taKTh+OttguJwmqIe2/iIPW9DvqLS5Q5nsA+1Cfo7nO49NR+8Art76r1O qeKBWpmV1KOhjHgtIzSyg3prCYXxdE9SfacoHrU3hC8/Z480LHBa4Pkgrf/V5mjqk91TKIynO9ZQ XVev+0p21eSVIWHpNEVhkZrV6ZZH9cGb0dhlPTOtp/J7lWcHxX0oWA/LASvjb8nnhEXqaj3W5oNy sgKzWmPclc8IER+/Cx/AM8yL+JhhkbrOH8ghNwuGRIeGuVlt9xhKg83ok9bPKJxeXD2zCppXLzTv nM0JiVmhoPm56ulkcpJFlCEqK0PlkepmwjFDwAe79S3RlbIld6U830hqQ/jy1j4zgk/P5kSXSVgV Skj5UoFmFE/jGwXioylrRWCntB5WbVngD0huLeVkM6xRCzY+BBoaD2ho4lRCwYOWlANkcoKGoELT ejvxDzhBVoHF8YXPiMr4LJoRy6dBdY/zWxOz1jNDBY//8xyiQCeVn6oXz2YUUCNLPUG0yQ4AE+Mi pmlMszmdk7+IayjmJDIcqjv74N1oUPczupVg14OiS8YZaLM/jPM22vVGa6UAwQxr1J14KpAcbNAm GydaEwR/r4rHoxn6xftFXE+dCE1QFFBjQkYV/pVA4e3IvKb7Td1WR3zMsEBNPNVoUhBs45mgc/+e 37TVCTprURRq6NmjVYWV9RCju71rdAqxmZja9EFLaPPy5CmUMh4P+vXzV7SILjgSSZugKKCG4ueU oTiaiXD5tQnarKEK8JFcPXBGAbVJcs89ffBzuixYLan8em7rReHQCZ9LVB1OQrgfCD5iKHxGpJDH nDX35oMm6ARimcfsuRAqHg96iRd2JpdB0q7uCgrr00BVrZbEX0X9wAv41mBEUl90CGGLRYAPg79m dVvz023tYMANOt6fwJrIaZbOwIBoyGSQ+Xm0OOxdg2NalkH/rQv1k/BtUzobA49HpbNviDljznlr izNCfHR60ZTtHY7BXscSDcjiIjDBDHY2o/L0knzganewLVYGbKES9xIUJptAoJgqAhB+SPp1HeWi d41OaQEX6/TBqh45qcxyQq6Gx/8vwMUsXQav38+QjJiAxutOteurNAdQN84aBT7SsqR+hlp5TWcQ K4wcHFNVaUBEhU5A8OD+gglCLAqqK90MruvU1rtGNKDC1gZ7FBws3wDJRaCzzceDCUrflUUiTvZF ds4I8QEI6lhaN/hJ1oo4g2bKxrRbVE3QGRSF05JYHIMLaYxHhxLqqCe5wFpGLfgEeNT7qiSAQomb cbVVp/1dA3CwZazCnmUv3wOinaiGggodHo8wQ79Kr8jF2vZgeoZUxJNZA6lbjCclUPlgxprMcXLN 1DxUDOU9GAHNXe/gWyxNXGSZJJfRzjHNQ7pjUZYuRdJLRaTN+wwV8xgIUUrDBgNV7WbyHiUXPB5B YtbXId2ku+tbbEWxNGT6zpfs2cbKqTq8vLpBwLlEccZiBa0I8GEiuP9LGsclnFK2JmJiO4tbDA0B uljOC/iQ/vYKAR9CemB52qAJWutcI5TsaLXi8UhC55dADBWykt9iKy5N42hOxUWChR5C02HO4mcQ CJc6g1YYSneezolMVQ5WFDo3/oThUJKF4hwqLGZy44H6ApnMS9OYV3xGVJomUYoCDRr8kIZ+OAPF XfF4hDrzZEMHOtXovPK6Qolp8K5hkOFwj+VnUKfKkZxv4damCYrhHuzTDwWKqhQ3EqXOwdxBuGNR qE2IuOMMgoQF+So0arUeK3SR8BlR1UxzysuMg20xqPyZBdfA4/9CBrN9h0B1j7vFQipiAdRDctus mjkduhXWl5Wubpc/WSF2ukt1QD3WdnusFGrLNClHurpQlKaQFxmX5Eq2679FI3B8xl/w+54Y4nHQ BJ2NsqVpehYJHo/S1dtC5GyggIKtYzMUhdKABZ2p4OWFG5/2V0SXC3ihQKgN0xhaERUxu9Ti8uFG ih2QLKl2VsDp2ElLVHuGrmyni8qUgs7UuwZlM7WfCVXToIS+Q22Oy+LEjHg82GP9O5QmLZu9X01Q FErTyLUSRodIsYpJRssNCQlP2t0mu8ZWLO0hZxtVVedjCZwKXE1I2IIsLl24gBZCj7vTno9aJuYs SdDZirAeixdYqqzhc1AsnfeebCeeWRt4PEJUeSxNnhuSnX5rQitETyfKVLmgoJi6KixOhors0+Oe 0SYLY2mdz1ts512+8vlBJP9We6OATI8lhAwfBrUAll7d4JH1rsEEMZ/nMcbBGmQwFSJ5++eE/A2P R5T6yxhXh2woHbQZCtmIkMbN8kZC/Uw2EoCoFEGroMya0zYfwBXCp4dQrzqG1nMMpYlqqzJ3oDxX ShLyQn0t4UhaDp8ujPjwGVETmjZbNB/VoE3W9mCegpoQXzE4py8hmhqxyO2AyLEJCrmIO9G43VqI s+5H2MO17+eiaQ4vsrA2zeupKZhuX8E0hG/zLKafAxlBHFQnRYagFYLpjDqdmqwl4bTEh0T4aR1D s/ugmhCAMVtieavi8Qj8+o31aDIfujMURdMLjc0l4UCRFZyLmHkQMhZaEG7TDMX605TrSLzxOXhZ 8QQoq3SWFc9xV8m6Q8R4grBOemApl8SxxtDL/sWTylVygtXF4DqYJxSCKGe14/H3GVrXb4OHOnY5 2gWaoR2F06CZgH46Dekh/DQ5Cs2LQrNUV1feIRlxC8igm2zdmyyd6Tk3OsHBJ6Q7MyTZuEyd7UX0 8n/MpHcUTmPZ0RIp+6AlRLsU5htp8PGI5VK/osXCVOPqC+33cBpPZfq1WDg9Cc+lcIURfilcAViE JihW9hjaXcUHB5xlRL4Myyrstjs7ZOdSOeFLpwb1fiySqX6//0RPwwwi+2CV6c2GZJI07n4PpyU9 /PRYSUBtt/2zQ/R0g0+Lqw0Av5k8pV/1QmGgE6IJisNpKysuH2yC1tqlnZ3LUygh9+C/xGT9EC0K BTH3skOxDZ8RTBDp9IN9Gw2KFk9+nIpJVGU8/n/RX8pYvA/sdYdUxIV1k6rRgNazxXLdXphe8DjQ BIVID3oDDIQENnhKfw5HJHcMFseGjAvrrgtpBrQoKPxv3Z859K5/CVJSDNUGJWTrXMYSmDrZHx4P 6q7JE7JtGWsZl+WyQ+A0jDV7cv1yA05LnrtdnlRypMeOmYjCBVt9+rvmsQFTpHs9srOUiQkpSMig WIV8755B3d71L079oqSpBss3loD7Z8u0iscjGEP9mqDC3/Ic0qFQXiftv0uJ+2Ssd4L6KpaxLgau clEIcR5dPY1yBy8rQkkHC4c1jxObic1ZYE2CIg6qw7e1gUwJnxFV7kmpz2oB5e6U+vP1bvZuoLGC xyMVuF+o4JNGtAfnsSOcR6aLQjW84izd9TzO+vFDWrpIWkGhTp649E2F6fYgy6HisnpzaY9WFBHA igo6yGindO9AL3agd1SYLhK2kzUbB9tiu1CBTO3DHRamb9WMmBBs8UccZoexdIGmUGpXAf/SpOQZ IiFBiOFrgkKcBxmqE60jGxwIc3INdBCnqmbwa2fIhAusgGDTu6+gQsFqfEYQKWbhPKjvwcFWEKiO TOlTHXg8qpp9c4DgWVuhnWETFMXSJEn1Un2CiosLsWHwYySphfiHWyzGeaj1ItDZ6JeKeF5iNJr4 QfoElQpZdbBbghfM6bY24EnGz/hDAf+syNZ8sFtsMLlLRK/h8eCa39+H9IKIOJrQNkFRKI2kBLUu 16faj2dto50CJmiBcqUJilAe6FC/CZ9kWsJkashVnGUoEeEM6oVFoRNatHTjILY2dijrwWpQoYOU BmVjCe7NPINyGng8yle/Sx4ZSV++Al6SHHufoUmIWTaUB2sH0vUo04rUO0PiV8rcKYylaQ4FURsf LJZGBRDtPWCFCvQFocB14hhsi84su5ZbWSTskJ/yPkkLgnE4yDRAh4VZfQfHhcjwc95N/oII1/kN DIZgODGaPk2hVF4t5Lh0mo3DcnQJ6gFv2SQGxwTvyczGUxhRO5e3++DTtHODBBANpRL5sXRjBT6m j8Tff4Vxpww/UxRTo/hZJYlgg2EZzlrl34jNw+eDrONRD/6RW1utV0SH7obvj0FxsU0rJiJ8dFBV p0y4KozrGvumEEBNUGtbYq2uR84LbSzVmiDxkXpONJaC4MlPA8iXQq4uY17tbSM8A7HljUBhZEY/ bLEi8+isZiF8WfwFAci8/BL5IHV8XceJFFaqN6RNR9mWnPUyvNdBHQEpCE+PHK1n+fr5OJWqQEEc PH0FHwi8QRrXo+RF43q4Lvwwtsjcbp6/bnvdP8gcJ6omd5ODziXQIRT8nX/B5//VMcOTFFQZjy9H iuJrfLFne5ht20yynQD87MTUl7pJ6oMOpqhcPUQjb2374Gc3pLY6hWtqYbJGC7c12bJHRgM9fisU UVGDnxLA8QnwJJXUBgPH7JXUud+wVUgh+KN+p7Hnz+oMfe40hTXrzYOpOQYNKXPGtVZnofMNEtmE 46tqmsKitUwSB11w+rguOGgtngUEvAOm6UzYhj3FydjAvsM9vr/04RgD4EOiXJ8AEJHuq6Nw8C2o SvBzDorN599niXZQt+TIi6TeXDansGxdcS6VKdNa+HINI0+1RbYLwBIjpSmgXk5RqD27IfWqD7bj zqFGYjNxaGeAxBvWEgLIgfY4LifXqRzT3jaIlIBTh+XW9EEbDnZWUsGbWy8Zlfb9WCLmSI3f5/AO hT8SOHiUniVOps3LBJYaCLsfy1HVUtV6r6rx8BafnoMf3g2LiZT0etLYE3mrMruIizinUW+e0SI2 t7eNEpJCD22avKLxqer+WbigP/5QRrnx+ajJ+N3HZ4mlX81l2UK8xh/I+lctJrq8CI9nSjKuNeBC G8zP7kj9o0hWaK3twxNyU+CCITc8eouy2kHAXpN/mEvpyQk5hcLUk2f2rsMHO7oLYy7m8pPPB9vt ly0pULIdPr4+SX9hqyd6dQYHGdYvOncs1Z4lAIKEyiYprGCzrPa/Dorwle+b9CEESyltwbChUo3a xBQTj+Ld6mvwQ6LqEQVhU7+DJmmcOV/SN2NEGRq+tF+8eyzhx540hx6KmInznWavYffk8t2Qx7Eo YDAQk0NgiAnhiY1Cgg++ks6uqL1xkuD3SwQWOwHQbjqRxgIMiGdSSXSNzoGL4lkJmVJ6oAnaYMlJ trVb6aIY2iiO/StUIk77gj9zaKPYaKPYtie4yQlCYNIlL7KhT2uTFOFCkqSXcS/bYJO0Aa8u9CsE F+ZcpJUXIC0UhwxcHvCev20EDOFhBHSbDV5Fql0A1xOX8/lIIXZ/n0mEal8Ueo6dFKFSPUeySiQA 9JbkNqquMsnFWjCjwNBKUV3ZCRyDDV5pQ+Uo1d6klcLCKgPzzJYEuvvXf5xQXX5IRDqTbJzUKzcB u7yJx6Sm0jm46+DzQZ67v2ttbPPN/my3qJ69mOfW4maBtRuFoXGOzbKU3RZNUhR0z2SCMs0HTRKb O42oPpYCzpppYs9AnUkajbegPdi6zoGfoqkUAOa2fFCxBOJCLE6CvMPn/9XdZ1sNtwaKoz5Joboe sMQ0Z1FmUpL7bdeaveC2lAVzu4X0RYlaifMx6pccM2rambC9CiPNIX0eihDBC/eHIszXmGLY60YQ CEaTWxTPTauVRAnDSUT9WUqw5QxdFcv8Ni6F33eZT8gduCoiUmYMWa2wPZgPc7+d78f3W6enpZZS GHJPTY+qb9W+LToCV4TXcuYsCRJ7Eria1B48JzdSVzuUqCGXA19FHEpsrzUWcFNzc4rcwSrgkZJ7 4fP/EoYvirCQgo0bTgbGigqwhi4HVUwe2HV3t+lzaDmLMYfOiquLFfQMTzgJTxvKgEEqsNJdYKBD YmkBKpSXplfsdf+Qrua/80EVk36OcdYCai+bzwdnd7nAWTnmQoq13vpb4K6IPpuqbtPqb/XW35CP WpqLnpJPU2QFo6i7i3HPwWOlE4CNCUsyCjugo8FYCZhiCOLCfu1RLaj2tn/ccI3L0QbdcKMX2hPg 9+j5CMF/BeU4S3jndPHFOTBYBCU2kVbt9nhEDImY35rhR87tMe4shZVuqV1l5XG5347/mgVy4XQS y1D8JgDwnKNoXAKmlQnfsDS3DnvdSCmewJrJviQHRUvr7AcpvZ8An88HyckvvxPQ4sr+CgRCGDYU kYYU5BZ5+o5fo0in0lz0maxkEtssdok5iR+bv/xeIa2KkI/9AKxQ8h7JEmyA0kIzyltuy982yOCE PhLcuCQXlmvQ++Ap1U5ox+f/lZxIYrfSoMAnKXRaRK0ePhWGroHmn0PY+lwXaZy8RhlaLa4hxbRd fbClNGF2Ql0iTNKigxcxbIvlChzhj8OZAoHQa3Gq6gZ6LYdiIDbAFHmN94KVFJgtSs3nCx1Bl6vy TFJY7k5QCpkGPIJmSDGo6F7sm2w6wzyTFOKxpWItAEn/BpBAXXf3KVbR2cTIMDhJZ4OyEJdv83Y1 f9sIg0QVFZN2qi6mcnZhX8Kx5TL5fMQgvskJ3w4pUXpMTWPHxfMdDkgHar+d+C9ZiZJC79xv6+zH 6rMUBt5dbnlUe+DgZze8g2k/hTy34iSn0xkyhV7OU9SxEtgvV3/bqPZGlMSmokoFO5/lq7w6LH64 ls4K5S+IIu/fROuz2/OVe8iB66JuuCE9Vhbf1AlgU6CV51QqhojMge0ikBJiqPHI6V9U65PQJih8 VNkutkSBGrjR9B8aOueZx810FS/Fvoskp+Fw02Da8R2WnZRs6OcY5PMRg8bhNkSTYJujAuGzFPrE DNZxsydxwpAg0531ihc1GKcpqAycF0FIp/bVps0yBz+7TyKRycdhEoe7XuUAAPxRQGal25rdc9nb RngJNnNHu4Pyk9aqCihQSeDzwY777fSxBRO8kxQVu3EFnzQxdZ+k5M6CXXB/QkokYNT1i6INR6xE WcUHmyR4V7TLuD7pyuJqO/8DsieUNxBHyxVOco45dF/k/d5SUQBenFBcRyYY/fzdvTY+H60kjwLw eqAUQNz+TlIUeKNuO0s1MMnEN2JEiHMRGRnrpEwukZ4D/0VHJs3Cw4mDJ3H9pIgNGEPQ1TJniagA eAtBj4YQIakYycIzh/6LtKhE5W74YIWlc0HKieAcoXw+qpl4FEDRCHwzfTwrKSp2I1p1QAn4Rgyq BC2RC1qVZuHwSfrbNcbspjlcdBIcUfCt0ZcJAhfs1m2oLC3khKg5a5Io/swPCSapsuxWCATkoO3W UY7nHc7qW2jBWC5xFt8hoPA1PeWA0INRYkZjuwbvuErXmYpETHQbtaO03WLfGOImCpHqHBzjdjJS yIFT7qkAoiRTh8TCEgI8VOAsntzL3ja435bAW7LQy9dCDwUUqZ03brfQ2fzqp0oFCYBpiOLdaYrC 7oRpmnScFcjEhZ7wGl4PWPgrbJr+djdHdd4Hv+Am1c0lxQs+UyOcMqH6VMu5cLAXWS88a6l2e9sI 0i5jCyIEOCSvvw1qnuNC4PNRJfebhM3mObVLNUmhGSP0FFCcTjqVxLETRbSO7KdSKV7ujt0YZWT1 P0WTk71tOC4vCu+f0JWmqthwhJiCOvIfyHYO3Rh1+aOJ54ODuSZN1FDAnnw+wv17jZJwN4JVLh4w h36MbFPechLcS275bV3M7WzND6XAkDH/v9WM5Nd9uIVcOPOB6o3U5CQ5YtyK2MJuS18PE7vb20YU LdYoqe2nQYWlc+LbMmhZL/kvcSxRAgthuneSQuR2TTyvnT9y1Xoc0iUJumoafTk0ZRxJ9AhpOqcH /N9PinRiyNSECzgpO5CV55MAFoJzh4SvDdSljndoyyjUdgJm3wblJuAzb+2gNPj8v4QMpadKbbd7 JsXGjLAdbCkpoR2yEZIHc7aDCroi9W63MOgWIlKyoRwcPXHSP2iHEa8E1U2ESJDNYkWJMf/2SAk+ DXrbiHLMOHKWO6j4ts//0EWdyXrJaL/9lhYZWMzPUgohJmcmaLCtPmVmiGZmMtlKATvRO1BLKRa/ Fmx0Dh88f8vp3PetCDsxRmGWO6iA3hNLqYBFWlUpL3vdP0qUKJcNH6yb2yHx8kNPiMnnIxmob74W 1VzWNZTJgUUjvhw0KpP5fZ1DaTQH4pCiyFoAC8vK30KPRvFlm/Qh2pc+BBpy8DTBUqoAwmWaoi0Q TTs45znR8SLJz6Hb6wYbjnY7jXRuDdpwA/kbISYbFZPAphGdwvJ1LEFwN0Nk32cpiroR4pwjIrue 2L6adPVSteeEOZ3NUgQxaQQINmPe9MeZKMH0fLPEVQsccAdF8HcSaar/sOpoTYHV7W3/Ym1VGaTV Gyv1E2XQfQo4nMHnIw57/o66u06EO0lhsRs979mvcN9I02tv1U1CIOpwN1xsLtN1HN3BJukk+Sdz GCrjnnigTPUEoG3aIVGe262YZBKg+SnBhmOWS98kG6wnsMU9Q27S+Xy04W5/iTgCAume5CS0a4QO E0jBw2CUCigp6dPc3HIBMerTFMbdS+RIKaqPq6gOUf6zYCtlViEdvhlQIrUmSfusTR62VqPszV43 2HFJsnSo1GrQYoJQOEtMba/F54OIMv8SMwb281ysz/EdlrsBfdvABQKTC6LnEvStJbQoi1RHulsX 5NC0UTKHVXqZHCwSSHNDt7XxYKI3KLcc5vLcrggf2eqweInSPjmwbYQ/A0mkPHk0aDWdP1O5dT0n LZ8PIoFxG9+MjdDgohSxpil0bkQSiwKfY+DB+rWoMmXP4tg0UygQWDdKEBAwLXW+89P5TmudoI9O qOcmhoIANVkH7DrBgTqR4PW2HGS158C6MZFWxRw3+aAsbuH8ExMHiynwbvy15xiCTpa+7iTFBW+U JoV4R2UpOdG2sK7oqe42U6ccujcustgmJQ802Jbru5+/bWShceZJXZTqop5eMkotOIyVww3FS4F9 I/Y1AV0koWoweMCusiUGs4XPB3fcL4H1giLuoyqaQwNHFAzPpdNujXIlB5pUonFo1syGsSYpZk1S KUrcfg7eFVi4+yvVjc/5Bl1yMstpioOEg00jY02q/BY6ONIEFOeR3FJRHSiKl1JRkRJBDX9BBBFs X/GSaPX5Vk0CE0eInC3Kj7hF4XRjHqh0G1IAlEZHv/3h4sjpWQSccLCldLYt3OAG628AQjUmetC6 xASNn30tZ2SUmgMTR1GjIEFCFZzbNSZefyoFG4BQhC6O+bfdQ5Jh3Z2jUCb73KWg8nULBJTebooA 3H4usSG64f7wcWSYlErzwY5uWBAP2l4BRjlPRECO+wZSFPItP8TWmKxf2/a2fyhDnhdj5YSDtttc qTAKh8UFn4/8DLyyxKuMCMHLfsuhlSPcwQcgRZqk2h45klnceUZLkiFl4OV4OSe73eG2lzbwbgbI 7bVA5pwWT0lSCavUB/y27G0D+hLu95NQtDtYz3vt3gSAT5XPB+W3X90l1Gfb4weaAz9HtHOZnRhQ acA7z+LuTJNNkSl4D2glxSxKrqSt7GQ/2UkaaHtb3N3X2YecncESMv5qYuOdmHNfN5JGUo8SC0+D Bd6pa5aAFuDz/4Is6bmCrt2DxgldHWEmcPKHVaz8Vl3PBlxHQ+PstLaDuwNbR1RZeRrtPX3wQwn6 4SmZz9OGxJPYuCzJQ7l/PbO0s71t1IPLvP1L9UEbbqOPws+b6FQGxo7fYJzOTJdtG8dPfF4DpZ7p njSq6rj4qXp2QnF8W0r1ot9ew6SOOrJM+RgmcbAZgs0DOMvcbSflHbUb2xT1eORQ6Sr3L3rvvgZJ HbK6AnOxTMnBT23BrMDYwtMvs9MfIdYsATKE7Z0hEr+UaHJgRJWkrjGpLZG9CkAxYZxLC1GhLaBg ctRTIqzZBj+KzqW/UpVeAvTxJAdAPY+KnJimvxBseHDvr7NzQkjGjrkw3uZgx3XLRVCugurt6+wg bNg2OyK7Q6KyZJud18io4/DI8FOpzchKFMrjUX02VXW8BG8YzM5rXISlI8OQzIN65XtQV9hgAC5J qhIkttaWLQZKUuTT5QvAnYRyv0ZFHa2gTlQxySXjkkvQo5YZe+27fV5Dov6wcLOxJiYETodNzmtA hKVDLpNcDFDWJnlRFdtynQpB8NLkvIZDHS07bihaWmmwfTXOZCzSSSH4A20l7sCK7VVxxLZxXb8F KX2NhjqiGR44Pat2RJYhZ+fEt4PFo756/rwGQ/0Ryc4MGMnbI8CFs/MaCnVRNUelfhGbbG02T8/Y hbdK7bBT5zUQ6i5lA9liH2xjwY54ttrEBTx7vxGLUxT7nJmBjJPvq0bH7+jUIa59sXiiwStrNTNn Pnfv+rwGQXjautnZ4FqQZEs+Oa8hUBcrbswrjy3tNzX8vS0JgNUwadrXAKgnzjG7s90HrzxuNNqW 8DVrp9SkColcrAEQnAlE561VEiuPr/FPz1S/hW74rj4o/kHPXnSiE2l9XqMfu3u+ZgfwhExoO+1J XmdHuieTqASJ2BQ7f9BTqy55eNJXNwqJZqeLG0HkKAfbWBUcsEKeBijJMMXA7BTqZ53T6Id/s6Ws cjCINhbFRKG/6YNPTpKbU1t5fF6DHpxZEq3G5EgpoLH0tm16XmMeHMqtmKmcdLTS8Ps8j+lKYzSW lWxvdCjrrlo1+/A0rxE80TENTtwmUZJANWgbpr2Qx3FFSIogvQY8+ApkhV5n98GxkF2RdDsh0+c1 3OEblq8rC4JqFaVzzc5rkRH3XEUxfwxv7vfm4hpp7OnnDo5CJvOvJUYeCoTQUJ9Pg5cYT9Y06mQl lqA8KLKg9XGOcUqawQDlSvnxWH4tMGJ2uKc6bARsUOVsirhD5gCefp+dfu8sJhG4tOgbrOl5LS52 eRtBI9YtmusFiIzm6jXn9HDsw2tpsV/MmnL4/uTwJ7WZJ9tGogUpSNQWN7vpOOHgmUNJgwvLTnrV 4FymSB3hpTZY5nVyFxWeKxTGopNHJl1+8oCWmO6l9c5e6+rlQMlBMzHpyGnaNfxKSKeh961R/MJr i5XCrhpHf+aHuJLM3luF30zdSQw/dM5wSn/l7ySsfN65a3b6w1CXws8crIyfyLCGij3QM1G4vKZP EEtrGYlgobKGODzBDEF7cci5izhjekgom6jJ+X2Lwjji0kQzNKVaI6vvkh+pTGQUiXJVFbJiDap4 wIZCYLGg5LBJ8mcHFrUzvmxwPlcmXCN1H6yE30/WJsmeysejmNmnCDO08B7yiRe4OZwhVlpncdxM cS4tJfYM7jAvAzKKmjej5sk+mAZHO6yTxE1q1QDtQK0ZBIYNOwW0IEquWMJlIJ9ggnqjpDELrxq0 x5CckityTgpgZ6Pgx9jG+cc02M9ahs+jzVAUOVNXfZTRvHRf/BAqycMfmHiafM07Zw1v39vrDQ87 zA5fFAaHZzf1xBY2umjwcdo/PIT8BlM7JthlOGbP1OxWfLCcFCEUb+2zoT/vjDUcQ7IV8GOI1ct1 N9l78KzjFTaNmiDgi1znEDUITdBOLAeo1hpNENLSEykAd67BEi/22SrhixW2vW2JQUqXNAgQSeC8 aY/Zu0bHECaoLAJCiotNArq6tiDm0Dl8J6thi5bxNUGZwGJKeOpTowgaVrhjFRPnRWnPg6AxfL+d 2MutwN65al2Sm+Q7Fh88ClqwqquC8K0hKjHdifH/g3LbfAqtdOB5p6p1QxW3kyYvH4QoguJwk0RU q593plqX9YHNkKYIyjkt+03/zlRjGA2yDGTmTLVme7sV7CLN1jwXXLY6axRH665f8ttbX357C7D9 0anDVoidtR4/jh4qp0KIR+fQFOcxCqQbzyFIH/lgGBB0ShQo5v15Z6lZgqspYvZW6Vc77jkURdK4 3cekrgKLrHsN79uny5lpq1ka9s5Ru3fZWGv64NWfBr/hQYeQc62dhIngrAJF7soIhXIXpnqwt172 fYoGWxpFqDsOBrtOu5pcZp+fd4qavaOVOHjqoDFenn0WhdO87lca1tFYlEU3G55tCLVV6DjCRRTF 09JgW3lOH3wRARdF9Xbss0qNKO4zHt5o8LRyuz5qaLzH02psQaFLIlHjQkArOgKCmUGrJgqod/J0 TNUR2lrue1ZHETWukplUggbTMRlPDVmOQ9RPrNXMC+ydnNZdrG4Jlr4eWDoFZXIvEqsDLUc+xVW4 mHVuivXoQc6pd41mCGf1oh6kBmuwnptbO4eQhiimNvQqTiJxtM7OT9Vn6J2a1g3QMGpzlqMiaayh Ih6tRMD8IHpnpvWncSiI7Hp4xWiip06vAlRbz8QQklUJryISDZe4q2cNvev7bWbUdKbiGrSGELQL ZnWW6+edl4ZXXPv7ukcsRjEBTVAUU2dm9DSZ4jmExpyJ0pbtylnQ8LAy63tMjfOMrDSIz9rgWdnc Y1AImik95C3VNWTABnxJwcpw7l7Rywa32SJnL1H4mYOVyxCw8TabbX7eSWm8zbwi1K2YWMbdZO+U tC6YNRrzlnXMPt1ctydH708BEjlD7zE10npWzOTxML49HhZE5qEZhhnaDCGZdZwrrVModjwhI2um n3dG2k3MUhM21k3rckVUqkL9SZY+73w0dkHy1xoqjDeWZx3vdDRssomQcScHoksaG0UzkAa8aJay b7Iopk6ytRxKPsbwgxqUT6AYWHCFRVWnW+NM6vYAw08/MIPDUFLknYzWpckEWgwnioOf1LVT0vFE Dnw8Kkiv73MIVNfKyFVTFEXViwJ1Op8bVTMNFwuJfu+pruEC9O9UNNvkyOrl2tiva2Neo41KA1/0 nSfgwmLITnR9gfrDNeHbjAyidyra7YXVwZo9B4NVbSJKaT80P+9MNNy322tnvEiaSr233xOG1eR8 dGMuoMqYNEXn1NhXvac4If2diNbllsTlk3xwuNAJePbuEqfrLMQRpEZUC2qanVBw1T9gtvB556Gx VkMETBI+Lzk+byAnLurMz/V5p6H1BxBr2wwVmXVDxncWGg8iBNXiegKK3lxvpfZytXvH1YB6J6FZ 4v0q3AP63Ros5EGp9Ow4dn0S4Ekd9dQMLfhbIVp62feDaA1JrcmwqLqAeN/nqJZA4Ur7885Bw9+a 99dRPSWVfA+iMKiui8Lzhj5HmuA4IeJwJP9MHULO0HtQLSHyBnRq9sEOInTyeoFIHbUyQSAWYx2J yNmCP/jdV2pl6V2DCeImK0xYAMrr16P5nPS8zNCP+bwT0PqjQZ/lWIR0u6x8pygKqlEGQHcp223m 4TUQMLPe26wbTOidf8YCMqPpTrgwB5uigoI0NR5YZQRYRUR1nMiNJbdbQiOC8vNOP2MBg9IY6FXZ oKMajjbTjDD65519xpDkZmY/FK/DBN3rPoqpcUQOSHz7SX0nKKfsNI9F9ViuofeYOpPL0yaVqm3w NXRm54REMsI4oWM2/AfscIgWAgDLjfeKvWu0hrh4xIot0vogPw++YyKe5fV55511acv+yu5xT98l 9M47wxKiDE32JVRzd+e9km9AdNJYw1K/0854UOP23so69lfWAXrYCczkWIQ/IXMSBxHMDPr3g6FC eerzTjuzPhcq+PsOmiHohzG5r2fDfd5ZZ12oSs0Q9xiSm168+vFOOrMYATgeLSGgqA1a1pIMHdFi JRidS+idc8bqx0TtDALGNtgELUDOFrVZgFeG8TOnkuR/epQWIzZJ7J7v+tcEkXhtg/bYRo1ItIXC Vwz22E1cVXFFcpMe+EIUU6NQe77h3G2G1JbnDFXvlMHNxa6yd8IZgUE6friDOHhadpLJCRgnN1na Q2p1cJ7+oZ3ll9sMZXY+73Qzq2WhdjazD1pCjEskIt75iv86p/VqWMK31fFONsMEbXJflhNgGXep kD/J9SABFjVDTdB7SO3Uzi0lcQ56iwa4QaapFtRVMUFN8DMEaqD4QP7Z1VUpg/FONWPOwYS1UYG2 N3pZKOk4NyeXBVQkP+9UM8bG32VYFJS+4EHvTDMeXrTY3S5cINGZRtEZb5fBWdm6ie9EM/YRWKdG McwGn6G+AfalHw9Ek5WxzoUWUMZZeysfJLB+3klm7EQTNc2GtAbD30NpjFnrCa4+7xwzhtPf3USE woXgY03PezSN+ItwcsfATEoHebPVpmdlYBg1PVGROukaQ9Rpg+2wliDV2lQ8O0EdCta856HNB4Fr fKI5YXRK0L/zywj1osn3ULuDKJQfYRNnYxJYRq+fd3oZi2f7+wwiG6leKMM7vYxhMIJFuRAC6tGq CWA1mZwqa6VWBLLWd3YZuzRcFomhDQdPyc6k90477QrAbC7kEBfw/hprEf1L5rlvvexf9cXRieIc 3emc5ype0ug/K53vGDVcbytIMlBoSe87RVE8XakRNk0h1O0tBffIJkAL1oUFi+/csu6qfChjceBF NtWShgrMpt5cgeU83RunStNkv8DhwRL7Tif0d2oZEnsC7uuinmpFx5QdnJP3on6Fgw/i5J93ahm7 9v0rXIQq8TkG7z4L42nw70jOVTAkaxWGi46xOsFQc9j9O6/MMkpUp0vzwePpmdLsWfWzsc+fw6y1 IGFtg5CKp4xf7F2jg1pO3zLbBdWE4Ga2KCkmdH5X5vNR2pq+rrKxqJ7ipY93TpnBXE/Ia21EuBN6 /YzFCS0iVBZshqIitUqLc8zkg3ftd4OiydJVhr48mUIFfRSQOX+g2u9riJiqd0IZ4xL2pHOfPlwU cFvWu9ifdz4ZX3F9b7NKmZrpsKF3Ohkve+A9hIEZSGBzszJ+S16OhZDqkJb6O5vsq/Kh1vR4YNIn aAUwfhOTB8/sxG2WYPPdEfNlar1pEYnf8s4m61dHFfIGHNwDFOwZ1nagtf15J5P9apfJ1nlBbcPX 0DuXDCtv0GcXcSBlwdCzy+KS4fgrAAOf1Y0rArfZO5UMXxDLZyeeGT74WQ03Niq146xO/axMHkRQ F2goYuKm9n4iYvjPO5PslmCR+PpgRerdWf8D4Gp83olkPV+dWaUcvB1Sv0soDKhXZcnsMsqXafCj TGEtj0n4JYmb7zQyHmeqL47kw+UigJuWGrFnCc1pqvGDDXzOVcSfrV9IMF09P+80Mus5VJz81Qdd ZhuIdV5mfazPO4mMEfVv2CtW9fKD+p1Dxu5KISRPx/OoNOEkP+rygSAx63fZO4WMEbWOIUJ+5yO/ V0+MW5HTEPgxToqf3UUWZPLKntO97gfJCO8cMhayCIqh0YAGw8bUnum2cXZz+bxTyAgP93OISlXC frTu9/07h8xwWTP35OoNsgRj/ay6D9bOKHcLOB1WqVnB3yo0cnBcOdQnKuVJga4638cU9wVyN4Di QCrcEtdFw+93BhlR98R+kOKpwWof59eL/3V28uedQEaEn08RJgPeADWNu4iiGvWkpJyXFZ8NB/OX 6g3XDDi4FlEYVfMAWvJRWZKaUN4KGc1dyUo42VPPYuOxCgWPXICd3BwMEdjnnTx254dIJhuseAah KfmC7PF55451+Xt8ZR04r5lNa35C3MdCu7VlQzWwpmWlofWoEdDtlfMTxtQAfJxYek8fnurioh8h 1a0SqqU80RcVwdmHcavm3ClL+M4bs7idchZ30AJqu0sQHADkzzttzPB9zwTBD72u5e3Wd9IYu0+D F5kZFXUsKLPZLe1qEgJRpB0WRtRUq9qK4ThcRhSSXmKHygZrZqvaj+i4ADpJnQo7hJq9a1D44BVf ZDDDwSboZN2Lx2zv6/POGCNA/XsFdRQxW77UjTCeBi4GNS3lrWtee7mZnTUOC4akvLX9DaJeahRy cEgDKEttJLGizs0lDqdA6yig13TzVvIA8BnBTc8JopObDVYZ2m4vB92vFoE+ID5jR5BY+HJo9xkK 4+mK1sY2v5TzxS7LxOgcabWzPZwM3aICtWZoGhi2z8cu5SzkBhNKvE5fsOClWQiULAqbe3ldi0I7 paOAetHEeor+w8FOIRjsSqQq8fGgY19+oT5wypd1YbA9Cqix86GYYIvI9HUI8hw0uqI+A/JwcVze A2rV7liarj54hZpm1nyTeuKrCjFCdfWBKegU6/R4urN61qN4OumcRm3ZBiH09mx+j42KxwMix/4+ hipC6QdJ3aN4GsnSgASnTdDMXjxbVMGUNsPy6lCPUB9D58/ad7AJmriRxyJOOIHfW7IQxZO17/kD FVXLySb1K3pUoYZNApT79/JBE1S4JPlHn4SjR/F0eoBDhRnumRw612iGwoB6AnyWH7tvs3OEO6Xn 9Sj2GC6mRxVqiVnCUtUHxwkDR0f1PvTJxsmL0amfCXiLjutEkmjG7mWJukcBNdn02LUa0JwmXa43 gI70Z7eC5yMOYvoKhijs32QoxzmKWYiFYgy6wsaexfPWTmEcGV32YgC9HoXUU+AqKPLb4A1pZJgL gtcI60ajBQEt0c8cQdcSNM6LP6N4RY9Canb5T8JCZAwHKzKevFrYn1kmHg8O67T/Gw/V7td9j5iI qJIBp2qi3ydD9XgxZ/ePn+QDMC3rMeyDRY9JHCwHTzoa8H1V6oPnVuiFyJBCfycAqfszQYMlxh6V qRc7Qb2Qt3C+tC7bvNZzJmfnp58jH48HEzQ8K+OmRFWqldsr63FEzdy6u6fFqk7zLc0Q1hlCuZa4 9pCPqLJQk2VT+7JsmpM68TyqwV1NZJ1xXwDKZxBGnUTV3jW47+uUGnrywZDCy1zja8FtEoXU4zYT ucIrwul9U/se1qlxEs255NUo51ql9kgPZJk6IT1luyyuU9PTeqc7OKfjJHqrA3MAwOcJXhaJXQte Bx33e6YQhcE+aG3Zozq1qkPIhH1Q8aMjYFHWMSYeDw7r8X2bUd5pNeds9vegGtaqEB2Ew3Mmcyot mxbcOk1Oshuev6qf9SiolgIDswsb/BxCRFogGUyXvbMhGBGdcBoHZedd6jrfNGjFZ/xxm8HnY/og 5BB6KwIKn32Mx6PM3idIdZJNoRSfoPegGtkCoyB3tGyUzZdZI1KdrGMIIgbaZBHoQ8fQqvJrUm2h Mq8ve527UE67kGKubBsVdOKg9PFD3Tc7hrBE8BkR+Izip4lseg5WHOoSSICw+sbj0QryvJ7KRCjH 4918hsKgugG/X4l4Bcoqd7ezLJTHYP3MNE+whKIitUD2s2kJtWcJVWT5BOyBFkRjNNp8IJ4GpOjc RNSKZ8O1U0y3R7CPTmPUlpiYcTA4PpQ+eVmP1PB4RIz2vEOcerA/xkUKjyioxs4fPZsCzPnJ8Xk1 U1OMqSuq5gqqR1Sl1m1PloMNHjOe5HhCMId1/JORiPZBUg1OJTkQuquOvetfqgMJh5wNWkQQSWZB 9pxmGY8HQfW9yyzjPYtu+EE9oqAadS7IrSvXOIfXMFxMS83AMtTzsJ79CIvU6papBju/arCAwlTG gpKsoMs6Lvs5VHyYDzl60SpmREE11XEhez580BKCoLeKF3Px8X8VqXlkIcYgaVsTFCKpE/yHW21G V1hX0HNs4zDks02yVYdGVKQG9Ai+DdhBZ+C9Mn44P9AZ4FquINe3zZNqbuYhyITzunf9WfYDnxEg PMlT6InEqZ6aeVa0Dks6MhDOf3g8anPcCeJywXytcfdYCPtANDSmyQmCv+nloZNiOgZ2jrvHooha x9CmYy4S124zVMHoOImHqVWDFk9QA462H5bt+wV4npgDExSiPlaSvtkdjM8B2ypGeyfnwOPRIVR+ pWW4G1LyRtCIAurOKn51QaGelwufpJ693VqnU4BHFFBPnr5W2eDg6LyzZkCVUqtsnEOJ9TO1c9Ki gsCVFJq0Qx9RiZpK+2d3Ed7AE0Qy+NDhI/TxZ5w7H8//q1cmmAdEqYgb1ByFIXWpt82K9vDqzQkL 0rDANkt0wuY2i0JqI7tsAhs4eOqasbNMCG6CjdUEpMb5jP5EQyJqVepk7xpkrrKG6ekOOqhxLzYl 9ydeHBGQGkgugwlf3tS4SccIkdQLJTS16qmc34wKBLMVh36woaUZipDU8uwEjscHT8vOTTZgucwS WoOphFJcihOgTA16ojXLetW7/nVSLzjX2WCX/RZ2l9IGeDyIh1b6iofEurvNshGVqRuZ9r24qvBy Rgc8aa+YYM/WLBtRRK2rbCVyEVYixiCzjg/E2cTlDyx+3k0Ao0bRJTTOJn2rbZedX4/PCLSpEhPW SWWzyzw64RTUa0VOXBuPRxH1/oqGIOFR0Im3CQoj6iX0mXUTu1oeBDXU5Yl9q9N1YsKIel4nmF+G MADzbpo5iqxQwefEHkMBjSKzGTVyO6q3llAYUnfSpmBej4FxnHYLwHKVx+9eeD6CNVz+Jt6ONmII 022O4pgaVdi5LbWf5PyJBEyPDC6iRe9yzlFYqGbpsG+5Me5bZDyh6EkJBs5joKrhf1vFV8Cpjo18 goHitfwtNZ2QnthM4az6YJyXtQe7K+feLHj8X9UPVrVpUYDFb3I6caGacqZm3AHa/VRTGupYLjeU L4Fz/i2Q9xJTn78aaLHOKiN6HZmGaB3rnPIxwIk7BI1ZxwyBH1W8RME8676JWcX/w7pIrX1mBKWe 7TtkxJ1U842pZxRT46IdnV70yFw7QIZM7Wve1KaW0Fm2vGxGhWpIKSDM4THMwWPqBiBs57mM0Ion UJPsQcOVDQi+V2FRvfvMkJ0oNRQr6Kfhot35HErMXKEr+JkhlPqX1NmgDlTzgGhGMTWysLNqii6w MYsrB55TtBjpHs4tpmg6Y3YikdRpZx+8I13QeJtiTYF6kTlB5+pDhfEEJkDlOMGVYgQzLFPT3iRR 20GD9tiJhTqBpXWviscjgF75usqoLzGqh9QzCqnZcW3FmXcsaBnhZfUr+AGQhiSr4iI1Z6YIoFcu QK+g84/cnmkrgt5GLn6nwsVi7fqpUSNMxmf8eQgNVc+GZ2UDmrhVh1DKeDxSobwlWGZwWEHTe0Ez CqkrJc+SyQZCqMTO5pNJdTc3o5GHJigKqYd08tDjs8GP6ZRw1VCBOheAhonCquCBsfCAK8KTjmXv GslZMG0dtfmgLYY+gUyCTy6Lx4N2YvvO65FL1HYZQTOMp9kKcjGv84bz6hB0R8GeZNOBwjMCfZiv 2VrbB4fnnQv6nMzyotrrrK7aVV6k6g8hFTdaJAh2RvF0ZcoBPKQPmqDVWuEKQj0Fj0f8X48Wtwqw uD6fUzqCfWClAvahng/2mMt9lOziKNB5M9uAGZWoVxa2U/C8ceF5BfX7fg4eMhMrZGGajE9Q1kfz gPxfV1UuetfolG5vpzQErLuUp09MgsejnOxXaUiWpvcQComJ1MLntUMgtZzOCaRmrU8se8hPMG2d oXAeLShXNlHTy5CG+XFHBbmqvIgcnNrLaM5Vgof746owtt41AA4xsU/05dagCSJ8kCX8tfl4BKy6 JHvWhsC12esuoQj3QXLrTsVlKd3R5Hzu7O5DCdCAnUJRQD0oSLkqC7AcvAB77paOu8zoHBRqRSSE d0OIR9VeK1FTuWpG8XRjWTGrZZ9vyx53pcxlzlLqeDxaQrf0wedQnSY7UTMUEhOB9V6rGTgvCclA u7dst9vZIGzj8Bj6W+xjT6qicLBYEXn9mjj+cc+DiijPM9qIAipceZGJmEjv9xnq57GID/a1D5oh GKYSw4rYE49HyJhfPXsyOgis5AytKJpGbXqMaiWhc9607EmZhMuZtc5ixMQVwT4Gj98pdN78QudR MyYTxQSHHx7d2GUA5hW03ZmTfQtyrxD2Qc2qvsWd2sPLZxs1GuFaa8XjETHRo2lWlKiYdWWZVlih pkClmwOOKaY0eg9nbzj5t7JPiWNohbAPVs+G9e3nVdQ5AfSJOq1CDV5dHjzRiwrx+Hlc5ibtCvEZ UQmf4q/MOjTYOb1GUiy0d8XjkbbXN/qsIiTIt8exQtHpil7rLS9ORvxCfYATbm64xeuvK0Z96Phh 1rEqaaeN5/ReMEZV6QzQqlllvYwsGDEearveagXGD58RVKipUQnVGR9sj6VWZfN6PguP/1/SjYrK 2b7AoRVF053BYnOpj1Ic59EYyVnCupZpn60QRT0IPst7+eAXWYPB20yy5AIygIsM4BYgdFGduZZc k9izFVaoCWiAa4UPmqBJSij+6PNN4PEopf91TNM+J11C0IrC6VWkM2RI/MH2HHHmheUdUxzaltKv kJcoxFAyJcbnqi8nkCChg8Ch819juITNBtlN9OybX2SbajErgnz0pV5rTj4opT+HaJeMxblw8fi/ 6tMOgUVW5xMUhdNIHKHObRmrPkkeHLfMKPNITVCo8yEF6l6WD7cstM5qkSlAA/QM9w983mBYtlCK y3eTFfrt4UMiUAzv+MzMlYPiaagWZkmh9IHHI2BV/0pZUY40K2XOUFSeBs9qDK9Ej5Wfe0zrSu16 L0+vMJyuiqPL9sHD6X4iHGjVU7TqHEoSvDgHUEbCgS1+q0JESuEzIp0PRouVMPyEmEp0oLOTc5fu Qmp4PJig9Ks8jX83Lkh4hbxEJI0Sh4Q3QO7TgWeVRSz8eL7aZUWPFaOorU2ffLhFD5xinS5l5YSl pnsmWBXUW2RdNLiCSLBfUTidFn0BO8B3GmyP7SUqx4km+Yrve4xRxXMKAewhv2vNUAij7ugBFWdu QifZqx68rkW6A2hIF1lcnxYmL00fbIbOt3oSMmCKMEMpZ/FfU6YkNSIHSpqz11owB3zZoIJPJP4J gacPKnvgUKPIwslqKx6PuvW/hM9A1KIZmmYoCqdh6ozCmUuZ1+1dsswmiykyJZ+hMJyW7/2iAgOH W1lc0yhXuJ8HvCYp60XSHYRlS7lkDrKR8CF/MaZKJoy6ZIdRAxxZ1WA+QToe/9ciYv5Gd8t7DO0o nMYRDUiJJmO0dYOhQrVeUVvHNK7CjgWpeZNNIYDnY02GdGkDwMKb7Jz+WkMVG5t6xeW//tI7Cqdb lvvPM2gJbVAFeE6nwccjtss3ALYwoR9ee93v4TSegkdiXeYIjENDO6ud+9lzVvgA2gT9qfMx+U3a 4JvsXGIkI1LR/BxIlb4CCQnRSTuhofG4JlMNf4co6sqLrNHvnoMXp4fwDJTK3e/hdCKQ9SsjYz25 3cLQDuPphBlqs/8KF7GEluwAO3U+sm2yHcXTxrb7X0jM+XklwuhQOjsXfWf+39AlMgvAx90O9VR8 RlQ6I1UBNXcbLCObyPk4QycY2v9mJRJ4htWbL+Nuh6zECfCguGNYQqt62SO7tAXK990YdzsGfJjN zfLBr/qBLh+yfJKnEnuS2GP4quHeO3e6DaA59K5/6VO2ve+gjGwj5OIfeNIZPB4UX/PFdi7LWcu4 ngr7bwi1tCgp2N0NSlUFbRdj6uox7RBCLZ7L/yDz4ByJy1jxNGzKGsnRDauHF0n+OoVYv9+x0gf1 4GgipcEyjjlod/WDdhMej9AM39jFQiGVfk+h0NAlw0IyLVf6WN3NSGGoZqdQRoNFtgoh3EMmpPRj 1WBLqE9UawpRVSC77ERTjgbaOnuIdT4NDlxN+Iyofs+iopi/6TJ/0YeekmM6gSQej1Th8tc9BhdI MBt9gsJwGsd0cUWvc2Ntl2PqfJKqcDhrbQWFunmCSpW1fPDSYssdUTQJUxuay+yiVUT/BXoUs3Zv Q9OWEZ/xF2uTobMNvsfO/1F+rIe44+r0d4uMlyIdsjRBcTjdmG+Yb8mJya8mPhqjJiyI/oEmKEZ7 CA6z7+BbbI8Gvb8i14AFFAl7+cB2YxkhiDL8NF138RlBrMgV1Ge5g5fvTwgqQfM68HhUN/slcIoQ 9ZGr2lE0jZootG7MNKA3h5qhc4wVRLpUI+e+8/f8dQatxvoiB5sg6JfC/qkoEgKrTsqmaGPQ6SDd /gYNAvEZf1Q9Tgg07qAJOluvM+MEig2PR6XX20MkMZoNh9sj21E0DfmQITVV+dh2p21CQtpmiC5i mqEI6yGRD1B+ffBQqK3Raqb+AJSJk5ynGmzhKoBQQHB7KMQGxw5FPrIUYnL3wTBnXd49ACANPP4v nWVpdSPzy4+aeYrCaYjxThqICOtBdp+S1uXSQ0iVXM48hfG0Mg424DVYPE3NGbRXES6es60NS8bO Fdrx5aMfdzFD1GTCpwTMTXZH2fLBQANzRowdfvCUw2t58hdECM/2FVOzSfcAPHOKatSTJVhbKbjv syE+TiiDbWuooeUW5CmMqomp6omnNgefJthD7iyn9olCSjWn9vZDXRN6rHsZf2x73Yh6x/mREmN1 YXBAaRvvGWj0ND4fZB791tBU9UfocItoNGQOqmhnw7VtsDNwOY2lUPsV2EH52g3tUwimZsg41JPn 4DsOS2naakr47pjiJjC2GuSRFnacK5tXe9u/gA0MiDGcZUDKHNKPsglzgGgof0EQGuXf4uYEqF8b ihSVq4ENHPSZUD1fjhRDPFeHCw8QDM1TKXZK5CqS1hcH73jAwbyC/0Nw9cnHccYPyPWA+QKkEo5t T2K3vW4kSYDFlNK8g06mswvtXDlxBp//FwoNNxnwqJXKtDZLUYiNI2RSXlvltJYchZa7oYiBQmPv lSdTVLMeclSq1FqcTpADxQz/Zylse6D7uoG4hfo2aiHU42U/TNUidqX4KZEbhcnFJR8MJdOLYOgA U/D5f5HKSXAs9NK8vSFFEe8VeSwmsLuFRZsU8CxoUfem4wo2q1jjmqZ/KFTTElrDLRlRbJGG9rjl UmHpNvMAR5q+vwTjGAbgQ4J01rI1riUOSvg7hWpYVEubz7/PUk2/GmjoZAFc4pMUKoB0lIrY8QJb seP+EJFKJAr8OFlyKNpxUbQ95azUpPrVHkOBxq4i5B6R0Z4vXYZnrD0PaMdQj9KUK8e0t420mggk ouGiBk3SiYCVIZ6oXi/5T0onz/opiNadpSjihvYe2jh2eoOnbad32o7WnwCk+OkdVrB1u4mA3x8C /vm3qLYsFkYQXkJFDu+nEso5jnrzrFYml/yQICnZrKr1NjUM1fjPMu5TStXnIT4fRALX/0WyaJ32 1c9aCjEhAyVa2mDi9N6yW0BecsJEz2zJf7TTOxIDQbMMHRDrh9QHV0Q3G0k0whkH5zdTWwgE8H5b j7ieHJJTqFYtzwWi/TTo8C7bVB1O0jP5fLDhruIOngN4utNDyiYpRFl39kJaNVjI7O7Xpc6E5ECw tG2SokL2MENFdmY5eHoLv9KTVrEZslainQDgaWha4EwgL4+K3iWT/Up9haCGlMWn6j5oks7tYMCQ waAytIH5JR9XsIbT1YXPobciKA9jdJOIHeCqeF9fNxxhxJhEcw4MoSFbQGtKFWhBKoMDn/8kPWtJ s7r15tMJRQ7cyMAD8VSinZfe9k+JPWky96vJfBYlkdCo4MNdMbRX/BJl5qmE46s90VLor9hQ8Dfw ngw6vdSWCIIRVLa4bEoODBblb4DpIaZ4P/aBJx/tJ29rpJrD0yMlgUYp0gEiNULfi+NL9rZBT6TQ BQZlBhtuJaBIoOrsdD4fRN5PSZvXIe+Ax2Ix8FjEc1QRMcorhIc91e2dINpCjEi1VDeHJotqz24Q xm2wDTcScp0l5eFxzp3G6BNfDX/RDwuMhgctzd42og8ReaPIO9Mbk7N0Im/2T8/ZXQefD7Lda/XK 65DK2f1ZSmFdG/rIeRlm5pwV5ZqZdpcf3hUr0yYpDLzJ0NtChnLwpbRqkWID6kuwCK4m6gjVWGAh nsL2GP620YZjzb+xl81BdVtUN7fRhSufj8KA9R0rIdmZ45mk2BQGOUkyRN9JGZMrOdV9xcB2vdlJ 4LWY6JaBSz0vH7w9Mk5ycrYcK5OYPgPv06se7UdKM1/DimGvG2EhiJxlNIAh2VJqbAFwKcGwM7Rb LPPXLG1CZ29BILBbPJNLvhJpP6xOFoOvoQ2ZXMxp5Jl8KcVhN8tuhYpxHLz6hpYvdN8YBZxlNZmd VAYAMKRZuNPtVKKoXA4NF3OR8sUuPnApwdK9SJvypLx8PtLYvb6vCkU3PWPvLIWUxpHZHDGzvE6r A/FAtte7J+ydLaIMLRdXUr2EgIf+eJ+cWwtZhOChZxcvpqSUCYGAGXqBieRh4+wVe92/dMGSlAw4 KIdbHbpdDBLL5vPR4f2tqg/keZ3XDS4HrovKTubZ1NNqcIotWYMjMoOZbiG2SrMUOcR081uUMcVj zZBPpn4O71aFX8PmZsOJB+AJK6EmWx8Rg2pv+9daGjTd0WBI7LOWpJM67SX/paZCehZyBBhx+iSF YTe8qs7lb7JOk60g0fTntkmadD21SYrlQlhaWrv7YJN0jrqzyaZYaeeI2mqYJLrJQAI8E8VhiW4d 9rqRejwVVVLvPiha2tDblbDTynw+yk78WOKEYK/ty9zLofciaIcD1GfD+c3hrJCK3FSJ7mzbZHly bL4ooJ8qIhy873ZO7pUm3agLlB9WFW8GmBy0QYGc877bSva2UV+JBbjNi46D1bw3C+kkdTY+HyQn 3ZcSmxaSi76I7Bz6L6IwcgKkZVC2NodVutFWn444ptEc11JowKjEbFbeX7PeKy4zCl9N2tYAbmVW Mgtyp2ap5mMv6G8b9U4sd2saqoHZwIBjMetkrZnPRzWTX90lXB/1yXNDD0a0NRECqxowUyZOFPW3 tHgqbQqHTN9woQnjYFuN6p82OGa0QUXF6CEnhyN16kwSEf60aM63hbtkSh2aMGbyQNNkF4WDXVSJ ZglYSmXy+X+xiTkfSInSl7N5VPDGZTzYAuB+OyfRtkkqEK3mfluAjPkkhWG3cKMKFzl49e0c1ycJ pYjRiSITHYhQpBz44s93Qi1dgf5yTfa2UfWN/cnM+60jvJ5qFo00JDV79vbmL4ji7nsqybEBfJrH vTv0YkSLhdbIVn/jXai+wKDtu46l6bXcwIzR2ydD0g4cbi237on0BNM0G7qDHXEAvsmx6RyTx011 FS6FboyJsgZYmhrMiruDhksNQ5CX+XxUD7jeMU0BBDrez2oK3WP6pKijgyYuJqBMrghjheLQZlAZ GDJendkhwP94+EYoYw9A2JnENSimyyEV5Ea0Uljttp73XPa2f9Ae6960P917ucRBL0ZlhmQCn4/6 At+4CQQCSJ3uJIXIEiC1F88tIUvSRZb05UqzROHbJIXQEuo/4H73wa+4cxPBxpLc2V3WLqw+yfoD 6rvoZZlZnPQdc+jJqHOJtns2KFpCKMOgAJ66fD4SdP42ZaS27yMlkkNXRhiGz6tVCO1m10wH+Eo3 3IaUtCVxgS2jg5F3qt0HLwf0CdkGaV539M+IsyBQEJAuIYWkaiRnzxzaMg7G3UtVAQ5WWhrNcJLn iOLzQdxdLsrNitvzV64bWjPiOwayxGq5o5XkGJO6PNcd9VbgAm9GTBPTtzGKDz5N9eQnCRNdKAYE 5X1sOGLMKGWLYEDTBJ1nvW0wTVVeVhI3uobn5xJovZgob+PzUarbvzYceDUU7vBJiiJvyEGc3WC1 NtBEu+NMxpcs7011A3fG/P8M8r8kPrvWXUsbfJFVzRcNJUVzZ+Q3CtE7bB+LKfeytw3uOMK2U1E8 UJyhhSpfk7FZ44YLoSZ3kmTHfNKM3i4sOQcOjZBpBEKANR1hTbyTe1b08ILABrfFZulvJmTXFde/ rrgJ8dFRyPM79w+OJvbhcHZDIu1nQyR1qvVdu73tX+D2UsiI5KBj6XzX8h9pEAnPoUnjF4WEheCG i3WuG3qHNo3AHU+QhQz2lqdhb1tb7uyNI9erubFPo3zPsswK8qVDQmUchrHsfZ8oafVMD7kuf1RI q/4Hvp1Dn0YgYCu0/YcPDgwECI2zNCaf/6cMNplS3LlUqLJZimLvPUhdN7gSjJn9jmuESwmAW3P3 WYpi7yVhiCbwW9vPuQSj8o0eCdGBbWSmxb0B5UFsfF8PNbvb2wYAAcJMaPdog7Zcmkvc7JNz6CWD XPcaDzNqACBvXVeHHNs1ZooXL7N1mMUNUyrttqx9AgyyYu/Qr7ErBtq5+WBLiRYeqXch3aGxWqn0 jPyHLhtSwzZ8l1rfoWOj6fI3aYs155N0sMpki3qicD7/Lxwua4T0I5ntmaaQHIlpalB4NakR84wH 6WY9UiO1+Fr627VxqG055DKpHQfn2BMoEG0CyQJJZCK+PGHdxFLeHi9xdvkhEQOZtTchTjkYZQLq d9xDNeslo2S3fh3fRCqO9BxLYeRN0fBhtg7IrK2kdO6Mau6x59VT97UU6o2Ik5TqHbxfCW20zSwf 6XdZgNWcYxO4btrWZloQW3UpL3vdoKtLSE5hPUqDQ3JyEoxioasbuDemL3kxMgDBwqAmjM1SiDXZ VKGtlu0OQpxUEijdEt+zLZIx3HLo39h5Ho1c7+DHUkYbXSLqZ+udRD4RuITKbK/InhONMJJcHrq9 7p8Mri4GV//acbtM2TygcBJYOP4yRJdM3aaHxZ2mKPimRuUkZFilyum6GnSrsFIllD9tmiK0SWuG t80+eLab4I5aCMkh+b+yvJRQxYDy3g/Lj9YbWN3eNtKDpJF1FjInOz2go19pljw8l0J096NBT45J 13K/sxRWvdHXRePWegPsGaoIV4v3Bnpad8vFaBMJ8ubkgy0m9I5SQ92MkMqC8BuLCSWHDnhlbrd2 kon056cEWy6R+Q+KtA06mIa0G5ijdD4fxZW//GTx3dSvLReWvTtkfsrQ3JyftovYzOITBg294bMU Bt/S+WkUX+XgwffZGZB0JbxrgotD4OkGcLwDqpN52lqtsjd73Yi7rY4u1Vo4aDGdX7yJqGl7LT4f xJUXBce1lAfjgX2nKax7nwU3E1BugOJOyu8SBYdaIIpvlCEBbFb43NDPUWLiXS5pXYBgTFNa8LbO KORDjx7ZJUq5MAurvF5/ClseFjFR7ScHjo6IBTA/Tbp+7UvX7xxI4paeaILPB7HA+EblQEXnu3QS WjoSeAr3ImtaVvcygNvcdaBZN6wMPB2RElIte+c7eP+7wfaBZVoYBKYsYtekcRfwtnCbtXSXSkr8 kGiSls7t5IPCyhN9bVNhxVoKTB1F/LCASbAcmLu2Z5bCwnehiqbF2dCstZYAvJF9lk5U5SiB0NdR oKWtaIiDw3KAW1ooBrH8XZLwhAPAU+MHLs/khgKmwNgR+1pGNLX7YPku8jpe5OcHPh9ccjcUcPcr lG9uxBR6O4K6ieTKASdyUmO+u+t0wAltojVLYfSt3ERio+tbbBRmsMmU1yEAkFlk6RRHAwUc/5Oz KFWGC80d5bOSMxFemZgJHjDn156EjFLdJ2nkL4iESdLX8S2i/deOi4JvCDYCFmg4OLNTY7q7q3sX VnLFteP+LnyvYRZ93yJkuICgWAzEyTmlhnrk4HyfCRo/CILcasVfNmo0yc553cGKArN36akOQClC g8cv5Q3CUwQYu5FAYPAIdzWIIfYsIvdJ6SgQsInOvcoSE8x93XGxw6P96SX5YPESKklnino1wdEi IEGmgTVuI7JITeyvbXvbiFtBjTaJB13HEhxLo3JRAfvJ5yMGyi/sEsGCV1EzhyaP0F8adbj48fnJ O7s9ObjyHLDZiTqByyNKlSpA5uKDZyjnrtwb5A2kcWdVbgoFJYXg515cpT4wuGVvG7CZhkxp1CKo 2cGCsHTJyvJT5fNBRTd9bzdYIaF4ficpjLypEC3+JK3B81WILtsV7fpa3o4LrB6dVTnIwdHguS44 O1BMIrPiRBx5siRQFAac7wMhk9N0qNiWA7PHzCoaZl0N3nnR8Ol8udKkm1XPRwXdb8/ZjCuy7wd4 Gvo94ts7KeYWsGvKYYh6SZThdr2kfjdcBDipDCrN8YGDraWTrYNPwQ13vrjkxDi0E4iy7uuZpe1v G/WZGAAMAU+HA0/hkTXlWTDRtAwsH4X6++rGzUpvCD+7Py/B0jyfKcoX2DOqm9RWXEy71XlBAqsb xfslVDq/5gQBBjed1QcLAhBFnlNdMgpo7dYu1DyFrJFFpSvpv2jM+xIo8U2TqCcyEcvXROyc2yZn 285F+nkJk/iC29Tqy4+yGKgBoec3eaNGk9NhmrGbgwMkN8GMipKn+HFtGGRrBQWTY9Y9k1aGHLxP eWKtCb1FYnEQeZN62qizhTslw366zvyFgX+dnZNS0NSoLJG9VrthJFQ+DLd8Yu3X2UHgsGx2xH6H cCWTW8zOS2zEhwBPPedm7T472e3DdmHIvYkKQC0Is/MSGWnpMHbe4xk0OyhFY1oqT+qTk/UlJYqG CsWGvkO+UFxKRnxe4iK+aaEH8/k3d7AK904EEcHMqX1egiJNrZQfbHJgg1cY1HJyXkIiWzoo2y4T GD0/DReXOG9w3USqA7leAiL+mlEEdk/LB9tXA+AbnL1cOqtuQgFP+EDuMWLxcS3BBS59iYf4EVBC QqNtq9+GfiLhEugFcEf+AG/4eQmH7h7R7MjRqKL0tG12XmIhPQQJ/+qF2cGuhaVot7uN79mqtS+R kL4ZxYlTwtnzEc4e6cz/Inca9Qf0ZmmjCvPfljqUN/rdV4124NGp03m3z3UHq66tQQlrGEitz0sU pKeVWzJFE8GUClaanJcYiA+1AlGJOqyUzT/DW//F2rWLuk5yUI32lSZHuvwcHGsDZAiAt6Qqn5x1 CrUFCetGmWZmDry2SmL18SUA4quurgpIuoMCIGi0SbG41fJ5CX+0CpovHckjg1tdsk/PS/Sjk7yi 6S9LHvxUp1s9te5FfzT93R8jmJ7JftkSe2t92adCDgPHEGMfuDKJ41aB6m2QZ1jIRS1tlbFBtLMI 04L4sg+aHWB7iUNqK4/PS9ijQ2v7jcVIsvHWGjY5LzGPzuRKEYmpyYEga7qhYXMT8MEMklK+0drh Jb2aNlb7VqQfqdOPBhvrpGVYH5DsRzWWbcyUrv8MHfY+LwGPvoBFLDtsF2zQ7ECqUZ4GZ0N/XsId e8PmO4sHOCisJfmp/FJn1D036KiyrH3dpTFKQRspi7NLlIahal6qjPpik/iR/6tdN0CLpFVyJa8K RVjojqE9BJ2GAkEUx7E1KrMF07NYiR24/mywFhHQIqTylYKn36enW0/Wwh1cfAqZMTsv5UX9WRvs GpJbNTvTC2c0yDUFVpSIJSQRhTuyA+15+ODhDti08hiD0O6ZKurV0wWP9XzY9VyANs2LXkqLtrUo wErNXw2WeY0yWBw6c4ang4Nn+LGMWUVifvKSGwxGoTL0EqG5ppmAh5FRIs+5Th4JeTVkiojtF4aD Mr4SU3tfpnap7P8lcSHgji4PsQyxyJNdIH+/Tf3ZRE2MNhfJtWPnO1gdvzaasUCtFLTRaHet/DVB jDJYrdYEReEyvscxul1XaOy7rF/b3Rn/m40gcWrCBcR8azEtXeumpQWuanNqAZ1rHFVDEmupUwXP Kkp8WA+2TyH8oxVUVNmwOkd3+jFcDBihn8XJx6OIuXtQiOcWjOzLPZ7fCGwWEAA/U5oFPpMEXLWp S7mQB5T8DIoVnEDy5VmKiDlckBFNz+iOXqCPnll0BVoRmrsdxsA331LiHkXN1PU/uYjwoe1WN05i MhkXYmV93thrlq/lr9gHZF44fPkERXEzdM4HvTpVvG/TeZDQVHGsGi4IFVyjwFlc6y0Fkv0okBTQ c1C0ZyMIxHgz5SWgH5BYmE3cCyzpXd83WWfnFZh+HywjHbNV5VwL/Y3oFJJ4rKGSWEsih1UT9B46 S7BwSqmJp9Dczu5jdGuKWvUSs99j5yzI48qMiDV4cwMV1L7Jojn7qyTFzptyB7DGkeJ50x5TUePP U+j8l30wWGjd4lpQ+PCNtGZbdPoKsj4Z+pjJ89I31tpduCd5zAaZWXK4ltelw2gXXU2Vtr9H0NlY 2XvN5oN3NnhYTzPha9C1Ef+RmXxj4/sWWunL88ZZs41MtTHZGnDgFAEGt8iFRMnk80ZZU66Q8/cx lIEe7WRAaYrCIJpmlyKqUcLm1lnr8lN7LhYAWWeNomhxsfZmksHB6z5lQkmI6GJIR+WSTGGz/sis ELI8ZuUo8mMURjcirhoTEg0GAyluPDPz/rzR1W5++5W+08f2ucuiQHqSHwqImGqse1fv26dbYyXm UdssiqSHRLUGZfs4+GV/svKToqIGVRE0Erd5YkYZbyN4oPSF6R/srZd9n6EhBaQ9pg/aZyc2pWQj lPDm542rdu/b56SGzHVD8mEz9B5LYwkxTXUbvkXuoDvKutUlvFNtCUXB9CCz6uT61QdbQmCInBUK 4jV4BRBpo4pt5dGEzkUrt+mjdsZ7MK2ADzNT76AJgsEO11PtkK2Joum1v5eQnC7TvcqicFqexAxD SHiUfTOrh9mlfRbq9DZBYTwNCXUYOU0frECWTuwIK+Kq8mE+i4noxkoL0PNpcz3ikHPqXYMJInJ/ k5usQeXDAkOGogRrfd4oatpj1VeQeCMgpDYPht4Iak82NlidYkeMsi86hAg8lY4WEIicoDd+mh1C aheqvjr6U3yeMHRKhMYgH5ublY5G51MCpqA05zJaQ+/6fpVtVskGxRI1GHgfNoWcoHMpf97YaRYq pO90FYYIbd368xs7zTIyNOn3slNopCslnm9jFS1Du8neyGlWFtD99F8GH6T9QEQjEg0eAAMhGsQv Qa6FAGUG5O1S+OxlowI9e/SsVGiwUtlIlEgBkHN+3rhpOuZT+zqFKNQ1thcS36hpWriFXiGtGzx2 ufE3zleDNJ5Nsw1f9cZM08tLFzIRH8zBz+kCM7BBU4wKvkymRO3JF09SNlHuHE/AOKh59MZMs3iI uKFJURAOdk4v1lFwO9X2eeOl2QR/VxNJ3KzLr/o3Vpp22YlDUCXLVi8rfXq9jBJNrJdVmLprl0UR temuKGfnYLvsnGFwCyGC4Wwt0McW72TAZ3Cel/6wP6Qs8sZJ04ewV7jESVu3o1qBm5dGTcp8PCpG e8TIBQMyMKq2PkVRTA1tOMTs5lM4y3W27izSKHGVxui57N8IaXYQyXOmFx88ce1pbrW7gFgBBIre PJAxa+z0t/UwZckjeiOk3VUAVTGyHDkotYdLE5X9Tog8P298NF23N+sgzlhVXs863uhoWkSd2ywb haiz/UYxvz2yz9AY03s9UVFa2IXdhKxqFzgMQwzUvBerrhBhMXIMKugUg+hEgqv2gd35eSOjWdhO bkyiiCYHmyAkMSoWzvV546LdiNx2GbcUGvLspWuG3iNqSW6S6KsZauMC0Pvs3tJgr09r6D2iRtTA zF4w/fXA9EmvPpkMFfvRAJ202j3bDAJ1KKZmihV4eWjpZYPioupmg33GMpzycYKaUYSFWml/3pho +lufKfqBhSSJI3eXhSE1BSD6RQmNS4o5p2f2zLU7SuiNhWbRLgOhhYNIg2euZ6rRNmX9DIZq0n+Y KE4XNOCgdngFV5beNZggC6Up2V8XHac5Q5hwafecy+nzRkL7zyJiNRJXUYEApk1RVJ8GHeUcRF6+ n6WlexBVNwnb9DbnNnuPqRMFZM/coLlpg4WMmJizzSoPIkTUhYiFExrB3anR+tILaFwWnzcKmno/ TFkHocIanDYE5wd83JnlzxsDzR73zBWP0YNv5XsORTE1eG/g9hplaKZ5A6JsVX0cD93X0HtMnVWD xYGZfPDL7AR6JUnaCLn7CeDlyovkC9c7onE34sOq/byxz2wN0TFkUXaNg2EWzym3ZNqc1+eNfKaI c3o8xBpsJlrF46E35pmtIEr2V5U5Ri3La7CluljWrCgsq+8cxtSowZ40FFhyDd6VP98uNMWpSNfB Z5QhJmgIBZJiZT/4KX/XoC1PRFCB8ZsNmqAM2wol6yN/3nhndtvmr6wMESusuXyCQkwH6mewrfhx zT6LgWA6kKzJsanPhBX0xjmzCULhrOAZG+4EdaiHIOk4t9gaRBhhkTUax0OSznhNYMDYuwYTxN5Y FcuzXpZnS51xOpV6+IrBMZ3mr5usEG1zZygKqaFDfA63YiyhVKwIAqZythIs2PZWYXwjnD2YoHNK cAmldpfQiQQbVVU2legAmZfvAeHsk6YCjylxsXeN0jJsrsk1p8HyVgZZXEKdr/ivY1onDu6DdOPF N7KZTo/E2v00VPBQT0jiYdTtKyIu2l3/xjWzNcTdhVKYDZqhnk4CRu6LLGWx4ZTi4h5p1HloV2eV chhvVDPlHIRNDXqINojA/FTBOyY4jLj4Z8rl80Y1+0/tg2V8HFeU3tAMRRE1CL2j07vFjDHs9oKN l6PLWx2GLn8jmhn8glVqLAobbIYgwNB3If4lA9xM3+aVwLnN0NK5lY8+7E2jnIzJWJ7ZB8PdwVJI e2zOzxvHzMLpOz268wBLetBB7/G0ArAhcjjmZ5XkK2jWZL3EBYqQzU9UoibgbkFBwAdHMcB+/Pxe VfGRPUmfhiqC4GdDtch8MTrl6N8IZnZXss2RyMuvTvaj6YOE3Mro9fPGL9NSyPcUIghG2jVePXuj l+lToWJYRqmWtkpAdBJcctPW0r28+MYuUwFW+eqijCMHz8kQH6YqtDQ6cZU8tMpWHosR/UvxuW+9 bFRfJNKj5zvooB4nSieSE0ny541cZpGCL6Km6hCzQu9zvHHLFAxhEVkqxhr1A/bI3jabk+cZ7/qw Rk3p8MWAGqUIHgpECkG/HZLPDKjHuRJYaUMf9kc6IUxGldnTGerzxiyzMjxxHoXnUAfQkRsmN1R4 mXee46J/3phl1i3z4ocarqgxjH7nKIyooTDes6k7jioUDO0YeIUqHkqOlX4jlmmfq/ohpbD9KIU1 eCGd9UwDmno2Fu4AYoCx4IDKBKLRy/gMGN9oZTqKKMK60TECyYVKI6ygOSyODePPG61Mh0H67rji ZKqQrrAZikJqHIAD9HevoFVfRXlbCw1012Wp/RunTG/PFgeQVz7YUZQquNNziaB4XlKKDmh3/UCb 52evy5YiZODzxiizdpcMd/+jGX4eTkbBPH/1541QplfMjuRUTZsQgOUz9EYo06dukKVnVsljQv/U Cvnt0einLx911d/4ZBawEiRdiSPn4Kk9sNbUabBe0BAiDypo1CDOEn1bD7/ljU+mQ4HWKjPxPpsq GUrgt9ILDjCt+Xmjk9k2/U7tBauqd4ZC5EejOij6SBQIQ1M667pviN3+Pxp7kIOL6+yNS3YLpGw1 Fx8c1tDXBGCbAdGCJPKQXSEwg1QFrv22E5u9a3ThsxsNpqoNVqYGPImwhhOcf96YZFZ9+DVBuB5I l9QExTG1Cvnlxzjl3WQKz9ftoHKoy1QxN99oZLcXCv7Y7j5c3AdIxIoYW4Jwimgt8FbruwuUeYWL sRA+bzQyWwPSS2EttviHZLiDatHCkfvzRiK7MCDNEDGO6APRC0Uz9B5Ty+HuRIzTmD/oZglCTp+J HzOQH9US1zcK2XzQVbuJldiuRlFFLe3cA8J1ng+axLtOIMt/SFPLDG5NtZhUhDcOmRX7WDhbvfpg uNcTpw8pE5yY+o1CZpWwW8i/0I92E7M3BtkNGuFdNKyAVte0AprNlgpoyQBobwSypwiLqsfy4RZh F/KyQt20igq2pR0IISCTg81sqeuiAfgbf0yp65DRk2LHZfgbCBSVatlozZ83+piWYPKTmjwPskXy 7Ze90cdunxdUVuPY9e0sjbrUABlUTevGI39jj9lNiqk5EWH2wWaop7PNEmsNkJovI9NIZLGqPrAo by+ILNrPG3fsa4Jmlu51dt1rOIQVs2rY4/NGHbME6xvCSHDeTp64vjHHvmAf3YCLA7vM7vqa+nZ4 cHExmTfimK0gLh2g+mzwlvQ5a84MszWVQbSTY9+mzByjrOnmzTii9K4Bo4U9oBP2Nx+0gvr5T44M u/DxqCW9vs5p0PLrWl6AfeOM6SlQ6/pu3udQh2w8DvJMvZtX8d8oY3YKFdV8qg++gCBL2ysNHU5y u8C290I/FIx/KGdihxAxnm+MMUus6G4NfKQNNkGtbKGrel+fN8KY/akX9UGTMJTOIKhnMxQG1ADG 7O6GhZsrl4zoKeQQMteGqIqZa4sC6rSYuVIRfKVHERywSxDOhkhR59KRDx71RQr0W2q6mStkCvkZ wVXPEnVRdsbBUQ1oD/+QvJvxeBAu9vp91cuEwxPXFsbTKD7QssNEdpzcW3farty4d/VTOipRJ0ro bRaHNPhVv87xZOr7wMTuJiYwnAmgcfHDUribW+uYjgLqRbohOY02+Cm0Jdd0XpqPR/Azz+2lE4Zv 6G6yHsXT0NpHzaxY+Sw7G7PCKdqKQ5NefyS4vMfTqt6dtbO51zjYEsI9f6asDrOWMecdyfiS+gPk s8LpzvJZj8JpdVvHYKmag9WowUDnRV1GxeMRieMXCBY1lnIv+h6F0yBDUKfbJig1r54tMRaozYBE gHusR7CPmVnEHyP5YBM0T44BBD6P6YWMgHntQu0DCiI/uF4sJSNMAZ8R5RvstqodnW47GgU0ISZr PflGj8LpVG8FlvntgsLIXUFhOE0D+WpO6ICJmZAVhLEv6gMQDd5jPSpRq2G/qZijwfZYgw756uyT QXygToo4sZY/YI0pTTSj9hZ72b/AZ0kyewnlGB7VuY9dpWICHUU8H/APLzyPMwsOGkTUfI5CBuI5 gU5Ovp28WrickLWyT2+el2rZl/7pUUAtau8qhLiucukcMHtFjXQuofFHSsQOJfTyOqSnCoG/hj9L 9rLBKkqG+6g+WI1xcGny0J14PErs/ycaqrc21CMWIroZg8kRQbCGRKNvw+Q5xGhoUJup8/cEq4jO hLsTf8bBZujsv71HHzIA7yC8kB+Okgvk339oOuiyFVvvGjQTSc+Eni6H850MVRgRkhJ0dw58PB5M 0PCrjEc8ybY1+WXf43gavm5yauBczWIzBG03myFWBTVDEexjSqJ5CwW7Lwr2XPWgt2y2ggroO4NK vIi2zpE1tyJ/O4jQ08NnBJd9kwfYaj4YTBg4SaHxcZlEAfWzy1QbgjTjQ5nqUZkaONCxUpZqPAJq E/qGH2yVeypgH9N2WRhRb2Jbu8Rje7lOBAOpSx/UGuqQeZ9cbQk9MjKiAZ+4sA+aXPaoTK1dVhRZ FxfFABZCrVrkdhOP/4vRQbYMkco73cM6iqnTeamzE4iegv5gp78sdApqkp8VOtuE/vC6j3AfKYnm S8YhB4fj5xMujDSErgKSiKFTrdxtICXNK/dNqyd8xl+32aDIlQYBY05Eq4omsOt4PErsnbOpMgnK lE88FCGpQek4URBAUzC3bIULRxTsVGRuOdnx1S6LUB/0tjzBYWM8lCSgyYZrqpDuIJIaME+0SnEO IU6kcCxqm34OQc8GnxHFQ0R9VBJ/OXhtqCR1wHrbeDxaQvMrHsoIbNBp8xkKY2row51cYFj5DLmY lc9KzlY+6x2bhEsoqlGvrNJr6j74Ejpp2KLQM3AxZ2Y2jZ6B//thKT8XSsaz40oWNj4koAWR7wvV GR+sSD0HNWYBHm94PGJF30aHXJ8xr0RIGS86CquhqH8uiOQSqKV47poFukLuWk96r7B6hFoeSfiq VH2wqBHEWRjIMfHoVJ9n7lqR5KGCRjNC99fJetegxMjJKZxQDTqsAdhW9WPl/5+x70xypUeS/M+z lLVBi/tfbODuEUjWdEa9XrNZvN35spgEIUK4yHg8CKtvEZa9bBSG+gWgjSis7gCgpWE86BMzdGeO 53Z5QdRU0ASFVWq1OKp4QfXhBRUQr/qWYgUce1gzw32PbPWstvmQoxddY0ZIT6RKfJNZY7tmjbXC kp4fNxcfD6rU+zusBgS29nsQjRBMnREogrdgNunFiVPnf+OUjsHqF6n1UZU6E5pX8Ls0usIg5CCu AVTZznIikB+TQo9Q/eCaQiqc173ucXDjMwKUp3SYk+KixDY8AyLUeGmRfv4PHo/6HF4eYnS4JrVK fIKimBruiQgIHW2emgOFEXH8uBjzXUFhTM0tlpHpYOA5NAhhPFn3MLkceA411Rd3lbr22WIX4kn9 VXxE1OYonJhyB6t9oKEklZfZ8HhwCtWLa2AzcZCx5eHQiEJqxKcnjs7FzqCWrOpak8AOpE1B6FMT FIXUU0JCwNfb4NWhKXweVZg76jc03Ok0QwA9Ajiu2wmiNfqIatSZl1gTBe9MPeIQZR110izp51zK Gc8Ha+je9lwvg/xIrw+NMKZOUMtddjifLDZl501R+VM16jF9k4X6HptUhfkMHjGipD8td50nHFDX viMVrCjXtXZPoU2W/Qih1FuVM95oUs1STA0iOcEx55TD49EpVL8zV/Kmyj2mQyT1IsN1Njum90NY 6J6WIdS1IvWIkNRV5aFZqg/eCQLBlXau9NctipjOxcOCEZIZcBOtVdar3jVqlSHp2DvfwRgd0NOR uEAfeDwIh9Y3xhPFojJvP3pEAbXsz1Z13pTQDazil+rKyxNfXxMUFamnJDuX9E1172YjluU+uwXU qFJ3icbji0D8YtLA2vbY+fP4jCgYUjuaVXwOOoZQZNcWWWvj8SigvsJUas0SpuzwqhFG1Iu6eCsZ MmY0ZwWltTxvhT62zVAYUSvA2bv54B17eIJBu5M3/flnJVcYZcgfmshnSlPopN5aQ2FILYkhOP5U uvRerLkiLDJ1F54Pcvt2a4zSLeBJ6Ln9CGNqQPTMzJsolerVj7ZdUPAcvcMowCOsUzeC7CcbPRwe VMNZORKUoq9QJfmjIIWAei4F1b2Sv6WkE/IT1XBtRMIKuaMpSnOzagehaDwelT8e8BBlUHGusXLF OZphpRodV1IZLSBaLgXf+gNCA3eMczRjeTweRV3Njv40OyADuuB/wrwjwfWIYui48dCT+2HBxzBo TDtmCPwgjPqkut0Hy8wmjHOwME70hcejVdS/jqJFydAbUs8opCYef1Az/j80QWX5I9MgdhoGZE44 FzExm1GlehTp/Yge5ChMJGZnKoB778o54L8kNSbgP1C+RWXE67Coq35myE+kXPcA3sIGTRB8WGS6 cO6BzwzR1D1/5fYMP+etMc5Q8GMQiZVN2XxSklw1xrrsUJKmpFZQyE+UQ5VEY/YjGlNhcbLpawLs UG7w+OZJhFQXEQ5ApM5xpRbBjAvVxMCScqVBmwxUGhnDnvX/mVFIPS9hgWEUFVjJE9AMRTE1hFdH I6qJSnnZTSkqNW+MOFWWcVxnFFOD4UyZhu2DV2Hn2QzwOmO/DJLv8kYl0pyGEPWhSeN74jMimjRD RUnmcdAM5RMnysLrrFY8HjTtL85TZWosoZuVzSimPgcDXc7MTKi50TDS1mWNISl+aIJCtbyt4ock BWt5LrME2yU27ujHzBrQWUJQM61wGpj7weMDgo3PCPYYCeSNTQ4NVmHE/4O3U+kVjwf9xFa+AsbO 3OWSgmYUUSMSclIQ+0LJb7Ixp7HwoGXheyxCfRiPHPmvDd5xPdEy5CaEYGxAaBLBOFBHIkgFOYIF jITBziiiphTXuTzy9kETBN6RZIfmGHj8n/UzOeUVQot9hiLcBw/K0S0KGnL/UR2/efoxCUJhhXFG VWryys7aUdsMg+M+WJmeW6o6Y4Mk9EM3D6iYw8Sz35b02PauwRIavMDou6jBWkET/8M9thoejxL7 XxVGzs2tUc+Qm9jQ3hBjHAHjugFjFvCceSvWM/PWGQrnQRJupZSGDxf3MSHfrhLs+ZuwBMUEcV5A 8wct+zpRbb1rVMXn+QyIiQ2Wc5xbYUhrafPxCFrlx7SA6eC+3JxjRrAPiFCeH3UJ7DHWaq5nulo2 4t1CEGaHUBRRUwhlpUxgTMoPMOakqbi1JDt0bvokp5NJiXLoclOz12rUFK6aUUDdsongDh8Mewbu JO+x1Tse/5eYBdU+cCz25KWhGTITB46eba6BAN5uB+dJNpjeHL36IRSr55EtRsdwDTZBmw68exqj Y9L+F9AzTBDpPbzGxExc9q5REZ9wj13vYMihjZITJqjkgsejUHF9RULEZK+Li1lRLA1hPLT3DJu3 lMtzi5Xab9Y6TY57RaiPUU2AIPngp3Tqq7KWzF+6GIJ+QFinoOLGlOxbjXuFqA9SmoH09sFgMRW2 qT804a54PGImfgs1SC/rXmMrLE9vpqoXiK/LfdCRw91MJyglOoNWiPpgjLi63HF7uSDqs/ggBWh1 jxNcVcI7EQuT+/QDZpmXp7HQ8RlReZpwD51B6TmDztPVQsVd8Xik7PVN/sVvDjaRT1AUS3eyKaQq iDMoebnspE3JGhwLNtI2QSHqYzMNy31q4LUrdCe0E4omCBkCdVrmAN0DrOYfMGW81Yq6Iz4jqpyR LUUFCg1W98DvMBRLDzz+L1VlwtRQOdvTk40V8hJpO7nsFpv0F7yVs+XpKsjH2mIhhprm2wCp+eAA 4Q04lQEa1oDRO6uvgzB4rJZ2Hbl4AOIz/tKGA8bYB03QAr9Rvsp54/HoDPqWnQaB+9wgfs2vUHd6 UqFyOgy/usV7lRWBsjFEqjykV0hLFOp3ChrDxNxvMfjcoNKKbhBhMYOkMpzq4K3RdkS3GHW68BlB 5WzeFut3pxUxw8q65k+uscLi9JPP4zlAIFq6eIYVxdKIt0YlK+I/xH70a8CRuwvD4eywGfpb6IOh uA2OrII81RLigxNTiA1BwQB+bmgB3U1WGEDhQwJQDIuLRac1BwXTNK7iyXLOUzweQD6uFIqCaRCm +pWGW1Ewje4MmtFGKeMhYcXFWd3SbXT3lVhhMC2KQqeXIgdPWMvsmfLA0ELp57yQbBX9TQur49fQ jew/fEakQcBYURoEUA03NlDDKcAuWUoNj0cXmd/0guItihffcygkJmYAX/fQIgJloThhStoW7Bcj ENUiClHUQlRlQ+nddOOcpxWNxMR0AyZWlXSgxn4RFNZlXUSZZWYQ+Iwo3djU0VG3fnu3HtVuYYTR fMXjkYzF7ZOxhITc/4ENrZiWCB1Ysml418/ufbKek8kKzz3uVRZDPmQMTBlGDjenB4PDRd4rLDnM RhmXHtmXaHux1Uoteb5sgMS3ihn1YDmo6gFe5RbQZVU8HjTrx7e5BN08KUCuGYri6UVgFVzajKqw h+2ykpIbk5wb2mcoVs+TGnW/g62hCr34NIQVPIdHblT6SHB963OzV/qEQ2PoZf9qAxXq7WnQQYT6 /TQtFD4eLaJv+CK078639V22o4B6ABRTm7VVzwTN5VyFXa/UR0qm07Cj4rRcFHZrxQePF6EOM9Ft wmV2Nu9gzgpjOuj57m+dBplM7yigboRCpcVIk4OWEMxzKCIA5DceDwpnV9dLoQ9CxeEB9X4PqOEU ADjD2uvHjRRcsBsMDEfip22yXjuU+mA4dDKK7oNvsnOTQzGJlDKghAsXWwEQtqOJOPZjnEwx/B3C qNXg2IwXOWgFQedJfClI5e73gFohreE78d/iJ2v3JtshjLoR77FcGq7l7kl96V44G9cpcUcB9RRH AQQEG/yczggXk1rRa58Mh2Ixg7ZtEMkF7sbN7XB34jOiwhkmaE0WzjhYSpYp0YMJOuHQ/jcpURcg CG3DM44dkhJnvSpMjem9Zxx5ecYBfwRjKuwYQ20iBMuHWxY6t4zMKiC0ebJT2XOTHQb9/bnT7f/M oXcN6EDVBM2XD5qgfc4JibjOPfH4v5SEPWctMO6yCQoh1KTX62ym16azyGqRpDANFbJrxeyYksgr vrQ73OJ9Aqe7yIlsbqru83JGhgCo3NcZxOL9/lvpI1EiXoNlHDCp4gSdrB6P/wtSxclA+oJvaxMU mrmA6yL3FQp91OyycFM4K5q5UIGXngox2oNVe9Pi3utizqBWv8gQAdeFm41bDE56lYpw8+lu4CTB ZwShUKFv5JKt7XJbW5QnRxLaIy08HuSsV66bkSXLU+NyNncE90hMWsWSYvrqogNwQ72sVgDFtIRC 4bxlFmTLB8/JBjrwEDigQXJqe4gvhbxinX0yEZKoDU0KGz4jIm3iggfrwQfbYycJIECBLcQd16a/ JU6x4yrNBTVBcTTN4v12ulTNBoLBiTFtj8EW2CYohHsMKuSg0WvDrSwWtPuMLkXbQxptcf83EMi7 LyEJz+MzItImQ0WZtI3HpA1RkWwjUx14PFKi/gYMFRAcU7+2JWFtmtTx0e0QIlhelMQscgfpUoBS aI/9Az9dUvPBuxtQN1lDnpHp3I5bUsN0tAT9Iqfb3QCMjJ8RyTGRngBLHRuc6OISsGnx8aDskb4n SMzIW1ncUSwNpM2YvZmxy0mlrJyIOKhqgtaJ5c32ZkdQj0l96W341/kl8dEh1dbdeXQBhM9TGgkj GjokZ1ggxO7GDiU+ivIxqb3vq/ZeIAbKZCOngcejjPUbgY+EqOSrIiyDqvf4dFIaVxE0lDdcq7vJ R4ASH+z3U6s7hRofVLAY8i4ZX94lJytt55hG6AqOAjzbIRBDmmUn17GWW1ykgDo/JaBtskXPHw9D sQrGWaUDYvvI61ue/AMRvPP7tkeA29OllMkP/H2n0acdSkJKyoh0zayMT2cqUNlEBuQpVs8jaChR lYmDTVMGJyQV+UWe86NQcWdsdM46Kpt5PUq5c2x73aBdT9Zmz6xSczA2x07SjjnZbOPzUdrxXccH 7qzWx1shvYfVaPPjPEozG+ajLsd89Dlvt5W2ofSfSCGOmmAg1iNt8MQDqnxZQvh1QX6Qxsln1S5l 94vMOxM2r/a2wSRJ7WMJkQ9CMEF6J/U4b8iGKYgL/ANBYJS/kUONdfyd7ixFxWo8BoEyj63zdknh NbdrncKMy+2UQo9Esn1m63fwUmMF46LSrAwmHZVijXB3O1ca8BqZZ7YnsNteNyjHEmhOiogNOpcA Mxcc+hw9fD6CoN1iI9bNZvWlPFsuirCxN2Zu5tQBBuo2CJoJWPFkanR048kU1ayHoEPQxrPBpmkS R42SNhbTHMjzfyjkjZMJOQ2qPFYqAtxarxv0PaT7UVrywREyvZn4MpwWUogAuYxyNl8hmglq1J2m sG4No3YgfQREQ0mQdDNAuSlGRM8XFtM1TVGgPbbZ2U8fHIkGucEsMbSKrLiwXiRhYWjH7S+5OAYB dMx5D+kKRRmzPMizcxU7iIRbjm1p8/n3WaqPVr41235ojOKzFOp/gL4Md0YuoTHSdhki8ob4zwk9 S8H18IeCSGBJ5UuqeuXr/N7od3fJ5YPfmfhflgSUDJrLZEObcuWY9rZRrISTCS4OPhiisbdpHJit l4wonb6WeNajnzLzczCFGiDUaurGffHsFsd3ogMCj+/zHYsf37GuniCx3HFzPjtuNcjpVarInCwA gTInqUoH9Wy75kktmm72tkFKstmC3QwFEhUjtZSGmUz28xCfj1B74ytgAmu69vocS1HMvVndSiYS OzaOB8tr9/DaWqNVuFZSpANSlNjK24WD1446nEyqmrFn1Zw8hYgZZCCF99t6hPXkjZxC/xcWZvMg vZyDDm8KFgyl85PPB/vtiskQNYfmYx9fkUCIsUazJo/uoBCxPjpdLtPVAoHIpc1SWMUmEJ0YbRvu qXQyvzM1SfYUA4rM6EIQy4nJIyePgt6FyEp+SFBBKlL0btUHa4XAe5nJ22BQGbrAXOgVtxbWcLpN 6xz6KuK2P0eOA0DPVedVyJGqY69WdlmrHBgrymgemS3FYtMjFtvSOU0bEbFIT9ZZTj6PScaGLHnw UCqk2vFD/jy6td84aJIAtDZVQTgrhtaKY/8iVrEA2vY9ukNzRRizAxzbPMnt17q0uKzM2SVeJsmB uyIgmuLDjOKDY9HzOKnaZJZ7gpm+xL5aOKYpT8AatWP4kr1t0BCRHEhR2b+4uyLApVWN1TP5fD6I vEv9Orql93gpDTm2VyyMJHv2RNc7RahEe6JLkRK5Bwb+irKXhWj17D74fqMG3aTDK3KVXuRSCXcU ksuZvxoUtDR72whEQ3YV8woMU0c3eu5KwYBH4fPBUnpKturOIky66jI58Fg0HbnSTF4G0OLhFTfp 7QLBDV0vn6Uw8t5UuRKmLz/mZg3eJycZBfjy/OQn9ZpZURV88Cjb85S1BzvYOXBZdEr+wtFpg8q2 5+JUze1clJXP/6vLz1AJgem8xIYc+izCv/qkbu7CPd1wOhO+4GAj6thqv4VURonLTFVu51O5hQo9 1EKyLjhQGwjHQtOhUoAeH3T9Koa9bgSFkMz3kE0VW66M61LOU2EAzDpDr8XyYCEUUWKz5WfHRULW +CQE6N4AaMmwjmhCuhT66FQ06PpDUUVAqgVt++DVyY3UDY1u9JAguzRJZyS0Cv0kYEb9WKKkXA7d FmX6WqjAr4Fr6SzdniQ+kHvh85HC7i9vmAyUVm/P4R1SGhEtDS0mEmauLmFpHjidaBe3OEPK0G9x cTHNZOWTeSsCKGvPXliB66w2sQKXCKGA9ndJpA4bZ89f9y+aQ97EZuXt2KzzLbK0LtGz4vP/Or3Z 8cd0zkuMzYHnotQJYRDjOrtpXdgIMM9KdYHU8lkKHWIkEdJr8cErAhsyM5XnUjl33V6saFbEcg1s NFS7r4JBtbcN1pK5u8u5c7hzZ10wdeS5NO0lg7V0JXkYByDAe6wHcmC7CLIDbn/Hho7J/944+s6c gcRO90mKuY2cpFHv4G3/E9unbOxPkH82QSY0kG/sxBPDYZluHfa6kXg8S3Bt38H62ic5UQHtxGl8 PkpPvsVkUZYthEDbLIUV79V4GCUD+omyL3/T6oku0MZWNfnDfFFo41p8cPjIyTlOZDm6AP1rDTIe GgwrADr/gWqI991WsreNrjjaDHVC/Wq/UL8C/T62lfJsfD5IT+bVj2emS7Ho4e3/HBowQgj0Sxwd t6sj2ZL1vPEDU1Yl6Q8Fx5J4e4keAxxsLVHFTnL/KAf0suiN1miRVgdPksdeMNvbBuUA60sy8j7D beVvNmIBucBSCjwYkWneai5vM6SQ9ars59CEEdeg/P4kpDaGgUabUNJYVthwfniHLoxDs1TEty7X yLNClTilLlGDk0Ul8SAbbLCBaxSnV2tpyZM6dGHMbOIWRU0ctOE2mptaS2Xy+aBO+Zguq1uCiAJX rk9TFHsD0zEU32DLITbyQuVwuZ415nTX5cCKEYuJaYcMKzjYNIEDl+CExd5AAdxvq2xy0lz0blZ2 XCT6CPa2URpHoScZDQ4g/HmXAug1OmPMvtfmH4gQN08JTnXvDA3VZ9PFmJJOyxirwfVih9SJcdZY 92gqfjQFhoyAJUn5gbWj9SRycBs8u6NRMuysoZPRsqCbILk6Nt1j8rj5rkKm2JGR261WEvgBJbT6 EgMx7bqst/yXoDwbctjqABL7LIUGMhASmcJuKZOzpvfZ47fpDaN7iysDT0YVhpHCCezf+t10pSPj raznZoCsFlFMk/EcTkZWvK3rPZe9bTBJ3G0Z9U0bDO0PzXpmsOdG5fNRc8AXU7NYoOzyLKUQXEIZ zL6rgUtYK1QRrnUvwhXksjZJIbqEnqZL4CMOPkmQrmtL0rcnuu40hp19Umsa6TvADvKLk8RjDn0Z 7WRSIlduIgdpzSZuaK+Nz0ekkV+VE2z+CjqRz1IUfMPz6KRWSfWSMzPOq2lYArrlTlhZTNM5B9aM BkdGyST5cDO5dM7lSXtPtDpmYhd4QdwUXV+hhSRrJHfPHFozDvo37EJpeQ5WXyppLPW/1+DzQez9 S5KXsjioo/skRUVvEPBPhpKsGzdYyxKQS6KPBJkwmtQkhZjtzepbFwOyPwzIRqxkqebYdLKdLMlD /P9AfCQ/LrHUIuGHBJNEah/dNG2w/Xb+mvTRWIQL7RnLmF+HErEGaT37LYq9kZpIqM90eXvyZDe1 q8uLH9z2W+gmo77JoHQGB19JKHvLjqaCqgN+BCYJP0yBgTi8pTyq3MveNrjiSIvIVPvRoEkCREwU osb9FmJNrtyj6dAttIbnM01R8A2hRvTH3Pxr5+5wE76zqnD4p01TFHwP3u9z5Dt4Hge81SSK86zP VsgSh6UMvivyFFwfrBqetVS7ve1f6HYY7vigYwkhPXtxDSLhOfRpHOsXvB23Kr1uNEmhUSOwJGcP 2AqaUNezU6lfYyLIfnk9N3RqHOLzC9TGwbtMCONHUxFuwC1CTQSoc5Em+f/R2zl0apQa3arpDpqj E7qKwnsSocnno9Dbc12d8yj+tWeOosh7s/u7sh1Ks0yveeta5aFEiwGboyjyZpq/Es0INHiTCVsB GHAuJCQr2m8kTpAn2NdDy+72thFhixrGJHJr0H47N1xSNNiyXjICmKavQ6kCCb2eznds19iExHHP 2DasVELMg7dPyvD0JPRrJPwNAIrigyYJyxA18FEFEADYB7sNJoVQMCa+74F3qfUdOjaaJj8DRg1G lZhdLiHQtubzwSytb4AAUeEg7/sshdRIOOui1W73W0lezu0E4ZnKSPUgIPZspGLhytR7WmqQWqrb IDNCkYiT8iRIEapsMiGyCg2P5KES9Rf4IcF+k0O85Nc4qACXQScRiybrJSN8wDd9FGqZZVx3kBy7 Nk68JRsHPJOSKUGey0OhJZVGEBvbUgqlRmjgNO3yWvOmJuAAlIYZRwqXEsSNAWFkwYQFPRoQW20p L3vdv+BdTcaE7RoTtn5+lSoXHnR1A+/Gbw4p40mQvcHJ81mKom7ke2cBtStGm5Yluqn7P1dmssAE LjRvHERqT60TKQNZ1E0A/wYwogI5MAedmRMyY7ifIOVcXsxdqdvrRvcbtYwlj83BCgJ5MbIDEznz +ajmfQsCCrEBp0zlmadQGjuzPmndXGgXJKsu1d1cpm6xTqV5isAmTeYEiauJg2+5DS5y1mo659EQ ob1A+5BUPlpXWmtgdXvbiMJFLKX0MvvVy+yQYSMIqHaeSyG2+7G5NJQKq4R3lsKiN4Q1qNYoifVR TMzv3JnVperOuhq+58LAm/pHq0oVouZHh+3kbRN+r6QCZj/hwZo/qwnIhnbrJuxs6lOCPUdtjRO8 NR+E70KZPSvTXZ3PR5nuLztZ/Db1a8+FEO8N4OlIRkteogsswk0cUrm+MDmxjyPhXbBp9MEjAXg4 ni1cic+Fs6wIk/TgW+jN8ri1UmVv9rp/gQWLBIxKv4upVXMka3stPh91dn+xlWgSBcaLT1MUeUNa HfcyUF0DNtdM/am0t7BLJEJC8jUj79DMsRNyCrqKDxYL9HOCACvYKEePPwUUHEoPKCBDcYQdD4uY tr9ucDQx5u51Vh+0mE4YrtP83GOTzwexwK17q3gLWff9VARCQ0dwKZCNWnFpDCcGnh23rQGOhq9x S3Pg6Ai52yFnleKDNcCh73rSUrJyYDu3Cg/5DSGbzF5Yu56XXNX8kD8P8EWPaw6KKzcIWnJYwWIK LB0TTRyexYRwBAnUnaTQhGZvKkVZZ3dOs0w/H9yZ0LGzmy5KIDR1XKqXbLrOcfBkd++J/mJXstvY JsbZRRNllH8ByFIWNxQxBa6OeFseSGstHwwlcFIgcYzPRuTzwS33y+upAC3dLgU3h76OteA0mpaM nF+331SXvS4xK+pNdQNjxyR/WShGZR+8N4A5G0RVg1pxpoVbU2JdSDkAYHMKZfK3/avPVFrVANw7 UbYNHSxSUU7gtvgHIm368RVXimT/RAKBtyNAvch111QJFxQvV7AbqptAl4TAR9tvcfBNkEBVHlef PA4OGW2hwYFiLsRsmxBeqLNBnWTfZpz8U3Pg7YiyBx35NuMBDsp10bQXl3sASRGaOz4oOKZxSUjf O0cRzhsYsNGWkU1gRuPSJH1mQw2erVFMFjr/4e7I9aEeOAeLA6A3N6DCahJkO0mbBCFAxSELKorL /LVtbxshBOReSCHEeYUQT3zfOtVroe3B5yN9m/TrTAJU8MniQn9HyibUaUwB6JRcZkV1E7HZHjB8 YPCIUi5D7zrv4G3dE+5SEpq9k5yQ7CIMAIqooT+zHlGAoQJcaPHYKB+VKueKg0WGQB5wknaqfD4o 5SbnMjFLZmc5z+fkjj1p6JZRXMxud1cYL3O6Sj30AnwphZTKLREXuhhwuJSBAl8mVgQa8EZLbrPo gwzQB1AOvywdqrXlwOhR4BiWApoPmiYodwicO6uej6BLvygD57mfvh8wfOj1iHwcws3dTqXsqscu D0C1pFUdSRGYPar9jt6ScKft4k7PkbHh1zBEi9sgtFJPirF2B71iPbO0s71t1IfjplIlbiqHJ/8d e1wOWehXBnaPyDOuZQYhzEiR0nVa+7yGSlm266N205MYRMpoLbWVXYYDdUcd3a+BUgZ9mVME6Rwb LFDKG849Ha5OaAqBNqUi5aLUOOCCV86f/evPa5iUIWpHbda+72Dn9onheX+388mf1yApy/EVk1El uAWoBR0xJ0FA4eRkcHOSIwMkisiWN09B6twk3LpaQcHkUOkQocPwwe9+BKlCbyFzW3Zi89KsjO2p qz7zFwb+dXbAhaDXQWUQycGCSFRAiVYqqOAGs1Pv7Ai8BW8JBqycntfgKJt6dip2+pxspDiRYssh YhMRUO1Kew2NshkdQJQ2+aDp6ScYbigKU2Ac2b886jY630STlHyrJKQ7f14Doww/GSohNuGM2/WV x4ck1Rl3+7xGRZhbM3lUaxwKr6V3XzuvMRHWDqQlznXsssfbDVYgn+qo93ltIF4jooxOkvUkhw++ saDFvQnhrBWZQqICzgA2r+KWRyJqkyNw6WtAlGWVgjM+S/6HStOcnQ5ZOeKUV8+f13gIX1SE9PN1 CQAAjQ/HnmbnNRri7GzQhbJx3vvV8a117uvDC2U6mahGOytpZ9Xmg1fYeKMXykoAcbWTHA4I0UFi SJdQ21iNXuDRsVNYoy3Ub+Fg5bW1iBqAfdT6vMZBeLrNr6UDJHgDPl+T8xoFZZCVC5MOlWnP6jMA V66zbMNG4LY2aPJrDJRhb0twOyAuNlxo24mlNxv5tByE1CjgSA0HFJrgmWhr3luFrPXPawiUgVYk th0cCxuMUoIqMz+u1fJ5DYDyk25UEbgQs5WSbXZeo5+si+7MQ3MRxObCfmKA6Fqv8NaS90M0O+JH 2Nk8rswoqoEnn6eeJ+R3z/lAbGAH3g+ODT/0ZbasVa4G0cZiD2QtltQ4WP0a/QN+43PcfV7Dnvx4 YFViRohrlzASJuc15snmzzNqdQNwiWZbaOhAZID2TMY3OpKVyyP5t8GWTm9AtQNWgSpaXfjfOh2J 6OScHgusSUmk14AHP8A055A7JMtVT1wsrbWdP6/hDt5QgmDYWOqmLWDqh03Pa5kRO0sN/mYNfoaR RrKZuTvqaC9r8L8WGbMZE8PGYPvgASF06dui726HRKDqbAn1QgbsherXBmFrVGWLpgclxgofRB+s RQT/MWn4Fjz9Pj19++IpdmVVhcwUiAlmB4DvsdgYkW/zxYiA/GHnTobUl2QkwkNZIuKp+WBZxYbT ZRqEQeJi76J0FzrRoZxPLW6HZ9O56LWymOUuDv7xTj5YNR+a+VwQFXpj0bkjZzMLBkGPQanbg8Eo VKZSfnV0CDr6Blk7Bxe2s2g1kKkxsl8YDnJ+Vr2Dc48GRb5Z5KiUg+wySz1T2KHNtqnfK3laese/ s9iyFzlWJfefg5XxN9sC0OkHgOY9Wsbu8gnSopui0dkEReEy2BODXqiCGwvTh8OnM4VhyLOJMBel JpggKv2cM7lnHxxiNM9bn6SLR/P5EXehph2J8gNc9EzxJ/Vgu79scDiTujaFo50Pjhbq/5qhXPl4 EDGbI3EVNwtimw1RtE1RFDKjCAI3aC+6lp1/nHXUvU29ZK1CGFYwRVuAB0OsfVkSj5OarNWk1HLu +LSSJDjwPUF8aehZWL6lxD0KmnvTHpN9mhtDZ4jDLpmDNRTK3qNm8B9u6MPjCgWWRlAIZyiKm4El H2NdNSSGvIoM2UAQUK1tJxyFgTO90dMS32g9fCPAiJLUUFCchjEcK2kUP03ngujYNH6DJb1rkHQx ZEbZ3wdLSXOR4DHILJ935hqPoW4zxGYInJTApfQZeo+dRVlAD9ToffVCHRq+hWYImPzs1dZghhDb N0gcDh8sAoJ0Ta3sEZ6ffSEmL5rEAqRjM8Xzpl2mskZ0DpGv1uVuzcEwoalP9XQge/jOWmNqsr/C 50x08Uh3DUUBNF4K55Bpi9J+xzk0y1pBi2x85e3vEbSvoTwoGJXHl2AUGm5LVQ3wjFCuJzOC/g+N je9baqUpzztljYcmT2pK/mrgDEH7hj60KJzWzztjjdtlf+8yUiY7lE5tisIoeqFFltPVsKnGxYLI gIsgLNSwVGkNw+itKdrZB9tmG14MNF2khA1g00OYWajsgBWCsqD5OIr8GMXR6md0q4okFxeFdsCk flSbeX/e2WpZXrRf6Xu+iFlOUBRJAy2Ow6dY/i6TMPbtS3LYFUVAtcuiUNpbGoqo65fzVT+5OhBG rG7AF5fdsZN0U2vs/HmihEwAYW+97PsMDaqO9a5Ga/d7u0LUVcozZzd83qlqvHCbzZCqPwss5xsP vQfTEBtBuEiuIYr1O3VX8J0QopbRJfiutoSiaHp0ZUJt+mC77PzHZ1myoQkf6GE8h52I6cdVwibr /GpovEfTOGrVgmYXsV8AP07taoIskK2Jwum113c8RKPLm4u9k9SYjG3QiWyCZi7ezWhZliGYoMmm FicoCqgpnrkgKeWDTRBQsufy7+QVwXlpC9vHhQPxdOpiGJp4Tr1rMEE4sHqSkzAH1Q9r2pRjRoa1 Pu8MtSy/iKcIpEyn+zn9zk/Dxt5Ix4ZzHZGu2iFUx1ViWWwKY4Le6Wm4hyV5iCVhg+2xdZJM2BJn qyCmJFce8q1wuOHHvzpaQ+/6fpNJd3Uip7XBcPuJbBvQQUv+vJPT+Irt6xCi78S6V/07NQ01gEIs 47SIetTm0hml2ckElow7gb4z0xjKscMzZYA115Xz2TOdU55OiehinsONLaEizWiwVmi36wS+opcN bjLe9QndTBsUUa8TDpmHY5ufd2Iac3OPqKvVEQsYJzZDUUB9gjnkrFesP9+r/k7biWDKsGrQOymN ZT7Zysmveu2nRg8hH3D12DA8sXUiorEAptcBdoXY/u0YUvHonZTGaAjxYk20xqhOozzH9DmeZRpy TqTPOyWNE7y+ZqhQVHjfIn0UUENXCY4DWjkT9sFWL+vuBH7CRVpEcZNFAbU8rzLZjRouFuacENCb QNHjrKeSGVBjP5zcGn34/jA/JCzyzkbDh5BHvFWo30+h/ly0TJUQNfDxIKJeXqknlFHuLMXrre9k NBzvA3f9tBz13PrZIdadoQlhVdAC0V3/zkXLRrk+/2HZPnjO0eF/Aak3dMHSgrM4CaAIGwlKBuHb txkZRO9cNJY+CBcqPI846E4C95b4OnjcfN6paLht16+aKz+yern+nYlmYSaIuKZFP65H4Tkw3P0K a8Bazu9ENIs0mElkH7zqWvtArZnR0LnHmvryZG7CoUEatSrY04P2885DwzdMPIc2C4scfIKSZgvO sJ93GlqWIN9zUgPeWiC+YRP0Hk+j2dzoPKOW6QlpqtfO4GXhif3Von/noPGklriRUdGexP5k3YDk y1cFSjSolEPaAHODdDkzUffq0NLLBrUPws8ra4saNENpj2RGIGl/3ilo+K5Kuu0cUvY77zkUBdTz bIdJYS+Cz8EscfB5c8GVfZJ87xa+B9SCE+DuRBVNg0dDfWBNsV1YJwIXgUABs4CM/A+KsVduZeld 3w9qxAKIE8ke7ljWZtl8Tv1BfPIJ3vfnnX/GNeT1M545EJAssnHkFIXl6USvkOKaNGSz6hwaffo5 tJLh89/pZ3h9tsWw3H2wjip0H5ab86RZ85biLzXDGkHot3422BZ7Z58ZcIDg9e2DtVRxlQlHnvvn nXyWhe1/dllPJMN4yvHOPMNdBjWaRWFiEYaK26qg+GG7bNdpnbF34tlT+hAarz5ovNaRhzHBA6ID XSZJHtCNArc7vpub8OHDP++8M15lEn1IxQeLqNdYS3bEeX3eaWeIN+evEixqFPtO0DvrjK1DYMxF EeoAdrhD2PldSnI4B4HN7DpHETWtUhe1L224PXl+AXH0gdQCXZ+9V/whQAb2g58i9OSddIbglivo RB7dB03QuQ/VHkNB/PPOOfuVtHIFYW569VPonXLGbjXEHmiJiFMoZzPHgIpBtnN6E3nIFfTOOLtp fRPtvD20847ZX0lXfTqX4yyqryX0HGhXWozWtKmaxXf9a4LQS/VBKcf5s0KZn7CIrxhssfSdk+Fj S5p+07/TzRiGA8qhakcFqMPV6FtSZR9NoJk9Fnpnm+EM4rqAa6wPvsUyCs9VtTPgFYZAQ1CDz2JW Pm7Epehdg5zM2xvLB1tB5+ZU0noO+s871Qw7tHq0yLAHt0G+Gcc708yTVlxkXqCeftO3TEwnweU4 PTVB7/F0In0G64JYVw62gs6zaBNObrETLOK8kLYB2umDO/hKrFIG451nlpMxqIDixgAtrypoxzlC qWELNkH5vPPMsqzQnkMIAMFKyQ3NUBROw6lk0MHD+MHD9frFJuUMNRMU598JMg4CGBD7+2AztHGE jUqkSjn7diVC0AsMi0nMulWPzpT1nWF2y9NlUqe3uHZbhgT9KtY5nZ93ghlj6e/yNEtm+QEGRbF0 ItcFqEZhyqXvoDZrcUx5BRtV0xNVpxOdZIsqi+WrsrjPewEvTTtriGLRGQISGx0q1icJXMkNMah1 93knl+Gi5MyQIWWD3fInntItPXr9vHPLsBIurkwdNQJl7/qJYumembG2agiPMdzmsjfvBgG4aYXF d14Zz2imql1VfJIaLJaeSLm3zJpRhpWpCMnv0O4HXfXReu5bL/tH4awtGX6va/jdYAUsUdU1+Y5B 4Sx51UPaPcCYtLuEolgaBUyozHldqFSvepTpQioTNkx2zYfFaZ7OFz/F2H0SP7UTpMFVvUe5TPWR cwQhNkRlL9Fst2uTJb3sX53W0Ypc5pA8MJju53NV9ujn1/m8U8oMK/bsMlA/zk/ktcV3RhmO6QaA x3Xgq/xy5p52QdJnHi1jfSeU5WzHdFZAxMHLHnDuIkcaCdmuZg42YP/KF0RHzcv3DBXf6WQ8hrgx NulkCYkCy4vnOsktszyNVs3nnU7G0kz/SshwKgGa7DMUBdM47sbuzt9c6iNyEQ3beyc6IrqZMxSV p2kEtDKF5zV49RXxyZDd7tlMCb84thkOQOhWwlZl3EVEKNU7lQw/J/cXOqs+WCMxb6k4wEXq884k 4zt6NG192Ybgybtk70Qy3vWkSe9qtTOqtbGC328FfzfK5BKmGBaoVTtLanGk2+I43xvy4IVys40k Ah7VgEChuliojeirSMyWdx6ZgXihostWx+rD9dPOOmxctidUn593GtmvNhlXjBBVN2d9p5ExiFpk 2KEfv0wWJOuyhzViAQgY8rnnR8Zt9s4iwy+01KiXOc+6vdZ6Li9kBKTaATq7WIicHc3gTimS2m8j EUCXzzuJ7F73IxF5NtJ0Ez7Am4pQQ3183jlk7LKtr4OI14PcizhBYUC9hDmbP+ZJjF/1P5Yq23KC MEUSZ/OdQcYDTQIgcnPcj/AOy9W9iKwBW/SdxZIGbIgWJwCUumYxvvTnnUF2z+oKEzYbjNIya81i SY/1eeePERiXvmaoESZ4K9Tv9DFDdKKkqHrQaI0QK1Cj2nTVlEnRTR5E7+yxixtim80GTzlwck9K OAN6OcC050GExYZcMDO0Nb1ishDe6WPsJDIbS8LbJ6+dyXaEZ8v56M87e+wX9CxLToZiIvcgCivU G8Wz6kLztHGx4pn40SyedXdLfSeP3fprpX6VBpsi8BDRcSVXs3QAqUzg6RxNEMeBNKalrYvO3+/c MaatWXTf7YOysvOBVb7U5yM+79QxhsffvVaQUCq1FjRBUVBN52+zwMJq4uZmK9G45Oi1yiqKaygM qtWZQ55ig03QOYcRvWwqyVT6sko7HKUC3Jf5doEgfMWP+Gt+Vl3LB0s6YGEkk4Y9Pu+sMSa93zkZ YXk7Xbx9jPdQgzVbxCj2GMUaylUoHq0bGeqdMnb3WJ3sAtX51QWCi9o5KLYYmtD7J2qGAnwUvJpu 2ZyJXfq8E8aypBfR2hjTBy2gs4WHCjsnYv+888V4hn0f00hBGInbBEUhNSDvJ2k1asag9KDFi6Ie VhGhrID/ThbLbjJX09w++AQVGoCKDT3PHGRBzykrh5YZqV92BhHd+c4Vs7Tqyx7MPQpOpCULSHQt 1uedKvYbVcVSNkLFdntALYyo4eOwtyGBwU23guLJcJbnrX06eLqF6OnC2iKqLzZ4AR8UIu1RGgr2 JZ1nNCgKenRQSbO8FToV/IzgpieqqhEgosGKr0BdM7yB6FeL8B7Q/vu66SWPeA/pMKCu6GvkafI6 kIqzxB7VFq8tQkhWSyiqTjPXOpF0Wj5ccCd4COYFen6JQRzBuRpR20PFi+VMt7TWKR0CPqg9BH9m H+wU2m3Kh28nPh506/Ot3xOBhWtiXcxQjwJqpPZAdzrEvFbHv9Z6FfYm4KWitrwH1Jgins9KPThY aQjB+jnQ2K4vC1bNbAJtJG4FNR0Ady2epigLPiMiHJIhvriGOGgNQaRJ0tYn5sLjEaeu/1pDqPze LlmPwmnU+sZcyauLNRnMAxmm185GHiY40CPEx2A4jff2wSaow6oLKquELm4ggwWzPvsro3m2203s J5UrelSfriazv7cPalVAZUlWKfUkHD0Kp9MvzBCC65Jvh6OH4TSMwNa0aRmrutTQ+VHdDeScKkBn 4SLrUX2aKHx5hdjg1DHAOQfXC3zAEoJrNlrBykqF52y7rF4WqHsUTsuSGHcsyWN0C2c8jY7S0Ndu Bc8H51D6vsqo69/Gvex7VKJeLKDBO0HEzH7dLkGe1z931WXf+XeCnEwElyXL3fVY7i704KDBSZUY KDKKRY9KL/ofaCbuizyjakWPAuoqh5zW72AlRgi3iR5eJh6PyJm/QDEIh+pNynrEP6R21ZDI4MSJ 1Bz+Wu6RBHPCZjMUIz6Y2Nd8Bw8XC3TEmxXxkYIUVocYFSHMRnHyKlZsvWvUrWf1HiI9GE5yNJhx bHAtmNefEx+PR3eZT9Aws8cf1t5thsKAGtWhulyKYeTm5N6ar24VBD9thkIW4mDzAraGNlxsXkOf rxC8mBqsB5nXJ5zpWEgybdJBhN8EnxHc9pyaXOvwQTlZOycB42QUMvB4sIRW/zqIQFgqe3rG0cMq NfL6VRkEbWSte3hej/CNtqlQdjOZuB5Xqakc1NIdbIJQjIAtLjcZhHU29yE6QhAWQEuuXpQ5q/H4 kGiTTTZZyx2U1w8IhVheP/F4hDzzXiuO5QmK335orO8h9QlTUT3L7AGca2tCqlrT0s7PSmYvrS0R vPCyjxAfQp6lIUzMeDAxeyc0xSvzenQnBg1Ve+ah3vD7uCwMvZv4rsEEsb44G5vRHMR8gmr/1l2W Jx7/F5WjKYH7MT8rTlCEoIZ4y7nil1bLyb7StWtMc5rdLkRkbY9FeA8y7lYWpVUNC7xnoSk3dCnI uEsnfR080eEAAs1KmNflewqhtI/PiKIhHj9y2uOgFXRichVBcF7j8X9hF6U1jBh2X1hVD0PqRv0u thIXHT+mb7Lm3gxobw5bQlGNGsFwkyaxDb6EzhEKyKLYQNj3FG2EZSO7aue2nxciTEIGPiTIW80L hWuo3zVE4xYlDSM1PP4/lYYya6ar+hyNKKYGlhByQiZ9em6a5qAYYfOYuTK+wxyNqEgtfHmhGrMG x3ycfLTRah15WYV8Hou0wMFnlM8Q1V9Xnax3jeqLpEqlln3QSb3O39xm9pXxeMCJvif1VkkJEll+ 2Y8opobay8kXtwnBZCq4sJ+IUo71E9OVGR5hiRpk3vN+a/ngRIUG+iqXM6r4kzLYuOzRG9yp68S0 tIxeMSOkJTJi7OLecdAaQuFATI6THODxoES9v2FVQvPf2scIQdQZ5pXDIulZpHJGJgfd/Fg8G6sZ iHpEJeqsqtDuNlBxDGnSObQbepOFiSvQDYOdECiMoWj9Q4Mgu+vhG43PCNCdBHtMMX9nYQceK2i0 IfchGDbj8ag45Jk9Ax9IC63hSceIMR8gJW7DCsEzyXFnrVRvJi70Y7WCQgw12YgnD7Ch2gShWzxA TlNWhjuR/0lGTw7imz/9YjvhejvwEVGPgwJCqQ0fHBOz5NYMIWM8HhxC1W967k1A3k4i6xnHiOJp wDChbdr8DNrLz6C0rowQEgZNUBRPC/eKyM0HO4MSit+9S7MCUp6oM0HuBHVkaPuLqGBtoGUv+1eT owsVQ+tTnnU4L3snje4khxnPR/Wz7+oQyqeM+GyKooAaMo9wQTeyy5LqG5kK0kFhhVq+MIl/J1hD W4V5oab6lVqiXCfUzjMbZedgrBT8HhsOwpATpHq+lajJrh8hhJrCnS0JgJaWNzlga0vsIYzD8HiE i+lf4aLoUrcfPUII9UJno5jQOU6hK+whHThBIJeVqEcEoS66uuFRbYNXYMe57hPNIWvlebQYc29E oDjogPv0Plm3d400c85/2TMAjzbYVb9J3pY8MR4PoqHLr+eRTFeh5eTxEZWo0QEc6DNZSiY+NGv4 UqhknwwW0ZqgqEQNcQAw7ZS0DqExVIAFsHDQbBhwqL7M4Rq/NNLQ2a75KSLAjM8IqNHUReRqtEHH EMTR1K9fa+PxCPPxKyUDcqLdrH5E8TTu2zG4QiWMdwUIgKOwnLXjdNAERfH0rBYmJh+81dpPPrOY 0yEQKnnR3XpQYpE/KgRi7KDe3V42CKiprQigHwZK1gpjfqZLIArcOHg+yOvvKUQwMfpkAFbYFIUB daEc9dDEDMAuLa03b1hciNQoY9Y6whq1CM+Aj9ngNWpkTA3xLk5ssJhpA1MX0OUQoshAgFkVf0s/ J6xRN4E+mNdXd8XmDA1i9eo5L/D4vyofvMpQ8N5X5mOGJWqwNot8TiearsN9zsyUgvpCWGScoRlK 4rG989/HENjZEKdS6JPSOT5k9NV5ZVfUcx6JfGYcM4R8FFlSPIOSMuIZZD/dGh6PltD8OobgI3Xu iTtBUThdZXAGRDqy1tEoRJVZw2d61gSA7crJZliiTqrAqtG88xegIQ3UfNgHoqHjlgJTJWkKmXK+ BViEX/iMvziJsODxwbLWtdi+wgQVPP6vY4iNTmz8Om8Rf8YqHwt86KUlNKY037HJpClKXuvOyZdQ SEqsagS15IMnHGi1VgMwnmOaZs7A+VbEbeelcQk4r5X6AzMuUdM+SMLK+QorD1Q/Zb988prPjOLp eStDiGVBdGkolNsERfE0lIVgBO/k+lYfS/jtxi+Dti2UqQoL1OsKc//W5z5BXd1o/UsLFxQPcoFw UBfQL+BT5fVpdKs+MwR8yIWiKWX1kPTsMKAwmGXNlD8zqk/vBzQkOOfkyeeX/YwiauignE9YRpf6 djWrSR1G0KU8J5uhRF7XJabNNtNVG0K6dE4Z5mQnKVsQGtUawlc6ASCaQZ5z4DXwGcEuE0+qs4bG waqLY2iKwE3H40EvsX0Xz0xU/66hsEA9wPedw0U+WvNNNpOf07RJtE0WxdNXoOEOF3h2bvQ2mzCw CK8X4YuAJ7Wy6IZ9w0VCYGcUT1MspY0tpcXtRIW+gUziMTTHwONBuDgv1UX1V1ygV2NxRpgPiNHD idLu+pFS8yaHjHImpXQAbUZ5cUYBddL2Kqn6YLvsnNJABBPS0MDmXHTTXYxDEGNA0+iKKRe9a1Re JDRvUsiCgwPNkzS1YbqFx4OUbOavY4g0m3l1YmZISUwg9g2TOYMYvtc9zg/qglUofSlrnWGBWiIo /y3QAA3/RWtZnNM7NUwGpZYrNGgXLQ2u/dTWu/6FGqrSB66PPvA56Tsr8Pj7ePxfOHP35Sj7WUER 5AON9XNjFQPFbAqt8ZzepbsiXE/3EAoL1MIGyymAg+8x2F7AJYAWAXDloBTlAtoDUyelXitQU65q RvF0I+23FWKpORjkA7aGrIiv3vH4v1BDnA2WYp7S2QwZieCG7mSFj5lWvsC87jKvCz+4nUKxxgep iHR11+BJKyxyE5ltFQCCtSUFi4C/AG5VeZOJkUjf9xkyElnC35uE3b1drgr8Cik2n4Sm4PEgWryl Mx1ZFN+9jLsVxdMZ5WkYiNy6h8PMpUurrHW46dSKIB8IKxAFSS0m97uGxoYGFh3JMHGwwkn07sKV DkwAc7JvFe4VlqcTA2lirjVok0EflAkHUIB4PFJdzF/xtFSyyp2guDy9SJdygzcqtYhb36vXzvqt na0wnh6SP5H6wKPmDpmkBEEVnkK9naNgklvfyJAH/GNcziYdr/EZf5XO8qbRBAdNUDuJ/RJsfFc8 /r9A88DTrQTPa4JCnelKFllvDvnYDs0bO1uDY5XcfYIiyIc16xEbYyDiu7FZDx8JwZcqDDpzkTc1 6pllAPaW8220op+Nz4gqZ4wWqdSowfYYJDjMjWvg8X/1EfnfonK2LwZ/ReE0ej/o9BlhqtKzS4Qp PqmUdU6rnK0QQG0q03v7YIfQOZohgztUWjy7odIhcJIuTnBnu0ZclNHAZ/xVn15b6Nd9jVzWmFlu N+e0xuP/yul5Z3X26z1lXaHcNCOh1bO1EWkWRoR57SaBdlLWnS0hWzEjUS7TmqB2J6ie2GeWAfIG tAcm/ICYsqJb0YBgJZFO99imSMyKOImdtdc5yPrlYC2yDq0G3mMn31hhcfoXbxzN9wZVeZugkJOY qMfdJQ0z6mquxNTllYAJgnyATVCo76HiNAhJNtgEQe2Miq8sCw2wwSg0hAJaRxkoP75SJRF3tiK4 x2KsWPnja1A03agOyn3TBx7/X0BV4J3UfvEwK5ad5jXWLVa0xEO1Ra9OT4hV2R6L4B6LLgCUMrTB Efgd9QlWOasK7ax6DOiFN5Ty+aYqC5FRi8+I5CsI88gEwYKFbkygnrMaQmA+4PHoGktfhxB1MaE+ axMUchLBuy28dlg3K93VzuhuZ6AzaP1qCYUA6s6ZSZQtz+nKlsPQaJyIbEgBBT8FdYZYBm+4WcDM Nm3lRGb9ioJplYUGlS402B479wAja0jO4PHglN63LCT5QXCmlqcbKwRQz0FxoWEX/fbeKvRxjdcK MqbxXFYYTRssj6buHGyTAeIxqAcKMANIEKq9UtkDOw2VQeuzFgY0+JDgnGZOP3etPlhdCJaeQgyt isf/pV7O/B8ULVoyaYbC6jRmaPGAlHx58U2meqYUhKu7uK44mqa2cuPX5+AXGdr0afIiO1H1nMxt Ue49xxAK/ZCfuLHQGHrZP7lSbd9Bx9DEy5oCCh+PFtENFnmqo6qwtwdDOwqnUXcd1NZSp/WRQKl1 erRY4QLBKdoxJVFgBrE0cr58O5izIJOXcCc2B126B2Cw1Ar60meQr/SOwmm2ZFuR/1+5/n/QMKce 2bm7Bx+PmC7fqDOJ39/i644JiUCd1bY9Z3U8J2xRnCpF3q4mKFT4GNxlQPja4PlGP7MDPhl22ZqA NVCmG1SlXjI6Df3eZJTA3yGCmsXXVkm3a/XS7cpuSYghyOPu93Aa1uC/RKiJYmoXVLVDCPUEImWm bI3WKwMHPX+vnJ39b7tsh/G0ONFFPIWSvq6y3ff5vxUuThw968bTUOhr6fG0Q6yKzwhmiDJnexJT xcEyssUaAWboREP7fyMk0lA+j7vHQkLipCRus4QDdRvnAjGkkZjX6gYY2lE8vYo6rHX58NTvz/MC K3OPLdnZQYeADQaq/1sLaA69a8AFGhTiVkzEQVdZAmBUDLE98fg/eRyWshZA32yCYvi0CmdusHll GSouaZugTi9bTlBMRxQvuiYfvLIIC7XGsKzSnJqaeqgOIUEAvPbrEGL9fv9D46MTeVbcfSlD4aAJ EHN2Hh6PVHTyrz2G9KVeLfwdBdSg9cHL03gca2afoVWHnUILSn3mpRCiPTRDRLto8D1GxozJ6OyE EogcyxGuFYkc3w5HtneNyvdLNcXug9VeV6aT4/nCaeHxSA7uuxFNSg5oejZBEdoDzaVppiQIHMnA MDeF5sc0fy4toag4bV3ExQni4MjOc4DXVIpSMlgYUV95Uo8AEfLE91UferEPvaPidJEcZaXZjWyb tceqtG3URdz/JiSqbpaxhD2e3nE8zZy1ZttjfV+LpFGdkIgehU1Q6OVCJlCh1KQGryzikmmwRMEK 6mBwCoKOdBFkP0ouCj3N3iM+I0B7MBVbhcEiBysLnVxewqapDjwe3GP56lfoHiOm69qVhNVpzFBn HUduLs7/OacQsYyFbi7b91gUT9sptFRZXLeyiCPp/NVJNThY3NQteVgiZBLAxun2N/Ax/IzgFCKU iiGlDbbHzgISRSEtPh6xXJyyyd25qAXQ700fxdONMiTPKVSW29eOnMyyBN/YlJh2hPYAagQceqHz vuwm1kQ+09QjA0Q4M62XTDLElmlLb7EQGxw7VPhg349SNjZok9EhV8rtaeDxKGn9RgxBqeik0N7g yCkKp0FWmmUY2ff8yz3sWs/WOEPpbLkOfooV81h0pvPavIAneml2aFAX0jjO4QNfEXClIJnZmWqD WuqYIcoxERnw+rqr6LZnYA074h+6sWRkMoWt0hPMTf6B4LD+xR6HjZ2sMGyaQtk8NIJA7iUpAaJn wzAfmbAnU8jFvUhXjhRG1Uxal81Wv8c1qq9kAxFDjeAOnRVYJ52fZpB/sgnEVxl/bHvdSJySXnZC UY+Loj5zhI40DuGzZvl81LS/2+1Htm21XjvEnN4Da8VigymAcB+9e8N1oMxtZUa4+sh3IoVA6i5g IruFHHy/neyySQ8BrN1MlgFO7nnyVziuLEJjTNDc3zYCNghWJZsXQLhYfz3ZRy0ysx1zLP6BAOZ5 dWBZBcmMNa8FToqq1ah1DGALrZwvjUr2O54MdpVrE5RCixfaI23d6xy84QFL+zSkSE3MBn1ZQb+A UCTqCTi0PYfd9rpRPbYoLBo+6Fza+DfDv74Kn48q1rekz4osKzDt2XJRjI2kA+yp4fW0YTXrpvag dLupnKqTKSpaD2kukxulwTP9DhD0TuYD2OokwKpCUaSDm5ShxWHlIlYq+Sl/WVCg5O2DwWRKLjq+ NxwWUggCKTcRYQu/NAqbXTecFJauK+84Yu8zUQ6ZfDPI6UFSUjJWVCnWPIW1a1EUxV7g4JsONTaK AWOeTlyGgj44QueTO7LE/aUWxzCAxrbvUR2X0eyy+XXHSuiK1SJkdU+bz0es8lu85n+NTkSdz6YL y9egTTemoGArDgpOiGqGkJD/BOKqCbSXUxRvkwx8llFLPvgBvtqGDhoxaXud/2H9GsS888nng6mc Y7KVY9rbBtESs37elDYYrvGsJglzzq2XjBidvpgI18JVe1KAfGfpbxEQollxgPd+MUWZSh48wGEa 5Qd47PpCsaZK3wUOXjw6J3gm3q3A8XfWShu4imvuJMygLN6AqWB18EOCtISN/NKI/kR9XDl8P5Pf rMxfGp//F3ZPFUhwrClVbbMUxd2QAx5wu7byCNE6ym1ZoBEqZD4HeKQEAugCvQSKD7eAVGZbg9RX RANmKTBZZKcgw3q09eSLnCJcyGJaW4R6KBf10Bo4tVUp/eTzwY67MgU4gQBJ7rxZbJKi0BulUZcA ATBkZ5sk9DG8ALC3l7JzCu0Uh7IyOkly8CISXJUqtX+g+5W27JE7Ggzo0v50dtSqqmzF3jaoIlGc EbGFD9YQQa2DB81gWBn6v/TvOhtw0jVdCnUOLRWB9hlwDrJiNk1q1dnHarRQoHoRIAeeiggFKHXe +/TBk1zQX+HgwQTl7IUqDyGUqehpKJb5VrG229v+RaJeSeiQdCcJqFnDz8BUMXRVHE/bSEWDwdP+ zlIUfJ9VS7aHmyXv7H7A+e63OUa+sxQhRJJ04SUCxsEg6ZAE2RUAcMwS1PfE2sdJSHkCXlsO5Ev2 tlFbhGIXKglwsEwXwr2MnOeofD6IvfMvL3LKiPUrGpsDa0U9hxb/zXX79lxXB1QhSqS4cWDoraiq LQlBNngcAPpR3ixrnwdPqM2q7QYVBvZUanMZILQ0e9s/CEQN5vUaks7uConVJUn8Ovh8sJZ+4fkK gWq3N5JDd0UE87NMc1eE56HBQxDMmHj17iIydv2hKKikvkwlJbbWeyqdaKKc/Va4lAr9ciillohX JWvkKW1Tjowf8pei5UbsYoNKtzj1kkwlc+Xz/xJ2YAAKsta8Lrg5NFhcLP87AhRi91677Xn6/Za2 E6pz4LCIHqoEiIU76tdUqJ3dtgGHqCavm8l7nINCsLA8oS7z9aoY9roRHIJn9x2mLaUTsqaqMAA+ naHJYlnpVxiAOvG8vdocuCwiXiYJLbmWExVcueGqnM6o5cTip9ZSGHhThZhmmzbcCuU6K3tnk/5c E4XPEwZATAlClD8QafNjiZpyOfRZhCYE0CLsuEnzDrOEA2qq7HEOLj4faew6rmYryDr/c3mxOfBZ zCzcAYLtnD05xEhGxZX1gfD0kkBgtKg0h1powwc7leDxcI7UTsLVCeAJj2sSi6R9RUkEGRtrr9jr /qUKRsdhG6xju3aXblovm89Hh/d3sNQwm/OWunNgtojCCapwq3sVruV2sSOuZnCubGc25sBtEaIs 7AYUOcGVxwmudBp7D7IdoKHU2C6BFfsP+MTQAX00DPxt/2r875TuYFDsRUoOGSF6PlhK/VvQGudh S0/dJPBblNI4wIlieqK6dF1NecsSSLuXo/xyYLiY2L2jtOfwwZdSO7/5GlT9bm2fVUYcBZQdz2su VmP7zXTrsNeNpONVoxTGZjnGpkPMR6nFXJnPR9nJt3Z8Qcb0sPdyaLpYueE0N4sUSpMJqeQxK9Fd QE2obPKH6+IU62r5YKdS2vDH6KwutRNPZPrsoMOEzhd9oMbtvK1kbxulcAKtM4Vrl8DXgI3m5mvn POTzQXJyzapYgqNW9GXw5dB4EVne6LUkIz6Q4c2llFp7iA8oeXIphc6La0jWSWJqKz8giRPsQrhl iyl7AmRqOQ7qGrAFhjDRfQWzvW3kYkGEDUkTGEzY+hzbiQzfn16wkgLvRUyyVwOkKofQS7ecZiku eg86xAj/eP5FGh8qcIVNIvxzp5LuLMXIbNmdPcOFrpcFdwbxQyq0+RgHoA/fEEOR1qultORFHdov ZsEhRy0+qACX4I4o+4Uy+XxQpyzfSADA7Gq+djE5NmA8E4lNpl2GYKnfSVqmdL1mcuHdHDgwQuKY cSK7Ixq8kYs4EmLZPwInjUayIzFQHXWTBXaicH98jB/yp8yTgH84iH7YCYHwIarGWEvnu/APRG2m b74jFjLAOneWQkRJR6I7WfPe2Hq8+9kZABjfTqWEQ46nUuDCKMkooPupn8LhopIgCDfpO3QCE4iR 4OymhQ7dG2hT6pmugqXYhpFNuLP/qwbbcedMWkwTgHPSW/5LIYPBErY5VSBslkLjGDR0V3LjmEXn MkRIZdXqiW6Hl7siysCI8UrMFqk4l0fF+UQu+5yzJM6WgYyUjrnU1iQ6mdVu63nPZW8bwSYyK0rj DspOQD8UDDmnzOejxsA3+xphQNnlmaTQihE3zDk1fJLUu2T1reThyBI16Lr+UAQM4E4DvtuG29QF dmKLPHtu6cnjaEK/5bwdvjVqaXKJk7hjDs0YMymP/2XydVIboYJ/2gnB+Py/tIrxHLgFMP65kxSF 3fBKmtKFBcStyQGe7NnpdQEoYHrJJPBjdL3r0vsdHKF0DiDAk1kMGOdXX8ILArBRYMBGpJAkjeTo mQM/RuEf6Lo8fLDC0pmjKV/YNfj8v6JuXWUE/zwrKap2A3305Vk5tkmvZETI5SJMdvFJiqvd2m6p +uDb7QSJZ5YGt1va0KKilGhBJA9xkfw4w6KnrbcNJklmX4kREwfbbjBp5fdm9S30ZCzXcRChEjKO mtYTKkVRN7g1iGNsuw2p8BJk0r2sNEdZxbdbaCQjD5CmLLc9WS4QKnsn8dfAY5qq+MILt2SUMNAN sXhyL3vb4H4j/ahMJrscNEmjl67LqXG7haIi8xuqxN4+XZF8lt6jbkk9oviWvfi2lxffult+oVt9 T+5/ILfRQ7fBDiV48p1EWZjSDjUIaqx13BEVlRRKHkx1vmu3tw2WEsXDGo1DNOhQAni+qjRbM58P ZulBlfK/xqU6n4AydGcE+AIdn2nA21X9VDpXkek8n0gheU/gD3vGpopS8cGjgLOa1uqqvrWFshvn M1Oy5rzn/8du59CeUfHkXuQB7HUdd6BJUMSRGJPPR1H3r1IA/tq4TK0cGjRCeXVMwSXwL345Fd+W qxmuhJ1rcxQF3Yq2S5b+Sn5koPoAJViAnHPWQvtZ+w1zxPiqr4eU3e1tI8lHcmkHMaYctN/QEpDf Sct6yUiv71YoRQ7EuXjdLvIfLo2k9tdmVJLhZrpo6jdvnNBsgFF3aNNo6rM8ujVYOxd/fC4FAZAN 7aD/nqMAWe6ASwYqWBfapa53aNQoOX4K3tlgXe+zrUm3Phfm4PMRCPeXrCEwBtRlsFmKeZFnbppy E9ozJ1ebRwHIGyfLhR9z6NVos7TyHRxCgZ40VBIphL0hPiwuwLn8OxwlgVG0UIkdQH5IEE/KMDbX O6j2do47uceiKsDng1rARVGq3watBZR6fJbCqPucqahFV0PiNucfnz3bhsdK/daVAr9Gc7mCGFT2 wWfpBJOgdRLb1dELpVVaATa0IwTI7aZwmUEbPyXCdiWqjDBF6f3iBOEblGTAg35uYNr4i8aOiBK9 hfXkJqFrI05lb8IxIsjL8tw0qem35ZbmQMHQtlH31oYfnQ0eLJ3kaksCH5Ttds7uLtTSybfQSM5A ilohF9A/vW50xS01KbsPqgbQZFJlftRMAudGEUK/eLZI39Pl+ufQunGQql1Nq2ZMaiezsNTy8Brl 2q58lAPvRmjCyHdZkOT5qGKPtPp5FVXfJsS0iIWtm9iujg1/jXhI8+WHRMkJl9LSUlq+lAARE5rs 7OnB54PkJH9zbXFhooh6JymsdheWb5d5FfWxjK5dKNVsMnUte7U7tG8cOrXhVm6DA+AS5P/B80H1 DZ3dzp4AvC35PXH03g2Xlr1usOEoilDKvoOW0vmj6nucrdD5fBRT5u9oib9NfdKT0MERZb8TqRk/ GygBR1MuSjZRqg5wfJ+mKPKeAgg2ggA52I6Dw/uZjcLTGzmPFMYKtJc6pO8zT1urUvZmrxvhBNlg WoQucdCiOPu9MYlDQZ3PRyD4/HUuZWyX88vd0Du0cYSn1snCYbeD3knq3fBv50AB8YzQ3IVoTNDc 0MdRl9zq1FDnYKEAZPR7HsRQnGRlV64mVAiAKWPzOz8RE3V+cmDkiIOJMO9O7YnhCXU+izVXNU9O MMHng4hp3uObhxB6cPvagebQyhGFOxjGX9vm7aClprKJbJur8SZz4OUINQqqGzfWlmq7taUOA7WB LiLNgs7Jt2WM2dAoRleTbkRKdsn35YdEAZOy3NF9UFx57gvBA1BS5/P/yuNU8Z7U0L2TFFe8MTUs fKkA5/d/TalUT3ZhnKk0LnRzXMxMytjLB6dUAG/aVKaEEeuU4VpicYQYCvT8lcYNBUyBnSMwCMxy pYxkgodCjlbh78Eb4/PBHXex8Hbpo3Bza0uhoSP4P2MzoeAktXKBJtQEF9CkXwxF4Oh4/YmnmO5f DNMTuG94YRFKCWGojTU3Fyp2EjwfD39SBbjQ0bFKBICEjDOg0kRxmkZWD4UxU1n8A5EG4i8mN3Us njJlYOqISkSBEonxSWGLlT3XnctNnHsud7+FGn+Jedy5+X3wPA60ZbS8TTN7slV39jWAZ+jB7duG k3NqDkwdpYh7olSJa+1HXAvKhMIsDUAoQlfHp0opBxG2PG+uG7g64iwDnEvwEoADFqPLLfibVXVP 7l68dxLbOk4Gk7ncwdveBaZqS96gCa7vVGjrUHiv+HsE/pnIX9v2tn/xBVZj/M3BziRz7GRHhc8H C6mXX/kJQYJX1DeH1o5wZBh1m6I4VEq8o6vCn8KlROFsxJSBt+OdJTYHNNgsgfm2sdGYxZVEDeXz ZdAEY4CBlPzC35a9bUBj6vSiwaFgg7e9e2N2DecUPv9+cq/9vd3QvWn5aXsH/o6ZoSBsjKwggHTL Am+YKllBYE5XasuBwSPa9kuck+aDJ3Fn6ZxcROkJdKYVT4F6hBgWTTScMUbQoVZbDiweXWC8ykGM g2YJ57gsiWbV8xFm6YonCI5T0LnbN9kNbR6Rj5/bpxUrwbVmCUpr2WQnkOyu6fMUIU0gNoK6EgEn HC52efZOozAc3g1SOhJFxFGEajJtQr7lyHJg9KgsDEYy0vftDg+oYC83w1BsPh81Bvb3NM1KqqrH AZ/XWKlkKuRA+MH471UEQiymrvmiItlsVjd5jZQKTFeIMx2r+mBTBOpMmQxIkKq0JIu1jey6kjuR rpb/oh3va5xUIORCyxUeOho0QUA28iu3c059XqOkAlNUrSIB59kbptDeZNwRTQ6IznmZRcbMZTkq AIgpnUwbKG9bQcHkdJUnKfuhweEldZ7LDEIMKJaclQWCFmQAs9xlzukJoeaZv8Dvr7ODlgmnZc07 aHYStdt+CFg+sfbr7CByKDY7ElqApwaoPJqe1+ioZDbMJr7Dj3nPXWe1PZvN1IJOoqbnNTbC2qEU WSWWTYOmZ8A9PaMQo7ok2JVN7AHMO7QdmIsI7UZA92tkdN6UQRQ0JbIPVuAejRUd2Di1z2tYhLnd v9YO0hAcgpqc16AIawekpim0DdKRNF0BqO6LuznbxjL/15Do/Jkh98tOVi4HS0FS6uhKSUMKeTIQ eBDeQPuV1NRxncAFK32NiAoiGsr/sC4H10CsRs5On7JB+gGj/vMaEOGLyg/uTAbZuKDwdeFKz2e+ hkPFEv5KdVzi3NfFuVfhlGlktLeFjK/BULEy5ML/xgcPq1dK5zwBqAVt1rPrWLCcDJuIjMatZBur 0QU8OnYozlYsARnLyW6QcmfecDbu+rwGQnhafR0sneX80sbog9PzGgdhP8LCeRNjQtoNFYc4PXO7 x8r59GwyiK9RUEn8aSB/mO7g9UcUdLbEsaGdsAbdZfsS6LYwC7SbSzoon9cgqIBzo6SD0ySxIuYc q8HUkcFfLZ/XEAjroPrBwzYTig2UceDsvAZART3wc7/di11t7SmH9OUXO3uZ9H6IZqcZ6j/5cO+s duYK5y3q2ZyeyWkBTbmcqwPlfU9cZWsQba0sVDuFWzhocvrarDFRK+bzGvkUaVHYGfxjmHYpI+Ej X6MeHMpnRgcEWyw6XE5mg/u3JWPnKB2GZHuNeXAoSyGBtqAaPOY5EwLyPwm3ZwdRQwLVWdQboR+V 0+OAxWvg8xry4AfgZbXQzLDB6VrWN0KC83kNePiGzbeWiW0CT79sel4rjdhZpVCE3uXoy/CdBWtK 7xPNbgfPa52xuClxAl7dhhsSnixv6uA5/0qEn8Iu9azWgZ1F02uHsDW9ajA9aF2f/wr5gwY1icoJ fqVyXAqefp+eLqmiMxnbby1Yj9j0vFYYi7JblDms4DFa9pbsWtVVoAsxNFSRiCIeKdFv6dPuR58W /JxzFbJp3WEKyvb2uXRwLqNHlGmNYeBsOhe9VhfxE9A6vnW20jgor4BD7ZKUDRTHooPHEFnNgNnj h5hhiwejaBmpF0AoWihTSDBxaujxK07NVbJ557BhfpbUNVQO6l/lIFQTW5HMOuRxNnO0hiJbh0MX 1WZNnpbO8e8UNiwfxTxCPuyLfICh8mRC0QiiiQLmtW5IyFWHsiIxoiI7BROU6FuUjSWK8qE3hUap rpGd5vRSUBgyT04QVNpt8P114kIo1DKfOOsGctOiHi+4apJ4dNuw3QDk0elMi9RFxV8NWkHwsKNq C+jIn3f2GoPm9hX4AAPQ4ApsM/QeNEPpjnIRU/C9MVvzHdbkAIEDaAMwZ0isYIa2imSVimwcvNOR waOWbgT8KvaUWhR6MxWkF6qvWMal3D0Km7vE6piba7DrCwR28axQK3uPm0F+WN/3F1pX6PXZBEWB M14NAsHGNQL020PDnRyqttjAV5MjOKLZ4IE4b/HBJqhAUnwAb8QS0IIhOqtEaOdAhLQ/bcUpJPZ7 6OwCvnDg8cGKib1T2fIHxvSfd9JaeWxANUENUUa60c87Z43pJI+eqth51osKbZ1yPZTTas0V2d4p a5ggpqWdjBgNt7sBiVM4B+NOBCxHJX7iApBoSu68aYuprPHnIQTxLx8saa9zyVMHqofvfDXGT+Pr lKaELrQ8fYai8BmWk+ecq+4Xsqr778EwWJO1ymoOc3iPn6F60pSSZh+8tXHmDuAtUoz6WZciJSdJ aTZ2vm+tlaY872S1IqIuliHKjho4QzC27016Wq1+3rlqBRXTO0N67lzNvQ1P3d/Jajg+OneZyx/M Vh2l3qrpkMD3bZg52DtXjQd1Ym9MOXwbt2QP3WfhTDEhcPbYAs3iuKD+ACR5zMRRtMcoim6EyZAO ZYMxZ1ZeBOAhvvq8E9Usv/0u/VzILCcoiqMRfSFacUAR243WuXdTnkkrXu2yKJDupFez/2KDcx02 EuAsdMNJDcoeSr4oQA+8O0UvTPlgb73s+wwNNjXQGvZB2wzEHEnJn2zm885S44/oKbzWC14Z4bhN 0XssLdHWYe4gyFcFkqXkzkqWh62OC09rKAqmR9P+Yg+Vw61xzHmCI4ocn20wF20uFxQQGR9Zm3V+ tTTeg2lUKUhR2+T1c9AMwX6+iu0IzZoomvZSvR1EtLm89bF3ghrvz06fEKFhZpa/3KKBaTLk1bn0 l+v6hOE0qVdoyPtgE3QSsAVtS4aLEAKlQPZcNH8Hpoge3oYnnlPvGk1Qgb8luqI2qOUD8m2TqA/a 0FE8bYA6P4cIuUzDL/t3dhpmqBc26I3oOEiiE2d2P8cQFRwwQ+/ktLvLMovLGvykPhdwUVyGQlAj gx8leuLw0cDZ1/ObqJrPOzeNERdxVkuF+uVc0DPvOOR/aFGYP+/UNNaqbhVRbD/eLZ6QvTPTeHoh i+9Gb6BYqtURizyMcA6R0a8i63tEjbUomQdpaPYvc7AT7sKaUikZsPuTOmOZjnRNKmEPf6/oZYOI 2vBDBFuV4l6pJ21ChZJT1ObnnZfGy6x/7zLodM3kJ/U7LQ0rN+8rLdYpXec5x2THXLd993LQOyvt 5vTnXu8+eM8QeVi1GYJ92pJxPMKRDshU/gaiUe/onZVWknnwNdKlNWgRpXMlSj7znEmfd04a3nH6 NuOpTjjTvjMUhtQLnbA9BRyGKIQXzPpyBOjJOslMxy6LQurExVMAVbLBziFwEDEfRJ7r/8F6otjW aI/3h/0hVZF3Qprn9T1B7dQGndQg3U6hhjIfj8rR+ysrG01Ctz5DUUy9uIZSMX7M3OW6FNZRPW/d xbKydzYaE3u6WS+5hK39VFz3QNcxsTu/N+JzWsGxqQg5MAjw3F1GEtE7G415K6/5wY4qB+2yAYQb Z+jcLp93Mhqu27W+dhkP/H3rZu9cNAs0x8rNC2fVeejnYs7pdp1d8PCdimaxBhJWGs1x8Mv+ZOuL mtJgNZ4TG7VOKEBASASlergtW8keIp38jCAcKoZfLD7YBJ1YPAsRO9fnnYjGZe6XPZcLdJdlwccJ eo+oUcqmje+2CYLgntfsWdpUYg/AiJbQe0SNg5oivuA42+BL6LwAWIy87E9+tiqZMx27siM1zkC3 3eLQ0su+H0OL1mk9kUPEwaB5iSbpCBjT/ryz0Hjk3torlbKIh1weML6z0PAYfENqtph6gnF44ecm eZS3vE65ht5jaoS7CANbppEaB1tDA212aGezodogjk0eNlLdc2zMH1YLXWtl6V2DGTJ7QlbwB3jb 5tk882Du/QPi5Oedg4ZFlP0cYl2JYmvrNn7eOWg8qnkQNW8bzuGC/UN26Y0Sh9lS13cKGg80hhfq y3OwKTorEFtq6iA6k0JVOrCHIAVNlaPi9bPBztg7A61k83faJCFq0EmNUFp51ZnlzzsBrUi59akw Uvdp3erHO/0ME9TpG9J9guj4wQnKK9/62XLFnnf2WZEuwlk8g7k9B4+pC37gqvoZKKSDVWzclcCG TdRhrwsfwrbPO/ns7rJWaKHGwVCLqcrzoaW8Pu/cMwSc41c4hDIF/evVd45Caoj1nXDO1J4GCi3e eNaRxMSVYh5sPIchtXaXkjMO1pYHmnNQ/g9JBzCi6lGjblBoELkfCBW29eedeMYOF+EKaj1zsKQj dR18Z6XmzzvvzG7bZ4JgRlv6vevfaWe2bE8uZlApSDRagRHOLi5Hn6GqwBX0zjpjzoGbbBSyzka5 rLMBmRBYeIG/eGLEE9lpraH0kWlYWozZBApM0bsGE7QIoWbPSIPlHB0gP6atha8YbLGnOsR7HatH zvGcoSiiRm4yE8XKUWFMvViT7OxrL8Ge83HZVfbOOOMhxHNasmAtPfjpcs7mmjOBL4Dj92Gd6kVx BKSNl7zIyu3nnW/GIkRlf4Mpx3aRUi6hQvFy6Kt83ulmDPq9Qa/IB/cBicmaoSiiRsNoTFd3HGM9 EHPxhggxBwdeM/QeUXs01BaB5hxsDeGIQxE8E82J+pxqsFgCBVJP6LG4xCqVMN7JZrcGuzrp+Qt5 TRW+A3+NBZ/zW5fPO9kMM3wXERccjiuqbmiGooh6N9rsTuskTormC18umx7MECeLZfx3phk7SlhD wLr4YDM0wX9NlFeu58eGKcZiOIDICiHyrXx0Jq3vLDO2odnewPFlgyHvmpVBTuo3P+8ks/8Kp0mf yg866D2cTqSsjiXTq0qRh3obrc2mB2QSn56oRJ2o0dsSkVEcvPCx0wYpi0V8UBUUcSeo3hd4FZJc J08MquB+3glmxHnZKX0HQ0+dn0+eFic8+rzzy7h+fIJ45TGgyveUjsJpSBVP1ugF8piuWwRS73aQ B3u2yFnf2WW31VoF3qy/wJuZMnwMhFqaOdEJdGJtt4abpX9pPfetl/2rurgnW9IcDASDuoeYUJPv GFQXx3dWDyutRm6JZiiKpjGTY7gjIZx9XSSsslUnvbniNoXv1LKSzBUd6EMOhHpMVqjXHKkvXfQg UgtOPWDeWZmHJNrtdm2ypJf9E2SGjiwGdAYZTp9ARCWTn3OZ9887s6w8bqnaZeDxglHkUxRF0w1S 2H0sK55VNLUtFurbk9aGv60pCivUvOMhkOLDDRZPPJRNfj71lFlEAmK64wUpLnlr+AwW30llPKZV NKOhI2tnRDafhYBuE/55Ug8+H2Wt6euYHnSQLF5efKeUWQtynHvKWJyrZ2+VVTLEVTxjs5QzFJWo p2JAKTtxsG12ooecdiXUrKGGI+LdwAHYIRKa0zUqzNSV/LwTyoocrNDpV1t6PRBgyCPz887X/rzz yfiOtxGk3iwKTbfy8U4n410PIVVqavyHog4sTG9KOWw7lDYTWOqpv7PJeNezE7TVkN5PQ3rCuIAF TNQXIRZJAeiOin2H6k6W2tt6+C3vbDKCEhgObVZAzuANC9TimATCquvzTiYrUvT96pURUzU9aX3n kjGIghAfPjNTGKxTl593Pf3eMVkg3J0fBpfZO5XMbmL2mbcPNkM48tPJYpOA0sAGUzwNqCrWP4hy sWYiSlSfdyYZtxnNdsk90yDQx8l/JxkQ52gYn3ciGUsP6+uo5u3woKreeWRYQiBOjJqcUd6ojsJc WdYzCznZ4BLq/Dvv51BjMpY1QflrgiYNvCD5Cd4m1GspdAGr4h+WjOAPfQWLoWz7eaeRWSuHEJLh gwGrTqwh/6Jzon7eSWTloZNbeZHx2fbr/p1DxuZKItxMJeoT1bEzDX5UL0YHguduM37UO4WMm4xL aEsObD8pB3oQoxvk9ezctGgHOkA5Opkj9TQetWIyEd45ZMR2k0ze2vRBM5T2FIwCzPvPO4XsvxDT jbyGdddQWKIe1HO2avSseGcrngk9TdhHd2v0dwIZ01Ylo6374BHjOkfbrsTFoG+bBhH550Y6X4Na fciilLay+/V5549dvP2Q1PzYjotB4lfUxzkf8Xmnj7EF4BNEtSfSIZg3a4aiEjUS+zGZUbOZKJd0 8hHk5Yh2K9RObA2FMTUlLuvuzYebtlZ0pEEchQUWAnQe6Qj64fQAJKp7hOEn+bxTxzhBrJmtdAfL OU7EKKJ93+Pzzhwz8NdXzoHWFqJsm58Q89EoUNydODZq8ru+LRcjgIyqzU+M+ehEDHF+OHg0NLA+ 1eSAvVbfbIdUylulpT6VbbFOXcJ31pjBV7F01h1sBZ1rRNi6Xfh41I7+rgxhbgBg9QmKImq0L8dI y2D3fVcPF0u/KmmslmuLxZgPpvOTNjwc/BBa4Bt2gqchr1e3OEG434vciu4EseL2eeeLFSlLcG9V HzRBA3RkfsETZH/e6WJEVvWvpAwFHhZ/bYLCeHpDdqAZs36mdPnQW9uu0E4WuFfeYzF8mjtsClg1 v4qvJ9ZtJpAGMmRPghAzksUJSF6Csla6VeAzgos+CTWks3o+hSGAvtVHxBkUIT4IAX/a9bWqVuUz FMbTFVzosrMVF9e1S93d7VJ3QgysJRSVpzVDZUmM8DH+bhUtmjqk2EhtKyZlkm+i331e16nQjukQ 84H2z1nPOflgif3Zw1Og+sTHg3b9XUN8ErHUOYb8Iush5oNahJuYD2LM+wV49ismv9AGFrnlPZ7G Mc3tValI2OqV3Ac0r8JTdktqt0x685wrmT2YQuKhh9OdtbMehdPJLmd2XDloEZ2MaFRTIqx4PIII p+9wGsuHh7UmKAqnYWk0qBBravvZiJhlz2Io84kKoXZZjyAfg8yWXgTwLBfgeS5GOFyaJwGlz6pQ xJv7d/7sdvN66tnjM4J8I5uzXPFBMMRWsvDC0MDD4//iR8mEbn1XX3tcn4ZITHYSx5pXEm3KqJh0 q+yVjx7Vp60XPWrxwTZZqlD3GJ19xIlHi1w4IeeT8Peg2OzMXhaoexROqzZ0CWQ4cJYyjhOXsyU0 TsqC5wPc0PReK2cWMT5E7X2OQv7hOTFPuGjC8Wc/Z3MjgOCLc1cr1HWYcvQooNYllgchaNll7wDw RP5R6OhR+6poWmZqNVe4C4L0iSzHwWeUruhRQA1nCdRPEDJrsINor61tcr4KHg/O6vSNo5bXdr8c u4iDSGfr4Xn9mBJnWHJqfepnFEPr/DtRhZEQWJVga/oKF8GBKwCc4jIjxhYQWJrmosLzA1WQK1ux 9a5RN5ppfVKXgz54qp5BIgGz1c+Jj8eDCRrtK2tl+7zeeLrH8TQktrIhFQH5cFUmIuc0QS2jXcMJ CmmIQ9usVx9sCZ13n2D5qpN4Ljmw6VAbEmyomWOTziEct/iM4LanFnppdEbhoAosZAxplopWLh7/ 1yaTqsf5Snt5BbaHNWqgF5cCauojUV4wqdXaJc00N4qkmqC/A2qKztvgVIWT/Z7Qh73o84r4rQc1 B8/R1vG9AIK+kA+6W/aoRl1p9HEu3+yDklZAVqpSjjHxeHBS3yVE6+/EH8XbHD2KqIGCmIX4pv8g fU1UGM7UYNgEU+EUgpoLa2c9QnyAhYs4uRcfHDU0zmvUSiWmDl30ROHGocC6scniKt+Jzmg9KlFX dibgfuKDDtxcqgAfKNbg8SCrbx4wDkvgBDC1GYpA1FLzGEsmuwMbxN0aUafJZpE6rDTUI8CHnK2Z JnNg+MYZAqJ6Q9cBgI+8t7RzJq5P+kJQt9JOIcTM+IwgGpoSzSGuioOW0Dn8adMLXfWNxyPwoidl LP2jH1z28rS1hyH1FkbYi2fdvQZqEcwcxbPBCgrXUFSiNo0KeX9y8GOogXM6DN9Zzx9l8f0ks0mW yRCl92YrGfH4kCBvVcKaqZzDwUrUJ4IwbYXU8Hi0iNJ3SJ0JU17NM9cRxdSU8egrG1uhjz1fMleE DYypR6zjoWBaNSIMFjKeOaEdCukcOU/C/kkqZxyxfoBQvqY6We8atYJQm+7K8Pslbp4fcMqQHW6u eDxgRd9mGcMonI19OdB8RDE19Kpn7qaiO7OUhSYtdqv1OTZPU01QWKKWGZrs9Tj4ZQ9P9GZCJxX1 akoQLciJV1Dv50OLXrSKGSGKmjH1xDTaYFwF+LWZFAwfjxrSTm1VCocc75mgEEV9TliIVHTDCOcr 5zm7wfOR2g9DUY+oRJ1ZPOuKFzuKbSfgwAraCLBGUb/+hKC65RfrmDiZfkiWt8v+LPuBzwjwnWwA rU7NAWQ2anJAxomk2J9+/g8ejwhB9SsrQ8ONREiboBDyQas4R5TDfsOTDrSirJe4wHzWCooCaiHz ED5zYFY2SLs7cdnJaQGBq+hP7sz/svCeR2rUL7pz4F3wEVGPQ/r5qfrgmBh0UXgIzYbH/yXKoPQE d0N6JiiKp/E7jH699DpTayHz8nDgGTIgm6Aonp48oGsRgrHkG0/PDvDIUpMDJmGbGQeEBSklSV7N bQPREn2EGGrW7k9yS5OYhF9tW+0DmuP42rlkPB+tofa1yeAxV8ZzTEcRNW70sUguJmPqOg3D18sJ QZVWStxkUUS9eD6XKsHz+iV4nlAeFb++DdzyOoWQIVVKMbd7Cm3S60cIotb53HRaN5cfaKiCS1b4 BA14PDqFvDikNjYpU7eVOEIU9QDYoxqB/BxDvf64tsewGvUuBLJxhiIUdWHvomXBY/LTsYfS2Rzq cjToTq4ivgd6VOAoQ/fIG2W96l2jRhkayCgU+KBzerUmSUkoGuDxIBxa38Whwh7ZbQONGPWBJkc1 WPCJHIsvoZYd3YA+mRXxR1SjnsbYZGBdG9GWmbtsn2C0ZQoLsRstk0H4xKBwVuiLfD0Gz5/HZ/wV DJ1kOvmgcwj8dkkIrLXxeNQn+109O1+JDH9NUBRQQ5UK7g5OmCrJ5fFKSq60ONRrTfw7UVIm5aV+ B99j4NedyD7pGFogQfOmR2kaNhoZqHM7qbeWUBhRk1pPvXj8fmjQCGV+8vnELAf9GDwfJPa3zyE8 MTtlzZOOEVepcYOtbnrLuIQtsx+lWFNo5eIeVSOsUnNyGB7b4FVqQCPSYDR07oTzZShr2pH/nauP 5a/shfy97WWDApocqtQra7dXRlzPNt5dweP/qn1gf1IsfV+qwgyL1A1K+XOa9FuhKYZAH8kaaKBM 4aDFDM0woNY1X0Wmq/WpwZ5oV+1XsDlWXaT/LpokgIP9AySzo8+Yc8wQ88FW0O5cRBysYV/yTIKZ t4bHgwlqv6BVSH/zve1nFFATh8++E/NWrCFl9pX6ncpbTzrelJXNkJcoQ6FBLzgOtoQaioi0FgAC 9pxT4G9QhYmKKMiV8y3BIqrBZ0RVfMowFU1QuRPUYSW7NEEFj/+rX694CNHnvN3EGUXUsL48EzSW 1RdpyMpNltX8ALd1924IxhnzEtksS3X74HkrjPG2AkY0J9jbwAwB2QD5t0xpJSO3UoZghlVqoc+2 CJwuFQb0+nlSWqar4vF/TRGhfAhPxoXFzCikRvEY1NZhnDKyMER26Y7EB6VsmFZVXKNm0UNKXvVR 8urQF4K4pXKOs3OpfwKzuWGcrvrwo5F7fuY/MB+LEoIcNEFQzJQWyglDPzMEUd8Co8rZOvh8gqKQ GrfYrDS1Qzfx/OKO+YAuh0LqjWjDJijUyePaedHo7opVdApBkSmxwDixmysCxD2epAPf+TNDobxE qsLO0wdtsg3lfTKeT+D4mVFA3R6VBq4XiCLVR0hw/lmkXtv50QuPaJfN6mJw6E5s22UR6MPc35JE XtODEz43AZhkot0hClU8NGGo0XDlDSQJFjASBDujkLrSZ+msx+6D1tCZdTmFthOY4PGoBHs1q+hn gglf2+tnM4J9oMtw4p1hUh+DNt/qcyyXZ5L3GyuMM6pSryz8Yi4+eEh9rnoEV+yW7dTq7jKrgEIC cMHk2bumctG7RhVGwfJYPptXeLoCvc4Y/vymDY9HWZljz8hwYIvjtspmFFL/H2NfliRJbiT7H2dJ oWBf3v0P9qCqZvBIjlsWRziNYrM8wxOBxRZdaNzd56XYpytaZe17Qwlb8WyGmnlUHELQ7INNUD4H /kI6wXbiyVtpfXbSTLpl4hukbbfZUG29a0QIwsw0xuAaNEHndBYD6awIPh5M0C+GPb6TrwrsjGAf AHGiDmWZPe4dO4YSsx7ygUZ17u+MQuohYFWnLx4H32MdXENxf2GK1U05DlEYCkUS7LUaNTWrZhRR N95gHcoKNijnaCcuViNo9Y7HoxX0nXMASlH6c9XHpMTEhrQoLnA9cgX8Rq9DGXNQlJ6HUCj0Qc5d TxT14uC4GLixEs4BsguIC9qFGTWyDkUKXmQiJdL4fYa6eRSnzjRX1GDAIZhkChedCx6PosVvfj3Z HOtRXYzCaZQe4BNtOh+AGt4tlvKFdy676VeE+RjaYqkmH3wFFfwqk61fiLk1oIOwxdCBQZuAOdm3 FPcK69NcQbuRL8VBW+zkLlBMp/d2xeP/FNPBY5TKKp6RrbA+zXA6u6g7XJE1K4XeK67D7QIEK8R8 9Esb/80eRyeo9awCfkmwqqFecFEGCr3geuvTWOj4jKjuIZNuNso4aIJ6pqcebsJd8XgEPfvmA6HU U/Olta5QbBoA4UW8NjEfKzk2bxKAIS/cml24M8R8iA8kbEwdsuuhhgXkMfl1kzuOpMsSsgKL7HPP 55vVw7C+4TOi0llm96dvH6zucS5cmbzuNfD4v4JpCe8MimV56WxF0TTK4RNa0Cqd1eqKVaBXut59 g8qd9lgIoe5Z8Pvlg/daCyUIuMcaEk1wEWjx2lV/5Voy4Twiz1ZcoabIB8sfGjRDKBrIOurcmXj8 fzmE0HSp6VaGVhRNL9AUJv0o2UhUFrZZOruB0GaREqf0CimJ1SS9kg/3GitQbVtaQtDRS6SUoZF4 QsXxQyadrjHqA+IzgtIZ2/RL8M514Z2g2VNPChFnxuPRNeahIlv76NJR9tpmKAqmEfmMls2rdHCx mP/Gdq0G8BRM0WuFIh8EwAKD5YPNEHHlWfKdaAatKp7ClKkDItvsoVBJhJ6tCPJBL/hzFotkX12x CiKIUntE5QmPB5CP5Rhq/F1UXiXxoxkKMdQFZNZiHtODzXSrLlZX8gQY0zKyFQbTVQSFtXzwpP7E 5OAgshmdz1yBJU1PAADwz5/5pqoLUX4WnxHJDzBILFLIhQyKuECwZeTNiZ2HxyMF4e8JKsRRjLvJ omD6HMdw3SjFCmfUnifurI/tuDN2zLSEYgy16q5cSRx8giA9M6osyhJbOJmUO7QTEWO37X53hZE8 PiMKhdholesdB9tk03SH2rky8XhwTu/L/GV+i+R/33xshSBq0hRITeFVX6bfZABmWsZ6knI/p8MC 9TAWUPJBM1SRXhSJPdPKpcMs8wS/MJduVLLrl3J3jhN72QhFzdo9mWAarC50bmKF0+dCxeORhLm3 WiV3jpsi77uIongau2PsGw2Zgxt32fB4eu7pQPwV61DTiDvnOzjX5QQK57ihDdA4QVBCu5upWTmH NOhrELXwcGgMvezffClW0OR9+SN85yLtBK0dPv4+ReumZHVZfvLT6+2U7SikRtnhnND56g1tb7dC WtKzVgq4YY52SEvc6jJzqjj4WZ3G+d8GyQoYFiQxgR7F9ZeoXPdfDtM7CqkbK691yu92Xr9bkHG4 CGoafDwont3akG0qHEfdN9p+j6klDTGzFHSQto5pMXXr1WUsziLzkHH/7d9CG2kbvERdBjDrZCt0 qDxlaeHD9xWtsx96H9llRin8HeKoCfboqr/2W3+Fko7yegrl7veYGiiyexTxsEa02G7xbIdBdUYZ Scru6LaOblS7CqiHHUWzdRPT2XFQnUS3Kz54yJiBiaGpNuqL58gaTMsqvj02Z9Njb4dQBZ8RFc8o UJny9sEoUyCC8+MQEe2QlnizDiPE/ih51gSFtESI6cw2TBcOsjCOqyqPqFfepvOxY9AHK9SFdR8O XhqawDEMNp8BW0yTENgFOk2FtRZdAKwNNIfeNdKnJKRh6VJbw9W6TwrcJVF6Dkw8HhVgL8AzWeJa qP+rGYpR1IWoDycrtKuEUtoajjzLrka9Y1oiL3oZTpTHcKKfoGegkyV0HixsO33uad1Obd+vY4g1 /B2rUTMgSjqp0+1H727qVSBi4fEA0vBYKuDvIoWp1zNgh14ucP6j7hsn6IQpyxlB2f5lBlDcPCR3 iPkgFQjyEj74HuuIj5j/1HOpAz1B6wxIwlVaJM2nyYHsEJ8RBESUWG4itrZLbEWOP4ZoUGnh8UgW 7pvYioJqG1fhdEeQDwDcYOJllKlKtz7pmVOehsc0oRFaQaFwnszHVFvl4EyFkc6s04EVDeS1EYaA MgVy3dowS+vei6aQDz7jD97miTqJ/OBge2zXIuN2dBL3v6Wo1a2HIn/3nGOHITW79cktA0ANshVE CVJTxIfVgiYo9nShlBeXhwZbQRCWOsf2VJvshJKDYGIgJnCN1B+4ABuImukuPuN9i8lMYTfFi83j RSRorZpj5sDjQc4xPLGXziLiBvJ4NENRSA2+Nvi+zWZImtSN/Hoyf8mYWmTddf6cqP5KgQ8r5K/6 MFtP5n5y1NaU2YOVLloM3hSRdk63xUGlI3zGX3JDlSw9Db7H8pKkV1p8POK6+B5jSrRUhLinUBRR NxhuLSkvVbbJjCdVB03B3cm22wxFiI/ZdIOt6YNtsplP7AxtRLbrUQSZsmjF7wlXY7TsPRZij2PH Mh+8wZr1gi6X4+RHnZiY86UOPP5PVTgihJH+PaZSOYWQDzCmsDyFgK3JPewgNGX5x+7ZFYdyCiNq SS0XATrK8D5Q7aOB103txXGWDUxbkTZmbDEcQlgFFzlEUSZ8yvssySRwCU29gEVj4QxAtlHYF0IR kz/gXzBP6oKcRQz9mjtNoXxeoboMAs7/6FzaBvxgcy9LoZImz/TnSGFYLZMg3Iw22DTNc99LfBLM spJ2J2+qAKg9YHSeN5WZVMwf2143ot9xFU16vXCw1APNJfyXk443Ph/lHl5Jw3NsCtT2zFJo8wJd nV77BX8UB3+M1mwxIUYzOHVOIZ6aiylPHkkcfMMVdo1lcbdTgoEMPdzOAdGhhbaIjzFl82pvG00S L/whpBWqlEzXM/ghcusd5/jkDwhCoysIyzQ/Mxovd5bCkvVmM2heIudY3vVYV5/p/O/Z/ZRim0Ti OgQm4uBANFyRK5PqOmo6t7P8giDv2pF0IQx7sthtrxtcbkkVx30HJWlnjrLiv7Nc+XzEKb85CGZk qwqz7zRFMTYQQGcBmdgQsmYrXJ8gdhkiZINr6CdTVLkexsRT8XHUB6+Hnn2qWkwd0QBNgzpUijrE djLCVisZMejgpwTtD1ZkR17dB9WMIBah4v6G10IKoSDl4vMJHS6UwG/PcgrL16Dngr5jmLRM+bxC SK482ilmhVRb8xTWr1UTGfLuGONWZ9H+aN2OpnNbQggVqA1gOTocKfaXZhzjAHrcBuAeovR3bT4Y YKZMgSvAyefzEbn8RtvqKW2gvq6/UgpL2AgFmnr4EAQp21mLHQLN/OOcNAfXposC7qmSEVaNDX6A Z7iZIBNEiRYisLznmgRaziZCf9zFK8e0t/1LFq2Zbv4cXhiBqqOqQXPrJSNm5+2E8ACfxJDkO0uh FgjWkpWs6XrdnB2cKULJAxxaVH6Ax/J6PMCTyJ3pIXeepbRRrF08wGcVPn92BL0smaCRbxETQ1t+ SJCXUMi7LvrA1MUomsiiUecweeXG5//VcpS9O6jWfT0nU4gMwQG+txUhz1c7uye381GKZQygtRQp glQDF8kmZ1+1YWS3CL4bZRqhX806/wSb6Nwx9F14FPZkkZxCweqp+20nH4zSkHJXvnH2BZ8Pdtzj 5or89gRZ/SF95BRWs4ElltcaNUGSYdPB9dmWv51k3d1ccwrL2Yazljdn6TeBg0vyAAJHXHwYfEo7 Hy0ytNg6u2pVZbZibxuVkeTKSclhDpqkdeJWHUuDYWXoBHMRNNJvaNSLvb54obcilK1wOFipDcIm DxjULYEX+FJmHRhiRJrIi4a8vj4efUCfa3ThZdMJKyXflOlbgba26OZb5dpub/uHYkE7C3/7oFmC Wwjjgkp3xdBe8ZEs6KwaDLru3EmKgm/QNcCANd/kkYu7BxbRGKvO7uKTFOFERGCEmowPhkvHaX1y EceJJDjC8HgHShzBSq3jAfMle9u/iIxM4G0wNN808CPkovh8EHvfelIRVISu7ffsjj0Wa72YUPxp mFo8uIws4CLZrWVbyS2HJotqYDcSPDR4/+jcPgDPUAks0cNJzTjWtQF/ZiInUGjxt/2zf4QeMIep s7tiHze1aevg81Gf9luzsVC2YD6TFJW2USCeYrDSRlAODPQyncyB5WXqFYEcGi0O9rDhdeuDLaWK 1sKqDAPO9w/PJMWdSNaI5n9q24Ot7Bw4LZqu5fnhAMdqMFjfIkObpOHK56Nu/y+BB4C553wOpVB0 b8G2d1dDqK9WvPTWl1WboLvYrp9pSGoswqaX4YMdSpXgkySRkMbGLfWcmvyMNxuJj2fFsNcNOv5E h0KYTkO1pdTPr0ACU08w7Az9FsstnRTF6aiaPCFlYLiIVJ6qltttgwXOYv1tkOJYaA4z3LMzcFx0 ACSQZD58nd0DIZ/QWedrV3mJIQ0U+aCd7scSpeVyaLko/xwWQG3gWjrHWZeXCOSy+XykcPmLgY7q H7wAfJKiOjeYS25sSu7MRarXnYxLfOIp2uUl/aComdSU5W4fHOM3ztZdG4C1Ew7AoYlA7IbSMaxy UaqcD3ev2OtGjBAeS5V6xK2uWzipm4LK4DxuPv/Pw1tI0f7LdzEwXkTLDWW4bNJ7wE65aZ7ZmzLZ HcsD78B50UWbC5lnGiw9gR/oibzoVgWbMzD3L6JfllX10TKo9rbRFbcpWcRlyEFxQD6fJ5W5aS8Z aWL44S3rTxyJMDm+0xRG3oNQdatWjrmGQyRWcR4onJabT1MMyuahnGXqlR+sVikroTXJXLedWJu6 QRO2BD+AKaEi22+yW4e9bqQhz2qlnHLXdco9wdjYYi6cpIzPR/rf7TvZFeTwa9OFyGwQxYA8FuZv 5otoa3SbY7K7WMZnSSDwYHSh/Zp288E5Ruc/gC1uCj8koJgpAU7hrNHJa7rtt5XsbaP2ErPcTfwo B698p8Jjqp27hs8HCUr5rchH2eh507jQhhGwangWG96m1+Gk4pwuA6Kz7snFFPowLhdXWT74YoJY JPwFVRJAD4UsGgjaNVb60d53k8Fsbxt1UHgy0UkWQ9YtNyFLwlnqBWspcGJEsjy+zu+CMmm7NoM5 dGJEyD4zEc6owsldnFW4Orb98WQCzUu6oRWjlZW8X/mwZs/WgiprExhgAWhJqR6QfBpdWfPt5C45 U8dWjCSF9iLT3OJwiXM3ls3oCX5ifD5iFt+oksER2d9XxznHboxo9aRkWmrnmGbszVkCMZkbbk3k MTZLYezdZbtEqQMOXoPbcEcge47mpJUTOQFv/+nooxMYKgBgrsneNtJ8YpZLs8ETU1TgpjFNIOQO Np5Ohr75A6Lgu/3acWcxdsqH2TT9CSwZ21jFQ70I9Qcqo0meS5TN57kUeDIi9OKOSyP54IvpZDiN mR0o2DMBIoDKd9n4ggCgnnncdFchU2zKqGVUWYzrtVt9qUM1qYmul/WWkR7vN3SCPt6QqPJZisJv CPAO3GKWyKVhlZNzG+Xt4JLVTAkzB76MzqFtqVYfvLy04RlUDDyBdGipJoCpgH8va97W+p7L3jaa JAaUrUwfDPbfVDJE3zLz+X+1BzwSKPuKYebYmREmF7t6a3en7AgcdIUcYDJW8Un6G2GCyqQPDqBA Cf4E4Eu93cwliuAbdh8T6TsQD/KMk9JjDr0ZVRPopH1oUE0AhrpCUJx7hs8HK6l8S18jOKwPxiSH 7owNUWVZTo5o11evnf1gycpJ8xxRmgN7RkfdNqg62uA4pQklKaNonXDp7DgTFqkgRhhgSPpGMvjM oT3joCIv0H8+WFQ5YXvElbQGnw9i7/KLbZxoef4cSqE/I/Wv5T+ESr0gbmxLA7fnQBNX5s2BQeN1 hd/k1HLwQiU8UXPaZtqEa4zHO9YsLAzQcvRJInOOHxJMEvFumwqIGmy7nXhO64AluNChsVw6LZ7c OJPSfrZbFHj3AhLtGI7GoQegct3CYgpzXbT0bbvFpjJS7GvbB19Ju+PyKZTnPYcCwKXI9NDZpU0P gliPKPeytw0uuGUuDskHm6Rs4SVapHw+Us/4dnPI4EXTp89nKYq74QIBk0A/ubtLZNUp/8+iVtzw WYpr3txFiYWBnK7XJ1Tx+tzIfgEt3ScSGGzFgURIbWNcHiwZohDS7W2DpcTaW5eXXN9XfxZgQ7a1 GrTCc+jUOH6V4BruVHRGbJJCq0aY5sxKeowYWy4pBkxI0tbbAwxq7bfYq1HuVlW4pfqABBqg81OM JDjtSfwRbp8oghNe+hvCnUOvRp42Z+/2Oxiya5TeRJYYk89HUfe3gChEv8HPuXMURd3Q/4VA8nVm drW+87SHABAL7z5HUdS9pHS06AzC4Z5J8+SjqM4Q23UCpETTWNjvQPjwh0hTZ2d3e9uItsW2LeV6 NWi7lfMhMvJqWS/5LwSlWi1AQ6/6zFKoiE37FHhySpxuulAEqq7FCRPwFVLUHVo2Ar/H9bF9sO3W 0pmmyXJR7f3kcpsm6Pj5PwPqcJkQdgN4qfUdmjZOatHWTXdLDkaZGK3xdzz35eDzwTSlb3sHepLA 8NhnKYSbTCjU5ex6I/NrLa3bPWlr+FoKg27hIwfTXA7OmUB8PYguh3jFboWOuh0+5B1ELIqtKlKC 6JPeNggnrfK2uw8qwLWqO+cHvS0+H+EDvltMtHEaT2EpMG7Eyd1gFp+My14oSGA+M9NAg2e7TS9T Bs6NSI14ZFeJG9f5WBikCd1tTVIrQIOIBNhhTwUl8nYzuExZBn7KX9Cl/2M1A2mEOiVygJ5uYN/4 TSVlqQ3q5XU9+IDAwBEs8Ub1cKVtXvze9EhlZ2DjgiNtlwlc6OBoa4mAGw3eY5rpbOiVJP48Go9L 5yjRip7kclVzERvodYMbTpq9rQ4fVA5A9Jt01KBmEpg4fte8zX3lXKXpgeSENo6ga53jexrxf5Lj JLk6fj0qelOyTNMUgU0agacQ6vXB81zoRyfsLfS+iUMljAKyQR1GRGjRe2dgdXvbPxT92mgyn2ku TQvJQ0n1g3rI5yN5Dc/gCp8T6vNOUljyLoUAOyOV9klQ1wQMbrg5xlnnffiWC+NuwnKprWaDb7l1 LrjEXxwdVOQqUtGCOgv0GzK1+mzLpWWvG2w59r6rFJHqdVYZ6AsQog37KD4fbLlfwof8auqTnYRm jhORwG5W5x67X71+s8VYUCFp3SvesZujZqnSq5CDl3JPWJNqpc0cPNoqPESAlMK9QbQYVY6tStn9 dYNQgBB4FKp80FqauDxZK9pr8fkgpvxFpsikF+4n0w0dHfElzlTZa8OfABYkCK5lgBaKtEjQPhM+ N7R0VC8O0BgfLBQooO+iaM4QcoM+gB2XaZ80eV5xyyliouBPDjwd/WCag2ISHLSYaMjHxu4JJvh8 gDx9jEF57aOSsy9NOYeujuiqnuOoeRq3U3JSReuGEZgLN4FigcDWEcIUMiguywdrf0O4JgNfD1Eb VF2bFNwQErCzD4SL5bqDVPcc2DomLllI1xGAunVik7m0WhE2omIxBb6OSOMuCo5B6GTr+U5S6ESz cbUx9GAWVy6QIrXuvIqZyDHt+kF/FXObWBPtoVCe3bAmkliKHp/NPaTQBqVrA1KgNKssbmR/2wB3 yly3SiiyXqHIAj0AeX7VVvh8cMf9EkLEJBekcj5JUexdM8+lmWySlM8x1U3ZqRUVxVabpJhFSVJF b82HW8rdwPSoSIl2ZeNfSai1lc7A7mFRqv4WujvKBqJtQeE2BDh4VyHC3KxBjFQWf0DUifPTm9Ip VLQozyxFsTdO+Fm1lJTruobUzNvMRCCgfvdb7PAoWz7puOz15HFA/HQUkeB4fRZSZgMYyRcmaPzs 24eTiWoODB5xQzF/qzLGqtcYa6bU1X8cwFGEDo8PBs4oT+Qe3DmKQm86Y/VWDSFANo0ESnC3Whyw d/YsLvZ4nAKbKrQkRtyKJh2RuBz6oNwyF7VrFT7jWuIfTO+vbXvbvyLvPWfzQZOU4IEjlM2sfD7y OvglM06gYPrabyGhEmCTRjsripTkkb11kpcL/HYksgopA59H73zL7UGDR0v7HNcVIGWU3vrZchJQ QPvhbJn1s1DDdwzcsrcNyEwkdhdpIJergdyxQElFgrMtnw9Kucnr3dyb6GPkq9iWA6/HzL7UmMXm 46S684m7/RCfOyUPlgKzx5ueLEFP19OshMDNCRpJGhxQcwGVDQUBmOKg3Ad7zMvSoWhbDuwecdGI gMIooN0ooONGlWbWrHr+X8Alm13KyTw7Lqx402V+G6Fyltmu3Ph09ciNFoFPUwQ2qWJ2A9Njg+O7 zk0EDQIZZ3S4Sw6KIy4iaNYPkRHfwmQ58HyUPA9cnXf1QTsuA5emJB/NysD0EXnGveAac10U7S7/ 9PMaKlWUKekD1a0t0Mho41oaaVdbS/Cw0dH9GijVC6Zksq/BY4AF+HvXSjoPmuthouseRCZKcm+R vOjM+xomVTnMVCyf5IOd2wN8/R/yt/D0y/ScF9xWoezsv7E1DMMQYto/ryESJqdhBTkl4MQy9XJz qgttbqpgagUFk9MFMU3M3Dj43d8Bx1AbFybjmZJBkxKScpnCN4hc+gHAv86OVGBhZ9ezD3Zgn9CU uIlza55Q+3V2hNDX7MjxAORyoiYwO6+xUc3MIdBsltD4SUYu/AZifVYlOReBGR68RkZYOtJFxP63 wWLsiaJarbKEqBBbXmxeol1FiS3iHwzw1vSm70unuCxi8kGTA0I0wRP1HKmf16AIUyvxEEr/4a9O CJ9mm5zXkIhLB4gkJoMsbZMppaJtXq75t6ofPq8BUUWJhR4HhfXtpnUuzOQJq8FXIpxkTfBlTNFu IF84fx7XE1zI0td4qMIWfhC2JVGyQo08zs5IabFu1s/X8nkNh6oyd5sdPoZa0qBfMY3Io+k5n3aW rNkXoULrGVpdVx267W3Y29dYCF/NUMF/Lx8cRwLAB64uHju95y19m0m1tnPjU3zNNlajIXh07JAF 0KSL2LJrjo6SQG7EsXMO+s9rHISnDRvRTUYKHRpK3HB2XqOgqgvnZB3LlUnYvuHsrLFccrRnl/95 jYEqEkNOC1CnNjgw4pxaiQxJ3O0nkWkkTk5KKuGiyvQ74MVVqHP0eQ2BqtSczlGVhSHJDkVqME4Q 9q/V8nkNgOpDU9bWgkTpWePDZuc1/Kkm/VMlZCdhGwt/zucX10JkTiYTiGh2mk5l3kccfGtlyGp1 1jo6XNmp7AIB03P8FDioAmNtaavsDaKtVdQxwlbUYPXrRO9jMn3G5zXuwaklKVlfO8S1l+x31mvU g1O5LoaEw7pFyeXoa9X1hQh67moYrdeYB6cy+X9IkHzwmGcOaM4U4pDPvqpVLEmoznXojGb2o00p ksJIryFPlf0oCtY9+6Dp6TA2wgdiLX5eAx6+oW8tnuCE1CO64uy81hmxswZnxzV/Rp+XY0NlI3WJ aLVM8bHgWE680c+NVH3wncWTflIue8JqdFKOBKU0dO6ABE7pAtgahdKi2WFvKA1W9Tl4i2iaBOL5 WZ/XCmN9sGtdrQ+iDNL06XmtL2LBZvD/aUkh/IPHyXW35JSIArsBqZFEAU8zpGj2wcFGg91Y+hWi WYfaO3ntgB4QVIns0uHZ9DB6rS3iK9gm1r98UHZAeI48ZSA7Ft5avniKyrUDxHY/l995bLiOE44e NKTFq2kuCwk6tZWDTmSynDIShctm6Fhr8eGpUsPKQGQ/7J/Fs+d8EfkHd/7PHrf6Sju0zzuLraos iKhyFx+0fiBaQZZ1I4QmCpjX9BmiaQ2WjuhH4s4FEwQs4SAmQWBj+aIznVjd0olz5rlX4TuFrXqR o/LW1uATBJ0TgvwKCifnHKUMawcFAL5tkANxOqRQvJ93ChuPZ6KLFgOg7ep44Hv0REwfquqfdwYb zuftQTPWHrEHtdyUIoqaEwvps1hFcVISV6yjkr1PvQEQNBxWMEMSW2uJoQ8HnyGQT84Cq7IJqxni oOCSoFIF/4UGwKtlXErdo7gZiizsb1Qf7ICGqimhag2lsvfAWZaimqCqZhCYKtTtV6cuPKM7m4nG 8Dtfqs8QFFYcqTZvYTqKnXsV/3F1H/yQXvCIbIydgcYCKZJVIgCmgSbtpB7bDZb0rn+mXf0ZvJhY KQL8AxmdzztzjWHwr/gHIKd045934lqVktGs0h85p1Cj5I7YfTQhIue4AxVp5dZogriEZmEpdZbr NDcQK57sSyKjCfL0ScBIJF2ju/J50x5TXSM8hShhs4TFXlfZb+HnMsKD9uE7aY1btNsE0ROb4GJW /zRDUQAN4DQalgaZkTOivPiWB0Hn3E3OM3qPoPP/k5FjL8RecbAlBKmgPIdmCPWyIo8jtE+h7YsV emutdOd5Z6yxMkHRQwK2NXCGThgBrWAsodTq552wVuGJ4KdQ1hSd9dPbvenfGWv42M4i4lbsfJLt 7CI2rbki69zwqFWlNQqjVbLvbBNq8EBxU6+mKX0f0DwSZhYBDUUIoMtjho7iPkZxtGCgg+0iDTqH AMeQ9uzZ0J93tlp9nEO6MR9BtIAUo81QFEkDceXcq0bhsX4799nAMgCoW6z4zlWrl/e4lI2tR3Dk zAmYJoJdDWghFCUajY2M841S+sL0D/bWy75PEfUkzhewtg+aIrA1ZBV3wtLPO1XN7lubIjFCzrtW NqQ5Re/hNFIxwNNnEnUPHRSvRG9ZP5jGqDMdonh6VJliUMKGgy2idb67kbN8Uxf7I8SxN3HYm/VZ 51dP4z2elgxGhSvr8kEnEXSQxDLuUK6JAuqdvM7xWF7eMsc7RQ0HEXLVtIsRHqFnql3WsmwywOQ7 S8h3WRhR09GxV9XK6kXKDrDVYFwkB/DWKDt17jL43lQgQed6BCLn1LtGE4RIkeKKNqjFeg7/rZ4P QQ1RSG0oKAuH0AYuaXrA+M5Oq9bwGdsMH8ZJPpwrI0CzaWk1g1q9k9OqS4yinueDZ/PQPqxT6Jhd ek7s92xUnKBARm2dK6U19K7BSU05tq27bN+7DAWdIeT+LPnzTk2r8mp67rImq2CPht6ZaZhWYBnA bfRmzy0kAvTrBfrlzLR3YhqvRBFAZHlZHsvLBnnI8w8BY2AbRQJIB86+w/grQ4Dr0veKXjaq0bNL j91pgxXLkPayiDHb/Lzz0niX3YrHtlpimeWuoSikNtU6u9hBkvEextzj3vbTnXffWWks1jHbUAOe w60mAgml0uiAAcqgCmsHfvksofNl0EfZmoYUPXpnpXlaBjbgHYz+cS7urt5EbZ93TlqVrP0TMRZy 5u9V9s5Is7LHrMm8vs+t7/HQuYPGl8iYMWXfCWlM71iHHjyNONgxBKk+zEtl3aMsy1snkJQdfAGa rDoghtIi73w0ZvYUgybiQ4MO6nEWFCO+Ezjw8Si131/n0OCevTfZOxsN6S40/ejpITGIUq9Cze5e coV0vy77dzJavTgPc+Cdd4YglZXObkpShMon0isUg8a+aPCcyIJbrIdD9E5G4zbjQZRY++CgbQZ5 YJ609WTYn3cuWs3XHcNCRt6Iu99FFFalYWO05DzD2hlpQ5iidI2wTnjtSMZ3Klp19wdQVH24vcJ1 fpsiVuMJH1elsexCyN5OSv5D6IaK9hO/9OediValWUjTw+WDz9C5I9WZn+vzTkSrDyLWdhn8Adfy y/6dh2bV7MGGl1SOxABl2b5cK7U0qq+h95Ba/rSsetzBzqETQkP4TuxYBDyd/UIAJn5Gxa7FGXXL Q0sv+34OLYIWBsuRGgybB4NYniMr7c87Cw2/a/7OXEGIqXRc0AyFIXUBPKgVRc/nUk7ueUkhQmWu EEqxGXoPqeG1znBorzvYOUS7RTRWGVKj9UPoHnu4FRBZMPau4MrSuwYTRAmRRV1MNtbcvvnMC98b Bbn9eaegVdFSv+IhRGjrOanDAvXiJrNa2VikrNs5VL2AViiYyE32HlGjeoyMvQunz8FmaAE+35Yk 1xcks5PceRGrQRWc+HzVz6iL93nnn+HbxMFx9mW/g7GGwCDhJjmT/HmnnzG/Sr6EMLEd2fhqXoN9 Z59VYWBBrnYiY18GNqvlMSxMtRrR4518dqsfqCD6YDPUM25CMwuDfc8iFaQiKaJeZB/OrYZwgt41 2mSsnCXV0VK/ITXc5uTenNfnnXpWH2NZ6wBRWmdf1MI79QxhlORDHB/U2JWz3rMpsZ/7GohI9Z7D mJo5/aiU7edgM3Ru+hObmY7YCbFKkvp4AuiOzIH9YKiQR3zemWfW5Drnj/yeOHjS0Sh6dnbtyJ93 4lkVg9BKjFhCOBkfWMc774wLl6J9RTfZuTJdtv986+78sAt1E7GE3mlnN+kAV9oHTdAEHvv8VKyL CpeDktlHQ8sDlZ2CNpCoTSDBFL1rNEE0MBrUNeRgSQdUK1lhPLna5510xnM6fZ1C+Njy9DneKWcs uBQIPhpvCtAO73OcLM8m6GRq287pd8YZ1j+NGruMCznYVX92FXQisOVBMygLWA60QtC22qxeXfIi u12fd74ZC1lscACWZYNWUD1XLZdsPSf9551u9uuYVn6B+wAFI5uhKKKGdhoQKl7Gp5+nitSjOnqa xH3N0HtE7Y2OISFRDraEzuufv78kaAgWDXxjEA4AbQSiBFwz/BSiEsY72eymHBsKlwCYA44nhMeC UBWZUSmXzzvZjKWP77u+Foo+OjronWtWTaivU+lc+g7ZdcOSEFVFzUyTLninmt1e65QE3Xwk6CZA I71OnkIC2LH00bF8MpQYbuGjM2t9p5lxfihbMKmKyUH3GKDGSsnOt/R5Z5lVaeR93WOkT+Xb5ngn mVXB5k/WWqzNsWsd3msdV7W/gxem+Ylq1ImiBX1SHpuDh9PgchJ1gCr+TtAXwE1feY+d6w0XsFlj sGD4eWeYVQFFcTyLj7f69Q45mRhj63Nr1s87wYxLwU9pFrRpLNueFRTF03BZRq5qFOqyXKkfKubV gR61WG3onV527zGiiWy4jaCTBlRI6aFmf25baPLBPAR12IbTrX9JPvetl42qZ5nnj1wvt7tetrUn JU7PTE++Y9RuTV97DC4JjcLmmqEonoa+OcCZtziUvPJB7JM5FtJHnDd9WKIe6iJ2GxjTM+MAY6oP Foc6zHogE8usFUcz/jXowZbXMyH8vFPLqnwrgVWjKe2aOBoZUHfUeDlFfZ148Z1axlt7f00R8rEm kjmnKAqoG/EwybRUBwHWFgwVVzKcfbr81TuxrLqiQ9dF3vMFxAywWM9iMUB5q7Sug4jx2XhtsJf5 FPERtX7eaWX3oAZJCQMgLcRs5d52Y1ELQEI+H6Wt82uGBs0k673so4Aan3ougOvfTPFAQwz5rYaG r8HJ3zlleHvp8FQVh+pT+oBo3dleSlsnBPorSx+QwusQTM7pehZmCpV93ill+DqL8tV8B+snYjXq JFr7884owzvm+RUOoV0P+yMHK4YB9VkzQPMY0rUKP7SF5LKOxwZZQarq73QyXvacGmtM9+tw3VGD pSgs4YrpXCFkTZNR2yknLLm39RBc3ulkxPKaiIO81Kg7xLS19c0MFoZdn3c2WZUnxTdwiLiqm7e+ s8msdjpohpspDYbAhXYhJ+sGVRazde4m5JW4zd7JZIYJY7e++uDtxAFYGE01zkk06dpDRGeFJcbZ HAi7vJ0IWM7nnUtWk9mGrCkxbLfdyOeQ6M2csPr4vFPJrPjwIGN4O6RxJygMqSeZ5NMNivs2JbDz YclarycpW0bdfCeS4ec0ic2Kk1Aft7mONsfaSQKhJ4ohaxFREpxVUEpBi9d1i/1lg6O6SmBuZh+M erGb3NsgzvZ5p5FViWU9WVmjo8KzhN5DaoiKLorvWCuoUSieDKk+vUg9V092mb2TyJgTcgkN5aTj os8GhA9OFE0Vp4HeWVN9ESFMB/EhE2NposUkI7yzyFjrY0Q0WR3iYDNU8S3wuj8h9TuJzODhD3ix KUC/oOmwSL1x+lCqmuUziTqifDZoiyLgR3f42TuF7HYTh6xCxmMVgrUCRD/ujFrQFgLjFxEBNKch /4LE0PLWRRfwdwaZY+4JyvZBadmJxVk0AWMtf94JZFiBv3IO+iHleQ/qqEQNcv2QPSK7iXVeGnl1 fdlzyjoz6p09ZgsYCeu8gy2hVAbud0aM0L7aW2084CQyxAjyRQ5ttHY+79wxzA9piJnsTg2WdFwz tfOVft6pY79DarwZvh+YJ9j8xKCPRRGw5fFieeLF6fEiYHU2PzHoQzkZK0McvB2dT3qJEIhCaQkp PudnoR+WlljJtsOIlvq808YMwUrn5uyDFhCQ95ZoFT7+r3Y0HoSlVUX+YBMUBdRIL88JlKy4OFL3 0lmZy+34evMS/jtlDLgwSsYPmltpuAVquKcB+gJNwrO9shz7mDCiCk+ZCjuCCPB8Z4xVKUtga5Xt g03QCU8pyAKN1s87YYzQqvydlMEhDWxkn6Eonj53LWxl97bSUC8WRJ9Dolhafya6mLR8CyHUgnxI AoyDl1/3XnXyder54efUmYJ8IGEklD7dtBXVLX5GcNGzC0R4nw1WXISIBWsZkP5qEeTD2dBW96hc 1PcMCsPpiqO52RKaeQ8XItrzWl5Ce8yWUFSfVje6DdanOdghDVF6CBnJr/AE17NK0wooRULX6T9o /tY6pUPMxyYzCorPNtgpNCuJk2Cw8vGgYV9+Za24mne+7JYonO4ECefkjo69uagl1ThMngHyDaK3 vIfTLvyJm9wHr0+vcQLoRnWGRvQfdcKp7FxwFJH8rWiaUTg+I2q1sscKoJMNWkIFtZVuhx4eD4h1 FzWUFFfKjMQmKAqmKc2wyvXdpXu9Smeq5VOaoWTzuOgR5kP8hLEJaOBgpbOT2CNr1QSdtdUHEY6N cMsTO/7sdrP6SfmKHtWnK4s5nSZ7GgRfhM6qMMLnW8Xj/yJIsVsP5RIKHWmCwmC6gSDVzXUH3SCX RFukqrBL1ij2gnusR/XpvpWyCkRdHxD1WTLtzNNWyjpaY3kNxJD5QzvejMqgU3tZoO5RMC3g2YmA aJAO/ms1b4HeJdaEpjGeD/iHt8chAD+4WkDQ2xyFDMQ6WDNzeiardoSeLXGnQF5tiYWPzp8TZWTy JiYTkYMDzWErkqocCTqpvginO5iHHXIHwBZd7BmlK3oUTgNLD7AHE3K5qqjAuHdiSnbW7MTjUVpf /jsaIk9TMxSREAcNd9QGAgS2dY8Wa79UqXN6dJuhGPPBzTOpLszhgvPqOMl2M3trUOLk3jxIdGs/ gIRd2Yqtd406iawrkgQNVxQDRAOUTyvun34OfDweXWWX/qwyEqD42S/7HsbT8G1q1fCdSFpdjqEq O8MMwSvHZihmInKGGpHmHC7kA1Q4NIbAgK5wswD4bBEjAlSjbJt0EKEQjM8ILnu2N1hXtsHICifn ZhnhXGodjwe77PZaJesBX6J9r7KwRD0AgM2w1P0P9Acrz+QkrgKAwWxG522GqT0KqAfZz0VhI4db oh60EkMKfY58cOPImEITb6D0nyE/7JAPelz2qERdKRs/OJ0alLOubA6FJ7ObePxfdI4seWYZRvoU vYfUJ0wFCBWnBZWqZtG8ZMowFFIUUMVfxAjjC4pC6r11RUmcOT/izPC/ORtDyl5wSceJgTVEsOxA IdTFYc5xVfSu0QyRM0UHCg06cgs7i7zM8sTjEZ11fQeMrMB1hy/2CESN7hQygSFny064OtfQaM2q aGgA+iaLIB9KynpWRJ0pvFk5QfmkCtR6pOTJCR+TcFXntu3tZOYr93sMgSWEz4jCITZbKaKnQUuo n9RYdN/eNh7/1xJakhxGgUS6MJyiMKZukEAFHELFMxypVjzL7t2IvLXaGopK1OpHN/E52hef41xP wF0yIBrYc4uCJ6inwVcpQ5W+eru1F3vZKHGlwuBm435sv7frOeqKfOBPEojHo0X0XaLOqCxUZCtG io6C6omgekiekplr9j4HCyfKXOEDoqB6RDXqyZCIsFAbHDgE80GUhQj6ANcoC+MI0C7At9TPc2ed rHeNOkGamjF90FG9oa2dVDzLeDwiRn+n9tTsR/5oExQF1XCBnIL6s5vYktPGm8JHdBPLKAb6GGGF Wt1E1Ets8EZQmhumzSziowxSlJclqvud3IgnpqVlNIsZMTOR2LMmR53mwqfwoBmqMJ+LE48HFerf ihXM8Z4JCmHUQFgmirAQJFx9guqkeTKLZxBlMF59VKHOLIkxmuKAVwcvE6qCZzcIRk1Lpk35wbZZ TsOpl9e97IGvwGcE+E5B8WeXPlU3MzS69DGk7uf/8HjU5GhfITWUlEiFtAmKImrwWFAyMycdEIG9 lTjbBcBS1IkrKIqoF/FCA9QlDCy1DBaoz/mUlg5qiGCdSVApGxxHgFlwNxso5hz2Ax/xVydxTcKq OBgoprLGgzNoNjwenUHfwRDB7UiEbX6ieBp5/OjDNKnPWdN8fk7W6oQpsI5tfqJ4elGju1sRNuVb fYUZ57kH1QPa+wRpJJonGv/SIAQ9OG8C0Rd9RPXpLGKi5IRWRzFsq/SxR2J9cZwPw/PBErrUTVE3 UDMdtw00ooia39vaWajOk5NXI7nUVT2JRbXHZOBGFFFb3Tmr5yrLHvXJTiwFgnSR2/dZUMR3JrrE 0oW43VNok2E/QhD1tmN6+6Bj+sSdS8f0CRrweISL+XVMkzF1eYkjBFEPIPKg9KpjurTkoI9s//Ic 0/jiNUERiBpXLcBA5Q5+TJdKfjXPHDQmpFZQVd+DKQ9KGdYmI7xzhPoeWDy9FhT9NeiY3sBOs/W1 +8DjQTT0K7EvNGBaHguNqETdqLrczU7ALT2mkGdGWpjodNkERfH0ZAex56GokV9IZomaGkXJJLt2 ojMQigagMaNqB1ntazR4fjw+448afk9TRnrTGVMo4zbxLtfaeDyicnyDFytwE23ceyyKp3FajuGQ RfzBtxgccC1pnUhDNEFhPL20t2QKk8fNyfDuaxcWYPuZHyh2Ma1HqIYmR0YQYAf11hIKA+rOrBWK h7DR5QnK3QIypYpDYy88HyT2t5FISQdu8gtoGHGNGqdQNkE8ALqa5fVDRXxciHm7wPkIa9QKAYUb 4uBpK1gbvVG7a4J0ncl2GayR8GBAQGJV/C0RnbBGTYmhkXUM+feQBxy01Ck7pwQeD2ZofnM5UFqt +zIVZlijRvWs0t7jP+BOQVGNGUcbo1pkvTt1tDFDMxbG66IoZB+8Rn1+0BoSxut9AK0qly+GfhDT AabXoGfF3jXKWrnLupQ+uit9nKuNuo0ozLeGx6Ml9M2xXwipnlbrjMLpSkvvQhUGuHhQaxppPRja WVkrYPhLKdkMeYnELVJYywbfZSntJY4tlANgnUcJryH7ig0s163A4ivBZwSbTFIotN3VYFnrEk0Z E1TweNSs/80oo/qTJ2QzFPoojff7tOoiSyzcY6Vm02SaZNZqBf3NSmxDdKnx0KXGCeY2JWjolZcy T6opBjDQMHLtMGorRQhmXKPm+YNI3AbtMVyBLMbi3+Hxf8npYDZxNzWoodoMRfE0bK9Hv/YvlJBS vDhpPiShj+w8hRlWqEUDko5F/9KxgJoO+pJJV32jZxH2GBIOqLeV+pCjkafgM4IJImqRDr82GF1q LJm7QhAajwft+vW9x9gEh2CnTVAUUFd6COm8Oddur+YvfA5uOXcALI+c0CYolMmjjHlrChbbEyzi NzmHoeCdQOmRlXyuM/yaiA73eDIOHCWfGXISk0xgxZoqbryMcItC7D/txKafGYXTrd0KPp5DVxns LZ+huECNTSYTwQ7sYjND4WoSO+hnCUnLTRYBPkyQSSbmdT1yZxBfzfQmhJ8J6GRI6s86QjUStVjE /B4tEv86o3C6jqweYvLBYGfbXHKg8/SZISfxV4GawCOkmjZBEeIDXz0uGutxjLz9FKrMcngK0QSd xcUZFajlS92mhCjnFaIExw4qH1LpXClBGBrRImzuKI0K+a+rp1z0rlFxkYA8Sm9rsGb0SSRFa52r 4fEgI7uHkIq2WDy3TTZDSmKjpHF1MWWdPIwW6YkufDD6fkxaZyiYVyQVI95ducbdJ85BFQ0LjOZK vS5TXcY9gryY8AS3oNp61wD8ytNnoMJrgxKyxD4262abj0eYKg+neWDhK9vby9MzAnygSH/mcavA cdadQ2IamrzOBbqe3TMKp+U+BYMSH+4WO+dN3TylzzcM+TPqxknND+iMfNuIhGLhM4IJStJhKtkH A50VgeRRSe14PCoL+QRRNh9OQU/dbIaERBA5Nl0ICPhg8VOk1mTlWCBiUC/mGRRqfBAdPCYpmxx8 i0HLu5ChXaGD23aWOhpuRmCLKq8xCVLS+X2GqnmkbBbIudmgCZq4UgSJzgWP/yvbkO07QFU321hR LI32GzAMDjCXUgMdqEluFrAze0K2IrwHKA04fAjmaPOavqO1Osvg9wY1JjSfabgE+UmpFc4nVKQO 9wrxHpt91iZq66MSkyHBKDRrrXg84iPea4yMTepkXTzDCmvT0NFRJZDXmABopNbLVYGMTfSjJEsZ Aj6YQ1QjrK4nHwNs7vxHFt0oVW/6vW86tKBcDF02r01jpeMzImwwrbmFiGkXEQO6dZHO294Vj0ew Mz+lGRfSKxaGmjZDUTQ96Dm5siM+Sn0QH8NPoYZmlmYoRnyQv9EUMjbdu4wVYZ2dDF/e+tn43Ic0 Bi6gp+D88j4r6DL4jKhuxuOnzTtY1eNcudX8uAYej4LpX3S7QaWsK2waEhIZK3Zj/Uwcf143281V ceEzZpssRE93qebJ1IWCe1pCYPk2Zqb0et+1y00ZHZWaCfdwo5I8iTpbYXV6EuaRhTrLF3V20lRZ cKBgjMcjMMPNNrhcUEJ5mogr1JzedAWiqj3FuXmlbZbvb9Ej0QAV5/QKCYlKVZNCofRocp+n02KJ A5vsrEUSEs+Bg65+TbADaH6RsemFzwgKZzygU5WAcC0WK57UdUgJ9oScGY8Hm2ysr1AIvadGrq0m KAqmoXoxWrUsbJzErHpV6LqXnlPIM9YVC3zI60aIoX4RQyeL3xt9D6rEVCqYI2M9P/3EYJjWjLa+ +0wSd7YiuMcSN4FFAA2W0+9tyosnN8PjEajKSRzYUCi71n6L0yuKpiFhB7sNw8Ps7ozEWvkkq/eJ Is3cZGE0Xa15mH3wnB6q3K49fWKKRaj+3HBrboBFrXrdE8lHwmf8OUHwtuNQrAw/ypZVcD9RBR6P brLLJWO9pOBvrlt9XVE8XRaNJbdKi7MIbA7Y2bm/HHYGNpgtopCSqHOoqfranurrPHEnENU2RXBV 5BQxNQEqD/KFprBMzjE+4w80Q+M2tUFrKK0uul1Lja/4vsvW5ShQLBZ5T7op6woJiWepAtzpKSul w0zh46HbIZzTGorhHsTlydG9fjm6d6DCAMjgQT3PrBMPw1pjJ69CAhaE76TV9LKBvqmAHsBb2GB1 oXNKbInmrYrHg079VauiqhDcj2q5gl4riqghSYKPcJrCHr7NyjbMJ7bZ9HAojKhNptsUTtLDt1sI fQbhnefW32XJyhUC+B2AwFweFyDSSfAhkX+CBULVBy2ifS4AsVJ35uPBbb9vl4wsAVL+2s06dhRU oyo0WIFQs3Xd4hlEfz1vZSxBqe5Y5IPRtFMTp+M9GqrbPI2Ut55kjwIEJ/9bsMze3xIN8pfeUVCt tAwMax+UoQNvptssDT7+L66Lbi4eRh4x7veYGlwXHD+i+yJvlcgHyVLF5ao28ztNUOzewmtMfTIO N28dhHWyT7aArErEDKFwAafpH/ZP7TKjEP4OQdTELs7a72CXWc5FIh1Qyd3vMfXZpTexl3I5Xqnf k2jHKGrUkfZwfj31IRVTb/fjWHP6NttxTC0pQ+l69fHQySbw6iCaqLx4slj8TfadcdnBVvx622Eh 4DOCGQL2twtRrMHysjWydRJPQLRjRmL5WkL0cOY/NEEhI3Gw1bqqJx27OhuoMaamVndvJvKxY8CH AA37Dj5BADFAVpN77OyqIXckWA9XRMlzX0IiLR3wGQEbqLL+OtgsW87pyyfuAyofH3euSDz+T+lF y1vLfCYoRlDPi/ggk8Od7SuQq06XoqctJyhGUCvpmN2Hp4KPHrQk4RIgo/ybmYpK9BFcF/26WMHf scrHVKRYfbCkYxd5uZ/vtOLx4JxO7WuC0PyFe5BPUBRSI6U/F80wqot5uogMZKaS573bMJGPHYXU q8skaTcfLoAaXN7GkBrqr8TUAgYFHGelUcnT4kBMg88IoiEip/umIhwHTRCJXaJFp4XH/xelIVh7 t3H9OHYE94APCkT5XBFO5VdqmSc3Ajp7pPgKCjXzltqI3GIc/JTO8AiQUncHBq2wPp0QAxXAWEAg t0b0YiN6R/VpqDSCnZCKD7bF+u4M99hG3HF9+jspg0dazVd1cYcSH5nE+m1bbCaXYiKE25tk8FPS BIVwD6pvD9lsjS+bLXhOTPRpiMqDAixVmLEv4Nt4Tszu5ddCy118RhAtCucBXo4NtoKglM4C/tnN eDwSof7OyZBF1XwLHzuKp3HLQowy+xlk5i5gk5HQQbLUoKFC58/5Ez7d5/LBz6Dz9cLlTWn9+VUq VxCpMAVVTXKfDFG1p971j8JHa/ClsMEmqHrSmhYfDwofV98Dj8nbsnibdUfRdEP51XhR+NN22ZM6 S7setqgNaoIisMcsps6dfLAthrIZWF7q1IMklWR7DygBqCpwKvFAiB2OHcp7ZOEWKU7JQVsMUIYh +/E08Pi/XG+InUbiBxU5TZAsOV8/9fzAycaV9D06ka/kVmx3H4eXj6t0pzCY7qo78xTKTwn/5K9r gYmISAjMuz3YaG1ovdIoC5yjCxmiGBNl2t7TIx7Uu7L0QVmvrNQ+wfoXy+icepM/IIJ3flcYsb8R 399pCmXzwJdCyoiFAr4USO+EfBArLMhH3s16iTmFITURd3nPO/g0QXWpmO8o6pbM4AAgOp8MiTj+ Za/kj22vG8lTci1talJzsL4gNGclu9Aanw/Sjv4NEoYeZK1XnpJWzMFj0D3r1lwdcCq52Ko6Lz6v u+1oCnHUTRZc+Q5eJEpINjoX00k8xqSG5QSz44eFkdUe3AdRsCz+BUtBUdHScDYL6XIZGvM8zMAV W/wBAcqz3WLs0r2GjPdxCkphwfr89LFz8uCaAuHW86jukIg6hnsphR6J5P+WLKXXXJ6mx2goOFKM 4IQw1VZTBxaNXgsZ9f+bwm573aAiy6ZrhzyJDVYrmsMOlr4Kn/8XmRzvtyl4dANscqGDmuyixZ05 LNSUrwXpMi9peL3s5UdTVLU2HnldzQeH6g2oUSOrh/47ulXbzADPLE0eLsk5eIWHMD8laH1wz81B phkH1YsGUdo4vzdMFlIIA7mEclLgzjdFmYrnbApr18A5rGIn0rmPiNcryGXJyjLdXKQNmqeweC2z 1qZ5as88gXePc4501/NTAdeneszZ3x1tkb2eXI1hAD4kQuyRxllF6nSpLCiEj0zSGfhmfD6glefv owkOBq1d7HlOsfwHyvqqFKHh2EhVYPNuuRzRnBWBn7ZcFG1PFWez9OLy7TOilC2NL+ZrqP521h4b LavPKgby3VUrx7S3jRK2xsp1qj5ox51zSfDzc4rrJf8X3jQ5AnM9KymWAAEJT2ofyG6Vp+H8ztfN bIL35Od3rAHCA2mS5MLBzyWWMSs7aecWRd+XbRC09VG8/aFMluIlsk34IcFKSpykKjuBSpsewq7O auRJeO6GxuejZuMtP0pMFiy3CyuS2ubrcxOokLq9uqZZQt6G88QS29VcQjenSAdEOH24b/ngtZGz yRqdhMG+ROuRO5OSjAX6j3U9unryRk6hUvUk0yyxzMZBYSUcu4XrOKkqnw/22w2Y8CUCZNbHte5Q 3fYdW0QBkNUMGSKuIiapwGXepED6dcNLYSFbuMVtBsj3UBqwC4SkDA0VVzonxpBtJnYFAGekfVDN u7D3zA+J7E0YVnYCkDloktZeZMbDeWvy+Qg980uSqFEm9t5woaciME84Noy4+IAc8Qt5DWktL2Tn wFTx3D1dqS1hoCM/MFDYRO0tqDWIHj0RBADYEcUwjGS+Vaj1t432GxsiRQe4GzKfL3SPKncpuiqG topj35XEUwnf05cReWisiFgb5i/JstxqhjAgNTRbSiePcGGiHDgrIqAQv5M+MCNfH5iT3dWFrivb RudwmrsWP92pTgDtmwvkS/a2EX8RqQnByjYoz60lyfHkpNGVzweh99UmYl+XZrv9mgnlwFwRz6Er y/o5y22pey1gVcrwFKJEppXbcuiuKD/7vqlKzcHrbScQKLA6kTXehtg92UMwSiMAm3mc8KCl2dtG SBoSG2i3jiHr7D5fwx7ccAgN+Py/DIW4ahhzf01SVNVGlcsLbcCMFFeKbTjQNUm7Q6rUJikMvMk0 H8IzcrCllGpjAsENdwLyKURfY4kAxOT2lLUHu9g5cFjEhhuEqpXlg0H6EvnrrP5WPh9FAd+TRIG/ +bjjhR6LcKSFC4z7MMzq+t7jywkXh6Ttt6i0PQla66klH7ysdM6aTeNOClq2MljaTmSTQYiYoszX q2LY6wbN/qWAcgkvQowhlhKlayitm+DUGfoslvlLuQA14nl7/TnwWcS9RHOqRwXdG2vVONVScurV l1IYdavqJiPO7kpduOAWUpFEZVT4To+e5NVJ1fdz+yMQ81OJgnI5cFqUmSQiSUoS9uuRhx5XkZnC 2YZ8PpLXHV8pHPq+dJj1SYpK3IO4o1GyFQQa7ZVpcbbqcNwRkm0FlKHVojDYReVHDh5QAhHaaS99 tlgaE2AjSH82JgagNBJjbJS9Yq/7h5D1mR7GSt1FajNtWxQGnAOQz0dnt99wQ/UAnIdPES6wW4TZ hbxhbqabqgNH0io30x3Fpykyh+nkWlG72AaHH00c30WEmdJ3olTGXHQfBFgYdamrYFDtbaO1JOG0 UnywOOBElEznzs/R88Faeny8aGAJUYFHXC4HjotGeBh1TMPSFgfx4/J2hcuMAp/NUsxuJOtVZ3gd V3+v7XP0pd2oZ31ubrqxs619DtJzilSwT/pNdOuw142E4ymfRhl1DcrhKmT2+TuelIzPR5rfvyiy +OD0dS6FkOza6SVYDOpXL/cK+lSW6O58qyax72KTppOAWvMBam1ABxq+xTNLGS46VGze5NigIcpK iPXdVrK3jfpKrCktie4ud3pvZ8MSuglaZ+PzQXZSbmuScFZKRV+CWg69F6F8PWSdTrNuJW7EZY/t egbsl2ktheaLUGWETAUBIhxslggcBNhYs4RaNflFPMIaL6vyWAtme9u/eiejuAC4XXEMyCj21AuW UmC/iETzV3eJxfMn0Q39F0G0ORdcUxHg/CknL8D1bmJPG4ouPkl/Y7PhBeODTxIIRyeU5PoebReq /89NeV5ombEpoqW0ZEcdGjDqisNt44MKS9BBktnbObj4fFClrL9CSuRE+VJEcuzAOCol5CXFg5q0 N1AgEaBJOrfsuJMUxt3yym29+3CrbxsGecBFVaxZsAVJmBpAbJ3zY0+ny1KoWB8SSRZKzLHKx3MM QdHzOh+hWYJtCX9AhLe5rkPeyu31SeICG0ZIWEnpqar+1vtlqwGVaccSzMntWAp8GC8maVMehINN 09kdZ1VDz4klb7CB6VQJs5iZ6BqTx811FS/FRoyUnxkgpXMwkZV+ssZGXPi5M/WWkQSvLybWcrHN 81OAC60YNxhZJ8K7xjFmb3Fi/3ZTXcY2CioDL0bUhBlNGnZi9efwPmfJCfkKW96dYB4VVoBq61DM Hf22vOeytw0mKTOoXMSQclB+cvZvFrYtp8zn/6XMVywOKPSNskmK6t3IYeG8bJO0q0sY1T228yFA yfBJCpElcqpclH3i4McSewDZdDJgSckUJk2qKCNJAdJBRnFSd8yhHaPqAUwUbFA9YKt1cX7u+Tg+ H62kb/ANfmukYXeSosgbqQ+wyMLcTNzgBgGs2cy/oFzl+K0cODJiv0lKjscSB09PMkK+QoXHs++A u5E8PxIWKPMRKCRJI5l65tCREYxJkHPIUudgIeWCcBdX0hp8Pgi80ze+BDRoQsh8kqJ6NzynBs8G +em1x8lK3KMqVSPPdANTxgugAKHSBt9uZIyuYkZNiyEHSuKg/JGe9XjD0kmUHxJMUmOi28vywbfb 3rJaYv0tdGUs8xdBa/NQenrfoS8jNYsNiFzJVV+OMoE4vWW6ad79FvrIZKEoyK/h4EsJJUr6l+FQ OkFUZzgFoabzP9O/Yd2Ici972+CG20s9k+6DZmmlIqcdqMzz+Ugz4xcaEPlXb0+qG3oz0odjp2lY nLXcoRpOuC4OXojn1SzFXEg1TdodPIeDzcuuEuaFRCMQdRB7RLp6fr8fVC1ZLzxrqfrbRuB2wm0L 4RSzuGLo2TVV30uDPHgO7RnH/qaLgqJQ5zVxyKE9I4i/s9XhTK3tSn3NfD87YQ7OOM6xPyPbASiE +eBHNwFFe/LoLnCQNV1RShxN+LX+Rm7n0J+RbL9einC35WH7ZWk0oII9+XwUddevqBticJVYPJuj KOpGNDcg0mPlt2IgZUhDuFHsIg/P5iiKuhfD7a4qLQc/lEBHWVl+zK2VOs0dDUk4VEegk3Zp2f62 ATZgiE5bhg/abifYTtJFbVkv+S9sqSx3Chpc9+SOXRoLtDC3NZXAGHYERc/jQVBkrwWENo2qvlFq ywbbbecSGYCGyfj85ItbsCV4ng8qHVFixKBdanqHRo2oxMAFVYytdBlb5/6lSShUrQefj/TEvg8l upDM9iylmBhJYd4rjCkVUS4linS5yshdSlHQPVh5JCPCBq+YnLQfphqsmEyYpiAImBMiABBo/mHc o0iJ3xE/JOIfi89GsSMOBlqC8ASTjZr1ktF++1YPLeh5PRZgOTZrZBJUTLpv0uvWyBLDeyi7U9NB SylGcht4dPvgNUrYwPehSYK5ADEEk7bVHUrtudEnzTxRl71u1M8lPpDNOA3WqoQ9rnj+6OcGlo1w gPJZ4oE2kQnur2mKom709dyiiGZF3SyKWm7bUl7YT3sCF5o2Sni+SACTg8OVcdXDfYEUyY7sbsrF qf9QxS6TU65S7kr+usEFR1pjWvMOqgag8DHM3Svz+X+xJh5ny3R11XNo3IjulfMm8Kc2jV5bWTMx CBw8gWyaIpxJEwQupzt4njtA+WTLq0LUpLSiIuXZo51G9QQIqC2w/G3/IrSzvmmD1SjTpsAF5m7w +SA5eWaJSIBMNfOv8zuseMOZC8IHmiZZQmOaCjR5fsyNhwBHbbow8tamy+yyVd2qmibQdVsVfaKs 3jt6urMDB9Wh9Jo7ZY216dKy1w02nTLdnJYPOpoKoejKTzqf/1+E2PjlgOnhsxRXvBFTiqUEDd2n AJcmO3GbtaV1ZymMvO1o4p6r6dlzG57fpbLiDcYYiDg0MgCHi0gxShtbmbI3e91I8pAydUMi6+MR Wd+rMTBAgZ3PB0FlHt+hd6Z48JPrhi6O+BLPfFDfeRCQUwSAa5leOlIhQbYvcG5o49hNnoatb+mG cZoApDuB2KSf7ITBI3Fn89wvkBCsS4veQyZK/eTAx1E4CApyZR8MKDg2ofw/Z/dNPh8EA+Obe4uG YdlPshs6OXbi0Np1VtnzsW0e7qyyWepiMBBYOaJ2LmsVBgMcLI2DAvRs0NEqsBGEeL9EjpHr0Ga0 3WR3kOKeAytHmyQkOdMHBd/AeUtIomItBV6Osu54EhTq6ZR+d1zo5Yj6yhjktzCLazfXTYsFODIq enWAQGjmqGruyMx1OdgknWhxQqhOBj3pxIKqZALnVDLoyjhklMUNRUyBm6Paq6jiibZUnbYErKe8 /5AD8fngkrvqfhYcYaJuqhv6OVamurJXqRQk8UmaKjMRarJu6zswdHRsFyq3PngW16kHzVDgbLNz w7Wpxi81oxDZPexJFeBCQ0fNzsAZh+YJZpYSR2fCpizTz9e9+AP+JUrCPI5CFvU2BgJPx/O55/Xo Q225LlHsjCtP6G4pHcoenuvGpo5d9tYqm8wvWRIsnr7Z1AX9PjcLrdB0Qndgu/mDGafmwNMxCSV4 AtWafbB6QM1N+mEDIIrQ1PGxThWVh8HpcyaFstnYbpwDj5bsgju3o9vQoKnvsfc/bB2LunEcLEGZ MDzDtU8DiLPEwDiFiB1eEaI9YLm4zF/b9rYRPKDTV1bGYcm53CcdKvIFB4+Gz0fck+8sjnWFdKXX c+jsiGLzOMeqhZStPtokTB4tVpoeUgbWjlhInJ1OOU0OjoOHKDRlt2RnBEsRStaisYvOzXokAYbq b6G5Y2NrqWL32+Bt70waOqT7Kp+PSrnfAv4DPeV8laFzYO+YWUFG1cQauieW8YauWqSmm1C2r6S/ 2ZRVgluyEvcs7gSnfQjfvYEuKgwo0SQcqEdhnV2CDsXacuDwiJNbDe9efNAsnT09VTaZVc9Htdzv rkBGog/zwDtNseQftX33skNJKm2USqqO0N09udFsDlwez6IUamlK43fWpy0AFkXOQi0l4LwaGWGD ej4FSkDPLO1sb/tHg+kca+sO2nDna7QzZaJZGfg8fmMpycutLNn5yf15DZQagn1oAbVpWv6tN3cK Hap3N8UA1hV4DZMaVBGFNiWMYiidSvLBBJEMgHq6FZ+ja6lEiT4a7qeS3E0k05Li8xokNaios3y7 8x00PyjpkPMFoMHnNUTC0yadMFR6I4EVdwnJ9q8BUhMqZRad2BSZYAV3U6PeMCewNLrVkmBy1MXt izhbDt7sTussGop+AYwPVTL8FXznrAqdRBPUypm/sO+vs4N+iTEpiw92XJ9vdMsVC/XbYHaqEU6H wgUiVQvl7DA9r6HReQrE35lGtulJQi0DyZUk26aMbZps7Wtg1BxVgvDTB0cqbwohN+6ujRipEXiC YymD50eMiKHdiOd+DYsaThBc9edYu4PhlBGbCuu22+c1JmpP/X8wJqLhXCcLB5PzGhE13Z+D6vNq t9Ur9YdE3TYWPHU1Oa/xUMNRz7WzR/fBwe4oIfUpPVbUqrbaSATG0FZ9XBdwoUpfw6GGcEb8yUz+ 5CLkmbMzTmDNgi166p/XaKg9EeMwHyNYmAB0yNl5jYWaKf9UlWgrVW0c5l538mYkTlQzT4121par bLnD3VmAkjAtPcfOhrsf1QCw8RssngCi843V9KbBsaO92NX37973h6l4JRK6nXP+8xoFNUErNDlC bJ0vk5kHJ+c1BsJuhHfIJo6BnJvsls0oEBinew3g4+SbGkzOHCbE2n1wbPv52WvTAxh45EZHaYiP 8Y5HI4dpBK+tQvfTz2sAhO+xqArCWhEHFWhP4JkE/Gi1fF7DnybrSs0O0W8ABYvGjdl5DX4a7jnc brIL4fHMpEO3erIwetfd3Rcjmp0m0Ei+g+O1AYDNO03x/4AbpRA9HKMa8NObahvKWeVoEG0s3jKF itkabHJyUmyHSOHzGvW0J+oZlLIhoB1gOE3Oa8iDIxk1mrENCXFOnXIrsuwvMXxe3VFsrwEPjmSl X1nqmfki/gem5MQDS0sHEeOiBx+8ODqqg5mUGFOHpBjSa7zTPAtLfS4fLleLyHvSmz6v0Q7fsNvs UEwLfXAIDWl2XkuM2FiduKzpQvSMG3TqULdCLaLhp85rgbHJEgGcUYJFODiVrZzrK1UK+C7I9E/O Toe87OBRTc8ZQ681KrJFs0Pw+gmOsg/WH0LMSZGW87M+r8XFptqerR3WW/HPBkSC5ue1ttjkpQ6C 9q2aWT4PAM8s1o1lJ14aJGG8I01RVvM5+MFjDoXEr58E8XwDrOajmkkp7QwcriOzaVr0WlfEwUrP oomk1gblFA0ex3KRgdRYdPAMv9GLBF1gTgFhZIsHo2h50BWnmh7LScDcHBUy5tkoNRO+X0b0C+8t Lp0teu3ulyxy0rZz9tCQtEKHaibi+k6WDyriWeXwPnR1WtrGvzPYmnx/0L6nNQ8HraCchi7KRgTN e8CMml75CnqgII3E3ycoiphB+jmZ6TZPni4hX3rLtWVIrIU7wWHr0QTJtGiO7YNP0Nnl5+4mxgjW n1ikyN4hRUKROUJorAlL4ZXPO3sNyx2XF1QClg9aQ1CMla1Trnw8CprbV+DD8LXWm1JEQTPUlwa4 CEalmcPPIEoVmNYYZ18wrGCGtgplKkz3r8L02Qtnc4gkcvbCHjh9UE6kZxAA2YhoLONS5h6FzeRJ QD1j+WBH9FnkzL9gF/Z5563hV52+y8Q5xm3S9p2hKHLG+zmPBjPUkzfyAVrwGYKWrCquUejMQw9x 0vDBj2kQQmhKyKZi6UWlRChtVHw/yAzvHZb0rkHaldXX6MsHKyWe8FxVsrLQ3gjPoeozRHsaaA8C zWoz9B496/iY4HzpGGotXWZf9hnC9+6SGu/hM1yNcQytTTm25Q6myLzOIQGBUWFCEQHRo4+iBXRx luB50yZTXSM8higpKk0tDpa2n5tSahiQPHwnrDGG8psss/eYCS8W3pFzFAXRkLU4d9nQDQY5G4+E Ftm8rLbWuS05fWesNQmSs/NTfbB9hsIRCj5DUuurSv2PkhuFshTzKbbSleedsNbE1a0g9d9BhDWo n/MuOkdN/bzz1bBh0vjOwIBLMmVRzlAYSLdKcR83Lup7OXnmMkQmnFKt1BpF0sKDQvPOBz+J2kZ5 Tfo1hSrjRNYUXElUIMCGMxfHbu/6PkONYKJJ2RUNOokaOmSEy5zQ4vPOVWOK65cZZxMnIS5Em6Ao mEZdc0xZF5CNxRhIfftmedg5p4rf9lE03YekxuhGzOG27XOpu4o2cw7rLkGpBHlFwgUz+f2mfbC3 XvZ9hobCaRSTbNAMwY1PyqDntvy889SaVIafGQIsqNEoUTP0HlBjCcFKtphR2kwkfjEXSww4uMkW gUlcQlFEPYjgH4vwRQ5ePgRDaYLmhd5Y6uLwndlv1bAXOKqt7aOOxntEjToFPWQXj+q97lENYyQ2 E0/cnj/vDDXEQ/seRMr/gR68ExRF1CAZYLma126Wh8EigXCb/sFaVODkBIUhNS+xmQlvnPnCG2cB hiQtdjMALGlCrxUI1Zwz9nw96+Gnzal3jSaIGgUs7GswdCNwk0NZ1vq8s9PaY6oyxE6DnRhVp62G GIXUcOI8OZkZD8ME8Z5CxcTFCUs3Ct87N+1rk9G1uUuGUOf0wG7Y1BFHcjPV7YAfE1StC2V1roqW vev7XQZrN8hjl+6DIfcH8KqYoXNzft6Zaby201fO2mSQcycoCqmRWKC65zaXe3ohsar0wQo9Nyur rO8htYdDLcsTPT+nEArEY1H1DkqKOIoHszKo6KJnklH6uOy9opeNivTszidqZV/U+9maJ13N5gMy P++0NN5k86vmQU7KLB4OvbPSsHChTrtrz3bVy1SWV/3yitACddNm6D2kVmiOckcpPlxS2kLUIFW/ gu4kqkaTyLfOUJ0sUOsZUu7onZTGqpzMdnfywdYQHKEZMZ6v5vNOSeME+03G2aBL+/a6xzshjRXF QgEItZ4nO7E6p4fs0cG5xraxTRaF1CYqAtc3G+wYSoAQnbWCkHoB1gi0RQN6qEGaJqlF73AYqoq8 09GY2jdyz0Fq0GAx9YmHTF008/GoHu1pGRecHMS7Zx3vbDR2sGiQuq02tLqj9bHLpmeuaNDwsn8n ozXH6L2UpAfyg13pgT4ASyfyam70aho6YVloi/VwiN7JaE2Owoymsw/aZnPTlhyxeZqfdy4artt7 EA2lHVB6v9Whdy6al88AyrB4iGwl+X6X7vEQyJW2z6LCtPphQ9SY8UWNGamA2LiJPAPSYNIhPIEM BPmsH9TTrGpP4a7POxOtqUUOoWS6FXLQDK2SDcS45/q8E9FYx8i+iPhu2Blre33onYjGkwipq8BC jBi7C4kDUOh0BtgOahG9h9QIG5Kq0ne4FTRUV1jngMwkgJ6V9aFz/BNirWqGF4iWXvb9JFoEV1PN 3gaD5p2DSKSWc3p+3mlo1jl+AqLJHv+6Z3UYU1ecRLO7DjTlpwVAz9NmaHdJ0yX+nCBipI8B7j0f 7CSC9lCtMlE7106Hfd0P4dXQYT7XPJyhrtrK0rsGE0SRQ5gpUX8VVBVeSxBSXAzB+jnAP+8cNN4n HlMXt0st+9lmUZUamLixhqcdq7l32sn4nc24JCbFKXoPqs/rc/PMWu9gUzSg9A1dYtY/9tyqtp17 DZg49EVQOlQJbTR71yBmHEQrLFIasy/UTPE1ia2fX/jzzj9jhvUdVEPetKCkahMUBdX4yee+z6bZ M+eNiIp01ykXD4qWdtl7UH3LH4X5KwebINxQi1qGBalCa6mLgYWsA4h6GatI3ZC+3+/cM64hSdEx ceVgQfU5oLu0xPP6vFPPEHEuP4eK/K4QUJfsB9E79wxrCNB4RF0G62hu5ljbsr0HXd1q4rTv1DOm hZyiTCE1DjZFJ1c6awdQqcJTKGVaqnagFOD/8MMk3yIi5M2fd+qZNboqO9c+2BTN1unbdrZt/rwz z371OvAY+JtFQmucoBjacc4sgC91DkE/3kpovdxz6BwTdt2/885uYralSWdyXpwgFKczlA2BDwbs rFG+FlA0SBE1gRe60WCK3jWaIIQwW5oPO9+0A+r9wnacMOPzzjprj2C/JWb43EKxMs1QFFUjg4RQ mArVM+m2xwxlhwyffIlST5yhEN4BKZA1JfUwH6kHaAHO7L7WIJi1qq7jpv4zrpJLXwQ0ju8aJWZk 5DWRGFu9SwiBlCgLna8YHdTtawkhTD5Rguf274SzJuv4ASF9q1PfBiu+pOQYc8iBaILeg2o3xYDy sw96ixMmdLRteU539DjGVlcEddlGkYd2jyFqYbzTzZo4GSwL8Sqj/bcwHusEjEwFT6hVPu90M0zw hU9J+5Caj3eTRTE1Suwn1N2G8lj00BPAvAyXDaNaHCv572QzfD/sk530aPpgM3QuemASM8HfkF0f rAJMIP8yXvDWPjrz1neiGdut4gb3Oxj47vyoZKif+XnnmSEaWQ7z4FSAP0WIqqbnPZ5WADY27x1C y4eTX1sqy0gKcHk3FcN3klmT7T2SC6Yc80k50LE5kVQ1aYcKbLG8DImZPtHhpKuKyvgUo3+nmGGx Lx7SVPnSYAiqc//JUnj0+nlnmGElZE9bVfpADe72yt75ZfjQjBCR+raEehD+pLQ1tQv1YFyFtPWd XtYcej8GIcEcHFSOsgcqjNQtOue9FAsS0lbabmQWiIw31bdeNiqfEVxGBbZufGCSxOCcLcevyXcM 6otj+w5r6j2exVRuav9OLmMwxPKQ2alAsMCxwI2oASI+GMPqpg+L1FSb64jjMfCNySMZ54wvEAvj HMFSVrJFIlOiqIJqgqX2ndbo79SyCzXbq6gGiwyCEXVnVw5/PMlO/7xTy3hrf8eL/LJG95zjnVnG 5gGVVB0u3foDxVszezDUHXj/TiyznBIdDsKAx35gwAv8yrJEv4MHRGIwRAljWj+M+ZTxcQZ+3mll 3rVv3ejBCaZ/88d4ZbI2OBcNnw822q8yNYmho3pE/U4qa67y3Jr7Xabkzs2tuODMqrjqNENRmXpm MYBa9sHvevLI6LF7Lix0cFgf2thjHaC8nNetD3Wiqt4pZc29QyZq0jboKNq42SRntfbnnVHGd/Sz Wj7YKDbdKuw7oQxHUULLtRrqd7ZMiAOLNlJYBRR4sutGwGIYUOsoOhvKB2+WATm1JgUvznT1gioC IFDnmBZxRnpv62G4vPPJiEygtAwR0xjcEhQRPGsXsOr6vNPJfnXLJBIKbNX2tPWdTdakwoQmIsId mGGxH8DLHsyfMy1AAydamOM2eyeTWahCFtnw4bYTURrNBDYMxFiUBDmH9YBgIdq9uH6snYio7PPO JcNndCmDjeqDSh8Qwe4i3PXxeaeSsfiwvuJFXg/pAoLfmWRNKkVjDNMCg82MKRQCrmvIabgOJTE3 34lkTaQThsnLB7/uoXaEb5nYmEyrQpSpAfwaEGsBq+OKFpMX8E4ka1JiAuYDu1KDMVu2ARkhyvp5 p5G1h1Gux9Qkvw3XdxYZowSiF5Mqr8NA9ptQ5OXGxJsqlDiG3klkTQZTb0topvP/zfiI57w7x1CX mmMC6APvTLMdUyze9rLBfU9wzJwSl5leyIeVJmWykLqUzzuJzB63zF6aGAobfIrCkDrRyawlr5/5 vMA9Zzr0A7IDAk5HZeqRlG3QoYeDt8sWEFYqDoHc0rtHBMiKwCphkjWkS7D1rkHeqvrZIjWBg7Ky cxULV15KzZ93Bhn7AL8ue8GX/LJ/J5AxDEMdP5mP9Qn2WrZ+4p5ea1y4g2wNhUF1smg6+WATtOGJ Xhrr+A3qoBJ36BCPyXTJueAhrGd+xB95fT+r8g4WU6flggR7fN7JY2y+f59CaAIQyG3zE6I+usRk DBczVnGEXpWFM+LFySIB5ydGfWB+dip3eIpnIMlqfqBENdUtQmrGK4n1Hm0x8h4/78SxJr/TShFN H3wB7SHf5XMafd55Y02667bFWCiTP3q+x1AUUqOUdeLF5DDhvId3XFMbTk4Al117LA6piasq1Ejh 4AXYMyVlUY4MRwckhLfTOwp8b3n62ilElOc7a4znAW8yCaXIa5JTBCcFburS+/q8k8YIr/ISdZa0 6gYr2uPFFkbUG2CGlg2ABjy7wugGZJ5lrns6KbpFEbVWzxQuiINX8ZG2lty7mFG5etsalxtSJTIU lLmivsXPCO56ztCiV5YGzVA/8Rbv6gr1rxYF1K5mYcEQGSUXodfCgPrcUWBB2zFd0hUj2jt7eTFf MaIWlajVkh6StRq/ZK1aAqORxbMGKBqJQoWYPSh8ZEhwurO1zukQ+EGOL9l4Nvg51HlOgMXKx4Om /YXokcUBpMbOPkM9DKgL+AnZNCwRF3n1rBKIKKG94XKE/T2gRqTBRtDmIcPBltAGo2Un0pkgeZxQ J4C0LHIvAEmhs2TxNNXP8RlBu5VQ6rTljr7dHb2cPSwhTUgd4PGAybHzryVkbiQ2QVE8PdagJoMr EdILScWztq86A9QsuMd6hPuQ3cCaDJbXXLd4ls5vjXsrybV5DilYdGglZogI4cu3lGwme9cg4TAa kJodw+0ccb5XEllhG4nHo/rrrX1sZrjnG8o3J+thQF2AOUtGiRq7N2/Zo3JvjbLOUAZXWY8q1H2J tbqzD77J9oSpOyvU46yt2amEsmhlkzBNtL40fi9L1D0KqAU/I9QYA/4b0ZrjZC6N5xAcA/B8QEJc 34uI6v6gyvgchTTEWqmxL+TiOQunA9CWSvvIWxtFjbmKopB6CpCfpf2ZbwkWhbgMsnliz35XayBO gGw7kBPy8jYEGuUrehRSV+Jg/29A1DowzgxyysTjwVGdLmWBRDT8s4zhmWuPyIiDpAW6+xEIO8eF oGXHnk86bmqOYujH0Cm9fPCQ6MzLaFOA8wxx1CWLK1z7+KUBO7niFVvv+kfTHiJrZHVAVHcw6+jQ qGVqf858PP6v22yrlnTeuN+IqIdBNQzSWy8eVK/qgtbE9GqGWAjWDMWURPE5rI9xRYjhtdLOUS3S wgRKg3JoG6JZHWgXnLN+FqHCic8I7ntGi0088XZ54g1OfoIxdhzWUVT97DMtIfTsL/Cjh5VqsH33 aBL3HqZ0QngpUFKZBbSTnReboDiqJiOo5zv4UQTDVDQ4ALAaHbY+mV5yqLrQugcqxA78oMdljyrV tAuHa+P2QZnrPr9tUbNjTDwenNbjF/Aj0TDyXmfvQTWyFTDoKTj7Hyjto5hKU1nwZ0lUwEGE8hEL aD0Kqrc4iUtqOuteZwPrk5kLQXpnhirv+0Ss3on7gKlwse9Ei7QelamrlGGEEOXACZqwPJA1yvmh eDxK7S/uoymLQ/vIK/k9AlMjPhmQiZW1JSH8WkOT9h5S9UjL6kM9wn0o7aAkGQfGuELCAos9yKcH BSkNSjOS29dBoFoEoOkYAmABnxFFRMJ91O2DltCJ4oZ8hU/2gccjZtCFNbANCWCFjMg1RWFY3Rg0 mlMj2h7ZS2g92aE9eVdoEUV1auAWEOmsO/g5tNE0gwEywcJreJ260VopQ1u5es+VnTZ8yPtB1FnD n0utRRr08jbDdyBE/fnpePxf5Gjx6umv0z2/H1FcDQ3SsxfmQ1rwoMiwVjSQa92S1xEVqic1T+ai d/Nc80leYYV7gkQqM5+z2HzBIEdA4vUPRfTcXSfrXYMiY6fygNSqOFjLrBSxRsGpweNBXD2+OQvs R/dLexlRXA0BT6hsFWsq6i5jfX0/TcXpFbQR1ql121O3U4P3OuAYWyhVhzoTUENyCyeiKm0dmZaZ 0TJmxAxFWn+vOX1wzsJs02x1+Pi/2tLZII84jPwyGyGe+nwRyOmN1QECgOOpk4fYZ0m4vNCICtWZ 3MQtVc8t92SUO9fqAypxnaQF5GxTgvswEASc6IdGQXbbo3iNzwhwno0HUZZMVd4WHrd9Fin7yudm 7ng8anV832UFzOZ1yx8jZCh2qFZXgwwN2G54Q3HfhiLMYmwJRUG1oDFL87QkezoYMNIhvSo1g/kg g+qzynBunkzkB5ezIWMgFoGPiODmRHw0NV7bJXVAM4SUYOjO4/H/5RAiyv0cij4/UUCNiBI2Q5aY 9d694ZoJ7+L8tOIc1xEF1LYsppplcz8BddrwTGJbAR6ZW8QpdJEFTAFI6faCaI0+oio1dd4gNaIB D2+VP3B3cs2et8bz/+S9cDr7d84xoogaXyKIzN5xxWmiPbZTMRe0Je937rEoopb0Ykd73gY7haDo vBOIVehJl93NVa5IC66yKeN1alLtR1SnnlaGbc0HRdSoPwmQf4IGPB6dQvX7mCZz6tbxRwilhs5p uYqCpbqFXuv6Y6cfkwOFRwSlriytQn/ah7uGSgO4gRW03SF6StkC6hWB1wtPcm+WEeQ5QqEP9MHO BYgZ1WAd6XNOk7p+7pyBx4MVlL5TjkJHr30nKKpSY4UDDG6qwlOlaYrAyVOHzbLhEM8RBdTWb5UW weAViL95Pv3kKyfVSFRryJRLJZIafZmaqYSwH7PB8+PxGUEotJbixOqDTqGF7pyg5mvj8YjSkX7d Y6jg4f6zGQoD6kVojMGnT9banBdUBR6mGAoWiGbo74AaCpQ+eFJ2To3BUpmOoSJOV+alBJ+GM2su mw9Uu142iKg7CQsSX26IzA1sDiY8dwm4cXg+yOyfZsdPkpUYyss2RWFAXYgwT2aCDidvS+yx9zRb q8BAjgirEdepZZxHnDSHm7e20kchTvhEDOfwoHH1aqxfLbqddK/kb8nphHVq+ujOSikmDjpQNuBK Rr8reDyqffg242wC1rBvyjHDOvXebLhKAB69mm556+zNKOV7oNrFrGyGCnmibk6iPDk4UJgLB+II 4AUBg8ANyR5vRZceOFOHoDHjmCHuo6o4pOSsT9ekhnMBQZoos+DxYILar4YrikhPw3WG8XRC2gr4 GNPW2ejgnVn6YHEaaWsmbAOX/Qz5iQyk+5bW4p7X5WRT7wP+uogWacYt3IfyDlC8rrkgNTHxGX8V 8vNszQdN0DwnpqwpUit4/F/nkOICyWB7uDhDyY9KdGeqtsmyO57WQmEAGcMS54tNNmN+ogqL3GQc PGuF5VWatPQ+kXVNmRAReC/AxYPJ381aqfSND/mzTi2x/J695zrTuaW24YEqHo+m6BejAyUYwFpt hqKAGifVCRhdhXLmS1eYo11veLqAULYqrlLrEhP+Yz+A7pzgLNip2N8nJox/s0JkrSwhZm6RGjcS PiPCfVDro5DpykGn0ABYgsiYM1d4POjaz1/hInrhwGTZBEURNWBqs0mZ6fyp84Ql7IMwVfl2DKgN aoJC2EcTc5O6eRx8CcFKpmZF1EjqJ6tnJ7LBnJyza48n5UBzBZ/xh5oFetHbB01QoWAGNlnpFY8H 7cRf6DNo4qAD7BP0Z4XaxLk7cI/JdUxXcoWd1fiDuMci2IfTN+Uj1G/D9USAZ9+Wrnj65DZ9sXiU oK4JJgaKLjdcJAp2RvE0pS86In0fbILON8BaI/wuPzPkJs7vcBFNj7pvCX9GuA/86kPhHQ6hUbbj Ympxkaa5SNXlPRZVqCWYN9qoPjguZp/9WTuFGbDBcu+yyMMWA9UKWeDVVS5612AFkSO9wEe2wdH4 IzFphfY6Hv9XUk/tc3pPXGDMDJmJTFq32XWdCWoOjCm1Wut1UkyVSesMtfMID575GRwl3M5CzkwQ cdH3Pkx9edJ9ddPd4DpRbb1rgBySh8kQBG24W1fDNSa+y9kAeDxCVs2vjAzfSfmKhCLQx2yAekiU AbKUDEZ4BhUaMZAQtJPbUM0onB4mpWOyMU8rMaMe1po5460Nuy5MkFBNbUu21+rTVK6aUTTd6IhH 1U8bDPSxJ9NrlFI7Hv8XcojVJHiO9Fv2mCEvEbfYro7Ny9lkP9DiGN6PXiTv8gwKxT5Euuu87pd7 H+IWW2cGGpYocEM4hSpDoIHLimVX3mKSpqT9+wz180gIOnt/+WC4IRcPPqdCweNBqHgjIXIY8dGP lMWKYmmkROdyH8lh5sW5Cuz3KWVddGvGCloR5kMKHqMTNsTBQkUacrIrAGxe6kXqTehnmQMl3YO/ 9LhXiPlQRZGBtwadQagFMDNrrVY8Hml9/KreSy7LpU1XFEt3eOCM7koWYO9YHJRmc6c3OAeYQGWI +eDMdFPRE9hSE7TofLR5zac2qSyJa546Q2wpPrVpLHR8RoQQLm8IYSgPkD6A7Kri8WCLlYtnUOp2 bs+S7hIKNacp6+UmHOBMXcxHG4bNOxuiu4RnjPkgbKgQ/zoL4aSN19gYEPaQXAzEBE0jH+6xNNWk 5Jv1WcE2w2cEtektyFkZPnjVw8Xh9hp4/H8JpSE6WtNl/q6QlViQpY7mrpN9X84U6busmzVwoLTH Qgy1sgzh7sYjF4PmP+pBYo9DXbmxqV9o8ZMJ+LiFRfoW4zOi4jR5CpXK96VeUlmCSrq0UPLG49Eh 1L/2WEEBJd18dUWhNAJSADCbeohzuM9UpQSpsrFcmjlMrJCUKBj+KssHR8ScPG3kyZIHkIvnesQK SjysgUnpT5t1UyxmRWgPcP/YgV4+WIMMUb80FU+uscLS9J0glrSFfy2eja0oloZp1UkIqlUWW3Og 0Pmy7V9i6TvtboU6H2qvVlolX8ceqlisjSQPsTQKHbjZUBRCGDEAt8psAJndZLKXjQAx0tNZywfr RA/6YRHBNvB4BPfwTUbJCsrujucYClHU0C9f2Y7nc+CN4oSp7Ih84HssH1thNE0ArEl0crgpfUcQ RGtXQM/O1NCnC65o7WQKP7ARsKoQPdPwGcEMkewyCHnEkI0NdA7/zXWB5gce/xe/Xi0ybO5xlZZD WmIC7iwbYXNK1JC4M/w2hjvr+HytoRBDvcUok0dAvl43WP/lxJraZXB6L9Q5z7gyG5m42zHU+Ht6 1z/hnUPSecOl8wCv6lOY1sZXDM7pG00zFkL1LuV71YcQalz1UL/xhHX5VT9HMUiV3Ee1guLiNCuv Oobacwx1II1PcCTKXUPrFmttLpo8g52caWfLLiuF2vghEYZahLJcfbDK67YdV8+BjcejRv13voF2 ci0XMbTC2jRQlrubghfOC99jlfg+4WGme7itOJyma0SjMhwH32PnNzn5CoOh1SfCxULo4omvWIBk subR0LCX/Ysv1dcoPmiGUocY3Q/bOnw8WkNX1qspOwF40nPWHYI9EvisozvYI18EbK/tynyMbhoN +2896gHeuw1+Up+vd55kqksMH77idCghB5aWZf9tMb2jgLoRh48M24cLqqL9DDh0fDyom9X9fQwl HkV+Tu/3gBqos0SsmVspwIjG6FI6shvBHi7zsWMLF9m4C/6anpwVLtCrgfZ8giL4V+N3aXODEdGR aUCf2m8y6uHvEETNZBVCND7YTXbSpHyVcvd7QK0Cj00Q/zKggv0aBuyYlUiwx3I4zE6OZdjZDbcW S9aaoTigVl1oFR88Xtzg2q2kcGgCsMD+xqy0TjzzioVh9nbV3jWYIUCBIE05fLC6ENSWeVUjHPr/ jF1pjjS7cfzfZxkY3Bff/2BmRGSyeuTKbyTA4JP8arqazSWXWHZMSsxfx1Ae9CD0eHFHATXKmeed zQwJ9C8/qAtzbBfs3jZBIdhDNVcd1OMrXgSlvp3fWBnH3Dst+XMj4oe1IymG1gDyd410UFjvqNI4 ra5xCgfdrqz8XFZ4/C/5RZ5CyFoLjdL0qSF+eq0L92D+mu3+qkWQYfKlskPOdoifVk2xlOyD1T2A upTIPxBVuQMKixmi7xooQXld7Oti9X6H+GlXEE4+WFrfR9ESOnk9Hv9LOU8APggZr7vHQuG8hpts +wTt5QCGE1hcWbgBjQZSOXYM9pALaes+eHEa2LCFjmhBNQdKK6x7kGZAuO982hu4vfEZQTDE42dS FFWDYfBB25exQlp4/C9VOBanMxUaru9EBPaAzgGEPtTJgFKlC5oPGjRIjYkOcFxBoW7ekqQgwR4c fILgADSraq/waK1Mbwu+H4X9YOpmXejFLvSOitNs2cBzdPpge+wc8DL+RQ9xx8XpX45AKCbka1yy w3C6orXarcwKiKEhFQH2yH4ICTuMCQrBHtIRlnkAB9tiZxLgKEJELvS8KOwEbwr6pG6EI157VbUX nxElrWxvDGGDh2ODAc9L7N+c3GDg8SDfGL8ueizefMseOyxOJ5JZL51sLbdppayd0cmQkGmLxeE0 eWRVsNd6Ya9nS+0CsyQiFFcnrxyxAMXYIDMPFI/jqfbUu0Zljy0xuOaDT9CkRCuwI3w8orl8F6cX O3o3qd9RNI245MxKcrGhvPqP+9hmp2zWzVCx8++8b7FJOUHoo/rgCVmDsQJ9l9CmhyQ+vX4TwTBw 0mv5RkJsb+xQ4YOpKg9MG7TFkL2w1wGLeDz+V8ZKVjKc3okM1AzpzH9PyVAw20PcFvzTErochvNb RaK9krfIcoq1qOlAPlP1wcLpAtXRlhgtrgRPu0X3kvMlfzrC2UyfJwMMUZEJn/I+S4v2UkklfNIc shL7BN88ylO2PPkHInDn90LCBu/p6sLlFErnUW65U/a+s5RWDfBRkM9n3mZl1unTFAfV6sFLoHPl y7trg7ac7HOgUkdCaztr6uw+2NtDamXfOv7Y9rqRRGWiSG6ZPijvONeSrBjhbMLnI5q9i8NRlgnd /3or+VJif32sMyhq3UAfZMgLWZXqhee1bv5/OYUo6qbUQ/CYtL9TjwQBvUHd7oTpl2lWg+by+SFJ eXZh82pvG0GrJstnso9GZE10Se4NhW+RMsfiHwgCo0vhlHgVEt7HgiJFwTW70rs68GOX5kjhJGQj c/zcr6FS6JPIQhqtmWzwauy5oZvkBGHXBBOHQuLU2S84sKD6sp4UdtvrRpSOqflJPihFg06c6IV9 FT4fHN2XsUBmFy0XHyxsTlGEncTiLJociL1bzbp12qZTv5txt2wEUlS0HqrF7tF8cJAVwCMTKoIw dtvnRyd2aCFeRnT5kyU2yGoRPFj0ukHjg6BzGsrYYOWiNNeQLTKsFlIIAcnfFbVciK64eno5RXF2 Qv0Y4h6Cog2odoBtBowFOkFSzs3INzVNsUK1PIPm9sFb1BDVSTL8BQ8pwUv07Dl6EqPWBsFWz9UY BeBDItK0wGg8mDholnLm4cpTa/P5yH9qfh3fiGSBG72TFJauoYbWlOZvoFaWUxUHEk/+44RGsPB6 OUXB9uTx3YVo7A+isdPFtWwC9mYCrosyZpOaq7CDofi96VaOaW8b6aGxXJQJeOCgHYfShoLBM/l8 PqrvXzSR+cQQoZPvNIUiIIBXy1cL5zfgCHZ+CzdIvEynW7fO7yjkHowCCPC0wWtrcGgaIFZjy/UK urygsef8RliJAMoCpoL+Gz/kH8zpNkDT52BW2QPXJ8EP5+ZrfD7qNX7vOAKUxsUU5RRG3QvKsMtC yZlvWnIOWnOnog5I8uM7tFQUJqSTGMzByVR1YF3y+O67NwpoA1U0qBXd6Crm0npySE6xA0yX0vn0 QWHlgJNlVT4/+Xyw4aqvJfyGaMh3lF59kmJxvUkFkO2T1E1mpzE2VnILJ2ifpKiQPQhKa7zANHh9 ZAJXPYluBCNgqdad4EnHmcLNIEHvQkQnPyQoIRV6CkjNMvmuzmAjUe8FC2Py+Si//dYpKETtlBt7 h86Kk+TXkqySrXoeA4GxvUQC6S+3xQusFUEII8567Ts4eKaDuzlFOuuoJjBzahioxwEunk6lwt+F HxId3WKdjemDJok2gua23fh8cCrdMlJWxQAFTfx8Nksh1vp8/yFcK7Pc5h19KBNtx8lmr/fnwF9R duiYHoZLHGyWdgWtakhkL6E+JedpxJLUJkBodVF8yd426IlQbRgO8D4oz60IY1WNHJXPR6KxF8cn 0N+mItadpTD0zii3kQTPVLfdWsCmEBRT3d6nKafk0GPRoHyDCmgcvJzErkomBxaV2pWkngrZzgIM JXtcBgYtzd42Ig+RziDDCgAcdXSDPFQJHoSCLJ//s+6PlwMaBRV2n6RIa2/JEqarJnlCShf/arO4 /s4eTEs0SWHkzbNmiT3FwZYSpFRqIrCwkoGQk7AkgBQBydKeujalj/kh0f3WiFNjp1YynIwMAX3b xhSufP7PNj/+ZcTv8wkoQ6NFHAlj9bEtPUlOG6py7pEhLsrAtt9CJqOEUUWV5uBH98mrzv9Z8b8h O6GNe0IJH64eFGa+fhX+uhEWgiW3KTeGeZfSSXOKdfrh1xmaLZa5v2dJmp83OwmsFlHu4KlUhhcn W/Xam7q3Rb4w1ZdSDBgh5xz1ERv87Ab2dwHUSUfEcxGyzVRQWoYQ5Q/gQn4qUVMuh2aLuViqu33g UgKZsMvH7fzPfD7oIl2VGSYnnT/RjQICt8VMcg2KiEI8Qn7BtS274kl0MRjnmTNuCBnhodSgpGfD pVr1BFmfSomQk5DT7B6JKcieAGFRm9oJe8VeN4qVaFixaA7Dwbq1sxVh13rZfP7Pdq3q3CyvP/7B oUzIoj9MqpbpFscewV1+e6ZLcXVNU2QQ08UjgouBDRd8dDbGMH931HIzuyqJ1RM0wul66/oF1d42 WksMlgtFhDkoDoANjXDY014yklL5dvNi1EZyo01SFHbj5xiDuncAaK1+oeonjTfHobM5qteWAt9F b9r23ooPjhOltSAQlawtneC1EqGFYmOHjkCmHqclutVfN9CO3yxUbqL9OBgt7cQ8IkifmIXPR6rf 6/uGq6wnP2FACMdmz4RNdwL9+q3AdRY3sfngz2AQpBybL7ICBxK1D56c7IbDVfShdG4UwbkyoYEg rJEwb223lext/yWWOsQu4mA1b+i9MXM9m4/PB8nJo6GmOiWaxxfIlkP/RRiYjOENt7PJutOIS62m 6ghwleGQcmjAuOTqlWlGMDwmpmX3CQoySrjo3s6T6lDMAN8L4lNUzX/sBbO97b/KAZCH1GBYtim9 B9xwBUspsGD8rpkweGTodUXUcujBCNzvudboYL7wT2N6/a2Z5hPdYpZPUgzMZusWYgM2eDF3IYFt U4oG5zCisdcCtkESYWT0aikteVKHJoy64RYR7BpUWBrnpGCkf9KIyecjHuiteEshYgOvdTdc7MM4 SUeikgGqF2RPcZaAg9UswZHxzlIYd7NL2XNfPvhS6guBV+eGqwkFGAry4dBE1vtDly+B/ljv4YdE OZzoV41aT3C85Yl9UvHzbxMN0vfa/ANBTPlopxYVvXF3XDuCHLoxIooEDaKrAtcpxW342mHzdLaJ 80FzYMd4UUlfwz2+z4XbOgXn1gkvSQ5BnykTi0krw3WTXQVMsR+jAUc9FEjacyDNTqK0e8l6yz/J NORjYacDiu7TFEpdw9NuJUdNWOzUAaBv3YE3/NUVVgaWjJKXpDn78OFCk06unHlKVuCTWxNUEETU wjAA9W5res9lbxvMEvXmJhM/DQb27wRxsmeZ+XzETm9flxxCgbMIb0Ug9GRsdGBO10NmJndpStWa 4UCB9jtJIbZElBGphXLwk+mk3OdSwK4FdXYm9JegNEudHHxrYB3kFid9xxy6MnIz0XvXB+OtIaFm CNRr4/PRUrrxEv5tSvtC4MxnKQq+2znrJpySBXJrlHAlDPD8OFYcOHe5dOg6/1C04+g3uModbJbO b4Ui7jRVcFRslyoCUFQehhWSpJHMPXNozDgUVS5ejbcvet61ECGPpbQGn4/qJl5dUpQNt/MnQwmt GVFaOSt/GrVmjmpLCZ5x1UtwiAltkmK/c+63troPjqGAbWFG0ImyycnZRPZvsCUoECLPLDx30dT9 bYNJauJElOqD7bdWkhRBWIILzRm103ySGJs+MJMcmjMOogE1Scx1y811FRQo1yX/qOsPRQ3L/A4H PPdbSmszjTtnR0KcSeAyms5oX+M+9KByL3vb4I5bSnLVPdnePSmwoVP3pHG/xeLX3y0mokR7m09Q GYXegBGATu6nUrpgE1Q2HGyCzqfNUozdFmibK4qD3XDn8u2Qn6OkKvpyky27RPIRuM0b/Iip5nft 9rbBUuL0rC6F8O4K4aCmkCQIpHPm81E1t38f3bhV6eOiSQo9GgGAxe7Idig1732f42kYxnQj17X9 Fps0Cr09xZGYD0cCpjonWhRmiXATRgqNrAJoif0neDuHJo08bU6Ki+tNg7H98i4sQbQTZvD5SAO7 f2e6nZW/eyaFNo3njxM7YWcSeGF2Jk1KUgtrMtyEMAc+jSdlpvvirKro1oeVDbhkHRJSBxJ3kzuy ABmATtVGTeBhZXd72wAeMKig3vIdtN0gZKsErGW9ZKSU5TmcmuQ4Fa9zdY6dGmGzNz08GiZ4QBZA 2y63tmEMprg7tGrs9tXTHWy3pQWFvcTeyYltICMOD7ABs+HREBG2/hC21PcOzRqn2gK8lzQYVSLl xFmCTAOf/wuDa1q1Z7t9zVJIjETfu3cXpQP11ZfSKA6hXHCYtqUURd1DDW8ZhHC4ZIkMx2rmcOg8 dWpoTqBOfvrJ8H6Q3VqkBMUGvW1EPx4qT95B9TcQDdUzqlkvGSW63oaTHxFeasxnlsKge8HnSs1b IHFplifCBAsfEhoZycsBgWejGu8gJJno/oM0WZDz6aNKVmzLAwDIvnMboAmOj3oEj/Ky143KlNOd 5GywbiUQsjLdQ0s3MG785rFTy5iWdvU5lkKGJPoCY2UrLZmJCjJdJef0ujpBoONxQutGFeDaUDF3 3GLugHT+2VQk3kA6dIH91KBte/Y6NmImp1zFXFrn8VOCC26zyFLYF+CgHQeiEv8L/Ov4/F8lb/UA cLmlr8UUhd0Q8j/30XSJOp3eZElO7+/ODTajTVMENZEQBEjGPji6K224lYt+006EmemaBqOzH/L0 QYT2xsDq9rb/bMRtyWLvK4sNGjh7lyAH8vlIxu+7x5S7Ogl3ksKKN9i2rK/y9Da7VExSkiQ9VOpG K94Y+IeDo/SwqCbKwXfcgt13Erbr/Jb0RKLvDHzBIFbd9+NymZa9biQGyQLlKHfQwVTPGxZLTjqf j0LK72Iuf5o6n1kKkSYd7gX0HUUFLrW9fceh5G21JXTGbZbCuNtVHqcPXsxtCqg3dxwa6ok7DnI7 gyQTKmxYnbI3e91I8FC1t9F80FqCUClRfuewWnw+qi3dThxxmMyY9+W75dDJEWiPc1FTc34Qm2MQ OAD6UHhD7WeTvc/IO7Ry7KQr1ZbuoHlqkBE/9yRbA9C3qegHQe8HZLdFu5XWbsREoZ8ceDnKsoGq q90Ho2aNoW5KPdEEnw9igdsaEPAd10F6ut+xneMmn2J4GpeGx5UQ4rU0brfsmJzAz1Em0Gh7UyaB g7Usx4Ba99YpcGaDNst0n82oVzP18lx3JH/bfyQoPWfp+mXHU1bAf81eBaspMHTEnvPgm8c38gGI E/skhUBvKPuN6bnuGh4AQMZ32CTNvYwykENLx0UMyUqaq/SgTdICurvKxagC0sf6+AnVwM1DWoPT RlncUMQUeDpqY6M+yQKchAoYfE/a9Pzwdyh8Prjk2i/eCXkvT6obujqeXIhFb7Pp2WO7x/U5dZeD TfYYPkn/JlBOLZOZHsENgCMKJoDApRMBAKrBDAVVSgZ24xIoVYALbR2JowDYhAPNjXlZIRKoLMeh t84/EAEF+1fw3ahkUW9bN3B2RCECGBNFAiBZiOLOsFIyUsD0n9t8+X6LS94q4tJjhcPtxQ3ICHGW TgI9h7zFUIrFBG0YnlyLlWwvGzWZxPAad9B2m1CQlcgzYBShteOXIjQvRFIO97OUIpQ37jRIGQwL l6b5HOS6xNahiUhz3fUcmjua09VI0weLBCAKMGuhIThkuXdjazOhilyBPUC+7Sp/bdvbRqH3oGoL ITnlQnJQ31N5AA06Ph+5ZHxbhBIoSGqNTVLIpUSVks5HZuZ8cbmT4AuFS7jXFVMGBo8uQ9aKNlNp VziBqfQCFJE6N+cA2cLlglUIOBPcIy4EbtnbBkwmFERaJ3Zeg+KA3kYzkaRU+fz7yb32t8fjAPIz X75pDkweEXg3ypO4dMKY7uc8ik/SAvDVV1JIqJRTTxt38K4AJFnz4Mm98vnhMjtME1HmgIgimpmX okOxthz4PMqz4qyWtLsPmiVQo6VSM6uej2q5372TTDD+fipwodHjoLZvW66VVK8VRF++4SCc7kFl 4PQI4jQRSwKbzAdsAtu5VqnmjObJBJaVknYmeYSu5TNLO9vbRh0mnkeTaAoO2nBwRpaIxkS/MvB6 FDn861gC16M9WdznNVTqmf6Jo03HwDV5FWMtmeNs+4U5fQ2UOnQjBTVVU2DdpgCOo/Mwa+/nhD3L sioZplsFEGklOR7nLH286muY1KHjQq8DdF5ssDruOeeGkbfw9Mv0dAizKn1D5ME0ZtMpiv/L+Vmi 2WG9hCUv6NwUYmiEDJA1L/3VqH7AJRTMTt9sKJ3b3QdbQAiLTvYrtNLZcXgYCygxzME+g/M1arkP AP51elS3qsgLuw92YC9DLp2VdGLt1+kBmmbZ9PDNoHNSePHjI1+Do55ZEIVQpNL+KetEorlSd0bl OteFVZNeQyOsnSGIqXwyxs1sT2K1IFCSiJpAKFrMLxPoOSaD5RZJJjHdr4FRB/yGQoiQjbVBkwO5 PCPj7PZ5jYr6g+eeDLBhiQYTZZuc15gIS6dLLtv7bc21SZBXu9jf2q7p+xoRdbnXAZ287uCgyXou 30VLepS491ANvIoVgE02rhu4kKWvAVE3i4y2qeIPK4Nutk0nhqDEwDluV8+f13ioP0J2TlAFiY9W L5ye12joPLWoQkYEHgLrvpMbhN7/EZ5zxc6d11gIP82g4LpAfhxsZ528Y9OFhtXa8zs08lAzAe+I 50Fg8o2Fm/bzGgnh3LHrS2qsydVYT5RC+jzaHSemfo2D8HQdX2sH/FJtd07OaxSE3bgasw6tnZPw OzaipWL6ozCycaHI1xiog4/InCOXO3g0jVA3DUkjndkYRTqRVFYAMzPTgJcXV2EJ/PMaAvF3pP8u cGU26HLPiLL445/A6vMaAHXhUG3tcCLPixIjy9l5DX+6OCzo8Vi2UZYL9bfeHcG9kXea8UMwO1up WJdkf38k+88XPhfSVkHtpKlpMogs9DGGIvim5IbSVnkaRDtLrf5EAggHq18Dc8lvfO7Nz2vc05+4 R5NDVDuNuzk5r0EPzmQ4Bs5sothjtltvbK15vXGRJ0MZ3+hMll/I13BDngZUXFZkCLWWbZ1ZNI8R z5IXYwKRTa/6fmPRMavnXpsPmp2B3go7RSdm+rzGO3zDbLNTFWkDUb/9ynotNGJnAac9ax92KjN6 1LEjDTsRkZoLjAancpJMAuqgNjjmCOqoNJQpuGYANsL3wGmN1h0NwB8MW6MsWzA9i6S/2untxEGl WEC1klhIBU8Hd1byc4e7sAOvMrZfWq/1RSxY6q8qUCb+we0/z2lxRaAbDEMkRBLFOzInTHJ2StfZ aUBmRoYk0GQ7U0ERhUlieIc6eobLr8Oz6Vv0Wlv0vQUJk+KD5V4nzmfVo1YIjoUHT/e9xZWGRVzp nSaSYTA/6IzBXEDIh0m7ec3PLt5l3Gt0L3RE4bJ5yarOMfqXl2zDibzpQw7Qems1y6EQEhILEMjH B5Te8e8sNp7+ZNMAIW2D1g88ooTaJ4QmCpjXzF+nD51CCkWyOUFRwHx+Ubaop+ONl6v6LZKVxNEu F0obRsxkXEGRxQcHGaF3BlYNaRDQV0HYg/SdmXARiMbasPgr/JBoCVHwsNG+iIOW0DrHPncN0Nmf dwJbf5qwk/18xF0Nh7TN0HvQDOUI4h6bBT4gTtkJdJJFxzwklA8MhxVdX9I2Hn35cKuuJ+dCCq8q UFpZ4pFQYaqZ+pnlZlxK3aOwWZgZOibbYCc0FXB5KaFU9h43w/TIk4osEhfsiNPdZFHkrCvs0iDn ctBj7VKt5Qx1gEHU5AgO6c7TGSa6PvghDfJjakttRTAiiY5sZOEBL4s0715hSe8aZF3kGe1NPg0H T0qX8Oa1LHQ4omPIpDPPFxY0YkIvz8PDd+IadweOoTSN3ddmtuC5zemidSebrLe/Ec0QouedcE3b YJkXFHr3iaGECt2L6myQlELoinqHSZ5rk6mwER5DvN6Vnqo4yxnaAFEwAIbu4TtpzY55zRCdywgv RuBgMxRF0OQ9nBDO9Fd3G17WWLN5iaxRWZ/n0HsIjQOf9sKz3sF2WTmh/MlO25IM9LJdNuDTVhCG AlHstVZ68rxT1rBNEBGcO5XNMg6cofMLVgoCwqa6ft4Zax26Ru37KsvAcvV2I6F3yhpmFgKssxsh BEoFw0qtjSQeSiDs6Rq+74w1XvY0MhAihIMfRAVsvUG5/pPDnAirSOsGVWiKEOCLm4ujuI9RII00 F137un0w+sxJeln/Pltgf97pavyq49dBRL58vkd1FEtDtpVm5QoWhzpk7N1fEuQJ7Zbf9lEwbXWf QqkIDo5vOPfZtPLPidl3lrVRQShaIcKaKX1h+gd762Xfp4j+1z3TClSDpqgkQ1SU1efnnavGiKR/ XWaol5zL7B5E7+E0LrOTiqVhJsQzs6/FVCyrsYhttlEX0hqK4ulBSsiSXj8HW0MdVMeUWeXoECRu 4rSNLtTjT6E72PxqabzH097RyNRK1GAYx1qJQ8Lmy593mhq+qqFksCuY/6PxVG5AFEXUnbYqYoNg htDsVY2sVBP8yTLR1QzFITWnZhEqs9bl8k0wbmYhAf0cyGvD34mXGQPFM3FzPSw1f9d/zRAZOTYY wHEv0n+RZK3PO0etP8Y8U0wQiIOWtH2G3ilqCKM2+TJ1Wl+MAkA6h3p2KZYt6uyZoXeGGncZr/tC kodY7pqhChWlgYUC/QwIZ1NpowL2A2Xrn5auYA252593ghprBlw8NO/TYNh9QLq5hs5P8XnnpzFa 8IhRPC1CBvwYeqen2bwOKusopU/LK4kwa/NjiKEny6zvMbXUuCDlw/O6z0eN/lzuGzIEw1wc55Zz PGRAOrZ0hv7W5fAVvWxUpafsISoBNqhaBvMWOj2diGt+3slp2C7PLktWTJTWnKYoqkTTFn3PXuy6 X8NKQigCWtUDIZl1xN6paV3yqKh3MGTk4IX6shMWx7aGWKcz76SGQ4c9ZSYZ1NqGw142ioioBN3I uOJgi+jM8pIvRm2fd2Ia3vG5zHSuI0qDpJTNURRWAw+GJpirjGX3JP7mXncwzrXPorA66Rqz/GM/ ZzVs13uaVIQ4p+VJMinUmoBB6+jXFCqHGSiG6iLvrDR8CFSMeyPiXIPF1SmlJDnxzMf/TO/xL9Ox tQ0vLL5z0pDzNrqkOkdmzavaD319z15J3seF/05J4z6XO5iURfKXskjZ5wjpyjzOfxmkpa/MLB9F 2CzMxXqIRO+UNO403fTpDtpp+9wJslc5odHnnZGG02D7FBXxGhaIEPe0DkvTxIGt7jjr5Ajis57S RaMDkqSdFtWmZWww1fSZT9PnpDVnqWwoRmGnkW29FBNNmU0Cw22Fe+AZ+BlBSFT4szNX0WAzRAQa 77O5Pu90NNYyvlMPGOZSPt0mKAqr5bnLvgmDRgoyS3GdahTiNLRptft3LhoDB5WnZSz7ZVV49hz7 8Qwady99EjsM+gfwsBsX9npqREsvG9QYzYovbx80Q2ebdZk0nfDi805Fw3fNflpzOmA8hdDKpyiM q0mNIf4a7Y1WL1xo7OWSWeM5rd/jarmsn4gHVuc22BrCBG1E6dLxK1mCSB0NX+pooiF7VVeW3jWY ocm2KiqaGJIbN88TVkvvCmHp552IZgWx76AIh9C+3bF3IpoHRdsls6Hs69YP0EW3k6g1N5B/56Hh rqGu6E4kNnKwDL+gJn3enCp+1PGbVtJuEEkH5rN4GW2wP/ZOQ2MRg6wh6mBosMC6wPoHH3dm+fPO QmOP3atEnKBE5be7hqK4GpJukKm1bTY3cQvU7Ve9qMrnyfqH7xQ0FgqwzfZmWrGdQ0eprLqBFiIu 76zFuuVHN6kumPFTjitzSPPvdwYa19CUHP3wweNqOm0AqJHX552AhqBze1xteRyczJrn9+8ENNYF Bo8fW0JtVrd+6C1d6YfuJ/U7/6w7SQ9UNR9sCbW6JhisSZagexah93DsFtyXZT9IKtSqPu/8M2t1 AUTHGeKgGTqbU+plNY/8eaef9UcSGhANrCFkOHKV5QyF6I5B+xDzV5mFoa106bMf1RtwFq2hd/aZ 52bnvMFFpcFm6JzVZ3NQnBr9JXT7qpbZoCSRAAzduDBF7xrMEIvUqffug1VAYF7BQuP5nM8794wn 9fpO75Hg5NtLfKeeYQltws2b0K8z3SZ9UzWCm2zBHkUTFCI8CGLYcvbm4CFjRjMUzSlU0c4hu6vc Q/EnQR/+MqABPI7vGqRmdP2ui+EQB9tkKw85W5+j/vNOO+ty337aHeghFHI8NEFhqRo6nhPW89Yv q95O7GQ9CmiOqEET9B5TA63ICixw6jbYClo947/KXgU2kVlMR7g9FVb+qNurU4iSGO+cs1uITVML iWqZgnmgT0m42bk1y+edc8YCiCevXXAiaD9Or+a/U866CdIOhc/UeZiOoDYJA0xRYzSKav474ww/ UOPcoORig03Rxh6DlDFR5gN4oc54AH8Sigy3/NGZur6zzTBBk63EQW7ecj3Ak2Vn6N1zi835eSeb /QqodeedMwhEMpue93haYihAfg3bYqk71COnZih88DF9eqJCtSQKt2qM+6vGuIAELTQhByRx4khi /o+DHzT7SXcVlfKpSf9ONMNqX+pyUN+BgybonEdFfPPR6+edZ4aVkO8p/WOusq1eGFUUTwPuOSky KhSn6K9MW0s1Pdote1qkre8ks+5CvauVO/gEdfy+uxApdPb8UMOooD5OrZBMIL3xp/rWy0YVNALM snqu2YuwnUYeMiaefMeg5zq+T2nA3Bq3vmYoCqdxxaIq1L0+NC8PTxd9Jw9vG0jxnWH2lKnl/T1l uTTl3Azgbydk+swOUhq6FfK63ljjgHZbYt/pjv5OMGMxixcYdF0wYLsznu5YoiRInmCrf94JZowW 59cugw9IG/0eQlE4jex2jGHORcC7lhsLlXwr+cXC6Xd6GUtopLpMNl05OB/ozG/VHMP6ypmxlSwz yK2M+RTy8Ymfd3IZz+kkCzVK9AFrwWWU+z7HHM8R1Eg/7+SyLvHXZ4bImyGQWzMUxdMTmXVapi8H 0f7ui2gtNwpDtcpmKKpTT91Pm5ByDp7Xz3PQJBqvogp7lgnLnxBIhJPF+Xt53epQJ6zqnVfWZeVx 3gv3rg3WUwQ/W1LPa3/eaWV4x/wL/FGp73erQ++sMtYmgTtjDR0kxdYpfI0gbEnmAWDgRcVqTNE7 qYzRKvGucrni4OCPico+uoXALJZMKB5geScI6gCdZOq+aRWJ5vJOKiM4QYoOrWooBuNIhuT5QQvk 884p64+P0RQLX+Aq32bvlDI8BWEQ0HPPvCyaqU3J8gNHimwegOBM1RZcZu+Msi4LHWo5FR8uPKbD 17Iwsa8ldRk9ZQhr4VD5AVvJW4oIOT7vhLILj0HZygeVPk7UPtW5P2vi884nY7vtJmXMT3A9pO3X /TufjI1MiPJrmy3KqtoagrOsraE5L4HznU7GHUBg3mZJ/woIoC9dQUMAkxb4mA1RUFaHQEmg1QGE sq94MTb5551O1sV0qoIU2KDbDOLk2dQZ1uedTMaDaH+DO+lkctvS71wyixIGdXJ5EPW2TcyxQurZ GmYQ89JB9E4l421GYIyhPPcXdfNEhuegaDyq4XVZeWRl1KM68NoSjTPlYlIS3rlkrGQxYU1CgSRX mz1/JnWWG0/uUj7vVDKGC+37vqfeeLm7LIyoE+IgqpWofOa1IeBvm6M/EIAKOh1VqZW2JgQONnjj /iSoaReC0M5KAhFYfDzsO+Q14EpZ2rroAf5OI7vA+8xKgAZlZSyn8AuWmj/vLDI7UB5aAkVJiUTT BEU1alSIx5Ld97gcV/ISJOg0CP5IVl9855B1OUoimG7TB++51jxQhyU8BrDzLLgafnPoLvzkix+C EQ0/4h+FD9Rjtg+Wc0CORkK8e3zeCWSGYf7KOaDZti+u4Z0/xq59YpjoIL3dvVvWsvnxwiNkGkjv nT7mW+wkt+UOtyd9bnjgRMnWPF8hU5gHuLrzh4jecfdmKGHoXd+P6b5F9yEAhIMWEI5OoRDPGfd5 545hAdX9tYBkkZ7vTR9F1BCtH6NX6wMNoinUcYVekFDCiCdth4UR9bCq4vbBctYKU4fOph067ahM q3SGu79UskTuEUSY5ztvjOcldxgVrTVogqiwzR3S+/q808b4VffXEQRPdegZ+QRF8TQSxZl1HgPf IL2GRm3kbJrgG0eIstYW46iZrmaq6e1cb+XsHD7wwGC0CPRWE9T6bIYzD6zJp5u1UikZnxFc9KnL Gmz4YLVFEJa4xaD/1SLcx9NKZNXDDkWfoDCczuXyoFFazCs5kDobehgiQd0ML1pUnk5Ela3U7+AY RszXynJyyGAvs8Tf6FoAFY+MeMLdrXVIR+H0MgDaKj7YIdRgrsz4L/HxvwBoxDAC4IlTzyguYTSN PQZunepCQ5IxQuLfuhBsK43j8h5NS4wOLlTk+3LQDO2EtDvhq5M9dmJ0A11DLHGhvZ6d43IOoaR3 DVqtcgKZdfmgJYTwmi0VIATweEDkuJUhtfcr0Uy+yXoUTaNsaZq+nCH6Tqpyxu65BBrGMPHPHuI+ FhVHO0JlDT5DxIatLa10zI8gNMgyM9wG4K9tGRn12PAZQbrBag7Fsm0QFhG2h+rX15Nu9CiaTuVX l4xXTx5ewO8hARGREJQ5dU7v7ebNaKg42nxAw4EXWY/q04OWu1PNMg7Odum740atst45n0X2amrU 1kG1hh68xvBlgbpH0bT8iQYdw89AsX5mHBnlOeX1reD5iIVYvu56SvzDdsXnKOQh1kIKq6CLM8up CMHQoku7KKwUmuAqiuLpOU3RsvngJ9FmpWssKeuciWf3cAHm0tHYL63ti0CjgkWP4mkiQl8swHuD 0wFDnDLxeHBWp1+MqWSQE5uhiIoIfUE6Uf2Yl6MJf4Gp6dDzxWK1ZiiMp1k3xNXlg7cSN5ZOkRVY RqeHTPLKaw8NT0rou3rF1rtGDXupVoiygKN6MOGAChQLdmfWCh4PJmh88+1Qk0JC7RMUxtPotTbp oRGhly9Cbzvy/IQu7pzaQz6i3HclQjwfEeITOVbUtBhPw+iqJh7VGe32jnlD4OYHEfoq+Izgtpd5 I/a8DUa5O/mAhJo7juoooMZLPeEQ9VTSbST2qEaNjudMibQoRI6JTs2Zpnus3NOxgQuAExQH1OKT zeaD77FzQkFJrzOFLnnjZIYy+kmoBkRRxaIzzAdtLntUo65UZkTbxAcVPhJyHOFgx8TjEfzse48x uNoXfNbfI2qpfZ9fLkuu6rwhHOkwQyeeZpFoAnwG6RvaEvYoolafHp4VPlyQJ9SSdhZxam/Zoyzy wuGL80N8tMS+6RyOzwgmqFJPj9VFDSJOnSNoCyh8klA8/hcfWssNmd1tk/UISd2Jxp/kO1NjwyX1 UQkcZm55IupmSygCfKjsscSfWuJRVtZfOxQiq4qLE5LErFDTuaKjt7fWcwiBJ4/PiJBnAnzwEOKg qsdcIwlb19vG49EK+m61QkIDpbO7hMKQGtqIsxLnsVgD9xmCd52XztBP0xKKKtRLkLMpjaH5xb2b vcIimzME7uOini585X46lZ3wT9Zspd0IPiRKW6t21x2sQo3yIr/h2W94PFhDdX4dQznRX2d4TD2i mBop+JBEsAgL3fNWFKQsb11pWd46ogr1VDNx0tGLg6VlfeKigT8tO0G4jZm3ojaSsccpoufuOlnv GhUXqe0pitm+sswdPpndPL8yHv+LHM0mNvYFBAxsgqKQGpftmaTbTCxuh9L6ch7e5k2nCQoL1Dyh V6LKNwc/hs7psyB5ToDnCQKWrnpCzFHa5IFpWRk9Y0bMTiRjqtbqg5YQvOTk3n1+SjweLKF0r3qD OrrpJ2coRFKftGySZkWUcGEZU8Qp2i7zKgNI3Mj1UYEadYWTbWwxzDZjCwh2nFx+44Kh9sDoCXZi VbUhAM/w9/K+dz0iM3xGgO/sCoZosUsyhgSCEnSx2Uo8/8HjUY/DD2re62gsrltcHFE8DZoodD28 2zqcxXF+pOWwqt3dynJE8fTS5mJJCkOxCaIuYUbJmkniuTI3YdQLANiMwwNXs0Fi8O74iH8AzWEd PnwwSEw3yVPIYuLx6BD6pgQR3572XUBRNI2iFky5k86gTtiOAMLCnhOzMpJ5fo8ompbi++pq2/cL aJjnkpy5ZWu1IhSi9hDMsWBG2igaeptAdEYfUXla3egsS4ZMegZphrUBf4GPPAlMxvPREvoFEYZ0 Cs01NUVhPI1lvYdzgpKKQ2QrrLvHBsAA2mNRPL1EdRm6yca3Wt452FoZTFvPaVEKwcSV4rcQxkAz xgvUZNmPqEAtQdiTwRQfFE8v+BgxuDgxAx6PTqHxfUyTNHVBMSPEUEP/pMjsDAof0s6XwkdzhQ84 utgERRjqwv4plJN88DW0IajQCMwDRrIkThBoHj8Q1v8BvtKbZAR3jlDjgx3WXlnI52A3PT6QQJfd Bx4PgqFfeAZYG5R5G60jVPlIyMOIwPkftcvc1qvLPJ7SywT9cIKicFqNVsqic+CPxAL1SXgTSkQE mYMNLIo0YLZ0+oQ/8jUbPH8enxFRpMmy3zqltxuhF0imKl84kSce/0sihjIfgE2AA2gTFIbTE+lt WT5BsoSbDBZdDJay2zZB/w6nZ2/TB9tiEOlKRQp5Z6mmJRu5Spwm6l/I8/2c3lpCYTwteop8cwYE 8oQxh56cpHnhZYTng6y+Xhi+3BjZJru7LAyoK/B40z3QN/COprUo+8pFtss2o6oR1qgJ64TcuA/O dqm0SqRBxdrQgoAy9UqZMkPo/oN1alX8LSWdkJtYGUnjzLFBSdmJMBK/NbxV8XhU+PApIqcLwo4N HU9N0QyL1Exb3bYL3JRuaStrpSqeEa3KrGyG8nhkcezGZjQHD6jTiR8KCiNYRrRyotUw+pQUVEX5 17FnTDlmiPgoVA4c+Q4KqEdZMumBAgQeD2boF+IDeLr6wBdnFFBXpq2CJ6KeP5dNEPqizdJWMDCU lM2oRo0KGLOx5oOj85B+ZMgPoDSUgc+jN2zhjA5wu669IAvz+Iyois+Z2fsOmqDdbLbOBBU8/lez ngH1oAz2ZXLMKKCmZOaea1l1Uape2GVlu2D+SezJ5Di7bMbUROUaEiRIV/X1zAkheoRXnCV0DmqW sUmOahA7UAph/FZKEcywRj1VPSO+ioN2GU5roX7PO+Pxv0ofjL5RYBgXVzWjiBpVoaHKAakcPTuV Y1X+I/U+knNdZlyhlkiDIsb5FTE2lP/A+CZR4fxyjJg6oDFnwhhI3gI1DkF8RjBBS+lqGT44YUrp HOLOjMf/VKFkAoeT7wI+ZhRSw5YVLCkDfIxkyIYTDkmqqUnuwy6zGdIS1YUXGWg9ZKAJoYYTssvp 9ARDi0IkZ/Z5NJ+J3OPJOQBTwmdEYhZKV9PwQRN0ApMpUa/SKx4Peon9GzMEwEkdl3M3o4Aax+tg ziX6b543ab3xIgxwDSM8I8BHZxyEOqUPT1oPEcXKPXai0a5tWICra7iiqSZt8SIBsDMKqKHDjbIi rZbKdCHzUc9lz7rFWaADj0fFs+/KEAX2d3F054wQH2h9IgjStJyXvRx7EJI0V3B0tybQjArUsuhY haVDDo742OCBZMkttgTAB+8xciiAuweC/qoqF71rVF3kFhNLes/hdY+aKolo0H9pnxkiPtr+iheh pl9mvlsspCTSc5kBuxAfrhUMZ/JhWesAVphZ6wx18zRBq20f/AyqAMnXpAk6x+lm8tZB9EMSK3MD N6LaetcAM0Q+6yZFWoNSsgwLJ8Ly1ubjkaLOrybZAk/rVl9nhPggZ1NEN5xByJjsDKpAywjQANal nUFRQD24t9bQBI07QWMhMV2Tynm9ptkL/QSAjjmHNABV+alPU7ZqRvE0qYs9SaUhXZWGAtANV8A5 FjoejzBD35KdgFGUvh3xMUNCIkBnW0ghTtA1oezdaJwni0ruRz2j8jSKEOdXhay8Db7FoKucaNUM 569zTQ7h9dE0Yd2Vt5hkKen/PqNgOhGV1wZqghoMMwSjJqlW5YLHI0jMXUHKN0A3vn3WFQXTaBuc nGw0g5gT7qM91opBGOeaDsJfEeJD3oqrUpuSg3fJCHWhgjrMA8+/RznuTgMhRD5Myb7luFdYnVbS mscddAidvdkY6rVWKx4PCInzV4tDYlkeCK2wOj0B8xjTnd5k74ZAKO3u3PoxXfZ1hYAPgoNnFYq6 jmePAdBTSaymo3mS3gUtAyrgJsA1eHUaKx2fEVWnl6SYhg+aICTd0vk4hwoe/0vWS1hrBATA/NoM hYAPSMNtwDUkjJsczonMzE4hIHwM+roiwIfcOHYaRotm9M9jmnDzpGA6TXh1cgkBvAEb2B+cX95n hWQCPiOoTm/hzmb1weoeY24zB1gDj/+VbnA2sRDT7bOuWDsPaI85XPNeckNkS7W8boNjG/R1hehp qeLuXH1wGkdJ2KOb+RjsJpRtNNB+KqBt5SktTsLOVlie5jENYyAfbI8hC2afNeeNx/86hXhndQI+ 7gqKYml86PkBmncRKW1LeHmfXoZdlFrnMb1COmIVRUFncB9Pwgr4U+nECcK6uTY6lRRs6wa4W6fC x5SWjr1r0EScRHmIsclBCWtya5QTcWY8/lckVMZFv9blN9mKomkss9GUscKNQ4J5rAvVZc0OuJf4 FIUSHxQaGptBNQevnXWYiSVwV052gQoUPaY2jugBoVLID16/SQLPVoT3WMxYV013sF40zmntnD7w eASqum1E0t3YA78iniuKp8+1A2ielVonHVacLbW7VfBLceDZiuNphkFZV1m+VxlK3ufCIFQZBpZ9 DDaBCpoGDRXpVS8nkZR8fEYEGaK4B+UZMFiX7ARcJz6lNvD5KfB4xK2/BWq1ybC9l2ccKyQlnst9 oiUm2BmoswY7O3mmw86GzDewiEIINaeGijY2XCpQQQF8Mmc9GRmTVawACrwTme4Q6kK3PHzGP+Gd iwU0DlpDWKXMOFpqfMXgpH6Iv8rfoChW7m0fYqhx269tapQQq2xe9pDfFBl3uVkXaIUlat5QQxqm avlrm508AXalOSlpHTUTNLSRo3Q0h0ClslYrcn69bASiJrs+ywI3W0gB6HXRwQ0hNTwedeuvGjWZ Lkh+6yUqrLBCzZiapgfstbIJrir+GK7HRMc0Xfd/6FEXTVG5UwTzijPHNGFAc+wkyIu4KhxW8qtC T88jojH0sv9iSw2wc2xQYp8zUaNs7vDx9yla+eqZN6UoP2x2aYp2FFTjsBptt5u4XqGYvt3QbQLy YIrdUYVa4nBwSvDBg2rePOJyTGoYUW6HLtxNRiE3IpLN9I6C6sYvNxfFcjloEeHy70LiDz4ekV2+ wYvnYsBh5Bf+fg+qUXKDN1lysaG03YdDMncqnjWkt5qgWJFa6HF2gsZXJ2iDTd6odohyE3RhFzHC CcTWhq3d72XW7F3/hTxLtMTRYJdZWVO0VGjl7veg+lvznf9uphLtlXz/J4g6lzJ/nGBvF1jdzTH5 63wx22Q7jqmJPMvP4HdZRygI+AKB+AmSyIipGwMAxFH4vuZwh2sYnxFMEHlSXf5KHKw2dNlAiIh2 yEi8il603cQbISW0CQoZiYOs1mZCOpsIekkN7XTr09mtSnaI+GA2uuTnzuFiFwfq01VpGdQ2CYlp kH+qwD3PnW4TiHZOO4qp2eE/JzKPaw5WG4JiKr/gidfxeFB+vaLv0/LWr270jgHUiZAPk/gYfbgK CnxYDHbW4fusCYoJiWK5lOGDTdCAXDC0U4mqAk+B7SLqdlfk+3ldVXwG9PiMCBNDzjjTFQ1aQbBB 1xlyMns8HtF+b31aghfQLboF6h0busApqSWJvgML7r4BuXr1bKFPISrHDhEfZpXUqg++hCAJw3YJ 6EAnvUnerz/rAfpqlL21FgeyOXxGEA0xUNx0ZdVgGPzZs5SGTp6Fx/9SGsISwn+Dj7BPUIT4gIwg VD7slK7VbSPboPsZy4t4BVtCoWqeGZAxrp7r4daXDbm2KfkBEH8bW40N4owFPnwsyKsVvdiK3lGB GtEZ1iPPIg7aY5BNI96cfcQdFqj7LX2weJahTHT3WBhPkxYtch2Uhpo73YA26n5JtInQBMWID4rl TcmezZu3QuZ6gA/UDJfH0Jp6Z9gTG9GI118LnXfxGQHiQ1Z2o04fbAVBP1i2v3Xg8ah49uuexx/L zzUWhdNDMsImfw9nl2VsqUbxYkkxJaxObrEY8UHSb6J2Jwev4IO5mnmhnmk4SyaxvjbAwS0JUNF0 CXekauEzosoHIyAotNlgE3RiU/NFXnw8ornUrxUETQ64E/kERcE0znbY94h9CO089/td6pzRyjZX P4MiuMfsquCrmTjqBVXldHKAvtVm7Q0ocnq0Am5L2R5APjwQYotjhwIfk4C8Wu6gLQadIVbgz0k3 8HiUs97yK+8xZH5lXkX8FAXTkIlBo1Ud+sk00hQ+ZjVKx4Zkh9lrp1Dig1iYXlPxQXPUN3CcWznZ gl/Eor7LBPRqAAkPJtWFDVGOCZ8SeW9JwmJL0Zyicko50K3H73J+hck/8JdMLmXzOuSeL0Q4p6hO jR8UEUsivmOsi4o51zRxnbjNpO2kaQpjagLsu6irHBw5hDpa2YTiL8BXqP46AfeExAeKmOhOWCl/ bHvdSJ+SeT1hURqUdkD4iTENSi18Psg7rtOUdCzQaWnPLIVGL7Ca6gRcCPiR8y2jbS+j7e1OXDmF UGqlHEIKc7iZBzw0yLU9GSakLBL9fpXgn2QAANErbF7tbSN2IgMiyuk3Ru/Ee2TYCFN8C1DnxT8Q REa5/7rWkO9enJ6wtO/NHGJhL4WTPXCDCw87wVfao7ujUmiUKP+N7M5cN7rOgKANaQyeTL+TcQd+ YoI/60l+8rjVRhV/+ClBSXZZv2P5oAytgsEm6ssqfP4vNjlOMRAC6nhclVIUYSeW9auJoswTBE9V rVnV0YRtwh3lIpCisvWQnLDInNO5TbQEhOM4Er5CpD+9Wc9awlHV8UNmKQ2yWER6AD8laH3w+E6N UDQOBtbrunXO34DVQgpRIMOjbCN3AGBxa445hZVrdBhX30aiGnAHB98sQ20kGxqNNIyqaQpL1104 NBX5Z306aJ0urWQvwKIoyUsg4aju7MwRAGO52vbXjRB7cuii1nm9jfwBob4ufZ20+fz7NNXfdFfk RG08iymU/8B0dll1bMqiOZFqgOLBf5zkcBdtuSjYnnLdtBJtfxqxBckmfTwxSycLhQQq6i0NPMlz UOx9HRfm8LcNYiU6S+KI8MF2HABuQxmtXjJidP46vUULeJZSKAHSCQgpzRAz2b1ta6H1FREzgw0n nd5RwC3dwb4pgdp3fhQcoOJdzHUBfAY5CCU0PDpE/cdySmeB+4U+JIKEUBAts7K2cje1rwGMgNX4 S+PzQSDw5SnAdYc1/JibpCjoPufDSfGqhdqQ2raC0fnFd3VUCEpudnpHQiBC6qNv5oP3GzeQIaSn o3g00TT9oYkQij3gkK1HWK/72/4L3bjWvoOiynk2n/jzo00+H+y39p25IfFjzOKTFAXe4MrPknex SapEp2GSKhtz0gJhlKRJCqEhDrSuPlxwEaT1Ellnq4PrKZAsCioVk9Oze+KVTPIrPiSyOGHylkSC TdV5eefPD0kxD8aUoQvMY/rGCUGWnB8nodBakbV+BnjiDTGAZSAwhTVigtvcejIH3opghSnDJUKP g2W46OWDGCwY6Cos2aGWi94+yNlAcOpUKol20jkwV8TRq15Rv4OWUkVGxC1Ec8XQXfGxlCYmkmjJ KzecQ3vFRqlPxpyqtFlrJCM28CrA49aeQ3/FzQIaLjAfbJLGueDG2oRhwcix0dcQtan9Q704XBEX yJfsbSOMCPXjgNqzwdJcyI7I0n5UPh8JxnqeK2sdRPxXDjX/02ERQpCe6DJipjiRlJWZ6A56tDE9 CS0Wl+D6nfXIC/ZlRXtADI3FpJPqdWqcIDYHdgngUza4DA5amr1tMEkMJRcCCA5LR3cD9ISAWTjz 8Pm/PIVU/+bV9kxSVNRGIWaCu6WKZOVpIgtBsgWo4DQqJS67/lCw3SQf18T39FhP1AYAKildjRnq XXYoG+sFdZj2VLUHW9g5sFnEdkPBv3UeShyEpelQ/DSycOXz0Xb7rpjQSnleIEQOfRZhyDnW8uba Lt3U0U4Anu1MWhBH8u0Wkhkl4zQYVnKwlbTP+kHzmvEkLN2ryIzg/FdIiFCT+VpVDHvdCAtBtNod LAqoqMqwjgUhBD4fdGlvq5+zRK3G63OfA69FBMukoGUXQe/ZFb57Is6GlbeVti+l2BNGqFmKO3Dw +n9DdRzHGuKlk45UhgutEmJ17jfgAvxQop5cDt0WM6H7Cxm8DVxK+Zwaci8/sdbg85Gq5W+HKgDW H3hoDu0WaVG1hA9FbCcjd+paNpfkAX5luzNuiBnJUkLL3Qcvmkx4d8lNcFdWGTalPxGmA3QBMdCH tFfsdaN6gDLcnHywXu2WHjApNHz+L7FvXnAUMLieFTmwXES3ttHZtFmiK6ErwkZk5slEt1JJJekP /RPKn1kRmTntZ5Yo4yQ3OPgnLNbqMvY6vKnhnflIGFR7239R9BvQqzZYFEBJSN5Z9pJ/gflZM4EX 1JeDcOC6iFCJ+o1ZlZKxCM8jyC8Vd6xC/c0rS4HtYvJqQMnNB58kmLAX2sucbdUnyS3MTaAwtImR mzfNrcNeN7JhYijZxvJBGwcIbxcyyHw+SE5uU1L+J7hbH72QHFovVvoI81sR6kcJM6ZwvREOwL4h RXtZDfiH96KSE9NtfnSLoFsxEDJJsBlm1Fhuhe0nBrTj6bqtZG8bKaUSHAHlDxus5I1QgKlrno3P B8lJ+a4sUSh6XiumHLov9j4pdWkopCGOPkHZ2w27If2bbC2F9otrGEV2+eCVJYCYJnqVlFVBxVtQ tnOpUX2q9svgE5Qth/6Lkw4EwLxrqLrhQOnqMmMqWEqBASPSTI8DGBdReyTfszt0YASrcUqk7Swa ojG8/CaHoSzZEN9woQXjbFZ3Kz54KZcn0O4iGGWAAegEC5faBjvqhu+klbRkSR1aMGbanm35C+7r L3jy6E7o3VlJZfL5iAn6LSBfkBCVy3PMsQfjgllMs+bJ2RasCmCSKr1TUVaSIpFNUhh2D0nMreqD b7e0BhaQtK0hvUvWfkL/Abo0P3u6cHOhZSA/JBIsJAsUvlpGwyI8IqOKIE/DE2Zs/oGgx7RvyYTS LFhHvV8F8Bz4MCI9QQ5XaG++gZvo2/sCqgEk+p0ikOexFBgxepNpwjbQBt9xwM/mTjk1ijyCWw1q x8a3RH7OlpxluoqXYifGQs9lnBMcXGRlDjPz7iXrLf9S4JXaIZTk07PlQpHrijVE9AFtY7J5e+ZC pr1J8Gb3RcmBFyMEFtjPrWQ6cLhI9hOoUiwJ91AXhB11FZyaDAJQ7LaG91z2thFmQiWl1n0wrD/g YAwEzk/L5/8bXT4EAuekeiYpdDnP3HLuA5+yG6KcHZ7dBA3oPZ+kGFfCA4lJnAZvnqCwAMYjI+81 TM+ImuMFOiYVOAfZxEnbMYd2jDxx2kZ9xAaLltqoOpd6bXw+WknrK6QEuaA+yJIcGjKC1jora5jA twlqKOZad1PP8zstE6TJgSOjcyJ2Z1OAw4UnLTQhyVHvAGmsKRvZTJMmgwlJ0kimnjl0ZBR9//z9 4YMXlnqesrFag8//FXfrnAbyZz1hQFTuBqVmTOmsNPL4PdPt8iVg9Q2NEJukEK9NNPIWeY+DTdKJ u6GQyupbh3aqpDUGVWrYS2bRuYulPuxtg0mi11eBkq8Ntt3gZCX/LlTfQlPG8tCzSIzAoZQvDzuH roznqEN+O+rNdMvFmLCSUqT9NH2/hT4yWQAuSYWOZykhfQP9muW3tMBpzsIsn5mAVQjNuS2i3Mve NgJRMEoCdNcGm6UCnT7GP9xvIczkZifS6Tu/bG8PiiK0ZgTqEPJGdnTv9gBN1jJl5wUBIZ+lMO4W c6S05YNdcAjgadZEwCQWAJVFCwIBApMhmcV64VlLtdvbBmtp03pwSmd+umE1RD9aV3G2Zj7/V2lJ NrqUxblRQOjOyIjD9haQMdNNvXvKfkChWO2V3NiekWDapSbc+mrCgU5TF+/aekKaBlcKtsbxdlDi f6z1hNvOoT0joe1nzsmT4KA5grpXF0FiTD7/lwC2jnnW/W5EGfoz4tsPaOf9mAnqNFAgKlp+vdV6 T+7AoDEbK3tn1XPz4wXSqaCgSAnFgNy53freVPKCAdgDLB3d3jaABmh2QKK3wWqUEGMZSjf0kpFU 1i3kqvwGcYqrnpFDk8aemOba3AxCOIwBIKkjIij6LSyFLo0wXTrfXal+XzfNPQc1ypI0h0ZhslMt GoYwiNFgCgcV14vs2v66UT9X2DfEDhqMJnHSGvZqzpQPPh9N0/xK4ehCQnKmzVJMjETTm9UyiYxc ufmzwIZfcNu9PnNo1ahZGpMsCA4edRdgiOmIWJHnNIEIznYeP2ef7B8ktxYq7dzsbYN4cguGo3gy ezzJQigDnfOD6CUjZMBN4dQiHz/UjPZZCqPuga7A3g7D3Q5UAsTB1tKmMIatpRDKTRbAUB+Sg3e9 4Xk+bZYSFM8bi5QwEe6gkggAZ5WlvOx1o4Zulq3M8EGVpdRaUhduoaEbWDYmFjltLVFNA5Dn/VS8 A9NGSSiMKU/LRRN0h+MUauawslRXMb2RHLo22jRVCT7Xh3VDbya61QAniHBMFEn85AON3kxSuWq5 NDzmpwRbLhNn0ogT5KAt10EP0VmDqklg3Phd8mb4CNRmms8sxarYmKXlTk6Ibay01Nm0kZMTK2Ka pQhnooIRIL0+3EQXTq8ikp4zvo5GdfqERnlHwIOqk/cFVre3jfpw7AvoeOKgtWSkS4JxBp//y0hF foYsVN4ULjBvFGEDVudWyx0luZJf6tW11WFN7DsuDryziEnLB99x0BMtCCpQpER+K+1wKL2CKgDH ogeylJa9biQHyRMJhEobrC2AyLAoPel8Pthx8xdkCT8NdZlslsKK9wBwSFhKNHf3s+EaskIU4Nam BpZmKQq8Z1KOTxT8cOQ1qwESmGHFG7ABFn0nxI5/BphYmRIbVqbszV43SuLkYy1t4+baxgMdURl+ 77X4fBBT3iSOPYBMZcD9AOBCF0eIP85EEXvykgncQ7zdCEkvEiEBQ1XI3NDGUfrhKJD4YLEADtZz IVGwbg+QAbmaOp1kaaqGPeghE5V+cuDjiNiZkNwiFdbi19yA15aaTCea4PMB5vTJUIS9hETtAzoN nRyBIgCctTtXYNxsN+3uedy8WJPAyjGrJLBJ57HBmt9Idc6OlBTJOUR2H0I2AfeBKjCNGZXtDjLc c2DlaBlKp1iADYq+z7ZWBe6EwIvPR3ncL2vrJk/XO0lh0RuTNJancRD5tjQuS0qC9jN7eQUudHNc qsCJu8TBm9+tQlGWYhvnsjhTLxIq3w5SQiCzWho3FDEFdo6AIaitW+6g6HshqrO2buHzwR3X/GCy OBsTdQFwoZ8jIJwwnE5WgUvNe9+bksXCmqx9Jym0oGHBZE3OFQePBGY5xyv78LjfEnirTFFw+hAX N+ZlT6oCFxo6yqVnM1GDchRqsawugbNHTvdIZfEPRISBG1fyGKOUxYNbCkwdzwdPyZIoR5mViFoj 4WYLxE9gOB1IEbs6DjnJS9G3PIq+DV0yFBJZXjqrZ2Xdh+gl4bttt38w59QcmDomdntA7FrJB6sI AMrMjxuAUYSujk/FW2pclBJKz6kUK2cnapCZbaE5pS/WIrbhvJad40l/KJok3m6VGlscbC3lfg6p pmruWVYAwlLGDgSZCowpxAhd569te9t/2BnBf/UOmqVzVy6Jk5xrhs9H6uL716l0dnmaz6kUMykh ScIKO52ceZyoezKv2TXajBZUBuaOqOaSm7PnHby4lHCwVpFN0TNBMsY8DkCnkwUtXnCGgFv2tlEJ juBlkLpsUFA5LuN9p8rng2rujbzxHKTUG+8Um6Qw8gZNZnV3BF/p6iYMhi5cSYX3slZSTKfUgdyL D46D3z0nyCcwXCqomzGohFAbraszsblG0KFcWw48HpXSo0JJnCAHzdIcuRhAoOr5v3BLak1hH6Wn BhfaPE5ayy8DdeFUutbywp2QZwQLPpumCGwiucytKqTUJ3UqIUKGsGIiehl257wEIUpHAM0Pqne/ FMlyYPSIG4p2K5vkSg7acGCPC7g00bAMnB6Radyzm849lV593hr4vMRK63+TBOAH9KsMl5uGAwQm vU0JEKDZF4/ul0jp/BkoILNrMsSuHJd4chYOPH5HpXxCTQ3ZNMuUqJSAhEI1BMH22Q75vMRJfFOp 2qVW72Dn9k6NPNd2dvHnJUriC25zftJTag+jDK/OXzQ5G5bghLRA5aZsP43O1xgmsbk7gU9cQcHk iAe3qxpMtT/cpbErCLRZXsUEUuLWXcq/zvrkSrLZEfz9dXbQM2H4qColBzuvAWKQ5h9quK+zg8gh 2+zINwKyH0gSOTsvwREfQofnLJ+htH9mOV/Sh3fVbWWSRf4TZuclNNLSGYyua64+WJCNqTq/bE0S SSqQWkT0ROwceajl1kgmAd0vgRHftAwCsNkq0eBI5SGJSlTQPy9REV9w2ikt6C4M7QthDpycl5BI S4cmvN0qtMQTW9m20flXPiIlG1ziJSDinxnklezGu56Dd5L2Ro+VAdGE57sIux25D2vmqKrY5AhY +hIP8SPkcJCwBKBKQpVgzs7JDiSdd26a/HkJh+yLlq+NRQLfKL50XmIhPoScQaavAron95k7Ufwj KaFEF/6p0caiOB3OGx8cwZ3gq5JofbkmWN0s67KU3Mq5YuFphn1HvA09wKNTp+jyqt0HK65NKiqi 3XFC6pcoSE+bx8q63NIT4i+bnJcYSJtxowYy8zBCSXK9jZZLcc7kglaArFODyZm83NEz8uEunXOn T/kVnqtlLCmXQDry7EAQL+ghx2urJBYfXwIg/Y4ySO/PYGyS8zvK3aLV8nkJf7R0qp866hudX7E0 31gvwY8O8pp4JtutThaxmYfIlYYSiJRWovVDNDt9vp/J58DBzSBPUCAiFqXZILGCpZN/eENb1ipT g2hjVfb6pZXdrlb2CTIBa2d+lcfnJerRmWWlNDt1kP6XYhvt8xLy6EhGOWsKJUr3Ih7JFK4bw3KM uZPD/V4CHh3JZs4j1lbfd+kMfmnodLBuffYcm45I7n7od53JiTF9SKohvcQ7+gEQO4MIWX3Q7Eyy UX4oZZY/L9GOveH6vs6Z6hLQjtl5KTNydhor1n0YBwkFZT91RnLQ0YalqPTGgjNZps1T9BEONjso mZ7IBL1oCOk3KDkiagb94ST6+Hcue7QwUfy8lBg1OySx4X7yQYXYeTYZddLPYsDT77PTpQ+CtUPb b2zsmf1Qfqkuar2ecwdWBs3KZsO3UwOVzfqxfTpX+6W2qA+fEhUVbXQ/oocQIuwJLYnCpuzOgmaj 9QR+LKvxF5tN36KXyqJ+gj3euvp9ZEnpgsaEp6Mra34tngbeCu1fFQtGkTJMSSYqXKoFtbIdjXW+ o0tkk1plPL9ofpYZomUfPFRGxwOOz9xcwPizVUt9DBqN7nW1IyZd49/4a1o+g17fhbEyBy2fAvcF AoiJoImC5TW/w8HMUGvdCYqi5ZRRR6QYnrDGfjKjwGHFRXSBL7g/nCAGO0W8rPLwsk42dSZ4sqC4 W57mY5AKkeCDEBonQmbqYX3eyGtfh3OdvfmgFXT+/mYef6ITPh6cztvPH0wtSXR0NzUgYXR3QaNl ldId9Vgd8TDY3eQOyxKxIQwrmKHdFBUKqtYefNG5UGCPOphQQGWmEarW0aUDo/r87uXmE0rbo5iZ psU0FPdB5/P5BXV74Q7+vPHWLFsb39cXYhFiFDRBUdRcyfCvy4vSgjlygupcPkGLrvFscARHdOed vb8GryMCj9iAK0U6ejbB6EKEUF+4QVKlPPdX0rsGGRex7dRlsUFJBQBRvOshhPd546zpEHomSMii CVWNu4TeI2eRFeZJfo3Y17ZriQJx5afQ3LV4qTWaIWZdhf57GizrOt+6ZTIDUZDuSDI4iYPmbv3K nWuPqaYRnkKy4nkGLaHzrxa5UUPv8I2vpi2afYaYjxBbPKmmwRmKwmdSHvYwY5Bzoc1mx9CuzUxA F0p0dgy9x8847ycSUoAAbbAZSucKrBSCMI3sZuq1CM/IO51PmZWGPG9sNe0SGqL3TSEkDmpr4KIc Uopq9fNGVlNAYwzaJT0/wEY75E9shsIQmoqHpDBB/ODEGi5+AFrhj3kWFcJAUGSNYmjyi1R9aV6E 0TG0W5LROgQizipq3RCzuJnRgsf3NgtHsR6jINoSr5OB+qA1dG79SiuyE9jszxtPTWtofidglTz5 7FH0G01NaU0HOt2JjnDC9SWUVk5eFCNoj5ssiqNdh75XH2yCzuRCCpK5Ng45CIkjyaCw40Z7hIoX pnuwt172fYaGttcUsX9W14QslUpB2GV9ft5IahYrjq+7vqABg5aCzdB7KI0biBUfs7OcEFmwLKwM AztA9ACkMS6hKJZWzplq7T7cDmuvoN9wk3VEpYTuTYL5oFdHKSPr+KiX8R5LK9zDys7JBx3UMwkh jhApf974aQqG9vdNJo/LW+B4Y6fpFGqYljK2TRDFYlgcK90BoLi6nQYSR9MDM2O2ISN5tHgixzwA JmaeeiKY1IskSABlXWA0rYecpkp5FE1D8q1C62L7YNBGSHCbLdj6vFHT/mOPYbVRKZcKSyoeRuE0 CDWwC0kGHyrV22FNtdVJsPU0e8I3YpqtX8qubqWsKpXrmGaNozFahATeiX8wQY1ep8Qep6tSs3B7 f954aVZz4N4qEs0ozk9vte7NpijabZ83Wtp9xa8qkHBOfgi9sdKsAFAuCg25PEl+qiCmW0GcJJSy vPoeTuOmZz4m+svstz8/6Clb6IkMkjvojYRXodDcIQ+Zod51qXtFLxtcZEt84n0Hlcnm7Mbgn21+ 3jhpthh8hpoVEQtRFJqhqACNG+zEosXcMFJplyhLTUHe9FhZNkPv4TQSevmjtuGD1+c3+QdVMwQd TwbeDEXg3Xy+4O3O8x/5IVEwxK689MP31Q8/wWgZpnlY2+eNj3a7H88aQh2QWpI2Q2E8PWBw2cxx b1adR2yBrex8a+IytcmieDrJ4BIOjDbYJoMTBgKXoZwe9w9WGykeHeDxgnKKI2EoKPJGRbOkHhuz F/KJOXhjfhTVPFLm41FWf89pmm0TXDs9XHxjoul4R3a9s8s/U6nDdPrbtrt+0dyOd/0bEe3rrmf+ b4PXok8O1lsTvLqd5ExGhgXtNdB/US59GLLkD70R0WwV8K4nW1SD8vqUKAOQaKf3eeOh6bZd31cZ +lpnp9w2TxRQ45yEfay3MpSJUSAbtSxDoE9HDb+x0GyG2D+VDAQHDxdP0ArPb/YIoVBeyaNtCSUk dHZQk7JqPSAM/IwgGKKf9cSOssEKH+wD8Cqb6/PGQbN17leZsIpAnu/mdcU3DpqWHsUfnG52Dm3X Mmi8lY3JkKdV7N8oaJa6VOFelg9esk87nTDG4IsnPz7RHcvH8LVD/p9Bn7m1IXvZ94MI/Tb6xW8f dFTDwteysrQ/bww0O3S/L7MpbPY9iKKIGoDbCTVD9TRgD3CR565vDHd0P6rfI2pID7JHAVlnG+wg AgYPCE+GQzA41jU70U+EkPsPUAtXaMXeNZggCqzkwXiRtBr5Nc9znTFQ+Okn7fu80c/sOvGsLOtf blhENyCKqtOoeoytohkYVlTR0EEkHXpss14cBPtGPrPSMfNWVJBtsCk6af75PtzwKBKdoKfIfIV4 RRxKiIxUPaOa0+eNe2bFC0liUKCFg45qGC7JxvPM8ueNeqbH13d9kRnrenZZFFKj6AG7EIMqrDRd QqzmW5/O4+6y95AaARFi6YzFaIOXPvbogJRJjO7krIXX3lLtE9Bv3mXSNaTn9xvt7Nlk6OQnHyyk HgiJcPimvD5vrDPL0Ov3bQ/Vbv7wajmHITXyeoMAARx/6R1nUVU3mFt0PGTPOQypJ2dm1jtognZO J3iepABXiIYgP2D7DPkYItWyH+wU7qPPG+fstrcAm2t30ARBl3wLojHy541yZnfJ9ylE1W4ZyXKC QjzHpAOG+TVCONbazpD58FOoAwDGFfRGOLMiHLZYReJjg01Q37SKZ3mxpxNLoIuLOcTvyc5HMVIT 2C9F7xpNUJdC3/JB8WJHaYbYshNffd7oZobr+ZXWI7WhWoImKIqowddC69mMDHKaPkGFTEBuMfiA 2gSFmI7EfB54GRvuMZ0qVEGq7NPgmCpEbGLdEvvs0haBh+O7RkkZXUJau4NnrfyjWEGdr/jXKa1r vdAt5O6xKKKGM9sAb8CaZBLHJLZc+r2FrBfvAr3xzGyPYYYy1poNtoQmLvpZZLV7titQ+ISdLbLN 0cBt9xCiCsYbzeyrC5RJcuww1PqpQnbA9oHHLLKnzxvNTDN8r3rmJzitqLWuGYoCahDlIaiavMmx HDOdBguNAJZTn5Y1/DeOmX4g6GJDszj5YDNEHmeabHJAI2RUYN3Od8KyBHfr1j1ITPu88ctsfpaM eJIPBrlrZIMQ6zM/b/Qyi6Z/pWTgTfFs1fS8R9O4GTLlC7NByntyTdW86VCIBbRRh9P0ROXpxDMo sc2qwbZYhqAD8JusnJ1ktRaZGGL7k8dBNxUV8ClB/8Ys02JfVStn+GC4KSojsVzY6+eNWKaVkH71 WYlOr15afOOV6UMzBB1KnQbbFF9aHpe1OsCDnhrIWd9oZXZF8AxCZmeDtxE3imSNyiDzBEVLqLsK OkvDtZVxuzhjqm+97L9qi01NoHabQOdCOrevKIqT7xjUFsc3yKPhsqCHtGYoCqYhwnPeM3WvDO1r T0g5SqE8KPLJez4sT2+lG0XpBhPuKcP4DF1EMckxX41IkEqDXaxSyBh4Vs+K0ueNUqbqIHH2wCNz wLUkBh3cBKiIftZo/7xRyizU/F5ENN8a3dtkb4wyTVHf1BS3JlDbY3gotLaHQnM4cvONUGb5JFdP 68sH22Wg2U1kmAqmJ1MxcKkQMg4quD0FfMzs541O9lUZghirSHcIxJmRgY+QpM2f+XywzfY3FmbQ 47Leqz6KplHROCFIdbtmeX+TvumOfHmNlIyO8EYl09tnCfGO7YOXhibM8TAVqL9C35HpBkQwf2gO lvO6paFOJNUbk8ziEp7UWRhFCeiqk1iMS3C+9ueNSGbv+N0nw3lY+/ST+o1Gpg/d5AxvycpNuv/I LmRnVoY2clYY6FTM0BuL7CnhL5LPbbh1D9QEhhKyEzoW5f8FjnKsOmZi5rWIRGt5Y5EpOUpEfNRs Q1a34kTpmzCnEyPX+XkjkWmX9vy1hgxP5bvsjUOmOwhXPd0kMojSG75dVOFHRoa69GK7HgRs3GVv FDL9PqyI7UZBEA4+Qw1oDF4a2GUoIVI/FV2uDhFPtHG9kYhg/vPGILPPYDw92HEt48qmnY0sAXTA /j5vBDIrPPgxJJHsAfKiR4tv/DEtISjMTmpwsdNKHStq8bfu0pfnGCLnp/PvvB9DQnoAyerD7UWf 06PDBBF8zbNEifvngfQz0KjIaEi7VjESlc8bfcwgMZRKSSyh7ZSukCOccIWSHuvzRh6z2pkfQ8xv ka7yBtEMvcfTLpfWl6gZ52SfRrBHydXS10VQF2/7N+aY3fYqTSshnU9hqJ25OLGPSOTnOM/0mgGn ZUDvBp/UXFaOy4svG9z2TZHiXj5ohs7ZwKIoMpfyeWOO3WDhiacbofq3/PrGG7MYAaWz3E20oY18 S2djJi+dAZQorHRYoB4sna3UfHDc2Tj/eoZ0LZJWeDvppIJ+YqHhCo2IhrQItt41SlqVl7IuVBw4 moEIWHKoPGvy80Ya0wpM9WsJUYM0L7/J3jhjFiGgGjSsXOb66eIhuMr8AoNdN9kbZcwW8CT+mYCP nB7Ax1kt5Zz4rOCPfgL2QjQ56Ak/rJTlixkiZ+bzRhj7mp9eSfLhoIC6nYUwJHiyx+eNL2Zd9+9w seAK2xfN8EYX01OLoGDzhz9rZl9QcKsGiJl0p9b8xAH1YCaGRrwGm5+BNmtrFG7smCuT/0ZEU8RB cq/mzO7y540rthy5ihueC4iDlYXOxSnHk134eLCA6nfdjJZKlIrWBEXxNDSyECw63GN2Lyw2EiCI C57dccFvPLGnBYRiT/bB62Y4ejuCGDChUTcjWWPDKKpARI1Hrx1BRHa+0cRuTo6tle6gCTp/K7HM coKI9XljidlXdRoUgcGoX7ab0rcwmobGXmnFQGd5e9unVQGnoSbPSIw5a4uR00QKbSGr9vVu2KnD /hNaW6zeLxk1w5rn/HhQOqcBvHJWBFz8jOCep5Z8ljthvu6EOIwa/0uF4FeLgumvLiJjgk1muU9Q GExviOxPUxo6gWJyykaqedoZjW69raCoNK1O9F7CDa11CS0Dzegl7PSJIiYZXlSyQpCGmxelB3ey 1iEdBdOL9Y4hwiEHO4RSa5Jl2ImPR716nyHCZAFZ3JeY0KNgGmUOyAEZsnOslR1739y1AQho22P9 PZjGIQ0jAgbGNvATa8oVqoNTLrsbbp3M6hd+Cio2wz3VYulOZGePYulkUtb5DlpCJ1DYquuUUfF4 hA3OX3sMmCa0E3yCwtp0Yg9xLCsspjq9bEYbT+kxAOzFPdYjuAcSrgbUdPHBa9M9wxGdnCiY7uBE E6mMMqL7Z7eb01NLG58RJBsoGbY9pEQ8ip3S6KUQhHq+9Ek2ehRLpzK+E1aUYPPwWLqHsXRB4Ywy NHT6lpcMm6zb+orna+BO5jXWo9q0pWPiy+0vg0vQ3HbleoGwFHxSGCkimQLUCodIu3ReFqd7FEub DzEUnui319VQzgNKPaSGYzPg+fdjaOzbiGYaB9nNkf0c6iHrsADvkS2anjkP00Q/Z6n/46Y7C/ON HkXTU1YN0vDk4PhpWDR1iDqAo9CgioppTBD67hDxKK3tCzujXkWPomluyN4o5qjBmoijSwSqnHQG j0e0zF8UIJxD41lFEfMQ0TO4AqL04tK/qvF0MZQKg3waOv/OP6uLaMXYcLuse5xllItS1nM+kvBM l1p6ExJY71IVW+8aNaIpUbHY6Kj41QbTjfP2SRrW53/E48EEmc2e0g3Uo9oDGepxNA1CeE4u69Wo rMsJ+lasKqbA0EP6oQpC/89dB68O+UQR7M6hdBYMVhlcHE9Acu56hG1+DmFj4zOCux7tr5OtPIPy sXN2DgZDYPLh8WCT3QK+xIgawozLzozq0yffxukzZRg7KG4se9Qx6CJLe4ZE6Gvn3/lHB2hNrgsN vseAhqlQTThZKyEa9GhiCXugDXyC6KvBNOlo2aP6dKVcZSLJXoOqHhlBadMMTTweoc7mVzTE0Go/ S+g9nkZOj8JZB5aG5kOQreIMNbJ0Mgtng0wLntRRPC3S7m7Ggb7eeitDcrAOyVNAYYDRyKKBeEe/ gHJB0vamUiA+I7rKxANiq4yDAEN9JWWs9QRweDxI6dt3wlHpFza9xdEj9HRnxgpgpIwsKa7EstBq jIAaHTiWH0IR1ENNxFSHQNTSseMEndPsBBSqLJ7/1xrpmaCd8s9azyEETiI+I0J28pQGPsQG7bHz V0y945zdeDxYQTN9TVCGB3I9p6LPUBhQN5SFGI5SghHEWyuc5WFIalhyFJlY9qg6vXg97UbbWA5e WQQCrQMgz8seUPnGstDmEqrQlqreZ+0U0O0R2ENtspS9SO2t+pTV/AQ2oeHxiAbtm4zYoJzopnPZ rCMKqXFJAiA8L0vhKsOma6lH6h1D6hHVp1l9RS9w+WARY90LdHWxyc7tzugTrejCMCITd3G9dLLe NagtUk4ozd18sD7ZueulwLByxuNBSD3uKprCYtG8yjFVIwqqQWGdtZi6AAi/t5fYt11mmz4TmqK4 QM0jSOr4HPwyQycSKlJy+USOIEk9kKSQLPDMtLSMFjEjZCRSwaMMomA5GPz1RDXFfHT4eFCg3t8Q apqGQzzCJiiEUJvDp/E4Ctk4xtlsXn5F79jY9FGBOqsotJjZnwHvixgxtbP0z1XG2tDsKG+JyYBy VEGKRssbu+0Rm+EzAmxnY5M1iVafqh0pOPA74dT9/AeP/9XiEKZq0jzBJyiKqNlIBH7Ximd07tEm kxYsdTyowccVFEXUi41EuLRw4DE6WDwr+wREZP6dACKfhJ7i8Rv7i92zfkm/eGrgI/4F90jwvrJB aWuDpqrIPbPh8egYql9pK4Ht+XLJRhRPd9mgV+lSnN2cHBycezJh+LPDiyGGRhRPg4GLZcH54XCL iwh382ryqoKwBCW9cPNW9lNQkPAeEG3QR1SezrzEKjyhMCCE2yp9tDQ4RScwyng+WELrO7MvgHmN W58eUUQNJyT4GJoWPFoQTlOY3pYGyNAS1xFF1DiIUTSk4zAHLw6lAdx9pxbM7gBYcYoGsk90eNlG tAI1efUjxE9vIT5q8kFX2VmmWSyFEzXg8QgS8x0OiSt1ITEjhE8PlBenmVTNQnyuSXp0KxMhMTc9 sxHBp2H/9I4666CQ0aQTRbpJGywoCcG9Ej8HJUqtSUZg5/i3qMcgZF+Dd6KbSh/QAsXjQTj0C/mK SlFZt0k2YsAH+qzJzN8GQc3eq/cqyCKmSBMUBdTo+ZPL3TWQbMP661n/eclbEJ1oasiyv5RxCjS6 IV9rwfPn8RlRMMSAerNAzcEK1IWyfTh518bjf7I4uNpwS1zpgREG1IvOHXndCbotjlq8xUGivCYo DKglPFlr9cFJHGdZnIW5WPdIVDiT0hvOXFguZGJjdE5vLaEwou5UTxxmubSL48tPqNW4q0+ctfB8 kNfX75C6sUt20QwjjKghLc7QjYUPAbhcftLQDPCvNLnuEZaotXWK+Hbl8u3OnJ9lyqUJ9ZNEt0pq w9AtrxFW1b2Iv6WcEzISVWDc6w5G42iliLI5S8HjUeXjO22FiiO8xWyGZliiRrDY0laLHjZJ1dJW yCFa8WzC7IdZ2Yy18LLICdsH73LsBkJIYyyExGtT6WLAFbiiOU8dJcOdMeWYIdyDGJaKeMsGxdMw ZmGJGcV8PB5MUPuunS2UkIDTsgmKommKcy7gT1n5wD7wykdjOQRpayEwE3f9DBmJW3APiQW2RwFl wngXdoQMFs95xE7RqhL4GlmC71aArfauwSabUkCRs3Bxuh2sP3qxCSp4/C9VMyOToeBysYszCqdB oJm00VR1sd/iWa08vWmt2bZtshkyEkW0G8xJOXjamnIt6DThHAI3pZDWWaWeB9SP7FfEaqX4wAxr 1FPn0Bo+2CZDGUJcqVXxeDRFtxmN5wiiHNMz+xkF1CzPjFadK0WlSwaMyJCcxUHsASWq4hK1ih7r Dh4wnjMJaGB6LXYCZOrdZewGr34r1OhX4TMivAez1lWKD6qegZrFpscJOzMejxAxPkPi1qELTlSm ZigKqbG3T5TSFFLDfixZo+PcrZbXgxBv8M4ZhdRDfjiTxHkODvgAHKPjTCMA9vziSbKTaEecufxB f9dzDhQw8BmRgIWsuvbwwVBVoxJL89NOyI7Hg15i+wZ3igd5aRwzLlHjKqO4ARU+ugfUlFl16GLb hhmaEeDDbvvUpw9eXzw5ZilJ4M4TeJ5V2oUQPuf1ydawgG+8SPjrjALqKpnpve/gE7QSm5dn5w08 HpXP5lfGgYZKhQCeTVCE+AD8k6AMHUOjDxf4kN61uPX0Y+dNFpWoSRADdnr5YBOEtkmrk0nrgk/U pvHCBKq/dInBPSLKRe8aHdRJm2v6YCD8s1WKrvrV8Ph/A1xUXeiWPWZIRyRHoScvnV3FgfOxw7PW WZ0INEOhvCpxGIk09Pmgzs6+OZn8kMBHQcOYGsvoMVX0nGhm4M5TW+8aYIZUXTxHmg/KOCDvQdoI +IGfGSI+UvrKOPCbsMZpExQhPgBlAGTINSiHJ6pNdsUCNFQnI84ooAaJEsBpIT7aRXxMoPvOJYtg CpCYk36b3Sl+QZRAKNFrFWoqVc0onm7yeqU7nAYDnc3JNtb50r1/ZlShzvObxkEGB2Jym6CQjNhx BpFgwgmq13ayz7WsG73rPYNifQ/kYgXhig22gias3EaXNWcrWDQ8xwuEYGhWAoSLLvpBt/cZquUx Fhp530ETtM7dSMAGKqR4PAgW5/cKIipiDd9iK4qmEzHwdVsfcfNfl/M0I1TM1dxsUWAFrQjwgQQX HVbRONJD4zhB3a6lbynEQE+QjVZYu2AF7R9mZN/i2ysEfHBvgd/og86gkkzso7Va8XhARrwCKKzf Ux+r+wpaUTTdUc0b0+g/J1asTlHIKfsZNCCiKTXKEPBBocUtxBSHWxZCsrIp1jkXpItYWURshIVT 4Otya9NY6PiMqHBGMTiAeGzQBJ07Rrqm52areDxS8trfST3CAcj62QSF+tJVwXR1wMdsF/Bh+mfn vSHfqAkKAR9q1VOkb0ua+qcxUkQrAgIfjINAkqWzAsrU8MU+GzzfnB41qobPiOpmorfUO2iC0lmh w2Lpgcf/Sjck4oAmWblypiEXsVQK3Cfrbty7vY3hZgmwGDWB+xVipxUAjVF88DiowPcJnquA5dFZ VNYTiXAqNKhvYXESdLbi4rT1D5cPmiAY3qnPehYJHo9gees72SiU63sEX6NQGsqguIml1T6WhHM2 BReLXfmrQJ2Mp/SKuIhFqSo4fjb4NZagKEgBBITSsw9eY8BF/jS4WHZ6qExJ6Ey967+gr3Wl7oO1 yGblBYiAM+PxoEWWvsWUCX2tF9m5olAabKNzgCwrnJGWaVWha6TMm8gmKNb2EDNBYkxzX8FFdBG7 DObpBpQKT+lM9zhUwigS5uaShJ2tCO5BPMzZ7/kOmiG6nnGGTiSKx/8bTNVkB/zKMqwolgYbfSxa E7B6T3UN1V5p+MHaq0RCuMniWFotRM6QoeHVQuwVqLVEjks/x11l3ewEWhlUt/2DINOqQlD25GdE qgN0JIOKJodmZXgIx5PdisYwHo90qr4Li4Vqs+tOUBhLl0Ga1DaaVGLBFeZtC3Andu33QplSSyiK pUeyYkf3wdPVc2GVc+nIQqKc+IWKlHTPbrQK2o6eLkTt4zP+qZ+z+x20gk7YX6X6mhpf8X2PrUto pXQgi+Tp6lStED7doP9cmuerQp9J2cOF78+1PKw6vcJgOklxmhyXuS7HZYAjXqkhiKoQ7Fw5QwmC wR1xItVw1WUtaTW9bISfZuGssYzPQYlVRpgpxNCqeDySLf/Ox6jeCJyfzVBYnEb9ftPhgl1WOW8z H9um93EC8+5QhhVG07zJVmKfnoOvoQQf7krfrwTT6EXQWUIRayTUzdDN82BoDL1s1KgnXxOJlQ1K 6QsE+cRRyHw8uOvvMUQY59nzJ1u+VLIdoj1wDvXs6idjNK+bDYJylLKieiN17qg6PbKqrrrs273s Ue/uhTkxUlYIQ7HSTzOmRg/WcqMhOUrvKJ5GLlcpvOuD1tBII1UpeQ0+HtFcfjXJEs+iq17+Hk/j KcRArTbPyJw+BuyrxdO7z+Xy5aG4x77C3L/1uU+QttAG4lWGG7qySksLcpQcf4DX95uM2vc7BFAT DpMnFHI02E2GSgE/Dsq4+z2exkHgE8R7neWEC8vbIYD6/H8m5NQsXGRnQpXX6Q6JkBoypbMdx9NG ZG0+3E12jqAlw7/Z2llpkshnrA+gHS4597JDtoPPCCaIIlWDMGoNxlGAFyW/IIKhHXIR8y/U2aC2 h2dkO4qnUXUf08ktaKVY4fUcYdnVTxJuV01QiPWgOlWqKpvVp2yW4EOKOgjj6ZO9JnpId3Q8KgwR KPtvDaA59K6R+gnrZWPeQVWhk7DK7AxlXjz+l3JwspQVVk0+QSF4+qSBJ8A1h5aBQ8hIHIW+1bJt WXcFheBp3fEzFx9sgsDhOHuDwgMLMd5k2WywGFQy5/+eQazd71Dcw3qHaNBqsLpiykNMsJPU4/EI ybC/gsVCbv69x3YoldcKLZEk8I5g0T0CCmt10s+h4SgKrzuEenQWPZo8kdrjiVQAql8scUDyFQCh pUY9CkI4Tuaj+orH8BlBLERFhjSEzBsu8A7R766qUE0Lj0c6cOk7I0PRleIZNkMR1gOvfn7UbkJw lT5ekmAisYuFRVj02hIKpfJ4f287ix7Q4qJGc8mUPwEPehTyPUD/wqkKfsTtQrOdgs/4F1uzUdRU g+2xc783iXi1gseDqscvtib0bmvu3kLcUThdKe4h4mol9MyoZDAHswlCSGxloR2WpqU8Jfny/MiX n3evsGYoTOob2C2MCM4ngrF9/h7sygw8TRUffEYggU+YUB3pDlpC/aR9Un09n4XHIxGv3xJMYMXm qwO349p0Jy/BzKNmNZMtQPK4mkgl682YUjsGe7B4v2vywTfZiRXR7dh0UVgQ1mdYiRpQgUFeTpdr B79OfsY/JPBRdVo+2CZLw5L6tPh4xHH5TlkXvJjXLZztKJoG6RE5gRm5EEporrW1XddaENM0QRHU Y4rcAs8IG7wLjapEK8JTFaR87I8ByI7QbgI8cSMhdjd2qOzR6f8zSQLioD3Wzx+jzMHJHgYej5bQ 1XcnmGnRquTKl6comm5QYTqfnSxpbbW5tkcaBvs4m6wZAt+aKK8ZB2XJE4MhDpaTLRpILKoM7TPv k+Crsy6ZceBooHuoIYaow4RPCSibRdhgpa+oMWUD2JG2icz+JNj8AxG48/sswg7v6RKjcwpJieTc kZZPycXamiE+GqeE9xmdcGyaYgtETlMV56U+yT26SKBKS0AY1hko08KSIEHcg05/+5bxx7bXjdSY ND+t+6C8Y5+pJmyonayJz0eJxwWfsUgMhmx9XCJT6OsCPsdQ4QOwj3araJOlMOHzSHmi5UQKYdS0 01qD2qUcbJqgwQEFGlpLnVutlSpu4oJ30jkSqCvtYubV3jZCNaiK33gmoXgiIGCHkiyPbZin8w8E sVH2TSejHGS8O99ZisJr/MgzpWU6wnsvb3iUvD3J5+K2WQpNEauYU+kOT4a2z16DGiTKUJOaSg0u OLBiBV9w3GIjinb2uhGQEfi8XWSqXZzV0c8kKWIt5wLh8xEI7VsWHxNbSXC3WYpi7HPxgKhQVHyd xLmrnDa26XhjSxR3Vkihw4tFSDP5cNcSPIYUQ4IhDPNLrKWWBsiJxDI2rxahha3X/Zf7REYTygYr F/VUVJPdMFdIIQIkf0P1MsBxY6xnmsLCdYO24CbHDAs2kVhWyLoZjkVDcv5TNU1h5Zrp/jarjv5F uZ/nvC1smJ8YQJAHTBPglxSCJ0vUszWGAfiUiGamvgeV9NpV0htQp5H6UE+bz79PU71qlUJhoxHR 23OAx1YvnVWjwjV0Yo5lFdkKmQv941ygNxSZlkXx9qRHJGB3Ptg0pQTlS7HcF9T+KUy46FwLfbGf va9oLhMjfsg/mkSNXEgbrDw7xxA4+tyffP6vCj8jbnECnrUU6n9A0/NcEN3gMq04ao/gbMFlxi3x 5xQLgLAyO4la5HC7IOeYreC3/B9j35XlSmwk+8+19NGBN7P/hQ0iIhPFHlX2nXkfuNJTkdUgTJow BW90jhPmtRlsElSVcGd4wERJNn5JkJXsrCZs0WBKX7CWL7y2zv9P4/ORoN6dJC08VIZbu7MUhd3w 3pmgJSpzO9vBtWFBOLDMjYUv87+LVECKyiPJGvv5KsZVUIyXWIvw4WyyWBxkD9Fw/LHTNjfkFCpU C1bU6NzBwYgMdS4pTI82+Xyw4S4yDScQ8vj+yA7KQ+99m2Bq2EtgEbKbSCMmaXl6i2qAT1JYySY0 GOIDPjiXATD9Se7bOVohl8z+fytMMeAAld0Dr1AWm18SFZFQAqiNHpwctN8KrRywOAajyn87v/A+ BEcgP95BoZMiWmgngTPRhtEp089AAOQMT3EpEs5JCqwUwQgjZZHqaBocn3bmo0P5ThlcKrLghtsJ t+MPuHg6lApTeX7JX8p60E73QSvpJPSVlbJKL8XQTHGm7xuu0Q2232gpdFOEJcqYlOdXrS2bOgFa VS5KtMCrtEmK8CEo6LICMH2wQkApELSnLOyZeGg9Z1H2cQngdqh1PCC+ZG8b9EQKDyUijTQYQCSB FcmTe1Q+H0kNXw6sICK4WS8CIseOihkFt763FdzKMKPJlugKylQXOp1mGBhaKi4Jyoh/lr/4Z5WC VkhMwTiHAHJVcM53ndbjMixoafa2UeeINHyZtCcKSnEpnWSHGoE/kF/j81GD9nspFVLP5jNJkcYe nC8gVK2y7YTTnB1Kkx7WlG8aTKU1SWHgLQWwkosPvpRO7tB6EonxLCXSF1DaRdxoamBX4Io97Bz4 KmK/UdAbCCobhFYDy1AR5cqVz/+rZiKXSiJIy52kULaaLidM4JmdEGjJ/TZZ41Gq29xdIAfOihAb VfV/VB8c0Yc5pisyKpPntJT/QqeaAwQKKcd8PSqGvW6EheCZvdFpwzC1lOo6mcPSUoI9Z2iuWC7q UYpPWEXzOZUi9WqI/cLM3j2CKbSo2ptO8SIvmLuUwrDbILPyf+v1q347ygkBypLHwMmgScYamZfU ufwhjOqnEsXkcmivyDzmzDP1QfZFZZ38aUoPGnRtPh8B+L/1CFG8zU+nNgf+iiJVD6l0EnXUmyEi zh9EtgOoVnWs7Ua4MWaEoGGU1W3weBK6XdAaoMZmBxYGh9I5OQBQBz4kEV9shL1ir/uXVtFGg8IG pXDQxm4KEcvm88HZ/fDR2POnfEF/jqVYJmSjBjdMrKhRgFpiRcUlCjepVzZNkSmMyRQtdWzX07Gt 57rLqajUDSDSZJZXQYw96fSJ6dqXgEG1t/3jhuu9yaSqOYi2nZ+BxH58jp7/l5QKiyZwZ6lP1B0Y LZ6omxuuGmJkwCPaMH5pNycPN7LuNElhtZtJPqRRffBJmpAIEVR9Z5D0LDcZIKRtMpbmzXPrsNcN ygHUwKiI2G1QRNk3vQ7ZQMt8PnKG+XXD4Wp91EJy7LZIdblqyiDwZ+iWwXXpWmPHJQgNqWgS2y0u JSXCq6ebwa1MhexJ7h4atl2ctIb2TIP8Hwnz1nhbyd42QvSzsgTRKBu0lEbJmej1dg4uPh/UTJ5i LhkkIMWxNKhZCi0XsdoHuSwy6JYEBlE2zTIWmGpnz3NDz0Vjojfj8e2nZnLOtXNJiqyPzoAs3lsh pA2BU76C1sKy5dB0EZPKzm3TUHXDnaMbWxo3XMFSClwXv2X4eOWjI9GekndouwjK87lyDMSGnVes /jZpGcLOQGddX5MU47IlryeYRHtgEmhYdemtAs+WRiVDC3waSMef/zn+Ji2lJQ/q0Hcxs6CUBGhL F9A2YK/GMOCkEZPP/4tLLBV1tNmvdnwOnRehZoGwO9sNt5IXKZtwtdhvIJn5JIVh9yJgVOwYDhcV 2dCVIVQCKKUqEayMxQYY0blrkKEI9ZdrsteN2LJigQ4OIECwfpXhiFVYsgR9gB/wr8C7q+Sdgfi5 51Lgv4grLtMwT0cQ3KWmNwbwp6n8tns2k9McGDCaePOCFJ8PjtpaMIIoJKafCAd2FuyfDMb8aBQB eOqpruKl2IFRcoV4Xw5FWw6l80q/jl6y3jLCIP8q5mKfl8eOOrRg5CytUs1TZ5VumICydHoj1SWf T0Fl4MEIAA+zN1IhNPjpDVDKrNW0L0dHGg4BCJRRkI1zIVnP+75tMElZlaWyfTCo/xxFhLWT//D5 CMruyBIuG0QCheAE+94QWzKR6u7WLNVV0ktsSTbASRY9yGbpT3AJkKfTB99z6UwF9QpRf0uLXQZA J8idR1MNpVwZxEnbMYdGjNxL59Mo5MzB+rqAlHIPnbSHzwelpe2hN6EkYBeAEn5nKYq9ISYLxScB k2bPw0kjlWRfogDbVeLNgRejdSzP7IjF/0D+F8zMcfuzIDAgMc4CHI3vCryWCBaSopHsPHPoxSiP wVFoSjCKmxI0eBsQFAgtTz7/L4cdndTzhzGXT1JU8MbBDUVqd/TcLgOO0/HBmKw7SXHBm7NDg3MN XjUp56/pm/LJAwFTmkLmgqQGpe3cHZBMr1i9bYQyITGiiUn7eOnts6HVbGQBLvRjLDfyxm+4cSrl K7ufQz9G4Azh1bo91TXlWUxS8tJSku5+1wdFDDap9M3mg01SO7HNyFkMtrPGIOHNjiYScXTCED15 TLn9bYMrbgvntrsPNkkI5FWd5X4LkSbzu96doTXT25WEyKEpI0JkNHUNErhX84LAZv9DWBOBSrs+ KCoIkEG8iUue+8ElJ7hDdBD6CJo8UWSVBwhOWLDPKCA71WCq3d42WEqyKyWCW4PObmB7BOmHOHgO fRmfBhMz3UFRnDtJoTHjPC+P7MEUoNr1GO6pm35POSupelcgdmZcqi1RBJuDx5SQX1lbSwmqqpOH PPIU1lF+Hls9Ybdz6MyY2RTo9LrUYJAlWAxbCXvy+SjuvnRRBqDSo7hVk9CcERH+WMMPpS2dx06W xMVOngh++CRFgTdcn4C1ksrR6tcsJc9yDtwi468JE7zGxYaKHU246ZTnpOxubxugA+hfSS1NG7Tf OrTcLOHQS0b40lt/4zkPJPS6tOMcOzRWHNiNxh+dUBPXoxvl8o4z3NsVeYcWjSD7oKhEvUIOBlwa EOCam+kJKFkT9BVElKlR6Q3X077oLvW9Q5NGuiNhiocPyk9g/kU1/jPlg8//C4ZLLBi08GCX5bMU MiNhFTvqTH7BrWRraVNA0kRGbvMktmmUq3dXuOjE10KiH6KCzo7uOTxw+yE/gVNOH+eEQnprsRIl TvglEf2YmW4joYSDFeDalr5Sq1kvGe2477MbeNfyhTQJjBpl6j7b7VW20l1QfY3uhIkFwoYtpRDO TfTfMlG1+oisQiK8T5i7ohxw7muIg59JQsWbrvPCwFlpKfvrRuCuV33Mc4yPImLSQkc3sGv8prEz oISO236aJ4Ffo1yRYPNpqe6U6uMmDHhbXRcCsp7ChYaNne5WK7OcvfKjLTaBt0mFwvzQjMgsBEBd CbrzYAaTUq5iLnmh/JaIviWwcr+DNtyJxdISdARVk8CzUff5V22J0L6HaJtD10aohYI7oSsOsj4G nKgwnXYIHIqQNk0R0ASgO/Jupg+e6WKFDqnWAK5bE3NitnM7rY9RB7PGwOr2tn+o+LU9haGYLlJ3 Nq6x/4GI5/P/IrTrOZYqb/ckMG5UpRyiUDZJQ/JQk5T/ZbcdDJq9thQ7N2otNRIoODzYrgJaG5mA +9x1Kvsm0LoGUPdnJVyrGSKP+C3BjkvikQovWJsLRJ1DfQkekFfn8/+KKdmJw09DpVybpRjfDeuC YprYaIEbabtVbD6ivHD2Jl9KYeC9pFGnkneZX0CTs35aFxxn4WegCPvZa5glnHMU2LA6ZW/2uhFO UCJRklhfj8Q6CzXM8Nfi80FMef1U1Jwa3KNPJBBF3khCoFu9KDmPqmgyBBwwfWduqEAy3Vsuhw6O xMGvsSSyxhKVIgEEA4su8aWdFVRha/CD3Hmh/oBrGGVjD5go85MDC0eLBM65TTUbDlpMCdUBEiFP LMHng0hgfAtDUj4nXWHIHJo4Qh8EbUrP4orLPoMsUG4WN02/NwcujueDaAFa2GHTYL3vcw7B17Sx HnByign3RMgl4YhDzaG0m+oOEtxz4OKo/ILuhHdQ7J3pbPJDQczF5/9l+aCGUiPc5XYGQiNHUIXG 2GlZBW66wROyCnfnmfsiBEInR4TNaCY9g205oClbo0FHpUKeqf8l/DcZGExcXMrihuKlwMpR+xrH thhe05cSvqTrT6yt8Pngjrswb7v0oTF6T+/QzBHturOKsxfgmqu0nMu7Z8earOxlysDN0QiUO0G2 zIbbGSgltUYJzZNrQamwMjofFIwCT2ZeAqUKcKGbI25ugEyKAHCImgprS0iCiIIYqSx+QGRtcBuW hM5TyOLJUAJHR1QiBlVJnGYqZiDDyuTqbHv01HzHxbIkKi6N4oMf36nABpz8nAFI1kq8BwEsLKDV bC/mmmtqDhwdjSXYIdjugxcEUGjA1w3AKEJLRzsv7PBGOar2K2CXA09HeFxXiiCa+e7SqbSUnxin 8uTp21vfsanjvkLGNjiv4sz1WVtLbO4EO15JaWJXoK+K2q5r/LVtb/tX5N0G2cocNEkFGjCMvM+h x+ejlfQ9SYQJpgdrEvo6Yn+Nzv34H6KY2SCgNon0fRktISNVHBAYO2IhcRfNPX2wC25vSLeABcSm 7i6DnThYJBFF+4NayUXA+dsGRCaZ0Ow+fLCQcvcih4OdKp9/P7rXLS2xtj0A/ShPvzJwd8wUhTi3 9LRZgrSBxZSzXzHEsi6KIrB3zKotnQNZvljp8cU6mUg6R1xl4H1iujLIQ0tI+5BXMP+5FB1qteXA 4FE2Zzi0RT6Zbj7X6QwpoGDV8xFuyXvf4qwUnGPpdk9Cj0e2dVu1QvdJJZrlJ9BfdFf5Re8WTVME NmlCs7ETp8FvuAZx2WJuGRvddcZV5MLBabE/6GXpkeXA5VEudzyP7qAd1yA/IGss9CsDm8eTaJRv oXp6AqfL0Pm8xkpZfD+cp91wueX6yq+WLSCYIOfq5H6NlDL0j3lgS5k1fymzAvMEaSP2dCHg21zQ 5PwdRDMmh+Nkqpt8XuOkLDAN6CY06uOg+QF7jJiNk0Xi6ZfZOS+4bQ1tsehILu9UruU5GM3OOUDJ BhVcCRUsgytNgvUoDz1Q69EKCmanU+kv01dXg28zKNR3+mLg9ty4Q39YikGSitALlAqfHsHfX6fn hJFdPgeSkko3jCwQYuKfXFDCfZ0eADCnTY+8+MCgF6cS0/MaHeXMvwvWuzY9me7InJ6cu9dJdnYS xWtshMVjvoVp+GBhNpouELehTFJZZz+bAxsg9BuiHOUWSSYh3a+RUUY/aFBUgnnbuBglLCQ2wn5A iPq8hkWYW2tMbiW1kA6Tlzwm5zUmwtqBWuQcBk6GpYU7zKID4DtLOmQJH/M2OZm6rmhG5uyDd5JA xl30EYdQG7qzIloi7cCGQ33EJkfI0teAKCOgKWqNqFECqAChEieemoOzA5vEz2s8lB9R6M2GJCl8 o/jSeY2GshRxxjmRLfugHdb1BnU+wEhOeX+NhbLJI+1Eb10NtrP2ycJaQ8IBjikc0SGtdfYY79h9 4kUgGqbS2EYH8OjcMSSpRCWWi0qMARoXeyP9xNSvcRCeLvNr6bDQhRNPk/MaBWWotsBxt6TkjBI3 TgVe0hvaKTXT3HiNgTLQVKZUm3y4tRCg86h42iAuPhDHAY1kPV0iG+zeKjxKPq8h0HnVVbloJDea LwmgQ3JD4PRWy+c1AMIqUB0Hxw4n8tyvlMrn7LxGPzjKKT7WZ7Vkgx7Isg7ZzWr+W5x5Gj9Es9O6 TuPpg8/OBn2efI26BoBbTFs7O0cwJcN572mrPA2ijUVkZJ/EIHGw0mEtTcYhK4/Pa9iDM0v6qH7q oAAAsIkm5zXmwZEMHYBZDcg+Jl015YqxlkFs5LkrEd/oSO6GZN8+3KWzalEGz3kycGkFSLRDnDKT FWP6kFREeg148ANMMpEqTx8OAkKcM2eyuH9C6vx5DXf4hsVmh1kY5BTK9qXzWmbENbfh7MRP55lM OxRVhpIVQmCqsg3w/1pk5JFAfu1Id7DZKfWkBKOAE9ESur/gcIKMXKABB/hIQbpoCLZGUbZodlD/ b6Nj7WhQ7WydNa4E7HzW57XAmNXDes5kIu7RkNbsvFYXsVwLxFeLi2ygguzHTnWICLzlXIYkinZY VNxTFo7zsXAswFlt/HCQGltpCOsOUIS1hshPN2w2TYteK4v4Bba6+VSs42CZ1xxNZiEVamPhjZW/ 1g7UZFulEpLY4cH0AEE+YaipWlBrjqA5OY2zs/aGV4nx/KL5WbdWaMPNJmD3hUsFSRe4bUTSVpT2 B8A0e131iEnL+HcC291bnFQbtHoQijOzaMTPRNHymt9HT55XYUPci2CC0EcaJEkJawz7WdPop2Kw +Nm4+Q23/ne4LJ/d/OWzy7NYTsQNEP8NMshZQBLxQo8foqPWgeUF8Xlnr3Gxs8ABrL0NlpQ23IeY oVz5eHA4S0GF+QTWG5WGiQTTHL2HzCBnUyyiZNtjasV2BlvFKUcZOtEGwwrmCJhAxIMWHT4g2olS BvNQxMwboFPVE3EXAQYLIqpnFErco6C5i7rGHrUGHdBrUr2M5xMQtFHoM32XsboBJ5lGmWXNUBQ3 84xey5Vs1nS5zDrrxYRUWfawxxEc0p0xYS5KScuTkiZAuXuTPO1ZOmQ1k7sNJZuGzmJ5brCkdw2S LnZe6T5gg+Wkq3QpPZ4f5PNOW8M5NHwR4UHEvY2UHU3Qe+iM8xVljWHGKVAotCVEmWI7h9Zw0cN3 0loW2K2doIZ0LA6Wdp2w++wpiOCCSXtyMCIigJ1Boaonkztv2mWqavx5DrVCIhcHLaEEB2hp+u3x eWesYZPW70s+E108152hKH7G3KPhYIWfRO62DqI9XXx1gI6mg+g9gHYUXynjDraENqQOF2Vg4eqE UpwJbxQI9bNIeSut2d71fYakNDZJ5dagxgZkFat6ZK1+3ulq+RH10xLKgI0C0OszFMbQ1NOiAjzV a5I7xQOSapMF5Wxv3UdBtI7qUmh/VRzMyt5Pg6MjHb/RzIB/M+E0SDIrihpAUZmDo3iPURRNsm1n umODA2Yhccw4Me/PO1XNstuvMJpM+exh9DtRLYsg5yVWRIql+hICntmzd6BftcmiQNqSryndMR2b TFChbzhOaMgJWkBGky/TqYqF0z1T88KUD7a97PsMqSbWUt4+aIbK+Q+swJfzuZ93mhrf0deQ7HeA sWjl1sbeg2mz/IYRqxCOkPCzPKzKBhSqNScidpRMFE2Pqi5rvoOdQ6U0kq6ztEUnjUbh0YfGXUMY gYPamj7N3vV9hqawMWUXH3RQT4SM3GUdkjVROL2kSeglDnpc3hLHO0ONN9AmlGH4BBVjgpy7x30N zlHSzRnsnaDGA5+Fscq7noMf1OcUqoW8S1jypCXi0RzENJ4LYK6HoDan3jWaIMzMktHuSiarcDIC BKFmZrA+7/Q0HtTp66CmMC1VllQ+jCJqQMNcy49kvrn9FKKOszyLaIuNCXrnpnEBs6s6Vab/yjgm ajubasLEd+bc5HFJiRDUyJJrQpxDfOhdg3NaWhBLULR1uaBn2oUnR/f2885My8LLfV31FPsat74a RdSZTNDUrdEzCaBhDRF+GD/uWQRsAQus7xE1LkQq+VRzkx1P9xkIgCpiyt5nqbJwCEWuc4+ATJNR tvRKEEH777w0FujZom8i77VhnGJ6MfCcPZt4ft5paVniF3YK/aiMCKaTz1BUgp40DGnTOs9pVKt3 tJw8tEbwa/Cqd1JaFg0KgoftDl5ILCf77iRuA0a3YWDEpBUFD9Sb8rgNev6TXxJEQywEnejnDlpD 7QTpFKGCtN/nnZHGCe5fN1kBL6AXj6ff+WiIpxc1sg1+DkJU9i4Yua48pruCIWyyKJ4GMR5iIqX4 YKfQSdCg466sDLiIzcyfxP2OgKYMMq36IyryzkZjXk+/ItWExq0JVQi7T6mLZj4eJfbfhXpqAkIo 3WYoCqhRzxs0ghEKvWQXqVs7O766oZTOu/6dipYNXr3TYB+Dg9/1WIHA7hOhN88240ZsIJJhdf1k 2eCthz/0TkVjVtVVLruDYarOPUJmDNz0Pu9MtKyeqFVcmeQO+qTebRZF1JgcVH6WhUPTV04twlmb WL9vs6gkbfgOyUFxsJP6nFALTvHcZmjP56RwCEkRSrUo2lm9HjAGfkcQDWVCGLP8ZB10C5vaNsyX Z67POwstSzvna5fhcgXr3iboPaC2Piq6nR4vOgC2STdYWesaVhx6p6Ax52a6mmbywds961zArQkK S4wes9aKZcmaV0Yz5VaHll72/RxiL6MjG/JBJ/UGL5W75CTJn3cGGv7W7FV7mqqIX3N3WRxRF3Lz zF6uVzcBJW3Tmhr7OmK888+yWBQwl293sHOIPVnUXZlyQC+D1SGUr2FIcVY8MPcutbL0rtEE0dty VDldju12zSXvxiTn/Hf7804/wxq6M7R+ZJNaCGLWDMXVaTqFLOvFowdhm2x3l/Ndg0BfztB7QI3S MdL10kjUK27nwX7qCbGGvHbX2VRtdwkhNuIGsdOKl8/IDvm8c8/YGWdlUTap/dqkQvypi+5zJvnz Tj3j4z5BMpIFHk/6IZyhKKKucgFtlpWtkn2GTvrktaGSvH7/Tjxj6QPnT22UyartFvA3zBpP8NPI oIIkN3A86DhDORMvTYqUAkbylD/vvDOuoSmoYvbBIuoTZzFOhinJ5512RsDCvcq4o4CgIMZXTecw pIbVLiT7HM9RXWdtUOtNeg/k+7Lr/HdIjaKWD3eGsJASVWknpI0M8wE8ZyEiFvr6Fg4hff68s86y 9Ijg3kgdUQ6aoROTji0d6JE/76Sz/OhAb3I8Qaor41bP3ilnPIYWgiBTpAVP35BAsGW3FtD5+mTV s3fGWTZ2PnQwsg+coJqQSeJYQmJ/XvHcmRSHogJXRgGWoIVu/Jeid40miJiOLsm+7lpGrY+iix+H 0+edb8a7/ru8iK+laoJNUBRRQ511pmUcWPzctwvEkEacc7DRNEEhqoOt+aImdHnswaiLTWUNeRSe BGEJFAsazZ6KRbx4VoreNUjKmrxCaKM2HOWHrBUqmVxBna/4r2NaATUtQ/wieyeasTtPyxCnv87m WLLKypvBy6miwwl6D6jdBrQqJJJAm1YQyoDcYxQNg45Oklw9UflIAqjVq0OIMhjvNDNmHFwkttPQ W6+CdpwgtxM5egLC8nmnmWGC0/jaYxAhRNnKZygMqBu0sQmBlLjDNtQ0/Gu7Qcs7/gKW8N9JZvh9 2ORokOuwwWYIBA1Aypvx8XlbnUkkRwcyDLfsQeeYzzvB7NanpXyoQdcY7jR1Wfucn3d+2a9omi8G 4hTNZDU978G0wq+TqZrMxblykquqnnPDkPfA8fr0ROXpxO58sYBoPB2OicZY7uxwTLjwFPORlVLB OQBpqaIC/rJ3DXpAZpOq6seuFzg1erNqYa+fd2oZUysPpqWhAgRou5dYFEzjLD/ncjVBh1qWNRJP AF+yIzwQ9DJlfeeVWRe8QXVo+ODB9EArdNC1CAqhjbh8SBYUpESFtkyXMtXtZaPKGSMhoKBsUCOR NqniKE6+Y1Ba/JXUA3NJe1WboSiYRq44UDWwwlDZ9cI8kp3XK6Eer2s+Lk8TVpbsgCEpZ6pJtkDS kds3dKNB9oORLDLzjTVOE28l9Z1+6O+cMlbgxf8hUr6g/Z2lrVcb1DVxeZ/c8fPOKcv5sjg1RYCx 0CPRpiiKpltDSZHW0IKSz8uavt1o3M2OvwvL0wZL3NkHzzdOYpfTWCouosNIXvXAJkF3CC3pW8DH sv2888l4TBNcNppknsHfYxFsJCxbEjfOMfF555NZE+mr7kGby3q3WRRNoxw1dcXS5lKS4VP2cg4j nzAE1wxF9elpMrOs4+cvXUdUGgeLyaCVwwqOXgeo0f70Buw+PtwXEaFU71wyC0twRKOZ2g1bxk7i rpLAhv3G551KZu9osRDjgkK9Fj+q36lkvOtpbGJM4JNWs+GD7yVykwjXTf+0iil6Z5LxJGI+D2yE DfckQvu5yAujNPhPy0x2w0cW+xbr2FaRmC3vTLLsqsW96UCCCIPQG3C9Ikfq5Prz804k++9GmRBV F8cZgj4KbnjoT2bMUKLuF+965IJn5UiOCihvXGbvLDLexEIy9Dt4JxF6qoWkJ1B0UPimgRriI2jm wDLkdhKRGX7eSWQ3GqrsimhQ3QOYFC6Bc++PzzuHLIuD9jVBuB2ghWATFMbTkwe0NcfQejAN/tqT N4ROGIztygmKKtS47lCartuH22pdM0EVnKiYBUU+omKATRwUGAH1yOWKcWl/3hlk2UjSoI5NH3SZ TQKDGQ+N9Xnnj+XHgw+KpLjNcMG25p3Ed/oYowRAPoSsgohDYbF6U9p2Wh9oFYmnnvv+nT3G20wH UbqDH0TnY+BPUWR/AVUC7jKYWXWYsMPc5ooVk4bwTh+zjgMJCMUHTRGs1tUIWieifmeP4fHmSZnM abgU7y6LImpECRDlUOFjNrKMVTxTDYSYD1A6BZcOS9QqfKCcZIOHjPWEniOTyQLR5brMKYuS1yAu 0K1pSI/A3jXC2ksPNN9BSRnEN4T6KTV/3pljWIK3/kr/Kxz7eXll6J04Rjw5OHWPFkHLzakInesK vdaBvci77J03xuovb3vc1zZ41nqiQ3gUSTn9/D9CO8+9D5FKRJL5goYgbM6v+Gt+xmTZg4NC6tZ3 X+pF7/F5J40xuapf8wNuRyEBRfMTAj56YYPVO4nFu0AkrhkuGBNk8xMDPrpyseaD96LPXipS2zy3 VZkE5TWReug/XOYVIejV3vX9mEYkcLZWkb9MuXWhmabULsvJhD/vdLEsMaOn7EFXdHIaNEFRRI3/ yQmyihfw08rear3AvLnQL9EOCyNq22GUAudgOSuKHczn5cEHCXDRgSDbUnQG3SOI6M53qhjPSxaE oFNmgyYI3pmEnZ1PX593ppjhfO0IUrf+/EMMVs5QFFBDuWKWZVo6QMRYFA0ZeNMB37Vm091vIXq6 qCJUmw9eWoQ6eqcnYUXhDI67LE/jVAIUh5ghpa00jsR3BDc9cw2iBG2w0uIJqMlRqBD9alE87XqN OqQrA9jnGgvjaRITVrO8vjTntLTUx+OWMk3NqkXV6cTDh9wCGy5A+ExMI8y9ott21pcUv7CoEG1l VB/c0TrZywbXmHBnAHfYYKfQSRrNxCnx8ahb77EQEViI9GgvKV5LFE6TujmZIZD1s68yA9V03MZx 2ybr7+G0XHtR7tl3sE3G0kdPKp2di7z1LVXnTg1ClK+SR9MM+fAdf8AZztrhKVSf6jSIz6pclFHx eAQQTr+WEM/FS/yJomkUccbqV4DwMsXgGWm1RYI2tMd6BPiYTOibePTt8ujPqq/CedCOeJRzWomU CMGKjKIvIA2WkU0qV/SoOi2F3ZyIEuZgIE1cC7zHTgqAx4NY8XJ/CCg3bw0/hXpcnl4omQ2zJErT aXVNLhNskuH+0UXWo/K0LjKCMGzwjOxMy15gnp0TruIIJ2ao4UIYKHrkQjkdkXpZn+5ROM3yIpCu 0hyi4dOPQDWdSmWIVAqeD4iH85s9RlX/NrLfZT2mHhZWzS4vs1+V/T1MJX33xGCo83OinEzylav6 4HOEMyIRyX/yxA2uuKwJC7qdiEYA7XLkGVUrehRPFxXv07yDDqKxV9UqOPcvHo+4mevrIEJFjHAT m6GIfoiiB9p7w/CvN1yk/YiVPlgE1AyF8bTyevoxmT21hUOUQl1LFcYEqxT5WqGfyNR170ewwt71 r2Z0U5MDnCiKmcG6sZVm9J6Cx4O77FbP+o9qUq1f7GIP42nwFE6cliyensUnaGTHm0NWYSol6yEH UQVGQNRs8LvsJGtwzSYmBnqwi8KMHZC3ju2LwM0PIhQJ8R3BZV+o7FXl/OFiq8j/DB6AMAyPByvo N8+OLICHohnVqAelmBj4/yeD7ILznjapJ89s1GeCk0mytL7HATUlGAalOva42mdQizs3If1hW06A U8lkD8z5UfC9NJExwEexl40qQ4yo6R+nQYUPkKitkTgmHg+O6hsO4e0oEQbWtM3Qe0SNRAWnEGQy Mzuti8SxQoZfJ2MeOStyfdbOehRR7y32vDyt8sO22xuMedQ+cQpNMJdprgMBxQ4dXYoGSeE70Rat RyVqEaUAyfJBmKGBTjdbXzVPPP4vHquWG0G09yqLANRUG14VSBf4WQ6I3KoyRIXubOao2Zx2e4T3 0FVWshqucvatnKBSIeFadZVBwIoOsr1Cyx4/zFrPIYSIGd8Rwc4S2xul+6A9dn7ALcfl3jYej6CL fpFRwgNOnjVdyl0PI+rOE6tKKQd3pc9Qk7Uea2dEiDNejCrUKycFOc2HByCMDhgtPmCUDYkHXPaI qDt1Z3GLWq+1U0W3R4APtox61lLKl3N3rpbehcIfqeHxqDD0XV3MiZY6t9c6opB6ZcLNzEx3TIo0 SWaAqFvpeld3HxxRhVp9+rPMlw92k+2J5/GLM+kA2ZFRwcJ6RAMe3bprqJP1rkF1kcbfeUtvYDu6 syNt9NJZxuNBSD3q11WfKeR5ETEjCqlBx4ErglplswmOR/xrNUndvGEQYBMUF6i72hvTB1tDZ4ue 63GScYcSNJIOquptnpNTB6YlZbSJGSEpMYumYKyp6UkZyP6CHp5cD49H7ehfNxkChnFZvyOEUMNP T2gAtIHKvmwpGjEZTSFvA+aNqEANhTHgvkmaaoOiiAh/0sZ/YjMD9Y8TzjR5yiUAFIETp+2NXfUo 6OM7AmwnYa+dZxYGc9OD2+1gubef/8PjUYujfiVl8OThL2ITFAI+pORxUfjLNLwYLBqm6mRnDhka UTgtREwFSxQDg9Mh5CKLTH2qtrhRUcEiI9AS4Um/vF8ECANf8SfCfAjZORzZCa07GVWctdrw+L/u MeYmmGV6imt+omAa5yJEoQwc3Ff2IwiCP9ZpRePX5icKppEf4QJr0wfHLZ4lM2jsXNQFG/REmfjJ K61B6DFkPSBaoY+oOk0BpQ7iDAdUyrcKHyeoYjN65JLxfLSEvgsf4ASWse4UheF0IjlhLqMC5eIg /Dzz9D0G3o72WBROL3U4EnXOOXi0eH5r8H5Y+OhArVDvZDIohrxpa5elQOVyfEeQtDJKrKneQffY OehUvz9BxcTjUZfsV85KrtQzQSF+GkpLAGLolBaaxUQ97ild0YvXBEX4aQAhUFUUc5N+fJaQpQw1 SmJiFsxzKnvRm2r5AzTx9nh6Etk5QlkPslmhiuWDtaJP4Dfl9NYHHg9ioV/5BgAFZSWPpkdUnwZl fCwWL9XgKK751lc2VhCEJgzxMaJoWgkZ2mAa+CPhlD7hWIU8MUOhATpflQA6/kzElkhdr73g+Xh8 x1+RUOP5ocHq02CpCV++Nh7/lzyDSmdQ09j3lA6j6Uligusrokd2OxzU6qLyyXZp8xFH04Lej+WD bbEEI+A+JK8IafPNdGMQAI+oO2fc2zqnt5ZQGE63LXLCkHdudoB5RiCxePjuheeDpL58Az6IjcDS sCkKw2noJBiWHP+SySklKKlISr0u8zk7Sf2IC9SECyW1otNtRc8F74gCGWdQgTKOV6rDsJSN7num crJK+FvaOWGBmhYwZ/8XHxT1lS0iJQh3BY9HZY/5lZKhStTkKogZmmGBulEo0EzfoJSv/J59Vhd8 m31YXWjGenjcZQBx22DH0Il+FmIe8aJRTSYVcBEBhOY8utEOPGO+MUO4B33gYCbog/XqC5n6qMq3 hseDCWr9KyVbqB/ldScoiqbRAh7WBsOBVK0AAuQ/yvXiuXSiGc5dP0NGojGAVH4tT/m1n1vs3F2Z /gHn0mqDVktd9xlY2ZRWVvUVRy++468Kfm85+6CqR4JYnJxfWsHjkTrD90UG6zeoF/oERdE0dva5 QR3LsGZxZn2lKY+wDNnNO2dMSOQESXqSw8XD9A6Ljkr5ioTsCed0T11CVrgyH1JrtpeNsIs8hlLO PhhVqg9ZSsJfDo9HB/WdIXFiIDp3e/Uziqfx0WcbjG0sjpacK5Vk3UUWR/e7fv5dni7qApXbBSq7 QNN/taTa4lwlsYS/2POidTBOIatOo2aL74hOIUTSBe9lg2YooX7JYOgsTDweNOuvwod0TnHw9bvJ ooAaOOtz21ZDewzeoJyggTDO0B7drRZnqI4nCRShPfIX2gN1V3Q2KKy4IKlBVU74wFCz9gdYWc84 0BrEdwSbjG44J7KcPhimCuV7mt2daxOPB33E9r3JRINMXheaYTiNUmTKrjS0WXiXwMfyaHHN5jLB M0J7dOlJou9lg62gdLKB8wXkAZ1r/kTTdPbE2XoiaQCrsCAsWiT6dUbhdGUDkY4YNmiCqM3AY/r8 wHj8Xyo63GMMzlF1sRmK8B4gtz9B4hgUsuExdI4SwwrDccsvsqg8vXRAS6IzryvIvc9PVWFkSvRr OvFcoY7yQhkL1vBQg3t0lIveNVhCVOUkrdMGB+Fv2V1BDw6PBxnZVYIjd1F1oXqF8kI+ok6h5pRW ensrXkxlOz4YFFVmrTOUyivCB6/sg59CEzT4Lnww3DgG7YJonFqJ+KRft1lP2btGPTIWziYNqPL0 DkcDJF88l7X5eASp8jXE2cSPIv1kfmmE90Biem4vCjGcU6jIrgSnEO9hgzP0ZDnrjAJqV9HRaV0e L7xVysnAE10VJ2EaVMvbxHqiiECVXitPU6pqRvF0IyyYlsY2GObspHZdIPze8fi/dIaomEMOx9cx FNIRURjaw+QEZ6ZDuM7pdAmbGzQsHUOhwIfIdovHNQeHmNMrkJZF557Ze6GmCFQeFhX9ShAk66of tHyfoWIeUWdzMBjiYDlZaioToT/wmWE4fUVelXCAanzhDCsKpxOdONhvopO5bnrssbK29e0nO3Zc QivCewwVXUEessGjxYJ0pYjpckIPWFByjyEApeckwrtvAe4V4j1YM6tMdzXoFDrrPm+Jt9aKxyMR nfGVb0gh68rBrRDvkegibtL25w9oTtfMqTtJYUyXXFxROD2m+d8NHzxaBDaxAcmBm/5MVScVaAwq wzAte4rT/q5R2YMCTJs9MpnScoIgrE71CSBL8Hi0xy7RRXIpKExdUNUKNaYrtLzq7I73qBfvMb2/ sdi/1wyFeA+CGMpg5awMqR8Q2DmBqOnUUV5nWqqpe1bA76D8zC1jbVYUBfAdUeWMp1ClOCUHzVBq iIwUTg88/q+6h/Dl6JEVbwCtKJruRJ3tOowsJb9pkqWgLqXKWa+OfF0heFpXfCOpjIMdQjkrQhRr vGI3yM4ss+4B2Pm4ennJ3jWi24mhQChDv/DyCnGMLsZv3ng8OoS8fM/AEIEV++OaoPdouvwPTAfP Gd3kJHGOSbLJgC5n014ZWUXexlN6xWxEcaVINeRge+ysob23zBJgFQzQK3NVKKbAm6E/6camQsyK sB5dnZ9NhRgO3iHLPUtF8aQbKyxOd99j+N8S+lq3d8hWFE2DBnvCUavaD8mFynRD4os8pZv7uK1Q 3IPQ1yThRQ4+QfBdOpPNstAeFSA0alcAZMKyARtfZi9J1NmKwB6LgMWcBT7LTyN6tCJPqdEHHv+X lDsJyRMN8HlFKVcUThN0gYKD1e/F3CBVSkpVRHvQHp6bLAynizCdEtEZV0RnATtVSRVnwgHVEFpz 4dBtuEhWvYREotzxHRFeiI40g13WNMi4obrHolo81BZSw+P/kg4WynHpO32GQkZiQs5K4N9/9C/3 TNy45FAjOj9tzb6IQvy0FGKqSU6up816YrlBmjSK1zCFHiy/MqqWyqfjp4mx5bv+FQs1iZ21K3bW UVHklkOTGI+/77J1WS78/Rru3nQb0SskJFK8gjxvJq27OyFx9+aqlJ2RC9dQWKBevJ9A1rTBqq97 Q7pakoIb1SaqWZEQdLKxRSPAbI1WQvL5JYGyKZHT56umD159XcMwVavi8ahV/4vlAspMvaKLK4qn 0emZKeVqjVb1y5iSFQebr3JNAVYsmEe6M4uwGnwNtfOfILEETFVGS5q8X8poDZS/Mhp6Hg6NoZeN wqEii8Ttg/J6GqHzLjqXBB4PLvt9U46q/OSnjws721FEjSk5Z7UlZdABcq0qlPM9a+2OZthRgVqs 1ky5Nw0O7TwJAbqGRfBXIBkVMCJ9q/hzyg2HZCu9o4i6UT4nlXQHraF5Jp67BNL9eDyondVf5PrE s8hBVfs9ooZuCi1bkul7wN/DmVLdhTs3BE9tgkJ9D4l5ZfU48hfdDkIqMNyQQyKMktTjAKgTxeq1 5r3LKIC/QwQ1J6gWqlhwsLssARDJw3cPPB7cZekXE4gVhXInKOYjZsA9rlcbsiOrvkpTsEvfw6hk Ow6odYepFT2eVnSHd2GZy+AeAFnR13UzCWn0JbqOdrhj8B3BBA0i6cDNscFICnNQ3ZedUzwe0RHv HpNuJwQ+bo9jh3TERTqirZZzZ86rMlSvAkoaDqnaMd5DMBfeaBwcdXbSjE2iCAJGtO5lSk7HPnbC d7pNIF5lO4qoN5MyQMx8UGWotMn+Gv7WiccjGsftRWdLW8nVtRmK3VuADqagAnkc060lzgT1baCz kYshPnZYoLbuRh8+eP11ACxB8BKqi6jFcg0lYJ/AeMxUsNApxAr+jiU+BMtrywerLp4bQBov55rE 4xGm6haoBfgAyLh40rFDC5cGZY9ar4rOsLI0DCJshlB6ySJy7BDwsYXtpB8HBwe/JsTRhTN0Fij0 cTlDxHlQqGU+2q+4YvAdQTiU1eNgwFjyFV0EKK7IgTQtPB7MUPkGVVGEdVxnrR0BPkD/gBilycHV 7tYtJ/Pk7VaJLtwmM7RDwbx9G2S/+mRncs9FTLgwZd53U9IxgQ8iZpVEXrWiF1vRO4ZPC0M+ig9W fk1w+vuxRuKOFajzV9rKdiQaSTZBYUBd6Klt4oEwtjBewjkJb5csZVel3CHgYwjRycNIB9gPqUBn p54gsArYCXirWo6p0L/2fB5CVYNP02wX3xFEi1KeJgpLg1ZQp48gb/o68Pi/amfiB0MPHvhtm6Eo oMaPiHkxLwXzPgRZqs9iOjorXaeA/Tfig/byNvgSolnAJG18IZERgi/RPRJHUU6XbkcsBb7jL6Gh RG1KDbbHziaW9OuZKTweyVf4EmKznmaMV8prR/F0Zy86WZnsqaLBvdbZZGv2Zha/O8J7zKHThz17 Dn7VjwosnlRi0tlhtcvCHUkj5IlohGix0LB3DYJFIfBl7MJBeww6TESN1ZwGHo+y1m9QVUbwUS5m SJyB129dwDXJmg36HihhmL5H7UboOPcopppmEykU+GAQuFa/gyVlbZzVMikjesLFCgVM+o2icj+A Rc44uB01tPx13ydpiVO/uIow43Ztj0olUOT2LU9+QATwzF8hIzZ4R/XHpykUzatIzBr9e9GxH83J LrR3Fuqj001W0xRG1ZyfjVvUBs9dz9V+VszkNJ0TdpFAfbbfiSHg+gLYzb6V/OGv+wes4cy1NHLn 1cjdK5tl22iNz0cU+29lQZi21XrRVTRhfr/SQDkZxewQzyyNa15bTGTnxPXFtRfxQQGSmnQyTIMP tt9gPgAkNZOzk6pVBlALgoNwUJo/O115SrpW8kuCSSIQFvI5GBa0LWWveo7UxVsC/+AHBEDP+q0X g0iy7P3MUlSvRrtsAsOi/CPRr4lJPuXblORX8BRtlmJnxC7KVPbBU9iG9iZt9oD5mmygnSwfHNfO 4s245ca8ir9uJEbArod00DgoR2tw/xPXfhU+H9Wsv2FW8C6q44rGyLw5Sl1OGrsNMNxIOWY5DdYv Wkt7gfYl74AUVa2HBIXhIm7DXUtnQw+upZP5nXNW9TQgKH4GvCeyZAZZLYLzil43WExF6vhr+GDl IlO+IWaBz/+LTs7uGbC4qhfbNIWlazgI7GKSOjC+c45ZgiWtKFRSqdU0xcLUmp/afPBpOhdQJ+wG sNiTNQAPA4GmsysJlcwpP8kagwB8SwTZYznt/xoCDpLW2Mc/G5LPv09TTd6FZciwwSXtz5aLxT9Y 1q+qzZ6JhZQXaVTUR+M/J7IRgfZyioJtdKgoRNl9sON7gFRGd2jId69UE50oCuowEwh92tzYwYQ/ m1/yh0oTcKXDB9txDUGrUlq9ZMTnLF/5SBMr4NpOpSjexnlxMtnZHTFzGa+VZo1EzEyIT9m5FFaw qU9Fe00b/I5ruzPtpNlC3oZpRHHzB8RedKk8WuIK5JcEK4l9/AQMGodiRf4BfXkV+Uvj81Gv8QKI 2fzvtCWsd5ZCUAiCunOSGv3+pLWucdFqqpa21eL1R3xQBNTnhptSiZnfonHI+9uW6e/oi73tE1Lh iF7UOL+e2maJnGLbF5ZFiLjRYFQGsFG30vnJ54P9Vn+VR4CA7+PCHmgr9f69qLEVSVKzCFlcZLjO 6tl/JiBasxRWsklnSGlOHxwZAiM9wIZlutCpcsR+EVTv4QLFK66qyFbsbYMaElEzTaoyHKwd0qbc CU+YMfl8lN9+Y0Mg+1oRwdgkhX6KkxqW3c04+9xeyp5sI1uCW0zMOweGirjiKFJdyD/jYJMEWiRi auZvpUFejxluolXg2TMgf+lUKqQF8kv+olDXIrijO12dP70ouIO/duPzUePx16mUCE2++y30VGys AmQrqg2o6nqlrZrQDFQsu5u9BaaKsjVA/i9B7+qC3jRaONs1S3UHuDQZwmUK+VAYt44HyJfsbYOe CCHpCQoQNhhEBBBKHrwnleTzkVzsLx71/KVjmWNTRfQe07ZJmufnnZbnoupvee4C5UWWgaGrotqz NZXlg60kaLSBTU0gFlyFuioBAL7AelstLsODlmZv+xd9qNBiGYNxGBsUfxledhRLcuCriDPhO+4u 5FbN64kX+CrK0xPAbpNvqs5nPBHlYkRZ2Bgpd5LCuJugWUiA+OAFpRPGjNEbtWWgLz2MMouTO8mc 6SpcsYedA2dF17Ic8hcY11+g4IaWicdJofl8FAR8A69pnszCn01SqLMHeuUubvu2dRBhu52bx208 zm+/fLuFXMaikkkePvjBbcdqlkJRb8ZlBBm1lkaKzJU/l297Du0VF69/6h1xsFb/uSGTlMfOb7D5 fNCmnb/EU+iffI3tc+CviGCZNNhi5f+VlqsUwdXO9lsGgNKWUhh1yz6wmsHZI9C8z/tD62HRYPEk osIcTUAfaCwENrEfStXfNjqURCTi9ZYvDQRevVPCryfA4/NBF+m22ZibQGRgXPRsDiwWpQZ2VuEe Bjsi/JCwI9ghGtmqNYfP5tBjcVFbZzcKMHNwQto6QUCC9QDy3JPJSrygIGsERvd8GhHGRtkr9rpR qMQ6QGfHjYMyuMVCE0PEsvl8dHR/Wy81qhf051SKom4kTxMdQwbYs825HDbSDIYERBA4gJql0BZG EtZCinJw8BFseRr2I8yXyom7ZLRIhAkicNrcun5Btbf96+ge9NfTYEEATj1x0uwlIxmMX5OEFnO9 fvc5cFo8v82iv7EBjc6hMa/JmcKnofLbXUoxdEQOeS37YJN0NgPRXszfNtyLmL9Btfung02WKcRp WW4d9roBSV/BTRLv6rKK4MfTRCw7EQufj4hX3+CRgps17WcphWhsSm6ToswNl5rD2EZi1IQNl3Zy T9PYb1EM0EnFb8GG7VSCA9bedBiCXCpX+NloFRpqc1cx5q3ntpK9baQCyv1WCGdLThuAwv1ZoPII nI3PB6nJFXHMNINBw5He3jZNoenioNAlQU906ZZnFetvy8/xuVP2PDd0XUQXCrWSnH3w+luBvv4W 8QHneJG6/h5Kd6ly725nigNC28Up5kPhkmLtVEpoE9pL+L5esJYC30Wkmd9cYiIt2vWqyqHxIlxh zjI3pCj+Nb38BtUz9QVIYbNJCoHZQtWW3X1wGAkQAR1Mc+BsgMyaSuCAHWWIhYaI1tKSC3XovEga MVw+tg9GUoMWCYmO5w7k8/9iE4s6jDugXkp6js0XB9oCZNBxx+3lh3cTyXgTSjKqz1IYd09Vuk1v 7qGITACxC2gEBb62UBfHjqvIUYY6cMhQhPrjRuC3RDUTzE8nMamz4EIGX97U3Mdf3k+yyA+IADde pSSxDSpKVMy1aQrxJJM6f0MzMjpFyXgwnT+vePkNtQodTIEFI3BtnB+hJjj48T1Xn5nJSEvQYKfZ +gIM59yuwFri2PNMt/rr/gEHoMWjBgsqz02zKI97tlzWW0ZA/+9Ljp7d5XrB5tiFsSKUJD+OQWU1 dl8GCOpiSlZ23/fAhtGtPMsuywc/vvs4m1a+OpAgS1lun7ihSu8mAG4N77nsbaNJYjQJJ2kbDMkO GVQC+nLKfD6ip//fSOCcDTcSCH0Y0QqFxFM2WEna48JKbmHpRG/TJynElXSuIXQ/bHCI5IA+DwiW wCYluKHIUxj/Db1O0IOVR5yUHXPoxEiIJOTY7uA93SoDByRDfD4SKv6WxEIuVufXDRdF3sjFYR+j yuQJbJfTsuo20jHlL7MlcYEZo2ORIZrqg5cD9iiwtq4U7DnzVxV9Un4SG50wISkayc8zh2aMggTM Tv8YDjZJQKiysJTX4PNR4P1LTgRVhblutBTaMfYtUIlV30x9n/CS8VTfSp0+SbGFDLcbmOY2OG8E 4v3IdlgOGCDkMpGByIu4WSw6d/HUh71tMEltSBHrDsZe8yi2sPoWGjKWX1z+jTMJXso+SaHk9VlD sBVLhjDZ7SJMJLpChAl6R7bdQhOZrHJA6T7cldSA3CKbH/rgA7WYM0mUE2NfC5p6FlLuZW8b3G+L ZW5xSDgY/SilJSp143YLQSbzu7B0ku9ycqP1hAHvgTcEGtHH7eZDcA6FdmEmnYE3YSZ5Dp+lGLct EUyaM+xxrT1Bvz73gQlfn2wLFH9ElGg6E9LELvZUe6l2e9u/pMFPVngHzRL6X0OyGTXz+aiQO7+D gEFRnHu9hcaMk1dxN2thpCl2KPW07L88iW6/1e7YmVFGRJnwWw6OVjrXVoWiM8E4gCgXNsjJhYZ1 7ty/gds5dGbkGumwZvfB6ripKcxpaUw+/6+om3JIXYnxnaMo6j63NaJIN9E1v2GcSeh32JlEr0Wb oyjqXsUQXcMH324n8C4U9UExYAxqrKBLhy4q1gIO78vJ7va2f3G1oLbig5UoYcXclG3oJSOhrP01 SahOl0fvMcf+jEDETC9MAmXiRgXGI6UV87ipSWjQ2EUgqe0OtttOCjH6wtEPZMA8fxuJ6zhtoe7W Kcp1YV1qeYcWjdpuqeTkg1reZ322KQuUNPh8hC69pEhVoSD0Np+1FNMiF0VGzEx3EWUnMf40/X4r bd+1FAbdqplUprkcvLB0svaTiYBCA6Wdk6my/EZOCb2HWaxUpASHDr1tJIFAMA4V6vsNx9BvRlkX s1SzXjLacB4pKaVlP+C6guQ/nBqhd0Q4In1l+rimzEzoGSqteStLgVWjW+2di2b7cIuUfZJDymIA EpXNytI5wn/INIQc8K0s5WWvGxUpqcn/NRg9svYlVtJCPzfwa/wmkHKaKO6yv/ZcFHYDBAU6kuSy 8K9iiW6VQRGFs0T/QwYXWjZScOSEQNp663K3kPeDRCrMEixFYWULE6cO1XkoXJBSrlouRDn0uhF5 qwqovH3QnhtQ4pA0KIomgWvjd8VbCnUwCvmapVASu1Giruo2O/9KXllCEcjxb4B22ixFMJMm/Fsm ZonDXUy1lNzI1d5nVQ4IdUObHww38utYBVNbYHV7279qucQn22BVyjVtkjoPphDVfYsB5JZQyPxp 6AbOjUhzMTXLVHrBw7darotlUqauuPhR/sO6keeSQLnpC5QLc2M4Y2uSEsBuifA30FoKdsDo12kG 2F19S7DjsrUFkg/WFkh1FstOOp+PYspfnHb8NHXeFC40bwRmc2ZcyNRhy4CpCZdLz6Fs2iMITrWU wsBbNK5EQVUON1oaOdEkr2D5JHhOc5aQFwF9k4mgsCplb/a6keChKm99+WCXXGude7zttfh8EFM+ iS4xmBDB/3UwhTVvWsluNm0HnR4c/0YEf+H5nQgjYugdejhKhn6RTaHBggGAJRCBo7R01ijRoSh2 o4k/CaRoyN8tZKLQTw5MHP1gasQBatBqypXdi0RDPT4fhEzDMxTdaJ36rxcAF9o4IqMdQLhZHtey t3V7Xw4QSM2JpTnwcURCSGSAZFg5OIoCOpA0aoZSC5RZTbJkUfyWuZcnu7TR5pcEs0QUZRXrpFYT vD+TD4Yeu0wVqykwcvzec3w5VCjLs+dCJ0f0wgbK0laAm84WOL+6+1oDAGdsgRxaOUosqrZ+B9tz 47xLaWyenkNgnj9IQpJw1URjjj5ClsYNRUyBl2O2ScK7+WAVgXMSizFSW+HzwR3Xft1xKAq29ayk KPquMDVg+1657nTOCYQNLtRkOq8rB3aOyfqVRWCbUh78WzvpVpog8XOSTrpJasFmggCKC2oZTp9U AS60c6wE4pRUNQAmwMvqhFxZUm3jBLH8gH8p/PEUWywi3zJlYOh4vneifbKLGzhLUhxh5ZJdITXX Z2u+3+KKt3z49h1ucamg5JCT3NRo78x7EMVIxGTbS7nmmpoDQ0en5nR5yXOwgkCW4T0MZTafj+Bv figRK8d853r05MDSES2mAjxXN73a1d0MFDBjO55WzfjVGQj84enIqgmg/zY43vQc3A09IDHgWmWu CR/DCk3o/kPFNVP5a/62fyEpO6XsNWiSEK3KKP2sWz4fLKRf7gbECKaHUhHaOkIu+EzRMOl16HY7 pULsU0ZLcLxVHBD4OupMRZ5v2NzLdwcZHWZ86nzDdGiwp0tREGBof1bpD/xt2dtGHCb4m6Oi54NC ynlicAEpz8/A598P7rX7V0hJkZDyNCsDb0dBH3BuJ68IFA8pLRjnSqLpj1ZSzKXkcTzl9zTXr+zk 7N1KpIlEpAjvBqScouOZwFxj51CqLQf2jq4vnqUvnq++eN+7JdntzKrnI9DSL6MMesql9BxKfwn+ gWI9jVIxXV2CvGJOExRKbuQdWDyeRSnB0aEK3HgqcK1W2u6qTHkOp50VVVGIFijvB7osNbIceDyK AILjiNccB2044HPl2jTRqwxMHn/hcRpTXVJ0fJY+r5FSwSRxw11Qbn/W0nZVxJWuBMdrnFRc/7hm 0ilqfjweB/RXUc4l0IT6bVbzBbEb4PrkaBxah6bPa5RUZCmDSVXova3iwFLhLNJrW3j6ZXoKOP6M XsgaTBIahI1OEWojmpyFdVO60topZpl81aobiO0Jg3qtoGByOntvBQROGy4mAJiuNU17A54JVIxE ObUCf4iM5c6OsO+vs4OGifwNaFbMwc7rs5aknl1QwX2dHYn5aHZ0/yFu2D47r6HReQiNoJOBJHed 0yJaQuFORwMMF2B/DYywdGSPsWmCWbc78J57FUaXsNSiCwT+KGJw4W+OaUeUeysk/GU+r2FRwQmS qSdBhfGVq8uQwZOeJ1A9YcDnNSbC1EpUZelnAzexoBSsyXmNiLB0Gqu23cqRU7LQ9BAp9l8CA1Dt tn+Nhwo4b1wzu9/B4yEgbs2/GeQkGEZSSxOpFlVe8CNqcoQqfQ2HigwuECzywqdvFiv+FJhhsgQ5 s/x5jYbKFbFDyUFdJNQb8Jtxel6DoYKC/UTtZlSDuZOnZsagrgYAHyj3t452lijcqCja4F3tBiHQ uVWrLTkLTQl503PHnh8U0qPokRBtQ//v6NghBTerQ5Kzi9UCl2xYm34i6tcwCE+X/XXsgFnaUBLX 5LwGQdiNC9tJzgYUYr3IbUBjrEgLuVxzTQ0mZ0r6sKkV2fLtZkOmvzdxSTIU2NlbAguHoCXQfJPf W+hR8VXfZ0cFbLBqfDDu1kkD5dB03vnzGv8UhcTPzsJ0ttL82HmNfnCSFwhJjOn6h3lY1bGN7KIb u7NKQN+HaHbkOrNW8sF31jnnF2u/AP21UREGYbaI0TrXCGr7nrTK0iDaWbplCvN6DpqcE0EvzlRb eXxewx4eWs2XDjU5kP6zR8PZeQ16inewe77eRU9NNs1bbuzOSX4NeXAom4YNkUccvIxWzs2wLTJs MEulhm+vVIBGzkJKjKlDUg7pNeIpnoe1VO4gIMTcEotl1enzGu/w2vBzh3w20A1xP2h2XsuMRULr 3t/nqdyr14VauhobM1tT9rXIyDNBZga5+3CPHViLQvMR+21P3GKImwHBGnCFKLguHb7WqMgWzQ7K /2BWDh9UOtuD9nVYDAVPv89Ol2ijnTusYiEc1uy8FhexXqssLqcVhNq+5w7fVdKr2WPB19JiMWkE wIvv4HgskPwTCuA4d85mBX+0LdmjQq3lnBvrQrNpWvRaWMQvIOIx+5IatLUW8jnzLMLT0Z3l547c e86v2VAQUjAYhcqQ38LOkpk1FA8ta297d+OKnH/5sfxOXyuuTJtXvYPtrQ4RP5zN7Amd2I9orXNU sCd03pLJgSnT0jH+nb2G1TPqm4LNbBCQwVJqxM9E0fIST8SWD12ay/bl885dK8LIoZ1vZ88gX1gq /dvvrdURjRhsPZogWRZNSrFx8MOnzQb16iWifxtzqwMr20ggaIAIUgsW4Sm/JDicRc1eRBhxeLJS /oezgfl4cDrv7xlCe6cRB6YZeg+ZZW168vXqmEfZxHeayJpJOsxCLt0oipk3eY1lSyxqX5Tx7ij6 ZDNqPgf+xh2EYiJWDWpLDWBXSyimvev7BHXe6QDL+qDjGZEH11NtKJO9R83XQ9ajZpRjsDVsgqKw GfYy4PdbXIhOjE3Qyjs5IkRxIfsbwQndS3+doJObn0M6IdyEosb5z1m0bbKFTqT4A2nOe33Zu77v sS6DZqlpcdAEpcxmLk7oheZGdAiNe78ThYSqHjvhmqH3yBnVP+jWkDiIU+ixr26b8a9Ooeo2Bu+E NcwQZaK69Mb68hk6czAS6CDGx86wH6BMPRKEAr1DaZ037TEVNcIbXu6NlFjv9TFOm6XI4BR6h+9s tSJ3zK9TiMhiygxqhqLwGWy1mao1V2dqxQRHWmJzzsxCmmft7/GzHJ9BeGSEyME2GetW4l5UMtdM kwxxe0FlEWBir7PSkOedq8YDAVOz2KDVoK5GTtKSw5qsn3eq2nnFJlECatxjhsDeQexnMxSG0I0s vmFmIYu24sac8Xjx3PPN7FTemWq2FpGzE83P4d5kMDPNcmqeCS5YXWgaiNXhjwWt2vwbxXmMgujG Mn0ussIo1woDcuLUdmgnXPu809SY3X6vIX4/nCZsgqIoGgC/cwwVz9779ihaWE5l7/h+bbIojO4U Vy+SzuTglZ8JXcAqvcNzSI9JgOOJR2n3gjA6Xc7M2lsv+z5DarL2LKvU7OpHrRjoEO5H8/POUSvy 0dMMCaeH3xS6kjZF76G01tCk0ZJcZKtb8rRWt3HW5X2qNRTF0oNO8eh8+WDnUDr/623FMepCTCay mRY0oHlj1XrLR92M91g608wCXYxdfDB4Y2si9qM5/XnnpyEaEtjKzyE6XNYbDUXRNCCGk6ACTVBy Rx6qFakYBOSUR0NhOE2yLKoYPnwd1GiEySX17LhK2aNNGBAkeeZ62Glz6l2jCdqsgUGZTIOOIXjQ D1k9oAMdxdP5qXKQhAwWBZmnqh9GATUv+9nMZub8a3u82Pkkj6GTFy4toXdi2hMvsn6g4UZDOIdw 0QLYiBaS6quNEqHI59PjnIaK++edl8aqA/2uhrxSh5vvNYC4t7kT5s87La0IxPtV6WA3BYhNTVAU UANjOmayqga8vr2I2CizwWNoVkfrvZPSilwOuXOGD3YMdZRqFyCbRKFhtprEjvJPp28ppPK8EkTM /jsprbj7XtrSgtiuBTFO6K+KK6yOP++ctF9XGQ+wLIi1n9TvlDSs3IycdRmZAVfN/nGj3W7VoPVY p70z0orb76GB6oOf1O38CbMr54DcAarjZ4rGOVDPVlEp8DYM6fbwTkhjVkZijFj8HOwuI2GC91Nt n3c6WrnWYGdClt7tp9B4UTMUhtRQ8AGqW+dQbbdeBosnO4c6WD/aZVFIneRmXUSOKU/aCjLMKlQQ P2l9BwSGDo6gFaJRg376uFiYbS8bLCLGQ7OTJcvBe/NVmhDQL/m8U9HsoLe77AcWjp21E5+hKKQG tWPs7v6EW557FOqvy/2+254WUr8T0YorZBdxg4rjeKiQfdIM+qcg6UA5fcvcEiLHwHNnGeGthz/0 TkTjNpP0aqo+GKSqgQKAGRppft55aEXG1F8FaVz2AO/aDEUhNWyUzy7YVjczrWwqZI9iB5HA1dpl UUnaCVZsZ3CwNVTgpFytXn+uxdIoBzk7ukIEBwKKono9GX6fdxIaAgpTyhKQceZb+mhZjK4Tka7P OwetXCys1Yao44m2i03Qe0Rt4g+bOiMKGHk8j4exz7wVjXstofeIGic1j6ElAdY17ibL8LiciCag l3luRaANGrTXz8WANQ7P96c4tPSy78fQGiraU+mQgy2hqSuYkuCfdwIaj9z6tYTohsz30gxFITVq /bNDXVskveU0BgiSisZwbhgwxbWE3kNq4cCQ6hJH1a6OGHzLIcWT6HEJpkfh2gHXccCM4pxFiJMt KytD7xpNEGVXp3ydJu3uOUMV4GSqrY29P+/sMxbC+tdBDYw9UiKfoag4fa4XuITM+eMy9DZD0Nzx Y2iwbcMZeo+o0Ytnwgp/Iht8hugrVChqtKHy0BQXoKlRwL1hXKTq2WBT7J16hl+T6dhMrBFxsIh6 mnkacHmfd+YZG+vfjR8ydNdNOd55Z5igSnnj4hRGiYnzFJJvkXxki5/T7xF1FvZ1Q0LNB58gKEkB RsWUYxcgGVijpTUgFFPHlVtl6+DzzjorrtRzDvjtgyLqs4uXeRHn9XknnRVRyL+KQwRK8GdS0zmK qFGCdLSrgFR+To/LhJ1CFrLrHJeoaWovDkyvj+RTRxbbqgSgT/wwSzPHa4BpEAJAWN+CIdQDPu+c M/a3qNYrKAYHzdDMucktJI/8eaec8RW/AR0dqQ1CMpugENEBBQMKKYv/kq+c4arN6osbsAMtoXfC 2a18QM3OB01QhqHQuYARlp3Uq6YijFWnbzbBfcVITWC/FL3rXxPUJP7MQdHiOHO+ZclT+IrRTf8r KcP3FpZ0NENRQH1uYfDMrTOPsrR1DVuh9j032ZaiKGYohHUwXGxs8WiwJXSOlgyEX6Ig1pjE4iFe qib6XB7eIg2zPu9cM5bBCehIdNxdHk6ck/9khF1LqPMV/3VM65KFW8i8SyiKp9t+imdUoW9OWjTW C7HlksnGBL3H06q7nIUBeoMNtoTOujjLqA2iyqAjUVkbKeAUF5SJpdOrU6jau/6VcNQiYeyCkEjY jg2FE+w4iEd83mlmmOCUvjYZXSbyvjMUxdPwcxiDDojqI5rnLk0ukwHL+0ymPPfOMcPvgxBnDykZ crAltBAvVkrWVAAAaqNQBlPqDA2GW/egBsHnnV/G+SHyPhE8xUHXWJ10O2Xffn7e6WW/gmkuFpTM aTej6XkPphFdgLlBlhN3WPMex9nWjrtH48OnJ6pPJ9KlGk2/NHjZ44RUkAnjDjvxbGMwfT4bZWiw rCfNVFTBpwL9O7MMV+UWnoxsTg6aoJFWFed19Pp5J5ZhJWQ/pKVKA7jsDabfeWVs3kALm8JnRHg0 g5jB4XJaSxqhn2Ws77Sy22itmAgbPJhWA0JaPECDiqOI5iJSooJG8nXfQ22MLxuVzkgHpmy6BvUR ITtURFGcfMcIxvALIARgAcA3NkNRMH3ybN7zjvKotz7dtiuEnAPYWxzvnLJy9fiWTmDp5U62yc4h lID75Azh7CeeGp4bJBOeT5vJc3qyxD7vlDKW4OcXvaUAmdA1RUOoC4i09887pYyx5vchBLQlDRJt isJoGj2OWUwZ9KzSvjwUEoOaxmmAoWqKwvo0VWabhMLbFQpH8lom6C7MyNAVYs8RcE68ILXJngo+ SnyfdzrZPaZP6MOOawHmb7J2lrd4zKj98fkoZ/0Op8Ezl4yqZigKpyXtnKZCxAl/IVtEs7qR7Fpc jZyhqEA9aW5Zq9qE9BzRNhsdRzN63Ci/Qjo6se4JHgigl+esXrcwRAr9551JxhXPMDHt6oOCoXPZ S+GxnD/7804k4zveNaSbjIRIb5S988h412cAgE2/CdnxdrsQGksA4roR/f5UTNE7jcyCVa6V4oNN UTt3MrAehHwgb+WZBSgewOOV7ZS7iihX8XmnkRHES/WGzgMJSG4Db6ANzepgP7/s551F9t+dMgGq Lo4zxHxQ9RLd4gwQMPQipMJflSWg4bEgE3pmqPNzgkU0pN4k1+a9nsvsfNCJ2htRwCf93dR0XjjA f4jyA8DYW4lojnzeKWT8DiLNWBDQoAr1SWpYCUVrcnzeGWSsPHzXPXg7oFxpExTG06tRDEz+sUgV jNl6XoG0n4VzCOh9Mjbf+WOW/KIynaoPXjqbgEaXVaUKek43MBGR2y8QWwvp8lerGLvz884fK/Ls RjFHVI11qRor475nPDTW5509ZgCgb7QrAKYXz/lOHmNrBaAYmcZDw0FSF5vEqGk9syV2LK77d+5Y MUor1OPu4AXqCZG0lnRSg9KqcwhV/k5rm9rudU/xkM87eYxlLFE79vbBZmhDkI3X/Qmo37lj1miz GWIjiJyG5qWhd+4YogTZFpnhJ8qAXl7cZGMJ9NFchf+dOnanqBfqgfZy9UA3PKwHO0NQ5d2ANIjs gnwIXeTGJTGkRrD1rkHaqr9pSV1+uRooZCKXvHJPjvN5J46xA/AdEFGFFLeETVBUoEZPd2yRMtBK pIQNm60lb+MiwurdRC7faWO8SZmuyq+5Xb/mk8cDiXYuABY+qAbF2si55NoPi2X5woY2YAWfd9IY 54eQD3o2aVBI3ZNcTNGBHZ93zhiTq/Y1P2A2FLpdaH5ixMemYZp3Eq/DBYpTFxZMqSnOTxhS85Lv 9CLW4KWz85egvoGA8aT0QJex1E/6R6IH59Ug6BQkfOeLgW/BUBqSfT5YYaiawvw58/h4cI9VP4T6 NUVH/c1mKAqpwZkfAHVaBV9qxCRssBxFRsKWpjy2WAz5mKz3WAXNiwtw32k9s1RPc4LBxAJdkMwt wa7uPYSI7nznillahWNjNR80Q5sc6x+2LdbnnSrGdr0HQ9TARA2z3259CwPqTQPHaoUhKrqJCd1F j0baetLQpLS1heDporSViCAOtoQmEppKKRs4ZFe6kFNEFXEaStN032sqndm7Bjc9XRsa8Og2WPX1 hGoCT0Pzq0WAD5ONMVgVgFiQDPcJCuPpDI7YHskmqHevLaa5HR9cmpenW1SeTtRoPN+afLBDmuaB k7Q14M5O6FUpK9MptYVyX17Xn9BO6RDxQfAiGm0+6BSCv8WQ2VDi40G3vjgkhghqXK1A+xqvJQqn e5KYjltcUiZcrJ89zMBxwnfBiC3v4bS4kmftbOo2c7A9djJReDUULqEzRy0x8M7kK5CrQu8mRtM0 IMV3BH1WYkSqZNI5aAmd9SQd8BOAVjwe4YN/L6EuSTaboCiaRgHxhELLdSsE/UA0nVY2Zb052YDD HusR4ENUVTqs2OC1xQ3zh87KGUKuWe0am8DawACv3bR+UraiR+Vp+oKDzFZ94ATlYV2+HyxWPB4x oy6oir36kzWXfDHmPQynMwVRi9t907SAkVCp2zvR4FboIutReVoXWaVUjoa7yc5a3Fl23xUxMDHm Hf2pQQRGoZqOSL2sT/conFbCkakP33NiXftHOjG5Tf3ZreD5gHl4WRwMRKjp38ZFUfeoRA0rtEkW oJiZco5ZAm8bhfXcTWi7cRlFEfVUeSjnO3gBDdKnHZ12ZK/QQaKODBhB59ZFab+1fbFnFK3oUURd tlhSpq53VSwn4iB+3/kv8XjEzpzfWVki3MQLaD3iHwKgPaBpZAhYSaAaAtZrH6Co2AzFkA+un8Gw kYPHiydWqeDEsoCW8Dt3uVq1HxFe9n4EK7be9a92fXdFhibdiXz+1TcFLE+UUfB4cJn95pGhKsUb 0WYojKjP3z465TIpV5HKnaFl8gxnPS7Wzzo/J1pDwnpQL47DTTmAHKLuKc2Is7SIBlC1HY4siNz8 KEJDD98RXPcmo2fWljdtBf2Y8TU4MHg8WELzphyCGAGfXO5hHZapFxSRWOL+D5V0KFWdafgBdghz DnAtbYbimJqS8bVvHww2tEAzV5mqwdVw8r6FQcc5isDHQZX5Yj7oa9mjMjWRz70skX1Jef0RvK9s OVmcwBWPB6f1KF+7jKog4PnYDL3H1Mg6CqwsqVFFU0sY1iAMOkcFffUK01YsXWT2PYqpWXxGfTr7 4GuI9ncoKQOcB3t1lakh6kJLC6oGSeGbwhP4jug6k/4ClxIHgWLOZ7EKAQzJxONRYv+Nq6rE0y4v DvUIRI1kAZqVqiqecwtNbRaH9mNnWWvxJRRBPihzsqFgw4E+dVVmXycrG8hIMUHnZLROB5I+hH0/ az3HECBl+I4oIGJ5cau8uP2+HyjsTv6BJxXB4/8CL7IDyZYbHX01Q2FQ3REHza3DB+WzajPUiBVn +Wwnap8hZIyK1GpHn02TffAK7ILZF+oFhQLxcIPCEgJ/vsMlLaO0Zu3WTh3dHoE+iDCCxEXzQUXq k/JP9ZNHang8okPvX1NET53tmeuIompkNGijF89c0+10pO6WcRtbglH1iIrUkxl7TzyOONhdNhp8 stNi3gEjncaouozMlC8TfXEddbLeNeoFsX5f5h10UtOmZ6h8lvF4EFX337xEyJsMv8pGFFXjzp11 W59+NuE7KQSTlnXLTlzs0ucjrFHrKiOnV4OvoZOOnpNa/cQFxgsP6kXfoE1W7dUUWv6uf3HGO0xb bFA0BIq6kGNz8fGgRr39nJYJLxrhxaOhEYOoJ9UXs0GENSskbpYr5LmvxPCIatSZfURomXJgbIFU LI8B00qw5QEb4m8hugfYHWje88+2u/58yMB3BPDOZhrVRYO7VUD/kCsIhh94PKh9dK998NKCVca6 lLIRYj4Gi4rG1nRlQRaHhDKnSk6ZBu8cUUCtU6gr6+AFpQlK6NV2wdTrOhNVKQIHkWaYMkKY/TJ/ UZId+Iq/mhxF08TBMDEpDfFSzwmBx/8lyYATmdB22j9qfqJwGoyPs9iHqwhtowXlmvdyvlS/8NcR hdNTEoJLG23dxD6hzgpmPoNFYIWK1M9g2FppDUKPIWsD0Ql9RAXqPHTTU8e7r91kiYsiBAJ1/Nkn /cPzUaPsmw5UgNNBmGxTFMbTYCVmiVUMWsQ6DF+udKIDoZahPRbF05qbMmWhNy+XY5+zvp30dSnj aGctoY+8NoqvVH/DseclapLrR1SintLr7ORtctA9toFlVyx0gsURynpc5JnUB2kznO8xHWKoz697 olhj1SPY9WN6ZOdt4u+zGvWIMNSVd3wjoFWD16jz2QKjE3m2C1qk7IMQZVaUzD6mnoR3jlDag22g rRoIB80QAJLSrjixKR4PgqH1DWnAGVvWFSAYIeoDJkiLgl5scghkPpW0mrvAOTwgM8EJCsU9BM0r hmxg/SUTVzWw56T9Cod4yFKStjnob9NoiHz9Bc/H4zuCUGiJ88vTSAViHkPgZCkcPpEnHv+XQoPp n/5I31YTFIXTg+a5uxWbIOHx1OVwmvT546tPUBxOS7BLvdb1XPRncsHP2LzoAfioltWjv41oFuA9 P6i3llAYTzOfT7KDOf8hOcg8ky/C03cvPB/k9U+/njXY352yEQbUIFMkFTkWG/bWYsUN7a55g4hn 5GQjrFJXueVRZIiDZa2dcR3wlydrBbiNWsyrN0h3UygV15zV8bcEdMIqdZXmmwhT28PF81+XyngY otR4PJiiS7Fnrx9ZectXBmWGZWoAYxo6Hcg0TrzIBDaLYu/ls0n+N7KyGQXUizIxbVDMlIN3Ompq AMpThKCfP0sM6oTmUEV5vNdHIp8pxwxBH5av1uKDAmoIA/APhFUBHo86HX6XMdxfSMWhd2czFEXU KGVDMFhy3chg+y190OScdBdyv3Dfz5CYuI3u0nzwKuz5vz5X0lF9NpTpd+FXBzxGwu9WhMX9hO/4 q5A/KpGMHCxvBRTDZqjg8X+dRCqfoaX0cBVmFFLDSxrSA80KjNtM80Dd3EZRXAWIHukwxbxECSsK w5gfDOO5c05+0cQgP4fxnDKEx+WL2BJ9uYfcShWCGdapdRR1eXZ0R3lOYDGUlZ2sBo9HAL3vljRN 68Y9iGYUUyPkH1P9RBRqljubnlPDBFIyZFmNqzDDIvUy/cDpg83QTsw06N65cCxt+ehBeLfSPhjn kNWou71rMEGNXSAZLNRrsDAhP82jGhrgePyfbA6ZouPsu92gGUXVOAHOJb/NrGNItwozNHV+E/UB T2fNUBRVjyZEDFUqONgMgch3fgI2FDdkV06sR340DpVz7f9Ac9fTDvyd+I5glxF8BkFmH7TLBuQx Cf49lyUeD/qJT/lMjoPA4xQPGWcM+2BuPRQdwvvAjXITKdoGYVwGHZoR7EMshRMDJh98DcFh6fwC Q9ChkchgXJNa5+dQP3d0uyHjsHcNTupOK+vB4hAHmyEoNlNw6Ow3PB5VYP2k5u+HpkqDrJjNUAT8 GNxl0j2ZLFK71Efr1v04IVFOVmGcUZF6WYdDMg3jkWnAKbbObyWpj5W2IHyJXg2dqnAXGTNoFzCj oDoN0hQodK9BtY/z+yVpmM7V8Pj/B8Co4tBFwc5Q6qMSXTuHV8+6C+C2aaq4QMZ0w33MMKhmLgEE ng8+QRUywQCpImaEzyoZ1LTzPIf4kKuBW1BtvetfrbICp10blHUg30uqnm0+HkxQ+ua78DdJVzJv RrgPNBYQsIjDAViDn9OdvydPocqeG0+hKKgGOwszI1piqvk5hcqZXnSbAYyBjDkpMUmlT2Tp+alR T3vXACWchD3rwwdlHSdiqHLpPPcAHo+gQ98ThJO9QATIJiiKqAEBP6FlKdaSHsno421UV2nYoGHY IRQKfdBkokO+3gZfQe3shTK5xSaQ+SaPj75+oWsJwmTd9FSn/sxQN4/B0E7cYhwsLWuwo8EElVw+ Mwyo+222yrIalONbPltRQI3SMfTZrJe4u9c+as0Oszp/zLaLbEW4j0F1htrrHWwJFXq6wBQLargJ RGYmrkjwZT05L79eQtwrrFCL6iJaYru0xHouAlFp29nOnxULffy66qWVdUllKyxRU1idcAJKuvfe vYDGkhRPoTHdvWyFwA+SysogPo/DbXNATpdYMwhN94TcCJkrkfBoCs2nRE3J8BWWqAWB5ZGlwRr2 /FxGi7vi8X+iz3hkISaghIlmKFSbbuAoXFHcnBzWCd0bZ9jv6pJMKwR+sFXWpIrbGltljbuMcjdN uX3rEKikXArvRswQhQWs2Qq0Or4jUmRSMJTvoBk6dzgrWYinBx7/l4qFgOYV7ei7hKJ4GnM/wUQx 1tS+sdDYt8sBbqptshBGLT3uIlOXMi7oA+D7s4Ya7SWQJkBpjA4UcFeVE+6VziP6bMVFaiZjgxjY MS4GtiBEkBBK3ng8OoY8J+Nq6axOeflsReH0hB/WIomC7uXdyx0QXzTloUUMB8/pFdMSScecPftg lY8zO632pRUEm6RGRMNEIbOhJdyfhGNTKWZFmI9Ofn1fUqxarlh1dmurMk46QRse/1cohEOIENh2 uRwriqYTILCgzuqYPj+MwYZAF01eX4TQlyYoKlEPiS/qGi+X2QreHTQIgGQDoKEWytqeaxr9pgFq aM7ZRSwKlejwJX+LWJAkzcHS+tTkDVDPH4DHI9jQN1UBS0M9YM1QCKNGv363tq2ILwFzVmCVhBDy UV1deYXRdBNlSk2e2q7C6aCdBLA26EbXScNmLCGYlIC3RoyLKkOwm+R3RAoEEu5k3YOFNoXF5zwT e6SfqcLj0UV2K7CcDfwP9/UEWFE4jY7aSabXUu2sC5+3qXpdhP44txD+qTUUwqi1u7Ykdfa358aZ PHr8oNd67hyUFM9FBhOxpgl1GHWhkCu+469gqBdiYLtrPuXeyuospKEIjseDY3q376segD6qRNoM /UlM3MuO5JPH3Xg6lepF6nGr+CssUtOHW4a2VibUMTTa+f+qQ5yyNIigJiHoHEydsgmYPXVbCc3n lwRYfEqg0L/DhluA3dxy57yreDzo1w8/h9i7pjvF110fRdTIpZDMd2u3rro8J+ueky2YW9tdH0bU bCdCwtQHW0QTSMNCzauGVQK+C6YIjJhBFhPaeh4OjaGXDcIh5vVJ/tLp+kuj/T3FAT/nHh7/5yoq SlCAoPTa0I5CagIY+5jZ0tacXQtltttxRU3CtLqjGvXIwsHW5IOH1ATnZ+FiSkJkVFgbwqVPYEF5 nJNZo95RSK2sLHeWqjloEaHu0IXaHHw8qJ71X/1W3mPDs7L9HlGL8TJbKY+JgmVlHahey8omhJs1 QaHSB3tBeUvy3WM5Ormn8xtPKuFnUJs2LRU7CCsd7UMkRn6XUQh/h1BqVu9boykwB7/LUhIfAzq5 +z2iBmHmSufx3VhTuBjYHUKpO+JoNZ8RMPa5/CBqNdlBBHarzVAcUXMJ4Ve2wZdQPcdPAvoZBVia SNEteNANCCJx8P4xZzsQtvAdUfGMPAXwcW1QwNjPilVNFfHQDomJ5fu2h/RCycvvsh0SE2FGtmTc DkGm5RN0zrDpUijwO7YJClEfxNiDueGD32X7TBB6zWpIr71k/ZcZjpxvowKV9YF4l+0oot5V2dgs Pqg0dE7gJmDUuSrx+L/UF7NlrWVdn5Ido6gh856MHjXG8jY9ujc2QSeCceG8HaKolWvk1n3wcOjk A13xFDS9Rk7kthLpgwbIjwgvOoS6vWuQcgiUN5nXc/DiYhki756LGY9HwKr+nXKg6gtdaZug0MmF oA/ae1PqYzrhpQHQa7WzDPo/+Rw7BH0oJwNWxQbPySB6cqLqLmBVqbbFMq5k9gbnowGLChi+IzK6 oRZK79MHS+tBJRKJJS08HqnC+QpieTovKWnYBEWYjxP9QODDLvVp7tqYoJnNhwKksuVbLMJ8DPMf W90HL+CfNXMmRnZ/595H+42cKWQxkLfBHW3NaM4rvuMv4iYm2QervkIMc/xYI3HH5en2NUHUm0dV yiYoiqeRYaBNs40RNJtvsZFG9zMIrT5NUBRPCy/Uc24+WHFxwQLzJC/M6gsctqWESss2YC7Q8TAI NUvy+I4gWCTGvCfGjBzskMbVaKy7gceDjGN8w1+BTqn5WirsuDzdGCHeCXJxjxMBu5oO9Cq3bbE4 nO5vqCFsMRR3bYJSQyWQeW3FNQsj8pwu6W7vqXf9y/GGMbcNmqATfXajHiw+HpQ9rhoTJkgqq7fB sUPVPMrhN/af4WC7PPppWTrUdLAlB4ITFAE+ZrUzaPngWf3JwTq7GjiDEogc8uBGOJOIpSg3EGKD Y4cqHzLlIK1Bg7bYSYsXm3Ioh+LxSBPuW6EBspLFsFU/8EqJYmnAmWebTRjz8y84vUvlozORzeiz TupQd33QX/lG6txkHCwlOwdSawTrIVbcE2Be+ALBxgH9P5x3twnE8gW/5X2SVjEZiyWC/ZSmyck4 JvJgZPYtT35AhPG80RAJwKhMpessRUm8oAuOtAx9iMyO/a6O+kAZWD7kq0MazuYpNkSUHnW6g83T BMoM7W1hh87H0l0KhrzQ+TCBOC/kj22v+xf7rql3z0FdHfRbmeufsfH5IO9o36sJYkrQBbuzFHq8 LPj8ysIWyA+xpWhhOxwWu2Bia7rvKURTq86Iy90G23BQ6jmfSl4QEk7VAE4cmvDTn0t/pytTuYiF ZXU0+E2rqvgMi8BTZbkun/x4E5GBxs3iBwSB0S81WKDJyt7PLEXVarTnoZZl1eok2CdTfG81AgfX u89S6JCo+kdj44ODz9Lu8BGjYi4MAjoclMhRlOAU5ZWeDHbb60ZwRsp5biJiOahUdHbaEn6jr8Ln /9k4w8/IzsB8jDpSFGGfYxXHZzLUcJNG0yZ1obp494bUi1wWUlS0HlVKX+MOPk3QQanMqts5sU9k TNhwByttoKqQJTfIalGmAhE7IsFlTOHcLZzMvjiZenactYZgtJBCGMjvPA14XNhI3WkKK9cL6D7n Bkn+g8dRo12w8GgDzaOqaQoFqoeMNgkmKl9gIpSjzzWdxDSDeCH7ZwTedjL/UDbyXI1hAN13gxIg ua6Jm46D8v2O8E78sbT5/Ps01fyLbHbuwwb+tc9SLAKS4fCb5M2qmpG4VFO6FonegICUycAsiral XEG3dBvs/IaICZDnkvFeZ2lKLB8B/gRMn343djIhJOSX/BUspUy1Jg625Sq0WpXR6iX/yerEwSRq wLiTFAXc6CuOKVGUSQp+d8BMWtYlmmune3yHFWz53xWuqCy/IwFk4YGNeECcvAkLaXZBNmTRcHss Z3UW6s3xSyJECNW3UangUL3AseampBUszfn8v2iLDD87zQmv90uKgm4AMycU1yxtEzkYaVvPluye tK1u9zFLkRiIYdLa7j54hXYlahAS3pgLEDhEzRQq/1Hq/FprmzNyCsWqGW+zc2mDokp0tZSZjjb5 fLDd6jdaH3Fifxxucwqr2JkqIMM71tds8kyMqcdmRMRuIpTCMrYMA7fK2Dtf7BVEFU8coBIkFIKp 54+mSEV5AgJpywS9KeKkt40KSMSl1dl9sGYIadRYHINBZegB87Bi5Pu6v9O3HLoqAoGMA9sK2eTj WCF7P0U2cFc0S4Gt4rnhuNHaIrOsrXVnCS3aE3VTGH6B8beZn2SCw4DFXMuBsiXRRzoHvoouelE3 AbMctJRA32LgVOmrGBorzvTtWtqk2Hfvt9BZEYYx51CyhH+M4fsNhTYXJ1oQL7ZJivAhiUd2TyQR cbBJOusKV1GpYuP3KieCShs/NlnreGB8/rZBR6TIUXo3H6ySdI507bc5Kp+PVGP9UBLoDxH/bRrl 2FzxvOqEzpglutu9O1qhRSvTkw2BcnkHhu6Ki+6KjQm2Bl9JgLTzdocyMzQuskT5UH/L0xpchgYt zd42YsLyNJpkVddJaCoZRCdw5Td2FEty4K8orvFXVwSltnlxRjkwWERXGCJFY8gpEH08r0guxiGk 5M/k4sM5dFiUDwMiFh80SeeNTzQ2wdyAUlqCWo4cY5D9ylD4FrWpxMovCbYbbV5XYnF7OWbwrC80 SAWDyJXPRwIqt7nGzdm4nJ5ZCvX2wLFUTYaVfzmZ0oYSeg86lDqYtbbfQkKjtFE3kxQOtpTOgZbA ZqhyyIPMAOuSuJDOFZUoKejZiQzcc+iziMbEOUdgb8LBlD8rZHzZCegJVp2h0WK5dgxVaH9GAM80 RUrWyOPHyuUaBhuYH3YDvphWaesupjDslpJT4mLi4A0AgiBAh6EWOrDZnKbBDOPcdmBt+7FEWbkc eC3KpQzaFyLpL5eQxZqcwsTUNvj8v1R2Tb94K4X0WQp5jQ3JSaEaGJCiRCUQd7RKNanLE/3l7ba4 f2Owc1ZgmR9j5bkHxKYhWnlS3XkmZzDVRWOjYbux+3mJe8VeNyqbMNUVvkaIZOZwa3Yp7AN6zOeD 07tccJZsdHEkrueOC8VCOuxM1dFe51/yYyByBGAQZCfnp0Kka9MUOcQo8KYhoQ2e6vZGywFWcqHw zgRmTYT8DYB6VImvjkG1t40WE/W+F7slc3m3pME1rQvXaC8ZLKZHCFR0UVwe47nkwnI3epJzV/PM 22hhGI04b+twowZ3PZbDercwxI0tJQ62mNY52BqBlKwuTZjV087r3MPUecoU5bRMtw573b/040d+ BkWV6HqqHnvCFj4f5CfjGwqJe7amr/M7hGTDb30yTiHUj540Ei+q3XSbFyHhKpvE5osipMuigoPn J3ujDreYxBXaeaIzucDqbCCIkjpvjbf7tlFjiUncYPufg9bSPKtwqqo2G58P8pNyiQ+JlUrI/V53 wRz6L2IJjFmWqxqkVbwGR+0GYUjGNcoNDRiX6gH/XTWBFE1jowqp7tkRi/o8lACEkJFYq+Z7plgg dGCcIoFCgoWD49m4XCVkiaUUWDAi1fxl1oASTLtc0Bx6MKJAOhv3NJsDaU6vwBHrzebAXM7bz6EJ 46C8CoUsbfAGEzw4zk/NChwUYWVJrUlCfIiqmC2l1fxtI2w2C3CLJowcdHifuDLpoDm3NZ+P6pTf BTjkTLVeZG2OXRgXa7h12hWXu0FrG5r1tt/2bn52BzaMdyUlZmglPdqX45xpqJDwioMfII2HFiRW fwbVqRKSFOH+CGPit0RZHI8jzdJgW4Mc+A3kJeGO/cQv/IAgrrwZCqcEzdw+vnZciCihGHgnQX0D OuGesCfIoTgGK3BQlda5FJgx4lwiQ11KK8Xp9bjjUkMETo/cE6GcXI0Q2xMcnF9Igj3pfxn7zhxZ eiTJ/3mWQoNazP0PNjQzd0bWTHi9xgLLb3tfZEYxKVyYaDfbVcQUuzEy202qUyaCOn8UmRa5f/ST WPH5iE/jOQoFNgYJT89q+tM/BoaLHlZuy3bRa3RBI5qhKqwMDBmFLgBnuy0fHthESuCpK5E7RxOx ORlksELC2ri6YTDz1pdEuAlyQ/O+g7LdDENDmRClzOcjkrpvuWKBwDmp7hUXWzJmZLvsRBBaMooj J+Dp6tCStapPUogt8WLu9MEm6eyMgsROyIBzFlB7/kwd3g4HBQrPZhcnjcccmjIqXGpZjIjsjIg2 4KHBXtyJ9/h8RBrx6hI7F1PAsVtdCn0ZAWSDlYwMqU9Qua0DBwBOMRQg0m9L5AJjRscjtyXNjPXg JyjKCy0IUo8AP6hq2wEwCugVsUKSNpK1Zw6NGaFCCVoW87id6vWHPZ+qBPbE43w+KpxcICDnY/7Q w9AnKSp5g9gypsqTRLy5KRoi2+UluI6miSYptpMRqYasfg6+3040pPpUOW90or+0hMzlT4jbgJXn Lq76sLcNJqmJYqy27va2LjWUdIuzBBeaM5bhk4T/g0psxa3pkxQF3pALPlPj0rwr9+G5biGdDbku Ze1sv/1tKFOlRFevEh3qlOCMgOMFHMVJLaG3j54mEEkFBVCgsC2k3MveNiqcUGCNNW0NNkmtFEl+ Nu63EGpyKf1kseHnogy6z1IUeOMwRDPXTqUkCgnBJo2E7CJPme6zFLue80CucqisFxK4zmsMJKJs DJwPgosuFQ2x2mGBQimMqRZT7fa2wVJaOo7aHTRLGxLAEraumc9HJJL0db81+q4/91vo0kgTwi4B KDD8i1NHes7GHS2wVDZocv7DppGVNVUhOTjqrW/IiwkWCKkSOTyBCiYd6rl/Y7dzaNPINXKuATBp NFgxF8pQTDfSmHz+X0LYzGS6tBLuHEVhNy42FE3Sj5uhug2hINGqwM3qZ1Lg1Ci0TJMuvQ223QDd navKLxawdkpjgiwBvh+AhOPBlqpXGVg1arXWvszaoYzldcoilhmDMT7/L8UsBuk06Nz5maVYDbtQ 8EhXP7Amt3lCA0QRJYqrjOTQrVHGIBTesMG227l79sw44c6fkNaJb1BTwg4GyqXwdtoX3aW+d+jX OGlanYXBzReDO9pJrHkeI1fk8xHG9LulSzuSOZ9ZCpmRJVFpZNn9Nh2mbI7QpjQym6+lMOq2RhyX FAevmYCv3ZBWIDs5vznr3GARITE4UfbmWqqyB2n2tkE8yTJAoVmjBqu/1Umzvp9Ws14y2nB+v0mH H3ILj0hmjl0bkSEAfK52ZVvVGCUnEO+GqdwUQLK1FGticx/NZ7BZGufgxh80ZfINDjKgJqiaALc0 DQVnlaW87HWjMqVgXeJMTC+a9JOMi/aMaIzP/4tDyqYunMP2U1kKzBsNHkBjPOnRkpmmTLcWo7ot +NpZBhe6N3ZStGk3ZIOd3Sb1vLnjTmLSQE6kn1MDSbJAKzN5MRclYb1uxN+iT/NgzXs6HzyjQryF ON0omgQGjvLDeW44OVymiw8IHRxReAYwzex4VtkmNQJ6rdvx5D69/hZYOAq8ikXEZmSe7SJNAA0A bIVFE9Q+F43TOuBgmDIJRFljYHV7279acdC090FLaZ4sm9sFvC4+HyUnt2ii/Bj3y9eOCyveMo3f xredJXn9rcgajHJ16WLg/rBx5PQsejZlZwtTFyEVUiR/JJAAbipOb4hynpmqwBxd05mZlr1usOMy wW/qWIqPooNplioq/1m5fD6KKS+MQr6YcKP92nJhyRsmfIWV7P/gvwqzNd48mYII1IVE/cQWUxR5 TwEolJ7kr/Rkw3hmU78X3sSLjmlnmtAcHWjcZAIprE7Zm71uBBUkgUJGYRzskjvJLv/GdtYqn49q S78wcJkawl/TFNa8ibFKjIjITaaWeKHAKK5vFgQS4KFC54Z+jsriUmE4xEHTBMoDVXyTWJPgyeJk SivJPhL/c78hE7V+cmDomKhUXHtvXE0ctJqASGTkjEI/n48IOXeaeO+jEZOvNUYOLR0BPB1gHhpf QLrZTHaZLogvQIg0g4HA0xGSHWyAd1mtdGco1zRXQTQ+KNcycDQxlwEN4odCY6XdZJfYOX5JNEv0 3xWloj2UCmTOREOeqGbx+ai49J3sIomEwM6dpNiHZhN46cnudCgFvtjSuAnBY0vjQltHFZd6oYUz B4++AQ3sSybX/ayeNqz+lOEDk+kpZGncUMQU+DribdkZmMzmOCj63vABV9+oFT4fXHK/gN44hUu7 gr45dHY8C5Sq2e7jTNCFct1xKwIbB6xNUhh8b5UC6vLBi7nnmusXb5J2hqogEPPIDzp+xzEvgVIV uNDaka4WHZ4qHFCUojgvCATkxp7goix+wL+gguwjAFM3r6ZEDtwdQWCa6JpkF19Prk5/PiFbyXIT LmD77e+Sd6O7pQZfSuBHdbO7PsHxSFPFXPRk0E/eXssl8V0v+1ePaVLIRIMXBLKLZwNIEdo75vZN gqsMKJ4qZWDvCIH6AfbktKbumt4WaIm7nIFARWNegUDs7yjJmjGyD76S6FqIqhFC74HLjkjBRiL3 Ocl2ceENYOXtbf9Si4RQiA+apJPtVsbNtc3K54OF9Hu7oeeeHl5FaPGIKOV827Zct1P6WuokAg0w WqrVY8rA49Ex3jjKfPCYEmK4C1QyWD+d16Tn6uooeHdkiqv0BwO37G0DiDedVNnvtEEx5aq2kqCv yOffD+51u5XkYABGVL5WUhh4gw0H9SIvCDRX2loSQ+4UZi3FV1IUeNOMZxeZ0JVvE7p1TtjF0hLA 9SMLvVzFw5s88x5/rOmvGwmNd7FPpg+KlfJZEHK3mlXPB7nuXF+zRKz/SOm536KKN172xC+mOHpS QccHwEyxWgUO3GOfpghrUq3ire5AezTbTrLeUAclvgsCW4WZTKpcFwVaQLfzLUWyHNg9oo2lU6lm H7ThwPMW+niiWxn4PaItcGWQN3NddCyr5yef10ipIlzGNlsmYXf+axrU5Gy4mqy4xNIQT+7XOKkC taTOSWs+3CkqC05oTOFQbxA4FU6oP/L0SQ7HwT16XvU1Sqqif0CsjexBDnZsL9UNQd7C0y/TU6HO qunJwsCzOEUkJX+VaHImYAGsWv2HF1w3mgAEsZr+82RZt/IWTE4XWrn3O3gVgOltKrKlqQAITJIq kYhA4eNcDO3OjgDwr7ODhgn5uEMyN+NaPRb4cjPSLqjgvs6O4gbNDm8vVF2KhBnPV76GRuch4Pkm +HuaHZ0DsuOlFAZ90xNgjZyd18CoQrCSUoiLuBIOWjon4UbXaItncjZ8yVm6pCiVw8uwlFsioeHn 5zUsqu5WvAV73xf2Dl+PRoor5CY+rzFRVXnla+mgMcqiBifnNSLC0mlI9iUARO5yc1mJ3pMXbROa rZyc13ioZordY82Q8s7BoUmAx56LeYiwPCHBhaXDGheyRHATbXKELH0Nh6rCGbSPqga6RnJ2Zssy Lzlfmz+v0VBVn0Ozo7oIOHyQEdb0vAZD5ymoHFPCyLDubSVP0PLc3v3np9JGNdpZrK81uRm19MBt GiiSqUif/pwcSSJkC6ylhosA/qPokRBuQzPw6NiRp1ojYJKDbq8JjDGxj/1E1K9hUJUPrs2OTijg fHxyXoMg7MYJZ4ySXdWm5/HzS1ACRdrSt/WzX0OgKv29F7wtEO6tjYFoucAY4iQH+JfAx7KYW9FC 9HurkLj7eY2AqoR1kbOmOygC6rkILX7S4PJ5jX+wdlTFsZ2FCKwxe+XsvEY/OMkpVTu3SyAWkz2G Equh3FC+aRb9vMY+mJ2mnaW7vc8rokkhsg32G0B/yCqRua0NnEfDq+Gm9aRVzgbhziKiXQ1IDla9 rl2UJMz85zXsqQ9eO7MqS1R7oT8xJbqjM7kWirAOQ/uRwS9zDNZ4ZAG+uuutR9c510ydUiSZl9YG TRb0x2dWDwTC5EON2fHTYTiZSYsxfUgKIr0GPFXdZxBQpME6vE/UIHTBc+4cavnzGu7wDavNjjyw wNtmV5ZyeeHGQmeIRnY6lOvyU4fq3MJAIG6U5FhwKKcs1owUatOjUAuUvBo4Z2O1DsVmlhgRHU3q /ZV0deuYKX5eC4wWUIEqhwxcA2cHhGDJ1dbzWZ/X8iLPRd9YlcBQuPv0RHoN5ue1uogFyxs9lWwV IdWGRGYz/P/5zYH0lA5JeCpzYjIjvZovc/SsO2wu1MJ58MAvlTZ8YO7A2AhMtAecnfSqwbGsYlmm ByMHHcvJyEGgMuHp4ODp9ftYRq24Jb/S31lsVXnXRDyuWlAb06qKPWVvV+89H65fGA+yBtRr98GD Zdi9TrRDkHal3HHMwKaQ+MfzltB4dXXaZu8a7C6SRWcrd1DldaSctLsIoInC5Wd7CX97RTZE5Qkm iNqimwVAnj55XaV+eaog6BmsbIhRE02Qrixm5hr8+NmAXWNWzgSdEGjTU4W6G8hL8W+QHqgHyzP9 805gw2Ln1XWy5+6DrSCUO2S2U/l4cDzfLYbTBgllI/BCM/QeMyMN4b5KJqa1lgP6ziHrYlrIkbww /R40Sy4PaMd6hwt4GAAVbSn1jxNhUusmEzKdsTEB0rOMQol7FDV3+WF08o4kHMcDesMumylFQ53s PWyG0P9dQrLnBSpcvtacoihyhrznsOQTIpp9WPRT5WAvUEiqDgyNQudOHfGGQpQNtoj6SLCr5R0G aFGF8SimCMgrmlu38lxh6sdEWRfrrR2HrA2aogSVb15MZaG/ER1Dd5cJFzE9ZVepIFhDwKGcA2wZ u09+QDqGSlFAjagtFS+2RhPEAuIcjPo4WOLV6gDKTj58YCDvnBwQWQZUqEjua9pk9q7RMUS2GqBd NmiCTpqhqIKih++ctfrIiGdvk6FdQIQavzUKoPGXgdPv6qv8Kp5Dudwq4ioeBb0z1nDgy2Zn8rwW TFK7DHkjKZASWgexjyAt1ezRxJxPpZW2PO+ENZ6ZYL4meEnYoL5GHqOwUoAl+Xnnq1WAg8ZX5p4B G+09e5j4TlerhlFfxTwJx2rFVaAHa3KUycb9Y3XWKIru7EafFbl88Kts9Qk8/5L+wbk8DDGLcA85 NBgHbuUo5mMURjeWNkonFoSD1tA53KS+1Gben3eqWn3K9flHUQJNsvf0WPGdqobUBrzH3cu0YHEX r4yVcfVp6aOkbRbF0kZ7rO0OnqOWBTGexSmaJ8/kTlwwgYWECkRZKPEj+YO99bLvczQIShuNpVYO miMc+TJnOr/H552oVtUNfi4zQMkaMl2bofdw2tRXSzNp45OtF0s2Gq3dlYk1wDW1iKJ4erAn1gW8 4mAH0QmZefMMwUDPT846CJ3NS1MYebs+ami8x9NSM0FddQ0fVAKCw5v6GR2iNVFAvbZvM7JCMTdf V1kUTyMhmnKZ5ASV5BXoir6i2YFCsljnUBhQi8m/qF7HwSaoEsDfBP1I50RjwIijCTfY+SOJnDI0 8Zx612iCeAAtMto4OLqxj2051vq809PqwwLJxBLj7i2knKiCGEXU2NowLjII0Rzppqs9u4xWEhzt rKB3blp1VxXINPlwy88n1spbd/1O4lYB8IAJYlUtPf5pWBSfd2oaQzfp98oDqyVnNzT076RhUPLn nZlWH6l1q3VkObT7BEURNW48CNU0j6i3lxFb8TLinMP5su+8NIvl4GMgmbH5yIydHUAYMdGrLa1K 5PoCJftkjCgxAWzltSCi9t95abjKJg9qHULlHkLnJk5LuhlnqX7eaWlYDLcIXa2QKPMbzVBUhZat dTHZFXDgvBxUpMTWJHBsNfp3UhordcJXq/Kxv9jpYFifCeAMDaDPEQ6csBGKegDB53Eb9PxPfklU 8+BB0+hKzMGuMvTs1Zio7fPOSGNIvb/CIUSYpd948Z2PhoAa6oyQn9QpRC0SzRBEx+wUGtktMd7p aBapnN216x1u2nqWfmLkCv9m0LZQFBpIdjr7COLJ9kdX5J2NxryeGxOEQhusy3NugSX1zMzHo2p0 +7rIBsLOduPFdy4ao6EM9LmRPU7ykd2YZ7P3Jp264on9OxUN6UBWHbp3H7yfCjGxzkZRA+yo0OB5 TfJEB+1Sy/UuEoHonYrGPhjddpGT26Bddv6rC3M30vy8M9Fw2a71tYaoJZS2F4beiWhYQx3oL3cp Gqu6FXEVo4IzVAEx0S6LatJIF5CNiTw0981b2UadBajyBpZnR/6CYAhFYdpqE4si7UzEGZ93Ghqj 9sVGc08+aILOHltNXfm5Pu8sNC7zO0HS/DvfQ0ECzdB7RI1uM9ZQW7e22B0CU5gXGpdhmlrWOweN JzXz1SEHI3cQxAyhj0M14zNDWEKQhMIuQ5wKddNc2nqqQ0svG9Q+ZKEGZQobDJeHzqopre/POwWN Z275WkPT5Ml8hqKAGrqB54Y30OscJRmPoafVJFAD1xD3mHsnoOH3ZbIxKnulHLxheE7DtDtP6pO0 wjlBIhHAKuBHQLvIs7Iy9K5B+VXF6S3gOdQuzLcZORqv/hMV7c87/4wl4v6V2QOIVaBcZDMU1adB lJ+pWI8H+83OIbS2fJdBa91m6D2gPtcMCxone60++AydyTmTnQnO71ANSSrVIm7uYH4iyFH5jBXx zzv5rMrI/WyoTNk1UeZ4UENFWb7UO/fPO/cMj8vdzC77Tvp5vwd1FFATZwZ6gR1DfUw/htL2AnXN TmJ8J56xQICDepwt5INNEADDYIywfAbPePY3IAqxIL20fkgCUrxILuXnnXfGpnOWhsHwQQH1TLWa aXNen3faGe6k0b8mCDXtwv9LXecwoDahNbvJ+nQqLOqLXoFNw5tj76Sze07TU8wGzzgQ7577nLUh FEWG8lqUhc6GBqWp3WAISeDnnXSGCdIem6n4oAk6Ab9YsUBRfd45Z6yi5+8thvMH2uQ2QSGkowPI QVEREmB43Ekaa0+3212XBvvOOKsOwlt0R9OgCSr5bJwT7VIoe4JBmjhBE/ZX4OwLtdCN/lL0rtEE MZtPTF3FqVC0OJc5Np919Xnnm9WHb6YGIr4WFpw+QVE8jZAQPZRLOW/OyavUSxfCfHkP6J1sxgaW TulyB7vH4EbYiXRBUg/Gk7mpziTl5/LwFgGJ47sGKVkVroN9Vg5aQX3DT4ArqPMVo0N6fK0gdA5K vpigd6IZJogOc8W8mSGc7DJ9XRZ85AjnYXWhd56Zrd8mFTYbbIstNDhKGywuwnymq5EvEBXMlma7 ZxBFMN5pZiy/GmaKA2y7qrAd+ELWWSBL8nmnmf0qe5CLh8MKGajNUBhO0+dyZG9yjDkvsJwVkELb 8WKKYe8cM/w+FHea0qTnYDM0Uc0qFEg5CwW+tbzGWG6Ew+PPLXow1/m888uqQ4Hh5+eDLrHWAFvn Dpvz804v+3+xtIhTy6tC7+wyxhaJBrLmF1Ik0E94xyIzGPodbJtqeqLqdNIZLeAPB8d3oHLcqvTU 0JBOlFVVNo06GbSKzA6DbMPPO7UMFyUp5ogafNAEjZMCKFk4qevnnVmGlZBvwiqJI+Dahlc93pll +FbEQZC9N4iH6AjMWJP5hYFZ2CxjfSeWXXgQ9Lt9cIwHEK0gBxMEfFaqefLwtkeemfmHG2Wqb73s H4UzMNSXD0J5kN4vv+bJdwwOof2d06Oc32q6WyyKpQERGrOb/Tn+y5XVe3EZw7OYtsnNvZPKeM8X wV94BFPG9oe93jbPcT+bMlYUYqFtAitZ9PvAhyys9iin7zRFf+eUIdswIVXKg9QNMeVuU7Q6bRFB Xf+8c8qY1H9P0UL5ZTyLKAymK5Sdq3mDjRPtelI/qObO0tmC0ISmKKxOs/k1EqkrHLxNdiavV26z ds4imAyRUwU6SkO9aMynfF/sXaNzmrGiykMFrjNENZ/7bw/ajaD0x+eDfXYXEW4R3Ej1KU+/k8ms WIL6vWJo0Mqy48iTM/HPnnDNwncuGd6eeBi0v3ywg6hhBbKWDNl51Jh2k41jh0lhQ5sr30VELNU7 lYxxieBCYgSP2wWCxegQa2PtzzuTjO/4Cy9EQ+TpZY93Hhm+dEMKWqRyyBWm7qzEvd1YFrIw550w Q+80surczU45Kw1+VKM+UVcSTrGjJ8TC0FloZ1Ng27Z2FxFdbT/vNDKCeKneMJrYwM1kwuG3JPX2 frKAzzuLrMri8OuuJ6IqXRxnCPko6JKlRsACmq7kbeKupzN7QbdjQa/yzFDn5wRrSDi8cxv64GsI GLYJvi7WUEdnGmBFrKbzB4GPgNvHGoko73zeKWTcZWQjTt72HAzKeS6xJZ5dH593BhkOglvBtzLb gIOxF6jfGWSMF+lYNCUrj8KQqfCfeNER03NzCXCGogK10DD0W7DBL7MNn05JEZ01MhacB4CqwuSg okamqysW4z0+7wQya+OcM1r9+nr79SdKoDyMEDOfd/pYlZOFpojwRrbp+l1D7xG1FI0ga6HJkBq/ dlkicElriNsV1/07eezuMhR8fLA1NM4fP9AA4i47JzfSYuwynEOoc6CdcuWKyUJ4Z48R2M1zKOsc yn4OdQgeSUJ9nYj6nTxWH9tvnUONwPTuOcc7d8zicNzx6mdMGrxa6aybWBHEZLaxNN6pY1XuW0g2 SvLBz6GTT1TS9k7WWlEq3cJeofqJRdHoXjkkR7D1rn+B7WF25YOl9avIuOicefnzThxjPNS+kjKq kOZ1N1lUn6Zi6qY4MPEMqThTo6yrRbCoO8mDOoypmc+PRtoYBy8MnUU0TsgpnbQBFwxVsjutdguM Xd0fDJCCzztprLrD3K7lDkZGgFSWco49Pu+csSp/j6+cAy3E/RxCId7D6kJutiuLGcaLziOjK4jV hd4ZY9UN1Kag5VOGb6oLbbov0fTz7GTIv7FnDc9JmqCx2qMd1qlI+M4Xs6j9HD5iRXO4daHMLBlG LZ93uhiPMC+cyeSKxuhyJ+YURSE1auKw3nO8xywus4M/x8HBaIJpj4UhtfZYJr58ut4UnOQWLtTO vH6dH76aiwFmUdJf9U7RaPauQeGDLY4hIdCR3akIEZccrss5Ij7vXLHfsCrWzlDDRIHSZiiMqPek mLyVhqqErcGFHvnKyqOqrMS1hfhpklpGydkHm6GTECBebErLgNOYglVRug0FZ7rwNdXOkt41QOZt XvJyTOVgcAa6Wv+Qt5vxeBAu9u9TCErD0A33CQoD6rRYXOyW2Q+H3KOi7HKNUPK2JRSVpzVBPdfm w2VunIWzkK1BziphR8h4BucS633ySi1f53QI+KBLT4IIsA1W+1hJlbSTqfHxoFt/mS3SUFvYlt7h 6FFA3aVCuA3cOUu5wgx0jZAwA/A1ora8B9T3nB5Emo/h8hWwi11AZW2mHOcPmVtgdKjYl8UCVvJ4 uhPc2aN4Og05NXMltbZd7+tckFJfKWVUPB4BhNt3PE2Ztos662F9+qxwNCRMFnVm63Wcvb2bKVeg /Gt7rEeAD+Sf0HRio2M6aA6tyDVWYbsZBfxcKBtlANmMpQmhMUvJJoUrelSflsJulaROvZI6aCMW SerUk3D0KJ5+uFGbCe6CJsRdQXF9esF41gxA5/kRrQV0IpTh5KhEvxXcZD2qT5sVqMLp9h1O9xMr UnMJESOID4ksejQ6BvSHc+kP95kF6h6F05PK8UVJayE5czHlaLkKdgYOBJ4PqIfz+xiiqv8XhLqH 5MOK47lN6TFNAHotGtok75O32pHzMOXoUUA9WR2qi2BzDt6LbgOeL0leBAkCl5SPB9ysQzAOtikX eEbRih4F1DWJHjWXD47MaybYfa4BPB6c1OkbIszS2RheP+sR/xDvCCKTqmZjze7+jaNsr5+BpGIz 9DcBsTc57jgojFY7J7ASJaElGB1LQiaBadvQaUWAfQUrtt41yMlYNxvdhhPsDWYc00STwe4peDyY oPFdpEZNqvV2l1AYUENdq+/mahVlLpugc1AsDxgh98mcrIckRKFfl1KzNe8EgfQ/BnDSVGYcA43/ k9cj/SJ8lDGOnUPV3jXI6+lqhdTOB6vAngebsJ04qKOImiWLC12khAokWGyCwhp1xzlUhtxRBxn7 8kld9FmXRwMdqLmC4ojaOvDVB6cpwAACyxEoV/TUlxzk0MU5/yvldNvFe9Daskc16poE9FDHtRi4 LcNttcli56xVPB7hzr6vMuo57Orgzv4eUJvXR5sCSINHT1OmilOo0bqiIWmlWCiXUBRQy8mrDUXL 4wF3nhk6s0RdpZMZnF2/WDw7iSZM0VAhX1fgO9EZrUcl6srqPbRBfLADF8Ak3d954vEgq79JmWok Unj2CYrw04D1jrWt2jGgre91D7jzyNCyMcXjEorgHvJe6mbWNNjXq2y10mB9ER58AohCG1NM0Iaf 5dm7az2HEG5xfMefuDw6F2nQCoIWmYKhk7/i8WAFPXwyPgeaTc0PEzqMqDu1T/uy0tBk4MN0Odv/ CPcKXC6MF6MS9UrSXiAgiMM9hnARGoJ6n6wMWDOWqNtZQ7AcKOwEsdvaKaPb3yNqmUHCkIHYxXqx i+3EVklF+JEaHv8XG5oxAiBEbJYYHToKqRGknSlKN2/tt88xi5vHn4zPGvYjqlHThGKPSQWm4dVR gIb2LGlK8JBdtCn7dGqQQikW2IvrqGPvGnWCjPNbfNBJXWBIasWzjMeDkHpcsgu9BiavMT+IRhRT 04a4FRMVAlzemmXnPprJ2onoKNsMhTVqfnFXJ6g/naAEH60FE04xOc5ZSoldZmdnynBkXoTwoh7L CFmJQocsNqY5GP71fIz8q86ZhMf/VaO2FI5Sq36ZjRBEjcQ186QjRLhOVxTaxGiKqIBKqTj1oZYH RTxWohfDSqyJowtdTix6HkeKBxnJkzhlicXR1LjhBMQastsekjT4jgDe2cwuTgdSNW5Yr6VoX59L t+Pxf9KBMBkLRKeLEB4h6ANmP5MCNZRcolG3FYcuMi+hwaAlFEXUixjqsWnyNUjq4QSlE2Cd4Jcq 8KgLDaqUIPnHakRHul/mL+KVga/4q8tRpyr50/0FSofFllr2s+Hx6BTaX6cQgdv5YjtHFE+DYA7D ShWEoBltwPJq/UU0W0dvVqAeUTzN0/fcYe0OXn8FP7bj/kIbCLSdKjFrhGv0vWi02zb3s6qXjU4h cYFYRJtIAsjggTjknjxZzsmU8XywhMavJYTy3bjQvBFF1AhspxwjSQZK9xSqw49sgL+t2zqiErV2 TjNaWX/cPSHAUydc4aADm1pW+pawvCtcteCv6jXqZO8a7DFe8yOl6oNuMkABKbJSz+WCxyNczPxO W7FUZ74TFCKoJ2WrTLf7RLSXTjaaQ2TOdVMM/ToiBHXZ/b28iGZxKugWS24pmTExal8FiqywIvc+ GcGdIwRQV7I257yDdaPPh7L/jdWLx4NwaP0icpBZf/UHRlShhnzMie5mdbZU9iL+KF4FWTklVz6J Amrd312VsTMQEsb66wZzmbUTVIYyyGYADlF6FDsNu+M6DJ6Px3dEsRCzVRotatApdO4TCvz8QE8d j/83bTI04EtPnrSOMKAelWezT5DgVOpypOqomOZeZyMKqH1v7eKD14bOpj3TNEgnW1AD3aKTTWIN AXOa109gawmFEXWTcy5r+JnCAIKYnyjRtDrPbsPzQV7/9Dnk+QWRx9uwH3FEjXCElQpAGhKVVFkb yiW7USWcxZXYj7hGLb3bPHxwrsvJU1cDVhQCwsDVUiZ/0jqXPkgoPFkVf0tAJ6xRq9mKqrENSsvQ DB7GuSt4PJiilb7OIbQEGluAnKEZ1qgbgcFNyRjkOLYye1Sar+ob/WyRls1YE4/ocoo+aXDg0EAR j2I+Z1meT1rsx0JEGBSXk3gALWbgM6YcM8R8EF0+gP+xwfr1J8rKcp9uDY8HE9T8HMLPB5IewTQ2 QVE8DZwkYhdpdUPOonnpI/N/ZN5Kpgou+xnWqIvpKk4fHkRDBnSR0SIC30VEwwIpuq1huu9WgUU1 Ct8RFfF5ybedfbC8tcCfUhNU8Hh0DvkK4obEclzPBEXhNJCJZ4GabiAUUby62Pi6ZNdTIFIqTCEn sUpVkaxNDrf+mkbuXcKBvU84gWCCADsHwIgepZfXSgGC+Y8atWhlHLTHJip9cgpeFY//S/+NeAtA CNtMHjDOKKAGxQI9VqNL7VLciCKv7Vlra96wn3GJWtoMQlbNB1mF4PEcxrUoax218p8UIj0E2+m3 Qo1+Fb4jOoV0/Kh61r16NiEgzQo1zG7weMTk2F+nEGtw+zJ/ZxRRk3EnMjz6HLN6HtYsw1f1bFs0 NENOosj1mXAFDj5BGYhMQkTBlgIim7bdDILQBEIk6SmHv2ukkUdg1eZp3Xfz8uL5cWTd1UqveDxo Jd6UTEuIVMirAzfDEjXa0WmZ0sBMFwPbIBzp8MW8TcNiRgG1iQhq73BwpgK4RDSSodhZ6YUigrCC Rw0dcs7txouEwM4ooK4CCSe6U3PQDI0TXPAYOTfjwOP/Iv6yu4+OSktXoGFGqA9o9qAgZOfQpAag ziFN25SExbSbLKpRrywcdSk+eJcDk3IyGbOegIobNxnCi4KjgDaLLqZc9K4RrdU40ckHa0cDLCHy +Gp4PErrfYa6V4Zm9bR+hpTExA6cNRMBkLmwmNo8bd3AhDBtnaFenmwC1Erl4EsolQFYFdNWcBUL 1U4ppnXOjiFLg2X+U1vvGpWoOUGS62ySPZb+QMuSYzoXMR4PJij/6pPhN0k3op4R5APNIzRRVOJA TmbAszZ6d0RDG44zn2GJmjdZnzZPj14V6rhzFepVQW8OtoE/tAhoqu1RqtdK1NSrmlFAPVQ6q/UO lnKc9IH+XHX1jsf/iRuiZj4223iixZiSSIdughfRj563Hw0xZe9Hk83DUyjW+MDOmY22U7OVm7Wm 3IE2a0uOgcVsTBsYaNQaqvVSEkmRx3dEAg2VWA9CsTgYbKhA4QAzVHLB41G0uL5niGyOdTv2K4qn QS/3q34QpeciH8DbarLONVRtDa0I86FwsZedfPDCRzlrkeJQIEyhbMqQG3pxwHxkXNW/ZbhXiPlg nNgL11AvvoYqWvhFItO1flbISZy/SviUybqEoBXF08DDjUEPLRYXQWNU8SyrQ02Rj5xcljKMp02g PHUfLiEIzCLUOhku7pWkM12YZ6AMMK/mPTI/vmtUn5bpdFk+aILgky0dnfNLflYUT+f8XfjgQgTY yCYoVJqGeXOmYKYwH/cUqnkY7RepuU9QiPkQITqTkTnkbNXY4oDwyS4yegeQFwAiCA2Bm4nPRXXI W60Ik/AdUelMimek1nPQBJ2JK9PC6YHHo3DaWxzs4qFMS9NwTVAUTUP29USp1Upn5FIaYSq7svLu y6XuV4ig1gWWCH/t6cJfT0JDSdzNeyyD6Luv+wSdR+mmYZp5xJ2tuD5NfsJml2zuq/BRYGTAFXQW CR6PkHn3EJIlEB+4eywKp6F+BJFHoV/OHuO0bOIZWveUDBJAPKZXzElcOnyTD56S4UQtRdT687uB 6I1gEUW6k0eVn/7kG5sqMStiJXY1f1hs02BdshOKSvt1nXxjheXp9q3dSfhru3WhFUXTiRoH2xQH EJde543lJPKVNmkKmKBQ4YOcevAOffBTGqihmqlfURY4WPgnawBOMED1zDm7fkWhxgK+JJBnWMTk sYusQeH0maFEs4Q6+sDjAeLj1qe5huC9VzHNNkVROI2CxNjTvCMmJFfsIjMcIyEfkDLXLgvDaUVB eTYfnKdwjh2cn6q/JsR1WkPANQBEtuqlKYD/wu8IZoi7rFauoTOYq/uEfKdAQ+fnwOORWtU34oOq aftqMa0onMahMDtkjVg5O1Nhvok9U9yJOWtB+0VrKIRQEyvVVrmDX2QpZZA1SQaCfWKhgPCGUHET CfjqK6c59K5/FYYGpQg1aJO1WUWDPB/HV3zfZOsWhlhEwlImA0cTFCKoUVpMxboZsGSyZYNqspsB TjZFuYLCArVIQJX3GQernLUKW9Imx8QzUYnREjRh0RfFxTi6M+4Iy+eXBBBqbrKVd/PBiq+FjvOI kFbF45F++XfCQaucr6s+LE8vFKURrFmj9Zbw2+4u54XDxK76MJweau2k4oMtoVlz9/L0Sang5Fso eAafxgRJYjT0PBgaQy8bdYEKa/csnXHQMYSuSjcNFD4e3PX7112/QEYq3ibbUTiNK3eMVIrlrLJO GKy+esIBYLjlrDvkJApWtWQtsR5riQ0VQVyGhMScTLMxGEpgMzfyAsvjmczy9I7C6cbCfREiplxE zPmRGUAAbTf4eFA5uymZJoMnkSf1+z2cxlOo3/diGdkJcp0sleVQ1gj3aNar37HEB9fOYCOeg+es 0Ao+Vz/9E+qZjSb/BLhodxSCQOzwi4wa+DuEUFdREpnbc9AKSsBL8B6CPu5+D6fxG86vfMPqCR4L 7RBC3RFEby+cAbDop9C2EBISH3cFReH0YBYBeygfbid61DnpjwuawtnPDCgL+UUUQgUe0SztEIXj O6LCGVNWEEJtMLYUjgb+0YiFdkxIvCo6Al6D3H51KXfISITg+voSYnKdvJNrV5fpzvjxNEMh3EMM jiZl03aVTdO5kFuBkx3jafjREt2Y4L5R0baaO90WEC+yHcXTmzpntRFaxUF1IQA91Smde+LxSHXx l7KpUIt3CYX4aVA2R63j0hRcBKUKMET/lup4mP03IfGNOj5hS06cKKrTtSSmJiBeg72NJmW5hxDL 9zsW+eAEQVrGBqssTom0Aaxe8XgEqfJwWixzGDI8p3QomAfRxSLPDVCB8nQPl57NXyHvczmbyMeO wuk1BOpUf2N89TfOukcQSEIiHLdo0XbiQuT0rIHNR/oV5wq+IwiFCvsbWyoouz8I/DmkbFrTwuMR Yuhb+hUGwm3cUGhHaA/ct1BTMx5Hpbk3J2jWlbyyuJIhhnaol7f2O8C8Q6ZiVuYbCbe8TVBBEILA Gg10a0OzwozviISYcDyjxOSDbbGTkKm/gSbiDmvT/TuY5p2Yr4HUDiU+8iZFodgWU+7aKdGdXess TXcB2iHagyfLWAQvjuWXKWAMoAMx2ETSsbJRpRYd7s/nocJr8Gla7eI7glCR93ynaYkGraCRiSsm 227g8eAaG7+UqiAHn684ww5r05AemLuNO0HuXzuYJotL1p0qtWO0h4BCXB4cPB2bDVB1AHNAUQCG irFSJqd1iGDqgKo99a7BGcQQscoKqI5HfrqAKcsJWnw8Irl8n0HUMF6XBrSjWBp9tWnaipDyct28 fILDYQa/a8ONRBMUQT0mnRTAZvHB7/nzSefMRh+77gENryJzclxc6FnNVm4gxO7GjuU9KLaUmY5x 0BaD+LGcFHIaeDwSg/Nkg9yYRQ2ba++Xolga5pBTdoUAwzQAMUzfYzGLzdD3IKSOXhMpDKZJiAbG zAcF0wu9/w5qN9EwI4k3fn6TM+sDKm7o517EEMWYWCJ5TzrV2khqdJCKnJVwnLiYswR0Nj/gX+BO NlqhYPy4Aoku/b4A6AvEGUGzPs9lgI9OCwsuJKI7bZrCkJpO0WWqCTaTT9PuJ3LJVE89x/PeJ/kg 1APQyB8m51SG8xr+2Pa6EaJBAh/EnnHgWlrwfSUdu43W+HyQdvRv1TMAdyoq1T5LIeoD59GgLw9R H4qJWEHLt4JWhvsh4oMChCfbF/CX88Fmac29QWEW4aXWs0wLDX6hhXIOqp9NwwBTM6/2tn+CGhKP 7AqiV5e96lxVnSFI2/EDgrjolvJlloNs92vLRaVqUFtndkDnzCQeK8FXo5pay6u5K2sKrRHZr6/S 1KlfmjrnxKsoBjE9AzELMWM7n3nyhb6g+UfPMU9gt71uJEYgJZQxfFCZCL4xqqWe0ILPR+Xq/nW1 bWKUS76zFMXXqZIxNdUeOxPTDJqHxr30LODxkq0am1NUrx7JdISrD57lg7TZcWrASgkuoSylTbgA D7ZpJDPISlGm8hC+JbKfoOZHomwMBysVARQpyWW4K6QQAZK/OYoZEJSBuNunKSxaw+4Ojn1iUC20 SngaoUaVDYk24Q5QNU1x1doEqacPvpigXb75k7UTu0OjS16JDervEGhKlLBSpsYggErq7yEd0XpA r/tgOJnzvhLI72nz+fdpqlfRM1ur7af1WxCR0Vp0oJ1zB//qrCHoHTVjUc1ZTNGKSl0C7OUUBdvW ei4Spy4uTl0QBEwk+HQuAxAdFTrWHTPV+X821QZ0MqHHwC/5yzMoc+lp0JYbZ7lLkntuvWTE5/TF RIhom4Kw3VmKBUDANWupGFqGPrFCy4xuVcjzzdXdflNYvlYcnVSCTGPdWeoZNHII5WExpVZZ3x+w X+6oBY/r71bIoOeX/KX2VVWCPINtnXGSYXaSoanc+HzkPXUvOaZ4rLOs64qToqgbIdIkgE55W3Oo Vesq0FaKgLiHYk6RCojA910w/f7A9E+uts7NTwL+WeFoiHDVnQgAES8R6NdV20yRU2z7wpx27uaD 1lJCaVPsjTb5fLDj6nXtwOZCk6iP2wjJKQq9Qa6E/se0jvVyX3bk6d3T/56rz1JUxx7iBQ+2jDg4 K2+0XmTyfv7vDjtJugcBZnWSUjBgl0l5l0zyK74kKCE1U467g/VCzpZT+jYYVobmLxcYwtgIP1F+ 7INCP0UUZgB7UJVtdOZQVsne2zNcNutkFxgiQ5qoi8TOcHDsDFoFs0mt+kTJAP0Srs464LnfwMbT sVR4a/FL/tpwbeU7GP5q98SYsNJRMbRUnOlbuEk2Ml+TFMXeQAKdP6lZGQA8ea+0NasNZJIPfZIi dIia+mO2O9gknbt/wOJNtcgTVRTFDKDVUy8OZb4L4kv2tkFHhG6uCCh9cHgIakg8u0fl81HF/zsQ oMvuuE2jHNsqlsp+bLZMt26r8zdImynTPbFxsVJADn0Vl8R0B5cJB99uJ9RI6GeLwIgyEOtOCeoo pDbUhztUmr3tXyJ7XULgvTCOFnloU7fsB+6GfD644K7khYg0BaTQeyaFxoq4MSc0yTVJ52ywWn9b 9eoOz0opx64PCrYb246zS+GqPwpXUG+oo2QWTNI6IaZEwKjfhN5Le6raY/jbRtuN2t7obtkgNN/J Q6UEfQ6JyuejHv+3uDftk+eTnoTmikibzgHv8jInx3aLYEhy25nUl0ONcuCu6KKofcw7+EqCP9VG kIO6ZEaCR9lY1GkqHPmoyWzpiazbc+ivuCQRTy0nDFNLCeTzzo5HT/DoDA0WH5NpBgGbNaWb6gYG i8IJnVzCLBcGRTtUept8YxYEKrz+bCn97QfTp8iu8+mxIXU78XxOwvStNbklMxmeiHkhjuqHEvXk cmixmIm6blWgkbpcyAm5iTocJ8Lj8/90hJFu8Qan8Zml2BIG2Yl6/AvmMIRAbv485MdC13JAal8B ZeiyuLiNgA/xwdv9Z+LBhCKmBqaamRaCg7YEJBMn4ouNsVfsdYNYScThQkeP6sF9Ro17D/X7y+bz wdl9VeUqCwI4Dy/4MQc+i6BHNNjCTCUnJ8PozUEjtYtYhBqa28LkwGgxswZIZdTlw011BzwlWBCA QC2Jbu0sKdyI81x2367TtdrbRhccc7dJ9yUOigJgQSwU9rSXjJD8v6IA9ODreC64sNYNOelVmjC0 J5RYzo0tV8IAOvvZJyksdovsUBgMcLBJOhMPxtWQimw7+VoX2WrAUbSwdvVIotdhrxvJxpNhzUBd gwLK85yw6ufCynw+Sk68YyIFKNyt/DabphCMPREsrWVyIasuVy0a0r5E3zBvByDl2HFRdJna7uDJ yQmcziSQ8ZCxmColVTbbKzjJZXWmtttK9raREigp+okO1DW5A3U7PwVL9eB0Nj7/r+REaruVUvM+ SaHpYpc0eVLH9kSCtTjpgS4UApCs4XXK0HVxyaa77+WD9wXaONFSlyJxhYE5oNsnHmBRF2y2fDM4 4dhyaLuoq40Ifg6uar3lZwG6EJZS4LuIOOJ3bpKRHd00NzReRMsUSlfZOgNyykMBbpdpJZMNoVOf pBiUzZIJbncbHIgkFK0Vc5P4gmeSiMFFsw/Xha2kJRvq0HmRyqEdcqM+6OjGg/IaOoETn4+4xL/g fkiI6uWj59h78dwYM8sKBRdcXV6l7CxuUR4dhi8+SWHYvVTqZi+Xg2+3PFHAt/bJCfBR4TsLCg3H wdowFPkM8Zdrstf9S+QJIFYONJnANAFGSIzrz1nDmx8QBd711w2XYQf1rKUQTQLFrjmm7rXz7t0b A3MbyxiILZSoeCoFDozePgGwzwc/vBtwQon8MHQpMxkQi74OlAkABeUmugqXYgtGVilzJpD9DEU7 7sxNF2X2pCx6y4hIM77SE3p2l6fkHZswVgrxeaIL4xLda0VUZiW60KFSTBm4MKoPAzMYSa2QFWg7 bqK2ge8BJfRc1Is5XOXyIlNtXL0wtLj0JRHlkTuu9emDodjBqBSKPWU+H6ny1f8TB5R9/c9y6MMI seZZmmGQZmE1U9W3dKtvlX1FTVKIK9HZXZmUcXDgDa61E3EzPckwKyPtJnMqUECjY64s4qTsmEMn RgVLbDLYYBdca/JcO+dE4/P/Wkk4gaZAY8+xFMXdTfYxQ1NzMpLsdJFzR1oLHDppXjIJvBg9Chg9 3cHrSmCod2b4yAvnzlktO+qIIZ4b3jsxO88ceDEadmIkCWZysM43KIhaSWvw+chjp3xtN/hq1XlJ WTl0Y4T+pMwIZKVX8nR4CctZKr4BU2GTFIO1qcGbmcJxeNDs0GFHFHDikpNWLk2SRF5xyLHm3MVR H/a2wSRVLSHxssblZSWkq1wHLL6FfozlkewhKQKHUm7PLEVxN/44iF/6oVSsMHBmqVELgmZfiad4 1wdFfTgcNV14NztHtZRgrEZQBXFcec0qQUjIF1EVvN8wALGQviS44BhJtmFWKeXy+3DG8XZq3G8h zGR+s7Po+NDbemYpCrvRRJ65XqBJcu7sSTDGdKAJuno2S38bnUOIxgfvnMyCtE0pHJQ9cYlBEaIQ nTZ/QJpguRDI5W5v+xeuvXcqGnZXd8mQBCIy/5xwNfP5IArY3xFlGyzW3GpAaM1Io2pRX9nSdR0f 5LnGjSwpy2EGGy72ZpTmrDq7HDxYQs8KRHXWKNOs4lFAV13a03P/xm3n0JtRbaXFIosGwyudQ5iZ PBJpPv/fiF9TjGKmGymF7oxAoA1oAV7crTeXUu8GClzsVNscRVG3FFUGKIM22ByBn7OKOX8BDZrp vyfoAhU0xwNuV6My8GcUxwDqlwyUOKiuNJr9PxDY8/lIJusXn7YCBL2f+y22aKxyILQEbmcHuNc5 vPC94IRrYXfo0aiju6xnsO2GW62PrGLATpVyr2zKIcEt1BfZF9mlrnfo0jilD044gQZ1vTsOJsFx 0uDz/5omM6ABtPWZpZATycbJtLU0AB22tZRF85fCSEu+lsKom1THKkV1Dh5173TOUrb7z0FXzrKl 7/lME11CKmhkD5V2bva2kfwBOyaNVW8OVnwb54jioVSzXjLacL806RCH0FfCJinEmSQcSqVbubsX Z9Z2ykKYygiSb1tKIZCbOQltoG2wSYIq4FroBIHTdjIogBhQolyou4HMSQCc1ZXysteNSpS8/3l9 azBmZIes1w9dDSefj9A4HnXjOaDh6hdmKXBsRAGZxSTTzDr/lZvnuSQhSDMLAjNK4ELLxk6yzbnv iw9e7u5wuIeUKwq5aDcNspJOgA9yZPmh0pgVctEa1+tG3hc8kFLdPhjMpE2e+4BDZD4fkSY87GZY RFvL/Gy4KOxGvDHO/LsHT2PCS3m6akAmFN/m8FmKUCZSzDILIg6+lgoZpORuQe+/V9ZKJjNxiJpT F8qaAqvb2/5VMqmJznsctJSgGJMkGs5TKQR1P1icsSzPLeBh+zSF9e4Ko/hu5ueg97p1Y03JS7kJ NBPbcnHgnd+nCSsLrSNNUxkU8ERJCRpziAPO2XudZiD9qm8Jthzb3kUQAQ4CUyY4rWelJ53PRzHl d6LLH6deC9kcujciP56lF0mvzUIENJG58O1EHAl6wGx3MYWBtx1MhG7X3p/05Oyu88fTwwBxcQUZ BLOEzd5Aw4fOn1cpe7PXjWCClD7KEkLKLmw8gKwk2LudQ5DPBzHlTeL4r2kfDJ3TO09xxRtMZLBL MjnJ6PwIAYcy7Jkcs2s2sbocmjhKhz4vEts5GIK5wU8Z/HbytqFuzB7TuSkAcaHXQ1k3ZKLITw5c HHE0sV+J8pQNuuZOdEhbgZ9zO0w+H8QCo3wfTai+gO5usxT6OOIKH9T0Ns/m/iS7zdO4tJdpZOfA yBFCC+x5V3l61+Z8JWDEwNAAMOKscfBLuO4oI0R9sdJusks3Rn7JXwlKoxGLBgXfGcZj3EUViylw cvzecjIlRNZUnwpc6OVINSTAKS3ZXc0JFerWy3sGYpxK40IzRxWXhuLKceNK5KENisacpY3yxqCP T4PGeqF2FJBYSuOGIqbAzdGFNprCypYfMZtcpiysait8Prjlrt+lndQALl0sfGjniBbTLL6UkD40 z3XbNlLFzokWEF0f9FfExDKUDY7yBmRVrmBnkjYauyQXkPGB5g0d9ow9qQpc6Oco0FJXOtdJDKC4 EcTJ6cJ0oouy+AGRs8F3CQ58ie9ELrB0BKsQYDmWxClIkl0f4RywJqINrEnx4Dv2dCQwdzT35bsu 6anCOT75UoI7PesmQK+dCco/22u5pLzrZSNyDlYS3Gt8sILACYRkFzcAogg9HfOdIxmIVJKd7xyF mtkZR1Ey0XXIaE61BaDZ6fp1QosyEIhdHVU1aZJibY8U64KVZkMND83KRjYKBeygAVDOB+/n5IYv uL7kL5nIKSocByt4r0Vpwh9oW/L5aCH98i1EtTM9pIrQ2BGh3TgRsBkX2nHNSVIPnNESZEYUBwTO jqjlqqwm1kCuFwiP44dBkmyc0YtjUFlmBxCr/iBmvvC3ZW8bsZgQchexujkoqNzndxiKvFPl80Et 92IE8XpItFspzySFkfeZzbGnEbrBz3HRhK0OJiapA01hKykkU27C4MuYPlwYPHVEk9CmGTgfsAVA QqJs3g8plM7PoU5bDgwecXLLJ6MVHxQsob6pTHVWPR+Blm5xiWC3DK7/yE9XN/R4pM8BxHGtBjea wXDQ+e5Wgyupeus7MHnELcDzKFPWbuT6dAZgbbDY8z/nx9lrOpYK3TwQzvYHvCwtshy4PKKRRbXI 2pcP2nIQkJSk4US7MrB5lBDCV/sEnVPmQ5ykz2us1GRDAgF/Q+UiSDaoCbyYrbpURCE4R/drpNSg fsypqYoB6o0BwA6YUHIhCL6j08Q8phDKRrpucjROpuHC5zVOam7QB2csH+zcxoI16haefpmdhq6s ErjCvgllBjtRlLxRo8mBiD8qiJwSmIpUO442EzBqQ2/KcHMBBZPTZbJSiA3g4PsMLDgWzWlzgLCS sDcRRwF9ghepz47A76+zk3gooAmWug9aPaCNKXErKOG+zo4CB82OEAHFfhvOzmtsdB5CDjGFM8Ps sIhhLrykvBIPAK6LZuc1MsLSGeZd2H3Q7BQgODcAJdJHAnaWpXDspxNOANN9iyQEOH9e46Im3CP0 JIhD4aDJGTjxmYecjO7zGhQ1ZTGaHCK+4CrVWZHE5LyGRFg6OKRXcsXjRXU1Vm07VbIEuxmu/fMa EDXR7rihhg+ez4KfXNDSJm1inLfhyQMZjsptiB9RkyNY6Ws81BDP0J5HDsWtkojxo+r/lD70iZLy 5zUcavJs0uzIx6iiNrJtdl6DoWZcgCYPcNSYVzZw8pnd5YISFLiRdWq0sdQb2fRK5+Bt7XPEg3HB dBXkmsoeU0IVhDAJ+iYguCfchhbg0bEjjLt8aDhYeQ0oRub652f4vMZB7XG9LAqoUeoiYJqz8xoF NTX2ZiHzWjKs46pJJPNcgfDDMrGE1xioSTsP+g4yClt37cCdFpKyOLbAd8+o/5NMotOnIq3yawu8 Q77q++xIGRJmMz4YYRKdNqlt1PJ5DYB4+eSvY4fK8AA9anZewx+c5JW13KziGRDs2W6sk7ZaRQ2q vO6LEc4O7/QqFkm9LBLsrHPaZBdEAsjWIBEEaZ0LBGAQy1plaBDtLIBnT8xEt/mUPPJB56ATzXNO 5vF5jXtwaBkqEp/9Y5h2WGJpdl5jHhzKjSFa00Tg3Nl+7vTWPIKmIAUlfKNDuRPK//81oCF+d3J8 zs65ks7ayTRyhFITvyGTE2PakJRDeg14miu1dP5bDbrQFzQniRc5x//nNdzhG+avc4dCVbX4lfVa Z8TOqjQCNbEoYEevIfGj9JOm09leq4zNHZvbFM12PkI/qH+g8NG47imThF+ZbO3J4gbqaA5gaxRk C2ZnJaqNJZaFOKgSm8cgEh8wKzz9PjsuFVWkeQh2ACFDmp7X8iIXLNjaLsgydrkHTxt+LK8N3wmJ kETHMkUhm9g1TU0I21oDVwp1kMqZnEp0KDTX4YKB2sk3NpumRa+lxXale/u8g2UVgI8xQa3QGvvn pUW4JPKglnx23hlsuIxR5ugUef0PzS6X9RgRRmj1nLemCJVoftH8LOlE1Tt4sDzWiQ665qcuwHJp cVnRawEka1/GaKbywOedv9acVkvIqg0qvZ6QZ7Ek2AihicLlNX13sUA9r8KGSAXBBEHHE8SH5ljj MjyZIEqVQQ/ko5xOE05QVaQ8fLgo2naSXHmAFqjB4ApG9t4BbwK4FhKR1oRFkMaXDU7nwtrGopgN B1tBqbNLgvSJj0chc/UdhudQPW3ohtkURUEzmj7wv7Cq62ZRjSfQKsv71GhBOBArmCLgGnFvMXjm 4JCH0TnFzYSQhlDtBRlvxZ3ekEdZSqHUPQqbuxP8iw+6vyA3SEjTyVcAoI1in1G+bneGsKPelCsK nKtI/q3aBBE6KErWcCmtkwp1RxdFkXMnBHtIjG18i7El7DRieJAgn/UkNZeFKwTYrt7Kc4Elvev7 Jusy4hnrDrrBMgQQeGmfPPfzTlrDKTT69yGNH4ZK/zZD78Gz9JNgH2icbIOm4RgycDa+LTNrUbU1 miEe0xBb88ESr3NxbbikGigUHG1pJlGEiAZ6CGyaNpmqGuExRPlnaRxzsBma1D3Ffb3H552w1qQ/ /iwhir4TL24zFAXQANXPRJd3qo485nvZTR3B0W6mQf/OV2sO45taQ/NZQ/h9G1R4iCtq56qfyUIB FO0LRS9vqZWGPO90NWxkmnYyzbVBsKICkSmzqK6fd7ZaQ8XUN9nkDBXyd+5VFgfRm5Q+a0tDz92p M8PdQNG9T1ZojaJorQxK0thgM1Qy/Km24sRzIKdlcmNoStAymQmYknfRHqMwuhENWkGis8EgszDw YAY28/68E9Usv/06hlAD2Dd9f+epMUNlkXV7/k4bO/Xts+Mdz51x8URRIN3ls5uVx+d8U1TIOKWU ZGd9UpdFpMxJaxgcohRJzQsTPthbL/s+Q4N6NdDh8EEzdM7nKqOGc2J+3llq9o7PGoKMZGvTQ+l3 khqW0FmqkG00hCO8lD0PI7ZGeZjUjbGEomB6MNWYhcAGDraETpIHa3gx1ROq9XIQ69x2m4I/t+mj fsZ7MI14j1IsnZZFHFQAOuGWpITQU/y8E9QQDe3xfQyhvf51k0XRNMouMy8r/FCZyOpjdRRDg262 oXUKxeH04uaiWg0Hm6B1jsIysmT6zzUweb1vloIobj/Xw06bU+8aTRD61YUymxoM3DjyNKMHNKGj eNrgq5ggPIkuaSFiSQXEKKAGQwy6U8nAMXKwJjdter3spGPZCHzv1LTmuqJAhflw6/NnTiYFPE8e j049heppklYJz0qPcRoynM87M61JnRg5tEQPm4sensxoNpKuoPT0eSemWajwVerIajr5BEUBNYA2 ULjIFlCTpsts3shq9ArZaGSzxPoeUCMYIpWoknjVnKEJ8BA4GRAnYqHsLGp2F8EMRTsMSeRA3Gil IML232lpt0APkSEflHJkugFwhtr8vLPScMwnv+vJqKFI19rZpygKqAEihNukK4wRxmtGu9mLZZnk DU7Re0CNnF7WckrK5k3KUoM2FcTDiRzaiYQ7eMZnaEJBC2XcDj3/k18ShUMmmVl8MIpMql1Ou+eX /bwz0jjDfg4x8inEoHk09E5Iwy6b0H/gAcCDegxjgMKfc9k5NNDW1S6LIupEdXVINPrgu6whySfu HMpWu0NhB4zrkz90AgpFk+2Ppsg7Hc3z+pFUJuFgXR5FoQiHMh+PEvtfIbU0btJdRFFIDWLMIKtX 6GHH7WdKEtlt37trZL+T0drVyJ46iOYD1kfpFmfwbYNRXnNBTAb6uah8lOtaJA7ROxkNU0Rp475m 8UH7rGQAY34knf1556Lhvt1+VovQsKDPfU+isCZ9TpCZKOPOeKhXryuynm4odMD2tc2iorT1woQc Hv2Jh85kdRil8qieBeYYmMRzPyLAOz/rJBpFypkoLX/eiWgWtqPVTDpDvXSGeZbszjLlmevzzkOz FN3WEOMhyJxB7d9m6D2khv7D4hqy2uIqPf24bdH04hkEImwNvYfUOKuFgWFYxMG3GXREFoH+hDAW JowQNhhspkBJbz3loaWXDaqLOoiGLrVRvTx08uEqF8/zRZ93FlqTROhzm036h9/i6zsJjeX+8yue +8RgQoO4d3FiSlchFv34YT2xdw4afl/G1CvRKHWla5QKai8bHdplvQ4hHCp7xkALI5/3tKwMvWs0 QXQKARQF2HPIJMpqEFE7kzQY8H7eKWhNjNFnhpCQFrjG2QyF9em96BPiSvTVNbJgcplsly0gMDVD 7zF1+h8pG050Om2w1P6s7QmXjSGu/smc5HCEA6+QQ4tTRfUz9ro+7/QzVi8QTG/aGGtQTA06iSAZ O/fPO/usPYp0ash3osyG1z7euWecoAo/6+rH0GxOhmmCorN8Nt0w5J16Zr3rBkb/8sGW0LnrT0RO vMKZ/HYe5Srrhbb2E5YP139v0vL7nXl291jdFA+p28VDTi62pbUDUNPnnXiGgFOG5TinGfugKgxS gvWdo5i6EfyqkJGAjmzHUIXOnieuSBHUeI6L1IiUl5qIHGwJnRgHohiNWQdqpEnoGOSvhUHAfgTE pr1r0JdnWYhGYzbYDG2V/SAslz/vtDPG1L6EqICINGEUP6ffWWdctxkKD8lYZ6AnW5Njd2tIA9SB 1iSW0DvpzJIOaMGBjaDBJggmT9JJKBW5JkSyOIcAHBf8gsWITaDA2LtGE0SNPlJnNVi8WK1SdI5d vmKwx9L62mNEb+dxJyiKqKFBNwXIJeZ1z+5doNTcfw9qrzZBIbCDBY2VGTaufE15ztFbd+q1bNUX gVxkHx/K3FR+Lg91Eag4vusfWdlIg5aPHCxvxcpRQN35itEpvb5OafQOKAVgExQF1OBwDJwyhi8X jkFa/eR0kCaMxEkT9B5Qyz8S60Jn0bzOYCenB/aVKqaYoDPVW+UjeoXhXJjtHkKUwXhnmjHjYJMV 1hpMW89Cqgqoc1vMymbK5fPONGPpY37NEH4zZ3TjW6N4Gj7QJ21KJta/uLWJLTcsNWKr2XlMd35O kHIwFsLp64PNUIM7TEuyST1nT5XhATonP5SQv4UP+PrwG6L54cQMwni77AsIDSq1Z4n0zvl5p5gx mPZrXkQpTE15zqD3aBr5BgFTTdqg5y8ZvsU6mUyElTdYI2h+ogJ1IkuRBQAbvAuUANdk5lMh10vT YNbOMtQKTiy/ktthdOrQv/PLcFWSnzBpTqlBMzQB1eECGL1+3ullWAr3DFKTA0W2RigwZyiKpiHQ MRm4CeUxWvOkVSg8Vhch2suk9Z1ddq8xkKN98F70iZEWzXcgpQYfNgKocgKmSBnZehSw+tbL/lE9 O/cXkQwc1ChrrRO1R6Wmzzu5jIHCN8CMwG7oGNkMRdE0Kv8D1ktWG3qMdnszMQxUqIeBE9+JZTyF BEtcAikyyZ5mv3emeqBz0dIJWnat8pFF0LsRjkBtyNJ6Xp2fd17ZAzLrDBZRcHJxPeQxbF6e7L5/ 3nllvLS9fIZFBMmvBjt2m6IonIYlBqTAVK8HON7KHefs7HYiYRH7FIUlairysUdsg8dCdLqk6Tx2 2LldeeeVBv7ZkPLWreGjyvd555Td0hDg1k5wYRCdkZB11qjHCWs/75wy9pF8n+ExtiRH87T+nVDG lQvjIuKwSShr2S3RTyJri2gn8gQ4Q1GNerJ6NuYqPthdj5bYyOzZIXMCbaDTw3HBcQbQ7pLzXUSE U73TyRiYIE4cWVDXfLVTQMVhdlbOn/15Z5PhHZ+UjLFlIYTdO0HvbDKcRBBShes6i/fn0mrSn88n 6mPXDP3QjVpXxRS9k8ku6mMsFUDWcxKBs3tySemEY52MRZG5co7KkXGhSS1mqcC49LLvUyQdVcCx NHR1LCbgxdpm5+D+vHPJfvXKOEMEVSXfZu9Msib7grGLemFovFKeGLd9r3RCh/JloykObrN3Ihm3 AKOcNooPF7CYxshWG+rnUBKUOoGv06HuwDqGNRObvWuwzbq0UzlPHAzOCY9Fse36+LzTyFh6+BUO 4XageqQmKIyoF8HAW41VNBOXKfKP7rTps90gLswJimrUTTqFU/Zg8zHBONEPsK2Ju+yERg1iLo0G Ij+Itik7cgWLEc9/3klkzcVAgKf1QZfZRqdGvbKxPu8UMobUjhwS4hWVhgs8e2eQNadJk/MCzv0E yEoE4EzfUi4hpQy47t8JZA9yaEoJZF4lkBPs7pS6dHgzFH8TrVKhpSYXx1zbddulwO3nnUFmnAkE 01J0bu5SCMXxpaNlnZD6nUBmZ+7/gX3Ufvut7wQyjxl7d5fCAW9qq54NYyWex5CVCDMdgqYJd1mL YPq18gNqAAOu4JyBVeGZ7aysA77xBSymxpUxJEqw9a5B3qq/iUrEGhQzQnRHB+35vs87e4xNAD+G EB7CmhJsWJ+gqESNMiTOUVck0L3Pfiu1F9hNXNvZGu/cMdZ/mY9l0qI4eHkROitUX0ZalsHyYo22 E/Te2fx0gzCU3D7vzDHD9oKMvLYPnnVMSWqdMHJ83olj3C3f/egCHdj9nEIh5qO3K5eKgJH+JdZt rYaKwYlhqJh32tgNGGGV5oMXF/FBHeIKQJTPRg+SEzABflNgeak/mwuI/YPPO2nMkP3Q3afbJQfl 9efDikpfu/Dxf/ajf8wUvdwK/jtfzPDa+HkN8zFp0KVwsT/o4FGtuPhOF+MEbW2t4YNN0MnsoTVY JCuPQiXrjwOU30LRsnonaBDf+c4Ws7TqTDetVTXYDltL+I9yjorPO1mMwKpv1gaYaqXXe9FH8TRq n7NRxoGa8qNJT+6c2zLmQ9oKpwqlrS3ET9fCfJV4Dg6+gqDRsMleP1cYdAPlPUNKPRte9OBrKp0l vWtw0RP5SvMbG6y2mNAj/iFzN+PxIFjs38QNXEelXmpCi8NpxIri0CGvX90VrbLkwCnYCGMgraCo PC1nvbGFu3OraeAWMw6JTqmmBP2H1CUWvnkOAAG2rkuhHdJROI3/b6R39OrJ/RbwO7wy2K/fiY8H /fpf2DxQ+WEM5NSWMJoGvBNMbqudybuRCPyWrPIBP2vDv/b3aFphBuo9JLeshxhV0B2EbzRnaMFM lrFALwJWon6VPJjuhHf2KJg2xmFTiai5G1hB22SqAz8qHg8IHNsRwklxpWTZbIKiYBpCB4OygJqg a+N4fnzfYyhcWOmsR5CPwUNoV2oQ7eqG37UAYt6oAXomqMBveEvwElUItC+pRKGEbFK7okflaUiz gbohh4tqLOuMcsmWz+VJXvH4v8hR/MdwcipoV9gMxfVpSJFUi3kmuDrWJGuCUBPxsZZRXHpUn7bC x9zFB083RjoX8WKzviTMz2azvp3ocUCBOJf+0J+LvWwQK04z3iN9jFJW0g9qWKabf3YreD4gH942 GZt4pFs2xBo2SSH/sFeqogq1eE6K5sEQJadFXT0rYSvl6FFAreJ0l8kVB0859glBUx/SsJpb4LMF eTEY26Os1txQ1qRjexRQFx5BaE36YPC8yk4cjrYy8XhE0PyVlOEkorK9ZijiIKJVJNSlkjIBzZdo oV4/K9Bx1gyF8TSvqClF1PkooqKDstekf1TDrZZ0Vp+/qEIjsf4QPu6iFVvv+lc3ekKij0MVLQMV 2MReKehTeDy4zEb9miC2z2nfqQkK4+mRKF9dHSOcbQlVFX4VLy4HePaQh6i0XjBGDr7PMviMdP1o 4AalQr2dBB1/ID8ZuPlJhH4eviO47avtr+yDVWDL3MSYwH0Jj0e77N5lvO4hny2rVM5QXKRGzWxs yVadeSWzJasJ3qjRZHwXLaE4ol5iShUfbJP1c0DXSdvvhnoOYZwnKtpIdQqyJERtDvmguWWPitSV oeJJgacPlrWeSIl/IeoheDw4rG/Wit+P0dVuHlL395D6hKnQz2moiLM0dM6JLp9GXNo4oKk4CO4d LRt7BPkw7FmT0Ge7XAVkBICJ4Ypq7HtMxpaJbrfYjOTSS+U7VXvX6DYjKDSt5YMwMaui6M3bLE88 HpFZvxGehLj3C1/sEYoaJAwQ7bI8LacudhU+mntadgrfcAlFiA/JVs7G3HUKnlqJokb3YlFfqMF6 99ycdGZCsQhygT9rPacQumD4jgh4lvk3te2DVhCE2pWV97bxeIRevJ0gPgeuTc23WdbDoLpVWqPb QQ0vCKueAblktSF4xsjPskc1avWjR7Oc68F8nIPo3DkQSGIV/1zWdP3c6H12oCxzYSuI/VYqh+BL osRVpFaViKQugF/z5GjDatSp4fFoEc3vgyhTSIQpOedoRGE1AjVcZs3pChcoPPtlTRUtszNHIypS T2I5loE/+noysz0qgETMzCpqHppGJpJo9wJ+cV11st41KDASjA9qmg86q+uu8og+51nG40FY/esy y/OaWHGCorAaMj+TjSepxKfu/cRdu7MVYN9tExTWqKm+NAYBVhxuCRbCMAzwG3yraqG9RYE/Aebr hyVnS8xoFTNCZiJpCnNxDXEwDOyqxRRzFh8PatTr10FEftENh0aIo4ZYTpE2LJ3jkqOEU2uGxd9w gDdafVSjztTuQpeMA8OhszPP2Ym1OIiEOysI4lRT2S1CSLSkoYLot/1Z9gPfEQA8Cevd7OBgMJeY jqyG9xr0B/B41OXwFSRgFarv2y/7EaI+Bvn03XSYV/G0g5hJB1YVZ/+OKKKWDcXSgb1Yz+UEQfVl wfKGgt4TCmHM3SCtCk0N1KkvKuaEAQNf8WcvcbEfLf2aH0lVd8poQTKt4fHoEPLiECszBG/n20sc UUDd6Yg+/AyyKHpQ6nQVJytAdU8TFAXUSF3Qq1dc/WgJQYqio2cj06oTWqwp5qYuEpZZxu0D0RF9 RBVqNaRXJQJt0XpsqwSLPxVfeI62jOejNZS+LzP0HwvAIDZHYUydIO2RlpGmSk/Wbz17bPguq5RE 5i6LYmoTWyx5+nBz11VBmh0yjZe6EOi/lSJwG9SuW6Qmw36EMOot7qZ8Yvv1iU2pzqF46ASMI4JR o6zwoPNImpr5inuEKGoowULawHAfxNqauke1pAOkFUPAjghFXYSAFd+Hg5OmBinSWSXGeW5oMT7Y xhv8nx9rTwI8R6jvgaRjFFW1OVg/uopZjY8deDyIh+b3OV0YK95m6whhH1A/W4+gMDU2pLvcmp1I 0D61LseIitRzCBss9t1kMJdZpD4pGSqXidWPdo4k1kkAs0LtrNEW+ZoMno/HdwTBEKUWh+AxHKyK vznTiWxiPP4vlQZ11fCj92cJRSH1iUdxUF9W2XK+C85Cgw5BQNyXUBxST8e12uDQoV2gGl3YsD8h C/qJgA4B01CRimTESXZUb62hMKZmP7rKJL6CZWQ483PUSZTm3N0LzwepffsloYNWWUn3qI5DasYj VgiaWZ4CqA6JW0GJ88VuKdKyEdapef70IruT8kwRcNToREzJCMOaeVAmhpr5gITh4rNK/paKTshN pHb3APvMhmQzdM54Y94VPB4VP9bXOQRNx0Z1BM7QDOvUA5X8XtWoP8F7s9SecHGrn61KRerOz4mS DtWpOVEcbi/o7PjM0B7g/tEbt1njgjhJ2k/n6SL4GXOOGYI+6JOzCk3zVklue75Z4MWyOKsdj0dL yOFnrO4tlJHQ5rUZiiJqGFkOi5jZvIcuJIsfa9C2i5krxAmYls2Qm7iVSFDLi8OzzQbON+LPIC+3 eValwbmsVfrvVoVFkIzv+KuSv2hjocEyV/Adq2ao4PF/HUQs2CI8h0CKTVAo9QEZr7Sty3puU1cP pC+GoT6kO0MpppiZyNrHkOXSeCyXJtSdWhemoUJeh7cdSK/cjhQMu/RWyhDMsE5Noks16tTwfuIE Gkm4mLN68Xg0Q7/1hqDUP4ufQzOKqQEKP0d1Ms7UOSu9lE8xeBP7yH7bz7BKzQN4tlp98NQero61 J2t25CHBgsasjKZ4OIasSI2mFb4jmCFmZV06pv3qmJ4D2MtD5yrA4xGd49dtj5hh327QjGJqHDAw 7zTHjrmLERPbIvCb9bO8l9VgZ0hMrEoltMnWfkAfSH1g20EZAtRxKOUAWzm5ZeBu86yDUqIz5CWS Gj1ZxdegTbZypw35z/lRKx4P+on9m5coOmTztHXGRWp0N/I2+i+axhYvZs0VAYwoVmiCItCHvDrO gVB8cOBQG5OdegqenRBLay1tYLHQVQEO1eNFgmBnFFAjy0C+Kq/z+mDP0GilzdL5bDwexYu3flZY gj2vmqaT7GcE+wB+Gx1XL5+V4n6UvWQ36qA8h26yqEi9CPFE480H73MMuGwvlc/qnKvZHkOENc6/ o9miEYK2vWt0TrNsttRQXN5QPFfN6gRCnzip4fF/Jvbba0PzkspmyEvM1MxzVTg7jxgwEnkirU60 /5m4zlA0r0rCVKVYDJ5zrA2Ko2ZowoA0URqNWh+FViLzsaHaeteois9TiNBMDVZfzFLb+oHbOB4P ZiiVr03GHwVLzyYown2AYXpu2G6KQ1WOOINEpOY+lB3TqGM6iqgNRy3hs/klfFbgrJRxZRUgy8e5 ymRcTZNM+j48RWqKVs0ooG405ZhDzCm/LTP80ra0XlfveDxCDv1SHAJo6OGUzZCW2CZ5NOo+z7yr 4c3a2MlFCNK6x3Qo9UEQ/iLnWYMDzSGokAErp9zreZZda0iNnyVOKZ3iN/3wd/1jjw3yhmzQBHGB slV2fg08HgFjPOHgpUU+x6Ons6J4GkqyJxWrJn+/2c+Tno6sO3kKIYPgEloR7mNo7aCfbsNlvBBn PnjTpxMJDf4T+Pzh3TII8r+luFeI+6BX4JBn0MjV0YtgZGUpTdeKxwNa4voWHKKT07hS0yssUDcK 4ZqA+1jTJWJQVHauwkSBS9KUIe6jywRPZqa5X11T5KepczqQAEGPpvCmx0/Neva8yvfABfBdo8IH 2eOldB+sX38yuSGC/a6fFYXTD/iMBCtEBLX5PbaicBpmPjKHNtiHlxcbAhc7hEpyjYYVwT4GfUmn RIPnJkersbyIs7mplZhxpUmkoAHwx9oevVut14pm9meFBWqVzgapvxxsj50Te1s0PT4rjqZvi0Mw 84p2tJ9CK4qmga2aUOD4MRuO5VIos24DoiHDMouJFYKoBWtdvfrgweJcyC6gWARcdpWJ0q4gRAKl D3Lilc4j+GzFJWoGQSou7ltcrBUYGR7T5z7A49Ep5DMkZ6Dk0TwnKIqmUX04wS/bGXB2IwEStQ4E dTcjozs9jukV0hI1M1Wkl1oeKsc5nqA4oVDoBFWDx3Sm6D1owP1JNzalYlYE+ehTyM5SfLAuWQXq jPfYSTdWXJ/+vuiJgH0UTlcUTaO4MuTq+x/+V+9WF0oCyrC4SD0lTlCo8sEV1NGXs8FP6Y7Dh/Lp J5I+Fxq70dhq4LogsoKsjltNEn22IswHzkpov7IdzUHhdMVMa9/0gceDJZS+ub9gKFXBTDlDIYj6 3LW46ZcV8HXnkzCVnGkPw3rzlFhhNC0viUWBCg6ebwD1UTsTMhCm0DLDEmoI95GnrXp5CsS54DuC CWKjtVEFDMOwjimQSKTf9ZQaHg9AMb+YHAX/bm+vvq4omIYX7OyDjkiQywUnW3Sps7U2rdzw2PQl FIKodY91yaD0K4OSFvgE8DvWMQ1RWNSDCMBvwj84iLpQoR3fEQEayO9tUqdsrk55rjQqh7AsxFcM julLuTO56nMqUGhKMxSzEinykYunrG6XSJdDq08vNBK1hML6NAuGPac72AwV9MimeAoZgnH4rLYm jLo6oxN4JKnVWkivwZe8ryFZuKF/5INVPVZ25bxV8XgkYv59DEFLo9I4UzMUlqeBGiK20FqtaXhC Vr/EhpYpEKwwnOYa6omtDg43ZYW1McRdyokeKG8NTa8JDMhIQHGhoefB0Bh62b+CoUoBTw06hk4K 01iKLTvz8WgRfRfwgdPtUA7QDO0onEYYfPLuYszWyYqOBKtSGd6MZledSt1ReXpQt3SYWC5BolZb hHRto2RNQ5unForunAgJOsc4gnAxfdtL7yicbnKWyLzQOGgJQVe+C7E5+HhEdtnfu6zQl+QCq3Yo nQctc2HpGC4OpwA9dCkAPoatof2XzAe3V/Xh5qz7ZLBYLNB6P6tgSgkfnVay+Nea9yajEP4OcdSN bMQlbqJTQ6FgUbYqX1DJ3e/xNH7E/B0uoqpTHnObHeKoOy0d9/JwsV6xod6dYk/7Wc1QiKMWgHpT TpiDR0OoV65i+M5+8lSCiVuhjRtIjKg2L1nbgYGE74hKZziwaD5tgxOmwKbkDJ1oaIekxHvXqzCE mlC5IOodshJhU7KaUcXPtT+v/KIkhSu1dJLZBewQ8iEd4SK+SynPDGGPTjWB0oLkOzciFBV+qE0w d7pNIN5lOwqoN2tmbVDvg4MKQ7ghDTS0Jx6P1Bcvzrxb1lrWpXPsEERNjPAoydgKOpE69ZhM3QI9 BLdw3zEpkXGQZJlEirVdlqCx6GrdUGaX/R39+eArR8lSO4dYwN+h1AfhMHQRssH4LjObzfGZLDz+ L6kPeSSdf9eegzrUzYNYd3EL8nMMXY/7vldzRtCe5rK5Q8BHF76zNB88XsTRVkneOtcNoLyE8FWa HbEzOB8RWPzN+I4gHJIuUacWCgdNEOiMvMkA5MHj/8pamaEC7NHmbXHsCPFx/ifAzaoXF7fbIrcp mVwWF7ObR+5QN08V/KHCx7iFj0xntrSFzGvzbH6mJZWlECBgIUlgrejFVvSO6tPO29zdB6u+nrQ1 W6e14PF/gYZY7Uc5Aex1m6Aooq6ZMdCetsf2dMrU6P2eQtnL0ztGfEjzTOp5lPlWcbHCiamRoNQS aJs6yo3FARBs9+proesuviMIF8lzGWSba/BzevWmu74OPP4vJWpeWqAP52cFheXpymlZV49pGRyv DrrKiFPWlX3wc6Lqq3QFe/fBVhBseTtBnJygkZYcpxB1FfBdc7qkO/Z78R3BISTxzlyKD1Y6W61K JDctPh4lrd8TtGBMTPFeTVAUT6MhM3PJxtvM1Jo1F9vhckOpu4vtjuAek92x2dod/B47mVahbR1F mUaRMxf4zDD+hPBiubEQGxw7FPmA9gJkc5oP2mLzBNRT3n1p4PFIE+52Efkccr96udHSIX49PRLC xV0NESMbLOLwN+ApADZADwfuaLSdSLEWtVo7sghKLllVTpJ/DshWMwlTJzVfSIfPXEGkCRxw/I23 C0TqMb8l4G4W0VqZdEyYu2ZJk3fwk8npPZE2P+CfGE/8Y7Al0oV45hSK56H8QTh8ZsMeEZxAH9T1 pBf5wgLzaYo9EYl26eMOtpTq2ce7CtRA5BA5H2dJVcp8rB8rWKuQP7a9bqRRSTvEzjU1dAIl0rp6 JTiqjdb4fJB7NM89COfDbNerXSVFu/dwk16/5SI/WJ9Gy9UcBQbzV0d5WlPu7b6RkHCXNnV/Co0n 5IJcnkSpz5W5i/SEcU93FNM2zQNM2Lza20b4KtFc5fYCBTVy5qALlnXvQ/mDHxBERrV/J/mI9/fV iFPG9PoYEOdZxlIo7Y9+BayqK3ef7ZGz2ymFJolUiQOh0gdPYveAEiMTkAqXBZBpT5rfID6EYjDN OW4Wu+11I2YHrccp9KNBxSI4MWol9FX4fIRD+zYs20Qql2eWoggba2n2ZGXG2XuxmjUUYFSSBQKk us1CCu1epObR5h18LaVzY7YmClWHvrn0h84Slc9ultwgy0WZ+kP4lqDzQbL0QG3IBgPrIQ5jB3/D aSGFKJD8veMywLjQX7/TFFauGxI0HoTgUS1x7AGBKoARCI220CKvmqZYoFrzs5IPN5tFixtYBhBe zz2TAFxbu5+Did6TOVHJSskaowB8S8Q1o2ouoWQaDCtTh7AytafN59+n6TFsZcyAnxbESp+lsHqN n7Qvg3giXetGpVrUlsd/rsQ7SlsuCrZFCx7Cf3KwWw6l2gb4sLhU5/5uQ7VHbPHzd25yfXUwIfbg l/xFY0At1gftOKgDCdp6fhI+H9RFLhGGPb4pF4s7SaEMCMPJYUbI57/KcMBMftSaCC7R6R2WsAkE aaLCcLCllKBlsYH0PEspb/wZkGsicAbCZiItKlwi44df8gd3Gvat5uIqx3UAbQa9WSCt3Ph8lLU9 ib+IixCKqs+OC6Pus95O5mRCw7NK+bzTmKebWgqkTdzJLEVqIJWX3NgMnDh4u7GeYJEO9wi7R8K+ YmrLY5Mo9Ouube7IKXaAkZ73Hj5oLUGrQKy6cyTy+WDD1W/WGSDwfTyGeCkWBJmUAXErV4k2UUuX ZlhqWiOisUmKStnmLCCv9v54tZe0APiQjxCII3mz95axiSuQkIgGpOhdcvW3DWpIjCrXlojcdoBa 72CBy1mAQWVoA9N+IdRQnqn5ItRyaKyIovJJ4AzQOIboQtSsJsJJwjvbSwA5cFYUMQwwayYoHByB leDfWtmYPRHlqFUpLvWq0drE6tWxJFlWfkkEwSJxcUoydnqZpMHDlIu30lox9Fb83XhsyphvUBma K6KM7mKWgIrMma0MUGUVzFLbcu/SHLgrIqLgJDXiIDjYUkJkNMoSx3PCzF6O5awNUsmyPsretPHI f7grinvG5iwHqyUJBoWze1Q+H0TeVxvEIP6sQOQ7S++h93nuTPtJRfewVFdgfaS6VTbKhSiRZBJF OTRYXOwqLjmbrS9nM4Ta0BtmzRZSCJn/pKPbWvK0LpcBQkuzt416R2LGqP4/m3Voz5GHy4aHdx18 Psp2v6FGjMDR7fVJiuraePlZlxVMZt1uQgnVN68HwFPcJymMvFkx2ZWU8u1s57OUJqzHKJoJvFqH r7tkL7EDE1feLWwPdrFz4LKo+hcQ6fMOCg3RsJU31cqVz0dhwPqOlRpX0zNJod4eOJYnFl5W/HdO Gsz/tt1v58e/DnCBzyK0UVUuERWtXSpaASB9LpO1hN/9TKr+IyqknCakmS09kYl7Dp0WhYaYaOxz aFpK58kie4OeYNYZWi2Wiy4mMnSTVn09zgKrRUE6zyrIVns7l0a32hukQaz2VntNvpTCuJvd7ElD HA3eAWBnrSgKwCdVVnjpskyBG/q82KlEVbkcmC3iVOLRLfE0DlpK5xbVN55oa/D5qI/kUQDbSJAa GLednQO3RVEH4GmqKgD0vIxjhTjW1S1BJLCIMrRbXATQnuN0+eAt/7MUC7q0jAJgyylvmHJ+xwZ/ 4xN5zIe1568bxUpsJc3ZfbCG7dnIxH2caHzz+eDszt+nEsTt6Ebns/SnVsgoDIvgcLqJN6Z26WSe wjlZffosRWIhklPpS+S0dTlXacDZtNIHu6EBAyg2TiIIlDb0mAAzuSIG1d42WkqVYkVp+WB1ymyR /vkcPR+pYfyaJKiRAiDmkxRF3SD+jkVDduCPpB0puzNEwgKPTOw3m6S/2Y1dM8DBJwmch4zCK8oB 4BRlJifY4x2kR5qHeJ5bh73u+yypaLIodKnBUjjdCAgDVubzQXZyObKSsMbVyuqiTVMMyAbSL5ti EVrqyXK4SQY8CY6FIkgsB8TmixKOF+KPg3eVCBMCvhyJLnBs7LtldsMn0KLj6butZG8byaUyWBoM AzhoLS0IIaimNhufD5KTGyyxponaC9aJTVJov4hfYJx03xQNcBV5AW67gyecZAzLlkP/xaXurewn OThWCzYN4MAx0QWBhi3wTDQFT7F8MziB2XJowDhlFpOWDY7QP6kPuW0/vWApBQ6M33J8yk0y+rfP JIUV74p2gNAj+C96g6v+toqqTXlDJt8nKQq7ZQo3RPPkcBF/qJTlJYWec5Y0YgMzJG8bjjsCaLSS lgypQw/GXMxKJ/mgo7ucl2X9pJ24ic8HuUm9UBIpFCIlAgDCpymUDxmUj9/FrjhasXCa0CfVf6LG 6udS4MOIRjdba536jhxsms59DyWj0uX9vlBAwbmEzHywOJwYIQ5lusleN6q/UcqIfiiw4ztJA21R To4wprCRe21+QIS5uWUTtgYyQT/3/A7NGNUaWF23/hg1OxvrnKrNK3Aob+lgCtwY/WDqwpFysD3X 0c1NVvTOVL3GZsOxfq5XhPI7tZvrKmCK7RhZp8RtqcGUVga0RKQnX7LeMqLTXIsmK3AiO75pXGjI iFz/pJjVDGQgymTBd8peEZiLnEqGlYEjI6Rmmb9lRkwcvKdbOmRUkC0hrIT8u4y+MBdqeF/hMOi1 60si5qOk1dRImW44WM8SlVkEtO74/L9aA8xrEQyU/Tgwh6aMdGqiI7vAJerrElxCW0hWl0gDUIoS ujIutrxHUosgPR7MZxIqDDKInUBMv7kvC5YQypYy0JVfnEQec2jLmIvMrGh+OvM1P114lJuo18bn I9rI+MrjprBjN/gOfRlxJk0rkUD5aVQnr9mpDiBg39tLcIExY6LaHw59qu+vctX30a5E9aRxKWVI sGc7wxBDURnG+yfm7ZlDY8ZBddqM2NcGCyvPr0xeAxRM+HwUe3+rrhRqTqVnkqKaN6LBMbc5m580 vS3HmJT2YEzm8kmKa97kQO5+B19JCXBYeKfDHxB4fUKZGv2+8DvS5EyTRMlsfkkwSSSrs7Zsg/Zb OoGeOHqswIXmjA8qGfNBUdZ8BQ9y6M4IZCcqGFaBW+W6Wg2yD+T7lWiM1vVBQdlEeMCWqw82SXOe CBf1MtLV0QinmhbF1goUjGHX6EHlXva2wRW3VPFmv3Kky7A5N7LKlOiJ8vngUJr9K0HJCLh628+h 9B56w4gGnZ5Z3D6ule5gk8Wjm2CT/BzdYejNTmWT1BGHm+y2hJnhoZSgtZ/NDgTw8vPzgH7JiiF6 mN3eNogqk9wXpLWWvrTW+lDoDZnwHLo0zpK/llIbPJTu/Ra6NCKhPcGM0UQn6QyGTpYkFLu66O1o v8U2jQTfzp6mDzeq3NDFZl8gdRJshYLHQgdbdO7f+O0c2jTySIbY7/TBMEvnV9gyshyTz0eR90VO Mtdlkp3voRQ6NW4Iim16b6kCd40Ic7JNCLp08ZM7sGoEWuYKzf/Sm4dyA0X8xIk805S4JVnzKCxu jgddqm5l4NWI5Srnap7cHFRbGliEUymHXjLCl36DA0HY+9JTz7FbY9+0YzZXh836ovVOknMlWrpV k9CuUdzjNshI4mAt3TPF54pGmI2CwIR/LALvwUYlIJqZuiqG7lLjOzRslCx/IzBMg+JCCEbIFPVE 1nw+mqX5db/RjYSu2jZLMTVSxH43+KatMpdSqdU7TPBG8qUUht3s6fbKjJeDHUqIuju8LohbQjdr yZ35XGs8SqhNrEhp52ZvGxGQDWqyfRBsKYGLTtZ1zXrJaMPd5glLLOCIP+iA2LURcsZqaDFSom+w DqVm1HbVrH0phWhumyT1LOvDTEIpdy2qUZ5bG+p+VFanlybc4QwDZ7WlvOx1ozLlUCCZfVBt6fz1 vH2A6Z18/l9RN+U04Bv2BVsKvBslX3qOhpK9tIQjkJluH93+c61SHJATmjcqVOqFPHUOnumeyO9k 6wTkwOpqQ7IDbk7UnwfMjqRyFXPBz9DrRhuO1qi0VNWgDXeOOAlDAnDH56OSd/tOdOlw+VBtc2jg CKD7maamew0tAudwjbuuzrkMToymKYKaqAPXGw9vDr6YIGs8i1AUJ+CraK4Tt9QBaOzSh7LGwOr/ S9iXJUeSI8n+x1koLdiXd/+DPaiqGTxY48acFhlkVaUznAgstuhibxtp0nLHYavYoFk6WSGNt4HH GXw+0tXw3KRKwhaQxC8UReDiCNpGYpfJdBGmNNmoi9CWkwEz5bi058LAe2nP5eGD1+CAFkjiuuHi z+wyEYDyMxAI5NGfem5a9rrBnkuaIMK72oV3LbghEZt10pPO56M99+3jyC+npSdcirEmjYmuFMam xD6Jzh2UIJQbKI5aW0xh5L01PRSx4eDpCbzBKm2xcbiC1sNZAjhlwKIrQxjJS5W92etGUEFeTbDi tkGrCYB4YithC8TnI+btFRelzj+UcVv6OpvCsjfYJgAEUHt+UpBIKLjKNqOsm2s214cc+jmqhVIH 54mDBQPlpHGtTs3TOsktjsMG2BqKoziF+hekcvvrRmcTpdYzy9/LRZegZLNVRAHqjs8HwcAjBc2L jkIxTyIXWzrSY6XUboSB2Z21ZO0nJnL474oGAk9HiHbQXSXTt2DrMFRrFxQdigYWqmJkoZsmFYpI M2832x2kuefA0zGxyU6h7OWDVZfOmt2i5WA1BaaOklr4AgkwA7/SbDl0dZwCMa/qnd26LNvNlISQ Ec1w5lIObR1V0V3yyeDg2e5GTigxgAR0ThYoh8bX1APE+lAeNxQyBb6OrrhBiLQNlu2ehFDK8rUV Ph9cc1cE0aIjoJdu2Tt0dgRabhaFAPRKN40keM65ndHJN6onu4G1I+rJUjuWhJRwDRZXooI3WUpK ECjp5O+cDY0KnFyXLoky+dtGSAqeS0P6NqQKFma7G8EFxUZTWfwB/1RkZawO0tR82BWBvSPYhcDM FfMqPn9yqYQT+yVXJpnDLeVz7O/YzVO+++Dl3HMS4bcg4GQhAmT1oOMsLGhG7NuNIzWMnxE1mmjf wBKcBpUEgEQww2sgKUJ/x3xJA1mEHtyV9YbfgcEj9LPp8TtcIimVK74xTBboZHLUCWYoEDs8kiLZ JQHUvySA+gCpfi0J10ImmtXxtHHC4NuB+KuL/bVtb/uXYOTc5Olw0IY7c0T/M7h8Vz4fLKXLhVMt aoModG+40OQRvaiTdJrBNf6U7iR5j2CBBW+RQODyiElSWYmoHA5eXTqLCD9WAhwFuTXh8LBi6EAF oFxyUXDL3jYiM2F2Kq1vNShFQRFCnis7VT7/fnSv/Q0VREjdyuWc5sDpEbF3BVvZ5mNsnU/U8peH WCezApeAVtLfnEoA1H1wZsW54c7OoIkYyhRYtwoqUUeGTufaj0UWFdtyYPaYTWOC/m82KFwq/STY oi5XPf8v6NJUAkjx9mcthbp/Z9EBVmxi7NL0xFrqaedlRTg4pvk0RYCTymhprnyHW89d5/ZHagl+ N/DMzGJorgAQzU9/EMwSJcuB4yO0eWR6MKcP2nDnMNry35noWAaWj+gMfGOXoPvW8lXd+ryGSl1Y IBC8i+03CupJX7MOdz1Aq1kn92ug1MGAZ/+tmhpyug7qJZ/jEkUyLKSJbFcsHmA9oV7/A0k4k/Sn Ss7nNUzqEHMRHqzewSq55y6gnE9rC0+/zE4HM0JBUlXILb1BCmkTBhTMDqRbZs9GFZitW1/3rCD5 Zp2lkxI1IriCgtkZBE4sCf1ycM5J4Q0m8dHZqIiM9QOyDtgI54ZB7mbTIwj86/RAAZZ6Uku+ocvx Suend1rroC97Yu3X6RHmWdPDWAoBO1DTmp3X4KibXWhhZw6zU+r0sxoyX4YJKPBu5Oy8hkZYO6Ti bAmQ7HJ5y6AG787mLE5qCLYRsztp0Qc6MCCbjnkjqPs1MOrmVzxKouhsSZeVO1ZqW+n/bp/XqAhT a6e0muNAaBfCpzg5ryERNpYQSnNbxy2PazZbstdtM5UqMDmvAVHHUc9sMq/tw+1vD7DSB5uS54pP ScRU2lzTFZKl7fRgS1/joe52NF2M3PN/WUIsEDVbibNzron8eQ2H+hMzVvLeCNQQcwKz8xoLdZFL ENE5pTvXm6E1V944B2Zys+toY7EaMgW94WCzc46v87BcseB7PeUKxcV6IuzzQQBqoCxJSnfhqwbn jkrZS7zA5X22kzDlQiWXdrKRz2sYhKdNb1ThB4iirbA1gtl5DYI6wgoc4KR5SY91T+/7M4xnSxuk KzNRDWZnsrI2h+jc42FLTOjtFmbz0DuuRBBTxk6CiGi1+r0FVxa+6vvsLBrzjS0+4PaCUR9VPS+W OD+v8Q83ia8dWaUTDDVsvj6v4Q/O8gLt/lRMBxHcMbuzBiWFpYPIaJMGENH0NAlFrurD3VoTIA/q HUK8I09G2sRtN2AlQF7wvFXeBtHWytRihTK8DVbCHt4NOefC5zXuwall4MgqyHZLJibMyXkNenAo k93mBo7n3HFzJ9wKXnCkFoC0fKNDWQ3+IlxkeXCR8IA7y1hV2bnQlpUh37m8QQ2Ewl+6IpHURXqN ePAFTJG11h0MMDLM8BfSOZ/XeAdvaOV9nTsUTGYox9l5LTTinmto8ef66GrZlLA+aH2iNJ1k81pm 5JkgORvuLA733DkbCyL+WPfndse9hbgZB/dkdaPkckFsjdJswewsdq5RBPJBpViELbzd4aLzeS0x dpSL79rBoYj89Hznfiy/1he7VCVPvm9m6GN/AY5ato7suWucE/FaXexXYHSIauvETgltLNgzaHoS wmU68eE6gJkRPL4emznaF73WFvEVECc65VnIwZKKAXceVs2gOhZeWh7vCN6HsnG75/I7kQ23cYfk YSORDaIXy/1mOqw8+S/BPbp1jihcNk/ZQrAVh0s+ghulrIlrOTeTQseES4jKrvuKjsGZQO8a7C5W XeF554OKr7R8kFw/QDRRwLzW98UF6U6IlfkERQEzrruxh6lEgVvr8HUg5y3qmbU55z+KmFUEm7tV H2yCBkQUJxGrDfIvwFKiw4hbC6J/5y1xOKsPSwrm553DhtUuX5VOOgQHW0LnCmL2Do3XzzuFDcfz 3t+XF1zKGsBoNkXvUTPwM3BToVYF91hzWD8cmLxV3QD4NChWMEWbqggrr+6D3+7whNw4gYFIgHAL jfhalbwFWvDz5hRK3aO4uetabzX5YPcXhEjYDmoolb0HzuBA+BoSjQt4i+a3+zt7rbvYenFJLZNd 76xurAsLaVxDbHIEhzRIEki3FDqnJ3Q+O+csxixxjXOH0egWKiWJOsztp7fy3GBJ7xpkXZlqNtRh 0aArDPQScdfKQocjOoaGxz9kfPD/N5k5corew2dsjzMxo7pgPzyqrJNfyj2HqsrSrLdGU3S29Ull VfriYKnXOcWgUCqaf974j0y96AJBRA6I1qNpl6mwEd7y7P5A79wGm6I2k5oTED98561xk3riTtRq JsSYSvuaoiiGhgDNzMW0tCZYfXYSFRldIw7a+xZ/3oNoqZggLSVwaJeL5StwkYelNaNECH/LWQUd s5Mfgksxn2IrzXneaWvd8MWjyBOldE9P4QuQh/pkrX7eWWtIF9K96wnlQ5IDvRefojCOboQWWYA4 1nSXMMjFm1YLumhOookCaXE/dyEqhINNUaa0H3kxDbzsvTr7srgVcD3/VDobKIEX/zGKpGlriOLh 9sFws5B152028/68U9b64xxSZQMJxai6n7M6CqbhGT9Tam672zzTqLAs8SSeGEHusyiaRsMYKdjK PlwCDXxUKgOiQhNeJiWFTs2QtMuUvzANhL31su9TNNTXoPZKN0U/KUQ2E3xafX7eCWtdoB5NkbBo +FL7UyF7j6ixiBoNHU0wm+INnoyN5MkYjiItoiikZnIF9nj1wRYRFHGwkoYMHYECJpi9YoE3zdxt /aip8R5SO6Jo93kHAzpu3pFU1syfd7IaflUTZamP4+WgiTwnKIqpsb8mLZzMErQOr5LtZjWhDVlw O4jCoHqqTJaHDz5BJ+BK4GPLn6dQ2xjXmXhi5zPXw1SbU+8aTBCcCAY1sGzQQTQxR5Zmrc87Tw27 rNyISLdZg8afB43vPLUuVMOJ3aZbXi53T4NIxXUwYqkLS+idptaF0ARIlmx1Dh4RAcly/itbPrX1 RTrmrpKWR3yQHh81ZJ6fd5Yaaw/CpLNKf1leMGJbWTN0voDPO0mtP1K+lfgY0G7aooAGJyiKqiFL M2bN1aLq6rNyvnV2Whtt7N1c5Z2ixjtR3iFk9XOwY+gE6ulsYYmMJHhYIHVDUH2+RVbhBjHCKggR vf9OUevuxdfB6LFBCQT2cDdDkPl5Z6j9usykeJco4unH0Ds/DSu3UhfCWSBFuiIy3t3Ws9+FBjuc oveoGgmMnOb+S+Y/0eK5BiaQJQAQnVXSkkzk+0ldQf3OJIRa35DeD+/stBsSXXU/lxTHjyfh9gdC L593bho7IeWrLkQkYr9h9TszzXbZrMvK0JM+Z+Yestx1DummHdTvxLT+yIus7IOnZgt2x40I2XP3 Q3GUuStoMh3il0WU2f7oi7zz0rDi0SscmYh/DdajT12O2vVEpJ93WlqXLuBTG5Kd+NPOiKJqcAnG 3msYiJjO7EQxpLz8tu9zmEXPOyetOzx2NnPDysm3GV0aCzh3jIdQuSRdlkUiQBizQsD1MIneOWlc BNI87HewbTZOXsB64Ujz805Jw3W7b0CEgwiXfdpe/3gnpDE16+SkL4uHpoEZznKlrpOB0d2c+J2P 1l0M4uS4ywdfQxkWxHQLakDWJyaNkGTZ8C86ny5ICicIMcfnnY7GwJ2wqkZMTPdvAedkE5ALqsyf dzZaf3CxdpcVnBz7maH3mDpTDGSmah2eQfyUsdGSuxihaGFA9HcyGqMG8Rp388ErROOEDWuTHANb YVhlYJdBs39QFoTHipeIll42KDFOo+otHwyfd/JS/dbnTT/vXDT8rtmnSILQTAnTnaIoqKal46hb kJep8qlzY8QAQWFwWkD0TkXDF8wTCP1UHywgOgsKhiJFacfc2GvEFrPp21C4SzczK0Pv+vcMkR87 wXR2++ZCQiCsUPf+vDPRrHn0HESUgdq3hP9OROvyuIMSuuvST/fmaVlkUGwzWvNqht5jatelB43B B5uhCTllaK+Rt9/XOXLkE4FVjpOy4fdWEQ3qm/yMKGSkZHam7J/Iu4ypNwI6rqETTHzeWWh4fHpv lY1GXvTjRoxRTI3ccSaBz7HLBMvTOZST19Cg5q1d9h5TywW6J1KDbbAJOhurY+kU6okhYKyk9aNX XfDOnVfZVuZq7xotIUEW2x0UU597ZvAfcLt83gloCDhn/ZqgAtkHNOut+RyF1LBpAw2wO6yDUzUp bpSawzrQulH3OQ6p6SKEdpENNkEnfEww9h5kVuH4pyL0oughVdf2oyWGLsLnnX7WTXT97LFdfDBo Z+tlKFsf+fPOPrNa+lcpH/Bk9AB8hqKYek7pPhj5rNOMSqV8qo+x1bFRH+USeueeWfHjLFsQy2yw ElofkNUHWxQsiA3BJ00i7vlCwqcRnECFKXrXaIYQwKzCGggHixdnLUo6euErRuf0+nWVIbnJ08/p d+YZ1lBBBY02ZmSf61KjtiGjN2kbUn2LMxTiO3QKAZZogyeuZzXXShvZcz3vVZLwHYP1RbRvHw4j EUqfd9oZdklloLjJ0eOgTTbgccYKWu58xeCYzt8ID3QQSp4eL76TziyzP1Fbb4agFqCTIAYemoSZ E7SrCXqPqJNVYUHi9MGWEBpmfUJ7AhiPXIs5jEjCD1r6s91TiIoY75QzpBwUWQWd5iKECfKAEGAh NBiF9s875Yy1j/qVuFYcV+VmZe+MM/tahryE2euoxXpAuIKKY8xJv0Ap/51whu/nRLSoQQNRp8Fn CCY0o1Pl8aRiA3hLrjIkAPjmb+UDjmv8hD970ZOF/DG9kH+ypDS3fLDm/Lxzzbogjk+ngySqUu4p /R5Oo4WNpLUt32Fr+w4bEjQCury3YuJq70wzS7mb9wo5eLCIilju8p85e21rLw5WrdnMXMmtMTol 6d95Zljsi1wOutNpsNrZmWa5wo5eP+80M6yE3L/PINrKtnVnKAqne91MVYtBPVi/UtLak0M9OrQr mbS+k8y6yxagV+HDxXqcuaaXDbAeQDzxoi8ABrRBIDzWvLGn+tbLRuVFHkJ13UHdsk5LRKyhNfmO Qcd1JpsiGjVDF7fVZ4qicNqqZ8NVniTOy+qZLOSJmIaXia76sEY9BIcp6ryynjllYtQSNTwIUqwQ uaUSFiHBZAIzF1Ve32mR/s4vszo8ROhtQOTKeBqa0rkLa3bCxXd+WZcM0VP6QBuoQZHcpiiMpzvr Z4ZnRW6QHItH2I/qZ8PT1nd2GcF4XEWN0jwcPOM4q+TcWoR9nOBjYOuhBAuibxs0aH+q+DgDP+/c Mrbtma+KYtZRw+V1Dx+pLm7BOJna551b1h8JQ0TA+MuLSjdepX5nllkYP6uM+MAsmynfgHE4gyPD 50xTFFWpJ3/x1Yi+5+DtMuhFdrwdpghAdeqDnOBww7QQeWt+VhFRVe+8MkYmDBWp5qdB8dDJGdXF P1HJ/rzTyviO7WsRVardLS/CvpPKcBQloM6kzHdCx16qOYh0WOYa2hXxzU/FDL1zyhivqn4mtZnW Ly14gLKJUgWRVQCHEnyVVoYAFjQWWrvOGDzkP++cMhwKBJ4l+CFwSBL5gOMfrYJ/wIX/vFPK+uMu i7LUj4Orui+id0oZ1l6jGwal+RE7Ug0UYSJ642i0QgeTrEyK9L8zyvAFyR89q0qUHyk1NCs7QkUW 8jvcrTGZREd1QCBgVeENxVb0rtE+ozAIFVg1GKwz0dQK+KE+Pu98MhYf0nc8hPuB0naaoDCknogY m+2tsWigJINiddDQcc19mwvNO5usy9oPLQ5reMynBnvixbM2RN9sMKlELXKhgvRzTiWKbdarXgys /+edTdblZoUeh2FAHNrJnrfAH+fK+LxzyaypbWtInurDKXecovegOpkoSF2mpYpKlFGBlQNwDQ0K 5nANhUE1KxoLFAMb7CBqgBjDp5vUlvNdDIKxUFs5WT1AhYRQm3YxKQnvVDLiV2Xs2IYPmqIOGi0X 0TpB9TuT7BcKTV41XJGedrzzyBg1Uugt6eI60e/oDv5w46fz8eNip0PwNMTjT4LK2JrDg2xAAR9w hQrzgsJu0KbHQwGelP6gylwXbcDfWWRP5ko9Aw2KGtOGpipzrZo/7yQy4mfzV16GviDUuHyCoio1 NJ2hJnOlCUzcETXYbnO1xNrhSR2G1ZnX/eJdxsGve6gJr2HomDxqEtQItEf6FOeLH4KlAT8imh8s tQxyvw2edswypYCyx+edP8b8qn3nrUgLwWyy+QlxHzB1PIGucX6mSveUboCcnkGEq9sXvbPHDKR3 0rGT6fjg83Pe5OxWItBgo9IpdbmhMXt+EOiJ8yoSdCoUvnPH+jWcK9S95GAL6KTYjL9AWf+8U8eI HvqeINqk87U0QVFIDcTZif2Lwz6I82e8OFNylHAuDq965411ecuy4lN8sLQVolaFkGmIE0L0ZUm/ kABGlETrnaBBmOc7bYyJlfIy1YaW14ZQPdtmW9TX55011mWjawVq6tGjjDnyDYaiiBrJ1wmGsgNh kxs6ncszuwjYhLQHM9cWA6m5t0TW3I8v6FkgaCFmCRN2KjZJXpVqElM6HJa5TrwGPiNYQnRQWY2e hcvV2GByNpIk9yAB1iLUBz74i/2DU6O2e0iH8TTtiVszn4JKbJ20raYbp26ms1pCUYVaE7SmOmXz MXOAxfs5Y9CGb1B7abtKTg3FK8xIzuuaFtopHcXTJI2d/KVlH7SGALTSKbQTHw9a9nl/zVATZ90L sD2OpzddHV2kgfZRguJTMUGujsNr+P09nsYpzRI1aPI22CY7sQNILoaDpSw6q2dZcrfwLkkeTnfC PHsUTie2gYYSjmtWn0ub1fTOT9aHxyOkcP61hHCx3vprDyvUCZfXsq4hrEEM6gHZzuXFs5ltj/UI 9YE+GapmrfvgFeq64J5WhsqLZ9HomEYfBE3KHwD9LSObFLHoUYW6Sqpx0NGJAyeIcokSzKkn3+hR NP20yQgSQtO0lOrhdI8r1JSNyeo8T5ZE1SfrxSx5ILFYrfTRowp1F0S4E+O5+pdeYwcfkTUO+oxt UThZWRws7Jb+0KBZou5ROD0JGeqVbQ6IQP6wXnHihUoD5US/LjwfQIcug5WaDiDzoHTlcxTyECsS +7FVFDrJgXsVgjBn2sR7sB3AVRTF01P+DbXfwVMO2BVRRRSwj70rGL3oUC/E07SYbW4vaxqyPYqn qaUwMj1CNViRca0qB70TFuHx4Ki+PXu2jXAQcSlphiIuIsC5J3bPzZKy/cBgSbGQTDo0JzVDIexD if1ud7CjenSghWqdroq2mPtXMgZwk8K674pXbL1r0Eyk/d5cyci+51xg+WzB4IIX+FlMeDyYoF/N RDoFUyNfExTG02dCTiZZL06YwgPStJ5PvAiyJVKyHvIR5UxcFSzX6rgYdpGgbclG0MlhsthnBUob HYo9NPSwgwgRKj4jSOuJz4MWgw+qwcKOa8rbAid1FFCP9QvBiEVXU/eAsYdl6lGAPuuT+lWoIWTZ pp7wF4UCZhyTrjRcQmFELVfCzsSDg6PPzqaCPjdmqBX8Fwnr4SscNAuEioWjPuh02aMydZUkKvmE GixpHVNoPTRA8HiEPvvu2FPvcV/0WX8PqZFzoMlKdjCZ9Ju+cVAFRiZ1pgVJa0b6wdJQj0AfciQk r9oG22ToJ6KIq2MIhuM9sTSEtD6z7nL1vpn64DP+usw2ccUaBIs5B2aXW9W5GfB4lNXfNaTZpNTA naEISA0sAs7SIofLOca8hY9mdbQFjomtoQj0IVldZJ4cSPWqAlKfG7+yuNRALiY/BDME5ib6Zms9 xxAOYnzGH/HQyeCF+LlueyeRAXmKSUfbeDxaQukrHqKisuR+NUNhTN1ZPWPSgYOa/jx0t+zs+LI0 lIhiZcQY1ajVBFtUXdPgMTX60HULFnOS1YSKRxOsvKNAR2dka7h2qur2CPYxCMM/8Vv3wXr2aO6p X5YaHo+Y0T5FYtVnurM6MGZEQTUeAyyvOvzsAmMW3bW5iCpFXTFFIypSIzRAsT5PHzy1P7MDnWfe ZYWwbNYgcaWdu60Qf3EtdrLeNagvdkkzr+KDH9XdVPXPgMeDoHr4XcZDQD6Etzg0oqgaX9uEXYI6 ilAls44iBN6s0bG3px0jrFH7ZVZ98MvsTHWj1xvkdM+33FmsrcA2ASrzQ1U8y8toHDNCgiLBnWv3 7YOhYIfj804IgMejprSf1JK4hETWvKnrCKHUwH3AGUitoEZDd3E4k7tbb4CjjF4fFamRvEMnReSy TsoOtKnBSRjYaCQsoJhbic9bkxhhpMLjyTvO0wOfEYA8CRBOQy5Ng1atbLmeQFHtxPN/ePxftCDe W4iw1yW5jiikbhO6sMXll1a9ksxDQl5iBbnY8IhCalTRUXlNLA8R1M8JKhsBxCaIqRF4PojgWxRQ g7hGHxcXAxc7fEQEa2DxnhKLGozQcVJSlb/OSsXj0Sl0VXPYeRxXgJETFEXUAK/C1Vl52TBVBkxQ pVaU8RWWVahHFFFjsWJbUjaQg6PPOtrQdJsBTBjbQeLWgG9Qx6nRf9vs0Oxlo01GNtBgSL3Rd2Xr m9YYkjYe56DD89Ea8l0m7Tgoi29POkYUU2OhwNDDWUFMF7jJEKraJoNdt22yKKaWeA4EHXy4RXwE h9NtvtaWXFwjaROlSzLqrEhNpv2IitQz6RhiKZaDDupzPTJBApdv4vFgEaX0nbhirc7bKhshkHoA 7+E+FZNoI+OV8XV5TrflKOERAakLcYl7KCoaT8DYMRWN/RYkHSeVoXbs4KoaJAeP2ynr9q4RkZy0 KfXaOeicBhRgy/6tDzwehEPrG4tPAUAcDTZBUZG6yUc6V2dNuXf1Wbh+65/gZhrBdURF6klfM1B8 NfDVWaRuHTGQGmWTxxItiWhjBnoh9cvdcvD8eHzG+zHUKfExRVaYl6xQU5pTYgsn9sTj0TG0v/N6 YCf6EwuFATWP6bQvrWw6efO8gKvkJUjyaILigFqyXfUOdtNDf2B3qVnI2otpa6VzMJw8MliFdlDv bi8bRNQUYWqgoNCTMTnQ/JyegwffOVAXng8y+7K+4kXartEiSlMUoz4gapq76kCTd7WKQ0Vqi5sX 4rBm64ir1OxHJ8k1pEeuIUEzbW0Vh+An0VjGT7jlG+Jwcsytjr+lpROSE5mPndit+6C0DFqA/EQ0 0fF4VPsYX7sMR3SjlxFnaIZV6vN1n1iuSo/63IeUhsnMW7n1UD5bnaKdnT8nzjnOI2ne4QKpz0nU WF2CzyBUsM3WEnF0AXVqXPwZU44Zgj4ojnu28B10Tp+7rdHFup1VhsejRodPEEtX8DAz8W7OUBRQ I78F6EMVD/CZrfbBsrrlrUNJ/rnsZ0hOJJRjiYDHwSF6AJ43Uv7PrZ7SWkxtS2syGJIOvNVgUVPF Z0R5K/3gWLTVoLyVehJNM1TweERWuHkrZog+vusi9GYUUMOEbWZdZZO8MlMRrPKmJ+qjV6dzzJCb KDJQVZ2xfil+nBlCdMi7HiiZSZdddOBI9CQ/9RJcqUUwwzI1S/g9UT2GgxU/SpYKVD0fjccjgN69 y/CXwXhps95tFoXUmZeZcFSk3hWLGFGp8I4rtbE1RX9XqaF464MjhzbkGsicaOdfbxxFvMyATJDf Qb9FakRR+IzoHKLyUBUnqGZbRKAQJKk1nIAJj0dKlL6IFBziOwLo1GYoiqkr8tbBQAS9jqXW4pBP ZXbUB65vHtUzRn1w9UibaT3aTIVOnrBB4CJKjSKmEIfHpYbtSB8tyzqQ5uAzIj0LusLmtnwwbNUq 6kmfiLTi8aCfOH4pERgn8h5EYZl64zLrpjd9rrXqFGBQ5K0bZOUhzFAE+zBxpi33rv0ofqCGj9+G Wcc6xwfoducg6oKhIudpN2IkDnZGIXVlSyTRd1GDZmieVUSdk3a+azweCev8ysv4TUHgxmYoAn4A VonidNVBNAGCsoOo+4ZbWYK4nT8nuMwkSrm1lPYVM8ccncCQJvDt5GdnbxCO36mIT7xRv8gYtqTw GdFRLTdBupzNvBzXcF66sFMB7iMeD7Ky3r9uexaH5qOdF1ITcQxZT5oH0nXrGCTaMLNPYJsxcZ2h el6RYcDqPngr6IS7iIHEb7WuCY4h1Eqhq02PAzek2nrXYAlV9lonxZU5KOlAu7KrfLb5eDBBV/GV tSE6m6R7CkW4DwQks7FdSWXKsSxXbRZoA9YA7JKd01FMPbK0Yhg37gc6BHR0A5iIjAVQsSrX2ki0 8kVXB6wpK1JTvGpGIXWT+8SkSwcHQ8aMpNrHuUE7Ho+gQ9+MF4ApCnGVmqCQmQjDAFj7WUv6VsxO 5HgPIZlt8RCK1T4aAsVFxgsHa9pDUTkRkUfs2WpaQbgafkiqaTQEkjgltZ9nKKHHKn6hmbAGTRCo NIyGTgpR8HgQL/7Kysjo2BdbtaKAGsvkrFbT30Yw5OCzOofN1SIakStoRbCPIWDMqHfwfjSSMkrG QS9mnbuYFYAu5TGEqfNy7KXJvcIKdZb11L6DJqjS9O2HVtwVj0fMxF9nEKd5+RZbYYEaJXzDmXUC QFzAM3dTE4aMy5WoDGEf1Is5v2Hx4YIazi4rpu0Ohv3kNVbJikZ1EShlL1DjE/EZUe2MTQ6lZOOm ZA1yYVPB4q54PNKLucFi05GOlGN72rpi4WlurWmnUOnZo8WOL9vAVej8aYpi3Mfv2hAVWZmUySYA vzcEY2aWavkgKR2Sr9R9s24reDOfFVaoN/Xc824+2CaD3FNXPD0+K4ynu0+RNB2oUOdNjhUyE1E8 a3UYxb4RFSXalIzNKMqE71mbLARRdwWLLMBaHUIXPRRJcW3ZRb8HC5D0gquZ3tSX/kv2+mf9WaIG nLn4oAlqOZvOac77s0LMR/9m2KNgWvMFn60oml5stiYzBBhru+v7iSqmFRoXKhY6pldITGQLde5d ffBNdi7kRqVUMuw3nKGwyRLUGs5p+9OffIMUW3xGVDwThrovH5TWn1hdMrnnNTMe/1d9+kJgQQG3 CYqCafTZz/dtgKrzJ/dOaGncYxqbzCYoVPqgtcSJ/+7gWf05pQEHwjHdOhx2p5ROT1g4sF6pG+eu k0SfrQj0sRgD8YfZoGgawugUWTg/fuDxf4E+MBsTVVy0mm2GQhR1ghZKtwBoQqfMLrKlhEyYD6fY rziYXrrBig+2x87THTzHLKVlnB007UJec9KN8kMupipDxCzhMyLYEA/osoWi3h4Vn9W+WNhBGwqP /1NMGK8GSRag922ComAaALAJ+rJKZ32Rxyr5UEbQ1Plgh4JLKERRd2Gj1eLIt8WRez6Hb0KigD22 oFw1tMdoM0qusGstpzn0rlEoRPg0xLNt0B7rPSlwhAoEHn/fY6v80iCgetQDaFghL3Eid5tzeMY6 7apvaEFbhXrtu4TiCjVmaND4T4Nm6KSSkGgY8gbs7XzefHS9AD+nWZJarYVgaHxIBKOWvAtBsxys 7HHm3cTzVsXjQbv+ilZxOlHnrleickXhNLkuaSVXM687eQ1/OeR8Af9sN30YTkuKWlox40srplCo HlRW6Lmga9voBtQWvThBSxxPNDSGXvYvwhRVQ2ywpL5OZWRlZz4eLKJUvuJplPfoZa8Z2lE8TR/O saqJVq36iFal6w9csMsl2R3SErdtr+6D7TIczpv1Xa4hWt9il6HO28gEKo+HMgvUO4qnG5FnvRPE yIEThLZln1L1Gnw8Yrt8w6gLT6Cr4bnf42lHntHIgsHivnJDhY6EzMg2nOw1QbHQB4EuOd3Bz2kY TbRKSAyEf9EIwk0G/ZyOWghK136RURB/hzBq5vTnUL6D4arQpucpAq3c/R5PP76SBmfAou4XEbPD aBo2SQVdPQWLzVzL8/nOXR5/85zWBMXR9HytLJ4sq0KIV34KqIck2pJ1dJIL91va1+IOCxmfEUwQ PcqrOLscvCpUFJ0WxEI75CQWX0FS8ISo+YUz7JCSSL4UoMySY6rF6UBNqGEUpwtvVU5QjPfgBG3i gTh40SOBSjO7OhyLSRl79VgHcBWbO90eEC+yHUXT0qfsm4QFDsrIAL0Ub3fuiccjKsevCVIqds+g EEHNM2hfpsK8bi6QH/9xNxcXztshglqdVnJWNXhtOqFWs6ToleEApAnatDhEHWI9ZxCr9zsW+mAM VEXZrE7ZhC6YJMLPJq54PMjHqkfTW/AZ/LR70+9QOO/EFxPS7E4HagY1c5VcZKwnp/IZCuEeDKdf 1KjPMQTraGnpVCT15qdM6jbUAuYjApvtXYNYiOzOs+SSD5bUr2zmx2em8HgwQ3ePUUECwJx5i/c7 gnukCpDHckXz5uxEqFN250v1VvyUDnXzRPstgsXI21mn9Il0igh28NhDnZp4GJaFNtAM9TaiFxvR OypNG2mTFCINtscGDYati7hjLWrPWBkropKQl0fTO5T5qGQpmJn9WNl9NSuYinYIwTvFJiiEe6wh um/ywQqLCcD7NJmQAWRTK5uIs1Ly9Cyj3h0eXGg9iM8IQkUlYph9G2yPdcqLkHE38HiQbsz5fc+j R/LIVe2wNH0ulRObmDLV+ZNHP3XolCafDJcd6VI7Dqaps7zUQly3hVjgtFm3eOxQVkyNK2iCYVMS +p7pEu72nnrX4BDaxOPJcms47fH8dOjOMWE9MS8ej3gu3yrC6Gi1dYWodxRLo60G8tv1ss3Xy1ZG XIVC1M3EmHYE9piC4xWpCpanUX+OfYiYN2KDof5eCPaggFEFkZAIDguE2NzYocJHFrKckiActMXW CaxZ6gWAHY9HCeu3NxCaR/j+bILk0vD6qWeFn1CxCEuOhm52iQ8ITQDVULBnjMaRUxhMq6uzuEA4 WEI2kMtsGiCDmTgoiQwbmVyQbhQSaC9kiIpM+JSAtilLhUG6AiAWJpk8cLqRiQHXHv6AfxYX2Wjt SJnH9Q1IITOxbIKFUF+l3wso4oR8AK0kR/JFxq7NUxhTKy2rck6o4+pY1BOmwJI1aZ4mwqsfmgk3 aHws6cN5FX9se92/IA2zzjso7Ti3ZpPP1GiNzwd5R79hNZvXaGu3S5vKKXR6QUt40hGeuA+WxFVD a5T3GiwyujJTTiGSmpSyCdVYG24FZIDGLLupmiA0yNQDbtodxygxmy5s7m8bwRp4Jm3mHgNcA8Jj QL5erHKBk7L4AwK4efnGeVJ3N134EFEc749BV4dQFRVBpOWpFL8ZWHiBXOWeSrFVIhfTpB4uB+8I lYViMuEx7fx5Q7nh5PgQNIQt/Q/9OW4Ku+11g2osm0FD2IZxsQ0g5nRRgU+cyuejevX6Co82Ycr1 upmkKMTGEYhSi5plU169KFj35DWR89hGsZA2Cym0fNmqppU7+JZDQyAtXm/1rCYQGbCWcDsNJB8Z XCKrFWXKD+FT/vKioG+cDYbVO1vZGkNwWkghBOQ6CQivDqXFcVNZ+YK/h5EDRVkgyv/HpI23WaFf O+HmdC6meY2mKa5bM/iZ+Q4XazVLmVlS+YDvyV4xdao0AfOfxpOsMQzAp0SIPeI/sFZtMKDMrgIN QLacz79PU03fHUbAOVp/3INSLAAC8NFm3REkfGxvEanIZeAfQb3vguwZVOD1AjHjze2D3XP9HKkd rA8VjSq+d2w5uNJM5N2besE6mICD4of8lbGhlu+D7biydrKUVi8ZVEWuNiNjc5ICHuuXFIuAQH6I cqUEyyg3IVhmmFUFrALBZdbpHdavl9ic3E7jy5bi7NwTjW1mtRXyUZmVgZRQ+oM+FSmLipcKoh5+ SJCTbMkLb5m43gp/QnkWK+mENY3PR7i99HUsAcVQYZftkxQG3ecyPRlCMgZ+K8udSqc7nOFovwY5 KRICqVl9/Nx9cDpV2oCiyd0EHkJkvm6luovylddi2xySU+z/Qtq0Ec9cBfLM+skUhQA+5wWfD7Zb vTccFVNBC5z5ObxjmDUMF1JdVoIkR1JKuswv1K9u9V5xYRl7CWA97+C5yWr4fRJPpTNbFAdHrwjJ E/IUBGsS9C6Z7Fd8yB8lpJEyqY4cNEsDNu3qpjGqDF1g2vehhOoMHGd9kkJvRQiXjOVCMmPIdZvU xT7c9i3nca0DQ2QIhS4AifXBaySzsbzGBC7Vs7B4CQ6SSOCewuXEQ6lQJoMfEpGol2BX0wdDX5Wi 7kKlu2Jorzh/ndxNKkc3Qwn9FRvocLOZT+B4qDD1vHN7Km3LJylChyRxz7I0wPKlL1aYRZ0ch8rn eWx3vT+RAlxggLerj7A3TTxybLBIS/uRzOfV/ZbgfDGUhJ1cks9HorHfvX067o6rj5Zjh0WQLMu0 +Zilmk489BObJ7rgcJuBYGixiOI9ZqdVHxzGt0A1QwOD3m/Ieq7nUMHCZX/LkKCl2dv+1TVaXCqI IrdObrD8id86H10Hn4+K/t/FAKpbzQuhyYHLIohjBSf3Fil4ttS9IrmkIEsFJ/ASbJLCsJsFpYws 0wZbSSgdnqRHNM9z16E8gJXUsbYSV9UNlKgYww+Jthsd8hrqcxoUF55buapztHLl8/9SBmGg1Lia 7sEd+izCBHVAL/hW/l3heyOqcCvK5Ad3YLSYiBlHsWQ1H2wlzZNTzcx7HWAseDAh4mxwKgLi+Ie6 zJabyMc9h1aLeF1CRIqGrKV0jgZLVE52v/l81KP9ZXSPxBSaez5LkYw13CjHWllaV2Nnt8aDwkm3 yhsa6L6UwqBbEvFVRe467iwBI32iApIZMzylGv8maitMVn9WfbyXKCiXQ7PFTAn0KTH9ecX0URNO Wgi1DT7/T4Hdqfz4Jz8CuzlwW0TRBM1+VJhZXEqFiOuN47AvCy3XQg6peDK0W1QbCRekDx5PpoX2 L1MTQPCW/mZCSafB4rgkoouNsVfsdaNYSVgR8kA4WK8WEjZSJiybz0dn9zdmBPfWt2tn4Lgod1xY 1Ss1OVHp9jyXkAiV4CDm77MUCYXIYghYRx+8GrBgDYPTn8TYuRJ7BQXu3FRPo8qXKxj420ZraRC0 hihAgy64idtFiEZ7yQjH72uJ0EO04Ot4NlwUdYNPfY6NbdCjNJdrGECt2XEjiMVtksJaN1OTKeDI fIAjEHldaPuj6Q91jS1B5wThbqjCoeF2FQrpWcZPiUj6ZFhLSHZfIdkTzOKSYxiwMp//F+1KXE/c reUp54bOi7j3AYhwkN/cJn1VJxMMshtrdkJIjq0XKXqFKq0Pjh7BqkmtUR9s4oZjgA4+NMzrpxjz 1nVbyd42yOCGNlzrPljJ+4SKgiDl2fh8kJz8shriZK8vN+oo7MZqRzhj8vGrXBgb+CG2lgo7eFxL ofviUvNWOEcOXqSs51ZrpUhVBdgJbTg0ahsDp3wzOOHYcmi/SL+CPmpR95YGYwTnw6UBJ28vWEqB /yLiCA+W+HLQGWsX1J9DA0bUf2ZThA3bmE3osapvxWon53gafiqFDoyGpP0/tl44mQfW0pQqMdo0 5Bap4YtkDuROraQlT+rQgVG+5pPHjgYV3852FeWxncCJz0dcYj+6JRePjKg9xbfYg3FQOX6rfTIw IXbDDfIyqZBO2qbNUhh3cynNrKA6P34WJwQA/LSoRoluU6G8Nbp+VETOpA0J8pdrstf9gy47EsqK GHA9k+mxUQRjLt/32vwBQeR9KTTanifLho+WT1NU8IZYMFhYolqPIdVCyqmN5NW3rC4TjqXAiRFU Ph7bWimz9YutbRP+TFDSPrOd2YEtMBqFiRXkDAHsaDfTVbwUWjEmIkpQVNBgW+7EHbNlbbmst4x4 NN80Edp3k+hksxRax6DTs+ueFlRWlgMQeifJGzHT3TeoDNwY8f5q5I7sgx/e60z4uTItiVutU2+f uiWlexHXGt5z2dsGk5SLuibbB2OKnI/k4X2is8zngy03PD+ZFggUnDE+SVG5mw3LKjwSYSX1wkrm Lb91HVHIT0JDxiUSkXJ9Dh55Y3N1uC4CNtEom4HIu4vpM2Sdy6PCxB1z6MjInXRCjtR9UByQ2lBy 0XptfD6ii3zvtynQ2HN4h+J8aJ0A6awGEyurZsm43QsNYjvFJyn2OSedb+Y7ePXt7L6mrLuldeLi TZNmLF/Z6ZXH+FS2njn0ZBw8lSq6VTYoDDh3AA2AARYZfP5fcbcuMwb8zyRF1W6Agc+hNN23srl3 93nt66gHKr5PUljtFgNb5GEOvt1yBc+5c5IQCKBhjQSGxQpkUSg6a5KYS/JDgkmqKuQKJ5mvbHra hp8orL6Ftozl8md5mZHZnK9SaA6NGQdrlHKxQjRaff0A2jIt06WenR1KoZNMNrfz4oPXAyBrnhv3 Wy5wsZDuNS2O4OxJGohFlHvZ2wYXnHlbMKLkoFk6J/eSc2DjfgthJr8UMzLeEpYbd5be427kcACU 8pci0GQ6zJaphANNkouD58CeEWuJnROpX3HwTHeknEGIwyytNQjrMSlDijaBmMJ64VlLtdvbBmuJ 2jSrCUzRnDxyfu6s5s9YM5+P2CPfRRME0tDz9UkKHRppWN13EdZ29u4a852aXvgjG0KmMZ9ji8Yt X3hRjiW/KMTbOdRPOMQc7rx+GZVwFGlCoc8392/cdg4tGhlRnjU7qg9aSZj5LMTbmHw+EsC+fjI8 5pEYP4Wl0KMR5R0YMrsParvdJb0DD6XZs19vgUljNsL67tKC6pemVQoigspKVyv4+SKsDxqCYWXA /Ojysbu9bYAMYH2agkk2WI2yJLGQULvi8/+U62P1rUBG/Z5JsU0jG4VrK+8fezq05Gz/4Vafbbub Qw59GqV7MFq9g4OWyKnJxJbWc9cvFpROHtwheYDAC3GIA7vU8g6dGqXGP2hwoEEt70FiEndQGnw+ mqVf5k0Qb5tPT+APr0bo8jovG2VpX0pFQYAERqo3TmKzRpl7yyaOg59JqLm1KmPmc3KNTo57whfe oSIBdqBFSjs3e9sgnBQ+sEhOvbicOlSeaYLz02rWS0Zp7i+HeNwd84m5Y7vGDT+Z4ppr43oSQXpY 6+ukCzgsbCmFIiPZkErFB5skXJRpU5kbAdPZf3KvBiEMvXDDv1ldKS973QjXxSM76wDPy3XpIGzL CLYutHMD08Zv6ihWDaodJ5h9Tu4o6M7U5d9pW1lpPWluIUGbolnpQnFC20bbcGJoj2+GNoSzzmIi KSnBQA71JDAGziIuKGGQTK5CLr2Y+ClByaTr0Oa8cjCMCUrAbPZvlEwC50Z1Cu1+k53z2Wz5Kvrk 0LoR8pWouV3V8GHWjZDHcfwE+h8+TRHIpJH2OKV+xMGmCaKJgE4P4SdOxtNY74YUQsfigDWgdwVW t7f9Q8Kv9yG3+OHstpHObSH11M5jKQR15+8dV4V7eyYpLHfjGk4pa8cBvuyCI1XymTRvZCqoHReG 3YwChjBbHLwWgJt/DxLckFNu0regoXW+HqJ9Rn8quWnZ6wY7Lou51aYPOpcyelhDyUnn88GOu7jc LI5bQf3tOZhimAklIIlNQttSKG5W2qn5SrXMsebytRTF3UpLZhKXK30VA9K5WEC7tC2XiaQ82xil dhrUgv/lVcre7HUjjCBhbyBK22BEwJKlJo4qMp+PWroeCTDSylSqBlnN5ymEeCdKIJFoi1rc4jwV WSNiDWHis/Zc1w+KYoFCkA0vu/4wcVKG2ncnMR58yXOcAIuyekNpqbFy8oWlpMRPDnwcE1tiJyWo UmCtZoUISmlSwwYJHZ8PYoHxXVqidE5+QBSxkyMMOeU/QKbAsgsPTIHuzjMZahaKBQIrR7nT9kTi lg2exW0Q9iAaAS0VqNmJloKgKrNpydJSl9T6sLeN8hP1OGU1t6/BE/yzTY0eiynwclTJ3E4m5XwA wz/QrtDMETXvAf9vK8Bxs8i7mTJntJ8BWM2yuNDNERA6wCcoBy1zdc9150L4vZSgpG1SNvQFpqIv QDbK4oYipsDOUQbM0FlPd9AswSVSFP7zO/P54Jbr3/TkgjCAlrk2SVHwDXA3UFHu4Nx2d6iJxLUI NRnToSaBo6OHlTsx4+XgscCCng2Rtg1N0NUYeQIteoI6eS1d8qQKcKGjIxLAEyklggQXJf4oydtp 4oKjBn0//oCgE7e+lWqJxvjCvwWejudzocwGULHiShD1rQK3FQp0YU28uBSaOg6CI7ZMZjl4mXJD vwiULlbgZpaapDDDCNXYDHGLlWxvG6AoZEJT5SV/6QIsNOgiH0BRhK6OD7/LPEQYWdxLLvB1zCRD nCvCqpMnHmwuxprWtmwFRWrTQMyhsSPsZKgDkH3w6BuolTZE5W5oPzECLXDSq6gu4itwib+27W0j fACruMBj2eAV71RkGttm5fP/0vS9KMG0nkkKK94FaiTNyMrnT6xTLorTtydgyqZLkgNzR1eVGNTu 0+AB08npTmYw2frOAFRwKaVJMNgJB5D/XADcsrcNaEzsfHemGBosqqxL5i7wq+fzQTH3xt4Uz4cI Ubl03BwYPGZ6T8AK2fI44PkMH5CkbYdJmrhYbCXFbEqG3tBzs8F7upuZBwFw0DuZk424BVj3QOBL DqXzc6jTlgOPx0xwFkGU1QfNEqRfxTk+c8/nI9hS/8rjGP7Is8umKVT7Q7Q0mDeyBLen4QM6vahV gpMUuKYpwppU1bybcEvtwS2Bt4TMlDfcIlFqCbw8iZ/56aRUfGmR5cDoUYo8wEHV7YM2HOJL3ewT 3crA6VENtae8hNXcUHHXJH1eYqV9PvL/wV5uUDZECQoBhRLVLLN7dWl5X+AlUjo/RmcqwLjrDjZD 8KPutCdD1QS0Ze3LhqyVohPJ/UQyE+3PS5zENwWjsIIUsHywY7s38aRPHomn/8/s8AW31bqb8res Sea/OF9KNDkd/aRmhKVJ9JAWUJLh2vneUwK5SAsomBwdRVDv98Hb3aDj1CoFILgzLfaVemHxDGVP KJT47Aj8/jo75+YUylRKSRy0ek6yM7l6oE35eYmO+ItWy26bDmsEDpXq4pidl9iID4FIO1Hm0ezQ FUFHdd3L4QC1OIHiJTLS0pmVvoX7DpodOjeDBsDWJJKUnQ2mg32LHLbcIgk9Nz8vcRHftAz23Ij5 02A45ZPeDPEhdvu8BEWaWqvbAmTHvzt/pK7H2XmJiWztJJ6UpnjMYqqZiHQT+UUbDCV5zM5LRMQf M+g/sxfdaDjYxkIcfSZ6SlccjdIu0Y1NzEu1ynZ6cKUv8RA/guFgJ+qCofX5ezxnK0J34rf6uc0+ L+HQ3SSaHjkZVbJSbHZeYiE+hA/DPGxL0ZoHQBW8UyvXJsLnaJ8arJ0hU4NG6aj9kN3hOJfRA5Eu PWpHku6H5mqDnFIGzJMhIMjcdJKPzh1WjgYWnA26vZAm69w5F//nJQzS08UXjx1R54ZHrYaz8xIE aTtCEgTurOqLgEjsbX/gda2jDfasrFOD2ZkGtxUouT6g5DNR/aylSW02KCPKaL5mmqlDu4s6f7y3 SmL98SUC0hdJMYlVlHkUd3TscDUQ9arV8nmJf7R2qq8dwQPO+5bhs/MS/egoz4BoZWtgzzq8it0m Tw8TP0TZkL4P0ew0NbLr9uHOzkIFRL4Y66wktkM2TrUfCsKAO+tpqywNop1Fljuozj5YATspwv3B gf95CXt0aknj2w5lYtoLyX/4yJeYR4fy+T6GhAoF95v32EkXOppWdS366FA2DRu2XTl4HQ1libWm CO4nq6vsSxZoZnTweDKJDqYMSTWkl4BHX4A4pOoUzdsparDOps56OyHT5yXcuW/4HDtVMii+dF7q jLaxMCcMrHEoT96TErKZ0zlI6dFeDQ5l6Rut3IoPfux0SMqJ8XeikZHIQDrT1WDNSjhCdk2/wkzx 81Jj1OyQDlnlzVM9yTtRQDuHO9WNz/X3eakwKuQwRb8mAXHwVk6snW16XsqL+r3U2J+OyVIPhOdO dnc5NSSkQRLGOxJnoQsqh0vQOhcSPNM4PedEmsxkM2yNOjw2My0XDJtN06KX0qJelbrhq/Xhg07l Prtktc5iwNPRnfUd7wA6z41t0WAUK6M6cW47U2OZKD9oek44aBUiyEe45/cbgU3zIym2rZrhfoLl AYTDWiKyn7VTC3siwMGdjOdckQAXuSwtbePf+GtaPoMaNm0lH1R6XXMlqn83ImiicHnJxsMmKKM/ UbaHPG/sNX0pCf381YslE6tfZ7ldXF59bhf5eSOv3dULrEMvPvjRfPbmagZ87BmyeJUMCIjnwIGH 2mzWhAVthS8bHM5FXiFE0XLQCjrR75LKY658PDid991heD3z+r1r6D1oPpdXw8ZapqUONQarj9VN aVD1qccl+EVRs7T596KqKAfHqp3JO6eFJCFP6k5sn8eFZGihSmYphRL3KGzuvNTnZsbFwa8vSZec OwmFsve4GQnb3WQkjUHMts3mh9Abc02H9FjEghhz7Xy3NkVwKlyOCmF5TE2O4JTuVBDfVMTVcIPD s25WraoAnUmRGMCA70AFW7G38lxhSe8apF1UEN+Dtk4cdIeBMq3ma1nocETn0Pg+h3CytDE973pj rWl7oFsG5yuFh1PVMkCLajY5NvBbp0OL3qNnlZE6tOy3D8bs23DrLE01aawunegb0U7B7UaBhdG0 y1TWCC95TtAkJZuDTdBZoVJvhuLhG2PNIqj+fQ4RXbyWB0FvjDV99fBrzuSgUnNk3qoGqUUKEdN0 few3wprNEDs/ELWywcsa6UQ+aZo+9oAIknyLAXZEvg+0oVdaacjzxlezQ5PmcrKB4iBYEaqURE9D TO7zRlfj4y15YUPiQECOwhTTpyiMohv1B0zeeCwh1UiekU3PZPu+ecs1CqMR8zFvbz7YFJ3Qthd2 nM8uSwOoafZmKSlacUxDacYsHEV8jOJoYLhZObyDUWdw3PEGn3l/3qhqWkT9+zIDMwyy9T5BUSRN tkMq2/jqErxk675R5VPCq7WZINsbUc12K4+hTg4WB6/+TFgZoCuKHAkk+CUHR2j4wnEtU/PCpA/2 1su+z9CYKh6W7oNmCNgSWTWci+DzxlOzd/QsVVsKVUwyFTVF7+E01hBl9VwiuxGjbTL0eXrfBzgp raEonoZGHxqse/lgB9GZhhOtLEH4T+AAragfCrEvbTN6eFvbRw2N93ja+hknlaOHY7oejnX3LunV 2qFYEwXUO/kastr1Dx30bIKigBpMulmy5WDOWUeJDFgNHdqbfEudQ2FEPXQA7TvYBCHePSeadFgI faSUFErroIfBSOohqM2pd40mCFPZmHBoMHgjLhjDEa3PGz3NwilfQmTWALcvJ1mWEKOQujbGicNA RFOnENlpyTNXSBNYu+eNnHavRNQ4KIrJwSPGAnnaRBfQCjDrkFtIlRI4dlp+FLSG3vX9KttFcA/Z FA63jacBonQzz1f9eaOm3aj/K+cAQHzNO0FRSJ3pFtLM6WFwM5k27ap2Cp35c5PLN2KarUS2eBA6 23BBVidPZc/0TNDApUhYYwZUplPZbfBQUS2IuP03YppFfIQyFPLThtALyB6Kawed+HN+3nhpdpV9 Fzwo0QXbepuhqAw9qQYhTPW564vgenTaJa+SxbIKaW/N0HtEjUqdyR5OHzxcRCmRDovIyk6eWhl0 Q7X5B+JpALc+7HR6Pbxx0p60rC/wDGy4N1nRZX++2M8bI80meHzNEOCbpRPqwRkKA2qiqxiy4xRi AKUZkqGw0FUULeQmiwJquDRQTGT74EWhCRwJw6lGI3pVRgqi0V7p+v0QZCQq8kZHsxoEw6HEg52D ZqjAl0sa4pmPR5m9ryGc6bQPfy6yNzKa0l0IHiYKmBA9vKsBGBvgsHbX06OKd/0bF83SASauSZB9 l7LCXY9DfrQtIb+zZAehMAUaTHCOQlfzuhaJQvTGRdMMTfmksjLEQbusQmuYM3TOzs8bFU2XrfSr /RzCVY+ozWYoLEmjgkUupaKhfV2LzlF6+4SAOGiXRTXpLnHRzQoaBz+o1wmEE/IpKBzVc9RwDdGd vsM9F6Q1L9ijmPB546HpN6RgJrS9fNAEbZ5EvMnm+rzR0GyZl++Imllhupn9Gw3NzqFNqr5bXFIJ STS0tZ1htUuxov0bC+1m3ZiatnzwZjMUxSZsGqhDf9JNykQV1BUHu4VAdt/y0NLLvp9Diyf1Grn4 oCk6P2epdnG22+eNhGZdY99lPHQYmI9yL7MwpMZRbe0vul0O87jsygDkGDKcZv3GQbNol5I0hR5q HDwc2ifTS934w1kYKChAMbna6Bs8AJgy9K7RDA31mdV1BlvVDJtB26NuzzknPm8UNLtObuZKZRbI ru51pyisUG9oapM4jtw+s/sisX5BYfDz9nYDvjcCmjXk6S8HgyIbbIrOP5XzIYS/FKCFUrWQgJcJ cqniFTQIO/AzgogRFYCRk2qMyWuMUG9pAlLt3D9v9DM9vspXSN2JzB/3qI5CauRLJ6mc1bfZpcP0 eiV7GrsD3GbvIbU8xs7iQanPBk/twcGYRQw9pOGlCdvJizaBBD49Ypw0/X6jntkaYofjv75FqDF1 syPO6/PGPFPAOXwJcTK527LHQ2/EM0VRHVq0aXvEqHI+wUH1sVSBrLMaz3FIjU1W4N1og2+ygZmG vhRqHyWNJNoVGORwPTtf+wPLRz3q88Y7uy0ulu+zD4bqLBQkQDg08ueNdmZ1Yg+pebMDmVzGLX28 0c4sRtio3pulysl7bK5Yxx9ex+/Oqn5jnX1lZZCA8cGQC6OcyL6RIYJJL0gtOIkgpEAXiMiFbiSY oneNZoiVxab6Wbv1s3Vic66n2gtfMTqob+mDawjfjlwfOENRTA3FjlmcB3vik2oI6kZouAkajmSb 7I1y9nUKgfzlgyf2oPOkQVf0k91B1IiFbOyrQlnRh7yoU+iNcGaV8PFmGXKuy0U/9R+U/D5vfLP/ nNMMfXCw5uWX/RvdzGrLFJxxiDnrfoZfSNklsQqyUk3Qe0gtHD4EyUfywZbQmftzgyGrQQn2nB1D XrM8Jhu/z3ZPIQphvJHNlHNIFXOLrbDpzMQ1dP4ndanzzuXzRjaz0kf6OqcrjquSPOl445pZtkzl 2ebw8pkdXg6/RYeXS4628+cESQfVHguyFxtshqDHDD1DOsmO3SkhfVbZBK4fzbJb+EA1mJ8Q5WSa GAmGPQB81J2yIX7m541l9p94mosFsAGImdv0vMfTaF8veBVdZYfiC6idyG0ZsJyhkhZQVKFOKr8C WGKDR4uT1BRigTN0BgA1OtcYuEgFbo6U2pEfRqcQ/RvDTIt9Ub44897j4BNk8mrn59XPG8HM1s9N WtVWg7z69kjojV+mTwXMozrvFVmr32OTbQTBPCDmz6z1jV52m4kIgbg6OHjWWuY6pyc16At6BPJJ RZqGtKhSweoyp/rWy/5VPCuT6jQcDAaTKKxCFVu+Y9BuHbf+Kgl64ABZ39QcRQE1SMQw23X05rr0 MrHahJaGj4Du+rhGrf4OdXn2YKRLT4V0vi3EdowWVzk3PdnDuOLQH8VkTDeYw2+rl/0TZ1ZUxQdV l9/FOZ3PzyWHCpqGnzdymYWb8ytxXTJl93jxjVqmq6wB6FENyzo6C/CMF82DVwU0F5x7Y5ZZK4gb rbJZxsED6gG0LhUCz4kNPR6S0UkJbjiaKapqVXzcqJ83XpmdRAwLtmjBIBFze6E6p68WAF0+H+y0 i1TEDKnUPbzf+kYqs3kFXLiPbgW01B1PJR9tbrRMrTjOUFSknpyahHKADR5RT+hWc6eCOQ3cK2YI Yt8/7AISju2LaNvLBq0gmWEs+TkuZ7vC+nBRhf5clPvzxii77/iV2ytouGfRG6PMrnvQWkZ24xDo gphxSKP4LKIWBMk/FVP0RiizfUaqFAIrGxwZc34ToLamYNI0rENuj8NrkESBGrytIhIOP2+EMjs4 LxOYwzAMx7kLOito/az9zxuf7G7T5z4TsKp71vHGJtM91EFpAdU0AwycpUlUUcgnI43ql50ldJzW b2Qy6xcL0DCXD46NgYJTK9pmmSaPg/UhkPMgps8evLUTW9G7/hUQLZkXrGteQLkXtYLObvi8Uclu 9eGrnYj7gVptmqAwpJ7wd2IZ/H8UdCgmMVfncub0KqwyIx56I5JZL1SQPCI2Ofh1ts8KrcCTQBQM vqI8qmk6qiUECwMXLcZ5+HkjkmkNEOw6J0WwOWiGTto6VITtY33eaGRaDPm7WSZHpVtifGOR6fTK XEJNGHt0hYaRgE8sLDI+BeLMT/aNRGabjOdQIa6cg53UCKjSpNIOsItrCdZAEfUOYG2u7TruDpIR 3khkOjQ5Qyd+2D5ohs5tbF37dWLqNw6ZHm9+DjGJawqtPKh+o5ApTgDknn6vQn6Is0nkB1lv/4NT Va8eNb4xyFSnJmUT6pY+PDXGk1nKOx4m71lA0I2CUAEoGL+cZa4EoHzeCGTKXMnX2AW3lQYrfiyz VDuJcP688cduH+DrtseZlp81FJWpF7TAUl/ZUQ0te8N1ej12p+6Ou2/sMVvBzFjrmj548aMCVT6r YPfQhyTsfkPu5tzTC2gw9whDbvV54449tY8TK6bigzeks8x3ASj6vFHHLO31u57BIep5xMVpfkLU ByRdVvbi0KJ+kfqtdRSHBxOkxfn5O6guu97Bo6ETEJ4QmiUZ+MZn5BtnG7JNRRHCedUICP//vNHG rGHKstkiEVEugSoO5TSUdu7Cx4N7rH6jPogCI0laExRF1NBfhTqa9znaHh4uKm2tkkPwHRZG1EPV s3UHx1YlJBOdbrJQuKjJhQuROOKYrneCBjGeb4wxOzDzm5FDhZGGsFXncz5vhDHr2Htez9gbOSuM /WyCongaACWkqOYyh06Egui2FFojcV0tW17fQgx1Ycaa2x1sgmZZHcU5lobQRJZ+89xoL0I5tNKG r6l4lvSuATqPMjubVlUaVBpaJ3ZgrbFC+qtF4fTDbFEZAEEpAKc2Q2E8nRkspmqpve+2jKaNQTw3 PCptCUUV6sTKa+osL3LwQ3oBgz2UuJ6brDUxgWGJXjAlmcJ55mmtUzpEfVDauiEbs0Fr6FxGYxqD lY8HLfs8voLFJrq6n9I9DKczxQitkng+JzkI9pzc1apnSd4E4Le8h9NS5Ty7K+3ugxdgQbNrkzZ8 ZUAGkty7tUWILD9yOWc03Ynw7FE0jQILYiDiRThoDXWEuAz2yqh4PIIJ/+IdosZSpx/TPYqmcT+f y2tY8ewcPa64n7WupM1A7FnnzwmS1sW64tJpvZ7i2bl7T7JRVB0a0NwX0hFhfD6R4w86RpaRsYCB z3ifoErNGHhA+8AJQhNmKlY8exqPR/XX76x+QOKlVI+me1ygxs6qZgF6suziDftRzKzo7LHu1N4e FagtIUNh3gaHUZdzjUFpgLAYXM+ExRQgfQad/Ep/CNBojuBDouJQ+9KumASLKK1fk+4h+IYLng8I iL+IQFT2b0+bo4cMRIBg6zQ4zFRLmLGQKTcv8qSGwT56FE9bSpbIFefgKVlJdYABKt89wG0oZg2L wT6IzmtuKWvisT2Kp6vCIEQ1Nlg0BJ0kiZyViccjjqZvM0FEUOO8l32PWIiD55C4h2yaDcfAnp/n 0RDw4srJehROd26zpLY0B29zACpfBK46gc9ZUiRUdWJCOzjT+04Q8aX4jKiXyEwDfUMMZ4LGj4ns V+IBz78qeDy4y0b9tc1QX2xePOtROA2K4Ek3TM7jnEjZHC6hrPwfNhmWUMhEHJKIKckHX0Ln0hqU XgJbodc5SbWvaOp06NohbvNzCEcLPiPI6gUSxivaoBJsh2uP5awVj0eb7CnBcgmdmUyXbtfDKjUC 8IKiFawrEDlmWaW2JD8LnqeUd+ESigNqKsZXUafqZbLCePjMz2YFtp84cnTSquCX8nP+E8joCBgN 9UFzyx5VqWHCBrju6j5Y0rpGY2UHxxMej9BnHg/hMZB84fLoM/QeUYupAiR7VWUI7i6qDEFbH7BF 5KwZQFBWhnoUUW+Rgpb6YGs95cV1EthemJK1847oKLIydI7WzurHujrftB3HZ/xxl41ENxMNnKAF Zj7BXJChw+MRnXV/bTLWBp6kvkcoavB8JetJT8tzYE+vexRaxtGEiJB+LqEI8mGnEOIvDnwHnEJo lrVUVVw8GTLNNWCVhewNCMu1nlMIbAR8RoQ9o3YOZQk0GBz63Lvs7p5bcePxYAXNXyhqCClXCvhr hsKIuvFLtFz1HOw7mZ/lqH6TrUzpYsaLUYV6iQq0avLBI2p6elCGD7f92cbkKlRW+wGzzFQfUbu1 U0y3R6CPLsRQq80HnUMJcipcA2dl4fFoDc2vrCyjm0ypQONERyE13dGJMzBYTPW8dV8R69UArWdI PaIKNS57dKLz8OF27E8wBN9PSp201ozQgEAE6QzRF9dWJ+tdo0YQwWeSr+ZgJ/VJ7ySBfhYpHg9C 6n4jxq6ACAC95lnHiIJqaHfNTvFv6sSPZK46KOInQ5+l6aCG8a8S9U4+eH3xLOhxImgyXs6arZV9 DqIXG0qqJmkvrHnSu/7FHE+Z0CoOhoJtpav2cc4LPP6vnrRSODD++p2gEEkNjGeRY+Vgau+sqTxd vfrsiW1shRGVqKUEd+6mrYHCY0zLBlS9vXgG2YHKFBcoAPak83iyDjgh4jMCjCfW0MisB2AwK7Rz xU9aJJEDhcejJkf9vu1hpUTRXpuhEPZRWRNKzq7vrdxm4nBsFeupWkJRSA2JKaDOiG09g8/QOYRP sNha0gydUxwIM+RlOBWgVdIvARjYkIGPiHqJTFgJ19BgtY/dpw7ak1bg8X8pMxAOSvw22Hc2QVFE jerQSQmsQD2miy9BiGYqmwWPZ5nJ54giauQuyEl39uGCz8qGH/CWizXkSsjdpNkLFZwaLbfNA63q ZaNziIUzrSG2D9nVRalvNMYXI5eM56MK4/d1D6urgoqTTVEUU0OWa1b5oAKN35KVYE8mNg3seTKE ZQ3pEcXUIFej8Ly7D36Z9Q2wFSU8wSAvmTjhQUfXgvZRu3QFElfwGcEu2+pLiFZWnFZ2bsBMYQkQ +SYeD46h9Z10kDQ1b6dshEBqNDlqMz+Yk+U3VzebKlfjwumgoGqCIiB12cLEpDvcPtDZrcVk8gqE ixgPoez4IzkwFJWtUUaE5wgVPlBLQoI0fdA5fZ42c4HdBx6P4qG7ggQewj9caaERFanRAxwUlVBa lnwJgcCzjTWFoNpmKAqpQc4FaVNQ2CQxWuGo27lf4LOKXYY6BS0aJlWkIQWB/tU1Gjw/Hp8RhUPS EUzbByX2UIBdQpqvjccjPsd3kboCOtGfaCgMqSfbHMnaQJO3rXAx3e5/BPXOmhphSO1t6OKD7THI sp2flSlrdmLeJXJrJ3OclpEg/dlJvbWGwpi6CRAjnSEcsoY0nzCJ4tUw9sLzQWpf61dQTbO1csW7 RhhTIxpSC4bVodWcmlirm+dBatvku0dYpaZk2VLezsEpL5CgNHgVq3KyaiykcAJvnbk0VMjf2142 qKBR3WwnUl44GF0BjoVGvSt4/F/FD95l0PGh9wxnaIZVanY60AtDrjHp98fU/uyVbUJwUOswseUZ a+MV3vLzDn6XnYMU3/FSp+NMnuQYycoAVVSccOHPmHTMKKSGmEGFmPkdlJel85d5KLWz2vF4NEGe l2G5LGR37S6hGQXUkH1Fw14TBNiS1T5OUsb+DxNXJD1My2ZYpU5XXcAG5wSh3rpX1QSd6HomJa4E C58jaPAGVw0WJUN8RpS4cgVt4oY4aIJKojQYJ6jg8X8JxohW1sSutQmKAmp0cGamrw322Dliu9cX hysS0KLMxJhiaqLKZ7354FVqtMv2FrKqACFDexOar2A3ArCdLr2VOgQzrlLzGGqr+GC1D9Tl2Lw4 3wQe/5cMnLgxwK7Vu8eigBoqHlD5E8dupjSsSX9Cu26KQ2u0aQf1jALqrdrHSe988AIjCrBrSMoC SBuBPCdE2Cru+fLUPnDX8DOiQ4gsBVnCr2sJP/sJF6UieL5hPB4pUH5X8dEGB7nQJygKqMm7m3ka ZWrtYfd7T8tIQudoTc2O6RkiPkQEqirE1nEbHednJzj9NRKkscWWNOEB/IDQAXHjlnKgPYjPiJQs qPNe0vJBe2zXLMYUklc8HvQS2/y6x4wP6dHiDEvUZ0KmupyU+iCCS1IfJRl1cysa5gRFiA+AnIl9 LT7YKY26zTlQrTZUcaXRIY/aTEAjDSQIFi0SATujcLryeM7NHDse4FlZRRawcww8HtVfvUTNQJxe B/kmrTPCfKDOMRZBPqieUe1GpxAoNxYt5klKWefPCe4xCbxPgRfnBS/Cvrt13PdcQlCkJgJ2QpWr oKLT+wXFUDcVnxF1W+UdKFmv7rJemHVJUNe5Gh7/V1pfrDBkvjicoJCWSLtldB0N8+FI8zqczglv vGK1sxmK5tWpmek+2ATVCSR+akxaK+xeZFORt5tlPmk9agJ81wA1tIu03ZMPSjgmvOUZCa3NxyNU VfuaIH4nKV1NwQjzMRkJqePTwKGcltW3RWcxIhomUJc6paNwGvASNMgIO0vjgZ11+CttVc4y3ODR MMEKAh14ofQKwpRVqClaNaNourHLumGNboOBYgb0o/hL947Ho5x+fB1CwFGUUe4WC0mJg/ZlU1CY E4sOZ21KJ0KHkPSGcAiFOh9ktJI3ZIOjhurJyBIyvkItNUg2EJeHDISVgGv4iuNE7/oHfXzADc0H TRAaKfKbKLng8QgUc2UsxFRA9HVDoRUF0+DCI1HV7TUIdXYjHL/zF2X0uIRWBPnAdBI6XX34Qi6e yHAQ8pESbnrURyZ7TGBA5HkJ9pLiXiHkY8sqUOTo5uRoBOyyomxnF+PxSEznl2bVJtLEL/oVBdPw XQW6f9ohtLtXzvJ004l50iY7hFYYTHfJmv4fE1PkkomYFVA5ehubmsK9M4beMDS/uvcLKx2f8ReT Yw62pKeCHxJ/YS/OJXS+XzweAc++y0LQpKvtalOuUG66gonYjcgByIebTAy69wpWxTYuJyiEfAjT 2UVNFKyqEXh2dh/U5hRMF5wT0vTCopzEfVyvQHDGGj4jqpuxpDiJZuBghxC8mlSSXwOP/yuWlpxD RX/jrqCYkwjc2a2bQUHS62a0tBdhiu6Q3GIhflpBdGPayuHBT6+BZiKp4wO9CDl3oYCRmehf4i+B 7p8VVqe5GREu+KAJOjlDZ9wIM4vPimLpR+VD5XskOfmZoSiYBjoYrbFsfcS9HYO/BP1APtZGNzjD ijmJsilRwjqfhPVso3Z2K3FnuNGGHMvawgBII0seusc2lWJWhPfookavdQeVXiEeotLrOtnGCmvT 7TmmcesR/9qrx0IrCqdTJ+LDHErPny5oKCc/kRalCDRFsc6HXEulrlwerRjo0AMRs4lnQPuMiwha cz8A/kBA+DGbJPRsRZAP02ho8ihp7lGCfDEz2jtJ5MDjAeRjfRM5JhLBeSWrVhROL6jpZEAPVb9P 2WuLpuCJGVrXj3OF4bTCRNgI2WAzdG7JXndS/f78rxcGQzSzbuBQE8CoshCoYvyMPwUIKAKGwalA DfuMkpNng+PxSLLqG0INEHNL6R7UUTgNCixUeLvqZh1YHpGlSqN0MF0mEYZoCYUQaolObjkn7dsD KgUkEbKecQ6dGKhRMqXh1mojS0vCRJYZquIzonyDKeuUCOx0EdgTibbGa+0conzF910GsfynLNTQ Z8nPHgspiZPKHr1ZysoTTxofvTvsjFqZWkFhdZr2m6txgjhY6RXU/BPELOYbHbpnhXsMvOTOKt3o Trg7abq9bIShRg0yA1Vpg5VeE76HH6YhFY//S8RctqYgn7e7x6J4Gqkm9lizPuvIzmsd5ZonkFyp uz7WzVNjh0yo1a/lbSVa+CxoBEMdGJhNjfcMDjncpnFqPtHQGHrZP3pAnTQ3Gyyr77TLYVuHjweX /W+E8Nk2fVwh8x3F0xBlG5NlFYaLZJZKsYreqJwhsApNqvtvLeq9CSrjcM9pmCU08e0g+V4lnIem SkPBDrH3L3vpHcXTLclZYhYfLLcCmUtgu8HHg8JZuU0yg7/gie6oof0eUcsQZ3ZSsxgwFmf+9jKG l84S4mVNUWzfwg7HohMHBweenS2WJrl/55AuUKDE34TtFoCdQ7gqu8kohb9DEDXX0E5KXpPvMuCq utYAZHL3e0Qt37TnHKLBxLgH9Q5B1CidlW3yyhPFJz+H1nQjBXTcbYLiiLq8p/XzXO+g7DU1OLLp M04aVFIa/3oFFAKW8BnBBNGds6H3Z4MVhqBpzasa4dCOGYlev2dODQ2DUi/MfIeUxM295UrLcq0U nGE6leMELtUF8UO8R1LZ1YqwV43pHDJjqmrPaBoHNauvkxbquEjxnVsLiFfZjkLqbUgPaunY9U55 SlSb+AvOPfF4JL7oxUVuMonE3Lx+hxBqSL5PWUqjikbBPnNUyC7HhLKyzVAIoaZETELr1AbfZPNc IYPqVKjoDsgNAc0wAfiArVxej4M7C/g7FvpoUu7sPmgNQRRfYkEnscfjwUGdvsPFwh92+VI7FM4j Cp+Gw2QDNfMtAT/bjcmRJ/gSiuEeqr7W5INfZVBxPicG7UpyRqWRBpJoiYCsCP/02+FA1wmfEURD RQX83H3QEsrYyKJypIXH/yU2RAcytHvn1ereEdwD8b+rU6K4mKutoLbSctMJavRqgkLdPOl8ZBFZ 8oV7lPPdIqcjpqqcW39TXQPMhwGB0QnxA+9Ds6eCz4g4m0zHCWDUoAkihD39WBdxx+Xp3xKwGX7K 9xAKRT4me2Qj2RYDUMC9ktJDSSwmRL1jtEclFxESZhq8uJiA/yaxGiB8yLexCUJzSODMe/ceWaHr Lj4jiBYlq1toXsLBtlhKiz2umurA48E9Vn2CJJwDvDr6SDZDYX2aVrbbrWzBjDK61GjV1ZjICiZd asdwD8Lyhjr14+nUg5iJmtaVWU7UhKOaN+TfgdO9kKo99a5/CeLPLWq9uxJk/PS95Ce6+HhEdPn2 k1qNLq33EIriaVBXp/A21PNSfboRqLRNjOkk6QB5cIIisAdQxm861Cc8HANGiDZBxFSxw4FDCFa2 s5UbCrHDsWOFD+pWUCFeg/bY2ZpJruI5DTweacJ9H0Ks3UHrWRMkI4r3GRoXHAyFj+QQc4C6FmEN CBa3O2unMKBm0XDqEJ7jy1LznK5ALtK75KyCPtlxBMYTKUf9kWKHQYaoyIRPeZ+kxe5o0kGUaBsv iN0Ai4kiH2ce+QMifOd3N7rz2h3XNCDF0nlkkhWhO+D1Mgzyca4WubdnhMjNpynWzmPSmuSB8xhG ZthwoNC95U1WIDMFo3ZyABDMw0n4FvLHtteNFCp5DnEaNCjzAAyMspztHHx8Pkg9biHW3dsqGFw+ S7HJC7TPhmOrkqRgWURTJx8lIsoOSvY9hUhqOUxR60iDF/PRSOz0Y28ncByQJCC4CkcXrqJN6wCT Na/2tn+Bq5a8bBckpQgwyVhXnUfS+V0Wf0AA88y/FIUR06dLe4GMd1CvXoCbE55E3aHinXvYYLjo 8gQZ1eyUYo9EkqZon6LBZ+lksGdTU+v0DOdIpyVMhnlUh9cmvTluErvtdSMgo5oe+w4qFQFMKqTm +Rt8PsJY7a+DaROlfCshOUUBNgKEs+uGSQ8NsNDtYCLM5X+4geTSTY+FFLq9EOS6m2ic7VlLACKA G80dx8rjUi2koQBcOEvuZl8ytYfIZ3v/FJPNVfXapXmBZTSBAlDG+HyQhvzqDZ13gAn0TUMIoAq6 mp2ysCo6jrXpflMg/JEZGVF9eSGL1DSFhWtupD3YPdzue41yyFlNoAhpy7Vzq1EaJcNKZEAhJBeS OZWrMQjApwTpbBFvYS8fDCoD6qPcKNLm8+/TVNN3GIDicevz2XKh/Af4CyNX4TvHVObKPHomY50t FuCLtlwUbE/VZsu+gx3fNaE73cSbPslOE6YxZxRjsHg2f2UdTLio+CF/ieV33Og2aMchEhAo8Vyn fP5flE7WHskJ+FpKoQRISl/KKEhph6P2suvCLyCJ/fQOC9hkLK4iaqdjCnDH5Q69AkpXNrZBSAsG ZvsHZXoxFhUtwQtYHxIBQsgqX9LlYaObG+7EF4VdnvNJjc//q9coI1wU9Xq+kxSG3IrplkNChksy NhCSLWtbYGLa4R3pgAiln6qwjfXBNp4L6eQ84JLjtmtQui/KayeUtCmpeHX15I2cYvMXnUrlDtZM g56UFCzb5PPBdqvfiRvA7x1Kcj5JYRm7Z9YdrWUNzQWbJFo8m4zu2sUnKZTWW3bDbR8cWQTFnSxq Jxh5e9GOEzN3QhU0coHPoJp3YWLND4kKSLIMZImNg/VCQCZUI5ohZegA07/pwSjN1HwNTnLoqojZ BFbfKEOjOMLxfL/tpret+koKbBUBDZGi97qD961PIN5LZ98apKreNZ2Dahzn49ZykCx80+xtI4Yw r//dlw+Gv2pNoMpKX8XQWHH8doFRZfyZpJC7mGn+srNluCm5X7IZ4ZJXBR8gm6QIHpKI3hNhU8NF GNUGeIWKAEAtN+NbEzpTQfV5QHzJ3jZiL7IUKZVrDsaKKU1C6+XEMXw+CLtvQMkZVbz/TFIYdg8q 6VrZ8Rx/1coArcvQoxAh4qopOTRXRCiI2RFz6HEOhIwuTrVeDE28xYvZsJUoWOzcPIYFLf62Uduo StK7abCDu6EewzZMR6kkB/aK6v5ZwRYvByzK3M8kRSVt9CRm03aDfpMcA3lw7+WlgEXOsyYpjLq3 tGXIIeKgSYL6+TpHThFWLbUyh2GP8YuQBJKuwhX71znwV0ScQ8t28Rrq5TWgprfkuXRuYj4ftfi/ AaH0UIb4qE/Se9B9JglWESkZGGum5m5mVXxfprljrOTbLeQxFrnaEzor0IBWUoOX6eqyYTiX6RaW ZlC5CZIqFGW21ET+7Tk0WVRnbTHnw9C1lE6ovQt7rCe333w+aNJeJwZOKerDDwstBy6LCJULRFOy qkonIzKvPKTsxfabPGFsKcUxNyFZWSd3fk7uAqGTVq3u1laHVgKK/5PWLufkro/zEtXkcuizmItU L0bzQUuJFPRlS5fP/6uFtJT3UTjjTlLIZqywhVnJCktJrcdN+fVplCug+r0WEBotLpEUiweVNzE5 8wWnE9a3TzDQayGwLyO4b7QcSoQXG1uv2OtGkZJ0rsjam1ciZMEPTIoSJ/rg89HR3b9OpeY2dD5L oUjIWQFzdNPSPYE/W26btkigxbD8Bj18n6XIGqYvuXjt5YPXAkBkTAKvVeANEfhCaQaWTTzw2XIz /YJqb/uHPOooSuJKLe5RBY9cJvKod/P5YCmtb6YDjkMGDz5JYcwNMeCdTNkBCBJXRG/i8RE3ws64 JilWCuHV3sodHFkD2dMkcxhYhJhrJURozvyA6LVIBVaOW4e9bqBDzNZlavMOlr7Bdl2STivz+SAz mb+a2rhZy1PJDT0XaSm4ukk5nflKtsvODui2986xgTIeKwGx6WKTcqUyk34zE1BXTxgBH1EwQqCK 3oikpe0XMn+5nanjtpK9bdRRYjtg5jtoKe3zhtzi5+ppfD7ITMpvcX2AefdTfAuNF8WQ3c6QXTOb wm6dw8wGz7kC2L1mKXReXLI3xblhgx9LAIFmJBXUVEF0SRibBFOxTfOt4wrGlkPrRbSuKqwuGVB2 5kmJQMRZq/wmsZQC70UkmfMrDCggcrVrV5VD80WoXkwyzdUUKCy4bSdfoVwCxZDi9p2h+6Kxira6 RrvdSYLYbq+cZvi+nrBL0jyAGjdI8wAlYUtpyYo6dF+knAGaMNkHld7O3BR+IkivfD4oUF7+lVRq EMNfEEkO/RehOD1LLtsuuEqA1lZO5ERiQMd8ksKoewpnQ10DDg6SaCdjb1T/he4MfHJxKsFH6WdQ gTJfRGRh3YafElXeyMFaai8BGUly4rne+qTX308/MR5/QBBSlt/Vbmjdrcf3PYSSQK7DWFjnWBpU idOxtGlWxVuWBljacDE8W1eb3M1LediycCEYqMWh2n2WFUA86Jygxc0a/b4kERaf9LoBFIApHNDv GtKPSTicO5i3OCINPh/xaOZXCkff7jLvFRcaMUJPDFlVt5ByFkNLVOp6GJwEdRUF3oETI/JcxpJI Ym1wPMlJCRYc0JSdZAr+4DyipfCy282a3XPZ20aTxJCSqgga1MsFrVsqcifk5/OB7Ufp/4kDyv6a pKjWjRr5PPdDc0hJ9drbCZycCTG6o5JyaMa4dCyZJ2N/7JmQwXXUcYljP4HZpvrlqDw04ZCKjppM 4iTsmEM3RsaKnSRlGxQGoGvBQhzaD3z+XyuJN5w0lOqz4aLAmx5WdZu07Oz7omwhXmKcrDGa53CB IaPzIVAH88GLb2eXpSEHIkwDsamgXaPEBvXq8rieytMzh4aM5JYMuPT4oFkCGENw/3M28Pkg7k7f MqqAOiLcuZMU1boBpkIE49RH9vu438Z0vZ5FdzybpFjvmrMj3bD86IaVNZboa7TTA1SG5InFRhtO 4Uw2bBdDfdjbBpOkTiWraxq0304oqju1sPgWejKW24LDd0hiMwECNkmx5DWmpnLRAIBTk+e5Z2M4 vORE493y3MCUEY1SwgAl+8TBqwHnKuvStweGqwMjeSepA/IxbhhAe3R+SHDBLTlbiyi6vU9ZCxRo uQ4a91soJ3JN9YiUTAB3PnZNOTRmhLQiStzbqm/JvGIz2HJefYOvns9STIJkt7vm4oO1TU76hr3F iLK0cw8P2hY1MhphTrBRUpvqLdVubxsspSV+KIFKV8QtN2jKszQA4z4+H9Vxfx3dg8JuN+wOzRlP qn7u4mSCqrMPl+nr6mGcP57NOJ3ElmN3RmFut+TB9g27K9ClUH9iyYTU8qzeAUI5wAHn/g3bzqE7 I89kWIdsHwyqdE4zqje1NCafj6LuX3PE/Pp6MefQnnGzlVucbZzGttwWzNdpZ9KiGKnmKIq6l8UA 1IbgcOfopAo5masVWgIUUF/UEEWlGfZWl4/d7W0jWADJ/CsXH1RWOt/tlm9Xy3rJCFV6OTZq5Z6P 2U8D7g+LRkRKKnZjvqh+LsFHsSWAnui8whl2hx6NWEn43bVMyjVCPXvhhE2FCM52IvAE6jWCFKRZ Y2DWW3/w7Wp4hy6Nk3xsag/YoIb3hP4n27PnKuXzkaKhH91VfUo2SG/57Q+jxs1qgHWX9l7NFhMU 6X5cYIRSvVxMUdg9sjA4pfpg04RawoSaD7OTsyOmyDZAeFARGwABi5Xwj3rbv5jHc6j6Nm71DZRm CUTUrJeMYAHfeCXUAeEDeCcpjrqBLKGKOX2ZpzNHT2pqaNxyApFafS3FQtjM3USMXA8x8hwY5zDp CBIKsxS6L6Pjfb7HTs1k2VWZJeqy141QXcInr+GDd3N74u9YF7q5gWOjcOCaJfxlFGgbiIY+S1HY DQAUdly1wpJYx0h0x9rVK7nL9dRz6Nk4dNqoKLAeqRFMzb4WTmfjpWpIHBRrEKRlsslVyUV4p9f9 44YDt3b4YLSkk8EN1flRMwlsG7/r3epKAh+UH8BS6NsI+uxQhU+a4cmUjqpUKAmfQE/WpynCmICP zq22fLBpSqxNEnsLp6sTXfLsKkjhgOv/IQjJugKr29v+UcrtJ1qpPmiWsqTLCMQZfD7ITn6RAirr JfWZpCjuBn54ypuKBDcWaDhJjXoDLL8VVHVtx8UYE5lT1+nDLeWezPbczWZ2hQYjT2+sngEoRYZy r++4tOx1gx2XBTIht4SDnUuj9SaP3dX5fBRTOhJnX90RiufZNMUoE+S36tgWZnNWKWlwpZS6+p7X EizH7o2b82Oz1S/cFAY8YAJQyI+mxJtgQpZ7z7+HvDqZRypTdn/dIBbYFDampZMG23KpUPmC65jP B0Hl3XJ8Dp39lsczTbH8SCK4qnJGziom7IbgN4rOsCBQmF4w9A4tHOlLQECjD5qmNNuaMEwlaxuY rgJN4zWBBpmNqoxfSEpq/OTAwxGxAMHLg0DvNJx0e06lRrWUs4325PNBLDC+O3HUzsnPLRebOC4A q5qncXMbARdqbKS2M40jHoqhQODiKGFAMCj29MHiyjNFhPEPml/BK2+r7jvJYVlWW+oSWh/2tn8l KAuCPTYo+D6Pql1ZK9ZSYOMonsE3GmfS9uVOUljyhs7FrFZbGrtcKkWRjrhsm7djKEIjxyXPb0jw 2mCTdC5/GBwQR3ny9TlErNzwwULUSRchy+JG9rcN0KbLvGeKDzZJ6NgzBDq/M58P7rjWvuMltlD3 DSpDL0fIwk9ho5nq7j4daNLyBZps6kV2/aAoqEyqBDQf7Fg6YXFFxp7IC8Sdx8hqkrHM+hKDSjEn VYALzRxprdI3/OwBNAFesCheKjBZPH8cqSz+gH9psEryB9M1L809B4aOIC5tCpKYdl1r2RVbdmnm HgKoicPfYkfHrtKbwBT9xt4VlBakgtSNGhs6f0tceGAEE2VYH3+VbG8boU1pPbNk4bzcwrkBayhi zQCIIrR0/CJ3yYOGcq63BBd4Op7TBCU4MAQVL226ShlZILnHSgMHVaFAaOrYp9CBYlb08cgBNGCX IZKC1jcQywypKkGUuFzpCW8Sf23b2/6FpNw0rNRgO25BtB+/9/m++Xywlp5Z4oQAJZgegEBo7Ajm xwnrTP/5/MkdQZt09BQwkRDBSCBwdnR+zlLve331vscAHIdiXyePg5FVkwXvJBTrXDalPwC4ZW8b cJho/jCoE6rBo8pUGTifSKby+aAxcCsnDI0GmA3lwXcH9o7A+KNgsqcXBZpJj55ZYtDGWVrrIgQC f0f07oegAd0H7+p2iL/g9wPgNFe0rxAvDfpPgBWFzqjTcyjVlgOHRw8rF71fNGia0ArVDXWOGT4f 4Zb8+JZiMpy3B7R5fZ5ixT/4qFY7j+bIjhGA+H6zMlxBx8DmKYKbVDEGC3lxHOxkgpAziLnbSkxT Ii4oHoP3cZZwv67gkiPLgc+jzEjP+TCoJsVBe+58k1XgrImOZWD0+A2n5A7FLw/TN5ulz2u8lBEK gNqNGFjm8q1tW0xV1n2sMOE+4fH9Gi1l575D3M0Hm6IFkRIiubXh9mb0eUIwCIKhM5ncUQQIqfOq r7FShooLfR6p4KPBju4Os4EfMrfw9Mv05EeYtVsFjrAE1imZOkazg9SkL/NzhsFRvkso6QQvANg6 SyCYHXRzcadZj+lLuHad87TR0rWh2QFZaMLfKXYEaA9NeGx6hH9/nR6wajkvm3QKDtamhOcB76qC Ou7r9KBhPn16eAmi1p6zzc5rhHQewsU3UQPV7FSBlujDK3gJQAEtuYb/a3yU5XEAf9lUfTCM6TlZ 9knMSQ4AjaoiHoL4BM4ayPATe2yQt6Y3fV87KJJBB7E0H7R2MqRBVADY7fMaGmFqzWilS6kNtBd5 qOIjX+Oi7HZGPbvHrOwvUbodaw7bWCW5Ov1rVJRx2P9/xr4rSZYcSfI/zpJSAk7uf7GFqprB4/W4 Ze6O7KC6pzzCEwFiRAlBpeDF2OB9yV0BFRe2FDZfjajJSSkfbCyVt9MDLX2NiTIg/QYpYRKSGaH/ CFnaxIDr5+/4vIZE+VHN7uZlBCR59tl5jYfw0AlJR2cszDRtesW2TqKLBAEYwxQlXqOhLO0qHMki BZR2Q+sTMAPmTqA7PGsXzF9g04ByHGKojPYMNNYUKvFVg3PHcO7KQm4hcqJEvtTaPoH1ayiEp5U7 Ud1M5NJWWNGmvVQwO7hu0e10tQSqLHvvv3lXey8jTb5GQVnWtugbzeKDd/7HmQl4XyLRR4pZWQ9p k6obYPui0aaLi808vOr77PCq65vS9BpUpp0Q/ldSWsvnNQTKUtt+dhYIZY0m15yd1/gHR/kJbCd6 eIp/6hzJrqxZvYu0x3JlrdfoB7MjU2LIjtvgszMnRaAF1zoHUCUKZ6BPiB7JDxpUnrvK0iDaWaRU Ur7ZBqthg4jBdtnZbp/XwIeH1v7aWIS1C11Dk4DoTGYbW30iHEDVXNIpqmUIkpWTY2xfQ558hUiG Oo/jAfwBRg2fPJZlAUmt7PRX6IyeYwYU9Sv3k2le8nmNePADqPhRuHSWh1VERRZG7CdcyJ/XeMfe 0G4sgnBQlavdz53XWmOWBBOgEDqAUR6ygBld9OZQCCguS3MsOJVFsElJ6pnpqmfC4GFNSPZTcuzc iGo6JmjT0yeplPyg2HBefV7rjJgedq0xGz6oHItyjZKwc/19XquMOBmtGKudBSfRzouYs/NaYsR6 PTv+rP0trtFMNTltZKbsmKOam507rwXGLE0/TMvKPjgCYiSgX1mBGeCMsC2zsaigTgCwfXvAa3Qt ei0v4hcgAGKvsXywVuMJzKRBUiE3Fp07ssaz1hsVvSiOr2AwipXBkD7RTjZIVqeXDqYHSFHNGZCi 43LZo/lZtquKD141q/gDNuoJ7Xz6BMMGmwsopwHmPABGLk5L2/h3ChtWD+Lrc4YxneCg1ZMogIs/ miiaKFxey3eX9P/wv2mAalMUBcwJeKyzH5odP1ypPJtL6a5YRyiScWqiKZJpkQI+Dhe01hDsCP8I EYS6TVmU0l00LrydWBrrfN4ZbFjupNOe2z/5oDUE7yhlFLny8SBmtj6szmcItDS1OwRKiW6vSbGI nm2PJcvjz7rNw8FYA5GtgbGCGZIDKOIlH25SCtoqS2ANeOySaYg5O/4Qzhb2jKUUyt2jsFnytLuV O+j+gs8hHXVgwv55J69hMfT9HTcDciExBHXroiMaBL+0rG8/k3esT7Re+3JkCC9HNfODMxpS0hD4 oRlGHo8ZBnTGBo26zwRt5LYS4ED3phLb1spzgyW96/suAzKMFqnDB11hHZLTzDNP3Pl5J65lmXd/ HdIoAA36xnOC3mNnoPDgFqKY8Cz6SUAd4UUVeaO5hfR7DL0Hz0jfcP5AM8MHy7vOGYQjeVO/byJU 3ALYFop+AEeN6LBpi6msER1DrLemjYNGgyYIzlqiwEDy8J2zxgDKtxjL9JkIuV09QnwnrfFPA3VG QCLkqNc7DV98pfrpSM28/T2Czla6Lzqpy/dJfe4c9OklcQgnHbFtgAcpSPeBG/BqKy153ilr2CXS 6BcqmYOwRRDklCVPavXzzljLkPSb3+kXwKP87W2GwiC6A6muBAwFaRJujRrSDRiy4KxjpdYoipak X5lMUzncY6iASiFHFRAEzV+NphbUfULHwiwcRX2MwmiiG86lOe6gRXQu3bKkyZv3552tZvmtZkiV HzQKbij0TlZjhkpYmqFAB77Km/csDEt7lcq33GVRJN3pFw/JDB8uZjbhk6hO2+A/n9i7H3Deo601 OwOufbC3XvZ9hoglGhDz8UEzdE6TKRj+SYc+71S1rI6wZojLBbL3/RY43plqXELE1RjcA9x4WzeN 2bZ1fh4MSBRMDwanpa/mg51DJ0bc1PKjpt8JLbb0xtFnLF1aiLfxo5bGezCd7RyCjZMPhnI8W4DJ zQmx8+edpYZwyOR7dVDTfwLKkjZBUTiNewSppPbYhPWG18fmtjMbnSDnO4bx9OAE6SYrz02Gus+Z lyQHR9DVCmF+c2vRnG9fD0dtTr1rMEGdaUmiVj8HnUILJqlJCdb6vDPUsMdq/jqFOvorKj6zKhcF 1GLxJdI/aHHZH3Go4irrdBPiCnqnp9mNSG/L7oMXyQBhXKagdVKYXbnHJoKuShwbd4wpaA296/tN xqQfSeLywa76NRm3wcwvf97JaVmgOUtXFVkCWbG8EPROTsO8TlTHhtH34etg3VUwN6yKCIyDFaDf uWlcinLfExl0PA2xhBb2SCqUna1m/4qqsVR2GwQIqxRE6P47Nw03GX33Jpn/GlQpAxF+S+Shzc87 NY032S3RWyGxgNhhMxQVoXG+oZE+rBpUq1/1o5tDcT4nVbJu2DsxjSm9imW7+eDndEJygerq+d9A oRVWySoqQB3rgLGl9wzp9/DOS2M41KXoV3ywm2zlJNDUuV4+76w0tkD+qdKDHdCbn9PvpDQG1JNi EO5FvPe+57S78uxJEBl3WRRQoxBIpYzpg+dkZcBShQE1tD8KbivUhKCEDHv0Mh6OjGRF3ilpWd5V EPQkc689wpAQ9hYo+Gzwzzsj7Z/EXhaO2ObFD+p3QhqO94xEIxuX4eRk7vjd0kh+14/qdY93PtqT 2K9yB1tDJ77OYDdyhjKloGgv1xATgZeezQnvYRG989FuHwzgSh9sl8GXVX4YaX7e6WgMFfZ36QN3 fbp5/TsbDWvoXA8nKSvDo6F+zyEcLabVT7wPd1lUktZVlqf6hPPpE56EAwJ1asyfPys1gvk6S0Pp 3EDAgXu9HqHY552LxpYJj+gqPcjqepCQN07sEJw0aX3eqWhc5vekluBfR6h6e2HvAbW0NkEI9BlS FY1MtDFN4WjDisjW0HtAjZNa8rSqfIyn8gGtyQ4wDJmfi9QY7jJ4qrBXiArRrQ4tvez7OSRiLGTc fdAUnR+kLhNa3593HpqduU84NGkecZOydxpaltv8HGN0B6BPm6FOMX0B0AtFwLmG3iPq8/syoq4q L9anvHh20wn1xxJIvyJ3p/rD0rJpkJy4ds2ApvM7ggkiY39PSfWDkmZ2zatwmmF+uvfnnYRmdbCv eAih0H52WVid3oB4NtPFRBbu59C5P+0cgm6QoYTeKWgsHS+1UocPHjBiSRGkiKTsJC9sFG50DXFI n9kCy0rFM3RZ+R1BwDhN3HD6YC3Vk8fI9f0k2J93AhofX1/HUKeYwfDmxjv9DBOEfnyWah83md9f Fa1Qqw11ApG5yd4j6kxRm7N2VAHh4OBOtA7n6JI1giWoUHusWYMA0HmTbWWtVe/6yx7rAEH6oJQD pCRe/AhIP+/csyydK00QJwPk81q8uvhOPUMM1ZHMNxMKGVM48ylnJ5dbhfGrdZ3DiJr117qJfOFg K+hM7skvCPtt55A+IR/b9pmgePo35HqDIYStn3fmGfpb69Lyvtl5sCATMK+etfp5J57lRwO6Cz5F sykclzZDIaSDcg/VQkMSuPwUalbZL9wkWkLvvLNb+OidslfduU6wUT7H6LmLM+GK556sQPYgAMCR UBD4FKM2oXtf9K6/wRZS51bj4B0y4meo08NXDPZYGl+HEL625O0pxzvnDEuoQLGXACThXqc3n0e6 Fxn7oJqgENZB773aavLB9tiGAk1flDMs5xym4hf4xHjBhMl42Is6hN4JZ1YEB5P6GSxrRZOJE5Q7 XzE6pX2CVEnEdZBBgdEMRQH1CVNAeTEJunPhmBsfyC/V1NVOUOeloXe2WXZFLEQjPtgSQvsdFk5E T59gGkKlmiFSF4HOvkagNIn4vJPNstthACvCAYkHwR3n9zNQO4AMn3eyGSsffpExPcFxVW5S9s41 4++CtHV5pywldyuqTXpG1OpP9I/v/Jwg5WD/uU32Wdu8fVZm+RAvrMQEo+I6GBQA2J1Rnr51Dx7u n3eaGedH/LK+fbDCUNtDBKrzK33eWWaIRfY9hHjlnbVDfqmm5z2aRu8abhg12RarYztfcbHdRmj5 pMUoF1BUnk6sezAmtOE2WjuIJZsLKJ3wpTcZhiI2wrE8Ka2qCj5V6N8ZZjk79ZUYXg06g9Ic6iqW 0evnnWCGlZA9IWPTEUF8T/eQjoLp3lBQZLROUHm619giX0UQj7aNEvTOLstOoC5jJR8cHzTheD9l fEXlYvITBu7LNngg8O/WKd23XjYqndG1KLOCxkFdsgEpYdYW1+Q7BjvsNoEk7gTfEpoka4qiaLqS 6ILMyDutTi6D7o1jpdOyjOydW5avpkOTVqgEYSeniBzwlnjTQ9yuESTEpgT9W0qa7i+Xef993qll BBaoJCStUBoECsvb2yLIAErt/fNOLWNaP7+iRSrljxstvhPL2DcA6J7ob0SLvV+33Sn/Gbrt7mld oHdeGTZ5UsJBjTAOFgxB8WqztiWB/tLpGn/OXLjiVGrq3wo+anyfd1YZzyF5qkifH5dQk6YOZf/x j/AN/ryzypi1lq8ZQpIPDo7PUBRPY2Wfn8PgZSetmxdKzlqfzMGq98neKWXZFTBLlutM/nKdOctl qAQDdedeNs36OqpNvZNskp9FRDDVO6MMP2dmLxHmWTZ4szVLcOZs8P15J5ThHW9KxjJSIa7WS0Pv fLIsx2Y4Bpsyf68mCXYS+dGkgQWEHKJUzNA7nSy76wx9GG2wGUKwApEZztD5j33ICBQihrJKpaaC FtGgMP87nQxnAvFmaAmQ3bJNQW0CkcEZOutzft7ZZNil7XuX/Q+i6p1MhpVXkXJArSsDBZyBgaAS //lVYeBOxvSgMTfO6ncumbWK2aivPvhltlM+1wi2DpjA4OIvloYQFUDmGxLP3klsRe/6WzS0tnbZ bpcufZJGHkPoW37emWSsnfllxsgAt0O+Odk7kYzhIhnSW92xsdM07bRzARc3JLa6R+fnvB9DTVKF L2og5z/0YZ3Ec5wBQMcaPpYQqgk8nl2xeNrLBic12Swo9fugGSrncVZ2QA3+vLPI/i2e4S4D2qPt u8neA2r0VjJVGxI32Yl2hzkWgFVhmPI1r5rjO4Xs9lpL4l1W0tW9hrgstK5IAIYFzp7UnWkAgXRK s5OeYnLFpCG8U8hYxmLEuKgHxsGQZ/BhN7Xi8nlnkGUpoX8BgllOuAH1O3+M9ahzUE9aI+Ggns19 0WEPkxzzMbOlHO/0sZu11kUbNQ5eXSwdGvaTATWIlZ2Fj4yWHKjB7OlZ1ko+xOedPZbdPy0xV9Bg hY9zHAgWVWr+vJPHiPn4xubhXJFmkiYoKlADQgBrEGsl7kJ1OfRae83e5ECCppvsnTmWRcPp4G8V HxwUsyfMZLvU0nIm1plERZpl47y75mAoRX3eeWNe9xgVhRMbLKI+MyRWYd/j804bs9zKMDF4M0Qv 6MHb/IR4j4WcVQAq4oL95KljWpgNXZtpveh3zti9x5r++FYvF+rEdAkKK8rIgFgvAj6QX0UQAHNW LiAK2X7eGWNEVRHbSY6OBisMsdDIPKvw8agX7Vk94yaaoqORZDMUBdSQX4UN6DLCxuqutbOu+v4J Vt1s950txi2Gv7slYoY4eHm6IBgt2mKrAgsnCzrkXgVg/npnaBDd+U4WyxJgRjbG9j4HL50VOe8i I/68c8X+gVVJVhWVs5u1tiicBoh48sKVqPysltSf/IlnELLWrQ5H5+dEndatOLr74FsMxY4xBGcY UABpkp2FxA3KI5UGfE2Vs6R3DS569vUTaLc2qDB04ohMOwfIQ+HxIFa8kCEe6AhJ64UztDCaRguo Oall1uY+37D87lY56xCj0wqKqtNw6ITmQMk+eHX63ObnjKOxLmogxLVBzwkZCKABmbqwZmitUzqK phdnqNPwSoOW0GhTLpd1Jz4eNOvzPxc9NjmdE8VrCaPpQvh90XZCR+uqM1DFWOoMrVj9vr9H0zil WZ6GgZwNVhdCGJUTJHzBO4FOjFHGSJxAITDfYJqNS3xHhGZgJJSoacVBSwgeRQqGz6bB4xE62Htk VXElhNku8ScKpiEIMaBBYhM0a7bCmbShpMywaSbT+TlBysrmWIMzoQ1eWmxotQ6BpwYwjUJaAykE jbQfNO0tH2N7Ft8RZRs8n3G228AJov6fwAxnxeLxIFR8KIeN6e2ZnHJBVT2MplG9qtPaYZPqxeqR TeIphPcYLqrTo/I0SGAIEnv3wTvRYDgAMUKGy/n/g6dQgzjZoItf6Q/5GdcovuR9irY8GwpZCidI SOpVwBFjF3PdawXPB8zD+Q34oK5/A6fY5ijmHmJm1BFDSrZcbeD8pZSRXSifTcdV9SienlwbaZU7 eLR4Mk2Yy1IppoLtmUmjhwBcH+QvNHeTNe3YHsXThV5hqML54NC8E1Dwsp5l4vHgqE7fU4SaapFS M2co4h9C6G2sbkiYAeEIh79mV0c90RI90jo/JyowstPaWBri4J1WaMMs1K1wVE9U8Cm3AyBFQwW0 7ztBg/XFHpWoZfwBBUwNmShNSuxXqsaei7ng8WCCxjesChWpBtF7m6Awnh7na0Y3Ph0MCYzIcZIG kyOERnO1CmwPOYjC5MGTz4aLDwYyOqsVfWLFIc2GjiXfETvSPNcOInw5viO47I1BtqcPVoBNpFwx Z614/K9NpsCg/TDKswmKStTAyc0KlD98K064SDmmLCPtZKpViY1SrqA4oKZcbO7VBy9Rj45rkSh8 VBb3JoWVXRvCIzO4fo73oK9lj0rUNLQ6pz3DxnQ9v2EfPszwY0w8/heRg9ICAEXs5vFifw+okahs dlqrCkOdcTlioJ64AJmzZuTsLAz1KKAWJC+jhWHDbdavDrfYKpLv+Qk2i4uo33cgcagcJJXvRF+0 HhWoaxGAmnVTDpygVc7n2l2WJx6PknrPWYvyt++kvkcAakCYATbLZmdZ8vayx5xWRDubH0VKLqEI 7mG1xcmGa5myTGL19USgc8NCj6Cq2WRBqGYZql9rPYcQ0lF8RxQNZUnpbx+0glo3F/ZzKW48HiEX 1/dFBmW8mi/EvIcRdVOnVZDOIaw2S2cnw/fCEKIaWVn2qD69BF3swir0KzGAIiDqgM1sUdtIRFDD feicQli6hX0gtlp7sZcN0lZREnHt2qBjqCbZ3Z2LKTU8Hqyh+t1pzZSdB+vTyNBRSI1ffeze6oXE dMcupmyKVSeVHVYZGlGBegpVtUil5+Bp64m4Mgww1Ixu1US/SAkkahZoTPfUyXrX35QGNmXQNdhB fT6X8TXkhfB4QIge+59oCMC8GzCOKKTGrz3PgWMA4SG4OXRPc9rDYGdUudUEhfVpC5TTHfwYgsrs BlAUa4igMVz1g80gpKtAQnhSRqeYETMSaVhRnsHQr7BGNREYPh51o2+LQ9qfwCU/MxRCqBkuStsE fVfqhYm0Wach0MDwTkaojwrUCAKB1ewaGMkNJB0dJopzTBE5ToIP8ipSW7ajscvGk3TABBHfEYA7 G1scc9pga6GfSSeY+QcThccjLtD3EipMevKdoBDwATlNcxtC8Wy6ywCuIJOJP0F+NlbriOJpg8R0 pa3UBuEEAblIHocAHyeu7lK15rZdyAmvVDWilYGv+IVw15fsdNe1062IvewQmg2PR4dQ/icpw92A gNgmKAqnQR4cs3c7hGZbLnbS0zLO5oRTl01QFE7LRPD/8u1OHgZXL1ofAnqEW4cyQvj0k65RImzc JhC90EdUn4bYZkX6Qg04RIisH5zItmTyvU6aVzKeD9bQ8DniyyHbGRc0NKKAGhn5rC2Zr5eKFlKt UE42CGlYVqAeUUC9RPg1dmuvz1VWwG5spECf5GOvTOvqubBjCvgf7dIUaAmF7wg22Sbmw1x06nXz AFyLKnlAI+PxqE12q2ecWCxWREg2QyGCeoJPNlzsvLbl3PHZ/CZDZm+IhhEhqKu366sPXqKeSJkG C7AngD6xnYD61HodlK0et01GcOcIlT3kRZRZgOVgvehCB0wCJQYeD8Kh1b+PIfgCrnyXUFShpj/s ksYbglt6Wkl0OTtjYZXi4M4RBdRzCBfcuNk20QGZu+zkXFmkTwCoa85y2APzA+K/NEW+HoPn4/Ed vwZDU/Ld01VzKpA2qjCf2BOPR6JC37ChSu3Zm3GMMKBe2bqHnKC52QTCBHWWEpS0IiXVBIUBtakt jXsIOxdoQt1jKVw8gfsYnKAJo+fKuwWCTHZSby2hMKImk2winwEmBv0ZQcxnh/ssT9+98HyQ11ef Ipkwno+BnYJNUVyjzmBGT8VAEFpJ1idrKstuGnh4q3WENWq5nPS5fPBmNED4jXDgk3KskwBSAaXh FmlQj8xkOquKvyWfE5ISucsSDy4NRlOoEm4B567g8ajy8S2gw58q3xr1DGvUHXQpQPSQi4HGJmtK 2PQUm6y9KaONrGyGkniN54/sYOp46PWwOhuoffAYApqalY8NTDLdkjrV3AU9K/auUdoq3XeqpnBQ VnYi0E5XTGDo8HjU5ri3Pc4sNDelyacZiiJqiE2c2aREBeYV5wlnqG5I4SlvHZulj3Pbz7BILSYZ 3dU0OCZmwl+h6qCuheZ5zFth44kcFtAbr8CioorviNhSBA1N5vccDM9QYE+tGSp4/K9zSPEQJpod Tc1QFFGDkjvzNr33meSNo/LiND/PNWY2ZbwZkxIlXmFSFs9lfzbAWVNLpQ/g1YFRw9RU5K2JTbly ma0UIJhRSD1pTs3D0garfZxMj+TvulfF43+JWDjVpc2bc8wopIZ/07nLTK9ipptoNEg0WLf1/EKu UxWWqAlfRPHBhwuBPdNwQmCJWKDKsimZu/ETQlGz0KBaFWo0rPAd0TEk4FntPjhbykRjTtyZ8XjQ sF/tO16E/iXBTJqgKKSuUDmbMss9t+Cu7aat3USr0LXoxtqcUUiNeAkNDhZgS3oKsBUnDlvxhXIc FAEFNxpusFC2I4vckg6EYviOSMKCBa+yiw9WXgRmQmJDveLxoJfYvisfxoS8p1BYoYaQjrCGmCD9 iNL4WGYrdG4y8nY5QRHiQyV8lFp98Lz+3NAbpm/cY0xbsYI6MA6NZRekCBYuEv86o4C6EsJXIDJn g+HOZuWT5/PGwONBuDhvQF1YgAUCt/hdPyPMB7QCx2omPAnyuF1fwFGkGy/iEOFNFpWoF7UrSlN9 kZ+nGYJbdGLEDiWdhFObNxmr3hCB7P0q6VBJEt8R1RfLm55XRSNOBdiTyODxKCfzJcQNlami07wC O0NKIpopi0mUMB/WMstIpNxfqQDdybx1hnJ5hDQU1YbKUxtqhN93ahGCngK5D4otoxzNZLAgFXcT qq13jWBDRUyO7INSjpNXN2p01bX5eDBD6fLJ9C+zF37P6Qj0AWTvbNvyr9mA3TZ5925t/JMk3LR1 RiG1KelA5tkGv+sbumOUdIX/0YmNKtXdUR2pyHB6fkQ+KFc1o4i6MaNPm9qVyQnq0NiHTwP/6N7x eAR/LV9JGZAUMHv0CQopiVBBSTMZn6y07f1oSqnaMUSrNh5DocgH8/qWn8GWEOw+G5QKVX+dUxUA CtdWlqsJXZQcJY3fZyiax2Cod0Guu/tQYyEuKZaXXPD4X6gYhpbwyqKuAidoRfE0sM7IVU2G+1wv V0inNFtMJy/o1rBfEeZDSrgls3hW8sV8QHv9xNqDmA/KxHT6A+zMDgx+1PlEi1ThXiHmg0Yc2/Tv p+t5IZjQBAGijccjHZ2b1nMJMcK4paEVVqgn7Ti6+XNukchRPSuUtDWegpcXVxhPC0/W2vbB99gg dSeT7YKzIzHjmIV2XCDaz6t6z5AM3xFVqAmdXsxaOegUQr/CYEO74vEIeeYpmTy8iLG5m2yFStOw nayp2SlUm89Qm8r2iawCPEIzFII+KNBQC0UFauE7NNJaK0ThEjMOZASlsYbP+K7gvmXPwnqtiJPw HVHxjJe8tIk42Ck0qAvKcHrg8b/klIUxr2hy3CUUhdPA8KH2Z02O1p0N1JazqJD0OxtohQjqrsI8 RQg42CmUzxo6u44FWEiXlmlWeYuieclqZxLNI/Js/VqiPvHmuoMmqAMEKYmuvPF4dAqV72AIjhdw DfUZiuJp6EecGKRNR3yQUkYUvjh3k8zoZYWPFVIShxVelw+ecFRITk+l9WvgAqKWOzSqG/HEWPW6 yDZlYlaE+CA2pAMq6IPqrz0PwalP1Jk/KyxQ929SIvGvCHFsgqJ4GrtrjLF0TkOnwRHUmbRIRYvN ne9WLPFBJ87U7nCZLgDmVRbPRoYHA296tHHAdIHg2bWXKonYsxVBPpbcAZeQwmu7o1vvVd5+5z4Y nxVCPv4RQWFTe15Y1YriaTTvTlS7i5Xwm3cSz4nRreGx9pomYLHCeLoqFhp3sBlaAw7oSXyyXvKq nCEYoYDwVn+wP6wytEfWuwYT1Jd8EwlHkJQ04uK2Qbj+oUBvw+N/yQerTzb+uepDTuJZ/LPvKRgD Wq3DyFKFVqLMWSsUArSE/uAk9j198CUEJanGXkID+GPhvAJtE2h4CzFtgkqaQ+/6i1jVeSTfQXsM xmpJvreNrxgc09tXEPPbc3G0fJv1K2QkogmUXVZ5SpSN91hVnwzHNGbIVlCM+KC5dCa4ioODhto+ MbTcyls/62lvk/M65w+d50Z3vp1YaPiSCENNhY9NeRcOKnuMdm5O+bOuiseDbv0NhngEgFmKFe5T FMXTUF6ZuWxXEN7pEhXWg2dAYK6rPhahZm+naIrKowkHU+FzfRFlPhqcdFcSW+qceiB3ZvzLHg2N oZeN2kA0BZg1+WCFD+j0i6mQ+fj7FK31LdBQAVsaN2ndUUCNg2DMcREf2SXe4U9vimfwkjIQ9Q4p iZsn9FRxcT7FxYSEbElM+SxMJBw8hnCyNYRitTxJa7F3jVKyIUxe9kG1RXADWUeoafDxoHR2/QEU O+Pfv4zE/R5Pg04Gne5paOBJoT8TyK27GVkqk3fNCYpFqLnLAACz4ZKlau8TPQz2OE5mLikmwl+x URgV2UVGDfwdgqgriQpsG2nQCuLUMr6BQO5+j6fPRXZpv9JTRkV5XCXzHVMS0YymAi/OoZ5cBKUR nKBzqKH4qBmK4umRFSfqQiuPxgeovquhqQV+fU+sQqHHsQUj+mnXJaBQuw/fEcwQQXzQj/XBK0N9 SaodwdAOGYnl6XGwv0ZI7rhS+CEnEbtsbZN6nWnML0hDdzoQUktNUYz50BRZYH29f+DlAIVX7jKg PZG7Uqcb9c8Nrg1+dWsD8S7bUUS9BYAdPIY4KCk7uecwi6098XgkvPgPOG9z0XlOtkMIdQeauDdJ woBbtp3LUe4EpeHOUTumJIonVrsPvssGwiqW8hrbqbPJ+w5wKhyvaCn6McQK/o5VPiTeSe8oDlpD +H03A+Jz0OHxCFd183r+y2QR3xL+jiJqsO3Ark/GBxKjjDIfqutXCi86NG+HkA9xFPq4g1cXgYYt iWL4wKENObot+rAj1qjz0X/FAYHvCMIhNTeq2mXV668N8ipSFTwRCh4PwqFL2sTUMjecV2poR4gP tMBny31YcbG4oUJbdXtxcWRP63eE+BgmJ6iwcY5b+IADEDhxnKAzL1AUpkAuKmCgbpPMq140/T/w HVH5lfrcKuG3W8I/c34WafuxRuKOC9R3jzEa4gvs7DMURtSQsFhS5OYmazZDkBratslKuqdQDPlg xWPN6oMtIWj97lIIXoQdaUUtGWQPXCIgwfTuEOFC1118x/smAwIS1aV9B20yrEt+IQzC8HhUPPsu fBTIW0Jp1SYorE8vCgebc8KY22uu565Y5tIKY90uwtSOI2rxfqnPwMFBQwij8RNoj8Fxm1kJwNqQ 1gFS98Kq9tS7Rp4cTMbgI2SDltDJkSGeynIuH4+YLt+KcAuILpo8aoKieBrA1hPs+iFEcoxYmwAd aoJODs5DqPNz3vfYZCsanrU++DGdYItlxqPQDcty3YQhIUJJ7OsbDLHFsUOFD8SVo0zWpzlogjZE 6ZlxnAsTjwcraH+LUAOUhcKVTVBOIeBjidcqce4JbU2X+IBBOJANYLRtY3Lgg4I1xPtpV3Khds03 Kzu5Re+d9swECE9hYvIEeAcBbW7zGrvwduK3BMRNinwIr3/iL8RmWXENeCLYZ7g0+QERxvNbxUK2 uzceknbL+/d2Usk2AR7Q9OqG+pjNvNvzIqXKpil2Qyyu4m6Do6sgOrNakS0Z8M90Q8xocIDngdty 30r+2Pa6kT4lMw/0TWywzGNPMil/wOvj80Hq0b8hetDeQBx7Zym0dxmIiqQNh26HLH+XkjOvEcFl zzTfUwim7jyHxyo+eN++NPQC8AnQgZ3YcwRYIY5CDWTTNsA0zau9bTBJTdY3Q8wF9HnZPj0X6szc cbDu5gcEkdFlkkvVnAYhj5FSVK4G8meWbky7cyglB+oNnqFMYfe91qx3+fZBk4sIaE0bPIdFy2NZ inbWwsKxfbJ8aMPBQwIQoPQksdteNwIzksa5ZFi2PAUZUNGrIo3lxuf/AqKxZL0JVe7rTlMUYoNg OUc28NAcqJTqZMqyXYD9aIOwkRwWUlS0FgiNwqk2eL0ojQIgi7YcACKMIAuSYwjsIRNtXi/KVB9i Wy34MSTYsJoPhpPZu1WZtMFkIYU4kPJ9MGV0H8a4iUhOceV6QxW2Ox4NZQYeR+eKYxWEsrnQHqma prh0zRykGS7tUTgHEPb8DJnTlE7QtHnGIxw4JxPqmIV0TiVrjALYWw9iukmVJqKry3Z09Tkr3Kn1 5ON8PmKW3x6aNdzOWXaLs7QTD7LEhd+Up9AmOlYTdlLp5KpWKwOAaAd4FG5PObWzOa/BVhN8Bdua xH62mgAu5mqCviEla7LMbnQ2DX/d35QZZ6IyIwfDNiZoximp7Xw+onV+Z7WN1ICvxRTLgAAS0gwg CwPF5aCZ1axzvQhusQM8xIQ0MwccPtgs9XPtQxZfLm/ooVGMCHCaH0gugWDiARNblPySYC2pvpZ6 1pCszF9O5kccOQA7fD7qNn57mIGrWWHH65MURt1Q2GzDVD1n20akOqFaqt6P3bnf8zvSAqki5gHo a4NXac8lB9g485KTYMFlg1IX6AvSQ2jdUMCMkVNs/iJNq2ewdlrJRVjy0SafDzZc/afIhjJEn/XZ cCHWmjaurj845dzCWeoEokpJd7oeSE5RLXsQV3QO5+KDJyf9HEUJXh0AupXVMi/CTiQvaMiwopKe d6HkIb8kKiIx+B7k4XPQLJ0jYaqzPxhWhhYwT1+WISQ+hzG4Zil0VcR0nvDGigDngNpOrtrXdHLl i37Iga0iClJiV83kg8PUTuiK9lll6bEtZnPsm2TkuNmo5nKdbP62EZOaoVIqxQelKAteJXJ8g69i aKw4bt9IdQDu33mj79BYsUHnbq7iwiC0S2UhoNHuSdU2fJzNUgQSSSzZ1qGCwBjevwYZpq1FQ+qG bnbvxrvupjxR63jAfMneNuiLZB5LrWUfNEsogUkFbo7K5yPVWE91i2D+9Ih/1lIYfVf4kBN/wIqb BPQbS6HFdIchHGjJbg7dFVfRLEmq6PHLqSdUXucw3wQbUQydhd20kYmDQkmzMgOFlmZvG2ntCa9G z6VEdhxniRTAxMO7Dj4f5bvfeo34W8t8jM0Cg0UwyCpvYzNYbGW6+vDm0cJJWn10n6Qw+F7UApN/ FwdNEkwYzuphhaRBdXeZPRwCT3RefmgRZEpX7GPnwGLRNS07tLlt4FICEk4SoOemrHw+avX7JAlb goxnXTREDl0WYdJOj2+r//diGw5ixDZLJ9Qpd8OFnMZMyKP1AVZ6qrfYzmf5UFEOJTIUBVGcnKxv Q+DhtpHMwj2HRotLakWDGhh7sFTK0A5VAfX7YdUZOi2Wf/wYKgOl61OeA6NFdVlPMOzH0iaJg+W3 TeQHZ6l9raUw9Ca7uugM5+AbLs8MpDph6hAO2LziVqG3z3kKDjF+LFFXLodWi1kskLLvkCzRyBLA QkGUz0fqlt/REvle4+vs/pXYmIs5LJ7LlKyHTRH2adHlScTotZj0Qb/xh5OVBnK/ISXE6hbtms/f smFYPtmQRDWmUcoIiL/L3Cv2uhEfhHdbljJ6drvFcwc3dU4gs8Xno8N7fodLjUIGX4d3qBdyTgL4 w2RODgxCp6apE42nOhz1Em2aIoOYrhI2CEg2eBp3EttN5yooyRa0cRkuAbJEGybcdVfKoNrbRq1/ ovOGzG+GoyPaSR26wMbTXvIvTRWCTdD7I+HJJimKvOEEAANb4UZOGpIdg9STmejkta/8VQ5cF125 6Kz17oOvpbTP7iqE9MGYbE8eSxWmieh6ExF/c9067HUD9fgt2XiphxRvb5/IaaokcDZA5vMRucgR Ek1XC7AHz1IKQdnAcqzVtONQETDVK1CabFWtNpKBsnNsvSgmeqEqhtC4WkrzxBNnVdPEomGeBp10 BoT1G9IjWZ6p9baSvW0kmEowW2nVByt7mxMZyJ2Nzwf5SfFJ2ixV0r7n1r1D90X4AEBGxQB/W00U TlKdt1RZi1eXQvtFEwTpqflwgSQL0V+jMPHIZECQQQM0JBDhUBF01zPFAaH/otVzr3Szo/TrmV22 z3rBSgoMGL/dumUDh9D0q6AbOjAyiYPTuE6lzhI7TyXqL+lUooSXNlxowThkoqxoKT/R0jk7zsG0 tzBb5z/0SRV5M/MEVgIuSlpKS37UoQUjbzjkuNsHw2ydUJVMqnPsTT7/F6lYefFmMepOUqgggqNb 8me44dIgsH+TBJE0c/CMuX7LgQujYlNgbRhZJjdDJvKvoy1NCRGUTDoo0udUQrlhgIqQjZKvVDfZ 6/6m9oSiLStwDWAY/mXp3KFEhABTyg+IIu9rHPOjZi5Fp3yaorI3onpUlNQSANZ2244jUFMVuAJ0 le24GKYtKXCZU+1LUId7FZoCmGjY9UAesamFUlBJZqDRbq6rcCn0YkT7FeZYoE9gKNpyJwpfibHT iYn1llHPu30HAjTvLvvZcr+5x5yjL7kDvNw/uryzbkWgDUOW5MCPUaAG4PwlCFEet8Fzem/J+sLF CgTs1dVA4FqnEPDtes9lbxsgJ4p0+Vb3QW3vQXGRHzYtM5//S5+vWCAATvidpKjoTShpy7M4uKS5 2OxyHyt4yNziUmjJKJe4nMcdPD85c1QT7KAQLVGNrjM/KdRShXlucrM4aTzm0JMxkwCZWWPToDgA 4RzrZxDf4vORprO3djEfSPAr3sAnKYq8cXJOmHj+mPaT32vnqDLSH2gRO/kkhSp9EhOptfjgkwRG cWdQelJdoLfoyZPgTMe2VnmsT2XsmUNXxqFUd0r4cN5JyijKM9U9O5vPB4H3xXGZMdP8d5aikrfE MGtWrWSs4dVchLAPyKQXn6XY65w1i1SrD77fzuUPcU9WTQr7QYQDsiqPPKol7zJlknf5JcEsVe63 kocP2m8nipomL48KXGjNWC7olhgGspzLk+qG5owQ4ILX13AozmiONJF/E7WLqwMpcuDOWIjZRFme WUpp+264s59w0Ukz9ExTqnJtJrsS8mNffudAV+lLIuCtXFLaHYyFlKYkVoHL5PPRqbS+NlwGz7Cj VOqzFEXeWCUozHSDm4BUrgpc59EiuAlyLJulmA/Jli6kjm2w/ISEv7oYyKHYmvMjHFpRCGAhe6rH VP1tg7VEiGWmLp0Gq1P2LM/DBpnwHJo0PjwSmTngWCq3/R26NFIofPDY+k9QZaOzdSKK8I8FLARD cOfYpnFLKIsBePnyTFmYJUj38YI7AfIi2Pt8KIQhcXXsfxHcObRpVFzVyO/S4Iy/xYYwatiTz0eB d/5K4WhmM6+dbg59GpEjoCVq2MC0preY1BTjocRDy+YoirutlJvkaJnmw+/vrOVNtZgWOFXkjW45 SeL3fPClw982QAjwlC+d5AoOqizNE4JJd69lvWSEMP2HCQAw9H76cLFXY0YK16b6SkCbGNL9/GFu uXeyM1fRyKFZY6dy6l60+NrrAQicCYJWjXl7A9GN0xqJ/0avBk32/mDd1fkO7RqnhB8JlNCglu5K XRru59YcfD6ape+lRDeSdf13cujXCD2dE9DdpcTrW1ojmdkvtUZQp7WlFIbdi4WlTmobB2/pnk9q m0J555xrE1JBpLYhXgBWAJoRFirt3Oxtf+Ug53EHld9O0E3058+5RvWSf8IDWF8ZP1RL9EkKg+4B v4zcbSnNNA2S05v/lygiughkDjwbMUlMSlqZPtgkTZjcw62R3KQMfiRTONQqe4OACVFwVljKy143 KlIOaUJ1HxQrnZdt6sMt9HQD48bE558MbiqavYWlwLlRVb8B3yArLIlni0R3qkGgUm41VZYcWjcC q4jpUY2yPTXKCtGXWQTvOnl7kfZRRXVvwI8pk1auUi67CfyW4H4jaaL2fAfbcOd0FaByo2gSuDd+ F7xV3Aa4jz+gTVMojr3UFkhWWiLcQroaxKGztFQRY9g0RVAT2RImUFpsuFXKM2duLAcIwKZ6TQN4 E7YPILDetsB92+iGIy1gsIXCwTg4BaRknjQ8lkJsd74ZnChN+A+XkZwDC0fPcwHklJ6fikyUq0s1 WS0XnVjfcmHcvUQH3HfwqkmH6QStFSCZWQlyb0rXBlvRk2x1bbm07HWDLVdoUZjG8EEHE7ac+IDn Z+Dzf6HgtD9xF1zt1Ry6OM426QDaiOmCg84ylWyK0ktoHerfvpZCG0dZgTQ24jjcasCa8HzglqOO axZWsNB1EXHZeOqUvdnrRljBLcXD6YNtuWEgTlSv+HwQUl4mBYstqFHwlvJpCkveC+0A0GcwI7Mw zREIrkOOi/WACgi/0F2hl6MC7zUpVLNcMa3UjEADFG2c3+dcShvhDCrf6CeTYN7zE1VS7icHZo6I vJHwnQgu+2BYwQrOCXfRnnw+CAUeSCVvtD7/QZvEfo4oza8+nFDBQpZYS/s2LGFQZrFAYOiIoEJC LewMcLAsrkP/f2+W4DK5DyIM0BGcyKUxPNcdZLnnwNAxSUui7yo9kvrokTgg7kT6i89HW85bcfIx QD5QLy8nh56OaCOPST9OtXUNw4Qse/gspbI81w1NHVcXgGJ0H+4s7bnPlcTmd2lA6HBbToYHUNjK ybO4oYgpcHXE25L3JhQzB5slmNhtq9Pw+eCWa/+Q3dlFvaotOfR1rOQ2JNMfgd3TcLAJsf8Cm+zt qW5g7JgsFoABnw92MJ2MOwGHw943PMOyVEmgtFJg+VB2vSxKVeBCY0cCl0ZalIoUGLYoYjqLkIEB PFX5AX8JkygzXhQ/u+d3YO6IHAXnN+UoTZrEa3C7XS/n1bNXc2N3R1a7K5gmNniye6KjteFWVCAp NMtUg5xBFLvR+fbiQH/X2wYpCtmmIL354MilVOW3M4CjCO0d821YEvDMTGfegCnwd1Q4eAKPMSxg qt6wbJDMtVCgM/RiKPCLwSP/9CqkQL29uLNcaGRXixQjT5JH1GnnrQ75Leo/mtxf2/a2EaCSClv/ 68RbwUFQobLNyuejpeQBk85pQgXrrZuEJo+NYQtRotAGGLNVnyWKm8vzujVv6wYuj484QO4+2FIC SxBGpDi8e1nwNKN6bZVZ3UnPS39AcMveNijBNYuXlg+KBAq0KxhWngCGz78f3mt/O4hB/qqV+ey3 MPZOVClx73RudVPzH8mX0iZQTEspir1NfkPk7vxF7k4nD0lsLaDlBx42Q4Zz3RGbgGx6Pw5Z1GzL gdmjuLUIlCR5M7fDvE/kuoWsnVXPR8ClGwhQxxqqdOMRQs6h3+MkuaJlK8KN4vkbCpVehOOJa/MU wU0q73daUdjg9dyBBG6w6n3C8ALXL8RUrGDjOB392oNLmCwHjo+CbaJlSeHbmi5GIJ08mi2nk15v Pv8XopI9KfR52yPs/3kNlwomaV3hyE4/OreGqI9t6IBgGQ/v12CpALhkmNzlg03RSbxhWYKu/1lK sJsdbAywzU8p4rRd1n/Rl/c1VCrQZZIX5uw+2MGdNr1OQeHC0y/TU6DRqsqSYHN0thvsCTA+iyaH gKWSkxEHZ8kOWCp0iNhcQe45+xojlds1KXv44IfROeEQ59FY5EwUfSwZkyN1AhwjEeedvkDwr7Nz AklVuBV+cvAmZdKWqwVF3NfZEQZTs8NYCGVRivhwdl7Do5LJ5z3LzMpIs0ofQI68bTomYFOfNeFj gqXDW79Jkr25oQWQWxvM4gnOf2uNIQRBOrSAo0pIuXWSSVT3a2hUcITQA1O+xRyswl2pQU2KXPu8 xkXlQXTb0oHU52APAJPzGhRh6bRKXrebzTI1lKNISddRpLkd72tIVFwTkRvFBm9LQrp2oo6Lo+cc LtTcOJFj5b7qVttOD7T0NSAq6NwumfVIiwzA0KqTB6JCRJaemf+8xkPlueqHIJMg8qn6T4/taHYg MD7SXp6kVSNOnHtzee0/s9BJI9VoY22dyOkOt/J/giH4dbPGlqCESXM+TGCD6kuGrxuVVkHqpqV8 dOxID7GLGnjVSWYCBIvV2hNGfF4DITytYgXKyjqhftDdsNl5jYIK4gpwUKqLIRoNxzr/LnwMExkz UQ1mZ0pV4v8gbhtAfbQxovHcCauhUdOAKaT8MRYpFVaXdNo6X/V9dpag7U2A2+aA204Bf+6Nk/x9 XgOgIvl/zY4QAhNIqGSz8xr+FEkGTToHy0XEXTBRXE6ebkweEvSAiGan6TSWHXjJt7MGEOwJySlB hnPnrCuitnFOwUPhB/AIT1xlbxDtLLX7GyGSHBwTQWsOpFh5fF7jHh5afiYTsI0SAN27OTmvMU+R TOQ5apJd56unZMfOaE5OAtHRVdejM5kpWKnkJpf6cJOhFJyJXQeddE2gdJhkYAuiR5bz1f3Jk8pI rwFPuWIJbUwfDBR5oqcqRt/On9dwh2/4fexQkgJMbM3Oa6UR11xhXz85DiI97sRpzXvsLGO1vdYZ i1tjZAkmcPB0PsFfCHY6UB6r0GPDoVyA35xwNi8lPxi2RnG2aHZY8hikr2tQLXYiPRINqeDp99np q3+tHUlZkjhClaFgdgAanEW8Y9StPQ2DxGh1NRsYoJkUSXQodzMEHT74xjq/eF6LWi0DvnyZ0nXn CAK6Do0Pui8YdI0GRq/FRfwC9Owjuc8G5RQnYJtSv65QHQuvLL/QZeRzVjBF4BULRpEy064+fXp6 NtAR4DtJd1ZBfHvJftH8UKK2tDR88GxiQ4l8ieu39zmUaf7dUbGBE9rPvrTRPGkf/05iw+qhAxaB uTYYwn8JO/bTCKGJguU1v4Nl+gAyLtAERdFySkCGZjtmYIjryPUCtzOFPAv6okaoCcNlVssWo2YO XgaC1FKH1Qb0RQFVZ+6OlfXDcmnBH2ddWCrSfN4JbFjskkMadHpOfjmCDD+UveDg/7zz13CSmFTL MGOVhrii3Cl6D5mhOZGJeai2xQiNE+doJAc+junah+/stSKmN24t6iHVcvWQzhWK+j3+z4Aa90yz Kkhl89xBPQahsCUUStyjoJnS/igkLh90e/U1dhbTCoWy96gZ6Vr6jpohMIr7wCYoCptRgpu5MB3F P7HnL1AIC5D/qfZQfA1FcXMnJqRskiA5eMq1z0kPQSXCHVLLhT5zm/R+wBOh9XTvr6R3fd9kKJ8A C0L9BA66wE5I0oaO6IUOR3QKjfo1QRCFa+IaqWMQrCAsTqTrRjleyYRI0KKbAjye3AjNSSu2RhPE gj1rIjZY1tUgcL8aE3YQYHNSVRaONwyFMv/spj2mmkZ4CnFmRKQp+arWNch18BaC9OE7Y60I6q4J omQJ0cW73j0WRc+dUHXKdlB6ROXVKeMZU8/cpV4CzXv4DNAkzulW1h38nO5rQf2KMzR2hf7hjxkX FwC3ASj2Qiuded75ajwyqdU6yh2EKjpfaGTjM3ze6WpF4pu2hNRLhLdUn56bvvPVGEJDIDv15cVo t9qtVFGRBAJ8wK3MGsXQndurNV71HHyKcCX2RhLt2Q/Ve7I0Sq2oUaR7DM1u7/o+RaTbwjBt+eDc maGWMlSuPu9cNSyitr6PIfQJIEBjExSF0ZJCSsYIBevRLQ168zW04ApruyyKo3WVsfRtg0eKA0Zg VWUxuFaB4g+7S6D5E/Du1L0w+YO99bLvMzQkt54oqsXBzqET4EoFY/X5eeep8R09kua/OygB60nY O02NSwjM4mxU/nOyFy9CL3q7qOlDnDuXUBRLD5qocSptsHMItyQIxewf9nKWjpn1obPTedk/tiFq Z7zH0tLAgGalIHzrZhpniVIs7SwsyNZEwfRO3zcZW/V0M9EERdE0IIInNWhuB1rz8OpYc3gaKApO BQnDadtcNEdv7ZqjoxwE6g4dwuA4AHwr9bYaO89nAa+HoDan3jWaICydSTCVBh1DO21WIZBfrc87 Pc0qSFY9JLUGxTG16lk+jALqyk59NqbjWOWaOY5RTCICjq3m7/TOTiuCZkKxTvHivPEihCELauBT lKKTto7J8qpkjABszY+Qlr3r+1W26diXSl8+aAmd/da1hGbJn3duWnnU1oeLQ55dvraXn9+paSgB VMr4WOdrmNMDJWrpM81jKEFlXxXW94j6rMUmvaOyfbCe4UaqLY8xOGT0CmZrA2r/7EoKvA0yqVQJ yvayv9Tn0SlaPqhQdoL2xSY9xDo/78S0Im8fm6JuhcRCoK6mKCpC0+6yCliFatB0iFVD3cxuezjF 2RS9R9Qq82H1KGCc3wEjCAtJOmPjnNWJzAaQA3/ABjpTNK7tLgVgPu+0tCL347NNAYW0we4yRP8U hjp77/NOSuMM+3XP2KfQM/UuojCknp2gKjM1aPm2C1dzdXo4PCbbZlFIjYopIB6z+WDb7MTX53ir LEWfGAYq4ks1IThdotM6HoqMhEXeGWn4EjrMdSi222BNnrMku2Hv+Pj/TzF6UEGg3j5GFFPzsAR9 zODD+ZKIzq9SHWQ9nI72zkYrrrdees4+2BqCGH1jaIWDiPUtYK9Gdlp6Nk+8h0T0zkYrZmsA5mf2 wbbZSFmlj5Hm552Mhvt2e8mVuC9aAuZnm0VBdSVYny0oSdIbpjGzyOUBEeyRtM2imrR4jRXNChtu 1fUciJ1AtnMSQRmSkvRTKKyT2TAPtYI9Hvu8U9GKtAfR0KjNBxU/zibrRdXCuT7vTDSLyZ/qUEHs kG67552IhpV3/pUptxhOEI1uuIZGs5JR3vkS0d55aDyqGTEK3lEfeAc8eRrkrKQAgdxe8A5WfDsY W6Wtpzy09LLv5xDNVUam0r8Gw+bBkFjezWl/3mlo/Fu/y0NTAgnFZyiKqREhzoGuuKh6jMPEiclW aUQztfhl9h5TS4QQqmpKz/Yt3Heo+OEX4EmNk7vLwjizVg8M47jWzaPYuwYTpBMayQO6qQA781Ka C00O/CZ97P15p6BZhfirPISedxX6nF8b1qc3kB0u4Dtl/i7V/tbdIP0kwpa6vhPQ2I3n3LQ8fbAp Ku0csH0SukjScFvSjUQsgvIMy0MqoCHG5XcEMaOS+16mDzqpCwmALBvm/nmnnxWpt35VP6huOO5d FgXVAEjO3Cz2GdKWVXuD5mSqnwEHrF32HlR79aMLfN69PgpxmAVtB2q/gfk5N5isUK3v8JMt+Cmn h4yT9t/v1DNuMp7QW6IP2wEdkLmqSlzPGv28M89wKd0arED4WNa1+wy9M8+K1KDhTnLxHFc+hNAw d1fp3ncOg2pmrl2aBhy8PtQnbp1MUtWGiDNn6JyRaBzjb871hkMgL3/eiWfscBHRUSm8zsHBr7Vb ODTy5513ViTa+rWEROq6ffkY01FJyFMWf7aCd8jgTtatjF8Y2GEJvbPOioMVZ6GeAQdN0ABFpVEY 8yQfCD0zY0qihDPZOsWoTSDBFL1rNEGcGV5oGlSCTSjTsSvYC18x2GPpuwuEry35Jh3vlLNicM6y 6yOx5t3nof4qrfhKs2jonXFWXMuoyxC0P4agUHqE3SKNm8/F0ZARs9JP/DTcwx7uog6hd77ZTct6 H3dQ4gpXegnLnezm80434zHth5BuPdwH5Sau73QzzNAuLJ85xLwXa8rXSTcOgqdr9XjxnW124Qtd Sn39UeobacPZA5UvefG1uizFxTGNl55XZZWeRJ93shm7rKriV4GDQegXuuME5UL8QQPt8042Kw/l /PyILATgvCq30fpONmN5CIzztIY1OnglcIpaXxdfPrLhy9+5ZviFWBcaLd/BjqGzPvsySkeBwLWQ MpDGOL8/Ople++ij6E2jnIyZfedVz8Fxd5kYL/o+fd5pZuVxDhlGUwCUu9zq0DvNrMi9bVbmN5To y9U32aaQpiT66OfF+YmK1Im1j5NDZB88KWuQroOxCGULzv9MKrCySY7vhWaRGWPQPunzzjHDZcnz udBpToOjp3qRK8Ho9fNOMcNSKPV7hhpxRrfd+s4ws/bTBCTeUOV53bSVNwULjGN72vpOMLvt1lZJ 6eRwQeUdvMlEwRnU9BfteeBog7QIONF1zfjQMubL/lZh7E3Za3N/9A6KRZEb8+Q7Rv3W9JVyAOOD Rp7PUBRQI18cK5thCBx4h9fPmgFAIDYDVgWv+rBIbV1Epq21MOWY0i2aebI3Sj7nyUao9jwJiCEJ OE33msudBunv3LIi72VaF4mJhxyaEXWHaRhjo7Od++edW1byJbrosl+oMIwbL75Ty9g8IM0lK6Ae fTgP/6TgxapDJ5xZPkVhlZrti7Pymg8eUJ8FCBQQs7IC7Z1JOQOi8XA0o6XnZXx84+edV+bFoZPZ NRYngYRn6TWPjrsE3zhOrPV555Uxb/0OhwbVk4dnZe+sMg+HWtu2zcruy+PFvb2O31C10QxFZerJ XKMuOhRzcADwuWaW8BUAAHfUiriIcHKhdppLfhYR8VTvnLIi/XiIFFPzQhgInkTwTTWd57U/75Qy e0ebIaLzUSSZ9yR6Z5Txuof17rooYGQaZh+y6jQUMP10KqbonVBWXJAPLoc+eO0jrZOLVU3RCZoX tE2goga4EoBsmaIKWkX8Qz/vhDICExpVHLrEHLqxDE8iI6TuiZPr/LzzyVjK/25KC1bVPaZ+Z5Ox eAqqNFAHGUjgE7UteohA6gRhHvuJA5uR+vzvZDIGKxLhYYbP4fYTz1LefWqGwJlKPIk6El1onwxc P9ZPbEXvGmwzys3vIcbdcMbdkP8Y/ugTm3/eqWSsPnznrbwd8gV0vjPJsIQWbQusRzZ44pg38Vrd G64oFJG7+U4kM2QosJzpDo7LKx3ugk2AV1A2CyaooVgxAGlgGOSyxVgIn3ciGaExjBVx5tng0Jgy TB50rM87jYxB9Xd1CM4xlMCxGXqPqYGMoXCDQchP4IKomSRgZFTqnJ0Luhnv/p1Dxrx1iohYfPB2 We+oDW2hqypEUgl6RY1nUNq8DsfmZQokfd45ZGw6iINQmg++hmAQzev+xNTvFDJGC98NxSauleMa 3hlkCBJOAHSi+WQnNeRTHfmBDzTkx97Wk34nkN2AqI9+B99kDeZRdAHG4b8h4c1lxi410l2qBQ7p Emy9a5C4CpgnL7V8vdQqbA+bUq2aP+/0MXYB7imE52ALy7jEZigqUk9Wh4TLG9Ru6N5y3S5KgGzK ah/v5LEiUYQzNYJ59vSUzyCJs87fUJiX4fZPPKlggsWq9FP6ICr5804d4wTheqYBpw0WU4N2JYuQ PT7vzDGmV993PVRXy749+3fiWJHj0VhyTes3b6VoAzXwBA6m+hvn5/eQehSSMDn4Xb9OArxQPEUR 8EzMFqsOckgF5enCvJUrqFOb8J01xtyY+Zh62Omy7GuHeia/bhc+Htxj9ZvQQof0eoOhd8YYnkrt HtP8p2lqO3AqMATs+f3vFosj6qmq2fDBw8WCgsckPA/2Z7kWEzDcrGD/qAWvM4gQz3e+GBNz2Tiw hs9BE7SR5YsOdfLWd7qYYdM1QRIPx9Uz0+VthAE1oJYnwVkGgiVMl5ToLbgw8ta96AvS+TlRu1WR NB3SOdgMgZcgIxYAGHG6NhZgG2pCaUl8w/JWLgR8R0CJkjQxyXgaDNXQqpS1K7S/WgT7mP+GQghK EaXaBIXx9Fknk1UIVc/mcgBjpQSzOV66jUOLKtSaoLrpxsfBK9RnkvIgDg6csUq1a/rPTGyv8/Gw i3Z3ax3TIe6DrZtzEGQfrERdJruQILDy8aBlnx1HLXvM9cOyoZFbonD6RM3XdQdGF3O5GOFo1QTk YAdinbL+Hk6reEcDvzvcAiyWztQSApikdYNa4wbBfsk3mqbEE77jN84hKPo+aAkN3DIqqo6KxwMK x27/LCFUyOedoCiaRv/nXF7VfXeF+qA2A8nt5nhZTXagR6gPVHnO+axoejzRNAwJE+Zd0KpzHmVe 9GDcQdzonJcteUbGki++I0g3ss1M8YETdGLp0bgCoHeEx4OLvnwf05SxKRck3OMKNUtD20r4VbXq QaJCv5gPWHTzHutRhRrtaiSrnCcOtsdyAfYskceBIlEmWOgkC2dTDjr6lf7wn3Fd4Et+KQ313emU spGwsFqRwW1Plta3gucD9uGF5wnjCXF/8EZ9kmICIlV1uHaQk+3l7GcUMPSPe2ZXSO9RQD2Fxu88 jwTk1yRVgPETFbbb+elPZE2B9AZdjz6GdJsuAI3iFT0KqCmjgPJM8sGKsGV0CZucIwmPRwzNC68S RmShFeTVoR6xENEEHmtS75tZ2bR5qcDLWDxUF3tlnZ8TxUO8xZIYrOkm9u3kA+3cVZqLc1J009zZ wDZQTX3fGaL/Kb4j6kjbSURFBiCOB8tnkMVghnaSm4LHg8vsAZuz+4w09gtu3sOQemQIbRVDoG36 uzJkXMv7QiuhIKZVFHIRBSJvlP2urqFMjxR4yyZyEU9kBZ4s4FU0UO3olsm6SWcRjkR8R5DZV4XN 6rsOR8acFGdL2QOoWzz+10aT4SNa9svv+x6XqakMA3zrfxnAGJLHMkkvaI0x5zhxZbY1FMbULH1k 4c/yF/5snvQdDV3kHCdiPNH2BjAG8/8DtsoPVeod90Gfyx6VqStRRlxqNgj3AaU+63WMiceD07p/ E6HRXawo3doMRUE17ukJ7zIVh1AlVXGoJxJCmLdm5nhcQlFQvbeQMfkOvoTgh5JYj0YlEN7f9ADH Nd8LuUHNdlkhkQ3f8dt1VqkkpEHQoYr0U7yyPPF4kNi3WxySqpzm2WcowlKjdH4OyCXZswEcldc+ CjWZKV+JqF5rKIJ96D5rlWJxjcqqeNGz3er5eAh5aIY26kMsn8HaCkoMaz3nEI5ifEcEP2MXiJqg GlT6aKvRUwKQxI3HIwjj+rrwM9Uu8uVN9TCqJqpBtQ+AhgWJRf1s0pud1SGIZ6PW2D89qlIvg1Xl OzhvqkKcD+ecDJx3ol78QH2wA0GZ6UuolmunoG6PgB9qGyFn9EHnUGtJagNlpIbHI1L0P1XqTGGQ 3TxsHFFcPZm8ru5HNVtWQjGO5PQ78JQUV4+oTA1kDgLqdYeLr0JZo0uX+aR4W9SYyopRGjiv62Ou k/WuQYnRZCpm9UGr6KS/0ok951nG40FcfQHnVQcYMHrTV9GIAmugjCasRQyB1rrWU+6Z30oEGlRM jTkel6mT0IvNB19FJ2xci6kiNPkgB8OWYkHLAz188l8sNaNnzAj5iTyqC+0/NRjgHErG4izshcf/ bEszjQNC+XalRwimhpo+sOWCCvcrEXuCvWyr6YSw3USqRlSmzqycjUW9s3Oj4+VBDoCWd0OjVUto gsmnmAn1D+w1ODb6bX/W/cB3BChPcoVRUNQwjZ0BY2uB7s7/w+N/cRbaEBAWydkjPhBiP7piRsNX LZIo1VEktJm7DCBl22VRWG3omMKCfqfgNafonC3n3pooSFNF6myPvYSOQbYJJll60DGQ0cB3/MYC hpmhD1ZDA5CUigvnQMPjf6kzMGLE9ZD3naAoqCYedS6DxJw37PkihR8fSxIiOEFRUD27Gvar+2DH EEQu4VUg6tTJL4esPRaagxkFlUb7bbNCq3rZSPtEurns21d6X1Fj6qxN3Cz4s3PJeD5il31X8gvW 0Ex3iqKgGirXk9QfIfKL12HbQ+c8x/e0/HVEQbU1W4sw1U5KQWqG7kBrioigkkffyr2JMi/nrgeN yAvVJNqPEExNZY9S1/BBl9nZ10kyniduwOPROeRBNdMVLNX5nEMhmHpigqYJwkOtxJvSU4pwTPDT MFLHiMDUhQCrNsl74eAQNCBhCqh8JzGDPNyqEi0AlxVKcxTDt24ZYZ4jFPloRBoJ+jEv9ANCRp23 ExRV8HgQED26VKzawtqcluE2RVFUTSk26CI6eeqp5VdHDS+U4G2Koqh6il42JXZK9S/8mziHwJJt ZLmi5XoC6ywKZ6EOMF2Sr+Hg+Xh8x28BUdpSptzG8csV14oA9edKwON/kTpYkqykvdxwKAyq56Lr sKsRSGKIFM5lkJkMvXK/y+KgWo4wz2CJ2UnvFsBIVRzXif8Cmwy2mACuQpTy4s23FlEYVTcqU0+j UEEF0fDm5yBicg5kPp4P0vvyzXJt7JddYMMIo2pwFoo0KYH5nKZ6DiuP4iUi4j+Z3I+wVi0D1Kp2 YX1MKiaM3JNcmE62malLRnu4Ce2b/MNo0qr5W1o6Ya1aZIWVtg8K/SD1yxk6P03B41EB5DsgYg5N vXDO0Axr1Y3rnOp3UP9IBOThvdH3shnaEvDu/JzotmdHsar1Wi+XHHYgC1CbqQpRAgGbgHzw5NDD p56XYdCYdswQ+cFudKXOogbF1GnRaQIF+tbweDBB7XuC6C/eLu1lRiE1FufYaN4zdd3woFP5A2bv nrrmbYnZDGvVDj67g5dica9tGmg3FExLJsCKuL2GrGhQMFGVWFRW8R2/Cgg2Mho5aILQuGQx/0xQ wePRMdS/jiGGnzt7zjGjkBoFB4j9C4UPElv3GuNwyvQJJ4cLMsX8RCugLR+8+rFQvoHsDGrV523g LszMFTXBRhneclmulCOYYa3aIGhr+uDljz54Wde9Kh6PZuimZaxIQjBr3pB6RiE1WpEDtnZGv3sS 1y4xGRrBrGJX2Qwr1brKhJXm4OHQub42gZLod8w2TY1Anuf4DZmqq1CNrgW+I+qYEdEwldtPz+3n hCAEa4wzZTwekTr8KmP4jF8KRiI2QVFIjTrSnNNkgufu3Z07zhXdLG89l6xhY2YUUsO1At141oc4 3AraKuimcpOdH+CcR0U6lCdvhUofPbQs50B5G98RKVpod63kg5UYz5VCOxjURfF40FL8B9hgrMh7 CsVV6g2hD2sknuTs5q0iPzFe1I3ICQolP6RimmUKn594sXVQNrPKQ2me61b4M2wYMFN/ICjr8SKB sDMKqKtSjiZ34eaiKMCfURP6fN4YeDwqoM2vpAyRQpOwMicoQn6gzzCWdAQHKOXdbvpztaTu0eKa fo9FVWqFyS2rbZ9v275N6EeCSiVZnV4rGZ6J8D9Y+FBNyGWVi941OqaJ7pQEYa7JZBfOaZQyKx89 4x4LkR/j8qa21YZOBONV6hmyE2kovJ03BYEYb3SUJ6/X9YaLLFTOI6ADTko+eNpKgtGi1Hs/L5MT NT0TTxQK4SIRdzOqrXcNwEM8fVInO4iDUo4ND2eGQmvz8Qhd9U9XerEhfkOhCPnB2lCXWkOj4IfT N9M9peGsZQzgGcXTktWpsivjcFGwuIpRLoVmZIZmBZNW4jdQgl3zuuQOSlfNKJxuOoR2Kj4Y/GwV ajqdP7p3PP5XVq/rD4yJW6OeITcRsWLatlhwRGTvStNuS4cQtf55CIWCH6wudikqc7AVNJCvQMCE kq+74RxCwE37Vvj3yStJwpS0gJ+hfh7xeQMQQhusMrSNZXZ+gILHo1jxNoLkXr1+6N+iGVpRNA3q OmwoTRIl0bSQe6x1JwMvUr64hFaE/ICoEi6wPHxwbAzCK/MQrogRM8UKkoBNuFrmEyxSj3uFyI+t 0jTDbw42Q6XvKnhVrXg8EtX5jqZp6PQUhlZYoEZbd3JxE3/W/Ww+p2nbTldY0yzxVhhNy0EBQaEN vsf22bdnCcnUHFr+9OteS82N88/zqt8vrHR8R1SgJkAv9e2DIRgRhws7tCsejwB6/xSo6cxU/BBa oeQ0QA1V+AUgPwSeFvIjZ0dXYa40QSHygyFQTyLgpc2sWQA92JoCZoNIaEBcnJopIG8W5uX5Wgai SdvwHUGoSHEZopdt0AoCmJ2bGqI9ePyvqgf/XVwO6VY9VhRLozU1G+m6ZE1R/UClxeJVjw26mW2x EEYtO9wtJP5+kPgA/8GHkpUzcF9EG0qUMIMkgSpn0s8j+mzF5WlawtICSIOhz1hAxx+d88bjfx1C vLI6qy6O+Vih8jQRj7Unx3zk6Uj83uzKB/i86JReITFRyNYq8nNNNx9DJHfuc1TrzwRBckiiQ1A9 ayyRkE7Ha2xTLmZFmA+/4PMdDNBwMiRpup9Vj8eja+x2o7FcCILtt/i6omCap/QQb3wA/EGwp5xK 2pVlgsKhZiiU+jAZTxY0ONgMJQSicAsmouGcHJnAITQUfwZ40/Cguo6TyV42Itmvt17riWozW5CE E31WhPmYlzNF12l0weejIhxF0/ApmHmaGNM5hW79/gR5Jh2zSQjSJovK0+rsgETvg99j6PuvTpgw 9E7RKsMaokI2iJyi8w6toax3jSaIPaBJxY8kK3JGxec+EvI3pfZZETOxL99kEpIbP+rVaYJiZmKj F7cADTDCLcaYgsclYIvnsUq9RC6hWD5PcCGh7Fu6tnf7BENIyrTJToxYmhqtICiqlnR1lgHO57v+ VhUqxBdr0CbD+2e53za+4vsmW/kCGhgVwCgl9ztDYTR9/hboe9yM1dur0Nx02l0i04BL6PfqNNry PnjpFc6SaU21os+NibgbYssnD6NkD42S1GotULfil0RA6kWMOY2EOFjR48Th6tb3VfF4oJV7sfi8 ZsGdqZdkv6JwGq425wtS84Qstdvg2C7BOBAh6aqP9fPIlcpaFPmBDfVzoJ4Lnjk94JG7cZOdFXCO IWSyGf08D4aGvewvTSB4lS8fNEP5ZHm8GsrOfDy467cn9eIKw82te7S4o3AaYdyJdWvzaNGObGiw bIPBnkttWSt6h7xE+ZK0mnywNYTMF6IPaiPucpIRHkPYlo3iQeVJWVmc3lE43aTJNNsdlFrxhmSA kwYfj/guDl/UvY5/v/sS2u/hNKptPHy2RYstte2MKfXIgDyrCAI1QVE4nbm7UEj04fZZQRoHTRNd lEFLI9xkOKehSfCz6OSqi4xi+DsEUjMKymi22KCLDKGVwIfQyt3v4XRi5errnAbmbNwm4g7D6bP4 Zx3NpHK7eyVd32ScQkiobILicLoK+dp88LrZuQNWY7cOXgoFrrQ4qGDEXahX2X2LFZrN4juiupnl qskHgwinLZk4JNx4/E9FJqoJo+Debm16h7TEQXdb49fNtOpFMzRPOBZadjZDIdxDPi5bPi778XE5 5wMEuKh71vhPc7OLuFB6PRM36WCvBtC0d/1VozLV7YNuMkhEStL8BNR4PBJgXF+HEJEe+xKCdhhP o3g/l7GjxuqP3ea6lKl8lXJ3iKGeOoSoVFkfmVPssXNIJ7VZx4bzFxMO1BtOmJQRjN5DiMX7HUp9 iExWa/ZBCcfJf7dagCepx+MBluGKeCq7kACWV+93LJ0HIP7VfG9CmVPqoyaTND+hXbOkfv+O9oA8 tw9WFzp7DErjtLSD1WberK0larUgqanzEYJFKoDv+MXsZtCg1QZtsrIanah/kOng8eAey/0740D8 xDavzVAE90ATHmwILy2yNSfjielrCA0tQy7uUDtPNcW27uDH0Dh/wS4qe5y0nRA34GEwMTB0RMXC 2tCEB+I7ftOs6ot2Wxys9no2DGuvbCLuuDrt8bQKZ0iatxendxhPn6N8LIl6YZPRjZmbbJL3xE1G lxFNUAj2ELRzCnQ2rxbKCcvPFC1WqFBaBDKboDOW0hBL9+4A4ULrXXxHECzSijPJ+S5dfj18LVqS pHkdeDwqnOWvjKxwk9/K4g7D6U4p4b6dMuVOrSgGTueUgalKytSOw2nOTGYbuuf0FSwiXVpJlUUS mWUwgN4LdVnTJd1BX5zfEZ1CVcfP9sEusjWmmqxp8fEoZfVomufXQuLz9Dd2FE6jY+xy1GAs5Otl 2we1hwrN2piydX7O+x6bIm428hI53KseIPNEzaoGAatZVRhCwSzRSbfeWIj9jR3LfFDDgq7HGuwi S1aIRb8Cj/8ptkwBFeQaj3JeTlE83dBF7FQIos5HWZ61JqrP/IcZyfCSk8F2CgNqmY9u9urTvr36 k9IXEACp0oBq/rks0WeluKNSDijyOmSIqkz4lvdZImMC9n6sDpKbIQTsedlFjNUJUSY/IGIrlK8L v9PIeZY7TSE9EXIotAXLlPbKxLpmIhpwuuEfoWoxfZpiBT1SXpCH2uB5x7nb0ZRgWN0glcLsnpwP VFJRwrx27XNse90I0yD+nchB3eNGmNoTj/pzvqLx+b9SD3dww2lwZyl0ehnouJJVw16H4qJFI5Nu 8u9rVAc15BQBP4qk35rAVW08kSPQSJ3U+gZiYcpcTFAQQoZffzax46ZuXu1tI3CVhBq6ldHOH6so GURHpvhnVS1+QBAbXTo5oR/09k6XC0y14CBiANIzmQ3O+afbdp0MONg0S8XtR3MKjRKpm5vhFmmD LaazXDfajKxM4xfBpX8WE/6VkeEwuHZ+sthtrxupErCaJm97DsrSTsatpQAzUD4fccpvgIQ9twlU fvw6UhRl49ibow5VYs8GR66qglrpWliF68HMBFJUth5yndoE6HNwNOPEESLHxPNjjty3BGRkKLd/ jDXGelGmBhG+JXKkkKSJbrq+vGCEZJkFtrphuJBCFMg/AsMZ2dEYV0Mmp7B0zcJso/Ai0GidtY9C R1KeUtTPRZhYNU1RrD1YcSxb0HsKYVlZDWpiYxBK1GF5Wmhn23U04eKhkZDlawwESLENwjrCzgVp rA+ksZwPNVvStPl8UFhL32SqivbdSM9iCmVABuCkcgbcpiDHxQQDAJVsz0bA7WcHeBRvT2YkZc07 +D13AgB0dLIVjha87rCYGsJ7aL+ldAUsIUatb/mFxdAXYXsaLq6xK28/ASufD0ojK32H3OQFPA4w KdQCyaR3bKeczfUA9/rjkYMStA7wsIi9WaIdjL052Fo6h11aC437AuZQm10HeCIrrwK+7MFAIUGE XxJBQihnhWXIIVmZv0IK3BSWG5+P5PXG98EEvizBLj5LYeBNc4FtR/WJmfrw5Ha4ILPrgfD8jgRB Cr3IKz0DNDhUH8JilRUtwKxTBgAdBtJIv0k5zzcWMIvkFBvBMOZuvO04KLA83zH4d5+1Ofh8VMn+ pnugAYkz4E5SzF5Er1o8cyBDeAZKUbdu71rDuc0nKaxlyzowkRNT0sOinqiCgW9GR1eccfIspWst qiKD2vBVdbZibxuUkajoDbM9H5KFAoiUfgR85PMRs2p8hZWoU3yluDm0V0RxBpmt8YbE+5f2Tm35 5riuvZMDf0VEAhTfyewdcfCuGgTdz2ohs2pA5aQqZgDMGXUSUc1lP0k/6RwYLOLoVSmpbB+0kmAH U6WGArvt0GHxUSzYgmYjVHv2W+ixKNpHNnFmXEamU0Ao+S231eSzFNW05ebRwS+2wVFGJZ8F1GgJ 0wqo6F1oSMQUKOmhnXORfMneNmiLZJX7GRZyUKoLlrZYCSeo4fORdOw/lHwE/TM/kxTG3hmbM4+r wdMMftWm6rfIdYEmVK6bQ5vFxWQXxFcffCnNOVhWxVKqIMpIYoaCmyRb1Yc/VJq9bdQ7Yh1R9QBk P4Z3RH2KhxJ07Ph8kO5eqqeclnCfrEs6z4HVIuqJmy7TNkutJa+6bYFmKUGMBrvNUhR668welZ6U o9YrY10AKJtZOjNjokDFfi6SE2ZBfd42NuUa+CW/6VqOzrobBy4l6JUaH/Ysez4fRQH/gB5xuK2L m82h3eKa9QLVUP8f934D8dcKSycH277fQjpjplWefCk5ePUWMtlQs5bILjhAk7BHZCVUWUcP3vIT Obnn0HFxTYu4JWa9jBTbIF3EAvX5us3nA2L1vAh+RpTYa7eNlAPLRVn+DuB9dCrt1l2xaOdsxaUF 9yRfSr9jRprsGTg8FxxYo1v1twbxV0L4yZNBUrNwp9upRGW5HJouZhFAOiuUmlIuJUSsIilURAGh 6+KX0C6TZFRexiXG5sB1UQT9CWCBqCAAMAh6BHb1MDJRpgUOI8rQdnERQpvVTMpPMwnkupmmbBfH +aqVu7ITJCn02EDX9JL2ir3ub5rWaVCpkIMKJyDIb8lblM3n/9T9ZkUAmWO6VbjQehH0uTko4nrm 5twPywBaJ9UayapwWAI+S5FPTFfhRJ03Dl5eOj80oiX2Sxbq9YuSMw0mvkh1e0+PjkG1t43WEvsA mb5wHHTDrQUC5Y9gn3w+on/6sSTLc+gFtCeHC+wXES2h40+2KcpLuTfH+XXBAIgfKQ5ByoH/osOx YQTng6+lM0Nwc2Z5aZ5M6AQF9BvCMU/PyMV9pEy3+usGBQFiIfMSe2ZtD7w3iNwKBFbm83+pfy+d mjoafZZCSDYU0ncmzwr/NJILPG35w24iJG7ZJDZh5PVe97jD5T30RVg/YTZnmiaJIatTvntP0eat 97aSvW2kCZrUMik+aJISurmMEs+px+eD7OSKqWUDKP+w02yzFPowds5SX+psAxxphxEk8h3wR4NS zVJoxLiG3E7Vys1Ppnvut3O/zyQN8JNJozcAEl+FzSAEn54cTni2HDoxzqnuLYF/idgEHkvQdud5 3AuWUmDFqKKLzZLWDYXZxi1VhmaMIkCIcIWDKY3sBxOycx1MqNz4NEWhN8QjQHKcywdvoeDiTJPH d+8NVulQNpgoE6JL8NPKZUAseVOHbozZMpM2fbiYLXkgnFU/+XzEKPZGJS99Kv/ePlyO7RgH0GzL dtxMm/XczVZuNc2e3QHg0bkU+DFC7TgJbLN88LWUQeej2xAAgHWDUkPY1lnF1OHK9WL/6DnNb4n0 njChJzxirnty8B9jKaa2mdz89PP5/IAId3MFDGVucXbATM9iiuWuJXKtnQaM7faTabi8/Llp8/LF FAFLrKDbGHyXdoPverKH8yUAi55YYDHfwSoqOwObWYjw8BpcSQqZYlvGIh8UYHAwWHlpoFLMNns/ 8Tyf/wuKLEuDBSOZW4OLjRnLpDCW4koYmjTLdgHZ85pAci2RHDgzon4ufxTpQaz91ATqSXbLpmJx S2lW8L4gDoHa5YnFUQK8je+57G2DScpsecudIF13gjrhqM4UJafM56PmwHfw3QjAWbcfF1oz4lA4 0fAwvybzZGYeJyVj4kuGK87m0JtRABPTtOZgkwQZw6acspFwg6IK2Ga41fFjNqVTj9BjDs0ZWVk8 y49cLg665FrZwuC0XhufD1ZS+UYpTWrhPJFAaM8IZNuJKatCo7P+HQoIz0pXFGEB1iYp1OmTtHPO zQeHUNA3bvGOq+f3aIvhOYTOAXVrOkMlbSSLzxz6M4ozMhMjAQ6apFJQAOdKWoPPB6F3/i55l0Rw y03jQodGtHzQa3UUxbxYrllK9+1WHC+ZA4tGd5RBlcQH327n/U8kOUhhg2RGEZgLSx9cf+SnPkkr +9sGkyQ5Ed2Jya1EM2wjxNxFG4XPB7nulaLDv0yGMxFCNklR5A1dXuhTXyzOKA41WdNzXW4D5bqB SaNUgECiXcMHrwicLK5ViKsx190btR+AJpkXWBvMY8q97G2D7baE5JJhQatOQoLOmvgNjdstRJvc wFtadAtQoPTElO+RN8QaJ63jdP6g5G007Dao9ia4yVh3lqLIGyBntE0I7VLBUfcbTGUG1Hmkg93p kXOCJWgu41z/QejBkiE0Obu9bQRwp7qREBUclMWdS6ZytzQohefQq3Hsb8IocCR1Xe2MHHo1MqIc xeAAZw9cfLIBuFHSKyj9aL/FZo0meVDvcOuUeJFUJCYGYXXVDKAmyw9+nPYE4M6hWaOqJp0qWxoM GihbKK94B26NavB8lQO6BFvuHEVRN6RLzgnoDaa0lxW8W5ElKsUh0NO3OYqi7kVQTls7++AAU0Sm 1JbELTeh78+1hni14Jdt4wGYqlcZGDYmplInkDQplur4yRPBkJXCbEMv+Zdcltpw6FXspy0QezZ2 OBK6Kt2Zr2kQExguJSdLABWksDs0bRQMN8vckoNttxNyV7A9GHafaeiLpSWYGZzwtbLH/pC21PgO bRtFKEmdWR8HtZgWCqDaQmnw+Wia/qdbiWJ7vbWl0LgRau8w3zF/XV47hnffwy+4tZxTkn9xbmS5 pIjiVubj9H3+5SKSN3q656aXlROJf6DnbOCvFSoxKOSXRBRk1TbVtLxqvecPVe0OeG69ZLTjvuV6 6TxKGqtNUhh0Q9tLFrX0mZH8AdRGUFv6kdrISLfxHXg34lSSLBQlHku93oSwIQaPiHIj8FAZlYK9 VKuht4RgcFZayste9xfNmpEnu5YcLKDsKxlJsk0+/xeNlBRAEDiIo7FZiqJu5DrwH05WWuLxqURX jrIs5qKuYbMUaY6IinyykDvYuXSugEwVEhVzZ4Js2Ul0QcKBqyzqF8mLuQRL8VuCqomMZtiW0qAd twG0MquvzOejmvc/awnrm2J+NktR2I1qyNi1DCstlWmSR3VdB3nA+02EPgcujtCF4SJqbJ+Udtsn 9WzpMyOVPqAn84ZnsyTGAARDNQLCRd4YWN3eNrjiKFqToMZlg6G7Tv7DvjW6NXw+yE2eYsCPjErK Gs9Seg+71eWcZzpEZR9L8AAq1e1nkpAz2FL6nS6ZN4lcHLwWME8qmJmEwPsKgA0e3jg4B0zX8koP yyQte91gw2VBTXr2QccSIFZiA55/5vNRSHmXEptM+G16eQ7vsOSNxkCjpcZ/4Av0bFil82sTtgzW 7abLkNZSHHgPUQGXDw7yPsFmLZVaY2PATLpsSq0j5Mc5lOmAZXXK3ux1I6ggT+8lxOByXcixe1EU C9kFPh/ElJdLQb9eaO2wpe3TFFukA56LfC2TWYrSu2HgJICEckDFdSd0V+joKLBJamq0sVnFaSpQ 1tib6xGOYZvEirOkEK0AP/1Ten7CSor95MDS0UOBmlWByw7PPQsWzpHcRnvy+SAU+DI2wOt1qtTe Alxo6oifZ8CJ2tI4F4pErrvcZKUojUMoELg6Ih9kQQBAMRu8IIC4m/c/zqvzP8r0ziWHDxom66dc dyR/22CWxFzq6nb24qUlgMhZGj3X9eLz0Z7zk4m3PnEL6Z5Moa8jBS9wdlhfVwwU5LqVKo9Gq8Dd wLUUGjuuISCFJql+TdIJjNFEYfB9JmU16ioUOJ+fBL2KLqwsbiheCpwds0+SVPdlJchJWliPmqSh 54M7rn1DBArmp1/Nlhx7O1aAGuWlBrTJuqnulDAS0Sa5OY4iMHfEicEMRepj7Vt9bJz9V3DIgUV5 AsDBfzNTOg445rLrZVGq/haaO5qguLgVhVA3ZvZ9ICnCF45UFj8gasXlr6UEgSsiV32WotCbySYd cU2YxKUk6CdsDUtop/t+iw0eSYMDoNsGb8UBPb6XVP6Qus8kLDjws6mztv5zzVayvW3UZKI0SevF BwMuwSaZC2EARxE6POZ2k10GVwCE90vSyYHH4zlMACOeStkGG7zOGsi9uGhtp3s2Q4HQ5HFQlwRV fx8sFGjo5BUKV1IauoCFAbMMOkCcABzEJpf6a9veNkIIyP9y3kEbrsFvkYlZAxo+tHmc7RvmLaRg vcluaPOInT0GfiIqA4Dt4pNEzW75XrfmQWXg8+heoWfZJR+8JHBibbQ2GHrnCauUQa9QCU+NH7a+ HQO37G0DMhPys75hXW+D4oACzQFSKs/NyeeDWm76xwsThNNW1jNLYegN2mlGiYkbDnpqhhCgo68h BNiT1VKKWZViuo3lgxNQgFspkpgA9qHgKgR4rGcK6P2QS+k0HSq25cDvMVsJLpdn0DSdOe8ijp7t zeeDZHd933AZ8vBnqp7TO9T9q2gHaHORY2GtS9Cm67QaXG23YRl4Pp5VSQOfJrRk82wdthkbAjGr d5OUKp0tpkTFIjQfRJX8EiXLgekjWkzsV1bedFfiFLoztJAkjmLz+b8AlVxMOI4FiOUsfV6DpYpJ AtaUvEZpaSfXY281+Y4bmC8e3q+hUgVuSSrIzOU42BQ1rI/z1gy8QaTNlHajgJS0EfEPUvRftOZ9 DZQqxIvYXlqyp1lXlYxCXmyrnWzx8xomVQhGaK9N1gJqFiKT/8X5VaLJAbdxDMohop6bV3dcAH9O 2oM3oDG0goLJMeppMmWyL3HWjgJToS4iJCS3BeaUhcI6z2AO+uwIAf86OxCA5V8k0FLJLuRyFh/q v/iTC2q4r7MjcyTNjtr/KIvS05DT8xoenac6tfzrVuIPtYn546a8WHVCBEBLjdPzGhxVx5YM9bnH 0+c+QeGA6nGV/jFANlS9a+yJJMxauVWSSVT3a2hU0RBi0UnyAOPKAzTI2w/z5G2f17gIc7t97fDG B/1RopGYnNeoqDpveXE7NfzTcHmJSac91m0LVO44Oa8xUQX7brEbOboPtrHO0hj6U6RRX2TIm2mz Atfh9riCC1v6GhFVRDQSJuGeyBT0rpqdtDo5XX31/HkNiOoTNU4BAHCnTeb8dEkOZgfaSkOAYuVo O1uOBlV8B7vvZuXa11ioKhOHtwE3GAe/5s8BkeklBV4luyIoi4Au+dPwR8FpHpBzsbppKx+dO5mu 8kAW2KA0FimNtMX7iapfAyE8rU6qrR1wTFth/wiz8xoGYTvOSRlWtR9n20/fP69lLe2dPIN9DYIq Ql3eW23fwduQJ7Ooq1Pc5iycnYBibCdKQnmJ1ZXKltCSTBstsYLZkVxZZilOg2q05/Zb07qQ5fMa AWHtND93NJETAVCy2XkNf3CUZ2YZaVq+kbZLQp8DwvONCcdw2T9EsyN32UT1KA6ebSwYnyyxb8um 7zVh22ehN1xZpNFY4ipng2hnSeF/svnIwQrYTULfkNAYn9e4B4fW/OfYQVoHRJkm5zXowZlMcll5 jMC3HztjTOv5L+LOpeUbncmy6Mlp+uCFtJrPEtzUQOR9vrcQtlg6QFBkMmNMJbLpVd+vrEmmdzLU 35x+KJ91yMgbKMv8eY13eGv40mH+j1pTJdSPuovRxqqbTKRshzJRvZK0qbX5sTOLtWVfy4zc1JLq l5Jm65e43fEnV8lqQb+jcM1IQH7Cxacgx3QEW6M0WzQ7PG9moWD/9C2S4Uolgs0JZ/H0++z01b/W DoG9iags6lcEs4Mi9zxRiZU78i2ctbUNFIH7fBsm67W6iO+WRO3I3QdvWZ+ZOgufxY4JRVFQ9k/u hYOmA8CbBfwQbo3mRa+1RfwCVIVko8kGL8GOypyCBaHXyuI/V1YTNHtAYNm31juPDXsL8giAuWh+ +r20usln4tsyVQxE9vv91sol+eDB8jl1OsR7MUEnAQPuFW+HDGNg7+9LG80EoX3eWWxYPmxXd95a Ggziv1dh8bURQhOFy+s5exjgICgY6c5QFDAjewCqz7OJ0qzB2Mpsrlu3cBAapyaMmDlDo1Qf7vED 4iKpue3EVFC1Zn8RMl5QYkAXvnkXFhwkvmxwOpsiUlo+aA2hXzYE+ax8PDiejeenA4gdvF5vRvEe M4OhndnE74Z77ObygGjL1hBNQy6kL5ihTcMH+tfY4DlpzQUlAVKPG/Ruk+T60bVBsRhtfU8plLlH UXOnn0EReJ2D3e0ImVQEQqnsPWx+/AwUGMLCAWeiT1AUNyMmnHk4MDTLVYWoEBYoeAgl+rmpkR+c 0TKPBynUh1sBOgdRTlKNyCzQJ7KOkYiiViaHHr/Bkl72fZd1bq+WwE3ToCsM8h9Nh/RCiyM8h7bN ELl6NMXohPRojt6jZ2FRToBTLT4kB9/UD4cRIuHa2Z1Q+x4+gx6AOZq67DlY5lX/H2NXlhxLbBz/ 5ywMBfbl/hczMrMKPbS6+GSHDUrxmtPEYKklF6QrbVFJD9uvzKraByIZVMnUSJVPzlRh48+DiAJR Nli9Fd42/AOhfvhOW8MmzfvrIsvEF2+6O3GGogiavrtsViVrlV3bEJbmpNgPiXRl7u8htJsXDalG jUc1qsMuDKay0q4bUJ2U8SyQn8CQAFLsxVZa87yT1qoAdchKKakx3EEK5dvUrMbc6ueds1YfcT+d QxmnWu/rrqEwilYpb5pvyO51OjWkJxdBaPTOZKk1CqM7dfjRdvDB+z/npkSExXL0SWNhjYvVTQpW RXIKyRnzchT5MYqj0YcBtZSm8xx0EKGvwdsTKq+fd7pafSDqALqw+DMdCMIZikJpAOEmioW6zPa6 BumDpAuJsMogPfH3RDMkeBoXSBOHXCcRbkXpTKCsPoE4ZaIB/FUCD4vSFyaAsLde9n2K1GxlemKD n0SDNp3nj+3z805Ws5DEpoix86Cg+L3v3+NpKSjN1txVtu1bid6tuNbxHtmMMd6panh7dqIH164G W0RzQXK3CApakL+bWR/qL533fbmdH/U03gNqFWRwSLPx0y7yGoJmkluA7ufnnafGrLN5iUxRAlQi 7wRFITVgaRMCuJog5JVWIhvJvbA2pNJtgsKYemiC6Ac68vUD7dDEqZCvk4YmSqFEFIPlTxMzKWMK UTztXf+aoMWOlgadQxBh1XVPWEMUVBvQ1yqsiGuK6s8sIUYxNXYSyst2DK12zS7H3i6mVeASygl6 J6jVi0tX7sHBax0A/U2TYpknAJqKhyh7iHZcIz7GtLSG3jU4qAW1HvIPGdv32OizmUNYyZ93etrv PcZydUbinLPPUBRTI4aG0bLH1GyxK6N37CxlWK2Q+M5Nq45mqKZMn/fT7FkVrcJMavEJ8hNNX/aA FGUnUHIUP4YK6cyfd25adcn1pIAo3YDonBFdXYx6EuTPOzXt112WrZZYdvF60DszDQs3Q+4gWbti tpI861j03RIDpLqn7DsxzWp1WDyC7T/26A2lyE5TP1QTgdCDFvwe0NSCmR6aWdd1dwx72SgewnIr CE9tsKpHaW6NUdvnnZXGCR5fx1BBobX3O0NhUC2h2vHYEhefIcmwMqKDRKR2WRRUJ5ldCrLHwWtm 6NH3LZUanHKFM4RqyE8nXE5s2f5oi7wz0qo0Y08gtKlYx0EzBFUXgT1S5uNBUN33VzyEmwUHu89Q FFKj7TtTaxZSgy9tIIack1/2PGcZUr/T0apLQjUpZrRHMQNVyrOAKgNG0AEgXEsG6Dl62kLv0Szx HhbROx2NrTDS0SprSRy0y6DRxJMW9bTPOxuNsYIn9wJkwzA1e+nsnYzGojRmSEQYMNTJ8zf37+bn EDhXtsuiqrSSjg4bFRtshs4tU4FQYc2+CL5PLhpbICccQtPAS/aILj7vVLQqLTxA857Bqh/QTlZn fq7POxONyzx9bzKoJaTiOcc7EQ0Lr8DWgAx4LqE8fQnNPLx8VuQziyX0HlEjZpBEbes+eMunzRMa MmmB98yJhYhdQMHxZ3Q0GkpbT31o6WXfj6HFY6gKrMbBwHm5it5Yztfxeaeh8W+dX0tosrVf72Uf RdR4ag51wtCbl1chWTHVJGrQkXcMzDsJDV8vuErnqiGDmIPlrWe6zh0uwgdUiXoXEpSK4mgB8/S1 rKwMvWs0QSx+AISIcxoUZPo2rwS4Fj7xhBz7885Bq+rna4bYQUOunPJdQ2GBmjKHOymJnzSiMvOQ dTs/rEpoht4DavTjubu2zmuKuNkMEejU1VM9QTqQeeIyAqKDf84Zqgqoh941iBfnBXZ+4zsbmqPS uz7x5+edf4bHd/paQl2YRQ+o39lnfgrllapvspS8rZqvlhgEfm2TvQfU2cieM1FynYPXYBMjXqYW FcIhk/D9SlERuLd3LoyttLXqXaMlpLRVloU1OUMPkEFCxsGw+rxTzwhZyF/BEFo6oJ942zkKqAG1 mLIIQLg4qVGsEmye3ncmLUN95zCgZqKF9MIH78pjzWySThu6h0OIDsrgF5K/cr2xEEgBn3fimXW4 YFnHFIWDJgi+UYNFwzzy5513xibHLeNzj+FvghS1zdDfqA5XyT5H6jI5uhOoDC/jN5J7OUNhkZpL aEGfxQbNEJKN3qjZzpgORHoWR9iyQogLVlHtxoEpetdghshbKOoglqeDCGVb6WWfO//zTjpjZPzr Iiv8P48W3ylnWEIofNRimk+I67xGff5bv8jMA+rssXfGGQ8h/dmsf3CwPTZklNHkVThXU2moEf2Y 6Me3H6Ti0LtGORk1WXm+arCkFUYbqvZ0vmJ0Sn/fYyQJc7Y0QVE43Tb95Rw8DfqdgafPTejgabJv NEHv4TSCRRZeG9scs902xwnQNtgcbNCfJObsVtX5CVomrWlekVUCbz/vVLPqVnxonXCgyp/CaRQP 6OVwTrzPO9UMX+L2ukcWjGijDX03WRRPo8p6ctWSrc/Rhk/RWQr94ssdOv3ONMMXxAN6Fcr1cbAp OrsWNlhQ4G3nhTp4a1hm6Ezkcj73lj36KHrTqA3NdH7mO1hdCBblqgvN+Xknmf0Kpi99iiB8Tc97 MK3wa7LGJ2WHnn2L7cWju7Bw5o3od4pZldsrJpSOshL+VTC9UfjYSbYPCGMm/2VNYLUgfgK/zXwx OuXo3wlmuCpZmCa01AYDT+3dJPU4ev2888tYOPuVbdBHK98JioJpECHOWb4dVF7nV8Y6HeQht0uc gGF5mnTOkXf3wbONDPBUkSIPCrFYW2gDoTpMsm4nwV6ndN962ahyRs/d2YcPOqUB/2LuAcHdzzu5 jIvBZ4hka4i59HlnKAqmGfXDrdF7rWV65azP5Vjp4fDWd2rZFzqRvScwg3EkiJtQ616OTtw9AxkE alOmMzmmYrrPHEgGetkIY0bFuSSWC5wf+TkZdSdCVH6gaPd5Z5b96pThEEIoDPcyn6IomgaWEImS /HUHFfUdg9fsPJpWnk78PUG+oaNlcIo42CEEG6XZwEZAylrdHDxD05iMiDGfAj7Ows87qeye0yeb YeUMyUmToM5IW3AYGHd+3kll1kV6zqFBzP+4x3QUTuNTJyyXtM3Qk/BocSdDN2zoKdsMRfXpSdX5 Pp7Bw2l0D2ujUCiEeXNPsiWmcTwk8uAy64uIaKp3Qhm+TkKkq8CcVREiW4l7L5W+zp/9eeeT2Tt+ QYBRHpm31/rOJuNdj/N5M7eAShjACNLnz6lVwwBnsm0wQ+9ksur0+y6EOAeHNOx0Vgphb7jzV8Od ho7+WeQUkc6tX6z9oDz/O5kMZwJr971rX2JnS0EtncCEGu7Qwfy8c8mwS3v/2mXCVHXPWd+pZFXO UhM0JtxVyFkptVOxy3g9s5M4hkvzvzPJ6rUwUhOofzWBkFucs1Qc1/OvtkrnGzD7DpG3QSaZ4YCL 3jXaZSyONfbtORhuaJIsm/gHf955ZCw8fKdkvBzyRXO+08hwlyUqOha1D8eWwNWmVmG3/bYqi6eI hd5ZZFiKrHfA78oHX0Jnl00lh9AFGXvwpB4Q3ByDfc8HDMyg5vPOIuNJTT3Hrdx1e0B9Qusk2vGZ oPV555AxoP4VLjawQvoFDr1zyBgkADg0TSoNROlpDODWvDG9E8vdXENhRE3eT69aSvWBxUyEQ0XX Pdr1KzNtnDiVgWPktenX/SAR4Z1CVrMx7svY0wdDBNOintf9iajfGWQ1X6cQL7+K/+kzFBaogWiY 1Wtnc96stUuukJiP4jnHO33syesrczMO3q+HzBV960oj6r414dOwLnFSkyGtrJWGFp939hjh9iQj bIKIOVheD8oD45mTiHzeyWNsANyQmpNE8R6chDZFUYUa0hngmhjb/kTSxZutYtuhywE/NF1m7+Sx 2+WAfakPNkXoiZk/dgOlPCXWaJHrI1zHXXmdwrK9ajRDhOeVeQeLqVdnpIvW5Pi8M8eY9d4+mQRA UJu6TY534hjBZ51mc8VCxpm92TqHMzXP37fttn/njbHwwjU00h1sgk5UBcJYoSz4yfF300ZMmDJ2 8eeNqTuFCd9ZY1WUWaDOaJTOwQgtSIJ50u7Cx4OrrPplfw3SscFtgqKYGtYHZz/Xbj2O6SV8ai46 5KN6WvbOGLuloUkhdA1efj2TA9ObKqMCIYgArKqm2kQ1EzuFiPB8J4zxyKS3zCanhYPlrecmI7Cq tZO3vvPF2K730hAzXmj1lpnvXRaG1AsY2OnwvF58WiCRn5i4wtg9mx54iyHUiqUp2MDBoWdtrATL OeZloEcT6VhBUYJ9HsU3LHGlkz0+I7jss4pnROFzsNrQXp1LoEL7q0URNcCKFg2xc424FCYVNkNh SI0mR+/dRRvTlbWq1zZ1T+LauIaiCnVSHKQEtvd9Q2qE62vLIb1ThmDKZg1VGdQ/c14XwGgndYj5 4B02ddvPe9ufUKFVkmZPBs7Hg359vsgqUfAQBufbCOohkhr7bLHuQK+LfV0vB51wJdHA306Cy3tQ jWgj8xab2wcvoI2GInvjHJ1/lU/4xcuMeTUazFwbjKk7MZ49iqmTUVvEkhrWbMoQkCjTxAgrHo9g wt95GUl19VJcehRTQ0HwXGDT9RollS55hry9fAYwFLdZj0Af6pStTMLCyuV2yiZwMF1qVue6h7YB iWawp2b/aVNGoEs2Zuhdg6SD4qiZZk4aNEGpgGjLP/okHT2KqVP5RngCIFXKBVb1uEYNadQx3ABc 0paDXIU9rFF28p6iq6xHNWoJ63SLG/vVs4RdA8gunKDRStqAzZ/EFYqNAzkr/PN8CZGqhQ/5C1kF OwgOCB+J1TzfQu9DuX0reD4gIM7xNUWUCIPhnc9RTEHcNEuRz9UsFM0iATrNYsT6DbiMguoeBdVK zJrIve2L3AsHmZVAUTxztCm5j6Aa1/WJFgXau8IMko/tUVBNNOiA25EPfp21aSzxMvF4xNH0iIjJ PZssycsfPaIholAxYGNumVl1vYFKrw4FRI2USG6zKKiWqVxfpGBysBlaDT4pcDcghXWMSaf5hBy5 IS/s+0u5Yutdg27i5P6qPLFhvyEzbzAgBs/tfo58PB7cZjP92mbjh+qtNkFhSD1g2ERzKGJg03IT R3LANEFJGFgsoZCKKG3dot1WrnNTp+UuA+AzQSdqzrBRRu8GHBkI28m5SecQYhx8RnDdy/x7q4K2 rd10Ut85d1MhHwd1FFNjNr4IZVDQTvuuoLBOLYvp2akSf3L7VeWT2k6UKKEmgD5Yhe38PX/BqKsU GWr/krGG7POU4d7KCe5rVEEbcG+kKHr/An3Q5LJHdWp1omvilHJQ4oqawDS5xYnHg5O6fxdhKb6y m8fU/T2mlich5JetPEQ/RZaHThDAHisS10JYLpdQFFPvpVRC6Jj0nEIJrlhnnyVizxr+U+USAgYL DZlJNfQpNfSid42uMkqldNbzOQg3BENIBjPn9p14PKKzfoPzpFaU7zEdgahRm4EO6pC15YIzt2of FUdYlnQlIgAtoQjzoatsZMNSS02aewz+2BVsHlWpdydu6OT6EME/R+pazyGECiI+I0KeMdugGZMG qw41Io4BlmobjwcraP7Gd6JGki+yqochdUNa1lvxY7oUK6AtqVeTq4B3KwwXoyr1ylpCNPjuqd5T 6IQ956g2lYqBu5XOAwtE7A7ISS5sgrHhSocnfEiQuFLCucjHWIaAPIbOcm8Grk8Nj0drqH0dQznR XKf7QT2iiBqIGFIGVR5KctsVfvGSFUCPVEQ9ojL1pAHhTHv74K2O8/1iHRFoviYUUCVJjBOgQNiq 9MdaJ+tdgxIjA6fCmqQGLaKK+pUZfmU8/k9eNG9AVAjSrQ6NKKQGWHqiGiUM7KCpKaOhIqHvQdjH sH7ZiMvU0ofvwwenBEFf8TxQeQ5NGKXzHAIkEyo6PxB78LSMhjEjpiYSU1XHHRQMTZDMTK9i4fGo J12+y0MMGdazhkIcdYKKx1rCdZ70N1l5qFXWIlg/62BtaYaiOnVmVob9pIEBB4AxGRlBKaTeNXzX co3rRFYD40/xCrvsYdKKzwgQnmQK032BQ9WJAh4nA82fk4F3PB5RgvwgYto6mJX5ZT9C3EencaxF hica8k12Mrrk5aGKP1ibLAqol9LWCz6rNkGM5U7UUzRBrESxwCip2M227AXGnNcY+Iw/YQ2NQREH ZfYTnkNkPZ/jDI9Hx9DdZJhNorf5xWmGooAaschJc8wk7vyUr/wADSFEsM/uMzCigHpKEybR85uD B9Soj6ZiHjHnUszquELMorJ/1ei8bT5oVS8bnUOJi4cdD1hq/JDHg7bMid0Qz0AVBc9Hi8hDat58 LG8mv8xGGFLjpIaruGHx+3LO1JpGpIKpRzf42YhC6mV9e0E804V49gKQRqfVmEBEk0W2zKo8ukbY mF6mJst+hDhqqnydo637YHdZb+b2fcIGPP6/YGPImZoXGzNCHDXcK+uu3XrSEuuSvsfFwA68jCYo wlGXLUEhoqvGg67qJ6Nc57LpRDAupAqS72Sfakgz5nbLCPEcscIHOVOLxxAHowRBBkLaAn3g8SAe WuVrgtid2rclPaIqNVzNzuFjpN+xqGekMn53NscSEpITFEXUSspGI7bKJihzkw0eY4lS5/Ps1y6F ODaigSJEQHa9Bs+vx2dE0ZDK+OQ2cNAxVFBS5wo4wScej3plt/JhWqgnwhx3CYUh9eK8mODJoFmi YWMIdZcKCk4KzVAcUs9XKH4DVHqhjCY/Ly+OIBUhaPVcvPMizbfWUBhTI3/r8GPlgIYksTHzpL5y 8oCJFJ4PMvv6vYiAnj+z61nHCGNq8OzPFtHSmVUAfDbL6AnO4hAAn8rsR1ym5g2lcKg94RBK+Oms 0iEt4bPFFgFWE1UpZCTAR3Wv5G/p6IRl6ibzhZR8cLbCXEJ5njsTjwczNHyG8G9RKmr5dstmWKSu aHCQh/sfpLCkwiG1BxDRZ2jzskZeNkNtvCQINbfZzA+E8SS9SF67hIamiL8wtETYMlH+r+Mi0Jh0 zBD4IQuPRDQsBwupAY6h0tA5LvB4MEFXhwlLCP4P6NT6BEURNfhkJ9Og0wumdd/aR0LnXYnrRHDN tGyGzMQtEQveZO0hK/SMJTJWJ3ezpkkENRlBlMtHAaTeEixKqviMv6r4hRYyGjRByPeEVDixPx7/ 5znEcAjR5y5+Ds0oooaN9CyqKsLvbDZ3qbTIiC3uOe2unzEzUeC8lXzw2sdZMieRIckersCFlouA Q53Qkt7FjWw60VupQzDDKvWUnjntFjhY8aP0WmQavCoejyB64ysaAhIb16zPUBRSn28A7p3F6viU rzP3rj1dCG5D204z9HeNGsA5H251CAnHpiNFO/l8W1QEKSRIo3VRKCWkEjVqPPiMqIzPqkcxtpML mZ6orRJZiLAz4/Ggaf9oMnG9QJEuXUGUGYXUmPspcQ6EQ3t6VtbPH+akqZHdaGGGNWpVPXqvPtxO x9lzOzGz79AOgL0tdOFxUKOiQAMtSzpwX+Azgl2WpNEpgIwMzVhgBOCD4NazlvB40E68SQczOPEh 7xIKA2pwpsqYhowp1V1NILPm8WKl3x4nKIJ9GO9Xkh9m6qOAeo6KtcHAMJ9Aem62glaSUfUPfvB4 kTjYGQXUVSkHhERtMPwZRPF5DJ1thMcj9u+31gcS3UY9cE1QBPtQNCTnzsFoyB0ppweRiPeo09n5 e6K01dobyYcnoD4xwxyWlA2IdHOPydbsLLj+UIIotjijgDoRmVekP11mt7z+fN5sSywfXGQh7GO0 74yD/krrbrGQlzgIy6vV8vqxPFyEdqaFix3FQB1CoWoe7TmGXHLH45LbV4JeqXAxoODtbhOEeWko OSERdyOqrXeNGEFyWZTe673pEZ10w5pvPh5hq3yCmqoAQFNA8MWmKMJ9CNXQDDU9YTRm8LNEABxR DSgp2xRFEbVMqTvg4jZ4n2OBsUBvNdhk49dSCR8F/IrazJrXIXdQt2pGAXVLBAinPnxQzkGZQk1R 73g8Sut9inhGAkxRxiO8GDITcdfn6sxE6p2bDP7Vadite6tsRkXqwbLZVOoxn440ZcVxn5E11c9d lkkyP8cdIv5z/jeC7KVLSQf4GcrnaS4nwVUcrNkK/gz7HCeQwONRuPhNsadcxKNataJ4GsLHsFc0 sRj5jnCXtW3VEIDkm+2yFYE+BhVO+uBm4+C9xPMWvXAxQ5OmovmKXdZ1AkCY9gkXKce9QtCHjqEm gmLzXiJccQq39bmqKh6PpD7SV8JBM6d5IZ4rrFCDZDxd7PWkKWYfqPaWlRfTcn3BFYI+pJ632x2c 89Lh6GH06LrG7EXd6MGSGW6fp0KNhY7PiAofbG61fgetoDLOX8FY6BwGeDxCn92clcsNIUG/sl4r RFJXlIboxELURzUdd6A+6jXEzS4bvELUx2LlQxKwg5jxH9Fry0kuO0RtkdejWpYk9ZnJ2iyoCN5m K4QT8BlR7YxSTJNRjMR8dQqdC2laOD3w+L80KgU1r3C6cNzQisLp3jEty/kcjVwk1c6aAxpOCl58 j4U4akWJY00f/KpHljeRVGCCoCE8zQoO/HE5ml3xPGLPVsxMJGuqSR23Obe1zt0kUXl+38bj/8sh RMl93Lg2QVE0DVImEPjVW4l1eCtxbsfid8AjeEqvmJeojMxEhB+McN4MEk327Oyszew2pU0Iav1h 1UP32B72rkHtjOQhKiDaoKt+ppoESTxZJB6P7rHvaJoQ2EfSa0XRdIL73aDZHoLFQUKkLDjqvMVF YqA5QX/LfDQJV3FwZuKcOIIo87FAY8jiu6CUeg5z6C9ejylASfWyESZGMrCKFodTE8cAspMHy+gD jweQj+UpK/4t2AcV285mKAqnEYgATDWsgP/Yb8DA0Qr4GfUb7bEwnGblrEu8vD/i5f1E0xloTnGm djPZL3qkg9/xI9HtoSWU9a7BBHXBpxUtFsLGGRePQsdH5nmfFTET+y9UFS040sUIryicRh17Mvxl 4Qx2BEaZqomWZZT5QHNGSyhEUS9rsSYfbAmdtOBkl4sE+/NnjFQJfTjZJ7ibzN+uyjK0cviuf0np nH+3fbA9tlOZVhfiK77vsZW+JwjHSkMKYhMU8xI3eVLW4SjFu2QN3Us7pXN3ZcEVl6fZt1hUp+Tg hCDU7GfZEqwqZaDAAkkvzBMIQLRJUqe1pNX0soGzxFbRlRpgHJTTn+ObYvS0P8LjUbf+OyOj51dd 9xSKeYlQgWXhnKFQd15ivc3FE6FUlyBYsRa1poZbjcPN6ftcvNhOdLcX4J2kTCGHGviIjH6ex0Jj 6GX/agKlQUkvDpqhks2w+WwIPh6tIT+nZZqIr6h7L3pH0TTxX6u4lPmmKDjX0MkQhwM+QKCRWHdU nR46hUq+g68hugEW2Umtc60JZo72MZSOz8SUJ2dldXpH0TQ1mSHrmH1QZREHm5ZQGnw8YrvcwplC Zz7ga2i/h9MQp4RnyzA08OTlZ4wp6qoSeAbTLJuhv8WoT7S2ffDS4uxgy4AS0KAACGFkycKdrwaX GKyo/SJr9q5BXYhteskzaDBc1bm2x1XJ3e/hNPCn32L4YB8XrA+boBBDDb5U3dYQAwTQo+m2rnrn OCeoTVAcTStYFFNhPEyFk4bi1qFGA7wxQSXG2Qx281kF4Ln7HiuUM8FnBBNEKMwUs5WDEabON1hM ryrj8UjR65eMMJtSy2/6HbISZ2OI6Ipe21lkUOSvVpxuwFFqgmK8B4PFSfs/Dh4LAeNH7xqgX8vJ idkrSrjgG+QP5743PYkR+Iw/xSnb3j7oIgOohEDPdsJpPB4pL35jO5Gxln3x0zvETy+QE9Jwq81l B/Y5ESlmaDYuLta9Q1Ki4MFVxaGav0wkE77tqWg6SZ4B9lGIelFxgbmRH0Ks3u+/haizsJ35Yjth oz4FED85PR4P8rH0jcsrJMxUz8d2KJpHCD4VeEkGYv2clgEp5+5lszYNlrdDsEeXFWmqPjihjEbJ 2EtI6TuU61k2E7EHUc189F8xr/iMIBSCVNfZmjX5oAmChZd5KqSFx/+lNYT9D0/dtm4bekdgDwBy oSDsGT2RzxJCoUSvsaXuFgtF8xQrFoHz3LAQqLwT6BaaVGEFAQHJMyhTgRoFIUibexe6d71rJFdF ioIEz+YjeLbVoLIe4o5lqH9FQpA9yJdOtkOZj0z3qO5aQ91PZoDOvUFW+rSSx/4b7DElLsjhUhIb 5Dq5xQDK661IOR8laFQuqZQr+DRNd/EZQaiYxR2XlM52dPDJ9ZpEPqAdj8eDbGN+J6yQEq/lSubt sDKdqH4y/QzKxoYm1O1SpViRQ3djx8E0IWficIwvDscJxlCrZFkRRMQxyJ2G/8QP3X5zunQ7Gunh M4IzaCmV1wT1qzWUUXVi1SwtPv4vkgst1n/O3YM+1J2iKJpGh2GeNEBX1jQ0J3xsT6ZsdLsNQoBN UYT1mFXQ8nUH32TnSDr5XCZgKK09l5wleXUhxULj2yMh9jd2KPJRpF2xlg/W35i1VnmQp4HHI024 +nVMI/zlS2iCKBb4/qkTtNbGJitUPiqFXtl4wvwC1VBS7tdaO8U61ERKCUbNQVN0MtQO9PSg+U3O J6ra4Ep1sMiUcGDDOWKIikz4lPdJWkxXm6qvDboQ2eIaM/X4ORt88hf8i6nAIkAXf/JOUyiddwKZ k9ZkgTtmgnorER8nEyMMJKNbjx6TTVMYUysva2akdHmJsIUYvRRqfaDfATj+j9SsfgatdQSsVxF/ bHvdoGE/rkDut04uIMmNUXE7k8fng8SjXcUYiVms715ZTqHJyyT8LHmnY16v39JNnQCmmt0AevhF AZK6yzd6Tx+eSv6EqcmSFOzelakHWWsd59Om9K2pmld72whcxeqZ+msFHTgeRcBSD8kJQzyMvyCA eV4WsHTNyZ669goprFWjTETPdOE8dbMxx5flJrVO2zULSqFHYhF804Q6L2cBEne1QDSDhlMIgFGE PXc1Gi3wKctPhgZrJHvdCMnI+VHrvjyte+TCIk9lWCKnsF79q6C/iVKe/c5SFGKj3jaHivfIZ6GH rpOJgHD8lyfBqq6MklNUsVai/1KQLa1s4OeSPJVgHkBPLAgxwpmRaagXizIFiPApQdeDq8jsgTlo yyVQOsm533BZSCEE5Io08UA71wnQj8+WC8vWnSW11gyLtmh+U4gjykai2gXBVNU0xXVrQ6FNH/xk GkRsJG45pPqLKLRzHE7TaWKr2pK17a8b4fXYFWqcJg5WMjoXchcTL20+/z5NNXv/jFVusJVGut5K KRQA4XTSueA/KB/VZpVZgHGzfjyp/yx+fkfh9mRFukk7joNdc4t+uEv+gGl3OW5u0tEmkMY5pStd CTifPiUIl8C0g8Ng9sFAe30tFdbOycrnI0rn/j6YJiL0K38qU8PXUzdlksyW9YiK68jURdES0c1Q XrLjOy5g80RqbOVz8C0HvdiZVZ/FUsKk0Oit/EADDNosHi+B8qEPCbKSLVa56ccNQ8ycrBPU1x8W XBqf/1ejkdEVkTrrWUph2A2P0p7HNkAIg1nLbJccBKHOeT2oUqQEUqn92qvaIPVpg/RZQH0Rj6Gf ratoIQOXy4ZsyzcSMHPkFGpVU2UJnuM+aCVBipDBB3Rr+Hyw3+o3exqtR4oJ+iSFZexJBZBqqJla r9vbTFYTAJXBy9g5hXVsslwgI+mDTxII06tzkiA8DC1UQmQZTHZAVZfpeZdM8is+JCghFRFh5ES+ sxviFXTsmJ0MBpWhA8wDnGE5BR3h/XgGhtTFgfVOn0hUsgcFbSW6QxiLMlzoX5lpYAgMIXwamuA+ eHpy7qEMED1rPOhgJ7GtwXMFFEskc/lO0kg6B8aKzqHOsvHgoPwEBg+sOkCAh89HOdy3JHNjmHZ5 +Dl0VmxUKmheaZvLbfGQet0yAPyhbZIicEhKBkOvPlgdABAsVfKZ5rY0iTZui7yoTrv2B8SX7G3/ 4i+afVXO7r9wXn9N8X7mqHw+0oz1lcSQARH/I9WYY3PFihKSLPCw8XKrlueemyZZnotWhvLcHLor Lp40J+5IPngt4CzTPRRRwmR6Tuk3sdUGLyoAzBwKWpq97V9tIzTENQwd3Gi2LFIQ4Z7K56Pm7HcO V2j5lp+VFBW1kZqfOMmUziE9PLziNngmUcsso6WmSQrDbp5JK1F7mIOtpLOMRi3cQogFZlPBoFM2 GnSHPm9Vm0cxP+QvRctz/gwfVFJKoNASJHLCMD4ftfi/iZ40UUbo4JMUqu3R7KSObpV/MfMo3z6Y 1qGoNMcsvt1CIiPr1bAM8sFX0jpZSGpiEAEAvJkSZ1baEPZSltlyExm459BkcU05Uy05UxH3lORt Vi0GgFNn6LJYrvaFuaMgL7nKnzmwWUSwjEiJKn04lXZj97oRONtcx4m5hq2lMOqmKuGo6Q5+v0E7 Y5Nq0QAX2ZpJGO8x3PkB5MJPJSrK5cBo0e24y2LYwIFrqZzDtEkBpSIICJ0WU/quTwJFTTSzT1LI ZzxvPNHPFwmEvQtCjlqlJhl5RPjrLJwMrRYXVWaqAKIcPDUBhXFX6hLOs4mTgm5oXkJlBrOBSNoJ e8VeN2I5cJayoPzZbeBWhdyhWQ5sPh+c3eU7NWnUL/hyDo6C7hP6gZTPXttGxjsMmNUL9bFZgWsM mTVLkTmMRPWbpbvjtvwbjF6h3zTUSzopHD2qgNf6achz6UzpCgbV3vavC25gp9lgrYDWJfIAOCWf /5eUCqOUBh+6dpE1OTBczHQFP/dRMSOvPKe7e/TtraWTs273NQ0cF68HtUFFx7zQEfT9F+4CpbnQ dWJlCc2PjgiHIYKnuXXY6wbS8YLRdrlYdOMtQecZvteMA1bm8/+S/c46DnA0PsdSCMWuIBU1ozmM zVCWCRxdfrmszqK63qax7SIjyj7FsZ4PM+1kP/VE26zmDmAIchZEq9G2fokwb223lextIy1Q9v7z Hj5oksCe7AJYz8bng9yk9O8stzHWv5MUOi/Ceg4+LoKNQDHPuWkGj2SRciLG4ySF1ouLPbf/pu+1 CX+YKheLUVcjpAa5CTpwIBZ9JXDCseXAe1GarCgokZwGXXvdcAsSalneyVhJgfnitwwflx0rCjPf SYp1+HAq1VENytaGV98q4ZXuFTN9kkJM9mCcuJ/BO9zrLB9AbLmSgD2mQDoqJ+A4tp9WLu9hyY06 dF/MRQa56w6qKkFSiFfq+Qomn48UL+v3qUTBgH71rXNswMg2aq5aQJD5MH2ediJy1ZoAJCle7w4c GLGUKG2dGH5z8KWUAKXN5lEJH74ij0pmuGhmILk1yB87s/yUv1SeOouQIMuiDM2/7MRZk+j8c5hu /oIgqLzeXks+8ecQnVcrLAc2jPBcntR3Ujfg7K3ynEvDKnGr5jZ8NcUy13IZTMuHy6Ip56CmDz3E Yqh6jWUEwy806EBBSTfVVbwUGzEWMtJhZ8JhOHhmrZVl8pr1lpEGrycoZEFgo9crIZJDK0Z0XVAe MW+U9HS8yzbdWRwA2x3gAy9GYAsUe9O7nMMF2AJjM6VvcMJNSG+wXQkUFs6QuXzPFfh4622DSWJz icgmG5SgIINiwa+ecIzP/4ubXiwQKPspv4VujGBVw5ageha3XWUWcBIHloAqY5MUIkuGmtkj+3Ar S6CiJ4VL80xRoYF1xqxWCHtC58ac4qTtmEM/Rh1MlQm/Bl1xndXmHwIKG5+P6CLfHSZ8cec6uTFl 6MgItCmkQUV8OPshOyVrpGmlgbOk0p2kUJ2vy8KqNR8ueAIKZORgnshpwvyLvahNTh8ykeGtEzP1 zKElI6klRMz5YAgu9MBYfjvXHZ+PiiZ+xZk28SQX8M5SFHmjjgD23XAQ15qOMGnlQZhUr78Ftozu JDPyXD54/S03YKAmZwnWbomQ7oJCMXqZqET5LC0aDOfQl3F01SHb8MGYWZDB5G4po/H5IBC4NHVM EqnNpT7RUhR4o0p4jm4DtZ3pmsVRJqxHSbE44cRjphs4M2ZCNWHJSBY6By8ITELZO0tL5z/sImdG Fr7kEz1uSLmXvW1wwy2ivzq3HQfjHp34VHlq434LJUXmtxFIhsRD75fAlkN3RqK5RIYn0KQ5Vvvc RMlrSwPCezZLYeDNpVQF56oPnOtctScKqlVeIED+1y5TIvQdwUrZ6A5MtZdqt7cNlhJP+UbGkgYl cef61O5r0AfPoUHjeApwDNMHj6V7wYUWjWgfIKgU9XrSNtlsULsR2s5jzwUXezSyvwTXUx9u1aSg NDFZNZmgIzEpBhZUMvOPv56g2zn0aMzk8kMfxgdN0tm3W0xI1rsDk0YE3t9NAfpDziuckUOTRpZy 0zCc9iShSvcbyAx2KK1Vh89RFHivchXmfwnN9woB8kLDNoiLnJy0qSkAWwsUuNt4oKVqVAY2jcKv ojvc76DKEhqscqdqWS8ZCWXNr0OJYMWvICA2aswoum1rKkF90HkkOZXysCSMiZRDp0ahJ2sVHKc+ cXeZUEgprAbMjChgCLUEshajC2KQDNm1/XUjJgnzks1zjIP6S+scnGrCnfuUz0ezdLnrLEON39st xJlQPXRsq+Vm2aAOVdodg5tS9tZJbNdInDtPUBt8liBMxp4/dLArKiisv6Gf2FH428BdK1RCa0Nv GzGPWStJ7Q6GWRrw8/khjlsvGVQDbpFSziDnm5uXvJ7/8GuEyogSf9jLXCOw3paJipZkJZOkXxQR /JW7WSZ3JfnPvj1fFiQfJVXTYUKrSTqzCry6AHBWWMrLXjfq51KKJa3hgwWU5/5kNnRWxuTzUaa7 vzYcIMAN3nQ+S1HUDWQAFI+kEg5IixUpz74wME4+KYMbqOTQt1EE5LpZX+LgnJJCZCCRlBOsN2RM 6Mw0yM4jcSabXKVcdv74KQF+gjS4XlgP6G4YhZuhz6FCP4omgXWjeoUWBnDd4KwkpNKmKYq7AUA7 +21mKy21RzUcKsQqLbVN3z3kuYF7IwRhmJyYw3B+dtw5keFbwO4JTHk6cEfAvyFHQQg5xqUhj9Xt bf+ksjOr0GDcm1En6zQQ1uDzQXJysTiiMvHnG3YH/o2okwOx1IxGCvmRZMWAMZ1ncv7KdddSHHYT FDDIDeBw1xKU9EcXNmAmQPmpm0UhBvT1cGH4jkvLXjfYcczd0qJvBgedS7MwOGV20vl8FFL+asTh q+n12XFhwfusl5PdQtjzP8h4F49plkeZ05Jsu2FybUspjLt3IXSidx+8GLB2gxohr7i+KdRCkOCZ lzEpsE7SkcqUvdnrRiBBBt5ZcofZSf9nUS2tJYSqfD6qLF2OAB/Mg+Xfm5+ERo7Q+J1GAwCldJPW xvsZWsOFl9z5U03jJ4dOjn0pNROt/9GwOQEJOPp8lzbhWdjZPikoJ05qN/T8RJVU+cmBlSPaok0x d/bBYIKpbEZP9QQTfD4IBW7VRJXKTnlaZ7zl0M0R3w8yXCcLtOzGM1OwATo359vXDewcoURBUb/W kw+2nM5tCeOQKl9iCLDKPQMcI9pYGcBdYuvD3vbPgGnV5oPSuFnFciCVls9He85nSTkfTsunFRca OuJjodY4rQDHuI65bpNqHXNdiu1yLYWOjotqbFN1OA5WNgE3sEMRklkcZBEocFd5JaCLTZ6wsrih gCmwdHSN2izHUw5WgEM8KtrS0PPBJXdlEqo4KpQSv3riObR1hDbzSeWu0VO5NumLYY/QJjhYbJr+ oUaSSMEd6VJwe8WJNBGaSa+lS0qy4BCkPysRp8afVAku9HWsSap1mVK+qN9VdbEnkEv0oDm/mL/g f6EMwNFVME+bpSj6rvRPdWLgiTAvyXRvCywBNxmOE4ytHbvEWshV4OC9uEWz1EorI5Tzk8ByACdU Nlrrl8dKtrf9SzV7TLU4pzsXtpGLsiLoA/P5IBa43o6Sn0ATvT+dgcDdUeL0Y8qPj8244taFeVpM DsiDKwLk0N5xNEkC0GaWw83k0CImqKMh9p6lVDdRrQAJkkBqKn9t29v+xaugP5cNVl7SrwPOBlD4 0ODxWUriXQIoWJ/DOyRTqq9q5ckxHlpFnt78XlgDFgsEBo++lCAk7sNXxATCYJehUYboK11CSb88 5xdyoYuBW/a2AZGJaBPo1vlgaMq9jeu1U+XzQTk33f1G1doCcNmDXg5MHlXOPX9SV9NkSjdGcoip uRxiHq7UlgOXR89R0EPywZtMuN+7MDnnk2CsCj+Rjl4Tcosfg/+Lo0Otthz4POL47qKbDh+sKAC4 CZfHrHr+X24QFGvrhVP17LhQ86/CBGKYIzhuf4PidNTerAgHwQufpghvUg26pFpcvfIAFOdDyZ4I r3F+lNKLJgfH1ejXHFxyZDkwe0SXiSmcLGcvxf4sfAB9BahExzJwe0Rv4FYF2EGpQDzfgu7nNVxq koSFyIQpki0ou9haWi5UsiaTdxzer8FSA3CJJf5cqw8+RRtlNwqdnAUG+6IsDg/yEgiSI6YzMf9F U97XUKm5jstZ4skHTRC4dhJAPrfy5zVQaqh+qByw7NiWtWblf3O+lmB20Eo9Z3auBlka3XVumsAB mI2zsByyFMyOtBCHVsf40gGCbG0t0yomZVfBloUUwULPOEh8eoSAf50edE1YL2Gup8EiSWgCEUZZ UMV9nZ6nprQEnsDpXwmixOy8hkcN5xBkxWcy97m6xxUYp5mlQAF925X2Ghw1jyEh1u6DrZ1z6Xd0 ZyV9fC52M2YBkgsqdz9gNDnmjaDu19Co4QihIpeUNTlo7Zx/K87JucLb5zUuwtRKOMSIqhU2K4Pa 2Zic16ioSUr4hKpd2iTfTiJzmdwEEHc+Oa8xUYMUIiPHLYuD/VgcoKt5vnP5zp2zfiZqT3B/VdSS 2mMILnDpa0R0PoI69qNQHOsM4NJWHT0n5WSjsa+eP68Bkf2hmh0+lSjCaNP1eY2GzkMwyjm7ad5m W7LbHXSYdjuS27K011ioyUIYxgZ1+WAXGFLZc2lNXfMnmqkkNidER62DNEQh4ykuLlPZ11AI544A SaVkHyzhX5S+R8fjRNWvgRCeli8lzh1ht9aPwEScntc4qIkiPTurYaTeuCk4QuqpVA2o2Wo6ka9R UFMN9JXNfTKOcz1NtI4BuYXCO9hJlLrvrLBIbn5JoY1uWMH0LAmPLUPe+u3eJ7IOnqsnSf68hkBY PCZLL9Wy880ADOWL5zX+wVlORYk5rCnCIqFLIPo62ovFAno/RLPTlGcQ9DBmvRXaAcWcDPAD1NlQ V2NbIFdCIs5MITDy3FW2BtHWYnt2CYe0RAHl7THdPOSk+Z/XwIen1vo+d1AEoI0iJ+c16mkqWwBk 68YY0ztGQO270jHB1ZLx/fNQ7isnH3xyGBkw4zmh4YKmMsrXm1c/umSZzBjTh6Qm0mvIgy9AJ04W djQ7dhTrUB2xdoKmz2vA0yR8p9lhCQCSCnX6qfxabGxqm0P5wZhIq0w/d0Y3jDua/M5pey01YlfL Zq5I7qdcuR9aF015fTfK6iPrOYEzjVoLJr3kB8XWKMsWzM4CYgJOo9sHFdD2WEli/ef6+7yWGXky fm+szgNy+9p5LTFiuS758qj7ikrgZfyp2yipluZyUdGpLEwtTNRt8Kb1udMREHJ2YNddydZe5HMB T5tl+iXsGo2LXsuL+AZ4lVc5O9VLHAVBTpxI1oRei4tNzaWvY+dEW1RasGAwipUhsXe+SBNqgYSE lRY77XNEiKSfkHH9ovmRmtaS9cx69J97x/tvNqwXPL4BOcRdlvNJec6Bsy9p9GytrHcN9taQkk25 gzUZS00EQDaCaKJwec38NUHsDo90JygKl6HlCYWrbGdP7Z5MnDjHFevWFYt6Z7C1hysin8t6TXk6 zBYn6qQEP25g/qZYtfAAJfooN+/DonrNl43CHtIfeHBoUFoKPySdzbny8ShiHj5D+P7WSQdap3yv gDvR3QVp0cRkkJXXmf1ml72mHFWIihcUK5iirVtr7DvYGqo4vWAiSHQ/Nh1xEXJ2QmmOiteWUShz j6LmTmtnmsnZ4Nj+nWmSjkLB55291mTI8uQUFdKik4wjtaGiE/q80yy8KtnL39NhIVUlxkrt1X1h IcER3YsWDxMqDraGYAtF3CwvsBOCynol49CuSOW+dSGn8NjvobO07iuMyroPlyRCKV24saHJER1D 6zux6HwPkiBVdwqWUIcpz6QjNGbIhJEALmrbtOmRlDafoffgOfP3dMhiZh80Q2hZps2WO1YNnDHk HA/ACuSLcAkgB9cmU1kjPIYkkb2KD1ZtrfBYwsdB9fCdtYY9mu8moxEoEcY4gG2KovgZx/EJToz3 eH5afhD1Ps3Gcdd1O6/vATSyL2ppLVZ+ODgqFATZQroj+EDwK2AowKx0gG0yH0cVmvK8c9awk3kA /Zfm2El+urBMZ+3WzztlrT2yfktySACP9r7vOfQeQwPHl9i29wY+ac5SQOBlKpXsdIHqURAtXb8J qQQbvPADU3EoBRHPD4tdxiAAiPwQfEc7eHNxFPkxiqKb6DNrVx+MPrOGnEAhtPB5p6vxoP91UsPV tqVyL7MokAZT4URzDi1O0hGfUj5cXhmjAAjXUBRJS8J3qOs6+uPshPndJJlAleXMTGGelqn1kdDn Fbta8gd762Xfp2gQJnOO+uaDHUSJ0oNYDn1+3slq9o5fWSqVcvvwPOydq4al25mIGZdodgIsuc0g T2CQolSHt+6jcHqoi7h434v4rkU0AU9f1h6Dw9xM5g1+DhyExEXYxa+Wxns47d5XPeuozveozgDK qq4D2Zoont4pf11mNLocz20fBdQd9UOWOSUknrI3fehMYH676H9qgsKImkDhibzTBr/tO0rz3fzT aA8tPG3h6Xw+cz0stTn1rsEEUWJ9N15pHHQOnVNf/mmVwIYopLYesU0QOUXSPmQFMQqpQawBSlMd Q8Rx5W6yfo2L2D9nCTEMqSXtR/V9Dd6sh+uiULGgPiDjoHg4Sz9kYDG6MRmtoXcNDmqiiKszHRxy TSQ3Q+pz5efPOz+tPWR+TRDiVJoa2wRFITUMjoBKv+n8lc5Apmkhdbme1u/stCZ0O2hpPftglbKF vmFRxgrjDQiYIecACK0T3jaKn0KFiMjPOzutuXc8fIp8UFJ2psj8Hs5p8Xknp/Eqa1/hEHW6oIVl MxRVoTEjs7VWrBo0XGSs7bKyU0Cgi64Zeo+oUedTpMhGIAefoZPFn/tRun6p5wF615khmIp03HiZ VFDrGdLw4Z2Y1iQRhlhaS+kKiTfIc2mT5do+77Q0TvB33lrADoDOns1QFFJjibsCK4Ua2/Z6GdlM 8iNWKfqcQu+cNEvvzvFTJDBa2pPXQ3A7gbsIDPG5wrBV0Co+r9sJlxNZtj/KIu+UNHwI3QyXlFiX FPqJRt/Z5MVS5uP/yuzx2EDvtbc7Q1FIvYn67KZtcFLYkhxmXbv78kxKciGkfuej4evNBtefPtga OhE0Mhk0d09Whn4bRQ83CAowbqHX34WgsWX3zke7jbDcV/ZBu2ygrUl0+Ujz805Haw/GerE1D5WQ RoKgZiiKqOvGvCxzZx47F29n9NEdiN763WVRSbrLvnGTnM7B64odriBdUEZU5/sQGY0ez+f3QmrY C/bF3jUIhqj1gyXtg2ofZ+VsQYdPFv55p6JxmaevJQTnzZqK563vTDQeQxDOLDYXOCeciUbhTOWt Fc0ELaH3gBr+PpygJefmNa7U+pgbsjEkokHqFdU0LCFU2QH9hZTeeqpD9rJBbZEHdes05uFg4Dzo ksoIJO3POw8Nf2v5zlunuWX6DEUBNTDYczBFIAA99eqkGGnV0DQESoRaQu8BNQQIMTWrS5Wmf6nS nEAOjsq869c5c6R6WmkHnBotS25SVobeNZogNpsJcBnEuMux+UxLkhgYfJI+7xy0Jo+dJyvDUq6p 3Ggoqk4j6DpzW6fXPhwlDGE0q5/tUh0j9M5As9Lx2TOz3sHj6XkCogotbMzQOTwmV1vFP6Hxx5i3 fMbL6fNOQGuut1a3fJs98cugKjcJQO3cP+/8M5Y+vm8yKpjAFtEmKIqnkTeeCep+Ttf9NFV5ZJMz VJeVPt7JZ6wPsNe8SPqUpr3F02cJVYomglYFHL3p1sPyBbon4gFtZa1V7xosIeZiZac7aIISW3E4 es83+XmnnuFKkqn7mQ/eIoXfWfZj6J15hiXESqgDFYHx9JSszHptVdIwxMI78eyWYLGbfLDiEHKL k4HQ3KmfzZCTUjI0cwpSt9aeYAi39+edeIb+lth5Nd3hgl+t8pFH/rzzzlhG99YqpbSQ2Mx2JygK qEGLAJDDltBMjlY8YcLw1uogpZATFGM6TrKaib23wSYIFWryg9H53NCxouQR6AyQIzwZB77zbiSY oneNYAvaY//fuqjASUIMqROvvXPO8Kfmu8cYPCOWRpRpMxQF1A1GoJU5Hyme24Xo2tzuibGH5AzO JnunnGEDUESdN5YN3uY4q+bsMfZXT9IKH3mWSEhlovvlQ17UKfROOGtSEqjIpbcPlrQi1lK1p/MV o2P6V5sD1wETNE1QGE9vmoZMF+xftphyRVPL0NMtb6NTv7PNmks+7sJbioMtoXHOnQadEFnwwdxL DRFg8OmKN6/CKhPCzzvZjBAAns+LKVmFoFoVuAOqfew8oWjweSebMTJOXzNED0AsPZuhMJ5mE7rb DKHLYbcXcoVmM8QmNIHT71SzJnf1jhMm+2AzdE6LnVgUA9/8HElyyFq8VoDRuFUPBJz8hGB+5A6W WH3lYKe0dKkJ95mfd5bZr2BafbINjcC7gN6DaTXXIdDnuPJ1G4knaZicHtiieJ/1nWNmmQAgU7yj ODi+46Rj0KzmNXbiO+irCeLZhL6HYpFZYnQq0b8zzHCIJMWIZChwMOhUgXQ7i4W9ft4JZu3RClvU eYRylunNcIKiYBrJ0GzdDb9b78Vzeoe9QiUexwIy1nd22e20TqGn5i/0FA3AWTcbJDsJ4oGEsfFL xeZ04lTfetlghohfWIkAPA46pddeSRy8NfmOUau1/ZohAJTWnaEomIafKgJev+drspz+LHsDxlBS 1WAe79Qy3vNqINrAJTSFZJiQCpus3xdsOea2CQKljQxgVXu6NlnSywatVgaJWV674CsJ8Ay3hTqk M7NOsPjOLGPt9vuYRhJKPTObojCahu/ClDPP+elM6vBgUf3XM+8TmCeborA6zWh6VfLKVr28som2 z8nkeUyj+1ypoIbga6HLmqGqf+v3qPB93lllhtSiuAyxZqh6EdYMn0uZ+oCoxueDbbY9WsQtK6bM uBDFKJwGnxmaFJaR1bUdSFVpDqxtVpcVF98ZZXh7ls5mVxOoP00gNHogMKsZgtAFuyAJoXPHKs8l P4uIUKp3Qhm+ThqoNYRRNlj59Zy6MlA7f/bnnU/G0sz4dZGhG37LHu9sMl71AOKR10TXkHm1+XPp Enks6thUzNA7mYzbTP4brE/Pr/o0TNMg+0UE8Dq3LU2aIRW6THOejXstojHsZd9nqPKoHuJxDrk1 JVrjENzJeu78vHPJsEtvxiGSFABV3ZGK71QyhlAFIYS6zTCF6qbFjwI1Th80Es+JuiXL/84kaxIk oStP8cEr+GNQNZ/yaWc5jcr6I6lfHUXIQSaZoYCL3jWqvlJZpo3ug4GGypB4zvmDx+edR8bCw68l hMshXxzwO4kMSwgmC1vcQ/7EaJGcpeGF2NVaNUeVdw4Zfg/j6CGY9Hhg0lACOZERJEZgUojiYiJT GjHfgC1PRqffJYsBp/i8c8iaa4HUWu8gUMwAQ4b16T7W551BRlzcd7QICMLZ/p7VvxPIGC2CJ80O AcUc8WVyk51wA2Ascu4zIS1cQmE8zcLQLGra0zjTEo5x/h+h9KDboXaWKVOIyYCLFeF/ftsz9fy8 88eIWCX/QKpPrXjGMQGWFhlxnXj6nT7GLpvHQ2z5NB74t7r4zh5DkLBARGjGgZpzuZM1ZPNv1opa sPDSIWCa3hc7szK081MZOqEP0nwuogoUwiaDqm/cs0Ds9XGz1kXX73fuGLPWrXz+DkrrB5TFeFmf r+bzTh1jA8ABDZgM6F1XqRVzgqL6NEp7ACyaZXOiAhk7rTNNy/BPVoI+IA/qMKQmjgORkw+3dDZP 9oNZQE7WUDwjNBYCbeRr5KfuAZ0ufkZU9+DpIcYPBwupM/41N9ken3faWJPFh00Q3w3f0L6g6XfW GJv1EOF3DdnzUzXW2DkZHAWLMNIKH++kMfYx8XdvaRJw8Mt+nji6bLZaW4JummT5KE4C3eharvoH XTY+75SxJldq9MfoxMPBK0NDcr9oDH7eGWM4xarHi5gM+qLXGy++88UINkITqFir9fzUnMeaKOki v12Ul7XH4pBaxUWCpjg4n0WJOOPFc1Kfn6r2GGoybFowWdcpRHjnO1uMaTn7aWpeczA0w0iFwDGY +33eyWL8U9fXOQ31sLOK7h6LAmqQNM4V2k1XvvdmUCrslGxpKxRAlNW3ED3NcHHBnNYGry22us8p RE4LlN+aIGqd/l0AnlX68DWVzpLeNbjqqSZbwS+wQSvo/NOkHhCUv1oUTz+kFu5HBKX1wqdbGE8X kDV2HpbXt+KSjXRwU+VspbuCovK0JggtWx98iy36Z3bGQie8GjVL9QspNa/6vK5ToR3TId6DbZu1 ieXjoBlaqA9LOibx8aBZX7+7ZBAdqPn2gHoUT5+wGSCG5qUzKsxInYGQfwkPXCeQ/h5PI9DIusCW D7aEoHnal3lac66LjmkqAKK3THkThtOd4M4ehdNpikhPIfCaiwPzTl4sOaJSRsXj/wIICytLvYji a6hH8TSQXOfsKc6MGttlCIG/8RmapP50/p4gayUyGDwfH7w+DeNoE9rtapIxKaFKAsp90o/tkowZ etcg4WD/sEoglYNmKMFQltFiPQlHj+LpVL4TDuj7FDDFbYLi8jRTsul+ssshDG3LMqVRbsDT+h6V p1V9BTrLB68N9bPNTsSYRH5OCVDiEy2iJwjFlx+mfk7rxRbHh0SoM2lWEM2QwUXmUoXCbu10fj8R esHzAfXwFoeIfwWAFtAxn6OQfDigqSOYIlRjSjLl77MUhikSb7rLMqLuUUQt4O9QnZGDz1FJu50k mDnHWCed3sAzTHQFOisPrV1JBmnH9iiiVtq6moolbTomJgGTwqN2lonHo8S+fGdlyDlZ5tQMRQRE sOPPqTeyZWUytwL+cUpAvhPPUE1Np4cBNQsa1HWwwXOOk2Wsukl8hsc7zY47M01oJJ7gaX9pVmy9 61/d+iKdgQJsMxcDbMSUf5zXLHg8mKBf1TMksW1cGlmPA+oKia1uBUbCDCxcVBeoqxtuuug95CBO eTXpSkvlEhUQwp1vWL3o1L1bTxhRRytIlk06h5DL4TOCy55wqlII+OCgCuxARiNDC5zUUUA91jdm CFSBEwZ7aaiHNWrYo2GRkgY+tnSrMqFKg40NNOsJVucKCuNp0YBEUO0OmiOqitkFMeYbpmgbrN6N 6weK6FLue/Qrp1422mPE4Xcr5pvnYUaSbBrWfUw8HuHO7hLCdDC42hcf3N8javSwyzmqB12HUWms nCwUJDIl7pm1opGg2lCPIupN388hIWsOfgw13DCjG5dDag5YQ+jv4/CY7L5PaaEXvWt0lwlB3e8g SExH7ke63bnt8Pi/iKyqkpDZ6NjFHgGoQUQ8+WmVqgBkq8yisbUMkrLwDJvynp2/J1pDRE7PJcAH NesrNxnoLW3IH5Xoc7KBEpK/DvDfWs8phDWCz/gLdlbRUrBBpaE+mRUDKdU2Ho+W0G0EsSqJcAOL z6cojKkhi0izTyufNRNkhPK1ExUapUoYMUY1agkr4d71wc+hBZth02NsJ5OupC9SfA60BYq8ere1 U0u3R5iPzn959ubyQQfR+Z+mZH2khsejRfTdCsqJzjrD77IRBdW4dc5CN3vGmeojEjtWdcwH9BIZ VI+oSC15BVi5++B5GW1ViBI8KRmkhdQuAoWuAGHSARVzX52sdw0KjCIEySKUg1ZRy4X5Ns6zjMcj SvQtMG4eYGeGaEOmGYqCargnzrFM9QV+wRYE9SqtYQAP+gC9gozxsEi9DZU3fPDyGUzekrgc5yCi fSnRi+QnnkWzyUtUXka3mBHyEg2URyEPDgqHQAEWvPPkNXg86kjfzJXKrPj/jcABTVEIo86AUee1 jcsxs/eC4KpsBbQxpvWCRlSmxusjYe82MOZgZka41hJVAZC/zhuPgtMnDcEiuK0gFCMGPiMAeApN LIRVX26IOsDpIkYAGw6PR4SgX6krolVg3myCQtQH1CJ3MvgiFJIcewbjLNtldQ1L7kcUU+sgWqvZ kGyCBnX5TWO4g+KyBYshxQi1l8L0QbAYNBXwGX/BzMsSt3U5txVv2vkf2jnP8HhwDt36GXYkZ7vc Mv4IQ2qIsi4HKo5FQIuUB6QJWwn6yH4MhSBqoqfnkv6iK0pggiBafI5l4jv7BtaQol3ka1KXjXpl 1gqiJ/qIitSZt9kQBG0gjNaB0s6/ZUX95wTqGc9HdJfv3BVvUGbyy2yEQXV+nDyAxCc2WbgGdk2l dYruhDZZFFQvJWRTIOH5AD9A0ciVCFHQXc5VxgqJ8C0A7dHh3qrUJNiPGPjBRsdgFYSD3WVr966A 6ISMI0JRwzvyi8yBpQo1CJugKKheuTCodjJHKunnSnsY3eWcgi6/PCIUtWi/K7c73AraOZUKxbkb 20JXyuHEPTzd2mPvSYDnCMU9GgmMyOtssIY0xEOkBNsHHg8CokeR6odoWRS17ykUFaklCM8/iISp VjwtA2rMCFMF1TxNUBRSy/p1ZUMQ8dvNzFsnsuKiEmM/eUVK8j8FFxEQQuDPrtHg+fX4jL+iodqY vnLQKYQdZijztfH4P1tlXG0gu1yI8AhD6oEKYzP4As4jn6BRkxUbF+WiNEFxSE2+5hJRYT1EhbNv IE1VJEzdKpB0SOwp1IE7LFNQSef01hIKY2rCF3MWYQEhsWDmgCpNAtvhhIXng9S+zu+bDJFDyZ7a jzCkhj1EbZbQA9/hul0z7WbVoUH+N9KyEZepmbkKXdW/0FUotIIhnaljATPDZqCGDcUbZK4UsmhS X2p62T/7rV391n77rRv678xzZil4PJih4Xc9HiQTIF/e5gzL1JX6S0zBEoitQCgitz+Hx1RjEYXB bNWhGcriCQK7tNnW5f6eE+xEiLjSWPwA7bHI05IkF3C4qack+BlzjhmiPghdHJU1SQ524A7F4qA+ NDweTFD7FhlCnlXbBTXMKKCuhJkL4op6AIlpLH7Q6C6bjAV4cLjrZ1illsSHdDrHo9OJWmNbiQKl YPSdxIyLLWe6wsHvodVbg632rlHiyvJ0lpNAvqYUqKQVm6CCx/91DFGkE33rfRsdMwqnsTfOF7eF vj/7qtvGgqGF9e7PCnAFghmzEnXTWyv1cTg7oSjsvzqPoQlkZiejDCyKk7Yu8h89baUTLj7kryp1 yesOqn3QqJpJ2cmK8fj/oqYDskuby6/6GcXTVBVPrCmT7CIJHVp3LVNczvtsN0s4ZlyjZvticQmJ BqIZOhEDnAPIuetE0hH8ACl4FHMG71wvUaNjhc8IJogiZygP+GCHkIt6IUfG40HHft6rXnqnDZ46 V8krCqjxLYJOYPC8vXzhdIhLGWGKlmc8p2cUUI8q8FmqPjhM+Jzy588RCracBLOSEFMQ7MPFS+ZZ lnHgHsVnBJuMRhRnbpMPXmA8H8E8tPSKx4NuYrvQKha0C2OqewyF8fQEH0iSeND5GNNmqD3N6Q2Z MpuhCPXRtwQEJZTXrlAeEgNYgzPlmEgONskcKCBhKD+4Oz1cJAh2RvF0ZUOkLYJgORj4LFfxFtqJ 4PB4VD/7hlahpUIJUpugCPSBjhOS1m7hYl3T2xxt2X7DPpl2kUVFaiWts9fmg03QOSLOLYNwjkDq unA2whaGNAp0FtG4cT3loncNltCQY4AqRGWYxTm5Lqyk9YyL7N8yH8UqQwWEfpugkJTIeBomeqqd 5Vv2MFF8hIsIiu0UCvXyVMwgRUyDkxILVGsmTyHocHU5oLe8aWd2fhvBeVbE33rXqIjPG6yr3dq9 3YqTf6ljf/4OPP4vYJWczyCAcLP6GYE+JkOh+UhROjUa/r5iA4Gr4K7dM4qn0fcC43dsH/wiKyen QeOPVp37xN0ypgBUraKwSOM3K1FTsGpG4XTT6ZN0Wqf6IM86raJ/4AqCxyPY0HdODyTFOVDuBIWc xIaStlQHGuLq4XJMKU9PWZmH6wwKNT4EqMp7+XBRMe0cPIMQ4V7h0srktuOYqpRqIchFcpS0fp+h ap5Y9RLrXFes8wRtnVQCpA8Fj/8rVmQNKQOBVj2YXlEwjRYZiMwmP5CGq8Kdb3felHUUW0ErwnxI ChfWGz74GXRO05OnsskB0fJCZYZEW9CCg1ZSb18y3CvEfBANUzVB9U4QvDlkhnQSvorHIx2dq7rI JQQjp3lJmyssT3eooLjIK+JqO5pP3jRdN48qSVKlDKNpyZ9Ma3Wk266foIRO1DPQDCIIgHa4nUKm CQHblTwj8h+fEVU9JPQqg4DlHqaIsxKJCnXviseDPVZ8CTGuREDQ7zW2QqXphrpZ9T0GOYUH8+Hk euiv2wTFmA9CzqR7D7cFfKMsLXbwOMQnG4R5brZjUcMsQBjQutW49dhy+IygOC0FdzXt+7pVD5xt WeoDa+Dx/0VOGUyBCkqRTVAUTEPhecKqx+pmTDVVN5tugAM6kjHHVwih7nbBLx/uHtssNDLdABko yTuA4q3wx1LdTKJ5BJ6tuDjNniz9uzRYcbpIGRu/b+PxCJr3fY3R3CJfOMMKBacTsv++vY0osUWi 8KVDQL0z1c3OKb1CRqKcOJdus5UfmgIYm0hTOUHl/MB7PlPog7wPgll5jW0261eE9zCgx2QjiIPu +QnVVHlrnWxjhaXpK4yLB4l+pd+FJigKpSH1NsY0d1I4oziAGimrn9LwX9UEhQIfUmKSquB4VAWh X5HTSBSEA75qQfsJZlkgSgGVR6ieG00SeLYiwMci0oPNJBuMpgBlDB4sow88Hgm6r6+UnjLElD3X DIUA6oIUoxncDKREl9Ep3VsduwzXqlphLF20uUbzwfZYHlT9o2fSCdrBcGVlEYjbhvsTZi9WFCJy CZ8RiQ/wAhOtrM5uIQ3lHzhbYPLh8Uir6ld3A9Kd6eKDV8hJJD64LPm3nZ/cBKBXlBEy81XoK9gS CgHUxgGS38ajugjbv11r0TUGnN5kfT9PYpo0WTZBhRfnZ0WxtNAMvVF1h4ORNitJ0iwK8RXf99j6 TXSBT1q+fLsVMxI7JQUNYY6yhF1jrbsO7DnDsyUbK6xNk53QG2vTHGyCsIwbKY8UYpoLsHuIeaHy gRYO7ZHUZC00ufysGEHNPnTK1Qflq1DHUDB9JuuzomD66dTzkgVHS75bnKGYkQgFlOIGQJswE6HO LhD2bH6WGHHR/0OCurHi053phSXUAa6d0Lo68fPOOLg5Q6i9Q7DpW/2VDX58SBQKUZBSAOFyAcKo sAz1N86Jh8eDm/7mY8I94CsaHk3vKJoGK3wA8KJQCEJJtoaqknuxFLZrdIeMRJU8JIc39hX46Chr AOskjZhSW6WSbkE96ATdBW7qv62ldxRNt6wgMVUfVFc8d74lrGnw8Yjo8i0zVBL//dUwfw+mwXOB rIeEmDqQwssT1rP2k1fNqku8779NW7pEdPqXiA5RwVBvxxICMJJ2dnuhJXQOof2DZpHfYxTA3yGA mpxW5MA+3HtMojSUx93vwfT3Mc1XQ6IxrrDpjgmJmV52VvIYOXsw3b2smDcErGyC4mBaiZjEO9u4 JY9zsJykWK6k1IGdlGpqKB0UZB44B93UDlkVPiOYIHqXrUatMw7Gc+m7itaMUGjH+h7f8gx5SHje JyjkI+5KbVyXYaLZpIAMJGuxudGg4KgJCpEeWQAXqQd/+UadjHiPVq2FCHRnkigllF+BiZv7XvQk FeIzAiIQdRlqkumfOwuCkD9EegF6B48HddfyfY8hX6VSkU1QiPToACtW47lgC0xncVCWXi4KoDBp gmI2okDBAk8/dtvnbEIdhWZZZ4JAQZeNMlLk2iYtPO8ZxNL9jhWoCYX5Lxmmc9F2BcMnpcfjEZzK D2m15Jm7XNTiDuXyGjBU2Z0UusOGKFpcvGoG82PNUAj04CFE51IbvIGYzlTrvjgx+flFWwbvYJdV 1OzoT2LNDdzd+IwgFBKLQ44BHDRDnXqpOKVPZozHI5Whu8coHoGqEKqhNkOhADW9NYUvZ0rfLU1t G9vAvCagqK8ZCvXyhHlV0XA+FIWTOCWgODODRRiGVXLwK4woKypCbOpYD7p3vWtA2CRUf8ERywZt MgD+CH5mB3HHlelfwSLo+fkyWncYTfdNluZ0IlBuvsn8vwRV6p5CIdJDRKAixlS5GjpAPWXg48lo he6SltCg4SEg4kQ0CDzNIxCfEejgs0RbiwwDSrsOrZCyEKi+Djwelc1+KS4CKllu1WyHlenCqpkt FljXuciQCXRTZIi9N/Q29t9Ij9XX8sFrHhkTs4VYhBmH0OUDh2aBbhh5iQam2lPvGskMJYVA2Qc7 hWZOKgqdMAWPRxyXb4bCIpri9jZ2FEwj7AXU1bwmWnbfMWw2t6+dg0Z2nb/nfYtBYgpNDYJ+Odwt dnIw+B8TxVAnaK0sClEzc1Jr9kZC7G3sWN2DmRtyYxu0xdDjNmHcNPD4v7TgVLqfpGzbBOUU4jwS eazd5T3adu9xdGrM3w91IfPUTmE0zbZGkyZlezQpT15XIL0nt4m1zzLhl8cGyKDPEPQhHC1EKSZ8 yvsk0Zt09MVKUqdwkOKacxdTLOmnnxXFXxABzL+pQCLcXDZZTqFmXmFWBrE0ynkBd0m0x8mVqbWY 4UtE1URNUxxTMy0ruftwEUM5Fzhd0noc0RWEmFCmPlkgbn3uY6/hj22vGwkyEVWFo9kGhzMMmQcA t8Xno7zjtuvNVxKNqGs6kWJvF1rZVYNQp10NZX6CbRMmgIqway/iFwUYaqJiukRy+5dILlS5T/Iv rsLs538TQR9I8zuUlDZbhKZmXu1tI2CVFCykp4M7itChjEt/VaOKLf6CIDQq38AY9t5Se/ZcyE0E W8GkhgjxTK5DYEVZipziGDYXpUiOesqbrBLd0OsDjYGoP9BDi/Cz8z1ALApRZGWCxsWanxR22+sG VxthsDi0fTDCwtl+djDBCTmF5eoLjsGTmwDlCzYXeOQ9PDpZwCBYGycTFTt1MpXZuyHQuppmcA5I UcF6yHNc6l0cbJbg6isgJtbShIY3nZCB4zsHCsy4eP+zVpSHv+5fiPyqdI2DtlzurZjnOtwVUoj/ uIhzNu/PfQvk4+PIFVatBytq3XFoSCcz7rXGZD9LdRlkhKppCsvWskqUWOV4xCrRZa3ombGk1gG1 olXi2siBoD+bFR0qWWMUQMB+kO+bCcXywSpG58Jh/xRdIj4fcMpz/461mS+Oku80haXrswHALyis x54zinc+O791ydyWipzTD/Ao2kbvi2X94oPdc7RlX6hnAI52ls9IRSJEiDxwl4ryo6MJ9Th+yl+i lblSUY+DQRrPZpW89Ak8+HxE6PTVVAWsQYRen9UUKoAkWuHMZU0ieSeySdSa4ffWaG4siV8UFdd4 cmdp6OR+E9uMX8UgHJvufH+N/oALaVuHDtK4Dm8FoFN9SJSVMJhMstdOzLJZ42dz5Yeqyo3P/wu2 xwCUOJ393HJh2I0SbW9uP9WXo6ph/Lwttc2lXhe8SAakkNU5s0xN8pepyQmbTsw1CY49XxycSpm5 rSVX5JZvLGCmyCmChCzl/UMIxzEtMxnp7F1uv3Y+g88HO+7KXGSmljQN3ZdxJi3w1w9Wy3raNFUK ukgPXsvK8tvu0xSWsqm30800sH8RFzPo/ARIntAyNya14JwhPERVZJDrUVVmK/a2QRmJEsN9cy1x sB0HKoEa0QwsQ/eX3xkcQot89VJy6KiItXNW/BZUbwyWZyS5k8xREYdKuYaBITZE4ozSdODgPbWz G04+KGzIuQogD8I9CdxKb4iY9o87TtJDOgeeiiKVAEEsjEh2xZSOPIjFvZZgsR2aKo7fDjAM1S6+ KIeuio0X8qQWPDVTXN8TtJzqk5S8J5IDW0VgNJnhTomfz8fwrYDUQAkHUvGR4UqQGcEBaJ+1fYH4 kr3tX/TFIg+Ycj1gGjTFdD+dL4TPR4Kx335mrGLNizHKsa9iXlQFmZbrDjMQBLPKJHWBEGF40PWL gopb0yQRCsrBVlInCxnanqgK9D26lUtwjRXYP9aHOVSave1fMnutb3E8Wf4jdegsQZISQGXn81Hh /7LN+XasaV9X6RyYK6pvDonA5LNUlhfdFn0WOUvIdWyWQltyFZUmDXA52FJagMwmWXOhHXmyRrYH gJU9+d55at7S9mAPOwfuii4MQq15G1RVSoN/LMEClc9HYcAvvUYQSQAw8UkKtfYmdQOWd9h2STZJ SREU6kqLrQXtt5DIqEb/ltDMfg6ljqYaoLPcb20WGXXDExHKpJ3iwZ6gyLw9hxaLgkeWzT5t2dlg syc56Yzt2N7j81Gj9lsLTFJ7/VlKkYY1iiYgwVr1bTe76TILzjZLlHC2pRQDRojJErCGg99v5285 oT37bB13EqT2sDG4LM6uw5fppxL15HJgsghoJ1mMWSrW2VWsC6p6akZXhAGhy2JKHiuZ9Mq5G7/u t9AUJiM9KdN1eBh6IqCEHpghsXcFp08BZWizKEcPCmjY4B1/iCqi9kbDaSAZdhGqZkhmpiCTvHy9 Yq8blATIIWJL3AZlcbVBaoZBYtl8Pji7f8nKNcoXfLkGh2E3gDWQvOfcnKyX0H3iRlKvVoVrzS0Z cmC1mNkPpf1b8cHxj4BVdGTTyE5AtWPRIMFZsKECgD7DFTCo9rbRUmIXQATila9c0bkQdjMuiJ6P gPzfUs1to8B0i9058FrMLOOfjLgYdThLDAzoIyYk6trm2u8kheVuTVITTstZmVhKbUG/MVMrBEpF JBlsRpidDpFo93umW4e9blAQUKwkZ9x6nXHhlyavmLMpMp+PJL+/zT0Ktu+jDZZDw0Wo5ZyNaXJX 5w/oXoJLqssh0Z10wmA9IHZcbAIbs8bEwbsmkI+lPRxsmAD7I8cv43BqRBmOx3FxJXvbIIMzNzhK zXOwvtJuqaioNhufj5KT/DVJrTHUv5MUmi6OrFKJe79KR4WTRJiP1Smd/5lD18UlvPGQc9642k6d aqlQWNYkVSpkIzUBbQaZ3lcGJyRbDm0Xp2aHuxOC4ttL/JD/weZD8ZjPRzp8Hiup2wvaw7z7LTRe RPd8Uoca5885nvK2Ahwa9udHaBd19KVtkkJQtoStxRji4CiA0VjQSNKZQyOGk1RZ04WDXrnEhyUf 6tB5kbKPJ/RiTsHBCGoAymsllcnnI2T/N+qY4sbAhvskhaIh5+6ctREIABZEr1YwOWerX3V70q2V h1JgvihHjHNbLWYoHByNBMzfzEuH0om61D9ZKFvDuewHcgeO+aN5Mj8lKr+RVJtYpeygjRk7EYKB xH2eK2LzF/wz7v5RJxfqR3eaQkAJJNvWsnbAmGXeDVeMY5xhLLB9LYXwbN1siW0mDr7hOhYjlN4K kTcbBelzJYE1yxpclqqj8lwFS7EFI4sBSaxQyEkZemYhaBE9L+stI22M/JXC0bO7PhWTwIQRMRaI spOFNprGLEeV1FYcVVKhJ624O3BhNNcY+KEMHy5y61z2CTpXIIrsE+7x7AZnGR1ngKXT7XhPf9to klTxFier+o473+gqvJ7On5z5/L/6AtnCgLLXs5Sigjf6YrPX6j3d/VjHTJskgKddYjaHRozLgqRc ffBJglvlGLKqBE9RlK2CbK2icgnjJvOIk7JjDp0YM0+wVpzZ5xccSV68s07Uwecjtsj+CrvBKyAS yCcpCrtRvkCaa3QRa6MMxUrpxy1hW/JJCrX5qPG9hmoC4xHiXQXuM0AiIc2lDiLLSuA2FLRcpA/f HzvPHJoxkloydic/nYPR+k4cqaj7nE18Poi6rwO8XWa8MW5TIPRjBIwJVGtXmnVpcEiJmPkXlGbn naW/PWRe7LtPuHfSdhh3gtqH/h6ZSRUshtqFjvNZWrQWzqEj46jSmhViMlWn1ZxbU/6V5SwvPh+l ueXrUCKxubRnKUVhNwq0Y80yHIWz+wWZEBZIOV45FnT9oqgPxzrIpL48By8GTILVq3SxYExEpE4j NxOyYYULQwHl9rcNLrjNIGnJMG65YVwFAUSZReN+C3Emv6RCM5Zfx3fmsxSF3YnF7mIl23Nk1O1I E1bZhTSps/osxcVubqPEeggH6y8RiDyynOBPwAoLMGRwoLyhP/ezEQZMtZdqt7cNIkqCJfsodzDA 21m7jMEbtMFzaM04b0QpB13CyO4khdaMsDubYKgogxsPMLnM7iJ0Fe1w7bfYm3GZ4kHx4eJxzipL 7HQ12MPCg5v1AkAOUE56fPWE3M6hN6Nofmsw6uZgNL9zmvJCVa07MGeUNLStJJ3zJPvdICC0ZwTY AX5xzbG33bpLcEAddihtFiY1SVHYvfinr1bu4N0lsD0yzmsgJ5GRZgad9FFAdRtI5kvI7va2AVNL QnQqCXS30zj7q68tvGjLeskgy01X4kjUyfPJ6cqI5T8sGmm6kw3jnilpL8XHlq5fNRcl4+7Qo9G6 SiLzcfBGZer95Lmge52sFjITtIUnL3IAB5fBqHZsl9reoUsjvk55q/qg7tLahPxgytPg8xEI91tL jC4koH36LIWkSDCzjcZPhZHpa2l4pzKfpXSRJqFRo6hFfRJj2ufFmDayqSSCfLKTs0sgzY/qG9rk G3gcIK8VK9FDgh8SUY8FNBFla/QrwnJONhZpWs16ySjP/YbA0UtzPt2l2KoRSNjZt4mHTlq5yVkm jSsygtDHllKshM2ltJjpc3BsV0XFZcod/sTm2fCL1I1qQB0SAmd1peyvG5QoadTzX87V8J+f8oxd bfL5iD36bQ0CCDBysDtLUdiNMt9MdTSrK8n8cxOtnIoVcsvu02cpVBohyrSzjazBS5QDEfKiV8oq Ax0CskoIWkITJZNNrkIu2TP8lCBYIjSg77190IaD/mY1DnLm81G5+xvaRVvL/EADQttGiqpvfg8s LA1nIdelciUKS/DOsTw38G10ryuoGfrgaJyTm54zVWoaY5eCpBoAOLB0oCxGVrH1BFa3tw2uOE7S mebhg5FvxqSCLsA4g8//KznJUp7nf7hxd2DdeHYcLmKwsQ1lslxzrcIdXRO2Joh6tpbiuFs0QAHg 2gOA260hcSfK5CwV7GlWA9BoB2KaTu93xyV/3WDH8fAuLd1B5xJSg23pSefzUUz5y0oF302/9IAc ujdCLBLmDsJzobXrALiE1Z0ZLcFKz9dSGHhvzRKRSxw8WqKq4aLwyEI4X1h4m8j8eUEQU+tVyt7s dYNIYEtdTH4z2f1mznGWJpML3Ap8PuhVXhcDPpcHi79PUBk7oicoIFF7HmhvIH8kxDY6udq44zo4 tEJ2hR6O4m0TFGOD3XEgFp5bhyj4fVL1UiCidyJ8Yqcx/5D184CJEj85MHHEwcSYuwjIXIqrrEMf jXiKeq5rPh9EAuNbE7L0qZKEzVJo44hvB2YA9XIpzMEATHrP4uDxZZFA4OMIYUAspp339OGSKQDB UDG34a9J8umjbu+J739QwrJUl+VZfkg0SQyXyryDsrhzLegerxVrKTByRMX8u7RUyKJ62nChk6P4 FL1Wq79dNO7Jr+b2SdI+7PpFf5WWCOuxwUlLDfE8ry3iTLbqAVTqr6gS4yMtiRsKlwIvR/nkoEdM rR8Oxqg4lw6zlXq2Bp8Prrj2CxxAavJzxYVujtgHcFfujqAw7bF8YkGfpL2H2/PkwM4RG16FAHEr Zr0NplTyuYCy0G+ganTS4xrr8STnYbaMPan6W2jnqOpkg4wiBhSaiK89MSz0PM6P5+MWf0GkRvKr w7QoQH3Ry4Gjo9pw5xMs3sZnGUmZghjWrNyAWNh+i/VICMNpBFJy8Cxuo+wzlnBdYA9Q2qVTgI7A zPplr5LtbSNyDnPdxJLdSi4x2iA2IJTIAIIi9HTMvxRJaLXRn65A4OkoUZsxl5FLT9zkEpHnInLj sLOVkinV5j9MHbmLhIHj4OnJAvsWjXXSKM/dQK+RLOfmE3vCBsL1/dq2t41IFUxPuoKmvq+sDXtX +LsbcPChreOjHcVFQ4Tgk8OFvo7gWp2AbJsNzRBWkLIkLIbJ5xqiHAoDAmNHTBJzuC6x2v6I1Z5v oCAMIEMHNs4QsIA5KKmXJ6wh68bBb/62AYuJGW7eLDBxMBjlOZWE7z63Jp9/P7nX/gZQAN3fLKzk x8ZxN/g5zYC48OB1bEDTzJFSMZ6VFMXdIxlLYPngkwT+//m9ndkJyJSZHrOorJ8Fhrh+P55YVGnL gbvjVRbPEpjIbkYzFmpZSl6rng9KuSt9RZQZiGA2unyaQq2/BlUShmMswHXP3M6pYR2CkiowXjZN Ec6ksti/CsEBqzzgACC7i/ldj7MoS93C8jF1G3Ahv47gUiLLgcWjLO7OAaaC97wF71bOcSZU1kSv MvB4BJDyVwUON0x7xKE/r6FSR7jPKGCYAgf0v39cUnO4MdYarg7wGih1AJZkgslAiYNjTWGBeb4y 5SZpnF/G2hNk/SvSOIiPmob/ohXva5jUwf0QG65mH6yMe3aCoN3nAz6vQVJH3UM1pf2TjcaDj8XO Fgkvmp7BIkkSlgS6aslhAYuXPqZjADaqNRRMj06j/65OntNoAqaauYJWbScTZ1y+2hb2nTbGPj8C v7/Oz4kwKE/SxFxql7l0Fq8F3rWghPs6P2eNm1DbNs1aFEXJguH0vIZH5yksEdAaTPa4MXiVtHg2 LhMaAcMKk6/BUXfaO0g2PtjqgSxAZSOxUCZ4TuF0UHyCKMdPKbdIQv2Dz2to1IG96cRvpeGDba9i yGik0Z/XuKg/ClICoxANJBdnTM5rVNRl7wPtfrUlUb1Q1R+R/a3a1uXS9K8xUc8WOa4x73CbkgWt csn5Qv+wkm4pC4cO8zBWttODKn2NiLor2zSa9p4BZ1TV7Jw5pphOXz1/XgOi/khmbwEAEhUYt83O azjUwQXY4ChdOvfq3XK0zTK82v/LK/+vwRC+maTmPytIKz9ErrPsz8KbMgGfEwcwKTIoeLYOGAZA nii1ks5NI/no5MmE3A4z7x7upkZVXdaz+4mqXyMhPK3skrJCYpe2cmfnNQ7qiIPAtZGhLH6SsRP7 /qPrv0Q5ZBgo+TUK6kIdUJ92+uA3Vy4nP2BOCvNO6GCRTAKeT2dxpbJdtqTORhesYHYWsbZ1U8eX g0q0K6Fcx+Cvls9rCNRV99HssLmGrkNZfiq/BkBdd93syQMg1YUlfyhYKfMNdrto+hDNjtr9koFe 3zLQM59J2ES1n23WapMeCdLxhq9mU0hTiav8DKKdRZu5TYa8BqtfE+rEHCuPz2vkg0Nr+uQMhdxI c++d9Rr34FCm12Uv7vy9nIpUYTfkMTQIYJLwjQ5lIrQnXLJs8A72+dUwXqoKDndrbjIHYC14KeTE mDgkFZFeg57uOgmYcB8MEQkjErYdz8b9vIY89oZfpzLkFOr0U/m10IidlWBWRKIHbYt6ujobWlCE QQAEI8mx4FRORfrGPH44eDE2wewbmOYzOxv2M8wvJugik/qiJV/VOmaLn9ciI2Zny/0LC00DZ2dD cWHpRi94+n12ujQbbXYk8bn93HmtL3YzRW9CGFMEoGY/d/Z0GtuJU1xuLIp3ulggBEFyuGgjsLMr +4wbSn5oiZzsC3qMJ6+F4WRz3mhJdCx6rS3iG1gi187pg53KvTUGzKwIvVYWeWf173PnrOBGsUuT ZAimBynaHMnuJ8jV+LGc53R17Lz9WH7nr9l3gzJQGT5YwDMAV9wEiJ8E/iwjyYcnZLzgnf3sSxjN 1F76vNPXuuuu0jXFBmswwliLVxERNFHAvKZvLjVcoZYzmMGLehHMEFrTM8lsBoePiLQ4mk/EbSiR tesFrIURM8mNS3beKz9OIbB5pYcjFf0m+vjswUL6Hun8DxHa1oTFb+HLBqdzqapuJB+UmZ5TR7pI LVc+HsTMyaNCLDcwQE15VXjL6PLqgLataa7xadlBzVqmBz4TVEnDYQUztJtyChnLtXZrZefYAOtB 5oQwG0qU0G5ILSo+nerPllIod4+i5m4OGCKuqeMqOu3WGoKXweedt8aUbfsRJP7W2WXYJzZDUeCM fweSomnQAwpkM9SGO8udG9hd499Za3j7Ykys6YOd0SfDmGev6YxO6OKTwF2hWHcu2fJLFXIKi/0e OwvCRK+66YPxQ/bsDJ1PZoUWR3QOjfZ1DvUi02ifoffgWfnkxOGpGVriHaPg2gm4ZXhIHT4ruEYz hLw0gzrmgydeQP4AeUVNvzy6+COTDR2aOPNYadpkqmz8eQ4NgWbGBc1gj2UpgEP08J2v1uUb81xj mfDi3e8aigJooKtnTc1CxMo+iPgzabnsiEB3TNzfI2i5HKJzwR7H7o/q4VmWIEsDot9OcHTyQZZA sPHgD0jp1Ftszfau7zO06dsIgRcfBCs6Z12hKN/5O+rnnazGiKb/miFcKn176v5OVmNFpLAK7fI1 kPZXX3rTlN0kspPZzbxz1bgWcbpQFdMGW0Opgqc+ibyaFJuUGS9EFSswarjb3L5RrMcojG6EpzUJ jLZ2rTDgMcJCK+oNn3eiWhf/VvMhpPb8kSyxJiiKo1Gxw+GjsvNMUqQlvCFNt0Sfy90b32lqvMqY uRcW4zn4MYRI4YQCJDug/SBB/wpth0bemJUrVByzl32fIZNXb/sOdgydaE6X9bksP+8stf4Iam3i ZOgy0SmoxQ99j6VxBdEtLRnE8TxhM4SQuBuYKENLVUsoCqaHglOhQTnYEmo4gjpSUTFnZ6UjzYCl Xem87Mtt+zR71/cJmkzBBtXmNFgD8XwjRVUdSNZE0fQyMr+yePpbIhG3CYrC6U5JtrmUgJ1DtV/r PSHT6bKLs1UTFMbTxOTvkaoPNkEVyfqkTO4JX1fCd4+7nswGcPskjiU08Zx612iCWGiEc4kNqh+i ZEIIaCWkIQqoDb+KU0gsrYZMJ3kBMYqokQpA9kulsfPTsFT+3D9Xh75Ch1gVxDCiNmdLThQHP6cr HMaSpMZg6lR5CjXmDTgm6YzjGlpD7xqc0yqtSq+fg91kYJSYN2H+vDPTGCncEjRzjgz4SfFN9s5M 4+GFNHVbsQMVM/fZndNvslXQj9EMvUfU2Xqr8I7zwclEC7nlUjR0dhWwscg56ARKaNsofgwVqrZ+ 3olpiPjETh9t+qCkjAcCD9/zHXzeeWkse3nASOADZbo2GSCcoqgMPelTurrZYeDb9XOIii287An8 1RS9h9Se1C8AeG3wpL5iPVb6hZwDv5yFwilirx4E18zioHUNaffwzkpjPGTBdPLBTuoBQ2veT7V9 3jlp1gZ5bnv62PZ7EL1T0rDNqM7IAgsPIncDhWugmcuCuNLtIHpnpHUR42EWr7ts37tsNNTUexOe MVcc3Ym9Z7QY2dQ0F+9HVOSdkIYPkZOhpCM4aIYaTByFGsp8PErtv0NqWE7Wfotm73Q0HPBQFIH9 gELqJGDMkPh0c4x197rQOxuNN2kWwuMOvs2g19+GBNgaRKG52mA2BKQF+5Ll4s9IInpno3W5w6Fc JlDMdA4RwfqEKwMP+Hkno+G+XV5XZOyE2570QM1QWJNO6TpbsrOxDOUBNGu2GWrb287vVDQWhyS5 wsLimk9hsYHwvsuWKmRDgM10yJgP82fRLlUVe/S3Pu9MtC7OM4B5UjjI7pIKaYOdhIid6/NOROMy 98uMFdtCgdN1u2HvIbWdQ0X3FqVE7hpaUhkjMbM6Ee2dh8a0mweQZMVWv4KQkLLYQ42vk7iiWsTm EBofPycc2ZDSW099aOll38+hxf0ICRofNEUIKATTXGl/3mloPV+NWhU/VCGo9zILY2pQ9c42SwY/ J6ZIpJgxlkWMQCvbGnqPqcGyPosnJyj92WAB0dl+5yvedCiC7G1fAoIi8iJIjwLhlpaVoXcNJkiW To1ImI6aotyaF8iXIsaeBft5p6CxbdS/ZggRQk3FC7DvDDTuMmYdjKlR/KBqoExDmEaaXua2xPWd gMbisWLqdgefITgi7yxNOhgyVYr7dCy6ijYQQkirn8GFjp8RhIxC5y+eW82ZSec73dbjBlL1804/ w+P/x9h3JUmSI8n+x1lSRsDJ/S+2UFUzeFSlW/Xue7LomW2P8ESAGFEyv68ywDMK6lE2QVFMDT7I LM0oZ+4vx7ZqvbxhOFLbJnuPqb32AdUrH2yC8tlgJyiHV2M7Vys4yKzWsltGx0funK28tepdoz3G mZE6VnvUsYAgVYGx1Pp5Z551Ecmfm4xlF4pRq+0chdQQGppg8FjACBCrlWAb0WcyVXEDvnfeWTel HkqG+2ATxJwSdCG2x+hKLLtQsuJgjtOeYAgp4eedd9alRkQT+uyDgRY2VJQZDI38eaed2WVrK4jS R0huUNGwGYpRHfAMSaawTu0KO4XOQe3dVVxHNkMxrKND3wIFBRs0Q8jIgMKmlhF8ZxIEG84kArVI PDACXRKbQIEpetdohhg2lZF8UOmjotYiJccTsL1TzvCnPsUhRs9YPhBTsBmKImpIeM4qfT5yPd1V DlG2FamFxuAmeyecEReEfnIC3tYGxwWdnXOmSIbfEOobgg5BCAXi9ND8fLCKQ+8apGWEfq5C+dDl RUzotGZtsnP/8xWjY/o7XCSwrGwPqN/JZpggEON2n66HlbLrYYk9KT0s1PI0Qe8BNTYZbzAoE9lg SwjFjs7MG9gyMASIRV+JFoWsL16BVcKJP+9Usy5KBlXISA+Cj0cVvAPe2Fn+wrl83qlmrH18Fxgr lm6ZHlC/M81Y1UzMW62VeI5YixHhfOZ6/RV65JqhqEZNpbCcGS5q8BlCMS2xnwDddeo+YRIbFzvs xr3ywbLt551kduentTl8cGx5saT1/Eqfd44Zo2k/pRkX4mYuN2d9p5hhesCnaMt3WC9XVzXr8se7 woLGpicqUFOuKW0ROfZD5Dg/NCqJU+zgkzflJY8+rNyCMi0hvjLE6P6uQRtocQGN0X2wa2yuNaQg 0+vnnV7GP9XTDf54yMNRbPMZiqLpc/Vihuo1uczFc9beHOWxlsuovLPLrO7CyvT2wUtDEy2AbKWh zPYS6q90KiQJtVOMSMd0t5eNqmfMWalcpUHHNEn8IipOvmOwxYZH02o7Qs1tXYBZFE0D3DWI8rZm 66hePFMJpFNCxfV43pll3RUdVlNiRpu6nynydJ48fqhZBKKzXPeQZTQSgIUt79plSS8bNFtpRVem ZIwZ+zGc7gDFy83gRNafd2IZL+3vaBEA7obgwqYoDKcr2F3b1B1PnjPHBeFd/zQ5wXKKwhI1YsAM 9T0f7BQ6xxoKuNuY08Dgd6LwAClA+j/mU8NHfPp5J5V1WZ2CMC2PJ7we62f0BxMXYpxj9PNOKuOJ O78W0aCO5i3iv1PKOK9IikQpxzar28PFdiV5IFPh4WJUo57qlEnmmYPH0ylVfQGEi2GvyxJkAXii E6VS8rOICKd6J5Th58xy2yX6nINxN4AOtdbF/rzzyXq65kW66ysKJPNWYN/pZHbXw93J2MBdJjzs P9GijOL8sHb5qZihdzbZrVHDFtUHZ7cQpZuHUrINkgiJGxCMGwia4NXmi4h58uedTYYzgT2ypULs kvogk9YTogjKeb7s804m6/KisArsj2Oqhqcc71wyK5xCfY9aFmC7opyFGBF1QIB/yXEFsI+6/O9U MtZGGQQaqHNcVNVAVg+GK9GcI+E0QiGysMwGkbdRL6YBduB813/tstJphMFBtbPUtoA4J00bn3ci GSsP38cQL4d8G/bvPDIsoUWqNJUuKTXPRhCl5mlnywkCgle8zXcaGRuhLCuq/Mzh0shGg3cI2a0n UwQ6GMAqZLmDcm/o07peMcR/P+80MrZyGA9BTtQGoT5ALDZd0LE+7yQygwA9cGD+/gBo2gy9B9Sm 6JxHVYMMM5TNs2BQ+UmU+9qsQv1OIfOAOu2U7uC9RAQr5JRROrWhVknIK6TTQXfPPIJMq5hUhHcK WRe1BEBIeX/3fbFnwGcT9HGClc87g6zLi+VZQ0244jtDYYX6RLFzdvNsPNFb9i5HR+nPslaoAAkx HVaoJyseK9/hgTRAdBfQARjyzBNOMK+nkTx1RMfNWleyd43g9sw1umpnVKBWSJ0c2Hmiks87e4ww xPR91+PQx7VkExQVqIF2ht+uaaam2op3W0f2bmsrw4Cv79wxLmDe9ZRDyibKZcVFiKWB+ElX9Fm2 HA1RLy1gB+en8AGEGr/jXxM0hrrSTuKDt/QsErY499nnnTnGnHd+TRC1bPbyYOidOEboWSEPwcB5 JudMREO/7LqWHBTzzhvjCurEC607eFbfz8IfNHNriNJB8lJIiSI6XBfKlf6gQOvnnTXGqJ05R5Zj c/baYqWEM4/ZXfh41I7+hg3RFb3eaPGdMkawEXLhPotDPnzZnL/kls4mtLW0xcKAejCrB0LTBsdV QT1uFelaDYBGu/WJkJTReWrdM4j4znfCGLMqooZSuYOtoGYW0Sd+m593vtgfuCr6g6OEOZ9TOgqn 6aA2WnOjS5GgG6E4fVvWCvi8+NAthk8rjgYnQ4NP0FyVhk40RS/nxGbGMXDewDSPuhuWtSLi4ncE hCjKobTFs5qDARqgayk74prxeBAr9vEdCTGPTp60tjCahtgurxMVznTlo3DWacAtAPWqhu5sUXVa PDD0uXxwVFUDDXpJTB6lH6EcO0sOfGeg6tzROtnLBtQNQR+2FPf3VdxfcKWV41Di40G3Pn+HQg3r kXpHYrZE0TTqh6gLuQ4hxVcEwCeIVXUhie6C2vIeTeOU5v21tcf23WNrQr+PBgcoT09K7WiPbZH+ K5uDDKY7wZ09CqbFOSTq1AaVFrFIl/rvo+LxgL+xv0/pSiGE2wHqUTDN2kyCFqUJNXYvnDUWsjRB lSDzzs8JUlYdz4bx7Nf/A8+OVAvBncihYH/IViyOBCCHJB7bJRcz9K5RtsEYsZQ7aIJI0Jd2xck2 ehRMp/LNq4NY8vkIzzZ6XJzOwN5nJ0eVq6yXFD+SHEV3Y1xjPSpOy9Fjo45hg5fOzl0BeCqzjXNe 50xX8IzK17ndYAL25GMTVxO+5B+wKkj1qVWP09pkctOmYgLC84LnA+rh9FiR1RJo+jfZyHCOQvLh IJFjGDOzVNcgRqPCQGgb6rgKp3sUTs9pqoPVB2eQJZDEG/1RIJM50AIG6hnbEhOFCOBCzyhc0aNw uhAAu+czWLTYiPxCgFMmHv8vdqYEGc4rzeTRYo8IiFKvSjNbSjaK41+RbHowBNAcU7IeAz64fBpV GTg4lwNOQRX7GEJovbci+WECPiiFsr80K+xdoz6iOHbTqHbbfSngDonZOmFFwePBVXZB5ovrbQDz cScoDKcBEB4zd48Wx3VupM89JwjNUltCMQmRjYupIuO8frIzpTTk4Qybhrkr48pUaIEFRTuFODqH UAbGdwRJfaVfIxMUDSq/UllFJXwc1FE4jYT466CG9hVAuDZBYYF6Yo/JXA8yljJBQ7WmLRJcOvMN pqydn/NPNlCmDMPMV4ZhJGIZBu/6Tdodhb02wDpoVLBh+YhXTr1scFInicOpXrvNB+KcXhvBg2Zo 4vEIdla/ZghdRSBRfIbe42mkKYQFU8Br8syeqgt1qHyfacFJXSiFzCUUxdNbZs2D6tWSc/KT+lzA a8jEApF5onQMrK5/qDM0qWM2pYNe9K7RVUbodGUhn4PwMOcwI44HquYTj/9XTt+UveG4v0soQlCT ppCW+RAPbixVPRowa1n6p+QLcwlFaA/tnN1UfBX8u3KPAd5RazJM1YkleFwVHEsDXjFrPYcQCNH4 jn+BztoQ/HU4/BVy200tipN44PH/JAPhMWgIm2kcZygMqAH3SDRukHweu6tm0tidpoC7pjBcjMrT K8kyTgDhfgHCs8KxuYuSuNBkyqyxQSr0HKs4GArbX1tcl6WXDZJWNcoMObR8k3Wo85F+VEZqeDxa Q+mPKaKjzrhs6CiixpULkuUlKrj7MIjmzrlba1nOMaL69GRanxgua7hJWYfKCevTA5pDW16OEPEu oKh0wMTcTyfrXSOpgaGK0PLBKXfV5AXP0YnHg4i6fweMGfchkUyaoCiibhuVs1JVaJ1T5AQEQ81b ZicSqslkKkZYnhbIfAgfPC6282yBsjrovDyGyCljn2zSnelExZucROVkdIkZMSeRBQ8hhzgYPBhE vqmjZeHx/4J2spF4AsWz+32TjRBAXQGglnMetaovZKit7ZWz2as1EkdUnoZSzck1lupDi5tsMCcb QEMK2jkqRGGlrJcQP0J6KY/bAkLEMfAdAbSTBOExqg1VSwGSQCq09PM/eDyoe9w2YhH4FZFq8qx1 hHiPReWjZn3EXZ8+Ij1eBH5t1dAMI4qnWc7ICVgMDtVmaJ5Yrpx8HUgpaAl1Nn9c6gT7C/6wDog5 QenAd0R4BqarlG/ToCV0TtHJLzwh6MbjwSlUvw9qwrYfIseIwulON1vZnpJX7+um2saTbWU14u+I wmmJMuyum6w/bcQC9eU+Jdx1PhLeVSh80JkDuuEo0t0eEN3QR1Selm7nFH56ouvEKviZonOAMWMY 5x7A8//VJeORgzUEXVWboiigRp4ym/RfERfRRcRoCoalhpx79mMoCqgll7NamT7YMXS+4JzSODMI aJDjJHvRpKFuedtbfZrk+hECqFV+neUOdpOdOLKqmnHCxREBqMcVH9DxXkEY9eriCPHTY16SFFrR 1A8xXQ9XzDnpYTIex4jw04V/9l68yDn4XY9leaIjbrIzPX3JJx1HNAzQ8F/fJhmhnSNU9sB2PME6 WkAajAx0Qji6PZxYa+DxIBr6Q50BS7nsGy6OqD4N33RWRY0tdaGd5/I0ChUx7tarH1E8rZRsL9HK FkVysyYI/CIpn9Bxq8g/FwAJqE3QEPnaC56Px3dEsZC44yv5oOJiWWXJ4P18Fx6PWBz3FNJyA9Fl 3WMoDKhxTq9pgopjte1J65DgIsVPhpM2RxxQc4/NNHxw0uZZJmeHse4xM/quwnuAgg2w6g8wan5Q b62hMKJuSz1omudS40FFHuC1WSbClsbzQV5fv1mbxEY82M4RRtRIyirN1CjaBW0/a5PRtFSiXey1 IykbYYk6qzuoHth4CGWT/q/IolEaso484QzUu8GBRxWLJumlppeNOq1ssc5efVDautF/Yzh0fms8 HlU+vnFVOICBXLYZmmGJGgjqTrDu/8BwRVOGiX3npSPAB/xblZXNWBXPAurkgwfUDYgPKtE3ALiS MPiDJUWqgsi6TPXFrncN0lZWPtijtcFOXAB1VZdvDY8HE3T1l/AY3JYgKeYTFAXUYG+fl6ZGBdGj 0yaoUc5CaeuCTgqTshmWqCUbKLgLB8cMdWb1Q7aLwPZs4j3Atm4njf2R2p0KsNgp+I6ohs9oCIZA NmiCCkiusn9pBY//F5uM0RCCz32bHDMKqNM5hmbhRUBATHLiL2Qh7VJbqzjsbIaMRKUalZVqDg4Z gqgiFpIZ5WVpVMMPBlQTrFXGOOK1ZnvZiMZB8o7k3zhY5YM2mj+EAVU8/p98OzJ/z0HQ1jNFUUSd iRlq3a1f9vKsdQ6DVWe10TVFYURt5HGVYfvt1p84qPZRMvHBGIfkiDqEDyr0HwuhiCpQo9uC74iK +Gxby9hsXGMzOqWw0XXCzozHI/HJ+n2XQTsD/hU+Q1FIja2N9oNqrXOT88Z4sQwT2KFXR9JBPUON PN7hO1GHgMMzQyeMAbMJu0xaMQDAwpmOPVj6ZlnOgX4XviPYZUkHdC0+WHkRiH7mHGfA40Er8R5D InIgCZ7ZI+oZl6ihdFqqyvWz7Gq3/blLqweM5/i2q2xGiA/ZdKzMq3zlcZXgQIuGPF5RfXGsKgBs JVQ2lR8c8R4wEv86o4i66grrSuxdSQStkS7ZwrPuBx6Pymd3hhIrsAVX2d1lEeQDpVFEjNkixuE3 /HnpYkRyJ212fk6Ut3KXVYXU9Qmpc0rAMqrAWNCspEQnsZlkpXf83a6nXPSu0UmtNcT2bJ/Jijzn KliZ9cHz2Q2P/5fKR+1WHDoxtReHZhRToxh0ZrM5akhwarp1ruoKBJOlC55DoV5eEcxcMzSeGToB HqjLBFGvc+ckbcS+qByOtyQyz4r49q5Bo0w8KUGp7X6nGG1ZLIaej9l8/D9hVYTmof3fL/BsRqAP eePyJ2C/VdcaSZvw1ZLqmRxjOENRTD2yxdTLB6e19onjgaTN8y+dbJOhZYXBDvpoP/vxxCO7Bt8R AITV5shaQzl71jHOic/pOsFEx+PRGrq7zLieMAnzkHqGnMRJ1Gs10mYlPVQd6VUMN7QRcdg5FOp8 TAoxEfyhwVHmCbbKuas6dHbuoGXeBDWxAv7Vntt+0PZ9hrp5g13WReUUDlYdAipFqoK54PEIFpO+ AkZwORqLVpygFUXUuExgidttky3HLp4r2jFWcNSw0seKQB+DNY9dpDlUxiOEclKvnseUUnA5P5oi 6kJM06Qk7c8fUtwrLFFTdKezC6jBltBWtALn7YrHA07iBQhTwmIzRbkTFEXUvcOdU9qunQp6Rvut bVjREaKd2ywUVhhRS9O0zjt4bQhijkulD9zKgBEhb9ULnmVEVTgrUU9716j0IUF3Zq8ctIIAWeTR W/eueDw6hf4QU0ZM0JtvsRVKTYO73aZhYcCXcsmzROUfOeHWanypFYM+lpiYImTKj4744BN0QBAs STbvfBMb1gOFlQK2O41bjVoPyQR8R1Q8o93SWt0HraCOUMX8uAYejwJqDxeZ4OJ2SBeDv6J4Gi5L 8E26Iih5O11KkFjjcVjKsUIAtcS4c54++BZrJ3ReaFarNkTZc3SD0PwBqBTnm4vmJXvXf+m+7sR7 jIMBz0Yf6l2efAaP/9cZJKVOALDg62czFMXTKBicjzcZryE1N7USy62eDVS8eEqvkJFIL9LV2/bB 9xjSgVLE40CE1dgGQiMfNfcKGW2/x2gYie8IqmdKVxPLsBwM0NAmtdIQdGY8Ht1j4zueJv4V0rw2 Q1E8jescIoY6e/BP22Ih1Khthgr+75qhUOJDaoGykubgNz30xKnwDkQDbjGeV4ndA+DyYKhwfSaJ PVsR5sO6rIn9aA5K7MeoJul+VhMeDzAfywuMOHJgbQ/JKZ+hEEINmdHiBjcTgCfbZbW6XtWuAHZp l4XxtC4y3C42OCjm3O1DQsHAmqPcTu1poKIg6/mzyM4YWkNZ7xqhhgxCPTR0a5qOmqREDWFZPB5M UPK8XtXaAe/EewyFJeqEBiJJSygNjcq2NOIpKWsS8tGAA9cSiiHULHmgNmqD91pRDSpNOjrnxBiN xZEKVcAm686rr0y2H74jkhDmOa0S9bgl6r6gztNVGuIrvm+ylcv3JoPVb0MlzGYopCSeI3KyLqGk dVyVmLYur5Ue01pCYYmaCh8TshA22AwBNQRiHQVgzxpZWxY3CKc7+Uuje7e1EJX8WSEnUSSgv6v4 5+jnz4l29KqfFcXTNV8YvlgfHQKrnnGsKJ4Ga3wWZ/lCqSE59Kx4Xr/WKobDX7FuHpFVcr2fX673 qM2dTEL697jDZHAD5RjBYr4VYCl98VlRQG0iQyrZctAUVbgKcpuc/PizQtDHRS8KqIZLdV6U8A5B H7VR291lUOq4OjECy3CKAIeSUndUox66xabUBeYFfYw8KjGwbHRQP5dVWiTj5y5Bnac8aStr1DuK qJsWEfl/GlQ+g2GIrvs0+HhEdvnmcqDCCe89n6D3iBpqfZAaGi411NtwUzJ4Pnn1DMhKTVAo8sFS D50ebPDKBzDmI0vLHF0h6O3iSEWYceKXH6gK+1VGGfwdwqjZ5YBevA/GuEuiM+FvHXg8Oqn7d9ZK /s24IfUOcdQUheuOG0Iob0vonH9u20aBU81QFFIbwJMaFhp8l6FxS2EhcBXmxv9GxIjufEFVoXXf ZIU2s/iOYIZ4l21V8fet4gPhlAWCRjy0Q05i+Q6pM/sK6y6hKKSGGMhg60El6nqlhmBIZ5gGmPba BMWgD8XSdG7d+Tq3DhqTbFMgyGi/ZiVl0BKHrurc97KnDzW+I6ADieZSd/dBd9mZdtmttXMW4/Gg AHv3WLKsVeaDmqAQQ820fhgdaNCX14w2W54O+oDwhCYoBH244Nn0weuvHXrmWVrm0G7bbIi0jfOH hoLrOYRYw9+xDjW5HCySaHDGXdlqUpy8Ho9HQjpXDx//7qBYqkdDOwqowTk7R083sfde3Q6x5568 dJbxS2uC/g352IQNafDiYi1nhyXd9RBvS/K/ZYlw0eTqQeHj/sN3RDY3Q0Sp7YMmaHSA8nFK17Tw eCQI9y2yTFX+9ZxBEeQD3Y0JcJul9a5DcGKYdbXeFyiymqBQMm+rqCijrTme+vQElZg6q2iUZbrX A85IS0IYV0ItwHrRvetd/0V22Y3KnRy0xdKgxrF1EndYn+7fkA+IjNR8Sa071PhAYWin6V62s7lP 0pK3JrcY3eA5QWF5WhJMa1cfvBcNJX2g8wjNq/BhGeJ6DHE2e/cVVBiH4TsCxl2W95g5kTVLWuuG 842Q9XXg8ahydgtDkukEaBJqiTZFYX06A/Mx6rQp6leLac7LKCuLa6jzc6LSEOemCv9aH/wr3MKB YifnDvXvNa1fBCAAPpekROGq9tS7BqeQYqCkeDpdYnQp4BxxihYfDyofV5iSxOhGo2kPp3cUTqOd do7Ydl1ssymftEUXIrrYLhzCmqAI8TF1Co2dfbjHNMr/lHkFMByVRtqzMkWHBgVwhx4KDXvXIFZk aWhuriEO2mRnlYoZUXMaeDxShGvfoRBQ13wZzRCc5IMpyoU2W2KMI65bV+PD0B/ocaC+TKPfFMbT sgEo9NPiYKiYNtAXnRQtP7lLKtCAwK93tu4A6DAjcHTg0H3dgLlJe+mZCaOemVrxDGx2knzUz4m6 Jj8ggpqXr4XU1U243iUpKlMv0FsB8SHGA7gVA36cOUZiiX9cbE7YNEVR9dgC3rGQP/Yt5I9cC6S8 WKeGOWIBXgf+LuC8gDoFBOCt5A9/3aBvT/VzJpI2KPE4P0BXzn7CZD4fZB5/gD+InuxXKDen2OJF YZEBPXO6QgT1mgCeVMszfHxQgKc2drj0Fee8yRkg+CBMcTGdZAy9MsI/IPINdNtmE8NUzau9bQSx IhZf8mf0nGOXA64VWRrYY47FDwjAnvnPi40MqmuvkKLoGpnnOReqtTxadTWClkpziw7Ot5kphQ6J 1Wymtg+25TZPWDKj4TZ1AvVEtymUhQZ8QPOTosH0y143wjMyLto7+2D0l15EY0A0weejovWdJUzv Jlh5X4+FFMXYuAvnkDXQgmRuN4oQzEG2gdH6Gu7TkaKy9UjyUpLu8lPYp7HCudUIlAFfesM8FJbI cNoFvIb0RKsXUbuP3xL0PpZiAGp4c9CWK1n2Rucz4LOQQiTIk+wTlVrOrTUeZYKcolAbZc5JEU5h 0tQrK4TkZqNS7UrlFs1TXLyWGposJdu1lIR5zW595i3K61yJ/2YCJpYquple7ZauMQ7AtwTBZJGE lUQZb7Q9oTXIo6meK5bPR5W1PyiLG+ir8txzYf0abgJcQyjKwqa6GuEsLWKKMO9yodYBHgXc02r7 7Q626eAqebLjPVQ3AjB+UonoLPvJXzelq1xJq09+S5S0ERqL0MQG23QD0Re+cO7O56Mi/x+2tggu iGnzaQqFQEipymbRDnOcrblpKbsl+Zp0ldAJHoXdoiwOBYwcHCQ7F+QlFxdTh5TDVpEWdT0UqKCn ZiETqB/6kog/XVh67LIzI+Fdhf5Stgr9pfH5qOF4u0ViGEH8Oe07S2HgDcGdTjENJG8je30E6edy YEhrbvaWIjkQcsggQDB9eNBFK1FYDOntiQYqayhUpquwuGz5BgNmj5z+7QADDX4ftJYyjM6J2D/X Dp8Pdly9wQCzPW771J6TKQRck4tPmCqmqSXjWZ3wu5Z1c9xyXQOjcrZ00WZhcM3Bm0YZWJwtC49z 9J1ZmuSfJbZBTnpE4kdVoa3Y20ZyugzA/zYiH9SGkrcAI8vQCOZGlnw5ptmX5plDa0UEN2PtYW7J M5kxFU+T5XnudCPyHHgrIqxYUoFNPjjaEcFEkgoHOtnQ+GbAAKQ78Beb2CFZT9JMOgfmimBTs2Br HAcHncKbcEsjoSWYbYfuin82H5u5Ut9JComMJbMY4OalbVq1pLKX4ZPk6jI5sFeUwQHI0mv6YCvp HNPn9yV892RyJ6k2xw/0FAr9EtsXnC/Z2/6LylhF2ONgFJkNGQAe3qPy+SD6vhYDFDdiJWv2ZymF 4fc5E2YX86OypmQk2J7ELcLrJqrX8I4LLRYJ6cs097HBZqmd9GmMwvbROayJx2LNBCkU8K7YKQ4L Lc3eNkLTbKEehZzlT6ayW1bXEwACPh9kvNv3W5GUA36cdBWsc2CzqP45jqUrVWTQEbT6KT6DqsBJ M5ZPUxh/owGLaz/7YKi1MwP9xJP06uw7Y87EnEUajctVFi9Su2IvOwc+i9hxFHgccqka7uiBasAu apzlyuf/a8cxuGrUPn52XCi6t6QI5i0AgWbp1pm2TRI0X++xFJa4JY86mdFx8OLShoxcEvlsQ56b gSdwx1Aoxe+xrhS6fNxzaLa4JGWdZcyQybKkkgrVSLiYYNgZui2W+Qc2C7Xi9bXjIjFr3J9gxw7r A/RilFhcPi5ZdJLu5kvp38CRLa/2PR9dsHKyWkQSnKVz3u7EtnevxD2e0xh5ip9L1d822nHcapJa 48ClVM4VnEW9qwgEQsPFlMrX4Q1cbH5aATkwXITaltxhBFE/fxGRoYgpe62GOd5oA1lMGTouqhE7 AcOzwfPdE5KcmIL8T/pQVTlzd/r5IRVBa/vS94q97r/CJdgR+qBEDpLHcjs7CSifj07v8rXhGrUM 8nMqRZE37ps5ZUa5kfj25PiRQnEMzEkjolKzFFrEiFSUhOlPD6b/3CNQi5dmSAMemz2lAnBNAx4W 2hhXzaDa20ZXHEOGoe7kGF6tTMlYImgS8flIhe9bZA4thYaI1icpCrzB2DwfbIyZWaRNTN7DrA4h ySCc2STFGBI5ngnRltutVm5IddasiPL8yMhPlOueuYLGKnv+nuvWYa8b6MdvO46qD96b7BK7PMdO 5vNBfjK96i0LuGqgZ5+mEJbdO6cpWRaHWpLB2aQDjmRX2ossCfzDf7Gpczt9uIf37HXCeQ7T1E5o U3Rhn+XWwA2R65nabyvZ20ZOH9ppO/ugWYKn05Bj4Gx8PshPrpga86eGQA2VSJul0IIRf9wJH4e5 eJ5/w2fpURJZC9eHZin0YFw0yV3iiKyHIwINRzBneMUtOJdstrmpBQ9K5U9jOXs9mLYcmjCuojKc AvDN+kQi1KVMnuTn7sl8PpolR/jzBEJhgRILNklR5I2ja1LBGjUliIlso4R29gLI3R8o6tgkhQBt SVx3EYv7IwK+AVHZ1AziUtqViJOK26qBrduk9MlUV57UoQ2j1DTqlivXdjdYgMEy22gtl8nnI9rs /DqWqFXbL8g/xz6MiCgry1fYb1l4/80W6bDi0okn3cwzB0aM3u6e/RkcPzohCdqJjgTygvU+VE2Q PQJtm/OFRxbejPyWqARHrdWVhQIE285iZaC42BfZa/MDItyNX3Hanmc1z31P78CMEbZO4GTxaoHU tcleasNtUWrPLwwqiq2lEKotaNISvG098LYKgeu0GC2diOCc3gW3y0SfHdRpBBrp5rrVXzfCBVDL qrD7XUq2mHKsNcVy6uf/xOcjKd4/sTeLSlp3lkL/GFgQZaYv9I/Jt/ENqoqDb6DLosg7cGQ0rVlY eiUfbnFpt9JpYwGJDFxxiV3LRsmT+kMXXet8U/gpx46MXES/yt6twHdZiPaU+fx/SfRlCwTKXs/Z HXoyVrYte3Z8icMnwCmsji9BMGOT9G+AydxsW85925bzzPX5AVGVLMx62bABRAmLByxaMo9kFyeZ xxy6MmbWlEist8GqJuj7kU504nk+H62kb6cdcAzqGs+xFAXe8ACZtNhUmXJNB9wOqRvRHrY6jCsH vozYbxLvEYWkrsdT76zJM8tLBLYzQ6blB7nkQnW64Q0Us/bMoS8jaSYzUSlUgybp3AhDRtV5DT4f xN2P2w7nY/4Rd4fOjHCZPDNjZduzF2ry0pIk11haKg7EyYE14+NV3e7gFxyix1lo5g1ztA7pubPd SDwCTrPTm7uLsT7sbYNJoupBM2Bpc4uCynyBUfNZqXw+ynO/cRSkOZfrEZtDc0bcRKgjGt5t7TYv 0oQ0bWkXTwNS5MCd0exkzvHTig8XVAoDlqE89wQZMF0QkIB89UkcvgeUe9nbBvcbu1EoDPtgNKR0 9hn/xMbtFoJNxvdKytTD7+k5uaOwG/XtaeYxKCw1r78BDdocbtLb9FmK6ZAsWAg+ycGbJye43WnT j3msAdUDKofCHRGEuR8cYKwXbgUh/JJgKS2LAu5gsLez2JOqszXz+f9XKXcQTXZLJqFL46QX6UhC bUMy3EJtwBHVRkH2WQx8m2ObRsJudxMopz0WezBflrUAgF0nPkBfB/XuyuJc/lnpT/x2Dm0aVTHZ e2wfNEewiTDkG8rdgU+jGlRfc8T8ut0zKfRp3NR+5Mux+FaGoScbnM3sTNqt3zmKgm7Ey2D7SXyt 5DtHpaI/SadHiIqdM0q9lYSiFwBObVzSn/UqA6dGif6DA9buYGUlEAy03bJe8r+k+1iNRP+6pstf z//wamTWlkwEIbMCZaYF2amjc3UT78uhWaNWEtzLfLCT+2RWkLYjahqkmrWhYAEZ+3NnUIGv9X3x XWp8h3aNY0tMfVUf1F/aNdPa/kx5Gnw+miYPAqqalai0XzGNHDo2dk6TNEOpN+KFksZrWl04eivb Yoqi7lGUlezhg51K8IzNaSnNragx8OBKrI/j7N6AXytUgiCk3jaiJm1VAaYPqr51+KUx26hZL/lf 0vN8OfDR5tckhUE3TqU53Qxsjm2Fpd6hWmKkie0k0hy4NgqHB1Wo1n24tBIA5mFuBnIS9MLpdHBO qhMMQL9WMDgrLOVlrxvUKNU/SIzTOShW6qiziu3fJp+PMDkONqGJ9bljG1SCfZZCqAkaTEmWKfTa LVZMOn9aMjEtiBVmn6VYd0RrqHcfHGpydsmZKyGXIPSDTX5mCRW6AQxZJm9blVzKqfFboh3H6dHG G8PX0thoaiUeNSiZBP6NKsl+kbjkcJmeKy6Ku1HLmFS7IJt0b7O/ynXL3YB82+qqCDlwcIQ6DEEm g/WlOUZ5FlPqFEtjOWCuJLpgzoCCIV5AAcu7Aqvb20aakNKr63cwDk7N1WARPJdCePftVgrpjH9+ gKeBhyPS3E15fu2zCenqHxNoaclYb+egLd4V+IeJo8ABamqXdgtLKN2tQtGqE4CdqUeVCojKRIE8 6hjlu+PSstcNdlySBD19+DjoXDqZw5A32Dmj+Hyw457AmxlftQ76nacQawIUcS9wtfofORUrX4Au Og2Ml3J3f7AcOzlutU1MdP0xLzr/YQCnRj7XibcnrmrccucVB6X2+nzKlL3Z60ZoQZIpskCD2Xk1 8HNPbKPhg/l8EFWWb3gX7H9R/r2xd+jmeOIxKCLRfw8WzqNYnbJNXgKMvVnrEr4rtHOUongX1rs/ WG90gtqZcrQGQXYri9izE6qBGd0p05hvv3JR8ScHfo7Cs78Ja53jraure64+Ph8EA48sPYPITgnE u+tCS8dOuqVY/2JVOJBiVXZ42bAkyITBQODpKFkK2M/06oNDBE5cmipWKVQlCki4JFpyfbFuUq7i MS3R+CX/OsDB5fVBidyZXSH9asViCkwdZeLxVRJAYbFdRd8cujpCLnEs4mIJ9p7DosnaUQewbFfq /l0fFBWXdoA2QaFk0PawkZC7dCGis1UTCYLD87ihiCnwdRQKAezS3n2wChzMo0yxVs8Ht9zjfCmJ jvMf9lP0Dq0d2WRi3dfQJuthMOViJbg0nH2SA29HD5mW6pTrqVOeexoEpkUr8JMEpd5UOAFkpMBq s6Z0aZQqwYXejoRRjC7/mo6tUtXEhhYcc9+T9C5+QIQVvNPEXA7MqfWc34G/I4oROB6yuVzPfvFd kHA24Ako6nfHxUVvriKIStvgOw6WjuyoIN8FF04SQKh9k+klpI35rWR720ighBDVSukEDlpMZ4M3 nb2z6/mI6+WBpQ4xhKmXTpkDi0eoAOGq2UYckImVOgPl5r4LusUWDMQej0Z8X9UHr5xkuNtQpQAi LiulYUr1Eon42dVhp5m1O35JhBAQYVB2oas63QuGnbzwagMiPnR5/NPuQEjBdltxoc0josExKFwF id9zeHv7JItH2CVS4v3KwOfRyrknVBLfrVznHupvnEyx0Sr03KAn4mVYSYRTOscD1DsuCG7Z2wZ8 JskCAFFlg8MpRxJtYKfK59/P7rUdIcB/GxhvMiV8lv4ZfJdlNuCgvLt7OgxNnF2x3QwzB2aPjzai Tqf8yEzUehKfvSm5tdaGdCjipQ1nsgH2fZZNs5g6lG3Lgd1jNrO+X46qsF4pahHNquejgu53vgs0 LKbqxkuh4yPEdudYJoU4B9N5WkOUZkaiJUnVQ9MUwU0azZ1Oajt98GMplX3+f6fW7x5nZ5KH0ckm xaE++jUIlzJZDjwf0WWiuXNjH4WDjiVYFicDUmw+H2Fy/PBezHeBeb7mxZ+/g6V9Mr/zldSjOht6 qsAEQ7rlaJOW/VTaCNB5dP8dKuljTPLmXP+1+2AwQbR0a8nsnuwTQ6GhwoIv7hbUxlnBlrD/ojHv 34GSv2lScbyoU16uJ+bATyDptoWn6+sLbm21nX7UsCPcVP/F+VGiyTmbaZpiLf6pFCNU8NI2vNIk t44LKJgc6D3BCXN0H2yXAR94bn2Sc+CePqTm2tGvAZ75JydBIr8g8K+zc8JIMuD7Zu+Eg4WRrau2 jvbO5+/4yP/QKnQMhERkegAVXYBCOD1/R0f+FEr4sxVTYYfaRLLDeq7Hh0697oSPCdYOp+fNyxAu s11+ocD8riX/Z9wmLOaVcuskpE5//o6M/E0LKbkn1b6DxY/Tce99t8/fYdGdWwms8H/jX52YoGmT 83dM9MfG2nWoBwn5BBPgqKQ7uqmIIwL/joj8Y4aZqeblg7clC9L/pOp2Pf/FIku+g/1d0YBQ9JYe ZOnf8ZB/RZbpiqAAHRuF1WFAevNk1tZXz5+/w6Fnk0ybHXK6UE+iHQRn5+9g6D501vxZ/tWY77sm AwC0NJsz3xlHyko1WDqmfvwLUjoGuNq9Cbs1EAlRaasViqVBo5OixlOEXCayf0dC99zh9DS6R2hQ tn8CEl1JrZ+g+u846D6tKip6/kVn1E+jiByn5+8wyJ9qJN/M5oKIu29v/VNxmUJbubswwN9BkE/P 1H4Z1rV9fLF6nfOE6yS9b5hKNF5tFZ6RneWVSgTgklRb56u+T4+R3NKcPuiSRq4t5eJWy+fvCOiu AzUsbGudXwZIqGGz83f4c8/yjnIRC3ckl/JClhpiX9UK2Qk+R/KBiGZnmExk8cGLRR0i3AYihR1E JT6yQXC4gcoDxQnPXGVxEG0tudYUCHZosBp2B2mcKVYen7/jnufU8slhNQ0lAECiNTl/Bz33UD53 6lgKA3mhbwNEoGxRPIKGvJYEfaNDWajIqqVTn6UDTZUM+X7hkBuzcSBssQeBD8hkxphUJKWR/o54 nuXJRDXn7YMBbE++SjREOzHT5+9453lDP5VlRgtdBVRVNT1/lxrvTbdxGMuumSJbeTsUohupJJ+L Jxte5O9C47OtRXbg377GV3E/Ua2CkiQb3gDAL4BRvBCyA9tW8pWwY7L4+bvMeKeHYNEsib+cPU/d cI9Saf/cf5+/i4w36Fjza/F0Celvm52/K4x3vVbupyXkw4mfTbP2nDtUa2AfbfcraRMFPBKNWrIe ZqVEi6fgrDlZdkV9MQPUyYJIBoqnI2TNIhQJu0YXo7+ri/cXkA5rWc0HK8KeiIxwWJaE/q4t3nNH kmBYs1hnsLdtEPxQOBgFy2BZo5u/rBxEf1ZOjw5JcSLLdopWFC2LOPobIXIyMNSpIW+M1YPyNHts MDg4Kc85cfa6MhK8HT6/WGx/bq79NViTEUrBYkQCRRPFy2t9TxD+pPOv+63+i8N2f5TElpnVfMbq 0zX7a/MC44ny63ZGTRgxK+FKwwePmM+dAlfroTr+LI2K9Li5UMRElkE3vipDRwH8o8O5qORaiw9K SzvEOWW6U/l4EDMn32BcLwuyXijN2BS9B80CiM60i6H6wIh10tHs2w6guZKvofeoGSxmwR76HWyP wawQxrJM3c8Rs4qJ9gOfCL9xYrIsp5j2ru8zRLIENV190AxBjVuaPw2Mo/e4+bqCUvsPV8LZZJhY m6AocAY69xwUs/gZtJ2VdaIWP4MqXX3Vyw8mCIogb5r9gAGdH6s1ThDNkAiMbFAKq7AL/NaHnM1e Nki7WP1bchvhoCvsHPybWDV0wz+/iGtPGJy+D+lC+9Y7Q+/Bs8AowKSZu8pqy3RHOhhmFh2ewMTR Du/Rc1ZXMZfdsw92DJ04jHk7q60bBtdFEmXILXDUFvnEapOprBEdQ0MWRgwPOVixFW2Zq374i7P2 7NH0tYQyAcbkPWiGovgZdeSzoYfJsFbyFVTVoMGwvEMgXqDE/T2AlgbeCUzQS7LBNtmE9kg2xXXo veQkYQn0p3BroqrkpVY69PxirD1nJus+nVPKQdCiQcrADwVX6ucXYe2mCuL/UJ+TEssDij/VZ+g9 hlZHe6bkMjZJC2fJSdJNjMbo3nKNgmjkUmdqqEmvwWco4yDqjBMXPMemYLM0bebaSeU6Oor5GEXR jXj2ruy93+y9F+AqFCfm/flFVnvy2+4HtUm5QCfirqEokCaFtgxDEp1QLtu0wBXUS9Gruc3TL67a X3fZFJRvfilodtrbSHKknavM1Ot649UD2ad0q4e8sz+/uGr3IJEkUJLxQ3LjBxx8SxSqs7I+v6hq zzvOrzSsDFcU1wy9x9JSUAJAvTjMcRq5qOdet9VX6YCnNRQF0yTFUlrEBy8ALeD48deghXiuNvMU A+q+SKy+3K6PGhrvwbQM78+/KQsRDlYBanSuRVkH0jVRNL1u+VD8CEC/+91kUTiNdOiEaUl4mNlo u8xNtpLrse5JvBQnKI6ntcmQpGu48SLqj4vabCfnOCcSGf0D7EBE0D8SyRKieE69azBBnbZMlC7U oAJiomGCEqz1+UVQew7q/R0NdZApUJ6zCmIUUYOFPBYXNy2M4JPpppcOmj3pWLVs9Rc97VnAIoCU O3jGsTdhexSFPZHpKgQadfQwKs5JGuW4mNbQu75fZZsSLIPKhRq0hIChb7K7KPnzi5z2JGTf0RDC 1MYWtCYoiqjRrB07e0Nsl37LiMUCAKCgi9U6flHTnrtenLSZfbidnnJOHCbODdNPq1KY1sLQh+g2 ekBYKYjQ/V/UtCcnk7EB5Y+aqvLIyc4tMJoMHdv8/GKm3XM+3U1GfT8QLIBHtimKytAwsD2rdxiu EX+M4RplZ8twKJGuwSmKImrl9NuKzGteDa3z0ud6Zz0JfhvnwialtnApoUdPM0PvGdL74Rcv7UnL dInN4oPHQ9tAU7m2zy9W2n3Hmb8WEZogBchCm6EwpKZKI9MoO4ecUgxoj51DO287h35x0u63Zxaj hypm46mY1TNfpZBKjRlaS7ddQbzXQcUr4sr2R1rkFyXtWUSsmYEAYYNmCD6sWkQp8/EopPYZYksV vdd+T+pfhLR7vqPwkdiio+I6/d+Fs17pwVknk/H5xUf73uRI6SV10J5tVmDtAfNXhkMFLDkxQBMr 9WxKlgtAI43oFx/t6YSJcp2qD9pmUA3SXTbS/Pyioz2xgs8Q0f247PNzEIUl6Uqvy1Gtn+FGxeeI 2N016bsMVhI/5193WWrSXWmP7ko9N/C56xPDIfQME+FpTZyjM1tkcVjFHt2/zy8u2hO2X3f0b5P0 c5EkGrqfj5jr84uK9izz76I0QUegDtgERRE1qk4nD1jJMOitmCY9uZmWt/bRrJP6i4j25K3SOJI+ TSt3gjK0rAs6JZigs4RSl7bB+cgBRB3I5E91aOll34+hpXyMt70GzVA5a0vmwivtzy8e2v1b8/w6 hgy7dpdQFFGjxDJn9oN6lispdpLAaXV7aDbYEnqPqIEGw9RkBAg2WDg04KN2MmN1feCGyXAIxCvo N4OUcyUhiev+/CKhPRMk4rD8RqA/LPvmM+9N5sTQg/r8IqE9a8hv+/0j39QKcInNUFSdbpQRadVr H2W5mhhKOZa3Fmiua4beI2qUjhkwgtJug0fUuSAsmwJVn8hrDRmNIF1FOw4EBCufQUKL3xEEjMPU DZMPOqfb6qaeunP//CKg3cfXd1MVrayCObcJiiJqHJAnKfPiUFrujFGRg/84a8hLH7/YZ09kjk1G H2sbbJNtkGHkAtxQx++LxaG1UOHYKNDIYk9pa9W7BkuILJg+NEHjTtBJfKWj1M4e/vzinn0XCjUf Qkst2t/5Xf+Le/a0Dgs9iZv3f+5d34oZiuTFvrT6znFEzamRbjYHW0ITkjabBOZ21sCCES+78oM/ 4dlp7QmG8EN9flHPvhtcwHJQ74GDgRbOgdCUq4/8+cU8ey7b/L2EkNpAxdomKAZ1QIQmu/MulBku DSZ7b3VMJ3j84p19V+HAxN7JB00QSq/QnZviwp7gmnEiNt4gNuz87lvUJpBgit41mCDRZWQAPq4B OKwGKtfTifz75xfr7Dmm61csxHQMmEqboCiehkjNuRIuxZNqygK9qm9GScPuQnS/KGd3/TODKCjh 2eCYILjKnjUoZ4yN1qv0s3BdUdpp7genOPSuQU7G7vNOtATl4FnrWuoCnZ/184tv9pzSf9Q9cBuU Wzv7xTa7EwQ69aYPAcELxRwOMnirrknfa/MJeg+nDYYPWefqg62gTIrQFvAF4OjMU3qTOoxzN8+r sjqrvWtUf2W2uqYGIKQF7jiRl9qWZ62Wzy+u2e/CBwkgWLlYlDZDUTiNhH2ck0erZcK0ymbo/LcX go8lrBmKKtSJsRB4CT7YEsLRklPXHjvBD32iscfQFoBf4S17UBrt84tl9uRjPKS3waeGg4ELvOwo adzn/Pwimd1QZHuoKKdvVAOZ72h+3oNp9K6BYMgGIZ9drgbqsyZpqpX08BV/ccyeTICYKTLONdwu PcoZyVx3V+7NxaBQnz6HOU2/5IzRiRD8xTB7mp6DkRAzVg52jXUhUFkJ+/wimD079NanqyTEEcXP ewpF4XRnVi+xws7Jmp7V5+WWl2eXVOWsv/hlzyXBqiJuBxtsilBV6YtW7e1cYjBjJXYTskHoegDD 0i51qm+9bBQLARCSeKhr0Dm9oREiAcPJd4ygDO0rnEZ3s1E8WDMUhdMIIsYCEk03/bgF6lmt80FF NytQ/yKX/VE7yynJRIRH/M+UatHOcJth+XV1XGr7x13CSAJO8/JcKOTz+cUtu3UsutK1IoIwkS2M p2GHVnlSn9u8f35xy54ZXl8n9YlbZZhoUxTG0w1TVJYlraOO4uGibB4RDGX4Q2qKwgq1+kCLdz0H P4ewsaBUw+oZ3JLZ0x/obbGlPOZTwkcE//nFKvvzpG7UBgF3Go3aH5IU01BfBUfe5xer7G60/T1D gz3bW8P/xSl7yiUL7mB9OZaqXSj5TO4TRjsQzVBUoiYdOuekbZaebXbW4lmjXdYYkPbalDaEj8gP jAzPcZ2fRUQ01S9G2f05Sasi/twGq8DmKgVMcBM+vwhl9x2rh0OcDqq0wznKoIphRL1ZX+yq3Z+T 4KKAxWGmSD/VBCum6Bed7Nln9J4BUMAGr1F3skBNe/5EiIvOGQW/qNw2W79g+0GN/l90spsgkbwJ grIGE3RasEJkGf8cdPPzi032lJv9umfwhBpcvSH1LzLZd+X0HHuUAIX6JRqr1OSHwTv2FpqJEwo3 lOf/xSW7P9AyDZ7hg80QIA1zUhr1nNVAs5CxAEtntBrONqsX0wDxCb5rtM3IbpVXDwcVzxIAi6KS 9fH5xSR7ag/fHXveDvl27H/xyO4SWmD8zKUuNA7W+mPuxFzFnKBGrhx4ZL9oZM95RrwrEh8bHNMw TtK0IcQJVB44A7zvAbFCSl8hxFSvajFQOZ9fNLK7Bipheahn2SDUxwkZlrkXjPX5RSL7HVPzSTJt ICRiUxQF1Vh5sF20XZZIPSfvHn+cgckZ5vG+/0Uh+2uXEfqqwUsfFS3XxOLQ2RgLfTNiXkEnIZyf h5ApFpOK8ItCdk/NJh11BggzPeCzVac5fZ6g+heD7Om1+UHE3mobEhz3KQqL1JOyDdMy15Vdax6a CN0yVyxkA02HRWqWYAlTsMGjxr1bp6b/iaoLPMANqIabdmCvzeSZ66IL+C/+2JO5MmXdyju2oxqo wiuVwpMdfH7Rx+5qSB5X4wwAg4GWtDZBUY0aUdqUowJBDd08HtBvnTZXJx5t1gn6RR57lrCu+5p8 sDV0DurWN6RRALpvuLHYcMT+Luif5Kf2Qf785xd37Kl9cAkRCaHBwuozs00SvHt8flHHXsJqvBor Z6gQaYJi5HS/Cs76J7vtYUTh4GDiPzVBMeoDCUelK48GmyBIjZ6rEAISJ4BYdM8jPg0LBepdkOi0 PUaMwOcXa+x+h+wxhxQcx21Ig9ktT8Zd+Hiwgur83mN0Sa/7XmVRUI00A6A80REA1LOIEZH8td4t 2TKzX5SxP4PqorSjPGkHsFUganIJjXoWZqGWMbT5AbGEC9W6pxAhnr8YY/fXZCd6DTITONgSgkc6 91g7uesvwtjTsr/tVjyJDkJhPqUZimJqlGrn2EbdOLHjtjb0OTGqK/C34gpgLYRQE3ZGFQEbfJN1 iq3KFwQqvFO5a8dKoLkeHfma6mf2rgE8T549IgcPN2eFkebe8h2A/leLQmqcJ1/EH9w9X6dQGFKj /CCpOiONO7fl/PDdQdTDKXUtqlFTPAex9B18k4HU2ClAApmdcw1sCVvhmKbfCzJkN7jWOR3CPpCQ nVnEDGmwEux5TqCGnfh40LIvXoLlemnY4XldeksUUkNpzmBKlGhQy54o/EnbC6kPuH9zfw+pcVCz gjYJIOJgFTS6+oAZwtQ1wyBOkZMcJwskOz2i7s3eNei2qhNEnW8NnCGwDgXWK2fd4/GAxLHnH2sI q/p2W3sUUWPh4iZPXmK0+50Cf3eCABTnJusR7GPQKQUETB9sgtIGvWpxSSOvLGVI+BLYRcSkEpHt 0o0Zetcg5aCBU2/qBzUXkCulUfIBf/RJOXoUUaf6XcVHf7aUy8zsYUQNbm8nY/R/3yg0SsY0i4Vy cQp0j4rUFi7iKLXBu61A2NbMFQQSJRMxqH6g24pGQS5PUjZxS+BLovIQjvS6iVar8F5mxQKyRWwt 4s9uBc8HBMTZvpIOqvs3sFJtjkIKYis0BH1MCcrF592QegE4x5C6RyE1aqSoPqflg+f2CSJipZJG hsIZKa4oE53ZxO4trT0OxVSv6FFIXYj3oBClDbrMTlTVtM1mmXg84mjO78uMEQh+FZuiiIcI/VaY vybhz3Bu/JhCk6rXnTzEbJ6XPcZ98JAuihjLEzGCcQgUow6i2ido2nAVLhBAAb9hfwlXbL3rv1rS 3bYbTpLBRZRSovw8SD4FjweX2fxO7VGXQmblExSG1Gc/jrMpPWKUVIUixltkJGlXayikIo75brdz soFzok1SEc99W9VxTA25KoxhGbn5QYQKMr4jSO0rcTGLECsOqsLiMrZaPk7qKKR+dplQRo26zj5B YZ16wpAosZWBKgiVHinK1Nl+YwGNAkpaQXFITWmYRZ4qB0vtz0G90XibCKAHXFG4ghLs8wZs0nJ/ YB+L6LMe1anJe4feVfJBB0ptc1g/cUw8HhE6HEmtxhF+lOGZfX8PqZF0QNMLxiasDkFKUdWhcwxB ipNHdUHSwepQj2AfIBfxhko+eP0MWKGVReg4cRFRSAYX64U3abM9VhId0npUpqY93vkJCRPmIFwM yFdCDtU88XiQ2Lf6fdnTWbN4Xt8jHDVmAVewXIkHaunm2wicsOYKQqPFllCE+lBWlgvvsiwl1MqA ccNoj2B+wPP2rgSfNfBcoLL3s9ZzCAFVhu+IoqEpNEPzQcWhE7Ev0TF623g8wi9+Q6tyQokkX1pi D0PqxgIjYfeTuoPL62cKAVA/I0u6MF6MqtR01zlrh2EjBzum0Us8qcaSCuoaEM3BbT8gSFTZVCSa Y4vysvSyQeLK0uKUMNCsDs/rLAByDYzU8HhUHPIKo/j0NNe5rY4RhdRzU0XXRHKgFGtA4Xa2uSEY z29fLesYUZWafsS5NEXW7Zmis7PQ7KAkDPy6q2nvo01aaPJYxmOtk/WuUTOIvcQ9sg9aRL2jqKLy WcbjQUh9D2qy7DL4bLQ40AxFMXWHQQKUpLSI5lpWHTrnZ5F6ZUkDTVTRxuMqtazk+/LBz6HzMeBG LzuHGojqqFI71psJu6Vly9414iYSmFcIZJzFkdSAEnY7qPfC41GV2qtDBMedLBGWMV4eGiGSmgEj PDNE6Kh1ezOoLUMz7oXfWjMUchO5eOAlqYGBPbIO5B0oAzEaSrTSUZkWhRnIxMvcRJf9+ZCB7wja 0k27jPUkcL2MmYFjjvCzfv4Hj0eNju+0jLiqlu4EhcgPaMTv1ow9bnIDQ8iPC4Il9o+bLIqodQ6V zNrHycqGTRDSeoDaShWvrEGqQQR8SDLS8L5daMw5ige+41/Mu77X8MEC6tLFj2jnOMPj/6XMQBz1 oG2GtxNHFE8jxYD9ybAJSuXi87KjZFajwQAnKIqnAdLCHdayD177AJpx0NYTtY8K1DAZL/hraZ/d 8ritILqjj6hGLeGTzemEVAsyX07ROb+E0DthesbzwRrq37c9LtOCiMGmKIqokZLPnm3lzN6XyzCn aeYVJ3NFDVibLIqoIS9FwfM7fGVlZxZYYVyNOiW0GcApUGlo066d3ibHfoTYD9Vglxojy5SLIFM9 p5QUz97D49Ex9L3JyJoCLtkmKARSo83RkD6qJU2PFWMrVCuD7IVGtSYoAlKDYAjIB5Up86NMuQp+ 30kfFuiDzyUH5xM7nw8aMmu8vTJiPEco8IElNMkEscE60ucPYIEQDAs8HoRD67vAWChLeePFEQI/ OqpnrU8jTU0nbEJuq1zS1D2moxq1emSEinMg3IDREJAfMoc8V+P5q4asYwiWQikK9sjXavB8PL4j CobElmrLB9UXG4hHXAEn9sTj/9Up02oD32XdFRQG1FAX2gxCiIxR+ipkTHVRs9qdqzDigFo+wyqN teE3PfvrJ9ia3GLnjaBLwKweEB/cYWc6L9J8awmFEbV5VhMLi7qTIc1PYjwHO4snRFp4PkI1XIWh HwoJ4ye6EjphRN3p2D41MSAHFW+ViXrP2lB1eNUIi9Rk9e6ma6pdDOOYA3hF9mtP1AIGDIn4J63e UL3BeUctiyYFpqaXjdqtdv4UH5SVpXPvsveEvYfHgxka9WuGIOrYqL7OGZphjRrqZh0EReRiZ76m JfYnbTUXPWi/utryjAJqu+tp16nB+xxni+JO5V1/bgWkZsToVZ4p51+nvKTwZzTxmCHqg5XFtQU2 ku4SxVTO3TllWd0aHv//9DngJlHbXUIziqcp1JBkbY5qAAUxWPnIiaZUlermPIbOXT/DGrUpWRCL z8EuspO10mGYJViE1NLGbXC+aED+jlZvBRbXEb4jSlsJ8+xUteryXuaNlBrtiDBBBY//1zHE/YhD f19Iw4zCaQQ/s1Bt/n8kT60r/z6rc+xXcQb5DImJRcKBpP+mL4dKAoMTDmLAYhawGvRepKIDmodn pfbLbqUMwYxr1FQzn7TK4aA91oHLM4Glisf/SwWOlcgTM1E01mYoBH2IdjdMyUKiWExaVyvmA7cB qrAZCivUw/gu2QcPF89LwGdLDmeADBd5V+CnRjVMyh0qUHd712iCWDRDQdQGO4Q28nkeQinj8Qie 961kgRS2PaSyGcXTgEjPlU3NC1Ucu8n6uTe7UaZ4IvKYnrFQnlAx4w4O+VgQh0aBrLAXPQpRMR3M jYbAkf5ZlnBgXvEdwR7LUuZUsc33cYYSsdw7W+kVjwetxP5N3CQbcj6HUFyfhr5HM52qWfP0lHXw vpbSx3bY0AyVPnT6JMaMHG59+hzRoLRigk7OuqeKi5myweffg0OHB4vD3jUqLjIWqnJkqOalnonv JHf3XAMDj0e1s2/GFNop39dYBPmAk8m4NF/owQ0/hEZJFiyiRG7XWFSfXmpDZ0HN8wM1X3AYWYuS TCvBJ0ceOkPe4mdaUVN1QeWidw1WEPOMSSNrDJbTd1DsWYDvGddYLPTxR7qB32HfFtAMWYlEfPQ2 DTS0rhJKbs4CXhPi5TqDQsW8IpEGcqQ5eLqRYMu5tliJCItYFprUYSKFgdA8q99vvWsEGuLS6QJX Ud+KE9TPP0tuaG0+HqGq2tcEUfmgX7LCjAAfJLaO0a3VelaNlYLg9uuwM2iR2gRF0fQgH4iuhzbY Cjp5+1lCnczfsxnOCiXMsZNyABVreitZeXrauwYIYYnrNTLKOCjdOFelUHqAl+DxCDTkPTKRPZEP 3ur0DEmJ7YGdIaqWS4B60cvVhiaJTTyDQpkPUe6WCFPrIUytk92fP0GClKWhM8GoG78nHQnbc83T qQXfEQVCVN0Rlzo9jnhQaBP8teSCx6NQenwd0uBytIfWuqJQGgooOHnMDw/tCMvH+i7TE1Z6kGEF rQjuQXcuOHHcwcv3ZcO3hCBz9O1RaOQZhGMHlbnMtsWXDvcK4R5bucYuPhggJqG9+kPv7YrH/5OT yNo07vt5OXcrrE1ThluOpQyJrsxHp9EJ62Z0jZUsZRhL64LPhH1wsD3WoUBck2es+FiqwqEsXaEO 2OZ1U4LjGt81qt4TGVLVl63JlxBgEmavsSse/y+lGAVNDdI7dwmFWtOAmHfGvsR7dJcfAN7DpRcz CgSaoBDvQe44fDY0sBDKUxpw6rblPg1Z9817fk2UGs+m+6F3q5HroZmA74iqZtTOTWz/cdASAtxG xjfnh8Tj/x8FC1B5arpEjhWF0rTA68WMlM9UPVWz6u7KaJYZkWOF+OnOZGOr5rFvzeNc7X2elZNI 5DjnUa5y7uI1Qg2j9ei+EnS2wtL0lB7uTD7YHtvgeeGPznnj8f9KV3lldeq5e6S4YsnpTuR9MQz+ YNbBHiKwhuZY1mSk1PE5ESdRJIU2ffBrrCGQIzkfAcs58eki2OmhhJ/RGoMseLBPvyKoh2QFu7SJ evNI8dyIWWqhKITj8f8KhHCNbWleezK2olD6LHo6blhZcRBLoECIkb9OaUIiOEGhwscsUjq7g08Q KmQbEh6w4FiwY2K6eg6jHzjUQL0uXatJgs5WhPWQgM6oLJ5xUEJ/krgk0NkJWPD4f8KFCGWg4+S6 UxTip0FKrNUqr6COu/J0K90B5p0K1txkYTCtWDELZ56f2j1cmLEyu9xJTjgtRwDETiS8yZBlaA1l vWs0Q8w2umr3fRgTCLIbmxU0OHzh8egi+1Y8w5/UaFiqCYqCaaC4BAlmTchysI1YcbArhlixjeKb LIRPD4E8JDj0BNMT6o8oJhapm/aVFCsCRMDCUE9XXjnNoXeNZHQo2imDyfUYTO4E0qNqQnzF9022 sqdj6qadH6dBGthmKIRPQ0enEBVA75ZZ3dEVrhh2TstXnEvon2CPE2kyl+BgM5RQ2a5AdJCSeLIN mrygYvDTAa+kdquarIVBMb4kOIfon9ynbvzpBjcTYlVDVKBV8XjUqP/OWLGUKxDCNkNhaXpPls3M 8zbVeUkKM3mTdVcXEV6xah6FFxOVzzj4Gjqp99lb6GaeeTmZv0ibGZW6Qc+BLwFYtNn4slEDiNyd ne6gGWpFqpYoMPHxYBElR1RNJSeQwfarbEfxNH5ASMFZRgZ3UVtDbZt2NwD1xUgKOypNk9Jzkohd fbDi/Vk9rawuvl3praOpimOo4iqBnEK5bDL5S+8onibfe/Qp8OKcrjS0siyfEdzw8aBsVv84hrBX UQexCXoPp/FUA8DDEJznh6iu8TFH3VY2m9n59TvW+OASKnJ0L19Fjz7hDjUJyoP3U6N4XEFVaEDJ Hum+32QUwd8hfFriHupCc/CbLFdJKUEhd7+H06rvfAVDODeAhbYJCuHTQnqY7S/KoZaytkHTK0WL fZtAw47DaZ7Tqy4fbIJw4+yzxVg2S+dvzKS5wCXuh+Iu7UaLhVQ/fEcwQeZMXu+gfANiM6SSFQRD O+QjlvmVb2QZXftNv9/DaWdKXRVqIhWVkLHXZwYl3QXhdoj0UNGDdTANPkEbMAyajAJxcwJtttCA /4Aw0Ml29r3pKUeO74hEULi3lpAey5Ee46RDjWWvszgzHv8vDodQ6SRJtbuEQuj0wCEkB2C0W1dz J4UTJT0WLg712CF0Wgj8IZfN8bhs7hMedjRBCadCMQeqVonFjwqLpLwuFmZ1e9d/WSlg8/ugJVT3 2FoCJ6vH45HKx1UQ5pEORMjM95gOJfOg5tXVnaddwHSVj5L2zVkhRKAZipEeRJVnWgFxsJSsgSs+ aQ6tTTalPgAMAbr4Mimx7gZiKHxHVLw3mFD1wYAM5xcRov4k+nj8v5SGyKDAcdKIH9AMRVCPJGp9 sV124pZkM5SwtYwqVb3ssSOohxWGLHd9vLZXmvVcX0NYmBMBTXjmAi6ELZPZO9i3Cd273jWovQ4a lXUlrf2iy4FFqdZjLXj8v5j1UkHB929vIe4ongbKGaD7ZESp5U6RlXQ4syuBMbkmKIZ6UOMd0Gsb vAkN4Xuc9NJi6r3JcwEY10J6ffclVFiJwndESSsxHkmKQ+kyWhPU0Qm/PzclHo80qL+L01TZBdXB JigsTqdF2eDqE9Ss4Aqtu+5EqUkmWefn/As7XSSow8EmqCILGABTI6tP4IGSrwmLGOiEAaF70VR7 6l2DU4hXfFeGwsFOoWwbDuxGPB6UPfLV7cQVCMU9rGSfoSicRo4627DtBHNWi6HRQm+aIci5GV9z R0iPSeoG4MQ+3Fion0kpEoTDaVSYks3BYG1BTKzeWIj9jf1vgY+dmHZseWhS76yyiotCTxp4PMpZ /RQijo9wye1LiDLc798KikAnfJ0CH7M1F/gQBVETso0EhA+KamcUWU5UneRg8TQMhdIEnQ9+W222 3JR/T2YcjYixixeSrWWKIupFhZjFdApWqU2mffnkAUmW5ydcn/yAiKXg0E7+y53e1elOUyicBx4H pEcJ7YAphOYGJoPmhgiEeXL78RRH1Zwfqn1o8NQVTNBVZEs251laaMfCpQAFIsCqNi801fHHttf9 l+J7lQMnBwM0gAtKyMdojc9HDPtvjVNiJvvjzZFCf5eOA4kaxygR5XQdbGu5iuYTAFXZBqQQRN3F C+/ZB09fE2z51JHeBZn4opRwAvkMbL8tMwDBzKu9baT6LpI9yZ4DNZ+uKBkczCVC5lj8gCA0Kn+k +JnEqX1nKapWg/U420xu4TaNgXf2xbYaf94NcGtzUgr9EcWXmjKynetC9BAxIjYi52VDxJAylWcX opSfSam5ED3i//ktEYpROZo8p6rbvEAFvrqpROXz/9U2Y3i0M32Yn5MpirHTuYBPcrYENzsrGqBs nkw1k7IADNrIyR0WUmj1wtAZJCkfbJpOrohSCAUbNo8pYqwydIQG8jmyEq1aROlefkvQ+FAOm1WC y37BnXOvGxtpw2QhhRCQ/C1hlXGPMDP1aQor1yf+mqXXZUi0QSpVwanatpHN0P6qYpjlFItTG8Rq +OAwmUq0IbF6+1x3qSjfb9QeQuReJDWgDuO01w0S2qrKrKQwevGaUQXaTW6kafP592mqt/Jo3bZz lNXn/A6L16ByAljNiuzYOdtialku7ZsK3u2e31G0TXB+gl26D5qlk3oC95AoA085JUgfnM9O1J8H hi6lK1xJNDm/5R9NogGNXB9sz6VS5AUwd+fzEZ3TF5MoMAjQ+3MyxQIg6FCzy0Idoprl/os4dllD ZM253ToohSXsxWCAJBgNtuUQH8G4lcEAAPk0dz0JGFDLkPSf2W3aBSrgl/yL0NllhocqpxX54UW1 TFi58fmo1/jNx2MpCj7rPklh1L0gcUGBLkJCxDGnkne/7PuW3Z8UHxRg9M03WoS8ftlm8wR/6OSU rPIICv0iMXRp36PW7pGAOSOnWKmamMYtB9d9e0UFZoQCprXB54P91jzwxv8D9n2kxwsvxRBrsF2T l5Cg++zgRrb/LLn13A0fFK0kxkt4yAbPbk8aem5JtmQ3+jqK5ahzw1Yz9WSo5l0yia/4kqiIxObk opY7B00Sed5qRDOoDA1gblNWpq/gmlxCVQ4tFScN5XPXJJkItAIBQnqZ4BLZYY6BITZEalaUqNTg hTZUlXbhSjrBTCGKAjsShzmMwjbJvrKcpIt0DkwVQSmRkAwjcA7Wl11TBnMne558Pmo7+snd9C9j CV/LwNBWEWBseCQbq2pNs76FaWmvPknV3ZJz4KsoZwPyzqoPtpImjrTdWI0829lNAzeNTFFxqu0L xOdv+y/uYpM0MweluYq8eXCPyueDwDv/YQPDGta87escOyvCsKvLQQiJbvNmEeB40kwpsBo1zZQc WCt6d7aIP8Th1tuIcFyGw1owXJIuc4VoSUWb/2JBS7O3/Rf5jMVkDoZ1PEt0sk5I9jGf/6+6v/qz BDo9kxTVtRfzcdK+KO692/SKW5vbJilJQL/rg4L9xop2BU/NBk0SYDSooGype8OLXt7laA0XyoHN W9gebGHnwF4R+42iIKh62SCgyFntFlCeiIPPR/vNT26CJho1j59JioX2AAkd5nUyz49wPTrLdvUd 6Of4fgtpjFJFJTtGg1eVIAG2Gyu3J4zpI/FQgm85dEnxe6yrgS779hx6LC5yrCBfr8GCAMRMRLCy JMzngzbtH16viGYLJBd9liIRa7wWRL69ATCKi3xvtf0pg15y96UUA0ZYWZLKd35UvkEAyZVYGcjt QfqIPRIDCJ+bYrF6q1PJJikKunO1FPcOXEoIUouAixVBQOizmK45FXF9OGvn1QLLoc8iDtBZ3VIR dmTJygGDXj1oSm42nRVOhkaLxF+fpL81HzyDK4Dtg4vK2tL55RgnFPxVDUJbhdPlbL1irxsVTTg9 EiccxWfp3MBjWMO/bD4fnN3lD4QxtQvys5RCiZBNT4YuRNY5ABkPEDai8xpz0ok/0SxFGiEQEMa1 Jf+lOZ+iSUEDYFMCYwELPFhXabhdKHpMr1tXL6j2tsFSMvAssZ3J1aAz0E3KK85/q+cjGZX5nZkg GmjliQKioHslVN1KMXZ+IZlSVIftJsIAb3afpLDWzWLJFmeRgy0l2HngiMtyxu2bwvIJxP0zP8At r3IlUglc4bcExQCzdWfAzsHgNYjF+DeeLILPB6nJjZWGsstv54Ecei5i9Z9Z2tPyN/ojCMXWi5Xi 1irNGDM5Nl3cUyjj7IOfShMGMap3L+hJLBZXwFL4ocmavM7UdVv+tpFMKjeashoO1lQ6IZICyjwb nw9Sk37zN4KQEKXt2xPIoe8iVvtZun0bInuMfUkPF+u3KdPPWQqMF7GWhTSWNk9+tME6cNi5T2W5 FT0q8mY6ZTPOVaFa/3qQbDl0XpyqrUizb1QmSsSQdKKawBbCUgqsF5Fl+lLiAYTeX983yw29F4GE mCyYoJh0/gkiE2SAdp1KYOoPQbU4STEqW2i2VH3w1skJIyFdQNlmms4QwQYJmh+GZo2ZBpfSav62 UdhNZZ5K4DoHHd2pcLqxlMrk80GN8ma53FpIiKDQ6pMUIUlA5Z1NvDTQHXMxWC1CZ/vHk3Flv+AC +0VFMVC6oMXrdnYUlfh2Sllajrucg3xT8iGTKk3WWL6gyJKrv26EtuHeVO1tQlfdyYnnPCPWAT7n /IAo7v5DOAS38krPWooK3ijNDDjWc5rGZAtWG45H0P94yxL7pbUU4rPlMIifxgafpjMXJ3dA1RG+ gq1sONLvDYOIiQsiS9JRiS61d3NowpgpPEM2OgdXV9lE9HLHZb1lUA14Ct5FKnVnHbcn9A6NGDdt BoW2gXNMH57qtt081e04rhR6B06Mqgl3641o8HoALGDpowr1B8DjO9VTAVuuhe2TdDveVHrKsRMj URMDP6sNDk3aVUWTcyby+UiT77uyREWKvZ7TOwSWLICPd0sOLDGlAwBLqgNLAB72SYqRJQwBhqDa 44Fqn8t/AeWwJLh/chSIREAwdEAH9xxMVICoj7BjDt0YczGMdvFBd9yArh0ZNSfK4/P/LyVnarMB A+SzFIXexLgp8EAWN11yxboC5gtbHFySA0dG6bPRwWr64PUAyCLVUiVndIIKLrq0GV3wXhjeOzFP zxw6MjJcmnRMs0GzdF6VtFLo6g4+H0Te4w/iET3VEI37LEX1bkCpxxLqH1mc6t1EmJS0HWGiljhn KVa8poxI29MH33A41jagb6XBxAxHODYcMaS6GpvPEsmX/JJglgRdnmzq9ulN3bpO8CS/rjIan48Q yV41kbvEljLr7cSFzozwF8H53WyaSvdk90xOtWSXPl1KdgNrRugqcZqyrBnzY81YSqc2/bZkt21i BOamizYIfcT3Kazcy942wFHIs6mn6oOmacDZkN/XuOVCqMkfbH6qsXamkjZLUfANTPXZctsQb40c RmFNphdO4CZTfZai4HuQpn/iu+LDbZ7AGgKaKRLNGFsRExN3uMlCmJwlww2JF3vbIKzUQb+oRsch WQluSGStQR08hw6NT3VJGmJEkt2IKXRoZF9gSAGCKmsPn9aPKPBpncqWY4tGWVv9Uj2AoFGtkxaG DXliHk3ioojCUC9Z6el8d3vZqGxCP3gWBDTYHMFl/al4Bx6NquB9VbyZZLd7w4UmjdDMmde+e+a6 3YOQLqXm8wnajs1RFHqvKZV5hQHrCQNObguYrcRFEhIVMSmogA+eOow0Lyu729sG8IApG13ZgVy/ 4bIpj6iUQy8Z4Uu/Q29G8Olp6MZGjRCaT6UJEgiCsatnZIG4ZVrdTQcqh06NfcsVvt3BJqmc87Gh HYCYEv3WxoJ3At8YblpcCBfbpbZ36NU4xWZbDJY4JIspa5JIzUiDz0ez9F1bog3JemBLoVsjvBdm mlMn0Ty/uaFwyWywNlxNtw0X2zUq8q7iXtd5oSbnsEDdUg3dE1yeSaF/E3WjYANC/rqCJSqM80uC /SbmOuCINhhqaTcd40AL8vlov+3vVBfg6flElIFhI9J4EiaKsUcndpaZorY+LmFieQEucGw0fi2E oJIPju1KE/DATeLWAjeS7EjIsvx0YOsEgbPaUl72uhEETjuN1xwHCymr43FOLsXng/ttO1CQvCmA gFtaz9EdBd7w3UTZxCREE4G/THaV1VM1q2WXpsuhcaOYalsESA4ONFnn9zqbGqZJZ5+dRUW154ym 2CCjh5Ryo96kbq8b2V9wfjipGmzHTRgt8KhB3STwbsR1/od/LACQJT+LKQq8IcsEOzC74FLp7il3 kvbkELjiJMAceDdKEob2Q8UHX0wDUt5AAJ4dh9r0rKx5AzrZUZEAD94bA6vb2wY7jro+QwoBHDRJ q7J6TjTO4PMRn/3SJ37kTUJpHp+ksOS9Jp2sky2lka1OWdde3eqUEGv2pfTPuDvtJKum9FAlO3Ib ulydSRqIM2gTkkDApRMsIE13x6Vlrxs0BrIYyarm3kLlwuxLeeScUXz+fcetlL/iAP404ytWCoEm g4BcKoshmwOoRQA4qPBRUPw8xrhGSyl0cKRcz9rsD3DwOKCiZ4aEEGTAc86iBnNmCbrd7M5mFgas UNmbvW6EE2S+R2dBDUJ2AUnPWWp7LT4fRJQX2cVyC5Zmy0+qG7o4Yj6hs8SuLY4zaEhTim3iwimM u2dvjmAObRzFKoV9rA8G7TqXL0Qb0RbE/6ES19owWcBOA6ePe9bjJcr85MDHEZGAfL9r80GLCekf 65ZnqU4+H0QCN4vjhKCkV54cLjRyRJv+/B3W+x5rp+ap7mCXgDkcGGqKBAInxxNSyG9m0MmRgxcE Cu6gxcC7g9IFLyCQBYAsRWatoneX0Pqwt40Ob9ZL6IWtQTnc+TDWe6CGufh8cMf9SeAGYKk9kNPQ yxFmL4Dem/t3pmC+nGdopmfWzcDAcymFZo6sLQE+sX2wSTo/8pky6SFNZBGicC+wWCr+udFGV2o2 CpcCN0fFhOx5Lx+UnlQQkzVJQ88HN9wFeTfdh/gPT5cpNHRE9WCO7GXKLiZFVxNwObVrPrMUEyjl m8oVxcGxXTCzLltyG7CzWLwFJzoPFdWH2rz3XbIqcKGjo1Va6DsP+DL2C+OlDT4FRTHPIcoP+C9N el4vTHQeelcOTR3RO0GtXeVJlydBqptWWxZWJtSFbcfFsTfnZ7PNlPdtM60yEsDFxl+C6kZTyQAn MfRv5P1l/irZ3jbi55DhBVa0DdbXTTBCZjjd9XxU9J5f4ZL0//OFCAS2jlJugYyjyAGUv7LWAPqL WlZrDJfzzbGvo7reST496U4SRD3OlZ3VsayjAieAoomdRvlnV0ecZsoW80v+RasYRUi44pNErSQJ bzRA4UNnx3l5cLzzsYhzf46lKPJGvArLX5UBYBrgerUleW1gUY9MgUDg7WjF3DM7rIhwsEBgpkZ7 G7aZoB4s26OKmh6AAj+AhV0I3LK3DepvlYjTRtoAB8WUsL/cAm2nyueDYu7N4jKDXhRpG012bJri 0DtDoMTzuELJBmEEyKyVHGLNdy2FjEqmHXtpttZjcgCp9ROL2zShE0pgCux6yVRkTHg5OtNf919K SUO6tePRrd1zSDapzKrnI+TSBcGRugzs0yjXSTWHNo8CMLOpzxrcTBZygw6RrQbXYHpg8xQBTuri iTRkZjguSuDcPqVDxU0Ir9JbI0pgspwIJJuISV+SZDkwepQT4Am0FBHs4a3dhnxK7cuJnmXg9AhU zp9qN5WQZ19Nn9d4KWeypqWLL++nOnwxzTJdJAAHjU7v12gpA7lkOMrlg6ZoQwjmTFPjMQDkCa2x z9mNKepAMSDpkJQ/Acmf11gpA5FDIeDBs56DJgiYQTYi4KTzeY2U8uOcnoUSZCMGcCr9KtHkTHqI JLEDzs/Qu2UmHcrsgizN6laqr1FSTiwGozBJGGV+YF3zfAzOsy3dUfJEsM9Ac0Fj/uyz1K/ntSHg X2cHTROCCGrZPmh2oMGo3K2ghvs6O6goNZsdXmCoilYiTDA7r/HReQgQo3lOrCsvfrWklrCBlBdH lZez8xodZY8h+X022NKpsEsfjQUArJfR5RQBLmVBnlvKrZJMgrpfY6MMWje5po2gVA4WQZZGnSdA RdrnNTDKqmna0jFbFuig0RML0/MaF2XR7sbu3W0yRreySDVBBdpkbEcFvkZFWRArYG0pDM3Bo6Je 4MMktb9coQLOXtKEvQpqcIrg0oMufY2JsuvbEASDAbFT1fycVckqQD/pzuc1JOIf2m1+sjpJFbqs Pj2vEVFW1j/m7N5sk0A08bdaUqj+j+xh42s8lEVIR2G2dh9uke0kR6lWSoF0VCJZHSA8sFHBEdxd 1ElI6qaffDA/qUg3QbXtfTV9C6XXcfKcpOTzGgzh6XqnR4fUOZeh5czZeQ2FsLcAWjkBu8mNjuJG hV08Rvmp5e3mqdHJMzgtxPZp8MWzCwSPG4EkA75VlIRGaRutWhilEwW4JNPW+arvs4McDEW1tXww DGDKeVofsnxeoyAuHj+XifbDsdrQLNP0vIZAOM0zFX8sUgQAwKTWSdfznINdVZo/RNNDfT8apNjg zbV9ArG2ebGD+LxATD4TBUhdAxsbt62nr/I1iPYWCq+wkWg+aHY6esEERpxU+fMa++DgMrJ7Vt0R dYBKHU2KdEdrp4I/QrUUXul5WEIG4ULzcV4VemvS8g3PZdmlCtC201MAGfmsJVRE0DCCLDG5bY2e dKhUZvI+TCWSwkivQU+2bAzU5OqDZidD1NlcrfLnNeTBGxowUjurQlShpWyz81ptzGiogRfZ8rZj mYmtYSHaJSMB0ynlseBYTlIjaa364Hc6CH0TZCcW0UqmLy80xTNqwUAv5Ktex4Tx81ppxN/H3nXR ucyBs0PpTc5OPTfg57XOmNHwK193epd6k0c8r0VGLNeF7VT93KlE3gh1JC16fFdCxUZaJMHaoUhC Tub6MJInYAsKCeiBo6J3dlyZykFoONNh/ZnlOigAW9Kr/settXzwOmzVzmJZ6LW8+Oe5g2V2Is/G SEPxYBQtg7UzKWOpkhDdqDU9Knvwy1BVMLpfND9bHrK0DeFg8wNxlgoqG1ZPh/wbmx4VOBFC9fe6 GhKz2bsGe4txAHxYfbAuI3zCWOEhjCYKmNf0a0s9IBTPSafTDEUhcyK1dhqZ5sTpq1s+URmlS7Zu D+/ERjEzmbVouHYffH9BMgK1ezbPTmpGyfEEe1B+MSqgzTuxVE//vLPYeDhzJ+p+56DU9EzRFNY6 Vz4eRc1+OmPtIbExCVYhnaO7C9CQtM0X3bgFCnwkXFvZ0U8XshbM0NblZZIt87rtroH0tsrJ/hzb kImkLBvchCu6GxSlt6RCyXsUOKstMtU+46AJOuG3Ip/awDp6j5wFHP86oKEvOqcHhu/0NUzrRnuD 3C+eQcnpa22kZbiQ3fqa3swPjmjza6Zqn4Z7u0+47U5eYAC0b8LWQRzFDdL+0IacgmS/x84w3WBq MQl83PMCH/NA24xn9EKbIzqGzLBZM9QJmit3ht5jZ0gYALS+3VIFrp1Wcu09eXQ487xNjmiGSMpq MpDnYKlXgTDLxM6CIFJfrcglC625ivinELvQtMfsXaNjiHHkIqiPg01QBSQEXwfpw3feGrZo+T6n MzHG+yYX77w1/GUgG1V3B0Hi7jyamczZEY7NwxRY32lrWd7hiEZG8cEvMpBoyhADcq0Fny3pSRSJ M6Kl5+VWuvK8s9awS1hERrrrg5BFELJO6je3+nknrSGgyb6E6O1GI8BBtDpnKAyh6ZlchzfwWdZi 9g5rQU0WGM/Niq1RDN3lb0l+jAafoX1u9Uo/y7agT44iA4CzSCyg9wrSrZs4itgXBdFCjdBdwQat oQqvDWLOZt6fd8JaFg3X1tBS9Qeki+px9Dtjzcs/hVqLxF4V951B5GYIWkiwevkniqSHrnkIzNvg qNkNpUnotiBHPSEJMO8dbekTtEFBJKuzIQWEvfWy73M0xFSD3bwNmqMyl6FmV5+fd75aVlfbQmdW gKABO+4MvQfTQPKDyZdSsxJrd+ZjV0otmCM2oxZRFE0zyjlbB4QHDX4QoZKxKbl8UoHaQcRiwI0r qzM/K7f1o67GezStMgVcNXbywYtARRpG+PTPO1UNf2r6TuPpcznozMMJiuJpWM7MBpFITlDb13hm DRf0hVSPw2TCgJrh0Dkwmw8+Qe0EdEXSdVBbT0v6ZEhYIevwA0ETRxTPqXf9xwTNksnF56CuTzrv x+VUCWyIImoXP8wCp8OEq5TqhY53lhpmKE32opN1xpIXoM/9e4FErTY7qd9JavlC04cqHmN8yUOO BKBPEigN2hCyByP76cQaUuA3Ka2hd32/y4g7JnLYBy0hGEsQBYd5+7xT1HhU/lEJkmO056vvDDXM 62SFfnZLWHu6druzDa8jEifOCXqPqD0cQnbig3d7NqoRvUuR7YQr55pHzsFmBuFto3gboySC998J arjLJAFdxVavjpI9N8qQ3EidbX7e+WlMzvtXzqp09UbU7/Q0LFxVg1ayahClr1gNymMuC4cy4T+c ofeIWl5+WDusuOrW1gxNBHHoVzHngJISsTMNBx8A/VAsmrdvSNuHd3Ia4iGF1IlUdQ7egD4njG6n 2j7v1DSbYFtDjH3ws5OAqSkKY+qJWv3thdGc05xDlv2XAO03O6jfmWlZDHk0eOb2wXZZhp/grmI5 nkuxJVmoYQl0YB+LGLP9URd5J6Z5Zn8+bS4ftM0GCi1qu2c+/l/1aLpaowGrtipnKIqpgYs9ydg0 8xk08QxorToEt9lKrlb3zkl7CtJFaVm5admEFt25jWn7DXgPshDM0ImNUKrfLCJcEBqZRO+cNPwM 2l9NatDN1aDRqpKAP5QTPu+UtPxoHWubIUpuxOFqhqKYGoraU2wWHkS8FCSXnaf1nc9l5A5P74Q0 Zi/UX6W6moYLh8nADNHIcXekU1SPHJVL6SwDOVqpYg8Y0Oedj5aFvaUU/fZBxY/WVa89HzHX552O xmXuESMnAxcj0FI2Qe8hNWg/aMx7Dx5IleqAoWX+RYBEL9M5eueiWfEfJLRRffAJOkcERPW1ycAl 5mXfkFkPdFQzPZi9PLT0skFxcTAh6/UOmiHas0luJu3POxWNZ+53XjYJQm53k4URdQEEvUyThJzV yOnUOvS6PZTTbAm9R9QQIcQtX9czWDh01ijIVFNLqLfKEiSAmRXazQAEXEFI3Gr8jmiCGDhtGfqg lyDP5nPZg52KEGfs/XmnoVklTBMiyjGy5fzEQ2F9OoHA0E0saxaxYuQdYrn9OWef6/49pE7kbFO0 p/vgbVVOEf4CNsYgGk6CKMAdJN6gyGn1MzKYP+8UtCxDWGA6pJz5CIp3uHqaMUb/vDPQ8qP+AKG3 Hxbx8B/uDEUxNcAKSMsst8+KGNlYJdlIvCFoUmmbvcfUXv2oW6H1XlcvG4jqPQjrAkvvHG5E7iVG EHCb7OonK3Otetd/LSIkeT5Y0tFLpjkKBHw+7+wzBJzrtp4Z/PBovAfRO/ssmxy0+RIS1HERQp1m MFxDqSdjeryTz27WwbvBhrvNoG/HzPdcXRP4exb8qXcKNf/WnngI6KbPO/ksi62JA4hOCBw0Q+fD 0pQc9Mifd+6ZVdKfkxr45EKfU01QDOzIOH2yRYxzmGMYgB12lZ1DJFVrkb0zz+4EIXr2QQ3PE9aN caIFqmSeC6FTjADWdoDSIvNrwr+ICFP0rtEEMfhWu2Nl8yLL5xbdUmw4a6l/3nlnPKjbd1oGzERB j9RmKIqpG8V7m0OEG+FLLMLC5fLHhQ3dfuaddsYeFs8fQWA42BJC6RkN/izjb2jrMWIibu0cPD8E RTtecehdo7SMLaNB7cftwveUuV1mNdf5itFB7aUPTdD5zcq+SyiKqKFjec7/uQy/QDEOU8a6+mEd YEZN0HtEjQJy4eaiC1bLl9qxJ4QwdpYoPViGzUxVcZGAMSSJWZ1CVMR4J5yxBsvAsiqNK9hqAnj0 vReXxfktyuedcIYfcftVxtgSS7fcOv473yzL1gfRjjU6Ct23OEPm2lNkPW51/He6WRZ+GyIw/Q42 QwAbzMEzpw0oiU26Z7TESAjESa98gNnNN/1XG3rKOX5e5/gGJS9BOU8I+XlnmjGc/u4DkUJVq6On 3nlmvBpAdqnLnUPmvL3E1gTCL5At8+mJStTgVZ6t1XSbtVsaOhNfOqB4pFGfE2kV2T7AwYCV60mK sIr41KN/J5kZ1Av0aVaqORg4EeccD9lzyH3eOWasnXmwSLQMo/jpzdZ3ill2t8vu+uGE3BnIQ5c/ QR5jGBz4nWGWjfmKqmL3wSvU80xLJ38Srl5nipJ09M653JgjdeZZOqX71ssGoZA0HXB62WAoGLjO sfCxJt8x2GFjf4XTKOk3qRdxhqJwGvn3IKdCzVbtNdTO5nSXldXK9mssKlAb8n4NDYR8TemogPq9 BCo/Z3WTBnvHodWIcbCCjzZZ0stGWT1z1kXya0erkxUM2HVtmUpBVfLzzi5jsPldocYKoFmiTVEY TtN0V9SfBsqgh9PoInk/uky34nvnljGh5PYa1Lnk4Mc0WKH4BFbPUofcDAqwJdMvsEAH6Jbwi73r Pzr2YyQJ8yH64GWfSevk3z1OtPt5Z5bxIrvtVnbWBrkO815lUUDNPscQvoMMjn0B5X1fNNXyPsc7 sQzvz8kpk1PF4SKGEiRWezd1p94Ni4cHUMXPKGD7MiKe6p1XxhIhC2e730FH0T4frYbp+bM/77Qy e8fnrCaJD87IhlUMi9QZLk/0BIAA1uANI/eQPfVfnsfYYMcMvZPKLu7jN6ns3F04JzADILuibt6Y 2UNRg14EufWLuB+U6H8nlWXTCz9JPCFnCXtESmpgTjA2QiD2eeeUcZ+W78seqCqAwm2GQtwHUQ1d wCAIFxIxXnEUUWxc7US0rCnP/84oy2K0Aeeqhmv6brieXGpN2aucy32iiEgmVkevARL9F9XANvzn nVB2S7A9/x9j35kjS48k+T/PUhhQi7n/wYZm5s7I6gl/1Qss+G3vi8pIJoULE8Jz5ovnBASQJIjz Q47PO5+MxYfv0gevh3x79u9sMqueAWWprYX/Mlp5ZaqqfmtrFAfr/DvvB1GjvVOuAjfURxAEOPQC zUsWqdEbJf2lJngiw54HtMWrXIx2wuedTEZgDO+9TVuIsd3d+oSQ3ug4KfDnnUrGgyh/32bo3fTb bn0nkmWTBSv1qvKLb0fRgN6MLkULeN337zyynKzRATaGD94rKyetry0LuHh2HzQbAHo9IeigUWQd V/pykIzwziPDmal0rMlftrmj4zmpUxc27wTWn3caGWvUfpmxCKDS+D2Gwho1GC2T1Uwc1CegW477 WPPiPmq2pOydRMZe2WSyQXAVBw8ZE/ho1JhGbnBSdyGHE3WuwPKcydNW0iI+7xwypq08r6oM0qsv IUSMjE1+Wm3t804hI9sjf4WMKGbVljxkfGeQZblWUILCQA1zdG+3CgHSSY3yTtA7gSy7hnrt1HTm 4MWz0ShHRE5CKausbhC+87/A6y0/hQ+ogvAzogliI7pIIL54w75VBLraY3t83uljlvR+Za2IPyQr xwkKUR9wLtqUQfofmV9mhzSsZRn++Upew3+njmWntOqI0WAriMGcupEofJyIR1dekmbhQuboW4zC hp934liW+jkrr8MHpfV7muVl2YWPByuofx9CNEgX9ZcTFIXU0PU/F4HhOmcuxW13z4/tTY4FXI62 WBxSMxWtrAxx8EMINjkrS5oQ6LIt6gJu7UIvBzJ5dAY1e9eg7sFkI9EYRINlZclMSE8eOT/vjDFe 9B4u8u3QPyiz32M6iqgBLTkhkxmkIoWyimKv6rbiZYEKFy26hQhqGvDgmvLB91g5Aeiu2mMnDF6o u3bYykxU0Af1NyxvJUwTnxHc9LSimbLf5aAlVE7wlc2uMOPxIFjsv0hjRFSme0qH4TTy1rHK5Y1f MaKTNmXHUHc3TW1RfZodVFAGlg82QensoaR77OSt53QaItcTnottnOWaWr6O6SicpjwIZBOnD7bJ oPAvunzi4xH4zO8x8jobN/iF5/Uwnm4kkppfIcq+xUH4NMqSAEHq1mzt7/G0fH87+g3LB6u/nkCq j3OmUvkLVBQap0FEdgBwWH6kM8xwmjpw+Iyg16o+kDAQHATP23h/nrNlVDwegYSnF6i7Qku+ks9Q WKFONJYbw8qLbViyCtHAq0U4XHi/R6gPaaO2zfo8B1tEUDxDK47lxXE2xFoimmHj4powx2EJxwy9 a5BwSDBc/ujj+qOffGh1Ae7qSTh6FE+nB+JZmeMuKjz6DIUB9fnZ8HO4P1FyHAPgUNOCoVKcA9Sj CrXKQwRz2eAwYXoroGiK1BLZHTlSHZ6TQFj/ILi89F6UXvAhUXkIy40Ucwyw/SKZeYDCTyjaCdEL nn8/icb6xglT4p94XZujkIOIOWrTcIvn107N8XlrGVTvrASX1ulRSD231ea7D57ZwzxrLdrsnP86 ebGSDqR/gtdSRNbwZ5Sw6FFIDduNOqk+ZYNVYU+kqD7F+QJ4PKJp1u+0DE5RBFtohiIaIjy7QGmv Bj+brsvk5rsMiAoKTdxnYUitVbSlH7uvfiwM5Uc1BOM6OYfBYCdqQRSf6vtLvGLrXaNmIiODWWwo P5JdBmGyG8mn4PFggi50iLOJulST8x5nKI6poXa/TKUCSDQH6O02u83QuTkMKNxDLuJkSt/IJ+Pg fAXIVXSUi3AwAp9BNYZB4hT6DJumwzqJqr1rcN9L/STLnji7PXEHkFrgqo6zOoqpZ7kho9YQWva3 Id2jQvWgIiostMEIBz55mzITdN8p13RSg1R8DYVBNSGMSZX89FTyTxgyYZKBFiEyWkqYUVfvnCPk jOf+4D5Iw8aHBIc1RT1WkzJBc1rQOSmSqi1niiYeDw7rm7iySIyDDLrjNkPvUbVxXsCMU3WoT0rr V55D5CHirK7QwWB1qEe4j8RuIgw5fXD4WWPLfontC5QwXS1o39ULoe5eyS9UO8JnBBPUCEuHHq4N AsbAt4y2bEAE4PEgs2+3kt+UxP1AktdnKEJSoyKKvKOyrogTyUTQIAlppbS12drmGopgH4N9xCIe b6GWCl600gLn3JGodYI3lStFis/PCdEVXPprPecQ+jz4jH+BPIcA1WO6Thzka8ShAi8dj0esoO9e R+adWC5hoYdhdQMsr/O+p/0HU1eaNpZpJLNzwCLkY8wYVanVkS5SYypfakxrnqQDK4cztM7SoT8a W3S9gqhTCHTZYr0svWxAC6L62epU41+9OcpzkgCJzxup4fFgEVUPGhklsNsLLIixoqOwmkp6eZhz 3JRxBpPXRlNu4j7Od84Kq0eo5kFXtFYIIeLgHdcKw0cKVcNQp8Mm5ceY5QBW/6D2dR127F2DEiMV mVczo2tX9YLirM5tmOfi8YAZPeavgKj/EEFmExRF1ag4zEmQyf+w/1k9Hup0nqXU4FjbJGFGWKXe S+Xp7YOfQ2WdU7SIe9fW+SyK7cFqGWBv2AI/mRl9Y0ZITlRw+Z96J7DxJvgRR8vC41FTun1NEHzf Gq3INEExlJp+lss8YygLbK2g5IsJ4nSmLTSiKjXKd2Dlk1l2Ng9+0EFgTFmwimWjg96WAl0X0gXQ sMrjSTsAscBnBBhP4j1WYkFppeL+FeiZE9/Qz//B43/yFViCxRs9wgNhRJ0WtTzMy9Ikz9VPrA4T 7jSp5xaLImrDxSwCqSuRopigk213iJkgwKdmde1ZSOJERjnt7tvFxeDsxmf8C/Yxu9mhdHeuQFeI 0TU4uXg8OoTuOc0EBXcD8eaaoSiiBlZgAB/mMOF1ZyiVO0M1m3TFiCLqSaJCmesOXv7AAXTi7Wxt +7aosLsKbi0sH2hj31YQzdFHVKUW1Dwt9crQ+SbqH2zRIcHqE0JkPP9Xs8xQEKjE+lU2wpC6Es9g ugzgfbl8BQphRpvi8tcui0Lqpb79fAZvlp0pShQ5QOp69l/nVbaI8Swku69bpk72rhGvTNAPqTGv ckNqqAkoHDrx4oiQ1E+zTLlKRY3xntMhknpigpJZnkxZ+Ar6kZupnm+44doERUjqsoX5oIAXB8/K Mk8lkRM7qP8iuIKXSMFe9F+9V0aI5wgVPriEKioWNhgp6KxIStGj6oLHg2ho+QrikcMK9bPJojI1 FCIBdTPW1FqXmrhGnc6awqmvCYrK1JNl6io+R5XeeWa4OE/aDfkmtltP9AgtWMhYVwLAaDD6GA6e P4/PiOr4atXLjslT41xHylnVnRN64vGoVfbdCYLlDkyQfYLCeHpMqpk5BJbgVUFjtomdc4IMAjui eFp1/P9PVpgsq7Qlx6oTASUpL0/QNHUKgbhlB/XWEgoD6sYE17A0wAsZ1Dyd+JSLFuhqPB/krbeS nwUfoliuV2FHjPuAZTVrvszK1E5cxHjW4tUhsGWZ2Y+4UM3aatYxlB+HoQ5W60KvE2oW40w3JePP zMFFD3zZTjmLJg2mppf9V8N1ynF4XsdhuIMMAs9RM8LjwRQ9SQemFqcaHBRsimZYqD7LBql9YTI2 BVahPVyeaxjwI5GQjrRsxhG1IamzD34QTYCmBzFosHcGqpdqjNgPqPRABs4haPTxmCHuo/MSY9VC gyJqwfGxLlJreDxaRE4KIr4KfwxmeDZBUUQNXuJED0ITlFrx2sf5ksPy1qWT+tz2M2Qnbqm+UlaH g9/2KIK3rqusEnrG6lCVfR78z+stwuKawGdEeWtRwtp80ARB45Rp55mggsejg+g7KaNOzW5+EM0o ogaMa5Y5bJPltIcXGKeLEpx7fNtJPUNyojbZEs11XWeKuTfcdhZ79iftP9+B7noQOgXphMWhfgmu lCKYcZmayBg5JnGw2sf5hVlkPlloxeN/Me8wm2C80HbVZigKqWEPinlJxnihjxuz1p3dB2azXKEZ CkPqyYZiznewaGifPLgWuq3A6GxWOTBuECBqtazRa9ToWeEzolOIzI9M+VMOmqCziAoJK2fnZTwe NO3nd40aEImWrqbOjCJq3LmIvBQNQTXayh29JrPOAw4zWQV2htREwc6MXtZvp2NBxQXOQaxRQxU3 EX02G7XpzyHABWE5x7J3DfaY+mpDrI7h3M2J78lCUSu94vGgn/grrSchcl5s1YxL1FCMGXbZn+Rv u+jQkPc5wsXRyZnCBEWwD7q/4AIbPtgEwaf9xIGLFOkzKxCLxiGUZJUDs6V+w0XCYGcUT9duIhbJ B00QKIIypDpZNx6P+L/fWHN0VM41drdYBPtQTrZul6NW73LsYgkH5KdZw+/8OxGprAjaOX3wPhC4 0JMVmBNUn7QedU+IfeC2AhgBx8cVVi5616jfqsJQssEqPCBxNloJngu04fEI9uEJB2PLTPjrRXjO kJmIYzrBhJYpWU7TY6EsT0rM0ALYXYdQqJpHkY+aCYyp+QJjzjwj5+2U6N8TggTcYye2LoB8nLck Os9K+FvvGjXKuMcSq5EcLCUDh5xB8tnEeDyYofStykT1A2I/NEER7ANX+KQ7kNqtszh1s6mPjxJ+ 5wrgBMUFalamjQTcxy3hg/bQ+u4SMO9gqiV5duJuPztz89BVgZqyVTOKp5n/n7NHsvDLS2cQbK4q 4Z9MH4//WRmqOt2R1d9NFvISG9S4e1PhA6of0xvS2VRgM+pFfgrFWh+k2/UxffDqa69wD1Tt7Jwc UGMn6w6tdZTD23PR0+cTnxGFQl0WlMkH67Wek59sWkBk8HgUK+7fCcdC7ORraEXRNMiHSMWSbTKS 2ZiUjdJsOUFZyVr2K4J9DOrElE7sXemXEbTAx0Irkmn9OeZUPUK9dMNv5LwulYS+BLlXWJ8WWaqx 7sHB1tAqfFeUUysej/R0fjXsKc5T/aZfIepjb0pS2hJC1m21s068kqQ70cSROGWI+iDcI89afHCA 5wmEIFxVBB3KI0kbTnzNtHH73Po0Vjo+41/6i/BX9kFLqJ9fQHo6J3fE48Emq7/a9YgI+rOCQs3p 3skJsgnq4t8Z6MOxVeAa2ASFoA9VhKaoQVN1GrXJznk25BBwTlE4uKgp24gnAFD4Wgai3dLwGVHl jLoyiX01Dlb3mJUyQDjVBh7/U1YZ/xa3Q7oJ6wppiQ296GauN7NX8zGD/Ek3zapNsqK2WAiinmIq 1OqDd+vPxXUuYtMs36uhikBTqgmcD+BV6WrnEXu2wvq0RHHp8DbzdXgD9bE0SaHkjccjdF7+CoUK EFjlovNWqDs9iXu1FhAk+4bj8Ee9odB0JZQVsxJlGCyyS37ILgWmArMwYV1g2idqB0/I8DZo5nUp CrDmwV79igAfrI6MsYSvcnrxiXCSZGuxZTMe/+seYzpNCCy1uDRDUTQNg1fUmyTqBcuGekMhR8Oe M7YYDn+FOh+iKHTJ6fQrp7PO/kyQdURDA61JHqiQ0znrYQBMBjz1dZwk9mxFiI9FxP4c5Q7GVJhb bPuTxw48HoGGvEvGVI5E0HWprSuKpwnEr3K+pU13dfe7Tn4h22RD4nmJfyeIFqloDydxH3yXdcBi YBGChAOriUof9Bog7W1RimpoEdm7BjOkhGMsaVfxSERcDDwGYwt4EOLxvwj2Emsc56a/9ekVhdMo vE9Yx6gsNCgBTBPFuohxQLR48nsDnq0QRj3UrF/Fhwv4qLVBi4nn9AnsNq1dUQw8E8T8/qoso3TN d/1XWWhXclw5aAnlbELC5zTlK77vMjTL80Owh35AK7cHtEJiIoqv5R7UZXkAdJbQpSpAdsqWUFyg pqzXIKWDw91ldcIigMXXk6yhYgloHjpMne5qZrTNKVpNLxvAGbYOIkJCOShp3XCcUy96VTweNes9 JyMqHUStduPpFcXTIHafLGNbE4jYFbeYzFdKeLmczgrjaXbpk7L69GT1IIzDUxoLA9nGuRdJS6xY OvSm+daBhZ0LXzaIhqYgZ89gdY/BLhOXAx//axElpSdQw/bi647iafq6q/zNcDGPu4am44VRzjGx 5R1Vp0dSd4M4ew42Q/itd01zSrPq3MusLSK3OVcJjqByKWVymd5RPK1AepZafFDlbHVkBPjSafDx iO5ywYucDPz7y/7d7/E0NJKRtG5X8OxehiUi0Cnksy3rRe9Q58O08PcdvO5REXlWqVFDP01Q/Irb cwBXCws8v8kohb9DFLUU4cCJtUHHEFFJ/DgI5e73eFoio1/REAlbl7a5QxD13LRPMEbZpEw4V9B0 RzeGi673HoOoldWnO9wJQg/IlGJO8nFWF9WG6HgJf7h2w8VCt1l8RlQ5I89lADGkweAM55QUtxnR 0I5Jib+0BYcKMT5BISmxAzvIi4dbbG7PyGbe16Yk3RUU4z224OV38AkChWyAmoKLLANnXilPCcQQ ar5z35t+8iLbUTwtHZSZxXbJznYZFWIYrL2egBqPR1yO/nXTZ8oP3IxshwDqjW5aZ05PS2De9J2n dBo+QcUNN3dYnBbjt47lgzPKTnbW0D9UKHR+AQKocW6cr5nZx72FM1bvd6xFLQ/3MX0wwtSuZv53 kno8/heWQZon7Pj3u8eieBrlCLnaig9E9JaEPtSr56cNNyffIdpD5Y6ljGPdjOOELGfN5La1hM7E JPkjYnIYpNKpxPobOGvxGVH5nv8y5eaDgRl61+V0TqmFxyOxoat5hmgaZaHHKGlHaA/gPE62203O vI/hSihZhTMSplDD0QSFunmUgytlDh9uAwh4TtCJsIRaPt/SRHJBBJp0071t6N71rkHtFavuJKv7 DkrJ2EVZP9ZD3LEU9behC7xav6CvO9T56MhZW69GBkrmq4lq1LYOGTTajVG2Y7THEBtx++AraDbE iKp6nMinmQYqqVzofnc5AMizJOldI+Tru5ZOPpdjNs/MgceDdGP6NSbsCxYiWGU2Q2F1mmpMZXSb IXbmpMZE9yKjS7UiutQOq9NJdaFxh7uEFjTxtxyBVu5dTSJGj1DsoJqAIar21LtGakMWTN/B7jG4 4zH8O38Pj//Fc8GlriL5VereUTCNq252SeWRlOhOkRD6Lb7H1jY+2Y6wHoQkoLGxfbjHdEsnRDTW JnpwAjIAPgIlrh+UVDwSYn9jhxIfJCMmwFFs0B5rrVQdQudmweN/RULC8hHkdROynEKsR1lM5aXn cfIaUlgl8pG7uf0VaOzIYDuFKh/M1dGU98HCaYgbjEGPIrj8JaQf9C4BxQZWf5lK94YZoiQTPiVg bhY5RbKatKH2kZXYZ3iKM7FvefIPREyF+RUQdTbs1lU0T2GNOkN+UczDasYBRHxAf8LAHxvq0T5N YVDN+wzIAh9sKZ3jGcZzWRZKI1Op50wTKrwDFbS812VvzrHtdSONSvzTttMdlHeAzaHmxWiNzweJ x4XFMLsnQfvWP2jKHMSN0N+ZJtAAeIN72VYegyyiTYBU5R2QQiQ1pydLGj8/0vgL4nnnruwsfZZc 2pQoNZuz6LJtKguYrnm1t41gDWqYKXJEF6IrSC7b7n1UjvgHgtAof/ftsdtq6s+WC6vVe1y4uXzc mqUfErkxK9vhVi8pdElkpyN13mwcbMu1kU5K1grXUl+LPlywLTuJ68iMHm+GJms9fkp0tzF+XDZb LjQI57IlO5wMX+QUV6xvSR8zgi4AXMfuNEUxNmrrZ/6tJT1p76mTqVYztQXXGQKJdBFIUdFaKlZZ oEYOjtTbszSdksA6nEN3SDwGeSzuGHITrViUqT9EvEmQEjDHb2JMN2dMLwhV8lg5+WTm80EiUu5i YiYCay2w9+88hZXr84sIayUw2oImHK5+eEdMgdGA2RyimeX0b4nqTH0qDbe4f+ZqoKLCeepI3eh9 h0oMTNfBC7/pGuMAfEqU8XM5VfluuvMpfL2KVnA91wefD6jl+ftoOhfuDxQG7izFzi8QJZvkTNEL D20CUs2K9t+m82ZqfoBH8fbULNVHuFOzBBsQ0FyHSZ3jd0DKRtHhCSEriDncswlBGz/lX0lbV9eE g9VFkBIwRjxRGZ8PwoHfrgsTIfp4jqZQCCR1qsBv7bnUrxoRcNI6y9cCA9YO8LCErSa9BJvSl2DT OePAB2tcS2WiDsY9h0rZYOaf3bG9gPShD/kHd/qcQ6SZQ+XOi/xtDIrEgi3K5/9sNnKD4iLYJd9Z CuPuzZNpGypkEnUnQe9u5hQldTjr2QEeqYFU1UeKAEYl30L/gNwwGFSqj5yYCRhaiANTsWL8tPxY 5MgiOYV61VadFYE6X85ZK0m4kDP1g8+/z1KfXqUlHAAoiJHmM0sh0Bp6zL26YjWMDBzh2Ffz4Du7 qExOUSlbTkIJPGUbPPpGYss2VIGlAKowdBJCvlnBO6OyzNKvSv4rPiQoI4lQNdbywWYJfo08aQbj yr+NYHgCAbD99ENy6K0I7CkhG+INzXpLkTmZbAr0+6/tW2CumP9Xdq519zs8MEc4XjVK70C+ia2Q c9Chu4hodDN3lfckDaVz4K6I9bqU397BWrN9qFoL4Uw+H2VxvyTSJAI17iRFwTeuxnOgTWFlwVS8 wimpT5+kPbpPUoQQSULJbuVx++ZxGxaGjUJ9pHjObrZV5Mah/F/bF5Iv2dtGEBH2i+ozKNPdE86W PLpH5fNB7J19vwlai5rLuhdc7LAIDadRmwvwrGTQmZPI8VDS25Jh3fWHIjCf9IQJvW7u1UKUSEVc U6kQDzOwLW93fMUC93bo+DketDR723/haFjV5GByjeBK0OEPV8Lg83+mu3g5/DJp35gycFmUmMTs afokqVgrswqrmKAgsLpPUhh6E0PTFhE1HFRJTWCWYJoIuz5xUbYSL9cLkBp93so2VYT5If/YbpMC vTYIKlLGEifn3JOVz0fb7ZdmY6Pw8TNJoeDepIpc71b9L8m2W6OdkMpKC/gq224hmVG6sWJU14dR vTYrJ3SBP8fQyW4hRojS5KI6KajJ6+qgy8Y9h16Li6jrsVgZx9FtSwmiUOw4oEHO54M+7fot/dnR 7693liIlazTpodqxrfhGy0wV38Z6hL7r9KUUI0You1da9sFmCdUA+KNyKRUQxCt7APJVOBuAUE87 lGySoqg7d+nJCRy6HRx64vbZVBuqCAJCv8XHG4YHEMU+KF5isxS7wwyA1E2i+Ryy3RHGk6RBtCU3 rSYUUIaOi4s1yjTUzx5PPxsyqAozCgVmaFwEXA1g/NDeK5A3vaS9Yq8bxEqCjVjht7v1K2qfQyZM J0fh89HZ/a1P2LK0fe8sRWE34pYJD2cG25PGEgYcUfUWc9KveXkOTBezIfzOrzN98OrSgKjfpgAf 4EXQxWCodNIi9Dl+oHB6NQyqvW20ljJ5Z+0OigJgXqFJmvaSkZrK+l5LJ1kCrPS6mwa+i3JOOaFM UYQ0i2Ilqk/SpFfgEXo6aZZC9IiMqPuoPthamqjtsq8KMYxzWwN0hLWE+SSryFBp4l0Ne92gIMDw ZmQlKXl7RHmmt6uxOFfm80F2Mu+W47oBgKR83XEhIvtER+CyWN0kbWc1IpQtnsNJ/Bv1gNh+cUgc TF6nJDBYdjJqh/teZmepS7cFtd3zpxpIf3I9U+ttJXvbqLXEWNI23pVV6bWRRkFeZ+PzQTng0VHj v2boP29MGTswdpmb1luqdNHUIiVwgNkSIk3NUmjBuIYoalIJW49KGMxAF5j6mKV9bmq08pHTCd21 AZjyHE5othx6ME5K8s8piP/kXUIcyS6Nwc6JxTOfD+64fTUdGRoxNs33kgttGHGDAzM3WVGCsEcx JmhncEHO/til+yyF2Owhhet5hy9kLciIBCac7zNYpksodIDpOOUZo7W05Eod+jBmwQPX3D7o9D6B hVQw2/nB+XwQL/1CZ7PpCXaST1IoH7LA1q+2zSYYHXZ650UTvQ04CbcLz6XAilF+COASU04t5/Kc 3icMP0sk8447ewE0PmK2zmoHTRSWuR5VFjIN+ClRAY6WsJIcOXNzXlnh8okwM8Gffa/NPxDF3vXX +Y12zrrIthzYMZ7LEQ2fkzNonlBK3n4w9W61XWhkLF9MsdY1u5BFx3jZnqGcBO781DCiQP8WfhCb LW/0NH8m+FB5U5tIyS6FeHNoyJioud8Lk91eWJ4lZw0AEZm9Zr3ln0BkqUAsGsffaQodZMiogVCs Ocg4c7/2uS+2ZDevmwSmjLhni/QN7uCko3PcQSyD1aXOUlW9ZmioNG1ZnnA1UfIpx6aMPMRwMvhg fd1dk7ctM5+PKOpeEtgeDEAt6s5S6MsIYaxRcvN0dybHl3RDB5zHcG7aLIUAE53fUsvIj1rGmeIN ZhAtdBFgT+pBAgYIAi2o9BSDqI/IYw6dGbNgbj1XH4y8hjSaAIpz4fH5v9aSBOjwwes5vkMzmQS3 HbBbVKiUWA+qS+c6uh6x1IzWJIUifV2Fk5p9sJpAAnWpgIIFQdXZpIeVM5oSSFl0ikrZSPaeOTRn RGcSpH1MqQZN0ihb0NJzNAw+HwTfyflZ2lpcv89KioLvQXXw1K/orDXmoCqSHpyJe6DmwJ/R5cGr kDj1C4kDcNJJcSgghl+8UVQetEXJxKOE4JO0aDWcQ4PGoY2W6T3JwegjFVaJ+LyTV/H5IBKYv1Qz RHpvz+EdBt9kkPThzl8jP1iTPj3dZS2A6W5g0SiTUoQ/MirYj1EBJCEAvlW6i15oIWhykMxKledx o8q97G2DO06sySndjOm6GRB7tuZH434L4SY39uZzROJDttRnKYq9mcj1kR31VozUlrtA6qp5Twpl df2hfwLcM8uQKZeritkmyJHYvSDaVCTUleZE2DwAizPFn2oy1W5vGwmFs+bNXrAGnd3oxTVVaGvm 81GT6ducCHcbZMt9kkKjRsjvw2TJ8jg0Irx90oxHgsu5ec07dmqk0BFRGd21zdUYaGh/V3pZLsqs saaZgOIqqPCs9HS/u73sP2LKyTvFBpujM/OmL4KSd2DV+B15c0KZZbe7kAKvxvK/qZEJ2Z0T2YsD TOG1oUPpHL4OMM2BWeOZ7DolDJF88EMJ9g0FOrxoMdVzyPJ621gGVKtqpAHkr25l4NaYKCGAFSRR ktVccR7oOqnMtKyXjBCUvxtxaKWna6ybY7/GDnVMFZKoJDrctwD2pUaWmFB1UeQdGjZ2cpFSI/s4 tcs+PkEjNhkYawVi/Cdc7mTZQHZmQCMLh/lFeKn1HVo2TlUE9rqDWt/njFOiCtwGn/8ListKJUp6 68ph59i0sUJqhAUDSY04xr3h+ra1VBGd21oKXRvl8p2YwHKwWUK59qyfxVCJ2axqcITxwBEEnjMW KtGvih8SbLgknn/uPiiJG3XWISZN1kv+JT1vvRa0yu/9Fvs2ijRR3KRZZns0Rx2mQQLoWnXoUmDc 6IcSCNo+eHEJhjBZHhgbSjxwRAWKAgwl3N3CwVlxKS973X9Jq/+/diUie3EEoFLO5yNQzg0o2dQ9 l0ZD29SnKQq7M9cSNZMl7MNWCnJdaxjQruiK+OXQwHEkCTwq101PrlvrqHDGJj9pAwq3lOueeSVA ANCUS79J3V432nE8mPIaPqgGt9HxY3tto24SeDjqPv/iAeKwLOWZpVAbG7IRaWx35Onb+cjmiU5d DUKumOkGLo444LiKsjwK8zUGQ6GsdzSGxXOj/Qz1j6BpB5ExFFy8N7C6vW10xTFY6lb7vsrGAHps nTQ8lkJ4950kHt4AJa6nqxv4OKLjjsNom9Yq5CjTj8mzXOertWeevpTiuJsqhovqq0nmt1pKAJgU VgNPhJQSVFKFPF3AdyFiJbZNOy4te91gx2UZPah9R3owz6UNhspWdtL5/H8TUvKnGV/BUhh3o7g0 cGoQeDrAtFQFrgohiAZHy9uruaGX40yCT0jycc4nEJjnzSc2FYomDXXdJZ11wNE3eWPlFip7s9eN wILSRyjFB11xNdUqd569Fp8PQsryjVsCphcF4GeawqL3ecUJBjGF508e6tNEEgfmBvjZuhyfG9o5 ElN5AiOuFA4G7zphN+0wsJjOz3FOqbN+cdsNoDlxdILs7QET1X5y4OeYTF90JQXgyc1DUDNWm7ee WILPB5HA/HZSA2HhvM2NBGJHR9rx9XYpFSN7qit+DgkDEHNUJBBYOkJwQQ5PfflgSVxBeYCSdKXB gh1qHgJSQIIdwKV6bQ0GSe45sHRUekFg7vRBofcJPEhChyzm4vPBjvsVLhXqo+QbVIamjpD3H6he KYeDCZFluqOwzcRJkhlW1x8KKkssvEF/0AebJFqSTFjNkLrE9SQELwmh8wemv5bDDYVLga0jYkK5 FbJYOVxCEAIDrTJrhI8lnw9uuPYLI4BTYD/lt9DYsRKc28ctv63q7KV27Z4K4Ks2Sf+OvF+aTHC0 q3AHlRENdEu5MykAhR+itn05lCq/hc6O1eg5Qps0HGfWxV6F0ro/EPrmHwgSlFul5ION5Lv9bLgo 9EZb+hwOxYVJGhtwQySv6+aMToVtuLDkTdTpixMvq1TA1JPEtCfUpLCWiMgFsybXL6cVf9uoy0Q7 58kCnESkOUsJs8PtMruej6qUv2xmWUQuzySF2tkVhs7ZUv+ZWJhXK07eat0L3goEYodHJiZpykpk flmJnOAber5UsquwtM0CCjK5P/t9VwedZlzK+pAo8hadUnW45gVvYH5oD3fWJeDwocfjfHS2OKWN yrk3QQldHgmQnTxFoO07FYNzlmo1rzXoq5keYg5sHjFLRdOzffBwCaHk+dUJp6RcumJKyrWnEzCs mh4Q3LK3DdhMxKj0yTocB8WU/cRZTSdNqnw+AlJ8IwWBH2n9a5LCgvf5oHP5VKNWwNnCIQLD9Nog UXIJKIHVYzZqd5YgUO43WjqJ4Tk14H7ASQL8FHaZCDRlYIKI8PHHomJbDswesylMLOJJNChaAuVF GhrnCOTzUXHpQgQIVmaZSLRqm6hQ+Y/Cdmm45YGM+wC8gliW1eDavEDvwPIRlVZhvHiDcfByLl1F EjsDJ0HNU3wmUJCgNnMi8H4twud924igS1uaTeE2Drrk0AxVqjrRsQxMH7Ga7p5bSneBFCgOzPm8 xksFMT+oAtMMn+B7kGw5rbwv4oTqlDi+X6OlAgFJUk/TTD4YWPBst9p5+7O6BKQdjy50oSqo3jBc M03/RWve11ipQM6FIF417jhohlbVJQ0OF55+mZ8ChVatoyK1cVppky3AazWanDVZMRFUaZJZLn5O x+oSaGkCKKklFEyOCHFwCPPBj6NdocLQqAY0GqBqdDzg/kJ9HPS5OzsCwb/OjjRgUSYp2wdrUoL1 wyCpoIj7OjuKHjQ7fDPQp5EzanZeA6TzEBQMZ2MplDLjebie1E7X13lTAhuz8xoeFSk9dTgk3MG2 10lAB2Ik3iClQUkniSGPhBBEZe4zod4I634Njgo6QlSY+BpscmYhHQ2WK+3zGhlhak0pqQh/U8Gd lpYUZuc1LsLaGYC9z2soQvsuNxQxHOVJ3LrpAL1GRQV1Fh4+VYYH9bF0pv5LoeUxBTgSClwIHjdr 2sMiuPTgS19joiKvizPTW+qjLARXBdi5D96dffX8eQ2JykMxKeZpdL4JbWA4O68B0XkIwFhUq4w5 kUoxBMCJAVgkQUeSOsXyUg3WjgRuaqGaHQffWbBn3b2rLoK2BoGl6MScaxZZU0oMAcXr1qsG546E W1pvPtx8f3FntXOhfF5jITxdfGdlHVE/jXR8fuRrIFQs1R81mTjJuI6FkCNwP/ByY+rXMKgk0lPQ EUnbB7+4NnZoNaG2fnJGsc0HAbqI0GX9uSTU1vmq77Mj6agTnFcfFFDv8ylK0k+S9nmNgcojvV4E Jj3/r1aT76zXCAhHeUaecfWjulr+NBEhKYQZBxs6soCIZkf3+ZQX+Lxe4FCHrA3aJPKcO1u4UlUC KsUNMSqJNJa7ytwg2ll5Cj/afPB2P1jizLLy+LyGPji1JOII+CrZOagC1O131mvYg1O5JSpJbLvQ q9s7VSHqJTW6vMr/GvTgVCayppgw9Hp4W+dUrlDcle33WcvEK5/8CVfXAKCJvA8TiqQ00mvMg19A oq053cFQticBadJc2/nzGvHYG2p6rMoN8TGfnddaI3YWkRAzXROj6cijtaufO50yuNQeC07lVGRU KCujPO6Nfk4sWNmgH437kBhbxM5oX0yk9KTAOYytUZwtmh0G2VTNtYGzg4LdorpyPfff57XKWCQ2 /Jw7MlKmiCZm57XEyPWKQt80P/QJjyo7dySZwZIH6a/SIwnjHUIgG0ltHDyTP3M1haU8YTyYhAyY aQjUybag+4KB1+hf9FpexC+gfseg7BgHP5UTpVFVFHotLvLcGTY7lE+icdL02XlnshXhOibcTi4g q19AVroVoV29yxgFy+Y9s5/B5ufEA6h8TLZiz401F1XHOkCxsD/72evKSMwmbmK0eihiVPKePqj8 2kFFIFCUIJooXF7TL3VhQhFmzOS3+juNDb8K3K2pW83DZ63kgv11Tzt8YHPuxaAwYmYeQXqhDbff sXjxmrQfYBwUP4Q82wTKC3eZ92HBQ+LLBqezaNpyKpzfToXkoqIsX/l4FDOnr/MHYXDrJLEJJxdd XgO6Y9vXUGLOI+bRSsUDHyDMDYoVzNDm0cPikw22hlD9AZ+PbbMEx3gy/hdkR1qmMNuTcCl5j8Lm TgbbkqzWurJa58BfIv8D7Pl5J7CVx1K2/EjSFrbE66ZcUdxMU4xKxgLPIBoKc4LgMWWt/A6NQmvl B0d0byZok31waOhJukbbU0tonsS9kXOEqmKFxdK3OuQUHvs9dFYnvEoExAbdYAV8H37rE6V93tlr liN8HdKFV8XyGXqPnVUDnOsCZ5bADoN9/O2QxwnrTqu4RjNEcshgdKPBcvYKxZ8TFDMthSYnra0z ihwo/cELD9Fh0x5TXeOfx1DKot5gsAkCilnCs3t83olrdiE953QmwHiPu8mi+Bl1BSaPtobmnF4j a+vWyOjdzbz9PYDGgc9yxpTJ07zqhycbbScMSmpvQLJ/CxO6gB9CyQuYYq+20prnnbaGjbylhjSS D5yhAiAGBTHOx9TPO2vtvGJL8zv7AnS0j3TXUBhDT2BsZ0pWkN7rMmiuD/jJKqdzQ6IgupP00Nq+ w62NgZ9TSTfe0FtIKlkj4a1At5vqo7x5ht71/RiS0/yilLwGrSH2cXl/z7w/74Q1prd+lTF7z+Bb 1DtBURgNWPWkc7VZ7rbrrDINQQORWjdMfaerPTcZeto2+DFUTiBXTeYHkLdEzaiJ9dCgt57V1pAA wt562fcZolYU+tvVB80QVBYEdz0x7eedrcZ3/I4VQVdqrMxpht5DacCJUFWtZtF8wjre+ovuRUkZ GbDlw6v1USw9eFC3Pe7gpUMAZsc2wXUUCyRGyk4hnVVYuJhfLY33WFrxHis/0wdrITbUCVjUgXJN FExvI/UXkxitcAS9SyiKpgHxQCfO3UBVqWd9TF1W8K/goWYTFIbTmhmpsnCwCYK++oIXHxNV8Prk f4WiU8PSBTLS4cRz6l2jCSL4kxJ2GtTyKTASt/xqfd4pathjT5VDtJHzo5fmAfU7Q43ZGFr0xYwt xyZ/Wm6X3ctlMCKw+uo7Qe1rk7U7eId1nflZCBZQYEVgyk1Ghk5FA0RuRKalNfSuwTldr93Dt+tD g8fbkoxByZ93ehorVR4tilAEYEX1OtA7Oa1IQwB2oKadsWffXkSk0jKT+TaLcYvfuWlYivIN2QJZ 7QdkdVKys8+gDoo62U7Q5EDGUZJq1Yj25q0EEbj/zk1jgV7woZR8UEo2gUHSDLX5eaem8Sa7a6hb IbHQVEdTFFWh0eiYQwB94IjKtZQtbdxa2TUEfWemMaWXY6oMROpDkplQ701oYhI8NEDuY1KGuGlD R4FcUGsa0vjhnZhWXPNoJZ3s6fH+xk3DePEs3887La1I0f6rEk3T5n5D6ndWGrbZAkcmbSOCNqBY 3XXXiKDgSy8T7X8npTG7IyQm5Tt4TQgCxAm6BJCIPtdiYj8VcmM/HQWoIrpsf8RF3jlp+BCG1JVV Vw3aZ7PISRToOz7+V2YvM8duXSbNUBRSQ+XNum4sR7PeRZR1cWcshEOelb3z0YpzGsqWYO1eD1M2 Axq7JVcHI5tJ2h7EikBOzzS6uQg0soje+Wjl2l1u9p85aJ/B8k0zNNL8vNPRygOxLkQPg0TN8q/N UFySBioW/HydRP2WFUczQSgUF5IhGN65aKwNLfGrtg8eD50MDL5OIqbDXpZraEnz+Hz6Ys9OBXt8 +OedisawXULZ0jzO2UmyvfaUdJnN9XlnotkyfxJ7wJsrzD1tgt4jarSbcdsDKicIOmXfBBdaJhEN eF4yIto7D41HNU/erer0fswc1wCvPkMNGlsL9qBCeMAsHrpySNCe6tDSy76fQ4vSdLgRfdAMlWUW B2Wl/XmnoZVHDlpp2SRRq90ZCkNqWPHNagWPaakYSTG1dKvbt2cJvYfUkiHsuQso1C9QCPEQ9Gaq ZuikTVNl2sRuGJI1Hr+WlZWhd40mSKYh0mIF+tCcmytM/hjjnJ33eeeglUeUvkgREg3qSiiJpigs T6PhfGJLa/xIcN/MQ6xmfRYAvoKm6D2mhpQIAXkifnLwmPrcAgBRZWrTneB8NrOaq3B5OuHYvOUz /KT8jCBkHEaynj7ooB6AVfML7tw/7/wz661bQ15iSMjq111EUVBdaQmarJg4qWRtERFFu8Uaas2U e97ZZywRcBFN6mT1+ehkrUpZvk2drFrAgWIZm4YrOMC7loYS16p3jRaRETyKD5Z1YC+TEltq/bxz z1jia1+7rCwJm3jbOYqpG7QYQZxyQEdzfFDf1zuEv636znGRGmunbxL2OdgSgvhg6cuQZiDGUrDm xKMMXwi5uuHQtHeN+vJMy9K4gyaoYLbZl88jf96JZ3bbPjcZraSZK2iCQlBHh+BDq8tpMNnbh32a oBjKZ9Vi6nfaWXH7mQnupg2aoJyA3W2TtLOJA7txgjooEhmMGOo9dCPB2Lv+Y4LGbuzGclC8uOqo usn6CdjeSWdFON+vxB65TbmH0DvlzFdQoyeFFMSyYe9ICrM2R0ou3vvOOOMhhI0DwUgfHNhxdi2o m3KfKXCdUScEvArcP+ARX6zi0Lv+IyuDO3nxQXlrrkm8JHizft7pZr+PaZ5YuA+oQaQZigLqk8qf IJFHnjGFvYu4eWETPz1QDdMMvQfUyFuJeWGXR4PtsQ6Ni9nlbI14OsuZtzbeI0gi0j2EKIbxTjZj m5sJq2hUG8uvCt2BwKjJbDiXzzvZDL/i9ilSPRuqjzfleOea4XcpaHNU1y7A72wI8zWHC6yN5Y2g d6oZfiAiy4cusvF1kaFws6H790OB7DQK87c+sF+h2nsrHzjp+aZRSsZDGjYeNhg2CHmeSkNzft5Z ZkXg9C/kFDY9zRI1Pe/RNHrXKAiJdQfKS7rWITXxOMK7Uo9c0xMVqBPLij1rHeV5sVMn8zpnoex5 EErTdqZnunwXqJpN8oNVwqcg/TvBDIudBnNEFNhwb7EkZevR6+edX8ba2XeBujGtWxc8FUXT3GHd G4mQejQltS51a2E8oNrDlPWdXXZbrW1TypiDV/AX0JuM609ScEL+RbvLNc4XaZQW7hQk0indt142 Kp5JJgwrSYNhYGBiJqbi5DsGO+ze89Z1PNHqKPcei8JpFM+xYKv1WvcYXjwjB5oVatLbdI/9UaEW 36V20rQmCx8nSE5QPGDx7BxDZniJblBjETRNN5rLFJL7vFPLLsYMxFwO3Ys854ctkgw9l3n/vFPL GGyOr6se8SV5gDZFUTiNSiC0VHWrA1pujt8NKbhjYUZ2DF5YombhA6B6H/wUOilTyVVJKyAEOFE7 ukEVhoHQ474I4IUq3+edVsZziDNUxWVgrZki6WfieyUn/6SyfD7YZ3t8HUTkzBDbrBmKwmn0505O Zmqzs6vAqE5Z95wsb8dwvnPK8PZF/u1EDXHwgwjOixO3D3jTZ64YTsP9G42qs4gyAcC2iIimeqeU 4eek8PxOJBZuN42E2HKTUG85X/vzzijDO2Y/ieTSiwrJvHiqd0YZjqKUQGvZJhg+cnOR/nOAuEh/ gWhJIVQxLlKLScZYh4MXGE/kg0osF9EJo9EVQmKP5tmgh52wh0sFxqWXfZ8i2AZAAmQUDUYyXPBx lFLoiSs+73wy26bfUFf0JulloSkKYR8VRbNRqUSE2geqrMjEAETF+cNuYnF9/nc6GQFhPIOWcIvr cUjHckQ2r/IZzCllpgbMKqQvwAi43cRm7xrtM5aniYLQoOrZCdnVfAUB8vNOJsNRMMdXSM37Id+W /TuXDCsXNZrtl9j5r2z6aSdgHJZ+rNa6SfO/U8l4oLFIncYdHHcGA7DzE7ObOPu50mW9C4AlTY+p AenKxYgTPu9UsuIacyc2u4NgH5t1S0ZEY33eiWRFphZPswzFwdKbp63vNLIi/sIkTE6SjoKVQ5Z/ IRsQmLzTKJtL6N8hdZPdXKtPN/G8/zzXIXGLJ5BGyYMVWKR/1AjNPIRMtphMhHcWGU5NRuBb+T0H rSEwEiXjtE5I/U4iY7zwC1AufM3wbtk7iQxxAtkIy+qKE30nq5/Rp9ASV3CBhZkOi9TCfSRiAjk8 ZfzzfGah4cTaUJdh1jEaC4Ln8gOaTInrogX4O4WMiauyjjp8cMT9lMBMO6nM551BVqSQ8ZQ+QPav Ld8JimrUzOzLTNVBDdebZwnfAC5Lh30OL7N3+hhXMO/5wmOoFz+GYHzYEhSikvwvwELZgseer0FV jnY7QdAE4WdEE8RLLFORgINF1ecnFOyj7/F5J48x753faQerHrd49s4dKzIvmijgaAWl4qWPlsRJ IA1xFENOv1PHuMkIGZIG73g0ePdED6BVSoPDlQy9Jl45gyf3uU3K1f/oFCd8J44VsWZRgc3JB01Q Oik/O9Lnu/LxYAXV9XVO0yK93uLZO2eM6w7uHC272k5PrrZTa/d+676Wju+UMU4QV9BmM5HDrb8m NFxlVbCBtR0mEoYmGVZOX/cQIsLznTFWxB+EEiSq7xpsBe3WuN9OPDo/74QxQqs8r280p+vM7C8w poUxNSSKV+qmLz/7tu5PbwIw4m0nYnpNUQyhZuoqKbl+peQYmSdkFqwO9dlIvKbuLOuBnfIblrpO LAt8RnDXJ5Gi+vRB1aFzDy61W6H+1aKQGgyBL4Q54tJ2i0MtDKkzpkXWuyifzeYIz7GNcV/AMDAr hxZVqNW8MLIzB19DMFfeU25z8BJoIgWz8UHbbDmnlq9zOsR9CB/MH3+WfmljSI67kVj5eNCzL/Vr lzVu8AuM6XFEDRC+NlSFm1q9coSNmSvlB5pzDvt7RI1zmlWhxLRspJuWAT5yQiDLXHsHjFo0BiRq i4n29ZnrRHj2KKBWs3XtfQcvMIKbxprhqHg8AAlnL1EvhZYsmvsERfE01PVPVrC8vjiLeaZV1BRs gnqhiEXn3wnyVso1DoGExwUJQ8+td2KPaAYC20vi+E4ERLRE/9ntZvaEPeIzgoxDKlb4NW0QfvHc ZFs0hZNB4PGIHzW/VhDI8Cyg2ASF8XQB9owOHCRItby9lSjsGb6G6pS4yHpUoracrDHO4eA5WUV8 CLVi7LEFowJGi1seTmi2PjkZNWDwIVF5iHFlIty8SydMAXVtEmMF+hzPB9ChC8+jogMkDNq4SPMe EhArnYyaIFVnCbGgT/5zyZbl702fU0TUPYqolZRJO0+Dl9A6KsdwsKIUMdZNumR6iDMVITfnIx/b o4ga0Io6KzGeGqwTNGplnn/ipYnHo9Q+f00RTEXKLHcVRSRE1Iqp4GLwM/E5FovUyagdoGQkZWU9 Rn2w6iETPg630TETsJ0U+IDJHMQfKfkFiCXaI/tLuWLrXf/ZS8wyyESTRIrLGV0hxIv9/H08HkzQ qF8ThCS2zeJ5fQ8D6nUmaGzr2APhaXQOgGA9XiyUSuISiomInJlCcb3mxq9osp73QhzH1mbFhmV1 aBE4RMJLulo6E9VRfEZw1zPVQLfKByvCtiy1IHAK8Phfm4wZLmRUqOivCQrL1Mg4RjJ7VBRMTTwe X2xRqAmgD0S/XEFxQM08Iu872DkEd51C2QRoL8F2haYWpL0MeF0i7Ho0LKde9h97bEBvzgfLWs+S lY5OHxOPByf1SF8nNTZIhUSYzdB7RA2gOdw+ElVyQBKHcAVLQ71g2opOapCvWBrqEehDd33dPKk5 +CmUFgpdlZWPYaIuKA1BZwBX5Exex8eVoHcNJohdxNwUWDdfQmsi2dP9nSceD9L6Wzwryt+AivEy fo9g1ObSQPUzMDcrwx5KP4AULW/LlKovoQjyYVfZkCLTYL++EtCw54kgqEndgYAtnUZ76A7BnHj9 rPUcQgiY8RlRMCT4IrWc13WRP5dRKkoYett4PIIv+goijBrV+FouKbGHAXWD28d0QcbUCJ7eksmq VjwzhwaEi1GNWv1oen3b4FkrcOaV5pFQ0F2DLunnywLhCWH9XNQDE+Fl6WWDtJVdoz1V/3DpGTyl EvLJ8lLD48Eaumkrg7WMBjUifqdERyE1eg2Pjv7MuzqAsUl+EKgPtrYZUo9/63icoKD64J2OcY6b CRASQCjnZhMYtKIfKEX0Mh53nax3DeqLQ0IebHhsByNnsMenys7nwMPjAS26f2f26HnWfO/6EYXU iLrnZCyLRbSyOzPAv2oZW2FmV4MZf5aoiw8XngdvbMMvQhc+sxW0UA5tkJPf+UnK6BgzQmIixXK2 RHT3FdEFLFnWvudsWXg8Qn34OSRyzP5p7QI8R4ijzvSynFo3k7wL1YYG6DpiK+j7cYKiEjUR9tD3 WRoYbyApA295Nmr6Q42507kA4QBuR7bRx5NznGU/8BkBwLMxGFJgvZSmk5dxLkb2UCEdisf/6nKo ToJ8o92TekQBNaCyJ0i8ih57X+jZvsx6oN9sj0UB9WLRsKsj30kPwwxVsInWJM0MqA/oGjBgKpCC LwzUAUMwXAw6CviMqMuhbj21K/aDokZVTpre53/G49Ex5PE0L0Git2FRbjMUBdSwghu4cCxe3LX5 DK28LkjYSx8jCqhnVxG/Lh88oEZbMpWmPtDijqOAPs1IcXOie+6NIJqjj6hEzZb0LAz1zl2IPtu2 AtpZRJijExZlPB+tom9GB5PWmT0rG1FIDfQ7akJGChr5os+yEjRg8UtxeYYRhdRSzakyjuVwG661 DJh9suHawXWl8wkM/2oh033dGjUp9iPEUVO7a2dx8vOVr9g5z2HCixOPR9CY71YZAQP4YW2CQhz1 CQXPObTdOr54R7qrZic9weL6ZiPCUZctCOxOPtz6IiRm4M8EWENFq4PQGLiLgreL5sftlBHgOUJ9 D+TsQENWH4wT1JN8GdvuA48H8dD6BjXQRgc7xiYoqlHDcu9sMrvg3daDuA8Hg9Aq1rocI6pRTyE7 qb2Aga+OFQSrqrGxkcAvSzDzoHQ8eiiVETVlKW2PnT+Pz4iiIcZNcrHmoOrZgMQco6ETfOLxvxpl KsAuRk8+QWFEvSFpNm7W2lzn/FzXDmVcvd4VFEbUS2JmwlIL62TNVrTZeCqDcwcn9C4bj0LE6jk0 5wWaby2hMKTmVcZUDj1AyJMIaE7NWUI5Bi77sEZ9G0Hilf0+qMOQGrWhXowWPftgSI1oKPWxDdMA O0Yl9iOsUdNtuKhhX56G/UK1qSzovkMut8Dbk85wWEoN/TwEl17F3xLRCWvU7EcDHeKD0rIMSjrD oXN84/Go9JG/jiEw5GU4yhmaYY26cl6IwOOJnbaJUiuL5AzRXI9p2QwDaq6evhVX73xx5jufw3hT /h64tHOiUsuKxATIeZ7MY1z8GR08Zgj6KAR9UK5BgwLqfq6yosJ8a3g8anN8F/FhJQEnH5+gKKCu yFvJIOcEJfWdM63OlsE/1iZFHnf9DGvUuaryPHxwID5qZ9QwJ1XhnNilKaCmKPXZ45K8+zE1Zr7r P4v4SQzy5NXF0RJwgJqggsf/m2OIEjW0GdUERQE1QTEAYWqPZSr4WnXRoXrglNkxNGNiIplAo3Yf bI+dyykN6mDhpt/Z1AMHQSLATZ+lMC67lSoE89816qkQnIOXPnojWxrECDwewfO+a0Ngu1AX0GYo CqiRX09AoVTFr6l70rqXm55vJJ42Q2GFesuiWupl60p9bHgsLeILUV5Mu9GEOYPM8UPOEeWOrUCN dhU+I4J8CGFOKS8Oxpgi1P9H8hZ4POJyfMvAQW+7pXWXUBRPA6J2olijKgAq6cFiLeM6dtRmJfwZ yuSZrht1KDk44gNHKOtMaJRV9LIoIgiJuoaSEc2zLOMANBqfEeyxJBIHAb7pZhxnWtMkhhXxER4P OokP8uxHUih1XlzVDAvUg2K42+CLioMNvphdb2heZuuMEB99CfzKfIuDrSBc53Mz8kCkdC7KNlg8 Az8aEoJQOPJYiICGGUXTAGfh9NndB03QOS672EDnWMDjf3F/J8uvoPhfYuuMEB+oi56FadTfExO5 pDIuIIMPob5p7qYzKlAvpvOt02qJg1fw+6T7GNONCfMgNkNOtIjgDjE4gmNXVLZ3DVYQD5+tpv1W FYMQ4dnkbYoWHR6P8rHve56VnH0BsDMkJZZEtxdJ6Mxcr5Vwaeacm+n8Y2dQqJdXlWcQoNfcHwr3 fKGzNgVwoZKXpUiUaCe5QAge7Sngb71rgBlaBAux4q/Ba4sbOgaJgu14PFhB+1vmA94X1KK1CYrw HqwsTm/3uIU31YbKKNZqHfBY1QRF0TQNAWFZX3ywCepwh1jIRmi13Dp70biZcUie8GnL6U5Vj6R3 DQDCyfKM4oPSjX0CUbGBzoDHI8zQ9xbLokrcLRYyEmlmuqzKOtt07jgaWM7ZXKuZfckMZT6IGRqV 8RAHb9anDENt+QfD0CzLXploPEC/GnMI6VEue9d/BEKzVKwgDdZm7d1WUMkFj0eR4m2z8kQ/h0Jj Is0ZWlEsDTti/BzJ9xhBHpOIy2kVotWosIAltCK8B7prOAMkMt2uYvmmtia0q7mEJmjAtBkuUPdI tBefN1SkHvYK8R6CnW1BzSn9rCW0hceA/XbF45GUziWUsVm/qXrhp9CKgmn6A2gTi9V6C2cjDWfX N6JUKUsZBtMSM5W0Owc/psGHrnDCIMb83OWNhTNWv8iPntdMia7S+Iyg6FEYRVN8WYPWEJCXAlXt XfF4hDvzhJUbEgFBv8H0ioJpGrz1ZUIoc9CPRZuMKgsEVdXazQt3hYAPIfKq2L9ydWmqLJ6oFWUP 3mMbFUWmYyh6FIQZUGpwcj00E/AZUdmMoWKmn6LuXi6hc703MwZYA4//N7F0Rdku3Xx1hZREZvR9 edmsZydMJQp1sBO9lxM5Voif5gpqReAzBpxaQSeU3idelCjc+cVmZcMx2S+IwuejmkfQ2YqL0yy5 0gtOg1bQyY+T+Uzljcf/guWp5ahTyycoiqXRbUDNPjkEnyVYQvC9lob7d1kktEJGoilXSHmaFVRN 0ElWz4lPmjjqil3/JGHOUG1vUNH2e4xMbHxGUDZTn7Wyes/BCq/neJHw4smC8XgUCV0EPqaW4Ndx O0ArCqZx1w4g7xUrzrSTh0L5HtMVd4BmKJT4kDRlWXd4ZgjSl5vyrwWulfS9AzXlB1q5kK9L12mS sLMVwT2WZAc2ATQcjKRwsiEeeygl4PEA7rG+mdHoatGwwWYoxE+jE02FarN17c6tH6XZGtoreUq/ wmhafkldIVF/hLlRRBxoazPd6FN2neeHQLgPiMpiLWxoDWW9azRBPJ+nxN1nMybQPLMuP+5zMDQ8 HkxQ+UUdX5TK8lhoRdF0oZXbpAIuZJmm81xOeAF0EIPFXopvshA/LZWYuZMP3mXtA7QrCXpByZYM 2LSIaKLvcrryyohM+K6RgjApQ20VH7SCkOiycNpS4ysGx/QTTbOfdt6jMZHWDIXwaUDwC32scU6X ff3KexvOUahUsuUSCovT0sID9dAGp0pBPKfRlAhgBkw23ZMBb+jAV9IjSX3Wws2OD3lfQ8Ny1Z59 UMq6IRwlksKqeDzo1M/vnB7Yt/rgp1dMSZywtfEZSrdYRhDCj2sIuwj1ilWoCVg0+Y7+YDuxm1pj xgKp8bPvqWAOQNLPIMrpSwCWdBt8SNRENL+t7YNVPQrgrz/s6vDxaBH9YpPhOKTPFWdoR/E09GEm pH0tWuzTGtGolXnGkXu3NuuOatMyu21S5mxeFoXK8oJcRUaE0zYYrp02SRsKhg3Uu1oumUzu0juK p5WSLa05Dqqb7bOahFFKg48HdbNfST0WRU1XqHu/h9OmbrqyYThP3LhtCfWVn7oZtEI0QbHEB9cO C88a/CbDuTrZhDxf4/zsdpMB+0+jYxqO20VGDfwd4qdFkWqqejS3tRlrZa1ZKuTu93Baza6vYAhN O1BEbYJC/HSjaVuzCZrkyXEFzWVEIDRZi9lv7Dicpu5rUUZWnoysrPP+u5n8KxyNGE4n3KDMldty kZhCk9nPjsJp1s3IkfXBqGRrFzGdEAztkI54ZQWZkCmL9otsh3TEQX6UqVbMktzT9kTxWd6SOBqW YT12jPUQhGFmH+4EnXMYygnqISaodjIhO/cBSk8/c9+bnlIs+IxIA0UwGPklu+1pRm49WPWAOh8e j3QX11dClkmIvgnZDrHTheG08Q7PKW1F6hPFy/yHOuYgimmCQuy0+JpJaUe6LhwnQt5wj02i1hcg 9LDWSNyvKFOL5KK6GWv3+y+JDyH2XVLt3Lgzb/NubxWPBziG/d1iZbluds83diiYB+P2QWw2JT78 QqPxs3spVMjnaoJCoIdmRu2fdts/oJqeALHRBwlYmA3XJUxQ49lM278HfY81i88IQiFxOAqtgldJ jp1OiNbF4UgLj/8lB0e0EIy92xNN7wjpASDSVEsUS6hvww0BCmNS+IWGYTZDoWIeD6EqCW4OXhYC W6ZsaXyci5glJnrcA909Oz3BvQfdu941omtS4oPYaQ2aoQqvo/FjHcQd16bTr2ARCGOe1ZqhUOKD 5mzDjEnGLuayiYpm7rbJGqtynKEY6tHJkGIoNK4TeeOp02fJFDvb6FEmEqVYF0ZaPIbnrIUoenxG ECtmEVoFMs/pMn7PGlrC1NeBx//SoOaRDKyknGw5QWFxGhjmPWy1ANTuRrYEYxhRCgpAJErtGDwt xi9pYhxuZTGd+IcwbsBeL3qYFZlEh7ZrdsP1i88ITiGZJhYl9eWB5C1ICnKCFh8Pqh7puzi9aNh0 2xs7CqaRU5yf2CYIJrYmAQeaQbI9RnNZTVAE9FiyQV7zDp6xwh2JqSnKQggReaCjYg2WHfPlGwmx vbFDeQ+eWdnu+3q9Ahb4V4Tfnw2MxyM1uAtjEIIGN/0FBucURdMnbbwCwovH0KPvAagxTf4qnXfp 85tCgY9hPtDZBw+nC6ZpiShFY1EmrfXEXSda7KSLXF8XiknzU95nCTIyiKfWkFFk16F7jvgJNWck 9i1P/oEI2/nNuIMeP2gBd5pC2bwEEtk0+sZE+Cy8B+pcSf+506DOctcfimJGauRCScwGxzOs3oBQ ZF52AtGNBB4OpAvlocE2yqVtzrHtdYN+PS+/Qf8bDco7FpTjGRSN1vh8kHhcVzuWAtAw6TczI5Qj yDwKCK25WauDy441tFpr8n7rxZ7lFMKomyTxSOfgYLMEMutZA7nKAedkY9VQH2Dd5fmz0wP6IAIW HxIhqwSpovbZptqDBO4bYLrGFFv8A0FodIv5whll5mf5TlNUrR6zfucfnZmO9JYpjCODDjgym5NS aI9IiDBwCz44AG0CL9RIkqaKs+LrRilXct+fFC1Dh06vG8EYpdJApyAOlqMBTi2VQRgip78r1uyW QOukriuPn1MUZCdQyce2Oix1N+1oqj05CG30YeU0/KFgmmQBWOVAWh8H0pOzwfGD7KmMiplYCw1G JgOXDHmJVi3K1B6ijdj7pxB0vrqk9LpL6Z1vmuX8UjdcFlKIAbk1R+o4AppyFmB/dl1YuYbaYNmE nbGd3+xsOt+DKRo9g6BcUDVPsTq1cCAqXfdbukYy24F9JRjtXPSUqkLpGl0F1qLoRm75GgMBfMo/ eGao6zcfVDRqUIMQvyNtPh+QytN3Ix9Q6ZMX1DtLYbx9PgjAE1mzQg7HmWblqu2seZlm+EPvq2ky TCL1xwbNEsFiJ/NnOLn3CSxpOJAalE/pnJlTavdwAtCVnxJlbbITaMUH23RnYoT9PbEYn48Ynd8S KegWt/Q4/qZQAiTLD+cRIkrGNoOusA7zc3RsN35JYQ1bIvCm3JnzrT8mdB7XkofZ2REbteeOehhs wBt5pB4ysRHMDwnyki1f7WZDtyo/5FX4FQGd5PNRs7F+XXOA2dRdn0kK4+5J2TiTJZhTETgRh705 KIQ26HZ+Ryog5q0tK7z6ZYV3ruy0qb+CpXSuvUJO3sZKhdPGT8uPO46ckdM/3V/GJOJYgxVIRlWv 6MSVg88H+61+k6eBfh9pPvstir2hP3E+2mtIbTejUaF67naBCUw9m6Swkr1kv2W2ig9E9pyrK6Gp qdO718ZTCYKf+B0z5ONMzbvk6m8bVJEKgwCmphoMIzuL2U0OhpWhBcxNcbmzSMjJ9+gOPRXR7QZE 3ww5YbZqkUCuxetIlO03x8AQHEIh867osvvlQ7Pbcz00qAyeHPfMHv28uSPRZesImPaPe07SRjoH roo4eXlyL8Wha3mp7RyaQ+KdCS7boa3il5W0/jErdneSQvIiATQypUDZLW+vA6xe7yR11xvOoa8i LQPO7LQ7OCkG4JAOICW9tiENwv42e5kF79m+YHzJ3vZf9MU5ibbmYNUktMR1cI/K54PQO6+vUgCr WPNJUGJnRQQB48pWj7xcmKjQhtY0dbOJpuTQWnGxTILioA8Xsn8iFNhKk5834Ygofh6Zw2eSWn80 9kqzt41E9tS4Zki5NlVQSB3ijuPBXQefD1bStaSShRl+mnwLJjmwV4SxJwoCpSUrCBRfSqAeuPLw CRGnz1IYeZNfNQattzloKZ3oGKpDRYjQhFtTaCRqgGKf9XmJDYM97Bz4K7osCHw4fRCUpkKhxYT2 Kp+P2vzf0il0UF7XqCKHDouob+Dm9wYbLgArbo/u+juL3j/abyGRUYi1SaE0Dre6fTIGHEUS0R8t SRkEtp4VXHqqMlt6Ivv2HJosLtoxnkDFBqPDwnuNImsUruHzQZ92la9ICR7zZV2P8hy4LAoqNLYg R5XM2OrFN+xFK74hz7GlFEbdS8B9kok4OH6/otDGAi5Opb5kuJxI8ATWe7GAq1PJJikKui15o0uB Bi2lTPQgPw9BQGi0+LjCULwHKgP03bJJCumMUI9taYvECPcTU+GBrqWB1TdcVyycDJ0WxcwvVXpx dTzNWoSUifTKsxFhXT6YmoAV0QBlLxB5uHw9f90oVFKfVh2T4cqW5wYepqd/Tgw+H5zd5buhDaoi fpo7S6FKyFiUIV4MteElWAw3UtGAVw2uf81SJBOCqgVAR1SVq1dVDtgjrEVogaAXkIBeVqgELB+r m7IolX5Btbf9BwISZIPigy44INhUDpj2ksFSusa4vPGRlzZUmHyWwqh7Uf4iG/yoSKGZbAe6KxA7 gtXgsxSDRwTNEsqm9KsA2lgFymq6LeK1FtcSYJNg3bPd72luHfa6QTmAwdJkUUiDAWxalehDmSvz +SA3uXIhih7R5qyX/plD30VA+GdypsPM8q8gjq3W7BlccXJjjo0XuxT4BFlvD2QdGDPUDwnTAtqR FGLAtNjYniLMW+ttJXvbIIOTJZxc89Z1zevQjOcmP7934/NBcnJ3HKuaCNNgYmCTFFovwjZpCqjJ QiUYN1aozK4ri3i42iSF3ovINagbX31wIBL8fzLJSfCkLJBnoDDPUvXgpzHjWA+WLYfmi8u6SkVM 0GIcD/RyJ0mnvWApBe6LSJO/D+9CcbvyTFJc8wb3QV1+/tc2juOJtRkhgaw/acmlSQpx2cSjV/Jh NNw0t4I8AykQrB/4lhA02qkaApxEuU3cJS/q0H8xF3VxWfLmoLP73HxdPcqzt/l8RCX2lSRXB5jU PEXK0IARghgAnNl2K7P62Y3Lif950lz0vHUqBQ6MgnSCRUzoMYcL2CrrLJZUBWnLgK0TsAW5iYb+ Sb6wyMIgkZ8SFd9sozH+XqAgKlaGKijL332vzT8QIW5+X3EZ1gU3WgpMGGXYjl6u2NVjpeZusEDa qBAHAz23yw1cGHEqqewmR+H0OApPKO9aLRd/80TeOJXqyWF+JhtFUnVUpkuyWo5tGEVIHzydxiAm nV0mWIhxlkrWW/6lvyutwwXn8JvphkaM+GXRXzfUROnWIshs4limu9A6V+QdODFKVxKWKETadteu 4NmdAPYU5REmL+BLg4+Fux9Vwp2cblQI1OCHRLgJyRuoqFnSBZacqHfLzjNlPv8XNb1YHIAe8J2k qNyNNHOO29Qd2Sep11yrQ0t6bT5JsYgIz27JztVHdm6DOwPqppkxQh1LdBHc5RATorKjbOKk7JhD N0YF3gstHxusrdvGEKPmvCmfD1bSVQgVfAp3FvLwO01R6I27cHYXnpnwcbXS0iJHWM6w/POaplCd j3FAFwCpu5g5SSNnJZ5dR4fqAUkdlZaIkR/E4nnzxEw9c2jJCGmKipyw+6BpmgwFuJbW4PNB5J1+ nd6JFcCbn4SmjJDZhqpptiSODDuVlqhcYRCTejdcWO42OZGUffAiZYGO9iQ5C/camdawHJQ4M7Gn PknM/fghwSQJzT4EdRsOdWvICIUzOqk0n/8TkYwdR3bz+FpKUeQNe5GT4C6fpe2Wp8Speaq7m8Eo cmDMiLCLOa5Flr1fJ5mTE7Rzlqt1UiGTxRQmQ3iwgn5R04Pm2sveNrjipLqS0x2U6p4dVwUJbdxx IdRk+I7jIZbhtNJhYO3TFEXeIERD7LFacYmbXWiTnocjulQ36fpDETKZPP2xuw92x51rOcHYhMWl M/WJfNI0KMoArYgMiTrWDOEw1+11I3h7EVf9DlpNC+09gbpq5vNRNfeuJgbq2OxfhZPQohHqZshx zadg3O53ryTZM6xsxQ32cuzRuEUgESqnP6icRp9GY7MluHvSdYb82QKuBNzDb/O729tG5zeleYGa sEGzdMKNxBhTNe/ApfE79mZi25hnX4nnHPo0Qrn4JG+jWhFuNKNHtCpfMDl93s5AYNQIxAw7A4m1 OA5eXsqQ38DqRhoH9R3ZpDeSfs93G2ncWVK3MnBqxE9BWE6WD002mOb596s36aW1rJeMQKbpK17C NpW0ok1SCOTOEDgtw2h/OU+X0Mjz2jKzH6TgOzRrtB5ToQsYB48qz2nA+gmC71XPjVekQIv2Es7O DD1OR3ip8x3aNc4ks1gJsWRvDcwTri4lu2fK+fxfs8RKJfp66wmYYr9GpCgQLf4xoZFmlxyw6W4a 29a+SymKvQcrJmWwOcLBe7ooaRDJjsIJwspM1g1aA/C6/JHsd5UzSLO3DTYc6yZbiur7KqqDL0dv I+C59ZIRPKB+RwLY7fP6V+fYsrHT2CE7J2Cb4CqaaWk5aQIJgS2lWA5bSuG04OHg1aUT6QFex/12 dslKVO/rdHHqyXFwVl3Ky143qFQOBt27Lh8srBxFYm/n8yafjzA5v+SMzzJo+cl2A+dG8MNRLSHT gao+ZS7LdnPJVkLZEObxWYolRxQqEtfNwesmC9uIfsig4EDehTU49B5JM4RU3WXfpG6v+48rDjHA HbThzim4VKrcKJwE7o3/WfWmDAYLZzZLUegt2ZFthSSQPt1oBvV97T3UurxwEvg3ii6HYoCEsdcj jI3y2FlBi/XccxVR6hkwOARYEBiDAL23Bla3t41IXCycUCFRgwqVZ1VNAU87T6UQ3X0blpKvBehs fe24sOp9ZnKelN00aTMDK5YEkli4S0w3r+f+w8KR09MFFuwXLHgy2AQ6psiArdaB1jQgleeTKK2V FzH/2nFp2esGO06Hd1XBsrpG1IaUNGMnXHZ8Pthx83vH8bcZ/Tm8w6o3SLcsRGRS/6FypSJcE7gL 9aUOWQfBlmIXRwFydMmX/sAoxjlLG0HQwOd2cI+JFYRSy1g0T5OAmIgCzV43CgRYWAKMygYDd53w PivNX4vPB0Fl/p6ljI5Xy193XFj1Bp/hxBiVqvOz9WHT1LZqbwUCAMXNDHJo5Sjw29my1QdDd51Z AsYdUG9IRg04rPzQHQM4l8bq3O1Zrvu6/zqYllwvOeiSK2f6hRU8hyCfDyKBC1xSFxdqOl9FgdjN cS+KQ7ZLqZiXUlEuY6DefDewc5RAIBX9qg+eyZ307OwlrOxzcqNmIvrgBpCxgxtTr6fBIMk9B3aO 9/guVGjnoNgbmlHk9teKxRT4OSKT+4ZSgFZWHh+1HPo5Thr0KC2ptzmAdHfIika0iul5XGjoKNpA n8w+ODh5CSrAlbuIotkZNjKMmqpwDPA7sjRuKF4KHB0RFKqQQNgJB6vC1UemVs8Hd1y7CQpBcNRh 3bdWGZo6AsY5x15u4lymQynKF8MLcs42S1HsrWy3qbDL4ZbhsI1p1NH2SXfbIpSCmLGKyKO2fVmU yd82glIIlStDWhT2qwiRSf7r6Qcu1PwDkQriN0OnkX13rYxyYOwIeuEGwHtXg3oPw8ajKLs8rMQB 7BsurHtTu693mfO5wQeO75PF7TGopLkGkEsChUMup+LoFNbGXFayvW2QoEzKiS760HCw1m4BUZzh dNfzf4pCM3BgCeZp7QbejpKnB/POeAOJQYO6A9uC77xU92AoEJs7GlZQaIF2wUvr/A7tXHQrmZym DDJA46BgX//Z9Sa7kNPWh/wLUEllExuswDSQr/ywkF75fLCUHlY3jyCs4vwgKkODR7jeAzmjbPec RcnBFEUa9Z0KJbcfFzg8JiaEkj70wYPvE7n2QQQz1WUpynCiPxbswGwAPfri4Ja9bcRnYv87yZgm uQfmGKkR7whvtcrn3w/vtb+JOmiwtf5UlwKTR6gDTJCumm24St8cxt6EmZgmImk2Wkohr1JYiirP 2XoDgbPHoNZipIEKxy3FS51svELFvH6JOpRsy4HPI05vmTq34oOVBABKVwJb9XxUXvpGMEOeF2Sh W/gOrR7xuXPmx++gG1PnJFrNhdh7ukydwOsRgRfhAWvfwctLmOUEEovuuJM90xwcfQiEmz9z3wa4 VMlyYPZoDF14y08fdMed82xru0w0LQO3R9nrPnkcfjromNkkfV6DpYp9Cmp3NiPwkzQm17sh58vE SlIymYDXUKkCuiRAZRo+WBSAw/qcSoWhI+Amg7FnnsYgwb9xNX9qOHxeA6UK7chNzx6EVhoMc3ou PCn8toWnX2anChaL2TmHNeOFzNij8X85v0o0O+cUPH/c+AFzKh5KRAe0ZKClCYSnVlAwO+dGZIuy NB88eeM5DRgrGqIQUiVyIvHqBbsgp35drw0D/zo9qlu9iLO2jkCV2VhBDfd1ekBDLjY9IsAXRFTL Zuc1OqqZoL7ZlcdO5gd+VoMMadylBGQlZ+c1NsLaGYKZ7jvY2pkJ/RKAbUh/Pzm4iuEUMKAbQ31U 6gnrfo2MKhA4dFAlSk6DJgfGYaoknd/z8xoW1QfSXa0ked6H5VZOzmtQxKUDCmWysBpbzFHvKV2f 2QGXQ07Oa0hU8/+ahUjV/qqXYrJXR2l2d4bXkLOjoHiGZfFPA9W1PyUkoUtfA6IqHiLCA6HjkBIQ 4QB3qkGUJ2TN8uc1HuIX9Z1FGAAqk5MXPWbnNRjCQ+fFEKlmm53h0gnnRu0PCKCamdFrKISNpRN5 k2ja9iWaouu/QE3isdOhd0Djwo2AvsFgABa97DaT1V34qsG5o0o2AMM26PaioDRbSP0E1a9xEJ5W n5A3mEimrQw/dl6DIOzGje6RGkWoh6zl3JtKRR22tVtxfaTXEKheTz7aemvwpnZK4xzB1F0v9dzC mp1V2dtG1bQWv91ZKcGrvs/OInhpTRXW5i2sATAnoMz5RT+v8U+V8uyzdtpZtSh82+y8Rj84ygvl IYunG6TAyl9lmB7QeWxmu9ZfY58qpdMONlPxwWYHBfBzajKXP3HceZT+GXB1Pj8gnFPRfLbEVb4G 0c4SijRRtZ+DrqwB8yIunZXH5zXswaFlyHYtHSLblbhicl5jHpzJY5M9oskZ0EPX0qnrpvirlWJn 8mvEU4U3B6l238Hz1QXEP9XZOq0kANSBTAHAgYNArXzlEkjK+LwGPPgBzECeeOR9daP6mW+TEz8h 0+c13LFbw24sK3KDwOJr57XSiJ21IJFNJRDSbKabxp9vVlxuY+DClfJYcCoLelQlBV2/pKAb5Ngm MqSzswbY2VRbpyzQLCCRlHzV65grfl6rjJieTYXDQrYWB9Via81Nbpel4On36enLzx3WADrzZZTH OT2vFUZeBTQs8ksLCE+rd7RRXIO10NyDaiTRscytxR6uDRftX87GLdSoPeHsGNOY7dAngwlIHs3Z o4UAk89rdRE/wZb9Xm0+2LGM4L56Sei1tlgfBVY7eOSZVDwajIJlImpRFLVyUCrby0H0FjDBn5Wc 7hfNDyX92ix38GgZyImTuk0ezCcCbEy7gL082SHMUtdVkZj0jn/nsVUBz+izu3xQ9XU0VnMT76HP O42tCvNr60cad2gxNj993mlsVY7mM+2uBtBYO7uyX8vTM1Pe9kariWZoqpOvsCc9YU8DU6dTIA5u qb3iNznnUGP/l3bgNOGrMnK0lw2OZ9o1L0kkyKJc2fuJ6Pn/AB3p805iq7LFftYQDoHWKa3FD42C ZqRS6JupOv3M1QlrkVJb5JNdl+2dwoZbsCsiZDGIg62hc8xvmCMwdQcqm77WOWF7tUwuRL0phTL3 KGzuytj/0+lynIN2K41qYB29x81S+9cESdAWEtTLj6B3+hqmFYFzpWoXz6Bluen5Tk5EyigIXuhM cEZ3Rs70QLbBk64BWfQtrZaRkb/hX8JxDPoz65c45BQi+z12luQ9uMr0DCmPf9pZpIX4vhNdoccR HkO+hFjrwQ2tta0peo+ekU8u+lvaMb0IkJdg/zIEBMQP0/KCazRFzMUhbeiDEfzyudZxtSu5SPi9 OZkZKhkIKQr5aE2bTHWN8Byqr2n7yUb1gRQ/fCeu1UdL3PL2TJgx6WKaoiiEBnlyUiPNbjL3mDtL 0OOgLX4HM/f3GDqbgCb80nzwGHoUiOhVln76Pil+IuMGB1GhAM98fFXozPPOW6vSL0TvDUm/Bk4R LbUIZcKN9nmnrVWU3+dXnJgJ3sG6tBkKw2hwaM5LT4c5XLQ6+r2arHN+u5DvO2uNi3Fwatja4OAz BOryRIKA/j1kVKQ8VigChTIXG0BK30XtiwLp5jHi9sECaTh4KFTM+/NOWauPb4hF0iBeyEGNExSF 0mCknLhiu2/Iard3LxpbZ2c8+RKKYuku6cwlx0tH19F5ZqVVmk7qOuEkRR/HDKVjyEJnBYtSQNhb L/s+Q8BZn3tehQ4OmiHYg0ns+mTbn3e+WlXn5SlxFNwSfdwZeo+mId262LcXigjGIcsLrHUrKSvn aqauFpZQFE5DufysnSnxuvmI15005ARUBCQ1nPm9yEoMTcdzjFP+6/Z91NF4D6dx1LIEJEZfvoy+ NlCuZJ+1Q7kmiqfXrR9ep0ssQ5ugKJ7uxKczg8YS6v3CP7YEa+W4SzVxTFAYUGuPjXQHP6hrObcs Sj2F+4tWGD3Tn63hh1/sRAtTPKfeNZigzqVDnWMN6viUDmVLpVjr805SY677XQYC9bKU7hH1O0eN G5uuGNYwHLsaixbY9OrePJ3oFpYQw4iaSLSeGVhz8M4hpGM3XHeQzK8TezIeyJlKIcjohZuSltbQ u77fZEr7U5/JB62gE4lJvhwRwOedoGZB/1cliBZ31a/6d34aY9R6PQ1YRixWCkIVxx2JOypfmqD3 gBor0SzRlw/OvIIoZKOKAUrFBUVXBCHJzOjOWi/z1oII3n+np9VrHk+fcA3KyVYm240M//l5Z6fx Iutf8SLJYPuW6N/JaVi3BYdQNczZiaium2zNludD3M8Lre/ctCqFKYKHlw+eciwIOFLr6ays1QGy wwwB4NERimRyQa1jSNuHd2oai06CecjOxzG4aGK42sGJID7vxDRG1N9XfSk0jfak7J2XViX/O3tt RiruFKaUccgwN5GSqNvEU+idloZPx/c+ly6Lrhx8k6FCtNgE7/S5pIRmApDrp0NCqIgt2x9tkXdW muf1J15D5VqDYdFXW0kampmPR9VoX0PM4mkjDuycTVEUUZPhmHP1evRurpZ9bhgTHD0/0bSI+p2T xoI0pXxRWrXBttkaQOiiqwf0cMlQYmXJtcMtFT9rLw8AjUSid04apki9syaJmuZTBEUO4aYhnPB5 p6TVfDkNdhDhrs83s39npDEtI4f4WqOz/CD/Irlas58BGLG2WVSU7ixK07zSBltEaSXdYBRamfi/ JKRN2vGdr7zYs1PFHrvz885HY9OEM1Mlx1q3OzkOMAE5QXuuzzsdrcrn8GuXoQNHDWZN0Hs8jXMI mLzhGceJt25xiA4DzFvJJNMSeo+ngWTXLpvdB99l5y5bIwnD2GqiLyj0DYB3Q2TBEP5Wh5Ze9v0c WmymnlntPmiGYNYjNNRK+/PORMN3fdqFuGnnlvqWT1EUUXOKpkrSnZpi2fsavTU7iEBstTX0HlFn 6r6dC0X2RNNrWyccggxUR0CEKQJIa8hDA5CoBq0yVsUsKStD7xrNkG77JAuRcymaa3Prs1BV6ZyA +/POQ/vV+GE8hFwZLUCboag+Db2oE/8OIzSWUfwc6mgVKm2t1LjgDL1H1GLTI1IkIYaD91QplSWR jJxgiyjoIuasolULnV8rn3Fzft45aPg1WQOAcJsPdlKXvQSI3rl/3ilobAHejvwPRezOf697UEcR NX7sWbNXh+Ce5vHQzA9lqJni2jv9jH0SLqFGSiMHL8FCkLzQ1vqkfqBIstBWaT23MZ9kFG5lrfau 0RJqPya6aoO15M/Kz/JLrfXzzj1DvHlLsF07Er9Z83jonXrGkBpLqJg3OnBmySof4/KFUAPzxnMU UkuOHlw/HzwpG5RLyjKag+qKYks0YytiOHGqFA7huP28E8/Q4pqqCs3tg2YIGy+LXT3y55139itr ZakM2OQiHTHOUIjqGMAFQVPVaDDXSK1Tt0HlM3wdzVAM68DWOdux+mDHEASaVqWkY1uQf+iwLcoQ zqQmoSQfupFgit41mCEWhRLYzDYoYAQzj2T0kxP3zzvnDF/1XmWKDguREw7seOecYQ0V4Dko+0mW Z726/Um1RuoaDlelf6ecYQdQ6GFKu3de7d5zUKOylKGURN3+83nq5NN1AczFMvcDVRx61yAt6yqb ySG9li9a3hriLHS+YnBO91+XPdEP+W6yKKSGhqGsu0wYaxg28fzyexp8esK8RBP0HlKjNqRjiGHj bPtOkGpDOMZR+eir1mbeB0C8UuQh3WOIehjvZLPqgFcwQzmgYSZ8B9B3VOtDWvl5J5v9v9IH1Lxr uUnHO9fMy4tp2AwhHKreaZULVpEtsYVD71Qz/D4UMlzyN+BgM1QKWf70n1m0B2PmNpFpZmqseuED 5gB806hCTZ11lLhtMHAQvMj4lc+v9HlnmVnl7Qs6Bf4UzaQ1Pe/hNPprmUL07h0iY1AKPIiviHdt K/v0RPXpxMLHWKwxcnAUQzt/tOB/IGQaEHCe0pCRKiD7T9rzqIRPQfp3hhnbBGwYVUHR6qUHQ/lR 7jGj1887wQwrIXuvVTwpeMrCbNKnKAqogUSCxqMRXwcB6P+jTGl6tEitVKat7wSzKtcA6hcOH+wi y/gKZUgwDBI0s0p7rlNMuFDB4lKn+tbLRuVFtltTbT4YDgb2pSx9rMl3jNAM9VdxCFZ3xatn7+wy RosQnHFtkPNf3m2l6reBpekFqIssrFBL2EFiYWcgXVJmhTChJsgM9MQ+Wak9cwXj5ryljeSJPcwR +CFBt1VQckgtmUiITBw6XptMs37ykM87t6w+WmH1h3418Itoc3q/9Z1bhjmqILqUrshnUH/BcHir PYCY6nMU1qjZCJrabxz8HNq7VgiosIoPUB7pCyjTwjKwQGD/FvFR5/u8E8tudWitbGCqIXfP83EQ v8DxckIvPv9XzsHaByhF82Zl77QyTOxG7Z48Gu2z5QHjKsv3WUU9UDMUFalRwAJPio0gDt4IKohH d5Pk3Ikk6Z8BLTWgPoCjLXQn0ioiouqdVIafU3BhIj81WAEtb5UYT3SzP++cMrxjvrc9a0kokvCS FVoxjKk3KmjMGCGANQr85GQg0pCgE+kKisqZIsAVwzI1k/qR1VSU+LZqjGeme1tS4znpU6KLcaJN 5WgAAjdZW6nGuPSy71NEw+eThNGYmjlVtsS1VN4PKAB/3gllbJaVr7yMuKr64DlD4AeIrglVh4xW EOy2JMoPHi+K0+gmLsCQqM//TierorNR3Ln44Jy7k9ShBSd5/vP/VRMRnei/wYrxZ9SLlGbd7fPO JuM2Y09kqXe0Hj5ChyUJvvSJzT/vZDJWH/rXLuP1QKEWTVAYUq9140SaEw/TUGtJDiKTKjwIZ0Al e2eS8TwT1jUXH5zX0ttAE1/IPJBtBunSwEQOwPyha3S1i7FqP+9MMp7VDC4HdeY46DYDo6+rWTbW 551HVkVlsJBoylD9bIyVPbl/J5IxUoB+ajYFR7SkfY42RQ3Ilh7U0eQiiqNq7S/O0cj5LiI4VrQK C1ugh04KVciQWjCFGPRe5ylkysWkI7zzyIw5gWO5Vx+0itrJm6bozyeqfqeRVTks2HWGGZKa5N1m YZ0aTkYCTuCsVm2TFbRRljc75uqOmw7r1GwoTik7cvCYKNHigUCqczDmkghraMjNC5HEWBNKXRc9 wN8pZATdM+EQr4WDxdWL1AaIXLT2eWeQYQ2m/bXNgDms7SZm7/wxRgk4fag0InceXzeN2G817Ude dpm908e4hNmLFouMw21J13r+KuPqDWL8kLQa6aRgWTLOMbsw3Eifd/YYqx9dl1jywSdoyBqr9j0+ 7+Qx7JYLrxJrkZzWu4JC2AeMU1G0sfJQmxZVn6TcKiKgIQ6rwb5Tx+5VtipZiBxsguo5fVOnJNMJ IM5lLymV3iTksEBr9S1G7erPO3GsSocRpSBd9t0ve5Dlt1nvFj4erKD6DfugR3p9JigKqqHDOhXu U29nXb2dlhwOe373bhXGd9JYdWfZOQWumhdclRYMR4S+P5cC7vghpBoqagMrh/eTziCCPN85Yzww 6VmIS9MGqzDCQoUA8xMif94pY/VRtfCePTL7doOhKKJGqfbcmtky+7ndkLj31jx1JVZPExSCqFlY HZsqaGPPm5dtyHT2kmSRDoq3mfVg8bCMQUe+pvJZ0rsG+LytOFGt++Il2ApyMStiFfpfLUJ9PIe0 LDK3k+s5QWFAnXFIt9UcJuyscZyrzVHU2V1BWlSjVkcaKaQPXsQHwfSE1EuxUJmKBrIUSVArkHNq +TqlQ9jHJkAY3FwbtIRSt8ZK3YmPBz37mr4TV27vi4vpUTzdpT7g5bO1zZE4w4YpW3GoU0SbBJf3 eNpx+HOrRr1vjRooe6CGZxEu5mQVbIgQxU69IspcKpzuhHj2KJxOOqUHa9QctIRqqSbaVUbF4wGL Y/dfS4huN5cAFIXTJ0GGHto04YFE1xKTZ2C0iAka8PDUBEWwj8HaBy2ybLCsFci/xo0F+CLPIbYd cTEULDwJyXapxgy9a5BvkCu02Z7VIPgi8NmM3+tJIPD4f9Mog9/G2aZ+SvcwnKal4/aG/SD/T61E qpUK9QGBK15jPapQD2IazhadPlyiy2gqU6BRBuSWSh9gLA+kNJCVvdxehBb4kGCPsYw0s4SeE4l5 yuvnlMH1ef+C5wMC4q+Mgwr/bVy6Qg8piAgNel4ihyOwNsUBpysAnsdgleF0j8LpKQvw2ZIPHiyW fcIWkvHPcb1hUDRI50DXddJEpT2SllSv6FE4TR2FSb0fG6wVBLY/P+9ccHg84mh+I2MqYAiz+FXW IxoigALgSBWDn8mfeNHxMqWLrgJ6DElZD8Np2lsMyAHY4H2ODVFazVA6lzJJLoCfTTi6wV2DovAm XPF/jF1ZciQ7cvyvs9DGsC+6/8EEd49AVksZ5MxoDK33OllJFJZYfNl616CbyDIS813mZFsoqVFM EhAcn4LHg6vsnwojalJtFq/i9zCcHhRhMCY4eDamGNdSqRYMrQrWh5ZQTEW0olDywZbQWU4Qn84i 26HdvoX6QFwJjh/CNj+HsNvxGUFaX00ydvtgrbI1RL07aUvF439tMsl6oGFfHPXRoyI1yplnkhBp /gcUr0TPVHZXOs0KES1udre5guJwmrrxm8Chui9waJfe0fXAQYaSRymaIBhdAPWBsOtBfSyiz3pU pK4CM0iAOF0B4glwVZM73Jh4/E/0GY4crsR9CR39PaA+QeqCiA4yCpaGzvtbaagX9pF0VE9EalxD UUAt9+8+VF8cT30RcjBgpTGrh/Q+IFFnDZEdDKEI7ZkhUfSidw1mSCIMiCptEC4GNjWqGJ4QCY8H Sf0Fmlv6BpLE3WQRjBqdaLghy5T4nEd3DfU2bK5ObINKLtdQBProiqQb+2CjiRdJSlAuQ7VEIIfW ibwmC7CQHRxgw6/1yOfgHMZn/Abx3NDlt0GVoTVP5Mbvo7eNx/9aQqz9g6lXy+Uq9DCihlBsokAr lhBaEV48W8PgngtVftVfe1SiXgylwdD0wVEfKZ+oDNVhYDwhY0Uv2UVXVUBbcpHishgvSy8bZK2s u6ddqg86hxbWDgO+cx7h8WgNfXOiWTeBi7uToqOQeib5xRgrulQPiFonRULIoeLUzRGVqCez9dmV tvaHEwTM4iDoFaE1kGlJLXs0VpGLEPbr9jpZ7xopDmT26mfzwRZRlv4IjrOMx4OQun9z6uFGLniv JigKqYHBOJdxMbWKRYUVRkO9b4+G6GEj2nhYoZ4CMOY7eMd1NLITixntwh2DV9mA0UdF3+pJymgZ M0JmIlU8clUFzVlHODAlI4OjZeHxCOH5T1KGWKPdY2jEQGqaWRqu86yD3ZwyRbE9yuVAWNImKKpQ yw4VwHINFB7DCtoQxF68VNvGgdeICxnUyUW3Ko8n5zjLfuAzAoSnANSdCpMb4ZfRMnqnbMIPGPZ4 POpxfCdlbTBS9Xh6hDjqVQmVMI3hVFbyVmLbV4mZWnPcYlE8DWEE9hC50xKDr8FzegArSgFHNF0B E6bsdyHdnuZ67aJiziUx8Bm/0e4SQcUarDKEoi4rzmfe8Hh0CN0VhLVH+DZ6qDZDUTwN7Oo5O5O1 EhPduRQuCm5FkPCmkGfiz4nCRfXJVH3NT/X1pCIn6V7aYxm2pWRV5YTTGTI7mUUiawMte9moycGW /aT8CYCvEgcEJSjzHP0Z59DD8//NIqIezcyeuI4woi4qCYn9c84MO3vQd7dKI4KU7LssiqgXK/g9 rzt4owwaFZf/29DwoOR52TT2WHK6twI1KfYjKlBPA7/SopHDBTU0ERPP0YTHo2Pou/5KztR81lCI oz4TPuGJ8WPG8Za/Qk2wX7YCgVqcoAhHzf5gHmoojvk07E8ysGuDTxhBDWVZBR9GFWWxCDtun6zb u0YMcmare95BuwzeDjQNPtt44PEgGroQ2GZ4WWBg70Edwj4gWrtdevmEPdlmCJTC5rCPOowTNKKA 2qZmsaM4lmoSTMpQKZ2UnD5ZKwpdVHIoRJKh1D/bI7yE4AifEQVDPIfWvIOqZwDHCUp/Yk88HnXJ 7hJS+QzfzqMQE0bUgDRA99/TVm9yUN3XZmjA20czFEbUshoe9A/i4FkZvm2JNaJ9WI1mLvPzhrYb rHbsqN7dXjYSNiPcI5H/McHrN6R5hUc5L4eB2z4sUt8CGrWH0Sgrz20fhtRgvPRhdaBzIjn9Fxxm F+/KSCmY2Y+4SG2F1e2D96MXqomF1gsna4VZORkv58/QvcGGpphFkwRT08sGB1EtapEtH5SWlTSK 0pxZCh6Pah8X0sDbDLaZsM8xKZ2wSl2RrhJ3dLKxCUFek6Uutd4pmtly+xmK4wkFK91ODnZUd/jF IgRgQARnWEo11UKnCbRH6vXRnfTwmCHoo2xlrNMHHdVnJ3RKqp9d3fB4MEOtfeVl+EVrqx4QzSik RqwGjX+lqyeg6ckEqWlgk1ml3jzWEBDNkJxIlsaLlsWEfuYckmqABGih2sdmKRKTNVq9NVisCnxG tMsI2+9yAu/JtSza2MT1YIIKHv+LV8YqNbrWLC5rgkKxj07oa7FNluHTpvriEh6WqBj6FFKNKeQm ypeqSBWlPOrvJxODa17VOQTdSdQXz1cCUwro4wMVcvmtlCGYYZW6y1xYet3t6nUDwc4sB/o9ePyv GWIx8tziDcQAm6EopkZNGtR612lo3QENaSzncwAiaDMU1qinKrCr+OAFRsBrUqN0MISf1ibzrEAA tlbK+OVbosZpiM+IEB+UsuCXr8FIU+A+8Xo62QEejyQobzORs3HyyPbwymYUUyNQmauZP/VK1Uuw 59YxvxyYdjAeOgf1jGJq8Tn6ztOHixRGDwzlZbRbYVXEszwBhtjAAGa/zLIOfCf4jN+VLEryQQXG 3qcoQWcLVzweNBObV4fM8ROn/r5rKAyp0eeAeNKPyeFOL1LPaQ2ivGd1N9gZYT76UnWo38HW0Plj kbkMMvsTL5lXHt63Q0gQRhseDxHSMKOQGvApkoG6D4Y9g24atajmGHg8qp99N8tQgaGukk1QhPmg blX6anNcUExq3aRj1krZCMAzKlKrfPaCq0oNGc2ioOA5RjtvZVQdG/fX+eto3LimctG7/lJgRKTY PGBk8gA3VlkKA4yEx/+S+mhWGyr70n9nSExM5JLtaqghIizNKnfKPxessm2cqRnK5lWhhgTz9AJ7 YX3gHG2dWignjm74ljFBDTsFasEQhbxF/K13jRhBKi+27oO1OU40N4Q723w8wnx8J2VA4tZePVqc EeaDtaGVTKsKaJbrHLST484GHHM1QVFA7e1WQT9Sv7iz2suoWarc6ZxASaopGRgArK+fvdstUVO1 akbxdOMhlARsSC51gBLEGjxlISmFxyPUkEOEyW1A+7Ssm9fPkJi4SUxsxtzsclka0kIxgMy5f3A9 co+FUh8sL67EWj4HZwTB1a0nIvOgElenIm8SgYD+aqqJ6ZguetdfYqFJSLcN1muF8ys7ZSUXPB6h Yr5jISAGgKW3CVpRNA1p7LHbcjmdW/gAedSlPs7FbUtoRZgP47Rmw3he8u+54zsKpIl5PeUXkzkL ZRhO4DSYN1ikGPcKC9SJBeq2hg+2hLYJQ0BaG48HvMT5T4GaQOyLq1phgXpggqZhzCZzL2PYjyvd CVctm6AQ8yG8XU138FO67wZMNfcYjE3l3ZF5a8OXs81L3FxY6PiMqPBhAOHmgyboBGqEOEOjq+Lx v4Bn7JPhrO/9rqAomkacjEbicszHQx/nyUdcFXo5Jt4ZYT6cEKRDiCWen6Yu0E4gngo1hA4iIQ8V YjHQqv+BoLAT7KGbgM+ISmfUGapWyHc57jO7PRusag08/t+IKldUCLB/bIJiViJoCstUKU8C6b0x YKCqhYoJSuFaQSF+WhHQVrqxn3QDeo6t7G1bDIQF+XAgnOmU+3mU84g7W3GBmkypwRIjB60gWO5N UX/zxuNRwvo9QfATqiS3aoJC2emJZnBO3UD4m+QygfCdF3QiD6rpnEN6haRECbr/X9MbgIBPFlyg FMTKGcDUEl88xzaADj/gCdk1ttmsXxHeo2+1ONgT4WBNshNCMoFo5wvG4/+N5hnBr08rekWhNGJA GADpPAZbqlsgVIYrD53UsBsCf8UqHxLu/H9WwavNBWkPnEE1n+0K5Dv0g888wXIC+nXpek0SeLYi wAeQuUi5FDFms2rMKPzVrX3TBx4PAB/ru24G5oc5TXKGQvw0XB3wS1j9fvk1BjiNh4obLQvtsTCW pqj0qCq+1npb0XDfPNkzy9M8mzc7jQkPNIBUIGpoRSFAwPkZkQABlxCLQhiGNUzX0LeJ5KXh8eAa u/V76Q8MuuX6BEWxdOUpvVBQQlEI1j3aY72hHZQZKnYeFlxCIX5abEQIcNjgNQ9UlCbCByQbwDKw 05ggjt0Gko10JZYZP+EzomSDp/QShXx1b0SfcKoVq5rxFYNTel82GUuv59wSk1AzFFISzyqDtIcd 0+eUqN6qH95HPCnaNpGPFeM9KDakM5iDn0JtwP95cAltLCMkbth1Z81REkCi251TtJpe9hcENSL7 4YM22QlBu0zLz3WKx4Ne/T+KVRDo/mJxrLA4TZUP/iX2EWm5oj7iuMF0qi6Av2Ihas5QJYecg9c8 zhaGWxKFlqGhlElJBEQc8hXlHw1YRjT4kF9lhAeVqDlY0aNN1aHOcuXj7zMEyaRnl1XUIOYVp9xR NI39i76u1c3yXi4UM5sFSOebh6CAlLpDRqLEvJasSdZjTZJhfJ7ZdO7EgndzC6Q2JI6gctlk8pfe UTTdKNyUEhUaOCSFxScCSwwA0+DjQd3sJmSKnPH3L6t1v0fTkoWg8JyWkODzjIVISFQstJBZaoJC kY8uO/eSffCb7KTbmGAuoTMjO1HRa6K0DN7UDxatX2QUwt8hgloMIHKcNBjd7qRLjC2okbvfo+lE anK+VDII8pdxi0I7RFBD671PI0XNOYafQquaeXKh64pNUBxNC9OpGr54OUo3FngJaIpyBaEYVFkU GoymT16/3GiLtsp816hqRlgekdMajCmFPiIvopXyZ8d8xO/uRpYImx9CO2QjDkLN3IWj1Gxwj/Ol JjEXTrDYnQi0Q7iHtJhS7z74BJ2bGC0y5mMnLVsy7BDkC06OKGx6+4cX2Y6i6a0GmYBVKbtMjKTM eTedcPqzI7jH/5EQpop5v1ssRE9XlBV5qvIM0qxIytx4LjBxuRMUkxF1+LQ7eH8MlOGOL18uZE3N xrzlxYLu0lM1Y+1+h+jpxgmSSky6KjEQnBlq35zFhMcjkY+b0QvsgYBheFFoh6J5YCOO4VSp0Zf7 R1Z5/jFj7ddPIQR7cOeMJlhMe0RQ6glVOqFWBHtA2Uvu7qy3Umj5AeBne9cgFlJJuitY7H4IARix mzw208LjkdLQPzMEMltbV89rR2iPVOmrWW0NDT96wKzfntOfg9qEF3eomrd5Ck15tc7Hq7UjdIQf Hyuv55epbOZT/g1LCiTa24XuXe/6mxZTLUpZi6esHZVpniJsIe64NO2YqqJGfcYd6tHiDuPpRuJ4 djtbCq25ZYlbJXVkP5qhEO3Bm34uVuY5ON0OttF9EwS3IUPQmgqLIGxDcWowjZiyLEl610gOn0ih +Qx2ToP0aG6ZA48HCce4SkMMZgt6dI+U+Y4CatSfBmDgNkVquDayFJKb2Q6gNWjTun8HUEMO1weH B1d0uacqZ9A6rRL4RGRYMlpu6ZrekEOCzwgOIhI+qPlsg6ZooAtHKMP5KDwe1D3uNmOjXpwkT1p3 FE83kH7PFjE9r0Fnb1I2SzK7LWB2RVjgz3nfZVMEl0GFEw5eewWdsSZZtTW0aHjpFaBMKrIPsuUt GGJ/Y4cKH3hkAmPjg/U39izShDt3Ix7/SxOuqDsLtOntQ1NqIZ6iUZJwHTDBmVfig6oWeKwKD9P1 g37LOSro6zZYwIgY6DyP2B7tRMi/b1qXVASMWPQA/jtmiJJM+JT3WVKsWDId7QqtK5Xbw7NNZhIt T/6A4LyuHhNx1ciZ+FbxcwrF86rUvCZ5CSeJovlxprs27doy4gcAC22e4sCavTyDuOYne4Vk4RZ2 oJ97DCgozhPS8wHTibzXJW+yxMlPiUAN1Pro4qF1ywCBSllUmkFVrPH5IPm48nA84AGdRFHYZ+k9 uAbLHrRWqpVJhmAUbyg2F849/3pZQxE/KABTDx40QxS84TBPyFHusqmVT1VqABKkkwtMNSIOfDFX 2NzfNgI2CCUsga+OVJitrwEpCwYbwE/yBwThUf7mSQNDX1Fa8VkK69UbiHMpoaBeva/aac2W2eZN zrT5Kf3ukljFduXgWSwsfEDDk9PdZLiOgODcFUD30uLihpBl2+tGWEZDoQ0fLE1LJ4AxZ4nK56O+ mR9NWOuwRoIp252lKMw+d9hJz4QYXui9ZjchrTO7+NBA/CsTgRRVrUlmAUB6+OBoxhPBgBDMHZfQ lmVRlg1s6LgTR+b1IvZf+CkBNH9w3QGeaoN2XEcmIhgRjBZSCAJ5JJr4IETSB17I5ymsXQ8YB+Vu aDQAowyNtqarEe0O8mzVPIXF685EBHZtNnjlceyBCgjranNuSvAj5T+fPFByhhjYzdgYB1A2Pkj6 qypqd7CyEX4yW2g9bT7/Pk+P/RRLKBuE0lrvLIX1azguLNq0YDUBumFkMzq+slKLrLb6+R1F3PIb bdJm4KBZWo3BcdpqM56VUwpZwQUlK+C7hJK1ownHCz8l0mpiz5bITw225+r5yXJF3p3PR0X+G3SL C0ORgnyn6XcVkDps02WxqDd9yFmuhRRRgryRHeBhGZvF6TMrywebpvPHNVeWDzlMhgfUB2GYfOL9 AuOQ7NFAAe9DHxJkJsSw7aaubCsu+QXfA4KW4TPM5/8bdCM06eu+mYlkyt5LlywcuTnFFB2fPlRi B0tItyc/vyMpkKLikW65Ph4yw0l2QJxvjCsnIEdJ/iasd9T+w9qiievJHznFDjCcnSRV5jS82A8W rdw4T+DE54MNdx1OWHJCB5IQA5+lKPhe0Evp5EERGVLcW+BbTFc4es1SWM1eCpVy98FmKZdRUXdk LIBcFJBwtK9RbkdpaJDyUVVpK/a2kZyuqrQSiE/DcbLAdbGvenKHyecj+MydJU5I+0dUJofeigBw wtpJtWsc+NZMa6BIeZp7VWVyYK547h+e3lNethy8K5Lg5Mq1RJzCAqPX9mRB6rmFDVbBttvbRjxh wtOqaA338D5TU3YWsApu26G94hc1RoUDhhR3LYX+ioCBotWpcsnYvdxiQKFgAf1LIbVosxSBRMQb mlVylvVhx0D27JzdXEsnxsmjSQCMOExKVbUvKF+yt/2F53neRpHo5Q+ZcSMP71H5fBB83x62Agdq Qj/OZoHHInq0MGtHeGdlt2r1gF4lW0RxXXCsmO7m0GRRTdrZWvXBgek0x25Sc4FVc2H+1BpPKlpB Plp7pdnbRv0jLqLEvBf4Ex3eUO2VgDVQuXw+atP64c0Nh68ml2cpReVtuB3hbDaVIjkSs/J2Nnux SeI3bpMUBt9LSmCU/+RgS2kPeLYzMAU3ajSi9wHwPz8ancQ+L72BAB5+yG/yIEDE+SA8TYV7I8sm K1c+H8UB/8jwNGof35gydFqEVSrcOs1pMTf3Cz6/XXGhot1cCD0HVosQHt0qmdzBb7gB85+1zeS+ lMV5pIc6vLd+qM5sGYqM3HNotriEx2I/G4PV86HoN5nSQdCXzwfd2lW/sl1IYdO7xGcpErOGRcLY 83qaqqHN+hsVR1V/I09JSymMvFXnniP54AW4fq4w4tVAPh8VGhkEHi2RN1kJ81PJJikKvCnDM5OR G6eXcVHe7kIIV8QBod9iupJXS4WpHyqw+yTF/jAD4a8x0c5hkhxag5qhepN7c1EyogwNF5fKs+on tdtP4nk8J0JVdiTP+gTcCX6ikEpGW7JA6+Hy9oq9bhAtTVKrR90+eBqXdMPVXjafD87uC/GT5jdz x5ueBKaLKJyAek4niP9spr3F0SOQ10U+h5JlLT5LkUdMl8aD/PC6twypFoKwnVoRAGbhiyBNH1WD RkRkT4+Ogb9ttJRQhetS/e5X9bvj4JSC47SXjOD8154Bk7RRYerPUgrj7qrmvxl6lX5p1ogzdUBt lBh9ksKCt1wXNo+ctuvT/T8pc4ftB8VkAVdHxRlG1A3UHtAnyxVKnXXY6wb68fJhAkzOBguWVh+y MTl5EJ8PspP5DWUrCGqhZOOzFBa9z4oH/rtbCldMvpk8MvNhXLtUNziN3RdZ0WWHxAaPu0+mNFsS aH2vQSEk9uEymttTvHnrvq1kbxs1lxgCZjkyeXAPA09knNxCs/H5IDupt/8myV1E+/vmcKEDI4wB IVu0rFKpJgErlfJgBp6tokqgWQotGJeUm8vsPviGGwBTjSb/PJiUNWYn8ODs6A63kq/zmcKA0INx apZGsaF7w7psumyeH4ilFJgwItG85QDV2xr7NncxhT6M8Aid8Dsgq/H8YVmtu49MK2pq4dPLVdMU orM1TaNuHy7ub4yTwGcKzp0FOjSTqZwpaYjoW7mt3CVX6tCIEUkeuktq6U7vdq9z9tMg+iymMvl8 UKm8i0kGFkiKHhe9HHsxQmypyZjybLnCr5OnNxYA/whMidt55sCMUf5k5Pt3H2wxQQwCyFGV4E5G DP90ALcyyKCIw/KFRxZaH/FTItIsa2+TlgQbxVTjKcIPk4y+c5xu/oAo9G5fJxOF/9dFt+XQkJHM 1fOTVUcaS8gSnUzNirsnXprNF1MI1LbuwJg++J6roNfTHOUkP4jBES1Abe2ciTSWkr6jkl1S1nJs yagaXKKKliBTrFRmola46bLeMioJXHSJQZhPAL5u8B2aMqIENYuMctF02tkyFBy6F1+yXW8lB66M zlEnP82GyzpqRMmLtwbIHqmPBcI0Fcr+OznrqBC2zw8JZklNlKFsjj6AjL0h2CleVk6Zz0fdgW/S CGKB+lWoDH0ZGwi0Yy0HUPTeL8Qke2sX+uk+SbGgCLfcUqdpfdXgKDGYhOM6AWqWXzxY2GeSzgpE yGKWcRJ5zKEzYzYooFooyVsoJ6A7+xh/PHlz4/PRUvpWTQfFoJULw8mhNyMUGcAcEbgWIlCu6UwN NBUqz8U0fJKi2FvH9qwSyarzQQicPCkVKAZA3rWi7cStSdXrQVER76CYvWcOzRkH1edaG3fQJE2A x1igPacDn/8r9NbOwvKtN4sL3RlxH6N43B1F4VCcs/hZvBTQ5FZzA3tGt5MZs00f/Io7Yfc59jYp bOcXw5mF7SaiL0EFzSdp0Wo4h/6Mw7QgVK4s15TopH9LuiDn/OPzETD5GzRJivP4Wkmh+HVD6F2s IokuYL9QE+48proDyRtT3cChES1TprpFnueuTE2JI/jB1u4cmzpo3EQf2tqq0UcsqNzL3ja44AiY TMQVaFCqi86FoMmN2y1Em1z0Ns/MnECvH1cpK4c2jciR52ijWgUuGZSb0hle864QaLFpiumQZGBV KoVxcDQFeTCAx4Jpg+KDFPsQGqAH9ZMLeaDqMtVurxuEleL7ZdkR5qvjAzpPFQ+gZj4flXMvKkeY Avmy+TSFXo3A00zUlwykTMSUGG17KCIHdrgYgjKHZo1GaRO2jYOnKGdpAYDYVIODXSyL3rg2CsWW a3n6393e9hfS32xLoker3lk6E0ZRYBW9A7tGBN83WmLRm3n2ZbXl0K9xUyOiOO8P3A8rwjUCq6WP iQqizVIUey/FAUMiEeMRiYAs3YmNTTMLehNFUGWU3oClnC3dWVLHMnBsTCw8gDS6hw/ac+1keJKz blkv+Zd4H9kVgEGlq5qVY8tG2H4mdtjJHpXJN/sncsOgg3Vexv3LoWejCicQKPHBQ2/0xyYabsAv nYgekm5Adp8QYODszLyxDOSl7nfo2jjVE6BamwZ1v0nt0R5Kg89HgFw/mViphGYtUC4+S78yJLFc f0xuxJcSXIOXLaWRHW6aY+PGJUpJHj5YWxf9UNrGMkFpHU1eJijACEAfG/pjHi7ReZSfEvGQ2WYC Qd8G5XFoNyhcqllvGe24f/ziUc6Z+zmWQsQJKicruwQb1WTEnRhkb0hyZLe7lmJdbHO22D444gTi R/CsIcltQx0BRjyTDCUAkISFs/pSXva6UalSp7d0WbLrspzcrXSKO6HUz+ejyNvrS/gWQb5p+anC BRaO+HLQo2/VRGlzo7sukt0sN2tABDqcdmyWQt2RTZZSY+OSgxMETubbTrxkO+6kKEtrCaUlyDGe qcuXhJO6vW5E5OIiAobSBu24dPYDS1ona8x8PiJRfGME6HNZLk8phy6OA/I1uYxt9SXqdbFWWa6d ytktLs6SAxtHqd5heoQXbA9esJ7gG0pl1IRc5ew0BExyQeqoQIzHDANtIL1tdMUxP8miCTzyLMBu GtuNx1KI8f7HtAjc+2KmHPzYsOoN3cxKG04tpeVFuERzVy4lWuPZUgpD7zlej6UTH5UFO1CqsWWQ sPA3AflDG3kQLFLuhkvLXjcit7O/BGykDSJ0FXSzmhKUzueDDXedrbU78cFfGy4UIYGpK0zmKKsO bZ9pNTgoYGJqzmODQq4MKmMvR+W4lYpIHDyLQwEd/AGWTaBSSOIk1ZIgifXDZea1yt7sdSO4oJgU lCjjoLXUcDuq3r8Wnw+CyuubSjAmBNTbF0I39HPEDXzuUELeALwGQ1k4uE0EOxu7SZr9XT8oWkyF QRAZcBzsjkspN/hKUJP+BNeDXZazpvDJ6FEChnLjJer95MDREecS5wcpug2644oZLp5dtCefDyKB 20NR6w3FqnJ9QXPo6QhZPJAGiqW77XrQAOdnmVxf06EUgakjZAJZE5jCnczn+F5lrbQp2NFAP4AC De9BtlUabu/rbTBIdc+BqeM9vZfq3+sqkkBchg2VWrGYAlfH70xOISjeKd+DKbR1BAbtmaSp4Jc+ NJPyndbZ7Q4SCH0dF2VtZqPDLgfHm+CwS0A80qmn5EINpbqAOAXoAaKvlsYNhUuBsaP2NQ+k5IOV 4E6gQaTfSSD1fNSO8yuuqv+dQVh5llIUeyN4OcdR82wXIg+W7a5avQZHWJ5mKXSjsWoA5VaHStBW ORkT+n3Cwe2SN/GEhYIk9EqnIqu4lKrBheaOVTG3a7dA7o/J/ZndRinZnxPlLf6ASBz6H0w8b9fn kgvcHVGMmFQnMee5TnNtJruSwpCfc2leX4rtHQVayiwNcHiOb1hsQcAPqJyyU5fbKV4Ra56niLut ZHvbIEGhak9dLAlw8NbutPRidj0fRAL9+q3IkQan3HXGyoHDI6xmcdVUj5dSGQ4TqCVffz5IYCkU +MXiUTBBbqeW66NdexK587OGNLQpNcEGOD0oQQtBsdD1/tq2t40wlWaaPn2wKhwxGvi9GyDxocnj Y3bQHrTgNb7Ooc0jfC/HJKLsP7Q3vb3dmq7zNdy9LaoMfB6vQkCSt1FybyMETDBBXHaZnd3dqfm3 UpPu1A+wYRcHt+xtA0oTO3er8njioD4INJ6YE0HmlM8HBd1UvkoCoP63/nXDhQbqeKBdOaCWd7XQ e1819r1ZPdRSCsmVEkaUCjQHD71PzDfmZpsJMcWWOc0AVHZABQeh/aXqULYtB26PKIaJvCyWRV5u ywsVHbHYzx/5fJDsXtWtwhY49urP+GpbhpaPUEaZEELTnpvJYN+AGe9hZbhO3QpNVAQ6qSb8J6v5 /ljNn3gMdtfSJqPfHQua0DSHZMgJm/btgUubLAemj0jEsiST7qA9N5tZ+pyJ2Hz+r/YANSRr+naH +LyGS0383pMGDVtMqbMcx8U0m2NOKlAOPL1fg6UGCUmyUFuvPlgccHbcufOl3obqBvIdFipxzUBd uzSH5dDnMH1eQ6UGURfqQSti4mCoU0TwEm9bePpldhoASAqU1OSs7FdRgpShRzQ5KL4tZi5ELUEm VRSdQToOUUsLsF0toGByRMIbYxcfvBAAlXSUfYgNmJ04U5CY0dsBRhFKSnd2hIN/nR3YXFGblWGy Bq2eE+lKrxU6g5/XCKkJhqnZ4f2F2KGS6IXZeQ2PWmZxemIrKbvtY1l1pEOpyQhMiWRxzM5rcISl w7Ltonm8Bls65RxtJ5BpQ62AVqWI0wmqpC9DfeTqCex+DY0ajhAuncRvnYMFkKAQsUZycvDPa1yE qTUg7jkmVJREpY2gblrah2tHnhk6kE9qPdy4J1GZQK4ihYSchB/zNjuysoONKiG2HG5nEmYAOG8g EY2K+UYoXgqpMCDxPDUk4UtfQ6ImZ/gqa1wMKKJUrZ1N++PzAmcHf14jIv6ivnZkbASk0so2O6/h EB7aoKCtaRSTtE0HGcaAy9nvSyRUeKkGa2fIzlmJ//gimABmPburuJz/ZkJQc6JBXyJZiarPonbT Vz46d+S1At8JGyzfB0tEve0TVr9GQnjaIDeaHfp0lLuzXsOgBiUp1EGymYMiSHH17EbWpbS2WrXO yGsQ1NL/GN62c2GMvr/0189psJTRQ1+Ptw0O6SQFxRNQF7/fCwFYn9cYqKH3on5tyT4oBipw7LFW ZPm8RkBNrOPnVIZoMYtbmp3X+AdH+Tlx5hhulj6IGpfVSrGWCCnd5gX+Gv1gdrryDJrRzC8zmnNm bhBKFEiPSt1+2PAmfIFnYjbhoUpd7U2DncV6Y50j+6Ar68RWlEaHYcL4vAY+TT7iz6FMbHu9V9Zr zINDGT6mu3a3yIBikKnbrOr9ojYcjvwa8eBQ7gqHyQIcjsvH5JwfeOaDWpqlddBwAUbeDJEwG5m8 D9OKpD7Sa8CDL2DKQd785B83rC2bbjhc5c9ruGNvaEuHAlvgpZKmwel5rTU2U0dKJZn4D1x17NxJ wkqKaDMNVvNaacSuFoe0EwzCwRP6cyCvgmZsgY47pH9QQhtsnINyCgvji2NretX36VlyN1Tx455t eZ83rRSbxc79vFYZeTIOv7TwWK+CJ9r0vNYYsWBle5kNmtWu70wnhMr6+9THoyhJGPDooibqSsKl mp6zQvY425T4tYEvXdkXJDIG2h9Z0Frh1+hi9FpfbGrToGTWpw+WVqw5zFQG6mPRwTPG195CN7e1 5bPzTmZrYlpPIV9YECrJ4Q+VhBxNz7rItSha7ttcDaYPHi1DNDzJrxC8hZVleQkNVBS7fva6UhKT HvLvVLbmQqxNkS4H1V8nTFl49hBIE8XLUmjHfPCSQh1IWsciPQUTxA5jTr3Y6ZNIy5qU9Oou2c/s 23g10QQp4ZrEXXPw/XVuk3WCEqYToCSj3NthyocmFEW06cZXZegoJPmvp/P/gxqdjytFXmq58vHg eN7/HEA40BuwVjZF71GzCdjlRHJt5WRZ9aeVZJDIswvv3f7OYcPPabq32LDm4GEzzAshiCQFic5G DtBYJxhqAGmysmc5hXL3KG7ucsaAt5INmqHzZaqkUht4R++BMwCm+zvngtDoXH5CvxPYkItgglob hnxstTk3a/Vh8NC92JxWLz84o7v8Uv+/aH+G9kClRzrcIBqFg0HNQgBMVQqA/O4VlvSyQdolwnKW 2Vz2ZhBYLVuYvrLQ5YiOIUkR2wwBL9elgaiCeLCEaCy7PNaZSwpJg3387eHhpC+JKq7RDFGgbU8m YHte3My58AucsEigPTf+GJqhvugSi7uf8mNNm0xljfAYMuei5YMmCHW3ejUQ35lr3KP5a4IyMcZ7 3zUUBdBof046+WgNJTebayt1l2Id4IgpcX+PoCWAAnLDbj54BI0OQErF/K2hKiXDPuCeCLOfj7kK /XneeWvYyJtZaaMzBgfOUNmgCarG3OrnnbaGiCb5TcZGXgZ+tEPay6boPYo+mxNVRGA0L8xhOli9 Xg+jPZifotQahdHyUpubwlAcbIr2OkfdzKZWC6OrYjIuUGBFiYEJp9J3cfuiOLqpRrRG80GL6Bxu XQi1c6t93jlrWETj7jLBbOcPyTc2Q1EoDV+l81WavQpuBZ+hnF00G0Ury+DfKWt3hsZSruoyoLjM ytlaiXbtHQaGWVbYGWSRhiWcKYBuMgh762Xfp4h6vrOtmXzwVKMkuZyfEOnzzlizd7RVxIsL1wT9 qzRF7+E0LiEo18iQD0QaUqlZAmpOfoDlx3QuVhRPC3+96mg+2Em0EM8BnM4GGXghPNU70QA8wlu5 rR81Nd7jaZy1PKPT6j5YffWEV4YBgYBNFFBvKYMhoOY+w/2KpWwzFEXU6CLPcxWZYlRX45BFMoXZ dN/tpM5ghsKQehgbO/ugGUKFDYaFiyIRDTVFXngIk+CG136I6zRc8Zx612CGQG6fTXFRuyiZk/9u VeorkQ1RTJ1vMi+XJ/hHszPGImIUU1foomxXoYcSj4WMddft0vQdeEVVEcOYWhzjLaueXe8uO0fY 2miNscjaBzuGHecrVQjzTyNCxhS1ht41OKp52+etWuu+myyVLJoDNNs+7yy19jD6LelAj0ByLJyg KKaGBOSE2JVX6PvwQuIc1QuJ4Fxogt5jakHcMQFt+eCkohMO9Y32MeIh+L2jXn2WlaCig8LptxpE /P47Q42JMXnFW9QrqlczK9uAA3CTnezp805Qw0FvgmN23QMFvm/J452e1oRmOAndMIzsUC+aVmqE PKkgxJ4fZ+g9pEatTge16mXrue1hFd9rBZbppGVo9BCwt9F5G6AHK221riH9H97JabfqkWBbboO1 Mdog0uBcT7V93qlp7aEWQzMPL4cuHyzrbIrCoBr86z5s6UAHymWzM5+U+y6YWDyG3plpTPBMhaX4 4GyiBGEaxh1wczz/NzRF4LCRsMbGjaFiKDDyTkxjaFLIu2ZDjINO6oWwkbWwE7J/3nlpWA43dWUE TU9xGjRpiqKoGi5oJyByDLHJHmOfFTItuc82+g6Mqt9ZabbLkdXLyKjNZ5+dJcSaPROzk8g2bjC0 SH6gCsIC5kWhkUz0zkrDFAmEtvvyQdk9JF7EUD+BxeedlNYemLWOarTPGiyubIaiqBobYFKtwYpn 1bDooDNNP4mm91PfKWntAj3qusMNiE5+P4sEj1YjxAMBkfCxJ0RZrGaoaI9N/nlnpDFyz+LIbh80 QeOkS1W9+bk+74Q0rvP1dRCxRJD2naAopqadGq2cBEOvqXh9aHanxwBzakvoPabGUS19ml19eO6y Sq1JRowQPWBjnh4gA+z3XNp6CkRLLxvUF2klnzdlMzhohlAAN3X6tD/vbDQeut9HNUqCJ8jwAtE7 GY0zhH7GcDoDhBudGUNZEJ5DvQv2yZ8TBIwTdzgAZj546nrOlo3CMZcQOjRcbA2Sbuc3o9PUTczK 0LsGE7RIGxpEDKGj4QbOwG5zulDO/LxT0bCGsm+y9SPn1MpsSDMUVaiBTjvL1do8J2UmXU8uIt09 MhouHc3Qe0it8jFj6eGDzRAKi2NUme/COm+Ibcx0DOqFcPGxChqar/yMIGAUAQ3Xig0WUu/ZlJvv 3D/vNDR73EJqlj8Qh6XsjdV3EhpnaMBFJPlBXbd1x+D/kaxABFye7bL3kDoby3ptntccfIYItlNA BEgs/QXNrKZsXH0yHVbmWvWuv22y1GTu2NbtPJ9vWP7NpdbPOwGNqIX0fQxRqLp5PPROP0MUBcXM Mmr3iLE8OiJ+k+3aPWJ8Z581R53zyrPBs7KzG/ai7gtdwoY1QpAh02CaguEWDqHZ/Xknn6GKSuWn kx91HzRBrPMqWx/58849+ydvJdq7U9u83N58DOwgnKM3Z8LkhwmzvIVIb1vNUFinxu4ybKsGy8qA KJuU4zwH8jrZ8CJ2aKNEjWKkVB+68WCK3jUCL7A+RJVHDSrCpiwzSij29M8776w9Av46hWimVu45 /U47a1JrnVL7oIrYaNluspMWNrvJcvGb7J11xqCKif1iTsrBlxAIeWVSwTfnEz1WlWvZaSALZaUH rTj0rkFWBujYpF67DZa2ZtcQO1v380454zHtVWpe67gO6rOCooAal/IEsUkTlPK4CmIUaSWCepVs nY53wtmzxzIPoZ3vIXSOtwpHNZpkoOvaSEMFrnP8UGK8SvdSSj1J7xqkHCy0FeCOMaBOxovsZAGt cLrAifm8083+KX2o7Qgw5/JGxzvbjF8LgR3DeomVRm7Wat2uscbOn2YoqlInuDwBZ919sCW014D0 MC3AF2QfM9GeG3DqDAWYy6fGSc83/a1GnVmT1GDYKbRLVBma8/POM2uPiYgd0li7tXrd451mxpsB SWu74PKdvNXaRnUPkYEvWNMTlagV36xOlxUO3qkvhDUMSRckGLZJM6uwRA1kW3KHDFKUPu8csyag KGaGAgYcLCE7GbMKzCcw/bxTzLASbqjoxrJUL7UJioJpJEOTN4uy+n6dnlAat1CxwpmSKes7wYz5 2GQERL3L5fgd8DgScpUsSuc4GVij9PFZVw2ebwUF5na5U33rZYNISLaXanPU2+YYFFqXAdjkOwbd 1nGDaYbeja6t5d70UTit4plAZp3QXLvp0QV3Fl4fycLpd35Zczr+2LJOVQY5mdajkdTJM0c2dv5H sHCiqlreVEe6aX0fSS8b4cwksN4YC0H7OOsY2ud2YeXi3Ob9804va49emPYZovOGf2RTFCI+Kqgu 3aLFMXu1aLHl6q0gSDNYffGdXPa0gta4gx3U5cSdG+4M1KJPA9Y6RLh2W0aDcpgq4iPQ/bxTy3gQ aYbU5wDcmdhmEPe25JqBmfi8U8vYSrqgD/xdBp3T+xzvxDKbV6Bcs2+0mRwCnEw8FP67bmrwzivD 27NbPyU4w+HyN86hXCvleGB0ugUrYvnyBNcUgx93EfnLBq0gGmRwGdpgJdhzbKrPcX7tzzurDO+Y byuIRYDSXCeMaMUoosZXOvvKqt2fzY7OtlxE/B8CcsZmGeCKMfCDGf1Sfr8eJxogY7CWWPkYJw4m ACtRiR5HE28jX0W0sf+8c8pwKHCKyErjMFVMXW0XSQFiJ3/eKWXcphcLzOuewKrsFcZ3ShmLp5Dl W5JMhXghL3ZoQsAiAPOC656UHRzX74wy1kdVFep38ON6tBOm7LmlNwcV2q7iUEaz4ewz+p4bHLjo XaN9xuIQWlQ2GHbofIMCS5+j4fPOJ+OF7/EQLy/eEOgx2gyFMfUENE+cKLoUs+BBl+LiRphLtj+g k72zyXiiCU6e7nCBDXB6z/J7AKBhqG6GMtSA/AKE6a58MWLxzzubjM0csu6TYfTmZUyfbNJiorE+ 71wyAwJ9ATuBHHrSsncqmSM7q2h2FHSgW8gmotydVk6OP6wn/c4ka651MeW7x8FCxjbA/aiSUgU8 uGSJ8Z2QfWQAJvhlmnYx6QjvTLIm2jITsuWDZuhcNUJbneylfN6JZHx8fwdFhL09sOmwSI20YyXH vi765wr6ofgIiSvNYoSbDovU5ACfmz37YDNEZec9JO4MEGxR95rGIIj8EE5Z4rpoBf5OImNqzwmS N195vPk60D2Mcmprn3cOWZNIhrWkSSehNdIefp29c8is/I8asjAxU4p/EuTt0xktoznw/p1CZiVg RNTqSfdnEYEkA4VhYj82ndYYWFN2R9oX67qGoZv5eWeQ3eoH6uc+2BRBCVlkgz0+7wQy9iN9ipSF IRQjJkUzFAKoOzqKo3l9SO7EuPDPHJlwyokft1347/wxR+mBH5Z98AIabC4rqBVwUt2dPQlkI4Nc jgVuq++yTpXCd/aY3ZhgJZAgzUEzBDSGIGS78PG/etLXLh3lQpugKKwGP3kWT+lPyjwMYNVoDacl hCmyXRaH1dxlUPe3wZcQSaygQsGb76RqlRXGwRgJsXVlY0fHEHGe77QxnpnIWqnBboNVGJEMEBtz ouTPO2uMv6rXYFnQZm7fbzwUBtXg/EL5XcnrItOcSvydalPUIphSKMAEhThqtuLXoJEzB58gKPJO NKJl7wj9F+GrMvA7kxoclrxO3N/4jOCypyB4Hv0OKg8N8tF+yOHNeDyIGPs/xDEEpqht2wSFMTVW 0FzZJmjQYFsgRpCXzf8SeawmKKpRJ+K255rFB99isD6mexSjoYbIhYEdLHc2HP/yI49iB3WI+yDN l8pmNmgJ5UyhDPJY+XjUtB/fdz239/C7vochdULqOrtKHWNnR+LLcM50GoZbXvT3kFqRBpjmqq+O y82ssJNrVeaOuB6HxObowVMT9li+4CqiyPAZEaqBtddUtg9aQu1cv2pTlFHxeIQUHv8sIe56X0I9 CqgHG0GOCYbMn9XPTho+vH42kVFqgiLcB2XRUKzdPljiivLi7GL5JjDRGtXmJqTv+UtKS7ZLOmbo XYOUo/CUtuusuI1DGXBeVEB9Uo4eBdQPSYoyBbhHUZe0Cfq1Rj3pHUWWVCqustfK8Fio4dfnNdaj GrWcrs9u3T7cChpAc/KRyXC82kQ/QOn4hwEEa+FO7wUWAh8SYatIqKpUcF68skmXO5dYadwkKPHi +YDPcRsdqgicV2hjeZWxhyxEaOz11g2gV9uFn1VZ8k7U8eEyxoi6RxG1srIXIUK4UvYy6Y9yruI5 UQRCrx5BAUXJWnusiilh0aOIurLFgaK0D94LGsUY0GXi8eCkvgGjTvXFv+8zFDERB6VQRjPR/ZSH sVhRo3RQw4B+DbOyHkbU3Dwr60bLd4aIYzhZ+OY51M6GoIvT2STIsIGvHfSMNfWKrXcNuokCnmU1 pkGlNyjiWaW81+DBgseDCRr3KqPgwESR8QLQehhQj426mQt8JNHoES6ejWAEmFV3M1nrHsE+Fqms c5ANzcFzjo3mP92kSH4ehfsMQd4P+cU7XUEdqAnwXSN0laRiZvPB6rBAGsjeAid1FFA/uywLZ4Se ffOsrIelarQToTQui0KQKs18bzf6FuIkSgMrkmsoxlLLKG1mHxxdRStVmHcXOMuzJEuqAMSOgLTM /cF9LALQelSqluVOliyD5lN5K3Sym6Zo4vGI1OFnNVsbiK5aukjh/h5SoxtEwtScKg8NmjOhPNQh h3vmhYc1xca5iKKQepMzNaGaa8Ollk308IeU0E7ymLnOWOTtuPpncmcLtA30rtFtRgBskiBqckHU tU7wqIjxhKB4PCJE+yLSbJIqca/7CEoN/CpYBPIkHhu9B62hPulEUKiGypO68+f8Rp1aiWn7IqkR 7wnplgSnNRoRFJS8xfvIiSDGE2as9ajoYI3gM36TYkhDyh7Dl9CABo0Mz0/Kh8cjDKOXPvhYQkBW 0r3LwpiaUqg7GWMhyR6F9TMy2lg/G3SAYUAU1amXqAo1bR8cOwRwR6fKOYwNwM6nIjqrJLRnhWC2 9VxZS8CHBHnrUs+V9bPithm5r9VoGXLSvNTweFQdunkrufjo9AMf59ToKKpGQ31KFYuZ67wgxkEB SqGHsjMUR1SonmpLNxrwSjzc5mg3yC1OiTKcZKZLoKAjAqjgUsvDQ9ss612jfhAb9myPaNAqOtus mr5nzng8iKr/YZdlTHS+1/2IomqEzrAJUuwDiIZVh3onOp4BEVm7Io/HZWoz2qs++Dm0QJ6nycOZ mlVLoewHdEoA964/Oz95Gc1jRkxQpFf6FL5hNlfVh1O6SM99LzwelKm3l6m3ODIbMvx+Uo8YTQ1T +WW88fOLOFS4zVG6Mxa2Kw6PqEwNRixktcDwxcDQHnkHPRfSZCGfArpTsvvoHVCaOY8n7zjrfuAz ApSnWVurYYbqNtcCCIpDmLLzHzz+V6tDLrJoArbhSOERYj86NHNacxL5yG47kPP2luIE+0ybLAqq sU2BXmS/cCciewZpwGmBpCsDohP+Qukcmwx3b8GUoHnq4JjzRQ18xq8k+7WLD1YewlKgWuU5z/B4 dA556sruI26HcjsdI4qpscrOtKTsALTp9DuRSDVBkvFK/DnRJutcGDqw1+WWAWF4cqiR5D10XrKw c11gHVu5DFhltGYQbdJHVKYWd5OyFxhQzNtWQeuJAHc4iuP5YBH19HWbUZcGlUmboiioHmLaJzWj UWzNzllopdoua4iANUVhUD1Ynt/tDt50XQkG8VKxOIFS3WQoVligVuqQtKdKTab9iKrUk4r7tJu3 QQd1SYw9SbWfePwvfIweq9DYuyIfIZYaJNeRDHd2DiRvkUHndltXulztpRFhqSs7PMDs+nCpZSfx T0XiS2ieZYaWHQZ+ZdHaY9xmGVGeI9T5wJye34nmuhy0yU5yLJsPKLPh8SAemt8TBLWRsm8raERF auBozqlanThV23bi1LiKgp0ICU5QFFHPYcXXpYH1BRLJUwcgX456bcGTk0rW2M0VufFsj/4SCAX4 jKiKzyus5u6DJgjzT0AOhLDw+H8jMQRLNCpk2gT9GlHDEcPz1lp8gma1YuMaVwplxBE1j58mXcr2 6FICgYZwTkhqyAUSbH2WFGCw6L3BAdLO6a0lFIbUTWa6AvVB58XB5mgU8GYYuOzDMnX9RjESJVGu GsoIQ+qFXEyC3YKjLYuGCoWIGQ1BpFyp/QjL1M18KoYPPkUDCpSJd30t0L+swjVAjR0FgUw5ZRXy t79s1HBll0xK5/kqnS/EDMzREGjj8aj68Z2WQduRsqgmpxOWqeHM3IFiZGo/UhomTl2YnxP5UTJ3 WefPiYCwvOQro+UtlIEK+bAYmmIFAT6xVzeYHothE8nrBaHRyWOGwA+TGyJgmIMO3IE+gWrzreHx PzsdmCG6zbar+TGjiFq59dpW/EhSDc5Sgu9KXBc9y5mWzbBOnYRBm9kHm6F6IkPoM9k2a3OqPIRf t0PGa1CKW1VYLAR8xm+F/JPxdh80Q+eHS58fRV88Hp1D6TsrA+/unCVXkimKqNHxmSQOiGovR6El w55mieuCF6A0mWJ+ImsfifrmHJw3tUDMTBRbzsA00KXqxOhg3FNIu+t4kQPT1sv+Vqje1PXS4MWP vVVM2Kvi8UhY55sWhMC8rWcNRRE1uBBn85p4xYQCqZXysyRNQemY6Nxohn4vU68ilnS5LGm4R5wV SoMA9DrOtpMtFQExlRi5fKvUKMfjM37FfQxlZcOzskVlAuKBzq2Mx/8UomTlAY2OVtKdoiimBlTt bAQzNkHPeHrAOKozp+Ym1PMc1TOUzNPqWTn7YIsIjBGpXeO6L+gNUVAQN20Db4BmWpZ0QE8DnxFs M3EVhpgLww8icHQ7z5YTVFQ8HjQUW/m6y0iKXOme1DHuY0MMd6oiDVrhZb3s5sQpGulqgiLch8SZ pnVevfqHVkc96/KkdexJnwAtyTAvw0ud5xAVuyweIqphRhF1lSk8tYo0aILOyUA200nWx8DjUQHt riHVYAvAuF4cmhHuA+xcOGOX2+qwZhDaOMYyW4SQa4aiMvUSMkZcYA4O0YOEAqor7NpThk67DCRE FOaA5LniykXvGp3UrH1AlpmDgRjHOfUo6Isf2/D4nyDG4tWhp0o9o5h6ANegE5KSH+lih0xMhpIf 855DoXxeEfmOtCgON3EFkbyoSl1P8i4PS8gFARS/f4j99Tr+1rv+Dq9iZs/BWh1n4fMrqWvz8Qhe de8yZijAU/Tb6pgR8oNc+1WG7bKJCNKYUwKfkzlVnDk1o6B6CCw86WjCwWaooKldoSNDC6HeAcen Z1cWgXPvdsvUlK+aUUwNaRzEhPUOhmtALMqTevWOxyPsUPvOW5GRrZvYz5CdiE3G6J9XmdqY5v02 nVqWmMNwk4WCH6K2TqYUHKxtD+tR2GhkK5+ZOtFAlFHxj0GRsbueEpz4jGiTia5gceNF6NWzlUW0 L7ng8b8CRud14CCxCVpRRA3q+thjuXPQRZ9Bj9/biRA61QpaEfBjZOHMlZ2teVdQBe9qb1HLzom6 1HCFZxesJ078OefPP6LcKwR+SGwaaiI22AoaOal61mrF4xE7sX6f05lM4ksBXmGNGtwllFi1x7AJ 7gzt5i3p7MCGFUbUUuPO0ubO+Yo1zMmm0qyKFxeUs5m4ZrPNbvPyN+GUws/4VTy4yGmxuGp5R1JU 5XS3Kx6P9L3q11VPHGS/2KEVK0+jZNZcPXgwtzbkR3V0VZvu+7pC5IeoQVNUaZWoG5MymGoOMYDz gCCs/AQ27YzQh4IkntHsoZ6Az4iEmbiEWr6DlT5OpJUsnh54PEo5bjzNzuMgeMl7ZSsKqNGVOSut uwOlxE2p95FN1h1SLt1YLytEUjMZnUN6H+PR+2j77IDVJIC2F7SFWF7sNNYAraKkq6FH+NmKS9Tk K3Ti9DgYCHZkWZsi7sLj/80pRMUsqqJogqJwepGdWNqwZqKmis3E5uJeZ+lD5hvH9IrZiWoAze3D BcaAHdclOwSiojhWBVPWyMpfw+8xcgLwGVEvUVKnIk6t5nn9HkMpGdyu8XgUCz1ClZhOomCxam2K ooAa2fuY6rIOoEXSNeMoDrU6F2i3m2zFch/UvZ/K7+dDwBu5wMQe7f+OlK/hagekGuZluKHPkeUk YOAn9LIR0Z59DsIgNBhfYbesaGj0gccjjfcbUdOBGt1bKK7ZFIUieoiGgGCwXlnN7p6wkolXnRcv zcQaVhhRD4noreGDr6ITdi6q9BWWjbY4ilBsgh3t+oGemFWH2ELAZ0RyFsw5FrmcZ/C+6UaPCfv6 5DANj0fiVfkrGioQ80y3mbhCgmLi+Uz7iESMzDRGR2tUEaaSOQD0WkMhkpoapgjNffB2a1noxZet InXPiaoy8EY/E1RNVcJgH3PoXX8rDmHP+mD9CkjQWfmMrxic1Lt9R0MTWfOtfKwonu5s2M/mWSvI yyb3sU1H5nzv5M5wBf0O+4Aiog8PbWpk2PVQMReO1Nxki5INgHXSMknt1kLxA3xIoHYqP6DBlCO5 kUVGx5s2lYCtVzweCZrfjj2L1KjCtXpv+yiiBlBu1ur8xLTvJktq2VNUGCZFuu1jVWqJmTM3G/li Gs63muEXz4gaxmsrcd1AeBBKFgVA1n7joTH0sr+QXAejTxsMXXWCOP2G5+LE4+9TtPLNypYSlPMl XanKHcXUYIxb+YmiMXVYOt9W3slXUUs2RTvmJ/Ke76zjc/AKYznX/bBtBsHHwWYZ2/UNlFoigM2x lFXqHcXUyspgVe0DZ+hMS9tLqLvBx4P6WfMZ0tWOvz/9oN7vIbVEVk/aupLFQzl5uxVegBYPLVw8 mqBfBT9wJHQf/C47xxlclRcbHRO4Xt5lkEhD//iHHXi7yiiLv0MwdZWQxTPYVXYSPxkmQTJ3v4fU Z5NeQVjJdEPTDS1Ym6EQTd0gVEnqNxP7tasvobm93wpwvs3QH2jqUbYPfhCd9y/QcCOVnBIgsutE jIWgpi2PGAt9Z/EZUfmMJzUSXxsMKLz7FJ5+pYzHg/JZ+QYKQ6GuSNqHExSSEyHYkHCLao8Na9OD a9+ucDeg0ZqgEPUx1nveCl/aAR09Rox1sOSBrKzyKjlfz37kCHiV7Sik3mxIZ8kO5Ss7NBbLBTx7 c/7sCPXRsgtVetoKsIVPUIikLiSTZVM/AzzYOC9kzLini2dlO0ZSE9tJILkGL56dQ7rIBLRDI4Y2 yVCo5Lwgy1tP8YxF/B1Lfgj9Kg20afCtM1MNlwE/rlU8HkEa9tcEia9/A+od6ueBvDmljs8/OaPj hKtGpSrn7Cu+xWLMB/NVoaQ5WGkI/VaINpPeeg7npip/3Tx7ECnOB4uPFhY+I+pHq1NGcB4Hz+uV zp2kLC08HqkOfbNboYdB/U+boDCcnogWu8kyoF1gKwiaZa6fV2hAxwmKMB+jSj9PSVl71OEAB1s1 2woCR5GizJWWoJDRoEiwdaN717tGMGp2WRf5iRw0QeicPK3EHReoPZxmHMLyQLnQsx3G04Mc8l6N NdUdyIAqQrU91ruL6uwQ9CHN98Ia2i75ztAAg6NSdLWnMc7fY+rfqbUMt1c0EbSECk148RlBtKiS R6ZoLgftsZNVyyi2pjrweJBwzG/qZkGrZFxxuB3Wp6Eak4QQQl86WZEIwWJxWhmg4vJs3XE8TToH sPQ2eHURbkk7MVjMMGFqSa6AQC+CTp7T9b+hexY+4xeywqRelA02QVVa1qj08PGg8FG8NIS/uxpj 1hsJRdE0HXCGElVA8mc1QN7JDso1tUVcpRUUQT6m9Vit4+oyp+eUrqCeInYG767utpiyNs4JbJaI 47BIiC2O/bvYR6XSlQYDnpVKUN8PkLB4PCq/fkufAd5VSPvmBOUUBdMQlDgzZAatCGGu2AcqyZl+ 5JDGMaPtFEbTpLU2eSNysFAogVCZaEEMZdANlhldTM5fwS/3w8KXA4eozYRPeZ+kVWRBQYgVBGYV 99FgU/B/NOX4A6IS4zc9UWaFj7B5iqrUJ0siz36QxzEz7XuA+zhfOSTV8MedCffTNMX2iDRTWrz2 OTjr5azIYso6MDvazO0TQJo/54xCZLIuhXOOba8byb8zI8vS/Miu+bFTsTC+jdb4fJR3fHMUCZ58 2mX0Zg6ixgaju249V4R4XkQbrXrPFd1jmQikEE5Nga/RpG/eHn3zM/MVlbTNBB9Fyy2IFRBHJxz4 QS/t6ptXe9sI/EGI1WqKjpDjNe446IUPI40t/oAgMLqRo2SsmJ09ayksV29uuekSRFI7J+GeEFzm rwuXrBkrxXaJxHCqu2gYcG25BjC+eAsVwRqAG/QgyWctAS0B8WsPHsu21/3tZstKQzhYQfb8R5Iw GfbIKS5Z37WE6dUnj8d+KoqwsTrnVEa/qKPrJ1PdpRsYDe7DZiaQoqq1Y62I8ODgeD10zxZ/c1Bg TkIM5nlagGSMBRjmIJ+J1aJMHSIqgwRRsjJ9Mc3cswAY0yxRb5wUfD5IQ8q3Xj6Knmf5zWfPhYVr INLYCmXR8XyyYfbaFiINaKIBwaKqaQor15yfqZIiB8/2Yca1m5R2TmA7pFC9cSghevrhfvRcjVEA ZXmCfJ8V2SGr15E83z9bTsiBen4yn3+fptq+iTD4dEjJ31kKVUBAHDixonR1IMg2jHBGsVJWac/J 5MjGnKJoW7HkgBCjDZwlFjrQe6XaDmyiWYCFzz3E6IG3y8CO+NGE+5afEgVLBKQ1svg42J5bTfE2 WJF8Pqrw/+P4RpfUr1sulAJBATtL5xOSRJ1lffSJaq3GFIaqq5ttpyjiRuwKdLmkhN3m58zSuf7S iQDIfi0d2nqqPkIdfmARU1RQ8RJ4H/qQABPCZmMSTzgt4nUpC48eqWr8pfH5SGLvHkwq50IF+itk CqPuBQL+mkbFX9k9KuC3cVEhsEiz8zsSBJFG5SwyNyvtAmeQHPVZZHMyyxiNRxi0rIldAADqquzJ KTnFstWcniYwaHPjybHQtGYoUNrg88GGq+nrkgMKfsiqQpMU1rFHYTRpBSSqnBvIsU7vWpebu+UU FbJtKS06l3K4tf5CvRiii05cmQodBqjTS8nNQc5HVYmt2NtGXic8lSZV5DgYVPYELlLVHYwqQzeY f3RTKPr4ANJzaLGIQsjZ01NI0KH6mFwYJDJDg87swsM58Fg8lw9r2Du5UPyNl9DMQZdoSt37REtV Zp78J2efWe1eldpub/s7l1p1tnnrbO1cnpwkmD3z+UiywA8lYbBYbEl3kqLQGxLbM/WlzO2EHdnV ZdBs9EobUmabpCj0RlkcirlCpeeLSi8QVDzLXF4VABw02euAVoWY/Ifle0fyJXvboCVC04a0hXnc 3R1PQEWSRtUJ/fh8JB97y7WsGkxyKvadpTD0hhvDJLiSmS7FN5np1s3CEl63ASbKUkAOrRZXlQB6 2z7cclKFng+El3saZBcRvK5+7QnYW3/09oq/7a+CezjhOAwd3e3k0kRDn6O7Dj4f9WcvaFbmDci2 1z2VArtFtIVR91/FipKdDm6suRUpfeN1K9ggNkth6L26BGbuYGsJ2S1syMhmXGXVJp8d1PHKgK7T I7M72MPOgd8i2FwstVInQAPXEuoAZaljliufj6KAf/U/W/on8g4tFzlLOTevbbOvodq2LPRIctj3 ggs8FxOTbdRLuOM43LoSuMhbGP4TYKbFeKoSEwV6I0WaLUGRoXsOXRdxfn6rpCZbTAMlGS0mGHeG tovlxgGy/QIj7ta3c2C7iHiZPKudrfhWzcQT+FZbS+B99rvjwsB7yuaMwD0OvuPOUdRTU0iJnK5O 6Zl2WWyzDObnkk1SFHfL5yxvzdWevpbgwSv0YkUYEDovpuQFSvJFQUef9TmWQmJjmzSJEb0KXn3b OFdJ7p2U5Emu2ZxD50UV9/tayweLKOHLBEILSVdnlhIBbuhF5nMi4canNqwz94q9bhQsEXw0KVEo wXslcQNgFAaJZfP54PS+CD9ecZmZ43MshXohcPCcLvFwsr65HThS2SDBnIziALYcuC86nH+Qhq7h QR9BV87smHoTKCsDk/TTiKPgmjIlg2pvGy0lREmjECc63AcCBUOc2ry07CUjQH/7WkonTf3R12eT FIXdAF2AQO9w9ZkuVX91g9LuSpiOJilEYxOGPSY5oBxsKUGL4WQdPLvLOWE7PdKSPEHgYwH63U10 67DXDWTkN3M3yYpt5yrjZkQ9l4HAynz+TwFwbi5EqPUxqQw9GGFqc7Khsi2Hk5wjrU77NioW3Ezc pTI2YRQacjP+5uAwrQXBqk55SwAtutCQNLJoUEaSrbkabyvZ2wY5nGwIuroC3bsCSKRkbN7ybHw+ yE6e7jZrcPClTpfomEMjRjRukXEZlq08lcpGpI8WExxpNEuhE+Mi1XrQI0+DY7UgrNGHlNIbOktk redKpQjIpcMY0PzPFAiEVozWO9marE1uJ8u5q1LW/Qe1UD4fafJ5DievKqxJZFI+S++hd/kfhMQn 00Wz+T+UeFxW6u7IT88fKYkPCU+bpRCcTcePqXru/Krn7gEuetIsnWxxy5YQMDmspS3rGK2lJXfq 0I4xF3VxifPnoMO7AbOgtVQmnw/ykxtUylmQ7o5fHuehhggqcDAE0o6reVnVBPiqzj+eOw5CBzqY AktGb3UDgueDA5IG0JBSVQPL/sxEJWYL2NEGBaZ8kZEl12SvG0WVzFBmVQUOEjEKl8EPpGpr32vz B0TBd/uKl9DMPYnkjSoDV0aU4ABC9nouatLdmwNMn//DrhW64LaYQpj2kAhPvYNvuZPfnNOI5/f5 ziuEXRD8oTW2EGNkO411y2173QATUFitkzbtri4sD/FrwqfhgaS3jHre30htenk/Opg5NGaE0z38 vixDofmNet4rZc929/COZQ6cGfH+bOaKz8/BokoAY87tJmZfOZtaGnWNNjtQxdyp3aY3dZ9y7MxY TBa8+2BN3ZXYUoTsaObzEU3dDyYCUBELwBH0zlJU9G7MduuwDGUmz1CwBPIFl6ztsxSiSyT4PRQw jRswZYTDQ+QD+KWdA4Sc9oKT7PyrgtjSjeOk9JhDe0YdTFmsiHxZESMB40Nw6Emt+XzEzfpGAQJt 0sqVBs+hQWOjp0zzEhx8ha0El/LjFDtd2DkHDo2IBIifSGv44AnKmaK1YTF5kt0K7zhpImT0w0FZ 0hnaH4/PHDo0Dspl9MJIgIMigbnZ3MDCWIPPR4WT+XUqFVR9H7/hHHo0QrdnbKH9gTHhDS6MySjz Ykzm3W+/G5+vRn4aB0e6AV09wc8B+r/sIVeZxrmZYmb7JC0aDufQpXEI8T/FQ5pXNLSc01yyqGU0 Ph+lut8oCt6UFCaySQpx2wS7SRtL6rzl4kyW+3+B0m6pbuDTiLBLliBSxXyA2/Avg05GkmT6ufES FZ7PmU7YtMWTFlPuZW8bXHBSDN0S8rmo5Ia1Lq+Bxu0WQk0e5DZhExkSuB2T7fP0HnujwggIxbo2 Vz35sVR5lgluklg46fpBvwFyzuPJB+/sboiV9Cx5CEhPL2a7aGIBg/UDh0JVDaGj1e11o7BSHmk6 vYuf3mcr18HuB0gPfP6vLpMExfShPkuhY+MEXYusWXOwHg+hbWXjkXQYAmnLxZaNMrPUUuHgh/dZ NuAb81w6IeXZHSwbsAdGy16ES97+7va2UamS55L8UW/hIbc9WpGpJavegWkjYu/r7MCTHunxU6kM TRvpDkJLYNXg1KVEDa4Vw1TiKHaEcg5cG7PVc1elpDwHP7xPrryIxQR+cu/cCA+k+kGF9fRs15TQ +pWBbaNKWOCMsmDJQdUlSANKS6VlveRf6n1ZNEqYo85nlmJVbB5MZgkGeenlDRQhTxtJ/sVrAqF1 Y2fvCGBAH25MCcexDWAPhBr3ws1CWE4/eS7E19r12C1Jze/QvFHaYknaPmk2b36fr3RIOutcRHw+ ApreDcfmAHRr136mKUScgP9XXI0OAE4ve+deruJIv0FlbOAou+/E85uD93UBXW6JkcA5lOburMJB GPBn0BiSGFvFS1wf/JQgqjQv4nwHJXJrwC3qh4BuvWW05f7xKAQMZu7nXIpD7wXahMmJzZUvjXSU 4jTSVVy5JgcmjiAlKI/TLNVnlnZCzzWLJrkTUq7NWiX65Og2CQpnBaa87HWDWiXjh9JW88GiyhOE NRH+2+Tz0TX3ncahmNvyUxMIrBzR4CIyYLi+j/6EbFctQWIE6B5msxRJjwzqRXBx2OA1gZMqQ5qW DKUJK6UuhtJJxQYCX0LhnX+Tur1u0Bwocpvp1QdtuawaAxnJmc8HxcqL8TIDlvPtl69pioJvECYm MDgGhXuERaWAzwJTEq4S2W7g6Ch9GK6i6oOjlyZKeoS3AasJUDSrlSz+olQ0Hk8MtKv0tr8QucDi KT7YLE2KUxCVM/h8kKFcVwy2UFDOX187Lqx7QzoT28LWkvrf2AbQZLO1RGcXW0th8L3FdlMal5+6 9z6hzLlcsDwgg5EJbgESDmVKFtLWpUwC9GSvG+w4Xm+5qRrXnCawC1hrVSlK5/PRjuvfs4SvZnwt pRhvgmRX9sMgK7Vl2NPeCaRRdwBaVMIuxa6OTODOAdF9cBQzykCzic+1KAxN7NKAQTo9C2Hu48XK 7q8byR/y2E7yMkrOFZgdWlQMFE+OxeeDqPJmuyy4nBeF2satnITOjsgOTrSBggg1NDPVxTPjWdZx MSmJ2kqapjD4XoJws/Dd3DCvVKiWAdeFWlc/WTxsVgCEg6s5EC5yzrghEyV/cuDtiOCZsQA9fjTo kqtnl1V5OuzJ56NY4E4TbznAM8sV0syhuyN60OAMFEt4h6nbAE6RvLk7mjO7cmDviKBCrmoMmTg4 q2IgIYVvA7x4Tzg41JWCGnWB+Uyr1+GAiTc/5DcSbqF7jQYjv5XZWIeoFYsp8HdMXxgvJX6TbtN3 kkLL9ETBhG1oikLddASTZ3esZZO0KO3OtRQaPC4J2+SZfLBJWhCzKaBaowq3YHjNFAUmBxXAh0Yf awnbZH/bX6GnTfyu9vC7gKxgoHjyOT4f3HGtf+040oMetnsOLR4rbZ+GAZVg6tw92211WLaLq90n KVYmIQo/9eyDl07QXZiLwXfuRFPg6GpsnVASiqKsYlGqCBd6PIJ5SZgguwNIp5hVQK2ikyzzcwKS xR8QNeT+1c82P647TaGANujKI5u57PlT9XS39Ms1rdfFMIdGj0NuK1KRWt8qUguNBuwsqBusQdPI To4LDMxZp3lMV7K9bZCikO7eFDZxsOYuKHW8x2fX81HZ+zbkFAqgL/HAmAOvR0gDZGKulb9BJu5S B7qbZa3FW4ehQGz2OMSsKNWHp3TSd+bChrX6gqgpGnLSMz5fO9DsLvnXtr1tRB1QiakkH24drlAo HBoEfD5SGp9fRQHhBcuzlEJO5Vm859u1ZOT8KV3FtmH/EMVKl0XMgd0jKrqcnUl1gD4fdYDzMKyF WayEWjHALAwqCU45YdKq+YHCLXvbgNDE1t0GHdsGQ56mrkPlxJaVzwcV3eQdcJaSoMfTxgMYDBwf MwkLcPCxPK5JMRJ5XOLZKFEyMmu1lKLQG0LOaOoaf+DRcoEpEfRbhIg/MROifZjZzkVfcmrAXq4O ddtyYPqY7YrLVae3mytkiFMuCWTOquf/gi9V7dQzVX3dsDL0fQQ67AST3gKfalvSLatbGeUc36xb a5oi0InkgFatzQe/484zfQsLB5pJH5JN4nUsjvdtgUubLAfOj3K+O7t0MLjkYHdcB+GLs4SmZWD9 iPbAP3ncmdIfquBqlj6v0VJHyF+vDQvlOMq+a8k7cxv6N5qk11ipQ0SSsdK53n1w6OnJk/oSluKE Mtxp2JKo1UAKEqvNcDmZVZvPa6TU5TMDUNjuPtjBvUtlKxy6Z5/XOKnDaUiLSE+pRcxuJfPGaHIG CyZkBgC2xIrCfwTfSNtgS6u5vchrjNQTI1IsnHEHJ3vB0LtPShaUOpHtaP1QoASM8SIayRcO/nV2 oAYqAVv1K50qlWFJRgVc8JFPtP06O4gdytfsELNS2TbB7LyGR+ch0BzxztLeQOnY91eqaxl/CdpJ mp3X4AhLB7qr51/U7YPtLuhq94WCAMSAKuq2bK4M1E2hWI+/67i3pjd9Xzq00gWDsvhgXdyETiGz /90+r3FRf5zo4NnDv8t7LNnsvEZF3YCBSR4riLWJzJOtiMRvWLm9sn+vMVHHWS8h/3IHr24vqGEl sgPO2sAhjVOqrjMTlI/tTw1JANPXiKgD20/dSNtfkK5nTIHpGZ1I+7OB8+c1IOqPQVZXOgsY5Vy+ dl6joS7wF3ibpg2QJUHG6n/z6j9QAO58He0sQiRWXc0HD6wXjPmkMl4bbLFY2C343AbGRU4C+4vY TX/56NxRlXbl4oMTukrivX1eeH1eA6H+pB5d3RE4dRQKVGN2XsOgDlGdzsTD1s5kn0sEHObRJsvq 5ezXIAgLt0tZog0fvBl5wkTcXUpXy4Sm/A+1BEkvxhXSvRxyPkWv+j47i8oS2Woi3a8twNuK2oit ls9rBIRlYIbOuGTUPZrnf9mOos9rANTNWX5st7w+eYPVHnvZ2QlKrWU7l1/DH8yPJmatO3i5CFDS LVxygVmGrEIr9BnQnvrZBDwod+X9+hr7dNUNKxzSpw/W9D8ROFOPE6aPz2vkw3PrTg/Dycb/+ep5 jXu66hZj92xBNDo6Wj3nbduyk6enK1AfnsvERS6JRa4rFglI9YR+fBH5tqZOMnMGfKdTei/nG0NP qiO9Bj34BsjdKkOiEsNFJTqkgYVoO2HT5zXk4Rv2f6YHa1BAW0zPa7Wxy1fhHOnd1OlTWZduQ6FB Hj3M+iVAFhzMiQczwIo+OPzo/D+1QjATpJGKRAkZxkThbtJKmR1sw7I1iqVF00OWOy8JG1SPbXBh ZxZ27sTPa52xP4IJXcLrAt35wfxaZOym3T9oIYSaR6P9DWseo4zb4QcLVIISweKRshbMSHzwrTVX G2Xr6JFkJPMv7KhB0QGBawVho5PRa4ERr7rMDGv5YM1G4JyaV4Vey4v9kWLVtQX5woa6swWEUbiM zteJCrcBRUad5ZaEimvaoNblrL9ofrYYI7yz1xP0lHNy0gqL8fL5omHQev5KwoxBPPJnr6slQdDn 553OhtVDIaOuWJeDCrDzHGhsOTZiaaKIeU2/17mTQGWZzCdEoovWD2ycc7YOEK5483JEMuLc/5wv 9iGKmaWvSmlyG/xmH7X3Qh/oToI1nR9R9iKTp3OReSN2DGHJo9O5CHZMgwMOurxOZtCLCA6VjwfH 817f5w9gMQ2xvk3Re9wMEA06Z3mbqA0bAMY+qulKj7GXIkRWMEWbSqxLCRUHW0Pn6kOXV9fXBMGP QoAN33pDiVYtVWUVSt6jyLm3V73j0RtVTnAfgXr0HjrDK2V/bbIKmdFJ8Tp168Lwh25URojEcvUT eneTAoK7ULvQkOCI7hYdsmnGwdfQif7I8MMaQh1x0wOBupqVdr9IiO4NlvSy77uM9exJvpoNdoX1 uWWnVhbaHNExNDy5IKEbl2268eE7gY27A3jHugweuigczABxUIKRAdAq6/Y4ohnCEtJSscGWEGns G70t5l5ABCv3wlbBPV2oPdbknarKxq/HEDyzfbAJOkGImvAQQHwnrzGC6l8TlIkz3tsv+XfyGn6z jtsruYYmjLvtHJK6Ec+hsW/n9T2GxoFPxvFiAMjB1tAERqYjBscMUdiPfWwAqAqMi4Cu8GorTXre qWtdqDqojlGupS7T7zh345LKFZyr6+edudYlwfkc1BmHWmeOrBkKg2iIN9GiWL1pmaaTRzNdov7E ActrrVEU3cXJnoyHOHh17Pz2OKxZjwabdgr0Jzl6HNBcEsrfxe6Lwugm0wfkWzZoDW32vhkn5v15 Z631xz9EkVA9l0+TOhsnKIqjEV6eHW1bC0UVx/Pn5RL1m0h2LaEokNbWAebTByv/IJ2HExPv+gzT PIJlcSbpIsEfrwzC3nrZ9xli1DQHrVE0WKJBFU3usj4/75Q1O1A0Q+rSQwV/3FD6nbLGNYRENZt5 EcTmbA2d4+9K2AwqunINRcH0QKsVNevug51D8PnLa7CngaQyCaUOUQxgr+lAezs/6mm8B9NmhTVb Z4WMgxWBThDBGjIUoD7vdDX8qule9lsZ7tnm6V72UTzd4ZTeR5buwflmTIgM0v1pWBE6i/mIGYoD apbHCEzTYDM0TpyGW5zUGQghT0n8Ja7y848Xm9ECFs+pd41miMmqcMXt4oorGHAihxLYEEXU+Sbz lClD7UUofpbmooi6DlSCVlWOah5l8nVc2coeoNKYBc07T40reKtrmH24GP56MiF01qHIArNSov2b 8oyzfBoBMqaoNfSuwUFN7aNKdx0N2mRIiYUimiV/3llq/RFd79ZgPTv87E7fZO8ktS7tDRAe3fny ehc1AzfSf3dln6H3kBpLkeS0IsXaMh79o3Nun0miPwb4v21TJzGxrEayOpgCXg4igv+do3ar9Ejz fFDScfbxkvzRbPPzTlHjXebn0PBqYmUypCmKStHA3UAUxwpCk4okLAg1mUKoIOQdsXeCWn84RVQ9 5uBpK1YQ9UVRMWuU9sUUoTEyqMzF5WR9QxpAvPPTujhBTDeWD9bIGEosyRD6vLPT+mMX1oX4AEsA rAmboSimJniob9OjhwGvz5B0LWXAO72z+s5N41XKqVmp+uBlj3MkzwIPlrOITnDd5JpF3+jOkh69 UwwVQ5GRd2oaixCFWHTqQtwW9/mR8MzmPjsR/OedmWYn/XMQDRooJa8pvhPTeMB3xkO3JN3t9AFC yqHWm9VuxNTvvLQung8NZJsPft2frZTh+qN46Bwg3IlE7DXANjLN8QyERjbROy+tu9cTOZc2aJuB pK5i2Ll1P++0NNy3a34F1XgPiDH4DEUxNdSwz04z+72ZKnW0qJmdqMXOlgYAGNplUV1alL2duMs4 eF62UY4GjxIHNWJsSUJDwOEcT/lnsZahoj3Ow887Jc3idpCPaJORb+kMJJ41VS2c6/POSMP8pu/q EJZCTTfpeCekdSN+dOK2CEOfZj0Hw04m+fiwTJA7l9B7SH2RZ5nqYhy89FpOWsf+ESPGTmgpONdn 251rDUp1bT3loaWXfT+G1lA3TKqsKVvxY7V6YnKJzqT9eaejsXFcvpYQYPDniLnHUBRTw/0AbVRz MqJNg/uprWLHUIfgiZbQe0x9vl4soUKOrw0WDrUGCXqaLBCnD5MMhEPQy6Lh3LxshgwUCD8jmiBC 8xbDoQIyg5k4Q5GZa+AEZfvzzkXrD7La4iGkwXncNRSWp1Edqq6TiSaWS2b17SA91gVtht5DauCc FCkytedgM1TOfdUngGWYIRjpEA6K0Pqngh895lM/a0PvGgSMQ36O6j2n4ZLHOL5k97Vz/7zz0NgE 9FMIj9HaJeV7CkURdSWhu9zWYbMwGptgG6Fxp1sdeqegsfaRMTOA7djgSwgljUGFHBD106jNvIx5 NZ/THZOjgHHSB/ydgHb3GPWFbLCco0zDLJRaP+/8M1b4POfQkcOC1fSb7J1/hiDqXJ+z7O2YjuWu jo1Gg7aEbmP+nX7m53ShGbQNPkPnb6NqTfm+c+CDwsraBzRWIBkJ1KsHQzhtP+/sM7a4WPQgNE2D ZqifJH8qWx/5804+Y49jfIfUgCeX1e4MhcAOO4ayM2GSQxZHeepn8M/RDMVVasxQodOTBs0Q/G3g ogP064mmN5zGmeECY5WhtELsWDceTNG7/jpDjTcZB6fB7MYg+YTs/fPOPOsPpcqK1CC/QfrFZyiK qGE7OUnZkZDYuo0ObAS/ytYwUbp33hmBQTyGALiywfNWFEgR0DFvXeguUZ6V3kZAuLUrLG7H0Dvr jGkZkQuFrDMOylvLPleZAurOVwzOaeWUDn4hsKRceEcUUNPqKaehuTj59zYQZ8u095SGWNrG0H9n nHn5DLsr+WATdHYoxBkmN1mFjZEashUleWoZjHSPIUpivPPNCATgBImBXpHWsPCK1LgOWaSlXD7v fDOGxj5D8jHC2qXRi6YojKi74mibotr67bRSP08gcwBWNEVRlRqQlA7F8OqDV4cW9LYKNAzaPnPA H4tZRFvpZPo/l1JNEtfnnWrGlIzHT613sHN6jGGyWHN+3plmDKfXd7SIQLE+AKH3cBrt60lysLVa wUSyLdb7JVNPdLU1PVGJGrkAkFMt++ApGQQ3O5iuIOafWUwS9UUnukBebdKjR0V86tK/s8yw2mla eLbF9sFuesjo8QwZvX7eSWZcQH7TM8wEsqJC/MZmKIqn5XtJArMRqR+XdBM2BN0cZUAkre8UM6Rk OnyBfrDBa0PnTj6HNM1ly3kj+nUDRIVoceJQ+1IzxC7iy0bVMwLLebBrsHP6HGlq1q/JdwzaraN+ nUJAhzfAlm2Gongawc/ASWHtVvESWD2TSSgB0/te9WGN2qpnyu4nT73JjKNDWw3im1Dm6btLVUyW haAKQ6Lc03q6+3ze6WVWhkcYpIY9VJVkpHu+yyz9ln4S2M87vYzhpq8ivB4aLQ25s01RFFCDnnCu LJMLHZOIFJXPltuJLCIvNUVhjTqxOA28jw1210MCG+1ElfH3rsZ0AUC7TQbU41bx8Rqfd2rZUxqa gt2jicPK9AkReqeR6flZmc8H+2zf2hA7VTR0YwtHcxTF1JjZufpwxf59u2WGHmJaBiyb5iiqUsuA Z1vT7EttZi3UhCCCCSX6syLVlC0NUkfEwRda7WkZEVL1TizDF5plSivsUJveDEq70WQd3Yv9eeeV 8R2/22XQV6OZkuEVo5i6J8qpGn/z3AtpuFh/7tM6HWfSsdEAWIxjak6N9C92n5e9cWYIcphKy86y GTLOyqQooqSQgea2ZUQrpc87qay7kHFPAoAknixUeUxZGr3Q2Py8c8q6XKAtqCbglbiq6hvtnVPW JShFh1bcV+iXdVPnPwcfKgP/IW2APTyc1u+UMgOFAdBAgV4Ot6HY0cenHO/J62eS0GGlPga4QEMq 1yp+FL3rbxERlA99MOwQ0g1e+Cc4/7wTynjhf2NeeT8QeqIJCmNqQFQSjQfoUpwoBkKXYkgTcMPB K72LwPlOJ+sy/cFEtOyDrSHgyc4ZLDLwCVkGk1tAXXHwgVHUxyNhPO1lg8NaLumkXWrQDKEtTVzC maD1eSeTddEZvuBVABWv4ufQO5WsS1703EHWiT5n9nCD2ZwuX3onVy18Z5J97bJ1By9+LKhFT1Wp obIgUH6BvhauubNYx1XApJf9551JRvCqPHoIkanZBTBPxAVPKV74J6h+J5IRIL6/E7Mm2Ns9iKKg GnD5k7Aus5hdiqSZuk6rzJKTYNCPdxqZI/QK7Jl9uEf1yQUSfrkCClBahfuROieF7k/g8yh1pf3g 551F9uDuO09qDk5K6KiMgCjb2uedRMY2QP1aQ2hwUerbJiiqUqMUCZC/dToy5SnYtt8kjZDRQulY 3mXvFDJLCxlPVx9sDZ3VASeebnbyJz6SxSED7UEFWidtonirdw0mSBIXI9/BJsjEuQCRGJ93AlmX lt5X3oHZTuVOUAj8GIP2ss37icliRnz3NkFrUeKMExQDP7CCMsR+bPBNBhumNKTJX8i2E6OzUDBt afVoj5FW/Xnnjhl2AtVpcls5aIJgMcrlVHbh41FL+nuCaJVeL+vnnTiGp+ji3E1D5nwvXqRunT6L JsSbrYz/zhu7PfuMXN0GR1ctkBIQH0Jpfq7d6a+6yKGDlIVEGXUIEeT5ThszWMK54xUxcrBWWUpN kPoTI3/eWWP/oqsojInkpdwyfotiaogDwSDE6OOrTFVjzyFExRDKzC9ciZqhEERdmJiVkn3wCuM5 7qE6ISGZAt4stWYmix/AntGXTwxlNHvxGQEzigC9Sj0GDaoOoVGTha6qGY8H4WJv36lrRVgKxwab oTCiznROyVb7GBdHDTFqdwetODk0Q1GVOtH7aktLhoMDhU/g2QYjV8SLJS9pqVVsBlCccn7kUeyc DoEfZGi2SZRnc+zEOQ+g0cop2omPB037G1HzTMeKLBdo3sOI+px257uZWkPn5nN70AowglWHBuqV Irm8R9Ros2DxSMNOg+VlCwCJDTN22oNm6HYRw4jTh0Lw+bIzO0GePQqo1W6tTQU0+t9yDUFIa6gN Pyoej4DC+StxhUPUuVp9k/UonkaT/BxDy8T2IPViE7Qa7zRVGO8ERbgPmH2ixJqqDzZB56YsNRe2 W/NEFZyLDWLwP7QDo5i+crJJHYseFakreS7nBuo+CMKIpHLKYPZkHD2Kp1PxvHUzx4UtyfJQqIfx dKaqfFMSPyf0GqzduqpX8Ts96XGR9ahGbf3ozniag4VCSC7xfatjD7ljku7BAQasgAC9m5MBd8UP icpDlNudxhknOU8B9S7bzPhawfPv5xC+RdtkxJ+BY9dmvqso5CFO9KSnVc5mnexvEKKXsri+5zEK ryOk7lFIbVlZloZFfjQsRt8LDqFDalY4giYVG5F7IFUoKE85Ao0iFj0KqQtLQycDaz7oIGonA5b1 2VlceDyYo+0HEfNWAJpmuWS7iIuILPJEJdarP994toARQZgXiAY4Z0zLehhRC8+wyXnh4GXqc+IM sn5YQ0NFjSofqI80FJJA+r/yFVvvGjUUucE6kXpAaRPRCp+2wR4AaD4FjweX2fiOqFGXajK54ASF ETV0yeZyul2q6waMylsRMFLMXBMU8hFV9FA7lYOnHBuXf6vSIl4wpiceH7gbGBcxcvODCE09fEaQ 2NO7QfxFDarClgUJEqWtFY8HK+jiYFkcAvs63zp1D+vUI9GbKMmisNBd9D9SGuXx3Ngsg/grJyiM qMlEnJUuvLM+LrxA+LSyCR1q53qsXGSJerIDSEsceY+W5dTL/lYcIkTdBktbZ2HjCjM08fhf8DPW iBNKjcVrQ/09pEaLDkUzxN6sDQ2w/lkb6hB/ONMigB7qNzyFopB6L8kNUd6DgyNjFvg7FDzooJeV QVJipXwsNCcmrQaHlNGL3jWYIFrwYgv7IGDMTgIYnLssTzwe5fXfwBhi3Ee7h1CEpKbZDoy5uXBw QXTzb4ShMO0Jsom7cAlFsI8uzJAEiDfBI3jPlvdEn944L3AbmzQyLqiBD+h6rnVPafCuKz4jiIaY 1sOr3gftMcRaKsOfRYrH/1pBgniiQlIu7qOHEXWjNHj26llfzatne5hoBY7prgpsj2rUi1yfnWbx wfN6eGWuxazs3On7pB04qEqheCUSpfIAP8h+wocEeetSx1W1oXHNUdL5FpZq1Knh8b/WEBuuUOT/ UXFZvOgopsb1OmnvxZO6yoIImStkRg35oYIw5mhEVeo5GUzTUF7DRX6AM9OleNIG0DFkNTCmrVRy GD/XZifrXaNuEOdmUZKRg6+iNYf0A3PG40FM3b97ruQ95Fv7GFFMjbB7LvrVYhGtVt2uqW8jvcDa clrtY/xVo2Z9dT2uKOfOghtuydIXQqU+2VUGv49zhVFC2LIyeseMkJ1ItHmDW5ENRpyau7Madc6W hcf/bEqzo3jC8NYvNGaEUOoEmkIytYqJpquDh1Jz1RwWyTVDUZE6My0rwJlxoAAZs45zDWAVEddw ttEsBH5soJYKdZLHbSgikRj4jADkaQ1F6VWOaWthnE0rOx8g9fD4X7QgyXsjLRt+lY2QnQhmWZqO E0bX6fYTs8Px13L65ogCavxlYBe9rbhtgpjJn1yM4t7nXhtzSk0eBXzooAJccJExsP3FZ0T8+iFI TPHB6ovwWpHQ8Nh4PJJnKF/REBHu5crCjCieBn0JoDPnK1CiT+HiLNsnCN+wVlAUT+vX3jIBt3qo FfGhlEytc8CdNxpBiKdxh1bgLCGEfhtBNEkfUYk6U698TFI6BmjFovfA4SMxc4WzGJ6P1tAtDw0x p+CudzuuI4qpkc5BwqIZc6r2dtOy7WlZJ5sYAdGIYuo1lLK36oMzFjrkzGTXfM7Kzav/TBJwH3Cy kOm9VanJsx8hlnpr+Ugnplzz2ALTcGZaJ27A49FB5DVGGn+TODXuVRbF1DDdmEMQc7SkJac/FTG6 WHUBTF6rKMJSF6KGUhI6Jt3kvoD/i/L6khna+bHkNAy9Gw6NfOWFBmGeI1T54BE9KgMiDoaOWVNu 7G33gceDgGh+98pQLCr7KuiMEPpB5S56ipM4xXKvoB+AYyorGySocoKikHqqEfS/jH1ZsiO7kew/ 10KTYR7e/hf24O4RSJY6o0ptasOV7OZhEsQQgw/IljkQcMCsDHMOVKMQemkM6smgZgSnNnK0H9/B 8+fxGVE4JNEuRUXXWReR25LD8ok+8XhE6Ei/WRngE6QEaoKikBpiSed0NWUhTJWDGlL2RhAczQ1r PsKQmvzNndRMTLeZmBZJm5NaDQVINMAPoN6J4xYASqCH/aTeWkJhTN3k7aWDC1ICBjY/ceIkNmYM 3PZhmbr8edujWVa6px0jDKppoVO3VYeg2GrHUFEvCMdQ7dWqQyMsU1eZ6LGSxsFb0mvA9JRa5ycJ Wa0TKpwxQEMACKvplfwtLZ2wTM1tRnVyG5SYVXA5uDLO/4rHo+LH7ypCH6qV7Ln9DMvUlZwBulTi yM60E8rUGtoO/CijWWI2Y4U8xtLA0NjgaUcDuWw3xkNjDDob4xzi10S/tT6ejMXeNchcCYRti5cZ Bx3Uc4AUgWVxcmw8HkzQc5edf5d09HYz1xmF1GjmnYWLEjcm6Kxd89DD7G5lridCJk36xEMzpCdu 8qZWrj74BJ0MpPZOICyMa0HoRF621XYFLePRosTVgM+I6vhEM7SRfNAEdcS2MoRpBY//s2VPdBU6 16hI2AxFITXk/U8uVqWEAgnM6QXGUj1z3Vevc8bsROZaEuvi4AfRbqTcMSA6U7Ua0VXoV347wjbC fpzjSjGCGdepSZxKu/lg1Y9zcYsmvVfF49FRvX8uewRjbV1m2Yxiamyvc4Jlq+Q3GiiahPA0n/hN fJpmKCxSD5U9mJbt9aRlOEYrjeip1gDRZd1luOMrG5751qjRssJnBBPEpKNOalLXaZh/EPvn4GUP tXM8HnE6/rjswf0rj6RXFFPXc4udjzKA3kquunjOUZAuzLqD1R2c0zMWzJOY+b6DV/FLOSdb5Tld gAovPMobipoNjVl6aVnSAdwSPiPYZInh4qYjBQcVGFGb2ewQll7xeNBNvIp5zOBQjFuXvTnDGvXA uimOYDQ22ZRIuDWnzy2B44wTFKE+5JOzZr6DTdDErVZLUmJ/8kTEzjiFaJdzQkcYdXg0RFDDjOLp 2oXylH/XdDD1SY4QPTJXHwOP/7N+RurdmW4S/W2GItgHfmxA3Yq3OfwUojmZttuC67nNUFSklpP5 Zt6qwcHU0K/fW6WPVM8UydlDws/AGWFFuLRy0btG57QyjmmD+cGeI47GvvQtaHg8Ssr6zx5jbQhn p01QyE1E8ayILw69j9YsJTuXoHOBd2G4x0MoFM4TKTGV6oPnrRmAgC0nb9D4E5UIOlmtVBQd7Sni b71r0Chb0ooRsmo5smqQk8Pa0Np8PJigh9DBSy9D/OJOUIT6AHL2pNPGBDobol7/oD7cb3FSR4AT FAXUQMfh9CnFB1tB52Y5+SxVyTFzQOYzZ4VpUINsPlan16gpXDWjeLrR860OKsZwMEjDNn/Hc252 PP4vLYsu6Cywb7c2NENuIjR1qmPNz1JptyP9zFCmpQ33WKj2MdmrH+kO1rKHaVIDQZYt+yF5a8gz IsGCXGQj213KlPSCn6F8njQpac6owXqtUAAVNDoXPB4Fi/snmm6UFruUoBVF00CVjpOfOjhvLVf7 AP7Ak9bkSeuKQB9Da2eq1zoffcENYNgWjBoqal1yKAPZckVNMs/5/UOSe4UFajbKgO/zwbBnAAWQ xtJqxeMRNfE3moYtcJ0XFbOiaBotXSSt1mst1XyoMsWUPGnFLaUJCkEffQrKsXzwuhCYm9O0TRs0 qNkxavJag8vivNxNmHvwM6IKPp25Rr+DCtQ1TfkgoBKBx4M9dnl3DCsREPSrF7NC1Wl40YzZbYud GXLpM5JBzJQDZGLJd4agj8W6K5z4MCj6Vz4GLeWlrB7YxdLl7iLU2flM9D6NYg/lBHxGVDhTjDi7 D46rmlTzRiw98Pi/JCql6AAtlO2R0AqJiSh7DG/MP8l9JlbcC2f02uYKCjHUdKHeRX2ycvtkQKvv 8x5m8taL1aeBsSJf/ss6mInnEXi2wvq0GAqUudKgCTp7Jyujr1hBUSz95xlUEFaV6mIxK9Sehi8A 5IGtkViJNmMjEUgHC4QADOchvWJaojWh/0vzHvX788UWrW/O8tkjsxd9juqMgjtgMcOvMUJ/8BlB 4Wyy5FGfwVD44CzwDDonHB7/F5ODoSIBsCD92QxFwTTwKeAOKhIak1obsuGQB440mZqJVq1Y6EOW wczKOHiXDOHzqKzgNyLbCM3LCJYGch24113TSULPVoT4MEjMrs0HmyJwl7Rx+sDj/1J3Z4zAEiWx PpqiEEQNjUqaR6hNNmf2fIOIQLXJWrWMdcXRNHfZnNkHv8hwf0P7jPnGgvkQw14gJ2gPARCalYXg 88bPCGbIzFyWZE75LtJkUmCL/KXh8Ui2avyc05BLbql6p3VF0TQ45nOK/wx0DNn8pHJAdt8wMeca t1BoxcxEYceJCOLgmJiV0DYeDBbJYKDNQsU91FCP66lexMccetcI0EDq5n9reOLozJLqSo2v+L7L 1l1CtMtFFEZBN01QiKEG/begJKGMtTYjcjQTiaNKQ+5+04flae6uKUVhDjelP1dXn1SoPLOS5uIE Aez37ZNSy907raCM6GWDg5rq90W96HJ70avkbAd1XxWPR0LmV/mMdvDA+bQr67XC6jSMAWu1AgfO Cd9kiUqcnKKEC053fRhO8wafkoKZop4I4Jk7Dm5aTODcboUIrNSlYXGuRllxKBoaQy8bdRLZjGYO p8HKHm1Uqb2cdAaPB5f9/gVR42js82rD7SieRpo4aRcqtRhylLmIFk1oTS2muFx3rEfNW76y7MHB Zghdn7IgwEQ9nb52V13onACAMuLGvValxd41SslUfCVJjwMniLThIrjd4ONB4az+alaxs5Jun3XH etSbOau3EfPVPYNygBfObri4Q6WPJQs3nUbpNjgy1lNrTf7Jq595J7zxrKGF1vH4wifRbzKK4e8Q RC15QWGpa81OSoR5hbpcUMrd7/E00uoLZ+BsoBAzrmjVDlHUk/Ztw9zKl9BDWEK7XO4vFpHNUBhQ 8wDard3Bw6Eyxu6L51ChdH2nFH1iXegcJW15vFjIHsFnRJUzNjgoAqzB4sUTzSnnXCnj8UiR6bfs kQlBSX4K7SigZsyfr+BQ2fsKDrXihgG7NFP62CHgg3Zse9Dib4/bATr3zAlC4KrAm+xksGMZPji7 jVS/HSDeZDsKqDdLi8V8FeatC50FNMjEOBFJxuMRk6P+XPXYK8Sm2wSFAGpISaRtJzLo6y6FUoTM 4wR1JyrsEEAt1iYo2TZcwMeZH/qQg2E/KsuHUBImTQop3noKZ6ze71jpQ2xNmpFxsFZ9FYoQaPX6 2aHSx/YVxMCQZ/2VINhRPN26bG1NOG92u9HO1TMfpY9SDDK0Q7CHoToltbweTa998pWZybKGcB68 oyhBMMiSItL3geCjs/bZUXFaenCFLB4NntQXnqJQflmfHTq65MuMxmyAoNB2vq4TEdoDkr8nmV6m eja2ExF7pbib6FJIzzRDoXKeevVlFB8cuJgrxCipAlvh77EnrQGBvagtIfCvtw3d7V3/xmo9v+n2 wXB5KBxYtFjweJCTXcV30vPAQSqX1bqjcBqtXYj0bGNLIX4zLR2KPXOP9X0PoRDtIdJm3tsHn6AT wI0httRZOGqbIKAslGUr34FIiEuo0HwXnxEw7jI3l2yk67WRbgvEazn/1oHHo8LZFbHghkKBctx4 eofV6ZrY1RhGlxIbCN6gdFETXQoIZbm17hhBTUGmITzMSDfhONfhudYGO0B5wqdKplx0S0fAn9O1 vSE0AJ8R4fIMg998sBnKs5o38uLjEc/lt4e4cOOm7Vn9jqLptlg5c0mv6UKe8EOc2ytnpXgoFGE9 pmR0kgpoaT1IhpNinKSrq7Q4qZyHix4ZAqj2aOzeUIj9jR1qfBQZJu3ug2VkyIs5QWfF4vF/pays e2Rcre0KNOQUhdON7jfdCEAI3Z3IAQl+/iPdNUyhwdooYfFsSE6Ig+NhSl1gTDHjGLt2UP5pX7K+ dMyCIPnFDFGUiWX19+ify6jRT2iyvJTV8DqnBYHycPOa/AMRwNNPa1b8O9XFrxhKTqF+Xsrk2GeS OCaSQGE+TnS3zbx956tkkVMYVssnqMsnqD91/DPLJ8vEtQDBZXghA/RxVjkaCBDSgBnDLeSPba/7 N2Hz1NMdLPMAMIUJ6Qnh+XyQejw2JlKzAIW9lztNIfCDnr97mIMABKS9jkaXB6s0FkvxcwrB1HJG ThL+SI+TW5H/qrSHzr1TCzM4sIy/HYcmyP1X3Lza20bwKvMB1IBigQCb5+QX32+cK5p/IAiObvRI iiKgAzU/EvkppCgC3DCWq3eP7YqeUIF0u7uE6rCZKoVmiYybZzUa1WPollaDSDvlh87BfcIA7roN 6TBiTHGs3AiybHvdCMwo8p1qId1rIejaVLYtaoY3cgqr1uOGSHhBffJ6VlMUZiecoCQx83CamDYd Ti1bq7qAApXMSiBFhWvzI5U4zHqUT8+kbNyQgwyY1QA2R8B5IowK7+rxJT3RKkbMvvgpwXISz+y/ tS0WgG1cwOdUzHw+yEXKH7nICVAg3nXNg1JYvIZKNeR7VHgEHNPwaMQOkHW2R01GNcMfigIBYUBI T+DgUJCWyoAUJz26NrR0p1J+rCbcm5AA9ISNkQA+JWKbtTcy1Ql1IQfPylrafP59mmr6DSfx6VAP ubMUu7+c6Vyzy7IVfnjJ+GatECKycTSBTWYneBRxTxXVtrbevtFASjANWsn6aAsWBeQFdyKHwaJN qd2zafjrRnpNPLoHEy4OtudKH0YM3p3PR+zp32YsNMNgv3JnKVQCQepfqOFOPSKCOdgqggeMzvKT ZVwXoRRWsVk+moWAj+nQ1cJW/gm+umh5J7yBdiyLtGdaB5puyKAsZqIRMT8kYk+zSCvDN/QOrM6f oXlAH9fzEJ+P9PVuv1H0ImhAz2eWwsj7PDdXKpb/y2lLdqWPHedC3GbndyQHUuSUswkt4mCRJSqy kEDlWhrs8C8yPpq0KUjecYk9+SSnv/vAUInPBiuRAD/HYOAcT3w+2HDVkdaYD7QgR76OpTmFtWwc ZEOylYCGrOrQEGjJeIZb673komL2IIAaup4+OP4K3WH28RELrJNIMGo4L4bVc/69Qbx+VZ2t2NsG dSSdSl02Ht1tPAYcl7hb6mBgGXrBPFBivd3+haPn0F8RZ8QkqZBijYvZBUOBBwh6bpA23D4wBIg0 KcEqSVn3WDpfoZMwhFrSOYz2OaUULWDZdxgspn39J5u/baR6QXxalsxVntcdj8VJVgJguh1aLI71 h0iawtO7lEKPxRP2QXq4d2Og1ZW8FkA3LNXbQG20SYpAIqiHYnZ698FhRmc7UE9dgOIGVRhsSdpV g5APPt+F8iV726AvImmQZk6CToXtEL2TIOUclc8HwXe+cEfecJOL+DGiDG0WK02UXaNopmlNtd4k GYLX7X1YPSCHPotLEmmrZh/8VBqocwNvAaJepv8SiXo8zU/O1/qjtleavW1EIWJXZAw54Q5DPJ6M KG+WPDpqJjlwWkxEz/90R/DT4IbySYoE96AVMIcqAZW8fBPhgSdit0mqzH80SWHoTZF4emjaYEsJ 8eRZMpmALFhiUIUX0qsQA0Mk+ijsEt/MD/mbdMHYTFQ4cCmdww6grC8RA5XP/y9RAO2U13gOpVBy bxK1X91x4MrINRMJK2pDNt9vIaNR8qh7ZB/u/TZOGFmpQ5w3uZ6T1clBtQHqEG/PT+TmnkPDxTVV VaKoU1FfUYzGzEvnLCV4doaOi2X+8vN5Lq7rW5EDx0U0WQWrsaWUhvl3ogxAhVSqfTeKgnb9ob+B H1OWc0X+ca6AiRQIMrK876OwvNIrxXTOzbHaBR4Nm6Qo7Jb1a5Vac71qzfDkSaLXV0QBoeniYxBD uig2yLwuTDkwXbQiZW8mrwtX3mR8q7RbMr5V4THBgDJ0XVxFfpxM0Oa4DP00eamtrZb2eZ9OAFcG 1rhBtB7+Kg9tr9jrRgYfDAP2nD4ohztRT0lq+5fN54Ozu+yfU4nyWbs8p1KoFnIW+4SfF4Nt5Lzd sSOk9/4HczIIFtQsRTYxhuZvbCxxcADSiSx2L5KSPWkl6k0AjwAU29hmQdDkOgbV3ja64HAcodDt g3VMUHhmID3tJYOl9FSX2KHb1Et9NlwUdrP3n6a5wTl9RpQHU0eHE3mvPksxwZEwrSLx73I7t6iU zUmgGQDrJ9WilBjaKEAnINFFT9MT3TrsdSMJeS6iupYPBllfqFsxDliZz0duMX+I7OO+rBfUn0MD RjVOlhVI4FXpNbgi6dTF7m11A8bYgXFJgo+AaylDfQ2pdc7yc1tOQ2qVyjZdS5R8gG3QuD4EcyV7 20gQlPf/UhNuuSkTjIbyFtB6Nj4fJCflD1Q2HKnT5Tjm0IQRq/1cFcsksMrIJhKGUMmCy93Q4dUk hS6Mawh23JoPXqhcBEYy7D5JzgLBSMkJAoYBMfnH+0xhQGjDOC3eJnK9KiZRORcKA7jgClZS4MOo PPlnJSEwhaGrT1IUdkOwASwgcRrPP6HxTCbogJtoJuRv7ur1t9CIcdLS/QTYzQdHSoBqS341mpQg l1HnCNBYUMiBHr0stSVb6tCIMRcGlNTJ1GA0tb1oEnBWUpl8PiIU/0YBFKoFwMQnKSp5g402O+ug 2G6VluE8uutqEnM+j7FgzUMpsGKU1yZq3USVcHD48ZmAEyBXVUz6SS0JqKAozUDEnfNFRp48N9nr /r36xkSOvC3jKCL+ZXbSz2XEP/DPTtNX3dxOJ06bphBTAoum1O0AQo9g3M5Atp7TSVqv+XLgx5ho zIFDuw4fLsQWNxzg7YDYZmQSC40m1FgWoxcotXuiS8Jajg0ZiUxKElpJV2gF6vsMtOgezuf/qcPL fhz2eU/PNIUOMplraBtwovIGlwQmJXDk9VUcAJgDU0aTUYUi0fTBt9w5pDIqDiKtDXpfwy8OOHZ0 UXZqt+1NzaccmzJmyV1LrLhfseIF5yNCJ3LKfD6Smv294RAH0G7MJylEl1SaWe3i6JL0oEu2Yydm m14OCH0ZV9ciEtS27CfwPqnJgIYFxdXGmjrmGx2IQJxG9dgs46TwmENjRisHQKLCBoUBGRbhRLSf wInPR6wRnyTMKLLIVq4lWg6tGamvNqhcT5TbvjXKRIUYCdANxyjlwJsxSWAN0izDB+9+I+qmipRs 4yYxFiBpAfVaip2h/XH3zKE3o5ya+mL5jYPCgHMiuKPVGnz+X347Zosy/6jkhvaMwAtBgMslZ7ML idQt4qhQJs9SilHb3Gjk72nw3sn59ufEJp407RMHZpmlgGpUZd7cfJYWrYZzaNA41LAsSuWKmzg0 LEkRhctofD6IAx4qNtkRg2iyW1kKLRo7FQ/IqeIsTUfanlP3QZqs5pWlwKMR1U7iudRh2k+HqZxo aZ3FOoYy3XNLM+rsUImogFbWn5ByL3vbvzIhBaWoF0oB7c6hWWrccKGyyPjN4aSzTCkqm6Uo8EZ3 56RuVwF7OyrwRAIP3CT1O0sxGZIMvsEkjoOnun2tNrI7e1eIrbH5Xej1CfQ273V1mKq/bhBUJqFu GTZx0JbLsDVkOxsy4Tn0anx4JBIT44feyDv0akQeDxannUuAeDmdbeRpPBKAN23HxWaNW4tItaV5 a0t0eqxwbhJltLRBzMUYfDv0Jet8Wt/+tlGVksK8a91Bk3ROTkrqWME7sGtE5H2DSuYnvDaeinfo 14gT59ebWR0TVOBaa+75mbOj33Jg2JiFwcWBlH3w07tCop1ar7CvHntRXWSA2V8BaZrtSvlYszJw bMTpLfDS7D6otjROtCUx65b1khHI1KMlcQQL4WB3kmLLRqqc2tSc6aou/ghHhq8L01WvLYWejdas lMjq/BFZPdntiRwzYabtJBK9N4FyzhELpzxwVx6wuxrfoWujbMCKvL45eOO7Jja1ALXj89Es3Q3X 1CcfRF7eeQoJkiBpF/qSSmwkuUtz6d2glNAO8VAgtm7cbMG5PF+/NbgGMbHV2T6p57AEDQcpCho3 A0o8mXJPCpjI0+CnBFEl6yZl7O6DErldtnQnYWPD5/+157hwEAWs9KymEG1y7uITCGRTz1plmVJN H9i2TpyonsgF7o3JeAHgsvng1SUaNaL0iuMbQlzUizhZBJBoCOUJhbPqUl72un+TrWGfygYPK0s2 QYQ2+XwUe195deoaVxinzRt8ByaOIolPNBVV0JV+N/NdkIoNIUA5TZumvwuPUB3ChssOODHSOYG0 6YDPpE49LaMGkMOk/zgFJ/nrRpsui6u9fNCmO3+1JwFIUDoJjBz/YFDI33kzyLrTFIXfOBKnFAmI hBvNtdiKJMdQYIJWv+W7gZWj1GFYD6g+OHYJGO8xpN4LGmCmjBbEI04ODzTFY4fB1gQ/JLjmTGU9 Vx9sls4NxMDgJHeDz0euKhcIR6ATb7jyzFJY90a625qr05arRN+oo6rFVBeF1pP+0N+YFHMJI7Ae jMAiYo3qrSC8nVXTdYKf03Cg7pYh1OV7Li173QjhxVRuyQV8ORvnvGEp/C9oQfL5f0WWUmZf/OTb RAkdHSeBcHQdYcyEWpAKcX0hDGZ7AI68hl2KLR110a1UfLBoIE2Q6gaFSM6VBm7IwDRBB2QysiQy wsqVvdnrRuGA8ILlDkYMxAmuTH8tPh90LatPE8/ODM3NRnaXzVNY+kbfsoPQkQk5ad2U2ZB5DZun TCCr5imMwLnbRhrTB3XIzllRFtrHOJs6PNTROzwHyYZ1SZdD1u1bLmr+5MDXMVF/B5JjjMA56KKr Dbk099GefD4ICOafZxNuhHIN1XJo7QhMjtMFQK1Y16ontepOzuflvL0beDtCmmJRLpuKoxxsOZ2p OVNY6G+QgByu6oFX7UNc4dffgAghfkg0SxKTyHcwClyleDHlMRefjzadhwPK/SZf8E5SjPhObDaZ WXGZ14wGZQ/v7q7iGK/Q3ZHNtUKgig0+SeeqXq2TJghpsixTLORiUG5B93J7MjcUMwX2jtjYVmTa Plglbu4m6ew69HxwzV0BKeJ5cWmmBxYfGjxWWM9NEeHIsHBLo06ZQEt5USJXyhs4PCan404aQXDw YGANCDyxqlvOj0CFIVAsEPcQ9dHce65kVeJCh0fJ1ZasABynSlVx9iSM2nDg5fIP/EufhKeYyFP3 +A5MHs8VDv+5Qd9Wwr3b8FJcLYYBp5Xq3W+xyyO1W+CuYIPDKTpkknjtAiR4JpyikhNwb5wmXyrX uOFKtreNCIO0gei85Hr3S+5E+EXcgTK7no+QcPdUMrOxP4pMgc/jOUwa+gKCL7Hc5EgBsPusuwsZ bI+/Y6PHqTJkyz5cUPyJS5PhBdGHVR8YI6Ll/t1PYQDQQX1IBBQgEq6p9N1u6fucRYnV/dqAiQ+t Huej2KaeHPCC9VlLUfgNlZaxUtc+G6bsT822Wi2uXKNNcwjPgdsjZomeK41qUbM9mmQJ3b2Nnw2N SyREhFzkyjrwuVsBCLtQuGVvG5TiGnvfmalczpfVtM+twDPqHKaVz7+f3Wv/luJQU25whPNJCoPv itufxjnYcF2uEFQEqoa0hFZJu0spZFeKMSA5BQ42SeiXEClA4gCNUsivgN77CXOob/potFK5LQee j7pqgBRUfaBtz1Eq5BgZOs+q5yP80o2+haErEE9+epeh7yOaCSDnuqZLmS6GXMkwYi1upH7nKYKd 4Jain2HxwQ6ms7VgbpfIkDuL6hxzJDJnNHjhu4guzh/qZDlwfhQtHc0BZrwctOUgYKr9MtG5DKwf /8BVUkayEnbiUeXnJVrCZzJRnrk0K1hmysFrMYk4gEyuE8aKSXqJlVAa/n9LtgdTsuzznkoV4lKL oNITGcHwuWbJIS/dVsj1DJmTeRB+XiIlvimSbsggU+yXg+FyQOsj0qYtPP1/pocvuM18dhjsVHFs 4v9yfpZodgYB8GUYcml15+iMZnCBcs5dF996CZL44d4+0QLKz2kE4PuJLygtVduZpcbieAfTrPIX BdfVp0dI+NfpOWlFY8Gb/U0NWj+EpzHsKajlvk7PQzsZEgXC8Y9Vr9l5iY/4ELpl5yBqqiSdYDJd 9Btp+9LSTtMQSy/RkdbOkJNhTz7Y9pooYsAHkNHRGEyV6B965huK9QjBHfpGbPdLbMQ3hWMtQNx9 +WAB5PmMZb5O7fMSGGlq79qpMvFFpxtanpydl7hIa6ct2olYszun7bazJwQ0LjP8Hm1nvURF/DNA NaH41IcP3lTas6Gjx9jxBBQnLJZjKAqnyBXHI+UijOlLTMSPYEg4G0HgZwBQp9r0dJ6UX8Rcn5eQ yI4QXzsyNzrfZG7fWS/xEB9Ca+K8soG4JxmO1gKQDrugAMvYyy/RkH4anTuoMNvglRGIAqxKtwOQ e8piDaWxmYTgK7MVMEXMZSr7Egzp4MnqS7JZwsES/mTkZWDGPy+hkJ424M1ZPFWHFCq8Pj0vgZD2 40S5NltlFoo3VvzvtDW3cu30ovZLGMTpmbq5kkLq9BNSg2/YNu1mG3hjjUo4Z1PtLy3rM+lCvLrO g52v+j49i5qshVquGhQFnWOndbsFyuclBrJdMvxcprPG2VutM4HF9LyEQDrNz64BYdKMsca8IVCV lDatQovLar4EQJqeJrMeSfuOR9r3hIk5TTk6QxK6TV3syK8bMNGbhHYlr3I5iPYWq0W9kJ7U3RI5 49TcTMrauR0/L7GPzq3pi+ci3CHhocl5iXt0LMPOdA9jkYwtMJIEbky19mwIpN/S9Y2O5S6rYnnz jds2KqXCUWuRFXF+WnjTKNEAShAxcyb7wxQjqZH0EvTY+mRrLbXsg2YHl3qXHuLOn5eQ576hzY5c jYHxoaERlb2C6WlJTX43fOrZ8DUQvLWTZ63idJuXYuM9FcBqk4LUY5GBKKYMqwWd++xs+MZiI3bY hBgdrUMd0Nao0xZMD7rJMJnFAaRBFVlgnPl7nNMfTwfXlqiwVC6hkyjiLt9ZL0VGW6+JO8sKQz11 V26ZaTw1j+rKLVHE06X9M7oPXhZaaAhltj86ruLMfJYR4kigEgpeKxwbjYxeCowWEPJATqTaVD/7 seJmMpNiCJBFB8+ztQTTPrcmiL8WEEbxMrxdz98fBqkZfRSvCdE7gPNDrw7j/UUTtGVg1IsPztUa J+yZpNN0xIElMyQsQPEM3IfUfTS9WprJv/HZtLsoCT0q7y8OqsCutBKxw42AmihkXjP/HD4Yiaaz CYpCZmDvkFD46ZO3G841OjFQwi7X7u3YOGY2vsj0wQEQG2aX7AxAcgNETwFG4UnKBd7oyVdFQRJP Kzqdi6RtnkF3F5RtpAmdKx+Poub1E/kAIdSIDNMMvYfN5/JqhfyjpCV0ftqHf/Toa5ExKlRWMEO4 vZFsKfaZN/Y5W+GcRwt/CxbOCMYJNxrQ1wM6UrU9SyqUvUeBc2+yeCJyrc5LHAXSS0X8BvLRe+Qs 4f9ngqDg0OgsrQmKIufaADpuHht271qfcKgnl11Pq3pFMQqdqdpCqQkffAklqGmiSo8e7MiocTBv x5xAPjLDh+veYEkvG+RdRGSfuKP7YFcYfGuVdy20OaJj6M4QNhQ19NP0G/6NwqbNwby0u0TbJtxC 4WFnlYMU7X4xD+/hs88Q4VE22BIaxM4Oaf9QLbtLB5BwPoBAqEDW5J2qykZ4CjECUnm6Xze1E3au Nq8M4ht9zS6k/jNBgG99GwXlNUNRAM3ctEkGkVYirkx/1r6jZ87vU8zc4I29Zuc9GZCdIkkc/CKD pNZa9EkHwK9YK1uTg/txPg4r9Oh5I69ZbYLF6LSqDwIYndCkSFc8tfp5465ZQHNniAV/Kn2xc64p eg+iDRIiZh2xDlJsYUlaicf80lDXJH3fuGt2lbF0itVgg+fvE667mYsIlIzFWcxT4SHyBbaAlL93 e9f3c6h1WYdYKuZX2UiwHiX9Yeb9eSOuaRF132WqXp/b5KyIe9lHkTTOqhNOFGn2nxije9G+zGGI h62ogYsoCqW9/pOLD3YQ4Wg7EYRm6JxKtRLl0HC20so9w03VlRD21su+T5GaZFMS4xwMZHw2s0xS zr35eaOt2Tvu31WEjn8bM/sUvUfTuMwYLyZznJs0E5Lx5WzDakCj3op9FE7T8fL8ruT5c7CTCDag fVN7CoCuulKVzg3dgQFZyOk2f9TWeA+ns4lF9smAsfuFyTIHXZfgmpI/b4w1fdXkZSByRGl8iWtB ExQF1HSA6MuKP5My65qgTBUPVqELma2coDCiJkIdFUMf/Kg+x1gFRQprCAwZGbEMQKhoJETBSAMX z6l3DSaI+7Fza2rQQdRO1LDEHkYzOgqpDfN777L2LZJCZBExiqgr9fuTWfJMFXGkCl2aQflOlFIt XX3jqtkxxNu+KZlvTzJfCSWCwqK4aqsSyT8qMR/k1JVHVMveNTipK/PVSfcPDtY9XFBa+qqk/Xlj qt2T0iZI9KJ28o7pd9kbU83qc1SsrSaolak/JyQovoNXEt3D+Y2opqVIBaTNapAG52Cl1tcQwBEZ fZXgJpvIkIkGrXPealC2lw2ysikUkeSiVnJp8ZyoPauJ+7zx1Owyu1M0rJpY083p33hqWrmFxOJk JsVT9TI65a3qmjVdtNDEvxNk9V5tvYNXhKDTBrFA8a9g8IvEnxIjg3JKzFutcUgXiDeWmlU9rK3a ffBOxqBWKXhC7fPGUbMZ9ikSHw0H4XNSv3HUrKSIfLUaivjEnck7PcWBfMA4+kH0RlGzBE/VMiWw Yz/I9LkgJ859dr7UwK7DFAEhK916whUNF0OZkTeGmj6EPdXRWHPnYMj0khm+AYTHx/9VkmZnlSZK yY/qN3qa1ZME+cxek66uVlNZjZI+/a0MvbHTtM1FLV4SPl5X+BiyxGdnLSxnlOkRyOAkqo2q2YMw 04c2S1LRGzvNVoF8MiTsu13YF+6pTWi980t83shpmiGzDEMVCkcRrvu8bscnrEtDFaLImxjH9iwG 9qhrmmAUesPN91lUmKaVCFpnxQevnp2gg8JZnCKIHxeKZ1NkAwmsKatxhkDp+bxR027oDoSe/GX7 9ZedZ4I0Q2fFft6YaRaV/95mgLLUfPOON2KaHUTrXPfbLcPwhSwzQ1HT6kNleXL/xkuzsMEAVdsH P4jgl97ES6t7AKfJyj2Q9YA2QFpvPQWipZcN6osExgL354Nm6NxsTQLz50U/b7Q0+643d2VehHC5 gpJhcxSG1ZCMMz8acrC9M9ZpTanmhnwGuYjew2pFvGfDdIaOHLzdAGMVkfR6hYTWIq0WINMvq8yM BC03K/au0RSxALKLnPkgs9c5RwBFDpmi7v15I6VZkvWbviJvr/m58aMaNZFnlbMqjXqiq2Qm4rpH u7FIyBl6j6rPTbOZvc55hztDO0GknqsI3KEpvxFeTbgjxnxqaM3eNYqqdY2JvddcxQekySqB8J37 542P9t912C8l7XC038ssiqoBdAFHcPpRnZf3OFZ2h9kEKJq22XtUjTLB4toh9IyDTVCn9Mqk7srZ cWCT0zECRT5o13/B1LagkbX4zxsV7fa3cUS3OxiyY0xquH5BV/q8MdF0Ds31ew6x7XIDojcimuKo 8+aTEqmG66iO0N+pWff5nNPV6h9vPLSfqLoI2FF+gR3nvUCwYnN+nDh6yVID9YQKdjKQrx4PYV4/ bzS02+U6UeGW7vG29DiD5DaFz88jf95YaHbdep2aoojIblbztOONg2brDpBOisOKEHNpQ4PyQSqh VXd8eqOgWV6GFdTo4qzBJmhnLOREaeh0/rFuGokWGgkOJmebLCfSYYreNZigJQdwOvNxMDZMG+bt eFLjzxsBTV8137ue8SL+f/sWe+OfaQWVdNEdiBxzdsJnyUYexmOO63yjn+UHGlSKTunynNItga2/ KCUG8hParlTQQOkKkiU0v3TAor1rlJeRm1eZe3BQ4nqOtm5U/c5XjHr0fkjz1YgsKX5IvxHPdHYk JmPDFbJW9UZiqdsx1Hu7uOEb7+xWXoBvYRG2jKcIi0BoFbRhcAbVSl9iZG74mgjWSNi3M4jKGG+s M10EcjeYNDVqbCjyGjtxWNchBKrq5411ZrWPPxrRWLmiwXCGooAavM6ZuwOooTXhrVYy1TRDPRsb 9o1zpt+HVSHaWdrgtY9z926qSp49NiE/szmJ4C3Sl/3Sqtmn+7zxzSwl48pZ6w5WGmpdxny1z/l5 o5spEtl/nNGgUaGJYdPzHkxbvjHpzkSlB2ieaYedHCTZDoPqh01PVKNGKoCiWdk+eKwIX4kx5J5a IcguqCesFQtKSEThCejdKU7/xjSzYNqk+4cPlpChqMsvOHr9vBHNbP3cCZJ0GFKccWcoiqbBfgXu 3syMZr6sxUZtFGHLURhlzvpGM7MrgmVFJAw2eBWfjEIUVAjAg2QY9UIGCAqzUqnv6hmSEvp5o5lZ 0llYv7dqvgNdgcS2nHVNvmPQbh31Zw0hd27zxtJvHDPL6heZXt3arQAQy2AW6t8XMY0eMa+xuETN igeEdzEQIUcuCap/iMtZogZKHoB7lKhXMqt7+AZYVo9+Ej8kaLeySVZlrUapCPZvQOtMJKRCbad/ 3hhm987WFGHBwGzY7C85RSHgo9Ok2IB4Y24ru+KczuaZsUYaBuJ8o5fdLYD7Oy0f7BRagFtuak6B GTJPhjHFT2AVH8H0uEV8JDifN3KZNcuYZxSBOTP/wJdcxT22ifZnPv+/JK0gxDWKvGqGomganzrN YY7Vs+bbLDm+AUhpF+h7I5bp7XUCFXWCytMJGmBg6006pmtXimVVxHoD/c5c5tUJ6QRUvfHK9HNm cYLr8MHyjTaStMPP1/680cr0jtlnSKbYSHPuUf1GKrNwmkljVUdjUrnenER62tbmENuJcMUwnJ6a oZV88LoH1GfyZDB0DiKo87A0BMzXCZLonnA7QSxffN44ZQZLYBnf2oqbhHFK9cPFhVigXOfnjVJm 5eab1vPUIqyKCiGcohD40RAOGc+H3oVL6vx1gT9Y/kPaABoCFOp/Y5TpB1oqLObtgy2ic4j2ruQQ smont20U5oNiL0SAYf1w24mt6F3/hjtDSOWDoEN0Zyd59QTmnzc+mRUePKBmdoLb4Unq3+hkWkPI OFLJxi1PdGsyo2LvJkIjbIm/+cYm099pgjVIEjs9onwrJaotENZwbp0qjFrWEdRw2o5HwRh1hM8b m0yLQOn8kKDqcEHVWdR5oz7D+rxxyaxI/BsQkYuyLjLmjUqWL6yz9Wxqc2Vs0+fHlVRtl0E0Vtf9 G5PsZoTYXsRMc7CTuiIzpfsJFS/2lpVzRfxGYG3mj2nyxf6ywXXPGaJgiQ0GCy7AqvO6PxH1G5FM jzc/h1R/5dK9MxRG1Ctd8QZkrdNL1Oe4GM2z1unwvDcWmc0QszExWzhcSgtgu3RYOiuHYjOk5tNA DtpLaM1a1rroBv5GIlPWOtQsW9sHYyTARoLgqnOsfN44ZNYC2D+bjBKae3oN/41BZlcZK2fbuhxZ hXtyElKyBHYja9BV9kYgswU8FCp2Hy4+b7D0kcwV65w+SsoQFw7UOLpTNjMYHPyMKK1Pqi1uH2yC TqA4lXTs8Xmjj1l21X4miDZ2YrVygkLoNAwoKViiwhAtDiTd8CMrg7WvCYpDakKHOuwLNNgK2ueQ nx285gKvmbFB+WJMef4Q6jlcPdpinUKFb9QxKz4ZI6H4oAk6V1Jhu7XswseDi6x60nrd0utFeL7R xvQUAIw1GYDx/NP2LtDo/dZegWDVFgsjahaGaiLph8M9hBasWNkFgosejUAgIA7cF1Ec9MHUGUSE 5xtpzNIqLJ2pbhkHS1t3o7rhOXlP2vrGGdNXzX9gYNE9qEQoa4aigBrJKXaAARhXS1Lcw/UyldbD gHpYZaiFEOqirIxNIA63On2+TG1ScTzxdB/y7qEAP/qWlEFV3oriFt81uOmTjmeSNzioMrTO1ppS 86gZjwfRYvdDiKEQgtJW7ykdxtNocKy6u5XOdve6x85me4FWcrXqdIuq05K5zGXfwYuvkJQpANjQ JwyOwpQGIaeFTlH5kUexYzoEfTCl751Wsxy0huiHoDZZ4uNBw/42gYgoxo8D9IDRW6J4GqD7c90V VYbOBLUr0iA6KypDA4G5+C3v8TT+w1MITHAbNENwcB59o50EAbATYSHVABQdetVVq8HDafpH4DP+ 1mlt5HJq0BIaJ4JQsHfOfTwecDj2L4yaLZe2vdHao2ga5wAAZyZKCP3Xrwk0yHauSCrVSmc9wnwM Hr6VjnwafILQHehDTuAdQqmsIiWIN+MLfXHZWkbGuh0+I8g3JJCW9h04QeeLU9+dHaWJx4OLvv5m ZAOn4FOe7mE0DXGduUq14pmsG9hord1BwuNK7/eoPG2lof/roJrh3pkWb3Toy7Mhj2Y9bMFg/vuL zSNGEB8SlYbEFKfCTqYl31I4vbDR+LVbwfMBlWP+pvXU+W/MFTVHMQWRHulFWJjz07gsMYDzSkNK os4E4+kexdNTwCFgxW3wlOwEP70Zy46hNtuImahZqLMWkKUcfEYBix7F08pah4ycxjVyagP7k9vk HKt4PDip0/jN68GSmtUxsD2iIaJwhmjQwsUkGYtFhVRvtK4JH23mZD2Mp1l3zkMc1nE5rJXJ7yZb tFcgqtxD9BzY/BFAKLnaFVvv+rdWNDXxMFRVwQA2yonLFjrdeDyYoDF+1hAuvwZou01QHE/D8zLb 6QPAR/JwMRsgDcRkt1DtMROREySiZn6ImtAZgPRkltf1As0IB3Vj6xokCSJc7BxChwWfEdz1DKTh Ge+DCrAVYnHCd+KgjuLpZ5NpBaFV3z1c7FGJGpIdDzH8HKroRv7HGuCZUk3nsV1NlanH8TTvpyw7 +fzYya99vsDGrIAxhc4AvUIyeNWDunr9AXwsIs96VKLWHqtLlKl16x6IHU0JbUw8HtE5fq8yhPgt Xa5mfw+okXGQKCUtZkDQGBhWmhIBcSStZpoJ8xSKAmqev8DC3MFP6rN/TlpKHUuEwXSsR2hdsYRG 55sa4S7RKa1HFepajTJVfRAiBvZbBH7Uc9nh8SCpr172sPQNK9crZz3CUFPMOg9bOBBs3mbeOAp5 UoWUqWHmjT1CeyglQ3+WAzHbleVX1NxJVcMEsR1BUBWyNmSz37XuKQ2cXMVnRMEQq6+VKHMOVhdK xVRxz1/H49EK+q0LZVR1arlwjx4G1KBMJUkOo3TWmamydLaXIT0hw1BUfu1RgXpJwHrJi2hdL6KT BOxzMDeTQq0IPbmEwJPrbFCWB+9B/zx8SJS1slsvtap21aqgbLwEiBmp4fFoDc2fYygDQHTOfE/K RhRRA4ru2vpIWyWkO2gUNbcDPqgpgSkaUYV6Mh4qIDjb4DcZNlrO0qpqqVOCngXI8pVHChXxzGMn 612D4qJ1W+sdtIjQBCpWOst4PIiouy8iyhQgPKd6ryYoiqiBqJuLbTmsodWrMxVGNvlKLIlkahUj rE/rawNmZIMdQwlK6AmFCXOymFRmbijsNKiR7/zkZDSOGTEvUZypdgcjlZ1Teksddy88HrWjf7v1 +J3QwvMJijHUBAgnYyrMXZ25uZrJDxTib22Covp05sw0ultjwKuDeNM6M75shvL4rVUAIO4UgGDI KfhVj8AMnxG0oxvVBqDgwqt+6zwZ54asxFODNYLHoxbHH71WkkYvNXpE4TS6oifWMsj9zGJJs5HY ujcSYfxmWywKpxdvMJzLGnyCKlQrzrWTVFs8yWrhVb/5bhTLQeJqiBgofOAzog4HU1ymJxq8+Doa lxOII3j8fzmECG0vt9M6omia7fpM1UHVFlu5tcV1Jwj5tFZQFE1PFe6rUrO6bh9xnygJbbgqYGeC FjOjaUDH6CXWiYc2N7Sql41UT3CTLYqYnQEQoK3i2fkFGA9DcxbPR2voYsxlqYP1cyv4IwyoUV4E TtIIU7QJMMJUz3YMjcGG/YmGRhRQr9He6S5nds4pIbFTaA0n6aGewBFmA2cJU7bMCtQk2I+oQC3P 7zbpqsPBAurdVjHdxYnH/5djSISpi/kYIYKaHpbTfKoQONoiOt/GBBjBUyh+DEUI6poFhyHSnINf ZBuosyIE9bniu4x1V8eNjlRQ4lDqkhHaOUJ1D/aAVHbT4DSFJlMa9HHxeMTk+K0uquhxu/UjKlCD CweHbx3J6PvMS5hyGc8lPwBOUBRPT2Ffs2ANMvXIBMWcW+D82CoN0b6Sag4noYJ4PkKx9ugunaA8 4zOCWGiJFs14ut94ugGipSbXCT3xeMTjSL8pGTqbckPnBIXxNGpnaZq5EFo01SeIlqScoLmTYWLG 3+PptMQJ+uFxLMgxtJG4xUBp3krqIdVQQVWFYYwf1FtLKAyou+Txmly+z1lnAPOV6x46fXHXhxXq 6thFAatwUvc7RWFAXYli2Mb9neVKd9WRkp1CjZK/PIXCCjU7zHsQrMDhwTMsmpIgaz0RARYsBYYy 5AcmgVXTa/hbEjphhVrKS5B2scFoCuc4Ubd+loLHo8LH7yJCQbQR4skZmmGFGnfZgOov83oWbKS2 zC2gXnSlMRKSsvl3ZbwyxVWY6/aiAb9OI5HpAk5ZqYXE1samV2fqepFnNPKYIeCDakR9PIPO6d32 5C6D/CoeDyao/S4hooyxHG2Conga7IATDQHN/B86N7GdkRkNgZGuCnWizNCJhmZYoc5D6kvThztB sN0abNZXlCh4lFtlCFE/ZPq9/op6Kj4jylqliqe6x/a6x6CORdEEFTz+vxxDjD33bSTOKJ6G8hcS 7+yt6F28tijrEwJihiccM+QkSuejp+GDE+4S/Tsqu0Dn0m8yO6NKdkfMhjrvZbZSg2CGFWrXyN8+ cIZ2ghuzUX8rHg9maN2A2nkubd27fkYRNeqKJ17OFlE3Zn/SDu7bDKpgxulSVXGBmnf9YF7PwQvU Yyz034gxR2S65OK1cSGBf1v2tWCkPA0+I5ghiVRR+VSDnULjTBFnaKaMx/8nGsdZkK08Ul5RRA0Y OtS2dJWtNFp3ACzZR2bb4aTNGerkNUXUlBviYBNUaLs8lNVvKMBLHB7RGtlYtNGylANfE58RbDIp odCAU4OyeuhnkoZxIouKx4NGYv+tT5MIuW44PeP6NDwW6lDcM1tannIsScCj7HHSRLvIZoT3oF8H dlf2wRHC4LCXZagzOAqLkIgp64CU4ID3YIhwhhmF01VX/ZbBwvacbFaU5kgEmmPg8X/xfgnOTdCY zXeCIrzHSEhaaTfIDke/Kh9QMNRuA23XvGBnVJ9W0gpHbR98gnqZYJDLVXg3yt7Af4F4LxzZ6Nm4 onLRuwYriLLTravR0RmYEUG9z4bFB0LAEY//KyPLXhdCrdwmKGQjgsZRKBQl3+XhE9QFph7Ae0Dx S2dQqJdXWX1F4cIGh1SdfxkMXUaL8G4dOoPQA6/QzwBQ9tbvt941QgwxNijsSHNwiDmSfBbONh+P IFXrJ99AV60+daEZwT2w4E+4u2yLreRZfTfdRdTv53TE0IzC6ZEFY+BO4+AtIKgBl0VLs7IKBFKp VwWmNXyFvtSWtPI09apmFE2LU9/2zD4YYii1JU24k+bh8QgxdM8gFl8hqrFuRjZjNiJ0YlIWfAr0 X+eSQe7FZujsMj+EQo2PRaxQNVWm4V1EAEQhKj44QzCSQWSOgBsgXiwDUqV00ZPMj8+IQiHiPJow wi056KztNViqOyF6weMRIObOEE+sDN74VUFZUTSdmbNS05ybjCAB0hRWXsVzVpAQuIZWhPcYYnA0 ofPajYXOpAA3SlEY6BmA5E06IjzhKi0b5/z+IcW9wuo0u/RdPi8cbA0BKUmwZqsVj0ciH+n3FKJU yLgTFFanG2PoYpuM7BybIBrSyB9gZuNrrjCa7rzANEHpZ4Ig6DrIYgWPY1F6GkwXoAgrNlibtyzE rYLPiKrT3GSpZB9U9WgnouHZAoA/Hv8n6oxRE/GkHgmtUGwaIigz1Yv3mPnusSu8eH4sA52tGO9B qi+Bvxh4EjLdAJBtVBlRw4Q2y/wcFNAKdfa5Lx0RUUfDZwTVaaMAMZjmYFWPkdW2PMH0wOP/Uq/g kY4ibdreRVwhHfHct5A8LlY3I6dU+h69OomDbSGtoBA8LUnK9Qy2gs4MnQB9VqHy9llOi8f0SqrF fynxZqJ5xJytsDpNaHDfmqB9J2iAuSvEEFZQiPXov1gP9EhruQSFFcXSc8l4Y3kTsRi6vFGwVpHQ ghIUT+kVshFVeUU3xwZPNtAFrfQkhfdJw2xzi530A+X2LwpTdo9RCwefEdTNpjDBrGbXq+5x0txW m5o6feLxf1E4LvJ13mtsRbE0unYnxt9qHJ57ZFhpurVh8eM5GkC91wTF4h5MWPMaPniyMecAnAah YkeNm7TyTLm8gSJGpoG9GU4me9kADbPU2XgGa0QjOOUeO1E1Hv8XXAhvR4z6vnChFYKnEwFVHiuu Uh0Ns+d0DZ0C2LL2WBhMkwqexbbj4NfYHtDpFGwR7OhEA8EOvhGJ34DjW1EI/El+xt9kB6hZAnnc bS2yE8dPQfSQveDxf11jEgKhG6d3gFZIR0wU5s5WNZv5MjjapoEyUXnIQbSEYvB0Uh62ffCax1mV myBM4jrhIUJlbqAjGt2TUr1Yj2nvGukHS5GSkA8OCqahzM0NB1ksPB6c0o+Yl3I3JMzPPRazEXG9 02tIffp14eUtu8iQkAhcQmFxWgbBlRpLHPwUOj/8TNzuYEWBX00fToCQ+6TCMnCGbLMCpq2X/Rt6 GkU4H1TzKAMwHmyyvioeDxr142rfy9m0w9DqHtRROL0yxD2qKU+jsngV4ZZ7c+9E4yde9bEINYNk m6m+H9fyueHCwQZHm7MBcoNzCAceiaCZhhEWDA172YjTytsPaHcbDFJFoaEv2zp8/H2KUOL4ueuR G80Ln95ROA1j+7PyPeGoNA3kItrD9O+hEr6tE72j4vTQ/lrUZuBwy/dnd3UciwiGEEyT0grVGNgn 4K6/VQ+5TO8onKZk7Fk8ku7sV8QcpThR69Pg4xHL5bcsxL5KulfZfg+nVW1Di8b04Aax8wyGII3s dbPpDtM7Vvcg5HXu5YOfQ+dSBepsSw+udPmZF/CRB+AbsEf0m4wa+DuET9d0RRk02E0Gd2fuEQjk 7vdwGr/hpWvypIaG6ryQqh2zESECVy+TrF5WNPCGNkN0OtYM/T2ezlkV2PwwyU5ch9NnZPXqz/6j ZfDZGDgAzkJvy8NF1rD5rlHhrChZ7T54uNiSFIBXyng8kveovzOEuL707Cf1DtmICxiGXq04fTID P6nZ1TCNIZSwNUMh3ENVaXHt8g/XDlofA252rL2uwuYz0QyolU7LOKwDNO1dIwEUNTha8sERVbvp eqo54/Gg9noVYqzqj3/2BscOwdMADOU83MNlT0tUm+HQ5OFyvQJC8DQb0YDH+eAdoIItVjqvsgT9 eJpHTZaYUeeAVIxXzli937EKNckJgwU0DtapPyFnsSpLxeMRlOE3qWfBbs7rpRCr5UFjaLvVxLwT 1EftzgNawJxrgkKsR1dNUXiYefEw5L93SsGgEb1QM2JpEXoTACp+6VFi7Q2sg8+OitOJII+6pPS+ XOkdWd4mue8cUwuP/0tjiMVplIX2ldDZEdYD/BY0n80jCdGqTVAruzlRqjvXbkdYD7vHdr6Dr6Bz dAzA5ijNAM3TzE49TFtOdlZw8N0udO9610ilqqnomnwwTB5ecH6th7jj4nT9iadhCl3L5YzvEOsB D7tU5jKe1OrVeVLNG2Qn3ht+j4VYDzKiyQ23wal2525PSdX7E72sQe503uyL4aIdiP24glQswWe8 b7GRBHi1Euw9pfemLQOpdgOPRwLUf5ARC5XmH7+SsDqdCgHBKgGBK2jxT5PGqJxskQXRpHXHYA/2 6Wu7g2POCNGTke2ZLTiRiq5JKxdUItJ1u6F1FT7jb7IDTYaR7RpGNoRq02grfDzKWX2GcAAsyAA8 daEdRdNt0Lu2uIstdRLJ1mzsA6pwVroxyXYE9ZiEK+bRug8XOw2oSqdrdIUuHLQD4U2aDTU4Lz+h 0EMGnxHEioWFM/nZ9+tnP86VX6WEf/IbPB6FQteojWAmpH4P1472dO+lM3A4hsxZgYsBjdvUPXCA 4h/PMSQOBzS6UyjvQb+bVYTkKFdnCK7XlFkmHAbfLNFPIYFyPVCTo5KeI4YoxUSx0SA/YsaaFQ5h CWQ1vM5vIeX0fnIc/oFIe8ABH2yqEbtdn2kKJfOSAA2V9I2zpIohPiojY/7jprSdDMhTGFX36+Nn gydmGRury1pq4hAhUSGBXzQASsgQQPAy/tj2uhGkAQc2K202KLhBtkQECCBofD7IPB6QMIvEWMX9 5ma0Y35/7vw75+iZ6+I+bhmNvkSqMw4XNM8pBFI32UbvO9xa/gJqEZF+Rz1wgAsE4AcibKBiqIvv iubV3jYCV00hhKWghwCLe+dcBZCE+5IqtvgHgtDoTwIwENnAEvosReVqCPwBB2tYYaj2WXRNu3nr mXVX8MwpNkiUnHkfPvxk+ZXkevSowZZmApImpWIWfYge4lTZ9rrR5cZKyJBTkFvFYcFCgO5LT4nK 56OS9fo5uxESVqjM+SxFIXYiy5VtnP+Q7wpJMp5M0E80FBo2ip9MUdFaPiapjeGDzdK5dqG4vIzC eX4/nmEZalODtLYhGhMjAAoP8ed9/xThrKgRokH1IvCXs1BEcFhIIQak/B5M587q4AM/iymsXE+S X5rOaiRtjkUjdl5YtIHFVDVNYel6mOpQ8cG2HHyCIXFNcfMN/QyC0QqARANyj7mmdJM1hgH4lAiv xyA7E6/HQYtpAMguomLafP59murtoMnPfuMoy3eWwmj77OETzTfpVcHEzNxsW1NXZBOez0Z+1x8K QgGd32BY2qBZStBjPBe1Yc8LjlpsznzSbTg64STFb28n0+j2upFmJdnSi+KnHCynPSGrCL0nP+bz /+JzykkQEfq+fh0p1P9AH62MZAD9Quoo20Q9VTvJd13XNjqFNWwVjVKdPtiWg9MLhKe37Kc2KM5Y S4jCz2lWmD5ZwETdXn5IxL1XWU0y1RcyszJ+CFNUbnz+X71GiTpB8flnw4VR92r0JxXC6vw3L0B2 uGnZ6Q1onJ/ekQZIpabnuSyXD46amZRjFGcRzaLNduwowD1R8TLnK6onZ+QUS1RTKy7lO9hKqqvy GgdQkM8H++2pQbJbdHbQyBdCTAWdYHI31D+SFUhMQnewJVuWp7dAiNosRZXskd8DprM4wXJtzG/h NIkevNBpjWftdxCqX1VkK/a2QQ2JFQDcAj4YSHa1xVv1nOGTz/9TN44vBzGZfq3MQkdFQJ1Zp+Ik jVXrcFbVdQKGopUHAoGlIjhhpqObfXggfJMgMpb9AEs3rjXRImcyKaNjlpP0kc6BpyKO7ips2vBB kwStamLwziE4+XwkP3i1mLv+bcYTd5ai2PtEZrjXipWSkgtX48vMK3jOrqZmKYq9N4UuSqPDSWn9 qSXBwL6jnYHOGnho9FXcwNbCG+5Lf2jH8SV726Apop0GGW4bDCEC9TXuljkqnw9C76uaz1MJRax1 gWo5dlZE42hul6yeNLRjqtsVjuNtB3plrAXk0FpxFVkKUDefg59K+9w9c9JsCdXutNmfhRgPhL9g Xvwo7JVmb/s38tC5k1j+T8satOdcOzt58eiug89HHdrfwBsqHjU/rm+BuyJsPcGvkmolifnTKDGo IFabpI7A0yYpDLwX80ZFlhysjtoLY79RZdmFlvYFJRVUBX40dUnI4YdE9xsVrgbF8zlwJVWQwQRX W7ny+SAIWL/KKXRQXuM5lEIrGHRHzk9RvbTdTUv3HEo8n0huAJjctltIZaR/aR6USePgKykDw03Y CgC0aSS5UhFIA01oKjJbdiL/9hx6LK4pobRsQ9FSamtb07Yn2HSGJovlCloqSD+raN3iZA5MFpHH k2i1VEU6X8LiAfK7ltXedut3KcWIEU4P1CtsuMU3MD47M91zpMMdd9BCkD/kmqyC+aHU/W3/Clvb DL6bi0AjxChJTaCKKCD0WUxPo428c6gMPHzGHPosTgrp72wBpUnq0wGOpAyp8NTiAWVotMg27bn+ VRYo7bERTCitLvazUQ9aU57B5xoHLuH8NTSIL2Ov2OtGwRJpVlnOr7kZNuv89TFI5Ki9bD4fNWyv SIgKAv0XWpMDs0VcTACM0DDsPzDzank7dGTxxMakDKKENU2hLYyaAYXtJA5eNjlx9l7IYRFSNkTG FJCd9GHD5EKjyhUMqr1txB7GVluVzW0O1i5ZtWVjg+j5SAjjSshyls6Xb/0qOuXAb9H4DonxGIG0 29mxEOq0kOCcULigNEshGpuVtS3kw97zliqBQSqIbQhBgrWsgSNoeoIeFXRVPNOt/rrv0wS1grMK qTOvwcKlE7kKyXjuUj4fpCfD0xOe1ACQ1PJESyEguw3OkhQeJsU7zLhzMD1Z1CLuXtCNXRdpw5Sr at+1XJTNQmEZ205ArXMBUSe1Q9IJIAxx5q3ztpK9bSSUymAyy+Uje6J74owpNGTLs/H5f6UnDCnl aHhzuNB4ERWZs8Fz9aXUvE451C3AUupwr9ckhc6LYL2/EdRYQOnUYoYg8YlZByXUGv4XYGzBMLp2 ZwoEQuvFSc9qOB5qcHg1CpzsE4Bwyuejmsmvdjw7yOvyZHPovQjA1Pn9KO+EbiEp26SBDmikZiL+ Foi/NkkhMFvK+uKBpocHer7QeaiwjN0h/gAnR6wkOCE2VOEQ+ttKWvKiDs0XiywFgdqwQWf3gCUJ s5Ozvfl8xAT91cUogxYjz0oKlUNA2e9UJsF2q4O1XB7d1QpLwJJAD4aHUmC/iEY3o2n2uzX40b0m ayEqmSAxBAgXiK0Ne7/z1vkCIyVExE/5G122bK6osrkN+M1ANmVQea6JzT8Q9Znyz6mE874TsmnT FANKmLmZAezYxSbsxPtoLrAUt1jbsrUUQrRH1qFdfHjQkWvaDQe4f0KXoecTuezvYvyyCZ1Qqku2 Wo49GBl650W3BhC6jVYFs1PTi816y6Ae0G8gINgN+ifPFRfaMFKhWF7zxN1MT1DqljcsU13YPiv2 DnwYM4UlT/qWxMBO6UHZQgmz1kk6zcaJxUBgkvIBQOZO7Ta9KfaUYx9G+ZonbrmefMvR6nHLvCpl Ph9suXkDgWKBQM2PNXXoxAjGD5TArbbEX9cqcDJeZgUO6HSbpVhIhOFS1umd7+ldobPMbJTYiYlr gXh/FjdQzG0A3ssjTtqOOfRizEP6u2n5YMQ1KNnye5/7gM8Ha+laC8uraAsg5ZMUhd6N7tTJ6LNT MTMnKbfkJl/og/skhep8Vi6hmioHx0/kjRZsEcGYlCRuSu5i+JWUxx1Fjp45tGPEhXxugMEEZQxP UDpuBKYcZ2EMPh9E3n904uDNcn62GyyFdowoRMMfxaRmz1lRHGNSSr8Yk5vrBn6M19yCRnAavAB3 fjDI/g0W4M7pBeFJ7De6UhHBfN0/Vva3DSbJLJhT8sH4a+eUk1VXGY3PB6nuhZlgesnFJfvZJimK u1G2ON/CNa9Z9zGYyVwuWLyo/cFUN7Bk9EYckg4fHEaRII7RpY51Mq0+Kf7Etknt3Ty8LaLcy942 uOG2rIhy98EISM1AvrBf4/PRoXQL3hQjARCoz59wKQq88VHnYVs2Jw011igVZ6uDTegOobUU250T QtF55HDwXLcNVCeJCTwZT18UQjqheWFfAJcNfQTVYqrdXjdYTFtlXBnrdTfWA8guMSZoUAfPoTfj 46LLM0z36g0EQnNGqAVDsdjdqvP0Y6lRBZ1BJdz9bJZCd0ZoteAWFoCyj5vqwtiwpsbe99kPLGxT XIR5AbpJ2Ebe+u72tn+rm8xJ9joHg05CqsSK2JPPRwLYvxaoujQusT+HBo2YiZm7GS+DWuUV7zaG hwEZK90mKYq8V1FxSZzR9Vxw6WwAyrQyhwOcnukJjl5UCuuXkg9OzO72thFhi8zsLeTSduTSmbDS FF22rJcMEt0bUpKljP2brh1z/otJI0LKYRTaM13tStJxNUtxLS8jJOXQpZECxmBVbB88pASmaCVD UcCWV8glVFEHzZRgtufwLjW+Q5/Gqb5AZTTAwRrfq9p+G2nw+QiGe8MA86CB4vwtVMZWjRNUmzys XQmKzNd1Rsq2YymhTG1rKYy8twT5yvbBpmlACGpDw6LAcn7lQlExmDp9ByiktNywaImcQX5KEFOy JVDnMxgsG7UEMWmy3jLIde+xpC4AgoB1Sdo59mtEuLRadaZ/3a42MsajNrLcTC4Hho0AU1pVqfng xaWd4XvUJOCH5hXPeRxW306wT5tPcSkve92oUimWv+Qi9rhCqwv9vy+tDSef/yeXHUEl1AbyEy8F to34dWATP6eJ0ebpAAFEhg4QGNc5Noe+jTZLQELZcE/vcc4fNnM6UJBQRcAsoTk3wDHLmWhB0W9S t9eNOFyv2kcwyZGABCyT+XxU9v6FUdDbEjGtz1IUegOQMsUkJwpuNTcgrooqUV3KiWBBJLuBd6NU N7GI6vDB1lLD5U8eONgBJwyXrkaF1GQ/P8B3PB4Y6GXqbaNybtFWyz7YJJ2TkEjvkzAOPh9x2n8d ZGWN+pTgAvdG1Mohyy9QNz3TXH6+WV0OS+kklff4jrEmnB1FTfsnEGgQ6aeSBs6lfrJERpUJch0D Xy3jLvYNl5a9brDhKLfaaDCvQecS+jSSQDprls9HYWX7CZf404ynzRQaOMJY88QdhW0kSGaScsMa HMzcCzsDJ2A2fewcOzhqw8HBxQZvMw2o9G5tOJzfjec81X0nRKqp+eyVyt7sdf8KFZxkJtd5mckT uts8vfdafD6oLv2hjwAzBQj93IpA6OIIDWbxWDNVSCbdUzBNNLXTNJXaHd4V2jhCwBpELaJN5kM3 OTfYaBAmYXkJtrtQq+wn6C5ATVfiK27PclHrJwc+jom6OxVh3R1UqzwXepUW/dnTfD6IBa6fgSpJ KMDUZ5pCK0dEOuezk6UoJxcZnu4uWj4gk6MbimKBwMtRihSQyCY5h4PFlQ0SNmNM2e6er5Gzei10 CAVRuV5LAwoY8kOiDIUSCUQBaDDqW4Xp1peimIvPR+KHdzGp2S2dphtZhnaOuDZmztsSXrNUYxVO rUyx33byaYoAJ4v2TkVoAQ5eOtnQHSk0SC9Ih3oSLAfdIHzTxvKARG0UMQWGjuJn0Zf4DlaFQ5Zl SrV6PrjkbuFbXCeyXy75JIeejrjl55ItMfLd1m6+6/wc8N25mLr+UBQxbdUDig/eHligI0AYBrAc uNcu7U7AtOD+W9u+NEpV4UJTx8rzu/aq9gA2oCqz0Cvn8TtSWfwDEXHgriZiKkmcenq7gbEjXIqp TVKcjrvyjb+FjqOP83aeV46dHTsLcJXlUutpGAklwVu0k8dU0TzhYkJJBrkc4o5HQ6pne9uIpoMj aZR8B6sKnOhDeqGz6/kICJd+czkKEjzA08DbER6z84Qsy3i4wFVkbw+Ubrnv2tenOMfmjoQmn/st +eBdFLjNbYmygiuyFhsJjS6fqKPspyqAkrg+JAq+k2hewwftOMAOeCDXBkB8aO84r+uTNhfhgk8q Fxo84v4axlbu+KdSfJb2vuK+ZXlcGTg8Xmp3UTBQbjAAeagTKE3q+COxS1nsiiym8/6umh8g3LK3 DQhNhAkUlMdtUCyAApOdNKny+ffje10Jbew3UC0a4A0+SVHwzTsOeZD2W5dSALWAyixW99Y1oKUU EytlCkZfIw7e2u1AKjUqsZ9jYLFQg2YB8PBoMkHa9DJ1KNqWA59HFT+g7LuLD5oliOYIEXkCcT4f wZd+iydwi/2OcaURc2j1uFSt5B1HVfY9DJlDeyWrw9EdXtMUQU4qFW8gNOmDHUvntq9nWQtychYr ABvooeBrIc/70lXuV5YsB2aP+A+lxNbOPjh70Jhm5+9tPh+BKi8DVfkuOU0eMH1e46Wc/x9cVSZZ XUrkyMrTWhL+G/qI0HbTJL1GS/maHgwFTeO6Flfok6SRyPlC9DrpeoiCG/m2DDwNlcM1hY94maDz pmlITqpNHwx1WgaxYfi6ePplejIkI5TC4apgKsNrtfF/OT9LMDsCwreaDbW0ezWCzgAZRqilRUg9 l1AwO+LgZYlu5R/Rrb6ATyM+sgOjuyYLdQNQzSapEsbR6QcH/zo96Jxweop07crVtTu/zKApSC2o 475OD5rm2aaHhw5qfpVoU3zka3iUM6uiP9C3oXuM0Df2VUlfKtRcw+y8BkfZYAHIXJIPN4Q8tz6d vqheW0GBI3GHRj6sn1447iSy+zU0ymgLMcDuxMBzsM0F9XUzc2qf17gIU7vv2uG/ik2FV+DkvAZF WDqDJMrWDGMKeViv3abptds+rdr2GhJlqTBAyU785XxlNSt0ffuA4Lr0s7dqvDMzA4HjdbmTI4Dp a0CU0b1dmhVurA5EiBCQCTI6xJeunj+v8VB+QFy2H8Hkm5SYoDtyMDvAe6NA4eISHjxClnWmW/4f bsMbLB3weNjOWT7cwsigV/FUYWSfVUPxDZjostOd5eogRi5z2ddQCOeO/DHUzeRw6VyspX5hUf95 DYTwtIrNtnYABCELU7PzGgVlxBWQH+vZLvg1k6sh8vJVQI1ShdmDBrMzTViCEuwcHN4G7Z8tWWj0 a6fE6RswYh3IzEyqEC8uISs+ryEQfkgjmP6XifM4obyQkliqn9cAKOebv051ImHn3XrzxfMa/+As J22yW8pqzsiSzc7NJWtHaga5fY1+OD28swrJSRw82yhr1zW1tZCgCUAyQEBooCFubhWlrjI3iLaW 9pREE/oVTYCjosDiAL9+XgOfLD1gzQ4XHeHtjcItmJ3XsAenMmzf9rr+GFJgRbNgr+ymlyN1K2C/ Bj08ldl8rGv44NU0aOW0TgQpQsIuFmAjyhueMtRbdKXIpld9v7PmlExt6T5oes6S3NIUO1HT5zXi wRtaiWiKRgJhBbqacHZeq4246MCPuFB2MG0cDpHHbAaHoIK/1MeCYzkR8Z+m8dvqs7Xg5pgTO0XY WRNFQVR2KtWnISqRy4WyNeqzBbPD0u25Qmr2QfVYOBOLsVUKnn6fnW5q0Dp4kP9DGMVm57XIyPWK MsdwHMQJap09Msl/soJHd0GSKN7hVZ5FDOFgO2tA+QWKEpidBQ3wIdfds9IH5H+ygLVCsNG+6LXA iF9g8Tyuz6Bj+fw1yduwJvRaXsz5ErbtWEaeCtiPRYNRsIzkDKx2Y0SMaT1+BKF2axVY53mhI4qW we1BIKiDed2DGS1G4PqRA6IEu6lyj71F7ujZUnvdFH7SPP6dyoa9Rcr/hD6nDQb0r4VNUcgZgjoa bS4DrmmCmFagoWYTFMXLCRoSQPIpfd/V5FjBrvWgR7gNI9ZEE2RYo5Z8uDdXR1hC7/iO6BlSi0SK ZiYv7Bx5I5Zdzc87jQ2LXcpsW3ot2/VacMVSWu57sl0+HoXM6ef4AfAG2BWfoShmxiKfmcbZJNU0 X0KACDUvvCLmMAhNMENbdVdlFhx8iy380V3kddkg3U40FkCPkOBQWc8yCmXuUdRMaf+TS+zsgybo xJqzSK8FvKP3sDlTi1wTpH4QLImfPRbFzVDVxVVpVMiuplknqG84qI9iZNbMD05onniQNJg+2BIa 7aQsRTnEyTfWCX54u7PuA1hFBmv43l9JL/u+yTrXzmgylG1ugAWVjsWA+ARW6HJEp9Acv2d0Ybtl +wy9x87CB881TE50brk7CRu6fYbWviis9+A5S87mJCOcqP6oRp3D9ISoA8Yw9JhbigvPQY5oBnFL oe5Yk1uqyhrhDY87bHR6N49uiIqTkMAGgnc01A/fmWvYorn9TBBe6qTFycPnd+YaE9NzTjf+SwSE tGtrvSQDhGMIHWVL298DaPmHnyVSeBpx8OTrLNCMPcGyfQGKj/FApQseOpTz8VWhNc87bw0bmWri g8AIDYIWwQJ6m1V1/bzT1pAq5PpzCmXAR7vK0Zyh9xAapJRObIOXfcjPUGu6mUOYDIiszhrF0GxX FDh4+GAzdN795HQyVoFaWBlEzq5JZVFsG+ZTyt1F7YuC6Eaf1DZ69cGAIElYg3Os5P15Z6xluRDZ GhLK9uQYgNDYDEVhNHgaJ5jomgxc+l04kFYlGokZQknL1lAUR3cxslHes+HWfvq5x7acv2FdR/MV SPZPpAXntyiPAxa4qPyQ9ymSh+MajBg5aJvtEzVKv+CkfJ93ulqWpZ5NkZghZ5sNyrByit5j6bN0 2bmvXv9RL1kFVuAkVf8ZqENoEUXBNCwOWfnJPthBdJLcBRCJ0KAnzyZSBjr3dOMcX7a8rO2jjsZ7 MO28x7FZduTg5VUjhdQO7Zoomt4p/x5E3Ob5Vg+jcBol+DmuRxh1CTVBJffruTvdDfSdp8YTH4Ei ZTdt8JP6nEmlJpmonb01dhUQFIk0QKGrrosqnvauwQSR4jAUDY0bDVUAQa2XgVZ0FFDnW+dgwQiV 1TL9GHonqeGylzrEshI97ZVNC3q6/e6J5pcVyd45aowXWXdOahymp3EIxjVwN8ZRQ1eMkGNAICvK 1C1d428oNvEzgoOax9BINPAb4hQn8epZikALKH/eGWoWKjwHdSMp9RYR3wlqmNa2aB5vrdUsHXrh rLLjrNJ1bX7np2UZkCBR3dMH7/Sc0+KcaJMBNXKXxHDxTAceGGRxzFsKInr/nZ9mAR+QQ7LnKW7P A8+fzZ8EkP7POz3tj6usWCERFsA+Q1FADQL0iaPcGGMqXkQxCN5nXgxCN1wz9B5QZ9ojoMIq2+b8 cEE3Kok060TKgcg60aoKJbJBFSXmrNYxHPayUThEbNVKd7AexmiDyJ9zmLbPOzONLZDfeBFtoRPH +jH9TkxjyQPtwmGO8ScKaz5DtVbHo7NLzFPonZdmyR0drYsPPkNwohyFGponFzvn9WBLFQIvHfae 51y6NBmJi7zT0vAh3F4zU9aPg8HRsUgVDmU+/s+8HtMxaJyUPW99J6Uh220qQrsgxLUGQyDjPnNY RQqp3zlpdpESqr99uNsMQfWSkg8UxBaLZjBSg5Wi4KUXgrbsZf/WCIO5nw/aZpCc4ydCrePzTknD bXsPIoI8cNfnfWcoLEmvTd+iZHWzbW1VqC16VREHUbFtFtWkpQVRNFEcnpp0AVKYAeNJTeSTRX2i kxWdMHPRkEgFe3QLPu90NIbt3F5ZeMZsIRcMliZNGECcWp93NhpTdC9K815HEEZZJU1QFFHTR21U F+2HN8PX1aCXc2Pq9Jr9OxctX9zZUGA9brOZpQ+kr2xpELHF0kdDYs/MKperxJpRg+LLBqVFQvQZ 3dqgGeqwHuPnrbQ/71Q0fNfs5xD+3UnAfrlLKIqoIUYGfznThFyPXlbreVpXY8BWXEvoPaIGzhNL iE45Nlg0lAqmoDNvRVcYCpHEyCw4zZ0jiJByy8rK0LsGE7TkAyrLQtyX5ts8ELeTkH5ipM87D+2P NXQdUyv95jRFUXUaV+Ck5ZvIsS4zSvsQP4ca7yJO0XtEfe4ZMhmrNhsHj6hxexl4sQ1ooyTDFANv dmI4ajxa+awNvWsQMM4h+ln1wXBUqcsnAFikzzsJja318lv7QOZCt2RNUBRREwVba/KDuq17DKEp Y+UzFPW1y94j6mwmRk1+l+3xu0TxI1fZRMH6uwh2Dr7W+akzRTJuwDjp/f1OP7ubrNGpUoNdZVDK YBBYav28s89Y4fNwqJJhURkLNd9m7/QzrCGUhyqpkwwZZRlCDeK1lq2hMZv1f97ZZ7cG2zpvfQ6+ zRBPL5z69EzdRE9DAAo32NkrMFm58RCW3uedfMa+vGJqzhQHw78WGLwyHhr5884943XbfiJGss/R trIJCkEdExHjrjZBq5glFkAd9dbPhkNe3pln2eSgy2jU7R/tAqmRvLNuSYTwycARHHGZbdrzIDXb ZDeRBVP0rn+doLzvYLWP3asCxn5CtnfemdUFfgNG/P/2TfZOO2PBZdPmslqbg4UvXmX49e0qK1eN 7p11xgYW1kVLlFtt6cqtnrQMAsfwwwBKjYw65vWEUGPiaXjpSMWhd42yMh4/uBNssLQV0htC+nS+ YnBO3yI+Q0PcB/Wigt4JZ5igzY2VrzKWQxPPD5uvfhhqgpqg94gaW4zHT1rLB5ugk85swskwQZAf FAw9oaBAQwgER34KUQ/jnW3GPpCoi0zKOgg25AhlEH43K6gQgv68s83wI/7RR0StvNZ0l1AUUG9U PqTqihk6Z4bPUBY6mDM03BvjnWqG34cHdBcwmIPPUIKACGXMIKt2VonOqYwjARWPy6aGqDff9K8V 6kqGJwcrDJ0IVxD8s5U/7ywzRtO/1xj5U7Xd6XmPpnE14Aia3eUdLvy+z8c6ZA/vQr9zzNgEZYFa qv31R7U/nwkvk0sZoNDdps5oMrKWVG/cGaNTkP6dYYarkmdPZ3tEg64xFAYE7j3B+uedYJYfXCLK pV9ZytZ92/TvBLNsfpeT1usSEJ/bMR5ru4FRn04we+eX8YqonJrdfXDsJrRY0hT07vxRaj2fyAUA gYakNf/oGIIcz5f9W+2s77Z80CmNihAlDOqafMd/ARkSZwiNshtOv7PLEAptdFhXLtZrne6NfrKb 6yk7AXPSNRYWqM0ylQsEMCEcCaYQsnDlECldgWqmn2PH/DfoT5T0qF8Qtvd555ahjtVl0KPCB+pl kh44qZoZJPZ1osV3bhmjxfxzTiOOaVIO5xSF4fRIV/FC4rPNMXjkjRAKM5Pzp9+ZZdlVHVqhkRoH O4XOroKLF52bUQNJFH5Ak71iESGcvr7EyF/5GdE5bfiy7hNFhCsW5C6Ee4xz0XzeeWXsI82fWAhE HJ6FNkNRPA2UwNxlulbBzL7NcimONGNrVDMUVahnvtYzfzrQgFZWAXJVwgFNZrqmjpO8DqQ3mc47 togIpnrnlOHnLEo1avHBKrArm2T4+dqfd0oZ3rGWnxnC2Qi/VQcqhuE0CiWjZXMPmdBNMo1+ygGx ydGpxUCkYhhO6yCC07wN3o+uKU1RGgAjAT2VMwQszokq6ZnQfBENSvS/88lwJpCcOCaRDWMWdwU9 QefiQQSw1uedTsZWWf3ZZUJUNa9Rv3PJeEcD9AH2cibNFXrLsBCBegMSTSyntfEylOd/p5LdpBUO lz54t/Xc7+ffp6ATbv5z32CXsdgCIazveDDkvJI+70yyGw3VXZMPhhpapVHguZ64/PNOJMv56s0K Js3LQZxyTlAYT1MZrBWjlCfJqdOauLj5JUp3XdTNdxYZ43LJzEpcZlxxmQIw4SqVhY9zp50lixpt ZgltEI7UxyNcjET0884iY8dBUlfyw0rTRRxAdKCRBWToP+8cMhY+fuMhtG7xZj5D7wG1VEWnQYQg MSeFQlRFKzum3GS5Oe3nnUAGPDBn6MSnw4dbGhoUERjSuZgLJRDI8EHnIiOG449pqsXkIbwTyNhx EF6ago4cDBAMUADLi+dS/rzzx7KoVU+nrLHQnRzU+U4fy9IAhmSDGzqKcI+kdQr/gaR1Jzd0fGeP ZWOPYUKqD44bKhAFbmT/ov2RsyAN0EOowAFu5GRKWhf9v9/JY1mEHeYadzAqwvk8uhac07J93rlj bAH8Zhy0Q9q3D/TOHMsmnVrVjO4XYrVYb6neKZsAKPMmeyeOWUbIWLr54KWzhRYxK8HnzCmJZUWs JdgdE/nVnaqZ4afKz/jrBF3POS97oHVPckg9QffnnTaWH+siXWRst6XqZI131hjrSfBOIdmVE0SK COtCwLBYMISA0SYojqixLgDo8MEmKNPzUoCGkvdJbvPlLhTiPvrdYp3qhO+UsSwhFqTze/mgCWrg uinRKnw8uMda+QmoaZBe991iUUANfi0si2yL1X6bQFOuBbTdpSEXt1gYUKu2OIk84+CHUDoZ2spS Ts8nqIL+J5D5OGoQEFXqgOkMIrrznS3GrIqAj0xBIg4OZ0gCfCA2/byTxYiruo1EVs6U1V/SRhhP b1DaUjY+9OrJzeZGZxENL7tgT6sZitHTDIIWvRw4+AwBZrOz1IknmGNbDEUcQtC2qHTja6qcJb1r wIcStX5KsmleyaadyjJj4prxeBAsXlZLaYoL9legQU1RGFDDAHyxaCWJNJdtbuRbGEQ4XWJLVKAW wFzKORocIlzBEqNiJaJOUIAIrMLVR2uunB9hFDuoo4B6kd4L6JEPWkX9fATbOOfUG3g8aNgXX0US U4Nv9PBzqEcBdZfqhk3G2BdE3RKxXVJouIuovwfUiDS4zagco0EzBEliZJWb9I0T9+4kam+h9j6t dG88zV44PiMCmZONqWBo3GDoXNisDqBiOCoeDyDCf6CGKs7ofndZj+JprJNzDrmERZ71ThAN3VU7 g/ajJijCfEzWXcFb8cEnCN4oWxRo+L5CXJHMVhQR0bvfKIYpJZtUsOhRfbqq/MpypAZO0EmvUzNl hpNw9CieTtXj6c0E92yv5xjqcX2aZXt3S5kEK6mAn7bznyeuZ95kPapPQ7gBm2tkH2yPoXR7QiFr tSI7YC8ahzZSMrRan5QMsTY/JAKekSPeiY1BT0niZtBWrzwcEKEXPB+QD9cv5oP6/m2WO0ch/RAC TaMZXAj+e87NhHLwV7YEjTI3iKh7FFFPSzaksjcu6OOchyd5qYm7DI3pzRr+yTmASF9onrT2uBNT uqJHEXWliNVs7JRxsDYQ7G/kBVYmHo/4mb8N+wqjKJqUaYYiCiIAL9jHjj270vFQhXRxPYiDKyvr YURNMketVGnk4AHj+c0TFycUrNDXYIVxJfg24GKD0PQVrdh616iVSIaUgA0djQc66A1ACgn27OfA x+PRZdZ/4iGYbLR5WXY9jKgnPAJbMSJZVnrGgHEW12IYjSl+59+JlhAT+snKBwcvwQJYfu4gatbA BXZTvWrQxhCMHkRufg4hi8BnBHl9Ff+QKQcHVWAbUH/qk+GgjiLqsX77ZFyOuftd36MaNeDhZz+a hywqx14aKpirzHOIRt2aoDCiJmwxSclSjDQrDUG0gD41J8XvUCKmxFWhRVkvJB49HpfDXjbYY0Vs l5J9UNa6cqb2JmZo4vEIevY7QyDuYkn7DL2H1MjrCXtVtENfIrnLAnrGwjSjoYL4g6dQFFKjVQg0 TC8+eJ9jpZMUL5ntLbilUUtvAMXCg446VGLbsWCIz4iuMkLORBXnIEwMumRdhLI88XiQ1rdfom+V q+YNhiIINbkcgKNy4Yi2KdPG0Wj7XWi6W0zVq0eAj84mdC06hIoMubnHUOzYRRXqAY5do0V6YZ8e aNR1T2mwqSs+IwqGGDpNMe7mZdzhU7qgUm3j8WgFrZ9oMUOTqZaLoe5hQA0EbKJpMotntJRS8Yx6 WSyeTX5BRkNRhRpzDMSZ8abGXUILBqlpsP7aAUBLtJzt9CAAB5v+ItZsJQYRHxLlreS5ZJVhs2Em 8jghxFQNfqSGx6M15HkrQwTILbd0WXcjiqhhKoWDwg7qurq3OdZ0mViwdi3nGFGJerKbCGNGHzyz r/lMUZJ5HNT1hURHyetbiNMDVMytdbLeNSovspu4FTBuDxhnWpD1UfEs4/GAEj3qH9EQsHnV260j iqgRdKPJKtoGuFOuBDOqFWDhZ5ktYBxhhXomse26D3ZQg899NhOJChAwzpsqJ7RUIlyL+brlZPSL GSErkfbfg2YFGtTkGBCgFVFhLzz+L3QnC21nl7d+mxwjBFHTIr2579Aiul2EqWXsMjyWDZo3ogp1 ph9aX7zx2Z0/hxpSjrOc0PkRYeqkB0AUsUSLOQckGCRhv+rPsh/4jADdKX1K5WQNwsKiZAz0tYnM O/+Hx6PakF9kKr+CMjo9Fhoh4gMY6lzN/BQWxOl2ErcTW1NpRoweUThtkJg8bCg2QQ2HzLlRq6gu C3Aicu7YZ0W4BatYh8ScS2LgMyJEg4Q8FCz27LWhVVVX/0J4CY//qz5NyThcDeSta4KiaLpTz7tZ 43kaV3MKEnOlqkEC0wqKounJ0+QtWFzn315Z5vEwbG7sRk9KiwGSSYc47wLRFn1EBWodQlu91k2j 5G3ls5M4UIsrl4znozV0N5n4Urjn891lUUCNvsKktJ+OoVST52TCNNDuu1WzZBpRQL2UsOZWffC8 FffgzMRVnUNpT9OFpxw8lHbpcm8larLrR1SiniwwDmnDcNBNBnqN1JdP0IDH/5djSHypcc/pEEMN yayxXe98CJBPpsLurlANcIstoghDXa2Gz3CxPuFiOwsZXQ8hhDNIHYWEGHSAKvUj83XSYzEKnxGx x0msV31x3vpiT8BYcZftPvB4EA3dTiJXy8Y/Ly9Rj6hE3UpmpqrC9ABGzPlSI7mI4ASRXBMUxdNT wODF47pKgCCz1QqdvSrH4fMDV/7YsInFYQItytl+rAbPn8dnBLEQlQfGVO1s3ibHucKzcs4TeuLx iMlxa2fsiKBSgZDEZigMqCe7HF7ETywuc4ZybZ60LkqUc4bCgFqMVohp2uBXfUOvNdERFkIcy84h IsbpEIJ+nJ3UW2sojKibVLslKUxNpG45R6XP7Rf6VHg+yOvb+L3LEDmU4RH1iDEfULGgGQppmyjI Gm2zmFY1krJtfKARl6ilEKMKUX3a0ecMgosH9XAhIoxOh5gKILugbtkpY9EkvtT0slGzleTf2aYP zlTIK8s+uBQ8HlU+fjtBaCQ1gjw5QzMsUVda5xZlZYjCumktUzNOBzXaOcrKZqyKpy4rK7AcPKBG XYnxPbwqT5afJMGYBUbMyF0v9owGHjOEfLDkMWB4ZIMF1NlMUFtqDY9HS8gPapYdkDrUNn2bzSii xhE3CRHiDAHPqxkC72JZ3pog6s2sbEY16iFadBH0ozzOS3tDRnaJuDkWeLpUxgP/BUZ63/GQo1kg x2f8VThQCM9+EZ4DuopSQkmt4PEoHvpNysQZTZ7Yz1DnAxDhSu9CEuynEaKhwuSkDhhxmEbVjGmJ mqDSfXA60NlICUpM7ANtMNVYo4bASOdvQGsho7ZSg2CGNeqpc4hhEQfO0D5p96xmIlDxeCRj8atB QAlsUNpshqKQmlpDldx/Cn3UtS4dqDphKj86VXGFmpd91WVfn9oQuAmw8ZTlOWaMpY/NThmTvX3r r/S9wWdEAE9rAHUf7BQ6aR8VG9ARwuOR+OQfIOFzFbIqaRMUhdTkuqydxVOAjmNzCGwxVXP4dZBy d87pGYrkkV5fDF41nz2WUNo6dzEv+wUgJpXMJ53Oz1TKP8tyDsBc8BmRSJ7g07ShUFKt6iIWLTPR 8xF4POgkPhoNRKkVes54vDjjCnWCuocbwJ3f1JOOJascZGWrDbNcnBHmo29tsnoHLy/iekpDl/1J WevmJhuIwzrCLLQHPBwipGFGATV7+7NJpJuDZugcJnUpVx8Dj/+resZwCA0V2HD7DEWgD3wzqFB2 b3Ksbk0OqR6SYH8yoGwzFJWolbfWLI3O/Gh0nouA4dGSjeBuaNxikxWmjPtLESGXUy561+icNhS1 YENEUjJ3WFv1xRNCNDz+L52P4qUh2A7YBIWkREh6UQyeE1RLvTa5LVuOv+mxplMolMurLMAyGNTw NDkybMxYgAWershdiG7HUN9FxHZL+FvvGsGGdMerQiQqKycIAH+W8Nfm48EEpd9YqKH51C9VYUaY D/LrV7cDB1gTF1ucLul1TqHkdLIZBdS66avuMw4OPJvwLRu86SHIfoIgOlNsauCe1YMI0SvUFKya UTzdpKFclXm4ZqFI3CwO1nNi4/GgXT9+gWeka67lWf0MKYkQ62zUaMY9NsqltaKYYBNU2jbs4gxF PlQ0k4ZFfzQsTtiIlgfV187FCL0h2lVmREHkipItpYueBCh8RqTQwPhSuuUcDPDRkkxfa8kFjwfB Yv8tDYHK0ehWzAlaUTQNeeNJdqCcqGu3e+z8QOsmrc3lylcE+DCWS9YKys8Kgs4ZDAjJ2aSei3zO YH1X6dX4oPAlw73C8vT/Z+zKkmOJjeP/nIWhwL7c/2JGZlahh1YXn2yH8Kxgc5oYLLXkkqQPIzbQ ng8ixrCdZ84qHg8IiXN/n0H0q7iImBWWp3tlcdHr98Loicnh8KHFapUmKAymVZ6uafng1xiUCpt6 9e2ksINSDlCEaWowtHnrQvR0wmdEZQ/D4G8fVPY4ebAUKk/kXvH4P4FnP6K01n5DxRUKTVPjQwrK BHy4Nsy5F67g64RHriYoBHyILiULU7B88YVSrQqg4CTVzrN6IEuZCX5FCpbgwrcvIRFA04bPCMrT EnAfdDDlYGfQCbA8lh54PCp7fIeK0BOrSCVsgqJYWmypvE0weKancFaSh4rwibQJCgmJpLSCOOaD r6DSUeHIPIPQiJYLxRom+fLD9rJp5hF0tmJCojgK/Q4G9hizKKOvWEFRLP2cQbkMgY0GfSc9Flqh 5jTqHmml4p3EfWH4bd5YqLjZ+4o5ieoCjemD8xSoxL0zm9GJOEbG0yTz0EQAF5Nusj3sXSM1dwGq 2K3nYDyFKhn4s2P7xOP/KlBjf7LuMvc9qKNwOoEusKh9ieri6hcR04u1hnA8UI0JExRqfJi8qVBl K/lBXU7IOc7+IIT6xC/nm6OxGbrUP4hioF/nCg3YfHrZABLDw/VEVsUHi6cn8hrunT7weIT4+C5Q s6cNsILNUIyhpoDObtYnIweD+wxcROuTVejEaJ+F4bSiRSUc9Svh6HB7SFl4hvNdtFGVkjUId54v AcwyKwzxFMRnRBIWUkGRQLcc+BjVnD+UtR4kMHg8mKC0v1MyZJwtXXL9iuJp5NTnqB5WGJq1OZOD ngTZjupsmnArBFEL6lHKHbz+CkOmMQTDx5FUmdVP5OGN/I9UL+JjDr1rFA2pdFbuYD2OJjAYCkN8 xfdNhurew5aCD2wrV41phRjqBTXBnKqjzPeV+Bg3Z22ot2sJ/V2gzom0Mg6ekU143rdFXUEAGWkB nys5QTib0cSxXmshoBQfEhxDXENUyLNBdY8KcTkRFVbF40G3/oZDYn2gQv5cZmF9emXqLeaLO0ve 5KC/pXqtYFdKojsOqCn9Wgkh5+ClM96Kq8jo7lzSbIdkGm6AXYYr5SpYgJzLlw3SeuZk1PWxQcfQ 3FW9e3ii4vHgut/fbSBYbvR5Fd53FFGj0zlFMqFMTF9uuJXobSGJj5FdpjvWod5BZej8D0paQ8fQ WTv1CRiJrC+37iGD6R1F1NRjnvRcsEG1RdieZBkBDj4elM4uadNq0VR08KRsv4fUAIyhdgbdRHUS JUmNgxqFGguINnyPNUOxEDUXjxAf+QvxcZ6F3MTaMnEVXAtK3edXDpzf0Prym6zZu/4FPBtqBnHQ EoLQg7CH0Mjd7yG1vsSv4iK+s3lLZzsKqWH9BCk4F2Pqlxq99/AJKvhuNUFhSC1k3l7NB1tCJ6Sq q7IO3AHjHa40xMLrWehtecRY6DOLzwgmiKp5EHnwwSLG89raIytlPB5pfKyvrDUTbnqhizsKqRH6 TxEouMdG8XM6DcoJU2kIGb4mKER8WMGjVB98j9XUz2VAX00Q7XtVIxFHQkNzDLLn3gPiRbajkBoh GaJyNTrSuD0gKP4wWqw54/FIePEXxJzmLcMRHzvET2fsyZ5NiqnUNI2m0OQcRQsX3EOaoJiRSHjw Uji9nnB6ZUi/F8VCKOcoa10A3FQidhG8WOWM9fsdinwQPz3kAzxk+Mhm/UmVdA+dvB6P/wvNwNXC dXipQDuUzIPVxLkqrTC0ynSaAnos1uDY0PXSBEXh9KYGSu3PYIWhc7lTBy6LCnSS8SK3u66S/Q89 SqzBge8JnxGEQqTF9EpTRA6aoPN2PQnukRYejwThvhH4CF7guOsTFME9IDnh7edG3wnzau182rhS 1e0UdiiZt4QOplo3h1taRI1riSsFphQZ1FRKBLMdX0q9feje9a4RfFpAGFXOHHMDIfPKW0ZNxB0X p29pkcEiXAXKlWfYUTQN3MFMJETIzbYlpwLJVhpuJWPfQyisThP82qYa0fNpRENcaA2S/PvJwvaS 1HBGsavCO3cMT1kLw7/PjvAe0vY4ievwwfZYy1I7OCfe+OxYg/o2onmNUXvlRkJhdTphWnYxJabz LwsQUVJ2MtmujBU7f89f0XRLPIQ42BI6afYGEZETNBPsRxl3U2MdCsc5XbOb7e8a4fIIfcUM26AJ yue+7oqEFh8PCh/5+xCiNUa6pNYdBdNQ0DlRWzW/CWBfNUG9p+qH0Lh2CjsCe0yCzarEGeqXOAO4 vKjDsb+Bc2gsGZPiKIFh27wUhcKbCZ8RCb2zZrYldrav2Fk7CQsrsScpaHj8X2pwLCNlIrqzz5CE eN5DIZTtdxZBag6oVonGUSle9B881in2T5XuFEt8sOy88x084RiArkBCD7Y3Zztl2t5kCECP1ok8 vMqUhLrzUwLSpjylBIzpQIdkYaHbqoziYOE1+QsilPlTQcNPd/ED7zxFZWqowMApLJPCcS4UoFyJ +UiIcPlPxXJyIE+xcB7BLoitbLB5OnkZlO3k7gLRj0VPO3ZkB+NcRjpWyR/bXjeYJ9khNx7cHJR4 NMihkLB6zj0+H7Hs73JinRjLGCmlT1OI/Ejw36JbipAf1VuurbsH4Np0G6JxQArB1MTAZsPaP6rv FbCR1ZPkPgA+hNHNyT1Qme4I2SmN76Lm1d42QDY0xoxLzojEUjRuunNoasuc72HxFwSxUfEETddg psVCutMUxddo+M25vOkxt/Wo4b2zrontlUDLKXRI7PLgGtsHh6Gd46ZkEm5BmTtH7iR7ChfDiSYh SPblZ1+2vW5wu0lTWMVrDkZ+gfEyESAZhsgpLlt/x9gMztZ6FlMUZCOdQ4jk6kOPAWnrcxgSbaWx /WyKitZDTaEieHW5DfxSUj2HN4gYEO9e58RmAx/Q+p+B24LcRCsXEQfMTwlWE5GMJ3obPqheBKus JBMBuCykEAdS59cdR9zCmF/TFFauKxgwfRgTLyNZ09G00Y8RHG2wZKxpCkvX8gSsUl+uv61+T7JZ eDSB5dLYpW647QZ66RnEZU/X7utGWBBOU2OPiIMVjVhLYFktbT4fNKqvrKeKcMgYx+1U5xSWrxsI 96lp4ZwvC20Css3a6mZvAsBR8RM8irh50mBvNR80TbCQRLEoVemcn/wGUGwQPgDxAlwVqBk/m/C3 8lOCgInTVLkcNGiaTnCXxdQ4+4HPR7zg+rWawPJo6Sqdi5z1eu4WVGjZfqCe1WJzCH2ik2iYHfmG J7Yf4LGyHjUXJ9MTDr7nMEW7CCBbgZNsi3vurFWoKjKDspiJgBR+yJ+8TvDXOHiZH/khxWv6eYjP /wvemMUCBeoiPWspjLxR6D+XjWe33fwFwKka3XEh02uQ+EUBWN8szPLwwfN/mJQmbDBkb+dGpZ9A pnJvAwYPmaOL63V/279Y+E36aBxsKUFaRFrMbfD5YMfVm7+x/EQGbtlP0BTF31CYg4+BoRwHuQGc pkrJbMbfbTo3L6eomj2o5pATAUYcvNAGQfK8CAQ9ifMicxoYNVYBzs8NQvarCm3F3jYoJDG2rJ2Y fQ6KwKHBIzOqwdAydIHp3yKEpObgqrFJCn0VQTycoH//SKdR1q60XyB9iWnuIvdPtoEhRKRL1ptY owuyE6/hfOngnKL2dzK8bmRrlrgXQqZ9fSdpJZ0DZ0XfcX1IWWY482NATn0ITwyn7dBacezxK7Bk wvzE36G5IuRWoKnrHDQkpaY6PEr3ghtc7m2WIpwI3NzBPtvZB6sGoMzWhwmDAC5rvROwYAuSaMp9 OJgv2dv+xWMEpt0HK5hAMUCaDqPy+SD8vo7kWVAR0rzuuRQYLOo5hJVWMpmOwWKOmn6kUTRx3bEi kEOHxUXINSuxNnhVqa01tumfJ5hwC7xPGVB4W1I41khEpdnbRkw0LqImP4Y2jMwIDRu6IqGbOfh8 VPz/xvTBHqvm69ueA5NFNM9xFq1mQk5jXf3hJrVUvG1HgGqTFAXfk0upo5ZvgyYJSOwzZXM0s+6q S+Ak4p5pyvio65LSzQ/5i+KwBJ1dFzqLZnnSpbVy5fP/i4QKbZQffHoOfRZB1EWmbkd3ycUL3FWC qeTm1zR9v4WcRqmiVqle1XpbAKsNOLMWAbDPVU+YP/xRM1AwBe2qyyWSiXsOrRbXUkFAmmnTq/nQ yaR/+A8aA3w+aNauXwIGKOis+ZxKkZS1wGupqyUys9RlWIEjQUdMEGr6aSnFzjBEZQ31S8bFREAz sIJK3aTnNCu6YugDINnCGmWjxE4lm6Qo8s5iy1Th+eu1gcsAaGl19Mrng17Sg4ogwg9yA3M+p1JU 6kbgCJMq41yNPK8T3DA7JhooGEArh4aLVjTZ4w5eOwHzY3fCaAeAWYVg/oaZb0ByoQX+UPeKvW5E CBGsq91BedzZEoVCW6gV8/ng8H5AWsQaZ2Kdn3Mp1AtBn3duw4xAIL07egQBH1K6c3iLmpb0i6J0 l2GSxMHyIw4GA40KXXqjzXT6LiFagi0kul4de8+lDKq9bbCYCBwh3dMGa5qcGGPJ78xeMlhM0684 xgEbMLYL6c+B7aLoNlNfPSHr6ZosLwqVUOnyvH7zSQqL3rrdZWKev0zM05kgtcnQeYMJdZUp3Pmd feOLQN3Gk9067HUj/Xj5VDGLazeLQ7TUVdefK/P5iF20vq+4yrLy40Qdw7JBld2mHnvOpVatDFem m52uTRt7lgRi88WuBm5fPnhrYIGdv8RIX6Ap0OJ0Ik5rCAbkZa7220r2tgG0X3oYTULyzUGRkNvf w8idjc8H+Um7S4mZMf6z9adyEjow4hp+pC4hEuJGsGN3wx5vOBDaNIUWjEvGXoBb2+DF73TWT84E ApzP6dTcA5MP2D+UC9uX85kigdCDUUQ1fA0cXN+aYJIl40mspcCE8duzu6pegUig3EkKHWOgyLdI MPkP/7WM7dgHbJ4zYX+LtElNUojQZt0E6p8+OL4W+PJirOKTuk2K9yE/hYDIgmXt5aoteVKHNoxZ Eoeq7HLQ4Y1KmnUfy+Tz/4tOOlIa6OPcSQo1ROAQ16fJ7s46l5/dTcC2jcfmNuZ1DpwYIW9QBLbJ PvjZXdM5sYWYYOOAlyGQXRttlPNR+aIjC/kB/JQoi6MQFq14Jup7P8ZUhMUj7/FzOm3+gij0/hZV Q0e3r0uGyIEbI65GqqUnNQXgRDsdZCs9CNbgcMjaWorNY3h6j34HR0gWSj9QbRKuwosCbJlWQwvd iUyKlSW7ZK3l2I8Rf/+kxWwVTVnNpgowHstL5yvi80FF4FZ0JbGBfQ5csU9TaCBTSOgzB0+4+NnN BtGGYckuW9IKvgNLRvwBxPzPdgev6ELSaENFjbwjWIOjvLSpUtxYEmi39U3ZpxxbMrJ9Mpp5ebte McR4mzDtOWU+/y+auuIlRP15P6f33xCTMQ0IuOqjCt4Nd3KCxOmyNDm0ZVxyrFyqLq2nugTT154o L31W6jy3UKdNCupaFZ+B9qUZxknlMYe+jLnISUYNzFU8EkDVm2sJ6Dk+H62lbxMQQFmhvHQnKYq9 IURqzo/oNO1+J6mkkmySUBPySQp1+si7aoXyK608PtXphGRIp1FLOsHpOZa2xHuQh+DOKo9Risw9 c2jNKKDklE4tBy2lndaeskRbg88HoXf+JrFBPqjup2sZmzPC7jxlQ7bN1Izwl8EPKhdp4uLXOXBn 9GOpQpPTBt9vMJHbg2zjk2ueky8TENiIh4ASSb5GIFQk4YcEk2QwnNJ9UBrX1CFLkLFtfD7Kdb+J bKQ5z8cSPrRnRMsNqgeuzEtehqFN6nK0Ce9P5rqBP6OUI8FhEycyP3XKeZZhI0rwHEonj0iFMSUK 3D+od+hAsphyL3vb4IaTlbca4OM2wE8C1BRT0qAxBw6NcqR50pNMFeV55UVy6NGY2LPcdVkFbmRz I4L0rEtgj5XuoRQDuNk4yaSTcLAbjrClc+6xy1Sh3OsCI2hegKMLUWyWDDeqKfa6EcZdtOO0fNCG OyH5ZJsUerB8PqoufecnTdfqjQNCm0Z4tJzUpnn/u2VHB0L/wKgkM02fpdCncbAJV6uWVH3Qb+tE bYiYktVNdmqaTybi0HpGEuft725vG8WUmKRFm04Nhl0aU2GAat6BUyMUfn+14nhnXBGNHFo1oo6A 5OOW4HpxjKmIfyx5A2ptkxQF3ka46RKG6uVuOEhuLjMtQP27Nvqfw5QQlUKGHg89u9vbBopHPMkm S1EaVKdc50wXQKtlvWSEovzmJKH+J31lm6RQDnvQkDm59Np0NkAjztr4EsnlsHPo16gKXJoqZ89+ MQIrgTPRs2pL0KKlAU+h9w4Zi/A6dJDXfd0gh0vy1F3VB7XioD/BhQCHHz4fgXF9vxUxUAZAJzeJ C00bBw1C+jbPvV4d0A0ZJ0fCZVDRbC2FgbdMvlX15+DHEkAOZ6MhVuqzndCZ5K26SG+DQg5wUhYs URWPn/IXE7mJ39Yuv+3kQHOoIlCz3jLqWM6vKw4+ouUrPYmNG/tiM7c6L8BFn5HquiHY5s2sxRRb oZN9M3mGc/DmN4SPKs52kJHRWxT7BgZRQH3Bb+MpLuVlrxsJs4g7QfnncS3BBgs1ag20yecjWM61 eSAPsMI27Qm8AwNH/B9hzsYqwb+mJbtFlsSLDJw+fJpi6RFGik2w23bdVM5CPdFMgTQ09luBdZqS 3dXUec6ZmEFxcFK31w3uuK1mXEk+GMUE4FYdNqibBCaO32VvHkKov5an6h2aOA52LLedR+Anu1xd bW5qsHMaXjcJXByBDBXQhEElB1tMEDJeEA9nerLLEEagQQ+jw7pwPGYY1D7hh0Q0kynwe/bBJgmA NBW9eTCFGO/yXc6F90N5QPA58HHEjoP3VRlibJ/gMXuhEoepLSWqothS+tvIMculMD8uhQBVVhyq xAuey7RTBPn8BATeoWiTQYr2HZeWvW6w4xhzdyjd2WCwyjGmpbqr8/lgx61viAC/mjGf0zssency BDrbSMQxNy/BFRYn2RkYVKjt+kVB6E2y2znApg+exO151iIOOXC6E1DNQHgVVFZOPoQOMnhIVqjs zV73L/HDnpXqZk91z3tvsephxs3ng6Ay/9I5RumxgR3v0xRWvc8ymr1vqc+DdJVsmgqxjZymsuv2 aQpjbxVDuOM0GMILudm0SuU4ey6hggJXJBpOsDb3haqk4k8OHB0Ts84KNuz0QaXK1uC4zl20J58P YoHbQeGtj8ugXm+MHHo6ou8A9Ju1v1P1tknLdERjHreAuFUoEJg6nl9EKYC2nsF5Fbjz1laye16n DBpkZsObDDC8PNkl7YUf8leCMqqswOt0VZsJyWnecRVrKXB1lOCCUSj5cqox30kKi95JNmo2ScQ+ u0/PTB57F3ecy6GvI81ToGPTffAddzZxHohRQT6BLkAVMBxELGAeUNO1LG4oXAqMHV12A4AAH5x9 Ms0ovQ49H9xwvzzVKn5buvSTHFo7AhbCC8jpFSl7rlt42eHT5h5eNgm8Ha+AfxW/oj78igz92i0y w/k0iEkxQSH8iJPT9uVRqgAXejtKg4wWZuQJQrbjx3pxmZDmcSJ9/oJIneSbg9LInHqKuYG9IwiG OLJzt6AStEoPvbtZzxJukn2WYn0SLqVsKtqPwg3A3b1SGRg3N52AsJQ6i7mJ6PvHayXb2/7F00Fi 6IOW0rmZs3TEZtfz/xLfUBxA84BnkkIF7URwrnfiEgtZxhtY7ue8oZSnOCC2eDQKlyLv9ETeZx2e s8RkgED14iWIEArJ21mj+ykIADSoD4kCb9MiGz5YcSm11QWkAB4+NHmc49fJTaTgg2AOXR4Ro50I xlSRgE+/3ZPR7BBfc7steA5sHlHLJTZ3s3vC4XJQ0kh9UD4BYSSq06otsc+yf2iE7hi4ZW8bEJqq JDjm9MEiyhNsdFnspsrn30/u9UtFGzghEuh9ksKwu0m01rjdXXJJVANK3bsCtd9OXGD1mM2YpiTp A6SrD3Bi7n3uZ1iUIaKE/ARo4Cdnh4Qx2nFMiJyoQ9G2HJg9SoIP0GUJ+o/rONvQw5BgZtXzUTF3 fB3dqOr/jHGNRXLo9wiTd1TgjKhzzg8j6qCmuKwCN1byVDcwfEShlaJ/Q0f4uEjBBpmJ1hYD7wbL pSXkEt6OrQs0ln8Jk+XA8dEbcXM/gzYcAL8SVJ9oVwaWj+gLfNcDUH1sjxbH5zVSKihJbZTdkskj FuLAtJSY0VAecRGPgTl6jZPKFUKupDBzsBkasHVuPB7PkQ0FDdV8K6X5AYp9rJ0XbXlfo6QCMA37 JplA75mHE3TbIrmOBC48/TI7BQqtqgMsOV8zgqWCJTd4NDmoTkJMRGilk4S7/xNduYRW2mU5USCY nC5L8N6HD3apAbcHACKVXPI+KXqlgRi8wilWkgsoIjY7QsC/zs6JITupla1WHyw8OlNPLXWYbH9e g6PyVG+XekqIGxChaHZeQ6OSWb2HnIW5XsP/w7YXHGeNulS6a5K9BkbFo0cEPD54Z7LVkUvN8uMd AJ+KtENsF6qd+3pes+37eQ2LCsA3Uqqn6SwHm5zUjLV00u/Pa0xUHvc5TQ6oPQVhuSbnNSIqotPC LsPa27kvZ7+VfVWAEgjYnJzXeKigwMJ9RWEEDbavACEoNN1FrL+R/FOvbTP1OK+GJ21yBCx9jYYK ohkiJmeVXBsmt2p2zuO8p8+7589rMFQePTttLLL4AP/W7LyGQiXT7m4SM6qyPwtWTD1O7OapR+nX iTdYOtYZkVwmB6dMnCt+JHJeKQCUkKdCqA3lPxAtMhgwULQnq5uO8tGxI+Lk7sMHq2afAIpndOsn on6NgvC0dbS1dkAxhdSezc5rDITduFCine7Lt1R7lK5E9mh6owwpf9BgdqampUnStz2SvoOqHZVp 2Tk3QD2lLR/xEdDNzvW6X5bEyuNrAIQvkpo2gKb64FSJrfYIqlOf1/CHm6R9z87ZWQ2CNZqd1+AH J7m6j8k8RM66NTFNNHeGd/vXtciIZoei2ZQ2tMEzjX32WYERH6WRzsai8M9Ca7OBc7PLk7TK2yDa WYqd17yDYf7Oeido61xd4/Ma9eDQmn7spB8DtTcmrNTCj85kel4mF/NNIIuYqI0KkBRVgXuxxHyj M1mQyEyEFgdvOaYO+p+gR+d0SEXoWuTCHa2eTMKH6URSFuk13ikO9Rs730GzgxIay/JQNPy8Rjt8 Qz925B4CYA5dTTg9r0VG7Kz+WBeRYWNtEFxY2Rk2aMqa8lhwKiczDSnJhzs9+xwMKFoV2PRA0pRh M2AokyZL7FsbgK1Rmi2aHkSOswyezhxUhoXAJek19Ryan9fyYnnENrSzgMfq+S6e19piUX0bhVer dfQxrBnbl6xVWOugJgalJKJwp8vSWgJ/+zHnoaw9q2Fnds5V04CuB0D77OCRcCqjhee4NdoXvdYV 8Q2owrFU71hO0YLMq5pnrAa9VhV/3VkCYw2EyjcYjEJl6BPwta0UpKBZHp3D1WzGuAiaKFaWmk2V LIM5K3J+IPAqP4Czucb5v2zp+0ZuePYUKxYmUkv7+HcKWxHeDBJG/Q6G7z9rc0quH/iZKFpe05eP cMVoUqFqYjMUxcsQ4vKK4kned5vD2kG9mg0EdNFdEOmdv4YZmsq30vDBe2YNhnWTjg9QoEGNlBwI MHozrd7ow1fFOhI1KzqdzdFAYiTVxUggAVMNRVv5eBQyJ5sirCKg5eCA5zP0HjMjDUFYSA0sWX9v d4+vIy0/gCQCQOhMMEObt5csQTTYGkLj7Vz1PKDP7oMq8ZK/UwPEvKimZxmF8vYoahZRYqiPP24f f6wThzeptYBv9B42n8Ugp04cQZRPQGBKTrVmKAqcayORpgm352rr2GWlGgwSFpTVq9JR5IxkH2GP Uvd2OZC4RJPYzETPlr11v0NSoMKuFcf1c4Ulvez7NhP1YXZqRM7ujqln/a5KYYx6UrnPO2+tPNRs O6VxlSawoa3qFKwhWsouCYdDuPrBOcxkqQWy0u5wx/foOfP3nONyMWfnoBnqGRXU3SU7Bo2NJjg7 rmWYmoOW/0NSDPxSVdUIzyGiHAeTfA6aoL7SfKQP3ylrjKC+r7EMcHGjCrhmKAqggeOcjTVLYotl N0MCzS7GXjspXPH62HsEDRQf/m44vvngjY2y2jzfVRavD9mXWfWhTINu0rwtskFrnnfCWpGQJeo9 FKSfV5C+4h4j27KmVj/vfLXzii21r2MIisvQgPUY+p2uZufHWaQGSTv3zt7ek27L4umdoOysMmsU RXf5XNJKV4OfQw3yzKY60vc5kKQJRIAZiX2sLyt5F6cvCqObEtSkJD65rNY4x0Bi37Wd9/+8U9WY 395NJnQtuRZ3hqJIei4KWmzzcqQeoEQiWlmGcoDTkFfro1Ba6odV1rviTNg5tGF7ggsMofT5tai2 Qh4SfTEwK3N5HLDW3nrZ9ykaFDres9xBU5QJpOQ26/PzzlPjMeaLSISQBbURz+HfaWpcQ1SC3lb/ mWu56W4XmH8xEVvOLIqi6UFMemeorMHPofMCtU9KsELUt6kaUohdoAQSAhjr+aid8R5NZ6rzwDqc E7SKT1CH57GUxzp0a6Jwev+qj8nt8rnKoni6s0wvSOygApmhPWida/XnyrXICQoDaorWgfnkg01Q Wtij1BNGqnoiIB5DCfSpBpjJquuCiefUu0YTpJmhVC0HHUNg8/OgrsQzRBG1YXztGCLJEe9pBcQo ogabYabhp5BJrMvLsVu97KwftwN9p6YVh6JRTtAGz+UnRFeZ7p4g/Uzdpg7LhsBrldPbdf7m8v28 M9OwBIiynmgQ2WDl57MNtrigJX/eiWkoVWUvBKloBFp9Sn7XvxPTOK+NACsrBRXeQQJYTTODwDnd vMb6HlEjGmKjJ/fqgzV6AOtZhXLtHa4hnRRQqL5upI0d5+y+tSCi9t95acXl1ru0WDko6ajQh+Qm m21+3mlp5dGGgoeelRJrWp60vtPS2MFYNLROVi0rtx40ettWLZuUT+EUvYfU6r/ANIR1aA5eLkOQ iIorpui8zJbb5YAewSCRmzxQ6xjS9uGdlMZ4iHdZUXO19MddZWRaDZ7Itn3eKWlFToeaInEgYJk6 /Rx6Z6Rhmw2U5/ecdg5RverbdRfnUG/ui/FOSMOnq8mTySrm4GUPmPsIwQa/PJBhsc0gg/vDdVCI 3jA0DHVF3vlozOyZsk7CPTh4IwOoVMZDmY9Hqf34OqkHjZPyXUNRSL3gxJe3w9DzbI6wbkQ7M2A8 +b4lru9ctHJBHkUgj3JBHuVklQCfEy7UTm6AbjbWENqqDSoMpKk79owMoncuWnGPJ4TQPmibnfPE OvPnO/i8U9Fw3a47Q+IzwDI13WZPWJSm32Uy5T6UzkRPh4fRnaK03EH+nYhm2QtS1j198Jiae4Au Jvij0uZk5sXiNOwPFi9vleyLvWsQDrGH2idr/Bw0QxvVFrXl5/q809Cs5P/VDUMURrEgTdB7SA3c JNLV4T2Ntl1N5KRII/24ENSy1P6dhMaoYfy8ecrW1c7Kt7Ts3JSdNiQo22/YCuMCLleJFWKhetn3 c2gN6xMOHzRDQ/U9BIxpf945aEWqMM85NDfNFLwl9k5B8xlaefZ7UrvLU2P2qZM6e0j9zkBjtMuM Na073AIaEPpVsmsJ5lWVdEdIrJ2NDPzi5XoAuszPiCZIIqzyx5jnvDHn5gE5LnweFLM+7wQ0rKEn c6UGK/Jg+rZriqICNSr8s9LClqL0BG1JNLNvr6DBt8qm6D2mPq+vzLVSmIaDTdG53gEcoWYmguo2 mdzmikYz4GO4T7yA1obeNQgZJdffxdHrztHroJJI7xL0s3f2WZEmmGaI1o/IC1O5IWMUUxOPV1u6 fdU7QUme4AQt5ntSv8fUmTbiXDzVB4upG2bhnGNkL0CxJ8sAAauqAn2B8q2FjJPu3+/EM1ZRpfkg 1X7fybjKgMLD2Vtq/bzzzhBx3sverdQK0nbrO0cxNeCXqqwrYpQRDR2M6AAoy1T8wWo8hzE1a7CD 5jsafAWdIBFbjUkHsa9yUic4F3ge1Gs9GkJM83knnVmLC1iOmnwwjNmJPJM0V0f+vHPOeNt+N1fp O7G6r6B3ylkRsO0EKGPZKSTeMHUNWRCVriEZfpygv4vUq1C2j4OtoLM8z+NAnEEAYsDMDgHTIO94 oMmJr7wb/aXoXYMJUnFIqiHzqoaAyywsdT3b7vPONysCXn1F1OiygzfjMxRF1NShM2AHIB7UL1UJ dmyXNCxcnthj73QzHkLMWyeXkLwyNUPYUwvnsoRV5i6UFysgcBRip1p/cIpD7xqkZSoOLcFflnG1 MhxzKMYMMxm+YnTVezDEV8N1UItXPt6ZZjg8zpuftKNboyxXr1Gfw6NdSSw4wGmC3gNq5+N1kfL7 Q8oHSRXQoL4ULp7UVJwP3PIs0MF0xA8h6mC808yIAlDCyib0pCIKL7JzyA3aCpEV/nmnmeFL3D5D ajpuOEV4tPjOMuPXgoanJgMK8W0727xI1pjSauvOUFSjTuxz0BnSBj+mIczSG3VoT9KhZnMvpARB Z/XnsqjJbv+8E8xuG3oOWqNzsEMa7U/+yX3Ozzu/jNH0+i6dgThFy3ZNz3swjZuBGIZi+caqw+X5 YKhmO2xv5wa/k8sME9cpxOuDHdJA9J2suxM7Bca5UFYZmgwF98CkOY9K+NSjf6eWFZlvnJlJRG9y sGv+nGeqL5/j+vPOLGPpzLN6lmpRYts3qX8nlnGHoaI4/ZCe8yb1XYY0CBUHXX6Qsr7zym5lqFdK rHNw5CYuGbDxJbF+AlAaYG1czI0Upi/9QuwhvuxftbNhMfVyZOJo8FFj3WNNvmOww8Z3yoquRQP2 x2YoCqZPbAukR3GkB4USZaLmYrR5QSbQrrGwPs08o24e0nXz86YQZuD/QtcTkWKFzyB9+JBw0p++ pEf0AtV9fkjQa+2q3VPzYuBV2bvJA6LqvKnPXd4/75yyIjnq55hGSbnNW6B+p5ThHgN8M01jJZzb vTgYphJMySli309TFBaoib4b8kYfjzf6iT1hyjMJbj1nNprcVDIAbhS0lJ/x+BIje+VnRIUhXmBy 32VOwxJsJgOPuPIxM58Pttn+ddXDd9l4rpyiKJyeMMGC5JIFQ0BhaJ/luRxqhiKqTVFUop4Ud25q dki53vZZ5U0z5PiAM5XOGBRMHuSDQg7bVxHhVO9kMsYlSlr/n94FDKFlO3cCiP1555LxHevXIsI1 X9G2N6RiiPqgsCPxYRTox11vAv0zO8p1VEqDAaoYx9PslEnesT2eGBW2skr5kLSefdgy0XiA7Q6Y ScK921fRGPay7zNELgrcOSVQQAqGlMGsYg0N8s87kYytsl8ZBzFVzdP6dx6ZYRxPHIt0TnKFKDAh D6ubiAj1EmGiQG3+dxoZb2J2DgrzLQ73MlvQlakSwD53J0h2HZxoqDnu/jMeDDm/qM87i+xGQ72l Owj0MYANlQ1WH593Ehlv++8+EG+HPH2TvXPIsG4Xz6HhvsSszciXmMgcTNCiigo5m+8UMjZCqVBY dvPBlhBQRGUSad07nW7I/237RIqD4lCAoLtiMeovn3cKWXEhkNFZ+OBglJZFI3HqMazPO4GMdY87 Q8IZkYj4AILfQ2rYQC60W6tp8leqHJJvX6mKJDD5cN7PO4HshtRtkvbDwXtlJ/NpgiafU7pVCKfy IIKxCaRnMr9OEywmE+GdQEbcKtUIxryDrSIYs3JlnLvz884fM1rB14XPSlNy6NA7fcxr1Kv7hb92 d0/Q2ar7qezq9cV39tg9iEYhuIrDParp7YTjlzWcZeLgOxFOl38g8Gp5K8+vzzt5jIk9k45EWDAH 47KcxJrn7zn22uedO8YegC8i9jSQR9Z9L7N37hgvs01fWWuWaaWz35patwxtz2ve/E4dY5+jK5y+ g6+htBZIrWwnnuBxpyFrA4SR0Dahyqd5hSEY/7wzxzBDrN4PaTiOq+HYFjpZ5GucVObzThwrj3eR lagRiKXqWdk7b4xPoc3BRhMnqDTH55VhFbUMeJ1PUBxVI8wZ+xm8NnSOH+hkSjn9BEdFKmEbUSSF BfrdY53ChO+kMcMkABcs79TtDWlIjBUSWsoufDy4yuo3LYEG6e3CYt4ZY3gKnkXY0pqgurxC3SRE rvIrDLy0x+KgmhFjp/yXKA2aoJO3Q5gGSxATBDV/A+kPRC/jhwxeO4QI8Xzni1lidWJP8af2lXAG rISowh8U6T7vdLFf0CrJqjKv99JQC0NqFNG3iBsVx9F28f0xyWEtLJ55aaiFCGrC7s+tVX3wEj4M TRfCHDDqztGTxKsnTKogAKQdX1PxLOldA0oUxRpnoiYKB5WGNhTEeJNB96tFEbVToe2ux7E4Lu2n hQE1ameLsggECbNmx8z+pGWujQbWhU1QVJ9OhNy18QwOou71pE2LrCh8102Ss4nuDbSHyo8iih3T IeiDqjEASfhg9ddzcybd3mXg8aBhn38lrtBXA9PKyC1hQJ0hRLiyazNQU13aDFRclDYD6vNit7wH 1G5yMQZTew5ePMOBcxIpVhfHCa6bAdJRBazkgN14uhPf2aN42jiHZC9r0BKCbKMq8OfYx+MBhWPn X0sIx+I9hHoUT6NXPiVkigkqsnGULsNoXjtDuKoJijAf9GksdDu04U5QhvsH42m0xZIkUwiIga7i D8GISskmtSt6VKA219RVhg+aIBA9mSefZTnxeFR+XV8TNKD1WZ8JCuNpqA3QVUHVs0bsByv4ezoD aENsl9dYj+rTlpKNegeHDUHpo0PWCAlHh/HiULe+wGynAJp3l9DEe+BDouKQjONZp65sSROpea78 KldQJJd4PgAOXRqQ9HWh7N8ArLBJCgmIylunofPaGG5I0GniTUOCnoohPHsUUE/Kfld6DmmwgyiD StBp93GC9RODDpJX0d366QvdKuSOjj6jcEWPAmp2kuc5E5oPOoimDKYQ4ZSJx//F0BRAZAGEew+i iIQIENhEH8PQZ/RMIAYW+bxHQ8XTsh4H1PLX5EUl6KP22QmqzpVaJGedJvwZqPW5yPNH3pqu5vdg ibFHVeol5cG0rAi7fgbrZwt9JkLuzomPx4O7bNRf+wzVpUu062E8fZI/9MRuuMhyLMFnpRhvYc3s kkw9JCIKHYxKig2ecZw8A9kw77JOyVBCPgp+EpNFzU47iFAmxGcEiT0LZ32IiDguEbGfzEU6cR0n dRRPY0oeQAPa12g9+gSFVWpapNGpHK6EjXYV/1EPHPQfHkSNwS8nKIynp/hkfflwDyKkrZvuzVAF TENSeiBxsOMO/OGjXjn1sn/VhkaeyQclrQvsLnkSjonHI+hZ/dpj0A4CCt5n6D2gNu2zAXxiJkec 84zaUK8QTCoWDqHFwFMoCqj3krDA6j7YDBHIUcSZ6qi4k/cBt08sIRBpAWwQ4Y7qgfiMYIKq7IcI HuLACYKdYiOktNY88XhEZi3fS0iemneCIhA12AHniEvyaYS63TDHxjFoYaVGGaadSygCfHSBgxOD wTPwCyUQH3g8dsQAxIcebaLGAIRnoS/1s9Y9pQeWCD4jioaqUIvTB60g+ADJH++sRjwerKD5HQ1l CDLVclHUPYyoydlMDjMn3NmqZ2wdqHrWqaePcCgqUS826v/b7guBOdyW2a8H2jmRM4RGx4BZzPkS ygP5oJwRPiTIWnVAs2KrwRr2hea9KFGnhsf/tYY0RRm7rHvhY0QhNbgJsBm1tLWpnTjojrqaYz6Q KDBiHFGNWtoco5K5yeHm9ef6onACIka043K9aWtVX+TnmupkvWvUClI6JspUcsrUuV3qkN7ryhmP ByH1+LWI0LylxKomKAqpmXMslpSxhtYsXhka/bozLfxR4oyHJeqlNVSmDxebd1YOHAa4y/pGb53g xXOy0nVo5ycpo1XMiHmJbEgv4WDXdiXmicKHGtJ74fGgRL2/z+l2dnmjB44mKARRJ5zOfSqQPn9m bXbV71RdKofUWk1QVKLOTMqmaEGTrfcTqSDnQF8yAe4JxlSGfxHL/FAnLYAE47r1q/4s+4HPCNCd Iv0yi8NglnonBRwkxf3gG8Dj/2pyCP4K0uh0dOeIwukG/5DsngvnSC2u51Fbqw5/na5wNqJweqm2 KGBi3wTNDW2xE+JmeX7T2tooZZtkE9y0aJ4aJgYiWfiMv8i/Y6mluIZXhk4KyMD2BxIQeDw4hB7N Cswmwe0wwbMZisJp9OXnuXgNhw/BBI8W+zDRvHNPO0B4ROH0HFoYlArk4JSysywH9HFFbd3sTUM6 f3BS6g/N4bwPRFv0ERWoM8OmtKUgQ3EgZldwwmBV9GfkkvF8tIh+wT6wiPa9ykYYUCdafNuJc/6c VPwYokknmxyTCqWIhkYUUMtA54WqsPL5ZtNUp+xkdyTzU1kIuKFz7dDk3grUJNiPEEO9pXWu06g6 uvMsyyWx0BNYTzz+v3TKxJga95wOMdRQN538IekImrgQdAQvQhgHi01QhKFWDxEGCz74RaaatNTg wXuZrNRmqnBTCSdfD71BdOcI1T2o/bYkl6sbRSto2ZY7W3rg8SAa+gVelNPw8nBxRAXqBuTZyQKX 5ayyZSRjKlkTH63EYZCGEcXTwmx2ndMU5WZMABR+gpwCtWHABK5ie1C4ulYqGafHZPD8enxGUH+l dtXJ5pMPOobOl9NUtjihJx7/Z5eMYQFayeNZQlFAjYr0FOhLSWtyXEwplsmiG+3wzhEG1Eatb9UH pwOd05dq5iwODfrpklOmPUbRruQn9dYaCiNqucMWIYRPrmgo85MPbCa8PyAU4Pkgr79dDh5fAICZ yiLnKEZ9sDSdFD1D1DTZOdR2rhYOjQRgM7dZXKTmFaUSEQebIyCMz0lKHZQBx1Lg/0yIsoHGk9lf Vhl/S0QnLFILElO4jDgYVWGe8JidslkKHo9KH77PeLej1NnK9vt+xrAPJq5FvmboqVfTWW6t+hQ1 oA2Zl81YGI+dIFY0NHjW0WulkScbHat0M2skyR4vDcqe489o3jFD1IfO6CSufXKbShjZ7Cnvjtbw eDBD7VvfbCF14erWBEUhNYH4IP+o9gFrUk0QTCmKJa68YJmWzZCZyNpzE8e+PRz7k4al82bc8f1k t4P9ebjD4yehF8/b3mqw1d71L2LisLiIQAxeSidZWXKkSK3g8f+lXy/a6IXAziikhkjQrMOczqGo 7RT71JzcumGia1JMIS+xmgTc8MELsPkkd3nQxPPsMpSpySmDclBnsoc709mtVCGYYZV6yKbatN/9 MsPMCrd9wq6KxyN8XvoKh0B2abIW5gxFMTXK37PxYqBhB8l+5pBTXVu5UBWdM/R3iRo+HD74DK0G tZkyze48DWL20e4+a5xkk1uApRsKPiOCfHCP5XkHnUInhJd1B7Qc8XgkP+n1Rd5bE/Kgl5Y4o5Aa FSkoneliX2m58AAchDwcgr6fzukZ6uRVoWGon2itPVXPBlKQlsWPBjmIGgTUbmu47emcZUkHGkj4 jGCPCXoG8JoNVl6EnDwPofMd4PGgl3gBDeRWFbrN3EMoRnyAaTfc+a3Jr4tCH0IMs/CxkgXUM0J8 dNUVU54+3Ak6OVlhYwzA41TBKEV5EQTXdO5r5HMeDhHQMKOAWpbU/yVgThVcTdA57PD4v6i/WQXY AvrQPYUiyAcg2uci2M2bHMX1lEtyG6GTQFSfoahEvQiGacpbOfgeQ7mxtSaqQkqYJBLKNp0xYPlS HznloncNlpBgi9DN5WDeCIM6oLSizLjHYqGP75yMOzVdHPWMImoEBCdh6iYSXNHN0QSdXW4TdK6c aqWhGUrm8ZgGUcyHi17EGZeFo84nrKOfQskg00Pj9AdVnVvC33rXqE2WVVYsPugew3pqElnafDyY oCtZJeYvVmLvd5NFmA8EokgkHSNcmksEQzfGCVPFkeYziqilptMms1YOnthDRRDqFWoDnf8fZl6Z tpbnQKo/iBC9RE3NqhkF1I2mSnOqXTZdKwatJnlP1dU7Hv8XaogKBFCwWFcHd4acRN5jPZnx1Hic 3oZY0pKsuqdQqPMhyp2Mljk4owy0kDEoZ3qma28lJxU0x4psmHwpXfSDzu8zFM6TztCgGR4Hg3yc UE2GHCUXPB6BYr5Vz8DmoIWrJmhFwXQCdzyJGo1QaLheeQVPzg6hRXwEVtCKIB9SigGIygffY+P8 XZ32rSd4OVF5XfIWBsib2iQPEF863CusTycpoOTsg00QPLT5R5/4H49HnMTvi1657/SEbIWQD8h2 qjjHQ6hdx/dUDfCZN11MNEEh5ENiQ1uiZ/sRPcOFnCjLII/uDe0IeHN2dhjIp7j1aSx0fMZf9ekL fj3pNRMr1F9p2A1f5VrwfAQ8u816AkQQEkjNmVMUqk1XiVN2B32UfUEf2XXhVvfKxwpBH2T89sFC dRdvgFgF+E7DLpq81nWCusJ4kuvlXCRQWrukRITLDZ8R1c6k6aVWx3ZSIpSj2jYxnYHHo3zjN8oc oLftp9AKSYlU7+zmnICSna2hE/rOdGtnfpGtEEHNLjSU233wWOiccz3xi+sgwU/UOVC0SPwWcRRd 9Osk8GzFFWqezzSE1eB4D4VwxGnh8egUurUzkRmBu23jgmJWFFAjKDyvZrDXmVSjFhK/5hsNdVNC WTEtUcUh07J4jIIzPKcX8e6Yq9p2I+SjIVxDq4K+UbrKNvv1K4J8jGx0suWDgcxPQpi4as+1gMeD bda/ma0EwM7tKdmKAmr4wOHmUR8IUo+OienTJKsIzjNNrxWqfLC+WHXlc/AK9T6J5Ex034BfAkI7 iunUbRaK9K03p0mCz1aE+ViGziOkbzphBCKwJucJCTo8HmA+fguhQGes7gsbWlFEvdBshbmxzuqd XVyQ+YG1ys65an2OFUbUgsNsovQ4+GV2bvwTzzGihkTDxgXWIZzaflifQ6hplSHe2fiMYIaUc1yX smF8oPN5mSsDOQwejy6zb1wVOH8t3XhxhcxE0Eth1qPK0OzZ6C4d6ryGipmNBxHWUAiilvr0kqrX +lL1OpdPzbkLAovYDgcRWU6Qszk/nurFfMyhd/1LS2c2C6xdbQhpMa9BVIb4iu+bbKVv2TMoycFL 1Sco5iVu2gCafUsdlp3lJhVOZq20cNYKikvULL+aLcK6aX1LJ9gCPZqIBqhUEB0C2xPoMWX+gcW6 rQWYMn5IhKJm3XWs4oMKHyfr7lvAqlXxeKRi/o2ibihutucuiwvUmBdv+cyck/cSqXB59ZgMI7xi KerhcbINNx5Cw5UdqU79ARlMd7QvKTGZ6RlhAdEYetmoESQrE4KEOVjlA9Jz/Lzzqng8uO0vSDgp P4Fk1pXqjkJqIMhnqabpfsK75LWz3NL6cYnTbDO0Q1qiyq9idHDwg7rWmZHdE9FwTqSsViLCg8YA p9zKh8yldxRStyQtnXYHFRfLWZaM4eHIhMeD2ln1c1qdWfxnVXmBU/QeVJs+5RrmPwbej6dluxoq H4SpZlIxOxT66DLelmFAbU9xqJ2VkSjAdhYRNJaRwJ0wvoDbeg5tYjjsKqMW/g5x1FVtVjOY9rwV FE5zOYRO7n6PqROlyZ+8tSCTfvQpd4ij7rSRsuBQrAtNUFLXDBMEwopNUBxSK7HvyQe/yU5AhOye 2LNzmVeZvOQi7jYEoT1iLDSZxWdE1TM1E/MdLGI8B53Adef8w+MRLfEXbIiKmbc2tENS4mThvim9 AAXSD+qcmsgccEi8gvgh6EN1xbmqD34MpQz5SAPAQlGqJG2y/UOIGhwnvQnEm2xHIbX0KYd6QeP2 6ynHzi4XRG/xeKQj/M2wz2yQDW9H7z8h1PnqWECh48ft27NPEAhQNkEhhFpiZ0OJ/XgS+34SMUQ5 wlUBzk4gaCJdnsBbBC9WPGMFf8dS1HbHLx/Ur8/nBJAe14kk8HiEq/rWsWDNDgpWNkFRPC2qAsoQ lrV2z1rRUrQWBy2aNUEh4oN7q9OqTsNtI65+3Ur2gIcLfRGhvIxq0A+dSqzFgaI8PiNyutm64psP miDIOg9xo9PC45Em3L3pqSGB2tATTu8I8nGOfuT12WaIqtxmsNnTsBka+Z7SoWyeSvhNQgTuV4jq 4oaUyyBPoaN/1viTKbNf3yi/clvRvetdI9Lmcvi9DZqhE4gt1YbQR9xhgbp/77GGClO5KhY7DqdZ PFsmNpTKSJcvtcyzZE1wYDRBIeSDuKrRa/LhqxMNV8ep4tm59qu19dcPyfxjeM5KgXO+a5SzSudd e2zcPXZizK4Cdarjs0Md6vkr38gUYLn3fFif3lhttUqZClhnNRRBU1iuNZQa+9Odv+ev8mufu/ng h9BewJhXKZ4h+SBxqMIqCfQyAHUvqop2ezuU+TAVHakNpas2hBBqiZO4+HhEdLlNIPbqUYbIlzq+ o3Aa3Y05pwt6rWw+SXAGq9fYBV0uLaEI7zG7PNqkR51vRtYm1NglRQumC6y3CKtC4bfWAgWofSMh 9jh2KPJRWXgFfc8Gy8hYacIMnbACj0eR0C/cGRd1fqxdonAaHJQ52bWhygcwhaby0QziAG+XZZ1W 66S8tlrp0d4lPNlvn6wOhOgLrEGY38AzmHI6zF0JQqaLocOGKMlElcb3vDPLnJX2EwN9/2xw6FmU okEMir/gXxhP/rAMDuqdplA7L2Uy7EXimNAtNtBHIg4X/0RY3819PMWWiIQMqQrLwaap5gYh22LI oXNQAaKWK661AbhTYaPZSvlj2+tG3Dsajy5CGZvjJFF4y13Z/VnzfD5i2f9/97bK0pfNUmjy0mB+ IzFzBpDVjX4RbFhLMYH5LeuAFKKpZU5WVEwr82rGzBOWJ0DPKLgMPXtWQOCR+NORjVEd33XNq71t hGwwoOdU1/Wc/XTyO58BEdsf8sUWf0EQGeXvLdcyPRbynaWoXj0KIbDbXe6Y9DC4nisv75qt4lY4 KfRIJEQPUHIfbJbawJFREmuNqQF4T7cgOM0OsCVO8P6wp8q2142YHdQUXuKZLTfYnGCwyaQ9ww85 xTXr70III7O1nrUURdiwfJzUhuHBROFOO5jItiVkeOHysYMpdHsRx3eP5oNmqeyMaKlQzxN97k2u FQ6+QidmVsO8WJSHv27Q+aD2+1Ann4OqRYsBL2Zpw2chhTCQ2xxi5SSj1zzWVT2l5db7PBnaahkc DWAMnUwbiDrB0SYy46p5iivXsh5XHJmfOPLk9fXkeiTeQ1n+rFxWrgF1GRAizCjLe7Ima+IUgkGk UL169kGracK8XfyxtPn8+zxBgtFWk/pJW0UZn6ZQAQRldsh0azml6cvpXHOEpdPZmYeFDvAo3J7m EKhOY76dRmh9jHNaF3UadwWGBpuOntUIAjNgM342oZ3HT4nkmrCcUGr0wTZdVjUHTkSdz0cl/ssv F8KGYXe60xTqgCBkqTIQwroqyRWbIE2rUiTqh278m8IaNoNJxNU+OEIW7oCbBjvnLoQYKlcTkp6f gZgS+ZNFTCTj8EMiWIgtpqGhe5X/nKPszZ4MsfH5SIn5oojFA63Ahjxn05+B96rdk1uKZ6ol2y/u Ye2R/QSP1EAEGSIs1wbbc+vEGinj20D6D1vtLGRIAgZzAoqVr8Ce/JFTbAHDMi2dCDRYgQRiFAKo tcHngy1Xvw9wBLSjXMPJnOJSNvLb60V1ztJkk1R5VYkBW6+fWQpr2cuq/csHmyQIEIIsyqV0kpVd JY9WqLV5QpjB4LuqylbsbYMqEq+3ZoHTdH8KWEZMtesH48rQB6Z6giJLE3zyYwSTQ29F+IfPJFPX BvVCL2ejguhJ7kZr0JwDQ4iIRC9oX6LhQkTge5t4zZ1k9pzeVOIrAPQxYt34o8x7km7SOXBXvFTq rctuu9HJAF5kCXANs+3QXnE+dkJTP80W752lKPwm++N8hBX9T15t4jKtKnVhuS2t7rMU4UQSO/d9 mf7wDSzPzBQoi8hRCFtD4hjnADx/CSVm2heaL9nbBn0R2d9KnG883m9wbRSWao7K54Po+8rLsHJA R7Vxz+7YYhGM6nVdTOVlJImibfoyKPvzVOr6RUHNrVAoLdFkmoNXlEDV63J5PdfdWVlFxH3kPQtY WyrwqABXmr1t1DySuq4UZlKzvBXC4XlKGL8OPv+/5LuFNKaLWMuByyIYZMpyTcdpsgIqL1N6a3CS xqTJfdcvCuKAhJttKg6YTxzQ0XjZCfRpUIbRBpiKPBFA4V7+0tclHowf8td+24kNJA5cSbWDGS+B 3Vz5fBQGfNtV0Ep5XYZDDo0W2ekHhtyq2+Ux6+zLlIpQVR2+3UJKo4FChTkqN9ttk/gu8fXQFxiJ imEJfcOTvkAn4SkKyMY9h16LS7WlIog661JaSudCZUDYE/w6Q7PFcil7jAJQJ15fnq+RljWKdtDu dzF02Xmp/EYZLJbfJkB4Wkpx5C29PcH424Xxs769EchzljZVZ9gEoNX0muqS2KFkkxQF3s6urndQ HwkW1ozOwE3j80EjKXlJQHzRLUUen6SQ2Eh+9XR5y9GvNGGV/wDVeOCRqoAyNFwUn6jMZ/DCCbxK Eos40OA7W2qw5z9JxkDChsvwMveKvW4UKxE30hRYNuegL6SHrMjVXjafj47ubzQ/miB1XxJ6DjwX oRZSLMUl6Qp6/o4cqWjP/gdzMgXnT/pFQbKrAm1n342DF0424n8LKAs83StVQFG2bTSCZUZmQgbV 3ja63xChJxLTNFi/ZK9FgNX5PXo+WEr9W20XBfwGaLhPUhR1Y7HPnNxVsOYr/L1oCk2saMsOhMyB 72KyjkmtbftgKdzaa9ZFPVoRi1Q3QTp30nJsgIWlZJluHfa6gXw88SNdrSgOFiudrEqebnNlPh/B I8rXqVSwbyH74bMUYrIhj55TsoJuFqGIvAdFAQvLGdonqpvE5otNDqd1++BdgXRCx5GX6FcnV+ly WkY5sUGzlsR567ytZG8bZHDUgKWusQ1aSgtAJFXVZuPzUW6yvjM4iOe28fjkhgaMKK5OGHjZWlq3 Ujm3AUnzXh2nB2cpdGDclDVAFuaDbbje94QU+haLD1rXxGohSO1ogbUv3zOFAaEF49xmWmVD0QW3 EdozOoPsEp+P5Pjq7+SE18eteocmjKx6g6HJMhJqls24oBPXSSbibxHmrVkK4dnsirQizI0fjwBs bZgwwpqWIj2F3uUd3pc4GBYda30tLVlShy6Muar2Rno6B53dJ34R/fRkEZPPR5zi7zyX1ORx1R9y bMMIaG2ntsl/uKi8ZOKc4o1ybnIIew58GGE4wpJS0elUHqmnk7ARTb1lmItmN5ZSB8USee9PzhcY WQgs4qf8BbjpAiLzVxhNcTSz1IPMK39BFHh/Gy9jJffHAi0HXowwdSJtNgtWO7aacuwNNL/tLGzW Wgph2lTdqXJGqY8zSkWT+6QihCCfCyi1Qn/zChTWgnl2pmeOZbrkrOXYjZF1SsB2NbjsTl198FRB k4vP/4tQQzoE9nm/mIAc+jESeMPb0Ay8Xb4Z+gSe6J7I2XsDgSEjysLsWDbecRy8aJJOjLdYCAHy BqKqhCYluVid8C612/Se/rbBJAnGritu3iuunzNO0HZwf/h81Brw05vVOkQCtTyZbmjJiIYU9XKt /tarO1nNbZo0aAw1Ly2FnoxLCLdRpg+en5zAsa2i03tgZVGgb9MTFjdjoxpEfSQec2jKqHLATAQD clAgUDb47/i7TyzM54OldK2aCLVA+aY9TkQ59GUEwxqhpFYQamU+S2WYIRp60o6fyIExo+MA0dv2 wauUq4ExTyfviu5lZiYDZ7QT3fA/rraRvD1zaMw4mnhHc/igtbQHXdMT03s+H0TeF8elEwgLeD1L KWRBgl1DgpFAJgInccOxFy2QSZ/NJykseMtwp6Xhw4XhoKCIexqTBFqfuNiZWSvihnx9QBgA8UOC SRKxpu7ug5I44J5UYiyj8fkIlHyLJmzGkWLxWMKH7owdaKHMShZT3VmeVHcuT3V399JSYM8o3BxA /ywtcfClVDrESURkg/u8ZPrTBhand4NyWVB53za44pZy3HwHzdKJL1MyXHLn88GxNL815jM4GzLz tc+NQu+zjBAkFZfA3qk7oottR6FN+m0LBB6NLouZd18+ePMEYIpeBemCehNg8wAog5YFWFaGtxwr hmcx1W6vG0SVIq6XunzQjqtp6ShvkAnPoU3jWDeNk+z6gPVOuXsudGqcIGytXFzsiEq3ap+ktIxI cr5kr+bGVo1SO2LCr8FW01gQ0WDt9ty6yLcIhM+bRCAQMGD24f3vbm8bhZXEEuZ5B0cuNckcquYd mDWihnexFKwJ8OK4GOUc2jWCPQcxMWsyFWk+du721bzmXWfxWYqC71WEnRS863FPaWMuQGkgrwKM aaF0OE4m1GRBKKZ2sxO0u71tgBKg0shaBApyUKVyg+20lXToJSPZrJvIVbXLQat74srYsxFtpuyw beCLrj7dSK6EkKGAqvA7NG20NlPbd/C4ctWTpcvW8sTLaKJA96gVInMgsg2uoIO81P8ObRunKAGb QMG+HSh4ovvcCIo7f8/g8xEa97c4VB8IwdudppAkCeWjlryDoi/FJEfMrPA81rPfc7F1o6YJZU0b vA5Xzhxtll/QIkDdi8WTTAthrM70OOyiTqbXDSJLFU+k5Nevkh/0OaZaRzXrLYNNl305qVk+0CG4 IVPs3jhJB2jLiQHe80bC6+575w/zXC6wb8TJRP5N40HOwWYpnfSpUkkAdbhztA8prCPD6KAEokd0 S0zZXzeqVrJssmVZtH3TDUIRGH+vNvn8v+JvKmtUWqc9Wy4Kv9HfOxvNBLMg8GMACjhVLmV151RJ DvMKXRxh3AQQBWG3NdcrHUF6/ExsNAF0Sn0IZLznTxmQOc+Z7QGRcFK31w2CJk0PZco0aMs1OLAy styongRGjt8UCoZHmKCvzm7o5EjcR0nZBEigQ/vjspDJTXlyghwqE97AyhEgVknOqtLU0q0LnO+h LEo0w/3qxGQ0Bqeo7w8jjvEYYrCizA/565YbvXUfbJJK7SImd55LIcT74nK47pLfszZJYeF7QVhv LFtKtS+vCjSKLRJvMgBgtKX0d/hdEz3kq+OLKVBbBtjVPL3Pqqo0E8PpXVGFQE0XAD/bcMlfN9hw tE8bXR5Gffmx1LalRefc6Xw+0mTzkIl33GIF8FlKId6kgyDAq4YB0+5ehxu0GbP2ACRVGH7Hho4E moB15sMNvxu0WYE571BvrplefA2+ZERrU//Zy5W92etGDkaaJZ1O7N5xLS3ocSrRX4vPB4FlTt8J b0ZTEBiVO0+xR3pFKa5JgX6yx6F5KviuNE+1U/On6xdFAbi84dm55WAdgnNRn6WS1Gw6/1smyYEZ kJM5CJT/QlZS9ScHto5yb0BxKW0fVLBsk3Yy2EZ78vkgFrjmoNxeKODUy+3KsbEjxOGtj8KU1wve rbA4QR+a3S7kJHB2hDIFndSk38fB+pZnp51YgHX4DoBGnwwsa+eBDvpbvfYGg1T3HDg7+unNDNwG JXNL3SzqYy4+HyVzvpj0cgR43KUUejuiqOMtcJRI122BZ5KQxIDbxaPv0NxxbbnOteLDLZ5U6PeD cHZCsFyG+r8F8IoK3EMjFknaNgqXAnfHTEY/6ZPJB8tRzneaJSsx9HxwxTWvC1RdiHiFdDO50OCx MpPb2yEn9Wa8QxZrZHlh79kshcG3ZXJp+uANAtiCIhRgC3zuQV0J7Em8K85hYrzEpFQhLnR4rIkN AnRFSR4464+CWScKSJRS+zlLdvEXRCr139ISjdSpetFLgcUjWnI4vtt0gZJ+ZZJ6nk43HUi2bJZi hRIWl5Ycv9cl5J5IG9p1lXTKehLHngUNR7JX4fcIPeHrSNPtbSOmDuuUkBOwwcoC0HxV37Lr+UiN LP+KBFBkeDpygcsjDNZOvLKzYeFnztkFONoyQ170LbeJbeXY5nFJFUDeV+tXf2DBcTYpXGq8V9CR ozT0OY32UxQAclAfEkXeUzCK5IOVmNqQ9vuJ+Sufj1bSLxFkoQVvFhcaPZ5cCNokU+Hj+VdzzTbT 0e40dqaPIWLKwOkRK4nrY5Thg7N1KtRrsbTRazpRfBHYW8JbJ8aBXMzFwfnbRowmJrk80DQoDuhg gyrJT5XPvx/da/fvysmge9BTXwrcHmFbDeRpsiAbnGBXj6zbik5AOm4HMQd2j9mDSrruavClNAC7 BfAWyFNIqxb5znYs4sGl+uhuUbktB4aPCMrYkVtt+GDTdJIHEZLOmuXzUVG3fW24DIzQGA+KOfR8 hMPZOVG39cABwjNoTnNC/Ikqq6uQ5sD0EavSvOaXD3Ys7QIQd14SBdpQ2cSyS4ANNhSOgXH4pU6W A9dHCfSgslSaD9ZrOotUes8TbcvA9vEbVLmZ67IS5zvu8xorVXB7N2Tbkp1KhdaUEiJNLl6yN68n zNFrpFQBXmKklATOTRdLcUJRiI8WStkCRQveAPaZ6WOf3/Y4PJPG9XmNkyroxGycyCqcg+YHt8Aw FheefpmdisKH1tAWBI4xLNM3HoPR5KzJakkRamnl2Y2iMwl2Y7i9+yULBJNjWuwCwfcvEPy5vXDN MdgeYAh0yuAl1BsanH5yodJ6+kLBv84OGifkwHfqjXOwAAnRCY+igiLu6+w8JdxtyADYKYJgydl5 jY1qpiDeHNl06ift87S9hI2VsfNqltq+RkZV7scQRRx38OotrBBzFYQSfZgtR9VN6id15a71NT0V P69xUQUIh0snsW3CwbYWXKJVZdzt8xoUYWq3L51sPzyRuGSbntegCIunETPZrdOdZdjHum317tJO I9vieQ2JKhpK4i4/w8VOngQTEG8VtztCSWInE4qHaDmXOz0CmL4GRBXsdTJykwR+0S/l5oKqEHin 55/gMX1e4yH8oVZk20ICAAG/GA/RoTSYHfBjTqDm5Al4Eln6Mau3JU8mmtxuNlg8amw30Zc5OKcL fhl5cPGci7nA2haxEKpGjfYGiVtLpFzmsa+hEA6eLAS3nJ+SOz9BH0wIY/QrPq+BEJ5WQ9VmB7WZ Vkuy2XkNg6rCoHMHdBNm3WkV7/+P1rxMO10l6TUIqhLGQLbRqw/esoXC/gCjAuVHWGVkRorIxnor RGC7DWZJjKdfYyB8kVK1X3Jz9pAdKcdKBsaq5fMaAWHtGCRJBw8sdRvAupqd1/CnShAFhIxu6YYE bCfBpJSJpgxZn9YReQ1+MDvE/3XbYF9GvAPBTdvCbA3Uw1nGBS/3h4Hd7uMmrrI4iHaWkBEyUZ3X RPVsWkpRIcXK4/Ma9+DYmj45rJU0Bd02Oa9BT7VOdrrk2zSSgSLOheKOYWvm5Zq+4aksQVZhJGlL YpPTaoKga1WzqI5RhLMFEAe+kpm8DxOLpDbSa8SDL0AtNRKzNNjsVDUGqGv4eY13+Ia+sRRpo42e /FB+LTRiYxGktY2FfI7n7ceOuctb1cPlx4JD+fxXxPlJ4a88Cn+ANmVoUuCGgkhAFf4BMNEJkZHC /rUh2Rr12YLZWZyWlrjWOKgUCx0VuWOcKOrzWmLEwWiK0LpNQW2kqoNm57W8WKV3BjiBoSD6LlbG P7dt7VbtWMkP5dfiIuIdatXi/vbBrqwMB+dMYeqTBmSgkGj8gKb4QCMBUrMXwEYXo9fSIr4BSUPy ftNgOQUA0tULQq+Fxars9uvYOXFnIwlB0WAUK4vXnqoVgybNFEzQproD+Bz5siKj+dkyL1Kxo95L CykERLK7itQZTi0GqZ3nNj9bCqGPC9XSQ/6dyFZl+Icw+RlUej2Xiso7jUCaKFxe81dAiPYE4U6a oCheBiAN2BmdPXBtK9YRgiKohTxl7uW0mnABSbVCfJH62D4ADQlsFycogwPLtLSDzohYC0345i1Y +iJ/3klsVYRGhDuyf9i3IXR22mSlC8LKn3cOGw7n7VEPgbPoTbQx/GJ/57Dh7gIuu2SHYxUHiYAO uZcfQOtOURQ1b7YUIY7twy1Nn2jmTL0EJGYyRfEMMkoDFZlVPUsplLhHYbN6ZYsFSA262nctwvXV BtrRe9z8sCC2WH4UhSt+t7/z13BCN/JplljG527PVpc+W2w49ZhHrvXxgyO6S7U/K3XPt0ENEdZ9 5gA9knOBFdiq8Xav+KphcJJZRvULLOllg6xLonVkdGvQDQZFVqkilYUGR3QMyW3RdhmsMXpafoW9 k9eqJNVAg7TEYjf3BIWoiutlb/yUVVujGaJgxEJTxwZbQuuccScN4BWPq7+sJP0/hDGQwSlUHmty TVVVIzqGpKYm2WwOmiDUocXRh/rhO3Gtqmn7TBBscWDQc9P2KHyGRO+5if2Wbyx2yvMyO2d0r9JM MPudt4YDn3Uxmhzb4BfZ+esT+XjQZkN7tyk1BdwaaybP2yTjAv6809awkdkj242nEQfhik6UNYsK zK1+3llrVcTh7xlCvXPe7OudtYZPpekly7oSssleZz0nrMsgpHXrrFEM3XnHj8YzhoPXfdCThrOp aWqszWVWoLdAvCttlM3RUcy+KIhupPdPiZFzMHjxSMSRnzAx7887Y60+5iFbFvKZlIu7hKIwGlvz JLZTc4FauutENMIVOUET4HwtoSiOFtKxd1nI90ufgfow7OYkEwFNUWEbNuhMFIBhG84VEPxl32eI UdOJEtDX0aAZypAlFHu4z887XQ3vuDxW1M0+lkzsbYreY2msIQjYNEN9nCvU/VVONJSu5yVhK1xD UTBN450yCUDXYGuIi2YYWw1G1NkEo6nUBGo94hdzD2n2ru8zJNrjbpTS5ODlsZXZADr5bv68U9UQ Dm2PF1mBpudlvvFiFE7j5gUh1GqrMJm1CSoUk2P9uV5T0Hei2o2nB+DaNni8eM7QQso1sFbnT0lF gNqK8jP1xNftis2pd40mqOj8yT7oGAIUoFiCtT7vNLUqy8znrocqZmG2owJiFFBDWQxfuMlppf2I Qe9l1TKojFjx+Z2jZgu4057Zh6dtOE5sOAhGmydvolA/Ei/8tZkI4EdNa+hdg3O68iajtY4Gv8m2 YCb1BHOfd4baf+VjFDtJyaPFd34apnWRne46vuXaorfWzI73RItAZGiC3gNqRHL8UjKBsi1fggM9 8waFJ0Ep6q0gkwLeGoVy7CmuGy8EEbz/Tk9DvLcEtxYPu7hO7ZmrOZpYs21+3tlpvMl+3fX4YtKz hKIiNPocc/VtrbA1t9eCZs/eet7QkOIee+emWZkOa2d1H27KAXGxQc1+5AYLQLMO3mf5GWRzkw1q DUMaP7xT06rbN8MOzwcPF6ea6+diap93Yho7IPcUEhViuRg0pyiMqAcVEN2uECmBt3h692NolG6E 2XdeWpVgGUrQxFZx8KJHOufoCa0IZoR+HXhOSOuRxm00vKCD4HAYaou809KY19OkRzg0DheMPggM PFcLH48i6vx1Dg2aJ+Ew0gxFETVcl8/udSQ6mB5WM2vNgkgAel3s+J2TVpPFi126Iv3RFamNFvXI +8koPiEsF9FCrYB+irl/kWVJJHrnpFU3NhjkjWjQNusQl2fAeNK+zzslDbftLl/hUMNdX9LdZlFE DSLArLVdfZrsKj6J1S+boWwR9Tsfrbpq1sBNaINH1BnWc4nYBbT9FxozHb9i/BD2uchXVLke1/fn nY5WRcHBAZSnD5qgvRs9I86vmOvzzkazZW7nENcLorD8rKH3iBqcD8RAKtQDf17MpxiUve61MxJJ tYbeI2rVb/HwuMOlfKCZN2hwPcbJVhFZYV+gONTxe0tbT3Vo6WWD2qJOoEVKGgdN0dmcW5n5ibY+ 71Q0No3L12UGv7cqhixnKAypWT6rpmRwPvWKiiG4s6MaBTxbQ+8htVthTYn4zFWumsg5zgoqOYO3 /dlz9CYGWw/2Jhu2v5fuQUTI552HVqWiAySVmhvIa2TfDLM8Jkg/kHT/vPPQ2DPy0r1+tv3Q095m KKpOg1QHx0LLW2txm0IwWdwcg/opmqH3iBqlY4XS3EIcbIaG8C5bWGHA6CVfB+kPAq/Hw2Cg5tvn nYNWs2X2k/7zGhxFleQiTw7aOwUNj08PGPl2HSWXdBvy7ww0RoxgoF/6sHwndQ5Vq8viHFqGWnwn oN3ax1zkenDQDA0UWyG+QsdCiNMMCdijeVZRPAA9xCLGSQvwd/oZ19AUa2H7YFcZpLdVgq31884+ Y6Vwf8VDgOiW3fygfqeeYQmdIxJ6irbJgHm2y17KlVxCu3lI/c48Y86BhXEin+qDL6ETcYHGzqvs /CU9KSnDJqvtbC5gSTwcQv338048qyJrggpLzAIHw5idjSt2x0lhP++8M94l6WuCGASv7pWPd9ZZ NdU+edbzFFKVaAjT4eK0m87CnKCwRs2cY1MqVIOtoF4hbQgNC2A9FhTutxYZYkV0OEmxNv5L0btG E8S6YtvDB6t8nF1M/i12wuedclaFf/raYwW5DZUoNENRSE1a9WBAihK14To609a87SarJRtF/51v xlOIeb0oQvOhCJ2MCbr0xbKyMy9F7o+ItagYTdNLxykOvWuQlRFGtEQK5aAllE6kLvD0uV0+72Qz HtN+1/PSw5cugDknKAqoO8X6aGgurex6xfpmNXw5wkVj578zzapzqKZg0fORxTp3POiI4CBLNARy nyQQ0d367C3CMu0QohzGO8+sujfGotjYGbDseJH1BUNc/BP3w+edZ4YJvle9QETnM+tNOd5pZkyW MUNzWZejbfN7Qpu1+wzV5GS8d5YZWwhYQlSttcFmqNCfhyIB/VxcifRN3P64d5HDXi410aqfd4bZ 0ydb5Q5eGKpVfcQ+5+edYPYrmuaLIapGKdim5z2axs0w0EjsFiuunr2RuMiywQ47f+w0+bl3eplB 4qDN1+7g2KCTUS6jUTdwglQYqvj+z2LCCZjcGYPwsM87uayKTIHuD4n5y9VIMi6FStctOE583rll XD/pKyFrFC7dd4KiYBrEC2hTGcJjpe4pK92XLFQs29SL3pllTMh49qR2B083Tjy1SOZDIFRR0MeP JMSWggd9iRhSLPXzziwz/BOj6DvolAYTq6j1NfmOQW3x3vMiW2e+wUWXRdE0UFLnGjDO9PkXcUE0 lpWEYaM4t2MT33lldosC/jLkmkq41yS2FbYMhfQrkB1mK/Rz3Kw/FMDO0iN+Ac0afkjQa1WTtcrD uYBXz1MIyq1VAk/rRIvvtDJGi57Wd0IfAJjv9QKF3nlluMpgFJYpjsSGdHbKHQhhPkkbaseapLBE TdMHoKB9sHMICLwT8m6uIxAvlmjDQKQ2VD3GY0/MT/y8k8qqXFxQFdJUwcWIy5WQBt0NQGl93kll 1kh6wiGwQNlRthmKAmpgt0/07lZqi+hU7rRSU7Gddv5IS8reCWXVhTBHs37QF+bjXJSQCWXaeoIt cMmwjKAXNaiyCHi3LyOiqd75ZPg6KYCFPqIPBgFeu8q08vzZn3c6Gd4x/0IMoUTyBIzvbDKcRaUg sR+SdQTabBsImNKF1uYoBFQBqRhF1IPhEPvZNniFcYEaTOYqGQlzU9+RppcDgkVQkPJFROD6551M hlOBNj2L8vIYTCl0zXQOOIrO5jo/71wy7tPqiDPjDf9UhvqaohD3gev+JEeNimknb8XXilTsnPXo lcgWA7wcSvS/U8l4GbPRmtLywUENheJFq6ubeG4Gme+Cr9RBmxgPihx+xXzXaJtJ2llSc/u6PYyT KfE7qSc2/7wTyaz48JWV4YLI03fZO42MWRm8LtMWHAbcxGrdxNK29abXQpWevM13FhnLcGwjJmEb 0sMnP1dbb7QpwDmUGgJsIr7OmTMghQBq+VUuRtz3eWeREQ6sxbPuoBlCLbwq6xjr884hY/1sfc0Q 7499m4nvFDJiOsVstWZio46Nicyx04FdBj103fjvDLLq5CjZpWiwJQSzbnjySUW1nGunsHwGuPPZ jAW9rnFli8lEeGeQselAPOeUGfh0VMPEHlAvaJ2Y+p1ARmT4zew5RawT3HbiO4GMRbtKK0dDdu6c vH42h9VgCwlNBpmOqtQCBM3d7uBslpQm2IOLQTXAzTLGBIq6QlabWCllrivZuwaZ6zJIefdBedk+ jzMsPolA+7yzx9gFcMg91htMFr9gH+/kMdI1cPiQ+0Bv2ZVN8xLyyJaAwJjBbvt37hhXsOJpJa4P dOgcPWeCEnnhvcPBJgklS2YbgTHjsQtD3fnzTh2r4jSx6LF8uBNUBfs42eHnnTnG3vs35p4qZOnm He+8MXtqZifSQeLSw6EijjRjxnknKER9EJ+3CBrX4B176qJMyRLBj69ORZcokiGf5erRHqOf/eed NGadAszMqj5oglCAkv/yORc+75yx+sgTqs1Bk/T2rKAoqKY7SMvWOJw4na28uGbyAiw8DGyLxagP HD+r0r+Zg0/QhiBxor1TK4UUC5KC8N+Q5Nyv/i6pWJ93vhgzKwqEQHDSBiO1oFHFJnM7mes7XYzQ dK9QU1dWib03pFsUUEMqY4LPpcR+jel+c2NXOfKhenZpGyGAujJdp5+FhrvFGvmG3GIV8BXGBHVK LqlSecMyVy48fEZw0ycZzbXqgyANGyppUh+oGY8H0eLwzJXbsVLc/q6gMJ5Gcr3JCyFGmHctU/s0 ikukkSWvCYoK1KnJ07EkH3yCEhKaNaSVeiKYJkIdpKQq7WryI4pip3QUTy9CqraYhxysAHuCdDZb Ya6Bx6OO/TfoAz6z7FgYtSWMp1E9y7m562UzlgtAH9x4UmcYzm15j6elWgOhMHaDOFhOts4hX2G9 w+oZarlGEsKfWYkbv+F0J76zR+F00vFMrS4NWkIn+aCkMeQIKx6PaHV+jQmRjvA1+Sndwwo1Eo6W fI8VScpKmcEn6IRL7ivTI9DHoOTAGqyhcbAJAoKts0UIpQhY7wj8wPorOo4bRTFlZDz28BlBvmGe jq35oAk6Z89Wu/68Jx4P7vnqGRkXOBy9Cyg8NkNhOD24yarX8Pe6oI/tBKCcruZ+jyrUEmU4V9/0 wXFDJy9oC3f02WTnD9uoeSJ5hSIaMcLlScnwffFDokaZmK4S/CLXbilaHADf8M9uBc8HyKH5HU9T 4B/uEj5HIf0QwJhJxxkG1JojBENDnnP0JViOzutRQK2cDAAPHzxaRJlxT3KfKSSCbBgpByJwMPjx M48mQ9HLBtHQ1glU7qCDCIgGmZzPMvF4cFRfcNWQFS2EdS7Gs0ccRHpcJ2ocCn5Wzbqhpd2dKrVA DGNW1sOAWkUPlaA5eDNxV9w1i1DzBHV74h5yF8JygPRyAYzd3vWvZuLlc5z/b7CAtsA5Z4/5HPl4 PJihWb9OIlU5b7zYw4CaEltSHO5sdHiNsXLRU41hThLoO39PxEPkzBDLocHr1G11NO0EYIRyHCKm vNE/oMQsAjc/iVDqxGcEt71UPqtEiOsVIYb+ujwbOo7qKKBGdeTrtkehknwSTVBYpybFH3k9vQkR cVltqOL+yQJ9gHuuCQoDamatrfLSbx6q4CCChGwrPKqhPwwOPTYZEoaBNji8Gx4Jy6mXDc5qyaHK 7pKDstYNJWmboYnH/0XnUNeoAzx599h7RI0u9rnMXIP5RwRRloZO+E9QHuMhatPxGIoiagO/5px8 8Bkq+KJ4reLOT7kwpkwAK3YVybsT7hI90npUpaYLlr5OGzhBG5rJChhrnng8SusvZ8oSOOxtL571 CEYNBvcsAItxDaXkBsXog1fztyy5W22oR6APfKE4fqoGgXa5yQagMehmYYY6tD7ZLsdvR73xB14Z fgphjeAzIuwZN9kUn3U6nxWQAHKJCJrA48ESmpcLTYI5gtxabpG6h0E1jVGyQRlmWtuKQ00VY5bP YEqlEmyPitQriS2l8zp9W1qtBmIZi0MVSl+UY9yo6nYgC3J5YB+dovE9gn10BYvila3LKwN/by3h 61PD49EqSl+lj4zSSUO50CjRUVSN72JaqQyZ63K1gfP1127FoYQyPIPGEVWp5zLAx/DB62dro1e/ 2VLcDZ0nRQQgkVdiQsfP9dfJeteoG8S7zOEfzk2kdbM1zHLG4wHzbvwCCgOxRAVRTVAUVcNoACG8 xUNGrmc8xIox46Gd3IFoxDVqY9wlHxyfd/ZOq3JKP7EkfBupx3BCCDBM18/OT15G15gRUxNlh5aT D4YTbkuipeg44fGoKT2/ah+Q5Wq9OaphhEjqDIDcMA19BPNeHNoUdSNMuOziExTVqDNbzVvOn5tE 9LOUAYxhyWyRmdigAryoPiiFR8r+jttPRB9/4DMCiCfzjcdVx3xRzzfZN0tpIAHg8X+1OVR/BW90 etYxooga8t8zz54cJZzd1rpSm0UYWNQCtcWiiHplNROZfJj+2GBxqG9yOKYsrAox9OTddQTAyI3a xcWgOYTP+BP2kVU9y7d6hjCKXOmzUjcej2QZLquM8eKg8qLnHCMKqDsq1KV4AbaUu4TONW0YGZje Gkp4RAH1IiIGzWEfbh8owxWAJl8QJEVVH3sMA72J6BLnjSDao4+oRA0O7lmPplbAGG6rgAZlZ/xz nFgCz0eL6BufRzQTVoRNURRSoy8LZIM8q84rluzHEIVv5fqdnRM0opB68YBGVuGDg/HRv8qJ4hUD UmfiVUEzGb28IbN7q1GTYj+iGvVUM1HUIA66yWCIoWPoHHd4PIKffePzRJoa95wOcdQIGGcfqtfP qfIQxT1y79aQHqkb9GNEOGoGqmVaoTHVq905aP5dzHkY/CxVGDv+kIxSRb5ueoMIzxHKezDnYA5s gyM8B0ukP+CG4vEoHPq1gqgotLwNNKIaNXRLzzczzSUuFeMoIhhy2eVFkwZtsiiintNKsPMpwWbq dkKvYuB4Qgn2HMiD3gIVgitncUHPOD12g+fX4zMCfnQSnWzfQccQ4H9EM56QaOPxSKbBVxDZ5ui7 jOecjgJqpvV5b2OV5StphpbWctJU8+rQCAPqKTGzfAfbYu1EdQkqTrzpIcLLRZYrytNAaeaSblK2 tYTCiJrN1gHALmWphwHNN4oQDGbON7HwfJDY1+8qNXC9tVzlrhEG1L0bkkHBkLCMpG7y6GMwNCY9 BbDJwio1Fw+4CT4Y4eXMyFmBi4SXcxedLLCREtSY3w/iAW4df0tDJ6Qm8rKH3qEPIiucVHKaG2Mp eDyq43taxhIjcDUAuWiGZlilro2Mzf0jw0qcF1Ja7rlcW096zSIrm7Ewnrqs4izsW6U+Gf95jclN D518lEypWT3E6zk7rt5WGd1o8BlR3ipYTBo+WDwNIr8MPFrD48EE/RJDWaghfckMRfE0uZu5Lyni zVxdippGCZa2ZlRqmZPNsEqdJb8kMZTxiKFs1AVSIQgWZcBR5VDF1v4JBX541VsJFiVVfMafZfwi CmepruB1bhFJnJ8JKng8IpX5HpMUCaLP9sA8ZxRRgwwEJIMA5rPU4fXFLLOFoUK+SzGF3MQq4h2h Zf1xpOjnA06YDZ9Fos/ShCwTMVZnpohTwoHi/NZsLxuVqQWIkWP59kV04v2SWN2pe1U8Hh3Vt2OP UAQ1coL4bYqimBqNstlGcbWP8jjliOtKrHl1Ka8ZV6lVe2XflYNXqc+UpLlYgj2BfkFPmlBq7KzG VsMtwTK0x2dE55AMvIRpKI5pWCMTy04AUsbj/+JzMHw+uQ8awD5BUUhNAeqdDWEOTfCrz0lYqvit 3YUaZkhNJAlhdEXW/ZHUwekyl3XLFtYoCWhsNTXc9vTQsqQD9Qt8RrDNJORVKay8qgsrn9eHxxO2 WekVjwftxPbd6CAh8inizxj0gU2mFB6Fj1aTp62pXMILNXw5QRHow5i/k9krBw+oV0d6II3Xk9an TCXBjWYlOZ7gOXg4VO1dg4Na7mZYdjZogoC2mRI8GwOP/4v/y2Y/eioqDWuGItQHPF5g4+0se3lV 0zA329kEln21lGNGRepFLeUxSNbg4EvohJtwC5aX4DhzTRnYSp0mqEJAjPlKKhe9658ntfwn2G5T 7nCitTJF88FVFqI++i81FMwkkO82QVFEjXoJ5Qo1QcwvzSt3WRQJ1Ecz2t2MNfNYGpKAO4fbKoOs RyZB+mT55yChMOOJuqvZZ4/2FPHtXaNOGQ+hIZXg4SrB59voovXXtfl4hPrwysfmtUfJo3sIRaiP SV246X2gpzTUYRdqxUUge22CoohaUosvfgpUu8EsYYLgCSrXYV5fDSEyQkQvUVO0akYBdWNNaKda fFDKcYLTIkbQ6h2P/0+KQ7g31o2nZ0hLRFbUZs52jY3ipLIx6rAJqsM9qWeo9ME+0NJZtB4VgnO3 59KoLk2lj72TFE/RbKzQISJlShf9oAX8DJXzhjmYZR8M9HHSI7Xsz+GPx6Nwun2F04AMtHy5vysK p9E3Pklrdpnp27Gv+xpPLOjUagWtCPQBrC8On5598BUEKMxGQZC4oSSuJszPSd+mkMVvJe4VhtPF NFCaDzZBJ8YhHQNAWDwe0BJvk0PmCzKR91biiuvTnTVFO6XruFSFtFwbdyeA/DVDYTzNqnNXy5WD N8o6qBZgfuOinyDtUhgOwCFwRsA6ufXpae8a1T1MSJkrCUBaBn3o/ZsT7/msguej0tm36ivhOP2m ZCvUmx5kjbvqvVorhvqY01Ef2auLK0Z9sP7aiga5rZE/fk7HtXYVf3ylKVXzioOqIphgmdEI9mjh 4zOCAjXt77YMOTjYKTQbrxRE0wOPR9H0N3oRrTt02HyCQl5iQVa/jEsPKK9zOYDtvaWzaoWPFWKo eTuN0u9gS2i1DamwodLZOUgzFfFL5kIAIrw80q/J3jXi3ZW3WLGBSNFl6LIrHo9y1l+a0xCwOQeY n9MriqYnS/jZjd0smiYOnwaMIiqkaXWPFfISdcVDMduGC6GGt3uVBcdCWq/SEOqVjeJDwHjqItts 168I8dFthqQtWF1b8FwuTTN0EoCJx6M91r7SDQJg54XhryiaRrR0rqmp62tYCE3/jWJaMXB9KhZN r1DnQ7jfIvBQuYQpKPqe5yerr+ss7MV8LEM97BwiSCLpX29ekwSfrQjygZYzgiA1OYY3OeaC9bSU UPrA4wHk41ebDIqT9SHYryiaXpBkmoAK8JzeRBCKMJXJWUCbrANho00WRtMS8Cyp+eBJPYAwqHcI 0LBHYxcoQX6GDV48aYUhVgjxGX9NEAMtDs4GGkBnU6jr5C94PCLY169NViDgma7C6QqJiUDAAnmm wtAk74hMDlrXZ6lzbweerRBDLdeE/yoMgZi4C9ILuiassgrVmBN0AhsO3p7qRXzMoXf901MiC2ue t0fTEOTjzQmZPjz+vsfWY5tAMe+zvxu1cjVDf7ISa7VaNDIPixYhy35lPsDw1xKKC9TYXVCc9uFu soJiBj2lBmR5euMmy/BN5DeDxoZarSdwb3rZvzuJ8w6qerS9KUX/A/tYPB41673qwRlC4NCvPOUK 69PAxNTh+vcnRrnCcHU0b7XWYWiGFcbTZPXC594HL+FTITVJsuqc2hvKFcTEbghYwHx2XBkL9Nr4 sn/xpU6gn3ywY+gcMGIV7szHo0X0XX6lMBTh75yhHQXUaOfNIoYLSmjUYWXGUVreXvaQPyCkuv/B Sqxl+eBrKJ2k3rw2Btq3lFo+/9U5qRr1XMste8hhekcBdWMfETg8H6y0iHa3AJuDjweFs18pGdCD YPz7BL3H0yCUTcrB2SYbww5naJBPJ7bu4RYK+x9a1EmnURrPBI0Js0TiPeaZoomkj25b84csdXQ/ /CKjDv6OUdQ8f9Ajt8FgVYTc8OzdA49H2oLj+xgCAA4NPZ+hEEUtpeX5SOf5EkrtSufRcFEzFMfT 4iiwF8TBbzLQ9VGVZjAERzvmtRWsqbKpB52utR3SZHzGX5Wz3WT+3q7Ox9k83WYoZTweVM7K+gqG KITfnwmK4mkcoAAxWHG6pSvHlKW5TLEhyF9pgv4GfAxW5TV4SraQdAzpfAD/kSh0ATFYOEi2Hzh9 eg+IN9mO4uktNfMhhUH52GEJofJKfDCan3g8onJ8N8no2bifQygGUGe0NQz8glZyMqZCJ/hCJi4o i2mCYkaicPh0tBv1OtohlkZnmvKUA4LEXWSXRgFqRJ8IXqxwxur9/j/GvizJlR059p9rKZNhHrT/ hQnuHoFktTLqtPRMuK/7JpkFYojBh1joQxUzFfG9egntzDFFdzppPR7/J6aK78aC3c3qd2jkAivS NUx02oUqh1ThzDLghI1uRbpDuAdLi1Puv/Nx/+0QLzhBipUWgc2QvTt79JsSeA8IH7cFvuNPn5st J6ndfYbQUJI6ZU0Lj/9LFA6zCVA43eJsgiK4B8jTJ6woLoVSmosNjbRdbGhA0FYTFMrm6RDKUs/L 7YbTZ7vBbqXpHjtbQ1jFTGQ5wCVYqd6I7l3vGtRerY3IRjQHTdCocFT5sTbijoWox1e+gZ+sIqa2 CQrD6bSJeDUpndSTS+mc3aYOGXQ+iqHOdgj3WKQkFhbxOVhpMaGr0RcB1FBvQ/Oe3XxctOjPjeEp a6HnLr4jksNnOL01T9tJreiY8yIG427g8ahy9l0WKpkl33vRh8XplMn1NbqUsX7lqNCuGtMiqrrz c6JDiEAYef5y8NIi1HAb4NWYIPB/VVuDu2ahR096WL976l3/VBuqa/ugCYLYgVw10+LjEc8lf11j i5CKq86wo2C6sW6mNjSWOyte4mzm6R62sGOxFRSBPUziY8sUeT8TNAoaz1SABOQMzFZMUFn0kUNR qu0bCQ1710jhgxB8ttQ0WEK2oM+KCWqlfXZUnH4k4SidwuC1XCHzFAXTbRJz1uRfd26K4T5/cCrk P9LYpZpQdwpV88i1qzXdwfONvtH7gnJWXwPC8tiH2Bn5h1CrTIyUQYaWv25A25SyaZb8QKbnkkpD qUtF70QVkx8QwTtvsx7v1+HA96WJn6IaNZLrk5ZVUThO+Av5Y2I+EoW3MGUbrRyfp9gRUUQXdqPb l8HLCVhoiTyZl4107n/OEwCOwiMlJveq4w9/3b+EmQZ0tGxQcDPhUcPuxclg+XyQeNwSGll2BE6O GzZKjez9uQ2ckV31CJDc6ZfHrKqMVGuVd0AKodTyQTZt6lQefmJZsPNSjWg3KFgR+IHiQ0c2RhiH 4T7kO5pC4IcioqXkg/B2BskTTBoW7sZJ2vgBQWhULjyG9xpNFtqdpbBavSubisXTj+FmQRYl0egF Usw2S6FDYpWqeZ8++GI6Sf0JJyvdgs7mKJDK7fAXo2AMf4XHy7746wbl2KXslfxhDpajnZBFKLQM Q+QUVqwviIjJC6DCdd80VrzK96iM5TQ2FGl7Z0QhEL/6csDwZLGIey6qWTvQikAQDobVO3H0+XNK FtCqoEW/WC06K2IswNgHqUysFmXqD+Fb/rCimNSis8HAej3L2aFuGC2kEATyWwX+3C4/6rzbNIWF awrDQidRcLSFNiXxeuxXCI52jvgtnhk+6K+SUVd5loPn+3QkH5RumLD8ArXh7Dmk+gNdKcrveLbG OADfEmX8YiuO6YPVjNDeZR2op83nI2b5/srXKiIC1GR8lkIBECiS7bSFb4BAu/EVAeLoKtMu6iwS 1ZhTFG5PQoJ7qs0HW0wwxjknH/MRMKwW0ueeCbuaYKGAbHiPptHtdaPaI6YHZHUfLKlFw4DnyklT +HxQGFnfcBCoODeCMGyWQhWQhKrsdO+X2ufFgxSjCp2sEW6KdjL9ra3XKrM2DjZLlJFuaElDjAhe QiDvnQMENwd9o+/BVAhX5Jf8hQgZmw4nY5PIjR1Xz1koyMx5iM9HvcZbG1E5t6LMfx2EUhh3w8ls NRfNt5OcmAfK2kqOCAm6ueFFWiBlS+5LmvDp0YQ/u3gCkc/sv508PVEsJVO0PgHxhxzKxPXkjpxi AxjK6iXqfHLw+si5PNkBPqkQnw823MP2wJ5DRAsN9jtLYSV7TnZiHeC4XDgf/NdqwXffxf3eUlTK VqG2qSvCwWskFR7hgzqNZ32erFncRRoEnJ34Mxh9V1XZir1tUEUi9KHL35SDwu8TbXTCp+pgYBnb wPxiNOCLoQRlkxQaK05iiUtSXgKZRncXoHGm6kiJwmLyDQzhISRQy+tXg5ciT9A3CMsvwA6fl+om OcyIHAHTYzxJL+kcWCvi/wkHOooPNkmF7m207p58/l99R/uXuW/vJEXBNxzPJ/J1o5/J6USqw1ZK grniLD5JUfC9xYwx26XRvuQKzvt3sxPq5GpzzWFBFZKj2xeOL9nbBk0RlQISUcVXPzxDYFT1PXCI +HwQe5d7dPNUop/aE1XG/ooNjHyZ4zC+3MWS3ZNMJlYDSrZ7r+uDIrSjFOK1lNazlMpCoQieCWcp zQVkmU55FE0B1aFyrPGHSrO3jZiw6vGrDVmH8RhBnyT1AK3Mwef/1aGVacP59/J6llIktrdmv85d lWwrW0pd5klUcZqdFYGuDwr2Gy846q/YYEsJbYAEfzNaCOL6p9Y1m480rPqS1x1sYufAZDGbbsEW Mn1fZHodZ79t9cxy5fNRFPCNFaGNMjAyPkmh3N4Sjcj2mywvtd/W9roS2oi+36Lq9lRjRAZ4HLw0 mbCSsGO535BY836DHUFFhyH3pyggC/ccOi0ulZYGk12UGHwpnayZyQpE8Ph80Ki9MjOsQ6FKvK6w ZQ6sFqV0hr5y8eqbdUtwKtHCi9W3yhZA1wdF5TeqoAsZy8GrSw0SSIQG9k7/aQo8FOLzgM1kk8RO JZukKOzOsjer1OLhoDYSysFT5aVe+XykbflNHKZ67byaYDlwW8Q22dTikVQBmL/J6FatXO75uKYM ObRbXFmRJFuxHCw5SRSjXXKpOkcXhGEYUJ5ZbexT0O7WSXvFXjeKlRhRTgpaW3eElDRgULmHzgHF 5yPN7189f8zm7jdUCiwXzRqXF7MoV2LoEzjCQP5/MCdLPlVJH/RXL6A3Qfnb09Nu4NuCyahCJVq2 lJElmZZ6YvzLTcOg2ttGFxxpEWDO2WDdkgqYBK8se8l/yamwG4COX7/WAzkwXcyUsDgryTWLqggz rJq4Tk/eDegem6Sw3K0DOe3tg5cDzrIC1E8NE0THleAR0LYHalAntbgaheQS8FsC9fgtxgMb3PNi accG8V0Z3Mp8PqJete+AEvAR8i5tlkI89kBPINs2mxRcMnvTvI39sJOI6KgGxNaLos3Iqnt8WXVn tLGLeEVnxkZT+zuRKwuIJYSave+2kr1tpAUqbf00fdBS2sjK1Suajc8HucnDeqCXzoAVdb6xUmi/ CGjDbHQIxVpq9RJDlmTUsJY2PZs5S6H/4pIs8ezJB9twQDNk2oaRoAaT4SnuzESNOoMCdW3PFAaE BozTXfOkYkS2nFAkg7qBiEAynw8uuF92MbAcqcDy+SSFanxoL52wrrOGBAWRboWlWYcEr6Eb4nz0 HHowSodvqMXP4RKMzu5bppDOzIQBJcTZfsCt+aEpp5bSkh916MEo5ZAphRUOVn47q0ppbi6TzwdV yuLlN3W5N93k7iSF2iEDlmfsW2C/NQI3dXSrB4e2ORFvOpUCG0ZEMUxvFVC2J6Bs5zJgPrKkNtfp VIALDvLNFIvMFxZZuPH5LX8h/KeigYkyqhEUB5BztCM4fws/IIq7v/NcLOQOSxafphBQMia982QD e6KCi67t8MngjJ1/6F7LDawYE29odCBJGebgjQGQOAZWwclqE7p6gCgT5fCzGL2gIuCJbvXXDQAB tNzrk+dSl5sQhcAhnCqf16y3jKoBN6QsypApHX6nKXSPwQ/S9nArvZTdXyfLk4B/hrTWEHkHfoz6 AwA+JkzbGohWDli4JIe1dFuSGHaBRUOF5P5O7fa8KfeUYz9GqfRkEY6yE45g89XlAZ9T5vP/ItRk CwRquZSs/IcjY4H0XHFkyRjGVANbsToborY7SbGSCCvdTea57cqEnpRkowZAZui530TtQPkNCmhg YTfqQNRH3zGHnoyMg3C3FR8UB5zcpIn52Gvj89FS+oYGgFvQ6te5FAXeoCdBn109pnPGturVN8I3 hEWew3O4wJURXQGWASYVizh4dgKvOHh5S0t1SQUTmj3no1FCBvbdRI1k7JlDV0bBJzY1sjVYHLBP GKKVtAafj+LuXzcctu1ez0qKyt1wLzmH4NyGMNnlIkxoR28Ik+6FpcCY0aGSs9C+gYOXTHCtpUog 4Mlrh8mL0ZixAinU87UAWTQZzqEzI6uZZ7mn6oNSuI5SDDfLCcb4fARI/mYZC6pyMRQ5tGYErQp/ khA3s2iPCWWyk+e5m5ZNXR8UMdgk7NyXDzZJJ8YoGR4CrAZsCM0T6wZd+dq7obgsotzL3ja44Eir 2UWoyeKmTW02iZ390JwxB+6MAAt9t3QzhAy+QMk5tGdM7Ok2A26BiDEczdXzhZrAktWWUljtztLk 4RTUL8jkOdFQEugyS0mb0iXofJ/9hjbKCYGFEeVaqt1eN1hLkurrBFJw0IbDdBOx1qAQnkOLxq9K LjcrFMIacAY2T6FLowi1MvokOOfpnYy+jERCwq3mKbZplCymqmsc/PA+uW2tXV6o6ClKja4iwCvo PKDrcVvf3d42Cip5IK05fTDU0olbt/RFUPAOjBrVofoCCEiw9C6m0KkR59DEf2EFuO45Sevjen0W 8HZskqLIe0lEXdKGHGySzvE2gHRngwnmaJXTWYBwoR0qsRHOy+72thE+YFCHX9pI1yetbhgVTWUc eskIYPpL64hy9/XecKFZI8QpZ/apObdOTt46KVa6zKxXW+QdujV24gONmd6e+hsUxc7qQU0SpaUx srSwO+iXg7IfbTxAd/W9Q7/GmSQgSk1+DspPamqZswRdQD4fzdI1KGIdaiChuYF36NgIreUphgy7 cNmR3OcoyVdmBEASW0qxZSNBJsrl2qOpjlgSllb0TplAmxRiTQbQN4PlKxyiFi1BCVKvG2y4JG9v Ht8cVIA7kTDrq8gI9ZYROuAWBHgj4kRiw8KmKQ682TKZbrs3TQsKua7RBPAL7+GL6W9FbCjP+mDF pQnCFmBGPL6xtmiEPiGR3AGwy408bXNHXfa6kWQNi0tbIIrtIArYNZPtAVjv5PP/DZUd2h0tPyCK wL0Rv86gW7yZORWJs2Cua0qGFNiTcomapVhypDHLTcsHP5cKCm8s0J5/B2a0g4gckElhOfdDDxcn 36Rurxtdcldm9ZfaKnyiaAuHIJHPRzXvbwdQZXP72XJhzbvx9K5WXMp7e6GyUn1GKmwQO1auG3g4 ItER2laFynwLlY0LC2a4BMFV/CNDARRx+6Z0+RVcBVdAb/sXh2vSU0WDJqktKI/wqOG5FGK7y6/u Ca2+6wWeBiaOwOOgHSDSH5AmNGNSQUDCkECaALvrS+lvw5kmiRYOl2KSMwgCiUgTSCBhOvNIZ6uf 8OVcmdA98Q2Xlr1uJAhJVNcgVJCDnUuAVm0lKJ3PRyr06Wsp8acZX0spRJrA1wnMf8TWjJaWleAG ZQPUGIAagVBLsY2j5WZp+nARzA1GsdQfgdcQ3aYwS4sOJeRrrFuo7M1e9y/nohN2bx8M2zWrzNRQ 1OPzQVT5tL6pyYhyYCsPIif0cqyom5x3TiTZntNtuGZdxc+tedJ9qXkKq95SxExsR3LQPMFft5xV wy13oqpzWEPp5wTI8HQZBFqWR46eUj85cHP0g+lEqs0HxQIdIq9EVJ67hs8HscD4JpyAnl7rs+di P0fEArk+6a65zMIEq3km95jv5sDQ8XwQa0ZLov3rEe0/+3mdsA92IqXXc+51IwxAWBTwb3C7PN0d pLjnwNBRKQa4FGn4oExunR+jSZMeiylwdEyUx/qaJHZ1Lisnh46OqLDMQogcDZypIKyawGrZY++R k09SBDZZrGUvRQLriQTObhsTknIEm6CLWqpoJ1gVQDxAiNLyuKF4KfB0hKiusMvjDlaCO6FYl3To 0PPBFffoQQuimsV88VmKgm9U1+aW/XelprgVKk+8lKuzu1BjsFmKgm9hc8cSD27Np+ydcChjbSON Gyf5HZLWgiYmAwCCu0ShVA0u9HU0/wc7l4BhkEDNOP9ZY4w5Uln8gKgZ51VvRurkTLVnw0XBN6bT ZCkpS7Kuxl8X851mzv0Cl2Jvxynh7F58uBA4+mRsatMTF63rEJopFRkRp8udaLq9bYCjoJjvibq6 D1YTOPmDRdNdz0cSZPk7j+OcX1+1HJg7nsPkhAzABWwLl4rb9bQu02LK/0BzX5FA7O7IBQKZYx88 EqiA90C1+oRLUKAuFAXYYAoT0bKfigDR4fySKPIeKizdQacS3MhIGYCCKJ+PCCgvOMFnJYVsyk5N EjMrBpD5qpOMaQcU1EmSxZSBw6Mr3LRKjFer5ZaXKnoD5lZ84oOciXDGfQQVO9SJU3rQJqrChR6P TTuNq1CDAoEzu1QxAAGg8vn3s3s95SWqsJ+44FzpF24S2Dwi9EbVu7rN45jFJbQJEteG6/Sr1FqK Qu9B1ikNMGzwaeoIVYuiSsKwGAcMNOUGLtTM48VoOhRsy4HTYzafvlnqHSxBOXtaMuGz6vmgvLR+ tb9Rl/qhDIPPU1T4pkXWUoOSEeZ0WA4SbavCTVZkNU8R4KTKFzyzwDTzU2CCF13FppPm1kCtDQUm 3m8F7fd9+99SJcuB3+PtMyF8sUEHU4J6jBCV6FkGho+/UDlKd1GKu3rsn9dwqUl9eVJBTYCT7FIc 8NwZNkknxTBUzmuw1IBcItp0icC8LhR+QNrlxEikeIOkA546brjFjAywocfamfXNz2uo1CC3y/pk l6lhv/Tck+jKkwvX6ec1UDovuCmdWkntS6YBj8pB4uINJgfVGJRJ1LtFdr2MnDOrCUZDOnQ5GieY nD6kGClfmv1lno47Pw87js7ln4UQhK1zgyU5xHnv7AgC/zo7QEGqYcLqOAeLkc7FIH3fgiLu6+xg /UybHSYfJHZiIXJ2XsOjllmcPllic9ibJDiwv2RMKU9nAj8xO6/BEZYOZweVRx98d527bpHpjpi2 nBvahJKpcUcc7PW8ngR1v4ZGDQgc4gJRgLLBJieJLAN9uvZ5jYswtSzd3qWDRIQeUpyc16jI9xVY Ggbnds0teqtlL9wCXsrJeY2JGlhd7Lk1Qt44OHkCDe80TKQ+g8RCrTb2KGDsjkaUTY6wpa8RUZNb Cm552WIVgsE5O21lxiY/oOZ8XgOi5lp2mAxKtQGDsHCUcXZew6GmAAOGfFawrckj6wZRNYcArGaR 9Wsw1LxcO6ZEbubl4wIA0IB1JzUQkn+dYEFibsiry0hVgMclqZuO8tGxIynWxGOKg66vvRfrvMD1 rc9rJISn6/fsAGPcIMKj2XmNg7CxMvXKpu5yw6yYqgT3WKeqhGvbvEZBTcLvtAobPvjGQrgLqofq j7tuSo+fT4QtH2Bg9dpf4j/lq77PzpL7bpYbVr5uWKvXpIv5/L2f1wgIy4ClnGpHOYAHKI7a7LyG PzjJ0fRf3YmlKgzrxtrViaWo8Zj/Qzg7vM11HXHw6uxZ9ieZJ03iREioohEXMdGNQBNR9BllrrI2 iHZWkeGcomk320JrZfJg+AF0/vMa9zRHbN9jpzHq9hvrNebBeQEh1tTdJ+zMg5sYEUAlz0tUQE3K NzqTJdXShWnvD6b9nHyJyH9d5y2hhdZphHniDtRSiBs2jUjKIr0GPM0VW/4/HGJtym7T2Sp/XsMd vmH5nh3E4BAe0+y8VhpxzXUK2kw7dkput8tfu0Ehdi0uifRaZ+SRIIO5lHy4G+uk8LyLSt9nfy6l FwPByixYUexeG4qtUZgtmh0ex70T6de7I/02FjZ7ROc18fT77NC3gZNB9+/K9oLPzmt9kcsVvmCt mdLGuEWhs2Cpk83uPluCFJKIDmWtnSYgZLtASDikQkGFmNoN4QjcvR3aKvOHRfJMdrGB1+hd9Fpb xC/AnGthD9tgqRfW4K0IvVYWf11ZPHY2TJPQvVYsGEXKg4pjdXZj1bg+PyrL3a2/gWN0ql80P0oj VDKbT8nsfH7qZ4IGKWtIdAmjPVfwmXwgbH4AMHJ92ibWf7R6hi7zVX1Q7XXnvIrokEDRRMHyohFD pUIF8iwIjs07QVG0DIT9pPCO0vd17cEGCSYMeWq5uL4wXGbfbGZZO+XH2uk82k4cz4BwwT4HmOmz sBHfTNq5NnrwVdGOxM0Kz2YdOyv5oBUE6OAQ6rPy8Shgbl/3Om7BRkS4ZiiKmAElArvZ2DRgVvv5 wzaUyq64qQ0/E8yQ4IwAK/twS9N1NL+7oDQHvhzqiWfV4GBSVc/yiWnv+j5BvIjnnmJnTQdiDdR0 KcBbGwhH70Gz8x8wH6SyVsrBbY8L36lrmFfagxVnQU7K5nCTNWIlebmT82Gd/OCIllILzI198Mjw /C2QLBPc4Ty5BMOChFyl9TdBjH6B2cu+7zIJr+6tmXJDANpcdmIV4NX0eSeuMQjOX1cYDDE6QQSa offQWcnkCQ63JgOVAscWnWPw8rKBS7ByazRDyLdgL7N8sDU0MF2pS+MYzfbJjTiQfVUmHJQca/JK VVEjPIZ4xQ/xsYbzsc6fUKT9Q+HDd84atkvuXxOUM3nh6SbtYfg8gQYZLlvXAEcwy5CWHDOzWBth 1v4ePyOWoqQWDHxtcCgfsiQQiSkkfhajNYBwAhQavM6rOzJoy/POWGvSIDyHz2aZn4NgRef+GmKs pVY/74S1hjn+PUMI9bljNUNhCN3TTU4Fwrr8GYWO6Eun5a4z73y15sJ+FHWzwdbQ+f4J6UOax6OY L2PnSkToxt/M7o9Sd5H6oiBa3KKl3jQHnUPnyVal+5D3552s1lwnmzPkMi647e9RHQXSiL/OLWqi NbD+Nv2ME+W5kg2rE7aIoki6yxlMStnzSyl71wSkfRKiCJKTTNcI/WCH/5x3/cofqNT5HkpnupsD c9eHD5qjUliRxD7r8/POVWsC9toc8VzGPiOSS1P0Hk3jFpokF2t3oQPUvb4KjL3qP2TRaBVF4bQc Z86l0n2wVQRs1DmMSAoBoyblIjtMEunwgohgzDZELY33cFr+VRVdkeWDYRyps8eyDnRronh6p/QV T8vrstyDKAqoz+GA8vwyjMykDD4nCChXq602yEVrgsKImpo1a6jJOp6IsZ77HjoIxbyLzo1PFOhg /fmcq6s+LLU59a7RBPEE4jbToIMIYh8sKFeiGqKQWtAVbTNeZudHr+lWEKOYGqnQ+QKTnj//5Grr Jz7thnQ8iVQ3MfF3hlpzc3QTx5xlPxDQ87/jBJ1bDDUYFyYFREmNu5au8fcCYf7zTlCzQ5O3WPXB LjPkH5yhk1B+3vlpTYTMZwkhUO0ordgERTE10G0nTqwm4lv6hVm13Z0PWrLbhryz05rssSE8z/o8 BzuGBi75JGMVBBMI0ImyKnR5o7HKvLUggvff2Wm4zER0bNSI4mCIj4X7gDPU5uednMbL7LtET6mt tDzreOemYeGiHERjbwn2l+m2Iau17MWy3I0L+k5NY1LPdENp63zSVtQSIeFEMhFEv7E9kJbhZh9Z aeu8PUOaPrwz01oySCMkmHywmsf5kiGEVW2fd14a3nHsrxkq4Adww2qG4pia5/Suv44hWe6O2wfD Z2uGopg60ctgWjes3qsMcc+J2ZEwADZ0ToxNtXW23obsSr8QMdte9q/MfkvHdz86vsBzyTgkZT4e xdTp+yobtE1C4KopioJqJMWzEDzJwlDqLgXdZrve3xAoYVD9zkizuguMi3bxwTuqZzYWFV0LHYrX orRWAiatQQQx9y+qLIlE74y02whbDME12DbbUq4gp/PzTkhrjrG+JWlc9uVZRFFQjV/vJALbZsh8 0ge1IbfPUNEiSvyc6C5jvVWMhvnFaIBeQyZXC/HQzvg0xENgyJ68aPws0hVVsUe8+nlno7FSo5Bx DR9UOwNsvwgSO9fnnYzWHBHLk5rRIcIwJNA2Q+9BtfRT4WcthweIrjhaaE2jNZ75oeAe19B7UJ2d i7Y1Q/uZIUhCDrg8YYbq5OdyDeEg6uDaFHp/W0Ns6WXfD6I1VSCiGy0HrSFIWcmU4BxJn3cqGg9d P6oxQ0CMV1SabYbCmBoztHpySchRLlKoF/f+XsnhsO9ENAt3z9+rOhEHi4dOAnSCu0Uc3mg0mRUi 9GQ6DWIydLuwxKwMvWswQSzaT4mLnos9m3HzGrBfZVX15ICfdx4ar5P6dd0j2azliYfCAjUVWLuX Pyqr4txlg4eCrEMoBcgZeg+pUT1mxDjVU523pzqgCXzuRYodgz9On25QHTApyCahLOMVtDb0rkHE qOS+qcnRXHLtxNG1aQmAhPbOQWtSTtMEkZDN2v1zUEchNUyC4RxiFfz8eKskzRo1+9G31yZ7D6mx ybh2kCvbYBO0NoiwRtM7V8JYMqjZwNdTsHXdgJF15887/ezusdUoOsLBc47UZShTav28s88Qb476 ddlXimM1D4feqWcIolAL7aq34sROs9llX/ycLicYc9nVd+bZTe330jytJ+fo545LY0jzAbIzg7F3 Z5jY2Aa6DSDqy37eiWfscKkDRLw5B04QGUmCmeWRP++8M6sT2wRRFwPbHi9qMxSF1BAZMMMtnULN zRzpgWIFtO5iBu+0s2Yo6somlw2aoZN+gIdHeYJeQW/PhBBvQBsysnwCx7pxYIreNZohns8UQtRg xQ9cBZJzPBHbO+mMx7RHQ0owkNtQmlQzFIXU7RzlcxD2x0ZQN3LQCaJljk46VfNo6J1zhg1AcTUg 6n3wxB4eH0PgYHhftimnNRI4gAam3aVjFYfeNcjKquLFmnzQGkrnxKQqHWCRn3fCWZOEwHdEfa6D pz//zjfD6XE+75wNy1pBWQg8sYUJ7ijOytMEvUfUXhzahToGHHwJ1XIOB2hCYpOBRiViGowbaz+T BTkKP4WqvWuQcghnvYWegisFGyr5nGhZbJsTlZbPO9kMP+L2nEMVbbik5ztDUUCNDgUwUraEet2u rtaoLcEZatQ25wxFVWo6Ypw0EAAFDTZDuW2whUAeQztgQaoUk9gAwkYMdMnUKJjzTaOUzHTnlg+G DTopoGgK52j7vJPMEIus7y49GUL0JNX0vEfT6F4DTpaXi6sOy1Sh7tCXI8txBmt6ohJ1IqF1CXa3 1pdvSEX8STs3ADuxhniNoVNZFugc15sHHXe9a9AIIn16dzuKbukMjgByzB29ft75ZSydze+MjI6y rHprhqJomsTXVZtzFWt3Y3TklzdWbFaifqeX3YwMJu4+eKw4N0RaJUV/poQK/ScJQy4EXAYLCJc5 1bde9o/q4txZtgb52hpMrEe1vybfMdhiy0tDWZ3HAezDRZhF4TQEF4AadKxHm148S3s71mPN5Vd9 XKKmM4aILpMqUWf3ICUbc5wLhv5FkAvvkmJPOEQaDQXAHbK8nuHX551b1qR1j2yV0jwLpcGsUmo7 a5+xY18nXHznljHa/O50LCSFlCvVFEXxNK34TtrdrCU9qovQI7bzvD47l/OdWdZcXH13UhM4+DGE +nQjyw3CWJVNKlATzus24ODG40sM9Rl+x9+1odFVG0IR/oeghp7oQnk+K/P5KG39bidCIJB0V5uh KKAGCmclsV0ZDSXH/5ZVrXyWNko/mqGoRi0VzLnUu183J+uoN8GEXPrF54ffdD1IQFCNgvgTx6wv IuKp3ill+DlleFQUDhUPh1BRSTJ5On/2551Rhne8YDwGjOAF1CdgfGeU8ShiYp8k6wQMoAsXDnLn JNGPH74QrBiH1EzKpALKwXENlRqFCodOMjJlB5UapecXWSKOx4NXil72fYrESFlLPvJrWzH15CKb LsonTK7z884n4za915kV/RFi+lH0zicjzLERgbEomHaOEgKlQfeGX8yZF5oxUCEKp/U7nayJzgaY h9DkM1/oxz4vAKdLAjrhcz1Y6s+QSeqAuo4HRk4JlM87m6y56vyq2m51OPLj3ORdSOmzXD/vZDIW H+Z3Wob7IV9szDuVDCuXOqrEJtGZuE4jlYOdYiV9tN1Nmf+dScYDTVoXPGU43HZih1OYJqhncFsI rkI+OCBnDh+rq1uMwObzziRj00EtDpo8cNAMdcioqlk21uedR2Y4oCezh89U2fcgeqeRIU6AkdAo u3vDlZgqasxNI0thk7s18TuL7MbUq9Y73MQVwvBZho6oxW6e5gkqTiPD/pA/pokWk4rwziIjcJUH UeIu22k7nxywA50tJ8b6vJPICA73mFolWHxY8ZDonUPGklS/iteEBdeL/NgmQE+zOat9vFPImrvz bDnJc7BNhp11zh5K8bYMOSGihzrAIRWam6ADWuJKhP/nnUHGxFW81n4HpWXn6ypPllZb+7wTyLAC bysI/wtDJFK2bYKiGjUAzzDbMNw0uDnWb00U2WCzbNVixKh3+hhPM64dNcvW0ywbaCemWaQrB44O ers0NTj/Calb47EKQ5rzeSePNTeb29Li5WATtEtLSjv2+Lxzx5r8IL8QegjDkCrZBIW4j0nd1G1B dcbr3nZruxFjtojxnTjmN9n5QuStGrx6diIJKKFQCWxDkJ8JyiQaG9pEXD3aYp3yhO+0sSbt8xc+ VDt3LqUDz6FS+HjUkP6OhuiP3i506J0yxnXHo2fZBDXmCywvAiRv8SKkpGyLhSH1IrgKVEYbbII2 6wJT0CG4YWV2OWbi4kHDol/xXSaFn3fCGBMrKhQzNNCgYOjcAskMrU7i+s4X+w2uYvTNxL7cPRZF 1OQmbFIqJcBvPbNzCCXqg+Jlz5Yx+FmLMdQMpRMBejtdgN44eT0ML6b80QEi4r/SYTl/tm6l+oYZ OqK+xXcNbnqn1BUfvDa05egBoUs8HoSL/VcBFlEpJUc0QWFAjeR615oMJry2w4TJovM2UDKMZ4sq 1HYIwULSBp+gk8XD/UPmYKB1scpfodVVacOSH2EUO6ZD1Mcm/Iw4Gg1WgYW3DHPRczLg8aBjX/r3 TY8FyYNf7JY4noamFblMVGiY04W/irj2VGgQwQ70lvd4GnFGx+4qOoW+qmfgrFYao1Fxt/eWhWBE J6/yXLnhNDMrfEfUaxWCkVc0B8FiAB9kwx5qYng84HDsewotCy2huuu9xB7F0+gcT6hAOVB4XYnU yTZHkROfLaIewT7YiD6rfG0fvMA4YecAAjC4dXB0IplhUhwGVec9r2TjpIZFj2rUrAbiTG4+aIo6 vVb4R5+Mo0fxdKr9OykDNEtmJJqhMKCGN/Huw4MhGacQ9iH1OARDYNfrKutRjdrCxUQEpyBsCoZO Ilwb4E+yKqxd1ewEmdkBTc1cnqSMxQR8SVQfooU8mpxnaITxM15c56DkP0K3Ec8HDMRLXu16rPy0 eauMPeQgIiub8gOdKMJegiY2yI/5EgzXtu5RSK2sjAmqDXeOCm6bKZrdGikJ+AEdgw4XEiQmjzJD 0csGAdFm75ouxRp0FK1zbAqWeBYtHg8O61/goQpYJZDdNkMRDxGce2DMk+HP0rYZOvey91tPDo0w F2lZj3EflEFpbExz8IDozAUo5jyKYJAmscIKWCY6FCC+XAgji4w9KlRby34P2aWAis/FAHtp3mao yOLxYILG+IoY4SMCuVCfoDCkHjRsWoY/y3Ne/Fmx2uyJGBkRc4JCLqLYLqJAzy8K9Al34ctM7NA4 1xUyciT2WPLnbN4M3fwgQkEK3xFc93K6nGwFcVBSBkhtNz3BisejTealD5lgIFrM+x5EUaEajqGT ZV46EzZyZ8gRby2rCkKH624zFBeq5Y/GusZI3xDGdI6bRTGG3eqiEy8o1ufTeaSAqv/IWE69bFQd Uj4mTPV2v4ZzbCcaJ2CKJh4Pzur1nZaBb9vSc1S/B9XIOhAHZQXN4HQkKw6dXx3mOJohlFhZHOpR UG2LR6gXqTlohk5OD213wT7Qq85SssaSh0IT39RYd4kWaT0qU9tlNintwcGgQ3sOGTee/YzHo8T+ ls/EFaeP/Z2hCEmNRs+E3KrWEHWs5ds42aCUF1GlMGPn5/y1hpZ6PWdgx468oAULEDocQ7towSad 5bOFE+icvGvdc5rSy/iOPyOiTI9rDlpC7bw1G67I/PB4sIR+sVozaqm13OpQD6Pqc0Cc4MRE885B Xaw6BMEqgzOecwjpNgOiqEyt4xdpiw92UKO2fu5/mhEuwNZlh30SwnP3oQJKyR5rubIJhi8JUtel qLovH9QOaudjlZuP1PD4v1jRYtRnbLPpMeOIwmo4wMPk2o7qTvsjlocyGxbENeTqXfsRlakn69N7 leqDd+2h7Vy0iDo5CfSPG2zCVhaVxs+118l616DC2A00VHywo3qtSRjIOc4yHg/C6ovyLCpMAjfr d9mIgmrC8ReFQ8hX2OavC52AMSwc2sWx1CMuUrNRJo9UDl4/Qy1kbF72KFLjYawhHFUNMmE7P4nZ snf9g554wiDc+RqU3EPFxoAfe+HxCOP5DWtAPAJeu09QDKWGrCA//39IBXZhobZbcb4CoZCaoKhI zdIz1P22BiJxlXXAtRmJOLvSLCjjpCJWhjIb4/YTUfwf+I4A48mQGi1oDWZeMSb8B1nQOP+Dx6M+ x3duT85tuwTpEQXUUPR2KQ/yx/N0mHAZzduJ07UFRxRQL8qf0xqBQ7EJQrgI8G7iTVYKtGEpAE6T VJhEF7qiCRhzDq+B74jaHNpign80N7BqG3IhpACfwwyPR4eQp2UkbzABpgaBZigKqJHAzzLmNL7C WMsbrrW7LGzFwtISigJqOaAs6SktkZh4CMFKt7LuSmt0GOLRawAlyQp8HE3ivBOExgG+JOpJE+tY hgaUO7dKaOcYSuyi5pLxfLSILseVhzoUzm6vbEQhNWpIEMnL1k5so/kxNKY3OlZbBscfUUgtNcFZ 5WBZHwfLc/9C6UQa+guOipLZr4iPweug071VqUmzHyGSepM2laltnfxngBdq9oLGiRdHhKR+wDGS lCFvanmBaIRIatgNzWWulVN9QBEWpik2EEldbIYiJLXoQEjBfPCbDN4XpbIVBNZUJdP+5MSDeLFi +lDqlRHkOUKNj0px9FS3DwYfWk3OdNhweDyKqP0cqgaZPf+fcq+yqE6NW37mslWdPrnvpbjm7bDz hX6mbbMopJbhyZZplUg0+DfJmgK6hwcRzBKgrEAAGmqpCGunhPC1y87H4zuiaKiTc1erDzqIWqMn H9KttfF4JNaQvqIhoBfLuP3WEUXU3GRFd73kvJvnrZTwYUMaeiY2QWFELRQ+PfI0eM/+rKJz7XQB qfvJparUdOktgOsIqm12VG+toTCkNvWcLomhM1/CmsPZA/QHHL+47cNCdfFtxjIsQBLl1hhHGFLD YWiqR0Zli3bPIbGpEA6dONjcqkZYqCZvcxRZVZRbY2xDcjFMXNeJO8m+Plc+C7awDuHpY6X8LSWd sFAtmCdcwW3gIlpnZWazYywFj/+r+KEi44YO05WLmWGlmgxXiZ/AspIkCyouQ0baC2goozAvm1FI bff95B7i4FkHLKlykl4+VIcqOVadlgsQ8YCciGPQaOMxQ+BHkcsJTc84KKQu9EPGukit4fGo2TG+ AqKFMtKX2lAUUvMkyOi7MnHNwLVzgk5wQVlcAWFTVVo2wzq1qGVD5LvxaMaMk28MJi30XYBoJ1lB yFU7eMu87a0Ii8IkviNKXAVg7MUHyzlO2tfkBtMKHv/XOSRiWUML26+yGYXU6AzPJvQrzqFh1z4K +dOCR/Rw7ByaMTuR51Bbd/D6WT5HTqo0GT7r8xxETG0TPrITqwKTGGe4UoxgxmVqETdL8UHFj4rG gFkJVDwezdA3RA8MC6BSfIaimBrS/EDOCcBwNuq8YmeluawOXBRthsIiNWmJS+wpDg5AO4cPGqyy Pcfxw7CA6nKV9mco8pn/Yl9612CCCGLcTTbDzffYGufQlo7gCRvxeKRB+UtWB3Is5XZcZxRSA68A RRE1yU5eXB0J2/ZKjtAj6Qnn9IxC6kFLKmpY2uATlFDizlQiGGh0FAL2C0AlDbhbumhZ0oHDFt8R yVmwOORagt5xRVtosR6LFh8eD/qJ7T8VUepTxJ9hjRqohnbDxcbfXmlrmS61uIGO1QRFsA/5Cs9e 7nAnCBXrMuVEAbBEUX0Rohb4kxcpLwqGiGqYUUBdRSI3sdfkDVfIaLL3cHL1MfD4v8LFxgIsaP79 XmMR7AN2LXDDNIp0mteGomQTwsVNWk2HYEYlagukW2o+eB9onxhuVIrqjHr+rGXRIo4algFQ73FV 5aJ3jTjk1uXIGqxOOHDmUx2/Z1xjIeyj/4J4sjSUbto6Q2YiZPPkiiSr3OzH9OpGkc5wejJgzAx1 89RGFE6agy+hljO0mdQHwhdwLlE3MJX10Z4a/ta7Bo2yxRlaErByWUcAqXsdqp1tPh5Bq/LXIdQQ gREZqAmKYB+gmc9F7TDChFv1lGx2HkIUXZzTrINmFE8bDr8IClue+iua0yegVg3/JJqjMjWpILnD /vyH8AarUE971wAlrN0oBzQOlnDsmXhxQnwYj0fIIV9CPJJZ7uJy1AyFzMRGV9Oa7B5bTt2EL4uh 81AetHB6hmIfU6Aq5OwarGUPLMegAChSslSQYrIfi4kBhpqkKV30gxbwM5TP44EOQRUfDFt1kkfx FUoueDyKFT0UYlwJpHq+rLIVBdNJp5BrfdSavDQkI1VJ4CPQ4RJaEexj0ClolV18uKUhGCds7TFY lytWPLckMLqFUha/1bhXCPvYlqxmHwwXU/swrela8XjETPwWGESRs66r9bHi+jQqZnl2m6B99fNy vfBFgqw1QWEwzYv+/JDVBzuEzpTvXWEuj4t+A8yri5706FlYKPb6NBY6vuNP+bwu4guoM0MVntV2 4o7r51PxfLDJLnKIv58Bcq5+Zyg5XXkMGR8RqA+Xvl/bdBqQT3k4vULUxxIlkcpDWwZOjawgLKEE chSYib21NlQZIncb374vMxHo64bviGpnWjyz+6A1BHEVWbuchYnH/6VkwXI/juj8CJyGzESgqBcl jSSOO6+cezbfV5TOqhWGVoiiZnNjyaOUg19kZ3V1sBRIsV8F9WqizwhkBSa8XAjsJPhsxRVqJmSN xS9Js2mTQRlaxZ5d8fi/TiG+HWWK2rgH9YriaQRrgL5a3SPnYXSOVprZmZ0d21y2aoXURClZDMmh jC85lAouOhVnYMK2aYJ1thkktVpF+I0DSVcZxYvxHUHtbBoSf/ugcPHsAUl9AOyGx6MC9bfmEEGw EHWzCYriaXStTpSWhC4fW1gh2nAQVKFwkV6InKBY6kPC0xKCXY+iDpuHFcbbBQoN56AgVwGKaD+8 9zMd7M1ykuizFYE+yN/5/7AhSHqyxYZ20sDjAehjXTYHIQ3oaqMvaFMUVahBYDzBjqf1e/eHNTWz dcpEkuc2iyLqrVA6S0cvX2Ogc4Gcy2zRA/usgXb+FN56WfwqgL8h/22VIQqW4kuCKZJeTGJNaSX2 NQlIPLcx02TkMHg8Eq5KX0kZgKgtPbssiqjhIYgaflFlCMK5TpqSvrJUul3Za4VIagGHUGiywQ+i E1KfU1HN1jPXiV5lpYBo2HDydCp7CfQxh971L0jDWS/FB0XU0K7TbZ8aX/F9l60LPWM4dH7EVp/b PqYmQsmiuW0SrlzrJcJ92rJWXEC2hMIKNc8fc47g4LtswHu7ytgVn0n1PDSez40GRBpbG+q2ouGk l43cJYTxZNN1uy8qLrIiXYvaV8XjkZT5zcrYbkVVCKw6m6KwQr0wMdOwrxO6Ih4Q0TLM2q3ZgMIr 1s8TflEuXOORekduik4c/SQqiskIiOA6DFgMKt6I1z0iGkMvGzWCVMSv1QcvffTGhvSJM/h4sIjK 9y6jbSr8uDRDO4qpcd3NWpY1pLsQ5oMKg8PlcqmfJ8nukJq4zcZt+OB32UBzo9D6D8SIOaiGCnDD D92GQO9zp1IWqHcUUwPMV3UQ2GDVxXMDSGEwDT4eFM/aPamH4n14f3mnbIcCejApQXfmx8ySfJvB gt3dyfZ2L4Udqn1QBgaSlD74DJ2c/9w28iTp8JGm2vpGIjWQdbBlZncZBfF3iKSWEfesxQeDVsGk QTKee+Dx4C571D54tYNyi7K+zVCIpIY83Fi+y8gZ1QylbtZkBSAmAzXsOKbOYipsH275bMO2TYox vZaWGH03CHoV6FK0q0VQaDiL74jKZ+q2qiCZjP6IKk1P0r87sSoej5iJV9nLrPG48X2GQmrioPV2 N+2zVtxeGuAzlahRVl6+hkLYhwSFiwxvqC3ridk5ZxqcNwT7KKJ5ArEPM8n2A/Snt4F4l+0oqN46 opOCavcmyPN8ARsOIO5nPB5pMI7/yFzLvrrmO4RRg9EBsXFNUO3XzmWM6VbuHS+jCYqZiULh5+WD pfZgpECbQUoEEx5klK2iaxhoU5n27CqfdXvXv+i/e6hE7RJ9kN+bxRxLWsXjEbLqVzSk6PPusVg9 L12/baA/6E3LPbaIu5XeR/WbbIeYD6Ls1uQK5mATBBvptWhfD/c/mJFxgihvugVqvUB8wOnxHZHh TXlLyzra3FLxrGnh8UhzKH9FQzhu2771xR0G1MB3rlZdEKUPF0QZY5jVZoIcvE1QKJ4n/QHpvnGw CTrZV0L5hnLLJxsRc7xUOEfXRmP0envRvetdg4tMelUsnWrQBJ0foe7bSNyxIvV3Fwj+9LzAbYJC sQ9Cz9J2W1v+iCJN0TEYWww1eDfnCBEfg3VFKH7b4CsI4FSQW1k9a+AHqaGPnhfIgmN41lrovovv CKLFbKih6oNhYqBgJQPtOvB4kHCMX5I6mXVfTzh2WKGWt0tyylQxg6AMBVfXZCrQSs7o1e+/4+ld pH9Wbko20KVFr3+pyQFPRHLxF4pkSHfyYxyw99S7BmeQlfCli7+uLn7tAheCv8LHI67L/jqkcTdw Xm2ComgahDshJcXcHI7HAzHFNIfSTi5atSO8B+ISigomH3yCgPI4nyvziQT1dGqhkNaKOHG2fSMh 9jh2KPQhm2nql6zspvDnvt7wbsQEnTsSj0f111+C5pn4iluhzikKpxuZCmsZNG8CtyEuRxfnF4/R NFYO2ymMp0WcB+TAhgsbOvu1bF5ku+1VGj1wBgoBg8qsbXvpI28KM+UURdRLlpq7yZAcMmPM7NFN ZIL001ue/IAI5Jm/56kjya4XfZZTKKEH++i6ingcEw1wg31kQHM4ZVCgyj5Pfzsj9k13Ng42T7AC OocE1ZlOlglYADKziS71Obzx56SnmD+2vW6kVJmZcpTlg4IbsB7Z42kn+OLzQerRv7U8iZ4c9Zml EPsx2KItjv2QCizraG17U7EUFx/KKcRTC2ev1IvDk+KDBEoq8JJ/JPymNpZCB76BvuKG/ZD/aArB H9WowMznoAxA1hy8KBXPgTO2+AFBZJTrd/oBX+aav2yVopL1yKjkq+3Bf9ouSrDr9JJ1RxfZpin0 SqSkMnmHNmiaGlKaVTcxw2cfw0R60jeo5Z8BbjBLAB4+lm2vG8EZqSrMedCgABtGViLcZHgjp7Bs /dxuiBV2Ftf/TlMUYwMrcBZvWwYbrs/ZVDhNi8d3sf6iFDRepykRQwRMkg02TaPBVnebt9vZyMQN g5JxLn/UWclQtIIRlcP5LZElhcCMrPGvm6mdXwJ3G6Zpw3AhhVCQ/Auxl0HtGYAV+DyF1evJalox Ph7sswyzB+9Zg6RNbgzNU1i+7oZJu4PvuhMaAQ9LufMEqZ1KXCNas4Mi9kSJWbrGSADfEuX8Uv2S ZPV29jTYtKqY1xO+8Pn3eaq/HGBAdmszPbMUKoEsODlnO5FmQntSjLNGJCYqtYv/vZ3gUcA95fa2 avXBVlOhThrISxS1qgNij2c1IU2G9zOstts9nEa3141ELFk0ytQg5GBZ7dlzwiycyIDPR2V+X01q mjDufuKBUA6koKefjQZzVtVw4My8Ff896d+roynWA2EsnYl+4GCzdH5n6NN3raWaYAiHtQRns1Eo GnVjJnA/9CURgzqp9KiTqXqdHzkOOxn9PMTnI/zeo9SolVchIXdtTlIYek/pent2u4pXkAY9NaSo 22pyZ7xIFKSyQAJzTx8stAQL/GxftvYnpGQTw3NSN07SgtP8quebT3KKrWB4gBdxYMvlwGItMZg9 oeXg88GOu1YnFEo8K5mEGp+kKPyG2i1iS5uk6e7aubd6M1wGBjZJUTV7MPkfhSRPDt4zakjbCt3I oWRaBn2ZMlNcuJOxjUht78JfmF8SlJGo0zhJGdGgAHwhaJLHACPL0A/mF78KEme13EJbDj0WJ538 pBCPJmS/8t5d6paVxlQAeclCMASJsPyxZSq9H1NpZLbz3E0iNvRzDC5JOFktsP+A8+AelDSVzoHL Ipar2rKp+GCTNGtdQlfBczu0WZwpfd9xxC3NazSQQ6NF6CGccNLrkeZWIXHd5LNUkVnYLEVIkST5 88qIicODNUK1Kkug+VyWe5uxxTZ1jvYF6PO3jaAiKiTl4oOVS/aJyXjyzlH5fBB937hStQNiVNYN mAKzRTynzqwEqycJGlIqAvuSBQGIdBPS3/VBQdGtyW6AaCIOvpZOMAPXjS2teMTJOuZBeaAmL4V4 RCMqzd72b809+VEOwsNYEgD9X4d3HXw+6tJ+Q46IEczXPCcHfosSkUKeq/x/ilVvpqbUvipq0xaf pDD4XhRqhhKuDbaUgLw+lyX7tOcaTPSWIi4JnE/EBI/MLvcpv+QPccsFOVIfuJTqwMHK2Ptce3w+ CAOuHA/xNyiqf6+kUHgPrgznXDUv9zLSFbOmBqlKS73c/RayGrMZeW0fvDp5jpLGWgBqSwX3m6qT yMYAcsKi9QxFdu45dF2EnQywENJ2Sk6N7RWBAPP8BOfO0HaxrPIrpDwR3Ppyf400rTsrlMsOoIly rfbbmaW9flwUnf4nXR/0p07qVhdgP10AmD+e1VOlil72LPRsaplzcyJatknsVLJJiuJuI1jLuoSD OknnZ9k6Z1qvfD5S272nksmpo9d7Q8rAehGlE1gwOV9vmuUATfPEgRDrahnIL4fei2zjn/ufNH0O nu2eHP5E8Y0NyYGe48hqam902mCHVS+7cRIomkP3RXNYVuC9buB9glEyA2hAsPn8vw5vFbsRifQn DoglQ8hIo+TepuRucfRISqIYAW7sqsQ5sF/M/yvzzimZufnIzCHEpgEj19Ki1iUBNjDIRePzpxPQ ZmIG1d42WEtUVs2U09FgHZNz3NmdZS8ZaWJ4uZLanyjUtj6fOCCMu1emZ167iNpLfHCw3wnfwdy0 Wfqb5TjWuIOtpQ4Y7ZKeIzzRigzNF/RcB5oHGebDnurWYa8bCMlTMmTxLNGgwBtYO5295w/i80F+ si4TlEE2ABeQAfNpCpHZs1ytSxxRhGLK7bQNk4DYqU+DIuXYh5HK1SuzuMvBAwHu49o0TYAlEa1V gN7Bvf1Db2jrvi1/20gYlA3cafbLbip8ZrtPQf7OCuPzUXqSv8MlYPvbeGq6oRUj9NtPMrOsWmmG 1MskSa0Ml/M00nUOvRgXkUhw6vbB6ybIRDBQLazAJJSOp/S1RB+sfVmgKRIIzRjB+amAl00Ndsft elaFKt8FaylwYxQ1/CkIFKQ561Jmc2jHOOkBD8EG1JHOP6HPxuLSpBsNcX+bUpGapBCjLfCoqabP fCfpRNMwv9nqU551NLg34eR6DoZzF5N8Zmy15m8b3XGcHZPez+ZQc4JfCLfJjKFMPh/ppX9XTQqS onHpWDl2ZFywj6GCFfZbS9uhf11+Vmd2UsUBp2MpsGREt5u4rclmLgdHlBRcbFRc76TeAWyDI/38 wYPaowynBf7L1V83knzieUTD20nOjnEVz5sv5mAnTdz8gCCqvH5x3tDt7MzYNIWhd6d1TLKCblIb l+0BWM5xxiA1VH0txVjtJYBt8sFhJSfQ62DBYZrOYhnMirkLfxbMR2HBcpNdEtdybMyoZhMU/jgY MWuevDyb52vWW0a0mhswDWXJAA0+qyl0kkFft7txN/7JsSXn+7JjS0Y1Sd4ceDM6hXZtSfWROmmr CcqIUBekzfA+57jMdkBsqmj2bcqHqfNN5accezOakmrqPljrG5pu6g6kzOej7sC3ujwigQqckE9S VPRuFKHL7eJLjERCE1RXw0xQbrRJigEmRLNnhU35tprOtgA5SOVcQH3WJKe9IpWuKOc2CkLUR+kx h/6MPHFg1rR80BV3tnGnauxZuo3P/2spEUKFbmSrFyyZQ4dGeHifi2eo03SCyu4onOoyxieqhMuW zVKo1ScfkLaWD56hnNRwoiZAWdUJgwgpz4J9jEo1kLAmcCSXzxxaNI7M/G3IkdmdayDPlnaRO9oa fD4IvdMvGAXKvns9SymqeSNUwfZWSXKeDMiT3SxoO4EmlJzQJMW2MsQJbEGWZMaVjOq3Tggpi71W QWtBtNQmadXI+vLVnl10HM6hTSMg9hTqyz4ojRuoFnMdnMnn8xEy+Ru7TajbfELK0KcRweIs3VWw r+ARwCamgo0KHOgXzHUDo0Zvxq1CsiMHm6SekWIt6tGhQUCP6Q5oIOUwDcxlIeVe9rbBDbeU5JKE y0GTdK5O+TnQqTEHVo2JecYjwQJ9958OGKLPUhR4C9Q1XQr7HEVWpwQl0kveSw06LqWYE5lJwpIV Y+235H3SqzZzFy7wfN0546h+AIJCI1m6qOzItVS7vW4EcmdaTCqjBp3drewuzwLIhefQsPFROxKm 4JxKaHbZLIWOjZBNOOlQcj9CNb3Nj7AZl2RTwYSzFFs2brGOy/TBTyXc+blrLTXYfzJigJsBxA/O 57P3nx8Qdw49G3MTMzI1HzRJFGt/at6BaeN34M1J4qVxNbNyaNoIijQ4DMNLcPOiu/ZFmNaa7iRF gfdmb2lJ3ZGDn0oDnU8gHbCU5tiF51fD0V0BXmZG5gztbm8b0bb4rwI+ZIM2XOKkK+HQSwaZbvEo QAc9sKql3wsuNm5sRFw6lLvMZmFAg/GGESbKqg6lCJ0bzcc6leaDx0pw12nCcsPCYTHFRVILm5lO p9oH7q7ed+jdOA2kzFyOg/KT80NIIRi/Cp8PpulS2WU4i/+LRtGdp5AliYOp7WUusmOMqzniAEJ6 zUxfTVHoLfLfANbFBiuc7A0R0UENtnUO70GI01k4Z+agOKZT1OIlyELqdf9gI09SwGxQEa7PkSkx AKV4Ph/tuV+28SDpr/bsuTjyRrK7TGNkEgdyOf9mwXei2XRXU6yOzbbI2ssHm6V2cv8kQ5Y+zl82 mTyhpQ7xms5G+7zlpbzsdf9Cee0kfZ/k+j4D/AOj/bfJ54Nb7qr5MaisOL7TDQUCK0cEo5toU8EB YCI/LNutijQXMQLNMQKhl6MV4To52Rz8ZNoTRs3E5QAv1qrWkvAmaDaoAC4STur2usElJz+VxcYu BxXhAN1wjZ/M5yMKxcXlKHJAQ/ABnIR+jriOZgGhQWC4lGwxtdpN2ub8FWJuI9sNDB1xxrHgvXU0 7edoSkCHzqJaJdyROoXJEpG8EHhlH9y6A6vb20a3nARs/sNobkIXr8qdhydTjPH+7sbBsaQ8Ukg5 cHSEq885l0ad5hLWanLmbb9EbmReXtD9w9JRsqHKPvpt7MKc+5wZTYr9QJns3HV+l5+BkDzjn3zH pWWvG+w4NaxpVWnGVDqXTkon54e8Op+Pkt3vc4k/zeONkUNTR9AoAHdFBkK2ezX0aachWlFzQDZP XR/0hzvGmZ5+Bw+YIIB4tlniLVfhmYxzaeIQJ3CDCtBequzNXvcPNWgoZScftJY2+gPK8tfi8/+k deG5DJp7ua6FOXR2rOR1URlXlxwLlFkwc5+mhjmwaYqjb+plTdz0GjRNtYFS2pHZob4EnTxg5c5i BW9zsC1eHmV66v7kwNsx/a8IOeBQ+KBg4Hx+Ee3knIN8PoCfjvKVpJROvdrbaYrNHeG0kqfZWgPK 54HlOWWvlTOJ9AwFAndHxBRsgCdzfloXcbLJAQUZ3jyxKjfnSYGIOBk/jDCV7tJ9k1/yBw+XJls+ WCa3y5RZccVaCuwdUTb/Li8Vwqv7PZdCe8dJwAnxIZgkXNxeg2OWbdH3TVFCf0fpI0GS1gdPd8EW YNkU7BPorjOLGTDMqUA9mI4xJ0nhUmDwmD2PA33MBktR0GFnPF2Hng+uuF8EnYoTKT8A3dDiEVT0 83WmhgRhTc92V72ezjC08kkKg282K5fQWxy8gXLOolUg9Ig87tzEnbbpDYrjRBzV5votJasGF3o8 Frk6F5G9KFEjSiRKAkQyob/HD4isDubXLDUyp57QO7B5RDMO4OVshuk4vD30PgeGt5kWHOhtlsKq N0EUu5LwxcFhcAvqCYDpnLVdyzlBJOFCy3SE6LldJampaCnwecR+EXapTx+0lOo2G5zzRXr+XzA4 sXqqClw+SSGfckCjVJZGAMS15q2B3m9jN6HpoTjgD6vHrl2UffDWQIVUNWjmBZIuYNZQqr4RD3Hy tl0fzb+27W3/AFSCc3gHHUpoqevkbkDEh2aPs/VfhxKxgs9+CzmVJ1oZu5qAwjBPOuYM2XgDkClp JlOSA7tHXNBin3CuODhGYC0mciwJ7JELicvnpEN3Fwd6Js3WYXDLXjegNEmYdUhkYrjIxASdmASw ulPl81E59xbhqExeINf0dOMCz0cLvOe0ojdw/aYq1RHM2oYbeB9bS1HgPZJswtW5rBdSCSuac1Q0 gnORnZ5fetJ6FiABTCeKvZerM/11I9UkyigM68YlR3qfmzFJDvuk6Hw+Kuh+33AZAcigjJdNU6gA CM3xVauJb50oODsup15Fl4VCmU1ThDipQi8tQgE5OMYL0s6oUfL03lDwwboDFAKVi/3DOPpbniwH 3o+yviNLZ/qgcym1pMYW/N34fATL8WCJOpIVlbhL8/68xkodOG/0Rrv7Q9RuiQpQOb3aHJWSTVzq NVLqQC7JRX3dwSOlEwmd36qalALEkVjw7aRs004sGSYHepU/+IqX+emgXql30rMPmp9RRzFvzIWn X2anPzqtWUwmicGz/MZfJZqdTm2y0QyzBLc9EXRmJ34yo1xS3H32NUTqrpq0TOVu7CujQHh3Vm9p nrWUC4t0lLBFbxeK4/1Oj0Dwr9MjKVh69RUfLEJKldkMmo4n1n6dHsGeNT28v1DvI1mcs/MaHfVM Uu/JCboUXADBdvOVksxZHeAiL02+xkZYO6vLybD54AEkqUzFEJSogSbJuPJXpI7Btb9mWfTzGhl1 AHAI4yJcS4NPzmjsTp6Up31ew6L+QEzzj8oEk3mLTc5rUMSNVWhX7L4iFGBh3fZEcsPqtjlt21iv IVHHUS85O+GVc7tHz4AfwUJcieYtpRIZOKLlQ3FqOKjb5Ahd+hoQ9Vv+7yzyJlj4ytmhE9FDsMTZ uZ/XeKhLc16zI1YXQJQq/9PqNpqeJf1a4Ukhh2XOc4h8LwRgFuO+vwZD/fZHqqxFar8XGKqykjYG bfCcQYOcADb7O4kYCfn2FCmXeexrLISDR1ILzBc0KIsFhpp3WTtf/XmNhPB08Z2VnWnalMTS+C6Y Hhw0J4SwkizMeW/zn6xL1WlxWsgsNJieKVmSsacPvrXwc59FzGrIeSf6wECLDOUTyD/lep0wVdf7 vAZB51WXLOVbKj6oRns+vJmsTy2f1xCoP8ZYIjYhGmcnQrPzGv/gMC+iJJlk5BJckpdWXa7LCjk6 c4KIZofYvy2f0P34hEJYAipbeQoimSD+wGMZWC2kqpt8I2WuMjmI9lY2v7DmgyP/VmMS21Yen9fA pz+dbJTwfgzcjnRXs/Ma9uBYBispsYFMiS3iAiRtI+UWOoKPZifPa9CDY5md2ZXVXMsPcQvK5KMx ujxbC7poPJYTqqGD259bxfQiKY/0GvN0y8VOAKXsvrnx3Eh1yi2xnQ33eY14+Ibl69LCmYcSrs3O a6URO4uaErN2O5d7vm1+5a2se+xiDezXOmNXzRwUUmFrxtX9QTRSUNqnGsBCjTexso85mRWdDrav DcfWKNEWzA4WIHBZ4D5oUC0WLHr5yZeCp99np5sMYlZyQQmr0n1rvVYYuWDZICoGFRl0dMf0DKku S9Umb5ue1/oiIx5TP2w+2PScKOEcl8TwD/SZBhXsCn/7wUbEGA9Zy141OJflYFTUti7etoZbmMIf 1oRea4sW2n0dPCf0bP1GPO9kNlzHGaWO4ZItizVBcWt2NdEf0D68IBTFy3ZvLdZfOWh+JpSbzxJl RAiATZ30uYZzwrnRT2y0103iZ7N3DTYXIewprTvo3jr7VIIbjTiaKGJeM39NEGrOyHZ8gqKImZR2 44mcwydRZpY9odFMKilDPbU7ryaaICmPSXSegy0gNFkrNignCLhRlPrRAkdAQdM3StVUkY/E0IpO 58LTGYhQG7SCYOvYpYNd+XhwPG+/2lkX/EGBu6eSfY7e4+ZEvALwdlafhtCsH0Gt3COIRuzC0ARz REmIk2214oNvsnOqnd2a5LCyFtBliA2hNAqouUp7llUoeY8iZ4AnXlRbZkLcr0sJxKP30Fl6Gc8i AmipCZPNCYpiZ8BlQOl0Xm3zbmJvBJHzfv/i1UbBcxc8dIh1NC7rqOMERbWNrfzRiT5m3g7sCqtA 9RH+mYJkv4fPKu2eqSEVVoNyiwVIDo+hstDkiM6hZ5vhORhk9HTv+Hf+Gr71/Gxz62pHZXp2DxAn S09Ch9bLqn0PoLPUWmohplGDHUTnriiShgRdtKBvKfs+nCoDRX0cBqPJO9XeNTqIWEWsOojqnaHR YT+Kr4MG4jt3jVdS/1pDGSjjlijGym+NQuhOm55p62U2asCKSUO5UJ5Ei+0J5u7vMbRlYFC3mj54 Bnb2FXyYunTFz/aSrARC/IJFk+dVIBl06XlnrmEn0yid3RgbOEP1JMOD0NeaWv28E9d6pgynXfWc ISx7aLbZDIVhdMORuVycvqTlDhl1uE3oSVHdLeydttbdKf38Dd2He5mB+ZBURaRvGI+qzno5TcDZ AVICL3JfFEg30jnAo/BBkeJJF3nxonC6P++cNayh7mmGULbz120fRdJA905KOKl3j16+ZMWHwkbg QNAatyUUhdJGM04kGXFw6BXK9Ant1zNB0CrXHAKciDMaDsVo5ZkIwt562fcZGlLcpA+4Bkdgi/R+ dlmfn3fCmv2IX9c9NhlA2DZD79E0lhC4xoNdDQSO2clXvQ9bTcBMLV9CUTg91Gnte/ngx1BHWQz6 j+T0nROV8dBEZaNwCSGAMQ8RNTXew2mBMgHdo1MmBysC0ciFlR3I10Tx9E6/jiH6XpZ7CkXxNJMx mJpqj00o0GiC6rz1VZh02ASFATX2GLxDtg82QRn2To1lZejWQtyvMtA/c9IgHLHqw1ObU+8aTRD2 WMlMVotnfBm22V1NVqIaoog61/x1CgFIDeMFryFGETXqfYD7WgE6qxlGEBFVBqmolRkQY4LeKWrd e4fLstZ18cQjpd3R7VehDAaGVdgHZlcVYiOPZg0aXZ93hhoDLgWKPNfTZTtC5UUKZACaf94Jaqgj pvadckCJKd189Z2fxmkFykoiUQCAurtjBm6pWeOw0viUVdb3iBpgBnkb/KcfH67hXNek9hFqhQsH DbSPwAaBV1cm+9xqQQTvv9PTGO/JQ0Q2EP1KRJ0jrjKWqedK/ryz03DKZz+EeGJRcYvoPk1RVIie pMqo9AOBCCmJY5PtYlZPBaVW22Tv5DTW6lgQysSh7fzg0MCswo7qjBehe0uSX4aICqV/Ms2UrWtI /4d3btpT80iCfbiO4FlEc1LYgn/x552ZxhnuXzcZlOiK2s+coTCiHsRXJTOXncCbuf3udBtwaFXZ DEURtamLkDKsweFVE0F0k//DSesHgLtnERFEDOHosuu+kBjKi7zT0vAl9GNJ4l6nh3t9YouhVsaJ uz7vrLTfmT0xD7RQan6VvZPSkO9WZK5tWEQNUKaVzToFEgS0bs4keuekdW89L8JeNTiYsaWThKxm dKsprzmI+NcfqLBTsPgi0MgkeuekdXN7Qpsn+aB9NtqSazSckj7vlDRct7t+Jx2gTLdS7lEUhdTy N9jN4DAlXymfTGyrknusUB1FUVla6I0tD2vtVltFJ4SeGzKvCBhPNp9YR6pU0ILRFxsLVrTHift5 J6ThT0yCValdmL1diJZLYR287rk+73y0/mhBZOlvMgzLNy17p6PxJIKi37Qy6+xsvTFzhXmJ1Ydm n9bWeGej3cN6S12cgxdfYUucaBh1YqExs+Khguxs0MuWbCJvii29bFBflJQNKME2aBHB5lrYg5X2 552Mhr+1ePm1MByB4AZt3WyOwqi6SjjbyTHbV1E/F+SFC1GoiKvoParO9HnpFQ58PnhIdH682pb4 1rR7EqQfUtcN2imElVtiVobeNZgi7jO0NSgzOt3GeU0E/vjHE3fszzsXraup/53dI18u+W60qEhN 0kdVP5XdsfkYiVSvoo3s3oXvVDTcNZtJK/HnGmyKzimD3jzD6vMvbTaMQXfAkdFE/LhFtDb0rkHU KDOsTSoNB53WzZv0ZKK9E9HYCKxfQVGnHVa991kUVqMJOwlqsu5quydRYjJC+f7p5Nh3FhrLH1xD hJVrsAka5wo7yX5pltyvxPMcjt7nIEDjCJOjqJGB/Oedg8ZtxrMaqGwb7DobVABCHbbWzzsFDUHn uFEjX4++aml7UPTOQcMaOqHYSTec6kEGtK58yC1aEQ1KgtaADgNrYDoqSes2+BTVjoYq6x/QyphU rZaT8dl+GXYrNyYCt+vzzkBjo4tnVpOATysXbjZQiGJMNPLnnYBmxWKrdvxQthOWRneCQnAHjFYI S9Q5NB/GUL/U4b2qCdK808+62RlVArBt0ATxSIUaJFHCHRYZiVPZwNEaaHXiR+/GhCl612CCyHNI fRcfLLkHukbCjidseyef4U/Nt8vK8AcZDn03NENRXI008/zMFOqp5FRZkx66U8Vus7q8Sf9OPeum a4T6z/LhZvfQPob2HZbQiXsrXVYhwvEDzTX5Xzpkcehdg9yMBaITi28ftIRgaCTR1RPrft55Zzyo fZcxhMaFUKtX0N5JZywwF4KojTPM3eSqfSwQmT6WVWHfOWfduB3Y1MsHX0Jn9sHu4FUGc5Qsqgz6 f7RbIgLWjiGKYrwzzliF5VQWiY/CaJSsFzT2CEMB6T6XzzvjDBN8czNeZfSmB2vJpigKq4GAwT6w KeorO9CjU6SVU9Spr8YpigrViRUiIMl98Ck6t1Za1ALpEGxuSScV+hwZgdDlVKPWzTf9KzFDT9IH ndNnb+6hPTbn551r1sU8+e6XAUbT/KZ/p5qxy0YAwzLdxzVvq2O1lbzfuqplHe9MM0u84WjJQyg9 rQ7sLlguMzHDhTNlcTATT8lMJS4/pUkB/LzzzLDaVcBfAjO4lOu5Nac1hk6IVT/vNDMW0LwhfS1m 0w2F3klm+FJ2XJ2ceP5pO0BxyrOH0eLA1YLM9Z1jZsUXxkDLBy9SJwjEAWqDiDqdPcAKSYOcTiNC 90vNkHYvn3eO2a2gJeBFbdAxfa79VuXjPPmOQct1/epJn8k52ZB37N8ZZuyWNZqHWDyd5pUMs7Ia Kji7Tb/H4ir1VnlaqBjSayazskwJDLUT4XFUyMIDOfaHxMVCuq9ye2hO8Uv+QOJNGpNjQOlEp9CA AyGdC88J8Xnnl/HS/p4ihFa9tnvV/xlPF88uoHzqPsVDgGm5zi2forBMzYss53UHW0QblI3knQ7r UIBAv+HPee728fgUr2Lv+jcmRnDgykL8D3ENJ7omn/pkfXw+2GZ7fs0QiDOt3FbHO7OMYXiBespO npQNd78k59I81YBh1gxFdeqp2tnSIlrtEZs5mdb5ePbtTz4FrN4kIO9cOAN9IEit3kVEUNU7r4w/ p8IgIYL7hUrDzZ35GRjJn3daGd/xJmVC0eA8m14geqeV4SSizCNBF1Trb8lE1WG2kn5crR/8cEIW w4Ba+2yP5INXqs9/gyYso6EFGT6eRIjSfwYUaQAQ8FU0KNb/zirDoWCVISpiA0eirsU5frqJqeU6 P++ksv6QXa1vT2hV8ZPonVOGSwhmypQwywSTi54IA5Q0qH7JWj6DWJzV75QybgFp8VAehYO3XAdK 1MA9UCSsN9E9T3ZwVh1S+fGgySmz93lnlHWZJlGV9w7O3VidwcwJRcfnnVDG4kP/ihd5O+R1Qa8h Yhq6a9kPaPxTsoYiMkPruCbYwJK/+U4n4wYgfKgTS8/hNhRTQ9E78RwaMOZrJEyfv3aQDd/HhQVT NPHzTidj40HGfO0OmqGz3CU1dyZofd7JZKyg7e/LjKHQPYfeuWQO7RzTdNNgk5h9kzHR4SaDw7Cu +3cq2RNRY0XaYCf1STbgyicpVTQ+GlMOgEJ+BljhmT+miReTkfBOJTt/oixGUtOt37zGiNtgiix9 jv7PO5OM0cLN7Il1JCWi3SkKC9VI7Bd90IQNXp63rvIIgzVPOt6JZDdvzVwhGrwK2xEUNaqnn5QV xzh7RgnHAEhCUG6xvHXRD/ydR8brfkryMvtgpBZAUSVz0drnnUZmnYCv2x5tezpMaIKiMjVKi2gQ Gz1KWq9sB+U8Dc64F9m7uMveSWRcwSybIcyxwdPWtEirY3FoNxiQIiubALuCkofc1WPqjTzw804h 4wSxG12JmOVgEwTmg5rSe3zeGWTMrr43WaHAbHNqwjt/jAA0HENSJKQJb/aIsZDLpYgxOUL4nT52 m9JEsdrgpaGC62VS3gJUmSxphw2/6gI/Oq4e7TEiTD/v5LEuJUYcP2X6oLx+rClZxLILH4+a0p61 4viiXXq7Pdd35hieSnQ2M944CCfZtljyLQbSQPYtFobUaEqfi2HeweNFaDSNrq79SS+7+Yiy2kRz tX41eLnwPu+8McvLz1rrlCcs/coTgksqEGM7aes7bewXuorruzOtv8WzFkbUGy3XVkxkfq2pWnVm HedHIvN7uvNcC3HUhXtsCWS1rh4oirtlCTANvNA5hEiMnmjeQJhXd6/MHdnNxHcEV7205WfrPlhp qEBm9Ick3ozHg3Cx+wwRiYWoFA1Mm6AwoEaXYwsmRKTwSJbY52qaeydtSt0JLlGFOhEivLe8C/dT fj0Z/86FosMQDeoti+wK3E2lHwtrPGZ0rWM6CqgXZ4hVWhus/orwVLSVMvB41Lb/TjkaOm+s6Iri EsbTQMRn2gqweJaKW15USmyzMgSTL+O4vMfTghwjbGZSxsEqQw0WIB5PgzkzEjEijI0rBZhuON0J 8uxROG3kQ+H0OAgac3aAbvqTDVc8/i+ksHDpQL/fxL5H4TRQ0rMNM0k5K7+4bCNkBG2CWnGf6x4h P9QoK6ix2eCls9o6aqX0B2UlVr1rKIainv+z51VtnJSx6FGBuoroMgWlng6lrggmlGXVk2/0KJxO ZX6HQgPlqqd61uMCdYEfiDuB77nsIoPCiDmB51Ldjq9HBWpLyaZYrPNiYwaO6Z3MCRzI/mw8KQqA ZwL07hpCQ5RfEhSHmHFMAAAwQLxzKVysSTbFJ0IveD6AD83v+hll/ht2j81RTETsBHwYiLETlMxo aC7D7UEXmSzoE1H3KKJWUraapAjblxRhxuGG/5rNadg6UbkZgRD9rgp2+lVnKHrZYBlJZHfPO+gg WiekIK4Umr54PGJq+hQJJrJQ4rwHUcRFBHwC8qiG0YOXp+Fgxe5SODSGWYT2GPnBZIOOYBrsqAbE /6RiFGuc53MyrK0JGCbhv4L5ckGMrDD2qEitnn0uEuEBvo/Ky/BjlzhqPyc+Hg/usvHdbQUdrM0b L/YwoB40bqrTgMK5OVC4baOZYYKyyQ71kI4oHCzBnhpsCfWz/k48RTri2W/n/CGqAch50RGJ9LSD qNq7Bpd9keKn0txsErVnbzao0ytrrXj8X5tMcDVkG9TZ1QyFVWqwyvbCY3AoBKHJnRzpBKHbXopx nZ8TLSH1v+r0wWkvDaJqLYk3BVxJ35LVK8B9dH7T1WUiAq1HVepqgtZWrPa0FdfBFmPhpPh4/F+c Dswt5FZaqveofg+pTfMbBrOqDU2oObE2BCdYAPOEjCnm4dijkHqTRL91DO2vYyhB3OvEENxkOIea akO4mTo80yltJt5dolVaj4rUVdTprjvNO7rUop9CV9U88fi/OK1TCRw6dB4w9ghKTa8d866iySx9 CBiFiedLR6LV/RSKMB9dPPpUpwYuY7KCYCtOXgCKZ2kRKXEmCAnjQG4PIzs/hYC8xHcE4ZDO56FS 9TD7LGrpdWE2ett4PFpB3/FihjhTrbc21OOQegMg3MxnJ1P2gdWzUqvhGdemZjLjoahGvXi4nNim +mDndEFzAyVGieuvngSuAuS2c5OVB/VBpQx8SUQLYj+a/RsNTlhIIiycfdzweLCGbgFW+syZpohe ox5RTE3GQt8moHPSr+7Cw6Ubmug81pNlHSOqUeNzgPZQo6M/jQ7Qz+ekpmOfAMj0sp1bXgjFwg52 jx171791B2rxwU5qCPR2Vc8yHg9i6vENN4fXcM23EzSimBrNghP3ZGGoQbF3qPCUgxyiIQIqRR2P S9RcPI1/MYcL0IPHVyNvCmfHVtxUEXY1iJHv/GRl9I0ZIT1RchVCCucvpPC5O7cdLQuPRw3pW/pg WgavqD7uEgrB1OffnmMtV83p2QH5exSHClfUGTRDUY0anIrzo0417MlPO7HKCXryhAkRqZr9xAwF mjDMbdFg5EuP201E7jDwHQHIswkGu7Ib7YmbsSADjRnq53/weNTl+I6GGsmj84oPRAF1I4OcP4eA wvkqMrfxNBOnKQ2PKKAGNPpsLgjSopm4fYIg65h7LkMK6BXiHkzLSiXnhKnRRcWcrxn4jj+bif95 UEMWe4g2dU4zPB7dZBdszrfD5cBfRjMUBdTQG0G/2Hr2gPx4u5USoDKxAg9DSygKqKc8GbZQsF+4 obTqgnYUZ2gvyM3S3oOsTVQ36RXnjSCAK/Al0THEOhL/rEWHAbahc6elNL7wLNKM56MKo+f2OnTw s+11V1EYUyM8WGVbo2NJMXfRYLdva3RwFTMtG1FMvUS+013OwSPGeS7WtslxPVfCCR3VkubdjVie pvdWpPZ3DTJXlYeaVHTacNuK3aDfznjoBIwjwlKzDXizMjGnLgl4hFBqqnfl5iJn++b2A7ZF6kjD wMwmKIJSl23Aj+3DrTDC4F12DCe0gGobNR0IP81Iw/P11BuEeI5Q5oOos9JUg223Bnt2bCHIt+0+ 8HgQD81fVXzOTfGcY0RF6sb6WR/GeklzOTGo9Lw9K2NPgrssiqinQYeGoEP8vsyWfYVYO8QykZVl WrkQR43ADmEt6dJuOng+Ht8RRENL3Lv/VM+HX4VQGyf4xONRp6x+R9TATrBIrgkKI2qAv4p4v0hb e3Itgja39cw25SM1QXFETTB+W8WHSy0biAi3lJcHrBkJHZpEwG7Kd92kbGsJhSF1YyMaGHXI6MDa mcAYeNyysHROX9z2YZW6fpcYUZGt5ZYYRxhS086jZpPwWioT8RSaxpeGdKdLeI+wSi05FGEa5oNp 6AN1myxbr/NXJfQl0JA+CRpsq/ALPHX8LS2dkJ8oj8tWkg9Ky9JoUyzpM1F4PJih+c1wJTKH6p2c oRlWqUEjn1LT2XT7vsrUbVUvnwG6xLRsxgp5vOYBsLfBG9JnfhuIodxlkMor8mtiIE16/u2VTTp5 zBj00SUAO32wiHpIfANUx4bHo0aH5xxUs0ARiVr9mqAooiYUP8OZmXkr9VeVtzaSaJS34tWYlc2Q n0it/F1388G7recURZFAvgsJpbRB0AcdPc/RybveSrA4TPAdf5XxMw3PNGiCaqO2Eyeo4PF/Sp2x Sn227IkbPG+dUUQNQhTq+H4Oba/AnrzV/kMIxW3rR8+Ynij+uAzQ02OAjrLJgJwmaeQZ8oFDVeqz 11BLz1Cydo4rpQhmXKVWq34XH7TJYHRBnEk9wQ0ej6boRoy0JT6XeAPazqYoiqkhZDF7a8bnmGk4 J4jIXnXLOpH9nKKwSC2VBjqYaHDkUBXynGLU56LvZrKLo7UCh8/D0jwY+9K7BjOkvHXW5oPKZyda LCQbwFMNj0dKlOl7EUHj66wDv8xmFFMDbz23fAT6z8r818XgLMtbHYlMS5zUM4qpKUGNutD2wWcI VcuEcBJF6rOKJB4HXYWzys/K3+ORD172rsE2Y88+JckzJq99zA2jA3oElV7xeNBOfMBnSuLwGxHf rykKQ+pJu1ObotkIkVPm2qYrfsBh2qYown2oCLsLgdIcvNNROEvM7cmGKJKNAVK7A5iyyHhRQERY w4xCasl6J9qjajD4Wcp0G/nBgYfHowraNwwWTZX2aHvNCPcBFPW54W1vuSMcD6LiAoMLYr82QVGV egkYk3k5cfAJaqSWs44vZQKqwg/Cs2EK1ulXZuLKRe8aHdU8oyul4XKlDxdxwpBwJE444y4LcR+/ AIysDqVnBYXURIDNW58mRtBYG5Rhbt1WSQODy0/qUDtPqAaam2nwk/oklah+kM8xIZpO2YJB8eMN EMy4qf0aW+8aUYK2EDHVByVlBGoJa775eDBB6RfWHJd+v7CPGcE+cL8Ce+bKi93FCDrRPsaZSt21 BaOQGr0i9siSDzZBewB4Tz2MTh9sJWVd7m/ncxEjepGa0lUziqihioOlU6sPyjmgpqas9STdeDzK 6z3nYH0RSqL7Vs9mSEyEJm5P14Zq7+qksrq6TVDTRYYtFup9TOoy5dV8eOguJ0JsNJoAhm4ZcqiR WnrWOSlTuuqpSI7v+DMaoi25BkN9nNCPodH54oLHo3DR0/rL58i3l7iieBrl+XM0VFMiqKRAiq1w ITJnATnQfEWoD5NlgnWfDU54majbL8ngpwIFCyrlQ9Ow0o/wgeJLk3uFqA9RgbZIaPsCh/DPjPZa qxWP/1NSxxIVzrPPUMhLTDSitKriydCWp60luUnHLqQVcoZC2Ae58wQD22A52clEQWc11l0/8Rwj Sur9tDQLS8VeosZKx3dExTNeY2OYdWD+Ydn0HO7n1yQnqO9a8Py/4HksCQiQc7mtK8RRw9F5l2HH kAjywn0wRWIwhNqOTVGE+zBS0BZrais2IYUc4PvVKR98gqE0JUQwKaZImPu+xEQYFDV8R1CjZhSE HNEHO4Y2a44MqAce/1fOwQlCqTZfCOz6k5i4mhfP0DHwaHF2p3NM5MCaoBBG3U2AYPrgdA6Yjm7E HMRW9QFeOqS96PWD/1MuBJY9U3zHH0j8E1Iodupp3F3GmEpYLTz+3xxDGeucmCmboVCAGsFi1gwh geX9oGbiUxzKqZvVxAp5iZSelk2SBsc0AJJH6DTqr63D5g+7DA2zhrCG5hq6yTYb9isCfRjtd/NC 42DxNEILlhdnn3g8ioW83YrHiIFdt9u6omgaPfIhI+QzF2P36YYls27PWiGmYRMUi32IpCD5wH79 Sk40XeY5hFAzhLhsQU6D0hAy/QGuJnyVftx3kvCzFaE+lribVRXq6hXqExdl2nujnzTweID6WN8F WMSXdV9zgBWF08C8el16nNgfWbdzpvKwTtms9yoLw2nl9UtS7w8GFnoesL+VGVdOqLkSFmO+wbhX evLaEJUx8SXBDMkfoMkjiNqZnKET7wugh82Gx4O77FGM4Y6Ckic7CZqiKKBGLXsyhWdxaJFVa5yp JhezEy+CyKlFFAKpu+4ywchLefJ6nESlyuJ1Q/8MnevSAAoFL/X8WfWiPubQu/4RDp2pmd0HBdQw DCAFqZ3ADY+/77J11b20IyssPD0lWyGOGlD8S7GbzU03YLXj2LPdocilNfR3jZoOejb4Qd2hlido FQhTTTjqhCSHYBD2NtRuLeyG40uCkzoLdcaTmoN22UhQoMMu66vi8ahjP7+uMvT4oXTsMxSWqFk9 g4y+yAo6hxQOTZ8hcAtNsDuMqNlMXCJmLleQZKMMDFcIGsCLc3cT1GUpZIDZmmUfoXhoDL1sxJlS 9WxVHxxadS4TXtbnTsHjwWV/ccKWn5yf6OYcOwqpB2WZZnfRIfLcmXOc7MmFLDJ8kaXZHYtSi7uZ lw9+UqM62uompgGK6XKlAI0BKpH5h4VnMytliXpHIXWTvyTcW21QaHxihqxoKA0+HvFd1tcEgYtR 2+WQ7/eIGk8Bi3/70WNVm6CRxOxQ7WwakHrHSh/EunQChzj4KZRPzgdqNLscq2aKgSjPHMg51m73 JqMi/g6B1ILDDFHIh1PIJ1Af+4rl7veAGhFt/zqFIDVU5k3rd4ijhhTKTJdSJvsW8l1WcZI9GxOa oBBHLf1OcMdtuADPswNqV1qPVZkZDA3KS6NN0K4KQaHhLL7jr8oZFqIPBmlIo0nr5SQ3ePy/oSVm ktzWnaAongb+asrom2LCdbnekG4V6Q0BWKkJCjEfkjzb6nXsfQtDLGzxVKJV+YTBJLfYBMf+HARg 1XoXiPfYjuLpra5skUplcZXKeY6gwbT8TFPG45GY8C9wHm12njMoxlBDkCm7ZDfDJ3EVZjO6y7nH tmua7xBDLYjwrHe4af15/HwBV9BJf1MlrqozrkEzONOrTpUzcE7wHVHCIf1OCaV9CcGunkV4Onk9 Ho/gDFdMR7IeFMG+xcUderrITGq61Me6m2zVZT2OE9B1n6IQ8THau1YuzjWcQ6rgrxO8b/Y4CK0k V3VegCcbvfiOyPOGyRjqtjZoisa5FZs4LGnh8Uga7ldaj7Jq2zda3BHkA0TNuWbKPkPZ5HQAznPO FE5Xm6EI8jEEGBoSIRhXhOAspwwfehI3VwVDiEEBFYIqGLO8uK0Z3bveNZKsEqlMBfx5/bZ4z5Qf ayXuuD793YyGSmRlQVcTFEI+Kv1s3bQ1XfQ9rBxExctrd2cE7RDyIU2vIfWzcTXNIU+MrmGhWsyJ qnbmBE3SDoBHHMOT1kIDXnxHQNyU0FATs7VdZuuZ55bMOnPg8SDhmN/BIogO52uve0lYnwZEpDyU KSpjSm1odeeUVci0ZHTrdxxOs3K/tdX2l7bguX3RrJeI5zmgxjQqPihTCK0e4wAocfA7ImweS0hV oYE3vNGqXXL/O1uMj0dcl2/nJGqv5Ztv7CiaBg4AVpW6s4B/dUfbKasXHkIgymkFRYAPsDBw+tTi g2+xCjzD5gqaAD5n1h9HI2iokFd7QyF2OHYs88GbXkZmHCwhA7OVrehWGh7/lyqcCvi4Wi+lLKco mgbkYS76U/+PfG2dyjFQdsQ/ImV1NlBOsSI1e18EwGqw+itKBlC/yuRygCGNfyWTkT5AJ4QJ2oUN UZMppyigXsR8LNXdzuVSJFh6goQTX/DcPlt68gMijKdPE2VBAKoAmt6nKa5So1UGHWQgPM6Bj7AB uJiWUfHgjO26p/UScwqD6k6uyyh3sGlaJ82HtjHQVRv5E0regCGQjQRyOp3Z3IPUX/cP8fezGNsd 7PcnNgBH8Mmi+HyQePTfirmIHcbXaoq9XkiR4TFE7AfCA1XRkus2wLvGMGj4oABOzcts1bJ98OQM koubihgdmtVgvCL3OPf+D4y0cCLtC/7Y/roRxIotIQN8kqjVFDw2GamCM7b4AUFwlH2e5MmJikG5 SoP0rguu7E3h7mQZyDBIGqQishesBy3ZNE+xYSIZVCNPH3w5DVKCCZLZ5583BMlpHHTmCWpLWXIe iiDLttf9A88ImF7zQTH2+QPUDkekyuejovUNsnGQAT1V4S7h0xSF2WjzzqlrnxpEfTrPrD8aRA0h oHZdVLbWtbXV1uBg04QSTk94IeRFgFlR6zuBID0QepKhaPUi2j3wW/7wpDinEopmGlQOOXkANwLj Zj4f5CL1Ioe5DCE8NvZtf+QUF68ri2lGpgLe0FB7J6XOBko7qymLbZbT39VrMHR9cJ7HGtB5IXp4 AWVKgN9J+WG9CTGVzLDbMjZGAviWCLjHFgjwTzZYgR8cJBXW0ubz7/NUrxQzEzwgUtuXWVeKHWBO RMcbmsspLZuwcz4m9mU3pePyveuiiFuX3Gqq9TtCHKLnKKywpk2H23UCpS5zAYhBomiXvuwXRrfX DSImerYv6hBq0DSdU6YqJDw/CZ+PyJ2/JIkmA+/rcZJCNZCCNiz9tWgDU7t3QhaLZFRtWmtXP5vC KrakF2e5g6Nk4dKIwF0UakhoECWLmh0p1PMeTQXkD31JkJhsgUBH1VCs0N8ASaIzx3mIz0cKe+kr bIKz2Tk0n5MpirzBZYY6oxUA1s7OPJupO/NsT5fPp0BFsJaZtXWRhPslCUOrCd6Jc5tm9clHCFCD a0KD41zPVz7fvJJTKFotGUKii8w5wq65QReZHyig8/lgw9Vf+KvzyKiXaJ5TFH1DzQrMf0twZ8m3 UjtMB6OA1nInKSpmo8yHOv9ePjjAqKBS0lTuR/ZWhxylcXbsEyQOVoeqCm3F3jYqJGUe2734YLQP UFR4Kg1GlqEhzO07yk8R4fyDSc+hySKy0/9j7MuSXNmNJf+5lrJnmIfe/8Ia7h6BZEkZdWSmbtwn 3SSzQAwx+DCzO3eNTSU3FttGSslqSbm6B2UOXBaBgCDmGqgCGxxltNEHkT4RTAeWE66pVnCuKbZa zYSSztI5sFmEZIGas+x3c7BZQudIoGsYb4c+i2P7UlKPiYXxfCcpir/RuQazyllo6sky06VTKCcJ hVefpAgmgikF/yxPHwxplCFcUCjcB0VssGWkAAFNPaKq2heYL9nbBn0RzU7vd7BqSaW5DE7uUfl8 EH6Xb6Ye61hrzTtJYfRdoGop82Rku8MwaueWZm4ElaLMP5HlgBxaLS7CjNgIssFXUgLIaHVyZDBh me5Ck75yqEN2mSzIa6DZ2/4tuJdtsApsb7ilCIRFxSQHZou4XubXyV0WGUzPJEWSe2guIs11Kads IqBgolFDjVJOBFRqksLQm0buZYj4Oa7zCfqDKLJlUhwgv7OnoipqKUFz5lHYHcPf9i/xApq+2cCV dO7MST44ayl8Pmr1f3eQaKm8LpUoh36La3KTWTsNTHFDYjVwXLywlL00mQPDRehTVGJC5x385D7J yebepajceVYFblQaKJaa+1MVkKN7Di0XFwX1oaTEgf4HLL4tSkFjKcG2M/RcLOu7CVBRJ15f5q+R mjVyeVxqtt+KjDsbhUKe8ht+J1tKYdi95Fgxtg8WBKST0p7DWSc3Pipxv0F094cUCvZJ7FCySYqi bu23UiSTVQxjCS1ORjxYHb3y+aiVdK07NbuIlh/PvMB3ESjjTEqRKfLM4XIqXVpJIl0BEqx4MjRe XDyQoTLmg1eYOjJaWBsw1801U9gpA0UDUflz6tXLbSTRi9/yh6g12NXFB2vZog6n5KRsPh+d3T5N kxUBBCLjWUuhYAjtGUQ5X9RILw4daSwwYU42zjybpcgnpk/ZvxLDxsFxkBuxaafx2QkI5wQEFnIP EA5JKP3yLzctg2pvG3H15eC5uw/WMBl5mDWcvWQE6Pe+rYClmxWpJ1YKC95oWsC+7cdcPprDabf0 iTu5O89a+rPijTr28sELAicxgcJ6V/MfhRPlJhkOH7CeWfVqFdJEiN8SScjLClaAtursIqp6CMx4 7h8+HyUn7ev0LoCQtMdwOXRhhIzghGungf12MtUHNHKV/EKYOHnZJLZh7NJulpPnY9RwIrFzHtVC jfQzeyDasX9bwERKVAt7bBhXsreNNEGzDu/igyJKbGwCJuDhzOejasD42nAQ/AWX1ycpdGLsgJF0 9tBRquzVRHgBAhgOAiiV2H5MUmjFuDRJRdjR0r+wSOmcGVv6KrPQNBfJCQrGHQFt+zJAG/62UfdE EBtRHift2AQkmY0VOHgV8/lIku/bEbZQBODJTUI3xik7gilBHiS8yQpLcxFgA8zfxolukxSjs4Ub zduHy8OCmiMZAnD4Wqj2EtEGsA0QA/iFnKkma+rQjjGLCZpps8tB9Td4bpHx2s6/wecjUvF3jwlc lTouYivHfow4lEYxdhF0h/zo7mQZnH8EnCR5wSQwZESrm79jq9MHX0kVRys2ClWcoSwsWjF405Q+ RhxuuD+i9/gtUUgppIR63hAFMZ4iEMgGYl+bHxCBbup3Cof4dz3F3MCUEfk1Cjsre/WtVDPPJUpP MwadITfPDVwZUaQUIKk3HxxVctZKghUv4wAY9YJPAsAe8iIkGMQtWKJLxlqObRkpKb+g+cphOrXq 3BEqLJWst4yw/hfJbn08uBTeWm5ozAgKDeBPVjRBGdGb3jtNy3QHbiyF3oEzo4rCJ5qcIh3Nh3QE Rb5zOcjgApJZgmoPCAiSx7/T0/Wm7FOOnRkp75O70pSeHTkBzx65DJ/Mjs9HCn1eo2wWB1TCD22S QmvGCU5CacuhE9N5R2u4mVU+m94rS6E341Ild7NsZHYKyYyG4SsjmUcYLDX+Kw1OERWV3HYrS6by mENzRikbpS1Dme2F3BPwTCKrzmfVxueDpVTW1ySRt1Lnc3hHgTf9rKgLRw5tmnbXIVQuD3tteWEp sGc0WkTNlSuJg+Mnzs7Fu+PwPvPVJnUOoCuG0AbVJTCnpW0ki88c2jMOrSRGVxo0SYnNK66kNfh8 EHdfwyaF2Fi+F2KSQ3tGgPRgNSW8zYSDlm+3ZmrGFHtMPklhtVs5HAHZGjzTBUSmCQw4wDluYonS IwAXbM9XdpYQIH5JMEkiY+96B+VwA0hqXlrnc/n8vzDJmF5SnOfT/Q4NGnGNTchHa5Jqmrf6th5p XiBalegGDo0PjU1qffnecKg1JKCt5PxVvPM7WEiE4TrPIwso97K3DS44qq8UitdpMIbNQAXrJ8mi MQcejf95JmWw6PtXeylwaZQVzQk7yrbK0rVHg0BjdrBJe07usNyt8myWd3V+vKv3PlurdhnGnpSt KH/Ji6qUIO2UXFUvPGupdnvdYC1Jq6/7Cf74W81dVcmtmc9HldyLpOAZNrbskWyaQqdGcOLPNFVT m1/yB+HZnYwFCExONdG+HFs1bt5sVeTamh9Qzoah+xRxva0yycWGxsg58VA3KOr7PwDuHHo1cpms LPXQfNVDIbeweaio3h2YNUqP6asJx0vjWsvk0K0RAAxY7e1bgPNjaXh9F8F/8np3YNeY/x9ULqkN kXxwhCm8UZYAAkDSDLpLwaUTBThEhXJC+epUBn6NiMgwSRXHvg3acWf3NvGJWtZLRtp9t96tNnkB uffGSrFj4wYWJ7u37slKvXVirAA6NAPubbMUIrmXepRkbXHwyJuUJqhnnJBynRCZFjyoMVSYxrJL 49NUkvreoWnjkJ46o0ANRihZVdE0BKj4fATFvQ1dok3O+mv9qS3Fvo34NbvEVyg30h+5kVGtD9dl ZMRpCiPvrURTotj5G7wEAO4WeAkGPAqoEpbCgFIXT1ELl0g85bdEPGT2PIgUnFcaB3DuKdnAE+br LYOCwC1UWqvlpxD8bLMUWzcCk5OMUAtWgCuwAUHotInuxnI58G68s9QVU/YbU+IE6iBGNiGWz17j ucS6dYfJdaYAkdWW8rLXjeqUQ5I1zQfFS+eSo7QngL2Tz//L54E93bp/Nb4DB0cIKaDmXZuAALMQ 3MpktyqLQ20Jylo+S3/LjqwiomR5thxyLSAmoA4BXd/RaByfcNcN7uWsepqcHrq9brDlJBuBAr8N qsDB51XIpY26SeDiKG2X76YufS7zs+Wi2BsVKDjGu4Z4GgbwalVoVAKw6nK8YGDkeL2vhrg447YG RgYzqVjhBJCcTC4BqE8ngQe2cj1+xKvb20atAZ1INftg+C4WYn4IyBl8/n9R12DOu6/GTw6sHFER gDk5b2AiTWZytOAQKRmdgRMwO1rwDy9HKYbO5sPlmcB6CR4oZ5JwzALrfeYMvNABd5EMIIfvuLTs dYMdl7nVEEzYYOcS4K5bGUrn8/9SZCOaFz/NeBqWoZsjeiwTTHEE1+gMlOySbJtKdZgTFvqFWort HFXzVoFteVyMeAl8xo1uH3bchJXzpKUB0NNwoqX6s1cqe7PXjdjbzE2qwF31AXetLghT22vx+SCs vP0T3mcZcK1WvuYp1iLBamoQuaUWyepeq0TrxubpZGLN5ykWI8H1NgrJpRw0T+eaS2SDJ84TpIIB SDkvOGkcjZ1YHlV6Cv7kwNURNj2N0AfybzkoGABr2MT49+TzQTBwUzkZXwEsVp+EN/Z1ZMK7t3EG Mnl98qFhxiATZzQTFQwExo7nPzSby1NYgXkhunNCnNMw8UicoLyAFTfVLphgeHnCO0h0z4GxY6KZ C7OT4YMiy41YVtr0WE2Bs+N3Llf1cninGwuE1o7wJ59FHNN6fflk1mNW4BBIKR4xhd6OS6UTQos1 PMSBE953NgcGghwp/yyyzAF6aH17JjcUMAXmjpK+JkO5+HBzFJX2ax16Prjk2i+2O/oTNT9lgdDf sZ4zB2pehhLYMzm7YpPrwISXiqQ2S3H4LR07WYm0ayUCw/fdKQePssDEX0dNVlxolSdoc+2WklWF Cw0eq3yxtJQSernMK87leGIBprDo7/EDIpH6+bWW+AEkH9osRdE3SnVnPxi5S6VkRd+DjEh5zV53 tRybPA5Bl2RkmB8R9nGCytrRUYTEeAYmikA5oMwp+EoegDvSdHvbqNGkGEDiG8PFNzoE19S8nl3P /4vpZaQnyJTeUCBweUQmB+jbqG5KM1yZ1ZlMjJdKNwWX/IfNI8+aTJAABw8Fzn5rsyheYsbSzDAD 6+HcLyBbu+Bf2/a2f9ArFmsHNliFCYcCN1wDHj40enzsDtSNA1JwPadSTKlE1ALjV/k6D8PAI21Y 3v1ObXo3LrB6dHGAaYCKdSsn8Fo5xzqWD/CUqTMJOhkK+DSoywG//ABOVIcLzR4bsSkpK6rMN6pE rDIFtEmVzwcV3Uvu5rEEtWGYVtxpCmPvQlxXsqoAJUlMSjtZMRxY43HXUkysJDY39+SDo+GhK17R +wAJBaqaFEtO+BHxs1CJ+uHqJHvdKKzkNP2XfCTUcXWLn6/i8xF46WIpuFNBwsdG8mkK1f8SJe2W SZPBc9BxOQQpqrcLCoZNU4Q4qTq90Sq14fZQTp6Vqk7vkyieNAO7EqzLE9IteAjV39pkOfB9FJGd XnTdB51LCQp6OpfQtAyMH39BKsnRrSjG3aLu5yVaKngS5TPwvkwikQe+rSUmALKHqC699RIrnY85 YYBiJZQvrvlBksHIySbH0ko6q5ucdRZ9kZF1a9VL2X/RnvclUuKbJumVgpdhg2FO4dfB4tKJ6z8v cRJfcC+dSDoJ1CKmJBDv1GhyuNFo0gixaKgVGz1nAq9AzFJOvThmKZicbgzvlH3wzkkD5wBiEgRQ QEaK6weuR42aoAU4b5sdYeBfZ+c2TrqWT7/LBy5Z6i4VlHFfZwehw7bZYZKGih9tHzk7L9ERH0JR 9OQjUwsGdPVp+6sU+8eC8oaJR77ERlo6U36PBFIWF76EkvYJaOCdQa5JQcZMVfLNkhHkpcu+3td0 Af28REZ8U9oWn1us3cEnB7pwTP93+7yERZpaK96KeVEpcbF9cl6CIn7lRMqU2QplfZsEVbmKpNSt cpthiMzJeQmJ+DHDpOzm9ME7kxOBHhtpcDIsg/oBKE72H5iv/Yhmmx506UtAxK/IJofYmxC4JvUM X4ZcmKKeCCV/XuIh/aHDl45UEYGdEFqCfu3R9GwGQT49dTWrGZ3kOW0HAczryBusnaHefyFEmYPv LGptjMJ6Lc5pWT9V/JUgCZ73RH42xcndetXg3FHtSEquHJTHljQk1nDSpPV5iYT0dL+LZ+qMco1x WpYF00N/M7QlrFSrTq08wf2GPwdPtfTsJQzi9IBghc5RbT54N/LMDZjvi1pta1IuHUpkCJhgT5Pr 9cEsXK+flyBIZ6SQp+KhcNDtDrMTwa5aLZ+XEMi2SfLpIRIO3J9+z+WXAEiHeaPXkytDr+bK0H0s 6ygBS5rs0noJfzQ9jZtLCX6a35qjExhJ8bdOvDk2I6QOxBVQOD9btWkFiTQ5iDZXNk3W7YOK2BlQ EiJCVh6fl8hH59bs3ycPws1GUBt1YKNjmeBIRlYU2GJLTNo2lbxl2V+6JdZL0KNjuQ9JtlQffO0U NNYKJdpQ8zp5E06eAj0pqDn/ZEIZTSuS6kgvMY9+AMn8beX12/P6cRJhptpQLs2fl4hHb3hPHtZJ cCRLfwyz81Js1M7qOG5kIa++4/ROv1IM8WySiWu9lBptW5um+B08JDzZ47nGVELDCYe8F95DhKGh DFRyuUi2Rn22YHYo6nheCoGhBpVj96RaLK70gqffZ6dv31lUA+Rv1P3WeikxarmeSTwx66PbXyw1 hTHKsoJHpgEQ5STCY5nTsvP0wStnBS0BYLGBfDh3YqE5xlk8BaapkPAaD1NL0hfRsazGR5d3VnfZ fsA2JZfHmtBLcVHnTvdwUBXbgc6176w3JptuY3ipWQcNkSFx4aa2Ma/ahvgQ5PtFAaE8HWl6qcHm Z8MttY9O7bpz6FQSleCNcdJhMBr2ujn8bCInRntrSEi8Tx+0elJeIiQ3ImmieHktXz68whFtTepq iVwQTBAgceeA6KarlbjARfWbZrAGHE3ysmIYMVvGRfUKDs4XOSsfDtCMADdq1VtMCHgD0YOuEe5R xT0SQSs6m2ktW4im1aAVVGFcIxmfysejmHl9xcwLInJUZjCsXHR1AWkECowjjRxD0/qeHvhQNtIw NMEMbRo+5FruYDM0Wj/pGtIBINcrsMGFFUXIGkMrm3U9SymUuUdhc2dkWFCqssHQ/XnKrac2sI7e 42ZJ/vvdzvsL7ZnUPTR8I7BpYiedwlKyQ2h5AR9oY6ceT2Rd1s0Pzuguawzc0zbY9b7HCQxT1i6b iMcXrdQI8oSjZK6P6s8UJvs9egZWmOUiNjuWmccjeAZ/nHUumB5/3uhrip5u4kXJBug8dwJUrNYb rCLIqoExbRKR+2FnL2I0BA8FjsxKrtEU0fKhLp5HHDRFq21gELIc+XquVQqIuHsgWg0yJCBrTc6p qmyEBxFPaIXROflBfUI1sCrwdVBAfKOuWQQ1bIZYzAbMuCU6OHOGogiaPk+NKEsq/G0zdzoJhgDH NA+h8SlT9/cQGgkYOceAvtng/Q1oH28g6pGdzsrqMbQ3iApt7Ajecis9et6Ia9ooLGnUTTMNDpyh 2q4/cWr188ZbU0iT/ahmfQwIUm4Um6E/g+i8p/FDSibvkSQa2WNN0bK9gx9F0UKpEY1mg1/21FOY NMdAvyQDhXC2LciPZ1KyrJTN1FHcviiMprgssEnTBwWKHSBW6fPm/XmjrGkNje+jGj8UOwg2QVEg DT75nKywo77RK3fWpgZi9/pG2vSfSfycKBriAbRa98HbGjAYRZGAikg0DpMOawOtD22S8lhhgdbL L3mfoZm0eBgfcDAIdsGK5y7r8/PGV7NjbPx8F4AWZvRusvdgGksI5edpCr4TpRWvsDLo4wxNsju5 hKJoehC8V2gPr8GOoRNDn0zDKH3nfAYQSAI3cHjCT5jTtQ9RT+M9ms6Wa5Stftpu3vdBfJpUA4J2 TRROb5PR9DIHXS/rPaijgBoyO7C/Ugo2YfBhM1S3GRmyZ2+y9G9ENSshcmqAKrDBZigV8Nym7DGg YCNKG0jswM+d37M+RLU59a7BDHUi2JhDadAxhKu4GpRofd5oatpk9VY6Mq+yc3BQSFhFxCimBmpv Kk9kY2xaPRFAIs9c0YKwY+iNpWZXYlGsmH3wZL6e/D2NItX1cf43yWiSizEpNvIo1iCv+ryR1O56 R6jIY6jkewwlgDDFCi3588ZR0ya7xQ6x/kAlzB4OvVHUrHgJ5GcZjgGt3f1DxsXy7wZ0ssqs7zG1 +IDIVZXQl5vQn1MI0hbo0gD1AaVaAvsyZemRH1PTwYtBBPC/MdR0lZGoTtVTG1QsW1AwYxn6/NKf N4KaXWX5+7LHmUZQlmYoKkSDRXmWfjfn1L0cZtVvw/VkrRCb0hJ6j6nBiOISgo2WDfeghjKkSYrj kssUzIb86M8gU3mowqysY+pl/wyHYExsg9c8SmV5FwpdnzdumqW95WuGYFhWIDxkMxSH1FDrz0Yi mivl2+XB2aBjaEE5XjMUhdRJTmFT+etcT0HxbAAwzqiBiH5PIvc6o+IBvXG0odLFxFBd5I2Ypi/Z 5s8zfTC0Bw4lAYcyH49S+3sOUeCO0IDhqesbLe1Wk2YRdhGFoVb3hVpnDxhrckTMGyvNblIVPSTI tucFx57zvsC6k+cQDIERRkPK5/y59FTM/YsuSzLRGyvNpogYj02WOgfbZmAVM9c6r/F5I6VpisrN zHAQ4banyahmKCxKw1y2CQ/bKJ+db2GxuXI/lCHsIIqq0oqHMqpMNlzIEAwfUIyBcv/5OqSqqC+i K4auz8IEWc0efIHPGyPNKjWsfjAM1qDqxzm/Ule5cK7PGyHtrvOnPIR6RkVP0yboPaIG6oFyUMNy Dv0UggvtNgyGvopXz97oaF+J67nXuw9e/RgTQIOttjx2GtFCFWf3QFsrF4lgK3FdetmguigTBIEX ygUvLDRoFRKfhfV5Y6P9R9sHj6GdQzs3m6EopIZZxdxpOAS99ORQoTHdBHyv2zJ8D6kzUXOQNWU4 xOHmHMjsq132cDYgcAEe1ecF0XQaV3SFOJPPGxXNJojEWJ7skxRbOThTOInfCHzT542KZrWw75Ca ZnwwOrEZCuvTgDlUmVyjUj26Mz5m7874GMMhw29ENF0zW7E0Wz8SmbOQGjKKq0gZ6/wtlXArIImg AJUgWdtvAa0NvWsQMPIqO6u8+qCDGlgq+cCDh/ZGQ7PaR/kVMBKc37yC9sZCs7sM8n15XaUs95Zt 4AZYBa2SvMVd9h5So/aBSLFiZdhgMwSLlQX2BzN7mDkTV0zkU6VuK2ZHAeOkC/gbBe1rk4n1oMGu MsitMG89cdjnjYGmePPmrZLJwuq5x9Ab/0xLCCVGVtMVMLKgwMu+DVtX56pO3h17o59ZEZYzU9mC 5mATdPbChOf60k22epL/AWALFX7qIz3I/GnvGnTmaaPGRrANBjQrRdJrZ3nmzxv57BbSnwkiVwCH h01QFFEzXlzsirN9KM0QocBL8+pZnpbYv1HPLOXAAQ1KmQ82QSs1YIIRkncCq0CywyJDPw8ablBw gn8AaTD2rn9OUBehr1dPOcBsYwh/vrh/3ohn/9mdV7x4/l+9wdAb70xLCH5zJ3KyKvVYF/hah0n2 wbyw2U32RjvT+md/vgwap3K49UW4x48mVQwo7lbpZ0D/Bx3W1q4hn06hN9LZrYSjPD2qD1pC54zu bNaje/J545zZMT2/LjKEQYBM+gRFATXgnFO1J4LM6SMk/bBKzUyCzPcw/MIb4exrCRU1OsrT6Fhg wqQEFDvUMU9kOEUnIpoT5LyFTWGHEAUx3uhmhgKglpE6ZYWOYV1pK1op+Ee4FH/e6GZvpQ/0Gs5x cAEwUUCNEguK6zZFQxLs4lQTUY0pGsnFMd/YZvqBaP4NXLoPmqKdwJuG35N453vvysmE7nNGEHQJ 1eRKft6YZpaS8bhS7MTBSkMFcrfcY3N+3ohmFk3/SsnIoGp3et6jacCegChzE1k4MhjA1RUe2GqV 5DGmJypQA/F5/miJYpZHFBMCj9AWoMvTecWzWxN5MfApKIvSCRfp2qlK/0Yy01UpplUed7CLPhPO j8JBr583jpktoPWdkdFaNj17LAqne6HByuVRX3HeDk2gB1eelLS+Mcy+QEIZ16ANHiwC0DETq4s7 cXFRfK7C/JEzj9q2k6f61sv+VV787wr1STOEa6hr8h2DdustDTEqyNR1uzMUhdNE3oOCbFCPRNgd vdRcZR14addUe6OXWQmfhQ/wwziQMMm0/hzZJw42Xss5URFsQQZrww4PRDLk+ZbWA77PL4lwZpaz Ei0NrGfWMQTeg2R5zgX3eWOX2a3dv68ysrl5h2qOwoAaFtelWio2U2nuNzeT4RxOWticz/lGLrOM UrlGmz7YST0hK5iLoGZg8IjoMkHDaYgix2NQTNWbzxu1TAeRuvuATFrWyq/JJ1EqBDadz8p8Pkpb v5GKoIa28qyiKKDGJY0fxBpBW+5FjBeb3fs4iaqHQ1GNetF9F75LPjhWkXonjepOkG+qsumplMMG yynzd7RVRDzVG6vMKoRyNNjbB+u3woCJt/X5sz9vpDLN8L3tWWqjTvvyNfRGKbPbfpKh6B4iszon eNbRrM+xFw5WohXjiJoZ/VLlY901BHzZic0TZdXXIniOiT1kMAf2VW4Xj5cHVfrfGGWGSyDwk/c8 BncGPXOliBFtlM8boUzbtHva6m7GsIH06+yNUGa1U5ClqUFFHDlILRmpWKMifFEZf+OlcFi/8cn0 AxnSlY5GHBwNfJLKlCCUjSlCE5cBEUD4J7k+Ww7dAu8mNnvXvwKiLI3HnL04BE5fL3Io7uPzxiaz vPW7I83rIV9ozBuXTGuICJXtvTKw/a2bqJiG7dY0hvnvvlHJrAonyKtkeMojwwNHj5P3ZhmH5Dqq REJJlYakOYq9XhxCks+XDc5qixXL9EEzNEeW+AWMij9vRDI7h/bXOUQdoHTPoTcemcIEaPFNqjxg l3Wd1Nxl3bTnYDHUfIbCmJrVad7BNnivDDfXwASCi3AOtMoKbIOq4AB4WIbDJlxMMsIbjcwOTdEQ yh1UPktl6DIDle/zxiKzy8zPIQrRsMZ0Sx9vJDKLEqjbYJWykxZ4BfYcLCbNe8Kh7TXqNw6ZdRMH L7G5ffAKbEcLrPAcQku6ZnqInpixAE933hZpmRJX4rQ/bxQyS1yJl5aCanoUVE/U3hnytdra541B divczxKCUQxx8zZBUYkaBeJJ3WBOUCE7lJ2gXIcTyE5IaRIXb/wxfTvpLKWOO3htaJxjp0+zwwKW QZyEhmIBNBEk9im/MJRRP2/sMZsgphtzJx80QfksR6nE9D0+b+Sx24v8KlEjDKN7tCYoiqnRb5y8 bg0c7BogrehAIji4TqOOvTHHvvYYvHJ88MQe1ZTKcOqssnNiFwsqEaaT3NbvFqND2eeNN2ZRqeqK lAbnoMQeaBsaqpWz0j9vtLH/6EdjMmmTTl8+TVAUUsOUZUoAERPU23Zk1bknvHiWejP2+BtnzLYY w0XsUBtsgjpi4C749IAsiAqQAAFIPJ2oGDuDCPF8o4xZ5UOdep7SHHwFpc00GbXLzxtjTH/qzVuZ w3fm9ZfY0sKAGh7gu1fXl2eriiL8ZjkHEf6kxLXzc6Juq3TlqeLIwfcYBK/pIosa/rkpyxRNEaiv UqRXaq6OVH3EdwQ3vchiU5p70zX30PCWgRtktfB4EC32+XUISd5+3yUUxdP0u9ijOUq4uHAzlBRM Jg1ahVY8a1GBWvqWLBDa4PdYhj3bMogn9MWoeEFQZqUTS5YG+tcxHcXTK0vSqtzBCrB7ksT1A94+ Ho8a9uMrniZnDHRNY7dE8TTKmFNCG6yelUd9QHrFrJ6BJiN6y3s8jdMsEbdImgsHKw0VmBVDa58E DkyXoNYQhqqNGsM3nO4EePYonE5TKnu7+CBUzN4jCZFw1i4eDygcF4bP3w+ma2Xei6yHJWogzTsN sQgTrs8MEbnBGQK612YoAn3MoanRaUSZJ68vnmAcxi8UHgCwkdlbGsDg4Wra84o2TmpY9KhEXaUJ MjlDHDRDqKywd1nPDYHHg5u+tq9QiJykejuJPQynz+sBxtAsFNrDSh+AhSdLWgvTBVxkPapQU1nn 7MV2BydybPh9ZNFcTibWkKiCTn+WEMHsAgkbubfYy0aNMuk2M0PZshRVXn9+hq4/uxU8HwGHvtsc VPhv85mjqEi96YBLwxsCGBfbY4iGVpuqpUEU2en0PQqoYVCNzSMBUAyO+sggbEoYZlNQjALgGbHf ACmmUIzChRnsZaO0dQlUNXywy6y0JGzUuffxeHBUp29IAz6MNU6boYiGCBbUpEOLwGfs0BEDW9Sk RnEoFfyBuMt6GFBbYs9tlr+22djnskeAZLZyJ3YU+Ox8VEM3HDeCAxhZYexRldoa9o3FIeBJiCLD /dgSt8k5DgoeDyZo3DXE2GBM1Bi7c156FFKjOD72dnBVIabLhCtso50pmjg/OUUhF1GqHgqp8xNS g3sIBZ1Emu+ExdySDxiw6VJrvmo6FC7EdwTXfTGa3fBBaWsBml32Zziro5B6TL/uBVUDLpA9Tc1Q FFPjkFlnWyyZE/ZGajjr4yAHZrO5RumYMxTH1DQlbLzMOXh16BxEUGsGWxNmvqUQ9sGC/iAJtPdH lonwsx7VqbXNCA6xQXlrPr9PUj9xTDweHNbze5tNyrJWRw7196AaOmj0RdkS1J+zNysOQSkBZUXO EJ0feBBFQfVmzTeXNXxwjCfuylqMSL8BpiPfjdgGWJRASNRId8Sy4jui24xrKGsNZV9DRK3x/0Ab F48HiX37Rn0olr8xdY+A1GgDorw+tIRSK2a5Cz7PMn/Lik/TEopQH50nNbuRHPiDkhWEyJ64YbY6 UMAn1Bxn9wDfH7vNzyEUEPEdUUCkSEi0qXJpU+dFJQpdzq7F49EK+nWXQZqJ4qA2Q2FQDWxVXmaH MjM9hFg+w+b28hkAmSzB9rBILT4HkhgbnHqXwMtudCJCObYKyAf88A9Irid+e2Af9FPBlwSZK8Pv qr3GQc2gAVwA76LzT3j8f2raUx6qpZt4jCisBu71/NLdykOjOvsOrS0nTtWrfDZCMQ8Cz6pKjPUp MS4wE8Zog03pc/s3ozYgDiWsABH3ddjJete/ukHoH/pgR/U5EobkA3PG40FYvfwc0mMA6DWvwY4o qsZegh6zyq0nUs0OFJ7AqCog2vSiJm88LlJz9eBusMEDogK310L7OHixDynDWUfx/Jo7P4kZbWNG yE6UA5H8BzhYo+NckEWSlXvh8agp7dUPwmDO+ob4rt9lI4RSF2h6yNUa3Vfhp0mbWs35Cgg/bIai KnUmlJr9Iyw+1mAHltDZWhOd+kJZONC5tBNRUSkABoMr6Lf9+XkGviNIXZeqrPLVKdX89Qaodwxx YKKMx4PyR/ODCJPRyB2dHlOPEPgxqOZRHX1WuoNgm4QGqeuBLob2WBRTL8IZKDmPYd0JQthaIasr FyugrcS8A9aVhUcR6VTFn5igEPgxjFe/fLASLNQFma+f4wyP/0/KHgRC1meGQmkPZB1V8GlZWA3n K9CGWxZWyGK1hKKYeioz6yrj94d5R4LCblXenuenhiokpE9QSYX6OcUdvRWEMApfEmRmLON3cr9X B96YVB4ZrbJcNHLJeD7qlvk207F+fqO9PB4acUxdiP1Qn3WSrWvnEEN5djoWXNWYmI0opl5yHTSZ j3nrH+eEw21PTgjk5c5NwI7rIEoBf1cT1oVlatLsR1SmnuoBkWSgwYANuze5xp5TDo9H55DvMjX7 QZva3nAdIZJ6coK6Ne1XKQ5hHHNYdg96q2WuI0JS83sBux0++E1WmQTLuupsiILPQqOD3TwcQfka 6g1iPEco8UEVuErhAg2GHgJBVKYvfeDxKB767pUV3fIeMI6oTE3rE/G3mbgyKRdrihZHysr69nM6 iqgBNSBsaGtgWYIraGOdJOoLnVUjX1c6n6BKDN1cCb5oj52Px3f8GQ0lIvo4qMSI2F/ooXNi4/Gg 3Zp9i7Flj20/rgjKiCJq6lPXPD1tld4y09ZqSAcoEdyrPoyoF4uwScJm6Qp9gBOUJkABVF7uJ+Ug eIjdP5oMoeroB/XWEgpDajakEz3QFmE4gppvGBmxMH6W1cLzQW5/e0Fcb4BISFCYUxSF1FSnXnOa byWqWH4KSYKRqIbe/RQK69QiPoNyYYNN0YRnDphfiHcHgXk0ZGwkcRbVVrySv6WjE5ITpzGAtw9G 6KgtMzOv5y7G41H14+4yNjuQk7V2M9cZV6pxEFGKkQpwGUtd/nD58fZsxbSpZxhSq1KdVYfNT4Ho 7LPzSbhGuM/S2VsU159bTZ0fNEsdgkYrjxkCP+jkkYo2ZrlKTG11dVfAt8fjUbMjf53UcAQnltsm KAqpKy1iAUJk5poX+z6JsAYa6CFzLRQoRzw0o0L1MNtq9styfvplhU3pRHchiCInCPd2wj9PgAew +cORJi4H3/FnKZ89Lg2WPFSKW3OCCh6PiGXzOy0bJ35sYK/aDEUhdWp0lC8mQ1lLuzXG1ZafROxV UI8pZieKRs4llJ4a4xQgHxUOVKoT/AQIrwIyFgEFgf+X4UopghlWqrvKHkJfZz+rN/ZyMzxQxeP/ miLG3ydsOiehp2UziqmzHOGyOcKdJPOanbklE8qx2TgvM6xTsykNbx0fHIAGHak9dZlVWLgOXmak uQCLj8qRezD2pXeNziFxf/MdjHnHshPPoZTxeMTo+NasQp28gZdqExSF1NjZaLkqHMJxZCH1SXBc YTlD8VUn9Qy5iU0dxTJ9eHAfKKJVqglWqmLJMW9Tri7LScuSDjBl8B2RmIXuMib2HAwYA7YsM62T DuPxoKHYvnU6yYikp6EmKAyo0XLtaVq8iF/c01anvAB7NoxmP0O1D1HuEgPqK5pEfms7CwVCyIgX xwbHg0qdiCwTC8DjhkOENcwooDanpT3uoFNo57I0QScIxeORqs69yQZLsAVKTt7pmJHaB8SgYZlr +tNpk8bBU+gKxKHTYTT7GRWpV1FpkYQFDt4vg27VQEwBwgJ/+SIbBi7vc6xT3sSEle1do3NaASPv M2DcLLGH0QVT0bNaGx7/V05GKiuLQ2l4zjFDbmLnGmp2TveSrl+usEMofSTVqxM/J5LCpUZDKs2H S28FBr8TfrbOP+baq+SpQbYDymPczB5sZH5HgB0yQH7qPigp6yNlkcjX5uMRuGp9Z/bgHNY+7zkd IT+oYbfTBeSP1hyQv5fxphjP2AxFMfXQ9tpaSrwZNUMLENVRzZMS7BfO0ICJZcPu3s85PahdNaOQ mlAfQBeTD5Z0DOxfzlDvePx/AQ8BolP2M0EhNXGgzZpd1XRKopvEstG8ANum5/UzVPtgGb8KJ1zX F9b8BL6JhgTQQ4HdEgFYiYaISKZIm9JNz4MP3/FnMLSFFNlG5YfEO9QpMUElFzz+r2hRhAWIi92O 9IrCaVh/IW21rKwuz+sbZb1NBT952rrew2n8RysobR+8ig+D6gInBK6gNJYscwYLoZUY1t+K3CsE fhC5eMK16oMmaJ8oejFaPKkZHo+oib8q1HKwuBMUhdPUVC7LQGdwDMqOz1vFGR3tapavGPexdINV HywnO9ucYiK86RPVq+kCA7HUhjXR5hXv5O+E74j084xcz1MLiiusmkIRtU4VYHcteP5f+LPLbh2X 3bqicLqjhr+by5tuivdihuDHmx34gRKOZigCfgyjJpJdVig1c7JnLCGUPSo6zfRRwIExlJHhkoXi ESEK0mCEdAK+I6hQUxWuCsFYHwQjXL7cnGvg8Sia9rReQHOooSS/x1YUTXeKlpOYzFO6T7d5G46B Rco6LZpeIYx6qEWmXmJ7GvblXGRJaxAmndAG5T2GLhAFdWq5GFjql+E7/hRDGSpUk76tQ2j3JFGm uisejw6h72ia0HQ4a/kMxeLTlWKRDsXvlt7DXntZywzgS9OLWSEzsROZVxRV+wnBcPqEmn3TB2+d 02JNSQ5RV4vV6nVhMQQu4Tui4ll5u+nPysLUMFrsE49HsZCfQrhDCIJdVzxvReE04M9jL3NOQKnX YNRoTwyfoF0t31ix0gcRQy0lH3wJQUekw6QZOrB9lE1VIpSJTj4P6n5hRT5LB3boZSNcDKdm6aZf ftMvWDTyIkLHE48HoI91geaENEBWFggym6Ionj7xFerSUz0xcIM8JWtpm+ZQWsnNFFcYT3fWPbo0 UXq+Nxm8gQZRn6BzLHwuIQ0MpVG5oqezVYaYReBLgimitntiIoUhKaDeLM4R+3uCCjweSQl/gz6w AkBv9xmK4ulC0hTKl6wMLaobic5Bs3lFi2v7LouR1JJjyne4jCCkWmwk9bVgEUDiXUfBEbHkD7s6 BvqYQ+/6VzCUjLPg/noZCMYyrTLEV4wYQZd3py0JdmS5MxQjqTcUPrZnrSW7Wu6UHj61PupdQ3GN uqvyun245xCo4sMwDQvID0p1o0+FAuYPexvqtuJO0MsGQqcCwP4nzf5cNGVJHa6viscjKfPfHGBA 1/vFVq0Q9gGWdKvWN5zKixQPjZFtiqC9YHLdoSJ1EoQxTx+8U3ZOUVQGiMmg8O+gIjUUVgbRTmVc MQuy/PElQUAk16QqrYbqWg1Lcu8/7O7w8bcpwn+8VVaVn/z0ecuLOwqpaQbUMjtBEB0i7oI5Bxo6 lnOcPeuS3SE3kZlEBjjahovPq4AJsXi2a866785s4RxgFWNezSGZTe8opFZS9l/WiYjmOms7J0/n 40HxrP6SakBRE/hzm6D3kBrgM9pxD4uHxjZJuBMwSq9SXgrLOvY7VvvgEirMOTj4OVThKJEml9BZ 1KC9ULT7TNCAyDQMXvwuox7+DqHUpnPa7mDYqgHGAg/fPfB4dFD/snEBlPtrBYVQanTzZjYDbtSh ulNe0jT+78k5u6+gMKJWCX9KmdrJFiqeoYRflJSt1bY5dJK9fc7OdpUICh1n8R3BCmJPrUlmkIMl ZR0fywlKGY9HYh/3JuMRkNG0nBd9tkNqIqw3y3BJ6taqTdE5swk8r2xFZSMs7BD1QS2dPMvw4TmG EpodTOxRs2xEnyktw1EA82pvA/Eu21FMDQNQLJ56B62hcw/sau7SGY8HBdgr1lAsby173gkKgdQJ YlXdjuTnxKZlXLIJGnDU0ASFQOopUTgdRvXyyuCqgNa/Qmo4tWVxXnDZV+yNvG5WhpiK7xolHQwY KVemQQ17KGFJ+v/8vng8yMruBAnyge7lLeHvUI+6otm6XRtusdSrHsclBUHF3icohnyYzsfwwZHm Z/Mgoibl5WRStHGl36YEBeVXYlB8hCn4jiAaEql1i2rv9g0nTIeepiAfaeHxqGH/TeigisG+/Ogd MhPR4zizYhO0CZSUt8Jwr80E4rdNUCieJ/ZvJe0wO/YSndYGjstQm+zc6lNWmxMa4PTKnhShUjO6 d71rRN0UalHqXuvqoSwKHfxYI3GHBer2DYHlpQiakE1QKPbRaHEwkpGmanbJoVqmb7ETpvoKCiEf BC/WJnRne9CdJ4AbQPmR2zopZEjkGSM/cqSHZ62F9jL4jiBYXKaKv32wLbalsAne3cDj/6qd8VLP LPreFRSF0wsrqLiLwizkQHKCRmtu/NuAGyMxcYfhNAXNYUrog5enQeSAdRIP6bkpxgT4KzqI0P0R s0eoqj31rpHzDYPKUe+gCepQX9EELT7+T7YL6dHQBMhXXHBH0TRYynPzisUM7XGZiYtWVvKzpUEr l1CE+FAuVoo6HeVLjbqdNBUqDWImwoRW8ovYY8iISHK3UIgtjh0KfTSqUU9e+BwMd3aOd1ZaaisN j0eh0DcOP7Pe2a9/SQrxHpCx0BdQ6aO15Y5/FAj4v0SHl2ZsFzqZBBcZ8WQdrQ4NDoppYJahFM3S ByTPlqw1J5RiUIXa+eKGqMuEbwkKjKQybiikYYBIlDHEIM7GEuxJDfgB/9IhkKIl23rjSuOnkJ+I oLpRV///4CQNEhlxMY2UXE4Zw3rTNU9xVJ2dP2+D46s6TjPy706gjvIyC9W0TxwbVDuA/a8FabbX Dbr2S7LUpAM/DownWh/sI/3giODzQeZx7YIYkhM9+TCBacwcPJdBPZnLsR8ukA/ooVca62MgmUI8 NcvUSZzplMqlLUAJ8KwpFkH2mbBRWAUoYOKRMpRpSmPgD3mQphD9QYdgSd2eAVicxuUE0wzumROD LX7A+3IaV14nC3GUidi7MvkpKloPOm2nqhaH/xPKaUkQEBatQeexiQoNEzeZ7MBH2uCND3AtB/pA IF+cw6iJq3g+9Wfg38sMkiyCLNteN5ImIMZqkSvEwfK02brA+Rn+yCksXK/bxMe/DfsWIpd9mqIw O9FqO9sqmmsUNyTta1zI3i7Jt11Uuh7KYsWG4WDTBDjdalUazCe3hQEtS0Zw/mUVYZDTxJIRcWz8 lkCFeZP3KschDiqInD+VLS5iO/h8xC7f39ccJdzPtnuWU1jApvYg5TZRe2SyINheAr5HqLRFqyjN UxRu4xpBA02soXTnaRbwsCdTbGjIZaGtCtRUoETUQA9+MjYGA/iWIO1XbS1RtLq4BSo8bkeq4nqk zeff56kWJ5mzpAIIKoBzd5pCC5gBU3JFjwugkG7LCas4q1oLIYDlt10UdKNTxaJI88GWExrUs05K fyH7aeQ9FJp1wNDjh+pQfjyNbq8b6TbxmsOBYIPh9xB4yyJ5dz4flfp9mkh+mDifbpU2p1gSBFx8 1gzRLmor+yG+ZWpGHxiUw+xsimX2WKe1K4+2SZqlduJT6qRxMYGLSXxaQRwy4M0779EkKWd+SZCb SBq+yrW0EseAtdSU8FBnufH5fzUd5XZboSH3OONFwTewVaBWdU/fXD4fYjmqZUMVJGW3xotkQWpR /qbeWu1XAGwviE3OlV24GnVHcBo68q3NCPjq7MkrOUXokKXZabKpaF4CgO2kTLDO5TD4fLDh2jcm HUHteKxOcgqV9hIxxNvtFWvzIkBTy5/KIMnNJ3MKASJbrpxt+uBlElSPEooEWEnzRE11y7mUGKOz 3YkOriq1+dtG8jIybFfslK6l0IbSHdmtg7FlaAjT01cdAGpelYGmJik0WURMC1MkwfbOtxVDgzbz mkaeewJ6t38LXBbP7UMrmJOXLR9skgawM6snaV+MQn077EhCxs5JAkCJm1DSWDoHNotnJYifJ7Mv DjZJcBSkBk+C73bos0iG+AUaQX8dYvN3kqL4Gxj/WXtyt4q5DBwC7Zxlk9SJetckRUCRxKJtkedJ eTxP1k6ojVWd3GeoKNIa1KjgWKntC8+X7G0jpIjkvWfzQdnuySKqoDBzVD4fhN9PWMnyE513b9U2 x2aLZyrgQjMt313uQYkuiVRUTr67Sbvu+qCoS0udqyQ1p3TR6WcLT4RGNPWAfwVkLlkzwfnM3i7x VeIRlWZvGxGJBE9XEaEm4zOeW3LKNQ9ARD4fpbzfSwkqCoAf3kmKatsg9sBe1sR45A6qwhvYfpwk xJR3kqLQexJRAzlDHzRJexQ6whM8OyG8pDQmK7kjHKJdySt2snPgt4j9RjhWJgmdA5dS5d3Dbv/J rfl8tN9+mbmTu3UJezk0XITY5JRz/P/JQNj1eDrdJ0TQn668lwPHxRPDyJCBJn0a7kpq+6ybquJS O+E8eQ6Tvjnkn/enLiBH9xx6Li6h1KV7BWSOLaVWwGvkUoJzZ2i6+JteDfG+QratzVKkaQ1tEDC4 h1fgsmGvYa5cbgUOPUgtpTDsVpW7lTt4Ca7tir4dZ+nk0Dlxv53Ei/NS1SexU8kmKYq6hV+rUypq szovreEL2I1svfL5SOXyu84NHZ+8HtvFwHcRtGU6MBlhb053ygM+y/SbUehuBmDLofEik7fCqo8N t3QiCg3kyuDBVdi6LKRXMwAvuV52I38Yfsufwtb5GdSz7VkOxFicm88HZ/cvAii0OE5yeUOlwHzR fHIXnY2Y6TJaFnoksxOZEU8CaWGzFFrFcMPRmsoGmyWI6kMiLEkzpFCg+ITh4Jmc8OCcSsjKXMyg 2ttGS4lsrTSWD9YQ6K0vXVn2khEJ9CrPEG2yCWR7zu6w5E08rS44cK37l8up68nvPsryWQoh2STK pqQELj0JHCR4z4kq67M04CLAtYQi8kBpKcNj1fPcOux1Ax15OXu5zLVHlBMgG2k0neiYz0eM9PF9 w2HjtieBC00YUZ+HsaDh/UreDmdr3RUgzu/v0rs5dmGUVgH98TR43RvS62tJCOvcmoOCD5R8UmFe tuZqvq1kbxskcLKS2yoGbC8GwNdZYfeJ/Rqfj4oB34RioKcae8GapNCHEXq35/O3+TAy0TLp1Jkd Nlqh3KNJCo0Y1aFMWJo2eKmyLAQyDANOZAbNp0omH7IYcL7al/+ZwoDQiVGqqWlzrtLmurCSs4qj aDrw+WCS8q8OE4Fx+5mkKOyGJv8Jz5l7oGEoSaNM32VwcIn625e4n0Mzxknfj1yklV4erfRxUoBz lmeupHKySjmeQsXwHAuw2apXMGzJmjo0YxQeKUmwh4OV387nipl+zi0+H9GKH8kwvB3X8JPmhn6M dPkatWproTht+NpuJL/zxyVk2HYqBYaMgHZaynGHix+tfTQlJxsReCEdCwwJZLjnj0T92bB/uSZ7 3SiklDqoFJ9A4zam4gZmhYtpr80PCGLK9g1kRz+3Q7jdpykEldD5lGwUVt/oe8tjaXQmuqxJ4+62 xRSbyAiZpB1X7o4bG0bFNJtHINDIPFavCYr/2AKEhFqmS9pajm0ZC4u5BHhjsC13zuSltg0sQ/n8 vyg1UjXCRh8XvpUDY0Z58Z2cYVqqO7ILOZ/fv1RLdUGwtdA7cGbUH4BoUpIrbV8gKYRoIInFxveG 1KPooZRckgLd0/im8lOOnRmL3IhoostBkUA514J0wXPKfD4iql9Z52mRQK1P/S02Zyx0hDfY6Imc 5pUGl70V6m+ZGa5mKQSY0KspyT+Og4fe5yzvJDHgXgPehCfTIFMdKgHtlpZM6zGH9oxGny1KdYuT ZAZsoYiUPGu18floLd22JepvaYOJ/SylKPTmJMGww7pMe7q4Mw5eJ7CN5fW3wKERmGTqZWyhcPaD woHzz/krxDSGPjXchKjeQywe3Vpd3kgenzl0aBw8lyrPZw2aJKDykrzR1uDzUdWkfSVxsF+q6Cf7 JEXlbtzKkAh3cd4bSDZA+C/OxPGSOTBpBIqDpaVGKhKHW8lFXQmH0JmklluZhgdEQIdSd89Xe3bR bziHLo2jioidmg9GsTkpsJAiZTQ+H2S6N6QkA4g853nh/zn0aYRRxIQqyo8J9E4vwI02bqq7OEtd H/Qn5XjV7IMvpXOqnbtsknJ8fo8tB7BJUhFgQDyRLKbcy942ajBxDUnmiIPBJuGPwIN38+wO8Saz /Dq74ULcKfhr0xSF3nCCmHsgVGAFrtaLOJHhDBAn5w9dvpj+NEAvdIOywe44IFfONOtYOjc2PUV7 YcmkgUl4Nk61kmGGoIZeNwK5iw2p03vf07ubmSt9q/h8VF36bayLc6ncLRc6NlIPajf3cYKD6QUH TrNnPgn99GruH5aNVl1aPniCsjH3g21dHFImIbo2CN9Au5X61fzu9rZ/1U2opmSDgbsq+AY/XvIO TBvRobodJtElcG/sa/OdQ99GwFdnoc0Ui96bFxv33OjdTqbGJFfTFEXfa2iaSE+SVZZF3wm9b8ie 4I5LkPdgeYlme+jGTaKS8le3MnBuVA3rxBKDmPc2rHUMpus0ncyW9ZL/glGKjovd9mir59i7EdF3 acYWBdnTFeqGUBW0aebO0CyF0th0X95V7qf1qq2eExp0WMA6Tlh5dnfXyZRRxRhgruQ2HvaWet+h feOU7rPI/hyMV3ICJhqhnNcefP5fQocilpyTHj2QO00xTZJZ7r6iI8UblvC/sFhgCC3AaYrtZlh/ s2rcF5TirKR6kjkIOoOWj+IZp4ltOLqZJZL7qsxCmr1utOmYxMm+OE1XjThXaTbuas16yyDhvYjB JkITDsT6YARiG8dBTsBOzg5wpTF4M7j2SE4uzpIDH8dbYkIAZINDThAdQwiSm24CnZzJnzg/A9i3 P/LPtRJTXva6kdQ6u5ViMad2+3HjnGrycjzZCp//F6+dbMC6f7W/AzdHYAQWPeNN0a90Vr43DVPn shLTyq6bmUM7R7Yia0qj++BH00KNDNfgWU00Z1BRFz1kiUDnfe2dgCPXtwSbjhwBVN18UCEOZOEk YA7KJ4Gj47fRHA8yWl4+pe/Q0REdMJTfkmpMRYpjVK1bHj8hefDySWDpCHCogLfSQqo3tIQ8wgkZ Wx+GpFiFrd1KlzA6Ya3Hmnh1e9s/TbCICtSgSYIKCS899F/5fJCkpG+6EjZg+b7l/qx8T/5bVO2/ OiRNGDz2BzKuA1tKcfzN83vLoWjnRy27gYaD6j19sE6+w1uOqltjQ14J1ty+4dKy1/2rP5A2RbWS d9mhttS2hHzPicHno9DyW7uOP81DyMmhrSO+Fkg44EnAcq+tXWE2GjdndlFwRzH+Dn0dKdt3fpze fPCQCYHpILJygJhRN4ioJSPzOZPCVsS69cre7HUjGjfDqyoVOyYLvOXS2dW6t04Uy+eDwDJ/M7ty BtO9pueWC0vf4HYN4ulZPAGzWdNEyIymqcOjyaYpjL+XAbmbD5qmDrchoLwGxenXycdA4UFGwm9G 9lIecfrtr/uHX88kJNoGTdMJg7Vh6jn6+HyAP70EOGECUKtqz2oKDR6Bp5mqQyjnXeZ3DddBt3M2 kDOCgcDhETQfSmcTn6PB6TkF3oWFwiQnWoXnAU94CH4QcwJeguW8g4T3HDg8Asem7q6kAeZy1YSz 3wabIAAi8PmoxjS/thz06GCgfCcpLH7DO6ySyYpJQhPICwNreGGgzxt+hx6P8CEB9SRNHzzlPZts ZhMdPUne7Mzl9sKxidoVqIqWyg0FTIHJYybNhfr0d7AsBb0ZTdLQ88EVdyFeEurI0I95JimKvuv5 7JWGSUHDc9MT3t0vz+sciQ4UCHwenU3JK9IGCwQqusy9dtUFcNltVisb/hSen80VXEq+bxu5QYjB tIgsAIOyqja7s2TB0ONb/ID/xe6AQF+AbnyWouAbGhhAbLi+78xerZzkwsjaed+abuz1yKVU1Cjg 4LM0Txh/JmfTZg0Y/E5EOA9NwNIpcejONN3eNjiVbHpky7uGVwVOUtckjDm7no/4Xre7q0gA9Yh2 I4HA7hEpCoVKu7EHVBxSg4CcG4VLy+2d8x9+jypCKkXZT4oCM/AOBoK6TYgw2LdMvKfO8bvro/vX tr3tHyZHJ1HuxQedSjj6lsA2wMSHjo+PRCtXDdfiQ7EILR9xw51j3xTr8U9XqwRRsU1SXd5FCTwf Te8GlIHkw50kxN7ixe1Vzo3PeSwoFgE/CAzzAzpRJS50fWwSTaIvkgYLBM4XMlmpO1U+HxR1b1DJ FBCVNWpd+DSFoTcN36uz4OeYV1F7uF8WeACexgXOj+rhw5mX6kDJ5QvJRBmFRHWmu5C2Jz4XbbTz s6DMipV6CTvJXjdSHWcBocumprtNzYkt0lKwcyJkPh/JTfhikgZgF33xJnKh/yMyvrmuQtmiMKzQ OcI1DeKY8/LlFOFOWDYBQ7f64Jdcg/BKMve+NMvkcprIohqAVxAL+iVRlgMHSPDZhaSY2wedTOnc eHLBmOhcBhaQAOeUr+MbVMf2eD1/XqOlDIRuJgfeRcpq95LAyR61D4Gb9WT3NVbKbjNStJLKs5LW gOnKRBREQYUEWzpWfZlqdOvXS9+fuOnPa6R03jRTX6qyYKvBcDmlFF7h7cT5n9c4KcNuSLNTpTzO dgx1JAkGCiYHhZ1Jr0opRg+38qHcmgOX1vL0LZgcEeGL3FM5XPDyhlheJcJ7QOOdCncDhj4NPf4M 0z2fHeHgX2cHOow8hOqaPliv8rx0lwQgCrmvs4PYodnsGK0LIWTP2ebnNUA6j6G1jT/C0tuxu8Pf oG1kbIpGEgjm5zU8wuKZFNTe/Q62ePo5iSr0Jqi9VVGwb9LA08GEJPxi3wjufg2OslpDEJloyweL IE9otIV82+3zGhlhci0VqdIEgk52zXd2XgMjrJ5B8Pu28LEQ+iV7kVSTFW8pQ8/ZeQ2LMkBv7E8O uqhzsNk5P3IG/5FMk5NLqe90zm0kA+284yh3dgQyfQ2KuLUIm6TcPYjRQ6ZpdGjYQk2snj+vMVEW gVSTwYQW00ToJmfnNSDCwTMoNl5tdprU2hBcp54d9T614+CsGqydKW0AOV5y8KBxneCVgszgCMJR lUdzh2dxJyY20clR3Fzmsq/RUJZ5K0WAhg+65wEbo5bP+fj1eY2FsvhrtnjkwQrdOBRBOT2voRB2 5IQ0IqCLKtU2p5jC6mL/uEz0tMr/ayCEraWbK487eEUEfIA1SaE8kQKsoCpFkAe9dkD67G6rCpNk vur79FgsLfsVDoYEBCaPMUyr5fMaBGUJ0Wp6qqYSNX8GQbRZeJ0esQgmJOQ96bBqWiZG5lKUpoXT rwEQVk838vv24Wkend+5q469T5xU6VtMG66GxZ0pOWLpq+wOos2V91uGP2DUQaBMW3l8XoMfHFzL 9xbTDWyrRscMzM5r4JPdk7ctdwafFu3QYM2VtuAcZOK+0eLpZtdTfHB8O+TFG0s/KIRs3fsFPPVz 6CBcy+Mqj5LR9HmNenKy1L5Kra1etbZxDvm2RO3b+fMa82QBXGxvkcSEWlOvPj2v9cbshJtaihEA dMGz9yjQDYsfIF1IiCw4mJPYbZkCCjk/YnYLFMKJW//sLdBiwZ0HogoFPNT4S8nlItoapdqC6SEI fW72sjUokIOPKYvRiCc+r5VGBB5rfS0e2HwDfWiz81pmxIoDLhK9KGum9WHV/LNiy7SqR9nO2Xot MmY3TQcWywc7eU5kC/l71mKhN3EuLrJtodk9ICxFOWgHstHQ6LXEiF9ALf5Vuw/W+mCe6nWh1wJj Vqdes6Oi7UD32mfnndKG6xiVs127O4I7oJaBaHUURLqQmihiVnOoCOhXHqAfnGXXXETJDOBqO2km J4fuSBHPX7zXzeOJ2v+8E9qyS7IS322D6vkQCqbaeyOgJoqZlyHZRTsFGZXcNJugKGhO6lm7A0Qq y/W1ZurNe9Y9Xc5fOEGsA3XStTnYBEGkNvPKxtWFY44LuyBfgdUzUormvdgxRNSKDuciOV+5Oza3 VYNIQtbxkysfj+Lm8ZVVwMUdvC6foShshgjqrMXFbaBLc/FGrTn2AdvCgDTBDO0hJhvTCw6elm5Q RSis0VHqpgoEyopnXhp4jWLxK61Q9h4Fzp3d/8ZYSYNf7mlJ5q+BffQeOUvkwJYQTyuEI4kuIpyh KHQG3njCy8sQkNzN3GRDKH809Be69dbQD47oLpioGkLlaQhBESkNUpihU5vQHCIgi0w7pDW5Pvo/ U9js9+hZ1d0zN5k3GQflFnugg8IzeqHRER1D604R2VxwWyRDR1P0Hj9LSnXubsEOOL0XZ0SlDIFE aVmvsms0RWxvAODog6YIFdcBXKO0s09WwHO6EsVXYUIE0F+lZFru0mx5D6D9kqfFoQ2aoXlOOaFg IYX4zmDLss98rjH4TJ7sOHkE/c5gw1+G67Y5AGT2tC+hZl9D8E17cWbv7zE0UH1U1yIwRoMfRBta k80sDs7aTOo/orBQsGjEJFbFlV497/w1bGWmXgAu+8A/tUJ0SaSj1Ornnb6WJcb5lYGRkTjLPYjC KLqhc59WsR4+v0pkmuRi9SipTyuQRWG04CBVTD8OnqLuvcCC50EEhjDDAVTulZXKVNncHUXxi8Lo VhUhyqGvtGSBIqSVp2xR8/68U9csxf3aZSdVRNbmkeI7dQ25jdyuXV68U1GA8uKrlWoVDhBibQ1F ofQQJ7tzolQc0UF07sGGZhQPogJ7C1nPNUppIjcqjyfW2lsv+z5F6iV2iuBpMCT2kIMvWMzz805c Y5nKE1U58WGbfd3379E0pAUgOSo69mT3vniVNY9sGIeF9q0WURROoydBh4zhgx1E4FCASM8i67ki YduAbUYqHA1Rc7pOImpsvIfTEsQ4q2fPO1gZqLcpQ/AOEZsont6pfh9ENMCsd4KigLrDZ2Bm93ec 83rztVKSlVjRubEJiiNqzYw6rmvciBEuuTOxxnom6ATXm1YrkHMGhq78LPaj1R+bU+8aTBDV+zv5 IRp0Dm3YQ6t5inZ0FFILC+XxEKvGlY1WVhGjkJodxOwA/in7QB7ULRVT1ThhzDIBsne2GmtBjBgr mf0cHKSe18kpioSQypmvQkmpxfMZRLz2JVyDrPnzTlbjkcnOWNvDB8vm10jbvAvz552rxnPAD+os fhG8pO8x9M5VQ04G1mMlE4M40OluPQ1Ktj/ODa1eaH2PqbEUVT+lRag1qXQMMYlHWIiY2mhAkEAC MA3yQ/QM9XIQcfzvVDVmZVKIUD3ogmXXWZWL5bLz+vPzzlTDQX83GaeDwltQF7ApioJqul3vYckG cky7y/reOTnuundr9rzz1KzYx3yj+2BTBBAoaADEELUMzUNUEht4gwP0vDzE9lTaMfWyUWLGjLXz 1ufgq2gJHXCuhPZ5J6lxhsv3KgLlsMDkxKYojKpXoiaE98Nqum4ie/hBtOu2k/qdo8b8ReSrfAfP O4DNWPhzsIrORUBxiFLAAxmsRrMZbcgYCo28U9TytewRGaReMggc5Q0YkzIfj5L7+hURDQEE7kkU BdXkYJfl0scS82Tu2vfw3LVeffF3elq+8tmLfHUO1n+GgeeEW66Ea3HCWVUalNDBjs3tGIpU9E5P wwyZncjIPtg+S9Y9rCPNzzs7DfftXl/ZPSg9rRQvf7xz07CGNligEqijeEZKNkMmiIgZ6pDn1EkU Faa7uaeyhlbzVYVY4BCfXUVNzXROnYICC+yx8ABgnAvbwsr2QJh/3plp+AuV3Gdx2bKbqG7wr6R9 vOf6vBPTssiKz20PGQmpKWqC3mNqO4cgsqMJOvmqFRdRKRUmrcCxz4qL77Q0HtW87YvQZ+VhOKIK ONu2FtiAwxatMlC9HrDNzEUwTqWuSy8blBen6h5kYHPQDIEJoiBwpf15Z6XlB6+vd4OXUmNZXDMU xdQ6qavB8k4OO1yjfl5To3M3eVf1nZOGn5eMvSYQAwePh9DFyGJb7QTY+ZS2VsVND278uOordEf+ vFPSMEGDIDWC1s+Qi7k5E8DN3+ScnfvzTkljjbj8Su6RLpOeoymKKtSwX5rNy2WzrnRraLtt22Uz d0N4vBPS2JZHKMQoygaPqRM0VQCNQGI2gJBlYkYOMZSrhkJkxdRD7xqFjDyhSavRoIP6XJLDnTL6 552OxvLH/jqGOkWOulc/3rlo2dz5GiCFdlBvFxYTPFAlNOAKtcveY2p0sLG9ULL3wSdozs4j2Mzn cqvWeoaANlQOMDkKGenP9Xknot1NVjY79Bw86UiTyKqTSdbPOw8ti1r+TBCt1bCGrfccxdQnWjnL XOay8KOp1zmsd2sBoTXfbAW9s9BwTrP50yhAr8EmCIKG556SzBq9Hkt1kQiaTY/0oPMR03zeSWjs cQlmRs12DoY2w94Sz3rkzzsHLUtA76vLgdyGLUlNUBRSY0udpNInaPGbVD9LfXkHsXt16J1/di+y qZiIg00QxO7INQRqEar5Jd2pxN77YbGnGxOm6F3fJ8hM2EovPlhijzaQ0tYTr72zz7DF8v6KhZBz lnpjoXfuGQsulXBzE4Aau3gNFmR4u8gaUgZusXfqGZY/BcIoQ2uDr6AEudLECTrrJzGBYmeIjbMm A0wHLA69a5SVEd6RBe/IDu9oECmUjOpZ95933lmW671VPjhBVMXzjOOddYYJ2o08oWRA8+UIhtYa G2WFOsTDbvp30tmFBzXmghpsglB4OpFrUhOaXWkxiZDOIz7gmWtnEIUx3ilnN+EAJoIDGMddJQxo MIh4n8vnnXLGykf6PoSwcuu9xt75ZiwN0T+kLVtC3X0gzulEaCdmaKBaoRmKatSJlQ82B23wGQJH r3TipwcuL5mNwG/tJzPd8boHwMV806hCnYSA6T7YGY0GEhfQ+ZU+70yz/4qlxaFqd3reY+lE6uoJ 2KbprK5tbrwgVDfvtFJ7WNMTFagT664tpzs4furkIWUSBUExjNIZDyQ0VuiuN69ZD6iSetegDyRs kASNONgtj14eI73R6+edZMb140cQ246ZJRe/5d85ZtmI+ZtiN+JSj4evOC60fGzTMXqnmGUpDKFk 1osPNkEnjD6TLovZDbGnreqr8AzgGclUTYd033rZIA6axjevPqhTBpMtLaE1+Y7/arYSBpMH5N0u xCyKpXGtg05jM0QbavNUc9fQcwZlN+F955flK4LRig2YoUmc0Dj5/YL2CYSeemdblPH1OZ95UsNY zVJ60rk/7/QyVAe7hFXFVCRvQofQ6udn4OW9Tqj4Ti/jDH9f9IsN9H4joRDtAbd08Jk0RakXt+EF ysdzeogbaIqi+vTW9qIznwY7hM5uAtwuEQ2ToLHBXiIcGc9vOGgFcSv4+PLPO7WMxzRDRVDdCGhY EgGnRSjRy+ezMp+PUlYvL+IMAA23EUGqGYqCaZwEKxc3Kt4rXf9Ldc9YOpMSfeLnBNusiblRpw+e 059TSRR/bLMFdusmpAFwclTUMn9HW0REU73Tygy8Q0OD5IN1W3uukv0+f/bnnVWGdyzpKxaCQLWJ hRGrGEXTUDeHZYwpGG6qxkrBcE9rA4HrhDUEsGIYTatVLwHD+ggYngwjQ7+B9VcYVXJWUBdqEMHa pLg4HC8zNf+8U8oMzAugvbqtEJSSajhYRcQ0nJman3dGWX6kns/3S4AevYPmi+idUca6aScLuFE5 bcI65vxThUhCZQORRXx6uOOsfieUZbnp0PvqDn5Wn09apNnzrAZ3M7FTtphXD0j1315iK3rXP8uv RVL9ZbuYWjq3McsW9azHzzudjH0232Us1eJ2oGOZJigESyfyEovTygtRrmglghDuzdYCTg7om+9k Mp5nLN6vknywwlBZZzdMSDhxl52fIJMvDXA/Wh5UJfXCEPJ7fkl0VDMXm6qgubndmaGUljXKxvq8 U8kYUPsaoigI+VHp7rL3gBqgzgXxBjMonn2wPr113TucfLZhfaB3ItlFDlWaM2uwJbQnQUhTZATo CBCY18GGHPQE4o9p6sVkI7wTydhKLGpEZx80QwVy56I/n4D6nUf2X5jpRijybXK8s8gQJGxg80h3 YEA0nqRVovMEfSDkFWg6qk9LZa5R0lKDN+w7bnEUyhBQn+wAqkektnaA6coP7fGUtC76gb9zyJjV E6ZG+eZ14ZGAdwNmgj/6JDufdwqZ1f+fkHqhX5/S3WRReRo6RrNus5kBDXhYF4iLX/HQvoyEdwJZ lm0eKmbsl3HwuhBgkHuTiwi5olkbNZ9YiyMqRuV46YBMvWvESWC2WrnVOGiC4PPYtcf2+Lyzxyy5 enqthb6OzwoKIR+gbKCdYQFjzx4w1mwrCNwuj4beqWN3j3WixjXYBJ106WRDOLfB+0XhWt5rQOMA X6XVoy3WKVP4ThzLEkFHm151of3UhWpa0gM7McnnnTf2qxmNyaBfOoMHTVAUUaPfOCn2ygmC8oRt seLGvIWXtW2xMKImqQUJvQ9e9oB3EZ00oeV8EoVcBEIHexwYK2Ji7AwivvOdMsasiito5Dv4CspK Oc7/Pz/vjLEsKf8nKYPZEQncNkFhPI0ttsdwGbC0BLBCwNHErz+xUKV3YefnRFuMdftKS3AONkHg 1J2kr7NHBjWZvKRCixcsRHcTaafCWdK7Bhd9kihKmj5oBZ1zIYs4Dg2wFuE9UNp+EMKVePdbem1R OA1AgbQ1hRAu20X4Yf1uhbOJM1ArKKpNJ3I3UCX2wRHCo0LKT4qpJ2pumYqpuwBQRTeWTKeC8nVK R+H00lwOShJxsCXUaFaDJVQGHg+a9eXiGbjesCBlDEJySxhPFzo5ufwAi+suP1C9METIgdgt7/G0 2is4foRhHN4kAylqprNMGAudswKm19LkAzaBOtPzhtOd8M4ehdPWiB7KO4Y7qLYEsze130fF4wGB 41antYY6NrlXPnrIPESPrLcrSTj7rS3Kx5mVs94MANsjwAct1M5e7MuHm7RCZ6+SPDYhfrzELwOi ucDgfc+r2zipYdHj6jR317Lc1QXTqRfGjOrEYBOPBxf9w+Fg0gphTRY1NUNxeRouc86dP8vYAmtY gddpXcQCxBwvsh6Vpy0lU3Geg+2ydKYEiE6ZFoIimwuT1gmSOiDHwp2J3Itvx5f8VRtKPF1X4l+3 LOMwpNs4KQueD2BDF5rH+aDQ/3nDSyILKYiNzehi8MWRu4dDW1YXxOZtx+b1KKJWUoYr0AfPW09M 2DrWCeXjZpKVMwr6sk4rgGBfbYail43yVm40ZgsarAabZEGN8GHi8eCwTt81WMJ6kRzZDEU0xEGH Iop2E3s2zEAtgzpo8dC54twktIcRtXIOW039YvH3eenRO5HmkJPPxGZQdieD+dV/AAlz9CIrjD0q Um/5pwpmVOGuMrgYzsTR8gUMn4LHo9vsu3yG7jlkFXyCwogaFhepOka4Evwl4Ypl8h7ocuB04ARF gA9ISoCloKQsPUkZCifnVt1ZZtcoyLLCCChXl2LzVdMByInfEVz38khZ0gVbpnKYz+Ep9RTEnhWP R7vssqB5ViNjLbfy0aMqNXqIC/ajMiiE1Y6xxEehxbUQH9V0mXocUpMqlWnmzMES+7NCsil7nOsH 6oMbS6hSjYFycb0/skxEnvWoSm2b7D/dLRZ6lMWmaOLxiM9x+0BMchFgNSKQNEfvUTXcm9hsoMUl MLDbq0N90P5Oc0RYDw+iKKqmTnWFaKwPNkcLEh57s9Wx17l3uCELnGdRHVrY2k65SzRL61GZurpl evLBQDG5SKux1jzxeJTZ/6oOCeB+z6EIRY3S+Sz0BsIiSgMXDO0bJ6ULZEqE/apFFCE+/ByqQuTL wJSZ/YCd81BUDbYC+INgtJ5fcIDvj0aln0Mo3OA7gohIzTJ6MGvQNjt/5paN59m3eDxIOx4MLB6D esZ3zBiH1ZQZHFY/y7IE39SOd/bvSRyQbjMkiqrUdK08N31ePnhYfVK2OrOkUFs+Gdk0KP75N3GN nXDiYj46teN7hPnoPIIaSzoa1LEHY1+8sPMVeDwiRV9QjDSaM7ZZ8sN6RHE1xYfHMrbU+Sf32zsn oDs3JtAyFDaOEEm9BffY2wcnBYHBUYac5AZs8QglLrRnxCHdGVub0U7WuwYlxqYjSMzf5MxfRKWF 2T2qRng8iKsvoUO2xkh9msMXRxRXI9aHGpng03NXMyVCmbzrv+R9ZP2yEVapl0TjWR3j8KDzVocV HrfZPNNF13Bs6J+Tlpxtlp/UjO4xI+YmspM4WEfjoHhoAaetnvReeDzqSY+vbQbRgDZuQ3GEMGp0 yyb9d4gRnt26ZeflTckauKFh4LwRVakz0QyjEE09CqvqAysI+n8IVzbrQxte7NyIUNUhjIu3iV33 CI/wHQG+k7ur3MEaHQMe6jy1T8LT8XhwDl3lAQFgO+9an6AQ9YHsXlRCIs/KuKoe3epDWTQLbbEo pDZYjMhlsCmzCcKU7LOA9pZZY8M5hIAA7Q0W0AqZUILFQKUZ3/EX/7dSEFCDJ/e4gVmCHRuPB6dQ 9ZY0MdS4GwgJ1ASFEXUiYjFbCRZpj/db+1U6G9Sx4woKlT3Ura97+uDn9DmQYGEnX9TSWDg/WwyW DBT2pFucd4KWvWx0CGGPjcGcY9CCWwZwBUZWzEdzyXg+apatr8seZ1LZj3hFGFNn2jQuO4XWvMJU s5RtjY6trv2Jhkao7mHWesRNlXahVRPu9GnDTRcltAKHVQAaFvntOAPpem9ValLsR1SlnllcqVR9 0DF9AqzajWM/8Xh0Ct1oiJIyWKsr3xmKYmpA8Ofqw0UFiTGRvodK+pQ468NnKEJRF+KB6hLa3GsT qOMvIMopL4siIwx0qWUNhnLFW0oiSr2ybu8arSFKWdHqTIN22fkFFF8DrI3Hg5D6dhMZ+VAm74LP RlSmhvac2q3KW12uOgPp6YwgSJ7aLosC6smZadKobom7OvMiQ68jy7wKTOuOBjQQwkifKEXbH5Q5 CBH4jr/q+K1Sb5+DKmio1KgOv9bG4xGV46ZlWm+sk9+bLIyowSqr4pAzcZ1uydS3pfug80y/6sOI WhHO5EriYJuMUBaqa0F6uQu9DquhZeJZuaSLM9/dXjYIqSXfteXcgJcSzvxcZfQKxfGLyz6sVFcP GIt4ZTir8w2Hwpgac7QpQUJcg9Ce7LgWxtSbAmdOAB5hqZotDKKPbHBe2QlyQEMRohOqafSqoA57 h59O3uTZN6kwNb1scBI1wYTr8sHoHCfqZzkB/r14/F/FfLwdEHutXQjaDCvVDBiF0YMEXGNvldrU HhoBx7pNm3qGAnl23bOmz8HJChDFS7RlPSdqgg0ecdSovgPLivDpItDo5jFD4AcLseTH22DlD0CS eBCl1vB4MEH9G2gOm+Ta7nU/o4gaix5RUFLiWsh4YOLaELFY4pqZuJ54aIaVasKriiyrOFyqQtsU aBDf5fw3bNoPtLs6aibjIUijcc13/UsvBqrBPtgErVaG3E5aweP/wp+JUwYE3LorKFT7ADCmQxBJ 51DrlnIAnWzt+91Rn5IYU0hMLBJCGXfwnj3qjZWG56gU5bNqKPRDufxZiOMal95KHYIZFqqHWZ4N H7THTvI9p6xLVsXj0VH9XYPF9dz2heLPKKTG3w4vONNjgmSnZa2bzAFrmLme6Qyr1LzEwDn14UKH zvG/m3QET1jXCjE0KakbdA4cLAwzYuxL7/on7KPTYJCDke4mdQqJQMp4PCJzfCdliDDpnWgTFIXU tZNdtRX4AAxzyRxshMu3Axuex/QMaYnyPG9M6zl4GX/mcxCVIoI0DjS5eKHjTWvGPR7tYAgA4zuC PaY7r+ksas4gXyfCqOzPtdIrHg8aihd9xgQObUlykjRBcZE6cY9ZztGWmS/nk29OI3OkVJKFizOW +pAEtWRjVrk5Ry2jgOfD8iL+kiEzIfy1HYD0RbaLoiGiGmYUULMiuEiyskGHELuUg6n6GHj8nxVY uppA7LE8p1CE+wB++4TyLkKQkztVQwLKSK7gyiWboahIvZStDwLJOXi7rAESVpS2ngCmS9XzzDrF sc+8EudiwspF7xod0wwJGusfrZVieT3q+OxJnwS24fH/Ja9nZYjyRZqgkJUIRllnjkzP3PF45taS rfCRlxOmZiiax7UDD3sffILqSTNg/krq70k5c2LXvpPLitbhuHk9aIf8jj8JU0UF2OIF2AFJa8mS r83HIz2dbzIHPRXkssQJinAfuElOrFgMR72mNRARK5o3PMD4w6RQZhRPj6ylQ5l3Ds5WWOgoAWqK FVTGbJR5X2B2NqDM93NKs02H7whAwupydMEXu8MXEfkPyVichAaP/ws5pLMLQrPT4Ysz5CTilIbB mF1j9JsVr3V1Rw5R7UFbLNT5cGjV8MF79vtE4kjuGSqeY6YSGJNhSHlivy7ClO556sTiO/7eYs/g lLsp4i8c5/B4ECq2b0YZ6RzMmTlBK4qlM0tnyXU+WrqndKbor3LW7mSFFaE+BtOMKuxZfbBni6Jq 1GwA6uNsKvHwE5Vb6bT3IPElx73C6jTLtGdmpg+aoPMTsLx+5rpWPB4pL36zWjP51dnv+RVWp9Hi QHfJzqC8tif1w53fNsx9bILCWLp3gafvYOlYQxMI9iWcoJb2lho3bBUJLJHXlqrTWOj4jmCCJCq4 FDDi+hsq8MDkgBcnOrl4PgKfeSQkxDX2F1AUNkWx5DQp4+YWCOPjC/uo88I+WjNp0xXCPsQap1sS BgJS1QU6kdBo3GQQB9tdjtUNfwmMwSelXyS/CNEEfEcQK6YLqvrGVp3sD+Y6CqYHHv+XhIVg5lXg LpugKJhGPxjS7ZfXeskcYzsu5uz+4hMUgqh1PhfrlqUbTIPeOBqM74DyKOUsR5pS0dUO72xsVSE+ tt71T31KsTc52CbrSTTIes44PP6/nEIZOWijBpZmKIqmockPGlO1RuLsDsSvfZnKMm5qK3qsv1mJ ZcsTd3954qJms7p83xONKClhsUEIYj17uQd13mzXrwjy0SXQUFrzwdr1CRgKBot94vFI9cxnqF4M LETFbIaicDq1eYU78U8tuQ/HFgZ2kjLlhlwrFPmYWfdT9cFjoTO9CH2Q00NMdiPv7LDj6D9QpQYQ 3xOOkgg/WxHoY5Hn0qq8OeuVG+rYtNxlow88HoA+rsAyW63030DH3aYoxFGnyQK1WmLw0/ZoqDko H55l1cLFFcbT6teXuXzwjGNBYAghELL6c+J1Vs4GzsgO6nFO4xq70XABX/LXFBVDhebltxJYU/xR ekoNj0eX2fgKh9BIbuk2ylZITSTBnvLe/0er7pYumwNsUBPKHWbmskIgNftA5FDa4DOE1kVvswnR AIRwYk42scqxOVO9kI859K4RooFJa1L5NZkO+FnrWJFNhSG+YnBS7+9GGe6OBnNkm6AQSA1SmXoS kmgwjFWmsqR1EsfqftvHBWoygZqCQXaVbIISWDc7S6b73JqdhCBoV8HsJju7tXOGVtPLRkhqipzy d9egXbbgFcuU44SkeDzq1n/3yRp4wv0Kw62wPL0xL6M8bI7l8VAZDs7DdWRC3WFETVQezEh98JO6 bLgV9ykp4UkXCagPnb9vYFFkGUcoIBpDL/t3QCT/oJWSH9UnNV7W2uHj7zO00lWj3kpQIDHilY8d xdSogp4pShKFmaP5DXYyWbZgifggH4tq3bHOh/SYUvPBa2eb5wuEUAbdWxa5m5MunPjcyisqPW7T O4qpm4BVk+VXKRbwGDoZ9mZvGhoQeDyonbVLbpXl8oD4sG+z/R5UgyeDvLVsY7xMxHCcIQgyecSY SFDnDMVCH+LdEUqtMqEFRBBRoNEwFhGlqbnNoEc+4FNDgqndZRTD3yGUupKR2Gg4wcGRVXXwJ6FQ 7n6PqVXk+WolZgp23yUUIqk3NE5Ldz2mUl2Pie0/RYytu2P5DkPqJVardHLrl05umZBCUTc6gWFG YNVm6yfx93QZAomU4zui4llj7j7uYKSySV1rTFDKePxfQh9WUToTdJFnOwqpgd0Bg9wS+5Nl+0Fd SnKxoVLvHvsb8lGb8tZ289bZz/IHvJCJ/Qm8O50CkZbRS/LEFy3dHhBvsh2F1JseiTUL8pEd8oGa t/4PREh4PBJfHP+Rt5Z9pWJ2CPmgm+TaprQMGXNjK6xd3VDhvLhPUAyi5gQBx2WD32QDbslF5Vfg SJKc3QrlBBE6rJuUsdaC74hyDonCmZvUXUFQfxJ89fwweDzCM3zDzliy21fpY8eqeZlJmU3Qkts9 eZuyJ1Us5Li8HQI+2B1zJaFyyQqb5I6aqJG7O9Rgq/S8kjqrsioxGH62dw1ioSJA3p4+aILGlk0Y wJoLj0eacOUrFoKDKOA6PkER3gOhG2VaNUGGy6MUSs4mhZJogqMJClXzxKyH9psNXhpC1b5DtAvk aJjXUuJjkVQGi/tJlqFmq3e9a8TblBRKzT5ogmC6yNCCXcT9v5Wnodxt3oicoDCaPmfOPG9mngHn zunOmNrFz6AMepEmKCxPE292Yq/kg/MUMj4dBltAdvaTutD+Fhvjp2ZZ7tkKKlRdwHcEgvhZQeLO PmiCWm11Ssy8DjwepRu+xbQfUYl71E13FE7DHGWeuLAaZYoAeokN7em+vz1xCXV+TnQIcY81CZ+1 63oDt61d1xL199xdvVG8Ekitn4L4Ij+WAcCp8zsiuSFuLnn91cfr7+xcOXoil8HjQeEjP3ZtP4m3 A6UxbYaicLoNthGrWqrnomxm+nvuH7NLLhBrMNPfHaE98GNQTvAONkOAmjET4zG9Icq4pSp4FgRK bbPtGwmxxbFjmQ+hqRgycrCMDNkLC4Zn9vH4vzThxLO6tsiYICUsr986cRAsGpAu5qzbqBxzTeEa cE6DkEuL7RSG050NZlJZNDiXY+MqBhASlQ9w8DhFcIH/IVwbNZYLGqImE74lIG+yv5FksJkgIpfV 8jonmDSs+gmL+AERwvNC8dlX41vfClpOIT2xMWGlORvUdSpeHKiYcydl80jcSK9M0jyFQTWdOXKR 01Tpd54aXMl4IUC65yTYjY7tBa2vQfHThFvNHUizvW5QiGW/LEvcnIMWQIPvusC/rfH5IPN4EGg/ hp0c+1lN74G1mv3n0EhOnWrbkR+LeYAQaNm9NXMKwdTCVQkRm+fVrzoRS8VkUHD5XPmVFWloUnfw ypBzJ9xvBv2QAWkKy9WU24NZAAaqzRu0/gQsU+JDY/EDgtjoV3QN191ary6KGUu+3tlgcrZcrJTW upUY0dBft2I93acjhU6JJsWgcmO+5UZ4bk3wiekYBMIjzRQL3YwG3FIyQySLH8u21/0LzVjY19ag k2mBFMr4KMMbOYVV63FniTVZXJZ7PHsuCrJRoJlQwvazae9LM0v6L8G7n833XFS3llAnBeps8D13 8vuVEe8j1d/ndGHdGiXDczahlDwoTcB6EeFl/JbIjALHWCosh3BwoIxBZIHr4PP/YpbzUMgg4o0n F8kpirVhzDlbGdMAacgRhNjLdCNiHLDA9ataTmH1modSEf++fPHv0zk00qIhBWoIJ0ZmsN1QrhpY x9Ts83yNkQC+JQLtscJPlJ8G6zmnndQDOTELn3+fp5ovaq9yVrcMCn2aYu8XWDlXQzmcY2rYcmqd Xluo1O6EuNyuuijknjrCwZOzwZYTqTwJyhfQtAJHlVrnFdIQsCqH1/ZjuzC6vW4QMbFEAOseH2zX gYDGv/HMPp+Pyvy/FImAa6KTsM1SqAaCblpv5tgBm4RqRexE7zUi9TOQ93Y2hVVswocz3Y81+Cyl E58OBBqghIHbWWj3VkDtwG6f92gqYH7oS4LMRM4LF3vlyl8wgycGuJ+H+HzUcbxHEwmw59pp5aKI c4pCb9g6nF/C1PQAKHYAH7Tuf0xLl2Zp8sSLNEGqTPGM17AeIyHcR2fCpW11XrOxHQCEFtIthOp0 VFxfRskpFKzemh7qOnCwEsm55iksBEgenw92XPtuh+CXOyH1dYFJocpexaktR1fAQ9xz+0T9PMyE cizdPc1SVM0exDcyTrThtowmXH/kUDEqG49oGVH1FTH4kBK+6mzF3jaoI6lWO55Bk5TRpmWGMhhZ hk4w7SZxyi9RNriXXOiuSMftkh1Dk3I1AmMbywolKLVdd8XAXtEhEG3WO1z2UAEpL1VhQSFboBCU lL2z/lAJdvdJekrnwF9R9n/A8PU7WJICjCn5VQmm26HB4iMbWwXPZkhx3fFCi0UkR7NuLyeZchNT 3cEuW6Wu7sw+SxFQJBEf02RL1R5bKj7cWYAGWG1CIoyxFTKOc4IDNvuF5kv2thGPkSI8cn8rX+5v C71SHt2j8vkg+r5hpbBtNN0t+c5SGH6f/+VkRVahPbd2Uz8kw/Fv/UioqMIQlQWBHPosLkl+TgpZ Ne9bALs/B9rYgtOcbAgwHa4lFNfQuOvsuIpEVJq9bdQ+EmStWjgwdXafBGlTnYLOknw+ynjL16lE hGC5pe0cOC0mO7pln0w703ELb/JeoprTpFtn1wdFSjOkKmTqXXHge/RzvZ3LMiGYOpM061k4SRB/ wPQhUcpJMr0r9rFzYLXo6gVNG67dDUdf5SWN3Vz5fBQFXIszlhCIlLwmcKHXIqRmp6ybud9Y8+N+ s9AAIWWDLJXtt5DTmIVQlwjGekQwRqUaqlbSiYV6k005vMIqVQE6oXrMUGTmnkO7xSWt5s0IvG5G Pqy/dXBLuZTg2Rn6LZb1qypAbgo/06Yp0rMGJwECIc0qcNW72G1ogbECNyn90PVBf8kT8g+wwcOA ivy3LR7VJ6RpKOqgD1BY2W5qlNixZLMUBd6ZO60XOZ4V75Q0UDGSlkevfD7S2r0CheToQ3CAguw2 S7FBDIvNJtR8Ar6VDGg8dm7OKOrNqwKh66LaSUTK2OB5XIbjOkQ7Qbpq4MBRbhf9kwacUsmPEChX Nb8lki7i6S0gZLlAyD0y1eTpPbD5fHR6+x0nO12EIk8WFxov4mYClnNatqvmEhV3QWGxSlxrbrwY OC+iKCHvVxH2891y587B3DQVKzsg/uIVCYqDGAthk0sZ+NtGVxxP7SV7wdVdPv4kUFOqKtNeMhK7 LN+TtKkI+my4EJKNtpLMI2QLdysnKW3rD+yR9p2kEJPdBJBg402mQZyksWGBtbcab/ucRpTrOwtp oreN6uFqF4U067DXDTTkl6SbdTzlm8O1lKe60+e35fNRerK/cji0qUne8VkKcdkNwrtqI0F4t2d3 Oe3SfQILkcx2FU5iA8ZOrFYT96E93Ic5Myj8bYqituj72wv1xTvqe2M83beV7G2j7hL7UErlmvs+ nZsRSv5CW8/G54PspPxiggKhxnKgzVJowohK7NkTJhgyByX+eSwhRHYUAMiJmqXQhXEJFjmo68jh gm0KYmQeSyjyQY+XiDYgTQGvBdPDrc8UCIQ2jGqglCKh9EIMH6Ek5y4gMgPkJT4fzFL6xtZKxPcq O+bQhxHd3xMjsUNSmPJa3bsDvkptI+qAu9dpaMQ4BLeB6rwNToKYCfgCwK6hOoe5x4abwD13YiXq lQtb8qUOjRhzpdwcDdM0WAkOKnYsg+Qy+fy/SMXSVccavqCtHDoxIkeY48o4NxkOblpCTf0jMqtl ynw5sGIEbFES12x654cSemYdGwP+4yzAgQQgVvFZvSfHPX8j8kaD/uWa7HWjAhyztyKZR+hzi6iI 0jmhnD8QlOIHBEHlLz0jNHT7us24HLgxAqmxCUO2ei7kKu1YGvQyYwGO8u22lkKkNrs2539ZPlwc 8sroBdCOEXbkqlMWmBSBxsDuw811yVrLsR9jkY4z65RZDBlCk9bIrIH3kvWW/+LUqEeFfQ69DZ+m 0EPmrB5o8yQDuHU7jKBtYCYpeYMlZrF34Mnoxp7UQbLByyazQruFxCzs7VTlhQZFTPZskOB555uy Tzn2ZMwyQ5NoxsrXR6bBNACBQE6Zz0fNgcsb6RYJfPH4c2jLiJ7U+b2bWcnsNLy4tNPwChzVzW2W /kaYFHGQOHhv90zFbKZ2sBHbd2KU8K9U3IztFpdM6DGHxoyqCFSaUGnQHQdyCkuxJyprfD4qLqXv Wfr/jH1XliS7kex/rqXOHGjx9r+wBzNzR2ST4VUczhB973RURiEhXJgAy6DVi6HIoTcjegoA3ygt OZvD3YVPFl9unRLcV5ulUKqvqxRALCAHR1Gghzsp1YNDYOSpziau7oJ7A3bMpm4ke88cmjN6I46e 8K27JzzSaUtQ8hp8/q+6ia4zLOB985PQnhFBC7RRrCJQknFtUN3Y/SJNmie7gT+juAvYabK3KlcS EzCBBLtkKRzxPhUdGywHlLsRodkkkcXNDwkmyfyYFYC34s5fYMepMVtG4/MRMPlOEg5q8pznVT3I oUMjOIUi3SvXHYaUhMFAXZ7r9ul1k8CiUdONaHLcwXNdyKiTuYqSALRjccCfMINnNnTRxqOsupe9 bXDHsQ4FD28fjGgD6Rt+3ubhHQth+7HE56Bw0ufTAQ9tGhGwrJS7GYDt1WyWBnnBhjiBRoutpRjB Tecz2TVy8A44KKlzmnFKTU3xEvQh0BiAGyqqQVNNptrtdSOQO6kk4klwsEplWWpfN8iF59CqcV5O m0wdtiySbJZCr0ZKrG5mXQTgOt/4HN7UjWdUWdA50Cz9YtZYVVdKPviOO9fAAvrKbIdPKEC1I+iQ FMDdSv3qf3d72yiqpBKURNg52BW3ex4SAUfRO7BrRJPqH5QAbo39NUlR6A320CyqI7HmXcoD7/Jj qSHdsUmKQu9F9FIlaEqDI937ydwg40aO/8kTqdl3NlwmaRStnnonSe3KwLFR67XCCfsO2nDnW5w6 eVvWS0Yw0/V9LFXsNagi+iyFWG4oi5UxXXytuUEIKHtuHNsolaxZisHcjL0z1UZyftRGyomKOw1x T1AJYZZFXhK1lgfEFqAcf0Fean6Hvo3S5691ZB8uSECOCSerHnz+LzRupZQYOp/t0YvIoXcjymtz ZIsEzvmRHZC7Z0lGm5jrWU1h8K2+ruzi85ddfD4XGU5tZrubLmqFFLfzywyo7mf5d1c5hTR73WjP kVJpjt/JbGrzPgtLtmAnK9RbRunuBTBxh+I4xAT7NMXBN62aR/eTqV4Dvt66cSfoJqJULnBwRK2S 0CU1dvPT2KVy0BjwHmNjFw1qpnL4ZjviyEzvZSsw5WWvG6nXSPmIChscFDGdL4M8S5zqk8//RSil tEalfdozSyHiJGVq95qcH3JSS3ir1EdQYIL+os9SJD8is11aNtjgR9NJRMdqNC7KSHYGNbIblIwH +iewVLssnNTtdYOQiWz0RnyWBpXhcH4rR9monQRejshxbr6r0AFul+U5m0KdbETf5drMFWEpwOZq xNCxWgk0hSW8gZ0jiHNkBJZRffBg4MzUQhTFBjgA9zjkoZWEJB5OfOvxJF7d3jZS9eOZ1LVJu285 9MoE5zxhy+DzkcjGzXcZqwOcCJUPn6VQKRuC9FOG31Akmbk7aHDmbe2BgpqvLaY4/B4q5jYfvPBN hdRON5N0brk+yegqSFAZvGZw0XzLpWWvG2w5JimF3VsNOpkmaqJFSUrn88GWe+Rsfqx18zBycmjq iMfOgareLWTZ2pVlAzig/B/mBHGxoZdCV8eZdSJJe309BuAp424bBFMgC9mZawldXiScamDfcmVv 9roRZpAqCSI1F2/VnB97gmgG49DF5fNBiSn/Q8vJYLrX64aVQ2fHCrWEwVuS1xxNtThNrCxrmvp1 VMuhtaMYlCmRHsjBGgTon56bEi1CwBAbwkx4cKNnju44DCAebXrq/uTA21F4CBzc7Q5aTBnqAtpF e/L5IBwY3zxcNI9qS7dBELo7wql8FhVPwK3YlznQZAeBXK7gwFAwENg7QnsBBzfDYBtsMTW0F+g7 c16KtrPWjoI+J9oiEIy2jHeQ7p4De0f0sMWuIJSeg+LvVE5cL48ZrKXA3zH9h0YbamilX+2WHPo7 4iA4Ca870vQx5jNJjswZwBjbJEWQ78VjGxrEPtgkAeZ9QtNWVYfLVDdGUAXOMYAPrW/P5IYCpsDh EehT+RT/h0ISkpTZNElDzwd3XP+u6bK2xOjKJikKv9E+WWluAU3WSUiHMyzWw7C4nuk5MHlEvCTj udx98GJlxT3dWBU4KdE5v6mxkNACqVUVyx/nUqoMF5o8VvmJCKJbWZFlJxtmQJ33xbkfFn/A/6JQ 0sieGrfCFPg84lRCvpuy57spe75LQ0Zj5K58Z+n3yjfBXDbYLJ2nN+SShjzWwKlk4WCjWoQKfaZS krnSdHvbiDC4X/lwJwC2CtPsev5PvhfhS0hW5rUtzoHXI/xCYbyijsmgblLx/sDo08MlwP8UCIRm j8P0w9VN4YnmgUApBQtAprOb/kTAC1JgHIXv+qj+tW1v+5vDUZP7IQerMOWkoADAKT4fLKXe/jmV YPX2FJhCv0d6vKdWtYDOn4pHS7un7JMEtJguuMDw0eVucinDB5skTPwC+ZQOR3CfZxzQIKUNN2yg mB/MiepwoeVjqxK84T3HQXHASe3VaoLANp8PSrrpO1qCohD6CneWwsibYiW0LiMFfncXK5mSmKKa 9sp3KUWR9xB0C1vGBt9wuH5mkv8lGAmDS4kCtoA3M6d/6DrJXjfSTWIwuTRLy2fpfA2UNMeOq3r+ T/wSd6rIizePC60fYb44t/TFBxsFBhTowD1YHS4/CUrg/YgDTqrjbfjgQSXID7CmMzMoCZEK5tXw 2rg6/hEoy4H5I9IwTk9nnsJB5xKQ/I6m2Hw+WEuXYEGibkUt7rbAP6+xUsE+X9TXVLkEscytCMwp 7MA5w69/6GukVHC/qXMif9UnOdlQKJM0Iqg6oEQzjUlUKgG1m716afvT8vbzGicVaLqIzqQ4adw4 iXruUnBbePpldgq4WzqPBCyQGDxJOow7gsmBLQf0E8qPxKKn2fngYptCChQoRDiTKZgcVbkrDF5t uGUAbIFGq9nU6XKBYgnwgpDkQbE79Ts7gsG/zs65FLpYTNpq6aniVgoMQgAQVdzX2RHw+ZkdQugI wOfsvAZHBdJtqLmxN4jMdqa7vco0BXJQ8lzv5jU0wtKZ0kUkwJuDLR1YZKIjI0l/mFsRqEPTJuBy fspTI2EQ+HkNjM6bFl5mxKTYoMlpyURza9/t8xoVFYA88/fSQRaS7+S8xkRFusLnqkmKHCd10s1W hO7DshUh5hOT8xoRFcnBkLWcfPDGJMSMcP6YOfhmeaBsFCgbEP2j3Mmh4PznNR4qICPypl+MsNuC LjeF/87XWxslIvrq+fMaDvEX7TY7ZObikF707cHsvMZCeGgDgFutkw2pZWcuZ2HfBQLYlqG9RkJc 9AJwyYqu3fwMhQsUQIhIPvE5cB8EcAE01tkPpoLsFCuXWexrIIRjpyjVFxC1WnsBRbuuqAjons9r GISn2z12ko4opIZ+7rxGQUXtPZRp7X7nd2sB9WgucZNTMtbEawyEhatzZ+ncWc+5A2UMXCssP57k gAEEAkWoCABfksHp0r1VEuPp1wgIR2TnrSUtfw662ysam4xfWi2f1/inyAJA08Nu3Nlajbhozs5r 9IOjvGB2fMmcSzG7IuIkYlFdf2gvywgimh0tHpbxNXhKBiX3Dl9ElLAn1Y+xeFDDa7xl6e5gias8 DqK9VWQJXu+gAnaFVzoXz8rj8xr38Nj6PpW5ArEhNTuvQQ9OZdCS1ECmxhYLCjx4CM+xg6d204t8 DXlwKmt2sslI7aeN3aEyCrUomBcCQqCaLKwFziUEyvq8VJJJeaTXiAffgI4cqfq2q+o7KmQopZy9 8+c13uEbTp+drp4ay3fD5ue10oi91Vn32N53rE6QwGXsR88JnKw5+1pnLO5UXOZqPjjyH8Ut9Mhx jRb4oC3VGVFAA3S1lFwulq3pVYP5ITM5ie2e7gpN56Klc8S50wuefp+fYf6pEADBc1gYnYZBnJ/X EiOWbEWho05TblnZzOfO11KNcFPAKXVhkjDmYaxcmdFzsItrArc+ClmktYBtS3RWRwAz4K3AjNZB bPQyei0v4jsgWrQxcdCgo/lkqC3fotBrcbE85MhmFivnNBQ2RyFhFDBDmg3dJ6ubGTKLBJvl5gbo 3njdLIqYuwTFl8Sj1hWP2q3jNRCcAuUH/bHBLL7zUj9LYq+bxZOz+nnnsxUZKKAeIIkkSuz9sP6a 1pafPME0Ucy8ps+QDIlxX4D1aDMURc1wxQPv0dhsqVVjj4K256EP3IicXRPd7rJSgyaTDR4YUgSR msADjeoqeHZDGWWicVaaYHuiINnLBic0xevaEBFpJCePglKoSn6ufPyvwBnnDb9Mop40Q1HkDEzn mYtsMJraHr4fhfN0BtXb1Y9C592VbjEu5uCH9AZlsdOE5gSHbW3ecgl7rcGHrK0nr1D2HsXOJs3G BzTYBX+iQkaHJ+4CmjYKgKwf1MSqgX5El9ioOizRKQ2U6KL7KE+h4acQsTs/Lk5/S4pR/GwVxf+u u57ZAUEYnqgjDxoBUNqGdb9OxdpH/WcKmB1F0In7q4scyEH3GNxZq3KvhTZHeBD5GmJI0Hke5uxT 9B5D6wA5B9HoVpsufXuQyDBMENH5VF2jKSK+nyQsGzhFELav5yQCOQ3FDYoWEmlDLMxAaQjpBRXT UHjSuwYHEfVYS5Uaeb36SHDxEjgfOojvBDaGUfeo/mG77ETRKd2jOgqj4VBxorxqV33Hwnfvy27c UZSVk6Xv73G0U466QKIcboejnO++aZulNqk6CZIEcERYNHleGBZBep939lpxm5WxCcfmwBmqcE6Q Csk5Rz/v5LUCnT+fIYproLt2Jrf6DL2H0kpPYNhpijalXkUbYu8lDE3jV1XIoli6c/EAPeyDF8j2 iUQnC2QjAWyUmYygiMxl437K3GUi+EWxdKNmdpPeHwcDg0AxjSf1Ofs/77w1prnzO5iGEmMv96R+ j6YLUe6g9JmA1KhXW3y36+wIK2ZbQlE43ZcuMUlslUdi6/zADcVIFVoLCASLZBec1LhPcnnssEDa 5Ie8z5CcZc9C6z7YDJ3LQCJiJ2b+vJPWyqPT1kwK8Wwyqmdrht7jacixbnMCF/1RbVfSHwkEJ77h XBxOoYkC6kGuWh90AudgS+hchNuNC3c9gfQ2l0zMGdCuENVwGxF1Nd4DavW3WOpoPngXsTXlEB0a NlFEvZMn84zW6H1Jk1LNUBRRd/DVZjGJSNhhuTsoDeusxNqWz1AYUpuPCM8YDh4xnnVxdhrIVZih 0RJxowm1rQaAOoM2647NqXeNZoiF0c50noOOoQ0ThaIsa33eyWoWTT3hEPaXCieqI0YhNQoicAL3 zlie7iNyJwj9erdPfWeqPSH13sOH57I/+QiLbeeqx9VBWa29Knt3GwqPV7gGRJLPO1GNxQem8kte Rqs4nQ+Gc8S6nkWfP+88tSJ4oYXUjC0bJFx8Bb3T1KxEBxawHdMtd0darey6kZs6+6q0vkfUQkky W00+3JLHCVzmkopdAhcSrfoTA0Bug0pRqNJ6QYgQ/neW2s3KIHzrg5KOBAEqswaZn3eSWpHVz7/1 xJppOccZiiJq5MNzk3VKzTHaj2OPne/7afWsbgJ27xS14saXnGobvCG2zldMC2Gc0+cio+Lmma2z x06aBvnpMW/bkCYQ7ww11j2q2ETZB6t7wAeAUlrnlvy889MYbvavmwyU1zLrLdaHETW6UYvO9WyG 9eXB0Ox3hlKe5jn3zk5jdkfJA2E56vhigbSTCfTMZsbZRecCEsAK1E+4Y/1QvtVBMdQYeSen4UOI r2qt3MF79Kla1z3z8Siirt9V10FwwLpTFEXUpKbVZK7fs6zuietoxQX8G7DTjKjfmWnlOmIJPcTB 8YznEJ3gEBGpt6EZxMoi0Ck0Vsywb3AMGulE78y02w6rTTpj7eqMgdxtNhlpft6JaeVRikQE9EOf FRj53ZMoCqmBLp24fax61syADpjP7Ar+BBzoJIpK0xQSPU8TytBmeUBD5aT3naZhbDxnTdFCbNOh n032qxXuweb5vLPSGLYzpy+yfCpX6eDMD50FIVywPu+ktCLFgifpgI5ThV6VTVAUUUMe4HzPhjmf g1qwLJ/lbMo1J3EFkVBr6D2ixlFNOJVIoP0hgUI7tQMYy4j6TFzFEXkOIkRFA3XIDA2t2xZbetn3 g2ixPkS+mw02Q+fEVclnpf15J6QV9defgwg+So2Vcc1QVKAGqeYc1d0x6Gs7aQ9MTc9bhxeo3+lo +HpZYRy0sdbgFcaEimNedDgotJglGBThSEOUMcdVZAV7nZ8RTJCmkuHBebuzk2XjvAAk5TkCsc7P OxuN18k/8RByZQD0bIaiEjV481NJAjfZ9vbPiTesbp2BrTcZiHcqGt4+cw1Nqo1ysMw+nxQc7URi YGDiDOtjYomAN4P1lw4XhdRD7xoEjOR8dmLKNFhmn6vlHGCivRPR+Ph33tqJFO53gqKImqdQIx+K E5Tc4aAVBdesnzXXy3pnoVlt66ydyaxszJuVbQiun7R1kqsHHH2nVhQI5AhHoHFUPWDkvH7eOWjP HhMlpl1KzEk0urRGW6n1805Bw530XGU/5qqW7in0zkDDBC048KoxRv9U0qxoGtasLntSjjIMuPBO QGMVXxNEq4whzUFbQROI8yyvHnbnJQ+BbYVPH+lB5iMN/Lzzz9DlWqLFyqCPYh4/Sc58sgI8E54/ 7/Szf7JWVq7o0gVzdpuhKKSGaPBEI9ROIcqN6BSq7rMCp/RuMxRjOxAOrUmaNQebISpDT/ysc17X XOg8cyYRdozUXWVw040HU/SuEXyBkSXLJRos5zi/xBZ14URs79wz/Kq3Rs39hNSmLi8vvjPPeAgt ojuSatQzDW/RMznQRcaFxT32TjzD+ie2bCTJibl4PMqLECulTDCSMiegV6hrU+dI7peOVhx61yAp Y2moj3IHLaGT19Oj4SyhzlcMTun8b0A9IYnnodA75QyHB9BlRc0NGtDxaO5P1looQ7zsEHpnnN2s dUjOgINNUAeXKjW6iGw4xvSsUxqLBvEB1ansEKIoxjvfjPVXHs+NKUpvmImuJQR2PJbFPFv68843 +6fyoXI26WYXAhPH0zh7xL+rOI+uzNrMV8HfhNgxQ1GFOjFtnUhybPAZ6pAOTTSBOIc1OGw4pkcF LJRQUi970Af48040K65G26gErsELQ2lW7bA5P+88M4Qi2w9ppV9kUM07P+/BtJDzhGL8mMhDrY4u T/W2EkHM0/xE9elEJDAe8uEiqHI6F4iREsaZ7E3tYyK8AfaY16gnd2rTv5PMsNpFMkPd1AbNEBBs CvXOHfl555hhKeT2dQbRYJaEB01QFEx3+qQXC6HhIuLX2Bot+yFdvTz9TjArcm+FOwb15zhcFBWu AHggIpiemdQHAIT4NzHxlFoy6lTfetkgEqJTT+vKOpw5mhGgqz6N6+Hzzi+zUNO2GDcUmL+dpD3N URROI0yDvIPNUaZAkzzVlLQSMQ2UpS6yqEI9khgKxAw1drXP9iFYCFKoW8FiBdUMldoyEQIh6/sh kt3yesCu+SFBt1W+l/QgOQNKnTqHTrKrdms/qdHnnV1WJPz/nENLXYe7jMJ4Gn3hou78maI0zQiT 5TMnUeNctCkKS9SsvA6hgUd10iuyM5C5QcRBPH2CoEROTMIBDVXRn/H4FEPlj5/xa8seYSyGBkT4 j/TDK3HW52dlPv9X1kpE+SLl1XOyd16ZRfFLkqYsn+XlYmGd2FoWh7ieNENRiXpm5fNt+fAUh0Bn EpPz7IPSaF0IDx8kG7DJoAKGFtG2lw0aQUWRItn7HKzdam0IqpB+3klleMfia0g8Bvw0NJoNsRiF 1BC1IFlUnaCNcrhhgeXds3RYY4oAWfy9SA1uog+38tHy3qgcoPJR4VUizOL5oCHmNJCaWkU09f68 c8qKROShzUuALC3SJRy+UZ1WmFzn551SZtv0K+kgsKr5InpnlGHpVVmHTOqmwcBt0hUUYBy6grKM v7C5cVq/E8oIe+LqkU8zB28mjnL+pxfCf8dCa4NwTrDpO3W7W7rNxFb0rr8FRFWI4HoRwQuoWl34 JzT/vNPJ2Gn7jhh5P+SL6nxnk2HhTkaM3YULG4vUm8KFzuBAA8JIru9kMnZC2eAYUgcZ+9Kkzquf WElCvJnAdPY5IA/3Ay0MNuW8NoQcnx8SAWPaW2J/zqFCQw/kLuvzTiXjObS/qmeNq+eeQ+9MMnZX ChpkrEdDnh/QXNtkm15ikJpbgyYGWEJxTM2bPpftgy2hc50vGGcn2dDMNYYus5N7DIAuqKnl6sXk I7wTyQhelQhPTz5ohs63UAX7WCemfueRMV74hg4xNJ+30fHOImPMCPhZM3793LKbpdBFWZ635su1 eyeRYYboJT+gH2yDH0Mb8t2N6NeJPbY4l4VMsPOb/SCqt7yVigafdw4ZwVEU5ueO16CYEc5G6tjX 1j7vFDI2Ab5PIRSzWkp3k0UVaoBKT05gydg5j5xv380YHPHQvlfZO4Hs9jm6wNP9AU+j1Zoz2eOF dsuZYoVgt6Ekq/aHB9WQ7OJnRKUP7rEpbNX0Vllnq0r96D0+7+wx5lf/3GQFVXGYBNkMhfhpdBPJ dreIsbYbMXYvL6Y0rPTxTh4rrgcGn0gfbuIK0YYBzg+wSKkQfQ+h8A69tKXloz3G7sjnnTrGqJTH TwfYR4Mye1BxJn/pXfh41I8uX0uIduk0ItMERSE1GsVnDwxbQqNnt7+o2RBpwMRsE997p409E5S5 kmZ28BnMuWFalYkboq53ZbW/QbOxIIZiUGuHECGe76wxS6ygSEQRJw62hECTIaj+3Dqfd9IYf9X1 dQgR/kpJZ01QFFCDinlSSbfmgxCXlg1Mqa/IPFAxFEtrMYhaNQ827Dn4BJ1Y4gTKVJTb6yxY4Yih /PEDi6MfqVU1Fc+S3jW46Un1qoOpPQetIDYmCRKGBliLMB/OY7WbniIbt/7awngahg6bolJU4a8O 8Ow4e6x4NiGsqhUUFagzYZudhiga7BDCvmozKXGFDG+nF3ZC7FTpomHm8F/HdBRPL5ZqRx530BI6 0UM21koZeDxo2F9wHk+hBoQ5yp5GcQnjaSpbSTadGexwA8wTBrsGf4GBsjgu7/E01lAmbpFNjtme JseZipP5TPoU7JMVD5TqKC0Ltws0teYNpylHis+Ieq04hdict0FrCF0Y1eDLqHg84HHs8s8awil4 8Z09rFCDL953MofQk8a5ImFTYZ/Vs+kmBT0CfcjIYVZ6gsyabvWsndNxUKATx3Q+oe8UWBYrBarp ++nXT4pY9KhCXeUxS7VFDQYbOnmLTD5O6IDHozaZn0LckQMgBO5uzVAYTzfkqmpGdyJgDTcEOWo5 FpfMpi0vsh6VqE3YcnMLcXDc0EIoVJnXZ4gPDYgznEsevFC0OrOwZ+L3AiyCD/kNe3aSOYL3VU5T QA3eyeKv3QqeD+gc86YcLAigFNLWRTD2kIjYiJ+uZk8wmgXXEBA29S/IuhWTJe5RSD0F+GhSuG6P lhXErBKqOURSZ4A/6E4AxN6gKhG8wa44Q9HLRhEjj/WpGtp85HZRvFSMUyYej5ia7SvpAH6+EC6g GYrIiKDdTzQLhIzJF5/XytoOE0ZVX2lZD0Nq4fMy+zzdz1E0y9I6CQnjoQFZaLkYlY1iI9RTf0Bm dQQji4w9KlQvAqmbNRXPhU/jE/CsEg380IQqeDyYoAeNT8VZ9NDbvhW0HgbVA0SOZX1owD6sPgQj Mmvfb6DcbYpCRiLvs7ZEWFhfhAXw7IYF1ZC1xSeiEAsZh7PeGLv5WYQ2Aj4juPDF6MiCfWSHfcxz 0CV5N3Qc1lFQDeSpT5G8jVmRvVMU1qoHDiOUb2hTOBIvd5XISRsn8AMlMU1RHFWzkbpb8sGm6FxZ Z8qQ7ZdBw2JqOQIRAiMwNE96f5SZiEDrUa1aFlUNBEMbLHU9oXoRy3lMPB6ROm6/AxMK3YiW173y 3+NqQIUBpkZQwgLRgkUXC0Tnw1ggqrKVWyoQ9Qj5kXRQd9kW93pXUQcqdnX6gp5E6GQ2SQUiuMrg 3gdt0ah37LDgM6IKGvvXi1A9DoLGlAnLYt5oeeLxiNh6ocJK41CXumd1hKUG12IWqXliWintRA/H BXXHbL5ExQpEPQJ+KKvvVVjqSpxnJVI4T9TlmkQs8adC13S00AYCE9CP/CTCGsFnRDGRTqLdfdA2 W2A98jLqbePxP3lBeAwqUZWrUjMUBtaD3LJkt1kp2UtoWsVWQsPhwKAoKlSrK90kydAeSQYcYjh/ RG9lW3ppCUHAqSPULQ/0gzk0PuT9JCJIBKfaHVSohjuR4uITqODxaA3Vr9wsoyDR8o0bRxRYo6cH YxcXhxGcQb6EBtE7cXFyctmICtWitg6RyMecN7BG4Q9OFkOB9cp5mUzxmQY5N4zHaCfrXX/VHhjp DlpEPTMA5XGW8XgQWA8PrCVZgHg1zztDUWSNi3qu3U2ScT+Uhbmtw3jOoe5x4wgL1bIeGOUOfpv1 tCBXrn5QQkeZ2vpAUwA786OsXckZ/WNGzE+Upr70z+ryGtr5DAWq52xZeDxYQ+VbuwI6sY0lFU1Q iKZug0vIvGPWIuWOxKnaijEWGvTBNEFRoRqgGhw/TPPPbY+XH6wQLbikbjWmR119WeyEwIcpzbgt RUSBA58RoDyVlEmwsslAMtGzMe0uE/Te8XhQALn4KtapUfRql9IxoqBaHUXpoDd6EjeHwfblJbQ8 XeF7REG1gWMK62NnyJog1KnPKdeKtKvP6TyGSA08+1FCYxHEwDFwlsVnRB1FKe2Q4crBKkS9S5EW PgB4/K9TiI7huBvqI9EQxdTouIOnYDXGltttudL0llVY3qRaQVFMLUeGs52yD17IP3l/WUOip6B2 QKoP+if4pgmaI0zGmkG4vvEhUVcadz3AVBywhPaP9GHM7gvSRXj+r3YZNxTW0N73FIpiagSagOFn I06t4j1XID7VUDx3dLNex4hiavkztUpDTw5+CkEVdA0SyVMFRowSO+ePxA+hruPSghD+1rsGyast HlbyOeiczpCG4jl9bgg8HuFjbgFEgoSAn9Q7QyGWehKjNw3FuGT9ScrCsKQforBo0HCGIix12VIY ogbjhYedRbTA3ofHFAXzziQtM5dD0siAlsVDtcsI8xyh0oeIm52unxyMsdAREGGX7T7weNTr8Bni q8n7xMuMIypUgz/k/mfIXOcalzhl2E/U0Kaf01Ghek71Omj5badC1hICZQSQknMMoYu/i9mfsI61 Qa+9WHOY+OIzgmCIFY8+aV7Vp5tXNaheqR948m48/pfMkLqzIL3cSv4IA2oYfda5TE5QivTSXLzM hfO9FBNfHr8H1CTU2+Ad1w3S7KZOXuoNFBfSyJd8PgsUvC7YfGsJhRE1nStPlMBdiaUosPmeNDjm 6Yu7PixVF2+5mnDBZNnN5ygMqWGJvicv+w3q1NCfMtxFs4mcFflWdf6cqBBLmaE07uBzdM58tOeB /ShEEePEKoxf2Jo+p0/xav7e9rK/9lxVb2zVkZ4bMuFLxoyl4PFgisb3KoK6I43HNUMzLFVXOuma 1xn+5KrLq1kmAuoUmsqYoRmK5Om2x7Frg19m0HxvQBBgm501lFggyazMSOThNsyo/ILP+K0SCy0M H3RSn2kR9hzyY3g8mKDmlVjGPsBEncXnffsZRdSQi8JGyEpcC3U5mbgChGiJaxUK5MRDMyQoihb0 XxH1hnZjZfcGiSsix0U/3YqqJGxSxsOSniis4jOibSa2i2of02sfZ2ksHURnhgoejw6i9n2Xna8R XlUeEM1Q8mOAONXMlmLWcYuMdU6voHUcp5JlCgmKJJW1KiJ5fSgLpQCtipoVEtezxxAqdml4dujE ZFStneRKMYIZ1qolrJMo5MRBu4wVRxYT9qp4PJqiexBh+YFlATS/T1EUVCNbON+CU6dOoGgn9MkL 67amGaR/bYriSjWDxZ7u4Ln9iRjPFEnQ4pxpSZD8THUK1MWhruNejH3pXSPaC4uMW6yO7ayOjXCE tPITeWY8HrE6fIZUcp1YRMkDohlF1XBlQFtRsE6ozW+ndZSereFBxRsGRDMkKBJQfWa6+OAh46Yf RSKtY5/vu28RpeG+hO2lDo/SDtyq+IxI0IK3Gb27NTh+qMoEtZ0Fi8eDruI9iKbMCMsPbGV9hsJC 9YTkR+lOfNnZlXETlzGLH6nRRwAzFKE/+tJN/59K1LsA/oFLjHnHJgWC/MRJ+hKMGMeNiIhtmFFQ XQUcWi37oBlK08yfIXKBxyMa8HfrHo0VsJ98giLwRze4sEVEmSr61uyYBiU6qzgZUXpGZepF5eBe KEzJwXtmCBYa8ndUh06CwOIr1Mj5bhvl/MsMovLijGLqJDECxUXI8n7MaRIcV6EYcZeF4I925fNu dSg9x1DIT0zAfCgKgvFpdk0UiLi5Im7N9xgKFfTYbu5duhb9Cwt7juqTa6odlGC5xDJJRq+7Aj9R xs3tAffiZ0QAImFg6SXPQRXGmQs1oc+/3Xw8mKH0DW6AAXLtV5tpRugPk1VuBkFbJJAIld9cfzGB QmcTFAXVoknTrcIG22OoEXSIopD4ck4hSnRX8i/RtP7ZzzlN+XN8RoAVJhC2NRpUcvCsI6mWBlQR Ho/wQ9/sO9hLFTbFNUFRRM1oqLvW2bned/+5DNeHfedOsDPW0FPnvm8fHG/eU4PeE4/p1CGrQ7VG lENqOS9I6pSu+kE/+Blq6NkeI9eKg7Hv0mqsiiMbxuMRPKZ/X/UkduRbP1tRRI1q+olIuw6c2SSx PAnLueWhQkVxLKEVgT8GdRo6u4QafAmN82ab5/xZQvAMZHZyph1JfWVE/K8w9wpr1MpYpZ3PwVCM 58CsQljViscjfuL3HsvMgu89tsIS9Rj0f7djuo+eb2bvVrAnxKtGkl4h+GMIS83TmsPtJp6TnyhD 2r+ezbapH4xsgxFfm7c4hIyUnxFNEGvTewoDcnb10HmbQPMiSXrXgucjda9vkjRROezhaoZC9WmI NexpoJiVilcYcV84+KNMT+1XCP4giQREcQ6UympMOdJe58dKbPrk+KVUebzoAOgkvLsOI+QT8BlB tAi1kwXMlg/aZCeb7WwoQ18Hj0dyFutrCVWUavNVP1shP7FRRLhZKHS2kyu7z35FhBP4Ipqg37HU bSvx2PUBfqDYUXrRMY0euUQGsagEZS4XCMvvCZ8R1ajlNjHv4HssD6mX113x+F8gPeZDucBPaV6p 0xXF04wWS7LGIYyCsncTU/HyEIlyPKhXTFDkFA0SWDnchjRAdKZdBa4iQmsgPyBFwKbQcivqTEc7 fEZQP9PcdGOZdY8WkdIkRYt94vFI/uz7JiMSdl2hyhWF00DxzzS2MRYSS4OSP5PrMpzwWKFg5WOF ch9EWFUVoDnYBA0slDIY9ABq3imaUqh9OXDu5HozjpIIQlsR8EOgmG6Cld0KUBn0nCqk5+gDjweL 6AmGeEyie9PSjahXFFEDwHPe3IxdYaVudxlMqKfFi/D4sX0WRtSkt7a9mw+ecrQNNQWTHjqzlDlH YGH+ML3NjJBVHmIqig8J5qjLV1mKsG2Y6/uGtDwBUgAn4/FIvsqxntxSC4ofN6JeUUSNtGRueqyh OnQWn62icxQSI0ye6/ScY8V4ahkosPZR9yOitzu0NkEbQVJ2Dj6C90EMAodyNQNYCfcxh941gjUQ pscIU4OqQwmOZVY/4yu+b7P1LKIlTcIKnUHHNayQokiqtFi/+BO3iCQ/nobiFAgEqtRxoZpzU4iQ 4eC0jgV4FkB04Nav0dDCBO0IGRkdDoiLZcu10MkBHxKc1uoG0bhagzbaiY3owvgDvgQej2TN89d9 39Ax6VckboVl6g3ND2gfi9dB3p9YCzu7OFOvTiZfYVDNWLEqIqpPRITa2Ykn0OqGqnA7QXsj2BNy HwNolywdZYVEY+hlo24Q8zITF5ZTNPFVJ1YXtWdnPh5c+Ht/X/ioRayr8LWjoBoI6km/DEkPbTuS cqdPoNIOdE5NuTsqU8tbC05JPtzah2QtSHGpkPinbcBG6R4oRzite+1DrtM7CqqVl9XMWkDN3SuM HQxIhoFp8PGgfnZ1BsV8QYW63cRsv0fV7hyg7iqgMXT0U4VxPr4Kpdga2qHoh62h/xT4wi2QTsxJ muu5GAECBPBj4P4ZkJCigIzdZtTG3yGkmk1pEk5s8EJ+rVIOgmTufg+qkVzf+57HMgBNJAdohkJI NWxLZu3uPFGnp675Bo1nDRVfQ3FUbXiO5cOFxgzSmtnqWMDVMiaAjCsYggDEetBYqNyHz4jqZ9LK RVlbg/EWdpdWflkp4/FI9eOboYilUB6I3g4ZipAkKOxM0LmkuepyKyVJzxst1+wTFCM/VD+TtHDJ t1t28po6SFUDvWycjLorMVu0DjkRRku3F8S7bEdR9ZZ8Xu/NB0NXzSHHzxNBZDwelGD/kUVB5lr2 9Jtsx2hqGEoVk2fAie2iH3uw1khrF2rScIJCNPVW2pGmD35Ob5AW2G2jeRuIwFhBqZLOAWuym5fB xYLvGrGAJQ1X76AV1CCJLFf3VvF4BK765nWwaoflYRMUSugVUqZ8BdHDx6pD2xhVOISGXfU7xH0Q fYbk3QfH551vqbhLEuQHprzwUG2tm/J5++LxESHgMyLzG6Gq2h00QaBVSqnyBOp4/E+BOOKEwXlP zx4LVakp5tnMJmluatHJYkHBEHHCaZn9zQ419JYusn6HC/LEskQTDDN0rhy5BqcOemmjKku9Dene 9a6RNhP/5pQs7CxeHdqui8Ju4o5r1FeGkcEQUGz1mlDsWPRjktpqBgIn6m/ODeoj+ykECKVmKAR+ SHtokcLJ4RLwzj08mgE/QHOlwFWlMCeqd2N44lrYQsBnBBTOItGh0n3QDI2eNkEJNdWBx6OLzE8h HsmZ1aG7hMIatVxemokzgWtgEzRpYcCGNKCHYijuOJ5m872Qcc/hFhhBlJIhMqR3szA0KDL/FAgA UbnWwFV76l1/E8dvSz7A1B/WBJ3jeRmRhY9HsA+fIMwsbodOR2VNUCihd84E6Hwotzi3kU8QRCWX 7THsFFtBEepjyiJ57jvYBE3wTyn5yvLZWIW1WsI5YQiDq+GGQuxy7Fjwg8XpRk0UDoY+y4YIrOe+ weN/KcRJbIYwi3m9/1IUTyOgBLdVNq04qLeLEbQlcgeI2i7XkFOsoscQRyd13l96DedMnURlQ0g4 F2gyQjJ3TOg1QIIXdrCOHqJAE50U31NPzhItzzEgYVHTAi563CgnoZn8AX8hPZlZ0zqj3SIa1UuD Ux6ZWaPQGdD5zU3JG2XUOGW7A04nffMUhtVUoXyhvgDSPzIbJgO/2UCaSWnhcxBtcDgQzF030myv GxHx5Nlapw9aAOdbkspFO8kxn/+LdW+mk+j1pztL75E1Akf4chXzwpl13sbr6t0BIA2yJXISSCGo mtcZXFd88Mhxj7PlBp2n4PaVKi1NBvrMg9UXetkZAkRupCksWUsBXizigWiL4SMq3aMzGBvnj/wB Aebzn/AawBcwBO40RVVriEUCMa9pOn9yTieuoOJVa/5YTVPonNioymDqFesihxcYNL1ASeJsugy8 4yaPqmcqRUu17waQZdvrRhSP9QbPn+geyKIrwyY5xZVr71GTJgveCRknPk1RlJ3g8sZjiGfTQlJu bDP6k1CNKMGV1fZc6P4ixFVWkT8/0wSdlZOXWMForl6WfLqADQOsglRFKxiRe8hPCUD6vOLS4mxx MLgMeixqgMB4IYVokH8i7Yxez3hyESXH75EkkhEsHOHSxD0DFipDdlS4tEU2hlZTXMDmNDViGTh4 XQ2K1eBC8GhK8PjjpkNfejTkx3Rlt3yNgQA+JQLvCWbNvI2DYWZmk5sBNAD4/Ps01fQNCamwf3i8 OFXVfj/RNo7RLJzDuVbtdju5OSXH/o8QTeBJ7aKLAm7pxNIbyAbfc2vCfaqKSA2Pip7kL0COO/w4 0+PAMLq9bhQviZM3lw++57KMgMtJGfh8VOj/XkzAAatQZ7MUqoKAetYB7Jc2kVTkUMXOcoODNlFZ efrJFHvBsBmSqJVWU/bMdp4TAz4SidccxIahCwug7HlgoKQw78FUyKLgh0QMTyo7TplKKxFj7HNu CPVkz0N8PhJm/lbag0wDBal9ksK4e9No2zF85zdy8tkcLjwM0sB0d7xIGqSK47ly8cE23EZbP7Gd AoIeeESqj5wvpsGOjQKMprUny+QUilezgkQ9KxusQAJZFvnjtcHng/32CPDgQUqQ1SvZmFMUfMNk G0RYMwc+e8MFZjrtuiUP0r1GklNsCcM1VIjnq+Wx3a7UbpI+CMxJpsr9i2ypk7z8SLWqqsxW7G2D MpIoaKV2Hyz8np2Ryw/qVXw+olh5jit1VMT99dlwodMiDBphEmyzlAh2lY8gzQCV5K7uBmeB1SIK 41KKJxJrtIvE2kj+G3MBoNHP/6at0BNCF9imUN9wK0o6TOfAa9HFrkDQ9sEaR6MS5vYD9Qg+H51K Hn1LgI5Gzpg6n6Yo/IaUCTywbJokcaNU1x0GoUKci09ThBVJ3GtwVPTBigGD/pS0ORsJ9qY1yeAC bVtgUGr7gvQle9ugM+JyPN0Hq5eck1NCH3NUPh9E3+WbFCsT3se0M3BcBOB2IZisWjagIqpLctJd RsJULKrwrGQ9IIeWi9IcHU2SRW0/BQGksZQ0LbSkZ42bRIgM360zYQylxSUq/ra/ae9dlYdhYU/H qTQllF8Hn4/atN8uQwjcarnKaTkwXYS4BEPJrQLS3Mkq/pC4TD5JyFd8ksLYm6XJuaR/5Td0OZlu geg8SvUoK8GhRHKz8N3E/0OTZMJX7GTn0HWR0ivnhJdA4XysqqAdReTaypXPB4Wlvb4SFPl773t2 h7aLYKpCRSd5Zal5Zanv6pWltnby7RZSG6UAKqRx749nHhRhGtgUxIcuiJ8grEqUCAS3OgvvyQSl yzQvdF5cVHiGGbqG5UupsZuMpQT7ztB6scx/WpE4A/aT7QbWi+iQTc6N19+WiVzjUGILF7N0zorh SykOvEVNo8U7B5ulsxc6OkSZfZKTTc8mZ0Eo6ACkudrVth42SVHcrT7tGDT14iB8Vj+hEhcCVCb4 fCR2eZttTacZDeLvLIUER8R0axgway5iYEUBpQ+niEW4cRVShv6LYhZV6V3WR+/y/PsM3EDKSnYz e4CdyOwfcoEhynvx6oSK5tCBUeES7YVsUBY3zjedpKxSNp//SwRcKDvM535qAoEJI0onlV2AZoW4 kqfjRyChqkJcgWKHTVNoGaN7a5Q7OBqyz4wCOOWJT3a3KKleSAmGO+APCmhX0qDa2/7a+1/5DsJk p24dJpSL+Pyf4irypWaO8my5MPSeFC/a5mhR6Y4k6oPkemgSBwFYm6Ww5q2gsjFq4mD5CRSu0VVg gSmB3U0do84CE1Edqz1q6XXY6/4qKN8EXW8OXZ8d2p38wLkyn/8rQWFghOC0fQWVITa7ZZQolzBa swD/ojJcX7bAMmJCw2bnP9wY21ZFYD8VgTPvoFhLD+vE4AtVGDAkaFINsZ7xtN9WsrcNukvkF/Xx DIbvX8ptALZufD5IUK7LF3ccvvw2rkBGDh0ZEQhNFPiMAzEvNx3FD4MB9FW9pBtaMho5vY3sgxcr z0GNeElriRY+BLXhkzu+HpR83AlNkUDoyagGSqVvPKUSrGENI8wkXUsspcCUEfzwbx+rwmbn1XjM oSsjUFMnXEIUhLr3IihFtNBO0iMd9UZuPkkhSLtLNV1+5yLUK4s7UTh8B5ImCUUgsosBkO0ES9Qr HLbkUR3aMuqOy3L2zNfZE7rKScKN53Lg81GbaXxPEtfwYzAcGzPSiUh3PmpxffjZTZcOVU0aaqU6 lQJnRl9JVYF3bV/mnhM3HjxpziQVoGxxXlNXBFkupDDxnkL/EYrFT4kqcDyOzBENbQbRFcGqWzQe gR4pf0AUen9PE/q5PCV9mmJYCfDHy1wrTy5oOvP5HL/JZgx6Q34sBfaMfizVUe/gwJsKSXCyHCEf RqAkeiiQz1oA6MDa8Ca7ZK7l2J+RkVLBmuBgMFLYuiW22U9oprf8k1cj8A3E3W7TMscOjch1xzIT tAmPLu97Swgbue5GAq3gO7BodOVZmHb74PVcAmzR9mCQtCH6SHIWTg8IUyJm9cY35Z9ybNGYRfCz ykByeAn0wYlOhg82nw+23MpfaRwCgVrLs+VigMm6oAnU4HJzg71drmtKHtmrS6FJ49LdVnU8ERSm STrfO1y9idpOqOyOJGUR6KGh/9BudckUH3Po0iiBo8ZGowZdcWf/doWJ57P5fMQe+d5w4Bl80bFz 6NMI2Bmau1LwWbmV4SW4YcATNFB29kmKvWVIHBFTdFymaE+5oZeejG0M8euqowucG9hGl8c2RV6f OTRqHFQ36lv+TduyoDzAcOEk1bwGn48ib891deNj+e4bLIVWjYM0LUoGIYsrV1SkcY4dZpK8Ahd4 NV5Bui0d4/3oGIOaBSdvskXPsTMbVcfoBQ8phx/awmmSFn2Hc2jWOExMbN1BWdyqaUvJqYzG5/8X XDKZznM/sVIohl2oMeJi2HU9UJM+Xad3wPpYqW5g1+j2MlCU9+FuNzQHFvXUTwTQqrR+cmHPhL4p 1+gK+Z0+JLjglsolWlDTvYdBKGwmqM6TO0Sb/FMPQIvx53y5z6H0HnefFXhmEszmYgW4evEmWecT 8CY9jbuUorh7MEqC5LUPTiU5KVWlF5FE1zpDyTLRRmvsEwMOr4ohkNj2upFquNBKJJ9w0DStbDap MCLm85ES7T8XHLr07RERzaFxIyy4zxfdmuFvh/MAQMc35bVMFJamKXZuFDpwqMg0HpsZ9PLPPqMv 4YaP9WRSXOCqUwB2Q+Hpdr+7ve2vdUoKS2i4+ElJrarmHXg3IvD+Fs7ipbGfKCD0boRT8kQvwyre ZfixNMt2+09sG5+kKPBejVHAf1G0NzQ4gXNgCQ757WBjIGclJZDjrneS1K4M3Btx9tH6i4RTDTqW IM3RLOHQS0biWX52EyyHu60+xdzYvxG1pbK2raTLJT0R5fZi3AYcyScpCrxVNOHSsOESJjLL5+Ju nTtM5QDoOYG7xeDVZwnSt/a6wYYT0lS9Jg6GEMANwVD6BKx8PigHpHt485bDf/fZbuQd2ziiuKRQ g6ojeW/nBHQ3Aj2L4dlxceTNrnfe2wdv69YOw3h1LCF8iEIuXHlOajFQJuQpauESamR63SCmJDGp St+nXtJ8SmMUlpBPSqi3jCAC/ykIiYjkzlKIN+lwfOhmUHgyLK4rcv6XUXLO17TJlEz6QdEssVUp UQgOPkuQtEyAKlO7FwozqpegWAfsG/h0t7iUl71uJGAjEFy5g9VNgCAmLOfkeHz+Lxkk4pbrPy5q OTB0hJ4CSkqUyGFxidYUTHZbMhhhZr7tsxTKj/C4qU1Igbaeg4mkcxLjR6HmtxyexrlDBxhc50u4 9VxUhfUp0SUnPjKBmBxUEkA4X2SQjrpJYOqIsvc/8G7ZXl4mVw5tHVFgRzBpULgin0KS23kimmzd MJGWHPg6gjUns0LJiadHTnzAMuQkbcSbdPKfABKY9FlBZDfWY0+8ur3tb8p+PUm/Lm0HCeyRyCUE KGfw+ShBcfgp/zK+fGABfZLConchRqBYb2BIDWmRR2F8XKTpy8u5vxg7ahHN6sMF5ZwtlrfEoLH3 KLNxzm/InQGrc1bCs+PSstcNdhyNQWtjpbK2K4i0BqFQzFA6n49yXWd0ybAX383sTywQVr2F1qdQ Niaso/rHIhy8M87cYFKAqzTsUmjuSKwNZCyzD96OA6lxNMq1FGRDVvVG/W0SVgl2s5cqu7/ub4lc raLhVuNFIdDqUs3G5/H5CA3/LQWA+xeglycaCMveJ/xGZQyTA8RJXtmmCQptNk39ukDk0OGxW36W hw92fheYEJCtDywzsJ70ykBbFIwydHzLI09P4Z8cWDyKi43CUh0+aDVV5DeCxO/J54OYadxOE280 1CXaUzqJTR43TiaJbVQq/Hp9qafhbUueKwoGApdHiC/QbSURyszBqgKg66MRXmn9BAlDmY+wFwd6 davX6GCQ754Dl0dBRir6w3cwAlynh4sQt3z+r/qSwlB8R+N2LUOfR0g7zEYyPm2diQbTJPXquJyV 3Nwoh0aPqi+Nle7g7JOB5gCuPcomwDuUZxhyYgThP42C+tK3yf62kfYGC0uapPZMUjsBU9IkDT0f XHJX3JenGAoC+al7h16PQCesRC9m8ivuSjq3RnaWF9EcNklx+M0cZcjCeKxLsDhpFZoPonlB0F0r KfNy4fl55X1LVhEuNHusEuBUvN7YVWBdFoXoTDWOcVYuf0Ak+Ze+w0pSpx7sUuD3aMfS9ksfVs9e qlzTkjqYqo47S2HwLQXtTLQAB7vkNjwtJqoPBQ2+RJ+Xk8fgIK1gIWe2LM2cptvbBvuN1NQmsHd7 tNgJ9pGOX9fzfwmSyRMJ23deva0ceD7CKgvwtzI8XipXO3Km4Z3dSnQtQ4HY9JECLYSp2+DduAX6 46CMbU4NRxbhghDZqWjHSv1Iun9t29v+xq/oqsZxUL4LMTjWURCD8PloKf17KkHS6ikwhb6PFe1v iFZpkpJMRDdLW14A35WS8wwEAuNH5OuDiW6tPvgkDTgUNOVxA84QtIYArgISCgsg5gdyojpcaP1I hS5ANJsPCgRa6k2+xOfs4PNRRdc3HHcn5BHR9/JZCl1qNnLcbapJJ00qTq+YzKkkqU1gn5ZSzK2U 3Lhw8fXxD00V6n8SZgeIdSxCKTpguQOV68zeqnN1kr3ub7rjjZASDRZ77y3hpBMb6Pm/0Es8zhCB DDIXbZpCDUD0mXb3HbfRR78OEabpklFjsnApsIDEqjT4UvbhwpjP1odKDelxGZVFtlCgfAUSwQ+L qt8CZTnwgBRwk3bq1QeLAwbsgThL6FkGJpBYS7eF0pnuotpyjUY+r8FSBfVvQmszmymUqW5OOosR FAfhmzHpVnPW0muoVE8UQBnA0ZjycvAGyjl0SoUGMsQUcJIz3YPeNjMxdeql8L9o1fsaKNVH5G7e wSA5EF3lhdUWnn6ZHjxtJRM1OSUJT5dV3hbR5CzebJTUhGa0qKYsIXc0W6mqTVFMbbRgcjpd1/qQ RuJ4NBKBLRF5hn+CM8diC+7sSyhIQ3i839kRDv51dk6Ewa5SUUmTg7UpT+7GTs25LU+wHcxONTYF NuoPcyS1ADU9r+FRzcIHjmXqrHPyxpbI5rY/QiDDKyWvwRHWzpDrI6vcHGztVNzweVMzKZVNnfYf uonz3yAHf2BvTW/6vnYKK21jE004nJSIvClNdnTrOVM/r3FRRYiebHZ48yEPIQ6Fk/MaFVURMSc7 GXIXEQWV7iJ59B83pBs2Oa8xUc3/T7X/UViQ5HDDa6gBNEv8B3wsmdThIiNGR0BITk7n2fMaEVVE NJycyrt+4JSUEU/fZSexSFfPn9eACL+oiZJ1czg6/wS1C83OazSEhzaq/3NegYlqJaNzug9Dde99 O7evsRB21pazSL/DRQDA5YaYrIHyI5jLcoA6cQHMczLJC1OkXCayr6FQdfkENvttsEt+T3qLQxpq fV4DITxdfXa2jih0tn12XsOg81BD8Wa3cRtIy/3BT7TSPaIurp3wGgRVKepBwZS1o94eSBKoUufn s8t2joWTvm4Z9WEAIxAoeru41Dn+vIZAFYRlUgFE56puMEXzp2FMkVo+rwEQb5/hpzL7cWdrNVi3 aHpe458KnI26aq5vk7bHPydZc2yEyR/DECKaHuoBSKxOgy8eVKCAiCEyYpxbKpOdVCkPTaIbAn5L XeV1EO0tkQEpFqpBl9aJHNX1aSuPz2vkg2Nr+vSoRtl4+vj0vMY9OJbBxzNBxMc8nSeP1G148mDH Sdo3Opa7sJGp+OCgbUzzqFo9J9CGETx7tOckHZRTyfNSSSjJ9HkNemoyBfau1dPv6jlLMM1hvY38 eQ15+Ib5a29VoHsHwf+YnddaI666hk4/MwIxbaZL2wADY4WPma4EWXAum1okVbM0+N46Cf0Cl/fM TkUCAxgSQrhCMP3ZRyWXi2RrlEsLZmcl6dcxVRW8RL9UngZCLgVPv8/OsMJ+J/AIpEr6S2t2XkuM WK8JfG259SDJaK5rM1ruJj92YtKrjBSsnWGCP0os9ry3FhhtJ78DemYAAjHAMAedpMO7EJn8yu0C 2Ohn9FpexDdAeZfeGBZ2p9DnRQ+X4jWh1+JifewNFA8Cidh69Z31zmbjbVzOebOnVc2A7bRra7fu ghtl3D5jFC4bX6SZeLYBOFBZPHNVk9mstAqyv5Cv2FsAxxNqb3q1Tez/cG+x0EHXEQ1Wfj2ZCjPV RiTNe8AMHlG3CeKrQTsHuAaboChiTmxYz2xdfWLK1BWagz1ZNqxRFDRiTRgyC9PHM4jDk3KBAK5+ 9UYFk9sLDs9g1lbkE837sKgg82Wjw1mtMwIgOejuOrGkMDC4Jz/vLDaczvsuISw34hDSjXzeWWyc ok4uTXGoUW73AFpeeWV72UA0wRRtVcv+m8R2jh3WlLqENCdU2BEZ4oNOlHhW+rqmaku5exQ3d7Y4 hviQ4+FDAs6nuLmBePQeOMsMSTMkOUDAWagPYD3N8IimNn3fdghtQ9IgcS/V7/cv1ENwRnd5hU1h seaDxYL8Nj1maWbUYCeGFCTBipkXbFYt1W6wpJcNEi+lkntOH3SFQTmbyRQkOz/vBLaar5lzZ3Gj 8ya9p/Q7fw3bA9X7vW2brdTLgw6tDnwsnekqS67RDFFUbFcmGRyc49fmhL8XG/nneIbOu4LILsg4 lBcYEgPQrMpGdA4pcC5CkxbnZ5/AoSUJrUAD8Z27hk1qzbLuzbIFj4N7UkchNH73E4ROM3fs1ZXF AZK7HiIEdjJ1f4+hPf+ahVULDo7nI002myo0BB2rELYA71OFZ14I1qBTzztzzWoTFdju6oNIkOfn U7oENZz6eSeuIVtI/TsBY4qDu8FmKIyiIehbWupWkBZKDQXpk3iYLOsuoCKpPBaF0fK6nmkUH7z0 M9CRxqGB/v35QYVICChEc9m4ozI3mch9URjdqhRsGAlx0CaD5yGh6u2c/Z93zhoz3P21yeqJwhvt bTVBURxNnPoaVsk4eUBxxeNE1qWVN6rDZaJAWt1WiKL6cJs/qJmULqHRCdO+QqMeqCAAyYEtfGUQ 9tbLvs8QStXnUmnPcMEyRW2NE19/3vlqVU0F22V8OewyNJptit6DaWRi4MywBEvuY78mvIP+esQ3 AIRnayiKpkdV7YdOzrO5k3M/66dA8140Wth2b6FFcI0VkONIIDYXEfU03qNpRXzoZaw7eA2okUgO AeP8eaeqIR7a8/scGsR/3wmK4mkIHJ94IpkFxHTlEbYuvbzagfHRBEUBdR+yD6GnGodbXx0Tji1T DcSdKE5/EoOzGRpSWAZt1hqbU+8aTBCLZEuEBw7q+ZxUjLEbeZ6fd5paFY70mSDW6Oo9hd5Jarjr J01Elp9CaotNnULukVGurPg7R+0JGKciaodEI1st8F1kP3CAhstz7kRDjQVoSOh/idZAxujzTlFj xMVCGTrONjjLAUJRmKBZ8uedoWbFKpsgHlmQq0zPDEUhdQHQqjWfodYMmZbb2o8LL3hNqrK+h9Qq C+AalCZLf1TF01kn4JITjoYGDQ7ocz6yfLRgKbHmuNUg4vffCWqM+IggmmpmzOwIUJTotYZmm593 fhqvMi94NKslQvHUZyiKqNXo2XaTrUQhK2yykWp3vDULIlpD7xG1m1/iIR+cnQa4GQTpCf7MYIGy XoZfidLi2crvSjqmXjYKhwhlYP9Mg1WEEri5vJ5q+7xT0/COc3+nZaAITKqKc4bCiHpQ+9A9Ztcq F/npHlknokbGqBmKIuokqpUEH269huyGOnAQMW9daKsC8QDHUAiNkTu3LwdE8iLvvLQqG106rGwf LGDEZWvwOz4eZfbzKxwaMlG6rYwoogZQddINV2WhtNdFWlcvmrXtBel3ThorH+bKl3y4zAZgGFhd Hxn+eeDswU4+s/yA2L20i0Ajleidk3ZbYQ04Wxu0y6AlXWUvk+bnnZKGGbqVIZ7xIEa0cpth75S0 6o5Yszhif9nKgT+oCSGfgwiUbh1EUVm6s486Mps5I88nYGwnbgA3neL9Z6dxEYHpQGu1/EMosNXs kVF+3glpNVtW1rvkRbrfZbutxU4R1CXW552PxnXu55C2FKrh5bZ83vloVRZBc7s9GKTpTbwfrc9k 5aG0XI/1nY7GqIEzNJmVcvCG4T7nNvpggsTCFFnGhbA3mLC2KayoWkts6WWD6iLzsiG4AwebIjMd QIq3P+9sNPyueXxd95AMO6nE9BmKYmqwTFfy2vQ5qreLZlmgrcS1eMvwPaaGFiEus5Vp+LTEgVeB 8YR2M5ETdLbZSeMrV1uF8nnDUT3HFWOlAPznnYrGCWLdtcn7GYbrsnJekJwiHPas1v15p6LVR5te 5WmC8sotD70z0bDLAO5oddlB1AhUl4kICUDcZSsNa8i/E9Fwz7BjOBvZetOlGRBSJzQ1yMA7a6ie Uz8Jp45CFy5gfBFeQGtD7/pbxDgqz6Hhzm0Z/hhDmGjw0N5paFViF1+7rKPmIicazlAUU1fag6qf inMIhSDNUG1PAY0CItxl7zG17Nk6SsfJB5+hc+abCy8kwHOV1Wpj4oo1BJaIhYwUJP+8U9Cq0JHs bCQfLOmAk4sMVGv9vDPQEHHOW0Aj24y+arX4NntnoFUJQ5/b2SF5cFNwhFDZVh86F7/bGb0T0Kpo Tx1XTPbB6kMnzju/BBpS7D7DdNkaIRASO1/NSA82Hyf8551/Zk0u8GFFYNhOYOhpFNnvnCnPn3f6 WX2s+bqUkqndtYfXGN/pZ9W0xZfEngha9Musyx1Z/GpUuTRDcaG6QmC549rXYDPU2oQgBhgJJ8hC 7srcbYF+S9XVhm+9GxOm6F2jGSLQHAZbNljekajNTMme/nnnnvG6/z6pWdPjN6MJioJqvCdqHtbq mAqqO4mBw42ce+p2l70zz9jEQllsLsbWHHwJJaDjIFnOJbRPnMUEBfVCnEzyv3S44tC7BokZxYxm ZrV6ulEJbBbLMI5+5ysGB3XxHj3PLJyMolNxgqKYGscVRGg0F5Dv7z9Xvt9h5qW4htg75ay6OBaJ +Db4CspsszLrSIDjw4IUkM9Js6V5Uvt0jyFKYrwTzogEwOaaiSc7kexMblAy2ZIqwZ35eSecYYIv AkZKWli69d5l74Qzfi/wSyfij2tIMpD4IYuQLU4RnKpsiqIydeL5u7nJNPgUbdzLkAw6m2xiPVEC efHwRxh0KdV9FL1plJWxQd+JM+dg5zR2mMRT5/y8U81YffuufYhDNb2K/840Y/96s8Bo4eImxFrd REE6aSKynKjwTjSzdAACc5wlDnaRNRCoKiJn6s+eizKLZIU8DY6Ic12sa6cy/TvNDKtdZbMqtlk1 qxvU/kfR9z96/byzzLASyoXfSTUMdZdx91gUUANvOnejTh//NN2WD0GYd4L6Ngmjd45ZdVrnLFRz 5ODtxHNVLKryAw6cQIPAX0lqdwAROvqjhtW3XjYqMErf4RkMClMAdf0hgZjvGBQYb7OMXyDO355v hfGdYsbUnqaX5q4CKph3XKmpaTy8m5W9M8zqNXtaqlLLRWSKa77gHS9x7JPR58SWPQ3D4Jr7Q5Cb pfad/cR3ghkL8TJakVMopHbl5jBpM8AO2DoR4zvBrD4mqqboQx3Pdssf7/wy3GU4h2pJZsyXc29e Qltm8HxmEA6cmqOwTM1m0BLvdeWn1XEOsZN5d8vLFlCFQwQFIHzOqTsep+JVst41OonYr9+884mL I8A5g+lHz8XzszKf/xPoil2FOneDa4dNURRUz/PLnBMha2JWau5sAMEy/cuS2bXWFEWFastZWxs+ eIWowTl1aKedT+u4tFFDW0hdUVxvFMHQMiKo6p1ZxthEcCqxOZezOQfUlZRYnc/5vBPLqiizX3jO wi6mn9bvtDJ86KbRU3IBwzGKgYF36arqQ8CQ7SBAFuOYmjX8zDI0Bz+LTlQNKhnxtynBVZWYRdAR B3BUufVbIRoU639nlVUXMoYjsQa3CMXXyYjoZDXz804q4z697aChaglRJ16rfmeVMZLa4E7jsGet mico8rGG4tSZGPI32PPDef1OKiN2RRQgYRvy0zI70R2CuiJOMCD9LIBsFAY7bjSA1r2n2Ire9beY qHUqYnMQfugE00V+zifC+bxTyliB8OxeDuvYthJX5wyFYfUkp3wYZrqoErspXTizd13xw8lweWeU sSMqnZl5By8RJTjyFUKkz4P77IRKhFWFoAPafP0RC2XN5fPOKKuuggkvVh8MYrX3Ulx9It3PO5+s ynjYpggXGoL0lPxCe6eTIVSomQoO5ooxgEqwfTaqJgvslm2KfO9ssup2xRKS02CH9Vki0ABhR6hA VZs6aydQP1uRCv2ZqZkJGJOT8M4mI8qb8dCWxMx2iRmw8LoqsevE1e9kMj6+v04iagPPGze+U8mq /H/P+VOXFdEgNmroj2l1I2hKNcs83plk1X1mYZ/jg2PLT04wUfpgWN0023DrRf6AwBZBhuWudJP+ vBPJiLxnY0TeIcUZ2YAQZulenDOifd55ZOwFeGpGiBU6919LKKpUM7k/EYXbzLa6nJig4ixior3d Nf2dRcYFzDOaUh8abILmBoaucwklwn5krI6u2smO2Wv0wJpco887h4wTxKS1EH3PwYgbCXQi7rE9 Pu8UMlaIPDMTkwp/nl79eGeQVTPAzBCjUkC0hvcUKZqpgChB/kUTFGM/MEHnbw4fLDE7X2rGqbpM 2HmI9gOCKyTTllaPthiR1593+liVDjqSVpIXOSi5P7du0zF7zrzPO3uMZ9g38Yee6S3fFRRF1eDa AvltBbSxl0s4tTQMYp5wWdsWC6PqwYhxUeiKg1c/oBfTgSFHJJTBh1JPjRameMH+nEEEer4zx6qY X1g6lEvhYCvo/Oqk/aIU9XknjlWJ+T9nUMfRJ5Y9JygKqaGRN7e0LomETRZHdzu6CzH49HXo/DlR z9XKHtMHP6VT5oriFkPXo5BFJQMTKgWzLt9UP0t61+CmFz+z6sJnj48rCFIQ7L8CEovHg3DxElws LNjgCN8ZCiNqKMvubSYXc/Vs2diJL0q2AhqxM1pCUZk6Ueh67HqHS4/q+WzRJBOVEybJnK9Q0ZWS 9TL+Kl/HdIj9YDuaEg82aA2VE7ETIIWoC48HffvbDCJ4jTqQdV6aSxRSQ5vrnM7dHTDZyVQJTSA9 ltCq1xj7e0gt1PE5RQolwHZxCbB+MnpIaE56zsEYdEwWAjoN36AWyYK6Imo2APAZUc+VUNhKyhkH W0SwQTFhworHI7CwL6Km0BK73OsfPYqnQd2Dfrkp8DcWaaTSMNj/oPXV8FZHj7Afg8k9SBU+2ASV dWLCXummSEveLsQ1fAtpPcorX0nZpJJFj6rUVWp7RU6hXmNB+ywNZuuoXOLxqAh7m9KVSe6CiJ13 OnpcpoYQYTMc/gJxWTfZ+WVsNZ28tTl/tUdlaiHyx+BK4uBo6gSoMWabIL0xquWtrLugqisImki+ wKjgQ6IKEZsiLCktpMGiW6LEV2WhdvK8gucDSsdsXwE1pf7JK7E5CqmIUEdblGuhCtFYFx+jDjUd CrgmEVH3KKI22EcS1CrN9szRIgqQOQe6Eo7+gLUjcNelf7kVU8aiRxF1Yao5aN2oQSfR+bFCf5zw YeLxYIoekJWEQVDUwaFpcxQREtFwmIr2iUPLhvBEY8v6QTiKqkkQ9TCmlpLs1nLabgjS08nC9wkY ZMWXz5GxiRfOYAMir4U4x0UystDYo2L1YoloUHr2DOefBpfDAHWNfemzuvB4cJ+N+rWK0Emn8r1N UBhTDzgTFOu1zrqs5gErmfpIMwzzl+shKVGwj9aTDw7UgyjaZGl6ABewm9CwwCl3+EYgdvOjCLcg PiO48KuK1VLcr65jBWADO0mIPisej9ZQ/oqI5OZ0oQ09rFXDriRtM0s9kzzMgA8gqMQyCGrVlNvv /DnRCmIqUdi45+Bw4d7HhEQjmGWwTYVeXIfL3Fk8qD1m0TVM0HLqZaP60HrDx9AevCvrGBOPR8SO b/YUBB4aYw3N0HtQrW72PBFqUnWIaGFWhzoADzh80O9AysfqUI+C6m1k6DR88BlqmZBhRkQVXz0K /udEQQgFjAxAK0a9SzRM61GlukoKbfMY4mBAxgm9Ad5meeLxKLFfX3mrAd1vPBTBqUmolxki9AbS pgMB5Y8RCcjpsuGS0RKKsB9Ky9CV18AvlPSyAeWincyDF+UNThAwsANe7dBY9kMI6Et8RhAPifCS dBalcVU9gBvlIdLbxuPBClrfnPqTTNcfNqpshsKgms4fy7QGJyQnrXzWlIuwfNa2FWF7VKamsug5 fuYdvPSxcFFSWRc6nwXUC8wQqqa9I0YpD/ijUzu+R+AP9cwmbXM1GGsB9q6copEaHo/I0fOfKUJL PN/UdURBNSwt5yQYHqnrnH46977rsNR1Au/FmHFEdWod1Avm1TY4Nwitw7KHdHVPXLyputehMsC2 J6bp+uxkvWvUD7LyWfbBWmYThROVzzIeD4Lq/g83CKEq4IQ2QVFQjeU5N51UsYY2fbVZHVrZRRmB 0DRwzIiL1LzCSl8+XDDsuQVSlsbQOe7Wpic29Cx+OnRe6FVreRnNY0ZIUaTTR0vsKXJQNLRBn7Wj ZeHxYAmV8nUMYbNA/cgnKMRTZzbrq6OF98rOnepzG2mBWFxNUFSjzuw2qzaPAa8+rG0PtV627XeH 8RS7rmOx+IEG1rgdRWRJA58RAD21ggbVqyHuZEsBkvT8BU/o0PF41Oj4ri+iIgm/LZ+gKKAGfxnk OGvcl1ouEnYsL5+V69Y4ooB6UZlhqis/CWDnBKVRYekCeD9ioQT1E6L2AagoDKhJiBI25hzEA5/x Wz+Rkjw2WHVo7il573OY4fG/FBqIpsbV0NKdoCiaBigLNjEmQdBkjo764k6u831u5WlZ2YiiafRo GEYPHxzmCSOdTrcwAGHXkLdcJhSRNdHRL8kVST8/JNhjBJqviVIA9DXwbj9SFzzbmFnZWU14/s9m Gc90uOvtewqF8fQWu6wZdUpOX1SnorMk2WUbE8CkbETx9NIVliTAlB7iyxjAsYDUjr59hn4OfVFR cQOR86ddacHM+AafEeSt1KhEtuiDjumTvBQSXqG1iscjdMz3KUTq1KpePxshmHqI+2sl2HVr1H1u 8x2AKCzMkzlBEZi6sHcxqyhmtV55oXliod0BVC2oE7U5KXUBiB2EbqupRKlRRpjnCGU+uB0XAdAa tMmoE0km+fkgPB4FQ87g7ObegLzVAfkjKlJD+fAEQ6ziU/KjOvRDvBJBP9Y2deERxdOTQFgYAWsg 90ZKH5BqbTqGTqw1dAxVIvMQ1M7+oM2hRoPPiGIh8aN5kY0LjgEOtgtid+IuPB6ROv6RYKo0XvF4 eoTx9PnQ2WiNwpx1PJqL2W43wPaWuX2PMJ6WrFklzoqDJxznzE5rNCUc4CsQGpJZgqV/WEkXbr61 hsKAuinjoHNZBeVKcPNzTm4ZBp4MZuH5IK2vvogkXTAhCn+nKAyoz7d4VtBSGH3C4mz9aPCfOlMO eFUk60ePsEqdmbUOscvGN7ssZ0jNI1qsADIi8YJaDGIieGafn9a9kL8lphNWqauyViGsui+iEzeO zoMa2C48/lfhA+cQKkWNZyBnaIZF6grNj8Tm/Mafrury2rt69Uy7rPPnRJe9oIlcRBwuSm9OyIFT r6FUJJv0GBoUGKKG0+2VzWLvGmStVLSo8mHgoIMaDndLXh6t4fFgglr/uskAjSLO1CYoiqdRG5lF dvCJ0VCyrJVG6Ja1Uk4b0dAMi9RZ24vHEAdHV+FeZziFhj2a9yz0p4S5AcFrPDRpai7gM36t4qfU fLAJGhL3xwQVPP6/HEPY9+2JhmYUT0OWZPZpdFawgb222JqbxgOnYd3WGfMTCfaYEhOej0vV+T0y DCoYDSHwJGD2XLJoO6Lzk6kBayRXihHMsEatXKz1O2hjnA8oy1jAFY//qasjPB/yZppca46ikFrU nNWN1LHkukTqVFoONz+ZvSVlM6xRCwsrE915TXQ7zMLhlpynyovbTD8rTZWB4ietwmwY+9K7/lbG H+xEatAxVM51oPLiyWHweNSyvx1pvtsJ4NpT+phRTA1Xw3OGFMVDywS+EA+NaUpxpE4txUMzZCgy EIJ0gg8eMDbsrkk3z3Ri5jaJ2YdDLJKEJTctSzqQEuIzIkULyqeYe/NyvUVIEaht0M6tj8eDdmJ7 oLDkTkEZtw2/zGZco6bUhzXJYGN4E1fY25rox1V8nRHuQyXYkZ/hwoUBYbDMHk7AIsgkoBnQoPhZ pL0oICKsYUYxdWXoNAqlzzg4tmpREehk62Pg8agCu75OIkSurVxdwRnhPqDLNaWzipMoI0GyLkfN zrYncE4TFNWoJfc6ZcDIwSaooTq0t3RjAKBr7EnXRmTcmXg49Vx15aJ3jfS9iGfgyYXBTM/PRZkk oQqODR4PsrL+PUGsDT0h9Qz5iU1GQtblGErn6ZlbevXSByU7eAyF4nnyDKCqtAYHMIKtwBtAlrk5 D1kybV72uOJuZk+9JXxG0CmTCxwERWxQeREW5E3Ys83HI2jVNy2ILEfc4TZBEe5DFFftJmRlrNkJ kT98i+VUXBJ3RiG16er89zndcPAM1G5oaFLocQlF/A31qvN7bRLJVaIm2nxGEXXjZuwyze3ZbFRz g/OyFD9OEInHI+TQd7gIs42ynwmK2YnrnDysKJMDzLKviGXFdF9L6qjCaYuFih+Eu+xEANFO42Ia UkHftqllf36t3pnXk61QQaZrorzrmC5619+iodlH8sGod6Uu1nvruVHweBQu/oNeBKcjLz+DVhRP QxLzBInLNN5bHQ7GL/0xDsL25QpaEehDml1swdvgNz0KZ2OKm4j2bZbxBHXeaLb3gPGlyr1C0Iew Z42H9GzXdyKdi34JWlUrHo+4iR5Ps1/PEtxlka8Q9JGUtVpaD0yGTVCn8hEP6XlDoRWDPpg6VOYd HG67vqxRjK0AWMdijT8jowbY86c9tCDKL+AzosJH195itIj1NARNODEo1fN/+okb8Xywx8olTilw avi/u4ZC5WlghPfcTiOvbTjqYxV3Mivbj+kVoz54Cq0lciLXbGMBFmW4E2EPkV5Aq5dLVWG+SP1Z P6YB5m74jEiZqarksX2wygdSjaaAeuDxv+QsJOxAbNddQyE5kce0Con9aglL8GNlm6CEsFcTFIKo OyuvcqIejxP1Scmwo7aKZxBmUhukECtOXni5CNhJ7NmKK9Qs4Xeq63CwTTZN6eukxBWP/y+nUC6D amB3CYUK1GCRF1Gkkb8W+kuwkzjSxTMMF41ZMTfRlLmXD08oBIYCwcDgA5VFAjAhFPRrgrunXWQ0 A8ZnBMUz0cclw9ivDCNNXsh3gUstHv+ryXEhsOvyW1cUTFOnknw44vBzJnmT8mfLa9UbNkWqe6xY 7kNSKFJbLg8j6ESHHd8yK0N9rKVm9EAUNKAokutNOAqdivAhESSGSgSDwSIHzdCJLMbQxukDj0eI j3/kz4CihzC/T1EUTsM7BP7TihYX7gXbZV1O5kPBkKlZrDCcJhRozLR8sKsM4mkQF1blY4+uqyyx hgZeVk7jursxFMOHBFMkZ2WThZXuZKIaa59cBD2lhscj9aqLgsW7LVwV6y6ikJ0IPdgNPwPWhjal brmINgyKsgvmJltEIY66y4JD6jrrsXrvFMdNFHo/6QzY3wQxL+oRQAY/7Qv5mEPv+huioZIdrcG6 HBDJSKoNdTweHNT7u12PWKH1iztbMTUx47LfRk1EicJaiSd491biJO+RctRhiXpIarkWH25tKCHj q1xCtRLDiPorsCYdwgPsbajZWiCmy5cNtE6pzDRG6z6o8JFqErT9fDUVjwft+puzMnSqpLF7N3qF FeqJVJ7hC8kcq3q82CBka+TNvcx0esUBdeTMDdyQ3fX4pitrSLBbwepBHaWMK2YB7B1fNoqHmJM1 aVo0A9qek3rB7+aHvR0+Hq2h75Ma26Y/nbIdIj7yYtnDtE6nVKkHO2X9qn0URwnvkJloUsLzDr6G zkVYIYFGYSbQQHmYZyTUnXqBZKumx256RxF1IzERlSYftITO/aIQvqbBx4Pa2RUZZGiISLHdnGy/ R9RuGNDdkBvqXre4WL10lkFO0gSFYh/ymcgENPRc71XW4SnZqihlEwX9wiWEejEBw1mniq4ySuLv EEfNJkedZfrgJWowJ3n27oHHI9n3b/AiZCDLusizHQbU0qk0jQ+3BsYSytME8k/Wmv0Y2nFAzUBx tjvcnAOk8ioxiw7aAtF7poh0guu2PF4snFh8RlQ5w99cFALTYFwFdF75S6+U8XgENE9fp1AW5MSv +h2yEoGqKmOYMH7rvoRagWy9RIdOTmWa1DuEfGT5JO47eLh43r4t6VSmXiDkTNUz1LpoU8diqnWB eJHtKKCm3h7UopIPtoJKJ2KN5WY8/pcIo2etZV8ux44B1Od+b2OablWvlpxBcLkOmyCU3W2CYlKi IfCXD34InX0E5B9v+gJkGQ/0Qn9w1OlYe7DKGUpR+Ixf1T4k8s1BKwii1wzgT3Jd8XiAZ1iecTAw pAr2FUPZoXxeg0olvlVT+7iKQztf7wAINNkEhYAPgsnmlCK1Oz0BlzfamhWyNJggCFpRRq6imn52 VZFjiYHw0aDFZwShUH3lcpzVk/QP9dz4eDzShvNwmioSmbfEvcYiwMeJIpCzpm4TxF4nV5DpNBFA fdlAO1TPU9keO9QGX0EQCAOpjBPUzmFBCX0QdyBkTw/i24nu9q4RftqUz6oPqr0m2KCIAtUKHo8y Mi8MkVuF5g+UomyCwmAaKwiMXLGBSGF2Y9vV/QyCmLAmKMZ7kJT4NTiq6lxbaIxVwc7ODSdNc6Sn FaUe2u5xBRX67+IzglCR97x8+jTYCkrw6uA9XwceD7KNKw3HVwNeEpGxTVAUTCOBhLWC5gLWCk6X WkSJsxM9cJiRlLhj/DQ5FIk1n5WeRusJCk8sRzc8HNInLioydsW9Rj+IdNsb8GfgZwRn0DK9s+6D QYbGWNQigBQeHo8y1m9Jc7Yb8tUS3lEsfYJduAU0JRaLUYkMbbM0qrHFILltKyhCe0jlY3axNvvD 2pwDtlstmaPdzvTZhmN50RKfbd84iO2NHYp8EFM11VGbKznorLSckixIS8PjURx0I0WV71kvv4Ld KYR7kNjapiocyF2zyw8k9Lb/L7HNSvekrh8UZWTESk0qCXFw0NBEpRFiggUAw8Km9LnxIe91YjyU oPYDGqIsEz4l8t+iKJzwoBVa0UQAQmVmqnnfz4/nD/gL4Mm/DMBlS880hfp5mCayaYDvmDBYEyoG 6nA2Y7vPbOi8nOKYmjZToixw0DSd0Ai63WiWw6TsRALMWE8Is4HdARQPWf/1IM32ur+Jvzf6dmnQ 9z/2ku3p+VUanw/yjn9KaKSPAqfss/QeVuMxVM6kio+1z/lkvxXIbysy9uHIj5xCKHUT504iTWk9 cfW5z85Jwzps6e2kVJ3pPQ4UePX+ZJasDfohD9IU8xO55ej8CBOB85MYI8910lCCfce5LfgDgsio pu9pyoTqPYsprFaflb8yIVGso5XkMl9lr+Z1NNJWNE2hWyIFvprE4Dn4YoIeBrmSNLbPlOIEd+pE wcA90BHtRo9l2+tGWEaC8me/g5J8KP/xXDmhaeXzEaG8f8WPpO/vfT1fUhRh01tpzWLCKMD5OMGM Yv0UIELnxbdcVLIeZJSTEGXDnSUEwKSonTwf/Gka3020DAYAyrD08FoRBSH5KUHfg0VrUiptsGLR WaxbfQ/4LaQQBJLndxpSsKCfPCSnsG4NozUW2InXQ0vZ8HrnP8vgaJu/hBZTWLg2Yof49w7zYUkN 8uCku8A+8Wy1REowKiADPUwAJm+uxjAgpxAHYuK55Q5aTNBSTdLYSZvPv0+TNhv/m4H5ueLausp6 ZLm8H028baYZdgDKbTI7bVC1HEXas2sAV9U9F4XbEq6kPrcNvprOkVHmYCf/XL+FDWb4CsAJneXk 9GW9MPx1I7UmgkQrFYk4aJrS2k26b3N3Pv8Xp1O2gjT3eg7wUAcEQimjdVtMg14qrF+jZ/EjMSLI mvqei+X1KG46RvXBZgmk1AW9N+45UGGAGTnzltBLRcR0D6ZCpUV+SJSVcHYSmfgEmSgWgFk4T6kT wTY+/6cgM4+x82u38jgJpRAUspG5peJClnW6qvdcxofh+X1t8SI1kJqlHseYiYPHlW22AsFYrqUT 7kzCZuD+/NMqtl92w1I3Sk6harXq/LnfwUhnY3TTZG6Dzwc7rnp2S+jVCWipbuOTFNaxFw0VjUw+ gTKySerCG1FJEa0gm6SwkM1WI1IaH/xcgpZDaoSBwgaPsvwob/CGgYqcPLhUYyv2tpGkrnWLtg8W fcPvnNiZwbAydIPp/xoKIeynf6lmKXRYRMp2QvxhSymRIM4622wkgCjH7T5LgcXiuX5IHVppVh9s loAqGrRARJJLR7KlaKEgQ8CFnK8DZfO3jVjC7DdKiuZCLM4dvGDeyDIAXLdDk8VHrUD9pQHZz7vf QpdFNY2mKTXNpp3HPBc7yGptqTefpAgikpKYZ2zvc7BaEjTwSk0MKzP23SZqtBP5xkO4feH4kr1t 0BPhJJ3QdPpgtZITUqgYNEfl80HsfQWKJD5MWPyz4QKjRWjOViPkY0JOomUGA6j6X4miCiAHqwE5 dFqUdvUqXCccHGnUG1TEyhIUCyuA7TeqrQLL3CnAIwZRafa2v2rtyW16qEjNekBXonzO7jr4/F/9 Wa4afDXllrVz4LUoFwh4LbqjKVXppUEsOeJC7xxqXXb9oGC/bYkzkzXMwZbSQrw0CDU5+w2Eamlj UCUZpVROkildsYWdA7NFv+BoNG8Dl1KFVoTEmVaufD7ab9+QPp5H62rM5NBuEWScWcvyuhKVcLjf hmrbhcku+vvabyGfkbHkrFJqro9S89kXnuGMnFH+J8XhBOED6u5ydvT8pMssL3RcXKLmixo7BlNX SqjstJLCALh2hpaLZX3HShVV4v0ku4Hlogoes65mgletmD0lTiV6v2KWzrXXfCmFgTfX0FQBd34V cIGDPKE9wbOkPGRqPMKfEiiq8mOAczH2hr1tsN/IOpqLBGsOgmUBmCC30hP18flI3PIf23uUhrDj fZJCVmNaV0UWtM9Fdj7J5/R0Fvm8O342h7aLS0cNMTManHAFcZkue+5KvTrqqEwwAxAjoCd4BUAn AaI5NF6UpjVU4n1QEneuUHpKYHFuPh+d3R5RUusRs/mV6obWi4mzJIMh/ImqvoKNcNmwCEe5VZul yCdGzL0Xj/dzUo8TCFqhsqpTDiUMRN/trB0I7F4Rg2pv+9sF1/dsPuiCO0d6ZdP+/Bw9H2H5/8lN NvOTZ7+FUTeAEYWBPaEjaV+2w7rK34PVfE1SWO5egmbl5YPlJivlE4GBdg0tWeBQOEkzwfcMvJVM HJklunXY6wYK8tuMF5cPipXORl3qa8+V+Xyk/f1NTqMCYHsyuNCBUew0WpVhwxEArBLcoM8kYWxt uYR8ji0Yu0BaEi6a/Ybd8EHbPdHZq1Qgs6n2mCBNBtmqH9TAve+2kr1tpAbKCy5RfYaDltJCLCpZ +Nn4fJCbXDwtEV3np7Xx1ExCF0bcKJAWMtrDpLS5bCrLMoDEyS6aV3NDG8YlhMRM2QdHSAA8Rd8A INk6Ch0m3IxJakg0bwInIFsOfRjNTUfo9Z7IayaGBHQBilsXrKTAiFGM8G8QCY64pzMQGjECVAqR hqmS94mJjed4TmuYnbFKCbE7n6QQmC1VA5b9NTi9CL/MyNxv0MQHOw0raUO1kCAJLHjjqMmWOnRi FBKpKDUpNzU5WXqRGwF40Xw+KFKW79QEli/0ffZJCrVDTuwA5LQVKRuTA57cozOp2wSSOPA4B2aM CkwBshF6NI9b8e7gmvalijfoQKBY4347Jwe7vQQSGeSPu52f8msCJ/VdeDvKWACSgX0QvXIC+80f ELWZ/q14ZyC2ng0XwknOvQq7equ+GT2Np5IKvKy+7dx8LYUAbUPXru2DNwZaWshVwOaruLlNkw+t /QXIyjn1ys1zyVXLsSUjq5NNXSZIXv54kykR8QHTcr3lX1SareMM3uE3OQlNGfGGJ5da3YFtuXvD m+QxlkxSd0xJDlwZ8f7E+Q8KXk9XoeaO22uBUMaIEopYvARrEjZ7/QCE6R1vqj3l2JUxS8WoLx8M VXJCSmYqNafM5/+ip3sYUMnntkmK6t04P+BGYHUlQ5gwY0CfypysnuJb6Mu4dCxlYf3zLQakIURp qjqWzuHDWi83V0WzqN26kqk75tCY0Qgj/0EvPokfWnyMEc+H8fmIMOJdAcpdglrQ2gWz59CaEXna FICOne++hlff5JjCWcq1+yyF8nxyjSs8vDnYLG0A9FoR9fEs+JOVdB1dkLwG++GxSZG/Zw69GQf3 24SMgg2apZoNMlnPJcHno7C7f99wWMOPJE0O3RnxsRPOmwYwGd08v1BKnF58Ay3YJul32espQPv8 ArSjFN1apYYhJDDhmyJ8QIZ4QwWKwSdp0Wo4h/6Mg65fXdkuByPWnGNPDhVlND7/FxwZT5LcPPdz KIXK10Wd3OVpbvVDCb4InuYK8t71g4IwQAClXe/gAeWJKGEiRiJ2n/CuEkc0E+ufdCBZQLmXvW1w wTHcPhF29sHINbD+kno6T+5QVWTMr0mCaDkUBW4dNzRphMzZylSEZ/FNKnREmkg5lEiTRn0ILqXf YdtNPMh2eZCg7595yZWzBCrMpLpxWehHNbaIC7bPVHup+uv+JhE+1sw+aJrgeySTXEiE59Co8YHj 8C+fWxXEQ5+l0KcRfXuw15LBbuUhN1gcNYAp4NbL8Dg5NmoUka0xGlCl3NZSAZQNkGOwkIBvF6RL MlXQB61ffW9/26hkwpttSV11VXdqbCeDZtKoandg1Yi4+/tU4qWxn+5SaNUIKyRYKVoUUJu7noMh 76dSR7fWJimKu5fZf1H4YZbHvikNqIgB8wMSCTSy2DUojOTOpgFL9U7S8LeNuFr4q4uyIBp0KoEa uy3f0EtGYlnfZoQVpzZN12ySYh1shK7FyI+zUWZBfZNRkrEkBpQZFXeHfo2ySmuCl7YLL217nSAq kQOL1ue5EHCtnMMQMr0DmqW5DZ8lKJfZ60b7Te1uBpQclJ2c/1SxMM8O4PN/SYpRqQa0oIc2mmPP RnDaxjIZTCjQLicCsKxPpgQhqLaUwrCb+w32gz54yWQjCZ1UXQMioADqCVLbmaATEFQdoRYsIb7X 60YSCNYyaT7YQXKiOdPuy3rLaMNdbJe63v/YNubYtxFgd1q461iidCMrS7vZvywZvCtfTKHWiJRB c72DFykT+hrUBBrIPiDcrsrSmSakYXn1eitLednrRkVKnEvEndlgRZOCIOOHqcvk8xEe57v+Bt2O xpDJZikKvDNVIOWHTt3e6kXKJj7yYhfO5ddy6N8o0XmSu224QJOT3EKLRQxJSPkw1YUt7QCE+8T1 t8G07utGW45ASs6pBkMtzSF9ivMtZD4fFby/Qd3yuPy64qLAG12ICbVSlZZExxR9i4woVikrfxwz 3cDDUU1PwJX29sELAsgAG8CZaHsDxCyDQoogQaZ2rMeLePnbRukJ8cqdS4mDTdI5XsjcPon64PNB enK5ExKfh2bEeJZSqIxdcBot7wrwu1X9LfUtajuqJk4kzbGL41TOkZYPvuHWSWpT6wLjnLkhDw7x Jb1r+w89gHzDpWWvG+lBrreOLnCITJKRnnQ+HwWV+/uOw1czx3MshQVvCPfCNhKRNcQzGWNnwkzw rv+HOdkrOWQp9nGkkS7CSR8804Vn0aRh6tlw5+Jd6GqeNXsCwonNR8lnL1P2Zq8bwQQ5S0mkgrQc slTRYqIL6l6Lzwcx5WVRMEvOqIG12m+GEno5QmznTDFja5RNCEznPLVJeSgiX4H9ti0Xx94kkMi7 iYPdcmfHDWimcZ5OlFM2hdYL0Lqowp65LOlGTNT5yYGbY6LcMHyxdctld5nDJDG7Ob/vnnw+iAWu K4YAE8D1tEsWyKGhIyzKgUpLTqe42W4vzd2JK1D1CgYCR0eoURBqIg+j9XgYAd+2UT2Bpu+JEfZu wspRwR36G4CuWbY7SHDPgaPj/2fsu5JkyZEk/+MsKSXgZO5/sIWqmsEjZ9zy7cpIo7q3PMMDAWJE yeXeCj/PQcE36IQyMapYTYGl43cix0lCQtAfmGDo6CicN8NYTBIoRt76Ltt5b9SBsEmKcN6q567e 7nAnacPIkTIAJ74cXVIKkNGG1AVo1NvTuKGAKfB0FAiBvNvpgyapZ0MJ1jr0fHDHtfmVxlXcAvkp eoemjqhLgoVuxaVENS8mu6nkZMnuiZO9bhK4OsrMHvmb8DjtkduAPHAjPIJm6TOXKo1INGZJWbpi viWrBBe6OhY14nqX3gaKtmRInFPd/FYgEMM/8C8VRHakSJj6WkpR8F0ZVRLhz6iyZ6cpb8pmyLaQ nXTNUixKQmDAFvtk99s/mR0kf5TEz+4CbrYw/pRGI9Ryc3s6cfdtI46g2pUuLH4pcJB651ecXc9H FK9firUArsz1HEqhcjbAsaln014vfVzJ2lFcObsCHaJIIDZ3NKqA/JzTY6EKB4uJHIKRQN8CKlUK uFR4Iu76yPy1bW8biUUyjVvkMo21XNvmrNcky+sGJHxo7/jYZPQfAwmWpwQX+jviNJhUAWW4lK92 S89S8CetAiIZCgQCg0fn6LShmsiodyVVeG/MTg0peKDtSo/QCe2VTjWGlB6oiWpwocVjU827luKD AoF+4nhDwqfK54Nq7q8e04AY4tzPfgsjb0ApdzWs0nl1w5fkjhTVsrgEnIwtpX8QKhMhtxx8v528 /wTJBHKVDU+aIm1feAUhlaOw+KXoJHvd6OwW55RLioOF3qMWyWKfNJTPB8nuvPgAdgFwNcJZ+pa9 Q7NHNXZJjsGe26MOx+T0XawKR51txUuB2yPWJeX+SMHR4PBlkNYgKkJ417l8JddRYFXTYCgI4Ydf kmQ5sHtEL4vobuqaaLBAoDGLIo5i8/lgNZXrRDeZ7tL3wDfd5zVaaihVQsS2my4AvoDVBE4gWUzr Js3pkv6vsVJz2NIqpfrgnQGwxUqVb/EJCSlZezalKWpYn16C/hSw/LxGSg1wGoInelk+GN4U8QM7 3yeA/bzGSQ3qrIomh4DLahAj6Fd8FszOSnQSsXNobshKODYAbT2kJrjbTJDsNUhqyVoCs6lv0p6+ CU7wPhYDblC9JwpoaMEVOOJOCo1fvwMDwL9OD/Rf8Y2qJErqlSghUEzORgVV3NfpkaCPTQ8vQcgP 0VCa0/MaIJ2n0A9CRmKWK5OuAZLVxH0vs4N2b7XX8Kh5h3ILE7DzU+WGKdLKk8AJiJVQ4QMYUzV2 kYU/kDdiul+Do4amEM0gGoW0lwTaVOMesnlE9vZ5jYzaoyMlFxKahhFhwcl5jYuwsxqqR5IDQK2k LIe8t2p2zhBl3XZOv0ZFDdw76YtTV2Nld8U6AfZeGdazbJRAdqAwFK9Jqrr5B0qKNjmseHxeY6KG mIZbaqstucDGIDfrpDJ5Su559fx5DYnwRY33Zh4tyE8XfdVosR3NzrljZy2mY3OuZHN1hirTMLNr qCIb9vY1HGpyEYaehKzDbvWopwTbhNRZPQIouVKMq2xQhNhUzFAcoNgION20lI8OHqK2WjdjeVf6 O9EjgM84eM5h9HmNhfC0GRWPSzFt8r+k62YwO63z3OnTYmoWARVTJxPgAJzUdVte46CW/sfsdwv5 IhxuZwS6M6kLRXLyDZEGE1W2gGbO0GnXzQUoBl/1fXZWVSG2bR8c/9cEj8AF9nmNgdpDUx7WOzrH 3qCqOGbnNQLCWU7JDZJXODslu2T2qjXfpj9aYfR/iGaHakczy6o4l1vFXqiesp8JECkggMS9JTg9 dFqXk3Bsuau8DaKtVeT/Pe+gS+vksHTFhT7O+LzGPji17rnDn47A9k45aEzPa9yDUxmYiFLMKuTk GnX5wSP7MNoYsWZILd/wVKaWTX8GWzxtg88EoB+qaRNcG2LzAGEYKNrnPC+LZFIV6TXoaZ6NTdrE abASf6VTC3sb+fMa8vANq28t4v43ob2+t17LjdhbdA9x4fCpCp6xbEry0gcOUwmPBedykhKd2Tin h0aK1pBpSY+ToZ50GFjIQrvfCUppARTXgWyNymzR9Gxp1nGWdC7yS50d1ZhqnqQDT79PT7fmtYU8 WAYqC3B6XquMWLEJjbdtmsawMnLFfh193Fwdel/SkogOZvYbz+1afLBr66S5gK2x34h5Ov8li2UL r0KgmGBS7AA2Ghi9VhjxE7AEO4Y8sIZ7YC009QWnQVnotb6Io2f49HChwa+1V5+ddyJbU1NnwXDR iDWkWasmxAyKNaHa1uVE/jk/s6p3XctTXDyH9gCek3agq+KYJqL2bCxR2kn0NI3aJuZ/uL2Ye8lY loNKsBXhMw9cQmmimHlN319yc0KosukzJ0h4MEVE+cPcRisoTXdwPPG5FYTyHqldrl80RaT7z7GH Dw5+OOEF/TB4t6/SeKlDIYcH30BO0bwZC4dHvmx0QOvoWd0HXV9w5+lS8al8PDqhl00R/t2Finq6 YfM7hQ0XGNhZBsEm1sj1WiD0kO0ImiyAC0UTzNCuSrfy8MGP6AYAX2H0k+FNOKguhabI+UJY6utJ K5TAR5Ezs4BFoR0bNEFwImPJACyVzzt/rT0kCAudQUkg6V0TFMXOVfFPXQ5+HKYg3qGE4Td8ov8u G/rBIW2AtWb113WJWSf9PD92SQyAAJpKDLMLgw/oBGWpiNkdlvSyQeaVNEMk03DQJQZlVx1DZaHR EZ5DfskL445SS/ZL7J271gQQhshMt9r0yssjxHVniCKKVnUNZ6hRGeYZNEMQTkRr0WTHOuQOOZf0 rARkHPlMpUha7pJpeQ+g3auwCbPeLma9zy1DM0kfvvPWsEVNMcJWHmDGjeqUmqEohu5nXietgpVh 9OR1sjSzzdC5nm+d7D2IxolPn8tEwBoHL22A6rqGZLVAWyyyYAGAoCDukQOhKq5053lnrTVZH5+k cDBM5MCves7pIagR/Go/76S1JvVNzRBx2CiUdXq4aYbCOBr11jIttzhH9nAZG+uUwXUXVXIrkEWB dJ9SHKMrBge/ywpwAaBcsdzaWT1G4b6J8OgOytxk3d71/RhqVZhZJmEctMn2yfl4M7RzJXzeCWtM cX2G5D97llTr7Z5DUSiNqws6skLxz6l7nxXpUooVOE5y7WX7KJZW/37OdQc/hzr7fEQXV5zT0ozO gN83lMdyeUywwGbhh7xPkUTZ1mJNhIOm6GT0W56n5978vNPVfoWLnA3sMimMcobeo2kpKE2zwJjX +RIloCHKKCAOm2qTXENROD0IA9nSYN1Xg7UjxOlgqRHEdxKzJLJtp68WxGtQunLjEPU13sNp/Zrk 8ycfvAhUSxLrEdI1UTy9dv86qOl3SWVBTVAUT+OAnbO77cPKpumDQqh585xLlfVzTlAYUA/NDCkP O5drHAIVzxOksIZ4JggcenbHULZoaFkudqPVHZtT7xpMEKWPdkMipUF9nwxLJMMSrc87S609dBAl 8/Rrl4IGa4hRRA2VRbjLFTuFdGILSeSZ6y4gIHCC3jlqTfJNdALtPniRte0TYnUqjuV95mVIo5Y6 YwBfty+9mmXvGpzTrFpPSY1wsC02KFAOSmjJn3eGGreYryA5q5w7NxFrxQ+N4umMtktb3X3m1uO6 O5P7gS5AOlVlfY+nHc8wsnSgs4ulQSkbuhBdh9A4mw1alEjJ8G8Cw5TBlPdqULaXDXIy16HvPhjq o0BNmTPU5uednsZq5/6+yRBZ5Vulf2enNcdb00sAQmPQc9QeAw7KBfsLEcpcQu/xtDSmuHa2DzZD UAAb5JiiTg+lgMWkrIoxyyXw9A3p+vDOTWvJNOvQ0vbBSkKjLYEZzmb+vDPTGFDv76IHoMHQQPMp CiNqQHhotKdmWHoMnrppsZyQD86CmqIoopZ4JjQ4ffBdVlof1LnFIsKlyIIrBdgnwI9wnLuoGGqL vPPS8CEExZBsYYMFjOCDMKI+8d/nnZbWBBx9ttmQb9JdRFFEvSYq0vJWIR7dTqSMtl7+cUH6YhXp d1KabXIQP1Q32/2WFYH1PAEntbILTEgk+ZsA62/gqaDZd1FopBK9k9JY+pDhpYRqkwvV7rM4dWyf n2B+3jlpTYqqX0Vp3PXskGiGooiaWRlcFq1ylqdJHTXWlTRDq7nF0zsj7Tmpl6KhtZ54Ea5XHeVd lKV7q5u3XUYABleRcyAACWRFe1yBn3dGmhVq4IzO/J6DZmicfFdCEHuuzzshzSLyZ4YKquHltnze 6WhYeQUM4mbtnTlHtRkaZbdq1aGSXZH+nY2Go7pJqXbdwQv3GT55dNE6K7XvigLzOYiQik8UoHL9 8m5uSy/7fhCpLDQLJ4qDZugsoSQozEr7805Gw3e9aZnMX9DJagTEaI7CmLoiX61Wnl7p0aTf1H9T 5orDV6voPaYGPpAJ2SJ8QUmvB0Qb+l+JIkdUkue/Amca6DBB7+3qsBJ883nnonGKCEGX8W5B59/M m9P5ksTZA7H8eeeisXU0vk4ihILs0tkMhRVqGD+AVm/7DAQbNw/J++6zZQX8dyYa3r4pVhzTB5uh k1TCaEwwfdg9VaZunfRYyFUNXt+qnzV71yimlo+jGhwuzXkW6DTRVxLR3nloTWIXVmI0IbtzTzRv AL3T0Pw2681r+FWVabY4UnbJbPgB2j57D6pVI+jQ2do+3BkC+FydlzToUk9Wf8eBgf2L+q3FjJMG 4O8ctOYeRucKbD4YeBEVfVJjS62fdwoaa4X16yCikxqEbKz3HAXVIF6ixWciIr0vp3qstIsVP2Zx EsM7Aa1JsPvMDBaGDTZBq8BH1sjDowEARcnsjYsWS3OkB50P1ajPO//Muly04Zs+aIIAh5gCa4z8 eaef8Tb5PqmhuVcEW+QERUE1wF4ns0+OWiQkQH6OOxWvMM5qd9k7+czTshMqUF+mXEdQ8PNQ8QB3 CtLuHbqALLGRXYv6RaNDsTFhit71fYKqhB/ryD4oYjy7jUbTUOvpn3fqWXtk+xH2M2JkT/bOUBRU Iz3BCjLW8CzTnR9ac2ODhBhYe+ydeUZoEM/prlOof51CsMzu6ImiSA3kkZQz7OTucrx0xKK9a5SX 4ZxenX1EDkpcT2RD5TMEn3zF6Jj+RjDACqHU5qWPd9YZJmhnIjmtik92odGq5dwMoDnEmmyC3kNq h3Tur8GW0Pl/G6o/S31osP9kcoRLFmrv7L3bIURFjHfK2U06lsR6Fi+ibmso0dLjRA+5fN4pZ79q Hypok3HmRep3whl+FrBQYBZsS2gN73OYVFZhCXZYSP3ON8PvA3R5y5Ts1eCbjNwyM01t57/VTrk6 mEmRcXgJ1Tjp+aZ/laiHCKHD6ZFgVsCqjVtszs871QyxyFrfC4gkqun3/DvTDJMKXZI1V/YuRzfK OZgk6UaLxWr470Qz5txcOXXcwXYYQuhzBlHCKJ1jrUqItQN6UKAwOh9H0E5F+neaWRNYlIoqwwdL yRpPeyTGvX7eWWZYCbdCzVotPGVZKdAEReF0ZzjdmxMWqZggwmLJDvQ4qbglre8cs9tsXZtxIAcv 4S8ohEIcDJLPabVBkkIGdbADfoMNculTfetlo+JZ5v0ljYfmeT1u4SEi3pp8x2CHjfadkqHpQKcf zVAUTDMQgvCSYT2o3q3iWZ3NceXFdXneCWZPr7UrJZOd0GSvNQMbA38u6BiDeimkEMi2xJfSXM7S +s5W4ju/rEntHtmqLFOxqTkv5+zKlAAHMusEi+/8sl8de8zsAlcXtU6bojCaxiFUhXCF9WXvPkWb DFS1o68x8Tu7DHs8KdEgRZiDV6hTzXAyrxIKg0sRuxxQeW5wLRmPMfEqWe8aHUPydqIExkC3hGBe RFubTWLwQ/l8lLX+qnzA77LUu4iiaBocokVNSszLSn3vW8Pv2nElM3PSDEUl6snS66KYhAbbZjBV gfCSttnGVbaFaSCLs4J2lO4iIqDqnVaGn5Nw+ylr4pld+WLUk4nIN/d87c87q4zv6NuMfSBUSOih JLBiGE5v2qNn9TYm+ynmHuKmqZDNQTRMtOKf4TSgltUHb9jD8BtnNAuMJ2kd7BgllEoGWmOosfgi GpTof6eU4UwgjbOTdoFhCZe5aWinGLnOzzujrD22snbVsxdwIXnvhDLCociaporaYPaaaANKIzVs LfruLlyKOKrf+WTtmhglplscHAsMxYucySfDGlpsWQNPfgZAhqDr5b3EVvSuf1ZglwnNNKdMn+SR Hotk03/e2WQsPHhSr14ibofSfJe9s8mYknXoFHYjA7PlZMr8IxfvtkLLm+yWdzIZ/k5j9Qz4GRsu qPPcsvSJAtgMPtSAPZQF8woYomA/P7rFSM4/72Sy5npOUyI884rwwFWFEkvIXNbnnUrGKvHNyYR6 RTBd7hS9R9TgMJSrpQ5kOfsl/xHkzxoTVOYyXOx1378zyZqLy8AQxgc7quHNB8QiOx0Qzpgy3gWb YDT0iHmhmGrxtpcN7vsqxn25g8GCd5b+NbbN551IRnj4d6cDyuj0G7AZiovUAMbQPUgO6RedR4F4 K56JQY2DKCxSgyF1EnoofmnwbYaUFQqFiKjPSm9tyAoLC7ydL0lnK6Wtiwbg7ywy5PVEgYrLqMHy +jaFXjyhY/u8k8jYBFjftz26mSl5jfqdQ8YobJDY6gHRSNYKOrmB2WCelKNuY2y8U8i4gnnb5718 8CV0juqzO7LareeoTqS+jML7fzOZ9Zh6A1jweSeQNVGbTjYmHQMOmqB6wiApy52f4PPOH2uPaaol HQynp6f17/Qx5mSJuWrxiLFUjxh76U5LWA5peGePMabm0lmqoK2rugPUPk4lsscS2q5Z6KsJvQvs N64ebTEq937euWNN4udIV3nlc9AEnR+nKJrZhY//qx99DdLbcwhFITUsBOasLrkz27XdBeTNSme9 X2ZCGFKj4HEWYr2Dx4vnzyxyxDBB6G1Xs13b+BW3UDF2BhHg+U4aY1rFuoe22Hq2GLoq2mLtpK3v nDEiq9pXuNiR1Ut7lxMUBtQbyeqeltXvVjQrAOeRiwht+VwIge38O1G3lVusq0pNzR5LW1EkhwM4 dZvGiYVkHAK2RaVCsJBkKp0lvWuAzRMpWgZh3Q3CTlByjl9BPiAA1qJ4GsLIX7EQglKaaWmCwni6 AJvHrg0maA1zKEZ/z2QJkDU5e6NF1WlN0ILyjQ3e4YAJH2zkiWhYclpHxoH9wh9V1ebydUpH8fTi EoLshQ9aQtBWZu/y7JmBxwPoWfkuDIHaVuu89JYonkaJb8IzTzOUr2TMuRi4x1g6u56g/T2elnfW Sd8hlm2DHUKwwj15Je138pkYMKPJgQHCBQuW5RmF053wzh6F08Y7VL9+3n49pNaILYYeYcXjEULY AbBLcg4dfTW/6HtYn6bYxzBNvdn2NQUdrVabIXpfa4Yi0AdXxvlZ2h28dJZOuLE2WRwJndZZJc+P YhoAFBt3iVKy6e8aJBykrg7OrQbNUGIFil/6JBw9iqdTLd9JK66JdiHCPQynz8kIwZLubUTLVDOM YHS5IRIaBu/sUXla2gwL1EUbLo8DUu+NPI4CqheqVkxakelgkwl5JnYv7iZ8SBArMq+vsD0+Q2fV jcHiSLBg59duBc8HBMR5+/XSdDhbu1GwT5MUchAnWavL0Hlj1+zAmGECV/CnqKZt3aOAerLwPBYJ rBwc9ZHJl6piKyRERJSyglDLAJu99C93YipY9CigrkkE31F80Ek04KrIzzsJJh6PSJo+R/KbBzyw +lndIx4iqO2zSIUQ6LPWjSQOP4pk4VAlqQCXWQ8DahU9sDhs8Hjx5KnnKFNPA/Bh8e3rudqhFDSh ynHxi6ww9qhIbQ37ppYrJPtIiAerguhZLmA8Hlxm4/uoRhZLT2CboDCgRqMsSx+GCqnZAurzqxh+ MW8aG2iCQi6iCeyWO3jpI4MhDPwUPInAI6O6R0YPpffzbRjk2EGE9YvPCBJ7csNHU5G6eZH6xHBr C4nfcVRHAfWT2EvbA63W20nsUZEaneGzA9Bk+w+ucisXl6/aNCFiJxEock1QjKKmAtqWvPD+xgiv c+jI0+LEDxOhNK3koD0I02KpV7mO5dTLRnvMJD2KD5a0QuwzaYYmHo/AZ04Tx4NAjjfas2uG3iNq 4Mw7FfarakOI5FUbOgfhohQ6ZmghqOMpFEXUe8vPipxfDoas2hNy31CaQJG6jXN4D9aGAMWi0Gf2 lKMkOqT1qEZdiRdqm/aWbV97y9YNhnN27sTjEfTM7zL1ZQFvz3ePRSBqRP6z0pUMMgOZcnMsDa2N GZcREQ0CuYQiwAdxm8DyJAHx+Q4GrIImOmnzJ/oYcGAlo3WdJYR1lEXr0CmE9iI+JEJ4JgEZlg9a QvlsaqXlJ1HC49ES+m6VZVI/660v9jCm7tS08JuszCrXgRNhoOhn1TM6AjAeimrUK0uoQhXYciuw Oa11tlCni/zJLyDJbdgzipDiwKPoyVajY+llg7yVxEQgqH3QOZRhHMNveEIuPB6Rou9tz/4I2tMt 37tsRFH1hEXqIiedyjDZALGwWHYx9LOIPXMdUZUaPmdAKuw72BxtcDfyYqUDDHGIMzOqPv9KqRDX BRLK3XWy3vVPzYE676ApOnmySj7nx8h4PIiqx/i67Gkclm/tY0RB9dnPaLNOAcwn+w+uCFOyhUOZ gsEkjodFaoZDK83igx3VcLVa8A6m2smqtdA4hTTfDuPOnZ+8jJ4xIyQmdvHqe/NB0dC5K+0gOncE Ho860vPrpEbI0MZlc4wQSJ1Z8SjbUcKuBoOvVo2sAMC4TVBUo4af3UnIKq2ms9ySoJZXKhlUIO5i C/RdFwuwEAb6IcYfsvp+2Z+XGfiMAODZhKsqW4O1OYCwkngSpNDweNTm+JW4QiygLa99jBDzweCf xwZFPVq+wDNSB4xb78TEEQXUi1CXLeeKLSLlkEPjSbvXpJI+W9ttGP8eeeOEsHe7oJizkAc+4y9M w5RxxfwyrqggALP+OjYej06hX2kZ7gam95qgKJ5GXezsAm/ZN5Wq2W3d28kKe7r4wIjiafBNOgmn Pjhq6OR3pRbaD0M6qheuoErJ3E0ofr/cVvBz+CHBHiOSOOFEhFUQEgjSDiAsWJhpnVg04/loDf2q wFJ7ffttP8KIOhWqwg47hVJ11Zy5sjcT0zUcGlFEvaQKr2bi/NVM3DuDxSEVZnw1rDYaT1dUO9pV FaSSF9812GSsn40t4dztwrkTmoVN9YwTL46ImMhGxD2FSJlaF3k2QhT1pA/T8Htsj6twVrLpVKeN 1a8JilDUuuR3Isyeg6+hnCACn6SVh31f5CeHeYHwhOSh1CgjunOEAh/kJe5Rmg+WtNYuIE7bfeDx IBqaHg2JYY9/3veij0rUhOaxoaakVUzyyWAoJ0d94KzSJosC6ingEDWDMfDVuYLOjhtUjQT5N00w XjBBVD1CVDu/UOa4afAZUQ2fkktl3UHFoQWArTxN18bj/xIXUvDNHsK9x8KAehJBbV4L8NDzpBWs ckta53JYzAgDasJiloxxVr4m6CeYxv6q8opH6pLZla0sK0KAJZd0QeZbSygMqAmLQSLMlGMayLyk c/sm0l3GwFUfFqlvH4hXPQietd2DOgyoZydTajPTWIKzI6CGWutizgEYfrK0dYRFatKj2YG3waYI DifrROxUYFqgcAIWA9gZrOE2/9r2Mv6Wik5ITKzKV6lQxUFZ2VkdVcfQ2QR4PKp8pK9zGhiv1i49 eoZF6tppeu7ib5WQBiT22xv2SOynzdCMtfG4vdbuPjytxL3YimORusHxGZPI6ieNK+vtlE2aeMwQ 80EVJmlPaNA5DX1cotrbWWV4PJigGwxhny3EnKAf2ARF4TReDc7hS5WP0qsjGjqMV5S2tkm3sxMM zZCYSIWPleodHGQO4a6xpUd9jowhwYtMKRt4u46HHE2uKD7jzyp+Hs0HTRCkblj4OBNU8Pi/8vos Tln7QSbkMxTF05kY6jyN29rY9zaGvdt67oX/f0kxxcREeeYSQz0fDHWC6UUfxuQguRhQR0QhEMlH Z2msh9xKEYIZF6l5yc9RfLCdsSvlAIAFqnj8X6w7HpMUlshXbmhGETWqGlPsWeoNreleOfL6ZbMM Ets2RWGJWsChve7gzbKOIIdit7BuhQwOC21AzlTqfZRboYbPJT8jmCG5CjfZeLrD41nj56BWN3Gm jMcjKsc3fhHAd7SpfIKiiLqSL9VMJfhEK8XAQn26wxAVh4xAPqOIemh7DSl1jvSg81jaQhMH0RA0 P2hcUWFa2nDx7/HoWACsjM8IdhlFU6oK1fUKDi1YwbMA284hgceDZuIDPeMSopLh7SbOMKDeUPro 2fTgenmUPiRHQC3BVoxBPiPMR1ckvZl6cLj4xdYHOq3mctqIRK/Q6PkBVwjGczccIqRhRgF1FRuo 9+SDY882WRU/cD/C41H17JaGEiuw5YcsJZuhCPQB9PYs2bbWFEWZ51Cl/I9x7L0PNKMatbgcS2Uf Dt4HAvQVEhwMGCeRQwwYKRcFtGe/hQ+ykvAZ0UmNY2jLdnEnKmhRxrxVst/gJdfweJCT9W9FQRWF 9r3rQ1Jihgr+ntcpt3XLyS7L9QR80JnSKRSXqIuOn+GDV4aAw4MQLttA0C9m37HR9HbTv+Pm9VCj 5mdEfKkqxFn3QQhYSqDJeWPz8X/hqtSxz+A23JssAn3AxO9siZQMik81euVkTCEFxYcnlSYoiqhl irPlxMXBg6HZgU+tXEEbSTmbjdhRQMOXH4SIXqEmznxGAXVLsg4kRLhfczy4qe8q46De8XgEG2pf xzTdWfZzCMWURB5C2+6x2Z0+fgKVnR02tKsZ4sxQ50NcRJg/2OCwoXlO19a4gk6AB/FgcvAxhxVx fBPRXcd00btGW4yYD9m/cjBc1bm+pFxecsHjESrGj2nJWCxoinnSuqJwOrPTKmKLMHqOwy99m/jr LheHvyLMxxjaYpKa3o/UNBDCJ+tYUqzCz81/E6oE0FTIaAT+VuNeIeZDCii41G0w6CLCBjJYWq14 PKAk3kYi4Qt5i2HtMxTF00BznHkxqftzgtbuaT3XLU/pE8SZXdAKMR9DtHGdRenW74E1ODu4sZN4 slIUStmL7gRXnsSkPXwgpg34jKjuwWAx8+IDvv9nqBKfz4HEAvx554Ln/4XNY7EfIQHVZzRDoeA0 NJU3pY0E+qgWRJ9jxaicJxYqyWcoBn3w+BmcoS3T+kbo2Um6G4j1LJ2dwGjIgRmyTBV6uZONQYkv QjYBnxGVzlR+ZXbPwQsflL5iOD3weBRO36y+CWZeVXqxKYriaWWta7k4LgFyJvTRpk1RRttOUxRi qIn7XY2i96s9ovdQhYKwHbfZuREJ0wL4DDcZFSfLBcBOYs9WWKEWazyn7YNtM1y7XBd1Vzz+r3NI qBiYC9AKyaYo1p4mMrgl6yWKPc5eouqLhDT0bS7dK6QlSidmt+SDQ4eg0rxRCwE5egFUglksoNs1 1pTkQ83KBxv2K8J8dCIXZxYQP18g/tnMk+Fem33i8X/qnv0YBHZdZuuKAmoo6ZyNPOVWhmDImq0d h7X+8RwfMCFH5WPFQh8k9G7e+Ry8fLbqOecyJZka4kMYyYGqAM8E0Ggyz2kznfSX/UujYdJAV4Nm CDocCodOHonHI9jQrQ3x0UnSVL+LKAqp0YuZ9JSk5pDkSESamtU9XjOSLi2iMKQWyLMyKeVwkfip nAcyxVBw0mUlHcTLMtlmtUflIUr34UP+Qg5RpZiDxdSQpCGC7gfXAh6PdKscw8gtdf69hrqezVBY paZ5ApBBLA9tTQsrjLhEs6Ryx/BVFAKpeb5MGQVy8G22AFdGfxppGXicLJPkJrRa/qGZhsE+pr3r X6CGVtiO5qDy0AlNdJu1kwLi8fdtttJ3rwzIrNYvOm/FzER0yJyWMNtNxeDCWqyZuADllRJ1XKXm Nhtl+/C0o8fJ49nnqFR1JDpPBX/W/AbtODtnaDW9bISk7rrHig+qfYAkWEVWWBWPRw37b5UGyg88 +osrLFIvilc4vbXSf1YREU0tzP52W7t1hUE1YXljsZzPwS+zghJaJ1mh0oUA5eyyoWYxZMAxro4F 6xn4kCgkkpi5qkPJq0MgdrRmUih8PLjwf+kvQvSqr8sq21FUjbL9uQG6ccinlAeYdujml9BHtjW0 Q2aiQObJ6HfjIjzhAVFoJck1NKgvBaVc5ClM0rln0uM0vaOoukmSKfXlg5YQYDiSM0+Dj0d8F4+q 1Z7HPdafGXqPqqXZh2Po8jmsGgt072gWEBXiBzhDodIHI6Fzm9/Bo+qTcuDmIXJoAj8C9AO+U0eh GKpVLd3LjHr4O4RSkwvUSIfVYGXqbobllMrd71E1sutvQgfvjpU9M9shlFoSlabvgUvNVKs6OizW b+3I3DRDYVRNfN4y9l1/lHLrOXBggMt2IiSEmzQ8E7kUqKUvDxkLpT/xGcEEkbu5SZXTYIyXMZWm lZUyHo+UPr47QZBEgoCET1DIS1zzWkoiRWPxlAd1kaow5IbKbr6EQtSHymcqQHPwCQJ6BPuAMfUJ sVGLP2cQuJ4o0f3wJLBO0LR3DW4yovCnKEHzUoImMoGlszdnPB6UYO8EmdDVObUuLGaHKGoKfdBJ kVrLyzINZMzFtWIy1Cc0QTEtkcdP1QqqzwqCzvI5z2qVdt45XqUkDD+FSqzTunkZZHP5rhEsBjMz eh4+aAWBBykU9Enu8XgEafi2DWDVbt+sbIfSeVhBm1arX1IfEj0zGXhAYIdvsRDzQVo41DR9cGge jpu15LMFfiItbtGxX8Zw/8LhI8nBZwQrqEgmV83W6s3Wc713cfprTQuPR3JD38AqSCwQ+WYTFGE+ 0OE6S/RO0HIxnXMx0bSksr6YjRG0Q+U8VV4FaeDgNfyGFiF+B/ojDkrZo76IzAAk1ylDXzXsu941 KMBKymu17YMKsGnloho+eok7rFD/wuE3XKG1en1xh0ofjQR7M2edcC80QlDvZdgZVGvyiz7EfGCL gT22fbAVVKEH1gCOBGkWMvKSM6fBOMQ8qS/NFVSIFcdnRIr45CMmRgYctIKgyquULNWBxyNFr/69 xbB45jNBYYX63FpTobtMFbJzynZigl8YTVNsqPPv/EFsRVHoDre+OM/VZbTEczMS+g70K+qsNGNO 1/aGWDd8xt++NyoRuYvnCaX3uSi5xdLi41HOur4miNyufCVgdxRMY5GfH6OqI7bMXhNJAF3ItMV6 n35IR4iPyaLhLiqelQce3Ab0rgBE4xl0Qgr5IqMfV6GGIpMoxUHscexQ5aOq+7PvYPnYOQDZG6yt NDweraDflSHter/GcgohH7AugfOGCh97pqvzMSjDlNhrhRUEHUhTGE7T5bB3Gbb3hzCVGs0A2Eps MJliTHCCkBMcQRmFyd8FDlGTCZ8ScDd51zdEtIwWs/qmeVVgbsnpPace/0AkQlC/bnsa8LZ7Vss0 +P2xxXR1EuNxfpQlL8R8fjbUFv5TbYFyKNA0T7F6HsGtlRRgDlYgmhOxDxxY4DGFUvIguhxV0EEe A9W73YI02+tGykzsB9V2B/3+5wqQavcJgBufDxKP9n3lw8INuht3lkKXF3SE8rYSNQr7ySpEiQAd gdDww8s8IIVo6iaTu1l88LARxSDIKWCWCvcJadyA4Q+wszIhVob+kAFpCuEf1K9aBP8syBr8EHOe EbbIv2mcu4N/IIiM8m8FK5ZvrwlFigrWI+Ew0nWGQlrbLvLF7M4KaeDI2zSFTomqfxQSqDj4YiIr FXBMINESRJhJoILQ48CpmPeXrX3Z9roRt0Mdj7F8sAZ+6QLp1wxv5BQXrb/br7AAqHs/iymKsEEO mpt+WDyZ0KnRyTT7dtCwPDG15aKi9aDDKCWtbfBZSgtklcEtl0nAowo8CYBsGrMEpGIRdcb4KZEX BfYa2Fg+KNUvcK2UNzKsFlKIBMnfZM4MYhG78z5NYeUa3Y9GXjYwe2XQdhyYvQyMhiBpmyVjLaa/ S9ds29hge26Vk+aOQT8KCHq3SfRawi8+OrJ9+ktYrsYwAJ8STJPIC0OU1+GU19WwWkXySJvPv09T vVU19mcgR992ee65WASEdXZDm8/zaybXIpIc4dZvlf2ei8JtCnnVmamWMnO6ew6C0pu4fTDOBpgO Q2JE4Acgv0lfrguj2+tGgk1UtJpMTDgYrOjcEVK8n7vz+YjY6XuOmhiTrl7X/CXFSiAFfM5qtLwx prdBKrWCEA0kXOK+58IKNotro5Tig83SgG5QS+TlVYJAF0tHKIwMXDTzHkwFxA+9bUTtJNyhyeyt DdmKn2MfBV/V+Evj8/9CWpP9ejL6Vtqz48KwG4LaOze36mrLi0crXznvXFwFFX/oL7T+yupaf7HO zs0JzmKzpXTyFMKPKrQPCX7q+UqjmUdyCuWqRcRfdKmoMhMgSujcBUQunO88+Hyw4Wr6WklY/YNh hU1SzF6kN05yXfg53K2LnRxTBEFn3yYpLGRvxQCt+nCTExwZkDgnER+AYUxSSdAKRD47iDirKrEV e9tITZcVElm2j2vZfq7gRtUXwCYnn4+ajt/SVlxE9VlJobUiuqOzMFamb2DbjwGDFZHQZevXNzBE iHB2eMrb4AlKgeKQiiTn7jnRL0FYA3XgAvQfTAvdepJ+0jnwVpRjNs5syX8Nl/+aALhPFQHgtx2a KxIh/QSVWFH5SsPn0FwRF+OJ1JbZc7a6+81yS7FJ2ihY2iRFIJHEOGALK7LHFY09x8yCz1UWHPRc utqYKE/+FMiX0NPHoXzJ3jZoiZBNTa8mG6xSctaRBJjmqHw+iLzzL7VGOu5elEiO/RXP0lw5FZVm gSg3nU9gEyWeghJGsVJADg0Wl+yoNvtGHDwK2KzYMvJOZzek2aRdDSQ2nXPXI7VXmr1t1DdqIlfZ UHVw93PdJP4sAO7w+ag7++1ODmNPtvx8kqKi9qI2iJISYvuKeyzukYZNUlHa2/WHgu2GgPuL7OmN Eao0QgcqcbvBlX6IR0NgBIA1xKZL6IoN7ByYLGK7saQ0CTDmwJVUsZCGrCVz5fNBCLC8ecSwig2Z a8OdQ5vFRdFGMOJVVEpuU36CiOFFJVgm+XYL+YwifGazuu+37DZTPwmKXAQLsPYiGzXSgVDZg9ix Jye9++sGfX5WAiALqGH4UkLLXQYLMOsMrRYfsSIVWVAj3vnZcJGSNdwoZ1MQUJnq2obDqZS99gZ7 D1tLYdg9VVTiubzLNezMeTdsQNnAgV2UlqwEgRaGuCU7JHYqdX/b6FSi7t7m0c3B8KHcx1wevfL5 SN3ypnDMkJFjEGFhsxTzGhkgZdMw2OLqbbq6l+k53GVX59BwcbECN6oKAnXeeHLC7CThakFYeYKm zob/AmMKdQFoVd1zic0zfkpUECARRHuFg3K43ZvkZs7y3Hw+Orx/EULwvVv6sg8O5UJOGrRSSYY+ 2uznaJooYaMiHK44m6bIIUaNyClzEw5ehDvH0QSoknoh57CsPJgyArAOqBsUdq+OQbW3jYQMmLvJ 92wmjyjRY1iapWkv+S9JFU7SZn7y7Lgw7J4Ilkox/wqzEJ4Cz1nhG+eKO1MGxotSBcLtrtxkf+Um 5wQHxZGkh3PMnocNo4VgElQvlh8t0a3+upF8PLttS35V6/LTgIOXBtrJO/l8JP39i2mtKOSZpRCU vQqFVcRBh6ObwfrbEKJ2AciGWooyuNh9kWw8IIN88K5JRe4/13CITbK4m+pFJ2wl39n6bivZ2wZ9 JTIfllTCOGiSEmT2mZycXIjPB8nJQ1Kj/gH4O4C42iyFDozgk82zpY0dMnv1QiWcpK3/P9f22lJo wWiM9KHayViPltqqENYoqi2lNtBL7+gqGZatU35mPVC2HHowkjGxhrSMRx8WLu19srYmHUsspcCE EXdc+pWdUAJg3UkKa94QNjjbQ7xGWVJbayDzH1mmpAq8JilGZ0slXUsp3aWUIF20qBpPby+4rBBp w7WXiAS4Gj1LltShDSPbvuByDR90dp9gY1XRhMvk8xEh9OEZ8fWQFKEG69MUKogsCDdTc/I/NglS scN7zCH8H7Akyc+lwIsRa0loNmVo47HubrB0T1lGgzi8cRpANqxDDmsQspUd9pdrsteN5J4sfTNW Fo4LfAygL6xk/JwJ2/wDUfD9u+hNZ6gbVwZ2jOgNwLfBb/0J/fd7MJXkBTh06Gw1xVLXdOXO/Q53 mqgexQJcQyUdseGJJxcdYdH3IZTPkl2S1nJsyMj5gQ8vBx6TrFNOY6jAioXPR2j/GwrQzwA7fVxp tRx6MoIEjWqJk2pW8fB7YHMYrgQEYYXfgSkjvgBLAl2qzj3dUOAsmw7FIeYoLZ+ZYaDe2BFA3RCU Ku96U/Upx6aM5qRHlO10mxqoicj3AVbFmc9HNHWPvouFArVerYMc2jLiWEXT0itwQpkwcRjTqVkF cAebpFhQRMVukY33Ay4BpaNDD5wnUykE9QA7QTXnDlB7tuKSiTzm0JcxuyHj9kHx0smnppC2Z/b5 fISe+CWFCfRWa/3ZcVHwTYjHbqNb93tekNvI4xp+AZhmsxTq9FFsloRfG2yWBgAClBKhHzz89RRT QXt3AuE/r7aR7D1z6M04JElDlLUGzVKFeo9MYtfg80Hsnb5x/2zAP8o0OfRmRIUSCfUwkAkRrwKZ 1O6+erU4VjIH5ozn/WVT3SS90h7pFZTvR0LbCeXuDQA9lUWE8sAuzddOZmV/22CSmgwsxaUtzqWF r8uWHcw5+vh8EAlMjwTwL2929NKz36LQmwyb1q26NNswFjaSXcaXTHZxMCvZDfwZ3VEGMow+2CTB bQXESsmvtNZk9tDIcdB/PJbee9nbRohSatFROl+DDqW0cxWeb/PoDtVF/pdeH9Y0pRptlqLQG5gv VH+K1eC2KfWezUbtdqFNiKbTUooJkQSybVlX7XxL3tCHSMRZo0pF2RKZOWwwRtEmBptHRcPMLg4/ JYK3s2SSJaiek0OWdi4y+mwQCs+hTeP4VYQ7tzgw7rf5Hfo0QqB3kTYrQhuL7QInZ7N2AJPR/Rxy bNQoRlvRhitfWkcNtcoiZvZGDE6dZ+zB89PjP+pl9wu/nUOnRl5d6+yu7YNdcOfXldw8a96BVaNa VA/EnRIs+yrV5NCrEQforO74NRk5aMPJZEhun/ke3YFZY3auzWYRjoNHARC6gY6GmCQb3XDCSwmf WNzvd5LUrAzcGqX7j9oimZEcdCrBHltl/Zb1kgGM4oko2WtBAvcVBsR+jRUZ7ujOJqGRplxj3ZAY mkfd4SahYaOxtioJgBw8pFwnK4EYLUJK/B/gHR2A6xN5Q0s2N+b3Anip9R1aNqI/dRbR5IbjYAgB uLKR2DbS4PMRzPSWKhn0Qtys76e8FNo2djQsJ2uZEhwxthZhcMsCgVSbh0t/+DZKmY9IZA7e+4Ya IPDoxJvUPugBUWA19MO6A09Ri5fQ4tDrBntOMNy876Cz5ExP5QI5WaHeMkp3rxAkTyYch49Cf469 GytOJrY0eTKlZnTtkdKlTQBA4sspVsZe7wWmeYIZSPwwqpwblWIWmGCtNoBVz6tfghut2vgpUWOX jsUj3UEB0zmmujxST7LC5/8VexO1XEECfKoCgYWjQQTKNsGRk0gko0f2pp24WDCd3Wcp1B4hlnSg ZmqDH00TijVJgMFGhDExXqDeDCC5M7n3RsBJ3V43uuUYDAzWLDkISHGyni57go3aSWDjiMq3z5L4 TPC57M+Wi2LvgYipbKf+l91cFLJXd5XdRBso2w2MHCEQw1Juk1the/px9ZytuPnV/i7CIcKm8Nx7 Hfo1MtlRe2B1e9tIFdKaKNsHR5vsvqUizpMpxHfnS23nysN/1vF1MkXRNxh4kKc1wODMw+Vpc1va fagrlrvlYrwJiyZNB3n7qsOdk6n0LozXSd0HOHiQ60dxCxcsKQi+5dKy1w22HPfaEO2Ng06mc+CR 1IwcpfP5YMvNbxkJ/jhzPrMUA06ApSAlVec36v+EeMG58ExNxvmdXbE/h4aOM0k/QxrGj9VcPud1 hVcdBdchlAA913MwQYlpIsSX4LqVK3uz1430DwnOFfCEg0G8apeT87kvFp+PKF2epBAJB5KynKBt mkK09/mL51rNUqCHqK/L0je2rQlegtqy77k4/sbJRHlbG+z8hu/JaKgUlgFPrAXAEjmmsLWFXm3/ glVS9CcHto6JUQ9KS8LojubSWkyx2fw/AQWfD6KmX7lcQUGgXR/5HBo74nKCZ57ZrJiyrxJeouMK ZcVvgSlwdkRSOMWnKD54fxfcQkDhgDCBKUFlpD7h+FaYqtRrbzDu2waTtJSkkD3AQfE3/jIZprVi LQXWjlIEfgpMQGAURqv2sWHpG45YTcBAyCStlW8T3GYOoMriEVNo7sj+ABKp7YNP0oYwJMoEMP4e 5/wgc2BgcVWcp41K+tK2UcAUuDvm/9FJD9MQH5zfJaUiSNbq+eCOu5j4JkxlBqT34ilCf0euvUxQ CgpMiYEzE94kOzokvOfw9tpJYPDo8ffZXtsHO70ndFlPssVZmlCMpK4vfMnwy58NdHV9S1YZLjR4 FHwJBogcsGSkl3UC48JgfKSy+Af+BRfkIUbi1NMDDyweTSZpz0eepE4vVs7uZTg6otkshdE36bh7 7DvcssDARUCPx3TyB3B1sZZ4djRAfdrTkVO8FHg8qviBru4qPhiRaWdLV2fX81Gx8uZyXHmJ9Yhb 9w5sHmF8feYmUb6CAdNarte26C2pgOmaG+U/fB7l2ENnXiPqc5ZgcX3+bFuyU4UlFf6VTOML7BNU 0Fz0r217279Alasobirz2vQhe+TZ3QCJD50eZ0vfGa8Ag/kWT0KvR4qx594NT4FqkgXfeS6jwe9+ HVVzYPboChOw3fXBrjgIZOSclMllWD1WekCB0A35JHTHygOGW/a6QQ88CU9BpAAHRQInWVET5cx7 5fPvp/fa1/OA+7PQA+oWdQPHRxEsJhg1Py7d5vKIu1n0De5p8eg7sHyUBzyUxmvywTuXKUH5krhK GDU2FJyZ8OJ2RWSxsfKdrZPsdSPdJDZ2h0x8hpv4rJpOvsFo53wyn4+quldHQeicAifsr6Mp1gBc xJ2YWOsmC5Tht8lxIIUmJMvmKcKdVJF0Bc/ZF54D+1l8DYBxqL810mKNBYUUmKFCJOWZJtF1AutH 1FfZkqMJmAaLBVad0tOYaFwG3o//G1qJH7ONGwt8XuOljlBgAb5vu+ts/GESZSMX89A6twe6XTyY XqOlDlwlZ2jLXXU/7qo4iRCKM48DsiJ3VX8l6Wf9eon7L/rzvsZK3QV/19p3MFwOlLllSrzw9Mvs dDD+FQRM6WxKDx7iJolVrWh2hnRuqggDUJ25GAFIwjE1QZ/Rju1gduxqy0Kd5pvlnqzm3HNrisiE jLlnCfufZdOkVpKu94FB4V+nJ5l7RisiPZflJO92zlO5FxeUcl+n5ynkTiPBF/hGDZud1wCpA46V kZF4rWQq9cf+qtV0kUtC312z8xoeYe0shtioIdjgQSSsHBjRIDwCW6MJaYFUhMwoVj+EfSO6+zU4 6nKXgWtCSj7Y5Kwux6CzINvnNTLqj6LU/FGxACEsLeYxOa9xURepFumHdboLL04Zi3QHU4p3zcl5 jYo6CEWMHc1eZOUv9ciz59DG5X2PNJJ7r2GxEkQ5yp0cVjk+rzFRlz/8mZVmA9qdzEz73kXaQ331 /HkNifBF+91ZWGbs0wCToOl5DYjwFPQT2B+UwMQ2SSCYdy63NirQXJKlarSzWBhZYMnb4KE1iAGT dTqgJSaZXDBTwFFOoivAVby6Qe6mvXx08BB82zcbbn17w21Rv4JS7OeM/rzGQni6rK/Fg4yaDV/N zmsghO2Ie3bf0v+mvqCpSxhiAjf8tNTjNQzqiHZ5YdEUXIOfOyf3Tk07q50f/uRlmMDMmgDuc5hB 2cVVWAL9vAZB51WXEvwuKld3Ktc5DfKU12er5fMaAvXHk2b+UBAJfX9DS2J6XgMgHOYlUVEi2/TU 6ljJ1c27mK1/96SJpkdGxfKW4+DhD2Rfm0G4zzKaWmYFVeTOqhr9hy19ldNBtLkETZ4KpaeH0ugu VNYN20n7P6+hD84tE04A3f7HUO6kGHJ6XuMenMsoa5Vulf5Jfw0dPVAQ86Nnd8PbvkY9OJelFFnV za5PNxtc3HOMUdm3nQOnT7ZyE4G2pMPmecWhZ9Orvt9akzpBJ2vpPmh6TtRQk6TXdv68hjy8OfzW YsER24pdOs7Oa7kRe6uz+DGMugVmpnf7JRbJ4gd0myRBFhzMMjc4V1L24SFugazPXn87YWqDxAfc l6H3AapjKbNdOFujRls0O9SZbSoPNS8PAW43RAUFXO7zWmjE2WgMCZ08tFjgLuXsvFYZu7RM4Dow vZlWLO8636U79qjPbHSk1xojIx6CIXuqPjgZ6dyc/aSUOJdHSU3uIScVOBNI2wOU5S+KjV5GrxVG /AISsJusC3GwQuxZuLt7Xei1vsjQzmdH2KwBnJKvnXdKG67jjMZk7wYV2ffaAnG83prQKs77i+ZH JSEJjm5vSeBaL+fUz2jIndUDlmVtkkA8u2Hg5+Nv44K1TQoA0fIRCzmv6YNKsG2yHgNuJOA0Ucj8 7C4eNACLkU6nGYpCZnApZr300XPRGPsfBkHDDp+TBToAIoyZNUONQqscnPOH+LgCmAn3VJDQNjUQ gQU4AddERtG8GUvi+OedztYdu7aqLI3q9str97x4FrVc+XhwPO/1NUOwUezUndQMvYfNSkXQ6rIZ wq/hB1C71ddF5zMBaYIZ2soqYDtog6ONTviF+jw1j+uEPTrV2TJxNPiP9SQVSt6jwBm1GASFqfmg CUJwxQmqDfyj98hZKpx2vf+wPPnTyVXSBEWhM2hFc9MijvhHHh8istHsVdf79OjnncfGe9DinuGD H9FnOUGanuUN5BjANp1bkxWtzvDnqQJNIbPfo+fEYgxhIcUH3WBIe1UjPP/0eaex9Xw9nLWEoDHf yz2k31ls2BzA/KZRs2EfCWTlKW1nE2aooRVgRddohrAwGnr1PmiGajqTcWKpniTPdmaG+v5rUecY 2AZkFtRKw22gd41OIVJGW76Dcq+zX7WrqYD4zmDDFjXhiGneqYAaN8KXNUVRCI15gB2HXfOdCvri 0ySvS0PjwVP39xgaxTbKa61RfPDaD8m0U0pEsHdeXW4j6EMTbj8flxUa9bzz1+zMhHcCihIaOEW4 87ME2lKrn3f6Wocub/8KgzI6peRg2AyFUfSk6VwWbPZE4tsh61SCdiPw28SPwmhqYQPRuHywGcLS mC2pOV1hProkm5C0bsxOWfm7KH5RGN3E70zKUZPR5E9E5NMFq5LPO3Oti9P1lcFDHb83L2+889Zu 9YfVE2D6oahp5eiSVrX6xpTjdeLfCSZI5cNukPVrcJDTgolhkh0WtKnkQ0vIekNx7GQP1w9r7a2X fZ8hirmhjp19MLxMlvQeWMzz885as3d8ZghNLYpt2wy9x9K4giizN42OtVj2lvNlMjusAt1rJxpF wfSoW7ur+uDh4slMUzb31FXyVKZPfkchWj2nayKirsZ7MJ1dJhLxiA1WA5q471nYgYJNFE2v7RNk wG3UY73K8c5XwymEKtAczfbYUk2VTCyB1FFeHW06LSSMp1E6xMxkH2yCKNm/65RMJHrTzOQ7+FiA hv6s+iCLp71rMEGd910itjw7fCI36JeqSEZgQxRQC/DLFdR4lTVgiXwJvXPVujhuEzbC1hlT5RB/ pJE+YE5GyWbonarWhdLsvMx9sE2Woccyi1k4n/h6UrS1oapXwYVuX7o1AEN83plqXO5Ur8skrHGw PVZPIsCy8iz5805U6w+v30pBiDlS93DxnafGsyvTAks5PGxorhlWSyb4tzeg86qyvgfUBmiokxZX GhwFurE0oE+NUtmeeyidR4X7vHJDOW/cYhAh/O80tVukR73QB0d9TLOXPTfj552lxpusfSVl1NzK 685QVIc+kw48o9msrFSXbbIBoWzr88DE3pbQe0Dtpnz/l6ueCn5f+BqwiZEBZE0qJp6kDAVT8kW9 azjsZf9Kyoa46uNy1Qf4uYJ8nJTv805PwzvepIyzAZbAvDfZOzuty4dtKoliJ6waZR2chH3ribua QcY7OY2BitQOcvHhEooKihkqKIJojMOYWmPo4yIBBajXUTEUGXnnpjGvb6qVZR8sYOyDFsI/0Ob6 vFPT+uOzomiIbREqnmqGoogaUduswjEiLJKTPMHWYjcwbQXVkhH1Oy/tpq0vpnxtombvamwpAatF XjGaiomoZxY+1sMmeuel4UhIMgwTiqE6iuGE6SmZQ0aan3daWn9MnK30gbseGEWbobAmjXNoVIPD zNZuQ2PwtOUMbbpr8RyKitKSiNwS99uPuQEEjk/c0WglT/e8xtJHYZea/CeCoq1kjzL4552Uxqid TKImWdbmwKoT8nahWpG/ft45aVzn83uXIQkuxS/7d0Zad0s1SsuxdpY8ih74nlYcqtfc4J2QxqBB IjW9+XBLi2nTnpjn0Cok8ELmoCyClimb/nTEll72/RwyZZHGu4yDZgghtRxAVtqfdz4avmsuX7sM knlmfckZiiPqCcVaK5rBpfL2NbZRGlA+S4aufmejcQVTCZU9HQ0eDm0QZArZ+wXw6U1M6IBWLAuo 8kRVUlaG3jWYIJYXG8MhlIaKXJxPVgOjaHxeP3P1eSej8TaZXzNEdjsBNZqhqDpNj5U2zQsDnEPj fnp1mhYi0H/TDL1H1FCmZzZGZowGmyHwxM8+krnBOfLTYgV7oJlUgWsbs9/qWRt61yBg5L+5VrmD UazA3+QXBBPtnYjGx+dXRE0wDdFpmqAoogYK7yRilzs0r6hY66lZ9WxS9Z6b7D2ivqWPROgiB085 JmRRq0SOGqpmS9LjuLzgcARmlsWLk0bg7xw07jGh80fxQRN0VqgaZe0Evp93Cpol6F9ZK7oG9TZ/ 3hloWEHnop3LKR1oXS2/69fwzioKqNZ4DgNqVHxAoJ4+2ARB81hoSHmEzkoj7EkxaOgd6vBVMISG 1+edf4YCqlaQuB4cNEEoBxThNEb+vNPP7LJ9UAvwiy0kfWqCQlDHnETlO2KxX4HD8z9697DQSoIT FFeocQhVXOk22ASdExQhCiix6GujFcdzCgJd6Pz9kCvdjQZT9K7RBFFojQhgDd4+nEU3/fk9Pu/U M6J7xtcZVJDYsM2vCYriaQj7zCmdJ/SsLvD1XExN/+M5onuzWOidd4bln7mCgEWxwc8gzEpSc/5E V+dYTBL5l8Fal/OlYxWH3vWPlOyke0I5XuH1BqmEzqT1pNqfd9LZr+48Q2dcBlI25ASF4fSm35wr 0xd6bApknpKDzMvuBl54J5x5YQgKa8UHm6AFZsdK5HiCuwbfY3IaWDQ7iRQuID+DqIjxTjfr4kEx H2aJEeJHxIyeJbSg+ovLO+Xyeaeb8Rqc34cQVm69tcV3slkX2WyKQvKfSkQ+Qyc+Mi268/Xc3OCd a9ZlfnIWmq6x8lxj5+NPnJ8pLzdgnJrwUogFYLmOCMhFHjLiTb5p1IHm4ZwFCMtee+0NfFhBg+b8 vNPMGIn8WkAkUE0veryzzAzyNIVhM4WH5R0OCjcyUiwwYtX0RMXptLm14CJog+8w/Lc2qM9zphly qNQTAfejAM03H2PQTlH6d4pZv0pPezUfDDLdz8yqWNjr551h1h9Yohc9UL2/YdA7wQwfSgmM0RwA w2PSIK7FGxyZohRIWN/5ZezOkKBAbUINXr1faDkD2kJ+2bmytkqvKLR1YBgGaldGnOpbL/tXZXFJ b4CDdRGBQ5I98eQ7BjvsNskYEqBbBYs4m6EolMbud1RZZ92seN1MMHOUhSpKlLrFouK05MNPHGge EAzn2WcFWbRVCWEVAqeVjkFIGOqONJizlL6zjfjOLGMNi7B7AaZhNGDNHJj/LZbqziXcP+/MMqLF vpv1wG2eG/dOURhLE+lBf19OEYM8Vc76Q6DGFGqKwuo0lUEyGZ0aPNtYgLo2HdMIpQeRVgNdpQal yfEYFFMw5vPOKnt69cwyYQt68ikK6yxEQAyGATf7vLPKutRfv5BCg6aX4171UTQNrNdClZ0TcxZO y7eAb/8jKspuafDOKcPrq7chPv72VIAYznyyiq7S2fl+k2gY4APmD3OOTGs0W0WEUr1TyljF4j4r 2mfF99mJEGYyUau1P++MMrxjHr9uMjShblL/TijjXY+knrnif4STjyII8EiNco+L4oW0BQVQMUZ8 sHRPfqYGbwLNuaChmiX0DE4TJrEgFh3Q1ochkK+iQZn+dz4ZYbxi/wwb8o+k1Cq2F7OsXOfnnU72 q01WrN6PTNBbre90MrukJxQFKZo2KSYJM1BoUxBcz0YiDpqKw/qdTdbNyajuqfLHbLeEf9L4AWAr D+vzT3C0w2GNfPpkY5BWT7eR2Ire9a9uNO0PbRBoCMhZBdQnMP+8c8lwFMz9vYZwPRDArgkKA2rA OXl+spFYZcmDMHVShZOkclI/tIaiAnWjasyapG2seW8zmBPjpGgkuJ5wLguWltCEm2Ty90cmFAk+ XzY4qyuTVpC7bTBYVZMPLjKX9XmnkWEx5G8keWNt+tll7xE1EJ1sHw5NBhiJw3fZSvJ+KODtmDL/ O4msG7e1JSR3NtzC0Lnr+QUwQ+fq6tTGKoCOjk4SWb33Pclnn3cSGUGrQ/l88kEzRKt0lvDXiajf OWRdvixfERGCh6eT+M4gs5BxSSGCpbOZHZiXZT4jyMc0yMc7gaybDXhj1mCDn9T7/PfU2QaCoaOB qieb9OCoQ/DHslbKAX7e+WPMWklCkE0PB0vrV6EYHrgx7fNOH2NUe6uvPHOAem/ldsre6WOMwwCs 6l75YH9WlxnxGJK9TCAu8zJ7Z4/ZEmbRbPjgpaEzQWua1hVcpTJr2Ut8MmoVZI+qd7Z3jaaIeKEt UZntojJwa6pqA51U4PNOHWN+1b7TDlXvPSt7J47hqQGRQoR0mqDWDTfdOr2hBAyejol5543dvLXQ pliDTRA4iCDwUZsIu2xu2RtCmZdG7sQzcA11ShS+s8bYLBVlg7hyDpqgBJKViouFjwdXWf3utdIn vZV7UEdBNVC1wHnYCgKgwUpDfVmPo6QHvPhOGHsmCMVcG2yCYC9z9lWqMiyA3QkvO+TVhfifvu8p RHTnO18MP6ZAQxAHtsFWEIjYzCTORfl5p4sRV+WJqyRWscmrVz5aGFJv4F/TtLx+d7NQzV3nIDXm cWhLKq3F6GkeP0WJa3lWEGjQZ1+ROI6WZJKtAQHWlTLBdEVpqp0lvWtw1bNL1hVZc1Bp6OS//F3B 3s14PAgXH14LwwL8QP3GQmFAnYHMI8ebhQ9KBDOzL9NE57kt/JiOytNJiJjGBN/wFzqDzvSU1bsr fhhnfDCioVw9k0czuNY5HSI+tgBn6w5aQieXqRIXOuc+Hg+69a1/J65YjyzaidoSBdSofKMkapKE tHFSaag10hBZPKP0Mbkt7wE1TmmWhiarizrrVRrCLGSSfgul6Yo8jRZwt7zIWElXPE1DMnzGX3CG rcSDgy2hk9rrEDk/Ah6P8MF+0ydFlmiqee2jR+E0LhIYU5pFQS/LfS8n9cZYO0vNJeR6BPig0u75 vlSwKE8rGuDOckLGRdcUZB5LQFlUPgsqzjCJtpRskj7ao/p0pazlrLK9qi7+2YBpEtGynoSjR+F0 qt8pGQqqpd1eff+rPo0Gx7JQqLv60BAhS0pWwMvxGutRfVpCKHsr7fC6jcyJJxzRiA8+2dfovMaw Qn9kvS7YmXi9wKXgQ6LiEHZj66Sttk63a0aLJ2SXm+04KQueD8iHF/Ah50vUK0nFsEmK+Yc4n4t5 780p091FwmyultmD0q+IukcRtSCJwPL44HlrgmT/Jne1YUmxPgIYCHSsEAz1L4diqlf0KKIuW7Ko ZNpxsBpjNsffEzxMPB4RNH/VqJEl7Ju29oiCCILkiYZ4gw1a8doMNSDqLBpqFOLEXdZjyAdbrZVe Axw85zh3zmJBFxRN9NzYjKaENgzVoMhxwYssMfaoSr0MDLPVKDsnArmWJzKWRCm7J3g8uMuG32US sMLCx8VqMxQG1DBLETSXiX2rjhDeNJCUHgOjGc5QyEM0ux3xEPfDQzy56Fk49IkDvPOsWeYclcgP yKQzyLGTCB+OzwhueyZlk0JOGlSEXZB+LEpbKx4PltBN7EklAySwXhJrj8rUZ+siO6FQJbjQMDkz 6SoUyqUhf/LfZBMUB9TC5qXhwz2JNkh7QJNB2muvRG10IH1QPis/NCO6Qpb2stFZzXzV1NCyUxHX OdyoNY0Zmng8OKv7d+kDQiuNwC7N0HtEDatV9jdwvBB5limXUzFDk2onNCUi1otndRRRk+RT92h3 uMdQ2mfZUMr6xEYn5GF1hAbXneL12VOOQvYIPiOYIPNJT9kHAy+eG4AB3/mrE48HeX3zCdJy299s xB5BqKleWaUNe/4pl96tNrQlLVT4BhTz6Pw7f6UcCRIqHHj5yo8oAYDUKK/fYQTGIm3Bqh00Ukz0 atAxhJgZHxLEQ6Q0wBrVBy2hs1cGMVZAWeLxCLzoRWoxzAnnr89JHQbV6CZSM5V3GUuNBOK3vqxK jV4H2g+MiKIqNY21K7NtG7zACILFpHPOoMG5dBgKK/9gOEsIVQ1Xni34kChxrRR+qdkHHUTw+lBI c5JAPB6tIj+qN6cI3fB8e/YjiqonkfiqSHf803ZDwvNdPHPd4DkxaBxRmVpUIKw4Hzy1p2HIZA22 MEeTQDHFGHBUomV3LXay3jXqBukyK3fQDE2a+Kh+lvF4EFX/OojyJCzHg8YRRdXoPSFbsjW0d3Wx irOQt8dDuzpnPCxSi8wxyYeSCI/WEDQSgXJmHf9cQJvNWch4nQcw8Ts/eRmNY0ZMS6QUTOelz8GA VWt08uTP4bLweFCkvnoVLMGePdtG8tR+xChqmsZkV8vJO3m3bBuBvCSizzVBUZGadmfnRCZjocpP eSDtAPP3rCE5MwAmvJjBJdCBCPBHNc0v+7PsBz4jgHeSlri6atS9mH0FbA4Xb/5zZHc8HvU56tdJ DQuB0m4FdoSojw6HppYN3lnczBqoD5P5QPVsmNLZiCJq8sQA95gask0QsFTnUsQJjvIirGSka42U rECzojDG0V1/oreBz/irnbg7efgctILOzztY+2jnMMPj/5JlYGWGOkLk6GuGooj6nJjg3JlCxezt i3NnJxO0eprVF0cUUaNCjibZvoPDGiZSbwAs6NXcOjFYJ28lE/HsE1rFeScIJQF8yB896Z3ZR96o GPwQ+3HmqLaqJmouGc9Hiyh9LSKgA08Wc6coCqmRquAgTXYMUX5Qx1AyyxgCfozuMqKQmj1wGJ8k H+wYgunompIXRqWROmDUFATyp6MO6pqCmfKJ+IxglxGIPyfFczjonKa7LkHU5xrA4xE05jsrI2MK 9ps2QSGImnqC2XENO3mN+tzDzdfQVr818e+8H0NFlTNUl2zwEmwBzlwl2LIGKPasMNZMtkk1bSi1 yojvHKG4R+U0lnwHw3d2ejxCVqAPPP6vcIivBh0Dch80QVGNGgxaiOebYlcRO5pFfAl9EPixlyGE RxRRT9I2UaXnoMVvpro1s0uIm/4c2bWalDVrWCcUoz+H7bHz5/EZQSy0JJ0vFvnsLp0P3wojJa6N x/8pLcTVtlgH8AmKI2rkqns0z1qXZ63r2jRviN3aBIURNZEKe+nCx+BZ69lWKHRcXmuilG6nMjJo VlAk84N6awmFETWRMfQ6AF0ECio6OkAyZSPnBNYLzweZ/a2g8a4Hv7Pe4tCIYR/QghdIOhGRLycv VNBoK1TEVPBTKK5SE1UmKfh5peDhMpdx9CTygVDQWZQS3lBa6lSTo9u06vhbCjphlZoN6bnZTeSg tGydVGbJkLEUPB7VPm4niIf6xhF4kTEzLFMj5zAJJv3TVmo/ElEDjBdL4zbr/DsRCNZQQ8uHC9Hb ILPPJBh1Z06PbQYuRaK2w+2VTfp4zBD2YYkrFT45KC0Dy2ipNt8aHg9mqH1zfxeqSL37OTSjgBqA S9DHla5OtveUuA56witxrdTGO+HQDMvULPnsKb2PebXNS4PeiRbhwAKCFwZRHzIaBh/jkqMnvjM+ I8pbLVDsPlhxaIFMqwkqePxfWjGilDU2RnyCooAa6rLnySr4/Wzr8utH6cOyVqpJSoYppCVWyQfz kOHgHXsIu8GdnPTxAtk//CvEegw8RWV8p7ZSgmCGZWpWhebcywdtjHNPCuAAT148HnE5vB9NGQtg K+gaoxmKIurSKGSe3egsu8PJt6VQgniCZiiuUZMdTa08DTZDEItdbEfCixlCpszr6T5YIdvIxoU5 MPaldw0miM5dawguPC7njmZzTFpnyng84nJ4u1VSnmcJ1XInKAqoUT3A6WydjjKr8zbnnt06Ha26 R+WMAmo4HiHTIkaag8NgG7paMhM6qcvJyFhnO0cTBEwnTLQeEQvco/iMYI9lNmY3zc85WG0I4F2R XXrF41EzsX4FQxBCoeGNTVBcoQYlethcTDSKn4zDCGXnH7JPUAT5sLsembMNPkEVfsWDuCHQk5pU d1As+gHV7GcRwaFgiIiGGYXTtI9atG62weqvrVTG7+2cFng8iBbHDaflk0f/UMd8zAjzgd2DIFE7 a+Y9b5ejm3/HCRfBTdIMRSVq6Aig1C+Jhi8ToQ2nroKLDVnr2VqbQcGgiHRiIf/WPQaFFmcUTxMY vpNARqmyn8CEA6pPFKLMuMdCzMcFVjUvDD3x9Aw5ieC1wm9ZEzSp2W9mubVZ3WOg6KdDKJTLU8d+ ap5mvXtsDmgrV4m8JkA+aPE5sMYhB/IjC28r4W+9a9AnW0ttoOGDELAQ6BE1em0+/i9gFZv7CFLZ o9MERZgPADHPR1XPyOTbNWWU63JMUPmwCYri6aFyD/eYhov5AGh+y717ZOCSMEFIxAGHLz8IEL1A TaD5jMLpJguTPu6ghAMMI/J2UU/D4xFq6DvhIJd5X1jVDCmJKAx12EPJ1HS459tJf6xaxI83FZQZ i3wQyiA9HQ4XVoXssnKCMvpAVhhCEFQBciRfSvc8byZ8RrDFuM4SC0MaDJqXiekHLjoXPP7/A4oh myPfoseKYmlkN5PMM8vIiqesZdyUtUAhgCtoRZAPv+B78uFusdTbGtQrP/EQDC3lFI8Jon3cA8SX DvcKi9NJM7OXDzZBc9OA7QeUXDweURI9lhZZmOQPv8ZWWJweYCosb7QOgpU4QWQum8YH7Xw5QWEs LYXypWbZuoUzmEyd/UXrn3EOkVILix4d+A1AHKH2c4vTWOj4jL+K026Kix+Nd8E5pRuzGTgs14Ln I52Yb/UBYnHmzTZWqDXNQ2ib5j1qZcMxH9ksXs4OAVJeMxRiPpaqruJySDqhMdsgUhX5Fi56UOop TDkhIlfR5NChK91FSCbgM4JQUTLTKVcfrOpxbtks8YE18HhU9fhWGsJNy6qLTVAUS5MVmGhMKcng cutm+zEFmC7GvUIEtWqvedzBqx7ngAFiPqksBDAMffIgKVvJ4C0X/DqJO1txdVp5mIgcfdw9BlPr xlLPrnj8/+cQyrDYPAf8naFQcpoW3bsZIqas3Z8uoteFWLPmMb1CTqJEO4c6QOPpAMEOIJ9DeQjv AUsOuryip9LYn6V6Hu8x0oXxGVHhTLIMrCOtq+a1TiqTh2LFPvH4vxocWEREv9J6TxMUBdMJYlWZ 1wBA+LiIf8x+Yy/1pxHgJUMzrKg2PbJscMv0wULFCaNC5Pg0mEqoIiP3T8wDWUngGW1+k0SerQjv sSQZTG92DZqhBVNdbrJz/ePxCDJ0kWeEM4gvdddQiKDuQOGLXH/2W2klOV9qXlRVrY6qWmE0rTAa 4oY23JwemFcw19CJBpOMbJhKpCx6jxnZppWFgOfmywZTxLLrpNA5BmcDYRNT6hRMfjz+L/1gqVWc /wpWqU9RSExMnKK0rLaYmtcW4chK8AeICg4aWiGGWvKmaU8fPOHIBdTNqgI+HChlmsDGIxJANjsN 8TGH3vVPuSq2rjWoLlSLCVUCSYHHg5P66r9qS8LW6mKoV0xLJE2hFstZkzM5Tm7ZXJ57USyMAtRh PE3PjZVpmMThtoDSSZ4mrYDQl5iD7LwELGdfrAvRh7NzhlbTywZHtS6xLGRe9m0GbMoyAYJV8XjQ q79Jq2gfpK/feDEuTwP3uorNUK3dNeHO7eQzNItrfKx/qVCP7YOHQyePmBvoU/ShMTEI2NChxuqh 7wZXhuKhMfSyUR+RyVjL3Qc7iEqTa3PZmY9Ha+iWpy0/gd2CFxd3FFLjVJ1SAafWUL45x27TdLrT eQVTMd9ReVqLCLV0H7x0dn7fVcE3gipc6lIpqDT1lETtY74hm+kdhdQtSYWJ5oEc9K1OPDskxpQG H4+4Lr6GeLNjunu7OubvIbWpLJ8v4VQOOhCaK/AFCUM7wCYoVPmgdvJq6w6+y+BFWilEef72grgp OXfwNBqNVlIt38us2csGpSE29k9aV33QObTP+bV1+O6Bx4PLrPlJLYIdcEzrSi/uEEcNG+5JiRYj 2bsQSsnLYmqQ5swrcYcxtSFflZ3Vp/yaVwfkgBK5Z2vBFp3ObbKbb8g6PGQslOXCZwQzpBsPbU8b jO1SeCtihlLG4xEr8VuFgNouM3v5dYesRKwhkC1+XKnb5KoaSVLSGqoo32iCQsSHlV/JdkkP2+Wc 1OcmOwEXI8ZzJa5Cu2DKQp6E5EQYLd0eEK+yHcXUrk1Z72AtjhNs0zwZ+lx4PFJe/EbiM8rfy6kK O4RQN0orOyRmVKPZnRVUu1kkJkB7bYJiUiKLZrSw0eCgqhMOrUrTcTTrT9DIoHIUaeXBLuCBxKAe gM8IbjL2gOZSG3FdUiKcOLTHTmaPxyNQlWdlvLUIOLlaQzs2ckFfo3WzudnVutLnmCaSS0IfyLI0 QSHcY1JBCLZlNlhp6CTrpfXBCQKIsTfqvw6kf6K3f4HwEdLgMyKfG/Z+sigd2eTSUcSqmcBFsNPx eKQId4kKeA7maz09fhMR3iOxz7pcDX9vo2/C6qZn7wER6swZCkXzVMHfVFXk4Htspg7iLPNWFCka w4FObG5D4YV8KXWie9e7RqRN0hRqLT6o/HpSmUFbILYRd6xC/UvKHBYGlcG5ZigMqIvo9VN8oOK2 QKiJ1+WnEKDOmqEQ8DFRNqP7iA1efz2xI10RWV5ci5goXHZoiCLko7Y01xANq/iukRr+EOCs+GBE DkiKC1lfBx6PVKjbV7jIwx6oXpugsEB9rhPY75peVWOXwcSGKJ9X2GgFXgsZx44BHywKsYuqwU+h xr6JfFp3q2WYoxIKcujdSJBTmKpt7xpZulDclLZuGpzpMoXIP3uMjwelj/QtTEk8c77yrztUoc6A eyzz/lnnJ7UVhKaon0IsgmgFRXAPtPiBFZIkXHok4c6aPCsQoC3Aq068mFk8G7yUENwIMqRQiC2O /afIB4DKxQeDTyc6XsNxojQ8/i9JuKwSvmIPm6Gconga/SXkqi7zAViUCRCUKsUPKFOSGt31h/64 ylB5vsND5ahoFZPKcc6k0lARKsQCDohiAfF/UUPUZOKWfH3dVeQSydZah/OIfd06mxz00OrmH4gQ nlemgfI7FNi6FHuywYMlAPxXNpDQrGwpARbTaBjIKduD2CgKmqc4riZpKVHBlIMXiQYrIMTFwN8l MX2DRes684T6LDq713s02+tG7DtCYpbaQpQb5ReDvjnbFecCaHw+yD36N0QP7m0V5tk+SyH0A84B QBYa9IP0dZaJEk0uCf0Ae9pnKQRTNxkoteyD014g3zamXKbyufnpPoNex8k+UBHPidAGYT9kPZrC grVsFbbYrpuyfco/dqMNCxhji38gCI7yL0A1gYL72XRRzRp1iZVd5n3JK4w165bM8Q4Yq2HqQ7QW DP4QFSgXS7Jz3ZJsgqb/6FV2SrCPgcPcWUyIuAZdrIjZtQCybHvdqChresvDB2vgn6CUsUDNsEVO cd3aY2w8udl6Sc+Wi4JsKNBCsnuqLLuXldZgobLNZhNuhssXU+j2sqXePZoPPkv7hMElC1YNKbBG o80EDC21qDKiXysYZUoQ4VMC1DDj7DrYLqnu400Nf3pCnL8Bn4UUAkHKL3oHRNHHXs80hdXr2tFD 4zQB8oB0XFy8AjhmFm2anRQtplCgeopjJkDauIA0wsXOTqYi2llMqr/SAvgsJjglZVLHLF3b/roR Zk8yO2IyONHmpDNlbxMASZvPv09TvVL56ijtn7YvNlbucO81/rOYEkNXLCaaO5oUUaP2F2r8lb+u 7rko3p46v8eePthiGuf0p/wgYXtl9kL/JTDWf8BugMX2Y7gwur3uX+qM0wTPc3LMDMBToq2eU5TP R+zpb3lGXCpo+t1ZCnVA4E46kWQS2zjTsqmBCGWxtdQlrsctF8bcTU1ESnlzsFkCNBLGApS0Oilu GaSAcpYG8Eyr3uIRog69baT6JWeTJKHG1D2HB/qNscB5iM9H8Mb+nbmBrNnK7TjmFMbdk57Iw7L/ Pa6a96rd1bwzUBxmhhepgcCjGnk/L57kDVNg9SdkCAeBD2cyRq3UIOzg2TY+la80mtkjp9j/hXre Q7jj4Tau5/ZBcRCr49w0fD7YcL/OJZA9B6I7n6Swlg00+lK5COCQa610AqC8rESygAWySQqL2Use t1wnHOxYOpuh47pFub9Bl2IyB66EdOJgGQy+q6psxd42qCIVUT4o4DSd3YboGxrxWByDYWVoAvOb FNP2Nxw9h7aKS46lLuqdenJRb6otKcVlSdNMA0OECKofjXKUNniGcv7vnBncbycegpEZpzMhoARl k9aGZjtJL+kc+CqCSK2eyP+ygZnnslC8D8MZPh/1Hvd3UJnQVxs3qAydFVsmu+qpRs7kae68xbaE mo9NUoQSoaTOi+xwhSQ+FOJllQNaAgULiGIq5Om2m+fKxCPH1ooqIyXV/pNvN4hZSpYNTDk+H0Te 5dtgQF6740YBsbniuQMXhWExHys1kzw/H9y21GWQxzFV6fpDEVeYszMIh+Dg2+0Eu+ekS+77Vsg0 R+kW8i7QK16P1F5p9rYRgYhlAPq/kROjk/vkkEQH4uSug88H2e4vUyrYjp41fg/uwGARk5RR7i8m wbOn19xGYqNC9I9Sl09SGHcTTXMO5eyDrSTGXImCLgOAIphViHO9qNKpSTKdK3axc+CwiNsBcWfi F9PAlXT+WKNwKa7JyuejGOCXOoic5O7BHXosLgS/dfskEQ1tMjyTnDRUlSBC7dstZDNmeTCo179v rx+YWRT8KeWEXdEGKdWdskwwsiaLzJKTLoO80GZReIhNKTgMyZcSjIeJykpw6gx9FstvZUtUiZEI +ixFStYoHCBy9FmaZt4OiRACsAv9Kvbdb3HUTVYs7XA0+H4DPKzUalLWUKSUiyAuF3Ro2COxQ8km KQq6c2FxSRZn6bE4g1unJDBar3w+Utn99vSA5loGYMknKeQ0QlZuL9f/hGukhZN7mSzPOZT42gwn Q6tF6esu9kk0WDi54LPYATrGCQ4iWSGyRhaoyGjLIwA6CRHNodkikdvSObRB93Y6C5OFobM4N5+P JL/96FaZuyPPfU6lUCqEJbievATHBFXAEQAHrAS3nNiYA7/FfM2V2Qnh4KWlsjFLrUuvOVPIrsP4 EnVNIBnHejQMqr3tH0vpBEV1+6D77eSHRWIh014ygvN7pMQLH8J6bX5tuDDoXtT97obGbvXS9GEE aFDRidDcZimudgul9X+cqio85lalKA/NUGZSNeCcHailoOJRbppbh71uUA2Q6IwJh6TpUfeJiLcQ MnNlPh/lJvsrzS2KQZ7DO3aJATmNXCkU4CqV6sl6mLIigOIuhbVtx/2NyU684zXY4Z0q4lFgmpGa nBkfKI5XWXiCWUGuszXeVrK3DfpKYuurFMVBk4S+15Yo/Gx8PkhN6nesBIXXNp/CUmi9ONA3mdt8 KOe8BLUOrrX1/9da5gqXQ+9FaNNC5VOFkzYfzGhBlbtS/RuN71ZZHa+IezqOoU4g0nrAbDk0X1T/ drGliKH+KFBOgBbQ/6VgJQXuiyCEf8vsU7UZB5VPUuwWA3srXMIseO9mRQF0cHFOq0aZrsVp6L84 hoRD5EY9rxs1SKTnhwZSDcjjsSkCDzdqUEZRX4fslpPUZEYd+i8KnA3JCB9UfMvQxmBqcs4tPv8v OrFZO+xvdHaOLRhxKo3lVrlNuBvccEP+QxsFk2tSmQMPRiwlFrwbJ4uDzxLoxecrKctNqWxegokC zriEM8V3BfsjDJqfEhXfZNXQuJbQXBdHERcycRY/5x82/0AQU97q223l9tWf1RRG3lBUwy2meTK3 bp5L9Mdk+a0AGmCrKYRpE7C1LCD4EtyvaLvNzSJlb8iB0cw9qcH5KaGCinAs3UyXjLUcWzGKep0Y O8w0qvFiOgJXTtOZMj7/L0F5wW4g5jau73JsxghK1irXSK9cf53JlJ6Zbq1uxpgDN0a9P/Vlsw+O bjtLCRiQJODNCSt7EjGU51Ey4Tm1vKn3lEM3xkTr96vN56f3OUSR9yASOGk0n48I6t+RNwIBwH3v JEX1bthOAOA2rfrWZnFoyTYqEpon26tvoSEj8E04keScO6/4HB1oTtLArm4tHdec8pNEhnj+acQc 1UfgMYeGjMp0J9WKNShcmm3L3uIE3o3PR6yRb3wS+AWtPRbnoSUjWsaQDEjW+V7Gg8z4NtlLlGPd SQoV+qwOQIRSHjfyRql7nz8myevRYBinuApCUMiGqPQtVSPZeubQk5GM5BOiIv7WoElqZQrQUvMa fP5fNROd01i+l52VQ1dG1G1xPRh+Ah4UDjCZbV+Aiate58CW0V2qM/INGzzTBUppZqYn5/QbXZqr k1h20Ax6vlYyiw7DOfRlHF1EbNFoh7NregKNQ6a4o/H5KNG9gTd+RLn2lOeKiwJvpFJoruv8md0d 9oAyya5bfLaPF00Ca0aFxMBJirReHxwORHMhzGfa14DfkmFTG4UgTHHWQsq97G2DG24Zbjv5YBQb aHHw8zZP7hBo8ssjNkPLACiqO0vvgTd0GtHSlVAo/kk28ISadCsS4Jad29dSXO4mmn8QrGXqgnbB Qb6nketXQYfcPLoL2xZsEcMoUwXDDPcSvW6wmEjQQ+3FB03TSQ7FkoeYOp//F41EYAKw5h8URejR CPWuk77n5my2ni84eRnnGG57XsoNTRpHzSqXdB9sLVUg3Qr4cuAinTC7UF2EivYFGiqlXmK/4Ns5 dGk0RxBqxGuwCw4tyqfeHdg0fstgEx3QWV56JimKvDdzOC0lOH2qVIINt9QpwLE0AEixSYoib7g2 g5ItU5B5TUHyxMQgDSR4EgRJcikGLQChnTEfH0LrVAZOjYgIeQ+yoa9BpaU2WTlnxqGXjNSyrnoo GXCo/H0vpVgMG80oxlKsB2xzPEe5uztXYie31M2hW6PUsM9aaD545A35+TNvOJaAFVi0s4TLZTuR 93JxTEN3bX/diE/CivcadzB0wIYFD/dQGnz+X9OEfxneMLS281mK2ZGLJH8PltjUlNJIXR4s5eRQ 9xxbNoptI51iDl5b2oBj7tGleAQN+s2qCbSaAUPkGWrREnc+PyUIKbfsq9WGa45nORmUVFCREuot ox33CwWHwlBF6uTTFAbeA3ojrbg/M5gbYtkmFXapNzJuCS7wbUyyTEMzuvng6ICTX5FHIVEfnN4s LuF6GyDcZxIVrbiUl71u5F/Alu7kJdfcywZgFQD4fvS/8vkIkJO+g8oKDuBTqAzcG80lpCYrvE31 PUwxIisDPgkqYhubpVB1RKLqQ2z+cd0tIYs9BmlAMFc8kY1hKOZJMQeqhDnvW84lqo2fEt1xix0P dS0f3ZE2DQV/tnTm8/8qeovNBIvLa4SRQwtHBLMg0hnpv1LjRJz2lMzyYdPSVqlu4OEIRCjLSqjs 2OAYuILWQqdXSAPbtpPFVVg8QNoy1mNEvLq9bXTHMQKQ8SwHTRKUXM31iudSiO3+ZS436CWVnkkK a94F1aXZbCnN/lgWqcQ7EcZ39yLOoY2jat6wCPbBT2+wTNaqhg4YOLhxeqOrNnHRgVR8N1xa9roR s52MUlQ/bNC51E7e6AlK5/NRqutwHBnM4bcBUMqnKSx6d9qDkUeCgsBuju1asMkr/1FQrtHTuusP RQ1L7ritS27fSw5Ve1T0KHrYiemaOr4rmNCVDN91S5W92esGO65Sv7dS/ZCD7bgFWRwm+Wvx+SCq fNhKjL5B7muPHH0O7RwrrUMyQFYEm3RqsmGeOg62ghZKgm2V77k/y94QeS4+cJ7Oe2f0c4lFgiZO p81lR30AruODUgrpxkyU+8mBoaPQ7HS7XD4oGJjoFzEKOuEEn4+CgV/5Lpg97TIDc2jpCLgH8IFO qOAtrny31mGZXM23sxt4OiIlpL9TJpCCg+e7naV76o6B9b5nFuYSgXdnSff6GpCtzg8JFpNpaJbu g6Lvc6AKwombnc9HmVz+jgUKDKX6FbXJoavjJNwkNyEp5iQKzfrfyYtwCUV8m6UI6c3uwLlYCc0p TzuOvd2KdhMVEE8aUrqqvqiYoJ/fqKEvWRtFTIGvY7ZEbs52B80StAgFEjjnMZ8PLrmHQskbMZsW rE1SFH2fNOskucWASydgsr7c+VX7Nrsn6kP7JMW6JKwG7D188BwFRqwoM5EBd0LxTPWoSXNX0pau nm/JKsKF1o6yWZnQ3EFjFyGEPGWodUWx0ROv8g9E4vTfPrxt/SLB5cDdETnKAKUim+/cpoYno+9U jM8N1YTs8K7Y3rFLtqVtH5zkhXLM2W8ECWD6AMiGGADSqEZ7x/540XR72z8bTbrkll9y4MGlpIUw u56Pit6/9FsSixE3FAgMHs1UnuLYipeKhZIn3SUgWPESTguFAn84PJpVT/LhduMmZI7lBDEoGVnY smysN+af/dQEaHjBD/nL1mjLHHy7JzKclRtFk8+sAwsfejzOpzMwfxwp+FS9Q49HUDWnuLAImM6h YcIkHfqXWlUbTsMWCQQmj1hKogzQwXGucfEmqSIT3OpZNiD0ySxMCB0GOJKZF5Kj4Ja9bsBmquK8 1+2DobwhycewcqfK54OK7mPrjN0JVcRHQzsHRo+ZZaGVytY2m3tctaQkNWSqJZXb/g6cHrOlu1uJ yv5yfwKnAp68lu4i22G81BKQnBDJ26k9LJ1krxuFlUSabJ3h25ThIB3Zp7Kwk27x+SDdvcVKuiIh AqE5jk9TVPielCrpJp1wJox9gkVcTjXLg7OE3WU+B36PuAaIWsrkeedc7xWHXhxqWNIqgZsaJSk6 RAIa1MngNPVLmCwHho/nihI2l6xIDRYINOh5cZbQswwcHwHL+ZYgRbbcxvXN+LwES/V/EghfJHYP W0qDqRGXEsJ+zVHaq1qG8hIqnT8D5BIbJ1L25+AQ73VCl53YZGqdKMihii/FMKxTL0V/9pc/L4ES 3zQxh0nlGQxxWoGM+iF9C0//n9nhC26jni7hTakBjyqMMqJocjbSt51cJFquhSwgw4AlMy+p6Jvq zA4mp5tWvejv66G/T0iz5krNLWIGKL9ZybhvQAycbHjd2REA/nV20DZ5lZiA0a/clEBB+LyER/yi 1dLbpRoA8CpISjU7L8ERH6K10ZSzLJLb5tKjEJ7zOklvDgp4CY20dIbcZoEa1eA5W0YhgsnxgGZk b02SXHTiBSLjkSihscznJTDim9KwGEo5d7AKd5qiCZ4d2z4vUZGm1urbi4d0RRrCRiYn5yUm0tKh aXorVt2GsKzVbdvqzeq25381HNdLRMQ/MyTfj/m0wYPrQvUWqWef22ovdlIGPIgaKHWj3Mmhw8bn JR7iR/Dc3YlWxVv2yRT8O3eJ/DMBfcifl3DIvmj3paNWUoU4jk/PSzSkpxa6bRQ6oLJE664s0XNx fRu2EeSjGqydIfuHrA2Wr43qORVOxI2WCStsc69JQ42yAYdDHJTJzJ9i4zKPfQmFdO5UCSISG89B txdbhLh6zpSvz0sgpNlN1aYn6Yg69wLRyTSZCmYH1GHAtr1Om+y2QgnDbDELXeDNIjSYHdfNpucT Bz93QAc/Nwnrj+cmB/0TkSLLAkC4ZVYAeW+RQoZXfZ8dVfrPYqs+CNt2QvMqOFGr5fP/GPuy5Fh2 XMn/XIvsGedh/xt7dHeAkaoK6JS1tfF29QllimKAGHx4yX90DOp3VMYhaNJtwe68ZD8K5YUGT8N1 bdojhThNaxxD/+kGENHuNNZiBt+e+e4OobcQLyIu4tx9nSTmE30KADFQ/sG9aoWrzA2id6tQZpRn R4vurDHQamGNlcfnJe+xsLW+ojKR7aP67rwkPYrKjd7o2Ux66GNhNkauHHXek8a+LOR8o6jchYhM 2xefYm94+jaap6KvthmiTlJ9zixFoXOeVxCajN/PS8Zj53MJEDl80dk5KXkyxeydPy/5jn3D8X2j 46WaiHncnZdOoy46WKT34nSttl0qoaecLsmG0niUHgvCcqKCxu40xtj96rXkQfwD4Khnd8Zgnwjt WKBGJkj5cA29MLZGbbZgd8QQBK/JF+VwGNIRWnveLzwd7I5hRdaP2Yf+0GRQu/PSX9RxBdw+391Z 28xTwY9J1d4sKF+akEQUlROjMuoPW+zsQBEDg3zszlh8e8iw3eeY8ArgrNrBa3Qveukt6i+wJbNe uy9WeSX4knhD6KWz+J+XFo4ZLDlox6FsMMqV0StYiZNiko+KBWiwnvp08ANrSHH9onxQzaCZii+e 8UwUn4VCJGgLDLFGKwaXeNl+MlmeplLbxPqPXi4ObddUm2N6m2N3KuLhtyaOJsqXl5kXWa4KzRxS 6LRDUcKMHhC00E22jkY5GgrRnvsq+12iX7hDhBhlwbHyA8eCmCiINexPw0GE1gc1A2g5aV7XWD9V MY9Ez4qCs8AzY21fdITSSbjlGpIrHw9y5nuzZ4m1nK/MGbC26D1rRiGiCGSCSD3fzCdJB5ERCPrN hqAJtggzEkDVUvHFA3Q6PxPOxFI6PpVKJ6FmS80bWrHXQ22pco/y5k4UdYIFvS0K0FB2ZbSuDaSj 98QZFZvvUBGPC4Tedg9RlDpXuhfJxbqRTmOvGYbJfr8zobZhfhCkkbyi3urFF09/wDIj/QnSfjDE 4ri/Uwu+M/15BH+mINnv2bMyUGTPpfqiG75CM4K95rIw44gC0ZzfYRr3Sblh+o27Zh9KfNGeBnu8 VoUnEE2X7O/tmXBEO0RdttYYj7hohzC0Q+OBLnOF0kgK6bSsHGh3EGRMUa0ujZb39NnFoGXJosXa redwCakG7cM33ppe0luZ0sAMGGO4kfsORRk0qPizj21k2r7MGJQi1K7Zj3amVe7vKTRCPnaIMFtb PFSzbEAbFQhjeKxYLgArMKQ9eT7NVnrzvLHW9Jok5tmFJ4mLoEUn0+p85SD89nkjralYSN+1ewZ8 tM9+z9B7Fg2/50TpVZ/fD3bqaV8EhonJZQ+Xy37jrOksUk6rFLbIuNgOTciMcHCIyTTg+ETMzE3p ffw6HP+ofBexL0qjObbfqesl6/6Snfe46iWDgNfnjbB2K9yvPPrcPsiFfYOiRBoqliu5a+NcpbhI RJG/I9obq7hT4RtdTXeZmodUMdZiYWihF0BZMIYh6OOw+5NdzzuXxwFr7a0v+75DQwP+1bMvCkPn 3BfBgU5C/3ljq9371iI1+z/4AukeofdkGnCiQStik0ECDtUaQLOaLRZ7hw4BibLpQWuVApMaWywM gfJ2Us/J4dgoIB2LggR0KBQR6HluziGaaLxn08r4MBsjHJiL9ccwuGKc7pCtidJp5zwuD+/oxnqx 8UZTsxsIk9VpyP251GYlkgiKLuY98+iMRAk1emDojdFapfRrrXISw4YeUKfMSAK5klMhtuIgYvqz 6gMpnlPfNdog1iRNOD8XwoXfaJGFcyWoIcqohWHlO5Z5k0FSYHij442jpruebbLa1BwD8dFRRI26 r4xCBbgV7tAbRc1KDmrU4pva4i8ZAmqtFNJCtrLJ5TlXF1nnUO7/UqtB1vd5Y6hZxsViHgwKWwyO lrpJ0p/P/bwR1KwL/KvmAGKAzuzaoCijBvgMqhDCM57/ms0vMoJzSeJLoAupyfqeUQPLIPqHzObm JTrmdl4ncIpZsZ6NSI3+TtA+/ukbrJI1x+0FEbz/Rk/TnSINVqcVeTNoD0w7eZOdk/l5Y6fZTba+ X7JEw1bvd7xx0+zgohYTSA9TntuGHmq1i8GHQYyO0HtCjfnLEoG/++IQq3MY92pUPII3z5JUdgFL BVw10F0fIBqNH96Yadby4Gx+cf7ARWcIyBSli7m2zxsvTd9xffc8Cu5W0fe4Q2FCTa3sMW30fLJo b5jtlt07BKwp26EooU7JPHeHL3bV57M7q1EhY3Q4zCb2ExMaThNiSxU+Mg6IobLIGyftKezPKRRX pt0xGGwNTEEz8/F/VfacqCJxmPcme2OkqdqFIn0ra1tbKLmOb1vLyEVgXScDw7wR0uwdl0b2vIuz GgruepbR0IMaLPKve3wiIvDOU8UjeiOk2VuWiVzgxIeL3jJoBJKTA9OWzxsfTTuUPFIXkRrOZS9z Ym5RlFGzczb69i2azbcIN7QD0Ve2jPqNjmZ3mZGIpi8+KUTzB5g2NhbPDSlf4gap/nPlAd+EuGIt +2JfNkiHktj7ahK17YEI8llCDp9a7/PGRrOD/l104HqtGMHaDkUZNci1K1VTyDgxIVvX/qQm10it QfpTO/SeUaN2YcI45Sz7xSQ+5dPuwPcwEM2UZaQGk2U0eoEDJA3PJmL2ZYPuIvv2G1Jkthg2b4J7 y4Qx7c8bFU2/a15fCSO8kxplk7RDUUqN9jDI0IIouI7PkHdI87o1udXcGxFNf14i8mphVcbF8qEC QlwlhnkgevRZhecftJoDiTnfqqwMfddgg5bar1NLMufmTA4Ek/hTXu7PGw/ttsKeUI1TX8tzmYX9 aUyc27Je2WzdbjCYh5TlbxltG7hD7yk1dIiZMQ66FXLxjBHHchu96kQPmIETR0R0JX5Lngj1z9rQ dw0yxiEp3558sUgNwqQ5Y/TPGwXtP1ofTBghPkrQlTYoSqm5QTDB8Eg9nQ/TU3O17AVwviL1e0qd 5fvQQPHw5dYcpzhIhY6gkOaTjm9LnPlkMI3JId4qW6u+a3CEOG9GM88XAy0uiNIj9p769fNGPrPy 6rsoo5NarR6n37hnSqJUlFlreo7qLdi+R7Ee/rljq5nxvVHPrGzF0dHsS4u/Y2i3M+ZTzwARmsh9 Fumw15JIuLIh3NifN+aZDbjYet3Pog0ieIn5Yh7580Y8s9vWp4cS00ICQ5CRdihEdUzyqdvw/qLf ZNgh1zUsd374xjv7usk6idVatEM4K+ic0OQpnf8zBhOnSqMEAKmggSoX1Ezs9eeNdqYdYnN6SG1t XNH+AVa7zNHPVfl5Y53ZXf/rIkNp07pfZG+cMx2hDDZVdtGn2ZyZd6rl5tBgyljxHXujnOn8M5Uu UsYqjzIW5MMhsMIpGWRoz+tH6VppZUFQ6CHmKQi9Ec70klS+iexpa1HZ2qHfSZ3VU2x/3vhmlvR/ F2UcIIiVxw2KEmqIGM1C7RYhzIsr0gt5I4R5zRaE3thm9o51CqsR5FEf6kvlcB6vBDdodbqbdkIM 0aiYPwhYHoQohvHGNdNN0LVBGlcTP991hE4FwwbsSSTK541rpg1O7WuHaKhdb3fxjWmmp2CCNTiA wQ4tYag45dj0MMSXrcU5529EM+tSojXU6Dahxd4x9CbH1CDo/Nmhi8GcABEyIwWa3vfoo+ib/jmE 7vJX6d45OzVSKvy4epLSzxvHzFKRXweI7KnpbY83ipllXwg86Y44xILtEndwXHmZrhr6xjCrjonD qyWiwr5VPWzl2onLlAmBFBbUW/GGIacuC/qAjyEoIVefN36ZDvtSy2xPX7RBYDPJ9uPcAZ83etl/ dM6yZmpo4K+7Q1EyDX08hOZpII91QR7PNXYKgmlC9G/ssq9JojhjWnySmE8U4lgd7DJYEbAxhCHs TwdmNA/WrIrSfevLRr0zdobas6g/vYEwFhNq8jsGs9aZfr47QxiTXQTVG7fMMiHAO7qND89/VXfd hRyqAcpPTWvgxDdqmQWhKk4CW2ebA5op32bMD5ExU91pnC3aLMhO7tDoKJ7WlXWgBsfnjVlmLXgW ZFse6RsHmlFogibCm7Of2+LzxiyzO/t7i9ZSbuJbFGXTmIDh9kq2Ra30OwXqnk2vS797o5XpJaek w6kupi92iCCFPUdjRXaiIuDXk+jWBfMrImKuMTHeOn7GX3OyVSQzUwAUw8dA4qBTkx3MUD4f1aye TsuWDvPsRt0N7VGUUONz8XHamZVWu0182JHL8rLNmy9GPWorV0ctvnhdP8ACQsYOUEObTZRglm1j TU6c0j1GhFO9Mcr0By1y6FGwrnfM0Xc2Hevza3/eCGX6jsWRnMwM6HJXPBS98ckUisB03S5+tRKO unmHUMtN8o4wii3EKsawD03KiJEu5ZGchexirkQHDRRrbak5BMzyIJCnXThe5uji80Ynu6gEoKyF i0m8WNkcgrE7K9HzSZ83Npne0+E7lBOLVC4Nfqa2SyH0o1CSjy0ymIDvJTNQiIXw8IgPjHwWAfuN Tmb3MRGvjQ397Na4pJOdYJ1nYsA+leq5n9kfgtECwJ4/o6U7T2xF3/WPnGgBIOqLgYdg68g052Tn nzcymcLB8leNZ4Z3BBrotkNhWo2ADQM9ockhmGfa/AvkT/NWqZxJ4xxFjWpY6GAWr0Z1fxrVE8GZ 1sN40yp8QgiOARVykszfH5VQtnw+b1wyHYQubJ6cnrY7PZ0MfUtv9uzQ+rwxyew0fMPPGj2Mnjft Pa/GhAXITupz/x9LkO5vWqaHG2Tmzo3kYnxvPDK70hiLNq+JLCFKdT9ODN1jEHDfIIKxObVvEEob nTwyUrslXEw6whuPzNp9knOkyBwXwwWfDaqmW1w+bzSy/2hUq3PSmK3W+5791apeaVTvoaX2aMsU J9tBqcCQ02GrmtVrpdiHFp/bw54nUYcVAtgnhEz5iqAGBW4UdDWrXheNwN9YZFbfk+RBosWybJH1 PTRTZFrY2ueNRHZHAdbLx5M4xqAz+A5FnWqMek7i6BKqZS+n/ZwH3fcyVx+ZvVHI7hlG80xheyyv 76H3Vk7ORvXrcu5PwFQ1VcO5Ijshe3LNqvfzRiDTDqmGy/UuNpZGn1davHt83vhj9sL84m1M8n+8 OHujj1lxRohwNwB1oZeJMsdpDRCM/5qlRW/ssa/ytRX2Grl49QEhkyQhXujmb0jkEPyAuTniQHcQ bO7UKHzjjt2pKerWunzRBoHDpybhLnw8gn585410Sm/FGyBvxDE9BdGdlT1vXKrvKSt33zFQow3G +MYb+5rbt0TWL5dbni0oQBSJXsPLJkseG3DOiZ5y3zcMEef5Rhuz+krwh5J8sRMExRpxDk79+sYa s1/1e15GiHBrd4PCxHpTwdkbIHsY6gy1ZFYDBLaDENAGTbOFOOrCsmwJPLTmg/xIMI3E9A8NkHMq catZ/VopE0xOYVMLLem7Bpc9EbCDxnNa1CGCC46ajNAAaxHyY/Zf4CGkpoRKaIPCrLoVzoKe/oe3 0AyQjw+jNKJOUNSm1lC6lJZ8uTfZ+c3POyp9YsA+qU8MNgTI9YAKrwvDtzAdQj+I7ywSFOSiI4Rs TgJDJ/zh8WBsf2dBBL1iil/pHi2OS5hWw/equNQXqL7VmmhNkCI00QoqfJFc3tNqDFr4krW9fPE2 LGxgFoli6DJCiyiLCINRB16yeUeuRFDiM4KR6+KoAx15W+wM4eLkx52PwOMBkeMyyDheRTQs1PDV DkUpNcZckPe3Q9RHeyQauvk4YAjoNKAI+zEUf+DuYYu3GU+UPzn74EVGD8MpB8jNXuj6gZ6tVWbs b+MzgrqjcCjd2SVa3btEgAlMicqfpAiPR/ni91tGY4mW7gbFjWoA8mdxnT1lRZM4WGvJQni/2bSs R41qquucolWlx7i2MjBo2GOjdwYbvgzFVHrvgLkxweDPQqCJ4IuPxIf8hUDrHLSdhQgQJYx1EIZ5 fu1W8HxAQ5zfjViq/Ld1m/k9JCLC0XH3Yoz6KcQnunHggFl9f955a6T1KKee5m49ki8+lT6/0vkN KEWIfBpibwB/AE01oIwAedBHnKHoywbpELGhifolWqzXiJkib+tzOeLxIFY/vWoNRxCKqIatPYrY iBg5zDKMogkNBddm6M24DMDRg8SM66yHObWkUDLx1Fz8Ojs3+4lvmf38ts4bJgNVtDsgFgRhjgtk ZK+xR/3qRQAESS5Y0CLgKSrO64C6Hx4Ptmj4i1Z44gbgJ/cQhSn1wJhDA1YU98RoyvCyJMOe70GJ SW5QzEiUvq4gseMBCyd8eZFPYU2UMfxgNxadN4C5JdisSIS3HJ8R3PeSsxwS/x5el0FVKgli1RGr o5R67JsxSqIIY9d1Q1HYrz4xYJVCJRhE7cGrPVNrQAby1Bpg6YpYHebUpshAHQEuXty3AQtfqunA 6DqTv3jCz3kbBmQ/IcF6lZmIQutRv1pNIsgZ+mL9j0ksErdo4vGI17G/IhH0Vihmbzv0nlSjVtnM FCWqfxIVyp9WotAoXkVXIpALaODYI/BHEnJIVUd+qo4MgMwGed5sLondPrk25oAdEBWKU4p6xy4M PiO6zehLyIa+FgMynj8rk2Ko2eDxiNbqG6ROCXDu2bvVPYJTI3pMqqSwP3SKQbMiaJvYUSZEgOzZ SxZhP0QJAkVGC29fyTTXAjldGlw2yBl0ElsxMxv8zgSkWhjCGcGH/JERATiUfNERQgJWLAHbePxf QEZyzSE1UWu9ZyhMq2FJWNkkpjSjlJk2JUqrFbGwjUr2lkXN6pXUrP4vue9z7s/3pr4p5E/mQDFG iAPEkzDIxQjJJq+8n/AhQem6jB+zfTH2VDbI0rlEGx4PDlG7DSLBYTEXz93z6hHl1ZPTvZWqFa80 9jPbxjs5O/ePEcxG1K6eGrIM8szquN7ESHk71J6oY73PdnVjUuNkIg/ozK3NZifru/4xFgLZd/mi LZrnTzI1OMsZjwd59UXIKCXqQOh4qB5RWg2oETRzmk080nb35p2tE0Jt/Gb88bBTzWseTli+2CE6 L8EYDShbZESnCEQGevaNdCuwDXZ+SjN6x4yQo0gnC1AdfdHAI6FFJDmKvfB4NJ2+pVmWQ+o+//c2 QEaIqc66w5IhhsvePjijoxqJCxAHtC2KWtWZfZ+2thZSLAcJZrMhY7EGSMPggJ0A3NAFPf48rqw+ QufAZwRYT+4NLB+1mBkFFVw5gIenIh6POkTfJHu83YXCZ9qgKKvG5p/itZl+BZxMHYZWrywzER16 yaKsWlhqtDu0ZN+gk0t1uMZQWejEpL553W8ki2UhWyfUU7c9ghM+I0I4MFVkLafFEA6rSqD5vN0b j0eXmbfyOYTE7dBudT+ilPoEuR8oiFqPsVNTUydIAJDOx7pNp0eUUmO0Dn+4UX1xGBrsPCatTsf5 BeomlBr1LH7Nk1nSLc7nQej74kOCt2yQ9LKGBoIIvFtbNI2+fgrKjOf/STCTfg7u+uRNohFl1XAv RrddMQceCh6IVhnZBouUlGJpNqKsek1jS29fHJdfIPwHAWGoMU9CM9EkgpRlBaekXXHBTOs3fEZQ vbLftuhVpEWRGjMm1Vpn6/F4FIi+wZ7kT0FTyjYoRFRDJWaX0Q0EIq40lT5Gdh2UBE6zDlGEqC7U h/lviaFzv8NETLqCJzc/qSLdPag0KIFIlulqrRLsOUKpj8ruGeVLtDjYc1a6G5x7cuDxKCH6ru6F x9+eMo6oUQ1Aw8T4yuhTpPlLhJmejsKAgMylDYoa1VM4oim4sNhBmSdoLDBDCHAoUKwQs2PBDY04 sElEh71k58fjM6J0iKBiwsS1qEN0Dp7ELYBjx+PRvKx+hSHiV+dFgIwwp55QOZWJHqfS2Y3i9rCm Ptj2xTcozKk1SqTRtxaf3Y9T108JDbVzTdZBfbMBx6yKGRs1ECxQbx2hMKeWD5N0CWieYqEDmgSs zcfAbR+2qp+MkY1YTAbqndyPMKmGpy4dJVFtrLSTJtRkkze5oedKZwmGobhXzRsKcyNbnPwygLVK FKbsyGJI0wOY+vxLDNxLlmu3xJiavmw0daUb05a6xe3EcsQ0jIRX8HiwRbN/R2rkR6cS8ut+hr1q 1q7bWmfnvzCcR3U/klSaJgUXuzWIZiiTJyAR8Pu2WCA65xmRqMiLabDxTcBnQUO980hdMBr9PGaI ANHxWcQTcVFllvZQVGqpNTweHaLxVbsCxFz7pZjNKKeuneiPqnJsnrLAlPLADnpq12ztjxnSFFXV Y3Jly90g9Dw62UENDmj4qwH9Adlh6AiOhyuNMMbvGr1mLF1pCqTF0Hp9cFSMDSp4/H/RjkF/qbXb y59RRg1Qg6S7edf36lqUbeap/xFehy7dPWOWIquNTPBHzvkbz3hestrFUjy7wfE1Dtb+gZTbDzXy nelKRYIZtqqHjPPa8EUvBqZMjNsw5sXjwQ4NT6k5UwTQIF9gw4xSakhMoPArjontTp86GVk2TGzG vkm4KmxUD97ylXhGLl6Wnar/3Dv0EwAEHe8uyUHIadBUJLjZjBi7fddgg8xlWuypddlTAwq/ikIp 4/FIjPJ7Ko0g2+rtUs8opUZT6pTDSdnzqujZezZko+qSYQVoRygUzSOM8b/RMaUCiIS5gKyXIEVE TUFQ6+mPjQ64w/IxO8BnRJoWhYSFMnyx9lAvhZ2Ek7lUPB6MFNsvbhB0cdtFVc+4S02pj2Fje2NN s+aozYRj0gms/o5FwI+unQFdyxbfoAncyFRhn86xREccXWokRegdIH30bIi4hhnl0wZh3HTy5GIt 2PP1yO9v52LB4/9qwS62YAtOkBccMwJ+gE02i2vfTsQMn3PkZV2i895NY9vPqEm9qAp3DtvwxZvU J/QuAJBZte5BJQqyy9ByQFDvt/PBNx2fEfGAedntaUu3sh49YqYyPeMaC4Ef/fsVY2voyadnSFAE YHiyKqZgA7wfTbCBhHCmiyikLAaF6nlVejEU0ePi/UWoEfRNt3PY0vVMD7glv1rdbk8Tf+u7RtQg DoIgxmyLrrEEu1r1zjYfj4Qpy9cGYTBR++Unzgj4MWm4OJtLvVefuJ7faTn6jCJE2qAwnyaho+hN 43JxDQlyxPRbrHCSyQzSE1proMj8ECPjPWqCzmeUTzfZmUgTd15N3PNmlS5rhXMw8Xh0hPyip7Ap iqj97FBIUOyQaVjWaYUOZvb26x6OHQJg2d6xUPODGMZWODHj4u1XtAELuVxwI4eENgWu+GqBUUfy lO75QSv4GYroiTW1910MXDWWQRhLLng8gsb8ap1JX8yD0Ipy6UTeguh3KMlasSgNQTqvWQtLThyh FeE+BoU7oRLsiwMYE9RyAFkG7qOf3EeiF1D5qrSRe0D5EuVeYX9amkzg8rr6xY8UyJfEZtvJ4vF4 JKvTvhKhTP3ze4JW2J8GuOAECgnEOBEPGwQso7XOEhJKbVAI+5AYt+kP9TtsBTVtAz6UyFoAXlV2 MDgARKm1hxyEso+f8ZeG3qKhxkIJ88OKDKz1lsm/O4Gh4Pl/ds44KkNGwOxTWxRKTzcUqmlMB37k 6cAPtV8pgD9cXXmFwA8RyTNJQmBJ4U/KMISex+oEfpwv1aaUuTedpRPwk/u2X5HuNnxG0J8mgjFB ztIWRaFzEqTnDD0IPP6vckNY8/rNv1shQ5E1fR2GEk50OzPJD2+/QujT2q8rRFJTk4nNQVu8tQjh b3CxWLCi8CCFkw20Si5LuRjYSfjZCvvTQ0DqOXyxKHQKMhaAQJPh8SgK9a9UKAOZgsmd71CUTaPL cSrWeUeJpfgosfvE7Lwl3eL0ChmKhOblWu/iuBjIiCS07aHQ3WEHQOUhStgxuiP66iLbnNevCPPR 3SOo+GJQc5g1a67TJx6PSB3z+yUjCvZhdKwoncbsCa1iFaoAzu8fE0AT12xTzHPbPHrFih8kmDXx FdrDVzi32PkDF2Y9p1zdudKwhMP5iXFnZpQ250niz1aE+ti85AnVskVbtDaYRnxz+sDjAepjfePP 0LxrBK9rh2IlamDxacGCSSIlyayBTyMwMYPmsgb+CvNpGUsQRq7F3jLk5aVtTewHk1B1PRDa2cui xbL6QnB35JeNBC2EixlFSzZm0EnmJ5tE/dwpeDzYoYutUiyqwlJ7Sr3CFjVezBMfvLuImODdRXwH ieaKyNn5c6JQTXkm0YO4XGBMPfkQhrjIGPekBy5hH40WQT8j7Qv7mEPfNao5CBVenIpwUUpd9xY0 /xzfjseDUH31CER1nbC46neHQjD1FKTK+AoQkbZZ4l61OEtxOxB2RTl1pxwKYC++eKw+4QVsXZ4i +pWUSiNFjEUWQBKDppzKdVbTlw30Tpc4rpopNsd9bAyVlggLq+LxSNLcyzLKNqKBj96q7VCUU6OK nAOjB+5QpR+RCAu7OT5vLhdeXn/r6CXF6vQrVg+AILcMOcv5g7Msg6vCz5ARh3zEVZcNfdm/qK7b 6EHzKlNvzLhtuMPH33doZZ9zDFUoP/Q91w7tKKkeAMYMJ7XO1asxFk5cHa53umHsIeXukKMoMENX 6+Mxlyq0KRtsDZyYPU8JMqWZO9ifIXfJWx8ynN5RUt0kzjTpl8vFfqsT6acA+YOP/0sWRX53A0fI X7L9nlQDfQaocDL3hBMkavLuInkZyhiBQ9IGhaIf8lTIbFBf0RuE6lNrnfOcqaO3O4yBeYSQiQ1A u8jU8suMuvg7BFPzX46sOJRvHDoF5BS9EJq5+z2pFgDM50BMENFVfkrXHWbVoL1M6kSyuJ/eE+qE 4avwOCmz4c13lFUPtT2yKrP8VGaoYE7BlJQ0nliNAEATM1SNDZxpTxoLnWfxGcEWKVphlmuL0V7Q 5eXHnaQXj/9LnUliGBPkqXuIQn7imuST2VvWCN5hpObAQ+JDFZrB2qC/UR8l1e7LHdif7BCpEDeo rlZJexkr0VPy3KQt3TEQ77IdZdXkJe7E6KrFzxDRdvSYznj8X1qMXrrCwts3KARSo/kxKGRCW5eV XfajynoTH0a7PG1QTE5ki3rNu9yE6Oz6lOHWqctqIta6wiIFJb2A394/w8uJz4jKDtYbRfzN4jjh 89FJA/DzP1U8/i9EA08LoSPTe/g7VtEjGt8gQphimdTySW/KFf4AF1QbFEI++IrVJJGm9HSHzvGc nZNKgGDPo0mWuJPoH4y8vsD4mOXgM4JsyEQqU/JFGwSQlbiJ55fF45FEnNdl2EwquKQrX7UjyAck wObeRXcW9JfTj4u/l+VToEuc2hHkY4hQNzRIHE+cTueiAq+DDuU1EUREyVzU54B/TfKmNIvuXd/1 D/mqU01BAF+LwHmo7YkQ4CBxxy3q+p0LwWigXmbZDmU/IOYJHrxIQYWTKpKCRjXLW0Bgs29QDPng 0ZF0TN3fmhYLPWRC86APu8yughbaaKVRa5onqNCEF58RJIvJaK3TF6dz5OLUu4HH/6WYK/FXoCYf ZfMd9qg7s+hqxLKey3VYmITp4csm+Qd0/py/1Zk0rP+6xmhkMoTvLAtxmTTGhD5HgQ96fuwDKNKP zwiCEHuCW0RpLrZDNXXOuc7vyseD3kf+PkK4HHq+8lU7SqcBVV05mQ7+yjR2oI9J8SNUMAb0IxQB Pia14YpB9Ga/QagkxJbGFjVuZ2lenFQGARKdZaGGlApxyrFDxQ81spPAQ+Rv8xprZ+OZDUOhD4// SyKOc+tMpNeFDXGWGmwRq9am+dj5LxI7iLyVsfSmlidIKPQhTWFCLd0lHiItXraOgcY0TSjG2aGc AH2AQkH7GeR1N2QvBhyiRBM+5X2XljAfBv3gy8/ifp36M3PP0FfgD4jA5vcgUUqPslv7mpmkUEsP ONguX0SxF5KAMSekbBNvOGnecovNFObVXd5+ovlSRVn71ObGAJk6TbDCaVvawhhtDCjQF95T7kSa 7ev+IXK+ViYHjws/BRhCcnV/IJvF5yO+ffsO2Y3mjOvuUuj50hZp9sbB6wLsoU+Ul7eMNgkxtksR +kMj6ZQlc56/ZM4bsfZTkPORThXfKA17/oYDiFAAsC76Q06kKYR/cBgJyprYrgj/PE2pQR/8h7yx xR8Q5Eb5CjPyZmML93p2pKhpDd+oVVIVywxeUY4Zbv36tcL00BwXUuia2GTP1Ysvtk243s4LxtEQ /LBQyJKrCP0Patru/ZCoyravG3Vl5T0lZOzyxv4a5yKWMEyGR3IKG9fj6aidfw0dm5auv1tOUZKd OvkL1WMTEC1yJV07L+86gqlqsSlqXQ+izu0PzMW36ZzKgukrZVIgstrVmIWyBgaymUMNlWAUp+Kn /GFNsdpiuc/FOkYnCRjSYobzQgqxIOXW+4SlFkj94Fr1fYpy7XR+OhzetmPSpoH2zg2OvFF5AHqv VccpbmALjaaipN3YBBgRrPBobnvCZdtUeqjcOdi/wUgo33qNmQA+JeKcqYM9sy/W5M+qEn4wYuHz 7/tU8zeCGP4S7RG3ksbae+M8/6C5o4MzC+o0UyWi6x+7/HUTNNP1g4JsgGiQhF6MLR7BYfvTk4Sb BmK0mIsYQUx8MFMRj02j29eNqjZC0zoBo6snN+1Cy0WauKe84vNRo399VSXoX7bcnnwgyrphbDnR YOPezNm25QPwK13qYicywi2CR2k3DYXqZq9Wi+1SAjRtw+QRERxKlJw4ZlhkMh8QaYg5Ey1d+CER jZqt/jo0nCUNDq/cOYOVLb1+HuLz0czx25UKjPFWxhO/o8QbrFN8grVH9vQm5ImUDzgEN5P540Wy IFXjxikZpzmvbkpBExLaIGQwQg7EvM1OInbKYAgnXqE080tOoXw1542dE10tyizPGV2MKZCL5PPB +3aFQagTe47Ez6hXBUyOma+fu8jPG9YjAf/Mdmlo5o+PW8uzb/yg4Cix+E8ob2zxsATGKgXrYO8K VX7SPs6FR5ARlMxxMKrabMW+7R/6uieLkFdesZY5yMeqjs9uM7EMfWHaN1e4NMrH3rwytFrEbkIc xI4SnG2s0zbJumSVW6geKCPBECXShbiGdKUWP0ogd87cjdmAuSSpH2D/FDh20+7QrCjpLp0Dr0WE XjYCINJri23S+do8HC3BfDs0Wxzre5Ogwo6Wxd2kKPmGkhG8+Awx23NzozwNZniS8u225cBu0SnV VXi++uD5znGG9mmhUXAFYb825goAY0LD66e2W+nK1yPHdovUsF6F7rBcrFviXrjnYyqfj4RkvyO3 7HevR3mO/RbPn3DBw+VHpp1uIYgP5kkCu6FBjIbdgBwaLsri/lSv3RdvmCQ6ndHHCwQHkCRJ1YNW EN0H16O6V5p922h4lMVr2FoMvggnOxYN6KENPh+1/l1pRj3w8+/KY+QVWC5iNlzIarCm0t7LgFgn Jb96TqAo+CaFmTcBNU0CrVy0SSeWYvQ/mARQFGwwTzC0MYhZ1JKV4hUH2TnwXDT5AlxmzRchahLU cJl4r1z5fPS6/cqUhOV+NinUtE70LK9q0044R1rnbVFIihyH85/DX7eQ0yhm/uQYkotD+2CnPHBB Fso7rTY4wx74e0PCBY1LL0+6PPNC48U1OQCAsQaXakcJviGc1p/gtPl8NKr95T6NNvG+crI5cF5E IT/vNBu9AekTNjksb9ulPThE6vpBf4nyUKPclnu9nXcL94CosXvKJffEuUligoYkFpRsk6Kkm/i1 U4joRJXkENGBs6v2Uq98PlLd9cgtwugG5OT6woXmi7PAKqYsoWiWWBUERZx6RFKgsGh3UZ4cui+C vQLlwZR9sXSSfp6gJxFbk85dzVFbyfQyR7FWHi1QzmD5KUF7iSOAhWmOLbq3cfJZlJ3Dufl8ELov hVhyYlAySOVWuqEFI6S9YLjslW6bFzuSRvFtonAuK7jAg1ECP1D+6tUX3yYomkMUg3hsJMusTVpn UnWuChoGupZBtW8bnCVjoGuuJGo1ua4YwsjCw75khMhe3wkl2ptttutKHdgwmt4lhoca2zYqJYn2 sDxEQZTj7lIMIGFCWTXbrndmclLIDLBZEfkK6qJA4ZysZ6DOhbL4IoBEdW4d9nUDPXm1vCvlsLZ4 jRxM7r5UWpwMmc9H7KJv6RBqAbbHVDj0YgQPap7faRneTwk4CVgaoaD5M/AN7JULQSTigA6xrcfD tl6wtSAZFzCb82ghrBajz5/z+qWfVS/gj+GMHxLpgvJuY/tAizYJAlpb79BsfD4oTsovniNqSZiL +y6FfoxgIMKnMhsBQoBjdCpH366duve19AwNGdcST+1ZfP7GoWdRz+Qk1gaLhDL4T4eRXudRWg+i LYeOjBrV7ZJVo2QrMhKYSwQB4I/C5yNdvvKrONFm300Km94J1W2nfpGkRKxl0rcqEoJrgWu3TQoR 2uPCbH6jbVB6oo87pXWNLgni/CDfCO1p8vCNqiaD6tCUMTPON1rDalH/DQDVpsljmXw+aFNWD0vS rIGYUb99pdiWEcxrQsrZV2oiPwA9OlWnnAOQ+k7G3c+BL6MEz6A4V5IvfpLAvqbHCE7Sqa66EDdA sFEoiwBDQ/7lmuzrRqxZSjuCKY4FitkGndmzyV7olNH8AUFSmW8Nl340zv0CbuXAnPHcjeRklWX7 lIZ7xAJzbtfdPgfVO0uBO6MLOqduTt0P/C+dfPmEO2oaDdCPaPlVNgS5FoDImdggK3VJW8uxPSMJ a2t0W4aySsBK5csMhhOf/xfgn2jtQXvam1WGBo2coKzZHN8mc1jm3grp+LSGVrNy78Ch8Xx/eQ1v eQ3v6zV84sX5qR1yYoDeUGtE7FD8xdHJkgKdxt7Tv+0fyIlTl8u2ujRXXEGjgfM1KL3w+YilPr9e OSQCX85fObRoBO/upGrJja1IqxIIMGdXfqLas21SlHpvyWPRjl6LowDPSQQNgOCJDVr/IniiI8TC O6cxyqg8o9RjDj0as8NLpi9Kl9Bl5cQGdwOfD07SFVmjBWEC8WncnDJ0aWy03hnWpESDw/lZo69r LLPrPUmhUh8tZWoTjqKNZ/o9agP+IImgNbtpZlIkAjcc6HGmbSSrzxzaNI5sOIvsizapAQSrk7QG n48y71+yIlT4vRStHBo1wmJnlrWt1KVzoYFMcvH2Wyu1+CbF5jLsuyWpzKdbn9RTyBaoIstFbil/ BU4JIRvlEa1LtEl0muWHBJukEjfpdUv3dUtuEYJah89HMmJ+w+HQbCJ9nzlc6NUonlbt0yrdth3s llZdjjNZ9DDo+kF/YZXOH6f74p0l7NnuItmkKqtwoN0aTQpMedYyyu3fNgBSZPKPsvDb2Toz6FWh Z/GT5NaYA7tGSXd+EWpR0ZyE5Ync73k37je8ZVR5R//tJGPFwSYsOwU2KcOHAoFjo6RcYDPYky+2 S+i0wcSd9ilwlCnUDm0ALDUOiVFeq2EIOx37un+ohe/U2Crnom3aJylLwnTVzOcjCdrLteGAaYA6 /7QpQ9tGQA7RptxG2FouKHZCSPLZ9yiu2pdj30YZXi2Bb9cjMVIAC1tLvdzztkFFmlgLooPRTamX 3S8Edw6NG7NQpSklX7RLfcBW48cb3oFzIzp43/axjQ6iz1QgcG4sRO2erMjRk82R2ycL4LyUYQl6 SL5JUeK91IGrJfviiXfGaGbpjZvnj5l56iYgExUCGvNxJrRRZeDdmCgJe4J2bcUX9ZbOl00a7res LxkUuteomXwbdP7qk3jH7o2YL7V+6STiZeOCMz16UAES6mYl3qF9YydydG9aWnFxlPKA4+EkSvmU JKeeA/4Nor1IvDf7Z/XiuzT2Dg0cJc+/aSqixeEBtZFLei71wef/tUvYEESkDmar71JIkARwaU7D wM1d51Ub2TZ1gtVM81wp9nBcZg+bfLGmychnlxeAK6c8OZ/UacZ7ypPGgW5TDLVkCZ1Vfd3gheMu rUmWGxcFEkxP5PJQs75lVOne6M0ghmDY0vPGhXk3HJvTnNXCkjJwEm1bd4X1jnTBDlMsja3eUh2+ 2DZVeE7PSUjOqUdPDq9tQs9jgHSfqUFkvaXsXzeY6ZLj1hlLtChdgmVy0mSgTT4fcUk9euMfQ8Kj fY1PAjtHde7AJU3WW6rWsYRQGA1V4LWeuhuq5NDPUT3vVPfw5bJL+nmxBinb0Nk+b9wkhWsRuHQO Z963ncvxNT8l4nBxMxP9z7gYhqIX2TvWjbZJYOn4bY/O/JGel0+lG1o6DhbV1MGlyrrMdilWN7b5 X57zVoxxmwNPR9n6oY277uKYSibGm+bW7WSSZszT8IVRvv/wN7fJwOr2bf+YxJ3dGdUXbRKcNckF rJ1xKUR3/3JIH7SVSk8eEKpkF6DeIZDIo7TEWKJ5UZn6H8tJDvqN3mHmLdR2ofVw8skG2gEDlLtO W4ye0VChi3zacJtAvpzXeEgm9+sGL5xab0RJaFFc6nTgVX3S+Xzwwl21dZEHGRHnc8mFPW/QBNaC divDdyKVNBNqIrsHoDqBuBRqKbZ21Ks2dvbF0qWayeclrfQEppMxJPW8Ia8MAU3KP3ujsjf7ukEq sCnjy3aHFnvj8qDcP00A+HzUXPoln5nBca9P2yQ0dzwfdm45yiRTjGRwwzIDk2/TubLGfeXC5Jsp 9WrlLtqmNMGZOMkGt+m8bgkHuIN8hfYx6FtEnVjGRMmfHNg7As4ucJeYyt3B3nuB1ytDhz35fIA7 XeU7MNHSvt++SWjwCLjeqXbLtXPO7kTTpRtFO2dgx5ULBA6PJ3wbmaItX7zaXQAuM1Uc0Mk8O8Hr EOa4BYeD/laqdgeJ7jlweExSYj0Pzrso9z4ZNGmF0MZcfD4q5PJXWgm729KvqVoO/R0RCSZckbVJ 0wVYMQNM+YJNbksgNHhc6puYFOLKd/oNauAYRcwcuLpAZRGxC3uDBlajkL6EbZQvBQ6P+rb0m52+ WAeuwQ6ZmzT0fHDFXSlNZg3I/vMz1w0tHhE+T8m1q7GXKBQqHIU6lpWCAD37Jv3tSFMUlsoTlsqp b2Fju5IIcH3TPLzqN0WqX6+kb8nqwIUej7i50cRNBOaA2cqigqK+S440J4PlD4hYA7/6lCRNzZsI BDaPiEoZM28zKQaAuXmfEkgQ5d7gMfgu/d3yxtXli6dLp8I6kZXkipIhmrfZNaCKJkbWaENfS5pu 3zZCnKrWpeMhF+sIAAMnxGnX85H6hh8l8XlIk74FSuDzCAUXkObazZZ6e5Rr6/Zsqbu0b46NHil+ /N+k9/NvT2Y0GvUQW4dGAy3EAEJh2/pnPw0BSADqQ/4gVkA2+S7egsuTxIragIUPrR5ny18XnGCC D3g5tHqsGDIV8lmRLZWLWGbvTIdqn1iQLA0IvB7tJEEV6S53k4irG6zizgmvkB5CrAKMElSFnB+0 iWzCc+j2SHNRcAO3LwbxPndDFxQ+VT4fdHMvsZvkNygYN3R4fZvCzHtnVnE6S+eFyyY0PiSxyuYS WruWBgSOj16fUN/NFt8muNwwzyaxAl5l3CYoHoCtCAZxe1g6yb5uFLyrKLnZF8uWzhureeOsej5C LvkbR2skvEnjK6kMbR8n7cSIG+Y2jexWWanNadsESr9vUwQ3kXkx9Hx98aQSN9uJQpQgheD7Jipn QoIZAkFoRPyWJsuB8SOGTNRP6AJ5d1e8gZigND3LxMAycH4EnvJ7NAAUWhvXPOPzmitl7NF5z4ZU WsH1dvljtHObCWrn1LtxdF4zpQzcUtLUJPvimRLKiA2/a7xvpUAVmcJbTJ0Rq3AbSdWfhrKf1zzp fFN4JzBT6L4Y3nStWY2+hadfdidDolVX25ZRvRC+Wf/L+asEu7MGCTnEldLtqGWnDaJJitT73Gx7 ersk2B2NlsrU+ZnPkPKUHCdmN1qvkJ8huNIEHrdJpyStuz0CwL9ujw1N1qjtLjo+be+uGWVBC/d1 e9DALbY9fJlQ/TN/4O68ZkcZUyVQJ4qV/fAXcRpcXbVZmwQcCO3Oa26EsyNJxCpz1XrpOJA6Tucz utDKA1wDEXfoooGC99EomYR0v2ZGGRGE4Pihca+zETOM7kaXh9hun9e0KD8WYvtH/xReAhgYcHNe kyK8WBDTx19VDclClzNzFKkGWT5b452215SIe9wEdC+++ObM84onAH0hVH92uVK7dhXaQJwTNcrd nM7Q85oQZXRGaJNhBAKaLZFbO05kExj3FOH585oP2S9qbxazTQxoFulcNCkNtgcyZLBAU3p4crJs HaN+5SZw2WQrP16TIfxpNNne8y6eMTZoqmTCks4ejkz7LIx1OyQMz5clbUFF12Yd+5oLIfBQz25N Zk9c7JZHmxeBuZ36+POaCeHp7odHaQ9Ipq0ju+T+vGZCGVcOcNvrNmqzq2efPHU1a9SWawv+mgdl 9GOkpjmmLxceAYsj0XDP5rSaJX0MinRnSUlAn0Ye1J76vGZBCJJsYo9NSgkXYdtOSZA0Rmy1fF5z IBwfgyOJ0wRpXQO2YXdeE6DsQm1EYVLZRvJIlM6mcbuQEelaPkW70yRXq9lafxQBBscVnfaF5w8G khvxWqhxMGo7pxyZsdWucjiI3q6sxlsrvhgsAk4DnJatPD6vqU+WJMWzO4S2j+q785r3ZOldz8Ip O+W1rqX8SXJHvqEHHQmK+kZxechUbW5fHBjZzzsP4WzOiyokx5H1QFcTTOKzO3leWehJaaTXpCer eXH+ESnFWnR20p7X4Sp/XlMefMOebXfYwEVTjn4S0qeM3izIs/W+k7FspNzPMb+cjNj4QP4l8bEg MCdijzNuP1v8Tj/HBLGTkaejqISA/bkx0GjE1yvg3ziMrVGdLdod6dcRE6tFadyEXjB/5VLw9Pvu uFaCiQd0zGFQ7Wh7XluMOK8n2V5Z7nvqxNq4cXSmkny1RnHS32uDMbvcMRGftti9hcoUQglICM+l nU8hwcODXHU0Au5Lv+g1mhi9thezHAaAzG7LFwXmc78X9zDC00HgGR54OOODiEZj60QZYZQwg/Ky MkFb4h9532yoMpb+IRnzovtFG2SRuTdfbIMypJ+L6LUdFh9i+zUg1wfpterOZ71dov5HB0gG11VE tupENsAsuwaHRNJESfOanhUKUAJgQfab653GlgUp/Jrq5zZ8LrRpqif9QzYUxasJd0hm8mn5Yjt0 6n/In7HRMSr1fE3dDxMPQJDQ0LZJLPCk/LLBGSominAXnSEYkTapHVQ+HsTnvb52aMGROy3Pm985 bLi+qGgzqhP9SP1hCGKaYiEolYuhCXZok3N1Sqrpy+0qsp6QYAt17JJsngA1hvclPL68rFD1HqXO 1OLbBDPbogg9TvHCIdo5mcDRRunPrN+58yIQrPsGRckzcllYGE3ru2bzgADhbN6OIgCvNs0PgnTn eLo0Oai29FzwwIdiVMaXDNo/kjum1SWQSbk+mj9TiOz3/Bn+G0RzQPfWFuOJnASUbxz+Mp939lqW F7MniD8yxzjp633L3hNoSaqdOG269EvoFcZp4jk9To/bdI22iEXpqLzHRr332Km34I6Rms7QPAmL kEh0uoDRUiHK2DxR1dv465Y/+XIrvljLFXc+M2DoH74z17JU25+XLFNwOdUbqaMUGl9qdrljoMRI 2TWzScJXiXFSeJcfec+hMefO7/UpvsSp/XeSC/jmvBT1KcYY7KXPp+Ga7bu+7xD1L5By3kXYonPP bPbdIWX5eaetZTkjaIeYfWcASDsty7RF73k0Sht0ikZ1o/S13Sh9UmbIRLOTg9WjRNp01zdb01x8 i9pedO0xHZuZJJQwqUVDcn+6po7i9kWJdBNmNhHzysVSxQ6tCKaKeX/eOWv5cX+wTDpPVGHLNyhK pcFiOflKF+hjrkF9cTSRKgtBtjhQO9sZinLpTt5M2Xv7cnNpkNjRIAeqaIECn6gQCbYYKqtzAq4R Flit/JD3HRrs1tO1yxYFImjZsDeNzP3zzlfLGizYDkkCEbLixdPpd7oaL7OCjfEu0E68+NEFggiv EA7nLnO7uXe2Gr49zQqhAuGLBSJ4G69RrQ20T6ArSr2hZ0NJlHzJMzbXeE+oEWvVsFdF391LrWMG Y/a70K6JMuqdvBqzCI+e7I3UUUYNl1+oWLsJjTQiiSYaBlbH0P7Si/5OqZso+807fOcl26egONeG FLMXDB6FBAW1GXzkn1UfWPGc+q7BBlFWktPC6kNDxqGdGCVQZK3PO02N2ZRvEAnJAO7U6bf9O0kN tz1OUAEjWTZGzRxUTyAVdg9RqN4Neueo5QuVbUTwcfGXDKTGjRCMydipQDoBjitLo6ZA2PEK1qBy +LxT1HAEqnWMflusnPtwCOtYZ8mfd4Ya+1Xehrbk8vwt0/Yj9M5QY1FGZYi2rEufSvWrjN+XNL7M ZiA7re8pNbqJuqDGXRxzferRkzOqXQaQRSMR5JSyaJdBvpSjQGsHEb//TlBjysdBBgGuWlR0nEgt /DX62593ftqvu2xaO5FQDduhqBcN4BIY2MVmPVRb1EhMDmvUGktupvbOTsOXrxz10MpSi4NkYT9y zgkDNQRwoOgCtuM5ZoDw/dCEz8Fo9H94J6fdfGhR7FiLDtH5udOUxmr7vFPTeGuPr6uMAIvZ71sW 5tQL5bwa8/AAn0adRUdxuGI2VR+1Q1FOnTjqKZ2dVy6X3dBPPlqsLKv0rCVFfQBhRQfe/qBiqC7y TkvDh2zhsAhI56IdAoKfgbae+ujzzkpjT9pbH5yrouDAn9J2KEqpwc8H0tqsnlrtpnd0Ctc8vXDF H5wp9TsnDS95NuLH9MV2CH2h846ZJtR5ywZhDABv/bACyQiZjkEjleidk4Yd4kmjArotestOeEss BAFP/LxT0hAJlp+hLl4DMEs3H3qnpGUBYiblmVS5JnfDQsLoblg5OTf9nZGWrxZrytuXO/NZbVUm VONs1gnaNEvtkH3rG9GToGjr24PL83lnpGXJBiKlfRZtEQUshYud6/NOSLMO39drhjes1Buqo5Qa pYUjrMFs3Dalh3RF9dK1Q7JEO/SeUiNr4ChVFWztF2BdJiRWO8mKcA6rtPqDP0aiKB7AgLSSt7HY 0pd9D0Scop9ycWdfDKB3fppYGyvtzzsbjUG3fO0Q0JmYI/gORTk1dyhXgwiv3JLTGjM93bRDy9HV 71w0S3chSrPvYgkjZ+aJk/YBzTwArigFxak8yN7jkj5YoX/eqWjWAYPOLVmyAw175oxKR0j66Oda +LxT0Xid+PSHjiyolsu6oTpsUSe+W36GGrlg5iCyfXZorP3EnxN08MkdbsSWa/GMcZZz77CiHu1U B6ceI2wWWpAAEf0MOqGqgdaGvmuUMap1T9tCLhapT/Yv+Qfw0N5paHh8la+UuoPCkG/z452Ehg0i aqE626PdI3QqZ5Ndh4V09kj9nlJnA5yxK26LbdDENIPcbUpCJ9R4SJkm/njkEGFzlDGSvfF5Z6Bl KScAaqB9yl+S0L3L7OlElc87AQ0J573sk1In5w5z+Byl1A2q4ovTW/Y+QKUXrCORHcV3LCUfAb2z zxinqZtSCM3nct8xeKcVkvXPRp2oJBkN2OhVcMaEQlQ2BFzR5518liWCC50GctCyS7qdq3BODRPr iQyfd+7Z77IVJwhJ/t53g6KMmt6yG7J0pkufr8FKnj5BrH0ZLv+decaEHkdnNk4SudgGrQpl7EWo AlBZo7H2h+gD7gIUAHSUFw2m6LtG6AUyq6kErkWv2BgO7Tj1zOedd4ZfNfkJUuZTiBL29tk774z9 lkas+TCAcB8uu94Fy8OnDfzx+I69084YhBifKWmkxd6x1akh1qXVg4lH06SR+RHUcx9unoLQO+nM GuEog9Uimo4wa1AlFRDvfMznnXPGlNaPEJNn3AZoB9gGRQk1+MGzpmK69KU7jPMEIZYcRaRq36D3 hNqbZ2AR+uId2HM8oM0xJbt+ailxQICDrWAfrUcDmqpjn3e+GQdB3BmqIZ8FTTcZpQww+vCf8Aj4 vPPN8EfcfobYxsfRpXWhdihKqKnyMLM5hZy3eTjIAxjJHzGqW3N+5zvZjJkY7jHQyXyxHSKFahc6 YRXIOjY6GXdghjKOzvS+B9rc/KbR/mj+s6svBhBqaKPwFZvz884zYzbtfQ8NysCgWj5pfaeZZSm6 wTrBrvndy/Yph5iwlTVrt1vsnWVmMxa8WmoMzWd7ztGpE3rDDNK7LpfvATh6YR79GIOyCv28c8yI KWDLrOW7aINWRqrGdmGvn3eKWX7EHlWQ0VqWhtDaoCiXRoNu7mWg+7l33l6yEoRppM5kAM53gtnt vp7bu/rik8QEs7xBenCDQDmEhqE/i3COgHci9brcqW5fNkiEujxGBArZ02FC6ZwEORusye8YzVp/ 4WCQj9H7RjsU5dLoriFGG9SjDAfC4FVwrTBAoewai/rTQwjX3lXbc+QwTbKAmn88Qife1KaSFaoj jRvHeaeKeqo1ft7JZWzBi4vPHmOCuAePETZ2NFLDILb5eSeXWff2CULSpKwXhxcm05ClbmuZC1ZZ 2XtnpVw0DAy+bIvi/jQb0xjH2HJzxTQJ5SNYaAywLQhxhV4BpPLG40+Ml46fEYUh8zAisCGDSMQm 2IJgN281AAI+77wyRIK0vi4yRKXWb9/jnVVmudDijUvby0TzGHXw2xzWwW8X5fpOKsO3p39IrRR4 4uJTIDQSM1IsTFv7uRGIy+9Q4COSO1PpxQ4R4VTvnLIskVwKO93FkqFzMmWnd37tzzulDN/xdqhJ widl9o4S3xllCESZMPumnuJKUJ0QDjhLrggS/bBFOTsEtGLcoeakHn1WW3weDW2CU2FK+wq4lyk4 HrHuyHDbMwYaVOh/J5QRy8vT0wWL6US1E/SRk8QcTv4wP+98sizHpq8hB9ru5i7LLQpRH5hyEPmd 0V7swOZCRv38uCFbFTSn8GpUxOp3OlmWBhxaQuUud5Q4AGinaOFJQVuqZEoNIKEGoEBopvkosRV9 16j/SkLCJEqEiwGHdkq67U/m9Xknk1nj4WuUiHeWyrzaoDCfhmBhA0iNkvOtUscZ1iqbzlH4zz0T AcE4Q1GHurFYLXlPX7yHf4q8uaRTdP74qWqUiPfiZ5LJ3x+JUBT4/LJBqK6iSdfti3Zog1apHv7m 40Ecyvn7MqPo5UVMv/PIiOoExp6DOAxbJ/wL9ZYV0aY3dfiyqai+08juHAh64L54xQGl676a4lAZ qdOjp0MBdQD+mcnoMdVishHeaWSGW8XsaG1ftEOrD8kvnGyrfN5ZZFlGO18JEZPU5lXrO4mMaTgg Z9tcL9FEc6Yd5c0M9FEd9PHOIcuSMkE9X+5y7zJ0XIWLKUAoUfeuJSCbiBhlpaWqdfl3jarWpnJ1 +mJl/Xl9TOKitc87gyxLIePpnMGkqZVb1r8TyLCtOOsN6nqCvkrdCpd9xVzJBmVI1XiVvfPHssg4 mEKrt9hub/FseMEPEKkVEBnx8nNn0Urd2ewp9cbQ4PPOHmPfg7h7wLZssZojzWHD6D0+7+QxTiLH d80hr8K7QSFy+vzqJ2Gp2bHBO1vCOKhKymyosaLiBoUpNUkbI/G352I1x6l36wRfnCcIWCoCZZFq Qyht6fToFWPF/HnnjWW3mytgydmiDcq8yVhoFT4enKDbeyWIijbp7bnro5QazY25ukkRzcU4QOT9 9NEQ/BxcmvCdNMYoxJ7ZJIqRi7fOMInelNw/MWiDDMBRGu3m2Gnu+wYh4jvfOWOMmNoaioAZTUy8 lkp35fMLn7L1nTKWJdn31TpDhG63+dqihBqkA3ipeVW/rIAFzWS4An+p2xT4W4igruoI8T5r82m+ rpN1nuzRkGcUt+oCfFSMYPAXxDsmtXgAdfEZwU1PnR0QSX1RYwiKkOKNQ/6rRYCP2b/fsYqkVFqp 3KAwnybvsBuL/lyUxSTSenUXQ1gvudlFi7rTiXqNLTFpbOnCO4mIAWWVc0SINEymQuf2JxjmfFJe F4C//MsG7A2AiU8sadUXHaHzB+hbhJUy8HgwrS/fR6hR7Hr7CKjH+XRGw8xF5fOaLj7QhvkT5BPE l+1Qf8+nPUxDkdsXb53BNA9+zgzTkO0RiphcaBaN8w5a2fbHZ0T0H8bnVpsvdoRwSBlEzkfg8Qgh /J0KwWMDbly+QVE2PSj0oaIVrkrp0UcdI1nnrMDyRhsUAj7Y+RiJMHwutkHnZKfz4nIChFOZCo2w CbMusArY0x3B8qSCRY/a01UVmYguSSL5xAxBO0Qw/FNv9CibfkZknNUjLLLLpA0Ks+msOky44IXi 6ceFrKoLWTV0kHmP9ag7rXuMSgu2OI2jwRQPkvGoyM7bCpYv9EPI6wMSsuZ0ub14w/EhEeyM0OdK uviQTAOTxRNMytKv3QqeD1BDtzdUCKChwD/ng7ZLUYsaE8+5WS+SoamYRFTMNjQsQrUjq3qUUc8p Gof4l/vLRp4Cx4MGTh1HE1ptkExFPUa5nv7lT0z9ih5l1GULXSQL8HQtwKEErkhyLkc8HsTq9D2M pp58vujFHvEQIXsyze0bBWzd2xCwnb8m8yFKKFBxoIcZtZr4SVjhlO+LBnfjBeod1SxT6YvIqgU3 1UYNK6Q3hl7s9l2jSSIDOtJwLFDnU3Po1MCs0DD3xuPBBo32tUGcntNmWxsUZtSDEjomX+lT6UW6 1LSZ2Z6VJN/OnxMdITWHRFmo107uBO0+wHRkJJqogjBqhfk3ZPbPbyOhZkUiXJ/4jOC25wt2vlb2 RRDh8/bWJY0vhOooox6Xq8DbHqlDvRjqHjapIcZQyFzinAy/CXTkOUlk6569IYySGaqjjHpQ2Stn ImO4eCSCA9HitH+ATQNLZ75jE72hxfr4S8Ry6stGvaGt3mvxRVUrzFZU158/Bh6PkGfflxka7ycO 3x16z6it5tibCSGRZ/T6qEgYoSZbZNkEvDGNG3sE+EictRY4HNrydD7W2tQ8Be1lYpiIIwRf+b7O +wV4gzHuEg3SetSjrjw7a7Xui3KYlHaSa2PNE48HZX37TRVHopHvBkUQ6j4rpdoSexyolZt5Nu5N N8JCbRhQwviORXgPDaOptMOFUwf2zgbc1kEyQ2sIAj20jRlQUoH9zk9O/cFQIyjgQyJ4p2QGicPP F4e/KBpSFPQ2Hv8XIUhsceSd9bISe5hTNwiP7GQo89qLNgvsMtew3J2YH75lUY96ZSlU9O6LA89O PZDYckJ7kQTLQuDZuXDAOsInOS+RUnH8slHhyrKM3uZaLA6NPQUcW3jLQmbireyZJSCLhbGWc6Kj pFo2FmLPY1om2QraNe7ljKCEGMmccURN6skIBD6vL36VAXvfSxbOHMxHiqSyRwTV5h+yp9xcJ+u7 RpoDvMqKTlxxQYa5qjvJnz8UHo9o0d9XGWJlzdOT6hEl1Z0btNa2OUc1WgcQsLlZNlRrtjnHiFvU ot2N6ot3z4A+qkhNkQ1N2KRSDgZZfwcbXZ6fKsvoGDNiXiIxH4PKp1wcVtUkb4yYh8ejgbSPyljC nSupsbOgDQpB1Cc2zkkpDQZqGmUIZi6LazAVIJRlGxS1qGVhCccTLRxGGaesUdaDsKpz8esEVYiR F8gE5XGl9E8Y/Bn4jAjcKdFTtWH5gvIo1C5AIXKHjscjOtCvDvX5SBIGbINCyAf4UmUOU60oQjEQ d0ZlbnXPkpsNjCifVhRqi3wpzSa1QSeRmycpW8LEjLGNfQ/9gUL/MGI7ddXDlRifEc0SGX04N9Ki dHpQ9Yr917HxeBSELl8KT1I6hS692qEonwb+Cv1d6y+Olt3zu6ziDVgkGXaEonyaDtUwvc6++DuG KzFNTVt334l508mnUVXtKaDtnQOBxIYP+Wsg3ViQoemJKZn2CINu1mW5ZDz/v3DKCi0+033Lwowa 0ic7W/01tzppCENL41YME3mXsigbUUa9CF4su9zFgfjgjG31qM/BHEQoUogJf0Pqw1ymwibDfoQQ aioQ7LTuojiNiqyZ7uLE40EYuso5fDlxVslF0gaFEGoQN3c1vNlUF0HqHkAFq7ZP3X3jRwih5niD DChb7CJrixKvYiWiyofR38mLyMugLiSpHBqUEd05QnkPgMpOzZLu4ujOQTvAU+P1gceDbGj5RcaQ owHH3aCoRQ3VIAQ6K1rLSs6wh1W9oz6aawmOqEU9OYduvdnCdIMnCHbD8MWxm74CJg1ECQpqYk7o C2Pv2Pnx+Iz3METg0Hll6QnARd2hff4/mKCWcyHj8WhOVr5yISgn4QrxDQoTahStxKioaJXfCYtW Qpd4keXi6M4RJdSSICAdwRaf1ydoU48tJgcgDdTHI7evYswK9RkP1FtHKEyou4x0l5Zzu3voqKcG pdr0wF0fNqnrd+MD49FKWra26E/QRwJDE5XGOlmmJdSDdqJZRRlpBoxCYZO6UUKwkkbOxbYogT0+ BqPQ6JQVJqUM7bkOHAzVHa2Nv6WhE9IS5Vq9FY22u6yfm7KqGVVnKXg86nzMrx0Cd721S0ucYZOa IgTFBN9XQk+dU/pBcQBLF1e3zscMtfGIwicm0Zbbxk/niFJGftR9AjONT6EHV39aoiD8HZVNOnjM EPMhKAPJllpUlBFmzkFQag2PBxvU7kVG6o8k+qff9jPKqFEOIRBlFa61lGWFK/mswjSgj6qqbEZt ajlVVvXx61cfP6GhiLuAhSv8LzMBetS1wrccDyyGLWB8RlS3SvKPffycvY8Pn8pEtRiENzz+r4E9 HgSZqLVHiSnKqJGennqq2V3fyXEXwX7zhYP8+8lurAE7Y1oixxc73eUiGIGMgVoRAJjnQInrcmoz QPCBsoHimXNbs33ZCMFoXLLii14NgDyn5ExXxePRDl0RAubfSE/yvFsU5dRgeM7zjrhzx6qOxCf7 1LS8ejGK/Yx71LzFEokaTTieJGuq1cDOLYJRt1ORkx692C1EK6fcFjWtQ/EZ0Q7xX5lhdXV74ZN2 0uiK+KOMxyMRSi861M4+Z4j9Jm1QlFLDynABRqVRB4yQHAVbPaWm+pCdoZCWSABj7eoO9QcFW+EM RiYU2mf4C9B+eEJ7sEFrNkNU0JH44IDgQ4K3jOCzyc6XFusOJVo3ge3SKx4Pxom/OHfQQmntCUNx j3qSFG0JY58led0qT/jGaRnST+5QhPqQ90vVYFogCe0Q0vGBMRavexBapNIw+S9P0g6xec+Hqn3X IFJ38YDK9MU6sKWxu30K9THweNQ+u5e9bPLODtWbUs8I9gE2zvnxySn2shLkmGM5d2r34tCqGTWp YUBsmPgLjVeTGhqvmxOf896iK1xlfcLuJ2DF/bY+qDaLz4i4v/hX6FlqMZMEVNv004KCUMPjEX6x fwXqrHv+HqEopQZ0B/a4LtJQt485BuWNmTEumpQzCoWieZWUuzyqL/6SjYUp7tIcaEtKudP5/Idm WfLvtia+fdcIOKQ+7W6+6CZL52tPNc82Hw+O0C/CFLSNgYDzDYpQH4jujyDl3JRXUBQiltCw+NVg 1DPsURepWBArzOUW9uPk1BBXPDtx8rmNQqhjokw3pPrDFNV71ESazyilboxCS4ZMS27dzEr2ylvg vN7xeIQcuj3qrTQKhf09Q1FKjUJ+9m3ypec+8jerE8qtMDRWNyWUGQp9EPDRNwM1l2eW2FDXU24a XPHK6XWhvjpYYmRM6a4f9ICfUUatbKg2EoK4GDoPaDdm1OfSxeNRwuiwD2ZO4HOUdJUXo4wab/Ys ag4NUO2Tg88K4T+sW2GVrDO0ItiHScXkkX3xqx49/fM2MQpBwzOzOknUM6F93APFlx73CmEf5ERX tZW4OCtxDYNW1YrHA1bi5ddTOCfLJN3j9Apb1Eio+zCLtzklY4EdWpTwEX6RGnfcoRD3IX59JaeM i8XpE+IaxqGVvaETaUAT6VCNQc0BUsdDCcIkk58RtT74O039amgvM9rBbkJOfhDaLXg+Qp99V2UE 5JCYrR2KMmp4sqAW2w78SMmBH+7OcepWDOC1QyHwQ6iqNUW+05/UqrLzypFUNECqhyg3SttEIgWj 4G3BorJp+Iyoe4ZglaaMTKd74aHvKyT+SUIHHo8S6l/QIbRqKZelDYry6V6of5IdGcM2lOl8LE8X CzQqtEEhirqzJhNvs37xNk8FOyB2xmQINt2Jrlybyuz8C5YLgZ3+XSMgvqAMvftiLxnIs4IO7YrH o7rer3q+Y/DlbfOqC64oocaAa1bXDAZpwXy5z597GdgTjsJGK1shLVG+QLQn1eIv2flbn+epFXP+ P84dj4EIvHGgOgZM8bp2JZsD+xVhPrp49VmyQ94HzwtIfAIQ24kReDxKhr57Q4TArtsbWlE+Dcjb LKWah3kR0Z6qZ4VRaFMjohkoZoUqH8JGbyEb9uNZBgBvRZEKSAPqy84odMLs+WC6cMgfwBTfhr7s HxINZ2ukRJiHu9+hs8JCtI4+8HgA+li39YHUCaJ5rVy9oRXiqOG3lLbPEvFH9llim80ZQW1b+2yF CbUXZcMXe8sKkX5ZXAU0hUBkRskBLCxCUKYuubpD1NfFh0RbNKSQW7UUYwRBA4KvWT/VPh4Ptqj6 ZS/ELNpnF8K4ooy6kKuAzJINxpP4VG8w4otkGQVDdlyHKMRRszdf2l6+2CGiYMNJFElNhFhPI2cK JnioXoGs2hf1MYe+a5QNURdODiXrOpTMdvaKHMWz/x2Pv79lq+Tvyx5N4NYvOm+FzERcJo0tcSlR N5OK6ak4phruDcbmWHGXumhGmHzxSJ1BCGq0CO4AftA7qGIXEYIWRZ1t3koeJ79sgGlYyppy9UWt j96HJYx9VTweTey/MQ0YQJ5k7b5lYZN6obBv1Qr7Kjk4YTEuOm/TiZs7FEvnsUm9OVTM7iOKSE2l j8yqbMBJKm/ZBCSgPnB2CUuyfGgMfdkoHxKHvCRftEMpLZU5ZWc+Hh2i+5Z1VSjnb3R9FHaI+thQ ZJrbRtJrDdPtPKff6QoQZDIS+Y661ENSTLU3X7xwPXn0INkOoIbzPqP2YncIndjK+sF7H/KZ3lFO DWUZFnTNF/1Wp4zpKlzT4ONB+6x9Q4cwFaQKgm3Qe0oNjgQSxrLNKwAzD+dMdYOdQ0t4mJbwjk1c dHha9cU3aIHDb16uG0gqmgW0SlYZsofU8r3MKIe/Qyw17vlFzowtuszSibjlKuXu95Qaf8RvLHWh E/jySL1jZiJgH/sCh3bxOARVBa9bQbXVDsUpNc/O6skXf8uAW+yT1/1JzUepkhsi/RbWfBhVuskd LiR8xl/tM6WZWozxgvk2E75THODxiJiYvq6yjKklpWi1QSExkcLiJWcL1Mnco7KxnCk3hCLCNiiE fVBkuU6yFrh4oD5VVB84NzBzaZCA1zhxob13QsbCCbJJEK+yHaXUW6wyVita7ASNnGXlXnPG40ED 9tFabl63Pq2PHcKoB1WGjE8PmwNX+mjS9MKndXBAtUMhjHpxa4ZgQeO5yjDLKGWrOwQrxEWnpI1B UGWPGreztc9w8vAZf5DsTzlGzwkuNrIftSrfO9kEHv8npkG6F9jvCx3aoaFLpoGUQ4c29TYUpxkT mA6VuT1Oh6iPbnJnxRdrDsFZkxNX1q0ntLHoOCdJ5LAuuxJD4uP6xmcE6RBBRqtRr5uLdujs+2JH v54kEI9HsnC+Q0Sq4QXrqXt3aIdi1BUpdapqJjrdvps1o+0Qi0TtUAj7IOcFDVdf7lt2qrLRJIeC fiPaZR1Fw4KKPbV570C6d33XqAXLaF5FCqo+TBwFzYP2Y8PEHYtRX/VFts/yDz3GbYNCsY+CMFRZ 2VeyFpwUhNGfhyG4PGiDQtiHGouD5SsX26AFO7KGWCNBc7LrMHbFeYAuAI6vjlCh/S4+I0gXs7Cv s/tiZA70HgSvrwOPR6Je38AhQE7rvK2PHfaogYs5V0HRBvWe/QRl/Wfx7hmZiTtMqDVKXHn64kXZ gkbA2qKVFYhGy1gJmFFMzfLjGgC8Oz/jL3geVVBs8SjUzZIsLT4e3PR7f79jC/CTZ9i6o4QaOTd8 5sQVX7LRo+QQ7NbtHRstmSLTjlAfsxgxuvriR+jkKecKVhQ6tfDAaBrlK9kUFd3NdHOhYd81Uvqg IVnWScrZUdRg+om7eTYej0dH6LY+RJOZNEi3HaIo8PvHChiTpsE+wO8wrQ9d9thyzLrMgzSFYh+U XTTlwPQ1K5toRXf1YCdQ1APTIlT87WdgHgRnrgseWv51A/4mZT7W0qhjYcLM4n6dvyebEqwJ+QMi oOc3sEHV9pVmyim0RjwRByTCTqjQrCO7nEXrpN+rR4TvTVXzFOfVRLhKtTt/qXaDSj6o5cfaDDJK g9sEr3jqZEA39nqQZvu6fwibwxxs+MJPgZfOFvrj3AZ8Pqo9/DTxH2PT55U6FezvPd8E3R66x+yk 9XnZUybVBP+AdPEfOYWQ6iYxYTmZpMfJpO+NhsWeMhAg555ysKcolk1TelTiljxIU4gAqdYtUUeg oPWr7BFtIwJf5lj8AUFylL9bRbT1qreGFQDg9bGTnqwi7h2H6cOB5/h7/7ih7bVZSKFdYqPAGQEM WmybCkSycieJaoBWBiQpvF/hqkQPOmrjWQJZ/OtGmMasAnb6YjP8c+IZyGuGO3KK+9a/hvgbWodE 3dg2RVk2T9NWWY/Q1GiAw8ys1+5NRzToLDRFnWuT+xrkc3LxbYIQFi4InCbg/wdTpAoRxwk7k4xB uXWMyPbnp0R+FKjqRpKLWfI59e6kRGKbNtwWUggGKb+KNahizZTS3aawfQ3QVScRmag0CkEgNEGU SPEK6E/cBTpNYf/aGB51+uJ5AOrUXVXyn5xrKjSBtQhEGvrX6SnYmAjgU/7iT+esqX52HZAT0eeS kkxPm8+/b1O96bYMGjZUx64rRYqVQPIPvne3Jj+7vMTuLRJ1GcDJGrHDFOXbk2PYIqh+eaD6cKRI pbtkfqroYeAwIdWdqMKgQHtD0+j2daN8qRD7me9i71zvcqZA7cTnoz7/b0YMolN/zlKoBpLhbkLS 2v/hW2/Sp9hx2KzVqAbSUvEAHsuByGp730W7hHbaeWMlFHuOzkYpJ5zsUDYg0hAzplKbfds/KNRr ox7mkqzRjyNb1Ogvjc9HE8fvugRKTNTO9k2K8m5AiM7uJ/cMXDa/BmB/OPOs0DZcnniRJEgVgbEZ Se+yqEHsOJdkzsrhmIOT0oBdbfy+2d1K3Sc5hYrVnXaKtCHTorxygCnB2/3cP3w+eN+uYAH+MZAQ 8Me6mxSl3gBfzrW7bdJSfBpk5FhJV9LOj3Fg1MyGQgalqKcv3og8b/OJGcu8hJCwEsdH/ApAEYO5 t7Jq0mAZ6IOuKWfW8DG0xZLvitYFDsdgVhk6wfwaPBKg8kjq5tBdERDUSc0AblLqTjFvc+ZuJS5c L907MISIsI/dM1X0uTiieEF/qggignZ5obVyHgD3YT5CV3Czn6SpdA7sFRF5Vcfsu3iFUraNjeC5 HforPq0k+8dA79+YFBosgrgyZfFt9oHLy1xa3PEkVSC5bZMilEiSPvx/K+ifF/pcy4mDI4zWQEEk 0AiJKnv+7da5cvLIfzgsErQv7Tku1io55ZQsgc7vwucj6dhvpTR57l7wfo49FoHezzVLYubkL+ZZ QcN46wUAEDbUC8ihyeJiqdsz61gu3pKEdewwsFErc4KGgzcSE0lOa9cjt1eafdtodiTlgiblgmaB G0jWzGlVR7skBzaL341/uTYUgDCekxQ1tqUnl7Obmea+rR2QqJutTUrPJoV5t3RmBlF9XLRJ52tD hNhEvlvp5w8hHQgi1oGcoHysxK44xc6B0SJuB/qbVSImuQhOk/rQIODckpXP/2vUzwYUZTTSbQiE TotmC5Os7da22U4Dx7NdrGjt67QYWC1Ce5R4tZaWL/a6wSbvVC6m51TWGAzc0DyDtjsRhLch0GWT F5otctiPfsnSMv0oVZNQ6wmGnaHbImmQOkqEHaHZxdvAtilSs6ZYc0/2wuGiMKeKDgKHNd8wCvWz FHvDLNHRhi+O7gOcYFO8b8AhbxYJ7U42is69hi6YRyXbpSjplu9roSSPFp2lAVg/A03rlc9HSru/ UMb0LX6SgNBwEdnCeQXMRXBxIG/NJbgkIMdE/60Z9CiHjosWayp7uLneHm4BvREetHTyArmoUE6l kh4BIE8hT9W5e8W+7l+p0t5KK7djL1JebC3wdG4+H8Xu9l3oYriEqd/dplAx5HyvRYM/Fbogqxl2 ZNiOnW0CPUD9gMB3EbMAKRRQv4iLN5fQIEPLTSi/8yrTRWfIXe/8OQHyvFoG1b5tdJakYiBWSHFW CITHpHQJTjefjzD9/sbRimkT1PXkSmG3e5GMngyR3SjgYsLWxVmgi21GbVLY7qaSdknlLrZJGQox sD4TBglKf5uucBVlLiohAmutzK3Dvm7QDdDYbYzui4+2SxLq/KSsfD6oTa4IFiMQk5B2+5Sh+yLC /KzLdEAn2tPGfFjdnSo3h9/2xsUYEs6TaB2oxfOAhdi6sryEkUdNpd0IYEDvcHxig7eV7NsGBRzH ASB++qKMsswm/e+TXjQ+H9Qm5Rtmg/5Fe/RncujAyNi9hlm+nv9qphN2EpByGdfAU2mTQgvGxaIE upy+eM8bzOQtK4I+B5TbCGejSuZE9sCTtB40Ww49GKfab0MwksFmIH+vLPmjHxjV8Pn/RZKPoK/9 9HJDE0ZSRM41k9UK2ARQMXYnpO5ZQBJYHNomheDsLqjNuou3lTDBpWNYQXBLVGLvRMecAhfe3fWq 9CyZUocujJl3Yd8ci3NJ1grIovG3E7b4fCSU/i0lzy7AvCPKHPowLkqIZPPGhZ5OMujobEYTBZZk eCc3MGKEugHnAftZ7CRB8+GkGUy7YYl57obJFiV0wBe4XZTgFe4v12Rf90821jBZnw2WFD7m1HDU ggaGfW3+gGjKdBVC5WyRgdq6mXfgxggqIGQEexZUdCY2LUQMBaBe3beKnrsdphCmbd03DQbyMxhY 580FurZRNuy8chDHAJXtbNECfMmcG1TpVv+6ASKgGGRLUo8c5rD7tkaW9FzJ+pb/UsogoQbv+Xic c0NHRnzNE5urISR3qT7x3nVdWMlyA5kcWDLi+7PSHXJCG48TGkyeNPuE0sFJkBsxOiCvnwN/ArfU 5zTypupTji0ZsyRpe/HFRron2ZQl49k4Ph+8clcaK1ke8GX1lUNPRnzjU7o57Qg+q44sqcO9vurT WApNGReTpVqERS4PFhnxOiWIBVJz5eSeNAHZlPgGrb9RDkLIUIo85tCUMUtgrdMebLl9KHiamv+c n1Ubnw9OUunf5QkIBq3NZ5eizLvBZHgD4cHJtwzE1X7z/7EAYXSPUqh83SWanrMvzs5C5l6zyhOI 7CSxtVHAF7TpyrziRjL3zKEx46D+fu37LtqlfoJClqHVGnw+SrzvLunYzB9yYnyXQhpkRQQk4h5F nJhDgpig5WMQk1KL71LY7ibitk2xsecdfkM2F+LapQoLeGJQF0AAx4ewgnz9ZBaNhnPozjiGKmLT 8R0uf000g7zORuPzESjZm5S89Dehvg9EIPRnPCcUs9xlAs/d9ebxyf3iTCat0bp+UJAJFEElDTh5 VdShfob+95Y0BDyOCkvdJfF0E561nHIv+7bBHUcFliyVo1wukw1AGOnEb8buUF9kfbdyM9iUqMTv LkWZNyaaS2YlaC5R5cCwJntnx5r05G3KwKUR5GVJYpLMxsWDd8E8EEMP1ifnmqvycwCznkPiwjCu AVPt9nWDw0QqZK7apnq3acMoU0zZmvn8v/RnWeqee7XVZ3YSOjVO+LjR/0aWDoxggifT/ZCj77Hu LoVWjRJ/bINniYvHJcxe91b0hk7AYiuTUAtawRWqq+QHwJ1Dr0bJHWVGby3apPMJSfR+drwDs0a0 8H5xj5l9PaVu6Na4abW3i81Omi5/5gG9FAtLi2gHbVKUeq8uyujqvthROqeqQ25NuCWwq3TFsQOC xuF8zAhtVBn4NSL2EaM8VvNFvSVgGXQOWtaXjBCmv644Wh19H6VYExukWmlkUgahGxWgZ87uOKsk K0C5d2jZ2PWmTZL9uVxCycm2SidbfZzjmYlx0kR3YMdwT1x4l+beoWkjrm5I9+XiiyqUVk26F4Ll fP5fQFw8CTfezumw7VLIj6Q1qkPgphQ5pTdCt2SlS8+IKfRtHLze6RBni2XeCZzk2amngZuIgu9o m8BYd0+LoZYvofLU1w3euKSBd22+KJIMyD4zX6pZ3zJ649p3WEIsJBbPdimEmpyU6gQjay4BEWKI nHNlbddXHzSx0FmKhbEFXKILCBfbJZDdIO3NjsB5AVGkALiE5AMeamgu3dEAMMT6lD+ka9ZkuapF fZMEfKskEdrk8//iszOprCABPvOTwMHx/HEG4lK7TFJhKNE36XMuh3cBaWG7FCmPKBFA9e+LX3Gn gkYyLnLJwP+bMvSoicCqOCFi3ykTbgl9SsThktWMar4yXJwFQwc1vTcaJ4GLI5rejqGQ+wpsLp95 ZWjjCBg/zM2M1F438e6AOVLLQI1KyoSq1g18HGF9JbQtizkufpY2cbNNiQDUNdio7HD1GxCl4uVu k4HV7dtGVxzBTU1D3eZD3XXy/sJ+Vu0MSyG2+/a8qZtJQ6n8XHFhy5u+8cMcLicki+wotUSqG5Si yOC2oxRbOepNU/GRvqSioFS3OltwA94I0G/v0I3Q0cVBSPeFS8u+bvDCCfvWOXrhYmEp1W6mzavz +QgC9+2YWk2o4+5SrEKCzhvgj1kNgZwN2rWQ3xUOBnKhgkTXD4oGluv1KDUICgzI16BtAkppK6Qm gylJdiDFn71T2Zt93SAT2DS/YmNAi5+lWqkB0fZafD6YWJbviWXG8BkF1N2mGOZNEg74kKTjENfD beoQ3+E2pVmztypDS0c2vU9U2NMXQy3VDNR7okrCxH0HofJeNlwJJrW2esk3YaLgTw48HZF6645j r3I/vUp0Oaew8Hvy+WA0kNKvahfEUvA5bJtiW0eklWWZ1y7GiZ5W9mYYgXMR9WSEihz4OkIqsJBK IYzAuBiB85IhzwYqG15PA46VPHCsf2HKDoq6VbuDPPcc+Dre6D01G5g+G+gktchcBocpMHb8bi+p 7Q28yzOyDI0dcS2jxDVSBQf4lnuXthxtAqiMbVIE9AZ9FjQldpm4+CsHGSy9v6PCP899RujhAWES 6uhL1kbpUmDtKCACdtlcQsvtwVFmj5s09HxwxbXvdKniEsiX3JVDc0cQGk+Fu4pxl0b1Wvckms1q XZjA+ybFqTcLlJ63L3fKBPqSat0G2xVl5wk3An1r6hX1VReYHxLBBKUWWajcAgca1hSwsckSqB+p LP6ACCd4gUtELp0Sp6XynKUo98Z+nkQ9a6S0EolTzL2JUlfu3XK9L1xs8SiGTpu+OAYOuhhl0Ei1 ouypytJptocPxlTu+tF0+7aROonaS3P5oiZcOjmqzL9m1/P/YnmpjiM36GnCBS6PUHDpAFsbPnDW uW1kqbGH8qWZXCYpxzaPmn5Tn6VdfBptezL0ZgfhlBUAAlKWoc2E16b87KclABV3fUiUektnfDZf rL2U6T0MJAXA8KHR42OZwdhNpOADXw6dHlufjNg21y2yd6RGyXAdBbQEkmUCgdWj60vIkljLpelM XNmc6w4o0kDX4aTemGUMDExyfvAmMgfPodkjDDcIyW2+GHx5swTitlc+/x681zNnwusJYcTHtzgH do8A+qO7lC31Pq9e1cAJ8Lu+rbsEixlLBAK/RydVQjzKF98lePGOQU0y/tCqlgCA45OQ3536w9JJ 9nX/FEwqVLbjYgXKKaGlZHyuPT4fNXS/BdlhZIqR4O2chJ6P6IMCXZxsmwgvl08WYZhqwpFdpG2K 8CZtCLskXly/vLiGTQbznaJJ53Zo0JyAnWgHgJljrjv/ljJZDlwfRWKnjstdLBEocK3iLmFmGdg+ AtubfhW79J91EvznNVkqyANOLBp7WlSayURt89AIm3sEoVZFpddUqThwiRaztvhgAA24kux1yzD9 kiESf1u4huE6kmAUU7bPa6JUrmMfz50WQ5zKqoT0LTz9sjsFk1lmAFkQTOpXsq1Eo8nXJKmo/3De riGX4vNSNycNJqR6wnW1ncw54zVFKt4sgSOoLxfaDaPH4u40p5wFvhm07vUD3Xroja+7OwLAv+7O SSM5q5t0u9Ci0wM9BmkjFrRwX3cH7aTiu6MXErQMKrJzf17zowKACY5MK5IiOwXJ8iut5dsoGWi6 c39esyMcHsZqoEd88ddrnEs/SWy8YWSSaI5QM8HweG8fkRLqsH1ec6MCBA5BpjslX2x7hhUm9VTQ n9fEqKCnOXx71CqY0B3ctjuvaRFOT6MJXbb2diUES7Yiu11T3trs1XpNigrIb9SNzCn54hOAE6Qn OVxgT6wM4rKG3APm3PkHZ9R2pzP4vKZERebw0N1YUuGAHKqENDBNIG+tr54/rxlRufwSbA8fwzQA Otjantd8qAj5BdENIwVMTSIxbjv389XVREEjP9Xw5SKrZEgXYDy6AHnDmrERUQL1sVPVN2IBMQhH JpRJXVA2uFnLviZDCD0S/5G9UcqunHAqwL41ROonsX5NhfA0aydsxlSUOv+8++68JkJ4IzcDzhVE LPOO/5NbXp/0Nxk8+TUNKlLFwEhk38V2B6PZlNCvQQdywd0AbaOJSokWC2d3/IIv/MTPaxJUJHF0 Yg5Fe7SoS7sIFGf2V8vnNQUq2X0OcHiIX8LNCVl8bc9rBoRwTqPQvG0osonIlBxiSftyk3wo8pr/ FDWIYSKSly9el53Qfwq7IYV6IGm0PRsQbranQKPw6lU2B9HLRZBNLQSmczFoxInLAv+tPD6vuU+5 nlh+bzUqRvq99Zr4FKlpzrJMOPNEnsu36ZqLIPLQ+F2qvmFc5hxbkO36BdmGwvWgUAW9C8/NPOiq Rn0tqGfS6NBzaGojvWY9+Aso5kBDxhaDRp5olszlKn9ecx5+Qz881ufGreWv1mu3Ea8WMCNdckic +JuoJnXFHX5U2rJR0Wuv0YICkmYhtfaXXG1bxPpTBvHk9CdVJikZI9FJKWWAcBzK1qjPFu2OOo3U 89WiPG5BuZTXVil4+n13OrXl/Ox0TCF6y7Y7r01GHFcAyXIrywZpubuwFn4ve7Pgx2haElFY1tmZ po1UvLJogL+ynAdRBDkQ6nVs2rm/B/02Ma12ABt9jF4bjPgLqCtkwFECN1R9AYyavCv02l78dWvJ 0GdgMul3+juVDbdxRulFfUVya2ZrDn8ovTv8QQ1s8v2i/VGrI7EAa7Kq0LsFoH9pdL0csD5MjDz0 Fx4w7+DU15Vqm5j/0fFRj0OiJFzUgT3/1QipbUTSRDnzmn5++N0gmwPalu1QlDRjNjGRRugAycBD +ofsVUjdD0mKEWuCHRp0wGqdslpcfIfQJAFuGe9XhR5nHVT36xiUoxZrvJGqMKCiaEXBWW1XORaW 61i4MLmqgn5WPh5EZ+m1nA3B1kIAE6bSvkNR2oxZKDIHZ/pRgVABSC59FMGAkJhBaIId2pVbs6Vr s+ftKrZ1gk7rfMdOFQ84DruKgEhT0RtjKC8rpn3X9w3qXVI/1qX2Jj56KKWrDwTe0XvmDBek/X19 LQLBhm9QlDnjXl05GTAEfVeL0OM2Y/Fn775BUeoscb/zGzdffINO3g8DJyIeOto1bG9sXtJo9Gf6 BPsFZl/2/SXrbGuItaBF9/s5s5Vg0VoWxhxRGJLasQdpcOmBeLEdek+egQ+Gu5NaYsA9Zudmj0Lj e+7QhEKJtVzDHUJxseRitB4Xo5MnAM6ASRXAoRtXFovYxDEZ+tW4uKXO26XS8p49W8MVDPjiizdc u/qKVD98Z64xf/I73qZlqI0xX9EORQk0mAdTCHm8ZOclcLu5wl9T7iHSaEn8OUH5xfgzMj3DuPhF tseamaor52+NqRBPW2XbDZ0DCGt4t5UWPe+0NbzI9EgHMMYXQYtOFGpyuE6tft5Za0UCnE8WRKwZ U3jboTCH3hzgm5/suUpad7A6tUMkmL2riyBESbT0NPqkB3h3PXQZG0BdRP7E4FoKp5WbeRn8UPfc PB3F7IuS6FaVRAuDVUxBEPPswuY5CTGfd8YaC1zfIRJKMwS7+43TURqN3HTRZUCWjjo3aEY3Vjmy dEQypyMU5dHSQmorVV+8yjgZ3YmQnUXYyJl2oydPQ0HR8LmwfLwCCHvry77vkMgOjThbLXrL9qk/ JDO7+vy8s9XwHZ86g9cW3jLolNgWvefSBuVfYoJii9xX7cQEN3CGO/FlPkbJtLwfRuZog4udIfg4 7oyoihbQyriY1atG96dwlHjtQzTTeE+mzeZpwZHNF+sBAZVL5bEO4Zoom15U3vt/xq4rOZYYOf7P WRgKeKP7H0zIzCr0cLfrUVIoQO2+5jQxMGXS+EFN10sqC2qConAaAfec2xxl5+rXsxD66KqvnvRs mkXPO0+Na4ht1r23DzZB0Dc+4WLhMTTOEkXGCHTJgnvHBE/twRTPqXcNJojNHthH+KBjCBp4KnwR 1xAF1AKx3ipZB6OCLUzVEKOImulYERGbJkbZMGmtXzGNTUVWztA7Se3OUCuymC39iRdBwRpF/s3j ZFGZ+dhmpwVF4PalV4M/+vPOUcNyJ7+4dsPxGyH+ZKsnPuc5ezKd/HmnqFnQ/ywhmOh2yihpgqKA GvWmCQaiJghmXn6TrWSgPYju2jH0TlDjjSgGiMyeyoP/PG8P0jtxVmcpVsq3Q/cIjEc4GWSyz60W RPT+O0HNq/RwJm8+WMoBlydJPbT5eeen8SqrXykHtbaooKQZigJq1IvOsbqzNXrGnN7okZfaoAX4 XULvATVSegkfqirUnnbYWX8JqQTjRcgfMes/19c5TwdwYDThcygavR/eyWlF9haIg8rwQQd1R5GP x1Cu7fNOTeMEj681BBRcwd1pMxRG1IA07m3QYfAdzcB5pGQ0B7Dq3Bf0nZdmqQtdd5cPtoYmqnFD Aiznqz5JfSI6dkMfh66pCEYdE7PtZYM1JKswltk1aIaAWeahBMTy552V9iuxp9czmq9PQfGdlIbj vQCCPq3EcW6s5WrQNlmN1grZIup3TtpN7OuS5tG65fqawKorjV5qKKcnBNfoziOwTkQ5oVJoEDQy id45aVwEYjaK59jdfnebtTgi8zQ/75S0IjiNZkgaiQvS/fcciiJq4CzmpBcZ01aWo6Tis9uNqJOb qb0T0ookJcAe4l3P4bZ7TjiRpCoy1klMKTxyPhAyqCiiZQKirWKPCtXnnZDGsF21oXIH3T/pxBZF impzfd75aFzn6SvpQGWnkpuuGXqPqKVUCwz6sqo0MWrMykYazkbr2wPGdzIag4YkFtr0wfPWE/90 GM1JoQ7/CYtDaNVOiOFnmst6R2zpZd/PIVgK8DxPPmgNbdj8Md47f8rnnYvGxnH5OoeguAPIus9Q GFLT9XJsc73MMzlMP695q4vgq2mG3kNqrGBkEmPQ54mD5a3nDKUoOnMO4AxlAg7CjyTFdPwqKytD 7xpNEO1DJP67EtURfkRlABgZIc7Y+/POQ8Mayh4w6t82VAI8b31noeGkxlfZmeFIlT5d+5DqnY0E qrTN0HtErdIxuqmUfxiP/EODpWbFfcxwaMAzSmRsrByQTQZ9ZVQ9a0PvGgSMqmHPeQc7qGG5ZN4Y /fNOQWO8+V2BBaq7PsWhdwIa48UFa4zmB/UqfgyNTrYsPiw118l655+x9EGXz0J0JwePqOHsBE1o Ahfh+N4kDgHkASxzwTWxeJFknM87++zZY0pby01b+5n9TfoByuGfd/IZws1Rv04heqkRRKW2cxRQ N0zQFkUflY9lQHOgFt34AXxxO6ffqWfFpTGm/LA4+B4DXxV+rKoNVehkqAeCciAtiscNhrA1P+/M MxRQF+v8g1d9cQZ3pjap9LLPavq8E8+KJFs1QWxSI7Oh4KsmKIR1UAs6GYv67Gx3xoA3zHS8Ys/W PHynnd2LbKszv5/O/MnBBjDzPKbRyGpiF6NmikAPNBT4wRgHpuhdgwliI21RnU2Ddw/lJwqtnv55 J53hT02ecXBqQXUo/VlCIbAjw+CaRltAB6M5bxXqzp4l9xgZ1txj75QzBlXM55sAHq0/Wes5V0+E 1Wn8MODWsyWbQW4s6jMPMU+H0DvhjFVwFl6Xgka3TgDIgh5JWEKdrxic0sVPaQXPuA4IxtcMRQF1 I3exmsbaLKsZ5aUNteoLJXG7dTne6WZcwdJW02k9LhQffNd81k62Gv7sLA2DpJ1kkLMeAWiixj/v ZDPPODb62xhQhOcywiIqaEOCb5/L551s9qvyIZsILF6IvtoURRE1iK7udVmZc3ibo8xqMnSpU1eX UxTVqBMBMCuvO/g2SwB2LuatBUWixqNqgDrNRsH0wgcaAXzTaIKkF7aXDzqFkM8wxTk5yPy808wY jHxXhkSgWt5nfWeZ8W5A2FRc73EP7wKNVM33AUnr8BUUFagTC/NkXNtg09MRSc1NwiL0emebgnkC rwZzs/mYgtK35PNOMcN5IA4HadIaNEELSbLqhb1+3hlmWAlpfmVk9JWlPrQmKIqmO7UdHghMskLs maBcLsYjOcr1nV924Xd9kR3MwXPWtKEtWAx+NwdCC5RfERKgM0L6p1OnCAV+55d5cXFXNEltUCMx nfuIoRCgMZ93etmvVivJnzBSys9FFkXTwEmfyGVbvkGAvJXOulWGoOuwDH/3Ti67h1BrVMRuYjNP tVo3NGcnRbB2OpE1FbEndL4amgSAInhWz577551bZmUsZrZK37CueQqdywVurLi/z53weeeWWbD5 RItrqQLhUxSF07iuEE53g8LQu1vFs33dmxNRYpyisECt7fVfUpgn6Tln0s7CcJ6wahXSrBOUCxtc nsdjToxNx8+IjiECpOmpDjUkIKF+RCzrZKOCG8rng22219cMQYYTUFyfoSiexu2wihIx2og0r1Cf GS9Wwgex12YoqlBPkltO5FB98DYQAFQb04Bma0KfjEkrwurBhU+7FFtExFK9c8qKxOPpKbt8UDh0 /kfoGKiPft4pZXzH+nUQ0ahs32brO6GMdz2keGQTAvnZtK53yO7eB6IoYCFSMcZ8TBHJqg/eBypw SMoUCx9pbvXSzgeeeUE2guTvemIQ4vh555MVaTGAeK/dJuwhC7CNPBBEyXV+3ulk5So8e7cVeWMD x9emKAR9oA8EZsWZGIAbButkFb4hcDAvIgNDtqrirH5nk7FXzOr9ZELBwRYRUPCzKDyEoHpnWlIn KJ1U+0ZN0XuJrehd/9GPPlPD256DjurZmNMANtTH551Lxtve15D4P7geygV9vHPJuIjObdZc5xI/ JXNW2bPYj3sOksqxiKIadaOUZZWNfH1s5E8AdE45xr9YRKvDJRRVfJx9k0x+iTVLGg0H4uedSsZW jvJWQ8c4KXGnTKojZnrz8ah69qvPQT3HevHA7yE1MJ3yUtM2m3NROlUQf/MnRkDUzLrgnUVWXKSo t32Hm3ScG6wCQMCDKKH6jjV0osqfgbYTxeFctphkhHcWWbmeKggsbdAiOgFdVSfohO+fdxJZya5M 4BER48/m4LN3CpkF4os9Ya+eXdPLPV0TbALBJ8h0WKNmq2wKwcjBZgi4j5O3qvRR994SNyaynHjR jbRMiesiseSdQEbE/ZQUWPPBM/tdBXs9v/Dzzh9jD6B+LSGk5RCe9AmKStS4Qec5K5Pd9o9LepXY LFplBe1l3mXv7LEizTeiPbYPNkEbUrIbiGdmZShZyC0cC2XQQSxfqzDUEj7v3DFm9plNRCKrOFjS AZcqoySMzzt1jLWh9J10UCtt3QkKMR89cVrMNhUAeYsYAWZxTgLqv5qgMKaeCIemMHocLOkAmx0Y cEpcgSattA0+hlBKW1o92mKd8oTvtLELrGq5Zx80QQWCe9wiu/DxqB2dv24ymqS3Cxt654zhKaAX 0Qy1rCy58e6JkZvVhhL7mtpiMeYDf/asrORzsBW0zhUwQPbVFutlbWHQAZMBva72fc8gwjvfGWNF buVnZoQsbxdZ3lHRpT31uUHn550wVqTZ90Xb6EzrbyuxRRE1jLhXak6v3/sqgu92JfjLIvSs8/dE zVbW7uUAPh4HcBRu0PzZItU1aJxfiDUKFj904ZGh4/R3Da76xLJZlgO4+0VAhK0R1Yd7KePxIFwc 34cQfO4KebH60DCg7tIAN8l0Glmr7lFvYg9PD6t7tKhAnQxQtasPvoTO/jzTXikoe+LDIn3e3Igl AJItr4tetGM6CqiXMGebME8OWkNQH5f01zn98XjQry/f6EWI32NNO7clCqixhKaqvf9D/Y1p5UW0 A4cVz6hXKHLLe0AtyiRhi3ewU6jAaz1VdhLPxqg0rMEmw/0Oow3W0k1gsNm7/ot2WNczaAnBDESQ jbOP8XiEEP4+hVC/LeuGQj0KpxEmzlWnqTOgT/Pjymi8x/CujY47nKAI8jGYjE2ZXXCwCYLaCpp+ rC6eBLNImJf+9gVtfZpxKCVjaojPCBKOrLMqLx80QRk7jpWPc8vg8b/qr5MJ7gJ82++xHkbTtP/e VlE8cV1zIas86jY8Q5vNmJk9KlAPCuz2XKoPlpKhaDxPoqc+4j5HLc0bBopJE1ifzDzbqL1oq+BD ojZZllAMhoGQneUKdDyTlHzGSVnw/PsxZA4XWEOCG0Gr8cGZ95CACDL9OZ5NfGjyuzGauKnKn5Ma PRQW0HoUUCspq2tuH7yAdjYyYEIikZ2Uv5C/Cinek9mj3NqJEjZdhqKXDcKhLWhVzj7oIMJtKZTw uRzxeDBHF4lvLqvn5+J3WY84iNBxAp3d0Hl1VIfAjsZqI8IhWoIx5egx6IMNe4l39Eda70RVJzU8 t1BmDRb8Xul7YKU1lDaxAB2+yApjj4rUS+boDPLOMMePDFDB6SJI+CR/BY8Hd9lMXxkHerydC1IT FAfUgORNo9DTeMKKQxIolR5DdT2GHvIQp/ZZHz7YBKWKIz+R0Ht+wlGUHAKLspcpNesgQkcPnxFc 9nT9eLEiyGsYbxcndRRQj1uClRYjGQCUO9IUhWXqEySsE1FXFjzOn2DmqVAZAF1H1SEerDyr45Ca Cl8402zwo+hM/7kMBtl28AWVyH6DbDybuwD9PyqWUy8bVYcEHJrNB83RAo60aI4mHo/AZzfpYASN +AquPz5H71E1WkGLfdZOPX30XovKQyN1ig0W1hgRrfG4jqLqLQUGiaFx8DnaJygnVlHHdc9CUpNT DhHJmS/lLtEkrUd1asCcINuRkw8KY6CMtBUH5onHo8zeC2hDOdw3+qxHOGpgM8/6bWZumckKoSFh qrQDRUTEKo72WYT6UF7WWWFtnbQDvCcEF8oEYmioxHj+3aY2DPX1EUpmHFJ+EqGGiA8JQiJmrqW0 6oMW0dnGS8ifkyjj8WgRfdMSM0qHtV5eYo/DapAUalbCCoaZVWFPkjMM7wnWVrF9FtWpAbvGGbTv 4PAzSFWcSIglxgrzKcnnIBg7M8Ik2ZmJ0g3ChwS56xKjvicf7CwqjZWaEwVin4XcxLuI1COBfkbL tys9osCaxzVyZQOgSW6QTlP9kjezDGbPHI2oUk1boXaOneaDp/dw20Xsy+MaFissRzbqVDd0AJDB usFO1rtG/SBV0Njt4KDgZucmoAxUlfB4EFj/ys3INybhRhMUBdadeoylKvo5yc+yCx+q+s5XaCji iDce16l5Am3C0Dj4hT9gvAvYOzCMaU3cnR3tHHADz+KV76dSs2XvGhVhWUEDO9AGQ1edEFcbu++F x4M69b5AYdXbzuw05EE2RSGYGqH4pLE5ocLDTHZw5RuVsyQk5jZFUaE6E724Ko+ipaLoYF8aN3mT yOD5js+xT5IwNuMPjY/yuIr6sIcc+IwA5dm4eGpW/54UJSyGtukmiDZu73g8qIBc+jgDa2zvIpQX ZyjEfnTKebhyRaVmihBogsywiLadnjiiuHpZT1ENfBa+NUPQeknVsrNFlTi5FKHQAeM6VkHswk8J MxRjP0icWusOhkBL1YwZzoGGx/9SZ2DUiOsB8l82QVFYDSDzpOw2j6FB+2yVYamVwDJsmy4qNKKw etETtS9FjeuJGrGGMmQrjFlWOk/zVNjRm2SrX4brRBEYHxJsM9oN9En/wU6DnK0y2syLnY9xEn08 /xe3TJY6nfB0n6IosAaAFeiPbsSpdi3k1zQOFXSXPDcbUWAt+UXA83zw3OysP0WgVLCYVRi0RDEu /NyusmCmiAk+I9hlkjcjkUiDTupSS+N9fyLAicf/Qpuzm42litavTVCIpR6cFlsuc9e7hnZaLlWd wF7WGoqw1EX1xTS2Dw6w2qNRG5FrKDVlHg2QH5BOkF3v2y4jzHOEEh9kJ3ZSCjXYJgPygJts94HH g4BofrfLEGSeMP1eZVGlGjCNSZ8W8aaWG8WdUyi5UVxry6+yKKaeDBlHpS7XEGU5y61ioEQ01evo CiFgiIK8uqKrSM0p22Pn1+MzolJ+EvSs+6AJglPIUji0Nh7//zA6aiXnxQPGEcbUWEGD1q7qSffm uWvuTr0r2Z30RhxTM6tPZAX1dFlBSCdhfip+6yb6o/IUwq5CyS6T+GKAFy2hMKZuRk4kKwj61H50 nCiLZSnI9OD5IL2vzt/sZigHMPfdZWFQ3SDdOZOyDUjDq9GKOhrvLyRmrQ4/hsJaNRV0quaopi/m FOoESPOQvHagoYB+qJ3CF9R/kxIc89EtIZ2Qn8iea6KitAbFwYiyiJE6mVbB41E1/5eKF2BTrV1+ 4gyL1aAsJCqG07WyZSm/gY9k3TOouFRrS88optYdDnccH+wyOyd1TVTpRkw91pZaEzwUYbzBsuNF odHJY4bgD/l7b3pVcVDaUSZzF1ToW8PjwQzdrjT+V0L9N6aeUUwNYAy8GItyV5iHemI2+ONvZMMM i9VSOJOnQH88BaCLWwcICmK9bEOhLQrq4S3Hw5JmiIrPiFJXQjyZpWjwvMwkcs8EFTweHUS33cFg 7YSf7QGhzVDwoxHPsO2yNzKQ3CpNGv48RpFE7LIZ8xN5y7d1BzuJ9km8G0XNkLpSZJMQK1SAB6BA 1Md3jivFCGZcreaJLmWUnKvvstF2k1TeCQHw+F9TJK/K86pPXjajkFptV/SFDJKfjX03iBYzSL5o 0om/J7rMVIHlDuLg+KG59zlH2Zc+E9cJ4gLljKcPqjlof5oJY19612CCmjAfZfkgcMz5rXXoGEoZ j0dKlL+oZTA1qsVr+TMKqXHpLVMP7j+LJnYWDu2WLByazxIKQ2p65sIhzwevfpxg4eSVo3k4lDsT VzSh4J8MLYKrO4TolS8b7DLZwFHTXIN2WT+hIO+yc2tWPB40FVv7iqiBe2/tQhtmXKrG1iomjjf7 mpcmnUxqByWJ4TMUYT9Mq/u/1RoWla1hX8yk40Q+ABqdqwvsBgSPoJB6PERow4wi6ipVncbOPQcr w55PYI3wHJ0Dj0cBY/o6p9FYgeGoT1CE/QCtYp5/N2/A6J65DP5Uy+/L9T5mVKi2Alpfd7AJAlIG NfwqtyUgYaX4rvonfXMvL4iCizOKqNV1bYsuro1WkEw5Kip0NPDMuMhivY8bUa9bHbp56wzZiUmG uSaGu+TZSShstx4RwB/NIsYZCudVAT2fwTfZBJgKkbuszSRG2XJjWX5UpY9eyd9614g5JR1cFho5 KCk7C3wSjgPfSzwe4atuEZZCYAhUwZG0GYrAH4AqAonvWdloF5Of3IzqZMvTZyiKqQd9FuEv5oNn 9g2q0JVG5x1V1krEdcLubhWhF5Nrq1NPe9mokk+6QmKxhIM60+frIW8PB3/H4xGA6JcWAb6VdAXi ZkhPbNBoSEXdQ3R4HUC0GfPxGJo0rOMuCwU/VIIdlMzhYDO018TaAQF0nGwTUjTUdUBtv0KVidQp k9ihE/yMBfQqUR/pDkrLICahxP58mXg8CBf7d0uRtI5yKx8riqczwR992yY7n+R5K9XllLcWFG24 hFYE/hi6whZZihzuEoJTcZcJ/B7ZTXNo4EZU2IPIlyj3CmvUibWhRN8JDjZB5wvuUnOtFY9H9ETH NrCixCQ4+Sm0who1rMzQq7FTKJm6Dpy2zQUOaaFXz1YYT/ebsP5H3npy4XMtiwFcNvonWEEJzd0G 3FV7iEHs9uMz/lX5yFlCBCcR+2HVFLWhc/zLabkWPB9h0H7JmyIkIKlYMxTSE9VOTMnRHzk5+kMC ekR/UGOSMxShP+SIN7DWOTA0YcaRK1IxSSvjOx5UdSiYs5o6T76rwQj5BHxGVDzjPDaWFznoEAKy UNYlew08/lfpgy0RFGqpmqUJisJpCMCuNIyTuCQB7nIfHi0SNqYJCqHUFNOBlrIPXl0c0GCbFETB edoSj6tcKNCAS6xcHOwkAm2FFWp1ExfRnhy0xyBurHD6BKF4/K+clTc9eNxtXm2vFapPbyStJHWx mzjNxvwkrRRoZzA0G6J0HNMrJCdW7S5NVHpUh2CiPRaOHiwh1EEGtd1xrTdS0ta1LNls2q8I+dFl Wjb69kEX0p4gdzNa7BOPR8FQ/tpjBMI+jI4VhdNJGL2k/hi4ZVLBz3T11Y+QTVwqe6xQ7WNLCIXd IA7esj8/IxzKhDWUExFWqQ4VQAKhjcEz2lwniUFbEfRjKa3n7aNBKRmMPgTmGH3g8b8AVpgNAKa+ wOYrxFJTgzGbV8mq0mVaMpe9tKA57aZfYTxN3UBkKT54Vp8TEJmU9hrnxkwIfc/KqogrSXJNbEgP raGsl42UqzKLZskGC6j3iWYzFd/6SZLweDBDjwL+j+BVbALbDIX8xLJou5G4XFwZZcu0YRMBgu+9 mfjZCsHUkhvKI/vgGcfewMZsxdNnL6K5jso1PV0m4FX7wj7m0LtGlSHKUxKBrUHxNKjXPJPOsucr Buf0/qWfh3YOOlY+QzE9kYSF4Zo69+yB+PhV+8jZZN5XHE9L/p6A2Drys4ZgUwo1CHJezt4AHQjx CyDD6J6wt6Fua0mr6WUDjwkpncratT7WrmPBqQHr4oQueDySMv+OpxsoJb3faCiKp1dXB9Eyjla7 h4uAgPgM4WLUDMWC1CRMyVuqfnlLQSV1bNwHkBNOMKBAdfHc//1ngKacC88hhUNj6GX/GQ5tntQc NEMAcAjLen7E49Ei+j6p0Sbp6+ZkOwqowdI8l2iyjGNTUlyaOp19Dul9FFfsjgrUcr49geLwwQLq k56dY3rQ7o4ylZ3cO3rWdyJ3HhsOWU3vKKAmA+O8IVGeuRnKE83gpC5HTYOPB7Wz+h0uQvKOPXGb oPeAGgf1uCacnbhqn6Ba2vRwUQg+TFCs9yGrCenojacdjWCcruVYQuv8UmBfmSSAWIZjLbV8rzLq 4e8QTk3hi0UtMA1Wod4rSXgKarn7PaDGQXAr1NxRgL2lm3PsEE99oqiTaczLtd9Wf0V+kGyXyQua UxRG1ALCDtXwx63hn/1Jk3IZ3aHPZNZTmf5y529Go9KN7pCp4zOCGVLDnvabGhQwoq4rlvO55fB4 RE78BT9DzxLUZZugkJy42NpwUR2cD4b5qLx56VUO9XaboBDzIUgDGf4a7hrKC0VX1oAanQ0LkcIL EMsNdfF8u0C8ynYUUW+Tp5RYbnfVIYia60hqNWc8HmkwXkUUz1ofpPAOodQTEHPGaTIndddNcMKm sV6gpWQTFHMTOUGVolQcvIJ/lkpJbEUOUNOhVMnaGbN5bFqKVKh21u1d/wWKOdfd8kEr6HwBEocr J+fD45Gojh/T3I/8ZftOUOjoYmh8u+r3fFSHChWaqPeB6ogmKER8qFE/1Uect4/YT6a9SHglBvYk lxKGL5WaFMXsSgyMj0oLPiMyvKGvXZFLOQZNEOhqJF/WEwLi8Ugb7mLzsJ9g893TTTl2BPlIlPHM w+02qcklRZTkSyhnGp5yhiLIxxAMP/fiw+1xrBNI4PtmTgZPBUxigjcirWUp6Gu96N71rv9kb87d feAMwa9I0gdsJO64QP27dJaR1t9DKIynM5JWArUpOrTN/wbN3dXtEGq1GG9qx5APFhXrnD54pzXB ug7HC6rUZyaS6K1kjsMlChVXLaFCcUN8RhAsGjmRoRAH22PnlJR3+9nLePwvPWq+WmZhyOseOyxP QxDlJEpGvQOWyg6hUqpxE8/adm7iDiEf0qGWiSQHX0F9IkLJbCP23Aguw9kEVgJ4iVILFKpqT71r 5Hwj3lQpPmiCUFRflmXx8aDukfrXKY0OCfCZPkFRNI08DpaI5qygc0D2JWNt22KUN9EKivAeomQO iStysAkCjfhk7/T7w9Q1EcsKWlYVDEpCoi0SYodjh1ofgi4mSnjW5EK55/ulARy05krD41HxNX1H Qpm47ulLSGpt7x+7qPo+De+xqM5A4O0aKhLBNZKG212/KMo4+JdPXvUcPBaa+2zdQYzwaviJpSGE kT8DMgCgGlzcEJWZ8Cn/cN86AToVURLqiwyqzxW4V6ZWA9pO/AV/QTzxglzykmLUNMUURRlIT4KE oOFjnu2Q7b71IdVgIWyewqhaTkGFRVgONk1pZ1zllYlZOhFRpaBFy1D2wnI4IdJVRZnyoEhRWD3Z 6khCeXLQuYJaAS+Is9oanw8yj9vraOY1eQ6k3u80vQfWYKzgyG7dymhyN2SRCPezVlM6j2efphBO TTNyUkRs8Pz1XP17UAh6nNUDxPNS/goHQEgcpEcpbsmENEWx9ZJBour55xvAhyl2hI66MccWf0EA 9rxXP5GdKKCem/VaLaSoYg0y8FL1FxLeZbtANdqn6ccsbVEilNdCCu0SuetqYneIg+apbJi3bbpG njz/XHtLZEVosg7AhjKlIy2ALNteNyrJcjrtX9fHeqrTDpweE5XPR62z9R0hwQyjpa/lFEXZQM2j 8zHscALAxxxJc69WcxzMInQ4RXVrWAgTQ7R8sOVUKixnq1gw++RpKcnbLdPMCcW77dTgkqlFhE+J TCkGjcvq9EH1EIgp6AjfsFxIIRIke3FfjFks5/ll/pLC6jU93spwTBothHE6nT+QU4ZZOblfE+GM nL0gHWlieCwfvCiyTto6kWjgdDpJSOUhPvHHIPyGhdCTsDEUwKdEuD128eu4g1X4T8LEzu/JPDef f5+nenNaqRqc1UntMZ+m2AIGZLNyi/zIzwXdO99tsUMcR4wvpyjknjrEN6EhHPwQByCNdFf+kKh7 dJYTGn+TqOH05b4wur1ulLdldRvvYLCZvuXDWU4gzuejOv/NS+QZQ6m9a0eVQlEQxN0LlzIWzsTi NXbeoIYxO7IbNRQ7xKPAWz3rsuv2wQ4nZCmrokSFMu2cmwb351s/G2xAZEy0IfHuarO3jcBFJv11 hcBU6q/QDmVAcB7i81HLcX3lJoAGEXjnkxTH3pQBX66qKydpAh/yTg4NmS5ORPGC99SKiH2wF3zw 7GScMHVNum2fbxxKM0zf4P/WBH2+nuTmlpxi6WpaKmYdUNnJVWcbIwXC4iht8Plgx9Vv+hmakKOu 51yKSYyLojJGHYJ7kU0SOjxWJQFh0CcpKmcPEj5qI++lXmdIqVem3SnhBHjRGGQxrkWl5T5+BgPw qkJbsbf9V9Mom1GKU5LzBGCHZ9RZsZPP/5Xlqly7IYBxLXNyFIGjyz6LqHlnktLozq+ay2Rnzsug oG8OgiFEhI7b0Br2wUolJ35BT1/G0ifCrFXAdeJD4e++6SokD0oaS1PgMUjKqQzSzAbO+9cTiCiZ LCY4b+eopv3F01PdYABK89gsRgE40qMTi2VVS87Hte25bmUHAB/XgFu3WYpwIiklhz9cFARnCd7k O8losZ/rbGQC2mAvdZJdSoLdZFeWHjk2Wiw6jRQwJQ+YBny3Jbw4R+XzQfydL7/hJ5nx7rrJXGC1 iLJto5upbF2B2DSRq1ESGVckntNqnRdc6LW4CL0ei1E4By9LniBzbCuZnBQlVYYKFd9jgT9tX4/q Xmn2tv9qHyU1SVKjXitLAmetcil11Exy4LaIqPQW/zkh+GrKFVPJod8ibFZR+Sw2S3IfoN+iwEdi gSAF0iyFwTeb/WdfDR9sKYGC1tLk/dZgxrQN7I+54FOYJVO9Yic7B4aL2HCEqy1VlpZXlto59SYb HBD15fPRhvOEl7ESMbgp30kKxffAeIKxgdWW8nbRorOCNUkgsIzp+y2qcE+q8QyksTbYUjrR2Eq7 qIB70oFRyUjLOGuh40h3a89QugzzQtfFxS1SBRqpg3e6ykuJeieoyG4+H3Rrb/lEbilny+/6bLhI 1RrJ5wS3zmZpml8nzJXzsAocRYBtKYWB95QjHNsBHO6GG+BFEpvVzr+iMKv0qkhNYC3MTyWbpCju 1oarrd1BS+nExEsg2tYrn496Sd9kh0z34it/lQPnRanyryRW7LZTyZQuE1v92G9j0tEL8WRovahu Ek3FbbAoIINOXbo8cuF1ipIisjjmvKg2CGls5L1ir/svbWu45PlgN3cuVO7C4tx8Pjq7vzVlwUqD 7MudpVA0BDpq2VE10FWZjh6R1TIKcZCwt5JA4L+YXd66sPXPweEjZaI9RqW5Ts9iFCuh3MnDCYbL 61EzqPa20QXH3uVYwwddcNTX5EEz7SWDpXSdcjlJm6iuZylFUTe61idvW9ngEej9GeuBuHOiaSEv 6ZMUlry36kvkFnG4GdxaCS1Jep8B4I8SVGWvcwBomBcRJEp067DXDQoCRZPZsg/K4MpJpwUBmSvz +b9EwHVOMwgZz7EUo7I3vKGHKCETvWjjPmxSmCkesqWUzh0Xw0hEnNH1VS9Y6wQxak8SrIXYOtNS eCGGJqxn1Yv3o+cVP+Qf2qlbHgsaFFKex0VgbucI5/NRcpK/jiV0VBtxTZqk0IkRtY4TYbvR4Not O/1hXdToyRncqzK0YlxsCzSKTGnwyveJJ/bo1Ek9e+scA5UyPdRMX0D6cymtB9CWQy9GczXfoqtt bh/+Xe4xfDLqzOcjZT6/4BgWNYF57yTF5jE4sbNJNsP1bnt7gLLHhj3ufnaHdoxjSnOu3sEhWwsQ 49ykBg4nHKqBs3TZUaGG8JNT1Zq/bXAq0XR5yoqYgwpwQGoNncZl8vmgTnnV+WQ3hDmft9edY0dG FAOmxHoWK3GOHp1z2tmdKDmrYymwZHR9g6pud3263URBNoTUMourA4cJ8ALnP5GqPKV4hf0ji5Gf EtXfhEGe7GxSL4qLIi8wbhkt7bX5C6LI+7tdiX5uB1jGpylElUxU3eaW4MMkjt6IoXQhYf2tjVl8 MYVAbUGTRM3icKep99QmyRCLFXTqqxV0Shfcewn681SXrLUcGzNKfV86B0S0qdUEmYYhrl7WW/7F qGEqgupxRfrr0xQ6yRQI0cg0hlYp29u6JwaxrmWaqZisUQ7MGYHWZuhdWa3k4NN0TiXkpV0uuqs1 grwyFevRHJAMnRrflH7KsTljVg9FvkTZqyZ9wVqVne+zifn8X0p93SKB+kis5diesaMhUFq2SZJr JfElfTuFtjZv7ebQnxGoUNS6a/fh9nYL6OlVegcFkdQmfAJ5ARZF42lTf67aYw79Gc1KhoItGhQu nb0n1Ni5XBufD5bS+I4pEVC39hS8Q4dGVALOdsvig54NUd1dbwIlZ0VK9DpskkK1PoJLxpbj0P42 R0OUhG8dEIq2R6lSzwTqBAVvwJZM3Ugunzm0aBzs7bZNiSwOmqSzi5u5o63B54PA+xeSq8BQBPmF T1JU7oYvIpCwKppMtNkdZtLMYQ+2MrX4JMW+MpJ4lvZKe7RXEsSKaxEa8KTSXbTkIqFmJDX5ys8u Gg7n0KYReq/oVfbmg7YbfI50aZ3P4PMRLNmDJbzeJtb3iShDn8ZOV2ZWnijRW7bj3QpBa4KagFai RDcwaswG3e7U49Ng2w1CQCCeJtnsgeKGfwmnEdxrWYGSRZR72dsGF5wKvl3hdzfF/wybFtky06kx B1aNCLbW10rKUODpKz3RUhR3J+JN5lA5YJHoqspSzWYMhky3e5EycGtEOcBkMbMP3odDU6PXIumD iRlMVO2jZB7axAVLfqrBVLu9brCWhAiU83B5nIcTEC282aEXnkPDxrG+pwkLvtGuVrMUOjaierh4 18jXoY7sAOVqNEBkutPknnNs2Ugh8LOlmg93w6EKXcQwbpBvo+Ya+6L0bSzYRd777va2/4gpIc/d fdBaGnCsI5yNBe/AtBGB97ddWiPU4OkKhK6NGxvunD/u+znz9CAgm5I4tEG232+BbSNSZm64LVLb fkhtaJadsLLxfjt3bhbSO6M7jgosXKEfdna3tw0AAtyXJITZoMoSNDnV3W9ZLxlhTL+F17AK2Jzw SQpx3BnSB6WZv4M1BQbF+657bIYntuLu0LlxiK+l8lt9ym/QsjxLGj1GVpbKolt6bZC3GXCfyYR7 GMJLfe/Qu5H6/Gej1emD0hMw/qu2UBp8/q9Z4lJH4H4C9Rsrhe6N0Nqdqz9I00duhOZBkhtpy4+l f9g3cpoaS7ocrKGLPkBLiRxbhBajKD2B3sekfgOFnBUsMR7hp0Q0ZN6EpS0fdJIskFd4LNWst4x2 3K0tuRIkhKvuNIVx92T3xATVcXq7yjo95010pLbhqynWx27KS5IPDspJI0Ohv1Ha50wO/VTOwYss DhX2LBUis0pd9roRxiuTM8EodHXfcxM0OTlXrjb5/F92D4wpK2iA+XZPAiNHZDWwAu1Lgizo1bhG 9mAZAZicE3Y1z3VDJ0cpj1QZo9fHGB0Nt3NFqsc0ARnsrC0R3jqgNg7xlsvASd1eN2Jxqbgk3k67 Jbjz6xtRZSfzzHw+qnl/mznK7XLeznfo5gitpNnYgyLtX/iSKfleM8jYJ9muluoGdo7Ic1jGzRJB yk+hsq6Jlm4XhmIBeUoXNdQBiI8jcMkaA6vb20bitIJ3q4kyvW4CbZDJ5BrQDD4fpCc5f++4QV+p p+YdODomXnJne2RbSyAA2Vpq5DRQbCxBwcnWUhx680SyJdX3rZuckGJBFpDVpQU3ORob0BpjQkqC Ruy+49Ky1w12XFYDYWcfdDABrinvh7N/+Xyw45af39JvM8L4naYQawKEAL7Fcz4j1xUgJxNrItY/ mQK4nRl8h7aOk31/FMF8cKzJTCdvTlTUOtdKQoWJ5zfCAODK0Em7pcre7HX/QeBec/Ni5GCrCRgm nsh7LT4fkbq+cRSwWEJc+UxTFH3DYGlO4ZYItxrFpgnqPJqmNPuz58Lom4lclktxflyKCzK2Ez6C aXO2FLT8cSHWtBDRFmItS7oxEzV/cmDumMirAZqS4mwctJqA2Kd6XT3xBJ8PsKfjsgN5CiGKf4Sj cujviHr0ybVWM1oF0ZDMd/vY3tmtkP1RNBAYPEqXoqPYdgcLv8/7wwOAze6zGWcpBII1Sh4DS8qW v/LdQap7DgweE92GAZuk1F9TPQjhN0TwBcqpWEyBw+N3Kif9XjpRXTXNHFo8It6eBDlxls7J1G/8 PYrjTepw6FLo8ajuwEx5+OD1pXmylF6ojgSJhFTZbKkk/EEUsVFNX8o2CpkCk8dMSr/5Q7lNFGfp XDZUkqWMBp8Pbrnmtxz/MUvel86dQ5dHGIJ7zxKB5WzNkRTZQTm5i4DCSYqNadj3LuJZlCffhcrf Aq2CPUvKKGNTFkDAKO5Vr65vySrChTaPaF9VuCWwCAc3RAbd+WyBToMv2JMs/oJIp359zRIECdEG u7MUhd9AE5wwxbKUlZpnKSdg6vOG38nD79jqcV3T61/e10DnTqjZJWqMV2jWk4yB+7VCHJXq825M 0+1tI4ES0imp0KpBRYF8DnBlq7Pr+T9LlcZ7Qtx/I6bA7REq2jDulHoCIqZkMF1oA2QX+J2QElEs 8IfdY5WiXf1StAPfnQIBFJhYQNrRAJtOayDF7XYP79G2vW0EqGRVQI+KE6sKE7JEAW2AiA8NHx/L A8ZGxAq2W4YLHR8pPloYoCFgKrRJk0xJ267jAptviwQCy0fnndKn1AabpH5SnLll4QOgN5CtDL6B +aS/bn4AJ3IJz6HpI1t34J8sHxQJgPqg3sBOlc+/H97r9ploBw1pxP21lEKPGhqHysFYXPjrBNXt P8T91EwbMQe+j/hFkhvvzYens0sBDua75+6moDnacZDUQ0kl79Qfso6/bqSZxMO7yqWmXpeacb4A yRuehcvno5Kuw3JIXkG5Ey3CO01h4XsPnt5aN4s2epomcNpsmqhmb9MUIU7qDPxDF0zYKGEnBuoQ gJeGlpBwQyz7W5ssB/aP6DNZuLR9sEAAPF2dS+hZBv6PgOXcbpzyXVYsfct9XqOlmin/OKeoFQNN XtcdH2RBa5Lydo/V11ipArrEm20LV7kfB1GUFtrAH3dW0lmeCynqmSu0BeALBX84l/YnHPjzGilV iEiyfUJ6iQYd3DBskNhvW3j6ZXrwdNL05B/uUqrBE2yQ+bW8zk4xKKWLIaMf5ybGuSaJIRe1O3Ro B7NjhdySqw9eCpgQgmA3ZJw/pPBWwH0HRX8uSFRIfXoEgn+dnhNiNPmLUKOcg62f8+1SZbUW1HGD 6aml2PQIC4jjH+h2Tc9rfFQBxTrHtQR1uHjIKVN+23O3WsmDC3iNjqpLJk0wgm3wtA1zUtDOBrB7 QXCCBxZgBIXcr0eqhFJxn9fYqAKDQ7y8NAL6oxFwItOxzJ63fV4DI8ytIXHlvs5+Am8JTs5rWFRN wbYWa07OWovZO7cxksEo4epgO+s1KKpo30kgQK3J+dWarLXCnUD8Cbg+DeVzAzLWrJLcyWHF4PMa ElWURzgrhU7QHaJvRQ39DOMYfNyJzPLnNSLCHzp9dgibxEbb2ZfOaziEcweuKwDJanbmytnyj7nc 2yjNut1WNVg6Q8ob/6UvQXG+cyxQZRwEHHQxaCGGtYZAKIMKU2Uev5nKvsZCODq6VG5ICciXErAA MJM8fz9h9WskhKer7yw7o84/py0v3e+C2aFCSErWhITi3/Luv3cDoC7hIkCvUVBN7ERBTZNgQA62 sXAL741vCbMD9iQUEUHqS9Aim5gdv98LI9XPawyEL7JR2qZRXIKDyrRrjqbGxhk+rxEQ1k7zY1lH +NlaTWYQ9DB5nR5IoiDsqdV1awVLJqK6jXbJScnNMqLpYSTdIWhsg2dluAYbUHK401GhozbSScgX QDHQBZjj5q6yOYj2Fv1UsTR9MGQEvAHYE1l5fF5DHxxb5mKY5YPZqBnpi+c17sGp3KD1t4YWzwkE LALKqDtPO3jo/y5V3+hUFqLtv4lbHTzrhowSiwfM28rK7EmpySc+IfS8pVlysz+vQU+VwDumhbFP uzahE/1rkqDaCZs+ryFPFZrMjmVOzyaLu9n0vFYbq7TXT3pjogmTgvre6nfRhLO2hsluvNYaua8Z NA/eXRxseuq5VU5CyJLsOmksSNwoyaIGQB2dUuZ1L2S++HmtNGJ6Nu90uh1q4GfktHOmttG5U/D0 +/T0tb/OZVazICej2XktMnK9Apzdq8uPlZvMA1NjW4tVc0lKRBGPhOlLv8MNmc83SjwRJCpOkkqJ gsqAfgBYy4a1I9iSXjU4lyW50WfxwVofI02zdoT6WHhr5a87Hau50T1A8WAULqPXutAKMgREMoF6 9NBydQQEsBTG+IvmR/gQ2slp8PlJkDusyk/byUsTav7nqDnbCQqkZ3OlK9w/m71ssHy4xmpVa796 PSVBD6yKFQkszXvILIqMncR4DPt9E28kQk8wQ1BTmRS/4AIqySyNwMsyNBt0KpfDjeKYmeC+Uu7g MwTzh76Zm66Cti4pkQNr5nwwHae392IRDPFlg9O5sswxxvZBS6imOdWxzpWPB1Fzar6GJNsCqBMd 5wR2jqbozAPYryauNbJ1X3EC1WEnUIFhu8Fogina3F1jmPHcUy/L6IbD4cnUagndhQfN+ZsapOaJ gbCsQtl7FDh39cwWQ0QOdr2PaSWOBuLRe+SMpG185xUVXyot2jRDUeyMdshCeVeF15yXQ2hKXX7B o5PjHf3gkFanum+piu9HVfxsrPOXo52AHmxJdG+GML10QxsMwctzh6kxE2VeVbcXZ4qD4fqAr1Tm tdDoiA6i7QEicVwQiO1UIdMUvQfQauKsvO1CPxvBoEW0kzRsCJQKbpsjmKLJksVe7HJw0BSdnQOJ jTSJ7k9IgotsWCTtNyHM91OHWQ/buwYHEcv3Seag6ZqDDpA+heqACuI7eY130nf6ldEobOjl2QxF QTTuP2wuS8BGfcg01Ur657FxK67vUTRsxdkAkubxfDSPIVZ4Fk6rcjdYibbRSE8Xq8jrR0B9FVxp 0/NOXauinqEeQfgUBwGMKhqfrLemVj/vzLUqoNBXCgYMaV/PQRTG0cjfW/L8vbXkPJqlNUTZbHji qEIWBdLyKT4pxfTBZ+jEnoDpTTXxzyaTu0ERFR1hULrGjmL3RYF0J7mPtFUbDA6SK5Vjf2Bg+3ln rdWnbJ9/1Mie32nYO2mtSgd45TK1cCY+1+rRCOh+3NfR3VPfOWv19n86lxAHP4fQ2RiJ0NmJJodE yhK+iYYcOZfHDWvtrZd9n6EhTQApkXHQLtsk73KX9fl5p6wxJJm+yySECGXxfiOi93AalxDJRcun qG6nGa1inj1nitBt0xqK4ukhh4zNQiIHW0ML6XWZ4tGi37HJHmlUsN1Fx4BbiKit8R5PZxOnB/3N B2+2LlbToT+bP+90NfypeXyfQ4Bf8mTTBEURNc3Al0Sh8ZOk1wkoStkdeGEtaRMUhdQyVJuLzXsO NkGoD0MDi7d9P0e4SEgFfy04kT9sT1l3bE69azBBLHUM9TU42DEEyTcp/KAbHcXUufkS2rzJGoCo fpO9E9VweCUKRDiWqMgiDE29Xl11ZBOHxQl656lZvvPqDZpgjE47i3MKnS+gF5Xpp5gvsMl4RGuw bT7vNDWudrbrd6s+WMJ68hdil+os+fPOUqsPsSgbdA9hGfnImqEops68yXax3iEECuwmM88DOvCS iMc663tMjWCOyepu3QdvsHb0fHC6nW1/Qt5K1gjkj1AtAwl+zXHrQYTwv5PUcJVNzapa9mU9WQfB 3PQFmZ93jhpWQ/GrrFs1sWaq93OGopB6Figd9OQtMUp4qtfTTZkVQsTTej3vBDWG5iKo/yebv0K7 dxLewL7hibYWRempFUOGycrXgXfQAeKdn+Z5GTr02Qcd1Of05xF//tjaPu/stPr4hekqo1QcVoHN UBhSq6RoG+qkUMXWENyNkpcUy52hKKRO8gvbbGlwcLwHlDnAu+IaAvmBeVmH4/ikdWruDyyGAiPv 1DQs+MSq2TLfsOFN+pMUTdGvU+bjUW6fvrMOJO0wNfEpimrSkJebPeXsmPTlcOtU8k1dhU47IfU7 L41FaXNArz7YIlo8ljY9eM8ayayyokOPDA4qvbmX21YVneidl3a3WZVudr262edoaHLMOKHV/LzT 0jBF2csfLHtCJrOxoKkpimJq0KsnpMU1RX271VxbJK3Ilu969byz0uqVZG25+OAtnxNOzyIrmvNd pJqKjAtxqkMKNhPxa3V7hDWfd1YaA3ehhlS4n87FSbCVmcLGzvV5J6VZhe+57iFSUMstvr5z0jCx FRWiZHrZEzRU22ejtuqpa3Ej53dKWhUjCa1UCWd8pa5nYaCWo978WaxAw1DmAKR4NMQyHWa9K7b0 su8n0eJSq6kVH+ysPsGJdItX2p93RhoX0XfaARclM7/kDIVB9QkrFuUZhUMXVG+Y3LJnrq1ZXvbO R2O8i+R+NbJAOdh9j8r6RitADgcANCYhz2CtmHCXXuYH2HH8jGCC6J2FjIx+zuf3ELp48m7QAslL Pxv6805H42n5qwaLbLnsu4aiEjV0NifBFtpl6vlYDW047wOVQc3Qe0wNk2KC8iarPxxshgZC6iox ViD1d2MGBwEwcAky6vi3hNaG3vVfMbWYiBrsqIbLnQqHuX/eqWiGP3iue0nV3v7POxENlxm6hzOb Y8iUl5U0xdh0YgUtz20U4nceGpYQTTKWFPzWo+CHWve5dSb1IBvafFtK/5m9XwBBMDkKGek++Hln oT17TGqQ9apBdgg38y5rpdbPOwmtPgazChIIokEhw3rPYUxNVzP1MzhVtdsplFt3Z8eMU1/N57hO jVzLuIocbIJO/AA/4uZu6Ulk7IY/iTDdQddYhUMozX/eGWhoclGiHZgOHzRB565ZTbzYkT/vBDR7 xadHBgdmKqnbBEUhNcQnTy5pEwQMVXXQYq/e58DtbRMUl6khc5HoW6jBymfwXJnQaARmEdbxi1E3 XUjB+f1hlbobFaboXYMJYhlpC3e+Hf2fYU7ShRE+YcPnnXyGPzV52kqPk4JXQq5kMxSF1AAxz6lk DJ2rnbxKrdqCaPrSXz177J17xkOIx3TmGbzyVTU6pxBgtJ1p2fmdHWA57LFEW2f4Tz4EPR1C78wz bBIhGISi7hdFjRpBVeH5vPXnnXhWZfv21enAbQArV5ugKKLGUqOIiybIKLAkViu4BtB8gDSmCXqP qG0JtdUpU7SczXuW0IkXz4GBywrg4FyyyfwS5wynnEXpEB1C1MR455zdVlDndbZRUvlhAwhwzqxy 1Aklyuedc4Yvce+vnIMgNVHOOENRjRpX8Fk4W1BpKB07q7qy+EJW9YBit2YoqlEnaj1B0ccHm6GO q5FUdACDT3i9uiYRFBHcX9MLH2QHft7JZmxEMwKSGTgHwwfh1jTQz/y8c81+RdM8b8iiWp60vlPN OKmZh/T1EJm2w0bqvsMA80w2PVGBOjGtX2XcwaYHl/tIRoGFPUCRGzbo5icAgPjtxbp2KtO/88yq lMAdVa7BbjFoSQky1uvnnWZWH+0C65TRXZbQCM1QFEyjX72SLi+6icxrUNxuN7pUL+G/s8yqKDcn AlKFiMOtvzbQRXniDMAZtBkT5Z/B+s2jP/wpwoHfWWYsnjESWixnc1Az8Ry4/DrBFuqfd5LZLzQD gwIAm/K+WywKplFfm8WNHyaqyF4869Vh5U1SWIm/JzqE2EQssoCQ5NJUuzXBkGRvFc86MVmdfyCy RrzVuuoOdCf6vBPMkNZL1YH8500GNA9nKGgtififVdo/7wSz/+rZq2XVbiwURtOgmvdhBBfYPnWv no3rOZfr9CkKK9SUUFkqHnJwjGsCj7rRRgRuIkvoc9BeJNw9Hoti7Dp+RnROSwZrS6P3LH9OC8hl W6Yi50rj8xHM9easeA4tgQaIoE1RFE/jelhFaT06r+Ttu1bYtiJ+b1QuSvw9AaKKUKqxDQ38FPHP hkoAHXXZ8i02lc4pDeq29J2o+2ariIiqd2JZNSPwXfK+g4VDaY9krYv9eeeV4R2zQ874erXQeMw3 2jutDJ96Fu0JE5MYnCvj+zeVR9ecKxDABfsOgMW4Ss36fd13sKOoFVTnNpUwB+QqkopDgOYN3KEn +7scRTYRPu+sMgMmUBnEhqqOxV6IjZi1nvX+eSeVcZ/+RnQWtIKmb7R3TlmVz9RsVP9CibEjlIcr KKCYmR4GbCcC6I8S4zuljLAnLp+mCki76rwokcEbkuCheZ7cCZkYGqbzB4nNDwHv1k5sRe/6r5Y0 St8+GHXjHHWqDZ3Y+vNOKKuPaRj+j7W28zdxJWmGwpgahfy2aW9JnfG6jcKZwJrnj5sQeS2iqEzd GBARkWWDF/LPAi0Q5OUMDUR8hA/B9mGS0c8ahAkYI2D9vPPJqvS+cUyTkVAvI2EDsm6F/M3Hg6Oo fIeMIKPXdBPXdzIZQ0YaFlJSHSaGpbglBnpz/BGCfMM8DN65ZBc+NDMhMjNfAFqHDUbpZPci8oRf HAr5tEUb4HRm+luYeDEZCe9cMlaySCITO7EOa3WAx5DYrEH2Uj7vVDIGDPVXUMRI9YJj3plkxGWh fjaKBFNWoZeSkB/MDRkUreTwoXciWZWoCRLSvHzw++x8wWfBYMuOBhzLYM2/Kew/f86mVSdnaNEO /J1HVk37cm1UYmyw3P78HikWnh8+7zQyrKGLjcHUAnoBNJNPUFSkpix/967GrJK8mDS7XoaeTicF tuLHO4mMlynDxdXucMtnJ/wceVhL+mS11KRHBQmiirjk8vUNw0X8eaeQ3eIHn7TB4mroICnx2OPz ziDjdpnfiQdqcWndTRbiPjry1V6GR0R7/bgLr+n0wzEvWT/xnT92M9dzzN/BEw/U62cnTQMRZO/s 7iv/BICRyaP2WKdM4Tt7rMoLGawEnuocNEEF4QWj4rPVPu/ksSqjpWeCaJfeLnTonTpGxBG5W9Og Q5v6bOZ+0R1/lsBX0hYLo2qWVYHW9eGWqAEUW9KVA8/FoPq4wE76WH9aHfcQavauQe2DBs69U5Kf g6eu2bwv2kld33lj/FP9mGYs3rnH5z2EoqAa39pKLMswtc+OLD9rKZkyeK4A0VEwrf0bRn02UPLB Zgh8xAU9IlaHoJ3FWm2DC4T6MGQ6qbVDjlsLg2o6Du0iM3D3JMtQ8aMKNS6mjMeDgHH8Yv8gLp2X OdbCkDozXlxCCs9dLsGl9uIl6r2mUThaVKJOVTlrvsNdQpXmxyQpnCwf172wVXy380mZXgXl65iO QupFAP4gXk2DHdOo0mkNlYHHo5799ynUAFCut8vRo4ia9bMiAAOEGtKy8kdrssKkUEN1YcL+HlFj hrB29tx38Br1av2c4UQOQXIv52xwUHQ5cAqgmq6AmhEgPiPqtmYeP637oCUE6DaB5yfqqng84HHs 8WsJnYOVtTtNUFijTsALSfkTFdg7QbDzdlFCoDRsgiLYh7hje9D8ioMd05h9WPBQfQC/1ZiuULwt 4J7seVP7SSGLHtWozTclc7lVB05k2PsVShKeL3Li8agCm74yjgEsU6u+x3oYTjdEQukqD2y/6M+l 0bJhGnpym7kelaiFxh+L2gscvNea0rm9mjCws1Qwg36oVgyeOuBgVLsxhi+2NT7kH42yNZEiU9to mgvaAt2CC2qcnAXPRyTE70YQZf47GGI2RyENEa+6qVIHfN4ki0Rk8Wq1opKJXCXuo0cB9dQNboS7 8eh8zBNKTZMdAG6vFzE6kJgP3nCgiV51hqKXDaKhLZ09+hZyUGoPo2hd9mfJ4vHgpL5ZWdaxDhbi LRD1CPeBwsqsdRkHutKkjTBYclcVDoGAqpyjhwH1Ev6VVxoHv8sgHHwCbCL0dkNrkQo8IAwQeY6y gkMYWWTsUaFa3USCHzGcvTlUH2pwQfohz6fg8WCGfmGHKOlOBIkmKAyoIXN3drjyjHMvm4sDorCR DKG3oSagCQr5iEsOTrX4YGsI3foGuT52OuBgWK0MC//S8/sk2KyDqNq7/otSRmiII0Q4Qbkm8cvQ M8PjwS5bflLz7VhIoUK2ZigqVON+XeeUHyx4nL2J+g8usJEro8RFojh0XnhUxxG1ghwB9cZ8GJsl z97UsYe4Wyca/2yLs2oGwmqIPzxyllMvG1WHiOMz94bh8rHrZB+zqp84Jh4Pzuq+v9NWCK40gKJs it5jaoDNGTFSBoac1qrJgvTKoL4+PUHdxLFHsA8B0EZ9Bq/lF7hbSWqA3DLoMYFjjwHMFfLoxLuj HiU+41+3WZ52sA+XaAQwqeo2yxOPR6zW+XWbVeoc3dJHj5DUKJ6DxS2I+Yne3UemJ2wcLqcNJTPb ZRHqQ2Zys05VGImDrfJJW30Bpkfk0N7IEDBBSM7AH//JIGv5OQTkJT4kCohYHGqEfVRP/SippwY1 djUej3hBvoZENae9Yb2slx5G1U26duZE0Foyv4a2CHWi88ei0Bv3WVSoXlaolh/RfvyIIF/Iw55z hLorTWQ2CfWpUmrI+Ymojutlg9SVpI6T1E8fNEdpQxALn7ewz0KGYrsoRgqfJGogb4+KRhRXQ/gS qvrZ24qOHqLwsTfNsoeNI9T0UEN6tuyDhY0JIksb1ehzWo99vnHW+zsWXiFMHXUxN9qxd406Qkmg j+aDgpuNmu5SBS3j8SCuXv3rOiPtON++64ji6hNYACw8unU7kjujwPSjeLdDlIXE3/PP62zKhmA+ ADTstFxlm46zulFuEI5paOqdDSz/T2VmdI8ZIUORkAZ6D9qgiChvQb9xuiw8/j5BX8oVNC88O/3E Md7sGCGcOi/0hqcRgzad2ESdIg+AnIWxq/WDRlSnpvUSFJ07BybLg4378/90eJdRMv4s1kZoA7Sf UMXidXYv/DOPA58RdKabWK5LMKtlNnsTIjMSrzj/g8f/4iwwdwXYoifPXUcUVbfFwmI2+eraHMMI s7Er7rFcPm9EUbV0c1YVAI0MNU0QelktdZapT1wJsQOW/CnIhtOz0IVZ1/1ZzwOfEfUUWUHTeuOg 5B5ovaQa7Nh4/M9jCDMEbDuEM32GoqAa/+TEYgYaOmnP8q4rHN+sCMv2hJZQFFSLIj0Hi9UcvEo9 zuGxqrRz2j4XErO4c+Jg1UxS1sftBgGPiw8Jdhl1zia9F84AsMLmHJ2ce7M3BPFfPP/XIuLLYd2m SyYfYVgNmOeurnYGUrNTp/bczi5DfMbUbERhNXICZO2MmTk4JP/cqOBxUh0WffzJEkChACKMUdtV F8y0XMZnBNkrq4xpyNNyVD+oC1pLRFiduAGPB4uofu8yUqdgPGYTFGKpIc+6VZ0GnlH8Dup81DQt v6cUgtZQhKVWyx5wOR/sJjuHNDbd0BqCZ5yoMZXFGZTPqHeqbhlhniMU+mAv6HylyQeHeTa5e5wk Z+DxICBav8n2KORfFOOI6tSNmWs3gwHsMUd/jFa2oz/a3WRRnXoKQZSqDfyS2JRekDfdSszQgcty KkY9AdC0H4Je3Hjw/Hp8RlTIZ4F6kbDAQROUBlGSiIbWxuN/cTqY2tcliq1NUBRTI7EGENlYLyMl 04A713sbdpFVJFGaoCimFg52TMFjZr8AIoRwFTRgVogapG3pMkBtMazcTOqLDuqtJRTG1NSkTJPg j4Q8146OCpEY4jkGLvu4UO3gD5ZsheW+p1AYUm9a6ZpX/DL/+M0iWklmXwlnBTuF4kI1c1ZBQDg4 dQpN+0V9QEhUtwYnW8hVDgpLcQ8nL+VvqenEBEVh0pXdD0cCplxNyvNkPQWPR+WPb6zwmUwIMvku m2GhuqKUzwIooB9pWP8+o9HuJbSOpcXEbIYBNYUaViWfnIP3E4FnQ9ouFVhYGdCIoAMmhw5hf7pB nFh8RpC5FnkwyLGhujMzKCMSVz9rteHxv3odFLSgWv/yQvWMAmpkuCc/MFe4CTatZ2XqABHW0Mng PNHQjArVQ05ek3c9hwvHPxM0EY9Cj3LBApNe8QWlNvi8jYclDX8vvmuUuLJQvWV7st1WYBHvL7+T VvB4cAyNW2IkGqtBbO1qDs1Q8gNgaiqV8Kof1ZXgEbhYynFCO+BTsclmyE9U6axKHKVeS2bI5YLk OixvTd30zgBfHMiuKZLvJFeKEcywUi3DnELGAgftDNAf1ZPeq+LxaIrq11UGvEnPl1k2o4gasZcE /gTIX92ovwOdTAfkI3SUalVYp2YnEZUBH2wNodCeoNYtuPleRR6phXrJ+JPR+jQjxr70rtEESTaG RVgOQsacDUcjBESdGY//Relg8DwhFloc1TCjgBpLBqgAg320tLzZkbqZV8PMrpnp6QzZicw1AA3y wZYQsgxw7hQtrk6NV/ZANqREseL7lR5iYxMfEulZbOarusrSHrc8BH9B7LJzMeDxoKHY59cMoV8K 0UefobhMLU1zk4WD2rVnrdWSMmQH2+QsZoT7QGSMHUW4OQeboT7qyd7zZoWxdQifIRriyQoYKGRY bjREWMOM4mnpWFQauWpw/NmWRSVyHTwe1c9cGK4aer8gd/XEfkbIj1FRYxxGiZ6MMb3VUQ1GtEdL fg5FdWpZVc867nAB1ScqG4nID0gQJ2eWqR9ckI1fXhBlF2cUUSflrZuwatSbLLHf9FPFusi4ymLF Dz+GntrQjYZmSE5kTqZdhuQjb7fsUHZOIjllgnQMhep5DAOn1WJHur0g6E72mrNJokjYGuRE+vnV ouzR6/hb7/pP7FBj856D52RVwpRgFuPxCFw1vjYZ9Nkr+1CaoAj4AcoXLJbMk2pPxw6BaPB4LhYr ns2wSi3DgEWSNAebIHiaSFCEukNjSdwT3tVwRqo/FFz2KjUB5zOKqJvS1azqWfbqWaNthzxKesfj f4KHuPTwraRnDcXsRJkJmr4X3e0NPdS/tE2HSaLMUESPqDLkbz54AXavDRM4EoMyjCeGmrNY5LUY c0p3PVUm8Rn/2mSDgBoNTr7bYgDjRsPjUbz43ekgraNc7dcVBdSiKtOOhrpD8oJF2lrprsu0teZk 5LsVIT8GZ2aqacbB1xBQqh2hMgJqKCDzFGqUrKKX3IPIlzD3CivUhFKfWyD7YBME3IGQrbXi8aCf eHWnhcCmJvydoLBCvXGTDe9Irz69eJZyvYIf2QVOVxhQT6WtpiFc7jHdgOvv6AGA93Kux80KNfUo G+SI2roIxoWFjs+IKtRDbURhzdEn0YELIi9p8rApxvPBJivfpA5CcrCqbIZC6WkeQy27Qi6N/wT9 2MbDQ9bqlY8VQT9w4oHkQgPF1SSGQNmhs0MRuOichkicWrNAgNQETR/caKbDCPUEfEZQod7qOcqO 8opPt42A1jy6Bh7/S1+ZkOLBTpkfQitkJzY0OVB30wT19Oh9WGkIEsLdamcrBFLL3yWTm8nBM44M AWra3jNphRYsLjLiCVhzLncJ0a0QnxH17EmZon2wBu0x/noD3VU8HmX1PkEs2kJSu9HAQjMUBdSA XHq/FVLdi4HjRg2fDUGpn4G5xmN6hezELvSQNtl6NhnK0oNNCGwyrBz6BGUIyrVOEvm1LaGYIj4j Kp7xji9i2buCfAb9Rfc+jGPw+F/1adY+CYPd6a6hKKBOcHkzKyW44qVhMwSyfFKBOqW5rCO9QrUP nr6M2mxwukLvJy1lDWgsKHhO0oImZBMmnYh5SJv9JBFoKwJ+GM8eXTcbNEUZhm8iafSBx/+CVwnV AOYU0R+aohBKnenFYafPiaGLw6sSqc6iBSWX1VlhQD3UkFYFZF8v87bSOT5AfiCjI6PEiG2W0Ifr gNrCD9GLQzRqwYcEUyTZFNQ5TAtMF1DKQBvgpE6p4fFIUHh9H0TEUhdP7FfIUCRIj0sWHgq5WJno bIOUltUXqaOkGYqx1GyALYU668p7tbO1Bqit3GagepB+B20XScn9DPKmdAbPoXf9p3ZVZsc+Z+/Y nyjX9MROZsRXDMQsrmIMmXZovLRxWUErxFIXinx0ixf7bB4vZlrwMl5Mw7XwV1ykJjYvS3s5z/w1 Q7nCfIPsu5obdb3OIY34CURVNjfUbj33U9PLBicRLzPqytmgbQaRfbGCTqaEx4OO/fim2kNxrPZ+ b/sooiZXGV1WJR2NWj4MGBd7B6JwUl2NMxRH1On9IOrozaNoxhr1uYHIv4WozglnIOnLZesB0Rh6 2Yg3xbJQMm0dAw/BE2FR9AbdHT4eLKILpi5KUKB75Ef1DkEfme4tqzhjobhud6GAqAl+uGPAjgU/ 7BZLPnjeOso5aAY5L/PsstVIN88AJfeqP/malrJGvaOQmtyGXVTL56C/ChZ6vD3PpPHxoHx2ax9y vAMXqfttv99Daj210rbyGWQYfYIEOxFWeHrHfoeCHzp/wGezwfvRM9EPiOaJ+6SJjc5SkH78IX+a OBO/yyiLv0M0dRVtqm4fDMQIRT7+hRDM3e8hNYLaCzdneAjc25O37pidiHuvmBr1SfKnn0O1OLEM eauvoTim7o48uwA07bIJAs1WkWOyUiQnYZAI05nKJ+so9J7FZwQzNCmYS28tDQoZQWYS0HeljMcj XaZfksKI8uczQSE5caq7kf2gTq5+1tS7h/XJudaMFrRD1Ae7zDPvO9xN1uF4A6zLufP3CYCpdYqS HAylIDGebyOIV9mOYmraAO62FVrvq0Zwrm3WI1BLyXg8onT4VTY9b003sd8hknpBbIjgNlY+yhX8 sM4rNWNwSmiCYiQ1s42keUpXqBJOAhWyKCK9wFZZXtSs8LBGvR5YDIIofEZkrsAzS1qn9WqdnsWb t7oUJ7PH40FWdumtkoLDN5Sy32Q7FNBDQ3pv973fy/HT53N5k1HzA8egZijEfPCSfxGNwdECHVzV qMe5lbnHmuLiYrYlhsbH8YDPCKIh6ujvXasPNkN7ZvkBn8WExyPg0O0lYm7JM023ir8j0AeORmhp J2sEiYXXJVTppps0w9QMRaCPIYinCozjKTC2CcUYYgJQYATdlR2RQsTr+W/Oum23Hd273jWqwIoP JGhVcWgVagU732bijsmJfpOpepZ/qoyAOUFhQA1vZwmJUXco5as7JGQVTqFWi59CIeiDmIadibzj 4HSF83vODlQ7Gtr77gFDLd8GPQsvwBYmOfiMIFoUK5GkPQ2GPaNwD6/6OvB4pO21vmKhosrCverD EvVZqeefdXETJ6Qz7BRimUu8qVq2cRN3GE9LYLCU7oNN0LnIxwQ4Rv4KUKCRwxKgWpAnoGyt4ar2 1LtGOgRk1wNTb4PBhipMUZRm8fFIzOIbeLbQ9MxXqGFH4TSY5pA1F5P1RBX7upis6YfQuNzEHUE+ ps7nTR8lDpfwcuLChmWDe+x8xZrKShVh2BhBWc9DITY5dqj2IaNWdtY0KCU7B92WtNe5qvB4tII8 rWdtiGJjtxktlNb7DMHdhf891T4SUNKCfKjLsWmDQ43Krl8UrCFZkhdOEQevwJYG1Why73aB5mmn JTn+Qub/qA9e4BDFmfApkQmXUjf5I4M9aQ2LARVv1mBbnvwF0Vn9XYRlza+n6/WSQobigLFC4eTA SgBixER7INRLmqaUaHpJbfMURdXS1mlaSe1ZSecr70R5Ekp9dm8pNJtCGImmJwpQ+aqizOmvG1Hw dGbJXSh7YAoKum43qI3w+SDz6L+gsDgAKpXVbJreA2tRX2YlQBx1NOg8W1OxEq3CacpidnCaQjy1 aiCt3OHJX8/WnfIzmWOtUUlUPEfeD0qPOJHShX9sf91gmriaABPFkKkMpfRj5SHYy/kI/oIAdX5d 3YQ6z9SEvFYCKapZQ9Vm1WZ6Fuen6mi96f9hAb7Hata0Gnz/RYTCNuLtNdhyAsQREvksOBaoPkIZ DJiAYhQYpOgeQJZtrxvxO9jyaBIiai5ugbu/SPArwyQ5hXXrm8gymoK9QGPVwKYpirLzJAqtdjuc FAsxMltGgkHSX5ofTlHlWjCzIft6Do5qPGnbuXgYAZzLASRwWbxB0C+TbbadGUzQkT4lWE6JDoGT jX8OVjGqM8nbZMN1IYVgkJK+czWs5vll/5KiUBv/DW7pbKg0/h6K7VQk8TRvPVnxNsIZqzxB5ZEh 5JCxwHjy2XOHAuet2ixj1k7QFQJIXnmZIgWWrzEQwKdEBifkMUyp7kxXJDo36W7kHZ5kcPP5wIzq UqjFXER/IT0eMCmsYJ+/blL0RkV+NGcF3ttj6qoDmjUVX01RwK2aUe+MJzn4Gd6hCNspdnHSJsjq YjXB//nE2tDFTF8GDKPb60a9Ii469YrK7RWts4aasq6zYPl8VOf/hoCe5BJA2edoChVBUKNdyDZ5 063ps9Qn2E+Z5aMkvVge4aEVDOV22kzdB5ulcvYZYuRM57cTaJCcdm62yoBgGmmIMRMTIX5IxKNm q5GoMgzDus/gAEhn7zzE54MaZL+SseKDQpCkPrMURd5gTs9N1d7/EUzkQT5cvcZa8rXHi2RBquQu Uqk+OLUKTMqZlN5CwLdb4/rsrsYXzldB3wyTUyhdjY7iCcFoqMfBqv1nsbKOeM7BweeDHfcwY5is 0QuiP44wKS5nAzmThxVrUZOxaRqL6Gtqg4zmHnkp9ISpU6X+6oNX/Nc5XeGjKdvtigsUXaPOrK2j IplM4BtfiL1tUEmq5HgWEayKt69PtIEmMJbHYGwZu8K072sOjpKkXNgshV6LqMWeqMbWzUxSHabX AGiDynOhj+dOgiFMhMoga9BsYI1rNoCCf8m0y0E9En64Np9YMqiEQ8HQvSjpL50Ds0WQqsXy5Cy1 9cwSbEfUOoL/dui2ONM3XA28qZZvsSTnKAKHHNec/Fc0OetO++gsJWgp0frXJimCish5fBXW/zk4 R+ZkJLNPnkudgWVSNxcNEBSDYWtwEX3J3vafWJGuWlT3Hu2gFw93ywmO+XzkwHTrAeQ+gs5IqKnN UhiAF9p2MtYG22Et6X4inTOpZmQBoFTzjgstF8UbXq0PH7wwCTupbHJFqYDSwJoJyu5lnSi8r0d3 rzR7239RiWCapcEYjeemOb+YGg8omuTAdBE577fmFYtT5doy5MB0EXLE1GgePklaP6gKKJx1Isjw SYqib6ghw/sN/Q8NtpRgKgFhRtb/E2ZMiiuT4iHbJslUr4a/bbTfcCoN6nRrEKjmvOEoAj/myuf/ P3IqtFbeKd9JisX3QDsX+qEivCQlDcW3vdjvB0l/7+XOlIHvYvpfYbLgTO6Dk0FO3rUoG4vyJEyI VJ6EU0KFTVjuT2GgyzQvdF5cVMPo0ko9w7KltE52x6SuJ9h3htaLZe6vpVRRKt71maVI2RpviDNj WgWuGO/8pKOU/VMFDphcW0ph6L01PQIh7cu76gXYqYajCMS0ExTurUYAo+izvfFt+KlkkxRF3jqV GjXxNWgpzVYVENbWK5+PRHc9WloqbqJf8uy3kN2Y23UQhjQGNbJVY8J+M2BEusWT0H5RNh/AGvlg ISU40GljbxSorSzmToigoPsCMFIR2Njoe8VeN4iWLNvLwwe7uOn7xCixbD4fnN2/RcIgZkCqrs1S KBuyAR+Zxoc9e7ong4+UNatV4iD9ZDWBwIMRCuYyrhrLBwchYep36uI95HNCLcpiLAofdPh7rUfO oNrbRktJSLbefdAFRzVolnOnvWSE67/UEPboNgziv46lsORN7ZBkrZJJfVPTuE7LZcA3LXE0SzGG ZAsa0X3wJO6snVIYJSI92QngHYiPFRh3g/AFGJfjkNK01w1yXVoyyQpHg5K4s+6lN1fmynw+ohj9 smbCMmqPq3BoxQjBq9mkhzFRgy5ehzv/eDqeTfK73HEhjkTo/sSIkoN3BpCdQD+VRLWEg49+ngO8 LWJW64X8zZXsbaMbjmtpMFjioIgS0GzWdM9abXw+Sk9uj5tF3ZNOQt/TZyn0Y4Tc0dxsd5ACIUIo KRCQWxFSogAbqFkKDRkXJcNGq3fwaiVo15OEyTHRpJwsrZyjECEAanf9CvMJ0pZDR0YJOVelutVT XfB8VuEe6gVLKbBkFDf8ayk1Vf7uJIWVb7B9Ei4z1ANO1ta8QUD3P9UDenHWbA49GYeofCZIs76a TOekm92X0jm61a/LpK2h5MfOtfHV5FAdejLyhlsL3kg2qLYEzfGhpVQmnw+Sk2uQol43BI2uDm+O XRmx32YzCg1qcdvwo4tJJ+vehHXpVApsGYGOVMGb8oQcvJd7JqK1rgocRDYLTQcnJBalK08pXoH/ ck32uhF3lpCJxKiBeYVwAOc4W0Nlk702f0EQU16DWJlcQHfqelfmwJpRfMCTwiVdcdMcmkQO7Ya4 3Z0WDFpL/xa97oOCmBx8mmYHgJHlAEgSV1ZNzpl5wpQFF1Oi/jzTJXMth+aMVPE+v4ueO0UKxISI tC4X3X5WPp8P6gGPFkTh5cjb9dlyoZtMGbQAyVZcyu5vgXzdFVVXXu54HvgzAmFA7ESad/BpqgU+ 24lqB1CjVVuKcAxWZCVDpyYStZ9y7M/IWWr0CdNgjV102plynAyRz0fNAa8HNAsE2Dj1SQpNzzMx E92KJntfGm1a1W3h63TXphw6NC62mkaWQla+DD84EoyT7NRpmphSooTuCnO3TC9J846T3GMOHRop Mb9OutR9ULh0Jn6qdXQWKZ+PlpJPUhELEnYX6ZmlKPRu8PVOFIHDUiplZivAzXJnqey2fZZivT5C BKTXtx69PuTq9dz6Ykec5ZmJbG8iMEMpgwBaCRzJ6TOHLo0jK8clrnh4ygoIQldzt56VyuejtuWN lhQ/kml4w6XQqHEMUkS7A034YQKaNBN+xhXiAr05cGp0Z+aV5LaX0uVpLaJTE1UNIXqQdxZbFORy gH5VzeUsLdoO59CqcahdSX8DDUYi2bNJKv6E4Hz+L2SyunFcxRdLkUOzRli2niCGKwi57jDlWYTe 7aJNEL4p1w3cGtE1FV4y3cFr3ufsz7J3HjB7GArSK9lsg/fbY/C9l71tcMcpXJrPoFlCaC8N2c3D OwSczAtxx3M4eM9l/uy4KPTGVKwsUj+gFTLhQXGpshAhyMlew9dSGHpvKWTu6cNl2wzi0qRueELu 0kgDOH8kOtCAcBM/pC5T7fa6QVTJ2LtmwXKyw3KoQqGACZLhOXRtnOkXzH2ATHJF1XNo23hCJBii GsXvzNJ2dGB1PYSzYYAG1SyFvo3iHa8iQZ9ylVbPeXVmGepAIv7tJjHWzj2I0gG7/t7/7va20enN kneXGXq/4K4T9pFvbyXvwLlRLjVfFQEy4i+FPYfWjQhjJiqrhsK9+DfpZOpY2tt993Lg3Qi6hjTV Kb3PwbE5+yQkCbkA9Xo3JaRRXCKtFqJUjzOh9SsD80Y0j8UfJdidg4pL48R7EtxtWS8ZKWd9YwRp nVWfFlPs3gg+AFjDKggMinDzhiuCdQPLfS5vD71D+0b5FfUkCGAaN1iq8IbqUKEoY50TNrH+VAei c1YFcE1ckJea36GB46RUZm3SgWyuA7l7qQpj4ZzN5//SF+NtBs/JQUtam6aQJbk76f7VYsrpIgjo B9k0weTuTlMUequtC7SkD1Y3gZpYo+ICMALTRLaghzB+iH/gGWrxElq8+pQgqNxCnMi8qNnpjRof DJN/COrufD5Kdr/zOHCjhH+2WQoj7wHilmhJOJYmy7mTh/cwLb8Mz3efpRDXvQURaNsHr1RWwAEQ Z8P8ChNBaVps7p+RgROXFpHZpS573QjmJdwy0ngNKpzktppC7zPy+b/4pGQCVni1XpHsHNg5SqoT XVcpQk1hFVk3GTIlJlhtNq9Uhn6OQ/QkAZhlXKctt9oCyI1NpgUIM8W2QLYFegnRKqbKSTip2+tG RC52KjeJ7RwMvXS+JJbA60bhJLB0BIWifh1M5nl5G5ahpSPcBkBi1MU2YejhVC51CWgSBli8kt3A 0xFsFZ5IS9zSNe8sQSvqZKU8vcFLRmsGqBxoYuDK+yF2yVoDq9vb/iNBOZdkmT5okrBel6ARPJdC hPfDxDn/eNBZ6il6B66OwuSAe2ZLaZeRbCnBFfZHimMsINpSCtEmDCZ7kWp/eVT7z+ldgZ6QDRZE KKfgp5TyQtcH1RPfcGnZ60bikDyWNmmTHHQsLUhKyMF5dT4fbbhfXSZj2d1ZCove8k9FqMhcl80x ArywnjE1ZAqAssbIO3R2nMnciaYPnsWd3OxEArJ1Ohl9zl38ZODgQNPJfV70KXlH/JQgEkB/aa3d 7qC1BPMW3XF7LT4fVZe+j6WcQXOvD5Y5NHfkhTxBn+U0TaW1maQcOaciJVzJS5WhuyOlnc9dRoAX Bzu9U2tn/+ErO9PUALJsbDChZMIqLCzpbsBE1Z8c2DvKnxFElbx80GKCpVqRJv+efD4An97CiTrd YJe2a6SaQ4NHZNnIuiyP0ycz2+27uB1Ny8O4SzlweITyAu2wMhtNHBxucu77vRlMQKUVoAEWfRk8 IqeD5bZlu3Sy5IcEpzdxJvC48UGxN4wUprg5WEyBxWP6DyvVIoHPW6oMLR4HhUl2Ms+eLTXoLqqy 1+D6mJ6ghB6PquiuRR0/Dr7lEvxhZaYK5bcpz+IOIYgKLc1GOX1p2yhcCkweMzE16C+17YPV4Poi J4RCGnw+uOLat3gLVXO/MDmhyyOiauRuXqiUKiuBFHV4rttH9epSYPMo8Ri0vdcdnF8BhvIcVNka HfW+zt4vvkdKYNcr7EumlN42ajNRYXxsKfuCXsPzBVeneuEQUuMviLgDV4sMh9gCEe7BCgZOj2DC daKYr0BJtQxl0AtWoTc0NHyWYoUSgnEKTycOtxl3YpySqS7RdjpvRpGFxqMFxSxokl5jmm5vG8FO WV2iCp0GY3qBxCpnmq7ng0Cgl+80jvyg9iylCOrdsN9KciGg9vTicroCvyu7KmKOzR7Xe1/3pFoJ 3XX14s527pkcjDRI8D9rZzen6oBWaG/7D4ujcw0SF8/Biksp0YAMznKVzwcr6eFX/DhQsN30JPR7 JKeyZtfRrlfBtkNsRVWTlKQF1PWLIm43bRw3VxKHS684gSPSFATeENXZSk9goDHQTsj5gZvIJjyH lo+UC0U98w4WeJ/TzuSAUuXzEQjuu8sE86K2n85AYPqYeWMsSDKJXJFmNxOfUZbZGyLVvXCTwPUx m3IbeUU2XEpThYapZCY2XFALzWdxZk52o6Eoebk6yV73X4LjRTqJHG7krYP8fJKej5BL32IlWIPw ybkVgdD6cVZmuEsCgOfbcYXEUViSVQluUDeJ0xThTeSlNtUE5+AALyjZ5q1ct5xcum7ZhUMuBDE/ FJl/iZPlwPxRsuHQUCCXuUjnhmHA2Fb0mOhYBu6P6Kl90+NmZa3SQ8rPa6jUtM8njZx5KkFW2+oB Z217mbLMYpnua6DUvMW0BgMlDg45xTWUCrUmYNxEy3Zob0HlFwzFgstIyv6LFr2vYVKTzwz4BzzM OOjYPjFqYXfoHOV4+mV2Gnhbuv/Va2d1BTR8/UfnawmmB3sIHurbgF19ulU42UUknmSIaNihHUyP ektTWcl8shJGArnYPsvQRYGSNhQSz4tyQRLSbvMjEPzr/JwIgyU6eHf5oPUDwSGd2AUl3Nf5QaDT bH64IRE6SLoNs/MaHZ2HAEGfk+AXatsxjuKVJtQ0yySzDoMqvcZGd/HQmdWGm7OdWz91KrJ3FNxE YDvBJF4RWc4jVDIJ6n6NjBo6QhSXILJIg03OMqHEeqLtz2tY1NRCsMkhTZUKw8z9MTuvUVGTvOak 1bWMRcYw8x54o4hWAUjMtFLba0zUspUjVyf5ffVHXROgTAbZjBzPLC1JjaMM00B+J2Nbs8MI9vMa ETWR178K2+gSVIVEhd5TFHfPn9eAqD3m18VQAIlo/mTT8xoPYWsNGstXk0+gPbjyjzXNgfas/e75 x2s01IRPBXCLalscfGsRaMsjZuA+ThvYSniInVnCLQvoxE+VjtbeetXg6GE/EoB2H4yotGpnUaT1 E1a/hkJ4umebnqRT6kenH2fnNRBqENahbIsZX7vmn8QlUrI6bQVqXYahwexMHshzkDLCwWYHwJEJ phJm50wyaGOIFaGh3+kgULtf8IXA1s9rEIQvEmVnkLamD8a9gR8pT5NWy+c1BGpSKLfFQ8HG8/82 EXExPa8REI7zAlg7GBOSrqUjl+xEZu6OjUCRQWYQ0fTIU57C5hpsb+G2TqPRN33C23cT1pbJr4QT eob8mOeuMjqINpdcr1Wc7bc4i6NeHslwhPi8xj44uOaw6RFNorFP7EfPa+TTZHMAFrG1jGqyGIgu t+buvCsgb1L2DQ9mStSfHN6HS906IfXCOXhWzzm+J5VJACepCM1gWIzpsSCaAkmvYU/zbKyvdQeD RoJ3Ll7fzp/XoAdvaLlYsZbaclUJzM5rsRF7a1GStRqwZlRzpcmdhuaqfDRgNiVBFhzM6iSOKouD +lgcVJAsqAsFB/k8IJ+APhHQSBOEUvbtHMnWqNEWzQ6LQon+MRr4GRmXI3k98Ij+vJYZ26OYUFQ/ Q1oOUoJNz2uREUsO4KzMmiBrsaxoqpG2L/MPf5hJSoQxD6nImyk9Bw+ax4TCNZRYZsoQCJNS5D5R 0ABdsRCWZwg2ehm9FhibdK/Boex3sPyrJqISVBV6LS/y6Fk+PfzH7fy2huPDYsIoZkZ9DwT6YgAI UgYFgGAfgEUhCrgZ5y+cIeYTKuxz8BmCpwjNUgH2P3EbbinA2JH5QK4/k8loirVNDMVoBQm1BkUC G+zP2mbi1oilieLmNcvX5QV4d9lsxophEMxQgkVn6yb8M8ktMxnEZpJbUBv12+udydZcbXRO0iE4 eNWMOMiijvU5gRYsBrGGQBRvgMxQzlKtWNpkf96ZbDigK9EK6AfYoEWE037Kfqfy8Shy3l9HEHyA e35mKAqdgZjGfVzvGeTyWmU1J/sRsGUgmugQGiZ6nH24N9gJTxA9yw/rRM7yyAB3oUHsCCbInlgo gY+C505bvhMZbB/8gp8sNwCxDyxtFP8MnyD+WwBb873B3ilsTagHeGRcYZsbANXmSppwP/EafhQ+ 9yJkSG4++B22YM+D/jcaQlQRYuoFq+pGhg6vE7/Dkl42yL26uLgSjxpXPOpkiYng/Hou4887gc2S cDuIfuSR0cszQ+8BNEpccBEp1QAPhSbMhjBKLt9PS3irukYzhBixU6PGBs3QyV0SqtMGD8VNs+RD S/4jNhlRa6x9dem1vEfQycqJJZku6bhkmnMFyIkZKojv3DXGUPPrFMpoxDTpRnCGoiCa9AeqfWuT telRYpmPi0i62ft7FH1SsKT+D/FVO39BsMDHbWmRwn4ebINU0cG6Kr4E+gxYxZVOPe/MNR4IOKum KorTk2Bw/MF+xBI6IcnnnbjWZB9ncSJB0BkY0sEgVXP0HklDGQ42vDVXK0uTnELEOmAJWlCbmb2K ZFEo3WnuuMGKtsGTeFimJxYjzyI686VQmmg19YZHut6OovdFobQEpDqppRoMDXLuQPa5oMD4eaet NVlDao5M0eWnPdHQO2sN6Q3MeiAtag18Oi6xJM2eqyRaCuQRtYiiYNolyERdL19EI9YRB3UQVgLI Jesggg4Cmvi5PJZYPH0/76Q1rFSgbfeU+DEH7bO9U5NWzznyPu+cNX6JflTr1ME+W7Ry5hS9B9QA FUEJkZGs3C+Hk7HWuC68oNPYGooi6kERsj14p3GwKuJJMM6SWpT8OpE2CLSqWGPWtxmWu4+IOhvv ETWCPpPc7D5YJQghPI/qDgmbKKTe2deQCA9VEHCboCikBiN+LrmqoVBGdSvhifK2gn2asqLBBIUx tTaZhPw52AQBfD02LL0opglIJaUiWW0APn3VB1c8p941miAuocFNxkEHUUXAZRYH6/NOVWsyBNEE kWUDUgX9aFRIjEJqlKmgm2ZePWU2hxL14cqsGyZxmqB3ntp3wNh88IY97OoTWKpgF63FSUGxjAJZ ZLA+ujVoCX7eaWpNEDqA90v1wRJ6IJQZ4pyg4vPOUmvyn3xWUENcQhKpJiiKqCE3h11gx/QUal8e vFPp6wmWdjF04ztHjSuR2eqg5jGHWy5L0IeQ5vE68WKR7BjAJB1/cqbinxWECOB/56gxLeNt36mj Ua58UGp4Vy6h2ebnnaLG0M/vMgHTEUxvD4feGWpYtwUN+r6t25PW9qaYKhDsZKCXaUsojKjJ7gC+ 0wfvHLaE+0vmlwmB3WZWhkxjYGvQjM/RaDSBeOenNZntoEUvS3DHBZ6noF9HhEKu7fPOTsM73qyM 6wXf+hxecH0npzW1scC1WjZDqV4fkWZMhzNDoD9qhqKQOlnCyh3E4ZaFJrTMCPiAaw9BsOwaov5N dGp/YDEUGHmnpuEcgSQdJA+XD9alX0MBGOS6Pu/MNJ5i/fsmw80CDr1PURRTg6J6koRlqsdtb1c9 SszPWTjbAPAzpn7npd3ix0yl+3DrrmOf3ZVMZwyNEvboUcrs0BzLIKE4DI1sondeGqaoiCbDxJWD wavSWcMUOzgB4Oedlobbdtfvgwh3PUNVzVBYmMbJvspwlZrq/irnwjYpFgjs0AMi8fdEJ7XUaeod bmp/doD26aB6U2VeBvHaHwLTSAzxuj1Swc87Kc0Cd2ixSnhUtrL8s86JS8Q+rCU+75w0S9LtIJp6 uZPITc/t3ylpPIk6q0JenKa3J/fZGNmR6KN74+edkca8u6ub2nzwRQRxaLKrSZU975TIjwEVZqIn lqlA542xpZd9P4nWFlOWm7J5ZgYysbpUyGP2552Qxr+1fp1EANo3cm81Q1FMjb4AVHjN8knGpEpd 07U4WKX5DL3H1Ah3O3Vp0NLRYNd9yxClB5pESUefJkcKiAWSjflY8zGq+byT0VgBY8Ao87CMrJNz lE94Ded6BDknvP68k9F4n9wZ6j8yUa0MVzVFYZGaTiLDkGdT+lzmJNJsihLolTZF7zE1KshsrhYW qzn4FLWOtiAF6qHJ2aZJjzUK8QKGPm4FrQ29axAyGliIbcTu7nbnyzzBumy/wER7J6I1abk+2X2n cWG/ExTF1LQsn8NahSd0fDTF6hWor9Ah0FH9HlNnIYWgnZN8sAnqC2tn4DqEAiSswWTsg7CGEDVM jkJGOl983jloTfIJOKJVhO3JrfmIZGOJ8RyAn3cK2i/wQpExdnPfMPafo6C6MS0jLp5o4epNsgHy gu2yAgk/NaDjoJrqT01Swu3JOjpsxTvYB+xAA+xHrxGga8CW+QH01QOiae/6voSkkNHJd9GgGTpL dumkPnHc551/9rvTgSWEOCylO0H/x9iXZUmy48r9x1ri9OE8aP8LE80MYHi9dlS1PsSrVnlGJJJO YrAhSqpxKAADZtzhRFN3Oa0U68yeg7reCX3Ypgaz+pQ6mNRrsQ4aRekWeHjn/con0QPICXoQlc0v sFEQKaPC2HcNEAwsWBORhlr0ji30QzkaPInD55171mSG+qzsCxEUfpW9c884hobsA4FtAAmfEBVr U488XN5wAmjFl+ydeoYXgCnjFlR4rzsrw9iMbmpqU+fz81WgLGZI2fwvHbM49F2DuoyhGUDQ2KLC 9fwVSKXFqcKv+M9zmgE6b4UU/BmgKKcGq2lW+aqBWN27K/jLxJJQ87H9FHonnfks6PywlXyxLZSg 9HT+B/mILPB8RZZBUX3Sgi/H73YKURXjnXJ2i45Jkb+NobAs5M6rueGNjPv7fNrnnXKGAN90iKkI TbaBF7AQhTl1B7yDfowUo4OZl/ZQ6/wf8W0nFT4YoqhPDZka+KoARKXFQnRyw5KoJo5bDGrylVEs 8EvADTa99YF2H79pNCtrmnAsX/SO4e8hM/A+5+edbIZ3LD0re6NReS70zjXDq8FTuu1rI+KToJO8 lmrTxIaKW+GJmtSJhlh704lm7+tEMwtUwnum+gwkoIekRmGWBEVBSOBewCtR4p93ohl2+9IhlO5i KPy1RYKBgtvnnWfG5plvoKzeB+jcSAsUoSif7gR7FLdLT7reWbdSiUv32Kx+TIctatp+7qomWp23 RV2RMmhseK4puPWwjQ+JRAzM8Un9x6AiJvidZtbE+4aNESfT8qv4cppILzLsoYW/4ns+rWzzgfeg d1m5CfU7y4zJEG14i5BBE0Qw75+xS0hwecO9rBCFTerJ5scecoNgSTlZ2sN5PQH6jmzxvM1Ndkao cnBafwvxqyrtO+eJ7xwz1K0EAje1YDH4VmacYTu3uG9P+dw/7xyzJoW030m9ZOZ6QxQm1OjBUh1e mBh5P7GD1pJBhs4dgIuRIQqb1JTo25vjVC7eYlzU+6G2w0zrZIyauCKKvcDQvl+nYrx2/IzopKZm QRkSVTvpDEtXEMyqNDcAaPu888tYuN5pEC6uAQfM/ksYo5QayLZVRAmirUh1isJkV4p9/H7BwO/s Mnx9QRYfy8VLL2A4mVKDn5Ar4a4Jpao0nnh72y4irOqdXGYTr0qMmS86rAG0lFzz+bU/79wyfMeS /7zMaPrkl9k7tYz3PSjpksUWCP+6iVzIq8AxhajFOKfWSLEUX3xuv+ZJGqHhhNq+gGPWSOIAPwHK mOftuTxFAq0/78wyA/We/bN31aIXBsjlpNb4SbTn551Yxve0P96zjMZoowqpQhSCP9BDa3Qdk14B fECh5IAWFYzSSXRBolTRZnznlTVxt3EIqR/LTo/tonUun0bRS5ATTvrYuIvQShsVrzkSW5soNvuu 0VyanaG9mi/cRPu8QBK5qyc7/7yzypoU334R4gWBVNYCFCbV0FLrpUh2fkJjy1iciXx1/CcGdtP2 UNSplnHhbGrHtosfAjZvd/gdE3S/TqoHmay6Bv0B0DtmF8IkjHFVfN45ZZzMMCcyBcNqRJpMh5pt ys18PDiJ8nMP4RtXqkspQu9ZNeCdBfBOuu8lmqYbz/VkwPROTRR5Zqe68+f8rQ17HrnL7Q/hxMBL TznVunjRNu7xU9YOzruufjF5Ce98siZZD9xjnJtxsT1UG6HxKF/K551OxoTBDyI6hzFRHfccipJq aJWsvI1mfxLF1qx0baV56Yo7yNDTYaN6sHUGkzlbvDCDpm/VbXb2KnRVCQZlXx7dapZbKl3JCPu8 c8lYurJ8k8MaFx+5LqqKfTGG+7xTyTgJeBZmC2N7miIoQFGfGrjS2V34Gl6S1a/7araYaA/52P6d SMaykNe9mNL7x5QeSMkJMBf357wbLMwGCp2yeTjl6xyGdsvnnUaGAClZBCXWFk+rkdOx7tjj884i Y4H13EGMdrrMlncSmZdldbbt+ZAZX5/K1V0fYBayrTv0ziHjO8Z6DFJktljdAdwdoJjSuoLsPEM5 B68QWE6XqzJLyfjPO4OsJROZHZL25qIAnbq3qMl8zqPPO4GMCD8Hel7L9F/C+E4fI+gI5Rh1tYQx b96B3Xs0e8UyJxJ8xeKcmtUotrotvoMqxMKT5PgzbHo6bzyMGAoubbrr2hlElOc7ecwqqxOZte6i AJ0/b5JlwUm3P+/cMQboj8Ksq7L37lALU2oAYdPK0yr7atMNVMxVzY9y/iObFn8LkdQkruxNfh0X P4QKXR62ukOll246tLhvQNyhKY/8HelShs8Ibnq+XFTCt8XxVXxxSeTNeDxIF4ffY2Lk76/oIApQ mFBTwnFOgcpPDuPufJ0ymartE9R2tIWiHrUFCJAlWzxAjb0ywRrAeABworNhBEwMgPgC6z2O6Sih XhQlnJXvGhdrwYKILYJdGXg8QqA9q7KG7lLd3j/rcT49yFQwYcIic3lKNRT8Wdg/q8NxsP09n0ae gcYq5162WITYMQM2hl38AWw+0yVUMRU5HrvpSqc7UZ49SqcTS40xJAM2piNjRhlFp0gZFY8HQOH0 R7KIvxkxcApQlE3DSwHHhMk0zOFqe2i3uybhQPNDAYqAHywjAL+uvnj3jM2PLAfMU8NQW5eSqeyC ru+et7AnfByfEdUbApmX7osCxGkn77F66o0eZdOpXirQYIkLGHe7TKkwnQbwIwtchaRIEmAac8xu sAZLFhN/zl8usrbgAWWLA/Tg19HF58AQCK0zjFsxlkF37UvrOaf5Fvuyf4GfAX5E5RgSUOk0gHuS 3UmoPxU8/34O4c/4wJ9RsDVdcEwPuYgVCTWxyP8hCSUbAA1zZK/saXBP6EePEmqryQoLLi4+tT9Z 2vmDMxta8E8BzRuW6dCUh1I6P+cqNNiXjWZB4xX6gfnMkkBDmXg8CNFez6OacsS/XRTREaUZ04fj YJPpfJGtmTwdAo2FJUePkR+8xOQ0u39Os1RAgTcBex+pw/NqEJB/XsVz6VGb42IY2WPsUZ9aI+mR yJmCGjABrbAI3ZXTuROngseDu+zOW7s23IB3yI1QmFEPDjgMEXP+X9fSkXMI02bgW8sIhZxE3uGr EpHP5aKpgelJ7DGy0TX4L88NhtkIbNPSRQ9NdO/wGcFtLyvwYqoxyRmb4OplTctwVEcZ9ZjtkVGj 31z78Iy6h33qAVOARFcdDMswaIH1B5Cv/E/2hpjN8KiOM2oKNVcKfHDx3hCmqGvTdAfOZn0usl5O 4EC745H3ULOc+rLRWc3OB0WvtChCZwtRgh6/8cTjEanD8yH8W0iutNq8KOtRSo20BNA5ueytJF+0 SoweuANGp8dIgEd1lFJv85Jp1Zfbyd+Q4BKKMdVhvvPgy31BDUX7w5l3fMPxGUGAbAut5ItyGMi3 qqw/IcTjQVk/LkRP0WSm4Rljj6DUaIvNltmlRkufmHWaE+bryAuitwmg9Aj20cWpT9T83knIZkQI JvK9wNcJzTOAZDgNypBoGKC5ZPCV/RzC+4MPiRIiXfT9LtpDQGUQ54leFB7/F4qRj0GiiX86C1GY VMOZsBdzHZiNDofmckmRXVq/FgI98ZpFXerFls8idkqLMxY2aOlD2oxogtcl8i8ULJmkQH3IZq6d 4vE9Qn4YV2H3uyhEpacuctjCaxZSFG/lymMStcIpm5PHaERpNXxy597TxVA5vhR9fKyfKnq2sfQI dT3IDUoQ9LXFEfl91kGnJlRmJ59ZatPiui2DpqDz57ST9V2DcRD/VS+EGnGxs/pEiGn1OdAyHg8Y 0uOZVsOIquZbuo4orZZs/M7FRh0tFUcL8/JlQjQo30oCedyk3soWky8WoAICA9y/SLCHvzhnagXy /x1akvIAVWFG+5gREhSFUW89+2LwqvMWy9Sq74XHI5znH4UZjPbWugT7EE7dQVQofVn3g15U4k41 ppGkLLRkWfWImtRQHcW4HipLWDiPIrvsXCE1S8oDVE7k6HwRMV7CFQBomt/2Z9sPfEYA86QqHEiO WszGAvxKsmORPXQ8HlEW1jNA5yMFXVeAQoIi7OOIKZa8x1wmetYmqdlKGKmHyVcsSqkX4Z00dOKS LECgc6D/UZP8UQE459y+C5UygE+5l/35Owx8RjRPVOXKAA0XYsz0p+M48aShG48Hp1B7NhgBbafr iAUoyqjBYwTd3fpnk2IQ3EG9udRwmlQr5w6KMmrQtDmvv4ud02jDTY5X0fw495iQwnUSCDTJWB93 EIRzBR8SzaRxQC+57S565W12P84ZmtmxHrlkPB9xy/6g2pO+mco9hqKcGgpNGIRaXbZplcGcehe+ ZYs9RuS5qMtGlFMLRU6ani0+K8Msf2+zIt5toROCiTdaM8jl25UXzJtc+xGCqbdw+3n4YryXTJds ku0nHo9mZX9ME7FXQQq0AIVYaqJjZvZjaGSf2u/ubfwMh1TbRBGWmn7CbUsgfv8E4gc3Ue8GbIBT iCzCE0AXSDKACPBRGVGeI1T6IK5hNaJjuFgbf8ImC2/ZKR/xeJAOrf0IUGEH/2IYR5RSN0pV9+HM qdW9jT+c1JF3Q66hAEUp9eSYGbAwLkSfZKbUZfe0pojkZ1OOZtZEKFcJwsW95MaD58fjM/7Wxhfy TIsCBH9GpTJrbTweTcpul1odNNJefFQ2opQa2B20babXrcOu+n5O12I3WYPAnSIUptSaIjZWZ1y8 6ICw2YZ1Izgd5wWTrS5lpSvEIpg52km9tYfClJpXWRF8qEBO1s6Ok2FpPI2sAs8Htf1v1EEJT5Q7 7VIWRphTF3byl4qNRbN7s2ZM9LJEJ7+tYgzOETaq2aGGD5wvNpIm0xFgT9LLILm92KYA2rsD4Vvo p6xW/pagTshQJICxFOkJln4rM/TCuTNmKXg8auXvR9mBblH7oRhn2KiGAHLSwHUxVsOBH2M4x7Xj bmZhNsOMOhHoCYUMW3waBH+eDP0IdD8yVJgp8Imy7/zxQaS60yC2VvEZUenKmnUJ3LB81nF+dhpd 3fnW8HgQoDYeAZJa/yUGzSijxms1m2wqUJblW5YBjOCohsYtdPKhGTIUeUEtCXhysQCN8+qWCdsM EIMqcMTICMDHOrX92aXjR5PGa8DvGhWuJCzUXX2xkmPtxrvzBKjg8eggeqoOoVBs7RLJZyj5AaXx JZdhChBx1EFvOIntTOzh5ufQjAmKsmDSlZ/WFdappaEuEy5mQcKfxUkCJIVSANTId5Ir5Qhm2KcW 9EyKwlz0YqxG3hEvuorHo+6HX/bGkDnbF1quFqIop4ZVxNzitqKpX6ejGgY5kgLkw41BIQrb1HRV Qt3gi+0hSDliUJbFWJirS0dYtmXU9dvXibEvfdeok09EjMSWuVz2XdawY6aMx4Oh/faXTL2g85s2 ucEwQlFSjabr+dOasteC5q8NO6ioZP4duJt5UM8oqR5dSp3yiB/7d5lhGF8o9IPu2Xl7ed+Rkd1A R8qoCR2Rj+MWHxK8ZlkV67qL9YfgYYj/hNAlHg8mis371MxGUE+0fuWZZtynBi9RLWngz9RMY+V6 N9G5710kf0bIj066zxJzav2YU32cl/icpaS9wBAbpkLsU2/SQCCU/7NiJrBhRjk1RfY2dFZ8MezQ uVXYS4AWHh6PurC3chV8/0RoXNnOGUE/gIU5J+SwTj6mcnfWsZxtP5M7ns6oUb2kQ5AYKC4+MasY wXbK4w8w+QoVhjvTEUhcS/5VxKBt3zWSfWWymFTTJeJ0iBWGZQq3RcZdFkI/bm2fbneoeFI9Q37i IibfKHfns8yzGoS55Kq4PCB0DoUCelSl3IVHNRcfdZwvcRLRJn4igKdZQtUdMFSJwf8a+Vvf9W/T snOOdV/0mzbUZWqfbT4eoaue7TNKNfx4QTNCfkxC8usc3h2axSH5szq1jCAfBSjKqYfwr3hZbXEw NYXws6qOscACkyw+sNCU3EqcuapNTbz5jHJqIYVzZfeDi8bSeG/NRKh3PP4v9FBRkvClsKRFKKQn 8hQqJkY5JWYv9NB0iuu5k4tpdM9Y8oOIhkzyXc4PyY8BCkNjvtgnsjw6nE4699RizCld9lSkwmdE 6RCZ0IX7jYvqMsRHs6CSCx4P8sU+HukQWR3QEFSAVpRQQ5PyfFYpNnBd5uUNbIJV9tCoBEwCW2hF yI9TofDlYr7IxbcQMGdtZunjnqKs8bLrqMAqreTmxeNLnHuFLerMhCf1uxg0BqR3QWNqxeORrM5z WAbNsspRpgIUJdRojc6ZTbpz7rS89ZGzm3cnFAgWoBD5wVN60UNRi48TTxQqWLq86nMligSaKAm5 SEO/4vaGKHqOz4ikCNh6xdEOFvkpYtk3hcrpkvj+uXMKno8EvvbjHSMk51fZryijxkwYf43myI/q ydA5JtzeHH42FqEQ+bHJyqRRGRYyclVyzD03BuSFPMU1qAoywFevEC4D4d11GCGggM+Iumc0Vppy XJ5OcMWhl4c8SdbA41HJcY9pKTtgVnbpiSukJ/KcxtlpMsJ7+TndSvu6jHC29tkKgdSd2BgdMVw8 F0pwLEddAXLZasTfw5gDBwBa4rVcFOwk/myFTWqp5y0J5S4XykXuyfYW8GQVj0dV637mQhlGW21e usIKdagzJ67TDLpAI1Dro63qsrmn1tl2UK+Ynsjeax13sRChlVsXzjR2GOGuR3YZUEQUGJnlEhQ3 h/YrAn70KYFBla/D6ppzTBJuxXSxTzweETo8oaYtLnCw+971K8qncdmd95jYTow8GusMenIIM0wz vJ0M97FCxQ9pomQKEnBxusK5lCEDSM8poHygGAmoD8xAaEXMU9rsJwlBWxHwYzGOzVkLl9BRYFNL MMe5CvB4hB26U3tS30CcqveyX1FCjWbWyq2KdLcqRBc1TUx1D2cFiUiOPRQm1NXMp5cv9zUrG0ZB wjWMrYnsuWpBRqPQIAU81B1Ct59fNghRl5q5nN4mlTNZuALoxmo9pYbHg8tszedRTTB19e7QijJq oC6x8fVuLSDqrMEIOTdrMK5OgiIiFIOpifwY8lEaDx+lUwkPOpmB/AiWC3PK3FXhYnK/L/JjDn3X v8EaCt39tKhsTXmbu9sJPx5/f8swUXuMgtB9bbQLVIRCMHUHF6hNkx6iRL0JfnQ3Mk8ZgyUd1WGb mvKKg10xLX4OnWg0ummT85IWHEOgX4UZP0oFzjeqSXSupi8bCJ6qcza4h7joNQPLjEl87avi8Ugz 97mH8N5U0FQtQmGTGrotuJFVdPTiGmhI+4ozOMc0xsIKU2peZjPl7otFiGD1bsAPKNl3WFLgbF3f QdV3QAE9IxpDXzZSFeZMmg9osaM6Sf0PAx4+Hm2i9HzLUGHvdJW7o5x6VLi5DAsG5mZX0IL6G4J9 gNgg6e6QnUh8FTl6tnj/7JzKY0qNAOQUIKpxly1uJXYxrueEPKd3lFM3gYVp7atFv9X5uSMJkD/4 eNA/a8+cupCM1G+A3nPq81RlW9Ew1JBIdkmUNm77bEBURgGKhal5/mSeMVx+RQdMK0i237PnBFpC R28HxDLMgsDF87uMyvg7hFMTAHseSL7oHKpgb/IlgWjufs+pYTJ5R9L8cpncO+997BBOvSjXwNMH KSP1XngOEYZvhetywPmO4dSs6cVp4eJv2XnlYJghx7uJm41Oy01/vvJlv9Ac7wDkx2cEEdpStJDq aXUOcF/wVWE+cw5tPB6RE+fzts8YXJLNpwiF7MSOPDG7NNNI05EfXcYu8D8Bu9AiFCI/hDRf4y6+ iWC6Dn6QukM5ZwEbTsmGScO5P7GHbBTEu2xHSbWJovyXHAEuGomb15zxeETquJIWt3RNvwiFYGr4 T0xaYLP5IfVcysZQwJayMatvo07tEEzNcT04Cb7cCEHPtlIhH7PjkQRrABS0sku9ftgYlOv4jAgb I1EmbjguFxsjE66TdlU8HqGr2iOnhn5mS7fBuGMVvU48vnssCKlHfN68vpKVdowMUIj7GNKL2dsX Y3Ww+XaLDoAZOTXCxAPS2V3eJQbHx9mLzwjSIRJb06YAGhcFCOrdWdabaeHxfykPUYeJogA/wdMd AT8yPSUpWkwEI6mpkkVhqcMIFZADFKEI+DE0tdcwlYujPOG/QuQ6rLf6zupRNxqSIYmiGowNpHvX d40Irny7ZHfL5QL0aFGjceKOe9RP4EfD0AQoJwtQKPmBuhWDdBGDajLxD9CCyrRTqFFpigEKgR+i J9IpUYvf9UDxnoOeaKZTC5ea5bFEvePze6Htqi1UKEmHzwjSReaJM427GKOjNk2FzqEy8Pi/ZKml ooOfNi+3bIdN6rNPztttRDuMhIqdQpV0JFKnGiYepCfusEmdJPVRpy8OQDt/4QKBW4JgIc4klwEk QaURS30xnrTSxmdEQgTEUHeli93TxX4KtG5WyYuPB82PNB9bCAD+nm/rY0cJdWNRVi1dxPTb37Ga mFvTyARvorZQhPqQP8fuv8VBsBDBb4VCBPNcYzmxgdTJu4OEJ0nzlgxxzLFjuQ/miY1OC1w05pgQ 2pI1Uml4PEiGriIKgU3iKfk7llOI+qjwwqlFbY5FopehPiRWvWn1Ug31kVOYURPlOUy3PP/ccAog jZW2NycvOu8GdKPoR5rhbl9Z3VzwEOWZ8CkBhbORs9DYaySDl0gpIPIrUbuoiCd/QIT0fEJhuyn+ 3TD9TUjvvF9bBIVJWX1hP86vI5w+9CKyDRRzCvNqlmYwm/DFekQTCC0YnrPwwI+t5JeBD4cUki2o nyFptq8bgRtYqPwf7gs6NFmKnsDO8fn/pfag7OXPsowmzX/JG1MWbWoO5hqyS6ylWyOttOwq8CnE VIsnnmbxxTdThyBNJhLtVCETdTw971TAti9b5I4AkSFpiiEglPOslfPFQu+Pr7gL5zAjFO38E/6A IDXKz9SoYYbyI5XnFPWszwX/XXXbtGO1ZEBYmH2nakgrWNKa4UKKdKoJMWvn2J++2GY6Pwgu2UPW L6g9QQU5d27GpVbJH/nxqMq2rxsxPESksj1lh9/5gr1UzvNrhlNyCvvWoz5OJjgCt3Qb+zlFKTYI zyvtkexkauk6k3YDFp2TaTFKXT8oSJCG6Z0PX3yIn9CbbRSvPK8cxB+pdw7kxAQ7OBMRrH4R6bf8 lGgz0cEsUzAk+fl34nzeY1X7G7YLKcSC3EKE8w+IIn5pHeNxCrvXDTog3U4h+HEOE9upEGyig2sC WUOUM152wd+ZjcQqb/L68yZvKW1WIYhTA4KGI5CzrwBJQ31MlQIr15gGcK4d1PwkwyQN9ZMrxe5y 3vMtrkfafP5fJHNuPdwlKf12UywGcsKZx0rW46/0akcCkmjWTU7VGGX5borS7clh45Ss9/zJeoNg NamZLFnvs52QkVPQ8ktNy5weDgyj29eNajbyOqtevWpSkJDcKVUN1rk7n/9f0qUTWgBl841SrAiC iR+2Hq+5je6EorQA184Co7e+/ACPvWCEjy3DF4sSsrxTreGCP3uJCAu6MCB1HSd6xhpiwlRqs28b AUMYHfoCY1Gf/9QyEPtUn780Pv++lfZ+GpvBLLXV+kuZoqwbSmynoF2G4Ut1dwc+rOmyKRWzK3PH i1RBKi1M1tx3caRsBi6007v0XPUJ5zfaI5sS9miq5Cuhb57JKZSuZq9pU3ZOi/X6J0pobI7SBp8P 3rf63EmYQUJl4gYpVtrjThrJGiTVyUNQU87WINnQcbEgRb3swYpjTDaIuPiptE4Sn+19gxUqFTIa Wtzngjl39gCYgZy7QkMFfkjQRCIVVmMeLcq9T3At9x7MKkNPmHETAR5AwLi3O3jModEiBEYn+uSK UtrJG21UTrASt1TfSoHTIu44qlwVSX0/MCJ7ACOyzOIMqCO5VoLTgDTnu2ksJCtKOkznwGkR+5Xy TXo7uViUMuwu2QWAAXdotTi2b6Whf/ylgqQHKWQylsGpiPXapoQLKK9b25Vozut6LUY4EYekE54n xqgFaUEcqlAs7ZxKG/wZzpbY5cYW+nGI5OqRY7NFBqnSQ1KLOgFQKtI+OCcen/+XhCwPJZAZ020m 5Rym3kBlIr//SqnIjycQ5pu1AjT5YSsg51DIutBxoFKSgIt3JEdFK2ARjnV2DdSKGCRcxQvUxvWT 3CvNvm00O5Ks2tQRNo3PeBL60jmA7GiXEGwTjG/+MO3EX6aU3+sW9bVBafB+P+2Vh/uaAsNvQWqr 3yCFluWLnE/I0dpiXUm0tUuR+Pj5bU4ZJxcQodi2BckUrzjFzoHnohhdqGz4cnIRoKZ104oELYfP /+t1I8KNQ5ZrVJVD10WcyVAUN8Gi/tPjOUes6/GwW2mvW0hrFPRxSK953MbkAC0Ph38S7xMSbVS4 RTO89kLu2+0IdDnmhcaLNCuAB0DWkmwrrfNO21aCd2fovFjWkzyMu/xU3r9DKRK1JnYNv4W13ihf hvftlJN9/1pvy7dSbBPDKRJ6qrZ47w0kn82+CZgUCY7FiNLa4iawCeaHkgUpSrpzFeQoFV+0lea5 3pIMTnvl89Ec6cmPBUYz7/zbSiG3MaH9RudCYiJSy95c6lRPLWoueT8g9F6UrD7owr54oQuvolLZ fztHIPQxJtJJko0poEqiqpP3/OsGqRJNBWsqyxfd2zXtLn39k5Lx+eDorncrceov07Xf2f2edas2 WfIMZaUrzW9CR1ryHtwCTUH9gMCBMf8/icdNMWC5eHOpQzIemDq0KSGLIGaRoBQd9l7rJ2ZQ7dtG e4k47EmQDRddcIOvHO8s+5LBXup/KD5ALqCtnyd14MGIRBZI/rLM8r1XR2T3k7kb0i+l7mzrHJgw ihyA0o0gNS4O01onNjWJfzWgoNgJ0wIfdCw6xO3LUMO5o0+JlOTZx+2Sle0uK3sysV2E9zx5E5+P NMC9a8LbDEFvP6PK0IgRx/xka5xgP0A+rLeU5fhBLBtQYPbKxbBsgmonVdC5OLL/nN4DZsLUV6m1 ygCdwuIdjk6LND4N3laybxvMlYb5CTdfjMSQCLNk1dr4fFCclD+8GM/+brPdrRSaMYJzd+pnR2Zv yn6oAbdNhB96+8O7uaEb49LsTU1/Lt6nPEcQRnCV+SNSeDZX+GqTX9b7FeUTmi2Hdoxzan6rwUAn Tha/V6t2EvaCnRT4MUJ8tT+CVNhBL78gxbp8jdqXYjZCnsH7b2z7qRfAG9CCFMOzNZmUPayb2vKG QwW4oV0N+YfaJRZeABntgHKyHjOumvypQ0dGepyvDVyiLWqYnHdXE+92/qB8PlJLf84FoBVU59Xg zaEnI77W+ftM0YtgINoMOkrir+YCEwKhOpQCU8ZkrdyZfouD2iptvlSJDBjCzqobjory4F4Pb75R 2VCfEjXfeGjzVEH7Fv1CfMz5tzsx1T8X3eYPiBLv+UgEUPX1fSn8OTRmTNSea0YymnlaVzcj4yne fQPF1PZSCNOWb0NmqT9z/lFDUY9S9RHKYQ2kaWQAA+KwC1MmAv680CVnLYfWjOJiMXvjYuUJih5K SHyhaM7n/6k2S5IAL9dy86XYnLFBxLAvhwR0H1fCaNIrXbQDLfUO3BnNSaaByOGL76YM64xCgbVR CGyk2Q7eNlJhpECnkTeFn3LozpgKT22ZyfRrJnOuh9wkl5FT5vPRXOAP2R6ktbX9ghQiSxpxE+bP uFJaxZAlUB+09ltLt/0WGjQuo40wrebiQ90ToIYmnCwsC3pKaL8Rv483fqBLKOM4KT3m0KBR2RLg ZL4oW9pQQ2b+c5IXPh9spXvD4e1EQt1oj2BBijLvk/HBCXXIPAbcTse4QTDZe5Q45ixIoVSfnAeL HNLKNYuF0Q6IJ2ossS3N4fgEdoGO0fSRlLqRbD5zaNEIhhP0bDgB56Igraz25vl11+Dz/+qZ6DKb X6pbepCibjdkgiZZLgKYtNYcYNKGw9waRIgsSGG3e8iuuhZfLEjnIIc04hYb+2ykQtW6jlfrbKBt jVwGadFyOIc2jYPg05GkFJ6uejHq22LivI3PR5DkZ7d7k3Cwfq9blHajKJ9DPAgUutvdm86fnmkT C91+G0uBTyOinYWTHL54OwAgkwL0MYKEHqL0VxJZI7zcftbee9m3DS44ZZKZIiNcFCSQwQSj2Ty5 YxXsZ7c742zp61fpBl6N0ms8MRpqmqBUv0CTPi7QpDimNAdmjW6APui0p8Xb3ZsX22LaDRVjGNZA lfbcyI3jKlAxCXrbgH3Z1w320qL4ARCZthhcaZKbi+ZszXw+Gi95P4BH2ACJZN6UMvRrnOT2Z3OQ gwCztbtHwxBerOPVu59KsWHjMkmx4ovtJbrxEGt99tI+ZXuh5hokZWCYeE5pZEA+9+72bf9G+Ruc wWox7O05V8k7Vrs7sGx85t0EUZBa2X9BivJuTKWcLIpOXL2grtyy234mTPItSFHevQTnKqP74lsJ GTyZu4UcW2C62VmqrEnAVX2IrHb7thFhS4xRHt1c1FmCFrDqr5b1JSPRrPU4laB9UomwtyCFGG5I jfTZ9ZZNKF3Y/VaWO6YlwMgt7w59GztRH0PggPEEB5x0tYEigYQyg8CFV7IuCKFPWENmluMG7dLQ O3RunGm+gd/OrkKtyFcoDT4fYXBvCYcXDj27IZSiwhSyIwFT3n0Ne+GKe+wOOjgpDZhjdw9T7DPD Kdwy78r6QJpUMKw4FUDXbcFcC048C/CFaWeoJUvES/NTgoySIG4KRtuik+TkBGL6n4Kw8/noirv+ jV8JQdZWf1EK0+7J/puNlVZJTtQeBtKh5Mh2o5AcGDg6q+SkQMUXjxJkn9GsVEa5iZ2kEsn4nuSn EK9+ERR52deNkCZSG2l3UdME1bjsc1ebfD5Sx36iloAGauU3YQpsHHEcSlNDfBLIEpq6z0lz5gV3 MRNWlGLZEQmpzrs4YLnPWVHEkSYJ2twmuAu34KDgTt63mcuihp/yNwLXKGn4YqilkzlxiIy/LZ+P Wt6X8y//lfO3++mM5dDLEbksAPt2MrWxjJCMm8kAzHt1lxXNgZmj6HLo3PIC43JhS6fsl7HPWPRz ZNsEwMovxFq+RC3ZYGB1+7Z/I3H1Ta1sLorSXGxeEY0z+HxEa3+qj5AESFahBSnseJ9ieClLoSrk aA4UHNVal2cnQTrBghSzJTWord0XC9JJ/RZ06orhTAboYR2EA8y00FulZbW9cWnZ1w3eOLH+K8XW udi51HH8qT7pfD5443721l+f2VwKRQ4NHeFSBBkYNNvwX2M7smsvtkoA6YR5lXVNYkfHJR81kbna Q5JtwAUc+sTUWz8RKzy9wSKYKPYyIaTWp+zNvm6EE1ycMLLxzcX2Us5qH0PPjM9HdK4rkUDAasbA q9GY1AIVwrzP3w9O6UOBAqvCAkV0LgMF3NHwQMXZN4lahec4FzvA00m8AQyEIGMCp3xSAboBtL2E jy/55kzbv26UDRBJSWSOFpszZSSw2CHnxuPzQTYwnk042MbW/gObxM6O0CMBLVaVXFH5xkQmm9EK hDSbJ5aBtaMw4+cYoiSrFsdRwPO0YDwIm9zzly8Up6dELs246XihcneQ5p4Da8d7fm9yc7go+waA XDazFbsp8HZ8vnOiOE1+pxukEOid4EHTqvglc4tcgey7pDUt+x5ws7AgRUBvoMRQm9fsi5coFYDs UqXacq44T0Fprpikz2x13FDCFLg7ZqvjmloDXIy5dDKhJebS0PPBJXcFyJRq066FMkIWpij/rhVV 7szehNvuwTtyn+7p3HdzTE5g8XhJlNIO5+JdgXYCXibJObOP82FLs0pcbgDL/jA5JSf/thGSgse8 DA8yrnL+thmWkjSKgTXJ4g+IxEmeuhLoboKcd6MUKmgn4E2kF0U3CCty6Zfhan+EBVmU4rY3JYCs ovul3+fnbOIytrpwPXXasgD0g9yXYt0/U5pu3/ZvDB20b33RZoKoshqNs+v5SHyjP0s5nEjMai1I oYr2+RPPIstrlJ6UtNDEsjn05Nx32fPK2OmRrZNZZRpa2y3lTqIBZs7oap1AFYv6JF2Ev/LdRFJw c5B3zA+JRBGZTxrqZJsZILDWlUgVitHy+X8pjfPVwh6u7beTouS70ppmmB7A+a8ybc5EOo0kfrMc wrt+ULSTWOiOVH3xIJ1wnLeabMEFogD6hYB6I/nmz8s/vIkswnPo99hElKdkhxanDMihHmGvfD5q 6D6jhPy2ocnjUQpz78TeG0WShknYSqWkUkWU/aWRb8IUWD5mL+QWhyNcPEqVGn3NWDo1QecICdOi SDUUYFP/sXSSfd1IMckkSIsvljCNLUV2HH98/l/QpWJdTqj9PjKBUP3v7FSUuaLkLmH2ZZbFMY76 cK36/DtwflS7FR3vVXzxEcoG8WFlQrz2mgnkpQ4RMRC8oVeN6/0pTZYD60dVYigC9/bF+nAjax5R JmaWgffjH9OBxIKXgHifoXxe06WuMx9GB3q7oMphYhyj1+lBolUJz6XXZKlDDpkJAGDUtliIFjKB 1iiFCMsjgOp4dFNbEyk5dry0/RcNel9Tpe5iv8SL22IHNynMXxK48PRLeDq6HwqP0PP4oze24ciZ fk2TOkoTvGnNNG4WpFauWHQ10sk5JYb334Lg2L32X+qIHeO4zl4SatwCIC25yxmmodyPyGM9OoLA v0YHcxPefp0MXS7aPji82RWoBW3c1+iAY1Ae0SFehbQxRuc1O+qZGj5zblfXXNW9VzB/ndYqmXPa 1nnNjboZZ8ATa/viKeSoGL5RXPMkR3A0lJLrZJ6dAWG8oDeCul8zo44jhBjTwaufi2XZLH9Y/+/2 eU2LOgqZ9AhOpbowbTMQnNekqJvvSl3NZIBwwxjmfa6fD930nuRrStTRZ7EXKvviNS0M2ROudHCW YNJLBRdM37583ThmVHA4YP+8JkQdtHUD4FKDA/rAReP8E2NVPefYz5/XfIi/aLXokNAFFt9mNxLR ec2G8GIlCG5IH5LCEsW7/xvkJDW2N/5XGaoGW2fIrme37Yu9WOftPOng4IAEqMak3j+VkTru2LOV QD4XG5eF7GsqhGOHU9uWZvXFOUopqVvbT1L9mgjhaUuEqoKKpkRjs4Hhec2D8DpuICTqSJ5RN58g pbmu3J9OI1hjBeGZOncKccdcHB+xEzBDhCTtAkoXTcELUpWO4WOmoDEvLqAN+VXfw7NYbRBtbova tOe8XZrXtlo+rxlQ/5mmG8EZdPA2p++e1wQIZ3mBcj8l5RmelNyRBrfxHftvgyO9pj8IT9fkKA9f /Fof69zpW67y5SSDixSmQpEkzLYzRi5evMrkIHq56KE6pb3BJVlJlhNj1U4m93lNffov9anqqCEz lSw0ovOa9uBYPiGY52g0Td+aXYDsJCTLDcHhpmuavtGxzMbsYkNRixdjp8yAxQG7aadMo1I1GrNg ui/IBuZ5VaEnpZFec57uUpGSutVi0TlbntOjdrKmz2vGw2/om0dDNdyUuCoZndduY0exCkmbVOxc 5glsg374CqrzAf0nvVqvvcYuVBCwkG34cqMDrVv09Mo8b/D5mZ0dWTBV4KIEL95xgWyN6mxRdLbu ckXypp2nNG1KsOrJpj6vfcYuMdTfrYVNgWPRovPaYsR2zehYD5dE2pBut0lazg6omSB+SEkiSngC mdFz4leI/mBDAzRS6aSNyJ8gDQzoC0F5hl+jj9Fre7HLZgfPkz7CxYqvk9xz2MKm0GtzsYsUr+jw WIaBEm3HlA5GyTJu45Wd2YfqcTn+ge1m4R+Gh+edx9ZF7KEBePLF4UZnywB8V0ijqejFElDLmgfq z5kURlOqbSL+R9uHtiEjm3KUb9FzI82to4dImihhXjM/IkQjAWSnFqEoY8ZRjLG+sdjKyD6xhhi+ XewZbRCj1UQRWkqZd/PFz+aTeZ9LmL3os202FSg7bYjQVemoJ7ZPYsGZ5ZeNDmf5qLGVz0VbCCbT RWjaysejpDk9zh/UCp3IW0UoyprPbYVjZzQ/gHwPnVcj2x6CX8MF9gURggQep2TLFy8q0sl+NghG YEGcXdCr+vwn2zpHR4Nt4K0pVLtHeTMPDIzvky8K0NmVjZjP2sA6ek+cpUduRxBlpHHAd0iaW4ii 3Bl69OfKdSfwkrZjH1spxU6hBRSDYUOCQ7p3aYnX7cuv6womnfg0J2sZha9ZhTlQQ1WR0dO8V1jS lw0Kr8x6VGbp45qlQ0V5WeG1MOaIDiKTYa3EGAGs3wnLVoTe82cpkdM4WI3pmkfyCLXq5zSGy95y jSKEjObsD7CPtShCJ/mEd4gAD+D31aymLD2UqSWF0mLogpJMy3sCnejjAefdqgU/QLc8pF3Vls6p fd6pa/2nKW6JN9CeDdBmC1GUQ2OUfD66L3vPRptOpLlXWZKTFWv39yQa3TZSRHlka/GzegFyXOQ4 d67kJhLkoC4vkMYk+lm/lS4978Q1ngn4V2vUuwhetFqxN+ece5933hpymnx7GySuAUIqBKVCFObR FUI2oy7rSW93kzePC0pm8z/VIIsS6S5a9tbAjJmnX2dwSaDWLdx+WtF5Pjjt3LhvR7rGjiL3RYl0 4/aBI70vBgeBXpfUH/L+vJPWujz9HjX8uZXaLxt6p6yx/4O+IZVwIWGT8srej+YwneIsMDi3PRTl 0pIZPcXJXZwbApLxTtSJgLvz2aa0nMPI6SQlHS4919yAkoufd8ZaF1sXjMmRfFGEUqldGLXV5+ed sMacpHutYRBSCPyN2yJ7T6iB5gfLSL1CgByW22GdInMNBzlgaqBNFGXUgxlqBtfdFsUIBD/oadGB d6Lzwn8ix6yyaVZ++TM213jPqKVqgk59X754k6wMeRF2qNdEKfX+oxFE60tK4ytAUU4N0PDEbMba ZMqDiAKR0jiGGgTmK0BhUs1R60uATh53wmODnwZ7UKaXgD9+eSit+kMWz6nvGgWIAEeNo9cdR7eT gTaKYFSCG6KsOle/zLKcntqXLsPWR4zSalwzp2IdNhsr29BXuSnn4DnUlvsYvfPUuIWVNI67+MSe uutZBT3MRASo6WKJicB6NWuwaT/vNDX2HsRT38UXK1lzVdMVFJjPO0ut/5hF1obGvZtv3fFOUkNc weSbaxZv0g9DW3VqHbgF7zBS6DtHjdc9q9Ymb4P28zYgpYuVKRKik2PLnC7BHbZDrwbjmtsQIoT/ naPWZQcPuDWPIy76u0MtlBSdOtv8vFPUeJn5SyaWuNQ4041RlFfPCvqeK2WvtHe3aU8WmF+DjGkW vO8Ute62fHuQEbrHjxFaC7rMi8boMEMROKZlohvhk0EvPkek0QDinaF2c6KsS42LJY0n2ZXp3HnB P+/8tP7zC6uy5YO1ZJnLi7N3fhrfMwSmtGwhyoZMA0rFnZyhDG5cx3d6GtMVVmVNuPT2w6VDsRAI q81thGkkMnBWI18S4E9e9IPGUGLknZ2GDyHhCq1hXxQiSA4JGZMyH/9XW1rejgCb3MvsnZqGohdV JImDQqVnI8+e9GG1ab2zLX/Vk1e/M9PuSbTcna//DCBO4QINJyn7bSgG4iQ6mehZAAwGieNC0Ugo emem4VRIKstq8UUvGjzJpDF2EorPOzGt/zDpatw3ii7d2+ydl8baDHrrNKJS+VpcFsp0RpBWg9tp R1HUmxbxeg+lRBcEjZlqO3/fpAI/w9iCxlAFYpgDvehMzK+17jEs+LzT0tiv4Uy1SRO6eQctVWjS Swhjrs87K81K9UcLBC1xNu8UofesGp5qxKBvt7pu27XpBzPV/2N++c5JY94wpE2TfPECH4dEzfLg PRd6UgMWflJs/FLi/TcYW/qy7wfR0lhjSbZ2zX73ECYcTBrT/rxT0vC73sqDM2e00SD3ZRGK0mpU 1IATen0v9v4gjWiPW987LvadkMYdzMmhiOZ5/fbQucHmyomw2Hnq+wpbeOPHNNQbc1zqB/YIPyMK kIjkUthCh4azZjQBuzlinaPu885Hwx661ev6ykCVkBOLUNSlhuAfjIOtDwuRa2+j0atTFhmoghSh 96TaDFQ7cWu2WM54kt+T7wzag2JUliv1a84PL8D2ZCDRbxetDX3XIGfkXTbkQ8PF5vLnOBnqHeb+ eeei4fH9TKo7zTH6PaijpBpT2LlSNuH18RtybFqesItG/x4d1O9JdbbStVS683GxAHWw/aikCPWe svLSIIQFKDWhVvWUcXb7rsEW4n3XNYTu15ZvdLg4s80Iy7B3FhoyzuE7SNM1jtrW3UPvNDQkUjAY SEwncA5NAlDkqrbK9XZERa4JdJhVT9mh4krTcvcQVPswRaMhFtiHFGYB0q8CHDB+TTTOjz7vJDQM uiiRRY6ILTagB/iIOeNJ4z7vHDTrFj/2EGLDlooCFGXVmAGcU6KrEwvakA87Cr0GbNjhUivvDLRu mtC4w7cv1kQ7P6aBjoeMkcN7wPtohwnVm/OSsVXdjQ5T9F0jBANpYwpQuQE6xT2PJor29M87/6wL 2m8B4sVO5a5bd7zzz7CFOuqOzcu+wvxyeq96tJ//AzkweMve6WdEB/GgpnOYFttCpWNA1iggcmr7 QSwt3jKU8PiC7cfR0zH0Tj6zfjiuc7bSuKh0PUdgZeV5jhV+xX+d0ypTcSHQlkQRilJqZCWnAJ9O rl5OY8RctxnaHIKEFqH3lNoSxrNxSUDP+xLQT6qFl6dTCvpc+glzYzJlUGWh88oBvJ1D1MV4553d omM19YnI1ORVBi8PTkq+89z7n3feGf6Kt0PEcGLvgqhrEYpSagBf5iJ4iTOzbL5P569RXI0urbWM DfvOOiOSAu9OrdR+5OKt6l5PKV+R4gzmich8GMTzu+EKu80PgjI/74yz7g4igzp7WnROpzaXvWNz ft4JZ8xGvLRnZggmFSYMFp73fBpD7EVmkHXyE72EBDFP2yHmDfm0whO1qYGOQxKUhi8eHgwiJmYK cLmemX9/oKhAE4YVC9SLqs+Yt75rMA6iJhv5jU5z5Cm9OTDDx41eP+9cs/6Du1rBgbebxDQFKEqn cZoDC+Po8pY9nU5k7coLay/rwb4Tzay/CXxZzr54D/akvWubgcj5Q5PY0lELIZSDUMh1OVSEBL8T zW7/bKqun7euP+dZMbGQhT/iezotKNyzOzQwELoRitJp7PfJ+p8XfeFcmO2z8+1NxuCcqyDqMEJR l3qonscpgoVthEms2UkcK2T8xTIbqXMYVPaJS2OKBM9wK+tJ+/28k8y6jLzxdk29ZGAc8BBaacFa ANf3yaw/7yQzRPiOpfmP15LnlMcohH00ToPMYnY2UkjVQRMmX9MgR3K+c8w4DWKXGtwmW6zkmGVh cENoIswsqKp6vhll2YEZmo9Gfsn6rtE5RMSZmtUNVzchzicD7U2SSaCdf94ZZnjP/ugxDnhfAitl EYoyapx+kEMXKZjIeGtTr2wV7Clbkxdl7+QyfHvmOInNIS3eHKoAMk9pYsNzaBJ3XiAWDyw+2713 FxFV9c4tw5+T09ba1cjv3sg/F2XV4AMtus87tYzf8b5n7AIUMl38rH6nlvGypzux9e8X9REEB655 FxsHTbCMC1GLcUa9RCkrvliIMIk++SCZZZBUGUu9D0DNQDtDl+USFQel+t+ZZUQnsOro1Zb2NXtQ UI+pI5fr/LwTy/rPzMhmHZCJVJdAIQrxH428YGQKUiyAZTYUZtqgMI4aaAD5VrQY33llfAeMMFV9 cdwrpJAynY7HOazbQBsB3sKozCEiAWqbjxRb0XcN3jNpO0pxjouQnXDfoIwrDMw/76wy3vd/jBTJ ayveHXqnlHWz5esEZ/0HvUaWLiRxZvq80McgVY4UsYeiNnVr0plpwxe/zk7KSxQRIUQwGZxkuKCd MyEWQFSMKxij4fN5Z5RxnkMFtSYHEQIyGCEgBRShU71/3vlkBgb6wRchZgF1To/Qe0qNhJGkliyo PVJH6fSfaxgETsmpwiJWJKB3Nlk307meqFqkxYuOMYFfIbaBhk4inBUMO/AZX74gLl9MTsI7m6yL 6AR90LJ9MXQw6MbCvJyU+p1MZgPJR4QEwfaj+p1LxjThnD6Fpgzsn4lXTvTHWo6PQUfY0NNhl3qo sh/JF4/QSewzKQ5lwEOqNHqvTEAB4HIEmPfwynXRDvydSsbKlcYioAfaYqU9fMTZz6itfd6ZZF1i Gb+3bGFwT2ajIhR1qXF8THjDGQZ2DkuJIKdn/qCpQiKVl9k7j8wnHZ0y47ZYhE7iOgc73gBZnXNo dbHOcUQCTVSJ05NlGDLWzzuLjAHioKOVu1hWDTkqlR17fN5JZFb3Pqgb2D3pElveOWR4qm96pG/L hzhSkX6DK6edWx8CXgpQnFVz70zeaFy87NiLPE0p8p+bPanhXygz1dD7uCKzdHH7vBPI+BmMDAVz tChAJ6crcnbchY9HQ2kPEHYL3dJbuzsoSqqRlaxEkXXWZblfCP64XXwUnPaOxdAPXPKlqc/IEYl1 z5D9pix2S4U1OvPuBapdJVZv3qqDstufd+oYCyuO6wVnXPnuoLVpE42j9xSu78yx/lO3sGyIBKt2 0UMtTKlBUsjJnFIdj98Yoa7Wx6lcaYvEczqGUjOXHjyuudxTCP6vQ65z9STUtHE+JxPyOjJw0OuR syNFR/AZwVWfBA8RNfoHsOrVbB4rlMBalFHj+LAIcTiLvHT+kqEwpa70KHBRuU3HDceaO1w4yTcd eyhqUicJ8CcxpNKPIXVqjErJY5WupVdB0ASDB6Qtr+tcaOd0lFIvyn6sriZRd29HtCt1159UfuDx YGxf6uMYglRFJSJfPJcoo+bIqmaPUGnTuh9Qj9pfF2uY1mDs7xk1uneJXaHSffH2GWVml1T3djov HN3CNz64Qp4IQiyWUHeKgPUooU5DrxfTRS7WYCxbqE9Ak/F4wOXY+3EMVTRZ1uVJ9SifBhR/Yudb B1ZsVgo11G0vWZpywEKAIuTHYE+sZk7MuNg53TK8YBeNFFGFnT/yEEgNqvJnl0I2yooyEgnxGUHF IeMUWjVoUYBOUZkkmV5PxdGjfDpV30FFNe6CHbiniz1MqDOq1bJsmJizC6YPiJwbqKFDnZk3WY96 1LrJEukaWhwbA8oCdhW7H8hhJiUJIYJ8ij2QpTDwMJYvAAP4kGhWBlLeps752qirGZh8ktxC1sX5 tVvB8+8HEYLyqMrAnyFqwGIUkhGJjaH4xX9I9zUjTEw6ODhDbV+hQ0DgR49S6knY1EbeZotXZZiM LsxKoWm1z67CRoNTBXgdaJeh6X8VGoq+bFS4qpE/sy+q7WG/ptp+lonHI7bmeKTUTMPYc1KEIkLi oAvvmAbSa5RTJRQWAFnLh2Q1iMus/xX4Aev47ouD9M6VCnZUkp78ToOMxPNnr98GoRU4mzqKkU3G HvWpl+x3ZXtJlaeh9lCDh92XZJ+Cx4MAzWdGzWs3XVJZjzNqQHj2ENrDaQsyvTSSEIBh3aqyHnIS p5R2e/LlwqlPnotZCfvUCZAfuoFBbetcBu272frQQYSLFJ8R3PZsv/6XDuE6uaeZ8Hac1FFGjb/M 4yDifuT2VYSiRjXJQbWwbsVRDeg5itWzc4GIyuwOjcaDCEd1mFJPUcvY+uDilX0/GUQpEOg7+cw5 q80VlJAcVG94nX+KllNfNnjJTOuLVVlzvj4wH90Grn1MPB4B0Pwyk15zP1Vcv3voPadWqbKIl8ts 5S80KtEcGqUATig6PXNeHtVRTs1yrG3h7/a8ut/niAASecraYp38WsMyGKgBxgi/Sy/sJYSIz4gu M0KHhGJsPxQj+PtbSWCeeDwq7P/kjENov3lK3SMsdWdVxhIQJpcFEwAZE+bpfpd7s2bgSxbBPrpe sinOwpS3G18yPg1eDKBDO4OCzk7++UsMirak/gNTIyPEh/xVj6G05ovesnUKGYESTqTw+L8wjGow okfC11shCnNqtPg67RUQIs5HzOGyNfvPvSFRrLcsalMvzoFSY+LIxfbQSazqiZJ0BzfUhcguL7AT GtQuonKVJq6d4vE9Qn6Iujmljj5zdYGYc6UUvSULb1lIUmz1WXbkBKRyuSS8EWXVYFWfdN6VPlNL 1WpX09aVdvyymeKI+tRTiHMqyGtxcAwLhCqKWR2YmInwCfrGoCXB/BntZH3Xv6kPDP1jLgoRBJJ1 /J6/FB7/F0NaeAjsn+W8jhFl1Z0KOmUlm3VMF/k8OaMlSQXuMQZiHGGXGnYnPSWJn6SLFT5H0jz/ f3uQxwnv+k3xyloIwK70knX1ysJcAx8SRIiqlUVj+yKVUP6uo4zOc2k0hCjsU+8/hoqw2lvrUuxD OHUGa6E1H7vmOzAr05StIe7h6KER9amJ3uy1q/7o8psmOOZcZJPcnVNxDDCDi15FaH9TzAbni933 Z+MPfEYA89RMkWQVLNmyY6RuxNT383/weDTqeJ5EeLuJTbQAhcgPWO22ZL2gc3Zmg+g1cwFlB605 03VEObVhYybJU4JwMEAnxTplUxkM0Dz5x+iC7ZPuclKKLyT7/bY/ed/AZ0QTRbEVGCcuhqUuRgI4 p9zG48E5dCsznABUIOjNT+oRpdQQ/T85yrCx9MrNSQvnYl62gxbQetpBUUo9u/RhhJDJF38GTbh1 bnzCq8526tSWOl9MA53Jwf24s6BlXzZ4yahbvklWOQuE3ynOCcU8Gb6MXDKej/bQ7RDxXMe+xSzM YhRm1VAjgJiRk6coDUPyVDP+wjke6rLCbERZtVwp/tsJZcBNC5XmpH3sOT4q5ePka4YpFGFA1qcm 336EYGrqeBXJepZ5IWgVIHAj3E88Ho3Lng0ikqfWrctGCKYGEHYvG3VMqJLZJoKDhlX38Ga1TRSB qcsWeWovX+yk3jAdasjxAYRFR5knNcU8a8H8i4qnmpZ1+67RtAyH1UarwRZr5MtkCzjPPvB4kBAt x+jxTIZGZU7eyB9Rnxo9rHN2mBgc4N8O/uB5YeCP1QzYMKKcmtKUPXfhGzoV/zLx+FBtmLCNhghz GjAzkvIgFCrRv+s3QCQz4zOiRj6RZ4u2FVwUIHC5yfAva208HuXUXpdpt4H08tOKCXNqFK6zGEEa zHvHNdRqUSup03mKAQpz6qX+UMu+eNFxkkcQgQmlHhP+STQbklwLkH+FfWoRFrp92SCnZmiIQ8Fy nrOj42xImX0Dw47ng9r+10MzXzmI5t5NFDeqyZhagjOckxptBFoznrps28i10+COx1DYqKafx8rs D618+0NnO4Ep2bryoVMgDBKBEyiKHV2SQj9l9fK3FHVCjiJfs7JERlvj+lfulNhHhc8KHo/aH0/V GDIC2sV5zrBR3ensaXtnsVwx6AdRS8wYgZRRZTb/rpOXJ8dBXO5A8dypjXT0k1KDItdM8xtKdQlN nTsvY7sZnxGVrnSHQaPAFqs6TprOW+0kJQ2PBwHqf0xc5eDnW2hGKTXGcucr0/uMRWwyE9Rz6FFM h6WrYHonHZphozqbt3f1xedl5x3roLmyLoNEUFJ/CJsNUz1e9taFRXMKn/G3Tv5YPLS4WH+o9C0u eWoFj0fn0MVX8Y2EpAaHdYpQKPwBbtma3YjS6JPaQZRYDGILJbLS+ZLNfxAU0ee0xdFDUKMuGH6g uE/QbcW/VPYMAZdMyXyjuVKRYMaNaprCVbkxVht2QIkjcUyCA7zi8Uhe53nZEyBPiwJFKEqpM7Oh ZVpwS0M91q2zXSHhiaxFEQrb1IP4qklcPheLEO6cU3QQXzXbOXwSe4yjJym4CtRsVox96bv+DelJ JXNbFCBQ+AnoOolWxuORHKUHSM2giT3UvHCdUU4N/yCYIQjXsNrsPuzIc7qHx27NmNIzFM5rbBC1 ehe/zCBBBIIiJ4pADlO0sgGi39haR+7uiHwA+fEhkaqF5HEZIi56zaDEIlPck7Pj8WCi+EdZhsZt 67f3McOMGlzyQa9yAtAqEbCU/Ug2zMdbNn0PRciPrhnH5inMxftDFV1uKXYv4IoGhdLqICS0kNl2 8yE6MM4oo65ivVTaxHNRExaFWZa3yxh4/F/yOotN2AIAkZdlM0J+UB+/ZXPKw8yj+6TDVWDzeQe7 b6GoTb3UOaOioha761cFnMGaQwnqr+TjYyqEoTT++Y8WROnFGWXUajEum7ymYQ6Vq5wEhc20nnGT hciP9pSwUnOo3HcsZCcmmOVO44yfAiR1r8lmcyI5iCumnxcK6BU7frYvvoOAcKYrHWoyqKlJGh+n bKOO8hi/Nv7Wdw2wQ0Tkjz3vYm2wLLoXpox8PAJXeWuISCrc2XXcomxGyA/hGkbd1hsqySH5uQzX X2zJpXVmlFKLDpUztxAXB1ND+hsdOLY+zl6Z1GjsKFJgUIlWaLptagLOZ5RSU0R/l16qLyo6EuCh MirpHY9H6CEvOlihZM6BfsdQyE+EcxeVSiRw6qVGPgVBcQLnytk0umcs+kGIXuc8kYuDYxpYUvLk OFlR34XeXrSwrtDqIHNKdz0vJHzGX98ywaxWd4dTmMqK99JO2YLHo3xxPY4h0jqo9cQArSihBrnl HKLN5oldZBfUrXUZSv9cZEJ5Jv6cSCCXfbOcti++h6Cz01pRQg2BQGEYF4180YKdF5Avbe4VIj8Y mU3stRaDxrRTownaWisej9iJXtjbjO370LNYYY+a6srDRVF2n94+K7rI0D4bNNtigMKEWjBYdJFt 8XP6VF810bQZL9zJAroUhJGLUCduXzkLaELwM/6mcgrJGSwgLw+duG2Z7NpJrAue/ycC7WuQnIeS Z6g/rWPIrnq8TC5nUWgXJuQH/OAVoRj5wWNoDVtYAXBmv1M9pzXNX0/Neq4wbiGQar8nn0HD7nZg kXM2fMbfmmdsg9sibMyGdrOUCNbA41HJ8dTnhk1CrbfiWFE+DdD/KetdRy/NlJw6NYoHqCI/VoBC JLXu+CZ9r/bT9+KwOC1iGKHF2Mw5r+KwpUQOm2eS0SP8bP2dnDgJ69NiIM8551K/Z1c8Hh1Cd97K FAH9HvRMPERRQo1rGZhbNc0mldfZ+QDNqXg21Ohjds7pFbMT1R0a2ZeLjDk/ck+5v58zuhU2qRty 7oax6yzXuoS6R/iMoH02GSLBPOeFeUKAZTFEYIXi8f8lGyIOdt+abEX59CnBQWtNanyc/1oWoJP4 Nv2PheJKanysUO9jmFR39sXhVScW551Di3smmLRWVq2J3pc0I+YhbQ6URKCtCPixmAd1mpBrMUbH 7oK8ndd54PEA+LGfHViMzR9qFiuEUi/gYKkjj2GiUYAxTEx9b+vhj1F8C4UJtfJFTP9t8bcMSuwU 9QOqAa8XO7B0YjknCeSoOZEe2kNZXzZiSdN0sttxREYvy9bVMvkKPaWGx4MIZS9bm1Cz6J7NG6KQ oZg4ZZ1Sz4Ml3rL+YkNBoP7ipmcPQxSCqTk+pQilLR6ik68D08mhPaYeyQatWSMzyCFe4Mcc+q7R ZY/N09KqvthAOgNzo+YQv+L7W7Z+GnF6JSsmivccCsHUs1Dmw2WpS7aR/bnt57Z8sWSfSK84peb7 NSh5ysUjBM3WVunNCdzHyhwZZZQAnUry9A3jvLVQQgMfEkieUnoZg21flOVBeCjLTmFVPB7N7P+0 4ugAG93bPuxRo25dxZpDgIDYbd/QVnRKx8hWlq0woya+dcHTyBZvfcCbBP0PilfBqnZRexkNjwEG 3jmM7qwMNmb8kGgUtJUJDV/sqK556i7amY9Hm+gZIYz/ugxvEKEdpdSgKcsV0Kjk22EftaVLWVjb NFF21KNWJyOVcheH6LWFFyKZbcD5D+p8n/N7fmngXH+GHLKd3lFKTX3dk0qLWZZuE59ihkLdDT7+ L9KLZJhA/ug3IdphkxrtM3NOwjSR/9zMbpZPEwGjtAiFuA/KBS8kUbZcEOM5UhPFIyZARSPxAAKa 8TsQ1wxDCL/MqI2/Qzi1VNDFCyqXF7RaY42OadkeeDw4qst1nuCxjJo1XYzejvmJuPm6w6n1QvMg QlrmhSvm2wpRnFTzGoMQmS0WIoEwwVNjbX8uBW6iKb1adPlWvsgYpIH4jChChOLTj1WLCldYNvD0 raVvPB5Jfvwp54k/2tUV3iE98YTmbBHHfeAG+7q9whRMP58/dzMDgR3jPggRrtLOrXcSNE490IFn 511WaAqdbwsW466cftSpyctsR1m1iaLQqE2LJUTnliO66rzR/I6RFqPDPKdXrukCP3aIpS44h6qL V+3UnPaCP7ZoLwlYL4tQ2KQWRpgq3lou8KPgiF6a2cOWkW/ZgEJBBYye5qzWQAPCGJ8RlR2yBGaA uGgLtT6F+TylZMXjEajBA8RjaIBHfjnAO5Smpg5jXsO5Zbk5Pk/yH9T8ELIRAYpRH9L8KNUXJ99B PK2XLJXBDJN3Mw2EdvY2Q3dD4/t3/Zv9Td7rLvaOnQLGDErTwuP/Eohj+4Pu8vky7XcE+0AYFtmD ihAd/KiKku4WypyDKEKhht7WS6YtVH9bqEP4aqOyBBx/QqOdzQ9UZBWI8UnilObRveu7RtpVfLn2 uIttIcgZla9NE3fcpE6PogOnSeUVqgCFGTVUdaq0UNhIm+ZMihFAtlOojeZbKIR9UJKpEFqmxRGM BRO7Il7QiXlKRWYepE6eTB1tV22hQvE1fEakkC/BIfJcuXh/cZpH+Tn+8HhwkaU7S+SJk7l9HY6/ wyY1tatSsQjJNZunUJNYNb4sfmfRE3eMpa4SrbqLDxORVM9EKa15EudEOD57Rjw1cNdfaNWe+q6R VYcZLGRfDDm04M2kQouPB82P8oe6Fyg9PV9lph1l1IOYhmYde/iaDHvJTtXr0kOTmS/3UIT6mHSi yJgw2+KYBhgFQcIEx1BCUSDtKlC0amXFU24yxEHHDgU/pNWQdVxnuy1x/Y1O7PZJPxsej2SX7zHE cOD/Lj8UbE4h7iOhuBclGriPRtC0cB9dtoBwfEnuSJpCzY8uWBm9uLj41D6fHGjSIRoz+xMOnOrg rVRY3Feq8V/4EAWa8CkBibMorZboR4I+rSZmC818NmLPmcEf8C+oJyVChPzON0yhlB6wnlB1IdBj kg0v9MdQO2SjPPyJwKdQS0+uU5WlFxdrE7UGBxlMY+DoBvhCp+9LPZtvAlpRoOB2LUmzfd0I3cAG UeFMiEtSioy6j5Pp0RqfD8qPKy6czXjyK59zC1Ps/YJuYzX23TmTmmPRunr6aKbBN9vDFKKqxYTe 6S6XAQP98Urn1rMBEhInkhXPJw9m7dS5MQyILElTCAKhp+RoBtFHlacZWAH3j56154/OHxCkR39Y d4BkUdtF56vf9J5AVtjpmMg5/ssV8zGqMbAVWEtmu5BC90QZAxapMZcLHYbCYDmVvRnAjE5N8w4V mHF+J2gb0YDbUsiy7esG9xtr2dZX88VwDhsYii/tJiqfj9jlfjjhH8NkqaXbuc4pSrNRvqxcbHwP 1y6HpBWGln3HBWSKHU1R7xpNPWowN18sSqc6QxlLTSuIKPZEmkfCxO9kcvgopDXqGWXKEeFTggnI lGpRT744YAbSxV9S9TKf/xfBnIzZ0kh6ux4nKWxgwwems04CMK0CJyHBnVaMU3XeOQjoVG2muINt ZI/hi1e0DZNpJQIYWUK8Bu8cKHgDrPtca7oFGzMBfEoE3qOPUDGZtHab/CflEYm6p83n38NUi4PT CnWs0PE7e/1308WKIOc+FvaSjX6MpYxYJeXYTSVLSCxoO0U59+RNtwQ+42LbCcYCq04SGjbsbbXx Ev9CCxOu9LBhIBkfn/K3wg23gi9W2bYibb8yd+fzkQTYPcJFi+Ho+rqdpCjxBlHxJPnJbrrdSfCQ 2saU6hU87Lzbjx8UNUjYPJJjNBfHygIkgy6AumxoBgyGaRHk0787N8+aMGjXtw12kyUC7Pr3RIFW RAmirgSkIEfg8//UJxJvFo3/26uFF13UrIWBKdGmqt+mtyJTNfdbmI3O4kZ5kThIJfkjgbBli+Mf Krn4ibklTGJKkd7ehAY5+gH56uibc3KKFaw5kqdJgBbnoIEQgN1xTgo+H7xzNT2SJlRIA9JCHqQo /4ZTztzVpfSXyl202vDbWf6d8P9vQYpa2sTRnIu1VF+8ysWcsXY2Ss4Bjt2K9LM2EgnPoTCQCEna nOoA/JDI+IQtkk5rGC7KwHcdmRFD753PB3XuVY+VHxXqyHZVrHPouTjpHZFNIPW8FgYZOam4ZpHI 6YH8cEfBECnCdhJYYb7YVjob6VQfKHnAPF8VdsfMF4iCTLiS8/WkpM90DkwXMekSxYrWnXOab2FG M0nK2S3Bhjt0Xfx5TVNJgv934wtvYYoy8LbRdCtdEEdU1lbMUZbJmm6rrOphivAiIjNSsNsWZ8ps Gnmw535OQGBqeHTRdJG11I9KJG+P/BfnxaYCZfliXKIiM9/viX7l85GU7Hi8cShRWyq/IL3n31Kg XfLNlBaxIUJPykSXP/Ectgjq+kF/m9WCN+KLN28b/Bgqc4EBk3ube+MVLQs4ivUT3yvNvm00RGLn fybjXWlWCyPYmoh/RduYz0dF71MHlLLG5RGkqMGNTthKferdgq6Tm5xmmZ6JDLJukMLse1GNR9az XKw9meG/OHtVkMCe0ei7DN55FiSTvuI8m5Pd4IXD6YUmnS8C1pw/hQgz56asfD7oLq1nkMjZ2Zcw k0MDRrT6gbJUkE5+mVwZPUnlCd82NXfozoEDI/IQdk2aeritPC64BC/HIT0MqA5MYWsoR1FIgbt9 gS7zvNCDUVSHheSQy7CttM9Zx0IOIxo+/7/QrOEVUXb9RSkStwYTcw6auyBKM7mHzsmO2+3BIVG1 rRS7xVTx9oYvv5EkYkLVEKrKdprDjU5fE4h/t9+hZEGKUm8H1khBPl8F+QWYHVvdrVc+H8ldlmeF gtRpPy642C8GLabSi4Ejcm9exwFlLnDE7JTiQ0YZ2jAuptOQUfbFMkq8bvn8DFW7JwXP1J5JzBsA 0SrkqzqHr9jXDZIls/ei+gwXo19VYHaZJJbN56Oj+wllazJfuyZ6gRUjXDDAfJBWM6pd9jwIIanb 9DLQE5hGBM2BFyOGLwT2Z2JouHiUJgtn3W8w7CtESNAmsNMSZayfqIF/2+joFpptD1/U8p6gfPEV mvYlIy7ok4OF9iP8BG6QorQbxSbkqrphJIarOnYaCgsjca6V7UEKYSRJIIlVfPEaDk7mULKj0NN5 pZA2gciXUeuCGLj2HU4SXMFPifTk2YYTJqm7LSYm3K0ISzRX5vMRy+ie3TyBUOxA38DDFIKzJ9VB jY8+u3TkF02qS3FMGzAT9sbF6GyOl7q0rvtP6xr7B6CWLa+4jKMPM1yceh1y1YtsPg3gVrJvG8yX jK2Wky+G8Ydekt6h2fh8VJ3cxonIWBgj3yFlDl0ZgQE4SV82hPae1hqAMmm66NHuXKMc2jIuKcqt snxxBPJakLtexCSl3YpEjU/RtWGvg9hecT7h2nLoyzh5HvXBcWVX4xG/V19TfRMY7/D5SJ7vggGk iQGJ0vSbEITejIMymHmqIXAO8rzuhAAoFYZpbMe25dCccQxJYq3mi28mTE5GszBB54tDhNZx0EN8 q/0205JZdWjOqDsus2WrRW2Tk5RJhxzKZ3w+Ihg/p5V0qjZAPT82VBMZsK1c1ZpLfc5uKNJFZz/O B3C828EUuDNK+wxTyHkXx7dh6EBwEw4mSD6yGk6gjA0q4iO7NAhgrsm+7t8YtENyoeDrfMVZLBCr Z0XU99r8ARFO8s9LLgPAdecDgUGj5gqzzqkzaBapPYoiyrYJe3DAudteChHblHVigWyLzwdyPcfy yEkaYrCHKlDrgXfphoZTIZbfil3S13Js0cj49MbJN9Iw6y6dtNiidAoFPh9xa8YzYeL1Wu7xHZo0 UiA8p+Rzy2R4rtzBe719k2L4khy4NBqTtlP30xaP0ilCMa+k/vVJWBZ91NqAjkZFpUj+hg2/KQGV Q5fGlASWLBSnwRXZxY1I50jlK3de7ckfEI0H6v/JBSqxdhalqO8NfWUAJxwNWNIFmdR5RaB69Qol dGqklmHjRWCLQwQg5F+BSELcITPCrKGDidTQRoCAuHnISfMxh06NuQlfkoov1hDIgB7g9z4nHZ+P ttKThASuQev5d3hHuTfIYSc0Fg+wHrO34KQTLo+0dLdSKNonghZG97ZYkKDX24Br51Y6WY7jKMCO A+Cfzl6SOZLfZw7NGgeVoNaUTfx0FeMT+i3O8QnS5vP/Sr0NbAnXi99OilreyJjPhxXToK1u3EiJ EUe8te6oyRz4NV5ZOnwNWyxI4LKdF7CSqwU5BsBbzvuWyYhEoxxHjIK06D6cQ8PGwWnlbKX5YliK AdQeGwJ78vkgEbjMbKbZm+SD/TuVotwb3/6c3NW80mbdfio1mVoWZSPbat3AsxFG6NIOlXjf2jdK 53jexJjK5xsCYIS9wWWxspM7fj7fe9m3DW44mVxNSvhzUZQqjJ55PW0e3bEi9rNtkslgpXekRek9 9YY3zXlDS+regdOBTcwJ82BhToCutL0Up96scrN63vnX8wZlCBYeW4OBBbqW6/c1Tq0KKvipKVPt 9nUjpLsMZLn1uKiOy+ADEN8P3fAcejeO/ccAfIBRcinaObRuhG/lKrU6s21fZ8I27D9pkOrN3Ni7 UaglpZZcbC9VQPU7+KmYUcPhYbPia4QJYzpZ92/+3e3b/o39NwXz4qK9tM62knI4e96BeyNSb08D SCppkuK6LYHQv3F3GKhw8kuT1G4QbpRxPj851W4eHqUo817ikCYNCNJPk7YhU1zFRHtP7NuWj6yg JhAK/qEENLAMHBydvXXSpLuouwTLCCWELetLRlBTf+PY8kbvr/0y79jCMQNoQqlm6iHs4sQSkPcM zw0hBsu8Qw9Hmjei2zZ98Spug5ddkUxPyF/AmIGGM/jkPHhP3IFl0vg7dHEkfXl3eB/bovoEfeIs n+o0+Hwkdpgf5xJ4FKgFbpRCpiTJnGtXe+FELqHyyOjTat1FJ09FKUy8lXGbU0HvF0tRz0egW7mo gNRgxbdIc4PmCZUccIZatkR5DH5KkFMSStnYANYi/FJKkChHlCr3UpR4lzsZIEGIPZN2na1z7OWo JlxRf+n8V3P5kTaSiUKCWjQ9TP/wR9/WZrpYCtAwGlCV0rDJrVCD9BTiGKrCVyVLkMg8U5d93b+1 KmHM5osllbXJcLyuNvl8dMk9pcQBCwKb80YpRJxsKkN29d6gJe4or5l79/bSdC5XDj0dMQZBj1IJ eL7k/zFxlG+cCJgOwcVRKC+MP7Bjv/wvZ+Kkbl83uOPkq9I1uWRyxy7c+flbCqwbnZPA1hEFzlMd kr6X7QekCH0dz4GKUXk1m3QIfzqfC2eWBAA24K8WpQhv0mRb2NReas/20gCkkhbO8J0hpfRkAkAR wBzgSzkkmw2sbt/2b7M4qnHZoiCtc+lQSeRkxYPPB/VJefZNyFgZ1/0hB9aOUrpehbL31Ifcc/pW SsWFaisOYwtSmHqrk2ugk77vbGCw7baFhMvnSQDfzulNLSb0Ccj99xcuLfu6wQvHWrc34ga52Ll0 jihmlSe97Hw+euGuDoBGdxw1/M6lGOsN8/mJzJ2ME01wM+Emnc1uhml6Dy60dxSxa1fiBrk4lBnk UsBN8cZ10LipSJIa/Dlgk5FJ7bdeZW/2dSMdRCafMjHkYpuJgHQW+Wvx+ai79NxMGdujjR8qJ7R4 RCUNOv02hXqep4TCDagCMUxp9dv3Dj0eOy1kzz22fVGYTjIDg3A4k5SZ0UGHnHKvzD9OHoWcvFwt RJY2/JQgF6BGfRcqvt+aOsG8k4dvTajkApdHnDBe7mrjdOrW3i5caPOIq2xWmreKW5Gz17saZcKU 5pQo3hQIfB5PvNsQq6L54lCKRn9i8nOg9VQHMXNAVwBxApGb5fXuIOU9Bz6PfnwvDj+0WL27IQj3 pVDm4vP/vOR4Chla/0YphHzDT3Du6jCB5Gqj/VwBw7JvkL88ShHke7kL3fDlvnODEisUkoJhVmW6 MHlwosOJ1rEVckMZU+D1mK2Qg9evL0ZjSlWjJviG8vngkmvPQq5icFWvf3oO3R6h1LGgV+WtyvnD UpireiH4xIMUZ9/SZZV7cb/uxfOc0wD7cDiwAIJJ4lgghTrl3YSV16VTqgkX2j1WSftK7oZ/CZYV kHFpsjiCHDJ/QDCQa8+uAKwuW7pSQDlwfEQzAhbP0j6mTomJtcI5Y3mr8hRJ3hSILR8lq8kCRItH 6eRI55imHvs8eUAiLPbkvWDmI4EAvuza03T7ttGkifLSlXrsXLSVTkohUeQyu56PCF9PIDPxK9zI FqQI7o0CfRaX8keXzOUjs+feYPBkn+3Gro9TNKU0ffF8qeBYU09gUd6D6ogt8yA6v9omloJnN5D1 +pAIUinOFw1El1CUyTDXutsbMPGh7eO8rDieP9jDtf12UpR6432bTQpbSL0FONlMvZM21XlsTFMr yYHxI3bSFpYy+/IbDQwkkklKtjPDEgc6CidNIRAy5x/iRG7hObR+pA/hScAIjeeiajclDLN40KTK 54OGbnpGaRAreEUkc2D+CMD/IAxOAVnJQd8gfplmABxWtyNOAvfHK5I4KTqx58NTfa5TP/TGKC2Y HajaxURpUl0ypQdbJ9nX/Zt2EmpAXyxdqnSTxgtX9XwEXqqPsxuKGifzT78wRX1vkNbPhWojASRn 08NEDSSGaSM7sDBFkJNK6ejMM0eLT1DQMSlTor/rvA1Z/n0YTDV0y6FC+IdGWQ5MIEUHweQ7VV90 LKVTAOoSnxhZBi6QGA48yzjMedu8N9znJVdq5yP/H+pyOF3YqWSGEZMmw9mpOupZ41R6yZTOj3FZ 5EI5Vi0+GZj1vGQ4TcBnmtDd1sGNvzaQigWXkST+F616X/IkflOp3E1CCrQoPq1ZsFpbePq/osMv uA2Qc240tVe+jeIeSjuC4BAFnzXO3YQGdIcGsGSg39ESzgRHdhAcdt7Old/u4uC3vM8tMGh3BPGt nDn2Jea0wZ03g0Pu0REI/jU6J4vUxHcT3c3Ferg5i+lVC3q4r9GBm1S26KiTqbwsW3hekiM+hTP2 7HqT2cLecYAJJXvUJ1l0ukB4XlIj7R2SFAvsnWzx0Rs2zFys/6EcAxQcqTuQ0wSEpfzkSiZx3S+J Eb9pYSPp1CvdF4vO2apsloFN/nnJihTb7dGR0huSMjFy6HEfbR6gDjiwMH+RsRz3Xk3ihf4i1kV6 SYn4Y2ifBn5b8eVm1wCogjcOFVKonSHFxIybmk4ZLRKPDvsFn5eEiB+ROURak73LheSNuwA2q009 ACDqPy/5kP2i1cJDFEDSNNGi85IN6dzhRSInGnAntjW4UfHvaSljuhoTL7mQXi3pA0yJI84rjnhO BYBKKcd+8sVzaCshqBgYn781DHxwkEyxclnIvqRCOngojNOHythxRclAYbPR9kmqXxIhPV2LRafa IXVqfQ7aEJ6XPEgv5ETzm8xoOa15139kiU2gUdsghyPb0CA8dLo8PyU3X/zkOWlv7YWSKQmgjcGT 5ySy54+N2WOuV429JCbULzmQ/SVlvsp0Wo133u5wXJYtyMkePy8ZkDZPa/5uKZQTsn/+br0kQDrN AblNtBqWuZFdVZls7Dv2726aEYVHKtqb4GQuFh5g5UrBn4la9ftUx+h3N6DTSMfIECHz0lV2B9HL JVNnKiruy+2BHdwQhxLA0M9L6mMHl4eHZzgdKca26LzkPTqXKxg30DGRefrVImsj2bt13lDCFCjv G57LfLfkfJ1+ztcDDU20hDgwKgU9GfZloQkFgbtzTM6rED0pkvSS9NgGZXt657sYNhIDELO7yp+X lMe+YXucPLQrnhSRovpZ9Gqd/QoLJTuXZ7lTxyalaHzWwA3KV+ul02jpCDuNk4xaLp4TZgCD+lQL DT3IQj0AvGETqiQF+BoHsjV91SA6lJotW/OP616P5nFKutQLnn6PjmsmNI1lSeMg1Znheekxar9u Umy2CdntPhx2NGo3/SjoU7sIWXQw651qEm5p/dfvQK3V2dSfGADB1hb1FwQiiK4qxJsZgI2ORi/9 Rf0JtsRYf4sNPiAw0bwn9NJdtGvr+WqhOm8Y6VhGGOXLwGMtNWKIf2gjOf5BxxHxD8vltd7IbJYT crBfxB6RWrwG++sklAO767xcoG916o1WwMHoTJDJYzTR2iYBgGj/cJPNTtYIF8MN1ZRYXJ4fCvZo 9HoZaaSphgc0kwA6RShKmsHEnz11ofsmTbJNCbFZMlRQ0/hUP8yadXcVCpDlsn8vGE5kwGY4POsQ wB3cQRW9A4zJGvAcmsRSf/nzxmPTbm8aj9E/YuXkl9c56EXcb7ny8SBvTh4hfLsFKD3Pe0UoyptR AZ+beVuEZq73BALxVScQlNUcQxNEaEt3HQrQttgB3c/7W6pSQ/SqRycDmQr+pgydblmh4j3KnAV3 mCVnXxSgTIUT3kkgHr2nziDv70eAgKLrpDTbfDw6ohuG06MlH1O7ht1oo16XjHxfsih3Vh/xlCB3 8UPofJNTfRZz4m3wjUHhlVh4oV3S+JLZDZb0ZYPKi01XkBB8MarIghYiD2nAQ8PsefTHMQSXjPOe euX1Rl+zlwNox2W5zqrtdlw5/+NLVlJLHqH3/Bki5Th/2NKzRRFa8xyowNxRxA4yfIlVWpKtLrYO zkoCh9Gb03eNTiGBHbKgWIiT9lCFjAAdCc+79nnjrlkGNR97KKOOapR7UIiiFLqPjFbVcEVWCsnJ SKTXeoEz1fut7zn0LcB6Hb7YJjopHGhfme3WoXBxOEsfGtjcXthMpgrj5425pjdZAvWbgA4uqk8T XjMphpfyeSOu8Su2Up4VGDK7Ies5Rug9i1YKM3sy1vop40wlCZ9b3RIckqzWH4vSaHmmc+5li99l HWRakq3HPg+CqK12rLgOX0oRmL+jyH1RGt14UIPd7YsSxbIL3dbR7NqfN9KanfP9mSmeW6z131sW JdIg5K0iB0zo2TXSRdmNls3sov765axFmTSH9x1pmy+OAwGtizILRc7Og1qanTp/aExnDj1NBWFv fdn3CFHPDcC07IsilKCMK3O+Pj9vjDX7ju3Z5CigqKCbaSF6z6ZxB0GHdSwTz05pu638HubcUzBE 9lZ0lE5DtKGfN4djMi52EOVz8pw8mGYrsKAbvZlV5gnDJhbzSiHaUOM9nc6WDgHn7oveMiTr0lrp ULCJ8umd8iMdogHmXF6LvXHVdAx1tsa6zufzm0zXQhqUo+ZLtsDiUIDihBqXWJVPRv35ZJxb4OxQ wkpxUg+R19FhRUYLdSEW6DYam1PfNQgQ5EFOZVGWL4I4Qog/C7WIUXSUUQsS7T3WDkYFUdxqIkYZ NUQaT41qwwwoORrnsWEip1tt95XM5OCNpfaoOCidZYudQuvc8aumJWZRh5IdW9BJ8A3yV69qDa7v zxtJTVuAQiO7sOXBxYr5c3oxoYZ41+eNo2bv2H7sIFh505PRAhQl1GgYw3JxWZu1bhPO7tchlG1W K+ff+GnWFpCRyMq+eLqIihKjI2ZD4DMCun6uRgDZcdcDU36bQYTvv/HT7E6hYI1ailz0d+8bByUj 1ObnjZ6mYz49IzR5H6YboSihPkc/e2SqVGEk4vOw4r0PUGWWqde9kdOsV6dao1ZfLEIJ1pSy9oPt H7yZMDGDJ+YpykAqgR2fQ9HoAvHGTXukQ6VKFaKmB7V4csxaz+b9vDHT9B3HeBZlOFh//bI3Ytqj n1h7tYkhoDzWMCN4U/1EWa0gQlFGTbWjs2klXPuzyUApfy6zrp7QPJUGbWsAHIfOKUkq/QeJobrI Gy3N6npqQRAircUmPSBf8y07xdnnjZX2fwr7LHYWRijznkNRSg2dw3MANhNg6fzlWLembY5P+eRp y0AMb6Q0e8nl/9DuYpvoXKkEMjAbAvIMLEWImQKzBvWj3MuVPBKV6I2UZiUkwQuNx/q8vbkEVaWi hDHNzxslTbftem4ilNK6FRWhuCkNQnHq2+tWoxYDzjIcij6agxnfCGmKkNgMEvXNP1HfSfbHBsaG 6uutMZZQZJswwERXGle4Ne1xPX3eCGmWUEgRui9fDHwGqTe1C+f6vPHRbKN7ZW8T8ZPST6853uho lmEAJOTMobnpE8TXbCYH64M46BF6z6hRuL5Dqsa54QHML5wYnitn0remVUzGJqC7mTazPhNb+rLv B5GZrajC7xdskyC5rXzvXASfNzKaHbrleVQj26D2nSIUpdSAIZ0L2fzAYagzvHBN13puA1SvCL2n 1O6KVanKqsVve7TkYAhOs5Vz6gxS0VZjHxQVz7iUD5zc/IwoQMIt8C5D2GXmDBHXVDl/7idr/7wx 0ew68aIj6x9jBlQ9pX4jollCBNGVcq1EUl3eQCumvwL9imUj+TcemnWPcQIVG82vKydykkT8Bmty E8HytwvGTy9UTg7Zvlf/rA191yilFmqR6r5cdFSv83OT+WT0zxsLTY/P5zkko+t+AxSl1LhFwEU3 RbHRqiuKbTqasn/WWrbmxxsF7XYIOqHSvnjzA/adSjkHrBJKYVm2CasEWJtlqDLGSUPwNwKa9hD/ 1ZDKEReryk6ezgKkwTfsjX9msIU7mMe/7UwyvG59o58pi+qd00O9ZCcBNiVWkPSy+/ACPGej5zil ZmRIU+ymDmNF2TmT95Y8BrQOBFxgcQM7xi9G5p4OAT7xeSOfacLFfwUYli82mu/mtFLPRfx5455d bIXiITvRDfabn9NvzLM7mz/n9HIG+kg+Xq3kCDJAK7mcwRvxzAKEyAAP7IsCdE5ojKNlrAYfxj7Y PqtUqdiEFa8vR6xgwRR91wi7QG/jUe+iHQQw/5JZz8nY3mhnduB69yzLcxfFDWFJClGUU2OmN8XL JNZcaKDOunW79NNCJ40v2RvtTC8Am6+18hTi4hhqKrVBRwVw5TJy0xC/8H8pSQaYjlYc+q5BWcbj Z2vUuq+xWsNJpyZ17vyKwTnd/K6XSMSE9KpPgt4oZwrQBqpDXGqCFrezqtcgrarQh7eYruEb48y6 Z3q7uIe42Eu20Tc571aXS0aBVy5esoK8GN2rVfM9hSiI8cY3s5qDqTRbXmdBisCbDKKSlYf2TLl8 3vhmCnB+zjlost0ueuqNbaanKnIgSuByVob6ybZQmtW20IYupSIUNakTx/QYQfviEapnl85elmD4 bVlWAL+ujAvsNj5YKH/eiGaPMTRuEl8MXQ43AGlizfl545lZOv2sWsmgqhc99UYzs6sB8qGcSkjg ITuVOtfp2PKGICo8UYM6EZ1YVd7XX4N6ofd6Lhnr4bcKFiYlICj4CB3cdZGuncL0bxwzXZXMBiqF vrQYOBFiJ/wFR6+fN4qZ7Z8nRIgWs8RXK0BRNo3W+pJey390oQ27xqDJ4CgPvq88peP+NPkbpvm4 ykXBwCtXjojIFWntK/wdgZ6DvlLrcqeIBX4jmN3eGdBTQppNk908uXQlhxaBxh/xPZvGZvBjWlPH gZLQK7I3epllQhsTVvN9wKzV+JxQMTfSy27baa9v7LLHPZabjCAas/fJiiyfXB1OKKTglVNykK+P 1hzKxsQ7wMt6NrY/b+Qy62NJU5XU4An1C85uIAiB6OP6PmfE541cdtu3v1xosTTs9xCKsmmAEudY zXKhNq4N75AYHbJpyIFbiMIGNb2uqSdsyx0DbZRlLMkWbsxJ4ewJOAqxQvPRwi9Z3zU6ppksZtnz gaZB9B0YfF20/DEznw9esz2fBQeOpdan12RvtDILbMFdYm/XOZCKMxOWxI0n4eTZarI3Vpm+vppD m3qhovBbcwh5RC1NIGBYilAAq8JjbBBlgPml76JtXzYYBBFI1WhMq8XaZ7B9k4nT2p83Tpl9x/E4 idCBgbiLgxXDhHpDqp+i4hQvlHIDhwAtDxsE0QynEK0YJ9ScJTa+bqVdbd5xyrGTP1bh7c/2AtkV EYJ6CVRn2IXyXTSo1P9GKDNQAt8zmhxjkVYoLEIh3s4suc7PG5/MXtPnZZah7dvWbX280cl0C8E0 DBUAFdPOG8fEp1JkBhte7TMOqdBhfGOTWdXKrhAl0rQ4kjxh/kOnFuDzALsGcaPRZwVEdvTRfJjY ir5r9J7xugdK3xYhOmuSMOQX2n+fNy7Z7T388kVeD/We1W9UMttDmEdTY/Q/0L3gX5XkzSIq4v9n 7EuTY+lxJP/nWWRl3Je5/8GG7g4wUlUBvbaxMX7d/UKZohggFl+wHxm/gM5Q1KSmuB6Ug5svF01+ 0qo2DDl0yrNZxGxhBGwsy9PVLkYx8HljkukQVOnK0JmPi3YIEvuU16tz8/Goeza+8kXQtmFP7jv0 nlED1ZlJZpH+3vkADMPIAoaKJ08TjHhJCO78OdFbxraZ6BrloWucDOLknat19hdTO+8UHdOhsf4z YACcG4ndEi4mG+GNRWb3PQV4xG3hYqhgWt3wvj8Z9RuJTI//esusj+sT+zcOWXOoPAoBM3cs2zHl 2KF9YR9elL1RyL6Hiew8a/HCHnpgs7I1NMs4NY3kQjbO98TlmFg8cIcWHcHfKGTtAd23QlV+Lg66 32Z3XVv7vDHIdART+b7uMbKvyZPqNwKZ/VmgRcDOAbGv2wcdxJDZsKyxgYq77I0/phPMOavUK7T4 GToxCFIfSePWUaB4B42+Qlnn+kNWuLmGIaP/vLHHtEG87peA5deFFPYvQIex6tjj80Yeu1XvV9WB 2i7duv6NO2YdJcTp5hKzVFkz2Qb35oVL4DZMwxt1zI4Q5T0pSKDFq44TmHcTqQU4wBNTGbCoA4Cc tj3ul529oTfiWHN0/9mZTjFeLjpBJ4XIgpbvwseDE1S/m2c0TKfdiDYoyqkBAlxGQmD94XOgkUu+ VUed/o7FOTXLsin19Pmop5ez/WXStWnAnwc1GxENgJPjisdN4UGIAM83zpjVVVkjsu2LThDmJJyU tXbq1jfKmA3sb/sVzyECVvih2A6FKTUhwpVOTmCOr6aWGXaIFDsKzFPviHE6hFBTM/0kO8UXj0Iz EWyod+zkRTLI2GAn1II/IQKBzB0nvjo+I7jqsyRkpPW43HcOmMhGA5UKAbAWZdRIjb+uemSlD8K8 hQl1ocDlNim5PdJ0gGdxan3OhEvwCEUN6kRdq3Neqi+WC1EXZXJKcopYlCNT6l+k2KLxndf1LrQw HSXUi+kiVMV9sZds9LlEWSkDj0fYMx9ySClsgaXgUahHCTUmDifd7dqMeQdCJ1ssnJlRogEEdPFb 3hNq7JBaQ+yucrEjBOjisl4iPAYRmDjUbySME4fg+TRFEPEZwayVryIsU3yx7mKmNhk6hqPi8YDC cdljVUGd+NdbuPYoocaQ/MQh1yNcrXkDtlbyFNg9g9iZtiiCfQziPeCe5ot3z2A3UuTId/LGlvY2 fFpHE3T/EF2lomxSxKJHPeqqTJpW8Fq0RfUki8yM6gmYeDwalP3CnqFEP8WRgxp63KJG776ZlvVJ t7Yhh0bhXUFQQ4eJAK+yHrWoDZ63NJZeV2TvROc1QfAkPK9Bwondj4o/yqROdM1XNIZ+L/iQKF8U CZ/lK2asEjWBh59mw+fXbgXPByTEVb/LMlBTQVnyPYpZiJVwD9OyWkJ7kgOd1nR8HuRYCPzoUU6N qAcMNREyyaNEoSN0OwEOLxosF9A8Ep8DLG129/uXVzEFLHqUUxfdY4VofC52nZ07RlZVJ1Lg8SBY XzR+Mc9VID29/dEjKuKog7ZyLswgLMOCpES/RE2I5avs6DHwg9GanoVa7nXWzqGa6sMC8pwZraFD 9EPlJkLIDcLILmOPGtUaJ24LSYCrDzWI1uQgEiyfgseDHZp+iAi7xtdIt7TvYU5NUYbaDIAGNN2P u17WbBBGaoZpg0I24uDOpNp98essQ9N67CXJT+ilA4DWyRWHghgxYBaJ8In4jOC+l6T13tUXtWFL m3L8O7VLxePBWzYdwihtD+T0FxjTw0b1iVWrogUoXaZO+apCeRp6oywWrlBVYqgOc+ohOQZiOrh4 aQ+270mzgK4CJLxgqEIiFdoeg0XDJQUxycGHRO0hNtCoM6FFhWuahhGANA8ej/BnHqvx2KTWUb9h 6D2pPonq3ATGZHaHFjU2bIegSVbIpJ9wGqaJY49gHyrL6IBhi5OCWq4A0zFUn2tzLNqENOhRdnDK wQ020h1HOviM6DKjRpxU0UfzBst5WymzicssTzz+L0Zrk90jKud9Cb8RkBoCSFMqPbC5PJHObAhO Tbm2tYcSuMh6ySLUB8xn0fWgpUWpbPpWgvH3SYkqGMt0/qgDEKeOUmT+nC2Ds+y8GjpUocCH/KnF IPXhedWHqSQqDOI5v3g8OEPzm1iW2Rri30ZbFKbVYNR3mcZt6MXmYlvEFyFT/iwNOjXgNYv61Mh4 GYCyL44/ox018Wdjn99kSEeuQSNoADCbKSSskWunbnyPgB/iA63C+oOLzYPOH1rImIXXLGQn1vmd EuVEx79yidFRYo1jvs6fp1n1ukb1nIgKfpJiwqFk1jiiTvUkP7EO8uvr2B6rIckIrW+TZz67NeSh wmoN4rR9lMdlJ+u7/jkQ0pHjYrH63MVMi2ETj8eDxPrOgwSIwEW/vPIYUVqN+nada1YKQ6vcy+xk qrNZQgR7TaOOh31qiXpMlRWE8usQLSBiFqG0GMDOTipF6yzmUPFATecqeiFTwYdEygzYobrYa6wT x1ADIbiIUPhpNGxR2Kle86sDApnYtu51NmI4NRQZ3CMGMJjizClCEQU4L9laRCPqVBOvAFSQChCe IeaD8MiqkNZn5QHZW2H32Z2BAjvfGrvvz8Ef+IwI5ikErEh4jRQNpscnqaAUwanXOh6Phh2/Nggy NRBdsA0KoR8dsbpMQ+g1x1XT0nJbxghVAnvJoqR6qf9KWdNexUHhBnVs0AZ3FS8ZNBenzBsQUjlH Q7Vu1/2J6AOf8ddM8Yp7OHEK6DMZeLfzduPxCExdvjNGvKpU4NEGRSk1TNxAgnYAmpSqJ2VPk3dh d/LKbEQp9dRltmryxTNGzFknSMS01EEqzKEr7MBwI/3QLM6nQfil8SFxGGoQlZlccLNu9WHZdMSv nUvG89EZ+m4z0omNkiHaoiipxpwGwhLLiVPVPaxIbdJIscBxh4XZiJLqZT6f5S4+L6tpn0JYTvK1 JvbwO2oaQBrQqO4Xo7fJsx8hlprZdF2j+WIRt3JkSaL9xONRFPpOGUmcWs2baCPEUk/QpahgTCy1 UGe0Tdw9WXXPKkJnKMJSi0AuFzgtfpOd2/yUr0vqS2k2yaHSEbqC/MFE0MZlRHmOUOSj0jEFurG2 qIe2kboL5dkHHg/yoVW+NqgQOnTpmyNqVGNMf9IEMxmA4IcLMA/OP9nJ79lxwiNqVE81qgnhxMKK kicI3Dsg+tmGRZHPK2zT5RueSLPfDcIFmPEZUTZEZhnCmC3aIBSxpAWVEzjxeBSFftHIK0kvNwpF KTVS4fNWl2F1axkuvtzEf0HdOtB61AaFKbWqjT2KLz61z/MUYlkj6VP/0oMZUYheHuhGFDaqxVfQ EQpT6i7iVJWx7tg/Fjp6h1YMg+9eeD4o7X+R74ClhKK1b1GYUjd1qlV1rNyLOcWPgjGNvCt7y8ZO HGGnOlOTctPWi4vRJmBQOs7vQG4ZdLeRtJ/9h/sT/k9ohCfv5e9tXzZoodFnsI66fTFKB1pZzBdn KXg86uXfqoOdAPQb2ri96hn2qisr1pkM/MGcwVTgxoXHUG0Bldn8WyOvVmXW9Xp6TWp+zM1xx4lz mT5q9GgAZQE5Qr0TMxaI+IygdpW++SL2g4tVHW1WpjOn9mh4PNii9o3Hl1L/TalnlFKjATp7IkAY mvCUt8YGIWG5pWvz9scMO9UikKeWfXHCAmC0mQCksU8Zm0lDa5AShi8bXpVx27Boq+Iz/qpclzrV 63aqV7G3ABtU8Pi/0iEl3w2CXt5hnKHgBwpXSG2oCas/hYj2eyloq72gt2zG/ESCYqr8zurjd3ai bwJ8rysQnZtdtCBw90cFp5JS+cZxpRbB/LtR/d9yZydJRzeL3YS9Kh6PUHrfLUZKZ9OvRzsUZdR4 I89lb8Sp8w3LJU5Jt4HEKYgJa4fiNrWar6rwR7ok4JMlQkuHAsLnj30yx8WJWWUbDNBwjDDMg7Ev fde/kR/EwnIx3hTk7JgNzZTxeDC2v80PaQ3jCF25vBll1Bg5ADih5Hk9bgtQLVaaXfCcEThnlFED B4RLbBdfHAuLXBSlN5vUrZZJs4VB/Nek7VK/LUbSbPAhwUvGsXTrdfliLcaMMISXrPSKx4ORYvtm lkESxbzguENxl/qxx8F/0VVFVWsziyH4Vrnd6YyQH0ILA1Hji3eHau27Jok1nP/hvGes6DFn6Lg4 V3lMmOm8OKOEunbhzUv1RRsE2hKBCSeyDTwe9WC/u9QYqrSvMB0hP1AbIDhvm3OkbUoEbVFHikT7 jX6nNijqUq+q1qLoZfUi9NByHZD2H06bon5+QwKAqhWesA9JmpKLM0qo09AISBRgjVApGZMzGd0/ IEPg8X+V9fW2hoonQzPkJkJWGcSsH5Gk2UBgayhV09dBhrcNbz5D4TxdYI0jMy52ggANy1QcR5iG ppWVZOcNhmXyD4ee3sXf+q4RbUqMO6p9cFFJtkikZO9s8/HgBO07TUy89JCwdq/JZoT8QFdypf0g 8r2uP6cqbSeW7WGaOjPKqAcpd7Sgt+WyNzFjXewMnVPZ96CH90SvRV6XtLa1JjXx5jPKqFsSb5NO 8tXVHSGyNa2Pv3rH4xF66FsxGN3fmq8+3Ay5iefIn9iTpJR3ipSL0EsaoCEKAZ1gL1ks94Gt4c1g i+9QP7cgfLWJHkJmxHiVoP9QEXY6hZmkTEkr+Bnq50kxhv6/Wqw+P5FB0pSnaMHj/8ynf4zUUa62 14qy6UyLc9JnaRtUTTomNymyqGpF1ssjtCLkxzBQzB6+3JuebWk5UKFMBDwJxkLygj5HaF48vjS5 V9igTng10qJGCBedoHO3SA8FyFE8HnETvznkmTKF6cpThg3qSeKdCTCtxDyY91hZ3Xtn8GK2DQqz 6SFZuD598aoVxri4z8h6WXKRxjg6X3W4G6aBSeNn/A0THoIJw63NAu7K0mM8N2bB8xEAzcMQEbPI COYd2K9Qdxr+wYnCosJ9NI9CZQx3Met0I+UOxbgPg7/OBwXbiNA71S+aZ5T7gMV1naY5tICxRDJ/ 4zTkPBo+I2qdKRUiQIaLjhAEGFWzgv+7wmy6f6dCqBsqVWe1QVE2DUYcvEqqD4Ec4zkS/6BS+4CQ sDYoBFIPiU7v7osTOs5fHLJVNgRCQKs0LaGvHaZUD7NsEn62wv40/xVsuHxxjCch4wTdVTweBKHb GWLPtgyOObw1tKJ0GtTJKfYIfSYKFWM2yZszWS60JM59wvQKqYnUyCXQ1xbfoQ6VwS4785N29kXZ qnNPVrxfExNev8foDorPiHpn2hoC9LgonMBdVxqVJ4HA41EuVL/qDaJgv6JQlE2LzkEZVTiZN2GD KX0mdzdou1cIpLHpsWKtD+rADsKEuXjJyu5AQzIFOcbz79j0KGCAT0r+MUab6yThZysCfSxmixPw XFuMz9FInyS1aODxCFrVv14yaHp9KVmsKJ1eAH1kSVQB/kHNfWWLsgYi6APzVx2hMJ3WRZZ1keV7 kUGk4WR5m+3Xc9+UoqK+UzYGrZ3MLFl9IcCT+GX/wg1B31tLN06QJ24/CEh4PLrJvketlUjqq6iz onwa2fsiAobNxRNA7CUbDZFFzcV92x4rRFIPGSqn5Yu9ZDUBXQUBOLKmwICZZCeyyD3p7Mjpgj7m 0Hf9Kxei9LktyQqOtpb1hfgV318yfKmvcT2EAiHw7TsUIqnhezeotkIlCwlTUupjXkWdsn0YveJ8 OosMVH3xmrWhKdEJHErQAkT34bxlKBcHWTrjOnMXOrPgQwKhUxZjS75Jy32TEAV6LYK6rIrHIynz bwAjG3AQ4LAdChvUYE2t7vTNvpNPEtPILn7WkE5qh8J8muOfNESeur3jjl4H3Pqo5gfxMzMoYasF EOIfEDpvOjSGvuyf6RB1TLQYa2otCmpjtMPHg8t+exxiLxo73PeVYNxRRk384moGVfSijJI6tV61 DyRX3KId9aeH9Jikhp8fNfxZAaOidbJoU/Dixl2GKX1XJn2dJuQ1vaOMGndHRWZVfdFvtaCvptgy +HjQO2vfEowFzI9+06H9nlFDtQ/p0Da3gLPL2/PFrvYrzYFrNST1DtU+OgX0aJ6oxUetpyo92ZYw sAXZI5l3BQ0iwhozkni/yyiIv0MoNTv4iOi+WAcf2HP+hmsPPB6Jvn9PygrdwIdH6h0iqUFTXtO0 zIG2dc3uVkwJ/vyNhmuf7b8z6lLrXW5rSI4K7C5C+SyT0XHuBNhgU1GnXUwMWoT4jKh3xp6QSTHu 4VVrS4a5hVoxHg86H7fkYFlPB4xLTdxRRo1y8hQcLtk9SJ+UpUKd0rdAvrgMVrVDxEdhayinu/gM 6MTkU2LOKnG4Tbsz5IsT9FvwutNDm5q8y3aUUm9TY5K3QjG5WZKydIRQEuPxSIPxW4qAZSvcE22H QhR14hEyiwD8tYfRFdCsNs5LAoBEOxRTE9kaKv0u3viAPFBPokhXCFZy5rjY68DdRNq8Nc/wGD4j wsQoXxQNuNwjNM5+S67jFPZ4PFI++xWFBob+F5m3Q/W8/kgwUu5juah5VneRch/jHqEQ8EHV99rJ fKlX1P+kaDATyq1Kq6E2pIxkJk403HmJPR6JdAXbUX86od2+a+JucrHOEBSwWYme/yUejzSHync2 BBsyNt5th0I9atb1I/tFNu2Ch0hlM12vXFBeaodC9TxZBuzaffGibMPSCBMbdBfP5YhpO0xvIS9F 1Nu+vDIIG/O7/sXd3LRj02JHCCa65cfmiDtuUF9SkBptGYgK7y7uUO8jw89WtkD4L1YLok1tMu+q 9D7cnCOEfJA83tiT12I7tCuEntjAHRim7GY+HnQsOtEHTQudIZYj/K5Buoi5DXxKqi9G5jiJYDPm 3cDjwUU2v3XxWdqh2rQNChvUcL2eIChygybFMBmFMHE30tRAGkdq4o5R1ITkGXboy3wCal4SgkN3 8fwliqoSTKALanOgQRxVtae+65+iQ12kqe6j6JE6Pc9ZZ/HxSMnil3PSapQ/u3E6Sqghl7BEvMVL hmvdXrJKqLsMTNAE0RGKEB9Td7wGieUZJE76HpyDWvWSncSbN9kiBZMCjLjALRfikGPHWh8Ewyza a3LRkAPmlOLSnX+Bx/+lDSdMEz5nX3nBnKKEulHFU04TyBwvngGAj8ESFsNoIOtoQppCuY/OzjP0 Rm25w9ay4LFAj6A1Zx5swVJQdyDygI19gUOUZsKnBARO8RVg+w2+AvJ7DctO0U+mIH7y5A+Imozf KVEnl/KO7JWjvL9rmWQgGSHOnqbB8U8ArLJtLXg9XNk8xXl1IYJcb1J5tglKoBV/a7qUFXA4M7fp fEWS5gqmsdeFNNvX/UuqckxCqrkI1zBbl3rD+bM3Ph/UHpf4QnFUCPvWed+3nN5za9QsGLYOc/uF xrMDPc+v062T1iY1YblNf8Op0yDinIs3is7pOa9E1zbRxoOWQVBOHsCjZuyVoz9kQ5pC+AflGuDb wNE0fc15mloahW/5uRgWf0CA9sy/lazwll6BakGOXx87HwNpDmmbn/8yvBVAlHNbO23PbGMP2gz+ MfdIaWZfbJsqnFpprgLOEVzo6bSdUbcN+hVDksUzyLLt6/7VlAVa1JcLcWi86mqGP3IK+9bP8Az/ emcASPe1DkpRmp16ZvjuFpuIdWZsKgI6TurnUrmy6wdFtiamk9998W3qDRjYvK1lVBI9lAvo8idY UTVweMuIppb8lOilI2Q400aai2FlTt3UBSaC5UIKoSD5uzVL9vZk19O2KWxfD0rsTLUeJ8DuJrbT BhnT2JRK8J9O09/966wxY/4aM6Y5wP4dbIqcBGEh8YZWdUcxC8hVrekWbMwE8CkR5Yz0hcHan4tO U0cTsbG1ljaff9+metuPMp3GFLg9oSnUAoGgd/F+Ps1InFDVt9B8ECJ3YmdOUcY9FcEnq1sunnGf YM1BBeGfJ/RRc7fB++dn0iowfZkvkIePT4nKNkLSpGLJxdr8pWyRxubufD7q83/rfLbJofVzlkJB EBYGm+zOjNEfmuH/EbwbSCUB0VFZWwCPbWAIn16l+mIg2RP8M1ieiQ22WTYbKOfLwRoDNhU7u5NQ KdW/bcShZhpAsXIs6vSjOdEaPTjODdv4fITf82tOCjSVBJG7SWHijV5/6m5IlWjjK9zDNqvFklk8 mi9eJApSOY0tOVVfLjQEVkSwdkB5iy4k+YsTShcNlDrmLKazJ6/kFMpWExOS986+qMmG2oeZM8Id nw/et3qjN144iDkNoFd9l6LkG2QNetcZOoTzMPbZJiXM1SQBUNh2KWxnC/SR1M5Ot509YcvZFoI7 lGUyrBYIlUWv//whT0KAl4hkIKLV9W2DPhL5eYNllxbDyiaNm85uM68M/WAuCiuLSoWQxItT2xSa LILo5uogZ5tyc5H4tiVqjTK379TcQjAEiYj0ITG5+ojJQWyv7WYsxnMN1ErGOV6YUyOcCLIv0ROW AfZtI0K1OPk9+6JtAl0ia3QE6+3QZnFcn06Sd9A8aRRLsl2K8u8mmt40wwpaqpu8Ll8byqCva2Sa A6dFNDcJTG+SqG5XRAWmJ8BvTA2xK9TleN4wDC5rkWLwAPqSfdtgNkLPL7RHfFEtd5J8Df3bCYh8 PpKQ/cXMBx4s1ecohdl3Qbm7hhoCK2uO3Uj35KnCDHIUdra7flA0puUZGiaJfj28zm1NWjYFwVDF E85JHWtsElCkbG2LRlT820ZUNPyzOtT8H/yVKZnm8vQY7vH5qPvvR4lXPv4y4BL4JkXCe6BmLlUU FHQaNhXBdLhb1wQdlOGbFObeHI30SpY+F3vf1oCrfSX5fFZwYrNszSsx/VWbZJpXHGXnwHBR+pbt bPlcvvAkoXXKKouNIj4fpQHzK6ekp/K+LBC6awfzfnjkFYs/s8/uatapXz2ehLrdXreQ1EizxZp3 8sU26fwuTSZmQNVAnz9TfI+cBTR66a9jBUqXX14OlUIIxdkCq+/ZDUF7DifsZnmUYNyZo8y7zG91 OXhOIS25uxSJWqN7MGdy1aLZiiTTMgjAyRtwLEt0lMLEmwDImlb3xYdtG4BgHNFzlCYuB460l1zL QH9vT1CyTYrybrN/lXwRF0NngTvFKq71yueDYVL6DkpoS+R9JwE5NF6cDErVmiQwkdxexG1qXhY2 mHA7MaMMnRelZJCkqJIeRZVT9Z/ID0lrpAEb1z8JxAUiUh2wp0KeqnP3in3diPBA1AgtC7TY4L+P 1qSOXjaf/5f6NzGTRFP2mywF7otouAA+0ruKf5guD4ePUCsO/aXzxvlcOwf2i5k4TEgWCKuV+92l XAHpw1tGzgNE24kfWWxqniOPXsLVMqj2baP7jSKF9AHTom7uyad7kvGZfckI0/+N9EMXr60vs+U4 74Z7HhkrwkdUg/qBHOVc/fNqDN+kmOS4VLt1X7y7BOnpwl/8lHCgqRGGhATsFLp0h9t3Mgn9X31K oCNPhScC3m2xxHuxY4wCaGU+H1UnF2fDY4Pe+eNEkEMXRrxrs0uoEO46eXoTrnQDARYkOMPfuBhH InLaszi2nxpGUlM7xc/5/4mWwsRQgj68SOLT9G0l+7aRcip7cFQ90qJcCeOHKgX92fh8UJ5czw/8 Y7QwQHTyTQqdGNFnO1kr/pVM4lpyRFtbzn9oQCtqk0IrxsW0myIytthZGpwkTRnCrhNLFkeUvFVp BdL7VeUToi2HXoyTbQBSnblkg7QNnHwRh3CUAjNGFMq/eFjn+ZYeO8/QjRED2gUeE7sBC2mRDweA dFU3YK7lhqehHeMYpkKTfLlwG0zhYC4JoZ5zx6UpuA2keOF61J6TtORNHdox0mVhYybpi1om8xwm 1Sbnz8HnI3jtt70Oh57rqXNjQ8aBMZzYauCGbpM1Ojck0PcaDSy4uigqBY6MEjymruPwxdtvMI+e U2LXAGs1IpALpnyDOviFJOyhQjfZ143abwxHEgmdCqn4mJPCF7Lqf/r5BP6AKO/uv244aE5djG0O XBkFTJqNMGdsUynbhfcNso18YsqniWcpBmqz8dYVvPsTvBcsTCYRkvPUhaOA+YJi4rxnG/ipkstT 6JK0lmNfRnp/jyaXgjasOtlwSCZOA0xPPv8vRg33NPNyvdphOXRm3C1R59F6S7mZ/AOYfW1a14TQ b2XegTWj+3vSjdgW36aCbHgnqYWe8Dw5GlgUTMdogH9NG3tT9ynH1owsdKHyywUtUXEizv8b/MjR YAgfmDOmrw6cZwK1XoRSDt0ZgTk7X7w7egJjDcOXTDe6yISe2y7FiiIcAA7qQcjNVO/cuYpAOBpD 8ljw30G6NJBmshs3mveWTOkxh/aM8mrChNsXu+Ig48bAdP64fD46S/7G4TlE3i+OaA4NGkGyO1uz fPo9sgNuYWxpm1TnuJsUZd6ozVERt+KLFXGwbAJzYJLMf/Zod/ntovkFxGShHGb/uSafOXRoJHwZ fvDTF++ZnNxQhnB18/ko8f7lFZsgcPPUcKFHI6LZrLR/JsqEg2uhTOb09hth0bZJsQQ22dhbCPd9 9YtXh094a2Jjr3NlU5C+EXZwkvuTLF0/kJJtk0KcSVXGzSuOi+FM0ljEbdSz8Pmo0P22ht9MPtJz kqLEG/bWc449rdBdw4NSb8tEsJFGdyt0A5tGAOdIEx1yJxrXnWjBg/Bk30mqmHDv4OBAcuiTNMhL WScykR8S3HCSf+Ih1KJCt9VWJFuwGbpDsMn81smC/j3Mep6UMsq7gXtdJVuUXkiMrLPUJARFtMkq w49SmHcPYSj29sXLkwQCbSema6OMoLtea4CWtIq/Lc1bpyZMtdvXjSDuRJrkdhc7S2PQcQrvT+bz USv3l12jvsI9S6Ff4yyIRa0aoa2AnWsI7rWmAZR32j4WCA0bFbPJrrTFQ3erRWw+4G8l7cOohAhD rmR9wJOEcOfQsdFs9kTy56KzBLlVxnH1uwPLRtFCvjapk2N5m5ShZyMaK5PEUPkzL3cr6OXapaUM VI5tUpR4s+boZZhc77o55Unhz1W0Zfs5T1lbu0DKnYMlwDgefIBmlYFroxw2WqL3ii1qUs5zdjXc b1lfMkBR7Bu6SWBC4689oJzYtrFS+yAZX2LWax77heSGtpxl3qFvo5omqczti71w1BBPkPGh0/d5 LzKHlUgBaXWWqZxqAC8NvkPnxknu8TBJjdmdMXHqp6T65HwAnw/6AclbS7hb0A4b6Trv5dC7kWoN iVkHGaRzGZx7LCkaixHQsu9SlHhLaCRllSn5jk5GBViANQh36VzUHHyjX/XDgo4x1JKlfb9ukFKS Otw7Z7pcBF2C8hHVqFrlYYoS75p+2X0jFravsBTm3QsNuGHvGf7LTeMhNPdjmiPDqdo5MHBMxIaj LmnZF98lNLyRJLEfgLEtlbFPIQRPJwwzpEFkPqnLvu6fbcpGEAUXZUutSSkAyN7J5/8FyWFKWeFU km9DILBxBEkcVNJiOqsuC7k4053N8F316cCFPo7SD09SyebiDQF46OZG84mMtlmfQuQgBeHwk30w I+Ckbl83uOKW8iQycLgYcCkt0/LbaJsEVo7f1k7qwAFU2R4YXGjmiNJnduKMoD7S5UBDIlcpRto+ L8fwvkng5gh1GNKUMs+UPNksMEF/eEHVHipR8EFiUtnwo4iHoAaSDQZWt2/752AgifKWtqv3QgQv GSBn8PmgPEnfamyDrlLtiUthyxu+xKUNF4XEzfPrLAHdRSslO0sx1ISvWlnVF98k1P4ZoF9s0hKW sp9yBU0PAl1pQ2NvXFr2dYM3LqsF1++iuIQKXeT28/7y+eiN+zVjWlK5vbsU9rwbsCayTyGTYlTz CWNvuvyHeHESIXSUwtSbw6VM7VAtPok7xyqfraHe+kkvq9g4BZq3s0xaI17jB8o98lMiIDx7cBj7 2aKztE7AY90I/iGfD5LKa74rXVL4E7Qxn1cubHoDRjH3dFn6uqaB4OA3qH1Km9mf9ilMvgmOWJBG tcWASx396I7kusCuBwLpZ0crqGS4L9AxLHdiuSj5kwNnR+Eg4JFOxWwuypchWcyq6ORmk88HKdNz mroCJ7Rq72wgNHfE/sLyrFu5W3O3cncW4gbgRTNgtKfEMnB3PAGcnKWW513sOJ3UvdVzCVOz5cRp yMzyxKFLgA9u+OOrJzBIdc+Bu6PH7y3gKfT7ba47C3qVPw4RCOwdvys5frnG2dfNvkN7R1RcOEHD IAL9lrtCJjD7xun2TYrA3muYsHjyxUuUfgLTmJIlgZ7V5mxgkziE33pRWFzCNvfbBqobS27yo/hi /CXgczmxrEPPB5fcLx1EejnXJxUILR7BSFsyPmWj8tJzhnqrMjDsFyIQeDzihWMBV+Q5W/IdfgPt tvg7AJGz4a3GgpiOfFSubvvyKNWCCz0e6Y+yC20HwfQBc1LtpWU28xB/4w+InA6+fTBh1tTSE74D m0eEpUSbR71li6RHJd9CpAkq2F2iPsc+j6p2y+i+OFRwbozzqeM/9/lOSD4A0gbnEY0bFvhuSdPt 20ZjJvZxFb3Xjd6QqND07wSqyucjEJy3l4zzBBrezQQCp0d4rMEWS6aOLDyvPLSk02TTN7IpbeU/ rB4JzR3y7xlXjGy0htx7qZsLORjsV299kY90vidPhOn9tW3f9i/NSHTffFFLgOKvwlEADR96Pc5f in/ECdariZhDs0f0ViZRjUq96zb1WqBF1f0Gyhsxjj24wO3xblIqyxfbJIyuNvRrUcZBV7kJDA/K 8ODPyw/aRA7hOfR7bISZQOnEF0u966iSKTn/yeeDdm7KX+8b+XVodvkuhZl3w8RymX0PdIFc1q62 ZfY9+VzkydKAwPLRCxTKjNriY13EpPMC0le1V0xl2BKgGSdqr5K+fLKo2pYD08dsPbhGrSUtnnpv 6SCXWfV81M+94xOGM+ihQcXp7lMo/7fhB9FN6W8VTM1sn7ZpSBcUq16hBM6POJbUS9rP4nHp3JBn r+A0hwZTxgCc/NPz44H9+qEU27c0WQ6sH1GHkT2Y5byWHbp0TtKSeslJUzefj2YD/Ttbgox4m3c4 8HlNlrLcbc4PZk9g8L+abdLUYaJ9Rh4GynlNlfLVQjaXkXnrE+jwAt0zCYU/1cna0hwnEof0+Ob2 IsSd4CNeNigDUCO9JJYyXLRBbS5lP+0crs9rmpQfK/WuU4RuQqO5YeZ1Ee3OEKVyG7DrOtSMKtoy KhPM4S1qB7ujcW7ZmgzsR/AG3bBT90yGowQb0iav+QJUAGi/qKV9ewSCf90eCMGqW8KEiotC9jpV Mm+l8z6fbPt1ezAxT7Y9LD6QZ/Hscnde06Oc2ROdq9hcclI0zJhwlEchCGehsuLuvCZH2TEBbRJB ysXOTgPnvS2ltCeJWVseLYtCbiAGPjols+mbvp8dzDhBpl/JF9uccy1Xc+Ztn9e8KD9TAFEv6I5V mh+d16yILxZN1mwyeV6zdD1FppF14SlS7cJ/zYky2ixynWXblouXtKhgNnq10LTDm5amRtygT0ww Be/m0M3385oRZWQ07P8vWzDirQo9ABhQnHX1/HlNiPLDNu3CTCZi+avtzms6hIdAnJjZPK7nbu0i lPOYljNmWc7CUTV6sUibKE3g4/bItVWKK9JPhGpkvVKmnlDZ89A5ihim1Gl8EboWR3GHBMrB7EmL bnk44jIItfNZn9dMCE9Xf7GyvFehUU8xMnqUBtuD1O18+emexRwsa/bfHsG/yyt5TYNyYgeVRrN3 uWUHhOsa4aTnJ6IHxlwRpQzFsnK9CuwlJX3V9+1ZbM8WwZOLjMDJlpjNBmStls9rCmRvyfNqNRgo UnOQu/OaACGWZ9yszDu4OyWbouYAzteH/hgeyAci2p3GUzP5hnHxa72BVo46Hl3sQd9Q4CJQYcA7 HM5q45au8jiI3i2iSHcnX5KLwSLSIqYaoNDxeU198mM1q1eLyPbBPj89A6KoXAF3Yn+bNiJEQUva pmwzdj7vW7cu/2vSw6jMbcmsXLn42SngjUAC/5ydDIUVaAHikj8pNEEEsMP0FJriSK85T5Z95YnH KMVtsd3ZU3BtuCh8XjMefsNfu4M0E1Q+7c5rrxFvVgPJfQ8n2azkqP++c3eSTfbm0GunEa81mZFZ /Jncnt7+pKQjPFBwokZLk5iajFxjYixVyOQzHFujVlq0O0saf0h1tagbO0aX2QTQbJ/XLmN+IGx6 CprtfSTfndcOI36tjYYHYRYMy6M55mj06zYH5p6JSYT5js7Onr7cPiwKCtqEoBc3YLYCki2Y27BS BXytXvgaTYxeu4v4qsumQ8uXW3w15TtoCb32FpnYDY87TaiskyCskT0fjNJlzAdXKcXaZlldfKpt UOCbHaGVfYPeiWzPxbU1ut7X5ensRz+BAcp9APqfwEw86bm4Tpgd9Awmi9G0apu4/9EBUsYsuNG6 YqYJkC/26U6dAupo9H5Nf7+E5MOMebe7RVHOjIESaPkKxNB0tDN0rsniE+tSXBfpncWGLZryeWp3 8UN0kinkhQxAM+UMIsE5RJD0ngBdFBbmGsWSbv15Z7F9x+fsi26viVAiPG3l40HanOZXCKLyJ2dI 2qH3vBm1CKFGzd4yScUoBhVnjabR5wWtBTu0ydKubW1fPPsZJ+lpiRS2BaEH0mnP+e7dFaHTrSpU vkeZcydndNHRWIs2CFtfxLcC7eg9dRZv/yt1RsOhPhsU5c5Mf4ps0zCobt1F1yl1a/iZdlF9UfIs IZIyZAw6HmNQNlMxxccROn+IiiYZkI+kR2Oaz9rQ77CkLxsUXnq9FNK5qC5d1TKuWgAPDdNn44lo h2COgVzEd+g9f0aEHT8n83IIbXVTrDy6fDJAPs1whLCea7RDVIQeky1XLtqhPcA+mk3WBqK0S8AN zmgZPVSCaCit1aXU8p5Ae8e10wx4d0YjI4mc95TzZcz5Pu/MNXtHtUP4dqgKwDe6cSjKoTEqmmPt Zm9ZclXxjlzD4lBbrir+TlxDyKcWa7WXbfcHGQo11lap3L/rWkWj100yOqY/67L8M106Pu+8tSw5 wpYyOp+2qD5N5mcKg7/yeaetZTkjfJVgAJAOIsG0Q2EejXZrdyrI7MvUoTFQdiOsRHUQNciiRFri Wg35my03Ug9YWSTu0ETYrmrIspai1M+NQ1PUviiRbjLGoEDPNvVVpIrQo5K3wcz7805ZY437/ZbV cwZbf96yKJWGQ8DCX9rG99Okj9GPbq5hAzFVO0JRLt2nJhr7Lh6oVzvXYuG89eRF8OthAxHSS+iR Aex4zQ3W3vqy7zs0JvXZgE2wRTsE6gHxoacinp93vloWX+x5y+hxC2S87dB7Om1Q/pSu62Wr3mNF +HCAA86njlCUTw/5YkwS9bhYHIJuLfqqxPDtnnd3a9lzEBKG0dkF7Hyq8Z5PO56oL/qlc7EmUAG2 i50daNdECfXa/pJZdwTyJPcIRRk1FEVOKlGtv7pL83dsZjPpwZw1exM6TKnlHyKCKBfboLQrJLkF uYLbSlcUAq+podZY9UEVz6nvGm/QCT8930UYR2JurMZan3eWWn7oIF2yYyBUAJ5vPcQoo4ZsEN5i 26A6khEeMa03FB+6xQbde+eo8fyy2NjyNtiPwXUDOYZGHVLTKpNqWmtMzeeh7HgVazAP/7xT1HDa K5nqwGHY4iSHMimkChj3552hxqT/OxmC3EOXAw03KMqnM7uscgBFl1WRZ0q5/3rv0kOTG/SeT+Mk 8sVBFLHFqVcTVh9AeqJXlvK5jCoR17jxoPuS13yaQXnoy/7VpD8ZVfZFf3cojTbzBJmfd3oaLzIv WhmTJ5VKiueL7/Q0HFzM6dNu2yZipZsx6CjSQBTRsVgUemensarnmD5RCpGLg9LP1YWeolwvT1oF KtzZIsDUB3ThacLnWDTaP7yT01iV0b+o7rsoX5wj2Rad3P3zTk3jDm8PQ+K0LehC3FMUptSD4Xm6 FxZUUc3pyVtFBW+6ifu9M9OyxlSwbh7Dl4tIhw8TcnYy0+Yppwj9rJBZqFT26A8ohuIi78S0LDcr 3GFylx3uLnsuibVYruOUft55aYxj7Rb3yC7RwH26iu+0NAR4wC97dZWaLmbjoKujWT1lDMOt6frO SrPXnC2zu/iLVk6EzfRHgBLbybEHDec4gIXgCNjLF4VGmsw7K43tj8WCrBZf9KKZCC4yxjQ/75w0 3Le7fYcipNR130MUtqVxmW1VY0ipPXXMcCV2MyxU/BaKor60+kPn3rrLPUQwy4ZtJHqLgJ2h/dgQ 0OF7iSY6yixr22Os/3lnpGUJWMMlbA9ftEMoZYpk1eb6vBPSshxwn/4ZKQPs3GmH3lNqV4Joy6Xp x7ULm+Xy0WZNHqzfU2oP1nXtu9gO9VMJ5ER/hEncv6Tp+/m10GvBGUJ97UOxpS/7HolIytojc4fG dSpJ6J9I9voE1c87G82i7nOGIKXX6hOro5waPjmrbBM4OP+1fbTRevHm/cb/XTv0nlMj3UUp0Uu5 i933ExFjTJmInAw7lyFRDUgwUK92XNbHKEPfNdoglqy9SBsSSoAsQU9oO4dcfqh7f96paDxD9y0T cf+8jvVWru9UNNyCADDCk0yv2cA02DporJdVuV7fwncmGm4aymS1RoQeF0+qqeRbCiUg0Dfbxcgh SILP1TvYwVcDrQ191yBnZAtgk/arRSlRaSaiSSLaOw+Nc8D5dYZotAEqs21QlFQjRoOgl22DxIcd wp6bsDjE0qw/9E5CY/cDO4OA4It3PwbQU01iRhBUnAxVaB2g8dF+aM+pnJG6QZ93ChrPEDUgeQNq sbIMVD1DC4/POwONNXr9KstY9az7kr0T0HCC5pMQ9esEgdu+DWd65E76GIfPcVJN451GhSMutyyr kwZZdOrpu6i2TRwiw0mJPmmWD2Hc/Xmnn2UXx1ic5WtRWYZbbSofGvnzzj7Ljyi0ulHUzIYstd9l 7/QzJgkYdRD/R2ynUK+MQ7QWYhwCptu26O82NTCWvnCLRipjJDRfO40d+0l8MYJG6crJP5pK64dj VlBhir5rsEW06Ol0/9BiTBh8V1JiT+Lweeee4Ve9XWq+UIWB3SvXd+YZXo6Mk1OMijdXnzaiP4nM dul1wnn4kr0TzwgNYoROrNu5eKBG/njyoCzfQvCGJZ1B2j7QcA89T1HonXbGwqxLOyz7osp17Ulb Bvyu/IpRnB5fUYhxkQ6q2qAopcY091Qz1RjDjfoDxJlvnaBC4luzfPGdc8YTxA1qRL9ysROEEhMW RYlUWLjCUltENmFounL2blGIkhjvjDMrOpBKUxUlcRDIm2xQkpaAsZTL551xlqUo99U/Q7iC1Zvt UJRR78q8oDpbmOAJKRrObRJrGQ0M26GoS52olA0DWV8sDIGzDPdUgGDOTVzp24FNxKAMF9iFBaPR zW8a7Q+795tYRS6Gvkt1qvVx3vPPO9Xsf9Jpcqjq7Q29M804wJ4Qop2651GTZQfBEM7EYWKvy9+w qEOddHIKL3Eutj1wAICrGntDCSPuQsQnYcaQmZ+PrWOnKv07zQyHnSGInFNbtEEn/lTZGI1eP+8s M0I2LoTqmss+F/07yYwxCLVqv0iPURzpkYtnQqfcGZYJvXPMeEmwNd3MhHc+/sStwPdSHepVFk07 4PjBqeMgN3Jd+hTxwO8cM94oHCIiobVFY45zK0wTLsBf8T2dFpHCxq3cofOXKvUGoSidxr+blkR3 /Fczl3RAC22seK6MbQyzd4LZM23NvuCrT045ILGdhuWKGHgQ4zpwsTX6ZkDLz+p6/qU+7/yyLMV7 2H9LBiMjpjAKrQJvcd7e62SL7/yy31P7829RcjIpty0K0+lG4nR2yzkiq9VAeyjUozog5p1dxoKS WVAud7GL7ARQDKIp7EB2SqFU2AbNkHih+dXEL1nfNYpDtLwkOhZG8idcT+7QuYgrOwmnsuHz/4S5 4t+iB9xv1fpOLGMWfl6uSiVqdPFZQqpJDRCddfEHoIjaoahJLb3wOmVlNK+V0SQmpiN7xSEC/Izz Vpj0/lDVA8f1HiIiqt5pZfhzUh+kJ76TXKx/tiGNxEi09uedVZYfmxUAgdkFKA0vm+eL76wyhCIg P3LJ6iwudh8MCqyohFkQ+Plnj4BYjFNq7FEr4y62RycVyrXAMRIKWCsRdozzXXA5447s6ZpjsK/w eSeVEZfAnJpDbInXuD1o6RINP/XN551TZu+p9ohfL4NA0cTk5B6F0I8CIVXKW1KsIGVRFWlnhFoD bxoNQSqajO+cMr4FFOMpxpq62LOlpGhKBnNMYO4rpdSgEgZRJegH+ECxFX3X4E2j+iU5lLYIPwQF Kzb2T6U+Pu+MMrYfPBSlH7MFYFakDYpyanCqzitu0HEAEIoxOGnGJBsDDhx1iKJGdaNOeKlUK+Li gPKKvgF4a2iggZkkAj5A1BOpaubvberF075sEK1FKU8kAXHRDp23jKqUQEDy8Sip/k4ZG+DpyONs h96TaslCAz/VtUObghbkAnfa+opyhyk8KUDvXLKbVDdVHe2r6gCBLJ26g6HohKhN7ZuGWu0HWhg/ sNa80sVkJLxzyRA36Q86KVSlRTsEfQDRpE7E+LxTyawE/eYkCK51tyjsU+9z9xXySf7DuH1Ben3v 4diP7PChdyZZdskrQBp8sS06VzNuetLtoNNm/wRqmJjfDIC8h5eui3bg70wyIu/ZQjMhnuGJ9Ynx jcJrxCN93olkNgl4XjOI6J0ayJOidx6ZYWpOsmY7NBnedeP3fkVUIcCo++ydRkZYhhANa/hiO3Su rHMaixLrmmGULssjID6AeUVX1l3DcCw+7yQy1vZKF//b/rIu12/oe3zeOWQssfzC52agMy7bGW5Q iPzoKF1d4mq27Xl1T6lPyxr3tZh9Z5Bl9wcd4rWOh9d6fo15Umlyw8dqsIemlGEBgaYiJWqP+yW9 yz7v/DGOUwZbi7n4ohM0yqlvmPOd9+3zTh+zKPaEIdqlI+raBkVpNTAr0DEzXTlADh2APz1nzNSk 0jsWp9Xs+Uz1QOZl/qwNZcvEsfZYBXwSQrBywVwH1hMrXy/wQZjnO3PMait0PXb3RSeoFDJTEXtP 7fpOHPsFryIeD6OWKuk97lCUVSMZWZIFZG2fl2HLySyw2n50d+ZrIZKa4DziW21xYEOldmqZ6sFC AZvFKw2CYTzCQCBzRyjQ8jOCu55zZCqj2aL2kKz5fkjjzXg8SBn79xGq1G2Z964Pk2qoWmThYCts ZrYjzRvRYFK5BJ1WRyhqUmso3QYrUi4ObOhgpFcpFAOiUSX5LIAlcox8TXg9TEdJNdAwDephyRd/ yTp5FucMlYHHg7l9vTcZDxwyvJb9JutxTr2g1OCaeydr3T9uf1m8gzYwQRbN5T2nVqqByL7v4g2Q Be1gpOoIQxBkzZQuRFu3wmEbIc5S6j7su0bIBpIUhrAfw7EfDTa2sq0qo+LxiMrhZ2gqt0Rb21lS PUqoMf4Eiq5ZD3aNe4Z0p7GBlt0ftEfYD3nMjUF/0DGuP+hea66UaTU3UCeszBZjpT8AcGnoTlld Nqlk0aMmdc2aSDMt4KINOgewy8ahnpqjRwl1Kn6EpKmK7KOnS5QKM+qKsqy7plUWJGbSP603m3RQ fIQ3WY+61MoXq1BEXO7AdUNj3oSJT6HQkw1c988EbguuYZfki++BD4k6RNzIolcSUDA2LfICj49v DuSv8XxARJzfbUbq/Pfntu8xFRH6OtUEmuYS8IxEaN6gAuklVh2nLOtRTj2ncNRl++JI2Abby01g w6ljzoVGK2fKo0J8gsSGR6Ch6MtGx4gwxibGZrvlfanw5WCOUyYej7iang+xuCfD91KmekRHhK/P CUTZxKzalZNvs49t0I8BBXNWHT2EfiyDwBZfPGEEzAaXPBPGDU+4Te2dggHgpjTHhTGyzdijVjUS hbMzmJXyuh/0hkGH6FQbnKKeNw6PB5fZ7aGZ9+M5Qhfn2eOMetL0UojFOZv1QUD1TQ5jrNAN0waF jERJfCwhrNZFWMHN7Pwuwnnu81JDd5eNWARsDPMpnm+BCEkCPiO47UWhZ/DQYpSFlbqMijsidZRR f71kDNVEB17mVI9a1dBbwIuQTZxpoDTFBYY8DHSgxcIVwxyG6jClltl1m9kXL+0nDIiR9Jw34KSe gxkBpCvgobiJdrvMoEUMWo9a1fWRrPilXAHRB7oLni2aePyfGLQfNKfAKrkdtP6eUwMrnGm+S2sm +KWsZjtUC9tmGRNX9Kfo4dijnHozzami4HGxHZobnjR0Xp00Ny1InU6ddy7rAQNMcMuNekf2JT4j 2CCKfs9F1PlcrnCIXZe9fD1hBY9HtFaPQl7CAU7hVUeP0NQ02+lpCGV+/tbVeozn10zF2kMJPip6 yyLgh8b2sB/WwlYE+2e9UmOgCj2UdulEoGHgNYF3lIqlxSH00vAhQUJEF2fop/uiM5TAUWagPW8b Ho/O0PqKQ5C+BGniBqI4q6auHXCO2CJMcK7FpbnulJQB8NdrFrWqF5v5ADH4YoEoQx+AvD4eogHg Dw4RbPEGKGa5XSu5zJk4PiQoXQmh2VVbVH2LMDBYMvFceM1CkuLNqsWsx3GiMqTY0VFWjSTk3AQ2 jUZGtzwl2uumRKPYXHpEnWpd950WQ1osaTxFyPkVUAcD/HHu5caMIBNYBd4Jdu/a7GR9178mQsvY Ha05/w5ex906aBmPB1n1pZcVhR28kczrtUVRXt0ZigSmAhyN6EBlROPSFhbiqRjkYaNa7nGd7Vgu 3mUE4Bx/cxHsocZFgtkktBqniC16E/bCoAQfEnEUGaXp+bwbqMtDMyEwScg8Hg2bFLaqf82EoB9A 6y7bohBTjdps0XmFo1cBqdEigh6Q9dDW8KnZiFrVmfSpMasYZkwaB2f3m1qFhBAtwOkmcXpAavxU /UmfGx+jCHxGAPXs7FTL6nrNZhp6kH0UhOic347Ho3GH12aUkQEQvt/idcTwD6REvQmzOBEhfszU UiwYwnxwt+o1i7JqRaImAFGj5oM26JRQsF2VQeqEPhPlHDJx1Kgh4Mru9/2p2Ac+4y8m8Mkzky8G qD53qTQsTkDD41Eg+q47gG8/3+XGoSiphnwi1NochCZpIc5dpwFkC8gGNlUcUVI91cPv+y72koFr lwrIfNA+zcTd4O3CrAm3NocGdxwEZBY+JApEaIBA8xILhgES5xzIGvmR59LJeD46Q+nrOqMZ2zPt GGFavcpFgFAfNrn6UqJYPZPG87dOqsxGlFbL4qMK6VkfpOcqcMTIhdwO9AkGCUQwJMK7dQJL6xen t8m3HyGemrgG+ujZIhDaSK25BuPE41EU+hbRIX1qNW+ijRBPPQBkTNngDXvtbndZytWFUAoayjpD EZ666PDM2X3xuyxj2l6E9NwVonBMvIEjZVoKcz2flxHpOUKtj7p4hHr2xUYd5yVjT6OdcI3Hg4Ro elIt9QHKnmfPGUcMAEHnjDYZlPvITg9qM6XmAJCe/SqLsmrJVJtVXlu0UM6auQ6QSSlytuFgtUzQ urDJh0nL3aEJwR58RpQPoYeGUb0v2qHmBqxlrY3Ho3nZLxARKU/AkdkOhVn1BtCpeHE/OUJQ7Vpu 7Yra0HYozKpN4lRE4HGJwOf8QMETWTNaRBTUpbAu2mqnLoKeN1vVYi3oEIVZdZMnEyuzgYTYgkc/ 4ZMfOcZeeD4o7+t/Aa3mdzd/RGn1YrM6E+yxWaWZXdWAwJ05WHZcwwpEYbNaCEV5oUl8gXs0IKew CzynQQ86ycwmAqRiIihBEBKW1c7f0tQJeYq0iGvyrWpXfCW1PiULV08KjMejDsi3Mgq+QGOpwx2a Ya+6Lrbzm+E/kIgb/kOSTFRdrNVaRDNWyuO4NfXli99mBRB90OqBzj/VQuY/SWiiNfQYSewwJFqx 7xqV9+RqlnEXqztO2CRAuZ2YjceDDWrf2AYp9q+7QVFODb7v7AiZrMwaYwErs1S7F68ZUFtWZjPk KdIbrmoeVtcjSXAiNRRON++yk1w3ahM1grg64NvjalZlgjbxGX/RFE8iVHyxsiMX+ZeeDSp4PApE l8jJNxKKGg8cdkYpNXiVk5bk7MPO5YKU4B9b1ZHGzubHOEOaIvW8atJtn+5tD2RJrZTQh9hiRcOS TE44NZEolCmZb1xXShLMsFfNe36mdBd+SD5bl4U536vi8WiLft1moL70cnXPZpRUY/wA7VGrOmAt bPe9TI6Eykc4lXhV2KoeGtnT5LvVdt+yBgSIa3sBn99kiJI4hkeLply6NF1Y8RlRN58gvdqGLxY7 EhoDDEMp4/Fgcn+baHyj4C9DkIw2KEqqYY2zKM3KDeq13XnH9oSIRiJ2hkLwh4zO1riLnyH0KSbI gCjuqStISUpctA3BK0sdUrB8IJbxIZGsBZ0F1Sni4m1GiUQDJlHxeDBVbB6omV9iyAOJOt+iuFcN y2rvfwB8u7xyXUYZAgswG9V1RuiPLqvqqslQvUrLMCgArH+Tx5nOdQYvKag2VMKx4JD1eDHTg3FG STXZYCerTc0XQ6EVAN1Zro+Bx6Oc0WUtFhux5Yc9etugCP0B3NcJYSYgfGpY13xtSDwsIQKP0zYo alXrKgOS15cnDsG9Q7awEKpczJkSDBjObwaY78OWpvjijJLqREkUskS4JCvtz9OJ+B/wafB4UJb1 b90PjHU4GbcN+lP3I1VTHXLaNMuybWkkBmbZKtcZaugJCGsN673uCQJk9ETIwRPE4nVTGx+3c4No Au18vJW/9V0jqCdR503wmObwGFBpBaY4pR4fjwBWv4jA+GHjecUi8AcQmDA87z8u+T48CvXuuHw0 eGyDopR6qEM9GIPbmF8TxVQWNSw4LztJdaJoA+R6GiZOmZ1Da1QTdT6jlLpxEIRJgS+qOs6dNOX9 snrH4xGA6DtOowNc6a+rHQopigN9alJr0B1aw8Q+4AfhSnppp+Hv2N+6H33lu3iHsUAVtJIrtRL0 8eXO3DhVRoYA1qqu+kFD+BlK6Y1J1Ecrvlh3CPai7A6dqgWP/wseIyvrc3pL83RxRfk0iGvnIk7G lO4kMLFu7akYTei8INXesRVhPwbh+KeCTL74BuHqGmACoW7dmC+qfUYlANQA86LyJc69QuzHpuvb ZhDiYuiYff6uQsfUiscjiqJvECe1NP+6/cUV9qghkbt6N1GL1G/7rE7rhwAi3i0VWiH2Q+aBQ5z7 MXyD0JDLu2ECBO4LND1Zki3av0KunzZQBh/CQcdnRK0Pzsm22AtoJA155I6GexFh+lxpeD56x7xq Vd6E8cHy1scKGYoNzaFlpLITj2Z/cqGH5era5SvEfmyxXFMREJbHmBC0DsA5fDcQoyeU+tgcgh0f YZZI212LERoK+Iyoe5Y0am2+6AidW7ibGsEaeDxKp3/pVyE3Z4KhDYpb1NgW6itIRrh6mM65uQ9l g/yENigEU9PyFheJL3dYhkZ0UwcWr1aV+JAGX7hoH4LZJAJtxS1qhKq9+l0sSk+j40EqBY9HQeiX 5gfdo+U5oC2K8mk0zp26Sbe3qhYINBzctRMMCovTK6QoagRkhp2PyfLZInjrTvaqThU1aK0NiSs0 nEHXAU3GLrLNqf2KkB8E0fwvVHhDgYZzlXbCKB6PcqFfUtSEwu57k60onQbWe1K5TTtEkRqKoC2N YeGH10R86fw5f4rgN0metit5OqEjcpIOvKbzXPQLvS60hgABZBqfGaXNgJIgtBVhPxbnRQvDTVuM s1DxnvHV6QOP/1+U3iHA+yVpsaJ8GkQ0UMlVwp/D0uZNF7t38Wd2ja8V5tPyMd/i4O0rR7ASnCYy aSGYSacs564JmgT+rz+iBKs3BJQSv2ywQ93a+M3b+EYNmmT2QYQhNTz+L1lhx1edCvruUJRQQxNw lbLUVFxFrq+bEyhqVBbQ0mlrzx0K4dQSNGfTUIu/ZScoD2iesvNRTqrNMzQ6J9Mdo/t0oR9z6LtG 2RDL+jyLL9YbAjDDekP8ikGk3vs7G4LCNRBFtkEhRxEl2ajFFS2oykpFi9qqp4t1u6T5invUfLsS SzIuzsADQ3FzznHWs9MQt+gnWTx7Psh/R7PWLLrJ9cGHBIqnSzFa6IblkgcAU+8uvMuqeDwSzW1f 6RBuH6CNfIfCDjXwO2tVyxcHdZWYDuXck6VDkDCxHYoSajIP0YpKvtwwlEolZQ0gxpNIp0q7STTw B+4xYrk9HxpDXzaaJlJeiF3TfUUhMIepTUCFnfn4+w6tS50qVp+c/On2F3eUUoOgOSEiafmQ4hCJ QXW6ejdsNbRFO2pRD+kyzWfxt6xBpgGQQ3RgJ8BW7A2BhNI1Z72eE3Kd3lFK3QjJn5SY1KLf6ry4 ZQmSP/h40Dy7I3tJMaFxNi5aeL/n1NKJWHSj9XGiq6CN4aalGT1m0zePDV3Ev2P5yuUW9vX8+03f iVIAQaP8O0m4UCgA0Cnfu4zi+DsEVBMU078W6+Jj8zUt2wOPB3dZ8i3iKwXtENDgbIdihiJgHxJD kfOEuQd0AziobnVh4R3m1OJuLtmXrMdcAZAngI2bNAnOS0X+3abJ9Pk//QBa78AYvO34jGCDJjsW UGO3RXUrNXgZh04Oj8cjxPnviTS65ndkv0OCIgp7CPNapL66MY3mB8DpZ4ARTNNix7APZYrap/Fw XBvui1PXTY3sT5qHVm2bkxUZtK7Tw52avMt2lFRvlhubjBAtFofGqEwf22r8jkEDtn+DqVm4PsLL OwRTw4Bi5+LeLis7aWHXNC7xBdB57lAIppZq7lZmvR9wFUT6Or1bwSY/uTva7V3UtYqsPbO9qO4Z Ajw+40/dj1HvoiMEep84qqc8wuP/0v0Q6gNtgssC3lFGjRnfqeezNgjtRSdwyk1Euh/ZhWN2iPro 3QvWW7dyUjYajHNTt/biqJV168lsoPNHnsZFeYIbxe8aZEMUyG+Sr2pXvmqcc1qk/35yQDweSQ99 Z0NQjOv5oj52hPpAp+a8H7nZBq1iJ2iw7acNUg+HGxShPsw8yWYd49KkV8IMggAE1K2n2lxMqDet jfG5c19u2e72XQP+prQqMYexRRt0SsDE8TdHiTsWpvYTxM4V0rEvAOMOE2o0h6pGifS4rcbaPEUB EyO6MOEm1Q7FqA9Kx2yOOrj4OwZ54l3JvlsgKmBrMNwAjxCxEqFaR6jQihefEaSLgnt06rZnjTWw Q/nkE/ylKxxudyxMPb8re1Js1+3h77BFnSaNXpZ2aOqqp/aQHHEoX4XrmfzEHWbUwsUoCrWvKHT+ B4DVJyeJaJYm2btBOhtyX7jqL7RqT33XSCGf/Z/dli+2QwltKdVZfDyStPjWFUY/DCJavkGhMnUh 0MN0O9fJe/wlqxzr8SWDSKkdoQjyMaf4iRxycPEG7ClbG/IhvmRIEjnST5BiqujxoanlqRCHHDvU /GAOhMTBFw05IB8paZ1WGh7/l7Y5gdT4mP3l9RLl0xjCnLrexFAWVdoc8kEg9abZC/22u35QdJMR FVOpk8/FB2XAGIPWR1ZTykl+OAWMRejqYSRXL3KICk34lIDDSSucuXjkJt1bNLHY56ewzoGFJ39A xFrwbeI4mELi/ZKnQImOUIwQg6WN+n8yJHR9n87F1myfEtiYpnGeYi09SVSn7YvtEzD551UWwSyf G23Szq1A1xDuZehfXG2UKTuKFOXVk/9sqWe9LuM40/iIRfv51nw+qD36dwukUfEwPacpdH2hEJDG 0vCCmtcBeEjtC3004lhsl0JEdbMO2vTFG/rwA5wbx/vsz0DBX+UdBDdA3JbEkBv8Q36kKcR/SKsJ GkZYYNzAxuqChuoQV3Es/oAgN8qXNc2uCRowENHxfYqa1piorDat3wjWrosR7dX0nwXeUMNMF1Jo nUjwB/U5bfHTtBesDZfcX0BzxVZCWGKBgMkIcZUH6VnET4nkCRiaCg8VF6fBoM3yQ7OJyuejxvW3 TIr06Pe+uxQl2YlwtNUEZTw1LZ3aGZtYjgJLhJeiemyKGtean/2vzRLQsCWroXLqzX5+AsL3icSA V2QMU6COYh2jTD0ifEpwmggjap1TEC6OlWmdACHAufh8BAXx+Ye6++S8jWebwuY1vuygvQNBaRiw S3CnQw9YoLSGTLLqMMXdayGs2YDkcqdoJ7ANupFOdKIyZfMbNNR+MJj9yUTjWbnGPIA3xntaJx8q JllarMN/DqTYiOdr8/motXZzJW3q+dKlP9sU6oFgP4sspbFNI9lp6mRCKILbO9f1g4JkQG21JmvF 9ogVgOQHBqZMu8BBI7+zQuGP719OXzYMw7/uX9pNY+a7WJd/oL+AD5y78/mI4nnrWoZw9BFgn+rb FKuCDIL0dXAWMDL20hHaktk+On/q7CE87GNvzREp7cDFYbLUeMZE8GxTGlXR62wT8n288/t6ChXq tfFDospEAuhMLmcl3Ay7tM52s447L3fj89HM8Vs2tsoa6zrCpDDxnizZ9p3K5nyr296tC3nSnuoW eZEySDHx89Z9sbN0ruk+AIZg/b/hk5c5uIbIKWVU85XRN9PkFJvCIH5Ju0uLmmxIYljAn8Ry8Png javfkoQw2hkPWTinkMYIAZ49i5lTQd7P2myTo3b1SEC0sk0K29ksOmiJbMsFOmLuCBYJGGjn0UKL V4im4e/YfgZhfFV9tmLfNmgjFUmAaarmjFuMRJYaVychmHz+X+SYKqMdWqc+GXhot0ib4Cq2UAN9 18XiT5ylKxPK3FNyJPcSDFEiBEFgg3yxVkmB1meCO4SARmMr+cwY8OA33dPDkgBn/JCINCx76dl8 0TZhNCWH8gQL7tBw8Zk+Sn4Tk9L0HKbQcZEkDGLHdJiq++ZAK9dtGSDq5bsUQUWSKVxK9ftxrjgH tXam3aSibdgo87wBUFBQWcN39wL6kn3bv2TlJqj4tqiWAzeeJ6udU8znIynZ75ZSZXPhNkxybLpY EIz2UIsW4DUz4T5BlpUv9YrI1uAVF7ouakrbW52+eENg7X2Kf7kuYva4lHmSBQqtrU45HlGJSrNv G3OJTko0ZXI6l/WoOzrzZOt39Exy4LuoC8Y2iXk2/jRQQPVdirrbeJXQb1ML6fxXdn9TYIVsl8jX sF0Kk2+2A4gbt8WOEoSvzmsAuMCJHQhHNmYq0sbQLpnwFUfZObBeVLrTEkXcbeFRauAJKAE6f2c+ /68Xjv+YQ7v0bFIowKfeRbHWUpc+D1pLqXOKhG+b2i7+voXMRjnmQRbbFr/hUMxhniprwQrWAPqT UI892QGmnvuCsLuc80L/xTWl7VSHlsvAS1O9j55g4Rn6L5b1zZehMtCuzy69p94yeRGQ3BpwVZcd RPim7xInWXaUwtRbqs3WZ5rzmZScqJNgR03GTD33D9UMNhgUOA9shXlUsk2KMm9sC3VZhi+Gz1pd w7aT81c+HwyT0q8WE9gP+1L1c2DBmMltXVScFO9KTBn9dcifwfuG19AyytCDcWVR8InU4uLF7oKA EeT2Cu/PkycVlXEnrQLgoJCs6vy9Yl/3r2ypY9Rmi25udAx5p8Iji88HsfsZuaknAIGMx4cxMGJE 7wSoEQ2SUO3KEUX4EbL5NqpdwoVZxgVOjMD0m29V98W3aQKEkNXNBWUTKrXQxkdqCUoSJZld06Da t40J+5gF9O6L2rkrEfVFYoieD87Sw50hSQLGNas+V1yYeW/UbiU58aFUw0PS/cUgEhSatV2KUSTs ZHfK7psyt/qVKN3GoI7ziSezojuCOxDj7QUywtp3Ngk2tj4lgEQmvmspZ18s9W5waGIVtzKfD+qT 60/Nt4s4kvScpSj1RoFwfpEk+Ig0eNVfQkA0FlaluoVeuRCa3TXbTsUXTwQS+ProUkNi9vzlNvtw k5gTQufJ5NP4bSX7tlERR6wN2Km2WLZ03mWRik+eyeeD+uRpL8mv6BxN5CW2S6EtI/q+UJ4bhj4e yzFtudVLdxR0FLsU+jIuqojUZl7VD2sWioUbGRl3CQ4mmnOD8QEgBYL9NUNTIhAaM85pPe+n9c3f 6+SiRdZLBUcpcGZEIvEtaFTokvF4e4bWjOjbLlAt1A/IGMgqejf+RPYD1nw2KUq8l4wHrRc3Hmn5 DAcQqWxAsKewjMcLgoFdk/DSpavJpzr0ZsykzYJV7Iu6JmuAvcyjVCafj6jF353KgppoPdVJbM6I qLSydbvnIF+R+NHdVrXe0k4uxpsDd0ZhO+lA3XzxFtw6V9gGXAstONp3CEAKs69E/jVqTaH/ck32 daMiTh4FrFIggvQjsmI5O0xvwJ8THTd/QJBUXjMZ7WkGOfWWuqFBIziNswmadLZJUVfkUKGTN4HI zjnKgUMjwhKDdyZ6gosD3JBSLOCygLOdAEfihUuQhNhAUJVc+q11yVvLsUXjUPlWbVnWXCqAqsr/ NXc+H7QEru25JBB5u14ufw5NGmEps4TqoG3TMgvU3NnBU+Ok1GaD7xy4NArWDAI27zgufprgXZlw sZGH3U95SHQJdF+pVQrgtE++qf+UY5fGzKyya2mIbOJFFEjPUumlwRw+8GnUfF7b1C0ToGSj71LU 9gYZeaVdXb64LUcCFl4hQgL2lH2XQoiJ2t5VMln1kcnanaYdVXfchgIClY0w4Wkw+BjEudWfq/iY Q6dGCRw1jVDaHaGcq3lskSDP5/D5iD1yyRHsmyS4XlyEQA7NGnH+gb4xmEmZxQD/ENa1vgloft13 KdTs66aUtXyxYjf1fCrcQn7Emv38Qt43obpIV2EujSMZfubQrXGoysU3s0W7NM9VJf348zLy+X/l 3ubNiCLpqvbl0LBxnO/qABNATWZ1kV4TGBHUpHirMnBsvEiKsYcvtksQgShgZZvQERwyCQlEHg2g 6LrmILhO7dsGuyT78yy/q+yX3CgwS5Og2J58Pih2r/oj9mMr/bhDy9Cy8fw+uOS2cBMn51sXbdLm NUtDd0DFbuDZiKmpLIrS8sWPEsRnqe1MyflzVbBrkAjngvEKA7cllXvZtw3uuK2wJK5Nv1ybBoMT xpTN4B0CTqb3czmNo4PCyk9YilJvDJBWkV8B6l6Izai71K5vIwAV249SzIrk4KTVu3jwBp8JWArx AAAApYEs7rgGflimk+vUmKn61w3OEke7fa+72FlCfs83DrLhOfRu/M0mgU7J9wAlNG9cCEvGo+0E 5riHbE/b9fs2mOPapT/cGyV6xPurzX07lXQIWaDNotg953JkDaJQQ8HEpdQHQFn82wbBWwTt1pMv 2iS4zBHooqZ34N+oAsfCEilM/DkXQ5lD/8ZdIP/Yi3kRd7fAyifyD40GzmMcz2iXwtxbzNEmGbaW bu5dCsba8ifc6/xu6GWhvURJ7EVW1EPS9m8boAQmdqlItJeLOpULVDGrOfQlI6Dpr6Y3ahNER9+k v9SxJ0ca7AhM+YDihsPFZJMBjNIsqQxNHK0jYO7fKT9w7nODwSSM7aUG/APpbRX7MonQJLDbUF4a f4c2jtRnPVs9qi+qUHAKk5A5afD5f2mMISDgnQcD6+5SSJM8m7tUKHJiua3ABW96u8jYGvXuUpR6 A72L7anLF9ulE5sh5EBMd4ZKcONRqhjPoEVguqtKl3Df6FMiNjIRJ3IuGu5cRGWWykjYKs9SlHrX 26pkWxICKJSE9W0KU2/Qbdn6Nefm7OSJTkE1SY+IKpn0g/5SyS7FmDj1VijAALAxwGoXOH5wBhs0 HX9GRZktMSLzTF32df8SsIHRjC/Kl07ISIKdrjb5fATMmV+HCVnPuaSeXYpSbxyj8yss2fBNzqPN Sy2lYjCvumr2XYrkR5Qqlq5Xrt9XbvVz4+ycCF8qo5yKt2V1dDEixXCPvTCj4ST/utEdx/BdJCpe rCGK3h19s4lLzXw+6FVeE3DB5dE2QyPHtymWy8bkRNztgfCdvFeZs5FPwEks3vgOrB2BDOI7Jyw8 F3/n0FOA+YzwSwhPRMPhCA0QEaiFZNOB5d82uOTUfitl+mK7RAzzD3E5g88HFUq5FQoH4IPKneUm 34G9oybvi24jEojshC7zNOVHun+7/mGO/R3JM8kUM9biAXye+jYhY0Qhd+ISEOkdqAey7NCQRYCw dy4t+7qRIRY113dvvig0lVnla3vyjs7no3L3W2tDf5z6ZExR9r3qpEItRkkod3FbyTSMTNkCUWjo 1iaDL8UWjxoPTBqJcPGM6WRug06n7J3QV4SRyew3+XrfbmVv9nVjGcQTzQSnaA+cYjfximAJvvh8 kFfeRhw1p2Ai84Pu8t2nuPWdaP/QTaO+k0GR6YTZivbppJhr+UsX59/kk+RUfDH8Uk9pn2qE0ByQ TGkA1KlY97MqHTLLHVwy/eenRLFJSAFCULkoZT4FS5YIe0ItF1g9nr/mFfqTfQjpQVcSIMdWjxu5 XTKowLm87XY7Fe/mZBy2NPOyBHPg9YiqUOyKfRcn6MxT/WOYQoc1KEts+dKgvQcQEQx1rC9AQX5+ yJ8RvLM9kPqls22cePYrCRUIzB6/W0yq2xprp5uAh2aPUOGZS5BcdOKSQwVQRi4r5qAN67sUob5J 1Ybw8/Dl4rxIOG1TBkdwQMA/SVQzxkACsmVWyw0lTYHdoxxwoFjHf72qS48ORLomHtPQ88E9dzUR lYBn+D3eFlNo+Fgb5MXT9HZlLRdPITZ8pStEcTBc4PjoGiWwxfDFswFoLo4s29Dz9x+LlJ5EMgkL urYvn1KNuNDxsSYBccmGa0Tjkvg3UY4y1oxUFn9ABBn85WG8AISqz1GKEnCK2uVsPMGV9k7erqxG tATqZN0XLu59801LhJRwcTrTOT3Q5CU2B7VcoQNJ5t+QbZl2rcJn8m8bDZs4sFxCDCxLX5Gr4Djx kgOAKbR9zBfLTCwcACfrarnkwPYx83c7YbrLiO7Unt1x8Xn6qcIsx2fgoe9jp9pWUfOkfDVPIDE/ IS5IXOW59xFMTgwTxP18z008BWM3PaT4IQEZJVErCb0eW9RiOt9PMOTzZlQ+H3FRvgVvCs5wveqI OXR+rOZT49k3Uf8SK5FuCaTtWqfWb9cP+usk/S+uEqptpwTa9Ic4b10lBwUM75ODDFhL5/ygTuQY nkPzx0azLMhT+WJ5JcpFZd+p8vn32L32t4kGrta2n/FAYP9oBS+b0BzuZrZJzf7AhCfQ+hg+Ag/8 H/P/M7ssOhVr8eHu3NDeSIY+hRc5KRYtoSExmdw/rlnUb8uBAyTKesJNGlX+uXj2PadynVn1fNTT /QX3zrCYGY+dcQ5dIMGBOdm3UeAX3Su1T636PoFk4ZSdwAYSDVc2l+YovnhcWnu33Sn6e9KAzDAL IiqkxkBChT7RL5GyHPhAohTjFdcF1nVQOcQfhiQV8Jvw+QhY6a1v/h0hyNbQi9QufV6zpQK7EdBl WxHpZG62ALlJa3Q3xl7s7iMuveZK5eoid1a9XLzxDe4mEAJkNYGaoGZlpfYW3kNcRxL5X/Trfc2U iiu7QIjUF9sgSDByvtYWnn7ZngLbITW9h7q55+VpjWkS07NgcxYFJ+p2fNciCJcIgZEE9QKZaRcL 2sHmGARucALOxXuUC5UV2MtU5GildBOSRL8bME2K7truCAv/ujuJdCk0SkrxRRH7lNHDnNLRyH3d HTmOP7sjidiUbXdes6PzEIoDzEpMiHTN7oLIIzuJCSYh1lF6zY2KJ5CjscTl4oOlXc+OoGkO3XoI J8n1p1PdFpSdR6+EHLzPa2ZUYDTDewz1oS13c3jmYPHUPq9pUVGKbnvBfzrhOr9sc16ToiIqzbnK iglNtGHh+pT7Zat3m6kAqc15TYkK+F1MiUTm7D7rQXY98ZNInoS4HfBzrOYaWRTndYM9hG1OZ+h5 TYiKEppTegB9iQWpKKfc4zxpYIDV8+c1HyqPtp2ODvl8bCBwd16zIbxYaE/M7gSKTWVMSQTs7ilj GdUwuK+5UHEP7Jb78MVfrBNyzmvEjm2p81xoBN4M4rjhdJgrvuMUM5eF7GsqVNQiQxeN3V0uur5O VtHENz2F3+c1EcLTZvBsYadBrZ6SZDTjDHYHA76VVvWOdh6XgzP3VUYs1zc02B3zhNB4m4vtzqmi cXaoX3tS9LP5jaJ2vOeogFWvFvsJb51f9X13lEYDxeaLkSbOLy5v3VbL5zUBwtmpfnY0AZ+4NZPt zmv6g0iOMWRarqGd6vUMheDjnftfY5pod5omRpqyNZfBguDkQnd8s42N6csk103eqkxGMBnzwlVe B9GrlSkrsShxw8Wa2JAZSqyx8vi8Jj7lQW7r7BDfPqbHndekp0g0ckJ/WjOj2nxm1EZv7oXZoDYr bd8/gzJb97b47lCqrbDJf2Jz35M+hh1yf5TQyRm7Ywk0JZJeMx78BVaRkcjyxYAj51qUvvjJmT6v +Q6/oZ8dlfREIHnceW014s2qUGWl4Q25NmU6/mjsO1HbfVpB/9poxFtNO3RwzHzxuHPi9XRFgJMo 08wIigBrU0UYrrzjYtkaddqi3VHa3IXZ7t4ZzysViUnVE/M/r01GpBxr2O4wgGOkRQ097s5rg5HH Fegsle+IyrN7IT9EkqB8P1kj1JSI0h3BjcSf4XLfrFO0VCpsAJIyEpt7mLYgo89sNdcLYaOf0Wtz EX8BNoSg6+6LR2UEdG8IvbYWy9PoGILVQhKtqYhnNhjlyiy8ihiRAEAM93MeLXVvBy20Q4z2F23Q skQw++LJ8oCKC/Se0dfHNU5BCYCQfgZhG2TpmWRtkwRAdH5kwYtphy36tU5AW4JllQH+aPR6GTzb MkLgfDcHQyJiBDsEHsWkQx5PUC252cS6luJCiOQRG7fmHzuk+33kq0qyztsJ1d2qTv7qwDucI0RG JogYBW6GNoqlgc3nncqG466tQbZiizXyAfrmhZQrHw/Cs1XvQzYiEObr+eaF70w2XF+wEVlkpWCL piTaGILS7IZ8mNBwMRRNsEVbqLXCFgeXm/uU1BIsgAh8OOdrCgFJ+SjKkKVbU6h2j/LmrtQw0fgp eYMAI/DReWGe/wBoLUp++vq63iumM7XeDYoyZ2RmixoqGlTLwpkAGllfE0BDj1ZBQ4IgLVtHipnb cvEz8MnSOB9oE/CRccED3dMomgbg1r3Ckr5sUHYlFqUi/O1cXfvnZPY07oTVH4YcUSAafonh9SSi F1eS7dB79gwo3ok+tVoZsVry2uKEkmoc7cLZsTVcox1iAAIfxxfu0MmjTmzB/4YpEHgcmYitCh0I 5Xq4lahBC1spfdcoDHGYSKO6s2BoZjyRU0FTk+bcS+3zTl/jnbS+y4tMGap+A1GUQkOnak7Hgc5Z 3GgFXBoPRIBwWOX+nkNjjM/CS69QH1fUd0MoYBOfhi2CGZ9cVBv6NxB95XzYuq306nknrzFoEjSF VNoWVaenApPh5vnp5fPOXSswSJhfiRD0dc6W5vuahWk03YoJrED1fmotF7TJtA0QkYYlFLtjUR4t bNFI9Jnl4pfZedfn6NKsRXe6GbtNoQdT6RuHZrfv+r5DTWo2ZHdqUaZ4YlvRyPVcl5933lp5WvaW SINf2KmlyQ+NMunF9uF2XeicjKoGJedpUJCzWcuPUJRKQ+mgM/76chFqUG0mGJYus1BdlII/tMVh ZKeZp5QQ9taXfd8hNGVBmuzFF+3QqVUEqjjFxPy8c9aKhgq2Q7ruofS3biB6T6d1B51SwqAMIBxt pxkBdy18A2Rp7AxF+TTQ5h2qlskXO0MQ6QDesUiEDCQIFiQUx0jsbrqOnc803vPpTIn5E4EKwbOz bH/LTn5auiQyoGETJdRrj+84BAPMue8ZijJqYPNIcNFLtlv1qQ+GGzbQ2CgitUFhSk06CF8mW2yD zgu2YRAqlCOwLexVZzgHQmDr3BMPrnhOfddog+ihugVyvK5q51UpHKajxlqfd6oas6n9tUFo7SgV UwsxSqnhODDlSYANIl7E/C93t1vt/JLTe4hhSj2FkqVdMRdvIqIvRLId+8/nrmdET5wBS6/pEa5Z 9l3fr7LdSExuYmK3+lTz55KXMEbJn3eWWnm014ca9A198HJb0O8sNQYvGFXkbrTQjQ6EbrJTvVZL h1LzMPROUuNRJJPvfywOJkam5yg3ptSgofUupBVeNViO5zWfbpB/2b969HhNfdEfHgLNxp1t8/PO Uft1lZEJQpHp2y17p6hxhMHw7HOe7NEZtIG8bB7WETL4kr0z1G5Vf4qK7Ivt0GDhOqlYmwGFgvra KUsKicVwrQWPycFodIF4J6gxH5KGFsv61i+7eJ5EiU2PUyG3zzs9rcjsRzskWtsCB8XD0Ds7DW8Z 6aB9Ox20sxajk0hLnlKTWKodilJqMEbYShy+XHoD4Hpl0QRinPyUfWvAsfFSV06hHkgMJUbeuWn4 ECJiMiCFtticB9Y5RKGlzMejlPoOepooWpQI6r5HUVK9aKtWLTk8YWI5nSjRq4ONsxMBrS57Z6ah IpDv3BYZdD9k0NQQubMmYRUaOOCwwcQKdRE6EmQ96T0rVNR8Z6YV8fdQ1TNz5GLvGa5Gecyk+Xnn peG+vZ1FQoiRU6P3azsUtqVPBPFWfaMkhMv5lJGt7EirZhsVvrPSGKtZ1S+F7PUYZSwcJNRqjEQn cuN2B/P6nAFiPjM7Z9a1R4H4eWelWeKOC4tabFw8EiVaMiA+rc87Kc1y8q/2B97WQi1N7tB7To1I BCRMt6bi3LN5Aw0wNGugTbw32qH3nBp1d1e5UXzxlBG8z0n5lXOGUqUeFN3n8Z7hO9Nm1mdiS1/2 PRKtQSD65g5xsUt6g2zFlDHtzzshDb9r/k6qkXY0Qaq4Q1FSDS/6c0SWOYRWWaYPmdlbXYbCzM/Q e1KNfBev15DZynjMVgg0Q7+MNrMdHnQyfcJl0mCJNcelfZCp/XknozEQ0cV5kcM/IIBiZs4JyrXM csben3cyWhF91CPRj0xUK0OgtijqUaOCdNYHXeg8D4IG9jXAzO6L9c5FY4OcnaGt8mPf62xvhKU1 RfooJ+xLxT4PMmMA8UiXzTCafdcgZ6Rm+iNOc1Oi1WQ8QSraOxMNjy8v7mlG+EMBiHbrjncmmmeN a61pW8RfTrFaPj74OHCBLVa/p9XoEuAFm42AYS7WAEFvooGQxur+vAj6l6dSACwPEPTljWpJk37e aWj3NVsiEXPxyuxEec6AYB32zkJD0vn0YflSNbL+ul/57zQ0nKKFUNSKe2WU7ld+H8nTxklsE+fP cWLN8p4aGFpsi871dV4J6hlj1nqSeLn7ZDjNJvhQ5Ee+BxHs805CQyeVwg87P4tu/BOsi7ALJ1H5 vHPQrMD+qjwQ+HlHaIOivHoOOL0m8+w5xXh3nl6b9r8skO60vPqdgMZmHDZoNwrPcbEN2pCvwH1z /oe5wTZnh4jArLwpZYfDZGyYou8abNCiVGZn7sjFJmUg2QqUcNK2d/oZQ/Wvy6wwtN8TFKXVTbOy LJIwZDmWNarxt/4RKZZgBr5k7+QzQoN4ghYL07Ee4jAufxC4CWE4l3xaS0Z1JO8DDfcw9BSH3qln Rc4w7HtkX1S7nq9Xm0CdnV8xitTz1wZhjJC8x/hOPMMGwUIQFaU2qPVmIIYTSMY2oPnJ8W3Y8c47 4yuGnTmnI/liJ2id4n43oRhSRYM2iSaD4VcnJj/fKERhjHfWGWetSTvTpLV2nuk8QifR2bzt4Z30 eWedlQddZtNWhKue/Cp755wVGZisxJYXBmbl4qcBxDQV/5zZ6uIORY3qxKtsSRuDi+1QnWlDdQO0 6klzdh62CXok4tPPhQUjgPOb/jktq+UuCkGndqH2GPZ7ft7ZZkX+kM+kgzSqeofR72QzXg2yS3ej jG6ujpDrK47B7+CxaHuiJnUi0OME5+aLbQ9cGlahou0JZOdNU+UGL0bUjWAA3BjdqU//zjTj7JM0 6sFI1N0ngI2+JGn5U3t83olmOAnptvEJhIEMQr650DvPjDMcFK5jGJk6zYv1yO1iPdglV5AOm9RE eZyYsn1x/D28ugeyD6WL6IMTgcdKd/C+XJc/RTzwO82MDTRmQTXfRZMOpBPMruvCX/E9ocZpqF9B qIEsUeoNQlFCTSeGSpUM4j2Sezm3Uc1tjYZBvkNhl1rV2FYDTVbmU238ufICmAfyPGCbU+iJkkAg 6KHm617ad84T3wlmRR6WoJkv2oE3dE4YhVaB8ggzwFM+fd4JZr/yRfxbGDeiC+NbFCbUvV0BQ9T4 /TrKK41nQg3TPtuisEvNvYGioy/eYzxx5xTDk4eoVVxhZLpgF4kYml99/JL1XaM4RJjZFt8FiH2e nPMa46+AzxvnNv+8k8vwnu3vmwySeq3fuvWdWea50DkuxUYd4yI51yKdc5Ln4qL978QyfPvCWqO3 6ouPXKErBGN5jjrOn7qyPwQ29c+Jnbiqr0gIlKX0ZYNhkOQLxVLsd2w/FiRNeAbOr/15p5XhO+b6 6yZreNV8WvZOKkMgKlKd1b4gb2yu9LiS2ajCvAFIYAAW43R6aEyWfLEdqgmmntYdSpSkJyYPOqSU Ds/9gdwP6vW/c8oQEwglx5BDi9Sdzr8cWSqlcDH5vFPKyuM0a8CGnOBov5tXZe+UMmIdQQquVVLq c0I4B0zFDHtZpNGNtTOqPXQZ3xllfAfYH6Ktg5Z7iqC1uUTeaFDhpT3fRN440MCmT4FNFFvRd/3r PYNvti/CDzVMC1hynMz8884nY/vheyrN64E+AdqgMKOeaD8w/z9H52TvaEWTwEm4ouwMKhSKdYii RnVjBKL0pi1+iM53x24nNtAy9S/IboG+DbaVhFoXMUbi+nlnkxUXet5Qp7ZFO4TLQTs0Nx+PUur2 fZuB3YEIbzv0nlKDMA1fDLS1uUPUcRMVuFMAiQnjhMYUCUDvTLL7mkHQyhcL1fBVWefHSvAiLSK0 AX1Fd4ehQdxNCRhv+7LBfU/1tEUFGS3aoZpOzcF50Plfft6JZHi8/Zq5Nuap+25R2KdemVxcY9vV 7nLhA313B39AU5+x+p1HZghBXGOj+eIjxZOxtL63AinmQ5y5UhCDkzqEJy9c6fLzeeeREXhPEwNJ gswrCXKC22b3BoCk9nmnkdkoQFvEdhtOc6N6irYoalTT0WhQawqBqFH4jBc+o6qZzda7RWFeTfgZ pNJ8sS1CT+7UacoaM4oQWtXBMRqvxcluyhUnIIDg884hs94Hpomp+qIdQl3Jll09H/d5p5BxJnnH 0jww7Hvku0Mh+KMB/KGe0LCgrQs/ec8awG9MsRiqw7yac+mV6JDFxQszaDZXCqMOYK26gR1ZjSEl ao8LJgPu550/VmSK3HBvVF80uAcHjELPALx93uljjGPeHVKPkcbpaJvbFkWJtdxCtlEUHP4hVqtP O06s7SbL/04eY38Ibw9bJrb4IdrnC2UkSbAtQEHDeF6gB1IH7d2uKzgbv5937phVV28efRWxksPm dqrXd+oYR/fp+xB1VvfVO2gtyquZE8mPm3BYp3OcHeLRVbDupJB3/pxo7soQtJQTrZsTwavi1FSA +oIcXfHrCP2BIrQAUQaOknwe0ePiZwT3Pa+xPnnfd1chByi+i4RYIQbWorTaJdOGRHcgJlnmE6vD vBrla27dOkSbumMi2e9sWM9Mn2+doahPnZhXDwwnbfHrbNcMr9bNPvWp8ypT7yKEHKYneV0bQ4vV If5j4/SUSpIUF71n4CpXeaWVgceD2X3xaQeb2sBFtuwtkB7m1Rk0KWpeyAlzWoeozZG69dCI1hHV 5T2vRqhmh6ixW73afDpE8JXdoM1AhSAtDKqFum5m1TmvTjGvUnxGMA+SEj/yaVt0hhbQumocjorH I7zwbVNPJZjnf7ig8x5l1ZjVQtiv2BmSP1inB1bu3kOrPuvoEf5j0BZkqU+9vvrUQNG1tWXpXFY5 KRGruAxaK9CoUkxjaTaTfdeo8KAm+FYjf3sj/2xzXl1Z9Sk8epRVp1a/MyIk2QXCGLZDYVoNzmsW wQ7/RdNNNomqJC47vUGaXfc9alTrMoO4ni/eJIJSDOwwRRovhcDZk7BBoRhqTbl+0aExscCHRE0i CmFqHFTgUSkFXZBkBwEc45QueD4gI04v8Bl1qPnfzdYVnxvyEau8ilXNz0WtKCONG8QRhJRkN36P MuvJWSE2xBcPRXlBe2Gz9kAp0sSsxykY4LL9f8auK0mWHDn+11naaNCC9z8Y4e4RyGpuRr/lD2aH k11ZKIgQLngpXJGGopcNgqJNpJW0UTjoPjvXQZXe3iwTjwendfpuCFVqxlziVI84iWAZzDodq9fU pF44irr58EBriXTWzr8TBdaUr9j7Dn6dociR8DEo58OChHzWBO5Sw38HTrGDGVlq7FG5ehH/kbdQ nyeUIJAjLxhfsUmNLgoeDybolhpZ6GYznXohmqEwroafU0/Wj55zpUv4bUb0KKlBYF4zFBMTqdqs nioHX0OrnJNsMX9NZfWNHgPQ+ig5An+FrrgfRdjv+IzgwldWBnSJDU5d2K75hcM6iqvH/NXvIEbw uc3CcjWIm7WxJcaWGRVj4bVYEO9mVYlKsipRj8vVhNvDY8IGxxD1dm50hKIQTTjHG/Tee6O2JYwx 8UmP9ieRaD0qV4PzDQVCRkYcjEK1G6nmmKGJxyNyh6evlBuQmL3XiPp7VI2OEJVj5duMUxvOlpyh OiiDltF1ZQbDszqKqlFpoxbD8sFm6Oz9edJ6GafhcoPk+FlCUO87H7boYm4EvETjtB5VqysVvjYV uzUoillTjGp4Mk08HuX3fgrJWoW0pLuEIkg1DkmccolYc/h1mOQA0B/TakTnNKu2xyL0h2RiqOvL gQBeEhdwZcBtRdoepQ6aw07gNSZKeBKztGMIBTV8SBQRsZA/1TWb3jXbuIyZd5QT6OLxCMz4i9uB 679+raG4Wg1tu7qlDTPZXDG7S4XSfAxFCu2yqFpNt2ZUGJcPjmY86TEoC7zv0WRHfIpV1qD9x1os UL5qvPJuwIcE2euaanl0H3QOnZtG5uBlYZeFTMV6i0SqxUI2rtzrfkRx9aRfGtsx3GezOfyj8fiT KGrxzGNE9eo51VpU5uGuEwX4mI1yO6mKCXoqiRFBAUKkgktC3KU77mS9a9wVgi7jM2iKoGLICsY5 zzIeD+LqexApqKWsx7rl6hEF1v0seHIw1PQoBCyZPExx8gJVzMUjD8vVuu8Lu68c/DZrC2/OKYJs NmwccRSRkQbQMvXEXNwLZW58SMwkJ/SDYD28+lBfqNchsvT5YTOejxrU4zs7g+3eepj2MbIaOKud bBWlkb2QVkfzQtrmWcIpigrWENBE0kHNqvO7YqcNZmejnHgIe6SAAFxz04FOoVhK/vTnwodVED4j AHw20jjRUsFQzS/1JHxr8jpCqIHHI46Qh0Rkng98dL7bLISADNh+rCR88GzLPQgaPEgcNFyTMaZH FFUTa9/HqkIIEXKiCTrn0tw0gj75QQPghhCQDeuWTqmqee97rAp8RszEa4lGvTYoqIZYC1cA7m88 Hp1Et9LIMh9w7lWylZyiKKyGDd4EisWAaDVX7752a1AX9JWN4TGisHpSPWdUYR3quDyzc9PUtKtq IGdqRiV6Npma6SLk7baFUDfHh0RHES40apVhwB7dqqSlOY2tWDKej1bRL6QVFu7T9RhRXD2oYE3B L9Ko6nJBr9SMUQWUwzAjohHF1bLY6fkZvHGGe/6sSfI5d8b3ozAaWfSA6pOeafVq8u5HiKumLAGx BTYYFA1GK0rxz302Ilz1uLoE5cdoVKt7pXGEuGoQXhOdnXkOEXEiyY9anb9QupsQjQhXXSjlORqt v4ar3WOboXSjc+hcaujt0ya0LNT44FXEWND6ZsR7jlDzg9uM+u42WMuj9C6Zqt0HHg9iogtmFFMB sBsqOmqGomp1Y/K6DVc9axnVeVSlF4eBXN3qEcXVk9Kw0n3FQHgGYyLIw7YmoeEENUdy0Qr4IxUu H7PfGUJ1PeMzopBIRLO9fVChEZQvxtXl/HU8Hok3/IqrUVmAOYJNUBhXT6CdpmnDw2nCOx4jd+94 7NYNBDLiuJpM4MoqYq+PbRwMWhm+cI+dL1bIAEmw6ak0pi2sVou8oDUUxtUE+c5FqbOJVradHeMc A0TbjbEXng/y+3Jx1aKaAS/Y7yKKAmtUMSFzI57rEqaQPo0FUvfqvp6vYsKCIy5XN6HMig9ONTu/ AtBapAlNtPIACgVwcvzQlrbQXlkV/S1pnahcjegdQOg5fFAsDELNZHZ2gi48HpVA7nXGaGRTmclP ohnWq+kZxyIUcCBZaAeeZGNX45qhWa30bMZ6eQQRTWIcOHjQCH/d1OXV3LGV2DjKaPs2yoHU2zib NPeYIQxEXmhd2gTdtQnOLTGlD9dSa3g8mKH2LT8k6f7l+euMouraqQBCuRj4WW7LyNhZrJ6/AmrP 5GyG5WqJwIpdLsiWVdHOPbwWfWwnZEXgWUbEHs4jiMiMq111FvDSu/6VvuYqHaJqtuKoTnSzYkit 4PF/HURcQYg/H0zsjGLqRNuqZC1pSBC5w0AZy7BWCdGANtmM2YqiKZo25YVVw9a9AiPr9I7U6Mc0 QHkfdB/mHW6UV0oTzLhaTTVKqitp4IfkjHjIOMEVj0cz9A0CAQFG5rOaoSioTrQ/K8OyjpKd8Yr4 OFnndTWPGGdYqhY5KNOTaeT8lBnBcRr4iaGddzK0bcoEWxKcP8TymC1jX3rXaII4M6lXH+zkgBKv mcVmPB60739VQOBe1dpN7mcUUqOWhJ/ZwqE+3Cn2hL6XRJXJEuMSCqmKTfwy1Yi+VHb6iexaBusb yf1EuE1dU+rBwFQ8SyJS0HwEHfiQSN2CYTgFFzQYSiYjfMQmK73i8aCt+JxCRK6BFtBvjWhGITUK XuDJGf0FKiaWdbTyWOegBKopCvU/dM+n3nzwOiO8KlEClz/Flo1WO6eTXHchXHPjIboxziikrlJe RFfbBsOiQf+BBM1zWeHxf1GCFwuxBV5Vd4IiBEgHQ4cegup2bLY4iABpzS6ylHexOuOMStVWRJuq fsxb/YCv0E7wrha7A/BSLLMT+iCkRgS+Hs40FRhnFFLD5+77nM6W2sMGWBboGRdZiADp38BqWGpW mqNpgqKIWknZKMYqT7M+Frqt2E3fZjLt1xkq6clFYOoim89FVmEJu/cWFK2gN828FbuyAeEJTv8t 5W+9a9QvIzYGP5wNushO7rlk7H1+Czwewax8gnTpIWAdzg+aEfwDTLuVdWdRpXJ5ibGWuu2YHisZ 8nxGEfXIysaU37ebte4MWcGJ/YBu0Lm0TLoBKCtKPGRKa1ulmsjzGUXULAqe05brbSy/6vF5jWsW VyYej0BE7TttxY+SL+1+hjTFRrynscmgA+I+nlnnkdQGh5/TsfoHNtdULZaDM6haO5tgCdwACIyU qqucbs5s4YS1m37QHH6GgnrEDtFNwQalrWe6aTt2kojNVwyCxX7Daea44HeQLMkZWlE4nYCIRa6k Y9oU0CZVR5KnrfNyXVcE/xjE3500Zfjgm+zc8kDYLF71IELII4a+UjQ4mxeaL4XuFcI/NmtDiTg0 DoaPqauKmtlqxeORxM53OA3VcTQPfILCInXDwtnbtN4TlTh1j1HQVeof2TWWVxhOq9dK0RENdkyP 81tLrxkFxtL24AWW0Dowtbh7TMNKiJ8R6el1NcoIHC4LzRLVhnaupLqCbYPnI6mv+bXJiMuZN+FY f6lQg1yw7RhqyylCJ8JwsdxxZZpWiP4gw3VmiuGfgcuYeFjoVoIFI6fzk3CQUV5B1KtYWQwbTZMR uwifERXPyCinTqkGLaE0DEFUwQVecTj9S8cKRzQ/VxMUhdPwQlCiqglKczmHql5/vCab88S/E8l6 ityxuw+2hNZCALS2aIppCh7Ts1irOIoeltkkBm39q0bNUIiDHdMp6RQCdQ2P//MUwmNIIdq6Ejsr VKOm6Zs8cmE7UZrVPRTOSYRoAdLGc3qFNEV+bwiU+3CDxRPjQcPLXLqa/BT2QDH/fLWfSeUPHdrs 2q8I+dFF5OzpDgoWB2TRiCM/MTYe/5cUGpYLcaOAuNoERdE0uimzQRJUEyTm/RY/SIpWhY5/ChZX rPzBnDUTEsvBTyGYTrdCg67zO6xOiMg5mCZkgMDf5xltPpTJXjbAxkx1gMhD52DEBXRaedWPPvB4 pPb+zQ+Ce0Srt22/QkB1ytfO/Gy3Ru0ZRYt0rhTyo7qa3grDaRrb9DGXD17Cr7DrpgUOlN/PuUPt 3LP3UDqDbhLSNSsMwXiRLxvM0GLNbMlbcbHzxa/Vc2JN/Cyrhscjvv38vuuBr2pt33MoCqgB4lxy QkR1sUiEaNPFCchXVBdLWm5ftkJANb1dmtQEOVx6ECDDOCRR+AC8fdHUvJBrf3YjFZAN+jHtXePK EM5ixlEcFFBDk5H+N+Cw4fHgoL7SsAyogRt9lLtXiKcGg+kE3iaKT/6UVC1aWh4uIhu3gzouUSMY YgvcBj+oTziED6Dg4E4DchSoDMEEeEBIl4q5ZtUNDwp+SHBSUybuBP3DB8V4o+/BTB1lKDweNO1v +ZX3LFp1oAHYDIXIDxA7Nl32GC62dm0nuVVE7JhO7FhRQD1YoG6JqVlL7TmHzmEMCDrNOTsinsUZ AhgUpBiIcNy7bA172YhAJejZSj7YSd1K3WbTzcf/mzUE3gA0N2yGdhRQw0MX3Va3Dugl2WU/WnEJ 70nZUszQjurTgzBh8NJ8cGxMgYc87iyIq53/NeissLGqOiy662PKIfPpHQXUTcooBB5q0LcCr6NI 6Gvw8Yj64gc1TxwQQMZlvuxQTm8A6zEsuViJgR4naNasghosXbr53u2/PV0qpAdsuMVF1BYb03rg GXJnj2NkMswGcU7lXmUUyN9RRN2rFIgE0VsO0VuzE2SITtkeeDy4ytK3unDBpZ8u4HyHcGrAqwjq sLy+Fj+GdnGZrw3etWYoDKglPNTUMGuPzBea/fNMmsqvZVSJoZBnWnHxA/LusJhq7xqUzobSVeZk HJS1nhio664/vwgej0iK3wLVWBoQ2PIJCuvT4JNTyVrntJYQ9eaquU5CQneZMMqOQR/aXKX54IUP 1KRn5kWWcEi3zD0G/EwDU+9M1dMEmvayATWoi2u/kw92Cp0cmDdRO6cdHo8UGff3DDHlueJDO4ZS E/OxpNCwgFdULj+SEPnkveCU0AzFUGoVzdIdbIbmmRPw0YXIn8B/MOVYhWKeTW9qtTOENPiMKOWQ ESBbrhy0hHaDEAhPllbxeHBMX2ELHunW/PcJigJqHNAnctvdJogaIyKXpeYTBDEYm6AY8UFyWW7b BwM0nBu1nT9F3FCG3ksWoAG2la3xK9+Mg5Q6fEZkgMNocZDtysFwQ63L0QRK53g8Eh/6ViQA+rYT 8KcJihAfoBJCJN8kzgFasRWUa9o2QRWNbU1QqKSnFVRpM91ru3WPBc4G3ZLR4shordM/CdDkCo46 qkHeie5d7xqRXGVgIs/Ekbx0NhP8dH+sjbjj8vQvpjQEjVF+swkKdT/OzXFu4pGNONX6dbltxQ+h PorfYyHgg6f0RHXbBq8t7pGgE0DtmLph7c6rDqaFFYoJYzqdo9CMF58Ri+RTUvgORudALd/IdwOP R/mGX2NcLYDhI02yCQrL09DdWLQTIxa/meoZCnY066B81SDVvPPvROVpdhGlkcfBL3pIyVNNHtdY GrSfYDh5/jK67QxsDFS1p941UmeSSQcdVzlogogosRyLj/83khbkUZbk6caOgmmQ5JYAcRRAq8nV h6iLbG4mkCDXCorQHptfe9SefbAJOnMzRm4GEW7nSiT8qkDTDYkILtEbCLHBsUPND2IYEFf5INTZ GkvqQ/Vcn3g8WkH9KxCC9XPZV/MjpyiYRosZZIVhYA/wXRzswRtt0++lWY8sp1D1o5tfUPHBe/UQ jKudnq0VXHgyP87EoVcPR7bcdr2gISo0sdYblLGoIJBZZET0LRodnMkrpa3PX86TfyCCeF6qNP5j lrG+XHFCJT1YSc9GoAfIC+j5cZraZIiBaUJd0HqtOcVSepyfofoqCX0/wg0Bsli3uGVprgVgAXJ/ kCXogZfKQ8CTIUWKgmp4bsBAkhrV+4rNo53YZCZwdjWfD/KO/l3IB9PkBDLXkCKFvi+D2kOmTQ01 HydPzTG9hjbTlYJPIZi6ESJdWMrn4KlZRkd7k7YAaZTNNBdx0v4ZwKLmVC9tYcmONIXID/USkYEg bkTrqakEcsKKLJ7iWPwDAdLz6sEXdYSoUngtBVIUXQNeiWPbYPlocDkYNg0h9AsYBQbLp+Fg8Icw T1VNQw6ef5ythquRuPwTOy4gneAhtJChNR4QV3gQYoT6lOBuI0mI7mE2KL6GFbN4vhlOySksWY9v QCwF6fNN85UTR42zVUTlWDTJuXpE9CEGioj2bH40RTVrkX3bMIvSqyUDNT1Y4VHUCtHwJDS/ATuG KK45jIjFokxBInxK0PigmuxIkhxO7niVzunH4/UH5oF8PkhDbqLG3nYu5xebj85FTmHlmoq/1A0n HI2eepTcgZ2NwdEayYJaTWHpmojYnkSrSvOp7UOPc4KYiLOpQ4aK+f6JmX7Y/aZqlCdrjAPwKRFk j1oX/Rm0miB6xO9Ye9p8/n2eavqOJdHe6WiC+CyF1WuAY2tx0llHimekMwSAYlRlVASLjM2icHuS Ql2nAKCzPOE2YqUBh04IxENhddC3C/SFRaGCkp+ziTx8fEqUsika4EE+x7jSRJD5F5Bq6y2DPffY TjI8R8OgXIw1YeZBg7IbhxrrBsYnxs07C6BS5QLRaV1umZNiSxjFA7JkyDdkmvV89TM1Yi/OcxtA pOlsuow2I7owm9EvQybA0vW2MYka8LzVNTRrPMOEhLdUPw/x+UhI/1Ee5sqrP+SZ+ixFgfeqhYIF 3pClIYIJpzwi6PDPNJe8SBcEshYk5hUfHALa4CldchVyhs6lyN3aJAQThPgLATXX5BQrWPPwkddE u+oyAMlO1UdKG3w+2HGX8cGVB1GnMa4Oek5xKRuN47XNbmARBs8qm4y4GH3z9rFZCsEhlLaEzYkP np+cjBb2MDyYzhm0N9fSqvAIAg6U+4cHc2GNjx8S6etKBUwUvXYpeuc0yrI+GYwsQ3OYi+LjYU/v 1HEnKTRcxGzOxlsQk2T3HXW+1yyW5E66jspOMMSHNMl5Uv6Ug9VJYAB98i1W/qD53VXQLVj8FRDb Pd0eplChkh8SqV+wJ5v79kGTdDKrKsuXBAvu0HLx8ZnGSoeORE83jcuh5yLM1s9514etpGlmOhl3 uO83tAx9kiKMCDIpzE4ePtgkdQiDzCId4oEUj/ShCth+gQ4mltSF8iV727+IjAvb0gbL5HB1Emd0 5ovPR0LEv5uPYDICTO2zFIbfMIkBfe5HYkXNPT1AF1sqB4BJVlQOyKHvIn0e+zmakw93v01UtSmq sDFRkz0U5jEFcVSnFI84RKXZ2waz1CxQouC3qPa4jnc1s4+OikkOnBcFZvkKvtEBrV/Wi4H3IjrD hWAam6WTjXjhtkhvtwhMU32WwuCbMKs1eThxsLV07u6dkI+yrFRB1ZdYaOK8Vc2SqV6xjZ0D80Xf cGVTLYQD11LDYqoS3M2Vzwcb7j8NlvdVwcih/SJ6/bPz+kVlqcv1DJWl1JsLoyfgpmzDhZRGpiaD YBANXt4+KeFJgWQueGJCgvegyKO9hobnvvjr3v11IzwEmyMSUwFO8McczM6PQHjwmfrN54NW7W+t S9SJd31mKRK3pvXZpAcJ629MEbDhUJS0pZSWIs2uP/SnA0HPd7gbrhECyT4S3Cqlbs1lA4EmVsL8 WOr+thF2jYozQHrYIOBRPqvCCky98vnIL+bbjRpnf0Z/0icpJDZWVJh4aZNxRbS70jjQ9sS4WiAf KqLMEW5kq8LUavXBSyerQkR2K9mFJojSOMKS+YfJUnXiXrHX/at00pXsdk92C9T+SpKHXtl8Pji8 S/4KA6Db1NJ8TqVQNGTCNCal5cku+Q5Ejqhnu8F3KN2a2jmwYkQ3gJawnvOWCx05kctOCdAOHNzA HTeLlbA1afO1HjWDam8b9f2lsT+6DyrmrsGOFykhev5faGxeh2jcrastmwMvRuAFEH1SxYLYCJGr JXppTBHk22n6JIXwkS3DXB45raynWAnWTy6UKAY8hDa5xKFC4Qk0nMWmkiBIadrrBhWBRNJDl6pj v3H32dZFdornyODzQXbyC05bCCBJz4aLnWPmD9vzQrINyT2hCldVmgP7qjVqh3DDxSbn4s7U6YM3 b09CmkiLRXIC1GBj8xaKrcCV/iwS+NR5W8neNuosyebL2wMeLJ0QbBgoezY+HyQnN9FlkQVI87Ye W8/QlREnKLJyQSOW4D0ighIZTmmMVYrXKkNbxiURrKzJyv0xsjpp2mR8SpmeE1JQ7ppSDV1KkN1T OKHZcujLSB/OE0uS7rhWcTgbtC/ZPekFSykwZkSe+cu8gQj4a96QQ2NGXKjLFGdgJZNN1xnarQwj ZSAz/OwOnRlHl+6coH/1nt0QmB0DxRJSHQtquKQ6NlaY0Nh/ltKST3XozCiV4k5hWg2qmUD1kNFZ O783n4+gtRd7zLhoEBV+j6XYnJFWTUTko+yNGoBBRzc5i2wO7OY+RDlwZ8TdIcBN6j54AQ6FklVl CY/mAJVoWkfOR7m2XIYX4E6im+x1owLc1HnUxKRBXQwfc0KWXHgkA+nMPxBF3l6BI/QPlgEPzD8H Do244oCrFSRyAQ7c/VySmS9mbD9KKzmwaEx2xVV5gdT98LEagBtEa50T/KSkg3TMhhPrhxzaQvy+ pbpkrOXYo1FZ3CSpb02SVEnqAyxeWy53Ph/VA9Z3uERs73N6hx6NWAPn2BnXs8n84DOx1I4KqA5N yoFJo1t9DvOuftjFCwzKARUxxpRAtfE2zCjUVBxX6F5715vKTzk2acwk9KHaeIaByrH4EOcz6KP6 g/o6/0DUG/jG+yMSqLU9p3cILqF2sENGVxI9VAW45sQstPd9lkJ0iUh9ieI0HLwAl6FeJcQ/5NbK ZkEz4WdthVjJ7A5yknrMoVEjt9LukyprHHTHnR0gNMIJvBufj6hZN9XFg0i6W78c2hx6NcLe6qQf 09vfKznMbZV99Z3LaD5LoVgfj+8zD9sHm6UtCf7CxHZCwpWc9tr5QyLWpIlcV2932tsGbaZMoFul BTEHK5ugtpQ0S5vPR/47vxyuEv7MuG2m0K4RUN6pdIIok2WoQMiKlO0ok4tTyoFf403i6sg+eAFu wFp3sWmZECEUYpkyNw/YzOsag5RskxTiTHh1Z9nLcFDkDTsbpSdnyfL5CJR8zXXxICECRhTj54bA bVjHLrnGIdVdRl2ny7enuqz1KtUNLBsx3aKqy+07z+tHmE5o1GpbQiul84uwwyLrHXwwz20LKvey tw2uOKFMtm46t9fIkioxzZnO56NT6XspifdM/2ObpSj0BpruBGdds3Rihwvpau3Bm1xIVw5cGy/0 tqi/W/o9vM9R06CNZjq9lRQD6PZBsRnY5Ewj16kmU+32usFiogUP/epsMNBS6UnWBVAMz6Fx4+9q LnScWn3AFKFvIxKSBcNAdU9KcXApAJvJ2Fp7ZD+WYuNGHsljWuX7It82a5TSsUXJGyucIUPlSkcA OR8JUSK4c+jcaGUTiDtfjeckWSZK1lvJO7BuFCfkGyRAf6h+d1xo3giN/Nndp3EOqflgx1Vi0WgA CttOn6Uo9l5SVhelj8ONvU9q1U17HuqoKysQSASagDL/YATUrwzsG6UZdFbLotUDB9Up15hdbJCW 9ZKReN+3qhgNMFp5JulPIPd0F7AThF8+yUit/Ljv1TY+SQ4dHA35NwkI4uDFpQLt80JDHgBowDJm ZgLdwAxkA4RgHOKl3nfo4QgkLZtL0wfLUM4lRD2edvJEPv+vWcJSX+cUGOnqIOTQxRG0xhN7Gpl2 FYITuOFWr06PXNvVw3Ns40hiZBWqm4NH3udYhzwUZ2mj5NBEbqNj0bIz1MIlNHj1KcGOAzhkA0/n g9BLiUkQZqlyLUWRd/l/1KQzQe2qZ+XYyfGs+FU93kZFsjivxJmlpTAFtlkKNUcEx5HPHAebJVjC QKBLye55EkEKZgnkJFSdsySIzC912etGhUrOUmPbkoPCpfNjUO0LyN7J5/8lkM3CFPrL3wiBwNER vw6KcGNKCQH/dI3UaAlEkJchBJL+UFSpJJYUQoQ2+Lm0UCEArQdpHMBj5AECWA9EzDnnWAszAk7q 9rrRlqOjwVLFcnnVe+dK70MSlzKf/2+q3rSh6P2546LQG6oEU4rT0B4Z9WqP0LhC2iO1JsdRBLaO EIdhPVcWvM19T1BeSpm9cCn4JgCUafOEb0UG39jXoAddIL1tVM9liRImQjY4wmuorVs7z6UQ352/ y0uoFJ4PvsilwNgR9KaEoneRK/FK6uVyKQ0zMMTP78z//Iez4xAyoPjgk1TBV5Ea24kaR2KJpWHD QLZiQU8+3R2Xlr1usOMox0YbCRt0LlU0/Hhtnf3L56Md903F0U/TnqUUVr0btKL4sHgCyfBdowAz Vv6HvKdajJmcY3NHbbjOCJyDZ3Hn5y004CkAjp84ckqzjtIVFIPeT6myN3vdWA0a5TfeiP1mKDvB hp2n916LzwdBZf5G6J7sEkf41bLJocEjVdlwB7kwvdnN0YjXpulclrv4jovRJokQbgZDHCwUODE2 TJrh4gRhP9ifIvbOCcWIDkDTwA6xgIl6PzmweJSBA0u50wcjly7bfzUhkQs8HqV28XUu0X0z39M7 NnncsHFuFkDOlkz4OEMQ3w2dV75wk8DlEUxeOjrLDpOD5XEDW4N0SmIEwBvUCYYWAMUP6D7HksBI /rZBgrJlGSYGbmMvm8Sls5r4icIIBDaP33kcL/3GYs6twYUujxNyBbt4dQmOjBZ691Hc0yiTg6VJ itDei4hKRi02eHXpLLKxhBVMDQGqpMW7yF1nw6MNqSxuKFwKfB6FQwDKmzYQHIy8NJFU/1CuVs9H Lgd3wxEzB8MTmnP5NEXBN46chSaJT1PpjqToF981S/MiXGD2iLCS0ja9LR+cXpGAMWkEnp48ZbDu Cf1jhNxokbJWaDRKFeFCs8dKeaQuu77OCIJ8vwkcMxPscW4i/oHI7OCCBATiQUTx1CoDw0fYF9Pd qJiUXSYWTLXK4RJJIApln6Yw+h5JeW73waZpnJVF6VFW4RJiJN6HYJwIS9Ge0onipcDxUZcUoF2t +KCw8kT18suCKhSfj6he34qRkAWv0DvwSYrA3vSALYIpMfF0756e5/V4XsNdsHPs+TjFGWjFBw++ ocW9YC8PxilYWeS9bwk/nlSKd7Hp/bVtbxs7HDU4t20fVF8a6IsxXmpAxIemj/O3hjZ+nfrUc0PT R8itALmsvGT2bEITgMpsDyp7T6bMmgPXR6wkISlr8eHmcecPNXmFA32byQk7//b8qQHBj5wfwIm8 wnPo+9hIP1kS9F/98gbQFxPedqfK599P73UF/amaTRvTdB1qcuD8iL57pn2x+KZn61lakql/rWlC sGcqHDmwfnRlRIYtNtg0od+FduSQERS8VdmPA72aVBdAyR+yTrLX/VNunHtUgwVMDbKKP8yC9Px/ A16iHAKdu32aosI3wt1VHJR7IpBiKcpoc9o0nQT1BkyB/SOqraZu13y459LAMhLydPRkJN6EOlNb LEo8sySyTuD/KPc7RCsyGUluMnJuuTm2gSk2n49Alb+saIFKag/F8vMaL9VMV8STlpiCAv7J19Ku xnOCE+2wAtNrtFSdBz9V+OfggcCA3fymazjIzNh/BMzj1WgDjftIwv4s0H5eY6UqjUwkb5RwS+5a Bp9ziM/8kMaFp1+mpwp/hOmZQsK3s55bK/o352cJZ2fTVUQAJQiOu1R0z3TrS9S7mV5+C2ZHNDxY P/hw6V6F7EFBKM5KWixiJphINGiKng/sd3oEg3+dnhNKkts9u2pL3RnMkGsrPL9rQRn3dXoAw/Tp YTBEVDmbufjI1/ioZmalZ+UMu9PWLunHvcS6pbdpo23E2XmNjrB2RFIs7OdysEi70gl7Zxln9LWF SO04jAoOwfLolDBa+LzGRlVSNaj1k71cs7OXca00YZBPLPZ5DYwwtVbhnqrdIhXhlcbJeY2KqpSl ZyPfk7Yiew3HvafklVu6IHByXmOimq3WNitrbRz87AGBtW8pa568cCw2CwrIhA0sCjgR2uRQkv/z GhFVAfvPLZ9JWEIK+UOIwzkmT7jOIsC5EPLnNSCqj6LdVD6b2E6cNjuv4RAe2jSfa4YCSMSgKrQe 2WYHKABzxHwNhvDLqK29iXrncHGlJDmStwy9uQpk8bnieOMBGJgrKpFTpFymsq+xUJUSPiQR+x10 fVF7mBjIM0uf10iIT6+vtQOmaRO1hL5uweyglwY8o8v98cRQRK1ekvQQp+Vnr1FQTYzi0Kkt1Qdf O9AEmJANAGU5ZxcAoqgb7qxcrwB7YY71eY2BKphCxBOPMXwQBvD8eEtGDq2Wz2sExLVTv44d9Ava pDc4jZReZ0cIVKhoWnstNUdJnqsmuT5S6x5KvwY/mJ0maXGqS3Cw2YFrw6b3D9B/AAKy1r+p64bG WobymCevcjiItlZhy78QRcLBatgnD5bS38rj8xr44NSav86dRkFzP3deox4cyhV4p+bG6XV6x6jN ZLOD/Tms5vga8/BQlogdaxscPGVtveUyGPMU2CIxIZHV70AmhOV0I+imV32/sia1+8siypaDQSPB 4+WCOIf/5zXg4Rv6ucNEbF8ON7UXg9lhhgFRayfaTEcfzb383ElzWq76Wmnkrt6vgTOQo7ipOhFa 0I0Cphq85HOoTXzDkyaPC2RrlGeLZkdh817ZB1VjIcSq/scJPT6vVcYq+0nNDjNEsA5OyunT81pj rOoFr6K0CzJtqy07eIb0ayXb3+1Yfq0wVlev60OyUePKRm1sH8QJSL8AW6MQPY2c88/gRU+8mQHY 6GL0Wl+skvKFexE1f4YLdULtfC9ND6pCr9XFmm9zyI7lM9VtrRsNRsEy+jSrtDaMgETvesEfynJF m0U5IRH+ovkhLns0VmBHuxVYihvXtAnwOxtiJxZpscBAawdplfw8E6ptov9H60cUCjBQbNDX2md1 y8SoDHBHo+1ldukWMMPlpWy29QUKD6aISqgdCEquoFr96gLVzAUQy7pTFIbMMi0Uf5SDL6EFEDJK wbjZz3xlItgHkBUTeX+RnEMV+0gcrfB4Zn2jUmbUFP1Uyu91i95Q+XgQNBsVYppmS4Us0vAZeo+a ISKBo6Bd1mh1dMgJpO1fIqWowzE0wQxtUY72rD54UgF2zolxiuSgBTo/Nw6/LeIXohAtp1DuHsXN fagw/Qy63dtJ8ZrqQOAdvQfOj7/s/JHwL8J3HtSaoSh0RjcabTPHz4zhp1An6kz4mb2qI0OCU7pX 5ltJdnPpucMgvUcGH+MfiAcQIZoA/GsIGkmLuHdY0su+b7NOivZmDVCD0tKdpoREagFANIyen232 I2uMXggx4gy9h886YBeMFxU+t+LJxQnAujhaBSg8X0Pv8XNWnexkUkgyNCj1gucMNG7kMHtutNIU CEDlk9aaPHcHpbW6xFre42f14dFOJGp90Y1Ni2h0ecFA07V93rlrVeDSZ4aAxgS+yHPTd+5alWT2 nNWkkebJUkwyu3exkMw9xLwf3qlrOPOZYlBu0wbLTpGenF3HgyhDeEHuqZV2l503xVNvpUXPO3Ot Osq4ocllg9LTUoVDRLuifN6Ja0gX0vg1Q2dZDBJKNENhHH3W2Rw1eQufDh5q4U+TbimpUkiV9bEo kO50fZiLK4SD32YbbIc0pYQAdG5eFzyLehWMkd3UUeS+KJBGTw1q4iyS5SvcT4blkGR23p930lp9 nEGnimMMi0hS1QxFsTTKhItOkuLQ8KZWPZr8WxbHxoO+ioJpgRuGmLRjPm0yiOAMFiYh93Mu9CHf SxAvG42F1PiUDMLeetn3KRqKpieNVoqk1jFFGeZLPGpXn593yppduM8iAsSoU4BRM/QeTwPhMCmC aJrZJ3S2NTTyNHgRMlWoPnENRQH1IF1oVS4lDrbLztmG3kwyDN+5xGR4BKuwmojBcAk772q8B9TX 50mOfBysCJSGQLNAm3ze2Wr4qslParMT/SlPvvFOVsMx1ChAPiVBP5F62yYDQdhq9Sm7idE7V41L iEWySqk/Dj5B0FBETYttn3HOC8YFlSUvJBuoJXpvbNq7RhOE3dWUtLZsLe+TSu9syjVENkQxtaP4 Na908mzFT6F3nhprHaiTyR8MjbFi+uvohmdTHdkkH6mIGMbUlNEcPXUfbIIKGP6wFSfAkXguFsqy hCF55F7VGtyjn3eWGpZAHdSoXXfwdL52dlhRsfy8k9QYKnzfZPTxoGKOJiiKqBGmzN0Nj05JJ7vI di5ujgE1DZug94g62wR12ctYfGvNnhPtwJOGSccEZJJW6WCfQqgFYsVPNYjthHeKGm4ysok2QZsa DPWxa2qaoTY/7ww13mTza4Yk6HKrZe/8NKxb9OlzMY3jlfnjqx+Wiu8xSLRpj73T05jVm7Vs9sG7 hrhmKBpUWJKGKssPDfvqz0BthK4BjkUb9rJBOMSEtS9xZZZzZQbBW9xkZz9/3rlp7IL4Mc3ZwM1B lzLNUBhRD0KscjUgGrUr5B9C9DLXUL+n0DszzbI7lBIVWFfX3QPCKp/4Z7LNc+53GEUaRT0xCTwv 2B9UDPVF3olpVeRpuPPs4YM1emqSFms95+XnnZdmx/yzhmhhv6tnZe+0NKS7BWh011eHNIQDrXO6 ZnMpOyTmnZXGijT5ViNVH2wNLRTvK4Jh4qw31FjIlkV1FsJMCLt8lxVKab6z0uo1vYS8iw3aZbMk emghsJqfd05afQycdZNBE661dNdQFFDjxDgXXi2Wtz4zVJslIhBjdZD1OyONB3UVCqb74AQZcOQB 9GBmvypJw7DHON92wKEis25mNXuE8593Rhqjdhnxle6DzRDq0mrNz/V5J6RVcSO/giHa3U3PW9/p aFh5YIbnYUWhuVHcUlZG0KqpZqEvrBl6D6gRM6jZg5qdBq8uQgkiV9VeIQq9CYld0O1kbyjTWdY7 Yksv+34OLcGrl1RZl38IHNOXWuor7c87Ga0+ktDq+qBW2ei4qRkKA+q6b0ANCHod5Wb22Qh7Ja1s KhDvVDTGuoyGBlteHPyyh97hRtCF2j2cSoUUQqsX8rU/lAq3pKwMvWswQfIPKWKm46R18/fShFs4 Mdn+vDPReJt87zIwdSv9sDRDUYGaJuBwZTBWzDZ3RwiMDE9bO3CDmqH3gBoNefnNtemDx4uwz5xJ 0A5YsBqoGN3+CtQ7yJ5ePmtD7xrEi0OqNExby7g6ULOawR1ZaO8ktCr5tK94ER/b1o0Xo4C6ASR/ dr/Rhma9E5SJCSBtCJhDO6jfA2rn6cFH1gcrfUBDdLCXjR12IsI9VOUflMo8N/66hnyTHuDv/LO7 x/aWqO/OPkGAIktNDF5h7/QzVgr9FKKInSrdXqR+Z59hggaiobU845DSyqLae/bq2VkeFlC/k89u BVZqDhpsBbVV2p6bGiIndQHkkIo1QIY1VGOJI7dgCPng5517VgXtAdqFXNbk7OQTlYzRVfg4//x5 p55V2VN8Fc8Qg3EZa4JCUAeUMUo1jx6oY6d7CBW33k29+gSFJWp87UHVHBtsBcG5+ZzPYHoCOA+x xsVzCr+njDKgAWUsmKJ3jSaIyaqwU+tip8BPLUU564nX3llnPKV9gnh0FZ7qfo+9k86wghpxHdtl n7ZZ0SBnXV9cWIuF3jlnWP68x1ZnDZ+DrSCY5Z0LhNQOpAbILxEudQI7zm/QHmKezqB3xhkjUrE4 2eXgoJwVsBPeaede4SsGh3TNX9cYMKzlCYXe+Wa4oBN0oGs29bC2tlMXZf0r9TBAsDRB7+G094E2 OxgabAUNWMyx1wMbLGg/wmy306q2gqq8ar5nEOUw3slmbLKyHrRoUFwQ5pL5BEZNEh16nvHzTjZj 2eMbGVRxWPXkKdk714zNCUjPqfoKovBojjCnGr1E6KhnphmKCtQgx52TAAQXG2yG8glZF90BAfVY KN2wgrSBP8HnusQDtMr0pn81oXOTJ19zi6dRB1E0xPvMzzvLjLG0X2IKC4GeacUDoXeSWRVwfiX5 GQD/Igs+Qcu3E6nH3NbieOeYGSiOp/P2wbbYuSLRTsyy/94Dils/1O9hxnjixcfQsVOU/p1hhtVO 9Vl62dhgh/TJrnkgnau/ft4JZiyafHc46CoLWqlNUBRLg/YN8xD3eKJvqTLW4V0y4GIM5PFOL6tO oZ7UTdXgOAaWOpa4iue8qZ3AxDS7QOi4DtZlThEJ/E4vY8rZGAHR8pKDdTjKEoq9LvyI77H0r1Yr Z+hErKV6g+OdXIZ8DOi7KrciAD2Ku2DBp85QDRtYBJuhsDhNQ8drjsFm/SR0MyW0W+kgf+KJc8xl Ol7SAwT/uaQLlNPzEv28U8uqxGJgrJKJukfPjI2bvOAPxq947vL+eaeWsRLvtUX8t+iyQZ7bpygM pvugcJFhYWCp46WzYrxzuBUW6wG9E8uYTSrP0BEjbzylrLBb2VAHQ6zYGnqJpLmAX4a7e36V70vW u8Z1IaSqgreWc+4xZj8TD3lsifVnPh9ssz2/oukBz0tw1m2GomgaCIaTU65i9Xt2Clie3uixqX4/ i6es75wyvD2hrTBx8sG22YTrZ0fFAekGHLcagXhQJWVyQxVXX0QEU71TypgbTRKm2TGaIpRTeajO KpOd87U/74yy+lisGJzqhE8t3zX0TijDhy6JOgraimp7dYmwZJE15B2r6aq/88nuXT9nnj54Uj9g SrIo2gCPp8p4CWC8Db1wUkQuipyt8M87n6y6mmraxDWkzeyQSX1rAi7CDejzTifjLv0uv9LM7QRS fpm908l4SSMcAuwC8ulIyRo5ihk1ZBw/rJ3hK1dUF9/ZZLyKqVosixkOvoigdpCI6DtxdTkxNcpr 51brIONSI6zeRmIretdom/EgKpRz4CDIx8loqAX1A0zJ551L9h+lM94Otd41FAbUG73owglK+CcQ +EndbKut62CwfQ1FBepGjfTOqo8Gh3ycKBe2oqS1wD8bVtTwvMQdQWYtm18mXIxL8PPOI6uufQlR cB80Q32dZWp6zXw8Kp21r3MIqUTF+rAZeo+ogelsNLiWA8aJe5qRW8egMiHjxQl1SDJ/3ilk1bmt Uy40HLxbj++Cy4tK6ieKyQDHtg0sueIs0TYlWkwiwjuFDIcmhRse5TRrRu+TEFAWF6lL+bwzyH6B XnndD9EafYbCiPq86DlmHBRTr9bVyRXGhXwgs+RJ/c4fu9164jBscLQ9QHS7YV2ArplYTqH5LM8U emFNT1sXPcDf+WNVopcw3ZVvwbhklnyiFAq1wSfp804fqxLIeNYQwo5WLyz4nT1mef0cbqI2pQ0r 9dTusKE0UKzQDIUxNTkYU+DOecGdULhECbFRKuWc01ALr15cBPj5pz3I1+3vGkwQyZVljeGDdYHg V66sY4/PO3OMbJbxlZQVVCop/qwPDQEfNL3k9kdlqMu7kBeMitYAfKAlpC7QO23sVoa2lPj3o8R/ jtOzSgvEq07SkdksEPQKcB0euY/nJaVaP++ksSoFW+hZ5O2DmvUTugTKtAofj3rR300gRqxzeeHj nTGGpzI0U+u1vbjQvFEBZXPVvWnY6XfC2O1F78xgcF9XXMzDOcNoeQxdUzDGzLOH8l8D7YNrAE7x pc87Xww/JuUtS1dLuldfQeDDMg086ev8vNPFfjXrWZ1mWt/uTR8F1Dg8oP/VDAArBwcq8Je6La1f lXqqnX8nSlsZSXcaWnLwtPWcSBknNGuL+GJUCGtY8BVhKMq9ZumIMiM/I7jpMxscVSo7bhsJz9Gi tlyF+FeL4mm3TlHGgUv+C+7Rwnga6pYwjNcEJfKLxawv5vMICMs2fHmLqtOJMzM3S2ccPBTaUGvG HwBjvAAOIMM1rm40TIhrMHdrndJRPL2o31wHL/x6Hfkghi+O2rkMBh4PmvW3BSThLxQW2w2GehRQ o815blAj0M86fIpOFlJcoWHubKWh/h5QY4oSa0JoxWuwU+hE9ukkaaxPJ+gPFmW4QG9VBPJswyie Jg0bnxE1Wq1qNn2w6mJCxYAVw1Hx+L8Awk2R5fnnizrrUTiNn3KBYagJ2jqmuYYme66sneHbaIIi wAe8ODpEhboPXp9ueZ8IcRAyBAcBs38EZa2A4IIyiaVkkwoWPapPV53PhVXYLF8LTNBJN1jHQng8 8XjUI7tLiM16QAVh+2AzFMbTiIXAE7Fzmi/J4lCtyflRQEPpnO5RgVr21jNpl6Vnl51FtAtMiYjL O5+06N68oIw0cdLBefYyewFMwYdExSEc51W9oLrIymNiv8//Yv4xTs6C5wP24cNc/WHpH95Ize+y HvMPAfnoy9CdS75NRhLvltm3QePLc9n3KKKWHRjUAH3wkwgOrpmyMOg9z0XJyzaAcBsAnUGT/1Fl KHrZYBlVIvC3OonbO4mj7GrqerNMPB4RNL9rH1UdjjtDEQdxFGBT6BAl5Jk3yhqVk8SUmuhqM+fo YUStnEMHMYd7mYFHkIV/hTa9CB8FhjMoo0GQ42IXWWHsUZV6sYpfyR/EUOi+gKqc1OZA8Cl4PLrM vlMOEPgJ9rEJ+jOg7s07iXDncOxi2UagT6jb2QSFPET2B2eRum6Zt0idoVNVEoVjM+z8BO5MmIyO +xtELT+I0M/DZwS3fflVPnN2OHixw8CdOKmjgPrZZFL1aIRc+wSFRWqYy7IfI0kmYEqRqgJaAFk1 1oYmHbx5UocBNcHlwAL5YKChDU74oMMnSlwgxKJ6NkDZha08t8gjYWkvGx/VqN/P7oMRpgAglQba mHj8X1wOQvMSeADTA8b+HlHLun5RLCLrpKbKV2H1jB3oDCoHAzqe1FFEvZdqQukOXsZfoMGJQX+S 73NaERKDOAnakY1u5ca3S3RI61GNugksJO0TDkaYGmM3McryxONBWt9+s8Txu9S7xyIANZSnJ2BQ BJaDM2gmoPB+H1YaKpXw186/82efg4LwnTwoBiUno58AURN0NCkZD7S5GRBMHOdSrrRTCHU0fMhf uLNSaPrCwZYQlDy4BM4fxuMRdvEmZXwO5fhaL6O1h0E18lbpAWGOTiBnKgwosS+ztqzyjsE2i4rU hompz+Bl/BPan5ehih5maYx65wgeSxIdsX5rJ0a4R5gP3Lj0jFk+6CDaiNH5eQvbLCQmVt9m7I+g 4gYavlOio6AaJHxIwgjtCksLawaNtowbVCAFaNWhEVWppyGqaOjFwaZot93BrVTtA5e9qezhwOB9 1PrjrpP1rn/pDaTEKy3dMv4C7YEI1nOeZTwesKL7L1QM+ZBXb2BEQTVKsKs4CWhV4pglBrP7NdsF +FwT9HeNGmQfHy56McP+rpJTD79YKN+DNY46I1jyyEuullexl41KsISeJVo1wv7pZ6gXRMIRe0EN UxRWqfevhiJYbRBwtCmKYdSAC13VgUSGBOtn0Fux+hnsFGyKoio1LO3AJltTA0MO5B2pncxso1Zf xoYM4FKvFSp5VQLSz3V/Fv7AZwT4zsa8g3Q0DGaMusBbW3I+7x2PR42O75gaIssFpmQ2QVFIDVGT kyPN5Bjh4szNRJSK+hzYNEzMRhRSSywHzCUN6U7QGNR1YvUDC2BvbTJQ6Fiegfak3fYn1xr4jL/Y v0W4mHJxMQP/x6O6neMMj0eX2TeyClFHxfKwCYoiani2zk3CpKgK3VcQHe2NqjBdOndEEfWkjNDK DJdX/uJHjwJxmy7O3VkE+OURNlKMAXcBTOK8E4SFgA8JNhllB1ojMKZBAjEbsaciP8bXziXj+X9y yngCgNfY7hSFMTW04ZPUckmdMvvvDPS/6y014FuZlo0oppZoDoQiffBz6Kyfs3m0hs7tX0jl6gUF lQrpEfAavEhNhv2IitRo6p5ZRSRkg8BnA1Z96pWdq2xEIOrx0F2sNIm77x5DIYp6Qd6DlgA8hrL1 oWkx1WwRkfqlRRShqCtjRcKNbHDo0IklTriwuYgmdM5kKUdwFSwhUbbxXhkBniPU92BvpK18B31T 4ALY9IBJPR4PAqLl5zRfjUf0pSqMqEqNEtZJm4oDP9oyZmubKoQQ+DEd4DmimHqyLLYaTZnAs/th VIBwqMNlobKzkHAvN2kO4ppFDjv7nSBs5ozP+CsaaokM+5acYY9GXBF4bK2Nx/+bVhkiMXYXbIKi mBpnwNwUOWDeOtP2vDVZPaSA1OzHUBhTK2FNVBHk4C17CMJCv4IraEEuj+qmpZJ6AQFvlqnFVNAS CmNqshLRt+ZwXtXOjlHbZO3ibLeF54PU/rfAEJrs/QmHopAapUzwWZV2LGh6/MgdrlLrWiax1Lvn MRTXqam8lNgI4+B0F6jkos+KcOj8AFSFOXsMju4d8RpVRqySvyWiE/MS8V8N6SwO11mEmpx5iJ27 qeDxqPjxTbuD8HZ7cvsZlqk7Z2joTIYG03BbTyrecxFRh5CJ2YyV8Rq3l2C/7akOnaS4wuuezbKa 4HlGqsIgxR4Lqt5m2aSHxwxhH7KnQqnOBsOf4aimNN654PB4MEFtfB1Dkui/ZeoZRdTnRaH0wXOa sp3LvPM6Gu2WuebGIuyJhmbIS+TXBn7NB2+WDaj0QtcOqI+WgPMkQg/N/bNTfsYVqTrB9tK7RtqB rOODKGiDVYfOm2Y1L1rB4//NMQTrhwavY5ugKJ4G7OH8+mZJCWJ8smOoElnKduvaw3wqZ0xLNNfc 4YMdQ3BqXZOyuICbl46l0xspK4OyuJTeNGorJQjm31XqTdE/DfyQXNugrwbykYrHIz0dv8lYjEQV olw60IwCanRGkQdYHb9I5HXSt8MqsNDTKXaTzbhGLZHuXXzwPQa/t5WliTsH+kLq2KOdCEU+cpbM fbEvvWtEdmFONmb3wU4OrFBWh2bKeDzq2PsxzWt9QqTvqunMKKCGleFJaFxaubPWq7Q+GVWxFILD tYRCVmLVHiPnjsMtn53UtCXdZBRwowpjpmspeFhZWpCC4iOVw4dEKhZkuxCIoMFgMaN0sV1Kr3g8 aCf2q2LhUiitXymUGQXUyFInnCgUDZ0DxOnRTeaUdMhBeUpTFOt8MJJe2mXr2WWwQTw/uHKOAREF XGE9NWqfF6zbx3yZnoszCqgrMZ6gAPpg4DOaEjFVHwOPB+HivElZYQ22QPbCA+oZwT7QSZxCi1FV uTa36sjFdAlQOSp+k0VVamp1dZazbfBwqG20NjJ70mcXDKUcFfETHLx+8PM7IYhaizMKqJPS1qaD upkP7LnJTvA/RPLBTfZvnQ9Jn6P8+hxDISuxJwoKmk0HOhGWk8Gmy65663Mk/p2I76Lzx6h3X/XF hUoRRXTn+ZMnniH6qvSzVtugO/R6qvhb7xoBh8RTGHfQTQZFx23+eHw8Qlb5OU2nikTYyb3JItgH TO5RxTfnoEx1WfVb2cEUsRVZgiYoCqgNQC3JxXUlFwegeSfTqkV8F2gPMb0tDGxIYqKKthWpCTWf UUDdeP6gLeCDoRrKqjR9Q/8Tj0fAmNvnaJpZrCEPqGdMS4Qfzp4mLLjlOzGIeRvGbE2wxLJjKJbO w1W2hYzZX8iYCsgf0JDYZChWb4KMhIyBmA4ceeyuZw8SnxGV8Wlihq1og7LWvlkFQxax+YpBuNj/ g9DBwh0naEXxNLrrs+GqEha/Ds9ahxhByFoVzGANrQj2IUFcQlFtsAkCcQuGnSSVbaQgxKfRyami 2IM74JcY9wphH6yaQY3HBwfGDDNabrXi8UhK5zsly1I78k22onj6/JRn3UjMdDA584usu7MZzEiL lfBXCPsQbjFTo2HmR6MBaOyzNAiBhQpxm01GMLiOwMkGOtaRQ1jI+Iy/hPPA4uMAnzbZ4sJUnvAG 6E7j+UjTy2eIRxZiAjqAa4ZCvWnCPnL1Y2g4neOkysOVUAYTBs5QDPuYwr7awF+UGQd8TFGC5Qx1 FDCFPkPNAe1WGDi5+iIaPPiMIFzUKYTWrQ1aQgnk0Kp4euDxKJ7O3xOEOm29akwr5CVygppxyeD5 7uc0SlI2QeiN2gSFKGotoZq7D7aEFpRjIUTPcNFWACpF9P0DFf5hlbHqgs+IZeEgutjvoAkaEFDj BNVd8XiUs/oKIhACRMBG8KRmKNSdXjiFxLsDDK0mU/Q6N7AL5W5IdeiYXjEvUZtM0I+87iY7J/+Z sUWrKVivQJ0AATX93imOSZUPXmR72LtGtbNMAZQ8fVC0OBvA7IwW+8TjUSx0wWeYTmJg8Yk2Q1E8 ndjkKH1YtChamczek3pmkPNBqo1ocUUFagkvgJDpgx9DSDOg1U8NWLiLdPq6ATU66fLJQ9oMJwk/ WxHqY00JU4rN0S6bA/H01M7pA48HqI/L5mDlFoujyUKVUxTiqLnNtmnonKjPA+pz1+dpNfw5pi+i MKDWEV3z8sFTjrMCUQ9a0mjIKBYx5UAdFqBOVC+9NkT9O3xIpEGA3TgmW4qDnGR9LQC1KA18bgI8 HlHsv+8yQKtau1D8FXITM9rRw5YOvHCblRche6by4rnMmpU+Vgik5kE0ivqEkj3WQYRNd27jJFEv ifRQ2VGSn9CUvLCPOfSuUTQkDYJ6B2tHw6vUimd8xeCk3us7oj7BRhtXSXiFzMQJrsJymdzZlovp tFv5SBQb10n9d4m6i7vKwc+hE6mkLe3FsjLTVkpWQaY7Ia+ix5RMudNqetnAWkK8VtRhbFCQd34C ChX91HOl4fGgYT98l3E2gYkBP9JmKAqocZuccMjcSqcycoZDpc7mbI41jc2xwoCa/R/Km9ng51A6 F0Gh+SLQ4VAAp9xQOvHDQEv4ZMf1xkNj6GWjPhDjocoZ4mBH9ap9mB83H3+bofK/qX7TOcA6QOnK ZmhHEfW5KSky5Lf9ak6RVtQnoQ9aGmOGdlShllYVOvI+ODxvrlFZUAGkAemY4HkAdxKy1Na8NqWs UO8ooqbX8Qkf0vDBsVVzZYHxBx+POOT1a5OBZAVxdZ+g94ga4pQozrh6BUqx0ydobaUcUBsqrmYe a1HzKpvEfHDwdvT5eeEesQTPOz/aXNpkkOtG/x8mzX6VNXvZuIYPnSHuSA46hiD6IaXktQceD66y 5OgzXuw0u1t3hkIg9aQRYF1OeKmmtHx+7EcOP3UTsthxRG3JxvbBS0O4Bc4DVQTpk5KpU9ZEEDvT tMYFxSD1wWdExTOyFYTFrxeLP1A2ntKm6BuPR0of3gVSqoKWJa5Sm6GwRM12p+QrKCOcZScFpQi6 JuLTUnU/yR2F1JtFoeGi3Y+aDiiWJ+/lTZbhTDBkAQS50U55kvSQpiavsh3F1OSgJRp222DHEIIu 6pmvxneM1Bf/I29Nt0a9Qxh14zHUVPlYqbuPO0p2LopfoayjGYqJiaorKhpq+ekDNUi0NmP/0vOG xET6OC7iNq7QMqX/8RlR0sEJUn7PQYiGE7NLnrKczB6P/wvRIJck8WZ8gkLhvAbIx27dJmj7Ehpk GJjWB+JOTVAI+RBpahGtwMGxeecYqmtQ8P2kIpXq0xBkOpcnSstAb1wgPs4SfEZkdiNuvbhTKbvJ FoR/siAfaeHxSG9ofGVlgN5+KeLvCPEBwcczLS7IlJMViUBF7MtX0Jq+x0LdPBJWZx7JBw+G2snp TvwoIP5Cl4NAfFTGK95y7ssq273rXYOLLLEyDUaWDTZBLpvHTuKOC9S/u/VZ4EmboDCcRk5WhVOs 9HSxghm0yvQvIfVR/JgOER/iJXYmrxx8i4Gt0zLbQAAnwVJNdx3dCBe0J2wFFXrv4jOCYJFaXk0+ du3xsTtHvhAUFZa2O5ShHt8TBDOrCk9zm6CwQH0+Zi6eqgLid1esWkyrCGdIckzu/Dt/oagXk3UN ftPX81vjVqRi1UnsO8uQHd39AnMVtDIdVbXtXSPBIQKER7uDJggB6Db+Ch8PCh/pW9IL5Oxe8o0V w/I0NM/ystRilev4e3715npDq7thwI7wHrOq+ipBszSeCj4aPa0SZn428KaJiYnCVcoQgL1jkRBb HPtvmY81ZUM+lx/S+xxHonKcaBKP/0sUjnA+fMy+Qig5RdE0ChInqb94j50evAeJ5BszNNhH7PpD UcIhMke7g8dCOaNLz2Z0A6QDtGiINICqgKs7t10vaoiaTPiUyHeLqUYjIj+hD5TVKTvnABcgjLsn /0CEaWhf60gOhTdgzCkUzytstxbJM5xDFfcvpgnqQIKClFSTt1vF5H+fJhaGxBDnYMghYEVb6kuk rzkTrHVAkT5/fiIgKryk3Hs02+sGZVjW0KhcYINgDTgrlrC/rfH5qG3/rWkuZ5lH9D3F0A9EjdvE 8Ke8YdlyXY00YBTRoK/ksxRiqWXhluQcnR7naDoFVdycyF4TarCSE4ZAJbKHnOqlLCx5j6awWC00 9aYDYGbFslkNpHZ+yZPNLP6BIDDK331XSLFV1KJ9mqLgGsDvc4GZV+T5Jyb2BMOWIbBMoeGv+Suk 0CWx2WIqPtg0AXN4csxNAtUAVALg9JPdn7RjEOS895UaXGXb60YFWVLJeSnu2989JwVURNhZzHBF TmHNuvev6AgtoTNTz8kUBdiJNuRUOKIC0SrLFYiIHSd1IYOFZidTVLRW+3Xo6uJgWw4SXOd/LgKJ wGEEzxiebhViniiCD0aQLBZRhpafEiymRLgwcEo2GFpvAHKOWdqwWUghDiRfRT028WHNjGbDnaew cg0hoDFoOIUqf++mIYOk06lUUMkT1yynWKGam27NO3gcCdu+uZiJ1HP5wf6Xm67C+w512UpdRuVq 2183yGcHQ0iYgdqg1XTCyCEzgZME8vl/kcsZNIDDjE3jsxQG2/hN6xg2S71RaUeEM0RdIpwVynjT wyyKtidnqUutqX+pNZ1jqpxsh31G0MAXCOwgLaJsTk9DIp11NBHRxE+J9JpYMZq1+aBZonC9mMFb b/kvarCoMEAh1WfPhUogJyxbCUojmJCVUD7XnoNYCsmMJbMGZgd4WMFe6tIzGuJgew5wikkPEFRU kdnSoXSio32OFpwPzQMmQqX5IcFSSlwA8iPfjZrHDH2w/YiaOQ/x+X9J5quaW6G3c/2DUhR1o3IG OWlDpxlARHIpeTh8r+TmdniRHEiVyW1hr4iDRZUnkl/ofjP1b+f6XUVAa4AOQAPhKWP6et3fNgLw 4T8bdNfSYAW2RcYNFkYbfD7Yb/U7rsSVCQveO0kheREWLEAAGTAk92kltjVSs8i7lHknKSxk0z2o F9l4FEdBDxysUP+ip33t1HInTpaNDcBAx3BF78KWDz8kcjhhF2RSz4GDNUNAJKGew2BUGXrA/Ioq 5ZF6FZlzaKw4B8EhbpZcHAVxNlsrnuAulNLNNTBEh9C744W/iLI+hG7FaTgfsDidZ+7Q2j+rak93 gSmJXtI5cFbEchUNdnUfNEknlNcktQSr7dBa8befNOQjerqVyBxaK5J5scUSwkoqV/n8rCRXJyps 2GmSIoRIIpCPBR0bjBkD9YZetZJOKAOJou0B1TnSG9SmHyBfsreNICLqp9Erh4OyuAUnPoKMTkzD 54PI+1FrbLaUWhrPLMX2iuC/FqvNoneqnXcOpZm8FDDxJVgKyKG/4ipWZ6s+eFsElmPo9VLlCgoS jKtoHlIYNFCBR6if0uxtYwIRiP1ZQ7KTG9WYLR4jqiU5cFj8thSSEAh+m3qpnjmwWESlGKyPtEyn KCcTSINyQXEhpwFJPJulMPLGWXPOoF18sLV0oiKogNDNI6VB0yqe7pniV1WzZFJXbGHnwGNR7WQA sVb2gWupQd9EIt8rVz4f9fkv+Jq9Jk36jQJCm0UUmk/Mt6ViPfsqrsSTBgsF1PlervOdA59Fl0dd jSIqHGwtDSwhGsLTzqP0POXGkLnZJFXr+UmXR17otIhm6DfZykixA3x20u3Oa28+HzRq53eshLIE 9FfuLEVa1khuzx5Yw4pvfduOs6K65OLxHWwthXE3A+6V2SJa2b3uUTcE7axJ0WmcsKsTpU7KP4DU LIP5uWSTFIXdWVIzU9H3HC4sh2q8nGFar3w+6CNdi6qqnQr89g0DArNFwAQSRZ2KEa56m15eAnaN YTcEow3Jn0O3xcX2UEesboOnumfxdFAAybhaDchHJnGAkqL9AimRh7ZX7HWDYGkKLsILcfgsFRZ0 5TB0tgWfjxq238pXjYIR64kDorAbODJUbJOlujNlx43wd0V16RwgjoHMOTKIUdgNkREffJbgcroQ 8ALiB1vtLuvXKk3DH6jwXR2Dam8bLaUt04Hkg3UkgR3RpWUvGdE/fZJYNSEOvD6T9FfYPflDOzLi 6lxSw43ICLi0+yTF1W72tfe+g00SHQmLHIRPbtF48Z6lBMsJ5sN5sR4g/FHy1w2o+oqW5CpYrqvg wpkkJOM5PPh8JP39qyNA+Eh6fKijuBut7AmbCeHYJqtQLME1iGuLfQX7aJ+lMO5ugmfN5INjkNa5 SGYhgAQ4glZ5ylPLv9MLigQ+td1WsreNBFOJxgeG2wZFSwNLU5Ds2fh8VAy4SDaWcwGu3M8she6L kLJdeRZ3OGW1TzzQUlz/e4EUpGMptF9cVNwldtYGy3P3mW/onhGIdGLLk1UzOwG3o4sx0T2FE5Qt h/6LaJ1URMBZg2PZJnzmeMEVLKXAgBF58reXBWocLV3l3Rw6MCINOsHqUnVk4Zy1sxsJxPlHGsbA R80mKQq8Z1KDUoTi/BCKUQeBTGpXnluoJAAaFghbIOy3Zykt+VGHFox5qndLPMllLVMKoE+dxmXy +aBKebE2DIsGMeHPSgrVQwZacDRNRMl7CLmFlZR4MrJ/AqUd22+BCyNWkhz05FcxXH4X/UpY6Ego vUIWYC1ecNiBMyMQhsSmgf6IgOKn/CVcCKkFVt/geyU9x7OqEvt+QDvzD0SAG48DZBR/fln0iXya wsAbbp6myYNCnEJwKgwxLGT/JIH3Z9MUY7QFaLNGbX9uuI3YW2Sjs/GmDL5aA3hrwwsBSeJNdUlY y7EXI+tuGzPNYVhl6XwCYQxoPnY+/y+Z2aKrEQHIDSlDN8bdiB9NxdqV8qukBObojilp7MEx8A7s GFE7ZxInS8/1WHpueA0VnHwIKSGgQbmaXsGFBmmUeGnveM9lbxsRH8nnw0+LATAxsSHOjZDZchoN HvCBIWP6f+xZBAK1Pg7noSNjY/2tGhEUB+t0YMlye4sz5cMLAqEl41KXSfxqDo4LKBs6CTKtbCf0 IyoJrQKQfgqAktnN4iTxmENLRobUG7UdH6wgMPdmj/fE3Y3P/zdLCSi8BsqMT1IUeOPkhEO1u31t s4nNffXm3LUG+UCbpNhJhktp01GPg08SoCWJDsUo3NahUKEDtVErKts0jOtq6/rbBuiJwliys7TE QcESjig2988kbT7/r6qJzmm0PcezkqK4G47sk+Z2MrRKqzrARCQbAkzAeLVJis1kxPD7f8o9A9gL eGfINK7ALpYaP0Bv4zud7X5tQED5trcNJolxN33bbFDczaKDcSAnnw/y3Mc2Dg9uBh/9ueJC9eu6 qStivmibWgVCmei2K1S1yF5aCswZ1S4FvUj8mv1w2HaGzkEqprK2rZBZSTGexbomFlLuZW8b3HCk 95XC3m4pbh0HhQnJltGdMQf2jDIOe/pLVAnstDm2WYoC70RKdDO166WSs6Amo16oyXQ8YA4cGn0t NdPA2g+2HRpWu+0sScMTVRRJGpJeXVHEKFSBUu+7dnvdCNzOPiUwEzY4XolKiUrt+Py/akuCE+AV Lv4/hy6NcEOBfHe33kk1QVXElBe8vXf1inds06j6ZJMRWPtqC1CSo84u6O25nbq0WsgBYhT1SIcS vZ1Dn0YVTeBv4YMmKY2y51PxDowakZ78cj7veKDfMCB0aoRJ0IntLQwAyd/V1CsocQLflpX8WAqs GpEyM3nbYm3tpy0AEmQvApucqxLHN8OATrWshUT7gQeMbm8bwANYfuuNquq9GcYdVoRbKKCTcOgl I2+ZX1Qb1P1aeSYpxHBDxlgOrygHAN1oF9xY7pQGPXQj1ubQrlEg7i6dkf7ojCywbUDaQquy0sWA 8pgD4eCElxuhxg7u2v66QQ7HfnfaZG4lrR6mJxsMcG6hNPh8hMH9dmwE/o76uD5LITWyoI3bulk7 QJbX9hshM7bfkKnYLMUOM0pPyNPm4HySE7dBRL8bGWCDu9a5C0+0giuHFTAFS9b2DlwbURpi52zo VLrNdahxNSYqrXItRXF32b+yk0nXxhsHxLaN52+D0+D+zK27sPpYPkuAYBefpRDMvQUOaHewtQQf uZOQEIp79sP5Bqhngv1XfwZA6nn1B+6el71uJFpDFsFm5ZeDVU1OcGFGPG3y+QiQ80vTGGHFFzgg MG90KjudkUgiFRNQpmnJ+H8gb3mqG7o3SvpoGMgr9xsHnISqdBpGgSMJ8s0iIAcYk8nGHutgRr1J 3V43uOE2iROV4uoctOMo480y5UbVJDBwRFF2fO04Olz2JzsJHRyRqEF/Sk1KYIocA0fehWRHGsJA VU0CC0fo3bG0VITKcWYMzqWT9SKyUdUEks+k32wA8wcC70Fsl9oCq9vbRo04cSZq9sEmCb7ShsYZ fD7ITvIvwUysIkr92yS9B97wvcKGa80QcLkknySk2FZ/m8kVM3Ps4risB1l88EnaCWnJ4IY7+w8q 5agprbOJJ4Vi8S98w6Vlrxux2iu7uWn7oGMJ/lA8CevZvnw+SnS/N5x+mvYESyG8u1GWhek+6pWl DnMHqzY1mCVU8oWAi40cGUvCzccHD5YWlK7oBAl6NXqxZHKdOSMwAT/IU6bszV438i6iUN1edzCc 4FmPxWy/F58PQsr6XabMIDK0eWVscmjmWFmC6wyHiIKnfSwAcBP9Xk1TQqRpOy6GmiRmHcx462Wa wGZ3nHg7U7C/w5cYDRsIQ56IauFmLxBH83iJUj85sHP0cyllav2kbKJshc7H/I41IY0L/BzPjzl+ kQLptPnkJ6GhIwASJ1pKnuuW6bnupik7/WfWurWlwNER6SD9rxoXEwdrfVfIIqSpRvc5pU7gqKML yFK4lshqjgWBQYJ7DhwdkV7QEmPwXDqDSt5Q34Yf648DBAJLx+8sjkE2HU2uU1gOLR0RccB5Zhol Z5kCSSZ131FLpDhqkiKYt3Rq1xLWZD2sLsDUzv8RHwAHYkAgfygyigwXat1ALSmHG4qWAlNHuTIi mKRnGAcj5ZxzrklLYuj54Ib7Ld1PdOKz30Jbx8oc7hrJ5+6ThPqbpSeQRPH0JPB1TEZcWqXcweOA s5DQfRMgByRuadqQJYoKNJwbnD6p+lvo64iL+xxHI0lME60UiWTtcwHxghonl+If+JcKIhUT6WD3 VE0Ca0f4FKPzXZt22Tm8sxsXijGlKmVz+luOvR25i1al/hgHP7xPXliNMXAy0jQy4QENne8KHQrQ s68RTbe3jVpMwnbRJpSDQsrW2LvAogBsKTR3fBTIzGIMxah7wwXujpCnP0c30B8Klk4OdDXaKAhG daRlp7j+UFQ0Ydtks9PEwY7uk7k1JOvKdBHQc0vmhHMTrcLdLosS6ur6kCjuXgTizOKDSkugZ5CN DawGn4/UxW+3ktEjfp76lClDh0csJRjSCPQ+u6im6jFNDykH1WUZBwQWj1hK4x1EgY7uBBZXKv6I aihOAkfdQfJefqAmcgXPockjj/lNxJ4NFlOek7UICZ8qnw+KuZfqxRbAIFCwPjdcGHmzAicNAHYI mtMqmqxChyBw3tQNjB6zAQTGSNkHBwjAwwhtAFYE2jlxB6lMSGsnOVLpyx+Lem05sHpEUNnVqVw+ WLg0QTb4IZ5Fz0ewpe/Tm1YdY39NU1T0Rgth1Tx0HK1CiB+nqbPNiWk6p2rzcCmwe8Sy5IlUKBPA wTsD5+F1rk6Wc0lGIXO54AeiJQuijF+SZDnwe7xNJrTNbTCwyUxJErQT/crA8BGdgfkVVCKcbI89 zec1WGo681EUtVR37+XSiHsud7/edViq+xoqNYggk2KW8h0cljthBZjZ+EYvNEtdEv7gMDs4E4fr SHr+i668r4FSg3KkKF7UKuGg+TmzrR4yJIc+r2FSe3heIGupugKdm81/c36VaHYmAgAqZlDmpuXk wIC5zJim0KJJp3YwO9L8W1nrJ8+Lw9nnVCymzlqAgJpJupFA+EEPIZfS7/QI//46PSeOBM753CC7 +KAje4scA/UEVHBfpwfAiWXTI68D1LGILsHsvIZHLRsucBrefUoRlnfaUP8buW3KruDyGhw1jyDP Gw8fLMxGVywT5oiQdhXULH9MqB2xE9CLF+9GSPdraNSAviFiEnmbDT45TZS7euL7z2tchKk1txVN DuortdA0HZPzGhU1XYHnKuMZDS+ROr0teZau60VmCCJzcl5jooajnnKRSZOTynP0TChZtc54H1aq 6hNUWsNDfnqUOzmdR89rRNRc26YWtQAQvRUJaJzrTRzKfo6yz2tA1J6o0fYjKHyb+vQ0sg9mB5C2 87eNRLIIFlJkXbN1cvHTL0s/XoMh/DKgZ1G10QePq2E9M+SXfn4DpJzYWAlBYodSQ4YodZ2i4jKP fY2FcO6Y5o9UpGjswdurlFaTOrYnqn6NhJq0vW3t2BkFRqovntc4qEGEgDp/hkYGiuE2/rcjAM+P TjoXDLGC6ZEvHyJyH2x6kMOe7Bq8y9mgmz0IXE4bJThmxrQBFzqCxqKf1xgIvyTtDWZmlMlBDe0M +XI1RWr5vEZAWDwm0LbUqcVybuLfYnpe4x8c5pnloma1/sT1LRlElgVk44w+rfwfoukhBmsqlJ43 lEZnLZez9BPtwhAcZijYAX17HkBXLUNyzHNXeRtEm6tIQ0Rt/2GwuRNZAtWpNCuPz2vk057IZ0k3 qjGYsM32eQ17cCxXYJ1UgoV9iOses9evkwfKhMWO5degh8cyW2qNNmAcfG+d0+DMNMmk53XO77zV nYWZGnQAaQXhITRFkV5jnubJWIWJoA2OipxVBsVn7j+vEY+9oS0eTs+mqqDfWq+1Rlx1bPLX7kK+ xZUSoBTqoJoMYgz31mulsT2i/Qz4OPj0wJJ46k5vfaS9WUIbcE5GpQgOvPOi2BqF2aLp2ZLlFzey GjfyxGM9EbL0A2vEz2uVsalKqemhXivqnWP4yfNaYsR6XcDQyKcZs7NHspNH/DBT7J928rwWGJs3 0SZXhgY/eea5i1PhnX7Gk2UAfXsi1RNyDIBbCrFmBl6jfdFreRGvagTktH2wg/kcZgTCsCj0Wlxs jzzCkq4CbCza2n7yvBPZeB8D8kBIigzSV3LwQ+/JSkI79cv2CydIR7PBaeYNmAdAa3kJ5Y94yMh+ oNQOFvtIzjON2ibef7R+JMEqq8LiVoVAaQpI/tPKAG002l8mR3+miGcNNHOgC2pTFAXNoIYCMWVN fTWkGPnUnlz6sMCq0Eg1YdTMNbTH8uGC1gpcC3g8n0C+0eAPxzQaUnDGLNJxqKIeiaAVLSLWXSu0 YW2w3PQEbGzXtVz5eHBA7/V1QC/ADzIuRM3Qe+AMjGhD9X6YOOSaw8Uh58hXS3yM4giaYIY2C9TL yEfzYrI3REjQLqVYS4OquGR8KaeKa5kQREsrlL1HoTPqq7BGn80H3e8dkoo8gxo4R++x8+Mqqx8P Nqed57Q1fqMzujNzz97M50/h4BkTgsZzXsKPoucuSposrqf75rCiWDYacFpCHf1X3vAIzRs8fDLd 7v0OS3rZIPNSs6xTLSx1F2UbG8EDM4YCcGgYP5t70clMqeqCIlC9AfQ7da1Js3CZ0/c5smkhaAd1 9oO6VJhvWtU1miLEiIPKQTZoigphQCNNiY7h/8UcZKLJ0UBHoAPuoKZWl0rLewiNc4hTU5l+MQav WkQzQcXhh2qu7fPOW2MQ5VNEz9TM8+zZZlEUzfx0zmx32Vw1GY+mj+oH0QTLVtn7exjtshq7UlOM gyWoiZ7yk8BQkK8WqrqAskEqFSQCwJK85Ep7nnfWGrYy56YvqmVzUIZ6EnORmyBu8nknrTFh6N9J GFbAIJlEMxQG0ggVxzDE7KTqszkYVc/gT2ZZXewniqRVlN5TVSBnlRPv2NdABkARhAlDpqz+tTIv NDmunaOIfVEkDSdICIkL119N3ATSwJv1T/hI7M87YQ1raKbvUBpQbFQ/bYKiWHrTAFwnNdr3+3Fz zNfN8ZyzjiqKgunOQGhJmWVdZRY4h5x0aw8CQWoFiylJsx8KhrjzsvqeUkDYWy/7PkN0PjsZKrX7 OWiGIK0nZbXV5+edrWYx26/r/rxySfcgeo+nAeVHrkpKxf/Icy7ZFJVaH4RD9jUUBdQQSoOdLDab BttlEE7jRc7LDNFzZbcVQShtIkHXd+sQNTbeA+r8v2b9LVdZDlYHOteB8EQd0jVRRL32zVZ5wlco jFafoCikhlr1SWNKtTLZnO5WCH0Zq9anDpoZJyiOqTlB6Rlsgs69Bl8DOhPTjSll0yQ5i7zwnnja Y3PqXaMJEraRPkYcBHE8B1vZ5hK2Pu8stSYY6VdG1oFzbs0jxneSWpOjy2zNLvnzT67jeyLyfUX7 1zKbsHeOWnvarH344JXE1M6ZCAFIVKEbzBLIwib7GYVznLGupUX773eKmh2Z2F0EgXKwY2haiAoY 9+edocZX/JWz4pufze5pxztDrUlGANIQVhBSR0DGuztPKwgVzjdn6D2mhiCXCGoKrfO8pKLOSkdn ORGaq4W8owYcP4hSE5e1n0OF4i+fd4Jacxf5VKVgV+sjJz6abGVnm593flqTAqemCJcgEEnnd/K8 9Z2e1gxvnat1CZeMXXkM1dp9lw30m7jL3tlpTWJc7NF3HxyUfuaikqsHQbazmsg9bhuwN+DVf+jA 52g0Oj+8k9Oa+ECols3lg/V6ctXBdz6qfd6paWyF/KrWA2u5it9l78w0BNWbpt/Wgl74ObxqNtey NdSra/a/E9Oa4IJ05pk+OAWkwWmXOmVQXt+JBj1twgXrXG44KPuDi6G8yDsvrcnHqsEFqfhg3Z4T tPM3qSnz8Si7/46HaF2/652hKKYGk2p2T1LhpuMCLJmRvPy/xew/MfU7KY29GPmqpOGDrSEo0yR6 2fEcglc2BddR6e3Iy3Ivd5dRev/zTkrjLmNlMfP0qtl/hvOv906yxkjz805JY7DgZXv2wxBSt+x3 2TsjjYlZJ9lqeOaaPLdvdHhR8Qza9jqHosq0ca2qGmN13urZzidYrNRTma2ciF37EYYkgHyijI7K mRXui71sEA9J7rBL/LC3fWcopylc7Fyfdz4a13n5WkMFdAZAfm2G3kNq6WcuyS/QIn1tT13nvOXF lbslHe9sNObdavyQjbavsCWdMc4ahGJYAbwXSiVsbZAlixWb6SvrbTF72fdziJysc7Nyf3DQDNWT 7um2Xml/3sloPHPL1zkEN8ZGfTzNUBRTc4ZqGxeDflmNvbvMEcR8rXr/TkXDz4t26knlYQOuwW/7 E/ukOSmdiWN6dQn7A7rDpi+ozJ6WlaF3jSaIrqld5s39MW8uJ1rmqgW19PPORLNamGUd8mKhJ0e6 J1FUpEYDaE6F0khds0uKIyYz3X7IFBts+J2IxgqyIIwsx3KwOUIN7eSczDsK6tBQusYciRXbaD7p JbQ29K5BzGguanX6oIgIdUxVeMBDe6ehsQVUv6576BPUduuw7yQ0HESrEL/o/iqEfXGCDBeDD1vF QZ7vHDQG5/1HRXofrPwBhQzIe6j8AYIymcZj0D0ZIqzr2vGRYPJ5Z6BZHRWQjjR90ATBdzSLELzG 552Axmqh1/H1H3fVu73/HAbVExRrUBks7TBzJ8Qmy83UFm5jNaDjSnXG1OBWtMGWEGQGQJbkDOFq 22yJdPpdYh3N/Ij2IF79vNPPUEZd8rcud9Btf054Ggqe7zry5519ZsX05xxCdl3r9LvsnXzGdYtS vhdcIbt6i4zu23M2Sc12l71zz7zXgcZs8sGWENTWzqGBXse5MQGmblxsMHrjvEH8no1WMGGK3jWY ILoSb9rqaNAS2ieUztJ0PCHbO/OsCQn11WYtyG4AD7QZimLqBsfCtZKk1gDwuK0y9IlMdb15q+yd eNbMIn1Q9MAGW0LnUDzxM45VpPbQtKI0FHgMP9QEaA87T6fQO+2MeRmPn8moiIMy13MBV5FgzrXw eWedNaGvvkPqc7C2e0y/k84wQRth4hymjIVqnU1QZredyljkhGuC3kNq6wad46cmH2wJnf/RkQuY UVgnJQP9RsR1iLRXvUqrk4oY74yzr14reTAVISLLH3mi3W1+w7l83hlnLH786kUXMs68wvhOOOPP Ap1VNmFIFt7GfT1LaBKXX+hB7gzPd75Zk/YvWfjDB1tC51I+Jw7RQOc2OIuRAtDnikUpAheYqzzk Pore9K/5KU2s6nYzjgoIoQF/5uedaoZoZH03OkiiAnHTpuc9nhb2CeKz1RDm+QsHwxSfCPPhko/v RDMDx+F0Lnfw4tn5H6k2kYTPzhuTJeoBmBVFJOZj6EgT7887zQxXpfT6cefaYIf0uRPlbHGuxc87 ywwrIfk1Jj2V9UNgsU1QFE6zip6oH0EkTLoeWKSmuLqDJxzvHDMvnkHgefpgCcc5f1E1JAIfEkBd blmlU4ZtEC26Ln2KgOB3jtktL7YkmFlymNk6+eCuIkThR3wPpxFs1l8XPXi6IHTYFEXxNHC+0HYY hvfo0413pzx4abyLU1pTFNaol2momDcGVXCV129sUdaGCuTcE+cGdGokjqQfd8/r2Rr/vBPMWMgS 3YWCj4UQBZ5CqxahnKBB0D/vBDNe2uMrWmTXqj2rKAynITG+pk/REA5/sox/W9L7+qa+08u8EwSr 5uaDd4IQGBWU4nBOJ4TUJLsgeunAe8yvKn7Jete4NkSJMEaLqBcy94PGP8IElDNOYPV5J5exk7S/ bjIcS63ftPWdWubBUFUwNOiOYTjgk27sW8Xv23DA78wyvH0WUHHcwXENAyqokwS8mkujMNdJ+BC4 DwLh2xUIATlSLxu0goo81MxRzRWwxurmFFbO1/6888r4jt/hIuR1GrpDhlgM4+lFWcehKBoKtMVV +uVvzVYQ9gR11d9ZZRf5sSnapMFbQTJqpBsf8u5EJs65Y89VRMHwE1VcNPkY9rLvM4SyLWSv5GKU toU1J6OZidFFP3na551U1h4XI53VGVintruHQ++kMsNEgb7RqZ+OPk0nUxHIMzSHVT9DpbaiwvjO KWtikeIIIvRsfUHPxmCFMhN6BnOkwv+SDuw02MJF4O3EVvSuf22zsoi65yDgB9QDxQM6N8PnnVFm xYevNYTroV5Y5zuhjDkZuvxgaGHlnH/CFJDAiWq8OxjgutcaiorUjXYYU+Ix86pgAz1dUOcgsLPO 803MwABYqUlyLqg9rl0MPMTnnU/GZo4oUiTdcdAMjbN0iql/8/GofLa/4kVYLdV0L7N3MhlOrwIy Was2QxtEZzGBT2rVZSybz8oqYgC9c8meXVao9iGMnxUYT/50ztZFXxXsV3nPAhN1DlYURUXelG4x GQnvXDIcmuL/NJDlNWiGaqvSjTipS/m8U8kYLtzyGXHEIjh4Yv9OJWPMCOXJblf7aslrsOf3NC3s czhRAAZH9TuTrMmJC4EyMgoNt1tW67mOGRKdnwxmT3KfJUwTFUb+kEpciTP9vDPJiLzfLxxgBI1L zlhAsX/eiWRNznHPNkPvotXtmf07jcwgNSfS3QoaT/jiPvJnk3UrfaD9YaWPdxaZLWGc0bv4YDN0 0qfSqA3CrP784mS19Mx6KnqoDwKW/bnPO4eMmT01r6uodtWpdud86YVpQ+17fN4pZAYBswkSkwr/ 43bL3hlkrCl1+BeZffxkh8+0G0oqBvzgnmcv6J1A1kzReeAk9sES13K2UkJKT6GrXAZSZWKwKsro EOK4KiCdxaF3+hhbpuy4ysaZg5r2i0L/TLYKH4960j5DBGPRJp0Ncc1QFFUDZYkqh5vvbi8wDgod GwQf5g9aQ2FUPRkrQirWBl9DaBomFGgL1GPOmVGkXYDTBNc73e7tHCLO8506xtSKU9PVk+7ek0bq qkIIOuqfd+ZYk3DfV/mMmf1t2rcwpt6UcNye2Wfzk8XHbs/saT1LsbQWA6mZs0La0wavL27wx7KU nM+W3rQl7sxgK/pxlSXqpvJZ0rsGEL0tHRkzKfZTCAlS6sbjzXg8CBh/dRMBIC8PSaGFITXVG+cw o4LzCcNKH/1xvSxMi3nZRyVqIc23mOEcnpC67YWsneRxfB1KFzXcOBSnA0jfDa51TkchNaqB0GqZ 3QdtMrT4iEpoZ8Pi8aBnX75niNjJdvdYj0JqKic1FabBWdAeg1LD0r+kUkP34lB/D6ntnB4nyU0+ +CkEtyXGc6h+9LknGyGLnqU4nCpNQZbKQ13v+hf9kK4CNlh98YSMOnrLqHg8Agr7Xd8UW+KfPSvr UUANfRtgeU1qLz0F2CEhZ1XPnFrfQ9jH0syU6oNN0D7XTCLkBQTWhhhL/iqoFuCYZqNBSRl/EnxG kHKUrsJZ9kETdMIiwapPUDHxeESUml8TNKCt9BxCPQyoM07pbbJxSxJuTOxr345pgAeWTukeVajF V1huCVIuPu8kUmc2UFxm2jpgVMxu64KxC9Lg+kWERuMCHxKDq050AHVyDCgvL8aLKQP6x6/dCp4P eIizfW0y9pg7MjWbo5iJyBKsdVkh4Vx+nC3et6X24DHrru9RSD0lkI52gA2Ox68L34biJycQKuSG g9MBn/uFDAylpavPUPSyUeLKcAiKqjbYVQadJkbEs0w8HlE1v4sfKMdXCAfYDEVsRNCyTiy/DAbb qqM8T0BjCnt507SNSUcPI2oVGatceOuVakR3dS4KqyBePIcbcV+9ApEOuAaUOS6EkTXGHpWpl7qt i1rE8FtXir52ooIRiT4FjwdX2fgOqNlCz7fP0cOAetLuslsvcQlTTQijMJ6DAXWyrKz/TUjcdBvQ 4FkZaGQJhwYmiFJPmMqCKuQJ2vMP+xV2DqGUis8IEvvK+lmn/mC+rbKzGqeMZaBxhMejTXYDai0h XBDVU44ewj7OEbQoKClppk0TuYI69URngNWhSag4T+q4Tj3FJ7uDwz4g7FThiwZhIPzICCzPAh3U Sibe9pH7JPysR3XqqvpZViU/eyX/xEVT5/aZoonHI/iZ84II0Evgqt92a38PqIETBoOeSt46qjkt hfUzUsRhkLIBzKd7Y49gHzkJM8RK/qpPJR86AGgGZalYnh+SgP2M0x99NNqVG++Oqvn4jOgUYpXa rGRydVwM2FhVMWCeeDxI7JtvMrVm8bs05yX2CEjdSV9aZrI7aYttxo3DnC7PY/Bw1SaLMB8nyeLx I1p95ZKtjBfPhcoCmlw/EDriv4QkMQpnzQQs7RhCJQ0f8hfGs/ZnsCW0sK+5ZNvG4/9CMPIx2OnW +hzUcZUasBj3IYYzihk1gDFTpceQGoIC7bKoSr3Y41lbqPz90BNhAbWglECQJxYUYTGkFY9KmTh6 E2/1y4ZeNshbeYfVvKYPOoj2AnIHn7ewy0KCYvWAiCpOlAcp3VfRiGJq5BsLkh0q5JNIoIioF3Kj BwmK06pDI1T0qGrWr+HDRZsvWA4xbwXLulS1pOE8UNt5QaIt3WAn613/Uh44kXXywbhlpeluOMdZ xuNBTN2/yXeNtMjua2hEMfVZKgR7qMoBVq2HQ5DoVDiEnW7FoREWqVnQkH6QBltDa8DIkI6nYL5C xYhriIYO6NdT0d9FvdDzwocE1aFEwAdT3r1RBhhqBoFAzp19/l3G8xHw45YYmZih8IJmi81RDKYG dFG+aOy6Xgei1ka3sDoJTJ34d4KzGmHOyIDTXHLZ4CKCukxS6prOgUXXbBzngKAiUZZKnO778zID nxGgPBsP6e2DmaKdc3pu1lCRbuPxf7U6BMhHUbDfAtoIkR+9k+SaDSosZj2hwg/J9Xxjy8xGFFTz JIIgPKtEpd4ZWhvwcxBEwC2byWQKBskunRpV8173KWGGQuQHQ8YTO1QfrMSYcW7iS58DDY9Ht9k3 8API9grhdJugKKYGj/G8V66Gg919OmNhmuAZggFvKI4opp5kaoD97oNXqSvcK3CF0dO67UlSwzn8 iY/jhh63GYREAh8SHESi3f0fY9+VHUmOLPufa+GZAy3e/hf2YGbuiOSdcNbMfKC6u4IZREK4MNF5 7YO/p4o8skhJuP+MXDKeDxbR8ohI2HIs3HRbiiMMq2slOEZJ2EqsXPAoQo3IWorYOMrMRhRWy5tp Tel8zEfnY6wzK3Oqcz9xMrHHDzECEArBgcu3Tk2y/Yjq1JM0+01nZw1KQlF3kr7QCRzweAQ3b9+3 GalTw2PGEUbVA+iPZgoNZ69Uv8wSNVq5iCoA+VpEEZi6bEkMkUq+Hyp5PtlpO+eQErOGRq4s1AmF B8YaJtzeLiPKc4RKH6S7jEwmOQftMsDNRbbffeDxICJa8ysx4zRTZlcTFFWpGywvGyu+xH7gJDDm FGpMhv24AlUjqlIjwQPrTgBFyRhmqXhmQDx02yeInWQJD2JOaFjb7wRN/Ar4jCgeoqBkpsBZzy5w hpvRBMtPSoDH/0XpEEMGAIqV7jkURtWLyPRq3DJEbXYOLVqyMx7Ky5lTI4yqhY6ZqfjgLVcKl4hb Bt3sWUhqqJvSbwA2gGFsJ/XWGgqjal5iIN6Rn3he3c6OAZ9HXvdjLzwfZPflV88Vjfb+RERhVE31 5Z7lTrWofWIecZUCsKgyUjFCx1BYqGbOPubuPjjthUUPYN8LlC8rLSo6zL4RESHHoJeySvlbejox Q5G8jdnuoEj4vL6C1PMFFDwe1T++KQtkzFIoizM0w0I1UIxCpfyHjZl2sR95mC5lngT9ITWbf8rk nWs+DR+8/jEhSFCG3HNO7FK43GgxBPXTH3I6DIRGL48ZQj9Uh03CN6ThQfXZuuYwdDIkPB5MUPs+ hxbR7st70jMKqpFlng26ZQl3zgTaLQmygkYEc9fMYwTh0IwK1Uq3dqYy05YCpipoOJRWqQQ2JBzG ZE7R2eP8RWyUaxSPtjzf9a9KfiuEyHDQBMFkXT7x59/i8X8Jx4ha1n54/toERRE1UDfntDL04gnm tuOrmtzz0HDda5pY/gzpiZL8kBPlqi75gU2FZlzVBFUIbDP/B7n8zE2houO4HFeKEcy4UE0kwrnZ feCHnPvoZKzSNV0Vj/9rhig8hII5AluboSiizpN3fTNYQ6nbS/lrzuLEqU18VeLPCa6y1QWs2j54 bk8XxjYl1gnpPFOHRXVvQwsAYb95MHZ7178q+btK+LWa8CuqSomlN4SdGY8HTfvlhxCPZEDW2w2G ZhRRoz+8WjI18zXoY8UJsgAAuI8CZz0toZidSDJQpnbezuWp40OlOVVCY04wBDACZeJTp0xZh//i 9aqGDhlfNthkcoGRZQ4H1YcgUMtw8cRaFY8H/cRHnYloNRBDAIW0KQoDakBh526S6Qa+ytDCJ+ad TuBcqlNjiiLghxAfL55LMMKBp1BXVkayPY6hzKVEWd5r2zFpvTijgLrSJKkCVmiDzdC5C4b0LMbA 41EFbX/nrXTdmc9NFgE/oFR/7sDSDPgxGA5Rh1rdV5xDVWDhzp8TlYe4vUqaPng4dM62RVsz7DKs Lyl+oAFaIRuDpN9ZQRRenFFEndjjqIM96arul1RjUiUBoGfcZCHw42b2xPNlUqauaswMJT/qvtEQ ieTDk7JarLvISr6fQ6F+HkHS53C4g9eHdkX+UiWJi5NCmu9U24adCkjyt5C/9a5RnZp3PRg1Nugq oyuk/HE2Hw9mKH2DqcE5rEhGbYIi4AcgvotVP+u5FgdTQ4nLD2qes5ygKKIezCT2JnRq7/1k9oBK jEEl8/M5Z6WzTtuYYZFzSkltq1MTbz6jiBrCODA2bcUHwzWcOMcg+b3j8X8JWnAJUXux3WgoJCeC N3VlmOamvBuxQ1lt2Eafjm55/YwVP9ivz9JmylebKaeV9pkZNoPSOebqUswN/z3K6rBjpbt+0Al+ RvF0YvG1QRzeBqWtA8KgyiI2XzEKF78brmR1lCsyuKJ4OicIfrC7RTw+ShxKWwexAlLDb15fXBHw Y5ipu06h+bSkz3KCKmiWOi5UWydpLywpAviXr5qFCXOvsEhN2mYvMn8rfpMBGUMh33N314rHA27i /EW9y5R0vGINK0R+QBJlr+an0Eqeto60vQJbLgF4hciPIWDDM9gMQWUKUDamreerKI31xYZF1SpY Gvue0zTdxGf8paFHGV0MUNKXPS5whTx7IbCD56NNlr6OIUJy5r4zFKtPIxNDf9Y0cld16Idrn0J3 aFvpY/0J/RgJqSAHXr0E6HWAgnY3GjnUcS2/3XCuWeDUXiFG6CfgMyJlJp5ChK5q0BrKOLTNoWvg 8X8BhVn5QKhYbzC0ooC6E3/menkrF+cFnd2Wk01Qh6qnJiiEUlM9bydxyNMlvaSTpp5kHWEOgqFz 7DTanjYKM21w4h9u2ST8bP2jRN3X8kETNAAZJd/wTBoe/19OoYwTCLq6PkNRRA1yIqpnFgy1ltRY zHD6c92hnab5KKyYnMhwcQtEtJ8ZGh1GEFyDkD+bsAZARE3MOXDbk3IfvMg2e/Yrwn10AYRlD9Cu PQAMP7oUTE/WhsejYOi7MkTA6L5X/YriaZieodPk0SJLy/LjGEthUcEyyIoWV1SgNj/cQXziHPU5 hc4ZDIzokN8UtCphxwG+GZR/CuQ+PBgqiQC0FQE/luyCJ72COBij40RZnC5w5PD4v1TeGU8jLIcW js1QFE8vIfQszzjndcu3hF+XlfAnIOFaQmE8XbS7+h0uuAq5YVXT/sS757wYzDjOmdyxj3MaFye8 R9bLBjOEas5ZMYwSJhmy+rXQfyN26OQ2eDzSrvIuhwR4IBmzvUS9oni6gDdV5PGKP/GL4Roa6A6x ulhUP+UMxfREKjIleXGked2BziQMoPDFLGuLHihourLJPygueXEfc+hdo4yDUjEgq9hgDelpBX24 B+Dx4Jz+RZGGj2ejSoQmKARST0aL6UpZZG8lNjlPIGntWJA6p8N4muBFeEn64KcQpJimRBgrZKyA 9YA8HFQi4eZMkVzz5ub5jg+JkNTE52UzCHIn+XNGFsk+nsO74vFI0NyXEGsAgMECNGczFMXTtDLc NCBkWl8sU83gxg9P61P1YCiMp3mB4xT2wdP6E6wnWu9AdQjiXoA9nOk/ycZA+p8R93k0NIZeNoqG uIYmYR8cdAydHa5Ndm5KPh6toQv7EAKi/0AywaZoRxE1rLDQbvXqWeomEHey5+sYsLgGMEU7ltBT f2MkHzwpO9HiXpV2bhCuQs5xC7DIMdq+xDK5Te8oom4U7t7I/2wwdNXJKsnHqGnw8Yjykr92GY7D CjyNTdB7QI2nwJoqxVuJc3grcemghqQwZYAlbP63l8tJjooPvssgQY47nk2O3c7vw6sMXYNB2jKO KL/KKIu/Qyx15dRI/52DzqEFaXOeI2sPPB4d1F754KGM6U5XUmeHATW8Z3cbHi/26ppDHaBIy1rH naEQ9FGkSi2Bk7lupwyy/glnqSR1znbiJLZEYMKCpM64uBjsa3xGVDxjUlbZKOOgbnQCn5vH0Pk8 PB6pfdy7XlYn5+RYzyaLImpoDk+AVe2k3iYsCPQ0sVX4NMLrNUMh6EMysIsycY/sFjK7BUAti/jn mMg2icARnbuZxhNl3j4Q77IdhdSbd1khgVyDnUNtF/Wjzwfj8UiF8VuugWlretwDQiQ1seZuwnGS 1qtnkVVzrPR1aaZnsUMktXKOvIYP3gcCbaph4gHyRA5LefyeCbIEZG5fyWX4Q/Bd/1THB1HSBq2h DCApr6ITbeHxCNGQv3KOogTmHkOhgB4mKJfmgh8qKQ4h3K+lJK8cTlAI+ejsJFIKV4NN0IlxYXCT mbWeBBMld0wQw+LOhsVNOUCE57tGzjfk18PE2gaVhhbSc9FY0sLjkTpc/TqFJgl4t8uxI8gHIKgn opvJJyh3X0FrDl9BwIpqgiLIh6S41xJMeD0w4YXOCcGmlDw9v4OyVojsVLwl6kHei+5d7xpxNyVS SWXq5v4cUPpruwqT2Aoe/2eBmrWz/EMqj01QKPcBY6lWjFY2W7JcHpHvFFEIch8I7jlBMeQDOjmZ DGkNtoLOEXbuceAdQLA8rwV1arp4IBua1J9wbyDudHxGEC1mygkrWuweLUJ0r/H0Au9u4PGodvbd rEcfssrSjhMUxdPoKgD6J/mcuauvINoaGmnqfLVGTNwxjlqYmFl88Jt+Q3qpLVntntna4raiy1pw a+Z0aXfQdednRGcQD+m97qAJyohmi7IsPh7lrL6CqIaCnV5u2WNH4TQs+JbMVSh81k0XhY5f3bbY 2sW3WIT3mCLXLyYce92E4xw6C5rdhbXFdCLFLrdS3u91AipQbyTEDscOpT4yYWc42W3QIb3LoFzR 2TKl4fH/RRkO0MCyrxhKTiHeg508eWlC60MYBuE9umAyAFHDgYQ+pCkMp+VpP/r2wdYQpCxqagQJ d4DKaWnbINgHV3uUwne9qCEKM+FTAvKmKC+DVh3oU4m7gm55J7rgB90l/oAI4vndCOpm6XynKVTQ w06b+K0A8JidKsiYpvN7NGX5BYxtl35PYVDNdGNS5EuDhYwF6MdaRS1rwDwD4wggCzRRKqfjod/J hSJFUfUckvYqywfBGs4JsVRCG63x+SDxuNAYLkMzmbkmCynEfqDbMVSpXsYNcm2dnL2Ktl2aOqcw spYlF1JEGxzaMDPM7iFMda6GipoITYNAEh0IMXKql7awZESaQvQHl1HtrDSyFcxqFKoguRAiddKB xR8QREb5W54aIqa13+BadZT3G/tsOkLDWErr1M+S14JTFs/5jdtZXgsp9kxkISSJr5icDtz37sgQ CFuH70ia6DyAqFiRokGLBbB/jx7LtteN6R0VTarkg+Lrc/hv06yER3IKa9b9W0EG3z5FM32Woggb 9fpVhssQgTTnR9MeZtmBRHb40RQVrdV+XYUCwxxslgbzNMl9QekULENKwQ9SqDq9Yb1clIe/btT5 YOM1KddPN9c/N4IxkzYcF1IIBHnWEvfXCZ1hpH21vHHVRrVrdBaljn8S2wZqsLR2hrxbMS0Dbeqq 5RQXr1kLGQKAjsfpDfAS3J6YqPPdFVj1cteBLMTSLPN4y9YYCOBTItieWh/jDpauLTOWhtoin3+f qJq/+dMVODrkJD5LoRDIJvG+md4O6oZOOlutPKSzLOCeEAPvh6vsAonT5+DREnsUQ7U1YPpYHwBx cdLZ6YcIETubqIfLT4niJcKKUEmxQbMEdz21eU5Szucjduevownx+wNNyykUA4HWRSb/6z/M35ya N0rjWhL+czY/wf8uYg93MKkXIkv0aAGpGpvuHLOQF0OMCfjyCcJ/NqNfhkxM3/khQVqyCR+u04xL vcwP8VBO0rkWGp+P2o3fxoFoPbT2+MCkEBdCgTQm3QLwFZdqJNVA9aOdPHPLKVIEqdSQO+v+Dp78 z7OOyClBpR+g0C2oNa4q0qvyBYGaW3KKrWDYBJmc0jltVyNkqvIJO3Hl4PPBfqvtayWhATlGfSYp ZjCiTlua+yvSHIBFtkVZBomCDM//c4pq2TqVpuQIOHj6Bk2r3YdcGBrcTMnypIMbUpQxXNm7ZNJg 8SFBDYmCzAUBjA2GJW7Ag2NxDMaVoR9Mz98riYapy4tIOTRZ3ISHjG4dkTL29WHYdViKe77i6g6C IT5EsqhwVrDBJgmAozkmucJAw+4qO09gcugptKcbwlC1WW8bFWtJ8Gw8kzgYnngPqk2BDjL5/L8a j3g9aEjgcryTFAXfqBeAEeNGA3CV0CRRNE3brfbl2y3wWUR4KlD6bj5okkoDYJvqJuxei/QB7VgI E7EQiXKSQ/mSvW3QFZGtPcUWNSiPO9dbZ5MB4G4+H8Te5frmJFtKLV3CcI6tFmELU6qrFGVxz5pk nLwYMFezYkAOvRYXtVMSjZI1eM32BCPor+HuAYOrKAqguc75MwyV26UQlWZvGxFiGUxO2hKtWQ3x CHkursIfOFjz+ajw/6tggq+mPp6mgd0i+GOo94tWjUnKRtA777vYBBABhPp7XT8o0plBOZIXlA22 lMqJY0A/k9jVPjusCzKB4AlWJJwkE7tiEzsHfotOzofMoQ9cSmcBYS39sPxb+Xyw39b3yV1FJLkx QGi4CBDU7HVpkiZJByormaE7tb4ZH2u/hYzGPN6NzhpIbRR+haD+Oh9I7CgFQioh/Ph+LT3pcssL PReX8GotyckrXc+yNaWxBU8VPh90atcvMWKg8QskHHyaIkVrSFud2K6YptOmXhs2XIeYo62lBCCJ raXQIkaeglvACNfsAi4L6icbeU+Z5IFIBLSL14H93h7fAZulKOrGBQipZhNu7i57BWMEcnFr65XP RyKX300ANCHyviKXOfBdlI7BOYvI8dxmVqV4sg7qGGRW4JJXBELjxcXa9dhiXu36sNLGuStnodzu yXHO1kLPCSbd5wFYWMKv8iHuFXvdKFYipXZSb5eDbm70gZv0Qsrm88HhfX1iRDcGajnnZ5qisBsk glWLUWUWLQsEHQG2U/UlYrGtIhDYL6qkAdkveuosvbPh+cF6KEaQPRncyPKBnRJb+4EQ3xUzqPa2 f8Eg2yDzgYNquViqTgrR8xGi/5s2A4fettoTLIVh9wmO4Pnk4AheFBK7TNNwkCfddjudnGOGI4Ej i5PEwSYJgjy1oipX0G6AmnzFWkLpduCGO0v1diWhZ6dPCVTkKY7+X0i/BX6hWKAnFufzkQD4+pql QgRJfq64GJIN5d00TfCStXrV4Lr6buA9jJXuLIUYEjZDdk538GoADL16YZ5bE5qTxGQXok3ApFmk 8KnxtpK9baSaKslL6s9wULR0DofJ3dJOdMHng+TkyvHJoqhiOXnjJIc+jIOI0bXc7ZTQcRFBu8mI 4FiqRp/JoRGjCas0Qvs52LHUaweBhl6esNzswtRqrmifDWEmZXACs+XQiVFWH6nw2If6j6W5kwol +L0LVlJgxSjyxBcI4IS7OJXuDRd4MZb/B9zmKn5OQ0rED++RWUehb0xpd5aiwHsKKLHK8uECa09E QUQEuHygUjCkLKCmdNRCwYh3pprMqUMzRpLI9+pj+KBvP4HNp75jmXw+ohR/Nylx1dbHazCHdozn V0AY0LTL5hhtXuRo7tZBSSMZ+DgHfoyubTAlsDvrZYkkUNNPVCLn3E64ClBtC/3uiYJNRpxjuD96 QvFTophSnrlSUEV0L55igVSnuEZ7bf6AKPL+bjSRJL+vrWcOPBnBBASstgxrDbDa7gbMdEpBBwUm Hj5NEaRkdMGS1BpoT2vg/C+Dw8/DeyFwwskFQ9f2swtwfug8eapLyloOXRkpBH9mng3vtOlnQ4jt CfV5SPWSO5+POt4eLlHFRtDeZ5ZiExnsuG1Fk/Onsiz0HiW7S0pbt2gSGDPi/Rl603pAg81SLdSV 4yydjdGaTC47YfYwT95p3Z739LeNqI9cS2nZGQ5JPp7e0GclOOlsi8kfEHHUv7UyEAhUKOn7LEUF b2jlIZScjpworgteiRBnQWBOx2/l0JzRMBNE9mnw0tJAgjJJOzpXDNQNcHRVgPsbuNkQKDLTOOk8 5tCckSLVmymhDdbYhZiYfM5q4/MRaeSbp8436P1GS6E9YyNOkn1kTFIVmIv1N2VxjaQIFEs0SaFQ n9YQCesabv2tAaWwqDkLSjDUhND7BoIU0ryFxnFdjd1pbxvhJ4ghWcQjc1CwlIZU3zFJm8//y3NH 5zRuyvGspCjuxrEMuEc3iEnd2yEmNRWHmFQXN8qBRePN4Sh+reFWctH5x1FEhEBLqvm2Rjo91Bau GUjJNkkhyKSLJspDqY6LwhnQ5mDcfcJTPv8vTDJ21mbw0Z8wIISZ4JLY45qjTZ8kKFl60QRlE0t0 A49GaXSd2Rky/Bw37s6IahJVN0FiW8AjU98ZOvxwmdapbRHl9rcNLrglbfBefVCiC7M10fQ2T+5Q WeSX5TCFAiFPdWfpPe6WG80qEoaodE1xOFdbc9rRvVO7h1LMheQumkJSzAdJcdKRAR6tvL1LLcry MnZFg6xuLtSBUu+7dnvdYC3xONqMHDQ4YGkUil9gpfL5qLb0rWuI27yxXqNZCr0aaYla2Pxi66S7 8fA5zXs1cDI1hzVLf5g1qoibsg9+KgE9cf4FT6Vzf9ZK8OmAIkllXDQf9VDCt3Po1iilo1Z79kGT hOpn0ySh4B3YNaI/lb42XCMP/kJLc+jXCCbe7ETL/oeAiupi2LUVW0qYo+GTFMXdS8oHs2wfHLdU UecuxLijKrkLoU0n8Mblv4C7e+ABo9vb/sXXGjKXVxuaGw7xmDRSW9ZLRsp9t+Ct+lv5llTPsWfj +S5PBl1UnIR+sTeYoNpoXInds9dMQtNGSWJjZ/ng+ICTqwOXI7nndWJGcrYWMqLJ8w7RpsO71PYO bRunSFvUudHg6YkU484eSoPPR9P0TQaA0eQgmMBmKeRGogCXJLKGDUf4AzfceoTFNo2GNEshOXIr 7mYgxMGZbfDAgCEUAF4pg0lK0g18pOH3YGKrCpas7R14N4qDjAa3QU5MFfskUHsMxQGViymKu2mc /RD9J70bbxIXmzdCGKrOaZyJKrdvkkpqttJSqdXV1XPg3uiskklHOw1epixnWe42hO8aJ7VEMtzg ePEzADjNqz/CR/d1I3wXdUQbodgcrGpy7mPaWCCV5vMRImd/nUvA3MM74M5SCDSB6EgXTpnZ3APv UnQAeNcA2NdmKVQdUQFukx3Awbk3uAbgjI61dBLDThPHBmbj2XGIVVkHM/JN6va6QWOAqS6MI3wQ hAL6TDxVIAnN56OStxdzlfwDU9mvHXEOnRxhUz7hh8uNBh8yV0Cq1dRqQYtppoCUAyvHc8KR3rdS u4PzS87ZeuaHxSXQJOECh0jgLAV4x88f0rCtMbC6vW3UiaOyhqRpy5WmPV8CuME/hOMMPh95qvzS GUMRBhRPn6RQJBvnUiXsXBW42S4GznRIcKZAGkNrKQaasBCQOQMcvJh7Du8zS53qI2shbwa6a6I/ MNmKXivdHZf8dYMdJ1snSEfaoHMJjkhiA57NweejqPKyktW4w3czbmMg9HMEDGidryKz2gawsfmo nYnuPI0wTSioCwQXGzpukUopYczBt9y5/zet91A2SSfERKelnUyCBgHc3U+lsjd73cjBKPPcntMH W0w5y87lbMPF54OoMn/DczNcptq8mvQ5NHVEfjDXFaXfqVeDwK2yuk1TkvYht1wYfDOP6/Kb619+ c6i2Uq7/TBMu3onD76Rx6NmgZw1eTLshE+V+cmDrmIgVRH9hNR8UC5xVQulqsNgmnw9wp8MLurzQ 6Lh5FZFyaOyISGaqRcNs19U0M5WYfuRCs+lww7gycHY85zcSD/Anqg/W/U6rQlkFGeyEwsSetMcE XxPz1n5kOceSwCDJPQfOjsgw2D8xwQTZOeCSQ2bFSFYQgcDaMVEf/MlQEPeAxHAnKXaigVFxMvUa yIp78A0SjeVxDBVskiKoN+u50CxIPjhxaaG5segYht8lq3/S0QSvQJziSUvjhuKlwNwxM42DZ6Gi ynJ5JyccHtQ1qnXo+eCOa9/V3Ep8Yr1pXGjvSHJXkTMPjqWZHEdR5Y5FYkV2v/Qc+Dt6vLT3zD44 2KTJjVfkJZS9aSSCRibERmG7tC+FUhW40N+RFt4bU8IBMXtRdel8AqFS57ZY/AH/0vlTT4r7dj7T FGpn58bT2wWkqhPezyU9vAY3ZvHyUmzyKHhXEkMnXYZOplPuyfurGDq7tSlvNcTRPE3bUzlRvBSY PELnXQJtag00N1dDB7EJIpCBXApdHvP41pVAHkV/NJ+kCOcNOjWQGknhUuNVLIc+lwAEbC15VBnb PCoSGOIouW33ObsbtBhml5bduXYTYHcdvxbWxZmV3S6VkkJ6/JC/FCMh0eWDst0T65ubagMYPvR5 /K06CnhkrQ96OTR65AXXhSuhjeHu1mU6l6SJ+55ci1r+XT8omKTOLDeJ1Z0eVvcJiU/sToMSKAOc iAmtuHOCn6h7EN6YH7SJ7MFz6PXYGFTmIrpOqR5UQtmEgO8z7ZXPv5/da39TBgaRgg9CIDB7lErW KpTxpJZUa8mCShksCb2Mi0VhQOD2iARF4qMCxFc/uzsljeAZwxocsDq4nlASONfvuXDQW/9yyaJi Ww78HsEbJSQXbgE2OLFiNFVOZtXzUT33l2YbDDvGFygndHzEQbBqmaoEQNXGpVx67dqGECpJy6cp QptUSiKkRDAcBy/owt9ggGeP0xtQaC67BuDDCcNRknhmSSydwPPRu0zwifbB0CbU1uYsoWEZmD4C k/M72f2FNvm8xko9szW1UnbzjES4HOZoJnVSFk18hp1Kr5FShw4y65NkKWnwIKCeU2kn1QMW9G0M KU+rR6wv3EbS9F80532NkzrQNNRwmTSoETWM89PPmicAop2w/vMaJZ0X3FPn0RY2oAHa09nP5Q4P ZmcR5VHzNLwSAlpDBrAQjXi7yI6QZ3YwO13+YTvfwSEm5zSFlDa3QEXVnNWnVlGQBZ0mo3bp0yMA /Ov0gAhB65VmSuMuoICtPKlSAhOcz2t81NEurzY9WxEuMZc2O6/R0XmI1Yq1t91oW/XbxRCSUNxJ ZCAubczOa2yEtdNp9ohfwAZbO/C+PhOd5ZjRABJcUqDohHr9FDIqhXgjpvs1MuqA31DKP9F4loMV uE+Em83SqX1ew6L+2MtvBY9IQ+hWysl5jYm6ORtBcE7F7b7sxDlXjEOWz2N9mAjQa0TURb2DHsAq Pnj9f6OBrnC/nBRn9WyU3A532PbDTEmTw27N5zUe6u6V0Woyh77kGhqt18lewHn5/HkNh3q+Auzb GkloJVKUlfak0cYCC2bRI5GyEtPK28j3uZ4aAQBe+n8NhbDo5edc9x08rM5U+KP93JmbRO4TYUnn b6IKCpMJqAOI0k274ujcQfqJUlrywe742nX1gIPxeY2DumTCbanoiAInNdnsvEZBHWFFIZo0WzE7 zXYb/9utipc4zHDFCmZnDjmttOqDR9OAu8/B9BXSG3VRpQxoyfNdowBPL3ChIxLD6dcICF9kr29d fxgtJ7mAnIDr8xr/dGn/a3aIkETMCZqdZuc1+sFRjt5a9nr1WTtrWBEbtoze8W9OK32NfTA7kqTn saPB4chwnslVOKRzkNIE/MST4DjAPeas8Xn7j0PmBtHWkrjfFijZ0bwIK+Fk+yNRw89r3INTy0xo thKNxlDCD+XXoKfLDetcK0WNkNlYtpOkjVBJsr5Mdu68hjw8lJmp/rdeSxprIdXIZP8tGKfKUA0i tWAi5/xYpU+qIr1GPN1bRR2tLRusvD/65ge2EzN9XuMduzaenVUpVsW6B3Upo511dvzcyxL6uYcr JfQ9TQXoRIQsL2JnvVYZu4RUgCMjim+V60+M6ObcKdQRmCCOorOGuBl9+IkOYylzXgxbozJbNDvS FgX8wQaVYmF3zamCL+vntcLYhf19ZgeCWH0M31mv5UUs10kh6GbljpSGd60pYGOEP4LXqSMRhjtJ UNrqw63BnrVTsfHO7OyU6mL/KEEjkh1Vukk6dI32Ra+lRXwDm6VXNI9tsFMZxK/pBaHXwiLPnXuj s2N9As+2yYgURTyYnxP7g+GazQC8pLQc+zBNqQXiA9OxD1G0rB7jVl9of/WFzhlz1iTyJSSnJ2MZ ZEIUJIUD2yWTmmcStU20/2j9qKAIawIbrMUIuUAJ9g+QRqPtZcRaXesFkjl73ng5CpgpgdplznP2 V63D0VhVomxNLf3lnJpohgTXx3FnwwVjkYQH/RXIs0FwgdB1pKqTVqGm4iDikdhZ0elMfH9vdfqg NZRmFR2m5crHg5g5fc8Qb1mKVmmG3oNm4Gdw7pTuUuJpuSjbqsaPPN9RH16cjqJmNEDPRoMlkA0W +nS2BbKcd0GvzEuWeeAP4SOIP7SUQpl7FDZ32jkCBO+DLve+dlP9voFy9B43I2PzM0igLTQSCFrn BEWBM+ryJ2vP3slfvoQGY1uDzkCAxlAhwRndBb/qa/twQY/neyV9nxK1fUlQq6IiDkuac1Wi7uo3 WNLLvm8ygAbJoFg+WNI+yhLJvwAZGsbOa33NEPLRriqZyk7BEiLWgUrfPKYbuc46prvri5Y6ntJ9 NEODVAIQf23QDNVEG97OGhmkRlOS9hZYdQ1ODXTAHVTU6tJoeY+eccdzZqhPnCB/91O1huYJykk/ Rpfp885awx7N35ssZ6opPLssiqDB0cAnGOF4sfPIXTaK/Uuk3cvhIO8hNNIvimkBrmKDd4DO/ltV UwQJx1yLaYmDRZsZtd9aq7/r+xSBWwEXGLL7OShzPxeYhHPqKOXzzljrMkR4okSi5AbR//rUMIo+ Ad4cO3v3vriJfEfpz/gzxM2qOBaF0SwhnpuLauscbJuBmwN8Omsb5w+ZwjPw/l683tHeuG6OYvVF YbTkezEfPlgY3cjNRaCY9+edrYY1ZGJIW3L0AHDRs0QTFAXSmKDVmqFAAOHzImt2QAgrY6ZR+05V 4wRtQfeSD56jrjMtvZFn3E4un+S7W8FUp5Z3VsdT6gfbXvZ9hrDZoSIuBF9zBB9skXIXdbjPzztT 7Ve0qOnAl1nKPYjeo+lEAN/KuraQk02qIMq5vDu9iFxsraEonEYz4iyenasPtssA9zwxXVcFaABX PBzCTlY/zVPNN6TZu77P0GR9DG48Plh9DOQK3mUdujVRPO3Aa6/KAvWdPBd7J6kxGdu03S1WIdvN kUSrmk4k2qJuX/TOUfNwaBAJZINNUIczzEnkOUET8H0RR4H7aAXhfH36YnPqXYMJ4i0/waiwgb9p GyfvFeqamIYopM5lfx1DHRAEYOOtghhF1K0ktsKmN8WqqRy33bxgdmatG6vonZ/WzVjlhNKyCttP hR5Vf2heUYblZGB0VOl0VuG2wna5Olq0/36np2EJCCW7eFxz8GSeAHcaFebPOzsNtapWv1YQWqa9 3AL0OzeNZxccZaulqSxkWbEjyelJhqmOH3qnpjEakpVBLT54uHj2FQw7hYuhMnAlxgo16434ZF1n FTCX9LJ/luhbXz4Y3qNmGgnBCmR+3plp/ZGG0l2Pqmvd1S+yd2JaN6g17N+sGSb3Ito7sbbLPTbG tIvsnZfGnJ5CEEkF1/QAPyf8eEjDQcUj16qsJIMGMqiQBwSew9Bo+vBOS+sCa6BOlu6g42TVtaWD cYLIzzspDe8489caArSyiLvHGYoiahiwrLQNgr6S3FRYMNtz2Brq1AflDEURtShUe/bpg20yhAzn JKIrRi81zSVuOsruJzrCKdAfPAyFRd4ZaUzs2XFW3MjB2jznOyHJARv6805I64+pLDY0gkvWB6Yn He+ENNYU83fe2lu57t8E5zJeTGChMqR+56NZPgC7B5oTSSpBi+iEMBvYXqH0YDvHTiEiiBPz46V7 udusDHvZYIrkHFJJJuZg2+xsGV1lZ2F93tlouG13/Uo6AL5srXhp6J2MxkWEzZW36xxll8pGZdRm CKhVP6mjorQssFJW3ppv3ppnIfuP8eI5fuZejKgLQIGDuTZnx0r2wCB83rloFrajiTq6Dw48qxKn QR/6805F6xLQ+ap9gKpHNoVm6D2iziTWgmxtdo4ppQtBT8V7GouVU87Qe0TtqLOzdpoPfhBVQPM5 xWcN1doq64+oOP2gZMGc4WmILb3s+0G0eBBt8qI0aIbqCahlbHFOic87Dw2/a97fRzWy4FrvZRaF 1JDBOUlTd/h599rH6NNnCOVXn6H3kBposEQ5mtR8sHAIxdFzFxOFV/HNV8m10gwNzQG0Qj0rK0Pv Gk0Q+6lLnHQcme76vpakjs+P3Z93EhrbRv0r6ej040h3DYX16fPqE3Gcdtms5lpI6xBDUqEo6C3V 94ga/XhG1EV+c+XWzwoVaTO9R+bZBNks1AekSWjMqMa8ymekoL0z0Lq83KGwwtoHB8VDawH1zTQi 9887AY21j++uKjB2lQq5mqAookb3FXiF4Yl98wkq2+XWzxWSDGr2zj5j7xqbDDgpH7z2UWFRCNVE RNQLbnyEEtdFiUx4GlwnPlK5Pu/cM3wJvMrO8ZN90ASdgKsqbYVJ2Dv1jJCF78Zqk2SLX/bvzDN2 OIjm7NVSjlUdcD4pj6zeIeRG1XcOI2qmHAW4XxtsBSFUaADNc4I28mIC0YCCpuDpzI9aDxB8n3fe GRpcU/EiC7D5FmAH3FeJf4Em4OeddsbL9vuY7thq9JrTBEURNZYtICnGPaf9ow6hk0FaaaiU7Hyq d9IZq3AIFNtAG1GDraC6oDoxyfI8O6EC5YQ5pNTmlonGDxusADUWvWs0QcxWFRPli1s43ypUOJi0 noDtnXLGU/quIM4GUhuo4NoMRRE1wDFzpywU8DzJQrYm2SItWnrrydsc74QzHkK44wubZRr8mD7R UB7SWYXY2pJEzaI1HyTV2kPL48R+3ulmXUoC7cwwPdI5KK0/x6+sXE7oyVf81ymtCTpv1G4f6J1s hgk6990cxINTOWwOx06X5IL04IkbLPida8YFzIVBxwsNvoTOeQMqFdnUG6YPhcIrAGecE3Bi2d5D iEoY70yz2wZqe1oLekqyKRO33uU0nMvnnWnGwsf3JiPEuN8W/TvRjN0JcPd2E+EctubN+6xaTYWk kmyCj+88M3w/SFtPIqdK9eNeVDaMZzYc0LHJzivR2npgNZ1A8RzRru6AoFJvGhWoxaMmvIODFXj2 TupxnPn+vFPMGEz/ChWRArVbfH1nmDG4QKjo5elziVlIdOEvxJVDV0XTE5WnkXDzdL6DH9JQj2T0 U+ik1syhhpHDEqPUD2lGYZ93ehluyiVVHlbwOfgh3XRt4jr7vLPLuH6+I0X6yebp1/w7twwfWihb 6NbouSQve5xv2LUwe/bi6zu17BZfafxmgzfq+0KzRKwpSD1nEj5bKdJ2QEy6Lmuq28tGlTN5F9FJ jYNaHCeqHRIOX/gS32NpdFrHrxkaqJ45gOqdWMZ8bFLSQTM0kTVa5Wy5adi5bKq7pb7zynjPqy5d pga872TlDCoRchPF50DPmG0y1pghuVjQPrGkvrOR+E4rsyoW1Xik6LCs7w4ngELfSTgf9s87raxL Eu2r1Yrzsj2LKAymIRG2i/kPg3RkzOnz9RdvtebsbNd3TlmXegbv7+aDg1tbHx2Kc1Z9Hdj6IG9g TgH0mF8F/JL1rtExzSDR9HmhwUZsGcW5SI6BSQuf/1fKiiMdM9xYJNcMRdH0STDAAm6qtCIzMIQ0 hL2yF/B3sTbZO52sXwVMwH1s8BkClyxphmDjSOvR3mnHN0AvzO3qgmRKkn3e2WQsDzJlJc9Zg9XO UITjRXR+7c87mewXipNVJEQZz0H0ziXDQQTIBxqrhgAGcNzU+XvK3gXCrU859XcqmUM+BsXubbhJ /VlEe8kO9FxjJ0tkYQhExokbKPcHaU9SweedSkZEAu+yIqGiQodkJvWooCtGrvPzziTDLh3feLNM bagvKGeI+QC2CfkpdFGQs5K7eSYbpAGk8qydATVTUV58J5J19y9CC8MHR9mPXDN5hziJgNVjMZtW kQNk9YlOjHUSW9G7BtusC9FQig+CfJx9XCV8eQLzzzuNjLf9dxeIt0O9aM53FhkWLsCuc5tNKv5U 3LiglurGBSA7ag1FFeomxGJjTWO3cdfQGpgWkTYbJC+HDB5gkThJyZ39ejlyLX/eSWQExXDxLMLs OWiGIPjG6xMRyuedQvYroBbeFU6FzQPqdwIZo3hc91D+pWnB1p8yc7LZZCmbOW+k/bzzx27Smjbh bhz8HKLadSFq8VyVqyMbhw8fSORA2mUxNqVXTB7CO3/MQKuIhOr0QTOEBiIXFCTQPu/0sV+YV86Q NG69QP3OHmOQgILZckGZJuMrYj5oTiLMB05yntTv5DGnIrxFjDDBQuSQyLADBYEpx4SbQsO9kPk9 Km1lB+HzTh4j3J5pa193sJARxQLRL1r7vHPHsAR/pRwLtVxIAdoMRQVqUHDnTC6bahp8lE1d1Qof gHxYyPjOHOuyksQRvbcPHlOf23yRHMuk7JxphPENlVLPxLQH+EqOx+edN0ZkL0tniwpXHLwN1JKU Zc8p93mnjfXHt8iSDjI0b6PsnTXGbj2CoNIl+YE/WWWoA0pniI+ynTX2Thq7m6wWpWbFvZ0GCH6o TExmrUh/KSuLUGKrgN7Klf7orAy9U8YI7GevlY7LGpTWL4j7S6Wi8PEI8fEdDRF8hBKDTVAUUgPC vACcMuRZG9mSji62LzVkqkOn3+lizx4jT1PDLZ3hSO6sLZ5TBhMk/WL2oJkTXudvyoZ93tliXTL4 uMH29kErCCL4kt9vJ219J4vxV70riI1OMM3ruLiqFobUkAGoKVteX5oVpUkWacrr0Sgr0khrIYC6 Cuoh8NC+8HLyxNH6Z2lotHUmjIIO8J8R64b16abiWdK7BmtoGyOq+CBAAxr2Iv1A+qtFiI8HIyxn zP1DKSqboDCihtQdhIY0Qak5tReCsG4HUgHKF7Elqk8nkaIaeT8c/CZb55QorQjxQSQ+lYpAQq/U pIN8jTtbJ3vZgLxB/MNAYGCD7TI4qGkRlYHHo3799zFE0GR7uC1hRF2oztBdnYFSc1JnEMWX6gwg 6ojc8h5R45zOrAkx9eBw0YvnUk4OGjqpveite7PF0IhC8IC6U/SrRwF10jmd8/JBS2iDI6IW/Kh4 PCBw7O/Mvsrp0vdYDwvUafDwMZR56uYIgjOz3doZ+oiaoAjyMZMmSMf1nHeCzuyjLK0ldMJeiMlT uwH/Buc0G+bKySbVK3pUoCZRES2T4oMmqKGHxWixnoyjR/F0qt95PZQczqXkbcQe16dl5ujievTe li/RNt4LosVtLY4e1afldJ5UheXgCOF6pnpIzANZa6EoKGD4A1ZlqDB+sZ/xS+ND4i5ZRecfwffo ZFYyXEz4ihN/7VbwfAAb+lUdorJ/p9iB5iikH3YUYKeLM61+oXmtlG6ZPX8QL/seRdTqfu1Oo0IO jvlAPxUmDeKQAaUFWEjCETRw2RYASq4kQ9HLBquIqqg453ywGixkn0QQLxOP/0/8THnu3XMooiAi n5ziRRB6Jp90qPMt6jqSKLWuJWiPIR+MF6EPZYNfZbuTQKl4cTeFlFAfROdvU43jghe7vWvQSRRT ai9WGLE9h4pDJ3YbxNudAx+PBxM06q9tdnZ7vl2OHgbU50NPBt+bdRJLdnrvrjl7QN22JWU9pCEq r18sn3FwbN6CWByabpigs14kR9QyakQgwaB27OcQylH4jCCvZ/m+bHJYyzbKUUb9M2+pe+GgjgLq 8YvsUhmOVc84elikXsBXAgZqakz0QCmEvy6A76ntlWgih4M6DKi3aGRj+uCgoXO717OnSHYBch0K +ZCJA6wU9HwIrDz6lVMvG+0xIj2IY9GgrBU+UBKkPGErHv8Xl4MdEkgrnKvUy2f9PaRGYk+lCkot oY6/ea1jihpwVYW+KJsCZTyqo5B664wGAuwCwZJ5x0AWhkIV7aSsNdFepiJtHdADB1LF+HaJ5mg9 KlJXXWKNZnu1+XWQkeJzuiAEgMeDvL55s5VdDVIk1nMMRRhqpEtQ2toqDoGqZX6NPa1txaGKQop2 WYT4MIgwesQcWKCS6PCkyk0hAnai6IEyUgHMHP/FdCvtHMJXig/5E3lGOI0GK32koeLQub43Ho/g i+37LoMoU63PFIUxdQMoppt3xURe4JaW8pGFpj4CPNtnUZXaTqBZiw/3LqsLvkDShBmAPLM6BIXv gcifmiPWb+1ECfcI9KGiRxtsK3LQFKGFOwSswj4LmYkt/5oiOOsQgCZKdBRUo64Jg0Yv5Ld6U9de moFgd3WDvRGVqYG3RI+D1WoOdpnBSgehENkc51dZk2IWJ8FAUH3uCCIt3Vcn613/0hvIlZcZB53V OPgp8Xn+VcbjQVA9fjUUyYe8zNYRBdUAf0HgRsjXVUczscoxXPyEzkNWHRpxkVoGhCP7cDsd0OOd 8tSBQqkailAb+oG0zg898lzJC1ENPiSijVM3r9DE6vycc5SpGbSQhOBcGg1TFJap1/d1BnXYxuRH UxQCqU/oMtHx856rqTABe2Y9tEJcpk1RVKbmLw7fqyxaGd8Xa2ihb1823QYyLFG7dmKiIQLYP/25 78+vOfAZAcBTzEQa4WBQp+Pc9wuNyh8RHvF41On4rjCikl76LaCNGPZRcFQXA+cNuoAIe9aak1vP F25d+xHF1DqHCli4HNIzQW1gG7BKfW7N8z0W1Ycq6ClsJN/r/iQUA58R9ROl5kE2BweF1OeY3iYw PDYeD46h+p3aA9Re5wUOjSikRiCxUrES7PlTvmSFOhxoXosLwowopCbCfOShSuy4koIFKgENihXE d+6z4RpDapp77kkjsXlbQai34EP+OoZGJ4pxdJQ7t7IOGBmJmVgyno9qjL8I0li20srjFEVBNXoL 59DcTpkqhMAuFceb9RMHAjzmZSOsUifZVIit0B/BJTSMGwwv2Ok4J34RBJaFD0h+oH/vVWpS7EdU pZ5SgV27+SD02VTPmxz7iceDNZT8KpOcDJAnwwtoI0RRT6hmjeyEl56vukdx97MMEIGtoQhFjTph H8zObbA1hHbPOSYG5T1QJ6rilAFMUuFwicvfe2UEeI5Q3oMIfMSdPmiTZTRTKO+x+8DjQTj0HNMC D+EfLvJjRGVqCsJDOESNjka5WHGmkltYwhjKYA0jiqmVl7FWyYHvTt2l3BNI8JyhhMqlLJtgo4xy 0c/sd4YmEh18RsSPZmkxa5Pl4fC8bmz3syTWxuP/YnOogLYIS/cJikJqpG4Qr7Dax+4mRkVL5mzB EByVbYLCkFpWMNg5Nlhedr7cDnAN9xjh1VvaS+whYtkDgm4H9dYaCkNqUcfVCqI0lJ0dgxb0PH33 wvNBbl98EXHBZfbo7hoKQ+pBWEM1v8oi61waw43kDdexh/WCRlimlrN3F62sP7Qy4IfgTcXUtUER norDCTc2YKREkHohf0tEJ2QmoiS415bo8vagFCoH5lZ5tjcej6offtkzEgF9D8YJJqMTlqlZyKdC PYAftMc24EczYgc0Fslt7fw5fynjUSrZBj+oQeAglRg6DR2/GZ0HgArEf/ghmcMAaDTwmCHuQ07V 6ipyUEQNFaOhKLk1PB5MUPtmkEMLqkI70iYoiqhh7QDFDS2ccyTcxDWn7okrDKeVlc2QmSjfpa3k bH+ROYCt6pn86Artt85jqOEYoFnv6NccHocV3zUiJspheN1BEwS9Pf4DYiQ8Hh1D3zXYQUujWz+b UTydaL5YqDwwzzHkeu+Mpy3lyNRK5B6bMTFRjMRn8DL1iXzLTiKQZ3ickWGfUZEa6OgRyeDkVn/Z CNRAgeVOugsHFT/OxW/loXPe4fFIBK5/7THcPScmvlJVUUCNIuTT31hQGbW7fu3musG7VOMDzbBI zU5rBl7aBk/sC4KVzcS+nKkqwD+TD8QTBfCddH0X+9K7RocQwkUyT2wwwlTtkuc8UWfG4xGZY3zt sYJpbsPT+hkF1DD3XU0koDNBOJxtgtp2BGM5F6kd0zPUyWtVtdftg0OrcAJR3BXB0Ek6Bj10yMNu 6EZn04KUxULWywabjD659PewQZWPVpaMk9uJtfB40E3s35UPpKHU77MZCovUCxqUxfzN59zeC6Kn u+Vka1PoAzMUxdMU6AZasftw73qYNyG2QzAEz3OaDVV4KsEMFsoQNxiq9q7BMS11hj23DwY+01eI TH0MPB5Vz7zAuFiBLfAMuBMUoT5gzwsYsp1C8DJz1EczV4pzjmSCGjp/TnSPcWaQBdhwJ+jEQJXU DYBjKrDm3GOoNEMshlobxgei0uKM4ulErkLP1QThrAi25pD2OTpfDY8HGVn/TlozWb8X0jBDUiI1 3lPXBME5qHinrCbvlEHt0Q6hUDGP5zO0FX14DiGISxE3BGfFXgiL6dAxPJHRWRNrPUV8e9cIN0QA dWZixkH3GOoG0ptem49HwCrfYjwiQTasoM/bDEWgD2IaIBVgxzQrLIaLSeUe046smlE8TcfywUVg g4dCpYNiWeT4VveeJLYiR4C0S4Emd78lakLNZxRPAz+PsmLZPhimAYrV8iTpHY8HU1R/tVtp9dY8 aZ0hKxGur7OYRh4SzOJIc0gomKjX7Gb/PkOdD/YSy153sBmCAvMm0RqlM9ghZzkxF/mVoEztNz1N nvEZf8VCMOf2wSpDZ+NyCbRzIuHxCBbzm62wgOryU2hF0TSmFfIS06H4ydkKs7jN4t43q18R6GMU 4qehwmqDLyG4fKCCy1MIypRT9Wlc8kjt81WxMCXuFdanE5PVwrIHB0fFNJOlPCEoHo+0dO4EEUaN 4iaQqDZDUTiNks/ZAn1bBT9vd30fVEy32plD8VcUToNxwrtv+nA7ZRMRtCTdz3m8Jj0p4EsFPOZE T/rihqa9658Y4dzli7sBw1ZlaDGWgLlyLXg+0orxnFWAYtTFb316hXLTCIYy9JJUn3ZTJUDl2oV9 9GrH0AphH2yRFdiJcSDQSdgzhA9VV/3ZTNWzW9b2YN+wb/kV9pkNnxHUp7dKZ/JVvgDPc6ehbKxw euDxKJz+RbpDmbbeWGhF4XSnbPAwtiY0z3yC8LdtgvoVIFghilrNDTN2WePpk+2JE0fk8QQbpUy1 IXq84zpjHGTCecne9c/69FK3bDlEGJYDRdZuZ9Pg8egU+iXHVIakdH2GQtnpitLZsJLihHKzyh6y 8XO5IXcEWDEtkZsMPjs22AydqAylxMnKEOqvMjVrPNEpjlmu0TsNivAZAXwRdnUJxV0fFC2ee4at /nMz9onH/5cWByGwgKzZBEXhNCAMZ4Xawjl/GsXqQpkinLS+26DGMVpcocoH4fW78Lffpd2MLMGj JJVCqZjzte9CI5eExtmkkx5vMfOaJPhsRaCPpW50Jtqcg1EVEiafG6cPPB6APpavIWwoSCK0eoFV K6pPwwUcIZDJwrXWHVgF4WIr4FNqWUsojKerKFNz+eCbrMN3iWatyOp3kcownETAkkNTeFyUMOzl +LLxDEG2CwBsDMsJQYngda7JhscjYFX7PqexhvrFfKywPg3hvMJCDmuLa/oaAoZetcUCYwiboSig HnRC2osAYA4+Q/AcWHB0QIujjdpJmWKrEew7SEpezMccetcI0EBDqZnuYL3onSuZBugu4vH3Tbau BKxsOCuYkfcUCkHUnYKLpnN/clb3dcfvcEU+oMevczqGfEyx5pcPN+M4U1GRj4MPdPbcoDfAOSYB iinSOnA3buqI4kMiFHVhzaw3HxTjTRz+8kNYFY9HGubte4YAgYXYoM1QWJ7eAL4Wk3udU936SXHK 7ln9lg1Q4s8JZkhSVZWkXg5+DI11ZqmTYN+Rx2S6KYEr8jMatRdRyrFoaAy9bBQNEQOb5eOWvbi4 Vz8JNn/Dnfl4sIaKz5C4Q/0HFtg2QzsKqKErfr6B6aWz7GyXkxxUb7WuWY2rsEPAh9QpoZdqg7da Ae8C3c6EllNqqr6SL4w8dF9KmfyldxRQN0VBgjNw0G+1915Fkl6Djwels19NoIJsDK4YNkEhKxG9 aDbNFQyli4hB8KYJKuVa3u9Q5kNLSN51HDyeVht0UUYYqCFlHBTbGSTdEd9kNxmF8HcIoxbSQ/xN DjqG4F3Mf4DsNR4PbrJUv5YQWgowyPUZCmHU4APtYZOxpCcujdw0Xe094+7WDMUwaoaLJlvVr919 OkF0hnwOpXRWo9cdM47zVxqMhnhWGiIGixafEU0QzbcXgWcc1IpOU1hUcEM2Ho9Yib+AZ2hrreoX 2Q5ZiZ2CUd2yeibbslLYRLsJ74EQSRMU4z0EMFfQ2G8f8YSJY0GXm/H02O2kd2YfdQa05HIq8/aA eJPtKKCGIhq59XfQKbTTuQuwBNpJkfF4pCL8japi0ppuWr9DDDWKkamZGtPK+fojAn9vbJdG9j5n KMRQi/O76x28B3RO/LnXkghBgYzjI1e1AFLZDwAW4HR8RpRx6Jhu2QctIVBv1QU8847H/6Wax9hZ qiqes+7QyaVTnHJ2m6A+XPGsUlRCQh+t+ARF8fQSpaxKKaZepRgI7q1zxZNzVyEHi1wMWwzZA47z um/CAZw83zWIhQhjSECw22CkxHMMSTTvRIB4PMhZi9c9SCrlF1Vu+XWH8TT7rK6Hf5LWbYSpUYha 0hIC/VUzFKrm6SKDD4ANXhkabUPdnynZuZJLZVZPMAL8SaFleBvRvetd/5Jj+q824oB/EXNOthF3 zEq8/HqWkaBd3G5OtkOlD8Bf23A5plZdLKZtQRcrlT7uDP2N9yibvCkOvslOrAjFV4nFpD3NwCPx 3SalJ9wNiK67+IwgWmwqLqbqg2bozNxkEaTCzHbHOtTe4pAgLmTh6RevGQoL1Bn91TWNUrank+4M Kk40Q5VgVefP+SOgBv5l+XALH53mdXLWLCd3Kcpv0S7EgY7Jc1DVnnrX4BiSTXulzR8Hm6GTkhHa iSYTHg+u+v3teoOLkPb0NkFRPI1G2qK5isx+x+42QdBWsE22izsG7AjugasKO0dV2FFuMATj0pPL b1WGBkykEo8hHBeUqulPLDTsXaNokZX7QkVzDjqnIfsgRa9WGh6PYqFviVzolRbYFmiCqIAXlF9Z dF3TukCyADK4h7D5AFBXa5OJov66hprkAolV4GDR0DrB4zw/AvXXgS/byBxnE8PHnvitekFDy183 YG4WEV1Y+ihI/bLd24m6L7A0zZM/IAJ4fvejkadgw99pCrmJYMBNYHmA7zgHxTLQB7xnp0Hxa5ru PJrisJqlVXIUNXCaoN2N2j1aYsDiQzcXf7NtIG0maMMllYd7J/OJFMXVrNJCxaL6IFTDBv+BZ/D5 evl8RLT/BaSms0x7ZimKrHHQn3BjiC81KeRmzCk1PlhFWxSN4SyFUGqV0TpdbDk42hzHUaV00UTK kZusfgE+HpBdZr7j0A85j6YQ+yGW/ZI2CqPVxvTj5BVlGmVs8QcEsVH5leSj+tLrNXpJIUfxrNnV WbfDnoM7n5XS0jC6YkHjt5jDQgpNEmVju9IdPAMp7dxriSpx542gLYjFnhIKIUB0ZBCLPH4s/rrx 3QbqFJmc240c4Ic1E3Pac1dWPh8spmuUyNhzo1H5MDklK/Vek8WBxC8RSDT4eNrR1KvhiE+oRAyH jqaoak1/YbiP38GmCc6j2ISbJKrFxJ8a8AtqnotJ8/R6UaYGET4lsqIgQqbzguPgaL0kvcHz0pnP B5lI+dWBhVTShMiKT1MUamMdoQe7HI+GRiVVdiYiLeHR4AEgqllOYe26M12rM/twy2q1ri0TcmT7 7GJi00EInpXZyk2ndI1hAIOq96iOQKKehHVI11Zyy2ANWyhtPv8+TbVcbU9N6v4hs8unKY634biQ t9bQhOSb880qJZzIN6NQuTzMooB7yVgp0zrS/OUFSzvX/2qZArFQYWFDB6zFhUIVYgwYYtjZtHOz 1/1LvvJkBcMHq/FP8/A4kaXeMiryexuEPQiE2yeNf6Yp1AI5r7doRYJ69aKAkjZd7UxnWeZf12I7 hVE3y2a41HywTXd+m4GqEev8DV0nmeGhmD3TOSI2o1+GTICl622jxSSRHoGIMwVH8Xulc/Yztz1X R+PzQTQwvxGgFcyIlp6TKYq7cXi6Dzn+NBxo7T7kBIbAw8H88CI5ECQKqDrt5IOnJhuw8ylkCBqy nXVayF0gvT1zmi8G1PyRU6hXLa1zfJYNxsyDlQMrJKUNPh/suHaLSFg1J7b9GaNfk5wUY61p3OG0 od2GK+cTVWSSILR20CzF6BDW+6dIQY8X8EngJszUaUbVaVlKmCNXKnucdDuhqHehhxU/5C9B3dqk /9Vc/4v4W6Li6mBgGfrA/AITyyV1Pb6BIYFxEiCyzZizkD9h3KrqSS7o+G4cGCJE6CVUkvS90z29 zxWSAGKaxFtDMjCru5+AE8MpSBKReU/STToH3oogU9MDpq07OIWx1alCAMy2Q3PFeQ9v1r9JUgH4 xWcpZDGerATFBtO4gnC/lZOSZC5pmwwMp81ShBJJSdOztg+apUoudYPCAKslKU2anSyKerMaiZqS o/mSvW3QGOEsFc1SubMEkAWP/XMkl8rng4DpwkSmLaWWnqM7dlhsEGqksgv0U/K2mWEqN6wasCpp +10/KEL0cXaq5qqmGwicTA6/Difp3KSgg1CkCCbT1O6EZq5TiEqzt405RHBgQMaPYVqJ+sSolIDh wuDzkQi6T5LoNAXIiWeSotr2OhfLOld1tUkq061MK62fjAACeXhNUhx7I1pqifZmHGwlAToFK4Yu yFoBMoWnO6xfUE3lJJnYFdvYObBZxH4jFkuiVxy4kk7Kkmj8Trd6Ph9FAfdQcitlvqrPUhR6I0ql j68KSyNdKZ6ceXTjdclxsP0WMhqLWCCj++BH90mCelusCpzwbTC7hQsT1PFwdENtwzOULpu80GxR flajSwy9MytjCQMqbZtrCX6dodni06xlSwWVYogL+ixFatbokEz5/LL81q17RL6ViYJlWIv4Wgoj b2kYDNmcjcfmDAFQBraM6L6z/YghxSwx7pkshPmpZJMUBd6Zs9N1KvV7KkHPb/IfoATA5yOl3V8M fVBd9iXt5cBvEWzISncYY1xlMiYUUAL0m6WEQesSBpSh4eJKOpBlQf0QGxP8HaDqV6gWAoljnkoM ByGIAbGqxx6GINEcWi5OxlRL6kUcdHO3Ss0ROg9sPh+c3be+1OWk28FNe+KAUDDkvP6qdasScE7g fMEjKl9iC5MzrZpAYLuY1ZVEvWX6YIc3dm4FCJsQLVg3E2BSBwP1c/4O2gyZkkG1t/3rhus6l/o9 l8aWJDBJIXo+gvR/FyvhqdG+6kuB72KmGeGE14DBI5xjhFS3GVYUghjLJylmOJqs0/LBQf0LOkzy yKUeElmiHRDSk+qCJLD27UxycvkpkYK85NP6HSykXHVJJm6uzOej7ORbl7gQQ3Kd3nMOi94D6rdS aQY8+2E+jGR0iIL+sXvA5th9UUDIvn3wKhztdADpQ3Zyct5GVHZjOgNDgkUKn5pvK9nb/iUJ2jrZ MxwULJ24O1O6EAwvPh9kJ/tecQR2AV+5rzdcDh0Y0SNcJRUrfGehR8gEXctZakAJ2bkUWjDqbkv4 emywtYQ7ZLaqFuVJ5c5m5IZD+7bTNhvwUeVwwrPl0INxUgiLBuYcuiW6kHln4H2uzMzng1m6gC0u JQIRHq/c0IUR1805i6D4ec7pReVpHd6Dsj/yjAHKwCYp1OSjSliSaUx6TGMyUGCd/G2U4DoyoUki FsorOMjhjuNMNXlShzaM8jVPmUFTyo5OTekcMEOncZl8PshO6rfxUCEe87JEcmzEeLKIc4M2q3qP 1S92VE4XJP2ifKj9FjgxWrP7nKZkWHHwU2lDyQhFcTgxnrsI1aFzKsFmCD6xAAJ7Aa5QxI2fEgti neOobJ7dcLsVTxE6ZJmtxnOAbv6AIKjM346nqDEC6XqnKUSVjM7GicQeUNVwWbUmbV60UAoV9DRN MUybF1YmPJSDg9sShJE2O95nTSEUR0kf2k8/G7DDgjn1VLf66waogMIdt4n/I5ldjabz8+jQiv5j 5/P/ItSQDTFIdXpmKTSQQT+uFvwtujSV4hY7g/QNFk3oWanIO3BkxPuzZblIixBGxMqUELs+Z1yT t/A5iFj0nmiqAHNCzLR3veeytw0miaSjljWAeNhFiDjJW+dKADyMP+BfHPXmkUDFie7TFOJLcMng Pzi+ZHlBoBUrOKGp2d0KPrRltMtNuuDp0QVHCXTDP8CU08faLAicxXoWBKi5ECo2wzjJPObQllHh UhJ4hoMCgXROLMLUICLM56O19A2VRL9CEBebpCj0xjpdOdl8oIHiuj1nvzrQrY+bxQXOjNhxVOyB kJMNnsVR0qUvcfnxf6kWI5ysDV06msZ1tXanvW3QZlKuqyVlKqpkosECQU5wdfP5IPLO3wW4cy2y GHUnKSp4wzJzCo9AlInjAk+SvUe7KJPcfJJi9WtuOMEB8wMHPCfsyUQajlbAAalYzCQOPTA6KK9r BaJGPD8k6sUR+o8s2QYjseF2kIbYnnw+yHR/CT5uBh9XRCyH9oykICXaulKal+KbApoUikIQaIIS mTLdwJ8Rs02ISZvdB19JqN6wtYFMF/7HJItO4ILhL61j20LKvextgxtO5Bp5ynBQptv3KMOAyZ3P R4fSd2mJMoF9fd1wUeCdgAoss2jVrFKno006p1Zok+r+cTkwabTA++yqfgfP4RbokOyHTFBe6eRy Qko4HzHSJKBMxedM5gA/JVhLhHMh3/HBEDk47XgqQSc8hz6N41bgxHIHJoOoO01T6NSICUIZcnj3 xDwbM8SCkyGUE/alpim2apS3zNZNt8u+iwn28L2rbAJQBTB6OJZwwvKYnvvpfnd72z/LJr1tHzRL GRROaYyg5B2YNUIL248lcpd6+nUshW6NUGqYfQ3jSgx3Qs8worLmSaIwu01SFHkvGlwBM+eDR96w kcuJqS7kwYHSoQ4LT6OFtsQDERjd3jaQOyIeZ8mZebkzc0YUIDIvpLn4fAQy/SUYvsu3onqODRsz JJ7dRG6SU6ULbomJTPpxc3OQHDo2khp5QkYZzaSbniRIhCfqVUPdEFjBRSAF6jqT9FrQKR3gpdZ3 6NkI3AcwBm34YPkJ/FWZn5zUiM9Hs+TBEs5pqOkN1sdtlkJ6JPRYEu9Q7rfaDM59vv42jTJxonOv B/xt2wiG0PLBQ8oNEZDBG05ZBOtfQFSeaAWxKqVWFSxZ5zswbgTRTHCTNXwQdglfpHrZlWspCrzr rzolRCDrI1qT/3BuLKimOtm/qoIrYkmx2lKp+Fs2S3/qYnfU9n3wOiVIvGOxWwn38iyOZEKzfeDg pHaIwyjysteN6pTMS6RRlx+NutHa5BlVT0LK5/9FZ8fCA76xlfbMUgg2OQfO7FKuQS2ul+zQpWJN 8ALdXU/iQgdHBOy4kNnT3ePxeYBRSJrIbEkZHjQW7z1DcX+yscdCmBFwUrfXja44NgYai0sc1PpG nFWMjpz5/L9q3txcAFX2B1QZujhiL83pnPYJhRlLdav8HwbqlK06Di6wcYTzFSsCU0vqwQekATPu msmgOOlzy/Sc7QWV/JP3TiArb2NgdXvbqGxCSxVddM3LDhl9TtN/7jyWQnh3/qZQgHlPkRifpLDi fZbpObuzEUrR67CllHnLEgWXSr0bLuZLJvEAuw8eLXH9QNUch/fe9Ec9Pxug9wmmeqZOtW24tOx1 gw2Xqd47mapy0LHU6jm12T4525fPBxtu/qK286sZz1KKIm9oFq3sxbZ1drqhu0YTbGkTj7Mdnxua OU5hKc2aaD5K4vtc3uVk0IQtjT6pw4qSdwHWo8oU8dYpe7PXjXUP0c4l8XZLDJJrCYJLbH3vtfh8 VFv6Np/JIHO3+YDgQkNHqjOuRUoSWnF5OQhudQr18wZBacB2XBR6D8o7z0o5qOkyKmcrr7P7KoQo cC7B34YHfKM3wYLBJ436PF6i3k8OLB3RFiV+efXqgyKBdVIIqagnpHGBpyMWY/+apkK3zadSGZs6 AtdocFPkutNiggznGGtYnhB8ONgkcHUUaryfc4bwJQ4WeYOqtOnNUCb13hrLuXvRUwR3FqUiuzTX h71txMAlymRnGTlnq8DB/Ud+HEIIBLaO32mc+JOT73QnKbahIWxpjMsZcAvnSYqDEXOSpyehr+Mi P7DIUK18GaqdNAQevGRQVkSNlZfhnJSqPZ+BdWxJ3FC0FBg7CgIM/+Z0B6PmwHKAN1wdej644dq3 oATFNsYV18qhtSN0GpG5OXtpDSfA1c4cjvyuUe5KiiNvJm+TKogcPD3ZOFA7BRMqhH1RP+IkYbHT c2lfEqXqb6G3YzXoMgPQTTetogLcnokxJcIX/oBICPGX4xPVqJ6mbmDvCK9i1iZncY7Xuiy40j0/ GbLF5CyFkbcU/uYz3LLJ3mf7LVkaQQacme5Zqai7ZXI2HzOabm/7V4+pLWIqOSikPBFP4/cC/Xs+ /y8EnMxEAN+4bNwcODzCabZCHcS0AE6y7iFlL9VplXuPGwfEFo+KksBWtcGTuF4pe9ulAHROpUVx ks7Vc7bMbpdMCRidPiQIA/jdj8FogIMy3el10doAhg89HmdLvw4laMWN51AK693IdEerytwY5VuP CYoSFlHuTmsR3G+By6PZXp97QCiKdVEUJ1IihmJV8d43NAGoDIBSd4ZGYX6wJjIGz6HPo5jvwNT5 4HH3JAkd0175fFDLTbcTh0cJ4E/9ueBCZ5rNulvSNJ2td90O0Db7MfFaQINtmmJWJfsBSRTvtC63 G6HSOY9kmbHhb0XeE6yGz9cC/k/6ssiiZFsO3B4zbzhu4DtYtIT6F5fCrHo+qub+onfDrGN8YXJC w0ckl7Dc1Iysmt3+erBoxmlCEc3buoHjIw441nGJJNHgoNNa6d+t0xvEqS6H8AV9zc5q8m9ZshxY PorEbt0lGwxsslqVXM1EvzLwfASc8rtfScekdRnMn5dYqZ+PZMca0nwmj3gCJIncnGO0mqAtMN7D lNteIqXzYxIJazDDZOObw4XlAs6DnwqtEuieNDN8pN3BmXq8oxT9F415X+IkvmliCFDk31Pcvwff ZR+S1zzRyuclSuLT6tlDImSotiLkNDVDPi8xEh8C6WMxUDC0EpIb4QKEekc/ueblZ3YwOQZ469QC 4uAAE2SeEJhlqWSCl0PNNuB+GwSYMwThfHaEf3+dncRcHAnbvINmB0UTHi7gFX1ewiP+otpbPjum Cbttdl6CIz7EMBtmJz+mw16N33Wil+rKmkolMDsvoRHffCu6boq1W71L5wTTJyCaiecD2pTU6oDC QsERdX4aoZPCuxHR/RIY8U2pxwvw3PbBJqf2wSCjnov08xIVaWpVjyQyBn8VPgJoS3JyXmIi7asG QGl1EaDhhzXEe5rFROf7HlazfYmI+GOkqVnIENTg3bbaFni4Kmuns6xItZyNzl3n9x3lTk7nyfMS D2lnNFX+maShySLQOpBzTX2Ak1zmz0s4pF9U8i2A9MhWno3EZNPzEg1pZwHSsaBqyZAxbTMVQb5/ paFRgDYf1ejY6Yyni/Qiy7de5KLS6CIbFxQFqrdUNlEI9IE/AchfpHTTrDian6Jzh/IJ5bpAIL5u 6oycg+TzEgjp6erT03VEgZPabXZewiDtLHbYkku3nCPSA+rmsWKBE4hJt7wEQZwd083uufrgSceC /OHyWsgAbJvANtSWINWYaQQucARrxZ+XEEhf5JBbepk+qJ1dytA9iRn7vARAd5dodlg0OVurET3C 2XmJfnRgQEozdy9hQ6/OStgSttTaQZtH/g/R7HQ1Z9XPbuPmrQBBoG+hAvbq8I3CqQykVUdLLeOb 8cRV3gbR2hGiHZULG6x8nebmEQ1Vw89L3KNjS1KjfvAwbV4+Oy9Bj9YOpVhbtWZRIwiNqjaLigfy vezJ9Y6jnSXPWFxzNvjOoqwT0yvoHp8DmiKRBd5eAyzWnB+f9EldpJeIR98Aj+VRqUjCwWZnToHD QST5vMQ7esPpO4vXG+WqSrbZeakzcnaAvFlQgrNzJ5t1PKo001NVgKC1s16qjPpeu8T62/TB1g4J NVmQ0QHWHw9wYCKhYw5hojLnhbA1SrMFs4NfBu3KdQd+xgm8S2Hwc25WPB1cWrIrxNqhZD+aWWP6 wfNSX9SKK+Mao3N6Lt5ozuxCx7tVq3e8VBf54d2kRXf34TJrUoLfCVLUM27otCH7Qqg86BxGDJVB 12hf9FJb/Lq2Uh7DBx3LFU4J2ytCL5VFHTyjfB/LoJ8Cim7hYBQsw+V3FRLJiXxg4UjIB0EgiXx4 kEZRtCxPDGRrPtyjBwo2+K7BrT1fs6pBFQD9QZw0mXmmUdvE+w93F6nHlSk8B1XyE1SEMEEQNfu8 cdi0/LbPEB8Dxx6UcpuhKGLGuXCulKpjGB0hO326NPAZ9pRVujNqwhkSgIYivhwuXu0EmmmRMQrm d0+svGaqnQHcUSTjUMU7EjkrvNlJpFmUjOKgJQSXEjFGc+XjwfEsYIgdz0Q6o5BvMxRFzRD/m5sq waKL+t2Ohrxz/DIcvww9E4XNXR6OjIk5eFKRF5BEQHzC8IHIYxb5IYIJ21KiDy2nmPau7xPU2cJH fuWDIfuBMNWdBMLRe+AsetXXCY1aQ3s2WRQ5I2ZelWkrg5/dPfjpFMEXboZGmoKEBGe0NA9JRrfB N1nZCXA7ntHnH0alr0qHXj5tazO97v0Gs5d932Sd8OJCaocGS9p3a8zgawEwNAye7zHEdiRcMTqq pzZF79FzYlcZ5jYGC+0p+RStK+13gsZRveAaTREx/b0xA+OgKTq5FqQrBq0c4YA8i9QSJgFYwCbS ypGiWl0iLe/hsx9DtVA5qkLBvWoRrXPl09QA+PHPG2nNQqibX/ywVcZWt9/zb6Q1+/bnD8Jcy79W u6DQQRl8Mw7JJsL6xlmzBKxKTqv4YFN0ktPSAMSWqeyJA9WYBTuuot0L/RevtqpQFcXQ2Cdnyc19 BxVb4clDpG49y/TzxlhTTJM9iGaiDyDpmdN6ixvvcbRQzedOYYqKP0ktm0j11kx4BKwn81Z5Y6xZ eWOyPTaWD94AguFZLUXmzbBpgfM3qO0MfyAScU+iKVpfFEg36dOyrqbBi/ZQX2WomPfnja5m19L+ uu4ronIoDdgERaE08qfVrpkjZEx/3Mxxm5njOQmL1cbeuGp2SMiJTwnHV+f+nEKzsmEBmR8Ar4im 6TB9o5x3Vs9T+gd762XfZ2iQ0Y9QygfNELzn5UpwlubnjaqmL5GAX99muNo6fjGbofdwGmQH+swt N1dJo9kM1b68BLQg3KwlFMXT6CnDTLYtH2yXlQ7iJg5v1Ih3sfsO5gRi9UMe1J1D1NN4j6el04De Yb+DdtlGHCGHdAjXRAH1TvXrtpfdZbpLKAqoQfQ6G7ppj0E2zffYmZVtlXqrkWGCwoiauodVBzYH myDIKCMkZ9MHshels/OBGLgh16A4uHXG5tS7RhOESHF1Cvxw4AQBKl6k40tQQxRSC3boASPYyVDc 9BpiFFKjKzTbrs3aYnsa17Ft181GIpBN6PiNnmZXosxkVRAaV67mTBEUolRFRDaWJXQ8qeWzCXPx jCMven+/sdOs8MBQGnUXG242v4YZFebPGzlNW+zeZDqxWE6oHg+9kdMs10SFfqZp5Y51bR9yM/nj k4hcNugbN01L0aQzW/HBW2JglRZocqMadIKj0QSyQtdjo/i5rrlKIWHh88ZNs9uagVDfzQd98fhi OV91tvl5o6bZVeanED2wEDcRY6MZikLqSSe+mZRqwAnoWjylVb0dhrIEN9kbMc3yATXplZ3NhyQD QdoJThoFWADfVzURITWkRn5ov+c4NPo+vPHSFA/RnwfyQD4YkuGc+qyynEytfd5Yabq0b9WD6wXk AJL3NENhTD1JkfEZSg/qE8wYW0N9VZM7euOk2QwVlRKTD37Vj3PSwUSVMTXAMZWC6+AKzEot5v4g Yra9bJSW4SY7oWb1wRo9E7b0mKFzjH7eGGlWkG5fNxmLrfi6bIaikBpb/1wE1cSgO8nSjBezevaI FzN4sgyp3/hoX4lrWqoMrVsZAnj4TE+mPw+Q1tDeY0UaRzoKrzAS811G/sbnjY+mRbCJ0q+r+mC7 zIS2EVjNzxsbTbft9l4YywCIqJGF2gxFETW0LpcQgUxchxnwngVUi5fO6lhWWHyjotkMiapXiw++ hmDkVNFhBq8RZDQ6RDSsUPZPMmfHavYIVj9vVDT9iuzMt0ontXa1Xc5BjWuQd9lcnzcmmt1l35VX SNrXcnsab0Q0rbwK0ZW9h1fPcvO0rLhZ4TlLl99l7wE10m7m9Gp3cbA11PZZUKXQoKcRO0Q1kQr1 nolIKNNU1ltiSy8blBclwCqbdA6aIdaKGO+dY+LzRkOzvnH92mU4K1utHg69sdBshiobqsnQ52M7 J6ZLALHROySbt/UbCc2CXaYcm0uJg8eL5/QF/4nWGBDx65ZyoIkDBywqXlhWVobeNZggHtQl25CK OzfXhKwGMc5J8z9vHDQ7xr7LQ52OHPmuoahAjTr2idO2emCwN/Vd1qsJZUH1anpT9T2ihowIO/F7 FB9shqA/DAob81ZIcg3JatK2Y5DJcDkMgwy0NwKaBYxsqyZyEDkoHoKGonQcQUB745/p8fm9ydDb rO0mZW/sM7vKEA51l1tbfSavMM5tE3RiPFftfyOfWe2DX0oHEFaDR9RoZvRNW4OzVE+OJu4szQJB 4hrrevERX/x5o57ZpaLjp2wfNEEFcoA8e2ET9sY8U7y5/Caj7ir17aaf02+8M8VQk+CgMawtTywz o6Gpf6nmYbN48Y129hVRt8Qrn4Od0xQBKHIEhdMccmCqZaOMgQSHYmIWDKGp8nljnX015osM50qu V08ciZ+CoZE/b6Qze8X1NUHomlKM2iYoCqghlL6I2hBfWMbNbHJQu1pqD9Rs4gSFNWq2yJCC+aAJ QunsTO9ilyOjCiJvZ2oL5y15vx92WEGAKXrXYII2Z2YIYeZ2f6BUj0p9euzkzxvhTL9q8rqH6tE4 rlHnthmKAmrA7hBLpB9TfOqODd7JdbEypc+4x974Zlr/3FyVioYafAkhUIFdnhxBKxU3WF+EeBio 5w8pjw3MzxvbTJuEunFzlzsorU/o3BIZfI7OzxvZ7OWURv+6sPqrCYriaTSMJtUhJRyGsqYksQrf gAjzhvRME/QeT9891mj/0CQIxyV01j8UsqRidCLHYUZisF6vnWn91X+e1d41SjjICioSD0M4zb48 HPzokgKmfS6fN6KZJjjn77QVp1gFBM+mKAqoSTSDh7l6ZbU5wgPlqGxr6MGXv/HMLKMcLE7z9+fg 53Q+h/9GaQO7DIqxwAQj5QO6AzZuXvdAO5Jv+lcbmt+WDTqECBUyyM/8vFHMFIvcQ0iNsg1I291i 79E0rga5Ybiyw1xXsp/LQK1E3P6anqg+naleWNWnr0+fHv6fe9dOVhA4G2NT1iBVpYyA32Q/pTvl 6N/oZboqpc5L03ANdkoDSiu7lF4/b+wyW0A+QRKnWdA6ulssCqaJgWHE726XbvCEerujPFDz0ykd Vac7QTBQKvTBi6/rhEJzyRt904MxE3w3aTNHmOi6tCkigd+4ZZZzsoUo8hQHa5Sdn2/GzfgS34Np xOLjawkBBN2ByLQZioJp2MScqZimFtqkVoDS2RrukIEims9QXJ6m3kXKGhjGTZbOzgnXWzFW8F40 Uz0zBLnuxkQvMWjsagPZy0bterFctlguQNHwFFqwSCGzAP4bnzdemcWa3/VpWOZCPMmnKIR78CIb VdcXYImPcfPFMxQYcmmKwvp0Zt112T1efrU4QNohDG9A0yGJvrGJFQJ39Cngl6x3/atR1orRFNFR ZDtnbcCu8XlnYfH5f91kuALh3ND6bSW+Mcq+giEAcc2X2OmJZx8M1wcD5sFmKCpQz2J2u8sHmyEU oVEGYka20UXGXJ6snuxNEE/b1QWh/DM/JOgCEU71X7a76Oh13p2Abn3e6GR6x4vFk4YI4gwokRhU MQyo0ZDO0xSdzi6gqZwhJKdDpCsVnYBVDANqJq2FAaAGLy8WfO09CQYM+Cz9OhpACBNetKy02Sri efJ5Y5PpUCgSmBOprJve7PmAnOSg2nOdnzcy2UXVPEBgBq7w5vApikJq+OZNg2tyn2F/Q1KmzUFX dFTPEkxJKuqLb1wyfUMrv3bKoL62gbQnJu9kHQTwQ6YCFVgod0N/01uJrehdo3hIaIb/4/K00TNh 2+D89PF5Y5LZdd9+hUO4H2q/eNcwpJ5QTxOqgX/qye0LEuNo2hcMcqWxiKIadWtCvNLDmYPDPk7u XqldA+Lg2RybtHuwOGDpAopJv46OyPP5ssFhrQ5HHt0HTdFYdLKhWDMfD2rUzxThOgOvI93r7I1F Zt2VRYUrCfFP2PwaBfj8alu+suckSkYBfiORXUjG2V8qEXGwNVTRWQS4h9Wz82dw7bHNzgsOtD+y WJuSLCYX4Y1EpmOTykQnvB0+aIYqRC+lS3Bi6jcOmd1m+XuGWGLK3m19o5BZmLCg3VB0t5/lWm99 saXusA9i+bmGwho1BS8bVBNs8JjxpHeIAKhOBNOw1GWLyZYg8gBAHS1zXXQAf2OQWWpP2PSmWGHx wJTRW6KW3Xn79nkjkFlc+10cQiLZKJimGYpq1EgxTzifVV+cDOOknLp6cuXUtRwa/EYf+46qE81Q a7rae+fKODd8Lalb0IiCGsWLELhgb7QH/ErexeeNPGYThHD6bM87+GU2GUsBYzM+b9wxy6/yV0CE KLBSLEQTFGKnz51+jmTi7if/ZMWhXmlxR8xHRYOGjaA34thXVN02BeY5eO1jj30usUHkEKQsW9WB ReAycvVyxT/IGP280cYMV8IuxyLvh4M17M9KlNrOLnw8quGvrwmiQ/q6/eg3zpilm4tuPOZ5UR9f 2UHVPEOfDcMNvVHGbI9RN73yQuNgKwiqpueykWvqiXfy5k12ppBnD8/AewgR4fnGGLPEinf9XsUH S1xLkbzFCX/n540w1h2c/hUOgYNckTjYDEUxNaXcajU5cBArDFU+Ont19P9OEIGlSFoLMdRVmI91 B5uhBnIXFb4gKAtJ2akCLEoeZDWzQt1UPrN3De76RDrU1mm9XUeuAYqdjb6b8XgQMI7vViLd4dYt DrUwpCb4K1v1bKnVLm1Lpp8yBCFvhsd0VKHOAsWgdWSDQxoavL4BKuMEibBPTRCSl2EWt64sih3T IeiDAMYT3G4ftMnQD2AQ386GxeNRw35/X2Tkq9/6Yo8iaoSzs5cvy0sl9MAcNunswWdxW32xv0fU WEKJRaExfLAlNFHqZtfjbLIN+Dz7IBkac5LcohnIUnWo612jXiulzIQzHxdn3jboUVwC5/PweAQS nl8TVGWb5tFij+Jp7IbFKo2W0L4KFksgYcRCEKe2CYowH4P1xa5+fX/69a3BbGdX8nshwlFp4nTi bOw6HNOoglhSRmAFPuPPjAMbwwZNUE9kL+KXPhlHj+Lph5gpJ7oFx00/hHoYThdaE5ka+ipXIn00 tVrZ5IAhGY/pHlWoR1XaKnhnu/DOcuKPfJYlmb08jirbZPXc9PArO6u+fhGg8UXhQ2JgFRSYiDg6 XyaIeYwW4RrEXilkpvF8ABxavsmw3qjtT5l1m6OQgojLJK1tigNrXOWhJktnpPagxOmu71FArdLz OVemDx5Qt0yf9E0sPrFodCSAjB7h9KWvi86TeGyPAmqtorGfQXdZPTPexX4uE48HJ3Uu39sM5xD7 dZqhiIUIZu8JR02u4uznaQJWbdVtFf29kDNphsKAmlNTBPooD+ijonuIeoH8rWFJRH/ribIpSEEQ 5LjwRZYYe1SlXoynZ5pqtp54b6g6VHphdeeszYLHo6vM42nKFSPmyLcb3cN4GqZ7w4F4564o1bZZ agZIg7ALe4mdPydYQvK3lokzB7/Kztykuij5XWHkh45gp1TaD5llG3wXO4cQiuEzoryezdYi06Zy TZvOD92G78RBHcXT4xewqhIUWC9RM6xSj0ERve6CTFiHuL4Gj3nzkavDakM9jKcVBAI7YoOl9RWh IdQ9SSg7oVHmX8kwQpxpE2p7ceaL0LMeVakBmj13YZNwfDPheCiKQgFAMzTx+L9OavyfoRUoAzZD UUCNlboK7Qx5UtNalzN07mscPZmcNkw1T+oooGaMM2CY4IMtoUQ3nSYyawKljM6FDQ3qAa1KQJaM cUeDH3zGn1fZlKLu9V45IfsebDzVmicej9isfterMwtI4C0v9ghDDTzUPKdGVmWICsrm2Ni2G1tW Ggtzj0WIjy7zeCmh2yFUpV6NfKaKqYA+7KAzWsN+mqAjSbbSTiF8o/iQv5BnaL75YHWPAq4CfsPz 0ng8qgyNr4A6I5apjFM1RWFE3YH0IAQBUzRnntfUsqqiVuAJP22XRUXq5Wz66oOX8U/SSqIvAZ6p J6R9Z4qASx/4CIqOWL+1EyXcI9CHCouDmm4aNEVn7QnYXhZ2WchMbOlrl2UYbDUB0DBFIwqpsVhX uUDzQlYOT+pOaxJiYPfoxpoaUZGafnCj7nYHu8rAms/T6vgpw2OPOkQLJRwUTom0dF+drHf9i7pZ EieKg07q89OqUB/n2sTjQUg9vhlBNLKkkpgmKAqpO+WY685G5tjNkPhjUDFKNrvdUR8jLlFz8dC6 WYM3OsCvHoNiHudIOgEEBRvhRvwDM+cTMQKRaVJeuFLxIX9qDiwVz5CGDvWCFjz1cDmMhimKcR9X soJzhLrLfoj1IZAacr97FtMdyG3adQ8pVCuf5QysqOYoBlIjnO5k/GFg84q8MpQ50YxmODfBcCLW GgKnFazr0p/r/rzMwGcECM8mAf1lg6xiznVfCffl1Hc8HjU6ftWowVDpF4s/QtwH6ByDDuxU9cBJ auCzarBPepob8W5EIbXUqusiBq0uBqicoEmy6yQwpsFCfkmredOakcpU897250se+IwoHlpv5M1z kaXN2kc75xke/9c5RBg1bodZPaIeUUQNWs35MputoCSVPAaM/FCuoIp4TCsoiqjhWY6ix24+2DF0 fjbQ0oNFfMh2dWowT/bq2VYeuJ+tE4R6FD4kOodY9OgsEp2pPt/jVtKxm+gvJ93JeD5YQ/1XCRbL Nt362Qhj6gaUsOpncGQa2cW7zBUVIeOsw5KOEcXUa1nGnn3wmHpAEm/SraKB9gtMHrxU8G7gecHt w2vU5NiPqEaNcwQ8DuIaiiuInHmF+ohy+3OXjQhGDaTk/+VMDQ+IRgijBlkh0f2Aa2jeNYRV5ISX tlzfI4JR1yJFIfESn5Z0hbcnDJDZbz0n8YZ3CDYZ+CaFKOZ8O2VEeI5Q4ENS8Cp+rFv8OHF7WTxm Txg68Pi/GNLcUJClzFdaaEQhNVx7AQx24EdzX+Z2zu7uwI/mvMQRhdRTMzNJca1EIuJvgua6EU0B qjDBlds4DOAgh1oyNWj7nSCQJDM+IyJIc3NVGgJwUH2xT8UlZ0WsjccjMsf3KVTxRkCP2QRFIfVo iRjhaXSXdA309jK5qrOC6LDFCYpC6pGMY38H79ivE83tTeeFBqR5riKVUYYSoAZUeu2c3lpCUUid yUEDShEDyo12dAyoZbA6NPbC8/8qUvNQz2zQOe5jhCE1KOR5TmUdoBDWH/eFa8m6rRM1Lp1CUZE6 LXMUqj7YFDWIWZQigfOyy2IcBMIbrGFxurH+oDL+lopOWKRm/T5Vkss4KAxmg5Ld1hP+4vF/1T5I Nwc0YlTvts6wSD03/fJUNAMAZDvsY9TurDKuAeRlM5TG0/YyHFp/ymfwGDqrkFdZgSFcouPAoko9 1HBI5jD8Ge07Zoj6oIRFQ5JigyLqCekogsdPVILHgwlq3xE1wpE6LnRoRhE11CEhuSGJThCYq2Vl GSRwJa6ErjArm2GRmvJ4ZWiXjeciOxtsJWQIvMjOkQ8qOdUVQUzM2CjXHZ7sQnxGlLeqrribD1Yc alWNIJSM8Pi/+vXcj1iO/YbTMwqnEV8sSPCp2bqX3/RglyUrwBJayD02/w6nQXb3wQuwZ5UA21HE SwTuD8dQRSQ70M8jjMHJrRQhmHGRmseQnBaqOy3gmNxVvMST4ePx6KDeX0sI0eZJuDxrnVE8DR35 lRRFd2atw676TW9r0xsaLlYVl6glQZDv4ElZPfkK9V+pQQ3LBvnqJtbigd1J13exL71rMEGVkvip 3UEnx7nTJF0FcxA8HlXPfhE3gTlGw8omKIqnUbVZrVeLhcDl97S+9uaQD2pscwlF8TQUed51BMtJ f7f69Q2n3aaA/kCDpWHBZlODlMVC1stGwRDXDsQRbVDlA/Ri+d6dHAuPB73EX0BqgPcb2uQ2Q3GJ mr2NrKbPXFJ4x10/xC5DSnaWrl1kM4J8XJel5oNdZEiXBvJ51vAngGiUiyGfurMPdY06Jt0WZxRO V84M818bDHoGdzI2W89vg8ej6ln/miA0VNqTks0I8oGpmWOOZpCP7E0OCE3ZKZQatr/usahEDT0T nM8qfIwHeXbeAe56gCTO/8/Yd2U5sitJ/nMteeZAi9n/wgZm5o5gvgnPuj+N6u4bZCQI4cIERLcS 1RzOHsP+J8t1PeRoSi3OWC+PdcXOrYbKgSX1oKzxC8+p2/D4vxIyMhgzZjJfQtAMWYmwfSvKLaBi QeFx6QQ3O7ILwzE7hULNPDbsAS7z4SLPJupkVBxqnKtBsU60nhtWKZb+reFvvWuAGpIQvkCMHHSR gcpBhAz80vB4sIT2/rrIoHhU56VtzgjyAZrbKrt4t7VXz8i6QMJNunTTJiiMp7m5yNGywUOh8yZA zMlDGCZLSxNUqOxSoMrdb4l62stGIGEKdc6VfTBEA2SUmbOu3vF4BBv6RjSwiUjNZc1QyEo8mS6M DQSFmRvFBEHzymPRlek+wlMo1vkgbGhSiYCDx0LgFdRK4NnZfOdvESGIYosbeN16WYm0GcJ3RELK gnxwgjhYYWggx2EOsfmK/y3fWD9kHmiCVhRN5wQ8XtrbkPiSHUDOOlWflvx9MVbiiiAfoxCJn/Md vOoBQY4K5g0FcUH5JXZxEhmHHPiKWJgU9wrr08ly1umDr6AiT9wTNVY8HrAS5/46pon22FeNaYX1 aXbrqWGjAv6wCUJtq3vVo7i98gqjaUrElJbucPtkMBep8nyn64A8YEBGbDBLAz3aQUNY6PiOv8rT /NlJVdg/Q364MBQp8lauBc//C3lGWRlEBKwAa4ZCvWkIoeRxTyHq4wvz0YbP0EqubLoizAf93U6A k23gMmZdCNXkWql61mDRLfnBhMJ0Ra8VYCkXX0R1Fd8RVKc3T59K1JDIuFxC59es27R0Bh7/ly4c I28UaekWqAmKoulOBPW0Oitt2JwyJWQeVT6me7utEEEtEsdgD4jDPaaBOoJxEutCM6Pg2uFCyENo Kgwy4TzizlZYnZ6SxCXGk4MmCIH/ElRqVzweHUI3mubKK+i2rStisULd6ZSvIdCkOq4ZTLRzZKtc BOQZ+p84p1fISjSJhpx98CmCWE4bKuD3eTYcTTgGMxSUc2a5Ru+b7foVIT665HGnnIKnOwVvWEHy qm8nFcTjwSZ7MOaYIgJgYe5sMxTF04ihJnzvLVykQLosOCSEwqQVtuIMF1co80HsdNoiz1PEUeEi ZAUByKM099zphNCYoXO0Vij+gLw5XOO0ULIGX/KXiEWvTFo5aIqA9JPc0OgDj0fAqm9SGQo8rfYr IRxjqKECW7ZiIPhyutxQ3tcyYfVpJiUrDKiJXkTf0AfPyeDlKjUeSGPlIYnlTj0UeBJk2GZYZWiP rJeNVCxwRifd+Un9d2at5/RkjnMWZcPjkSzc/+Kq6DBiMxQyEyskPOVyi+LiHr6GBsUKCREG/M1m KAZRS27ILKcfzEdDtQzYKszQGmskuZZXAmHPn0ylDcN8zKF3/QvRcP676oMCagpCW+mMrxic1Pt7 CaFh2kAItgmKeYkg5TTDmJ0YtXgfsU8X8IQ2srXJVoj5ME2vWXzwCQIrpWdiqIlabHTCIetspEIX 4uZu3ODr8EsCHP6WHhPvsnmdPtOSLzOQLqvi8ahd/2uGAPTpFxWz4vo0m0Am8o7AwsitHcr+FlAn sr85Q2FAzapiTuy0cvCsdZ9sfJM/PPs5HCvP8s7rf0AN/qQz9cZDY+hlo8IHBT6GgPju8gkr+C1J WIhU4vFoDflJbenJDywLbIZ2FFFDd2wlJyWcA2m5pteikY/8bmHyyxnaUX16kDrONMUGTzmgT7k7 I+q+N5LwKUN33H3IQxkwSkiY9ekdRdRw9QAKG+rD6bkM8lmktelkGXw84rrUr3ixgPIxLsV+h6TE BN2B5ULCuaZbXHS2Pdxbiql171DnY0nLiwUiDh5RT2Rom1krWJym7VFBwhs0wiTAyW4ySuHvEEUt TIyOa+sdEt4JHSzeRGsPPB5pC35LxRQFrL7JdkxKzChLbw8YdU5T0qvtm7Vete4dR9RTa2f44Jvs HBGZYgzQEa5gA7NPhs5GgzwWlpFDYvAYviOYoEV+ASQlbVArGuQ7gYZK33g8Kr9e/Ktlu+hFezS0 Q1LiiU3moIAqD+qd/KBOwwWrUmvO5dgx4EPuiKzkc7ioIVCv+DoUrEqZx9Cg2YQ8pcu8XSBeZTuK qcW1a4seQBx0DO06J6+GdoIVPP5PHWHPW9NN7HeIoc7kuCzxD88um26RWGpxPSaYZ9gMxaRElRdl IzkeG0lovTXMi7zJ56aHSweDBzpVS29qxbNu7/qn0keqyQetoVKbTJKgWIrHI928i6ribAhZ4zX8 HQrndczLzm4iScFiubm05DO0cfBqhkLAxxZtk9FQyzcagrsWctfeBBo6e6xKkAm1GWj3ICxyGD6S AXxHlNmTKTWIgOWg3bLrOeqUlqWFx/+pN4SAGmD3ciXxdwT4QMqx4LphE6SAmkuIGm1aQphGTVAE +CB6mrGPD14baqB7pSVHlzY6rnbYR6L5A3gwbmBvRfeud41Ym1SlTAR8zOtlN05QslUbQiNxx0LU 3uPgoY5Uuvbbi95hQF2Qtu7hhKnhajEnozMWFaU+fIZixAdrHkNLaDwBNXhXQEwv9qIpFiDvDtrt gmwwnclRaLyL7wjCRdZfgeX3QTNUIePLcBF+tjsSou4zfc8QSGLSCtcMhSXqCqhQWWbNkUpxQylS EU1uqECJCWnrjlHU0qoy5aqnkzhB26Bea4HLX5ryLcsgB8OZHEnZRVXtqXcNjiGTHkjNB81Qgapl UZ7Fx4PaR/KAGhMEtEYvzwSFStSpkTGl2HmVlXyTtcX/owSHtp/TEeBj0kmmVrES68NKPBPSWgEQ Bnf9BrSBqCpKHNcJS+YnGGKTY4c6H8VUhLcPOqf3mVjypcARxePBEtrj10W2YV1yTRVSFFADJbsK xS/l1A62hgE+2GvdhFDTVbPrg6Kcg+lY5gLh4LAh2E7DTY32N8DUMm0FcxZO9otlqAsboigTvuV9 khZF80h3wwCKm93bZU2Z/pzLgB8QHNb1m3nXqTly+605hfJ5FRB86CoA4TE71WQxTfDSHQbGr3xv Spqn0BaxMaBmgUyDpuksfsAfKcA3oX0DxWVMEwRGSVgoqTzcO9lPpCiwnqzi97zvoNRjn7BOGozn GubzUe7hlcZqJpPA4M47TaHPS4GQZ8pCdE5qkqpKlKgeyipRArPBpilEf5iPyf963BWg7sEnJPrj ZPibhGEQ+k76UZh+YOsZ+kPuoymEfxBiNTJTWLD8fghKhtdLG1nUzLH4AUFwdDMQQc4zBfWfeYqK 1nCPWJ3WaNh1XQZ3nKdVvfmay3SThRRaJXZuNwnFpUcoDoIB2NXU/UDpaHTCiGjtMuhAB79NjyDL tteNbjemsKpbp1u3Rmcl8/4/t2Xl81Hhun4d3mTw58vuyCmKslFhWFVuZTS1XbbpRl92YJ2or7bh Z1NUuJZZB2WcbbBZaqBv8tqHr1tbe1LBu+BHmFBJQtrsFaNMESJ8S9D+YLKWt8LI7ekONNZKVfsD XgsphILkbzRRBpKIpFufprB4fSIUqCc5JA3IWKnsAJQuw9a0aKqhxRRWr6Wbm0Wmyv3ZdCcO3Zl6 WJALP7ceWR6oapxkFrVZGgtYvsY4AN8S8c2mcA7FB0vYwAsmrrGnzeffp6mWb1JnRepUvi66uIDd gBpuwjNCdOjSqdDEEXDvhONNwD1JsbyfrdxrOw0fvDICb53F02aCKpohK8YTnPiK8x2w9LCjCcQU vW4ULklChoQqDtYHQTlb1OCtt4yq/N817AaZtbKegylUAhkU++KyKaQwXvwn0GNZqJDqZf6coqCb RKkTB1hU0D33T/PcCgsCsXR7O/e/QWRxSaFy8kPKjiImwpr4JdFS4lZbkvNeJLzj7wJOWfJ65yE+ H+H3vrFFFR9DZpVNUhh2T/aqkzr4XvNnbrucEANq3nXEi9RACpuy9FC3wZLbzOS2CwS6Ns5tUqhB smnoXfd8QaBmkZxCwWpirOkeZ4Md3oCXcHGcy47PB/ut/uo6nowJ9g/PUgqL2ezt91kNHdJd9rzv fmskFWKFNktRNVuOgakTg8XBZilhkjM+AXfcmQpR8TuIMDS6Hqh1UdWbIbreNigjyULITAaSR99r UiUcq2MwrgyNYJ7Go2mF4Wi6ayl0VyTZvHND0jswDxNrPHujSm0G65lHOr0DQ4wIT22Ylvnga+mk II32IMCI7E49SGBEapab0J6P+yQdpXNgr4i1QCsqYNts0CwB1bXUN4LhduivOPYvAX0UBtPj2RUa LDZ6nSSv+idY02vDpT2tIAlJE7d+CxwWhUcF94xV7fpUtRuoNahmUPkCKh41a7XBuo/FSDBUHM7n bxuVk1AmIR/BBkvkzm8tROg5V/l8EHzf9mO1lUS1Bp+k99gbMTs6tOSIU6Io2c7D0Z1NnhmgwqJi QA5NFpdJCpfsg1eUJigTgy1a5HIz+5Y8H43KK3wHnEJUmr1t1D2iulqnjD4Ky8aWOknUYou1o2CS A5tFsFS+7zeEJkT5+CRFpe1FBki1mhK0iJcVBGohxqbINaf6JIWBN/sjnUxFDbbdNhTqMpQZIXV1 1uScgksAa4r1z0kyqSu2sXNgtAjtApb/M2vbHLiSYIJOwx3ck5XPR0HAr1CpiUlyJym2hdnkOEi2 CV0ebTy4aS8rKyWI2Pp2CwmNmWUTmZ9wsJW0wP0pqk2ejK5MZM4w8BrU2aYSq9dNcpdLXmi2iJoU GI10y0Pj15YS9H74lWhb8PmgV/sLugbBvbKvtmUOzBYRLfNWmyYJlpJB08+ry30AS6nWZynF3jCk 7ElSvz6S+hDTyFZUACAPsGy5LbJtnyfLYH4o2STFNW7avgpCOy6EtqNsLiB/65XPR+i18ZXCwR0i 46/0SQppjWnQHUZQiJO1jeXxZCK1KJPrsAx6lEO/RakYJHCRbbjlpZU2zezOkXRmKau8xD5XR65R 0Eq8vL1irxvFSmzZqleSvFeiZpeJhZTN56Oj+5fEJWQM8nw2XCgXApZWFTJiMaC80JFq+g9on7ke cQ5MF/P/7ZKSHUTXcPDchDX+aarWGSZqi/avrAqcLx50GTIhg2pv+xcQcoqEzsHbJfKnx+fo+agr +cvhY6dvjcIc2C5m9rHQQ3VZa9RLDSu6jBV64kEECTZJISBbRl5Fk1TuJKFIdeIh6CGhGoCyU2dq AkF6KFSiM33bkjtNe91IP57R0CBXloMFlCfJFNfxpHh8PkJD/nJjIoIk35pJ6L1Y4UV9Qg3TuoTb kBEfxjJkJBZDmj5LsZ05cf3/v3pR74kSENK2rp3MOxDUUKsDemiRwafG2/K3DRpLEphLxB5zUKgE MDOLlujs8fl/1QJEwDrnIgqBNkklCrrxHy45r5CNLrdl7LeWq8P9mKXoVCohzZEifIJAaLAsd5+4 tA0ZEbQTEWTC/0GBA5qtEbflCZzAbLm8B92JauU47pdO/eVZLjo/PAfPYZf5fKTGV74mqYA1icqE T1JY8kaPu5amUxoo825H96zEs8kx5jrlhh6MY6hDKVPhlp/myVlKILXLYfCso0YPxgH0TQe8oNXb wl3N3/YvGlbu5Q768c/VL1smcN75/L/YoAwdCcasNwiIXRgnVJsTYessffdhKwkJnLVPWBLTdgts GCFrqtajqkrrVpVwUdYFaxTa5dL6gEaVlchR/LTQwzbYH2ul/JYooCTyeCWha8Fl5Z+Le5Rw8h9o svIDIsjNPZV4eCNL2vXG3aEXI6KVE0gW1bbBHXc+FtpD1j9BjOvzFMK0p3BJFFDlYPOEpkiiac+J A84R1Lk320ZIuYFbpTys57mkrOXYjZGAm1oYNNHMWV2mPmbRNJ18k89HHe/0dXijqFbXc3iHfowo REIk3UATlRUhBt6Ikq1kAi8qC7wDQ0ZVzhFxczXpA7XlSgU/fW1KOZ/XKWx59wVZlQr1CSCmveU9 l71tBCI1e2oNQNSJENHRMKTiXoMPfGDJ+P+Rajbx79d+OfRkxIEBQSAH37DS7uU3w5EC1XVnKcaW sPwGdycbbld3rZGnPLzXObGWEFwQ82gwLEUXz+ziJPGYQ1PGTAuirPJbvuW3BS9d7aFz9PH5f2G4 iC5BCwYpjk9SFHgDWA6nbet843T16tvIPkk0RrJJikX6KssApPlxuOiJc72VRCAgpM4lcmDEER7q tIzrautOe9ugwcS27mycJA6aJATABPKdP2fz+SDu/qVZXFhUuHIQOXRmBAkfdAhVI2eXzCwRJqSm GsKkeSE3sGZ0BlutKfngKRxw7Si7GMdPsr19InpsUCRf1wcELpn2tsEkyQ5+jzso7qaUsKoBe/L5 KM/9rittBh/jWUmh9PW5M6FptCzPvTAcwEAuyKRXk77OgTtjprR5V53FBq8GwCV2J8bd6Cj2STvv jVgMIhc6tS2i3MveNkJM0vGrqLtUvLuEtl8ZpjfT+XxwJj3+jFLrQ2i36nMqRYE3ClqroBWh8pu3 B0jKqA41+TqV/mZDpmo0rPFwss85NFahfDEODQRFkjQEwhyXAsAbqjxntpH5LRG+Xerg4w4GWDrH G/FGqNDw+ai09G0hh0sWbXmfpdCnEZqo65wQpjRfqOvAs3uQOi+leaJCOEuhUeMQVsk8UR/Di3Om YiMxmoZ18jlLmsRaSIkkG3k/fe9ub/tXUDkW3Yk4aJJyNUiOyt2BVSPSEw8q+R9LDugWTUKvxk0L JeqaUnCtGtEvnx/dbdKgk7d9kqLIe8kgrUgTqpQbBqDGDahSUrm7wceS7PVNFRSQxB5wwOj2thFj C8EkIXk2aMeBjao/sWW9ZKSX9a2AQMYfxcptkkIYNxCMa5k2FqAmzS+45N7VOUtRLOmDIvAbK0si JnG44IAT+HV09an03IgUZ6fyfDPJtaBKOrhLXe/QsXEy5O6Ztcp+4VEJvSbWhdpIg89Hs7S/Du91 EsuRn4gy9GxEyQDIomL7rRnVFtWaMp0vAeETm6XYtFEW3yv7YEvpHNILzgiMuyfgz1T1LaDuTVR4 JbSqYMm63oFtoyvWpEIFNg7CLeVcspBIlWspirufDcc+C3Y6Ogw+S2Hcff6c1YrJiJ2Ar7vgyCrO KkFT/K6l2Aidh/bOywevUsKbkIxoZHFnzQBRd2YJxOWBxkVe/dE9ysteN6pSssFUyAPkoKoJ5Ms4 ZSdhnHz+nw4P+K+p8VKejm5g36hQFN7SqpCcf3UX8UvbyyaCiNo0xbIjrMDJ4oKDn0tQa12TFgao JxbqSHWavEy29VgIM/pN6va6f1K4JH80r/zRLrhGjY6c+XwUef9ySUVvsD9Ak9DDEf2xObuR2+Br Y8IavbWhM6qkkZtj4AITR/n4gQEo1FL/Qi2dC3t1AJ9RgVttkLTc6edMXMvY1wmD0Rq/5K8rrktE q18RLYokMvJG9sjno77Ad92EjkDrqcAFNo5gbZx91gmxIOyUeZH0IEdqDoC7rO0c+ziyawLTUh+8 HnASdZThWMw9/1UB6w2UjA2qL+Cba6W749Ky1w12HAsB0CH3QedSg2qELJtX5/PRjvumCOinefKT 0MkRf/8qidFQo17dNHewDjJsFjgXx4UtpTD0lo1Bpz6CVem14SpaDAjuIbjbUc0XLxkkqUYN6P0U Knuz1/1L9hA+Aj7YWhpzKdU9eTWfj3i3fi6xx0Qx6fl0BkI7R/yIOHay6dFTu1oAuE1ELq+Q0byc G/o5ktIFkbXig4OWOvRfBhcTnR1B8DiRN5CsqOjTXeQGTJT7yYGhI0IBGaTzruOgUODcO41gAbDY +HwQCtw8jkcQvTavCVYOHR0pud4VAIBOsbclKJ0O1Orqplk89A4sHc8HEcIM2pYP3vpOu3T2ZdD6 Pnuj012V7o3Uc5bVXJfk+rC3jQ5vIk02hcf6biaKdHKd0eT8QHxA4OkoLd0nEijECD3xUujpiI2+ kpNtz9iN2AVple2sHBaLNUkRzntNoSdkcp2uyTVArGudYKNKe+zc2ILjdBbezgKkrLeSuKFwKXB1 VFCIkgwvOg7KT04W2okYhDIVn//X4Z15O1YygsozTVHwDVigJ7s4mMjzFIxiPUbOBCtpmuLgm/lb k/Ixpbd1MJ0wOyF7k3DLOZp2khorjcIAw2r7kihVggudHQtBXUles2kScKTy0onIqEJ30sPFD4hk odfXJdeWgAZ3lqLgG+cBSljOgWvbYUuEvSlFmaV5DS52dyTOpg5GAhy8cjIhZQNANYznOp3VqE8P tBJsV3J7KicKlwJ3R1U+qN0/fbCoEmRMimxlwJZCe8d8jSDMYwz1qGeSQvFs2GLZUkI1jveplNq6 Ab5OwrVdhyz/YfDIOy6Tj5vzw8c9x/QJvLmLJkSMKgomEEwAqAqFuN0ul5JSRPySv0LvOcXzms7z Qgt6G0kHSPjQ4XH+NjDEr1OfyDu0eIR8DtBj5tkzpEq25bgi+vLZBTuZqGYOPB7B0mGy2+sdvLiE GwwWsxLyP4kcVW4qTk70siBG9sjc9G2vG1CZiDSqi2c4B4+86+BXnmmvfD4o56bvw3sQJtifWQoj 70U7iKpK95KMtbyfZq02S4vW3pqlKPJWGpelVM/Bm3Hn9tqlUCwJhkaFERU8NCg3iPznyyBr+utG OuNUKclEeqd8bUVOGJmkjXkWKp+P6rm/GF+I3blEfJpC5b8EL8NOriCMfEr2aerUjsc0Fao/2DRF aJMq2qD0gOqjB1TPUlxwxMxSiiZIB1VvIGkbtjrbTd+yZDnwe0RJgBUTmc72azp7/oiyxa+Z6FgG ho/oqX07YrJWSvcOTtLnNVjK5iwCEXDlJ6mSLbjwvX1ly09SSVY3eQ2V8okCErsmVd6z9UqVsPU2 d6MMMs/rtlj1ZQUXxuQFl5EE/Ykp/7wGShlWQ9IflaJLceDyABRjy4p44emX2cmqfGB2MlU4wEZm V6LStf01SMLk4F6ra3WDK5Xp8IkpFwh0lOu1C30NkfK91WobPvjdD+TESbVJNylwPiAOZyK7a/hN 6LHnsyP4++vsoGeSWcmmwoTA1ZydE6lOJqe1oIL7OjtngRvI9Lzn0qs5DQ7T8xoc5cyOGX5b7a+5 b27bl4RLgC6BUZ+m5zU0wtphA6BntgO6gz/P2jl796TPS6Y01Coh5htYDOQq538QOynAGwHdr4FR hq4mpmWr6sbB6tt5aK3CAOfzGhVltRA0O7zweUB3XzuvMRHWzgn/z0mZu9W2x8w/biYyTX0j0eSZ k/MaEWUUWZh8FBK7OHhX8vyg4IR32RycqFE2B4hFT9DVfmAIaJPTt970fXIyPYpP5qwBmjlVOwt4 OqpIrZ4/r+EQ/tDua4dzihsNyrOanddgKKNgv6C3sZdFjPX22sw4lBFjSoa8fQ2FsLM2tew2wZIc LuIGdFXuzBNETOo4U2Tr5LFKsiA4UKe4uMxjXyMhnDtVPaK1fbA7fgzhDcDA+LzGQXjaLA6yImoI MQ66pdPtLpidBoWt0q5wNtsLjKfhXXWL2dkYJa8x0Jmd2dUO2cuH2xXZgLWjZ3aGdvJcIduIplj4 BekCLnQEwWqf1wgIP2QTWIv2fBzU0D75sQCHCFM+r/GPbRLNjhyNweZdZALSuOR1egw9krWhgHLP zd2woDvmLf+6DIv0GvxwepiSoc9ow+1lQ863UEsTwTnudkwPRVkJoobqmKeuMjeI9hbl/eZkl5aD 5RqQTualdf6Qz2vgw2Orfx88jbFEt9l5jXqyqhYQQVw3zzA60vmXAW7OiielmnK+0akscqQUWTl4 KsaQKamSBjNx8GtRlD1XxwDTJOfHJX1SF+k15MEvMOUbQpL7dLUB2P9lBb/tBE2f14CHb5i/ZwdN 2UWlBErmRVsLMaG01HDwJMhzWJO/dRdF6jgtuLVe64zY1mx85EkRTQ42O4B+jUws0URzthMM0OFN AiFzRFNzXhRbozRbNDsE1hbhakr2Hgv+UxPRPP/+vNYYs2qUmh0qtlLXYfml9VpgxHJFWaaMZIij JB+jQdWx7PyjvRxM81peZMBjng/VB187Iy1oIiEaRBrB+vRJvoBUPv9Elbc8HK2kVw12FjVasur5 +annQ+KNvFGWhF5Li1mdZ1s7PHgQGFDiVPFgFC2DjLNw9Rr2oVg2j2uru5bN3pcwEoXLajLWVqoP dvQUQIL34K1OMc1Oam2HafIAwSaTmWcqtc1eNlg/jAXHkGPhcGuSDG0rXVwnFf68U9iwvabf6zyH ATff02+udwYbNkaZVI2wsKeyhqmwZziDDfKU3tIPY+Z5wTO/MTRAK0+o/7MNCyUSxj0VJz+4PFB5 Wt6GhQwiXzZYQ4WUs8TYmYPWUIKeO7EhufLx4Hg2uXXd7QQ753FziveoGRAumhYVHTYnaq4uOrYp cMU9RqNPw88EM7SbtGzmHWyGEOGmNakOef6NM2jQQB5sGmQVBCBaUqHUPYqbu7wMVrmDbvdzCzW5 fzcwjt4D57MYxq/7C2ZCLd8JiiJnbP9VpbZO1vHwQ2ik4dAZqEM6KiQ4o7su9iS+aHpY/msDmJDo bH1iaGSnzNozcGf0qaDVvd9g6skEm6wzpaBigw2WtcM4hJXpAmhoGDwPX0KMs1EAQgnMZug9ek6C 9Te2k3FMd9qQ6phuyQKgAv88r7dGM8TEdGbyjqeqcpih82egfGy6dSfCqSVJxhadKnARaH87KKnV p73rX3d8k25/46RqDa0GLDPKXjm1zztpLT++xFliEZm+h88ui0LoTlPZ4ZL9a7os20lazeDx/E2t OQ77PYaG8Ikk+0ml4WAn9cwV+FSq/bR9pqgKMQLKLgkscNTwYivNed4pa9gmqI/lhEqIDaq1NtR+ eJWdwPbzzlhjSLO+dlkGeIGRvM3QexStEAbt+27te/pUCqnOSj/b9xNMc1XHojBanY0mE3AO3gA6 GceQbwS+ZiHjIKdtdRP9GvccmmL1RWE0E4NzmpC1xsECxbPlqgLFvD/vbLX82IFmYR3rSZyAQvIZ iiJpVPFWr8Ymkv2Aezka1qEgWHRMURRK2102ZL471tP7OQt5QuBPGj99EnyMrhsM5HHbq+kp+YO9 9bLvUwSADRqIefqgKQI2TInY6vPzzlXjr1h9m/HlkDNSilVT9B5O4xIirWgZwajU67vbaJ4rglFr 3riP4ulBCEhv4w7eat0wfsiyeDonXRfGrxD0g0sU/HW3DlFP4z2elokVJQ+aD1YiO/kjj77aIVsT BdRrz+9tRrvL5MnYO03Nz6F9jR9STb7LdrKL//xCm9kYJigOqeW2y140BzuqB+QCIfdHCP+5PTIF EhNC0wYGBfNp64zNqXeNJgh6RQkyyjZwguAMjRXJHGt93jlqFk09EwRmUmmteQ0xCqkbA8Zi9fhp VsSTuMptE5QymqAqIoYhtRmBpuqDH0NAoc2kY6ghOZDpblJtjI3lq6NF8+93ghoLD2QVbTUQ97zH 0DmzWfmoZ7t93vlpqCOm/hVRw2nzRPceUb/T0zCtZPInN90lMMx9H+r1fajJ+obv7DSGQyyeNtOl f5TG4HYBFhGBjWCq7aSEHsf1JoDomqtAQ0ovG9U7WCUb0lsfntgkmCkrHJptft7JafkRqVUUBbRG 3fcme+emZcMy5G2dL2hl30MIgo/WDoPFB/fYOzXNKn1vbR5oFHbUbLqVPKARRfPv8/cNpCK033Mg Gn0f3plpzMqyhCCWDwZlmF3iKfUs9s87Ly0/nrLaZMiLimBonKEwpIbpbpa8ARVGGDByDSlB6+Rb bVM7eielZbHbkI7JP748/vEVPjG7s2QGbiDuNYJjezlJIBotgJo6JIa6Iu+ctJvZr85G2HJOV4YF EKnQ59zPfPyfNUUEl+i9Sh+SMxSF1OgynAuiKHqefU4X8cHSsXixUOEIIfU7IY33qNjEdEzlYGuI Am6lkpgOqFCGZgV85rDa0I7OvdxdVqig+U5Iy25hRB17GwxYlQqbVYis5uedjobL9paGBFZDSN2a V87e6WhYRJsao8kgQ8mjRCj6di+7NsB7dRBFZWlpQdcxpg9e/IDkQ7PUHv4IUAPrsG4G4BKySZwe K9rjnvi8s9HwN9KMrwuCxsGnqAnudhbF+ryT0VjGqN/bjBp+K/sMRSH1hHyPKnWcoeLFD3RVPLXf 2Zup71Q0pt3spgI1YYMtIhRC4G7LhmE7CQJlIiBQh22GYgrlhr0ltvSy7wfR4kFUmkyM2jV+OHtM wjTQm/i8M9Hwt2YvD1EsC2lwrbdjGIXU6GSsOpvB9Ou6imKDBG1mrnl3v8zeQ2pAAxkoTvI+OVg4 BB0uVJ2zVNfQsCGPFpleA1UJx4OnZWXoXaMJIuy8muHl+Ryzbq7oczBfH3t/3mloPCzHdzxER458 11BUoEawfpKxZQeRvknmIU5NO9dpnr6G3iPq25FfPLE5eES9Cox5cjEpyGKJK7ko42SvgE14/Ywk tHcOmh21kOvv1QfFQxuqEryLwEF7p6DlfCloNkH42rY9on7nn7E8hK0lHD5F+6vbGpzN4JC8jABQ J/V7RO3FD/QzfLAlBHnamd3P8fzwhar1cKrFDXau/HXN+Cbdv9/JZ3eP9UFCFAdNEAk3lKeFUdg7 94ylwu/6GZ6oT2v1nXrGZBmnULML7Oywm5NNTRC7h9nbY+/Ms5u2drrDa7AV1Gm9ix4GWs/1fKhI 6hQExRU58yPXg7rk5514hhIqFZ/KJuOTg+76AqwIqQvnpvy8887stv1aQQjC6q1Rv9POsrBtJ5tv dkyTealDCDLgdgjV5oyqd9ZZdgIj7ikfvHyGPKxAMAZEj11x32MO0QsyG5H1wxYrODBF7xohF1hf 3JwnDqqeJUDaZc9zArZ3zhlP6fQrXMSpfm/6d8oZw3BoGhNmS5y5rK07MfKswBaKDDTzNHhnnN0z yCA/HGwF4UAqxqWCKxOhP9TMGKxg/7SHlod0je8a5GTkLO5K4gIHFc8SlE+J9T0n5+edbpalSPRk HAWbnsVfTVAUT3cYzVGsWsJh3WydcP1Wk6BLnYa1nKD3eDqZjBEs03zQBJHQgdo60R3zRHVjqOGI 4Kczq8/3DKIWxjvVjG1uRIlTZxAgaj/kPWUecaxbnOiofN6pZlniAV9F/ELhMr/G3plm/FkA6xim 7Ljq7i5In/iDcgmhKm8zFJWoRcefBRV6Db7HOsx/M9pSs5yI6KQ0CLnPjJ6NDLcHl3fIXLKfd5IZ EzK5zBGkyEFHEPzoqap25nt+3jlmDKZ/JWQgT7XbhX5nmPFioJljbYYrz+k2EqX+WCk/643Wd4IZ OywKgMr0wc/o8/9JcJCnNfpG6V2ax0gq0CYHts3OaGoefd7pZVjsyzRCig+aoEqXNq3X+nlnl2El 3EBRUmELWkeej72Ty/ClVMLs1TEefbj/VVXySowHXVF4RsfVaSISN+8yDt4EarD12HINocA3iYqo iBPrQfHtS5siDvidW8YLhQ1E2lRqsDbZXps4xbrwI76H0lgM31UPnIG9XIzQO7MsSwCAJpSG8+jd 6kJtl74untwFsN6JZdl1L+qUON9krXhyCe2EHK+aGPbZEFTlo+o9PUoKLMstp+9sJL7zyliBl/AV CRygr6nvnldF+RV/9tm4/fPOK8ui03+lrAv9c9pqa45CtAcUqRP9KCilpg1H4+Y5HWZWIfyrOQrL 0+STdYHKOdx+/cbhLIgiIqE5xN4AbKaQ3v4U8P1do3OIQWKti/hfzNTkFEFGmqH1OLf5551UxnSj f60iMmZoza5vjaJp6hRI7US1s+kY6ZSaYziXLFMTPycAUynPSLzqOdgMJWSnvTd5FSJEp/J8h+jM QN07t6sMkjvBVO+EMotLTphYaMjHwWpn53xTynr++Xnnk+Edy++brOE08jX0TifDly7oOW6r26/S 11V2ZDhGqweKPlNP/Z1Nlp220Yh40eCVobMFNvT4aIgxzi3XhMYDkCvh8/qDtR/U5n9nkxHIK80U 9u273C0sqa+E9vZc5+edTMZt+g2pytC8gaOCT1EI+oCrLN4YyihULKxkKIKRQktrFM8yu7YoL75z ybIcvFASkqjTuKSNSiv6lTRFWDWFjTJwFH7AMYJxyG0ltqJ3/SscGlOg1+nNVvyAXYWhs40/70wy Fh48Z+V/i+uBismaoDCgPvfkXJNCjlBzmPRyyuTTzOXOBbuYJv87iwyfw1SDiAgbvEJ9AqJzMzfy yQEPSzR8ofvUJMgAW9gli7FsP+8sMiwCahThuPdBMwQPG+Y4567g4/+ldAZREoje+Ay9R9RqrQDT KRuHcxlRVB4M4PNzF5nK5vP1SxYY7wwy62OiZrabDxfU2RtczirLHmnOQt4P/FrOwQq5bpE2pVdM JsI7gwyHpuwcCwMjDoY9m53dGaQu5fNOIGO48J2UNcaf2Utn7/wxRAnUbqA3B7UbRnaS3ZpjXdDH MFjMO32MjTJeYkvZ/boRUYU8Klh2jKjhG6mWflqC2MEDC+QTpa3UI/m808eYtuKPapkQRg6W1wPQ T2TV2bWfd/YYC9y/4HmoXjV6zmiKogo19vZZ7UOtMmLArZe4kyH1SoLnpi6zd/IYARlDRbPsgyUd 8NWaq1YJEmwgF6VhhKLDBkjhgb6yBf95p45hhghkWZOsHw6aoXO4VUle9z0+78yxLF0BzRDvPWr+ tDtBIXKa9t/0jqT995quTlj3dGGLtlj5wEEdRtVcPGNyKXGwCTpfcLICSDMgse8wa5k6qtAIntTf 8E1GI8DPO2ssO8l+NkGn2xW4OtFt0QrYhY8HS6h+px30R1+3G/1OGWP/spAoZgIplabjTDsGI3nD ng0LGN8ZY7cbPdSLH/mpnZ34ejUGzszLFtxNkNgPxonMCq/592j2rkHlI8uhkrr5HCxxrYV5N2gg 8/POF2O7/vsUgohnHfNOUBhRbyg4U+Gceb0QeY08rGF5PZgbWSJpLQRQbyE9iO/s7eI7odXUEbjK MrVBwlIcRfKQO60c3M2RcCx8R8CJElWsSj6munxMWyjmS3+gZjwehIv94hkYLyIsZZ9XMxRG1KXR T0ZZ/Eq8M1k8szIR6tOtDusktqg+TY+PAWMXH/yc3hD9lgBom+lclSYNkkldPp8HyIB7W+ucjiLq xf7YJgBRgzbZ2kl6H+1sWDwe9es9GpInxoKkla+hHkXUgDmeMC5pDcHv0gXlk1TAi8JFQ8D294ja Z2jKdnc+trsNoI+TkNBUFoToKYPw2iCRhE5QpRPIUnWo610j1iEJHKpTc9Aa2otEGZQMR8XjEUT4 +xRColnz9uJZj+JpTMiq2eQaYSJk1aFzXSd3uEDiYBMUQT4GF8ZEDdkGKy8WEOcpRo5jup5VQm0w lHdQA90/yGwsJ2NzDd8RZBxkuHRBhPuFCEN2bVX90Sfj6FE8nS5oiN36c6+cOM0Tjh4XqCeVB11d r203/25zXIJU9WO6RwVq4fBhGuODV4fOW1fwQJRw1EYtdWQc5wJFfpbrF/8ZKw9fEnXJsBM3BV7O AMzYYrgIzh2PEaQheD6ADc3vxJ662J1oCs1RSEAEiSxT7BXAKopNGSqGSSQz+77ckaBHEbVsPWoi EJbDjahPeN0JGgFuCAAbGqQzKUOXoaC8fUUZil42ylt5fFR2OzhYjfEE7Uo7z97D4xFD8xubV3EO wevQZigiIcJl/vwqWf3DiVaoZqit5Z4ye1cXG+hhRE15r9ZFfu4Xid/Q699drrLseCRaNizgURqQ VeCTOHiRJcYeVakXnYmXcrMFUO1QcQgISdrInAMfjwd32fhOypBc9Xx70T2MpwcgwlLWRyeRpHRu s1y7w4bSxjmLy76HREQyfHGV+HBTjgpxCbQHzzVQeFKTP4/GEeBfUHrwc6jauwZ5Pf0HYIvhg2qw Z/lU8YE6DuoonmbmeYH4lZDAizzrYZUaprIt0doLjbJCg71C4RNqM6E2tID0YV7f4yo1uztDcgzj Zq1QLKzwT0jEVW3we5G1FpBIJhBtqIc/ApZTLxvtMVE1CciXaIOy1swjlzM08XhE5vgF+AAEqV0M dX8PqNHC3pSqSCwNAT6UbYbaorleRqMDECR6NvYI8JFYga0CMdbHQQ4q8eegb7QhQpGoEfDBXtEP XLN+ZnH1s5LojdajInVlGb/v3n3gd6CWQtjjD5oEePxfwDMZqAAR+Nz1EYIaHec5qnnr4jjqbteI e0V2jXVTkrHzc/4sL/aqQ0gGkzymce4kioLBh6Cj0IUJAtaSLUzpVtophDoaviQCnklpkJtsuuzj WUKtym73rKSNx4MldCllkhzGjchQXlMURtQnjDlZ99b9df43BFX/R2LH3T2J52hWPetRjdogMQqH 2hMOQcS71LWWse5Ka+JDAwMyKLLWuYi2umVDLxulrZybvqsPmqJ0QniqMoCXjsf/tYh4vuO6JbbY GNFRSA2e7QmImkNgh2XzuFZc6gSHiYXUIypSi02GTMUHu8rO94OMwHZrg701VQYHVikclbqAlu6r Y+8aLCICqsoiDp+DTuo+h9STznGW8XgQUo9bgWWCQj7kZbaOKKZG0rEqs2TMUCOzRqgYsmnlsUub G5LGwxq13Ku2xDz244cGIMzIJul5pmTKvwJYKwhrnyWLjoMreaFTiy+JiIkUOisEeEJ7/meoF7TA opHjAOYorFJvr+NLVB4BSrqH9QiB1Cc/mpvW5Gy6TlNAzfTisvseEESbo6hMnemAMgVrIFYNEn5o 2oOnCCwR8o6x6N/I8xwGksgkSn/ue5gR4TsCgCdbHLNPaZRNM0Nb7VxsjOPBmsXj/6QEsQZ7/rJH D2ZEMTXsZaHX6qIe8tMh9kyWH2h0dCoKcptFMfVSP3GQRQ5vJp+gEwic0xqSKugFwSkkmcMVYBsU ppr3uj8x88B3/AU0H0s68WtdoPmZI+2cc6Dh8X8dRIwYcT1AvsAmKAqpT2gCcF63xCxJO4cRIzXE RFZo1RRzRhRSw8sVVQ+W0Th4/WyezXWCaSOVndVKx4oNRR+C41RJs1YQjj58SdSUVtueZXzogv0Q DgMnmpOXcdGejYjn/8sagl9KTbeCNqKgGkfQyvJikCeTiwvXPrf1E1dF2Q9Zx4iC6tWVsksZJl1l mDoSQoea2HFFA5HuKGeLscQHU+p+oR872bsGm4zCZvxwGywFnbNxQUHUDY9Hx9AFeFo6B/tM73SM EEZNhrSaq1xEY/siYlGdi6ihLaRFFMGoqxBBmcE1B8/LJtkWXXlZPR9FuH6WumjVT+jNMiI8Ryjw UYl5zLjuNegvRfXBpGD7wONRo+MXzhzhYr7SQiOqUp9jAgqn5lY5reZBzlQxKcGSzslhAMYRVann EDCvqwjL2z47wvNk3Ivk1jEKWwY4pyhan0GQuBM04R6P7wjiIYpIrk5eIgdVGKEgIgjrWhuPB8dQ 8/oQoVhAT6ybuI4wqAbfJW1De5y47/Jdksvnn2OI/GZOUBxUs9G6KLXIwbKOM2V9wP1cZlUDpCmS yrCCaNmKRMcO6q0lFAXVAF8An8XWfQOqwc6OCUMsHkNjLzwf5Pb1uz6E0hi9eG2K4jL1oqVQZfwM FLgbejXdX6gxQozCjqGwTJ3NGW754FN0zhq0XHAMTaiPbcIaGqVT2GKifbIq+VsqOiEzUSpDQ63S SxlJ/RwOUzbUpeDxf1U/8HYAtbdxy9QzLFODq5BdpnMRo2rAj2WEe6gsFsvMZqyNx5iadgEa/KCu cyFP3azCLmA+RZBG5x3QPZI5DIFGB48Z4j6s/Ep8VXe/55MKt6pSCCA+eDxqdYyvJQQmeB0XOzSj kBocL0DKu1LXXtAjZl6W8/TU9VxlhoyZITORLbDW1G5tj7wiAsMTq0ir87xRSlYegmDBhmZMv+7w ULvlu/5Vxz9xV/fBykPASCiPaAWPRy37+ZW5YvE0OtNrgqJ4GpJsi0qZVj+bVoLFn+ngqhMzWLt1 hsRE9oBqN5fh67ZQ0wl3T9jKRgcsPXpmCZZFWVT5f4hkcHIrVQjmX2VqOHaIHp29BpXPwcTCKMKr isejePFbp4GmmpBUtRmKAmqYFa80vd9axvIK467pWpxd+NkMi9QMFKFT7oMTE5F/VRCzwFVAoNTN X5dkCsB30rVe7EvvGqE8mbfKK4+DTg4EXFQUPFFnxuORAuUvKD7yljbuBEUBNQ+tRv9sTNCY0wPq 7m2zAjKGL6EooB5NOoJ5+3CXUNl7IHxGxnH2wuBNtqgKiGZ0lhqksPjLXjaSyls8aHjlc1Dto6GS IMWhXvF40E1s35sMCXvrVwxlhkXqCTDz1VVe8qOiXe6jBpfImeMMRaAPtC2AOtvNB8dWpfO/QBWD wdAJAuBu0eFU2Gia+0MhcQuG6Lc4o3i6yrp7cyVxMPBZr7spUx8Dj/+rfqYS7PmUWe89FoE+ENVC wbkZ6KObOSXICqUY6KPj7tE9FhWp6Q04mjQa2qPRQLvuQY8LKOWdNZKI40uYMujc/RCHanwgai3O KJxOw85ntoMSgz/WPc4Zx3D6JNcNj0cZ2a8CIybywZrPkJRIkfc9tW7wVd4pG3LJpc6HlFASPyea ILU5iIppl5Y7AC2Hal5jtAgl7iH1cqKkaFC21lPE33rXCFjVubdS8kH3WEFvQMWzzcejfOP7lG6I UWe991gE+hBrs5j2/SqMSoWKqcMJU7R30gRF4bTRoIpoQdIW1Sl9Lpmzc4Wr6tjM8gpAFtzINKWG ttWoCTWfUTiNgiAwZzqEsh9CJzYH2Y4z1Dsej3BDNyMTQh0p2fDCxwxZiSjjnytU1IRH8QNI8+Kn EIh/dgqFOh/sto5G7SoONkXwntpzkD8OugtlY1BBWqq5/jB51U0/6P4+o2haSsr0xbLBoWdt6Zg+ JxIej4LF9ivfWKhQX93FKJoGGw58U8tZ4edjdIUlP3jmrMtv+hWBPgZNTBv9kjR4ER9dmjYlhFKg kcgaEq1GKGWVr4qFaXGvsEItBQLdY6s9S6jyRgQqplY8/i8tHSv9/9AQyyYoLFAD35n28BI+SzO8 xxZJSVb1mEavXyHoozOMlpxye+SUwa5sJ7TXKZRO1r3Y5dA6gMBK2/eYJj4K3xFVPURSoKwyjOJ+ hhxxV5mLxUF8LJ4PNln+1a9HRLBueXqFetNtMw1z1McDzmtecSz4f/sSilEfLHtsQoX75p6ms805 zzpU3FRczAWtJpCm4Pxb0W2Fv7WLLwK/ie8IgkUe0OcNmw9aQnnXzYQKwSce/y8iFlBGJRTRJiik JVIYVyqCqN+P5PZupd36PdrsNkEhiFpLqD7DjaYBEkbgg8IZXGN4CCWUMCr1M9fjnUDk2YrL07LA lQRs8240OGxd4LyzafD4vw4hMvHpU0RAk01RKDyd0GTdVlFEIbmo7HEWf5FFJ7zUq3kCrJiXKEFu QRoex0mIoqQEUATv+owuN8LEBTZth2ruLNfrfbNhvyLMB+4CqgZXH3SenISpqLZ4pgqP/4vMQRtc nGlMeDRBUTgNdheiReVh9ICyulAd22FDMvpFtLhClQ+GiWWI2Dv2LZ2dExSVe0EaoJ7D8mMnSHzS /4y3mLlNEny2ItCHaZYPpmTDRYrPvNaTUrIudNIkPB6APta3YhWob+0hc6woniYQv7Si3thJzswn ATtrDy/gU7aeSyiOp9WOHtUHT8kSHCSqHN3GmJUUfAgXonIGRjfCZCsM7WEvG8wQM44s+UU4oRoh qNY1CPo4a7Lh8UhF+Jf0PdZQv6JnK6QmZuIXkwpD55jYvobGMIRMAVvFnAFXiKJmYbpWlT/qA4E9 /+wz1aIWR16sCVPBk+TNAk3JC/qYQ+8a3fWqd8gXMLuO8ELcJomG1PiK75sMTbivPiJQWk/lbEUB NVipkPZwDQsC2iXysZf3EdGps4M6rk+T1Ntc2uv2gCq0pQa72bC7y0SMwUIZLjepUHCluR83rjd+ SQCjpvL9ku/tct/b81TeU02gE6Hg8ahfP7+OoQYILODjNkNRPL0SSq7Z2j1ztUvlQObg8XRxEYsV 6+ZxhhaVlsu6Ssvl/AQguXAJUft0VGl6Lbjeo9wNKqSHQ2PoZf9kTCWpVCZDTdBuNqnZvjMfj9bQ BQkn5SfnN7qUqR1F1OhkUM7IQbAXnQfHMgfB3m79DomJ9AewwhgH77VCGnd2doHOZ05IDbL8yvQe UE0GjBISZn16RxF1SyI5kfTCwaBVeEEmZWnw8Yjt8i1xSl3KcUn2+z2iBtulAJ03jTElIQBMENR5 DSUMRS6zUdihzofsJdCmt8Ej6gRFn6I1BISvzG4bvNul1k2Ik11llMLfIY66scdaCGvgoHMItidS KF174PFIW/BXIxG16bQ959ghjhq96JSnuyaXq0U9pU5JLWoAMTVDcURNjPCW08R+nCbgdwrwNPnR DTQXVT5YZcbxhGXkmBh8I74jqp0xXV0kbnJQL5roQdanz3mNxyOg+TcSn62/NTyi3iEtcYI/WZuL dWtjYYLyVVpGAuQTFAI+JJy3ZSS1r9gQdPPO7KALRjWmkYjZxx47BxaAeTClu00gXmU7Cql3JeKj 8K7noGNoz6Iu2QmQ+Y6RjvAvgdPNTXkdA0LEx94UFDSHRGmMyiFRoSPoLoNcGs5QDKLuAi5WH/wU OoEP4iBakZ09N1qSXhXyIXrD7wcBi9QH3xHkHCqeLSpZcLAldDIYVeBPYo/H/6Wbx+CZCcyF5u1Y Nk87y9SGEPf8uJlLNkGvkpCna4JCvAc5LH1LKXe3e9cj54bSIsuvE6wCilXTl4OqELDddhg+8gZ8 R1AZypJjIuyDg1WGEMGrAZ8WHg+y1vQrnka76sEu7lCIuoDj0nfxFZTcC6jsZhN0DiSnA+1QNU/M +rS3DxdljpYx8iek9TSdkEgDYhbAgyftQNWI7l3vGulVZREUig8GqpqJIuxqI+64Pn2jRdXZoOZz M44dxtMFeA/qisjRdiXjAyXaeoJqD6kPs53YMd6DVcXKTgeHh5W4wX9hcfHMCD4Ydx1aBfXMyQ9k vMwPiGRGfEcQLdIxcvXdfDDoD/3OeNPXgceDhGP6DPHOyqwr3GM6iqdpJ5todEbO3TDdGMLOmhGm qMdBVuKO42kDxCQfLqbqfMVJ9aTzcTZxk84nQjcJYaWH+Lun3jUqfFCAYFjqOn0JFRCKl7IsPh4U PtJ3ZQg9hV6eCYrCaSAKT/BrMlWr7OtjS7SiHUKQ09EKitAes1xA3m9c3lmT81yPlDIHk6NvMsoS sJIVVkko2HgkxBbHjlU+yNfc1B/goEgI7XOp6ZzwCI9H1dfvCcr4mn2lO3OKomm4eCNYMbH3UseD 9liy/YO1CyUauj4oWEMCRu90B4uF0E7qdFQjICYV4h46oMIwsqeH7fXWJgiX3xIQN8Vz6UUD6ql2 a58onfusn3nkB0TAql/SeV0NqTtNUZUazYxJIyvAO6Y5RItVawB9MHar65mnOKimDrVO6/Kc1sCT obLchWlofYE/BaJQ6+IrlFS+rEf9dSNQw5SIRfKB34K60uCNDyAQnw8Sj/Yt9yFnmdtQlJBslHlM HBRaTCl1l2DM3YRkTniWvBuUU4ikpjVH2VRE4eAtRWgPVKSo0MplDEmjoHOW/ww4v2Z8uiM/tr9u NEuGpaZSHLWLmooggA8hsBknZeMHBJFR/pXjo/iC39enKaxXQ1G4k56FaeryfKH4EAFhZrCwDMco sOR7KswCSFaxMT/FRjjbYcs18adObAEUFvYcMrTGe/MqDa6y7XUjKCOio1yEQituHL0JaGa1McMT OcU16298A8ysW+6P51QUYYPCuWTfRxfSQWugxPZ0X85cgJ+FnUxRzVpyDVW9xfr0FlE4ghHmZB4L f0LiHikwjCiOMKLp1SIqkPBbIsywfFqlWOmM6ZMlTeivYJY2bBZSiALJ35Y4GaUxWof7NIWF64q2 IrtPRKMROUqNHUYhprGDOahaTGHlurPcKFvSqnaW9hyUH4ruuAGrJ/BeKGWVTx6LLnWlKqNyNUYB +Ja/0lnYP/lgyRpenKF2T5vPv09Tzb86RDhGy9c1FyuAFACGp05tkEmcbgZPP8Ps9TaNsYgPihaT FUXu4GiQBTnDuWknMAG9aUvnN65VhGBEEetkgoaFXjdSa2I5pNFPoLlRWt4TxCZZR2+9ZUTr/C4c sdlUruRXTqEMSIPS1yTgE45vTGy45bq0reiKPIZ746Swgr3UpDcNi3qJnUANF1xvmKWTni86vPYK PZ55cogfzIzFS0Ck622DpIRcs9LpTlE6JaHxdwH6z6QE6hF8PgI3fqdtKI19ie3kFAXdiyZH03KR Bb68J7bd9eCBsnYXqhRpgZStJhr79RxuAfLEAmlLzBuWXWOqerSghoyQJV/8p9kjp1irmnLnso8u 1z4aAP9ObRnU3Ph8sN/690rC4TJAo/dJCuvYFRW22R0XovKI3N5okkc1EKgT2SRFhWxChga9LWy4 wJBdNr2V4DiJQgDIex1sYXhcAz1eTc+7ZBJg8SV/VpCGOQe6i/RZlCtLzGEwqAwtYJ6gUo19TPaz lEJfxSlwiBX2Z3VXKurpuug55VLNNTBEh9CZootp3r+Y5gtNivMZ7Fyfrbc6Fx3QrfIR2vMxnqSX dA6MFV1kb0xmuhyMwAhREAaVCV7bobPib8lYsHxPun2DytBakaIXuRXnVs3khaQ8rnHgaF7OzoG3 IrjUKJR0zUDvN1o66ccCpob0vA7X4U2NdAj6YJJALCgPkC/Z2wY9EeqCtE5jPA6qtaHnQs0KyC3w +Ug21gva466kKw6fY3dFqF7UdB066bYufSIVt1EJ2IPqO10fFHVnRYElHLQ/cNAKCcuZZJ5c0XVr asJB+a2QJ7PaJQ+VZm8bNY4YJCVyhmti4SYx/B2LfZiOYkkODBa/TV4ZFeGXgRWET1JU1QYZcCFj 0yTRZNRqtvKpIPcDO88mKYy7OTuzs67EwVYSyIswzKECD7YUaBJdErKFT2GSTOZq+Nv+pVuwO3cd B66kBoU60dzP4cTnoy7//7ooNxiL+yTFenuVEnLScTp/zPaiG0immqSEcplvt5DLKJZnfQYvKp0c qS6AY4FYOz94Zf8kwYW38hon/FnJSZdDXuizuAjqW5K3pGCrllJD04IpfoJVZ+izWNZvN+XzO4H6 4rMUCVl3ujG4Ejr8lJPttyTJVCyl1sv0pRRG3UJjZale5es3TVBWAaqJ2NATXVbWeVOxXiJrYH4o 2SRFQXcmTQZNCh8EOjoxR2b19ezuyueDJtJtkVDBB3HTHs9+CwmNkzyQrTLSouG81ZZqkhIoWA7L uFY59Fo0PFpmBif7LwXd53w8qS4abycIKKh4012ogTLcAfAroM1fxl6x142IDpilXCnAx0H3Nvps TalJ2Xz+X0c3g270FPJ8NlwoFdInpeU8z02EhRI10ov+Wc6fkY07nAO/xWweTLXV5YNDjxDq9STW XqNnJxWtM9Pd83WM6FzEoNrbRvcbMaJ1Fh+sVXJmdxkbRM9HcirfnDQcu2197bcQij1RdWvTYbQ9 d0eJJof4QWd7+STFWGxpyEpXJaULE0V8Xekaj2JATecSIz6rVGS5yN/Wvh1JyIvrWyLteO60NJMP FlCWtuWZOFfm8xEO8puYVqp5q/osxR4xUNmtxvoEXdkKS1CIMZ3Lk06U6bMUwkcohIEWtg9eCwAe G0pzTE0W/jRiI8CGBfsadZrbdFvJ3jaSAmUpQAgADgqVoDymePJsHz4fpCb1W0MNt3BDGGSTFDov QvRnlWKTtIqkHMkArdXZaZCEtVMptF4UE53wQBu84n3OcXyugGwZUlWFQLYESYzGgqXnb8Kx5dB7 cU5ltzKM6SQ6CYXEL0gsf/D5SInP8zc1es+YH8P3Eta7G/Uu6fsGEsS46t9zUX9PXjGp+STFsGyi bLIE0vO3F8qJaianGUaSewACfpYUsvpOJ9h627dLZtQl1g2hQLoUjMpVMEpprUJSMf5mPh8RZb+D gEIcZr2HUmzACHnrXU1gbqpNw5VU0irWOynQ5dV2CxwYZbmFtiMrARz8fjsRxplxMUFXh5sekTYo Sc+M65SNZiH+6DTJb4nIsskFHxAFnHBimpJjEXvsB8k5P+BfYTcLB8AePjaVOXBhhBYW6Fey1Vmk 7TsdtEtIBDpGbW6/4AIbRsdFYlp88NrbOS/mkvHQxCGVqUGT4ZuyQVGHbc9Nc8lVy6EPI9XfoUXS NJjCyrmmty7xfjY4n/8vCrwoG9d1ObM5dGLcC2HALmaNUpcpGpwbjgc+KyZzNo+7AytGqNKLbURa MQeHbVUwHVGZPhElIFy0Yh2JyFZgt4CV9m73XPa2EeURyUnfLFBCnvOniwlxAv1M5UIk1PyAfzUF ksUB5zh/ZimqdoN2c1bw9oZuIfPIim+uBp7aHj5LIayE/nh1MdPn4MU3XGnQwyLGFqUlAdmBIW/o NkHCxHzipO6YQzfGrJauGEf1Mo5Ad8ms0Zywu/H5SKv4Ft/wIMqxAGzeWYoCbxRLoJnoa0m4AFbf 9uMi0+ddS6FAXyeHn8RzDZadnP16roROz0q0O3ImmZ2YPeiK/RSaxXX1dKe9bQSdYCxJL0UNmqW8 pS6EWdp8/r/E3YWQy/nEAVGxG/HdPBGDJbrk3hm6RIKP7JKtkX2SYtFrKssnZiccvPgGWdbFpgIc 9RJs4RFwg5TcILu0rgUIzEbsbYNJomjPTKzjTu8bn+v4BAhL8Nk9+XwQB8zv4ttm8HFxgDm0ZQTG A8JzyUomMtUjwuTJc9lBV54b+DLCSoahpPTD2pd+GDfZueRIMz7RaWvi1uDSo0Udj22LKPeytw1u OHWVquaqNiu+oQAjGxAaM+bAmVGar18MNlzifdXnVIri7tSQ6HKds/o2HpjJmPVi3dw4LgfmjKgG GCyg+2Bn90Tfcle1BM5p2QEq6pRd/QEw5wQC0ISY6nvXbq8bCYRnnkdEval/8WOqs2rINQiE59Cf 8Snkykd3QxLzhkuhQeOkFF0Zl047/OyGi4fhkjOKrpql0KFROVxvckFt6al2ozgwiwSOBg1dGVil RIlwhJr76Xp3e9uoRsmKkkqVHDRJsIeokhZBtTvwaFR35yvRFbP71kxCk0ZkMDBk1l02RYXlqXTS umYtAbhD+iRFgfdS5XHt6cPFdMF8eZF0jA9dmwotCW0IJO/w1HpY2d3eNoAGIIXL+AgftOHOltuS cWpZLxkAKNb6WkmVMktPzzs0agRRD/U9E+xLrvac4bvgrOMy3QMsh06NskkrvOI1XNDSmRuiuNjz XoveMyCxn6uCrFqoITiwSz3v0KuR93aCPZQPlp6M8/czDDinI5+PALjfAArIeY58jVNy7NaIngBq NLbfxAPAftts/XG/QRHXZymMu83VmxxtDl5YanBy3yRnz4ZrWkQAbMkJ0WdprCpYsp53YNgIRhLL b4Wdk1yscwK2S22Sc6xcS1HcXR9yLXfcpGPjLZrElo0DdIm6bJqoQGR8Eihla5oaG/uaphDKrVN7 6Awf6yLgcF5vSClIruacUZs4E9x0AyhlCElcAEVe9rp/gZZa29UHVU2GKd0A0Tv5fBR4/2roVnqT 3IZu4NyIUBRJXLYCyflXcvk+gmalprGai0Xk0LoRcAuQjsQMKI9Bc6UcCNrbSOLgwt3I3Voo/bGr xzqY8W5St9eNyFsi+fPY5aC2N67SLeQIyiaBe+O3q5x4TNtU3W2WosAbUdqcq4tOcs6i5poj50g0 AbYTmBQTn8+BfyNgmZRey3K8yo/j1fkYpIEUHek8o+BJA0XaLbP4sa8JBjpAettICJIowa2+7vYO 0znQKK9NLM7g8xGdvX+d3oDgfZdNAgdHZLqAv1FIUboj29x4zhpgGwUoE1qD2lKKUSY8kJoO8XbZ JTDoBNVWtSXQSNEzhjxUpo5p+ZF8hG24tOx1gw3HfjeEOnzQudRAQ2bT6GxfPh8Vl37BTPjTPOlJ 6OEIKVD0cTeLbau0tcwZDBXZ88/NTLc7Fic2cdRSKsR4P8C0AU3MAnHTTGAu5EfIwWkoEk7quONE 8zplb/a6EUiQhs1JqW7yVBdx1nTL78Xng5gy/7LgA6ugzStWl0MjR5a8gc43KXq13DINkzh3rMFd zm0OnRy1mNJmbMnBIUulbGgSYzGtE9Ykxp3Qtzw/EBZWoaieBUzU+cmBlaN780AlwgeFAicWnklc Y6RxgZfj+TEfQwNe+7RPajdDCd0cgRoD0LV7stuyd3Ub2Tj0ntnTkSaBnSOCCqwm2EL5YBWBlVl2 Y1hZ2wApWXhLWhqh5kifuS659WFv+9fpPYsUAArDN9xxFfcF5ZCIDwj8HNOXbSpfjsIWT/sk9HOc NN+lGDfLJnVbsnt+qDGc0wWnH5ukCOStqlI3BcSVrjMGvFvWWY5MUPbJVBInKRPoSTYH2qrK4obi pcDREVEhqdtd6v3d1CrAyanyJ64nuOfz/+rEkZ5CLlC51dzQ0xFV5EXBcKvAbXe4bnVeXldyh+sc mDq6+UPPXEkcPBAocFbOwiztBdB30iThzAYIq6dLnlQFLjR1FIgCGvAccOKTHgEXHRltjlQWP+Cf iiRGJfxh9d2nKQq+68RaYsGC7K5x6W91Nidyo2ft0xQG38Tj9kqDAw6X/9bPHZkk/JPRH6hYdXR2 qpDYzu0pnChcCpwdUfjghutj+WBBJaRz2NXNQC2F1o65fbfiMOmV3VKbpAjijbNhUghSxoX59itr Mum/s5RktJL0QRGBSbSkfQeLBAp0jSd7C5C2OaHZZDWXlo7o4u52WZTUruKXRPgANnUXa97LOfWo z6/Jls35psrnI+pJ+dpwuJ0qCjo+SSGRclI3yu164Ejt/cq8lNGBVAHFGd5wgb2jN3VL6dsHD5cA QayJbs5QqkAjHIEA3FYGjvGcH6yJPMFzaPDYCMftpWQfPPLO2SLvVPn8+9m99v6+4QZhgk+8FHg8 Iq/AadSz6Uhh7VpUyaa+ydYi4bdpCvmUipfUZarfXSYwQztzAdSAAK1rrAnAbBbOE5Q8vQydZK/7 F10g12eweKkNiZGdW1TPR7glLy9Rux1GHSRT+TSFVe9NLkVThrKqnOdo+y6nx0GNkuLxUmD1KBVc 9JeINuFg5xI7uVukyhODn40sqXrSSKBXCe2QX4JkOfB6dGLuUF2Xg86lE4/lZTiKzeej1kD/leyK C26T9HkNlgoifipM9Go0plbVugSNZpn1NUJv6+u+hkoFqCXst5Go3MbBZ2hvuCd31gPOAQUbMZLm 0CbEr89wQFr+bAN+XgOlgjtc6gnlDooBEKQynTvnN55+mZ0Clr/quOXHyivoSnT+H86PEk0O4EpN sppYSp1CHDiOJmDU5JuAWeNN72Byumjd1+3xTk45q+fkOoNsEwhW0IjtxK8o6sNdKEOC1mdH6PfX 2UlERaOFK3nWUd0K4txjmWgNFKM/r/FRQeiQbXYUalMOlgoumJ7X8KhkWsROCcRQ+1g000VvyX61 j7NrRr4GR1g71G8RJkKDRZBjnEuGdAnq1kJMnnVekEvhqP5TiJ0U4I2A7tfQqBj8JqMh7IPNzjkw k7L/3T6vcVF5egBaO5V8ru5r5zUowtppOCm3Ud7xr4t33x4Unb9p29p5DYmKaHeIq8cdLrL0XFK1 K7xeQGUyIEj0/jrp5A9Oc5uczqPnNSAqCGh4yatku4CX4z2A5HvLyfkcZ/nzGg9xk/jsMHEBgU9k XFr/BrMD7Z+5m/e2AbWyyBpEIwsZF/abHFSjnSUP8EG2OwebHRiBZ6JsC7qGbcJ3gfpa58LbYNfR 5HqKiLv1qsG5Q+WxKlGSekVJNtiGAtz0E1W/BkJ42hAS5bJL2+i+sV7DoPMQnJBXYeuFtJvUvJrN eohVs4czSl6DoIJIl02jrNQs39TspKwFelpsEp1vQaJG0NYGXguep5odwSOIG/y8hkD4IUknoWuv DWpoF3B6We85f8znNQCyTfI1Owg6V/O18xr94CgHqC0vA7SfP2NdHdZuMAD0NJZF0q+xTxHvDO3Z nXzwfv8CIDJ18bYKDDdQnF2UJUVXLYNh6pmrXA2irZXJaZD1JQfLNTo0c5hj5fF5jXtwapkraLmo 9kotHc7Oa9CDQ7lCSIKieswzigXTUD/cZsK796w2O68hDw5lQ0XK1rE+DMlzo+wz0cwyZoYgACnu A5M0wEI+5/3VSaC71Oc14imeiS2AFG0wUCTc5jg7J2b6vMY7fMP6PTtQU8Ca0Oy8Vhpx0fXxLWWT 5PNEIRK5hXHtkAiGnfVaZyxqgneqjvjg+fyCJcau3Fn7nKbMQM6yRJdoQeCmzHlBbI2ibNHsiGRL 9xkN/A6I0W9u5fOX4un32enWbdTsdEautOHD7LwWGLFcE8+dOWx2WvdEfhHQI8QRyJ6SkIhO5W5e oMUHP5UzKhDA0Z1YFqYMpLWc6Zmo5WeWLx+KFn2LXouL+AWWaDXE+XHQqXxebuRbEXotLfLO+p4d QKga2tAWDUaxMrRWfxGP3LN5oL91oQ/rsiGj+SEeqwsn2r9woiQGQ9OPYmMdFdYpMG03sTHy8kyd tomWGC0fapAsgdfWRerktCgbADLkAGM02l1zf80QGvxlUxVBvIJghmBlNlUENJKfUyHrGgZZS5Bx dkJNeK9T50fcNA5eCNrnwpmy/Z445qCXj/S90288Q95peRcW+od82eBwLmQbZbJGOVha6vp+LVc+ HpzO+3uGCHXOw3fYO3kNl9fQxtq2xdQFwhpKy9XGMgxRHD4TzBAdPgckM33wnGIVILAKIQ8JmR07 2glGaQ1weeIPLaVQ4h5Fzb2JSkM61VLBXcj+lYjHO4EEwGpR6NO/J4iRF1CYNkFR3FzRCyI1SrEP RV6FnLmShyer6I4vigLn3gjGXlLqX49SP0D95/oiA3LCp5nQUDg2gxSNY5Mm936BJb1skHUlpVvy dRreVZyQEsrKuoAMDUPn4ccQ1VxwXlLISzP0HjtLM3idL5OIKHXB/JQevslKI+hU5dZohujduDZL qRw0Q3Jspr8oymXgyCd5qGM9QJGVxreDYlpd6izvwbNQZqCoq1BGD0itIUjTUgN65NQ+75S1IgrO EyDmzKLtuHl7FEDjJDh5aXXx1V2rnUNzuWFI2tBhVeL+HkEj+8IfTl6gDRYjNhzKWwXpDpSawWoQ NZK+AkiS11ppyvNOWMNORr0+o8Lmg0qtcA+X9uqJTT7vfDXkCukGiZqiwkKmB9HvhDUeRCiwCnmN 2ITVS0eqd2vf8/+q4lgURouJNeSIMR5HDLicpXNcT4n8bNgNsXcN2loFmBqHkbs4itQXhdHNLJ1y 9cHCaCim86Q+IePnnaxWHpVszSywMwAh+QRFgTTKX6t3M+NhXHdb990rYxSU0RqKImmDzNI9ToNn YQVtDBz10F4F9KfJYA4XErxaslqe0j7YWy/7PkNjvvLVJ0RjpHJ9AvrPO1OtqKP9fdsvd3biDL0H 02DzsSk2DNxQhvmogZFqgj+Mh5xdFEXTMi6amTy1mS9Prc220Mgyj8ITV3eSI+EwK0Y/bLHcMkQd jfdoWhADnBy8yzioApRaJXQE1qv5805Sw5+aytcEyeXyWUJRPN2xx/ZyQ+LU3ZAYZqbuUVhoBcsJ CgPqKbNd9qNnvh6F8IeEnnHbZF+dFErmzpj0HxzcYFncvticetdogijXSkF6DZwgck2SZVjr805Q wx6rt4KYZO3UoJrnU/TOUMPWRpmsU6gLx1CfVwQ6N8vwz2PohKqGGMbUUzG1wqJ8C9DnvNgnY4Ur E4gOGc1WxkO0mNxMHzzlyEQoft75acWlMxYwtzZ45xCu8T+0KMyfd3oag9r5dQwx6ijVk453dhon lvyGbvZpuSd3SgWk5ccMHyiaxgl6D6lFBSQ4vPlgxxCcGfaoFF+FbBABJFAZQ/C9gexd11UFaCm9 bFSj3yISJR/0u88THhPMcMKu+XnnprHu9Z3SQ8i0smepGYrK0KRigi72Y8bo7IuxzVPSNUZP2ZqG 78y04hZ8XX4P/cvvoaLbPwAQQsnjnI6Up+3ERgMXQv7GBaLR8OGdmFbkYoeEFQxODYZkOJmrtDPP D/55p6VxgsfXGioA162buL6z0oqAQ2cNlWL9wlLHXUPjmobMZVC9d1Ia0ztm9LvcwTdZhqf4qlxD EAGjuzyyYhDvAGOFjJkjYigr8s5Jw5dsqT9zs3HwPs82c6eU+fi/ytF4O1rWP+Xod0Ya010APUkg Y7U+WbeZiqKu1l+TC6+8E9KKNLopcDR9uECP2U9iyV4GFg6KxkztcbSjpYBv8l1WqJ75Tki7u4wu 8TYYsCp34TzOpT8/73S0It8a22UEq2FFtnGnKIqpAc8D3cM0fNNwbRon7SFzPQeGFRbf2WjFcei9 EmHNwRdRzYiHGDCCObmRapwpQomVcM/M6bGaPe6JzzsbzQJ39IkIIOZgU1Tk1Qlc4vq8k9EYk/+q frDZQ0IDZ+g9pMZBBGqMjB6Y24/liRkLoXK6BDZMM/QeUuOo5kG09/TBc/sOl5U8GDHCKqyZJ8b5 aSaw3Jl2st4RW3rZ94NosexRlwpoy2UzExQThIxeaX/eiWjsG3/3Nchnq9XrQ+88NM4QUnoyLZjc byczDDRCLXVF/cJm6D2mRrjLSKix0Dh1cDIgShWiWJDroeZaBSRWSQfohCB8jEv4GGXoXYMJYnW6 TdZfG45182yGzHFjW2zs/XlnoRW5Jj9HdacXR767LCpQQ9tnsrvMg4jtcrMN6cuosWnmO0PvMTW0 jdhNVeTI4TZVK0zUEpGKuUHC/SpqVhj9gujpBTRy0N4paMXh+XsSns9BuX0CsUmJRO6fdwYaHp/z awl1fG271Y93/lmRSBtaV5ba02DRJqgmBy7C8sFO6veYOltTfiWWiTh4TI2EYKbJmBp1lCKVSKx4 dF1/xroufJO23+/ks7vHgKP1QQHj+Ya65Ry/xuede8YU3VN77s5G3Z/k8dA79wxLiFI0fWRvy+NO YDw0p3tiIBlP1nn+u0w9ZQY6HzPQc6tv6Fkt9eVPmqYiG9TFSI06kdsj1zPtXYPG/GQcJG41B132 KGESfQ761+edePYrb+Uey4SZeTj0zjuz6v/J583WcsmtTec041sV0JK3yN5pZ8Vh1HtzCXHwAlpB cFRJXEAaOyYb1BOFxUyDCERD3Tgw9q7RBCFv7UIF9YsKAmOk6SLrJ2J7J53xmE6/4kUc680vsnfO GeNwAM0XXXaBYcomSHfyjDxcYY3qstxj75QzrP+sxF7aYQ+z41yBHd4M0lofm6ZRRHaAeYRCS3t4 ecRvfd4JZ0zKiFKlTZgGlc9yqsJ2Qkf58843K/Lv1gTxcMdt0LJ3Ed/pZjg8MtGJyYXD1rTOKhgF 3SDmHe5fmqD3gPpusU2YIgdbQfuc8Q3ADmrPIJCW4BEll7EzSD6xQ4haGO9csyJHAPQ3yDw/nwvh MZ5CqCmxBDvPD/x555qx9JG+julaSDW7MxQF1IASrEJGOpbQCXcNhD9U4pT+HCTONUNRkToxFlpq d3DQDJ2V2M5KZrgJjb5ZmhZbJxr4RIuu7yBPoM87yYwlavETCC/r2yWf0fQe4rz0OT/vHDOEIstD RQY9QEy24oHQO8WMzWtoOyRXLSit3VbivK3Ec41Zo+ydYYbpmfyjW7uD7bCT8CZZ957p2SckAnsX 6g9gSCE4AD7CzmgGFp93flnJRndpk/jENh8KNVgnTeu1ft7pZeXBJRaV/mUmm+4MRcF0J0FBZvGo e8xLVYSvnXfq27AS/ju7rDihc+C1bbBgGvyZk5D1Qr0Z6lRQIqyB04ijIw/mrONBAr+zy4r7xu9F WicH75SZiXZd+BXfg2nECd8Qqga9ZUKmNUNRMI2bbjbHwZx/eVbflDULUY4anGYoLlAT1iobtS5F nslTOlHLnqc0jMES2yHnzELvHVXTgi68ZfWdvcR3ZtkFmc1CpZkJBoR5L1daHeP2Punr551ZVh5u gnVbwado605RGE13SPM2IwDNRfEHMRO6t8oyjXE1RWGFmnVnkE188Jz1/G99F4ryAXPPihP4G6jD FvxAXEMq4ROJ+84qu5UhOAaROY1y7OQMwZWENVXUxj/vrDLmrN9YKjr6oY1uMxSF05OMYK+TwaXQ CYqpmpcaHObgK8AZikrUU8WzqTLsnPPZZifsSVn115pQd2XOilU+YIB8cpB0FxHRVO+MMvycChPB 57dBJxFkIGTudP7szzuhDO9YfZuxjIQwI29vSL/TyfCle1DP0TQLywQ+TkLhebrRw9lxSXLq72wy Vqitl/i/zjz1bIuRIYaAjOxkHIUoYBgiQidcwYwvInZ4P+9sMpwJrLzOwnbQRHSdLatPeypGrvPz TiazXfo0pDPloWCka1MUwj4qeHaI4bIUCxFUQVOmUfW+sHqWK/Yr6ovvXDJCntgjqyv74NsMURBc xClRAOD0Zs8epUXI9cI15PYSm71rtM1wjcHfxAehPhjashF0jobPO5PMKg+aIRXaBoBGF+0aBtST qWqWAtj512wmyb9QeSGQ/ASsaM5wDUUl6kbjGRL0bbi4s1WA6iMqr5wocYJqdhKRQc/6DbWqdSWL UdH8vPPIiltdQnfSB83QWYuLOc7Z03w8qnvk78sMLaB0Ea/vHDKcXvS1hogjTQsgsWkU4EmhHcaL C38VHTDeKWTcZexDS/NyPJqX4OegLURy21ky597MrC5OQKVRu8gibUqvmEyEdwoZDk1pOnc2gjgY +OwcQ5R0Oku3fN4ZZES9flcXm7xGPGt9J5CxHiXFBguI2nQB9bGF9mTWquWENRRiphUydprMcfCG fQNHpRP2cVKLAqGrH5LNKfFOq8vpaSvBSp93/pgD7k++SiI5B4sZEZyw6nx+gs87fYxAxPSVlcFZ sbXsqI939pjFCIA0CFE1ofZmvcTdRrKAaJNPgLvsnTzGFUy4B01yNHh1sQGAJ3eQDvOfmoX6gJgL tG3bA33d6Ah+3qljDyOB6b0Gu8oqbjNmHXt83pljTK98k3FDoSZOxqImKMR8nAk5x4P1o8+/lkEa UDG1yz6NNK0f/U4bu5iPJY1CDjZBZyoKzh6JEsH9U40QYHUrvhdy/bbH+rR3fT+nuyTSVms+KK/f SIYZzZyo5PPOGfvdj/4xZ3SxofilUUgNQf8TVvgeq8PkPs4p1LLro2RqnnMFhSE1mxsAAPtwcUM1 wXtnMS07Z/LMEjIm7pVtumv7PQjwfOeLFUnBgSjG+vRwsiw422NIFaadvPWdLvYLWcWwoBAncIOh KKDuCUS6bYWPhbtShQ8U8KvS+nIO2iaNtBYCqGkyRw96G2yCFpQr6hL27OQXu/C/rJICBKyJ5emm 0lnSuwY3vVB5iqubGxKfA6hAPOuH3N2Mx4No8eLLedMjKH1arS2Mp3MlNdMmKCezt4ZK1PLydGNl l6d0VJ5O7EKPyVOawy2dDZw8EkU59/tq8g9r7LKi3A1AsZta65QOMR+mZYWwWoPtMRQMmKyf/YrH g3Z9qV8ZB1GT7SI+ehRPo0BzzuYk/vzsabvqVxbWk+8KeWKRW97jaT+lzwLJPlxQDITWjVMPRuxW q5EWQsgFK31AlmpDXe8adFqluC9857r4zpOjlaQWzom98HgEEf5GDdVKp02vvvYomkZmfQ6hZqWz LKcUSjOIo4BQaAAYoQmKEB9D5ek072ClMyjQttbEpl/UcGYFAPdswSl9DibPyCblK3pUnpau3pCe 5bh6lid7rfKmOBH6xONR9fW7BcTueL+4sx5G03AEKMuoG2AyZTulOxNl0aPAtuEp3aPytOHw92w+ OE9hLbJVtwofZ3MV5aznlJ6QZsj1i/3sLxuVhhg9iSMOLbofVivgGtnkon12d8HzAfnwV4uDwv6d YAp9bUg/LLhLSsoG72RQ4RTxZnn9qH7V9yienvJsnmyjcrhI/IY1RWeLk1ifJQTv9BMLn303kLpK RMo1Gexlg1UkfhRSFBssWjxXwFKAUyYe/095Pc6hvO4MRRREMCupnGjIs9xshtp2eH5JqTCvxwyF 8bQAsLRAszb2vcrOvqukPp/kdaDZRyQ+oIgoXI9ULnqR9cUe1ahBlAaBrErpq8oxB87xdVJMBGRQ PB5M0PwOhtg8p+GMJigOpyH0PS96kUAToYZq9j4inV6ZkvWYhogDaKgLNL66QKi+lHOoUfG7p7MD 0WpEhHcewEUKZ1U/h1DRxHcEd30R3qNOH1SAPQeRh9M4qKNwepZfXDIiAi/wrIcl6oHORqbREPSY Kl0bCxau3IdYGQIZnll9D8NpyVUJZV4flHlBObbQjg6kLIhXEpqHEwkCycQiX5g5ucH4kmiPMVnN 8w6Ws550hiIMaKLg8X8BzwjNO3l1A4bAZug9nkaagpMawWXmSY0Kh2ao836GK0qBNaIMG3sUT8tp uAtW1b9gVQ1Ze+piTDUwBxcLQ+iEDGhVzuLiZ/Ri5Xf8dZXNQi1UDkaYmlXETYA88XiU1P9aQmBI rOeujyDUJCoMiS5sKJGNbIWhTrdJejUSBKk9FsE9rMtR1OUopAJUk4mFs07mVVYUOlIUZrNo1k22 0k4hVNHwJRHnjsd0YUrGwZYQZC+4BM5uw+PREvoue+SEfBVsc5uiMKI+f/yk6REl9aHD6XaWizLo m32IbvXXHlWoFzuJIzFe5HCJCvXcxXTwAk2/JrQ3Mf9nlw0ab3Quoq1e2dDLRlmrBHMow8BBU5Q6 bG/wfQu7LOQl1vFrirAkKcYgQnQUUk8STtRXHWRwTjupRzZ4DHBv2ULqEZWoJ4tnszGv52AhdYYX LnCvPKlHrlLcrQDj0CKVOEt31cl616gRxNrZbtUHndTQsFtm9ZXxeBBS/2Zukg55ea0jCqkBLoLN gF1lTcE1ITGCgQz6627rcoywQE3KVJfRB4dLKjuz0wZxZ1DVnwSC9oVuDsrUJ2JEhm9CXtgs+JKI lzjImWJ1Ebzzn2GNoETjR6BaMUVhiXp5UE0aCMRhe8p+Vo8YRg358+LasCUNR+KPWz07ca87NY+o Rp1Z0ViLVjGLIoEnWiloCgFtBjVT5GUVhCCSF4Gdr6hrlv5c92dFD3xHAO/sPILSsMF8KxYYmJwh tDHxeFD7eKjjFHBAExl8QZuhEPWR6HziRp9QlDToWRsuxZz6dEOGEcXUS0QXWgujab99hmA21IbK Z+DF9cYKbAUzsHbqUs173Z87aeA7ojYHufVlJB8MZ96qcEPnqtl4PDqIPGJk6RabpK7sEdGIYmpE biu37k17byESaO5raMxs/dYRxdSTxN+x2O7gYGf1ySvBQOQaGrC+HVxtuZDNsZI17a0ThNwBX/JX S3onbrdNbPdWBa3kxmwZn4vno2bZd9ce5js1Tb/ORhRVY3fCkGYbaao8pKk83LdqKzo6aceIourF PmKf0haa4yI/OkyvZqcf+sDtL9mq89PjnocCTr/Ij02O/QhR1LSHQwzqg3JQA7eRZD/x+L+gMevH SVP3vh8hinqi4i/RpU4bhpt2lOk9+17cQmdEKOrCJtlYUu9cV3Oxl5mhqyTS1IZAAYtstVJ5pErK z1tlBHiOUN+jDpI2c/HB4Ivo6fJo2X3g8SAiWr9WELr1aJTbBEVFaqLzuiNjYMNpuA9U3r3NcU5v P6ijoFp5GSNdDvyRGBDBmAoSW0xcG2ENmKATCf8g4kay/BgNno/HdwTx0JKp66o+qIB2LgJpMJ8V sfF4ROb4XUAD3eUmriMMqoGPy5J9ReK6htNdTuCyjBA0SjYM9QiDatWHujj2/eHYn5DnXPGZ4CpQ RUwuPgGJV5HVs/BiB/XWEgqDal3zjd5nJMjb0QHLQm6ScSIvPB+lrvM7IMJd2uc9qGPYB1BndEeH XWXp1Y0Y2V2xduulbo64TC1s9DP4FCG5gAgTpD4KnMNw24FOC58RXOw0T1Yhf0tEJyxTC+8BEIoN CoRHauj7k3dX8HgwQ+NX9QN063FbQTMsU9fBIGgb7COl5rCP5CFjXq1Z9WPGyni85RN5dxy8kA9h yq2rrMHlplDdjPxoCvOTy2HwMxp4zBD1UQX3YBWWg8HPzmWjGDC1hsejTsd3K2h1Vscd9DGjmBq/ 3QQ7R6lrr8nzslznstQVtWXlZTMkJpLmMrIa93neux4MRHAV1LEHRJ/VD+H0IG8++rWGp1YWviPK XHn+CBbDwcpDYyfuMSgK4vH/cgyhCHPuUA+oZxRQ47depQ2Xx1OLlQ6V9MXgTd9aMpXOGfMSeQzV dgfbYyd0gXh4JqcMapr4M0EHQiWURZdBUoe4rVQhmHGZmoX8RnVFDqp+nPdLiwjGvSoej+B5360g 6vg+CMYZBdSwclww6fVCfvK8dXfXyMPGMwHBGRapJT7QU/LBQR9w7sqNPgttoWe/5a7LcwdCBDyx ZbzYl941mCAlrNjwNujkgBkmKyHnxMt4PNKf/C4PcSG24RnHjOJpqC7R7k8TNCSTx04H8QXCfOC8 1xIKaYmUXujCmfcHZ14XwOUWT3eQUgotFvY68WFDLzpLC1JIfACy8CXBJuP53CdJLxxU+2iQTKVK w7lm8HjQTfyl54WUp/WLzptxkXr+nKhnLEN4zhsMzXE5d4nIMc5QhPmQ4lCnSo0G7wUNmFKid0il j/NrE1m1E8j4CIlhruTBEO0WZxROV5ou70bXFw6aIBg7KRE9ATsej+pn3zc9WiptXgnTGWE+BnU+ elWL7OyU6TYdrZl3B2INr+LPqEjN9g7yieGDTRDNWM4eXeax1HRQFbbIsIARAzobaNu7RsTWrpmp GqwMts4tVhgt9ox7LNb5KN/5BiYSEYxNUMhJJOYjd0vIAMe0hAwAzx/T+QDSUIdQqJhXVVhUJTbt Gy3uRcbXrNITPKkNq0jU+m7URl7rKeJvvWuAGqLqWdd1xkH3GOjVZoiz+Xiwgi6tVQ17tMKvAsGM MB+yOu7ZHKiqTANYXaSpAw+hPLYl9TMKpyVlOpbEdNYjhAJr5d5kadoBE92UywcgEsouDZrc/dao iTOfUTjdCMGH37cPBmkom5rPPzjy8HgEG/ql84EfJQ/HVc2QkygtHSdtbiHxCTPP2djjuVTX0pmx zgdrZrIJWI9NQNv1xEUDZiUona1WJO0pOwyIWEAAzC76sexd/5BRPoE/OooaHHjWib4GJpqvGMSK F7v4kDlugXpFwTRCCJAsDId/0oHlVIVqeex57FqarwjzYXpMvW8fLnbx5JGpdy4hEK/F66xoiFR6 mF0NCxPiXiHmw+isdfhgK2gs3fonQ6p4/L9I6WSIre1LjF5hgXpk6jJYrFjLlUFZywwWz58Cg0VN UIj5oMdG3zIx3dfEFOfPyTiYeJ0foqFMtrnHAAECAaLte0pTsAbf8Vd9GqAIDAjYh/xw9zlfmN/A 7AXP/wt5Rrj1pKGMQ6hXFE1DwvJkrKYT7L64DIW62QcDhu+x4gpBHzx+oMHAgRlyE7hzwoWSS2jk DJtuVj0AEAbdFaDkq70ITBm+I6qbNRbMWvZBS6icK7fL/W8NPP6vdINtR5w/9UZCK6xOFyasBoBZ rMxY3UzKgqy9jmlloRUiqNncGGKWcfA9BpvBNiV2f1JWKDNhCSH2r8BvMwoy3TwCz1ZYnSbnbklT eV1N5Q55lSkQ/q54PEpYv8lAmUXu1e4MRdE0nGAn8xKGQr0k8zCHgpI7luXuseKKOYmsuS65Aqz5 3PQNYve0PEMDCDR+zBCVkDsQb7Ncn3cSDfEdQeGM9aBFdqsGxYpn4dMP7gcCf3j8X0SOC3/dNxRa UTBNHQlgVTRByOm9KiR7QAq6g2/BWHGFEh8shxEgYIPf9CknQOZYFYKvRKJFSUcdetL8jJeYWU0S erYiyMeiJ9CUo9t8HN1OtrHkCTT6wONR3eye0wynUais96pfIYQaGrAUtmK02IQ9Q7RYBBsm5gOi y1pDYThddFCvO9wpAmec3h1ENAD/gXwDvPqOFkym/qHqQlRGxZcEU0RAVRmMGgEVNTZQRSJD2O9J rvF4JFj1fZWh4t/6FT1bUTxdaO5eDNKAqyzbIhqLpA5BhKeZua2YlshdlkUsy7e02KAM3FbPanCc 1AOUa6hjdKoyVUhKXszHHHrXP4Khc5UxIxvuw3mi8NZUy2yp8RXfdxnqFQ8KvwFaMy7mY8WkRLQR h2lQz/X/GHuzJFl2HUnw39cSUsJ5yP0vrKiqAM0j0xDntnQLX1ddO+5Bp4EYdCjWk85durMcI46V PRn6uz3dikkOpYurgs0frMhFBjp3IjK0zk0E5oyZe3Mzbswg+CGBwumWCRQuPC3K8VZtaqOdpKLi 8WBcfxVOCfFuqFcf7cUVtqcXOHfN7LbOTTabz6I1UmRCXYrN61eYUFO7oknplIu9ZVBBSCntRK0h UHgKVcwhGXreOlwqaOVYPjSGvmyQD3EKPRvbH7NZe/pk7+efFwr6vLx4PDpE9zJLqk/Oj9Q9Y9xR Sg2Lj/NosjGZwBOycm2jeT7Us2WMO6QlypNsyWd65Qedd+Lo3OqdTYwYG6WW92A7Eq51zBglJMz+ 9I5S6kZTYLR0fTFoVYFfNWPL4ONB7+wXKgYqtLQqsg16T6lBdiFfqqRf+dCAOu/qlg8ViiRKzDxU +WA+1KoUKnj8LKVGGVYxZqQWNfxceYZwf0qtmxAnu8yohL9DHHVVxriyLwpE+wRN9b7O/8TjAUj4 lzwlmG0Vtb7tUJhSs/2q1Id9rCulM2XljresQjtIOxTjqHmEZk6+2A6drYZ70ZD99iyrcqCP9wLz 0lM4rHFBMSi08BnBBkHzLGdIrtuiUTTQizwCUNrH4xEp8bd+5wQQy3tnO0qpkyJ197p+OH387Jy7 BcjZUhsUAj5Ic+mTNSkXv8o63gBITKE1tOHVoxEHZrIA5oEadodAvMt2lFNvwvKmlM+mKED4s1Je jToUIEDh8Uh78UENDa9c85V83yGMGl4ludt5OWmNy8LhHjKPROBwjfCyY1oix/Xo89niceikDSAa cY8qFCnZqi2ZhWOzb2rtM8RbfEYEimFzqOfqix2iczSFRzi1PR6PhPNuHBLZnBt+T1Ho5iK3NhOt ABzWRQi6NIWp9ZGqaQvuEPFBUAzd12zxQdnqiKWZnCmYJi25l2Nq2BCUKCpuSHz80fiMICFibd+Q ftpi3aGTUHTN4NPC45Hc0IUNIadG9VPulGNHiA9YeC5JrcsM6Poq1DJMDCUTOK4NCnXz5LJpqfUq XwnR2qAjsnI9lepubLSJxQHVPugz+Sy6d33XiLdJjsImmpqLbRB4k3eSuOMW9SWVkaJH/eLLjt6h 1AccyeGrbZypUpwzlaQujE87kdxfshjyga35v6JnDQzyTHUOKG+dfMucldCqrpCGA7TRDIFoBIDP CDJG3vJ7yI58uB05Odds2FUY2u5QivpX0YFvVHe571jYo660s+3OmUr3CJW2LmcKOG7yEneMo2Z3 qNCag4tvEISaU6ch4qnI4BrI1HKADNzxq6aH+runvmsQhQij5rVii4GGznHi3PW8Ynw8KFzTt2kA AmAvl5e4o4waKi6Aedg7VnNOP+5dsjwIpZ6MVLYjwMcUKVoKBONRIGi5NZiRTk4SkV4XSjaVAZwq DF4gzOrJEKccO5b5MPJ490XJEPI2edmdkg+PRyfomxuNLjpqINugnELAx/m/QWVveu8F2BXX+TDf P4CoyR7v+oeCM6TW8yDwg4vROU7GQc4VtghOi2MTJHxe6wJDy03q0YUNUZQJn/K+SUuTepMYxMWX reG8iGVMcNuY/AciwsJ90Shm2dUPvvsUqucVsuyL2Amz86OxT3i9TQ/lXGbDOiA5xbaIhN0tgctW 98S6jClpcwHy2ynQNmatEBP5IYIGs4LHfDTb141EKqlm0dlq5MJPOf/pktTRuRgan4+Y9t+dNLnL rGeX3nNrPIZWGvGV9NWUM5n6RNumivm8F8V3KYRTy8dk0GqqjcdqCnpxa0GsDlV+A+moU1H4fPK5 +9EoguqdoT9kPppi+AdxwmoYnb/vvN1NnRDguLBNJ+NY/AcCrOdNsNkKwTnqvd5tCpvWsGi1CDRh t8AAhW3Ked/h61pWxdJd8P3zWZXWLa3O/fiVVdj9zcnx9PkZFuQ9qYwCnwySduDM6Plj2fZ1g7uN fi8YNvmiUh/FJU8WiFV8/l+Na8RpeKA2+XRrl6Icm75ctRXtDVRtHYs2mnFfT7hdFIXt+of+2qVe OKrm4rt0Xrp+8lvyF+oEXmyymXY2aGYE1MEcki2jTA0ifEow/mAV23q+i2LuyStMwXvDayGFSJD6 DSbKaO/NchF78od43aYFpmuajkjbriEjTw2q7AD9lcU2yynsX0sRbdDljctt8J8kKzfKMuJFy4vb BOv2n0HCVqUuo+o1pgH4lKCkbcKB0BuHi/WNTq3cZEmRNp9/3yZKYl+4Q0Ufp1zYXk6xfSKssrKx qOZMfRlubwIdK9zeAMOiyMUsSrdnt/Z+88UhMycmo+nIXUKh1itNusDYBjnp/KT7Rqadm33dqGZj Z4RgCS3apVlpAoWUfutbRn1+x1hzYA131HLJwQTABkNO9PlxXNGwPv8rL88GFhj38kWGC7XF77CN TXhjVY+Ei91ys8A+Cy9YoSZLoro+3jnKftUf7IwlTLRr4If8RaCuICtjoegxa//z93KT+nmIz0fw vV8OOWiytK9UIMy6J+JSsrE+LHIc9zBytQZSIVnYDPEiORA6zsCVq/vixf/ZYsjeqUGSgGhlB2lh 5MeUJV8MqBkkp9gCBhlTnZyscdFJKmlag6S0weeD9+3SzHGbAQhBgVDfpLiX3WE0OY01ZC6cgwp7 3Wq33IZbTuYUNbPNWyAR+tHSvJopZz8SHLX5vp079LxdgKgtjMEa3j3MGiTpXegjyQ+J5HTlceL0 ISdSLwh/cnQ9mFWGPjCtf58k7vVzkkJrRWRxVMPRJkFa1KlVUo7lp4E0b8aBIUKkqXLjXs2voQh2 CSKolByGBegQt6rgpYCX0J6P9STNpHPgrYhyXKPHPHyxsdEJ6V14a5hth+aKD92cbRIgIdIVTsmh u2KTRprZBJ6/wTcJ5qXZ+rUz5+uuGKFEUrIqd/li1JjB/z+kzBhgn93SjLuSiM9WJHQqHMuX7NsG YxE2InEMfbEqLq2mTVql8vlIN3b+n5O0bxIQGyyiqV3lJlDJitWLh8BdrROA+22rE5BDh0W1kabJ Crfb1W6goLUm9kcDo6FyvFQxfyzo1kMRwvlDpdm3jTho1mjbWpb1p0FlZHsSYCY+H9W630gIelS0 dNPuwGQRewt1EJqFsF0yzAXm/B/RCcLoHyQMd/1DkcYMtc9pZqTFT9Kpas9/n9hQqhRZJX4Ubf6C oSI3yYSuOMXOgcuiSRecuLyGLzxJDZY5/MRzS1Y+/18oMpUWYOk5SbHg3kkiR87qKU2Mr63pVhoh kPi2dfQbk0I2YxH3oydfbmu7Q+deTaWM6p9Uo7wlsk2jMJ8g5S6PvNBqcYmdv4RbW5SMVvciVYaU nuDWGVotlvnNrK5oEcNV1XcpUrLGrAqdROu8JanLNJ7hZHJOkFlqfpTCpFvA2cUAzsXBj/jXN2IG pkgArHNkmTcjC7SA2mM3YJsU5dzZku1+F0Nm7VKttdQrnw/mSOkb/gjQcN7j2aSow43SAF6KyehW +WaTvJ+zdDByNuRRDt0Wly6t3YYvt8w9ZTQuNfKtztvGmTcyTvgLQda+jMcaZvnXjTKlxkFt377o 3j4HdBbJW5TN54PQXX4JXELFIM/nhQvVQsD8rHI1Q5lbCKghcmSyQ4AOXNndeHs5sFy8g4Bsul/z 6ZkkNO03x/4DMADqq53oCw8UZOpjrEfHoNq3jY4SyUSDes1cbBKwxzJWmn3JCM5/3T1kBYIb8Srw 5cB1EaJt5c4CKLZreDZAwM1MGNQ4x9LmwHYxsfdCFdnui9dvCfzMXiXttNNi5OpkGg0aQ4Pc7xCk NO3rvm+TMUAru91clAZAmk3KOnNlPv8vZhFvuEp/1SdXCiHZi7gRzpTAEMnLGkvn3klOs07gZtou xWbm4qVJUPYxp8S4P8u6HHPJWSloSfWic5aEmR936raSfdtoqsSse7TliwaT50Xl1Yz3p/H5oDT5 dcMBAwXIuG9SaL6ImSRszKe1vDMVRIj30/migWdyhloO3ReXJNSadOSaz55HTeC0wqKYws0ZbZxO 9gz+S4Z6jCdVvwnMlkP7xUnAX222LC9yT8ZCPh3aH5nPR2p8XuRKrAbtl4dunUMHxsmMcqKwOXF6 ndAwLHgvtmDMLiZ58A4tGHE5EZXdfPECDipeaQtqA2ZyZ8aAid5PB1wMw3mnqcmROrRgJCU9NTFF 2p1gEXi55WpeJp+PxNR+WZ8RjlnvDVdC9ZAFXevRFLvnlAnjpvJDFrcYWBI3I8glTLsHy9tC8cLm kDyan2UMCSSOASX/TQZWhyjnhKxixltkuD9GQn5KVMHRsGEODSlBT2CuDExGkYHpXpv/QIS4+XZF oQ/bbs8bFybeC+KX6JsIgpyawUfZZ9Q2gQw+fZv+Rmm3KoBbveZM6BlyFodTTvmzRcEn5nIb7sMl Y5xqhS4Jazn2YtSQKVPveuTajNQA/3TeF6c473w+otN8exKgb1zXVbrOgRsjsiwkda2YkR4UGizz 3rl1a5nskrzQDewYr4rqJiuCiwfvDIXThEY/foRtBjOF4DIk5MD5+rx7Lvu2wSbJa7AvWQujxS4+ BD5B8ikNLvCBIaNgKc9UAPGttqc+CQ0Zm7pvOTmu5DHTy2s7L6u6IHgOHRmX5Iy6FEX6dSZo4IGu VuVMcMofyOgiLpFdVaC9365XnCQec+jIqMwb2BRflAdkCHkyLvXa+Hyk2ZO+4hKaII2eerZJUeat nklbdpR0bNV9K/PayCAjtE0KRfoYlyAY4otVuqDzwbeyqYjD+ITz3zWoh3v2g35xXUPdad82mC9x IsRvZos2Ca1iRqWzSZvPRz2T72Y3WlstXTGIHNoyIhM83307vGQkFy1OUPozeMkFB+TAl/F6XBhX dOXnJOXUBvs32CS0XFntZQgcNShrrGsCUrJtUgwwkf9yuosS74nBiZEgJ5+PMMnforObycczhAud GXEAkGE7xKSZ/iyNmL1nMkbxnklgzZj5I6PTRlkeLhfHBW/JPAhTghPU4GgcDnKUuFDUtoxyL/u2 wQXHtBtsVl9UsOIDpQ+/GblDlMnV7mETiSqBfV1Kfw79GVMlnbaZAHZZaTnQhARMA5o8L1xMhsyv 8IAK9ewBUyuBJiVCizwAIyLk/SeIMIozXardvm4kHCpXq5190WE6obsyRkEsk88H+3RdPlnEAaVK Q3HtUujRiMIEoEbj1JZtbo1wwhvGZsNUwG+4P0waJaQubGAeV9VwQikRloOS7Esc7oHO1k0nHMjn O/bu9m2j2P2bl31dGjEOFegN/e7ApvFX5s0YxjP5bFKUeMPRCDyqbA04VboIS3VZVw5clhuWAqPG /D9Mgc6+Mv/mcmFvcK0dTAMGEuNGlHehnBiYbvPxIaRrLT8kYmwtgpp38kVvHEpdkfZa1pcMEBS/ 2TaYkLb2bFII4oZh7J7uk5Y0LuEF95gOE6aqjDI0azSSRDGf+PbAlgAUWBhQYVAJYWMl3kjxKYKE 6dWFdmnoHdo1ziJXGYmtXju2lBZpsniF0uDzEQb3O+8GUGWwLLZdCnEmFV1Kllxij3bH4G5qWksy vA0vT2LHRgIEm4w/uHhr6dwNAHezoXFuia3W0iCnDkx9Ca0qWbKhd+DZCEQsO289dV9EmDiXTtGo svIsRXl3+U30n2wnz7tLoWljp1WjRWzk3S43spy7XfBt7lkKwdyEaLdqm/VQ/U/gPwX7IAQOkS5V VicD3D84WkPD8VE9ysu+bgTtEpx7Z1+sawK8j2x42uTz/4XLjsK3PWjlHHg3SuASFkLqmkxZbwiz ROFpuqgswCxsl0LNEUbkJg5geziAmJVAHpLZ0sI4HHytDnXx83kc67EPZtyb1O3rRgwumTyk7YuN vVfvnIFDoILPRy3vb/ksGlz264WeQ/9GyquvYupHc1HLjJUuPeJFtl0doZddk8DAEXQ5BqQ17+Jn aVbMPdUQwAmdXb5pJ3GgX/zY1wgDFEh927+0IFclupuLbVKp5nnVGZZCXHdJX2kAfifa0/kmxfrY pybpbm25qgpd6nrTe5Qok1Jdyi/HHo7GBSx38XYA9MR3o03qhOYadEMhId7hkISuCdGM9sKlZV83 eOFInGAKYYvCUutrMPSee6Lz+X/p0HOky5/mKU9CF0doPyNkV/baYM3QzBtsZOaRm2apffpRCjNv EZWSTArTF4OioWxLPEq4iBqpC0AMVIhyb/oO3T5lb/Z1I5CgcG/rLgYS3LprQMVefD5oLd0ijhBM 1ANtticuxS1vEBkkjw05+lmWw98G4W9owRUawGqbwsx7Cbldti+WCaDpuii7AUW/CvMiHKaMLt2C 3Q5mmjdf2v51g0yAWMrZqdTCRZnAgiSzeAIo4wI3x/Nj3sEAL30Is4+nPgntHDHlmdIvYq0L0zCb 6paZfKqLf05JZeDnCK1bWhI30SGvYtrJUsc6uXYnYamdOzoVaUsVGh0AzkajuS7F9WHfNkqXyP9v 0xYTOoY/2zJgF/ABgaHj/3Z+AGehzGd6Eho6osFzKlxvLdWZnW8CmUJrwJ3/yDPv0NHROUu1+OJD 3fNPnetB5hgD01xuUoVNTYWWEKRKrIYbypYCS8dMixRapiZfVJ6shUP6Q5laPR/ccFc3SkJ3kO6s zyZFmTfO+aqCAiAsjeQu6dbWZaV7fXpy4OqIbEntkt198S4l4DiiOU9kCFUgirI5WQImp6fLn0z+ bSMQRVHQ1oIpSVFvaW5yiEANX/wH/sUXIDF+kRf+7FKUeSP5W9A4M9ASZ8/GD0xO5V4ldd+lvzve U4O4+QziIMFQe6684k4s2nMn6flyaJJJq3iMaLp920iYRHob6S5KKYfRQFE6Vz4f5AHjdikJTElM 9+9cIDB3VH0ya3WBpN6W5ZT9vAzmtZKwTZYIxO6O26juxReL3efyQbxe3aBdjQaQ5yVEmoJu926X Rok4qg+J8AFUA4A0py0qdReklBm6G2Dwob3jbPNXVNo/ZEL4JkWJNxoycy5PKSdSGWVLnRhVSrIS NaALLjB4TDRuIUvgLvbCTU6rMgoc/ODnZJF5QtWcQdX4/GBN5AmeQ4tHSUUCZu+LJ94Qe2WkSZXP B83c9N3xHkQJPnjTwOQR92JGMMrm6Azo5t2lYYJ/4IN4thS4PCKDz+9DXcj6lrJkNnvCUa9MAzpE 48lLLenLHot6bTnwecwqT6B5kn2xbKnTBxn3Q9XzEWzpIuB4NYLUPh7P4hx6PUII+NxsU+HIAzl1 /2TUO6RTknyfIrQJji5wXan5coFLdZ/DNUzO/0QmYgkzonaDzC4O8C9Rshy4PYrAjjRpL18UmIDU ly7dxLwysHv8RlMSTwClavh32S59XrOlKllYkJem05iKqUdCsNj5OWChKS695koVIshMA+QOPh93 8BMt4IQ9qZh03juIhTJ2D6RzdIFs19h50ZT3NVOqsOpLZAvm7YuSACijEADR2sLTL9tTMZdVMqn8 Cn48jfLLhRigcHMwpqzz0nKq45VWJfUEA+WGGk9BO9gc2T/BitcX25wMa51SJDO+gMOh60pGz01O QUhjfXeEfn/dHcxMuDvIyW3R7uCr0sUEBKDPa4JUlTs8uyNF2J1td17To/MQ4DBL4sGUP36utN2X O9ARiMndeU2OcHSIv1nTVEeeNBuWantS5v68D+DgcFJAt5WCGqwQOynAGwHdr6lRRQihqAQNfLXY 5uxzbqVxs9vnNS/C1u70tTmYZVbOH7g5r1kRj864Try0EpkP3t3NnFPHDcPNec2JKsQXlF7n6ovP 22CqBb1dqdaCFEKnYkCnGqoJCqhoc9g4+7xmRFX8Q+BJpYq4EA45EIKMMFmFPyDEfF4TIv6h2Xan GNcGPzsJONif13yoAjBJqsTy2TZvH+bWs2YzgYDWneXWr9kQfhu+MLBj88U7bOd/nyCBVOEkFGkR sAVcEkSnYJOc6XM9RcVlJfuaDCHw0Oup9Vl88Wt+FyH7+smrX1Oh+jhgVs2PsNmDvEnszmsiVE1R okqGvnOOVH16VPadHuEgySE02J0ppb8lA0PmCbY75+eGVrbaIS1LDPDkiiB/A9yo3RE8gh7rn9ck CD9klQkvkyAummjX1NU8PwG+fF5ToN+nhxs5UXf47rwmQIjloG7lPY2VlFmaiyYhcLtoEsvtH6Ld Metd7c68u3O2FQy3Id0fIL+WVP7A4OvUEwI3x2tXWRtEL1c2A97ti5cbgHCyzMrj85r61Cf1qRfX DplP253XtKdqjH2KQBten9AwPPLAFdYiT5LGH9R8w7DMNyvn7MsdqUGyqGwBkXEIqZd94j98QTEI zI9JOidUn9ecB7/AFDqrZl+svw+7HhahJ2v6vGY8/IZ+abHZCMTqKn52XluNVbkcBONNzSaTiCli BDhC1viAGiffrNdGY3V76144d+TiVzosaiG5jt2ZuBMJ0+6JcFUwJsucF8TWKM0W7Y5G1oX9My78 DEyxFj/wpHZ4+n13RDXxuNOJRbhn57XDiOM6UVpIvBfZ60jb3qwlLgmjMgMERSSihKfLpTBtXzzu oMqAHD36i/iHFtXoJ+qWAc5KIYjKsGv0LnrtLlafoAH/5oui8tnaxNKJPaHX3mJ9FFgtHYSvBjkZ SgejZHmQpu2EEVg/OKumSzmK1KNWLtMv2p9lqLXli79bxDNAKQnlKYjCmXJR6GQTVJhJzDON2ibK /98vV5WZY3Uw6vkHcjU25ABlNHq75vp6u3DroPHiOxRlzNRA7dsynJMv1+1pDzUCGHwq6gOj1IQp M8OOQERcvBeEtwp4L75frVEw5BTwsAKbVJSQgkMV70jkrCg4V2keImfWYpVptmIYdMLPO3+NSbPf XdhNXFs9j7tD71kzRrAos/YwvFpaV6cFyDK7vM4R8B2K0mZ5601JsM5HgvVEoA1nOdNCOqGu0BCz wFnwFBWGP7SaQrV7lDd3nR38GLYYtB8mA2oDgXH0njhDXW1/B2gcehDIbIOizBnT1nUOjpl/V/mn Mfdp02fUGeMoA4UEIbpLyEZdfC4X9Ai1ZRk+rPNW4UjhegcKqxF1LtdJu8CSvuz7S9ZloLbljLFd GvIUz4VSn+ePBTI0TJ7nd2kBS4zOe0w79J47C6m4moB7GC/W23Fd6+JCMYPyjmu0Q2iPzYQpqi3a IbQyhgTE2Uo8fwIHRgNdH7jzyf12UE6rS57lPXnWfALyNctUbM5fqzN0kudJ7DoEXj/vpDUmUOPr DOU8BMX1LYoSaCBH506mhjR3dtE62Ci4YH/qyWHY7xk0Ij6r0py2L5Yj1vN0n1TfhAkfnNPlkIU2 J4qwRY85dVvpzPNOWcObjJZPrphz26Jmay9ZDgHoEXzeGWusFb6TxAzs6Mjk9XGHwiR6ICGp5nB5 XoTh/JkhWh/G97s277VGWbRk/ZYsL7jYDsF1dxWWg+cQQCGwCDa7WVUAMnvj0BSrL8qimyiPRhGu 88miF0d6MJHYn3e2Gs5Qb7ZD3E3kUyPfIxTl0fAcOmVj9dH99PYGbKu8M0ain45QlEj3JWz6Hr7c 7g/IlIty6ycfmRKugcocpFdx2WvmKe2DvfVl33doTGmNSSt73LFGQfLAzzt/yuedqsYfcXydIYo1 lHbj0HsuLaGRVdidJLtoGzkEpoqm50sJDWcXRcn0UHK6qMbCxV8ybEZbPEIdZumVHmK9i9IPnGO+ tiEaabwn025/BX0gX9QCSpPGdejrQLImyqbX9iq+6LkKLvbtH0b5NO1SUzJBiGWAPbbIqImvgQZq A+1QmFCzabrkQMPFI/U4L9bCt8PgGU7mW54GAHKgVF31GY3Nqe/6xw6dL9OTL/xLGwYMU9MMoBqi jDrX/BWowW+iiLq1EKOMGlpW07REgAXNzW0xSp0G20sVsuLqIYYZ9bBOR/XlZtTnYk+cB06Q/MHz Uja0WIH9EMxrGlq0/n6np1nGhTLVilbzTYBVRDL/+Fny552dxlShfZccSOxL9XTxnZvmFVmmQhF7 HSsVQ+zV0twVY0wHEL1T03gh6gZnl3WkBz+098kUIAxGOhFdMxfN0dGtRrTK6zqrlJSHvmzUoqeU j6DpXIylcE67BmLnBfi8M9OqrH2eHcIRrqJccYeiNjRtrUsfNg6D4+KPW6gZVLbAgNLGYe+8tFvS z1STL3aE2q5pUISYwM/z029ZfzcIrwDBDdK249Do+vBOS2NHjuVqo/IhF4UTkCOlvpJr+7yT0vAd x6+iDFOVVTxOv3PSqowcV74y0Exv7QxRBZBnaJZlg7B3SpoVd4BXM06PRzWr7Z3QB8s8Q/PUiYuA /QFKz4SxFuyrLiKGsiLvjDR8CPXoM2TDbbExT1m0T/4BIerzTkizvvtzhmgDupcnQ+98NL7aifBz SxdHSy6UXZsLr8DL3jLqdzoa2tFSyN6SXN+Pv1NGRKUmF62be8bQB4jW83/SMVLIhO/qLStUz3yn o/Eto3QPCkdbDFdVNDpHYjU/72S0qrHoVxxCQt3G3aGwI53JRdvF+maUO1dCvbujPCbxl4xDUUu6 y5Yn1+6LF/YLQui9qyXdU52VDXtwyOGyBeeQdRv26LZ83qlo+BMp33NC5fbFdqg26w2dI/p5Z6Jh g7NfZTwvIDCXda+y94QaCrWIQ9V1ss8GuVbWIvKXO7Snixu889Cs6Masp9/FzxBcrxYYj3R9ODEK s4y+1gmbE36ymRNNH4gtfdn3OCRV0Z5Jaew3az8hiQ1L5Itpf95paPhb83f7bKJ9xu60dijMqAum Gns6+vyeoTGkTE81MTDvtEPvGTWSXaTSu3CHuHg2tGHmhDkHTB9g8shjhmkHSCl8x29RVoa+a7BB k5AzZkOnsIdng16NSo1ApDgnl/+8c9B+jX0I4qMdR/bm9DsFjTdggaio69FPYYTxliGYGiFm5uln 6D2jRusYO7ToeaHFoYsdnrhJ6dD5nFaI32Noq0Dtg+Xp3TMy0N4JaNa7aBlkZF9U2CdAbfnigID2 zj/D48tfMpNBYt18z1CUUSO/hZzRssJe2zKEOh/Wv69szTFSv2fU8mOD1yctC7nYGTqfv9NqosSe XCiZtSrcPCvu6LGuEx8B0p936hnPEMNQUbwuBorAdAEiJz/ECY/PO/OMFbonjPxvmTkkL1vfiWc4 QpR8YNhgyUGoOIuyuacBzfK+LmHvvLNbtoIV5YsXZRhg9yLPh73O75iNos5uKrj6j1oPCofPO+2M 8y0miolVa3dgDYSy81zKhkb+vLPOqjDx2iCqISMJAzvQNigEdQwod6xtqM5TEjhheDUnDKOzb62h d84Zb7LzmTNTR12LnaCTqJx/s5BzVoEPpjvYSXsALyMXBMlQNwZM0XeNNohRqBNCxUXvWM5GF66n LP68M87qo9avDSqobMTw5AZFCTUs5lG0CuGK99ml1qnKKB5sqz7leOebERPEzhAoM7Z4Z6iBQg2E KpiLEztDIBrHYxBUaw8pj14yn3e2GRM3RJ9S6TfCRb0zzISbTlDnV4yi9HdNhlelPB3qd64ZNmjT O22abhjjMRWxoITiCPPBTjI36D2fVtflnIvJfJqLnaBTqZexsnBlEBFL8sii9mxnVZ9vDKIQxjvR zCAA6HVvLdCJ7OoMnU/pYtrn8nknmrHx8Z0tVumW3R0K8+mKftBYxhHumv2QSj0cg5839Ta5Q1GH GjNltKYxKNNiO3SOzDwlZadEXzv/b2LmvaH5wvrGxR0yZkL8psH+qKEMQo8tCkHnH1UJCCjA551h xmQ6fx8gcKdwddj2vCfTyL5AUVTbnnwXa3VgxNHMD6OUaxjyzi8zSBz+6NJ88Rh9duT8f9Kdg6Z9 EyNmMAQgHuDtUoymYu3nnV2Gi5JqPBDO8MU26FRGMh46H/d5J5dV2el9dz1gJ3tv+XduGWc3kzYh y7se/VaswyBVEKkehmF4p5ZVJ7qsRrA0F4clTgydAVQA8o5+G0TeFTk8bapJXtYUccDv1LLbOBPo U4tNydA4kHohfsT3XBqH4Xdf6MSE0u8ORbl0a9BTTcYvP/9reeMsVa/HTh3TLZd+55X5LXauaTU/ qDJ6LguoXkDOG20gVRuntCR/A5NFFI3oy+GuVU3fOUd8p5VVF8FchSzzhd+fg5t8ruFzIpkgnw/6 vNPKrBX/bNECq5JDTG1RmEw33F7LpGXmkoEaiQm1u5pqxxhLWxS2p6kTuiU1w+WLl3Aq+EIM3gbY Txg8UMM71BYnz5D69yXru0ZjMlnMEcV5EsRTek7u0Pm3BltfYER83illnCHNrx0arHe2F/XvhDLs 6wYduI5urTMOqpgrahpIBOfGZEg7FLWnSaYbE2oGtvgOtYRsurJkredHA4rFvC4HvBOQx9xDRCTV O53M0pKTJRZNXMu+rTOUd3xNzp/9eWeT8TvWXxdZow+2oxTDbHojUkNrjwhgGu2aSngdzt9M5BUS phhm02b8zaH9EpkmyesSJnyVNKlz46CCzRSZ2xQJB7nvgdqz7Pm8c8kISGChIW8nvLu6lM4lmqUb 0XOdn3cqGd9SP0PcWPRD276AhncqGaf8iEQs5yhXiL4g+Imndio0RGckIgsF3cV3JhlfAbWECAfm ciHkJzMkYYaa/BQUQiTCNJYs7ZkuhJwmDZ93IplnQ6BuT1+E+BjIGeR+1cfnnUdmjYcHU8XbgVNw bVCIkwZrYpPrDtcCJnrS4yfFjyjyjG61naGoQQ2aKpAMtE0d8ndVYwikTdAb6YAxYTVA0wIIXE7G TgYiEyxGz/nzziLjGIe6aVlqjtnVHM/Xn1TGAeyRj/+rdSYzFfgUdm/hv1PICOjkdT/1boGuZr4O A0FDjrJ5U9McyjvvDLKbUE8CErVYPnTiDTDbg/p7QDnzvjsBZ8DJEbZ+omxKrZg0hHcGGft8bOEv bhQXA57tJvH/U7mUzzuBrEp69bv9ipOY70sWJdSYzzwyaecqc1zVSfuqF60dIiCCS0cNamnwAgDj y23hVwgIEld1yi9ogV99vsZfFd06q1qpMfB5Z4+xamWuKIOw8W0QRmwcMCStfd7JY5wAeKSmAgjC PmK/7VDUoMbUfC6xEcB8LyNbQrTXHZQlKFDwLnunjt0hB+znfbEztNA4A5+BiI8KXBWleskkJbXg gb2CjMPPCDaIrRJa9dliV1mHIBuLjj0+77wxK3qtP83dQE/8C3EfIj4QKqfiEMgJFKaSYIPjPEuC 36bGQO+0MaezzAQrEltu64ylhup62Iou8V7RSqpoK7dypT8Io/+8k8aqTDWIybuL6noET1l378LH /zWMxktGd/R1h9HvhDE8hbqRrkZCnpGpw6pjPhoymebmPEJ/59R7EFjOxbuv+WSIczJhBJsFwFWU ZYD5VBTGSLc9ChHd+U4Xw4/J6c+kJJYWK8sGTB0Ye0/d+s4W+wWryhrX4wuse5WFKTW6r43JxP+j /m1XMZaBYjXF9MKfmhJp7W/4tAQ+tdgOETPd6Dw0wV0aKt06W9KF6LFr5EgfWnxGcNdneX6LnVk8 rTn73ht3qEL4q0UZtTs5KQohUpZ1K/sWZtSZ9Xy3xkcuJtgM751rlgp1DovTUXvaNqhpg9qzQUB2 NKBGqbdbUy5Sd6beK1XE0M90X2vF6RDxwaZQUeFabuEKokMTrOpsFB4PxvX5e9QKhV4QR53ZEmXU oMmeHPFKEXbHUPcCt26TZ+jLqS3vGTUyjcSeUN2+WBRq5ezQZqCH8MA5o0OgEKRtDZkLfUCWmkNd 3zWYtA4CzmQDsq8NyCmxdxEns4yKxwP6xm0OyfURTZJy7/oeNqgZhsQ1xBmieJu0GURmRTY0R7O7 vkeQj4FXZybq7WrRDk04uhfSrADvhNiAqTcAKY2Xd89b2U/qV/SoQc06Kk0xOOZlcMA1YwgTU0/J 0aOEOtXvZGjAw61f3FmPG9Q0uNzuSpSrY2KgcGDJUKYaB+J0jxrUli5OOcrMByEMAbR9fr0qs7Se eNhO2Xoq1MkWY/3iP5Ng26OEWiVHmVULCMHrx1Dmag+dirng+YB9+AuHT3WFTjSF9ijkH6IDm2mt icse4tMXm5ednDkwDeBl36OUepL7PJq4Uq09ijo95QqrBuq7n0KBjqFICEADQn3R1wXnSTu2Ryk1 pSsAkci+WMJ4fgTZNs8y8XhE0PxuflQEorzuaxYxEIEgxy1s0LPefIfOH1bm7aA1MwDrYUqtHmPa 1ZebMGYwxDkow8BsyJS4YBDdoIaCEY6jF9li7FGXGp3OlinQisvx7PRQd+icJzohAgOOx4O77PbP zOjxp+ebUfc4o84Q+CrGnz8fPy5syCUroD5spoQ9ZCESm8fpoy33Ljt/fR3y/mqzUmCb9PmMkVr9 oSuRxSGEFnxGcNkL6gG5U1vUg21gKmhQhkgdZdTPS6YThAviIs962KXepH2gnUk9pkYD68KDC7s4 WoDu6oV9jxNq2VjwBPV8TxBer3J+WToQrLHhlEW1CsgxTjSlwFp75Cunvmz0jpGgOcZdrGxFqFPN MSYej5Bn3y1YcA8ab3zt0HtGDbILdXN4VaGRRqUt7lDXtuDCyXRjYaSOMupthCkO7blYFDopA6Aw ap+deIZhIntDICNCqpI6OGLbJTqj9ahJXamBvqjQrIWfkc//LnZ/54nHg7q+fUNiSJAApdo2KIJQ d6q0tSZdgdnqNOuBfu5o92oE4sTesQjw0VlrzC2x2E1iRWUHNsFmYNE6fkJpDjICKDMHrXa7qVZa FEIjDR8SAc8I9Wgr+WJHaAAGgL/wBFE8/l/AixkglK95fQ9TakCEdzbk9CkQoJElO8vezHggsZOj tyxqUi/5fM15F28OwRI+y6Rprp3gTPxDEUPIDFIbjodoa1o29GWDunWJS1a7L9qiNCjxhekb3rKQ lli/b7KcIKJaxuVDRzk1lNZW4QCZfXwq2SohkvcHlE5SdcrUiLrUU5iPQp0FLrZFJ/RM2H9zXHbW cxKIcATAs4K1TaCl2+pkfdegwcjOYk+S9kzjWutluDWofZbxeESJ/l104DW7Z2hEKTV66Ktl44mf W8G1KnFh+wa14i7EI+xR07RiVnJ9uFgc6sjHM3QmcIZgw85udsKEsYMin5Epu5IXvgc+JOKME/Sx CDeHLtXPsFkQDMU5C2rYorBL/UuvAnpNPWUP1SOEUedKwq8L6NJxhf2zmW7/DA1P26KoS01E66ka puoy3vZwhIPEEjD9FBXqsC6XVzHvsRNaz3/Tn9v+/CMDnxHgOxu1ycDi5iIBpVP4NnZUSLjueDwa dHwHIlymX1XHCFEfHYi8Xh2bR2FsIc+KVx1AfRjybEQZtWAxO9M2drOKsQ3q9WxBXjKJOelz5Sik MwCASQJ5LL/sTyY48Bl/oRq2RBn2FWUYoDtOdWDHxuP/JQwB0o6X0jcoSqhRTq2ScrGh/Sbog/mi JL1wglZzceERJdRTgjBj3MXzxQWQcy8CL56/a1ZJCgINAykRDe1tErTsy0ZhiKX9TOYPc361rQYa wIP09Mgl4/noDP0CfuDYUhRCWxSm1Ik2ccU4ZaV3l6SSPZf7MWXVHCNKqaWZM/uzeIfx/CmnaJrS NzthqVLGAS0j0AjPqegX+EHvenxG8JJtlvaJs3suKkDRhGBL82SOE48HUWj9uspImLog6hGCqHGG MiHIPEN5+Rkq3RB7BZRTl/aIQNRl2+HJvvhNBievVUSYAtmsyAOclu4FCATyNjUq6/Zdo1EZCVP0 ZNZi6EWgKSQr0Acej8YctzvE3Gmzi+8z+/E38GOXYsCPMqwqg0prM8ZUri5OPaKU2iDCm+CGxX8P /+XZiRNGMUiV7RmEuwmNaRDDqmi9zH53CMzpjM+IsiGmQaMOX9Q/OxUoh2w4EhuP/0scRqNZsF0u hnqEKTURwtOsc2Ho4dghGf2QDzSLG1WNMKWWllnRO1aeefR5OMP3jMkQ3OYzj1BplMyBktb5ATxQ 725fNkipTUJQ/kvoulrsOO+YZJTPTi08H1T25RaulBdCVa9xCz82zKlpfs5GC+0qh7kvIg5ZEXtC NUpgxaG4TU1YWRXfpT58l3RSwwacM2XrIClc2B1C5x1a0ph4J2/kb0nohG1qSXwgc7BFeTAYcOJH nxcOj0fNj/ueUQQVTe8xfdQxwz416RykHBH5wXJbyI/SbFqWF0WCUJnNWBmP2DMlzFwcBZtP/jgh cU8U7AZ9h/NEVFmgc5DNYQg0+nfMEPghT++Rly+GQFvQbWGe3BoeD3aofXdhoVuIRNM3KMqp8eNN eJCrdO0YTqsuo+ixSteCoSjrshm2qRO7sJsqFVwuTDiVhSyI79kpbZUyoev4AwjRz+jXGp6zTHzG H438kymyz8jF2kOrUQCIAjt4/F+kMmXfDdeoNxhnlFEDv0m+oAUikvnlUFmrn6AO9g5fshkSE8WV SpzZc/GZPaGvnWSOkxCCM9tICDqHB7Mhml9ccitFCGbYpuacf6I7YIu6H6hqupkIVDz+T1QD4jr4 Lr1cmYYZ5dTo3H+7duzsnfw9LyMInkmmVRV3qQk1L3P74ltER4FCOaaOC1//JSVhK7pKsKdw48W+ 9F2jMETfxbGqLwodFbZULFxnyng8YnN8N9AIkWgXXDWjnBqAaxC8TQhupkv/HZK0IFlhua/wDImJ kumWJdX8sqSChGnBQEs5NSTB6b2MBxrG0VlqkALjI63BhwRvmYbRmVXHzF517BMDmOj+oJuJx4N5 4q/rHnAmOmTYDsVdaow3pOwOlOcuNrTvK3X3f8vqNmKHItyHNJlmkfp0edSnz+efY7rpjoNiDIqs KO0XocIFx/ZadUzaLc4opa6yfYM5jC3aoA5HE4ah89fg8f/SQEMtgaa5b1AE+8BUb072VuBmMuu+ sI/ivY8E2INdZFGXehX17ylFwMUnQecQDTlPwiuFXlSIAODOVdBEAItyQhCVFmeUUqfBDuNUF20a gvGUxCejJXi7Z1xksdCHI4fEcTh7ky8Kdoa0RKp6lWUSuJX4VekELwPEAvbh0twzVMxTnJZIw3xE GuCLB1gDrbvRwamDbVq8fTAUO4dhraeLv/Vd/+ri90WTRS66yM4+127WeHw8gn18a8U0pKnzahDM CPWB1jYEb60oq+Q/ChfTfVJGypRtUJRRS6xzjXkX36BJny4aKnSGbGKtQaSCtEuDKne/TWpizWeU USMvZ/S5iyGHTjUsIdzz4uLxCDn0DRPO+FHyhXjOkJWIHvWJN8YpS9R2JDyv9u5la0HOoiAU6nyI EbTJh+JiudCAnfbJf0i6wxwI/DWm3NJlQKPab/pB8/cZCucxFyrwfrDFwGcL8xMWEZtfMUoWv+8x ETpuh3pF2TScAAAPMFHKpSBEukIxAAga1NmgVStCfZhUTE3DF9ugVPr57zc1OzE6KW3IdGmQRQhB 64vFlxT3ClEfGxuU2YbVYrAYqgHgjz5nFY//Fy0d+NdwfmMbFHaoOa9vFpBXVXeR8MW6vHk2iBPn BoXZNJ1w57RhR77v2Lm5dqE1JIRQEgajk+8YQi4hQPtGadpF4DOCxgeHrWwLNzDL6g+LjAz+gyh4 50sXPB+8Y+UXFH/SUcbD9Iph1NT5KOaoWJO5mmPIUVwTFxgU26EQ9cF5/ZbqPayU8YuyNbQGrNKl en/u41qSbHOR6qP8m/v2X9lgxWcEuSLDNOdrtigIncyUbErmnnj8X9k0ITR4vaBIahsUJdPQ+DwV q3HJztU1PExXcVwp80GXFW5QiKLu+fUeOyX9TrtW4jtpRakekgiBwHAzCzLdPELPVtignrzha6u+ aIOggC45prorHo+CkGdCxEFAUJTUS9uhUHS6gpPDa4Dm3L27ZVmmORVToaIO9QnTK6QlWjYtQ4D5 GAKckFQhQkvIx/mtm0xvMpL/Tm3Mcn3emUHhM6LembBn9APgolxxtblJV0FDDo9HqZAPgfAgEbD7 JtMrSqbxZp5csVXLFfdY1hfqvVZDDVHAnLniClU+iNoEgNMXj0Jro26VACzoQZwToTIrEPyZUPlw gdOSiD1bEeZDEg1A2PhiZI6ZNydzgP7h8X+hhrAbsMMlhd12KMqmMdMHosE6+I3irUwWC4HuwnxQ /opHKM6m5ZRQmi9+hEBpwSzIKFM9SZ1yAYGFMJkpf6i+EJEQ+JBghzq1uUEj4pKMEHRSoMZsEZx7 PB7x67/bHsBV0TrSdihKp6HKv8iLYW+xVqrq4AzNbPCPky3uZHpMK9bNI89lCfqxHgGCdK6rorf0 VPMnH2k0n0iYYHaAPUd+MB9z6Lv+BfCcNMvQYsPoIRkpSgvj8SBO71+DRLgMjFtvrBBEPSHywVoa g8RVU7VB4hCLk4PE5cKCK8Z8SGyoVl9uX6gAeUxbCUDzCGKAf3Ij5oyVTXMzbvj08kMCX4lNTB5o erYoxVsnHRXB/mRbeDwa16evIwRH7TqeIxSl04sC78vJrTt71wOcJre8hTaEiXTHsnk8O0UA4HJt gFg6oYWXqGFeNgiFlPQ6Vz1VZjnK92xoDH3ZaJJIMhngo7YoDCEZkjDg+f54/H2HVvYdqipOzk90 5Zh2lE8P9B2S27hDhNGTIRPq7r/EhnbISyR6nEAaW27zdZywAeluyDHNshcz71w1UZuWLkpFmN3p HeXTqsiqzFy5GLCqgofNyDL4eMR2SV8vGeY2ld4W2qD3fBoKBKBJ9csg367ycaKETajROHPC1A5V PmQpndNdLkaYEhPUaAB4BR6MfMlOABh05SPAyW4yyuDvEEVNLtlYbfiiMLRB52O6d65NPB4pC35L vQMjCC6X71Bs3zJIj3YRgu0uCmi5J3vJWnOfxB3l05KDm41pNRfboQIMOGzuCRraOaODirbHkoH2 D/QhHBKD2xufEXF/qe+Bmb4tmkVjcsISB8gJPB7JfPySyEVgxEttGxQ2pxvFmIppme9tip0njR/p xmlg3rVBIeBDjfuW7+L59ALUYNGqHT3qE7Y73zEUb4Dl5VTmHQHxJttRQr3loaBp/fZpPVKomchW OeeV3zGSXrxyVbdqhfaebVGIoS4UOs7m/VcUsgeHZO6mABcX0/nYIYbaIMLrLg75qDMDUZa5RYTD Sq+KhNZm39RaZzi1+IwIE5PfhCwwaK2ihJ26Ho8Hd/0V9Bo63gQa+wZFCXVtZI27bN7ZILvrz3U0 TdCrUHhUGxQDPtgRgra9Ld4YQqSmpALwDJgEsIHdgBEHCwNSdxeFn+27Ru17aqAkijJx0Qadt0dW pBB0xuPRBnlVjwcpCUCrC21QBPgAXmtJ3ZgblKzLiF4Hh9I4kaPfOB0BPobCT5ccfn/k8BdsBHfi mBUy8Gmyqi/w/MHg+GfSRUqD6N71XaPmKztCTUIfjw3pbjtlyxYLHo8gQ99y+I3Sxbdm3VE6DYVu jK5MbKg3YyKemhU9e0nC5exqTDvEe4iUOImq4uI0hbQg1k2EMGj8VYa3HfCgCntEiHiZFRBdd/EZ QbJIKEwCLMsW43FMKhiRcjfw+L86Z+LSQ4kJVbLtUJRPA0oCudpkfCnJm/IIyXWLfCngZEhK3DHg g6nN7sOXG4T2SRGhaURSYia1CXZTqGEwfqVNqoGq9tR3/Uvngy5nttgOQfhBpMTFx4O+xy+td8Q/ spBtg6J0GnJaYDIrc17V4VWAyw2XG0o0xeIRitAe00iJz2Lv2Pk7zqvUk5qvJwIlgmYauIkVmt1I RD0V4oBj/63yQQl6W5QK5U5Re8CNS8PjUcm6v+oNTNzLvqiznEKwhyw1myuZI/Q42IOhabuxC/se OcX5tAAx5LpwMSrHiaDnH9ikcpwNWg0YvU4AKNwsSUy9ztqbkkz4lMhza4jmQtolJrayxtz5fJIG 8Gcf+Q9EZIX2tU2CEd4OLDWBg1lrsfYQ0B2zoz3EbWprVZPET3AKMTnzFGbVJIb3rkjTHzXqgQov ycR2wnEErCwwXs67OdHi4QVxnUezfd1gm5LwMMyu1/WmBnKI7fVTrbfG54O0Mf0CUtNX5l75rEnf UzEIoqxq7WlI5Tr1rjbrFp3QRktM7VIIpX5Id7+5d3CvP3uQBfzohS2hTrOXn8GSG1wXB37IeDTF BEVxFTjuSPn8xJzVn7J3QRj8h4SxxX8gQHrWXzU+mi+9X3uFFLarTxG5+qD9Df/XdQ/ILY0f91dY 7q+QQo9Eqpx2wRT7fIS7z60OmgrsbUCA3GB18p1jiYZTzJaoZY9l29eNFAnkq73uojL/vKxLc8UM S+QUtqwvhgi7S0ox7NV9l6IMu9ANp2dpDUEwy8RjBnpUhhhu+Voip6hnPchZwJTPF4czNtj5LKGI MKXEzsDP7eRFE/B0QxGxW8RWLj8lGnxwMD0l0jTdUgYS/ORcUNGdzwd1SHEWFfWGcjknepavCB4l 2mnDxESABloKUH0R8kkbogUU2UkwpBfTLKdYoFrcDmN6PPt08qFcUyIH5tyhpDjjpQNoeLAxW/nS qVpjGoBP+YM3nRMGbbZY02jRgROvUNp8/n2favkO4MRflv2EplgCJHPs0bVLU9U9By94/4XZm2Tv ysAsSrcnKUIDRZ4t3uA/5wlsZ1EWTwQtlf5c5yL9IcIxo0FioYkIGH5KJNc0rhyaFu3SRB+aceWc MD4ftPjXbyYMEEiXGJxTlHInGkdDUQHN6kVgv71zGb+zACHlWuOkuIXdNaTPvvg1B5JBwY+Idy6h jd0JjwUnGaKX2BlLmDBN0rcNxGQYmJr8oyXVo8q/yw0T0sqNz0fDxm+lajiNtvaVC4SgkDnZ5h82 jnWvBTggXGRahSOEeeFFWiBlawTShy/OZTh1IQDnzAXOmzek66T+EVWw8sV/mjlyiu1fJB5X76KT VAD8lxleG3w+eN/qdxMSGIgx5vO+hTjr2X7kMS9cSL4ShIlNLMmBAGBpmxR2stkZYbpui20SMFzn Xaj0w1s95U01gwSpuIaROSVfKehdqJPADwl6SGyNoOnoi3LvdVJ6Jk8wPuLzEXbmGxoit6ZLG8qh qyL16/FGqM/GKZwRq1Ts4tNacSvgHNgqOvaBbji2eKPthKRCTrAahkmmcI0lLmw/93xcJ+kknQNb RdMqSLsRjc7FR0Y9i1qVYLQd+iqO/Q1+QAOip6uakkNjxQZ6Xt7LTlIu68vz1hVRMUvyTYoAIom1 606E8XHRJsEAF2Rwwq2Rd1MTHpqxGO6wEQmNCofxJfu2EYVxis9QfdEmpfPyTnUjS+XzQc+/pP9z kvZNAmJvRZpUzGTyRKf8vPJEbbo/J+3Y2AnIobnikqJupi4KFx+LnCTi/GM0ToY9lYmAATH6UxbJ nu3Sh0qzb/uX1N7IVHIaevfJHzp3w1bgroPPR23/b2u8gl+mpXo36T3vhpYE2iUrL2uXSLIa3YCW eajI/ehMmrr+oajlBmGZDAc0W+wkVbAkIeWETTq1LhQrCCUBjR14R26SyVxxgp0Dg0UDPOZCpXgt PEnAvktQ/9ySlc9HHZNfWCPqq6bnJMV6ezCLaFU9pTlpE8+uW2UDgypFDY4O9rqFZMasYNSSL95U gqBuzSKinYBUqjrbYIhUWOUB+eXFSZc/XuiyuGhwDx6jlmlHqbdBxO0PXJr5fDSm/eXUiQJ+PxaC gcsihmPgDGtwhKNUbaYNMAQjVaHITL3vW5x0s/Um6xMutkuQMgBlj4CaghjLN5M22A0Na+yoByXb pCjnzupOou60RZijU7j1rtPRK5+P2gHf1xsIpHmP530LKY0Dfe1atnGtSvUKrkkJNLO3VI1rlUOn RQkYoLvti0+zASpL6Bagzk0YktAYBiOkkwKgQhyPMQzRoTn0WtTtD5lmX3RvY+flaHpSDj4fhO78 W/AbzYX5vHChUshidWt8WOCZp6NGmHuwA0cbHnUDArvFE5WynOCYc3PxceSmV4xeOOgIJorxpkFm 2kZGtx4NA/+2fx4l3C+2+KikDEGPpn3J4CjNXyKgkFjHKNo3Kcy5B1Fr1bifs1/u52JzlCjagfLF NimGjnCcLRMULt5ZgicdhkpyYQKCilKyJ3b/8OqG1uxFH6VpXzeSjmc4gvCFLcoCIBGshsdcmc8H lckvZXSIadSen1QpBGNXCl4Znv9UiMVRfluTSoDYwP3yXYrhIwL0U1KGyx3cDpNFAMsaN+jW4BYh HHMwaoLa0G0l+7aRFijzyd3uoqO0UppEQp7Xp/H5qBPwPRIA/OlkJzd0h8aLUPw8Wc7teMtlWQzQ YpuE5wyllUPnxaXRbeb7NvPzvp2qFlNW9O+gtl9LYgcqTWwnMA5sEqp8E44th9aLp7Qh6aqKgkVD BKKQqsT5f86Fmfl8pMR3MdnMHekachEAOXRfBFIcWHUpzkBBxDsBSx7mpF/hKrFdirX4JEksWPbI TxpQEMkHRycg1azBNAA43R+C9FACOUFNXtSh/aISyt7Z9uaiXx/i0l0zxzL5fIS0+fbJLQRiXhWs HBsw0mt5L6Ef8VdUO0ooJxS6M7WL9b4FDoyuajCSekvpys+cS6Sct28LBTAhzDcZlRBgAPYEAjg7 5C/XZF83yCjzksqcfAYhzs1UucDfWuohe23+A/+C26jffX4vjER8m6K8GwPFyRmGwMdEyjMszVwE ljzBm/If2qYQn62onXXRPXm37BLTpuAcdbE42OkNBCfIGYKD0m+dS6oazQveryhhIkcyY+FkdAYQ 39h76yV3Ph81A77bSugbk0HkuxQ6x8AapW3HA/RklBHQL5YDJtLMXucGVoySlgTLqCdfHD46Icor stEJUKP1JJM4/IZQgQJY2ufdc9m3jSATalCy9yapADEhzvtWCUgGAIH/QDQVWP8rEajtKU9iN0bg bmo1a8pVVlvefFvVFTALiEu2SzGupKvNPX3x5tvAnAxdVkbvc4iINi2YRKBW+RnN+0qm7phDO0br BqhzwsVmuhSXwN/da+Pz0VH6pkOAWdD65WTl0JARwj6wzjXsDTW6rfnWx22ZtOcohfp8XaLpnFZy cejEanzjWOjuBEVidnqRTVZM3Qu94rpmutO+bYCdUFgiZFuLNgmWE8TPn03afD7Ku38J0nAO83Qo Q09GsO/BKLNCt68+HF7iMs9UwSy+SbHotUq4VH3xZGkAYVWJtT155/nbOByv7HuAVbCuBUjJtkkx wAR/FgRrfRF8AiK52+iPk89Hda6fJA7hmHw8Q7jQllF2RNRuYJ07L4CiQo3IFXmrIUxy4MuI3WY3 oDKj5HLhttDqwbAPIJy2AFsSgmICpWTdScso97JvG1xwdGyip4gtqnNnUh9Bxow5cGYERuW3Th+q yMc2LgfWjPCgYeHmu1TkekpXq2rdpgLU7w1KMQtSb9G6y61OejrPsxswycZeNEsBRLgBOn1iyGC7 ENlS7fZ1g7PEFsKJaMsXnSUIpxOZ3qAPnkN7xpm+Dc9BGm70Etcuhf6Ms2RyjNelsbUbuqcDkzPR htylPwwaqTurpInL7ZkALjaKEG9MCtgxoPQT92PuZ+rd7dv+WehO6c/O4aY7hXJuP97tDiwa0b77 fuGInEvXqSCHHo1QJYL7pkWlMYzeD5RXtaOUmE/YJkV5tzjZq7NLy8Vxk22cQm3K3qoh7A2R1he9 ZE5S+HgQUk6RHxJAA2DigYTyLnrhTrqVJaXfsr7kfxHsg8MpLw/fpFAG++zmOme0GsQ9NZ/AwXLY 7rfe3Mgyh0aNUjrqcq/i4mPKEzGAzaTzDoziO6EBEyPHCRh1btdQtySNvEOrRnEitzziuXh1IgvO 8wqlwecjQbFfJmDnYI38JJShWSOhXVlvWecIOtkubREkRRud2XcpTLspsTrkucfFo9IpQiG6I5gJ VGoowwKY1w9mcyaxqlzJRt6BX+PJKEn7q5O+MFxElqCmMHOlyrMUpd31IgT5dk42k2/sjg0bG1qp 9BSlv0xv5p4ydrYDVgqVw22XYjXsoe2pvthZ2gs6FyTGzVNOAN2L2I3x988ASDmv/ugd5WVf969x 7gB5wRY1TSDr1WS51yaf/xeJHU/S0ac8eUDg2wgW+6BNvNhIk7JdRv6r1eT72Iz1XQrFRkicHaic bfHiBGE1dSZLu55ABO2Fjr1CpYsUj20w492kbl834m5NGjuQZFsuyXZXyI1zlza6JoF3Ixre35LG NLd8tMVyaN4IJCGUp/SezTW3s7eGHB2B4tvsMbJpErg3ArfKRsCgIg0XP0sAgaZO98+JrhMO7skD sAwUY2NfDwwAw/VtoxuOKeWiXsRerhcBW6VaDIkz+HwkqVG+0gAkqBV6r75JYb8bxIC+HCQI3rmD BEVVIvptPEfpHxiTTeWILglddQPAJmxFL9zqgJsISplwo6Jpsla6L1xa9nWDFw59/NRGGb4oLGG+ JMei8/ry+UiE7da5Gtrht1m3lxt6OE7yJzaVi9FWTSubMxhIs+d/bjqldh+exCaOxI5Aft4XL3RP zohIyzcO5SBAV+h4A+sKP8ZMESLrU/ZmX/cv0jZgT77YYTppF+NSO7vF5wNwQMlfcekEfdK8n8AU trwXGt2juRL9JuMG25TQmuY2nWhYHXIaOjl2xu0mxFL7QizlXimCg5o2YYROGVs4WBTIUnVehDdh ospPDqwck0mL7kQ/UC5KBeB2yQlpTSjjAi9H+PD9qnX7/GZS5NDMEb8OcslmWWXebj1Ts8MDUru+ zTlwczz/UKf3TOHkm4tPvhcw7wRun59tUAsajRX0iUCC+5HNXJfY+rBv+1d9soWE34tQvET3eMFi DB4Q2DlKYuGbN4lgmW9rKbRzRJoG+czqXZPtVVyS9nEl0GRm36QI473oU7gri49dr6BWp1v6ymLk QHeJ1HZMQ1nm7p8F/quKuKF0KTB0lP9yk2mZLapPNnC/Ug0dej644toveAAglKvesBRaOqKePf9d S86dHJdRAUVhp3XN5ZsUi5GYLGTzxdGmBf3bJtWxk4kPteja5NAEEKyeLnlS/bfQ05G+Kvj1OBcQ mpa9JbjGcRQBVjj/gX+q+/2QSchi8O5SlHpjO5dQZ8Qs7WaK2SdUNC9QFupI26VYkcRq3OyLV3En YKQhiCBsq2B0jmuQVRxxPu1pmyhbCnwdTTM7J3JxtVhOedJ3u+KAWQqNHR/7VOIlAFypII/6LoWy 2ZxA0KySgr407eJcwJBwGOoCt2aZQOztqMqkECrIxdOl0jMMDxIn35hXsuDLuN1gz/tDfSKT+Gvb vu1fbIGcqMDORbXugruE+DmAwYfmjvMXRhBKqhU9YN+ksOEN7RRc/5ZTluYC/iMllb0FHp4+iAvs HWW4QZZA88VeuBM8Ti2NoRmAy3AZnITBQ295UFguP1ATOYLn0OCxSSFJZivzMVs5gUJ+iSjm+Px7 7F5Xm4RvJ0GCTzM3cHgUxn8V/lFUHN284Gj6RJ0j7hIQxb5LUeZtYohZuK3crp5mOene4DSoAM6V KTYG4DK8gujflb6csajUlgOTRwRvJpWZsNOWnZELudsstb5Z9fy/urkC5KCS/Grnhj6PMAtaVZzT wdw7+WEiSIFuEAX/97ZNEdZEMXnNln3xuEQ9xK1bf61ZO5GEFaCEht4+9Pt/qZHlwOkRMyYKS6Bg sMWwJltiGFRY5vMRlvI7p6TUCX2VuEmf11ypmZFhGkWiP/hfVupOTJOtPknbh7qvmVKD+jFv/84d 4nIjd02DxRk9i9HCZeRulF+H/mG7ps6LhryveVJDx4S87p6bL0oBBkDLkmtbePpldxpwR8qS9BRQ WI26y8JuRpvT0S3p9nadHGkOm3ifby4T41LgKWMhO9gcNbghROKLX/6YeG8S207cbqcw4cj33NzI IMEAKrhYbHcEfX/dnZNF0m5lpll8sQYuOjsk5BQ0cF93B+AS3x2ht5GYETTN7XnNjlqmQewpD4qo 7ufXXf5+JeqqSkUKvQBuz2tu1AwOMFObd7Esu5+93WxC8j47N53IOiiFCwbWhchJwd0I537NjBrA N5Twb2RjcbHdwUTAjHjb5zUtwt5a37axuQ3CX4USmTbnNSnC2WlEbk23EenVZTe6VCNlI7LtxXpN iRqaLHcY+XsmmTFxboVY9xOvMYkenCRVesK2H4qnaHM6Q89rQtSkfwGgBKlKdOXjfcRxDmnOPxDM +bzmQ+1JGptUScBfyduPzmsydB5aC2Gn38H2rj7+X/2xdsQQWv6p0ZslCgBCpy3eX8P1NzfhNmfX 6hycDODN+OmAvGZaXE/RcFnGvmZCiDtsPvbasi/WXUup2cD2JNWveVCTwas2w0IUfGi67c5rFtRA AsDsqE7rZaM96LOjbaT3c3HVamXHaw7UEmWrgIkQ2nY8aFv0c87WkeK2adDDlDJT22UBSc3dETYi MZ9+zYCaBi9Atc/ki8bZtUjvCvG9fF7zn/YY0DQVHOj2LqSU3J3X7AehHMA7EyPBPKRfikT1GuTk FX0awOY198HuUKVmSfhn9YePdJJ5AJFEtYFp3WDVBoET4M8wKp13+jjkaRC9WuijnXJiJV90pyN9 Icj2VK/j85r3IGrN/nVnAdNOPLR25zXpaZLPnIB1WJkxna/V0bG2uANqjIn4hkGZDY0lF7X1uKhh DJJ3XyK4t3MIl4zmzq9NGG7Ojz/6pB7Sa8bTJFVBfezhi1Vi0Jrkm3VO5+c137Fv+JwdCuSCzqHd ee0zNilzg262rFSd7WpIzGZCNhmKT6Y4FkTllGVpReYMlws6gqKEQEfAs/NnBhUZk0bsYqFWiiHY mr5qsDtUhOxUqdXCz4BupuazsGH/vHYYmXPMr7PTJafrZ+e1vdjkSLYqEbeksYm7xhmaBOlluOuO xK/NxXYdVSSwMb8ENuBCWajmW6CdXCCWgNprs5UPVGguD0GLtkWvrUVmg/SVgwCkLRaV7ddVQ+i1 sdjyNf3WjQ6RAHCiPBuMcuVBxG9xGkTp0y8t0GqNdpTGcrJIlCxbM2jISXY8TrL1XLfwXqOgX4OI K9/CRKMH9vlIyjNp2ia+f3R8JLEuXT8uNmBMSbXFqfFAF43eLmu9NpsJDQhseM7zzl7Dr4LyfSSL wie6D+NBosdhB+hUgPXSacIdUlBO25fbU9xgKS528ZGZQzIK1fuG+AiUySXfUMU5si8bBedJfR+1 OFq7ZWmpwrBjyP955641WU89OwRefRfRnx8a5cwpUf95mEZLprcgzxC0HC0Aje2JzztzrQnDC8pa votHoBOgcYgoQr9gPkCsGsW7WVMQfGglhQr3KGuG1A4CM/PDdCHr67y75hXSwDZ6T5sVTbRBkmM/ Pylo/7ZBUd6MBAuu6I6bcWtr6Arsi5uB45BBQoIQTSOisQoZoFz8ApsVIzMKr557DP1uCmRDMrth c7LcJu0CS/qy7y8ZmQ8JKh2+6AZD0iDVzAJYaJg6j+8rjMEQFH/boffcWSJqq61snfveVrlRejoj m16F1m6NdghTadic38XqrgXM/CD+HAAjGD+Is41uFzS46Xo7KKXVpc3ynjzbFQ+sBHsbmfZ5PEM0 r2PTK6f2eSes8R39DtRoQ1GK3LcoSqCB5Jy7XEk/CdJT87DTfw8pYsLNpML9PYNG9cXZxmIc4mJb BN20QnVwcNahl8Et6tB8reCrL/Y31GqlI887XY0RAfGnEXCrRZ1W2NMSFnIiZ/m8s9VYK6Sv8isj 4zzpq1cY72y1Jvz1hNnAj/nGj+Io9VGrtRBBe7XeWJRFCy2zV9u++A5B6GpDfwSNH6BBmW9DIgK3 O/r2Nw5NMfqiLLpJPZxtRC3esTeGfJt5f96ZalbffpXvACmPfI9QlEcDzbCAY7XB/dpu4VjlhcXB fSs+uI8SabvKpjwxZrlwogmcBMT6WWa0BSw24lDDjb1x2WvgKd2DvfVl33dokIC9FI64aIcqbgMJ i/b5eaepNakOWBySkC00/YZni+80Nd5BnVKH3fqrskdlG5qi5+QWZcBMdYaibHo0zlcpTqvFzlCG d9oaRFyNU2vMKcn6goiacIYorje/Bhrv2bTNM4CSrb6oAwSXMNM3hmBNlE6vvb7Sablclts+jPJp KvWnaqD9Ez6WTzOSPBzxklXkZtqgOKFu2pnhi0fqfgI9FOjJ5c/nkLIw6VAwQzsRPcs7F5tT3zXa IMafhlm9Fv6l7RwczvNQYa3POz0NL1nNv66yBsU8P0Hv7DRc9hwnMMyhWB2clRNCVDUDwkyM7tPq IMYZtQjF5C5wudXq2rXAAgMIIgDIBXyA53WVDoYXHFTn5Ge8X2UEH2eaQNryzA2zuKAlf96paUwV 2tcG8dItzdPFd2IatnVS1DgnQzU6rQj16na35kmkNDfoPaFGKqcbXNZFs15O8UCKQyMmlPPj1H6t yTcedCfC9aZHoQJ4Az8kSKjF/8gytM6GQyu496U/cWrs+XmnpTWJ/z6tMoiYiqujHYoSahDvV9k2 HAQuvdoOjdGSDXnSmibi805KwzVcNdwZzRdvliW4GWQAR88O4UcmISvBoRw56Q8t9xyFRrOHd04a izIqPyeiGbgYjKGeUptRKNf2eWektccYzL4bmAGQULcdCjPqCaNUBInvftmUTl3yMyRDFexQlFEn 2mCsJomD9kgcnIrpJJ6M8+dQDlgrLwJj4ZYEN/qTfj1wGEqKvNPRmvS9KP28fLEpT2O/EvP2zMej wr5+nSG61e/lTaF3MhqiOxSgx9rKqDHfdAVo3KZetiK5YUb9zkW7hf2ctAKdM11i41xwYAAiDzpQ J0FkNYTC/oRrDBTQcPS3rFA5852Lxs4HQVV4LW2xt6zuytToJFbz885Ea1Lz+IpDSKjbuG9Z2JGe qMq66YWfM5TcFF1ZsSAeoEEpDkUtaUd45OKLnyFas2w1zhp662zYYywBqGc2wxBr2CPR+Lzz0Jq4 TsCbGfpsOwJ9Na/Kzln6vNPQrET/esuYSy+/699ZaDh5qHNLtzbZgvOAV2XFdLOhTZQMf/5OQmOk phZNfRY7QycPggEfWx8rAW5AUbaEERKZiZkDTR+HLX3Z9zi0SEY/WePwRTsENiDJ6GCjfd45aIy5 35c9LqJW250Whhk12mdSBCD2PE+HCWEoajtkhiGJ/06QLmIKOCU2o8WyoXNdnboSEkZ4yyZQQpKK RHne0YG9LIZMaOvnnYDWJJ7TEm2Mwfs8l6H5NUNRdIiBv/fnnYDWxKq1HWo/8kk9ZdzNh8L29KYb j8kZzamgzaGhqjJ82oTOmLboPaO2yc9MCU4pWhy4eIpusFVIh1mnqtiqyoaEDDrdJr19Rv7ZO/2s iQ4O5wgChczNidpPp9CTsizoZ+/sMzw+13fCmFg33zMUZdQghC9wSayw19yQnirEfbF91kqzBuM7 9Yy9D2oaYVNt0Qad84RxR2dhP87mQKWfJQdkqU4++TPWNeCb9Px+J57xDLHWGFJ8GFfxAUNWXmUN 5mDvvDPkm/NXwgiJACkaceocZdRoQHxBg1K9NdnKbsCXNxruGjuHGfVkMYbM0xY/QUBi1c658zks jT4koICo0Xxu/PyAzABD+LyTzppwPRjAk1zNxYqrNPm7wtAvf945Zwar+AIt0L6tbu98vHPOeG4z go+3zyiCqDB0srzq7TNC5LlDYY8aEMST3qDxq+UJQ5jOork0oZJ5cuyOTVwgEiIbgVsJB6zIKou+ a7RDNJZTF42L3rFzK+o81VMAft4JZ4zT6SsbgrJeAajSNijKqJETANYhfOtKyobQos6dfbRCMUPP ht7pZhzQ8exw/KzFW0NoIFdtEMDnpbK/BkIsoCfnT344eQO15+edbMaiDL1pec7k6zlDNtscSqg7 v2I0na9f7xgmO6Dw+QZFCTWHDfC2FL58NvMqopChbVCSpC436D2hxgFm9CEWX4sFoXPJn7qbMLtT q81OA1jyXyrgJyjr8w1ClMF455mxAcvwnOm0W4gek2cuxjmsYee5ED7vPDNscPKXTCPHyzPjDkUJ NWlmtZhvAUiXPimjFYNNypb7hbyzzPD7NPWmiRAq7XJf4SMLSDmnHJ0YrFSVDRT1713aIWMoxG8a VWRbmrPZF8WgkwnJMvXs9/y8E8yQi+xfuSKghBCTs+15z6YFmV+F/q3E3qfl2yN/aMLKCyiE2p6o PZ2YCXEyY4sH6YyG2aJp0UC3aasyoX4oDJ5huWwla6cI/Tu5DDelZhv0etDiGzTVfMXc8vPOLeP5 +YV/oY1s9iHQO7UMHwrcvZAn1va4FpfbqjRypw1Z9s4su29YosGnFis3IEG2R21ivJ73NrE/yxln B04UM91LmiIK+J1ZxpqT6I5Ft++yHJi4wI2TnOrCj/ieTOMwfOOngE07Md0LsndeGZslGHhC7EUw D7XLaLRLHzPBPADK0A7F7WnJhApmJnuTyR3CHQiAq/r3+xxXoWAaq0Y05mC5qKKeyNrPO6vMEWYJ 28wF0HAGoYXLkfp2EDb7vLPKmrzfv0atAL63CzF755Q10QpXFuMHw441Hc3Q0+VND4iraIvC9nTi 9T2VEc15IXgTPnmpy/gKIAZKKEjur+Nwzn69iAkQ+LwTyhiG6BdixHk0CtgFzzshe+ec7AS3zzuh DK/ZHl9xCBRqwlZth6JsmpKFrZsywULlbcliVopNcbB8X7OoPz3lsZulNZuv1iwsdcugvy4NDCrF QND3OIksMTF0GvRDtO3LBlMgGTot2RZdKXVo/FR5xpw/+/POJcN3rH7VK7XEv1ZuqH7nkvGuJ9hs iasBiydXdezy4iM+ulI/DUDFOJ/mFq1yF5/X45Uak3MyAqoWYSG5sBhDIdMfpP2gLP87lay5a/xe 0zpErhGD4pda8UhrP+9Msl+DMu4soItt32HrO5PMupInRGK8Q7XCSS3witkBhk+FoajAZ6qiv/hO JLNUBYY84kjtB9IwOUpcTIdWZd+IMnyInHBRhWPIHSW2ou/6x3WPw519EeYD0vYURUJa+nmnkXHO 9o1X5PXAw6sNChNq4qRLEnj8/C/TmSMMIQlGnilirDMUtaibYRnyXRxXBbvmkbcEwRL45OC2Lkg6 T/zJmZHI5IoRcD/vJLJm4nInmxIor3pRdmqaIfxiPR/xeaeQERn3PY5u4HRAk8F26D2jlg40BBXk UgCRuWW81gl1XHrJnj+PraHOf+cv5Nmuq/hyW9Qo9NCQlhHoRNhHe/GUQwPOYFmMTWkVk4fwTiAj aHVovrF90Q6dqC2FULicf975Yy1fT2tvwKKNW24cClvUGxijXiwjarfxMXar26vW6i6O7+SxW9eD 6uaLv2QntzvJOWUcO7QOS5K0A/XhMn0up5et7Pl+3sljhNsTFnN+AF+ssJ9tiqpRW/u8c8c4R/re IfTIGxJH26GoRQ2qzaQtp2aJ2pbJYaupOpecpl9m78wxtn8Hm3uU+uTiw9bUU9uJLWrgaiGrKUQD 8mhglx/gK+aw/IyormfKCAUAW+wug0Anj9DJTj/vtDG8Lhc2zQQaTfG870sWQj46NiibdeP5X8Na QxDJMW5dolgV50DvpLGbVBcKzGvxxseJOzjLFE2HC88gvXyRpgEJJ7RT7R3rbA29U8ZsWgq3G46B uKiu37vI0ub8znz8X9NonDa6okM6zzYoyqmRaMFvyWH3zDF4guaeDrvP24V23ulizQiaE9efL45n gOE0ODlU/4I4FEUdAJNBuQoBm2v5PQjvfGeLWV1FYNVdrCw7kY1QYchbfd7JYsRVfXeGWNGPO+No UUYNvsaJo6YXd47KUC12Nqhtk5Qv8GCQPloL4dOVGbW6r+npvkIt/cQeaNSq+5qs+5oIPe10cXAT RzS3+BnBTe+ClssX4Rkw2RZjHLJfLUqo563KjF5+bo51ZxwtzqhRru6mXj2Anqo+IJPP+5/wTko0 MUxH/Wm0Zig5UH3xIwRdDxSWbA2BS0/0YgeTtPLYQ2TJHa0VpqOMGhETXbNcfNEZOtslQOmpoAYe D+b1F5vHqx5qmq37RdajhBqWnee3tdYQ4oT1Ps4hXNYaSlShFLflPaFWogEN5pZ9sdZQOjGpUIwe sgOQbpc0KBT8KlC+lSYgS82hru8ajFop+JWh8GmLotC5NotchU5ShMcjhPD8esmgHARtDd+gKJ0G vg0VoJtbpJ2sOaRsTL4NzUccPYJ8DF7xhe15LdoggDFPvpv0kp0I1CatsDbeqwJ+CywLrSabFK/o UX+6MjlYlWMyLnrJAPsXO/7kFHj8X+SozAp3ATTk9UYP02loxdTeTQ+ttuWYmDG/RFFd97tH/Wlr faBGscVLsgUevSy/gTfvcptNoB8CKPuThTsTrRcJDT4kmpIhDLXCZLEVPLeYLeaTMnBmdmJnwfMB 93B+tz6o6t9xhdsehezDystkZoN3yoSY0DwKJZGaOfHW8K7vUUI9KTe05FC48uMbf9KEvpPoh2tN IM6A+VgY8g6azGE8cyUZir5sVLYS7AEOsS12l52knDicMsvE40GoTt+DMvxjVb7W3KGIgYjp+TTX T0ijLpIykQ0Z7UVVazGlgR5jPpguTnbouVikHrgei3QY5/lWRGyfVAuZWtsnM4KKlYMX2WHsUZOa 0+hTq7CXnzF4GmyfbfAH2D47AR+PR3dZ+fWanS+cbz7do3z6fGnIe1VNx85v3z0baoRUMJ9ulY6E nf9OdITICQdN1JaL7lxAnCWq65e61iCImCS+DvgX6esWhxAO8RnBZc84tBOzIS5qwbZluPZTt1Q8 Hrxkq/46QbgfmnfPetSkhjrCIlJSYkycR57ojINLAhn8P/dgPo1AHebTkwPUPYYvhvhoC83FSvvP DeFqoFDPOwarvYk/EqPSR7xy6sv+1RoaWX672f12N/AMVXYfY+LxIFKv9LVDaC80siS0Q1FCDcTY KlOOzEDHWC2P5hmJz7BEOWeoyayxR4iPREDV0j3FxTExGSUxaPlsnp27n3ofUCA8rxfAFMWlzwpZ SfiMYIPYXtzAxdsivtT5V/ZUCpgnHo+YrPcq025yOHejUAShRu91DsHwNjzR3JC4g3NtRo0V0FO9 ZBHggx6V5+0ivhPdjR8mJYNiwOAqCGVeAcRn4wMz6Yk8XKKVFobQR8OHBOnQZDXW2SHiYmcIs1De ROf2xuPBGZrf2DzastR6OYk9TKnPa3teLvMdPnlFebwsq/YNasPbumc9alJTyAyJZ/bFe0MlQ5+S KoMLLjQoU7FFsI+tHFbwFG1Ny4a+bFS3KkTTxoqLtijN2fUXLrxmIS/xFx86UxKkjEuIjnJqBFWI w5vQSeGBVUYEJLZhYHF9MGUcoYpHVnMoV1+89XH+n8S+GOkuQEFRXw88tYoKhEhL99TJ+q7RLEhy zPkuCtWw8R3WPct4PMipf42CxNS6heuIcupOBykm8NggmvAYKqYbCg0WJttQMSNuUZPmknby5aZD EOzEyB+qQueQDDHqYdHSoRNJeQXX8QJEDh8S8RJZ0ycifqZMjHXdn2syyWwAWxQ2qfe97o1ETQk5 36MYSA3Y4n4EdL1/1idLQ933a1n3Y0Rd6iw+GTVzsDDnQGHWaMGA8TgKs4b2gZStgWpiHtGf+/6c /IHPCBCebOPD6lGLKQMtwCiYx8P7Fo9Hg47v+x7axl91xwhhH0j/pwz1GuccV9GDyBxmjLM4uXVE OTXBr3i97GW7GzROcQHJDQkPADO/pSoIyBk0dRgt7bo/ycfAZ/zF/s2FxlW5uHHVgL0KZ08ns954 PIpDvkEcJw5+rFeuI0qpwRY7l7zZVi0ymSxj3Ddj3NspUyNKqalGcQLxrr5YGMJAooyilBpzv0lL 8Ib4B41bm9rbJAhZPD4kikOcBAEcjwUV0FbVcVI6vjigH+H5f52hohBw8sbpSfWIkmpw8LFFxior qz3KXb37xJVW5qg6RpRUryG1RdNeHLcHC8VteJIQJcyWI5NqKuhXaN1QHd6a1GTYj6hJjboKw2h6 MnExgOfZ92UU+4nHgzP0lGVyhgFnat8dCnHUtK1seRpboWav7stOTt0cF543Ihx1EQRW/aH09IeA 7szQvSSAscP0mbYfY0ryGPlIvrMyIjxHKO9BLlCjzKmWC2CsvMva7gOPR0n191tGX2/gtGyDYuRH p2a+Iz96MmxMlxAbOVOZxEtuUNSlZl02E1HkWBhBifwg1RcSUkB44oqWEVFDdYByava7Qah3Mz4j SIfowZjX2r6ogTZTmmLNnf8zPP4vaSE10ABeuvC8EebUsBgs2TQEwfh6TtDMFoZkpcwNCnNqqb5t BmEu3h/C8GHThHoC1pABukVlD8cDVPXnSioeqLeOUJhTNyLMuxZcMRY7JkScJqPvXng+gjV8F2Z4 bWq/hJcR59QIPq3LcPGEoWvl1Sk+rnkrZIkVhsI2NSkIM5uM4LqlK4i/0OkCNGZv9B+l3M2GNnFp dE5WJ39v+7LRvFU16y6+KA8+rywFpEC8K3g86n586zQA1E7MkXZohm1qNNBKMVb0IoDScB/t8qMX Mhju0IyF8bJUzYovFobKAn0ZtJ9CBuTMXbafqENB5yCbwwBodO+YIexD+sp7Ll8UqBduNwLNU2t4 PNignr/CEFQL67j9sxml1NDzgGtYV+Xae9tWlrEVocqVntUsy2bITKRg95bb6X7cTqH2CnZTInXz HJxcCUCrwJh3lNajX1t49n3xGVEfX4WrPJf69Vzq8PHs2qCCx6OJvZ+gyuS7QfLL4/QMlT4qTKr7 E4aSgav6rqXbCZrFEXozJCYWy5KnLz6xb+c+7ZNcjoX6lXXbSOhrD1jDZqBTndxKEYIZtqlptLDl BruvG+y51IraLTBHxONRoP6Gn1FYolxczIwSagDLYNlh5NaaCDmf0LxMaTplCh1I7VDYpKZBdQID wRZHeSI4V3DRQZI+P0geUoWd5AWi7EjXdLEvfdeojy/F93IXRY66Z2GHEGYyeDySn/yGUZP4ANKu bVCUUNcGfN6eNumYdERXXV+NAlMKaioLQlFCPQgc2o33OJeLrIL+fSryDkhrIeiAagSOIa7aTClI g+KjlMOHBC8ZzfEAJ/TFYDELcl54yU7ei8eDaWL77uLj/Wp9e9E6wyb1BlxI/t1oE9F4WE65rZsW Sqb0qnYoAn3IqGPJTXg9bsKALFbAQNj56KdoTRyFFCqdAo4DZyVPhmi1OKN8upJhXwpltblog859 OPkHtnMR4PGI/Ps9TcRIpc161eAi0AfiJxR8VariR3adDzS4DPSxO8N0578T3GPsicFa2ReHeNYT zzD/ZxcfQB2Cr/SOgX0EfLmzoym0OKN0mppeuZC3icWreojKs113Mq2Gx6OKzMM02yX4/HzVdGbI SqTmWStKok/A3ibLjUnyMIAnGfgKQqFgngibQ0K445HBR5MahB2JvJ4USDLCDVpIjZomSBu9ib/1 XQPY0GYTH7NtW3SP1VMBqyI79T0ej3BV30Io0IWq8xLsZwT6QAt93ZHPqmN6Or1oO8wgVEku4gZF 6TQ0V1CJrbv4K7YgmA34JTKhU47BUO5sEK6whq5UpoC2taiJNJ9ROt1I/QWIxBfDDa1d5P1+clI8 /q8jpJOHiuy5x0JSYqNU+TBRwVSmM8paKs5WqKjTFIRimY9ONuIcvlzW5gkXZ5+YK55qIy2yFSZd WTeNlS4pkawSfEbUwyfmY8nKbBlUGyZZc09RNDa/YpQr/pJRJp3jssdXlEyjMAKpKTkS30bQJ1cs VugDpLytZF0R5mNwMggBO1/8oh+NFmhklME/CXU8anrge4BzQ6rxS4d7hZgP8hgLDba1GCgGpH1G 6ZP/4/FI5sM3iN0k5NH7thZX2J+GpWIGCFwiFnM6m2NvM8Yt8O4x0c4VYj7UmG4C4rcHiH8OzTmM 6AKjgd/BDAEQvdGNlA6S+0Zpwt7wGVF7eosJxIoVykNDXri4BrZ8lWvB8xE2r35l0wTjPEX9CrWm 67p1KkEf6cp8bHPphNaQk8dXDPrgbKOo+SpgVVNbCNl4oSzcXBjbUilmwk2jYtgKLyGXXkQ9gc+I GmeZ0Yc+uFwUhM41NljSI/fE4//SsCDcGq9XvejOFSXTar7W7pQp+neKMkU7RB2h4ffYCiHUail2 3fe93CCUTqXRyKWHsRsYU4RQnxKccp1TWZDJ5hF4tuLuNF4u2Lj5og0CH4iQlvMHVzweVfR31sow DTHuBsEa26IoncbppH/vj5y5dzI5pp6lpodcqCJMME6vmJU4hBhKvtxkEWoT8LxH1YRR/sBbVtEZ 7Anc6HJN3qmQhc8IOmeatSYSFWxIgHiyQHigcvAp2fB4dJF9KzQQLLpvNr2ibBojifMDL/O9W5TJ kmFC3c1QQydxrEoWV6jyMdgW2mn64rnQiaFpozQsaCOfDaNz+cb0dtLUi817M5ok9mxFmI9FNEwy vkK+02iy2Fiyjj7weCTq/kvDAh3KerkuK0qnAbNcNGlhtgiyne1QWU4HOj9SNUuJFabTTaCz3n3x HTrp4akxctK8vlLJA/UG+EOYC+Q0LkYYdgH8shFsiOK4nYVZp5g6/6wTlBSHIEqDx6OrzIt6SeTj ED2eCStKqCtVctWVBlO5UXUavcVTHw01hgrBvNqiEEU9ZDRtUrC3t9gXlFLTpq/U+S0a7CmwRQ3t D1zYIz+gjzn0XaNsKLPf0ZcvNoxeMPZQY4hfMYjUVwJWJpz1h0MA26CQl4jO2RTMvOEto7oXNSzo rSpnADRsFKnD/jSLVdfEmw+gYUHArVGMZ0LiY2xiPhImyoOYy0E9ElpxQ06IHxL4SkiIqRMCy0VJ HjjdSQoEq+LxaFz/S+C9uqmVdihsTy8AX4spxZz6vnm+CDtoS6hbWoaiXmFCTXj9JGFUi+OG0DUB boWEqXOANhUIEoZ6sAg6dwpaOZYPjaEvGw2BqE6ZWN1zURzaKMZ4FZ3iEY9HZ+gbA4sUc6SbUe8o owZma6WxfNTKvqmKstV8xrG3Z9Q7ak/LqHxXYWNqejJqSBmRn4AzhE47X8cq8TOMdZgwSkaY7ekd ZdR4L897hPvHFkNWtUEWyIksg48HrbOWvtIhGEJVWltog94zalBkQFXgnFLpkMsxTWn6yuiWTSdK mccqHzpCBDFysQ0qaN6XWikLd1Jr+NvpJRum1U2Ak11l1MHfMYzaEB/NF4WhvZYAMuX8BHg8uMp+ aS9CR6VCDsF26E9WYs6uRF1v1drJBjdbadArtUNxRs3GRyFTg4u/ZKfKgPuDzRFPsciEySQmUbWu cSExCCz4jKh1RsnEQqYCF27QSc6TAKXnPtl4PCIlem+RnSH0g9e8GxSSEpEurmzQjmWeNoM2ktdJ YZZlMh87xHtIPVh8Oi6eUZ+XCfKjvMiAy5gs3yrrW0a3VOadAfEm21FKDT5gQy99+aLQkXHxs1Zf jd8x6L7+kmkQfDr5iGOHGGq6trK7yyPE5F2KXnU6KTH3ZIiYHZMSBVtUXj0ffdO00Uek0OHZoQob BPm54YyvZt/UemeYI+AzgpqDsnmDKp5adITK7jImLaewx+MRqOqb7FKMru8bFCXUyPXPm+WGClWU Oxm5kJNIoY/hTqQ7hHt00jXzyL44IqY2YvFZlLW2WCnCFRDYjU4Q6lVCAaSa3zXq37Oux51pizbo 3GgmY3EyQDweaQ19g8ypCFAuHmZHaI9EvpQc7YFh5J8moRjl05LDH+44EarmsX8PDQFf/B6D92VB fwxVawU5mt3XQdsxcADwk9scund91z/EmHKlxZYWJ23O2oVGbAWPRyWZnyA1HUgheW76MJ3OZElt 50vB6Et8KaSp2qETr7vvUAz3UHMxNV8c23ki6gQYiHSgihq2/ZhIbM3n34OKl3kB0XMXnxEki9ka +NsXI3KkJheQCivbHcpQz/TrHsOPMu4RCvvTiRK53Vw5Mq29eYRgDOJ8KTR2SErccTotmQ/JVc1H ruocwJNMN3ohng9bpXLOuhCLkEKgJLuQqj31XYOKjOZ1e1DDgosBhs41W4y9wseDxsdVyOWlhwAI oyLfoSidpnhnWXN4mG5u9UvNAHOxhaKMjlCE9gC3B2zEZ/EZUEeTGj8Y2q+wM2XRBjAabD8BD2g3 FeKIY8cqH11UsuqLUiH0HaSQ20rD41HN+p0sYvxT9oUH5xSl040FB6W0CMsbhEoL7UHI0JazS1bj A/9QpG/KPDGP4YsnQ+cNgC9Qo/XNiecTfbaOme4PvFrBTP3/jH1XkiQ5kux/nCVlBZy8+x/sQVXN 4JEzblnTK7uo7i3P8ESAGFFyfbU3JZloL/36uktchUpEDCxvrBBGFXTGF73lyR8Q4Tu/y/gSZb2q TDmF0nmwlF/J4B0oJhoo5nzqVNsMxtrJ9czTn9p5Z37Isedg04QSB1S4lHakKoXc819JeQHoj1oJ 7jua7XWDOizV8/aUHCypjPiUk7wOXfnnFmh8Psg8xndLWsYy65ml98AaPHt42K6uKtqC943ViFpr y6pog7+MZilEUrOluDKjQg63Y9ZR3GdT+iT4Zz+zY4b+/c9A5gqc7EV+yHc0hdXqykojnAxAcsU3 07jlzlnXCbKDIB1/QBAa3ZtfYKPMokC+8xRWrGEb3adbj/a5rvoQ1VnNYWG6u2YKPRIpqjNN4/yR xwcpsaK7PWlmD7kmdu2JOB8AzmTcqx4/lm2vG1E7WEYr+Q46Yk50p3C7Zjgip7Bq/YvJKdOz+cxS FGMLRjSy1pA49zqaxrKpO5NEMUWtpqhqrVlac9zB4YxAZhYoZ6IYctIQ6PZBAh4KVoDbUQnWykWM YPkpATKfvlyErNtgYD1AeskI3vBZSCEMJP/iu8K3Z5ZLEsopLF1XlNKIrwMcbaCOTAWZEzqlaho7 iVUdLaa/a9c7CyvzhNonPIAxIC54JvxtJOKGM0TxB/oHuXLTKVtjHIBPiSB7jJQKN125V2lKiT5o 2EFp8/n3aYJi5lfVCBi6sp+jKZYAAXrXbWznXNO5VLQOEmiPUFe756J4Gx0clvbv4EdT2sSk0Vry BEWjSfAUMvALCUHme+poYg7ET4n6ROygTVKG1mxOWoRBoHK2uTufj3idv6qPaH2Xi2wUB/K9Q4m6 NXI8AGGZQfiWQ78iCxLS+z3Ao5gbWE626ZMPds3VBCINJFbBnt5I3Oi6AIneCdFLzIwFTECq620j UEj5sQCbQ7cqf6JB7A+1lRufj9qNv1wmYZDSvmKBMOyGdlwdpm+xeJYptZ3FStvn1VfpboYXiYFU YoiRhfvgcffZT9AASbKOBmh/3foR25T58ceROXL62/5lyCeHgx3eqy0evSeqHHw+2G+XmMcvEXAn qtv4LMV6IJlsBgu9U8s+SznvbfltX/1aBkal7FF1x8lasV8RwlGBqqJjJc0X0jnkqNOIhKSBi8Mc k4rehU0yfkhQROpiDeXug9Uhz45IsqJiWBmawFxpK96HzHIep6XQVxGh8LmPnFjVplu+oXWaLcXt iHbNNTDChyyjNAhsPR6wdUbOtijPOglxz8Q5DgLBgdHZ8/GdpJN0DowVXa3g3GzDB+8Z0euC5aXJ 56PGo08S/jJKED1d2ZQcWiuCeAFAuq2kq+0AF4/srrdsk9kkRRiRJDnLRfc3DpokyEvPMTrJ1Ofn g6nFZAauwJWVSKhUOJIv2dtGIBGGAZnBknCrP+IOTUG824k4+HwQeudvXd1q+fKdpDD0LrDGS+bk es7wrTV1DqU8XaCotGW1gBzaK1Kn8czOvsMtuO1zb1VbSSe2EGQUzO0fpthkXxh76L7tH52jBC6k BsM7jnIiVFbcQNvk81Gy65PE4BHfDICePklRXRs5zJJulwRmiut7NzptivxBtrYmKYy7WTEpKk5y sJV0fsz5ptGgL4AXQ0SSspdApheCITBJpnPFHnYOLBZNuADY/+2DsCKoeTXjC1c+H8UA/TtSYvn3 a5Lew25MUqIRjKpKkFpyIadKXwTKFHU0wWy7hWTGIvlzSRCPK0E84KR7vvUmpwHoSE5ZCC7uNBEF PDnpcsgLfRbRzkDJbWYNyZZSN/uxs5Rg1Rn6LJb1DeBnLgAvFp+lSMi6o76d67i1t5ptv1XycLmU YL/oSymMuuVwRlVGDbaUYBOMMJLwYhQ3uNrglYdNQ1r3RR0Nm6Qo6DbD10EtdAEluZQq+sHsIp0L k8//q43EyUUouC85PwdWiyibkQxLaaJNjNawcFLRGgERaVIqFeFk6LW48nBEmg23uFTO+QbWaiGi HysA4STXHjDYvDcuZa/Y60ahEo3u+zPo3gb+lEE38GR8Pjq6f+lbMpaYz4Z7D7pRbAHw0R3yAGne Dhspxk478eTIXg0IDBeze8ENqaSORyU19RMgkZpHIPYom0kM3H7ZuP1BMHtFDKq9bbSUuN8A3bDB myWCueLn6PlIC+OXTOrGhXi7JTlwXJS8HKhWpnE52T8X3UFo/s5UO7uvaWC5iEo9Z4fsWA3e1m7E ZjMI2JJbZzEAnB6KLmdyeA1/lKa9bqQdbzIY0wdFAROrlAvhBBp8PtL9/uZ/FjQk+lc8GeKxidaU 9N78gYJXduYV1dlZgCtAwNosxfgRtmwVe3O4WMiacm3UmAPKvONoQed28ipkZnTbbivZ2/7VVsIi 8kFLCa3/rYLabHw+SE3K+FpKxC3vev1fQ+tFiI+d/TyGlbwZu3K/tbWv9jd0PnQqhd6LS5Zeu9zB T6V6YoyOoghkm89hLdkMOFYiAMAJ1T1/E5Ith+aLi7pF/Q7Dktxz8lEDH32QzOejeskvJxTytm9r KYf2i/BmPx+R1A1YrRavBICQeP4orxjK83CSQmC25L+b/ITbY6cDOOU5mDLFZ87qPIkW8uCzztHk gXxDvZDRJTPq2H+xyM5LLe7pBn3prHXxUaBLxecjLvE3wwh3bl2XH5JjB0bkuKlmEYsAyXPAX6Nh JAV6zvdj4PUcWDBK5gwgG2IjOfjJXc95sboUegYnahA2ugAbBfAC6CSD/FEun58Sld6yZObIMoKw mAiKJ9iobPb8gC3CH/AvXKQuOBhlthsGBDaMoABCjLsYUOuc4t3Rx+D/WvNkrHGnKURoc8PRf8YG 33BIRwZgCefsXpPMMiAiUdrf2CYFdtqe5pKslmMjRoNGLiOGasdRKV2CYf18qXz+n+1uhaLontRb MSlR4I2rZ/VutovLVPbpPqRsjpCJ6ioruYQobbUppUPDwbcc2NZQDSMXosKLiBIZaMdW3N6AS3vD ey572z9Jj4RpJ0jA/XSRIbiFSThvcIAvsTbf+I9AoD7Cajn2Y5RUcav/BSyZLbsGZgWC2mbpT2QJ as7dh1tXymDwS9GoNSgxYpYaLIAaRG6IoJBTnPQdc2jImJXhLs3ScjA7uvYMi1Goanz+X5QRqc/B 3WI8V1wUeANuClaNLaWWHcA1VPaQi8x6llKo0DdkNVimD14NAIwXWAlWA05QdwKoH7rFbWjNAuZe XdJor2lvG4AnlOFKGpyDkftAZhqapM3no7jbJ8nYspOiJHeWomq3hDBnkmQxmrrJASZjlAsw2dNn KZa9Zg5XhKMoj3B6mtB/6PL7mmu3TamDBiYGvvmfdU1ATg4z7W2DWaKiUZqyJ5jXM27h5iTE5ASv fD4CJH8D3QgvAe/NJylUvq4EkSZLdEsefio1XXwEmYBnrUQ3cGbEbJOrnqkNzsFPpYnC9kpiQUI+ w3z1gBxGCsnMxELKvextgyuOFOOE5pkNSnRP1CowIK0Zc+DN+E2w4WFGW5v1lANCd0aYV0GP19Sv a67bgSbD9IwpzHs33J9MSKj5Zh+8vQT6Y086lYAlmVTv5WRBQO4HlD3WCxEv1W6vG2HbCXVbsilY 16agQYxOgK6a+XxUyf2W4W9QCGhX8jGHFo2TZ7cib8yXmFpDjOPpVLbt6PYcejSaryBbIRr8WDrJ CvZDkvTsCZGL/OPwHUqRdj9t725v+wfd78SMlKAtjmjJVNamyLfK3YFLI/Brv3pwMji6F1zo0ojC yhyl+6lEZiFPpSagMj6t4bSwSYoib8ho4jjSJJVnks6hejZcVw4Hle1JU+KOFBFiDj/zcSFco9vb RjpHmKSWKTDCQRuuVFz/yjj0kv8LuhSdjtquX0GOnRo7Im83YF65F1OkOzGyRwGwULkrKYq8hyB/ g9w/Do7HKdBNh74YkCbn3ofEYYfyM7ABAAK166lbkpreoVnjpN58IrZLg+Un55cd2kJp8Plolr5x 7gjgR7566jm0awT+ZkGv1KgASm9BBUh+jBcc7caVyLFfo0r9qw0f/FQ6+duJlogrT6lNsSROngDF QKp8UWRVwZI1vQPHRhCQydrKK/kgusRZ61lxQOVaigLvJ9VV0xuOjfU5lcK4G56EjRcq+7k03iSj ZLvLHHwWhxdNAs9Gl81CzOiDraVzjkPCniTtVYFWXtSEwrQOOB/n1R/Jo7zsdf/SY1lU9tGgqskE 0k5xQJt8Prri8ne0pPuj3ZgysG6EhsLAaZQsixviI5EAqD8CorZBSbZpihVHWC/p9Q4Xsdw73WIJ WoKS/RA36ZwK7KRlFsKMepO6ve5f9K36NajvjdY6q4yQTeTzQZ0yfRdz2UB95MVy6N8Igg7EWMQo mRtUYSNwDctUsOO2qWflwMARJxyxb0VSbOUmcQgCFqTiWVxqCUuAusaAmJy76RwN+9pgUPGeHxJc cZ0ION10ORcX7S2NEA2CcQafj3Q1vtMTZMd1PRW4wMIRlA2obaHzLOWRmV2UtpXm1nKUrLOlFAfe rAQMVis52FIC8CDxvkTNpJle5Lnh0qIHBzXC7o5Ly173LznITkk/DTqXqD0i/NvqfP5/0WHTV7Oe Oy4seTeSkyj6jJiy8jajNZgwXugL4Nj1pRRG3vTVW132cj0/kTfARGtSG4GsPUTZECg/wRiCctSN n0Jl99eN7jh2vIHPssHWUmllm+n34vNBTJl/idhAgg9M7ztNYc17YMeh7MxpIpNR04TGjaYpt5SX T1Mok02R9ZFpjjHu9TPQmqm9IYstZwHlRFZG7w24yUXhBSijecBEpZ8cmDneUECgUw4KBU4Sq4Dp zNzk80EoMP345rrBBFH6VbMUujniqpkzGfKGunseVcp4l13dcQOmwM4R+SDVjVOtPli/8nwP7Rwc tHM8Uw8xAOItF4mCaFpWx1JC4dfeNji8NxuVpTQNhg+YDR4VLFQSHxD4Of7njsOZgjbhnaS/UN6O D0DZpEwnVOTkcBxExNknKUJ5szOAekn1wUPvjrrrlNbGCQgYFEBhdBAaeNYT/qAkbmR/2z8Bp5vh Egdj5ZSs+wnRK58PbrhfWtAwgK7IBH2SotAb+EPIapnmT2XLWqku1XhVpgRC3SYpDL0H65Nt3cHj gJzRdWNnYLL5WqhTC2iASNTdj9GSVYALTR1xcSNPW2oQ0JBRxSUkzby1TkTPHxBJIH5XBBrrC0+q G/g6nlmaWErV8EmL9WdTRlJC16Qz2nyW/qx5Az6RfLhL6SSEUB4z5Z+a2CSn83LlYQr1Hbeh6fa2 waFE9ATg3D5YTAmICaEmGaCl0Nnxt6w4gCvSRbFJihDeIIXMllzQl+5gagygZW1N3QrMouKA2NtR Ugmp3OH2TwpsQyQIkCBAz6pJpSoSqt27XRolypD6kD+QlHCSrz4o1T0nd6JlU22AwYfmjrP+PpQ2 GEI3ogzdHVFYmUvCG1DbGm7Xc77q7XqsUH23+y2wd0SwJFkfcQbqY8RbduvooBBVXFj0lmrCCeUG ssecH6iJLMFzaPAIYjlkNmbxweLuc81ITWOnyuffj+71SxWAGs3pKVMGFo+ZHAWIiqsMcPbbDSnR mrVZ2sBN2SyFfEr6xcPYyQeLuzeV+9nNnpBALmiZAbm8mA2hh/XYFVOPmp8SnN2bseSQTskYzto6 t0sR6fhEUHw+KOZOxwd0lVug73u1fXNo9IjKDpIGLaYTNG1XcRnTxX0haefBUuD0iELrkqzv8MGP pY06wNANNwpaHchgGmzAG2SREbD+EiTLgdUjGllWza0+6Fg6u3jbLKFfGXg9AhT5XYGjVdLjTPN5 DZU69ile0hPdBVc9zdHMLSXLTs4rmDjra6DUXf84M4HT4DO0zmWNTivVa3ffVa2TTKQSFlK7ps6L hryvYVI3l740hQ2YLpAL3NB5Uym2LTz9Mjsd/UaV3joDbtR9W2fTm3dFNDlQrm00pQJYqfEYZMt7 E50MtskJSodXAYLJscotHBtt8Lu/DBguiwZ37ko69UASEerVwESfaHDc2RH2/XV20FaSN9/sPmh2 yrkVeI7Ugvrt6+ygmDRsdjgVdLqlLgBm5zU2Og8BlYSlY2p2Z80a/gbOksPwNyjla3ZeI6MuTOP5 UaKbPhwZAJLbICyMytAV2o7E6aA1WQbogAROmh+43vR96Qh7w+1gg00OtHCV+e/2eQ2K+lP/76z/ V2ZpWL2cnNeQCEsHLryTK5ouImuZZkLv9C6XqsSAzC4m5zUg6sbmmqxa2uA0pfPipWd1t89kQQIZ ZW2oCDdoflA8RZPTefK8hkPdhW3wIzjgamU7aECknKoZ8Kj6vEZDPd/swxY1EsS87T98XmMhPARs cqIMqkRJtneQdhlXUWK5osRrJNSFjIaSBHOv/cV1A4hrtwYZjTNNRB9TXqthJwLxmulwPUXDZRL7 Ggjh2CnsfWTe9CM3v7wgnJHVrz0h9WsYxKfn18ZCsb9Rz5yz8xoEYTdu3lbNvDGgOuOdo25EHHT9 p4GSX0OgnhjCoeFbhw+3UdsogiSScq85ER1QM3HtYBZydgSN4PX5eQ2AzqvCbhmGBiQncbBu9gar jpFfLZ/X8AfLwDR/znuyxQR18kVlLXzma/TTRRqgubumh74VTpHYlyKR/dx5jX0wPU3OITn5YNMz dzrHFrInECVhdE0RzQqLBxqsZMiNed4qU4Nob7F/XaWAWK8CIvBBS63H856f17inP3FPly9GYyix bXZegx6cyuccmH2Zgzwsft2+KA93kE8AImvxvIY8XRqB9E9bPjieHbp7q0kQCVpqEj/Esfoz0HNB h+TGzxREeo148A0Izd4IYeBgswPzMYkf7vx5jXdwb/TvO4sCKBTp5uy8lhmxtdD+KKQ8MVNN+5Ii mreu0bpxybFodopU+gWvXQ/4eI91JgI9YlStWxu83TRJcJ37QRJ7IWyN8mjR7Gwis1FitoGfAaX8 pNrZ+fPntcCIS+ve6OQGCtrqx/JrdbELzLWojKlGY7qNxt2TH8uFlpRUkIiO5W7q4dUHL8GerHSf A0G1xRPndHaPEpJREmILEVSGXKNt0WtlsctUByeOmvqt3o7HXmy5qx70Wlfsj/Zql40jWklEq1g4 GAXLo3B+nHdUlFCwGqQSEXhHcG51ml80QVSnTUCO2eCb63zCgHwsk9O9R+b+KzAAldoYWXkmT9vE +I/Wj7Xy6/bBGozZsOuwHPy889e6CsRfISFECPbyBfROX+sCyp1EaDjHD0mNTp+23LQ59dScLhqG zKL4ldR8cMzDiYsnj3xKi+ImK2qbocUHJzkJOFSRjoQgj05nZlylt+SD5aXwhxLos/Lx4Hi25F0b k3BWqIjZDL1HzdK5Q5poUkh5jmZriPAfK7uSISrwTDBDmzXptHW773u7E8PfG32xJ2taTSRI7phK 3Hi6OYUy9yhupl0RjPeyD7rdVy4kl587CXSj98AZGVv26x1/F2WrxkKZ+nTREQ3Nw7o8+jGJUYlp JRPJxj8+QVHo3DUzSZssPZusncgwLVg2niV0wuRNghvMFs8tjcnJ8pu0GyzpZYO0SxrQKAnYYEl7 rZXrqRbgQsPg+W4y/F1oO/e6/YZ/J60xnwRcnQZ/ws6u4cd0uXpsvffk5dZohsgNbewBabDMq+Pw BGhTAseTRBEA2mFjACICfW8H1bS61Fnew+fE3xCcToLVNyVbtYb2yatpUnn+W/u8M9a6bCafYwhn FwUnfIqiEBoBy8kZi6Vfu5k/T4YqiGv1p92dQfMeQ2fDXmWU0mywRQQnHsD6WZaBvVBiGXFCMKT2 zKrcrbXSlOedr4adjGwfdt/dB5VaoWCiXTZK+bzT1To0/byyIQM+NFmQN/sUhWF0pZNK8eZ926Zf 0wGytCJiptg+q2NRHI3rttPkygefohOKQr8mWemnycYQSDXc70DM3oNoitMXxdGtqVZPfAMHh4FI jxkGEvvzzlVjXPO9zQBVayPfbRZF0gAwrw6wtxr32ydoAIrijXsmzFxD76G09M5QNtRB1O5B1Fuv AEok6fucn1mJPGowIWub8rT9kT7YWy/7PkOYZqgf9TtohioSGWmL9vl5J6p1gXo1Q4wNN2X9PE99 56nxLssANpqw8ap0IlCBtXWrAJ1M9SpoROE0MOZQCGJ91WDQPIjO6jzZusB7E3KORbbO2GwVsUWl vN786mi8h9MI+cjnH+QEcVAN6MwxI01ar37eOWoIh/bNNgwYCdD3naEoooYJGZS0vEZW7gwlyfpg k2Ed2AyFIfXQ1JBezMFmiJK9J0Dibb8RCHUz6YNnx8L38zTG5tS7RjOEdKxTRV4Df9MGY54mTR9g GqKYWlAxz1YpgSa3XVbmopCagIYpSzCkq9zbhBARxaCuWKfWPouI/wip8RvbcPPVzUCHpKITF/Iq QKGss2+H28lTjrzo+v3OTutC8qBERil6DnYKnR1GkesTweXPOzmNscL6usog9NDBULMJiiLqTEcM iYeTYNzM+gquHu7XvGQdn/hzonCImplENGjwU6hgx2VW6FFjbZNy2uhw//RNvN70U6iQ6fV5p6Yx 4mPnWcJ1HPS9QxKINfoTsM/POzOty9bnmSEImVZRrjhDUR0aFqOQEBze5mmGQkPfL1mbByLC2mPv vLR+mURgKthwkUMTiO+sehm0DmQOBknfAY9q2u45Co2GD++0tG6eGFAzXj4YjmGAtsrbqbbPOynN JvgrK0PrCsAcm6EwpB7wnZFUnbgyyWYIOh2+hvIycNU7JQ2fnjhD4HnbYDOEvmNrHTfZSg08REfG nj1dgc5EIut4GIqKvDPS8CGMgxpuARs0Q2MV0clqynw8qkf7LsPfpWG98GecoSikhv7gFPCW5Xph h5i3so4pbZpWrfLxzkbr3pvfzOw12C6bAI5iH6jtfM45Jh2FRBHckFmAD+4y1sA/72w0LgIiGHFt 2WC7rAvBjsBqft65aF3uQbaGSMpCSN1uav/ORcMi6mTuby8O7exVaYilOsiDxR0eRFFZGmwjgDtq 98HjxTQzSLjMyyrUIQh4LFBPoBEiVQu9aI/s5/NOResSK8SWzcsHn6Km/nPdc33emWhc6D5F3FIg MJdbeX0nomHp0ZtnWHtnpVlvXtZduwcCC36XvUfUSLu7kFTFh5vag3/WiPDa52KoiXfZmaizZgG/ yTTu8JbY0su+H0TAA8FbgSc2B81Qo2gCA8a0P+80tP7oQOvbA4O91ecyi0LqSbn+khx9zva/cWJ6 thmqQGFohqLq9BABf9IKlIOFQ/McGM3Ssn2WUJoUyp6dYmJge1weg9QnP+8UNE7QlhwdoeeA25pl 89ldjbk5DCM/7xQ0lsK+j+pOK45yD6KwQA3490oukjWpkm59w+460BMHi2boPaRG9ZideEy4DTZD pZzQrhdRqyAyAeY+sjIaAs9Oy0mvn5GA9s4/Y/WCJTLy0TUotU9riWFN/tk7/YyPf3cOoQhHLq1N UBRRVwoatOKbrA5vrM6eXUqcdGKd1O8RdZbjA9Kj5IMvoRMLjYTIEJgOCWQx56D5Xoc+xnXhm3T9 fmee+R7LiT1ZDQoYWz05LOXo4BD2TjxDvDn6d8AISqQ0jdh4jiLqxglalsIvoW6Zcqw6LWBEB8g7 z2FEzRJsGeUO3pdHHQ4ytNT1gC+PGa8knrng6j8wM3zi5511xg6XoqE8fbDk6kQ2uutPgvZ5J53x ts3fWwxBWN23Mx+iOkiBIUWMwFc2t6x8loaXz5rrhrxTzqwM10FQ2D7YBEEMZLVEK9AOhYbdCCNG Is4vHp/IFisIMEXv+gdyIe1Upw/aYrnRFZYqPf3zTjjDr5p8i/FELiwD+Bn0zjfDCoJafxrT5Z6Y /bFELYcTsmB5HHGLvdPNCApqyuerD34G0R8GisTAvG4IZQvZAVVeNFnaw8kjQenzTjZjTobDp1dS 8jioeFZAHSHL7hwGn3euGQ/p/nUGgX4ACp9PUBRPI6+dK7tY/5wm10eVD9PDAgjH8K7vTLNujI5Z G/0uOWiC1kCG1jPlsE7SmuZcqnsgMcBTlFiwM4hCGO88M6IAyDfnFgPqHj9AlaG2Eq+hE8mXzzvP jIWP70MI2BvjmXGGonh6V4rjJIXOEOJ2LfrW8JB1yqr1gd5ZZvh+uHMaPQg12Aydr/fkvOwZnv0M LAyEaSb1Pli/v34YaArxTf9ok6WF/NcGHUFnpiQqdeZ7ft4JZr+DaV54G4gHv8Te6WVdcgyI3lzX oSXfYVM9xUoVLG+TvbPLDBPXCf/xwXfYyZwq3Q1QOIP7Og2OKP9bUHnCgrKMtVOG/p1b1iW0dSY0 6yTKF1nWz4WmjHP0+nmnlmElpF8IGFrJZgfevTPL8KG50xrMAkXQarzqUd08DbevX2JhcZrITUgJ ++Agj1l2hSqY1V43W42jTQoWTYnqXc4UYcDvxDIWzsgHLtS/4mBtsupmBgtf4nsojSih/pqhkxCW 7lWPd1oZ4qCTq84u+ibUwq41WMfR7DgP1No1Q3F1OksgVHKhBHpM5vT15F8deTtZZVCSQW57NglA TJD5gVGt5fSdjcR3UhkL8JOhQaKqAyA+3Fp5Qbqdln/nKu+fd1LZf4HMaPTWLprhnVOGewyls+yp F8xPPB87m8X6ZIC5Wcb6TinDHqeUamlr+eCLCIl9zeJubBP6A8AZAAhQFGe/fsToB/EzomOIhftC 7RRSJVi1z/sczhJzGCes+rwTythE+t5mgAk16kpqhqJgGkyR1aYxpc+J1K82PxUBWTpLrZlH4Tub rMuxhF3o6sMFSHde9kIvn9yyFJY9gB2FgyeI5ekuImKp3slk+DoZJcI12Qcrna225OR5fu3PO5eM 71h/XWQN+jYXqBhG05vl1+Z6hYkilzoezDYVThPgLRGpGNenkzqIwwfP6c8sDMDAtM2Auc+sepxv b5K11B+kPVm1n3cmWZdcPMwdyN3ICIYUA5+MODOn77nOzzuRrMuIQhOCFZ4RHbQ9PeF4J5KxbgrM B7WHqFa4t9iJGcxvrBycRBCJkyb/O4+MKavpOScfbBGdQwjc+ypAHu4w6tGfdBo03LNPQGXzRmIr etdom4lk14oPgnycc3qZJXEfn3cWGU6C9V0X4u3Ab0YTFMbTgJFbPL1RFxrJ1hANiWVa0HiMcA1F BWqypGdK0pZ5iD/AMeMAWoS7niOjCBiLjQcL0g2hqnXliqe9bHBUM6DOihfzTclO8jpICwTwkY9H lbP9fZmB05G6B9TvBDJCOtEwTzRIg+QDLWXE/l1EwlCreLJN1vlzol1G26tM2mbOt8kxNrwbRyGi YVckMiS+lk2LEEjLibAprWLyEN75Y0StFlmnJR80Qw0kRmkSnID6nT7GaOG7ctbIaigeUL+zx6yU sNrwskdPF/RK+IolrcPLHu/ksS4pX4TJ6w6+yc5iQeFDATXMTGnjOBd94FF9RSxuWSu1SD7v5DFk rYypdyHvh4Ol9WtXdhJPDtA+79wxdgC+T2qU5lu77fp36hja9QnUsWpIcpByvFGWCC1lkwOZlO6y d+ZYd+F0GjHaYDH1Onf/ZA8CbA368Khyhq8a7jPtAb4Co8zPiCbIQsXtg11lwI/yaOl7fN5pY5b0 fiUdqIgjGbUJCgEfA2bf0iMc/JNd9p3ulvJF39W5de+ksW6qTZNoNRvuBCErEHoRciJnQ3Rhr3BE no8AmMT2GCVtP++UsS7xRaDvqNXAQROEu9JKi4WPR73oBxODHSVn9OmMlnfGGJ5LaJjPbRoyUM2w NfQI75ZyoeXvhLG7yypcSGzw2hka9rTWQl4GFnkVb5xNaBi45Wv7zT7m550vxjOTEWNe2QdPXM+p q8P3JK7vdDECq37BhpA+U/LcZiiMqeGM3miy9X8UTS0uvY9T2xL7Nnhod/6cqNlaWBQa1QefIQAY C5wWC/kzVCIkyJpnJHEf7uSIQiM/IwDnkQ69Vr6DakMJbqzcZRD+alFIDT2Gr4ARcelqdwmFITXw nZU0JhbPZjWEeUdn2urTJHrpoI7q00l1V3A/bLhXGUDONCKAoNVZLJREmUjJKrWfwDBzU2sd1CHk Ayc0LCazDwaK6W2yXNfOlsXjQb/+V4sDFdMKrSpjt0QhNaDkE8IAqp6NetUZ6NTpmvvNyov9PaRG 7Y64xUTkR7seVOc6Lwk2h6y/zrzOAb1NFaxDcAu6X80j6j7sXf8gHUI+tvugJZSzVLGhJ1vxeAQR zt/xItViiqf2PQqokb2tdvX1QPOy6pC0DhQNbS8v9gjygTjmzExl2MjBime7nAAidWtx1JM2iLeJ 1jtEVn7IrlVSxq2OzwhSDm2u3e4g0FAHoZF4hnpSjh4F1KnWr2CIxOIHNNTDgBr+H1CAtGN6rnoR H7s6T2G7pVyPCtS6yXI2292H5AJ7b0wSm2QbCg+kNBRg9SZAO1nAMxF7sWjxIVF1aJDAQU/QPhkj /Ij+XBfRmefQK3g+gA1daJ4kZxF0wEDK5igkICLpyAQCCt5ZPbUfySBEBQDKptu+RyG1VZ6tQq8k yVAxMKCGQi0diUqWAXZq57xmFFPoDuiaDEUvG8RDhMC2xg4SB7vL2qiqgM0y8XjE0LzIKgI8cRCV 5DF1j1iIcBmYvRtj/qSwNP9AQJTlA8IS2mgGHOox6EPQV3Gge7qdsk4WPoBUkrHMMlEXxG6DMJXK hS+yxtijMjVMgAE6KzYUklGBCh28VkDxKXg8uMt+tRIHYtt8Q+oehdRo8J5sr1srMS8TsUKlqxoU /5zaoFnisu8hE5HhECqTPlx8J4Ij/CxMEDpx5Aw1DijYoGLoBxEaeviMILOXM3qi6wcHFWE7wOcE 53Wc1FFIPX5z7RiOXehZD8vUHSe13JggzETVi/8rKlN3s5BL1I/gSR2XqanlMeU4TAdvVYfmuQUg LEA+2QYadtGnYQNYRSIWqEFXvnLqZYOjulCXeYoyNR/K1DBK9JmhiceDo3p+N1uhGGAyqJyh94ja 9AYLsBSZhfxJrerCGiyaJXRFQfCo4lAP+YimfqraR39ETxCuF+wIVRjP4mJEXdH6HJCqnMW1z0qi MVqPqtSwqKMCU/fBGVNpJ1mj54nHIzZr+Y4X6cp+8Qw9wlBjCcGsLLE4dM5jpO70aiT0XF6N7FZr j0WAD7vLEFxxYCGCdfyzmU4W1LpAQ6ksCsdUquozDqVqpZ1CqKThQ4JwiAlr6eMOtoSWDk/e3ng8 Qi96cWizwIjE+WnY9zCk3jiGaNsO54GTaq/HztI8YzLRzdplUZUafhJUp0g+eB0fF3PDtoPTZMU8 cQ21AslK7OnORbTVLht62Shzle+A6PRr+i6Du5iusoVdFjIT27dORUZ/ujHBFyU6iqnRz1gn465e yB+euI7pOPOS1jRK0IjK1JMFtDJZpubwRQlC0sGsI8H5S4krbseKGj6Rlu6qk/WuUTOIesx93kEn NalrS/WzjMeDmPq2y1hJIlXr9oJGFFMDaXtO6mSSOT3V5aiYXZtNUM8u5THCIrWwr9I74eDh0JmS UYDPRYVx4wMai9TgNsD3M1NWy4S8gJDDh0Q1WDp+FxqiIon7GdNu+y4RPbDV8HzUlP5VYgTKIF2u wohx1GQoGOoeNorbOopLhGne9mhVa4qiMjXoK+CT0VQPeRjelz373Whh0KlXvdZmq+PcCCDuIB8s /bntzycOfEaA7yRXoXbW8cHcED1sERTAcOj8g8f/RQjiVQvAS+k3ph5RTA1Sy4mHl4Hz1nUaQOPY A0aadGqXRTG1AWOmgDGEYGuGoK3Ye1VXGjpLtstwcvCXxQb02x5WVviMP+m/Q9ZV42qenHCO3Bxw QTce/6c0A14OtwOSFZugKKI+707lZUvMCj0geAx1lxwm9sya0iOKqCdT1kL7Tg1eHQImoE3VYPcJ XUB8QMcVtwi11Nm2t14Q0kJ8yB/nEOwveOtT+msr6wCEC3+E7jSejxbRN8WexQXepJqiMKZOaHVQ zIesMpoRWF623OibmAwmHSOKqZdiajGk8xdD+gRB1BbY6pYNqFWSEYQMkvlDv9CPTY79CFHUWzE1 BRo4GMATsgaE552wAY9Hx1D+miBSph5VoRHF1ECnLGgIGVmB6YLICqXfrv10jPCIUNQyqChZhcZ8 UdQTCI09kzYZykRDFUZCdAt2GqmbapYR4DlCfQ866Y5MytTITpk6MQMA/thk50rD40E8dNuJhpaF uK437UdUpEYVHYaSJiTYV3fkRyHkTUKCxWENIypST+OUaa9VJkmZK6hCG5eKxOeup1Q+0xPYWFRA n2e/EwTrzIzPCKIhSkhWuZRwUP0MgFJ1cs41gMf/1StT/Qx0l+w16hGF1OfSBUR4Gi2xKEjkCqLM KC+ynZpfZHFIzbteZTQO3rNHm3k3WejODPGSSk8Bep4nEDdZpBZTQUsoDKlllQD6EvBnZ9Lt6AA2 m9IZsAnB80Fm/yvrAEaiIke0KYqr1NuSMbaka9qubNZHzm5WSU9bnkJhlVoKKJs6Hhw8LWuoOIEW B5GtmtDCR3UIq6oD8VQoLKo6/paITlilrkQJs1itQWHwQA2UC2OWgsej2sf3LkOtqI3LbZ1hlRqm C6UlA36UWr1p/62x2Lx+Nv9WxoNMnA8eUc8N7dfEKvX5Y08U0pmIaOk2Qy6HAdD8XaPElTcZ1Sg1 OADtLHJ5VbeGx6NGh08QzshFIsD2c3pGETVawShNaOGcXwcHzf/JDiPpj+cYmsQOQWgorFKrbNbk utQe16V5Jh2dD57TODEaC4wNrfCOigWVtKwGi3Aen/F3GX82H6w4VC2Eh5k0Hv8Xp0xWXw2iX35O zyieTthjTYkG9QDm5f4W696fYLs6HWjGvESK4kqDIH1pENQOFz50j8//Av1cKEsEScszN5XR3rzc VooQzLhKLROhdQfVPtr5AsVtPRkfHo8O6u9eGYUlHo28GcXT0OA/e2BaxiEKCQ7qCW07J0xBr00z FNaoRXZZvMk4+BI6583ZwpTymh3+nax9EDhKE1rsardd7EvvGk0Qpd5xw9pgfKnc6DZKMxk8HnE5 vksfUPZig8cmKIqnwaY7v05X/2dN2oYqre/X/63jotEhFMXTWLKIEkv2wSdooyEGXRWgzxBbw1IY lgIN2mRoDo97k8HnhC8bbLJEhDDxGhoMFwOcMBPR86p4PGgm/rrIoIXSAGOyGQrD6cGKUFF+ilLg TVpRGVW0SO1VzVCE+ugS5h6p+eAXWSIowwof9dz6KlEDotvxuRQds2CIZoszCqcrFaervJI5aIJQ 6KtSsRgDj0fVs+89RgeCWT0YmhHqA634aaInyl63NTlO7tTtFErw2WZ9cUYl6pV1TEsJpT2n0ImA Zq6bqI8FgGGhiOnZY2fhUH95PeRoSi3OKJxO5EvVWm1IltXvNqQmiHWJx/+FX5RGAyWC0xXMC0mJ CRD8XrZlZDJ+X5SWNcVggD66lYZmFE8v3WD1Gbw0lIEjyhJCgRbX5hKSpiRzrK+sntTfGZWoC2kK U7oFHHSR1VGFVKpr8/EIWfXNB2rn6qvzyp7NCPOBCuJqItoRFVMcjP/ssVKv0fKM4mnUeZiKdR8c v3gSjvMe047pE8TSQngQRzahAEQJbStRE2o+o3gaERsUUERXmJeucNLXzPJpPfkSHo+QQ9+QBiAi an4uspiUCHk/qNmJlNiLo/MakfE8hU5a7sFiKPNBMH5tPIU4OJ8D+VybkvkA8z43KRAiE9vEwV5S Ih3v8RnRJqO+hzzN+5eneTbx3XZOJDweBYu/841FHTmboBVF0zln8uu3H9PNZT4kwC+ZD0rXYwmt CPNhergrJR/uTX+S32aYD2y/SWr0aohmoRpFEfxvJe4VYj42c1aEHTbYCppbdI7WasXjESnR69Ms keSt2MlnKC5QI9/IJnLvJGnCFx1CBDsOp22uMJym6isaPj54KxE2ty3JgjpBuLTTAqZS1AtX077n NBzg+RmRcB5VphvJCnCC/BnCJuyehRvquxY8H2yy4oUhbshJP5kr3RnqTRNZlbcbKrIEJdTHMHpZ QQ3f6h4rRH2oxyHMS9nEDTVmZOuc2rsXS+sHGFgkTeF4TnSbn1d8EbsInxFEi4mtiU0EGgfVPc6e ZneE0ScejxIOv+qFM2efzGOhFdISqT/QrVa/Slnb6x5reN2DMD1NUIiippdihr2WDZdVBpM4AiIQ LfY2pehFVjI9MNZjnUDk2fq7PE3etQ2aoJ3MQOn8whWPR6fQdzM6oz3a1i3gr1B3Gl5Po9j9df6U TLL8pHPbIbBVwKFzTq+QlthNjzv54NEiLLRpiIBNBitiUhU6NFA6sPFwj7OLbLNdvyLER6dNCbT3 fVC0uLYZccEwCo//q8WBBw1x4rHQisLp1Oi/AcAqJmjNa5kwtfEmKVOo33CCouo04L3QfpWK8Mg3 FoITMzxuUBfKgPcrFsrw3J109QJJ3Z0miT1bEeRjEbaYRS7L8oEgVQH3PnPW0QceDyAf61vXHaWq Vuc9hWL1aXZa7XRevVzV+4qauxGC4OusGQrj6cpAGu02Gzxa3DVByLEL0IAuOeayofpJFFlGk9EK Q3tkvWyEGqJrksTLp4xrRAiCSSPO6ZQaHg9mKPsuIwAbuCpz5OYUhdREuZZzl6G42Huy4iJ2nBUX a/NoaIUoahkmVNpyc/DL/ry+NCYgplNP0qGcDCD4Dlr+IDpPmI859K4RooGuG5VKp2aVgLhvYkWq wdz4isFBfakKkvOGngFdnDVDIYp6SttjWiOxLpenHPRmlzsAugs6qeMKNS+oJsHl1i9I+EzQOX0G gVUnFU8A4WOb4cCGBxQl+tyMO62ml41g1EWukt0HRXlrLINRn5AHj/9LxVy91so21D2qwwo1wPAw N7SIcfWb2EsRtrEbXVypO1bOo1BLb9MHj4fGidI7LTznPnPUVH/FBfkDF9Mf4H1vQDSGXjZqJZI0 Jc2qfDWr9u5TkFjwafB4tIrSdzx0Znik4RHjDjEfm9YtXhwqc3ibY6fmZIV0Y+odUhO3+d1vH3wR gUeGbitmCKCGSW3Btih1ivOSEaOUhP1do6wMRaGBJqkNKi+mc66xa3bOPD4eEV5uCZ/RIYgfo/o2 2+8xNR5DBXYPb0fXYQTgieaBzRBxhtIzj5U+pOo1pw9+VsNlM1eTrIKbba53m1Hclxgnu80ohr9D JHUlzx6Hpw0GHEqGOz/h3MDjkbpg+rrNYE1R86197DimPiFjbq74fo5qdwvYvXXfZWsYomHHMTV7 ZEt+9+v63Xf05k/yiphzngz55BmklVEvHZrSPzD2c1QM+vz4jL/KZ5AJ8UGJKwpF3CToD+PxiJn4 XcTPdHGenrjuKKZGxWSuNl1uqHcXhqtXSBiQDzMA3CHkI2uCevHB7zIYlG/s4kK4B6IiCRHADIym 0mXePhAvsx0F1ZsYWDIfbNDZgRWqAuPJh/H4v+QXiyeuwBXYDIUo6pIIFnIti5VdTmcSimNeLneT /SmdN2k0bsMFNADDm+UBvBgfEdAARHvFWcQ3tfIZQg18RpR1bLUQhw+2hM7XLLntk9vj8QjQ8E1M LPQzu73WHcXUlXotzYXzanNjcgDE04+JfcAUUxMUxdRbMgSb+nkcLC3D+sMJzMz+JAoAkdIaEL0K 3H/UFDcgPi5hfEbUjWZKL5vNdW02J3F6QnykhccjVbj9tYJg7t0Bs7QJihAfia1WFaYb2fZGCEKV PTllCqLamqAI8TFYeYV6gg8PJCY10grUry91S6chqXcMesTtRXd716gAS62P/gw2QScXpswCO4k7 JiZ+t4Ga1Is9FtphQN0gXKdpqT/nrFgmF9OLZBr4YYQ/cYJixAdpiSxraLAVhMpcGaYiDEWLsq/g e4XJFF9ShkC03cVnBNFiYUYvGCwHo3LUMdRIhJvtDoWox/cKKtCF31fufYcV6gZLoJqaMabUSOQK osAWGVMLps5kJe6wQt2k9CEvsvZY2UJLEEQgKuJP+FJmhpXM9KnrlR7u75561yAny2aGvHwwzNAJ 5rdYiYuPR3iPa6qA5xbwb7XeYDEUos5ssOZph9C4jluDBXUZ2VYn3e0I8AG1KdCiCe7kYDPUOpS2 QLoGp2wAdya7cuQYOAJpR2uREJscOxT6IFFhL8Ffl+f1JxtIU/qmrTQ8HhVgf1kG4GNQ69ME5RSF 01L6WN4GQjPLAR9yANwyd9lqlOUUxtOMktG08sEzDuQuOIJJ5liwcBdjasH+Buc/95vBhqjKhE8J nLco0ADNVw6Aa+rXPdt1U267tzz5A4Kzun67c9B5d+RnmkL1vAzxKIKw/4+nUjXYBwx05GgLjdPs iuYpDKq3soh8Bw8Z2btFzb4w7TtREL1HwT2bgFMU6iW492i21/1zmiadAzjwUwrDI4ZEozU+H2Qe 1ymos6SE/0t+tc9TiP7IyFprUsN+IRe0OhGYWlZJm1Sk0DyFcGpqCm3e/ho8+WjwTYXDPdIzrCzq MBbY2A+oD+VEd2TBP+Q+msKCNZHUl9kBWFdTIaTV0ow0tvgDgtiofKOsyAzqFw1LVbz3xxAc9WWM xPOnfRWIlhkk02Dbivr4QZGHAGsghXUODo6SObf0GkWG9mjF0vHt7Lq+wLyEJCOua4sfy7bXjdgd ldba7HxwUJJGVz3qMWbYIqe4bn15eFhNm/5w+zGeioLsRFMcGQSByJC2yxCdyKMZaphS1baaosr1 IJ+c3F8bbntopxP8EFl9zp4FBzzKwJ+7ZaItRTVYqxhl6hDhUyI3CvnhEDPDwRB7tW6xgjfMFlKI BblxJB/NwIpOFOd8nsL69fkasRWEa0TxopuQTCJcm1I7icahWk5hAXuQmrBb9cHzNVz/DTUaqO7U c4yTuwcO7MllgZip3HXK1xgK4FMi4B5xscDe2qB5Snm1odJa2nz+fZ7qk9OqqXQOcRqS2zTFUiA8 urth90DQc0bVYh6CSdlr37suCrmnKiNQrrDBd13BycTbchEjlcynKyFbRAO87Hs4Mffip0RpmwDo JH1wsEL/LFNmbXN3Ph+RO385L6DnVPZzhIdqIIV2bwDmnQByUcdDm250VkTojTz3PcKjuHswYV0Q 7bTBNt25uOsaMqcASqpOAonTSVIg/jV+MDMWNJXa7G3/YC+mkaoN2Wr959Lb7Kme67nx+QjC90vo E3Pd8rOUwtAbPHPa+UjSu+Xq2S2hlUz/CX0wT7xIEaSqp19kJ3QFyRFKnsCeVgnsOp7dwORk0OKE +zQ/LjkySU5/SlYn6mvbYARGOEoLo9YGnw823A2amODCt3DsZ5LCYvZACDBuFWlMqyKdiKua3ida bMknKaxmKy8DQ8EGrwFAWy/tYfsNKoXyEoI4N6BY6NlJ1rtk0mDxIUEZqRh1aPug+HvDYFMNaUaW oRPM5Q6RNIuICWomNkmhuyIWIDJRLZqT7yevRc5kUrvnBkkuO5wDe8Vz+/DsLuobladvNJE+nGhS 6jvwbW+kxlAXu4IQsudjP9n8baPtxr4snLNt8MZRXVSCQ3zA5yPNgu94CUWInvozSVH4je0F48nr wjC92tbycvHqlMY1WIxwIkkcNFXdOGiSVkcAmXtx7YtUqkVWE5MEfkF58HzJ3vYvIqNpiHEwAhHM 19kNOlk7nw+i79+ajVxJFweRY49F4IppN8ZygFkpN55JDKEgUgRnLJUDcmiyKEgfCiQ+2Eo6Ny1U vR1utASWGMwpyqKPcrscotLsbaNJIlQN1RcO0wrUBRLZkwd3HXw+yHevQjNfDt9MS88kRZp7KH6D 2LmsZiIsBGsmMiunykyb2ycpjLyp29gUWXKwlVQhI5QLwcUTXQxq204SYQuMkzlJJnbFRnYOjBZx O1CpSNBQDsKLJPCSpLGbK5+PtttdSfzb9CO8sns59FqEQh6sx3RIzyl3D5TeTEEerzt44mm/hZRG gR+LUEflCu+Bl9f2xpnBDgnq2+LrIdQGKjVTyFX5SZdPXmi3uEjQr4DXcfC1BA08Bt49wbEztFss 69fRjTrxvlrEObBbVLS8lIvbWuq24ZrchLmWABC3tRTjRqR6pQ2Xng23oQF9UmeeSm2jlUfxvUJ7 djiCtws9GjZJUdQticsyWYgrrrYB75Qhp5iTMlY+H3WSytckIcbN+2JEc2C4aDDawoooYBEF5RIr MHk5RXUJCydDx8WVzZCi+3ArJ4CVIXlHEDBrpvkpcMZnABS7kJThzL1irxuESnKqKjq7i7eFUwd7 glW4szj5fHR2f3P3BHpfz4aLgm6ApFYTsxqRZefVT/BIW47GJp5NBYHAdjGb4m4iAl2Ds/fQWysQ G4aO42x9Ue2hJZbA0P0f65EyqPa2ERJSZW423jhYO+DcAclYIXo+wvR7y42Iro0bcT1LKQq6qXXZ qZdMyd3hUMi+iv1HcDlq9UmKCt5bLZP8DBZ0g6Q90lARbp80D2yVs5TQhB04ZU44eAVTKYXGTwkk 5DcjScAcbDB+GjTFJe+0Mp//X+S/wS+o/fHvDM0XEe6irCEwGxr0yQlYohdP6u7W7bP0p6H5OSfE ta4P13rvjrhdrl6NIWVX85YNBKSP43beVrK3jTRBqTHXe/FBS2nBO0zdotn4fJCalF+O7zR6rneS QgNGFOmAszE8W2kp237raSSrLKXpfPQcOjCKkJ5QB7TBTyVgZ9mvx1d/Aj/8MDBosO0W9fa752/C s+XQgnHKMAanDgfrxCaImVLGqhespMCDEaTw7/ut0Az0y7M7VOSj6mXtqpJAR8R7A6yYZbeM8UpA 6MKIeYbUHNWMsggJ1qJEMRi4NdQyBsq4yPR4M3Toq7R6gaNLntShCyMBkQmOuz4YDOAkt4w7sAn5 fFCkvE6eejmAfNpNckMfRnQzVtL9RqXZ6pziJkeCRSxJNjZfDowY4Y5Bq0rtJQ5+csNTLaFYhUk6 qQNE3s6hhNBVNUoQKw34l2uy1/2LNNu0BhoqmuIpFng7S0Nkr80fEMXdv50twEpt/U5TqHXd99eJ PTs1mOW7XJ1udL617adS4MZoik+Dmjk2+DTVRju9ItGwc4fhOILDQv7ZKLsVaUEozyVnLcd2jNX6 lNa1LNZVA5ee3W+g6Ph81PGeX8cSpvkcy88sxQYyYCITwkK9a3hhuQeRtXlpvmyYgBw4MuL9JSWS 7nDP7hNmLHT9cPvA1JNaKxNA8IrSFTDT3vOey942mqRKiVm6ky9UgbsIEX3WXkUahg984MmY6E78 FSyhI9Dy7Z6UqNwN+g1WzXZoyXA/vUXDezGzEJfbLMVqIrzaZPDJwRNdwW8IT9odPVhCugdChbMm 6s9oXlcykcdcwrg7M9GtIojWfPu6uFJ5w53Iks9HtJHxNUkgGLR+1fdzaMuIeu+S9wv9voqDbc8v 0dxLhmQWm6RQpY+o/0JNHg2ewiEb7FlU/gzWERVFNqs/VF6jZVxXX3fa2wb4CbaE2th30CSdAHZV m6TN56Ow+wrw69Rn3nxDytCaESTpE7NWic7OQeEAYUxqsw0HF4fps/S33XmRLG95ZHmhGYMslHbn wLAYTGnIrASGUdcK5Hwf0942mKUqJ/jZfRCIYg0Qm1gO2JPPR6DkbxzOZvBxLVBz6M4oklbLXjPp Kdmp1FO+NZM6PM8N7BnRLWVEuRVR7i/1njPDE7Zx1Mg6ZwC03mmuh5wE3/q8jHWiL/ghwQ23cGbL x0OD8twz8VupxebRHUJN5m8+LdSN+sOyyYFHI8xocBgJeYP6G2t9srfKZgl2Du/mkMAcmDSirrCc gGWD977R0V2JPQEqWqHfDcU+ALHhKIRWNguGiJeqv24EcCdltM7lgxbTSZm7Ybpq5vMRkeTX4Y0W +lfnJDRqBHn7zFJqxmcrJlgDFeORnM/WundOYqdGEdoKkZPlgqthf71WmU0yxmdd9k2+wIJiUM2s peyn8+1vGxVNiOsa+w4GW1IzzOvdgVcjIm9fTMTzNHHh77kUuDWW/4d+FhCyyQpwKd8CnKvQF+gR egEusGuErhTbAanewU/vCVkqfO1YS5DGZp9usuw2EVo+boT0V+aHRKQtsWqJpuCgLXdyaWt8tKyX jBCmtytAEhx6pO3Sa3Ns2ZhRgCOUXm2B5WupIjs1v7TtGNMcejZ25mabno0aLKgskOBnhIpWJVyM yQJIwKNNoKkJ2XWAl/reoWujSm9sMthgGcpJFg2Vkwaf/9c04S9DTm/k662bY99GQJVzMRYyVImc D5BadXm6XC/YJDZupL/ORvBog59LkABum10AmMrtzFmCmMEJWIjmXtde19regXUjsOdEmVDkUINI E4WlUMxS5WKKQu/yO0GZtG68l1zg3Sgdj4XGjHV0Z3MaqdlYkzUBX0ibpX+ZoY/sg62l8yOhyUEe 6c7ALpNZwfU7QHTNqz/aR3nZ6wZ1ysVjmwmKBtVN4P9Mif2TvE4+HwEqb8DEnm4FD7Df/kng4Yho FAeT0O7gkq7qVMmyjd1+9sF2+k0OTRxRi4I7gaAm5QtqkkG1gU54Wef6Pgkca97Qw/iZ7MeUx+57 JX/d4JIjAq4tYijauhgKFC2XIDmonAQ+jt9Fb74d0lO2b2yWouAb3WPaCP5Ihl6CEaxUtq3+LvRZ UDpk5SRwcoT2JrfcFiV7X+wyLBA7RHCarJ3yWo0Wc2Ybf46Gfe0wSF7jh0R6fiyOtFZ9cHhXUpm9 dp5LIbw7f59LAyWbxzIkB16OSHbpkTpcE5IeQFxKJ565NW+602opxbG3GEql+ODnUoHs/MjCVMJI FXC5vuFMPDFBKMbeHZeWvW5Ebt+87Wv1QedSo9g6ZunsXz4fxZW/XDH41TxwnNDOUVFl403Prm4u bhK2Kbm62dXdjjyN/Rzp2IyGpA8PkaJBdGjx9J7ndCUXh9tsUp8I3QgvVfZmrxtBBSmS0AWF65cT CFUB9rXOtbH4fES+9bYuu0yoFcL09k5TiPQ+t+aiAD6nKdXl05SBjuM0na/qtplCU0cd3+d47T5o mhr8MSgyinMJ4LjGxcTu3AKIpVA63yImSv7kwNVR3g3nNoAkoA0KBXZtJF1CeWHy+SAUuL0B6Y+T K3XDytDXsZ/XmpNengor27phJaHx7OvWi6MIjB0x3eRUyC6sPnZhK0Fbi51qKPyiHqP11ilzA+5Q dTwlGhL2ttEkZbUqVbslPCjRSF6lKYMIBM6O6UvATmVv9CueBkro7Djhs0I/Y1VOZnGIQKYgPvM4 eO34JEVYb1Z0oVS3fPAdNxCgNjZQJjGnhDkvyrCCo8B0U2ncULgUeDtKWffstEGOFwdlKBumiJKU GHo+uOFa/T6WWAZs91gK3R259Cjbb8yK7syKmVJ3ehc0SW2SotBbAIqiY6k8xxKcRs/JWkSAg63z ZrK7cLlV6HuhKeccStXgQntHuGdRbpQ8r0xFCNWX4CKJLQ56OH9AJAz9TRJsS36ad5ai0BunBTRZ h3G81KakF2/2SiWU0LwkEFs8TsNRLB/uUgIfHLQJgLvO79nELJB0P6pi7WHBJX/bqM3UpCu+fLCY 8sy2qKYZuKXQ4zHfpcS/nKrJo9gkxfLZJ7Br1SLvOVRoQmsAdWlr67J+qTggdnnkmb2n5AFc75Ig 9XOHdB40E2iSytbmSa9RWULBe7fLpaRtCj8kwlJSwqWs5YNyXWCGqDBeG7Dwoc3jY3WgLgC+nnod 6HJo9IjGBZwsloWUalNSo2QW19Uc3X0Mc+D0aPXcgc6sDzeLOydR6yzcJsTzpUoaYCHR7NQje4Ru +rbXDdhMDJP2lDriNP7GCbzLqOJ7nUSFz7+f3Wt/awGxcJiuTEkO3B5xwTXScpXhng03PT0Bqf/H HCGSK/zmwO5RzXtKIhYfnDEAAC0adIwpx7nOKl1nAdqbQLiW9DgXT6q25cDwMSsMgERJ90GzhPRH WJFZ9fy/VCaEyQGz/PEuzqHjIwywzilf3QFbpG7qY2zCdYfIuZ6fBJaPOOAkbqcrLj9XXIE80omu p/QR92xMYZTWI88FmOOXMlkOPB9BKmLBxAq7dXmuC/1FdS8nWpaB6SOaA/MrPwGXj7UKTdLnJVYa 5yPJDTrxcHYchThykFTLSueIgyfsCMfSS6R0fgwUb6oEt7oPXoAD+XkUAQROeNRrV5kShS84qCAn Nk3/RW/elziJb6qKyaakvwaFAHBn505pbeHp/5odvuC2psDZCUvVFeHL8V/OtxLMjpx53Qwc7g8O WNq1iTBQmD/aoR3MDkxt0AqQfNu+TW9oucBejevn5Bzo/hP+DlUwkGp/KGrr0yP8++v0nAijz7ej 6HyJS9ox5zc9sfbr9AD7vmx6mHqM4v5GmJ2X6IgPQQhqJVa6aCF2hf+GZGiJwenLXXlfYiOtHQkj IueywaLsk0o11P8pn3AS3FRMzQT/BYcVuT6GeCOk+yUy4ptSbDKjVOeDTQ5IxDIQ2+3zEhZpau2U HsaemJxLm5yXoEgba4BLWdlEggxQsRMHrpTemUwLvzsn5yUk4o+Rum+RCnK5+uhoced0fpPB+j/k Q+SWMWmMCmoUdPNscojb+LwERPruyQusW7a8GyrJVTvrTArB6ifkyJ+XeEi/aPfZ0XU1aedts/MS DWlj0Y8mdW9uk6zGwHqz/SCXx7TcSTXaWPsKSvzWlTjn+zmOWeaA8F8vlW0mdks7eZ90u56i4zKN fQmFdO4U4pHSvoPd8XWailQ/QfVLIKSnq587SUcUDGmGzc5LFMSHGmrZdZvQz2pkIKj1n7vZXReG dDIJDWbHiNx7FR+eRuTY4vGeEx96zpT6bwvUE2QKmh3hI5jofF4iIH2RXegIHs4c1NI+S3DJjejE vJ+X+Edrxyg32lkoA7ZFs2vMzkv0o6O8FkPYykRkD2clVYIV1fPHBSgLiGh2BNfaspXfV9ZmMivY sjLag4h0zA4sQgFBO8cYdMc8cZW9QTA7CCXPDyjkAHKwXOPsqKEcK4/PS9yjU2uV73On0aYs2ey8 BD06lCuyC4nQ0yrdfMPw8abCUVJFSZVr5yXk0aGs2flvCxpUGFulNsKCu9Miyu+cpOdz4bd14ufH Kp0qaJ+XiEffgA6e1e5gqMhqGf45m/PnJd7RG5r1g3YWkwvKdXN2XuqM2lkkRqDVr1S1JT93evKq B2AcVvV4qTJqV8v8vNNcloNf6BuwV8zAOXdw9IwqQjI0cmHRBr7zRbE1arMFs0MtiQQveh/4GeeA TV0lj/Pnz0uF0U5GXzvVHEQFWtX0vNQX7SqAR/pYVu/I/NtqouVVHHOUkHBTSSI6lokPya0NH+7i KTt1TAG8XlalHOfZCZBvAQsJDbCHpUUDo5faooWDLHbsOn3QsQyP2dK8IvRSWdTBM+/BwyMch/se Pj1vNDbdxpITT9MwIqu5Fsngh5J8BDMj5/oFEzSEWCut+OCFjr1SYckQ2WmDHhnxtPhiBjEbpOaZ Tm0T7z/cXiQgg1Zig0r551ZsMjAqA6TRaH/N79MHojFUobEZiiLmxASxm2PabNUM+KAf4rWg1K+/ 9RuFzZaQvNGtsX+F60AK6ZiiSso/O/q0b8ainDhUCy5Z68JCCJEvGx3PQvjn4YMlpo1mmUQx8PEg aM6+iOitgh5fnneGoqg5Uc+GvUxVy7ofQW207EdQcTvHN/6arkF2OqiiaMO93QEwbszd0XkCjwqR IcIBKr0Tgmg5hVL3KG7uQnKkegcD98NOkXFzA+XoPXCGAGf/vsDQc2hs5XOCosgZr3iin5mtkz9K d+wM22ymBT0udiY4pFVNZD3QhruEeDSzBHR+4kmp2fJn5AP41E+W86RdYUkvG6Rd9AzZQOPbYFk7 nDtYASoAh4bB83MOkcaNClC7idcbc027Y2x6h9g5jR1iU7R7daZoJ5VDFddoiohVB6jYB0u9ClTr UEOSeXOZgvxlRjK8VWjnSFWtLpmW9/jZ663QX+UAXQgtohO3Kb04mV37vNHWtEnLd4yY81Axyaco iqGxPuaudmvN3Zops0ExxMT9zlnazaHnjbWmI58co5NC3MFW0Tz7dc9t3rsFsr5SuwH2HmfJotWc yq006HkjrWmfoOmKfGv6oGorCnBE9kFU9fPGWVNQk9bXOZSBHwVU0mfoPY7Gp4JC02uxWqtQIahI QwTEaq2lXhWbKJDu5IhWVP1tsBkCSHZt0xg9x9DM5Bltlg43L/p7EM1u7/o+Q0wNTt7elw8WSJMQ z1Ax788bX80O+mEzxNkESHkUz1Hf6Gp8ChWQc4DSDZ0kxOX6NY0mStKvQc6hJRTF0kPXfNrbh1uL zufGqMTwbXjzkTZzDiIExpsytf2RP9hbL/s+Q4OS4mkz4+CgGapzLXVuzjHxeSOr6R2fTEwQK+SO PAY1R+8BtTSUThzXktVYiS+wGqvxjjBHw0vRUUQ9GCtSctEGW0T7JO+T1xa6iBvKvoy96W2SsIgk tPfV1XiPqDMzPvShSQ4RKJJ1Dkr3U+CnQ7kmCql38kIHK9gKQdY9iKKYGs2Kk2OPblUydpykguRq kbTedRJWGFSzdygmowY7q6k52rXN1lrnGJexLO+kc8PhMr3NsTn1rtEM4SCaZFBr4AyRDt8EWgSu IYqqc/sOiKg31PutIkZBNeK1CclCZaxT7UPAiBr66UZ47G7p+EZSswkSsTjf4fIcMpCBtHTcqEs0 8YuBFamy2/GkI69l7/p+mTHdOOEBNaE52DmEmefZMkv+vFHU7KhsNkHMOqArQL1jTVAUU2d6Xi5z CllFgixTho5XDBpoIk3Qe0yNlSg9+pZ98HPoTFZn6R994t1l3gynU1TLoKi4pp9Dhf32zxtBTbfK IkGNkaMGfe+rZ8lCnOxjft74aXaXeb0M6xtY0oojxmYoiqkJZoAQrp1C2+BWgA85Eg0ho11lb+y0 W+tDQpa2Dx5TFzTWIYR7ZggMYDmF4dv+GYSpwIPPkWg0f3gjp1lexhI9xE5s0BpKSYUJwLfb542a pqrQ3t95GRgCq3pV6I2ZpqB6s1Tm7s2Z0oWcoZaWBdWwybNT6I2YZkEDC0KUptTgm+zMRm8WVGfY zrGmmCE7OZEFVshROCaG2iJvvDQteBFbNnnlHKzVA9IyZ+iEEJ83WpqdYu1rhmhej2TJZiiKqeGN CXCB6fafX6h75qpwSBI1zUrSb6Q03aSyVilz+uC1IbmuTq4hUDamatIVhliIp3Mv7mNUCmU030hp tsuIFio8h0rxCktaAOzzoB5pft4oabpt9/cMoQN6DmHPXN8YabY3WYzeV8v3OmGhemdZR6rN6Ptv hLR7CkK8R+d1fYQgOontmcayEDgcclghU2rANjXzlLaqPSLjzxshzeL2IWbMHe4MSTvtZJjr88ZH uyH5EzEWkCrK7Wq80dHsHBpUQLPcHnRBz8tW8bZGoi8BZ+g9pAYXSe0ekT/mrU0P+DJsmtIUFPFP BkU4KEydzy7DDPUHNjzb0ssGBcYhKVa6E3PQDLVzyclQ73zW542Mpt81fyf3lN2u7a6hKKbmDLXi 51Crl8wwiYpShRFbQjP0HlMj3MUMNVUaOVg0hAxk0lYTM1RltAy6A4o8aBrMS2XIw981mqAhhyeK 90N4yOyb4YNI2X2glT9vRDS7TXyXpR8Zp9Kj3WYoKlEDIw+p72Hn0HoqaBKEwodNaspwht4japgT M2NlkKHBc3twhs82Y0seesdJimNJLPRO+0kvoJGG9sZCsyYn4yD0i21Qag8hP4HqwEJ7I6Hp8fVr gvCx/ek6h0XqKZceN+RbxSdoUSdAgmuQsNBB/R5QZxZR+jlzcvLBl9DZQ8CPsvgB2kcasj6YZHq2 n7GuId+kA/gb/exZQvm8TvZBd307S5b/0uAV9sY+M9TCd+dZkpvJV9Ab+cyaHKgw0psc0VCSEj0y DlSKPF7snre+cc+sBouZoYmHDTZB+Rz92KPKOE6yycjyXCpge6JAPfODNMMnft6oZzeaQQQyig/W ma+D7oow+MufN+bZfwTU3I75wsw4QVFAjY+B5IMxqmgZbsc0e8gqn41uNP032plNEFLRIUWQMS+7 A04G+SxnJq0jkbrK4wpiBuo4IhbqxoEpetdgghb2VioUf+JgW+wsoK6k9cRrb6QzO2+/UzIoKhVS cTVBUTwN0C5ur60+B42CjaFP4gG5sAN9C26xN8aZ9bCUzu/qg6+gOVmcI0EftWpK0EAL0OTD2sPL Q2mA7xqkZOTjjUHyCwcVz8rqIi9Cu/zzRjf7j0OagSEug5Y9WHxjm2mCNtAd3SHmiKe5bEAQ3yaK dX6Z7hP0Hk67P0/PPGA4aIKAlk2IqsR8gZnOtLJHAwIFrhP5nkFUw3ijmlmjW+wgiD2h1o0foNLQ apnBIoiXnzeq2X/UPRh6l0s14wxF4fSWqvG2JUQDApega9tbZa0Y5/yNaGaRbmdtmjI9fV9zlRMi n/kFQQF77HzXltcCYaYCvis8ZLbaP28kM8vHeM2D12iDjqATfDYiIs98z88bx8xi6fqrT7YJefDp eY+lpagD1dniyHLGQZiepeAIyPIGrQFNT1SeprGV6mM2OEXhnBVQxra6WUqp2QJCwlgAScqesHbq 0b/Ry/QbmquB/OPb8nSs00iNH9fr541dppVQvFGvlhpcZbMXPd7IZbqdofNYWk5W9GhPwrrSdpRH nRYovnHLvpINOnHY4NXp8ybnZKN7EWT1aakC4xAgrnD3nF/wqmAJCPzGLRuOgWoQ6Mo+WJ9sGP+/ LnyJ76E0FsN3KE0lRWUcnKEolAaB99wuy5EeObvvLmxirNXaKOTNGYrK07rmwWImRID1+6l0DCIn RfogJ6YuyGFQnkbJjPgYOuQopedt/XnjlVlp8FtSFQrNzOrzAgqTxzQ0bD5vvDJrlH0fQqi1ncD+ 7rIY7rGhXWj5GPzaTHYWItZuNDcBr9UUhdVpSjo0JFg2+D2GI6Jlce/On6sAwDBs+6FuwezXmpjL 4vPGKbM+mdIxKRXgeCewGWwcdUd+oLDweeOUWcb63a+X8AbOes1QFExjblZPJpuyau3e4yjZhIzh 8NSsfv9GKNPbSwJzCAA8nvp9ZeuvF7ETYUUDLbEB54EftILALU93ERFN9cYn09eZNTdz+GCVsyJM Jb6R/Xmjk+kdS/mKhfACVLkxpGIUTXcSpbrRNRZMAFwpPHfvAuXKaBpQxTialjNPv8OtLc6TRVDS 8mTR5yCC0RXQeIlC4RVG2hdFPqjO/0YmM0gCFebWsMGFwtsExYEhcp2fNy6Z7dLvhEzqIkLac4pC 0EenjkOmBQ9Rr4sERTQOENhKX4aaS9hmb1QyfUGbJxEdPzX4IoIEzwYKBIqFRaBEkBLP7NA7aaaL IgeinZ/xF+iMkhA2CPMBKzuu2vPVjM8bkUwnwcXkMTnB7cBvRhMUxtMb4gRUM4Q8aIb1ldYQjlmz LuigolKT/41Gpp8joOusyweH2e+RzjZgeJ/RdRUxH5qjECTbkKtaV7QYsNXPG41MiwDacucVpRTe fTFsHHzNtJr5eBRQp+/LDO2NdBGvbxwyCxIWtWW3VPjOmbeN2brQgM0MFxMaLpqhMKBe0nHigc3B 2/UTuqCjJ1Vf26Rw6mjARg9avYqzKcXibS8bXPcqmWW5OmaDTZwcoKwtkvT5ij9vDDKLFtb3DAGm JQ11fmhYnx6kabrUVc+3cralSdDIRaiGG3rjj1mbzLSchw8OiwGcYXdqOAGEAG4UqeYALYOdglnz rJUx2OeNP2ZpPUPGwboHB4WMRFBL3qK1zxt9TGto34AIC4ZGP09Q/cYesyABuViry7Gvo1jMmGSD iSZHmk5IeCOP6eNZEqp938FbrS0hzJrbrnsgQMgvQ5t+Iwh8sK8g3/AzohmSjAxjaw7eBUK9m1nH Hp835pj13X0NcTKIh0me178Rx+wpHM/O2Dh/cl2LPuU7N2ihsoxe98Yb+woZO3UbNNgEDfSi+qZH OrQHuqLKzG49etGtXPEP1qI+b6wxC9yZr5Y5fNASOiu8dtUWCx8PwHnjGxQjj/Tp4dAbZex2nmjU aeXpdBuJ5uNM7BlKtFpBcUyNX7snIj84ePF1wX1gZ6Vl/WRs7KSlLsA9lH76PYWI73wjjN28irKN 2QfbY3tIobKd0+LzxhezrvJ30oF0i6Rsm6Awot6o3++qOutqbn15Jqi5Zvq5q11ZvoUI6mowhuSD TxDQnbsJeQYYXSUJP+GDawGaAFe9/BxpEIPPCK56wqpSStMHVYYYLsqYuGY8HoSLvX9f9YhK121w tDCgVit6ul1hapbYw/7KEntkJFYZalF1mrDWWWcaPvhFhoYE8wNKWp2oZZMJXNHipPhTXlcUxY7p KKBenBrorPigJcQmkK7vMvB40Kwvt/TBMx0BXhuX3RJH1AlBidXq5yBKV/oMle1X6e4PA+H394ga xzSrZgyXNfgpdNKLxrDnbLLd4W/NtISeacjvK71AlopDXe8aNFoZJ57NVXzQGsK7CllXRsXjEUTY 15Ag6UjqbwuoR/E0JCHOJtvSa8SJ6sWhQjdkq505ArZHgA+JoTBNscFqZ+fPZzugsErVgQZ9ABI3 ExEF57ycN7Hn0sNnBBkH8TPgPPggyNAAb1Xx9Mk4ehRPp/qd18PMqfTu91gP4+lMNqbbozdaGOmY TvPRRnV9ph7Vp630sWSUsq5RysnC6om0xpRjGvhvysmo9cW6t2BnYvYiysSH/AGqSjCrIXCVRBWG i4XQNv7areD5AJq39tdNRm1/VoptjkICItjPkmghvFOYfCLzBNcDUe/cNoaJ6VFEPTlHqB/74DkH zlT4ypEJdPLiQkXHAkTLAOYQqlaPKkPRy0Z5Ky6xgeaWDX6XmSV7mWXi8eCoTt95a2WL7EZDPSIh wmX+nBuGXZxwCbBoKCsCIFWKiiqcoTCiVmZfnsHvsvPWaK/yLoMo7izG0UTj73wzILE6dpEVxh4V qcHGADsz0YwAWNCh4tBJW3jwwXkSjwcT9KvCiH5Xp5C6JiiMpw1DbeDOwtUq4Bmk1BRPj8pt1vlz giU0VfOgzAAHL1KfQ3SffxeGGtNDyQpwGLDY6onb9j2HcCHhM4LLnhyF/xJEhUSIUEoAKOHxaJOV r3i6EhDYPBrqYZEaARvt3FHugLfiZKoKWjFT/MVOK/W+cVDH8TQdCa0J1h5d3X5SkQHuM/J6gBhg 0gQvV4CVgCQmS/8KWE69bLDHaNi0ETvZoKz1LJw8bYYmHg9O6v6NOyPP/GEq9CigTvS02iTUdWJj ms3QAL+ryBgFzSKWhnoUUG8yfakdbIOjqtr5glH7YJF6FObuKA1NyN0AS1Fc/Iz3Pz8jmCAVPgAY s4GfkTOMExgvni2Mx6O0vnzf9Z29Oa/i9whA3VnFH1nCAlAmMffPbs5DmA+K7GuPRXAPEaYqiPcY 9L6ks3aY89AjdYI0XjMJm5S0nqytULbSTiEE1/iQCHbWpBA7fbAlBLNsRsS9bTweREOXqECCOWqb td6co4chNTUqiGBBBTah56XqWRIFBqpnJKBql0U1akA0z9wIwsjBW0FpnTVaaSMLPgGjLExRg8xg 3dIcsW4r7dTwIVHaWgQNXj5oioD60iJY2GUhMbF9I/MynIZbuaSyEYXUmH3EiS51kod3y8Y2VCxq gS55NqIi9RT+XjcaBzupG1yrOjHv5yrbaTT+zUTlUWR+hFm6sU7Wuwb1xSHKeFk+6KQe5zthfH2O s4zHo0V0Z0jSxFvqRjZDUUwNIPpJUbN6GgjosoVDuFpshgyGn/hzohq1vPWYnnHwkHEDKk1JpcUa xR5JBxHM0NDfRqjsUl4AyOFDom4ZjRmGMtfzVj/DekEQPxKqFXMUoj7SZXPg7fAl9XSB5iOGUaPW 1oqQ+KCjmDpshwSQ3fc0btAcRWVqANZPwpGSDXiHwZ59Giea7lO+DDnNzr51AXkWh+tP6c99f5b+ wGcETemRJHbaXPM0axWluYkR7ucfPP4vRpBqsOc3w7vYBIWwjxOXAPZhNparPzaW1WzRAPtwpbMR xdSLmWvj94Kh2gTBk/EcRNDPwE2JdEHbDCz+CkgdqlB+3Z95HfiMP2ANkPUoPiikBu/cNHDGxuPR QfRdxkfTrq7snaARhdQku9R8dc/lOcBzSDpMgp5lX0FRSD1NE4YNVw52DtVRoI5Th7yrzgnVSHah kw2QVQykvRWEQAofEtz3FPQuQptDjEXG3cg61tCiPf9fPB+soScikmoOlNeTh0QjjKqJjMldtekl EqQSs2mW8qgxEtlw0o4RRdVLmjBbJ9GuX8iGWrHLtlqu5xob8ihG/gQEKlTjvUpNkv0IoR9S8Gxs 23Mw9FmVoQ26XxOPR9iY9ZWX8fYAatAmKERRQ08wS60LXAVpwU8J0jt1c9LshosoQlFbvrF4xtT1 YGMg0TkosYUSGg4hql0k7OFKeUpSN9UsI8BzxAofCBZRKvDBftNdOhPPtvvA40FQvb4niMbVIEna BEVBNQruk4I/6nNI9RzndK2u4p14rGiCoqDabvskk89E8ZasGuOaQK8MWTDMKWeYTSlBBGKz3wma J2zK+IwoHuIBPUgp46ACGgp2rLeUtTYe/xeXQ7UkgpfuBEVBNcJYfMvGuSu1eiOoFWdMZTjf2QSF QTVBdzRJscHzMkDy2IBFI6j3tShhPTupdgnMTYKoxVTQEgqDalrfwoqH8dD5TuzoOIdgIt4Twhl4 Psjt2y8RHRzSfd27/u86taRxETvW7d5wAwKi5liZqpWHRlinlrT5KNMHm6ITGzZeZlISPl8HvU9R e4fTCMrgRAk3STA1vWxQQqPr2S7rDgqEAVHaRrsreDyYoQvR46GOMw0lEM3QDMvUPVErpijtKFQG EPAjJYPGnBdn0/7M0Ay18TKB+MpgOXg3sTaEulP6Zl2wKHQT8dsSa1QfpXzK+M0Q98FjqKKrYoMD 0BCAMkxuDY9HrY5v7BBiqirrc05QFFKD/w8+7lTqOiCLpNS14OggquFEneQDQWooLFMXHtB538Eu srNDFxzO5EsBf1VB9LjYTir7QyktK8KiqIrPCCYoUcICJEobrDx0QjqfoILHg2No1K9jCL8SIU02 QaHUx5Ch4LBjaCQTVxw5j+Ir6ALxZ0hLlBhKGc0Hm6A08O/oawLUAHFsqiu2dBYOJbszcnSntlKE YIZlagbUueY7qPqBEJtL4IRXFY//L6AGtJL6I5I3o4AaXrCQGSqecTjZBQ0/Q3nC0c4wjDMMqMnY tGZqHQ8t8SR8BbEdS7AgkrGcfRY3LhH4ddV6PZdWX3rX6BASb1M6lGm7sUmrqKbxEEoZj/+Ly8HH oIrR7lU/o4Aakcjq2RSC1xRpgQH17N7pOAevBYszZCXymK4CAXOwCYKc11mOrFHvBf4gFaswU1Qn +yH436H4yHzwIX/pWOREKQIOqn2co20nKQ71iseDdmLzTeZaKK3f6tCMQR+F3Q0Lhnbp6SatvoQy 1Vc1QxHoQ1B8KPj74BfZCaXPHauk9aT4Q/z6wfYj1AgoO2bBEB0XZxROV+rpnPC7+mDgs4Q4mpn6 GHg80tOZXxOEmmdDmmYTFIE+8NVPVIcN9CEOEEEfo7rOR5bBaefPCfYYMVVQx/bB91iHPHdJDKdb gh8z99jJ9s/2gn7KerjR1FqcYTjNRKNNZmZN3sDSijlfrCg+uMdCnY/hE2QWjYjkPd+YMSeRlhOp WUI2t2NgZ3t0Puqy0tAMNfMYJlbZUdbHjvLszjrxP1M2Jp3KPCda3BQigZfEV1ZP4u8Ma9RFZIWZ fNA9dl6aFzHM8fh4BPrwsgfPIRgC09fAZihCfeCvnItsu21QHp7W71yctUlmsGYoiqcH+UBV7PH6 sMcJYYM3JYWUK1jT7LeylHNuH6py91ukJtR8RvF0k5By0n2WTDgvY7/KIhfJMR7/Fwa2au0hJ7vU 3xmyEoGBXctlvdK65OjObgWPoV6mH0OxzMcgFUighvwFjEnwbcQKP1N0VlSS5jLQViy6olLtV/2g //uMtfMQLbJHa8ODo5ak6Tmz8XgQLa79K+EAoeNq560onEbB9Zyj7mu+u99k4DoMS1qpNsE1tCLU hwkyMcLQYLusjwXIGVEf8LOodOqciWQTdLhwhP8S415hiZr1Vxh/+qAllE+2IWXKViseD1iJV2ya HIct3RSfoLhCTbfubbRNHu0mpzxr89oZuQacoBj1ISxH6z7cZiLue7R8AK3qsEBh7YzStrya9j2n cULxMyKMMGMhFPpwDJ0MdOjE3aNsCqGcw6Lg+egc8k0m+WXEBOuyFVaoOI0iPgiPDs+r3pBebLtL GBdXjqYoxH2IkDgZNTZJwzW2OQBxXl1A834uhUmFNAqkw+r8B1JxLr8I2QR8RhAuEstA7L4NKnxA H5Sdiwrq7/rf4mkQu76YrSuKp2m1Wrj9jTXla4jcU5P5aJ5xrBBGPbLixOHDJbzUdg7k3kUeb7x1 sPPqNh+M9bgnEHy2wgI1Ka1ts/zKQRNEjwlOUN0Vjwen0PzFVSg47mVgyhkKladTowOuW7tRHJ3R UCFiF9FQYueL5/QKeYld0CHeaBw8XMSBD2Aew0UIJ7F2NhG8dcCoYdpoNxl1avAZQe2MXgAMDW1Q uAjZsCTfvz7x+L9uMr4dmmQdQavNUBRQA606JbCPGcJbWji0kpvfncXvkl4rlPkwVW7poTwCBPP8 An2xjFcWuU0m4Qmq3KS1V52ecpSU7GUjXAzpHFLyLLl5ynGmfRHXUk90iscjaXevnZH1BtIUhZ01 QyGMGlbBhso7AWOvF1pV28gWMKZZDDi0woi664hWS3E/ynmJjZ+abZetE4dmOnNjLtE8AqbeS0NA h/BlIwkCepUhiOJQnRK0thCMPaWGx4MZSuNrhtDBb/1qC66Qm8iQWrKUqC6O1qy6OJlTsrpYWT/l DIU46i4xr/+UzjtnLwDZLE8uqFcBE4Vu64Y6HDp5g/g8wT6mvWsUDbG5gdawDQqpz8WQZcV5zgM8 /r7L1qVHWwZX0W+951AIpAYUf9JonK1EiXPTH6DlYa1E5h86qWPch9S8SvLBZggahYOYNgp1A7ON 2lBF3Qo+UBQtdk9uShviQwKVU2Hx11g+KMpbiHMp6nWOKjweSVaVr7SsAQU7HqnuKKJeVMHPLnuW aInCgHELi4+IetD+jFrdcUQtQaZcffCTusLkBMUnKN2A/cI+WQUjZzTKL6KaYwHRsJeNIkbDDmUf 7KiG8aAEFTMfjxbRN4kcRLSRLsRzRyE16lznG7Do+Rypw8OhXaw5hG7rsm7rDuWoyXcpU8fRvDhh iEeeO120snQWJuRc6G9DDidOS0aMkhJmhXpHITXA4SfuAObSBpUXQcfJwtwNPh5Uz26Tg4cysAyj eat1h8TEjeM5l+ThkDuTneyg+gSBFWZ65rHQh3YXRQg4XJxwgaF7perZiQ+XbIMr1vhghEKQk11l VMPfYYmaNXzmczYYcgjAP26StQceDw7q7JuMRw6Tntuw3yGSGmrUmXL71CGYy1XPtvqL3GTXK3HH SGrJUNfhg81QW62cgLwQag4U7KrynCReE2nrGhcVU+1do+oZivdT0Kp5oVUQUKVJBfghG49HAMb8 HTAikV6XEbSjiBoIM+gneF7vsjpQpzTuS0l0X9UExZAPMaNZPeNwK9QLhVdBzWeFIyAxsKgOdtlK l3nbQNNeNqAEkfdbC/N7Djo64G3Km+h8G3zHSHzxu0TNvBXODzZDMYyaiDMXPWveHLvlM3q55OSm AX8TE9kCsMEZL2jl1rYVDQFoNay+WGXjyDe18hmCMnxGlHNU6QwNH2wJFbhs8mRpFY9Hajr1KxhC IwaNW5+gKKBG3sYCne2x5dbkSKeu1kdxS44dAj54/JyoZPrgEwSP04ZWOfSYyrlz6HK/qfyCySLI 0oD4uP/wGUEwxAZZatQ55WClod1JoUS/ZOHxaIJuaYglarQ+yw2GdoT4SJB8l6YalQX7Jby0UU3z LLNOoRkKdfMEDxZXoXxxFSDwtXizoURdTuzOlAP+LugdUwj79qJ717tGnUQSNzd5ifLb0wwB0JZ/ rJO4YynqX9KLEi/2NtCO4+kM371RjTJVl1Omqv6ID2tQZ9cEhYgPAhq6ieo8Mssg8p3jFyiGwlj0 XEAWLgHUdBYwlFPMEYjOu/iMIFikbGfbbftgXI4JL2/e9HXg8ah49ovZinyVbCRNUFihhs126aQq cC1dPZ0ukZ1CxIckCvhz/iq/ssBtg/eioUDQ0UznHhO0rU8WdgrUJ9C6cFDVnnrX6BDC5sowmbfB Jgg0XMmbLj4ekV2+Y0XW0qmvqgmKomnw8hblInUIbVN9zZDo8EOIgkRaQRHeY1JlqY6RfLAJOg9P ECSHyq8bLS05laPJOuHO0W4kxCbHDnU+CidIxmQcvMkBcAMm6Cx1PB6Jwt0JamJakRn5uLtE8TRO OByfKnIQEn4RH00Afdi7NMPi4wf9lbYiffPBo6GCykfF/bQQhLLDCp2Gc4sMpB+ZPFfDDVGWCZ8S sDcVQp18jAM6m+xYbKxH7pR+4iT+gAjj+Q376FAWRyDj0xTK551DHm3Eyjz+XKLVKQvJJfLPNywr WyiapzCsln8SiDY22DSdpdPOThxLcssoceDGp1DVBKO2pPJlP5rtdSNgg/TezYzc3TvxRS5yDgBR 4fMR1f7bPAC1/dYed4UUgj8yVFH5clxM7eq+D7Fcyb+rrricU4impsMLu142XPTHiYpaocldoqM9 xWAbbBUGxIcyCa+G/pD9aArL1U1uQZs4GSCPCF88wSmYnPglkWrzBwRYz/L7YlNR4E5TFF8jEzxf g/Hs1yAFQAJE6dbSKjpWMllIoVOiyFMyLlNS7OXGDmMgLibIwnPBoGWdkKOBtLafPUf/U35KBGdk NQ1CJTYYAwYWKVIbhDFyiqvW32X9Teehne8sRTE2yFdnrTRVYs8pC7MJnkyzrG2Y4Z6cqphTVLa+ RuTNB+dRocHO1LbQ3r4TVwysA8K4LQ1jLxdlqhBRWuz9UySpN6VlNd19OaGqwqDsnICZz0fE8m/q /Tnb+s+sl7+QU1y6hr7FKNsQadCPlopMKu7XChvRKrYZftCfdcchv/Zx/dqxkDIxM5KoHgnxNGRk UJlAjpcr95yyNcYB+JQ/GHknIJSbwPVJS6lQUQQ7KG0+H1Bhfm05BPm93nxNKtevBxoQM9B70SwB I+h8KuBtWJzNabE42/WDglhAhraNCg3ZkwXEAu3EOATvwGGpg/KZebcM6WOfr3Tfk4lyJPyUIFwi gyE3HlAcrMS/mlgNaNXx+Yja6YtJRjGAIe3nYAqlQEhlQC0QfMVVYV9lwcCkNAFRIahC2JYLa9ib 5E4J7qRHcOf8aZ3c2c9v1PwBIBlkHoJZ9YOZsYiJ6TA/5A8CdaJYH4dlVf60S+Wp0s9DfP5f7UaR FyvYndchJ0VhN+qWC8II1pAdvXhqy0cFDEFH2AzxIj2QKt5iolMyB284Nop3y68dbHPSrOHrAIE7 GHnkxyJHDskplKuWd3QxNPFdSagbcR0AC8fng/1WPV6iOcWJV8a8dIacQqh1R41tW80a4tg+SUWT REkQ3p+apKiSrcM7Jwoy5/QIMkPsU5Q27DeE3kVGQpCtONvvB7wJSXoXFtT5IVENieA0sWJa9rYs 7LoGe3hnmHw+SnB/lbIJbi+Pb2AUe2MBnjPD5K1mr9P7IZMi5LJfAGrdjANDfIichLKkh/NtzJ5j 5JzSTUTYs53hXsGNCUTFOaDqD/WBzHuSdtI58FY01YuTLxOuxsF6RpU5NC07J5+Pcrhf4vmI20B9 90mKIm/qXjylNjPBJciouOQnVMazT1KEEUmaHREb2kNs2Mh5TuqfqC4zQf0gW5b+RZWFSLymY/mS ve0fPZETic3pgyYpnUxD4ucnT+fzkXDsf6+kC4HIgcEiHutX05tOgibpDaTTduVhRl0sBeTQYXER QdNaTT44FKufSWqgWiPVhXYjnZYTda8gSwn4gPOHSrO3jSaJ8k1TGruTL0MCEYhu1EVFtSQHHouS ybJJ4qrBV9OeJC5wWUTjnMSY4lam1RyDsZRIRmfBZLNZ2/WDgiCACl/nXYcPtpQyzcghs0cF4t62 rAjwHVLjm7NkUlfsYefAZvHqg0xGShyEFTlnNXs6uCYrnw/2W/5mM9Lf6pHcy6HPIpKmObk9UHYD nsPKbtR3MKUiST1wv4V8RgnIIi+wweHX9f8z9mVZruRGsv9cSx4dzMPb/8IezMwdwWyF51V/CCV1 BRkJYvDBBnhVQM8DJzitqRJba7j2gUNCt9OTk979df8SCWkqCLTGuEcBy5QuYU+w6wytFmvKv+LJ c+fuq0OcA6vFJBWMkpsvJbauuOEg2mkbLqV6N1wYdbOJVOmLo8E2HM7r83KyrIAKGKI4Wpwh6sk0 57unUve3DTacODJD+e7wIKDj2hQY7exoPh+0kfIvhj44oPsSHXLotkiQcaHBARARQFpaONlv0F24 DxROhnaLaiSlaSWmq70HG6/UaNkMONJZuoXU2MpaJjFxZTzeMESI5tBwkWwQmOUsHyyDy1BbZ4hY Np//l+i3qgEowTxeeYHlImotG9ltsTy3gthguJFJrvXi2e384Rx4LgLNz0akNlz52nBpw0RdhJAN BCF6qwiVyE6DDzViSRcyqPa20VJSpZu+ghzUCsjFFErw/nw+WErDK0vERaD0sm47KQeeiwi6C6yO DY2NTKs446FtR9IO2D/bJIXFblIe8qKlYF7XUhDIdOgrU/IuTfx3IkUrxMbHWgwHr1gqQ2d+S1AM SGKgy+b99gMWGIFSzJ0r8/lI+vuXR25BIfcxIMih8SKqrEC7WmUpqRRH9lWfwwpwvY3q0xQCSDg/ ZLXbcPtuCyLfhbkJUNnGneky/ETBfdy+20r2tlFbidams91Ba2ktaKRzD83G54Oz+1dfqdPm+XJD cmi+eP5+HEu35N1Ttw3XJa82SQPdRnLMofviGqLK1uSDraWyIEADzWFsuAI8QxZSayICWBBq8ARO ULYc2i9SvPlc+fQAXszN+XfBFouIz5MdZj4fAZF+eTUw9HqshEMDRtzeC2qaEidu8I3S2b1JDGTv BIVXn6QQmi1y0a7TBwcB1DXQ9WQp4LxOJdfvnFagGsGustULGl1ypA4dGLO8qEHCscFBALiPuZLK 5PNBrFS/owAKK4DZ4pMUiofMfmkPcLCaRi2GkUY2Fs25iooXcgMTRnkmoZzErgCHSxJJgI1uligh 6cssZhRQaieSolzGBf2xUcRvidA2FN7tbHR3aCmKpFhQiOF2QVjGD4jwNr9p12dR76dEGRoxguMy l+tiQGTZ0LV901KdzZOdq99wgRMj4LVy8yTihsPFJAFYgCLD2QxoRjKPOX/NOWE3Zw/mYp7okrCW QytGLECgIknIIk5ILaYTNzWuLGQLfP5fKrMUL8Q2X/VGS6EZIy7lNbIbnIPFadlJkUpfpa1wNVhS DtwY9f5gXevs3s/ZjY7I+e9D0nNpgd5E8jWBACcQpqaQNbznsrcNJqmYkx694MkwNf7ZInQKjGG4 wAd+jImFqa+1BDhLy7dEGRsyFprptebgrebIm9W3I28ari+bpRBZMiSXnpMPXljaUF5GlogbbmSg lVh9q2aeMJoXlkziMYeOjFnQkkHFHg6KAwqcQVkz6bXx+X9x1/AcxX37ld6nX977JPGGK8ka3+0C bQd8uQzhtrbbxeUSivSxW9mkaM3BJwndSkw5mVnnfpucTvnFNVYuq6sase3OL4nAE1TALMQGcLDW Ny6dpknafD6Ku79DymJGqneSomL3qJtcCMeXkK4rfAkx0P8HX5IDX8avFK744Ddch8hDIQIHXc+N snSXrHYjbeXagCh45pcEk2Ru8ITg1HqlwRfgYQLQ7snnI1Vex7nhbtmMPfYTK0VxNyyoQa4xSyto xXnJRABK5bmufZ0Da8bMH5ngieGDT9KGVdymMA1RUIkmBWQ3VwSUTEwsoNzL3ja44NjJpfK6Dcpz z8+89SduntwhzOR2KnlyU4NgjScOiMJuyH2dEKXZLNU5twNNqMckoAnAIbaUwrCb6sX/3dA9cUCG yxfJoht57uJS6sAKNbZpCnQhpvretdvrRtB2om4h0GaD1lKf1EtCDFUzn48qS/k7h0OA2q67VQ49 GiUCtcyV4Hxtc7ronPkKzW/3/8qhSeNIUlBnGNDSDQMWBQc7UhHwkFbu8kdPg8xWyYc/Xe9ubxsV KZnDK0fp9crzdoj+CvOGcndg0/gtgy0fS2IMbhQQ+jTCDXAO6law/Farl9/o/KJTqT/dpcCoEUgZ k4BaPvgkgYXfC8laUG+tucnJEtExLrT5+BCu0e1tA2gAq2+w5PVBG67sJJnAk2/oJQMAxS9aLTAX tV1abY69GnG/Sf6EPQE2SLmSWnf4LeUnfZJCCDcruJD+8MH2GxyyThrqQs+LnbMTdwPrPhHS5XY9 dUtSzzu0a5zkQ5bBakC5mJ8E9Q3uFliS8PlIUuybj4SdP/J1BsmhYWOnGnZdphheBbyl0Mi4xg6w UrGw+w/HRlEB6F/AwWYJV9qejaESKbu9kG+TJqR6ieNe11fXet6BZyOIC0x0gXKyQWyJE80t3qmt ci1FYfejtMpHqdIJ8qxPU4gzSbR36E6wXTM5o2QOs4kvA/U5m6YQzE1OW649+eCLaZ30HDVwKav3 1QgTrFg3A8YaefVH9Sgve92/oF1J2CUOVjQ5PwHvuJO5Tj4fCUNdFUh2dCv5f8/pHQXeoOXDdHJY EremKYefv6NYaen8hK4/n0P7RhGR82YnkoOXliCqutBhA2jp3EYoa4O9dY6FyWZMeYy+V+r2usGe IzmJFRcbrO8ty15SkTOfj0T6vWyiuGFDp/PZc1HkjUrqlE0bfYjV9yaBq5g6QpHOssomgYejuHIw kcnZB69TwgFDDoULtiptyDAeJ/c4Z/nP2NcKg550/JLojmN9cpJkwkGTVM5VLSODzoMpBHbn79oS encVzC+fpLDiDS7pyMZtW3WzMrAIfe9e8a5Xyy/HNo7TTqTlg4dLHUr908omZ29QQWEAh4hIv6MU m+6OS8te9w9We4IGsw86mM6ZIUXxevYvn4+0I9JXEqef5sG/hUaOoCWdUBIMHgaVKGmYPVgjbgLI XGKabSmFoTc7tUWqdRy8HpD2ualxawOZi+uPJJMKZtGkMhFSaq9T9mavG6EEWXtbklpfV2q9oGHJ VPf8j3w+qi21r6Ay41XaHM+O+9MaPaFCwmkCqMimKdOmlkrZfV7MaWjnSAHoc+aX7YOmCfC3c9uj slyYCm3UEc8pXlH9w91eQPX1iIliPznwcwQKgnlcewbFAmd61ZPFrcDng1hgfCuul84S6c3jYkdH mDfPaQCBc9R66/tEy0zp8Lbn3UzFNweWjlBboP/VIIqCg7W+Uz6feuJJYOERtp6ghe2oxmh8ME3x igABYfySIEFZ8sGSMlJJ1tSdACEnNXUBEAg8Hf8v4pRuFrM8kxTWvCmhSSEG2s252UoekhRhGsd+ pU1SBPJWObdRp1eDX3GkTza5Y4ARqDQOXDhZUVHNQVncULwUuDpiW8vUQAahNXuCcjZ0Jdz//AZ6 PuIG/rJ1xIm0Lr00h76OSEgWiVdGqpheEZj08zAX52clxYIkUmItzQebJHiCna2/yA7MRVYK0HvD 4oGeIEIrp0+qABcaOwojuIdQcIPdIRWXzr3AkxC8cH5ABBKs37kuzQCuw0EOvB2hE8mGdx2eoXjs Pc4+L1amTAB+2yyFsfc0t7Dhgx/e51w6t/PKBu2qm0i5BA5CBaMfl/t1oun2thHclPi3vbsPiikn bCO5OjJQS6G742M8JyoPQXe3TBnYO56z5OzlSbKeNH1lirXoA7HdjKZBBE1xQOzvSDXfk6UvHxwH D0myQdOnk1HAtrRKTZP6ROdeZ7/QhP7atreNkJQ8jYCvtkG5LkxCJUPWAIMPDR7nAxJkjI2f56vi HTo8YinBxlnRIyXSLVpafbgm6xzJO3GBxaML3KQtUO5+7NXg0LSxOwjwPv+vUigKAHo1GxI5P1gT 2YLn0OSxqao0xvLBAu9zeGedNKny+aCam74F/+hCna4dbw5sHlFflMK4KUmVZoEkqSdiwAO53O8s RYG3CpWlPoPFlH2dmHQ34t1O3gWmKUsCgN6fRHEjof8i6CR73ejwJkAQzXMbLFrKpnV6QnA9H3R1 17evM706IOZwpynU/UuF7RPLT+paV/dP/hmDIiXJo6XA7VF9GBxInCbzirHOwDzndRW3+6SGzKdR 9IYvKoCi0PD/JUmWA7tHNJmmKibVBwObwKbMYBSbzwdr6ZffI+2S9sUJfl5jpYzAG44ru5g2YtrF JGynKqtyv0YCymPpNVLKiSIp4CzL9XHeqgku/p4gl2SN7zK79KQBmqHRarvOzouuvK9xUjYZ7bPJ SvHBmgKQmSH3vS08/TI7GZwIFd8m9SXgWg3HE/4P50cJJ4c+fX0bK6cu19De3VzCUS1pXi0JJkfq EnUIZjIuDAeqNhUsk8z0FjJ5MjuAK2lDwoYNcmdH4PfX2YEIqEQR8/JBs0OfRGknoIL7OjuAOxeb HR7MQwm1zc5rcHQe6iB7iN6K7ZXbdePNYzj+ZtRtne7X0AhLh9MCiTEfPMg+cezZ7Org9gobWqIr mOkCdESWh8HdiOd+DYzOmxYK1k7RcTjY5NSt5kztu31eoyJMrZkcTNnKd57P2ybnNSbC0mlg3kyT i5xruDIJYuRknICELgon5zUiyn5At7aTD95JSgXcQ+ke04yYPKWNsjKkAn6gQGGT03nyvMZDGXUR Vo0ghYMBTplMStFCl/g67BE/r+EQ/lBjT17JdvKwbXZegyFurIqlYzjSc1wuTz723h4xlrqtO/Ia CuGXkZREFqkkzyf1gGhzBxoA1TXoQCRikiDu2KHwkWlzPUXDZRb7Ggll6d+fWwvyujZ4bS11Ikog FP55jYOytNY0O1KVhDI9a/50cgtmpxVSAIcXaccV3FjM1Fmkpa6s7EGD2Zl9vKJt59lC+fwfeUmo /ZsR+EDnqIProNkRNoLKK5/XACir8XJO40wKFwe1s9uGTyQjv1o+r+FPfvjJ09pGUKTefuy8Bj84 yQHWKtNMwJfAG+qH1Ny8HwKBMnk/RLNDiYQ6dSjPeygvAM8KLJZZTjuLplEMCfc+4GfnjaE35nmr fA2irUUWyUBya4OlGtCZ5oJYeXxewx6eWr/OnUaHsmKz8xrz4FBuqFwTqkHsKC3xeO5IX1BpxnTI yGvEw0NZGqNcO6b4qUxswwRlqowGxVG61Jx04iRhwGuf8PmxSGfB/vMa8GTTajnvxbosB0dEnrXD C/2cQJ/XcCdLW+85dyidSZ1uzs5rmRH3HJxnCi3J/iMhzeUd/u7mzehe2Oy8Fhmxq4vYtSRuc7DZ aQPsHdil4dwBWISM7QJM6URsWMqcF8HWqMkWzM6SnpY0aOb1BMCndqpWnDsbTwd3liUW2lnKFYvv rNfqIhZcoryP5/GZZh/qoRE7LNfdvYwN8VpbzFfnR64zHPzOQlw7EYkVgB/OrTLIZwcVctB1jAgq A67RuOi1sohfYJspevNBp/I5bbYqi6gHvdYV80OG1NoBprrteyq/M9h4F2deVdmAD8L4dfIvltMg 6DZlNL/o1kpKI8iI5HCrHCgA4ABGapoh20UhehRI0TE6eyvdlv5s4vtHm4uW3z1R9JCD6vjo7ssP 4yypzzt/DavPMNl2q6PQuZefPe/0tSzW2YRuk4IeXnWmeahkFYfPWO5r/c5ey27LU4EGtuHOUId6 DY0c80LlVT1YnGegbv9QhcF6sKywfN7ZazycWQCSIAIHLSGQjiQLmSsfD0Lm5DPEPjX6DTz5NEPv MfO5vBYPIC8olnFVWnpnqYwHULsEvyhoRoOioxm9fbgwLBAeEeJACAn6U2sI8AANaKLG080olLdH UbPwDi2JKJouUfQkXATWAb4AqFoU+gwPDLUh8R6oj9sMRYEzquYQMHaHOfGwCJyRDCtDQzRkDe4Q nNHULYZ0XPHBc3ailAuTLuhto+NB02YAFxpuMFpO+g2W9LJB1pXlmyYhm+ZCNojLK6uXtQAXGsbO w8+hLKjteVciUzRF79GzDthzeC5jiZLaoimCYrNNES4dr7dGU8Qa2ZRk3Xwk6zbQ/HOZn8GZLyKl CGg/dxLLw7RxpJpWlzrLe/iMS55wkEqYcaFzt1bRZkcOVa9zfn/eKWsWQj0HUc5kBsw7RVEIjSty Av+rk2irQoaTaK3lpiEJjB5l7u8xdKbo4tlgUvBtj4LvwqpZrZvrLpDrom2hp3tWG6py+RZbaczz TljDRoHI+lkxLGxwUK0VpmOSixqlfN75avkR9dNVnwEdHZldMs5QGEZzhpaxsFei5Idw6jt1q7TS 6UPFsSiOFiC0bfKxmmiInCH02hCTU+MH9OVpxLZMHBHbG9fIUZy+KI5uLG40YWc5WKTYepoSfcj7 885Vy6LgPjNUacrBij0nKIqkgZJeI5sCPTwxvBRNeSBr3LM2zCUUhdIjC5sm15B1XUNOro7bf3TW WFG8ajyqwfw6pzS0RdXxlPTB3nrZ9xkakskuqiEW3y4nSJBo7PmKPj/vRLUsCYuv+g966I1C4pyh 92ga0AboZqilMQhtcGmf2cxs5cwQHBy0hKJwerBq2IUp7g+m+Cz/BpERnkPnv0AfVfp/KBXDVb5K Xu+ro/EeTiPkk3Mamz8cVAI6i0gF6dqhWBPF02vfy8zo21BrvZdZFFFjbs4d7AZhqnBK/Eiitdhk o1xiURhSS89vsg7NwU7qCp93hHSk85e9WYCWCkkrKF/WpzE27V2DGULN+sQE5Q46hs7FLyOXSkxD FFMLwerZKrBxJ8SvXkKMYmpctXNKaBXpau8OIeq5uNPlJKqcNcQwplYlaMpibl6LubM8O2wWB7GN cDNoBgDl7YUQMHnKATc9vev7VQYnQFCJWOvgYKdQmr1KwaDkzzs3jefArwo0uPKl+wp6p6YhJ8uN 5o3DccTzGu5Od7pkc9Um6D2kFj4SN7gEj/YjeISK/850oz0JB9TDyZPpwLHQBxFxtp1CiJb0slGJ Hp3nkxlNH/S7ryXyCHxA5uedmJalfvHMEAqadXcvtL7z0rBuM6WzrEaG2s20GVoUWDDPkG0X2Tst jUm9/Bt79sGT+k0feib1Gd2fJYIjmKWDjCkgmB2FNuxlo7SMhbIu/+9+WaCpQpuRt1Ntn3dO2u+Y msUA3K3Vg6F3SlpWx3rB2NsrZjnbDLWW1I8GQ2YZTu+dkZYFHkUDQwZhT7wIBeizsQaF1kHfTmiv gZl+3o2gbjCALh6GqiLvhDRm9pVF+ll80EF9kr1O9O9JvPj4v8rRIvnhP+kDY3MUxdTAJqNTYnL9 MLu0gFHHreRpIH/EmPqdj8bkXhoYffngvZ4TVEN9jpJZ0IIiiRjg67OYEE9nGk5qnxXqZ77z0Xyf Qdtg+PDsM+E9z+t/3tlouG+NJaujmmRbYD1shsKqNILatoqjPGZ2lEdhP1/aNHUZK/2di5YvFCbz xG75ykCvk3uhtEp9g/Ngh5sjZggOLlhLP1QK9aI9anifdy4a/sQiTchnsBk6PzFRv3XP9XmnomVx XJ4ZKtTI2HcNvYfU4HwMyosaEy1LU5T1M4lncIaam12+E9GyeEhnajqB+q1foP7ZAiX1kyDQDnSj xcF2MxrOP3DsQ5X3UYBoSy8b1Bd53efKAj4HzVA/eawMT89XfN55aDx1v0v3kw5T7V73UUxNznxr 5lQEyvVN7tu8FcbRrXb/zkLDz8t4iGAHGywe6j1ltA2ZdMDsEl0IaGmhowo1pXmZDHmwM/5OQuME SY+OGhC8IM22ua6hEhoMsz/vJLQs0uhXCZZeHOWuoahC3VgpLmb9Oaf68SqhbatQn1xzWN/wnYLG QhPbqZmV354vSgi+phMatVPtjXNJM7dNk/S8CWW6y/ikMNfnnYHGiFGVe05Uv/ZF53psS3hoMNDe CWhZmN+viBFCaz3fJRSF1FWyfdXE1nN1H76TLDgkLy/pZ+Kgfg+ps2HNxqZEBgdfQmfKT+YlNfqT WCaaOZ6Vy5rH2bxj3Yhx0vn7nXvGJcSkQ5a71S130awebDcAJjw+79QzpugeMbJMTem/5HnrO/MM KwjVoZqMJXR+4+KolzWHM2HSSt55jkJqlfGHFFbGo7BC7fAClAImCNWbvGTAQrwgAvr84MxwlHze eWdscfGRTL5nyqZ1gzCUgDWEQyN/3mlnWf5ZtsUIlM1b7vU2QyGsg7bNbdhN1ijjqfrZuPWzvu8M hWVqIl8hF+GDLaGBULqIwAjcaxtcZZ2iORuzhXCoGwWm6F2jGWJpEUgUG2yPzcoqMnR6+uedc4Y/ Nf0OGHGsDw8Y3ylnWEJMWykIhU5Hbsu1w0jJl3YY8AHaY++MM/awCJxeVOzj4Evo7HRACRf3WIVJ JRN7AMYpHdYeWh7Dm88734xFCEqnLFbPOChtPVdlYRoIP9bPO90Mp/RNW9Vem2DxXXBHFFGf7Ojc eSmrFYTahNZShp7esglK+KPIFH4nm9kCxunDE4YD32JC4DGdzS69ENjpUMXoJMI4d9CfZNhvhxCV MN6pZrcTNNLWgFOsW21oVAIxT3hSPu9UM5Y+fjVacVr1m3O8E834s1Caz5DkJxMzvyJwSpvN0Dmk nSX8zjMjgKKxOL2zDzZD4CoMxhwFLCGEvpxEHCms4Lu6Q0ZfiG8azQ9zsWxH0bwE4bJkI3/me37e KWYMpn93yoho87T+nWCWpaazat9uy7MvAMasaKg4k5c1ot/5ZZYJ4HRWIPQVTJ8D6NwVaPjAlgdk NSrUFVpfroIgI3vO2qlD/84uw2I3onnJPmiCYHIvQs8JIz7v5DKshBspcm/KTrbeaywKpiVcNIrD PAY5i5M/THHa69oXQBXVpyXn0Gmwp8GLi1iIs6r8OgH1JVER4o/nfJ5cAlcDS0Dgd26Z3SgNU1N9 sCbHyVMI5DxpUf+8U8uytBq/LnpYBijn4AxFwTT4WBMQe0OUP7WzWmqybmuvzuV8J5Zl1y9ulUup Sc9kMiHLk3aphCeiXo0DBwVqQOcRghcYjFhaT4P2zzuvLLt/Wp1SdiDwh6fQyfqyVDD6OsHiO68M MzzT10W2gEtp24PFd1pZNverQlFatoHm9E7ZWH7TZ9k1cIrCCjXbQCP1O/g2O5n2CVEW843Uwasm 4DzxN5znlujXk5jSSZ93Ttk9p9OJzTkg7cAvn895QJVGCPRnPh/lrL7PyPQAq6hfIN47o4wr92yz 3nK3Gj6rM6pQL6NxoJ3gNoXvhLILp2p1Nh9shrBHTwJsSPJZm0wMzrTVHxCpQC5PdxERTvXOJ8uP b4gOpOot+xPkbCH8EVp83ulkeMebkTG0BHakNL/J3tlkWcQNNOolLgehymsa0ktxhPTkGgJWMYyn eddDodMHz+qpRQFJImwzePClIYOwAZnwShlUX0TkGHzeyWQ4E5hqtMbd1khr5J/VdpKqw8mw5ued S8Zd+h0uZrhINtil2BSFuI8KkvSUlizArl0ERRqGoO5KdRl6LGMRvVPJsix/URNaxQfv2Z/AHIK8 LHzQAzzxJIIyACDOSlqtl9iK3vWvbYb40wfBPqCwLJO5E5h/3olkbLR9Q4d4O/CX0QSFAfWEQjgr TxAHBajf1lCldAR5m6NuU+R/p5Hhc1ScbvMOPkFn4WN/yQMj9RM8ktWyG4uZm1pVV7IYbPbPO40s y7sbZcU6fNAMwd5JpJYTb33eSWQEAdWvNaRWXb+A4PeIGs2VBJHiKuG9lSqdnEgALrgdpb2D+dYM xRG1amdt+uDVRdSPkxnKQsChJGo5wiMXelfnHKr3uh9kIrxTyLLEXcBBkLTMcArZmeyiRXtSl/J5 Z5AZNvzrukf5JF3UxzuBjCEjpPh3Sob6KC6gPoW7FeqjOnbxnT/25PWVhrIcfA0BcJ9QfCww/l5n byivp1QsflUUFSxtZb/l884fu4l9liZo/vIIK1RlBwOjtc87fQxL8N5lBFehYw8ZCZuhqEKNKAyY gOIB0fROUJIweDc/Ut1l79wx3qQsmlX2OThYXt9OxA6zAt5lkNLsQ540ZNghtHmwrzQb/7wzxzhB CBWHCGQc7CqDaxRL+OerPu/EMct6v/J6AmLSXUIh5gMa/LsYFgb/ZJd9X8PIZGgObWPZv9PGsivJ gJ3hw50g6bzQqPAkycWQfCiFwCQUqEPfY52loXfSmKEkT1gyWJ/moBUEGQj25soufDxqRztuCPsR xaS2LzzvnTGWpfEAlq/Xp8kxVy8RcZl1W3EkagX9HVJDVtcHr76eFOQELpNp2UCHiJFlqbzhobMN nI8dQkR4vvPFmFc1CX9VHyxvhQKyjt6Tt77TxX4Bq1ieRloPNQGboDCghtVlv1LgTXyEprzVKkP4 0U1VvsUIamI9Fg8hDjZB+WS9cCUcKi6eyJq8cklboyxeZQqq0lnSuwY3fWKYeBakDwZoONcCM44K 5a8WQT7A+v6C31MruXltsYXxNLvR1KE0n0J17k9oO+x/BJ6u+ikdladtgupaPtx7bKJIbQYO0Avi VZcJiqP4E/5SN7XWKR3F04vRIhTXfdASKpChIbfl7Fc8HvTry3e0CANo2ukYuSWOpwH50D0GgZK1 DQJ7knOKflFzf7nwQH+Pp+W/dk4fyaRyuJiYcfbt5AytiUO6GMcDkFX8hPQBWaoNdb1r1GhlawOh uw1aQkDhy9f8HAZ4PGBwXNQQj+RKi8Lpa6iH9WlkKL13q53Vkb38WnNzHcKy/abvEeaD/dMJWLkP VjsbbQNmxpt+g4WZRLxHJFMA+aMhp1KySfmKHtWnayUQHwAVGzhDDSoSNAQ5+dLE41H59TucRnBN b1iboDCcLuBldispnl3ZHNAAoWgLhYRHwDHdo/q0BYtD+cZ4xCzPDQaJ3il/wrNzYQyBZv1JiCYq OlnIMxF7cZjgSyLkmdmjUFink1zOaBEmWvxH8HvxfIAbum0y6YLgZfPDIQsJiPDdQ/3H7noRpsgR Fyyf9oR9GPSsRwH1lOBnJQKYg2PxJ+L1mglo6HXORHkPbKqfQaNZQEOvKEPRywbREOTQgAKdPthd VpfJvJw9gccjhuZ3QE2Qcbl3WY9IiMAInJBxqQU9bVoQDZ3zzxUZ6nJp9B4G1Gx/9cSkjIMdROcH LuhUCiM8AcoQFh+nCliHILE6fJEFxh4VqRdh1KSfkas5CJHKtLTgNjkzVfB4cJeNb4wwrHN6vhlH j+NpLpxtyKoybjQ0uovFAaLTlJP1kIjIIxr6HT7cNhB2LDBR7HLgTEXWit4crrT6gzTfzyG08/Ad wWUvGdRF9jwHVWBPzj34i5yx4vFok+2vCaLJ3njOobBGDfJ82+w1Q44pUSy+MAgjS4qlocRwEQd1 jKEmKk9YhSLipEBDI20I5hBYBfXYLZU45BkTGoIQpHv0K+1lo9KQ2rNl+KCkFaI2S/pnY+LxCHr2 3QZCqaTR3Foz9B5Qi6eyCIPKrOJvkhIKuwdcN4mcKXhP86SOAupNrdgq2gsHm6EKG/AK9BZQ5gnC oUuVobNFIIpJLSQR7siFxHcEE8QGB2JpH/gdKDQOeRCdGwGP/4tUpqaRenM+QRGGGr28KYEHeDW2 0awydH5lcE1hG5OY9WuPRXgPh+FLw1r14sr6az9vvSHAjNIZEhmqw0GYGVWzbrKVdgohuMaXBOGQ jIcWyRwcrO4BgwZGM71tPB4sofldoYb7PFit3ivrYUjd9o+YlCzAJvTzVDzL6sCieNY62/XYZVGJ WgyNNmX9PceDET7nzmzAnhSKV56FwBI1onhoBEhyxLqtnSDhHkE+OomJveTpg6YICCTy08vCLguZ ifUXmQOEulam9zlGFFOjr7FqHibFQHcJndSTsk2s4mOOFDGOqEY9yaSnTKcNHlNPaA+vQpz5RtHa 0jIoabUTq2PdXledrHcNyovqcxTmrRx0Up/p7m71lfF4EFPfbUaOXuKPcicoCqk7pWBmkQjD2QPD FXNA5LcJQjXQSONxhVqEO06QoMYWDY0TouzBLDGDqJAI75wEYEFJJaPf4EJe/rIRM5EiHnurhgY8 tHeC2uKyHQ1TFNao16+8DK/Myp2+NcRRI6jOYKsY0rwuayfu1BwlPKDtpSmKatSwsDnpBuChHBhx IOs4fzXMpZpYZfDb479JCGiF9lDpz22PIBLfEcA7CV4cifJUQ50vrqFieT6yJzwe1D76N3cT4sbl EYMZMehjAvRRVGuF493llDkXD+VFhwiPKKJehqjiiX2uwa4JgoYgSjCbHfozN2cbmJkz9hbgwigD +GV/boSB7/iT/luIEOaggHpD1Yl/9DnO8HhUof4uDgHTXlf2LseIAmqAT8/Z42axNU8XhBl194s8 87RsRAG1JIL6ULw4vuJFGMXCRYh3GQpEkpBP2FrArzOM9j4QfhN8SdSQZnujcAlVQNjYCgK8YAz9 JidExvNRq2x9TRHEOmq+d9mIQmpgB7G1JI+DduuVpKq5OGUqT8vKRhRSLxKh2hAvcTy8xI7W6qhD AFiE56wgJYhPEH+KFpKXqEmxHyGGeq+fF0GqRb91ghpO1IDHI2DMQ25lwovVRtUwTVGIol7o2Utm ucNXtzrjpeXi22yi36tVFKGokVNi+eTmg5cYE9AwUMvmXXZSK8mfJaRPQqaU2yojwHOEAh+MhHZi HY2DtTla1cUG0zg8HgREa30FRLxLITBjExQVqXEwgw2xrc1B7SMe1K0YkQoaFstADSOKqaca0gA5 ceARqjVEV7gp0fyzgDo3JFWdiK+cXEO2y87H4zuiKj4P6sw6GgcV0EAIIjqvnNgLj0cx9fy67Cu5 pJe5OcKY+rzayQhy87w1LV9B08qxINm75PKIY2pWxUq+w8Xh13OO4lhE0nFiR6a2UM2FD0wCczNd U8+tJRTG1J1qndCsxXD+Ojs85iIcEsfvXng+SO0vubUJPASy1pX6GGFQDf3V2swNbhGYI2kziLa6 XSXkCnQQhXXqqv4FM3YOXkJDGgLPDv5k5+cYNBU48UOFzwjK4IQJN0kwNb1sUEKb0n8XeWo60DZN Ksz/kHlX8HhU/bghI2UWca7Nu4xmWKiGR1WRQ+UiFq058KN2Y0hDq8EM4uff2ngAdPjgQfUJ5+C5 TfVAwj/JEU7sd+I6IJvDAGh08Jgh7qNQRLiz7crBAGjnqiHzrp2AC49HvY7v5B7A4jouumpGQTWS RkjXVuWu53xOlrtW4uf+Qz6J+L/QGgrr1Ensenno7nJhHzCUqbmy/HH22QleWP4A5/ino5QwqFOl KizCVXxHVMlncr/2Haw+NCTzjwkqeDw6iL77rVCCbv2SOWYUUie17LPpdNbUnCBdktN/C2HCPIhm SE2sxkmsPtysA5g8GF+CVHZOtEEKOXCeZ24AXAfy3OmtlCGYYZ2apDKYr/qg8se5wpLysnMX4PEI oPdNCMKPfgKpu8eimBoX6MrNUZ61zumgBlnpkjIFGrVmKK5SE2LedJe1+iwhqKcNcG+L6viDGCOC 26knDydLN17sS+8aTFCTAGVrPujkaLB2J6LsRBJ4PFKg/FayIECirbvHopgaduwnXbUe2VKpTjH1 ns66Y7KpQyjkJdKZsqma3+YXDBYGghu8FuyxuZpUCBYQVZAng+liuVh8PIcvCTaZvF8qZ6i46/y5 AWFkz3DoREV4POgn3tSe7Cog+sFjtxmKq9RIy/qSYMzcxbQnYTpXPV6k+qpmKEJ9GAxWAM4mo2du skaJKqp3Qe0VtHaCz1AeP6ESypr9hkO0W5xRSG1aZ5N6FhwMfHYyEHIU2/lQPP6vAhpJd/DdnRfA OCPUB+Xwz29gnaC1i/c5Vm3LUB+lkmPf+TlR/Uzncxs+eEu6wqZ90jMgASeWFS8mnJAwpgbA3PnR FFucUUCdljJ6IqvIWVBizzAUK6hn3GMh6mP87pWhS3aLQzNkJQJ7ViXFRNeuuT0pIySQZfwysuGG ZiiaJwl8RKg2+DGNe5iwaYjgQjGgsRGEohmbru0rsSf1d0ZF6iLhs0rDbQ66xyoqTqqebT4eoT6+ 7zEQMeucXvmYEeqDZmZtmW/Z+b23p2S7X9pmT8kC6hkF1EOghk7lMw42QefaOkFglZoOjGylm3cO bmq7DIhy91ukJtJ8RgF1K8xXC2vVHAw4BN96BosnZsTjEXDoG75IT3OooNoMxaxE7LHs5OjsVVdw CEY22McQbROHUKydR8TQTtMHBw6dXYuzmVIoZ4paFZ+jdupYwJCm+kXPRja+4y8h5aUltO4SmiAc WQ6x+YpRrPhrCZHOMfyUXlEwnc/hMac8g3FKL8/qT5SSrbqYxkx20a8I9TGo0tArc7Jeb052Pu/c 8+cy4yldhnRn4LmE2wt6SOjm/5LiXmGFmi0yiK36oBWEdcmK70nvKx6PWInf5PFMbOD2sscKK9Qd aVgxgsLqNTmmoVBf3QzMisWKKwymuypDY/lge6yclGdCyJ1yyg2YYZq/YFs3NLyBkXTcEBY6viMq eyDbhDcM7W/OpTbkhwvQKPfIuXMKno9OoYuLYdiEwvjdYyvUm+5yBtp+Ci0/heD066gP1OU0QxHq Q4wgygpgINatKR8bCZbBSuvPVdQZVUrHhr3EPa/8Ilhj+I6odkY9JjlylevI1c9WIYQHsefA4/9S sZD+VwX+zNONFRaoK11LjRC0cN553aN5/RXYM+NyrBBDLUeX+Qx+CC2WtmaTK0DjXJ1Ur7HZiTLW eqwTCD1bcYGaPriUq9agCYKHLFOP8wdXPP6vhJV7jBBlmZdyhqJoGpk1bGkUQ59/mmbGdaZuGdvl BPM8hc4xvWJWolDmCqr7uO3oiYS+r6U+GTCR6OmPhRAR0gQ/wHbZPbaHvWvQSSSduinr4KBYcTfz KWmzTzwe1ac93cByAQD2fL/f9CsKptO5rJAnmfHd7kZ7OXuMnSehhhDlaoJikQ+mqurw1Cs/CkM3 qILCSx6Ihj2ZpQKKRt440GDgobnXJLFnK8J8rKVNlu9gRIWWNV119IHH/wWsIuMNH1avDMoKMdQJ olqk+P1HMD3P6StV7IT5gJqeZiiMpquiRGrqcLiFs9HONGU17NvOdKEauNTAkTu/KlSArCy0R9bL BjNE1bPE7YFe6zY60EkGMhctHIjxeKQi/J2Q0R22XxD1CnmJiWYb1pqHPUO30uICsl6lxcfLbYUg ahbMznGyfbhX/UntFs459DjaCR8rMR+gHRGSPwjOE+ZjDr1rFAup/cM6fnWZDBjmoYuishBf8X2T rezFV6p548PWheGvGEQNqbM9ujUShe+kxse4xM2JurzO6bg+LU2vtHywCSrY6hTygmJVg1m8GSgP WkD9UBvXzLjTanrZoIJPZOegDL4GhXgLupaCDa2KxyMR8/l1DEG2oz7aiysKp5EEgbxsvegk3Twy Oeo0aB50RkwEdsXhNFnze1cfHFh1Ds8CgUpK4J9dBPAzZghS1PTzIurCoqEx9LJBNMSDukprqLrW EMoqdUkZcGc+Hqyh9K29eJKT8xNde4kdxdNAGoAWZ73Wsi/iY7d+exyIXbmGdiicp+ZGZ6eZg62h TBcbasDCV/f8ynjDgUI+MzMcHdeflMXpHcXTgOueODE9gyqLaWMj82QZfDwonNVb9eC9Ds7HuPTo /R5Qm/FmL6XeaMh22QnoLESiSq4pEOxY5UNX2bqDJ60Qxu/0N4EYNZi7WkNnXwEgA4RTu1dZs5eN YNSCfKzpg9YQeHCJa+hsATweHNTlG76Iv+lkVb7Ldgij3uivyqNcIgSetKZ6K2dgvtgMxQG13EnY aeXguwwiwpSTRTQEs3uuoS1uD5LWNS4mBj1xfEewhiiAsmRWsvJ1Aq7nhiSQvp4fGI9HYkzj6ybL DPGXH9Q7CqhRpoECU7asviXXM+9kbBniY5j93w4RH9nsW5YPXjkDRJjCb8g4OsQrGjcZmvbylC7z toB4le0oot7EBlMuzAadHXkh2fuhnx/f8V8ywsmzVvgB2AyFGGrKfEjcA1I67kmG0tmwg/rLyGWH GGrlGlvYvP1g84jkxFEtc9vRBJtJm7hXIPH3A4AFwwHfEUlRy621LR9sCSEW4RI4uQ0e/5dqngAf /DBP63cUUEMle6Fd4BN0VfPWzC700bZL6ewY8KGklfqdHHyCzo14su7Eugf8JKvMlMEZZ2bCxNFQ +PhGfMcfVjcQGVo+aIKghmUElrTweJC0XhMpVmXg7d3rhcTsCO+B+ANEQZNCQQHQ2C49re6MKWAP NEOhap6wMFVOZFd8FNdYA5RnNbE2kY7wFMIfCPgw0pzbiO5d7xqxNjE1UHH2wWfI8R7oIu64PH3R ndKAJYfk3vUh4KMjXixpOGOqJGdMicuJb+ttui9HDPigap760RwuYwqyDGlwDTUc0Fn2LyQsAWk2 HSJcyMfAdwThIpVNh1TzOBiToxUm2yDdDTwe1c48J+OJA9CSnP44QWF9Oj+Fj0qtGFfT6RKxEHkc 5yk6HDsMqClN2dccPtgSWji1K33q516JFE5EA7A5B+YZOdlFVW17179cb1Cw98EgQ/XciiIfLD4e FD7Sd4cDwszdaGX40iiebnMR42GOAa1W32NTISRPoeK2EztCe0zJCZ7d5YNHi/D1YxwIRtk+uUeX Wzl+aXDSwab0UIgdjh2LfLCJuIh+5aCUrJ3cSBN0bk08Hq2gX4YB+PfynSAVTl5PDyhTVong01zT sQzALgrgAKJCNf2BnGLZPEmU0/2mtC/3m3SiXRw7qHycGTKUeQOCBq0geNiOCxqiJBNP9fekQVwF 5KgYgDllu2KDUJzYgT8HFD8gsp647FYuQf5e6+o05BR7IkLwDPA7TM8csgMir3ZaYxGK3cVF31MY V3OFsEtsg01UOlvtHMlSpG4NnTfU0CrkzCc73VRLcO/RbK8bKTMxs0/0s+XAbwG0qfEPb6M1Ph9p MP5S8UTrpD3mCin0eYGsTiIzHsupUJ5GLcUyl9XRNgw4bJZCNDWnpzaiqTncMlGSQ6Lod2OAWQiK 4jnWB63DsLAc+iHv0RRzFGWC0831Fz4k3HTzfA81k9Gj4wcEwVH+PrcBBGJq59MUBdjAJi12ezlN 5FcaQKaYENGJHvFx8lhIoU8iETJl9uaDt173Obq7mJzlnOjCpUtPbyA9z/vZdawx8Vuikuygs/aa PhiFCjeBtAbhipz+XbXGYto0j3lMBFIUZAOqjAbvtSG9CkSz92yg4Y4aui2mqGyNaB0Aot19cIjD 4olHTxzCNDPFUTooZhPtT0rBWsGIhyS/JfKiYBKbZIpzhY4SYHNTQCJYLaQQBrK+GR4Z6HcY+Nxp CkPtgpOJuBzC0QDNkITMyf7MrTVTFLJqMYXFaymhtTl88Fi7VsAjCbc6pzeUFzM1ZAoXE0ge3HNK 17a/bgTZk7IFVQo4aJoSHHZYFTnTz+ffp6mO8nXRIcrv9SZsOYX164lGLM93zNJO9CtjexNiipql PJ3UmVMUb0/Knlb0y23wLdeBQUDRjCZ4bRFydX78QePGs+XgN2knE93a+C1/hUtlqNRPn1BuubOx u4ir5/v4fEQN/j6/cXS3sp9ZCoVA0qS1SSdbcVVk0BYObM4SISFYS7blQveXao4l2QfNEvAOCdBk ks6Y0BbC5toCsqfNH8yMRUylNnvbCBRCc1L0/zgUK/Nn1BSIpToP8fkoGPhmnQHL0NotHwm9/x6E 0Pisu0/puqCHpZY1YSHdCTE5RWogVY54SwWkdQtIZxm1tV3O+2yoVLiUNloKDeup58chp/vb/uVv Ag8QH+zwhjIUIbKlDT4f7Lc6bsSE9BZd71meyDJEWkOPuVSvAORqyE+I6Y6b3/bHNjAqZptZ+6zN B8c4ntAbVUfecbg6E0oAA7IhZ5YqXCpc0huWTva2QRWJOrpgkfhgQOLVKNhzZptxZWgDcxXkeB8i XkrPJIXeirAVmHOa9aSRfsStKp6gJLBj3TkwxIewI91lg8PBcY6DwuQ0xjt/DSzJqZuC0k8FOnHP x3uSbtI5MFd0KnXP7K5x0CSdNaE/8QTmk89HSdx32wh1k56uKnMO7RWRTZ8sLrtorGh5VLNszfcb TTdskiKMiIw8RlI9IK2LSAcIS0I1AKpB/HxozeEWQuMIO+Ui+ZK9bQQSIT2TioQaNEknZyksC7Sz K/h8pBv7TbDSSroYiBw7LBaIo6UiKfjVigmC4H23i6f0RAGerg+K0HzS1H0G5w8VKM1DgwG5LoTS WJJEOfensHaAWpLxh0qzt/1La4+7kkP+MXupE7dK0xzlkhyYLKr0/gUqxi/zdXIHJouoJqLS1qx4 BLuBWzDpXwWTvHySwribBLyVaYjLwSYJWvMQpusUugLMjP8matAU2dckmdAVm9g5cFnEdkMOB8tY H4QVQVvKvCVz5fNRDODXG3WmUK1m689nKVTcQ6oLXWDV3WDkZXU3MSqpVDSh72H7LSQ08uTuut/6 1/0GezxJs6DwhpCxy0UQARIIBrnvSyTqMskLrRaX/JTlW9EXiXFJFHApEkN0lM9HrdpfGw4/HeQm fJYiKetOd6FebcPVlKttuE50gpS+qbOutRRjRgwWWnywtdTRjqp09IbGBqJX0j5xrTVgS1a7sKNh kxRF3eb62lQ/adfl7JwtWWaL54rg85HKrncBmCADUbEfO8rAbRHcAWyzzftt04Lao26ZAhATUZgs MJ4M7RYX4elFQqAcHFiT6XjSKO890W9cBNYgLOoAYUtCzVl7xV43ipXItCqs4qZS3T5nQI1TTf+y +Xx0dt+AknhJQk33cy6FciGbwL5mlCt0Ngw6MteuVg9odRi1MQemi9nxR+xZa/CyCRR1gdBiP+lk WpmqRbOTWZQQK61HyKDa20ZrieTGxqYtB+sGnF9DsdK0l4wkVa5iCNsBkDWGepLPUljuHqinLlMF m1MIYzEeihNAZ3JaUQ6MFxPLL1BnJEWYgy8msFlnMXgEdBOoXNRgSg4wGwPCi0FK/rpBPYAc0J4o js7BMDbnPJI401mqfP5f0t+8zlDMhZyJz1IIyT7/znlf9+9kHcFqS526l5MmFk4tyrH5IhPdtuRb tW7VZE04u3bhkHI5+Th+QXRvmRKzoDxu620le9sghRvSVOHG46C1dJLE1OVGPRufj7KTe3iLfwWn 56doEhowwnrxHEcG6D/BNiOBzY6NUY3o6mWAvxw6MC5pN6dxB1tLsGeHiYcbDp0lWonWQkeTlAlg OZTDCc6WQwtGzCKiwKRgkAklwUhLdP+fXrCUAg9G1Fy8ZsITiDScK2+dQxNG8IgWoW8UJ4asl53e W+XvKsMYP71DF0YZeKKT4YMjtgZEswEQhe3WCZ+YEp8lhn8TRoPQ3XCamjypQxfGXMSWZX2JgwEB JuQ0uZTK5PMRo9j3m8wdgAq/RpU59mGEpUVOhuufkH2/K6lKbQWlw2rw7BwYMSI4pc2gAkYO9+w+ +3ltqoBDxhOBAU4lVANOVgTQ1rjAPwZr/Ja/1J4G7Hcamzw/wgCUCTw5NUT22vyAIKrMvypL8ITc V2UlB2aM6Aw0ihQvgyBLQRXHEk0DNE2JsnqaphClTb25sqUdth9c0rm8TzS2hyC2EJwloy8DDLBZ sspU4VWqS8Jaju0YlZlsIkjLNgRpBjNHnuq95M7no3rA/tpxKB3XR0U1h3aMcBpfgwY+SHVlVCMH IhqQcMdRrEGhd+DHiPeX/AMzXg439EbAlEWHgANCJq8NuEZEScO059TznsveNuI9SlqN6AAwdX66 +BDn6susfyM64AdEDPVfawmwki//5diSEVec6WDin1IuVhDYVJ5SAQ6tNZulEF3CXlxr+w7e+K4L 4jYoz2JvJ9H54HAFLefz9WgpmFucNB5z6MmIP5/gtjsoDkCTlHDrE3k3Pv8vSWcsJfALWp83Wgpd GdEzO99RfJLIjjLfShNTRytu3qUUqvQNKaareFK/lhJILzBWkNfOub6ZxRV8ZoWTFW48EzYitI9f EuAn5GM1OFccNEkn5q2qmpy9zeejyLt/H96JoelzKkX1btQR4K4khWLokjaHmKhVIIiJ47hyCUHb ImILNMnB6281tTa7xA4KBFY5j/Sdb3BGWNcGBAwDe9tgkixKIkSgXYjA2Kn0LLudPfl8lOl+b7fN 2GM/sVIUd0MF7iRxbVimm2/VZLDnrqrJ7F41CcwZ0a0UW70vH3yS0NeoiAXPJOWV2fqEEiZIbAge mJlYRLmXvW1wwW0R+UVBapeCNBks/SS5M+bAnjF9EfpJsYFKIIsKPkvvcTdyuElyltWWGg95WVs1 X0p4He8KBA6NVxXTOij0ctYsJbqUThrFpn1yYOCUoNeH9YAvPmfIYMUQ0VLt9roRvn2JJ9p90Foa UKZh8gqV8ByaNP5WDm1oerbLs8mhSyPa0Au0cvVO6jAHHpAkVvq5rtWGcM+hTeNgLeS/reFPSI9k HZkELrgTJNUswAXRwZXqR0/ju9vb/qVIB1cxHwzVBciqdPhQ8A6MGr+FsDlJRBtcC7AcGjUi3JiT rUWeSlLoxak0kjnLAU1UvAAXODWqU0XtleGD3289odcgZjbswZTGnOCYOmLlZz5GhGt0e9sIHcB0 ohJqwkEbDqrsslpsWS8Z5LnpriR1yVHMveC3HLs1FlSUeGYSQLmmL6UuYWxEAdYC5yz9LYddwLC0 wRE5A/5lnSncuRHOXUccdwO+ZSLAye3a6pa0/XX/kuWn950Nlp4Ahcu1dKI0Pv8vHC6eg8XkyNdw L8eWjTJXSMYerWVYs3KmPVycriQXp8uxZyPrAAUOHDY4iGLQXjqxDQfc9aY7c0MvfQLlKqVVBUvW 9w5cG4GKJcYEPTcbxJkAsk4+epWLKYq7a74sbTaksI7602P627exp+UOGHu7dtYmfUasCeCgbJpC RPcWPIAXmDjetx5Q6YNB9lY6e2IXL1RSchSiPBdEkZe97h/i6hkavT6obLJg8cBi/zn5+Hxwyf0S VwevsdX5nN5R4J3pJL2McwMPA5fxq1CRY9UkgQDksxSKjiyzJsg+ODNgox4AMABwS2fZLroTdlyh k52G8lh9r9TtdaM7DnttbJ5MHKz1vU3CtG6UTQILRxnifJVNAN3sTysutHAEfwsgOLVyV2IAK0XR bZnKSdO7ix3mwMNRNn5Av2my2lMRmB3Q9MmyST6BAJoDtIyfoKRDQ3RfLwzQPfW2USuusz7JjhwH TVKBhhDR753nUgjuzt+BAMKV70w3cHFEv3Ij0x0mPtLSrQc0lq0FgZup+1IKI29Z7SRhvC5RD4W2 c5gPtAowSQl+i5ki4ifMnJgg0B3vhkvLXjfYcInsiSIknKtrFKA/l1BLZ/vy+Siq9M6AGnf4afZN 4kIjR1zDICip2IbAabo92GL/DfDc1mk2x6UUht7WGNCxtB79iN4XSiWD/ZMTa8/hpzeCALrw7adO 2Zu9brDhWFXKYgZysLUEKgsRknstPh/xuX7F3pkyKONZTCHOGxq+abIsUsAPRNzFaSpA9WTV4CjB q2kKsSbMdTMLbBoMtlRPCnAmAbZNJ4cDZAMVypFQ51kw5Cnjkt7ODVjsdf8KBRpcamxQKLABbxEW HnlcYOgIpOFV2uB91ql1dqcptnSElsMiGFhxZfVst9NNQY3dy+vKgaejFG87suXtg7e/T0gyS2F1 CRzBLhv1lingMpineEmARSl+SXR6s4MmY9Del2EEGG9w/QojEJg6fidyfDnqL5cLYQ5NHUmqqCzy 0W9umTI0fQr3LcGl5JMUAb2FxRmS0RyPjCacH+a5gQpVf9qZCBaXTkAJxyHcWYtprzRtFC8Fto4u VpvQzbPBSgKwMJR4/9DzwRV35XzZuGMRsNU7SVHsDeQncgiD5LQyhye7ZZvdU+nIKm2Swthbze8p q/T5iGudvzxDuk8mIg225uR3QfGuDgKt0+VQqgIXOjtWih1Do5oD/z5Wl05UMRgugR3OD/hfZBCb jrdbEgjMHeFUjMLJSlbNNWESZijTcHFU0vQ6ZezuOKRV27oPnsedy2wusM1QXWqtiGuJXYxZQoby sOAULQXujsYTBJBg+WAx5TnkKDBfM4BLob3jA4Ezk7HzpfXZb6F6dj6Rnd35kPWlwRS1ScbYbkdD RJ4CgT8MHnnFFUK3ODzREt5kMdmFggK0ymG3gtMAzbTdLpcSe1BfEqlFcnYgvW6D9hu6TJJkbYDC hw6Pjwcmzx/8Ol8V79DiEeIgc7MAQVcjyUhJx3+7g+E5NjykDDwenXBahtg6Yz4AgbPJzo6j1HCG QyLrT3AiKifRBIA/P2gT2YLn0OURDKnzaZ1lWA4WeMOESYF3qnz+/ehe+zs9oXxaevqVgc8jcP7A LFejmq6i+828n8aP6Y5iFm2W/sGplMs8B5+lc8bCrpkxJbzlR5K6L9DLqLCX9HgWEwzMbwnWEs0y kpK4dJO486l7qI924lk+H5VzfS2RMIAy7djrWUxR0RtnD9Am7n29r9jNSTIsPzk7Zg6fpghtUrmK +hR9cF6EwM6o3CK2JJ7yrKbGdlSi3ggCV8j4/xIly4Hfo4trIuj2wdAmECXgnzjRrwwMH7/xlIWp LoLQGwZ8XkOlgt8ms6vrKIqbnpyztWRLT+gDz1PpNVAqiSIXHcWR5sNTgDuR6WaT5KTpo0x5HnCD QYYMbmum6E8VkM9rmFQkjokagJgC3jYmz3qrvXQizM9rkFRAi9AaWrIVAYkeRRf8D+dHCSYH4Rdu f4MrtXbhSns2AbzOzmjbywDB5AxiurqYcP1hwp1r+RxrFHnU5Jz4keIbOLcbEraTyo07O8K/v86O t0yYBdig2TkbdsvUuaCC+zo7CByKzQ4DoSFZFJud19joPIR64cr3FDpX6VW0ky0dATjLiySvkRGW Du/8Kc/r+Xhen3O6wz5oEBYIQe9ZZfqMDQtoE6k+Bngjovs1LipuxrtavoNNzlyNnjv1HISf16Co PBSTsyk0k904r5qe16AIO4viEtmENs4/5XEh792dQuGdrOl5DYlKNlbAyBIGyI8wwMnNK0DcUj7O UALHDCr6a+c/cLba9FAb5fMaEBXYpSRGQrryAdfhqQw14ErdXTBH8+c1HiqPMZYtnkTqo8/OazRU yv8DOt8XD7DuK1mKNmUZJGGJmSxkfI2F8Nuo759U/0+P2TW0ONP5qZHun9U9if6EqC9xHMiVaXQ9 xcZlHvsaChVZtZ7zuAmb1FwUYJ9UOauDdBKAz2sgVCS6YLOTdUj9NMqicHpe4yBsSDrP9eFlWiJW 1PmvySCABSJHZhEaTM8UTYLgRw23pX3Ots1s9USkGTgRqf8gIAA6QtMjeERiQP0aA+GQlKpE5fHM QR1tOPPJJPYkNZ/XCKgIemWbizN5IgW05TQ7r/FPURMckkgujtSSba0h2y+1/Nd0C5Fodlh2PLNY fLCTB6EVjpnEfsjevUnPF3VZejRCFOZmrvI2iPZWka5Nnz5YspETQfnU4P68Rj7lgWxrdoCbNd1+ CplH53IlA7BaogFMvh08razticZ2QMRr0INzWfRImfW0eUXpz89zPntJDhqekcrFTuB5vgdtyXNM Pjbpk7pIrzGPrU82HO9gqMgT5vCUbucfP68RT5FmimaHxzIQq5Tq5uy8VhqLZfQ1e9mj7Hab/NR+ YkafiSCg9FhwLKchyX7Rt/dzpyP9nNNx2vvMeCdcE3TRSZHxMucFsTVqs0Wzgw5/gkCRD/wORGNm WHj++fNaYyySaNTs8ASnsgPTVMzOa4ERy3WI8mezAwq7ddFy38uOZZRyTEgiOpaNDFLu4FXY1RHJ QEoMtacTHrLTSDcbgJrQAXtoWvQvei0u4hdYUthgYYiDjuXWU2KlkRWh19JiefQR1o+g2QP+8dnj wShaBnNq1XWdm8ujs0EChZyb+x7O9QvmB9x5ZhLZBw+X905ny2wq++2VJhiIEIcEMJuaY6TmmUZt E+s/Wj4kaMNHwAeV8gFBkD7N2b2fdxIb93/+Wj/o8Jd97613DlsR9QwvbUQ/Kh+Z9qHaadQ+nK5l 805hKy6z0bFSbPAZgokaMi02zlIqS9qH6A1M1I8KrljrwkIGkS8bHc4k+C0qklyX+oxq5DSl7MrH /xU1szKGi7ATWKApeo+bAaChwqjx1iDQ7YtotDL8BOpu5vhOYMPn0Pd7SLhuPMJ1iH3OHgUKA4Ez 2PEshmSs1laJHE83q1DuHkXOnRBqIHF9MGx/w/LknQTO0XvojFMyfYWGFdgxGrRrgqLIGck5Qrdp rXwvB0EPwStlqEw7DzIKnbtkbIrQWKXe6AfqI7WwrHPOphPSoQHYadjzAyGgH4ry3Rss6WWDxEue GFJn46ArDAABtrvOH4seR3QO7fR1h5Eb1co9h96j56TUi+Jbqt2LiEWwQyoGnCkDCixWcI1miLfY xoTb4LnXSUeR0QlcNNHVl+w44piKpJRejtTU6pJoeQ+f/Y6vYvhXGvhpDW1wLAmezal93mlr5bE0 WHKVJZ4wzbuIoggawS6kiralX82nqOOQtRgRRnGWu7+H0Jmtvj5ZorXBg8RSMz6Vbo4TilFsAUmx v2fU5fKtttKa5520xhOBNnOZ1HUOQhaNlKl8Dk3V8nnnrBUgYPNXlJgBHj0H2F1EYRQN7+ZE7QG2 73vy8lgazaqIucnGGeWxKIzuLEQPCR4Pdw2GP/poMMOg0nEGrrvwxJLeOlDUsGZ1N0fx+qIwWvKQ J0tfPlgYfeabvcETL+7PO1/NMtyvSBH1SKnUcoKiQHrhHBps6LJ1L+cZtu6HCdkAw+carO9kteKC 9F3Fsf5VHIPe+lk10vjBmQ3qFcwxUK+gn5BantI/2Fsv+z5DQ0rHfd1BM9TqHOJQrT4/71w1e8dn DcFnsLfssfQ7U41VNdRVpyWnqzGeVYV1lWHsIqAtbAlF0fSgfMasxBTNekW1zv46dxUmjS1EUGnl zJuQnVJ4gBp786ul8R5NS3P/vGAlfZaDikAnhqbnKyxY8+edpYZwyEjG60c2ouff2unusSiehqsr WnzDKvXUvhdJbXbvZ0zCxTlBUUCtns8UXJgDJwiLZ1dqi/IUSqNUmhrKHgV9SSjQeWNsTr1rNEHA /CeUO23QKYTvkDAbQQ1RRC2wga8gqEqdje7H9Ds/DXf9uf/mpD4nk9W5TecYvnKGR0vASlsRMYqo pVF7Hto+3Fy+FVTTmcsjKhJ4P0MWjYcQRF9dRove3+/stCKh4sal7YMdQuccI1vgHAX5805OY9B/ J4gAPxYTxp2hKKKGy+7C7WKlIHmi0/vbi2YQkMFbc4beI2rHMrTZqg/e60HmnlD0PdEQcj/U4emb B6nqTOELt1YpzBw+79w0BnyENRZS8DkY4iMjpuYUtfl5p6YVcTmenAMlgAqtWJuhqBAtrDWZazyF yKoQplEo0E5EejHJ/ndiGgt98iWm3EMfj4faOHnM7I2yGcjuMpH9g5y0E1tvmuZeHBptH955aczK 2DLc+w5aRJCXFvX6hF6fd1ZaeSzC7JKlsnD1Y+idlFa8QV8dHHtm4zqmqvcM5xAGS5qhKKKGXz1K ZTn74LuMLoWLziHAjAw6Q8DdALw7wDPBxHJADJVF3ilp+BKiGddmU3W5RxKy1iFmOVyGP++MtF/l aEI+C2MDr3u8E9J4vDecQ1bjgM+HS0GPTKU6CtQATcmI+p2PxqqLSh5z+eDlevhh0eQMlGuYMZG/ D91s+ICyr3l3WaGE5jsf7ZY+ejaZGtebOP8ztLkYL6b5eWejlcc/XlkZYII0BbQZikvSHXrrzUAe NbGWSJ6VPHtYsW/Fiq7vXLTirga097TB19A86wOYNglotjnlVIhFPiqyPB7TVrL3lw2ioUR3MGE8 imM80BNvUx2fPdfnnYrGKsb8joZAYK7prqH3gBrnEEuLzZWgSr5ZWb8wGDRqbIbeA2ol3a+Aqg5k xLndiNPHLobcUYcodPmhv3juD2iYXlyfdyKaFU9BkWSRiINmqKMhyb/6/BSfdx4a/tbSv/qpE/Uz mA3aDEUR9cm60Ub1zL715YV7+Mx6Zr+73/bvETWCXZxDS2T0dcnoE7YYYCikLgoxOsMMh1B9pe7l vESGDBdlfkcwQVKm20MWT+dZM26ucMTCgoJd2OedhMbb5DuiplL3uPXXdw4a46HzjovRKb1DUnOh rNFMggX132xdw3cKGmvHcpjLd3Dk4p64CCYZn6g0Sh2CAi8V8zrTZXyObe8aBIwCUrW9fFBin4uh X8hAeyeg8fFfASO0R3u+4VAUUWP/n3DInOLPNmiONUstWf0eMt9WPXtnn2GTIdVSG8MGj6jhvpeo M3eyYzj1SB+i4QUhaDGWV6gzY/jPO/esSDOBKjTdB8vJ4ODL+iJcwt6pZ4g3xz2F+C9Djizdtvw7 9QxBFM1VcrdwKGHTGYYqGaMReUiytPWdecacA7trUoNagy0hRK3nVOJVdp5LyDrYXGCvi0ZPD84M XbXPO/GsyD4GEEPyzzh4Zz6lqmho5M877+xX1irvUKLMvHv4TjtjhLBvc7WxueqnEEws7BQaNJHg BIU1aiQbYCtWH+4SqumEjBDVmBPA88ReGiUxSIzuCIa6MWCK3jWaIAobS/OhZmd5nnW/stnznIDt nXNWBIH6usiYBQ2HvbwzzrCCOvAc1QTpT/7qvLxRazZhLPAzDT/9TjjD+qdd8xT+hYNNEOAcVcjg k7uisdrloAE7GvS22kPLYy3q8043K7KBOWHiIr+Tg7LWWpHZcAV1vmJ0SpdfEwSoefFT+p1sxuIi bNTaVKl1bqGmGoqLTD05QSB1iyn8zjUr7l+0JonCHDRBoBSgXiMo524wzZPtAeBfaHUw6LdDiEoY 70yz2waaOoQm8m1urAzdZHXrz7VZPu9Ms/JgFK0HjdOq17uEonh6n/cDvslYwqMNR5d3uawU0fGs Qv1OMyN8AocQURc22BI6m2qLyFaoYb27BwNWvl9e9kBTiG8azc8gcGFXH3QEAaaTpNM75+edYcZg +jvdIHeqVU9Z3wlmRYIMqzLqMlx5senZ9GgyxZk7PVF1WgaNcKb1wc7ocY5QSM+Tjn/ixlZIjKFv BtJ8yN15xtopRv/OLuNN2RQBVR80QeCJdHml9Pp5J5dhJfyKpeUnWy94Koqle4OqAzFvzFhdwQiA bQuOcM2DL8AzOixOE+E6C8NADrc4DVg/ugRUoW8DKJeOa+dMJcTpsQIua4o44HdqGS8UzNCS1gwH 63CcnJsJPui1n3dmGUPx/Z2P4bRk3VczFMXS6KZBnt9VwlKeVjlDTmid1oGgQTMUVaetfJ+5kkbm DPEUxrs3iHgqloZvNn1V8Cc1sO0IC7WcvrOP+E4rK8LM47XY4egZPC8eQqs3JH24vM93fN5pZYwV 89cULYSsbXt5+p1VVsQrBNnLYsWUbqx4NkLxRUSJU05RWJ7m0oDZlQ/eJptw+NhLHY5EQDmKi4TD Arwz+zUlRjuI3xEdQyRbyNaa1UnimvOGXjj1i9GE+7xTyopkGZ5txm5b3zdWjKJpCAGuPm1eUAYs ts1ONlcdIj3vDEX16ZmlOEuxgpHSV/kVlEMSNzA7GxByqoOdvAbxKKjl6S4iQqne6WRWHUSumpsP VjrbW5I2ACd93tlkeMfikA9piECCpdwK9TuZDCcRPAyKtK+hWYg8x6TCWS92qXDUPYBUjMNp9ceI p+Lg3eheYImuCjXIV1b3QMVhEj7YH6w9hZM/71wyQhII9kiUlxmJhTxm9WkoNIKMzeedSlYeNSfr JEIRuO2LdH2nkuEWqmDZmeYupMGMxwlGBMhKUpfB/FOZ/51JViQGD97PaD44zn5gVSGXYPFsUGEe trtnDQzERExarZPYit71r3CoTXYSOQjzca6zTJZIPYH5551Hxuv+G6/I64FhmCYoDKgRseGk+ZE8 aO7D1lCrXYJ8J8BDuEFR/ncWGQ80IRrYJ+PgFeoTmQOKXonJO5uD7teYNVwNuF94EploMeLWzzuL jIuAzYlGQGdzWGTeE7hZ1fA3H49qZ98BEZCTNXU/iN4pZIR0oj3WCLuj6gNDHxKAwU7N0t4ZhNp3 fk5UXeQJVOiSzsEPovMTp03C06JmbhXiFc6BYxLzUe99P8hEeGeQsYzF6mIR9MMLdEADFNZrkLqU zzuBrDzu38ZFYMukeP31nT/GOJzKDclCRgggeHWx3frrgECMENNhhZq5KNRQfHBk1blrBrIWRNQV LF1GlRVF/wZZz5yYfQxJEmy9bJC2Mh2rlr0WVyTAcp8SlKmtfd7ZY0X6C0+XA67erdU7Q1GFGhbP QPQrlD5Lf3kfKPVsoOmcVrPCxzt3zKq/5zUttk7WKQZz5YSM51rkLsvQtlB0Sf5V3YDGPMBXltw+ 78yxIk5TQx9g+WB3WRXcGgCb8XknjjG98rtMAqv45+Tx0DtvjIgPun+bFBHcv61d3xnUqRsN6Jna QO+0seJSBGsTPcThThB/bLXrK+JH7sMOSH8FcLqVK/1BzZPPO2mMgbskiVr3QSuI6Fq57hY+/r90 owGfPBeZx9TvjDE8lZW1mmoTKxRaQbyUTURmDQuH3gljN6ZenX89B68MARW2aXg099onFRanJU3C GChhcw8hwjvf+WI8MYVPnHewvLVAoY5H78lb3+li/wWrghZ55SWqCYoiauyjE7qYONNqBD5Lfb8S SIRjelQyfTs/J2q1MmEVQo+Dl6ep/jqHGHUFRV0hrOlLDsFblqebSmdJ7xrc9FkCaSzCcjA8wxrb bIlrxuNBuNi/A+qKqBSr0CYoDKhxjzV1fiAJruJrp0nRzF6eHtMS+xaVp22CMvOJmculHJaGtB5h IEpn0D8uUnWCsQm1n/K6mih2SoeID3ZagXvwwSAxG0gSLqEy8HjQrX86HPyX8QbtRos9DKgLWhxM NKnOkM2t+Zw7oL2YOgN1EMhteQ+ocUxvohbLHWyTwQ69lcrS0AbEdcs0BAzsSvwprUCWikNd7xqR DhlPLyb4HLSG4DAxuQbOasfjAX9jl19riEIovoZ6WJ8+aRFM45dtsmyX1zkRSvFNBg68TVCE+BhE Bu/BBg8Hqy6WBbPIRg70SYp71zE9kBQX2PDteRN7EvjxHUHCka16tn3gBLUxzMGmnjgLjwfBYv2m cAzYuKF1YxMUhtOZZfvLjpr1Aj5qsby+8I/iMd2j+rQFi21lHzwUOsdyJiwMjdYT4WeaN01I0U20 wLNwZyL24ivxJcEmo4vBZp0ahxuOrx8JDxV5f4+TsuD5oMex0ndOBthRz+MSyGL6ISAfJfldT3U7 ccSFJQJNb+1uUjE9Cqgn7/qeSdvt+TGQ3+Nk9VsIlHO/w6QIzWioM/0MFO4KtvDVZCh62SAaMshH v4PdZX2LMlVmmXg8OKp/hYsgyFG3xGboPaA+26MgSKzWJ5vUGVQ0VOidywJa63eGwoCatWnAU3zw ZvTZBW0J/5rW2XNgnlJ88CwFqK2NVC54kRXGHhWp15SM9RCX46z8oeIQXKDoI3NOfDweTNBYX5f9 QAZAno0mKIynT9q2EsVEyZ8HFsyQZ7VYNJQVDuOy7yELcdCHCCABG/yyh+oMrGMYT5/AfRNCnbJE GqtpNOscQjsP3xFc9kVIDxIVZABg9cVOti3ylorHg002+68VhPvhOYfCIvWkOgwkr/5DKbQiPzlr cshF7iynrNJQj+NpCp/tlX1wwAf6Gok6czyxoQ+EPYZAiB6OmZAC16+cetmoNMQZAsjfBktaz3pM 8oUbE4//L1QOaKw0LGebofeAGgBhtqpY7gAWVW6Ehd2DzjyMoCEQSHhSRwH13gbN2z7YDLVOqfgk CHUCDYYeDWAvnXx/EQBmbLtEb7Qe1airBOLGugO/I2fUfLksap54PMjq2y0vJuVv+E5POXoEoaZW 1RCl7KSvjcIp9NsdSADoHJN6oax+5+f8RSmDfeHDU6jYZAkOVjtR+Aw2cgvZAf48ylais0TZSjuG cD3hS6JwiDD8Jfe45e5xZw2dZcpjpLeNx6M19Lu8iApJu0lHj2JqbIhFazdWYHMCZ0aWlnsMq57B G8W2WVSkXizjj6YpavspLwJcX2k7A8rjeS/i8OEHivJiluaItVuJWcKXBHkrsXmUtrdBUwRvBpbS Tvo48Xi0ivqvKULtpVy2y4hiauhoroqigo5qBqd2VHeXOsmUoccUjahIPbmKlrTyV273LoPHTmtZ Hk0n61ubtdqGoi9kPX4GciP31cl616i+yE7rFPRjToc0wBi6m91XxuNBTP3rICJRa1+mwohiapjF npAxWaOjV8ZABMWQv8QJ6ijaiDIelqjFA0pSZUj54jtHhgsxhKC4hoDO00GE3j6RAGg4uJAXRVnG 37zECqUQVs/mz7BeUN86l87RkPF8UKTe7eu6R0pGERObohhGjc3ltkNQpLdeUN/NVKsKVRVsiqIi NSjvyDcIX6TZ4HlfghpO0tJo1oS0A/BhRU4sftIRoz/XPcQ98R0BvpNTM+WPzmSV2wU7trG608// 4fGID/SddkDcuPRxVQeikBq0yXmOh+SUspwMm7dps8F2Yt7FYDEjCqkXw8ApjPDUqYAJSlBgwsYS +PLc81vmuxvFdlBJkB/7bX9eY+A7/monDlqEalBEvSGBTfLvOc7weCTL0L6OIYSddV1m64giakCq FvshpsG8vXzGkPUiz3wFRRH1pLsAIiEfvN8KPF5DJRx32coWdBOHd/41sfhvIwgNTHxJsMmG2hxJ fCDAOLYlHVWI0pP1ZTwf9cp+QauwbPO9y0YYUzf6niXh78HrcHDeXGsZY6rubKS7EcXU6pGNNrIP t88xwadsRQERCKGsUXPZDBws/QI/Ngn2IwRRb1bvF7UrOOigLk1EZbS+Jh6PWmW/rjKsNXBXbIJC EPVk3lpd24OasaIq9OGbbGErag1FIGp5DkOZ2gdfQwiCiskKzVFgY/hj4sx0nEEJwTtlxHeOUNwD N1mhUY4N1uWAASDFPc4mxuNBOPT0EnnkQJTyUc4ZUZEagRCIUg78kAgBKVPZ0CCwh0uufhLF1FPn T++qwbKkmUXmgKGk3OJPgplxlmKGWHZF7YVT5U6D5+PxHVEVn5r5Q+Cz4QhYmFhSJPIHLgx4POqU XWSM1hsyxduyH1FMjSgW0ZDudYCHPBrqzoHBOTQNRD3CmJp5Wd9qYuzbTDzhwwk9l/IyuG+CpYBz CDDGihsvl3R9PbcWURhTd6JmK6vVCcajdnjAC5N16hPNLzwf5Pa1fF/2NMwudxGFdeqe6TU81ZFu 9PuQEyOFQ2RYqZga51BYp66ZYaBoL+nLWQieOfBiROqK/jRix5PTgOt4fn6KXyWv5G9p6IR1at72 pZiVh98HwNukLR/qUvB4MEPzF46aUrKzekt6hnXqTgjaFNMXWzo78IOma1JZpGgJpmjG2niEoHWW qzncbhmYg9gH5G5OSAqznQhqBugcZHMYAo0OHjPEfRAFO9K4gyHQJtSuGSe3hsejXsf4ioe4w750 hqKYGlIS9CVV6goZcktdT7yoFA0INDSJkbrOkJnIe37IeIGDgxgTQA6tGUavbCpI4Eo7U4n+16BI laqw1d71D2IiyL7LB6sPncy4yQamFTweycX8OqoZfjIg0wxFITVciJFruAJlm84gRwne0zKqbeMg mv9gJs6WffAiLGLGjOv8/M4JdAVoWp09fF7zHHaZUoWX3koVghkWqum/XAnv06D6B+x5s1STV8Xj 0Vn9zd0kGrdchcUZxdQQ4Tih3HCtD0qRS3CIaaQ4U2sbinHGZWreYlkhY35CxlELTZuq9ctyZWet UBN/I56u13IJuRq/I4J96Pzpd9DR0eClSdjHTBmPRxKU3xhGJPUUlLQJimJq2CLDvs4mCNmSZ/al XthHdWX8GSrl0fVldCWu/SauG+Rl2EjKPqAC4Y8JSsAaQQMErovlgvERYOBLgl2WuIQGPRY4qPhx 8g/T6Cy94vGgoXi18vAY+oTwivEZimEfQDV0cy+ZWxrdssu19jTA+M1C6hnBPmSPAxaCD7bJzsba c3XWGFObifcrgbBgcqCwACKhxUP0W5xRSA25xkYjMx8MfQaUKnPROQYejwpov7it+JT5nEIR7AMl KXggeiuojOKwj2TqH3Conwb7mFGZetGDCtGID76EGkqj5LssyI8QHQzWHRYNcU7roUdTa3FGIbVu sNlo/TapxaOLDNQcARhxkYWwj+aHkCQs2Wy9yKEZ8hITs7JZPCtb6cnKimM8V7ekY4aaeVVFWEE9 x2X/7nTWSS1tKGAE/YUS55BUgqfY+Y+vzJ7k3xmVqQulUHJncsZBNxmutSSZpc3HI9yH7zFiRCBh MZ9TKMJ9oPuy+rUza/1m9ntfXTj0kW2Cooh6KB0r7Nlz8D0G70CqOoOvsGYVIygj/GmoTGWqaFuV mmDzGUXULcl6irCGa3stgiCnCwpueDxaQ3eTae0RXOUR9YyJiawJ2aWFeMVZZaM3R34MmKFol4VS H+RHr8liNQfvlp1dAOlQmgcOsGSZlzQw/VB1/UG52q56mmDiO6I6PupmWyLvHAx9djI9sxDefMUg XPwFExal45bPVhRPZ+pSbif/JsktTpKWXcgikaDENbQi3IcMA2eiqBkH32RZe4uKuHCwXVm2S8iJ 8qSw5G817hXWqAmuWkLpcdASAgqWLfdzVVU8Hkl9fJ/TEBAwA0pOUFijZm1ouVgMtBIdjE8gj8D4 adomWyHuQxDzJj/TdjkvKOEn6CrTWPG8YxmUS6FDSasI//Y9p6HNze8IJoixUFoUF6QLO8umKEue aSehY9eC56NjaHwdQwTk7HvVr1ByulHpw1lBTe4SPIaoeGTylNMY9isEfhBATR1mDrx5JadTWS1l RxpgxkZlYU5OZTtxzyu/CHEBfEdUPSP5twhvXoxgf3IjY8Ah+hx4PMo48nfGgVyrsk+qGQqZiTQL 3LNb+Wz2Wz7TwqLSR3ENghXiqLugMW35YJvs/OMsGzcB7vram+RzC61X2O9cj30C4WcrrlFj8Zz5 Lz7YOX1OYJF/6654PDqF1ndan9EYauv2ylYUUS954TYtnQnkooVDlQkAttnZHdXkYlZITRRoqqbk g5c+BhuTotgn9DIYMSUaDANIPcs1e99s2q8I99Fp5TKUcgxPOfI5RE8AQRz1iVTx+L90z6rDYHmJ 2wxFETWoZDiobYb2fpplM2XDDu3pqlUrlPogdqiJY98ux36cLAbSuCBLAddwwmCgC/oYKGVOmnuB meqGk0SgrVA8T36TIzcfnK4wcpd4Xh94/F/YIYbU6N7WGw+tEElNIdg6rIyPdMlmSC4aQn6MZNih FYbUVF4cXVIf/cs76ayMygCJFcZzzgLMh3SWVDmYTY5bHCICG18SgIcUMQ7qVjGFM1LQ+YXJAe8p NTweXWbfjQ7CSPqFUq9QhXpSeyxZgbFSF5AFxiXlzkxRpu5rKIRSS7t81OrDZZadC6zRL+dcOOdT CVEbm9pMKD8MQvSE/JhD7xrp6VDlVJuNg0Lq1UnoY3GIrxhpeH43yxpiq5XuSR2SE3uiSZkrfQgp LKXc6fAztuB1UodFanak22AXg4OjGuBtkqQWU85aPUEWJgjse/pAUXDWLbnhX8ovCVROGUtPxY0c FOVtpMaCu6yKxyMd8+9N1oCDfWrUK6xRb1amm64tbAPHn6G2YIk9VAFNqjuMqLspmXcfvIzfK0yT xJqC9lnlJpugMI1G/UVUcywgGkMvGwVEvOc3OcDNmdjnpN4UUmR7h49Ha+hbrRsn0MAsa4Z2FFLT gTNfvgJUJzweGvOr0WF8hR2q55nUECXixpdS7jmaES2g7oxW3IkhMg1u0O/uXHLptoJkMr2jkFpZ WWrsCHEweFVOtQuOP/h4xHj5hn2gTVLHJUnv95AaEioQraq5WTg0puuZl9m8I416hemZx1IfNEpS QsHh6QSd/HkXyb33SoMvepUDZ4lCQbp+iYX8Y3xJBEATW0oSnsUlPM9dM6bQ9GsPPP4vMRTOEG3A r5TFjl1cQG9NS0n8avnKeqGHaJtsAuSpGYpDajHuSvbBZyidwDlDcwwoWETSdHrJ2BUNL0g3DMPF YOXhO6IlxLQVnio2KG2tkFZhHlH6xuNB9Sz/QlLT7nr5Ob1DZuJEzWwWm6C83GzizNxwEjkgcDZB IerDePZCweavcxp1uPN7EzkE7+VS1OXAYSRfaZyV1gfiTbajkHrzFOpbynD7KsPhcqRwQ1uN7xgU YNMvgB4240Mr2yGQGnYclfB5iVkMX0JzT1f1KhTK4AzFzERpLFOhkoPX8DOixFUF8jzXwCJApAx1 EKve1Kpn2Cn4jkiNmuAzndZZTqtcQufUF7/5ZPZ4/F/IKoE+GgBontjvUDpvUh3+qn00c0emm4t7 TvSLztsx6KMIlrd9sAnqtQIQwLQ1nZ9j7CZ3bqgJUHpsPyqwyCfxHZHbTROfLPugCTrXcJO24IkA 8Xhwj/3aYyjc9XpRMTsCfWCV4/i8phzbWVO95+GsqQJeNCcoVM5bkiGo3QcvDdXEVmQWfLFKaXlm fHoFMX3SSFrN6N71rlH9laUhaXtxsAlCRzL/WCdx/1uKWrUzyvjcUzoU+4CO5qRSBjlTxapowHxM 04tJ1oDFBIWYD/oprEZ3BQ7OVThRYSpw4zl/37kDciqKJwGFR8AO8r35AdF4F98RxIplMJ/nGTSv TMNAJU4rCH62O1ai/iWoA0U4lONtgqJoGrHIkg09eXcS8uoCmpsi0zmmofaEXvSOIR9q1s/ig2+x Ale1JkWmBk8+1s4aIaMAz+T0cH/31LtGZxAbiI2NVg4GG0JsKk/Rxcf/lbFiMijPVtvdYlEw3Xq7 VLLG0pmTyhaFs3UGwRdHKygCfNBTdM4seFVOtzB0jqMTAWZc3meCwAdiIZu1KOKRoZLjgRBbHDsU +qAhR6tk3XGwFsc5LgnSg6YzHo9WkDeBqkJwECOv2nuKgum26arZzKkd59yD91Aai2sMKv5YQqRf BMEiBQPzmj44WQEqoqCRsexxriLgEKDSUOBkDygbjGgcNkRVJnzL+yQtyjRQBgcD4gRd2jB+p04c usb8gAjj+Q0cYsEPapk+TX+p56GHKIAH/whDfWQpVC7mrY5gzCmMqVkVa4md++YE5kJJ3Fq2IkbI C7ZG77YO8shEEot45jEfzfa6Ef1Oa6kNH/gtUGyR39S51xqfD/KO9n3jAxHW2pdRUGjyQvPPUbIv pukFopWtdwZYQnan9hSiqTsxH5k3GwevENUzL2WzpVgqwpBCQeFF6z8kxeC7OPRD5qMpxH6wnN+V o3VUu7np0AVYFBwHaWzxA4LA6Jdyd6Nv9X6mKSxXn8PvRDWmOnT+qXulsfR24bCzGVIPHxQ5CJCO ICpn+6ZyAvs59lCpEbKD9HqBX9kPfgucD3fPEbXJb/mL3JFxH9pg/JeTuupqy3BFTmHJenwD8ze5 s1fGSqbmUVP8bPctfuKJH0G148lkMNDJ+LFmX0xRyXqbwrlclcbjqgTVsgqik2pF55oQWm9CjpYe 8Gj3W60oD3/doPMhTeFKggcHHbmo/EkObcNpIYUwkF+ajBmtcrJYfJrCsvXJHucazahUwj9RQybR SA94NORSVWQzfFB0gJsA8/bBmx8zVQiAEW51LrY8AH8cCzXfAemGk5OWm6oxCsC3BOl+Ebc83UHT dH5t8i3g/L75/Ps01V+hNiL8E2o/iyksXTcEkM3KsXL90WI6qV6zWQJO2q+5KNie7C+2TctjDl7d p+pXXbJXOjk24F2w2B5URDhbDrx4O5mYo/JbgmCJ6PMTUw8ftOUWrj5Rg3fn8xGz81evGmoZ5WvL hUogxMci6AVdcdV+FZsmRRaECEG3zbZcWL+WFV5WKyRfuZ0JUs0sAuqfqAJVsMYeCADWqBRhZixe okkPvyTiT9OiFEkNB6/wZ8DnCcc7D/H5CLvnUH313eAi3Hq9sxRF3YC2QknX6o9dvQ8mtqVccFqu 3f3wIj0QqVMOubZz8NS/o+mEsBQN2VGBaGX1CCxNVuXy45Ajh+QU6lXTIznLjpyDltJZiQKdnqhy 8Plgw11SDDXPT9Q8HkfFnMIy9kKFbVxPxdHcy/VsiO7Jbd3VJylGhsj/mFR8Dp6b9Am6EPv6Berk MKYAQA19/Q4S43BNbwC97G2jChKPIzktjeu0tKETUdWJZlQZ2sBcWgwzGcRL6bJgc+isiOIHwCGq IZ0Ys127rrk9wWXoZL6BITqEgcAc0nAafqqeBblTh48W5K32RKVQrIaBPwVVjz0f50naSefAWhHk ErI7Gw8lDkZhhHyfaIlw2w69Fcf+VpGDgERPV5Y5h+aKje6cZdlKMtiM9Cy9ZVQgQuaTFCFEEmlT c5Xkg5UBJuTzcrbu/pk9c/IEGLQmng/lQfIle9uIxchKf1530CQlZI2EXJ8gg88HkXf51rjiSnoI Vjm2V4S0bq9ZOe1qwzymcY5c9RS291kJyKG/4pI4EVVPNTgQ6yQ90HBnMYmzROR6A0i30DYXhSRj EJVmb/uX2F6Vhn5tLNxIKC2re91RLMmBw6L8Rr6qJfhl2mNBGVgsoiUMltUwqeFViBdTwU3AdMrt TR7cXR8UbDd2H7d8cPf1wZ30KDj/Q6EU2Dj/tUkfAz3Hws4RZfSldMX+dQ48FrHdaIw3OFccBKWB lomY+StXPh9JqPxSd6A5UbvJSeiyCMVMd4KpcGWYt+hG6SkKFS1QtG27hXxGht1TWl+zP/qxEB5M EHjwotJgk6DQeg3BBZTZPDnpssgLjRZVkZwn9tKQvM3Re95aSvDqDI0Wy42UFKOfXw72vz5LkZR1 H6q3qTQJkZNh+21Qs8OUvuddSjFahJWlQsgRB69Nwsm9LUleYcYgy0ZKI4QJgY9vF3I0bJKioDvT wwubygdDZSEN5Dlzrjk+H6lbtq9JwhbNX77BgdeiA4yZulAAFBed1ZbQRDC6FQRzFE6GZotLLl6Z 0k4cLOg+VxvgCuz215NgnSSekBrae/A6JkTTWXvFXvevogmlVG3QvT1Lz6ZOWDafj45uz+DIgqSI QXqCgCjohqLX+aWLADRAj3THjCSrn+B+S0bSz4HjYrZmZFfXloNXAxBD1C4hWVpRC1YjPVkAIxBK uoxBtbeN7jcEASsJKppcBZRQuCY7OHvJfymqMDNBNRgVAZ+kEIoNI/G+i6lcTmH9SHfYeziMtrky eg5MF5M5m/YiE+rymFB3OBcgqqSyUwN0h4Zw0E8byDHotu3YozTtdSP1eMZI8qoa7lUFpwbzlAdL gM9Hyt+/aNaAjvR687fQeBGi37CwsMJSnte7s1IwiRC2SR6iZikMuoWAHOJbj0eTuKczH+cIEvUK lQH23JKUsgraEeP23Fayt/3LBuVs1TtoKe1zxlUV1Gbj80FmctG0uchtCK3jy77Kof0imL1Q5RES G3KFvuPOX+JlykwoI8+l0H9x8fDush3iYIspIRU8f3+VunVvdGoczHdOCECslidwwrHl0IBRzotZ Qj1Z6Rj+rrUHHSR/esFaChwYlWU+nZMi55C740IHRrQ8ThxJmScE4Cub/gyUqqR0jSx3ey03tGAc JrPXmw+OZTsJB0iJRJGkAi0UIUaB5G7oMteLGF3yow4tGCUeQiiFDfrx4eKYdRyXyecjPvFdS/Z2 MO5+llIoH8IdR/NigkZzGb6U5A+3CCRxf7gc2DB6l7tthkIc/FxawGQboO0sqkEzWJxLJzrL6MyX cSF/uSZ73aj6pi4l19KcdFDD10BpTtKOfa/ND4gi718610AG7QutzYEVIxBJKFJyi2OaJitCcl3e 7BZgmk4Ctn2aYu8YoWqf4U4TRMdkSoDsthH/Ns6uyT8bWwDlvZvpkq+WYzPGIhXwJCerZHysE7my 9YAtlzufj3R4fZYKg1GwnNqz5UL7GNRMBg0mkemONoblJ2W3ad1KSqIo9A78GAVnoIZI98FDbyAX u3xhExqXi5kuTixEScO059Twnv62Ee1RW47IAIr7dLHPzhU7uX5Hgwl84MgoUfrfoUD9cl8OLRkb 7fSk7EjgzWNkdRUMCxn3NkshsGQK/0dQBAcveG+U4BYp6lkCTZTm43pAVXIQ3VYfjcccejLi+gKu rXYfFAkUECL4d/fa+Hy0lL7buuAWNHgq+CRFoXfDcV+o5MFJojC3fCt7d+paYiSvSQpV+kirWUkS WWk9WdzZYplbGpUleFoSyNQqhNDEXq+ua0TlYX5JAJ7IwraRGsFBk1RlGsBJ2nw+iLx/IbiK9Rbu JIVobfR5mDMKX0LvBaa6/5+x78pyJUeW/Oda8vSBFrP/hQ3MzB3BfB2et9/HoLqmgowEIVyYaOL3 EV8Cj3GbpFj5Wsy+focL1z4nxeC5ts5+OZklk7iMb0Ky8rOuD0jJNkkhwoSFADgc+SAABS7HYhTI yef/BUfGc5vBx9OsLFHkDRWlVVmLV6ZbHEIxmCIJY4IoWZluif1kLkv9N1n97PwNEAaxkiBXtSEc F/quiCmZmlhMuf1tI7CknOPy8kEZK+gcUojfPLlDmMnDNcajVAk8N3C70xSF3oki6rNWK8C16u5x Oz9ot5LuWgpDbyFsqlon9cup4BxvFdZBhP6DUy69PiAaTrpy1iZ4BbjFES/Vbq8bLCb0TmAtu3zQ YgJwiE20BpnwHLo0/jYAg/lia9ekMYcujSYU3pNBt9XIxbG0qEwoW+81DdGVY5vGpapSKj5Y2STB mKA34UqBOxHrVoBF1EFQ8LmN725v+1eZcjVKRKzWXY2/g/DKBIUF78Co8f8KYTeqtF4TsBw6NcLl d87msLeVfMcBpO/H0lz3ggusGvP/W6SPzEZdw+kFe2Rx+KgKuTPaoUqKwlFL2HHzcSLkRcsv+Yus taR5xEE7rvYuA1bU9fh8BC79lj+AE+yXnHqO3RonMjdBTchKSt6EOzGfkyJRk/BJ+lsOu/U0fbBW JZTpSqusmsCFBY5UaOgi6ZtMgdq11S1Jbe/QsHGaG2orPtgWOXMu0ayRBp//1yzhP0YHcuSnmBta NoJvsjIVqLjfXJQFBpwGhTs5BSD4irtDz0aqJ58TlCBcDnYqnZ/gJLUy2ENDaVPuGRLQZ5aE417X Wtfa3oFrI4Il4ZT7HcSWqOdyFAG5ci1FcXfZ38VcLiOgGnyWwri7wQ+slGoN3eymcie4a26AAc0Q n6VYFFvoSNFnd7nZCfK3hLnh2Q1ng8k6JTQGmdCdv+9RPcr+upFkDUPKVpMPqptAdVN4nHMR8fl/ EdkpagxQeP3acVHcjctgquRABqkMZFlckuPlWQInxFndZymUHFHtrVEgm4M3K2E2UImKWABSnQuO tmkoTE82Gsrj9A1dW31LdMPxQJIH3xV1PQnzuSUJgIfkNJ//V837WlyOK6+eQwtHWLzB3dXEj9JY Tt9K/brNIJy1skng4ShZmD6JI7fB4wAUWokJQaFyoJ5HaWMkuaNCQXRfKwzqpvJLohuO0lkm0FJt W+ddE8lOROMMPh8R2r/5SdAo+E50AxdHoXGWQZTJbXcRevhpu7tc3dXLAbGNIyntDWvYBm8MnC2H xlI2CheCQRze5xtAfu6oxaa74ZK/brDhmFAkKpZo0LHUYTHHW+tsXz4fbLh14wAinAhYuaz2HDo5 ImKFrUqR0nqDI6KgXRtCSoLj9HwRcLGV41JngBE4Bw+94RydNU0AB7D9htN7AupBFz4sJitU9mav +5fsYWnsWXPQYirWKIPx9+LzQUyZv6G5mVKl8yps5NDOEUWdE+tNGaatXJsj4GpikMQaXKeODacp DL2ZwUKxxgcLBUpvDcqEmKZzVuZBltJg9QQYQnBi2g2YqPWTA0NHP5gIsLNBocA+ByqXFozq+XwQ Csz0naLQNHU+qym2dDwh+1zVYO8n213Vw0qewWrs9uSxd+DpiIwQq4mlThss9u4FuEH4BYHoDq17 ggn6piEvC7oOp6T6ht42CJhMLIpo0bHZP8UlB7ZAeiACgamjZBa+jm8YSkHtyyfpTxealqaRlgC9 cohASsnSOGbuNkkRzltEiZN23uHSumCApdYAnWYXyYNVcwPyL8rOyuKG4qXA1hH7mnJISdriqblJ MZxBRRmoQ88Hd9yVHstCU4LWepVGc+jsWPOkwp9Tu0Zyn+u1u8/SYDSpWYr5k0SbNDZQZrsNlJ1O rJjIE0OhEopUkPibtbMlQKB1uvxJleBCa0fZg5ZGPAWNcZlVQDyKezqRGM4PiICC6zuspDP007MM 3B3RjctoencrVBbSWZWiyFSENbhVs89SWPQe0qzVLPVnljp9GqD+DO2fEwAMBEyzLlIn0d1rDwNO 8VJg7ygtQUwIVew4WFR5VqEE6jOAS6G/Y+5+eku1FqClLyRF4PAIp1kg31I3IbvJL6MwyUmyLJFL 1mdK+qBolhhPqvbNwQkoe5sEG4mU51Siwm3KEFTDzbTbJVIys+GX/IURmMLmcNCOS8RW4u9uwMKH Ho/zFxGu4Of5qnmHJo+VpjSle1SZXczuHJOuygoYhAnX5sDlEeVc4pYVe7cn9qbqw9kGtO2hHDsg D4i9JyTBgZTND95EzuA59HlEjRBike0OFlaey5mlpjPtlc+/H95rO3SJiuRECq7nhgtjb2y42obZ HRQWCyVol4rbHWSUB2yWQkalMpQlbcS17ixNCMLnIvgybLdg/wZ93xN7T8DJSnpci9md4rdEWkns 6A5uPMm7KV4C2ENXatXzUUH3l9Q43DrGXs9iCnX/cmKB0oSim9Q4OE19uD5rg62PTVMEOKnkncy2 kg9ehDt5AZQfuOMQhKWqsjfAI4skoWeWRNIJHB8lywMHIN5xHHQu5ZNqSgFhomMZWD4ClZO/ZgnQ 5bZvIPB5DZaq8CagczmSgiVNbriiXbhk4WN4k9dQqbr5ChptPtjJfY7xfOLtzd532RNtLGKbqCUF hTY69inTpS/va6B03jRxFdUp+b+ZXLQN4jlmZ7jw9MvsVPD8FQHACFP1FVSiNv/N+VXC2YFech7N qDnDBG+g9Dfl5APqe/aCSTA7XY4HiduMg6clAHNtoEjBhYPmsCTbQFZsuM9y8bbABcC/Tg8KV9SV kL9RdX8jKBadi4DZWEEN93V6EDpkmx6GQsM0BzU7r9FRxelB3RYznF1ZeqwoAZRisCVUOpbNzmts hLXDbtLW2tl37ZxktDFPNumEhRNKYlyQFQK6lWQfw7wR0/0aGVWcIJnYUsIDt2SRNDmpL6X/u31e wyJM7U42OTLSmpCq98l5DYqqYql5Ahfd7PgnFwDa6fICCqGACIpeQ6IK5p3i6mfwjhvNf5oo3QOG jIShMsoG1fjcJndyWKz+vAZEFQENZX/20oAgq2pnndiTAkf97IHPazxUH3bJFuwmifpos/MaDFVQ S3DYXDnNyj8MgTWUia+oBGJsGakGS2ewLTJGaT548nFO4pqkuoF29PmdJepLIAe0rOl0PcXFZSL7 Ggnh3JEW4uAS4mD1NVj3sKLdT1D9GgfZ05odrmtI7Z3AyafnNQyqICvTArM48wZ8JW/9Z1f+GdAI k0dosHgS4aQTdhs23Hi6JkhpkjY5UMxuEv7B8YPfRtMjfASVeT+vIRB+SQGSugBJ3SG3ix5k/PvO RH1eA6AqH8tna8HTsxF9yNl5DX9wlkOuthabCMj+eEsERVXPN6arIL4GPxXl4akudvHBzh0IZ/Y0 hETqqBKRS5IWFKEBn8hQHPPUVeYG0d7ipb4HnYw4WLLRm3yuodv4eQ18qsQovg6eRpeyZrPzGvXg VAZPcrbVLM9YFuqcmCKl5nkGMmqundeYh6cytxaRTxp8a1U4+iFQBxS5QpCTLEkAJAfMe3J+fNIn NZFeQ57qiL8ll1kOBoxsqP3+sLORP68BD9+wfc8Olg16hZqd11JjlUT3OddsmZw/IHv7eqzhGX2Z Xvd4LTRiZxHe0HXw9OfgOQH9WueyIlekDnhGbhKS0caD3i8gaPOi2Bpl2YLZ4UmewNH2gd/B3oPM d88/f16LjPXR9duybwa6oUtNnOIMwfSglHHCnO1SLWUYBwmyRS7Y36efy68FxurotSEkBIcLqx1d fLxzLiMAY79N8fig2QZhVIZeo4HRa3kRP8GSjRrBtdV5yFSMY5NSNaHX4iIOnjG+Dx7Ibe/ps/PO Y8NtnKBL6/f3qnK07qJoOfuo7O0UrShc9q5+3T54QnEO6ZN/shxUT4xckglDnuN4TNTCyc0zidom 2v/fu6u07YOq+ecckq74iTZBG422142Y1Rc6RxzgTTZDUcQMWwe4DjnTryxn+p0ZMjxWgpq5s2rC FcRTubbsg9OzKjE1RSobaCJm5u8gxmAnIqFY3ocloPvzzmHjaieZppQ7aAmhvc9cHhpJn3cKG47n 7Xc7HoNSVS/5phTvUTPMThu9eTz06Wb1BMuH4QAaFBUdQBPM0Ga8jLKVDzcyhNZtZq8jgyqkyPr8 gkwqmhCIllModY/iZmBLIcjMCeJg8P4+tjxIG0hH74EzOBDtK6sgz6A1P6Hf2Ws4oiEX1eqVgZb7 HnmQyz3CSoWviyEegjO6V6VbKlCn8VSme68YCs2LFmDCzLqQ5zUormQqXPgNlvSyQdol6Gwf2Qen iWyy7pB/o8kRHkP7a5MhR+jAuNkMvUfP2ByTriFWcB3p6h6mcvGz0GPwgms0Q5Nys2wZarBjaMEY ekjB96Sr58oZJhgNKXFcsvRypKBWl0TLe/jsVoU9Mz3tLKtqk0GSit8IQ7vPO2+tPp3X7c0y3Ibz nkNRBI3z48QRbd70y3X9dioGnsVfbGaF77Q15l+saMDAwQYPEk/wL9FSFFsXbEHF3YJ7GoTHcH17 sTXbu75PEaoj54OoO6JByKJzPdpNdhLqzztprQoK+FXagEXHSf6SR9HvrLVq6plpmODhSgStsDyW mytlAyHqXdcoju6EzJz1eAe/zAAIbZNgEFhpsSWBIGQQSoR+62PnKGZfFEc3swLlXcbBoSDg7jJS zPvzzlirD23dQkUE5VKo5QRFkTSUvCDEs6x7X71if/4u10Iq1HfTGopCaU3QlGLU/FKMmrlCflVV 1gJ4n8x50E5omwq1/dE/2Pay7zM0qNXf+KgGzdDJM5q8Y04+9nmnqzEiKT5DfDmAVxt+Ik3ReziN S4hMPlsuYK87Vgb2lF5iBVRKayiKp4cYxjndwbfZgncjZCrPSQT1/ia7PuBXa8ILUmNvfvU03uNp b2l06URwUBGoVNTeWNmBcE0UUO/8nW7A8LLsdI/qKKBGHXdlmX/iTKAyrsBEqq9CsR921TZBYURN 7QwoOfugCUK7D8Vwyp3iQ5OaaA2QtPNzUKb7tsbm1LsGEwT8ZyHJxwadQwB0EZZTiWuIQmpH8Ptd dk5YENCshhiF1O3M4YlUzb3gxDFVkkeQAzH4LHSFuqGt3ilqVfq4L7WOs4L2glp/V411nTVKzp9+ PJxC6Je6kBbdv98Zarba0TOUb0hy4AfEXqzGeu79zztBja/oVxn/Y6S4JGbaDEUhdZa+6HXnATFa ZVaYiFwssZc73vlpNx4anXuMgx9DdCqq9JCHDShtPztNr38G8N4gl9kxdG6noZf9q0qfat0+GOhj NnnO1XMifd7paVwNXkzEDAFxApVzn6GoEA1lTOiuJjuFhNijUPaq1Wbo/MEGjHnnpt2sHuBYHy58 CJ67+K0wQxPiGZwhxJADglh033MsGk0f3qlpCIgmEemFlSEO1ucBVlIgq9o+78Q0xtTfESMkBoFo 8hkKY+oJXnpP2UpmgDyZZ2rqVlBEo8lnKIqpkxyekmRYHIiBXQbB5MX8i422QpbMgMLrzwSXs+b+ YGKoLfLOSmPINxEIAcNrg3V6TkrM4LGmzMf/VZCW/AqDg7vLopga9S6cQy7YTxk0E+xPJlIL1JDF 1O+UtJqu3aUcVvpDkUHendsk+6OcfJ6ACKT2OK8hnpV7ubuMIsufd0oad5n8v1v3wXZZGdbPODnB 552Qhtt2fa8h6KPD98VnKAqpAd9dsN2wxJU+N1I6kq8j8zLMm86h95D6YmEA1rbB48V9DrGCilwh Rb1MaIzC0oiAzzNDPKataM++5jsdrUqxEGWhnHzQDCEgVea65/q8s9HqA4q1XYZrDC0ym6H3iBo6 i8Seb62hkx2s4ih0pWjsagCPphl6j6jz5aLRe4aDl89Ohnk+idXFAmYE0fxAjYHgilJBf4DDcNDh lwTlRflhYMHZoBkiOYBr4KQEn3cqGv7W7AEjr/aJChoXr6YojKlJahz3Mpv1ihzt6cl9A/VRU/Qe U2MJ457f1jtcvs1O7LyRNdXUpHV4/kWToBZaquDPzEtmyNAy5ncEM7TkGJKNyzDdu7md8IIwfSzO zzsRjYelbzNWYGnH8RzVYYV6wznEDa/4I9+DaJmUb9rNRTPfaWi4Z4jI+y+nuTMhsN2ri9c9FD8a whpQsORbdIKwdIkMJEx93llozJBo7qS+6rx91RPETQkfk4X2TkKrj/qDTRACMkp2a4KikLrC04Cc ZatRT68PZVlBoPqRIEKkk/o9pM7CUa2ELMcGD6kzIAsoMKGAdhYT1RdP+oAzEoHkWF6jzlS5+LzT z+4mQ7PHB8/KZMUAqPD4vLPPiFoo3wc1+BDpVhjfyWcIouagQXqzxJ6UEhk8aTHRNwTYJa6gd+5Z dbVsRIY+2AqqiBln4x4789Np8YECLw/ddIK3/ADN0Jz7vFPPqvtd1jKKD7rrK+3sGA2N/HlnnjFt zd85RybMzA+hd+JZld8zJB+sy3HCIu9ypHxtiQfWoyYoLlKzcAazMRt8BcEPoMGTFXfYObW1xTam LIO7D24yW6woYBe9azRBxs3PPtgW23vIlrifgO2ddVYfxX47H1muGn4GvZPOsIIy2/Mmzr+kcMIa dWXegD5HnlhMAi+E0A6SOnbVKV2fUxpJBipxrFHD3aDwvxx8QXrrPcQ8KB/yXYOkjJ3nvWUbst02 5GQ7w5z4cucrBod0qb8m6Gz6dpEv73wzNuhpusuWWEWhsWgtQdGLbQ5MUMF+IFn4nW5mZZdz+EB7 0QZNEGqTNbPtAyLVmakm81kqVgAHg+jRzyCKYbyTzexHgE65nA3IeOw6hDBBzLNSLp93shkm+FZg udxwWPV2ZyiKp/Gz4FdWT3WNvrzNcX6XKxwGYI6WUFSjRq2vA1zXfbA9Blvucz5WgvBhYkCXufOj gLELqevlZQ9kJXzTv/pkZQrIMIsDy066XpSQnTD1884yY+GtfC8gIN2AyrHpeQ+mAZunYH93V5Vu pLxMUKGX8PHmmp4Q4sFgeiMktMF2WAPhv+0qwf5znxWCGEei2GphiuMZK3OGzzvDrIpQcc4eKWI1 iZ8kOd+OIbxYr593ghkLZ/NrguQnW+8lFsXSuHyQ75m3U6VKh0DlJV9hB9S+dUaH1Wlqz67NTj0H m6CMZQONQQWKcA2m9izQqnQxgrTNZU51e9motFhZup/TB+txlC1jnrrwI77H0ogSPA5iWxYdPbQC bYaiUBpRyfmRxzaghxeqYRfgfbI0EbRohuLytElgsnJGGvTPxCm8ZwdhUrLhBRkYs//MGg8QhkiI Pafv7CS+U8sIMiO/ZYjtgv43Wzd5AQPAhOzs7v55p5YxVPy+x7DH6Y1gUxTF0iddIjza+BswrrBF tKpjYQqdOzVFYXmaDmpbmSsHhyh2OA1kTVGCERMrI5Xwc8iSz75uAb9kveufx5B4nAB5/BDYfBbR mBImG2fqP++sMqast1+PVYQcv4FXY1MURdMAQqyRb+1MRVdayublWPJzPBkA+J1ThtcXQnFSXJ3D xUjDNg2OEqh7wDAeUJRzxyfAuIE7bVcb5FyfTS8btIGYaFCaxgarndVuxIvzZ3/eGWWc4vy1iCq8 kEr3GXrnk/Gup4paEcYelYkrFs4ysyDSklQHVjEGffAkGs9wUcDpXASADuAkgpAXg6GEYhdrbLk/ YHtaoX3e6WQ4FDKxDJ0aDJPONcrq0f4fjJHr/Lyzydgo+05ZM/7ok6F44eOdTcYgikdRoeou/EOm qJwwWUbhXQIzLANiEb2TyfgDcRGJfT8v+/4sojMZJ1pB5QRM/HOoC7AIgdwBUxfmrBYOtaJ3/Wuf DRj32aCzGgWIJC5ZH593KhmOgvmrlYjrod4K9TuRjGsIirwCm0GwCC1BraGui+1MeF6dc9X5Oe8H UWMxY1qhmp1sraEKJeQh8284PBQKG8NZEC4uqBnzJDLZYpRUP+88MgOBwXeeUg4cNEMToA/+1XPz 8ajs8Q0cIuADNWabofeIWnrQUMqXXQGY5dvIrWvh6jKLp2bGBe8cMjRZZJ+WiHdb8u1Q0opGYsrm 5VhhR0xoFaq7gyavYiZKs5hUhHcOmcFWT7oqE6x6TbD2OSqok4XUpXzeKWT/VaEGj8dU1DlDYURd SEZwzDRALJ61srqjrHW7itM7f+yyETabPBqcqwF43kT+gtAoQS2H/Xr4IzX+qjAusLSVnvWfd/5Y lQgT+Pt0wWrjywVrk/n+084O/rzTxxjW3jXE+x6FhQc69E4fw8QuyO+xF4dz6FybxS6zRF1ptjly 7lY7e2ePMelg0Wzx2udgSUfCDGe0tWhLvLu5X1dS7NAefLCvVIX5vHPH7gwtraF11xDUWATmOuny +LxTx6q8IL8q1FQpTneCQswHFEFTsjAIpWVvRyeqDRPzIR80TlCM+WDRjJwDDT5BDcLNk22pBCG5 zI04k8h/BaBD32T0X/u808aqPEfJXB0+aAml0bsu67MjPu+ssSrJh2eCQH9se3pp6J0zhqfSk7ay 2Vq8lbgkldbV5DDFhnfKGCeIM4MD1wafIAAj5qKxdTpBwFxM3TrA5ZXEPsyUnUIEeL4zxphYMS9r OfugCeonWWI5v50k8PNOGCM2/Vf1lfih5CF1C0Nq+Kh1iVhVasioUE1TYmPXI3E1mbT2N4L6RArD hxtSbyiTNxYXC8wuuMVgnPpDKCMZtzJzpFA7viO46tmvr8tO60dZPpmHVIX4V4sQH6jtPtAzbfp2 V1AYUBeagE4X35fQR6fl7uxenoZmu47pqDyd2B9bW02g7U0gCKaf9BmOrxK1KuhjsMOBkj31n/K6 wih2TIeQj61WK0B6Gqz8WuwQOgHHwONBu7581z7AETVNK5JbooAaugbnlDZ7AsCerDSE5pZVF0/a 6hj8/h5QY4aYNQIbYoPtsXO1JKzBqT12ErNtqJAJyUYQDpvH01SwwXcEnVbSfk7AtnzQEipIZXlT n5wGj0cQ4W9MTKW09fRTukfhNHK3NWT6QY29ZtYEAxKCtoR4LWuCIsQHUw1Qke9gE7QTvObUR8SV 3+TQsygNg0IRBdKUkk0KWPSoPl2zVFB29YETBEHlomDxxMd4POJHfQeLA7EHIAM2QX/Wp3t+nInq dMDHLreAX7w41KP6dJ/y2qWawMrl4Sk0uOtK7QvZRgLSBknrBpwBrW10W53Zi+IvviQqDlHXuvAe yxLJZbRYe63MOCAwiecD2ND6Ln1Q3R/eGD5HIQGxIqCudqtDAOKSxKtpW4FKzy5Q6Z8eBdQIjQG3 o04jB5ujcySdKYP0CVSsYMKWaFGIIgvIXz90PLiqDEUvGwRDILmgnFd8sBJjSwCJsiQ+8XhwUuf8 HS5Wgl+zZ2U9YiEOJNiDXNn/gDRVXEa+VwFkGvvhyaS/exhRs7S6OnFDHGyfwUSwsopMObQTCBCD Ra0MqDVAkOOiF7u961+dxIUfnkNirfAEmTARZ/3snPh4/F+lDxITSLuh3JVmKAyoITmUKESDRVSr o/NOxrSm44YoaYrbvkeYj2lFDyp7cPBGEGxorDp07kSIiSMgoKNIB/5LQs06ifDl+I7gtqe3VcXN aoM6ZSeW6FmdMhzVUUD9bDOZ9OKG6N4p61GZGkIkq0uJqaLCSCe5QvHMfJ3kGpoPPKrjMrVgrdQa 4uBkhROZn4VDMmLDtT8J+Rhwg5h0qcUqvhqWUy/711ldFxN7Dpa21iEjuTNDE48HZ/XtRkuiuUML 9S6h94haPBV1o7MK+UhcOENjUNErkTMFyjDP6iii3iahk6cPNkM0aOhd8voglakRpNoQ3HcAATPG XaI/Wo+q1JURNWyyfOB3IKCSmBisD/F4xGb9ddt3stg8YOwRhhoYsZMgse66YTy3zJmYSHCJDMD2 vdoeiwAfIpWtprjRpJJVx4fqEo5lTBCuZU0QmmkTZDaq//oxhOgaXxLFQ1Tz2nv64EtoG9335AZ4 PEIvflOmWC2vLXmro4cxNcQGs1zj8E8gGZir5aoS9SiZOaZ2WVSkdpkK6sVyuHfZCZx30hTNXkzr I0EVdXB3dy6irXbZ0MtGeatZNE0fNEXnG3CXsgM/8Xi0iL4LjDnRXmd6XjaioJqeX0Sq6KQW244n Ne0PWccHQlAx44iq1CrB7k37GA4OG6oL2Su14VDX3VBhpiZDBw3szBNqFW6uk/WuUTOIdbOqc6j6 ObQQmA61y3LG40FQPS4qhvWzxH3mB9GIoupOf71tAjlgYG+HxazsMzRKd9p4VKRe0vceMh0Yj+kA tVqyZD1hHropqn12Ni59+MVktBxczAtFKnxJMEVN2DOG1Q3speHdICvCgpON54NVdLHm7LPhbwX3 1ucoRFJDMifzV5dudXc0/h7Zyh+A7xp0aER1alQF0NBT177xLh7MPPqZGMibkVl2/temIvOkVA3u p9Kf+x74c3xHAPFkBR/GBYqIbMOcxIkeQjA97x2PB+WPXr7ueyhEFdScbYJC4AeQlnuZRgXKp1fW w9uMBVollt2PKKpe2l9iAG8BPzhBJ8RCojlVYTyJyJA3OM9lWGKAc+zXPVpo+I6/Oh1zEzrEQUH1 7lvR0bn3Nx4PllD9PqsH/cUu+GxEMTW66NCmMnGG88d4CXYsY7pCm8qtmUYUU08WNXZud7jwRRqi VsEXF6YLRzXdFStkodBlvrQypD/4kmiX0RidoQzwled33CqhAU3LnmsuGc9Ha+j7wgceqtL4WlMU BdWDR/W2RiuETy9piqphtGVqqIow7RhRUL2UdhQigzh4t2xCCKObefxCfixDOQS/CLpav9gPLgt8 R0QrYy8oscbYk9cYF467om7ZucxGhKMe+zu9B2mqShCWExTiqIH9KN3EFk/Mkh62wjYcdWYLUGso wlFXntRr1zvYBA20kiZa3SihLTCvsQ97ZYEYbSAUG61ZRoTnCBU+KtlSMLeywYqwSGwkBtsHHg8C ovV924sNlDxxHVGV+kRbwO8YP2pOWirzmO7bNNBPBjWTT1AUU08evlLFwcBX5wpqCWqmkx4MFUaW BIl0StmBuDS5gmyPnY/HdwThEG3PdhI+L1183tpFYs9lrY3H/9UrY2YP+MS6PfsRxtSwq6rDOBwn /eDZzFNICmddsmZWIBphTE0ILBQ7ffC0LJOesBZPoboqZQhOZo/GMWQicknX23NrCYUxNSH4WWoE Gao+dnQAWCLdzhOi4Pl/5vY/bPtjk981FMbUs1ATrzLtODcaeUC0Yywjm2llRUCgUyiuU7M8T0iO Bm9Jw5GJrjPQ+jhXMkvZZy1hpujNRwtlVfK3ZHTCOjXLsOdtmg9GeDkxwzLmXcHjwQxNv8rYqaIb yBxeZpxhoXogdU3d0o7imm/QMGzGkD53Wbb60IzV8dTr0GVfrtzHSd+hNLHlf34ys5pZBmgQqG2o MJLOYRA0mnjMEPhBGOyU+cblruF4b3uqOt8aHg9m6Ffqyh32JTUUhdSglU14Sit1BV3XUte22rTU le4ITF1nWKjmBK3KMj0HP6j7iexSsTpsLji4fyiweIKifZbPePwFEa/wO6LMlbm9vJg56CYboOd2 TVDB4/9Lz56xJ7i9NkFRPA2/q9Xnzcrk5kX677gSlAX0Sp5DM2Qmyq0aXng22CZD3+P8ADyoy4bJ rArVYP6iEUhj7EtvzfayEf93E+BJsWUOqn6ced8shZzopOLxaIbW1wzRnbXMO0NRQE12a2bBi/Hi KMs5U2W5y1kBwUAzFJapSXteFhOtdfFnJxZtZ7ZJss8FYeKWEQqCEdRacEK5+2JfetcI9iHtSeJh OejkaLsOOVWf4xqPR2yO7yWEihh8j32CooCahpa9V6Nuzlm814G/wXsdw8VeZ6iVR9jHUgWag/cT gQmenY6CkNlPEkaDzhPlyWC8WC4YHxOLLwk2GeVQeiL9tyc76c4FiGtXmkO94vGgn9h8hmQ+WL5F OudfReq5h/FYT6zi5FZ4rd6cDJVzzVCE+jBVJkin2aBNhk9ZkLJmuAjIltDEwOifJBNmsCASWjRU 7V2DY1rn81aNaLuL0B5tNxOyGAOPRyXY7z1G7fj5nEIR6gOV0JWK6QVPim6pz7HX49WBmdYERUXq pbXTmJFysCV0dmoBkIqnEJzN5OHdoEVQ8XKozjo9mmqLM4qnk9J5lN45ZGUOJ3bYXZaUGfdYjPr4 vscy62ZXbnGGrMTzFKSAhmVkaRbPyJL5mhD1YUnrjEXz2E9sbfng0Kq2EFJXloby+QsKy9gVKWOj PvJXVj/sXaNWGWOgRJwwB91j7Rz/VbYbm49HejqekcnY6/wzSn42QRHqA+heOAfZIdTmMJQwqsjl x3XhliUcM4qnBaRem5QyDjZBaQDtMgjwTGyTslRbEZ82GAVlph5WoybWfEbxdEuSQqHyUNsX1JA6 XZwxQ73j8WgJ+QwVQdTxP+qNhUJaIoygNl+N5F+ZBpLZmrYLvs6b1c9YO098RAGI2rwUe+y4BBAj m/bnylyqDFGoF/8P7gC76ak9he+ItPMG+qzIiG0w7NnoxVyEN18xCqf9mNZ/i0+5qIYVRdMkBq5q ggz4lZvzFSi++R8RClzHYkWwj6HdNdVtnY9KwwmGzuON/PF0jtMtb8GOovgJ0guUOn6rca+wQp2k 28kSIwctoQLCgqBVteLxiJb4fUxn6K1BZNYmKCxQMyMT9gyg/HLRi62b69s5hZprVq0wmqbo/cps mHG4m2zBarQr3YA+EysjlblDVU/6Aoemvetf9WmmbRhOdMLDOS92Kwko27Xg+Ugs5rswRDzOvqrB K1ScJqlMCsE4hrY3ORDFrOcYMuLmCnEfwr7uZgMt15iQAdM/AOqkIlNpQ+gHpHuVvcQ9r/wi0nZ8 RxAsqmQmxgsH1T0aXAi3oumBx/+Vb5DDiGCVmb0mKIqmO6Vxs/m7wpTDu0A9O3zx/EM1wssKeYm0 T1jKtpYrIyKarudMpiwZ264ZpzWW0KZUSzGIuUnnJXvXv3iJW/5A2/2B0IBMgm3Xuisej9B539iz DAjBiaD8mF6x8jSRHlYPgu6vwWL6yeeml87SNFjMinmJjBI3gVMcvDLEytuWqfKJi+CloHD6/JdA Uc9y3d43+/Urwnx09aFHLj7obE3w4ZI+bp94/F+xEP5bNG16uoiGFUXT50dFsLiVZZwfKVmbbCZX HgLxrliwuEKZD4q9Da0kDrd0NuHmynyj4XLc8nVDM3gSHYCuq/tNEn22Quk8yS+SeKfBqArbDJXr 6AOPR5iPbxA14qBWb0a2onAaSmwnFLbJQAUnWbTIkoARgqC4qxkKw2n2NpZqzxwc0dDSOeehHoJN NtEkY76B1g+6aj8sElpdiCVnfEmwy2jqWnOVX3DtRggaJ0ti9x6q0Hg8uMn2d86KgrrZcnOGonga hrAnim5itqy6x/LaYiMQJBPACNFIzlCIoqYQyqzSya2PTi51CctiAR9Ns3NWpx+T8OwoPQzKwgnz MYfeNYqFaFMmJ+VxnZTXQkZphTO+4vsmw2X3pKwI19q6KOoVExOhdeYcxLPwWrI24qwuT5kQFNs5 Hdenucma9JbbfGIhwPB2NsO7dKaiU6UbldDBMt2gHgkduVGg45cEGqfsAa0ih8lrF5cowiOmwqp4 PGjXX+omy9O1f5enVxROLwnFeLSoGp2ZS2xnu6ThGqcrFs7LOn/u4OXpQq2YJqXus4SYvJ0ICZ6z XKWsXlk0NIZeNoiGpG666VTKwQ5q9E7MjZuPR2voVzCE0l26N9mO4mnMDQR+vVdPQRFTPXs6rWjB cw3tkJcoYNXuzQcHwXYUPVAHhFVSTn2xXUStzk4oX7pNIFlM7yiell05HV5sMGAV4gnZaA0+/i+y iyQrGou+nrXu94AaCqconTWjA0ExycSoIYbsZI4BMrzUzGP/Fm4vUzXvzy47M01GPZXzTjbbCeM7 u6xtyHVPIJzavcqohb9DIHU1CeHtgxXwYU2gPtkeeDwSFxxf5xBayvAx8xkKgdQA55VsG2q1ejW9 EqnyLJ2xUaoZCgNqW0PtDr7LzmUOGiLZHECatyzPe1J4kbSucSExWAn4jqh0RnAwfMBsUNJa12Qc BXbIxuP/EvpQKxr7ft0JCmmJgOJfVRhM1dUzT25UkoEcswkK8R6MpOeWhdRez0GdAC9skiEAtWkx vSWUs8tXGr+p9YB4le0oot5NuEV63nOws6M0EnZg6cd3jMQXv+NFZq3lVl93CKIuAHz0MX0JufX2 WNkRMefIrr7JYlpiU/Nn+GB3PYxhQZ7nXQ/78FSkVzXIA6p6U6udoRqA74jEqMlSqPkOtoSAr+Fd ffJ6PP4vqQ+pXjRgz+4SCnXzOnBn1ICWieRwEYK93OYexHjTq9oh3IMiS3uZ8tl6FE6hYFAz+eNn LQLNJntusCY7V84jAYu/Gd8RBEOyb5dsXnPZPCCxJj060SxZeDxSG5pfE4SyXa83GNoR3APJ1omF spoZrlwlIE9zRfyEVpomKIJ7DO0xw+etq5EL6gPsPomhBkm3rSrnW8Qs4GtBvc4b0b3rXSPWJk8f Wm1qsAlC9239WBdxx+Xp/bXFGoPU22bdodJHBU1qs1SPfxrdKGWAe9gEnYChmKPtDuEeKHuc0ww9 Mg2OqTrnz+zs9eOezz1nOW2RSHFueWhlmR0QjXfxHUGwSGznzkS/7uzo1xNLT+WAIBbj8ahy9gsP A/2KR39gR+E0NuaqbKDIlyNtW0HQc/kxsSHUYEhK3H/DPU5oWH24ZY+2d8MRRaO/Bv0QTNAgbBBN ChSvDFG1p971L1QeESk2aIIa/BWqkiw+HpQ90q9GPS5cAKFsgqJoGlMDwxU7gzoV1DlBmyoa3GIn zfQzKAJ7gIKB9ioTMg6+xc5nohstwNAJ1tcQdzxRIGH/IATwQGjYu0YiH3KbyMUH43EA7cdiWCsN j0eB0LfIR2btt14x/BRF07BMo+GZkvoKgIBjPaag+eA6QdaXQt0pDKcpI9S3rDW9w4dQCEjnXVT3 wLWGJgpio7NvQZ6Fie24kKHlrxvZbkkQX1c9ctisUvO5kUh1/+nn3/MDIueJu9HYrseVsWa+8xRq 5+Es2t3QHROlUYN8qFaD4kda02llOcVBNSF3sw4fvNtaIauJ1iFS1zkb7bfOVoOlQ2qcj4d7J/eJ FEXVwjTAAtYHfgtEI5cMuEZrfD7IPNp31Ai+IKm9PkuhyQsgnmfyrYhWV3Lr0Q1TNhXR8qzWL8sp BFKzy0HEtQ2enJ2ksVNUGYIfAPZnSsGiYDNA4czgujjwQ96jKaxVN9I50CGmVfvZuk1FkEKPDBLG Fj8giIyq5/hSNif75dl0UbmafkqjWhV/DYl6LvI6mtcacc/6NIU2iQwJhygJHBwfA+WbpL5iO2u3 I84CSbGdSw14GOgo3ujxvm4EZZRSHHv4ZV6MVYPthayjYYqc4pL1d1tIlu/7+uGkKMJmfNSo+U8X UohH6mha8teePLzX9C0X1azVfZ2VkfZ0tjc0k+Cofa4x1kIQdgEceHbg2RxgTxXGql4tYqeF3xJB hvuLOAqL6iZwvuGzkEIQSPlF78jlrIRZrx5aTmHl+iwCkAtVgj1REtoblJChiDjRaDkNFKC0msLS Nf3+4CPmg4cBcEbJQ/n+wmKq3HQAqw8WZis3nZI1xgH4liCfpXn0XKwdcbCiEcyKxMZLm8+/zxOC sy/CIlBN9bbQcgolQKBKNkWbAm4G0CvjUu1ebJZaqkY3yykKt+dQZX8uHxzsAJBV6d38lU6MMkgM xnFIZkNGfdiOJrrO8Fv+0nDohcpf3X0d0MPidYWfZXc+H5X4v5W8USFt5WvPhTogjfQXFqsLocQX +lnH5XWu55oLS9jy1v6vcODk98iPCylnZxllYqvRBpHq1/zBzFjExBiNXxJhQkgxr5yrXMlKwN8F 6Utye2ETz+f/FQyIJ1sh2/TEAiEqZNUr4d2JD3ExbzFaBE2rjx1eJAZSxAsGRMwG23CAI5zIeEiz smf5kE8YkiO1PROWH4Mc+SOn2P+F/OBUmw9aSRWkMKZjpQ0+H+y3+l3HVomvPpMU4qzRLSrb5aoz u80Sc8jd0v+zELyChA+Kamx05MwSo3Z+M/P/fZYNtBipm3+iI9rinvADkufn7xzDBb1LJgEWXxKU kGj1ttIePjiMeKWhTjTDytAEpv+yfEO8xPqVJik0VkQZ5/zwVkQ6x+nwfsimQIdEz0HqMdvAEBwy lMERqba/UI7wBkVk00g8q7Nyu82OE/yE9e1nz8d4kmbSOXBWBE2YWGtVkzgYf/FcqkmURHhth9aK jyizHCoKuHn3fgu9FUFXQEtkWZ1km7YDKm3dK21te50kB+aKQGmajuXywfDoJAVvqKijGFkAN2Lb BIqrVEBEDfbi+JK9beTiQTpDZU+EgybpZLeCVraTw/D5SDZ2fOVxXEk5PZMURt4V8PW+TX6nydWs sX1Ncw/pE00rBeTQX3GxH7IH0xQOfiadmw17LHMlpb130yRhU0HKFVBaJw+VZm8bwWiItV7Jhm7F 6RPjdamiolqSA4fF72SX84FfBmh8n6Swqp0a2yHSkjm32HAf00ErLIntoXRnkxTG3ZvS50NC6G6O fVZSg9PVyiyYJEidbMf2ZzZONEkmc8UOdg48FrHdCg2pEFBqEJIGPNWqjlmufP5/6fJDTgpFujtJ oSPMhJgcYfCouq3pEs0QFjcF63T+yObbLaQy0pNql7198JW00zyznAyPddIr1v4bDZQ7ubvbY4Dc 5ZAXGi2aQIgS3qVUg/HKgtcAlxK8OkOjxfJbHBUlYrjI+SxFQtb0oqylJyu9TZO0RqC0lpfeJqsp XR/0B+4RnfDhg83SOsHESRIhTw3iec7ab1QDBVCCRTA/lGySopg7e21p+mCgrBNMU3OjnguTz0ci u7/gxaD5ftkGB1aLqIuzKjm24SFQ11Q0iRTOuVbQLVQ0GXotXrG4O1yyFVyqJvlorZ1jiPhRlClR IATeq5DAa4y9Yq8bFU1IcMgGHXFhLfDRBpNeLE4+/y/FeFKrqWCQniAgVAqhLQN9JpjnppYdNUKN AMbcPXtDOweGiwDyK8HlDc/BqwGDGsOLjpSAHjXC+3Jiuns+GIzBq2FQ7W2j+20RJlvvoE7ACXhX FiXNXjKSwviW2oVALE7MO0lhzA3dl8FTS355y8kOW5IPRNEiqrFJCmvd0kRLBBFzsEmCmGU7ZybT t7bON+SipXTmBy3TvPYVSgVoU98SaMdvtSRJAr3el3A02Mp40ZTn8//S/WZUhNy91+dUCsHYddO2 wgD9RZkJIVqkLZDxsEHxtlkKg26hjJvZwObbMoGE30mbaAlXTmoBUzj2beUuiENx3KbbSva2Qfo2 6OMlPUcOWkrnECxdEOvZ+HyQmRSvBFDhGZX3dEFaObRe7H1Sj8eKlIUJFPfbuZi8+kbJHJ1Koffi UkFJlYD5VALWIpURBRymb2crMn0D9xoBwAZWy9M34dhyaL4ouCjdSzhky3FP7jaU4xaspMB9ETmm ryReZS2zP3UnKax3w0mikWL7H+qHVP0T4kn0ss2ogdIznKQYlZ2EpW0+eOvkxEqdorU09YI2Jf7L UkGspThwvYDRJTfq0H5R0s21y/asX9szYp10GJfJ54PM5JeHJyCJuHrvJIWyIfA0z8XEC06MQzuU zQ6umeai2u2OQzlwYHQCzZj1Dn4oFeCbmsTmErT6MDvwXT7pYsYPQVdhIf5I8ea3RJU3shaoCZvR b/jRUVTQQ2WWC2IUPyBCRf4qKmHn7/Y4v4dhd6c4uk+Tdoocl6fdeuU8vbdPUwjPphvsS+kNZRsA UgvDgDUXvd+xPPfPhshjydTfVZpb/XUjMIB6J0WNuOJUrLGnirC95M7no1pA/TqWJHx48cc5dGKE HTb4X+bOxFzNzIfUzK0kpi9zjsmBFaO0JakdsnzwWkDqZYBQxogSEqf0vmj0bUEuJtE5tbvnsrcN Jkll7sYKHDC1P1RkhBtaZlb+Mxoc4AMzRmBSHGFLGT8EArU9gXdox4hTYfVcroOMG1bi77vFN2jo 2DSFuBIupqkK5aUk4opDJLDT1Ol99ppwJVCXh4VLJwvajOKk75hDP8ZMRuiZ6uaD4oAyJzE7LFTx +WAtjfx1euNQlt6tTVIUeMPKibxo63sjWzPPynwnCTR8n6RQn89F0+/g6KQNAYAkPecOZf8ixgj6 3U16/C5oRMlEfkmAncCxDRDY8kGTVPeU5tOZpM3n/4UuscyfAtrPHRcVu4FNmpOcMWa6pXXHl/AI Fr6ktumzFKteU0gkUZGGg99xGyqqUzbe8L4YzOFIy4Y0xNnmKC027jibpRBhwqt7SlZ1Pj7e0FMl R7ievIjPR3jkb0j7ZvSxn2MpCryRjzs9HdncusfSREPIEt19E93AmBHZ1VSGW33w/QYayVrLtLFG bZTWaAtwV7JG5mWqz73sbYMrTiiTTTZt3QZZzZ1+fTxSNs/uCGayLpyUgkhUMlv72XBR4I3jEPCS buW3OVy6eNPPT+ESnTG1lOJqNxvfmfrgHDyHO1sBJoMsd60TQE7S3Wie1iqoBGj/ol6IeKl2e92/ oO09s6DblcArLR+dh0qDQnguIdjk6jtTQQLY3XZRtzl0aJzg2LRmnQBoQQ2v5C7X7ymN4tGcpdii UUS2wimQu6MVTc61Nk8Uw9IS6Dxo46Nk0CkSXtE2e9re3d42OrsJ6doS8tmew8FBg+peVu4OTBoR ef+yG2b3/BrI5dCl8ZwX51SafdqpVJPX34y+hW9brXocENg0CiuD2SnDBz+7z5LOTls/aydtBlQN 3LuKvuh8XAgZF/JLIq4WWbNr3UEbrs7s0NKsl/yXUpaUNlDJfS642KkR5W72L9QTUPmNrGM3l4N8 xvYLLrRq7NR9lCmKBsvh5kmpzgcwPamQJeMdCFXJdSLvSa3vfqFd6nmHZo0zq5tLvlZ3vhb+y2X7 baTB56NZ+oV+A1UjP6Xc0K4R8TKKV06V6Nn226S1kslBodagwDv0axyanqrDqX5RayF4jsIee97n IABcA/nJWXtTMO51XXWt5x04NsL9RFaErFIWr1KWEzcNgoehDdT5fIQM8PREihJwbLzWzDm2bMQs 9epSLL10I2ifnTKqzdLMLlaTA8/G26lctflwZ6mDUiu1mrkWbRDOq0FAe4COBrXmi5/Iy173L02o tjhZHLxqkqVdc36Hyecj2azxHVJWkv/anaUo7kZbb6pIgyRubCeQnpByW9UE2Ku7lmK5EZYndXbP r7M7nYQhnwuMmKU2ykBhr0M+8aSPbDOUx+UbPSN9S3TDsdBRSSXlYJgl85wkvpbP/y8Vb9pbjuuE nkP7RqBsAIAzD2KqooqcBOK5FeA6DK1VNgn8GyEJo/qkCCbp2XEdzKRUuxhugMIRvJtQ+Rpo9QGH 5G2B1e1tozYcY8me7mDQgGIyMLXzWAoD7/yNWh6o10D/yicpLnjT52Fom0EI0t14et5OJG2l3qUU g0xoDtsoScvBJglGxBsyqyybdPxzpXp4BkAKSPq18t1wadnrRoz2Rc6OKnDJAu8C5vQk1wS0ZD7/ L506Tiki1HT1w3No4ohuxKK3fJYbBqIhwrp2p9kV2wLwNLClFAbeIgZU0QTqF6k90+CSjirnWqp0 IGZx6RzejQZ8WEtWp+zNXvcvvcNKdQANtpbSWE2CkGvx+SCk/AUOoFZ3m1+nd1jy7ih51yb9+ZUH XdMyPZupW4fi0oJLik1TLEBC5LbFQvup5p6jZ6mUXnA5ABOIc6mCd4ETC4yY265clPnJgZUjIgHu NWlAT9eAPidnLoJv1oQsLvByhA/fLy4ONNnndbvMoZljR/tkUVVRqW73VHfmtr2pO5NXTQI3RxTP wcU+ydT0wTrf0B5JI5P/nxLIyRS3m5Nu4OAMVYdSwsvK3jY6vNnTpVQYhqo1cQ4l+SkbPCCwc5Te +dckges6n/QktHMETBP7zCtwt/M96Mtk/jOre+Qd+jkuWTIL470vxhuibCWdABLOTQv0t7zYHO8U bBosABbP4YaipcDQETEhy9q5FB+sHkDFzh9K1Or54Ibr3/KZAChAH+hOUhR5V3rQjOm0rp27Z7qO PgG59JYpA09HicXgAO3dB2eXDlSjJ0tLuaEExOpTL7QKI8Y6X+6k6m+hp2NNEtAcEtBEgE2HTzic ddY8QKvjB0R6JPUrWmp0pX+QJoGtIxQiKUjSDLNUqDUkG+c6bgGupeKzFJa8p3Fypg++lPo5oyi/ CrwSUhd5aHQKiaPQ0B72m4KlwNcRwkbUImnsx3FQSAl2mvwUMjBLobFjvro/bAADrrSf9kng7IjS Ugc/xnu6k+Zk1CSZq7kawIT3p+KAP6wdFXFTJpKDwwNAQE+NcBxAxM5E4T+BwzyEd9bPbpdDSdtV fkmEDiA2cEoVYLrj00iziF5TG1Dwobfj/EWpKPh1HhuRHHo78n6jLaj8jKTWQl0SaR5DHSlV19fK gbnjZXP/Xxwl9htW1hJuGQ6hkOtH3H1+xwE5kZwfpIkcwXNo79hIgCs738Hi7nQCJ66O87F8PoK/ eQ5HxVpiBNdzKoVx9wJaeVaF2FCYuK5PPU2bJWjZ+SxFcXcnDxc9OB8c3Q1yYG5JnHdkuAwpJ1AV rCGX9LgVTyq15cDjUYRRypJUHzRLiLslJHb+FD4fgZZ++YTSpQPkoTtPoeJfIpPC1PsRerswKza7 zVO76sc5MHpEoVWorjl9sHMJNZQBMyuSTktPnSLSFWFmQ6sd6v2/1Mhy4PSIThwBpyZhm+bFmuSs xgCwfHz+X1hKAk4BydzFyyaf11ipWZUyl2rsnEzlD+640ra5rpQyq9UDXiOlhiCEdFN0E22wGdqb XvJ0eoS1wchVIHlQHvDzF1r1Kc2lIe9rnNTAkGE9KTPX5WAhQKGXKeTaFp5+mZ0GRgRTXBZZWVuB cTqpzvhRoslZKHQXS9POMZ0lpI1OWBajAsWS4WClYHIkT7KWXIvX43jdQCqZCrZhqjjFbq6ID5QM oZDpsyPo++vsgJLLCslmi5KDZuf8pdmEE1C/fZ2dW0zCmuEBwHCj2ey8BkcNBTfY9LRmh3We07VJ Ch9kkWRAwJCz8xoaYelYkN2mDxZkDwAjkrUmCzQmpPsLfSvyfPHDONqNaO7XwKgBe8NmG1m5Gmxy sjHIz73TPq9REaaWZHRfOiBeVN6inJzXmKiJbXeOy67wB0TE6WD32Y3ODfCtgd1fI6KGrh3jxiFV 8fFwcRu9SKa4uOtMh2yPWkfjDDzXUe7kEGX8eY2Hmhv17Lw1QFubze0BUzX2QPvJAj6v4RD+UEm0 2exQxRtlUc3OazDUhPhauRfXk0geV58jtbrUKEnp8k+NNpbhjXk8c/DZQa1tgdMLQ7VFdyxhAM8k oZiX6XA9RcNlFvsaCeHYsYIIlf7KNcc467QqEmontP68xkFNDrhfxw6sSHCWanZeoyDsxoUabXJ/ 4i5rDHX9s9doxxgWT7/GQC1RXZCussUHmx0UYDe3K0pHaU0dUJQ1htOzzY6wEYnh9GsE1NB2kduc WpDLW5AnJ+xSrysn9/i8xj9YBqybcnaIgIMYNaIoTc9r+IOjXGIkeVuhn78J042+qwtEspoj34do egyEPO5g5w7EsoE6JwypnrUp8xXsMeDPCnQAbvNxyNMg2lsIfRAxVx8s1zi/BnMNaG9/XgMfHFsS gkb350eY9goIhmbnNehpUocEULE6dvQePAS/Wp5RHdH+GvLwVOZZm7W18rO1YKeMGhMZ7ufBvpmI JOn8oMGVH3v0STmk14gHv4DaaV3ikD3f4j6SDFbRTsz0eY13+Ib3WCYgAHhVuGRqel4LjdhbjVp1 3coedRVnRUxW2VX2QEdHkmPBuZwI958tJR9u5xrYh0bRugYTozNjiJyhDImaFAKGeTFsjZps0fQg +Uob0lU28DsgLZ0oOlfPSft5LTG2K+qH6SExgAKVwyOe1wJjU+NjNRp1MJXvThqBErEr9WOfmYZE dDALUVtH8eHyahob13R4Oec/ddQ6JKRQy0dnjSAqw67Rtui1uIifYNE2TQVrDjqYTxAuJAFLQq+l xXaNDPxgBhUOLSuLB6NomVx283ICPoQe9bZ6LvGIBn3G8wsvrqxefvXBw2VwvMGM5+4CQ4jhcsZN PshUJS3PxGmbGP/R+mENH0ZsPqj6WqhVjr+6DBBGo/31RMx8DnI5kD2xKYpiZuCscP5I6GdCw9HO nxO/2NWe0Ep1Rk00RZJpSSqcpXWBfRPmn1nG3+cyhegqlxDu9gkiYcE165A144pE5zMT+DVkuDuG MyFRYxBiLVc+HoXNyaYICxz8Rsqn2wy9x81OgeAJZ8GPH0HLMTVnEQ3XaXknr+Fz2vWVu/Zyyc3j 29ouN4azh7Eh6piNNvD7mqetae/6PkGIDBoA9cUH3e8LLl2qA4Fw9B46g/+QvkNn0BDgc24TFMXO uOnAETHnb1TFfy4H0t0wGnF8wjsEh3RnkXDRTFuDg7DO/4BFIXfZ2WGzk5RMYl/DWZCpbuF3mL3s +y7rLN8PVTfGrW5MHAM8smsBNDQMn4fvMnfDgFK6z9B7/CxdFZ6fVrx3PXF28R0+O9P0c+g9gM7C 8gE7mXywGAi21h1GF5T0A4M9mwEdMlMkpay8Uk2rS57lPYB2PbahBHUARle1yVKmljP891L7vHPW LIZ61hC91RuZD5qiKIhGBRAaNssSMLZhlIBRgomifiBhWu7+HkUjA6NUP2NADR4mDnimAaOHcius GfpSZYNFexiG5FtupSnPO2OtCQ0NBTymqRxU6BoUo8caGqV83glrDZp+6+sYytgYozzFjTCO7o1N eysjZvnKUpilGoQW+fttJkaBNCn6K1WZGdT5dZmd1AKpL32LJlEODEIgyYZ6bv0ycdRBHQXSjQws +Gv4YKFiqqIYnQBgf97Jak29/2eXIdhoyDNtgqJQGuATtO7dMQRyCda6T2ZTDNjVNAHfd6pac3mI pfOawy2zFqgGoVUBzwSo4zPTYMWUTkLseZr0wd562fcZGjQsGiiF2aAZOsGc/OVA/fm8M9UsIrEZ 4rEM2njrd5e9h9O4g6hPNrOVWFvyUrTUHVViBS1GayiKp8Hp7IycffBOa4baAZ1b15kNMPpYs0Y/ q0LJsFJfb341Nd7jaWc8/leBFUpC0uU54Vz+vLPU8KdKwBe7zH0u4cbiMxRF1FALX+XusqIaotzB tiXzIFH7DIUh9eAM4RttsBk6sVRtRTXok7QCpk7Rg8lDZRF6entjc+pdoxlCgawg27NB51A6xwnv hkpYQxRTm6mKnUMdZc3e/bZ/Z6hZRjZxhVnCKlLIZLfecnx0PovpQ70T1J6YOgkImp4JapD/YoWQ 0hkn/2I+D/zeDz2lW+pXRIu23+/8NKyBKqAVlTO265fCozdLIeKEo/nzTk9rwl/aVUYc5LnzJOej GYpCalzDiFOsHtSuE+gJepMjGxt5GZyh95A6u/pqqckHb4rlE2zRe+DEQ2z6J+b0CMQgfQzZi2Xn UKGG5+edncaQDyE1ADY+GOYjodXCKWrz805Ow0Gf/aTGDE06TqCeoBmKStHgY7hIzSAFZF5Uo3Vc YZtXrCP2Tk1juY5JR0nFBy8oyoWSUusQXU+DyhEJcJRB3SDaJhsSjY4P78w01uVEk5F1UXPronkm S2RXCCd/3nlp7IO0r3ioFInD+QyFMfWkLlOxNVSGVejPXdaTo9HZvtIMRTF1SmI05OKD7bKTYbZz /OOvXv3c8ItCZAOaZj+zAqAJJRzHxGx72WANbYpBUDVLw231SJm+pszHo9z+e4bwNzcgkWyGopga qwPnkGpkUHu7ietopuGTNsmTiKnfGWnNSQ0i5WuwXQZM8BYcEt2emhuvsky+LGLpzEtNu4xOEZ93 RlpzO9mpds/cVwUaAS/l709oNT/vfLQm+TbNEMHD+DCw5G2Gwro0AsYuVCxx6MlxHi1duf663NDg nYzGq4w5vTy9OdguA5i0QgHqR5jGrgY1ik+Ae541yWPayvao3H3eyWhNktWgILD5zMFmKG1Jl59z ZX3euWhN8jlfu6wzJbtr6D2ktnOoyuiS/mDO+ZjZTcMwQ9ln6D2kRtBQBKZqPtzqKzBmRUD9jno3 N2LCvQU7c5ARxtMUW3rZ93NoMbfvi1APDpqhkcoe/McTZ3zeiWj4W/MtMFIIGnciv1hTFAbVjd5y y/T621qXFlOWV+/bTsaPfaeh4fed8klh8sHBDiKwnwB9IWYYAPPaRXeAKSxgv/NSGaCGqXeNZojk WJnMdQTgZtoMgYHOIOds6887C429o/61iDrNOJ6jOipRQ8llrmWVjnMQzXsQtWnJ/bmgPe1456Dh 7St78k2BY3saHKsyn7eDqFUIyN28bKCMli6NYZCD9k5Ba9IHBL2aE7WWGxrAcD2Lbw0K2jsDjRnW d2sVP07tt//zzj/DOYTqB6zfrEjt9z5sQ8j0QPUDZol2Ur+H1IiHQHgrgOna4CF13b0bSAhiD/TX pdw4zYbOlb+8SJ3Zzf28k89YRUWcN6qys7oc1YFuP4mMDQ5h79wz1gr7V0gN++5zxd7ecxRSNwLy 6u4Go9pPwNiTNxAzon2uoHfmGWuwaAhmHHw2eFY28bvihkSFMQMoRaBVY00eHoD5gZqBQ/l5J56x ycUDeika8szvTBVQWjyn88ifd96Z5dZfKygTaLZ9gkJgx0A+P73N0cl1tA7run67ihcTP+evpKxO 1s84aIIKjXNQJAUHD1whomTh5LKgawkDIwitGQem6F2DCSLbrE+CqDloi52l3uUF2k/A9s45awJB fYeLONaHn0HvlDOuIDTo29BuAsLA5fprHyYelslnEXwhBHcAF31O5JZ88C22YN5IPdtVOrQ3ZLK2 SD5BTbWNB6w49K5RUsayGfSdbVDWWs/RafF05yv+L4c0r9b2bLEongY4aiGlEcZ8z2USdCdfwu/J Carq0Xd+TrTFMEEFp60NtoIWYFS5irc4UNSXczGlqDqkp/GT2xnk7/pHwgFHOXrxgb3MXhDig1RJ QEf79vNONcOPuL9niHDFfhut70wzy5XPGTyFwl9jTG9zdCllk0vdszWi34lm+H0a9xhKNDbYDDXc FZTahPxchiYqV9kAPxjYxeVlDxz0fNOoQq0YkfPDQUcQAP5Ud6hn3j/vHLMmbPpTXCR7qlVPWd8p Zk2weTdSYfLap0Ng3OOA2DKDwLwzzCzfxuFcuw9+Rp/QClZgmZWzc2Q3iT9MAhtgiLEcWY7YRu8a dIEW46BG9TkONkFnh8nxY/T6eaeXsXLmR5Baatiu9Z7RUSx9knhI9DfrAlW62whVvoqzXjPggzqj w/I08rGz4vb24RbwUWuXVv95F6ATqTtL0XqKR45+NbAEBX4nlzHl5OHDZpAG63HALYqFoYUf8T2W xmLwGZJlyFnxlHLTDEWhNE6LE0GNbJByZtWsnPVuipgAgQNkyRmK69OSCpWwA8/3nyllXig1NILM kJG1TEWHAiYYrrTzaXB2Uk5Py6zPO7GMxUGKhG2q0APcL9hGPpfR2gyLEMZ/3oll7coX2yG00Bam lYKmKIylIaBSafKBXQYFfVtEqOfYIirJTb/faWXsAlkI1HywRQRtvwHjAvY4gCmlRFhHE6cDwDP7 uhX8kvWu0TGkfvOU6TeQVVOLaEO19QcIgMzng222vVFGfD0UMRu6bjZFUTSNlX3CrjashM/ERo7E qy8r4Z/L1GCc75QyvH4pWkXTB8/qz25HsNKlNQfZUKQbGTCKs2TzD+MMX0XEU70zyvB7FoKAh1SL hhuAwK9uyYjx/Nmfd0IZ3jF7hZqQISBryp2hdz4Z7/pK6z2DAVcIWJlOeB+3D0TlbqIV43CaPUQc gDZ4Vn9CZkDuRXNFoUyShdAjmIncxAduPyjN/04nMyjvueUB2+PQDJeJwhCnq+c6P+9sMqJBL5yT MwtB4Aa9IJujEPZxrlgYhQAYhHZrxzr5D998sTCNtx1Q9aQu/zubjJgwHkKECmiwOTrHzQADQai8 k8BqvQGA/zMgW8Ok1eKhVvSu0UbD6pmN5BYOOqwh+JeEHOrj884ls8rDc53xfmATUxMUR9TrR90r LqKy7yLqm0nrhloh9I4oyf/OJMPnqIs4eRJzcNRHObOQNgWvoKJMIbUOUFXj3fCTeRSZZjHu8M87 k6y5xBzFYGywGYIQtvocm4//LyE1I/l0oWfvNDKcX5klanjCQ4UPZlfOAaYMgQSLB/1UOj/nj6wV 9nvNBy9RQ5LvRNlFxbNzzXKVIak6Ryvk3kTblGAxyQjvLDJCV1k1k6EjB81QhzKNhAlOSP1OImMV bH/PEAJQSm9rhsISNYr4vTR3eGL5Smnrml47myVb4eOdQubYKuCv7+BtjrPJKpu44LVSD56y1+xV wNc6w7TA8tZF6+93ChnzVnaiC7FVHBQ0tlFEEj3xRPu8M8iwBFP+iqqBrmtY+jZDUYkatb15Qmtr Jo552xxpGVYP+MlplY93/hjLv2xEtz18cGDM+ZlONjYYQ/cTuyRCh0YiZwId5gf9SkLY5509RlYC tXZUhuWguyz1lFhcrCe4/ryTx5hf/eoD0dbp9hLfuWMO+kjdINOQaS/Wjs5pFwN9NLAiNEFhVA0k PUTElg+WlcGneQAVwbQDqlQEny046dRCBsZV/6Dq3+edOMbInQlrynfQCkrAJ/HrduHjwQqq38Ah CkHueVdQFFSDZLvkMs76tBIQ1s7ytHb0OWmHT1AYVCOLWHQot8GrrxsyeIMQWDj3JkE8oTOLfBW0 /n4PISI83yljPDGZkKnG2C/6fnR04rjF2klc3xlj7SpaeO0Meb2s3DhBYUi9Ib6vfn3FcZSnVT72 riaZDvLFVuWjhRDqwpAatDcbbILOYjmZmUybz1pEa5vSs6hJQQREnFs5OXId4DuCm55RUC8kRnNQ aeikjWQr4aMyHg/CxV/cFugNg07iExQG1JQrJzGAIOFymfW5JC9Pp+mOxC0qT2uC0lDiOp7EtZyX PimmaTbu3bYUVXnsUP0pryuLYqd0CPnYZCg0AKs0WPn1/B/3CJQz8XjUru9fsRAujtruHutRQI0O 31zKxeh66VaOtOb+MYEGyFGJ4PIeUCPOIG5RvJVylWRRXTwzVEmmRk2kTClf8SvPvwbjsHk8zdYR viPqtBLr0XmbcdASOtfAZnEI7jd4POBw7N9LCKzV6ad0j6JpBCaId22PtVFcYa+XkW0J9eQI2B4h PgBxYdEs+WCnNJqqih4xQb2w34xoCdc5XAI2RRq6CPVD7xokHEUeYKSv1mX7+OR0m15z+KNPwtGj aPphSMmCbqHZeldQGE2j0Uq3V1GkqhnuEQDrkdCgCDxO6R7Vpz1WHHewSAjnzSiJHKkKvF9iFzHD nIpKJhndVqf2ApiCL4mKQ1g7gPpxQNi8GCyeCH0TCTROrIvnAwLi7ZLRsI945nwzjh5TEAsYHMvJ 9KU4vnMuaqOQqkdCMiAfPYqnlZItNlw12BydKxkVahZgW0eBetAprQA2BLpq6euC8yQe26N4utJA AM4xPliJcacmbN0sE49HHE1fRRJlgJTObST2iIYIdiVk8UWvQ5Jk2DywVIYX0NIw2FAP42l57hYh YMtFwKJ2doKYvFXEhxLDMCQ+s+oKQY6LXmSFsUdFarVYAdXikExw+UzsIAUXDJ+Cx4OrbHwHQwRR UsRJExSH09BjWFllINixeTC0oNWhcBqHrVKyHlIRrQddmw8eTlcwBU2zYrfWqco0DdZwIj6pNOsc QnSB7wjueqMimjOhl2AXMKNLfTIc1FE4PabfZFsII14Sl6oZVqkHEzFqVFUkraMyUyXgPZlwFesD rA31KJ6G3hphrckHr3ucxdwFqlnnEweMLoGrQiVk0qEWUK4rYDn1stEmY6BYWYPttV29Cm5aTdHE 4xGb4xc2D7EVfBxtht4DarmrrtpY7gA6pubmM7TFDecMLXNs7FFALXOhndYdbIaQ+8Jgh3X8coLf zaMa9/LZXydsQl/WGHeJ1mg9KlJXlhcXMCo28DvQPqFG+Plb88TjEZ/1bjLNJqpR7c5QBKKGQsWc lUqMMFEuM5nd7qQTNGYIx5CVhnoE+Ojy/C5Jp5EwzVpD5zQkNQmQGID0SCuHh+8P8zNq//o5hOga XxLJMNA8Du7bNvgamoYzPz8AHv8XepEkcxQ3a7tJRw9j6gmDPUeX01TRqmd1DlfVX1JiwDaLitTy jd/irHKwRTR3nejnJoGEgaIjJQiZ+KgoV3auoq122dDLRnkrQTGVJSIOmqLcpFWMDvzE4/9aRarA 8maczgkaUVCNVY4u2fazehsGdqDsZXX80pKlHSOqUoN2iqrQ7j54qwNCV6ZWcaLP2TdhQxAqAw2s /YBMc411st41agZJz5PmDO3e9gugyCJ575zxeESM/lWkRq+37ttyHVFUDQQ1dKunoYRHMj7HTBQ6 5AyR3CrieFijpg7FbjqQ2n5OooaGHyUUFgzMS6IoWoFhbIdXTEaw7GpeiOnxJcEUFSX1TD8I5h/W DTpJseyaG+YorFJfQgeRQ4hz020pjhhIXWhf6dz6abbNAMGtYhc+Lao1RVGVOpM1VasSD4iZ4dIA rAH90NLZdAVUrxsYdIK7U8FM6M+Ffz5k4DsChCdP6bMIlwYZomaqerNv0M//4fF/sRVUgu0QGPSg eoS4D0ZEpVoJNslZBw3FRHto0sqAPLFdFgXVsExGJ7HQVK+yF8oJAqm7AwXLnuvGPU/CCy/Iztxh 3ev+TO/Ad/xJAM6MiDgopt6U0WcFdmw8Hp1D3+WhQWuxcicoiqk7IbB9uOw5t4eOoXzFK6CwYCso iqmniS6O6sMNGfNZNou8O4iK5UYofof4OTDDNKS5tDLE9viSv7RPTs6oAWnd/rGeTGMHc5ybH89H a2h8bTLAoWq+l9mIomrqdzUXEl5UkzfSFEle8vpOw3yHRxRVm/LiVuN1l3sOgU+/6BoGRVhkauQI dzGBwMy4ooKoq+pdg01G5eWhPse4fQ4E64tgpXO9TTz+L2yMlZUA3feAaIQwamyywmuBZAXRFkhW mNfRI6EnrjUUwaiLyvcCoXHwEmPpEMyjrnBpUKwg9GMWCvqiCYS41lplBHiOUOIDFHLs2u2D1WCp LINNtvvA41E8tL8mqNCsKt1NFhWp0aeay7Exk8ISOqbH1TpP0Ci3CYpi6jkMnrc08IZhat95Mtch Uhn+v6Q6SPdRdBS5gmyPnY/Hd0TREA1PKjVQZFvDAhqMGwSkP6sej0dkjvw1QbVSOcKpCiMKqYc4 9t0IQXXufU+hVLyKP9xBb4Qh9Ra5Pt/BO2VAtm3Qm9COBliP5Ko2kTeCa4wqrZ/TW0soDKm7tIWm NJfPMrWjA/Iz/MORKuH5ILcvv7Qs6JZdvWM/wpAamWvDtUK/ykYdVTkxbsqfZADxWWXmKRSWqckM n532Shwc1QDL1lIZUnd4CiAV6kOWxAD6Fronq5C/paMTlql51/csa4Hs0InzW+ZkrLJS8HgwQ/MK NXA6QQWYV8NrhnVqood0UC/a6HnWMSVsOoijBsMCUzRDdTw1WmFIa4OVYdPZA7MlSuSeEx8dTsq0 UIwLBUayOQyBRgePGeI+aFVJRpYNlnSk1CVlcT4ejwcz1Lz8wTSVWwztD5uhKKRGpIbWlS4wWWMr de0IYSx1nYTGdH5OAMXXSQ2vGhvspK4LpQnSYxFODgAACNJDoQQyPuNxF4SyFr8jylyZ1e9+B0s6 CnX35BiMx6ODyO96lq8gkoyc12coiqgTlM2HpN4pQjn9sm/NurAgkScD6c2YmijJmNR88Mt+5AoO MJOOeaZrkr2YQJsc6C2SveD8VgoRzLBSTa0GKID7oG3WQQaWcvKqeDzC6Pk2w9uRbvo0O2YUUiMs PMHKzJ5zWB8apCmHMcJd2OitM6xTq8gIo0gbvF8GZeVBq/cFPOPO1MobtIUH9pA/qpkv9qV3jc4h +uSBO2uDzo5ePHGdKePxiM7xDfug5DfAvjZBUUgN9iTAHjZBU/BXXmbjghjp2qpjKAqpEclAnZMw 6SSBGxU/oIYAiLnslkZvnKAKrDnYLzBeLBeND+FifEmwy2jhSelyGwwYA39wEl5Kr3g8aCi277sM XPAvnc75V516UuSP8dBubXramvq2rKy0O0MR7MNlukW/K35Qnw10wmQQ8ThD50jNMhoq2BUdOjMA uXk8RMvFGUXUlXy7LUHB/QgKDniLKFcfA49HRdjvQj72+gnNPB6aEewD/BwgqpL1gjK9vBdxMdlu MhHdNEFRmXqx45oK+4ocvBd0jvtCE1y4vvS+qJ1/1idFtXitPPxoCi7OKKJOxMFuiTJBsFl5PX6B yVZOz7jJ/q31wWgWE1meCQppiRWigtvNhIEL9JysWA2EsA8LGGeom1erF19vDVZ7DB7nhMUiJzvT lQk+69h1sMs5G+3J60n+nWGVmlusNZniNDfFoWuGgENr8/EIWfVdX4TNfQUX0CYogn3gali9emVI 0s3quLrIDkzfmlWGZhRRC0md1JnmcMv45x4b9G+BqiywAHLGIxAayiEU0rYi9bSXjeRiikBn3QdD Dp2zRPjFsz7x+L+QQ5pYJGX1LqEooD7vDKFXZ3Rkkmhl08VjkLzNSbs27rFQ6QP0MFRYqw9e+ehp n62bOEOwWhzsXk9YOaDm+kNyqS56VuvwHX/wxwF8Hz7wLz3L9MSRcqPefMUIGJN+ZRz4sHvRryic Rq57kkUzv1uGyCNfIQ2DViWynbmEVgT7ABrirJ3Oqmq6EhMs4kOIg8JnaJOj9IgJ4i+YEVrhL/qW 414h7EMSBDyLNGgFgb3dBK2qFY//i5YoMd3NjeY1/BVWqBtzMpfTGa142tqEkWGwON13aoW4j84L bElDb81rznXupp1ws5G4uQkF4CmEanNFZLzvMb2w0vEdUYGa/dbCkJFFC9booM6E/4lzeteC5yP0 2f4KFgnIgc65zVAoOt0Lhbgd+FH2BX5Mt1EAG8nO6RUCP8gKoiUzB168guf1Bk0C9coyJQlITESw mOCHh2jaBBixi/AdQbBI2NnYdA/moMpHP2fdMFuugcf/FxkLJJy4NHyComi6F3F/m0XTEs9j7Wzk YZWP1FyCYIUo6iEp93wHv+mRkZ0/ofCmT2cBkVfWSfndABGuRzuP4LMVF6gnBbnb8sGO6S4eCCB3 FY9Hp1D+mqATo0JP9zbKVig+DRODKdI43CdJUCbqozdbVyi9DAsWV0hMpETui10wsjl0JIqom5Nw m448HwYPKJ6Va/a+2bFfEeqjT9E4VvVBh+vJmSjM/APeIB6P2By37oEFjr5NRwfWZigKp4HJOger bS0YcnRvlLEQz2AoZ1eGW7HQh0SE9/bBZgiWNANeAHSZGifJR7w0Nvo2k1ZDdXrCIU4GviRi2NMi EKGbDYqn4frNKkg9Kx+PR8ih7zYQAiEazNoMhTBq5KyVKlyYoSEbRbm6FXd1q5QB5gyF8TQlYLac kfZ6fEpONtegHKl4uoFcTmAMq21MyXBpW2loj6yXjWaIgSIuBQ7ZKEEgpTMaOru54fFIGu474wC2 Cl5wPkMhOZEo2MJUFeVFCoipvDipj4vyYi/JBIdWiKMmIGhnAoI4WDSUEhQCgHQFm+Ncj4kbsQAX D8fgn0FlOME+5tC7/qVZNTtFBjko7jsB+2T9FFK8eDw4qO8EaUdWJM2es64QRz0qqQrSxIUplGkQ wCPCmhyg85iG5wpL1MLlFWKAOfhBjdw3TUZDrZzzJjElS5wbsE3yoCJJ5wytppcN7CWoX7G7ctd+ tWJ2G0N1jxNr4fGgYb/uOfTDQENtKJuhGPSBQiS9TNkGIledV1nNLiVckBTaDIUBtQCMlY1UDp5y TAAXFx3Lz66HYDdLZ1CtGyByZFTrPBwaQy/7F2UKnrA+aIbOWdolwbkzH4/W0K82x1nV4zmodxRR w+Rh5eJiOpUSHYqGsmFjgfXxeHFHBeqRrAnffbAZAn0TOJkl+5YB8gXLr0DHoIze0+0DyWJ6RxF1 I9ijotlpg2qL4JluYfEHH49KZ9/UTciA1XElq/Z7QA3bFwSJvVs3+uQ21q4/Ed814Z7ZbTh2BPmQ Qs6iLKYGm6CO5k4uU2rU8BFoFIZD9xhXPyBO7d5klMPfIZKaSt1ddlL9sZNCTmSCTHvg8eAmu3m9 dPKAqGDKrCkKodQNdMTi7tLMAgzRsJfXzma9UxRG1GKRj7p8sKts544C9WQ4dI7nJOZmWyyinn/G re+gGICl8B3BDMGSDv9R90FpK2lGcrnpG4//L7zETAnxdddQyEtEBT+15XJD3Vo/2bwHDfLhou87 hHxIXPC/N9mJYNbZW6x8wMyrVfU4iAmFIVgGGdzbQLzKdhRSS6FyoRZhg50ddevggycwHo+khH9V PrAbcUXbDIU4asSLFEbSEqL6rDS9Hjmdml2Oeoc4asm/Ah1mg3eB9qCysoBVHYBaVvDBYKrQXeeb WvGs27v+JfZRByU8OdgSOpmD/sCT2ePxf8GqpO9O2aJ0N1ksnQf/qMWKkIwkTcwCfEJ32zyhqDFe dgj5oGC39Kg0+GWPoGFDRwKJ/TrZDQOmMYn24NJ5VGBR8cV3RI43Itf3O2iGgPsStfXEgHg80oXz GcLLoXDX64V87AjygdwI2GCboKqUg2AednKk6YVUQBMUKudRa3l3qcD2RwX2XE8Vci5sJKIvDeID Kh9A4KMmBQE7b0b3rneNJKtIUsCxZYMmCGGmDO7RSNxxgfq7S9YYpW7P63cYT1da2c7pnKl+OVPa bviyle8EhZCPySY0xco12ASh5r0YRMPLbkAdiJLvciQ8Ww95spkC0XsX3xFEi5lYDyavGpTXo3sr HWFY2u5Qi3r+ciODMjxwXTZBUTy9kir4mgu0Qp12t8R8AS+xAehMXuIOC9RFSl1UhctfqnCFHo8o ouAeaxA4pRZqE2cJ5Oh5UVV76l2DjEwSsJWcqVadM3ViznMyKBZafDyQi7kiuWxyoo7aub81Q2GB erB2Zkzx1atLDp3lV11yaGAfaAlFiA8VzRKzew2ekkHACoqyRA11KE7xEGqktqP6259YiD2OHQp9 MJyeiZ3o6Wh/3JN7iLnZSsPj/5IzV+9ogxjpEyQe3Ou3bpw9Y6q/CiB4v4CPKe8/pPXF2mSkX7x/ fyOeTHf9/Lrrc1rnlO9MOU62AaEGcaZOVo76B5xsr/AZ4y9+S+C+xUlaXfYlHfQb/bmNLlbI7M/k 8QMiGYJvdiJ4d33Nq4ufQvm8BHO7aRj8CbFKQ30UV+8+UUO9zgEp1M/r8k+iZsxsrhkzcJshEN10 mSr0gyLrBbcaFVEK2LHXgDTb60b8O6b3KN7awG+By0HhLQ6BcD4fpB6/dc1RTWk3NyOcLLjSkJzV vr2leKn2e96WImhtZh+QQjC1JD8Go0IOXmiER9+GNgI97XMbWW5B54EhpzJk2Qb9kP9oCqvVTQks U/xB1zjSDReqK+zpjDkWPyAIjfJ3vZoOKn0/ey6kKeLcHt1o9jDDdpfWmlezaUKZwacp9ErsEuls yQebpnNAn/gLUt0Aop3pgDgNHIPOrT+AiDnnw91zS6ayKQqwO/1HzxFQfTB8QyYOgO4Slc9HULQ7 S5he8D/bl1lHimLsRGcub3eshtqNjibc1jZNMMb2PRd6vqjWmKUtnOvtDZ3c4yQxi7z7duamSCc/ ofV5YpvCe8LrRZkqRPiWADcst9aysg86c0+cPaaskWG2kEIcyO0OsaOdoRk12+2g5RTWrs/6PaeH UTvmGCRTZXYVcLz+h7BBVEyrllNcvGbFcVBoh4OH2mkktNoRSbbzDzmJrAik+8BmBOP8pmsMBPAt EWxvC42WfbCy0bkltqxJ0+bz7/NUf206BAG9XvvNnML6dWM0uQT5PKFTvnyqnYrNEiDMwu0xrAxg ATITUIm/PCX+BuU7kFMxS2ddJggRgLdYUG5AKgj0iJ1NTIf4LZGC5VIjf/pgNX7Q4bljTjzM56Mi /6UyEPMAwYx6gfo5RUF3gd9bRdIFxuIJkka+yl+8/aT8VZZvulhijyQOVT443IbsOZdbK1pMPavt f/79+SacXD+YGguZsBH1tkFewgsOSoYaXPoLq6mZwHLj8xF875dLznkRuhP4JIXIEJRp22pWpoXu gmX/m012tWSvXWlOkSKI1PVSVssx35YjpFwhw0w3qtpwOFV1rYG9J6U6PzY5sklOsWI1uyBVuvD1 FtlO5iB9kHOmDz4fbLj6HVlCx4k5tk9SXMsWgNiNFfONvRvNoSQK0q6nWQqL2dLJlQHDfAwY0oSf Vp3UvMAFPpsE0hB8QnHmB4GDVL0h0mNvG1SRyM8D5tgHKwdBa5sJ3GBcGTvBOIqPNyIiJqxKm6TQ XhGHyRTtFi0RmoVZKbKS4UDlczAczDswRIg0chjTSj54IQmSTY3esBA1rtSEAXMVadv5oJ89H/tJ ekrnwF/R2dQbJFoblKKcLJpamOdvhuV2aLD4cGNkU4Hm8LPdQoNFqIMuuTywlJTnLbb1iwaFoodP UgQSSUncs7190CRBbbiWwq4B6MInsMk83VEYqpQpAzDcsXz+tkFbhPusCA5aLhx0nXh+Wz2yVD4f xN75uz3LlcSgwSYp9Fg8H7j6Mq2U1YspxiKVXC5SBBS5igE5NFlc9FvKVTgR5/KCDZtIrFW5ZJ3E VqDiiY4ujQQB43IKUWn2thEdlnA1Fd5GokuWygGFeOSzMOrg81G2e9M4OX2BpPMcSoHR4vnjICNd toHTAEtMt+iGR6W4t8adpTD0BgQEHevtgy0lgMihLbppJnHupLIE9O+U+bZZMrErdrFz4LRo+w2s /uaD4DT0hpe/ZK58Pthv61eo1Finu/5dodfimvKnKiq87dy88DYLPRqg9E0dNdtvIaMxS+lqVR9s KUFjDFxOGd1j/5FL0wEgrJDlIhrG8pNujqahTghNcxIaZBwMPTtWgmQR1xIcO0O7xbJ+ud3jpNzX xCsHdouo2SX64WqWzhXdVWU6m0RuwoWVk7l9KYVhN309Et25NdwN14HjF2evwMK5idSIElGiAdTF HQ2bpCjqzqTmD+i826Cl1E40JmTWuTH5fNBISr7h9BxSyKduEjguqnC26OzKqLtChs/CyUaFS1Ku muvN5NBycQmT1tsdLrAGanzArwpYs7HoYVICyV2ELoW60k7cK/a6Ud2E5UlqW2uwpn8q0t/D4uTz 0dndv6KAJoWfJwoIBUM6zRmSKaU2tSaJHJHgJYLuTiQ1M7jAd/H2ApI0ntK8uQnQ/OcqqRJ3AvGO CIFzRKGrffYhNHavkkG1t40uODYD0Ky3wfpJaE8SfjTtJf8lqkJGNoCi+/EQDowX8/8D/xmFVR3Y E3Yoho1I2Xiz54cq7U5SXO5mVWnx9uLgrX9UEfumRBhcg9NSZxtbjWSTvPZVS91p2uv+pSAP43Uf DGEzaX2IL1yZzwepydXc1YwgmOz9iQNCqxhY5xW1+kHSYDdLOC0oYQhQC0d7n6bYfpG5SRJBLT0E tZNo8Z5mboJfHXXmPqHwyOgbG/423pa/bSSZip021R3goLUEbgiLJqAl8PkoN/Gze4iAVcGVuOdS aMGIsGzV0q3sXTYl1DYtELorhRUQV3QuhR6MiyW4jUVhg2MAzsdnlraBI4HIeOf0bPYQUN98aHxC s+XQhBE0H6Ru9PFsdU5Lc3enWS0qRlhLgQsj0uRvT53SZOl4JynW5AOMDUQ9yhP31EzA+ZxUoAaq e4K43CYpBGcTFQlbVh8cBzAKPkzyIQOlGCLaGrqunRrB9eJGV/O3jW44HtqrLx8cBwDCI5dSmXw+ 4hR/g/6gDljXk5zERoz0jeF/BWztpo2draSq8jfAJMVYIjlwYoS2AQ0Gu2Su+1N9A1LtfIJ4NCeJ sJo3INU/cAMBDvji/vJ93T9Is0DTMlhCNCiWYpnQ+OVa2mvzA6LIu32tJfRyO2p4Pk1RzRvQYezq bRBkKYDTelkHOaap1jZ8mkKUNjccNEZ98EDgxBaF4rUIBADiwn8JOb36swvgI5k6vMp0yVjLsR+j WeYW9eT4o6EcgHxaSP9zr/P5f3W8LRYFwO3ZcbGDTIIKZnaX87G8ZsKpUc2kpWSgiRxYMhp3diUd Nhy8Ybkg7pyF1IbwdabR1yBhDHoRO6fb857L3jaCTWirEe0PvukPmTsogaLR9INDGU7wgSkjzrVf qumbUeiNvENXRsQAXnSjkcxaDi1h9CxR8Jq8aBLaMpqcyH/h2TMiit6EZz+3RKGRxTmXNqwGcBUP Tld9ZB5zaMuYGXJ3wlI0KBAAk5IILpSq+HxEzfpOT8AvaH0951IUeUMjBtYKZswoDxsWTa5bIw2P 71IKda8pS/NiOwgoUWeMRLpxRWtAvJEOyVkeVy5sBCsnvW2EnyD5yGzh90PwOytVlaVeN5//V9FE 5zRF7Z79FlW7sdPOWbS1tSZ6iw4xYdVPEBOcXTZJYbWb4uBpKVhaN1hK0L6GlgVqFGhc1UoORWe3 v5+ZWnQCadxvNkkhyEQXd67dB2EoTtjauhT49+TzkYjY93bbjD2+woAo8Ca/phEWwkRXYSRhJpM6 mYSZ4G9RohvYM2K2eRqpVMnhRpQAA5p48RxA21LeuVNerVhmYhHlXva2EWCSQJM9hw9KWFcRDkT+ jDkwaARazuNunvPUCexYXj5NUeANpNY5lQiVRAEubXGP6LBXHWsCCKitpTjwJsdxE3nL4SLeBmyk yOo/eW7lV0BsDSw2UDjgxMCKIcKl2u11I3w7CwJdDabuDaYBAQo2+BuEwnPo0zjWt4wP6l1UdbJZ qmHBuyGmlFIfSLVuE0+2mMOTG916OUs1xJuwAEcFnyvko6oJEukyKmtL514rap1M/JsKzDpacbf1 3e1t/6ialNxYgMuu4gql05RZNVHBu8aB969gqdMB/WYnoVkj6pMnc6rJj6WevQC3ii2ltGETZ5MU Bd5LTmD7GbwAV6ljTEpbbSc/qMxOBu0kcT+gJXG52d3eNsAHLDqBQcnJBu04KNJKrqhlvWSQ6d7S ktAEaJL2C8HNsWNjoV0aZ4ltgWoo5UGzLcPgQuDdZinGcWunUbqQg+Vw56IdrFMSHwDFz8pWJUIL ODJA87tfeJf63qFpIyV4oC7ZfLA9cnJCyUSMNPj8v4TFaAd2DuGRn2JuaNt4sn4ws0sxwoQs06g1 surVhSrJA+/Yt1HgyExM98rloigGCrkNIqrgloD2j3P+ZMAdWqsoQaPya9GS9b0D58ZziorUhj69 DfyWE9zPru5J5WKKAu+a/q8IZH00DnNg3Xi+Fjdxb87177Unp5WoeEnWBEwObZZiL3Q2jiSfta58 FgBQ8HKykLIjjWdFM4HDOWAeAlTbxVDkZa8b1CnlNFN1hrtnVJYpJLEmJyrm8/+0eSBkueL0TjeL CywcEcsiXkomTnf+qbu0T5Wv40RnAGqENk2x7IgqlFTl5eCR90CxDBp8QAumTCR3x69wvo+dhlJv OZe1O35LZIbBLLf17sNFLkkKum7UTQIbRym7fEWVNGpNz5aLQm8ANOAHbVJs59R2M+JSq0uPTMAe VDcJjByv8xWVMzXckkA/c8SWyJmtc7UN1t4ybu6BDj8uUO8MrG5vG7XiDFLZfDB4AARm5QXKcykE d6ebn2CxD/4849lyYdG7woWvm6jGoviXFSpFoVgKK6uvpTj23mK5FR98luZJJUblWmoJjjyI0gf1 GCYBMmvlu+XSsteNqO3EmOAQssEOplmroeBW5/NRXPkdL1W2cPLF44R2jhO+GK1tldvA19tuEQbZ YuFxOpk0Wkth8E3O+s4MhnauNxTI58o+oQEg3evEyzUN9uISWqMTKR1Ucm6lsjd73QgrSPHeTImE nodjBSvmX+X+tfh8EFVeKgWtMlEpb3M+Wy4EegMriLa9YEvUk9E0seOZpZbdl5cqQ09HmTmOwVOH g53f0AmB1BWmCZoYBT/oyYahB77ALynj0t5OWl3sdaODiZutUdaGgxZTAl1PaHhkcoGrY/4/jV0I Adf59JlCW0cgaQCg8XQXGqAWV+6nsQslPEVMga8jgopM/xm2szlY9/uktWcLTEqz5Qx+KUXFN3HN gMbJb65Ldn3Y20YZCmEm/5+xL0uSJTaS+6+ztI1hX3T/gwnuHoGsJjP6cWQSONTLqmwUllh8GcmG oVraySBGova+MAKBseN3JsdJQkEClHKfpBDqnQk2bYY2GUQRCyJALX050VwCZQ6NHZcIJ4II5Aci gDv6/MyJMpGQezoxElcbHO0qrj6Ugi2NG4qXAmdHHEu0UK3sEHCwmsAwJbJah54PrrjWvo8lUC3R UvBJimJvgDjPIZ882c17ebJbik/SHPOupH+okqTefLDDu6cT4iJUUqGybB1g0N0CFgvXUr4cSpXg QnPHmkwwgQg4cJ2YVFA/akip++w8fkDEGrhtph8SCtlMuLMUxd6VELjqLMGaTZUePtLVVX0pBGqz FBe9JVe7mw9egzt7akF5V+LiENdgLlNZ6kZvrz0kOEVLgcGjeFJo6O7mg3pxkGsmG+W8f+XzkfTG LzAlAqU97g0XWDyivFRhGVwUZaMzYMISsHdzVYBFiSPGAX97PAK3Mny40RJ8HrfMZs9yWTLKhJrU ecVzfu92uZSjbXvbyD2ssH9ibZRyBVzOob/E0gEYPjR5nOuiu/h2+HnoD2izFNe86Usz3NpoVeuf zNyvqGba2y+4wOfRQd6TnQENNksDoLe2qO8L6MPa9B1JCC0H3PxyfuAmMgfPodOj2Lh1EObFQXHA 2XBUkse8Vz4f8L0ufJky8UQK7htTBl6PmbAwhHouglxJ8qKmHYvAUpMi1UGzFJIqpcFBwS0NDqRA OQli44yW0Pra0gU4czMhQVbSY1w8p79uJDXeqU8iU4jhphAbBjhylznhGJ+PCrrfNxz9Osbez2KK yt4wzVlg3mmaztW0/nuazl92F1OEN6lREY5U3YGqPjX9J0SoUfaGlH9Dmx9FlV+6ZDnwfEQvixCK QflIDjqXytWZmOhYBqaPIohrljZzXQShVyz68xor9UzsGrhMlp5kUQex4YqaTATCQ2uTx9JrpNSB W5Lclg7w+U0+PdfnlCDQyb2hvt9UpqThQflhR06a/ovOvK9x0nnTzIr3oDCmBgsBIK+M7zv/L55+ mZ0OvQi1vbMJlWTIjxb+F+dHiSbn/IxoUTcDLLHbh6Y3WBBDZIqCdpmd2cHkmOJWl8Qmddw1OQiS NtyLSYYbJ32QgAK5Q1g2VCP12RH+/XV2ThRZOTtdwLfuwLcBByiZZBWUcF9nB5FDsdkRKIDhRrfZ eQ2OOhyysGCaqdssLXDL/0eyKslYy4pJr6FRN0DAKmK+l4f5DpBbqhnSqehOgoVDiZKN7L9AXgfd bke8EdL9Ghh1HCAU+wMC0AabnJM0W+6/2+c1KsLUWnVbk1PZuV2+dF5jIiwdCP6lYsp1EAz1BoD5 GKJRXxGjMSZ6jYi6jOwAT5avc503ImpQcB+6xgBv61T8nwP2dg1NUMC/bHL61psG+6rzqidkEoCB ZcqGA3EJRY76CXw/r+EQ/tBebXYU+VBBzGfnNRjq4Lfms2DQqrCatnkbkBDcb027WuX/NRTqEkYB aGtVH3xjnRfPXbrHAJuWTaA7SpsAfpzPo9X1FBmXWexrJNRxLNMFs5JdwsGKa6Vv1llbPzH1axzE p9fX2sE51SbpynS8C2YHifI6GbdLIQooocb/St74R2FNJqHB7ECkBufNTD7cxAyuTp149l7O0im0 OKhN0zltdoSOoBrd5zUA4g/JMr9sn7oLe5zrq6UlxYMTCX1ewx+snVq/Zwds3k0hRDqXvM6O7rlF bRCTRirOShp9eT+kQONADhDR7LDRn3q/g99YcFnes4ihDGoDLUQaIyD0+jNExzxvlbtBuLWIaM9s 9xeXycNBSYpqogb35zXs4ak1bHZYd2tEnPrsvMY8OJQbHHhJMhF89IqPjWzbDa3+YefOa8SDQ5n8 bcqO2OA7q3fcJEmOyftsM64d9qvHwkmZH590Cnd+XgOeLlgM1CHZUONg1f0iABXaGvnzGu7wDb+v LBxbBONodl7LjLjn0CUyFRsJsjrwaFEUVUUPvLaEx4JTGao9rC5mHzy5gFXz3uw3dphDFhTYzryc I4deLoVquoZha1RmC2ZnsXaWtogx2zHOpESodnYSoc9rgbGrP6PZIYqL0k0sVmN2XquLWK4b4U4x YZ+z7E12nSJRrgQ9cVFIRiIKd7qx/aoPnsU3+ONRzn4B6QXKO9YONIsHsNGFGCqDriW9anAqy71I noVDTiE6lZuwcqwHvdYV+yPAaucOirmkhykYjEJllOawZJKRathx0OJhqiYmxFXZeOewYX6MJ1Lu YPMD3Vn4hlBC4sSJY/NOh7EjJMewt9Lt6c9mLxttLqLXi6RsyvI6fkG5gXCsc+h/3ilsWH0mc2wr G/BeKJ/YDEXxckpg1KRuNL8uwh8On9XMWO0k7wMcQjFqohnSlbXq8sHPZtieVPlddiRxjf18Bg0T mK2CK9YRa8YViQ7nqhKH7Iuy2xfBlGQbkLby8eB03t83O64jUvNsht5jZqQh+Lfd2aKNNXEDGS0/ gJpcZ4meCWZoV8LUZEycH2PidI7qAedmifjiNqccwADtHmB/wQ8to1DeHkXN0H9pGcGUDzqfF8Ri KQ3ZQDh6D5sfPwM7oEEP44+jCYri5jqAwppGfzjTyp0lEmTy2KehSW9oh+CIBqaqS9zNBj+EeoUc EuF85y/sKOTjekdHRA0oClz4BaZ+TJR0IU/LdMmxwVL2tps4/gXA0DB0nr7JaPOM3K/Vewy9x86q /7lpCAr3ZVxYKKt6qklz3lVtjWaIBnxtsDLNwfKuE9nCU1w60B1t3SqpaLQGWXGlkyMFtfq0dw1O oUHvoqymKxv12mS4GM2GL7XPO2cNe7T5Qc1CNgDGDaRfm6IogMYNdM7h7gqs03yuwXqZrnyYd3eY w3sEnaWeudgNtMHPISCJ1ugqtdaTaNA1ZMLEu4Kzvspjq0JnnnfGGnaygkOqZ2oQrAhQZZkNj1I+ 74Q15gq+hug8j6bXKDeGfiesWQRzfhZzCFl5bZc5rnVYnRVoYBf6iaLozgOoED2owRbRudYKiMAs s6YFMW5S2iiyztrCl5ejDuooim5Dhior+WBRdIFCGuPEvD/vZDUG4fv7HAJtmXYKmqAojoaWOCRr mrXtiRxU2x7ZkrXti2cZ71S1p/YzpKw1Ls04waAt1zU1QWc7DbaseSMQz5nZ7zTtg731su8zBCmU s78SpVk4aIY6LF3lxNfn552pxh/REw2VUoHI7MnLP+9MNazclr9Y6sBEJS+v9r2svMoapNZQFE0P uqcVctE1PLsMVr5UQQKahWKpCLhxvyOBqpTYm18NjfdoGhGf+Gl0oFn1cX0YwHqzrAPVmiicXrt/ rSH0+8su96SO4ulOAVbPT09iUK9D2KXynXQToGlOUBhQT1XIyD3nYJsMskFnqkcXfn+O0uXVx5LO Qnb8tMXm1LtGE1Rpc0mQY3VZnwxR+dXUyQCiIYqon4NaPK1zwKIDYwXEKKLGLTt3MjjauZWLthtt kZtNEDaJVRDjiJrxIpXUNdgE5bPHEorQrD7DPoItsXOhUS3j3N5Y5iajRe/vd3IaIy6Gi5PnNAfL VnETyCCs5M87N42veE8hJv7AOtUbL75z0zCvaK02tjQZDo1drca6ywXsNTiUaYbeI2rciFlX+B3u MXTiANLskXNALIXErIIKwoD+UVa7fjEny0MvGyRl8rlUTYiDwT164aUCL5D5eWem/brKyABB2LSn 5xzvxDQsXMD2uyALQKa37ZBGNWo4QwP5MDfZOy+NNyJNZ0BNssGrZVBcSFCfOjN07leQ0DFDwPEP FCrovecoNLo+vNPSGA+RLj1z9kEHNU8B9Z5r+7yT0n6F1KoFULzbr7J3Thp2GaSyy24WUpdllxrM kHuyGcpUH+IMRSF1UnmQuCkNvsvAJ6YU/8kzE3RYqDqezub4mfC4qycBvHAY6oq8M9Kw4KkFAXtU HzRDCfPNGTqp2uedkPYrs8e/RRrRIA9nMxSF1PgBYcetrOycQ9vjRVRxrFgPJV6F1O90tC6IOEoe 6Q43K6swzGafsDWQfVlfowRzRygNJTXfZaRWft7paKzSULun9uyDjlc4GrDvdm63+Xkno+G23b9q roio276tniiiBrjjpBrFFEbrdnj1iVumu/M09KV1DkU1abblV6bXpwbbZWOhrjUJXkAJCrELa9Jn SnFA/WQe01axx3t83qlo+BMrD9j1DDZDBdR33mVzfd6ZaFzn6bsZJpjQ3WVRRA2Zn7Pwh5UWi254 pGWldlfvafhXmqH3iDo7BqYxouZga+ikHCeNh0kj7n3DZqF2DxU/oEozPWW9H7b0skFxUb4YZd1B MzTKznJoOkfg552Gxqbxd88HFa9GgWXNUBhSkxOzp8uJaa6Y2o/qqX2HwZFm6D2kBiqQmjTo/tng iev5SMCFE+HCMACXjm/CRd/P+TovjSFTcOLzzkHrJrZyZpEctIk8xZyb8QsSwADk/+edg9blnfys oU47jpvav1PQsMvoQpXnPYdy8nMou47vSfGWkazfGWg8z4hbJHlYg83QBkR1LVYYW28nKDGYDJrw Z8/9zHQpDIMMtHcCWpfLNHRonkHxEIwnNVcgoL3zz1j7GN8TBO3RftPWd/JZN9WHXp0LU7Mr9p8F 1Jx8VsCu10H9HlHrhOjQ/5s+2ATBAKOC0UNWFSRD5HrQGUh3CGR4hRp+MnrXaI+RW53J0OOgmwyc /Sz/+DU+78QzxJvjV+cQyhHpxovvvDPEUJBYqf3m9en25Pe8thi0BeQKeqed3QpsTUzvOdgEVbDO KEwMCTE4yGfpRHa1K37oFmZ7DCHC5511xv4W7dNq6T5YVz6Zh/P5efPnnXTWRWf6WkGZCDMvDb1z zrq5XbadrHrWi5eoz9+VHas4sxP03yln3WVDemN6z0ETVOEhewKiSWGsgmykEtiwcZ6hD4QDnv1V 8F+K3jWYIAIwp5TWZnZFw4VobUpC9MRr74Qz/Knpe4IKVd+Gn0HvdDOsIMTTuVk3bGVW38XN78to sHBGd+BCCOuAQjZA09sHm6DU4Rg8xck7F06nWhjKdQsA9A5tygemOPSuUU6GDmKpqp3VWzuDvgYp VSfw5CtGzfn0K5w+l0Er2ScoCqeB3znhbxK6/IR5ps2HNtSdIJgPiSf8TjSzqktHh/kONkEnsztp d5EzOogKMjTYoAFVfC7QE34GEd31TjPrX1hXAl8SV54OIdBQRbTP5fNOM8MEJw+nBSECzezW8N9Z ZvazoFFmkmGDclRcQn0zfy3Ux3b8wjvJDL8Pe/OsP9hgMwSv9QF5L8LvoadRTJ/urMYGpoJXPRBv 8k2j+WE+1mjGJ+0AHkGDbCPusDk/7/wyRiK/dhhyTCq5aHreY2lcDKibXfWLRg1ugV92uQX8WQ0u /U4uYzbIPxpleRu8j3he/nwsy0J5T4RU1MtqZHgWRBaOKc+dSvTv1LIu32yg7Qx7t7ZP0EmROEGo qXzemWX90SuwS4yKWu2e0VEojdsFkrzDEB5uZA01te6aDgVhks7osDjN1kZBK8YGmyAApyQeRUFs 5C1UnEMrpaPaBn/zy5giBvidV2YZ5zl8kL7bYB0O9Ah4Bi38iO+hNBbD+DVDwJiMu8OiUBolMURt xcHkzUTCTsQyi6M8IB+nGfq7Op0rkYlQFsaJIGHejOoZCS71/NK70M+wdQInAekDg9VSekKLPu+U MtYGKeRQJD0LrWOWwDKSvEY8FQQ3Pu+UMl7ZF7pJUwzQmPtzTkfBNDrOiO0MJ5RbtdLZTM08sSB9 Ra5U4ucEsSJaO2cm0vbB8YlwCq6JEpggEZLkD+oGgiZglmdft4Bf7F2juhDpwFnuIdADZZ6a94mw xE4EsvjzzidjE+kb3QrNggYxKpuhKJoGtQuns9Wn23Y2MET7HWw/yg0Wo/o0Ikuuou2DgxTPgXFS jEWwEBL4zZusoE4xEIMBDX5XEaFU71wy/JyMgmDa7oP1WkEx5V94vufzTiXjO164EMv9QPWV6XWP dyoZvhXaYCpDEQFMCi9lr+Yy1wcsIqjlE6gYV6gZDWHx2+B4qjROzCi+dMPdxcT/XG8ryw8j9wdo P6jN/84kMxQvCcBLg+un9WkWvCcvmJ93Ill/tJ2tyQHoYgM20qYoBH3gQqE6blazdYnEmXup6h+e xyadqrCK3nlk+IVc03n64KsI8eJO9ANtGdjiLfW0c2IMEJyZtFo81Ire9a/7fm1xgff1eJgQUGCX 40Tmn3cWGfts3zPE+4EVKU1QGFFP5GTqcixbTRIHHYM4aXjPpAGSCQLGdw6ZHWgEck4fbILOMjm/ LwJ11PDBYoJ+w/nvOzxcUKHmSWSSxQhyPu8csu40aVSGfbAZWl0qF3VuPh7VPfrXQcRIPt0+0DuB jAFjY3tMLg4r8WgQ+xcHUVbASOl3zlAYUmsJqZOYvzqJGzypBFAjJC+h6UzlHcAzzskK1KIIm5Ir Jg3hnT9G0KpOoF19MOhZOz8tZ2idkPqdPmZVsO/660Re74jgd/YYwwQWPlqxtHXWbGlrJknUoHme lb2Tx27aenLt5IPDYk7ysiljUSDsBLom+WVmcA/UK5gnylsX3b/fyWNM7JmwqtnBwRL72bMa9me3 fd65Y2wBfAeN8N1oKJfbDEUVaoRp5xQquu7BUXLd1Jza8F7i9Ar1O3HMVnBniu3DrS6e9LkXeksC ydgkhon/gZoykN0X+EqLz887bazLNfec0JumlxysyXHC7S6SwR6fd9YYt4tPUNePd66zB3If4qY7 t9bWwjn/aTrko6jzAcjHoIYpJyiGfChhZTzEwbIyYO8WdYlxAp1pF6Uj0UkW1EoSALXHOktD74yx Li8E6DYyYUium4EMe06tgF34eHST5a8VBHN0Jlk2QVFQDWFR4BS919qH61ls+o1LQmY6Q/OdLXbv eghO++AraNHOUaKEuPglJbuRsVYUy1Az8UOo2bsGlQ8azM3KQ4iDJgjeLF1Ug5O4vnPF7JT/ggfj /Jl0TeGXhhH1hiDhzKpEn+Po0d7P14jvfE5V5aPF8GnWpamkqMEmqME2vFTyoU4ycu55KaoWGAOg wsg+jKwcKVSN7whuetI2hkyJxwOryiezZ2ZeofvVooD6YbWIXE4iyV1BYUANc4K2XUKutuoSckDA WmYP1Kqd0lF5WrKWpZNUV64Ix7nHzrkwWUUE4ONMzJZ1WIZBNzWNYJvuvtY6paOAehF+X9D8s0FL CG9NpBsAE3g86NbnX4kriAnt7rEexdOo8c9dzZgAwqYiKsByl+sKxbOc3Pm7v8fTMs3qaGEMH7zD 0eAdJBs+GvisLL15tGoahfaah9MUecR3RBhzHkJb4bQbap6w9mRbiobLqHg8Agj/oo2hRoKk0CYo iqYpRjzkclVp6uggc+a8xlBA+qIJigAfg8BXGnDbYKf0pGIscDBAd0JoTSBHHDgF9BY4a1tKRql2 fEeQb7By39O8AyeokaYkP9mTb/Qomk7lu0c2aLZ5OQo9jKaz4h87pbvEPYT32E4dm6Tv4JTuUX1a YTQ8yn3wdONcgchninS+xmAvZKLT8TPxJ2e63hqpF701fEnUJKNxU28mqgPNIAaL8AZiW+5cAgXP B9TD2b7Oaer69zzvHIXkw1qA88iGzEvtiqOvYjl+gVqAYWJ6FE+jiwH0dOo+eAGtUht7M+M4x80J T6nVDMLCAFGVhjBXkKHoZYNg6EQJhJxtH3QOwaZWTIOzd/F4cFKnX/BOnEMP/rVHBEQAdeGvoP7q NAIH1Bi6vAlZQEMDRjP0N+Lj3E938KusnUiIQFec1MjrqWYNyxRk1RVSHBe7yApjj4rUZvyBVi3P oUE+GNZP30wY8Avg8WCChk8Qy2dEEeabk/Uwnh5Q90pD1EPEMcujoTwMm1cgX6KcrIcsxMFLDPxX G7yT2NNJvyb19OqZmY3ko89OnxAgDDbDIh1E/q7BZS/PRt35zfF/ecHOLymexkkdxdPPLtMSAiG9 XZJmXKXG8bPpDl/Zap3MVNH2JPheCGGKxOGkDuNp6qBuAJNt8JRsQIc70T2epQJCQ5AkQBAdKTLa 2Fe9cuplo6M6iTWffVDSelKyzmbymaGJxyPg2Xc4RI0wqaByht4DagCE0asaTZUhAKCSz1BtxDDQ qxWXIo/qKKDeW7hOucfP2wdKyFJTUUpGdVd6OyMchtTN+WUAADO2HRGC+I4/7rICc0wfjC8FzXB2 gs4vg8cjJuuvyhAIErvdCYoQ1ACVw0RYqgKzg28gu91FxwdMEKw7rTLUI7yHZJkzK+MYGN8SVQU1 4LqTSmcNQqXTJWHgMivdXz+GEFzjSyJkHnFng9bEHO4S2tQPOwH6xuPBEvp9ldGgqd2co4chdedV RgQV0NRQXFHxrI0mvHmh7prtsqhGDWdt3PPi049HiRGugKh4UKDipByLs3imqLO6mKU3YuXFToxw jyAfYPZBsrrfQVN0PsLE8Bd2WUhL/I8CLH11pk/RiGJqSnjUYXgz4Ogc37lIjGQZn8Y+DBlHVKMW X7wWKxGNi6I+PxOkOyob0ikNaFUQh48TFUaSg3G1uerYu/5ZXmy5+aCTGvwr6QqtnPF4EFPfbplU ifGjXDr9iGJqwNHPCrK5QAZr4dDZAtl1YAa61mKMhxVqbbP8DH4ONUoMCZpXIeXNhmtTLQnbDAG7 q3ihdYEv+WuGwLgVNO9ECmoFIYTgNjnTlvF8hO/8JXSCCA4tKpuiGEVN+Vy72M8a2t0aint67QPQ XUOejahGDR4A5CJ423eJaw2CGuAxXSFuVoCF2WmL/topDomiU39u+zNtA98RwDu7lCpYUUq4/7Ju +2HkkX7+B4//L20OlGBKv0X8EaI+zpVpIgrU82jOuhuZCERSytqzyaKQWkI5OGc0FJ+gxiLKlBZM GuB00q4h45dGJxdaHH7Zn+kd+I6omzh5iVH1JJXp9dfz6VLeghwcHv9fVBkGbcVuu3VEETVZb3W4 WWwVQGYyHJrbUeZgF2gFRRE1Ki/UgVk+eLx4/m8hCkQu1swrusR5615SLryUMhA+8SWRMIMUKYl/ XfgtuXDOJ0iUHbzEkvF8tIbK12UGMFTN9y4bUUgto6FmCSu8kq5vfK0mK3xSYHdjGlFIvXhCQ/nI B7vLkBbL4IMA2BOiUeN0UukIikvtiglmQuDwHUHmmol8XVSl5KCDGj6PUjY7UQMej5Ax39UhwDRr yh4PjRBDLS/du4aSzQoAOdfxJCP01RqKMNSCBpemAmN7Cozn8K1obHKC8jkJttR0N0vUaAGRt6lG GeGdI9T2qASzILq0wSuwDB0A7+wDjwcr6FfaCjX5WtLdZFGJukGYes0yjTAlGTMSpoSmpjlccoDw iCJq3WRlMmA8A28YUu5QbK9J4DzibdhrBfT/XPUZpP78uAyej8d3RMEQTU6ojadB5bNdyybQpKy1 8XjE5PhG4Vc1N71fP8KIelLyzW510Mcd/7pKupJvaVkNf4QRtQFj2h08KTv5AL29ZZ53DnBWhwZ8 QajXmVms1jm9tYSiiBp9qZZrzrL17hdkvmDDl3j47oXng8y++inEphqtsp9oKIyoW+cUyb/rXNI0 X9pUUqPwSWaFsVnpY4RFakoqAazogxOmTpDeaVYPCeEB1SS2owtV9Aa518nL+FsCOiEtsbHZCtEt G4xShs6w2tGl4PGo9uFJBwMRWBNAU14zNMMidaOuEJHBOJDApDQ3z2GAc7SjAUvFDM1YFI/nDz09 NThv8yxLtI636OMQQ5EXCh1OkKnURyOf3h0zxHwUab8TzyJgk1IOGI6xip9aw+PBBLXLucPbQRO5 kiWhGYoiavwQc1Lh6cwQCmnTEle0BC1xxUmuxHWGVWoGyHmKFTTHQx9v+LmHUTk2tHOwzDoUlfo+ 9+Z4bAWRe/M7IlYiy2dnGfpgKceJz9kLPEPB4/86h5jGI8Bp9FjRDEUBNQn2qNnYQSSxGPLHUXxz crQj9GZMS5S1mSqxVwYI2KqTeLPiWAAmbZWR5YRY5JmcKun0y22lBsEMy9RkR/cuWmL3njdaHXLi BqAaj/8vcjqEGDydjhlF1LACQ8nUvCgq/RIFadjtasABKqUZiovUxNdz22iwGcL0g6c4KYVy7soh 6UkcOWAz/1DD11wX+9K7RpgP4l8XFUw56OzorU3JT5/oHY9H4pPfETV5D23dCYoiatDGTrLqUiiT t6YiaoEbjKpg/PoZquRJK2aR0iHRFw8XzyKiXACA5gkRHY6hTkUu9M3OlNULxV/2ssEuk2xpqtMH nUMD7DeqSZ87BY8H3cSr5uVSKOiQ+AzFNWrwNpe5B0LcPXvWWrMtoVwAH9YMRZgPdezhDuCDJfaj 42LWJoOdeqWp12yoafZeQOrvNxyi1+KMAuqqrBUgAxtsgkDHYjXjXC54/F/xIomtQPpBn9UmKMJ8 oKl3Fr7pcWMzJDuFiO11i45td/2MatQ0EQaWPPnge+zkEQkFZZI5ejf6OL3kKnWN18ONps7ijALq JFDMUFo/PK2HVEym5kfPuMlizMd3SobiTKW0rCYo5CQmYD5gg6mUrGyfIChwWUpWpyvFzFAvr0oA hQoEHLxT1to4d9VoqsCONGVECbRewwnd1mPTReLvjErURTY4ic6vHHSRQeKVy+lEpXw8mKDyTY2m DQ42uk1QhPmASdaJCrph8QkINRT1vMCzUZPVzmYUUA/NTFOjrD22r2iVVYqKny0GcbC02CijHhOi 1Ez5bCtRE2k+o4C60bxkdhGmuhOmzqSnwtgCov94PFpC33wglPN4/dgMhZxEiHGnZLpCq+TlepRz X6XXRe167rFY5YO0VpmY1cfEDAKdEIqlxXJBaW0tyqCQaoJfnnI2uugHnd9nKJs32GTFH2qDAc/g fC3Ns81X/F9QMaJz3It+ReE0HVa2pgVAfKYKPKX7Lo7MS8uB+CvCfAxRySoLh5fthS7HhHcw5ZxW QYu7U9JrQcYNPAZoBf1W4V5hfTpRjanuO2gFlXNF8AuB+cfjkZLOL50YYSf9lF5hfboCWu769jAL mo7Dz6t7rAi4hCYojKalUyXYUH5gQyfGgvNZY336nCInwqKQzkABqtFWcd9TmjqY+I6o7MFWa5Ok OwhXLJlCB2V0HklI8PH8v5BnCpuYBF7ZzlBquursWQb6kNArQR+1X2XK6uXpFYI+FkkcnUuoqlrc 1Iw+t1rzZvQ+ZzZFXzeOLRZrqVtlyosAdeA7gvL0ltgZpSk5qO4BdVxe9BW83xUG0/NX3QMBWLuR 0ArL00U1V6+cVZ+gEwPP262Xb0Li50THNKvyCDpt8Hssn5QMYnlEv57odSmYpljeZh/6Uc0j8GzF 5WmkrDAJ9cFOafj3kTF1PhCPR4dQ/rrHMhrsbV2dmBVKTmfiPJYixIkwzxAf0Ev00tkodkyvkJTY DGOeffDKEHDfA8cMQ6GE/8NoGqQynAKEoOke2+zWrwjx0ZeSekkONbdlSPASokzuSf0mHo/22Ld4 J5o2kHHyCYqCaci7LHiwKxRKYt3JeqN5KJQBRNcEhRIfup02O817PzyOnOnxztriQDl/8hSCp/LP ZPiGcrXbTBJ6tiLExyKrvk/GQt39BoCM7CZ3f4IuPB5Jun9vMrIb603IVhRNL9bvHUF1zumdPaef FlgXEECtfr/CaLoIesZOh+rMOqdP3tTbzAINTWhNNGrCGYbmvC2Y+1YYoqcJvuQviY+RKCM8ZPFH OMFYrEqcNZEaHo8EhH+d00h8+4V3riicLksSuV21RciTeW0Rd7DVFoeLMa2Yl8iqxzK76fkAz04y 22ErKiIHUmF2WskhB0FlUBJOiI859K7RVT9IAtp3MOLmeVNzBm58xeCcvgrLKrOhygQVRZuhEEMN 5uZSYAi2FBEFJjXk8fQJhrb1EVdYoF6EtSZWzjh4Rsbi2TC5KiDy6dFZqGFCOfpBNZLOKVpNLxvo m8oFaNEqiYPOoQ0DaAFdVsXjQbf+igjzlGwskV9UzIoC6gWCfe/mRwaAuHeBWhrJLvuy3RxgxTrU EjvrxQc/iMDU6dA/PW94fhDKYGGKTk40GlIYCOp6PDSGXvbPdv3Yd9AUJVBCzIebj/9rFSmB6z/j Oap3FFFDRnLllqwyVFt2DGxq2Xuthb58uO13VKCWRXlWnyw/fbKMD0qlDrm2nPiaOGIQpAtdgH7I 6TB3UhaodxRRtySH8rZ9UG0RpDtlrWnw8aB01r5v+4KSx9geUe8ooga6aPGcsUbidVCobbgo3Gzb VIR3rPJxnSV+G0ycax4KtlRgO4Fv2o3xIuiykOqeADi1e5dRBn+HMOqWpDVUfLASPvhK/AvPeYHH g7vsqlhIdRr5Ru4eD+0wpEZ1sRST5V6tDk9bM1NBHkSEoWiK4pBa3HH1pOtFDUG2Am52PKprOmfG zuqUdSyI8xXoETsmBloA+I6odkaOfWPLlYPS1iYTXtB5+8bjESnx+y7LhAveLtAOSYmDBmTNBb22 U+6Ap/cJalemYceIj6V0LPngd9mZdBaL5G7beyPyteMU6KDXZxIDrQ3Ey2xHMTVeDrk746Hd3X8J MInKb2znD8HjkfTiNxQfeWst+doFhCBq+JTU2Q0TgzrUj2t1j8uwpygHZygmJTJh3aa2nB7IBxZj RnsBtz3Na0m5Q+5RF0iO+wHA4jXwHRHzd75xFeY5RIcagSeZxeMRqurSx6V6gajqwsx3KJtH2mY2 fz/cx6p3sHo2jM0xkY5qhkLEB++w2mipUNtjqQDXpZOKKbM/h1ARenrRaYac6/0owOIxfEdQG9L5 Q86dBs1QBgxeaVlaeDwCL6avgxqK271e5cUd61ATu+hi5gQDi+4yy1rOmFpuHblD1bwtIXzCzzjc +uuGpjWlYqDQymwDZkmAL9MhGbeJ9aJ717tGtFYeP0vp63LEB6zyFq96NhL3vwvUKp5lmaDaBIVK H0R89OtlW/olTKVsYjEnQB6+gkLEB/cYQUA2eHUxAzHcKAB7Qq0EwRgkbqju1pIAP3SEcGHBEN8R yeET6rHoqJC93414c+xpnLuBx6Pi2S85ptwE67IJCgvUicWzanpVrSYnTO2a3JWjw4mYpMT9D828 TUYZBz+EzhqFIhxXUIVzJAu1CbkOSo3wA7qgqj31rsEhJNvoxtIQB00Q1mhSLLT4eFD5yN+xEKwY e7uYoR2F07DIOCdPti3Wh3veTFUWzMO2GuVuR3iP2cXgYAmtOE8HZxAswYvMkM9/zAqXJoW1K3yS cIB7KMQWx/5b5GNVAmM4OJEDLBpMUCsNj0eScL+vsfPv8pUbyikKp09UiqMnqeoKvaGL9yDLlAIN sMI2ne4UqnzINamxg8HB42lgO0FUROkDDfbMf5JRFhqwoMttX9GzTUkmfEtE3NxkRVcWiaCDnvXn dixTOkafeeQHREe152WUYILXOvQ17jxFUTUqb8g1JV8Bl6lmqI9KFxtUiNLew03aUxxWL+HHpw9e qJ6ptmJ63RA8q/QpT8DJUg2lUAPRbUf9dYOmPe96uqHbwG+BeXdl0bGN1vh8kHr07/OoY9bbl0tQ aPECCUakgtZSZDAmtDk4X95SdMnunGIsNVP8Jhec9rjgUJ99TzIUOxAtdBSCBmz7GSDawyPnQj+2 v+5fchZzdFmZAA/ZuOcQtyxJD43FDwhCo19APdaDcZj5NEUVa/ybNWbuVkybtzVdCVwSGBbwE5um 0CKR1MNNr3YNPk3gvfZB0bMBuTkBhqndPsDCz/vZdERU8Fuikizjx0UCFQclaUho1FrMMEROYdV6 3FoI9hwdPmnuadMUxdiQdXObIEIbcUnybFrsTnGaBvxtbM9FdeuRJGzesg+ep53Y9pzQhYjGBQAo aWYFhe6JAIBKsFYwylQgwrdEThRCyDCl5aBDd8LAWzYC8FlIIQ4kOzifHEeoc85HkzGnsHjNMGkn SVqc8G5MU5A5X63zCsq5SIC0mmKBauWxltWuJ1trfYNQQyWAms69Qq+FCq7fgEhJrtx0ytYYB+Bb /kDtneWndG3fdA0GX4q1e9p8/n2aavpWAMFCYGvGZymUAGnogUyc+ijPJhBPjUwFjphmaUziZbo+ KIoFVFozY6B6YwEYaQ1bTNAd3DT3OEc3kmnInug30NFEC3R+SxAuUQ5tWlff6cfnfjwXqiDSZ7/z +ajK7+GAKrT0/X1OplAH5Jw5Z3MNCQ8hJ/EtNwmK0gHevFOUUxRzj2RxwPDBtxwKL3kTVnQm60w+ q0cZRCV4uP9gZixiolYYvySiT2c1ZG1IVudHNZMiaf08xOf/pa5HakgFM6KnfWcpjLvpTLGbt2Rb vSalydR1QapK1w0vUgOpRMnmJcHz9VgIAbfUYAIsC6FzAQLIdi6jBD1kwI7zY5Ajc+QUq1VT6FNE fA5aSmfKahc8rQ0+H2y4+gt+1fYPOKx3ksJSNnpqhiRGDUn/iWoOEiWqbMsmN8RLYS17MwIZlPvY 40s3f9cTRiZmJ2c+zv/GSUIH4EzS+c9juKI3Ect620hUhhBZeQYm9wzM51StOlPqYFwZm8DcuPKH QmEnI643rAx9FXGlAR+iSiQY4dMrkdk98TLFpM01MASINAGtiXfkYCupZRI/hKBZC4I7FLdaQNCc D/qh+6P5TtJJOgfGimclCEdcKZvCQSkKbEsZKrYEo+3QWXHsb20i6EdQCN8nKYq9T1570Vcs15bk tba53fW2Y+PaJEUgETQEoWPZhg+apLMNgHYsIsKeBZUKa/4blYOKA6pCpsKhfMne9i/Jz7ooCs5B kwQAc1Y5slQ+H+nG/jJ3lQfqM0lh6A3p37NGXaCoJ3XU8syyhUEtAHhI1QJyaK8IVxXQ8/L2wVdS Im8oC2q0YXVfGHaCE7ykjHUJRKXZ20aTRH/FUm1IVp5Gz443ake5JAcGizgTvgF9iPvrl31g4LCI LjaqtnlLj+j8pdZGAriO9QCK7UEqxyYpCrwJycehcwebJPSmKz4C+sPQUs8CJlFWn51aiuhL52r4 20bbLVMzmDQHDkLTwKWTeSPUgfl8sN3WL22HJkLEnaRQbw/WNZsdZJTddjc7KjgxuNQVnI6bb7eQ ziitqyX5i/XIX5y74Gw4gLTQHplolGRy9QBlgSIXBVEtO+lmZfq3REhGB5nDsKW0St5S/kyw6gx9 Fsv8Jg3jUIOx2J2lSMkabXoUTqrX3qpIemgAMIfDUgKZ2ZdSGHVPY+otHzwGgB1GYfSz8H8aXTKB HGnQTWysgvmhZJMUBd1ZBe673xyYBViTrIXOf+LzURvpFz0fsTOA/T5JIakRhxL5Bgy6qxNkyCNQ /A2+1TIYfw69FhFMgGlVug8OG5lYSps17r5XalTKgPrDeYA/aiGJ11h7xV434oKQ6lBICeFgPf8u jREuTj7/L8lv4UlxTebHOTjUClmwz+FNwTy3bmelLU0dsDWDRTEmcIHhIvJc5iR4Vxs8gQPZn0As LKMB3TkZv0JdBJAYqOteFYNqbxsspYJTqfTcfFAroK5FFwl8jp7/l54KL0PgRPeT5QaOi5l6TOeA sBYAlLxckydRZVNI2tyaT1KMHpGwU68+3Mb/nieFU2ZyggIwKLmUJvq1gA2ufYVSd5r2upF4PGom m2QFDRZPVtwR/MKV+fy/UhP+Y8BHen+igBCQff4cmrcITlvVfiPwpSaH02ZpNid9UDRLAkOKCzqv x8d5uoE7nlQygRQOi08nZqIzHGL+C/WDrLG+JMjfBquUiCNs0FLa0NDUFpqNzweZSfmGHBOwkC/G JofWi6iaQWTGsGxV+PUt7wOvKxWw1nQqhd6Li2d2zqX4cJPc3ZFJbhNW2WZwCnJup6fDQ+ETli2H 5otTHZNN2j75f4qTITa9xBjCSgrcF0UIfzKTwsDrokhy6L4IaUrYTpsuMU5AHd0z0RFUrROANGyS QmS2ERxFo5mPkNpIZ+eORf4M8Gwz0TqvM16gOduzkpbMqEP7RdNWqdJ4qu4+lwgsJNI5l8nnI4n0 X5R0pEPryUxiA0Z0T7ITrc/FtIavpGylbwBJimGzc+DAKI3jDupY9sGLSsBKLFRTCv3DcqWMPOtH E79jLuOi/nJN9rpR6Q1Hdy7EkmR4OYqhWEC/ZbX6XKGbHxBElCn/uuDyD9elT1MIJ8GplJI1meai y5/q3dl4tOdYuRD2HNgwfmGSmg82TScZXZCAYL07Qz4MYfdYJzb42dgBJVOAV2ku2Wo59GFM1FJD /KhhWUsNypFDHq+58/mgFnC56UWRKLk3d5ZC65jW2BWwNHdMs28A95s4k0pRte5pbmDFiPeXjMga Pni38nz63JtVJRifJtafoN+D9X5+np3T7XfPZW8bkR4Jrs1iZCFD5U2KborUOn9OMjf5ARGW/ZcY +GYMeismoRsjrS0MIYmWbiruNZhLdoTk1BHFWYqVRGQ22O/gswRW4lCFErS13ih2PdgFw008CG+r j75jDu0YM30YqS1ug4oBqLyQVXXij8bno6WUv4Il3LqtX7fBHBoyQutkMZMyyfTLy2q1e8UESqE+ SbFAnxkNbh8cGbBbykBYkZgFQW12K6GyBq1ZEgFc04iodH5JgJ2oNF7OZD/WbFXQDKfHQYYwIA58 Pgq7fSVpa7GPfsPu0JMR0fI5i2Y1eIng/8hzx7IKAeAlxQuUgSnj5fcN3nBlPDdcL/3s17UkyFs6 gbtnvxX+jgCy0gKkcb/ZJIUAkyZCxLiD8BP7bDOJ9K09+XyU5n7Lh23GHl9hQBR2Yw3BejlZmrt9 klAAcj+rvpunuYEvI+QO5MsoOL9rxkDuAG4dPGVRVjq7QpIZi1ZAmH3mJRZQ7mVvG1xwookiQ7NB aS5QPypUb57cIcpkfEOSKRDY99NcCr0ZQaJ1dAmKbxR3I84kp+VH9xztHkph2C1BzCkCyXwIJCcB gV+1SR5syOoiOUHbA/yNszQLQsqpvnft9rrBWpL3KbJxG7SW5tm5WfD/mvl8VMf9BecCsbI9lvCh QSMMSlbzFA5sEtcR21TdJjK5w7ZRsxQ6NA5StIokejl4cnJ2Vi0QTgFmhtVXtqEYH2XIKcyHcUzs dg4tGlkyKVQStsEQXSdWZL1Pxe7AoxFx9zcpu1HTrpU7SVHcDT8QQN2Kn0oGasciLuaDCq1hjwJq FHcvO5WkczTWvd9Q50/QwZZ3E/i7FIJiqQKcZ2BkLi2729sG0ADKrdFYzQarK51X3JZv6CUjraxf 2qGbVqi3TfmHUSO0aZaV3ACBK94RGNMbcK3N6pMUI7iz/BebD5bBlQ7BYgsCFqkkXail9cOsB4HB RXap5R16NaKr3NRctsG2yB7kep0tlAafjxC432f3OQh+Rr4CCDl2a5TKiKmow8vMMMqwN3NJsYrf SWF3aNdI/MQ5jqiHxcExS+ecGABvs2TSTxRQkqpvaOYSxr2uqa61vAPDRpCSCDOZ6lNO61OWTHoG xWoq11IUdpf9XTJBeFUfccMcOzaSL9HNDX6xgW+EkuWFpQLQrM9SCOVmdT8VStNxsFk6H372rkxI YTAwNn2uKGAz4HucV380j/Ky1426uQwlzWF3OYN0JXDFuInOEcvn/8VjZzcX4i7tOnznwLgRwACI hrOmghRu5j48hRuW18Frc921FOqNaJYMRTHdwv0syQERXQjUAF9cgAvdZCZBy5jlc7jJOvEmdXvd iL3V2A1Y0weDLLXCUwPw2szngyLl4x/L8BGVnFGegykKvEfnlstmKyfMJ6uUMuum6AjYk1Y1Cfwb zwknrFKn1wMHz3TPP87wE8JiOkcWlEeAxQGhZ0DSlRQh6wqsbm/7VxduU5ddg2YJHyZ+Uue5FMK6 y/fpPfjzjGfHxdrYC/pQ2+vdonCRSzqKG8u15TYGObRwVMRNhKQNt5QLikSnC+j5W8ZMS/LhWMU8 TdfKd8elZa8b6bCxlg8mvA06l8bJs9mzBgaYzwc77qo/c+Ehy3ncrHPo4QjksKxSqbHehpFIUSej 1CpmqS/qHXIphZG3Kfyw+c3BAwGKRxTqs3QIrwpkQp7zZBMUm9XLlL3Z6/5hWwSpw+GDraV5doNZ fi8+H1G5vs+lDJUGGoD4NIUVb9BMqINGxFJJ9AED+q01sGQkkp0uSDB0cqS30wmGec9zSCoFbixL KJqgyw1nNSocnzUFsCsEp8u4fLe8KPSTAytHHEw0BAVYwQYtpjTKLuIJII0LvBxVTf0qVIKoMK/K Rg69HMEaVnKuni5Js4oqFY+jp3tSMY8qAzNHqFHIdabfwSAU5/7JqFRhlqDLkwitPjkizC+AAJXL XJfa+rC3jSZpyu4hazB0wOzJYJVCBwRujt9pHCcJZyUwxz5JYck70XVmGWJppuIWRkNAAWRxvTWP KkM7RzXgapbiRn7oArtXgEGV656Au8rWYEFxg4yYBelmJXFD4VLg5+haG23yDG+zOaHixGfy2ESH g88HV1z7FqWv4Fh+VSlDR8fz55y3ZDatVHcXp1SIRYBJWjTg1CSFobcciicNDjh4yRvGlg2G1MUA JzyW1qLUD7KDq+RbsupvoaUjMI9o5bKHMsD4ZE6BBQp17x/xwvkBEV/gF46Sdbx6r7jA1fF8L30v e3VuV/Uq5aD+jBXgunsW5j9sHYXratMHD5fwkwMOzwLcXlRD6sJtVFyjOHWvC023tw0gFInsrs7a UuleWzo7YSze4jUDshT6OuY2viaJRmX7OvXkwNgRstm4jYsdRXO51Sy6XNP0ANLexajcOXZ2nOrl UgYxtSuDCHQA2ydDMMqzAop8VrDNgJhCgucif23b20aB92AsmZsP2m/ALSvwbkDBh9aOX3KjPJQ2 CD23Shl6O0JuF0bvFlHKHJaUikKKgjq6w916cmDuiFSdaC2TVp/1m889xliELJ3tPGZn3xvCzifP xEbOD9BEjuA5tHdE9oSiFNsCrV1xkjZ4vuGgSZXPvx/da/8KKQkR3M9+C+NuiW/0ZH3vMT09WfP6 QNBs1GYpiruHrLEIL9dwIRT5ZAGrUFtiw++JtdwM1WE4bv4A/v3Qc5K97l9nd0ceaIMFSwVNPLtQ +XxUzP0Nx4EQGvh3Pk2h5J+Z9CkTWU1IJU6TeN2UiKbHuaYpQppAyQbnERkDHLwvAGoSraKRaDWq ZajkfSKAM3HoA/7WI8uB0aPpakIvsvqgY6mcwE4q2BPdysDp8RtJSW93tGL2BQl+XkKleb7y/yEB R8XBWCdZFxw3nNuGllLrsuzkJVA6H4MzVbRlBkocbIY6okT0NohaPr95ZwK3qcgKCDilGyXlTwPN z0uYxDdNlLk50UT1wSKAc/OwT9nawtP/NTt8wW3owKJeLg7vQdIyb9RocnCvdZXbhIE3qNJMZGgS 0AVrQzuyg8mRgsv5l8UHL+A2WNARocWCEnS4qNYGbg2WTSZxyGZHwPfX2UFXie3bVO6g2QFoRfFR Qf32dXbkJ67ZESCA0caw2XmJjfgQqolWrOJZPbdn//BOtSLJ2M7reomMtHQYPjZJk7RHmqTCRQ9c ffZvN4T3TZALFxzoaySYGNaNWO6XuIhvKn8MaFT4YJODC0CZ/26fl6CILzhT+pqcSsEN1Pg4OS8h kZYOErV06e6pN1dMyAIBoEnfgP5lSPQSEPFj1GyrgtVy8JNnQfBNhZEKV7vGqiXE+X4YTSLDtMlh +/jzEg7xKwgmKTxVG17pfCJb2+Ns2yGYxNm5n5doSH+oVdhcuYzaYcVm5yUWso2FU4elCFa0i1O5 J72QvFbrZf+XSEiLPgltXLoPHi6eMxg5a6UPE2qP7NeeE6ID9HE+j/7WUyzcrVcNjh2m+A2Zrg1O BwRTGGdJPyH1SxhkT8+vtUOthkmeMi3KgtnBzwZIe7EaLTE91vSfXsk+x47NzksIpHXb2aGtefvg l/vu8DAXwQ0oG1pjQnJdxUqbHSEjEqPplwCIr0pxW9Tnpg+qz0KdSk2fVsvnJfzRMjCujc0OMM2b CoiYnZfgRyd5Qd6au80OK4KWbJTm3X7pQND4IZqdpmmxSer92VkVPl44tk4CMuAySEUk6Nt0+ERm Jh2WtsrUINpa5H5WyUlwcMTfybG5ds4u/ryEPXZqte9zpxFr6rPzEvPoUD7n51kaqztw1NfOOTWX uRdBVtay+peIR4cyl0Imv12Dw0XObT4QUNOkAyqKleRIoKMIJABW/obPlEN6CXj0C0hlIxPRzsFr +1RRZlMjf17CHXtDv7JMaROtgG6z81Jl1M5CT5bIYJ072zU2UPeq3rm+oKOXGqPt6iLI8R1sdhqy U9LWAMxCpwwnFKIF/RYEn138WqMkWzA7oC2TpHMHfgeM29kOgIENnn6fnW6CbEVSUZ1uidOP5Zfq otbrwPT02SyPf47llq/46kJ4IAGJKN7p0hQd3YebxQNNuQnvO1OS6qAuJGARJ6IH3JEAKsOt0bbo pbKon0BN/UrwGgc7liGAubwe9FJXtNv5HsuU+oVaxyaIRry5YH4og0Riggg1qzrJD9BgZ0HgDjSS XxgQqr6Rkw82QRO4wKSK2YkaeyJe6xxV5xoZrKyTk2fqtE2UxGh7qb6x1h1Uey1rUzP2/LcDdNFo f838HRKCUQdVXpuhKGJmw3hVu9iht3dFD+UhQtHD4nJsb9w1zZBiZUH8OdgMAVl0Ah46GZwDDcwQ mmGgdj/ZAsEl63g144lExzNTLXi9+KA1BBM7yvTAEuDzRl2zoPn7fAYsqZficeEbc03XF/jR5hzf SPOffgT16kcQk1IDzwQztHV/bVXM9iNYd/ZbkY4QctIx5Ys+yWai9hvBh5ZTKHOP4ubOVhm0dH3Q CQ1HFQkcN5CN3gPnsxj6+sq5gFYCTNwnKIqcK4sby+CgqK17MXGxHC7+Y3I1tjfSml6ezL6SZS+X H3u5jJJPATwAqXzfVE7tcHs6OwVHQaa0hV9hSS8bpF1krIO77YMl7fDM5gwVwELD4Hn6DLkZBgvu NkPv0bNZX/Vp+M81qiOLALl8tMb2rdxHM8T7azRy+zlY5oVKEA1mhJxFG0CodrA8oWhA21si+XKX Nst7+GwOhXlTfxweIWdSnRqyCCT5GTm1zxtfzUKo/rWG4KX00+hkqymKQmggOYEoc/HVVaqdQ+r1 yi8EuZwy9/cYGic+extKNKS6rUU0MENtSTczzd3oti13rEqHxFJurZWePG9sNW2TxCixMw/jIFTR gE+cyNilfN7Iany8/TqGMoCjo9wo+o2sZudQgoWB2xaVdrkzzddTQZaTrDgWxdHSYzu7JfngJzVk feDywK40XC8JLN70T0P3r36ZOOqgjuLoVlkTS2n7YHF0nU3K7jPvzxtR7Wa4toaY7EN6avS7zaJQ GkHyCdSny/voP7Fx38zj6dz2RJZxDUWxNOIppBgyxKiPbE2ukqflDE2c+aQ8QpYT4QhkPgERZ/lQ wKbPG09NC3XR0gENUxs0Rb3JmwIBxfy80dQsIvlVAMKZ2ZMXgN5YanYHEdhoeqIoFzi0Yc1rZ9Dz 8jp0FE8PCh+dxT988F22cIUtymTjROu5StQGZVXoYVWK682vjsZ7PO3OV3vU6YNqQOUE8NKr6dCr iQLqnfL3OYR9/1TI3ghqdoFuGAclm6Carw8oqgZCW51kM3m7PoyoKVIDpzQf7KQ+JxIDagL3EgJ2 sdR5l0GVGVUC74vNqXcNJmhQG4OxsAYdQye/2Vm9DEAaopBa+FVfQdA3KTiErYQYRdTQYodgilrP Z0M3dwxZy7wvS2aPTjXEMKJWvNjWHfwqg+N01QpCLbol2RblnlXjhNiMC2jR8/uNmqYlUNkLAxfS BkVDqAewfADVyc8bM+0elJoghZbnUKjFj+k3YpqmlbiYlR1FvNwHdOy2HUXccKdpgt4DaqxEKdGz FJ2/WDJwJk50ujjRUAJgDzH3hNbIz0iE683nEMpDLxvcZJN/WKYOGweDe5z91qXv0ObnjZZmN9n6 WkIAjtY9PeV4Y6Vp3QKz36t7qnR6C8oxZOSrAE1xGeyxN1KaJfVqzYtONB/TmQ1rB7DdVC4b1H48 hzpwB2MAfIFbz0Fo9Ht446RZOERKeqp3sHgRoB1dTrV93hhp1gP5nqHCV6rexXgjpGmTTZwD2Q4c ZGdu2DxayjZD9OLWDEURdVI2Vmf1wa96oMTrpJjfAIqBtTJwi9CIwn2f+wOHoaLIGx1NX7IpA9Hp EcZBM5QgVMvEPmU+HpWjbzREDhGq2rPcKYoK0qhyntDEyvXTEPqMF8GHUrm+3Mz+jYxmm5z7iyVD DZ6Vnfv0RD6Fbedz5xerSAOf2TEzuZe7zcgY/LyR0Z7ax3kX+afNm9mnzMSYsnzz80ZFs1Bhfl1l pJ627bWPNyaalc6QajSrIeLWT5aW9ey26EW2MTyIoqo05Zwgu5p88LpryWhCMBo6s76AOiDV+iyd gc/NPKatZo/F/HkjolnYLtMQSfldYkk6P2bZAsTO9XnjodlqqN/bjDihdNfQe0SNg4hVgGkzVMie lBQ9NZY1QxBR1Ay9R9Q4qhlKl2fw2ivoWzhK2UsFWIVmsg1EAmhCQp5zPB2xpZcNyos8iBY2ow2a IRicyPn9RFmfNxKatY2/kw6q3dbl1dc3DprN0KI0tnkXdbcrpEPuTe2XZ2VvFDT9vKTC9Fy6D3bb n5j6JJaNdP1+7uVz3TAcgulnQ0NlXhrDifyG3jWaIHZSFwFnC6LsZtmM2g0B+nAd+Lwx0KwS5okr IXx04niO6qhADXn2cw5ZDwzYNi/fr1VdIQsVDJuh94g60W0CIFdihduDFT6pZAW/ukj24ZzbncWP zdsWOSyaZlY+G+SfvdHPLGBkPIT2qA0WD83ZpSQP+tkb+8xqH+M7YEwkw9x4KIqokZuuPq2WuGqf VmA8OQQdn0gbzg7tfKOeaZNBPX112RJz8K7zgiRJk3H8OZMWC4zwX0fh/lwLY3mJOtPO8/NGPPva YycTuoOusnbSlyka8BqfN96ZZejfp1CDWku69cU32plWEPL6Oqp35fN2T5VN5I0cQ5qzz99YZ19Z a5ucJw4eUe8lBQO25c/pPylksDflZMAyzVeqHzwSfkfUlxe7Y2YfrC9fMjmFP7AF+Lxxzv6jycEt lokx8/riG+VM625R6iE7W7j6RYbqmftcznMl2gT9XaKehdQODjZBe0OUtLDLAfnnKfhQwzwBTk0Z ZnZYwX+xdw0mSGCXvrMP2mIQpZSQ0bkeP298M/2pafwKF9mW8lP6jW6mFYQGa1ZOhv9Ewq2Y+YM1 xyIrWatQv7HNDBNEYAe1MTVogs4Zd8LOsxqJUzwBc53q42PpFkLG23hwikPvGuRkZOSd2eg+KGlt OXs83fmK0SG9v1ZQwWUgDVpOUBRPw0MRpS0dNyu5SQhAzN3EsCA9ucUSfiOa3Tpuh0dX8sEmCEWJ 878SvgBc/wK8BWkbknmExIuK3jqDqILxRjMzEAAxL4XgssLebFdhCHxfhsjnNvi80cys7vGrCY3D Cn+YzVBUoYbOLbA8gpGv8Zg9nJTM5cLYT9ISiirUiVnr0FnEwWYI3ezGNVoWVJFbpqVIJ4AT9//y qgfxvZ83gtlXfZqK4zboCAJ4hKztc8PMzxu/zGLpXwkZiFNE/mt63mNpXAyJSb31gDpRkIK/1LYd VV4923hjl02HxPFgqT54H3FX7HTsJxiiA0vChAQVd7SoIenuGWunCP0bt0wX5VrE25Fkvi7JnGQa XUKj188btczWzy8ADI1k291hUSiNkx1hi/eAsrs6jZWzG6JTqlJndFicpnTK+cbqw4UlIohSKI3q SmEZe2IvnOMZTNrRr/qVUMBvvDKLg6jksBgOVUeHggA6aDd9Jho/4nsojUarz5AkMlDjg+yNTVEU S6Psf35FM0oFn9k848/WKA702CR8c4ri6rTYGwyEqlSAySBBmbEDps4pSme2N6vTkP5rBM2ijmBJ fWcj8Y1UZlUspqpdGSto1Ay4YGG3GznBwPV93khlFiveCv75t2CB9f6EQmEwXcm3cwZ+3qN5Zahf 3nQnyYtTFJanqeLQFjNXDh5M51bRG5Nc0QkdgfcDd+OkFtCp+pm3fg9lHb1rdA6RdlfldolzlrDm DPqQ0PfjxFWfN0LZrc08+wxSWXC29RmKomnKXk26nbF2Vkb2yhBd9FjAh8CXzVBUn57CmG3W8Tl4 Ug+B9m1wofM5dGID23mCuplILE93ERFM9UYm088pyxDIpNpgtbOznuSJcv7szxuXTO9Y8q+bDLt1 egX/jUqmk4h2u+AICAIMESpTK6RmkPDRiz6XQCrG4TS32V7ZB0/q91nJzdYQWyiAXkGmf0Ih/NyM /UHaD4ryvzHJDJGwWZpeS8PUrZTwYyplzXV+3ohk/9Enoy8Ejtcm1RROUYj5GDyJUBMj1JU+Jf+H akSBJF7BZQ/H3yFF/jcemW0Brp7Ztw/esK99l41p4GF9LqzByhCwbWBPKWe1cKjZu/4VDiXqU2jQ WY2glBCKegLzzxuL7BYeNEPqy9L2xo/qNxKZFi4yjtqqeoaLFEaTmW/kTG3iumB+hnjxjUNm55nq r6KS1esbj4yybGpEost39q5cvwupraQH8iAysWI2Et84ZNbHIeajsDDEwWYILo68zebm4xHgtX1F 1Azk0z2H3ghk82I6K6UHIb+X4YwpWuteNKHJJJBRfq/zc6JdxluskhrFwZbQ3piTpuJiPUe11GU7 koQBNl4WYVNKxeQhvPHHdGhW8yxqPjgieKeu+/5E1G/0sf+sUGOGEH+m6lnrG3vMogTUPVozaB6K yxcTPBz1euIXCxnfyGM2QwyFNu3T2r72aYU0tMy6APtDtbKGvyEF2kDxzwjGLW0lIvnzRh5T2irQ Byr/NjgZYcoboZ1Z+7xxx6wF8B0z4i/lJW4zFBWoUaKArJW1Esc29+aTlZU+vJVY3Bj9jTl2WwE4 opnec7Db/my/1HaiGc+59xdsZgRowNmMCuODfN34eT5vvLH5RUioTFs5WJMDd6Xy+j0+b7Qx60R+ Fz5A96xfmPsQ8QG1htz2tsoQaqMmapGsVF0yTyRNUBhUSwZ8Mzfj4Hk9LvqpvB6goY4qFBgvmCCW MsoV/ujT3vX9nO7sQgM/64NWUALUTbXFwsejZrQXPnCJwHWhwWvLJigKqTP5YnSvYdbB21als2FI T1TOunGh3uhi1uJgeVpKBBxubfFcM3DTohJ4LnsIIpuptHtmBLpLfggR3fnGFrO8ioYyqDLboAmC hRYrQ62dvPWNLDZ/w6pI8EBa/2DLWxRQo1a8kCX8uPCXFT5g5rUsrZ+V11vn5/zVaqVzhQ2+xWBw gbuNcIbUa2VDn74ZiLTFtpWHI/Fv+I4AmUcBizkZNHJQZQiC8uyUVqh+tQjv8dBa2FCr7Cjcmz6M pwsA1FTfIUBY/B/UPfKe1+RyNiudtag6ndjZaIVbjIMn9nCnQ4LACRrnt84s34M2XClplNGGMUNr ndJRPL1YE4Iupg9aQgCVMGM4UenA40G3Pn8XF3FxVAQ6Rm0J42kAhFFB/pHg1zQsPlzRl0GoM9WD xG15j6e9Fw09GB/8EIJMyVnLjfX7E74WYhcLsKwVMh+VDiBLtaGud40YhyIoUF2Hg5ZQzXbqlTIq Hg/4G7t/JxwokVCQTRMURdPozq6xfY81umjISEbIBuGD/aLvEeBjVoI7+5g+eOnsXOkFgHBN0EJe xmgJVz/4LdB7s4xsUrqiR+Xpmq/8ybcKSgOcYUuU4eQbPYqmU/2+50F6LH3cFRSXpwuUJIs3EXfJ ntXP5PV7uFTolO5ReVqKDLXpnm8PK/OsErh8KJqGJE2liWED2n9ShBuBpbN6i71sVBsiM3yxvNhA 3GBufILFXLTjTg5Q8Pz7MTRuk0xyszgO8/TKRw/Zh9T81hzB25reGwLmjTUtr89w7SXio0fx9BTU I8lnJ3357FAetWTaXAICIKNHiKL9DDZw+7rIPMnG9iiepmpFgUWjDzqHQARSH/Gce3g8mKJ9zyEW /HlVFK/B9gjxQSb7SkaPmst1vc5fks0qnWIspvjdw4CaN1SdDHU4eC/6pGFwskpyISCigcgz6FDu XaHFcbGLrDD2qEiNkhOK+JVRI1Qd6Jm3EUUwLT8haMHjwV02/CBqWnGoTiXvA/U4oEbwDYy00J15 Or93k9Mj2BAtPHHb95CHKH2YXboPF2Z+Tp+NfkaR5xcF48+ao3vjWZzQrPCTiDT2/h5QS9H8XIuC 43cXpYUEdKXxJBKXisf/tc14VAPrMS9ToYdValTPTqK0pFmVETora527JrOP69lrQz0OqCnKjCTL Bj+JKsmZ0mIawMLQYA4cLHRZC0P3R7xy6mWjXcYm6553UNZ6ckAWbzFDE49HyLPbjCbiAxPdLp6h v4fU6M/RV48VIbTrQfhibQisXMbRtGld5tbYo5B6E1cF/zwfvPQxT+zbhe88MT5UOMhlhdU7TUSA yjHCXfJ3/eM2Q4ss+yBY1VkObeo2yxOPB3l9+4ZQkyGx252gCEKNTgdY24VlIECczZH4XE/d7CzT 2oA1c5NFgA+rLw6WiM7A+pRus03ZjiLTT9xsrHzggqQBG3V//RxCeI0v+QPfCd7E8MHX0BzqBfa2 8Xiwhuavkzqj21Zb9gJjD6NqSI/VYuodJ3Yk8HUTiH9rsKkTRY19FlWplzJ7+ALYcAuM1Gsh3+V8 zNrQLkYNtrPAWKQ5YhXGTpRwj0AfZoWyWMjnYN2gIQ0AMtLxeLSKvk8isuFbuSXGEYXVxJ5VqmkS aL66dYPG4t/JCx+C/ooaR1SmnsQHt81uKgc/q1FEzoMQArhXQHuAZHFYb59L+2cwtDZPnax3jQQH 6PKJi8AGndXwwqhiiuWMx4OwevzOO7jP/KweYVgN8/gun3h0OtiOkVdzHz5Bszj0bIRFagHN97iD o4TPRVV3UqcD8AwJnXRTRjnvjJaDC3kBIocviYiJxHtsoT/gIDysGQRuKJ2aG6Yoxn18Y/Fxf/Q0 7xSFQGrWh7YT7+oyD2typryANrZ7Do2oTA2Lto44M3NgajaQeZwkFWaltEA5U4XzWHr7kq8/O6o/ 9/1Z+APfEQA8JVqBmjqHpu1ythb84xERnf/B4//qdKgG26Et6AW0EeI+cJml7TJnadnOwrZIFjKS Z2+bLIqqF+EutMDqlFv2CUJsh9uNaqcwNppm5QzeDohhoEv4dX/+vwa+4y/2b26iuDYnJo4NphHJ 9ec4w+ORMsM3rmHQVKzcCYpialC7EAP7CmIhnafQlMIQsWe0AeYKimLqSZeK1lwZ5sbUJ6sAzRKZ IpROM+Vt2XDFtloEmDykMiwEfEl0DMmZeZpB83nNzSkaTQfpiR9KxvPBGureC1LvkWQkD6pHFFSD p7XaaKpN44h1Utl2I/lSANJU2jGioHqxS1Yb5Ss4OLJh5Z6KekEnj8UuY5W6Yn0P5ABXTxB1Q71r kLzS9AR9WR90UJ9IUdyOesIGPB5hY77BVaBM1ZQ9dR0hinrgsr9N+2tcdX6Xfe1PM3jlWkMRiroK fVbYmeZgayhVtKE7fXTr7hD/JacMOVhVqbrcXhkBniPU98B0npgHOokarAg7VpaCxXlPPB7EQ2t8 TRA6E2B8+gSFwI9Cw0qDDE20IuyYnqOoVoSKueucjyiknvIw7Uxc6c/BoAArCPfYIjam7oEcjacQ offAZU6uINtj5+PxHX+V8ctW4rqrlfFPWr/rEitxbTwetcp+pWWVFRkPF0cYUk9iy62lcQ7S7Svo XEDNrnrg3G2C4pAap1BNvMdqui5VJ9Y8oW/NpgMPjVRxyqhPC4hkLulK5G4toTCk5uZqUu4E4cVh 5vusU/Zbz7pfeD7I7at3ExmANxpl3wLRCENqMDcNBLspA68EBMJ/4KSz4Tqyk6ZGWKimxVsSrCF9 wRpOMH3mfWEVQjW45wwK49l93JY4lGmcrFL+lopOyExUS3q0OygxQzGfyfk52woe/1f5g6ckGwPr RowzrFQ3ugrlYl371rxrDy8uW0aM8jhFM5bGE2mTTSEOdhCdCdptws0EzkvnREWpF74MhQJ19Yds DoOg0b5jhsAPUROXlCyepKMgFmcp/+SweDyYoXaFGrCIEJjUeftlM4qp4VpI6THO0Jyg2yt3hdPZ zV1xkCB3nSE3kcl9JfFQg3c76AhXqdRwwpZzALDjCqPQH2bK4zEWRMTC7/hDPRA1/OKD7rJ14r5h M1TweHQSeXJfGH2f6aYAvCYoiqghUgFhZacmpmoAtHPXFC/DUo+MJ9GMqYmsv85RffCTCJW4vYdK 1Wi40uJMdvDQ40VYcemtlCGYYam6U8Mrrztol6G2SIrZCbAqHv9fYA3gu/Sn2zGjkBoR0yquM7Tw xQZrKBKhlhDcsrN6xoVqgsyTOorpkRxaFW7XjXKvFdT6arYeaAepJ3z9lsAS4ncEE8QiPhx4fNDR AWkbFq0h/o7H/6VAKcnTBtqd3/YzCqmRNHq2eiZoqo1IJKzK+2IrJD+FQqk8lvBPcjV98Ep+Trij zCy3AyyBPTZx+0GeDJaL9aLxccDjSyIlC+PYbx90DI22s6zNSq94POgoPlkZwWpQwEIly6YorFNv os6MIg17v+F5q3xOcNmcyMrushkBP7q8gyRbVR7ZKvgCwDegDVkI7Y3qKQxzULCF0A7Kjx4Q0W1x RiF1JfAsV3lS1uqCweeKbCzOtzkGHo+qsN/UTdrt4uy2CYqAH4gjJN4i4Efx2sc4OzT9uE0HAyJM UFSnXoJVoRhgg5/TIBPsIb7CSCMZJQgMkIoNBoy5E6S3vWtUYpQmE1nA5AozsQerr1MyCPRBPP6v xF79MvRcL5Z6hrzELGinK33U/ehYdLN7KeeCMNbdDFXzbJOps3hJlWeTgVe56Z6AxB7KllTCB6Ci Uf9/PU5dJP/OqEpdSK6HWogPushOJDEMbb75eDBBV8eCOCykG/OSf2cE/KDT8ZdrUPJW0Ey7u14n m8yaoCikHlQ8a5lZKwfbYrDCSTsT4nlyAtz5cunCOgC6OFNE26rUBJvPKKRuPIXWohnlWp50jATo KHtB50/B4xF26Lttj0S30pBaMxTzElEbKtvJv1eTaaCQprb9WcXFz+lQ6YNUlz7p183BoTE9QypO argn+QAD+GKHTqD3M+oFwY5l7/oXrqGhzWWDoc/WrPRxglcHHv9XtEiIJykdV6ZhReF0picHvTAI xpcRB/utcxaH5yWn3a0I+CFF3Jaf4VI6BjwnGU7XPkotTDUSetgVwsroX/7S4l5hhToLEtOzD1pB 6Oo2CbnWiscDXuL8tYI295nvsRVF0/jlURkyLP6QcieDRUGHKeoOGVBNUBRNy4H6BOB3sD12jmmW ZU0YLkEGFnuMlxEEUAENdOgQFjq+I6qdZRHrRbMv9YcxaabFFRPRvmvB88EeK5etYLIgWMOeta4o nkatAlIfBtDrq3eHfuxr8Qa0g01RCP0QMq8wa+2K5Bq7HCe1OHklG64NGnGDV17mrEAMhWelyS9i F+E7ggr11j4iSpiDSh+9JmrbIfoceDwKp7+xMbD9+eK2riicpn9pFbGMvIXtajGjZy/AssunCQpx 1EPYGIoLcvjiTW2qsJ0JKgtmUxT1wv6q25vRJp1H+NmKK9Skbg754A53BRiIR4eB7ioej06h/RUK ZchRUNLYZiiKp9HUANijGOyDvW+6cACPYMcQK/s8p1fITFSjtRBHzcF7iXRdo0cL4mn4gCueRmYG /RzcpXaR8e/Ed0StROtEFx/scE3WNTs58cTj/4qF8BhbesDV2wRF0TROqnOrJLMry2mYt8QsLIEx FqK0kyYo1PngRfYiODRhndPl8X5u+Z4EHeIRPWmgw1K1eU0SgLYi2AeBMWDFbB8UTi+IaRLUcIIS PB7APi6BHG+Higeo/z5DIY6atDK67P0fsXoXXDVUtqZVWU1mK7HCcFqGSbXcwWtnKEbXKVwMWmGZ FiWjCcl4Dn78KFYZ4rfjS4IZ6tLJlTN35bnLsgyoD3R3T6nh8Yhi7xXY4uCqBn9Mm6IooMZWAugp qbzY2szWsedJ6OXFafizFSOpqclEszINfg5NJgdZQh8bHiWcoopSEvDXg8Jwgn3MoXf9KxqCHKUP WkOJ8ZcqQ3zF9122LgyWgt5IX3byTtkKqYkNd4l8kqDzwf0svaFiZu+AVnUzB15hjXpJmHNvH2yC zqpMmyAmqOnsCvsHOiifH32gJYTVas3WQqd2fEkEpSYAttbpgypDZ/plpX2C3YrHo4b9vcpYaKvE uN8pCkvUsAIC6MDusuIAPVYT7LKHf4ZNURhRb2qYT3ZblQVrihKKrCdr4UF0fuS5mZQ18O4HgCUZ bukeEI2hl/2LNXVyje6DjmqqxTCc2ZmPB7f9/tXoWPiNpuccOwqpB2773L14dkI4R8Km4YwXKFRa xLhDbqL53bPrysHvsgLhVBB0WV5M56/MZEkjcEQdHZeae5SyQr2jkFrkTR5hNmgN0QNFsl6DjwfF s/YdUkOSpY6btu73kNr0KbsLCULK1quLVdE1peHg7C4981DqQ+Z+sgzgcDtBYGciDAXdPtXWWPio kKca0OLK6ZolwoRCLxvV8Mlr3Yb9KA7Qg5BeUq9sDzwe3GVXqIHLDagqdGxthkIwNaQsIKestNXU q2hTQiwOzyG0KmyG4ohavbI9ffDaGYzi0Qli7ewcRCgdILHnlY20dY2LikEPEt8R1c6YjmHV26C0 FWeSCtSlbzweMRN/IatQq4Dgo01QyExcQHYWI7qc3TGKH9TZHQPY77AJCjEfFi/KIKk8BknnpIEg +pYSQYdNMiYIVLyzvXAzQrvB20C8ynYUUm/2o9OQhdRwCcPzl1fJVbZzKePxSH/xai0PS1xrufXX HSKpZU/eTVCnsUGn0gdbFV7DN/e/HSOpVTZT/joutKoyJ6DgDW2ScId1NcqwdNCW2w8KFksZ3/GX 3AcYsj7YGspVCpUwHMLjAaRh/xLFpzn11azaUUgNUa/Vq3sBdba+Jfch/QbKfeR7DMWYDxaFAB6z weuvEDadpDYuiE3UTEWQBD8HSKX8wFXawfjIcvAdkd0NTyGroTUHUtPMU+TWEwPi8UgXzmtDeBD/ G9N1m6AI85EqyFK7mhUpwjDjvEAb1iaoqL2ICYowH0NMlqQ6dXrovw3n8Ak4mba2VGajQ/JiAwa9 1UkbaTWje9e7RtxWmrkAL2SDJqjAibz+WCNxxwXqb5QwXFPqvPH0DuPpgqyVVvRkTXUnBQHIa25S aSPK1gTFmA+q5FTWqXu9fI4KGAikmFQbQgWElVqpM+DnGNNBwoW+u/iOIFokZLWCo2aD8vqzoZPM tmBnu2Ml6vSVtYLeRmCXTVAUTyMY+SLetVV8iyVxpslMzHQg7fyc6AySWBVveg62gs6qqFezKhWk XZwgaC2U3ukKdGFVe+pdI9+bocNn+WCooQTStbIsPh4UPq7SB3v1uCbaJXPsUIkajKDeLHA+h/Wa fgYlr0+jeeNbLAJ8TB4+LUlYJ60bTcPW9/wtKp1lFKZzlSwcXnYC2/5EQmxx7FDoo8g1mv3WfQXx 1yAYABPUSsPj/8pZKWOBf5cvED+nEO+RQFVwjZhF6WHHe2T5/sHcpVplKKdY6qOyMD+GDxYLnUAT KccmnQNdjsVwusxzDQ240uW2r/DZpiwTviVgbxa2EPHWtG3LKqWehZmyVJrwa/MDIojn913GEHdf CnBOoXweFlKiGef/JdrYFgN91Lbd9Y9KPzZNYVBNuY+02U3k4L0g2NjVRTv7kU4q0whqyFDNoiJK oaG8e49me90/VM3PGqB9Gwd+S4FXpzxeTjLC54PEo/+SNT/rmO56PkvvcbW0G+GukB3mWcx6dDKH so7i7G49mkIwtfzJMnFWHC5nATeVmMAAaHco1YCliMMagA0G8A79kPdoirEfbORUFosWSNZMk/Na qCEbaWzxA4LAqHxLx9A/ZaRnz0X16pEH1eG6l9Kql9LMW4kMqr5Nfyin0CeRbNdc+h28loYNgWIa q42o2hGHhkbKST0gBr6fPbfKtteNCrJUiSv9DoZvoJbqD60lKp+PSOX9KzracofLd5ZCceqMc76Z kOdqkkThyTSSLyZoyfhiiorWI8mEnIqMHDxLw+MpMSci74WGJxBz2CBQNQLHvVqUKUKEbwk6H1T1 RJPYBx256+xdomLrhtNCCmEgeX5FABmYyPmoMtKx5H2aWgYwtqp3f9YrIgnS8XIyxZ2zgdgm1WKK JapZ1KdfiQa/42A7CGkOLKYKyVtaK53DF4sJlajKJqxyNUYB+JYgnaUC1Uktlw+aprOxaxKFLG0+ /z5NNf3uoaGIU8uz58JgG150G1xS1mZz7slWU992lp+XwWQStZdTFG3PodojRb+Ev7M9B2fbOen8 cmLuCnecH1Zs0Rmnr8m+vWrMnb4lKGCrHqICNgcr8SNN0Bfuzuf/RQ9Wx4TYyucAD7VAgHhoCFRA V0Q0sG80IP87JLWgOtiei0Ju8ygtChfL9XpD37tBCpWi+ZWug7jmzk+4cM2tH8yMBUywGtDbBknJ Ju8VMmQcllX5IU5H2P4JExqfD2KBkb+O74rzrV+ovjQB38uWiLrTsBJt7/UaUZXkAnszu19gTpEg SDEEqLyEdOMIrI8FUtBLon4cmFMCzgAvTSn5/CjsySA5xQ4wzP0h3WCDsRcrxSFEQufzwYYr3xJ7 qAKOWZ+VFGvsUae6WwWp9OqGb73d5JaWYzZJsQmMzNr3HTy7nWlO3ABmUHF2N2GyKC4Q2D+Ga3oD CGdvG5SQCnuOTdre7YpZJsiJMTkZjCpDG5juK4n/GPFSugCsHDorgvsCPILqkDg7vIZkFlXUPadk uHwDQ3hIVwIn2sd4aB8QBXHj0gqMWiUUkhbNVErY87GepJt0DqwVjUtd6A1ng4GJ4ejENlCC2Xbo rTh+KYNAQqKzo2eTFIXeWC6o+7h7KeXrOEk7XRQWyj4+SRFEJJlg7J4+aJIgzZrJriHgugEywlyG tALoAQLmdIF8yd42AtEQHCI8cXc8Mexytmq3AMLx+SDyLr/kUybVxZ9JCiNvqBaM1rWzlswfqFFU pCeLSsCJa5sqATk0WFxVqt3tDl4KgMrVSUE2kVipTClcZwTd4Onjn18CUWn2tlHfSALfVEoDb9SK 0x0u4dLFr4PPB7nu/nbBxRvUVp/tFlW1wc2EeaZ21jmdjDgEoh5V9IvowtknKYy72d4fiyuJg203 BBjn6qWsJenD06q70GUZ2ybJpK7Yws6ByaKMNoEcJruBg6A0WOtFgL5c+fy/2vwsPzXRIe4khZJ7 S54wEt052yoPK7rtVG0lnUjm2iwGPovJpHha79MHm6QyQD9LUnM6gW4jE+KES4giAWCgJKolJ93M TEORkMn27KYdQ1l721KCYa0osQlmnaHTYpnfsD4AAcu+DaQcOC2aGWWTpRAqb8P0mQHhRbFGnrib 11vXB/1Ft/pvwt6J78ZZZo3l/3o+f2B6wGgEZA0nN8k8dijZJEVBN8I69tO2D1pKwN1xh6MSyucj md1fZouggO75LKWQ1Ig8t/FfEQ+BhoVFk9NoRdCScoh6Dt0WRR1Oey4fvLY05wlGKwG0cErCAYJo EplvZ0sHhevL2vPXjSIlMa1S9cEa/qjCc3X0svn8/3J0NxaE8+MdHMXcifJyTpKhaI6jRhjtEFgz ujMbc+C4mN1fuUu2qD+yRR1snwUWAxraDVZS1LRGV4+qMSBvXxWDam/7B0m/ELZog7WS+s5mB2cv GWH5/ehm7Am4IEhBPklRzA1DGkCohrEddCpNmQ84gC2jQ2+TFGKx2SwB49yHm76duYEXHNO3s1TZ kZu8WAYYfVAmuPCjNO11/5CPR9rdfLCudhkSe0D/nc9Hyt836ObrIeDu18Mih86LjdVcTRMEMXoz nN+YCqDI3huu/Z1j60Xi2KopywoRpNTkvNrohQxHusEVl5CX9i6Y8LfptpK9bQTqZ3kSpiM2KFZK ubWsPTQbn49Sk+8NB3Be/yp5h+6LoGmcI3VbldIgNpvNGmOoIwzIXvIO7RcXZ4f9dBsc7LdxE+Up glqdnf9kUtMIPUyAtTx/E5Ith/6LU9JF1ZzfWeUXDOnMMv/ugqUUGDAiybytN8ZFwDCXp+IdWjCi 4YLz1MSJO1weeHhPq51UUrD28lmKkdlTBMc7XFTt2Qsrk+eIPnGREawCSzBG27OUlgypQw/GLFkV +VZxsF9/Q5OVS6lMPh8Rin+3uAEJf3KT2IVxQb20Nh1GMiC1pZRNZxZAkub7LbBhBKRTle7/su2u s1ICgLbd6ZxKxWqUOBChLp6hXm+Yv1yTve5fxTeAxTnAdYtr4vwXOPSwmPba/IAo8PZoqanifX6p fRFJOfBiRHoCPT4hACaRyP1WvHeyeaKPvM1TBCgZNFwmAd8GP75HTx2OkowEINVAdjpkD342j6tM GV5luqSr5diNUXa50Nzh0I3ScG45Jslnz+XO5/8ptFIVjWI53VAg8GNE/4SNgWKIAIrJmAcRnTll 89VdJjQHhoyP1GxJPvieQ1V4AaoJzEQ7uZBib/mfnQWxc7od77nsbSPQBPcc6wHgBZyfRPSzs2Al Pwfpa35A1Bj4lltBLFDbE3uHnoz4NzBDs4JAL7f+lkdxrQwYV/ss/UNNpEggq6QbMJ21dHaaSe+f GKdutk+Ag6JZOanQZhcnmcccmjKahUx9Bl1yNdu1euKXxucj0sgve1h4HfSLDMihLSNuU/zCBk8a zIplXJmKV01q39snKZTpE/xGyH8OHgmAwo3gGEvpRERpEw2XKUWJog3yL9M1Yt+dXxKgJyiV0SSx dq2w4cFVmjzjet18Pgi9f/ntFPVgnv0Whd6Qb4NPkAoCk5bUBjCRpZUAJs0ruYE1Y3Ir78pOE4cL wVlQgsikZxVAMbHYAA1EsRmQehqBkAiUbZJCiMlURiEn5uVOzGMvVE5YENiTz/8LkUx5cCB6UnpW Uih/TXXnMq1q0ilDKJAJpU0EMoGxq1LdwJ0RfThWAvIz2CStjaLwEMnv/BrI55HoQV6qIqZkbmIx 5V72tsEVxxx31pJ9UKq7IMvOdbB5dIc4k/kdCFAlsLMlYbMURd4Qx0DffnoBzssmE0q6F2ky734L I28qZpCtZIMfSqtBsHcwi8PcN0JNzgGO5g4gk8BXomKIeKl2e90gqkwECKRUfNBamr3KnLZBJzyH Lo2/HcAYrAG/YrMU2jRCRB3SNK413/Kt5RLkSWjyScP86A59God5wquW256uwGazRAosZ3mftGJI XxSFNyClKM/gje9ub/tH2QTHUfHBMF1rraxJQsE7cGpE6P29lui+gsjAJ+k98i7/D4toon5lp1Ly 1gnc4Q20lMEM90l6j7zPB0keopXtg9eW0LEdskNts0OisQu1BDXD8+8glHOJ2d3eNkIHbM5OKT5Y bQkBhmUcesl/6WVJbYOGqOVOUgjhbqgtFXcGkWijVhJmxroCXyspxHBTQjRnEbfyNdvLiNg4U4y8 zwdsymMWtPvOpqaJU7/YLrW963vknSiRj2xWuvzNAS1nkw2pPUNAgM9HCNNv5wLUw0a+hoQ59Gzs gJgWKulzvyUrAmT9Gc4mcfpxjk0byYmERZcPNkt5g2+z6MkOcu3YVoGDCQ+lvuCzZbGSdb0D20Zz Qc/TpDJzs4AsQ3bK5Pu4lqK4u/5y/sRhWx+Bwxz7Nk4ElMO0+uAb6Dz/rA4TCRNSo036oL+KS3lr lvbXLJHVVOgeewLKUdGR69IuGNBdyFT4MghFXva6f9UpKSVmg7WYSkvc48Dk8vl/cUgZUUK2pD2o pcC+Eafh+ceTpyByOGAfbw5nanXlRJkuc5hD/8aueomKIRz8WOowwIXUJ5CC53rLpNk2xH2T1eGC ioAxb1K31/3jhsOVW3ww1JJQvmQiZz4fFCrzPbzlvHLe6rGyzqGJI8IYqFkaob04VokFfP2X8AkY JjuSAxdHnHBFJcrsgxe9KcEK5Vnowo1dGqtLJy2kZTws5q4jD21Y+CVRJ27SEoyt3aSqG2YJzGSr efNcCoHd+fuKozjUGs+OCwWyK9PbmrzmXYxuO/paVg8o7PnaWgqpkmICbsaUKstqLWVSJ7ocTHZF BY6oU0BpJtExa+W749Ky140kIStBy9Ab02Dn0vkC6pjW86Pw+WDH7W+WEn4adnB8lkKgCUwxKJRC pfUGaXpCuwA0QSDJzgB/XC2lMPKWjvhgM5LDTXTRuqo6vU8UsCZlkCrymUnpN9QHvFLZm71uJHvI 0Huu5oOtpZNByeTzZIh8Pogpr6gf2wAZdm4N6H+fp1CFZEHfB/RIopbA0zYIXFvM3VBd2gC+2jxF sfeQrp9cQVO+9uiQ+alzIJsGgDnpSOqAcJ5vxt1cxmW8gcVmrxudTKjCJQprabA+0wbSDgskIY8L LB1RUP3uxlF+cV6hjRx7Op4Y7+RUbVhYqZY3G7uDsSYau5WTw4gpMHWERCCVjpGl22AVgTnxS8tj BcjcaTqt6ClRWUpuc12a68PeNkh2F/u6mToJJVP3g9JGWfqNBhEIXB1FefqmTtLc9RZ0Q1dHWL8g QTHYEph8VoEb3hKHI1/12Du0dVRtqUGixga/5OBoMwQROIk/DNvY1aws44KYiPNTWdxQvBT4Oso1 5xxIiTYQIzk3cGzI7zESqEPPB3fcL0HoClrQozSaQ2dH9FuXaGTKdQ0KB5j39jLlLvmupJg/2YQN 2D542eQkuueATkTk1AS6LB2xOltwKFFeOd+Sk79thBLc6udKOgoiWkXFpTEptABLksUP+KfGH+t1 PN/aM01R8A19F6jvWTW3XpzgybKni9hBfMWnKfZ3FLpL0zSeadpw50mNdcqKM6lRXCEt8PZRC0Wn 5trRdHvbiCNIgqmAFBzU2F1jUkLx3HEALoUGj7/FxelYBiyPT1Isnn3OojGvri/J/IwEEpWFJQnA YJiRQGzxaB0UaSd4yxXNuJLSmoMQOEhDL/yl8FvJjJDyz8b+MKW/tu1tg0CA2iQo5fmgDXfu4EZk 5Vm3lc9HPgeexvEuQwbX6rOSotAb/GSqgymmzARtSJ2E2oRq69YbUwYuj5gk5W+C5fYLyz3hxEpn Q3divHFKAVCO/u75YQbt5fMDN5EzeA59HgFDOzdZozoAB4Ocngyaf+NZXpXP/6uai/llVzA9YUDg 9Gi0ikZJHTa/JZxNkfFWfZZgWO2zFBMqqX1MLIkGP5YWgiW0UGFnAT1nqtxUlL4QHpxPe1yLJ/Xa cmD2mBUGSJzEBguXIHkmscyq5yPk0q9jiWYddHL3eQp1/xrt+pIJI7bZvBm3Vvd5GvkSKwLHRyxL QbtkFN4vY+DkgNCaRfuMxFP4sAxVvaH5h/ZNeqZJJJ3A8hFdJkkjcstx0LlEyCcj54mWZeD5CFTO 72wXYejFL39eg6VsfC/E90pQTl5icwQ1fgfl1NWsYfkaKmVoR2KjnV9m+GAzBA5hx74T22t3oeXI SjwZPSSJmyv6LxrzvgZKGdKRLOEOCiRy0Pyk1KdaJ23h6ZfZySh9qI5bpeNy/uo26HhAFFA0OZAe 7Twr/49Q+Gld7wnguuG6Foo/OrODyZEeGWsWNjhAsOxzbRbylkGvTOAcgbcM2CgmJReEcjY7wr+/ zs4JIykYuYcMQ8djGHpOO4EoC0q4r7ODelKx2REqgPHGtNl5jY5yZkqKEpnrjq7uUKVz+BSrk7CO wtl5jY2yB5BDzcnxNCfbueXB62aVpC3Sc4jVATOmUORs1wt5I6T7NTLKZlgMNnf1QZNzAqNeRInY 7fMaFuUHzq3JqbQJRZGdk/MaE2HpnGV9VrmDudMe3gAo9HRjbXsQs4KY6DUiyjjqzaln+uBLJ5+p bU2X/UChrTVpshqFEZQ9m5zOk+c1HspmlFFoS4IBXAzr5Z9NJqzEOS0/r+EQ/lBzUq23IwnrQZud 12AIDwFVKgNT6ZJQgIOBdbmyxxXiADJSDZaOCQLYNTYu4Q2cISLdWGBr54IkMx5AQOA4AA6n0/UU FZdp7GsklCWY1rhUfLDyWuKvh3bHCapf4yA8XebX7KBZDNy9zc5rFITdCFGSc+wUK9NSR876/smL 2dRBlEdoMDtTYXQS8DZdMGlBcjxnoZTmScT22uh5n2hR5YBpsyNwRGI4/RoBZfS5iImYZfqgEu1s wAcz9Kvl8xr/8PL5XjsNBJ5NFUR6KrzOzjnJqeYrxCh9jLbvrDnbXTvrOoiEs2MU9//P2HdlR7ID x/73WnjegTfa/8IeIiIT1ZQqOVcfwuiKxS6iYdKEyT5c1DZEP0pV1bEDkoWdNekjApeyDMUxT1xl bRBtrcyWEZHMGgz3J2ujRAXuz2vck5+4p6qYhrB0Zl87rzFPtjSD5RrhR12dHgKInmacGJgqbWft vEY8PJSXjtrmg6+dXs6SgBwj5DP7iXQRG51jlIRblP/yY5M+KYn0GvBkE0o488zmIwcr7/dMk27U xPLnNdzhG+bvUxn58mKuitl5LTRiZ6GM1ZufOwDmeYufpVFh/Ua2ZtprmTFfwX71+Tl4mRGYPjO4 PvMNo9JKOjK47XA3KDR7MxBboyxbNDtsERHYagM/AxUiJpHwscHT77PTt+8sltM7k5ztx/JrfTEL XHiOm+RqSN1s0fFFDnPAKjt1g2W9Vhfx4UM8v5Z88DT+RKWNGUBZ5xAa4Fcg+Tqr6GegdFSIoTLs Gt2LXmuL+ArI+Af8wAcdy6jpijCLitBrZZGX1vo+eGBjswmjYTQYxco0mWvTGSNN+KLOfKI596hd HZt3Elt2ybpGp0YNdvSs0eHnpOWTTpSxdG0BDIXT7WyudJv6lCr+vJPYsmxR0DZmIs9BxVf8VpV3 zkH3eeewYXvdw4ePgRMN/TyboShgBjhkLtoqged3bkg7m88dVl1vLPfbrP5HxNzSHWyGzmQ1XOON VCyAsjvTd7TTJ4Dj+FMvYs3YItHprIMn0RCUg5YQ+t8U5Tv7jo9HMXP7WkNYAL0UDwvf+Wu4vQBX M5ARTqDc7wmUt8WFuIGcCRlFzduIkMpMx3yOoI2MBF52FOsHCZnCFhBDaKhJE35oKYUy9yhs7qTS rpnvoAN64QNZKWugHL3HzVLe/Dqh0bujOZkmKAqc8bqQ+knWyZ9XbmzX5rqQWAaOdwjO6K6S/Fp3 uMCZNWYhUW3Bi3ivzPt9U/wDDTPqW/gNlvSy75sMrQZIse3ig+Xs51YgVAfmh5935loWLdZmiIou KAAJGMopeo+ehZxafZm66BrKthgfXjYt4kMnir6Hz9lkoBf4oDbYLtsdtR7AcWgR1lOS/TVp2cCG 0v6WYL7cpdDyHj4nOaQXStY0KC+fZ7XLzi2SmHuNk+R93mlrDKG+FxGlqRuBFpqiKIRG6x24Ok+/ KM7IVUQDLDcNcT/Qd9Ya8y+CQhv7Zf3CWc5RnQYlxxgllnG+jiX4FaTyYb5Lz0IrttKZ5520xkOT iKIlfNG6pLUBhXIuolHK552zlh9ZP5+h8/Wcn/co+p2zxoMI7ygFcbTv1Y6mHn3Nbl4E4rnNUBRH S02jN15mHLxJdo7UORMR2KgursUdmVEcYp2sfnk56qSO4mgWWM/L9Dtom52cd3Se1CfZ+7zz1bIc aZ+7DH9dwxVlExRF0sBdn/nf3rpXTM3WPUHf0vhZ21xD3tlqmKBJrGwSkC/lKzcCZ+uzXmircq4u SvAx0QDTc1NHo7v6Af5qvez7DA3e9jnLeCa78QyuxCoRjBMjfd7JajzH0q/b/typPXkw/c5V4xJC FCT/C9ZXr/HM2m48g/aoa0VF4fRoFOrfjBf7vvEifFROuFIoUFthyANEFCPuBr46LTmva4g6Gu/h tNxvMTNp+KASECy22LM8cWr+vPPU8KfeTcaWGuTRIQJrExTF04CU421tgqQLyglqj+XuptQOJygM qKdqYxRn42Alsg46yLQq0EbFRn0P4LvP6YH0+FGGnFPvGk0QwqA+eFBz0CkEr8llhgbr805Rwx6r 7fdV1tCw96vsnaLGhAzCEI6TPXewG1t3RPI2Q5WWuawhhiG10vhCSigHP6fPGZFbIkwWrmeU6QUC NDWdQhTXMxktWn+/E9SwBri5qiyMOFi+ei4CyaefDfB556dloUaeJcRaZq0eDr2z07IL1UgRu1Np zGWy4Y9rkL2GkqYm6D2ilncvWWnLBzuFQNBMY3CPzQSrd1xkE3qIPyPhnZGE+CmUh142KtFToKbL N6Q7TxB30pQ01Fmrn3du2v+5yZDlUpfHZigqQ2MnnatlGhV0JJ8hYKPWBTWCfYdN9s5Ms1Id0rGS ffCIOuPvlmrGOP+zKUIC3nSGdTKWQHkY6vR8eCemeTgEd7nsgwWMcDTgLjur/fNOS2NIvb/PacKZ qucc76Q0bDJoZtS6XWpdXVV57tbr24w8VDMUhdSJ9fcGjIkNNxhKYKVn5q3nVk7Y/uDunWUJhCy0 qh9ADIVF3ilpnthDEmj7oBnK6LRxDaXMx6PM3mcID6Lv1FBltBmKImrUuXUmSK9/uQz0WU3Zq/Vl e2b/Tke7mX01fxUaJPwYzqNALpkcIlSOV5ehATmjmJjcy91ldKz8vNPRvBFWYCHrg8GqTpwokN5J +z7vZLQsTQ/NEOVssSB78nDxnYuGNSTGnnPR2rgzBPsBS8vG8HDxnYqW3XqmyZRZEYPWUAWwB/rY Kkqf4Nf41ucGGzCcICzAS/bIfT7vVDRG7dR/3mw8c9D9A/xXU7lwrs87Ey3L5/CZoQKuQE23G/Ye UGda9Z6/yKXEyzQzYtBilieuA4UYzdB7QI2sm2Uhaa60R3MFoFycqXUI6Qmcls6hs0cI8sh0lPWG 2NLLvp9DS+3CnYYPmqGJoJT/XGl/3mloPHO9vEgdaNTP6r5rKIyoK07qanj886+dn9Tey4vYGzZD 7xF1Jj/sTMRmcfr5eicEH84uBiIf4e659htFSMEqxSIvP/MSGTLUxfkZwQRNYhcRn5wBxtJm3NxR zKXeylmln3cOWpYM33OXdZpxsBvPGQrr0/SgWsl9QyQrxvoZ+F6uk3XDofeIGjoizFdNUXxdm7kG BzVUWrvCoTN5EjdqVMc6d6j4sVUH9dK7BgGjlLIRa9lg4dAm4TqJgPbOP8tCGD0NeXw5bAHYBEUR Nbqv53DOdgzVPbw61Gby8lm/Wuvv5DOWPvBnT/rJaPAlhGYYIFkE5FWAYATtpPk3PImXV6gzg9XP O/XMLpUGWePig26yE6hX8tAaLMLemWdZGrPfzbHtWGq2naOAGpAkSDLmi6CygHqmMt0TA7wxraB3 3llOxl0YUu3hYBMEnd65ILTIlGNApPiHzitU8DpHUb5q/bgO+BlBW55K2bvn5oO15SvKBAyGRv68 s86sSPwEQx2pSbt1j3fSGVvP5UqJN3JgbvEsdSdVw4PaJigsUZO+ePLC4YNNENomrUoeswDRUViq pUNbRu8Z8E82WMGAKXrXPybo/D+oBZ1cw+XEjedblpR4P/HaO+MsC+P7nNKFGJx5JyiKpxvtZGXu BDQeQQfi5vNEIHx6XkuMd74ZIUHEdVRWF0e91UVQqxt0WniPDTReZCtSTkiEOPgHePyLUxx6179S MnCmfVDSCmKtukDnOvu8k83y4xmiMwh5dQF50CYorFBvamEMQwXDAv1HklhQnLEJGjSuAE/4nWrG rJ6HzyLEg4NNUG8rFfgIUi8EWb4ADjCaqBDQB7vUzyAqYbwTzZhv0H4PsRQGROFdhaGS5CMPJN3n nWjGusf+OoTQuauID2yGonB646Jv3eQvzy1DFDDB5ZU+ItSfe8AvUYEax3Bfq9LwgYPNUN1gOUhR BeJz52szhbrzIWjvLq96AAjKN4160NSdtU5Hd9HQMZxrey6c+XlnmDGWvtXpy50Cn9Xm5z2Yxs0A lbA9Xdihjgt/mRd8D7i5zU9UnUYmgL3FJpDRvlU4OzNUaSMH7P3J7MqUtzyJeQBxPj6OnUr07/Qy 3JSbEiFVAWN1Q+IBsRfZ6pwP+7yzy7AUyneXTG6y7U5QFEt3CarZtKDG6JHibS5C1MFRie/UMgCE VL7fslTZt3CGUKNB/IrCsyjRDQJcYSx4zmf0Pke/IliCAb9Ty6yZ3KA4X3xQhwOM4iQeFL7E91ha ofh3XegcCrXdQyiKpYGUnqsbl/z8aywrnE06uLHBkeCQrRmKq9MECUnhqS1+vVPYRHy/EsOGXnjv 0MCZQLIhaTz/mc0c5fS02fy808ouxAz7iwOYNzyFYCAkWgFA2p93WhlDzfmV1C/Ib4CZa1MUBdOt sX9oUc8qZTvbVRm2MaerL6KwPM2a2Rh0MuDgsSIEHleTRj96HcTgrUR9Oghhz1u/z9C55mdE57SI d7STHdxzk4uowNqPbbITn3zeKWVMWb/RMDTMpCqwZiiKprGwgVOxYLHzAlVhSNts0EDNOQnvdDK8 vXob5NRp8KRe4CGeOmcaGym/8HE8Lwh/xx850FhhSFdZVKCGcRZEisQGLs4GBpytqQJ//uzPO5nM 3vH7JsNSnJ5vvHPJeNdDSVXCFoSdEUMF3aslcAzg0U3iYAAqhuE073ryqWywpB59w9ayUlYobLML cs7pRTcMFIYepP2gNP87lYyIBGZkXcYDPXeDZJ6UOvNuOCHo/LwzyfKj7WyNROgBN2lecYpCzEen igOl1NFqJW75/7EagYJdwWV/dgZqCVhE70QyXsXiSKldxhNFU7Qh2tWL6h4D4uD0ukQI8zOACWDO auFQK3rXP7ZZQd7rg45qiDpNoYb6+LzTyKzw8NVIpG7as4bCgBo46ToNWA/TrasOKvfdLVKLKfK/ k8jYBeVRLX+w9uUPBivCzPyi0Fc8E/QApcqTjZFLy4PIJIvZSHwnkeWrWIxEzgbN0AJokB4Yc/Px qOzxC1iFQD7dc+idQOaQzlppIZcQW6O8JP5vpUhxxnWvLlDn7/krae0p3cEBDRkSGTvL2aGcMJFN DmKqBknLYmxKr5g0hHf+GKtYhNhTj1eDA4IrK6FIXcrnnT5GYPh3RE1YcbptoHf2GIKEBf5BX146 29P1LTLF7QUJJnuU51BYoGbWOsST5nChecAizaT4MJcs9fCMolVD4T9T511pK4Hbn3fyGOH2TFuR w9tgef3eUhhstbXPO3eMHYDv0tlCu/6Jqd+pY0AiZxQiiXJGQHQ2hMsR5GHtRSA+UIzgMRTG1In6 X6gU2uC3PWh+mcLJ5zQ6wemWbSibeIChtQf4yn7d5503xrxepujmeNkvleVMbJLj7h6fd9oY++6/ 2BooiX9B7mPAx1lC5/9hzehMhwTJWsCBTXoNiAFsgkLABxFVQIv74Hn9yew7fVIgd40OB+16KiLi CiAs7wDtsc7K0DtljH2UIU/07oNWUFrnshdEofDxqBk9vs5pTGmD35ZNUBRSQzsbcMzsyLPVvNWq FJ+tVgTemqAwpOYem+JpcrAV1KAfsyfv+nY+bSGyQm1xkbxKNs09hIjufCeLWVoFHQvttDYczgAE Euvh58Pm550rliVu/OQcvexvaHkLA2oUPvo2aabzBZvlJSi3OAYlkDaqufC1GD4tQr3i6nJ70SeO QoujEXcG3h49zJGeATaI8hDZtvJxRJmRnxHc9Jm6X5kdaQ6qDMErfcuFr2Y8HkSLo3xNUDV1DZ+g MJ4GIOacDTZBlHRT3aNk93GEoYTl9S2qTifLVxkRcfB4utILYpIufg4gck5xSuNcpqhRhmSieVrr lI7iaTKFTpLHpIyDltCJ3wS4Bb4NjwfN+l/xdKMW4vJTukfxNFRxV8pbvQzIW6vkigYHMbHSZnDo Yn+Pp70VvRIdZTjYEoJ5NX3zKIu24WohSAgaHMgIKzsdS7WhrncN+qwiilciqDloCVVwa9V/HxWP B/SNfak/WkMd9bPL/YnCabTH2Uy3TSa9dPrJ7LG9w7GqdTh6BPgYFIxZReDO8pRfAYzPBcgpnEIN EvW86hICbPBbNgzwlJJNilf0qD5dS5IK8faBM9RmRWuDf/RJOHoUTqfqwaISXFhtDu+R9TCcrhAg LCbqsUYeF++hXj1JCgJVJf6e6B4Ts401Rg62yc4JeoLomcTKPNtq0o+YMqmgB/1kMuqN1YuABh8S NclIG6PCyxmICWW0eFJtqgKdP7sVPB9wD+cv3BlkmHued45C9mFFylGWgTuT8ENkiJdZLLEHdkCI jx4F1AiNOz2HffD62clcIPJO1BBqBAVdhnOCU0QesNq+LjRPyrE9CqjN/2OxkchBBxE0ZIVHmGXi 8eCoviVqBt84iMoNqHvEQASxcuKE5mTMtbvBXzv8QL1+VlwbvYcBNY+XLmur/lhbtfNbCqjzopG1 keQdD7od0uoKMY6LXWSBsUdF6sUwiCq6GM4pIP9uBA6Mhvo58fF4dJd952Ro8pKgbRMUxdOsDWVo JArcOVLzRqL+qUZit6y1hyxEBdKDQREHBw21NfeiHjwue9lWnAlKdJM75zvtSu0cQjsPnxFc9kzJ BppFNqhPds7SUkXaxUkdxdNjfqOqsBzrvE2OHtaoMUEE8FCMCYUoE2NaLCDLthFYEpaGehhPs3p/ tlD3wS/7ARXAxtjj3AigRpMtBUOZSUtRVMyueuXUy0Z7TMUz0cOL08N3BxNRDOgx8fh/oXJAYaW1 i2bo7wE1OCqL6hRVlaGKcJeVIRQ+2F8lX2pbZahHeA9gyHGFk1bGwZLWeaJoFG/MGe0kaLSPwzEE WoHwX8a2ox0FPiO6ygRj0J1ffJmemGpXcV1qnng8yup/cV0QKkJF0yYoAlBjK/shtEGXauZJfK56 ++c5ozsr1J2/58/qYi2y/xbfn0sIMkDnJKqCDJXaJp0HsP8nSB3U/fVTCME1PuRP2Nkk/JWDLyHh Mc6R3zYeD5bQTD/f1UUUSOg7pimKQ2o4VwxTODlhD9FDNB6o2fUpzi7JtsuiEvWiUHxPs/rghQ94 D+ymYwi8qU6JWIBHUV0s0hux8iIhrfiQQISBEh6pi6fQnaeAZCUPoaqwy0JWYr2lITLwE511Lq5q REH1LNxd3W77s+O89AEZF7vtz5VtIeOIitRzWYdj++BH9cl/S4Oc3okPO4JHKqMVzgx0hwcDa/PV yXrXqBXEvKyVO+ionudEI5LxnGcZjwdB9fhVPUvcZ37ZjyimJhuot2QT1OnmQ5GTtLILwZjFR+Lv iUrUQ2y77YMvotRgRSe9nFogt0XppS5lHYSMgO6Yjhcqt/iQv0jj+IVSqlw/Q62gjC8RCwNECDwf 9aR/SQ7gXdO8UxSiqM88rOIKzL6aCMRfjhGGFo31E0dUpIZOJjQolX0MQgwG0g7KSTRZfZ6pg+iw diRZpSga9ee6Pwt/4DMCeCdkFAolQDiYhDl1NlkV7+d/8Pi/6ECsRYI02qcDq0aI+jh/ugtSQdqD AtAS9FjG5iwEWdkmi0Jqg8WMYk3F4hNUeIMhPMdBNCclu1D8QM6IVjcEcP22P3fbwGf8xf0tnWQX Doqo9/n1jFBRvcTj0TnkE4TJRIRT14XFjCii7j3TiCH5Csp+Ck3iwBQwYhNqBUUR9aT0wMhMzzhY RI0tcdIMQvPY/unkDSU04ljCPHfCwyhb9rLRMcRGEHom8EFFB2BzilBBY1UcquF4PlpDfpsVw0Cg /uKEoBEF1WjMQhvfOGU9mQYs2L+P1XdbJnQ6oqB66RrLLOP3fMv46KGcJbV0m53jCtbTJAShPkxp qasnmDcJ9iMqUk92E1MTxbVtx3fWuaZVNM5lNiIQNbb3E1SjwVnTLVKPKKjGOjufkLotomFMO7St SKNqXETTqvgjAlGXrQoaZQI5eCeopNSa3D2xnpLARcJ9wWClMkdXq6zbu0atskYSPWQXNVgN9mTN PIbOnA08HrU5vmuwrAyVdCcoKlLjN5893Bz3Qa8/ntNLpPIugVXfZVGRelLLbNQidB6ZEZmCXb31 SmouJ2hMyp+sAjPECtbS5AqyTXZ+PT4jCodkdiJgTHFgzAluzccDOFQ8HlE5viNGBH5Y0z5BcUxN 8ZxudKBeRve8NbVy73ovD42/Y+qmjn17OvY1gR4NwzDDNCyKpEz4MUiyM4PEYAf17vayQUzdKCCI qhcGnOo6OjaUbjdP373wfJDa11/hUKaN2L3KwpgafKBeKUNFhyFe8JQ1Yy+U7dZ5feFGWKamlFuh wbEGz8uAWkxg/J+7cbeGCi0y18la26AIRPJC/paETshLpLgifShsME7Z7nIuP+lTweP/LH7gh4Fg bRC30RTNsE4NMkcdy5TfKtil1rOv+4bU1GLFFM1YF0/iZqYk7BLIE+yWdMLXQuVAMOvXUjfxzEYD 3YVcDsOf0b5jhrAPpa7oQ9qgrAOa3YyvYYeLx4MZ6t7qwNJDH6BiFdgERSE1xIxQ8RWoYS7a4zJ1 nSmZNF6mpAVT1xmWqQlt7SUPH7zCOCaRK9z1fZyUnloxdFTr8O8Yj7XgRFKIz4gyV0q9shekQTfZ AlO0aYIKHv/XOcS4ABCt0fygnlFADezOuabnsnOIAGhhq3q74pPDqRwzpiVSvquLe+cgeVz1Z/2c pInETRgunrx/UuPsbDtw+EkRvdRWShDMuEzNCj64VjZokwGQRIADqtV4/F9yOmwnQhEA9XmboSii zkw5WusO8tzbMA1ge9td3wBs1AyFRWqVFhcFvbo3snCVQeVj44IHl2MCGklMgwWMiGivajDOBH5G MEGy7pTO2Xads7NAzzIdOoVSxuP/Up9s2v5QGvHy0IwianyhDvU4E7TyTTnAR3GqwnZb4RnK5JEU 3is5Lxx8j+G4YWgnACO0t3CV8cZe9KFA3GZQfHRc8CGRioUpEDQfDBYjkX3swF7xeNBOvEkZyxwQ DWi0d9AUhVVq3PapdOeP7+ZJR5LMIu6allmExRRFqI++pMyd72BX2aKeF440VKlHojvtOYYgRNLh 5gA0tYdDdFucUUBdOwmbBGdqMPBZo0c4cvUx8HhUQPuG56Gn0ubFCc8I9YHjapWSXaRz7GtIOaw9 BBfOanf9jKrUXBlgy2QfbicIu6CxNnSuffn+YpOpGYwkbl9yNJUWZxRQS4npSVvdjfKczksOsBkX WSzz8V3GZ5cMHGWboJCUOCliYcmqnbBqlaWcLCVr0CrRKRQq5qnAKI1SDjZB52Gg2OZ0lPCkxZBY PTii23qMusj8nVGRupBYv+W0KGtoXmRtp6Ki79p8/F/AKkUFGTf9naAI9SF+vafwi+1HMw3KwwlT gCXYBEUB9WBej8q2Dw5fBGSlLnISGzIPssfPxksSdoEkd79FaiLNZxRQN55C1Ce0wYBDu01p6ZzF i8cj4NA35w5wulrqPYRCUiKu+lS38tNFDVU7p/s2Paazioupls9Q5mMnIoba8OEGixsaBGheAENd 6uYqw38mX/xn1IuApSw4PuOvKj66zz4Y9GzriyUTD48HweJv9CLpHFeiYUXRNJrxrsYEJH6ew8kK 3UwWQa/3pHVFqA8VzTpV8TT4HjtrEU7XRFad6DnlJot4MEphUIyg75cO9wpRH6RKtUX7dw5aQSjs cgWdSLTi8YiU6NVX9fmxz4rf9CssUKN21hhJUMNiuTHXiS+apxut3wkKo2kZKGzp5u3H6DWdrL0A aUah8rMVdFydS/v8mdQn3feUXljo+Iyo7CHTsq5Y8dzRrJkCbnRuS5I5di14PsKeza98g3CcR0pn hWLTlYizsRz1UYajPnr2YHGNZvoDK0J9DG2uJIxeYrrdWF2sg2ZczDdQy+sC5+VJnxrwsueVXgQZ Cp8R1KdZMqNjrA2qe5wdPQSLAfF3xdH09yEENvuJZD2aXlE03SkUkx5Z3O7oxZkuerFA+EUTFGKo xRkvjIQ4eDR9LsGTBquXKKs6mggBXlm3d6JNNo/QsxXXpwk922KD7eycskz/ZxZ7dsXj/wLnceHx sF8Xe7Zi0ekCoIcpnk3CwXnT04HVTqEM4wYe0+tvVuKJmosPFzh0gnHwETFDEK/d7ALBNPGnQzoH eYPdY9R0xmcEAFh2f2pmp6NecNK50QiRwsXYJx6PQqFv1h2+oZ4uamhFwTSU6U/g5m2y3JoZ300c fh4KIQ7VBIUaH1N01T598GC6nT23O2VrTgB0bv1B1BCExCbdc/D1u9MksWcrwnwsepbPWZIPiqY3 cDdcQ+fsxOMB5mN/FxcnYvJ6M7IVYqgrNZjczg2OvhYszrScDkREmmYojKabel/sknHwGYIpOv3i KJqHWjKrHtJoBGoXlBGrC+2R9bLBDNE+cQ2aTK7BJcHaYoaXDs7pE3fh8WCGLqVMqjuIVpPXhVYU ThdauYnFil7HYCa/CaJeXlscV2tohSBq8uqp62qDbbKTFKIJxPr0OFc+lbrPDLHRQWwUNeGE+ZhD 7xrFQrjjgWDwwdr1qcnB4uTGfMX3TbZ+ce4gwtb2LeCvKJxGfXruYQUOSIGbMiUarcZKzDQDlwR1 XJ/m9YQWvA1eFyK9EVBkyFVBEo76poiGfqhZBYSUdVoL3IL5ssFBzXC67nkH1YVSl2Qj6OgVj0fd er/qxfoguv0uobg8jQu+mTDuqn27XFWfybkuPbsAwYplqDk1zU6jeQW9VjrZTAXdDXnl2nPwGOrI NQadq0GX9mhoDL1sFA1NGUvfQQd1gv49j5GznPB4cNfv8pWSgQE1noN6R/E0cCbmha4u2b4iH/v6 uOKDddfvWIiam2y37IPjGU5OeU4JClGfG2cBVYdNNklOhKBwuk0gmUvvKJ5unJm5WcbnoCVUOkhZ PFkGH4+4Lt+brIDyMa4O9X6Pp4E8Y+XsohmKVVxRosmugrKSb7Idi3xoCTFn5XCbQOD9AqMBEeET XJtqHqJ10PEAcGr3JqMM/g5R1PJHbC37YMcQ5L+FGtoDjwfn9MOw18yCCjA8J9shjJp0oFosaaWr uZLWTE8x4RlAndIUhTBqiZkvkjk42BrqCSJVEoUDseNcalkAWCQOZ2khFnZIDJYFPuOvylmjELUG Ja0n1aMSGMghG49HpMTvpJW3M43jNEEhKRHoRclEEb0oZyQe1LubVwBK7Ebm2CHggzPTVcfn4AH1 hgcwRLCoVpUS2Pcwj8JNBvWPjIDMe0C8ynYUUW8x7AfXkMhD+rNmlY4FeOp4PFJe/CZzIGutdCDW DMUYagqMDrcmn/WqNOgYkovLMEjMDjHUpPyS92ODN+sXCkJDsKqTaYJZidoiS1cUWNkPABYAbnxG JPQhQmsZPtgSKisLwHryGzz+T6EP/OwATf9qDe1QNO8cMKvTzEJaQ8O4HDOLPU6dDxBfNEEh3IPH 0JCW+Xi0zOuCwVytSXsM2peyLwdHiz5l6Kk4Cj/buwbBEIm/232kXOdjEseonCwtPB5cZPk74yDN vz4TFME9znf7Y004HUJjG9llyRQRE0R0mCYo1MyTv+ZQtDieaPHkqAWscJXvT3jY2NZPsLWv6KsS fWyN6N71rhGrlSWznO5gEwQ34dtF3HF1+jvhgAE1WkY+QaHMR4Y+roodEPxYrhQDYJgLU0Jn2yYo hHtM1Tuoh8LBS4srJ+gbkA3UAEgiCFRgJuBRUPg1KyBa7uIzIriHxJieQVn9AM2LpQw42e5Qg/pS XXgiQxH+8eTYUTgNdBy2WDW6VB5uWUKvDHN0YXcJfegdhtNLalXEmHO42NcJ1cWmLQbQNDOTigZM gYpnTvMiqvbUu/5R9shz0bqEgwGG4Nm8lGTx8UjB4jsUAtCut8vj2FE0DeG4BT9wbTGgU32Chq+g sov7KewI7DHV31hKWdd6ErLWEoh37G+kCoVBCcKx90IVlCcSYn9jhxIfLLrCddoH43E0LE1M0FlY ePxfgnBFpYATCF1LoJyiaLqxA5StwsEC+8V6dNdnaAuBDFW6UxhOd1Xlyd4sj9pQQSHtLBjENwsb bA4JNED8BDrOP7ntK3lGsBo/JeBtFvYPl3D4OBCyLu2TDVPw+Ac+BfwFEbzzO+egJzGpNjZNoXAe 3G+QOXFyIJU0DfHRcne7vwywhE1TGFRP4YVIXeVg01Rrh44ppQUHu4WAOZ4fOCfvBC2ooJZ6XUez vW4EaUDMmMu+gyibHfZM7EefVcXn/5V4cJam2er5LIX+LhD7KC07wrM8DrbJStYQHZpuGZlCILV0 JxfBVRxuiegkHI77wNpCIAJ64vl+Bjr3GR1Yx33IdDSFwA/B8TN1hzYQ+IRPZMjQVMZFWKz8BUFg dGnAvAKpVZMeJ6WwWo0y0aR1KwtpeRsQ9hy0RjRDmajfaQoNEsklL+oqlq+u4ky9dbiPUpMaHOnG PYcTYWA6z/lw9xz2lD7lj3IsNDyTDwZu2ObqVjPskFNYse7zazFtCfTkO0tRgI3O0Qlnmi2mBjV0 O5mWbzng7u6Wi0rWgMrDSKltH2yWIAcL9XFW0zBd9ACEBOEEeaqxbufFokz5ITJr3z+FMMYN7wcb dOSuAlEozNKGx0IKMSBXlUB1/fOFzfZYKqWwbr1RUmOzF1g0yGgYE49agMKiFcgiVS2mWJxa0st1 +eCpGrgdCXu7sHd08vJC+W5grYCtynxrS9UYBRBz8h7TEa9XBllCHDRNJzFt6n70tPn8+zTVm84K mbW/jQTkbPF6MlHjfGZDfcJ33BbT2HnaLJ2tYGSznKJge3IVVUXSHLwosickizddN+tZmZlUzgpD uVVoaLJvnxotbH1KECzRW3ujGmaDttzao4iTeT6Lz0cF/u/yNRDjqBbcWQpFQBJDAGQaYHXSOcK2 XNnO6qSZpm25sIDNlOTc99UH33KTzFeqxk1oxQ2Z4G3aWp+5xcxYvMTMiB/yB3UaRS1ZazO65d+F yo7pKjc+H7Uavz0pwC5qkA3zSQohIWP9SIZLkJDRrDRy3r84BX8Cx2NGeJESSOW51Gu+wwPTB6+c zsh9049zEzRTmdieMyo/0noyRk6xUDVPbTAfbNBKgtkX2RvnjBl8Pthv9buKjdWPG/hO0j+Yi80k m4oM8CjkMLrnthmJoE1SVMcGuAPyuERgVefu4lQ6gUZqc2slJfBhJyUawRvsYOIPF/OG9JG9baSk S/vfToE0Dpok+GKyo3pSxMnn/0WqYqaHcAl9Upuk0FIRjbd5LmITPJ/S3BGraniRjYmyGQaG0BCG S6PlO9z8DeAuh4actZopZlAIlj+/6GfPx3KSLtI58FSUTTZibiKtORiQGCxqtjgSTLZDU8VxXTkx o8TNpyvInENXxUYcqBsjL0jk2CRt6noIgUU1DU1SBA9BmxuzQ/YQB01ST+fURgFyC2y9odeMxYbT DxIqEJp+QHzJ3vYP/mKGk6UPmiQwtVmwP8u28vkg8P5VSeJKytd9IcfGitCQG/TjpeYwFbkpTlRU w4U4Ecs/LATk0FkR7q2U654+OP+sN3jGs23U4Nsu9RlKw8ONEjHDpQ6VZm8btY0I4ZPs5xaggaWA cxRxs0COhc8Hqe41E5LwML6aL3PFwF0ROnLT2AymULS84DZl2UVl5kVl5q5fFMnLUFeGVjgabJbO P7M6arCmgbo0ZdI6aqFFunPtilyxgZ0De0Xfb6PuOwhIgwZyEt4xVz7/ryY/pUEaa3TPUgrF9iah xNmWUmomCZb7nuy5UaRI+S/3W0hlzBK6koh+efBq58QAWi2TZMWuEVcb0Ce4hUmSuhyibjamoTzI FL+q25BtLa2OojnXEmw6Q4vFMn9pF6BCDLd6n6VIxBoGm6s1AziunuwQB82UYNFCgSQ2/bt+0Z/l /12XDw4trtDLr7IyLecQkMvX+UeTFwJqYH4q2SRFQTfuPyS60sG4upYnzwFYkKujVz4f9ZC+BcEA fsMqv5MUmsIUBJGEzhMO0bbrxwJ4r6C7TCI7GU6GNosgPpEMXH3wPJd80c36JOrDKJUhg+PhVCu/ hCv+SbYgPyWSsmaMBMMQG7zfX6XcgvIen4/O7u8ooFG/4Am6A6tF0CNAPCoGejS2nWZpVhd5AIDE qgGB12L+H9lVkElig/cBoF+6O5cSmL0TpHaqWZ8ofTBUWo+Cgb/tXwT9XssdrJV05l9qM9Ne8l9i KgRyIVveV806B26LMkyZU26LOJ/qNewsqThDP1OkQZMUl7qJy9qj+OCVJfx070sWTCdHyYTWdHST Ja2/9lVJpS0EPyXSjRdJXwHluAElyFpqSp8/iM8Hqcn4DgMA8qlsaNksxQYxuNZI4JAWxlW5BOjG kLQgV/os/W1iDiKjD352D7iYSwf0nDrgqBGixT7vFH/59txWsrf9Uym1lO6DYqXUSL8htK3x+SA1 Kd9HN185X9mZHLouUrqoCoOEeIB/nURV9uU81FbdlzK0XVxDfTdJEo8vSeLBJEKSxCgFDArPrFIk ugaoludvgrHl0HeRfqgAQ4gIOk3WOieWZPB3F6ykwHgRXPDvzltRi/zeb6HzIgpfALqqNAICnxXf Zq40MGdnaToFNIfWi6OLpS/Uen6igDNBHcaCk5M0oc4j+hXmCq3Q9qykJSPq0HrRKrhQJ7VBXz40 6iX5kMvk8/9kEnNzIiGi8aPNUqgbcr68lZ3ceE6l7vyiUbMKcoCRDKNb58B+UZ4YOI44Sxz86EZX YNKB/qSE566kAPbsyCwmJi+jE2qIP/qG81OCiDJLIj2REUoyPUNlih4T3g+xAP6CIKT8FQcge+8A tPg0hWAS2OellaZNEzuw5gTbt03TwAa2aQrh2eM6cdvgh/c5o2DKwThgw2eFyFHAPX826m4lU35X iS6Zajk2YaQoKLzRNUzDhcDBkKfK2Redz0c8mm8B3swAZDyLKfSNOSEs7Mnc2JzUcFkP7eJ4ibaa CRnlwIcRhXMao2Q1dfMj/9AB0R46vCHRNytx7oAJIEaaPzun2+6ey942mCSRQQttvjbVbFm3nqgI 84I6kdnkL/gXjj1ZIFC/3N9DK0aVA/a10WOxQ1rg25VoiErzWYphJewK7FJ8uAfThs8QRTIggg0w IdkiRKqdq3gQ3FYfccccejEaWQ37xgZdcedgKiwdA0fH56Ol9Jv2eE5FyDn5JEWBN6B5EFlO3vY2 Q+o8qLZg5GKoctkkhfJ8hPmPScQ2By9RwvSgg45ONWfg/lkQh25CbRSgrS5otNe0tw2gE3I7bVRM 56BJgncxlwe8rfj8v+Junfq6MO8kRcVuQKXn2iQ/oIXS+nJ0SW7lokuKF5YCR0YXyxhJk5SeSeri qXfZ6Z3EUHqZacBwCSXKRf8PcoCyTVKIL2GJEsU8H3S47F1FBTuB5eTz/0IjkxQBOE96TM5DT0YQ QuCAkyzPXfUBUNw8d0o4o+sX/eUj04Vh6+u6WVXUoTdXKFbSOT0I+j8DUnZIGjIxsYhyL3vb4IKj PQpAYj4oz13ArBoeufP54Ez6FSxRHrDvpyUQGjNCiPTssry9/Jbc0qrU4dXuXdygIAfOjG5IVKvi gPrEAefXnL0LL3LwvBLuNEBuJ8U/GZGhL4R6IcKl2u11g7W0eLNRjU6D1tI8t0+TtFzNfD4IA9Zv F4dNtWyfpdCdUVxa8j0oeSB6KBsn2YSzUMndfirF9oxE3Q7p8I18dUUq6gpwhuWpNBE2iioKnzh4 S/+Qruld725vGxzdRHJBRsoHm6RM4JOXuwODRgTevyTCO13Pbzs3dGhEMqx0SqdSXdPLb/2eSqD0 +iRFgfeSKVzpwwefpHOjQV2AUmuQdG7MhZmuVczUfBwI1+j2tgE0gNyIPnR03/utn/XQ1NhvWS/5 L2Qp6yubPqi3kBu7NNbM9WP3Wy+m/giZI4ufCuUvfJL+xm/XLB5JvjwSuhrJ7gssCdybUxLPC2Qt wIDaddMtST3v0KhxZhmeU2CEg6Un53qQJchJpPl8MEv72/Li5Mc/I1+J3lxDmAkCStRobL/JApU8 gFQ8CqAKm8LuGobdmqVKJdoq+1bOUu4Qg2qEKM8Euaylmgna88BSniP0Oupaz7tGYXemPC/lg20w RP+YW/2lyrUUhd1lfysggMlSAbbyWQrDblABkHd6O3cY4eZkunbZFWrF+yzFYtjkScx8B5ulVNOZ qk2UKSybdyd+ohMAx7b16o/cUV72un8hu9JiZYmDNZig0C4aTZt8/r9w2JH4NsgG+CxFYTe9bWez osmkXOj/hiydvDHdWYq0Rga7cE3HUvs+lhLE16BnWSD2ci4xYHFBkceOoxwLCgJGu0ndXje44bba Aa35YJClNvQ3nmnPfD6oUv5it9HZ8jGwzqFzIwQt4TbQrf5GpV2Rt8pyGnJm/MKqSWDdCAtI1QNa 8cFLSwgrIZ3JOGA0gmA7Lm4axc8fysZYV2B1e9uoK7CoVtPvoEmq0J6XEQ+PpRDU/Ys3MfjtXLur HJg3Is9FsLS7a44I4cWiSTZSMkCCzdFvsXsjGyKwgvfBiyblXAMFknQo5XYQLjO1w9HawgRBSu1u uLTsdSMlSB5LmRc4BzuWTpyhNtzZvnw+2HDT295sw0FcKF2r7xz6NwKbiCR9sNa2YJfjrmAgB2Z1 BXB121IKA28WvFuTs1y7znInDoC9ayLKZPZJuhKPJdSFWqP67VOm7M1eN9hwqUpbPftgMBMY2DFa 2mvx+SCkvBLifI6WEcB2+DSFFe/zg1DuTUIslTrcXq4n+agA14W3s2mKI296yUh2Nu/sLd0M7BZM rbHjUD1p9EQ/qwKqFfRxHpfsllnc56dElFKybZEv2aDFdM67RmsmwMj4fBAJjG+QIMKeOi/RJIdG jie8QNhgtionixpuzQMWuvV0G+G4jJcCJ0cIUVBAYhNDwcEb34gLQNVm43vATY3MOKgtFiryVkdS 5kFuew6cHNW4hpbfnBqGsrHZy8nisOMEDwisHL93nErek53CO0khxDszPTGhValhmG/zLM4V6DSm 1yRFEO/VJXLMGeDgOw4c3klNtxO9nu02uTelBwmyIfDslsMNRUuBmSO2NSmlgCnboD5cApBF7jND zwc3XPuuB4CHVx990RzaOVZWLWCkbpluHl4PUPOEmS65+pqkOPIuggVMH2ySzql6ImEhvDt8OIvU bCr6roCH1CviW7LKb6GfY1UtaCv+hrQLA8kMFeLO3QLSGH9B0If7dSyBldW+SBWBpSPsoXBkJ5Nm OZFMqs4NJN5Z9bc0736LC95cQ3XdweE45yBi/MSlBCKcmYXRNgR9hv5UTRQsBZ6OEnZvSAS2D1pK kOGWyGgGZik0dfxf6DfgwPcTeAeujjBUGwZXVks3m80BnIKGMd1zXhTcSPpFf1Eq6mZBl4MzT/qG Vb3k6xZEnhZJuIRfYVFQPd8E/tq2t/0r7oZqvw8qB5x5phPcT20AwYe+jr/Fagu069qDowyNHSt5 uLNK+3CRzCdGRVUI3gVZ8vstcHb0ju7ZXdkHxy2nnObcbAqcuyQlnvEn7i5MMycEyC7QRGbgOfR2 bCiaZCIQbVAYcDJCelb9YKXy+aCUe0NKPDmIEbyE9xy4O2YCwlbbVk9ajT8vZfF0HZ9oZ2mzFMbd RJj8Xz73yTwHdLNZpZyACRNIeTJdaEKD/l7S41Q8KdOWA4NHk0iCtkLxwQLveZLEaV8Ln48wS98F b1p0AGp2pylU+0tIcN0D60wYAwKq/ckwlKqs0C60aYqQJnUKaGqIypTusXT+drBBpvQQz5RxvSUy bVDthnb/LymyHLg8youUpe476FiiKTLD5olmZWDz+L+hlChstX3l7D6voVIx/Y08p3LahXKNb7i+ mmUnjbKqOJVeA6UCxBJrk5tsAQ42Q2cjZDZySWBCW4ngt7KovI76Lo4QyfjzIv+8hknlCrhkCoxz sAjgLNMlC8OFp19mpwB1pNlppk5yftcg24RhRzQ56HdDyprz4GqIm3zTnAzQtYi74pEdTE4nLeAk H8uHOznjRNBDxmoZEtSgUq/Ev5HW2gV1ZpsdId9fZweCizQ3oMSbBp3XHaovrJMUlG9fZ0eBg2ZH jPfiMm2YndfYqGQqP0EzwXTslDMJo0T8GHMRsIs0O6+RUXF7gwmrNBsMp3wihgzx+6Q6EgxCZYSA mglTHCRMhnUjmPs1Lio4QHjVF7G53YM0U0h5iQyx2+c1KMLUWqGtSRuJUePyyXkNibh06PBqBAnI a7mcb01OVc5zFIuuXwOi82sGNVX/b2zdErCisPig/BjlSzF/kD0WdxG/2iaHLref13CoSEkV8Ih+ URIENgD6zQ61MDyf12gIf2jvNjvejSzyJ8bsvMZCPHUwJ0SVmR5J9rC6tRswonIs79Rg6ZjPXK3N B+9EnssEJX+A285Jc/4DyI4gLBWEwLDoYftIHFwmsa+BEI6dzJg6sbPNwUprfSU1j/oJqV/DoCLY wLOxIMPSACLS7LwGQdiN4Ch3ai5R/jAtF7Q5kbyLsK5aTUniNQQqj1S2lDbWo7QxKyxmNrVIkBh0 tIKhtk74G44Czo6AEYnR9GsAdF51NRG3RvNB5dkJ2WyGL62Wz2v4g2VQ5/ehjNwOwATNzmvwU+SG vGh3r7VDqqAlG7v62qnT/Z2i2RFQa83iw001IKtFVNE5dEAjYYB4YroC8Bk1AG7rccjPINpaAo+i 1GGDI/4mRZqpu/15DXt4arWvnQVAu/k24yNfYx4cyuin7WwYUfgxWCoGUuz0LAMsBq6d14gHhzLl /IDu9+GunbMgOi9uaCUMSbFNctnOZXbeOD/O6Lw5P68BT1HdAgo/lD3koNlByZipJlQVP6/hThEQ /tlZaCugE2Cz81plLIJQmnGnNFrS9nOHlU7B/Labp73WGLmrVVykSgIHb+4vQl6Tzh2I2DBsbsj3 J6oIAA9c+FrTqwazQ2JtW7S55MDPQM+BNDN41+Dp4M7q42vt9Eo3Uj+VX4uLRQVuAKi6p/HFFWyI LTezXfQipB4RhTsSfX5xeTi/53wG81P6YwAgf9IUqK4ObPxC+JSh1mhY9FpYxDewWH/d4w52KqdK FzyVg17Liryz5tfsIDFv+97o7/S1IvcK6OzK2xskBVMfwbsvw4awcmocvzAcZCmoyh+lXi/ihjJN oSYLlCMqVCgINkbrdeDKpMqJy9I2kf2j5TPYoibnSIMqrxWZLjWiygBZNNxd4zsgRF9YyEcxnYIZ AhrqrFsjY80xHaw2UitX7nDVS/CLZkgcmixWVn5YWTDby5Vo/XMEwUe8awUlWBUh38UV62A1Y4lE hzP9G1uhfm9zz+xzheRETbSzsCofj0Jmj3sI4gdOkfoPNkXvQTPyEFRde5+O52se+SS6bOoEYtdV 0JlginZTLipnufYlNNbWAtE0KyWdoNIoMEROgcr0zjelUOIehc2dYqJ7Cm3saDiY1zSq5cMOEkC1 KPYx6kMT2AHtzUaqkVor0RFdqfHTfILSdp2xLTU/Yh1W9VpiFDl3akV0BdAc/AZLcGiecto9u2wi NewIWBA5A/1KYQu/wZJeNsq6SKSFV68NlrNvGrnikAYoNIydR/mKf2CDcWbIb/h3xhrPV5Q1ttUM T1ZQm4eHxA9KaIzEMlVboxkiDgaaqj5Y4pXgFJY2o+cO00yxaDukVBo80Wl5Sxwf+x581+gYMttG umF0ymtzl53PYKkK1r3t805XYwTla4g5SSaOj4hQTlEUQRMKksoyEm2qdftBNJcBZs7XXLcl7u8h NDCTzE0lzzJVtuRBdBYLjEiqKEZ7mYB4weUIf6cf1TdUaqUdzztZraj33ij17IOqXLD1kezqKOXz zlUrj5qfz9D5es7P+1X/zlXj+QHT+OSF1iqPGToWFcvowchfXo2Owmh0d4A3ZLuVg19mGWKBi9ts wP+qqLmfqL9GdPrj36iTOgqjJZaZCbzToF12QnJRjWAc8XnnqWENmcR6E9sBqIEG9RKboSiShiz/ uhQZyDg4BKRvE2kpKCZ48ScKpWkxDM3H4YOD+MEprlncIsD3EDnDTxCNwE0Fje66B4WaGJ93mhoW KlBEYN4kHzRF0O6SnNr56M87S43nWPYSB89l1GJ6u/f9ezhtWKLWkxdYGaWqwOomNKXQpEkF1iie HoQST9kUcrBF1Gn1UOgGeL6zk8DzJDpJDQ4SwMip/zy/Ohrv8bRsq3AS0bKIg2pAjCJ4mXXo1UQB NXOCGzJCP6w8FbJ3ghrPoU4xiGq7rCwnqDWa25HGtymywwkKQ2r6o67ELcTBa2Rnv7cukfUO96Vd pI1E6suiZOfti82pd40miOfQGHfQOXTih5oNQ7Q+7+y0IqeI5xyCq0uRKxBLiFFM3agzVqbSVZiX m9MDqjSm3YvamVFl3rlpxRX9+i538IOahwRqjCixprrUOAS7G9vq7DSc2KafRcPvd2oaCw80Mkjk ybTkbirIq7vZgpX8eWem/dpjWdYr54uqza+yd2ZaEWoJbXorBvV03ULO1+EdsQ79O83Qe0yNYC6J 21h98AY0YFWA6TEeguwUs8UTIJ2bJKH2SWqYHUN56GWjGj279ETtM6e2rOP8O6kfdr6RzzsvzVaD poiwI4iYNsriaoqiQjTgCkQaapedOzQZpJF+0QZpBNacu+ydlVYukajWO3jI2FMlfYi0azhioHgE /ZICx2QWLR5yOs0e3klpjIgIGRpl+KCTumacBD8Cbn/eKWkMqn8lZtz41e+yd0YagmoI1VUx06kh 7rZOA2V2b6ui368ZioLqlMT5oEgNBz+oT2B1QiJCPehFDO4deHvn+AGa//xV/QHEUFTknY+GD2HP eUgYclxhSES30jI9Ax+Pkvv2NUO0jJjVOz3vbDRs7nM3ovHiSv15DYcMSX2N2jRAoTCofiejcZdr m8lYpfa7zaDzNRNoZoiH9oZMFJN7oGdQEco4xW2b8ez7vJPRivs61SZpueYhRQZKIkm/J83POxWt PPjq8zcwekJQ3W/N/p2K5mXp2Vy/t2uKBonE87rypOxndVSXtvqHTC7HY3IJZ4+zeeX5PREqTdU/ lsCeqNrjoLaqPaLUzzsTjZE7y/bSp1m3gHlO7Wn4hT3X552IZgv9a5t17LB0G2LvQbUfRMS4s4CW zD2W4uVuZjCrY6vfaWg8q1n4GJQY5eBn9QQKJk0G1YB5EuZ4pu1cDzhBziLCXvOe2NLLvh9Ea8rD kcXpnJubGYARqPLFiYA/7yw0/K3Z73usFygrm6cKZygKqiEAeuLB7XTGzqOa2f1wEIyCD83Qe1CN eBeh4hq89te4nM9eF7rZgx35c96dBG7LmAZqsx3Y6stjkNz7552CVlxq5exYzhMOEvNrRpLOnmo/ G/nzTkFjNex7DXX6cNR734cl6o04KE3tshPwbsvu+/bS4zmIIIquGXqPqaFEz5haaOH5oIU7RCzO TdOHADBnw3VBZaT9PH9muhyGQQLaO/+sZLd2mqqkzeLgfND1hxmd9887/aw8nirtx9TrIIdzl1AU UwMgiaKH1fBb9Qk6+2R4+WPg79NJ/R5TZ5ZRTtiYqVLEwWNquGEPNGxx059gAlqAgMeg8wtjgLG8 So3KuN41WEKDrGEIatlgaRlKjKo9r/F5J55ZsfCJqXFTGJaanecwpkZfvu7uLqDNzYgpQOiXfa22 gt5pZ7zKmG1U9p452AQBw5OpdA+9vrPQKplptfPPBHMiX6V+siY/76yzIrkG2qZ1H6wz388u411/ tvDnnXRWRKX92mJITuSexgmKYR3EBbkrT+/b6VS5eJm6nDDYLrJ3yhk+HVyEnajaq8EmaMPBesFp vbBKnZSeLOAQoHJEHWb2WEGAKXrXYIIWax+LbhgcbIsNOEIzbT0B2zvhjKd0+UpbKR67ptdg3/lm WEFIW7M8MCrw5tmhwXVxMaFVg86xgRdCaAdrsIvKMhp8gmDAMwclVgeksKQv2jaAfWAstIeTBxM/ vmuQlVURFnfxQWlrQ8DF8lnufMXokPZrjJOBy6DVu4KieBoKgysvK9gDdOlyWJUHCScIOEbRhN+Z ZtxiWBdbPGkOmqDRKiCKlfJzQAv32UxXBJph535HE8XPIMpgvPPMbieoFdaoG4QF+T0AX76kRA8w 3eedZ8bKx/c1BgWk2vudoTCermiRjW4UYbLjDF3OjS3tub6tjP/OMsP3g3h6n/S3+mAzdLYv5Iyp X3QOu1kEraZ4fwapfnndg44Wn3eGGecHWysJfsdBR9AJatMSLWjOzzvBrDyUTu+UnUMRhBWbnvdY Wph5IJm6t4Hc6mHKmUI1/NWsU/ZOLzNQHCpC2mHp7jCwNs6kIGKAnBqER+R23ZgxFlgiOqw8d4rQ v5PLcFEucu/IatCgCZrwreEOGb1+3rllXD/fEyQX2XYnKAql0b44udFUcrroRmeBYjYbI0DKhxkR vzPLCBFiYXqwo8jBJwiohl1pvgeeQs+s4CecPB2fm0e/+lfCAb8zywwDBf95ThQHNTmK1HpxGeJL fA+lEWi2XzN0XqD2e0hHoXSlcKFA9/xXMiInRfMc6dHdJPWdVlZERUIeRhkCmvWdE4HpGO29qfF/ /nuBLD3r06h1NGTChZ0Y5fSdrcR3VtkDMstLw7QiGIBCrfOYRk3u884qKxIhfu4xFL4Qx/oURbF0 A6msuzrBKm3vWxhqlxGMrE1TFNanWTNclWwgDrf82mkMJnmw3WmtcfJbiOhAqOpn3gp+prvw551R dutCK0n/CgwvZmRnEZ3VlSXOn/l8sM22zxDDcBrPj9speyeUcWJxOrNmhWCxL2ttIHCz/wjfCWwT TlFUoaYJEIz8pg9fBxH8T6rqHnPmYWJ84wewRTDL060M6SqLStSNUVCCJIwNVjs7Jz1bHuX82Z93 NlmRQqftMwYGBXWmi4p5Z5P5UVQd3UpbU6GAgXdywUI0Tc8UAawYxtM6iiQ/MNuV4jsvnyCHnUly BWgikXK3cHqD/sgivC8jmjV93slkxUTCS1uMitqqpp92DsoxiGo459z8vHPJuE+/D2vQkWAW7YWP dy4ZrqEmbRmgylA9myapjuOHSwfxUELJgKL871QybgJhYnLxwaYIWQxMw7XRKA8pt92zawihYdJq 8VAretcIdkaga27DBx3WczlX+kTmn3ciWRER7SscokrhvBMURtSbDKlhSPJW+1WaFwEftjN0nqYe /zuNzE40gjmHD46tgkcm41CoE6c+gC4D7ifBJGGqF3gFi9lMfKeRseVA3dRkovyXKL1qSiSundCL j0e1s++ePSN5+thqht5DanRXkLWiykIFvjwIMyMBGPpw8pKlcaFmKAqpDTs0xdWYD1cDKkd7Wgp0 roLBGhLQVescrUAuirMpsWJSEd4pZDg1m3ERkg8GPztvyCQf5nWfdwZZyVeUoP1QEwsBaKqe178T yKyYsATlIu4jO6jhnL0OamAsY6DpsETNwitQnT48yNdzEyRWhlBBPpmlrDABDWc1i4LKylvpWv95 548VcXZOslGpKmP+BLK/ykVyMrW1zzt9jFDE/LXLmP0+UfU7e8x6vCdvnxYSTUlckZFQS/FuYna+ xjt5jEkhG9Ei3HO4pSH8oszKx8iA2fLAojt6hVFke8Cv6CjwM6LEnlIyme1WDkZnOXeKePYnLvq8 M8eYX30voYKauMR3OUEh6gOEFmBtddsjAbv9aKtVgz6+rfLxzhu7paGtq2w/Vxno9C3TmRmSe/DO ZMsayE9goRgf+x7rLA29s8Yuo6U0QRqaQxpG2kWM37ILH4/60X5O48RCEtLoAaQJioJqZGTrfAGe dkiJkLUzSvazdtam9zjeGWPFZa6pZmSD184guQugpzQJWzcK3iZRbJI0cg8hQjzfCWNFOnBAnZU7 2BY7L82LobWTuL7zxX5BqwQ+Y2flbrEwpCZtO1mhFcA6FcygK8G8jAJp0Ftj5aPFEGpGQdIlno8u 8XnzdE4ZymGgPAFqC8mJ7NPj6CDhViaOqDPyM4KbPk1lrMUHQzRs1EF+yN3NeDwIF3+hhGtVudMn KAyoMxWalqGEK8mRLHyUcmUt83SUcIvK0zZB0EC0wU/ptQETlp5VG41yA9ApAq6TokZAcLuhtU7p KKBe1GfoBJlrMHQLtLLJyTj7FY8H/fryu5GIDlm7TaAeBtTnoFqJ29+UCLNVz5LuNMozJLeS7e8B tRiTZ3ctJu4cbA1BBHRQfw4Axkx7YjassbcgmV5pA7JUHep616DVyupQg72NDVpDtRZTfiuj4vGA w7HLrzWENby9eNajcBoNcqJVbJNRHlTFM5E42OJIbiXbI8yHtFD2pp4VByueZcDYiUWTzyUVTBAu UUJ+wSele05GG3Z8RpBwFOIZqqxkq1vJni08m3BVJz7G40GwWNPXKYT6TIHqrU1QGE4XaOp0c/cG N9BFrMq4gp8brlA8pntUoB5dtFU1WvfTaD0hZ0bMyGAREQtgyB2S72i0kkCWH3dCHCb4kD+qQxlC MxzgiLYYLcIye+nPbgXPB02Oh7qqEAH98/xss5CC2DKFvw0Wk0u66LydHOJZaYAD0EePIuopVfRB NH4fX2j8gTJ+ZYFooWcGGRvyOcAFgs1BXxeeJ+nYHkXUUh8ea9xBJ9FiqYCfVyYejzia3zw7turL rQ/1iIZ4zgl4Wxq9bkKV1EpoEKG2ElrayQjiPYyoSXtGIu+DHURg9Z5gyOwbz/YXCitPtJbR7AA+ yfGLrDH2qExNRfSTtlTqMlCtgIvonGfAA5DkU/B4cJmN78uMqU9JHg71MKCGZTOCL6sOEQEtsTh1 hxgOVRf46iEVkSy7WdcdbAmdPCt12Mjxbh1rSWQ+oeDacZXSrNQOIjT08BnBbQ90+tkckC3WoE7Z WTdbrcSOkzoKqMevIizKKHXeNkcPy9QQ95pEi1CPKbEnlomATcsc5AaAhawN9RBGLbkqkRY4OCYG YhhwsIAcEyQF+qQgOhLWSQUj1MyufOXUywZHNWUrU1PDo9kyPScJXBpk+DEmHv8v0DPqhLWLZ+jv ETXkz6BVQXQoMTGbcvqFhruCeQi/uFQa6hHiAzB5Ys7u4KWhs0HPZmcNdkK/uFFIj1fagEYgFaNE uSPeHZ8R3WW87J2Y6BjJDFPDptJQnng8Suv79xJCrLgvwLNHIOreeQhR6GQDnpLdqjHBaldWjQkU DBY+egT4MKRHYi9xJvHasYSgAwdXGlVgUWPBITQXWHOwuJbwr59CiK7xIRHyTGyp2XywJdSte3mu yo3H/0UJkkx7hsh4Hc9BFEbVnf6MU5I5CxRmq8HObOInCK9pGoN9FpWplwLBxaSLg0M8N+CLsh8a 0MICVRwNtMoCY5HsiFUYWXnGh0SZK9EM0E63QZN07sWhZbCwz0J24mVFswFAgz80FIwWHUXVVDth mUZgfFY2WfxY5Pbout8ugj6iMvWcanaMO3gBDTYMrsfQz6IZ1LRqRMeRo8jI2mx1st41agdRkRmQ CRvsrIbXmtXPMh4Pour1zYyG7WultJEmKIqqOzOz7hM0ICEjqRPOsSZoAiUv4nhYpDZHPUkztIdZ BsnZVJtgwgXtf7SBYPFBuV0Ejei+m5YXMHL4kL+o42wGA/exUKPUIjprn8z60TBF/y5TG5Ma7oOO gh0hkhp988IyOHHCvDgFx0/dcMJohppW5YjK1HBp6zvJMQOS8HhfJB4w4xlVYPMOMNUirmEswprA TejPfX++lIHPCBCe8icQ06HS1ZZraOxaCRPo53/weMQKal+7DMtX0aomKAZ+oEIznSKtqFayHqub cEVelG7hJotiaunlnJuepdjGcgsnKEHlBFUi9oJgfMbGWkMLEKoNP5Qesev+XG4Dn/EX8KOq485B IfXeW1C9c3tvPB4dQ98Ee3QIQZ7wCYpCam4ynjK2goqfQpPi2owYy83MRhRST+KoCcKy4SLNT0Re oPXKtmSCAypbrpgXCAHl8UUsQyseHxJsMmmeZPbMKm5O4oQB4Z+VFz7sw/D8f+mWFSxballqisKg OuOgXtsMmZjKmXpXbW70PYgeQ1A9oqB6SZVq5+2Dx4wF0BUUE9EOqHPJ4xpFfUBQy0+7koJ5k2Y/ oio12mo4f3SVLb/KzvfK6Jg8+4nHI6R5/jqo0fklhN8mKIRRTzZcqxVh6/K0bExy15ndo5djayiC URfe8nPm4oOTgoAy3+jjghYCVr3gZ4i3yDarZG+qV0aE5wglPuhTMZF/2nCLsJXH7PmcgceDgGj9 gp+hNFSSZ/YjqlJDaG7uOV3kI3ufo8vskMd0oSECJyiqUttVn+hlsqj0gp+E7vWAuScVYnCB9SYt dHowgrnE1MhtBs+vx2dEwZA0UPbwQQW0s0SLsC1rbTz+X+SFKpmA/cZCUUyNIgWwDNny1jaXl/Fb y/eqT4YeGmFMPXTH7+nDrQ916skJT3nCa1UYkdRItTOXdFVyt5ZQGFOTX08nJBiCnE1iR8deW8Yh 44TteD5I7W8JjaUZeh2PW2QcYUhNJYJhgbR76FLcrNFuIbvViY6huFCd/IKywUPqNnAQNRLLYAYE fhFUCeVjISEaL+XvbS8bnEOkbSZOlQZlZliZPEdO2FjweFT9+HWXodb0lPJnDPzgDZY96QDDzJr2 6waMFcgXztAMxfGSQHrtDlYeOvO8E3rRrH4AcEMMY4U0aKNPen2E8unfMUPcRyXKc5HEycEgaIsI EprhNjweTNCv1BWhzMmI/ByaUUSNBH4uXfFIYqU5gN09lwlTg1lC9mbn7wmg+MTgj63kTG6/Sanr BlS4iiJd+jm38ZNC5CM2Ho+zIOo//Iw/C/lNZbTm/iZrA2QoE5hW8Pi/WGXy+moIGb3AOKN4GtZr QB2aUGefZfpNplIaz6G6jBA0/8FM1IXGwUuwZ0Kq3BrW+b9SBfUfJdOzvQYQcnBVvfxWChHMf9Sp 0SawQXsM+C2evye8qnj8Xyd1d74LZYNsiqKIGrp1C5VA67hOK01T8dWBnh3fl6YorFJLiICi7hp8 inAq00ENdI6zrYRKr2jNnL8Ip8CVDl596V0j2McgTWHfQUcHzu5kZrAZj/+LziE5zyZGkk1QFFEj ZwTYw7ib8ByzlGONeh3g8AfoFIqL1AQ1JB4xM10FarIqeehI8Kx3EhpWQru8IfbKBYGbofFRLMeH RGIWhfR6aplzMHBVWhJEgYkuHg8aiu37nIYgShu38jHDgHoBc9aHq33QcUZZ64V5nlA/+QxFsA/B 8F80ujuQMXnpnD5nP3yqkdjj5Oso1sJcycMhui3OKKCuMu7O+w42QShAKVUfA48H8eJMX+EQ5fXn 1WmYEexjEPYxtnWCaA5psA/NGo6htLdfZFGVWlnrLKrml0czD74B516TmTAIL2urJ03MDgsl+/Kj Kbc4o4A6KWstrHrVkg2JuGHMzoD6ZJgNj0dpffpaQaiaVgjb2wRFATVk/BZNvcyjw0tD52VdyrTw aNIhFMrm8U+dmdqCM1+3F/mNQDmJaT1YIknGC2DQKR55nLpI/p1RkRpJwjnpBztlHHSRwQAiqXa2 +Xiwgnb9miDwCEGk8AmKYB+TqkyUrqUKgQ6hqWDRT+mJgF4TFAbUBJvP2asPvsUg6j1Wo8D7bifJ YGmEUwZ5F8hy91ukJth8RgF1S2Y3sXxQTpbPQW2eQb3j8Qg5tL9yMmioKyfUDIW0xAFQQ3M7U0C6 7jHNyhB4mxv0Pe2xqEKtGdq1JB9sCW3keYhWiBwaSLyJHCKhFep5COXtpmdbGZ8RVfE39d+Yu3JQ Yzmn80cqh9h8xSia7l+xkOpLVz5vRdE0yAIrsTlKMD77BeIrEFHPpLUut+daEepjsN4xh5BDYzyH 0JldAGCIHKon+UgqnVEjhh5m+Iu+xbhXiPqgKFxH2ckGq19APV7QqlrxeERLnF8Xfd50GPSLfoX1 aVngdNNTHsvZCpAo9359W449WyHqo0szWH64Dze6nmzp7NZF7FlHS6jIehLImQZYJ8BdDhzCQsdn RGUPyuEyuc/o3P6wZJqBMqDx8Q/EsfB8sMfKt5wO4TiPnM4KFad1Ci0rTw/BFwn72N0LQ0C/2QzF sA+WXaW2Dbk8fKNUPktwk5SeO77sXnmPNRTXiM6eiEZMgBEHMD4jKE9TxYK4UxtU9+jgGhVF0wOP R4QXv8ckAVZ/KM1sExQF05A2gI+sB9N5eP1+zpJ+XOfD5XRWCKLW+Zx298FjxbNoBrqIuugX2sgo LU5m8d6JNu08Ys9WXJ7m6UOKgQY7pbHGVVrcFY9HGes39RdcpR+aRtkMRdE0PhVVxO2Qj2qKTBQJ 9NIZnIZ4TK+Qlyj9xcF8lIM3gQYgMl2NxLMY9+Y+TJBS6zj+6fGqe2yzX78iyEcn97dRF1mDztaa zj3ANTv7xOP/CoWwXAijTJepsKJgGrWV87UWIwSpeCVDANzFJnumfAwTFKp8DIm1s43IwctCSFTh 806DKdiOI6uFa/z5PujBUKdr59EXhy8b8evpfAs0jA2KpkG158VQz7eBx4Nz+uFu4sm56A7o4fSK wukFeF4vXXtrze4F/MGSoprRA0AHTVEYTqsQpnyUg0M8z8kDsmsXZwpnkfIN6KHQbIiEChWGgOnm y0a4IUnkSsRzNzNkgTaxWpfn0Gt4PLrK1tdVBmAVg2OboSieRjiAIKWptth0ZG+6NRivo0BmwaBn K4RRy487j+2DV/ATlEGxVmF+Dh0ReCxMbTbAzweF4QT6mEPvGgVDst9iAa266hMarU1r6ISTfMVA xOIy7MnmhdgQpvTusxBIPXGbOHHjRI7DPZOSrjUkrTUns+NeUUQt5FAvUuouTxcIx8QqDGOBSetU ez0hPASfiJ/Jg5IkjB6hysiXjZDULOLXdQeVhs51SU8McNIrHo+UzL/Zm60S4e7N6BVTE3HHr9sG QvnEJCqbo/PKyG6hsELxPGkxlXQHP6rrINtBat3QPhk0mQbfZQD7mSFM5wHRGHrZP/pAcAUYPuis htdQst4OH/+XFEpWfnK+oumomB2F1IMmE64kuOrqNysrX0ofw0LqHSt9CP277nBpdyjHJgKpJ1xi Jg27E327SbFKt1Emg+kdhdSNvpKbGDQNVsHH/HAJpcHHg9rZrwI1EIlEEdgEvYfUpkIwUrOco9bm FtwtN6dzUBJQiuahIDWRnV3uPv3LTQpiTmAwF0JgIQNL8BmO8B9W83O6bomF74EPiUr4jBiTawp7 7awAFi9Bpj3weKQv+KsZDTnUfKU+diz1QUaQp60NUvE6hjIVXwRoAExLMxSH1IyHugrV/WqhVLYS kbGydnb+LoHSqXvSoPdOkrJhYhBG4TP+qp3B9cQHpa2tGZu2nv+KxyNe4v46hQCkP9+Zh9Q75CVC RLPQJU52E+NKCa+9LK9ftF3iBP2N+BiLUqccbo+DYgQShhtAnrMCkBAnQmEBLNx9u0C8y3YUU2/R 7UaaPtjRcZIabpJ2vmE8/i8t4WF5a32kGnaMop7E5ln51VRgJdXgSwhYQZ+hqECt8usszMo4OPCs jJOuNroqjN1Ty11tMqwafBrf1IpnYJ3gM6Kko+l8nj74Emq61U6cXfH4v+R0pNfJ33aRZztWzkM7 ely1j2EZ7JmeSbmYKpK9qX3sEPHRmbAOgmI4OIwaLBTwRNgdX5KSBOSM0n5cOo8MLLg6+IzI74bk esiL26AZKoD3CwObFh7/1wzh5agKUK8a9Y4QH8Dlni3RbYIoXCDCy+LtyQmqyx1vdiicp5pHM3H8 dotnCSGjlPcWQMGjcCozE3u0Vid9pNWK7l3v+pdk1ahKXx3sT61RfSNsJO5/F6hZR0KYCp6aTVAo 9pFJlLID56StTVERau3b5SnzcnDnDhEfvMjWpOb7mo/me0FpK3djlQGXq+IZDqOKmGlMxwgXit/h MwLEB1nRfSpYnO6vOc7WrVtZRB14/L/dY827dJygsECdyUZ0RaauGFqOUneLzVlNkWnHKGqWhtJO PvghdC6MQXFX9OpBAGPTusPYosDkDKIrDqraU+8aHULkSlFOX4NhhmpicwRpFh+PuC7fEwRITm/P CoqiaV70I5m+GVQHjJcI5SSTzcMa8FM6gnto6cxRiw+ekqFqMqEPhS2GCuNin7WxgUctlCcSYotj /63zATq9D2pxnJdmGQu1sIbH/1V+Vevo/Fy+1kA5RdE0MKDAH7tRe33gHnNL8gOVD28j4hf9lXA0 aQ61R3Po5JaCeQCJf2JFqMDSzZ5UhQ14RNtX92xTlQmfEnA3edc3bEYM0DnUnzto3YnM/swjf8G/ cOZstoJxA7V7n6ZQPS8B+AppLEwOJKZsmnrbTXMHauTYPk1hUK1pUqGIg01TGwDnASeDoHqipian 9g3CAvjQCVeKm49me91Io1Id6XYHY22mLKmG82mNzweJx5Xt5pSicwIck89S6PNyQotVhvU4zmaY DjYv3DMCeWZvuZLJE94X55jpNHrhcOtoNL4khxPVs86fnIBv/wxQ7TNuVYd+yHw0hdgPtoKS0IwJ 7kokzYFHWxqv/XP6Lf6CAOmZv+tEdFAZ+fp0pLBgTaZrG8tKaW15Ka15+6Og7GwF65z+NkpsAKHY 4NOUoKxdidRbMP5l0372ff7UAaRD3s+eg9KwPiW42hqVUXZJPlj3HjqeZi5R+XxEKf/OYrf0m69n UIoibCx3aAY0Rww3P5k2tUc0S+O6IqfQ8kUiXwqxxxNiV9CtRwO2HDiiVBnX4DgH0pQlO9w6VizK lCCia0FQluDxXUnyyNfgKkHcWffbhtVCClEg+RvzmUG9go7Unaa4dI3ziEbngKMBgWhkPLqJCY5W WzahppzC2rVowZ3p/ug33QcBCaxiCnue/XACbnQh58Iug/3gT65swipXYxSAT4nYZuRSwY7SBk0T jJHZT4CCJZ9/n6Zavuv7iPBpgemzFGqAdOqbd6vNnh/JtpimAmycjqlWA+3lFAXb5qBY5h28ajRP pt4mSZ0rnxOPvY/ZAVdZhcYm+7aqYVusT/mjTXR2WM0+WIk/7aZG4fnK+XwULfksqWOC+uNjj5NC IRCC9NE6JrGzoZ5iwUDP3Yid7LTZlgvl9ThLTXpE7dEjQkiSIFSw5cKZWYQ5wQC++wnZS8yMxUvl vm2Ukww1QLKGZEX+CjIEb/fzEJ//V7dRmGzgdtrsz8kUht1TahemsNenwYmgGnDduuiTYJ54kR6I VIYndT41eNzdE/gLhUqoJ4DdjRUUIKaQ2p4py4/EniySU6xXrXO7Vx+0ltowcbSzDwefD3Zc9a41 RRJheTkv3yOnuJC9QcTfViApY3p222dzxWp4tvkkhdgQGuR0uTBwuKSYCUlD1pCgpttgAA2pRnhL 4UAcwzW9C2uJ/JCohsRGCHpjNmiS0qJTNS/2yeejBHd9JbjwSW3gjtkkhe6KU/AQM0yeS4BHMquG T1Ju47orBvaK2cj4AH364ACajLQ2yawdBUTlMI1V0vOLfvZ83CfpJ50De0W5/4F1hg2nQRnKQr1T TSPYbYf+ivMRRcWqgYJETzfLzaHDYqMbjM/S4nxYra0tx2EN9ls0SxFIRHRqKFX6YIWA3YC0a4ls YajvJKKyG9CPFV16VGEvlC/Z20YkRrZnaUquQbMErUPN0iqVzwexd/6ltYellG9nLccei1nOXSbB M8qWswCUkofpyxDcoVpADk0Wl2YHtHAbbJIy9E5HYeeoN/yfrBe0xfKAyESXQFT8bf+A0kAxX0ro BJ+pGjCX0jiUS3Jgs/jtK8SXwzfTrnBBDnwWZWgFop4qStAmTF5y08ldCHl0IaccGi2CInb+fBoL abBJ6iduHLNTYbeB01iqoUpM5JuTZFJXbGLnwGgR+w1IrCnpgnmlCxB1bHkvnUXF56P91r6iAHgp 84j0SQol98CRSaWownZy0WVVJXjfuBB6wyVt2y2kM5IdA/ibD165PSE50rchTBYdcn9o4EU3BDKl LpGom6NprBFCo+kh79dBBrPqF3URM9QTDDtDt0Vmjc9+Q414P36UgduiwuVzPPD8qcx1u+23JP00 aoItyhV1/aI/JQxw59hw4bOoICV2ANo+S1Xk6wKHYnBkWQXzQ8kmKQq7czUVjDtoKcHfQcCs1iuf j9pI35OUITWAWMQnKSQ1wk6wbdOOhfZbdkgEIM8Ku+lvp4AydFxUIwkUPR+8urQo4Mj9hm5DSxS4 xH8TEwNKJA9rr9jrRmQQ1v+FzkqOzoKI607STutl8/no6P4WvmpEc+fnfgvVQhAq9TodiL2ozUNu rPhpi4SZbYSZHLguItMliD+xBsnBkxPgr3PnhhvgoFe5LrL5Dd8Y9EyuikG1tw2WEkMlSmvYoFZA B3pZQqn2kpEcRv6KJ0F3afvJ4ALbxUza5iQLX/RPSjJJ5rK7Kk+GQalPUlzsJiRisubNwdHYJ9o7 awsqw+dMOaFmZwUOaj1Qlh4oDF2tVNrS8FMC/XgC1ltmtaPl7IK7EOyQw8KZez4fJCfzFk2kHlsp M/EsphCSja5SXWZThb/H3RfXrBdQO6a7wMb2i104SAphcfDTe3cEW2z/z5YR+3XiI+C5PKkbPW/f bSV720gQlDL7m1RrDgqWEj7EiJ2Nz0flgF+W70ikCJnXJIUOjGhduNX72XG196usws4EE926nAaa QwvGNcRRW8sHX0wo3iTqakAW40Q4ldIqS6iuCsCWZ3ACs+XQg1HSPEnI9dSo8cljaW2hVKG6zucj Pb7vHVck4/uYdseCfIWkB9W8YWA1VQ2YFL7P6i7t5dXc0IVxdFEcNUn7ueH6Cf9rlrMXAG0tc2/S NrGranFX0pIpdejCqIgySTGMg+FGe1Etq+Uy+XxEKP4WcAYBBq5ad5JC8RBqY3RjhsDH1s7uMXoy nXRoVngtN/BhPCuJOCSYa/ng5Tfon221mCDUAoMCVCkhaDRRS8/QAzfYH+UZ+SlR+Y3n0RRxFkh2 cRQLlGFYWep7bf6CKPD+7p8gfR8p3f5J6MWIfArigtun6Wrw9lmLTROEh3yaQpC2ELayAOFg0wTk TsuNkvubkqqVxxEyhc2kJVOFV5ku+Wo5dmPkHZcLdxwEj62pdq6FJZmVkjuf/5cOb1EsCjOMZ5ai yBtY3vNz1az0IAJukXfLV0YVdAaLvANDRry/WNfP4FD2fk6mLc5aP1//KuTTVEq/gcPAZph1vOey t41AE4lbLZtTCtT4GAmg503Jp9FgBB9YMsr94WstAc9CWwSbpajiDcYamPtWDjhnuRVNoHN+JcH3 zU9CT8YlTSMVK8d4iGv1BIKQN8jyJoC1QyF8i6lG7aRCm1ucJB5z6MmYi264dQfdcCfOUEZ0Au/G 56Ol9L3hiEntF8CVQ1dGEJRWK9uW0lDgRN9KSUA1J/fZJMVe5xLtkdFQexi0UMHECS451bRoWotq b2MyjuiguqoRMwB+SKSXwZiyEz3BQZN0fo2MPs8kbT4fBN7JJ8kmhPv2BpWhMyNA7CBGVEOYLE91 gURPF2GSms9SiNmWavoi8F+iP3bFgWzaYScAftYiNRtJnPxHz3e7aARCKlC2WYpVRaTHK+fB7s6D J9yeEts+Ecvk8xEi+bsegBJCS0/vOzRn7IUS/HNYZYl5kUAU7N4x013qiHf9oojERqIo/Lds8EnK +cQo0hCDq3PeTf6Vm0ClrdTEIsq97G2DG26pm6uYKVllBnZPKUtbZvPoDoVF9vehRJXAvp+mbujQ iNqicWJUf7vmVhTtcajJuKdSGHfrakPfwgavB8BgfounBVgFtDERUjYA8vBnZzg0o2CIcKl2e90I r8QCXOt3MHwo8OHsZkMmPIcujTN9Sxg3MHrbYw0f2jQCyYLWiWkYtzmLt05UQOm0Qt0GyMmhTyPK aNhpEgx/bAgJi93nkEsS7GsUe6SU+oRQFfTGHtIx0ds5NGo0nSOhAznYJJUxueFU8A6cGlHA+5Xq dhqg36UUWjUCFOMkLZxK4Jl5AQ6QBp5K6J/5JEWB92JixvqKDb7hNs7vRIHVc8HVqUVnG66izfPA A0a3t/3rVBo0gtBgpaXesqFLs14yEu37RnahV16BpPZJCjHcGdktGZuKAig5b004jwIG7OttkmIQ t0r9ZEpwsBQugfc3CX06gXeZSOSo9DzA2QK1tl1b3ZLU9w4dG0l3hwb/HSw9GYXy72cLpcHnI1Ex L8BR2AZX0njksHNo2gjiC0TQjAwAJTmbJuswcZogZ6TA+w/XRhb79x4+aJpAQp2DLHwYWIPdD1++ SXVDOiBmrDOLlqzxHfg2IlrKKr1tH1SBA0t7Sb6PiykKvMuVxOaOw1bvVwEy1zDwBk6wb9dj6exB mOTzcgop9Jx8lv7hhC79wt4u1oTl3HPl5WKmafQF6TAGO18kEKLQzL4girzsdaM6JRHvwNTZoHAJ IlGyUTknK5//V+DNlm4lA/DGlIF/o3xCpnFqJ/5F9LtwS1a8BOho3rUUao4IkZOkv58e2RrIg28g WkmTbFOxwllfkAtmdRinonNvUrfXjbYcIbmDiBMOhlty35DzUZnP/5eaN00uHyvrHJo4DhbgZI56 jqh6/ffOJrHq5fnOUGZS2SRwcZQuDAm63QeveffUGs4cmVk3+FUBkDOoh5Dnz9jXkIdgPH7In424 NaoPhg6AWbOMQHkuhcju9K3lN/jtjOdYCkvesEQ/d/62kjcNNlU20czRXg7r3JZS7DnDnVbpKSsA tCZpQfpplKGySTvHqyBw6AGgpou+db4bLi173UgRkjwuSs9o0LE0YGYjv93V+Xyw4a4Ikkje5x1O fPWES2HRG4DKRmgL+5XQpzJ/MMqDiHQ7LwYuNnNkuDRKWj7cSAB0B1mmwhYrIyXiuQT8WaO84lOo 7P66USjAioCMwtJsDltqMGrj6X0yLD4fFZcuuIuqjJn89q+wMtYhIV8JEh1UpG/J4V09y7CZFk/p zlMYfFOsv7K9q8HOb+AGIYOEPXeyWSC8EHwXuIAveoqMy3kDGMleNzqZsOkKZV40aDllVJCFhUci F3g6AmvYvjYdePtQAvRZij0dUatMxA6zsVuypShDbm5q7EKUUCFTYOoISQparEA/zwbrfp+lCJ7q Zk2gTcjXyGAVsOYJdk11QCV0quxtowxlSwFguRCAiRvVUtmMEEQgcHVMX+6pjI2AoJ5XyjeHro5U g35Miun0YTY06stVgk2yg7tCW0e1BlYVqaI+pIrzt6DIYSWBfvJaLbpFxhutpoqncSP72wao0yUM HFXsOFhJINNji1K1ej6449rv5jd0LPrdb6GzI5isKE8mT3bnLQm0uj3ZbbdQGVg7Ogl3SViCgye7 J7WqZasEd3ZCzjKLSJSzQdXiyvmWrBJcaO0o3FIqqsRl6s2qvIRQiy6zqSz+gqAZ1/z4Jl5ugQDX n6UU6mYnMFVqddxSdpW/sWS2xhocvCJslmJlEnnJC5KTvyA55945fwUvuY58YFGytsEYoiIc4Gu6 F023t426TKzBgTlig5YSAORLdxxwS6G/429daBqW7Xkxp4HBI8TFEUqSI8W+bk8eCeRl7EoonlZT rc2hw6PVTXR09+fohv/yhgUEuaZIgKb0NEEcx8L6oYy+Kf21bW/7h1xkoQ2zDaoI5BOeNeEogIUP LR7nL5ktiKDW9oApQ49HoFJgSaMUd8H0wRACqJBaTNllLYL7LTB59JV0ruHig4dLZx02M3k8d0Sr kD1D5H1+hBZHOT9oEzmD59DmEfSwlucgEo6D4oAOVyl6ruxU+XxUzv2uwQ3iBPczS2HknVVcMuHR Nrz1faKl4WYQo08n6QROj7oo0RFI1QfvxQ3I7eBrh099OlsjU90X6q2E7pT0mBbTBI+fEoklsRaA qoINHnqnKmeZc1/x+Qi49F2Co1XHTE/RO7R7nNSJbsUWUyfpXrp/bbkw4nhAOYHfo8zYyRfMPnhr oMKWF6Iy6CvuvSTlCh3SH9j5/Kz0zJJIOoHhowjsaFWm5YMxT2GUKI8sNCwDx0dgcr5vOEQAbV/b 2c9rqFRRp0S/cpsy/fnXciZTXatZfsKshafSa6BUIYJMLOWU1vhMt8N0jqMMtApTOKhuli3HAxYn UZfDESI9fxp/fl7DpCqFOXC82F/iYBFAk1gPmFt4+mV2KtRZFUnKMZvfDxLlqupxMDngfFDWgPNw dtxwoZtc9jBUF45gO7KDyVFnCRBlHxz9fnJY4HBUUYJTE2FfiG+ACAA7Bp0gmx3B319nRwZXMFIl rpuDs047WVcnEkAF93V25Cuu2eFpjbCMcl+cndfYqIKOjQUzDcl9spLZHai0jMyE1uq0VvdrZISl s2nwKO0RDpqdgQ5wKipLUg03s6M0uGqY4oBnYoC3pjd9XzqFPZIB9QsbNDltNzcP2+3zGhRhaq1R oqVTqU9PMDc+8jUkqmZpVFIxO+e8TSX63J+7WeCYd/K25GtAdH4NrwScwMkHP3kgSlGGtLPRIclT mqzI3Nv59yh3cjpPntdwqCKcYZcNyhcknJ5Dkd1tMKEasbPoznxeoyH+ofl76eDbgrqrZuc1FsJD UJB6ZgfsRgurMzqkFjBCH102qsHSGYymUQf3wTOPdNLEDdAEZgYiUfLqAZZukNxKn+spKi6T2NdA CMcO7/YNHWAbvLhGx3t0O05I/RoG4ekyfe1MHVE/pE5pel6jIGxHivcvU6xDGcN5N0U4STb+u+ev rzEQzjxzuKbpHgcHtsETo6mjvZC0qumdGmkDaDFzeoSOoHHE5zUCwhEpr6dObzUORpWA/6jEfGr5 vMY/9ck3kHVyKicurWXT8xr+VGMAgLJvq6fs5OnGyF7qb2tZTe01+KmJH47zmJk7Bz+WUds/d9aU 7Hqx+AiiZBCEhhgAROcscZW1QbS5CEMa4LLZYByJM9VsIOHP+LwGPjy3tk0PT3DEpZMdWpoFRMdy Y3ZhAgBzX8EW4Per5xm6v87ieY15cCzLHAOIVRsuph3MUSB4UEhL5+JCqD3p7ThwmJ8M9lZlJ0WR XkOeKocSOBM/g0FGCrkW7Gvkz2vAgzc0uq2dy4CsUs+Cs/NaaKwK5ZY8ASTW8qUkkb3qge/fZMeC c1m2GCfgXz74yVMnLG2STh5wAViNHWSvrinXjQtia5RIC2aH9C5UdocP/AyCWlkXqqXg6ffZ6cu3 FlvGnSZB92B+rS9iveJOH6rkM5M3sVFkQs0OZijPuVRLGPFo1QifvS8+mxV8NB+3DC8XReTPeoL2 4QA3rRAgZOA1uhe91hbxFbDrkWu9gw7mgXtjeUXotbJY1Vn6PpjR7UvpBoRRuIxaHojBVllEhcuK ZpucJ0JE2F8zsl80QUy8VibXb+XL9TtHMZQJWcTDydypIY5qx/lIfu2Z3DwTqW3i/Ufbi1f62KxS c1D1FSVrNhxxJH3eWWxVQM1nf+HAqEJAijwXzBAweBNaMFwsqK+7ota5VLzM0SQwQVJNNEPsd0wg UmzwUtCobaw2hH+saGFS1w9SQpPIf9yyDlozukh4PKtl1rYPWkMVQr5CZVc+HpzP289nfH8U/KR2 nUFSoutr0b6oJDuCppk/QLuJNQ7CjXm6CUATXe9smy3J1q1Htq4VGslWrqFBxCttVSvq0Y3/a+eb VSh3jyLnLjTfWskHndCggmWVgcA5eg+dQYFIvoRE4xo/dGuyGYqCZylqyYUHM9TquOAZP4Xg5Xgh D8EprfI9WmQ+3Piw1lkL0aHn2slAPOJ45D1N+Dc1LvwOS3rZIPMq0nlYd1BeWk+6IppIATg0jJ/N vEi7jAp7YC3ZDL0H0DhgAcLKDg0dy21DoOPopOPNxq4qrtEMDaG+8OdrcKIo0LcNOqtUtcG201lO 8yJkpbRypKRWl0jLewStniDK3IJiw0OkapelSSMI+PCl9nnnrWGTVj+HqFuXCefbd4qiILqjpZho 5koJ1tGdckwAnvuGDIc6vEfROPJZ2ljsAXG4DMiOs55IB9SjIBTC0gauEfDWVym33EpznnfWGrYy zQxwWPogaBEkkXTVn338eSetVUCEvk/qjKDz/HzzGQrjaGRhlX1dNvBn8wY+6K9WH6s4PDRDUSAt TOjOxILsfLEgZ10gS5Uk/TnQ4NvNGVqV7QykGI+Zo07qKJBGhxYQEDZeOWiX7b4rs2LYSHzeCWuW 5D5rCNCBE0Rln6AolIbB5CKuTsosgsqyec+QT2rZvXjJPoqlO0scsG70wckz0NE6pzHdrQtksvmT sAVHCxlaGt31D1Rf+bzT1ap1yE62JT3xesUPgViSd8y5aj7vbLWqhvD3bb/gsHpv+/dwGncQDVWq lVgbK5VWYq3bS6zZxbLfuWp4eXVYG3uIHHyTZZQMgShE4wediCXRaIgOAN1C/wczDlFP4z2elskX bK3zHVQFgm5XlswxhGuigJrEOM2HHjuTVO5VFgXUXeY8zUEyZXsNuq3qbL5NtR1OUBRRKwXdZHtq sAk691oBL5sl6LOZgVXGHhPSfFFa+XbG5tS7RhNEGjZVNDXoFMo4JpdyrPV5p6jxnK6/brIGz93q RcQooiZ+P41iGiOpba8iQgLGJqjXW0WMImrDXA/VPMZVOQZJ/YSJZNzQBXNUuV3WxiRjgit+hbRo /f3OT6sSHD5xYpJEbXKJ2gVQOIuK9cz+552ehlesnrAKeIyWCs0xNENRRI1kAHroVg3q7ALL7pI+ X+qJQV5TM/QeUaNrJIJ+u4OdQv+fsS9NjiZXjvxfZ6GNYV90/4MN3D0CWZQy+LXGNOjXT8kqBpFA LL7shklBoggLTLpl+3AS6r55NaOdk+4plIe+bNSlpygEpmm2qOY4IeocjZ5feH7eyWnYDWl+ZdSQ fTRvFUYo6kSjmjlZ7jRXg/EYgp7by8HWFNPiS/ZOTatS4kKxQXYxFwcPnVv4HDSD+eLAjL4SkQ7m 24BfESCJF4lG34d3ZhqrMuXSmtWv5EiGSn163k61fd55afiO89c5DXoAnX8VoTCjHov28ebw1FLy qx5+ZxYhyDhYhKKMOhGsP/nra7G3DDujk8qIwh5akex7iLwH156a+4OIobLIOymNlX3hAVSWLzYJ q5uOEbAZ5uNRae/nEEGfhar9N0JRRr0HdfpN0hhwt+WYIV7YUqiBVQsz6ndG2nPXZ931+bElxsYH XYEqPoCvjqrKniq6sDnu5d719CX7vDPSbu+jSYuVi96yhCkka47zNnze+WhVagW2hzgNI0Xn5ovv fDRsog0s475I9NncHn0RZyqDnlnMJuydjoYQUeB5aRLIxTZRgbfH2KRUwTAsJ1b/CWXIgGRt5jlt bXvsi887HY0ZhaToe/PFQpRLY7sFWtyfdzYaDt3sIRK0sxPq6f2hdzYaT6JMBR8rOmr1sc/JrYe3 phcBBQzRe0ot3jbAVGv7YrvoRGjRSB4Z4/mg1uj7sAE8Q8l5dhH2uk/Flr5s0GDUzLCyTcRFl/RJ Q6cYRCvtzzsXDb9r9oQI/7fAwjWMMi1CYU6NgXN350YjAEvjSLNn1vZUjGOE3nPq8/dFJrRTI20p tQvwGCVBYLQop4bLtXS1O5BsJ49sP4DneVlWhr5rECC+X7VIBwqGf+bdTBEAfB6+/uedh1altv2V EdGQgyN5RihqUYMLvhJwRTqJdIVRk74VZ8Wcs9xfs/ecGv1jDlYbFbO4WISgk3QSUYr2n1uuN5nM Qz4GmSLYDJfHMMhCeyehVbl4AETF6mwIisHbED5UzIlBQnvnoNV84cJk/v6Y4YScHxiiKKtGPoua e1qbmqYWIn2WdvWgyV7gYf2eVXv7I/H31+LtD1w151KrbH+k0VMmtb+zed8hLnqTRvocft4JaJxW 8b7PcnR0xbLzVBrUh0OhNz7v/DPeSzer5pSh0XH9NvLfCWjYReMbibekwaSscVfLq0sFq5+76J1/ xj5sR2zQhLHFd1E9BSkwwnQPqfCS4qhYHiDnhpn56vZDXpyfEc3nDbWQfLH5PLDsPKvPLfx5Z5/9 Kl15u3dUKO2e1e/ss2qY816fUdCa3kNrLd+zett79k4+Y4RgV10I79BiEYIa5txUJAVpGBh6dkjw 5uUNoDp6aN2YMEXfNYrQ5kk0hi/2nsFJIbF2PWnbO/WMF/537VpEqPDi/p15hi10Ds6VL4y65OYp UZO/NcY1i7JSxDCECA/iNvbiUIiLBahPvHOT2NcJOwLZFw9gvKkh1h52HrXwPu+0MzYicEZTJsIW 1a4NIBsGKHd+xX+d1ArQ/CGGywIUZdUYsi5dnAiQAEyQxoJG97AAndfCCMPvlDOfdexcRMEtz122 wWBoAJUBAoOXrMpnBCnQuYROhpHvMURFjHfCGcoOnhs8x07KCDkvUQ/gv54Ipz7nafm8E87Y/vDK jDUKzqvePWV855uxYk4kvRh+eubdHWQuMdEi/ZlpWyjqU0OxsZPo4ou/Y8DjVZ1CbUCkAPnQqfbR rUHifu34cNbzm/7Vpc6Ns2guOoMmmNF6w+b8vDPN2H3b3xsIHKrWvXB9J5pxyI5kqPhVT28zZ5xb zFC4OrfznWfGolDdIfbGuDj8Dkz/SVbCud9PCWttfJA6ComLj6Mj1Qg+7ywz9sHZga2i5FWnv8IH SXbjQFZ93klm2AlPzfHjtrLt7p8on+7sL87lrM5V3EdtP5OgBokhndFRi3okNRbZAuHi08Rz2EN9 jliP86Y2Al3PWYc+Ek52+LNe9hThwO8UM4NCwQmHYvRcNOcoG8612EILf8T3fFoqHc8bBtpvr88W ivJpHJGQmckG91CxSuPdPdxpruA3UYTCHjX9eVbVRJptyp8pNcyJG4uIBqjNDBQXGM5hdoqmM6S/ vbInOfnzTi9Di3CoOZ05CiKHlYfQSbZ2Z08TP/jzTi9jujm+SnsgfHqvdxPFCTXAm2RY05t4tPbj xrLV61aqhShEYZMalfoG48QXO4TS+X/neCkUDm8nQIVURqInIFn1M28XP0PrmJ/xR3cIRDKizjDY YU59KthE82NI9Wc+H7xme329ZqQWjeUlxzutjHE9EVrJYGbw0Fk+CVpWfZxzSOYYiT8ngFQRFkP4 jC3+mrV9vnbrKjnGnsB6QJfvZLdji2Keb3tIN1nUpm4c2udFqCsXa6Cdf5SZ3vm1P++cMn7H74MI E/cGBIEBFsN0GpSyRo8kSRcSGAMhtS3iFHmcg7MyIBbjdJq5UCFNkYu3GM/xmTAo5Gt2Pq6yx0ak BjDO6MNfLDl94T/vjLLqeqp9SzB0T9NRO/XdYA53UuQ6P++Esl/DMuLtE63KLvLjnVDGOxrIj0qW DyCvheUXOhKdkm/MhuC8+VOxid75ZHYTA2a/qi8eIrx1yyp72tCQV50xoRxo57NutWyoFX3Xv7Kh RjymFh3V5+MkvH1+1/F5Z5Ox+bC+bnveDvXCGt7JZNhDiyCRoe1y0pX0GD1sbSf6YA1p879zyXjk C9DZ7+ItxgT0GZlPVFXHzQxoHhjBuKaR7V2N0DHtywZHNUhgp17Nd7EI9bSUUM/Nx6OE+iI/iDRC Ik/UpEL0nlGjWKbbXJUA34I9ovGAYa1i1rK98jXr/Dl/4qsa3yEuPg06Pwn+wV2Wjie7ZkKUzg85 J+umCuW97wcJCe9EMqJXM+vVNHxxBNrZtLrvT0b9ziMziPhXRoSrMV3s6zuNjD0p0DaJxyTyo+ZH FKw6125np22+s8iqmV7ifVq+3JRxQ58OZe+CqtNULHvmqAxIEpj0WNm66AL+ziJj2Uoh9VnvYqyE AtkU/NanUP+8k8jY5p5frxmStPYk1e8cMl5mOIckyE8dh+U26ecO3T5RTN1gDe8UMm5hJot13eXp MELvv9N7F2i6xP4Z2ASQVQbE+wJgqVP+eSeQMUCGD76L7rKTqHMQCpjN+Lzzx1he+URRBCEQPu9M +p0+Vt29iKZBJG6sZPnQKciNBAyBOcd9vLPHrO+CerU0XyxAjWnoKGJrVkDrpISFtpWUUK8ECK2T Pu/cMb7I7HhgSGWLdtDZGr3Lebfw8X/NpPEYXNKp6GIBinLqnHXFN5u4claq3lm1Cg0QlOYBCnNq 9qgzJPBt8aH9ecfOvZylC97JJycDD0MYNMuI1LVDiCDPd9oYT0w2p9GOtkUBGlndbbBB5uedNUYE 5PdN1ilBlu8rFmXUkC5HEWny8n2a/B7ka7prgi+OI3hMxzBq1a17+OKv2EKDahHkOfbJYYq8wgvS ukLsR3VHR/QZ+RnBVV8MOVR9UWcIU265OkEArEUJNXBJX+micBY3FwoTalIPa5KW5aqPtDyI0FbY 5+k44RY1qCVsuRvfHy5+Si9KUIk2XidY3yLq4Axi4zvjtjV3a53SIe5jG064+WIIF5Rlur3LwOPB zL58R6jBwqHdd6xHCTVl5VFv/5gioSlawnmX+0oaDcuY9f09ocYpzZerj+6LNxfP29BS4Sl97ktk iYSGUPGL7WEagiz1huy7BuNWlq1d5Lp+yXUnD1ni/EKaCI//CyasLYRD8OIXe5ROY8KArqh7Xpbk IhaD4C7pM1SXbOwh7EOnNGXAtdzm4qB6LGccEE3r9AIBQRpvLxxTupdkZHTiM4KCowjTMO7CAJ17 MEs/rp78GI9HLKlfRSuMbnBjWIDCdBrSOmO6/fdw5z1Ab40bBJn0aUVrj9rTg5iGJc8KLv6OZdTy FO5grsiyqwNDNH8mBbnR1nF6L9Ap+JA/wGdAW7P3hYOH7Qoki3mxfQYjbTwfYIfm9x6iwD+4AR6j kIZ4LnH4gBg+D1iuH2OK9+WFfd3bkDE9yqeXAB8yHuZiNxlqSjSVNbI/aRXca/rEjYayNRsGzJUZ ir5skAypeb/I1uRiyVDbhBhTXgyPByd1dRIrc2+cQxSTV4QiKuL5C//MtU1G/iQuy+T2IThp8now hDEEY49xH+y9JtFY00Njbeu87Odvq+ZQAswasaxs8IGzOFK5CEY2GHvUoz5ZqMasQ0syJ+968olq LJ+Cx4MAze8hBwfoJd0tFKfTmP1MFxvotBVW94yOGkqGsEFYkvUI9TGX4MECMuarNXAugtyWIxgX oC88qAtJibhI0Tr2cwjTPHxGcNdXOjgmos+4aEwGeQezPsNBHaXTY3o6PSTtQaGje1KHTWrMyaCE b6JMlROxTFfFVMxNjjgm9ob63zjqUaity8UOImBh9kRLo+xUIFgN6scE/gNT1srS5pGxnPqyUW+I ksESRudiRWs2UtAJ0cTj/2J04DHKhbULrurvCTXY9yBLybiKY2kU7mgNDahO4Hxm9wxATB7VEegj ZeHOxPudj4oOhIZq2qQrwF2MqPa+ONIHjIgwMGPdUXYcn/HXXQa2ki8CDrWzHTQIqnni8YjS+h0g siT25QT1CEeNSfAE5oldoDkmxbxY6Iy8zdwyTRrKdv6caAuxAUtNEywsIYnPK/PkcpPYU8DQtqD4 6Fv/EOFOAWA/hpBc40OCdIhFK6cjttgWSnKgPmd+23g82EIPr0zKw+iQgJltMQpzanhZNNr6sn0m Fh69LESpRwu2IgvQaxY1qZfueymgcbFNBNYDXgN57sKZUNw77B1UsZIesQYjiSX4kKBulUxFYnVW XPkRjvTdBN8XXrOQnth+MTo0td2XGB0l1SiFVtOQlZ4o2Vsfu2y/7lsrllSPqEsNoT10hcb2xRkd YBUNYo1RdqRcmRINQIUrVGIHE2vz18n6rkGDcVpB1n2xs3p3UxhaOePxIKm+BE72kdKv92xESXWn 6NnIxQYdtBVhPpTlAzYo8V0tZxxxj1r+g89ie+hUqBU96iF5oU15bPSoSY7BfBITB9fzAkoOHxK1 YBGh2qgPB1boz9As6KRxlfE6yWPG80GXen/X9tCI7enCzUcIpkaIyEA1qLADh6AdZrKeeW4nvYyo Sw27tr45x8bCBAyi1NTMaRTCwh46pdhiFwD2ojhbzxvVn/v+bPyBzwhm0py49kpl5l67vS5At9Pj ERyWjsf/NehQB7YjW/XrfkQ5NXb/+crFYLAlb4fBUvLHpD0IueFLFubUha1XoHGwZA/Q2BDZbGIs TDLru0QtkUl3nJZr3dv+FBQDn/EXBbhlUjpadkoH/baqlHDGxuPBMVS9Rc0vh9th3XnriFLqnrVv mu+g6v1FnKWWMZbtzkwjSqknccK7K3Hst0UNIZh+vox20HnNMgr083ZBzAWyujR/uMQyNG7xIZH8 CUMzmqDUYE9sNdC23WaYM+H5f+0hjR7BSKoOYBxRUo3utHm8/j91iq6CFxvjShmB0mfVMaKkerHc WHL2WI+zR08NFCCwFVF1nOtkVJEToVSHO7JdWcG8ybMfIfCD7aFaqSrIRQc17pmthOhcZSNCUo/1 DWsAb6qmK2IxQiC14IvlnkLVT6FF8ymOOaAPbHsoAlJDI4jo1+bLpQVN+OtVKcOf5G4QWoX+B+pG FI/ljsqI8ByhyAfPaegV+mIt2LMx+Rc59evA49GUwwPE7JCqjbcFO0LcR6HRt3kzn0uTsvCUsd3m yEBVBAM1jKhHPakbBFC5FlaU4tmfKCSO2ijkjfwRAQKQlMJAkzvI3rHz4/EZUROfncVBqzgu6p/t c8NbMrQ2Ho8GZenrFKrSN3Rw3ohSavw9QV2uVrcOp7zMpLp1yNXLr/owpd7sipW+ffF0ESoouAhY c0zoCCUW9kiBQEfLJV2l3K0tFKbUUCDIiwKeGbK+hjTPsCdlD/aEbeH5f/U+hK4CSvm2GEeYUReE aNnsGRJbBmqY5DJffTNTEx5hlzoLVMZTeORxeVMVIlJ7cSB9KszcMLM5N1rmWznYWknex99S0gm7 1PKogvajLarLztFZycSDNDAeDyI08vdVhlYTxgumpRN2qauOIYd9pH5H9gThKqHGO8sIzVAfjy2N PdfwxQcd7VzFiaEAwvPkvqJvQjf8pO9gCD5q+cW+a1S4zl+oD8Pib/AXxPNJreHxaNDxfZEtdJHm 9vbZjBJqVIwT4CReXxO/mwIEonMRpiHD6UiF6wy71HKs7lQd4uIXGdQJlwH0QG1fjGFGydehAzwe f0GSMPEZUd1KWMyiYENfV8ornfOry9a7FTz+r2OIXWrkDeNOo2eUTkMBDJCnW3Hk4dCqsadXHDSy wzE0Q26imQuPu3iA9glJo0HnwsiNOT4MGOF2ig5Rxuc4wZU6BDPuUlPlDO1cW/SOjYRJwQ8ZwBWP RxH6PoUoAlQve3NG+TSwCufkG+5JkZrj8AEVdHgetMkUoSifVk1GOJYtHqFecKMSfHZyutnIbyUe Fr8QkrUrHgyyED8jgnwUYj36XYwyBQk+HUIp4/FIhfIXeRMNFKQwFqAon8aLvehHzgBBB9kKjnOo Xjk45no8hEK5vGHNoemL59PnJk69c9oKe1iYLqADSwj+ynRerBeID64NPiTSy5PMh9QI3Jo3w4FX yH8Uang8GCa2GyHCQeFP+AykZ9ikPm/tStt5d+lmQwOGW9bF76gPFKII82Eo2JSqL867g5kkihpm Q6dKlT8M5Qo7VAFhQeTZEE0XZ5RP1y5N5XkXQ1aBQUvo7xwDj/8XAjCdGuYVapgR5gP9NUDDjCJd iBqTLyXR0DyGzoteLEBRjxqnJuYbrfhiewgofhjHiA7UTuKSNZAGT7fS0HtfhjQVF2eUTyde8VWU jrMkVfU7wV2BVX3GRRZiPsb3KQRdzEr9aQUo5CV2NMx6T3bTk3YsJYtuhHtgPoqfQqFuHn/VtVlN cLEAQfdxliR70w0oKWuSinl0Uz7y+HWR/jujFnUhGqakfRdVZPgjSIfg/GnweIT5+AXFTxCDupy7 GWE+Jt3xlhE4Tn7QfNyaH4Ol2UmyT/w5kVADt06b2Rd7xRpdzQumHAuyFrmy/q8kHtAFhELa1qIm 0HxG+XRLVrMmX1SSnWtSUoZ19Y7H/4uUBbgAtdyifoasRMyBUnNqa+kmi4Iph0FloPlabJQ4Q/W8 RSwDSR1abAvhEJ2zbYtQAaqBrMQs0vgPah676Sm9gM/4q4cP8o8vF3g2hdg8uSgej5LFq2vKMx10 jnrJvytKpwEjXfJLIRJ/m05wpruGFa1t+h5aEehj6PipffniN1k++0czAEAzAEVg6yzRFA8nJ20V vgS5V9ifTtTs3IQwjn0JQTXxTwhUTK14PCAl/lINhnER+2AWoLA/XSFtSnuL/0fjoAvENzfTwVNo WLa4wnS6mxlH90UBOgfwam1n1dAnmQbnHi9ZR/+LfdR9j2l6zuEzorZHFjSYRtTpXF1smZ63BQ7F PKdP7YHn/wU947B10hrUX7IVqk5jCtS3GUydvb+u1kfdfgztVT1CEeqD8/qdhnitQ39RYvPQ4eDo DBfZ+e/BnwApEeTBdM5paAm7ACNIvfiMqHMGQAN9Jm1R36Of/4JvdQX1d8XptJ/TnMsiBXtmrSsk JaLv0eZjhJc8FZKOgEl9uBHeCiHURNxBnNKX+45BNS3TuwyanSlTpaBx52wfRJt2HpFnK2xP6xRK 7DDO5FYcIyNjMLxdxePRKfSdCp0kFXq6675kofr0hlxB7w756NVyIXDAHSAsSxac0ysmJXL6U5/l TqPPLbAL3YHG3hAJIKIBTjUdh8csl5a4Oa5fEeRjiMqBPWeLztY6aFiPZLFPPB7lQv6OsZ8EAGyn s4kiFKXTYJyer1usPY2+uLWnwSi87ell1m4rVPoQogGZnC0eoYS+UKV23j758jmINhpDGHJNGjHU 6eJ5haIv+JAIFUPt6UwYPhfl06iAmV2cWA08Hsm733SRnLdFh9f7moUgaqg0ALurEE0KyTNfXLVn m0bjF7IQhQl11RiaoKD1JdJ9NnKDNdyQOcCCAhErDpZvmFOgZLbW0B5ZXzYKETLFnClFQAXZrO5i Oskta/WUGh4PQvRLXxD7ro1bk60oo64cA20mjLzVCKfamnFU43LMli0dWiGKmnfZXKv44sAhvAZt SIm6VNzHVM/DwKIDMYZU00Efc+i7/tUaajYum8OFYCFSyWwG80Q8HpzUl6ggN0685tlrshWiqOHs mvBHUdFKILOEPpZbl+M9MCflFWbUEmVKKflywXmneuqQq0B74Fxq6C93tOvaz0hsDVGTpDNCq+nL Bke10qEh7BnZ+NxC+fwwUyBYFY9HUub+ljGalfD2e1JHGTUNOGBjaXdZvWX9LA7OKxP5uSIU61Hr AOKQg4uP60/miTb9og8nVM0xlJ2o4X9GowAjmjmWD42hLxvlQ1T0ahwopjtqOu9YUZFfTjKPx6M9 9M1tPfXJ+RNdTtmOMmpg/EG07D4nK85tza6pB06Ztxd3KJ/XdP5ITLg/YsInG4L5hmQ+ziGHbjfO H8gx9YmubbpzMtlM7yijFlEqLTbykwB57OCj0mOKkwYfj8gu6StAFFrG51uA3jPq8xT0hkZuZsRd VZ6xuyiZJoKdoRstRfNQ5YMj1imYPRcP0AIyrNJrYgHv0QF8OFvohAxDRgCc2r3KqIe/Qxw1SXe1 S0u43+ZZQaNJikx74PF/ndPWtv2hSa1FKMRRn9gsGo9JTGcMc5s4L8f2Y2ihaFKE/syol+S4tViE QI07iRWpraduB+qDXiXylyuwDRgXEoM2Mz4j6p1RmxIFjS0qW9v5kWQ315Nk4fGIlfirKGMKu+47 FmXUQB2uMnL+vwGSRwfrenJCGaAY8MERYpeNVH9A1OBiohThJLHlinJTCNgTp4SLMdEyUVMg3mQ7 SqnpkAi3zuKLHR3n8GHZeaLO7xgJMI7/VbbWR6ZhhyBqqJy2vDxCPu4AO3r6Od2Ak1WEQhC1qL+b +4OLT+vhh5yT2NEToj1UZW6LtRj1dB4ALHp4+IwIEkPka5bQR57PFoLME0+WVvH4v4Q+eOIAWEM/ RQUoSqjNdsItS2hfaJrve7teN8Q3LUAh3kOIPEowaPEtdCo0iCUKVHUutcmJY29ADnbunEcGFg1f fEbUwZ9vtNbJLyi8R1p4/J/igkinqW54tQV3hPdIFIoZw40nlkl+ELlooKpTtd5DKMJ72ByxUOSU y33HGqaTUusuaefCSZr8ZDFZnfSS1iS623eNSJskKDRm01wsQLNIooFzxB1rUX/PESHxUGHLbAEK s+kKlpRMOPBPw7B4wHt0P4QqkzoGKMZ7cAe1Z/FJdErnRE6akp2ym3IPdAUEIOaUoeAlmicQrXfx GZEkvhwniIsZ3gOnrHgnOKDC0XZHUtQgRHxd9BCGRwFtAQr704lghiRq1OIg3V6xef1sV6omxrRD vIckllVu7K9yY1QInmZR7ib5g0SjQx6Q85SMJqNBqvbUdw3OIDLJkJ77ogARxm7sFT4eoT2+d9AC Gr49OyiUogavdHCHkjm+c7UAnazietnCPkA7KEJ74GVB515z1vbMWSFX32j5w7YHqjx5llOtjjIo TyLECccONT6mwGYcJnLRhANQb1lJnKwCj0eKcP3XLQZO5LUGyilKpsG/hiyDoz36WBftQa3BTaGY 5I72KcRP08N3iLY5vmib6TwOO2H633RMmSb7HrC6OZf+yU5InTTMEAWZ8CnvQVpV01SKL3b8YQWo 3wOoUkrhnT8Hf0CEMr+tDw5b0YPZt/WRU6icl6DvIaDiRgOtTQN9QLte7aHMbrLFKZbOE+OFAGAu Pguq5zZGQc+67FSsUFCHW3svoJVN/rTHfzTb141QDZODRMl4bq9t0OsVA/i8yI3PB4VH/84aUaq0 dqUsqMb9/liDBcSujvEUw5XWmqV4E21goCb3gBQiqdlgPK/d8MWr1wSVGIkuA006imzu0GgjWjjj PnDoh/xHU4z92EqIKKuDtJyQbZgKpyEI2hyLPyDIjO7o3uTN0Xm7uaMIX9GVDXuIYZ00+b5wNN3H sDgtAsMVp9AskWnjkODpeARPG96NCTsu1k8V3aJNz18Ix6A2zvt56/Df6VMiZod6aWn5YmX+qUaY 0p+aq/L5f43OsHE2pyf1iVKUYkNh+STW8yKG2ULj2dTz9CixpNE7F3WtlSEhgfDFIQ4T8oBDzsjn WK+8Aif4HqBPMZt3Ce9CHVN+SjT6IPFliuQxfYaZFqgATAE2zBZSiAPJHiX2F8927ACc5hunsHUN HaAtCvmC+kc2BRlMu7IxqRpQzEUOXWHvWh3ZMrYv3pg9tX0l4w5vHTSqmWqf2rJjN53PIOjJqjUm AviUCLTH/n7vd7HLqfBOQFstbT7/HqeavnUHkeT3+rWbwu51Q+uxd+vNojaz3bSI5SXy86Q6RurM Kcq3p0SI/o+pAAgeMEbuOsHPP6xBzmIb+Dx21vYdVu/c7Ov+qZnfOEjjYi3+UxWqvXouIT4f9fh/ SRRMdCAff5wU6oDgZGrQGyOx81xH5YmSEzvPHe7mHSkW1xs6kqovNo49d0SHVAHpQuctoZYV5iBU YT6XHSJjKVOR3XUKUSFkByeMCLlYhXqy+pKLqSs3Ph+NG3/5KWJHzotv1Dn33qMFurolt6fg1Fhm XbT6NhndUdwSLxIDqckmjc0XT7xP2kQXEvLyxsnDOfevNAigtEx+5PXkkpxiwWrKfHbdcn36Tmrg CDMXKG3w+eB9e4o3OlSc02Z8RymEWk94LU0TuVi4962+7QTiSRCERk6KUmgEww7tmLrk5r3kkOqh /k+chmy80o2eZkjTMJH8Yb+dqt6FWoj8kKCJxFQJMj6+GFJ2j65m/2BiGVrB/CJWwSm1pX4zptBd cdIxfZqH6wQcy5lVdZkzXu7DmVU5sFd0+MPeUnDaj9znuf0hDbXIX2wQ0qPxEJRDYCcEzYt27Seb f9u/VPbalhfDNs+ifO5ONVPP7wzL7dBfcezv9w19iA5fBw9SlHxjNom+ztU+vy4Mm7KAgsv24vaB gcMivDzIPwNFxRYF6ZziDTO6LU3UxYk/OwGA3EGrAwjGC+VL9m2DoUghI3/IhmF0h6pl2A8zSKtU Ph/k3vmbPcSdlJ8sIPZYhO4FvPDUDBi9mLrMrJ3bq6DWZaOB91toskg43waRxxfbSQAs5QL0DIA0 8BHnIHcg40WPEt3Jyx8qzb5txEFjllS7LcXa070BYsmTuw4+HzVMfjGI8Jdp12Q6BzaLkJIjK3+r pXSut+UKM7smk68+1Qac8RSkMPHe6ZvqecH7A+Y9546mW0WHL6dIVouWcGpyt6tzxRF2DnwW5VEP QysyqrkITFPMoQ3XZOXz0ev260wC3gkXrAcpVLBOdKVaFqTsOHUMacmMLVKTcy/KwGoxedtk1uyL H9wbjZMiJlrd4AWwt42xbgWGEMBlr066GZrGEiHScsoCr/HlZ8KyujRUoNjC54M57aq/8skO49fn fXvPujEdIyR0DXvfsg1LMmXdbStt1A62laKs23zOWm++eP+2gbeeeXKjp5SAaAahkcg6kOHa49ip JCCwW3TbV8ADfdFWgkeL1D9Pycjn/yluyec28e03SCGnkR1K0rmQdHexGwmIQGJgdCvNapFOhoaL EtQfhTMQLnfShjNjMp1EZ47MxZPk0MMSBxQaDg9pr9jXDdpLTAJyEWikOAAsQ8NVJkPnd+Hz0dHd v45uDiLAsfcohVohtGXo1iFxOgipsbXXC6xx/FoOXBczC3UARnjkzHWR2O2kMRi/FRH3ThExKZ9O /yV0eX9wj14Rg2rf9o/77bw0hEVw0SwAE5iq5Me+ZKSn4i04XobYzLs+R3fY7J5QtlwG8gPZx3Gi NS13zSubncqkH/QXu3F2XlizzwcZAQXZU52yMoFA6Mm7sZVApx70Qlz7CqXuNO3rvkeJL+nJiji2 7Xdsi17uktLpXJnPR7rfXuXy3cKWmvnJJ2NANnomFFmmHIZSJWH6DWsD4YlxoxTiRwTxW3JlWs+p 1CChMqbIV5gBVyLezmuMRhxmWtAyt7HbSvZt/xorwbDTF6VKCfJ1RM3k2fh8UJr80uEDm6fnLzfq EEGCUwlmWHrf4L/1Y7IqfXv7rdXtRW7owMgCH6SZ6cuFQ54aInVyZ07lc2oRcWdE7Mf3fCh8ArLl 0IJxkt0IrRku3ZFsGd0TvOHQW+fzkRaf93LlC0OOyQVE5tCEEVFBlSv65zmfto0GkGTiVuN0qdQb pRiZra2U72JbqZ4NAjUatt/4YyWDRTUstSzuVlqypA5dGHO1Ee72xVCjJ7yqcs/dw+eDHmX7Lk3Q +2Iz0IMUSocMKJeOLJAWOOlOMhq0IsPRnVfNbrkcGDFK6AzHUbqL994wGD5hJnBjwBSmqUd5ThG6 vuRCHfmhKjfZ1/1b6YmcvoGJlDiK+NHqZJ2/8uYP+Ffe7ZPckS4iKQdmjOa7nGcdFqZ9j6Vz8XiY dnFDzxy4MSa74cZ+Fgf+ndI8ZUCoC47u3Qu11DooRxusYnByb51LulqO7RgzBwObikagqdlQDaBU qldCzZnP/5d5d2YC8ngvx4aMhYYxDktiB9rch2o1Nk3prbnFeeDIKDAz1WW7L05ZO0Xv2VHUdwSH Zuj/pBN2h0HyzulOvOeybxsFKUvGiAt2Ik+jDAn2SlDvaLCBDzwZ0xfY3xMB6il5lKJ2N0eWg0xd mVh5NwDOm95927jGLUpR4r0FnKhSwayPdQOgAfAqIwCw7ynoAEDOnKp1MqHNLU4Cjzk0ZcSrRIev 5YuuuJPYlS53s9r4fLSV7hWHIw1QE8B2bpSizBuYRREUNPpeyZG2oxUnjXTMTC1KoUSfDONAUrPF Z9+oe6GyRjFVMNk0jIJDbG2Ux6wuagTNCX3bCD5BOgSUKW1RlNqoVKdElDafDxLv9M0bKebbc4MU dbtR6E8VpqjhUnGvpi7PLnbfyr6VbmDN6DnlFr9x90dzpQLNTAdvsLMGZFaw6RrtAzsA9YMzQ75w FqQQY0LnQabrtijxBpl/i+G3J5+PAMnlqzrBGKyl/AQpxGwXeMlsF3YexI8JRFG3tQNgyDus0A3c GQHcZXQqMYFcvLEE5aEGWCJOpXFSa3Z8O4XdkVLS/9RSyr3s2wY3HIGk/1szAzyXtpepzXQ+HxxK a3wFiRKBHZKKHqUo8YZeHXDW29tvNVuUAE1zqMmq9337R7d7UZl4rNt+A8KQ07cpN93zhSq73Uhv WsUIC3Ny9AuRLt2vG7S7xafdNKXiYnilbQ7WkB/i80GYbqXLvY4RV2vz5kuhRyOEBQFUcvD2nnYs AerorsxQM7YwxSaNEp8VnMLEclWfwC1wJumon6Bt9Leh0YLgAGsL/MkdfPu3/bPU1Rm+lAUySrDP 4u/Nfndg06j51NcN19kTuHlAaNO4z4vidg48lmqzPCDX5sdS4xxXQYoy71Ws87Z88bP7nBmQsdy6 4c72oZBNZyqJNw6QpsvM9m8boAMoioms3RdrLZ0UWIS0lvUl/wUvVf+toMvy7KQQw43JSQdt2fCl bflOKsldQeZ4dlIM4ibor+3ti08qJ/LJzX43rFepfN4neksn8wa7g+mKobs09Q7dGqXJD0tMX/SK 9AGlBb5CafD5SNnQo4RjHzOvUdITpdivsVMEwbgAba16uQDdoyT/aSbesWEj37cxObHk4lE6B/mc 1LSFG1Wn6XyHvAzmuZtn6PXWtal3YNkIoVT2J/0Qd9EgsE6z8oDKvRQl3uWykigW2Uh9vgzbHJs2 LlImkrG0h8vUoZlrmEE0c105KweujeIjQC6c/FAuFibQGSCdwTcO1x3ic8IExDe5Jnn1R/YoL/u6 f4jWnAyXCn5clC6d13csZQJt8vn/4vBACekHTSnBiffjECNK9gf/H30UXVp99N4vCA6sKotSqDmi FtwQymtcGumpSDpAY8y8IdAyQMiE1wYSNYi/AxR/uTfJv26QL23x2Hv1JVkVl8o2aZ/M5/8LdYIG l4+XdQ4NHNGAXlWQroE+U74MrnTVw2tzTYQcODiCpcIOZSWTa175r4lZ5WowT2N3iY47VDYGLJKm 8WNfNx54KujbBlecdH10iJdH2fh8hgTWa+e5FEK706XbouLjX+cpdQMPR6BxCkrdZdiuJggFGwJS 6UfLmy+kbaUo8x7Mk0iIs8XROP1czoT5ornBsa4QcPRDZzd35fvCpWVfN3jhOFzKhdClfFGbMImY IuGcncvno6zyGwGHP01L7Q6+QxvHSbNU0KczAd6E29AbLEHsLGswkG8LLvZxpMgPcgpfPFuaJ1na lQokUGgZAI7jWIJ/GjZgRkfUO5W92deNRA+lb9zuYnuJKjCs8dfi80FzqfziKmWS279O79gbHdzt NKup0bfs0K4OkgxBS+ifVg9TmHsnqmQ18rm42OkNZxU0BQa6SzDoAwu6g7jEjs38KeMy3jJlsfgp 0blEFEXiLI6LTZnOXcBpSk2o4wI7R1jxXQwzH4QwO6UaFKbQ0BEjCchPJksrJQfAtJIYIs11yTlk xhQ4OkJvgSZPDYmTFht+Qzsec13wBSCy1IekgZAHF+KQqsMpIfNu3zaKEjXrWrI5itreMG82Bxoh BAJLx0TJgy9mIADULCUtSHHXm86ppqAFb1PPvWfylsD5Y3dPK0NPR9L7NzCyvvgrB3B3kUvPwNun 8cF5FRGbDVXK4mXcUL4UmDrivRY8kCRuLtYSAFyAR00dej644n51vVHO1/XAKEJbR0AJRK1Wsdvm 8pYAMzwWuwT2WZBCIxpKbcBh0Rfvm0ATtHfm3rOMBPrSD7VqESTAYa6Yb8lqwYW+jkItAUXFBaU/ XT4hhzcIFYHON39ARBr47gmIw/kA4AJnRykyrSbWEnX8H9gSAmgtuO12RvkPa0d2l6j/qMUbJ8Aa FPr9UJuefVd6XWNugllDvzJ/M/m3DQoUakrMohZc8RbcJHycs+8M2FLo7ZgvFl5HGPL9+WylUDkb FqonvU821pVHOhKB0qwRDuxe9oFl7O5IVd8pGMV8YBTnVz87BM5PVLc5aeAmjAL2x4hL+QF3wJX+ 2rZvGyXelPVdFLLjooYAqmmeNCcFq3z+X1YZGsXBQuDJA0J/RxioLpTtSinNmgYAgU40Gqe6Yy1P KQODx/Q/MlAdHGxr8dn3+Sv3bKjcUw7WRuzyhgTPwEWc8zfYZNvXDahM2EMnvSp3scQ7dYn4150q n4+6ud/ZEvZxz8+QKTB5BCW3cEyZbfa9t+WUEOfrFqXJ4YeiFDIqJTxaKQnJ5c7izn/YReK+Z1cR GgqwSYWDCVQA0uNYPKnXlgOfRzlXnEN7s9blYtnSyHKbwn3F5yPc0gWbdDZcCsYD7Xnlwq73HtRx Ub8Eygeuz7rJK1Kcqiv85sDsERRfEQZZxnHxcwn6vny3cHqfohyTPXS9FzWI0b94wiSSTuD2qMYq xX2XLzqX6qnRBYacmFgGdo/fcErVuoSbeK37ec2VGl50MJmIRqEcUG7OZALl2OqTTmNmHEuvmVKD WH0ja3ksXxyVm+pJU6u6Jh32IlJto+YeBJ3KdRXJVKv+vOZJDVrqVB/NaftiKcBwWbu28PRLdBoa H3rVBgcn0NvByIX/4vxRguCgtsVEQK/X6nUUQ3XBvvCiuu6ZHQTHgG+lNl8c/U7XBLolnDOtncKE zNTK8RCCciqwcaMj5NtrdBKL8XYus2cx2mlPk223WtDBfY0O9HyGRYcVGvIy7R1E5zU5aiCdIs0m yIeHdd5e/1c3Uy+ZPG1G5zU14tYxe8fpi2OVz2sH1N5SJ6lQVQZWCNDjYJEDlokB3gjofk2Mzjct TBvnlgkdZa4UHADSZYy12+c1K2qJ2D4FR+QJdEYI5kZwXnMivFe/lTcKQLuGdydLken1eTestf2a EbVMbVeCk5MvvnUmizJpt2R4iNmAG1o+EJcY5QaHN8XnNR9q1yVjcejWqGolNwdISnO8DanXz2s6 1PLlvI0fhQKQouxb5zUZwkPnG62S3WeljeajtryWyx43XKjyUA1fLIG2WM1y8fbahlcIiGqnjMX5 OZl/c0w2EvrwNLme4uKyjH3NhHDsFHEn013sju+U3sG04+TUr3lQe+wvhxTIaEo/aYZDi6kgPHUC HckuBLu0xa56CDUMT6gB+zV/0CA8c8jemrf8ln6BNk+FIABvbFBAJU8F4TFym4GAZXgEj0jMp19T IByRTWgtvlr5vlprn5xBXehWy+c1AcI+qNvCo0iezHw3f7Ve0x8c5SjKejIZrdXacs1sVphWboCj KveHKDrsOm7CZ7RYdCZcsXohHPmkoJBhJ1oLmXUn+YJu91a4ytkgereIs529d1+MInHOFJmkn1fs 85r4NKEGvw6exvnwtOi8Jj04lXsi7sg4W6lsGxf1rZKVdUbzYuw15cGpLDzkIM1mjYdm0xL2PkeJ iFqyXht13wbG8zk/Ful0cP28ZjzNJSIxBvfFICOnzJ421sif13yH37BbdHi9AbG6CPqnklcQHQgx r85JH4dp0+oxaGqNqyQBor5Ux4JjuTjR9n+3GetJKLlrePBASgu32ySmeaKMYhHrILZGWbYoOujq Ayq4fOFnFKbn0kMqePo9On33r2O5czB6j+XX/iK2K1gjY5rWKuBT1jg7xeq2vVOZzElGItg7okSu Ke+vean/J39qwDOj3tnpnJuEDp7iC42+gWFwIT7IsGu0LnrtLTY565iGoi06lgd0BKY3hF47i7y0 6tfewZ+7w5DJssEoV8ZbsIi1F6lmNGuZQct3G0Bk4M43ol8YHxny9LtYW5HZ0kZ3i03qiuIEfzdM 9nHh//D+cYHaJtJ/tH1W4iw/FV/UfIXyJMvh88qBMhq9XbN/nT0YI1XhH8VRCSIEOa1z+FhTcQrg xKO5FCOQnFLiQT1EGbN6HFBc88UilKAIxZEi0I/nX0AMFzvo3AbIp6HwtC5kzQg14eFMrZ/ExuvV VkTqAFMMRChXPh7kzE9ayPIMVjgdetUWoyhthsIzRrD2ko2Upx9BOxc/gnq7sL4gRpvZ8F7qma0H +5hG6pj+sKWYwHWkpHYHlarh6IHKuBcVqt2jxFmtxJLZWCxu7Au9iXME6VIC5eg9c5a5uoWIFD+c C23fXRTlzhB7Bo6l+SE9XNcvl+az/EU4hRAPwSndhcNK+y6eHp6jB5qZmVItBfc55egxem9o82cq XPgdlvRlg8JL9WjXkKOnW7aXKSJMLQCHhunzWF+VF/AgBIRbhN7zZ70f6KlfvZ/taIeSvS9ddr+g x/cEWn/fvmurd7H37FTVQBlz8npO55OQKseGrExDm5Tut4Tz5S6NlvcEOtHuDQj+lSWDcH5bvWcJ 9n7YtedlaJ932lrTMN62zA+HZYDzbb/o32lrzIOQ/ZBdQQXWbY485yhKy3JosO+8dn9PonHoS01L nY1H7we807NjyLw6v0gFgo6ANkLuQFtfpdx2K7153klreE94SG/OAbUIWQTfeF1m5/z8vHPWkNSk +XVWw0gJvcTuEQrzaNhiVE3EBjX+ps/vt8kgwgDLbTHeKWvNoCAbUqi+WITaBMexUScCHR8i+NCO rfQ0QB70WDl2+67vEWpiPWxWG1z0lu3RO4EgcJH4vPPVsIdm/sqGKuDNY97uT5RKQ09tEY0hulp2 MfExCGWSbwgNvhigKJcGoQQHEKFnXBzfAGOFk/JwC53LBrR1VBpsKG8KaXSXPziVddeXfY+Qhq1g w/miCAHhzW4QwMufd7IaTxSvxARpxFdG09dC9J5PoxYD2Gp26wF1ErZEwxKsjw17jOjUYo0SamrU YoAxfPG3DK7KmZsQBOyEVJqcP3w3COcgmXDjEA013hNq14rqkongoi5QbefoUxcIujVRRr3vfV/0 GPpPN0BRSo2xD4hRDpIR+3rRUdZBMsK1KEBxTp3ZHuOwlYuf1HvCzVQmaqApdcP3AbKKInbVRx1y Tn3XvwJEwo0tOobOCTzc02B93klqTaYRz0GNy6fAKtuaiFFSjX7aOZ2Nnw7IgXnPDHkJMUAD2aO6 iHFSzaGhlNS5+Cl0wl8xxSBuD5keVUg6/B7g8giu+BXSWvZd368yqLiBVUSXuZHdZQ4Fq4Snoej9 eSeoWarw1e2gA+DtBb3T01iU8cVaBtnreTpkL5XuSOI+XGvsnZ3GnUjy3ibBmIvjreuAcQAvMrBN 9yRQNEHccZDOzZfNTqFsXzbIqTOFavK6i6qOWqk4RC+Q+Xknp7VHolY3GdRCzFmFHxpl1HDIRDZk hxDsNC1CtbnpQ9nT1ejfqWntsomuX+rtCJ0z+hTPcOcq8Bgao7Czdn4rEIonEYk3Xxx0fXhnpllT js5OyRfLFyHNTfnDc8183nlpTWr2X3UZ6AHkoSpCYUY9pXHk6NiyfRK2lnOKQZIx4NA7K42Jingf ilAvT+U64HZWhRs6P6oW4oY2MJSTt0ruDyKGwiLvpDTsIVYbO9Xmi0Vozjw1c898PMqoy9cxBBx+ m/W+ZVFGveagfZFJr6TpVdlJ3Vr3yhW4RmbU74w0e8fRiyZlYV0h9LOHGtAQkCXB4BlmXkQY582y EaQSAjb0llGS7PPOSMOfQbm0Jqp9X/TwefsKCxAYa33e+WjtgVjbLAwJda/3oI4SaohzwsndqrJR iyv4bJmoqjnkts3vbLQmGD2AQrn74rV9QljQYIVxSFt7s3Arp4AS2jPzlLamPd6VzzsbrUkvsMG7 cfiiCKXzknb1C+f6vJPRmlxv7S0T8oxsPc+o37lo2HrgonXelQhRHZf00fWPLFzRHtEmes+ocVQz RJk+qFz8qIacSN1uCFrrIhyUdNAJKdVMT1mfiS192feDaEmHtcvIqG8H6mOkJ2T0uQI+70w0/K65 f79mALG15FXZOxHNx2JdmGGSGapPNlIxiXE2OA1//s5Dw98XLTS9J7bY0BChrnCFYc0B2s0Wgxbi KZ2Q2CsHyb7S552GhgCRwkDhaixgIujdGLLpAhF/7887DY2baH0d1Z12HM9QNepQVzCIU3c58VTM 5fpUhTu501wb21+z94z6fPvETBFoFlssQmisAo4pMTFoyhEzOyYJehSnuzQG3qSfdw4aj1qpZbfk i8Hzz3aS5Sk4aO8UtCali68AJXalPKN+J6B5wngKYwMu9tw9QEMGRuyfZSegvfPP2PvIlMTFoEOL gzs7zKwHy9YxKObLRnal5cz5dATMEsZJ7+939hm3ECUNEqnDXHSVweySFVqDS9g7+Yz55nc61KTi 6lfZO/UMB/XQFW/pUL4Z9cmnsl/2TAG4g96ZZ81AwtvcmPODxjsJdCdUjQ1GoBW6xiEYChGYMfNV 7IeyOD8jmMwvqkCjDWmLajJc2VvZ0Mifd96ZTRq+dlAmzOwGKMqooQkDk0vfQfPKGgqHqmO6TXvF 3lln3sffpxK4iwWoj5XOX4EqNA3KxoPcYhC3YQbbKMfMESsoMEXfNQoQMdS6z7jYK7ZaM9rCSdje OWf4VW9rSMDEwnfSM+p3zlmTROuSrDAmHQACWzbUGhlDQFCv4Xzhd8oZDyFiO3Rac7EInW1yUs8i +6I0AHWWOzgQiVAP45/RsYr2XYOijKfPkuD6uoLrvcFqSaK9nV8xOqXvIcQjGddBaz4LeuebIUIQ DSk0c2WEqt1eYIqTnI9ZUIKlLsnC73QzZkMIDby9fbEIrQZv0U7CAnT7unzmFx2T0Q3A1emnENUw 3slmKDk6e0KT7M6Ov4KAdBNyPTz3zitdPu9kM/wV7yyRGw7HFWVdFKEoowarBnwXQ+EbirrznB62 h0rL20Qe3plm+AMxGapQ9bHFInSSdWD6OOeAPhnRhH2zpsqAzF0jPlD7+U2jOTRrsUUaVfFJCo6u knhKn8N6ft5JZpaLfIGnQMRpw4cc7xyzJtg89POtP30SxIdt3re38CuGEQxP1J9O1hgyFNW8YyAM d+pEyXXCswcUaJum1XjpCnltXrN2ytG/E8xwVbK3WLecd7djYMaEhS2T4bPrP+/8MuyE/Osay5qU eICiZBoE8NWofCIGtc84zjXv9UYB/tJO6ag9zdsbDjnTF6834AiPmo+i2FAFYSJUqfDPs230K4Ml KPA7u+y2zlbjRc/FZonAzooKhT/iezKtXPw7QgOTxHtKR8k0isW53U7l/FOxi/5kX3M71GNnv8fC /vRUKdZVmBFPO1nVQ4A+iT0NkftRCW+tBQY7wPsXhN+qevqyf96ZZYSZycpAothA9bHyo2nyUjZ9 yoLPO7OsSd33CRG6FkSiW4hCvAfod71YpxXQcm+e1exToDLqDVHYn1YuJJgrF9tEcC4fGcKjqMgg xMihIzTifqgHOG8HP2NAxM+IOkM03V1bVmon6vxLnE10DrMqlf7M54Ob7FeDGuPRhv/PIhSl0/jU JXU7Ns90kclT1kqPcm60ZBXZO6UM315qF5vWO1wcTtVPXXjqjKwp0DnAuYkyWg7npi9gl+fbGtJN FnWoG3dPlcjjvS0BhRhl6CBa+/NOKMN3vBWZLjI2mPwie6eT8aoH2MMnPq5auAkj38Ug0oOpFcGK cT7NRBq+DbZ4a6iWBMWiKhE1TJfMIwzFGDgO/UHbUwj4884mI5K3EM2wbZFIaAHCT6jss1Xn551M 1h5yi40SAV/sD+7jnUyGrVeB+wCxO+MyIyAbFEVwkQivz/QCBHQWm+idS8abuAph33yxEHUIL7Ut A4NCDC2tCvEHwABHRatlQ63ou/6ZDW2yErjoqIZwN9/rejLzzzuTjLe9TznYisTtQGspBShMqFHV c+ZIJDmtjM3igeqVtJ9pEPajKP87j4xzUGnKpOKL76H5WDzt860y6N4wFZ7A4UzpVl7RYo4S33lk HORInICqvFmyqYzQsLFBnZuP/zOjxmWGRJ7XhCL0nlAjXaRO8ZT1xcJg1SjApVKFL/MtQ8XACMUJ NTWvs9zU8u0MDbgpnzq/qu/RkfMQFAe3X3gPnXOo3ut+bPuywXUvQYLOeVB1UZa84Z3NSdc5Rcvn nULWJIb+7KGGJgGNJBWhsEW9JuV3rXeGAaKDPti9kx4Yfn+BpqMWNaXlUNBnXzxjPEGqqZKwCZXW rZnawPinYWqWcfRZ3bpo//1OIGvSYDqvV2EDpHttjOqoSaatnUr9884f4wzAI8T8ELdpa/cye+eP MbBomSVzR51Lnt+kJIw9bZgI1pwus3f6mOHY0DVb3RfbRIsFWKLq9YS0Jqd48AxDqYqT5QG/gn7H z4hICcZGaL7oLju3muQ0ThkwPu/cMaI39tdbVtAVL+UGKMR8YBAk0y2Oo5UZTcoRzGy9oQzOvQIU JtV8y8pWxbWv3yVa1AmvFHXAzuvQTLiIYkSFA4ur/kHr3M87b8z6T2wKLV+0hc6tJMJPObXe5502 xlPs+ypD77QBA2QBinJqiA0tEqds2jq8eZa6iaZhvDVNR+adMtZkU4bIAD+txef1rQL8p+4iLFIL HdSX4oI/T+73FCLE850xRkQCK6k9ky8K0MAxkHj2nrr1nTDGWe2v9mvhbOWeQmFGDVId3ajkxUdJ LcqB5/KopI1q8vstyqhTYVlGjJ4W709ncBW64IsLwGe2X1m3VgxvSLmVmyNttfEZwVWvgnWpfF3b 4IsnmUgiclSIf7UI8eHqMYa/r/QDuLlQmFDDUpYYVwbo3JVuByLgrexApCyLYzrqT6ehBr7K1ztK R0I9oPVKs0JYQdfNYeMAEKmy740Dy42tdUyHkA+SoU8elXwxTAxqbKIUzr7E48G8vniEOEmEtE5P XpT1KKGGwP/KN0IpGxwfrsTDFOUBzXN6y3tCLa32jr1SfbEtdOqwNqgcD/TiOZPmlnoaejiNhMPr MNep+tWjfFru8fT5s0VbCJb0Q5Kyo+LxgMKxf5EUULA+FUeP0mko0y32a/SO1auPOnrzLYSJuwUo gnwMivpVXJy2eOtsr5MgQoW4EI7XBAQ97yQxBfBK6V6SzWTfNSg4qLRbWpq+MEANLzJP6VpPwdGj dJqaL0/RCkGkfvGvPUynifiQuC6QsKtvL+u7jfAhQtjtnu9Rf1qoqtRkAdIeC5Bz6gBsnqaGZB3e jkgW0YWYVOOu6MMasxf5Bj4k6g2xoE9UHjpVHhIEZosnsx0sYYHzxPMBbGi2rxBR279DhdZiFDIQ OxPqvHwMVJxLfy6d5fBO9GeJ+ehRQq2aDGKfvnj/rKd1Dia2Ezdt47ao9JX87GzwL1dlKPqyQTLE KTRIY75YMjTrIjPr5JMTjwch2t+jVjSXKG9vEYpYiOcQ/QFwS2k09FG79c9WcxZiBqbBIhQn1CRp QqvOFh9GtwQPKJUc0MQsNCzo0CnpiVzEcsGLbDD2qEetSeIs7BGRZj64h3qGC+8PKT4FjwdX2fhu MMLtp6OlYwGK02mMGWpZ3htyha/zVxmu8DXRO2JN1kMiohqM61lsC1UQnE1T73zYBuof/HkIRnRA cNBr9nMI8zx8RnDXV3bP2rNoUAbSJ4mhEOnB48EOWvcl0xai8LGDYnrYpO44qSdtqwqBnsOFqzA/ lI0cyRyKUJhPw2bn5Djy2tuPt/WC9WQHRbUAZnP+404SigM+Dh0/tMyuguXUl416QyxXEzF6XKxq 7YC4KUQTj0f54i9wXoIk6oWe9feEWqb1mFUmtYYab0S0hmCPSvA9jXcxn+NRHSXUAgPtRvjibhe+ CLssmE3IguCcbbWxv1ggmEaaDSBgxrhj0w2fEd1l5Ik3yl9zMc5UpzstrqU88XhQ1rfxaw9hyMus VRGKMNSgssN3Uq1EWAR5byhL+pTQrkYMdefP+avkSI3l/Vn4HVjX994TmYjUYECex/4i5AxosET1 Xz+HkF3jQyLoGZljazVfbA9V8xs9GfrG4/+CL/IxSPHDb85DFObUhJmvMax91ohf3HRrrNtasG0Q iY+EKGpSr6w0py9fbBNB3TOjQ8k2/shlsugoEEsfUI2j6og1GPuyL/t+EnU28GGN6YtCBBVxbYKF 1yzkJl5ONEuMTF0QKB4aKzrKqqk4rKSUdI7mKFhI3gy/7yHczKRxRG3qOQX7ULd6XweiMc4/n5gR wQhkLXlZ57RCgUNB+3GlPEriYT2irFpijHUQx8jFDuuzP6b1zzIeD7Lq8X3dQ36q7idAUVYNeMi6 OkLnqtnDE6JmovHw+ZhmRjz+0aT+vw6Ep5SAHyo9mzc8gxMh19heVPU4SSMwmSbmBYwcPiQCUg8W 9Ww0NswHh4ZBZxNpojgaQhS3qb/bQ9T1B4HEQhQiqaHkBeNVm7myEcU9lHpxMP6qxfLqEbWpM2Ur 6qZsRZVoOwSpYR3TQGclWyHBm5korFQJawKiqD8XPkx38RkBxJO+TGNy0oFRtEYWUClMZCucU6/j 8WjS8WvkijH5w1YYIeyjE/YxDTpUfDdhVGxIhyKgl16yKKleiVX9tlYse1uDldmUW3rSUHoAKIO8 W51XHJcQhrPr/oR34DMiWIMUPch30eH5Ixn91HlUnx+/8Xh0DnnpytEjbofVboCinJrEt+bS+eef Sr/jRL5kFIUt3oEdUU49O3GLqC5t8aoD2qdQk1YHtmfIWHThJyD6gSL5YZWha4IPiY4hucRI5QxA QlaskECE7A9+7Vwynv8v07KCbZsf+Yooqx7UIKCONueJzSlBZ982082BioAVZiPKql1zaS1fvHgd p6xsuKUwLEuT2RXcmEh0Of/crqZg3mTZj6hJfTbLD21iky86qMEjLqa7OPF4dAp9H9TgTNV0b/sR wqjRxW81TWcrZD+FFlWfOeiAarntoQhGTRLbqVCfxXuwFRao6g+dKi1R4gkCzUhnqUxF6qZmZUR4 jlDho5K6Ka93LtaDTZsY3h9gtvF4NOe4PVjR7tjGv29Z1KU+ecXPyXhLMtJUavecXqaLAqZ/M07Q iJJqyKABmFcE02MTNhP5kaFNn8iQnv38RwmbTXqPYubBgYebDZ4fj88I0iEiGM/BVnxRB23jtucv vdbG4/+SamBeUNd3E3aEOTWaH/gb6K7vyhLZxt+r3bveMbAjzKk1vdgkrnKxd2yc8u5kRFTIgzqV mmwo7anbCemudIVyt/ZQmFPDxvlsz0wjXfpO8+zIJz7SagClCc//lz41ypaKnoCFKMypYWE32FdG Tj2k1bA5TqT4QCIADQHnMRT2qcmampvmZ1x8JJ3O5TVd7eNkMnCmP6WrICJwiqGBsjr5Wzo6YZ+a I2let7Y4r2wL2l5nKXg8an/40B5vFLpFbHwpQjPsU8N1t9WmCRDcBrcP7dUqsg6akVtnKI6XbNSx fXHw0KAqrjCeJ33EfJEEaXghE65XH6l8WnjMEPdBSAzbbrYYAK2dik1Zcmt4PAhQ+6aQo3GKes4D FGXU4G/PLUwVoGigejNA53Rld3/jTwQnMVauM+xTJ3HsU/HFaWXYPgPoaZqnbhgLEqLHuSOaYI+9 IF0/8BnBS6ZxK4SsbVGAzhlR2WE8ASp4PDqG/KpX7t2QMno+PUOtD1DsVzHtN9zAyW8yaqvrGAKS l8fQ/BczMXVfPBs6X+BcNrW7GlPO8js9RRw8q7hXL7uVKgQz7lNTY7nmu+gdG7XyLkZ6VfH4PwF6 znfBlMRDFGXUHLieXer0VgedZZi5DmcEVddpmHGbugvfmXzxEJ1tibbHYMmxgSFpl++yKeR3tYNX X/qu0SnEeeLsd9HRgWuxi/+bMh4PRva7faeLmAc807IZZdRQ2wDYw7ibi9WyqStnp0yx6a5TKGQm VrWH9l0sQECGQ7lNJcdEz5om1bjmG0SjMi4hB+Pj0/EhwVuWpfLxLAYdytb2BfYTjwfzxObp0HI5 lLYu/GyGfWrMyzJBJtL6uK9Z5n3EdGignasQRbAP05+GdJItzrsbBVcq20Pz7JUMk6WOhv6GJBzU L/tNh+i5OKOMunLzQCHYFwdXNdm2tPMi4fGogfZ9UGOq0piKKUAR7GMk2NV2E8pbEKr9MSULicjg HKq8qhmgqE0NhDE6+H364nsI8mmjmsMpbQQIHZKKKMXx9yVIU29xRhl1Ur0qPkcbhOTyoD5/TJ0s GTdZmFFfWbhsJAdIn95jKCQm5kENcyvK2qpXyILsCml9PMdQREzMvOvPZXOXG6FziEI8jzDYDQSj mS8ASw124nrsukj/nVGbuizZ4dS7qCjrLbPTB388Pv7PmoPXHhP5mwxFuA9Y1UGsykauvc/qrDLW kDqnl8sQzCijpu0b8AzTF+/jo5RccDyHthNKDurHCTFOQCmFtK1LTbD5jDLqltTzIFyYi0GHzolp /cXe8fh/QsHij1JuXT9DYiK4ram5bFWh/iUP6jWu+NlO3aQ+Zij1QUpQWW34csFnGLriz4cO8i7s HNB1IpM0/jPqnUnT3A6fEbXxKQu3CMrn4uAz9Y1RiPArRunir+4iMgaichigFeXTMNc5F8EQmGFV tiyMr2DkILgXbMuGVoT7GEIu6lXL+VoIn2wIyGB03NGiQ74jOxgkbxVdnkyfrC857hV2qKnGvWSQ y8WAMSBbScq1VjweERO/u4tQRm7pshVW2KEmWyF5C38mD9A5XI2jCJmGG6AwnzZLjnkXu8fOudPa 6lMBantOFhy0R20AdkIvw5FDeAyfEann0boMnlYwm1hw99LL0jN9xtH5LXg+miZ+X2QE5DxyOivU nAZKeJRk6qZjuub0yf7bduBHK6Y5vULgh4CLlVuoFHrhNaKEYU3TIHOG1hC8wJl6L9AeKyRjgJhy BUaUFPiMSJFJLfzVfVHj45TArZhm6cDj/xJWZp8NKVi79PEVpdNMRsiKMh+86nSOc/JMxy8CFq0A hTDqweaiiTX0S3gBYz9tqJ9xZN8LzHxw0zNH3D6LNuk8gs9W2KDmTb8qu7Bc7JTGvqJ9wvmBeDxq ezhGmI8VuihdKP4KtafPvgZXqhrqQ4bBiwZQzU+hc5BYsrgiXiJmWJ1W3L74RVYaSBzC553YSccB 2PYGkvvZQuUyEzcn9itCfXR6TU6JeM4r4pnAD2JzEKB2PB7lQt72wP4GXBTShx6gKJvGQbVqMtb4 uV2WBQgyL9tSISI8FaBY6EOE1XQXD9A510BIZGNoJlgG4h5LUHeZ7MIDX+t+k8m+bKRiQcMyOo1p UYQS3OJ5048+8Hiwh64+N16oCc5UuyjqFaKoE7Ur7HQGIcX9Ac/vlWwczV61IhSn06zF6rN4d/Gk jhXi9Owunk0j787aiPSEgBFuUesM7ZH1ZYMIEX5WKrPFUov8pdB7g8wBzumUGh6PRKvGV4QArWrj ahCskJp4jh0XzMO0g5aybC4mJLdic0w1PhChmJrI/iuBjFocOVRpU0p2K3o4nVIgUOIqYNcvTG4u 6mPad40gnsQyJI5audi8HmK8sghu/IrvLxnq0y9VLwyHkSVbgEIYNXw3CAO3krX5IDEXn3HkVpsN ElcM+pD2vcjhK1/Zs3T2ym5qe8DwrnVJxSCx4UAIKoY2agXbUF82wlYpGaKaORd1hjAWljDciTwe D8b16/uqh7hMhcW3RSgmJkLnY2SfAkHp1+Upr0fAgleFIhQr51FZYLBi5+Ljemyh3plO7z1PeU/a GUAOP6NRfRGtHMuGhn3ZaAxE663amy86hk4SOkQK25mPB3f99j1EvgHKk5/xlBw7yqjhiAofBb/t s5M34f/kt32rng7tmJrIgr7s4ovHqEALeXeRpkCkhzXwyYboMYmTPd1JmUymd5RRN7IV8D++WAu/ 0agKZ8vg40HzrHnzjGdOoy/KFTR/z6hNn3IUtwWuxLmpu9hz9U20skHNdyj1oZnFlAMJvbsUoAqx ylQlJXwO7F5NPO+8uQOpRk7XMLGQOYoPCVpDPIG69Bf71V+ELITUKs9HDDz+L3lB/t/ikM5XXnCH UGpEqCjzwdh1XkSDxKF5EKHpZRGKodRyKtFd9ghZ4PeYDaQsDjkK+ou0FKkcd51EmIIUBorx7xr1 zpgwTjKCuKhqhXmm+q/nosTjETHxWxlOwOV1t1CUUYOoctKhZV4lJ4NyJxd4D17Ih5uU7xDyYdgz ZdTloZAn1Nod1j8YBZwEMZmJFGYd0CvI8CDxMdC0LxuJocgkif1XLnZ0nIRXzqSr8Tv+F/1FlK31 UWrYYUbN3pnrd53qw2XPTh24bQuVtrq5uexYO49NMyji2OK9M2jFoAHIDvWpNGT8MqjhCzsuflPr naGMwGdENQePn8kAcbEtRNEeniyt4vFI9cxrDmngj2uRyAiFhi4DNI7lmu+djUyJfeTpmu87u+b7 DiEfo0vhpPry3PaJi4zIzslIhvCEGDWTAPiKOhQfBx8+449pdKngWtuiCJ0jt1cRodLC40GEyv46 p8G470gGLUAR5AN4QTdH5hYyuVOAF4f1zs4WWu48EUE+zGpr9+zLRZpDcWiyKFunvOjgB6D9iloM nvUcd9osund914jairsekjO+WICoyPdjk8QdNqjbd9naIF/8dZGFCTWmQKk2owSl7DtopFWvLBx/ NgMUIj6oY36q9uLLbS5C83Kprk9L/oJUPQMmJgF/6BjhwpE7PuMvSfyVeNNzsfM2W4Ja4Wi7Qynq 9c2ZQpv8bN57CIX9aboCSe8dLxvN4vWKpWu4BTKDeIk77E+bZJWUqMejRF1bn5CJ4yvWOJtezoiB rv0PTKsdVbWnvuufgkOJ9HEuClAH69rKLD4eyVh8zxHhwNTbdS/ZoRI1ITGjWnt6ZpsoQiV3meAQ pLL8HovwHlNGbUWycOXKwg2A/E+yyHtsLjo00dcdI+mKwAAo4JkQJxw71vkQ7KwmXzThgLk9r6F6 /jUeDzKhnH9dYxu4vMfeJcqmWZO1ZSQX/NN0vMco1cr6gVmatLpTqEVNv8hF7x8tngud63RTGR3X GTgYKDnmxgEwAGjLbV/dMx69/JT3IJEUf26gqqXYnZQ3GkXMiMGN4A/4F9KcbQA2je9RnVMonweC a0lW0Z/MLhVDfQzZak628a8LefpTP++c+Xn74lVHPsE/GXCimnDe0FiA/ejJ338m0kf8tMd+NNvX /Yt+R/NPW/gpQN5MUrXg48Png8Jj/JI1BzQHygsepfe8GsN+MO3dU2GNNBzlWWefjvIcxZj2+EFB n1EV/lY3bc/bRiu7AR1DCWiaQ1dhP1DiDpwj1Jhx7IfcR1MM/uBZJHGuQnvlphQwJ0GHgU7iDwig nr9VPyhY054wRdk1RlYnucvVWmmsADSZLvxHhGlL9iPpB0VDIb5shSYcXO5cETcApFIKxJpgSkQY GmBGA21goNBv9li2fd3oalMRS9EGLtZunNUYQhm+yCnuWY+vzSTU6GNDmqIMO5FTnodFiZADl49Z vpkWcVJ65aKm9UiGcMi+OMKhdZCoyHHfcGxthMQm6GJPUAWpBWvtokwNInxKMPlgR62VdhdFCU82 GQnAaSGFMJDiKRIZryhBIJl6wxR2rhsVc5N8ayf6a2LjnV3WHI/W8X2KDLri1vWUIlr1xU+mBnln IHtPmM4JnSpNXTO0ZAYbs5UzWNVqzAI4rw1yOkLS0rMoTPWcqMqTetp8/j1MFFF7Rmjwfaj1eeXC 7rUcF1iZbbIZ1r3mTH6ngJa5hNrLKUq2gapk0zH54u1rSOk04DzoL3leh0mfN7zRq7Cztq9cE10J +SmRXhMHjZlvHhd1RTY3Ij9wdz7/X7IlmCu1+pjjpFAIBGX/eSmSmJ3wavEoJapbERBCwSW9crH7 C6XgeYVpsVcOCKtTa4CdgNb2pNT9eeXQyZ5QmqdQq/IlmgbzQyL+NIq2vCURvLtAM2crnduRc9l+ HuLz0bDx2r9w3wFycSU+FfP3viWhIJeHn5L5vZ2krxVvr107xZxCQ0Vi9BMm07bcpBLuLINSupDN Ovml6jYQEEFjAeHE9fXkkJz+lquuGu1z0U7CuJT5EvBGfD543+rtY+NRArVRT3mUwk72+eVOzWn9 RpCGXM6hz6tYfeI1PUpxK5tbqeu96+NWt4g21Gb5wsHgpLJfWaGVzT3MXj81vQuJpvyQoIXUNQ4h 05yLonSeaMLODGaVoQ1M+06+YZPa0rOVQnNFNGbOETK1a+byAS1cmreVJ/nLXDFwVxQvzLSWbXHW x/ltdu0im2NCWyQsA3eAioRyUxVE5pP0k86Bu6LL7KGP54sKFDggsY3UEuy2Q3vFmX+bVJx6IV1P 6Rz6K6IuOu+bi8ael+BiQaFWb3KWRLsrSBFCBJhzRGcnXxSkhf7ZKVDU8J8tozFJ/EOm3xIEXsoD 5Ev2bf/iMObC942LggR0Mi87ILP4fJB5l+/MmzuJ/8cWpDDzhrzMFI6YfqbG1gMys5vwcKVGDjsB OXRYXNpCa1RfbCedJObclAD3oVeCHEmmOk1Nbryk7fKHSrNvG02O2Gej5jUWp0qdcqyT9dbRLMmB x6Iy0i9QMf4ybTw7KZLbWyChtZGsXdKopKSGG1ku5mVKm+CuHxRpzAxRPZcvCtKkx3EjpvRcDOUc ngxnYskztgXJhK44ws6ByaK/blA98kVQmvPPcpk+12Tl85G6wy+wEeYs6XZtc2iziMzo3DhdDUh4 CiwLUq7kzVCoCCRDe91CNiNNBHMTRr09AhjlvHsg5QuQBTk2UoZHBaa3V1IpLo2od/+6ERaCfbdM T1y2HbSV1sYdyq0Et87QabFsB61Rtwcd4v14UQZOiwYuHrTr5fs2rIsLD0zSZArFePbwrRRm3ZKy LtxKudytBDljTKMkwHU26IRqOKKEAgMnN3pgjjlSEhB6LWZqPFQ5VFWX1TqxPTcd/y619crnI5Xd /XUoYRhE+q4HKeQ0Qo+88zAgIKJZPYJu/O7OthrDmyah26I4IICQ+eK9pXNX4+imNS4kv8X6TOAv 0bS4gDZ/OXvFvm6UKiFKQPD7YhN/XMZSUymbz//r6GaHhTdAeZKAUCwEuvYmhY46l2WQqLHD+ieY +VfvBgSWi9mS7q05EReHr52UtZ+cmPfbou87kqkKCGAf9PNaj4hBtW8b3W/0yxNSfV/ngfNWJ+JZ aWfC5/8FxBZRFP/7tEwCz8X8P5hZzpVMrnGltB3iB516B7DROUBBCrEjm6iaIk3ZUm//DZpmoxUm AecbwV2ZzQDMigdf5LWvVCp92/kpQTOA/spDNjpclE+2k1pIp/JkHXw+4hX5C8eAoMCd5Tm7YzQ2 WuOUnZIz5cOyplS0nCmh3GNRiuHYHEcSaKPFz+6N/wVrExAkGBsXzm1RHnayK0EntpnbSvZto5mS 5pHlLpYqnXqHv2LLs/H5oDS5Uzf5EtUfMVEVpNB8kSa5HDTzfatrGkwLJvDF+krMMnUqhe6LS7Lf /6evhD8xGI6kOMK1i0aGqExkBXu+58PgE5Ath/aLk/dbrbTJrbVfJBuGV/iz9IKdFPgvSv/IsgCm ReRKPqVJ6MAIIQoQNdQmOefTsu4b7iH8y6oq11sBoQUjMUiA9lVfvH5r5+hJos9AYwUbBlsJpM/e sDeerbTkRx1bMJIJ2hIlVrgYZrROJhAAXUw+H5QmNX0nlKiH1hXGyLEJI2wqs2zzOE1dJjc3Jj3e cHQDRWXA4xy4MCIz5ZldWvHFe29zIpGkcuqu7MXhfePEaYLdnwEGM8wf8bj8lL9kCycsYxslE37E UESvWTOtvtfmDwhSylJ+3XAQc7tkvhw6MUI6eEHqW29copCVwjSTQ0fPKeO21IEVo/J+2sFkX3wv nYKknpyUmLNzPgFCe86jiSNm0+kgU2pWdS7Jajn0Ykxk0OA60GIp5QZYl0DJc0V3Ph81A77PpcwE ZD6bKfSOQTNgutn7QiJpiXfrzeEA54z1xDuwYzTi7KZ4ky0Xxl4AjzSqyMlZCec+RTTFZc+VtnO6 4+657NtGpEfTl5WJFTC6pEKcmEtJGHDCyR8QvHLru/uGRKC2p6/0tyPjuFHq0wlHk+JnxgzFj7Mo hbCSHmimUwg2bRlU9/Me7yq+iMAhg0Ro84qTwmMOLRkz7RbgBeGLrjhwZkV8PKUcn4/0nL89vCnt O+7UO4eejJQKbXSApGr63m5bSdF3s5HhoacgxT4y0sVa1RdvUXYo8cDqiSOYSdwcWr24gBtjV13T aK9p3zaYL3FyshZlV9ZKnge0SddHBmnz+Sjv/qapF4myPqdS1OweRJfk3g1dMosXummaCi3QJcX7 uIEvozW7kQjdxd83VO389cFdg7kFRbFOUPk7cUKKiSHfNwtSiC8h8gaK5b4o7waoztT39+TzER7Z h3B4ErJ1ZzveOjd0ZjyRJwPS+0py2CPCZAyrc8+PBiuFdW5gzXjNZP6PsvOYhAIizQHEBG7UxOBM yvNhi9L81DLKvezbBhccX9GyKazKxerVTKnxJG/GHJgzItn6bplQIRCQsxul97wbc++Fo7uYMdpY 1aPU8sgOMxm32R34M15kO5XmtdwSDnBAXKHAJEMSgqNKcOBPnoROD5O6qbl37fZ1I4lwUkTtcMrW LQbNPVN/Gby9zOejRu63N1pDkQPhT4tS6NFIy+pOGXvJzBMSwMFJz67DP3c2cHuOTRp1wdV8lzs4 ORlmQgkL2C1m3ByQn6tmQiUcYmMP35jI7Ry6NOJgOBVuYXWyfbZ+Upa21YBQtzuwaQQpLX3nSp0d gXsqhT6NGzVfyob/W2JTm5K6ZwHn2p6eBQRGjadilgkq5NNs8RLuVFpp4LsAFXj+5p0Em0l2DV44 6KJcUna3bxvpHKlHSRUNLnrh2pxViU7L+pKRVtavHiVQzP2pc2OrRnJGm6nwr5HrNbOc/Y7g6toe pBjATdBSEWip3B5lg5YLmB4sTuapeqsAFEgKJ9zoM9TzHNilmXfo1kg/bgy7si96RXClMlU6l/rg 8/9F1xBay2SDepRCWiRSpSpuNt430MzNmVntE7HXmxElcujXaGk3B9paLErnpqxt6VTakKNPDOS5 WIBZ2jxCr6+uzbwDx8aTUW5d3CS3cVH7bZlFOdSBOp//V6XLWRRZz5fWlmPLRiADhuv0nb00jX8M pXJ3jt1XVj0Hno0C4AESoCitryjB6uQcIVOOcuc/URsiYSo3Grg8qz+SR3nZ140wSziWeibmnYuS pbKlEwc87+Tz/yKQ4kmKu3DMZVGK0m68whMaRKp08UtcyFIxMA641V7ChdaNgw7wuz3L7XePs51w ixEmiIYKCZJgi07Ilma0QJ12k7p93eiGI065CY3bHI27T+ZRRN7a6JoE7o2oWL/PJdpbPjbWhGsF vQ7038qVo63NhSDPBmhOTYIsiJomNUKZNDUCaGS99mNkfbYMdCChJ4gu5T75PGGXBSXEgJbo2NeL h90IfkhwwzWWcGdT+mJNylN5FkEieCzFOn7fxAnqCKKX5kGKxbFZ55pL0aLBmW2ldYmkA1As20ox T5LIAHGxuXiQJlyNmwSi+mjwKaZI/3nVJ4YFwGTfFy4t+7rBC8cxHCCnvuhYAplKktfn9eXz/8op GVJcmKk9h3eIMoErTudNQ2g3KhqJrJ/3ABnSoml88/5b7OJI/MSeNP7i8tS5sFQArQbcpbmoso7R 3zm8W6NExdOm7M2+bkTa5iaS/CoXg5mctGub6ffi8xF6+buzhKv3pz1S9Dl0cgS/HHKf07To24Uv n+uuCLF0Do+Lyw2tHPEFutovttjpff4E7TzWWDycWwX/+WwmXIQAlGOue8lueVHmJwdejvJsICB3 +6LNBAxqF0sAVVxg5pi/bDEYEKiyz3bRAaGbI2ZBK7dq/kVpm64xHCSTVXEUS7B8KbBzRDlIjeNK 7TouNviGKtTmd4FS5ck2YfWOvgqAgNQ8qI6kzLQm4IcEhzcnZ6NWW5qqMfTViS40dEDg55j46nwd 3tBdng/EO/RznCS4yxkD/bduZFJ4IlfnK41xk8rQ0FGEpWxqvrNfXFftyC4agzTR9M5s+5KVUCGH BIMJq+GGsqXA0VEQhEYJM1+sH4A+E/sBdej54Ia7uv2cbIJguS4/OYeejlDIA6OxO87EDa4nHSG9 0q1ewwWmjsi8OfCGYoQt91g6v8POsjbIEErA4Y36F9MAGoHnS51U+y00dcQlAzl6vm4Fmq0sKfCu wQkZt1Yqiz/gXwqIhMotMt9upRv4OoL6RrXjevml0yGCKSVn4A5qyCpKoSIJKUvZxH/GtS0cROB0 SkqfrZRlQoRKdzDthXb/FfibSpYCY0eRNJEl1eaLttIGcoyXVgZkKXR2/K0qTk0kyGd4kGInmnPP nIpK7YC593Z9tkIqkZyceT8xD4i9HSdnuaC82OKJNwBRTVY05+QomK1TlKTx5i8/ICy7xl/b9m0j cACDJAWgpdYrdVvOX5cKDfggPv8v3glfLTBzkOR5kEIS5aJj4XTvQvljUZeEtDKJaVZ6F+J+C9wd 0/8IFQBGqS+eLJWTK020F4FbPmkXjSLOHTGhBA7yYf4Gmmz7ugGHibwckqBsURoAnyF5c+xU+XzQ yv0l08Y+D9kXFqUw70bTpLPA5Nxbnj1LPLgrOVpom84oxVRK3lqyoeNyMfA7tdmpnn0uOiCjWZ3g TYch9g/c9R52TrKvGwmMkwpXKIfIxRLv1ZL0Hk+FyOejXu4voS10ms47/rxxod5fqmzAJQ/TSFbq JleNxilZfPIdGD1mdtxxHlEviYsdSzA6Qg9pUbt243+w307RB1nNgebFEyWxcwKnR/E/6P7UfdGx VMGzYto8MawMrB6/xwKcnVQiTTwN+LymSh3pfoUbxDAKEzw4bSu1ZTXvObrZNsSp9Joo9XOmVmMr N18cRnleoTrBzsRAF8O3LUIY5UaxQ6+hSF405H1Nkzr6JXSVb0yTuFgGME8ZyplaW3j6JTodqCO9 afPHWiughOlfnD9KFBw4Og/ihCGf3duaDugiyUeALmxPHdlBcLo8sTY7lFKBsdYkOnlNs4Bdx7nh qajZK4ovzAEyAVUWHYHeXqMDMXQCHSo5Alx0XvdzDfBKqgXt29foIM1JFh3hAXD4ISlndF5zo/MQ kPmnFul2CsGj0jFKIxmwJG9OLxCd18wIW4dsZWj9+GI59tk7dZGWjMbtiQIFOs65hIE/S5xdL9aN WO7XvKjrAIEpFfMiLhYc9COZPPbdPq9JEUK7+1dwUFRX5o8MzmtK1M3NqKbuJiKC2piJSHc+AMj2 TIleE6KOpIFp4xKUe7Wn9X/eKk7beNcP+F5L8RhwSYBPwWa14HSePK/pUHeDjN6rFtBPONkeu9RO 0Dsk7z+v2VB/UkbbOngcdZ6i85oLdWnhYLzjGHd1saWVULcPbAksoH9qsHVMTGK06YtFJ8HhAbgt 1Prwx+gEk57NBAgHGrV0uJ7i4LKIfU2EcOyILdlphsVFl9cCTIFZcj8p9Wsa1B/ryyn4H4aaSJ8U ndckqEOhgzKs01u0Es7mzL/dcTYmOmYNGkRn8nU5JUXyxaLTU8OGz9RmgxaSJOnrovJN9ugIGJGY Tb8mQF1TFzB3hY7objd3TrNdJT7Xavm8pj/YBtX3jsbeE1fWsui8Jj84yZn8ZJPFXq2v6fyIUpPv nZbd9iGKTpM4LRVbcr7EtgHOFtzMiWZL6KJxit1AVe6oBHMCNdjKVlkaRK8WYVrQMPPFEH+nBu4i R+TxeU17cGrN9X3uNI6GPTqvOU+3ATb93QUc9ewHU/5ib9YpYJLptLxmPDiUyfxPmDHYcllI4+SG sN44eyfBpZvyq2AVAzYKY+LHHZ1zjM9rwtNdIQGueL4YWGTQ1YQzjfx5TXfwDc0zRO88mm51dX+z XruMXeyukzhfCZLlPQ/IVkw7lR8hpNceo50JQDvsu/iFnmrLFTquJzobVGSUqdDWwhBhcgA1L3yt UZAtig5kIQGmHL7wM8o5w3rWhV7w9Ht0usEftHc6ET33VH5tLnK7olOdTGN1tTGMLzJnciGter6L K9iE6Y72jjC1dd4761x3iYp+RK2NSTTc+V71ZIcDP5ZtfUet0bTotbGIv4Cg/ZvgFy5WeJ2NNIq3 g17bij1fhraiA+piZzmjZDBKlfumt1yzXlBjB57hSaymiQ2ZLfuoOsqVrRXUxWHrX9rP5x7fYzE+ KXfignEugzEAi+vzbqU7z59NZP9o+5AHeS6J5Ys6r5Ah17VVBsii0du1vt8u2lmndNPlKF+mjFaq w/l9KXnSU+lWIn4f4JHGpfkzYYapqy8eoXMpNUIm8H5RuohXFjockzqIuGIdrGZUmr8P50JvueV6 eBgHQSQWEcqVjwcpc/rOezBV7jQDVYSinBlKp8gczMVgtOKvWBlXaOxUkRf0GEQI2TlL0eGLFe40 vtpIE6htfA59M47HhBAHTwPowCoK1e1R1tw5c62VBSkXv9unxA9P1IFTi1Kf6amPNFox3uzPHooS Z0RkkZSmCCWzbsYRvS/gsffmWIfgjJYTTxIshMszeD3/HSSPCk6nU/Dqfie0qGFaxgGx32BJXzao ujh37XIu4mI1e2fyiUMaoNAwdx7flQWcMAgEtwi9J8/QKdyMkFGNJxJ4Tw97u3so38Z9FCHaMnLm ZosXXrCNL6JinSQSVQoT7IbDBCJV3OjE8eUuZZb37DnxN6QVD3sbVIPQW4Z8lBEa519+3ulqXYDS J0JgAcAKtHqIogwas4wl3SKESENw6fkNV6uDhorPXN9TaJRfm9rh4y4Wop5PyZX6Fi/kvBtb3mmw Xq0EopRyW6205Hknq/FIgPdr6s8iTNEJmjT70d/+vHPV+iPnZzcgOrVDcFBGKMyiN133hrkWte4i 6wP6LD8uQ9+dYBSl0SrByqBQdhnORJjnbT05Q7cIVZi0EHwN411c72zbXwtHndRRGt3oAlqN2j+N owvpja6RHKwjPu88Neyh8b2HsJcbjSQVoCiRRkfrZIJZ+jQYlCUf28+SjDYzruzqO0utO6Q4QRfL FjuHMub0C78bWGodCtnkg3SMAzcFNLrLHhSegp93lhr2qYz3KhGOBkUjSw2aI/y8k+l+3klqdt8q QoJ8Iq8V7pohes+mgWxAGiSJ7MGZvU809i7px+lXw9vQUToNqEkHYqj44lPWky7mrFJsttraZBd6 I6bn/oUV58XK2kDjPZ124ytgnHxRCwjWWmxynCstf94JavhV83c+BLmNIicDBihKqOn1UKb36Wu9 fJlzz0gfAkIe2af1cUbd2RvjWEwyNwrQyTxrW6Oq/5za6BJiL2QtLrSgHknIad81ClDB+YMbxBYd Q5nHt0qs9Xknp3VR3J8AcXAgq112EKOUmh6XuG1UrVLfX6dQ6dm9iCf+QGohhim15oaS68/5OYXq OolPHvQi3hOXP6UOBouhDVGe5BUHoNj6ru9X2SYUffK41uLlqtQZQVnMn3diWn801i0danT+wz5W hKKUOlNVlNmpQI3LLXlSq9sgVsAAW4TeU+prv2cwhX7Rep0vWO+CxSB3FOuYf71BHjcUOf0YykNf NrjKqJoJexdfVHQwozYLkPl5Z6VhN9yXjLkPtl1Ltx/0zkrjzk00TzMfUEOjyy+keIg2RPsVovec WtULCjK5y/XrDAajQ3gbdEcOkTt04oNG4oBLUV7lZoxj2Jf9KyGqvSRfdFJjfFglLlbb552Rxrr3 uydUqAtXb4SinLpKCCJbU6gRaC/DkFarRajD85zn0DsfjX0P9syquA01edUx4aA1acVaKBeZKZG/ aFRLKHHN/cHDUFLknY7GOQ8PIjCUbLGc2n3Yz7/k41Ft/33bE4oMVXmLUJRTL1OmMQYR1KwuYogd NFau9K1ATv3OReNBZOzP7ov3PjDtHBR3wu8BJTC8ZhUFRkddRs9Qe82Yln3euWh8zXSXcZwxH1TV ec+WpEVP1fR5Z6LhKDAheut+IKXu1KdjhKKUuk4YE5LbxLqM0s0mS9OTN4fG9mlP1JWW6UyWUnZ+ BMVmqixCtnr2hZw0SmSfP/XAWCjznLaePRg8n3ceGn5FURpF2uOiCCUgRyV8MdfnnYZmVbrlQ9ww 5DHMOw97z6mvfZo5pZ2r9xI+Rmmu3LPJdecmes+plTYAK5+rL17cQ+SDs2sQrc8dZlap6bxYE7yQ TDNZH4ktfdn3gwjNfijQMyHioksaIyRJ7a+0P+8ktJ6vuJHuewgrt5a8/frOQWOEqIztKuIjVZ9s pGHsvXOmJa/L3ilozHclSENpIy62ieCFOyE/T7xwOd9JOBCeA2gqIBv1uqwMfdcoQAQtTo2dwZYz t/dT5EyZX5089/POQLMm8VdxTyOOZ6YadqgxGUtoFcszZBSfbkCQxvkwu5k6xjv/jO1jlqxr3kUR WqklABm3mB4ARLKBv5rEw+fPTJfCwM72551+Zu0LaPRTKJOLMqJyLnt5DIJ+9s4+w+O/5hs0mZi3 P/TOPeNddm77Ob20781fsrMnpgutgZhpL9l7Tn1eMtxQm87PttgWwmG42yBiYTbopXYe5olQjvNp wJlbyjhp+v1OPOMWotSDjEPK9SOeyOLYFm9wB3vnnSHjHL/a1NL986vsnXaGLGqwni+WDgk0KL+Q lYpf9pjScAe9s86662PWyZyaiwVonZMGZRqLDgA8dNkvNtDQoZ75KvWjMOVnBIN5UmF7JriTi8qr tlVMnrxh5M8756xLTOhrB2WCzG6AQlTHpMCa3/Xonz4dxumHEIQqFKCwS83ma9/EvHCxV6yinEfL FGU3fA/nYv3WYNXFjjX6Z934L0Xf9a8AjcxTemQvW+FVITnDk0L2zzvfDL9q8h4sk+fCV9Jrjne6 Gauyzn1jgw4Q3C0ZasSSED69+7QZ4jvbrLuTbAV3xhYL0EkPc8tdgo8wE9yEECe4IlE2DF2RC1Qc +q5BVQYEHjLC5ovKVqBqODOD3PnnnWrGnL99XWOg9sAc0wMU9qg3hF9qSRYgerlCDQt6g84SpqsZ WcLvRLNb17etM+jReQITtmC4QejL+UIjDdVtlT5MGarT9wyiCsY7zYwFB3dQI9t8cPRonrmt9SWa fS6fd5oZ/oh7fr1jlf3Nft+xKJ/mkF5+iIjQbNXJ5lPGjoXMoOLwl6hHjfLvvFyV2PJeL7YcOnzn vJnEvwzwzxpbAHQnBIv959rv9VH0Tf+aQjf2trXoCJrntmbtAcGlzzu/7P8k02ROgeJm4XlPpgWZ B6Gz2BH0/xn7rixXciTZf64lzxxo8fa/sAczc0cwZ8LzVv+gursiGQQhXJggpFZtoL69D71nM4TH O7sM00Od/lok617crBzAxAJyCJmK4yTfFVqA6FXTHANWGI+DY6cG/Tu3jG1PUu/auIMmCGGaCjuj 1887tYyVs+8+mbTH2z2ColAa6stwCHHu9NMFSuQQCeSRlgWK78Qy3hAW/2Qf7BIrlKdACwJHfW9w qALQCY2lDm9VALUuZ4ow4HdiGeOgRlyZWq7d69PnB8m8hsET6593XhkXw/w1QwOdRK9Pv9PKEAcB fZfIYiPQo/g1P0i0NKDHcjPrd1YZzyCTUp0aGM4zHysoGqMWjFD67OBN6h0ROg10DOQKntNTzffz TirrkojB6UM0+SBzlYfQPknfYOPpHGr9804q4wx7JIS5RcW591v2eOeUsW+Ai/5iYQSEVGGoVScE DwgmaYrC+jTtQqpwDRy8UVYbUPcyBoM4/6JB4WQnD2fBvBX8DJgxPyM6pomRbspY2aidWkQkHv1A mz/z+ShlrV83PVg7bTwgxSiYxqeeYGVbgRpO8bcL5AJq6NNZ6eydTYa3ZwU/szyvweG/qJpknTq7 o+5Iv5AK1sTAgsoN/SArDOkmiyrUYre2r8FKZxCe5EV0vvbnnUvGd/QKNYu1RXVuByqG0TQgwE3a H2gDVZ4+NLmszdtAE+rFlJt/Z5J1cyjcRSSp8kWSOsuG5nLsk2VwvqQwl89XmmQt9QdpPyjK/84k 6xKLB5ZB3jwluUL4bGDKMESu8/NOJOuPkJM1W6EE3NNN6t+JZF1mUjiJcJeTnQgU2P+gGDFQFy3w AT2HNnhEWETvPDKmrKbgMH24i6invWQNtivE99CyRlwEEnDbSlktGmpF7xptM2sDdR8umnMLJn3i 8s87iwwnwUpf5xBvBwDmbYLCeHrJMa0ajLxScTep6uEY+7MqusT43zlk+DvcZZgKH7xADU4mNVkB yusnbyQyLeMAnLjpMw8iEytmK/GdQ8ZGjvCubHOU247e6LrRCrae3+nzziBjQP3djmYcn26X451A xnAxU8hRZNZVOoVk8d419eFWsix8cYbCgFpyO4vO3/JDsZP6XGwtIVFFCf/kMawgrYpLfqCak0XY lFIxeQjv/DFCu3kATd5pHAx7BhMjQV1OQP1OH7N2he0yImTPBUL1Hp+jsETdSZi8BdjhHoXnjjYw 7DmrUX0UZjosUauZKKXm+qWdDm40WGSFIXUDb4zSDoCfNbBTMrwtLW9dtP1+p49117ocUt7hoKCx Q3eU4KqTqX/e2WPsAbSv24wmSO3eZu/ksW5usqlWdRNPHt633WatZhdNpesrb7N37thtc8BJxgdv t56o44SOarfCLK8J00DQDgwZ2oN9pd/p5505xgmizHWTrmy7urJnz6p9j57Z5504xg3zqw+Ezy+3 H/3OG8NTEzaXswu3eFZ/vXoNLZst+vkz1aLqd9rYk7dOwmI4WFYGi4ORjabZEiIigc+xaLB0W7nK H1Q8+byTxqxfikxhdR+0gnKuSXnVyT4+75yx/jjwTRlmUbo8JQ8Z3yljeAzSjT0tb7fyX1fesbar owAqbzMUR9WcIZ7DGi5wKEOCGMaDJEKvQhM6msFUVMvgU+vnECGe74Qx/JpFKE5OFAfN0OijTdEN Tub6zhcztO93x569lRsPRTH1uYnRbM0uBJ6Kcg2KWlltCJvDSh8thFCz4lMLIZ4cvDZ04msIWnKP LaiLd2qF8o6HXDEJtzJxJLgdnxFc9kQHn9wu+aDaEHTqJQMO3a8WYT4QHNsS4m0vPNydoTCmpo+T uIbYbqu7E0ghhpK5PauyOqejAjVuUngdEoLPwc5pKnuwXQj1wFTttl/w4qnU68HF4p7WOqdD1MeW rjVlcrcTrrHyiOPHGioDjwcd+/KrlYj91ZPf9j0EUifKyBredaVudxpmiEJNqC9OBZTgt7zH1Jih TuQi29ESf7ZjKLUTMOOIBYCxdlq0QasQsVoj4fBay3VKfvUopIZKGBAw1B3goDV0wk6ylAkCw+MB h+NWz5KCS1TQ7gRFETX4ODg/TV8PFn+2hMYsvoTGaqZf0UPQB0/fToazBq+enStuQoSREwQwI+sk mW0hVGE3AHLKyiblK3pUoa7S2kdHygZOUDsJR5WVzPmyeDy46et3u56GVP1CYHtcoYb9RzaI0JrJ JImBEljLm9E05MAx3aMKtY5pqEv7YHvsfKt5fmPpfsPGGvfjOfcbGmQoY2QY8TmzF6koPiQqD03C YeQbj8oWKxYIGHFq8mu3gucD5ND6rlFT1h9CNj5HMQNxE9fp9My0nEoPUQ5HeFYnifcopqZ4PpCL xQePF8eAWc8gkBqLNFOZKIPxCZVLQ4C5KEPRy0aZa1b9/g4WDp1AR/WdWSYeD47qW/2QJMNCOOR5 WY9YiCNTxNr54Sm5fBXXgpXQanP8Yg8jakI8S5dxcx8eL8Iy7iyeRBPyDdbVoIQTqrPw6QAXsVz8 ImuMPSpTL/VaVT8FKIKEwoxdbeZf58DH49Fd9nubnRcuNxrqcUCNPsdoxctDw3BDk4ZTRqCH3SzT sh4zERlQD6YcHBzzgTlH/ZUUX6abpJtC36VDbGgDhWrnEOJ6fEZw2VOKIQvWwEGdsgWxZql74aCO AupxgVWCGFHy+B7UYZn6nOU4TKvJMW3qVFK1CttNBnKb/jicoDigZnWe1SENtseA4G3kQgEXe9aP PL8zDrxJo0wUza56pb1sdFKz01GpqMdBees4V81YmqGJx4OT+pap8e9CZIU0PZuh94AaZvXACFOh hZyytqw4NDYqN4UU+kHlLZ7UUUCtLmumKYwGm6GThJ2vjtIVNfRWljIMAgBwCzohYMa4S7RF61GV WlfZGvSN5yDU0Lnqi3Kyk0bi8YjN+qtThh7vHnePRSBq4BDmFq/3nJsTiidS9CKpnRoDufRuTo09 Anz4VSYgfmZ4WsmZItMB8EgafSyE2LSwgPRpIh51PacQgmt8SES7u5VFG2wJTajR4xv2tvF4RAn6 DhczdeHGpbT2MKLudEbdVoNtK7uDxa52qfEmq6rB9qhKrX50AejIBltDgMyDVslzmukdceYN4MMB aAg1R6zCSHcQfMj7OQSyPYlp3QdNEUyhZT+wsMtCauJdRBSGg61CowKUONFRSD0rcJ2g5YrOIdVh woTpVafLfjlrakRl6kl8cJUAU30EmEBOPLtnKS2rJz7KNLJEbAQeWP8ZV8dDM4vPiJpBPIB2uoOd 1Odn4DkCkV48HoTUo/7KOfCjPKTxKKQGsWuRA6urrNbi0dBoHg2hT22s8bBIrXbZMKGqfaOhXvGv A+KBGixc3qjF3DodmuhHAkSmCXkVe9lghrJS+iJFONYouYhOHjOXzAYwRWGZ+jfCE5cLoK02RSGO GmmZbmeihFtb3lHcxgQuWMcGPRtRmRrmYx06lBpkjoBwaO7Vdlar40SxkEqUagy97NDK789tfxb+ wGcEAE/aDq/Kdtki9JFraEIVg+HQ+Q8ej6pD32kZlu8XW2GEsA8Q72o1gYoFhfMftxlY1TN7ZFXa ZFFEvehUUZcUT3iaaYJAT8ARzqMau2EpLqB8JyStT3ZzL/uUMEEx7KNK1WP4oID6BA6DK+BckBuP /0uZgfkJLof1bLIooAZnY7VicKHVyq0vgsLg9cWRrAA7ooB60lqgIg2wwVOOetZ/yip9zHOQkCJ8 ti2L0osZcrqsMvwm+JDoGKL8wCRnYRI2vln7mMAvsuOaS8bz/+qWGQjip1I5TFMUhtSgtzL2Npfv ZGqwM/F6cMt406QaUUi9CF8smc2ykr+aZfvMF1kI7CcmSCugOpTwW8OOqF09QRi/612DTUYxoVHY 7xjlai83mPUptT9X2YhQ1Dgcn5AaWUqVBQMnKARRT4aJJpB/4rjytKSX4RoKsQhaQxGIumrxALVp g99ksIrbnd1EpGKrs+HKGjxkYyCscHtlxHeOUN8DtNazPrGENFgFVug44Dv7wONROPRrBaHBUco9 haISNZSvTgLQ3BqOBmucoOyYRggLLT+mo4h6qg/UHKTHA5RJWYejFLp20O/OEDijzgUdF1ASmVxB tsfOn8dnBMEQS/5r5TuoenZCoaKreq2Nx//ZKuNqQ/BxwVUjjqipkb8tFupUmhUAlmKJuup781Mo jKjXhcRcZAy3GKqu2E921ZeV6I5OQdmKtCGXdCVyt5ZQGFFTZ5mXIoZzGujoyDmztYzDdy88/y9U Aw91wIOxbW2Kwoi6UFeoKQYClHQbqqGi4GnCZrtZ5WP8WaNG/j598I40uDR0xyEh6PxZCg2bsifg +fRNVhl/S0QnrFFX6hBsKzSWyyqbMzcj3hU8HszQ+u5zUJF4TT+GZlij7lRAycVyDgCcrGdfzQAd lCk0QDFDMxTGS2yzSkinPkI6qDZRxJtX2VmtZ8NRqhJ4mFbJDnxE8undMUPYR5VWZxs+GP4MwEgG OGf28XgwQf1bGo84DTpkaYKigJqarymZmPkJ7arnrYkYwbOazrUEjTjmrTOsUXNmKIZjg9/15yZo pKqBYt+QghQi9Cg1eN55PK6CVF7AZ/xBKQNRrvqgCconYOQeOxNU8Ph/OYYYevKzNUGh1AfO6TXm LZ5tQ58NurYatoqQZxxDM6YlSieGhA4Ot/7aIJ+9Es1gceWw/loR/iAdwSfNS26lCMEMa9QsCuU+ 76A9Bq8rQRjPD4zH/0W6I2aaHC+ItNoURQE1vC7hDZas2zq2d1t7HxeJXzxenHGJmqiqpYb9ehr2 8MbBIuVdn4DGpNnSQjWEtCFsHfdc7Evv+ifqY/Og5qCjY87N9gzJK3g8aNjPW4CV4mmDcNw9hqKI GhAM1F3tsl9UhBDrbgwXytuIl3UMhUp5PHdRYPXBZugsjIbyGdXOGtS7GQ0N0i+RoeRCpU5h8UHc wodEShZEe2yq4XIw6NBZCyTNQZkSjwfNxPZ9lQFD2b7OobhGjXCIaDEyN0n+Ngq5uebBvKCYB8WM QB9GIacYlAa7yiYy+wWlX0QwqOdT6qOD8d6xzeCs5OEQrRZnFFADO8MDKPugCULpVgf1id/x+L8q sKS2pjNB80qezQj0MeSdna4IwRWymPmqNJygstgERTXqRSxDGezYc7i4oSk9SkZzMJKmxOuSUj+9 X/ZlR1NrcUYBtZitvTclr83SerSdxI7oGTdZDPr4pYWCiQTayCYoJCVCbpHuC27QYS7CY4vAMHnV b0PFzFAzj4pDcIPw4d5kUL1FkEgkNdwEh3TwgRiCOPJ6bLpI/Z1RibosnUKj+6CUDH7aW1Dzzcf/ iavStcdU8J7TEehj0oSSbtU6pw0OmynF7uc07Wk4Q1FEPYgPZgxvwwXBznKCssTKUG+gFiiiRrSG WmamhrbVqIk1n1FELQg1m882GHDoHPos19UTQ+DxSMjiu7wIJ+NarlDwDFmJCBcT+CySe6XnA8/p tYrTNiHZapvsb6WP1smO5uDQs4YEqkzTZEo4VbGxEAiBMf6D1N5RwjR+n6F2HjCwJ/KY2wfrtUKP WcJnm68YhIsPSlgpx6JTlc3QigJqCHaC+DUNe0YtW+MrFMOeUUlPa2hFoI9BokKRrld5dL1OeAXr eqBbcdef3GkpbeXmygiv5v75pcW9wgq1WXPOO2gJVXgtM69vteLxiJbYvy6yvGkw6JtsRQE1giYY NRhvc15jrjFacrVy0AltgsKAms1WND59sIusJ2jbsZ2NUiy09alZBZx349W07zlNwjA+Iyp8sLtR 6D9d4efBounZLZNQdoG68HyEPfNNxrYaQoJ9JQhWKDi9aF02DPVxfnFvcjQYZhkCdjhxc4WoD/br W2o2cBmTFHS+NnB+rHyM88Uop7MTRdfTRppzrQKJJMdnRLUz8e1K9UGVD+pXm5zOwONRQP0L0IAQ rF3y+IriadADgVm0+quJ5DLl6K43VPrYdk6vEEatA7qy8sHh3mSguSB9IXHz3IkE550QG0mGd6JN Oo/IsxUXqLuQr9MHO6Z7GSJunj+Ix6Ok9ZtWRuGgk0/fUygWnqbd5HbIRx4eDKWyHSJsrKlzTq+Q lyhpyiUpz+XymqBtQpeH3t6LAE8iq2DZCMgHkDHlMhP3sHcNimdTApXjDjpbW6WmFqLFPvH4v4Ih LBcCYPOlla0onIb66zkahnJVkFyr1afHHs53AWzVJiiW+aA0dzPlwHyDIeyjLtkzMH6gAMLKEKyk JgVWQI13p0liz1aE+VhDluW7+KAZSkBqMRo6SwmP/xfUEH6o1i6IekXxNFYZCjaaDFC9s4eLvVfr Ru+2fAmF8XRVxSPdwSv4EDmlMii9OM8vUmTIDZQaetiZ1qUqDYGmzpcNZogZRxWkoQ5q0qq6uCX5 0U/QhceDm2z/ks5D239cBYIVUhMhnQcnNFaBzosPry5m1LfF5lj0q+MMhSBqScU06lRysGAIHUNA 4Ji0brgcNhpNQ/Cxw3ERdTvHfMyhd40QDSIEsVDNwdr1EEm30hBfMTinf00QhRCABrIJComJndLc KVnOWoZHi5QHsBL+cCrH+hvzkXpbPjhs6Nz1GxpqpLtAW4q1MzgC/UAcn0GFtVoFDMSHRMAqYoOr 2K11uJbOuQZ2lnLeqng8atd/SxDgR69sJ2qGwgI1KFNzmzz36slr+GMRf2EaDdOFusN4WsIClblr qo9S90kCVh2Q0SV4Ee0CVIZobkeKCDVDPRoaQy8bRUNk8DSWhjjoGIJ9nrB159bE49Ea+i5QQyNw lNtI3FE8jRc9qYWbBLR2ya2FAYbJfHTLWndUoB5MNWgpaMMFVmXQoclU2DBLbNSn7Ow8TiIYb59M 5tI7iqcbPSVrN7MAj9lPwjGnVCzS4OMR2eWbl0j2+LzqlPs9noZ6CqPFnS/ZxWU+4NbspbOazZRs hzIfdEVPXVL4/cHhp5ZSzYNOiakBeMZaRwGUcMDUJafrlFhSs5cNKkOseIzOvcbBSvighnAJrT3w +D/FBTmxEFy75dcdoqg7WdHFSmfn92x2DBXBYxrNJKvhGXYcT8udpC8fvAsEEAPa6ZSxAEqMx9DE ZNCHnSZ3BomhT9GO4mk0+oEMNnE4V+ueOG5ZUYX6AR7/Fy2RKZm643ePRfE0SjYLIrY2QcNEdU7c IoCVqBzD9Mx3DPgQzkUc4HSJmwP5RiKjnM36M0GsDFGAvCdcjImqcOoC8SbbUUC9CYlpi6QXDjo6 zt4SVfSEtXzHiMrxrUWN8KY+Og07hFBD4RTSADZDysOkCte8W9+zO//tEELNq75UoRrqvPBOmKy3 tKckz84a2pyhRSoF4nS+qdXOUNbGZ0RKH6ydZTIVmkMmsITSUnn5hH94PIIzpK9jGou6IVy1CQq9 XJiS0aBAimdJOBgc09nlqjb6EZqgEO8hotQ0UaZ7TEOmAdBv+UfSXa3KkBsuh53ljkcCFiEZPiOI hcwCqFYfNEEFRnDqwKeFxyPM0C/KHYqGkEHyGYoAH6mz6Gqy3GcJLZeKwa1jM9TWXUKhap4aZFMO m7M/0SLI740SqVCipm43TW+RiUH3YtJDWq3o3vWuf9FaN5aCDTZDcKauP9ZI3P+uT0vpI/+6yMJw Gl2yRDk/0aVSswnK1NuWJBylAtBp3SHgY0khh4UhDt6KPluOSndcQou3GsseoHLB2x5NTLMDouku PiNibTKdT1xCHJTVQ0dEajrwst2xEPUNp3lpwRIP3uQ2Q2F9mq4KiQqCtPxrd5NJA56acPiCmqG/ 4+la9vbBN9mJrtJQlwzssrW6LKdAfQA2G8KeDqra9q7RKSQB2H0HzRDdqYqyLD4e4T3y1ynEKmh7 llAoRA2Xv+H4KcBc3bqkSa7yfBggvX7TR3gPqcQUgfHLI3l2fl7IV5XM4iu2XF06hVhxOKfb7E8o xBbHDmU+CBbqm1BzDmpxTOhJsNF6Tm88HhVff/WA8O/lq+iVUxhOZ6DxcpqGy5MULHU+ch+W1SPa MKnuFAp9jCnIZvPB+4gTqwZxzEkHRPiZFGk4nzw28BFtX9Uzfm1+SuC7RS4Hyk0cgDnStT3BbcUn gjTIP/AvgCel80xA6k5TqJ0H+kcRH5FGU8tQH2frJIMvoqqTfJpi8bwuyND2wROz3oFjR1UMIKLz 75HxkrA3J8pu+GuP82i2141gDQRS91594KcUlptYQjtpFJ8PMo/2y6ADHZN21SworPj+GKQa6jBS 4iKQ20w1uyE/Cy2QzDsghVBqc7bb2QcvM6aO/QrLBCT47ayfLOzHCaqhQZeR5Tv2Q86jKQR/MH+t g6WQijONlLkTWeHbiqA4Fv9AEBrlb/Eh2qeMq8OYUxRe47JYUPC0SlpjE58WCzSWxzTVBB88m6bQ JZGS5ZT4tuFO0wkgzyRqzzUEFKRP4RYb1Ajdz56jWDg/JbrbLH1tPliaD2c1iVXCEjmFJeuRv2Zp Z1Xm7ixFIXaiZm4t206mmS4SDRGMLSYQ52zLRTVrWiIDEjx98DQtjZ7F2ASQCPq59HQDO3HC0ZFC sFYtypQgwqdEVhTSy+Vk9em42wTE5xKSCEYLKUSBlG/keYY97OyXI0RRyqC1DbfdtlSMPbEOQmlQ 8UbdaRkeDe1AkYRy+rtynee8gxdEwH2AELw0ZFKdROxxnw/WZSt6WJasbX/dKOEXBqQXHyxbO1NM 6G/tafP592n67diKGL/Xr8UUKoCgKAKXcQE/abdqi6mzHAn+d0U8RdReTlG0LSGr1OcdnsLaWVpI qOAnkM4yApZxJRrOAL6Qaduqk4kW1vyUqEmEWcpbsLQ9nUwFlzShFebufD5iddavxYRzoNXHtiOF KiCUI6LvK2idJFEL27g570KEALpvWy6sYDNQSslsttOtjIyCzmOR1VsHYL+wdoRNSKcBzIzFS6U2 e9uIO80DSeJfZ3An1nZW0zJp5cbno17jN7Gz4q6cFzWTUxh0U0Z3NAOFjOzFkUmhdivREp+sDRdJ gdQkUJoUq7+ibvjAwwF5SPdrEUqBxA3dDK68/MjrdX/bvwCOrdc7aCVR54jVkdIGnw/2W/3O/pFg 4E68kxQF3iDMLUVkxIVMa14j3r9Cn0b05CSFheylCna5w83/z6wkyDgV5COL/oyAp0HgDqVWVvqp 513oMMEPicR02Qxp6Q6Gk621s6qHs47PB7X+dA8llrIRL7FXpVkKnRVBVgJuWcoNEKYwaauBRNUy XCTx7hsYgkOaOA1iMbbrcgIAFlwsh4xyWgUwSOAQJFwFghftOk/SSzoH1oo4esmHmcIZzetbCqfw Ibg1rLZDb8Xxq2cE8YhzkuQ7SSGDkXHAuL6322j5dLN3NcuZ3Ls0B+6KMhyDjqUqSv1GSxuaCeeM 9Io/xHBpGpM1SRB3KQ+QL9nb/kVhLIuRNwdNEsTP6e7SzrLl8/9FNpYrCXIePklh5I1ayexWFoEk jtppRIAijSsQBmkQkOP9FhoskgEM37I7+KEEB7xO2DYS3bP1dLiLtUd9h3bpQ6XZ2/7BHyq9EDfb SzK444D0AbXy4MvA56Oy/21gc0Lw07T5zFIktwd5ptWI8ZGT6bSK0gkC13UyTWyNdP2hYL8hhd2o W/tgs7TGaoUG36A7V6QSRI9WFPj51LqhEtHN/JA/VC2hQnoHQWnO1AvKfw69yuejIOC7AwnVzpYu ECKHNouoliy22jlJkrKXjlMaJvINA53p+y1kM4phVWjnwcGXEpRAS1qqKp0pm0xgFkgVFVgeGD14 dtLNzTQUCFlq01L7c1fT/iTZIJOj0BPcOkOnxf/lNk0rn8eLMnBadLIV7RMo9J2s6n8rS9xw0Kaz pRSG3VPY0Fl88B4JFCqqxOLPzm6LjDVysM4PKXDzxRx1f9tgw1GAuHVqWXMwVBYjO66OXvn8v1R2 9dxGxeVGAYHZIkIlwCB2FdYI9clkxaWSTO6hgCFlkJEcui0CD4/AIRcfbqcN4ixQ+STfCik/wSI4 OzqaedCLekh7xV73Lz3ruqiVykHtWsAzGSvB3pTPB2d3uf1agiUzY64bUQaWi+BIoFeylnGuTvDi 2KOcjK4PbblkCMgceC5mKibROLj7YGsJBrQo5FLTGnUACqgvdQTQ6ITA7lUxqPa20VoC6zMxDNCg XsAAwZnH0rSXjND86/vwhqdA21dZPweui5kKBPNsAhf+JnNTKpeMnQVhm8uLS4Ht4nWm3Erh9k3h IHwBf9nERDdtFIYmF9PJvwb9ANa+YqlsbfFTIvl4ktMS9S45KKZsEKLk2TtX5vOR9Pe3IAYY5ezZ +CzFNjGCjRjQr7dqoP4T31x/0wkZcJulvxEkJwdrPtjpjQpKJ10PIKSTtyfuyk6FCJTpF6B31nhb yd426itpq4npuKozrTPLVtxDs/H5KDv5RrKxgvFV9A7tFzutYtS9RRNOfBAIqygpMuZD9XMp9F9k 3LOpkmLDrZngiANmG6WlszUa676AJwEVef75IfEJypZDA0Yx9dsQBWIQAC0gEvYfvnfBSgocGKHF 913MLSzAPPstdGCEiiTy3C1tYlgjWskEHh/nH9lcAhDWJikEZk+FQLag7ql0UriTJQNzzZVUelPJ RDQRxHPtWUlLhtShBSPE1yB2Sdxxb4Y7RsuK0TxWUpl8PkL3f3OMgNyr6GH4JIXaIQgDINbGRTP3 To6rnW0ZKPIk29sPpcCEUaEp2MM00MvpMdA7YdBELMmKST7TRPzk+THQF4AcHn1iDfSXa7LX/Uvp Cb06DicqEEex7BNisEqJxhP/QASM9LXErm+GlNvVU8uBESPKb7CLGcYqQqDnVKNFjVjecEAk+DT9 7RxDt2gbHC8B8hSaSxAMQ2pIsZGJEu/PBq24ZErwKtMlXS3HVowMl6pA7LUWp2OtwhYxdlzufD7i 0pSvszszApnPjgvNYyAoPyWWym6laYfAx3V40WRgbSjyDtwYr4RqmdUHjwPyOTHRcKASzTjrjB2E xdMIAcbO6Xa857K3/WuSGKyA34i6KLkQEx0x9gVGgwl84Mf4rc1XLQ6oXy7woSFja4lsCMdNrO71 t7M1HHqzl6vR5NCRcdHfLK/ZfHAUad3wHle0lKAdBl4AWFlNrYvRvLRk+o45dGQUY6QPGX6N6fJ8 mGDir2C7xuejpbS+lhJQE21coG0OLRlhOgXBMLPTmzJDo1tcstIAb7jkkxQq9EmveNDGm8NFlyTg SM2j+sSPjRbMOM+ZTcCg1UWN6J7JDwk6TFxKfNQGTVI7MTgrfufvbT4fFU2+w4BCLEa55bfQlREf u5IUHZHppjUdYNI5SQKY7OqT9LeXDKAePvhK2tC+JFTyHPATdM5FoxQ0+Tsqz4M9Q+635W8bTBIv 7jnGHRR3nyhgJZUD9uTzUaL7HQZAoQ+F+DtJofA19A5GNg9GAEuXY0xklSLha8BImegGzoxow7E4 mcgW5eA1yhNQnuA0E8lVJxQDSFbfxCmhbTHzDSj3srcNQBTsCbST2PpgCWtt0jCmNWMOvBkl+vqt 1LekKnhnKQq7AW+D/032coDx/TIYDcOBJjAosaUU17uJui1Ec3G4hxLI0vKEB7O8UOT4xOGsEqHU Q52aqc537fa6wVoiSwsSrT4IjHN2mwpDDQrhOXRonOmb6Qd9sNau0FoOLRoBeoYNqqPbJb7Po1tG V51rKXspN/ZoXFpEVFzj4MnJPjFxR22CpSW4dUmuj3gYOFnNh3JM8HYOTRqxlc5fq+0Ohukaxbhz rHcHLo1SYvpCvXVWBJ5Jeo+7y//DzjinUl1+KpkG3ckm9gN7o0emJimKu82G0ASh6lUTOyl772i2 SfZxAP5JqSy0mSoyOATDl5fd7W3/IGvVDMCNDdpw0A6VyEzLeskIXfoNoUDcAGfHO0mxEPamrKHd b6OP5SuJHRsLApYHAaFXIwzgcWvN7oNXltpZ0nREQD8XFs9swmV0m1GmhoNTv9Cu7a8bcUnI1Jqs CBWJX+OLDTpQcAulwef/JWxI9FsC2OVKROTQrxE/Dqy2nRfZq1un9Lkvf30s0xTLoWEjCu7WELHB Zgmso05sBPq5J8zoXf3c88Ak6z6ta6trXe/AshFQBsbbi6VcDqq/bTT1xKLhWorC7prK96lE2nO9 Z3fs2bhp7TCGNXTrwyiZ7g5y/vTw5CQwbfRTCT7JPnjXG80T6QTgKKpYWpglFCgh5Eshg4ugyMte N6pSkishxCkHiyjxvqxSrjb5/H+RxEafmqpzPktR2A2wGFqRqiGdf9r9AS1lQ8D1Pu9aigVHpGgs dlu+7LYxILI2QcZG9efcoIlYQrDTkOmeZUttc2PepG6vG91wBOSi12ODISiKkf1PHJP5/L8q3tff 8nGxzqF/I5gSbptGPdpHxU/i82IiVwctBQaOyHKIgFusnXC49YBzD9B5BzjjBJk6OjohRxmgLI99 vXiYcPNDIilIhklJlSW6v6hGeXLpLA9QHkshrrt8L6XBX2c+x1JY716bWFwTHukS2DBlFq93Q53b l1IYd7PendjT1uDlN0xUhtwPJqmtKg2tjHo32NzoWue74dKy1w02nAR707yDjqW5aiN4/URmnc9H MeUvMikmOz1dgdDFkeCAXniFkVfarsZ6Y/ONyNx5kbmxjSNdmHOTzVy7pvEDNCX0THjFbXC1WQ0o +POTn0F9cqtS9mavG6EEWYCj6oMGA5oMyNIzwV+LzwfdyvzNEciZxPb6TFMUeUN0bRVm+ZSib8Ng gsP85nAugSrr0/Rn5H2yjnQHTdN5i43VhG+OLK2jmv/ToZxKM475U8blu5HOrdeNvHmEzCXYm4MW E44lhuGQNuPzQSQwHWrC6wx13HnV6HNo54jkcWXSfRhU1htU1jas842A2Ji3OfBzhNQCO9+wpLPB yBQnOIXBXGFpKaOPX82MTunLj4zmZHhJensO/ByTCbBCoVpD1Zo44UYvPAmFDwgMHf/3jqNy83xA 3qGh46QJVl1eNBnDCSdTvqmsvyG9t0mKQN4qKVWpaHC4dIEOvsEWaal14pax2hpl1ml05zncULQU WDpKZpZMiumD1QPgG8nD+/zIfD644X5VvMEXrevBLIWmjuiwAwDiSJNlcRMuomwePZU6KjZJMXuS ORxzDw3eFjgJSoUpH8tv0JwrErShNw+KX1fJl3ZSetsIQ2HeD/QsrCiOkAiJn7my/jZSWfwDQRvu N3Vpkf327LdQMjuvKwVAimlzEm7q3etv5nnAWYoL3izl1lF8sFmCTnojWgLqLSekgLIvM10sJZSs +5X4mwqWAmdHgH7khrXvoKUEubMhM3mAlkJrx3yFIo3qhOjqBkuBs+M5S86sz6VJQke33jig8k6W HEAqHnjH1o6U/oExug+enmR4vTN0h6lagv8YKrlJW6z+bGwPE/lr2942QlJydgRa4qByQIZVohA2 gMGH3o6zeSVXafGGJddzKEVxN/2uBcSijN2oLpw9e76qvji6dL8F7o5nkpSWJAEq0yPd0hI8jOS1 kpDSoUWIuPvM4wC1ibSBizTZ9rpBAY5cytwYUnJQGLDOjdCk/5Mqn49Kub9CSpQw8pPpBg6PVso9 ObGJjnbNF2apjDtLiJd9lmI2JbOTSUAlhxt3731mf8r16YTWQBwRuVwQUuLbPH7FBL7yU/5SGK/K 4erN4TYuBDU+ZtXzQS13/RJrQ+N5puuWkUObR/QPVpejI6apr2SpbhIKlQU4HF42TRHSpC7J+9Y7 +LEEG0/ouHDHncR9UoZ9kh6BavcC6ehbjSwHRo9gyFIBqIsr0Ltz3+Gspo7sRLMycHoEHucW4CpT XSJNPKT8vMRK6/8l53rRchrpSeHpybXU2eFX4J28WfkSKZ0/A8yS3A4IoODg9e4KEQWcOliRJ7VE eYSwJhodnJm7liKZjdLPS5zEN01DNQCyTjlYCLCGrDdbW3j6/0wPX9ClWYWYQ4QD9R3+D+dXCSZn URKxJPd0HmCiGaTLpKIpzOr4kmByDF0y9h08QoI0cSdnCzTaQZU2SCcgzIFCUmZnx2ZHsLfX2UHD hKH2YoDEQQc27GBka1RQv32dnYdoYl7iiMsYpXF2XoIjPsRmicAy9J6jo7IwSi6cVFAbMyGyl9BI S0fGheo+dM/LC9BPGVUjtko2+lWdVrTISs4rgpsEjomh3QjnfgmM+KaF8IpMMKEGm5zz4xGhcUKm 9nmJijS1Vo/U5FSiSbYvnZeYSPuq4f7qWTI2J7cj6VQ2Is3abXS7Vkz0EhHxz8AjgtDk7YPDSivC 67LloAp2AaVtICCF9gTkZe7kdB49L/EQP0JOPefy4cqBqH2RlcM+xxDJiCAafF7CIX3RPr6XDnB7 pfjSeQmGtLEKdW2GRYznrKzeZ2vJ29q9eVz9EgppY1HO9zxyB4eTAlYDRVZU12BbhUi6r0ZFcQDp Mx2up2i4zGJfIiEdO+zTtlyKD3bHn0BUqK2TI39e4iA9Xeb3sdOgdEoPGszOSxTEh1AsOm+8ttVo 1R5hvX+6ZMv59b1z9BIDcXbk6Vh0u5fndp8wFITWHNXZzoJJkvbvsLMAXtJmR8iIxHD6JQLSD6ne 4zbd7OseAhkY2QufK/LzEv/Y5VNtdljDPm9xfiFfOy/Rj05y2IJ2KUBTvr84j4S8LV872VBIL7GP ZkemKnNnH/xQbsA+APDB3uMoCDch7od+QAd2IEFszvJWuRpEWwv0HNx52QfNTkl2KLcTW31e4h47 tXztiBBPD4Rus/MS9OhQhhXfuZwsrc9putzPiU+npxmlmDHxS8hjh7JUbHRzpS8Y21kaHX5jLKM1 aOBi7VQw/waIl7QU9viZekgvEY+tTxrMbTJt1s6+dgAZNenDnT8v8Y694a9TGVjV1X1nvZQZdc81 yrMMm52+TXMdF3r3UxlyjqY4FpzKiccxtKB88Nnp6OkDjVWo8lwF9s/QUJ2s8eJHdfxaoyRbNDus LaZFlVoO/Ax6Y1RpIRU8/T47/ded1akWc0/ll+qilitEWiDi4afyZYzMdg13oU5rAhLRqcwLvdAo VoPPzlkv59hCswfy9CcHhZTfyS1OfD3AaGNl02FrtC16qSzqF2CcQ5aRDTqVJ+8Brwe91BXtzlrf pzLU4FPOHgxGofJIaCtuJ9RwE2l6UNB0cAimz2h+4a1V1aPePtj8wOOKnBpmXQBDsgt7zooBrTHs rXQb+mylft74a1o+KCfC+rz7oNJrT1PSESipfN7oa9pd89etjsp4SjdcjuJlqLgs8My5VlAmTXb4 QM3UuvljuuThG3lNMyQsX1ZSkR9bp33+esOCYXX6TEehGluFj9EE0LnginW0mpFp/j6cxfTfzvQH Zv2khIJjVz4ehMzWf9UMLWhT1uE77I26ZmlIBmHNLJzQV/QtVgUYZUERFmsGnQlmaFshsRUfHDkz gR6urJSt819QdmdciBoFUtGG0NUyCiXuUdTc1TCbz2B3O/Ig7rEGstF72Ix8rX4f0ICL9WcJRXEz sutF1QZNkFRIhHWoV/65PliH4IjunKCCmbTBG6/YDpVaYyepGHkA/nyud3T9G/qxmdIWfoElvWyQ dPFwnok3GAfL2aEbyPpPASg0DJ2HH9IU00b5h2VfzdB77JyE5x+Uf2fhvroK/QTJwpZQquN2N6IZ YpUVDr0+WN5VwVdqozhEDTw/krYRvbKqTAdHSml1abO8B892CoEYqgF6ENpk+Vzz/MSRU/u88dVs j35fYzkTxwe6nKYoCqBRPVlZivMIgrqRQShF7EHQzFdz5D2ClnYJKPzscHDwKUL2NcmxhpK4HP5Q 1UAPD4T1VcottdKU542tpp2MOnTN1PrRIEwRflceQ6hvf97IasoVDJy2pKQB2Ogo9Z5DcRAN33hy OVkbS9lNHDcrKywhDvSfNENRFN3Zbm2NAREHm6FUUa/f9AY7p/SGgh3hNEAjbuod3nPIDuooim4k rJ+8qftgBfu1BJyGecTnjah289tnhiAF2oAmtAmK4mjg8s51vL1tz3hdbXsSv1jcGHgZTVAUSOsq K03Sh21c3DU62xN3jXjYoH7ioB6Yp7apTdtd+ECAwc8bS03rlKI+S2T19cVS670ZabjPzxtJzX7E +uuyPzMFwVibofdYGgD+QR+VbEuoFpPIPhPULvkq3Vp9FEwPmjw0+g9q8PrPCY1gGs4SR0fZOcm9 B7cIBFNhtOZ2IepnvAfTCvgwM0SCLk/2qPC5TN4YejVRNL22LyEJi1aUnu5VFoXT0DyCmYfBY2q7 ljO9mBtWyXvdMn0YTxOI1jP3WM/PHqvwGIX1N2tAJ5imGy/EslVK/kGry7tic+pdowlihWzNO+gU yr2tZQnW+rxR07THav9ON9AXJ/db9cMooIYC6MriMcDfaTY/hGprTnJEuGEFxDCglthhSnfwFXT+ wzDa9J9PYs9gaGhX4TJ5vNPo+P3GS7sBV2N1wAdLVs+BKcG6WfLnjZZmr7i/DqFG57/tGccbK03T OshqNDXRs8X28g7G6LaCymA4zAl6j6exEpMKZMMHr5PBSnAWZmTogJZByl5DCDTIUAZB3g+hPPSy QUpGLx4I0fqgjKOiUsa+6mzz88ZJ0yn/qwTNoyyR3cgZimrQE8WgMYrdY0MiB3QLST5DkP4woN4b I83qdOrtcKtxuJVEVjwqM3pIsqhI1oD0GMSnMK82CBq9Ht4IaRYNydDpt7scSrfU28blVNvnjY5m AfX+OoUKXdqfIn0YUC8aXxWDoev+1E2/nEl8bvpl5nJvZDTL7ZSTUeKh5itDf863Bb/pQjIapLPJ 7oOVxUkBKVeV+wOGoaLIGxfN0noGQ6U0H2yGElwKMUMp8/Eor/8+hmDmyvvEZigKqAGNX7l1U+/N rbk2bWUXV8I0UONhQP1GRPs6hoqgQBxuVWic5bLk4Tj3OYqJ9SRduaMYlHtx16JCH4XPGxFNMyRb uWK2IRefB/r8lHpPmp83GppFCj5DrAEgnu71HtRRPM2yGSDNnrXW7ACPYtS0k7VudyN+I6FZ6sJd 1pTety8lkZ7mWYSgWdPZisAO0KzPoTwoX8ZT2ur1xV42CIbUZ5bCaL2mJJkoW87QnuvzxkGzdZ6+ krIiaVOvK75R0KzdU9ApXN7QIDiZMzTkOce0tTcrnb0x0G7O3SkI78PFCyfAnxM78mlAnAa7rKHS O8FHzLSS9W6Yvez7OYTONPyK8h10Q0OxVDLpK+3PGwFN3zWPrzWEs721m9i/8c+eRjM8s20NFYsR sXC7KxsBmW5r6D2gBiYQlxgQGz7YZX/y2RM+bu2yk6uhHUHeLNoKSD3hp+M5WRl61+CyX5yZxex1 wBTQrN4HYLAyP93788Y+uz2jZ4Y6XTjqvcvC4jTE83K9hiG8QqXU35wRi0J1tRl6D6jRi8+MpFGS 0mAztAZIenTJ3OksJvFY4RED8uIJEOGVY8UzttQ/b9QzK11Qpwc4URusswFLLXPD6J835pkev60N TlBiKnjDoSighggGlEFch34O722sYgo+6IsVE+t5o53dxjVK/7zsx0PwOMHcOCE0TBVQ+UB2Z3RZ oTmhAOn16Txp+f1GOrM9NkWn3j7oJgNbXxR9WIO9cc60Au9dL9os/sqt379RzjRBgJy05cCg0hyQ f+LV565HYY4r6I1xZkkrAa/owthgE3T2KqJf7DHE5bCHYVY/6PVw4tSZr1A/pZQ+b4Sz291CDVre aa5hcHIjRFmC4Y38eeOb/a8GB1dQJsBs+gRFATXaMQsqxnYIiYjH2hlx2+qsNs843thmX4gOKM75 oAna7axR2DJjgsZJvyFYjjlEVk/bH3RP2F0F96XoXaMJAqJjdnbJOFjGQWkE5qwnXnvjmumrpu8V VNSS8jPojWpmWyyhMb+Mj1cIj5CUYUO9jj2O1LrVp9+YZlr+lFTrcGO0wVbQHmeWEoItmJ00lGMZ LkHSjpphMA27IMWhd41SskGS1Oo+KGdtEG7lRZ87XzE6pL/PIMAfSrsJxxvLzOrKFSyzbhNU+a9D CmvMPE0Kq1CMnAzhN5LZXb/gNfY72AqCn/vZ77SM33B/zRLLKrhAOoJ5/OR2BlEB441iZvkGVxDw RQhIUAjodghtwix+ULP+vFHMrOzxjZnCGUaFSJuhKJzGzwLtF5NUm336EppUTuQMtdUMvfBGMNPv w0xjJi4hDr7HKG2PHwIKYq3RbxTKdJ3F+xOIedGD2l+fN3LZ1/xQ08MGHUEnfLJIsc/5eeOWWSzt C0irBbSptr1+/8Yts8Y1YInd8QsAXnoPernfzAkCnPL6Ri2zfJt7S0KPHCyYhh/VLCJPg7q2O4+p DAkgGgHNx7+xU4L+jVim1U6SIoW6bdAMQYBUcPBz2H3eeGVaCukX+kVImntIR7F0p+YsKYxmcNlu tpGvnAP083RIh7VpBtFt0NOJg2esM50UA0B7eDpl3PryCkHAyFU0+pW+Egb4jVX2VBZPZrG2D2pw 1Dqr7FQWfsT3WFrmhl/5GE7N2u8SimJpbH/0WR1L3ue0nD4rrCbIA/q1mqG/i9MQetOAn3dyCQ04 gIJwg+p9IYEAxWkAOk7SeP4uOq6W01MX+vPGKLP6O5tkVWYGFf0KnkInMq+dwM1zqvXPG6PMYsXv U4hmnP0G02+Ests0OBl2MxvZAudyhUIVvoFaRGMlv+nD6rS2VyWWioPXFhMkvCq1P/YCwE9mB6A5 dJwF85bvM0q0/IzoHCK5BbpwGJCWTS0iYLXI2ziH+OeNTWYtpO+bDD9PG7e4+MYls3mtmM1i8Ghm O1YYqqY6ey6y6lbEb1Qyvb3UU6ljpcGhvyB9wAyJdOkzCeimQhbsxHrnpi8/dHv0wpCusqg+3ag0 21VB69Mt0SdgfqJcnK/9eSOS2Tuur6S+sryU/CZ745HpIMo0LTJXQsiDdYP/Zsle0iaVlu9EKcaA D3oQN+uVrUfHCZHzCce7knqQ1zlDqHDQPy33B2ZPjPbnjUZmeARygEmWwzAcjzkHgV8nRq7z88Yi u7v0WUOZQOQH8fHGIrO66cL5Q4MQQDobAa3g40zcxAUuoJkK1RWL6I1Eph9oSz61Jx8cYj+wS1Er xUkE0XnWzuCh+TPqOeGYs1o41Ire9e9m9LyDITm5OrEuTmD+eaOQWeHh+zLj7YA42SYoDKgpUUh0 iVRBYbBHYblMCi+1+OkIRy3+NwKZnWfEM0wZyc7HSBbSfmt0wzOg1DhIkYY62MRNn3kQmVYx+4hv BDJbBJIm7M0HzdAGTMIkmvl4VDrb39c9AnmoDNkMvUfUIMEs2hLKo+Cc1MWpv3UQS5UxQxv1Ps7Q 3xF1HXK5ecxmTqR5ToluZBYQD5I8LgWrQmtPbE3pFJOD8EYeu1Ws81wWsTW7lgxyQMGP0W36vHHH rEz4a4ZUI7hg6bBAPYACbt3Lr5SOZEB0LqByMTHb6h5vzDHBVZSUCbbYv2CLJ5yb0PhEIxFHds+S nMM90Chei8PI0lZKVXzemGNKW/ml5qYNKAfL6ycFgoFyaO3zRhzTEkzfkOCFIi6a8DZDUYGayoQA X/K2P0FvunKpq5snOvJtA3y80cYsJyTSg4hfDbaGRoYyeakqfGwUGllcLFw1iAEf1CsD+s8baczy eppcoh1gg66yPMyN70RF4/PGGbP06vsYglfdWQR+2b9RxvRUR7M+G9rsBC/jSjXkK9XQUrNO4htj 7Dttlb3MeOxlzrdGS76rFw2tbtgmEljdiILCdvE91lkZeuOLLcdHQj5OV76rsiO2he8uM63Cx6Ob zAv41xL9AXe+kcX0FFxAexmedKhZz/J03j5Bo7i25RtXzCpDmhmKEnLw8jTMzfYyC8d0oiNZYST1 6AlYuocQsZ1vVDFLq1gSWupFL++SDZZ3WVs8GfHnjSmmr1q8ByR1RzRWHsZGFFB3dlrl/0V6QtFx RBxVtbR+09uCx3SInaZQU9uU3ufgW4yuMuT1LCQjLbFMm4m0L2CysTrdVDpLetfgpqcwGrXtbVBl qPSijAOIWDweRIvjG+5REZQuCn5xgsJ4mvdY66Y6ULdzWkZxqwIs4GKCli2qTtsE/Z/yPbHBs1dS xU8q3iH5hDMI0KRKoR4wo9zNWqd0iPfYpEJBuNoGLSHYOBiZrgw8HjXr59ceg4cInIyc1hLH0ygp FlMbXBk+OKqdVdLTpcswvH7f3+NpnNJCLlJjj4MdQietr3ktgV87ZKyGICGL5WGwNq6rXKfWV4/C 6UQtnbkZCnHQEqq9Tar4AHiBx/8LOpg+tmV7KNTD8jQQ5pO3ABHmvfkSGspfsYRmdk3UHuE9xham s9/BJugcLGhcbJoRdHDQ1ZEFJxFc2J9N2YEuHv3Quwb5hhwcGwlAHDhB6D6Mon1z8o0eRdMPMYo5 K4CGffop3f8sT8/isuiTjSfBPbI3Ec9WcDGdHpWnDTGkJmJ9mogQYzgLcMglDYo3RTkr/Gon+hIZ DH6n9OJ74kP+Ap3VTEZvpSnDUrAIXSOl9a3g+YB3OPtX0kpBf9qH2ByFzENw6Nt0XF4ppjl8Xjdv Z4dDOUCAjx7F05Pp6jlmqg+e18Nsj46taPKduBSHc1+Qtf6RwVynGup8JGN7FE8zaz1zs5oPCoZg mLHEei4TjwcndfqFMcc5VC7go0fsQ+QyKyVXGUisWfwPFYD28vJZg6+LZiimHzJMpJ23Bj+HGuiz dKg4eT2yMiruATgBhw7oSJeLXGR9sUc1avkzbHnGb0Cax4/I87MxYYAvNB6PrrI7QdpnA3LOd4bC eBrAs7oM5gFOyt1nu0/HdoI9xZyshxREnr+tte6Dw4M70MUwWaLr15hYCH0hE/mBMc4P1qcfRHgN fEZw2Vc5o+Xtg/pkiOYkFdtxUkfx9JjfFVioKNVV/bLvUY0aTm7noqGkyQndamFHLCuMNyGmCokg m6AwnpYSetvFB0/JzqIB9K8QanriQ+rrAPDDJmv9YcXs6lZOvWx0VEsFX87fbBrpHOpNIkpnhiYe j3Bn31wgCoQxt9cMvQfUgAcX4s6yKkMN7XNWhsYGMbWQOz9BcmVlqEcB9aZyDlDNPvhRjSJVkwg6 LCFH3awMwdJjQGWNQa2odnQWwWf8dZdtgmA1CDLUsTgJ7jz/Bh6PaKzfWT3ZEejf2wRF+Gn08k64 3mWHOqEmYVpeZWS5D5TMarCWUAT3sLoHXaKhrsD35TkNo6d5VqmkF8ClIewMgeo5OKcUf/0YQnCN D4nCoc7zZ9zBltCJbdlrPXfAxuPBEvpVw88gx5DMblMUhtQVrbI23RWVOi60rtibPXxq59FUivFQ VKKmLu75JVVdnE91sXaQD7bpC56Jn0RQQx72h35HVBux8mInQrhHiI8umeEiabhyffVqklU5TbLw eLSIPCDanCIS9y6hbEQxNWIQ1KhNz9PMUAgRJuRbRI7l4MURCnhQuaPLerA/1oOQTVknGC5V/egO H2KcVQV0AqjCokt/3XSy3jUoL3L1jMQC7EhXHv58tmTDznGW8XjAh77nENE0dETf04PqEQXVQBeh C2EyFePC8M/5kK5aDuG05IuHJeoprl1ZPnheBn/AthcPohNLnxuFjLLCMiMK8Wg4uIYXYk18SDBF SlnlGzwXHSi5ikpqg2EqQng8HxSp93fegZ0DwqdPUYiiBm+zCNVJjLCLwp6DqLtUdaJaFKcoKlKT r7opdolB74uAaNUGu5AlpsJMu9OOoC4CLsBK6M91f1b+wGcE8E7xNacOJDUHsYhmN4zA+dMdjwfF j16+rnusX0WrmqAopkaldtWLG6rDtbxRcvHE7CSx1rMfUUy9xOHA4xhI/eUENVgzda3tEzCeL0Z5 /YzEqCINZKvBbvuUMEEx6kN6Hv0OCqnPv9oYEp9jZePxf2kyMGDE7YDozyYoCqnPsQAO7LLErFUP GFGycKZChXWMVlAUUk9W76FC6YODGgr6tlPtVigtLeqdbBTnKvbeyZHTJZQhiseH/NWRRs+RA+5d nrkDvWHWY1HdwvP/qjByQ2HZ5qslNMKYmhZDtFRRN9EZZRCQ3NZNnNBoY9Yxoph6sSxWF/VyOPg5 NAdQnapRb7igsZu4J328IJt0pQTzJrt+hBhqAj5Oxjl80El9dvFkIgixIjz+L2SMLGGq7FdtgkIM Ndoc7bbswYrwNbSbsTbPGnLR5RFhqMtW5WwkH/wqg1ZXLjSEwQm0EBZClhl6+cRXkbWpThnhnSNU 9sB0Vnpf2mAl2LOe5Clwtjcej+Kh74ixoFlfngmKatTENmUU0gX7oE0UJ6i4aEPJxHNrgqKQmvfT 2USsEnUhDDI32YTK0qae2bnrF6CQmCDawiJ6nFxBtsfOn8dnBNHQ2jqfsw+aoJSK6lGoYeLxiMlx Ufjs2Otg9KRjhDH1pGtHck7ivurmZocu/cBpmIYRxtTGUJDiW3sU32aCYDQ0cijRALGTycwewSwg RjAq84N6aw2FMTVLZ5Cg5ACde54dJ54dmf8IYTM8H+T29ZekWSaV7U5RGFN30senZN5OPkVMHkAN xAGZTWWnaheOob/L1LkxL+PgbJe24QxG5m+B3KL032vrggrjCyYv5G/p54RlanYRexnLByVmJy8u bIFDGw+PBzN0zyEe8Ggn0yBNUzTDOnUFjHoX7S54qi3r2SNw8Zh6NKsPzVgUj9jfTDw+B2+WoTkw JpU69zyHhhIUOqA0Aojqo5BP444Zwj5kclZ523OwrGMp6aX8Nh4PZuiq6LDd2ulqfDWGopAaH3Ne ORmogZGh8rKTUmo5IXmeBmqYIS9xM5aWHRwHR+gNuLcjEUcB7fwUzG7XLMRdQ8TrcRSc/q6RbCDt loacc8eygwiOoVIyPV+j4PF/HUSU6ETwOboH1DMKqIFmXludVfDI85XG29uYQaWeI9TCoRnTEpnb N1m/tMf6ZZ0QHeRoCpwJnG11asxNVZnlUlupQDDDOrUOoEUV03IDCrT1JGZ+4quKx/8L6Y4Urzo9 YJxRRA3oxAnvll32IxWnlI38eJqkbNKKM65Sz1eQJ3FbCV6UvOxRyGHPOvGy3dANrlcyGBKE/IwI 9LEZSu/hg04OCEtIfPpcC3g8kp70y55nMrJfNl01QVFEDbzkOsvVMJ6LKnWSOdu31ZGy2wnPEPSh RisoSTbYBMGtCXwiwmIQbAEe0aFqN6FLRq/FeqH42Df4kGCTseG60J63waBVMHAm47n0iseDdmK7 ekyuhNIA/bAp+rNKnYVgBGGq3l1W04XBLmmXYooi1Edf4rQqos43oh4nAgJhqjNthTBQofvrAGO0 Y5fhHzweos3ijCJqUK0oN718cGjVlALUCVQHHv9XAY0YXSD6Z/eAcUaoD4j+gMztcsoMwyRisZMX h3pddtfPqEqN3wIdDgaMHHwNoaees/w608wAyrAlTRkbpR+XHE2ZxRlF1GkSY96Y15/B8npGWZLB z7jIYtTHrwojPp+JmSboT1Ji29eMOj8GwmwkSOWjOJB6xnJ5TDkygyEOt1eWoKXcCV+EjhrYadDA x3UEyltbj0UXmb8zKlIX3mDwZvBBORm8eOXMsTYfDyYo+03P9j7YdjBOswmKUB8A97rUNMqLKIIZ Yaq6anlZ1U2VZhRQj/x+TFM9AdLJTAjO9QN7X0wQkeYTmhiUz7YiNZHmMwqoG71LkMb4YMCh0dws qHc8/q+0XhUlJGU3rZ9RPE0RizSctVkoDaFzOlVnj+9x91io8sGUA2qTPjjnLp2NW+BkAnIlxAi6 VLuBF9oFRji3I01VKHxGtMfYZkXnyAaDniF+mEwiNl8xChZ/FRczISSecKwomoYnFlyDvbhYTVMH ipReGjqRUrE9tiLUxyCkqg2CPzh4LAS3YxRNeUrDdZo+Qhl0cvhEQSrotwr3ClEfW21WAvI5aAWd KFu839ZqxeORysf3BNEdJt129AoL1I3QM9PKO0HucjbHeOTcAYSyCQpRH30K+bp88GtsQsgAwGlM 0KgNFprocUDyDG1rkEMdN4SFjs+I6h7UrkBbD1SejjaJNsuqsjIFfBvPR9iz7+oi4Tj76g+sUGma sp1tOuxDWp1sRxPFqlhou8/7CmEfbNj3KcVpOdM33mNlwNeu0wS3wbqE5xUOV3jUrJ+5r0kgqc/4 jKh0RuDr0iG0/BCCd1zt8gRYA49H0fQ3LobM7HbZLitkJRbChYZ7TdaLi0mUwzH0YrJjeoUYai4h MnltuPcYMJ2AlrP8OiC9dWuL2zvRJppH6NkK69MCvhKGr8FP6dTUBTp/EI//K2PlHiu0Tlr3FIrC aSjz45iehvkQJA+hUM52u5XzBmhz4ZheIStR3sCWufZ9u0DnMKuIXpjTn60IfDkRDY0E9/0zyxVj 2uzXrwjz0eXjVrmSOOhsbfAQ5Sl0Vgkej1oc36AYouRkxckJioLpRGJrH0Z2qcKe0XpDAkSTbbJp sKEVanyIrprL9sEnaMCibZLsUjp4d9TMq+g84wb6yVC8d49Jgs9WhPlY1Bo64UL1QTOEq5LlzHP8 DTweKbqnr4yM3L2vTRZiqBetEy3JgOGDWyUkfk10o+tZQXbTrzCaVlVx7e2DzVCvMCcEIAi1ztoG hRfPd82EfpxTDcUMqwtRuhgfEswQyWTo/2swd6gTTe3Bylk/ORsej+j1t8XB6URTe1yxoRUSE4mi 7k1terTuqzOmYEorLsdGb1tTFKKodYf9HzmmdfLXdv6+JCygEwMqekcVE6J1iZ2bC/qYQ+8aKelg DcGb3AdlZCdtWMS1t7Ph8HhwUO9fGsKI3sAesAkKaYkdwRAPVqasw2Hmg94hVsKHMZYEqOMCNRW9 qjSW66OxfEKVMQpMNQDGpjkpdWIG4N7Y43lQjoSxI4SX+bLBSZ15RANEa4MKQ8AzExlVz/bF45F+ +XebrFXC2+8ui2mJJ2eFetS9yjxcRCHLC0OIbjRD/1KhJgKNg9enz0SfbLGzdAYRz0X1+wYU88n4 UcKf7YZDY+hl//JOqKAS22BRcIIy3w9bO3w8WkO/NMxRu4MdkWZoRwH1oAnguL3W6cRNkGuLX/bZ AQ37b1oisf82PCf1CaI311AaUHBDersmHAc6ag8UbDFrUpandxRQt6xAkUlrc2XKkhs4fvLPGnw8 Irv88iUh0uQqU+73gBpPoQvUnR5dSeaXE3BO3kjciH6lYx7LUJNsl6XrlZcvoYE6KypalM07SWJO EmMCXmdgH+d0TRJLogj+DnHU0sZN+Q46hqD5IY2FE07g8eAqS3cJMXpGPpZvi2OHQGr4bVZx7Bt3 mQMayL/TFKVH6j2KqEWPrlLU4eBTtBPIIGJznLte4icnrwcoGtLb6BE7JgZVF3xGVDqrTDTl3jIc WHWyUUNun7W08Xik8/ErrR9UHrmbLGQljkaalAt1P2JMbRgHBogPV2nYMeJDvY3RffBOIoRKclEn MSXILvMmA1asgzYJFMhtAvEq21FIvYXsRNPOBp0dnC2We86ticcjDeFvgCfS1vrINOwQRc3aWc+u Y1FvBT+lnWwJAYVgM/S3iUtLYken9czQyb7ONhtUQjlJE+TfUVwkFxGYFL6p1c5QScBn/IWJOflR 88GWUF7S0oEtPB6PUFW/7ZHoi+h3/Q5tXNDiGMnk38CYkgQTbNqqCX2cY6ga3WWHgI+lppcMkvoD +JgkW/SuZvoA20UtVmAHOwsej/4rjl58RhAMFVGlsNU0GA5/N6E/6gkB8XgkCedZKyYDANNenxUU AT6AQSULxVZQsRoRWhzLJ+gkdz5BoWjeChxI94QLDT3YYQIITQsWPogapNM27aPVie5d7/qXhVRC 1G2DJqimKSkdthF3WJ7+lbXSgvzrIgvD6XMWnLd2NaZElVBRylr22mKntRn6rDsGfBDpMVmc7/O6 kEONCXiGRK/2MwWQkGfdAwczvJxATDQjIELF8RmBFn5mB3pTipqD0vpZB3n54NwNPB4kHL8QwvT1 SFehYYflaTSiIUOmCeps5JmPVPEVtEC61ATF4TSZ0akXHy5PAcU/kPaAiCleGCqwM5ATSpoXU7Wn 3jWyu+EZlPsdNEGQfxoKhRYf/xfVBYcXqwpYAzZBoWQe7vmJ2r+5/C43vGltmeFNxdeyLRahPWYR MboVH7wD1Oc+X6BIzwuBI+HBaYio1H/wE3kkxAbHDjU+dAZBasYGNTiohM8+9Akh8XgUCf3qAOHf y9dMSh4ir596rh/UagzsIcF0pqyJssXEmFuHAyrdKQynmXBkeu1osFAIFXv8L4m2NwnVHyo0QDDZ dFD21TzjwcdPCQy3SHNZLmgOHrK+7oSfDtbU+QaTfyDCd36TW7vUEq6jQgqpiWgcFTY5/4eVtCas UD7J8jItFGAOuk/T394uNNmwwbH48ANKZFjD6hgVOnqOgnU309BrPZ6j2V43wjSIG53uwE8pUEck yb6N1vh8kHjcjjTVPlGQazeqZsHw/UZr3Jrb+okmlEs3zTb1PwJctQyhl1OIpJbxVhOJvvWbemxU KhqkTem/BTlAegQhhh7QfId84gV+yHM0hcgPs22bMnHD0dYUAaKOhi95luriHwgCo1y+FhONU0Z7 pikqV8OND/Uzr6RNQ6NBnyRnnya6g2qaYntEoaR5OnHw9AN30ADCrtDCblE3ahFoRXcZdN5v8Fi2 vW7E7EianzvoMMk48qTbDTPkFJasx3dbSNj+Ou8sRQE2aM7nPPLF1NEfNhhav4BhHMa+5UKnF1Ua i0rX5dKBz3ENfguuIi6m1vCmyGPPsTJLItHGpbsLNRX5KZEJxZDMYPJBqX5C5YYBwIbHQgpBIBfO yI4SSlgTogM+TXHhGj67swqMhrp7FxXv7HASzAFGG51IItpyxerUOgs1Te1O0/nTEAdE3QzlnHNB SAMeBHwspvPjIon3VI1RAD7lT8DekLP2cBGWEwunJG2CnjafD5jlV32ZMQN+2tquaVAKY+0T9C0k PILsUXHCKrMk+1CHCBVnQfZyioLtyRZRKZSILVeAHAD9dm7JwWD73AonfGPlkfaJJPNm+rXqZILz tT4lCpYqpXG0V5JrgOy9ZXIEFGXn80EwcP0WeDBRcuVx7EihCgictelGSlpnI7aNnLyNbjlpnaXv 6ZbRKSxgk5SHY98H78XWATxxp/dmrYMEaboGQn75nPM738oRfBn0tkFOstmMHUzazlAUO8PdmgQV 1Okan/9Xr5FVJ9ZZ5oXu5RRF3YA/Qz/LtFIG8V7SSumm7w0Zie0mZinSAjGkfqYTFQcH73UUXJD5 QTquQmAAa2kTwMDad37E9eSLnGLvF6L3BFETD4xL6Wx3Vdswd3w+2HDXP4gqGZOcrPXsuBhovcGh 9gpSWdadzYiSk+UmdPaxWQor2bIoTbKPTvu7QAKiKWfpRFIDTSPC04BiAKqApX7qeZdMBiwdq94D Hnb01yKEhoPBZMHuVyuaYWXoAHPBM4Jlb3ir3Og79FREnLYElIdj4HZHKihepO7oGWC9zTEwRIdQ LqUToKfBltIABB2wW2ZwabimN1JMslI2NUHkOUkX6RyYKqq9hdREwWUdl8A4hk6llmCyHboqPqcS WbrgbnYaYtksRcG3xCxnsaWkBFWlNuLAuOFmdeGUHBgrAqcpNUvhIG5neaUzYSdVrALyrXMTtaTV hu9C+gdkKhzIl+xt/+DGlAbAjA2apfMzb1lPnqCezwex973hqi8lSCn4JIXWisBcT91rSHpTFT4N IpGcrwLMNSQYWAvIobfiYlDZKxNZDp7rDiilDMnDwxRmJquWADcy2UW6/KHS7G2jzhFPJSPrzWFw x9GBc6EuE8olOXBXRLb7HVPieqWfhk9SVNaGbQ141CZS1AVM76Q2NJukc6eX4ZMURt5sHk3aOmqw SVr5/OKlEily4vCTMTYDk3QJfHOSTOeKPewc+CuacIFEamwQlgb62jwGAUrh89F++yVgDTJJukiI HDoswvd25TZtkkpyH1zY5NgkneXs/aMcWCy6NGrbws3uL9wsLBEBp2DdrQzaCZ37DbiGCvrJuUgv jaibj2koETLZn63DhmJL6fzhIv/2BJ/O0GSxzO9YCS4UZX8tpUjHulOVUL1+7DcyTbXfVHvjfut0 mu76Q3+5DbQxpg/3fsto45EmcyYsbZiuYZYQO+Popv2RgY4UBQQ2ixI9w/Wfhw9aSr3Mwv9yrvnK 5yNty29QDWD/Gbh+n6SQ05gZIDlTZqRbXaJKkthWvIsUUIZGi6uLZ1XvYAHlOd3gYceT+2S6EMFC EEDxlo6YF/T/h7RX7HWDWMlrlNMH5XAQh5wStyibz0dH9y97IZaE2y0uBWaLUCfk3Kxlme7ILlmU m7kKFig4u9li4LYI6XDiRpKEHtIj9AD5lHP9YymBAnKOWRQ7lvQeiI3AJLmKQbW3jY5uwmcBNLJB rYCTY+4kozN7yUhP5XspAdjbdn+CgLDWvQBVokGo2J+O8Tuf2y50BPg0m6RYMIQ2cIN9Nw5eDqAA BgQ8KWTQAPfiUpK3+4b37xVKJYGAnxJIx7McsBvvOQ4Wdp94Xrn82d58PkhOLpaWdxnQI/PxNQ1N F9GsOGfFMJxfZ6nalpIzr8q5ybPPUowf4bX1f7xf5y7oXwNzBjev8080KgDNHeiICoOGebtuK9nb /tFVOhutLh8sVGpTwjPtnKZ8PshNyq8yJcJ/CBzYJIXGi50+MWObrkpr3U6lsZMZ6IHgWLyYGzov LunrFRFoyrpoSNiYnRuHk5QTykHEsI1FuiyKmw+BT0i2HFovCs+PGdbQrEVU6hw8ocAZ4PPB/bbr 90oi+f/LqTuseEPSANVt6RKjTWPlt5MRIdJm+7blO0khLnuIgbWWD76SGhSfwHE1MjG+Wz8RFGQ7 GhuOdyUtOVGH7ouZvf+hGuV4apSQkKIqLEDyfP6/YPvRdK/rSXJD/0Vcxcu8c+D9ni/DCE5WdnKn 7jS+HBgwyhUDcrE0/eBwy0oF1yb0QODXDe8ShEpIUn8gqAoI8IX88TP5KVHxLQvKJuzxiY5EUIQe qHh9fa/NP/Bfmkzo4450hS9zYMIICiBqbtlq21DHdfTxFsQf01TgtGrTFAK01RfQhitfGw58vvOF ADOCEi8EdXAqpXVS2g19q5IpwKs8l1y1HNswyqkjUVK+pTSspQYiSpVobO58PigG1F/BEuOPp64U GjFC++JsgWV8PnhlWdzdpMtXqbo5PO4OnBiBZlA7VzWB9CWhioSWRaozdXOh90q+GnpMFajPndPt d09/22iSZBInPhbVJli4hvAWs1CgCSf/QNQW+Jbcx7Ku7Upg5tCMkRqYoxnE5uybS8mqqZnObE3Z AZI5dGNcppROnQwOjkOGilSCThKreA2q78RuEZd+zqTRvK5k+o45dGPMauoikbVBNxzktLmU4D/J 56Ol9A2cALWgjQuzzaEfI6w1V1tWV1ozNwMnjZluiZJSeDZJoUBftypA9cFSuHKSaOBjWAyAnjYq MWhCodzaqFNTXdIIJTq9bYSdkPwlRUU4aJIgXM1W+/l7m88HYfcFcOnQx5SXm+eGloyoGK9E2g71 eNuT55buhoODPlmapNhHpomTtXzwUwkwz4p2Eo0BTyTAsPucXKhQ0hGSLUPuN5ukEGBC6X2gy31Q 2J0gEc7GydqTz0dp7vdKgq04VCTuJEVhN2oheHUTLR49mZ3VpLmB615zkrr+UOQkw/xWwk4cbCV1 KNBN9ERRodxrCSyYaDbImBenggWU2982uOBo/U3rZhuU5lJI+voy5sCYEeScbz0ICgQC7XJn6T3s hgUNSgBqL7EYcOtKPe3pOJN167iBN6PDA4poNhz8UJp7NnZtFXZD5xmhZKOCLAB6WLMUC4dhY7fX DdYSeX5jtDsIi7PqFru5QSA8h/aMDxiHRzcEFNpVCM+hPyO0PCBP49h2GZ4PIQKXIbc3q1acpdig kaKYbWrfzZucnPt+w7ejNgG6zkGkUwlaShBGRt/saXt3e9s/ZLJqRrBrgyG6pqBOVu0OLBrVnvqK lToLArftHXo0bsDb08q2lHI1bcM8KkWIdSqhvmqTFMXd4tl09U36V99kL7QigVkEF6W0CdMc4iax gM7fm+2y/YjY5YdEOkcI04sCSw5WV0qGVD4rVS8ZQUt/UQCAYu7P0f2HT+O4Kwn3W1rupluBZXMh 7OTNpdCoUUQ2kolt8GJAgQ0IvUJ3hmxsx78C1NI4cTeSMIjnObJLTe/QqlGC/C3N6YO2CH5S7beR Bp+PBMW+9bII4qDOks1STItkjbA4e10yxhQZ4WkoIkDPXjL5h1tjXqyccPB27on/TnCKBB8ILyqk sZ2boYWNDYBfxmIla3oHfo1gJKnsxoiy50uW2KtIDwrbkM9HovPfKNxG1nN91lIYdi8ElEyl2c6l q4fxSaq5xp4/7bJiOXBs9LUEGxEfLgLuJNyUf2Y7FzAxJCcN/KMzhQ2hziN4lP11I2hXJVOCmHcO CpYqChpqCrTJ5/9LpkttF2D+fJaisBv9i7moUXRW0Fx7VscsNROqO79SvtCu0LdRjrCoQfrgNxwO 7kwoJVK7VEEPghno2RZUEMpEDRnvJnV73eiGw7mUi87w4jcc9Ia7i/pkPv9f6t30tnz4fzn0bqQu dk9mzHwi5KsDuZ2djMrSMpptDswbIQlDmMnoxQcnJ1XE7XkRQJHgocYd18f5wQc4FNRAs07l6va2 fwlB9kSZQw7GvTnRvcwbO4+lENWdfuuL8efZz1oK690QoJ/d62+99GctVS8HTICKbC3FMBOhkbXx 6hcb+VyVg2abiE1PRiD6DaRYfyZlNVBs8B2X/HWDHUdQQIfotw06l1Zdy4x2V+fzUaL7Sx8bN2Z6 IEs1BnejQylR1Uozx3011iHNrFnadftaCiNvEihqGcUHm6Wzt+a5hDJrS+joIm1EbQmnd6P/3n7K lL3Z60aRAGPur0GLCcUrXqvnnlh8Pigt5W8drZxJbK/PNMUVbyBzIV9JzNL5gbJhlmhiJMxSgi2C TVMYejPYT5N2Bhw0TaDDArICWchdT1C5Ic7fJ0PlheO6jEt3yzSJ5adEBxPh3bvdQYvpxC3UnoDe wuTzEdXkGyaomP/q0+bYyxHkbfQjLapc1akU1CdUTxeiqRYwBWaOEAekefxkVMlBs4TKboGMFruV cC8qWaS4rT7dj1zm5HZJensOzByTi0hsOTdvgqnJVxp61NABgZujNBa+eZOI++vN4kI3R0L1YA5t UeVcDlkCr9gKcMh7fZIikPeSwkaZxYdbNUEwUEQXAM8nNS06oEHQkAD12ZK4oXAp8HN0wGlHR98G KwisKgWmWoeeD6649ktqA5zANW64FDo6Vvg8naNjWkFgT6d11WvSU6nzbZMUh96sKWW2vzncWu4A dWKz/nYS35kcsoRJAtrhCvmWrPpbaOlYE6mBqOVjgJNKUW3pfCa5diceWfwDwX77hYQHaaTlp1sZ uDpCFgmQpe7abFoDwggmY78XiDgPn6W44s0srkhEs1xyF4hjCQqFpOYklMq6mAWoADE97Ffgbypa CmwdDW4K/s0dtJTO8aS4+YRQlc//C/0mtQSE+08cEBg7QpqeZoXbmNwJYnyKA6gQaC1dCPQqDgid HeXwXYaYyuNhKje0ICEroaUELxWK/AHyXiEphJq7a/y1bW8bASlxhiXIetqgesD5TZTNA4HE5yPm iYcBjB4bPbmelRQF3mj7A85gIWVdzXWz567VO7pEQfJ+C8wdE7ueHYLh3QfvCpxIq0NGimFAkqsm Au9zCg8ssJy/gSbbXjdgMcmOBgbBNigMODlhE1lgp8rno1rud+A9CBF8Ut3A4FEYf+8KUPk4J5ul ExObm3PZq3gUEDg8ZtNIAuTWBw8pT6rSciPQBCISMNhRqnuyKGwwgQWdn5PsdSOVJHaYqDWp4YJy 1TvBNcPno2LubzhOoTHNs+PCkvcG0oTQZsEDTO8Gt8bMlp8QumzTFCFNqq62VKsPDl0+l/8GTpDN k3PYTfMFRz8X5e4F0tG3GlkOjB69D4dJ8cFIp+CgD33e5vMRHOd7luj/s6+7/Oc1VMqoUqJZSQUe KgEJSImldLZ69+wECQVPpddAKUP9mJ2TLuf0/jinn1thVPKeC7Fv1FrHwc1NhsSOin/GYEKg9Bom ZSBpZNInWK43vYFlnApfz/mCp19mJ6PuoULANn2STFIY/4fzo0STQxGgOpWlnTinXkAXau8mn42W u47sYHKsY9JYeORwC7gZ7GKdRZDeWDyL4KkKOMD5PdnZkc+BQd9fZ+cEkUMCN+UOTjjlOQCWP+q3 r7ODwGHb7AgQUMjfsdl5jY1ypiwNtFuqndUJx4f58JrjbEGx0PTXXyOj7J3JIe0EDh5jl5N97iZC dy5nR7DTS+m5Ai0KUkwM60Yw92tclGEmA38d0P59sMlp5/yXts1un9egKKdbtdXkVFa16VKMyXkN ibB0OqxDtusB1OWCCSe6th5cgeu21WxfA6LsnLf/g+GemG2UehQQrbM3JmOmBJhpA5p1lDs5nSfP aziUEc5IDYC8wEkpd7a2T+7Gmp2kpj+v0VCWxLxmR1OBH7347LzGQuehBQm7IowWqrbbue5ASrpo S1/ZErTXSChfNYksodF8hUYnWB5A25BeWs/NMgjbGsCCDOg+stHAVhuo3HQojo6dIrkNOWB2N089 yUwv1q49IfVrGISn6/faQQR07lQ/dl6DIOzGyXp/3lajHd0pN2WvW8m+a+c1BMqIc9l8bILatgu1 XWc+oH6HtjWMH6B2SVAb0gfaHWh2hIxIjKZfAyD+kES2N/Zph9Pozkm+myA2Z5WWz2v4g7VTfe3w NG+IOfdINj2v0Q+OcpYd8zCaO7lQJsJajQZQUBixU/k19sH0CKo+aJDeLjdvgh+R1gYVE4pI4NZI mQ14G0CIUebvN2+Vp0G0tySbivDXBk0PqEBFKVYen9e4B8eWySTsi2ivk+0iSgdHpzKUqnOalotl kd0p9pMNbIM0w3E1ryFPdpHjumSAtR4DrLMcT2IPGDXkEWDezFYRdTbh3fIDm4wbP1MO6TXiyTLZ gl9Imz5YcX8hcPhhVyN/XuMdvuH4nh2AVWlpwNl5LTNiaxWKs3TTsRmp34MHaAWTaSmOgngtMuYr 1Z+pR8/Bt9bJoNuk09RGVAW6BBtpFW2EyTx4XgBboyJbMDuis0Pq1Ad+xjk1Z1Xt7Kyiz2uBEZeW Vav3D/tvFCpYNCWmLEMwPdhUa3YThUJt3yU25rbsHq6bzUVawoBHi2d3H7wZOzvw3rzSK/TYNtOK M2f1ZwCAXAgOMuAabYteS4v4Ceg5M1BGssFSL7itTy8IvRYWc75Vat1akGQwQT+Rw6O9xbyrui06 lB5sekzymPAQ3IHG8ovmR7CQ/Qx+qxcYbWRpjQ2gg+jiSA/QQfszkvJMm7aJ8P/X7oIqxh1Ue8Vb V1EhBwij0faa3zcXDnNUYHyGoogZWeHJBazoMxczASlpsffLfv7ExWtsmvBmlycYS4scnHGUTsKe ID5wJnpPVAimVlD+gbsjxJ3WxasZmSY6nQsrQLPfwVHruU9pHFQ+HhzPJgqplYcl3Ou9vN6Za7i9 gMOaYtK0i4CkSAtt3FVRhGeFgWeCGdqkPXRpR/T81VmsaG0gOKfx1TkrGGCnynoXyNEoVllOocw9 ipu7IDOF5XsOdrvjONCdBLLRe+CMjK1/TRDZYxQT1ARFkTMouDD7diWtOS7kMbsz2LlLk09QFDp3 qdhICKE9QgggPSychbzB1lmYmXIRMC06ERqYD9S28Bss6WWDtIsGoKuS2cfBknYEVcwsCmChYfA8 PCsV0PYchhCltBl6j55R2wKWblXHg7ThYmxVBzZBofDFs3JrNENcOwuenjZY5pXQASp0Vl8bcn6i a1XEZg3MU9reEsmXu8RZ3sPnRINyWvFIAQElaW2yjD4ivuH5rPZ556vlR0N8e6MMSD5Ik2mKohCa U6Twg1EQ0zyeQ1VuWIiCoDBrmft7DI3+PesaS1pR63amoUd7flqylCTfu4ekgLAb0HRfpdxaa7Z3 fZ8i4KsaTIeXD0IVwT9CIhGjlM87WS3LCEEztDhDBdowKE5rhsIouvOCX86dqUbIyicFTMNqiBOF BM1QFEZ3UGH3KKX6YCf1RkOgmzgtdgSpM8CpsZeBBXTPITuoozC60QaUgl82WMX+TDpjL5hHfN6J alhDZuu0RVdHJjduEvbOU0Nqg0BapBP27fu6fiq1DitvzOI+qe80NRwRFD9qxY6jK1szEzR5M6sZ WE4nNGqZeQbaLqxXle7CB5QO4Ie8z9CgnxOyLh+MpjZmkoDRuYo/7yy1/DAd/LKHvnK+59B7MA0I v/jFxZaQUg0ZqjQvQ098CdVXo2h60M16dJoXcfBNBvNooG/UQDzbLMtzIJGoDivOC5a1hsZ7NK0y BRoZ6Q4qAZ0tmpiW1Q7BmiicXrt/LaFMoFz1VOydn5bVPl5QI7QKGX0sxOJjrd2sdocDZOJ4mnsM yp822ARBgKZn4HGhTgTdTMZN2ZQd6Lp122Jz6l3/miCoq/qgU4jtKbMyWJ93clp+WCBaQbh7sVG9 ghgF1OjDLsRzqnQUYWNYQlR3gxOE9agSYhRQ65iWopEGn6CziyHuRiz02YlD1vKJfUOkNEAUe/mZ lt/vzDSWHXjVN0r6cbBsdS6Rrk5ynj/vxDS+Yv266mnyVm8+9s5Ly7LgQA09Gaix7aeFca12B1EH nKD3eBorUV4Pvfjg0WKqJxdCMoVa0IRsM12vYCJASPmZlnQPoTz0slGFHs35Jm90Dso4ThjNnAng jfl5Z6X9usjYwAAwMnWPp99JaVi3uGuHmqnQhEgmvZYR5w0HNLKawxl6j6eR0qtBLwBxfnLWPhA+ 4HpHwQM+sjQjHuiC4XhFOeeGiyS0f94paYyGJrNVbk8OOqbBi+F0oQP9eSek/QqouV7Q31tPmT4M qGFa1KlMTQlxAie1hmq+MD0YTfMUeqejZfm+IV3VUtpPGwMe6OeKJkoPsI8iM7CGQjvvykoQgaFh KCjyzkbDh8B3r5LGboPNUD4hNQGf51L4vJPR8uNPqGAIMueoxvgMRQE1wPFLbjiMFimlJ7xQpe0u slb0DxVQv1PRmNcb67P44EUzmIRsYWKB+6PPFfJ6FJCoK02TQO2yMuxlgxmiHcZuJH/s5gs1I0pn yfUkofPzTkRjpOBZq2qumOh+O2HvRDQsIoSLu9biGPSblaU+vDJUnpM6KkobwiOpUZieRmEb4/x/ 4qOfBVRZRENRehLqOeEYkm7JHsWozzsPjVE7tQh2yz7YFJ3LUotoz/V5p6FlCec8l31RE/Ue1e8B tbzU16BiM8vSfVjh9WScuV+UfvNF9B5Qn6O6S9xIQtDlkciuZUDxQQxiERuwzQZk4SYsBzOdZL0h tvSyQXGRadk524YPmqFUh6mnrrQ/7xw0Hrq3X0gNaGxaehJriqKQepLIoPSCJk/LKTG5jeSNDYqA cxG9h9Tn92Vng8o1NlxYHjp5Q7yhk4JP6dEUOFi0cwT+wEXSs7Iy9K7RDFF7NUn+aZ85NrP3ieCB Qc4Jtj7vDLSsdv5T/Oj04aDtDGcoqk9TRlwScqreb6+f1dq9frZwsGqG3kNqFI+JWGxkMo52mYwb VIoTWtGY5+yokw6TsF4SedUTunSX7kms0uedfpazFxibFPtdtvQERLlNmXaDfvbOPsPjO30d1SwM zXQnKAqp0X5FqWZ5Ab9682ctJwzBl8d32XtIna0nPzdNZzh4znEO1UU3OCLNaO5EyqwAnfOHTD1F jCwZft6JZ/kaFw0Jr46blMG7ix+I0u/nnXeGgPPXbd+obFf8tn+nnWEFdULxq8VDZRaPh2q+IfVM bjD3zjrLrpI9C3urHGyCzm8LInsz46IK9zkB0ssPXcSodW57DMHY5510Zg2uBuDa9sH68iRZMhwa +fPOOcuPA5/lHPlizDhBIaiDoiHEmnAFsTKo7uojaEjbXU1QjOrALQ+umQ92bnam84UejpDtL4lC NYOaEoTmo3rWjf9S9K7RBFFXRXKG+8oZLoDvqHdT+4nY3vlm+Kq/YR2FRXOPqN/pZlhBICyUmRX4 rCqXb2oZit6JLgcctQ26EAI7yEac0lmfV2d9nbUHqR/ImWCmzhdJgqGhbUDVMLAOLk5x6F2DpAyX NMrlzQdlrR3Uf1V7Ol8xOKSLbzGe7bgM2u0hvjPNcHYkyIXKuRoTNK0Vfc6gRENQTBAEu8USfiea 3TbQoqyrBpugCkGnArdDCBgxFtIEwcKLPr813zOIKhjvNLNbf52bWevkr9/tEOpSA4Kh2eedZsbC h1eGmMAVrtx7CEUBNeX5evElBIeYiy2/S4j+5VpCUYU6MRZaMhrm4HsMALLUCLyD0DO481hlAL9m MLyWlz3o6/l5J5hhfthGnI3mchx0BE2AHbXD5vy888t+RdN8MTCn2q1Ov9PLspR0lpxJ7BJLjipX 456XGL6GpieqTieW5TGnPnhGNtJg8kLpiwYYAzuylEKCj858HBz7sncNekCrqd6RfNAEgYKnHs7o 9fNOLcuPWoHh7qQ14rf8O7PMQQw9u+hsz4/dDNltgniwasEzOipOyz92VN5lHLyNeJZQhf2blHkB gsnUnEWvDKZ8EGe8lKluLxtVzoguQzpugzocJ2CTM8ZJHvrnnVfGxTC/r3nA4Hg5aIaiUJqQe5Uu iSZnciyj3e0zdA5sF5l5J5WxLJXE2hDinqqLk0sIHKmRRSpLe8GVGF0yKOM37OcCHyxL6jv7iO+c MkLMcI2trDVEtiQPIcYPLOD3839+3jlljDS/y9NUd+/t3mNhLI3QsruuzLnH8qW6Uu9erAQC3jhF YXmai2hmgho4WCR03j2dM1QWjkDkVao+ZJ5F5+N+5q3fZ+L/Pu98smw+qSdLoTEYvV2JhsnIaAaD 4XEu5M87n4wp6+3W4+Xw+9Bx3KYoiqZRKV1UErbimbEUYe5gPmonZ5UEduLfCbBUgimirG6Dw39n Opc9O4k7N1jvUtt5IHcYwMYAi3ZLQ7rKogp1y7IMoXjxvOz7ebKNqhL8+dqfdy4Z3/E7nAYQCN4+ DlQMIR9pkbPpXaDNf6KUOilL7AJBsFKC8+9MMkaLjAEz8w0OtzS0cqZuOlJWuoMR8gH85iRrqT9I +0FZ/ncmWRYn/HypJoILRMUUBAPIw7MaecHnnUjGPtmdIQmCAPz1wGLemWTZ3GQTxBMyxa8H4R0F LcxNg9QM2AkamxWr6J1IZoiVVwXVgqZHhdg+8oJ0sjD6YAAi/DOg2QuJDo+HWtG7RqgYNqIhXmKD wTnhak1J1HP4ft5pZFns0q99xguC0DPNUBhSk3WfHEg+ynJ3ByzkHwnyQ6NKgvzvLDJrhALL2bsP torOMQREaqU0AdAThMauBnnlSZ0XnkUmV8xe4juLLLvtN3exDdocKY0lG8eTJX/eOWQ8ir6TDoby kMGzGXqPqdFdkTOhZPcWUNrG/22iIGbM0GCjo/PvRMAhkhFbKT74YZ3hOE3PGnSFRoP/NEuwkHhH e0+UTWkVk4nwziAz3CrKZqwvcrjYM6L0kbyUzzuB7FeRWhXYSfKQz1BYpKZu6igGex17XVxMNyA1 fFSqQave6WP3JJosHmrws3rvk4VgiUGcbp4jqZouD/TdgSKhGZ8SV66Ezzt9jIh7wj4y5Ru2G7KS jkCP8nM+tfZ5Z4+xC/DdTYR8K11wbIaiGvWUmEwRpBPyqbfdWo12V1CNtVbQO3eMK1iNaNXQerqs 3zrOUToA/jxn9bmXszTBS2JVGuiEB/oKgx1+xh8ThGgx+6DLDNqPclA7H/15J45lqec9xTNEb7Xc 6uI7b8yxZ41qWuwEtdb8uk/Na0PkN2mCQswHpVFWYVbKwSZoo+DRAf9Ewx7xhDzmoScEJBS6Xr7H CLH7vJPGGLqrVUbMBwetoHM6dbkwnnn/vHPGfvWj8Rh90dNFNLwzxrI0HlaX3R7yjtGHF6j7026d Xht6J4zdU2gVKjZwsAkqG3AzlKNRXUwGKt9AIfzQpmXlfg8h4jvf+WLMrAibToSecbDM9fyCxAe3 djLXd7oYoenfhxDbT/PWhloYU2+KxmznQxdjrmLhLpeU31Jt7Pw7UbeViZmE5a1vpfr0hJ1uatpi 6NewvlapEYBSHfm2cnFkiQqfEVz1hWbfcprjoNpQOSEDVwCEQPF4EC+O9R0v4mqF7L9NUBhRFyYd 0wStWrKDh57fDjCH26+d0lF9Wghzcjxt8FjoXO6bsj0g+aK7JfU0sODJX84oq7ijdbKXDe6xzUMI bUsbtIQgsZa0hMrA40HDvnhaViSjBueI6hjhHobUqi8SQwV1hl6Tlc9aHaYlD/C3lfD7e0gtyyzg Ftm45+AV6rMJoH5usKEFoBVhxGhtoAlb5/WW65T86lFELdLhkusOB62h2rcpnJ/VhMcjiLAf0xZa ooLmx3SP4mkUlYA8c5j5cJj5+Vor2xqCp6NNUAT6GLRKXeIBcbDq2TjxxrkvsHMWFLHW/2fsvbJc yZFl0X+OJddZ0OLNf2APZuaOYPYNz13dH2hRQUaCEC5MSMyxw/O7oOOIMMmSskn1ih5VqKvEBxed QDlwghqIJ0QyopWLxyN+VPraZAOJJu4Fm6A/K9RTzehON4LqmI9qKqBw/yh2j/WoQt2ZjXXBqzjY JkupA72gtPXM1fkvRMWcg/oHfdifDAFQJ/YizMCXROWhrfaPBlxCBGpu4Ia6/uxW8HwAHJrf9SGq +vdykfg9JCCihGZSuugD9csRx/ln4DxIBgj10aOA2lhkRSyy8rDIAFGcRVyO0vJZjtRmaig1Th7g naKo81GO7VFArcS1II6xQdFQKeZ+cL5p4vEotfdtJkWGhfTeL/sekRChwXOOhJathEYoKaOhJEI9 SmgQ5LAZigLqQVzMSOMOHg1BX7pATIXd6DMH5NknZh7waKNvqsEXu73rH93oinYkhy4UGWSKkKz8 kOBT8Pi/7jJWh/CTQsbbJiiMpylkvV3fS0hSbrPuTbNzWggB2/k5wRJii3mUVX3wXivEGCdurjNB jdJ3rMKizdoh2YiOkJ9DWAf4juCyp6p+W/sO6pTBD5diKydvqXg84vh+n0NVPXC/7HtYpgY//ORl U2pMMnNh0krEQTZrS3QFOEExhposO7p7arA9BscPZHqYIOjY43+hWMWCLmMiLuBKetBzDF8SndSF avFU0OHgSWsxBZ0+Jh6PsGffM0SVsNbuDL0H1MhTEEYn6ncCFLPIaykq5NPhg4w40GZ4UkcB9ZZ5 3KBcFQc/qceE/jW70RksIIkOg6f4M1BwZlArvh2xAviOaIIWBWHK8EGYmJPxyRgH4Hw8HpFZf8WL 6PHu6QF1jzDUqL+fQ8ikFubKu5igV+1u1YjaWbYlFAE+zNSanksY2PuUcg4Iv2z8bFi7DF56awIA Qdss6v76KYR7BV8SQc+oqL/Y8OCgJXTOgyXExvkh8Hi0hPbXKQRsNxSObjgUhtSNhmi9WQm23hJs atMF9Aa8G1iC7VGReiUFOaS7cLA1BJmzCidONoNQK0A5G11LlhebJEesvkitN3zJ+znUqb00utLW 3m8zqBUpY8EaEI//l0UE+foz07ffOqKQerLVUbZrn9Klnid1buki8ZG3MmIcUZV6em2o+uBpWao1 JVqjb9gnFBXYKlVgUMFCXf9a6mS9a1Be5NTM2rMPdlIjyW8qnmU8HoTUt11GHDUuCAQ5NkFRSA2E 4yLrmVcZcbsWDfENpJgDFLE442GJmi37EyffwdOyeu6yDIIQUMKDJHu0y2jCh6sko+PgOl7IRfEl UQGWxWm1XDfAWEPNoHKiWvV3GqYoLFI/1TOWYBGXPSXYESKpGwuv0wWrJTM8yRy3lXXisTSN8DKi IrVa8TvzKEIhDC+MtANS0udmbtSsAGZ4Ke2gyhlaOKU/1/1Z+QPfESA8m0T0tw1V+2VBZIxpRz// wuNRpyP/fFdguwWrmqAopEZ179wwDhNuyZFDY3UXgwN+xBLXEYXUOohmI+1lNqIoOEGQyjnhUVYv aEKGm7gPguMI0sWvaLf92eID3xHhPmTQzHYHB0XUQK+Tb4xcG4//U5kBL4fbYd22/YgiavRaARFw pHkbXl9ce7nkOaTqbQVFETVVlBAFDh/8pAarLHWx7s5llgoz+4TufYU2BjBKl1SG9YsviZrSvMx6 4tCAJdsqoLVzN2K+xgkm8Hywhtr3FEFiplIzTlMUxtTwjOrUAOJlNru5oZ+TuifvJ5ZlSceIYuo1 tHXUtx8PjhqZ2QR5UyhhWOyJmAgEMwyb29UTzJsM+xHCqGk5vIu4ZWVdO4/dWagBxX7i8ahX1r6v e6w1BII2QSGMegyaVJlMXquz3zXkzM1S4YOiNRTBqAtRVaNJurM90p1lj1EBANFVBvoR1lABKV5F dDI31SkjwHOE8h7olNUqWXgO+kshGdXk99YHHg/iofkdD6FWVMszQVGNGhialQn2wQRl9pI5QWcx O/JjFocIjyiknkOoRIZDQwp5WRT7jGN6FtnnnddhoQ3B9rnrz+E+uYJsj52Px3f8QY9G2rp90ASl szJZoy5rbTz+L20hNlsrAYMOjRlRSG0qDdtoiYNSzwqG+rh3fXIh+BGF1NLuBhbVB2+UwYWxUDcO 1Z/zuzP1T5ibigJPpl69zumtJRSG1KS2tk4eORkzOjpOxtEUoo6T/+H5ILOvvoYomEJTg4v7GDHu A/3ota0ffaLObiE1iU7mVElDW55CYZU6ywuOYGoO1o/e7RzKizrESGgH5XjAn6agA5zgaZysOv6W hk5YpSZ+epDMo0F5WStJZhj1pNt4PKp9+AzxnkWBYF35rhkWqXlOl+QulYVaVOzQ9Xnp0d1JUzMW xuMuWwKirWtVvWFLiIFruvUT5bGMlOGI0Sj7UR+VfJp3zBD2UVQVysMHyznOocYqfDurDI8HE9S+ G/bU0Fl3l80ooiYQP80iucCTwrKeD+Ac72BLXDe5rZ2fEwDxtxLXWnxwiF6CVkmhzlsC9pkYtbXV GTnX5nhcBSdFDmdMTOT5s2huwkETBKJCk7lJK3g8Ooa+MbAMPccV+phROI344FxZ1PRA9YyCTzqG kh9DJ+1MdgzNfxETZXAihVodQ9D8bkgRifk4YdcgtgpC0ufi6kRxXXJrtpcN9tggR4EezBq0x9Bl yMb+rXg8miFPyhh74ziE3IfNUBRPg166GiXaqXNWi9OBqHXjOmeecMywRC38a2vdB+/XQ5KDEl24 6tHpqKQqUGQIEisgKrrnYl961+gQ6uIoTB90cpxlOsXcPMcuHg/69b8QjOQ99Av5mFE8DYzbktEF JghKfhcGW7NDPoA/0SEUVqgrg0XJmPdHxhyc8XM4bwGrYAoPzDm0UYBDRHaWC8I2g+Kj94QvCTYZ 9cvRyvbBkFVghvAUKr3i8aCZ2DxplcsnBFRvMDRjyAdo42kYmUMQQgVDAn4iGFoUrOUMRZCPTpJP U6TDwS6yDhFHUsfAv2BRDjME7aeTY1Zq8N9gyN81OKY7hUcTdT6k0Ma/KkE0hN3Ws4HxeFQ9+67h o6HS5uUqzAjyAeHD1bj7/4/Zqwsqw53VBZUHqQacoKhEvegZNDIV3EduzzE9zpbr2UCwDYcqo8VC uzUqFjzsaCotziicTlOwKh+6kvqdgZOgQE7GPRbLfNxGGeGLmMlyO/YziqdxSAJrXr28mLZlZAkZ jPvjbsOaz1Axr/FeWoQJc7hJK/x0AMzCRZYbrAQZBEETB8EaINW3hm/vGqCGCMaHLaoPysgGOOg8 ps/9iMeDGUrla4+hDXUiMO+2zgjzAWN7QBaH1YXWcjB+oRwmj2nIotkEhfF0Sa/H9IQtx1gsC8DH DD15xtNQXGmkmlJB20rUhJrPKJ5upP7CYNAHgw2BM8Kc9WxdPP5fYEPottfyzFBISmyMhZKREouk mahIWZY4MOUchNtPoVjng516mZitx8TsZB/wBhSl7CRspbKFCIYBK64oU9929KDt+wx18xgLQTrW BweeFdLeTw6x+YpBsPhLdbFJ39L32IqiaeDKaZhhSf3qjjOHv7Yh8zZ+ai6hFUE+BkV0zlIrPjjh 5eyyWZtsFc/MMbBGUo9UNSO2mvvnlxD3CuvTAlHDhNwGK1/Ubv6cZ97weEBKnN8TBMplSxeZt8L6 dOfRszxYVPaKCRqrbT+mSzIg/gohHxKqqhSm7PUKU86Taww0aQj5wDU2qXuacIU1YDohfOaooWnv GlU9iMwrtOgeJ5j6YcUUR5B6kMwF8XyEPPsGNBCLs6tzplaoNl1pXJaSYT78wIZ4xnb4KxpcNkMR 5mOo+NppOXUGglEpFbPTyUmT6kIgxyXmqhU3aAWMC/hzl14EehPfERXOVMAnvZWDUEMDtpUWTQ88 /q98gxOECKxdussKWYkIFuFsYMd0L1Z8haDXlfmYdwmFCOque0yS0+tBnp1cLBVCqhFNr92FeADK H7+gkX5NNi/Zu/7BSqxncWcf7JQeMA9hrWdXPB4dQvUrIcuF7knrbrIonAaUBZwp8wNIFH5mLJS3 ueGiFe7mEitkJcoOoJbkgzejz0UMjWYGiwMosUU7AJSd4GDyM8uVY9rs1q8I8MG7D2r8yQedrW2Y 3sEJ/iYej2Kh75ye8FfZcXKComgaDCnQHt0MgFotct9AEKBQaEPvQxMUi3wI1MoGBwcvC3UUFBvx DNCRLXJQ7EBTTdJP4JzgPpNEnq0I8SF2fZfyGQfNEDzjRFMYfeDxAPGxvs9p0kC/NlkUTi9aSlCu DjO0sy8htFikDwepXoS4nKEwnGZg3KdKjPO5yAARQ9GDGRlUwqb6iItCsFAvQrJjdSEYmvNlgxnq PKjzkE0w2y0sLYIJSdRvSg2PR4pV39RNoKqoYWAzFIXTKGNLDRoloNXHWlZapJS4iBy7e4NjhRBq IsfpKWiD56y5Qn6aQjHQBVpUvj/bH/JxuB/A13PExxx61z+ldJhsalDKKrqI6kJ8xfdNhtreVyyE wB/VGJugKJw+BwFArz1ZsJibK1hUNG4tZaXBHSWo4/K0xIZY/ODgS2hMhNMUQclit1KwClsLfXwE Fc36rIUK//iSoIC/mbOitWaD6kJlT0pdgY5e8fi/mvWcocoo/m6yuDoNjY/ePeGQYYJYifUyXeQV iBkKw2mmEda+qF9JPdQZIN2YpDW0ztI00TMAGRBcoULj0dAYetkoGqLZViIan4MHwTlpk5z8FY9H a+hXMLRg3HA32Y7i6bFFaHWegljjorZeSa+Jk4J3/Y5187poUtsHW0NQ/RsLrrT0/0knMErycj8P 8NRKt0kma+kdxdNNPLLNAlq/TkwnpTsBPPWq0uDjQeXsIoQZGRJmku8EvcfT0k6BKpw1yeD0bTlr l+kmlxBamhIyD0U+/CYbPtgSGg1Nk05b6QL8GSrSHc2m8kN1F9AY7k1GGfwdYqiF9+jk3XHQMQRV OLVwTsiOx/91TjM0hAxq3neGQgw1dGJqW6ZB0Me8Crk8CJnVZ24GztDf8XRXu5WD3/WgIW6o/CCe LqjnU4cagtcn6Ws/AwerAWLQPMB3RJUz9lnpkKRBOWvvS+0g6FXi8UiK6XsJ5UFegHeAdshIHIQw XJ0YqeIPinfWetEexTQsdoj2IByG8rE2XAB1Ou89aMORoMw0K6NFCp/h7MiJ4aJaQLzJdhRQbxok D1he2qCjo6Jvz7N3Nb5jJL3oN9n0rBU4EZ+iEEHdoXs+XIi6C0s9BDPxXn2HeYSmKKYkSl6Z2T0H T+tPRgZ7hSaJXLA86M0NGFaFwTff1EpnuFHxHX8KoViNMbmh3UklepKEwMnr8fh/ks1Dx7chorIZ iq1cEv0mbIZGMePtEw0Jbk6lD2gKaIZiuAflTQphsBw8KVuQ6h6UgqT3QVP5lT3Bzt7PowCL+wLf ETndVBGlhg+aIcjtSgH2xIB4PNKE++a6QJYTopY+QRHcIwkg3CwakqcOJ0hfql22ljtOhKp5AuaV 3Xzw+nSHQhWaTMRUgSvL4uKkmmBZFK/zRnTvetdIjWlLlDL5YBMEqFH/sTbiDuvTv/qsDUSQeSmJ OxT6oDYlFdzIlspXSqeyQMja4oBcFhl3O4R7sKh4jszigzeie8I5uthnTXDk41RWtAcqvCxASzQz IFru4jsizmahk0KrPiitB8ZNSihwst2RCnWfvseaIgSUqO9F9o/ytJv9Ah7uWwxYDLN0QQ1OExTH 0yamM3zwFdROsL4LU7IEuVx1ZBuEEguoGKgYOqJqT71rpD4whGEoPhhgCIjarSyLjwd1j+z1ezzG 9Lrle5FF4TRslZwtBTGmZf3EkzwxJuYZVKsnHDsCeyDBfaUknkt4T4DC1GYV7BlnENV7z8ICyM1D oWHv+pfGx1ryU1hOal3zZIAKFk+CjMf/FQpRphv/XL49MhO2fPtWwGEE+hMoryXLWSkKIIT5LtdP M4UqH13FT7kmlcc1CYi1da5yyizDH5Ig2dWQhw+A2XLbV/NsL3/dwHRLzY3Nyx6uysL55o2+OQ+S sywmPyBCd86vo7rTH+RRM0+hch6Mt6qEhPePaJXExPTVtxKQAsn57NMURtX6xkw2BwePGU/QBftA RtXQpoYmx5kmrJoJmRp82mM7mu11I+IdBbs3kbAc+C3neGva3XCA5PMRx/67yogqZ2s3rs4pNHg5 7wo7WRXwob7lFrZnZqyIds5V7yeqJ/dewyPZJZPFycFniabRSF4K8WVjkDY1sF0GZefAdLmmmtle NwI28EiqQwEkpA+0d87yqbxGz1m4+AFBZJR/OWuSyN7znaaoXA31nIUin1fS3Hj0pJ2GuiqVdWSb ptgikYuptuWDp2jI72qSojBSWrixgTxV4FQGStJ+9hz5K/yW6GpL6nZsH6zaeKJ1pvnnXSufj1Bo 3+pDm6zZx6QjRRE2NAtObmY6DUgvvZqWCN5VRRaG37blopr1YMOw7V18cIBDRqiFE5puOOfWbkpk cW4XaLkAMmfVokz9IXxL0Pigo9vKa/igXD/BXoSJ2obLQgpBIPW785FBEZu9PHsuLFxDUC9TH5Ms KoBLScSDC2Q3MBpUcUQRyimsXC9hPkUMLlemaQGzVTNx2Vgs5wTtcuY6scBgXZbsbkvWGAXgWyLA Hov7YFbaYMX983d2iX+kzeffp6neLWfYrA3NuGc1hfofOJoUxAGz1xKPJtVmCQaBDFGnuBtCJRIM g6NVepSj+uDTVAvKaUSfowx/JoQHONBgC+iFTNNWHU0bAlr8lr/8AVsit5yDAWbOnMn55wS1fD4i debvaQKZosHkyacpVAEh9hN4JtI6uYTEydub9Lwp6vTddLH1C6tHInpwsE13oqKFlgoLkGXsptS/ o4Y8IXqJqbGIqdRmbxvV1yoLa7VoyKryn08Zhb000C/5fBAMXA8qlVIgdTD3s5iiuBsYUVgiJ0fv eR17npvuovdKdReqFGmBKKllBGLDhe8htU3CWTdoWlFRNiHxb9A8gs+S6+vJHTmFYtW832aTeFxz 2MOmWQr+RDRe+Hyw5cp38gbI7JjrCZnCUjaEv6oTF84l4/k/zGw8vUVw6JMU1bIFnqmbBVsOXkUC ZbQuLaWz/VKlixDY2hD2zz8s9lPQG/+3vW1URSKCuNIDhoPhZKGlRAbsYFwZmsC0Xx0jREzpqjnk 0FYRywU0cqsBJJVL6JW8L68q9X5NA0N4iOTO5as4Hl9F4IprhQUVeUMNTeBMeAjagxABRsfObSdp JZ0DX0V5ZeNyM01LKwmDaT6K6aDAaTs0Vhy/FHWRA3YcOD5JUfBNUdtOMC4LJXT8MwOG4pKoeAWf pAgikpIUvQm45sBJgoQoNNIAEETrsc4mjEQFqRG1cohNP0i+ZG/7F4URLRUfNEmomA4Z35bK54PY O38LgHElAS3skxTG3rCfnNM0dFGA1kEEkUjuwUI9y2bKzDl0V1Qp8pzQxQc/k1LJ7FuTw5jRBWAS gyYk9YHAYXX2UGn2tn8BaVatMg+s6s9CCgK3D0/uOvj8v7JdLhr8MsCa+CRFhW1Qx1Z3O07Wx6we kFHmkf7OgrSsTVIYeRM0S1SyDV5Sqsi3IHyCKidQcNtAkUsC35wkU7liDzsHDou23SqJxjYISwOq lM6ksyf4fNTn/z64YefS0kVC5NBjcREr4vWRVboBj9A758FdgFgr655JIZexqJ60tg82SZkugluw 0AQ3dN5uZ0L6j5Qk+r4som5OpqE+CCU/M0nVGJItJTaA6eGe4NQZ2iyW+Q0urqgRQ53VZykSsgYK eo3hcmCzpXz3W5q+34A+tqUUx908uatUUuu83X4SkhccOiFVtM8X8lDKndXBQhruBR0pBgiMFn2/ TVYpNWgp9UYXDKyOXvl8pGz57TdAiA2MI3ySQkZjA4q/0zV4kebg+gWVeSSi7gpDeQsnQ6tFyhKc qEiZbnkyXTgezybeMBitK7EZ2Sm2i3OFRkrerp3LXvevssloaftgLf9kxaVzDWw+/6+jmyBjFqme ekBgtwhtQnkrWz2gMyEXMXZVV3nYexp3OAd+i44Rbarjtq86bjob7Jx9ci4/6VqR2syiuD4oElDX vRoG1d42WEpsRZZFSD8HtQIgZSfZq2kvGYH5PTVhIWrTg/o5usNa94LZTpnO/aQ7kGCiqTvdAUIE PkkxFpuokcYV1dp10AHhARLXi6pOaAyAW4+ldB4gYTCvfWVSIUGob4m04yWlkqcPFnRjmQ7LGPl8 kJo8TTcum0rptufwDvHY6LrxJ3UGqAsXCVQm4SKkDDZNMSDbGpPTB29M9sJoifiIBoQlspdFMfBO jjfUg6zttpK97V9aqQOVfBsMez66pqyd45TPB6nJL7gowque+z27Q+9FsLSWep/YcCfn01lElI0V 4M7ZCx8yHkuh+eLi/d+T8rdUnw0Hn5nBcgLbs1vOeeiHosIEAs1l8AnKlkP3RQmkD5ySGJbFPGcH FKlw9IKlFNgvIsn8li8qMra+p1Lov4g4d3UW6P+PndzRXbgZ9bes5pLkvzlJITB7KALKd3ha3Cd+ H7KGAyQGPJ+zklDW740WS3clLZlRhwaMYC8gkuRcrQc0CplOQddP0MHnI1ztdwsXPlyoU9xJCoVD SMKS5iWIELI0X/JkSnZ0Z2hXaLsFHoy+klqT2FN7utwFggaJ9TucSlDibSxSVuBGN3lqF/SXa7LX jXSeNsFs7FLSAl0MRXhvUCIK5IvND4ji7u8Nh0buoA6OTVNU8Yak5qokdf8f3al7dexoN2W1E9Om 67gcGDEi6mIAsGvzwaZpkoAFDNo5YPfeAxA34CnOKt7Ac5Rcnjz3vm4AB+BiaosGaG0Nk1g5iz1n ofxL7nw+otL0rzggMwC5jrA59GLchYYx2WA3U0o9na5Q1uMFHesG3oEZI5AFWRF398Fmic23guQJ KVw/u6rSJC4pRpo/O6fb8FawFJsxyhS2aONR3Z+Q9AnBRZ6E6GbxA6K+wHcrDj8UvJ3uLEUFb0iv riEHAiobJRcqPtFlclxJm15XCv0Y1xCIXaB/V2PCvQzUGkWaAd9Ke8KBGvAtYmbrJA/ajOKk7phD P0ZGS2WJZLzcnhdCItsI6r02Pv8vVhZLJohMR37OpSjwRn64GikpXEq0vVVdiTMreNKkaB8nKdTn szKAOCO53TDgXAwd5hNdzDVAHtiMYhcMwryFEphdXd1pbxt1mOhhhfPBBk1ShyLr1CRtPh/F3d/Z SSEetTyTFBW7wb9HIGmJLlpAtt/KdrFZUKi9rhSYMl6TakpRaPAbDq5YJ2wsTOFQ5CY7KdGes1ek RNhvjfvNJilEmFAuA4AeHxR3p7PBixC0e/L5CJD8DdmG1kUDmsMnKcRsk4hdHWMy+va6Ui3ETUr2 unueG/gyZqqaQ9KI7LThisusK/V28rtdxTNOtRHJVNALB/dPzqcWUO5lbxtccEtcdZ5NHJTnbpCZ JZ3Ok/u/KV9n2dleLnYOvBkh0ghZ3r2TVwOMiwSgZnqAJrv7UgrDbtXUlqS/V7pL6aT/5wfehFGg z5DpXrnQ2z2bBu0ewHTqVOe7dnvdYC2t8VbIHTSyVyG3Zj4fTNPjuYN/GLzKdunGOTRolGH1uH2T NO/RXZOJZJ3V4JDAHDs0qszddc+5CwWqSrUPaEry6D4/O1QlCbTAAYsiCBLI2/fu9rZ/1Exqkd4a B4N0rUpEkVW7A4/GbwVsAuQ6Ha5uSyA0adyN9lbLUW+j+anU1AKvTHTz8kmK4u5FxQfQQ33wU2nD rIOWgzuB9W066pUCYufzmGg6K7vb20ZkLelAQWlegxWWzmktZn7Lesl/gktZfYPa23N0x0aNyDPH MtLIuVebtwSacl96Mi/nSeTQqVEmYBWwZhu8TwnWcWuKuyEeuql+AF/dE3dDbwJgZYd2qesdejXO InOrlH2w7KSPoRbcicn4fCRr+L3fIOY+oA7jsxTyIkFqA17T9hv1kuXLnB7fFNw/Crtju0a5VApJ wcHBXVxLNFAH8DYtCpLgGIHEKto9WMgWK1nTOzBsBFWa2ECIWNmg8tsJlRo5budX73w+qAb8DykJ 6N/6rKUw7IY1yFhGHjnnk0v3nVPJepalZvzENkuxB/p0cKQNNkvtZKE4u9XN7eezBitLwGoMLCMY zl0ERV72ulGRUq4XaAxpULB0fhbaCQDRO/n8v2js+Ich3NFafo6lKOxGbXhunu1nBcFOM1umO0ls IQJuLMqNJH1QpHrEFHcSjcvh3nAn/GcjqUBQqyzGAYsOZyfTPW+N2NtLuanb60bsrcJYMnUfVH/b s/L3BQ858/mo4P0NWqK75bi66jl0b8Thc1a/+Z8vyqQYE1niGmQn9eVwysC+8dpdVRZNODi0C1aF mwAbSqeDEgA0DppaA/rZY18jHnSp9LZ/EbhoaGyDFSnh1U54TeexFMK687f2EffaTrcaEBg4Cl14 fuZrLCePeC6luYxJinps9aX0d9zdklZUeoomZ7Lp6kHMaSqo4KPuRhDjpKzGWuVuuLTsdYMNR12N McX9n9VSoAxaDxt/EL/l88GGm7/c90DaTe02dEMPR2k/N8L/0atEw0kK65miupyllZdDTmMTR5YB uqydOPiGq2eON+xlgFgaULJBkZLKZbPRf28/Vcre7HUjvUO2cuXXvK5f8yb8gDncXovPB5Wl4muJ VYRMYvsDE6xhwZsICjQ3iViC3p4hljr6cEIsJfAhbZriyJu4iDbuoGkaEIoZvRPYdU4faDRjmngj kIRVxuW7MdfT60bnEk9vyk5o0GJqudFjAHoLk88HkcCzmNSaRNCPCpXNU+jmSLX1QgEQdnXLU1yS ZDatZ9AhU8QU2DlCjYJKLSNXHwxEUXpmFZcVAZg+NGkcg2FVUOyRy5z8Lklwz4Gd401QOk3U0IJR PjbhHLwefEDg54ia+bfFEzELsz6TFNe8aWG0DLM0BTSl/QwLEbKfGelOUgTzVjmXNXsbPEGBxXVG GVPJLuxWuN4KG3AotBfP4obipcDQMdskDRBabFBFAGlrkgHN0PNRJ+6XxihYlmvcgyl0dESuf6am e+dbDFySKgRfQq5bgMy0SYo9aJjFST2Kgx9MaRQGfRSIPNcFhGDOJNGEipLZV8i3ZBXgQktHtFUB e2P1ZDLSKSouAQ5NieeTVvEDgk5c+54lJPb0P/dZChWzE9hdsrhEt6nfMqXoHJKOUt2EsxTG3mzE DVmDjscadLezsc7mkmT2OTzMprfS3mSgotevxN9UuBTYOmK/UHqTRn4atJT2ifCLzOQBWgp9Hf8H /gYs+L6uhTkwdoSn2mRQaXT3zJozI4Ferc6EXHd7JPCHs6PaJ7P64OHSmY6ejKCz0ZRJ8lnJ3GL1 B6U4V/lr/rZ/AClPKMaYkoMKAgWkA+63BiB8aO34W22UXuDt0gRz6O0IbV0HUg660tgkodI+raXL zrhuuMDc8YoCgDVmg4dLEFFrg85zpXWE3omRN4x60HrI+Rtq4q8bgHKJxm3wbrJBgcA6EYJMDnaq fP796F77O4sbBAk+uW5g8GikijFNoA2ycD5LVe5z0BxFddFnKaZTqqcrxchHiQxB5cZaLQyXQNgR PgDNwgmRwEKbRWfoJHvdSCdJobdYcdk5uZTrlrHVrHo+Qi3Nr1OJLh1AQ95piiX/xsXhEB+QrjSr 1wmgUbI9XAp8HgE0aGouTR/usdQnyrkiLoOjw/gzo3zfUO9e6ZklMXQCo0fR19v51Xg6JRe6yczh hjci+Py/ADk0d6+UJfcd93kNlYpUl1Hjk1Db+U/b8TiA81l+Ag9LnUqvgVKB/jHRppWOxRxshs7Z DKWLwri7MgqXiDRtMqBpQ80/4zAhUHoNkwpucBphkparwSIAuI3zujrR0uc1SCpXmbUJMYeSWkMd rarVHkzOYj+g922QrpSLEylmHqafnfAX6cgOJqd3GWOlO3iABEJoBd4L/IBzu9MU/PzP0NLAojv/ t/utOPT9dXbQMWGrZIw76LweaPAR9FZQwH2dHfXKNTvcSwjLEJFodl5jo/MQkACrJjOeAb7VUUq9 dyctka/P2XmNjIpz3pfs1ThYjH2u/HNyNLnz5YKSK1nflHllXxccE9NkJZr7NS4qaAfhFqvYgTZo cmAyIT7uOQQ+r0FRuaZhtnSqUKXNJuc1JCqW0Z7f0PrbrVQrR47VHhMRqIMxJHoNiApQbpSxSwyI OPhJsKHRtipPHiDLVR8g1+3EBGAI3snpPHlew6GCcIZd20QbGoB5fghtQDMwy272nPv58xoN4Q+l 7aLPDvqRhXubs/MaC+EhILeMt0140nQ5APSNLWAcxCLRPjXaWFsadmpur2tQTHcM0JOpSw8oW57C ABLEAQ0P+ltP8XCZxr4GQjh26CvLWNQGK66dAFuSG/2E1K9hEJ5u32sHXp4N6Zpm5zUIwm5cuN6b +15SZ8a7/q17KTs7m+Q1BCqJxVOcN/QOGeXxDtlguudFBsBJ0DKdlk8okKkKjf4yZ0fQiMRo+jUA wglJQZK5KYHIQbNDUXelo7V8XsOfIqq5Zod9OOiYER7J2XkNfnCSUwCRFEXurGFx4VmwtTshiUYE 8n2IZqepj72LD7fZD7mNzhsLUoUN8D+IZQP1gX5NBmfS01Z5GkRbqwg+Su8ZDkaPaOYpdVbQ+LyG PTi1fl1ZGCucqzQ7rzEPDmWgkHN1vZ88nT8yCuW5lWUQ7I+18xrx4FDuskylQw8Hxx7llFDjZxME 6kiTBSLoAgA4Co76Y44+KYj0GvDgF5DNXBNstDls9AT9RWFhOyf15zXc4Rv67CihB6in+9p5rTNi ZxGGUDxRHUxEdO7Im484v9YNBfFaZcSuZqO17dp98As9NeStdI4HWatBNhWNtPN7TOrbUKnaAGyN mmzR7GwBcIga5cDvKPRF5J1VCp5+n52+/EIn0K0Ka2iz81pexHJlY3925x4VM5/JdGSyc6fCsksK EmG4Q/DjkPYhO+C2djagTFCuOXOcED7zwBkoeQ0UyqXYb7g1mha9lhbxC2zWzAR/4WCJ11qmNoZy 0Gthsait9MwOJBk6fKgtGIxCZXDD1xiMilGkfgQ2ihBahcdycpxRFCsbCUK31vi6tc4hDUawmOwn 6S5qd+BE+qFVQiYnz7Rpmwj/0fIZwhcRBFm6E9lh/WjMrLN7P+/sNeyu5+xRXxrFcXaQNEdRxJzo WpS3Due5Vl52/DTWvNjQh4Cq82miOWJxY6gXy8F22CyIjzdQ1pt7iBnXWUOg1EIIqeCSdcCa0WnC 45kIUdC6bbCWUB+NBJ6WKx8PzuftewzzuXBLSMRXuNTo+upnhlbyA3qxnS70zJpeUWyQ2DL0TDBD WyTIpdxiPVapBRlqAmIGMi3lXJWkANJ+pyGXoLqF5RTT3vV9glBEgb+3LvllOxnQU3rV0RMSWLUo +On7+wJDBRT0GJugKHIGevwsP7N1ANjV1caq0yLRfb9wvih07lV8Yzoa9J3vFYYWQktTtQ3IaFb5 NaNb1KCPnYnr8yvMXvZ9m3W1OKT0s6/SzwSWvyvtAi40DJ6HB8/Ctp8fikgwfel79CxdFfiFXOys S9adTJTl1kqN7IudfQ+fM1UPx7myzoVtg2Veac6Ru8DqQBZ1OYV2yudWUkS3QflylzzLe/icSPqF SBQFRhMdgLWGMqaayNmc2uedsVbUirc1xK4I4r5GLQDNURRD45BDAdSixLyXmzi27FHiCYHddOad sYZDn+WK2Z/BDiLcNKj7Gs6hI1gk+gqpIRjrq5RbbKUrzzthraj/3s6eR31Mg+pcJ3ZTYxkU/887 X62gZlq/bjPWnogItxl6j6Nx+lHLb29r3680vTqW92XP1OYkoyiQFshxEjKkwWfohOPQd+U+g8lG E6sdcAV4VuHPuiaOOqmjQLoRBzIzGQ8cFComVLvZcJ15f965auVKsmER8dRCxEnogGYoiqUpJD5J BzK9qNu7H9bQR4dsO+EhCqY7tXuxf3zwKmuGZFoXvuGEeGtRB2lD3LVtqJbCSE31wwJgNr/kfYoA LoOjE3m0ORuYkh61SZph5w/4vDPVijrCX2n8+Zs6BZY1Q+/xNC4hsNVllUoIiANlUJ9dP26fVlxC Iwqo5VzEKNMGW0Mn2K2pmy/P2fxF5MgO8Qwy+mu+eFlrabwH1DhrSQSZavtMb/uc1C+LaX6Wa/68 k9QQEd3b3h5DAHKXUBRS05C4JpuLVacVWvMJeqdjZBL77ZygMKYeWDtrkvTIwY5qkNTPZlf7cMwC lhE2mYBliz2U2xibU+8aTBB6q7VNFh856BhC2YHpRyWqIQqqc/1eQSSUoelpNcQoqAZMGK1664qV afQiFOeyTxDaTlZEjILqzabYEG2Yw+3Un2CoDapolZnOtqL7Cvr/sDMAQOVxT6Pp9zs5rcjlvlEo 2QdLWKFbx3P6zP/nnZtm1aqvWhC9//YtskYRNVra0MZWc/5ssf3lL9dtggYp95yg94hapr3UXUk+ eDhE4kdjvQNqsBmx6YlFQTgaZClD08kPoTz0sn/V6IfwesPxeidKr1sIWfA6P+/EtCKNG80QrnUQ 5RsozjZDUR0aKCVgGu0QImDVLUPcEQMylT5D7xE1Kn0ybxQ5fT3kdB7OewlhdbJFerWcaw9UN1qM wxPl4tBo+PDOSmM8lFlmbckHCxiNvoosq33eOWnlwhhshihVCLVqm6EwpJ7ytjTUZy+mKQY7A0N9 wLZ+2yn0zkhjoMKcY8iIb1wtevCv16aaAekR59cmumrAnGtWHJOEERgeZtvLBlkZq2X0dbPBZgga QUwkTo79eeej2TH/7DK6iRLirRmKQmoU0kHvsqys1OxgGFNgqXIussLQOxutOLDqpSI9zlFdgEbn Glpg5MrOYDBtXGhrunVRYeHl885GKzImQcWsdR+0ywqceeQlk+bnnYtW8lc/Q2hsqCx1v+rfqWjF zK92azZDMJKypCOtdFHow4X83plo2ADJYELdB1tDOIwRj6oqfW7+SkjsRgYwsJFPLFxvzR436ued icZ4Qmih0n3wGVotq1441+ediFYuINaDIRxBtGTXDEUB9aR10eWhEdGnGUI73Wco3zX0HlCrhItm jyR80wMaxvo5B5GcQGffDXc7aTHj7LJOuZTxdMSWXvb9HFpCmy0W7jlohtKG45yuzv15Z6Hhb83f qf1k0ynfGQoD6ko6Y7ekbMhKjTihtd1i7sR/Vrp/56Dh52WvC4L8PtzEdadG8yXczyg5VnFosVUQ RaIs5klZGXrXaIKIOac/GKY7mWNzgix3lf/p3p93CprdJl/hEK04blL2zkBjOARcZ8nF09bqXEbg 3/0cGt3S1ncCGvvxpDdL2GhdYSOEQvMc+UvSq+3ca0nWBwCTVMzrTJfvOUhAe+eflWypPRxnfLBw CK4hl3/2Tj/D4zfj4L1Hpwk0hGyGooiaiDxqC2gNVV9DY9dhFUbgSpvtsveIOpvlw570NeDgaSvc LyaK2OAxnNwmmdkaDXlgHbIuYoG18s879exuspFIheGgq6zPLNHgBoOwd+YZ4s1fV1mDXBvtNtV4 jiLqhrZ8b9YVW4h8nOKxxvLLHvxrLqF33hlLsJigtfsdbAnNk360vmoRKr8A9koSCIJpJJ4zX7V+ KIXyO4LGvI6fTTLMcrlSwHTz4q6ueeTPO+vs/0lakS8IZKYJiiJqRAgQPvVTqN1zumwLAECqzj5B YY36zCYgIRIGKG6mfF48gUNKpM/ZaLXnQv+nhdCQ5joo4LHFCgZM0bsGE7SosV5Nsa/ePTZGqqbS 0z/vjDP8qbKd8YtMXTvfYu+Es2KEanAT1eiozcBSiG15CAE+XYqXqN/5ZjyEaHDJxqkGW0EnXyqw AOMxzeK9TFXBqqBwWGvPMQ30yuedbYY9ArxTbZVZPQclrWfS5QoKAPLnnWzGfoXf9OquTeCRLrYj iqdRQYeekOnPtbzVHcsnCi7OE+7MZsATfqeaFXE6cCxAqViDrSBgEFNSxgFY0MpFDmIoAkK8Fjaz fgZVe9co4VjSWOcWQzGL7UpU3DJBKefEz+XzTjRj3eM7FoI+ZkW/zmYoiqdR3lu9FkPgz2WUVzCo sovP4UeyJRSVqIFdOlODYp0NNkPoNS8K29MqtefExHaDp50pouhVD7wt3/SPRhlUgrIPOoLmmFSp AuBnft4ZZgymv5v05E61W1l8J5ixeY1qmQgK7EN3x7/U2u4lxu4ApyeqTieVhRpxMBzsEuvg0GQi QE+KsaB+RQraguITFsF8TBw7dejf2WW4KHUEAe9pgyYI9q7sJJ4Au37eyWVYCb+OIDrJlu5x0Du3 DF8Kt4fu03L+U/EzOpXhAKFOzUWe0XFxmgSOTNOHldNFbqJomc4ZxFj6hJyToo6bjYqOBjtkmS5r ijDgd2qZtZNPqopWgQ1qcZxsbk3m9As/4nssjSihfJ1BAK50Xg6aoSiWxu5fublRai7uDTZKf+Dk cLDXDP1dnJ5An2KgkDup4xCx7cAGMdsAyIw8/YTIpVHtCW1cy+n7tJeNMGaSBFlVyiBIdzlFCf6r wpidWPGdVsYr+7vssdBx6Be9+c4qwzHN6jQx/XQHo1amIiEZgTaVPQyg+E4qs8b/OVoS+6g7PVKq ALiMtIyfCNgN/0lqKvZ6wr15y/eZuhWfd0oZwVr0+s6klE3cvSxhZqRjhT2Vcf7PzzulrFzRQttm +Hka5FpshqJgGv24tatDOM/p4zPEn1lA8gL8qmYoKk/TBmhPVdBmKldHFdqNoMoncaaB/0WHA+7i MMSoYJbnWxiq9rJBE6gk3WTTBysMQcGdJ+35sz/vbDK8Y6m/LjJs1uwH0TuVjAcRNeaSoK3wR78Q 4EI8FZX50Rmi5Pw7k6y44vxUN3p+daPBLj4HItw0wBpH6sEeEEKZmUhMzF5+hcqaXvZ9hipTsdwp 7EzilWLgff7NpB79xM87kcx26TNDGV0LOV1qikLMR6FhUTLyJsWvwU/MIKFiXs6flikOXLGI3nlk RRpJKLwSXKV6rhbRuceg4ESyNFjNkClim+w8MGA+BZNrj4Za0btGtz0vM0E+0gP52I12g8AN9fF5 Z5Gx8ODnkLITXA+05tEMhQE1Goki+cNRBaoaJi/HhpDhyGlhwUUUVagbJUFHFg8hXx7CqmhBN91m BZVpoLfPlMPUmjxj9rtcr5iNxHcSGfs4RLoOKfGNqy6XwFQjUfpcBp93Chkj6l9JKwL5tDwne2eQ MV6cNMAwO1k20EUAbhtEKLOTHWZZ8E4gK7Jlh3aTmtF5XcIm5A5PSE0Z3nO+nlnC6l6wtz4nK2Jc MTYlVkwiwjuBjNjupNss+2DgM0iXMSk71/HnnT/2CzFNrRQeZxdZ9U4fK2JZrnFDxil7B8FipmmC grhmIeM7e+zpJK51B6+/Ahy0iQo+08IqtXydwWVJAJHgNLK0lWI9n3f2GPN65qu89jUoZhzE8f/Q oKF93sljRPd95xzUFQW+1GYoqlDTTzYng3QiYqneSrwzlFFh1mX2Th2z6u+ZmkJcAwdfQ7tR4JJp a0H7TSRE4k5JJ3ygr8xyPu/EsSJKEy/G7oPuMiwiWqDVs8E/77wxdiJ/ZR2oiT+Ij3faGKFnGTYX RoY+8XszxMfY1AdSKxFulJqgMKgGvQBwqeaDV4Z6OktoSidtD5Rjha4GhRWORa1c6Y/OytA7Z6zI b5SYs+GDVhAkRehcBEzJ550yVq6UnMXUNEYnYU0TFMXUmV4Xw2Pqk/k4sCqvfRUtsqujvPPFbI+R 7rx98GY0yB+ZCnrAm4GYIIC1vPcKHKPvIUSA5ztdDD8mdeQSbOltsLy1gXjNo/fkre9ssd+4KsQF SOvnrQy1MKJem0LgqkQvanBIIK2kZcL7ZVPegMd0CKCuylsZFK22bkQNU+taN/UHcj7hlnwu6c0C tTzRbWXjiDIjvyNYQVv2afQl5qDKEEx9yJmt0P1qEd4Dpd2vcBFRKVrCNkFhQF0YLm6vDJViovsn tr20n0ozMJ7SUXXaJsgKh+Uax+9yfjiwYrvwHmfyhtTTULKnXE9Gedo8rXVKh4APVNpO/JLvoCWE w5aVFihG4/EId+bBEMELkD6o/RbPehhRJ/AMSzGRvZKMlkAetBfPMjBaYre8R9Qq3YHSubYPtoY6 kqYNggZOoXV2Ga15oZGB+jDFpT2gJrMB3/FHpxWfdQetoTqaIDHnvKx4PEII519rCH3E5Md0j+Jp JG9wtzCpxk5PYNXO6r7CDLNZh6NHiA+EbsB19uGD1c7OHb6pjIs1RMtCJScUuEQqJZuULjL90LsG GUcxDeLhAyeoQRdVgI96Mo4exdOpfBeHIKnO5p1NUFyfhv2H3Jk7stbpwkOtF+cpUM2Nx3SP6tOD cIZZxx1sk6UTqKTapI4OLi4udpjtQOmronELkSLn9SKJwJf8ATsDl40daXQdWK+ApUAjb/v82a3g +YB9OL95LuDBn9P6oqh7yD+sdI/ZltkXHpaiiCdTRqMjlSHzehRQg6l39k7iMczBD6IzG7A3JOQD cW9BYLkGKnwTorylUxR1PsqxPQqozfwDStA26G8tdcrit8wy8XhE0Fzf2wznEPyFbYYiDiI0OtmK sAKa7jJEQyK0M1wcqOdrhmLIBzfPYhOMg51D8B1KdXelrfnkBFQFLaDZMOEfqVzsIiuMPSpSU50Z PaWpIRMvDqaC6aH2c+Lj8eAu+9VIRBLbS/acrMfxdKZ5XHJcVTLs4uw9JwcIdyje4LLvIeJDBN8k jGe62owb5sMJ9S3G0xvaJLRGQfm+AwML2UY/h9DOw3cEeb2M0bvImleacYGIaOBOHNRRPD1+U+3U AvfLvoeAjxOonQwKHQDIMVU5oGeyWGuTHJN7W3Z+TrSCiBZSB4ODTRBu4kILtIKCNSreqA0NEJ8n bftGvYoeLIjhS/6oDZVVlLsWP09OAJEIB8MMTTwenNTr1oYkztyR29+7LIqoE8tnahXyQILzGWpD kPJl3VUg86baUI8QHypPj2bexPWLywrT78S7DFT6ik4TqFnYXxCFQURmhDtWbvEd0V1GDdSU7yBI zNmyU/DO82Pi8Sit9zVk+RtBRj5BEYKaPAV5ZtDSUs5eisK6bBvPKUSIONdQBPjoVA6YqLdwYICr c/pcley0sXhGS0LWFws8CFHzTus5hnCx4EuicIhE1sKslYPWEFopgtad7BqPR+BFP6cZO9FX41yi Ds7rYVAN0b2r3oEyUbIabKa2gTT0cN2wfNajKrWEhic41DZ4gbECQV2JaIBdDNrHnKMTiwzYoVFz xCqMnSDhHmE+unocKS0fvBm0u1DQC/sspCbW9X3dI8htwLUYJzoKqqdQwt0RnoS3mxXKzVxPvmYK TCMqU08uo6Uy9doPsgpqgPDnlhhDT80bimwyIN9t43HVyXrXoMBIBQ8QmHzQWb0gl7xUPst4PAiq u8eM0iXGj3Ip9SMKqlnHH9WB+FBhsHioyMJqiFNvvaARFalXloBXLj74GkI01AlU35irswUTxZe4 lNB+q5Q8kZAXLih8SURMFFuqV0nBnABezaBzbItPe8KsjOcjdN4vpDmg8U8NdoQ4apgQV9woWkOt eUBUlsXaBSBYKw+NqEpNAlJKoEVjIJJrEBcD/VwqqSN37ei9sW3E8hAkSEp/7ntkd/iOAODZyESr VPQodVvLYp2EWPsaEpJ4PKh+9PG9hpAp9GcNhbCPTr0Bd2NoPWeHngkiU2k0kA0XM6KYelHqBKBy DckmKK0OmwpgEICLmWBgk3dHC+lO4cV1r/uTSA58x984czlWpOohNTYukXrnVNl4PDqGfrUTcTus 27UfYUgNMkcTQ7p/uaMjjK6OM2/dHWJGFFJPzgzsl33wxAy8xIxTAnpLC+afFK2HTApPAThkXU4Z qv/4kmCTdTbL1jZ/GBiFq4LWzVH3JG0Zz0fdsv5130NiBpG5T1EYVC/ypEx22Unk9D8b1nzFQZ0s LxtRUL3U4WiUIODgAdE5hCBsIPhlBkSR9p7cZPiKdvUEMyUf8R1B6kokfsLdYoMO6vOpmTlaPWED Hv8Xzpy9/kHG1j2F4qAaK6cNX0N1OmNqyXIATfsK01etoQhFLS/KVShMtYrLeK8TSXbqdnGTgepb peaAy1FVdBI31SojvnOE+h408QB5xgdrczRLy9r5MfB4EA/Nb5EGFIsq9Z40QVFIDQXhBRk+y1vb duAHsmVvc9CtjRMUFanlnIfgUAM2dZZkF9RhNtXgc4a6MC+7Bt3BCtrS5AqyPXY+Ht/xRzAE3YDm gyYonfW59HusjcejkPp3/Yzb3sPFEYXUoL6fhHla6WNk59xBNNKJrRAvsQmKQuphkBhynzlcUEPr Z9Gol3i2apMeiChlYGpBc8vP6a0lFIbU9F0aiQRXoEsMZn427pbXwziHHJ4PUvv6GzwEW4x0T6Ew op6om9HLEsJmc3BeEFE33qVsSGc5xOMUisvUxG0mXmjdhZCBamgLhQpJfcA9AJ2DEznLsxklAzon q5C/JaIT8xIJ+ICEkw3KyxqqfWzDn8wYj0fFj/k1Q+wK4MzXDM2wSt0TJc0N9wFHEneEy/sWPwDS 4AzNWBiPxxDUIG3wXtk52M6ioqJprvgxmhQriSIjOfCRyad7xwxhH5QZAhTbB6UcJzamyCg0uBse DyboYUfj7djNJKFNMxRF1BBCWzllSU9CcULpKsiaLKoxcwURjJnrDMvUgsSgmmqDb7NZTjCIY5Eo 4XM2y5pqikcNVtBV7KaVIb7jD14itF6zD5oheO9OpRGt4PH/cg7RJGXcnGxG8TTcIHYqro+/yKtR TkbuKFMOSgPxHJohL1Gojy1PoZ3ncw6BpTnpTnk+vNVFd8qF7tDA0gXo4XJbqUEw4zK1LLxZpuag TTZdrPhcuRWPBzM0v/utCG96veTfGQXUuD9PlOh3/RgX0zDbFRwCq9lmKAyos9gubfrgFUbozkzj A6UEjl1xTEOFj1GtVzZ49aV3jUAfTFc3K4wcdHRsnkk8hVLG45H+5N1kPJRBfOiXqzCjiBo1CWA9 hJ5G1WY6Dra7E2ylxYaOoSiiHtw6NEO2wY6hc3VBoRMaBojRwVXHkZ47FDKh3pORgzgWH1AXfEmk Y8GWdBNguBn45hzv8AJjOFR6xeNBP7F/a+VBDAVtJJ+hMKCeVHh3XExe2+guZzElk4s5d3U3buuM UB8m0D0k9TrmvcpaSVTPkaoypNvYEknoUNLzgi9p4RDFVmcUUFf5CNc1fdAaOpf95I6DjzEej+pn fpPxkERTpa1LCJoR7IO4mF4sWYUSeFPKgS6gt4ImpBE1Q1GZWsA8EDV9uGXqc5XVaTDYhiIBhdEQ FtXKqvZDj6bY4owi6iRPU8te57C8HrjIRZRAz7jKYqGP75wM1xA4pT5BIS2xwH2i5uvRMYfnZKk2 y8lmvgd1LJrH3dX3HRxadXbw3iQEgbqK+5w4YXh3NWZZ63HqIvd3RkVq4E8xM2TYc1BOdiK4Jkzi 2nw8QlZ9dxPh4w7HB5+gCPaBxblgyWWVoX0lmcpMnpOt7ZamM4youRZW4Qpa5fEOPFOOiiy8AyEu ldD+xgQB0djINaWGthWpp71sABPWKTSpfMZBOQc8oSWcd6I5PP4v5JAqSoRW3XAxZCWeoxxlfF1a 0OBy3ubutVppKNGblXss1Pkg6W4vJmUc7JyufUATmiVqOL5AoICloUW1PNSpb0ea2tH4jigYIldq EAPLwbqtqeq/tLN/8fg/w8UfI3TUS/1dUTwNM5SF6rotoXrpCrVuLw3lVGyPrQj1MajVtRJjQA62 x87VvmGrtAWMyV3E1oZjqcItIM/980uKe4Woj82ls0SzX476gGqYzIlaqxWPR6xEL+HLfQHSV+ic 2AyFFWqolfd2263d9ZhAk/aMY3TP61cYT0/RxyXLlK735DpJAIShC5dQh2c3/c4LXW1p0gKFSEMO YaXjOyKMsGB5lA6GXuoPi6YgOfRh3sq14Plgk5XxtYaIx3nEdFYsOI1jaGbr2M9SvcnRlh9DNTcn R68I9yEBRkhMsv4qqBPDxQmxIeBekZOdBGxP+eXinEwnRgJiyvUXsYvwHUGBelOcgcxWDUIOnfln vIt4euDxKOP4hT6jrGC7SyiKpxG0wdLINxl1gkznYzvAE26/NkEhipoKnuCG++AX2abhipD4qOhn 9hsr8vAKTZn60MomwWcrLlCTPb4od1+Wy90DfJFFmjofiMf/yymUqQq/tietKxaehj5lT7bJcssm dz8K43/MUIYevs7pFfISTZxB0KF0oUNn35yUeHT5vNcTFkp3JwO3SM2PWa4e02bHfkWgj04QNabZ Bx2uJyxvS9Fin3g8ioW+lxAhsBRh0QRF4TS4O0AyWH0arTiLhea+ou57uQHHiurT8lLuncLlHGyC BtykTsyYKAILw6NKTzeIGXFpghh8vSaJPlsR6gMQL+BH6FbGweJpkGhYoB594PEIN3RRH7RMAGnq Yf+uKJ6G7dWCkpSmyC54hotiBwn2gd4VpyiMp4ta9s9w8/pRmrgzUHnPm5xx4NmR3gMgkMatDe2R 9bLBFEnCs9OoZHaS2VlehG8l7d1P1obH/3WXEcyHpva4xgAr5CayeLYJVtxsclQnTYFlYXwX+qtx hkIcdVflo20fPK+HldQuW5WPNvA7szSEtQT8NrXrDPUxh971z8t+stHOQUlrwe86VRriKwYH9f6u 4dOTB8gRm6AQRz0QDSUXsSjD0/oBYQVPWhHJSoc6Rn2YLmfywQ9qsMQrfgdonJ7JGnThXMB6wHWa TQrrtRaK0eJLgpOaATUsBn1QaaiCiCX3hFXxeNCvvyINTDkq4/h7UMcV6rPJlt9aWEKecsB7ze/6 7HSXFYtRm5D58sFTDtz8Mm/fFU434G53sHlOLA1l/4wajYdDY+hl/wqHaAdng84hfK6SqnMr4PFo Dfk5pAQO5g1XsmqHkA/o4NdKJB7b9dn1O1srV9Rruw7+DpmJJI8DJ+KDN1sT8tYuREM/t/Gm0Vtm tX/yPruNMvlL7yiibkUHNTV1OBi0Ch6TiSfL4ONB8ax+h4uFSJPLutvvAbUsX042na1RJpNaLqE+ fZN9kex3bN/CTGu06oNfZSc/SxBsJQT2ZMCF0Cr6cNO0IadrllgStfB3CKRuLJqRU6ZBx9BJa5YU ydceeDy4ytKvGYISar5Njh0CqQFooA+eBN9vXj+MuIC8vgBarxmKA2p1yhQ2knelGYJ4xVRpCeWP fLKbzpQDLWXQYIj+MkwMelD4jmCCGC+yF2mDstZz1VP3B/SQjccjWuI3wjOjY7muicsOaYlEfChr 5WKafk6PwnaHEB/JuAo7RnxMNjfUSqxfrcQJxwCAAkiyzxDzwh6jVxByt8yWubWBeJPtKKLeSsoq C9Uc7BRq2p7w8+M7/ktGuFrWWutlBO0YRY2tNavdZL33K9TQL8d+3HN6x7REFc2YtHOwGQIYAjND GQJghsQ1r53+NmAY7as2BIgK3zVKOVg7o26eBltC6Oby605ij8f/hWfgHsN6hDagTVComzfguC0r BepVbckRANGM15XUBwCemqAQ8EEdpq2qBge/6te5ntLmHjuBY24MgmQRzN4KLL0ch4/DEN8RxEKZ YmeLrgocNEFQACJMvJ4IEI//C3aGzcnfqV5EzI4AH4nQRYXTdJ1o7jqRiBWXU9JavsdC2TwWxGbS dZYeLRR0xvA3oMVxNtgGBA2HEFh6FbXfSRdptaJ717v+JcdUoRZmg01QlWab+oj73+VpVpFASJz5 HkJhNA2iQl7VtHQygj7jlE0jmpV8bowm0t0OAR9TZQ8qKHOw2uLZqAPVFOLyoPidxEqsuGgBahjT EcIl+7sGpDtihTKdQzUoq5+Q6lEKUQcej9KN8jVBBRTHR4Bgh+XphI1VthGmRiq+xUxOmKzEQmBD 5+f8qXhGvKQGX0EN1z4wRGgBnShyJ8l8QiMMagsQP3BM1Z561+gM2m8w/HOfECTKHIuPRynrd9mD 1pXtWUFRMA35dGB3dWMtGHTYBPXq6qa1brf63RHcYxaxElv2wSZopYFqE51H84mIigS9sJIA2zmZ PagXFgixwbFjlQ+Jm4re2rKtIIjGFAbW5zBqePy/SMJBmAtCGDZBjADfv3XB6S8ZXnENwau2iK1C OCBjbdYjo8nL+/ezWd8o66pBU3TC6XMOI0OlRO757/BEBF8KXvZSQtlX9GxTkgnfEtluEeqRCMTP jG30557AtnOWkA7wAyJ853dS1hnYP2rmKZTOS8o5hBY662hIvQIWc0lcaZTPpjtqpjCmbnJQSssH ixgX0I/Wsa+seTAt64umn8BsYXdf49Fsrxv07E2GgPiYR18NRF5KIaKY0fh8RLP/BuMD/9ZonmKz FFq8oCXdc7ECEYh+XkNb2fqJ8CcyWAPZwO/3BesfLOja4FVGSAYnidAXSGSgUwrkRzvpfWHYCMk7 t9XM9rrBLFVV8RkdTQpiEgt4Qoqtmss4m48fEARG5XdHiHW3Z5rCajXQwlvd6EFtYaujjfPjGBQW kkFuQJFik0ReV1n8qfxIm8NX8GxfAs7PbX3+RGAeTwyW0UKHiPN+9twq2143wjJmltDU8+htebFx DNV/EWTw+YhQ/o0536RjXc2GnKIAm45KnWqYOpmWwaxg0+HVxoTM07ZcVLIeTL1OXrp8sFmCjwIK FFvMhdXo/nD2yJm3iTuYQrBWK6LvHr8l6HtIHB+hgw3K9NMeQ4Y4Gy4LKQSB5G9GcAaYn7Vcn6aw bo1kPyt2REQHwhi5eGCVT0OjTRwIReZcYeG6q28mbrD00JTLnkvhpGTUOIeRwIINSae0HhYTXBPr FW+YjALwLRFkjxQqGrZr8Np+X0xFYATG59+nqSZPZ4vqJzgl+9WozinUABkErFSdSIu6KnbR0f0A 83QWQTe+GUmG76eGrAERkNjgJzgsuqACxarIWV4iTwNMf74ZDQP6tupsAjVf3xKFS0QNFbpv9uJt hAQajARe5+58PoiX1jePoU0qzzz3XCgEQkRIdmZnp2Uo0Z8wxhazE6YLxTddWMGmgVkvz2CzdPZR SnOpdpQrRNhQoJ0An5/Uuf1gZixiKrXZ2wZZyZY7Dv21ZybECX8XiAAkfvTzEJ+PwHvfgkQVrKP1 +HSlMOwerBlVk9cbrXmJNnvFBJpNjx9epAZStsBpe/rgZX6g23uh+0tJoAszMaHKPGmx6Ia7vp7s kdOfatVwss4+qMCGhZRkiNcGnw923NNOoznFOWnGukhr+e28f+++LGp6wbjf2xiqlSC9hZesz1JY yZbZ7aA9RR/XngJN2cFqJJYSfC5UZkpAtjZgyAeObkp6F7r58UuiGlKWF94drBuSz5agof1gXBma wDS/5OT2sQF9uKdSaK0IECzQISadn3hVyC15N4fP8Cc228AQHsK4EroxPjh+JtcTHTe54p29tWQm 3WiTjDhamheynqSZdA68FZGQS0u3Nh+Uoqzzgll4a3hth+aK48qC8P0gING/kpTQXBHJ0NlweXml ZLg8UZqPniWUbmyWIoiIKVxtKVztq3CFpmA695H6amf9rFJU+8a2SDR+Kw+Sz982IjHyVEL2Z4Nm qbSSNEurVD4fBN+/KrZcSvl21nLsr5hp2tVMvHqKeNaoJLetGFCJ4WcxIIcGi4gHMTvpDraUzsQ0 KH2q4AZ7E6FEoM5XKCOLWpIRiEqzt406Ryy1oXrHwcvTMIZn7NRRL8mBxeL/egqh/VyBkvdJiura aMie39pyf0heuf4wnG6sYoIOsU9SGHovcj2XpGbWI/F9TqSB7hOmZAN82rMqu0j8edJjkkzpii3s HJgsYr/RH6+05YOwNGh+qc2/cuXzURDwv0bKLfXnUAoV9+Cac+5eFyqStHdnk5Z1N0xSavd+C3wW XR0VrD8fbJISDN9qIq/pxHrj/CLkgEDfoLJXD+CE5SfdzExDjZBZycoXuLgQdpBIvexlainBrDN0 Wiy/KieV8dtsd5YiKWsoPsHdxmpLKLbe/UbXE+036jl2fdCf1bckxea0Luho4uBPhT2SBDlICRGj 4/YDfVmWwRx0pCggtFrMhO/vzBN8Z+8igd4j3Y3zc1c+/19kdjNKf2ia+SSFpMZEa5hiXJkx6rSo u4qIvjFJc7hPXmi2KN45uo4+3F4kUOQWT54TPaHUD19uMLSQmCBevd3auex1I8YVSwFARNmgJA7E S5lUnVCWz0dH97e4XGNX4SkIBH6LqJugVdIoAoZUN81pdROuaivCySwv6YOiVgBTXajq2eC5SS9I fqTguAHpI9uBmuIdtGII7F4Vg2pv+8f9ViuIHTaoF3BCFmncnM/R8xGa/7aTGH4CJor+os9SHHYT h2gl3IXOhuFEx/6SuXxmKSx3M6AcmcU/Dj5LCfIsYLUgN4HoME6uc77Ap5s+iGtfrVQQMvUtkXw8 7WFSvYM1tk8ypmz+JEN8PpL+nl8RJYVSAY70WYoB2bjXlIcM6ja7snXphs1GcpKTz1IYdrOgO0lp 0mDHEuxAIejF5OREuSdwwj+SUFLqqK+inu99t+VvG/WVWFsSx4iDYiXYIpHNBD9hPh8kJ7901KAp Q5VMm6TQfBEVzbNSVjbiQ2YGt5nmLgf7reY00By6Ly7Ozpg8wjn4UhrnrDuR42DRBDrXJOzDP5qu 3T/94fAJyZZD+8UpsxiolXNwKBvkEKVuXbCSAv9FpJm+4XjjN5ry3FgpNGCkqMFAKRYVgJNRo44n 8WZemWrgYr3ZJIXAbOttl+TDTeCgiou/i35ToONizWUSkBuv9buS1n3bKKBkJDkoJ7+Hb2r4gYuQ 1c4VyOcjPvGvSUJChPqxT1KoHQLOddmmEOZMCHGMukv0lOrSsjkwYRSkE5Vu4kbHLficSPLkgnMW 4rVOpDwBZGaV8uw6yOYCA3wxf6wB81ui8hshbZkCmDVT1o+ny5kcsdQR8vMDgpDywo+9kzvSRSTl wIgR1TeUAPY0JSOoqTh0tBqNv5xNcl2pAydGWYAD8UehDClE2lo650Vpm5zQNnDugUq88Ff+bGqs 5PIkuqSr5dCKMREp0RUOdLFgqRk2No3GISPX+fy/IMhS1kAAsp9jKTSPgaHnJKWXUtdze8O7w47C BDCRtCvwDtwYQU9n7tbJUufgsJuCDd3Rxt5Qge+N1QAazFNBbOd0O94KlmI3xsyQsiuTQ8ub+CcE Y2j4/IAwDA/4wI8RjYH1teUaQexPHBAaMqJSvsZF3oziVnHnf6re1E1oHNgsxcgS3nA53cEPb2TP zJqpz9dyJfMR5SpIlk8yoc0rTgqPOXRkzLQmSEAd2KAbrp80l8S8E3c3Pv8vxgj+DW5BG081IPRk bB1F7jWrLaU8HOE2qUouH5nZik9SrHnNitLiGc7BsxOogqYhMdUE2TYZ7XJXEF66HGh7Ns60t43Q EwS3JXlapephAJqgjHTOJG0+/6+SiZ1A89tsJ4e+jIAfr0ziDjPdUi/ChPr/QpjsZyn9bSbzIvVY O3pvokUAEphETypgfJ8/CjkRNlzjhrNZCjEmgwgBKmfUKz+OIphwnThkJp8P4oD5ncNt8HjYU7ZJ iuJuNCohb7oNZTIMNokAnErzRJmwIMNEN/BmxGzL7JxV2nXBgFCm2ah3U/4JFEjqSPct0z9czDQ/ tYhyL3vb4IZb8q2sd1CiC7KKQNebR3eoKzJ/9eGSdJaeaCmKu6GyvlbeBlaa03Rq6Fe6L9Sk36X0 t+N5t4uu3+wEOjQ09Wa0dBZoojzNUg0NXEJ4qLBeiHCpdnvdCNvOQoBEejkIjgOPDhazQPnj81Ep 9xsTCNWi1p56d+jRCNEdKN/d1kl1Wn8l9IOW1bB2t1kKTRqHjK2q9PrqtZXZG2J2J0gmsBQuS4B3 Qq8PmRuZF/OhHBO8nUOXRuEnKjjxNhioC5I3jH9Y7w5sGhF4f9ffQPNvVIOySYoC7w3H4VyNPnvW VPNTqSmFw6m0UvbOSWDUmP+/pZ0GFJQNnsKdYB7iaqy/TervMAxgGo4Ujs7Rzsvu9rbBqSQ6rWyr 27Wt7hBrVp+xZb3kf0GXwge2wqLEJymEcCMKmG2YJp0QZQZyn2aUdnKT7fstNGuUc0rPIkrkhyiR kKnnpSbc2pCqYT8XIrtqNrZrqluS+t6hXSMKYSf42s9g6YkqVdhCafD5aJa+lxJeagAq7bMUQk1A z26jFd9vy2fpJJU+SxQ1U9wdOjbOKkG+PH3wfu7aKC0lcQHgSgQ5nzVxiU+y7tO6wZJ1vQPPRqgg kNC2yCfhINxSymaLBG0lPh+hAy4gR6wkAICv2EiOXRthSzj2GDZNKljSMG2YCD2Ope3pSWDbeHuV i0oIHGyazs4F+Ir2BQVldAS/HRItGQqiHcHOI3uUl73uHy1duKJ2HxQuQQdH+nSrTT7/Xzik0O5o j5lTDswbwRg7C/9ENJ1LaJr2I3FLzS3BcJo4vCt0b7QyZSNWkIOfS2ctnbsEaMONwsBEfwbsrQpY NRDQiL69mJu6vW7kg8E6JVIRG1SAg7zrFHYEZZPAwPF/S950uBxXMiKHDo6wTwRuyWyIARC0tZTl hi5FWvBAWTYJLBxFUgH6jSCB/hjGnx8ZMJxp0s8nKgSqCJZOsKdBWEX1I2tWrm5v+1cf7vxo1Qev UhZF3rXzXAqR3b/4Nyjv1/2AAwITR7NJnQSdS9k4edlkJSsNgFbU7lIKA2/qZfQpcMB8wAEJO3cB xQVwQE90ZwMaB+AuaGPntcrdcGnZ6wYbLnN66KauQX2BjRtPds2r8/koqLzwbmIJwP2GtoFPU1jy JkWgQ+tR7Urg6CW0DnOdrGlqF50bOzlqxw0yujj4NO0NX55MDAVo7VTbgg5NO0EAXfj2U6jszV43 8i5qKgVkHwxqstCQYY6/Fp8PgsqLgaOKDfWS14NaCt0ckRos2nYRtVQo+08tcaJ0hFpKa3g5N7Rz HMzzWxZIN1/eLfS2zx1n5O0TlI8MytcqxG+AkFjGZbxlHvv8luhgmi+Ut5JJfZZBD/K4wNAR9dRf 5tYI+lGHtllqUewNHOTJuiyvxbt7W3fU5W1d8hwUMbUIawI6Np1nlg+Wx+0JuCB67CdewhdU6RzD jq5MStE5njJTXIFfEkwSQwEkOhqK8rFzYY7Cg0kAgRaK+81fjAr0B+cD9A49HYHnQ9fViksrOUDg HEzdpY7nZQzk0NRxmcroTD547xueTAtMRVpcg9DJBIUimuqXFs/ihuKlwNVRKIRGTUUfVBE4KYmg 5UBb8/ngirvKY/yHUe9eT+s7tHUkMWfRv5a5bp4G7YI3jbEDTyzYPPYOfB0RLtHmqUurtqdbXCoJ jMktQWhIZjbSmVtnQwCxyhXzLVkVuNDXsYrVRR/nsy4xs5SnWWcJNlY9YMDBD/iXCqKRCX+lcYG1 IyoRRC0ZaRvmWBcmuJJbOa/L78p/eDtqDdXhgwNyCj9f0j8nSJo1iV3AmhJKev2q/E1FS4G3ozWZ ajWiV3ENu5NQnyVKTFsGaik0d9ROuEvppMctXR/eHLg7ylEegq9WEMhuOEti0LxN3entyn/YO47C E4eDR94MFRdjSsgDp10kTDKZkrQfhJuu89e2ve1fgTdq8D6oIMAiIUlMDVD40N+RpqecEyXGyEz6 cyjFVErI1xEZQiE7wjfYPQFC9/Z0h4cBgcMjJkmiAKv7cJtM51Tqlf58tYOU3qioCa7OwFmV8zfW ZNvrBkwm8irOb9B8UBiwVlah5oSIlc8H1dziKAo8OYgSTDeJC1weof8ERV83eVrUMtAsteqdb9SW HLsc2DziDiB8Yo473L4AZGFgAFGooNAo1H7iipNDANn8U+i16Bwdf91IKIkgk6bWd/PW9wmWaAOI L6x6/p+wJYZztOqgjIFPVCj71+jKa6W3c91ce3D48lq2m/IaPlER3KROszFcPnhB9xwhZ855MOGm r415caHKKnoIBBB/a5LlwO9RBHbK1XcfdDBVOJwv/TCbz/8Tk0N2biXcxFOUz2u0VIHMXTCFMBH2 VacnuyxPW4ay4YjLg+k1Vqqu0LqF3Nr5C0w5IUWTqcYOitYoCgNonQNGQqHwnxGZECu9RkpVDkVw y5DD8xg3CChY+LixTmT8eY2TKrBHCiblrIVaVBtd/8P5VaLJgc7NmE6mGHk4a7DKmGazrNSdwRRM jo4jHP8++PoBviSvqaISABTckkV66hB7pV687A4MAP86O2gtsUhCP1UNOrIH3CgZbBfUcF9nB7FD tdnhmyEy25QBwuy8hkfnIVxF4FrbQcR4xe60bGUBeAglE7R7DY6wdEZSfD190OxkdPmLK2ijTtLM Awn13MHKd73CrIR0v4ZG502pSwKX+jtocsD6HeJE7PZ5jYvqA+fODLGhNAs4mE3Oa1SEpYPitmRx 6SVypY/PqXtNHqEMoajoNSaqkF/gmSNY4Fpfur7n9ynAK/HoAdSRVqLkmzdAhAl81+T0rTd9nxya ONMJgOHjtuYikB2LXSjQM/PnNSCqj9u1q5chB2W3Dd/5Gg6dh9CHh+9HdaR7yz8umuAYtzK68wFe g6F6pW26FGv7o1ibqNs/me5DprYJfJrw1w2SfehzPUXGZR77Ggvh2Ck0egL32QYrrzX0OXGW9BNV v0ZCeLp+zw4Q5G1SsBaz8xoHYTdS2IZ/jsq0s3v3qLUrm42egAxCg9mZrqHZfLDZWWilchme2SlA kvH0TjiOO9aYZkfwCIL4Pq8xEH7IQhxS3XfQ7KQz61LCPAHk5zUCqjKx/Jod+ADs4WvnNf7BSZ4p d2yusgsq8r6z7uyg5W831mv0g9mhsuGJZpoPt2mUEBdSbwNGtX2Sd4pOz09HUyTDCtkzV1kbRFuL GrVNBez2FLBLX41Z54ndxuc18sGpZZLi+cLaqWah2XkNenAooyxL2w4pj5XrYVQpJqxEA47MXDuv IQ8OZWLZwX3wweEQuIdaYoUIOl9wfEd3FgjbQavE/JikE9v4eY14qqxHz0WM68kGK++fuzqLzrfz 5zXe4RvOr7UDdJV82jk7r4VG7KxGiZbSHFPTPKMvIzkPCSYFpjoWnMrSUAfgwYe7s6Cx3og/Podw aVim6KWhzIiSA/RtLoitUZYtmh2jRDJulmgKvuOcisT+0sYGT7/PTl++s/jPdgI2WBfC9LwWGLle Uea4NK3ezDUe66neZmNy2brX8iLjHTk+SHGsPopjZ2tV0IRRrG5AeKKuiPQLWCO0gwsBQgZeS3rV KBpUR5/USA52LNcid1CWhF5Li7y0um8tYbOhxkTvIlHpg/kZFCIhup4QER5xdm0Ng4ggqfSCUBQt S6B2iYrMwddPhjoC0Z8btfYi7+IEef+B0kVmhmgKtf6ywQICU/vMAuuRHFR+Hecv1V9dBlij0f6a PkUyL4LiWPWo553Chp8l0+O+aQVBnc6JfpRuVk8f1DPn1EQzpFpQG9MHi3sGBB/P5ccK9Uaay/MH Sm0/zFgKLlkHrRmlJjqeiXsoa9zBmkLo0An3Wfl4EDSbP7rOZ/A8er231zt/rRpEdLVu4MclkhbW EGJ1KyrCX8oBNMEMbcrVwTbThwvFSv2cECq8nqMICAhGhmiBIeShxIXlFEreo7i5D3U46vbBb/em RkdtoBy9B85gQPgFRrN4GIbQZ8dmKAqd4SgAdJQJRk0pag0KH95TCKeJQx6CU7oX8Y0pVcPBq0Dl THvuSrtGZukVNzy8lhpEsjM1LvwOU1Mm2GVd+XoywZbqsnXtHJhdxzTAoWH0PDw+JFwcphi9p7vN 3uNnnLAEOzaHPXobFoUN59NKotZqrtEUgfGRGCTYYNsMGi/gpYtOC+LAFE2iSNVZ/rfE8+U+7V2j a16mPJm3PVBXVasIPn/8q0dO7fPOW6sPMtTAyUCutPxMURREk7dWajftw1K7J2BtmZkI4Nnd20Dv UTTOfPzhuxL1ycFPonNup54FdTgJzJg81TvLwSCu07rDCq4053lnrVW14BtEg7IPwhah2MbLCPKl n3fSWgVGqPyaIfhzlnaLG2EgPSm86vn7kAsN62Pc3Sq35kuhiSJpndV7SXdsPbpjMA450TOJffWE O2MKVgP4ct3UPbwnkR3VUSTdxMUm5V2DYkXYf7PXDBeJzzthjTnur1AaiO5xU/h3vlo165klav+8 8pns3mczDqOakcNlomBa4rTnBJo++EEEX7iqxgbKP1BKwkHU0MqB9SVsUayAeK69rpd9nyHd89Tx s0EH0Th5nSruJ2L+vLPVqvDP39f9eeOR72X2Hk8DUITGfbXaxiLFTtXDRNChXNRS8lp0FFDjcD5X GFIHG26rdZ5Me5DukDMgkNQgHaSEgiFQ84XMWlfjPaDGUauWD3OyWq5vCN3+eFJ3KNdEEfVOyZeQ nhMDw2coCqnpM1claczuRnbL1EFNIPlitOklxDim5tRgH9jgMwTicqWgC/Uzq0myI+yG/TL4KLc5 NqfeNZghGsxRPtoGHUPZOsXIstbnnaTGg/p7k+GmKH35JnvnqFWzmatSW2XjviafoNbc2RqQHasi hjG1PGVb3j5YGREqYthom7d9m20v6Wcyg4c3RnpM1Oj9/c5Qq6aegQ7S8sEz1l4U4sySP+8ENYb9 3xPU6AG4Pel456dhWjFBfRo4FjJ8xY9p6gqZ6YOb7r7T07ASq04h2YeMy+HbkG4CyoxotJzKwP0I Z2skHaQq89K2UygPvWxwk7FFP3taPhjm44TmRaTZNj/v7DQc8yV9nUKEI8ECxmYoKkQjsl0yzqOz iqZlKgqrDmtMy/bYOzfNSn2IpWvxwRpiMHUCi1joobMLGgU24HIK7+SCks7jgEXXh3dqWnWRWng+ +6BzuoPpwGPoXGifd2IaJ/hGQzzU8U5r+F3/TkxjSREHdbly6zW5Z2pa24+hSfAfDup3Xprld9xe zQc7hs4tVsuWR1hrJ7oGWgvBBbp1qB9VmlMYKIbSIu+0NHzJRubaANa2wWLqav3DmjIfj2Lq/LXN gIFr82lmRCE18t119pFJQReCU0VK2y1ZaSiRF4h48Z2TViUjh0C5Jx+e3B4NMOX2qAipAgmjdCSO G51NdzAq1BP+vHPSuArod1kUU5dsX5JPYr0lwzrS/Lwz0nDdmutDlqwk3ApaH56YvTPSvHoGUL1l HTTuFtIjGU3tJGarGcr6nZB2+2FI7HzwRdTOH5BQM0O2uWjWw8L0WToDh4nQDFa2x3nyeSekWdwO sFBrPmiKKkoIzF33XJ93PlqVhM6ziBBOVeik2wy9h9SyVYfVq6tlO5bhIhiUumbLy97ZaDirpcBq vpcr30V0ksmMWgftHKE2iQ4zyDGkuHaqpoynKbb0su8nEVY8cNVqqFaHJ2eaS2+LLj7vZDT8raV+ bzPsWdy6NkNhTA1ELGt9prh+0UKQ3fHeBhR/tYbeY2qgIBgP4XCwweOhEyiz+0ME+lmu7qGBzLWf z52XzZCBHeJ3/D1BkhcFRM9s32fPohJBF+/zTkTjYbm/brNOQw4iqzlDUY2aKlCFjjqq4G/XpEfh zg+iOiwte6eh4e3ZENyblD0OFhBR2LhLk/4s6vMfWSCSvCDImjNdJsMgDe2dhVaz1xgXsQscLCA6 WY2KF2ChvZPQ8PhO3xFjIg3uTlAUUmMfrs1+FpfQfDxTmTkIlnd16d4ZaCx+VLC6UQ6zwZdQO9dO htgGih+47NkBmoKZnXgEMGOLGCftv9/5Z/U6GP1PPARokVjPSPPG551+xmKhNzkoqEXSP2hYaj1H IXUbsOcR+A4hNaJ/i4eoSiBovgyMEj8nTuzP5kJaYIOtoAYJ6A3ECXIPZJtT4H1E06hQz3w1+xEe 8TuC1vwUx4OlDw7emreOZc0jf96pZ/WRg9ZjnedP80PonXlmVTdo9lsLcYzqh1At7R5CYMdogmJg B04fGBP6oAkqDT55LC7B1qMvqqogb+vQpAUsDeWzbiyYoncNJoh6T7nRF4OD5xxjCNhxco/PO+0M f2r+jqh1tRa/6d9ZZ4zDN9aNyfOvOi88eNZ+MdQArgi9EGI7ErHTYObbYCsI1nKoxC2zwEpZ8RK5 egSOt+eUBtTm8045YyG80d6QhQ8OylqB85DU6vnPn3fG2a9DmrEzLoN2U453whnOjsTr3TX727B2 NBy5q08QFTNJFn7nm1Xph57DZ0tgYt8CbDlh+Qb3hSzYlAAaZlzZ0OiEjD78AvwMohrGO9uMOAA6 YDESOgMaSF2HENRIeXmfuPTzzjbDj7h/Ncsg8lH7dBDDO9mMyTI16LrRO0UnEcQ886YvRMAMR8BE NWpk7pD8b8UH32QnOoRy4SLnHJJv0Ow8qQESPGyU5XUP0kk/7zyz2ylLOS8fdAadoI2FG/y58/NO M6sSkPlCwCCHotS7puc9mBZwHmLAw1vR3SX6Ks5dK+GjKanpierTSAXO3oJwig12i0H6t8B4g3ag Az+FHLBR1F8gdz5ejp169O8UsyoiG+0esg+aINDl2Zoro9fPO8OMiI318133wF14sXfvBDN8KYWx S/K6R16uf5Gq2zuVLlJQ4udECVlRXXr5cKGJ5627Cz5DcZ392EIlQyjr5NGvDJbAwO/8MpbOsIR6 GndQj+O8qQQ36sKP+B5LYzF84+8aCLi8HTRDUSwN0ANUqrODyj0fG9UJwxDC9AL+O7msXlWHqY6i gPeT+MRZzkmtVmIZ2ME8pank1CAzALaRJ/VctZ93blmVcixlVBIH9Ft4Cm0EFjz3EJV+3rllVXrU z0W2gMXt7Z7TYTDNUGgWD4UeDHAr19l6Xqn+d2IZs0nuMoCfbbCLLEEnLMkjDD5IbVDQoBF8BQ2r eSv4ktv9vNPKqmthQ9SMAwCdBDyfVHiK7zrObf55p5Vhm23fZtT0h9slcDQ2Q1E0jW7T2s0aPmcR bC+eJdEVBpN6tyV+55Th7WlstVZJPvg5BIBrGdScrWiMlSSJMEiLyhgD9SurDOkqiyrUqA8iTFx3 8OLZql0H0dqfd0YZ3rF8R4sSicoeTr8TyvClm+H0dNlC+fNQUp1ZoCn0M5wGWPHvCvUWh3N/cTgH qtOVHDFgx3GVcZsB2nB+BfBbshdgodanl32fIXoUVpzHGsQnO6FQL43Lqec6P+90Mu7S9rWGMiui 6YFzhrAPCsxgEZHACalLchQBPcWP+X8ZlGkoLlYsonc2mUHCgIiR3EV3uQvAXSfgZiRuFGgLZsr2 kaQwUPeADomHQ63oXaNtxoDaLFaWd+zPLwLxUayLc/R+3rlkLDzclIxKmbgeILJvMxRG1DIrnDJ3 WJNdbarMwbWbbFfUPdy/4J1Khs9pApE/gy2iRu1OYIlYXgTiuAMYgw7DBB4w8yQy2WL2Et+pZFgF lWBXyInZoL0BARsxe0/m/nknkv0KqXnfI5JP+26z95BadBjwvORXcHIOqvNn+rdRnI8zVNkp6/yc PxtBW4JOe3pAlM+/RmUbFTMESdssU1k0HwknEW9TmsXkIrzTyKoEXhAr9jtoES0os7IAu05I/c4i 4+MXGYMZwoqUVwhnKC5RV66h5MCY5GlrK93TVtjzGGo6LFGz8JGALbfhHtWQPUDtmVpXsKZUZQjI XLoQweXS8tZF/+93Dhkx9ypRE/vKwQkJQzq6KCJ83ilk7AF8VxeBb2lPUP3OILMw7LzzVkQE5cVL s+vbtVOBhdZl9k4gs+rvmRqcwzY4LgaOpkPCchmbq0updwB6wL7gg35lQ+rzTh+rkqk6cyDGRruM jXNHQeeKWccen3f2GNPeb9AHxBLrg/l4J4+xYV/Z/WkeVM9+b/tSrDQ0UOrRBMVBNWtnLMxrsE02 TlK8G4pk1LhCC44dI5IF4VzUytX/oHDv5505xtyYLTKXdC7mVjiAJZxCKRQ+Hlxl9bsNRCcqdK9s gqKYGpYs588ZriSTpvtdlta8UbZosMAJimPqyZmpxQc/hUAfL5LgBzIDjQxWPsiDmoRP3kPI3zWo fFBsL3fiF7N7H5/DfdTB6um58efnnTFWJdn33a/fP2R/2QSFEfWW1LWb8bWhfZWhJZgcAytD0M7P iZutSFiJQONgE1Q2kEhiH54HF7hiJCjCLAfnHMhKZueI6ha/IyBFbc5M5SnNQaUhIIgkIAP1rxYF 1A9KmDc9Ahl2wjVBYUCdNpWJjTXeWk9W96iSvkb9/qQMVvdoUXkaPHwU7uXquOe3jNxAT7qJdXgO cGJAIS/P5vEPGHfX2VqndAj5oDt6b9hqGgwUM8D25RIqA49H/fp7CDFxBbe/XwxsjyJq9MJQVNRs rNJzs+qZFGFVXkRFXgSX94gaa4j1V/TbbLA1hDzjbNckx8sEYznmtoQxwxgWFBsLqDuFv3oUUKdh 5nLdB62h8/SS/3sZFY8HJI79fQrRQaomP6Z7FE9DFWJNymdREGVdU5k5rmfqStT/wARFmI+xCOzM pNhxsNoZjjAghQpxVdDMFrkVdWrQ7c0upYtSP/SuQcZRmNFLTH77VQAHliFlkVpPxtGjeDqV9ZWT gdxckGDZBMUF6gwvgm732GzztjjmyN5ovYJfPSpQg0ZIwurywROOTouLoaw1FTCiESxCAnBWBO8V oF7j9uI0xJf8gTwrE4UoOsuhu6ZoETnR5J/dCp4PCIgXm8fHgA1nycTmKKQgssIoYXSoNzMnJziv qvUBcB70m4X56FFALdXvqQng4HM0aUVAHHVLcEBHurjAaEUPMQP/VR9hhqKXjfLWyTBoJx8sGuqp q7pzsm08HnE05/c2wzlU2l1FEQ0R7i0rF/PbXfg2CxcLL1CGi3MtExzoMeaDCFhBPzh4m+NMNNoZ S5n9yS+5zXAuw66Dm/PCF1lh7FGRerFDViqjRshhkcicAcdYnKAzTQWPB3fZrTAWrrcBrPldQmE8 TXOrvLsVh0oyL4LZybgVvlNcu87PCZbQUM1DV9rTSUww7TnHP62JCwT6VIusSI874MKQHPdzqNq7 Bnk9K4tnVd5BjTKkrErVOw7qKJ5+NplWEFvg3knsYZEa8E7guyXJBJsAS1orIT2qnqk21Pk5UR9I ro0QX9HgkJhVKjp8mCAAkdhlhFTcCYImVcnQvLwallMv++dJDby+DVb5SIkBL2Zo4vGIzuHhEF2t UGhqz13/HlB7xtFp14ieGRJ4lobgb4nCxybKHNgDntRRQA3dVOYR3QcrfMwTPQJAKjeL3tLmPwJZ gJ8Bbj4QYEa5Iz8D3xFMkGGD5fxRiuufnchNiri15onHo6z+YqiT8jf4NjryrEcgavLoczHzGBhR Dpf1KvVaW7J1yzUUAT6svpirDQxwGTCeU3pSuxCn0JxriNGKzgDPacr/+jGE6Bpf8hfyrEJH0QYr fJygU+7LJ4rE49Ea8tuexf/UWLXzpKOHMTXY9Gg2WQmWotAySeWal0Dc9upZj4rUJlMBrKsNHlP3 drLnxRJsZTQkSlCeG/XFItkRKzB2ooR7hPnQPb/lY8FBU9Tg4saraGGbhdzE9l35oFc7hV2MFR3F 1FPmcesmriyCTFWpHUe9oT3FkHFEVeq5VBwayweHDeH1p5wHQEOFug0Oq05nO6S7bTzeOvauf/SC /t+GK45qxUOItvB4EFP3X/1E3BB7eW1oRDE12npwH7JwaJD2r3AoDbvLKvjMxhv/V41aGQXtsCwc glJLlUl6hWmwvFMzMjGav2d0HFzNC+UMfEnEHJcMzJIozLkIh3pBZeTCUtHZDRnPRz3pi6wyJjXE hTx3HSGSOpEvUC7WvBolaFT1XAnGRwqiOYqq1AB/ndAGMQIGNp/YdT6R2Vk4XYo59eyDYcIx+Eto X9Gf+x5pHb4jAHg2EVsZMdZBbD3lLs+EcbLOwux4POp03G1GJj68ufrlBI0oqEYxYhkQD+oDUNWy rnS53hWDMsbcZlFQbZ3ELeLLZnkUM3QOnBMU1S1OUC/AoV/D4qrcYd37/vyiA9/xJ9Q8iSOdrqTQ WeRs7eFc2Xg8Umf4rjAC1l7XbduPKKbuBTQXBHxcQk36y1hCJxLwJUSytZZQFFNTOHhvXfscHEcN OD4oMBT1hrWb+BxAJ1dgq2DYfWllWLX4kuggkk8M+tm1UsBvWwltkdH9A1tjPB8sov5rm9FuKD9n dRRWo4d0DotZ/DrLTpvKMrYksWy5du6Iwmpw987kFGWv5Tp7wBkVmcIQ2mtTIeaHphUoe6C7c3UF M61y8R3BNtuyHWzJB53VHVBk1TTObTYiHDWgkl+3GRZbugjGEeKo0ZRuJPJzEbXuidn+/xm7stxY duX432sRDM7D/jdmRkQmq2VX6lzDAPUuTqlLbA45xED7VLbtG88LLqIIR10ED07aZand+x4L6uTy gyIf6WzqVqXVTNnERnWF2ywjxHOEIh+QgTtHFp11h2PcziI8kRTL1O3kaHg8iIjWrzo+tCkBQrMJ isrUCOYWK2TKXLdTXkbrLnh+0rZs9Y8RRdVTEE8KBmHArs4yQaWWIt3P4HkHohkmqFJ4DXIWXEG2 yc6vx2f8EQ9VoPF80AQB70b9xbPUNx6PtBq+QcKVZprJg+oRBtWrslW/7LYvD2tqVxen2t0xsOPv oPpFgKkhwqpTJnoDqGpKNZzNRulOXCvpSuVuLaEwqO509p6U7pyIo3R0ZBCU+ZHnRll4Pkju70lt XnI4/u4UhUF1FYmj8+wBiTxZUN0mYWcJ7UToSesUCgvVRUnruoPjGtDfWEAxIPBEWQ/YmLWkOAgO Az2UVcrfUtIJC9XSIEB90AYjlp1lxJgYvQs8HpU/vhcR+wJyqsIMzbBOXamSu+2c7qigqWlfZvJF dAKOpRmaf6njQdtMDdd6G65IzOBvIvRQQq2IckS9qaUDguCjlk8XjxkCP8jaLIjgbLCsoySZ6AKl hMeDCWrfIEYq6ZDTpgmKYurKfhX/EZZQTsnyMviSJU9dcTgxdZ0hN3Gr/koiws6PZc7kAqwSYzpv lTdJ1MLowc5gPP6CDFbxGVEhnwTpTewQB03QuexBOOMEFTz+z2OIFVtEDmNeOaYoooYR8U7DimXn Tm9eQSsscjLr6LSMwjk0Q25ilc6H6K3j0ltTYj8bQh5kc+DMm4JXnZwBTPtMprvxW6lDMP9RqIbK RnNVRWwyeDLyGDrrteLxCKL3nbnSUbNeAvCMImrkChDVdFOKfX3OlhjSRDVgR2iG4jK1ZAhIneLg aygtGGuQvQnLzTKnPIcy6zMFHZlrv9iX3jU6hUQFStkHHR0bxUsmrjNlPB6JUI7vnIPch37JmzMK qcm7m9Xw07DbvkhYXiyaoc5mB46hKKQejHF2VZ2oPix7rJkCdV+iq5DjmwMzMgdA/zLITw7HX/ay kZYF5bwgSGODFRnXoqs2sL8VjwcdxfZrivCntnV1h2ZYqMZBVEZbTppannUQi6CAMaFUqimKgB9d 7tRNyX3z5P5MCeYfkbrw+Cf9YFe6JJI5KruYNx6i7eKMIupKmn2d+Q6GrpKMP7L1MfB4VELzVgdr oHS4QhHMZihCfrDX0dUxQ4a2upc/Uu7V77K0TaphRpVqQYIgge+DLSJQWdFXF1g4saCGbbZAr688 vh6ONCUXZxRS66g+k2zEhaXkAe5pshRCdxePR6n9d0sREJdarujijJmJNKGY2S57NTiYlEmKcQr5 YdihGUrn1asU81swBtWlVUHgoNbHueaKbBiwHiaOlPVYdpH/O6NCdZGnArXeNSgpG+dblqDpuVzw eDBBV8uC8DP0o9fFUs8I+SHqJrtxPKglz01ozB4u9L6bUzdnFFKPrGwsJR98j6EPMcG7R7Xi/PrF q6yhog19F6hz91unJtx8RiF149QUpMA2KOmAmrnS1vNd4/EIPPSrCLuIrrrxYshMhORzTiZSBbs2 J0ifv9KhHye6abbHQrGPSTrQIK6Bg4OHoPM+YBmMtn2roCCxOMRWAyxx6m1Kw4mZ7/oXrmEw9dBg Ddcy6QDxg7YfHo/ixd9aFoxXvV22ooAaRlmrkuX3P8JZmbLpYPlGS+hsC9tjKwJ+DNMaEpR6zdu2 r/D9HJv9xLOvOgkKKFIDdZYRO8z980uRe4VFaooykX1mg1ZQbVPi0+d2qHg8EtT57khnVCnT9MrQ CovUjRYK2TSZ5ihu/j5rdltz+rVrgkLgBzuta1KJkYP22ILBW+pszp/jtKp/grRV2sjQHdoXO4SF js+ICh9sJ6JkgQF4LgoHg7LMljFDCDwf4c98hthaQ0iA1p3NUKg6TTNRUimI/OABq5Z0Ki5CQGFJ zVCE/ACnCZXXxMOIAJufJgI5nG2mMSUzYD5JBdgCHPP6mfuaBqLM2fAZUe2MyoJNPfurWgVbwiXu Jti/K46nf2GHKNBw+eMrpCbiHhtpJN9j2SGe0Hb5cb0YpvWJvyeYICotbd3cHLxXdgIyQOiaamdA hNCVKjGersb8NfU8ws9WXKGmQC5vQA12SkMWXeWeXfH4fzmEMg97uZlzhqJ4Gij7Ja2f/+FPSQ7m sMM10WWIVHYLhVbITBwqCkmCcT0X2Zmffo6XOVR+hXUZ9mGlXzV60LNcTabNnv2KYB9dayetO+hs PX9ntWCxTzwehUL966YnCDZfoY8VRdOJolWSPYE603a3d/ggNme8KBTCBMVCH1Q8q9I/q9dzErI3 sxNzBhXP0icAbx3GE+COAwOAyoJ7ThJ/tiLcB7ZuO6FCyj5YOA0JScZCow88HiGHfIZIewNrCqQx m6EQRw3DyVmWBYtb2sqq4KdiMwQtLpuhMJpuMkyADq4GDxYHzoZG8ftzxMFbAkuIwOGOXCODZGil IfDx+LLBVU/qHQSdNAyVmjNmtsljKjU8Htxk+7tCDWxVG5djv0JyItuthf3oTXXB7awpqhqousg2 DmcoBFL3QCwGQNg2JJ535qEO6X0WXPXQ8P6hf5LhPubQu0agBl71k4ZlHJSznr+1CUidGl/xfZOB gflgqxCd6SzUBIVAamAaiizJkLJu9yw9IfbonrKCOCMt6rhCTZnlxlhZQEg7hdCdvhDG3elV2rVW qe4GiTVrtha4l/Jlg4OaZUU4wfhg5deyxFwAkRaPR1Lmv2aoGtTdZigsUMNZahcTOVuDRkSmN9SL gzxR1dAMheF0NyHq6oPNUMG9NqSmUxFsFepR734SSPrqEETk0dAYetkoGmLRbDAo4qBj6PyNKg3B Bg2PR1IoXveQcyIMHG6zdUfxNHKlc9ns5f36K+HZkwXZKFBnu+t3SE2kkcIyjNVXs3UD0ECSC44h FOf4T2gIiAUl0RYzKmWBekfxdAOkoawhJc/hCoa5w3BYYPzBx//Fd5HRHe0brqD5ezwN1BjNkrYB GloZTucY2RGeFUoUpmj+DwuXmqsPPkEJzUSaCO2GJDXRyhVG3D/sheR0HRMRLOllg8JQE9pDgrnr 4vM6NColyLQHHo/O6QuLUffozE65baAdB9RwKqE5p2niO4u8TRfKPdfONk38HQfUOqjzHbwNBBU4 qDASOIRbmdW1CqvyBkA9Ln+HxeAwwWdEpTPy6+kyrcEqPBDA4jF0Visej4iJ3yKnQIlgRn2CQmIi cdRy/EMhtrne0JjaZIR8gEWoCQohH0Wos5188KQVpeiB+UPl7Gyuqbue1A/oW1AGwttAvMp2FFFv oWAb+2Qc7BgaacqAezW+47+khJtlrRVuaTZDIY4ad31b5twCC0C3VUjrVobIwNMM/UVMRK4hqYZ6 ze32hhjKCRKbsGcFZTSkHIUVM2QB+woOLdBO8Bl/gmJm7T7YEpq7Sj7x5PV4PAJWza8lBNlSSmDY BIXKedDwHNXdgEa7E1TrcifJBOKyJigGfGRJMS0fLkx4dNhHyokM8ZyYm2jNN+QQFBo1JD5OL3xG dJHx+MHtY4Mm6FyUVJVAu2Th8Uhw6HuCoKLY69V83xHgAyfjov2dVhCiTFtBubsxB/3YNUGhcJ4u ssUuIYfb4kAhjTndFt6zCzUEMSTwFnABeyu6d71rxGxFVt+LRLuL4zvnWZNF9r9oJO5/V6dlMZB/ iCKwCQrD6Qp5SspCghGU96jGCGrDVxAYp120ux0CPoYJ5m0frLR4coyzmyp7QBlNuLpkagtFZFhm MWCTJxDNd/EZAe0urTcp6gHVM+KHoDaIx6PKWf46g2Bt3Z5wesfVaYSILdkEjWyZPPINRtaFgA9K FHT+nli/E4TEXH1wwMe5sE5YZ1sMQJ8i2yl07xFiUpTeMFV76l3/KHtALbX4YJChCuqmkiw+HqWs 32UPgJY7giuboCiaRgd1zZltgpaM2zBBfTgv8fyK4ddYBPeAmArr9ssH32INFxe62jikE5UY2ADC ooHaB/iUHgmxv7FjnQ82EYHqtUH9jXnOCJ7YUArF45EqXPt1i21ogD3uLlE0jQLdOaV3M1geJUWU sg7zAEQPZ1rKqi5KVLQBFCZPH6z6Cu2KE0kREXNyVzibZBrgNGqhQIJgX90zhuH8lPdJWjyoS6JM boEhTlapeW4aJHBZTP6CCOD5jaNWlvRYvKSoRg0Qu1tGbtCVk8BCGewwgzScM7S4DXmKg2rZtLNx z+E2W89ff77rTYMyKbRDTBh7cwKsjd/2GJD66/6l+w7zdx/4KQCdNQFjRmt8Pko8ftGkJwpE+5qY pBD4ASj1SSRVfIXhyPQaWt9eQ8NOMOH3FGKpKRM8J5uFHDy/T+c8gkses9fSsqj2qaH2URg2QvXO vTX9dSNBC7LsqwZWmbR3TmKwq8SHxuIvCAKjXL/2HA1UxpWwkrnX62MJ8kMrG05vU0+Ttdity47T VPadptApsRDAucjB42DTVM/BnUA0o6f92chURF2L9LsFHef97Dn42elTIiwjS2mL0/TEFylj/qXe DWfkFFas+/flf+4RCH88iykKsFMTueOeTNOhepkK0yrIrt59y4WGL1vy+IqP2mNFkWY6+xjROhfB CVAr81ggiCbuYErBWrEoU4MInxL0PSYlhTvlqTko1c8ndNL9tmG1kEIQSP5d1z/pEJ1nfJrCujWm KXfiqhDRVQbUWY6kDkdjeaPIn+vvwvUyj8DZrv3dqrB4a1kqOyfKBurmfCAE9CiKkEnxtlSNUQDL 5EFMR/R5J7SagxZThy2sbEnT5vPv01TTL8oivtq+nmsuirXzAnZY2dpC3laGLaaK5cFZKtQBI2iP JMP3M0MmgW03H3yWzj5ru7MLi2IYHYwhBp/JQz6zTutWnUwQMtCnRPKVFA0ZtLfnYFsuzSLY3dyd zwfR0m/XBZoOtueWC4VANuAgUAQis7NDPULYzzRJ8gQcZK58b7kw4rYWPZF7HHzLnU1XFlQb6Lsw 6MqI+OmsYnA0fjAzFi8BM6C3jZiLFI1DAsOhqsSfBrImAqnOQ3z+X61GScqe/YuumE9SGHSjQgsP Y6vQ9lteKxSlFySEpAxtuEgNpHAN7cYNx8HBe4AsZWiOIm9jaaEzb+tMbM9hnx99PTkkp1itukjP u/qg+tpZVPIVOGfx4PPBfnsUZdhQx50y9nimKSxkd3CDIc0mXEi9UqhQu7fs9vwRw6cprGTL8JYt Bg2enPR6vrACSwUAiuqeRNSCinSmCdKpOLmp6V0ySbCUsIlLSOfOZcmfg6YJuANulzoYVoY+MO07 +oZRaiO/VJMUmitO2km7tOfK7AbL15WC/ZqklKtbB4bgkDblkbN8sBS3LIrmsyGSIa+3ZSYEoCvN hPZ0pGxJNJTOgbuizl4A0amUxkEZCnJEyVsl+G2H9orjNtXwehCQ+GrM5tBfscEbb0h3WGzqpyVS vFi7ihuY5sBgEeEpZ2eZrqWfqsBQFtb92VZDnX/Z8Q5SSqLzW3lgfMneNmIxMlqaOpXmcnn48zFZ lZLzqnw+CL3LN3mIK4nlCJuk0GIRiGLQD5TpzmqMmJPpcjVToqhAYJOlgBx6LC5pFCGds8FWUoKS OtzNCDM6K2mRgzY5FUStoZJk9KHS7G3/ENuDbs/UMIwpNQAVGzy66+Dz/2rPFn2D5+f5GHVGVW0U vgF31M5aXX4n1HFK2bxMgbjfPklh4L1J8sRfbINvtxOmnjNvU4A4o0DeBSVBdI06KifJlK6Gv+0f 2w3yBMkHIWkQiknke+XK56Pt9o3ng1BVS09FIPRZhA/eKtOOn1WLeeWgR8veCCYp817QdgvZjIVV JSgw2OCTVM5f04eg1yeXR6+fTD0UWEEmy5hAy066+ZnGGiGkxCaKf9Zk4p+o5mXJAfQEv87QarGs 9B0FoES8v5ZSJGUNpBAk7qftt2FQvrPfZFHJ/VZH8aUUht1TUs3iM66v0tJEBReIRqDWTnohTZpG 7XfUAugHZ5AjRQGh2WKm7GuaFJzhoKXU4TdG3b1zmfL5oImUfrktovC3v663kNIIzauZlpiesKty CYNGYAyi7lopMsZ4MrRbXMTmQ4jfB090kc+h0UbzaZjmUAF0477vSEuAHLzN2rnsdSNB6yxH9+SD UrgCAy81/Mvm89HR/V01Ue/3sX4NLRfRUFvikCnRLVYOmEW4mkUyiMvv5cBzEYkuU7fCZhsH70Y2 6DlkeVfMM2fqx53v8MQCgH9B8uDKGFR727+O7o5o0AZ1AibqxnI7s5eMoPy+lIjD3kmujz5JUdQN /UrIDRg7tmQXtR6T8CeRP8s17gxsF5OxHSbqOzZ4AY6du0a53fOFI//YWkp0ed/QarhSqTtNe92g GsBGSS2p+mBtbYZeKoxmPh/kJr9OJSiJ1vlUlkLnxUazbnnBgQE6lkuE1WppSpFjr81SGHQLo1Ul FVbTzU0g8oAiXJG/6cngu8ARYOyj20riijXdVrK3jdRAudFymj5YqJSKEPLnK2l8PshN+s1yRb6q P1yQNkuh/SK6zu4uOFmw9Jo38mtjPWyQXXQshf6LS1Djlu9ga2mepC2RlUyc1llNbE2S8EkAeH8o fAKy5dCAcWqWILrBwdStzy2zJQbeC5ZS4MD4f9X4QFBBSeFOUljxRpFyoBGOCgBarc1qARW48Gzt 29sYCD0Yh0QNyr6DL6UM5EKZssrd4JOxGg/+XG/0yLlLacmROvRgxJ2Lm00kmune6ajwDV6pLZfJ 56Ma5WU68gRiQpRusBTbME66kpgS30ngymUYUWmHIj24mmzDBT6MWEqsviVRr9PDU4M+9jklEznX G7OGYOlEsme1TbR5MpS2DPOXa7LXjdSwiD2GJU9DjTj/iKJYiQ1i+2SvzV8QBd6e52p/krNxr7jA ihGCWKBf1Smk1qLoiF1x7k4AiEPPPk0hQFuYJBlUz/FES7ALaJ2oL6h85UTnjwVJz5+N2JOJhSe6 JKvl2IyRomED6QKHbnwG+BoR0Hsu087nIybN+EpPMiOQ/Wy50D2mwUlvOWKCukMWecvvq7JIOd3h PPBjBHFWxiil+OAIW7hUolYpyEQFt5i0UMrLnvW+c7oNb0VLsR+jfAkW4UkJ2H6qMp7HziRR+3c0 2MAHjowSpX/KAY1q6es5vaOCN3oOJ/JuVlgaynTNB94KS+eO69NnKQaWCMPepw8XvLU63He3BPpO sL0IZQe4vUGebLRrFieJxxxaMuYiTqjkeK9TE1mJ5DKiisXng6V0ywGEloCxMvJzLkWRd6MizW6G LZnaZcRvyZeZ6KTkGMAcuDIizyFlxMyqx8MwHmBkF6DmQVw7Qc5OWEoDClkVB0xZDrTNcB/W2wbg CXrp9Ta2DzZJo4jndCZp8/kg8H7MdhQZsWz+zFIUeUM0Z7GZLE3eMbxG2XquDjAp9W64ELAtfNsU 2m2WO0uFPiBzsWhCHhxxXoCI8I9CYQsOidxwNkshxET6+wDd2aDIG5LjVfS+Pfl8EAiM8XV2w4SK aaRPUhR5AxgMCqRlukMyR03oqOkgEwTEynQDc8brJ4NSqQ1eWUpovjbyjPPcHWIcWEpUyoPEJd1P Labcy942QFEQIJC6/L96t6WE8Ey5Nd0Zc2DPmHihf/FoE42UynMqRZE3MmTAwr0esAyKDNPM3B1p AgVbW0oxDxJnzWQ3WsMNlxJy0sJAoEMwi5XcrGWBIK9ApXGq9V27vW4QVFLLkHItNgiNA2GPJOWM mvl8VFu6bTiSyAYRAneaQptGfJsLbAhrnkxj/dGmUZuP+itGAMixT+OSypEBc8qNl5jGJQhcF/oz FTWhkLZAKXzjgH86393eNsp1Oxtn5GRzsFk6MQ+hIip4B06NCL1/FQQ6ba7ujgutGoH9Wfgu7Fiq 6cYBTOrtWEKQxB0XeDUCLUNAF9p6NvixBJU7ulPDMLYXEM4JncxmR4odeGnZ3d42gAcw5u7acf3Z cR15UFPK0fl8hC79PpYqgMy93IL3H3aN3GbJWicSWhDKvU0nSjQ0Im0lhRjuaVpz2wdnSpwE68QR lf2lBGGpQcIW8rZJ1GG7trrnEpn2uhGZhFQtBDg2eIKSaSFwtlAafP5fs0T82/m6Bq45n6WYGAmg O7pbtt+W+8YushvFBRA6IOkXxeYXmJ5yB4d3QZJvAr3AWWrMQUBrA4ABV2tO60ZL1vcObBshDLZe 1PtKwT2apN7HtRQF3uWXJDaFzUZPd5bCwLuDVZvdAGOmdJXVRWzHqVRAPrNZikWxuYiGcEvjwS2d ZOv8Kq2lWoGgXKxTwjkRch0Mdi6GIi973b96unnICX0Y1AT2qCeklPFem3z+nxRSqhpDZqqVZzFF kTfwBic6NjVR7O7luKW0ldoVcKs9iwv9GxUurcpDh8PFm565GFDRgV3eTsB6YZpwm05KJyP89nJu 6va6kRMGGyeJs8VBWw6xh7mho3ASWDgKzfatXAPkJv0mbJqi2Bu+OFCKMPkjSOrbaspj2R2HspBX vQMXR7HlAH8TlXQ+VNIzyz2vRoWoCuNNQZwSvhCWjyl/ZP3K1e1t//S9EjyXg1Uq166il3QeTCG0 +2LguA5HZZiW7yzFGtlACJZkWMF+tVkG1MescrKvSFQOnRyHjiTCJTV45aSdw2lUYgXbOYU2UJT9 3Bm437Do81peOWFtV58S7LnEIylL5zg7J5knE+HH9XwnfD5Kdr990fHdfAMEQi9HitHDF1omcyAN m9Y6JNbPjxKBBpBIaykMvrXlmqTE2yMlfmJKwGXIBzz5QKYqC8hc8HFswO2jreC1yt7sdSOoIOtv 9MTWoMU0YZ5GhMBJtPl8EFbm71plziS3P8Cl0NCxwiWs0PcZwKXLtgW8XDkuepa4/GyaYrDJIHyb UhJak7rmIPUDPCum6aTuibTDE4Q2upagLjku5w1aCva6UTBAhRZkITao0dThccPFlJDJBZ6OyFt9 MfEMQtiPYobNUmjqCGr4qjPfzq6FBRDaSG7gXGG+rZApcHVESkgXB6QNNlgmdzb+QAOdHjTnxJpD 2lLgXBQVZx1QmQcp7jlwdUwmwgpHNg0miARChaRthBEIbB2/y0uqe5/vfj5I7xbWvakpvszbei1p r9LCuQxn5aynJtAipPcyBEXpPti5BKptm5W0HHBaaqXQJsU00TzDleF53FDA1KK695AQSRe5ovvp fW6aXOXBW4eeD+64/h1WIouEae+dpCj4xqF5pqab3xN0/SzbbezXM9ste99JigmUZuG8fLBJOrlJ b62x+43rbgAccKYIaIRKlvtV8y1ZNbjQ2rGqOdBlZ0QgO+uyZ553lsnsOcb5CyJ5el9KTI0XCXC3 nhu4O+JUIlKwTQMu0UZGSEFKvgu4tJztnmN7RxGYeik+3KUE0w8U+5DsNoBD1SgHVRiCQYCfXDOa bm8bHEoLs9RGvoOiSmi0UXgFjoZ8PoLA/VpK6HxSv9sm6S/tbN5CP6bqa8qjxAnexi4XAuOAPxwe WTjZwpzuB3N64sgTixtHp9M8ulGZZDAn6T8QJHehv7btbSOIgMSzeYDX7GTcUUpbTUAKYOFDi8cn 9ObWoqREv8lu6PEIVy940piOfyvV20xrJhcYJ/5R91tg8ohyroz3yvLBwwBwfCoUJAtkxfPKirw3 TjHQqnP+hptse92IyoQ0bi7yTTkoDFh70JsNvbXK59+P7vUQTin7T6RgfY6lMPKGTtKsxSPvS6yY kI6x9ndJOfs0xZRKhUlqDbSnNbBoGlnpQwebuAXY/8l2oX0woW/P335ZOsleN4opiRHc7DZxsGgJ 9RjeT+de5vP/gi4JlVMAqsxPHBCr/tHOMJks4mim/4dunGnYo4MynFkRGD7igNOx3bYPt6JbKQxA hFejLy+xS6SS4HqDfMgvSbIcOD6CwE4oRZL7SvJz6aTmpS8vQvD5CJXjpcrJZBdB6NWw/bzGSs30 ksjBZHpiP3HHlTEMlJNwMPJYeo2UmpQjaZ7efPAZ6vCPKezEnXz2bGnO0ADeqwJZWSj7ZzwmREqv cVJDzUTezqv7YCHA+TUkEZ5bFE+/zE6DWIT6S8VMM1A+H1Tz550azQ7A3UN7ahFx4kI3tbZqwK4M 4U0d2sHsqKi0gUezwWcHDmupKikpYCwRRECKX8O1mktx4xWHwL9OzwkjO/+qLUYcKcQ/Sgyobgj5 BNRwX6dHHANND98ME7PhncnZeY2OzkPIX8+hZ4CbVZfRlejIa/byZ3qKgQJeYyOsHVoWg0Hkg8GV Edo1kn3pbdRGJelyU3V8CClwdVmJ6X6NjBpaQgiux2CJhIMmp0NCh+XY88Kf17CoieXxTA7YCbXS TQST8xoUNblIr563KUv0lB3NnQgklLLEdl7Aa0jUcNTzzEElyQZfOieNyhTow21/YghhBzt8Axo1 /8udnM6j5zUgajC6EGdCraSKCgob3GOjHU+wxPlDPq/xEPfIstlR6IMUtPjSeY2G8BA7kmt5yNi8 9H/yhewCSTM5+PY1FsKizzLJIFpiuxIo7q8JZDhi57OxTrC1qFgNiWjMI6q1dLqeIuNuvWpw7sCJ lyL3Puj2guMGlTVbP0H1ayCEp+v2c0dH1E+b9DOiWVkwO406iKN5NXu7lCZakS4KnXCtyiI0mB2p 9m9BbjhYOL1AtgAZAEk+UKWSQcRyPN81Cl2cHeEjEuPp1xCoofVCxO2myhYHzU7qdUgR4mT+n9cA CMugTpsdQQQgUcl+NmbnNfrBUU4x32rLBMwqFxrdo6en52/l2dfYpwkKhWmRFOJ66mkoORaS32E6 B3YYVX9pwY1uDyr9/SausjaIthbpSH1S4Y+DIf/G+ZkNxRNLfV7jHpxa987iudOS1Cw0O69BT1PN 4ny/zcA1RXotkvzxZAxXsiVjryEPDmWGhKhz+OCzc3ZRYw8BlFvsUc4OgEg/ghDlxyZ9UhTpNeLB NzApAbBYHuKg2TlBCL190NjIn9d4h9dG+tpZFZjVRbsyzM5rnRH3HNQPSQpyUI2B/qH3Z+a7aBK6 7FhwKqdqcXPywWZnVsgAsIMC986ewKqCCAC0RVFxgEjSRbE16rJFs0OL9MFMTIMqsRAmEpa9FDz9 Pjt9ra+10+kzVf3ceS0vYrkiYqX1sE7lXnx2oDfnvUbiKygjEYY7cnyQmnh+ZDYAzm1tsB3bUeLo PL/l4UPwIAFCBl6jd9FraRGvSh2SXFhr42BNj9abORNDcSy8s8b3qYxiqJyLxJ4LpgchBwTHrj16 WffSWs49yqRAiOoXzs98JYycvZVPqDi2MJA90bP9nMsAiI6JcJDMPNOnNWJitHwY67RNyBEH1V6h CsxKDsQXPu8ctiY1Is2QekJDoAKboSheRtYC/Rptr7n71T0c9Tqopeb+6O8MNgaz5hw/fbCop83S IP1ZBX+c0C3CCipnC0LrBApP62LWjFITLSGiHlgLsEFX1zhHEasqLVc+HoTM6fv8WdA9Y8VXM/Qe M5/La9MpxLBF56flgc8UkBYHUJfVLPEzwQztZmuo++AFxXQO0G5WjvBNmVlxIX3QcE0DeWAZhRL3 KGruSinOaeyD3e1n6QmI1UA5eg+bwYBIX0sIIjG93wP6nbvmJ/RzBkmPm7EPj1WdQZUK3MI7BEe0 jrxdRT6uD4EdUvR1J0LVWmt9JNYSK8udHV8qBS78Akt62SDpSnJsZoeag3LSDlEKadYBGhqGzsMP ad6vKHieM7f6FL0Hz4h6gZ+lUCHXELEcAhflpyqtvhnrrdEUsXJfsVZssF02oKpPekUh5ypVUrJ2 IQaWPNFtaL7cJdHyHj3jjhdtjVd9hVpt1SJCG1816RM6fN5pa4yg8tcuy8AYN7K4NUVRBI2FdiKs 6xkyp8eI/UZBsHZx1Mx7CJ0pdzUgRpt9sCnCYlw1i0UDt2MIIKEijYIPeOurlFtspTPPO2kN+4SO MzPxpOYgYNFZqsLR1lHK552zhmQh+yKigzzqmUMFV85QGEV3du9dKHtIw4bFMdXJaKK2LlQ9CqPP fMrI4A6+iMYJc1Bf5WUG6zPGkrOCnEAp8XEPIjupozC6NVJD5so+WMm+FrI26SDxeeertcfJsUiM HsfZTB4LvdPVuDk7pX2sMjaYXapxP4dj+TcslTVBUSQtItaWpwwH79tnZOZJeiPr5PPyS62ZaRDE 7dEnUvXwpNVdL/s+Q2Nwf3WZXfbhmNmTIxZBE05A/3knqzXpez67jBSWke9R/R5MYwkVBolWrbe+ D4Wys7OwypK7E+qrUTQ9yOZnommDL6GMeuSiuuj5tteq5EMs9IVJ6q/5Amato/EeTUsE48RBnZ4h HFQBOjdZl2dIh2xNFE6vvb7uskyZgVsfe6epNXN8qOoUkhhiXjMZgljN2hmlup/BO0utefewlH4H m6Bz4GMhJVbI6BGW2Bxixx0NbtrcW19sTr1rMEFE7s9KWTYOOoUQD0nYj5CGKKIWVqyytYibrMFx 1yPqd4YaLnvo1p1PMwlfdoWtKaaNhwmqqE6oghhG1JS9hiy8D96o72cxzjl12cMCoE4iHgjfAXwj PQZqtP5+56dhtVulQ8bWOz/Zat9N/mAlf97paby0x9cKgstmpzmPJigKqKE/ejaWwalgqlIdY6Vl 1aXnY7jGd3KarUSIr6gi1B4fR8h+zCmLuXaCijTF4EM0NEhUxuz7IZSHXja4yKYpZ1UflHKcoJ06 //ABmZ93blqTrc9TDCJyO90K/TszDesWDPFJNi9dVaj7JkzjNgtV9LGK9VXfiWlM6cXiJ9YjXaze RLcxnYRAW56GjnTN4M02IJyZaaZoKDQ6Przz0pqsKrF4VvPB4kWSb3g51fZ5Z6VxgtNXUo8qa1nj rqEwop6LGscuJF7dcHeS32priLA/HNPvnLQm9zcSGooPtsmgtQLdD6whaCmfBI/QKoi1gJBrCo0G h6GuyDsl7eb1o9JQloN1wRoxNmi4Zz7+XxJ7NAAJZ7cZigJqKMWtmh1jXR8szBjdNXzgRq1o8Z2P 1uQ7gzu+bx+8LAQBzaHCByR7ZyVytlAiEsdP7sW9iyQE+3nno7VraCA9CA7aZQU3DdfQSPPzzkbD Zbu90yNCw0LZ9R7UUTyNvwUmE56VSdmY2jQl36wsOb76nYvW5Bc8WKTxwddQnfA34FUPZ6fGhjM6 G2fRQcU/A/vmBXvslc87F41BOyv2aKfboBmqDQ7jvMrm+rxT0SwefwJqSNx+tXvemWg8h1AcovGi 1Hu693vWfvJWkpS5ht7jaZzUvMMEPePgXIazKhvsZ8jbPxF+IoOGXLuJT8s0lPV22NLLvp9Di+cQ gYI22BoC/l9SM2l/3nlo+Fvz/jqHoK7cWr67LAyooeKL7MLXUHKPuZpd2gCukmYG+k5Cw9c7wYUp 2Oo2eLh41s/5ZilKU0Fy20uSWohp+jnR5+UxZICG+BnRBEmxp1iVsZprM8B+Kg71sffnnYPGptF3 P7XTi6PduyyqTiPPOQfp9qyV0EFlrX05MZaUT83Qe0CNbjzT1cnikMyFOUOFyOeBFgv6zfX87yHT AwB54Fw/0+UwDCKF3wloLbu905K9kyNIM6hhUyZ6IKC9888Md/Ac1HSaQBhoExQF1LzKtnwcSfe8 lY9MGq/YZ9zA3GTvAfXZZIM2KefI9MGXUKcn5Vbl45zay9wzAJ0CDRAwc4sXibr5vFPPmkQTQO5I ywfdZONkdVWaIGt83plnCDfHr8YhmrOl+l3/zjvDCoJL4SC1gQG1ri+ahpR8MfndA+p32llzB7Ui JF55kHgIxneHFgkJHueA6l3gfeDgUJ2e+ar1IzjiZwRNeRpdZvY5NFhTHipDLBmeBPDzTjprEvP+ WkE8f7pfZO+cM288DwWJaACtfFnV3Z15KlEXmqAQ0sHaGUHkNmiCQO+EmDHR+Gf9VC5r4BpA1N50 lD1v2o0AY+8aTRDVnxvniYNlHCiEK2c98do74Yyn9Hf9tcj0zpP6d74ZVhDusTpSNfD0trjxfJtq 1xM83cEZASnvnW6G5Z94PFceRRxsBZ2D+LwZdCVJ6kA6wqiJlh101WvPKY0i6uedbMYCBGZm7HYH 5aznIlxVir29f965ZuxW9F8TRDCSX2PvVDNM0EY4TWYBJqj57Q7EXHPdsIlfpAl6D6dFgB0wtbyD TdCgeXxK+r7OP4NJLuENoAwuCnHcM4hCGO88M/a4N68xqj7mQt0xJRzneyCI+qzc8nnnmbHs4TOk YjZW7rqHUBROI6+GMIyr0VOhRtDyxpQMMwRXUIO+RPXpxFCIZAQbfI9B8bQt4hegIDzR92cssFC9 R+BmRY8+7E2j6nSSMF/xQUcQyNnLwD7z804www67wKBLnMLqsOl5j6UxqSibsfitHnS/hiGymqOw Q5mWbbyzy5r0tM71vjk9HPwSSz2fgzrrEjsrZTGWRnkF62sCwekJa6cQ/Tu3DBclwdKggvigCVqw +JNLSq+fd2pZewQLvOixXCmMExSF0kAAPTYhJ7QYfsunvo3yehKDaZXXd16Z9aFRk6ZKSL6uVHDx hYlbFkuxn6A307WoEV82sHNHvxJYAgG/88os42yVJrQ2qL9RsV9l14wv8T2UVqD5PUMDlip3CUWh NLVBoCTzYyphKTvIoz2W6IjqNEN/1aaRh1Hm8Rwz1Ctl8I8vuAyiy3DYg4OC2jSrMlBdpvmupfSd bcR3ShlSeu4ukh4wAP3PQwj2WkuKVuuEiu+UMos0nylaABf0m9O/M8owRT2T3+KlxZq86tFa8UU0 IQKpKQqL0+wAla5so99so6Bxn9LQPYbTbhCYT/+fDtr8vNX7TEvbzzud7B7TiR0guHGhQcEZOodc I/Z+nCvz804nY1N5foVC+HoaGe6aoSiYhl3MOeB3tsqZBMJZF2KLhShySF/ZDEXV6Vnqu5JqrQve Tqp6lNUz1Qqh3jTB3GwgludbF0Lt4vNOJWuSjaddSPHBKmdQm+VfeP7szzuTjO9YvmaoUiYy+0X2 TiTjVU/hkp2tBbSK6zoCYftj0vwTlmZEKcbRNE8gkO5suFCzEyg0qnwwp8+NPpcVK4EGZLk/MHuC Bj7vPDJCeEnZWMzLziAe2TkaBnRUGSLX+XmnkbVH2RnoUcws6pEdRuI2RyHkA/oEJyaVVBpEA6Sq nhGxMJUHWTqzCYQ5igLqqeWjlCw9KRmmF0IUu5jG3EIFC3C8ChYucB/p6s1Pf9e/9lmh/qUGAw2d K1ils7ORP+8kMhwF6zte5PXQbmHonUOGRTRRGAKtiwJzsxbjbM62iP7NZCHAZZATFBWoG11S96By GofbR0zorzZzVDlTQtRQAkYDpX2Ge1exmH3EdwqZY2LKpve1Bs3QOcEk3wCC6+edQMbS2W+0K7r9 +26z94gagE6g7EuVVcGil7rIv4OMOtnJ8rpGRP1OH7sRdVJhKM2vo3pAP72oyXHuaEh5s7iIk2vi mxFfU3LFZCG808eI6+YJhFqbDQYHPieCkrIT737e2WNNxKpvHgJ9e/udorBCDYrdzLd21q4KSBvL VUBOIGPAqnfyWJML37nGILJqg53VbB7SgRh6FCkT6MzKEOSTACFBNG55K8lNn3fy2EXbly4Po14t LRsD6gv4EdJ8n3fuGFsA310OSN01OidphqIKNeBx5xyybBVuHlc4VdCGxtpZssrHO3PMKncomo3s g/daF+im0pQ7x9NZT0kO2CgCbgQ3D+514xM/77yx5i5zIz+DLjP0A1T5OHfE55021h67VPVa4b9L iodNUAj4mOzWmzvh+SlduQbdcGolVrvu3zljX3krm/YcPLFvyDQK6RrAlG/ZGlHhvxYqnlzpD0YJ n3fGGD5DnOguCTAvgucBaWMdI7vw8X81o/EgndGl3sgPjYJqyH6sIY3LTktHr+BXYhJUO0suvfvO Fru1s9qpRsTBVhDE8ICHpWQTEFc4S/BfUpNn8srXX4ZnyeedLNYkgw8kFl2tOVhelqvEiNq5dj7v XLH/h6pi72le3FkLQ+oN1OumLQF+GkYeh4ca8VWFHmqukNZC9LTq0pt0Og5O+GmwUAMdFlsM1w/l eRfFkgB7qNRvbKqdJb1rgMxjA5EZjA0qDRUUmnjVQ/irRRE1hMa/oPdY0+yDa4LCiJqSjSVlKw1N E9U532aabnPRULXSKR2Vp89/4uGThw9O3EAPsfREgWuQAQS+n+xPcdVn1KfN1FqndBRRL3J7BxAj Nhgi5sQlRdd3GXg86NbfJSQTdYACpy+hHkXUXQTfKZTiKq7cmKGQlry6OBwg3N8japTuUPCpoFja 4PVpOJnQBl2QIbSNqZxGJy4cqfO6y7Hgi8+IGq2Cv1Khl4OW0PkNbPOjiFXxeMDe2N97jNZR6HjZ BEXhNJrcZ6VV32OEA1r5dTptjF5AmqAI8DGYctTNKmzdV9j6LJgJc1C6yUCyd9Q6JXlJP3EYpdzE flK6okf16UqhgYzGgg2cILgQ1y432ZNx9CicTmV9raAByZG+7gSF4TSKQ5OtBWpYqVJteI/pkRBK SDyle1SfpqtXSugW2uB7LKGrkcQQTwMK7HRsxvmj4B3IWyf1FnvZP1BnBfB0Mp/phc1okTYp5Hyf OwDPB6Ch6RkHHwOBqJfbae0h97CygFYtsy+rDwPmnf/mwDzoCAvx0aOAeorIcebHh3sOneA5l0QS EMtPoNeBRT/QQsz4JusjyGAvG6wiwl9HHXewYGgUUYLKLBOPB1O0v4kuKBpWue7xQyP+IVifwBda ibGk5WoMWLNaRSfY2D5DMeKDR3RRtFhutFgqPAjLpGED6iAo0FKBeDOtZlPkQhdZYexRkZqNxAqZ IQ4gj3AN9fMlMDIC+gaPB1fZ7bSqkDSAM/eEo/8ZTjem4SwOSfmEueQ2rBUEmShX0fl7orQ+sebB oJGDA6hxoUMBAOfQCWcA5f2h7ccZcJFSltzOIaxkfEaQ1hepwjyD+mSY+CHPMxzUUTgNt9Ovg5od 8OZ3fQ+L1IAubnK0/4cOYEAFMWmtC79QGgxrWtLaw3CaAqgLiEkbbvVswPeRuLMBADBOyLPHkNFP GvfBuPaKV069bFQbkk/qM1jS2uZifRC+O3g8YnJ4woFFRIkwSkJqht7jabSBiF0E0sWc4zVXRGQi BNrk2kEYmCd1FE9vilRsFLZssFMIXdU2tMcagIuJ/wQV1J8BVjMSEOPapWrvGl1lRDMUbjUOAsSc eAvlJlxleeLxIKtv35AhMqnTRZj3CD+NeGlRzkKWlmUWU/PqotLD0nJuynh0/p4/6x5bdY/Na6Fy CU0IYiepeKAcixpOR/aadExT99dPIcTW+JAoGiKAWu7NrV2DPUQUbN2f7bzx+L+gi+RxMBwb+57T YUQN9byhHjR+GsQM0bZ5LVPPOwkia9QIh6IaNcGaKYERbYO3ghq09Lpu+3NV1U0xwoKkEWYykhux 8iJZfviQIGuVKtzgTHHQFAHwJ8rcwi4LSYntu5uYE8Oq4Xn9iELqqeqZ8cgW+6ruhWKWVlDWXcYo G1GRegpW1Zh7lHYPItBUzzauFF9C2pGTVGNUQAdFr43HVSfrXaNWEEPFKuxine6EggZKVrMsZzwe hNTjO69H9aoyptIERSE1ikdn5Qy/ylRFUzRkxHr8UcXaieNfFerefPBoCDXYsyckljMb3UJQxcfR DiBCxuXgIl7Ax+FD/mKMU9gPA+ye1QqCHCZ1YkfDFMU16u9WEJRhe74w8xGCqBFUn8i6WW1oW3cj j+qauoX1RZuiqEad2QpqnZl96yzVDGQd6RzKbVTi8At0uBHz4LDCBV9BSngQwniZgc8I0J1UqUYD V4Pp5a11vlmCrMAyxONRn+MCz1SA7dAS8TbHCFEfwA315rT6Xt3BEtUzhwjP6eaMIwqpl0guYAdy SDZD5RziAzVrtjlOtghNDPo1MLOm5uK6tz2WBT7jL+pvoo2qBkXU0AtnXgWwDB6PzqHvXhlS3Qp4 n01QFFF3OrWx8Ikl1LaXF1EUdKYC1Um0hKKIenJhoN/gg+0ysOHYKFH9dbKk0vcALqRS3gc2B04o w3GID/nrHGqtqBadzFABSXbODDBO8J7x/L+aZXw5rNt8qQojiqmxN1ef1+k7X9v4kprpCp9QOBtf akQx9dIttlXZ2N6kgaEuPHpWp79nBRWQrTKo3Z/NBbbLFRPMm/T6EZWopxxiYXdlg07qju6rChrn LhsRhvr3MUT303TRiyPEUIPt0oYJ5C/TWJxyOUxWw28A+2gNRRhqMFexeIDX1OBX2bmqWpIILBKO Ki7HIjIKx1sla1OdMsI7RyjtQWfvubnJOFiTYya1W9uJx/B4EA9dfQYx7lDDv9oeI8R9QPqkyCse aWu9IoK9jYv7WG7DNKKQejJiRLFMA/EYRuVo89xb5qBX6DcJHLUMNeHVni/GHN8xPuOvaKiozMhB E3Q+rxvGfG08/s9GGVfbYmPKJygOqTvt4KzyASKzBUNEHTirdfgKCkNq01uS4lt/FN/Ozp84pkko qzi2ixwFKvU6oaGdrj7u1hIKQ+rGhBV1WxSJgEnm0ZGhsk+yyziHJ54PMvtfBzW6XHU+UxSG1LDM mdU1zeaubhHfNlWX0W0tqE7pFAqL1KTzri5sWX/8qjs4nyBZgsqxB7Iy9qM7GdLYe7ROVhl/Sz8n ZCVWdluzRN+y2wmcEI5K7iTdFTwezNDIX+c0waWo9GmGZlikhvwSGhF2TqdrD18p2aJFhF4oZ2iG oniCwGaeRiXf8llhZl8VDZ0f2q6UYwapg03lHzI5DH5G744Zoj5k6L2fQTlHo7wlo+TW8Ph/6XOs zjDVax8ziqjB3F6Q5tYSynKqBmzO9KmQuO5EzEfn74mwVSw9n+TdB0cwnoxjs/qEM3rB3yIRoEc9 T+S5j68g7yZ8xl95axVGuF6MMCZ2sOdxJqjg8X8dQ1xB8KQd82owRfE0euVnpQ87hkBc9pysXIHO TjMJHEMzJCVK3qOIE0TqjN30UMUH3YhpK4JE6ptRlRP+xbgyH2Ir9QdmWKTudPKW6xcH7bHzY2Yj 50RXFY//l4OaOmsMVTVDUTzNZmvPhp2Gsrjj8FFr9DJ+aUYGmmGJmpTfjHquDbaE4NW2d+/Sxxtp Qz0ErTLE02CG1nolgxexZzOGfLBsBpSkDZqghGYx+xznzsfj/2JyMHZGO6lfoZgZxdOVvi99WFq/ 50N1qd35ZCXjW+UhFErksb2Ts4Qs8nUSzPXsowUwPvfYOW0q2VIdzksNYlikPTkQHzcqPiSSsKAO yhCwajzAKsij09is9IrHg17ir3AabJNGgrZmKCxRk9+e5nS21O5PMLQc8QGbQc1QhPhQvx60DR9s k51vuvQ1to7pfkKhTBkttCV6r/jMfoMhWi3OKJxWd6NtrqR2pVaYCBIOCHooHo+qZ984ajRU2ro6 eTNCfADQCadoLZyz27rrMyS+rmr45O1ygqIS9SJaiNhEGxw0dNbPgi2r8o0JvCr2GETPamVo/TCj t71rsII4QbmS8pJBQct2TDdxNnvGPRZLfHzfYzAtqmXdPRaF06hIr77MtwQXffGELM8r8YFmrA6h UCxPgAYpmOZHwTSXngBRVb6BzQZjCUjgnzi+6Wt8TLpI+51RhRoNGJw+lB/goIQMiCSR69fm4/8C Val0hij+CljMCPGh2iIiRoPEOM4c95gdQgVy1zZBUTgNBRwgGeodPFY8t+lGDZ+InjMSrLM7pPIa aaZUz7YKNXHmMwqnG0Vza+cS4qCEozSKQSbqA+Lx/wIayouoqruEQkYiCtS5DmHwFsxmL1dhmR9e ofWF9lhUoB4MEmtjwlHbk3Cg37OnOStuSEGQXb4gSlzRxkde7wjhZe/6VyhEY1UbrNPaZcJ2UojN V4yC6ZtuEMTHlVj8FFpRNM2bHi5svobcHYBcS1tDtSVbQyuCfIySpTBUfPCLDOToWSUTDOUteQqC Pguxn3OSzP3zS4R7hZAPQw2N4oOW0Llx6W70087KwuMRJfGb1ApAy1mT3uNYUTSNmh/yJ69Pu2E3 sLot2TE90WHXBIXRdBdzvFcfbJOdLQBBXJYWy4na+6KcVx9Ej1FtaF/QEFY6PiPCB9MFF4kugXlo knC3AHvKijiQ2Xg+2mQOaFDyhhzw1hZXFE53ynBfdzeZHxmrddQbCyWfoRjzgRlifIKB4XTjJkMR ZCIBUeFsgB7eQYIpQDCjOXd9AomNxmcE5WlGiXUTJsxBqKHRNmEYiKYHHv8vAhZ1ELV0l1AUTcPy A0QFA8XYnW/YzisTk504vkIENeHBGWQfG/wiywg1N/cY+PC0NYXcGSIgink9lDJGqviMiHNHE9z+ DHZMw/NUrdZd8XiUsX6jg/EqPyRp2wyFgtNoApVSTIqp0IqKal5NgA/MUKKsLc7pFXMShypC1QfP yKAFg++WS6hB65QpKzE76D7PcqWYNrv1KwJ86AYrUg0urhpcED3IkLCdtB2PR7HQ9xLihZpvG3FF 0fT5Wyj/b7GQ/UQjgLqmc11qs2BxhQofkgrM9LzjYBNUy1mf0LundCc8/GTmhpIdl2aGOqXbTBJ5 tiLEhyAxCQe7DUZT2CdqZ8o6+sDjEWboW6xqIrJq426yEEANcdPZLMvYKT3lewIGOEPIFGyGwnBa S2hL/nVf+VcEvy0LiLdb7/Lf6HArOxOFGDMjh7W60B5ZLxvMEMGLJ2mRP3ByNlCB6BwlulJqeDxS q/pGfCBnbuOyFFYUT+O4WkNxDxTMRZImXwruo0bk2M0KZytEUE9VX+Um0R83CeCnx06tSM7rzJZA Q4hLO0MoQvOE+JhD7xoFQ2y0gk5og+pCYHYpZU2Nr/i+yeAq8t1nrdCw8MLZChHUk2KUyezKa5km ozOQN3j9fk6Dv66/ytPgV4kSVJ54GpfkCdeF+DjfNXQxIBLTm8JLejNYoxWNAr1sAKHm1JAnYIPV XrEWWfboq+LxqFl/c1Y5mlI4w0ExKyxPL7ASZ7WrbMzp+q+PmRQ6ET5FoWYeXe+JtrfBz6F20o5z vrB0hgLRpD5+BmpnNMouznbDoTH0sn/SpVJfPugcqrCIE08h8/FoEfld1pSe/IxyFXJ3FFAjDVpQ sLUZStd3s08DNALX20y5c0fl6aGy65TW8nQPwHOVnfMMrqPkbSIJgosNXG0AjQHbjnItZk7K8vSO AuqmFseWecI28wSoqZ2VqqNl8PGI6fJN/S3EmWTPWvd7QI2n0NcoXjprrXjWOpobBMAU2vRxd6hC nUTPMH2KdpfQylBOnbQo72BXCniWqegN7uy59tq9yqiBv0MQtfia1/3H4hpmemq2n/QajwcHdbmy guodnSkqV0hnx6TEjInxg2joVlNS5m5kpeIc0RTFETV70F3ScD19hUPQEEGJAeHQRKMsKec4mQNk c8eaFxKDOBOfEcwQiePEq9mgtBVmZFW6FH3j8f/CSaTKyLqszR1yEiF41uklx/o0ocicoDn3ungP R1XtGO8hmHlrPnhEfU4zJOFMOSDvNNkDaoioO4TUMhXprQnEu2xHIfVWB5GcFw1+DAE19EMrP75j JLzoM7Qsba31WUIhhBpiVV3CiyyjNVcyz+Uh2BNlyBkKAR8Sq8r9DhfwUfIiFwXN+gkPehLuUF+r yHD4plY8w77GZwQ5hzSGEhmJHGwJ4dzjvjnRBB6P0Ay3Wc8zZ8AY8XaBdiiat6g1NG0NjZGv0Eff ZrF5ssvtmyykJGYTY9o+eDMaNe9eSY0+CXhbAp5N6ABCZhCNjovCx32EzwjCoaqSx76DZggsYOnj niAQj0daQ9+taJzRpIDYBEV4D5TlVi/FPZKodcAJSnW4XcD5A32ThZp5pNolSTVw8Hjx7K/z12Rp 5oFBz/Li+RfQEjwTiU3nneje9a5B/ZXx4hhiTTkXAvIqSRuOfcQdFqjbr3gR0MmvmywMqMtivCiA GezBirGBTrRrbgEFnQkx7naM96CO1yCqgYN1os/txKJZl4Ed9LC6UGdn4UBdDUQbMwKiDDA+IwgX s5CL6w7K6+dJHNiJrvCx3bEE9fcEFfAbn4B6hwVqABfxAZqgQWdVbTEtqyK8R7YJigNqaelIKmY/ UjEIo08qxnusYE3vZV5ToCsBb5yuBTn5R/iMICXT6ZNX8cEQQ2f6h6RNFx8PCh/JtxjOGzAUensm KAqnUeVdMhuiFlM1qi94HDnZGdSoIc8tFqE9oJuG0n0fPnifFZXWjjIMsQzn7O/ssyJ2qKgc4ID1 UIgtjh1qfAjE0Hjfc1CLA+1uGdm30vB4tIJuwqHy64ZZ+ePqEsXTDd7jMCixLhCFsZS1qrm4qMeU rDSkTsp79WyJwzF84EfOjRZNBqmMvjdnmgqzMhRpIYQC/YF9Nc82FZnwKQFvU5A8iLRjQBKtP/ek pIpRT0g6+QuCs7r6PNF0oiuDvtMUMhOhe9aS4TtQMx3GVoBvjZWp4U3k0/SXdB5dk+7gzaDzzptt E+gIQ1CnkvGC7sqkp1Iql7w55TuRorhaukxAUvnATynw6GYy2EZrfD5IPbpDh+iAh0oTzEF8lt4D a7DsZWRvrgFr3CLRcsdfWChlq8TmFEKpCVcAr8WHO0vn2sepzyoR/KbodFJIDAPNPuMuv5aa2V43 gjawnj9FtEdMzsweRaJFyhcIY4u/IAiNbkFf91qSTKZPU1SwBtlk0+wc62ZDR8nKsXvZ3LEn1H2a Qn9EoaQHZd05eNMDfaBmew6KcWiznMUEPQkK+eT97LlVtr3uXxXZBJUFG7zeOORgiz4Tn/9n6+z8 452BtLmKDaqRRoEfMs3nZMp2MoH9Z7NEwTvbclHVehCJlkptPtgswSyobToQ7Nbot9CVyaJgjfQP 297qRSTO81OC1gf7i2RV2qBkH3g3QloBv+LzEaW8ft1wEPY7l8dtMOYUlq6R7wtJSB4VDzieTCcy W4KjEWohkhB+UXQysYPW8vDhMs1Ojnm+sSLUJ1r5RZzgjcV0vlbyuy1ZYxiAT4kgewSfT15zbd6i UR9VsvO1p83n36ep/kpoEeL3x2ohp7B8PagcOQ2019P2a+5cF81mCcRVgfbIMAxCgSIzoO6Dl0US DN22tlyBWF+WDjwUkGhmSMNWnUx0JOOnRFpN9Nam8qAG23JtSu4C/5HPB4WR/0OEIdL/OnakUASk Y24oFwZa5wnMmmE/AZhCkIRZQjJlWy4KuQcbIAsEBhtuH4Qg68ziEWgMUkVLqJVMZESYGQuYuFP5 IRFtcVKnqTUNQs2cW7jMwkoShDL5fCSt5wVI8RYrkF/PuRRG3URZl+4t/Vndn7T0uq3ABo6PG+FF SiAQRABvmqQYDp64FaRxiy5mFXjuwTCFjhSNLab8iOvJFzmFStWE6FMn3QZV2MbZEYy7z1k8+Hyw 32r6Opags3VitnwnKZbXQxutT6/1C5TelRFZ5A3Bn+yTFEJDssy3FBDolZX+I6PtFgksQNQG67Qs R7NDiXObat4lV3/boISElYSYu/ugSSpnzkWAHQwqQ/+X9qtvjXCJSbYmKbRUnJSzXdb7QMJ+pT5X 8zJby92tzAJPRYAfWBxRFak8VSQyVtLJlJThAkbJ2Pssc/MQ2tOBsiXRRDoHnopyySb6oftg9MVE +AlqAPDYDk0Vn74jYXyQacuPZWDoqoh78YQBzSttbSaHgha3A4bGg1viBbaKgmmigjSbD5ok4KsB 2AY671zRuOhljgv76ppo+FYeIF+ytw16IpkhpfgxKRuoOy8cCIRhtXMY8vkg8P6lTcSVlK/kRY6N Fc8vRKZoyjKzTVWVTq7aWKMs5OPzOO/6RX+B+aDr6IOTh3I+U1ZktnS22llyqpWgn4m+NqJEJw+V Zm8bNY6k3yRBwtWNxHgyHlAueXDXweeDlfSr/Vgom3ILkjkwVzyTlNrVAING8xzJJqnI/aRwJbXt kxSG3UTStErTHA5eUDop/xqScDrzdUJIVi1PNIO66bZJMo0rtrBz4K6I7SbLSk4SB0Fp6tnOJKSd g6Py+SgE+EUhatyfN1AK7RUXTAYqw00pWlojCe/L/homCaGSb7e4si1fsz19cEwf5J1P+tHEqD7J ChUbByTaKvTyct+XRNTNxTSUB2FhsiqTq5PCdomUudFlK5ng0hk6LJZf3m+VaOevpRSpWItpxTIf 99ts3fdbrS4GVrhOtZRiSxiTBik++MkNP/EMTO6ZowEbNaSnsFiEJCHppo9Pp2KA0GPR9ltmH4CD ltL5K4zjcFJpPh9Jxf8Ch6Lut7+ut5DQmMi1ylIqOGHjqB5zq10CCQx8x5bmhi6LqluvzoY/B89M 6q57C0CLW+1cKgg4E1hd/CIKKpXerJ3LXjeKlORLRTEMDpbA9U63UVwDm89Hct/fOH7QBb/kvnPg tIiaCRVSk/OtqPpvBH1L6uhnOnyWImcYs1rcXCccPOZeyJO1tk8WgOWE6LxC+qWzD45JcgmDam8b MfSp9F3IHeagRgCckCT4Pe0lIzGVb+Zn2zQCeSYpjLmhGp+gByHqJ2H4RImual3KAhXS5JP0D+yI SHnbOz2EiZ4lUoRga1AN6FmJyaaz+0agc1VSd5r2ukEtgD5erXDDteIbbvcTaYi9OVfm85E/zHeo VJBdz/6cSqHjYoL/ydzNsLTlUQerw4lXZeS7lEL4yBBtpnYfPDPJMAU2kBZgzYUiWY3EJ7BAyVyx nttK9raR9JUCSYVK44ZKBcVc9m3PZ/H5IDPZ9+hmsgczH+hX2iyFtos0kWATjBvu5CjJ60rjVt8S 2IhKckPfRenvpSIeX/kqUp7NhsR2qK6U2A6Afjx2KNB7/SHwCcmWQ+NFzCLYXCRApLUcynbCSRUf wEDh85EQ3y9zU5Q6UY7ySQqdYlIhMEJV7gXlaWeBktElmwbiCTRJIS57GJp2++D5W+9d0Riibgg3 i4GFsmhvxMfepbRkRB16LwqYzaK8Dfryofg2tZTK5PNBhbJ+R91FNZobK4Xui0AGgfRgR3euc9vR nfpSpQluMS4ckgP7RfkgUN2p+eBAkg0c5KRXA4wkcyPAFIaJ0HciBLg45i/XZK/7l8xTn+zEdUjO i6BIlC2PXng98RdEuEhPc7u2HNgI/brq5MCE8dxxgLW1OXRoL3TVHTyqGuUkQnt5XyBwYUTcpTLA uoOvJnANciapGGDzcxkANkqBrw1kEqVnPNMlXS2HNoymWAhhYA7JGA0nha6seMBOis9H5YBvqfTM EOQ6o+TQiBH+RCeJc9vuxcKBQu9U3ABtQp5AoXfgxCg4Axq5OsWJt9ZyOhvtfGcI3qmgWpeSuAmm aUXXm6veGt4Kl2InRoJr0ULnnoOmOMkQc6EiwOZJg/174MWoGuczS41F3PWcTFG9G91a0CEueKs6 RJL2tmYgA3KNzVIoJGKMkb19uMzHc+m0TCER9Jco4g2VAzq4gFHark+c5B1z6MaIM6fJG9IGXXJ9 F2FvTuTd+Px/4WUBO9tGeU6mUJ8PCcJJfa5jZS4O4CJqjEWT0dLwSQoF+njHwfLKhwvXPu+fMIeQ c26tw4MMTShab0DOkaluV1N32ttG4AmsoQFUsQ02SSdNED/0xPx8Poq8fx3fYMKV+UxSSIAsMBxk zsVU93Fq6q0/+JLpqW7gyfgkcRQF53AhSicmKmzkoUV5Tm/qYA6occD6AnHID1XOgPaa9rbBJLGl +/8okGdJrMUK4zljJp8PAoH5DWqH2MW5RK4PfOjKCKwPkhKbpLGWF03qcsOvEy25I1oObBld7oDK OzZ4pjtgQgQsEjLdE1tKeHUCH0yJGvqeWky5l71txIIkB1vixRyU6SId0p+4eXKHMJP/I9S32Oh6 ZimKvKGsvxZN6lgP2OZZnefoLlxcW1v3UIrNzglqTwRTcPCllCZUvxQJVEhBKYmTihNQvAWd56nG d+32un+B2wttCzUIjHPyHHoI/MDqmc9Hpdxvg09ASBowtDZLoT8j7Qfp163Oye7Xx2EPF+srODw0 S38YNIpKO+5gswSWOiz1mvSdT7ir0kEFfSODwDUfyjHB2zl0aFTVhIIbNtgkZca9Xu8OLBqR33hD l6ylToOre7+FHo1AoyzS+YR6o/GzF+CcU5ukgd31i9433FIUIPQbhwsthYEKIH2AlkLbWxuO/Ulw D2a7fL81ur1tgA2gVN9A+dUGKy2dGZecXMOGC20aH3ApKyzbSoA+SSGCOyNAoq0oQ6V1qdljzeI8 CQQltpJiCDej3sku05rXqBFIU2iKgh+CnKTRgBCopQmEJ1FL109X1U1+yl96/ElCERwsP8mwn+cW SoPPB/WAX1Z7uCBHuVpHOTRr7NSglbgImKNpeqdy7etbXWhnyrA7dmsUp3j25IMjKMpJSJZkNHpG hL+M1sZgZeAIvbGSNb0Dv0bnHydY/dgg0FKC9ytnqXItRWF32d/GzI285+uJmgPDRkT7LFM20+6D HJ1XTVpZfipB2sdmKRQbYR17Nx45HHwtEQUKDhHO7pMbFsqsZoKW2Che/ZE8ysteNyhTTvNvqj4o WGqpJ+FMTqbF54Mr7nGOxYOQI2itPudSFHejhr6gU6TiUspepzyLuxm265y9yau5oXPjEF1CMuAp PR4zGTil1iio0QlLojFhHudbmLAcywi+vZqbur1udMXxYGIHTYO2XCJ/l2cN6iaBeSNu9P61mOhu OS6mO4fujQMGsqNl9ShBB1y2mArLNdKjTdtIgDmwb4SDHwuVnTTR/ZWdZLiwoOHNusk+m5qyUAW9 9gHdHGofWbdydXvbPwntmaBKDgYOQK+Ha6nzXApx3Rf4js0F/BnL+T5JUeCd2K3s2UvejBi0lLJV BjBJ5S6lOPDGAtnyAeHgYJxxDpCEEIk0N0zZpnx4hisYFKLW8roJC7v6lGDHqePNG1yDnUsDqtWY pbN/+fy/dpzC9AJ0QLmzFNe80aRUzxY/bfqCZJoDAE/P6hLSU19KUeQNtA0KlGX4cMsB5+ZfkvPr 1M8UGRCU4okUiG59XqjszV73T8XDMu+gtTTB/GAEdBIVPh9xudbXWjohFnrl/QkFYld0CiElk6Kv ZcyLf1O8jYYl1LZtmsLQm5fcHHTd4SAA85miDClFKkgMCY2gkzuQ4UK766eMS3jLa/vrBlCTLpg3 iQIc1GUa4EyKE4g0LvByRD11fwVMjPnXVdnIoZkjrGNPvtbc2JoeLnIlztfYujXnK+XAzfH8InK5 wPD3wXrfOOvOF5ZYEDhXJ2cQrC8ka5NhomMp8yDBPQdujoKKgCWQxBlIVoBDHT33ByAQ2Dn+3zQO t20BLNInKS56g9S1zTANsDXvffPvMvsZRFU2SRHIexkeZyQf7Io7cQCgXfKfGSfOrlOaUZvylSgI FE/ihsKlwM8xWxKXkBLaoIIArI8kzFaHng9uuAtaEkEFLMtHZFRWbO/ABFApSIIW1iQ7qaIt4+oU UOi8TNmi2HtkQQMMKJBvrgsIE9iHYlVM3HasLSUcBwAePYCckpO/bdRl4hraxAmgrPfDXYbEplML HZ+9+AsiTZLvYm4DFjqPZy2FktkIl0yUhATB7hlKycn12VAq9Vn6W5UEZkU+OI6iwFO2UwXxZCtQ GWriFABmiopevxp/U9FS4OsoSdwTJqmmy0FBJQwCZCKSAVsKjR11XlwYBeoKOJF9kkLZbCyl5LQl uGxe8Z91Jymh/qNA4A9nR3n1CCw4njTuhBoTNQUKi8N6a7Ok09Dsxx774Yowmb+27W2DOICHGIwi fVBBoNRkR3cDDj60dpz9V5mSLs792W8xkVJmNBZSUnXKSRXpCSmbX3CBuSOaJ6ZKkn3waGmdeWn8 DWALoP6Nf0lD2VGkQ/YFNtn2uhGPiajcVosPigOQ7lQl+any+aCYm77rlMyp8pOeBAaPFnjPbnoJ wOY49eT8Rfnpfd9Z+gedUmd3es7uNABSh5oUAd4AIhKPkiDOzT4T9UcvQSfZ60YK4wom2/LBoiUY xSjuqHo+qub+kvyDTQcOljtNUejNO2Zm88Fagya3yk/kutIpUtKX77gIbVLl6rxVXdoPcAn1UgjB cMedpdUbCycDnbOG6w21ml+CZDkwepQVYKsN9QUbdMU1tnYVnW0+HzUGvskCqCU3UJQ0SZ/XWKkj Xt7cZlnpCartd8MVt13J8FHnHL1GSh2uKyIuzztYpJToZrQHywEQGCpLZDAcrwtNUKr+GYUJkdJr nNSBppliw2UfLAToxSAU50r+vEZJXWwtzM65eaqqK5D37fwv51uJZodFXLWWKHND9T8SBsdKhuri RasVFMxOp9W1AyTbc62dX4PivzTGcf0jHIIoIrvXAMYggzGnA0O/v05PoiYc4Jks5NZcXWxrnNxG xk8FFdzX6UGcM216eOYgMNusAGB2XoOjDjm7DUh38cM67XujsTpDnmCdzYpJr6FR9wCyLnZwOdja AXT7BL+E4Z5fkyH4Jx+ECScPytleVVYCul8Do44TBLMCdJgPmhxQDpPgbrt9XqMiTO32yWFOizSE NpycnNeYqCuUgg2sVW173Q7lhpCqx9fJSQGvEdH5NdLTLFSM0XAjopTG7uJNQER3ElIygRNAQnJu kzs5dKb9vMZDHfEMu9pDuqxgYhLbAHvsKR12BHSf13CIf2j5mh00JIv8DTA7r8EQHpqQikzmCXrm yVoi6LR1D4YAnTT/1GhjbRljtDs4lgRS4mUR5n5CgfMyEvRtuPCYY/FrnOLhMo19jYRw7rCrDaKN D3bHzyR0aTsH0Oc1DuLTvnY4QbwDF5lumJ7XMAjbceG0oce9itndF49CMdFutmewr0FQdzEASAj4 4OfOOWxKh4gLWB8nQx0s0jZgEvoCFpz+3wJH0BL58xoCdXReWAOp5JVy0PQk0J1Z7jn/+fMaAHX5 V9qxzJmEQiW72bRTeJ0dc5HvrZraRtvNFMURCHVv+NdrQBPNDldNVsWIg80Ogp1Gm2RUHTsUQQvR WgloNnyhCYJzlrnK1iDaW4SQEvFqg+H+Tsw/RZHI4/Ma+ODYWt+zA1g7pSw0O69RD07lik7azJaM kRhvgj/zKo9l4rOwdl5jHp7KlAFIUoT2LIlp/WhlDqZiHdVfVW9JTh5AkOb82KNPSiK9hjxdpQto kBDQzsHL+4PEKfQ18uc14OEb/podgI7YWuDsvBYasbOA2xzd1eiHBEZ58KRiNKRzJQCURdGx4FhO kvQrEvspj9gPytcZxAV6OZ5IEyklWmlnNU6sTlYLHcTWKMsWzQ4Aw+caRNyjQaXY898HT7p68sXP a4mxq8Kn2WENqQq6arPzWl/Ecj1fMyqAtrP6cJTI3IR8qtXILUANiehYnjyPF/V+OHix42zds2gI 9Ie7GQxQmHwBMQk/PgKrHblG36LX2iK+gW3SmdkHXT/5hB6EyrEi9FpZZGDXbHbMwWeczCv71non seE2Pu8JNTAjjIxRr86PYH4AiGRAho3pFwaESiio0sLB20LI21cSmvacNX1KtAHEzkGkNIl5pk/b RPmP1o98dqVCMlyFpAC/LlvEdrbc553Chu01fXvxlkJxnOm+ZiiKmE+MhtrG0ukDQYztYKxyw548 yqXUhDNklvHDBwsK24nBgcLC8TNAG8D9fJbQRuMMrdjSCPATYs0YNeHpLCok+2YicPLuwtLkgddy 5ePB8bz3V+QDmkdnzVcz9B41qyiOMnvxdn7z0GfK7gEnUIcShsFnghnaTRXFdQdbQ+XE5efyTUn6 tB39MkaGNByEJDiQB5ZTKHWP4ubOuIWKbjZogs6hX3QENTCO3gPnhwBhJzSArP2e0O/UtQ4hG8rX TGOKzj3cMKQPY7GheDa9fB+Fzl3GcshKbPDiBtgcS8jQDlQjwK+437FTwCvIVLfwGyzpZYO0iyXX ukiC4KCstNcidSrotnzeiWs8h3yTEWOMApAwxpyh9+hZxI6FWs+t3fsMtc40o1KfNt/afTBDk23p PqgjzsE2WaVwSUmSG5vZ9KQg1EIWI41vheXLXfIs7+Fz4knbCAzEwNDA1hBgarS+Oqvp805awx7N 37d8Rjm68QzUFEURNCyooIFgi6iSXy/91ZFds46se03RewjtWvTAgPpgUwTKIx4oSk5BvSP6Cqu3 grO+SrnFVtryvFPWeGZily2qyGtQnQuRKFuLIB993hlr2C9p/5ohtD5L9yD6nbHG86My/tleHSvX TTZl8wwB0dyk1t8Ja90N1EAs9sFmaDBD1TmErgagaSzG0saRkof3HLKDOgqjG6samVphGrxk33uV Tnben3e2Wn8k2aoEalHsaARbaoaiSHoR6EjQkkRZvMwKRPYlz3R3Lnonq9kZAWqR7rJ877K0oB1e sDrODMETFCIXcEbHZtkUqO0uflAIaPq8k9W6S7IwF7JBB9HUPsbn9fl556rxW/Qp0qmDxTxurvHO VeNl1s3vW8YzslJbLMtlN1Bb+FeqREfx9GAuRlM7G3ybEWCF8xgofojhkdkOSU2R+ulNbK4h6mm8 x9OuE9UnA0cOKgI1uJUKAALRmiigXvvXNqNbe7sTFEXUBD5Xik6zRjaerk8190v47U6nqYUhNeGf RDHb4Lts9HNiJFbqKykTQvnBUBgVEAgI387YnHrXvyZoTRaiOXi9fcrbqRLVEMXU+VcuD1R+6Tt7 DTEKqaH5ulo2sbrzU7Ei/VjeKyuQLTS6zDtBrcsCiZHiHe4EnZ2VO9kysD8ZqCoB9EB7MBws6fFP o+33Oz8Nq31YY7X5YPkqlKVZRpwlf97paTwHhk+QeFoNAm7VZygKqfPCQZ1MMRN7zA/qPNe1fICa i2boPaTO1OuH9grRDBzsGAJTcwI2xIw+QbWOcGuEFbSuhTDfPYby0MsGd5nU6DN5Vym7XADa2gSH wwlkft7JaV3OPk88BPEdMDB9hqJCNM2LJtlvLJf1enWy2UNWowfRjmboPaRWCwaxtHQhUnn0jk7+ u1qR3lGmQi0ixorLB24QqOg8/lf0fHhnpjEgYgzVpErfpkMZzoYx/ZWTBH7eeWl4x1W+dhkqrYU4 Cs1QFFOjcAwra/Pb7XU6WzbtZw0R+Ydz+p2TxlBF+ZhqZvvWzEAzO4eExFcGbN4l48POEdzXz1/1 +H5LWOSdksbUHg36uff0wTphfYlTeRYWH48K0uMrLZvgDMx7UL8T0nC8Q1qs8uuQvdNy0NCUtSwS 14ImPgPGdz4azyGzBus+eK9wwMi0EK4Pzg2Ye7jsqRCJ8ydTAU27rFA/852Pdmfo/K7hg3ZZhU0h mxTnzPu8s9Fw267vGYKFXuu36vpORuNJnaHX3y3rMN0VitOw88287GQOZnX5zkXr7vkAqLMPXjvr dYOFzqo0PFA28zIg7H4G/C0yb30r2uOe+Lxz0Ri384xOBOrlmx1nOPVIem3P9Xmnoll972uXQdiU BUbN0HtIjXMI5aFufmlLljecod1u2f4EA1YeeieiMWhgTl+k5VuefnPFEqQWHULqjpCI5xBS6wnC WqafrLfEll72/RxavMz4fdlgayippUfQ5Oedh8bGcf2aITCamkRqOUNRSG0z1FxsfTSfoTofr0uQ bLSG3kNqRLtUpWnrDp65Um2l04cP0iFg4jLpQJ0Q7OR5mQwZuCF+RjRBUyc0uZ+4rc3vHTdmlwXq 3p93ElqXbbLNUPqRX2od/R7VYYV6U73PfebK6i7Yb9hhVtBQVtYUvYfUKB8zlgby2AZNUUXddfTO bdZZriyaItkWzZ+ZLo1hEC78TkFjAcH4QsUHBUSAvXCGSEF7Z6CxC/h929NrAg0hm6AopEbnDrBw 6x3OeUWyCjNDFdCANtUuew+psxVhuxKKfokq6ywagKnakhJ0r9QSh9z4MM1TQM0tYiQn4fNOPuMa Iui81zvoKoPaAKvUDR5h79wzohby1wQhV2+yfGDnOQqp8e+Q2dtlX+Uiz3BoZaeeJVj2cAW9M894 UBP3WnP2wVZQrScf6HBLg2g22FX0jt8oLLI4M/PV60d0xM+IOvOCLdCHT6ue2dXI1KhFNDTy5513 1h8tcVtBrODfLfZOO+OyXWwCWW9+iJCn9qr1PrAvqk9QWKTmTTYaVdY4aIJaQu+Ttw5N32efXGus emTwJaHFzBYrSABF7xpMEG8wsDp8sJzjfH/EwNR+ArZ3zlmXLtF3uMg82kuw75Qz1lso1jcMQd3y uuBg6dIQQU1GBHh574wzLH/yYKnFZYOtoJPaFdY8eEyfez2rZQSUYqF7e3uOaYQ3n3e+mdXBT7oK rRkblLWeS1S6Rify7J93ull/9J58goBIGn6PvbPNMEEy3LXz+MTTZlhEz+YrryZJkc7fE7U5WIFt pC/2dkkd5zre9Zxs8jNosP/MKmNjiVPVBV+5nUHUwninmjHhYLA4WTwrEEIi7wngRiKXwV7L5fNO NWPh41cVv9gL2AxF8TSWOvRmjEu9yhXFXHQp5wyN5dpq70SzLsnfMzWbFz4H22PnYj93DEOFEy8v sP0Rcp9jb/5QKXl52QO8fr7pnwlZn9UHHUGQGNxSS53z884x6w+r09JppFDthorvDDOLviDMc5Ud upOo654OLGcNTdMT1adPMELUFNMNDnaJ9XNEDBa2xDQ/szNcuqeA1DEfH8dOIfp3elmXbXaDdUDz wSYIyCKGMaPXzzu7rD+KBYbxoLDExZa9k8vsdgbIY9+M9drt8q8UyCO7vtM7t4z5GGemCl1WL7oM /qcnCsKvpbxThrghHUMAZ0D2CnjYJSoSCPzOLesupzYX9Ys4qMcBarnOoIUv8T2WPoth7V8zBEG3 7hnrO7PMA8Uy3R2sOB4Ykg41OdDjuoO9E8t6sroQOT8YqNQxiRI6cfpsJGWca35tFCjQJwO1v0E+ 6oTCw3N6Ngc/77wyK8EjEZsaML08hDZQs0zIzlHYP++8sl+NMkwR6qm93x7HO62M9xg0nrcvotrM +uHkRgz+RUzInm68s8qwxylbTFk5GxyiyDgKrGOoX0GlaJOXUCnKl/LPvAV8iH3pXf86pnOnNUYm Z2pqEVFz7AcC/ZnPBxdZ+i4M4etp8xYX3xllBoQ4SX1yGTXhhlQYulBymkRphqL69FTprGotsXlr wfRJuc9qptbc2W3JdLIrZAsHuDvnCM+3MISK7+edT9ZlXwXDb9pa135trc/vZ3fkHERrf97pZHjH vH9dZGomOVIxjKZ3ppe1SfNPcncMA5yydYEyvmtqzr+TyboLp9SqfKzefCwXWo1mxUIQeV60oWuA GoLx+gMlumv8TWX+dzJZl2L8me9CiYIu4DyS+gRjRAKBTqz1eeeSdWmif/U4gIhCY9OnKAR9oP56 NlIVe7OR6Xsu+PM3oc1S4AYKOY9tUxTF09K4KCoyyhlWUwRPbrhUbAkW7pWLGmXoXKPuAdEAj4YI eH9nkt1tVjulRDjoi0+9DxFbTlz+eSeSsfDwHU/zdnhu+3caGSENKEsDZU+FuSnBdC5dKoz+T+Ya ojgBJigqUDcpy0mMNz9ivBAHLWmULqtL6NZTNYwNgjmXcr8rWcxO4juLjH0cdjnyuoNtjU5lPio1 8/EooP4F6UQcD/CbzdB7QA1MJxnk1TxngLS1GRqzNTeUXcM8C94ZZHeXlca4moOdQ2ucxQ1TJ5Zf RyaEG+VX1NpQvsribEqvmEyEdwYZDs0moau0fTBI8Enrs8kVl887gcyihQds34jv644nf+ePEY8F NovSL5bO9oXFUAZapTNw/wSZDgvUPKJb2tsHL+EPHD8AmQFfBcmrxroQUfNMk0BKsax10fr7nT7G tJ4zpLpHvXWPca6wwXo+AsnPO3uM9e3yNUMwcmmsDmmGogL1pPuEuCwdXDtTuETW2hxUDsNU3WXv 3DF8Os1Taht38Jga7lSrsl9/voizj5IUjCrllM80PchX9kY+78yxW/dA180HXWWozHUlHXt83olj THr7d9KBkni54Lx33hi79ZNZq0WMfXmvdWb2L9kFWiQFcoLCmJqbjLGzDZa19vP6mUrqJ+mYuLzk 9wBvDVgvA3Loe4zX9+edNGZxO5qsvPI5aAXBHL1J3arw8agZ/c1KoDV6utHQO2OM+FeZzLnh7ixu UlAFPaPh7uX7vBPG7h7rlQV8DraCANCCqihF0k7QAkUCYmRZUYSDW77uMoPwzne+GNMq6e6W7oNl ZWdlEttw4tH5eaeL/T9cFVtPiOFsgsKAeqF+z5yGANhpQE/UINh0JQAWwRjrHi3GTxcBPZYPHlAP hIuV6IrzQtBTIN6D/F72YXDTy8cRVUZ+RnDTJ3FXxx1UGKowhpALX814PIgW+/cWY/DCNrgmKIyn c2Jp0RDCPU1Dd54MPJlYesFFZKd0VJxOxJ3V1u/g1A1QQFpRYYiIhiYDB1S4qNcDzo6bWuuUDgEf dJZbuVQfDBGz6ICGJVQGHg+a9fk7WoQGUEXHy6gtUTyNTsRqKKNLnaFayxUFl5G9tkjXV3Jb3uNp n6E+mHhwsEMIUM/M3iEqH+n8XyfRAyo6FVVvEOssnOYXhc8I+qyyuMwqnWWvfHR0yERuKaPi8X8B hLMCS3ir+iHUo2gajQGA8E1fb1CmyOQristXLMpkcYIivMdYgnWqxDhuKHQysgk7GkGGzt+xi+Ju 9O+g+fCz503rJ9UrelSdrjyESlM/ullifLLX3gsji5M5Tjwe3PP1uxEN3eXylBZ7GE3DTBYEGoN7 QOTX4R71NhGXi1j1qDqt4itlpWzwPVZhSZAFiDlpCPIEovDPDTLhxJRRjXVeLzq7+JCoNCRBHQFi KhmLDBbP95AXpYROxoLnA9DQ5QAVk5wtwFbdSQr5hyjh92ouO4h/nSNeq/GlgDJohszrUUA92QSi 3LMNPknjxPZEKYJiRyPwxZTjnG0TSrkFLcaryVD0slHamknMpDbqutboYM80FXfOj3g8OKrTd7e+ 4iB6oqEecRCBuwTowJZRERQW4WJLTVF2gZZGshmKER9M7BOb9tWJ2ziIzrHdEkWLoPqN/0UBFFxf XZJg5WIXWWDsUY16KWu15BXVyPEjkf1aWCDEkYTHgwka320y5LAdbACboDCeRpvhqumf3GN6bWhQ MYVLaMvhsvP3RGm9aq8t+eC1oQrrv8kSLG61JTOigVZFByGPEi12EGGT4zOCy57U3pykiu6lg7w2 rI5ltYeTOoqnx/x12bMB3vyy72GN+uzatQdoPpBjav2qVgFRcX5k8QzaiZqgMJ6eDAIrcWccbIJO qHACCjal9sSBMUjlyFBam/TtG/VKenCH40Oio1pkO55HZVybPWQ4zGDPDE08HhzV83uPIZZpbXjS 2t8DaiAmMw2tUA+iRkyW3R6EStgc2yKynrXIozoKqLdIHLrSODiqKq9zf2Uq68MCD31bHNWQCT4Z fyX+y+h2ic5oPSpRg9dwgs8mMMN1q0XDqpkxep54PKKyfrOBUL9vWIY2QRGAGkaU5yw1Bf2JDe2K Xup9nAk63yxuZi6hCO0hFH6Z0mFgFRfvuSBjjQzNVCsLfLESqYAnkj/HdJbwr59CiE7wIRG4k2r6 vd1BdY/zvUrUoPS28fi/oIt8DBosFVplNkVhSA2igrFdaK2Tqxdgt3lclkoOD4tnPSpRL0kwQefS hnuTjT3oEMPi2YRhNqcIFFigTeBu5eXFTohwjwAf8o+F0KwPmiKwrFUeXNhlIS+xOiKGl32iGt/d ZiOKqSd940a6eev0iKilR+kE5p8MGUdUo95y+YKjpA03pj7/uoq4Ce1t/D/OqsTa57n40aS/tjpZ 7xp1gjg1gxIXHOykPkHqFE8sZzwexNS3AEtIDC4IcAxtgqKYutPiY7kUzHx0hSrrUTypM+TiRRkP K9QKGUX/5eBrqI/RqU7HNkfpFGEESA/G1vhWcay7jhfCeHxIREvECQSZcA74MtQJOtlxIbQOBEU8 H3Wk53deBkmRfKtn408QtXpbXEOl3XBIdE4yFZaDqEdUo85MyEZlqXpUQigGQTEAnTegbs4aOpcX HIgUeCMvQlHtQQjzHMNnBOhOdhPPnqUzU+WW5xrKJRGT3M//4fGID/SNXUQxvoxLKRsh5qNTo7IY paxPi4Hg0ZxcrHrNu8miiHqpmdi5hhppMpygsybPDTXwtwEUM1AEp3osU6JO2cV1L/sT8g58xl+Y BhiQ+qCAOm1A/n44bRuP/0uWgXkZDqB1YVUjCqg73GU7qSIsLybzQEH077izAvM7W0FRQD2pAldl zMThAoRbgftt4imUUC0isxWCEBWwqkyInlHKlr3sX8dQ78PusrOcN4sf8wSd/BPPAs14PlpDtxEk yRxQkaZ3gkYUUwMtsvq6nLLCviLdYYr5fBUa4SrpGFFMvXj+Eiplg81R2yw4ZltEUNqUbzoYlgOt nX5xHzQmwGcEu0xMqUJzRg46qft5fwnlnasJj/+XYwiEqUq/ek1QiKGGalabruPduHZ5DO1eLCuD u6uLe0QY6qKsbGgt0dnRKoxwmEu1C3qWUKbmVYZ0/6SNlFW4nbJu7xp1ynBQb+X2HKzLsUzRu+0+ 8HjU5fg+hqBoVcul3I2oRo0qDfoZ1uUo66oujbYd9gHnaZugKKSeNKuCfocGBhyichQ0BCjwUTvg o1tme1Smy7Bqzxdjju8Yn/FXNFTlE1/dJx5hZp48k6AKicejRtk3O7oCOgEwkU1QGFKjRk1xdhFb W/FgqNSR7K5P2QHUIwypxayHoJINjhw6gVabsoPpGVauicdQo3cH0E4lXY3c3e1lI1Uzloc6NZhS A8qNRwf4WY29QEj74vmoSv0bXEVRoyugE4bUlIFvS2fPWpI+2ewlLu+2ntR82SkUVqmltoxUzoZL dhkIFRgOTfgLwIGhw4dp0Wjw/IGECDfpLzW9bFBBk8IQyqI2OKVsVWKgz3FX8HhU+/iFi0HJe20H mc+wSg2lj5N2ZT+ngeuQJxyjVNU+lp/TMxTGSwLm1emDI2Ap9gr8ydllAAk3ndN7ybz8h0wOQ5/R vWOGoA+2gLqKaBwMfYYuukrzreHxaAl9Z/ZoTVQEOTZBUUSNoOv8s2qGp3KrwRIC+2IocT3P4XJB 4jrDKnVS9bV2Hx7g0Dno0fIB5uMkB4XE1pGJH0Lb7DEWRPWHnxGV8RlQDxI6OGiCIJHKPXYmqODx fx1DXEGIPYmi1QRF8TRqiDunZNWztb0bDZkPP4b6dOH3GZMSBYrZ2QcHxeQ9M7NwlD7OD4luqBWE kYH1y1a7M1spQTD/UaXu7ASlbp0ggHZyVflsr4rH/zVDeJAGmfVSf2cUUKMDsYAxNERDr07k2GSP iC6VkmUcMyxR8yartSQffAmdkyatzoAa2cK5l+ntAR++CtW3Wq9qMGXn8Bl/ITyhhOqDJuhEV72L +psyHg/69eO7OEQ5wn6lYmYUUFfyWpdpTp/Xvjof0v4xT5NqrM0ZBdSDMlUnN9k+3PriggKKMLB9 nSuhZRZgeUqivVcQthkOHyEHPuQvFYu6GQxxsPoiOcXYZKVXPB40E9t3rwxKKG1VD6dnDPkAEr5n Z23S4lnBUL1ScJXGfpyhCPJhrmbb6K0P8qyc6+OkA4ntVorOcZOBXXCSTIiJMa1XMESrxRmF01jT EGLijc9BE3RWkDw22lnIeDwS0/FwGpPJ5va6+caMIB8gI56LeOjKwl5wfdfz1bpAwwZCXhMUlagX Z4aCKjZ4TkadwkUpQTY7CgsfEOxG8w9H9sOMptDijMLpRNRiWSoQLSZYLHucNIYfeHLlhsf/S1YP 26KKoM0mKGQkpsY0rNlFL28cJmSrFk/IUKHWIRTq5RUpxPTsg+2xDF4clD6pgDs3oiDqD6B4oa/x seki7XdGFWpAl3j6bB+UkJ0bZUvXfm0+HuUbv3BnyHfWBeLPCPKBPswa9A1hXag5zBxYNMs30Ng0 0NCMwumRjI/YffCM9ZzLYMMR8gEV6iafgIH9MqXI3W+FmjDzGYXTTWbUk/UhDoYaOsfBEDKvdzwe oYbq1zENzmgtVzRvxoTEs4Tg52KH0Lx0slxKtsIQYYLaY7FsHjv1J3DzwbGLZ+EAR0Q5pnWCTlgo gJBYSRYHBva2o8cqete/QiFScGy4nVYd2e1kLHj8v8SK8me8QjorCqb/l7HvTJIkZ479X2dpewYt eP+DPbh7BLKazOhZ2mfE7O5kVzYKIoQLhGyrleleeLPPH6e09uo5fffS4oogH9A9Jxqm+OD32Di5 Xe8KpqGbd6ZbOT3VfipCit863CssT0vQaypznctXEABgLC2eqLri8YiQ+J2PUdIpXUzMCsvTVbYJ 08rTq3vRY87h2cbEQaEJCoPpbg6mdzB88GZ7EP1n5PRovtG/awq4AeTi3hc0hO8JnxEVzpigLDqX MY1nbQUWgyMzlIF0CJ4P9lj5zseIxUGObDMUak3XQR1cO4Umgy5hPgTXE3HcoYsrxnyw+CpsJ/zK 8Y1yj6V6fhcjjuO8rlleuQjT0OaY+xoF4ihp+IyoblbZQazLB6GGoEQjtWmQflccTP+GmGNuLpph RcE0IqZz0afq2M7hVJdMYJcUPrLr6KwQQN0VK9IwmMNzj63KycZFDwFDGZUAJSw5r4dQRgEnfMZf uq9Tiu7SCtcpfTLqpsLirng8OIRuqEh3k0L3pNtHXFE0vchS6KsbIqa5g/n51oc3ODLqEjymV8hI nCoIjTt40QNMJuiWEu8B2fjOaLqjPITS5yxXiolG1fiMqHAmyyRWYGvzqwA0db72DzjFePxfoRBm k+jXfLuIKwqmUQVaLVmeuhrtZuQF0JeFQidYnVYVWrHAB3EMk3iPNC/eIydw90ph/R6TThf0Ew+h tMylmQExcZ9JIs9WBPgQIoZy5TbY7ZNhXMWN0wcej9bQPacxt6gWAi/rUxSF04v4V4Li/h/gKWVX jxYZ3HKKKghtmqIwnObcFMmelXGFyzOc3XBtNWlTTuZ88OM+h8L5EmAyOW5dCOgKvmw0RTIIVqc1 USlCpcUp9SesJjz+T0m4H6Gq2iNfsUJWYiejvmjpnEtne7e+lXRLizTK4gzFEGqpxIzsg9/1Z/rP Dd9Mzwso/EHeJsBVcHAexOYJ8TGH3jVKOJSystHKQXWhSs616kJ8xeCgvhPEWwQVznQlUFYIoYan RCGRmdGiZAgYDMlAkbTNNlyBOixPuxnC8MHrQv38LhAY4gSdBdTIUqg6sCq7ZN0arQWWpXzZQN6U NqWQmPPBaq9gJeIcQYMAj0fN+l+N1krZjLvJongachvgVLiVa81eF6ICse76DsE3zVAUTw+mZGnJ 9H5dpkvGNSY1FZhvnoAIjZMzQ6C6Uh4OEl83HBpDLxuFQxQ8G+QCpeHKheeYtk8sO/PxaA3Vr7uM Ng8PF2hHATUUpqHK4L36li4yr27nAiXICfCy37FonthSbfvgcIYEQiK54ic4Q9+OQt0DsMoO6DHp OOZOyur0jgLqJkhelpd7duezcx/lynsNs4bHg8pZ/aXERJjJFere7wE1mC5scJil7WqPEtN4Kmfw aTIh81Dhg6TotCR/ux6h7jErHHNZORsnt+uoUJwlBLU6siJyuj6JhZhQfEhQGGLJrGW6JXIwzFDJ 8lM4CeDA49E5/au2CBXURyRmhwE19M4uC3pRPcFtAm4bsRV3tttxQM1AsZpPSb5LaIDW0TadJE/u B09gGpTAXbBB5h39YQfEoP6FzwgmiHf9QinbBiWtA01FLqHSNx7/L2JelA5fl7O5o4A6AZk3eD5K ZDm7kvnSOW1wDzuFdgj30MwMyZ2NK3d2ful6vgA5kcENeBArtAfach1RWCavzFpAvMl2FFGTfAZj xOaDnUK5sswHLz++Y1B8Ld/4YGStVAaxGYoB1ADElLuEmB9TwyK7wQKzVl9CIYB6WF26+uCMRCgW niXEgBoXJYAZJ+WA+V8lQ2RfnSE6euEz/hT5yFxCHGwJwb2Jv+DJ6/F4gGXY3xOEKW35koF2rJgn s4nkeyxtm6AqVWGKfEBWUhMUgj14CsFN3QebILQ0Zu1UqoLNaatyUiaUFVBS6BQ7BD/buwaxUJZA N5utLbvO0MSPqUK/poXHI52h7/I9fL2pNmkTFIE9cDAu86yjYF5yFZQkFb1KttTwCYrAHlJYhjeA D94BovlPIqf15PwnTaDC8gSfsAJSDuavt6F717v+7SHV7qAJAtiPK4BNxB1Xpy8aRuKvGS9wl1AY TqP6ajrm8HWRKINiOHMlPdHiol9J58+JaBxEdjY52rZrWALQWYK9bxXag9pVqnuc1VNQs50ODy60 3MVnBMEilxAtamxQWg8NaqVkcLLdoQT19D02FWOeGS03FIrL00CYy6gEINg6su8xVl7ISEyCvnb+ nL+SVlQjfPBjGtpJbSzVFs+TgzD9DCnSgr0NkK8DqvbUu0Z1D/nY5zsYXmispep0Wnw8ICn8EmJC CtnbbdTvUIEaEzRptEghpp6KTdDI2ZWGGkVsuccirMckbrrqvufgCRkAwFV9aPR/dpLkIql29fw9 AjwtEmKDY4cCH7RL6onoaQ6qw8MviZFFPTcVHv8vGWvG32MNnxMk86XXT6XA8mrJWkBcrAKYN4rj 0gNxev1eFs1R8RcSS8QycLDyK4TdBaMFhLe2Pc10FNHiRley7at3RqAAP+V9ktQfa4PNoEZ+mH5d +FGSfXS+i8kfEJzV7a4jdtW6VH3uPIWyeWiVNZ8SUAu2QT6yIu3Bs2i62HsKo+quCbLpusxE2P2d +DcT83FC0970bhny4BOFD/y0x3Y02+tG4pSFbTI5BC3/lLM+t8Bc50JofD7i2K+vO58mMY8IQU6x vwtQsCurROT+AYseSmZAChh0tkJsTiGQupHskuXAlR8HrjNDqMhZBeSkrVgxJ/dAEWuAZZ+Js3RT zWyv+ye6qlB2CLqiP8Q2ABwjTA/oYos/IAiNfrOAMytv605TWK/eqJ8183Y5f6K+OaYp0She04Qm sE1T6JBIfEzS3Z/al7sCAuqx2DUb6HZPUoMo+3qO80bBjhs+lm2vG8kRmEni9sFOk9JLl8og/JBT WLOe310PyBy2p7OYUxRiJwoS1L3u0dTsaJIXpMSHQIOxLRcVrYdp6sjHJF0fk5zgzgBUOBYTWLuD MXaFMMhEMkkNWKsWZaoP4VOCzsdSBz91H5SSlrMsKdtxMv7M5yNG+S+PBcwQUUE+TWHlGshzxX04 mRLie7Go5lrNwGi5bRMgwg+KUhGJUtfqwwU0gnkLfRoURE7Gv3jJNTYD0FnITJgsWWMYgE+JEn7p 5bLHyMGq+wu4UlbV0ubz79NU8zdtGjF+J8rCZimU/xgUNi/aaCfuHsNLsyqKbJl1GJ+T/MKgQ8Xz +yRiPlxIWj7BamXp8Ww5BMY8v7GAUfM8X+m+Qk0beRc/JYiWOs21cWvaYFsuDXOWnLvz+YjT+UtV jz2nx7AjhRogDCgTqZyFdgvLkJ8wYkaQhDsE0AfbcmEFm5qvyTy2+wPcy2D7DtPKLyfVQhcWVtIw 10Y3DDNjARN9i/ghESSEBxKqCBzMbx1kbJWEQYrk8/9qNoq1WIH7ekKBMOqeLDsW7+jvYh39KXiZ GZRSB4wbLhICqSIsbmIeOFhUOTqCr0TduLZ4eW5mbjhbgJRAJ9KV9eSMnP52fimLdCEOKrBBX4Sk vHMWDz4f7Lf6HVei/ThAQPBJiomLKLEx8KBPaSpXApX21cpue3UjsxQCQ7SS5G2S9leZdgMeOJn/ g8PSJ/XgOwWqYN7GSj+lvEsm+xUfEpSQqNXUF0tIHLwZMitDgpNZTT4fJbi/HLahFHByyev2Fnoq 4pZYVATlLMm6xUCOy2lVHXQOcwwM0SEi4AuBVb8QWNBBBJ2bhIYMhSt6kG9UkOghtKfjZEuij3QO TBUByRTsgYlKnuXyzEsjcgx+JZPPRzmcI2WJ4gMKI198SA5tFQEOP1m6N416X66GSnFAE7JcXozM ga+iAgrUkMitao8cagOGZvdFoGMDbX7LaGmjSpto+VYeHF+ytw16IqStZmVzHDRJZ/IWwbLnC6h8 PlKM/W5fcyUBNeKTFEbeEP1dJZnk8OxbawrkzG3KMiAaWq0kh9aK6s5S+dQGB2LNkwX2qmJJPV/1 VvAJM3j8xx/sVOcOlWZvG5GHKNxUyfxAyc2q0ycpodcPIAODz0fJ7i/2EGVTLio9B+6KZ5JOvrP6 yFZQ6lLPxyShIP1j6jKd8jtdPyiSl8FKYv3aBqsooWsNGypMUsnoCSRx09EKQPuPk2QaV+xg58Be EcIgVCii3IAGQWlgLyPPrpUrn/+XegpLmI3781YEQoNFdO4WKBmapJayS/CMuV28umYXr86Bw6LL osJMywdfSWcPLVKqENqcnQFD0BMKog1DWyHk8p6cdLMxDdVBmOK2xhO8NZI3aeDeMiXeKOLJ56M2 7TdTDxTgsr+WUqRhDdmK850sm6W5DMsHCRifJcBUky+lGDDC6am9+2CzdO43VHy2APyA7jHTXdBk RSmORTDHHCkICF0WZfZaJ/cbBy2lfuac1e6TlFc+H+nrfndqKdsJro5PUshnpGVw74aHmDxyRbVi XZ0KGI1uacxzQ5/FJa/pqiCgPkEAMLKUyEM4iVU7yNdD0fKnIyuBzvpt1s5lrxsVTaTkJMfX6+aY 4Vwk88RzFfP54Oi+6D5VufsPKTw+S7FOCB2FmhVNhlR5FgUMWrISXIUggc1SZAxj9kuDRWzTmlTP HwLxQwjI1tBGrCx7oUAJG3Pw7B4FA3/b6H5DEAAhJR/UCoDc4RIjzV4ygvJ/A9gAPmjfSykMukGN TcPpIGL9St9S6k4IAhJEhWySYrEQ6se2dgfPTABezpKR7aAW4eBDZnJ2y8DNkdk4NfRRmva6kWw8 A8pCQhsHBZT9HHXaLid25fOR5PcvZwak17M/p1KIxkZPaVJlSIrWw7ERvU2XeiDf1WYpjLoF5d+0 XORwkZAQc0D/D8SrBq1ulEwGwuQOBhtoft51W8ne9i8d0AIYtA0Klco5hRmctbMF+HyQmlxrU57z MJvPz1IKXRdRNDv5W/a6Utp+KpXaHMfGrFJJbmi7iL4FC0rbhwuGRAUcbS6mJiknzA5qTRDEAG// oe8Jx5ZD38VJ3ZkiDfmSfUXAgbeSZ31WV+bzUb3kl2cekiMeiTZJoRAfooAxqqk2D9h9qBLQypDW NTwaHA+ZQ+dFkdDLFHB0zodJDHxEq5TEQKIIqSGsJEhWdYhGt2clLRlRh86LWTC/LX2nffWdTjRW rOVYJp8PKpT1m8NXVKOpd5JC2ZCJUIn8eqykPJILGrAawZO7UBFc2y0wXzwrqbPOPeRzMp4KZQNL tlcdSnBvwx+B1jr7E4r7QAAXR/zRIouf8hdXdmyeTWNT0I+nC8BfLHeAH8gf8F/CblAORr+GOjkw YMQFB2ptXnbB1Tq8K1CX7UIIqGc/lQIHRlQo1RVod7jI0axth+CtgkIJLMDaiAY2SJGUnfE0l1S1 HFswQh4dCqwaxvaOGnhdnKWz+/h8RKSZX8dS5jZPzyzFpjGDGdxwzM10E8ZR13TMTXVESQ5cGCEs KfUHxkwcvGLSej6JFZXkETPVScbjyd9J49ug7N52t2Kl2IVR/e5KJgS5Zjy+UavS/QMw4eQPiNg0 38itxhLumneWomo3mr4n7m6OuyFdV/bv1yHu7A5WMDlLMa5Ebg20JChflgQnUDqzlHh4n4nYjXTH PVHwaxAcHO1axEnaMYdOjJmyaq33O+iGGxASYWW218bnI77ItyQWurBtlOdciuJuhJ4LMM8fc6ss BiIdc3Q3gAeKzycpFOcbqgLM4YODbzoA/nQm3uCXAX3DFhRpq5ByZJ7b1dOd9rYRdELe76yYrHwr JsCfEQ94Jmnz+ahi8gufhP55eSqUoR0jRGHOrTasYlIFo+B+a2b+hY4uCveapLDWTQgXDlEf/FQC G26pV1nOEdCQ0ROAAyWaxlL7D0B82G82SSG+hFj/UhQGlIvAyZmi7qQ/Tj4fpbnpa5I2SDwsbNkk RWF3Z+2N8rBEmOzlaW6TujMRJvC+U5obODK6iwydcW3wWjcotAOMWUBMUIaDJ+ze2CwCCVFZRAHl Xva2wQW35JEysg/CmKRc1WinJWMOPBkl1vkt0sdS6dcFF4XdsCRfq1+/L0WUhJmsVB1mAhCJLaUw 7Fa/ZLMFx8HRgI1OVVSBgRhEYyi5LcEAhJfI6am2d+32usFa4jS1/AyaprORZlUdt2Y+H9Vxv0la cCFr7Sl2h9aMwH2giNgM2p67F7vhYfMjoT6k8TZLsTejDAVlPVgf68G82VnTqQTiOywLMJEJ4Tbk OkDDvE3vbm/7l77RVBGOgyYJ2Ul6it2BOyOaU98ZHA6ylp+4O7Rn3JV2Vm3YqZSNCYC2e9p+KqFV qw0X+DPm/1kychi0H5SCvy2lCePtRhF1oPabGTkQLQTl5Nku2Y9EOn5IhAyQhYOi9TK9RNnPCSzF x4YNFzo0Pv5xogZC663ejkBs0YiVhL60hUoyucJKml8kCXA+bCXFAO6lW2v4cIsB88xyp4YPjHxq KvKUOd/qzAQtjXmBXWp5hy6Nkxh3uoTZYNlJhcYtt1AafD4C4OavY2kBTF2uZF8OfRoRGi2rRpJK MlyzD5RqmyW4R1nYHRs1UoD2HEF3cJQJ2sJYUpwleH5SPJR+jRP9r5zWjZWs5R1YNYKdLbcLMgE4 CLIEwpNyuMq1FIXdj+A8Md8kPT/ArtircZBSO4xOMltyX5BGxSKeSpW205qlEMktfV5JQOZ8NfmR /J+LgFLR+8RMFT0OzBKuhkE3pNUfuaO87HWjGiW9mxbjAA4KlqCxnSWD0Cafj8Lub9thFGgb5Np8 lkKQyVnisH5WsL2o2WWIJTJ9mMJBotlnKRYbkR8BEUsc/Fgq68RFXfzIkxbuztsXcOyz47CWEHt7 JTd1e93I/gI7bsq8kYN2XEJV11jImc9H9e7vHUdfy++1FMXdJAWMYW6Na6zlPOQy97C1lJZ76+bA uBGCMJyeqlpl/YJPQIuu76w44IRNgF3CJh6MQbi6kWJmncrV7W2jG47+8F1k9l6dATixx1Xu5rEU orpv3E2ptipu752ksNzNPJeSQyy/kXlFKvJelBwj4hQMWJukGGOStdO6D15+O+f1AAkNkzQ2jOMT lcPPyTkpVb2WF01Y1NWnBBuOeFw05H3QsXRCbdmD1bN9+XwEfvvlIAtAD7pGPkt/1rvZWcxmhJFN Xp3lrczS0kbJTPW32L7R1H3UFNhPU4C6WA3UebviWEDdKOv+oFKANs9TpezNXjcKBLLI2skHrSU4 I/AkbOdd+XwQUt6ut5RIM3nt8+K6Qg9HHA+rAklDxFKFj52h34byW3QrR3FcbmjiOMjQgu+OD5yn uefZZB32a6gtQSuXFgYnyE+osGP6xmW7AQthrxvhutimVETAQS2mMUaRnl9CGhfYOMrX5CvXRcwP zLHNUujjiH4q+VbW082mlg00w/LGd+/bA6bAyBGq//RVAcnPBmt89wGxw8k0rpzktlRxmKHxXibF DhxJmQfZ7TkwcvT8hIBLDjJyxB266Tpt6IDAyfHXllPNG6/woN9CK0ds9YWMwsJKeT4QszSNQgCg Sb+zFGG8ETbT8bz54MkuPIwKzj7UF895jaXD5ZYpogaci2dxQ/FSYOZ48aZmbeAFLGjppiqLp3MI 8vngjrukHGnc5V8179DOEa43Z2p2cqBJcV5XT5a1FFR4vWwS+DkmtsjANlEy52IzaAxAI3UtVQTw USgQAbKEnuJAj/qicUpWAS70c6xJZUqG3hUqqkwqIAjTdJaDdccfEPEFxndUCdRqfopLLYq9cWxC kaQbZknX3RDS5JrvglNrsxSXvIlZSin7cJdSPVNSCMo9WTPqJsx1YYZWAfOma5g70HR727+4OZPI Ag0KKtNc0tE5yXTl8xH87RfSBGJ4KT2nUgTwRpSxkuBc6ukW7+k2MwcvlLSwSCC2deQaynVvHyxB gUjFCWgm9cdqmWAcUpWkKUL6AaXMJf7atreNgJSkniiLa57FnZAX5EziuxtQ8KGv42y/Wro8j8a9 4EJjR3C6VqtWKzkBf8lOqSCrRzElTBR1wQXOjlhJ4nHn4oO3BdD3hjqJQXITBKMQeeOsL9Ig+0Ka bHvdgMXEoDIJbpoeuOluY2xF3qny+feze93aEv7yIEawPbMUutFs2mJlb3yX5LPU5/LGdyWnX7MU Rd4QUGZiUnxwDEWvq+9EowzEZW0lLKUMKc0JQaiSHqdiAsv4KZFKEqPJLWLF3sVD772mNJBn1fNB rvvLND1DTn+Wqw6dQ49HUHnRDig2TWmnH9dlLbcEB7l723ER1KSSr1wno0oO3hiYVFsZwnaxN0Bs FzLStgjieGZJ9JzA5VFuuqDCjeqDbrh2kl1BaifalYHN4zceh0cQisk93fbJ5yVYOv8zgeizNaVI v1pfd8eBvGWeK2Auc5JeQqXzP1eNJLjRBoe/gROAgJvpyZlsaFXg5EZNZ0GwhZJ/xmBCqPQSKPFN JSLVJq3D2rzWYbAT5Oe1s0o/L2ESX3Ab57SpQomQFILz+BfnW4kmZ+DSL1UdXJBPjQc3q3oDgHSB 5WcrKJgcuT4B9OGDTU4/J10j3AbOauh2kUUxB9cC3hice7M5MOz76+xA+ZX17arZqT47Y5wjgSDT WlDBfZ0dRA7VZkfxIgKzTe1sTM9LdMSnIGgDtLVVAKDRYFfa7MVtwxqoPpyel9hIa2fIt7DfwcJs 2Fufk5n40jNNsJkVrgLR0hDK+0qyEs79EhnxTVWz3YXwye08RLAztpTh8EV8XsIize1ONjuqYAKW YOU2TM9LWKTV0xAMXf2fPm8LIPOXVIS9Hcr9EhTxx4yiaKguHzwoarmjUcnadgFsZEj0GN0zCsyX Oz0kpXxeQiJ+hARJLqIEtV32twfES7kiTkyXPy8RkX5RM5NvTkmBL8G22XmJh/gQViXE0V0RQN6O nZib6oK+czfLP16iIe2trSWRsw+3/4+7BkHnucLQBdhiTIB/MMjs5dc4xcRlJvsSDOnkkaLNye99 sGt+DQLkf1o/YfVLKKSn6109OqSgd5xtdl4CIW1I8KiG12TXzLfo3+hCLlmbvKx99BIGcXYmDVFr Y1mEgzMlxlkgA4Q0FCDPAQ1OPpBtYE4u1Cdo/y14RGJE/RIE6YussirMzQfNDqIuaWOdNfV5CYG0 dgyMZOcyws49fXZeAiAd5jB07H0UWztpOC4CEge35e8Hz0v4Y7OjaaEzM4fLIznrfsoEq9Oqm4TS gQp07+iNJ0DvLHWVqUG0tWS527h2OBjsD15z1Pc7GeDnJfS559YzO4CamJQEPvIl7tGx3LizvGFU lWlQd2wMN1Yp9PTF2nmJenQsS1t0SrNljtvErrVDFkwcd/RUANPhRXYWGxwXcn7c0ScVkV6CHn0D 0mWDdZ8NXuBvMlk52zZ/XkIee8P6PTsArC5KalHpLJidRhnoMS+mhmuHMhKlGQsJQlWuyhacyvK1 hnSTDx7wZKjqb4ocz7PCFhBoKGKD80fKfCEJSSA2e9VgdqDZCgHF6YOqsciHdRGVgqffZ6evYbND dmBlC9131kuFUctVzJp276ziswPNbzt32rW+eqkv6rMlKoptY8Nt7cMPdSCDgD3qxj8z/cJrguhR iA8y7Bpdi16qi/oGqJxJkRwbFONmdIeWl4Reaov/684SIut8R8TeKh6MomUUFU9KMaxhPVyxH7dN v0QIotVE9IvmR+WgMe/g8wOK9kqq5rdzLgOIBZ4f2pGcdvLyTJ22ifEfLR8iQ6An7YPKr+cUa/xG 2gkhPm8ENu2uX2cPChOVmaxmKAqZYWJ3NoGXFZNs5iimlaa39AsbcyLUhDHzVqWs+2BBYT2bc08p Ic1x0vZZpYlwdhxvsYIr1iFrxqcJD2cC18835oOurgHMN0tgufLxKGpOX2sIgradRV/N0HvUbLIR a1uMc/40HD8zlYbhAOrDbdHfuGv6OSq4skOu4fY6UoOlZaJ+LwRHiMRauwm/8AMEkycVyt2juLlT 4JiVOBs0QdB4k4VjA9/oPXB+nAyaEA84CHu/myyKmys0DxeVFwwbaktojmJXfoGsvJcTo8C5y/9z 1eTDxRnDDgzVUqpCtr2pFTXQaaTyR6a4hV9gSS/7vsn61hJii7r7WsDCq6abWYAMDUPncUNnd8Po 9APSFL0HzyqUwoDQjuklAhvRRcmBM7imHV30Hj1nUz2clR1YDrbLGiyaq6RFK+Wh2Xgsg+BOBPe0 cKScVpc8y3v0LEtq0kJY2ChQANciwjHAc+iE4+3zxlmzK+n7HMpslJB2qymKImiwDtAhSx4FreGw 0O5uBoUNd03RewiNI595VydqhoNNUa7ngpqEC52La03SzSFFj5MSU79KueVWmvK8Mda0leHCci68 ln0QsggqYOz01lHK542wZiHN7xkCj6Xcq/6NsKZPbYQ7ZrdP2/v6FhGUL6vU4kLib3w1W4yyMXgG X0SJMlGVEsczsV7Pcix6ChQSH/cgspM6CqMbGVgF5rM2WNEe3kiyVMn780ZW0xoyyrpFimhvz5uD vXHVLEXNP6hfefN+9+E4kLSHc2faxYFEkbTsHoqBsNdVOM6QDFpLVLV+brXzoygMiZS/bZBOS3ft g5NWd73s+wwN1jZGeQYdRICKd/kR9/l5Y6rZO/pRreAHiMtR71n9Hk1jDdEq1URXZQBupJBl9P6C Rez0oiicHqxudORHNtgaSud07jRZR10C4qWi2LD1AEp/zRcxa02N93Dara/GooAvBxWBmhBPqOtA syaKp71Yb7uMAX6/x1AUUNMqtfHrYI1sz0vlSxY8Ihfz+uobR802Gc4fakHZYBMEjMcAzYRdn3ZO W0ZOCfsaurU/KNd7a2xOvetfE4SiiQ86hgqghlsp1vq8MdS0yer3JiPRFShhqyFGITUyodVGVZ6B AnSzCdrFyNgnly/F+DJv/DSbIFXnxQd57OUqSrWJRdxCMBEpMmhDg3ZNHdX0mKfR8/uNnmYhF9PV QpYaB0tXqwwnYVCYP2/sNIsV2nfOAWW4xiY0JyiKqDMNCtO15ckXs1dYDOQELRKhOEHvETUuxCV6 Y/XBmz3wLUgGRoM8xPnGkc+TD0kC7kIR0U6hPPSyUVLGGlll+5CDco4OvS4mZSdo+bxR025y/iwh yiemG1G/MdO0boH9nI+M+Jj1x4mgy1GNBK5qht4jauT0WkJr++C1xHMk1bQJ/YRpGrQQWUtER4iC ioCFOhKNhg9vvLSvrKwqGOdgAWMdSfKrubbPGyvNQur+tYYK2AGEUWiGwpB6ZspAWTmxV/fahU+0 YfUKELo6pt84aTe7Q8K6kg9+TKeGVg97z/McqUCjk7p3EreJw6nmx/NbqiJvlDR9yJbLLkWzOFgn DPBLlj1S5uNRSF2+11ChhYaf02+ENMt2ES6yBcpwcZULh0nF4TB0ImK4+MZH488ZksAwtqzEPlU1 Q7U+I5dB47nnDUw+ZLOgdoQgKPfi1kWlUD3zjY/2tctQevNBu6xCSYkzdKL5zxsbTTN0uz2UaBku IawZCkvSuOpl2yITR7czON93nhdhvUzf4I2LZrUhbq8tQsO+hIbzrUI/E5sZa6jB6oNS9AlMf+D2 MxqxXrEHi+fzxkWzqJ2tjC6xw+6534kDpahzfsRcnzcqmiY4eVrGe526Bskri29MNDuH1JdfjoPJ PkObC0HFs+a1jzcimp3U1O5NzCc42BrK66Q//BcIqM+mkwVfh/HVrEQxYqt5R2zpZd/PoUUPvrb6 HWwNgbgtoZm0P288NPtd0/cuQxYsiVrOUBRRo3jtpldYQ4qo2dZo1cuLWES2ht4jagS7OIeGqHrj oepVtNkrMFXkWYPLQlJ/Qp2jIe+DPLgnZWXoXYMJGpIRSZQRAeLXvN6prEcy+kmZPm8kNDsrv09q JElVriqcobA8TaKeOHn0ubxi9DC69PoZaEiaofeAOjF3gZUu22O9XMPmVs6EDdxShHbs88010bAy rffmz0yXxUDdic8bA03fJiUN6Bhog4VDCTpuzCJy/7wR0OzxG1BLugbJYL/nUBRR45rdaSavfVT3 mIN8uWPyxna+5xv9zGof+L2HuPrj4erDC64AFc7aRxt9DQlDIAetqMIDAWUBI8mCnzfymW2yppbh 9EFXGZSyJSUOg7A37pnizeHhEJdegx1koaEB+85RSI2/5wqiCKnrjRhPcmD+VyexdyPHN+rZV946 EsGtHGwN4UTN9C0EMP9czDrMM4oODQVqOpPbLsMnft6YZ3zXQhB1k8EgB8MtwOFViPORP2/EM73i +rXJWMG/m+yNd3ZDBLrk2TF0N9lJlrdTqgrPWU5QWKRmyQPi8z7YBK2EfyYkClLYANCxOALUK8WE WAbtRoIpetcIuiAQ9Z4+2CZDmE64Yj8R2xvpzO5632Q6lBXD+iZ7I53ZMbSvQxgw1K02D4dWM7F1 MOtM8emNc2bHEH9vsn802CZbGaVXtckaxAvSlG0GuEf0bm/PQY2iwueNcWZZGatnnSUiDkpbQRcR 9OX8+fNGONve3X/68wX3QbsR9RvfTBO0aRZvhNfVZ1ZNEVnZNq4w6oLdJug9onZs0My80Dj4Hjv5 N86JpbweArTSFkGECPoVQQR2ClEL441sZimH/GYKsUEwD2d2fI6DE8NvmQzn8nkjm1nl41cXmtXf dZdQFFEDb7G6uhvgCbfhETV0zmyGZnXfmTeqmb6fjuNnznoHzRAutRMRbmqIV3DQCjl7A+jwEz6e Le51DyIFPm80M5sf4qZ3Sj7oDFosFnCLzfl5Y5nZFvOEQ3ce3AKSJ61vJDMLL2jjuG4fet1WoiqO 1HYAUlzTE9WnkwpDEsDojwDGeedRoPbfBb+f8BbCAkooFa2zzOdj49ipRP/GMNNVKYGnoRL+WG5n sM8Hyk549Pp5I5hpJaT5tX541Cuk5gRF0TSE01cXCLHTMiR5vpHW1XVAqVCHdFieJgkPSk4+eKce LsQTlSKciKCG0zT+TMs5n3EOZcqbG1WRUOA3epnWAKku56wvPqjHgQZikmIBvsT3aBpxQv35rgxB YOQ2yt7IZYqEAHCtlNjCDNU0vMUxLucVh5Ax8N64ZXYGVUHL2OJIjLymVPn2uZIbGRxnhkaWwdwE hqqBuFIAt7GsniDxzxu1bH9hzJLseRKTFU4RYlAFgGfiP2/UshtsfjVbz5fa+03r35hlOqYrWS7d QqHKTPCb8EpqAlj1mqKwPp1QGjrnfffB77HUIBjeWQ2G4e6k01rK1OQ71/28FfxzuGe961/HNDg3 HHCrTS2icx+yPXhCFj4fbLNboMa7kVc0b3nxjVNmsdBGWp/MLHXyJc1OtnsXqCLO0gxFBepJYbAT NywfPJzO8CxEdI/Cx9yp0P2qo2E/CMttEOq00hDy0M8bo8zCEvmFyHc3bQdJ9zaGehTn1/68Ecrs Hcevi4zBuc/QG5/Mrnpg8bq7hsySXJq/UnlbbaBFRScgFeNwGmuoJ9HJ0r3qzy04ECPKx/EsmoFS C4TDocyfUF7sD9p+UJn/jU62HckLtV9SgcZMUggttJKQTPi5Mz9vbDLbpfm7yQHVlJ6mb7M3Mpld QueuL7SGYrg4+KcCw5Ah/T1y2+m4iymKAuoppP0qd7hI6YzzqPAkQmxknbJJKjBInOnKzVOM4fNG JfuOhgqhZxz0xSfkj8IN9fF5Y5JZ6cHDRWYnuB3gd2cTFMbTq5vsJRXmCFSRwhzEvEl2PWto0NUa ExSVqBt9ZGuS3FW6t32uABqnxWCo0x+siVGONQRWSOZBZIrFbCW+8ci0COg7QzajDZoh8KYVD51d /HljkWkxpO8+EOP4tO8uew+owV+gcZrJE67Wt3Fbz5rIy+1kBz1nOn9OdN3LO43KBBy8UXZeAsUl qlxUiPGNrPIiDlacnWJtSq6YVIQ3DpkOTRPgleIVPXG0hk4YmkytuHzeKGQWLfh1r7x+yJnFpyis UWOXzW6mjdCf8Iio9/lUYLtBGt4YZF9pa8cFaIPtMmg5tz6IKD/fO/yKaREGEwBKI2fwKC1vZQP1 88YgU946JAPGYn5zUwQcXyxhKOv/vBHItIj2N7YT5aSGeoDNUFSjJmGjTLNOW2k2J9ntCx06U9Ss V/ZGH7MlzDZr6XdwSEOZ6DQhSYTzLq50EhFxa1Z6Mz7Q140a2OeNPGaJPf3lGqV3OOgug7tGUzt6 j88bd8zSK0/KeOagKk7PVE1QiPmgUaGYUP3ayLOZyJNQzcTlLMQ35pjdZZiZmcgc42Bpa24FwrXk 16H1mnqR5DPKgMCDQyTeNllnaeiNN7YfaBUSMh+0gkBxZwqC2srnjTZmp9h3OERr9PRc9lFMDb2s NQiLYy8xTdeRoeyEq1qg0cUJCmNqtqOnPPY43BUExb6dJdt0zuokmNqQHOFJCvO1lyH34vNGGdOX STb0iYKHD5a3wkiR2PJ28tY3xpj9qt+NMnafkC3aBIUR9Vaz9YqklW2FjyqjokJNwm6aTe1vADVM P32wCUIx9sQcLFRRvblkqqhs8pmRN+IckJMjqy74jOCqZzrWto7pbcd0hsBBEjgP2l8tCqhnb19b DOqPhVoamqAwoEYX6OpXrd4cIzxMB7yQnDCtC9Si+nQi76dnTVC+E3TCrNJxJG/yfioqK/K9Bq6c kj0UBjZTa53SUUC9iOyEsbEPWkInXFcSey63gceDfv2vgBpqQrVPX0I9Cqg7ehyd9nOU/VrGHD8r l2AuCTRcz+b+HlCjcseSEJICG7zHgZrrQJ0VpY8T/FbOJRyY8G6gblxzOYoh4TMi1qEOITo5crAl tNOQVWUZFY//CyKcFVnCXdWJYz0Kp0EePivNJfYM9srqa523uAi9dE1QBPlQm2y2fAeboH3iq5No kN+L36TJ4QmWfDSF+MH3YCkZHTLxGUHCwSzqTOYdOEFtwQeRe6yehKNH4XQq37UhVJPKU1vscXka qSpCRp3SwpqZ9Hf3SIhNLpzSPSpPq/qKGocPtsfaOGdxLeySnVh9bxR4kLSe6T///nwSE0ej9qIO jw+JakPW/RGLDGuFWrlnfbbCTQJVSDwf0A/n9xRlIitKu3MU0g+BG+rVcnhYmjizt7o4GnAG3UR1 ehRQTy4NHiw2+DmUO1w+yH4GEY7OzCflQKueIYG+SVdlKHrZv9JWOND7YMHQbknQsVkmHo8Ymt9T hH5XLZer0CMK4iBIt7p3fGHTktGi+iwms99N9ruH8TQBQ+x5Dm998irb4EmBr4OT+sSLFYB4HGxQ SE+Cg17wIguMPapRL7WBGg0JGmBjg2vorEhxgfo58PF4cJWN+mubDUDN7zkUh9NoH7buDHq6RKiR WMu46E42Ejt/TrCEDBr8v0uwNZ+s4lxRWV0giDNKpBmxTIdkCa5RP4dweeIzgrteCOHGoLF4PAFO OQFSCKdxUEfh9Ji/SkPsgbfL0gxr1CcoXVvGJ8B5qlyfCVYC90EWBAA0aYL+Dqdpu2yDY2JQ2iqN 5cUFoA3KP532xGiyYq3WK+pB7A8+JNhj6rEm2ckmDyhO4FBF8j0zNPF4hDzzcJFtRzr1QLnEpug9 oEaeQt0zNsKQe+AqZmkIMST+uFk9wwXBozqCfCQCqyr0+2zw6hkKsMlA1HPioCBsiIhZlDARShrf LtEarUc1arqpw169+iBMDAB/EqyqeeLx/8KDRgG/pYsa6hGEmuY6LIjjdJ5Wit0UQd36Y8lQKrM1 FCE+rPDRWH9ujTIblRlHxR7Y9B4YoCZOcsoGymUT0gnsp/gxhOAaHxKEQzyGhgofwwsfee+E0gp+ wxPK4/FgDd1dxhsQim9UgrIpCkNqZK2L5V5a60jXm9Y6abpvM1avTVFUo1Y3umV5ouXriVZ6qROC wFStRIEXXaHOaUN5cRIE7fXFTpBwjzAfnfc80hgfNEXwcVefYmGbhcTEVr+SjixZkOHbbEQx9eQ2 2w6jnpLSZaNDXFdhYJvF1CMqUqPhfM7oTRg1B0/sa8IlxYjonPgn5mkSjyHqC3DHNh5bnax3jeqL hFRtUqOrI5EhTrhF9DvnWcbjASl6pF9JB76U7SXqEcXUHYtubDOGOXcZwKtmPFSLs12WS5+OsES9 TAxm+2BrCJKkkPS0PsdKIP6DzFFBqUdnqZZ+pbyAxsCHBDOkPkeRPTqvBbWCQMEkUul8WsbzUUs6 f+0zMAL6Q5kaIY66Eoqf3euz5+rxEGMMcRUIFOcURUXqzPL9yjyqlzR7h9KOipKcBD3O0oaoI1lV uJYreAkPSHhCLQWfEQA82U48J4HIrd2CYzTsN88RdI7x+H8hBEGXVgUYTVAI+hgUrSsGEh75tqTL qK7osaerMowopF5MXEdh634UbmpOEBS8UtlmE3OOpcSjqiDjqB0R7Vr3tseFiM+I2hzcZInExNsp yHDILEX117HxeKTL4Cc1NuSg/vPl1o8oou40PyndIuoTY3ozMfXq5cXRmpE5RhRRT0nCVJYXOfgm 66DOQiYMASOEDtFn3fQ+QVcIt2a6nDJUCfEhwSYjEn8IPjSgKSE5TjCVCw+lkUvG8/9aQ4yfsWzz TV1HGFPDa4h2ySKV1eQGaIUJurkOg2GBpGNEMfWyPsfKPjjQHLbDWDw8qE9sLdePBLJCBc8Gcqde oibDfkQlausidjGmujOmIGWj6tD5JiYej04hP6jbjxhTNV1u6whR1JNmupcQtBy9iMPbUNSFXAat oQhFLTQQbd9s8EYQzM8h9yeM8IkrCLSmSP7JHCircDtlxHeOUN0DnbKWEPjZYE2OXQSMOdHYwONR OOQriK8GVcpHOGdEJWoanlS6YhLTULenraZMwCbHNRocUYlaYpR9TTHLqGefmXScYAqWw8OweQQh nwma1GHPsGrPF2WO1iI+469giKQ9GzRBsMiUOMzZz3j8n40yrjZ8ZfmeQmFELfGcbZy70V2Xapa+ l131iPFsguKI2nAx3QevfJxM78TPTWrCiBMpcr4LMw1oGpR0VXK3llAUUWeDCAuMQG8sHh1wdBjc 1Wc1LTwfZPa1fm0yFEGpwWNTFEXUoHGcb3xJjRoc1OwRNbDf1m2dbgs3wiI1a/Ml9zt4VobaPKDB lGlANkZpvIZYpqMZUxiQqIy/t71scAzJObcww+fgpLKapEp9zms8HtU+vjENxJau7cfQDIvUmKFR TaXzZLDDO/Z1e/nsHGfTtJZnrIzHO2zk7IMH1Ptkxa1wEbWzC/bgpZdQ2G/UYaqPUD79O2YI+qCO xRhENoxxdShBV5cg9bn/8XgwQe0XtApFpHW5HDMKqHGiniPT9AJXkZkXUXMSzIVod27klHX+nACH v1WDHc0HBw6VBP9XalH3do6LTUjDXKQnQrTpMRacyKzwGVHaijnt0uvszTreUAUvnFpMUMHj/zqG uIIa0W9XhikKp/NZ3DvTxRrH0E6XdAfUqq0gdP50DM0wnJYUis5pDl6kTunEv503/Tm/J3wEODMg U8NyibaoTm2lBMEMi9RD4mZz+6A9hjJBM+5vxePRDO2vrBUAg7MIPBaaUTxdspTfnA5EhwcRpnZz whRt8zRDYYma2PGeOFEcHAN7Zghqe+wlIhNblPSG3BmSxoJuzLVdJPRshpAP4Rmu9bJ9CxkmcEOH UMp4PNKf/E5a2ftHLm0TFMXTtdBhyRCeJ1rr3o0u2TGwEHoedgiFrERdYQIJtwckXNY+GyBhbYjJ AdcIFmBxYWPiM345x+Fjr+NDgk0mlRh0FGzQ2XpemlxBoH4rHg96ie13O7pAJ8+DoRmH0xDC3915 mzqPGAzV5IgPXKw2QxHiwwW6U/XBN1lNJ+fGWgHdv5wLgYLBk3E/yAZLhoI6hbbeNTimOTMzP4Ph qk44SkDCufEHHo+ixW/6OHvbIPHZBEWID5TNz+2V7BTqY3sbKIvLKUnlbmLBMypRL8lQLtouc/Al BJGGJDnOhkUkqZi0cVhU1kAebjR1FmcUTid6UrcmokIjlZ1KMS2padAz7rEY8VG+JgjGRfRqtAkK OYmTMWI3BVwJXqpP1pfDO9NqFk7PUC+vsAvUmW9wcFQVVDFyJ6oK5MoJ2UYKEJyjRF/jY9RF4u+M CtRFaKpCPD4HJWSURm2snG0+HkzQFYohOgSF1zXuKR0hPkRrTcuUOgd7vCLcbW+TQf3awukZhdOD kLxeqA3XS78ThKZGTTvR/H3Cl62ZQkOlrgs0ufstUBNmPqNwupH4C09iHww0tDLxOyhjdDz+L9AQ SwH4UsoVep0hJbGzhO91oZaTz9D5qr0uhKqf7bFQ5EOEu80+2dhPnwxUKTiOdckxLbqqoC402dY4 f663G42mP9/1DxnlhmatD95p3ZqtE5jyFSNMzPceE23vKlKuKJhGrL/IGFB1uiRXhZspecrah0tx rwjxMXi09LyTDzZBBTX7PhVMn6A6i9aZUXetoEfnuX9+KXGvsDqdBC7P2QetoDqnZFdAVcbjESPx XvTU0N3ExVxVyrA8fV4UbCmH4W93UxpTUtNotU7UQjRDIeSDu8vIYhwMIDznOZ3PUcpjuk7IftCN o9DbFgWMvS9oCCsdnxHJ5pEZraC6IjphAJShSyk+WT9rF8//F+AZsTj7brIVqk0DzzCza1hMqk8I 8yFlZZI2kexphkLMB/EM5zCygfmRNllOkIJjLHRSS1eOg753hf7K3NcrkNEgPiOoThslem4fhBo6 EVSXcRl4v+vf0TQnSEe0wxlWFE2rw+FuN4uFHWdy1G3F15m3le9XiKAm4Y4AbBu8W4+LuHfzdgPS i4IpqXBOqlF+TTaPuLMVVqenUK/jDnZMt2pGU+cH4vEoY/0unOVCA6Wbb6wonD7755zTLHoKElNM rersmot+LYk0hXNOr5CSSL08asDbYDdZIu+3m2J5hhQsytMoh8DHB73oK8ZEZiQ+I6icUWQI7Csf dLj2NHiOQtVk4vHoIvOcHtcs0a+oNNkERdF0Ljin83Q3gLzd+W7NUW4slC1YXKHGh/AMLd3BTqET JbSTsfKqP5Ep6o5U7wRRnh6pGQKVbjVJ5NmKEB/AYuL8YdDIwa6fYjuujj7weIQZyt8HNeR+Wrt9 xBUiqFG/n7tqinbifla4SKNTTlGT2BCmKIynecnLy06Dx9MTwUrhXQ/UHvXhYMkN0M6C4AMwiVYY 2iPrZYMpYnusdhI6amdwo9riJhzsLMrU8Pi/JIQdVtXG5Y6vkJaIpGjyYGW3XnzoTc5d89piBY9G MxRDqKV4bzfajRdrosEBxBHQ66v9XGGdhSHQpmBtNojNE+JjDr3rn4WhPO6gwhCg690qZ3zF9122 bp+VgcE5Q3q6EOoVQqgheVaL8Q/hcn+jIRqCi7fJ3UAJ6rg+TYXuIWL4hausUiHINKp8Jc7VOgD4 g6LXlHwTfSGs0Yoim142MJbYZpfUfLDiK9wlSZc6xx0eD5r1NxwqMt4A+DZ71rrC+vQ5Ic6hNd2o dF071+bC5mf+YMGpKQojak3RTHfwtL5kmG5SjgkrdLSsKYIaSsO6x3/zeGgMvWzUBZJ3G+80Dveo 3rqLTmSBx6NF9CtiBIp+lMu521FMjZrOyUqHUznkW47rfib3vcc2sT7ZDmmJAgxlqQ3lR22ooMcM fUquIsARyqSjO9CLqJCQkGMepSxQ7yimbkmovGcwYFU6P1mSXoOPR1yXbzgDaS6zeEi930Pq89QS 087o0Q2PmHFyWg4SZtNVWuYR4kN5axkl+fCghs4iSay/TkD+CnWEG3yTB2C6EGK+lxmF8HcIoyby tcvgrl+Duw3HeIF+1h54PJIW9ICI6w1CqHSQ1QyFMOqOS365jPBM6ToFlGvc1igEzhmKQ2qWPtLq Ptxe9FnIpBSjNgRTLFqUbJIv6WW65oXE4BPxGVHxjCofgy5SHJS3nmiIVo9I1Dce/y+kRGQRBV6+ NkEhKXGw9bOMcbeGy+FDX8jVzFm80wSFgA+zR+rFh3uVJViSLOYcEJ8Bs6nL6q5DfiWnmm4XiHfZ jmJqqVOCOeGDjo6TgVQWh843zXeMtBd/6ZtuIve9erZDDDV6rb36DJmgBWQsMq0gOUOT1W/OUMxJ 5C0PGI0NXj2DMcMe5HIA9r6TCPaUFgXun29q1TOg6fEZQdLBslmlBYsGW0LnIJNc+0nt8fg/pXS4 XqBrXS4nccdWLoVVRZMzN4orDSdYI5fQR3Ohjx0CPrrUmNgn4+B9srOFUT1hZo89vKkfl6GbJ2G/ /SjA4orBZ0RONywGNPpxcNAMNbjnMqQ+QSAej0ThbkiNEx73fK/XzWVHiA9oLp1oq7vphCPQ4NN3 1xCEnm2GIsTHMHjn/5EgaAD5Z1g9ghwNz6XWiRqiE+lZa8A6eC+6d71rUIGl9OKmtbEGzVA9X7Ga HOgk7tDQpZavcxrUsArguk1QGFEXyPiOLnsSqLO7GtPspXp9kW6IaLXuEPHBSHHkWXzwXvSZ3jnh cY3yGfxImeGCZHTOVeCHpiOEhU7HZwTxYjKbtu2DMvuTe/dknLuBxyMd6m8FWDQIGwiSNkFRRI29 AZdTY5QB/uR7jN8KSYmp0cy28+f8VYDtbdzBT6EKXF4RqAohs1ppMKj6KUC9AYvvoKo99a5/lT5a rncwzBDIs4qFFh8PSh/puwC7UCl/hBd3KEMNMZ2VzHZsLVoKcoKGdHNxCLXrtrUjvMesAual7YNf ZEB4jCHTiQH6DxVTMjhYFahFFK89FGKTY/8t8jFauoOaHCe9G0KdtdLweJS0euVji2Z1/iJ6dpoh KYK9fuykSWSt1gfigSeZj9WrgcxP1mBFfFqIBYuIcDL4C9tgxY8Mm2OaTfBCO5H0FpkDMMeNKKvt K3pG+W1+SsDcJGBoyNd+IG/MureXWwWdeGLyB/wL4cnOGp0H+3WeSKF2HrD4bSfL7gt7noR90NhI heoKSLVNUyyexwr9ttl6CiDnV0L5lZyXcc7oRDDMLijqTLQv8NMe89Fsrxu17VkkavJEbv4pBZ4M SfK3rfH5IPV4oB+cJtQL+sVX0ZE5SD6gXrWzwTzpfuy+msUraQ2yPtJ9TyH6o8s1oC0fvNZ4MrOz zQZBaBm9dVq4ddqegGmf8SVca81srxtBrBgTTalSwyuD1Q8gZFpmxfrs7sUfEMii/GYCk8z+WL2k qGYNaU24zynvOH/KXnDMychmMMMpyacpdknkhZWpscPBNh0cN9E6S9x0U27eZ9NVCnlCx3k/mw49 XH1KJEnA+ckMkzj4aSJ8M3tNfD6Covnhjb8MT+aGPoTPUhRkJ6o2UK9WR9M0ipCUyDVLBQpFtuei wvWQfveSE+nKT10WBh2V9kl71DNLmTbkwA/DbBcp4XZLe3rV63WD7gfdNused1C2D9StJM43jBZS jATJX1dcBpNz9ttkpM94UJZHeX85TSgN042BaGURYwjeU8BAyZ0rlqjW/Ozhg1eNgOLKfZuGTEI7 h7RgUB3wGZkUb0vXGAfgUyLUHo7uKcff6Y6/kM+A0hora2nz+YBbnr9xjQjyO2RWfJbCAvbsNAXU eQSkz7zQzzxtlniFELeXUxRuT51MsxQfPOtPEDcXWvfksyfP5mKCYw7aL+d6pnGrTqad/XWDcInK euewaz7YlkMUL+mT3fn8v4idPO15D1yF2JyikBuKyWtUUjmQv9XuckRlSpgAEWUfNxoIq9hsN5bK EgkHP78bEHuJB9OEi2RnbaRBRYHXHGbGIiZiXvkhES6EFUhIgHNIip4Tvgsi1fp5iM//F/geOOOw pbyTFCJDFsLuvi/pLF9kSOnZS5DAmZgdXiQGUknuBPDDBwc+dHClGv0Cz+kEnw1lbmiLIVqH0ZLL 68kgOYVy1XYe9eKDSmwT7k2EX5U2+Hyw355QgNkt+G8g9fsshexFmi9IphGxkypHVHPYV9J7QBfH ZikuZnMpTbGE52UJFzBW1mQucmamnZyQAnLY0FA9P5OKg5ua3oUJBz8kqiKRWJXoIyTUD2cJjmsS /xoMK0MXmPZL8QLxEpphNkmhsyJOZdjAmCse7UmdWtXLnaTsSymwVjyXT5MQqhSHx6M4jIwEKnKU TUngWbG/lNEwopPQng6WhU2lvW0kVyAbtHEHYzCeFE6SXglu26G34riKuvjLODp7flKU0FwRk+JY PiJnrZOdZ3YvOES2u/skRSiRJDnLLAxEvkElNL3P3SDhakCKV01ac9hiTMRxdjqYL9nbRiRGmXWx VMJBk1SxPjlJ53ji80HoXb4VrriS8tUsyLG/Iu0D5UUOkoPLfEPClXJghWRhaHjwfgsNFgX/6Dvd wQ8lUqwGleQgYID+NfvcED9FlgITDCcQFX/bv7T2um65Pnu3+jRk55NO7jr4fLCSrmNO01nwQ8N0 n6SosL2YnlAzU/LVph8HYDFRoYU6ML37JIVxN+GOc5pIs1uRrLPD6LRUCOpL9excys01WhcAl85J Mp0rdrFzYLKISGcQrIZISYPgNPW8tjTQV658PpikdYtKrEAhy0Fj2GfpPe7GLO0TKs1tS4k8bKu7 tWl2r+ek8g5SDnwWITw6X0kgMC+F7XFn4W3Cn1mKe/wOgS7OMI2y7KSbm2msEdJEF64aiq0lQN+X LBbg1hk6LZbb7ucsoUqM/qXPUqRkTdLnpAkHNxwrqNpww+WckCxlX0sxakSKV7n4YLM0ztUGadgk 1T0ijwg8QtaKJYYymAOPFAUEXouw0yqMAC0QtIIAvHmq/E3P2VT5fNRI+kZhs0kPrJ5P0t/eMEIZ UcKA1GFG3cv0ZBG+VUMZ59BtcZETW5LiyfTEk8AbISamtfJqMF9UPLlAxqhS+7n92rnsdSNrDxm/ sh3JwVK4NUnWwj2w+Xxwdv8S1QdnsJX+RAFR1J3o5DUN2QeZuWXlgDbL9qoJM0/NUqQW0kn+xJ3v w8Uatz3mYqILBazUJ9E1CGj6wNxiklzGoNrb/oWDPIl59UG9gFmHGQpOe8lIUeU7CgD2qD1CBjnw XMxEDq203N4DWDyDiu7mjf8T5uXhkxQCskneq4WlEw4+SSd0bKTgIBEE65qskNaA90NJAFoNF4KU pr3uX+LxNJWzQQHluVGF+ysnjeDzQW4yvlVVCgEk45mlEJPdsOF2Mvkr1AUMHjGG6aaen9Wv8WLo vDhEfJBXd3u8uiFfc6a5Cdd/4mMWYWGelICPADoDHXjru61kb/tXW4mWwTYoVio3VjpbgM8HuUn5 niRmkvlSH3JovogTdw0BI9jprn4qFXVvJW29DaqVQ/fFZe6Lbfvgp9K539r5qlmlZJ+yiD4D/eaF ZO4h8QnMlkP7xam6UqcGDYUG1SM6/2JxWfWClRT4LyJL/lYJo9tuucoqOTRgRN/3/H5TBQAgnIeV Aho7P+ydkDhlk/QPbLbja2+sVM4c0MGeHCM0dzO94VB1+OmNMszXy2LJjzp0YJSneUtcSS1tR0Ui RTR8f5l8PuITf3t2FxVpnpUUaocAKVGXEWaWub+ykNuL/gjDGNcOyYEJI2Iu1t6qoGr19igLoEkQ s1HtbcEFfrNEmenyRxhwcdhfrsleN4oo0Z5cmdoPCwexSIqQTybSlYbp/AER4OYmJ3i/jpilt2ee YkQJvSya7biq0jdvOGq2Sv6hpu7zFKO0GQBsFQP2UwxAgeH8DiBZsQx31ivigAzhVnqfUnzGE10y 1nLsxUgkQJoEI6c5vbAExYkunl7ufD6qBnzncJn7PN2SSeDGiEruRg5ncg9L6sgMvMfM3aoBa7kb Yw7sGM8PqiwDNGmptnIbujC+bKDYU4rmnCyLkOVENSros+ycbsdbwVJsx6goaRS2vHE8UZQRCgpF C/icfJM/INhz0yu53F9EIff0nExRwZv4rYsZ9Q6BxIprdWjJvPWAwJMRVGUCkxKt4Dg8m26eNUKo bUOjRkElRLB+oD37M5pXlkziMYeejKoHIBzzQXccuHdMVE/g3fh8sJYuClD6c2e2xwXb5tCVsVEH sywHufXqjnpTrQLMOpAmPkmhSB+Tjq6+bn/6uiAZrhMGAUK74ZRxckPJIdBUE0Du5WhbecDxQwL4 BBlVZztXH2ySEKtMTdLm8/8KvHUCnbujzGeSosB7EGHCK4mZrsR5lemu5AgTYLptkmLQNqGAMoRr j7tnGRDTyZs5XB0NAmXkHwGg1EBWXwjqILZzNpxNUogx4bFNYWgbFHhnmMZJfn9PPv+vRBcLnVW8 VJ7tFgXenVTaNQyGM0Xza9xuDCmJMgHWT4luYM4IoBLhEy0tHxzKdQIZuFoQZgKP00RIU4ZIUIX1 FdFUFlLuZW8bXHHiiv4vg0/0Q1OVGOHm0R0CTe6hxE5wkqHvDbxDf8YEJbolkihljJOBlc7tkfqF moztSylmQwrXTu46Bz+7Wz4bGkJKCLyByiSOYuwlE6YTCSDXnOp8126vG6wl87aq3QdN0y7wJGUp t2Y+H9WWvqlIqG61dtVFcujRiLoVutHOiKyt2tHdAU4TLbs2d7HMsUmj9I3KGj44ZKnDhqiI8IcY eVQJ9uESBiGMoBjve3d726hKyRR31TtokhjxiUuMendg0/gdeXOSOj2ubhgQ+jTCgfz8IttOpdpv 52SyzCMz1OtCmAOjxmzc7N7kRtjS7S9l1EdIEgFq8vyfWlAJtWeYx/6grn652d3eNgAH0MYDwkA+ qEJ07oMhl7OGDfeHVeMvmz3gW3u9R3ds1TiV3lpTwGRGCHN3WC4S3bl8JYUobrJqS1GBqTyia/lE to2Qa3AlTqCKG6WDiAyMJ3FLY15wl7reoVuj+elC3d4GbZEJ4UEBctLg8wHQZH1nulT0LFe5L4d+ jcAPrE65RrFHq2oAkNFzZxDQihyPExs2LiEjWdHl4BCKcz1USHBylhIArcxP0IClAURO6zrrWtc7 sGyEw/cWY6v4INhSEhqKWi2dz0e689/mF43k50uxzbFnI0wJ5zRL+DNLzVjIEHXIfirJ6irpB0Vr ibNEaroG73qjmAujS9kPr1lYf2tA9g30zvLqj+xRXva6UUeXVUoA+W1QsNQKSwvUl5p8/l9kdkwI QBytXWH1HFg3IsU++yyzR4jSEoQsf66khkv4IXT2WQpFR+gOcjbn8sFvONS5N0TeC7q4oIMTZ4JP ngRCk91htdzU7XWjG465LgQibNCOS3DDY7S0UTYJ7BvVLPwqm+DaHf05l6K4e1QKrrr+/HRWKfTn nY5c81UTzYGB4/W8yuUOfi6hhAuNPiquol1JZ7kBnMOgAdS+oFwQCPQhwQ3H8mSRU3O5Ts1Aio8m mhuPpVB7JH0jKICpod6DT1Kojg3g8tkergbJEg2XUmp7eQFuuyF6jj0cKQdJTosNty0wM3qTmyr9 ONe54Sr23cSSz/Q00YZbiilDE0f0UnFqr+6DjqWzaSWqBQU7Ph/FlN/HEkH06elWhi6OaCueKA8N LcK7IdAomfVKgw1CcxMNWliBi20cVVwScomDbzjsMAK5hTkFVJBUrpOATVTVM040r1N2f91I9FAa Uc+gtXTCDZNjO0Ernw9CyvwdCORMdvt8FlMUebMcQJN5qdGzVSX8G9wqqEZfILPv0xSWvJmf5NSH D5qmc1POeaJvtitPylugkvAD92Y0SieqSOMy3jI1gvgpEbOU6giJ1ioc1GOaFPbD+kjI4gI3R8AM v2UkGPKvp6kb2jmiTAODAW/qdmeboLBr+IAyKBrIeCnwc0RIQetm+DbaYK3vXk+qvJGUoIp+bu/G Wh2TxDIZJV6ZYzb5+SHRJHHH0XEVQ9WaOKe4WCCGDwgMHcU2+JIYhR4jqvM+SXHNm2Qu09VYqzlp 6XzT+xLfQNyxSYpQ3kvWD0NirGPfosmkBSYafuh8I//hpsxMIlCFA+XXcriR/W0DwKmIXT13H1QP OOeqGkTQYefzwQ33aK6r8009i5ufhK6OcENcq2djVUzBlzqhlCl5qkvjPM1STKA0F6zig5/ecMge 4G2z8z0IkB6UVAMQq3/hcUpW/S20dZTHSlvqDFD4iUVZ8D4XHeNwc/AH/MvfgIUoIDK/UEuBsyNQ SzizsxmkL4VmJmLXmxXgFq4em6Uw9DbfQgYCHC4+oO0zaVSxa5W1Ocad4LtUFEVzf8omipYCa8dk On9Io3xQTHmOQTnRnAik8vl/CW8ozOas5/pMU1TzRgthJX6g3Jyrq68DIGPTBHkSCwVaGHuzkl3F k6y3A7TOoQH3wtEl9pfOEqeJKvQC1OQB+d21/tq2t/0r9D5HYPJBFYHSqGuIhAxI+BaSKdsvnheP pHGvuNDgEVKHcKQROPD86arZbVV4qWYHLL6uuMDh0SHeBSqvNviOg3vYRD8FkQDMq4pC78VMk2Jk X2CTba8bUJnYEiiVW46DIoGT+hDViG5I5fNRNTd9R5XECbYLXg5cHjMdoyAb2Sz0VvsEszRK29b7 rnN6vBTYPGYrCdTBK47DDb1P3AZtUEK8B3y30BeooOBNnPklPZbFk5JtOTB6VOED53aaPli8dP4o b6JZ9XxQXZo3XlLFpRBT+aymUPovAYojZAnnqTdLUZpXLalUsn3LRXCTKmvnLnxXf/BdIK/kXinm 0uaJMJBB9L3B42m44aAg8kuXLAd2j2gzMVAqjAg46JY7u6FJmm6iZRn4PX6rKLB/UtO3WMnnNVzK Fnu3tUwHmfcG19J5c3MUKxABszl6DZYyGPBEnEqidXxLtBYYF1JS6KwnuO7wEgQVHm4H56dT+89Y TAiWXkOlDC0XIkxKv4N1BXYRn+KE4nj6ZXay1CIwO/3H6yso5hb+m/OtRLOzuG6ykSkGLyVp3dQ9 DNZVdvKCSTA7aubiEvPhtpZOvE2aO5A4GVR+SSOSrwWuLAq85ndgAPjX6UHTRBrIbJpw0Il9kgOC ZX/grvx5DZEyACbZp4dLDbS6RB4cpuc1QMqGL2mO5T5BRk92p4Hmp4PoZF3Nqm6v4REWD6fnxIjD B4u0BzRqNzmBLN+mIR9DmE4XSZJeiZJpb/q+eAjAAXe7+WCzg64Vg8KTDX5eIyPM7fbFwwgBqYjK bfjI17gIa6ch4ycCUdIJV5+kSLqWMfbw7u1rVJSz4ZO6AkMODngHxXFlafumdFYVMYMJJDA4w/5A zcImh/7Mn9eYKMvpAnqjW87pmG41uNNJMXm69hMFf15DovzIZxteB19LYSOJLuTR7Exgb3syCAAV eBVbN/G8FTV2E5Z4DYZyuuric/rg/UjAbeWSsCcM/6Bm0/dEkEdjl8yvcYqMy1T2NRbCwUPITS3M ZznYLX8e4z+0fuLq10gIT9dmsyO/VVwMtdrsvMZBGU3tweKaiyHKK1T6SMPFEPNwUYnXKCgnCuKh a2R211enDeKO51pBGn5m58w43Xk6+HsQIUMxgS7gwkewrfd5jYFyJowe+QaJym1d7fUT6hpJ7YQn n9cICMugrq+1gwoHVaw0O6/xD85y5GWdcilcO2U4TyK13b3lj1KFDCCi2ekSrE3Zh0sAOCcywU4o PEILXrNDMTsyC1Aa8+RV5gbR1iqIfUAF98GAf3uoTQUi1uc18snyEH/WDnDtbFppdl6jnmxCmnUW YR8g91cdEDHcPLVADVNr5zXm4aFMidEuzlZ/OFs1062bNzq0W3BCoyzbTuQwkH3m/Jikk7fyeQ15 suqFUJEgvY2DF/ibvIrPv8uf14CHb9i+1k4FZBVtcM3Oa60RFx2gR2Oui6m5gjbnBVyuJYG4JeWx 4FROFI2qCmY4eK56DpqTIrE4tCCagOAczbQGDcJJjc55YWyMzV7rjJgddviTrEM4qBp7FqeAI5DF /bxWGe1k1OxQsbWydO6n8muJEcu1klzTfWctB2YR6+TNxrStuf9aYMxXYrRIN6o8IPZzlwNaRpDf OaPnnnyvznYbLG8K8UEGXqN70Wt5Ed/AYoY6dvdBIS5MNOfwotBrcTE/jEg7d85cdQJLFQ1GwfIg yr8Pwz6MbWKsCD6am6MTf2dUv2B+RlajWnLHrVzowzoRO9DqWS2PfE5+wtfRIRywQchk5plIbRPp P9xcWDc4h31Q/RW/AFP4c5WANBrtrukzpPoY6uOZQsfizgVTBCGuxXomV1CSvdNgZTFfrWy4chin JlpCsi3qbA5xsJgQWi0gfRVuMPgwEbLWIcU6yXDHHeuYNaPUhKezYdWmD7q7TlgooWPYIn7eGWxZ tNxnEVGekhtbM/QeNKMnBFPZVJc39Hd2AI0mCydQx+1jAJpghrbwan3cwTcZ3EGHRFg7fMGzSEdS LUYWhbzHcgrl7lHYDMgrsok1fdAEQTkwqwwEytF73IyU7VfcDNmJTtUINeuiI3oAHeoOIQuNd7ve x1xOOxaXToiH4IyWUUETro+DTRCC5zRkBwqhljKoQALqJcArZ8IpcOE3WNLLBmmXGXgqeXfU4HlV ap/zkAY2NIydjSOiGYJ0SKcvkGboPXiWBM0ieMx067ZjQmgXY4Xp6vKZ77w15m84hzaV+jTYJst5 5d4Ra0APaacpLeQmhx2ApejkSEWtLomW9+jZC67DVH/gKlS1hk4GvxggnnC8fd5Za9ij+fukzsAV NZJKNUVRBI0C4KpqZpBA1u45VK75dyPuilP0HkLjyDcvxzvYRX8OuVFWH7J9gJAm4f/omp3ZofV4 udVWmvO8c9ayvI9PVsqkX4PqXAupEJvTo5TPO2UtyxPhe4bA9yn9ljbCKLpTEGm6JH3tDgZp7TJo ynAjvnfGGhbjoOrYJt16OFm6oC4AUECR6Mj58ScdkMQmXQ2oe3jPITuoozAakiFQ05rLBwWKyRSd 6CPxeaer5cc5xCJFLMUnjn5nqzFFPUtod/MnRBJ2m/dzOVutoLKgCYoi6U7P3TZ0Do3nHNonaEA5 mgCHuSsEJ3AOgQYPM1UAHV3+oPCL+ryT1bBOCeEn7skGnUPnzLQ24Fmfn3euGt5x+RriqQzA5Wh3 ht6DaXCxoZ3hW2uNesWyC8FeMpBHnK5CdBRND/YGZ2EDiINvsnPyAPmZSMU+szWk2bLLEqm/5tv5 sZbGezTtDlioA/igChBQgWxbomj2eaepIRy64bRIt5Ui+T5BUTwNzZ7VZD4Ddx61DVkgYxtFlrvw vdQSCgNq1r34tdrgFbJ5/lNRA7Gz6CFU7UlCTtwGFnh9xCHn1Lv+MUHnRMQBq8Gq7S3tZBnW+rxT 1Jg6fGdjLLz07XWOd4Ya7nqgG3sySOzJbLabGqRkGPWTMAH9qApiFFFLTLx3BdYyYdBNdk7HQvon 8DEkOFCQjOB9kOAgQOf1Zzp/v/PTsgrs5IEsHyxbPRmNWodn2X/e6WlMyPwQEuGv/bBKaxMUxdOZ PoWOzlsm3Euzy2rJ2TmCWAvlBL3H07gPRXPcd7BDqMPcPW/aYpzVA6eVRl9rtPTJwIVEtB9Ceehl 35eQJI9KJwWk9MfJEaKJvMhmm593bhovsvm1hEAaaenG0+/UNKxb3LWT+CTWyua8oEapINEanW6e nKH3eFplPqwd9lV7309StnF5AcwnHeje2OSZ0AEbRKng63cgGm0f3olpWWaViKT79EHHNA0QWeQ5 ++jzTkvDOw4PhopKAXCbv1X6MKDmJmvZNllv5XEOSW78bfWyc0y/c9Lw6Vn5ass+2DF9YvV+4keW E1GRJnyRausnJ6PKcH6cv6Ur8k5Jw4eg6tES0EU2WBsMxUl13DMfjxL774tsFhpp3GMoCqiBj1ik sFvNbC/Hw6zlCqztphzvfDRkA8lYxMkHB6JD4BYsTaKHO00NsIbAwuiIgUijtF3GEu/nnY/GGSLQ IzMp46BdBgijFEbPcfB5Z6Phst23XM8CJM77fhP7dzIaNifCxb1N92nNcSX7T65gMI9KhJa2WVST Fhq2T8aCHBwxVNMJVTqrrnNBImsm2j4gfAJdLlec2Vaxx+n7eeeiZckVQgRKnefthW+0jqsURc7P /bxT0bKsDm2bMfQhHJZYfU7Re0TtpA/5ObGnMbaD0AUBJQh9Zss53plojBpIZ1jaZ+vZZ/n87ZQW dXzHCSHgEEpmzImwcEZBM2U8DbGll30/iRbxwqPKHr3W5asIRlIMiVfan3ciWhZU9LnNAClvOFZs hsKQGjD9dbFCqzTHCp0YrD+LyCr37zQ0fL9sbMytuHFfoCe4jY34fAqKFZhhkqsnQTHUYMdVgySd 8vPOQmMBjLWPXGQURkNa/loLdTn88dwv+/POQuNp+Z24omhYx3MShfVpCK6f78BmqLYxvXpfjChT CuABNkPvITWKx4wYU7qDZggop3PpN8mvLuQahIGWQdbwCRFnujSGQbzwOwWNESNNQ0T5mO6+gfK9 mxqAgvbOQMuya/qaIGiPzttVfeefsTpUQN51U4M19l1C6dY+ZvEa7Dv9DJuMB9BSkYiDLaGaoEOm 6x6ZcErkqjcYV1Vy/NdFLNCU4/NOPrt7rHZJ0vULWYBjV1bheY3PO/cMAef43RzbJ6TfXj57p57h oB70c/Te4eWd42OLo16AytAKemeeZceZzUXVnik/Ia0gQC6o9wSOR90wciEUDb8mIu2Zr2Q/wiN+ RtCWp/jBuX2KD9aWHyfqFBpv5M877yw/ZnxWPcucG89a32lnnteP7YfQrA+tuk9vcpRRLGB8Z51l xyvuzd4zB5sgkgv3Rjy1MUVjbSa2DawjqAmxCNqNA1P0rtEEUfNJ3g8cbIudoDSZUE//vHPO8uNp YK35wmPxAheiiJrd53OcV4NQw+DDINS9WpujoF4qXt474wzLn5iF2YnK5+AI6hMyntOMWwzRz7mT t3AdGZIUSECfUxpwkM8734xJGY0uB+TjNChr7RMAJq6g3j/vdDMG/ftrBZFNCdUam6AooIZN0hqq SyM9S0bRh3pod0msBPCsJug9oPbK0NrMWjn4FkOdfsIAHSX83LZKZ60nGTKdiyHfM4haGO9Us+x6 9L0TYd65RzoPoXP9CWozUy6fd6oZCx/f1UXVftddQlFAvbvcQoQlX2s+ziqospsAHcynBX6JKtSJ PfqthuJ+NFUG7Yso04/KEGjCVDta5BvD9OeWPdgZ+byTzJiR8ZovzFk56AgCDKAJGTTn551jxmg6 f+8wsKd68jjonWJmFwN4LhYpjuk7DLVX1+sHTcGmJ6pOF93yPXcffIe1cyKtJUODiY6WnK+BPwYf 5mc+Xo6dUvTv/DJclEsulyrgL2NEkkG95F51dsLnnV6GlfDrCCI8rfQ7QVEoTS5+V7sHKevMVwqT PDpBPODpoTM6LE4vee1SjJ6DZxsoeIPGR1wiFItZe92oInZgqCFId4mKxAG/k8ss5zyHD3ChNqjD 0U7CIUHshS/xPZTWYnjOIHz/vd4u2Tu1jEl9AhtomUxY7Q67H7M1nyGU7myGwuo0FR26FB6hwYsT gfiyE9yc714oGKistyzZHCSMBM0mSFApqe/sI74Ty7ITzKuAZpWlQh5CG6RZ6fGsEyq+E8sYaX4f 04CNUtrLpiiKpRsReNlUHc9kNbdQ6+lKgyw0oTRFYXla99gmi4PD7UWfpV+rrlXAg9YUuBVOavDn m7d+n3mJft5JZTmZkQEdfTEAcja1iOaQXf04YdXnnVRmPaRnm5ETOi9+851Slk2Wd+/sJE6qJqsy VG09FVi1GoDznVGGt28yL+I5xMHzMUBtMgQpkJU19AoaAQ1I4CAfkkkLsMoQdvbnnVCGr5OiTgPR iQ1WO+u9Kl04v/bnnU+Gd8y/4EJs/d8ZeqeT8aoHN3GYWhokC11OveXl2vxZlqnAKcbRNNtji2rq HLwJdE5/oGKmsVzLnMbagB0Gqov9gdrT7ebzzibLrlu8MsWdz2ByThkcExk3n6j9804mwy69tTNJ ggB7kuadohDygbO6YuWSvtkgSAWGYoY8ErMMUEgby4uYoiienqrhS8eCg2OqIOGVMwUKRgc8ulTh 8WBN3RHBXL15isZ/3qlk/AzBFE08xcvgKKpIl/mkaePzziRjl+27/srbgS6JmqAwnp4UJjJz1DX5 U6gw1wfz1Mw1RL4GJiiqUKvw2uQV0r68Qs7O2R2SxVTh24AD4zLrwBmf5bQoQ3oli6e9bHBUMxBq aSQfNEP4sxhPc/PxqOrxHS4yjodBrM3Qe0ANIsxkziqvmfObVaO2nrcvzT1lC3nSnT8n2mUSvk53 8F70LlQlpMZFLnkjWWVx8YRFqF5lafBJr5g8hHcKWRa3CckY5Qo52Bo6v6m4UScK/bwzyP4v9IwL stw1FFaoETHONRwUw7KvQDHJxJ0LKKNWoX5nj91u/RQjkYNvsgHU5SBh81zRbHSwk1jQhkH3H2eU Za2L9t/v7DGEpcR89JnuoJDxHMHsQpA3/Xknj2EJ3j4QdhQDT3iL2QxFBWpyNWjkzts+S+OKBDua LVlAVK1y9k4d403KYDoR4cnh3mXQt9zmj041KpqEgUVVweFvD+4Vf4uf8QcdAW2T7IOuspooy0o6 wvi888bYd/+FuEdJHNRfm6AQ8AFc1Wjd1D8G/dqk2JCaN8pgG2MTFMbUhOatRWlCDpa1ZkClq5DB YIVMqRd2ypnBsogVYu2xzsLQO2eMzVKRWcfyQSuonCxwKtMqfDxqRvsE8eujP3qqd4aimBqA9RPC OUcTrn+3PG2WcxDcSz5Df8fUa6u2uJ/aIhVedqNFWEsnOO860k0A9ARj+frLDMI73+lizKuYj01S EDlY3roTv01yQD7vbDFCH/PXHmPzSZ67nKAwokY0NMkJISNa3t8ofFT5ExfpWTQVPloInyaBdxaW njn4BC2ahU2RWiDdJIlnVHl5/leaEjeVzpLeNUDmEXPf9zOoMnTuEdlcQ+EXjwfh4i9WC8Un1rrH dBhQZ9I1qvg9AHm4+kBfLpYOTzUjQ7eoOp2a8laSfzjcWGjvWRqVLDp69SkJVIXyOROrvB5jax3T UUC9EgX30bCyQUuoQ9uBqKqzYfF40K7PvzJ76H726Zl9jwJqdPKgkGoY6pa3OopoNnTXZ+CxK2rL e0Ct9gpAi/0OtoQ2Klt0lTQkbBZtk/QJXJDg1Vk8zaQZnxHEQqy+ts6bnoOW0Ens6cENJYmKxyN8 8C/YGUok9d5jPQqnsW7XkvE3zS6tb3+2NnHbnCCEeDZBEeJjsPSxM+ktO196C2xFygbDl16O4H0t azQSUgCnlJvXT+pX9Bjwwbt85+IDJ6hRj1sg/JNw9CicTuUb8DEAg3pqiz0MpzMU0Wh8xFN6d4cz 9HGNv2vztL5H5WkPFkfxwfbY2VaJ1RvmGyljkzFpPeHjBMYlQ2/Fab1I5fAhf9SGahUtszIEl20l REW5aMdJWfB8QD2c31lrhu14h06nzVFIPuyCfBgyr8ipcJHmstzGkbQyQj56FFCLQdYmdYfavLpD iBB3JX8bLCC0zCodJrFlYA+Kb7I+ogxFLxulrWRl4pa2waOhOlW6OFcTHo/Ymd+rCDlwpYe8Zigi IKLQt8p0mf1askVDow9XjAMYKtkMhQE1Z2hQ4EyDn0Olrr5dP35A0QV/M21MVKIFbbnYRRYYe1Sj XlajbvK2gDAN11CHzgqWQD8HPh4PrrLxe5sNAM3vORTG0wgXG01JWBtiHV0K+70sB3cid2JO1kMS 4mBRCKLLNji48xwR8IrP8mo4dw3PoQQphg5LlZ0uaAjGtPyMIK1nf+wE4dsHtclOrFgtnsZBHcXT aHt/pfVsgLfL0Qxr1GftnGALEDnqMUFeQ7JVHd7c1GMCCt+S1h7H01Q+W+sOXqPeUKzMFB7erUOR qXCPoeTBJAlG6le+cuplgz2WCWPoyl27Cwal82Ok9H1maOLxCHjm4SIeo6JDW16j7lE8jdgEvs3D hL2IMkZlaJ6ADCEQ6fMM53hSR/H05tT0olI14VF2Cp3Vg6Q1S6diMeU/PxpSHAPpGcJHI9slOqP1 qERdie6E8YYPwsOcIy6J6nKOPDweZPU3JRO+CCtRosOcoQhBjWbGKtWUFlbKZhZDsqaqITiEhm+y CO7RJbxQtclM94+FD8gK7cFTaKJp2zNrZ9hkE0rgVP71YwjBNT4kUmAgxrwQGMNBfQ7o8ciaureN x//FBmLtH6pM8MX0KQpDaiBgV+sC3wNflawC25VzbGKGEBFziqIa9cqGqho+3KvsHBJUjcV1XwDu p4cFGQ1giedebreVFRN8SJC3ipUo2+aeL6PsxFyShzkJzsTjER16/5oikotuBXZEMTVABCfr6MXz VtMWhK7n6A75WNmyjhEVqScz+zkp68nB+9EQlc6JYsNnBuARS8gHcMd0XUaX/trqZL1r1AriCVRJ RuOgGTopzOAveM6zjMeDmLpfTAzRi5CJBxHKZigKqjudh3LPdpmN61/RKAYhsgv8UUQZD2vU09DB yQdbREBUrTpUYCwnHlrUPwcW6ucsivNJtfQr5QWAHD4kmCI1OoT9GHRaVy8IaoNM9IFQwvP/6kmz DgAfDXoVaopiHDUB+MPqZ4MeUgqI+nSuQl+ONB9RlTpTTWgvJu57yV2asJgE7jKq/OhJo/vKbtlA zx0y7yAM3PsenSR8RoDvJC9xTZbRoGir6PgEFAI3oz3Y8XjEB7q5PaXOQOIat/oxQthHB92lu2re ScVd1Nv8UMkdby4tOKKgeiU1EnlmgwNtM9SAEJrn2yfso2Ni+FdYtKxdijn3vkfej8/4s5/Y2Lzn oJg644QjtfUcaHg8Ooi+c/tB/efLrR9RTA1thJN1eAm2t2vKlJNp5xUq3tkSimJqsxtMzDo42C4D +3d1YvlPyHi20WI5OwPOWAlIwhninDIUNPAhwS4jKg/uPBzwC29V0AD+4q+dS8bz/yKVcTqwbh9+ 9Iiiamp3DbWfacmEe0iUqWEKumcNJU/MRhRVLyEa5OzBwaYIfPu+6W16EuOT45YksTwKnAIt1S/y g7wefEaQuybiOzsraBwUVQPZ7xT7iceDY2h9N+1BmaJbsU1QCKIelYwgX0PJNYVmKtf+DKoctoYi EHXxxVN88FZQZb2bjKATCA8WrKHPXJg4Fsgq3F4Z8Z0jVPegpHSBv5AN3ueo/AV+2vkK8HgQEK3f 2CGU8a9wzoiC6sZz+rI5qtD35JS1fPscoBlqgqKgWu3WKQWdKb5LVl52Nlgn52p3aDpVaoBg8/3Q D21yBdkeOz8enxGFQ7zlh2QEx5URBN6EETaEIfF41Cr7BsDKcfxSpkYUU0OEF6KX4icsNLacMrWM Sn6mGfbtmqAwpqZ8N3SnffAabAdavfEUGixTs1dEfmsd4KOXdGVyt5ZQGFMLG5zlfYZtq6Mjn8uw dR2+e+H5ILev37gPsKwr7k6bojCmxkE9pwmbr1WY2zOmBnXC+q2kq/AUCsvUqs7vMnzwmDqdfwSE DoygM9UojSB1ZScG/68wIlEhf0tCJyQmtqQ24vTBWWUnO5Z3cCl4PJihK95Fcj7hpawscIpmWKeG FMpQsQwHdb9N+0ZCrVZRnaa2PGNlPOKnpfUxH60P+FSmtoRA6zgyBOYrdMypRIE9SvnF3jUqwy5d ZdUHA6ANwKoYJreGx/9LqwN03UryuiYoCqkBxId7h7qs51PJu9sUVTMRwQJn+628bIbMRP7aCnM1 OKsMuid5s/wx8FORosNSaMOegnyMq9kNHRl+RrDLhrSod/VBEwTTD+LMoQmHx//LOQTQaUOtyiYo Cqghfow6uxXQdnn6rXs7uIpWojyHZkhMlMmZicaMixIGQBSwlS6CPbIXgauwKBEIo6fykFupQTDD OvWQQyVTfA7aZHtLUwvhVcXj/5ohIvGR+9TL/p1RQA16KaTfsoMaLBU7wW0zpANADe5HMcMqtcE7 c/bB24n5JKdlkWF/XqgDscc9htoVVN9qdRu4TAslfEZUyBfqg8ghvbPOadcGh6o1Hg9a9tNxMfJE PT+sD7/KZhRQ4+Zdq1pDeqd2qQqwL/IJKsuYmzPkJVYrD3UfPKA+k1HZ3CaCca49ZL0MFBALBsBo OxQfOAV8SCRjQWXO1IYPVmIcUzLd5/CreDxoJ954mkvoHECN5HXNUFilnlTeSUYHopedRUPp6ghS TEEzFKE+zGepPYNvsgV9chhlY4bO2gKbH98/0HGgOC/m9YqGaLc4o3i6UnuAjlk2GLQKzH2Gi2ch 4/F/kX9Jj8Zsr3knKEJ9DMpzE7bNaEhoISUc48E0AGfACYqq1ItR8uiktnLwMj48SzPFu87RnCHr pY408tVKcaabcAwKLc4onlaBcSRBzQk64jENsMcWxQf32H+Q+VCzDJiB7SnZDEmJhAlfb1P6jFlt qFjyAbHF7qdQqJhXmYtVK8U+GrgTFJpNZd4NB3j640CDAAxeNHBYSvcy/ta7RsChJTZH9kEp2Tni ukwV1ubjEbLqOyVrNMMc95iOUB9i2IuriboHIT/uQ+nmShmdY01QFFAPWivOpIgoPYKL59xf56JB H/7ELAvBO9N6yNE1cCMyNbStSE2o+YwCalYEK3+CDZZ9ptxshnrH4/9FxgJI2ir6L2coJCWev7cQ DmqGGrUddU53dwoolKDSJot1PoipGkw5ODgpEcoPy4BDELWo1PRqFK2DNOCotyONxj/f9Q8d5fMj 0KHQYN3WE14t2blvvuK/gkWWztTX9j22omgaFMGTyy9HVkFWyV06i7MVEARrCa0I9YHYC2uHE8TB JihDdxEQEiat8GmkfiWV5irEPmhl8K3EvcIKNVfQEJiag62gE0LomD6/MR4PSInzGwGbN6ExV5Yy LFBDD3dIC24wFNp+jxVH4oMwZUoxK0R9GL1ekI65HgscTNEmcRgsPlAU1Y4mEB7oRVBLDTeEhY7P +IvN0UozHQIYInGzlHNKMC2HARGej7BnfgpRdwcRAaRubYZCselGcN5y2MdcbjjVt9GlkbM2K+Gv EPaxyLZLLFMvmnv9NBaGJhpklYQXGL7vJtEzRKnElc59zQKpaobPCOrTFHGH+rkPAg5BIV79aBB/ VxxN/+Lc8YS+iIYVRdPoUoHu4pWzfekuuazk1dfuZI4VgqiJyhuV4nAcHAC7z2aYChZB84DZG0uL o5qg10Mqm4Serbg8TXHKzSXE4eI76zbAXcXj0SH0XTnLhRZKF2a+QtFpuHPjmNMmqxIOXiIce30a TWUd0ytkJQrXOqn4xsE22bkazx5bxSENG8V9YA+RsiKPm+XyEjcb9ivCfHRRo2U+zUFn6wmB6MEI XZOJx6NYyO+xC4CFbJpNUBRNo9GwTGIabgBlepts7eqSZyfltHtshSIfoqvKRbE9LooFRPuzCCWP C3U5Qas6ZHgn8FAZApXuNUnw2YpAH4vRYuu9++C3T5OdZh194PEINlS+LjIourV2g8UVg6iZqCZl GdCmd8mzk0s1myHIQ9kMheE0XW5GGtMHbySyyyF9UzATaLGHfAMrCU9laiKpLrRH1ssGM8Sp4bXL YRgf6MTm7F2dNZkaHg9mKH1nZLwPHwWLFRITIQo3e2nWr6eSmGqLdVarLTbow2mGQhB1tyus+uDh 9FlFwBdKBQW/SaNpAlDXZ+Gdp4jOE+hjDr1rFAuxETaYkXFQXQjNSf6CEJ3D4++bbLULrOItgq/o gZmvEEW9kKkSKia1odU8GKIGthS6i6ssr1Djo3B7Vcks1yuzDH8y+NSTlwjvcqoMAIMmiBHbZG5Z XlA04stGMGolrav6YNXX2nOWbt6qeDzo19+kle16YGDnrS2usD597it4e9lVtkrxu75RA4F3/QTw QDP0DyFqFV/bU3yt4P/21mhwsyCGn2gDdO6Bc+nB0i/TVt3CoTH0sn8xpmibaoOd1JVtOHZ2+Hhw 2f9ikFeIJZDRwRnaUUANpOtZft1maDI/lsqHYmuKWKBkwMt+h7zELVSMHMrWwwcqaBtXlurhDYxm fSdBegKdR0JFuxalxd41Im5Khkm1xX1ri8BesDZY0+DjQems/mK7EGlS/CrbISux0fynGb6z7eYp 2WzNUcI4QEzK/B/eLZWsIA4+QSfj2I2Woxsdjl1p4jrA9jtZTQfCadyrjDr4O8RRV5bOWPTSoHPo fMtSZgKcGo9HB/V3ZQjSYpWmX5qhOKA+M3SiAq2Wc1Bfo4DBBEA5We4mQrDjgLq+A6tyg+UvTcZ2 //+MvVmSLDuuJPjvawkp4Ty8/S+sqKoAzSPTECe7u4S3WtLC/cBpJAYdoEstYFGGJUaj6PCaFxID ODc+I2qdSRVu3kVF69n3lVpKp0rfeDxiJX4bKWQayqT7jkUJNfiI8PwxNfy1h6vhJ02lifeAWpcC FOI9xOLIdfjiEw7cY6kqoa6T5puoydAA6/CIzqmmOwPiVbajjHpzC4HG54uOjrbSkivpyU3xeETm +JbSQdVK2yCLUAiiXthClGhhZ6jZ65Znadkd3Bf0vBShEEQ91ZgmKpqL3/XtvADnlSLAEyCSzNS7 aEaPyO+rNQSzGH7XSAiF5Sq6fbZoC50LLomtcs47PP4vNIOJXkBg9Y44dpRRI89CI8cjtM0bGc1F 18OvzOMUoRjvIUwe+9Oqfb3xUdYJikYcp+YA2xERAiygddbWjwIs7iZ8RpANSQ5flQcXRaifD2RZ j5EwHg8usvJ9kaFp2Ov1ctkR3gPZLHhS1sA/54dvoSy8B7bQBH1FAQpF85gFdTGm+sOYgm4XcIaD OhYNXTVC9XPFTw1cOSDsPonu9l0jXuvE8YPo26IAnf+WxiDHiDvuT39fZFB5Pp/vvcUd59PMEZ1z V0knFB+ICqvqLdKLAHPWHeM9pGIhkeV9RZY7pIDO/xlZSubnJFJeCRe3ik06SJiS5TXV8HeE9xg0 kO5TXmSzOikRTFD1PWBnu0MV6rm+SrKCP5aeAEXp9MqZcEVXYyKURZYu/FWkxoQCTwEK8R7SqSom Rt2fQ+gcZol9aNz5ZUIkFwHCxVc6Xt10jcj3nvquQUWWyOSoRHhysQABNqgALT4e9D3Sr0k96p52 s+kdqlADMbSyQRQhxuRqQ6M3P4N6Wf6KRWiPKUp0FkE631To7BSkirIL6ECVFwKJcWQDtbN/0NDy TIgDjh2KfPAMmou01rmc1roWTbwQoPO/wOORyPJlchg2/ac+mnk5hWgPjji4uynzwW8pmY9kPRA4 u2z31k5hPk3tzjpInueiGKFjiEkCrV3gH1wKAcIkTA1Oh9u+omckrPNTAuYmRSkpKYEFCmxqNp+D Qgab54ed/AMRXcHPagoUIcnt+3F3SaF2Ht61zp44insyTgX6qO4kcErXvZPHKU6r6dE+1vbFO0Rj otk5qRiDzmWhMFPDL3t+lsW/9liPZvu60dCeBlOFk2ku/JSyz51LTgYUxPh8pMBYvrcTmvS0wvIw hdAPGlwn0+o+meN2h9Y9m48USQKT7nsKwdRLpgHjLg7zhG5R65T8mBlOd4OCFui+DEwJM/gu11gz 29eNwiRiGeVyOw4K0uYynfGKxIfG4h8IkqM7eSVtODNpvfYBKUqwYaJ4auMkmVwIAiYLU+5tWJiG 3rqkPxRNPQjhpJqHFttNIJnlBMob1M3xXmfa/QJ2N1C2wqz3JpBl29f9i9zRBllmXOw4ASCWGJkM U+QUdq3Hd1sfjsxNzsiKUpRkJ07wd3YJomKsF4zszLMDfNc6/Z2L2tZDROjBOTUXb1tvNEcWZ0MT plOb58FADjdRTlIJ1vpFHDDwUyInCvZ5sghn2cE4GepfLERO4pX5fFSJfIOtMsbOHFp4mMLedSON k8MyUKnwbyBgb+y+BW+E7x29o2nOFStUy4c8TV8cLHPCBMkycjzO1xkAKZ13DranlHTK5HhbucZE AJ8SYfY4G8pUquaizQQTb5nan1SMz7+HqV7XF0nMgbM0yvPOhfk20A4tLaNTQbfVdtPgaIp0qowh MWF7OUUJ98qyBGJS0NrVSaHWeYOdiRwFFi1xOE0jYBCYIqTeOpq4rfkpQdVGyB6uFF8MM1OgLoX/ nLvz+aA1su48X0MTMR9umKKsG2hsuAM2kTs5mhb8s4rgAfBero4rkmTN6xcgzLolzRLTbWMXUIMJ RWMLEhPfQjFvXNpQP+cBYUkTZSn5IUFhsumtjeEvl6lGEMT1SHuEunLj8/+SYuYxBhzpKs8rF2be xu+0HtukHaoIMdR3ETBkpeZ+eJEeiKCv4Nr74riHclI/vGLk5mGan0X4gOIAUIpI3FxhT/7IKZSr 5rlNQoEtShEnpNPZISlt8PngjbucGDw3kejtO9jPKexls8DtxUCOjWZQpqZbboGbrp1ZCpvZW13s lnzxkVGZHTAKppawWji3E1q1IBPQmJDdfkp6F07G+CFBG4mZJdT4fbGJyMn6xGwdTCxDG5jfVktI mDA6tyCFzoowKwTXwyBGZeV2d1LyIncC22O2gTFAhLSPRoTVdBtsSu90yIZDx2VDqQLqAmQ1AC0P vcU9HStb0v22f+nsNenscVGRcraDPCqge8jno9Gj7yRatYPVnS+eOIfeimR+jO7eipgUOsooub8A EWYepAgkIs2YuRWkfYN07kmMaBfJQyeBm0BBsNKt9FuCwkt5sHzJvu1fLMZTghdfFKSzq/JWQ7JU Ph/k3rn+507KV7Ugx/aKLHZbMwWeydkEJYoG9TbYDagYJ7IbkEN/xcUuyOwmsbufnQS56lS1kybz Arp+QHSqwCyHGiZGICrNvm00OyLDt1BHBa5ZSnrgVLSKJrR18Pmo8/9twwDOTm3PmRRYLJoHpelc gG61TIkYaibDdJzOPwUYGgUpTLy39JnzXWwnQTB2biT0Zddzv53dIHw/ggRYOoNkSlecYufAY1Hm 9CA8wV1Ii9A0sPdbJrhX+XyUA/yC04BOAuSYBymU3INOvPxVqFQ0zbELDMFkO6nAK9pft5DOqL5J leZeffRj5zwJQBYj9vwGYFVTFoRCV50qufsSibp5mYYiIaZHyHktdHptK50aVkIzp97cfD6Y1P5q voGtTnKHR+k97Ua2XAnL6tZcSsP0nGZn2an3raXmWylMu6eB+JcvHqWGAnYmsWIhqyKPs4Q0BZ4P 6IM57Eg5QGi1KD/ugcTFFgNmsfDh7uiVz0cyu9/XGwEr2IIepJDUSH1LWZyg0cQhsSXdxTUMOjpl KnRDs0WJGJwtlH25szaYdg6qXi0YS4FHCbwfuidk3GIS7/PauezrRmQQtnEb00kuVsKd65Jgp3MN bD4fHd3fkwCOf0t/TqX3nPs8tnF0p+6Gi71616TXXB1bw3+johTZw+jM7pkzEy4XfnQ24lxSkj1n UhssTRaUx2h4CL22q2NQ7dtGKEhOARI5oFxsJIkyUbQ0+5IRnP9SrHkbbsFxbpRCNDZmbjnPK1w0 nWSdcnXGAwDVHqUYjs3KZAs+sr9cumdGxVHk8D4HKBCsTKB6gLkpMp0LQUrTvm4kH89p0p53sdF2 Slmebudt4POR9PclzjDDJoLkSs/k0HoR8ikLsFcD1NI0UQCJ2lWmwGZ5NA9TlHYLNDMqCzgu9sqN meb52aXc3DdKUqbdSJ86CPSrzjt5W8m+bTTfFlJLnkPJpVWAKFwCIp0fnM8HtclFInHwhb4glEw8 SqH/IpADa8p/EdyHWZ0IWjUaoMcp1d5Z5oYGjGvIG05YgPZEKZ8NNNhEx75qUnnCLYV+JZ7qD4tP cLYcOjBOsR8S0bXUcDe8w9n5xDRiiMHn/yXIx9sMprLlqqvk0IMRckfwWJ6m35y39wKIKslya+gU TmWQQnC2ILXFUqH9kIwoL7iIJUEnvWh+kHBed8w9TCCUh7cMqUMTRpma/+eIqSRqtgnfXyafD7qU 7fJlGSWWRE//LfZhXOmmS+CD5u6nd3EYaQG811+4wIhRjiM4tuUAn+cDScrIkHbVVjrZJo0ANnsz pwTKAAIXR/7lmuzr/pFUYpiKc2lTDonZcpUR3Y+8DvgHolGT50u8hTtMAsbXKxfm3osZ97DZQEvW scQtVx1BmigIrziFOG2BbKf6cDPfV+5kMyfXmzy/4UmAF4uQ/PNJm0IruTy1LjlrOfZjZMaEC0WL t5Zw9nFK0M8vzef/BUQmR0Av+rObQgMZiMqv8dh8TcPegEzojJoNqruS78CSUer0mFbu7otH6ZQl Gx6Vmuq2Nchih80jUm406NMdeythii0Z5QKfyVQfwNuSg51PBTQIxwOocPIP/Gs0UCwXqP0rSlHP u4mZZSk3jm9vwKHx9+M+MtuN4ENXxtUF3pLD53oIorWdfGlOnky4LXORSB/hRgCZ4aQyuzjJPObQ lVHJ99moyxddcgDFsIeC2Rqf/9dWYgMONJ3xdARCW0aIBCwAGwznNk0FCnVcdSt42jZakEKhPg4G pig2XBxicl58TOMS2VknR09kZ1UTq4CNpMNtM6as+rYRhELgktx9sSCdwrFYkDafD3Lvx29HJ/WU EbRHKWp4n12Lw8jchxb7hlbtSlaEMJPqoOQceDOijiNDdArtNh+0WwdTdEpnrUFtNvGd5NCkIdtY 1M9ofOEsSiHQpGqwS0MZLkq+8/naTKdPLTX5fFTsflOQaGmTHkf40J0R06OzXbeJF09yeFnsNg0s qX+Npo6K3cCeMTsVMgvOlR84V6J9wyIJqaEDMzkBrvTagdgHDVAtqdzLvm1wxXFeOTKH4FwENkkQ JOORsnl0h2iT6z/IwwxCgbSg8yhFqTciuUDQspaAuiWYwMxS9sWbPFsppkNKHV00knZpJNCZzoAh 0NUbxr1AyQLAQL14HHe419EzxDtY/ev+JRQ+E4XpuChM+B26urk18/kgTLfalZgYMALXFCSHPo2T MjVXb81U1Qf5bFMB41jXAMo5NmokoW1satJx8QLl7JtT+hNeeq6fydoNSkeTWuEbs7Nn9u3fNjq7 4fCamDNrUZDWEAXGWt6BVSNa5vX7guusaNINUpR6b5ZRdV3wmxkVAPw2DLpU6KuqFy4wawRVg4d2 HtmXS2qDKQSAVUi9oelGi/SCsFWUQ0CKXnK2f9sAIEAzj9WlTtcNCZ17R6t+quTofP5fGFONdAu6 gLe7FPs1wncYZ7cuuJ3dd/hcdcO5AH3d4Ulo2KgWHNVJbLmgHCi9JCK5J8B8qBhhMHNy/IkMPbcx L8JLk+/QspEKPMT4+KJXBM1QdlFO7jL4/L/kDWkPco7gUfI9u0PTRkK8RrJrbQmxKbGR7qybSoiC 8u7YtZHv29nvd/EoQfM5sVjesHwGVhBRQh99IirnCL3Jkg2+A99G80GHhOjyRdClZFLV0AjqfP5f LxyTBrKfL5E9/2HcSHH1/kTpiquradnZg9vVoxTrYnNaOWv1xaI0B2LUaNE8MA2CXxR4E0CnQOk2 r/4IH+VlXzfoVC4il3bbvihbYuWrPKBNPh+c3XN8XXHErT5M2xz4N570ATdcrk3v2fmv9YhqeKcS KIq7lyLZEfRf4E1ARUguF2sC/3NVJwAOpkH6zYDD3MQsJQP+4P3c5F83eOOoArknzyUueuPOCz2r uv3omwQejuh6/2LgALw5+hOlKPFG5QP+n7vL9W3aLJNmynbDQabFohRBTZpoXGvexTuVJ11sW3qQ M0GYdsszHlU5YcxoVNq8cvm3/YvGRZyhLQYPAH7IADmDz/9LW4OAuTolzOBBCpveoEvuPkwQcrLB Igxcvhi4MinFlvSH/pKAboNUCi5ewgEggEYugtRWG+wqAQNXfya7PWt524SdXX1KpAnJ8NR5Fx1L aCmIiHNeXz4fvXC/JP3w+qb1RCluemezwpCSeANghVrr1LTMxOfCYdlacLGXo5R7taO43HbAOO8V ugW44tDQL8TANXioQfk19/00Knuzrxu8cAR39UEREi7aS6tZB6Lttfh8MLG8bBzylTL57fPJKaPM m5nASZI6gwM7nWIYuLXIdMMcqGdXF82hoaM06ctOwxeDMY9xMiMFem/ofWPW0TeGsTS+ATHmjiwX 5X5y4OgIODthzJUWxVyMGHgy9ywpflRxgaXj+TGfEQqeQ8q/nsFu6OmIH/JkZ16ftGU805OQTh9Z 4o3z3lJg6ihJCmh2cE9xcUrFgPPAksVKwnxd4m2UkZIH4ZU6HmS558DUEYf3/HUumSjS+St5UulA EIHA1TERWvNNnzxv9rzeqTl0dUQzBxbFbjg3HhNw1XNVYJPkQYqQ3msKilO7L5Z5o3ObUpL2GPCT 6ppARIRiaufji9dwQ9lS4OuYSeYHq2s1X9QPKGtXqf7UoeeDG66NX5k3tu8VH8uhsyMUvRAaB5uQ Vcad1Lvz387t5DbXObB2RDOZiJwyhi+O84ZoZslECcJ0Dnw+JOckNUC04kHklKz+W2jtaIo2TaM4 WiHxeFkEWVHa8ESff+BfKn9GKPzhRe5RijLvk3JAmMR1s/suxXFL7NwIt1RK9ijFyiQEdw0ic7k4 RODUvbVrYomiZAyp/yzKbaBV1Z+uSfJvG/EE2YArZfmilBLyk8w8agZuKfR3zPVXEYcrgC+nBSnU zoarUS6WF0EEwjX8UzIIE+DL3fOA2OFRlIEtwvK+LB2gbqCBmFnpQotmUUwpgZmFV+wHBiYu9de2 fdsIS8k9BK8xW9QOKL3z0fPPBRQ+tHicv2CCQsE/hIEW9rsJyelVldv5ryvVtuVmSAtDMHZ1v7VY oISQrfIsd1zZOhAPrHRRkxS6Xg+MuQfxWfkbbbLt6wZkJtler9R8MVLSrFlOoSfh4PPvR/fafnTj fzyIE2wXRxEYPdr9trKPvudIruIifyIZ08DZ16IUciqVLNVxF8+74dKzCofyE4jEzby7t7MRJmfq 6TEtnhRsy4HXo4slnd9v+GLJ0rmmhBWZVc9Hvdzv6iT3Qkjls5lC3b+Ee83ZJ+fwdsODc4qbLRQO 7+xFXGD3iPPNGIPFF58LgM2aFqFUHWaJYmBkcFUxb4Lhy29Rshz4PYrCThX27ItxT8/pJ6WRiXFl YPgIYK+f3Z2VLvMGP5Y+r6lSMVOILoGSyf+y6uTkgFNzS5ivJGsHvCZKBbRHhgY+GLZ4DgDnSpQ6 rE3AI9ySbaO4BDopeDmcxYRE6TVNKoDT4ESaU+Y00xEUoK90Ub3Ozfl5TZIK5Fn1pslZq8ELiKVj Y24WBQcaHEKGEtWVnAx3NpAzTmptrtn2miAVnEUkvyOntsXOor2h5oj8BZ4i52euRH9lpDHYAz8Z uijmdmDo99fopP8TM2D02Xxx3mmnhsjJBNC+fY3OwzUZShgwZW8Ut2B4XpOjknnGLsgP6P3qyYFK 5zB1BM4pJroNul9TI+ydqey63MWS7N5RsKH8o3pC6UtWbADTFiQHpOebMiu3+WtiVDQNghYiBlFa FB3O0c2Ot31esyLEdvvekfPTpPCUBec1J8LegZrd6M3S69GupmZh6WNeIskyx9eMqGTDcM3GQoSL t7Un4NVImJhcn6OIIv7o3dEZ9gdOzxYcHiaf13yoYOrKDuQSnwtnTdFsm8JvNFs558LnNR0qEpZW dPQaqTNm0XlNhvgQyKXLFZJGWT5B6vXJGOe0ufZrKlSEjjbMsS3eXetnWzaBymhJg0wa8yM0T0ha 5c84RcZlFfuaCRU5tf5326gA3cYJxU/rJ6d+zYPK44Cp6DSEepFfSpepIDrYFWgUOe0G4CCf+heL ToVzmTmEBtGZkpRI/2V0XTPcUjtnkCd7AHGSEmSQE2MXONMGXNCIxHT6NQMqmTJnaMzW7ovu9pyS rBJLq+Xzmv9gG9TyHR3knJtdEETnNfspEkKBzLFXG304SyLTBYR7Z3VvqL3mPohO11TNUMkXhUQ9 R4qOEYWUJpu/FENsPx3gdHX5rW6VtUH0aoH8d3JM+odwMYrEOZWNIpHH5zXvKU/eMy6svc7q0XlN eopNsHEa/BgbqQ0HQ1BN2NxDhr1ZrykPDuWuV8q0+x9yBGQNa2sS+ANPAm5DfVPBayATyvlxSWe5 /HnNeIoLtqCb64v39qvmj+eWzZ/XfIffsHyfyuwDA1/A6Ly2GXHRFc73XfFnzG3jxkmlDlNrQYYn 3bHgVE4U9+udDCMunu6cvARwYzbRz87cEF7lHA0KhJOmrPMi2BqF2YLoLPbO0M3zRY1YoGnko3bu wc9rg5EnY/7aO53T8+Gn8mt3EduV3Y62q9fx3aVagKD2OSN13KkjEeY7kj5kMc/FW7CwkyG+8ewd mINC1xO1F14w4myJDTLcGr2LXjuLfLOyMEbTF53K5+TRJJb9oNe+4n/dWaD3dOIllQ1GuTKcU1Bs GYJmcBolqZ+RnQlB6J0R/aL4SIckyyM9P7dWhyROLny3ToJ8KiWKZ26olA+2+cjLM4XaJs5/9HIN mn7DF88WtV43hHE4oi8DjNHo7Zrf9xZcFWpeN1+OEmYIeq46smbSDmInvZxmg8IY3cPnnb+GCJEm M+V2yeWyjtKGVCnfL+ClAH07RwcEESay3oIr1uFqRqiJthDnQVRys8WA65PcLwAYKh8PTmeDhw5J c8BPtlc2p/mp70mzzV93bneWb3rHQJAnP4HGs4mirHlXkY1Uk9Zbk57L6pxqW+xs4EMAxCfskdhq dOJ3uTWFKvcobZaZ7Dlvpi+K0ElcN3FmGBR/3rlr5fED1c0KWlRHvmoBihLnCqAYSkbrS1MCRGCH 4r7NmAktBzsEZ3QvW1fX8MU7rhNv1KCYzcl8iiQ1gMWGoBb4P9S38Bss6csGZRfJj6tRGpKLilI0 vil0XQtgoXHuvC1CvO9AF+792UPv2bNgHECOGXZ2p+zIIua2jr+6e+g9fUYBBy3VhArNFrvGNltj iUIIQGGPmSVtR5sd9Fzp5EhJrS6Jlvf02YWgz49IDBYG1dU2EfJ/vHPno9vnnbXGg95LUyqWAF/c SN9SiKIUGlDO1cQOwX9VV4fEoMwlWNtq3pJ+z6Hz/8mUZ0I3zBYP0Tw/cIZ1IZi00P6pErxhyx5Q lFJus5XWPO+cNbzKwMu0TEsWLUIVwfeSRx9sRz/vlDVUC9mvepqGA8g1xMZihMI0usv0O1l3bM3u QJBm/VfI+LorxjtjrfhYmq+pLXZWn2sGqLQkTNHJFMX8A97YCAfjHkR2VEd5dKsmO1Z8sZZ9zltH 9dlfn3e6WhGT6+sgAgB3EgzKD40yabiOrr0MH3OO1exd1twujr9SLYQBilLpzo7XaOUu3v5JNDAq UkLCqc2TOpF2TriyDFOXhI67vux7hIaQaZvZIhcjq62h6/P8IPPzzlWzlOT7ul9gy3mp8c5UwxZq kIco2fAf1NU1lExbvoWkgME+dJROy71oJ04QufjYp+D8SaSrnzeur8KhB2T2QVeHNMod+9hE4z2d luQ+eCAkYt8u03lqKJOC/2r+vLPU8E9N33dZpixTvxljlFADY71OwW8B4tnuHbJtHegCYIJtoTij 5hya2sFavEWGpKcD8I6RD25IxrBh4xAVToclm4vNqe8aBQi2IQVC7bboFAIGoFiJtT7vBDVmU/mr HIM6eIGehXUQo5QaHZh10gyNns+ZMe5QbJmMRgHCxbiO7+w0vmM2V02++A4a4PvIhO8kkud40gx6 Z7KeIED4OKgt+67BMa3TZ/e7WLna1eaDanH+vHPT/qsia+degfmdByjKqIEMWYTOybS5LodY0YZJ AdrgMylA7xl1Ntm6UVXP11vPn/wESU5FDrjZkcNMCjgGnEVk4ELxwA+hbF82aJWxkq+L/ipcVHP0 8xtoaDjb/LwT06ztZREi/wNJFZiSFqKoDY3Wr+vR47+ceQVE45w25gExzUL0nlHLzo8OjssXz6jh 8dcArizgfp63g7DPxRQcvKUML2xHodH14Z2VxnRIxHTxiZyHAzjBYs8GuO32eeeklYdTPERwxNWx xt1EYUqNbqsBFvCW9epvWS6ORAeCLOmcfiekWXmH14uaEFy8LMvAMGJ6CtBQynA2IHHvnNO0g4Zo zcXDUFfknY+GD0Gj9YQYuFgtPgdbnVDieu6DzzsdrcjG73nNZqGPhjdc39loRYNYXDfTu2bLYeh7 bpenoa8k08V3Mpq95Hi/1FsUf07t+lQB+CSD6KSR8HJE22y3DifFSbWL5K8Z/Tk+72S04i5qPYu9 nx/2/kDzhvlimp93KhoidF8zavkhocYc2CIUJdToqkBI2eYZc96ua7nwBaSLNkx9J6IxXWTB2vP0 xVtnAEnupIMIQIYhN9CBuUZHMwViRd6yx9v5eSeiIaGQCmsRxLpcL0eQh0iDr3uuzzsPrUg+RxFi 5kNU9c2G3mloiGvhVN5R+iN54bpSmzdC25sf7yw0q7qBfhGDaOSvcWHGvskcykOiv1ESEvzAH0hc YQ+NZyC29GWD7uKiIA1wtLYoQtBPlhDvOb4/7yQ0jo2/G/cQWIbUv0cozKgZoWrGlmezOlFvdt1r jFBdfpm9Z9SgUSA0e6a7+Dl0KtQECVp6q5w6pgjKD4DSedPONx1XDBJsUH5GEKAhkWyKiQ2ks2b5 jr6fxEJPFvp5J6AVMUa/GrColcdzDkX9ady6y4TD4SFyFaBgBOtaYo32OozQe0YtGeJBCVFfLELw nM4Txp9nD6HjOKsoWNRcnZBgvQwGboTPO/usSPcMwxIZh7huAvKh0oQ6BPvsnXzG8mp8BwjSo1QR VoCijBqt751L9+4QkhMbjbH7wtbH7A41e2eePa2PgtNIi28hWAoaE6bnncinRhObxzM6JesCFiat v995Z9xC0qNr3ReryVCSyT1+jc877Qz55vBzmh30JnU7r+vfaWfYQmgOmdcDq49rozZJ0lA6BHAK t9A766y4ZP+20qOPZwudfLpXsWAgPjSWxGspvI8G9cxXsp+s7s876czn8icpFEy4JSedneNlqH2W R/68c86KkHBWcxAny3T6JozvnDPr/q8p+QJcZb1V30Mk78poriR7yd4pZ47ryBwB2qIIjX6+fZ0C vp7jeFIUAdiGTthTpxwzR6xy7uFnBBEi/2WCSWuLXrJTlg0Cqc711j/vhDPe9d8vGYET+75k73wz dpSgW7CG3qfzWdNRr8hiHD8N4WCS8t7pZjyFFutVml5wsS0EGEwB1JWS/RmdITloQHie9LH2nNP+ XYOqDJT8Rmq6LUbJ221wVn9Sz/5555r9OqYZDFwHsPmyAEUJNawUYZNqmlijZtfEqpVVGRXpwSZU gN4TanNWyQm1iS0WoLXJpTbb5nUuK4I+B7IX+DFBmfueQhTCeOeZcc4t9usU+xX9gM5jaLSq7Hqe pOvzzjNj58OPIdKt2Py9k6B3mpmNJ9AQMiL1llQ2t1Ah9wxbaGfv4r+zzIi+4MsF4Qxb7B2byBYz 1dLOL912W5yEbKoKQj309j36KPqmUUVG9vRgrshFZxDkF7fesDk/7wSzIoeUpzFE6lRP9wh6z6Zx NRSGx9FBy9UvziFKdyfqOuBEVXii9nRi13UXNjW42AZqHeeD6o2OD6rsLXa02sDR+5mPlWOnGP07 uQxXJS/4uemPzkUBglu2jM1Hr593bhl2Qr4FGaF3MJQt07uv79wyjm9oZu2z6EEfT9Ub+RrKLph4 6ZAO29MEb6wpU1AnDpCdAEPaRtuQiaFrYY+fRNcO7e8MTJ3TFAkEfqeWeXOxEeJpi2Yc7dxfRcMv /Irv2bS0lb5bQ1B0u1CGd2IZc0Wc0hRf50WfyiN7cZEeHYMvRSjsTw+C7rt0VNhH+ZkCwjTo9PEV g5Yt76+REno5jfc/RQtU1dMw/PNOK7MWPIYwFOVp8Dlm6yNvTHR0e6+TLb7Tynhnewsfj1EXqD8X WZhOVxATqoeozpG9NQT8l8HMKGjCEIUNarad92RlxsWnQA3jaRzEkAiDjXyVAx8u+VOq/cz+yBeX rO/61zndK2eunYLPU5toDU5Df05axOeD92x7hEhPBAIZqoQWoSifBkzglPVNL9epFLZHKGuMP0Rw sQ71O58M3171vERB5iMKco6zkyNSAoR2Dyczl3YxgjOIyoXctreG0F74vNPJitRwcAStu1jzbAC9 zpNo7c87mwzfsfhNxryAMloX9fFOJuNdjz00hosW0q9NIODSp42BTv4yJTv/ziXjQcRabOS7eFkP 6++SFKEyK1XeQduAnSx02nN/sPb8Hp93LpkBeRtG/llLUt6XIabBxkXPdX7eqWS/BmXkDQHm1J8O 7DuVzDqnILQYebOj7wVVdZiGUEAdmVWpLDkQoiihnnL9/m9t59oSVIWHhagttY8Sts0gtgp6HJYO taLv+udrpsF9H+4YktLadcoDq4/PO48MJ8EvXAxvB/okKkBhQj0BH0dDSwJzc2UTmOs4/MDlBHII cicMUNSiljT4gN2BLY5pSICYbVMI7w1pM3AxAHFPQHozDyLTLOYo8Z1FdnEx5zxPvihCYJRx8nRe PT4eZdT5K19kIp8u0v6dQobTC1voZLJC1UMpvFmEYDpuprJAZsq14J1BxkGQiAjaSev6x59tfKIy 0QrmOTQJmkB7EeAPeDlkSfBJsHjblw2ue4oTTVXkXGwPoa/LPbRORv1OIGO28GsSxEZTuXsobFFj mrdKdrPCnr3zMVjoCJxXu4mAvNPHLhthQxnLFnvJWsFofeGF3dB0N5MngiioGwWPLC9bOdz5vNPH WNgzm94UJOBihX3L+r8gCfi8s8c4A/CTmoMxHK4NCaGFKOpRy/67FZUaK9PaXNPEcSl2DekLL7N3 8hi3cGHbjLQfLr6JAA6dk9PEkaHktKTVDzD5Rj/+wb5utLY/79Sxcq3mqgwv6zW8rO7ocH7J8Xln jll99VV2oCuO7N8CFGI+AGkY2yBnILQ45qOl4b2hvFxa9p035nfZ2Q69+GJVWULPFRkLeZoNXhem ErYAhULqf6U/6CDxeWeNFckvnr1TBc+rl4F40q2icekufDyaR3+Dp+mPnuo9hqKcGsnuSdWzzxLZ 1FGHepgVL5RAi+XU74wxNs9U0QMCq8XrMuRCdfOyP38GrB8OZqHcWdEtw0jQTyEiPN8JYyzMCflI 6r+68Qj6UG1T9gA0kM87X+wXsErQs+2uuwxQmFFjUKahhATS+hVI4zcQBpZqtTynQwS1YT3S9sUz 6tJ6QhlCSt05SKekU4jrJKwJV73cHNFo5GcEVz3VGWbu0xe1hs7R09ysMOPxIF3s+/uqZ+G87jEd JtQZ7ujUx2UutLu7gcj2VL2zvZzZEjWoNY1eYrgsdy4no65AbUoWDrmRf8hjGn1pyvXk9Thb65gO MR+atWbWrVy0hU75iWwqEQmCxyPkWf86hBqMVfrNFnuUUIOgvPp2bwK6LGoL4TWwCK3kpjL9PaHG KU3s4NZZtK/qfj9n4yn0MXI5W+gU+LmxeTZhC1zJip/XYK5T8atH+XQaxk3IvmgL4cjWqLSMiscj jHD+tYU6HFZvgKJ0Gg1QiA6YJtroy5UZliDn7J1tbw71CPOBFjpwnVBG02KnNDyfgevhO9Yh40+2 B/ASpM78gA1jJdlM9l2DgkOO1kmndPJTuoHWSErNOe0mHv9f6FE0BQQUzQIU96eRAGWbZrglgSE+ nB/FcRtP6R71pyU/yJfRlkuuw3k/tlBDa0mneVOIaEJ2KEOv3om9QKbgQ/4CnrUxZSpHCv6P6M+r EyZ+sqKC5wPc0NxfLxnyYaCrLoUs5B9WIj1MieFUCHkZNg9vhwr7QuY4MR89SqiF6xxNBs7tqg4B HI8Cg8KxJ1fcHUUnBKxYjmFv4cW+mgxFXzZIhqDQCCzk8sWSobNtJWR5qj48HpzU6XsaDRWMr2So RxxEqlfV4ruoCvMBcB7E3i1brICtK0JhQq3CvhFhxcWb+GefZl7qEELb0LDERitoQvZEcl656EU2 GHvUpF7i9WaqoAxwBoj1RQtWg7J+/jYeDwJ0R4nEW8Au8ewh78H2OJ/ewMJM20On0Kj2ng110ojv TJxGd/6diIhol9j0xfbQwKEKZV1yFU6MBjPvjEK2o6W004UNYVDNzwgue0oz98akiIsGZedPSafx FC4Vj//rLdMWAjv9ecnCJjWm0SmTLgYN4jS6qVb1SuEcds/wj1KA4nzajBu7Lx4g2JcPYBFOnM9b ct5XOjUsmKXSkw5NhateOfVl/+oNzWxZkQ+bYCrReJedCE08HkHPvjlTgAm0dmFD/T2hxniOFQf1 O2mUjhsRrSHoBOM/N6fRcIzmUR1BPgycl+Rxna5PaivUDcD/DwAa2kqZIFnIV5xb77wRmKcY4Y6F FT4jCBCtGrfK+n3Leg7Oty7wPPF4RGZd31sIf0UCDAxQhKGGMNwqNKqhq6Xc0DYHUMldLfNIvoUi xIcEvcgh4sISUpSpjIKmC/EBhniWi8XZ8ZO/QVrPMYTkGh/yF/IMozdfNOdAtSuUeG8bj0eEoG+M MMzagP/3mqOHKXXLV59q0ei6/1xznaQQOVOh8+9E4+h3YFU7VV/JVNLBdY+OjsB5uEMHBgVoW3t/ kZI0+JCgbJUm8yDthYtCBA3o7bNLPB5tIk+I2PyX7vzyJvWIcmpkBcDAVq9bW30yIq9bKwhyTBlH 1KSWGPUWsXU/xNZ+ogXHh01kVTvByxy39krlcSg4oBJ3Y52s7/rXKGhsGn9yUYTO+Z+I+4FbKh4P cupRfhUdeM1uB3ZEOTUn9pM5u9guJEgv6assu8pqcnHYEbaolyiJkhmuj8xwhQ96bhQ6gTbsbEbm AJcCU6GMD3chL+T2+JAgQoXvV9UCLP/QKOj8XwanHkCE4/loJn2zamoRnje9y1qPMQqB1IB9dEmd 0u1zLU+ImiOHimAtjFHUpQY3F4AYvGeQNmTKQVxMB7ii0lAHXFd08nlaAUVH34oHJQxhmoHPCBCe 7CzuRTM0kIl56OK6H7KzwngQj0eDju/rvmGwMG7zY4Swj07Yh4E5Tx01nXdnQD2yx2HGobcsyql1 EG2lRVv6LINv2Tqv6BQYf3TqVFI+FkOC2omJWfe2x/7CZ0SwBhVm+S5Kqc99v7gD8O7h8egc+sbF DIo/35H0iFJqDI/PP2FvA5rP5VD8zKRGdI7i2LMRpdST9SidkWzxHvWpV/NZmDDCmsmMGKAXgEEa 9mq6pDI0FvAhf51DuxPXAIr+D3k8uHjVFji7t2Q8/7+wyuC9UvPyqmNEOTWINecHIPiMg8XkdVnZ 28eJCYotrDpGlFMvkcg3RYW4XFYZ4DCdAp4DForTLOWoW0Mrhov82OTYj6hHTQeOCjybL8qpIS4n 8eWTNuDx6Bi6AMYfcaaoBWwBCmHUM3GEWJ0S1L24T6u7vMdElqc9FMGoZcK0VruLXWUFRnAYRHAP nboPNrrnJYM0X2VVROamRmVEeI5Q34OCQlXlKxcbc5zdwJbm+SEGHg/yoRsgBgOilKV6e2iEuA90 GBuTfeI+ZMpMUllpw3Efy4UER9SjnlOksr21sCuhqgzGkBag0lJWs7YXciYz7NrzhZnjqsFnRNmQ BkAc3F/PxywpI74i5+jG49Gk7FdhD+zEvpypEabUi/hOE82Bf7Ub6NV0aYmZLmQM0N8p9RxiLIyL EQaYF7QpAfFPUVylBwI+HjQ7QdxMVyN3awuFKTWPH6p9YUFPk3E5KUKu5CqMsReeD0r7OwiS9RdV je4pFKbUZdM6d1pKXbfbwnWhFjluhdqwTqGwS01gax/lLt5B66RkkfzLPn7rkBiaQNJ0VPWF7snq 42+J6IRdavkJVebCXIzNAYt1oqtmKXg8an58d6mJLmVbgRGaYZd64IbvNfs5jQGoPOFKcWBMqsSf nQjNUBmPBzQKJF88oz6/L8hbsoSjcQGpmxg0ADf2QzKHwc9o3jFD1EdhxTpkjkKICNNFCF8RiXN+ h4bHgwC178IVligVfsEWoCijBv0fzEw1zRbYg1a4jrWUXJeCYaCqshkyE0Xd3Krs91PZw+nkBEjH 0NmsdRJHnIH36Kg9x2MsiC3Cz4jqVtHtJIy7rkclpCyyyohW8Pi/BvaEVgGhNe4kaEbpNHqgO1eX x9uCoUkdv3vJMZrj82bMSySWoUoupl65mAaq9JxTXA5oKoLEAedFANLR3Qd77ZJbqUEw/9Gl3pTq 5MIPKQmzW75j5/fF41GEvt8xvDsn87hbKMqnoXCGut75QMAWGGNql+SQhpQNfDbjHrVmZRrbj3y3 EPQVS07sfQz07AfrtgYXgQrZt1rdAu4kFEvf9a8AzdaHLzqEIOInXfyZMh6P9Cd/AfHRzu2XuDmj fLqSMCUgXv/ZaS4HwdbqKhYnP2om9DpDWiIJ9pil+uI3GewQB8AdIEydLLhwIFsSBUxB2Ie2tSPx MYfChwQvmVAxMhLkYv1FMFtJNSy94vFgmNi+73oMLhp9nxWhv1vU3XTf6BRlyRAkFz0ZoggFIxRB Prp5d9fii/cX6Ww+FKGFvI4IzwSdug7NxcWyXskQrRZnlE7XLu2BWn1xYFVPTIfhQI7Howbsd81K wgNYfBagCPJBreBZU7ZkaFinEYpn2dnRLFwUoKhFvXjFY0riyz2mR6OsFAOEul0GFSjSKk4r1DJO jqbS4ozSaU1bZ5dWTKdeBNseJ9ti7wxbHY//q6hnKjs5bfWux4zSaU5bZ6k+5CjbC7K2bK4IyIer BM9QMY+Orivn7YvXrAssO1QS9CDPaRBpXWAe0thH3+lp4W9912hOJjZQ2r6oIDtFzeAUB3qyeDwI 0JUg4IkFvhWaVh6hCPNB2qaE/cmXSunypcawgqPSyUcRivLpQTmvJS0hLl6ywjgDLwEH9uenlm0e mtcnQuDyUULbWtQEms8on27U4l4SLl+PcDmd1tgUP1k6Hv9fYEOgJdVytV5nyEqEe0lh00D08eKC nVWM6UpbjmFCKDPU+dDEvpFYltq4Oh8V7ay5C2FD7WQEesk6cE10oRn1zqM5EMRn/PGSNaCyfbFZ 64k8o3UKP37FCBXzza8XIvviqlaUTYO4dhJLAzKspWk0uQqj3qKeqB9soRVhPlRorLXu4kX9icnc 3NrnPOpg70mbETVrRuYw988vJe4VYj70kg3uIC7aQeeUq1UqrrXi8YCUOL9TIXiGtHT5UivKpjsJ QcUI0RD38qJ+9eFaymu62NAKMR9D1gnKpsfNpkkA631QbAiQ6VFZbpTFqSCgi9DUMdQQPhyfETXO yK5vlRNXvJbsmELWdGQ5lpwjG8//6x0TNwYpwb6qZyuUm6YDTd3enlaVwWk0Sf1G23RG0IpRH0Ms Do46WDn8NO6hc5eBKs7OGWhGmzIOHSUcMcyAS7n6It4ifEbQnmYevce8i3BDZFV0pdMDj0fp9LdE Awy2ILnqAYrSaZmXlm7oziFWB7uvEiBg/x6m9QpQiKFmkryy3rX8FPWb4NdaDdBwikTCrIF2NkWv h1Q2iTxbcXvaxM6mL3rJysqtGt6u4vGoZPWrnpPEQv+k7ef0imWnN1hA26ZAdbJrv9CxI8GZEWqj 2Tm9YlaipGLkC9BuUV8h5bFSEaABsveT4Dw0d4E/hY355SVujutXBPnohjmTa4LPWkuCrt+U5lmf eDxKhrzgQGiJf80XWbWidBqTNBC/rDvdVGXQf4PqxSK7NLbOEKC/VT4gXeqLBQiZYwZnmQKeOKap FAM7658JsaMMiUo3mkz2ZYODukg/WIyp7aysVM7xw5EBZNrxeCTr/n3V44eirLFFKMqnIThwjuci ZDlKsn3zaZOKK3JxUoTifJoFh1irXG5JBs2qLseE3s9VyXl1Ary8Q247o1iwxhA0ffllA9iQnIF7 kisAmbwsWpdYw2dPpobHg6vsCt+z2Q93ISggWISihLqClzjZsqJrQicClr1FaOmot9jAK1GEYl4i JxyqXbncigM4OjKuTmVWYFcq5ibaH0BKDILzBPmY9l3/qjhWokEZF13a52nJYZ50kl/x/SVbN6Fm EwklYao3QCGGGvoDckS3bDE9yZDXrK05RHjF/WmJckqqW/JRNouGDyBuK4gNnf/rZPN1Ea+PMh0Q Nxu0Frjz8csGCqfkRe9EthwXa76en6AL6LIqHo80zH9N64GAncWBZytsT597ZWeCuJgutn7hDNX0 dXD3uJTOCvPpLlmBMnzx1tl5GaCWR/fNuVjAIkIklIG+kJFHeDo07Mv+yZeqz2IH9TCrpLIzHw/u +v0LeYax3kOP3lFCPSokvZKDF2crrvKx8vLOEEor3fU7Fs7r7zMO0BTOXcOy/uQMZxP1xZcMxxCA 96S9mT0p29M7Sqib1JiAErHFcFWj5ylFr8HHI6rLL4MJ4kyKJ0P7PaEGoYzu28arX/RVMhnhNX0L 4b42LfNY5INcu9Tv4gFCVwkdboqZD8wzioTwCWTuRpeym4xC+DtEUat1NlTXD6/rN0p8gQ9PcoPH I2nBS+XQ7Ag+Lt3brzuEUTcA8Ye3X89rYO3XATFSL8rqNtDQ/juhPs9UXzxEE3d/ozHpAGB7EueI pv6pHM+mAVDFITHVvusfvbNTbYpov/rV0oEVO1+cc+vj8UjRy18yInbABMBs0yMUshI73i0aTVJo WWP7LkJZcsAHjhFFKAZ8aFgvkvR+RM9OJrFPCTaXkGeYlFXmixBhRCGaE4U+NAWa9mUjfUpCXzP9 Sro8DXkOoUZj3XrOUTweqS9+84FwHgK67xEKQdSZRgHTWIlDGTUNFdL1b9/LyRw7ZiWy2Ega2qd9 I3SSBhhrdck0wK+NkJjzbbF1un1T656Bc4LP+BsSwzt/JB+TQZu66R943gg8/i+lD3kkneO+XIXT HermQWZ5bFcWnK7XAMei6luotOIBCvEePH53F2GqX8JUB9T1VFPub5+69IYbqsGGFAFANIfhA/OI zwiyoaxj6FkUINCBCDA5V8LC45HYkL9k+HLoB/YHurgjvEdCd/GhA4HKYwHKKFW1g9gwUoAivAeE tyE9IAnYeSVg8RqdHLRqB513bDdqelfCGaADDfk6n0T3ru8aqTGREgWWoC0KUCuLpArNEfe/dajV O8v4fC9ad5hPQ8Si9etmW7dqeTD93IO0dDoYY9C6Q7yH4GaoCWxxnsJKJ1HB5Adn4knNUZ4Nsjt+ 4GcBgoq7AfEsxGcE2WIW71cqp9t+BEyOUmdzsMLLdocy1Nfxhq8Ywvzk0zvuT6PbwQmBMOb1vmK6 9cFJpFS7AhTm04uzDTkCrS9HoAZx9FONErcIcKdmQAOVTkGCACS+Q6r21HeNzqDNUqxmXxwxBP1p VVl8PGK6eFWP1xHzsd4usHNH6TQUNnDT6DxeEAOyAI25/Azq475iEdpj0lIByBdf/BUDmnpUGyNC pFJYBsotAiJAZT9LhTji2H9rfOxCC7xdTDMsU6WYV1o9ZyUejyThxq9bbOPM8iFZTlE6DaGqczab BD5Oaa9ZbQstCjRsQ3vkFObTLMZ6exaFqExYkhWI5Z/rsJcJsW553xTooHRw5q7m2aYiEz7lPUiL QVrUPsOIe8uDBNpyfbIZezbT5B+I4J2/7IE6LZyuZUAKlfMytBk4xUNpX9n5IuajToNbwfG3bQ9T nFU3xWf7chto6CYC5gpAyQbXlWFCYTZhKIK/9hiPZvu60cx+Ec1ArVMuAjWcgG2ikk8N2/h8UHl0 rzwoPo0fDz30G6bQ46XxIisqWtf5LAeb722uv/DnWO4bmUIkdTfPgO6LT8zOuyDoHpKr2id8906u nYEsxh/OqV5FlCXn0RRiP+TvMoTVwzyGnLlMhyrq4pyCYPEPBJlR/j626Z4yroieiEbvNeymlqdA 1NDkd1nqovkQwjSmy8HmFJokioswtJvG124CcWZPEjvOqVd2pQ3goEoTqtZzQNyXDl7S+pQIy8gz uyh9LD4BT2Vuu9syLJFT2LO+kzP8j+HH3Mpt6ucUZdiJY4+Sth1NvfnRVPd0Gwoo5Pg7FzWtJWI1 q1wB63jMElGbTZklTggPwuQQ7SI0j2mJTKtUtYsyBYjwKX8ZUXC6ZIuKfXCn2Dw6ezfz+aAMKbdS wx6EPezsNwfQ8OQ9TGiqyQtwwWi7u8ROKqkZHK2VajJNOYWt6yHUp6I1rr12A8/gfAsV/DWJ+4r6 FghjuL3k+oXYYxqAT/mLNw0zLl+0mU4iX7h/IefD59/D9Di2aoZ2TgLAmm+YYgWQRINtA34OeQJu uisl51KV0Y1ullOUbs+h1tq6y0V+4kbC2LRA2eqUZ9TxHsiuFrDKGZhAO5ogDqhPCdIl9ozqfhaD zLQlpR28fHw+6Iys7zmROlAz3yiFMiCJrEVgy8HrPAeIb6YGcot4naXUe8/F3i+TE0T2Ibn4HATy p03OJmtvuFAhShPmRBNWxzgfLGOiIxA/JEKFcFKUki0m+pXwvTlY6+chPv8v8B5rvHPntFWe4ztK u9GAP0FKyVuQNqcGGHNfl9LiUsz4Q38i9Qv7/Vw8rcSsqNSkyq3AmpTqesjTGkZMgAi5up7skdPf /i+jkP3KRS22lSi+BHRaG3w+eOHqd3uEvBxkaR6ksJPdyYOZbse5ple3Kd3qlu02C1LUyuZcA12n 7IsD1DCBXEDJIBU4RZ66TAnfrnWcTcMVvc8pXOzbBi0kyjPuTh8PLgYkhvcBx9aDaWVoAtPSr1MJ CROIoRal0FkR3oarNzc0w65xhFExkd1Cazv3DQzxIUJbFyZMXHx0DTeac9sTQIMMSW7cHduzAmFL AII5T8pXPrBWxNlLCblOKyEuhvTIQ86cLcFrO/RWHPu7RsE4hLbrHqSQwojke8xk7Vr4hFuQiga2 eN8Sc24FKcKIJDITt7A0+wHtjwlNxSXBgtlBDM8y3AaUDxwH2AxeKF+ybxtMRQjiO/+w5IvquIZZ OA/jVSqfj2Rjf5nfciddBbAc2ysWlLq9WS9g7qTO5Cl1r/zOyZVgvsD7LfRXXGzEnoRx+eIt25HP ZbYp+dkxiVCt28EoLZgO93UHI4wtP+QP/hAAaUVLVtU6cPVUyeLXweeDnXSDJMsGbKL9BClqa0Nt +BxK3eSr+y4uX13alzgzGiUKUph4k2TPXMsWO7mxj05JwgkktJlzruqAo4IDKp1BMpkrzrBz4LGI 163SXWI1X4SlaRkk/R92fyuf/9frpvYB3s95T+7QZBFV02pj2E7qxfS/AGKtHqQxtp/cgcsiZEcl /i4h/fwI6cPE8pToUnIadDqhQR51ygAtzhjAW3XSu3/dYNS/1HJbIlcva4DA2HpyEn1u083no0Ht L/UCHpT7OZQiIeveF0FZ1r1deboe2GASyvetDu+95cBo0S1f16AYMReLEhTTTlosuwHYaVLwCYo8 6A4WKh9f0JGSgMBpEYQDEhrttcvuVn4yZwmanH9ur3w+GiN9Y9ThaQQZnxukkNKYIVksCXSEi1mv BAxYhjHpljQ3C93Qa3ERCtJHy754PwAt3L7ZoYQmcU9U2V3oAnRKl8FTSKcSwf36lCBVGmri0u+M i5VwGPRq5F82n4+O7vV1KoEwePKH51QKpUIAzlrlCu1SZpldE4yAvGsCJLBFKdIKkSPl2Gbwfk2Y 6pwnteDfpaB1zYlFTEIgO+gC2HZXxKDat42Obpp2wkzXFhsmDVPaBT6bz0do/l/2wcCA9/T4mgae i1B6QHXb7TBalSMd0WM17KZqEdovFqW42400kQoVtniU6obFzZDd2UDvfqs0Of9L4Pwz0nsHIKVp XzcQjzcwLa3e72wYuKVUxb2bK/P5f+l+88YnfuQi1nNovIhmBTi/xr1aMhOUCYqRjOCyPLwD9w/n xZX3XTwNONf+OU0lHw/lDTXHM2RKwHfET3PHbivZt/1jrARuUvZFuRKmSkS1naSp8fmgNim/rHTO kdLLlVXJofkivI1ADUn2wslGWE3v7FC2CpSPqtzQfdHUdgvfOy4OACjQddpZW6lNirieF24RyzZg hHIpfIKy5dB+cXILDdNXkfULsQ4nOyVXuhfspMB/8VuLjzsJ84VyxQpzaMA4qQiaCcZiuJgVaSoK 0idnJ9D/9iCFyGwOcCd6drZ4w+QkCOeWtgl3ByeQ7IdzwP/0xq2xLklNdtShA2MmJnvUtXzRj49E RlVuLpPPRxLpv2zfWQ89TrmxBeNkrpTUjjy3RLskIzGuFw1jpr9ugQejfPhwZre7eJBWytC5rqxy F/Bbiz3KE3i0i4EBLg76yzXZ142ab4miu4Pau/SOZ6p8LkpquxIKtvkHIsDNt7IBVPwGWIcephBO QpB/bsPRtbX7Dbe7U43SdHmMHBgxumXD0LCJizcDNnqgk6fShqESdh3+eOo/dLKi9IzXuaSr5diJ kT1K6ihyMfRoSpDqEUkvdz7/r26A6WrwQ2+UQusYuFWufQ3gRSxG4j0pRs43Dh6mlngHZoz4/pzn rn0Xx0euk2nPxoE31C/PyUebuDyproLmfLoD77ns20aYCarLinZEF74uMgRESchlOLnr5B+IxgIX VtItEai9PgdT1PAGltLpELSQuVLFbV8xmjL7DVOMLBF8S9TH8VAfofkBA2YiSzaFJngw0f8D1kGj Xa84CTzm0JExE6u94Fhqi664iWKaB9MpePh8sJfKt9gKhUnGHXzn0JMR0+Rz2WTz2YG8mkNt93Co 7YKyjQUpVOgT/KbxpuPiPUpMqGajlVWHz31mo64DCFWhC14ejxS6i/FDIvQESRGb0uDiByhIJ+aa V/a6+Xxk1eRBMrzW/BYPy6Ev44BZkwQ5iDAhD0ylbmURR4TJVQ/LgTEj/gHs5HaGQPp12kpwHM4U dUH77eRIg81esmpa17j0B4oCeOMsSiHGhATjLisZLsq8S85FsP9zePD5IBG46liEwOO4aWk8p3eU eePff/ZrsShNksEkfT2ZeRNm0mhc0PWHokGcJGmYLnFxrFtalEdilDqa1MzRG4B/EL74qfMq9c29 7NsGd5xcm1rZvghoAvq37vHNwztEmtzEm8dZ4hj+61iKMu/EWnfbQX1y8OLecbN1P5ZOsXXfuDDz nnI801WXb0OgQffgHLdbsge7p8FAJvVjgZnESBkdQyRMtdvXjTTCmV/12X1J1jbpSecxNMJzaNL4 DJjY+AW6BzQLi1Jo0ggrGrAgu89Ols9OAOD7MVZ2q6YklmOXRvKLQLfwxaLUp8w+hVk6Gd8mZ5Le LDWjI4AA+eS727f9o23STi6RfDFU17kfOLdWwzvwaUTq/ctxuLOgeYIUpd67Txa4246lsvY9lrKz ajni0AsXGDXm/1vFAEvJFy/iyoT5ndzhz7ZJe4i7jn8KYGTzQrjzGt2+bQAPkPpKocYIF/WWTq7W 1dNveOFCq8Zx/ZtsTF4gyHrTpdissSBdas4m2WW4KN2c1X1BhsRWuZVCGDdz7z7JY+fiKIoFCw+2 QgBd2vSEAToQ7t7g1dIzzeFdGnyHfo1TXCRxt7joHcHxIMn587bz+ShM6euFo5JeeVLv0LERSLs1 pGiI1gCgmJIayULkUkkDxuhKvWPLRk10JZTJxaI0cso7ywP9vNoJDXEpHjFfmThDb7pkc+/AtBHK YILkCEc5HMyKobEOlVa5maLUu+zvtkkj9/kyt3Ls2oibeNUbJY4ZRbFtBuxGlNbwKIVw7s3BURM6 oF10wMm74WHNvIge1vgXodpCL3Wgm5JXf0AUednXjWa6Uhwh5ISLzZjaVE1Uz53K56PU2/cSPe8g vITDyaMUZd74gysP1xfLY0yvdktzrnZFv8KiFIqOyKwIBaEtfsVBk7kvwrvOC503NDTg9H221IRJ Khmzzr5J3b5uxOBitYvjzBa9cecukOQ9cjM+HzW9f7Xg8KXGtSHOoYEj4KTrpMbVWnCUOBGHyzXX QZTs3s4NHByBXiXYdrS7+Bs3F7PkJOnnSbtLWDpBKxoq/YQt2bhydfu2f5G4RpYL6HXCAH0uCZfb eSyF0O5riE6JqMra8Xnhwp43xid7DcedavyGrZSnkXAxPin3hQuBJksvXO2+eOMEtjt76/DOhOFM QuDyud0gmp7X8sZJXkoqQxfHKbGAodR7WOoNb7dEqU8oYHY+H7xwj3sa8QEwN4ZalYcpbHoP+mDQ LRb/NTi/zcTAQWWV8NycoXnJJlxo5DiTtLTIouDijZMFmBjIe7jjADGhm8ECsxxMjR9qH3ursjf7 ukEqIJn+KZD3dJD3pvMGhX7ODcHno/bSNyUQEro/6FfcMMUyJAB3gVOH4Jwc0E3UwJg1OfqTGy+H LYVujhrGtUG4DRdDMZ8TusFfFKCJdLIl6KdR7QEIc3TAC95PTwX6sq8bHUysTwfDtIaFCbxAaMhi fyQUcoGfI6CGDslhQEgIWrdCCQ0d0V8H6cGsiXu9jJzcq9Vx552hmyESpsDR8RzfrUYGNOeVGDyR N6A5pau3At5+mcoTr+Vl8m/7V5D6HlIDGAJ3nd84ScrJIAKBpeN3HSfVXogvr3z3UujpiFd9jebt pTXdA3ycN+524YSGY5QiqPciIWdL0oeLRQkggZmgwAbSAFqVHEU1NKohpoZ8zcu4oXwpcHXMT5SS L+oJ1KLhC5Rq9Xxwx11mjvIGjJi+xt+hsWOlNP10q57JcQ33UsfboCixIrQoxRxK8t+25LX2ldeq G92Yk2NQXiuhrhPYGWi/Cs7Tg8kpWU240Nmxqkk5pWwDUjirCui9UI0qkZzJP/C/6LGCNNHyevZS qJtdqfM3LnIpdUcu0YhLNrxUYFaUwuS7m1Zt98X2EnXRoWBnYprNlCfQkKpwUsqQrHUvmm7f9g+K Dka6zRdllRCxZg+5ZiCXQntHHat847iXAF8pT08gMHjMPHTxIaaRVHVCabLrBo8FYmKWC/zh8KjJ br3LBXqfvJygczR0G0bg2HV8RfCS/eyWr9hf2/Zt/9RDzPRRndlaffn8ymakVBvQ8KHF46zfyFwD wj9bKex6p4VJk6XZ0IJwkADYoZZVZoKJeMUFHo+J1i0gdNOwmIsjczHBn2III31CPx2ZAMTeB9Hw +Rtwsu3rBmymKjIu53FclAmcd7pl6QClyuejju43T2cQKvhwBlqYeyfWcUmT7nN212xRGqu5cQ90 ITxKUe6t9tLM9S6ee48CkfJC96fzfq9CDtHEFG5CMAG6iQ9PJ9nXjU7v4TNdWyxKgB5ye5xUjc9H Hd3vKGU0As+l+7xxUd8b9eW56JubQuzrF0qtHCtRZr1vXIQ4qVNuhiX54tMB+BZXcQZOAd6bihl4 DJ/jE1lteqIkmk7g96jOKrgCc/iiOw5zaA1AJoaWgeHjNyyHqhQQgOkPEO7zmi7V/H+40s5utfnk kn4D9hLQ2CKC4YobBl56TZYqKPAiLtNCnouF6NyzG9wfYgSor8nx93k/aHdw/iy1/4zJhK/6mipV QGrk35O3LzYYaItq6ec4X3j6JTwV/ulqUk61TJCUTjIH2c8KggMO5Ln1s7FOZrnWND3vZsiuBiyR dlAQHCm5rC7Px17uPJeomwrHCQSnYVrJS1pAbbAGC6pM+R0YBP41OhibsEsyyInjogMb03PmyieJ P9n2a3SQOmSLjoABKNxosYaPfM2OKm40bJji5vJdsAAq1Wu8S1E7zjAQndfcCFtHLqqrFV8Mr9wS +iyNtFPokqW8BK5ABjQIhqtXm5Wg7tfMqMJUhmqITUaY7RphnlyiEKYEfanPa1pUpZGj4Oh/Oqk8 ZcF5TYqqUHZrrGKjyaEkkvQJesiZmYinjq8pUYUiovJquTrnL/IEZMIakBlAl45tKkmQvqEz7A/a JBaczqPnNSGqmOMzbSxZxmHQeeFMaFKFgXp/q+fPaz5UH3qJbR38LHISoD9y+GLhnhcNt12bjK4B iZNLEpioslENto5GbVOd//nV+T/P9iyBe9g6piXvebc0Pr8nf8YpOi5la18zoSqYAYuO4ov116B+ zmq/n6T6NQ/C0833TtcJde4FVIeMzmsWhLdxyi3dx0dC3tCmOFEsmtQbetXTITSIzhw2NUq+2N45 r8PJsSZxW3tC2o/Nt0yLR3SOMm3AhZBgNv95zYBwfVD0eCaVHim5H9b5aZOsGU+O9HnNf7h39tfe Qdu4bTZC6J/0Gh1cdCAmNWvrL9oNKDp5Xk1omlvJ/yGKTldYpAowHUuF6KCTs4ewSAm1B0WzM2wV e/FOv1Wu8jaIXi0ikUoTxL05K2lhQieRv1P6fV7znvpgthUdtHiqYAeIzmvSg0O5FraG7M3qZOUQ ErFb9zKj49bn3nlNeaqjRlamU9jKj1MYKqaakQPBRWQD3kFDPpjvwQXz5M+PSfq0r/p+ZU2C304R X33x/j40an842Mif13yH37B9R4e94GHH9Oe104g3q2fy/dziaZttETuN3U9lzKalPBacynJMmWVs Xzw6CyRwJPL1VPDndMZVCmNh2KoARwl1x4tja5Rmi6LDXnXtdMfgombsWqtL6bgUPP0enW5ztClL UDwOjK+F57XDiP16XvklhX1V8ssEkTDLvoL0c7qSRJTvdGm1PMud7UNHcTcdPOceRzMTxRfUfOF4 XAgRMvwa3Yteu4vVTdT+07zo/C8L5Di9JfTaW6z5OqZO3XVg1VBn39LBKFkGIeccx44RGQS1Sopk MPkp1JEoTmSLsmWTIlmsK7j4vXUqbCQ6kmUrkBGgzdyGFOlEQkh2nonUNhH/ow00iF2nj7wW9V83 NPEk2D/AG43er9m+EmZcdZVaTIpQlDEnFPAU2eUOkjUAD+d0056TRXdHPsQpMw1VpMu2H102+MnW timuc65g4DJbpStGhQwJ3AtoJW+wNWPVhHtI6PXSfTH0+uSOBI6h8vHgfN7rKy+EjDAQYh6hKGuG V9vaxRWjNhNQ7qGVt3deyTQ0BE0QoT1VjXKsz+VC1+FpDaIF2kCnhEkcZrdC8DCkMNH5tJpCpXuU Nw8JkZR1FwWojKoZ2ikugViLkp9xU0NOjxYuUAgvKkJR6ozjce26HNW3L/QRcA6HPGCYbZCH4JQW qG8lCdKnL0H6U6mcQ5qmsquf23iwTcRhdQOsLVPkwu+wpC8b1F1kHHMCZ4sRRVLXyACcps87e63m OzKbQqvBF6Mjq7UQvefPki0898Cye4zYXwMYiYzMTZQu7/g9gc7Sgj5n7r6L1V4pY/qzaPB0rrNz MDWZqfOfC7wUvRypqtWl0/KeQJsWdN1JUCyqTmkXnTRisscFMfzPO3UNb2n+LjAygMYkMFiIoiQa 07GTCFkDGvD1fROhy6TpMKNQiN6zaDTbCA2d4hzP8jSlAU5MBDJugHJzYrLUqTWGv7tKue1W2vO8 E9fYnKBBOkofWwQuggi3OJDnN/i889YqYLB+2VPYhXqoxMsrQu+JtK7QtZLZxS/IpjocpLvcT6Xr l7obUSbNhjT8DLIvtokguAcyWaJWBI7rLaVWVhYoEsc9ieyojjLpZkUq9bVuxnXSrbapcHtyxbw/ 75w1HNU22dBlVvGyPqn0O2UNxQ0UbFLuxnuY2/XEZxHbj+N7jIsVoCiZHmRkU1XaFsfN0gt96iBq 6OOSj5zRYm8AxmdZpgpaP7u+bHBWQ98HemrTFx1E6OPJjfFs2c87Ya0+2mxWxsPWdjwJ0Xs+DTA/ 0qBqGj9rCDXDCK1UbAuRTqBOdJRQDzV/WIxp8SkifCsAthLOMfWUJcqOsRggnDXfyY/NNN4Tahtp ADBRfVETiIJ4PKk7pGuijHoZz9iOIaF47zsWZdQAKp0aeTXrka08vUfWt4v2Q3fXtlCYUoOohsFH 9cXesb7R8qF02YaMEF22MPJA2gcsNNsNNhqb9l2jACEy58TqvugUOm9bHQItAtcQ5dSaWHmA4BVb CKpQDzFKqZGpnbAk9wOdF0eU+3BX4l0dbfVOUuP+VTpEsBUX30EL5mhyeDr/plRFc4C3LA6hDrr4 bUDT/Pudo2YpFyrV0X2xgnUW/q4AcOfPO0XtV03GthF82qXuxwBFGTUSrfMzDzPdHf3L7pIHNgOE +0YBes+oXUAT8HBfHBtzMp4E1Ad7ZSde1aytQWUjDReyB34I5aEvGzTLtgZixFtzUc1xvjSpLHAD mZ93fhpO+erdMsL0QX5t1JJSiKKUGiqf6JIN65c9gx5MtWzQg+rcQvSeUqPVJyp/77442vr8fwOS qQR/nrfBiI4DYlsQ1Mir3ISReMHPOzsN6RCNH5bEWG9WivsPKoK8nWr7vHPTGOFfnXo015HEW4TC lBrQoT4M5Hn+q7kWW25m5wxqwjTo0DszjeWdJmHrLrcsa2ByofwBcmiiBkRljz0/KZyVH/NviYu8 E9Oq5Jka+I3TF0WobVokIX/MfDxqKn6XZbPQSuPuoSijhjr26iXdvtlVOk6zukbNhFE608V3Vlp1 pN5KYhSnL0bx+dudEtPUpO+QD8Fdj6MbE+lz0NKtkK8ZE5zPOysNP8MUjlHEYldfKeemr3LoQe7/ eeek1QdkrXwRM8tGKQpFKO5KL2RD8+qJL5dcLyV3K8saVDz1lkVt6T4k4iO92nKZ6ecWhtwXfICg cnDq2FLkCAoCCFiYGdeAN+1xQnzeCWmWUJzQgHhhix1EEDwnyuPs0c87H61qGGtvGQ8d6Ju2fs/q KKPGFAqwaut+DJmq0PGSvmbqn2EYqk30nlFnkxnddS5ffBOdur7NpWnqSRjnIi09YaRFdmImt9Bn Yktf9v0gWkOEmp59UYgoOcp/9dm+n3c2Gv6tJX2/ZvIbuyPDKKUGVAENTCtcpQSi0ca8EWqrWT70 TkVjtsvLCRIAtvhBBAe5NoBVhHtIW2Op5kDjAxNKitZaVVaGvmsUIAIY5S670vVupjNZERd/7887 E61Kb/srIUKxPJ49FLWoUYXRpNvqVponGaexNe+ggT2oCL2n1Ogfsyqjy7UWyxgp5tsgLouq7Py7 BDmD7hESxfkDBJU10IiI+ryz0NhGNduQ6YslRMNkOslCeyehsfnhlT151hAgnePeZVFKDdHJnWlo zt5HqpcZO0yo5sRsVZtwvDPQqiygRy7ox9niWwiuvb1TIQMyN3ObVc1M4lkAbW4Z46T99zv/jFtI QtDPYkXZ+UGYgTUYhb3Tz5hwfk+eoaNLLzebPEcpdRvsUi9PqfPwdGix6hH7DPNh7qB38pn3YE+t Me5iOwhsmPM98Ac23VUKCdY0JeZQd+Yr2k/Pkc8794wzrq2u0F0MtrCKlF1qHvnzTj2rEm1VgPBg p3n88lfsnXlm+27BINVuMjov6BBq1Q+hylOWAQqb1NxBFVAvWxSgeQ7TU70M1ojn0BlwMie4Ab8n 6LeYz3HGChZM0XcNArTErM7FF71iHcJPbGace/PzTjvjeetlvWDlmtv5Xf/OOmO7BdAOmmcTRE3+ t0DUhd1YgqhJ6AE37510hv2P8UVGC9oXL1sLJdEbm2fny4ymWRpo24Um7u05polgeqec4SVpUg7D Ma1FZev5wCE9o5PJf94ZZzylf+EXcBuAKm0BihJqZM3g6xh5cYzkbGE0RG0SlAH7VYDeE2rZSUJK mhJrXPyUPsnPoJcBNdcnBtEMENgXUEkhSt8OIQpivLPNOOnmyyX7mYUZCfkjpzo6IWLLFyzJzzvb jJ2PX3NoNn+XYxjeyWZVfiU4KixCaiEood7d+dQpOcTjnWtGBAXgQRVTZVvsJesYGcOfm6ap8LRn HzJTiLmd0vH2PTgv/bzzzNigZj2/n0Vn0DoVhzC+57D+vNPM6mMc4p2zcxf028F/Z5nxZoBYyBiW Kk6qUgpaTjchCc8Ub0+/k8w4Y+E1v3kUcfHmawYUerA9DXPTbNI0uD4LcEnzcXPslAx7p5jhpty6 359FAdqgcvAfOHr9vDPMsBPSL5QHZkqF/GAGKMqlRekkzI+5dHX7ollWqQ7zkGZ/4t8J3rBdlQAV X7wxdF4bqMSQ0Zlh8EQYUUWyz/SF6irOVSQS+J1fxpqTtSqwbrZoxAFGntB3Cz/iey6NzbB+RQg8 hYtleGeXIRHaFHtuZqNG8KlNOMp13R2tWWfonVz2zFprUl3GknUyQudYOFuDbE6o8tDDYKRTp2VU jRup8FW/6BwlvnPLUNRTZobsJCwYLvMQgkI8pdmBPOqfd24ZevHlhojeGOel6+BkW4zCbLqR52JW 6ItYS8MAD4dSnRuteIzCBnXh2dJz9cV20bmST2pUmE2fnxqqj+YN0X7oZjf7I2Jcsr5rdA4pSySE c4BVzsQP9kVVTOpxEqvPO6+MU6T5tYvw+7SZb4SidBoZN7Rtk02BineoYdBzweTdZfrfSWX49izW d6cxM5ebTp8UdBYKYIHEQfo9Gh9ohMoag+ogag2RVPbOKWODkNNWCjFoseYZnAN1Eq39eaeU8Tum XzcZtmS5WMUon0YP6xzVK9kYqF6Jfp5j5ppKw0qCFeN8mkyyyj3ExcdA589A1Yn59C7C1IK4AUwD 7v3cH7T9oEL/O6GMSF4cQWmT3pKEekZVDwo+0Xln787PO5+sypLiGZRlgB97urihdzpZlVcrnHeb GJydRjbnhgeNGs1EAKUBbCkWoiijlgImcGW+eG8IUyH04qRaeA6mLVAe0GdIm2a6hhiwfuZ3/Ssd gqWJLwbo3DuRyQ74/uedS8br/ntQxuuhJ88X35lk2LiTRA1e59CZW0TAMAlLSWxXJDPseyBAUYu6 kaoBw0ZfnNeSOmiJm6hFQCE3kWe0CZ0YqGUeREYF4ijxnUhWnS09s2wLs5NnUl1tEVh+fmk+HmTU 975nhYtEPl+o/TuLDKdXKXSTlUghPHS2RWixoytf2Uasfeff+SOjTltKs/tRmh2Aj8PrjOfQmGdj su+RQV8bCFOWEp9Ui0lFeCeRsawyh5672B46VU0T1uVk1O8csvoYgFuE2GcqPip7Z5AxSwDtp3fH xax+pUCqG8viKrKU8Z1A5g3Yk+6gq6HlIl9bh/7ANlnnNFTXQxe3sZtFUoDq1kUH8HcCGSH3bJ5V KYJVz4jg9zJ43Z+wt887f4ygDx8mEluFgX1/IhS1qAm6r/Rjx22f5eLIu4zCzMJ80MgKd9k7e8zH HJCPTb74bT8zrVJJSUjnTAUql91FxAQX9wN+JRbo884dY4CoMIsDzBZdZbXvIje+c3N+3qljrHrT 1xYqaIqLZccPDbHTnS9ZtmEihk6eDuU7Kas1W2vonTj2lK2gz9viZSuM4BYc48FnLZsYfpBecAoQ R1au/gdz8c87baw6zX4u2sty0Q6qcww5nO/Cx4MdVL/PaTqkp4u8f+eMERNDxo+pWq2V3Lx5juLz +hOgYdnQO2XsYmIK9D1t8bIMXotj0hIUJ3gRc3ripq5olmHu7ocQAZ7vjDEC4UmI6rQJ42JlGRTn OWJup259J4z9wlUJeQZt5HJv+iih7jymp4vJzZaWNT5wEDoElhcOj+kQQl34igGtZovDqjAIGuTJ ndObvWSBrQEzhEo76hTzc0SfkZ8R3PS0TFmF2CEu6gzBDI+tqAr1rxbl079PacpsS4CAAQrzaVQc MJ+2zlAeLms1lmOEzz7wQWKL2tMWoFaqLxYgNNfP6VookHaqsnPVyKQnUfrr/BR5PebWOqVDyAc7 bZXMcS3aQmcDmW3KeV/xeDSvL991K0SJ+0XA9jCfzgQNTfMrbGz0cgvluj1C5+SwCPX3fFpDuvNy TeA7tdg7dvbNpAcWaZkZSjhUCFtwpkRFWOc1meMoCp8RTFpZr07SKrVoC7Vzi2hIdl5oPB5BhL0/ PZRYnv+TveDoUTaNkdWCuI5tIb1t8iZYt3WGFpcCFEI+QFw5kUnFF2udVRhBQEae6M6TKsJHA8KX SJrPFvuBO6ZVZJMKFj3qT8vpanY1z7o3z9pGus93rJ56o0fZdCpes27WtwugIW9P9zCbpihaMStZ yH35NTbScFG0utyBr0ft6U4Bqz0FZ5gPnKHAEoe+PkAIn8qsEviQgXGaSGYy7khn9mIj4EOiIRlV KzQK4tSE3YoMfQzybmHAWvB8QD+cv4Bn6Pp3kmYVo5iAWAj5sLq+5qu3X7cxx0uBMoQgHz3Kp+cU arF2X6xq3TnvkzBW1fWYG9MwDRa/P/BOP7/kqo8qQ9GXDZKhzf4ZwmGLJUNZ6kk/kJfG48FJnb5D hH0HdV6PUERCpC6qKeyjf5aXIauGlSFUZJjZIxRDPthapDO8ljtpHajDZHAFT7lF2BBVsXoiDOIK fnJeis+IBok6gLIQaNDF4x7qMCfk/X0OfDweBOjyXRL32/hhj8ECFKfTCMu0tuK51Hbx14xOykJ3 4jBlSdZDIiIHiQmjS1v8rm8Tdk18zdBu6pznJ9o2n0rkHArpgoYocoDPCO562Vkgp7RFczK0nAzd iYM6Sqefl4zdWlyl68Jfe9ijnviK5OnBSK4D0iPhqk63H/XOFmf1nX/nL/DibDyFuViABtDT56ft wDxVjOEaddHRBJr0pYOu0pWwtC8bdYaYDXWZpHSTjC1gdu0mT8Ix8XhE5vjOhigVRiKJIvSeT2MK hGwoc1BIxhRNvgrSxUbt6s18GtcNT+oon950PV909tRiEUqoB4o57Z1zGnashFBzIHJeCPT8jHCX 6I/WoxY1SBTsmSVfBIhZUKPlLLrmicejUeuvMRm6TPBGtABFCGrUkqtKpOJcammbTSNEvZaZx4A0 6O9YBPfowgpNXeSThM2qBvW5yzDvZ4CA0GZFlvHAOaarxH/9FEJujQ+JcGfkcKDAtkVjjl2p6ASg VNt4PKg40vrKhjI+vM5837I4owYrcVLbm/c+oR/019F4aP/qDPWoQ70Um0o6FJcLPAPTFKNQoKr2 SRjpLZM7jlmA2zMmHtZd7MQI9wjx0bdqjv9QQt+zSVeO9wIejzbRdw8/YzjdmMqLEh2l1NjkkKcq joCt3vgY3Ub3UITxaeKIWtTMhPIpgpMvdpVVQGqySGXnw8bKhBNPyfvh+EIh7t46Wd810hvQATSq L4pQzvMafmU8HlE5fnUXMdRGB9UCFKXU0N1H48MdY9JKlg11It94UlcQqkQajxrUUmLeIsxzsT0E RncHqZ4QYaC0h1E52k/HFDzX0q+SV7EvG/ESuYcaxZcWqoZhg6BEBR5EGyGKW9S/xolzw8PihihE UUs4r1jzbE5HUY9Zy4MR3tb6GFGLOhscpgwtzDhQdbQJujuOSVT2UFBRFOnwRfeKByIMcYKBz4jg nZtndJ5a3A9eooVIh87/g8ejKUf5uu0B9zhh9XxxhJiPToX4kWwivS92kTL8Rh5f24BnI8qoqZWT MzHkOfcboAFHFIy3yeXocDSk+sfEkVPZQVvrXvYA6eMzIpS5yrLafVFCXeBFSd+uc5zh8egY+m6/ Duo/X2TeiBLqzmFrNk49rFCu43ctxakKpM5wB0UJNRovmNUrr+5fB/UocHddEvSeNLZGQk3lepri QUvZGWW4PvEhfxxDZ+903fYAeZmPCY4JquWd9xDPR3vI22fcL9i2Dz96RCk18JVLVu+YJcq8T1VZ NfmcIuMy1hwjSqmXpPKGbCzH5QOdN4zX2dI8epYs04bO7YOKB8WVd6jJsB8hhnpz2LqoCstFKfU4 B25TPnSushFhqJ9TyPq2SKs9HxohhBraJ32sy1TYDx8oGcq8wA7P9lAEoUa3FptnD198HN2oHkXY x0QqSd7iucmAEGNRRNqm5mREd45Q3gOYhpPHtrvYjAPnnIRg+8Dj/0qH+NUgSlnqPaajDnWr80vV FNwjn9en7OBFEC2t9zGijFqdV9LnuXDeK9THhBtsz1LNP0mAvNIT7bQgZMEdZO/Y+fP4jCAZos75 Kqv7ogBhPC0P87U2Hv/XmEz1iW6Oe05HKTX0K9Yshr1fY3XHv9o7Nujk0fwii1PqJPh99cVrjg79 12JW8SdbaSQHN9mdoS1X0nX23NpDYUrdKgExzIY6kI86OzLkeDn/PtfCwvNBZf/rpAbQpaJwthCF KTW94XYrllL3Mj2lTsuHrbg37BgKm9Tkq876LM8xdHJJ6EZWCDasmuhUtTG2p2JPoYGy2vhbIjph k1rD1kWUORfjcrSkKrbOUvB41Mb/hp8RW0oDKEZohk1qNIdGtxsMmtTVPeJd8Yy9j64IzVgYT/LB GiXur4z6RKEPym1BH+ZsHwl6JsJ/BAG76DM6eMwQ81GpZYohuy2GPgNWRVlya3g8CtB3Fx9vS5VB PAMUZdRo+yxy4higWqtVZWN2S65LATZcVdmMeYlLg/rii+PzVsNJQcQyktFhzaFEGXiwXB93QWwR fsafXXz6SmmxkmNPNX1PgAoej9Rivjl3oEW3cVHmMxT6KOApNFNmgKCF32SNT8qkEhgfHkPzX6zE dJd7DME7KFMgf9EEhsqwCR1TYvGB0LzUVioQzLBJLUbZubB84YeglmvJXAQqHo8i5NNoqg0NUFsv 5GNGCTWG/Gu4LBX0LEzFYuXq43oIEBijbIYtas454Bnsi4/rkfEMeI3jroc+B89yvmMSgK7uBJeJ bMFnBAHSEHGN5YsOoYIOlDAxKePxiMmRvrNFNFDQo7QARQk16i64LYnYurPcFllxpHKVUBJhVTiE Qp28RhXBTeAdF69aR4c9bmdZ3yHVyf/lOZc3tMkWfBfrxeHj58GHBC+ZylWMb2yxsxV2YJwlll7x eDBLbLfzIf74+WtIQixEUUKNYmq15Xuo8UYQwd6pihjRbT+nI8iHjF/M7Z2LjzlOPj3zYoPxhAiA WtrkITHtUFherOuVDtFycUYJdaVY3tl31RfHVWURRdscA48HHdhnEERDE8R73WHZjDAfaPQtjB+s i5/NqBr8wOQyH02WwohQ1KReusKA2LfFD+oy0VsarMoG+NBdA2mczRUW1fuWHKzO8Rl/UH/P/4yt 6o2bTHV9PTdNkRknbrIwo74JI4fB4J+zsWARCkmJ7J51FzKdg8czm0NtXJsO0OJ1DoWieZXjxKVW 7HpIiSC4LHPrWumUZbKsasASNrbSd3q6+FvfNeIDMQvqPflic44k7zrY4/Hxf+GqNLHHD3O7+DMC faArCcKFcRKnGGUyo1xO/S0092WAoox6cFSfmur71p+MGvLxwMlIzRQ23pUZNf61QBYTIOVNaiLN Z5RRN+0hsGZtsfpzDAHNETY8/i/ckAKLquyqvc6YlJjPQcBRHI+h1bw3VLsBHSC7eE/qUOeDVVlB p9YWxw1NOuRSs3Mg2aqyFcKYt0LdHz0Ahwivou/6RzZEMpkvNmw9RVNWFbH5FaN08cpYMHXKBBw7 n2NFCTXqikXTI83sWy/OVmjVXQJOTWId2BWhPgbbZulr8QhhEJcm+UDnaJsUl6JhNXAxSB7m/vkl xr1C1Aeb+BsOaLZoC53sWq00TBvweKSl460hFtWbbWrPhlaUUKN/gN7KlWNyoY+xeFVwYL9zt+7Z ChNqaXDXNXzxmmyit5g0bQXP3gxgNBFE2QHjd8MNYafjM6LmGfPEReW8QaFZ2eJWVMT4T7ik4Pno GPpu4hOOs6eD81YoOF1lpui6uHvceXS1riya+NmOoRXCPrZY40wbc1MFQIjwAjYCMjm0L4PyjRqw m2416wcwYVdfRCaIz4iaZ2xNL9ZkaXlNdt7v2cyEZA08/i9VZZ5YSMLaZf6uKKEmOG/UWbwB2y+X Y6zbwgdYVQEKMdQsJPaiT/de16cb7lINKOpCRAMO10IjDkpX7GqpognnEXq24g610GUr+2LHNJyV 1V3cFY//L1V9LjRR2t74WFFGDYoCTEutKGvFJb1gSuRqOn1v67+umJVofjfFF3vJSOZwBU+kdZNJ QcWkEuL3PzCOsYuMFsD4jKB7NgXDp0ADF52tBPrL+K9PPP6vIQe2CwGwcKiwAEX5NOoKHD3WoG5t uWXZ1pCDDWokYwpQrPLBrurkJJWLBQhm6K1h6gEBzwl3sc7OUIH5HepI2uCaxxTBZysCfSyzBpQI dXHXASTni0xpcO7xeCTs/g0RJjWNR6AiFGKooRSzeDX/PyJUcrnAKuvFAvQBcB0jFObTdGfZvWdf vChLMLvL9HHBNU+SJSqO8zZ38uBghWCtIUrQ4kOCCHVGaNOEi2NhowPtKh5nT6nh8Yhf/63QQG7R uMKCKyQmJnYXcczRNoHkSXUXi7XRIINC2ztEKAZRC5WYsi+eTyeoVSI5hG9CXxB4x0uG97APgvfb BX3Moe8aVRyF6Ok0fElWcSRqO6A1xK8Y0RLnV7bYzt16XvJ7CsWsRLxkV8Kip69caF+ewnAmx4o7 1HzJlmxclqvcQHDuJFrowVJsKJ2aQoJVmUkBgCpwV9OstVCdDh8SKJyyJ7TVoeZi7Vec+jyo+6p4 PJjXj/11DMG6kKWcRShmJZ5XK0vrDNniSH6TgbdrV/2iwCwjFOfTsgao2Rcv6wdtppekqE+8Ggll KRPLgJe2zAdFPYa+7F98qTHpTMLFDup9jn0z4+bj/9pD8gwCBgNlnkK0o4SaDpy9Flc9ewZBaw73 UIA6lC77HdISpSCMNpwtF9KQzm+vbAjxKWjmgiDdiM7LP51TDgkJs0O9o4S6CbdoVhPZEwrk4XJP Ph/Fx4PuWf0lekaoSfWEer8n1Oi5oXuWTaMbVbh3z1YZV7CKfl5UMw9lPhiZKZg9Fw/QPKcyNM2x h84LR5Q+umgQrEJjWXwpu8r8y0Y9fKJiJkWauRhuCBkR/4VrDzweXGX5WymmQAi1dK/JdizzsaHy 3k0IZZVhDdixhimhgatQTKNhRwk1iVKn1mjdF3/LIHBnThNng1bgYVhyAK1JnVrscwPFoC+Fz4ia ZxTHRcJpi6rWibtedN6+8Xgk8/G9heT/NW6AooQ60QCoZddZlsUNdVDy4+Ky3Yljh5AP8VqHJorj seKY0CEr9o4B6Me3PwEJe14vBJwYQhsD8SrbUUa9iTmDcogvOjqAY1CpfopuPB6pCH+PgYhlwEzH IhSCqHHXj2osoHPXDLfbrNMbHzVNB8XsEES91TQTrmH1e5U1NPExv1DJAXMklhzoTlc0iPNX7wwZ ID4jslQw/c7ii2+hUpUQn7oej0eyeeUrGSrUvrpDjh3L5rHp6sKCE2hh8wwgjJUBKtTIYIBCxIfU mEpdvjhG+NxeGUouGnJo1DPQGckYMswfTmIMhg+wOz4j6HtkWbYKoUc9XQYIV72GHOeHxuORJNx3 TQbtgf5gF3eE+AAr75wxpn0PQ6xpASq9mJ7XOfy6CeLvUDRvy3tM47JenqK14eKvRo0uectn8lz4 yEVO0QrxOh9F967v+gertZ2cPPmiAJ0DTUA3DhJ33J/+rYSS8fn3og/TaUyBOr11yJdib0ZKOsUF z+CEsEW52yHiQ7xfab7n/uh5DZrTZOl5IQMiagaCZ2h+omdLvtSUaUnSd43k8Dkfy8wZV95XSwda PYLW14HH/6XnxfPmZPHtwQztsD2d2JTOy3bQulJDjUWDxJiwrxSgMJ0W4GxSjInLHZPldIpY7iBa 2KGVCHlP8pWAQUrzgqq2fdeo7UG3m//2aWud3RoUWXw8Yrrkr2wayMneLnJxR9k07/nzP7YdxJdJ ATpbyCXzOva+XrEI7gFKHZqu7S7+iiFbziJtAv205OE6WE6fXfODfpYnQhxw7FDiQyh89CZtsQGH QZnPv7U0PB7tIK/pRbLaPLMsQIJGvH4q3FknHTyp8cFZijQ+NEZcnHD4GFGTqdfPJ6Z1lnQXhaie QwembdVllid65hBoqFcGZV/Js01BJnxKYLsl4GslCyNBki3r0gZkhZJc/Xxv/oF/ATw5bKW759X0 yilUziN1kyU1KvsqQ+TN1rihYdE+8zEHndaCnJp9Id5mWixjPMf0ySYwbT9hwtDjHNn4bjDkndC9 xV97nEezfd1gaL+yRIeWL0I1nM8QG7Kd783ng7rjzhPZ0oZIIZX7LEoh8gP+rKNYybq2ShB4aibO Etgh6j0brgF/KIBSsze0MkUGVm6eNjaUY3AJoJ/9+X9rp3hT4tUPmn1O9eqhLDmPphD7odIj6+iG bRu7dRkmNUTMgzC2+AeCxOgpYHmtkXBzbV5SlFzD1s7qv7NvdobulcGFffZRzv24XBs/hSaJ8l9v Y/hiYeogLrC/cTbThtDTkNkvGtsg48HA7CaPZdvXjcCMpOBN0u3n9B8jYSZjOoOwRE5xx/p7KkTy frn4BsF63tOjQeaCNWRBVPGTqS83oejCWfGVi1rWhhXOAoHkCwJp59RbkGNkfgRP9U2j0gRHyEkF OoDmrFmUKT+ETwnmHgQSwYbGFxWk5/XToAXgWz4fUcq/JZoyiFH/n7HvypIlR479z7XU4YEW3P/C CDNzR2RxwuvO+yB6+nVURiIhXJiYvT4HeFy35nlUzKqV4Y3wemmW5XC0TTInrbnCwrUQIHVtHzwR 6ec7dDhX4gDHVNDWNcNXaVDlqH4h9ra/bsQ1mwJVVx8sV+t5D9EV0+bz79NU0y++IlCV4xI6cwpr 14OhpOM+J/9LLqYlCyEztN0C7eUUBduE6CfWeG24NZFz6xdUfFFX68Aj8ppD+k5nAQqI2sm0AWTh p0RSTfQR6OUOBpjBqSBP5N35fFAV+X9EGDPA8lkKRUCa/DelYXX+abhYE9HWonWyfm5bLixgk2o3 6W6iwZsgDaRy6HvgYEJndkAufyMePBO4eDxYvMQWFT8k4k6TzXGlzpeKZDCqZscGwsqNz/8Luyc5 2bMi12ULSSfjPQSptFI0pZQ58sWEULfLTEpzcS+8SAkE6wHxtUAh6VlKwDaeBEeUqtShj08jM9Rt iJ7D5eTSet3fNsI3ij9NKPGsHlUiXqJl+jmL2+DzwX67xxJeD57xY+9nJUWBN0WtBk0ezI3TVT7P 9xme2yJAtUkK69hbG63ewfcb/GTPv2CzaNPRjcJoAM1QG5I3MdW8zyFc7G2jAlJhzM1GGAfDEXf4 MGNxDAaVUSG7PGVatfU3HfR8lkJbRco29eWepTC7uvCi7ZLnGxr3ZhoYYkM6eYuJghccnPQxNhQ1 DV9ETzzWSDYuNmhQ7OlI2UIlVn5IxKOmcNwiOISDZumc3ZsR5pm6yef/1XWkTzsc30A99UkKGYxc SmvaUhrMraWHOotrXqR+fQMDa0VEp5ykym3HQZN0DrcTbbfJaGmVE+7VZgZ6oO5Q9rc8OL5kbxu0 RKhNRLMaG5TFQdiekcc5YyqfDyLv8kvRgSvpugvkwFwxyVea7HipE+3l6kSjD9OtPvnjNN3qHLor Lul9IqqwwaOA2enwmqnfhJtIsrrgsRYY5UDz2elDpdnb/qWJehJmddYo+sdaADvAPLnr4PPBSkrf zcfCUtt1XMqBv6K0JADlKFYuSc31d8pgcbLIQLn5JIVx96LI1WZdqWzvDC6o6Z3VhBjo/J0JPlQR yB9wGSBjOEkmcsUGdg4MFm27nTCiZR8EpGkw7+Uxc24iPh9tt1/XW6NO0bPdQrG9xfN6WVWyr3zl q3W9UcYJxXnbbiGZUUC1LYez/WVvX+E+P43tmaG701TYhkA38nMq4Sg56eZkGuqD8PZ/XIW6LaW9 0dLkUoJTZ2iy+HhNC+zfv2Xic2CyKAX8k5yUaoWlYh4eQGQxtCx0yMOJp6UURt2SCAH6zQabpXPx gc4o+HUDxZqVlU6vAVAsyB42xFH3t4141WzyN5Lqd7sGZ+NcllpKJ8bn85Gw5TdtmMXcvZ5DKSpw j80+ZDWJh8UfRHAIHUoIujukxZTnhkaLKyvPrckHCyfRbCyLv+75uaGVWiDFg1P8p2PJ01BIp1JJ qi2FVosKuheq0zZYBofapzkObD4fHN3ZTyXBQ/sP8VY+S1HQDWzUwlfxPLc7rGa4AyPIIG4ElwO3 xawqLvzutw8+SyCFU6mfthWt0b/h/IVzENHsEGKhV8Og2ttGS4ltyM1TiYO1ktBMMjqIng+W0i10 Yz4A/+7pKhHnwG8R8DXIWlKaRJJF3RGQWUVvShbhtLVJikvdnJ1OsRQOXjJBfNTF/twJPiqFmQmF RUAYBsn+go+Sv24kHC/1z5Z9UKh0ftEh9NDZ2Xw+SE2WVyl5TBM7sm9hKTRdhGTH2U7NxR54MwkZ gW6CMa/auEspdl3kqUTPSQ1OeUhItAbr3bDBGKyMnyUMLBvqaQttHeu5rWRvG1mgJJXf7qBQqbCY yy00G5+PUpPvlgDikF7y3W+h8SLJ+kbVo6p1uqrWbB0LxgYLLiW5ofMihAFAmRFmlPprmqQEzANS Wy6ls+9kG1fQN+ggqfWHwCcYWw6tFyfrSStnlSqzJbngL0mfGOcfn/+XEJ8QJBmH0uPVHSrx7UmN y2ayzTBRVCUANonUNAJPdnnxLXZfpCvnlhqqaVQIIVE3EQF09ErA67CkUiHg0NsipepS1GRFHbov 0n6gzt7uoB8fC7VpJZXJ5//FJeZ8MB2q9U5SKBwyhGGz3gmuoAuIHMVKbwsVTG23wH/RVxJKkD74 yY2mQAOQHxXKfKJiVgKA84S+Uwb+tzjgj5VNfkpUeiNNthJ/nKE8J4LiuSe7akJohfAPBC2m/Q1e Rxl/jKtilAMPRtTeCrvbjqwdd5pGz17uzlSQ0TTF6GzOT6U4CAdfS3R7J08/pXO8DXS4ob9/7kBa hFN4xtNcctVy6MKYuNW67OF66YYcBQulkB13TpnO54Ned/sG12b5Gj7HUmgbc5bR2uVxNs/Wqhyr NodLAHtocXdgxIiCMEmhQ/YfY9+KSYHkdc5Tzjr1nOW0LOjghuL8/SE73Lrdc9nbRpxHobaIsoWJ y08XDyKdiIxMkXNPTP6BqCmw/l8ccMLdZ8tF1e4msfTxoG68+NZRx3f3mO7Ft9CMcelcojSfhtvy XhmQOSL9IRlZiHhvDTZLbNu16xInccccmjFKz2jXsXzQDTfZAcf3Ph/E54OldIsBkp47cz6eYkDo xgjIvcvRMLbkUiLKdlx9x42syyYplOfr0sgozQcvK6UJDDtvODiuN8HbQKwUX6M8/ijIFPS2AXIC qdvJCWlPXa89NSj8g//jTNLm80HY/ctlB83HL+GwHBoyogmHZqJlcL1uhwakbAK0J3mgX4smKXaR YYKbVc3Nt6zU0QGYYKXQiGic9U2CUiMaC4t90f6jcb8tf9tgkpqQtnP7oLC71E3bXbAZJ5//FxiZ ouBYkGk82y0UvQZee5Z6Ra+Hu331lF30GmAKS3MDU0brVMJScPngh1LFb45PoOdgNwuQASoZZD9/ 2LewgHIve9vggmO7ew1Zxg23jIPmdVWks3lyhxiT8UtwFvKAfddnv0VhN8gaAOhZ8Y1OY4YyoXin oUyWFwMCZ0anH01qZmjwC65h+20apZxbqCKpQERJqBtgJucMmawWIlqq3V43wrUTaALqgA1aS2dD D3ZjId/I56Pm0i8wFyxp+vWqzqE7I6QqoCvvMms9Geb226waGvG24WJ7RqkeIIi2wTfcOaFqxipA sXuizcS6QUYkCbgzrDVu07vb2/5RMmkskdugtXR+lCEmJIvdgUEj4u7vtYSdTzyNT1IUd28UA6B2 Z5A3sPPtVLqApROFFN9wgUUjulSKAvr0wVGTqHBShQieO70irCRpHVkBQYEXup0pQcwPCZABgw0T /KY2qD7U5xTx71wMnc//C1ia1c0t0FG/fZPYpbFwm63qsVL3lgB1nd0QxNHJObRpVMlkdprLcHA2 Wy2g1NJvGA7uc7BPCQ/0H3jMwLvpQbhvf91owzEvaaIkXaIBQyXJZZ355/P/miY8t6CHUq6Yeg6t GrFKFvuiopLUbtTslXH72CwxemHcHXs1LlX61YPrj+YaJIx3z9bzhgVGQRKx5UsEPGZaN1iynnfg 1gjusRCUZGyt7IyVk9IvAe7O3u58Ptpx35XcRs7zg1mK7Ro7NeerVZYQ+lnNZKbpZAkSY2yWYjVs Op8XGRWVZy3BFTEjaCKtDWhBIrs2Wt0Dyyiv/uAn8rLX/UuvBtBVH6y/BNtVsWja5PP/0jxiEw4I qPY1S1HcjerMOVKy6Njnn2hvyVSXTgvEvzUUFG2W/pYbWZukIw4eCExU3xD+IY9uDdh6pLpQ1oc3 z7nicBUb6yZ1e92/rrjcaAbGQTsOzCTN0kbVJHBu/C54X2vL77UUFrwz6m/VzuwFrasf52mXZVfc uBp+OfBuBG6VDUhZ6nHwtQQA3Oh0Klon2gYgC1gc/PnBxBiNXWtVrm5vG3HZSUoa9JSbbhh14vVK ly5CcQafj7js34ku4ty6LyQ3B+6NwhZuYqxUf5vdibZF1hisv0ElwSYpDry14XrzwetvM8OqkKWl DSF7R7+dE5b4tbyWV00glG6vG2w42fDkeQcdSwVG1lxKZ/vy+WDDzV+2aShspaeUG/o3TooiwNtD +uEotUlh/awBAN4XywEQG2YBLjZwlBzCf1YpM3Ldk5wVdrwwTTSFYNtsQvI19/2UKXuz1/1L/Xmi eWiDraVVG5XU2vlHPv8vGhfLCJms9qdOGZo4Qv5ggUbPyQHhwczTzjfDrc9zabRypykKvQdDRdM4 4mDw5TEK7KvREzzbDC67FPbpkARfIH4U1Ns9EujLXvevSGALl7svLhfMxc02GsBVfD6IBEb5uuMY 869Lbc9/GDlC06807+mO7WicIholG9+rma9KDpwcJdUBcd6yffDGd00DloKIKvtJEJIaLBtEljJV /7hWl+S258DJUY1rwNWIM5m61tliAlcSnyd0QGDlmEih/YoqUb8pj65fDr0cqQM9xlVl29UIS+ME N16Bg+SDz1Jo5kh3sLQkGLVuzftcB+fXmpMYChasFs/5QWtpMBxgjmxZ3FC4FLg5yuOGZK7ug7K4 CgaHVEOHng+uuPZd84ZRENvVPklR6I1uCWCz1YEm1csmXQBdTFKbzyTFobes5mbzwSHeA8gJ/Owg 5VTc2TTjw0FcUSx60DglqwAXGjoWxZRb7QSwP5hTnPP/nGhcHSeJXvwD/5IjIcAJx1t+4G+BpyO4 b1AhqcM9nFn2FWZpeFCJCpxjlv4wdRT5jWKaHBweAG+eMaU9Vk5EiTMOFTjcwMSlA2LtHjTd3jbo MdGRDxenD9aIOymnGt8ZmKXQ1TH3X0sJuJXyFAQCW0fYYUFDrhSjKbd65WpLMj2pc3aDSaJA4A9f R3mDMmDk4JwKuDhTZ4w+NCdx51KqkCvAFvtB/9pF/tq2t43QAerAURuagwoC52hJ8ntqQMGHxo7z V1tAloUPVyB0dmRLt6ELKN2WxVouZUn2DSkzKDW64AJrx0s8WUri1pdwdoO6zRbxBNdJY8SQ2mSe uSFB9oU02fa6AYmpSk5TwgBi5JCNtOGRxYMmVT7/fnavWzah7TMxgv3Zb2HgDeLJkinGoOift5im TOXNy9lZTIG/Y2YcAyVgVmqXbwV04sY6/zdV4YMgj1doM4vmyQSwrKTHqnhSqC0HDo+IKUnE3Yq8 942894nohDs+dx2fD1LdCw9gjZNS+qzS+jxFRe+JNG6163mdp8MoejdyJTgVtxXXIqxJlaXzbHfw 8hLy2rmJXZ6FQr9YTR3F1EYj1/RMk/g5LQq9UyYsF6VgG3TFAWhQDUWx+fy/sJRsn1REDhcB93kN lprVKbtcV6A4Pi+JSa0M4XH4VzFHr6FSg/4xT+6amw92cpfzwokmd0AHwEFMWltUaVtQkqDinzGY ECq9BkoNzkRygajFBwsBOqSncV+dDPHzGiadF9xL+MBzvCZVV6A4mPhvzq8SzQ6cOhdRQiRStF0N PdFH706kGNlm5zVEatbKBby0+eAAk45uLili0N5gp+yHipHydTgrHk0OOR0Y+P11ek4cSZTpAjTW Bp3YEKEU47SghPs6PWeFG5prKdQGdT7x0MbsvEZHTRCs81LZFNi74kZq1EsViFJ2aGlwdl5joybD YxTmODscDKlM97k6ttzAIVSeDF96Tu9Bk/B6NVkJ536NjBqOELbbugww+zXAHA2W7Ez+d/u8hkVN 9RVNjiyf0FzH/+HkvAZFzVLaycKeerf1EfXtj6aEuzu+hkQNpDv2kJYCxzWfpuRJcE4QLc2NjuoI 9Ug7enhwhP0Z5U5O59HzGhA1VEaIJrVa28QaYFo6UUhnngag2Oc1HsIXtXjICSmTHvA2O6/REB4C Q6nR7lQmKz1bYD3mcMnjfCVJXmOhJh46fLCku0HZAy+KnPlhcIT6GvJMRk2wheYW/MlogqMCQy43 HYqjc6eIU6Jkv65bXUPuoXbHCapfAyE83dPX7KDS1Qhl5ey8hkEN2qZqP17Nn+lrp/PzpfkDnoOc QYPZmTp2unq1/enV7nOOwVuWQFJ01NQZAOX6h8DkTPdvgSMS4+nXEOi86upWx+4+6HLPWVrE53yv 5fMaAHGT+OzwAEfLAIeIZuc1/Gm66OBK1mztlL483WgPRQJrS8YP0ex0TYucd/t13kU7NkMAIfFQ hjg9WbcVGR9UkK3Ob4mrTA2irUUQUgUlzAblGvCDlj/P+fuf18CHp1ax2WG/CMsG2C3NzmvQg0O5 okYk7CgAW7357KR6saMnSbDG42vIg0OZxdj9Nfjs4GKgzwN2VjqRFPm4NCw9WfpZi/kxR58MPl4j nibP0ZcO9gnRuyR84df2eY13+Ia/TmVWgilah9l5LTRiZ4Gf+Jg7TbKApCFRsiNqILNsgmPBqZyq 1RebD76zMuoKG9VzaGf3AWFWBM5wS0WJrxCPaBC2RkW2aHZYGGqLtBEOKsXuBKk3XugFT7/PTl9+ ofMAr/ST9LXzWl9sptS/KG9u5COrCQFQe4Xos0NpXquL+Gyhjf5T8hC3C7Q6MmZnQkJiW/J1XnPj 4iE6yJBrtC16rS22q927mXpx8Aj3BE/JC0KvlUXeWb6zCLmBxjsDawWDUaxMEM0c1fQ16L5ji2dw RRWqRzSvc0TBsiKdl5b+uab2WfnCGyOXmBQjw1H9M3AJZLLyTJm2ie8fLZ/BMwdWQzao+Lq7qG8/ rQzwRaPdZUwRu9VRGxd9jTMUxcsJC6jRIAYLKIvHhsMnl3w1bICbMjpNNENCGVlbfz362Ovc3Y0C UBBfBbyR2ohYTD8TSJTSWJ8WYM3YNOHhLGtC+c70SxYd55cloR2sxs87d63JzPiZIezPXu/d9U5d szxk7Wp9+8XmsdYQKjZ2AI2+LxArmCEjGq3WfbA1RKnVjRq4EA+Jto3APYqq/0M7V8solLlHUXOn ghbmwwdNUM00YMOVBLbRe9iMhG37BFEJGSdhX3eGosAZLkvnXLDzBqLYjpxZuTvcYQLXbnCH4Izu VbGhiP7zy+rhRB3w8mMJaJQ2papRedJCfCZT28JvsKSXfd9lHQpIkLjbPhhB5BzYRXJ1AIaGsfPw c+i6YXRIOdoUvUfPyCcp71PsFtuj+RTBtsgX0b584/fwOds2g2aKD5Z5JfzPliVvDPtwwWa2uqfk iG4D8+UudZb38DnRXRr1jMrsHY2SaquoJRGNwAT7vFPWLISyKWK0nYExPnN7T+soiIZgEyqIlmKA yOGBUMrlKbg6te89is5SPcz0x7HBziJoaYHOKcGxCWwfi45I7yrhKOUxVaEvzztlDbt5U/Vw0hi9 uCQV8rYiVh7I0p93xhq2TB4+R5RDouRiWbe6EUbSvZBBs51B41r008wxUEicrH+yvBGF0rLfK0V2 BuUe1wgzt1weWEccBRevgf0q7rk67mFkp3UUSrduypnVByvbnzOIUCxYuH/e6WpYRjP/OoygrDSf Kz+KppE27tSmte9XadXb96O6LAv4ijZDUTitov3eak/vq9bfKyhXIGCxHk1m9iYxBIcRIrYsu9Ql keOul32fItRFzikEko0NOozgJCHhwnM9fN7Jarx0/ULjf3tiP2oT2wy9R9S6iNbo7mcw5vQZqjn5 Gjr/5CoaUUg9WlL55w7eRgTP6cSNRF8PZB/0JN6Qj6+ghtZ8ez/W1ngPqY3zeG71XnxQGagDZC+N Y6jWRDH12t8xEQ1Od/dKxztNjffQvgK+gzqsvskSGe7Uoh8sZ3EJhVH14CYDc8KGWyaDbSFMLHHj I/3y5sf5mlAYXvVq0bO18nknqTXpvTTcgXfQOYSfoZmdwfq8c9R4Vu+vCYI2Y+nb99g7RQ21R+hC 3FwD/3Q7Y9dPtqa6bYLeGWrNEDJYacUHX0EQh+ShCPGMk6Q20vhGk53BWRPpMVCj7/c7Qa3J6B7G YNLvXa7fC2sqsb3qLPnzzk9j5P+9glqGBhdFWDhBUVSdKZ05m3mBnqzA+xj1y3B3u4LWOzuNNyIT sq2sdT9Za4FCCvaAbBxTB+0XrtaDPuHTIUQ6hPLQywaJ2ZROjXQzmkPech/UTaANyPy8k9NY+upf hWhU9BqFfzRDUSVa1k4zOawxrWsZUpr3DStXAGfoPaqWvBTLrNkHP6YhHZ472/Q4TuHxiMwMVSBY YbF/fKFoNHx4Z6Z5RIRqUvPBGz09yQE11/Z556UxrP6VmYEfsKZnZu+0NGwy1eqHgfWAWbYZgkKt rSHsPR3T76y0JtE7CByk5YO36Cf4Y0R9Q0RzLrnRpQZ5MUpm5cf3W7oi76Q0JvdIWttmK4yDzdDZ s3JVSZmP/6sizTVUaKFx11AUVAMbdnZxt7Jip6iZRKBndorMuhSZd0YagyG5qmizrau/2qEveq6x xGAI8qJiZRUIcnboi2ToZdsuYyrxeWekcZexGt2Eh2mGh0HtIQtxXc8F8HnnozVJejyVxYaImioU mqEoosb/N5SZvXhGDVQrvHaHWKOJZbssqktTaT1nkLRt8NpiPvdvonlAOnsrlclzCMi/HxRlYRpS b9W+2MsGwRB1DqfU+udV60dMzTMeVkTr805Gaw8mVhUiUCHNmYcf+h5Q4xyivvqetzRtEkfnRDIW X6l0m9Maeg+oHXkG+J0Pt74IqYNO96sNzYfBq6xADHKilpDpJ+s9MXvZ93MIfTcKQE0fbA3hgmE0 cwKLzzsTDd81f1enJ7dsuZd9GFAju1/SDMdcreGtjXF9rWuvziJ+56E1OayfJwpniINXiAD9K03k Ier7LvGLyNw5QSbq1Z6VlaF3jSaISL7Ozs8Ge9cM3/fZZ1xDAAB83mloTdzar3AI6fJ4zqGoRI1K NlG9lreOLvwCQJ452Tk0afrBGXoPqNGSp2xPfQaLFymQsRI5MZt00Ern783a65lXQIishDa2vWsQ L2qGEGvZ4OEQFIGYReT+eaegNcm1fU0QlEfR/bMJigJq9KYgKGqbzHRXpSN2ZY4XRWi4yd4DaguH zomilTRdw3Kd79xQRiR1+GS/kLNiKYkHEzlG62IW6B7zeWefNYkwAfTS76Cb7AQTjejOBn+wd/IZ ws1Rv+NFpsDdU7J37hliqJ0pimXtw34t5uYi7pbREIoxWkHv1LMbUPPetsFWEByVcT8qoD7RovLa RdkllKhnvmL9CI74GUFnfvIQ6uhBa1BKtrL6eidsGPnzTjxrojR9raDMufEt9s47w7rbdAKd+15k voK6qkSEdTYPF99pZ026SCMTs2WDJggCFmtP8ODOPoAuTmMrtuBSyvDSpL5wNw5M0btGEyQZI/72 HLTFAMlqwiOceO2ddIaveuNptTVkyOVX/TvnrEmeG7AgFw/L1xGjkOsjDDUtO8HMe6ecPYcQZtUG T1rPfQ52MFUfz17dZrUGebRC4Hh7jmmsis874cxzskaJfRuUtJ4F5JyF3j/vfLP/OKWBt6FUkE1Q FE93eqVW875YgxV4coUhX+a9oNqMBfvONrt7DH4CPvgpfVYR9ObkqQK7wsSyBwAhsGL6WXQM0SFE LYx3rhkTDlFghxEXsfR4Co2p9/6B6+jnnWvGukf/miEr/3px8Z1qxp8F4gXZyZ1nsbhlCBXPjE2d 3BHjnWnWzIMvN3o7abBNBkdRyKaSTH0uNFDNcFB1/EmkHbfqgUYG3zSaHzY4KqV5OOgMAsOB01NP uvR5J5n9CqYvfaonDxXfOWa8GRAgLjflmcUcnuBGnBwfVOednqg8TaoLOg/Nh3uL4eTHm3CjwntE Cj7AhQJ9MB8Tx06xsHeCGYFeDBFLuYNN0G5Ldg2j1887v6xJcFQTpK4a6ve3OP1OL8OHZtY6uvUS x7jZRm3Lm9FJhcXEvxN1W+W1m+5gE4SGEjyK5J5WoeJPN2JcZh0EOBQGL1ORUOB3dpk1lBsgHtUH NTlgrKh8bOFHfI+loev3fY2hnN/rxTK8c8uY029CPSynb+yCW4fDrcHO9TGTzVBYnBbsHgw3DITd T4I3JS5XZA0G7Z9CSxVoUTVQMiA65Dl9ZzPxnVlGlBkhjWmR7kJLNx5CO1eokeH2XidWfGeWNVFB v9qtJ9/pKKrbFIV4DxzTw/2cVku3dNZXdztiNARtisLqNOemltF98HRjgMNNh5ATNvYJxOsPLSHw G6b8M/ujXlyy3vWPY7qqbFupGElkcz7pnppsP5AM/byzythE+i4MkTEz852hKJqGLvROlBdiD0gW u+IlzOJgchBfNENReRqxAPtj3QebITA50IbOarfCCYClMyRk5ohBZRAVhrq9bNAEkiEGbLdtsMLQ qvIeLedrf94JZXjH7BcZu9cg8tfi2+ydT4YPhaX1YHlXEmr9moaUC5GmxAI159/pZNxmbI+hoWmD F4bgKDqndK+gQC+Lg4pUfsLIme4J7vo97GXfZwh5HnCVRH8ArKNLCTjiRPZ9P6nO551NZljQp+xB RVxDkfNTQ9wHZPmbAImUwl4SVYdhCDWcNgjT6ORriqKAGlQCksi6D173WAll6SH969ZRWFTP/qwl EfCvEQZCSL7rn9tM8ikc9MNjmWa5X/XxeWeSWeFB8yHLZjC0ssfT70QyriGkZCtvU5iTzxVjMIjh gM4JcmLyCYoK1CAWQqNQCKJ0sdINTli1LB7Vu1boXYlQfo6LCepl5kFkisXsI77zyJobXa4mXF7L 7jB3Ysdpqpebj/83ATXjeHqvaIbeA2rTdJ7Udv0fNMzabjZD0Op2N9nEc6jz70S7jFrOSB1s8F50 BhB6WAkfPQKChyuWPKSMzzlU73U/SEV455AZbhXGPHS1TvdDTjDbtK/PvyqfdwoZH/cZkjsNkDnF I8Z3BhkjRpTO1vS6R8keEM19UcE0kBZmOixQKyBiK16DczXOC5Que6cOT4xOv93JqwRtHxldKm2l EMLnnUDmiHuUhLYPltefSJFlonYS7M87f4wdgO/CB32Q+i0uvtPHrL+76rZ+xqKGqTc50oV80HIZ d9k7e8xgbIgV1/LBZmjOc2nQLAWt1gYCgUpnHVAYQKEf7CsEcvkZUV5PYcJFadm8rrQsVGmq9Hf3 +LxTx5hd/ULd08Kte0z9zhzDU/C/WnIpxGKijKH1yWr1ytCqPkFhTK3K0CaEmoNtsvMLrJ2qHMJA BDJhPrCxK4rUbHdqj3VWht5pY01eCEjHSvJBK6hBBYynyDkYPu+sMWuaPnuMvujymOMERSF1pqYF 5fmlIjPco+CcCMknCCwvTVCM91iEVHGrcbAJyqiwAm+ApBU5cacMJvrSyFYLPG3uIUSE5zthDD8m OhwtsxWkQSsolSyuLmggn3e+GL/q/srKOknZJNVxgqKAutMqdVshGj1j10hrSvApBV7cpbDFCGrh PFhb5ODH9DpR6yhE501IyaOUD/EUXF00a6YdcVPpLOldg5s+sXBf8/BBhaETSbVt5N38aVE87Y4p VvbApljrnkFhPF1JFDcspyuBglc/i5c9CllwOqWj6rRNUKnDB4edndu7gwVNKdmT/ZF0lyipUClF k9djaa1TOsR77Ml8lS587fqloJk7OF0oguLxf+LOfii4j0qJ32M9iqfRyFs0TjYtQpNnOhkHuZxc Qik5yry/x9N+Sjd2LzT4IVR2OgFXp6gl9lyRwDO6idBaAQTBw+lO0a8ehdOJgMe1pNq8vDQEhfFG gctzn1Q8HmGEfzE4sL1q9oSjR9E0Ckpwb9yOMzeYEKKS5DjzBM1eTVCE9xjEUjWi6zV4eXqOUXfa 1uCo0Ecl4x7QMoiy7nnT+kn9ih6Vp7W51mJ2z4ETdBLGKeWgc5tNPB7c8+W7djZotLn9EOphNJ0H 26zFTmlhPHSNFW+zNmoD45TuUXXaYsUhxc9xFT+R08N9R4JoA4SOpFb9ApgBLbcKTK8Re9F0wodE PbJOBxmiqMciPJ1pPeCW1CQeJ2PB8wH7cH5PEXk2nd7omqOQf1j7Jfae2apknRKX1/JwcibnkICP HsXTao8llRnTl6LOgvR0A5GItugTHRsCPs4amChkFwSaV5Sh6GWDYIgF/zYF+JgX8IGMRpCWWSYe j/L6X1QgnEPlIhd7xEEE0BqdPi+fEZSCVTRUs1O0mIZJo/c4nuZJnfLywUv45wgaeas2NFDoGhJA Ob91F3foqn0O1hd7VKJe0vQQqgqVPtJ6ThySaiUiGRbMeDy6yuqvbTawhBy52ONwGvXFZavlXGpm dZmnlfVRGkr4a0zJesxD7Kx4UCGGw73KQFgd4rCeU66iqw3XD1g1AHQDtVs/h1D4x2cEd30RzkPi g9RO/aGfLAqYkvfCQR2F0783mYUY9xwKS9RnoZ5oFwo8KHtIPpuyVeTRy0Gu016CE/RnOJ0ATPHB JyjD1GqhRnWiOngqUaohobM4aUg36tX0WISd9ahEDacWtH8kM5BuVg+t7ykO9Jh4PIKdfVM1Jy1p 9z2F3uNpIMzR26gkayAuQuTMwtCk+xwLQyfsgCctT+oont7OYZ0+ON0FPQ4YuqjusZCWET9NWuf5 dJL8xbdLNEbrUYUa5zossvP2QXiYBS6FQsA88XiU1NeveBptelQGfIIi+DTij5NlTAkMguWerLiY CNlg5azK0LLz70SHEOkJXQIMdFvFey4E0B3dpaSyRxq87c6xsVBcxDun9ZxCqKLhQyLUmXrRSsma p2QQVNtCr/a28XiwhJbnrJvFRZxA+J1sisKIuuG2X908P8+R7RYWg0hLiTDM4sdQVKFGFQH9jdZ9 8EZQObkzvLm5hk52xrw2gSKN4iLUhcrttdKNFR8SZK2qe4xafNAUAZ/NLBBVBDweLKLq55Dqr/Qw Wn4QjSikZuFjyUCHAVH2vH6qiE+lk+ycqRGVqEnihcdX98Hb9SdcT1mQ95Up60V3gkUKBRDXiEjc VCfrXf+QGzgHkHQ80p2hDK9sq51lPB6E1A+rTMLE2GfFE/sRxdSdxN9pIgwIHoeFQ5AS9TZHdgz1 +FeFunYfvEINPPmiRwgMPk8UC2gaLgeYxaEYUUu/Sl5ot+BDogKsEe+IrmJHSZ2gVnPiIjg3Q8bz QY36adi7OmzP9ywaIYoaYfWotzpUevWAqBhNEY5x1cLqERWpQWtA7MOw+mwdnH0DecfZ7eeULFKo OidfQTyDyBvvRueKByKMXs3AZwTwzkYqx+o2NEXHJ/EbTLN/AHLD4/9NnwP42cKbVBMUUhOxzWZz euvZA/tBnnnPnsY32mZRTL3oNFQpeHoGVqMGI0aomrriSYeRvLD6QDMANwoBxHvdo8CPz/gTZZ4o vrTdJBNhFNYmC7Bj4/HoINpf1/2g/PPwNseIQmql9nSAJlOhbY8Ya7r1xYQ+u1ZQFFJbbbqW6oOX qHuHtrz6QKiadgZEA/FbReOezFRnlOHAxYf8dRAVeOJhoM8bqx8LHuKI+RCt4vl/rSH56PRvivQI g2roM8BDVN3EsZcLwsLIxbuJvRlfakRB9SKiLBcWGjnYQdQQ8vbF6gemaCBWh+ISlg3gwq1f3Mcm x35ENWoqd7UkHH66OHwIYA42eCHKh8cjYMw3hhqXR4UOo01QiKEewL9eVAMIld6QplMK0zJqKWsN RRhqGQ5DeNAHL+JDSAh6E9TJ22cO5JJGbQ0YMrAnbJ0ywjtHqO/RSJQSb7Nf3uZJibrwpG33gcf/ FRCZX8P56OoVxhHVqBF3QXbJMA09P4SyPT1vXfQj5QRFMfUUdjoJNZUENhCVA/IpW07xBWaWlERf i43gDKv2fDHm6F7iM/4Oh4j62GN5ER9YQCX2J/bH4xGT46p2kftT6W1wsWcjiqqZ2kvaiZy7PZ1S VlVBIylxOoZ6xFH1BeD/xuHDAf1cVJnt1hPdVekyD6oKASySqQmpk3prEYVRdRcyBkhY+Akug5ln lImZC45zSuD5ILl/WkHmI2deIpqjMKyGjM5iQed/ZKfrsIYh2GKiWdYwrcURFqqLGcMtH2yOYHt5 ckSyOSDmuQsFQTJITh2ZfaF7skr5Wzo6YaG6EbtIK10NxuaA1B4b8ecyxuP/Vf0DRYKdfYZmWKhG KX90E+pcuJzdIN41h4AnY8x4ZmiG4ni87stMd7Co+sQKBSmZSvmIlTbbicS3VKHALgCNFh4zxH0w nC6NcGoOBkCDKKEC5dbweDBB9y7DYwinqkSEOUFRUI3VvqDFpwmiqC+X0LCSLPatHBOQmc2wUE1s XgZw04ZbhwUMG/a8jIfalNxHWzAX3mQFXdFuKBnyM/6s5A9zFDBqHyVEmsSGzpmHx/+lGMMVhOU4 LtB8RhE1UtydmyEXUfB1+Fnrj0XldgXKGfMSRUhsd7i4mFxRL2V230sfRXwgOufBPDcjmHRuK0UI ZlyoJjRvSShkOR/iHGS7GGNqVTweaVncPYbngI3vFNLQFEUxNTWH4F9jhKC5TaZhQXXoxzWHluni zrhOreoiNTpLvhqd6JadOBp8TRQZJ4AlROhxfUP6jSpxZr3Y7V2jU2gR77GrDzqFTsyejf2bMh7/ lwYlz3f0bfv0635GMTWyBAd7dKymkjzpUObKCcKa0CkUauV16liMvXzwEhrKW4Uy7ggYJ0CaqMJC 1rItEPbLeIQsgHXBhwS7TAC9RB45Bz9cq6jA5+SseDxoKLZfdA64767u/bIZhtQTbcTrANeWwz6w sxzkOSl2zBmKYB9WXZwCV81HphNObygsyofiREpdOFhI1XRYqCym9gqIaLg4o5C6UnY6DdYa03DH +bOYSjMZizHweBQxOsaThiaIz6FOZxMUwT4gLrAkSMNC/ryyyn2bTQ4Qg8Wu+hnVqfE1z9rZ1Png 4HXqk7GgdU970zMxZzdQeJnm4ifB/YECpbOjqbY4o5A6iaww0tZgba8NmzuGjz3jIgthH+13twwd 1wvxnCErMSFepLqmOh1X5gNEeUvKWnYV3Bmq5rFpn7vixf6wEqEFdxaInEwW+L28yAYWDSW76Qnp dfytd42QVUzKMo0EU3ZUw4K7FQNAyOri8QhZNX5Fi0Dm7cuwnxHuAwGlw/NY0k+PFeUyaNUJgoff ZFFELTUdUpds8Ij6xDkn5eikKzT0bZiVDTiDtonMnjraVqcm1nxGEXUjCDY1RYutu9wr6bKaot7x eAQd+o4WmTeXq/c6Y1pigysuoeZ0yt33nE79WizK6QWbLNT5EGZIaHwOFxlTF0rKVPY6V1Vh5gaB PXY3zqFVb08aqTff9Y9g6MTmffqg4lDL14x68xWDaLF/bzISOtrllK0onAb0f43iruaL8F7RFfIe jsUHYoVLaEW4D3jvwGNAhI6Wngmq6WRkzOpOpFIz8nzmJJ2CP0CY7J9fatwrLFKnLBXu6oOtIMDZ GU63WvF4JKXzrWKBhPVEyVebMqxRT+YbBjlbi6YYusfUvaeeMqhXmqAwnJZsR6p38IwM0SIETjlB 56Q+3wJ7LIulinLFvsghLHR8RjBBQ7qvLC8uuAwPWeKS54A90ncteD6SivGbnvsREQHAFTZDoeJ0 HSRuuuVU6l4bGo/JWwUnVzMUAj/Yiq40uMXAJc8lxB7YYjwNPQLsNCwhoL8qPNuAmHIBRkwBPiOo UG+qDBH30bJTH3EpGNoTPwAej8LpX+gz2pde6u8KaYmFmLPtUjGUkRACdhvQodB+zCYoRFHLC1cU aQ7Od2knmK5TodD5JPQWyXdBI4g24g+rbBJ8tuIKNdW497yD9lgtE6ZPhNxVPB6lrN8AT3Brf3Bt +Az9rTw9t22yJo7rwibrrdkMITPXMb1iWqKkYnhac7BNdl66nUWknDUBScdWWQcwHKofP4Aq2D22 2bJfEeqjEz29qgS6q+c0CWa7kp4+ewGP/ysWwssRAvsUqFcUTaMAhaqxdhbsE7xAvf1AOjc94OCa oFDlY3R5ImUfPJoGjqpjk6IudK7NzdJRgt8AoJ9Q+SjXY4rwsxXBPiTRAKc4H+z2mVs+clBcwOMB 7GPdZiJebwLo3m/OuqJ4eoFgD51TzsbOfEnDVhmiGlwFx1atOJ5mR7qoykg3dAsXQZu6qnDIOFgY glzQTwd3M4PMY5UhCr3hQ6IpYgdIJiVnqMYIOqEKhc/PokwNjwdX2f6uUQNbde7Ae1BHAXVZBJp3 Ky5OypVZzx5gLbE5JtkcmKEQR92YakiCID8SBOfUPpFhrRKxOJuhsV94vlJD6YEktHZxH3PoXf82 lhAwZrsM9WYYNVQZ4isGB/UvdjQqb/3BfayQmNiwy1azVuL5njcYUtma4DNcFBKiDivUdESkMKcN voQQORda/gLCCKRelaYXuWU48Ea3ZmshkQgfEnhLbMXTtfpg1dcTigkZc4JWPB607Oc3CpYqGqhm 2gyF9elz25q+Ou/6eW1v+7pi1Kg52gzFatQMAunipsGjoZJY+6Da0NlrJ3KwGYLtPTYt4eYWDo2h l/2TMgWagw06hwYsTkVWyHw8WkO/oiGcB+We1DsKqIG8w9ewbuvJtrtd9ufM8JyMNiK87HdUnx4y bykqEJVrAzRQH2hUSy8wuslok9Le5vyEHaBLOmGbSSnr0zsKqBuNJRcqvTY4uGpLRQ92d3j8n3QX CQezF34hjPs9pAbhhR6lw+KhTjFyiQnP7NXFRtQNFc1jpQ/q4MswiYNPEbTMEuOOM5xdR9BQYm9p sDmWrsN0YY8NHxLUhtTkSOYzfY2SJvIafd4eeDy4zNJ3bQioR/QXfIZCLHXPV7+T9ddk2+xsjHkZ 0qsbdGiHIfUSe7w2H7xEfe5J4NKIgp1QP8kqfZyIoFGpFker4WIAGcdn/FU8q5M6p9ULdOe3BIqU i6j0jccjsLmH1ATPomm5L4V8h8TEUagtqA21IPX7YzoWY7jcUBnbQB87BH0UNuyrGvb19slOtI6e EIBFsEg6e2txCRGhC/Pys4Qo9aE2EO+yHcXU284hNTtcj/qcQ8aAg/sI3zGov5abt3ZLXGu9t/0O kdRTNfxhxSGKJEsYn96iclUYLvu+QyT1VPmsZx9sijpIaX3wFzu/wInpCJU9KQBdH6re1MpnOL/w GX/Rf+kDb4PW0AQQgF/wLC08HkGrbvmMC4bO1PnOUCidB99tIwBxhrqLofQ8r9pHXX4OhagPQYbI ftbgMwRDygUsOGXfYeZCU2UQLNhlALbOwfh4dXxGZHjDZr2cXThohk42MofysrTweHCXlfV126MA 37m7NUER6gNCvm5HSj0d0/IEYGmZLj6K7xYP7Qj1MdRDrLKQrI+F5ImlTlLTVIE9dw4qFTiGsKFo q4LGkzeje9e7RtRNin0Qcq7Bqmfnz1TrtRY8HqVlv+VQMsof15ojFPsoZEVXk9Pp5ZGnzDvZBE20 dUi82yHqgzLCBJ1d7BmrZ2chAntWZByQBuEewJ6h9InbZJA1NeVckvSuQbyYJVYl/9/mYPyBiKLp sq8Dj//Lu0SZSuOE+gSFFWo0o+GqbStobxfUadSZl94QNL81QXFETfBrV7e+p6fXOhpocUw5APiY wLmBugl5PFAWAMh3YNWeetfI+UZ0qT58sAkqZvx3dhgfj/gutzaEJ2Eo1duzhKKImjkHWAqaoZ2W e0/AqNUOoVE8ot4R4mNWofN69cGLQxCtMMdfiA+BqotDCGIo9SybH8TwHguxy7H/VvrIm20gDoYS hj0QNQhaaXg8WkLfjKCM/w64Vk1QTlFEDY4cYhR3a8/cbpT60L+EN1op2RJ7/KG/LHDW5grhoClq sLw5uZ4Mgiqc/mhTkQjclxrKvsJnm7JM+JTAfGuQQz4qJwnNxKyvS+4RZqmfeeQf+BfKEy+It+r7 6sCqxPTeLgOoqnVVPeBE6rgPYsxVqIaEn09TGFZ3GSiJA+1YcBQoT+wJetyky9Q5WMEo7jRWhSSK /tpjQJrtdSNxJmpRy4d0THdwOLdx0/ZuozU+HyQfv5ymWJSDFb3PUmj1QoUvNcomWvgGicm4lN07 oNNvj8LvKcRTN7kG5Ds8fWkkyBTRO1HRNIRVoeMbaqg51SuLsuQ/mkL4R8+SOa0uNMhOEFq5O5Oy CLk4/oEgNLqLiWl+Ju+m32mKStZAcgPeJNjHtqCaeE8nnEFmu7sJRQqtEhtXEZASNvhiqiCDdrKo UgeTnB4LBHAM1OB4XHv4WLa9boRoJJu80DWIgzXwYbRDlEyGM3IKy9a3d0ZdAnRxy2MikKIQO1Hh fNmEgOHhBTXsFZslgFh9y0V1aylZ5aScP93rDVnIyaq7FlM7q6nQOYeqaOQPETNiBaM8/HWD5sfk LEHK3wal+3VOoqHg/pH5fMQs/9XGh0nshJGCT1NYvIbeFxXjRadCeUqQvUzRLiHSBnuMtOgKq9cU a8oldx8ckoaLh0qu1KhGT5kyMgmzBUxRrl+gPYYB+JSIcibP3zF8sHRtoH7MwlrafP59mmr6VZw9 11wf7VlMoQxIQwTZh9vajumLabcqctX5/E7ATNcfCiIBM1fKd7gF7HMKweUXs+Rw6AHWYUKT/Pwo yIXsZNrIu/gpQbTETOT8gndwzMxKwpWd34PP/4vcKTYMYW7PLRdqgcA+ehLM+z8sAdRqa6mL0AjU TN10bOeWi0JucyltuuzaVUsBA+ackLBLQ/FotjI4n7BMPrfcYP3T4yU2KfkhEYWasSSgBhyGgudz EJaWTV658fmo3/gNvUJQ19ZV3MkpjLrhsjSzU/HnvI5vrWd366pXzCGnSBCkUCiGJsc2eOJWWgKN mmj0823S4lIa8DJo0JCBapcr7MkiOcUGMCyPVNUAqjvk0J+R0gVQDufzwX6r+WsloQM5vuOlKPA+ Pwd6sY5xhG67Bd5JWQqtBfYzSVEteygEGHP5cHkxDVZIqmUD/jh5GTYoyTWQSXgTU9O7MKjlh0Q1 JDWuyx0MSrzKlAnMYFAZusC09Z2dwCKj5StYkEN7RVTAIEJlML66t7OrzJW80td1uWFX4K+IQIAY 0ClC/ryEfMhnjA5KLDK4gcStiPmBAg407Bhwmv0kDaVz4K9oQnsoQd/BaYxL1OFzMUw+HxxK+7u/ DzplzxcikkOHRRQw3Eya4eUtaFfeijJOpliXJikCicDWGNgH6qRx0CStczSWc6w2smFzQ8bMBgrE tKrEg8uD5Uv2tkFbpNCfAuRgG4RV62eHMz47a6ry+SDyvoKN7DlpKT2hd+yySIGQOVUXgdabS8wM 6kSyFkDJGNYCcmizyAWSRcPT4KcS3CdP+kAqY0b7Lins3JQJQpv2tkYoLMIPiZpHBFBpw6VVpNkI waC2GOl0lEtyYLSIFu0vRxgW267tUg6MFtEYBqkhJZuks6mvDs9GWCulooXOiyYpDLwJmG1TiLXH hfvM/8rIOzKtu3ZBWIPTHVWsgqYiJ8nErtjFzoHTIvYbqVYUy9MgOE3bqTEIh/s0n49a/d9sPSrV p/Xst1B0D1o8naKbnCSahnGScp7ZJwm0TNtvIaVRek7wKLLBJgniy3s2wa8hQdmpozJxtYH/8EO5 bMtOulmahjohJBHtQgIJM07BYBFTsPLWEyw7Q7fF8kuIGK5x4BXcWYrErJEOwxnXipOrruH7rRev vbWyli+lOOye0gjJPtgsDVCr0tAsocFPHHtCHQ7878EimOOOFAUEbouyKQO2uGcftJQo+M3k5BwN fP5f3jBEHpE4um5uErgtWtVkST4fhbi0LyZimqQs3ZUNQptDu0UJV8ICwwfH0CLhyPjVK0U9KnF5 dNX5OSsp/9BWSKfSiaO2vW4UKzGFQ+Jtg6Vw53pjEfZshc3no7P7OzcBbbChcO6zFCqGTJHRjB+7 8iXqDy5+JbqoKNosRQ4x4u6lRhFHDl4OOKHpglAm0fxAEybGSgCLg7IGut2NAnDv8EOipZSonLa6 D9ZNWkt8BxD6+HykqvJLQW0zTL8JXGC6eH6b80En3CsmU9h8ZlDCLw6lhdipT1JY7CYBPxX6DXGw SWoLntGdXVtU3bKc4AEBODEvNgDjAUMgpWmvG+jH08p8p9p9UKw0oILMO3yuzOcj7e9vQHYhgGQ/ AWWIyMapZF5DYF/RPlM+KGW75sOYvfgsxRASXltTAIn5ACRArq4FBGvUTGobhZF5B9i4o7i6QOe1 rttK9rZBAjdkMzSXD4qVatpJAeX5q3w+yk2+SyZI7nu5WnM5dF/s7Ewu328QAvXCkpcuof+9DKmV Q/vFxRgpiQKR3BoRpxJ7b7jAsJRKr7h8kZucDKJD1LY/JD5h2XLovzinJkkLqhP0Q6zDnJsJXC9Y SYEBI7JMj7r5chBfLZeClUMHRjRcdL9JvnnBbUDyzSjEZRnG1GeSYmh2UwA0ffBSbq4nWEKlGrja An05Qm1AMT67kO2iy1KTJ3Vowcj77YQkJs3n9WKotSR+83YuBz4flCivKB+f40lY76EUmzAOMkeX s0HZpxEqcldrniBYNvh6DlwY5T0PlScGixwcWjsTrLs7oxKQg5TlFmiiTDo5E/Ai1B/txPgpkXIh dZ4IuoIKRP9RLenEKUmYrRNXb/6B/wYZCemLAaqtT1OIJ0Gfcsxildy27jRNCfMSX4sTxKYpxGgP zk8iWoLD3XCk8aFlmnLtyOIqvgxwXhslx4Ia2r3htr3uX2iAoY7lkAsDvhhMYdn5O6dM5/P/6nZb i5O/zZ2l0D3m/Dprj2Elk03JcmlgppocddOrFwMCO0bPc4sZMLVxW0yrnlh4NInPoRyt/sE5Yaio ln9oUm397ulvG9EeGVHmYZysM91iQ5xvzxowAIWTfyAiqH8XlkgG6+1ZS1G5+3wYam7OOTp3v1ff ujx2ZCLT7iz9Q02EjTgNPkvn2Dgh6zTq4wkphN6CiHYjf6JdsziJPObQkjGL9NjTHXTDnRmapHxA yY3P/0vSWWht9IbHhdrm0JWx0UmmjQtxy15YSmU4ayTh7LVZCnX6KEtTU+4+OPoGmtGTEsFnhgZs DxiiU5cb52B5fFIAc9fbBuAJtrxbl5VMdysZoAQW8dZnljafD+Lu9E3lLypTPXFAVO0Gkx4nrBla UbLK8CVy0yW+ZNfik/Sn1fkJU+jXlB+/JiAje0LLkhsOSTWb5MQe8nsu+oA0bjibpBBhorJbWsMH xd0n42mcMSgB8vl/QZLxekD8tnTljXLozYiStuQMTf16e8kE3HvLcwvcYJTnBuaM6MIJQrG2D944 mbTHghAoVtJZq/SRXVxAVPWYV62PKpf8kOCGU5QErwkbdASfcKlL03Lz6I4FsL8bulwGfdcnWnqP uyXWCKfBZtUA6Rp1AjWTn0oDqk42S2HcrcojLRc1eLTEGgDSDtQo4SLGFjkMKij1fQIBoJamGt+1 2+sGa4nuVlDX9EFrCZU4rqUGmfAcmjTO9Ovsps/oJdTm0KWRntUrFVNbm5S9kNS8Nhw9q5sDb3No 0zhUUiqqmZRvbPKEjyXh22fDTYkjnDAQCgXk+2OCvO3d7W3/qpm0mqcPWktwEGWaqnJ3YNQoNaav RLcznbkXXOjUCN+hkzxkU1NvlCRU50SnuEi1y5dSYNWY/3fR2bs0JrocbJLgzYjK5Cb6diBBKfKL bdhw9We2Bxswur1tgA0wJkkfPqiw1PdMxRKOzucjcOn8vuCAmKr9uu/k2K0xq2ZiXnJbyByi3JnT SCKiFw8DQrtG6y+hI2mDlwPKyX4q7j+ATrHzeCwlOBZMIFjhGnyhXep6h4aN0uVfxt1a495UZ85F Sj+3Ap+PdMVuvRvLEDIhA1J8Pk0hOZL6hjM5o6Qb3x/CKG4GdpKH6VWT2LRxD93uywdPUOAwc5I2 tuHONT0GF9OJ6zvCFfC21g2XrO0d2DaCL83DbKQ7CLZ0NlnlUQjVBT4fbblfLG1yn5/eSezbePbm 2ulqiTKTl5bocHkxuGx61SQwbhSME4lJ2z7YLKVyfrLC+ixzExJwwZlAqI51hBr4hVBkf92oTLnE lkg+KFwCso6Eybra5PP/iry59CaxdPNmu4F/I/IayH5AmojFpZKvjh/DLWHgOhVTNU2R6sigOlQy o5Sxb7YLVxm47jVhKM61wBIclQrP4XemiWJxRr9J3V43onBlprlp+KA9lzDhxkbOfD6qebfvo4lt Lqgw+DRFsTd6Q2ttr1RuQQW5mrx8WUDYdnRXYON4y7mZrP+UL+u/o7Vxjjb6XO4zOW2wLl4RhYFL TLkv71eubm8bdeKsb5J9MHzAifmGnEB5MoXQ7vzdGUCQCj/RO0lhzbuCntvLsJp36q7VD4VCRwqW eScpdnLUXkvZB8cuT+jZoH0PfMA5ZhONi0gxZcab1/LKSV7bXzeShaQq8RTZbTrZDRAGdT/OxdH5 fLDl5i9qO4pb6Snnhl6OeHtgjLe0xMdmkzsT4M1/BDiXUD4V4WIzRy0l3hsaPGA6k7QpFghw7hit kixJk2+WnXC831Jlb/a6EVCQ53YSjSI5jQJsVcHJ2l6Lz0d0rm8btZzJb7/uKjk0dIRl0Tn9hlzl 4NrpsCWIfgu2VGTQoGkK9bILGST4YBsMKQhvUrCvMvAA5UwgUHcdcJRCZRiwYtYNBrq/bnQwMVha ffugNhMUl3QwJWRygaejkIZfEAHG/V8FptDVsdPVURQ3rKZ06QJVkj80WVnJTFZyYOt4/hBDyta4 9Tg4oWJCA3oP+RMjlWuie+PqpHhEvWrHgzT3HNg6Ypaq6Eraednkjs+l0IQHFkQg8HX83nJiT8Le 9UF3hb6OiJXOlnO0ySaYQOE3zYJE7Eq3vhQaOy6yTspg5aSMWzmZUPc90ya1BMjjgGUC+CBCTWRW qExZIjcUMAXOjpktfmpwJx+sKACEhohLQ88Hd9wVQaSWG4iWX83v0NsRjj5rbUtHzuldfSWBKmqT 1FO9KymmUM7XokCHhB34SvLEApaSnGYqelWcfQ8kp2QV4UJzR9zcCJRE6YYPL9MK1JfAxsW1deIz /oHI5+AX3x1cTIDzfJai4LuSI9i2lSqH+uDIUQrMfK0Ix1RCsxRLk2xW36wWd+0LJ6U2FqD1WEpo X1VBchDNkRwB2Vr3o+n2tlGfiX3dImMsR3nDCmIloU0ygEuhw6OOCy4lMroBXinX4jEHHo/Qb0Fj lzA7NnbXbexWLStZOlfHCMQmj1Lf2IIK7PSVopxArwzCvBfEgzs1gDpFkuBZuFX/lgHdtreNYm82 dCvZphxUFICWu4xCG7DwocsjA4+nr0vLnnEDgdDmETXCMzVLSS6Q69mJFXl5TJnHcmBu4POIpdRV WEo+3O73OCkuYuoTCKTzvxrvQtVYaG6Xv+Em2143oDLJmmYQezqHw38T3FSndDVT5fPvZ/e6pRNq khMp2J+lFEbekAKCTvGPadqV22cqj+PBqtVnKY682Y4bhMJxuEWBDipF4SydyDtVSgEndNQnTnyY 6j4knWSvG8klEW7SqD7KwcIl+CEL5F31fFTR9WOJrJVe0BJ8GAOh4+PkNAEy6v7X1yFcegq0ziCn TTsuwptU2UA1eWe0xyK8wWltyl5knRu0NFrUjImTmKau6ZklkXQCz0fxG855lGBdrUFXHGTV1L2e 6FkGpo8CRn4BmCsChyu79XmNlXr+XxwJyCGsZQm6mm240pdjBDosEDhHr5FShw6yTu65fLCTu+K8 JtKQpLg5BIFbaOlX8JYKpf+MxoRI6TVO6i5sB8CCDxYCTNJZcKgvPP0yOx3YI+FMNnca9H1oK1N1 DAaTA1DoSZ5WNjIFlQgE6xJnkGQKWH9pAQWTg2IU+iU7++CEE0gmjC6Ho5O5DSMuIz6guAe8vd3y wADwr7MDCVgKuBSF3PI4YE9W1tPQT0AR93V2ZCCl2ZHfAdI2Ws5idl6Dow5NO/mBuxJ7rZ7/S4JW GtrJdSNfQ6Pu8WOrBJdwMLRy6ftMEATL2QkA6EY+9ExD2CCoV5qVkO7XwKgDfzNY2m530OSMc+BL r63v9nmNirrqK8/koD5XBw9pTM5rTNQNMTF7u7oJ3UU1G1UM1L5FmsCY6DUi6iDeiaq8ug+2r85P vM/y1F0/EUM0ySYQrgaoZbmTQ02Tz2s8dD4iKz0rkiaB+grbJGwOStUfkvif13Coi3eh2fGeZClE lNCdNJodyCE24bXZ3F7OeJ+tJosYS5sGvX2NhLCxbEHM6sPN9qHdTtwoZFQXIkY2kdibQ+JHNf8p Ki5Va18DoS71Apaytw92xXeiMdHwODH1axiEp2v9WjtoHTcm15yd1yCogwgwiNZy4o0ka8WWuDV/ dg9kEhrMzracQ5SJ+iWbfZZ9T9RmAZb0JGY4vQFt6+e3BoCXRuDCR/BC+LwGQOdVl/qQVHLVYFSJ sruk01stn9fwp0t0W5PBJQc5gp6ST89r9IPvRb1addeQbgiGTJ6E1NrEk6ju9xRMjxnRsNKvwakk oJABQIJzBzSexIAbTe2f3otX+i1xlblBtLd4mVOI0AYD/hVIWTPHyuPzGvfg2FrfWwvQOqpZaHZe gx6cyhD96c1Ff87B5g0j8OstzVi0J8HieQ15uquRQLHRB188J+VG61rENlAACLGt1JOE7mvOj1H6 pCrSa8TT5VKCRJU+6Ry8vt8kldBOzPR5jXf4hv37WHa5GM3Oa6GRs9Mp1mKKP1NTIjmb6Ql9qY49 ei0zdndwRuXMB+eOQL4OCvvYWtAlb9xaYH3+UHe/EJNoMLZGabZgdhabQyOzFDvyLcVCTVz6h6Xg 6ffZ6et7dvpJbPum2RylGYLZQUcRdnyXgYTcErMDeL7rIY3mhbPX6mJ3pH+uItjWB0uTz1495yly 9XSuHdBiWJMoRB4jciVCyNBrNDB6rS3iF6BQf87lDhbhzrzF1UZB6LWyyNvZr3Rhsgakx7dHg1Gs DO3Bcy5vw9FMlgu0eFa6EJE2Ltcvmh+SahBW++Cl/E2pTHkT93MjowcFOC10S7BbMql5plHbRPqP NtfgmdPb9kHF15MLLykjlAHSaLS7zNDAIkLUxsVhE7MgmCEkhXDbtaO5iBfJSlDyouLZAH74vDPY uIIY9iChtsELQfuczIm2Dulc3IDAksl+luoPuISQeFoXtGaMmvBwZoljCJw9jACWz5EvWNRPy5WP BzFzvjOEJ6FY1+szRe9RM3qwQD8SpfDV0CeCpk+nQo61nXUUhc1bcAfJ+JYvGd+T90MvvkiUDc7m tHiaqjODvL/LzSmUuUdxc1dSsVv3QTNUp+TiUdMBXi0Kfkb/vt7BHOoj+/X+Tl7DxELLZs/lqmwt LYc89OSYvimPWUIegkOaQi0ZZoU+XHgo1Fq6GLX9zAxiHLpiEL5yZpwKF36FJb3s+zbrtLcug9YG HC5LpMhHrQAdGkbPo34dRHhHaFf6DL2Hz6gALqKw7I7fqv8MSp0VR2HNh077Hj+fGSJkfUjYbzzC fgvFY+iJk0cDfAkmCyE25YpJFN2G58tdEi3v8bOXW7OkoDNWbbVFNIYCIlB4Pu+0Ne7S+hUEZYqT QwDEpiiKoQFVPGnLspp0L9nJtEnIY55ED7nvPYjO9NcAibZkH+wkgoIrLgNGiakD17iEwJqo2Z9z sDzOKtneNbjLEkUPK/v4HIQtQpdatg8nF/i8c9aQLmQPE5m3UXexLI+E3jlrPIhInLFa/dq1uKHj amYtj/b99OJGFEcLqw5MlA82QxAUn8hj2JjOjcZ+0JKgEhted9yDyI7qKI5GyY3mYHewmj0UgGWt kvfnna/GFHd9h4oAuiH1sAmKImkU7DYyamvdS0Ocdo6lXZEfaNBqgqJQ2sKhqXDoS0mj5Z7TmNIb qU0J7zmHkNU3RGtZpqlLSsddL/s+Q4PbrHbqjHLQDLF623Tuzc87Wc1KVM85BEfFDqyFzdB7NI0l hPYqkRfy4mvbZ6gNX0J5uOHlO1WtS14ItR+1W+tF8KGtOrfx+VBC2bRvhrYN0g/Eivn2fYa/6/sE UR/iRM4p+WA1oDwkgXEmLX/eeWqIh7YvofUjw8sCQpNNUBRQA1W32Pe0Etk1CUsoiZoi/Vq+hMKI WjWyQX9rDjZBGzNdzFV2IAxQVwho5oaqyqpXkZ62lZ93klq3jg/gecMHnUKooLhH2Pq8c9S6GABf CQf4FLgbrYQYhdTAMS9Ii6nUMfpXU8z+JXL57TXEMKQerHV06UL0/NSfUZVAvdjIjiQUDfKxAOA5 3zU9Jmr0/34nqDHiklR26T5oixGmJKuLkj/v/LT+UPkt58jwUCt3gqKIGu8JlLXVggbJupqgdUFW SUo1iX8nCoaUzzOwzu6Rx5wDenKLQjXoYNSZzNyaYdN0+JAOoTz0slFSRrtLBDU2KOcgVkKU2TY/ 7+Q0XmT7awlBbKBR1EYzFJWhYQ4IluNjkO4zBJdKB1sP7/K8U9OY0yvZmMMHx+2fvdDRNdQxDWdi ItJROxiYpnMP3XCRtvWfd2Zal0QnqqyqfBS33Z19FSMVn7Dr885LwzvO73ARXedCxLdmKAyoob5y W174p2UzJLSgmX9TOesc0++sNMYpUsxQTXpe+scEJO9cW5ustAX3MPrwFTgWTmpm5cf9W8Ii76Q0 X0NtUMdHg80QbMh4DJ307PPOSeuyGH7CRQDxGy9YzVAUULNVZ35gjXoZrnJcZr4StbtayvHOSOvX VJZYaw1PUjZQtxHIesHgEklZA2WyAz+R4b1uu4zazp93RlqX2RJAMGX6oF120tWdJOKT5uedj4bL dvsaIvMY8fRIdw2FNenz3REsOtGK9D5l9mO7IH0HEli7LCpK6yYrspvj8NQVzxmBzlcFgiWtIpkj uD4MMDBzxUq3kj0Sns87G60Ld4upoUQdB80QCgXaZScI/byT0fojAmG1D0C+5c/DGXqPp9Ft3nAO cQd5+gUbBD3fsnSf2yRY35lozFw0Q+J8rKdbCN7fueQrK6+g1kF8hsyYdnYZhCzpKesNsaWXDYqL ylcz5Y452Bo6SY2wrCvtzzsRDd81f8/QZNPxucvCgBqUxrXM2XKBA2praNTiOCEA7W0NvQfULkrT E0sfHO45hIYn3OWwWcElp5LIZmjTz6fj+PWcrAy9azRBInpMDQBya2tsGPsyWT9L6fPOQrO20XMO YbfX8ZxDUXkacm4nz+h2Dum7WdaarWtYVnaFjHcOGq4ZOoHi9X2wxB6iTFBbZzsecOpWhuv7gQL8 g9vfymds4n7eKWg9W2KfuukcupYYYEhFcwUK2jsDjY9/X/aoulSmipqgKKBGY2pTDleVjzK999Pz 5Q4v3DTaZO8BdbaktdOvQMOtfJz52Z2Q85UJtmXElCkcArbauniFSfPvd/KZ7zGwj5MPuskW5FzZ /IFL2Dv3DOHm+F5BjUK39xR6p56xd4g9VswQ7OQej3FIM2k6YCe2cRfemWe3AnuOg+mDTVAH3mVW dcfOTLW9BEwHAxjl6ZmvXj+CI35G0JZfLN7LnSdfdx4UVirpsCd3zJ933hkvWy/A8kxGylN79iX0 zjvjwgOUmQR3nkJlePFsSNaAmM5afYbCGjXPaWip+2AztCGlNnBEFhzRJ/9gVtJovb5RBMXP2I0C U/SuwQwpTqRvswbtsROPVvYPaz8B2zvpjMf0d+0MzRb6CtgERQE1KWdkgQs+3W+bA5oDDp+mnwSI ee+MM2tgnT/T2WBt/TZYF2yGZkJ3k7KPNQs9hBmCJAUKqs8xjUrL551vxpyMYE5gT21Q0jraCWgJ 9T27+/NON+uPbYhKZwDblDbuHoviafSLIIdpcvSTYbxRhatLYtXVjbn4zjWzBYzka9/BT2lsMnBF qIgFAfqn/FqhWrroGqJDiFoY70wzdrkpIK4JyiA6kPYEoAULueDa5/J5Z5r9qntwuan06wXqd6JZ NxXayWP1zNBO1HJVPF2aI/Az3c6IfokK1InlnkHXag2aoY3MYCVWlvYE/3ER5dDBpUYg/HOrHvTc /LxzzJ6EjJh9DTqDztFWkuF95uedYtblBPndJjtnUU93Ab0H07gZ2D5035lZt6cbfVZX62+UoOf0 RMXpJHW+xiY9BwuEFnCJvWqHAc1Rs7wMkXZh2udj5diXvWvQAyKgKNPkSYNusZO8CmV2Lvv6eSeX YSXkb/AU/WTL8rrZO7WsG1Z6klfIWHrvi55SwVqyDtm8Lt+ZZf0a7qZ5By8snoClO08RwpGVzDIW Y/vo1Ltfl6fY7WX/Kpz1zg4HBzU4zm0iZue5zPrnnVhmuafNEDEPJ2k8GaGXPd6JZYiEFqQcWvak nnYfChWX5bGFlpU2RXF1OinPIBpmEWE2iTDraA8iJ8YU9bKbyYNkZo3nX6dH+aKzkfhOK2MBnilr l0UYBNuyTIjAquGyBe7o804r65Iifk4h3LW9P+d0GE0PlaetMnTmqnplKHdHmaXiqkXvpDKmk4Qm blrKcvB8Y56/ScVo8BPR6xB5Awa7qJv+zP7oF5esd43OITajQTVtEuVnyJVRlhkkmJ2Igs9HOWv+ miH8PGiJ+AxF4TRioQ2ze0NIt+UQznM8my1xpbOoZiiqT6POcu4l8sk03IwsAddK2cI0zlQn6j60 Wa4nBpVBVBkin+ydToafk4J8JTFc5GCVoRM5Dp1Ea3/e2WR4x1tdZFwAZBb+jwEVw3h6k1jeNC9r asPRNqRdC4OSm6nOv1PJutM3kZr44BjgTfavjPgm4D1r0CIMSHvW+/qDtKd24uedSmYwXrinUdCh yq0JWX2pm8nciZHr/Lwzyf6jTZYR0XcJqXKKQtAH+vUNrQ6KqY9O2bSCIxT4jwyUdOkd0sycoiig niJH/WcBdie6UU9uM+IZWDzrdN/FwT3TtcKAbBjfNdpmLAl1ke269+LOYsL/w7o4l+XnnUbGysN3 QM3rAb0Lm6AwoJ5EcRYJyyGuc+cCIr3B5TxrCJGWJiiqUDc1v6aCwXk7rX01MCkHbXdTOXn0ykW4 qpOOTZy2PIhMspiNxHcSWZeo15cMnwelCTYbTGHrSV4/7xQyIoDKd9aKgDVfROc7gwxRAi0wdpEl z8laiYvGDO1OV6dEljRnqPPvRLuM2yuzUF1zuoTNhaweWlSEfJyVOWjt0Bag+ZDxyhLhk14xeQjv BDLe94ylp7Rl5pq+hsDa031/Iup3/hirYN+FD4oeM6zWDMUVakI+qkM+2r46IG1d6QbcP0JMhxVq detlvvvAwoCJPP+zgNBUgICqGxqO8DQA85Ki43S7VNq6kr1shLfn1FDAV4Ml9nukInmL1j7v3DG2 AL7PIaiJA2PtMxRVqBG6rSb+E5h2xRytqZTjtrtwQdNd9s4c4wrmNa+7rH3dZWDpn/Bu0a0AiQx7 avCdxZyAC/4AX8G242dEeX1lF9p60t25PmDEmBjBHp932hgR0N9LiEpk5Xbr31ljDvgApc4aZe3S oQZNuESHyu7G804a6+6i1if3GgdLW0eF61WitTUgRIhjcJQn1PWgEI1io+0xosM/75QxNkuJPKM0 oQatoBNTm+zSOTI+74wxHGLV48Vrj57aPaejkBpgm7XqnSAJExJW1c3UEbUz12p4p4vdZvTIvPI5 3FMIZp00INpI8J25gCySDdfFMqwOIcI739lillcBcMarvoxrVHjmuklBpp289Z0sxq/6q/qK82dW L0+3MKBGMLTSNskm40Ox8JHGuoUPVF15TIfw6S7Kj3j19U7QudrTuc3STmYGWhPFC6FDcFYQWvZ0 JW4qnSW9a0CIEhm6sUDEweAMqwzCAyqkv1qE95i/IUOV0v/3DArjaYjILcGmkXtQIJLSlnM/ygOI W3RKR+XpJLjZXsUHW0HQdzm3uklanbyVmxHu4AWtYyCE12NsnexlA+4G1QiJWbVBe6xvkoUZbI9P iwAfrXjGISP1BUEr32M9iqc75XazM+q7K1uBK54dQ53mMoh5f4+nMUOsDBWQDzR4fbrD/gjiTCx9 AH9uenzoceJIndddrlP0q0fhNLzEsHZm9sGKiykX1XbKqHg8oG+YSJMFiyiR1Fue7lE0DXg5RHVs gma+CnuJ/ipWOptmJ9sjwIeaZGdmlg82QTh1JpWuzwvOXdksGDBtgnrIue3R87KMjLZn+Iwg32BG ljKbiRw4QW1veb2cL3zyjR5F07Sqf3JW3BsE5WqC4vI0r7FqskOrznuNSWePvt8j2T3fo/K0xYq1 Th88EjohFR17lbOeV2LhoyEsnGhUZroCG6sXMSs+5I/a0Am8pzQtkZwSP3Y2b6GsBKxXC54PuIfr u74IdXNAq7w41EP2IR1lt+POAFqxOWrDAJ8gvLueTo/i6SmrtMU2GYdbP9sUtCLiIwNPB+zeObbO +pEADUpvV5Gh6GWDYIh6Fegy+WDBUIUFIgOcMvF4lNd/MxVw9tZyuas9YiAO+kpcNYZOFRHM0NjM ChUtgmijGYoRHyx5oEBgg22zs4MSQH702mkdqpVSPwHJLjGBvWqfo9u7Ro1EFoUgl0LX5iGT843Q ippiuNTweDBBo31NEPLqXm4w1MNwGuHICXZMYV/aGKbulaZjO6HKyZSsR4CPyfoikSnDASraZvCj 3YT2na+2q7ysIJwwfzpKuwhK/RzCbsdnBFl9pTUKChE2qAJLS0gV8XFQR+H0k9VrBTHE8GCohzVq 1c7oQgiUZ2W/J0tdYJqHHC5om6A4nCYEnlAFDX7X1xOtVCK5T6iCEDSLLQVJdFrSgR189SunXjaq DKkNNO9gFzTS1qEZmng8YnJ8V/EpEiYbIs7QezydpC0AeqlUvWaFJSMKQ+fygVUm6fMVfa/KkzqK p9VhLujO2mCFoXEunc08BnWPljouWYg0CiDSiP8ysh2Fr/EZ0VVG8D2EG20QIGah7aqrLE88HiX1 83sJoenGw14TFOGnyUakOrFZWl6DhkyRFVbOGsyjtIQiuIcyslqmSApsYlUuobNXC3VvCV0scAcg 07ehuIgiAet7dgqhioYPiWBnvOWLRBnLI3rWdhIhrLeNx6Ml9Lu4iPrIvPXXHkbUmKK1u4DlJ7y7 ts1DKjGbORngCJyiqEK9kqGqpg9eXEQRrqIQwjWUt4j0HbrvA2T/3MtttnYihHuE+OgCNCTSfbPr F51rdiVJVgHnhseDRfQrHsrJipROh45Capx+JyBytWFgVvykllK8ZE6qke5GVKKeatRPplwcHGTe wIfGKoGu0O6FapXn3KAfKVJYnJZT1kM8qUcUUmeyEhl426AZyrBIlGRgzng8YkTfu54ZL2pULd/b fkRBNeDoa7rroMvDLgl5dO9zpG6YjxGXqFnQGIqYx0XFjAHmb8lShz23PV1ISeUYcEI7JyCqYK7j hRoYPiSYo7ykMSzsB/Al1gqCWj8OptEwSWGR+gI8pbiLZlTunniMEEdNCd3bLVvkUygiSt2RQ6l4 z3VEVepMnstMtPg4SQstEtCzB2QEqp1JbmgJIg04r2CVAlmpn/KAhEmWwWcEAM8uFsfWYF4xYP7u ye1zTtaOx4PqR/veaCAXFV6lmqAQ9gGQ8FzVpJgnywgmyzCdddeyew2MKKiWOCVaMRqyTRDgfuCh coJ2KwnscWLPcNV2YmLWve+hDI7P+BPWcK4yH7zAaFXxdk40PB6dRL9CRuo/j3sQRTE1u/Z0vRdX gUhScRUoJGJchWL1sxHF1JPUgxNl3sEOInLHEpQLyblr8HuQWB6ueEA/qIJslDIYveBDgl3GEixf DAO2/Gb5Y9feGRLBpQ7PR2vIb3xeXRTbS/cgiqLqQak8mk2ynUj/D0kLp+ZysBliRUw7RhRVL2Jf a6JtFQdvJ55ffqIHxyk6UTVkPqC3hN96gO/SL/Jjk2E/oiK1wjyYhPhg4LM95axcz5WAx6Ne2bem EBhTNbW7hkIUNfocpC9qDdXH/mx4n6NQMVtrKEJRA5uC8j3Jvy1f8u/sQHv0rvrQRC1H5lYdkQsw 53DR8VYZAZ4jVPeopErpoB5uo8daH5A5AHj2gceDiGh9B9XQn6uleolxREVqlj8GBQ+YuPab2gti oAlK0yDCIwqqpyhlawjgyR8ks2XfR+u7sM0BRZcOqS7KVyOePZ8+uYJsj50/j88IarAk28FWzQdN 0Dn1mrqlJ5zA4xHqw5ExWm0LGgSedYwwqIb662x+17PcbqfQvpiGgmtfExQH1TwCtlQa9lVpGOOc Yec4VjsanDI2rtOiriY9xUu6rp5bSygMqmVX7cAYIEx4dJw93dRZHGMvPB/k9vVbIqaB3zmfmywM qhtkTbPrmq3Jf9qiTG1rtzYB8XEKhWXqquo86ZscfIrOndU7Zh4Rwwnp8FkQHy2w3gLRiO7JKuRv SeiEvETKw2QqF2gwUtnIFjLOUvB4MEPzuzxEdOm+VdgZlql5DJG3TVk8WjqzIY2muC2iRIwvZmiG ung08GhNaOp2aXerEB5GReVzWpwfbkjVE0EFPTjI5TD8GQ08Zoj6qLzJJoF6HBRT9/NnGe0hAMDj UafjWylmoYwEWo5NUBRRI8VdrU3TNW/qTG9Gi2ygwRFudC6hzr8TAPG3vKrH9MErjCeQmuxm4Bgq cKpCt/VMU/8BfepnPNaCSJz4GVEdnwofAELYoAkqZ4FWm6CCx/91DHEFgWFN7SxNUBROg06InNq4 0cCTegl21moraKLezmNo/oOWqHniYHuMMGHEREw5Tvo3pHGGnHV0gEhAC3Zqa7aX/YMcff6zXHww wDjpoT/k/lY8/i8NOHYTB6itV+djRvE0rBzP12jd6UBzG2GqPH7nozmCccZFaq4d3L82OPjsrBtQ mbdw5p1dG+wxoJ1BqaWOjBkvEns2Q8wHi9N5UaMzL28WkJ+1dAiljMf/pT7J2BnrsV8U7IziaUCb gPRQRmZ1dCO79Meuo9sxPaN4Gnk68qy2fHBGWVuw/9w6hGD/y2BxYk4aKMEZJmqOxMf3xIcEm4z2 Qbm3O9jZepL8Jr2hXvF40E28KZmMB89CXP2eQmGRepEv5VIxTHONX9/aBcGWZczWGWE+JG9SRFUo D1UBEhYnpwB2gYpMZ4roBU+hYCA/f3hUWjBU7V2DY5piOmeBbh8MWAU7GQoJnjsAj/+L+qsSbIGV 4BWDizAfwFFAkiR5FX9mL3zM7FX8gWaIJigqUkvpDN4wPngVv51EnzpetMntNdMBFkLU6P6dk2Df fINEUXxGFAsx38gED5XM0J446oEKHVZFxj0Wi3x8H9Pk99fivNYZchIHQ6HtE1S4sWyC+o975Fbj 189QL69WQc6GD36PQZsKhVdGi+iVQWw5TRj+NUA02/4q4tu7RnwpHkIzTR+UkG2UlEhrXZuPBxOU 7gqS9ZkCVJ+hCPSBEHPNXV2rs+2rRjm6H9M1uwzKjOLpIVxVKt0Hr4hOqNOvxoyMZwcVCM65dI7J iSySAtpWpCbQfEbxNMl2509Qd5GD4YaKtAvOFPWOxyPc0C+xoUVg1b3qY1JiQo81GSmxt+rYPFVR pTWEmEGbLNbN2yQCCV+15qPy0dHmWLSbWOnk95mYhgwXL3jZ/PBGM4jwKnrXvzANJwbvPqgwBBNn KemcwBGPBxfZLwBsU6XST6EVRdPQyzwxdHKnoHL98NKaTh5PqHBxCa0I9DEYCzXgXGy4uCEIhuHI p3vwKpDXoOcLYDG4GOf++aXDvULQB8/nksRpTldI+VzDWyqlrVY8HgnpfDMVcK60dEXhVgj6KDTj yBYsLrrRqfY6r6A7XYQ0QSHog7WMAuFbG2yPtXr+8zqU1GMKGBOcxQBsCwpmyJcdNjTtXaOqhywB FFRDgGfIEBcg5CZz5VrwfCQUc89pwYmRBO4r3BmqTSMYmtNcSnBkb4d9zO3BEHAbNkUh7IMl/C7v 4DMw/tcaOgt0CzgETZQtP6pMp1FAUvExrr0Iuhg+IyhPE1JVdrmDgEMnUnVbgDXweJRwfIPzKkVN h5cWVxROs8fBjFKVM8ohG5djudbQ5h7hBIUYarZZIWLqw+VyIIEt9C898wRwOE046K5KF/GHVDaT vesfbJd2goXhgzZZBXJd6p274vEoZf2unGU0xE8wdGcoVJ1mtKja4qAmXLW7PjXHd55Ng3AY5/QK WYm0wS1dvcT+9BLPdCVQE3lOn0N6g1F/okVoPXUkcsDl2EW22bBfEeajT4PhDx90uPaezCp49onH /5sOBwGwYPHYBEXhNCSXVpOLIpJ6RUCYoL2MOwV13GIX2YpFPng9FXIyOXjCUWAIsEgHgilkp9UL RFvzz0SJONMD1+ylCD5bEejDUDGDxHgOdv2cw61KNa8PPB6pun/nrCjhtX5z1hXF02gcgwOvUj0y 5ccasF27BAgZaYbCeLrKL4GnEAcPF08wBKkZCcWctVKpV4XayE8nYRbKXFYYYm6ODwlmyMxKq1Q8 SdRmbTGLagGx7YbHI379/krJAKw6kbjDYlbIS0wi1SerLabuhKkBJL9ROSbVuTFDIYi6q/wqNtC+ KHNwohZILTJwOSkfPbtB3Zw/HfUWeEk56GMOvWsEaaB4p5xvy3W+3TBQKFYY4iu+bzIQtL+YHOe8 7Q/oY4Ug6nO+nrOnmAoKtLd+XKDb3N6hkduMG73C+vRic7CS0MHBc9aTqPazywj66A1dMil6dVJe KHrXrdFa2KjAhwQHtSr4ReWP4qUDiH7qrq/nPsXjQb9++jFEaisgsLPeczosTwOEmpdJU51o6FJb xyPwfm5rAzSsMJ7mEmIWYIOXpxPqU9RSTxncMrnbVCiWDRBeMrHmFg6NoZeNwiHJB/fhg46hUUuT tOQJJPB4tIZ+3fXQjyo3Xtwh5APuaX3Nq3l2rTeQQXkwBL0q3vU7ZCVutRGVtaYnawV7Y4A+jDU0 kSc0M7bJAOflH3qXmj8py9M7CqhbFjo4VR8cWTXK1sky+HjEdfllTcIu+EUv7pCTSDEmEkrZJVtX IRc+ojZBHRVTKZnHIh9cO7XfwTfZOQrPqqkUeh9l0KIZMviFSOZpdCm7ySiDv0MYdWW22tToaNea dJ69a22yPfD4v4QFpVwOGVTo4tgUhTjqxZxjGZxh5+wc+1T2k5Q5nGGHATXdbctkdZHD7ZOdNdiA GyUCtppH+YQlKqS1f8ZaFxKDlBmfEcwQLYDbYHGxOe4GcOg5zd2mbzwekRJ/lYYIZJp+DO2QlIgW BwgpnIudSnKvgCKFJuE93Pxvh3gPAmJqVosjPy2OBnhqFwKWM8ReI/AN2F+wUkjU+VATiFfZjiJq CqHUPdlI5KCzYyKgJeBjNb7jv6QXi+ettV4N4R1G1KlQncFdbqYbBsDy3oUsSndF/P03KxEu5D7Y FJ3048zBVlJ2jiPYGdG/HOBpyGjwTa16BhQEPuMP6u9ZjukOtoZqm0qqTmaPxyNUlZ9D3FDkEedr qBBF1Dgxzg89vHhG1TFNUCfdBRNU5/JNFuI9xJhaLGtw8Ak6078Sq2+b7sJtkZS4CPU4129Lt8fB 5Bmf8YfTjeyDbdAEDWjoCAKbFh6PMEP7666Ht3evt022I7wHuAJQNXUtnXQNOeocLoefYJaiCQpV 87aQMFTIrelRyO2tojXPJhBpmyMTEIPWJO1UoF7nneje9a4RrRVRUF/kK3THkKIbg+LHj/URd1ig vhV8/rdINualcuwwnoa8aV/TOHcd0g6aIGpJmSTcFRvaMd5DqDMCYvoDiFnIB1rBd6OE8KgIv5C2 4ewB3G+QMCXvXYrh7xjvIeRi7j4ord9QgTDO3cDjUe3sWyEX/N32sDZ3FE+jy4l94CtIrTG6cuzi nDviPTRBYTzNWKgVLiQOftVPOAagWEAf2woOzY/JexZwPSlSa5CqPfWufzreFNVNS3a1IRj2JKOv 8PGg7pG+c1aU93q7kKodhdNQ2FjUjeQEmU+7zLZ8BVXUc2yLRWiPqeqrVGA5eGEIHt+F1ckNv7ae WPYgYaWCVwiIgYdCw941ChapYKFmYk03IwNlgWANgNjxeJSy/gKZ478DaUITlFMUTrcNyFAxChBc gRwP08pWPwjX2NUxT2E8zYwjTab1HDRFoDnCJxDdlpTb7ouwaWmzo8YL0tzVPNvLXzcgbhbmqxD0 xYA6lb4uCsdEMZ70ZvIPREf1NzMRIV4HCMenKVTOo2U0Cm1M7dsoNk2jbxPRgzplbz5Nsb3L5PwQ 4HnZ3RCjPlcM0GeT5lJgBtOcNYO0NAEExV97bEezvW7Qs6cP9wSs3QaBGnZHIoMjeLTG54PMo/2a JTRO+ngWU2zwAmdrWjxjMW3+97KwJe+GJaKTgVodNqcQSE0Fgizxs/yIn41GT82hCsi5nbMsbBsM Vgaa3cC1PKaa2V43QjYwHoK7JIYTODLJyfsk+0v+HGci+QeCwKj+qoJkMm6us2aKytXAogKCqqQD KApv3SNgtGlasO2waYotEjlNSRqCKV8QGrquPaGDgz13Ms+euedwFQzsIZ7WHjwWf92/qB3Jbrjh PwaIWZnBzfmHyucjEt53+Aiv1lYuviGnKMDGKbuI0DAPiuT0l76yyw8N3kTaclHNGs03pGdCM87H c2qe/3UOas5SQgTAzOxkbec+mNDEoQ6sVYsy9YfwKUHjg737JEU0DspI6zZvQOig8vkoDfmVqMEb dkK316cpLFyjRw0Mrk4mWlQLrpe3/csT4eDYIxotp7ByLQTI2nfwuuw5nM78IGgED3sD3kghKwg6 oQKCVsktOyZ/3YhsJiwjyWYcrGY0M1OFn3OFbj7/Pk01f4NlACXpoz1bLhQAAdahyyFIpdmtxbQs t6WdLVgyNktRsD2tsKYt158tt0FgqE3XXJ+QOsCWy+icLuRsoJn7yUQ0Lj8lSGmX+E+r+mCAGXAC GC7P3fl8ROv8DgYgjEtPXZ+lKOAubDTCeBxszjNLVrUGrxMQE5lrPxbkKaxgSwy+tDs4Rv/cnOds SjyYTijQ0JQ/W+6kJueWO1sMx4PFS6U2e9s/yNNQn7FBoJmS9vmj2ZSVG5+PoHv9K2sjRmnVa2KW oqAb1wWCblew3Cs55IE6pgKFDK+N4A9FOH02QuRAXh8H8lkr5FzB8WO38dx/QoUAK3US23NN5Udb T9bIKZSqHpJg3tsHoy6imcLiSGmDzwf7rTqGGIsGDKP52JSKT/M6SVDzHsMJQ11GOV0GyW42ydzd JiksZDMnydDqt+H2QkabKyUK+56TDvJohKdJ8Pz883A575JJgMWHBNtNUeVit4iDw4jTJrK7DgaV oQHML0IMRF1afm640FQRV9EatCjGJLWyrih8az5JuWQ36gpcFc/d05iXtJ58cPTM+TLo0hJfBFKS uCGJqRNE7vZ0nGxh4YAfEpzcFB9MmfkJB8tP9tYGP7HB5PNR1/GbJwzxiJ4v9iGHvoonP6fOsKl/ jb4cg1VB9THL252mT1IEEEmUsuyJ1sAcNEmgtsJYuzbVIlfb2cJOnFKU/S0Pji/Z2wYtkcIiJLxF bBCaqteZSG+ACj2fjzRjv0v+WkmP11LsrJgRIYnjAdRjKZL4zqgRu7QMu4UsBOTQWnER5dgGeXoc bCWhdD0grouVVPv5MQnvz1nyQPmHAjjGHSrN3vaPvtG5Kavs8cqtTZ9Eg+qQYNzy+ajq/wtSjF+m P2dSYK4oXwMwVQVLO3fR2DZJtbKdJP0d/AKapDDsJil/NOnwtNtcO9fZWacFBH94dsF+Y6n+DUE9 rH9OkolcsYGdA3dFNJMpDTJp3M5BQJqzkopKkitXPh9tt196jY06RY+LaSi2B23dkX0ljWXNWjTn k+tcJYTUtt1CJqPAjo3bjYMD1lJvHbku8VgZJHSq7VHhH7jiDOq25SbdfExDfRBSGSFrqKEbAhZ6 CEUxAGw6Q4vFckWsWaOTL+ZzKEUq1p0xwPS60pKLVyMii16CRUI81ZdSHHRL7UqYiH1pRAtiewn9 a3aQNkquBBcDCw3HepbAHHGkGCA0WcxUSqNOhg2GyTq5OHdLPT8In48Edr9PbrJ89xVCz4HLYqY6 zVlO9AtEzC3oI+EQ0lbZJDlsrwaENosrSx+E1HMOjqlJkAlBRAxL3BPKg4Z7Zh1aGB2eA/QT0qlU 0vLXjYggUz3IO1gC10eZkrYom88HR/cV1M9yOevQ+76lpcBrEQQJwLAF5MMpXvbFqa+UPM8t7ume A7NFZKDsRhY5B5enYYurHZI8ReRq2vyCoQ+Rkg5Sz6DDh6IABD/8kGgtoVPb1VHiYK2ks62LnODs Jf+lhSH7aUbpT6gUIrHhaQp1KjW1/4+x70ySpFeO/F9naaNBi73/wRbuHoGs/iZj5i3XiEdysiob BRHCRdvz8qv7ZTsMoAJskmLoCOkOSTUBVZ6VmZQTiSXTC4Fi7WQ8WVFJPbd+oabORR+laa8bKMcr NUFrxAbFSmclJYlZzJX5/P8CgywEj1z6Zw49F1FwXstRNWvnqw+22nDi1djPjgujbgaUkPDxwfu2 lPgh4jEl8LbTIDUNRdBOkyOWAtRzW8neNsrfpBVCJTUOipVqKdXw2LPx+SA1aXfDsUp53qSjamOz FBovdja3s4k6rUlvNS8sOdQPhVLLckPnxUWsX8FlYIO3/wGD6ICjYy012IQVIdnOuQTwHv6tJXAC suXQenEag7iJT1xtSUCpd3Ap9YKlFHgvfkvxCURyti+gST5JccEbfYFNoBGOcVZEJNyM4nNWRImS hk1SiMtm2e1PF+FB47OW5KYDsQ/UxsDAOnuSvCbJEouiJifq0H0xC+eH17FBP/46EYaQ67lMPh9x iX8ZEfDW2I9NbmjAiPUC1U6tmlXJNeTZ3QnOYPcEXFTbcIEDI+IYnkewNbTBcbVt9LbNtQoHCGxW iNcqkHiqxlNT8Y36sPyWCG1DpSco1WE4Ly+GYj0XFcGKP32vzQ8Igsr0jT6G4xALsD5NIZxkkI1u /p2r00WM0wR+h+04AKB9mmKAtony3cH7AoDulER0bYaNICrT44Ry55fckDVgXfTecf66ARqAuLYF DBsHLyxBIKPJrDx3Pv8vCV7lNXI2vLMUOsdMQG3c5OMsXVOC4FZ3REmFFYBC78CKEe/PmDvLIiWv B/xXTlaCPcd2LmobsqWmEQHW8s7p9rvnsreNSI9M4iDlR+ISbB94fPNKJn2/wf49MGP8r8wsIgEJ vdgshW6MmQdTTlZ+c7dlXEylXmhS2z5Lf9cRKSa9P9ddSx24Car3IEGBJqxoaxtJPWQqYEJsRnHS d8yhHSPrAZil7IPuOAieSav4xKl8PmKM+FJClA1qATp3d5Ki0LtRjmZOX0rpyyElO2ME6ro+SbHD OdeQlAw5OLaEeprgkJwLZqdzXTKiykhNKnC+5XFI2Wva2wbYCWICuiSNuuvuo32XpLsPfBifDyLv 9L2SSuK2vUlc6MkI8CDyrGGpbuluRZR3zQ4v2d0LuYEpo8tlVFG0qhD4KuQmuMhXwgAX+9USwkQm 1DC1iw4gjfvNJikEmMicoK3tgwJvSEnK7uukiHz+X3hkltoQsKFNdWcpiryBbQDzMRnGRAz+JhiX p7qlVy8tBcaMaMPpVJrNB++cnBsMkhBcSovxKRlsIOxK0mNeob65l71tcMPJsklKffkq9Z3fNrdq WjOdz0eSGd8wE0oE9l2fQCCKvNGbhyWjIXEWXQ65lsyUWUCTuX2WYrtz1h7rbj7YLM11FsxkAels tYrCHsX6pnKM89qka051vmu3140UwqW+r4J394I3vKEWu0MNCuE5NGh8TFCZxQ0s53RnKXRolEY4 S6/I4ma/Fpbwx/kxv2qENtpxsUXjkqRquYOvpT3gB5C54woLMywadDQUWavEBHnfu9vbRme3XK2o J8ZBk3QOvb2egndg0ogKnk+SDnqSRm8YEJo0QnMINpDbUG/1Qb31nuxYwrKypRS4NGZLTyiEYoOf 3VBnL/gxUPA+c7YUBiAAEy6wPeCA0e1tA3CAKOtJCyrZeoX1XFNhFEgEPh9hS39JGAPH3L8mKURw Q0ADCEe74J6VBLsTu+AGQhpbSbEUNvdbGnfw9KRRZ3+xtnT+B+droR8xwanNbTwod2LfcujVOI0I UJcPlp9kZNHcQmnw+X/qGp5/TBW9cskkOXRrROIOvP42roQTas8yLNvZJJSytlkK424C3XOSIWy6 Wj4n+Id0JDO1RJe5RXItHDEg1Msj9AZL1vUODBvBP1aOS7pEvnSJcvawah6AHfP5aMN5sMTdSd7z le7LsWMjLuJNqxZStJkUq2pSrjfzSeiTZSeBZSNOpaa0pPvgcJwFV1e0RQA0OTuRCmQnzYDzHJZR Xv2RPMrLXvcv2C4AAe6gaKmdFK4qEGiTz0c8ds/hMCGALsMv485SFHfj8FzFFRDwn26qm5vxtM9F XovPUiw4why3qhBX15cN+rnUaEwIXgn8bCtn6Wy9HyB+zw2H4pIxb1K3141uuCoCcvdBO+6s3SqR 4I2ySWDe+F8zJ7pbjvnsuCjwHjiXtlBcWEs9u3T4yldJdAJxZbMU4UzUy80MGDU4aCmBQWc2GJsk k0o7J+QI4KcSs2TdytXtbaOyicBKVI7goDIlPDRlFNJ5LIWw7vJ9eA/8OvtKsOXAv1GEjROzl2IV 79qTLaXap+PfBttomqQ48GYdYPblgy+lBgDOZgM37V5ApSD+7fzBE6pqJwMrvuGWYsrQwXEabYLF Ew46lmpu1As4gcXqfP5/iSnx09CW3GcpLnkj8E7EJlczDpHIeuMWNmTudGRu6OE4SeYv3ajb4+JM Mmjs59gmF/AcQ6Qdg8h1fvsJv9wM8rXXKXuz141QgkO4gOaDA002xTZh+b34fFBaKt8ujhkI0DO9 T0wZ1rwnKkrQ1CZmqdflcnXnAjHMUmFTxaYpliBBPL03mVocbMfVAncDLNKTz2G6wF/oMCNYVHYH I2bdSKAve92IVcoEZc/mg7pMOFDZN4KdHZ8PIoHh5VweQZ21mruYQi9HEIPhNGBZ3GjNyTh1dgcI 5O680hyYOZ7Tu8pUJd/BGpa1Ud9WWVwFXVrcLwikF+GRr8TxIL09B2aOiUYwWEQ8vJMKXTRupnLg jwMEAjdHeXd817ynnH99ksKaNyZpEpHKoLJOT+LSut7E6DP6JEUgb5WWWjWvJyfGwpx40GNvSMV3 FQr9nl8cQBgyW8EbUA43FC0Ffo5CITCYrD4oPYGKDaOles5QPh/ccFdJgssOHMv9HEuho2NFDrdT 99Z39irlHJovTBIMG3ySYjUSFQLS8MGrlDDjo7AheF3ARHMeGTZXlpsvHqdk1d9CS8dqJG4K+Waa oxQV4HaqXLwIVvkBUSfuV5XyvPOZ31uAC1wdcSrRA8vsVKBI4gW4WrOzlHO+MIrY1pEV7z8LcGc9 dxAlM4OTE1USKU0XDZSUUIWBVq270HR726jHpBT3PzbOUKZSe79moJZCX0dWW7/kEirqpDcOCIwd UVpCzyQvZyrX5Hq+lCg0Cbt5O99/cXaULSjr3vmRaDuB9kkR2yZ/aVOGic6OJyWibkv5QQvcRf7u 20b4AOHfZa42HEk5TrjNpvkP/AD4fAQ3rV/7Df6kgNLeSQpplOh8N3cBx03XL6ciuzMfrlm73wJz RzluANijYOnLSgTiTktutwnMHTQJqJaAQB3ydmw+XqyJv27AYkKKWxdiKhss7gbqQyl+qnz+/ehe +xsfMIgSfJgngcEj7jd0vkex7OSEe9cI4ul885NslqK4exDW9pKdQENzU0MCswSxcdzB6Rwp82cC U1PSY1bMfcpviVSSCKLcuuf2dtr7hoQsA8ITV/D5qJj7G5FTAKh8yAKhzSNosIs7ykyvu+84/tDu l1G8ERf4POJ847GUKa/ZcrvWRu0ctaVXUio3NlqWQjQOQMq4rfTMkvg5gdGjuOutsV9lg244ZInS GJnoVgZOj0JFfiFOK+KGC8j5/Bkq5XS+8v/hr8dKUhFg2cRgwxEMatlJr5ad/Bko4WPcdaUtnd/r sk7WuZYJkcwsLAGu0UUJQz8RlKVCyT9jMCFQ+jNM0pumIc/rVn2wCOBsN2m4tIWn/zs7esGttAQQ 7KLaCnRcFv8351eJZgdQudVXdyLFaA7qmrs4qKtOz92C2enkv/GdbfDa5El7Tuy9BetGHAkJ9kS4 Bqhs52URycnnwMDvr9OTiIqGWKRacNOKxJluJaKaFNRvX6cHkUP26eElD/cWgF00PX9GR3qKCuyQ XNQGG+Nx4i3bzyGygzg9f8ZGtni6/FPT9MGwymmcT5omLAHqQyaquaLpVWgNgEjSRFkJ6P4zMtKb FuqQNSUizRMR2Kyjjsvcf7fPn2GRza3KtqjM8J9OK7Vxcv4Minzt8Gh22Q1oYHnrlkozat1uZ+P+ GRLpY0aWd2rLPnh0fcJgWLQyuu6g4FOxdhP0ggNolDs5RN1//gyI9BWZzMAsKxEIXP1w9aAE36iK 8XN2QP78GQ/ZH0ovJkyGstYJL6tis/NnNKSHFgQlumturNmfFlKaHjLWSit1GKgGS2dkc8JqPtgF dtZKnTJUScj8MwHxaPiQino+r8IHYoqHS73aP0MhO3iKOct2H+ySR7Octdd+guo/AyF/evjO0hn1 Q60Xzc6fYZAeAhhjzTmtdbTy1dFEAO+zs6etnT+DIM3OHFJiXd0HWzsDx/uivA5sw2DdRjoJatYd pdxM/29hIxLj6T9DIPshWVJNlRKIHIwoUSGfxtivls+fAZCtHbYqODtqfc9za+Vs0/Nn/GOHOV3k i62TRbEiyzcej/Q8HB/5Z/Rj09M0PZT34+Dw/7NvW2OF7/zxUC6nq8FEQtQ7tdlWv5mrXA2ivcVb vS/SSTgY7A8oZCVZeXz+jHzs2Jq+tZi1IuahezNn58+wx07lQZiW6/iO2r1blPe8ziqsM2Dx/Bn0 2KnMThrIWT7cS4tF2UpWG2T6NxWROu4rOL+fCPrxR59URPoz5rFfYDJhZaKpwar7J6tJ5mmVP39G PH5vTJsdnuCsBN87689Co910FVurOe5o1u5KNvLhkJLN3Maw+bPM6NtaZca6fbiz00H809YCGLnR iY/SnBNuvoWARIOwNYqyBbODqj8inX4HlWI3/LVIijjR2ufPEqMFHbt9HTyoZHXoiGh2/qwv+lWw 6MJ3M/lss7OSxNnY2aeJEiUkooBH0qJJnL/kEuiQsGkwS0WrHc3Fk1aI0U59RGAQC9FBhlyjbdGf tUX7BUjUJlPZBotx15AhHytCf1YW/dL6XjvQZOjI6y0cjKJl1GNwLhuIZuZH5edhHhXUt4zoF83P ViYxtg9epIYzUIb1GBj/Cw6exNIWHOEAx2by8kyctonxH22uQQXopTrHbaqgpNeJpWrnvvy8MNhs 9c38tX7IGyeDTTMURczAaZxgwfmiIqDz8CkiaFcePqxVk1EThsxV7L7ugyUUA+rzDfR4mKWCtUWh KCA8fxBPQ91pXcCaEWqiw1mX1mJBiIPurhM4qLgM9bLPC33NTmdl7zZD6AX3+szQe9AM2Qi6hLgb xu7dEQ9rJRMRr+oACogVzNBWNlpEOSqXcjThKAjtGhFqYWlDbvYGW7vRXQe4A8sppr3r+wR14rDR EfBBE9TSHLILaSAcvcfNSNnK1wRBtaKjdGETFAXOOJHXXk4U3XICJdphXh16KIF7Hz84oiUHWeV6 zsH7ZefGAjyJrVfoO9UhLDYahFhZmeIWfoHZywZpF9tlTRCsdiFYEBuTk1QtwIWGsfOdIfwX3DA6 mqo2Q+/BMyqA8AvBhSfBuuxIh7nqvcMmnOCs3hrNECv3k86TGmyTIbuA8VU11hqQuEq8kDqTJLoN ygeFUL1rdAqpniEwNr0ItIZO9k+5aobjnxfOmgdQ39dY5nGPRoxNURRBc4p6nwac7e7Pc4KgtpbD HZ/C/XsIfXF8mzVXDjZFqcAeGwcMiq3nTpTrStLPBxpveRxVaMrzwljzMxNz03STNb/JQAwsrISi wl0+L4Q1SxbS/jVDheIwzWcoDKIbm/eGZsCJdOkzFJ6WbxFuAdU2oihaeNA+ybXmYDPUUAcChojF jQRtJOqNNWqwdf5Z18NRB3UURbcqYLGAjsN32QkkaqIebjsH5ueFrPYkuF/nEJDu87nsozh6bhg7 JSsankkp7hhSadlgVLXtBfsokJYIEjqGPvhBDY2l1ZudQyjzIRiaZCwAdJdllLqkcNz1su8zNNjz 6VDZs0EzlHrv0nk60fLnhaj2BCTfl/0JFiGQbzP0HkvjKqOx07L6D4FiNkPbLIxOOIQKn+rQUTA9 qB9+dknxwZYQ2FirokUDSPH5vwBwAb2WTrI6UI637WMdjfdg2gmPlX46GqwC1OZQuNihWBNF0zv5 BLE0Aj9WIKlsgqJwGoZI8HAU1PpM1bUozBI9pFT/tVR5Yaj5EsokXxO+x8EmqMMpoYBlBynxvgpN wSBgW34YE6x6hehZTvi8ENR8gnAKnSC8+aBTqGa6oDHBWp8XfprtsZvJN11lDV67fk6/0NPssm+T hhjDZ6g5hKh2a5WdVH65OtQLO81maFk0NHzwzuEEAM101iF+u2kvt9kL2whs0roFaLp+v5DTbA0Q 0Ji3SCDblxBht2oczpI/L9w032O/KkEotbTip9ALNc3mdUERgjgcoojlW0R7OZnyaYKS+Ra9MNOe qgCOH0KsOHil7MxXT5sXM1k/bFUn+l4O8m+FHtIplIdeNqrRE/mANrwNSjn6LvJFhxDm54WY5jfZ 9zmN9k2jVotmKKpCA0KIHpg1VqH74W2enXyGWvY2zwsrzV5eRCJ5Mbf2VFqhBoD1yB5GR+m1G6N4 wzK5oHd840WSlz4vpLTvcChLK5uD4sUThcoptZ4f5/NCSXuaIDZDXDCgBqx7179Q0myXTeytMgz0 SeCiFepJ15Vhc6uGrnphpNnXE1zFGNkGP4fGhmUrPQohWdfZ5oHl2fw5ixe54+P5LVmRF0KafQmZ sud8TD7YFJ0olMqOaEl+Xvhofo7170VU5KDhMxSF1CtLbsXKQmMUp8fUcYEeWbzQEy++sNGeTY79 RXsCDraIIJg9UWNiI+wcPJ3+aVQEQLqGk/Zus0LxzBc2ms3QZN6qjhgHbTPkaDx964kiPi9cNLtu tweMEkeE30P2pOOFiuYndT+pRjMLHkjRuzRN2dlI6bUjptM2i4rSXUbEutI4eFG6Q/9nkm0Ny+pR N2cIuM4BST/40d2SPcrgnxcmmhdqNEPrDpqhATwSS2d7rs8LEc0j8u81JP2+cWfoPaJGLxUdH9EW WDwbVvtYuV6xfsTItobeI2pFDaDC5uqDB4wgTKIaRG26WmizC+jo+SieT5lmst4QW3rZoLhIpXUY dPhgR3VKUi4HxubzwkLzQ/cmHXhu0kXrue7DmLqwvugOajtlb4qNltJdRNk7hu8xNezVGTGucgdP OibAtEOeGKXUPaaZQqC7cDYHDmBPy8rQu0YzxKpH4XXP4oe5vZ84QNoN59TbnxcOmi+iXwVYRIvj OYjC+jRUjs8V7FpidPFU4lpm9wIalMA0Q+8x9blo2NXoK20frKkK38VzH28dRFP4RISMOLzn7j/A T1n9bBAs/MI/8+qFLrO9fLCAaGUT7gP/7IV+Zo9Pr8Gyx4iS3hx3gqKYGmxPaD1c3OItfvTa/KRe QLZol73H1Lf4USi+ysGLH9xpW5l9oqMsJQ82dehwr60LWZh0/X5hnn1vsjQpAcVBVxm4cwziG/zB XohnDlrwmJr/lgZId4JeeGc2QZDrWW50BR3IZJf9lqQvYS/dDYlfaGffJdiTICUfbAVVWnqAQgL5 INinNeH2oZaC+vTMV6sf4RG/I+jLL/nsUfaBg6EWNiIwhkMjf15IZ//tcbCEDiRopd+uZiiEdYAD s3K3yx5ZlC2hqXSfXQ5IomuGwiI1rzIwXnywGRqjQvW7Eh4MGVE100aikQHqoJR/MgZM0bsGMzRl XcS0jIP22IAuiSRET8T2wjizPzV916iB7kFL0ycoCqkbLeZaMy36IUAwoyE16gmgTtsUn174Zt7B YsJaKYcx6pXDIBoCdT/mrYAIJ7nQZTTI6N3enmMaJarPC9vM61gseRTWqDkobx1ng/NWg6vB54Vs 9nrV4zaAgqhNUBRQN9rtUilJPOHhPOEhxCsFQ2o3nvAL1czTVuycKWoHB1tB+Ktx+Vcl9r2ZTR85 PAgJ2COyQ6jau0Yph9wJaRJWoHdK1MKZ6hNz8RQ6S7R8Xohm/y19yICF1d+7x6KAGtCIkymZ/hy0 hjygLjqQ2CpD2Urwl6hGnVgbWpWnNQfOEA7mil68akMglEsfehBRA7DoLXzApY5v+reUjM40NugM AqJPKVmf8/PCMPNo2vtkerFzF/Tkaf0Lwcx71xsFs5Ec/9IusJwVTaGDut9iL/yyJyEENUE77BGc Ac+IK0olfBjHd3asERmTHzAfE0emPZ8XdpndlOq0NpldNivPQTGyLqmjj14/L+QyXz/7a4JoJVue MzoKplk6mw4fp/+0ndGtZ3ctyrj8dUaH5ektk906fPAC/gJTmvbVSKEWKQj0C4HKcqfa/bo0RQKB X6hlv2pn4xmsT4YuE7tACz/iezCtSPPrns8D7fp7SEexNFp5MLwylTBy4y1SHLauoIG/LSF74ZV9 FxcbXeMwMG0WfwNUX7RtcWecw1opKyWkGggZJT2yF2y1fF5oZU8JHpmYDDFQR2DrJkP0UK1p4I4+ L7Qyv7J/9VrX+mFzx6YoCqYB88DtZaWhVpMDpUcbLqeaaAXLKQoL1LRhHkAr2eB1j94gOzt5rcLE t1EIMiHs6aDEz/6IF5esd42OISHuRSkj03xyhnpWYf1nnLjq80Ips232q7xIvsy8rcQXQpmv3H0O 595NRG11cy6AYou5FMPnslnx7IVPZm9P33gIOfvg9dczFSdQkX8a1FPaoosjgQ0biAbq8VhliHyy FzqZhyVsIibChThYZQiVJ37f+bM/L2wyf8fvZmuF4AH+mwEVw3CaIFdqTFBAjXQjeYb07cr8BYWd QqRijPlgJjZYO+PglaFU2WVd3GZ1beDU4Q4GYiIUMHN/sPaDwvwvXDI7E5L1f7aGpagmk9/PuvzJ Yz8vVDLfpfVrhjKwhqJKaIpC0AcEeOi0DKU00DilmXbetkCBHzBpyML5FEXxtOEV0e2zwaYITY4T bZF4nybkU0lfnBxQ+ABazKOhVvSu0TajjSOqIzboh0exTtXFc3F+Xnhk3mdzKLC0RAcJWp6TvfDI bBFN5BmJuTz1+FpzgbnBGcoAdGKyNENRjVrF6dqkCNJcKn+dZXHiYJoZoTq6R5dtQQU+eNJ2jCeR SRazl/hCI/NWDkl2c99BU3S+YIlzPzcfjyLq74CIgTy9VzRD7xE16Aus4vMO27jN6EfIZHmZGh+y Vt73nZ/zt4j6BCnDBw+IgAZuBAhCiv3cc51ijojf6CyeJcEnvWJSEV4oZHZqMlasNXUfbBHB0JVU 8hNXfF4YZPZ484iIvWsc9ajI2wyFJWopN3jWuue1uqRsk+FizhlqkOmwRM3FM2rKPtguA94gL+Uc k4bEyuuhAtbQ+ZHVpdJWVpQ+L/wxT+xxVJcppZTpSik4BHYTA6O1zwt9zHsA3/A8qHgBZO0zFJWo scQXvYt53ZeR/bqvtTjyFQqGusxeyGO+gnlGS4K3PxK854yDV3NVUA2wXmHLOqM6DVOGn/YgX8HH 4XdEjAQc0ScDGj7oLgMtdYtmsMfnhTn2pFeGDOaOQlUcgGiboRD00ckcu36y43rHQxbca0NtWTfx hTj2O29l6ZCD14b6OSSIFYX+F9DqXdyXzdSRoEPfZJSx+bzQxp7IHSUPVqg5aAlhU8sD7cSnnxfW 2H8b0vgvOqPTZE4TFAXV6PCsxVuWE7SHB9UrWw/2ulonfs7fKD9zs4nKwZbQWCDmdWk2nQQWKbnO cup/np8HQB87hQjwfGGM+ZFJs9TCbhAHLSH2rNjaaSdxfSGM2Z/6q/LRkdeDTGoTFIbUG/cX9NpV +diz/LhCWvK8ng5VrHy0GEAtsAcBnhxsBUGTHqqVzKUBYFzE8RVgOyvtmulI3FQ7s3cN7vpsjJbi g0pDrUAz84fk3YzHg3jx6STKFRMqJMkBni0MqWlPMF0dbRbT2snnT6F6M4tn26FnLSpQJ/7dXZKN /ZFsXAg2S0cZDzNUoE0osisCIEJr83pcrXVORyE1MebnyKdoOgdtMnRVltZQGZ8WoT4kF+oEBUIp +gWZ9yikBosU6sou+iVTOXoTNJIVpM9Q7Cbr7yE1zmncZEvHNYenQk1HKG4y0Mi2IstMx20cGfO6 yxFK/+lRRK0ma0kUIOJg5cVKU4hEHaBPjyJqk9x3BgeKJKhp2ARFATVMhyDzlWwJ9eqGMomCSYYz X0bh6BHoA9Eqjh9mZXN/FajPuXZyDfY4CAEpQ83GRgGR8QOrQkvKJvUrelSgFvPn/FrVB03QiRU6 RVLruVnweMSPml8ThHpkoS2gJiguUNMkzW96sOk9sV/VYyHSy3hM96hArXusZ5bPen7KZ0CAbwg0 Si1m16ZoEQa1J/8/p1rFnW/UXtQ28SVRmyzJH4VilhlX9fqRWox0geC8WvB8QD9c30h8CHUBXuXR UA8JiJU6n9YeW3U2T+17MVQ+XInYSSz906OIegq/aOYNXw17gPFhHk0iEBxTEkEfFWoyExDEglDz qjIUvWwQDrHmj4vLBwuHWpfO17neJh4PjupfbY6Kcwj2XjZDEQkRII8Tnzj4jNBrzVCq80oyVJf9 7jHoA/tLKZcGr+KfGKaCz8tudMekdBqmnoXZE1HnV+xzsMTYoyr1Uq8VTSsOlZI40MhOUxzNc+Dj 8WCCxncRH+3zTua1JigOqJGKJZdBO6HJFRoYxatDmRcjLvseEhHZSuyDvdY+nl5r2gm96G4k1km3 Fxh/nACr4yJF8djPIcRf+I7gsidXIdVWfFANNqFIrIAaB3UUUI/5CyOsGOOeQ2GVeuAVlcJjLVHe jrJV5NTLQ65PxtOdnxOFi1RgmO0OnpOde7/BVRGxyoRwDEExAlbRkg62Y1e+cuplo+KQHL9IdylO dzn/srJMwxmaeDzCnuWvk1rSTtsbHf09oEYPm9qn1Kas5NtprqCkh6OfFPoKq6XKkzoKqLchO+sd bIYqULVzdnHoT+5B04s01TE638YqqPk10hqtR0VqnOvsId5BmBhcLzIlrnni8YjMOr+XEHYqFTQ0 QRGIGj/9aoJ5wNIyEeCJgo00W2lp2UHm0hKKAB/yjmub4WKjZTjeExVY8OiHhM8QA0GakbIw+BVR JmCJz04hxLf4kgh5Jn+GfQdtsrODqZJwjvy28XiwhObv+iIqJPOWYHsYUaMXdE6KpCla7AhzimCM 92N+liX5LouK1IuovKaWEIen8gHwCHcZLOgIA4B5MFzRAak+cc1tt1KUC18SpK1LnXo6x3HQFMHX WuC6hV0WEhPrdxk/UxWk3pB6RCH1lCRMT57YL5diWMkUUaFUkS2kHlGVegpYBWUzG7xjf3LTM2WS G4CwvspIhbg4YoVxWk6ZD/GkHlFIjcuLDentg2YIdy1bQcBX4fEgpO5+1xPNCfoLBbZthqKYGq5Z 8Buadpet3X7ceah5OERMr0jjcZFaggw1+2CLqJeJNFVSJ3Wf5J0+KBN5dgedLRMyY1JeqEfhSyJm orBnKoHQKMOaQR18a1zhDXMUlqn390aDOGzPl88xQiQ1ouqxn+JHNzA+EBrFYcLbwfgjqlJnljRg zMeB2PiBeAjEMjQUrCm9mqAznWRywEPKgxKGnNjAdwQIz2aFMwKISncn+AS+CG0rzv/D41Gn4y4i au2e7yzjQjxHiPtgHb+6bMVyMVjaV3nbvl/DuBHF1EtaJzAvwsDyEGfozELrvA0QMUJ6gGdWIV2B jhFr3ese6k34jr9Czf9LVwBVuROM386Bhsf/Kc2Al6P887jnUBRSI1gHjdmW0Mw3MWNz4tIVXJoh CqnlPAxCiw9+VJeM5rjKQ2eFDgE8EzUH4L3A5rfTytAgwZdETWmKMxQ1zaDtRyYP+rj05qM4Vsbz /+KVid+ChVtuz3VEUTU25yJORR1F9g94EjWq9aqjiIOCaceIomrJ5bZFDRQOHhKdkH1SbfEsonTm DHqHFF1C1QO9i36xH5QrxXcE24ygj5rL8IGLCKpaiciEegIHPB61y25277ypCpKIzVAUVmNlw5rE SC+DHpvmGV9cyjuVaWXqESGpy9byUX72uHwv0OtKbbSKPVOVYTyMHxuQukr1GlAwrFtGjOcIFT7Y LZu730EVNHCxuqRg+8DjQUi0fA3xzAGwimpZmqCoTN1EV0guJrjHJXQwrNEEZUcwjiiqniKWDV33 KDQwMFhQMmu7JRnEQXECgQyuNxSvUPWbXEK2y87H4zuiOj7zDdPO757aA51HRDO+buPxfzXLGFWj RwdyvE1QGFVjj83R7bafpT/nUGt225fhzLvxj6h68hxq8zmHsJ0Sr3WmHXtBOxK8skSAHhwq0jX2 3FpCYVSNc+gcXoU+esDr6ezIFQpMvD3HXng+SO7Lt0wMxIMqaRSaojCqrgyIljtWrl1/XNyMSVoi BG1a9WOEdWpCPygYboNNUTsZe52yzztn3O64Fk7uir3WkdgX+ierlL8loxOyEwmhLtKBL64Df+6C QXg2uXcFj0flD7/uedOi2IT+nAnphHVqOgpMAw1BZ8ht4RrNjVT+gD4UZ2jG6nj0zi0t+2D1IZiR nB+YDOBEnLCsB3DJN+w48jkMgkYDjxkiPygf3PO8g4Lqk2WzIYpctOHxqNfxfdtDuamCVWETFMXU SI1W27a3lvSKuYSI9FLuCi8XJWYzJCfqgKY+sAYvw54rBxxphkPnTka/gwKLA+5tUMrtV7ObBrX4 jr9wExt2rQ+WdaAeujRBBY//L8cQsumGKr1NUBRRo3uAn85J9upN8xjaxY8h+tzwGJohN1FwBnHI 2xeHfCWkEkPGHSd7KoXuJiPjxgelH8HM5bdSh2D+tU4NDa/ug/ob5/dIRWqmq+LxiHi3vnIO8F16 u1JeM4qoMznk+8qhZBdqwP3ptDJeUJqhv1epu+TfOfhVf0LqLZXPvceEl8zWHsPRjIZQdRs4MFf0 rsEENbEVmLhy0CFUzwypZz9TxuNBz358LyF06+kDZRMURdQn6gfYo5rYR97X3ezkGT5BptOAQyiU yiOPo2c2ejj4Mb2RuKLxhnbiidgpSwTFhgJ1smWmiwbGR4iAL/mblEXZnuE7dOhc9JRP+EGhBY8H 7cTmpxB1z9FZommYZiisUkM0GBKFRpnq967PorwSCMs/kDMUwT4MOlTT9sEz+xOsLyYaTMqAgSYQ FgdfR6KwmNgrGKLd4oziaTqRNPRsfTBsVZoU2AKCZODxqIDWvvYYxQzgWmoTFKE+kO6ttc01iBVB SzhOkr3sFAKCzCYoqlIv4WKqqGX1EczbyJ0hWmR2Xb3L0QsBdkVMTcKAEaQptjijcDqRrUBfOQ7V 8vq2t5x4TgTa8HiQkvXfvTL0W2+BcYa0RKI+2nCl4L6yT1BZroLbp5PuZqiZVwU+28sH73Oc42mP SvRCQidwk2SP1OP84tT7+Krib73r35BV8MLwwfocsFfiIbQ2H4+QVes7VgQwT5QQTlCE+hCmgcrH ROOPdeseqXlCBjM7m6AonBantc+VfPBwepw5h3k3DqFz0c8qNVOU5jhBmSraVqSe9rIBTJitiTJZ zedgwCHwDlRf7B2P/ws4xCWEHwUlR5uhKJpmKFQJluQhRGUtO6aNRwV/xeTHdCz1wV492zwabAmd lPvE0yitUgL//JGynKBDFIIJ7BVHCa+id/1bFX8sThAHVYYaljgPoRM34vEoVvytpZylymMztKJo OjNnpcYG3YLcXQmSTM1zVkrecA2tCPUxROXYvfhgM7TRClpQRcUxjaBIlBcgryuO2jz3zy817hWi PqhVTp6TDVpC50oSERL3Px4PeInru9uaN6vUV5kyrFADvjhztmMatZnbjl4up5NZquQEhaiPzlPI JPQutZt1odTnnsxZ8zlTGXefUwgiZiiZsZ9nuCGsdHxHVPWg9sAUW4HVExninqRPispwksXzwSYr /esYIhxnb+9Hr1BwupFUNq0utDQt7Efneww1CCFrhiLYh3Tvz4XeNPAX5RI6eWlNLLYm2LHm1SQ4 xBTtzBaN6U1+EQkFviOqnFHOC5hvG4SLmXvtYdH0wONRNP19kVVEYO2GQiuKpoHiOydPMw+8wU6y 6kJ7uxZKqncJhSjqriixNR8u9qycOxGXIhIyHP8s1CaYfNVdreJh0nmEnq24Ps3jB04NNmiP1S20 LgB3FY//C9HAhcdGwIPOW1E4jTsHciXq+CwyvXTVI/D9MUmmwTbQOadXyEusihLJ92k3WTqTDzri CQ2nSosJXS3yyk5g04FrQLXGLrLNhv2KMB8SZ0iSZEouyVRSh0urgsU+8fj/EgsRAUsAnCYoiqZR 81uNfn7M6b0JjXbDSl6dHt0nKNb5UOOCtDIONkFwTAI5kYJD61yOFN6BUG79mchLMi1wzWGK4LMV gT6WSFPbHSYsIoVz1WA4fJb6wOMRbKh/h9PgTPWbsq4onF4k/8qlfJ6MTEa4rN+rAKJocVg4vcJw ushuulcfPFqEOC7440zqk5SeQLw7k94X9afHrQvxMMGXBDNEzbxS2C6jFpsYQUCLkxV27oSGxyOG /S8JT5TfxpUgWFE8DVE8gIQN07ASa9JbkkzNyRxCUWOGQhQ11cwakLs22Bqa67x/SVL1mhN15cRN dtYQtR5RgXXQxxx6178FQ1DM8UHxdMtVynnn7OYrvm+ydTWrGAudHdvTrd+vEEU9KU/pYjqDWBPT 6E4XeQZpcalQh+VpYfPk+1vzZSqciBlpGSii1KyaEIqjqhcNJhohvt1arYVMOnxJcFAnrZ11B6u9 ribLZmAB8HjQrx+/Mg5AYOdlu6ywOj1ROdsunQcUlGcc5XpKnZzNCNLrH1LURcFyuTalOJkbxMI2 0YsbwOnOGUL01LBpCTa3aGgMvezfekA5sXKW0/XfHCDhiaqQ+Xhw118KuYDmADAh/9EU7SigHui1 wvHBpqi50PtKBHILBAvcAy/7HTMTdQ4JFjMfWAwq+CVRDPcsorOMBrWWF1ZdR+jX2eKQkjDL0zsK qBu5CmfRVR8MWjUMMgz4KB6P6C7fOPPCPni7YubvAbVpEFjdA9FQvhzyLUUL1hZ7MlDM/rvOR839 Dr7LKizuqPSayiAoXFL4aBexLZauuXRJlMLfIY6a9qTQpPXBcENwiLN+wcDjAX4xfeOogWn94gPt EEctGQJ3UoDDqs1QWssJ0nSi1QyFOGq1ybrAZ309pbNSTnBIqeATL07Y2qnwAfoTMm0W0Q0TA1Af viOYoE4h88J2IgdlrTRC4RI6mR4ej2iJv3IytCuZCWmCQlriapcVDallBY5UQqGGogE+pgvih4AP Nen3voMH1CecO+8t9u9ZUIN6uglYrh9Y3v0w5fceEK+yHUXUcLw04z8bdHQsCNcRK3XOCDweyS+u /2SttV5twR2CqDOFGopDYmRQQk38OV3PvCK71AyFIGpusp5r9cFnCGEyadeYIfw10/y5afjQ9aZW O/N3jVKOSSbQKD5oCe02kwSnTgiAxyMxnfQ1QYVM4lv32KFwXmcrupok/pwr+wSt5hPUgF7UBIVw D1GlOhMuDh4ugrkAw1lkHG3tzYwDmmdnj8FpFtJqDsMHbRnfEdXvTQRl+aAJGhBR5VV/gjo8HqnC fU8QqCq93ibZjtAeiZYcJRkdaNZ6LTnKclBV5l7gBIW6edarl3zevG3WE4ieCGUu1e/xpwgkC/tt xCKN8nXeh+5d7/q36it0m30wUFWTzIS6iPvf5Wm2ZPn93XPWHSp9wO9mXLWhka5Va+FtLU24tUyO aYdoD7KgqLFrg9cWz9U1oRhPtSEcriQHo292TlUgP8iXmvIssXeNBPG36Jp3UFa/oacly9868HiQ b8zvfAORHsJvn6AonB6bIHPbTTiNXI6pS+BcYkPocXOC4nCa1OjEgIiDX/TzLI+CDYUJWrCcExEf BWucXIDiO6JqT73r38oewLT5YHghdAi6kiw+Htzz/zGdOAlceyKhUDRvkZPYzMd2l+tjy96xKZ5d QtmOsB4ophOPl32wFTTq+dABUifu+YErjZbuXDhn1fwAUuKBEBscO1T5oJIXPOx8UCAEhqm6rK00 PP4vTbjGx/Y34y6nKJiGlgJQbS7zUVOxlLW1bTD8itvItMxTGE3Ti6w0+nJw0BSNttNJM1B6PJ9d z1+2tnKxTCUUqFbtK3q2KclEctZ72klTMuIFG/U7JQiM5zaJymi+T35ABO/8ZnNAj66jQujTFErn FZomLWGnV7+Z/WBmzxo1eq3DpymMqbtQQ/kONk2Ll9RORDQAYEsqdaq0/QQ0BJ/2WI9me92/Ue+o j2CDIA17jMk8tp0Ujc8HeccvQRSEgedPu/4cKfR4GYALyYtsMqy+NbSZ3Fth4J6T7nsKkdTtynX/ Uu2eJNnTTAClLqCuGh3ccMEMlGehyvgYa2Z73QhcRWheohhjAdaka++AsiZs8jkm+AEBzPNXbM2E 8akS5RRWq8/iEdUbM3IW/fWxre1K66x2LShSaJJYxJsieYGDLyagINekPlOB8ikuUVAUMU2spO2n oI8atr7lr8yOtO9gxUZYEUisEp7IKa5Yf/ODNkKt0p8tFwXYicSFatYBC1LSXkwrzeHCBFrblgvt Xrays1p8sFk6aQcEcmsTiAiYMUrAQ/ZqlkIQ0fJiEf1J+C1B30P0srzuoEz/ZP1EN5/PgNFCCjEg +Rslk1GxnP1W1AgPCqJI8KjIaiOPStp5iUFSdx7VXKQEd31QdICb/PLy4Tbxoa/NDlA6EcDu4uIN nHYD9Y8MDQ2vOqZtr/s32nRJtqaWL6axktyqAeLm8+/TVG/dkWXuvX85dqRQAIRW7Zl6MajMChe7 cTINE7YCHXBtn6Uo2J4qhpCvqcFnCRlaY5nPsDIQt0RMcL4PqxhkBj+ZYDipb4mCJXpGJzl0pUtZ BLZIrhtntfL5KFq6txxpHlNWnneaQhmQTNtNxEH/l7H7sqsRjQ5IisuiVd9zYQWbevC4EX2wA/zk r2UwMkI0MNo5krDnGsr5E1hFnA8WMJXa7G0j8nQRpnFqGAqezwqF++MPtZUbn496jfN7LeGyXI83 cgpBIQuZf3cNy5VXc8hDuep6rbiMQ06RFkiRNFqVk1C9COKVSwcTvxM200bdg1EVkf9EJvf8yOvJ HjnFYtWENS7qFKTLKEn02aMO00kV+Hyw4erdcFg1wIPOh8qQU1jIhg34lHN04967Qqg9uw9wpvWF ZimqZIsrRaqTDXeWVj03gaqQlF1A6+3c5uj5dMQFwxW9qUmstw1KSBQCqVRc0qDg+wT0Zr4wGFaG NjA3YDKhsBMNXNHzHBorkmgOfRRNEv1oXZE5Z58kAihlGxiCQ2QlVHh4c7gpbgXWfRE+088tmhSg FwqAnr8a3qhuPEkv6Rw4K+LsZZ1/0PqWgyYJrB352CZ4bYfWiuNKNWJzQj2iP5SGHHorkvQxARDS SpKaNyVR6xXPp7arTVIEEEmCP6x1B6svb3QIz50zWGrrFE5h4Lm3Jgm+QhfH528b9ERYSoJ1pQ+c JFix0W/5ByuWzwehd/6GE2slXQBEju0VcXLv5NIpq5oFHBWuupUCuvjoXR8Uldukj8ZlMurN40C7 2VC0YrmNdp2cx4JeFXbjD+JE5w6VZm/7t8ZRTdOG4sXpWdUB6KiW5MBhUaKSX3KEhXDiJ9sNPBZB HevkD7nAN5vlnCWmo6bAA30Mm6Uw8qa39MpUduBgS+mcQRkazRQCQyUY8C/Q1IBKAyads2Q6V2xh 58Bk0YUL5mZNiYOgNB0O37KWzJXPR/vtBt7uoww4xZ2lUHFvkmU1rpLTcq2rwiWsWZrPqRSyGbOp NHcffC0VwJWLvDonBYkpKJcogYMbB+UMy0+6uZmGEiFcRSDcanAMbMI9OrmY4NYZWi0+btPspmze ujc/CawWk/ym57JaP0pBVwv9maUG1rCtpTDwlkrIFL5vXokHkI/h5LFUv4XWDCH8CRhLWgejDOag I4UBodkiUhswGZny1mtp2nE8sIALpR8+/y9XDza5oMdS0rxmgoHdovBcgBhnC7zVoaWGAW8pBd6g TCvXDf0WF22q4D7pg0WUtcIXj0J7CWqpk5Yl6SRzKMMjVIXmoA6mE6Nve92/KVoXubtzUBZ3XtG8 p3vZfP5fp7cqAh2i3/3OUqgWwlmS1fTkLD0k/VV/3HPR5fdyYLqYTeTyLJrhg8OzCO8j7wKSPMDx AJ5FE8AOJtbAJFkcgJXML/kr9TMTHzFzuh3JszLlXjHtJf+lqMKgYTNQfyYpjLvPsl9y1Sb5s2Y3 yJUNMMEjE3mqTVJMbuQkTd50HDw5Se38CEU1uA627FRygmCSfgA7pQtAStNeN5CPl47sYleSg6Kl cXaaCK4nkeDzkfS3H0uckEqqxTNLISAboiFru/zVLsuN89BKsRrcpIOaZilGkIj1QGx/r/ViIel4 0gE8BNUW7Cq0MBNCtJ+OjblYDlDfbfnbRnKgWf2S7oOiJSjOSObi/Lp8PshOLp5WZkMV7JnHizqK u6EocDbWtqr3SvupLdGAWfstGVArh/6LxkUX4ZqDw2xgN94MiFRX8/2Gs7uzyf0opAvKlkMDxinW TKN0amnD1a0nlHdZoC1YSYEDI/LMX5bmcCksz9EdWjACHo02dGEJAJijbsUAmFRSzYi+Xl7zDj0Y h7kIzzv4obTPqpmo4tO1CmZYomCdzPckcOVH0sTiqDV/2+iCU1ay76AfH3KiTHrbuTX4fFCl/KVi xCtjP2a5oQvjonYIYynCRtO6J7fcBhcN9ByMlAMbRl9JVaZLVeReVimhHI+9QVQkrKsK0esddypE 1I2npvIbdSf4LX+jy9YlFV50PsVQPPekJbp9r80PiCJv33CMrNDDGV8bLsSTwLRhjmHaD30OZxqt zUyF2NHswoU5sGL0NhNjRBs8DFjn3MZyYsZGvhqqlCwxblQdCwKCe8Nte90AD4BqSYXBtYZilaXz grL76ucE5PP/4tIU5jVLVBWfpdA85rzmuWaM0Xf+U3bUTapXZgX4BAu8AztGvT8aleIbPZnuJBco 4W8AmwYqKwSNZhYrFtxjcrod77nsbf9GeiQuDMM5Lbq4EKyE4gvRCuIHRI2B/hV4Iw6o/WvLRRXv Jsn0ZfU3WA9diKRbxYHA7/W30JJxmfUHaeocHL0FgZ2tGy6dC2hC3YC0NZTLgIBv1ytOAo85tGTM EsSSJm+Z2ZVETkxs/OuziPl8tJRuqov3A7mAniU+S1HgDeOhNZN5xqxNXqegto8rY6Z7hmYp1Ohj HDDki8vB4SVIdRt6otC8nuAebcbmAG6hmF8emxQo1OhtA/gELS7GZLDEQbO0z6Xjs7T5fGS28w22 RQTXHiuZHPoyEsQ12KxRPSA5BKdQ5VYIE1oraZJi3WtuOE1S/5qkgmDrXGwCKSUqwSOHA3+k4TAj 0Rc4wQ0BNnvbYJJUeEsl+aC4u2KpsoR9DlM+HyGSv1tM0LtqKJr7JEVxdydOSd46lL5OLlvcKeMq lAlyXiW6gTejZpvUteGDw0xOQrJpuoyV1AEvxb/MEFEXaHpepb65l71tcMMthpJog9kgnEkBc4lH yubRHYqK/OpWUiOwYwH4LEVxd0rcZcsLcDv52b3arXdTpddmKYy7lzpMOflgs4SK5fmLCOfKaWYS vk9IiUgSfZQfljuI7D7hUu32uhG4XT6orfqgtZTh38aeETTCc+jR+BvyxtD0sf/KoUkjxLnQMZHm PtT1up/dxEzJtBpnuzZc7NJoEkcSUluP8U46hyP4kNRaQyW/cmsWuOpWHKYMfb3z3e1t/1Y0GZsQ ZQ6apHMmbdYYVfAOfBqlw2Snks4wXB/XcjiHRo1QEl5daC4IhRPIoGOJNmQ6ljJnqeuD3nfcYuWt 481t8GPpRJVZoj3phE1ndhgqZLAABA1sDz5gdHvbAB9AdGlVZ5eDSksDIMiljKPz+Ui07zuHY2uQ Aso2SSGGuyFzo/YrL7ixfCmdIM1R7pOOGlpKsRg2qVpTACbhPRV5w2mHMsOgSkAQBGKsqeGgmpz9 Nh6YO+FvOfRr5MXdsoQQOGiPLHiByQ8lDT4fzdIvpRrELJDa9VmKmZFsmRhyEmpHJqOxCOuzWcrO uMmxZSM3XNlp+HA7urDkBG4MHd1zO+CHObOEYGkSCJXWjZas8R2YNkIWTGpZggd0hweAdEPGIpDH essIHuA7jhuOxOf5rKUw8EYfbqsvgD5KNYAJNL6SE2xXvaWlwLYRa4ldSivD9WtUdM5VVDzBbILU 6mjQDUcWB4o9HbPRIbp497zsdaOeLkEUK91B0RJEMQisBHqIz0dM9vQVLc2q/sKdpSjwBhAKLsFG 1C6re67b96oGgjsHx52lUHFEiJxM3BIHmyUUE8/MUAayjILgkrkuqkqTsARwMpx6k7q9brTjeLeN 3nywzve5a6SMvVE2CQwccaN/g+DocDnmc3hHgTddSjfBLFxLMzsXefXmViqLrWjNUgQ1IWcNi6j5 YOfSmGcdTcgRQFXjrKdZ6S1HzhfEnAlbsnbl6va2US1XNt/UQCreyMLVsBv/h/PnDj7/LzcVCvrj 19n12XBhwftktjtnE56HtLHTkVuet+ANpqhNUhR4K3lDVd4Hz+FARGI8hgk6WwOey4DAJTiDwYtt Uf5Zrk4KKkMbR9AHICZa76BjqZ4MSl6pZ/vy+X/psKltV9CIuzlc6OMIGCc0/IaLiLMxmYm7l0Uq OCa8mVmBi40cRXVrKflgs7RXAcCebED0SVDXJZMLMI8GOTwgebxO2Zu9bqR4yOlpuulacnwAzLuY zYOsweeDfmX57gtkrsk1n2kKS94brFJIyRC1BEdNK1RCsBMxEh1BkaJrmv4eemdhvNRS0447c7YH sd3ngkEnqBMpmBCzAjMNUsy6kUBf9rp/C73/EIvKkHWQc0hCGhf4OQJp+Kuc21msubMUGjoC5wa6 iXV1x3zoJvX2vktxVmAOHB1lUQtblV18sH7liYGgUQkRqLOuTlSRkijeKOsR/1+vzDETVn5JkOtq LY0pkRVKD7HHhFuHKllECASWjqqZfx3eMJNaX5MU17wxSS2ZnM2m8iUnCe6elsVBMMMnKcJ5q7aE +fHBIu+JldSQ8UN2jL2gRcYAWq8oJsEz3ZK4oWgpMHUECoFSx5KSyFdKAlbBkuysdej54Ia7Qr7M MrGP6LDgsxSF3shM+HM72KQnm6Uxps/S2R7FZykWJFEpYN/Bc91zi5xjY3TJHRe6YJy4E7Y5gGJ9 IXJKVgUu9HWs2m+tyccZ+VdRcSmD1frDMvHiB/xLA5H9SsSm+YFRBNaOMCpmBc4ZgmNO71dW8btV gVueoMTejsxMUG3zwTYcrOTOpS9tJAiCLipJqgIH6BFg8deIptvb/lWWZLHXNJZB7s+hdO5+tmTB h+Xz/1IWN4cxVEovMDdwd4Qn1tlwFGc2N+dHKXKtK2K3hkdLsb0jUZSVHQkNnsXtExMRhgsURca6 pDAJqmlnjZUfyt6YzF/b9rZ/k4okZNwGFZcaInr+3Q1Q+NDfcV6YIKNHFLvbfFZSSKXc8qNx8ZbM /UZehZwMO5WzaQSBCy5weMRK4uxkzVX+0qyFcMecpOOCmdARHJ04ADZqA9remaeIY022vW7EZGLn G51BGyzwLoOMkPMZqfL597N77W/W+yBOcDxLKTSloT7JNEkJl22jStJMLvfXsX1slmJCZVFesn3w pu48y3NMyiQVEJRHpSsNzEQnKhElPZbFc/rrRkJJogpSRoyDRUtk/uILT2DB54Pi0vq+4TJ5QuX6 ruTQ6hFpE3z6XBWxbffHGlJkl9VjcfZJ4PWI882aTMuHW1xC9RxWLLjioNZH8+dUJGx5vj49sySO TmD2KE/SBou+6YOuuLnytFlCvzJwe/wvIAehGu3RNEmf11gpgxkE8+tWDB8AOL9tuNpLebgn1q58 jZQyBJCzOMvTB4+Uzo9w7j60M86s9HNNG20O7WlU+2hS5SwmREqvcVKGYpvUAXL3wUMAcLpxXbWF p19mJ6Mtq9nJplECVUYuIf4o0eRIVjM7pKtlV7mZInxtIkzcUeQ1QsoulNSbBGzbvEDTEyCdfCxh jZDEROk3aCKCGVkRCQK9YlYHBn9/nR2Iv5bvFqWLRgJCLORELSjgvs7OWeCGecs/zDxQdKG5AGfn NTbKsMZaBJbaKTTEzKEUGV2kXc3OttdrZJSl8ISwsdzBls7ZZ6kTrXquswWsqVgE0B8oUMNA0dlF WQnofo2LMg4QrI4+SDjloMlBOTiLEbHb5zUowtRa1Tbrvu9kAA2bnNeQKJtT8RLjhgIT5eppSt+X gSMsrBgSvQZEGbw38pVzvoMH11Cvm528txPcNpisEVfK/B0rrdzJobrh5zUcyghnuHIKkzTcrebk MPM+Kxv3PpR3P6/REP/Q8jU7SLpKQejE2XmNhfAQskkqB6ixveZtIVGzQcSSvCysfo2E8m2NUF5M g1fXAAVviyJ2+B+TzB1nl5UIiFyIkqaYuNSrfQ2EsmxsEQFxXjnYFQ8RL+K2+gmpX8MgPG2yNtmU NKEVSO11Ts9rFITtCL3jsxWsSLvKcEXo4TIcwLFMQ0e8xkDn/095Xy61atdXPxvGVystxkAL+CPD tQHZwJoCPcAFjkgMp18joPOqCMlRAlGxvzWvhJwksqnac9bU5zX+wTpo7WvxNMDquN85O6/RD45y SNXOXl2qlsIelm1sZyXRuFvOD9HsNKVi6qs91qlo0kJDXK6XHfwU4AISXAx/eqc4G+5VS1zlahDt LWIh/mirIY1cQylWHp/XuCdLiuLr4MF1Jf9mKnRHpzI1o1xBC8UPP3jKvGiIJGe+s3ZeQ57s5Ihe JOdbnoOnzTJGt8L1ycggVEsTLNRKGM/mxyJ9UhHpNeLBL0CyXoXqsw1W3EeaxAVxYqbPa7zDN/w+ eCoLwcN31mudETuLCqzDqx6z3951z+ZWXGqF8ItEx4JjWaijJlobB5udATss6GfRzbF0iI+ykXam fgKyBYbqhbA1qrJFs7OJCgQowAZVYuGIxM4jSlif1wpjVntGs4OnzlELuJtfWq/lxawuMGz4XLSu Z9nIZyCpbrWDgSZFJKJjmQI2L7ZF5ydLWSi6hGpuQWiFpgcOcBqzER1kyLWkVw2O5SWJfi2hyyhE kKCuPgtCr4XF35eWqrUDiZcvnncSG25j2lpLN+uX8ff5PHLcC6vUxXv6UbSsplkn1kqDT9CEREeT 2QO6FJ26kItiJBM4LxLzTJ622csG6wfqRTC0GT6o+Lp3LTyIkMp/3ils2F7z+/CBGFdVKUhMnmCG kBXCtdnCnlrqUwkaLoWU0IIzQk00Q1OGKn34YEHhOYABH8IySTDUgUwkOkL4oonZKrRWM8Sa8Wmi 05lM0WK4tfwYOeKAFCS78vEgaE7fBxAopr0+M/QeNUM5oqCF37Y386cXp/dDhJzt1l2jsFnq2KBd +2BH0LnOobWaqKZ1ztKUWVDMmWVp8KyBO7CcQpl7FDd3ZRO1Jh80Qec8IvwHisXAqkXBT/cziNcH TC77IP2BMxSFzrjo1qZdkGbo3u9rT4c7nN/d8XxR7NzlpaLEoj2JBaQ1+jkmgNY80V0CzlGexLit O35Vlk79ClNLJsq7CA3t2Is2KCuFpJyKiQXI0DB6NgyW1hAy7j5K9hl6D5+RUILvAG1vQ882x4WC BWtrCLY9Xm+NZohF1C05VQ62yxoA/b1LrB/AkEQ7w0ZJC9qp0MaRelp92rtGxxBin7Z4GrVFCQot opZFzz8Befu8c9YYQq2vGdIqhsGLTVEUQnfyjVP2qyxd+FVRDZ/VVvQONEXvMTR6Saxo8IbSYNts QWPkHNCirFXIii6hrxC/ApJaHk8V2vK8M9awlSkk3lUA4qA61/lFdpU4eCmfd8JaBkrYT2oW+wEd HeXe9e98NXzr2RCLxoHmXHTpMztfJfEF+xAVN6I4WtWNE49nH7z0g8ZVRbGQjfsTB2xTakU9jPX6 exDZSR3F0U2+PP+tbpw4MYmQ1062+nnnquWnYm+hIs572klrgqJIetLaqVqZfm12idS5b9VVoCcQ fJqgKJTuLHB0FVn7V4MMaGvYHRJPhCRsM5ReTBf2IszR/QwKF/DnnamGdUovUMra2qAZgkqQ+jbn QPq8E9XsvrUZ4no5v9Q5ZJZP0Xs4DfUkSh+4ffyse/kUSWiLJnyLLoUoREfx9KC8MURbffC+DzT6 K7gfABWfW7FOabc0sh/Olsi372Mtjfd4WhUZbK9nUBFoTPZJCMnPn3eSWn5K9fnHfS7LHp6MvXPU cAw1NKB764YCLeXK9cMAx+X6l5egw5Caf/aSyPF6RI7h8DB2gXAAAPwUjWLHg/ExGHGrXjF6OGjx O6IJwvmD1NcHHUPQ9lyWY63PO0Eti5b0fZWdA5aNJ9UQo5CariqT4H6zk71dsdy91FEgQaIiYhhS K2DM9Q63c3gOog4WL06hDeEaYR4WATywcF+3AE3j73d2Gla7uPyN1s0ctMd6WRLEPRlf/ryT07KU YjRBcmCBfVr1Y/qdm4YiAA0fhgP2zn9yR4zOcqA6YnCD1AS9R9TZZLJ7VsksP/w9GDYjVWVCv/vE emJCL6fC5fAhnUJ56GWjrKxQC4I8fg7KOUA+TeLLtvl5Z6Zl+fo8S4hQJOY7mqGoDo2/nTK7Vi3r wy8y8BEcad3duuidl8ZaHXsYNNbT4NChvhs0tCh4VMlx22QUI/1HNRlEoAtDo+PDOy3tZmWlP4Pi xbM4FsFWJy1un3dSWpbd4FdWhkr4Wh5Rv3PSsMnASRujuUy2pGopw9ZbshnKqCfymH6npDG7Y1++ ssnFwbOytmCJQT9iWNX1yUZGhwv2+XmQOz6+39IVeWeksc+DK2zROEuDzVBtScX68xqfd0JafkwK bQ0Vemj4RfbOR8PpDqbVg2AA7t0hQ19omOKVj3c6GtIBriH5oGqwuz6lTlnaJgmfVCYJoAt5a4c7 TkbhznZZoX7mOx0tyyMOxVaxY5qxYwpkuZO6zmdxft7JaPnBV2tiQbJtI3s09M5Fs4N6p+EsK0PC MLOX6nqTTraFi+9UNEZDW4tn+uBF6ZZRKNuSFTs/AACXcAKFFAQqUZkmQlazRzb3eaeisVBDMFUV aqheibrz84hHVvdcn3cmWn7wsHYCQJ2qjTtD7wF1pknvgu23V8+W5a0r+7RBB2xZy+edhsaYgXdY nXfweLGNk14AZcumPDBCPIdQL5oqZmGreUds6WXfzyEqa6AmXXzQDEHXlYZbZaX9eWeh8W9NXznH 5Ja97dR3EloWKAT9VK99kNilvgZXsdYQkEpaQ+8RNdBguJqWELHroTOe+BnSBrXysoeJb6MmTYV5 ZwOaDzG9J2Vl6F2DCSJKiKAVDNit2hrnLtAug8fQ552CxhLx9wzhoK/A9dsMRfVp2PWtceX6+/qy DRlWHSrwl7IZeg+oUTxmQC2W3nxYehv8/HP0SNJgF4gSkwwCrjqEIX+AnrLy2SBW+J1/liXbcO4w TL8NCoeAIdUSAv/snX6W5f/xFS+CVTFvxvFOPsMxtCCcty7Zs91jqPdbooZThm2y94DapcS3TJ44 2ASdzzkpB/7P6DevQltmZBx02QW+YV3AAh19Pu/MMy4hax1uH3STnauepwSSvPF5J56xUvi7OXY+ pd4JeuedYQWp8dyMdzaySa1k61fyrkfFUCvonXZ2A+rVqLPGgd+4UwJJH4Rq7bFSZStSEWAxXJv5 qvWz1f55Z52xwcXTRwuJg4EWIKasVH3kzzvpLKv9+6Rk9A+g5pQmKAR1gIq6mlUSYSrovjNzXDuD J61/Z5xlwyrCPGX6YBN0TuSZEgwGz646PyLsPbALWVEHCLLzHDD+S9G7BhMk6yK2gjRoi6EHx+ir nvP68843y3I9/Y4Wk+s9cYKieLqRq8vAi10OT86QkhXXWifoi5y8d7YZYyqcQRBE9sFP6QovmEXx mQSjsGWmGbit5Kr3nNIAwX3euWZWgAD4gQQYDspZ4ekukdWTRX7eqWYMaNevCZrAT3v59Z1phrOj kI+XHRRcTNWQCnTeBqLSkyboPZz2NtCWwup+FFb3PAkd+pF0mFtQi2nNW2mVrBvCXnUGUQfjnWaW ZaIHeAjp5g2Oouz9AF5epckN5vznnWbGsofPEMMmKgRuL3u8s8yYKWfCEsUG3uAh2RKqnXQhNsoA UBT6JSpQA7Y9oP1SfNAegz1aOgeqJPrOmm6ZeM9FA48GdQcvegAIyjeN5mdwaym1n9XZweefSrvo xL3z884vYyTyawEhx+w3ln6nl2FSuwTo7ZY3tRCiyuvtktHrUNMTFafTUNlsFB88H6sF3FbhEhdh d3IxPBcW2QHzMXFkmPp555bxomQc1GnAV/t2WCJMsIYEZHr9vFPLsBLSd4ODTrKmYYgvjUJpiGN8 Cc/CH8/O6Cb+HatCyUlT78SynMzwe8Kf1wYLpc8pjThoyviKC4iNCHSV6BiZCaM2kiJRwO/EsuxK anMwYORgDY5JQ1FchvgR30NpReLfMzTQrb+HdBRKk49jMA9e88VvsdKag2ASlGE0Q2FxerF/2Ihk GORc/0yiYDLONbcohKs7Utu0YRR9ckaI4j2iF519xHdS2YWYwVCcA7JnHkIg7cm3CKijzzupLD8C YdZpPXsOypA+RWEsreK0BT0ne+pOdR3EJIiVkO8iCovTSSEQeeMcvLRIcdBO5SuYFvUm6gZymY7q yHyUr1hO/bwTyu4xzbTWBR7nj2R5hzoc4+y4zzuhLD/eV5oh/Dxt3j7iO52M84rDeZglGBjmT3Xa /L+R02+rLb6zyfD21iQz0930zBC0ZSa4iuy0noCa9mkLAJuBlIPwUK8LkU32TibDz8lufct7+qCD aKSTrvAgOX/2551Lxnf8heKE3kG9veh3Khm+FEj7QWNWmobI6ZumIYzG5JUKaHchUDGOppWIcSlx 8Nrihn5G9W2GuIWcDRBCJ1Rjc3+A9jxbPu9MMgMkADlOtUJC3KWeVoDXUohc5+edSMZd+r3LMmC2 Pa0L5QwhHwVslk79dNCAOj7g/2ApAKvL8x8hy7+k6IgpiuLpycsMOE4fHNBwNt2YQL/Q6fL8zJS9 3DTxYTk9PRlZK3rXYJux+ZOT6kLJ60KJjnaMp89y/7yzyHjb/4KcEQRcPCN755BhDU1KzaPD+X/b FPlNan6R25oZDBVfQ1F9urGz0wsx9r08JoV9degRDMmDn8C6kyXdkYhNeN5mHkQmWMw+4juFLDtL GqaEPmiG2nlcyjtz8/Gocva9hhjH01REM/QeUKMVzRmqgtSvDoSsZgjbw91kF0UKOz8n2mW8zMYz eNUDFo4T6RCahpCjlAcfrsqBWkmW/p7EiklDeKePWRELJbO1fLA1VLtsHM+dUD7v7LH82H4raYWs RoNstc1QWJ+WbEP3ytm+uKqN09MwMfiLBZgOEdOUS13EbGpw4CKwZoSAlA29q5aJJy9A3LDuLqNL Za2L1hfv3DFkrZRJafT20mBp/dnDbCS22trnnTqGJZjS1wxRqL5Xv8vemWO8y+BWRF8j3PY1sTDE RmK5hrsnH7fb/p04dnscLAXYYGsINs1nFZFmD03CRHm0s18q4QxnNTy4V0CU+R1RWs+6R6LoDgej stAzmUnHHp931hizq+/CEAxvKp1sNUEh3qMPKu+6mewq3gSao3gTqKMcqAkKY+qpdJXwaQ5eGNpo 1C9JXXcQHSSoyusfypytXN2PzsLQO2MsS3sR6di6g1YQTEFlw7gLHw9WUPWsDJEPfdFTvxdZFFJn nkJ7u5xFuUkHdPK8cgYihyYoxnvggD67KPngWRmcBAra7FRIWyjpY4Ig3Vyx0gC990Oo2bsGdQ82 OPok7J6DVtA5hjo5G+CAfN65YvnRsvBe/Qay/F5kYUC9xWRpVvegc5vk0SCm6DrgVEvv/JwIPS1a /ag+2ATtBhkjdF4RUAPjz39J5T0tcdoRN1XOkt41wOWRc1iTFlIyy+MMK72Rjbeb8XgQLfbveBoN hbKTlxZbGE+LLe4ie5PCvsjrz9HXbtkj31M6Kk6Dc0Is1fbBJugcaowksuwbzoq1/gZqn1Shyeux tNYpHcI9GEhDusAHw8NUMkNI/x14PEKdeW2RXUSAKPpNW3sUT3eiGcjQpjRDMQVQxMTp+jhi54nZ 8h5P+ym9sfBt8CVUz17tkB7DIdTgfkHYFehRlfHIvN5y1Dn/9CicTioNNab3HLy2OKVVd0LR+ulR OF0ubUz6BB02hV4561E0DQ38E69M83GcckyhLAOt2LWEZrYmYo/gHoNHy55MODh4dbr0hpiBh9DA 1V6leonDGEsXMZ9lZFSE+vSoOo1iCXQ9qLRbdvE9dpIGLvcfIIc+PYqmU/0ufLBz3LcfQj2MprOM Li2rh9iAX2N5PZ7o3XqIPapOqzQ0IF5og+esDYi1LaEYGKXR4Qk56/qZ0BzKzNaM1ItLFF8SlYYI hJGdTCrUUGNaDys88kDHufTxfEA9/MUAQtEWwCrvIvaQfFj3tbWgd0L3vL5vqxMVyMubTEyP4ulJ 1Nm5n4oPFi3CFwmZKjvRE11pKTVD9HUi1yzUtXM9hqKXjbJWzBFASD7oKmMswrt+lonHg5P6YoYY e+McwqFiMxQREAHrhbqNYYbQRLcZysVg1WDaLNMZ6GE8zfLZrMSUcfBgCA2fQVwQumQ5UQUNdqkZ Lh3Q1LlCn9R7w3f8rVVfuoiIsJQaP5LXz3TkRL2w4PFggkb/tc3GDy1zbYLCcBoWBL1kE9dnxca2 2RouMZAH/dE6P+dvWT3Mbnxw/DR8lIkAQBMIxUb+y4Qt01FQwpb0c6jauwZZvQTRJwtEpgeDCToR emkKp3FQR+H0701mIcY9h8IS9WZjA5kWpZj6aqZYBck7M5CjXLomKC5Rk57Q1AJrj288Ov7wVcdd f/73U4vs7LGzFSb96AA2usqVUy8b7LHM9k8mpqpld/U9/3JWsaXOYYHH/0nk+EkstzTIzdoMvcfT ZvUxO93hqOk1rTB0Ahcm+GDP1wWpKZ7UUTy92aqH9akPNkPnJKyIG7ro8426uiNhNph3EP3lbo20 RetRhVraFEP6QsP1hWBuCcgxr7I88XjEY/2+ykCOOKv7HkIRehpQKIDAhZk+f47ZxAByME1Rv4wx ii2hCOyhjGzMbgMLWbzKzoJaZ1LMz3I1SLhBEKbwmG4S/fVTCLam+JIIdEaWVFl30Cbb4JUymjlx BR4PltD0gJp1bdwRdd6svocRNW6yi7z3e1+mOuwObdo0VnOz7FGFeiXzZ2o++DEEgf5SybgDIXNM YqpWRXpL12UU5qy62IkP7hHeA3ce9ReLD5oixNM6RxZ2WUhK/LWIcoLQBV9MbOgopJ4UiZc6LBPY 6if1cv4mSJtuPziiEvWkMdVCkm6DF88WnJhKsawsA6rHrAwnJRFGyDOnXIeqvWvUCOIB1Njm4KAZ Qg+RLSGwRPB4EFKP78oQ1fe4uDVBUUhtaeu0aGgzeCdJ4RwZHg2N5RHjCAvUOofynD5cHsfMUjej 0+dKU10OILaoaJzZaTANL6Dj8CVR/ZXZhtkzTjejzLuj1II/ezRMUVyi/tVvPVFsz7f0MUIINWib k7UclT4uQDg5xxW3fTKawohK1DD7GwXNeQ4Mv1AOAvh1rAEbOBDKNqr5DAgS3eNR+n7wwejUDHxH gO2Uyummpkelrbpu+z0pZvuD4B+PB6WPW38lmgCKK2XcjvSIQmoISJy9Zc3nteSnR9SQNhxRQ/nu siik1kEEAI+G5DME4bcTShP+eo7s3aZLCiJWIyBm3dsejTZ8R9RMlD51yj4YghrkRnIPznmAx4Nz 6Fd5kTbf6zJdRhRRd4ouNiuUQVnQjyECGYynMIrvsiiiRniDoocC6+4tmkWlmROwky11lhbo85QT pGQ9teWw7JxPhh8KXxKdQ3JfYF96kAVIr7dJTCR/kxMk4fmoVXZDRm4pLFxpwHGOoqB60BsmJ+8m NjLtSZfibeHdxKSsY0RB9bJu/Rg+eDdxIY/f7CYm9KOhkoH7jfLQYIL3i/vYyd412GYsoEEC1geD np1dzJpvPXEDHo/OoYvNo9M8VtvTCRohhnpQSchUGZasS8VUUNGIsIbtksIjwlAX4V8HtxkHr8Fu yDXQ3AwB0ZkxBd5cVwV9LXAvrFVGeOcIxT3YKtuJietOnrjCbFiOD+0MeDyIiNZ3iRH1cX2/Jigq UuNeRlBqRepRaQnDCar9Si1KKDfxc6K8jEwy9RTxxj+MC84EFfhuoQIJlRA48tLZuqFnAYj+z+QS sl12Ph7fEcVDanNQ/YSDJqjAVWBqV288HnXKfnXsUZ+GMLZNUBhUo9t6YhlTEJwSGeI51LerUlWa 2XGCwqBawJhOxh0Hb0eXc7O1NtmxRx1n8Z9kAYTBUyjpOnpuLaEwqJZsjjl6sSzAs+MsLQQnPH73 wvNBbl++4WcojdHSwKYoDqoBoqnLLeJFtacnHOTh1W5tl7U54jK14kBqxHDwgOisv7Y6G9KsMMoS bsAXvnPz0jpZhfwtBZ2wTM3VU7tw+N3ZEBDmKeqVzVLweDBD87tlT3Ap9Es0QzMsU2OGBi3EqYq3 r85yW8kpZUBFaYbm32XxziGzfLDyUGb3J5HKASsvkOxRyEcNv6FMTCaHwc/o3TFD1EdR6pq6D4qp T97ShDI/mR4eDyaofe8yalPB6c0mKIqpcQCcf1u0t1Znx4BLiGqISl035UWRl82QlchzelCDU4N3 OgYwnmZQCZHlzlUG3tSPfHX6VeumECa+I6rjM2Vt+Q6WdBTRcTFBBY9Hx9B3RH12vuBvNkFRRA3T WnjTGLRqz+USnZ3y3jKnXG4DN0NSIltBozAY5HDDoTa50SSsCMgWiooAnJ+5AYhEB5KIrRQgmHGZ mrAYeVHkx4siLbQsf8j8rXj8XzNEkNEAsTX7KTSjiBpNWhDtDOO5i8t8wCPJ4XmEXmmGwiL1UAmW wu8cbsO+oPOnCiPEgwdR1DThrhB9o5CFeS4Sezb/gflYrfuQ7Jgu9PlA2JnxeMTk+K6fFRxl/QKr ZhRRV6oxbZsgqN44F6hvU867Vh04hGJOIgW698o++E22sV5gvQdKJV25CIJFdNTAiJTfogHxl71s sMkYBTVjdBTfyAkmDlI6K73i8aCb2H6RgSSf6sHQjDEfOJwpv0W2FMMugWDzvtEiplozFIp8CLgo VS8OzklEGlOL+GQIF6dAsLjPOlBWFCKyYIhOizOKp6sElffoPhiwCtEXO/ZnIePxKFr0PUaELpB+ +1I5ZoT5QD/tBLilWxW/pXFLH9P1GWbeZqswoyL1Ypt5ZjJ9OHiR+tztLH/QoONk4DvL02uy+4fr /iYcJBniO6ICI0U6FQt1eavxHjs/K9uXPeMeizU+7j0m+crO9qPPUEhJzI1Sys1m6HF6MZ2vKdKm hYsz1MtTZRGy2TY40vy8xYl2W5H+ANzsaADfUCxEct/2VxV/610juhSPnyG61HC61M6IJqSwtPn4 v3BVTE6gOrufmz4CfUyiYqojz+barsY0mgvpnEDIVV5nFE8PLSHaSWi4fY5WIYJP1fIT+4A4iwmi xwYmKFM/24rUBJrPKJ5uSeDgZ1DGAV2MJGxe73j8X7ghLj38KOVyNmdISUSfo0kArjElu05vvTsl MaHloU0Wa3wQ09A4QxwumwNS3FCaRMt+8SgiHUgCnUDB3oY0Sll814hcT3lYoMxsMOTZCU7lzXU2 HB6PgsVf8E42TS6mYUXRNBj9UPYw5NmeO/04qbX5RZbRLuISWhHow7L5yUiQg/Ol+lkocFGQTzfM WKlrjjO3gkxL25dvGe4Vgj5IZaZytg2GPDv54JaEa614PNLR8VOoqSiJKvWdoCia7vRPIMKEwWIf nrPmdJ3McdfbBIWgD3WAiqLpewmDqgZXMSif8JguHUhSHEKQ2KCGIhJrgw1hoeM7ItE8XmDF3ZTO 58gLt0ORmH4luxY8H8nE/Oq2IiKAG4bNUKg1DfzrShf10dtFfdD4UqgPNP40QzHqQ8xx9uuxVfGL ssmx0UJM8p4+AT5lH1B+5bl0rlHApVx4EUwffEdUOeNFVrg7OAgWs068yUOkgva74mj6G7xYEYG1 6c3WFXISkbFO2sqz/JqGQz7qqLeCD7UkTVAIoZaC+1x38HtsTDQDpOaVBpgKEnJnNF2t4mGaeUSe rb9zEieDGA12Sue9RZg6H4jHI2yeryA+hnotS8w2Q1E4Dfg46NBWGIIiot30pVxrCUDCdUyvmJMo 4UXNULozBPowBIVYwU/tXGuFNbYED5mO6hdai3aPbfbrVwT56ITfo5fkg87WjpoHU9ZzduPxKBb6 7iQS/4oCrk1QFE1Tu7PR+ooG77ubmNdKBLaoOk3OJScoVviQRJdMJcajd3aWzdlQiUpDJ7MDjZNl IYrjItHMdL81byliz1aE+ZB7C8U+bdAMVZVysXH6wOMR5uMbvQiORCPPRjMUhdNLvhtWk965rlu/ Xzl5sJiW4apWGE6Xa5Pwyy1hQfwVGvjEnqUTNHZUOpBvlJ+OmiaKIl4XoiAEviSYIfXJWhF8sZiJ Vq6oThM2dFYpHo/I9b+4LsAbP4JwKwqnUdc90WLeVlrUJlNpEURxMTlm9U0WYqi7dTju4DlraieE 2/TgzBBqYDwLMioqDxSybBfzMYfe9W91obbJsedgvM1zpnE9QeUAjwfn9P5Ve53Y5Ld+v0IMNVD4 54YcFiyO5LFQ6ebzXk526BLCK8Z8sPYqDHV7MNQnitsnkSxZdaGisOXk+aQNAZSSB5o2bLWWtJpe NgJRS/+1NR+s9nqSMolVnUsNjwft+lt75TWL8GxeqsuKwmm4tpj6M6PFdKkuQ/sNVz0o5TZDsQq1 zAEoVsXBm2T1zMlZRJRigkkZwD+YIfRCoKWTCTW3aGgMvezfekBA6figY2h0BKQ/7Ovw8fcZWr9o mwTJEcHIGdpRPI061oldkoEX1/Q1hCzH4+lzrlqTbIekxC23rTZ9uPBOkLGaBM8AGoKAKW8yYvMa Vvr1JmV1ekfxdMsSdx/dBwNWTVnN/EAKAo9HVJd7CslMYHyrvO/3gBqEMgh2lm2ieUPUX85Qm+5y O6+Bwg41Prq0g9Xh2F/2G/VcU7vQ5Lb2MjPgZmcNIcBj1CS6lF1lVMHfIYxajj+VgTUHhw3VYrCh PfB4cJX9Es0DYvOLDbRDGHUXr951dGZ2skuu+1dOphmKA2pJwlEkZ/jLA1hV0NaikHI6WQIlCZjW o0+BbTPWupgYHIf4jmCCWJ4eSbzWZIbMwDctClgzS8fjETf6GzUEQX7UY3yCQk7ipEKVObOdnz5d RTimzQb4mAb42DHgQ5G01YeerP5MTwZVkBnH2XGkjcOcBGL0NPNOVPlQD4hX2Y4i6k2R9zIJQOOg swOdYgaPqFnj8YjL4ceQZ62VaBPNUIihZspBkI8U4UxKkGpe3WaIdRHNUMxJFBKffnYcPOU42+l8 /lBOtluq5HIU+kfDXSt/lc5oc7VjmQ+524hQVjytX+ncCyrsnP81Hv+XzAeXC8Qb69Wg3qFkXkOH Y04XFdz1ThAd8DRB0HPRBIVwD6rgAx/qg+8xwPESrS8S1J1aTcvpQA0mEfC/dRQ+Di98R2RzI7AQ OxwctMcmtArFjE4Lj0d6cN9ZPSCJvd4m2Y7QHqmhMNQMP7WE9tEeI+ePE5RxNGmCIrTH0NLpDIY4 +B6DGlOW4QT6WrsS71CY5yMKhXKd96F717v+jdQ6lypn61bO4MbBsgW7iDsuT3+LdONWhMC6T1Co 8gGaghoopEu1NX+ujo6jzsCYE+Nux2gPlT2IaeDgpcUJmzpQW84Kgs3foqZeg6bXWUYT/BQ3AqLM I74jCBZZdK2LvOjqJnmAVKVWjHE38HhUOStfE0TusBjHnKCwOl2pibvM6hfR3I9REtVEJF0qbZNi 2jHaQxJdFB/g4G3WCrMAKQqmNCuqlz+U8T4LBQwbFJkdUbWn3vVvdjdlpzuo7HFOvGqUxMXHg3t+ 39orfj7oPPd2e2Q7CqfRWQM8WFfWyWAvoWwuar9L7qxaOL0jsMes0tARn4Mqt1pCQPZA2LSx+Lqh 6CFj0sJCDlRQ+o2E2ODYf5f46GU1H6xTfz6NKMZznTQ8Hnne/Crf49/VfO0mUhROo9K3DApMVF5+ ND54jy16ApH3CxHzFMbTXa5AvftgGUfZcGWnXwL22AYwn1SOSQf7875tX8WzTT0mfEvguMU4sU/K LlKcJdufm5M6Hr3lyQ+I8J3f6qbItPtTgSWB/v2xtG77B1T7TtEqKCVNATyH6md3muKgerA4v+5g 0zTOTQC/zmnWUmxXQgn2/K5ECuPTHtNRf92/Uu8yEw8OwjSc/duLpBda4/NB5vHLXqpD0Zq8W5ul 0N6lkXq3DRize9teRHtmCVeGCb6nEEot0p0u/f516Z8DqUDFkwl+b6kP6uROwJrO56JGhGqsW2r6 60bABh7ahRgitkq6QkDyTgjfPqcrPyCIjH7JnDLcR2PSpykqV4O6hG2tpANO8hfGOA0eC1LGM02x QSKNSVTX5+DV2BPk5d1kZI9aLFqYpE9BwhOltP1U9AkX5LdEegRJ6LPlg1UbGxuX7DJVPh9x8L4X E11U6GZvsxRF2OA6Qf9Gc3MCYqZrrKZRRFsOFBL54paLatazKEuTwnm9Hh3zJPJjd+iAgP5y5oHA 2bPXoOMJmgxFYK1alCk/hG+JPCi4mAbJZhyU659tncUy23BYSCEIpP5iu8IZdvZbUsspLFwTjCY+ EHhU+EmE1pOht8BoqRmPiv6Pf0n3RxVSpj5ImQ1e/VhUICiICbBvwAlGXQQHcibUwMqOadvrRoA9 6sEuLiYOVjPafcmd/qR/fP59mmq6+HwG5udEPkHoY14WCoAgox3F6WarTrvnFihzP+JSjU4viq4P ClYTC/xdIjL9S0RmontP2CT83c7fg51y9hwcSBaM0jMrJjqaCJzit0RaTZQgknIlBwPMtLrlt3FO cj4flfh9z8kghh9zTV9SqAKS6PRLhzLsPnhYaDFZss8DvNTqey4sYctbWyacHLz+iDIAiEA8mXBF oDaQKAYFYjXPBwuYIJultw2yki1uMEtIpVMIEX/Xnkvk6X4e4vP/ajZKTbaiUvBMUhh2L8pbWHHE scSEpo3uNVoyG80IL5ICkZXAmdnlg2duZ4rP9qKLGSh4qzFqoOggBE9+en609eSMnGKhavaKCiMC DlpJCTg1hgKlDT4fbLj6XaUFBmKmrzsuhFkvZrfuY3ayOFf5rC178kbdbJukqJI9iBhqu2cfPP8/ 5/QJZjoNhBa8SAfbRWhatw56zHAx70L4Bb8kKCFRzKH1Xn0wGDF6/WTADkaVof1L91OJ9yHiJQRd NkmhpeKk0qcryay2ro7lZJfKJmn5JAWeisA+MHXbIn7s/TDxC5B6eZuN9ERRCfnJpszMOYshceWe k7SRzoGnovOowYTzwRKUkbbQIQku26Gp4riK1UTxwe6tXCJ1Dl0V4TsOc0jXiy1XN7/t7eiHigPT JikCiEg2ZY19B5sk+EOuWsQ+6x10D0k/JDZrKTT94PiSvW3QE8nSjiOBiINqbX23ycYjfmQ+H0Te V1mm3aVUrg1Mjp0VE7jC8LGWPFG3kwjF0+G1gI6VwVpADq0VheU7sVD1wRHFJ1g6SyhTO2V3EI/Z H8Apiv72D+XHjTxUmr3t3zpHOI40NAU9uAVM1QHlkhyYK6p581X2F4Px2W9RWRsFczAbvGCSDLYG ChoZshTg6V5RyqG7ompJe5c72FIaZ0+1gSYN0GrgNFFJ7kQoYFqe2eckmcrV8LeNtEHErWJBgIOg NKPQrYZ84crn/9XmV/WAcKxnkkK1vTmpHHt1nKoLfFdSFDRJYKDafgvJjCaBzgN8JdevXhsa+ht2 XUTTQE1F7oGLBqIgYOL3teykm41pLBDC4nYmdA1NGy2leW40Zfk9waYztFgsy5cSo08BWG5BILBY RLSM5qMsFomLGNn3WymudtULK0tdHxT1sllaas9gS+n85OdQW0sNAJzh/CeFpUYwLBirGOZIQUBg soj9xoutURCMg5bSpAArV0evfD5Stvx2zYGdUUGzzycpJDQmpnCzW9DdWrcUjh6vCroJflGiG9os WmG2rTt4OFlOJJ9Am63w+jN7Jiypcx6hZ087IZ1KJam4FBotSsu6yWGIgxEd5krygOtl8/no7P7G ZuHeamU9Gy6UCiFshCIJTHSFN1qEjWQvBwxpyCZ9UMAnkqKeZK/6fHR2T6aLYJowbNzVi5D2tE/+ DsbK+GHVwqIAYBv4JREEkg5VUjFYV8VgrpI684rze+j5/0VOBSWKjsXskxQF3UgOF7GAbGr3UR9R dGc7wN02+SSFxW5SXmllb8OdpA5kWqKQbMGnZi4lWqBQjp7EWYMfpWmvGwnH2/3ffFCsBNi4vCfm ynw+Ev3+PpVgWY1S/J2lGI0N9+BkGkWodzvxalGDW5QHZBM2S393Mae5pQ12difYMSR1tlG4mnKv JPq1Y/oXawHquq1kbxt1lSQZQhgbB8VKJ0NQLaCdX5fPB6lJ+RaZQ+cLAsk+SaHrIhIoaL4u32/J S95jGnD07Le5PckNbRfFGBrGUnsuOHjIrtJ58pxJKoXK+me/YVUAi/zTH210Adly6Lsof5i65IJC QQ0eShOtSayDs4Qznw8mKX/XlVBKPXv2Rt2h8yK0GBBFDub/qG54JQBubpQyQucEv60mKYRla5IG 2TNjPOyZXERL4/1W5tR+O9n6SYcIv5EqsRhq8qEOrReFy65FRhalOgRgVzY6sZLK5PMRlfib5sgf bj8eubH5Is1iqjdPWu3OMDoXkBFBawbOSdstcF+EWRJPbmH8+8PYP4cEvODQFYHKExtYg/6Ug/Z+ 1Vhqqr3lmux1owSO3JmuqBvGPOIn4uLMvOD6+SZ+QIS2+WXYjd8Lkb5PU4gm6d8iaku+d2oLsK6p DTeul3BgwQiwBFFthZJPHGwtQW4GhNPMPmtBawC1t4rq5IaoQQGl6t5w2143QANkOTUwWMpKNfiH wVKCZaWSO5+PiDTfyUmWq+E9u0MXRjA4ztFtOgYbttpWMUmuiAn3bodt5cCG0ZnpU50mDjZLgKsD pUXfGJhMQRQDcXcl0bH+7Jxuv3sue9tokhgkDQWWAEJ1MSHKSROp+ot+PT8gArLP72AJrYp+xR1z 6MQIvAT+EiuZWBlOfCNrhEOG92YnoRXjsnL3f7sCJ+U9IQUMBqX4hMCLlCzYsDTKXrdrEid1xxxa MWYuJVq72aAbDnoubCKfsLvx+Ygvsr4mCcyCNq6fZw7NGBuBAWWYXs/u3VGk3CPmIAOVZZukUJ+P kwROsg/e9y7I6ABvp0kTNIbJGIHoVm2sCFwhVcjT6G0D7ATPIzZXbdAkneuSBu2YpM3n/+WyY5qg gmLcWYqK3Ti6zmU8bzUgOzigCjIpfAm6V5qlsNgtf4tCzWsONksnIq079SVSRAGwhEtpUUSQlwdA bo0bzmYpRJhYlZuORBwUdzd0G4z/OPl8JB+2v4IlAOPaVwoXejKS5zsfuy/yC5jnDoahwpgQYM88 NzBlBExJxDUFlvMLZLIqcBS4JKHJm2EfhxIlt9kkVunK9NERkl8S3HCMt+tMwwehTOoJedZ1ZcyB LSNQKr7faNGDZzpI+D5L73G3pXC7mYPeiZJTt6W0VPgWXjLfWQrjbiJM2pbR+573VGoL9xb5RggE JtGpSOFAtKoAh7GnOtX4rt1eN1hLtABpU/HAvN6MsOEVERL64Dk0Zxy3/CYVsV8Ekhy6M0L365yv 21Wy5nLG+hhXJQtXrm240J5xFMlksRU3+yOKeY6M81c0kvpT2fjZ2T0AeqsChcbQ19ve3d72bzEl Gqo+GKRrpS0hMZa7A4NGdKe8ZsIAFJ28coUhcujQCP7LuZvSctRbuqdSzw69TRIW7fqg9w23DLPE fi4HT+HOPXLytd6FLF1F0J3KYjxxge3BBoxubxtxteQHopByXBXss6mzeDINGy40aRz7l3Yo+hSP i2WOXRrPhQaIrLXgtghI5lbgFmlUP7KVFCO4WcPuajL1J4Vbg/T+yu4S9K3wYTD1Pt95blEY7Y0H 407sWw6NGid5AEURJQdDKJ+IUvDJkQaf/xcCF8+xGFbqs99iq0aSIZebXmzX0FhlXvb6bPXOUhh3 iw462KjkcGOl0hb0NVl+28AvAY5DK7VJEFRaN1iynnfg1gj2MXV6U2s+CLR0tpzq3a1mvWUEDfh2 RG1kPc9bDojtGlG02DO7/GO/Ys+mHUGlkZ1MrCYHfo3J5Hx6IxuAg2e66GvM1GnAUwvKJ7SuBkqN 9K5MULeB3fOy1/0btKuJdNOcdPP/GXuz5Fp2XFnwf41F9ox9c+c/sEd3BxhLmQHttDIrnrqVIcWG GCQabwABP5HhHHu1yecjFvuvlJKudE8eELg24o+zfjAjUyK5IOtwS91ukj6F6gUWpUhuxPpvm8I1 XCxKHWfSSgSbVpTSZJAmGqhNnE1Q8rvEm9TtdaMbTiKrnJ08DeMErQhD46BrEjg3ftMmlDWcmxQe JR6lKPFGQXVK0Uvf8qYu+m82AD97qeXtUYpQJhr4d6DbbfEu5Um7TkLUpGpcCzShKdmLjAF/FEKW bFa5ur1tNBVoarwVX9SkhPnLFCSCx1II687fWEokqBV+wh6ksN/dgPIgLFrAruqqI01TFAK7+s4e pL/z7l7W9MW3UobkvNyKCi2QgNZJBApNCDbnReln+TntZa8bfHB0m6l130XH0vlnFlIzz03X+XyU U357XuFP0wi8tCjF4G5KitJomPSAtk1i/dQTLNw49k5Gt82xgyN7uNg2vjiAolfIp5Djf05WgDFI BQTwjj1ifJ63Tdmbve5fIMEymYBzsb3Ui6xkcTvw+SCl/EUGzAQZrK9zKbZEh/78Zimb6ani/nL0 GsoitgOAZGGKBUhk5E0NGy4KE8oTqIlSaB3I43NO0cAAHTOAvsCIWTcT6MteNyKVslE5NK2k2Rp+ C5w1KR0HuYXJ54NM4PFLVbHbMQxcdzuFXo4UQ4IaraWVRGyIbUIamU11mxe7gZkj3GnNW6X6Ymnl +fueahdfE1yJz88aUmYHuruwA1KvyvEgvz0HZo6JQs5kA9oybKhrADvHBwRujjJesDDx7WAltZ6W QGjnOIk1mU159kn7pkdJBBAm33VdrEno57jMlZhmfHN/mfG1U5L0yZZAPsU0yhRsuIZ+E9pJVP5S GTeUMAWGjvqySaYYvlhLYJtqQq1DzweXXPtFwIVJWUpPkKLkG2Kk6ER7S6BXL3YNUFGpGrWnBylM vnm7zWnKNrfrDWW/jp4Dy7gBMJ8EItEzBBLrC5BTslpwoadjVTsIlrlYsAeL2kstSRD6fMuLPyBS pv9FdUdGkR8YRWDrCI9i2j5Uhy1x+PxNoWQPbt0SJfZ1HALktOGLbyWoim9KVCc0mVj3Dsr4nyih EYM7x21our1tUKFQum5uyiZwsVFcl87lueQAWwqNHb9EN5g4ALpSnsZJYO2I9hLmJmnbWBc4EUuY yA8xJi6+EeUCf3g7KhdgCLj4aABuyRi8ioo72JABnRvtJaQruDtc5q9te9so9+bprayyelYJiYbS ePLWBiR8aO4426V5aRgHW66vvRRyKTGM664Ref5rtsurKO5yUFCs6pIL/B0vSUcS2s1brSjkzucA Oly2cnfh5EPyDYwXEHeZx4ijTba9bsBkko1qL8MXS75PkipU27kf+Pz76b32Ny4XANCeH4h34PGI K04admoFLHpWmUzSHsmG3321G6Uo+Va527dwFPvLr3jS912EgXW2ZabsKA6Qnwn9upIev+JJtbYc 2Dxm15fo6S6WMe1ZDeJd9XwEXPLTm/LVYJzNxx4rh06PEEw4hfr2MPE3yxlcetqywiyefgdWj9iV 6izV5ou3vXuleBfb3hhLysmQuj8NmhJQBv6lSJYDr0cVYq31zXFT326nOsEokubaxMgyMHsEJucX fLkidbhOfZ/XbKm4h9gw4R/IzTqR6WQ4Dsmh8iNj9JorFShHUmectZkWw3bBzo7W1+LnVCZ/oM0R 6IHKp/WHxYRc6TVTKhCOpPLxBE5Ui+UAgF3xwjoJx+c1TzovuA0iWEQTgP3GpD0W79QoOINGItMV tAk+I35i6SAHqmuxoOIGCoKjExvYW198tnTygqJrnnyTqrE4HZ8ajOMyEHbmdWDw99foJGrCoS/J y5+LDux9rjP2Z86pedLt1+ggdfDoCBUASjlkbhmd1+yoyBoL17IZrkwmm65R77byLB4YndfcCFtn mMdj9cW2Tl/nX5+5N2gIfuLCCebC3Y4BPTrVrspKRPdrZlQ0ETpBaazcuCg4EO8yKs5un9e0CKG1 zq2CU5mZjWnBeU2KsHUat46J1qGpdl1mZdPHjiROXAbnNSUq2TgBc8l4brnx3KKvbNqQKKaPSKry PDp7lfz1+UM2vILTefK8JkRFfvDYMupJ4l9WpKxaaFWPEuRkXJ/XfKg81BJXAj6Pw41N0XlNhvDQ ArV0pmzEkjwdAbBbcmJJR4ouB9Xow9raENIFWI+kBIAX8L8i9+ZUCYB/coZEI1GgeiA2gmOKfG69 anDsFPWMyvDFrviTj/IXgsH8ec2DymN+WdSpBf5rdd87r0kQvsaFZlGatncW5kQ+PWpu/vDQbl5T oJLYP0W1UZcvPjs6Gw9KJ1K1QZnPRm5C+6LjJM80ABc8ggO+z2sCdF5VUtnF2v4z27EDXPwSefak SJ/X9IeXz/z6smCTgt6uRec1+cFJnim3MVxug7IMwke26XunbO88vqY+iM6wOz35Yl8WHJ5ODcw0 Gt05mpGdQxkIaKIo1em3wlWuBtGnRYrE3FJA3K6ACJldghQhvz0+r2kPTi3zUisX1W7uzZR+jQ7l WslBmhadfNGjlTYBZhziWhuvGU9xLZKxJYK4ryXoBse2p8qqHrTY8/9JIzUiBHGB5/z4o08qIr0m PMWFEiRgokXRgQSMonNSps9rusM3zF9fFsVR1j2VX1uN+LKoZfPsnbGqnTu9dR85sjkh0bHgVJaz NcDXvvipjMie3HLIzBEaADRzRG42QTcoBarEBmJrVGWLorPFaOeFzkXN2A1nAV3oBU+/R8dl/YpU JFjcJPryIDyvLUbsV0rRZ/uKliy0EZ5FsxMf7g+X+omO5S4gpHQPx5fu4am1UJWi9mqYuG6J1pHW DUp9IUDIwGs0LnptL+JPIN8iQLpt0bFc4bhbvSP02lwsj+eMHcuQYsp387yT2HAZE+Sf3NVanpq2 e8aDEJmX6RfFRz4hsqzm4t38DswxB+0JqlGbsLVzzWMOioFjlqGK5GmbeInR/qE7GLRwfNH+SZAk 59dVBiij0ec1/fPSWGj8kHhiEYoS5pRQQ2RjZEFX33EPhl2n0g/OPmPUhBEicy1JxjetJyccQEyD A14T8oNKa/AE8ZafCTlVaoQ6Zs0INdHpPGX8zYKCi+4uOLCypsRf4vPOXyvitD7HM5LSTk6NIvSe NIOdTWlsV9Pac3pLccsknZ/YvHsoypq3alEI49jiJemp1E9yMkk56udAIiI703cXwLwfTKy9pFDh HqXNXTaOQ2YPY/nU7GwmkRhBlPu8U9ewGYbfX7J8HACuJw9QlDgjRdspmTjdCZD7hYBQ7Zp+CyeG jfKDM7qbEhthMWNeXUggAjocdFiR6oan5Qz6BHDO+8nE/PsNlvSy7x9Z5xCIbG5bVJOev4I0KmoB NDTMnWf/2kLAZHTmrIrQe/JsfrJ7mgQSvgnn0pq5SqVyZvKP7D17zmq3QnMn+2IfWcONDwy/mtIl m64E5Gkb3VTo4kg5rS59lvfsORkne+gWG+ys2R4aRs46kW+fd86aZVBPhDIgxg1NOgtRlEEDBgqP PMugR7/yqzUPz4ISsPYK0XsKDSgfjugl1+b1uDbvs4PgqibK2tmO5lvUsnoK57eXx1KFrjzvjDWe mZkNH1JEuku8Zpi/yCX9FB3l805YKyANl6/6KwM8OghJVYTCLBpaDXLckHHR9vn9llqk5vfTAf1R Gt0pwb+pIabFNhGA6rDPJBRk4NDOAvwhC4FfWh33HLKDOkqjm13y8p4Z7sC3oHDMUxvAvs87WY0F rleoFCKFPxTOXwtQlEijNQsJB1f4adlhID1lh/IDhGUBijLpbp5X7AFxuVsIwr2JB3XtMC9UcQqp ncZ2lcxSlzSOu172PULIIVBh1OSLIpRBgeRVdKrOzztTje+4/SvjzX7+6YyshSjKplGrUfXKGkAj 15+rk+3m8QC4WBs6SqehLTlgfbt88ebhOT0BHBuc+5zcx/SiC91BkSrmO/exicZ7Ou0DjdEJBOGi FtDJ0NNWXweiNVE+vdN3LUYe5x5ei72T1HiBEpaWk8NA01Xr78k/sjzc8OGdo1YcK7vph6bFA3Qu 9o3Pjgj+seaSKBkMyaA587Pq1aKH4BZ/RxQgbKFz5AxfdAwBId6sxFqfd4YaS4f99ZHh8i3jtoHe CWp22buHLN1ksxsSy/dGigfFbXne+WnFPVXmUmLtooQiYHckifRs7nDmIbIPf2zMxGDgvm77mbbf 7/Q0ZlzMhhYH9lwMjnauS2oW1Vny552d9rsiY2oJCa7qJcc7Oa24e9puZpQ694VZdWrFcgdVeccn /pzgrq+q54mU5XLR1lAW1Q6CpFctVabW/F/ikxWASKdQHnrZvzr08JPzRZCPcUpVs09r8/NOTSty 9XnyRQiZNpLZFaGoCw3uPZRpLEJLeE/OwoZ9eCQTeRf6PaFGTc9Meo+7eNG6MrZRclW/RNhj2uSx Ayp36pmbL1Iw8fPOS2M6JIExSkNxUb547rTdhbCq7fPOSmOA23ebvlCOwq/6d1Jasfk8RGNs8rzH /cge1+9S3M7gnZPGRIUznszKY2WfgkkKAp4GuMkg29+429KEptIpplA6PrbfkhV5p6Sxrqfb7iQ0 losixEqGETrH6eedkcZTzCOEzYe8tTEFUYSijBq4Tmwcp1r1dNtCdXjZWqvjPN75aEUa3fi85Psg 7LwAaOdW7z1L8vBcxajwcNejkYSaH+fs/cqItfu889FsE0BQjL1FLvrK4Fon86sT+s87G608CGtr fSChHrfn+k5GK6Jb7zRr8Zb0vG3Fek0KO0RT9ZVFPelOp4eVcvXFe9IJcgAUMoJa1mSnY4CcBp9L 9qQhUWsdewA1Pu9cNOYT7EYLelYe6NmAJ6ym8nN93qlo3Oe/vjKwB9rIHqH3hFrae8CF2UhjTBfv OanQ9qY9dXe1h94TahXd+LyYV3Px3uL5ws63xj1U8ddGE/ecQ/hwp+HkxjMPW3rZ93NosTnUoYBj iyLUG+2n8PvS/rzz0Hjmft9lk3FuN0JRRo1B+/lDF6/sU80+1mjVK/sODpT20HtGLXt1WKQiXdRi 5xDUiIEvIxn9RK6J+diR4DXElUeBFWVl6F2jAE0RhsT9RGauT+PUZ3JQODt5f945aLxNvmeGqLQr besVobA9DejikJYoKXOX8lnGcrwZLIUtQu8JNXrHqsb4se3itipn92xcNFSfgSA6/OglW4cMCB1n ZKjWPRtEC78T0Ni7YPdsUUisLhcSA42H6YoIaO/8M04A83eAUBTyJRSgKKFGzYjP37tnRNxIkG5W b1DTb0gf2XtC7a0PNgBsuTNngAl4mQNBPwGwErQTU19sp3XhCuTffN6pZ3cLUV3bFusNgWuE/0SR 93lnnhGwUL5usobsvE6/yd6JZ8ihBhVW5rS7nmYuuOtXEl2oU/HBh2PvvDO8PLQu8P9j+KIAwahu om/W1KJOQFGLBDKB9t4gw9xvbNq7BlN5MqpGoUAmF4MsADvFkuyUN5931hmL1l/NM+r0L++/vpPO ikyMcR87YVg6YpzL13H5i7tYSfbOOfOSDIyd5osFqADU0YmWPn/fMimVfL5CHDiUN+i0mjQGjL1r FCB6pEpIlIs+MUjmZfnynHztnXHGUzr9yhaRO6WLW4jyafTPT444XRSrbm9QN/q9CT5NI1+w8t75 ZthBxJRt9T327XucA6idfYMuO9Uw1jmm9YkRh0Xn9vac0th4n3e2mTXBUc3zSxvdxMrByROWCIln /7yTzYqY/d8Bmj+yuVOAonQaSo8YoWcDBS87rjO9KS1AS34YnT8nqlk3vi0o5thiAQJCqCfJq56i Ht7c8j3oVGeExmq+ZxCFMN6JZncIBDlkLuwt/8hTpSu3nudv8HknmuGPuL8H9NiBGF17hKJ0Gv2n ExbLnM+Z8QCCMzFliFBDE5mn9DvNDH8fYDhLZk9Di31j63wAMwFCBk5+PqUBcGclNQQbn8ZtevRh b/rXCLqXfhed0QmCi9xAp8z+vDPMmEt/h4fcKbGD+Uvfc2mvNtqwPGiu4nYYY1w2fqfkD8MTNacT wXYb5A9bvH+PESt5D/jgB64DbiCCohcRnBfh2qkU9s4uw0UpL+LFurUtV8I8xfmgl/r5Ynv9vJPL yiNY4E0PdO+XFxvv3DIb3TgTX1N6T4N6s8EZxUKTndFhb5pKPLsRHsTFUulTDPc1Ft3lCvjTma3X AtHAPrAvqT1hNEVigN+pZcWl1JaGZOtrSAYfRLEU8Ud8T6WRJfRfERqY1d9DOkqlMUwDysP6ZoPt OpVj5VqDZYimK0Jhc5ouF4uwSKhRsfFiXsQATU1Noieti9APQqOtodtMhV4r6TvHiO+0MvSwBCIH BQELagceQhvlDdtoAB193mllRGGurxChudf7c0xHuXQjKyEb+eecRtl7r5OIYYUITFaFKGxOZ+aI oGjY4gOOU2icPQTmQcKcIEljhhroHcPh+UhfEe3zeaeUPW2hOuXKA8G5yQh1jGAkz5/5fFSx3pse L8dpwKz3IIqyadwOkF50U3R2iBmiIlN0wsgB8FSIovY0GMUYj5EFxcW+s3MRtBOgwmTxHNR1E2+e QH0dmIRnFtbWGCKh7J1Phr8nYULYl75Y6wwMSNk1rf15p5PxHduvmwzWTsXT6XcuGe96yqUYvB7I IVfmh6K+DYEqaRTEKcaID4JbM2dBXLztcf6/qAxCKb4JhbZFKN6mF0YFs+UiyAeF+d+pZAQkUF2m 05D4LFO3ElSPqB10cuQ6P+9MMnym/fuszkD697S8//pOJGOlO0FLpHIzpApAwsd/AY/ZUYdRlb+T i4AQRQn11O5Jtfpy+x7lZC48bs5jG7hUzuuh5zzQ92DNaulQs3eNvjPSyJpoZC05aAgIWSk6nsT8 884is8bD1x5SX9Fr1ncSGQ+iRR0nqYCiVZ1daR461CBzgmkHhxMGKGpQt62uokiuez8tfPQUaStK FPkAVZrmDmeHwv2HRNqrV8xB4juHrIjdxGq1+6IInXu3uUwzH48yaj+IWOAikc8XdfZOIHNE5ypN 4oRnM3Hf0Lctb3eThT6lHAve+WMsOXSbMVBcvO1xLuOKhpAMCzDMZfs1g7uIZkmWAp/EislCeOeP GWGCKXX2xfcQIs/7/mTU7/QxpgvfRVkjKKfecyhuUIOIOO3e2klQe0I+Hn0L9BUMLx0Cpqdh7Zsv l4kwgBMvzKihxy8+Z4OYCmx1zOhSZSsJ/p937hjLVgIaOlGvXCypBoqR+6K29nmnjnECML/20EL7 pN+77J04VkygEMAeXfe1dR9ynJPaJ4kJ3XLeZe+8sTvkgBeqL7e5SArrojE6nA+zWmdMs6F21R7c 60az5/POGiMdgZINW4j77XSEef6dctbEpft5J41Z1ftVdaAlXm5n6J0zhqewhZbMvimRcgM013LB BkBVLUBRUo0QnmoMjFpbrCiD8V4B7Z7D+pM1VFYdk9dsQQu2XOmPPu1dI1gVva8K5Rq4qDPUh/TW z68rfDy4yep3wkhf9HQBH+9ssQt+LfOqozQfcWAoagGadxb9ThYrJnONVvryxXdQPwl72UTEABJT pTxPFYKKXhmg934IEdz5zhUr0sA/dzx6OrZoB51DYHQdvadufaeKEVX1G3eG5m+9F1mYUS9cX4Sd svHRjNwC9HxxTfkOIT02PlqMni7CeXRfbuMDovuy34FfXi+UToG1o5nd0o+4qXWW9K7BTU9Q1bm1 ki/qDEF1j6kMZih4PMgWxx1wCEsM9b/sZVkLE+pMgZ3m1gR5e2Wfxu2dtboNdtai9rRFSDJ7+0tm D8DLtDDKRYTgfVgMVLUxOgb6fj2e1jqmQ8DHltNl274YIqatLmLL+WDxeDCtL98NfMIo+i1ce5RQ d0RoSpIBU+le3bzhHH7JIdQpW2uovyfUUi7F10WBKC6+hyDpMjYnHKdCA9uqCGUNuUYEbl5vuU7J rx7l06aOviUht5cjYkD4FOSnjIrHA/rGb9oYeiSQsLMARek0wE4bpYBtIWVF1GVIxOVxC+EUVIAi wMdgdzFDzMMW70/DnqPSsyXBebrBchGTRmQ8AA7i5reSjLKCnx71p2uWvO5ovihAcH/mmV1PSfvp UTr9cKNIfoZY60g+I+thOs05K6nKvMfWcNYh8d/uiu6czB71p8Udg+iJLw4ZQvN2Qc6gQnVoQSyd RWuDijBmbhXzciP1FnvZv1BnuckqpYL7sX4kPHSOz8p/diufHlIP1/cegvT8D7uUFqOQfFgBf91J SeIpELbzw2nPocKe6G8iPnqUUKtvOPO4i8UI1IdcmwR1MMZnvoQR0yk5kBPA1/FRZLCXjcpWmn90 9kB2vy3Geu5AOebOMvF4xM7cX7uIRjaY6ViEIgIipjFrUOZSDbTiu6jIs7lJTMcY9D1MqIk427lW X/wcwmAAktY4qQExBNgM6ifg2CWS6a/W52CHsUdN6kVvy1POkIhIObEfKewX8Xz72bF4PLjLLo2M vaHzjfRymc89zqehNlRrt94Qd6v0vagBqC20t7nI9ZCESE2PnYlq2Pn6W244QJa0OZUCRblIcw95 9U8HqIt3mp1DGOfhdwSXvZz2Ni+04p5QGQCDLd2qjoM6yqefj0w7CDnG842FTWrIEaTFvgdCBTU7 qVYNgpBkIIcuvwIU5dOD1F6gf31xfPC5Gzs/+Zp6hUcKmmdQiWsYsqJJXa+exyLurEdNan1jvZS7 2AWNHu9UhCYeD07q5xgi4IOivnfQ0d8zajNJpaqdhL0IdEJraJJtlUmfh+DgT+VRHWXUsK0FrJNz winmJEN0TiGwuctQf7ESmY3WEEeu5w8OEI7bNVZ71yBC9U2a+Rz/52JkyXEu74nHg7K+/U4YMa5/ ao7+nlKbt06X4BIsLWdzg4Zalsnqn8SXkJjOn/PXsHXZndYFclKT+hw4iZJtJ0L4yOgGkuAoPSE8 SeVfP4fgCIJf8lc+VOFmZIs+M9yYhOGfZGLj8Qi8+AtCjVui4qKyEIU5NY0+CrFCqGDp4WrOOs2d dfpYHqKoSb2SOcYlX3wYtBKMPrttogZNbDaHMDYC258YEGsw0roevyQ4qnnRt0VaEBeFCCoPHEiD hoXHo13Uf4Uo0xrCc8YRJdWAEUBjw1KiTakmndW7Ta9cu7PpR9SlxlgQaI+afLHL7NzKJLzoMpsQ YSTzlV6KbPuj1JyyHuJZPaKkOmvUuoge4qIIwVlXOPxTA+LxIKnu61fVAfvBeQMUJdVs4y862ZDs 0rtzOc6H45cZqgajjIc9ah5Ey+z1Ur57CKYbuwwpep78YxcSFRKsVTrgfxmNHdfxQnKPX/IXabzX rUEHZv/T7vtGXveJNkIUdqm3F2YyRN0/nfBbhSiEUVdo/HUThkd3yGHUaU6HURf6TDFEUZca0r6j lCZ4TOOfZBALBpX+DoQqkVVQ1WcwMWmibE55MMIQpxv4HQG+kzY6DfwCLva5AGignv45Vjse/9eg g3LVA351F0U9QthHl8+3oxcFnWZ7KNVhU/t1bT5H2KTGv+3UcvrWNCxjgM7NfvKgIcmlNOCPwY+s 06iVQtXrXvfQ08Xv+Av20VZqvhiIegK9yA7s2Hg8kmX4TqmpdbIuNm9EKXXnDpJLBagKY98ObG/e YKzXkWlEKfWk9cmuan7Up/lx3nmdapWl/bnRRof4AuTziVcEFIMQS6OUoaDDL4mOIbJd2JY8C1D8 1JaauBlZ6Z9UNOP5YA/N/ZVUQ7/3fBx+l40oqcZgds1iDIUTIlSPIkztqwdLnjWrjhEl1cvAweUu F0V9Ms4plWoMMtIQH0hW8ZCG6Rf5wfIQvyP4yEgc742Xfm/TdfIWxpAq7s9VNiIQ9Vj/SZiq9E1X gEIQ9Wx33tqpXuEK1e0h/+buNnojAlFXntC7lbvYTVZgzlMwkqMB6qD7+EkVKUuNRjMsdHxURnzn CMU9KH0C8zRf1D/DFGJJB7YPPB6lQ/srQNiI9dHNGVGTuhVS7qYDPyglaQGqFxpD01YGKEqpgbUF nGEtLbxhyChrUClu0hVao3WpVmECe676czVN7iD7xs6Px+8IuvjEDnVwcW1RgEClIL4TGxaP/y+T MkhxSrdfAQoz6sUhtPNarSc0pRVa7KqnRqYCFGXUeHmIUM7li59C4H9W1H1AtICfTi7DKeyJzjun WknX0nNrC4UZ9dAgmhBhGjzr6MjgmnDTjnPm4fmgtC+/siE0qKEEYCEKM+q9yeBQfbGkLqeMOrN5 n8iYYmmPUyjsUhP2gY/HF8+GqJRGQxOyNieGcSdpxFwGk/bzDyRIuEl9qellgw6aNPJxnNtiTAX8 DmPdFTz+r0Y+owl06b5N2Bl2qWG9NLZ9WwtKyDay76ktu8qYpTBCM0RRCwPLdEaLd4dQYq4i+nhH 01H0cfIywFAmlcPwZ3TvmCHqoxLusbiVuCih7sClMAM8ZR4ejwL0/ZUtlKxACFqAooQa2nSn2uRM A/8l49PNLnWVByqtO5qKshl2qTO5ZEMAopHvtDWdryijfUxcTN+zs40PM/ezhcCD7Vewm8pW+B1/ lq2LElVcFCDg4DmbPgEqePxfjDKmstiOvF8VoCidxo45e3sWqzjWdvRZr9W18VZexnWZISvxgcP8 RsX0hpy6UkoHuopTrh0beuQDaBvg6C+zlQoE8+8u9X/2zwr05VQnn+yq4vF/iek416W37KfQjPLp zJssu73Zrq7zgcPWr3oeropQ3KOear0OX2wLQScUCjHE50EZP1NosMERtnJqX90DLhPLit8RHUKE UWciGEd2Xt/ZoHPLPmCmjMeDgf2V+WDujP34jMpmlE/j9fCvUDf6bFfD6WHc2p1Qhi6zHUIhKZES MYsbQ8stWudC4cph4i6bulL414F5CwK/HBcNiY8bG78kErHgXT+IxOdiZ+veHIL+tNIrHg+Gid2R VZQ8B9QPXW+LUNijhi49ySyiS3HKJRRsatmzRTRmFaEI86G2GcB3vvhdf4o1MOzYGsrguhDAl+FV 0OkXxqpeyRC9FmeUTvOv36oUGqorNACyXjqpQeCp4vEoW/w+pnFuoSHsAYowH51mXYKeUQD3WpnM War3PcDlVID+xHxkVGC++CmEumtsnkIgTS9jA1EcGCM0hNap0dveNTqmTZyzaalW1HfIJlBTIOMe CzEfv9GLCGR9xPKidHqQy5GKIWCh1eKNoVaKFWQTpb4OoVAuj//s1cbwxaccGeLMABdCBYVWHfif 1EyILibL+6uHv/WuAWqIKdDIpfiiewze5kMKS5uPR5iP74KsQZd4Pxd9hPlAhglfcyPcrdwvZ1Pp EaUol5vhzCid1kW/iyQ+ynV52edbTxjacweVjpIPnxiKSwUoUz3bGtQEms8onRaus0uq83rLnjoO 0Bu2xE+mgscj2NB3TQ/d2lpu42yGlET0p9swZ+4F8UiL0PmwXNAro7GlbyzU+CCFBWBmX5zN0Rf+ 4fTDS+zut6S+EOcaJ671jqNZmeN3/JUKEZZiiwHP0GaR4tnmKwa5Ytu/yg0knjeZXlEynWXvktWI hrTXJbX27Mi8c9PYFloR5GMItCiuwr6tl41WWsuEj7BkPRUQIbJ9w1UBzLw8988vEe4VdqcF7hxU sOBimJg0mMERno/HAzJH+q7H8maH+gYohHxQ0HT5qHXv6jB8WWSpZC3DStYVJtOd05/Eab3sK/WN Fci5FB6HuMYakVs4hNDiQb+sIVIGGsJGx++INPNkOapZNAG3MsNFv5oV4Al+wfORTIyfQkqbmIrd CEXZNOW80DM2zIc04YRdHFcueAzfQiHmY5FrVzHZOQv/vA1bCKjpQTIIMObsenAcCy5ZxZ8QYCnX XQR6E78jyBVpNTkK73suAsWcvwElEJFMDzwekV3Kd4CQgD2cxBUl072QneCgmFm3d+9bTS4TA3s5 C1DISdQWkvMNFwee9Qahhyqf9xN/XXUNgnMVgNT6UMpIE8Xv+AuEvybvMS76xs52alsg/F3xeHAI 9W/cGaUgTyrkk9YVKk4PQGK6QRcWJSV001dJ5DJCIHUoQiEnkX2hkx40X6z1CnOYXumVnYARG7uI U7bo4YNZ9GUlUjMdvyNqnClX7HfR2dpB9OIpNPvE45He2fzaQoS/5itMuaJkGvAmnBPm8N4lcE/n DQ491ZuG5DrvsRUrfPB2as/ipxDk/onKh0oM2l4yeAfofKJBnGl/a+5SRJ6tCPFh3PppptPugXLq Y5uonPt24PEIM+TlBvYbKBKtPxdZlE2vJeSZInTyruTd++4MISA+ipUbK8ymWWesSvQvF8+FxkDY KZIIO64lAGjGj+yYe2c0DK0txIEBfkkQIVJ+cQJpyUYGOsma+lA9pYbHI3L9L6oL7sNxR0ArSqeR 10HGxeAMK2f3SwDj0juL0NRUhEII9VDzVaiGKyQFt+EMREPXjAw7ipedePVS32kX8DGH3jXKhUjg qLrqq1/1G1oWPEXaybzweHBO7/71kYFs2FO7p1AIoQYIfxRTzFngWHrFWrZfZKm769YKu9NU9hiT AeJiAcporS9mPmcL1fMBYhB9NhVkULGzMiV2OGaF8IVeNrCUWJJY1hRxOMY2DyiQiBy9Kh4PRvXj F/e30uPax6wrbE6fn2dWV8IyPCIxPnstlVNBRSiWzJM1ADMdLr6FGgi2i10P4D5OQdYYIeCwGiJO pLllQ2PoZaMpoqy2xGzt3hdKYwIIz+w08/FoD+WvggOa6tyHitCO8mmI0Tjjl0SObH2hlUv1vhBV t3mT7ZCTuHX+pOTLLerBlsVQG2AGoFd5DBWY73UcHp0DjvRYS+8on25CCMv5p7nzT4E6w1AylAYf j5gu3xooRC2umwzt93xaT+EXFJ+Rbe8s5jx8RkZCnlTMQ4kPDTZgamDL7b3CqG9yRkb7VjYfE2VX Bodi6fpKY8Svl/0Ld9ZhYW3LRQxVCSycfwoeD26yx7hl6uP8oXWthSgEUXeOn5d1zvbeXrTCJ/PW ZC6yvOOEWiVH375456yh4CA0B/371Bt7i+eyA2IHJf5aFw+DfhJ+RxAhycRMisByUdGKObEI9qdK x+P/EvloyjNJ4PKTeoecxN64iUxkWeKQkoQbt6yHoL1FKIZ7FKXSyRfPqOcExpmNj/MPyLkQIlsg U9DJgUuU+dAMiHfZjlJqNHJgkSwPoOJCGTCOorMivPz4jv+SEPayFahMj1AIoaaD5DaHvwWt3x83 R5wOiOlsXDJCIYSa4qZrKlDzUWmYJ/xLSWFC9YoijZxEjH6om/DVOwOmAr8j0vlgGjSFGHKPIQxU kr7rk4xWPP4vzTx+UIPkcYe/7lgzr1C708TwV9pO5RjERVmAqn9kIdqDRdne7S7OVEjnlO9JvTOw yZIUCOB9IluNdCccaOrzXYNsKOuEJkCYiz4yQA0SIdTnZsLjkdTQ9wgIXYte75BsR2gPjYDYsyI+ mJ13fWOlTwsQzkELUCiZRxD+qtpB9dlB8NhAW5Wn0LnJoDCIU4igagiGAdDnc+je9a4RqRXfFsjW vhikCnYl+8emiDvWoL7nNL9H9McfvbMd6nxASXymLmkzlARXSUcOdmwuYouRcrdjuEcT6Cz5Yr2z U7GiOy0xppQkHYbmIr5/KnaTLjXlV5L0rkG6yEu+N7F/mzuQzROXOmX4WwceDyqO+d07g15sw6zd AhQl1AA7rim9M26m7nyyvi9dqmImqQCFCfWUBDWzRi5+18OqDpNoOvwNfEhMvRdqU6Th7O0bompP vWtwCBE43Yod1uXqnZ0sqRt5hY9HReu30RaawB3VnQUoyqeR/4Psa54lu61iO2gJylDFavV7LAJ7 yGhiV970XLz7Os8u6irrC2ZZa7L7CmM1dHJ+Zh83F+KEY8cSH5z91JF9sUl90+Tqp57/BR6PatZf nSH87+jEwwDlFOXT2Kln46RiYI9OgcotUF4zLEOFU6ZUzFOYUDfZAYnQka5XG9rT51TGUB56KKdq YtWaJlslG2/d9pU82xRkwm95D5Ig5mNz4AopA3EyQCmTPjOm75M/IEJ3/hrXn79kx8b1MIVdanqO SuEMJi+pGFVhrEcspuSZPUxxWs0PKBN4N2QFyzBNgEcrBY0TcEys4KEveI63idEFftrjOZrtdSNM gyxZNaTo2b3s99m7REbBgILPB6XHJXRwIg0lPqp4WpTeE2ukjTimp6kunj/0tB7RqT3I8iArCHe4 FN9TiKPmpQ/NBF98ag9Jj4IWAs7TDvIdBLv3oOcfqj2BPc1RM9vrRsAG1WdZXaJT8BAefD45/pYf 8sUWf0CQGf1mAWfmG9eeI4X96g1EQ7GRBzxrnLFAT1C10oCQ8jCF/oiSxqs6lOtjhgzd9xM1qhGc w+lkwvg6E0Zdp/ZAL20/LX1ySvhbgmYa6fY98eTmYu3Gs5WmmUpUPh+BrL4HZxtfMIgMHqUow4Yk OUyzum0m4OccqFd8M608ln9yUdN6JPNRWr5YlNCAR7LFKJ0DqRMskui0M+EoSRVYaxdlyg/htwSI 4SGitGw6tk8w8/lvk6vcsFhIIQik/O7sn705+4XE5hR2rpED9LFMpOmUzcXQerKYERgt8wDv+kF/ 9YxWlpdtdrgeKcEYTHMYVBc0rXByY57DzQRx6jpv3zFte92gnpWT5KLdLxdtplPOsgz/AfaSz7+H qabvziNoIn2M55qLDV8Ikbm92TYN9Xn+oUttWuhTU8G76wcFmQAh5YNsRC0Xnz9OGVEoUN0SDJDQ xj0FFtg3YCCCe+4nE7tW/C1BstRpIrA5CuFieBmceIJR7c7ng2xpfpdsjeCSfK2VUiwCUkwIjaxO qqSYWBNghmJ1Tuit2ScX276IvFHv4nMi+DV1jJ8QpX3isAiORQo4US3jeLB8CVNIvW1E62TVJt+F JncA1v37lCWmq9z4/L+Qe9p3wH21J0hR0o0UBCIOwyv/665ERpgLebtcfk6REkhRC1KTMi63OQIy D/SOULidU1YO3HWRwnD+nRzXmLaefJFT7PzCIdEg1YOLdhJYokXQtDb4fPC9XTUZ6qWeYm8+3sg5 hY1sUhnoAUARy9TKLd3atZoE/9mCFHayiRgaQ1634/GgAiYEB7gqq1kgRyh02oLc+fnv4WLeJVd/ 26iDxKqtiPRRtrch+6kxBC4aTCpD+5dfTmYwRyVhw4IUOiqiL4MevInmdzr9SY053x5Spfy//AJD cEiXezQJejKzUnlywrQAvyVpaCZAaJGe90mOzNlx4D+74yRNpHNgqYiTW354KuCGF3AbpTO1GFqC x3boqTi2tyI5doTdW7kgvhyaKsJhFUIgFqRJyzXJoY7tABqKclqQIoAIbqZT/gNvaIuClIG3AoaY fZLzfZVMp6EJQCgSA+XBDuNL9rZ/qn1qalR9apQhRS593XPeVT4fJN55/udOYilpQQoTb4yvd6uu myL7BTQC5t4uvTOAWmAjIIfGikvKX1vCDttzpZ1OdTWhvmAExg7zQJ7t0ApHCYSxoVOHSrO3/Yt+ 1osvy1hS6PqzGdTRK8mBtaJ8B7/oZ/jL9Au5zoG3IuSc6dM+rJ0061zeLansb7Nbgq6CBSlMu5fE rUb3xXYSHoYY5KK6zE4EeGOGC7ADEOkMkmlccYKdA3NFGWxCnQb5pBYhaUaDR+8PqcKVz0e6Dp51 s/vUWJ/kG6RQaw/chtlMDB4iTsWC1GS5RAWe7H3tHPgruizq1ghy3xEkDBvPt4+Ln2dSKyYR3wZn W1B3w9/XapNuJqahOshUT7va4gDYk2ypjdUTTDpDh8Uyf31vu3+rxOfAYRFVPGMzrHe7lmUDgD2y 2SQxsHm3Uph0T0FCJWZd3OcY/uQwJmkcQ556F9NDzveB8m7QfKDhkEGOlAOEHouwFT4f2mRniYuB skrRR1Rbr3w+EtjtXyc3vl4JklqQQjoj7HCXoNYPjnYLfz0s554Yi6rMDV0WF/mMIOX74mVuB014 yXA6oYXeaN+F/m0nWxvuHzqVSlJrKfRZnCzgzp20fTGaA915mCGWzeeDo7vcJjfzKsoXfH1xUdIN Ug+EnKwDt+SrvAQyTg6sQTJsYYqcYUTao9KBLRamjY+golbHXkrAgTJVwgSpD6ZK+2YBmMHyl0QQ SFz/exJszMXmkRh3yuXMXjLYSxcXQSjX5mH/BClEYiNVokcLp9p9r5sFyJcBf+t9RdFz4LYoI7oB R5nki/ffzi7vhXqSqZZE4V3sJQyLBw0Qd0oXfpSmvW7QDGAXoBYhtEp2c+UBHQDVbyvz+QgH2b8O b3SKSN3yKMUGMXQw78mwtG248tVOyVsmE/wJi1KUdcu8nJoRtnhpkoBdI1X3pI8gVdPGG6p1P4Q2 LbYCNHRbyd42EgKVl6CmbsunbtBjpyMSKJ2Nz0elyTdKC7Ro/P08SKHrIsQE8L0ZvXFJ6VJ9pbU9 SOAbqcgNbRflr0TOvy1e5JbzV57INxGkBvNX/E/yyQdPBgAJrEcbXUC2HPoumkdD7ZLFYMmKUwn7 nuP0cwBmPv8vHT6mRfC0fjwIcui8iLkwwDU6pnECNusErIEDiBcc/TYtSCEsW6SQanCbR7d54voh Wo78q8IqBQgAsIvaovbLJajJhzq0XsxWk+ztiyEAMJ1Ua7ZMPh8xiX85WfAk7Pdzi80XB30IsvFA 20jOnzlZQLLWW4Hooz63wH1RhmTQiqUPA5fbLgHYZi8JGKUmTj8GlRXqTtVIamq95ZrsdaMCTuWt dGVPKfMjemLFQa7Zyfkj8Af8C27DJB0D5/Gc3YEDI7ycZDpkpPShgQmnAmX6BQefbQ9TiM/WoZ1G 9sVxf/MEpm/13oA+A5BsnEzz7OKNKTJk4J8bbtvrBmAA1rlVEteV5Gf7h43FcU0/nzOfj5oB3yaM mZ95fb640DamAK28t+rc81+1Wcck9+tr3rfDAXJgwwjSLBNvKLnZ4tg/wL4m5oSg0pw9n5V48/8C CvbO6U6757K3jRAT5KsV0q/p1NbFhCjn70xSxMkrJn9ABGT/1aEkXOLrk4u63RC9OpvfYTdzV6fT jM0bTu4xtxsQWjEu7qWVJZqe04PdShQxYvMNdUuTZlgFDa4BwAUNSTOJk7ZjDq0Ys/pKiXMmLrrh wFtlDXdO9Mbng620vqFJSHTauJysHJoxwsIcNp6Ob5sP54i9LfkKgxphQQotZGRftdNdrIZLhGov Ht7nCznPsgOFwS+Kif1THn8UfP562wA5YVQIKmByUZD27Gp8Qw2Kz0ctkwtxYzyYVNw2bujHiGPb 3WAr23AOv2muPwtwCUs4BilWvGaFmzhl4mJBygNZDY26odgzqWAP92V2KActDn+QsuF7syCF8BL2 SlqS2WR6uGujGkLpxIvP/wuOjP8xWqUtXWm1HFoyUgpi8d9kerzN6tzRedmxZbKW17mBJyOiLRei MXzxge5A6CvRAen83aZGvx34h0oRjXkV+lZd9rbBBSfI9pZoxnb33AFrVpVfmyd3rHv9bQ5LbcC+ 25NRRmk35tOnyJq2lXbaF2VC9SmhTPAvsijFzW6bKlVfPEqntgNjrRPYvvZgoXsqOJy/0LfNgIyh XcgwdXvdYC9xE4Gp5ov20nmQA/uzNWrm8//qLPEIG7/4Izk0Z5QBanONtekCvVSnVsBOKgJkgz64 0J2xLxl7ruSLjwTQAm1QvzkfHIQrJxu5HYl6RSsO/9s79O72tn/1TCgEZ4sFKWdJA6rbHfgzfufd nVZo+H83jE88TFHmTejtqGk76G1kP5fG3UzA0tpmCjwa8/8tyahXjkW4eA1XMYqkRDFIJNAvpow6 TN2FC2wPNmB0e9uArKXyZLMNx0WtpZmWyRc3fHKhS+P41cqtxG5f150c2zRCfWAnN5HbtJzQ5GSP ZDfcHNNncKFPo3omo1AHm4tl3mNCJ5NXc6pwFgCOnq7eAwjPBPOmB+VO6FsOnRqnxgGLklBc9JGs ZhK95087+Py/RA0pU0PZ96u3lkOvxk6rveyadNBhNirA+fvXG6W1PEph4s2mCcldtnhnqXSoZCWO cwvY/pMNONQYkyCotG62ZEPvwK4RSAZuotq2LwItAbPBa7zVrLeMoAHfe6mR9nydQXLs19hIO3ZG ifnMsGkiQUhybkDlU3kSGDb6qHISN6rFo9Tm2UigXECtJp9wVQ69gTEaOD8zBdgM7J6Xve5f0K7e hcbpPoZb/eR2vPFgmsbno8T7uyEgP5/17KUo8cbICnm3tZbqXLfWvWIa0I91aFfo2wjtFzgSsAXJ xe+4sxXbhnYdeCXrZDmVmj4YE000fc/BOy/zJnV73eiL6y9Rwtg71a5WP9omgXUj6ptvvQh6W45n 7B16N6I2gCiaGRDvvVz4aKfhdxzANB6lCGbSCPafeQ5fHLN0IlJnp7tlmZBBIRku0cMRKkKELNmw cnV722gOJ0RXSr6oS7nPB8uhde08lkJY9y9XOQgsigxtQQqFsc9fw7xbCewqpdlW6mW67MjCoWFB +tu/EcghX2wrFXhsNDq7nD/3+Xp5gMETrP9MilUv6j7Lz2kve92I0s6595IZ+spWTwPIKI4SbmM+ /7/A3/CnaY8rWA4dHFEInWqII9tC873uCuvA9WZGqXFnsgMXWzjqg9saau/+yNegyqeqCHBdSJJ5 xYEk+ENF6Nz3lRlDWqLXjVjbrEyAErPF9tJYqlbaXovPB0nlFfNj1wSFpBISC1PY8obi4Wj0PIce 0tgOWar6T2O2Tw9TmHvjH49Wy/BFYZrAgKDEwZAJ//cFM5uRcFRjiJzBiFk3E+jLXjfKvVmiNPJu uWjIBAQfUeUn8Zt8PsgEhn9yPIJAc05PlEInR0xUgetKVuyW7UyKLodr1nFgBikTCKwcURDS/6q1 5osVu4OJAbrZkFDL4I4RTogzu1A0ol6B40F6ew6sHBEkGwwsLdOGusj1ST0RPiDwcvyu45g/4m/P aakFKcZ4bzo4qtjdYG5YkApV95l5NzhAWZAijPcSTWBK9WfmG6Te53BHUKSqhbI3p+LtnJthJxev 4oaypcDNUZ81tpCC5DkZzAW25ta1Dj0f3HD9u7cEhFnjxMKCFGXeaCKDq+8dgZm9TWl4ikqI97w7 6U81kjd7YrBxgQ9gkNpCQYf/ZW8YOg2zoXLyZPK3jUAUjE6f8sPCFixqLvWdmFOOU0/zB/xL/9CI hBAJeqIUymVDk/5hmM5nK/VytdkqLJgtSrEkiYA41oh7fOTPfTChtZUk5ZswdGIHjo03HKboproF Tbe3/YsfuNXx3m7qiHbLCT/xARmgpdDV8VEfE4+nElR4gxRKZoN60prxAs/RXR6fnv2o+XZPKf/w ddRAoNzFE2/obkA1gfpjDbJJ1NHMFI86lzeoCC7x17a97V8ykbR2skXNpa6aEH1PoOBDY8ffgr4s Bsh7sSCFDW9YhvU6LO8mrtSHJ/bpnSChjan7LbB2FGgKCEqiTbn497aBDkc3GGnASRobDVQ3fBoH zPUyDxGHmmx73YDEVKnpu9ZdLO/uWYJb9fwqPh9oSP0SlkBruH8hKQN7RxyGAC7TzEV+PeV6QJTk gqMo8D1KUd4trsB/VycQWgTml31KpJRLle6EUuSEdl1Jj1UxL1r+lmAv0Ry09WexKCVg1PGfJzvm 8xFqqX1FCVKfP7M805PQ5BEXKyZx5oy1+nUFH3Q/52bq08UTcuDyKAf2V4Vx/Aty6mbRtzPIFOSc El2NeWl6oiR6TmDzKG9l+jsWXwxq0nLbBqLYfD4aDPSvlBL1huTzGaTPa6pUJUuxBojrNq3kqYQP DsNAq04m2jaM0WuiVM9GbnQ4QA/ZFofknjIEU/+srBv8U15vm2MlKCUgeE5gQqL0miZVQWne9Oz6 SeXJS4D5wec1SaqwFdJ5VNkHAAjZ2pPE/wTBAbqDupcG6aJ8NbETq18axRq3VxIEZ8h0b0vIXyKy QpnC0CiRm5Qq3AiWRiZIHBsSowziqJkcGPT9NTqY6ZNOCdUXWxQd3JbsctSCBu5rdCChvy06Qm9D SCgRN4HwvCZH5ynoLCK5Ni27idG/CZGl7k4rVWqICT8m2DtTwFJ5zfarCw0mJcAQxCWjJ4Wp949J RxcwkDHec0VWwrlfE6OKE4SC2YV9Wy66zSagTwK77fZ5zYoQ21Q8Ovyfkv2zLDivORE+LATnZI7F erb1QbtTBs2ESapd968ZUQXnjsn11+LjtgYfu0YAF+besJbneJv+aOQH3uB0Hj2v+VAFXd3crSm9 AQQ4sQ2Ai9VCg1iMYz6v6VDNV1S8GnDg/FkKxZEQnddkCA9BXstgbZi5tWUZI3h8DnPvc9pg+zUV qhe41aWC2B8VxF7QTNiykT1/rJVo4Ayva7SPQOLClGSKhUux2tdMqMp9FACSXHzRWQr1Dl74J+Tr 85oH4Wnzb1Z0YKtOAVVF5zULwueIQ3kVU4M+t3F1xRbA9i7nxlHJrzlQvWrZaq5x8cnR7ucAzcTZ oPfIDj9UZoFPhAl3pvm3sBH8jZ/XDAhHpEx3E5ERXNQIgUGP6MWtls9r/oNtYLCIKlhEh10ioe10 UniNDiR/OuXG7Muaoy4HRzp8m5htdy+KotM0KVrdF/uyGmlIyTxB+5iLbJyCuoG9VXX5rW6Vo0H0 aRGDtGjGosVKDWBruHdOBvp5zXvqg9a2cwc1vZybEZ3XpIeHcqLWmENGWjdBpHMPL/+yMkD73Duv KQ8O5U7AyKRVARcfp53PCeATUdpo1oZdlmBiPXCD5/x4o3O48HnNeKpahYDTsMXPxXr7p/YiqK2d 7OTzmu/w2vj+sir7wPdUfm0z4suCFtI5DLoDakr3StVlE0Cvya44FpzKKTNhluUDF9s7pxY9fyL0 hU72hDE2L/1TM523onJFgbuII9gaJdmC6Ij7T2MaW9SI5UCTjdhTrXxeG4yWc1i+o3kshgto2jI8 r+1F7lcMh2RaQH3jbu2OlVW4crSPOkUCEsHm6fS3NAEWLo42amj8AYtCWUiQa7OKrxMlSKsUjiAN uUbPotfWIv4E7Jr1SQZSFxgb/yi4TkmmHw2h18Yi759q4eE+O9u2c9sqHYySZTB9gArxvmLvz+5J ly1SV3Oa358J4W7P4p18+k1v4Y1X76YDpNxognYnM5Wsj0t8//DrQoC2zp7tZ8/ZM2eHUjSqnfTz 885fw/Zb/nlJ2w5aYyl7hKKMOaETNIa5E52qYLmPQd+X41f2RT1EKXMn/WMv8UWWO/LsnCu80kha OV8xwMeDmodACND/ByzJC1gzOk14OmvGoV6+V+95n8JACiIQw/u8k9fqM4DVAQSVoFMp3D0UJc2g Ia7N7jfzwrW8o7jbcuDjSvNC+oII7a6Gojpmrd8jSIaE5gJ6dhrFrIl73Cgqzm7ANM1qClXuUdrc qc/ftyFovOTaXWLJuJNAN3rPm1Gx/aoqUEV3Wt4pQlHmjNwDDLzqEWqOnNktOdoBQv4+xw8OaWP4 UwdJi59CYFtvDstPoZTpPYMLHvUM2xOZ2hZ+hSW97PtXNrqqUsr9cFHdtduk1dL5agAMjZNnP4cE bj8ZBk5Xi9B79iztAgheFQPPjrFvhJrfYoAter81jBBKrgqGsy32laWcF7rNm0L9EIoqOtFhS1AJ gt6G5ctd4izv6bOmE1BhI7KIWtVVmwjnPweKcKj9vFPWqkj6T4qYATBu5QlRlEJjt3tfo335o4vW 6WkQ+jQK0XsOLc0TcLBYo3LxLBFWqW2YFNIi+JGNDTFozzFYHj8VWvK8E9aq+6nMTK2Ima+VQUqs FYBSLuXzzlerj6KfWhsZz4+ybm/jPY1GZYICdSTzC9n7Vu97jHqH98W7Y1Ee3aUzhrmyLT4jKxnq FhpLAyot5uMgFAsJah33ILKTOsqjG3Eb52CrvihTbFUkqZMp5v1556qxwv1VwEP+Dv1tC9B7Jl3+ L+EcylIWG9SHdKZa77364L4NZ6pFqbS5y3X5yfaHrg5FH6i3sgxrFUBclmGAbLQNUWbZpC4pHHe9 7HuEJmFEuzJp5KII4f+RMs/q8/POU6uPnNb5o/B/fP6Kfeb7lb2n0wATJaZB1mGlHJiFqM5uHaCG DpEa0VE+jTYwBqyYJGrxgwiS2ZIXO4VhSlsGdItpEHZwzXfuYyON93zapSFW4nnExXpAEIdSYweK NVFCvZO3OYrBbBElrzfeOWpejk3dW526kN6DBoXDBxpAsmgThSk1z2hc5r54g/WkQIkOGWhBF8pE 8CuD8j6k3qjjb5OxOfWuQYSISSdX2RahG88+7c2KrPV5J6hV0ZKek5p+cCQPqIcY5dQk8c1pGOKz he5YrPfb62jT7Zrf6WlVioTokFEjissdHZ4rfQ+KQtR2ao6pDnRBEb9xsKR1O9B0/H5np2EPjFfN unNIV6s5Zsmfd3IaX/FuIZpRZJinjRuhKKfGuPz8kU0NEv/liL3R7kwMpHmL0HtOnek0gO6p/B7G 4/dwLv5x6vdEyboCo7piJT17ZsvxQzqH8tDLBneZPEOAsrRFiI+BnyeRhzY/79S0X3cZE2i6k5EL oRBFSbXA1t2qVej2+10293QPR8pUKkTvSbVmMNg9UoYcD+UKc4tzHbPb2kCv6pycZdDKBmDkUK6/ QDQ6PrwT025GBMcZX5Qy4jfyu665ts87La3KR/Sr3wp6gJBDjFCYVM963Yj7ly8xWjTbZ2HnwLWR 4TspjbkKm4mb3L292z2pCyTxZya86lwIMGwmnrGhMEMLoObH81u6Iu+cNOx4iUFgMGCLjXrOR9sE G8p8/F8daWI+C/3WPB96Z6RZPwkNIW8rShubCr4Et6o11JyR9k5Iq46LhVuvL951hecePKM0eV4c Qg60y05dBHecDKCsdV0L1TPfCWn4MxgcZtxFn1k7aRwTmvNFz887HQ0RSvW7MgNxunFeoBCFfemF amO5o8E5Q66jwZiuBTWazzTe2Wg8CHVI77tYiGCodTJONhfrhmI2hxpi8MNACqPV27bHKfp5Z6Mh p6DY+n+Z8J2vsxXG6/yC9Xkno1XpFHx9ZkgXpcDKCEVJ9STbmqhDlh3LkfqnnKrefZ3oemkTvSfV 2XSy91YbZD9lRz3HdKa4DtqvBRI+NMXAhpiEW9BN1odiSy8bdBiX1EX38kUROumtyDjlpCufdyZa fXSg1UObahJ4df9ORONR3e4klX58O/2450N/7J22lR3vPDT8eaFGXwo6/rZ4hwgcYmjTiY6e9xLb gXQE5DH43rwwK0PvGgVIk7HJPjWk6MztHah55vEQZfq809B4nZTv6n5QDedGKOpRt8SCdfoe6lev v/ZWvIeW3SLsnYTGBjJN5kAAtsUidP4Ee6VpSFjkVqQYDQLGcBvwA1QLjZiQzzsHzfoXlBTNvigj GpS906/rn3cKGh6fv1JGZCBgRFmAopwalwgEvLxBNLIHqO+rtraRG+kje8+ps7nwFViy2WIB6rCd 2VntjxOelig5umgWMlCBLO9Ss4XAdw22EFkeaA35ogCdC4xAcBZ6n3fyWX3cZA220LdwATZ6jnJq Oj5sBajTP0QStWD+jmSX/djDIOfv1LNqQtmFxua2WIDOzyl9Qkce39g5Thct1CZ4GA3s4vm0qXkD ft6ZZxxyaV69iy8qrzYaf8qGRv68E8+q6LTPMY0rAnesByhKqbHJoPJgen1b7k4czq+VvAkL0rIC FLepyU3a3EhcLEBQEW0Vcce8BpLbmKfh5IayCvR6SGw2CkzRuwYB0iEkb3SpDXMHzUmwILtN/fNO OeNV378+MQDcqoCvDFCUUKOZBckwn3QIS81JB9msQlBrENT5c4IzCAl1oUSaLX5Kpz5Kr8k85rBp 1K2FJqlg488pDSDc551uxnkwgVONSkbmYEDpsL3oLIDMs3/e2WYcWfSvQxqlT5EJHwMU5dOdwGDJ 9VayOlwSy3hnCBCVzBWg93zacPjnl1Kzn4ufQaf6HZ34H1xmPUkHYtVCfUaorOZ7BlEK451p9tWC ZfU6oTDImSAg2QDS4vJOuXzemWZsfXxfY5XP74t/ifLpTTF6WlADX57rcjJ1A7nDRMNytzb+O8+M 9Q5u+DrUpB63SY0DGwqxWwIPafHDP0EENBA+Rj+370FgyOedY/bMoee8i2GnIE4ldNCcn3eKWX1I nVXGRehU6BxieN5zabnawcLAdVXTLTdmKn4EdUq+MzxRgxqwOB4s2RcvyBamoqsKm3jSwlpkeY15 GESw5+Pi2CkW9k4vq/LcwISeqqpcFCAMBGS3fHKHzzu7DDsh168zmlayZTlC6J1chl/aJDp3HVW6 n9GDghkClW8nl71zy6qxOUvOQpnlrw7+uXlTr1Tmq7mgSUTbIvTcOxQI0Kq+PEUigd+5ZVVwZ3Dw +aVJiOqHQw7o1HBStvBHfE+l/xPLAEQytPE9QlEqjY4MNB2SFxtPObau8EWp2fSv3ollVRaUozBl xsIcd6pBjT7uzowQ8pVMKFHFFIcapBLGU03fOUt855VVGVWSlCAQMLrlPIRAIkq86Ps6qeI7r4xX th/TfD8UoX1cQMM7r6yaJcYlJJzvYV032dEdLFSalxvvtDJ85Fmp0Kq++JRjnR1Tpky/IehZqSna IbLbQQ6Yt4efyav5vJPKeA5R2nlLoB9cdEKbYVECf64fCPRnPh+VrPmrIKOj37zj1ndKWZXWHO6B YShpKfFQnj9fFCfIThahqEMNyWyOyLIvFqFdAI2vlJipkFSTGR2FGwjRy43KIOoMkVH2Tihjf5Bn dBdWsXd35hnQIONJcv7Zn3c+Gd/REVUC0MDbqXqE3ulkOIkSca7TNOenwFWbydD2QRANbArBinGL mh8YnIFt8bYH5MFWF2M6oZqnBGTBGJpmGCfRuzjyQWX+dzYZobyEnHVNO7qpzRZoIBR+OT3X+Xkn k/EzXV93fYb9QU/3sH4nk7HSpeA8Gm+gby5q1oK+OXaiXApl+Qdh5AhRlFBLqnGzdNXiqIZTBkCM jYSEMsBxnQTlAQZBcY70lGSt6F3/uu6r7DC4GKBz4hPFvjiJ+eedScbGwzfelddDf/ZQnFAnKjll 7pxF92PTmie94cQKoEW4UjBAUYe6EbWIE80Xhw7hYk5IuiAQvvtCdQN3B9TzkC2EfdWVLOYw8Z1H hk3A1tk5iZYvihBEa7PmHJuPRxn1d4SYyNMuQxF6z6ilBb1oI8AIwYVdETpZXpnmJzsa5eU6f050 m1VeY/0ut6o/B0aWFvj5iZDwxBbKm85XUJWRBp/0islFeOeQ3VHZVG3GxfbQUH2E0qV83ilkVaoG z1fGLi5b5IpQmFEnjKGX2Vrim3BI+aYziaQbAEoWaDruUDcpOW9f7CPr/NC6ZIHLrt1YVPAfbRj8 yOtSZeui9cU7gayKtINsum9fnJCwaYR7/sWtfd75YxwB5K89hDYqUweLUNSgxqgbmEul0ic7vVOO TmkXA7+6uOw7e8wQGSc0QL/b4mVr32kSBoLOawWAihGCnhzOJEwarkMYer+fd+4Y6/r0ytiABr+4 0X2Pzzt1jKN3v8o00UDbDL6uFqEQPE2ry+STss2hnKhjaoewNVR9mPjOHHOA3ilYeelz8c5Hhq9I Fy8BpPRCQv6mc2FBBVGu+AeHpp933hjvS5YdXZ2PfgWu4ApC66JTBPLxYAvVb/g0rdHTRX28k8a4 8QY5PzaP3vNK726CcRigiepNAQqTatWrlUk1F99CK8EKmjdZRhewdJ7liz1FCP8C6WOnECGe75Qx HpmZuHL28bloC7E0JrC1ncL1nTH2C1ol8Nn+xpe3KKOG0N7ZN9kp0ZySSiRNAs4S32+m2NRCDHUp qliHL96eziDsJ31jUEtLzAYmNQkIRKUlcVPvLOldg6s+idNCYzAuag11APtlSVwzHg/Sxf4LYo6s lMWQAhQm1Gg9pJzdnaBfH8dci7eGOjgEOqaj9nQS9AzMNVscFNMLOFCDDg6rnCKsyDUEaTR5w3k9 rtY6pkPMx1aemO+ib4zu9Ow5n78MHg/m9eX7mCaSot/CtYcJdU1krVrzbOzcf9ya4LaGILxs/Jb3 hFpo4wHZwu2Lb6EJtU/c/SDVF0h1ycoQvXMmJPOay9HQAL8joh1y7zSWr1y0hQCiJmeknGwUj/8v IGEYQNd6m0M9SqcxEj2H9Gy+hfrVZpjs5XMLNR8j9gjyMZYCBENiLdY7Oxsx015JYo0gH2ZHWgPN +IPxk5VkVD3H7wgKDvJWqdpriwKEIQGTvXpOPzweEaS+m0MYIwO07AEK0+kBB5A0/ZROzYvWqUpW k2hcLTile9Sf1uwn6RBKzyGUBpWyoQdAmsvJRqlyQdX3U+KfQ63mx58QoIFPj9LpycZraVML57Os 688dTowTjFfLp0f8wzEvjtr6tjCTucChHlIQARyaeZsScRNRikESupoujuAKEvPRo4x6UpaJiGtb fBh9klxIEDYCGEehLxvoHOeBiT9+IdbTZRmKXjaqWwfAHrDetEV3WUWPVBlOmZ8e9agfjqZEGdYP T3iLUERDBND15NMmD3MOoub5YiG0xVDCy0z3epxRs7LHFW6LHURtl3PXVwIayglQz03gs3MGnKy6 QZDjXvZsMfaoS000TOudpsTnQJjUxAFKqQs21M+Jj8eDAA0v7Ac33DhbqHtC3aOEGiQSfAhG8V3K i5gutnRhQ406aJ0/J9hC8iQGSMoWb8LiLSBaQRor5h2c1rd8Ko8OGdOdLmoI6kP8HcFlr6qVrvNa 1INN566VrVXHSR0l1F9fGXcQcox+D6KwSz1hGJ+Sech1iZxQt2pTzIrdM6GoO39O1BuSXaOZNz6y uiAqnJ3D3tDIaKa15JSpSY8sipK7fuXUywbfmNKhlu9iNzRIWVMRmng8Qp75XUbAB1Dr0GKwCL0n 1Kg4aLQLwpWUh4sE9SEOwxxoEyOML5ZHdZRQb3YNNwH5WixC+AvjIDU4w9mYSXxNws3P33sWd7I4 x17Ru0Z3GWVQRRfnIkQMmqJFF3ieeDwq673xYZxyyKbebywCUcP059zwXe6Vi9Kt5klMCsn/45W8 iDPv/DnRIaTO61IflodQNVu0c0DNZsCzOaRLXADIm7g5qf3rKGp0avBLInynmQ4sX/SRSQUL/8Le Nh6PttA34IMJAjVYLURhSo2bbNciMRg4NWwL0SQlnnvoJHnWG+pRj3qRt7llZ7mvneW57hN053GM o0ddYNxsvaGM9iKGFQBkqr/YiRLuEeQD3yOqDVWvKXmIVq1F3uYLX1lITWzfZWtONNa5dI4R5dQU 0NuOKT/BytcMhVhC1a3owzBlHFGTepLOgVzIF7vKRgYXqFM4p2AL5SKlvUIxoHPzodCcMh/iST2i nBpSL2ib7emLIoQfkyXqmTMeD3jRDwJW+s0wINx+EI0oqe4gK+zsd9leHNkvmXx4OkTWvXjjcY+a DY0pmfj5yMRnWOpkQSywg24bH7MqqJ9mnC+u5YXNjF8ScROX4B5snzGPn3bdn7MSv/Cc3xnPR0Np 30XsMJ6vthN/qxCFOGoUZnMZZHrR202bKLfmzY+KYYRCFHWpM10Hgb3TQnUj1B0wcoXGIO0GOrCM nO4nCsTQuuIBCQNfOPA7Anwn20K9iRPEYpEBgpgih2U4UvF40Pzo/btyhUjJuDDqEaXUjaoey7F5 e1zg0J7VmXeLsk78yqKUmszxAglZLR6gTHuztbLQixkK4mQPUXWEcVvr3vYwm8PviOYc7JsVFbDF Z9IT5FAmYDBIxePBOVT31w4iS2ldFPWIMmrkQ2ulOZzvUry/KPd3kRUg56wdFGXUhpdShc/FP7IB yRmaC8PdK4FE/kMF/UZ+CnoX6dLKlr3sX+cQBWzp7nUe3gxROvkF2Zu4JfH8v3hlfDls23Kb1CPK qdGnW4ThaJzIDo2RpuqwcWKdLrk0opxanh57CZG/PGU8t30GmtEaaJhBS8m6TzYW6ap7kR/kReJ3 BKUrqYlj6Upb1eGL52CQ+/R544nHo1nZd04N9EClJbgCFIKoJ2f2yWb2Zu1BrgLhSprZL/oyJ/6c 91MI6S7a9/KvLNfdE6o5oLyw+1Ggx1NJpp7AJlcAqnES+ajM3zXaQg31KjhFtqh/hrqbPmJt94HH g3xofX9kaI9Xuo3pl0Y9ahx2C4x6C1CaD5nj6sEX2AwoQFFKPTUBAoaGC3MyZowFbd1ZtIOgIdwo FIdhaQU8ZXIH2Td2fjx+x/sp1IkLn7IZumqF59hH4s576ByXePxfXA5pOqCuT/cTi1LqwVliMVr0 +cRy9TkQPbnES2zTsEMjTqlpoptofcrFOx8doLtK4bcKYQ+c/qjrcUIDK5JLuq6e21826jAC71EX U+q6qiFcCgzUyDmFYeHC81Fl/63U0ADKfWAfI0ypicPvS3JvyKi96oBntY1b4Tip1scIu9TSf9/P 4iE6tQbEKCkkvNsaUHqDUgPENpBXF2lZNEkwNb1sxG6ldPduyRfjcsDNgXXOLAWP/6v3Qb45Ar0v hXyGXeoO2EeuDvvA1E8je2MmsvcxOJA+EZqhNp5NOPpd7kC6bsmVEjo0SC5CHx9lOljKpHIY/owG HjNEfZDlAnqIL8qoT8agBPVckw2PBwFq3+c0tDTqKldpKEqoSd0cOqcnJvYl2xYq0qbERcZJOquy GXapia1KtO7QYlvofFXQUZASE3QoRfKsGJ93Knz3q9jNESR+R/SRScWrVl8UIPjV8dA+ASp4/H8R OWPqCZcKC1Cs9bF+TqafL8V++0XWu40VATN3buuMaYnlHRVzruS85faGLnUuTV1qDFYHyCKZAgVG bqUKwYy71OQjNpp3cBEeOsOFl8DfU8Hi8X9FSLwYcFvLjVCUT8PQGeISTuVAx158qZqK32SzE1qV +HP+usnoImeLo2A7yKtJaignv6pFFihEEkH4jfgvM1wi9mzGmA/KNJR+Fx1CtS1qeyLpzHg8onJ8 f2OERzyjshnl0xCg3uf/rgEZZf4vXWr6oAPSv3YIhUp5jT7wgCfY4v3FgaFW6nJY2Oi/0iYPQukQ NDHPRUPiY9fil0RCFvXlrkc3IE22qNv5E+DxYJjYvlExaLgQBGkRClvUEzVrWlezarscXF3dI1QG W9SIUKz0Yf3F5otXHBDIg2OAyC6tTCbe+Jk/FNdcrOqVDNFtcUbptPmappF8MWAV5BeZLc4x8HjU Pfsu6imru5PXrDOCfECqFA1Ml8EdLVm9MXfzU2jO7AGKWtSLAp0JN5EtfgoRvbhpRFUw0QLyAqCY xOFfw3V/udHUWpxROp3oSj0XIbCEqquoHxjBieGDe+x/E/pAq6fWRzEvpCQS8kHNDPkIl+6NoW1z RUA+kh/ToWZe5XSDjjhaHFcF1EdDOYKc8WwhjdIa1QeQP7f91cLfetcANUQMPgohX3SPkTZgznh8 PIJVfcM7oTxWQaO1AEWQD3hCLvr3CIpPdT7pUbbl+u7nOLJ6Y0bptMl8ZKGrcvraQWmc3H5Q9+xU 9VTRgVMATskJQBs1tK1DTaD5jNLppr2TOeTgYqihUiQQeo6Fjscj1JBn01TTwR+l3B7+jCmJJy6o /xShma9R56KSszibaXmuGDWoqQxXILPoi6OGMGfNiVoxuUIQLklcr7GbCBBsvQjhVfSufyEa1hZQ eHuAzod7dqRKiM1XjEAx6XsLEVpzQTErSqaB50OrQ8g8kC+uHlO5xOiWXW1oRZAPtC+wd4zU8dB+ ofuXT9pZdQhhRi/UEEViJgXWfktxr7A9rchk3vcrW9UHTEwb/AciUcfjkZbON+QDjemWth9CK+xO T5zNeSosKJ9cKAZycF6y4ptRgMJkmoaSmzW9Fr/oC9wruhDUCU4lTCch2wBSKToV+4KGsNHxO/5q TvfGaVmHjNPQxwLFXvVedy14/l9KMaYIglTsRiiWm0ZDsblz2ZJAg1Tvi9NdMBm1CEWYDxGCSuFp XUjc+2lCDfWTCK0ipZiT9wxTPcP/BZFH59/FF/ER4XcEzWkbbfTii0Ax6yQlw5Lpgcf/qWDB/zEO xn7bHiskJcq/tLrnJEba1heSZSAr1rkNFbNCCHVnU74KgFafUes66Q56rrJ63zAN5E0PqTmZYT2c skng2Yq7011N17voI4MQgXUWd8Xj/2p68CMrg67KniyuKJ0Gu3cR7CS5exkqL1Gm3LGMLtiKUEhK ZNP5v5LFk4fXiUEk2APonGWoSZJUNujjg2H0pSVuTutXBPjokjZt7S46XJFbsDo7edfE41Eu5PUG m/3Ev5YrrryidDrRgaNkNaLPf3mEVqI+v3WnmxUcK+pOy70cKoK+2B5KGPpQiqqCAzypiHs+W3AS JlrEmR64ZjBF7NmKIB9LMiib5HEuVnCADMFsaPSBxwPIx/KCg5Q3EKb6VUFZIYR6EkJtEdq0DfP+ fXbLhDH8rl9hPt2YTycRFdLtASfUXqnprq9ArjdKx1UoJHf04DPSZGsMQWuYLxuhhuSfSPhi76yw 1FtsRfbu53PG48Fdtn0T6dTCTHveztAKmYmJaaKhF861xjYjm4sUBRWXI3VDnq0QRN2lf7KyL/cz G4Vea4TAzt7kilfPifTTlRq1i/mYQ+8aIRqYSncCYbkoo27nvslCtTa+YnBU3wgRLXvyjy6SBAMU gqjR9xjTPcsn5cdNpbu5CgokgkyHOsyoabq1ur6y/v2VjZMbbrXOMIsoBMUkTosSO0Oj26QVzqx6 2QDQsKW+OO9i3Vc0z5hRn12JxyMZc//KOK1HHY1mnUUoyqjxoruQa8xRdMle1o9ZLtkFc2NFKBTO E7penEMutoV22uf4qCS7YGzSFuWYaPM+UFhl0jwsIRpDLxtNgQg9m+zkc7GjelEsW6htPP4eofWo nsk08bzIgxLeUU49gIoZw9kuO9lo4xQt/ebUY3vdukNeYmbdClkIW7yFf0ox7SoI5baC/JpfGXwC ME3sHHKkx2F6Rzl1I1Wh79x80R6CZw7rzpoGH4/YLr9MJqgNMf2k3rEatXRyl8/Jxg0Q+V1qns1h e2iHMh9D0p21+fLUrelcrHS36UyrqWKR8MUMwEZFmLK7jGL4O8RRGyimT18cNrSXtJLP/YvHIyGU b6UY3N8VupsWoRBHTYukVJ1SVpt/ZaXPS7JHSqwIxTm1ZtGE3HG54DyYuABfQzPgChs3Vh0Qu4UF 9FjrQmIw/cbviJpnOqHlk9SLBWgSlUqgeekbj0esxG+geVYlfQMUpdTIdeHRU63/SukwqcI1CuZK ann5Fgp187py6bx9uTOOXjZsmFl0AGY+DQBLAydsIQp9aArEq2xHOfUmLRpJlS86OjbAjObox3eM xBfz10FNRFW9Q44dYqihhHK+fjcnT+laJI7tguYdh6siFGKoqaWTNCZLz5gsnfwKuJiuLdRRg5Bz V1mvYvr/1T0D5wS/40+ljyJoXnFoHtxhluaAp7TH4xGo6hu9iP5vqzdf3KFqnir75t0zikhrC9Fe VwGC270CFOI9ukR05vbFwYuothfBOSe3hpzn1hwRRwnuH8xvHIWf7V0jsxsNWvP0Rd8YWIlLZI60 8HgkCfctRY1PnfN2C1CoRN2JFErF2C7ZNKp+mXJAE84CFOE9Bl2PUlrZlxug8+NPNielj3a+OQbo ZJdJ8FMV9ppE9653/YvWuvu4iwIEgMm0QWvB41FR5ocQu/24OOb1ndgx3qMTECPD0TWL9UDYs3Or 1kKzXAxad4z3IGkzr7t486xB1mxmasVA96pa2YZPgf1s8qWmXEuS3jVIFpvUBDmQHi67iG6AxBJI T8fjQcEx63cqBNPHli5wcYcd6sYywyB4a8qOg6REAReLa+QqQiHgY7NDXYU+q/3m03W3skahEXmG t9SkVvdCYAq3JgYxhqnaU+8alGQ6nqeIHLN5//X8KUUTPZ8YH4+YLvXrG4P/0peI8A51qCUJt+XB cTKJa9G6CEqWs8ucfghFcI8pppR0UNKjg5JTh9HLVGsI9ES5T6EKYSvnB0N7z4Q449ihyIds2jpl lrkYPBhqBixDT+2Kx6P+q0/JRJDZQpYqQDL2eI1QKxeMNyl55miG7gMhYIaWW2unUDWPQl1zrrtY xZEh3F1AXUaHCcTWTu+SimkQQplpkWqgIUoy4bcExltEMqy0JWeOf7PazRviPxygtjz5AyKcuReu bAPwVdITplA6D2cRDCEM9CE5uE2kwfBpYq3DjdpTmFTLoX315YuFqXNgxqwHaQA8zjuM2tEUpRoK ftrjPZrtdf/k3m1WZlz4W6AAUbeQv63x+aDweGDC2OodNXCf84YphH7sQkUmw8ZsIgNlZFt786Fr 8ZFZTiGUWvWrhFG4eGY9oZe7Vb+eFHRsgmNoCciDKqPdeK01s73unwCrJZQMGOjkzOVd9ixMVsfJ VvgDgtToaelLwgoZx8o3TlF+DaugDUSwxh6FKj7mIbmTNdM2uJYWp9AokR3ZRfcLLbadAKVdGwaD qPN3RYlPAhVqNBT7PK89fyzbXjdCMxIPm/PwxRqOc9UktUrYIqe4bV2/zia4xcKF6UYpyrETwXrL uotO82A/zUNXwOy5H13Ut1ZrbK/cffGzCS2j3luXTyv0Txu7ITi5oVRKJVjrF2VKEOG3BNOPVZhf M4scdxAOy0qpwkKdlc8HhUj9dYKjUTn749aawt51Q09f4HMYH0zoUgqxV8xIupwiFAYIyALoAxnX ImBDJ1+8Wisnjeydvshw+JkUgM8Z+l8DQL9c67yNx7TtdSO2GUlUaw9ftJkg7Knu9SkA+XzALc/f sEaUQx2zcY9S3L/G0dRLs+Zsd+TnguPqj8hUE+ZYFqUo354cNdLD2RYfNW5I6WzB0nafYKDhk+Pf BzCIzMRSRxN7QfwtUc3GplrNdzHMzOwicIFTwuf/xesUwAZ5aX72UqgDsikRO4zYSYFP7aVT61Yj ds6R7icXJd1wfUAqTek8LvbJAULUgY9klCCuR5ECqstMUNtxPFjGVGqzt422EttrnS5LnUrp/OLA 2CJov5+H+Pz/gm/klloXh55TlHZv1f4tWWm7uk/1IWLjHUj47ZohXiQGQgeukxeOu/gHB/vlPQlP qxAL3JX9IwjMIHn+YVVn8npySE4RMmSx7O+5FF+0k/I5FJgxnbRy8Pnge7v4NHxvyJ9m+koFQqQ1 e2zV63+r41S9XU88dCM8SDE4RF1+UYX74zoJACXqW11xJwOYBBJXfGUNByd7/RT0LpkMWPySoIek nbTZ0eZiSOJxjivWb4NpZegCcytcZurIl2RpzyCF1orozJzs24NEbQsjVqV8jTk3edY0DgwBIsIY DYmfj4uVhazMSYvWFBIUGBcl6IPyBefe39N9YNB+srf9k0gNDRdbrEJBI4fHTILbdmiu+OW/gJ0E 2zcSoC1IUe7d+LmNZNr5c5f7udUrANZBl7EgRSAR0sxLKSJ5lkvyhPQH7jvZtQOrvmRehfE1+ts/ NBpyKF+yt41U5PSdSRgkuzDIuXpaZr+2rVL5fCQb6yP+4jupPJZUgcGiNvCSUza7SVRjYCtgVf4f qU+0hklX59BhkfOgQoF3W7zUBecMZA8q6549K6gRHM7AZsk/mNw7fag0e9soSMwk5UyOabh1pxfG rETSoF2SA49F2R59tf3xl+n52UnvabfcPc9JRLsu9EvGdnFmsqitX4K2twUpTLtJrqoY+NpiO+kc 0QMIEQaplHPqTc254foCeAyDZMoXnGHnwGTRtUHobGeL0DTjpDuif5yqis8HQdq/pB0a65NnJ4WO MIuGVD3bTkKsLUg0PTQF67X8cwvJjGKACFbDxXYS3P5aJQQdIgwNuuhomhhyHrTzfWlE3dxMQ4EQ 2XmUJNpnchBsAb1fOQDcOkOnxbJ/5QBSAXy2UiRkDdelc72lZJ0lSfEgSpMOtfreAAa3rfRn0g3X yeLL11YaSz55cBEaALGNLONoDJLQBHPUkXKA0GsxE7M2E88mLgbMwo9lzt165fORxu6vKVKnBvLN AUKzRWAmFoCzlnOPObyAE8R4i51vhLQcui0u41kRHzuvqw2S7ZMkpUHbkwblw8W0PIlHBJlG0OF0 KsGm0V43ypSyFEKSL1bAJRVSuAY2n//X0c2pEyUMLjgrB46LkPigN4y5VQBAshypTkUBIWuggGNR Cs1hGJ5d2YLk4kP/AggAL7Lzt09oLjJTQieuD2ZK+yYB1d82OrqRgpKbbIvNI3tu5gZnLxmh+X8x 0Dnvq8+pFLa6cSo1+Q1As6hlh44A4mxJQNoO8suB6aJ6GdBzopXXulZe6CqV882x657A4S/q0SXQ pc+lDweLlC7+KE173aAXwKQbkk6+KFWC1ZNkh+bKfD7S/fatxF2Dltb6uuCipBsjL/RJkmH6Nc2W HEa6pAeYbFqU/sSPnA9LKK1rw75TP5X+iZVkrTuk8yjN28C77jj5FjsBmrqtZG8bTZX6m2TIOo+L ldvybHw+qEzKN40Y1o8dRhYWpNB7ETUHPjdzOF0cDup762V5kEZ2+87QfHGLO9P1vfXne+ugTaZJ w/c62Q0ohLI1QNkmwVpevgnJlkP3xUlM7RCEZMhUAIfSqXaGoGwFOymwX0T5do9u5o4QXn0gEjn0 XwS1d4E+x2N6bcrBsBMACQZqGZVKToRFKYRm06ohZYlg5XLP7n4OijVkyHS2wslwkmEAztndFgVg LklNftShAWMmfoRNMFsMAzBTZ0sIIHs+H0mk+6nEj5NHYX9czaN+N2CJMK0S7fNkMo+4SjZTWFBc 7wUXWDACsMijO8tgMD+n0rkCTm5a1AmAipFypYRm40TSI6KaWm+s5/lbIrAN8+1sHxxwuUyVK9yF 1enfa/MHRHn3d0rJhGQ8h3dgw4gbTlxrwx+j02djASBA7YajypqFKYRoEyVy0vjmi99w8Bmc0uTr yMELpkvABLSfTYUV0kX9itv2ugEcgK2SJucYWJ7+2EcyCIBPRBTz+YhM80vuid95fb64UOj6nKSw 3xKk5PzXcMREkblOlaiat5UCL0bXmCXCzBaPEpzCQP5lCZfQ6MPhPagZCLjVzukOvOeyt41Yj3Kw 4gjlVFLn+Bcb4uTEVSoiDR7wgRujUCm/E4Ha+7OXom5321TaH44rYTzFyirj4kr2Kh6lEFgieC2y U1s8SmullRK73ac8Oec08V0NQzEK7NHfV0ZxknfMoR1jLhKdk6BI8zxgsVXM5tvJUvl81Ff6BvwD OdseL70cGjLCdx6mwg5wI5tHALdH4RHiyR6kUPC6q6G0my+WB5wUAKMTlFXQmoUlY2FujoEF+Njl 8UihOip/SSQdRiWazmNp95sHnD9E0bSy183no7z7m5t18lt8ts9OinrdENM7Z9FyfMlsDg4gRdHx JfPupFj0mhiuKTnMuW6he1KABFFt3nDAShYOKwnlamiZLVqANH5vFqQQYVLZxu1j+qK8u51T0NTD 9uTzQZ27vqVCwVfiqNGDFEK2CTGhFjy7AW1Uh5gQBqGWCUGfrHMDX8ZsmrO5qFFZvscmyI8HMdvn cfwsVnk4RStauiRGWBpQl71tcMHJsgkqQ7aIXlPRkhn6o3Q+H5xJ+7cKHSRncSx7lN7zblxwEOad hmsDbNuhSlsGTsSZIImxKIV591CXm6BsLheJMzEwJoqignbciSiFj/XJk4DsAXaWSs+bHjP6LRG0 fYklmn3RXipQ6xX4v2Y+H3WW5le21MYvBkkODRoxxgcR26ls7Ivb0b1drK/TaYQfXOjQSNI6JBqy L34qoYRjUccPDmpQhFswFQcWEfpWd+jd7W2joxuSUYmcNi0K0jkFSXixbnfg0fifGthgzEOu8wYp yrtBTl9DKn04lShaprmJZGh5KhGgwa0UuDRmB05mWaTlLzpbg/AjBZ8SZLmnNADG0Ac3fmZ7kAGj 29tGbC1uoSrsUjWbupONn+Re3ksNH1zo0zh+fXAVQDPoi3uQQgB3HRQ1NHxy8mKOxYlbMrPOtZ0U Irhp0wAkrC+WBJy7kdWJ4Djnkh6VOj6n0AXCM8G+6cG4E/qWQ69GGro32hraok8ERAwO/U4yPvh8 BDD9br8t6zrfKIXEyM4LbrvrRak2OIEJjBPYV3Jv7/yHXSPhJYUCB1y8OunzlBGD3xvGej3TwRmJ AcxQwdlaN1eykXdg2AhVMB7eAGvYwt8C37Fl3ilZbxl9cH7DaeRN4vMTpTDtHtxBxWTFhHtQz4TS y6LcNKqsJv2gaFDJTDJJqvfqgCCNgSALQQrp7B/A0bv4EpQPJYX4kTzKy143gna9Kovhrhhyjj1X KJ+PiOzzKw+YlI2+Tlc5MG4EO/wk282T7fNf05smS/MUNE0SdOItSpHgSJfXFYorWx5kF8a7KuFA 2e5kecFzF5Xu+dti4znvJnV73eCLIyRgjHEXG3qjV8wu5UbXJDBv/M+GN90tCQa2KEV590k0sIOq Rt1nVw2nIu9ylURhvOtRikAmjcYEa0sYez8qhyfGuKW7EMVw/aYuK8C5PwMNMQKWbFS5ur1t1MoV UqluX9Sk3OA7M6XsPJZCWPevfjdtCfeluOXAwBFtM/BHdzcs5W7LQIKzCy/IbsB+tlKYdwsduGbz xbdShShFcpDg2VQi20JPHLJ+kHL0pgnKDHvdSAxSqDdNvS98HBJW/EOfxGJ1Ph98cPPXQBcgsUcN MocWjpM6bBhLyltOmByC7nFaZEapo6BQ/y32cHTZ5+KLp5QVYaJpSjrfyIR6I44l0BRng+A2zn1v U/ZmrxtBBDn2nipPppcnp5jupHbC8Xvx+SClvCgTgt9AhmhrP6d3KEECmZ8xpyBvi9AUhakRT2fk f5f5yaGRo8Bvk8ayWvTFof6HwCFlbNDorsBRjVOx4DcDa1cA/vdMoC973ciah2FK1LPhohHTybOo 831+B6q4wMoRzdTbNGFuhLbnI7ORQzNHKPaCKZDv5NtrXYrs21C3VAeaBG6OWaRA6NsVX6zW7RMV 7jRf4pYSjS5xmJy/AHUj6tU45t3OX/JXfTKEFByVhTc7z+fgY89P8IDAzvFb+VC8yfONrHZRuaGd I9IsSA+r9t+JzT4GqcoLokoc0uuT0M+RH1KheLotHqTCGSW7JmXSrwc5w2CvGR43AGhYETeULgWG jhLUpb1T80X1Cdz+OIqodej54Ir71VoCLBxSOzdIUepd0fLes1YHmoxiQeLJaqVudQ53Djwdwb9V EbeKL3Yunf2MMC9KrjfA6oGEzzRhrINTsHLJk+q/hZ6Ogiz1ycO7Y9LMmgKHPgS7cG2lsvgD/jWI E3bnBLs8IIrA1hHsN1qmTqMHTnHeWcWtrwZc6R6luOOdhMO5i4MoMMntyJlJf8u9MV3ijQGZAHgR PSY03d72D4LguSVX80U5JdxdSe+qGZil0NjxsU+ViUgFpvAO4gJnR7jJ05t4XkHfMi1bmt7fPX/9 PEz8J8fWjmIqE7OkxTPvE5+FtgmnledwXgSb0r+bPXlU267y17a97V9KkaPzBOei3lKvF0MBEHzo 7fgbbEprE/CQPUgxj7IAGGgkd3hibadU8B2k3QIIsy64wN0RrVxGR2qrXPx7g19hzsJRIrlYDGdO U2YIP+ywXKTJttcNWEzMKVumXCQXXUknE14yWzmJB58Perm3iKNDFDCCqFU9SmHiDa02aBvYTFeD XDn2NG8tja8oRYm3lSddtVxPdyqAMQAsjWjEB3gvhvcQcKGnPIg/6XErnhRry4HHY3ZtCfDubLEo QTWZZ8r5VXw+auZ+G9BlAKDn42uUQ5tHKCQDGniNjW6tO5qJ1xdJhNsXF0FNkHahjdu7Lw7K7RVa iGYVeu7pyi+uQ/SzYWMBZPNLkCwHRo8S5YE9Xyu+GNRkAN7CKGFaGTg9/ieUEi4PHVRABenzmio1 5PubEklW6Q4hlfDBNb6CyhPQOxij10SpJSp5jEJ0ti2OyD2Hx0JgCFk6ZzhHldajhBwTdR6cwIRE 6TVNatBwQWBIarTFMoATKxL+QJH7vCZJTYI+iE77sd4K+pOD/4fzR4mCA9nRPYeKf/EDhOjaFHog ogu6zbaBguB0RiUtSSAv18XY6P/DFKjyKzsZRSlSGAefsWHTZXTPzOfAkO+v0UFFQcybkkguRjhd sGzDSVTQv32NztNMajqtwcb4/4x9aW4tO5Pc/7MWocF58P4XZkZEJuuou1L3GTD42Xh1VUqxyBxi kCE4ovOaG7XM8TTu+mlz7l0cftPkX0w1OyhsMzqvmRG2TqezY6HLLBfHKQ8Egt5g5/Y/92WTOCkE 4qkSXVAxmSYrwdyveVGTmczZHcAO2KLLbJ59VOUattvnNSlCaA0z2Yw3Qe7PtuC8pkTYOo3Gstaz XbsP7/6Du+/dSBzcDM5rQtSy9/6rUmxai+vkWZB4S+Yhcu6DVYj0GvAIxqAasfTgdJ48r+lQc12b WQlSnrCW56eF4Skomqg/TlX7ec2G2mNzbVsHfxZwuRSd11yIHxba/uQacKzNKSISRlD4PRcaVLKi fWr0YVHlD2NrXyw6DZLZddJHtuMiTHLDWvTzASWswr5qioVLudrXRKg5PGJ0UnFH90TiVCBLIMd2 /oif1zSoSUNO0WHrEjx1KElbeF6zoGZZ0GomzoIr7E79W7scAGRzsgYNwmPWDzxUtFh4BhxGF93I z4EM2zMoR2cA3s8fG2BC+n8LGkHMyuc1A2rABOluV7O/+7lDDoq6Pa2Wz2v+g31Q77mjUE7HR9Iq 4DU87iJPT/P/kRONf1t9rxueVm2U/Zr8IDwtkBk9F9j5I9FCliQAOBohPCAG81dUn98KV7kaRB8X 6ZG7EorMxUB/kPXlWG3l8XlNfJqEKL52D0DtoKNZeF7THpzLlU4G7VZj1cPTWCxILLt36368Jj08 l4tkxO/ic/5C/QhWrB3WIDLeLZVkW4y48mOQPimI9Jrz4E9ATCQuPl+svQ+5Hd7pJ2v6vGY8fMNs 4SENia1g5FqMzmunsUmeG0wtp7MRCabeEELibQ+XsnntMzaxdYfy1+FprDbPSB3WZqhUx0lsmJFD AOBUxzAR+aEWokPYml41iI7cQjIFRrnwZ1RIFenaOvXK57XH2DTGss3zQ+PQ8xbVT57XBiP26ySQ Nll0zO0bB3Ompqm8rwClkIREmPFQPzz35Iv3qtvZELmT93/q2PM/yFLOwDAOTLIL0UGGXKNv0Wtz Ea+qRv6SA9by0QoqX0022RF6bS3i5DGSdhPnH6IMPS8PzzuFrbkQUndX67nauLsnX9PmspydFeXL fakdJOur/FhfnWDNvQHox8SjQIShk+YHIz58LlmOKhKobWL8R/sH+U5PUPa2Rb182Eiwdwpe+ued wNbU13s+L5qyl5Q9QlHOTD22mY1Gc+Ljbg+TsHIj+ZFsJEJNFKEpjt/svnhFseE0Sj0oXmRjc16b IYqMCS30ndZFrBmfJtxDomQZq2YZ6Qhemkv0tVz5eJQ2p69PDGSMzlNIEXrPmyE3gbEZNZSZGu7t 3bI92/3G0KI0JFYQoV3JOCqCPJQH8rBR0M3ECNVKaeJMMa0ToYZzCKhCrypUu0eZs6pRChDYogCd 31NitbWBb/SeOstJVAFiCXLi27EfLEBR7owjesNQwgM0L0903AuMYpE2yQ/O6C4/jEIpei5+CmUI 1NKJK8FJZzSy3BsUNVDF/2RqW/gNlvSy7x9ZJ2Idlr2+qPDaAB5zYFYADA3T59G+T2l0gEAntAi9 589oAU5uoWmIkJlc0m/3K0WPgaw3XKMIkYvdyP3QYh/ZaufoSd3kxmAmT0wkqJBnC+H4pocj1bS6 5FneE2jc8ay6JjHroApI7xrtpl4pqHYi1T7vlDV8oyV9HUOZb1CK54jvlDX8av1R0UIWRByHZUHN GdllXOTsexItbgv6gnSdKelxnYFlAJCXSaz1cxWwApsUOwRAbJXHU4W2PO+ENXwmBMwuwLVsUX16 9qyG4ecmKJ93vloDD+b7pid3cnBzK0JhGs27nt1yqtGn7A3pvXq94/thRqDvdDWe1IzQkOLY+DJG X5kOYVV59EyTzkVLRw+GDOOeQ3ZQR3l0o0fqVDo9s2vWnRpp08n0JIp5f965albjKh48s/CvzH4v +yiRhpbAloSarJ2ow4bRPZRkrTe2r5D4O1ONAZIgZB2+WIByhzMIch/UYQPIUNVhGE8RzyWr1CWJ 466XfY/QQI8DE+7miyJUzsclieLV5+edqGbvaPkQv6hzjXV+qwrRezaNO4iU/m49oPUAZUYyvCPn 9tU60VE6Pbz7s32xJlAGcDtJT6t00GerfLI2vzv4PtzBj8003tNpYQyAuKaFPBfrAp1tKl2fDsWa KJ9eN59mewSWrPv5yKKEGncQmmTFUKB1+kfWPHckpdo5M2FGPcTBfpbbYJ0VSGsFKOUiuf4FxOM5 xCGRc8XoOSn9vDPUmrReTmSWfOaWy/qd0mH1KfkZoBqilFrgTMSDIzWAaop0xNlFjFJquF2tJWA6 I1Tr7dJvRzcOzADURgxTavXIGvUOuNwIdfRS6O3UIKIBDcSRhZihPU9atwVN2+93ehq2u9qrSy5h y11DFuBtmkLPkj/v7LQmq0lFiEZZGf5p87ZZo4wasAMMnrsFaFSHf850Xb97LYYgeqemWV8Albzk adsjT5vwsfYpLBoHGRRBLo2ga2zJRe94HUN56GWDq0xKEEUiNcX5bxAI3tL1Oxv2885M41Xm6RDV +oEkJZxWEYo60UAunkrJDBtB9PEtBIs5j9C6W+g9o0ZRz5qjSVekPR58wH0W9DrY8kDDiZIZ8HKG a3Iht+sC0Wj58E5Luz2PkSScla786gAWmHvonHmfd1IaU2q/yoQ8RwcZDTELUZhTTwCs2k42fW7b z6Feyx2sdh8ZvnPSLFXBaL4kX/ygPt/D7kNlWYOMI6l7py4B7w6txvzYfktW5J2S1mQkdFJyXNG2 2KgHhBOe1Ody+bwz0n63pDkKw16c6+6iKKlG/Ybj5zpgPSLH0jtmZ6htq1zfCWn8ytUxa8kX70qP 87WXTi9QSPYUkq1zxefWIe6SgXm3tiuNbT/vhDR+Z0Xbp/ui7wxYUibVdaT5eaejtce0WZcZHM/h Hu4RCvvSDYJV6UZoXo+wuq+S+FhOS38no/EyE1RIYhD9gTKeW+6kPRahBSdEidFT9ZeKV9jH3rdH Q+PzTkZrchw15yJb7CRa1Wfzc33euWi20Z8IkTMoCVZG6D2nhgYEqDHsP6l/lq1zvwBbcKg+eina Q+85NdKGLATMXW7KiLEYwfNwM9iTWJlcYCMxARXKHCf6VGzpZd9PosXQLGllrauVlZFmiUe20v68 E9Hwu2a/78nWo8Jdv19ZlFSj5X8i5Fghqb2a58O4hgbQqNYeek+qsYMRGkDVfbkH0T4Xz5QQ9Cbq eku7DgOGE1dgyr0uK0PvGgVoiuihBc06fRp7qx36A/2bzzsLjfdJ/i7uB8VwvHJ9J6E10brOAd2z Va6KFStXKqabVHayqfM7B83axzCYq8UXixBaQWd7T42d++D/RF1WCceDMt2lMQzihd8paGxf8Bbr Oo368gb+qQIN7AsK2jsDjXPA8VXb0/1qzruFopwaszsoxvhslTMGbaE8nDq80RPRR/aeU2eJ+Bby yWzxyXM/r1IoXnRy6lKabj2IZ+IGO//5uqqrHKl83sln3ELEvsrEiIsClIpqJdZ5n3fuGRLO26bm f9uJC/Ad9E49Y0qN9lA2QOcaw4RVIExbml325NNr+Byn1LjCMMTwxXcQDIwWWl+owdBQliTrohTc Kabm06RGesSfEczmJbZehO4o02EvyEq3qvWRP++8syZK1tNh7BR93DdAIbADVo675uw76I7Idrnk 87W9Kntnnd2qjOBoWyxAk87um3OOdA7tlDiErURkQ/a5s/1gHJiid40CxOZQ4kbioh0090lX5cxz ErZ3zhkBPvPrDCpsV2X/xN4pZ/g0IK0ycRaYXP+8lhgcs4sIu1zw6Z1xRlyQ2Fu0weJiAUo44TYB wPAMKShD2GBEkg5wEMevjlUcetegKKNjPJyQfFHZeq7D2kVZ6P3zTjfjIf0N78CcpTTazjBAUT4N rBw6QqZAt9tVxNqNDSMKhshUpfPfiZJF/NqwfvPFz6B9sp6qVKiAjd+VT1LsG2jiRcsQnUFUwnin mvkcCOYXlBEf/OX4jW1Oc3F5p1w+71Qzdj76V4QqS/p9ATBROo2vB3rohi/P43Kpe+1XWQ3IM57S 70QzQijw5fRK56Jer3MR0rFeqOoIUv65j4WzqqjhM4rr2/cgvvbzTjJjRcYNlGfxRUdQxkRGX9ic n3eOWZM83vec7FwFOocYnvdcGiCGwc6ZgxiylWaQutk+hx6QtFZ4ov50YnOxZmcq3C8MeleTY34g hE7gqF8M74sBDUHgOC/OtVMr7J1fhs2+SHgdefmiAMEpR+yw8+9/3ull+FXvESSlMDTwl4/J3tll bFfUXygPDaU1SFyOEeoYWOuMDtvTnFyUzVk0F2/gn8QBRxinQPDlWWR8drjsdoCcM656JyoSCfxO LmPJyckRTi9bfMaROceClVX/vHPLfo1a5c073FOFEYpS6Uqjy3ITxZyfRHF4sYERoEUo7k+zmN+q 6WUnOzlIxI08ABIm/a5TFBz4RKgzoIQs6dG9ILr2804suzCzkUQOhkYOa9bzU2FaS2mndVLFd2KZ ZZrPV7bO/unk4ClEUS7diIWZZox6ktJVvDNU9gXdt5sJhf1pnj6y09Vim2iMs4t6VSZUKwf4nAKd awhuYT/zdvAzFRo/76Sy5iLYoy3p8lXYYmsTnYqao+lx0qrPO6mMFWv7ihD+PA2zS4tQlExjPAe5 U1Mr2vnSEqrD8Gkw50DFqEE92TujzaAtPkrsgOhSLj816PS1pJoeUmpoG+RGXRA1hsgoeyeU4c+p +Y/AeNNRN/TpKlL5Pr/2551PhnfM6ysXQirc6kWbvbPJeNXj4yKIkoqF9DklCnhQgJ1jIAwUToQA VowxH/T9nhy2cnE43irjlLPEcsLkskmQl6xjmmHk/oDtB3X538lkzSlAezRbpDULrSzI/jJFrvPz ziVrj7KzrvqTHJzPjGA3hSgEfcBXBUWB6JtER0NS/ZSPuxWjb+aVPERRPj2pnpYJVtLimLNTtMt9 HnKF5xxKlAfFdf0z0PZgyWrZUCt61+gzIztKmGAuBugEJUemxH183olkOAl+laxVstf+lb3zyLBx 1yCiU5YO6OY7cXPit0SswJCi8zcCFHWoW1XzXjq7bT66MjhTaclCefB9zjfqOUKjbcL1FkD5K1jM UeI7jay5XnHfMiXe15S4nXK9ys178/HoHNpfe4h5vCjAjNB7Qp1MZh5xYoSGZPdYKlPdX3ayQDzR seCdQnan0dAy98XzobxI9S5UbxjnnKsAfVS2stEryVLgk1oxqQjvFLImuUDUGmP5Ynvo/JsywFon oX5nkDFb+MYNQVqj1eoJ0TuBjHBHVPXLbQqFd2ZvMaWRHBaTXQbknT/WkpNaC3GvXHxQdmLUNvWC EgTArLe4CgSGMPeR06WqVpoofd75Y4TcTzUVqy9W1p+7VgP7s90/7/Qx7KHdvvYQBHFbr54QvbPH mqnwD5X1/co2cJTIDFfIVwxgeJe9k8eIYWMhkeiZysUitOEdzPQOlIQxKDo2eMUB0YAb9yJfaaz3 eaeOMUBMpouADcWr1tmwSyVHsMfnnTnGwfsNEA9ldM3qrevfmWMXe5a7i6SQam750HTMB2CZFqEY 87FZr5KRwcU7Q+2kCnOKl5DBbCd+JmFUUNFbaeWKf3R2ht5pY00+bY/mHnvZP6QgQqkkWxvh884a +zWOxn9La/R0GT/vnDGOnip5G9pCSufsI6stOygGkiYKUJhT8yNDfeqLt6c3TODmVoBOOQugHwLU OPo5Px04HzuFiO98Z4yxhFTRgQaRFivLwPbV2Xvq1nfCGLHpd0zG7isKaXq7KUJRSt0Zoeqdj+XF GK8yr+vBIVfno8UIap0/+S4WoVOgVpBX6QV6vuYkTwz6QFMApNKRuKl3lvSuwV1PdbyzdYovag1B r0maH5D+ahHkY3ZvfCgv2Hi9e5OFGXUBmqo1752x6cvKXqJAanwsR8C2qD1NaZ2TKIqYOh8A/sKQ /uSj5Pmej3UNOTk2XDjkDVPmwlytdU6HmA+DLxJqvsv2jww1NnsX7XyweDyY1+dv6BmBFH17NtTD jBpqu2t7hGYz5SEk8te+4Xz+FqH+nlGLMnm+rpTu4lvonMvAirL3UXqbiQdV5gSf8OB53eU6Rb96 lFCLdjhBwbHFuotjy4q9lFHxeEDh+E0eQ4+ECokKUJRPj4ULfq3/KztQqcYmvf3qvbMeQT6Guotr Vl+sdwYRQ+jhU6uxYTqp4h/fGAxCfgAOtJpsUr+iR/3pqq5Q79kXBQgopSZlhlNx9CifTvUb3nlu VHD7/B7rYT7dKP1dXcZKEoS8x/L21sdYLkXco/50V7ma2QHhYt8YRNHOFySDwlMYwP2Ns/qTHZFe CX3rS+3F6BQ/JBqS4SKr1NaDCSuYryzsgRHe+rVbwfMBAXHVrxDhqv7BFNhjFFMQ0R5qRfj7k1rf 3sec2ZW/K9iIhHz0KKPWAXRO4e6Lz6I3MOWN3N4Tl0nNffxKE65JSJ47hYfmox3bo4wabVOoYKGz 1G/ld/Y7HBW4C2aZnx61qN212T4znEPlXvY9oiECIrAGJZEIiqHxmHofipDyxWXa6D1GfPCkpj2h Fj+HcqY14dBJPbboLugZwamjQY/jXvbsMPaoSb00hE4syiaQj3I+Pa+/kzF8yqe/J9RqLj0BQhHb YXFmAQoTakDP+kjOoB/zts94H0lvSICPzn8nquubIlN9cYgwXHEmdVzTudpPbjEZIGTgHW070n/t HMItgZ8R3PWUQe1DA9dxHWWBzDM/CxzUUUI9Zvu1g5BidO8N9bBJfc41IJ1Uwq9OkCgaH+cTo26V ume0AO38d6J8WnpVxP9y8XMoLfSKEIEEkUna+4IwdQ68SYcslORXv3LqZf/qDaGE8cUu6KUJEiI0 8XhwUvfvuh4Nl9bLPanfE2qIxeIUAjkn864nf/LcX7DcJU1qkyVONXRcZRHeQ5d8rmJr1i+25tmL p0Jt6i/CXocCnwCOUYqB+C93a6QxWo961KRpniqh3UWAGDBm+VGf23fi8YjN+qu9iHIwDU8XewSh BjP83MRbIvELNjrWXuyEJVNVPwHOqy0UwT0EOwPgTAu3MVvUCTQfcn4AO6ut4NLLSH1+eJ5Q+dcx 1OjT4of8BTvr2zpEDmU/f5wpL/aTbG08HoEXbzZEqgIuiTovI6iHKTXZ9AQlUYgh1WkxmhS25SZC nWUxiprUGkfnNqovPgsa5/5CFUZVGJo2sTnEoOIvSV0razB2goR7BPnolF+a9J7QooNoNWDd8PMW PrOQmVjnz3cLlsY6N6ceUU6Nmfkpy1a1jKjVy+bQQJqFK9qmIkVHXWrd9nSst8UhDbjvG/OORMOQ KQMefNoVfQ8Ko055D/GoHlFOnXmJLUl5rCvlgbeXhRwItHg8okV/V/YNMvH5IvFHlFP3NBmgS3ep 06gKO013i6EBNVPGEfeot5rTwxfvUZ8b/HymnNhj7lepw5gbSuUOqRN4gV4pL+xl/JAgQoURyvT5 WnjVoVnQuczkTzMaQhR2qdd3PoS+c8+XOD5CHDVADavsYc2Pcg11SineHTrJh7F/R9SlzhyTUaAA C+vIgbID4FScyVllxxiV/0khwInGFQ9GeCJVws8I4J3cQ6PJW69xPo4A5UKgN9zOO18xGnT8ChBU t8adSY8opYZOJGh1Jsywl4mf5CWrFyHPUIEoQFFKjedwpRAWA6dBC9D59SddmqsJnubMlCnRILhT qXrd2x43In5GNOdgpth1DHU/hs4GX9KjR0WCx/8pzYCXo/zzvDsoyqgh2QELNOcq1HsKjTn8FGrb 5YVHlFHPXt57H+fULugnT3VgZwGpFPr56Mts7Ho6RhipDKchfkh0DMlrEK3qs2BHbIYo4xVpiH5+ Hp7/17CMHxS2LTx8LURRTo3hC+4aU1yae7uLTp/dFZfOOZtUdIwop166xJAa2uIgakzsxpIfU92T DvQDRCEo11T+srdJTYr9CDHUW5AY6ec4uCQv9KhFmTppAx6PTqFf00TsNdvI+KEhhhriMJC9sqps Fy86TpbstX0DkEB7KMJQQx4IiI/dfbnImApLkq3+2UkhJl29NjiuleoMj3YOD1v8jGhURmM4RNQW tc8wJWbZeX7KwONBPrR+7SBcYo94zoh61O1c4idA85at0+Xfeh1etpKCqgBFPeqpQdDkRqqT10Jm xpiRKQh7dnbQuWHN9AshQ8tvcgfZN3b+efyMKBniHd8oJ8hFAQKcRNPSs5nweDQp+06GOCQDmdAC FKbUk4Chta1szRyPMUDbdJgoELMtQGFKLQ0CIO1ssU9sw3oRTnGklGEvctoKGB3AeUhvCaEWT0Fb KEyp2xRBgXUrLl8dHbkCf9l4+O6F54PKvn6j84CQqLPdbChuUgPKME3t7eRFJLQyo2YZo3Er0n2d Qn82qU/FKnO4WW5GDfrYXpmKnYPUoE6Bc/SBOpi0RUIWTRJM9rLRuFVOnm36YlSOgqH3D2l3BY9H vY+bL2LHUG93X2TMDLvUjb3pYbgP1KuO+0i3+QG/aoVoxtp4TROO4YuPgoDFFB4zFQAlaBcDR8AK hTp4ej5C+fTvmCHsQ/Yd1ETRYvgzKC3TEe7sUzweRKh9Q4fQ5K7rMjdnlFFDCm0N2q8gQCf/bxag KgL5ZHeIN1nnvxPg8EVUyHKqzg9z89zz6HdQXrGl86NSkTE8xA054e9XsnvSLGeGvETqog15U3BR gApooEkBKnj8XxN7ZkPIPceFmc8on4ZaAPBtw0qOfBn2p66cXnJAEJDn0Ix5iVJY7ssX/8jqSXwr NSETgJmJQcnwOTmxAYoETWfntlKEYIZd6s6aHm1IWzTdyAVipj9k/1Y8HkXou38Grsv5+TdCUULN ceusDvLcfVVLqM+2dTuKuZZxXWbYo+ZVVmTCzMUn9oMw6kEnodIxYCqclaHBB+U3GjOb3xLBZzME fRjOXFVr9aMOTYImevQ5//F4ROX4bp+R9tCv4NCMEmqUjKcQM1kzaPpfrsujlIchrB1CUUI9yD+A pqIvXrVuaFZt8knPwbOBDkAHNkMemVpwtFw0JD4qK/yQSMeCoI9a76KzFdrNnE630iseD4aJ7bvk QMOFGqoWoRj0AQmCZjrTa4oXRBTsA/qo3VVeZwT66CbyuocvN0Ln/ims3U/ieP4ATCxP/nhO585R Jst6ZUM0W5xRPl0pFEwHQlsMWTU4lUSlPgYej9pnfpE1dmBPgPbFfMwI8wGsGfpB3sQX2UW2nUZP hFZis6t+Rj1qiieeO4qMOy7ePDt/91pJ9Yd/Z5mV2cBidxgjT2AnnRxNscUZ5dNiuWxp4W4hydj2 OB+1CHcZ91is8/Gr4EAg63PRR/k0nGlw9FhFxgvHOkM0m5MGbkumgTtDxTydPuzWaLlI843uwiY4 DwYaMJMbgOEBMQRq2P7q4W+9azAnUz691R/apfk9loB2Y+ts8/EIV/UrWcSgdT8XfQT5IL8exk8G xR+Xs7nSM20dw++xKJ8mTqHIalqL32MJ3wD3C3CqADdMzsmQ1kwg2qihbS1qAs1nlE83OpjQp8cW gw3VYZJVq3c8HsGGfm0h/FF4ACpCMSXxHELdKb7gNrnq2VrNzRTKnH5MhzIfbHg0OVG3x4n63DLw Sk3yUqiUqiIdSCIW0IjDGNYgwqvoXf9KhVjM2aLGUM/ZYEMnb8TjESjmWxaObI6Wr+pilExjOgBK vUguGwJcfkpT70hsju5iQyuCfED6HJi8lHzxAKWNlKGRqwCW/mL3dVNvDCdBnheILynuFUI+CDzb 3eI0vaiftUkBrbVa8XhESbyZEPNwMHtaufjOFTaoBxGwdsFDxOIi8dkhlJ4yPleFKAR9mHuCtAXn BVZlAO0hnqd0Gv6TNOoG2xu6cNAc2hc2hK2OnxH1PYguS53pNGZ8Q364GMKIzXH+HHg+EvXyq14U RmRD6Qp9rJCW2MUJss6HSSlzHt2bK8MNjLoUohj2wZbHFndqKzdhawiaKqfkUIhOzaH/EhecelcY Jbv6IhCc+BlR74wHUGfRykW4GHilSRgOzN8V59P96zOrPIKS59MrpCXC5XWV2bx3Vh0Xc7JxZ/7W 5t7TK0RRDwFiSHvh4hjh84XB9FXqS/MUf8SezYneGUCp9WGV0QYEP+OPBnVP6AvYos+snQtGQijn H8Tj/zqHuPPQMAX21CMUJdSrCxVjDhOzEuiBy77R9VIdapAOFaGIlpgpWw7vLV9ubwh26HTGOCkA vFi3eQJ0Ovn8MFHSVUYFP/yMQNRdGjGbA3suOl4B0CX3pc0+8fh/yYaIgEX3wQIU5dMQ3l1sR7Gq 783nZCtn48CgP50MFLNCkQ8CFc7R0H25+fT5DaxoRW1GG6VxatkJxR/MTOiBaxZTBJ+tCPQhhYah mmzcmuyEeRWxx0cfeDzYQ+W2F5E9gycBMpeHKMqowT5bBMojGoZtUgu/3RAN9K0VojCj5mWGwPri sBgAcQvgVDQGgG5AYsmR608HHipD0NxaQ1Do48sGIWJdPyYv6jHzdEbQysrh4UKIxwNczGVzdAFm FzIyT4hWyE3MlDAfmm1A38utE8BJ9f4icjWFKOYm8hZTQpSfhCid+HRMsEggh16DRKsIR+uw3BrE 5wn2MYfeNQI1kFq/iIHlopz65I6jCNfa+IrBSX2xZ1RDQsbZ4ahmEQpx1IN+pZ5UGxWYKuZp+F1W S7JZ4oqb1FTmLLX44rQytAjABWWTOpUtREyBMNsA8iKP0W3aKsIefkhwEm0OEzH0scU6sOdTZeF5 CuyKx6OJvZ9EvGmBgoX/uEUo7FGf7xbTGqNz7Jrc1/3crZfwAoSYIhRr5xG5CGE5WyxCYKKf767I JgCy7/zMCgmxUE3MyGU8IxpDLxtlRHImS9MXO6u3UhOefHg82kS3R60qjhm932Y7RH3gNhtzXxxs cb2hvNK1BoY5Fm+zHaI+BGrQKIiL54zoJg+xN4GwTpXGW1Qj6DhTOCUym1L2qHeUVrcu2+TUfDF0 FadwPFsGH48YL54QyWkZRJsrhbLfk2qoELDxWm0gfXarT+zx5/Y9VNyFe8ceLvKYkJRnmTchKuy/ FjY/wAcd1NTNBWD8QeJdugbThaML/JAIf8bSdZhQQ/KDCA430jJZe+Dx6Kj2pLro4zS6hCIU5tQ1 0QdQzURo3flXVpnVaNzK7iUjFOfUQpDLBnBcG8B0/nHgYcizrwsTaU6CBvALDXkMqMoOi0G+ip8R 9c80RlSAmgfohHkpIaqlbzweSX2s75QRZ3S6peuOcmoYtUGOengLdl3N95Wu2DIwDwpQDPrYokYP X2wLnYzj3DWDYPxTSqPRgmOIE44Ov8vM0sgGQbzLdpRUm0Kl1AWnqwvCsxsCsz809eM7RnyO9HVQ o3I9fy9PGXeIo56b81brfmy5K3RC1de2CA1xXhGhv/1cEBtfPELYT0WkqXbKMyJqB0zhUGwAAfDV QMOmxc/4SwwFfzpfBGmAm7NgvufLxeP/AlYJ8wEKefGJ/Q6F81ja1+aGLiICU+xD0yEGCDm1AhRi PjpHiU2WSa3fUwhA07okp3MuengAixKEE4U4u3THHBx+4WdEhjeykJLGaXWN0wlJA4lRnxwQj0eq cLeJj+d4RbQ7st8R6APlG04hZ7z0cQWHVnVFJkrmKkKhcB4JHdn9yNK9yCBLBWlClmWg0Rdad0wo ToGuZpW9xtG9613/oG6ejJOsVC6GrDqfCk8RzhJ32KR+ZomyGDj/j3knQTvOqCllYdtlnZs/W4Q6 FVkkC4c2Dnl3O0Z9UIlqqfex7k1WwFXY6IFQMAYGL9R/3UBVVfyW9ASXOiW9d/EzosKVGXUryRdF 6FR2ndxUXPl4POqg/fKUgpBjuujFHXap2+IWMtffRUcEEROZ3YpVVrdJMu0YSG29xebL5bucu2xA RgF+tumUFWLjY/jOnBGuFw6s2lPvGkkOGTi4+6IAnbJmcip0vjE+HtStV+pDxhMnF2u34thRPg3+ I0YGurMcvchjetxTaMHCQN9YhPmwKevSLHE9s8RT5BdMRgnOm+fbaBJ8B5QTOKIfWu5ZKsQ5xw6V Prq6Z6v5YhDhBrAfAtRKw+PRDrqnkFGtvidBOUX5NLqva6UtVvT5X8uB5jTPERi/N29+5BRL55mz 5l0sGzopEEztic7r50/QJAKbk9zs4T2xr/LZpi4TfkrgvlXYw0/0L9mUBta8DDYQnHf1lif/geC0 bt9DaYjSjXQPa8jw/jUQqmka8mO3bWGCura3qntyVfMUC+ixSQS/TFscxJgykHnUOe1tn11Lx3Jq 2VMxH//aFdZhWo2fEkEbaNPaZNPafOx6vrGm+62N1vh8UHrcViNFO0F+b+MCGSWh9j7yh83dnurB gqiVvJU2knf050hu+ZtCQDVJHRjx+eIVLIxv9hZv4fzVJ5wmYBdE0Svk6hAevP6a2V43AjjwNMqi umLTsOVzPjrsV/yS49zG/AeC5Kh8n9v0UBm3RMspSrDPvYm02vofaMI7nrFVUyM6CTb+cQtT7JbI bQS8ly0WpvPKkOnYVDjvAHwiP8DICNUHhAL209cH8kk/JYI0Eo2WCWnkYkP8ROk5+ktUPv8vVjnb sqeuaA+bM6coyUY6DCtA++Z22f7NXY7nOb0x5bKjKepcW4UG9U5bLEoV1T1rVGymvslcOKUs7v2J zgK2mjeMqC7KnxKMP6bg5+w+clG1D1LDFpwIbgspBIPUX6RXXJHort4whe1rCJ0T5cMw0UtDSjsj 9WEneEnFKIs0gwxgEwJWy6mLwCQrRk7oWbJxM61z+tKSAj3xH0g5/YDzeVuPadvrRiW/ZNF29kWb 6WSkq4q1mDaffw8T+rFfnLPz9+kgaXqUQh0Q5EpjJHO2XYI8bJ5MzME3RRxm8ShF+fbsZiqwfPG+ SMGfiyOrc8/hyiNqJgGFttDJyzij7GSiLgJ/SpAu8QDvjZQGLvbJWb73c2rDzucjcuf6lXJT8LE+ YQrVQCpJVeww4sZr3TcTQGvII+WOXPybC9vYnAFt4T64eBv7/GrAsdJZ4KQbOZMCihsc91zh+WAp E8gFetsIBKo5ERkfY1OIDJ8cElQy6c9fo/H5SGLPo6SNdzIwFNMepCjvRhKC6t9JMdlbbKdYqQ7A mtDiMU+8SBGkcqgPSx1fHD8D3PaqXdSzc4su0mWpo94gXt3RxbFcQDbJKVSsnpyD9H4XbaXcKqcV 5zBug88HH1z1jAlPIn+aj0CaBqXRj12WZtOUM6dbvW2v3igYaEEKm9lLNUcqvniQcGKgr0oXj3Ze iJPZTkeOjjHlcFVvmLPa20ZdJJ5Kg5/dGEZHzsBCVLbr62BaGVrBtO/Du1IV+iL5cuiuyG427aEY pL6rGb+txDmgaaJ2d1cM7BVBDuN8v47mi9W4HeaVUGYmswHUyEVmQ5VM6slDpnvBlERP6RzYK+Jz Yx7QRczv04NUTxFEXBY8r/h8pFnw3WuDhkSHGakHKcq90SOBeIoFaVE6ynVRh2MgcBZYkCKcCEIK 9AM7JlwUJLThYas1WcZtyu4tST+whauj4eL5kr1tRGTkYaR223jabQOITRnglsrng9T7CszcnYTj 1oMUZt6Vzu3bS93UXaRosTMtkSL0ftgLyKHJ4mrquJGwx8V20rn1M8oRArLmmBWsVJzt0M4C25Py 8UYiKv620exIxHxeSzgQjC+1YJaqg7sOPv+fGv9Mua/ITA58FqHrzI4SpWLRUdpj/LgMz3aVGTKh LUjveXehRg4EeIjj5+I76aQ/Da7kCFKCZ97eGnWfmx7nHoNk+hccY+fAaFH9r9YRd1+EpxmrD5Hd V658PlJR8Z3EOXZjgfKcSe9pN3Q3yInlJIQf3rxaRZxaSYoHDQb73EJKo/gflejQesHRuNZR8iTp XTXYrpN7vgrfDmxwSBhbcdLN0DSWCWHaLS3ZKeYLNcEgl0oAbYJhZ+i2WOYvIRVy5NLNugO3RTm6 AOm47Hsr5X5v4sowSr3crRSiRqYkQkT8HJf4CezkuTTpIYQWyvmDNOYAC3BPpPPogjnwSDlA6LcI aTgA1FP1RVvpZDGZjCkIUvD5/6K0i3eCnv0N0p/mMGt3x0RAgFsV3GJklXQD5qM6N3RchNkxoI2r +GLZZCEEfcqaG8KZCzoxmc22kxO0H9oK6VQ6r7ztdaOuiXRC0l2sgoPvk/zyyubzwdFd2tcH16hj 8LWVwpx7A8JGszC24NpyuPos2bsBA2meRSl0iJFVVSaULd86/dwFc+1hukWk3xT2lhr7qJAzGAiS JQEYK/KHRHhs2HIUDFttsYnkhCQSryx7yWArPdw0Ik02vtB2DWAD48WTdFeaK3eba4+1XPsbgFrL Aso1hcuB8+I5FrtaS3P64pVJz1BtRFaN1tLckzJ8kBL5oZUziOoXg5SmvW7QDeDEtm/uJS7KlWYa wteecyfz+ag08axb8qhoaq3x5AFhy3uT3NiTQ/u3KxrsVl3deuGjsDD97WgOx1hfvM6FiBfmZMT2 n5uoURajYxrRQcRcbAZo8raSvW0EXWcCUDSiLIbCyAvE9m7szsbno9rkwtkkCTXgSH3x/Tn0YOy9 XTFQUERWaf7NzX4blZi+qc4NTRhNQ75ygsvFv7lyjqITnySBldkMogVZqw75eyBOrYQToC2HLozm KFzEKS59W507ybrG712wmQIbxm9NPiFJ4Ip9BYpz6MM4KSDSkkiOOMdNoRi21JOiRqRi+WwgNGIc 0iZeY/lyj+8OIkRWJlDzBncSQIBTiXSouEihWGQ12VKHRoxZenxVYjTVXXlBXe5Fe6lMPh9BIz0R kMEDTsPng4utGAfMq2o3TiiAqraTlnzOF3NKt2LMgRcjRt2sczeV+bg4rA1O9l2+FvjumpT5CqYN 9DQSYU3tt1yTvW5Uww1Rilnvwu1DVMXK/wf+Z99r8x/4V+rNo7oDdD+epkngx+hCvBLKGJe/tmgU t/2WW6veOMVIbaLaBJ3g4jjkju40pDNOQdcLDqQTpwSswM/mxUClZ7/mtr1uBAqgn+eWxtrm3uAv BhcfzpnOl8fnI1bNd4WS+Z1f94YcWDJK4BMyuGotQbfYoTd07lZDYAKCruQ78GR0Z0/I7PviA5S2 KYu0pUiT8iRIcuKTQ0EBqsodes9lbxsFiWO4ksgbARWlixSB6Tn7+qPBCz5wZfxFVLdcoPYr0pdD W0ZYRSCbfIwrbwOOlZHaJmgBWZRieAkPpsEShcvdS9CeSwJPnOyIKucksFVQW86X2q5jnIQec+jL qOR7LnnKLK/jzscw9ZXDfpbPR1spfaWV4Bi0MZ7TO0q+kRWhjpsGcxu1OcxtZfeu7CvdIMVSfeoI CD/RHiTg6g2S4JOaqhUsyELoO9QLMLaG7peLG+017W0jAIX5ydzFgrQp5MYgbT4ftU2+Z0yFoNT2 7KQo9+4U6+u32FXbhF3KnpzGVmEdbEGKYdv83uSwx8WDhH1KKyJIL5/7jjI2QIagrB8YJgPq1vi9 WZBClEldmlcWX5R7A9AuCfVTrfP5IA8Y3+IiyHQ5LfIghcDtQnWRYb2ltVJynMm4Athd9oNd/9Bf BUrZNK7m4gyJRt2Vyt4SLJk7B5uLbOiJumVewb5Vl71tcMMRGzA3jWK5GMsGhq+GS+58PjqTPA8g kAKKIAQmepSizBtUxbWvhDG+bttKm519gU2AnbMoxZRIIW91wX3ZFQAhkNCFoa4hNNUpBdUocQQ3 RwC52TJkmLq97l8Ad2ht+pKsb4I/NT44KIXn0KjxMdQljXuQSHL3UujUyHRp7XbNz5c3c2HO9uN6 /O7pkGOrRo4pIWLoi39w85wSK2l2UqYIAXBFBQoWWhuoYO/ku9vb/nF097z6XQzWtauaEOp4B2aN 34m3BGs7CEw3pwzdGjGNWGTdq085jf+HPuU1Zm4sSriVArtGTKr4pa06fPGRbjlZHui1ov2dw4TN pQVnGYxBf2Z74AGj29sG8IBJEPd8FmsuZanVo+DofD4AUWyfnZA2AKVTqFPeKIWS2PS0FPUPF1we zrDdrV6liOIw5Rx6NtIwjURRX7wjkNM51khtASanzcG+SYYH+aRfC0Fohu8iAC6Hro2TFP/RCTMd V74swRFY8nSnQuTzUZi+FVlMY+emAbFvY6ZaRHaZzNqcMEGgs0UJeF2LUph3E6O997iLoyhOklrh wEBSCcZIQ8JHQFEQCcV5oZIlG3wH1o2gIjNZooW5Fv6UghZ6ko1K1ltGX9z+SpaaKNA37/7Du7FR 53BblHa6YqKrXOZNcxm/HJg3+rGUJB3B5eK7zvnWaFwPvyKQAUi9YVqFfziv/ogf5WWv+xe+a5lT anEjjFMlbiL0geudfP6/UEmB6sSXe6MU5d34Y65GFwAKrCsloG5EuV0TaMF5lELpEdMcUfOk+F8Z 2sbIKfETTg0Hk0VC4yF5TFv7szchge/0m9TtdYMrbjFdWozSvN91YnuY07WNtklg4/jtiK63O1cp EcEWpSjxRpa2aAMmUdE8nZK8yT/kFceiyaIUQU1QIqNF2bov/sWdf/Rk5eZnnYWDh8McSjno+2DS 5PPK1e1t/xzFgQ5jixqV+1zCAnd1HkshuPvC3yk1hr/OvvrGObByhEp2haX1che+mZyVPEq7EDi0 Si1IYeK91M5td3HwMpjnoOcTHoAOHzGXGaUvesZQdfS2SSYHkj8l+OA0ExiCwA0//ApUBeT5cD4+ Pv/+wa30XejiT9MeYcgcujmitlr8vmkzt2DMbi5qMIKTzdwgrIEduNjOcZloZvPlfnBo6y1mS+dz PlVvsubSiRKBB/hWvU95Xzf44NgIQHbkiz64BLPRzQp/LT4f5JT52xWUE4yGv4yHKRQjwchyEg38 PyS7o0f1P6qMSKAgs7SCD6swhan3FnybO4ULf4FFd+JWOIg/tRyGK/g2E60dFwqUAndHzwT6steN Um9qitPkS4vmTOeEqhrsJpRxgavj+WOOX9Nv3AX5Oojk2NZx0YivzV90AXFykpvQjHlxFIGvIwh0 VPFd+y42sqyQyMZQgH5qJ6cwATc6p1I9ol6140Geew58HXF4E2Uyl8a7FG5g6/mcFMRRCCIQGDtK IO3r8Ian1GpPkOKeN744Si/RxJlJB4N0Un2HLY3GWpdBioDeaufCg88XZ53QMIzITjhsgbJDK3mQ liq6ZhzzqIgb2d/2L7na2fv0xRoCJwtoJler54Mb7sogyhwKyVd6ksrQ3ZFkd1QQjjapHiXroLBN Oaen3oG9Y+KYDK0Acrm5eK07YLDCs4dOxefGJkaALflBDmO5HEo14EJ7RyPgJg6bBgd8xZpLneQ5 uJIs/gORNMl3ugQIjFTPLUpR6g1y1Vr0aSUEbl9frEFjeHXgUrsf3N/iJNUacX1edNfZWOfEpoBD ogoQAAnowBFpii8ZWbn70XR722jIRKFk+q1oUU7ZgAKSqzyAS6HHY757SUcYGqXtnt2BySMGluf6 7yW5tm8r2bKlVa8gYsNdokQgdnm0gUCZvnjHO4FkBHUkyAJU+HxRn4RTC+jFAD/qen9t29tGiTcz 7kQwJRc1l06ZOAimBCCRz0ci4780EXEItOeCC30e2fDuwzS2liEoyatYNVlKWXHk6IILjB5Rq5NQ UVSelKc82fV8DWOKfnLO5iWNknNmss2SIUj26Nz0ba8bjHUpHNkbod5cLA/YTSPZk8BWPh9kS9cM k8L/AArmJ/EOvB4z63iQiacNdUe+Xo/8u6q3hL+TRSnmVHZNc4cvTqsoHTwds1RNvdEm+4TmZJlA QKC4yFd0i7JtObB7lArf/0UKniiVtSSFfW5TPh8hl36DcgpKpKe7FDo+8o5ZzOXM46j9uGR98s2E ub5/cRHepHIiUHbrvnh3CWB4pAJswaHaKoIv44jB78bG07c0WQ48HzURO4UQNGNtMbwJGFLcCRPz ysD08RtPyekJhHTJDmOQPq+5Us/Erp2/Zys2rZQeGT64k4tsn1ZC+4Yxes2UOtJ+wnI7p3BcHJYL 7TnIq/Fza6tnjU7gBljheEu5B2cxIVN6zZM68DQk5U7k3FoUH44YmHOfc+rzmiWdF9ymxy4zCdgS ApXJ/4/zR4mCQ1rlnir+z8fK4GwWuH0brIvMYG2gIDg+K5FQa/dTgrzcU5tBtQ/B6dDV3hRHTOxz nx9E9QlZHhj8/TU6J7+gl+rq/Ma4WAOXL4iTqKCB+xod+Uc90YGrQBP0HdF5zY3OQzhiF4n6+rxq 9s+rUx9MWuzobDE6r5kRtg7v/CbFNnNnYHRWgToJD8eTdo2zR5U8JUho4jxC39jVWZve9H3ryBF8 V9IndnXDjAHO9RTebbfPa1LUHzS3gkM8+aBfBn7ka0rURb9Edy0bdcJ9+TAkaU6deASiXxOijhYL M6HMu77me9dnIMJr6Zq2dTirsmu5UlE18TMepwMa3H9e06GOdIZOEEO03IERAWfbEAkR9P3cEvnz mg3hF+2/tg4oLPykGZ3XXAgPoaM9ukG3ofZnCePKlM4VsaS6asJrJoQPiwa7Je3ti0fnXBlwgmB3 DWpYg2SANliB4J+t4OVMUXEpXPuaCOHYycqA2D3iYr21ldm/AThwfV7ToC6Fka9jBx/pWr53XpOg blX+4s4U7ebunXMgXS3Ei414TYF6ouz3m0sGWrKnQuw8ds7fezQkLidPxBClUxiaTuCCRqSkV32P ziJsZKY6fVFTzSDByPxq+bymP/1JEs9vwCb2+bTYx1B4XrMfHOWQS9ipVwNF9JKcJrHupzVghCir nig8zcKTffFa47w+iKWk2yRkOxybDKCROk3ueDZa4Sp/g+jbIng0QaTAFoP9Lah2scTK4/Oa9/Qn 77GDBx0A4EgUndekB6cyCNzzflpT2CyKj9XrsVLLsrr+NeXBqdwlEylJm/xI2myokOCm+hGbZFcC kRvg+QO8g5wfp/RJ+vZrxtNdKgEOCL5Yc/8Uy0lsvp0/r/kO3zB/R4eNYOQRjM5rn7EDhwng8Viu d9ytqEBraD88pOXSbMGxjNYqpcSLL84dARx/LDaGJiVqG0l/aCuzMit0oTEIW6NIWhQdUOAaszBb +DMAZtoERCDh/Lx2GHk0do8O/nSwXR0cFUsAJQgPmkAnCxsm9wPOv53LhfhLjfa7z9Beu4v44UIb bbmr7G93lfONZ7MOgWRlJdqoEJ4Et4ZCdJAh1+hg9Npb7C7lO7faZpfjBG+t3m9H6LWz2GWJYF8S 9tkm0dA3zzuLrQvWAaK2G6SXS/WbZNE7YyQ71S+Kz7pj6l/T6gQXrPNxuaHjOWcK7y3IFAx2mWSt IpXaJtJ/tH8GDYymfHen+e7CP3Kq39vKAGc0+rzmd9aDjwPcao9QlDGDeXZOH/MqOoeIZYe8uqZt oF66ox7ClFlehc04WnfYAR3okZgL4wNLBbobTtGeGNAXmoAbYM0oNdHprMtraQS7XMtmTzRSyGDL lY8Hx7OpQypC6B+AO+4Res+aAQ+tGJWl7sN8G1hnIPK9o0hdFQNiBRHyTqLgWPsagPN2BzBEQscd ctmNilqJWtBwyKm3plDlHuXNXUWFaf6M7H6XJy+kGirAb8CqRcnP7N8n9Nn0HcehBSjKnIFq36kZ CxJOAQ7n2+uyIPeDeYxSZ4phFuLxbLnoonZ+bwkdQ3L1/LO836me3sGHp76F32BJL/v+kXXylBMb QFpUdp0yZlMO/Ny0mHBEx5ANOBQh+GJ0zvEUoffs2ShZ+vel61duhBzgB4518o/sPX3OVpeOweE0 Fy/az3nTCjFpqQDLPNnch57j2UIEP22D8jGN5LtGpxAjk+ViBOhItT10tqiUR3Jqn3fWmmVQT4Qy 8MUNjpUWoiiFBqkDWZAx1+d1n5m91SupNZLp1r2T1nDicxMBiOyLJ4mFSCsZGMESy3QAGtvl5wVX ebxVaM/zzlnDl0x12k1wmxZVp9QT4rTifOCfd8palyvCc5XlTB7L9jTxnbLGn9rJo3XTVHK3Ub2v lK4jKIoj621EabRAfK0xUK2Ve1LnBUEnEbJPmrvp1U3DSxw9xILec8gO6iiNblUQvjl9sUQxw9eG iWLen3e6Givc/RUhsk3R2LUARYk0iEm7tHQDVN3NcZAxZ9o1F8cfZdJyn8Hv7YtvoYU2WAJm/NRg BV9HIb8IWhg4r7I8U5eEju1l3yOEhgQ8u9Hh0KIIAVkiM71zZX7euWr8I3qENFQFf3eOe1S/Z9MA E7EDtNx/ppftIRrNO0AjXd5MlE4DSAJ6cS2+WIgAEDrHcpNd4YLPhzRIF8WhT3TyHfvYROM9nTYk UQcIzRd9ZScuTQKjHao1UT69U/v+yuDNup+PLMqnMbA6Jdg0TNoSaF+i/dkRMlDQsD0UJtQEf3Zi 87UoQJzzZB7P6JHlzooLrgZolp6r52fVK0mPuRd/xp8BglS+Leq2N2DdzCVsfd4ZapZM2RbiVdbQ 1fdz+p2ehsu+A+0hNj9z6+EBmn14tTqBw1YPMc6oOTgsapdRTkU76NyNA5IJVGE5f+iUiUpfUIeA tCsanbf/TPfvd3JadwFWwCN80Td2TmwxOOos+fPOTftdkTG1hOz6vD3WKKHO/MS2walAUnfs5xxr +QyDI2EG6D2hRirHLlDOxRcrOQYMvyv9QcAxOgcGucctU2Ok0BO23FMoD71sUJNJgYUACC3Ce8wi w3tog8/POzENx3z+vslo6EcwrSIUtaHx9SxBuYlozNtRaGs8U57ivLR3WhpentVqzfJMzV8O8h1G 9pvfGBwNaOKMOgQjRvDYM3NJQ6HR9+GdleZFWZtFgL2yXOZ4zCZNvnpKmc87J40B/m4nAp0LHLhH KMyoZ6G+qKmtQ5nWT6F1I9Q4mcIx/U5Is1YopjsCfPSrUVtgNVQypRhPMnp2UGWEcK39TEhf1/y4 f0tX5J2PxroevbLSSZPhYkgP+HUyGzpX6OedjtYfW1lFiOjaubxsfWejodilUvaspuFzygMvyuZ8 2kJw2ma6+E5G6+IMQs+4Ll98DyWIVFaaPEE0t5FufD68c9dhe51ztjrxg0x+vmz0lcn3O01f9JX1 MtVqQV77eaei4bLdv1of+FYhXmQRCnvSDd5OeRvEg3K71+Xp6dh3SxffiWj3JqtS7K+PYv/Z9ifW CwcMZmGr9U7tNThB/AxguzNqV2/Zg8LzeSei4VdkwYpC2BdF6PzqNA7/QUXzeeehsYlxO4t8Dm2z r6P6PaOGCASExPKtOZIJQYJ8cTuvMHK2TfSeUavqHrTo9cWbi2CfzSYNiClKIw4i7PKJmzTTVtYn Yksv+34QLTKteVjaYpsIiuvcBCvtzzsLrT9a0J3IPLUq+73tw5S6Crdw1cSze6mNPV3aYFR36Hnn oHWpkp88aCgdGl/pEHpLdZOoB5n9k1thuyVypeGaCrCcV2Vl6F2jABEr3oldPNuxuHnzKY8Smxfn B+/POwWNh+X3QUSb0jFuhKL+NKCbC/Bpr1u3R6i3K+RL70xF6D2jRvMYaWCTpJjcMhUhWRXIQr7D 00YVboJkSJ2UprsUBoJvPu/8MxZI4p+xfc9F+dCpxWa//LN3+lmXbtpzDlFOEAMBC1CUUYPKcU7I 7nNVWg1qC1Vvn7XEL5gf2XtGfXsfmThhLhagjOM4J1nIwzttZ3H6kbYMoM7WlV3lr/l5Z55xC/GE Lm35ogBBPFmkKviEvRPPkG/OX8cQEAeNnCENnqOUGkyd85Etu+2Jc1Vhn7ulADhF/CN7553xpEbG eHLU4otF6OS+G25qJHgMtOJkv8IJMiDa8+lRU+bn8047swkX+mYl+6LLPgHqyBlHHvnzzjqz0vqr 5sCmJpBSAQpRHWyfNfMmBIHBe0ObLrhqn8EyQAGKYR0I0OyEvnCxAKHneqpDnkJp74rGPrEN+FMi j+zsPhgBpuhdowDxfG6867eLlGUU3Rob4MD7vDPO8Kve3pDarex5IjNQhKKUGoo+YFRfyf7d75wj uzJW568DWt4736y7r2xPHLH29IxYF+7hWahoWCm6ShZ/3iwbJSz3IBWH3jWoytgb2o0+YVxUts7R Zbt1vuj+eSeb8ZgeXxcZ2KHluerfuWYIEEgdGhIQFjwuURimcBagTdFQBug9o/ZvbACRYotfZDAf qOZMDLwwgoFTiKbf0Amkb4hOISphvBPNCAPARba3AgQ1IXap8apF1nwz5fJ5J5qx8/F91VNzhnKB ilCUUUN/GZ3jYfDy3ZdFqO96Mfi4YXhOv9PM8PchLBPtel8UoZN1tgHTzi3bVCQTk9kAqByorm/f g+StzzvFrIsGTTPQ7Yshp6C4KbnUOT/vDLP+2IbYBkK/lG63Cs97Oi3QEzTo/QtrdwoEv0vHleNT VXii/nRmpdGkpdIeLZUK35qTMnBOBuLMmGIoMENHuTMfN0emB593dlkXnQIa9GbgPPyQrmknWZ6e k//zTi7j/tk/330PNPDvqPWdW4YfmukCankzOiC37+FbqagdqEM6bE+z+3q2SvHFKRyQLKPtHkWw yhLKY+Kb0ybCZe80ReKA36llXbB5NBVB49FiMw7UfWIp4o/4nkxjM9RfF/05ksxYhSGKsml8XOBX WCokSpvZzF0D+QrQq0IUN6jZO6O2OgoUHgmEekw8v6gN0k400NUlPJEq+YvaeFf3onOU+M4ruyiz ObK0w4FtlA9RQfOMAjsnP/m888os2fwatp6Pro/qnY93WhkHB0BSJePbr96uSJiJ9RFzj8tHIQob 1ARIw3zHF28vtnwy6KVcCFbArEnAc4ZEDHSLbgufGSR/RnQOCcJaLKuGgTgjBJkosjjHSaw+75wy DnS+Cw78ecBy9AhF+TRMk3YuyemJxIDLmnitmy3W7dli1KGe6g0t7aW1boR6gVfhHgIAnxN1bbk5 YrQPf154F9/eEAll73wy5iVy3KUsCBfrnsEBiz/v/NqfdzoZ3vG2qJk5wWi93j30zibjXU+73a7x GGT6q4GAKZKjORB00E+EgFX8u0Xdetu+WOej4Yrq1YrWDQu6SW0wdM8Se0MP1p4CR593MpkBedG9 X2riUxgVRWvNiZD3kyTX+XnnkvEr/TVthWFU5zeuEIWoj0ZpMOSB/8Pcmk48GYn8FJQKkoXIFxWi KKOeUy1YwVfzVSwESPrECKwtHNYAaBF0BjX1nwHNYlatlg61oneNPjO6OS5mRVzsDw/DdZlg9fF5 J5Lxuv+e2PN66LdH/U4jwx6ahJ3xgMaJRAk20VsVoEztNDTWGaCoR91oS1Sq+ov16S+mAbtmgMRQ 1nfqFZJOfkI26VWI+99Fi6e9bHBUkyU/S1q+KELtVPZCS8/Nx6OM+huXx0ReDGD+0PeMGqBOqqib QwFgyCbBd+7lks1VdibKOXb+O1FGPXWLdV+8rl/n95pmd3myU4iF0e4SW4hGr5Lgk2IxmQjvDDKC u63YGL44JjhJu+Fk6+XzTiD7BT5jhDCqq9Uzonf+GPGOMpoxs8LzbVrZulLajgqGiIlhpkPQNAcc xNna4tAqtL172qoRB+7/62nQWCfRQER166L7xTt9rEuACaFRzthuzpjOOS1P9tra5509ZkOApzdE /nC/w8R38hjvMvQ7ZFN0/hfAFD5MrCU/CZH1qN+5Y11yCCDnE/TRvkAf67wFJApJsEM3Ra6qdJCs 0P1rD/QVKGX+jL8CROaWLTbmgPe6qo49Pu/EMat6vwZB6JvV7K2hd95Yd2lC1fX0lL3j6NVYdXBU Nl2L4J029pSt+B1s8c4HbVNk3Vw2ZMeXqC+dlWMG5tC/sc7W0DtpjONSAu5VtnLR4P0cajJVQ0P8 884Zs0PsCRAd0tPl+7wzxrrpfkAu6EeKFsXhi8DUXewZsmsFKEyp2TQbmzUXF5+UURWXjeDzAZ8b WgTFAl2UE/lTCgLnY4cQ8Z3vfDHWVaSxQmbJFi/LJq05SQP5vNPF/g+wqpNn1J2Z0MKEGtT6TQao tL+ya6Yb/bfIaM7U91sIoC5qCaW7eN0KaDmdpyG6CwlsjvQzXS7peQs9V5k5UnQJPyO46RPTxEV9 NC7qDKE9t82qMOPxIFvsv9CLSEr3xea1MJ+u2G0zu1x67lfXkuY26nsoQcIpHfWnFaAmXYHWnx2E e+vEoTNbREOn0kA9kSlG0nBej7O1TukQ8rFpd5nJhE7ZmdADMgkcUZySZuDxaF7vFYd5qeP+vKdQ jxLqLrXd5naXYos3YT6qhQhSf0ZveU+olWgMKqD54qcQdJr6ILDqlPOo3kWBwViD8OB5DeYoT4Wf 8QfpsG3pye9HT35SrpEdw1HxeAQR9lyoWmqJ/+sXWY/y6bEgiVqKqw7k5gp7VVIERb47hl7sEehj LEN2Zl+seYYGWYa/FSPUGm8rzGPRHYKYJZztrCab1K/oUYe6ZnWH5vBFESpDh8MPDBHw+L8IUlTV AUOCkEEFKG5QS7A52UVW573I+lWxArlP53SPGtTW+thybtiPc8P5fuA/sLLk9jeUrZEtQmTtJBOg SOW7h4inwA+JukNUSE+cuHa69plzJSyuJn/tVvB8wD9c30h8nLQ/KKo9RiEDsaJudRze6jqJGKM8 k4HzTkqdhfroUUatogybxBcHeIJlt3hznXtsnsqIzsQFJ+1E37Z06g7NRzu2Rxk10n2o7EPBSosu s3auYJ595dwJeDw4q9P3ZQZln1rubd8jFiL76LMa1gMmFB6h8w5+21dIJypCYUatyr6ShsjFJ2VA fLRVmC/2QYQe9XbOadABjgFexG97thh71KVedJChdgrzxckeBq7KRHAYGD7l098T6kR9pa/mEIy1 Svc5UA8TaiQkGqMQ3zm36wxsuhgJvijQR+e/E2whTllhw+XL0xya54DOUmfsULFkE3aeQ6XjEkXz 2M8hDPQ+PUJRT5E58ly+qAd7brImucWOkzpKqMf8rjiUZPR7lUVdatjsnYMaI0K4yPVNWl1mxUFN GKrrFzBQGKC4Sy25qnYX/8byKe0HOwxpnvQ9FSNMnY0zaQAFAPLVr5x62T96Q6eIl3XzVRxM4Ixk zRLHxOPBSd2/6S5ouOBL9Qi9Z9QoOYg9o/MwvjZ21s/9ZSTxLNV4tMzZ+ehRRk0F2BNo3uRcLhC/ AQsjUcYNe6tFDn0tFNjvxIC5ZSPN0XrUo66ZVCmih7QIE3Pu3y66S80TjwdlfcvfWwjlIHW1FaAI Qw2uHFQ7pHOCQdCy9mKXcgUCRCEzbaEI8eFXWZbnl65eBAhgg7QwBwH07FQ4S2xfNJ4oj0/lXwdR oz+CHxJBz4hoqOMu2kIpy/j+HPlt4/EIvnhPIX6cuCQqnaMVo7hJja6iOK2LSfW110lFNs6E5y2L UdSkXqRt4pTx5Z5DsG8eZHP0ddKvwauMluKjUhwOW10Nxk6YcI9QH5APRXfaBBkc9bExfdAUfuEz C5mJ7bvBeP5c8Ia4SPMRJdXAfZ+MaHTLiHpzjOeexXHCC2e+SNFRl3pqVg+nMlv8LoPBXpdtMyWC B2u3jD5+Rd8DmYboHGiI6F2DBiOdY7cGHlwUIYAuBKQ+Vw4ej2jR33cZ9PZavrCYEeXUqCdOWEyF GY4cV9KzjWXpEMyolDKOuEdNZmIhwIqLtWAhMZhIn8cemkQKk1a2MNuCYTPH+abkhW2LHxLxxplU t632GSBaNgvapD3AbwAhCrvU+3sT4dPEeeYhipHU7E3PYd0PAgs0C8p3D/XlM/sRdakziwkoN2oh ywtlR+poCBWiYCE2X206y3eD6WJ5YMJgQA78jADiKffhRmmhyXqaATp1DZ2lf/r5P3j8vww68Nlg dOQBCmEfHdTEbGrCQA65xDAUlC9yaBk9ekQp9WLl2hdBL6aLONikBvRvUwkSaChgzwQ+k9c2BGHX ve0xjMTP+AtpvpbaaOuRyzmFGW/7do4zPP6vY4iTR6o/X9GTEWXU0LYBero532W43/ekVad2ECpn fWRRRs008NxcZfriENgFz5DJfKjBIbDQERypKfkpaBCmyypDhxw/JDqG6DdIeANcVLB9GCLwKAls OIlWxvPRHvq+72n0LZ1qhijKqQclPJcLLq2S3EaHLA6NEyFNbyGKcuolnkIl8oOLA6kHesi6y87J UZYGrpvmkSQZ9ov8oD4NfkZQuart0ek0xEXoM+B6iMRBNx2P/5dZGRgVVb6MDFAIo6Z6Z012k1Gx 21S7xmPnUabN7EcEo5Y4A4UTbPEW40gnV7A9BJ9gcagzaoZKeYZHOmcQ4TlCfY8m2l1uvqiBRh9e KljsPvB4NOb4bjGStPlo54yoSQ3oJpSDrPkxZaJDOgcTDIEasBUVoCilVmS6PrLOihf/5cbBdIov VPpoMaZdt3zlyfoFOmVyB9k3dv55/Iy/uvh7U5iSi9Hndl2adJ3ticejSdndQcwLMKErl/Aywpx6 kDRVXB+Pklu2hYw/BZy5I2NGmFObDmXuvvhdX89nBrUl0TkkAQxpU8CGsUszZTN1UG/toTCnbmIp dIrkTcg4CWjeEn0LcfruhecjVMN3XYbOWMXhbSEKU+pGx0ojbJ7EqOybUqMU5rx1YNDD3seI+9Tc Gl0Kgv0qCIL9ezKJRHweDEpJr4dQwzmUuU+LpCyaJJiaXjY4h6rZwk1fjM8BaVwOy2YpeDyI0PCE kZkImt77RmiGbeoGeNVK6iwu2Atf3Ee5rKmGrAURmrE0Hs8hiaG0Rwyl0OA5S5H6nN8nFIUy6GTR Ac1INocB0Iq9a1C5kl6f0Se2xQBo53pJMqxuDY9Ho47vjBoAxLqqX/YzyqihWro0a0KATmraLUBt 2gcHRVVAalGVzZCauOVWzZLD1Oo06jh1ZZuSxzs34ik4ZA4PCTY61Yx+Fbupb4KfEfXxWY2V2n1R gMQZUYAKHv8vE3torzcAvSxAUT4NsWAADZ+S4x5DlIJSyZF9mjj/wUysOo3qVcXNe4I2LiPPc+Yh USJnCn4IsEHFTTQvu5UqBDPuUkvCS/L4yQmi59Sfxkzcq+Lx/xIhtCt6uzINM0qooWwGK2yTizFx fHp2yHxBziaOz5txj5qdxUaSKxfH56G3hL8tG4xUUaQuLP3sIPwGEwH3WyL4bMagDxVjkulszuPP ABRsHUIp4/GIzeEB4rVH4sOzhaKEurLiqMaYOldzdq7CGFcMZaFg0CEUEhOFE9bIjIsn1BOtsrnk 3lkGddPgkod2KraMPBcNio+sGD8k+Mg4cN2DFgJcdLbWmmUicXZrxePBOPFe9oSDnoO1ren59PwT 9GEsTTKmshMTuzr7ZEyB7aEtFIE+Oi3Oa5aXd87PRQZ9vNmkYzEqYPlLDnnjFJkoeFjWKxui2eKM 8mm53FKMxBZDVp1/SwZ558+Kx4N0cfzitiLa+45bZwT6ANYW97ur4K7sji+rbG98rDyN/TujHvVi Z7EVTaTLM5EGe/xU87LtXFAd6BSoBh4Q0wFc95ceTa3FGeXTxJhDeJhA6mz+r9BRozUd4Iu4x2Kh j28yR0Yg63PRh6xEoBf3sqMHECtXsdh029IxnVyDYIaSeVXYVxVm6xLI4Tq0GvvJMOgAxX5zDMS6 Cgl02189/K13DWBDNKVOlXMyLnaPoSKSytLm41HB4TuIxQloHNCRsgBFoA+kO8BLux5lurTNxQ1v xNZmsKEZpdODuWLrqsj6rcgg5wXPgsFMaJx9w9Ik08KBAcqUALEWNYHmM0qnIdiCHkppvqji6H1U TinqybjweIQbat9bCH8UVvaKUMhK7EibnAWESeJVe233EKqte64Y6nxwyoEDxxfHDWEH0YeA5opg wiQ1htAsg6Qy4HEGEV5F7/pHKgSE6PTFkGc1FR1CJ2/E40Gu2P4PnYNikAzQipLpxKJ+VeEYNkiW fkq3dLnRyUmJK8J8DMLMm/SouDhhqpbNTcX2dALBjIUJJLkqToI8LxBfStwrbE8LQw3rPlsMFTPM Bf78XSoej7R0/BAiOSpjHIC8zCIU9qc7m6/G9z1n0IU0kHXqasrLWmcrxHwI+dpMQO/SNjNQTydf pBJ3O19cQgcEH5l04c7Bi91luCHsdPyMCCFMQbgmijQ6AkN2uAOWq7y9z7GC5yOtmG8JAiS0mJR7 hEK96QbiB4VphF4srjdtG4uUIPjXK0Ix6ENEMU06BoXhGhtDuMeo/QCUeUuE/w2YA3dhmAHMd/FF fEX4GUF7ehM3JD9zLkLFnD8CZVuQTQ88/l9ULCiU1S8haEXZNDo5sJN3y8m8vHPWWXaqYk3DAxRi qKV2tp7Fb3qkhRB9pR3X2WnyUNodbc9djbJpwnmEnq24PY0bLJNer8WOacpTstezKx7/L6dQpmH8 vrihFepOLzZfq131oLPaVd9b85oevAmLUMhKrDK7GdMXv8lOeE7K0cSNnjBOoOk0QCEdT81yeYmb 4/oVIT469w6EMHzR4XqOd1OnPLsEj/+rO30BsCU7JGZF2TT1O3u36ws2e+nH/Dd6cdGzNroliyuU +aBGDPgfvliATqVxUmma8aYJnhNBIedAgUE7WsSZDrjmL0Xo2YogH0sCBGZZNp9642RzJpzXBx6P cFW/0mmOAZp3PVaUTqPWwtmjUl5jGNtC7i8BbN7wCIXpdJPVTdm+OOQjDSiBDmaL7RQJJLYCMwx1 bnBs0rh9Iejo8WWjCMmR24VOzdydrUVuWhgq4/FIsur2hdh+BSNkbm+/rpCYyDkiPQjQW1ykrbMx dFLt7r3FWTxEIYpaB1AVOq9edF4+26ONpFErnIAmeUCZ5PROrh7BecJ8zKF3jRANzKQT0BpalFC3 Xdg/RmOIrxgc1LeDTwTsJK7rHkMhiho32ZzW4Ti3V7siFil526P1ZRqwK+5PizXPGVB+2L9Qzdkg 8HMPFYouYwbUOC2jF9ZAUstJa2EvCj8kcJZYoihQ42WNqxQzeqXixvllV8XjwbT+Fq2MENIxmDVY hKKEGmLBu7RiunC7PRL42SXwWyrFMMIrVqImalE06ZIfwtQAYapAAx5K1B2MH8z7GkqqAQNFOHTe dGgMvWyUDmkWTdstLjqHZq5GkN6Zj79HaJX6VZRBqoZKAIrQjjJq6MSev0byCInhgtZZ3qbSBJmP bBn1DmmJ6ntoClSfUWsGQvvUlIlqQxi7oi+Nq+zk68Tm9XT7HrKX3lFGLfmKJbckLgasarlunSyD jwets9a+AsQJ2bruJPs9oT5Pgdmaar1+W92E8M/LPnwpVpfUMg9lPtjwKKklX7x1VgHj4BaBzerZ TvRIatA3GuTcpestXWieiR8SdIYkKriJzeNioKFBSSzMyfbA48FVln7RgUBHKvec3iGKelBycYor Du1xL8pabpdjn11HeP+dUL+c0301UIGXirJe2aVH4wPzUjap176IGHQI8TOCABHOsHsevqhq3ZAF Y1l//qp4/L+QEjONrm/VukNS4inYAf3u1n0lRlcqDWm5GtMWjhEBCvEenI6dL7v6YlvofBin5gDF hVYTSYQYmBHgIgFJnnWRzYB4k+0oo2bHtq1KUiIXHR37bCI265BE4vFIRvi7NYSqtT5q5juEUEPz DP/HWkPj8Udc0xsfbJ4qQjEpsSk0wxfPhib09Jv603OBElRl/Ee/hwnIz+2dgXKCnxHZTXRRFJYv QjNk9Nd5VZ/CHo9HmKpfw3rQiOvVzduhbh7a2atPVxYk91ZbaFSXex9zGi5vh3APlvUdEDJbvCZr oN1V5UJwkp1JkmewqCVcnBZkAuFjeoCfEeRC7Jn9H8LUqceGeAr13Gd4PNKE82wRj/GGeFQsdgT3 SCxavxw2mxOm5rxK3SM5YWpHcI9B8eDaW/XFAcJ7AZ1KrgvEoyvhdIC+QHHsfHqq6jWH9neNSJtL 4sHDF8NU9SGfbU4Rd9yezl+fWEM/eF7g4o6z6XltXCon0h4gZLw+4EikkXf+OxEIXxoW9G3vd/iw 0a/v2IKVimfnrGhyKx9oI9Nzi3SpKcuSpHcNcsVkWkPdFwWozFF0SsPLdscy1L+8pHBLpAtb3GF7 OlFDOF+1qqqGInbQuK4clSD9zn/nTyVzCeVwue3p83tlWd6cQ7EnlhsFrvcwJT7/LvQ/DFG1p941 OoOEwe/bFwXonEES0DtfGB+PeC4+IkMwUUL2dkdkO0qm28BYo5ltyaY6nh3StFtzywk/pCOsxyRe sQ3W9lxudzrRWZxCMRONNP6X5zpbuEXO2/ZxEyEOOHYo8aEMaIhhP+wzpq5+5Uwany8ejxThPEDq vW5MgDxAOYVYD15jcgbAcdSKV6yDBk8E4Z8CPJmQeQqzaXUMCz3tuNgegrLmOURZkZ2j8Wwm+pYU NLsGIpdpj2qIIQoy4adErluaj+kcAt8za062wTJFlCAvzn8gOKrrd9nKZk26Gg0a979PygAyl2f0 pIWtIz5yW93bZ2NZgzGnOKdmmKq4vfXKdWcolbTBozGBsQmUPsIENNtEKY9/7QrqMKfGT4kEKoms Susu/CkV4CzRW0drfD6qO365c1D16OIX6cUcDNlopLk0ZgWxoHkPbTWfJ57E1Z0VUoij7oHaxyk1 wBdjE+2kFvj8qBij8T7ydLIM3VUz2+tGuAZ18eUcCYJ+17cD/TA2CqHkzX8gSIyKX2tF4yNCIa5V UArb1edFAfIRIA/YBh9MN4pJyWABXQ2L098mibnRfJSL4pSg5gbP7kmHBYhUkxREsaABBT0e1549 lm2vG0EZhR0SEa94s+UkXYt9SoyZKp+PUGjftz8w2A35hEcpyrDZkcX1pm9uy2VTzbTuIKKVpzsi p9DthfTEWnnLcfFuGoRpmQmhDD93mUxsYWj8M9HozGM7LB/WC/a6weBDGL2l3XR1oDLKHHGSNmwW UggCeWRziUeF1sPs4zmbws71+aLhmSgI9WpQQ5PEzuA1yyO8oF9KNBpNIINShIlklRxaHXf6caKA 6RY1CMY5zDVBOh8jOigLIy2Uvt52TNteN6hnOUKDx6Yv1jNqcqfDwGfz+fc41fRrQoTxAuQjPEqh AshAlCRzitbsHHaCn1+siHgGJbg0PUpRuj05+oAWgS8XUAR8JG2KE6REUPbzmwNakG9d6Nm+JZLS 7HUj7Uo5CaS72DcHjgTPlbk7n49Ynd8CsY2fbn1O8FAGpJJvxqoVgiCY5mkv7UxyB8lUmsXymws7 2Jsn02RRwuW553qBGBrbj7AJpDMp1fcwmeX5YBkT3Sz4QyLwJ5keaFNwMcv1jCjxKu/nIT7/r2Gj urkn83qor9Izet8BHOkvF/Pu14Vq8soRNA0zLzPDi7RACjuQLdfpi9f+MGffEHZA+2ifHLDRYynR 5QZunKh6LReQO3KKxar5vQF4bIt2EsyZ+BNPWjn4fPC91e8eJO7MmdZzesd9bMysd7L+COwyvXgr 3VPvAYyuBSlGhqjkSMsXD1JBr6fQrRSicalzJ/EkbMyzhgt6g5Rgbxu0kIRPa/kuDiMmfQwDNaaV oQdM++WUjIQpPy5Coa0ismJvATSSYW8rm2qjqk/YwpNpYIgOIfCsyyeai5MXwaQq4FKCEzNPVSn4 TKFGCAzniA6R7WTzt41o1OySoCC3xdiLp4DaYiTCaTs0VnxqODlUQM0h3xIldFZESQXtJvN1Xc5k ZCPJm7WnsnBHs8BaUSjNgbJ1+2JBgiwBfD6npvuTFQkTT4wAqo6Gi+NL9rYRgZFpQGYjYGVvBGB8 JCkngLD5fJB65/K/d1LJ93qLzRUznfFcWsbGtJQn0qSEwim8FXi9he6Ki5DrnlnHcfFSF5CRCZ1o tDLg4KLkE5ItBSxPUPmdPFSavW00N2J06EKEZVlzGhq7zHNAb+Dz0XjWzyQeP+QIlutrFhgsamwO QcX58+1i2ujCcPslvbYbpDDv5pk0xIjlYjtpniupUAWSKleonAVKwoQI/AsGyXQvOMHOgcMi2l+0 NAM42xYhaeape/ntnVuy8vnoc/t1JjUWKM+ZFKrtLeoRstSl0NWo3lTKV8cJvkb+uYVURgKK2953 ccQaKpDReQK12oAARtq9l1S44OaBAKo46eZkGsuDsKmNiS+XpK10/hCJXAyOpfl8MKVd3w2BSveD nG+U3pPuJPGCNawNCR0e30qr9qvyDX6dbaXYFqaK6dl8sSgBY9RklIUcYBaZL22MNBq0ctEEc8iR coDAaBGwM0FDheBfhuAHKKtKvQAkdz4f+cL84jN2CiDfHCBwWszk2akKVc6tWo5Uq1qK5dyrNDeB C60WJV8AhrMvnk122C2ibMOuOuetuiYVei2ddiqwFNKpVJKaS6HZ4qSfB2FqtlgFV+ck8ReHDJ// 59HNHgsFDMrzxcVKIUAZp+09uL6mAWum2nEC1mxvBwSGi5k9QPSO8l28bYLBb6WSA4DqGNlSzxqN iFNiIlV6sgAIdvGHRHuJmpSYJdiiRu5aJPSwBaLnIzWV/fXB4WCkFKcHKU66G6WcXLKoTh/ZrjZ9 6k8hQQtS2OyW7X0jWJ2L76Vz/uwl84qT90E/hwbL7PrSBRGdS4cfpWmvG0jHUwtjIqa2KFfC1FOe gHNlPh+pfv+aa1M6azxpQAjHbuWKYaD1TeqTxDCGmX1wyLg8SjF8hMpOcs1rj2tekW3F5FbqFYNc wkcmit0OtZvFVoCmbivZ20ZTJX1wBK5zUa7UTqZBlnQ7lSOf/1dpIuoVfKgvey+H1osYXEAC1IK0 dl7+vZGDZ42lZNSiHHovLiZJmB354hibUw+cLICTN3TizotWItkSkGzASoGrr/pNQLYcmi/OKfYV m3Db9R7OqQchDylYYicF7ovfSnx8DhVkfTreof3iINqvb5Fj0ftuLkucaNFNow98/hakEJZNsxjy 722xILUKbctGmA063zA1kAQWKHRgiFCWWAw1mVGH/ou56Myu0xeHAAzCyc9OKpPPB7lS9VyJdxlP wqdFGTswYifNuW0s0DWKE4GmD2u9nWTGT+7AghE7iUf2eBY/uSuSJcnsd0zLkcLBpHJT36kZS02t t1yTvW7UL2EBhxYVeGETHoU8XRqkYIiKPAcg/4F/5d0IEywKx7giRjmwYcQFNynMY0SsKVDApEuv tXcp3ORzgcCHER1KQpHq3L44uJZc/cbiZFLRmR9cApIRU7kfdAueG27b6wZogCwZ8CwTq2xS8kjo 5cp4ytbO56NmwPexlPmZ12czhcYxJ6cDWcFkrrXnpX0pNV42A0B2UuIdeDE6Mx3cPV98M410rtA8 aCEMgFPl9GTDignIUvgc3nn3XPa2UZAktC8FVVyqXUyIWjfLP4AJJ/+BiHq9/lceUOFa7lGKut1N fSVnPZrqJfMAZVDE3eSyPEr/kBFpdA2vV8qclicLB7icLUD6oq7aAs3rnHf4xa5RnMQdc+jHmIko IUTRFt1wu6/KCQFSKD4f8UV+Ex8xiHtGAqEhI53QluSv8FdN20GkbV528UzQMVKQQnk+gW8E9+8P 3L9M7iCiNYEGnIAlKzeHUgZmDcC+SdCIMrf8IQF2QlsJcDxblCudy6EkC9Lm85HPzrcORCHk8hl9 h56MmL8tIsTVDaDnkTqUhE4LXpJb9SDFmtcs4YqsiO587AQJk4neBcBJ0O9ZsmAG5rHjb0QDkMbv zYIUAkxak/5l9UVp99n/Qxj1c0fz+Ug7LH99brjcoG9ygxSl3ehXoEPp3YDcHtnrdSEmoxgGJwe+ jJiVsg0w0/Llom2BCxT36CSWy4gBiQqhnM3NK9JH/gp/SHDBybBJbGMuxq05fwrxqDdP7hBl8ktg jfKAfV851Rx4M8KBBnBJyKwrSv1i3fZ0b0a4yiyPUmx3zqokGczmtihPBlDBhFEeAHNPeENA7PGc YhRezZR9nRp8V3/dCNiunokkaspVyCqL1naJpDQ+H/FH/IPjf4wapz/DpdCfEQ0hNHLNk2jN62C5 uvmg0ybWP7jYoHHZJkq+OCRwNnyBFC+GF2YRJDBT24hW4Ss9U29/2z9SypNbj+yLBQnMOdb37HYH Fo3feTdTBnQ85xWezaFHIzKWNclk5amUV3NUoA6oKhGobVspMGnUAIa80OGLz03wucHcm93u85sR eI+5LWr384WBFn5J2f62ATRgEReIM8wWNZZwLQvX1/DBhTaN4/Js+G3R5HM8H1zs01gzTfbUmoQl iGcBewxnREKV1rdSiOBmKpnFHeXi2ACIh24pGA/oQ0A3CM7e548wwY6GDfqFdhH7lkOrRsmJzS0r sO1ebOnczITuEl7D5yOA6S+ftJXYLHw2Uwg0gRfh7Cbas3ab7pxSqe+lMM3iKWVo1ygbMGSivtwG XMFog73cgeItUUXjHL0dbqgA5KybLdnQOzBsBFeahcl8Fv6UAtGFLhJN1ltGn5xnS4ypeM/PZood GyG3nNw3ViQENU1W882024XjBJaNyfR8io4nLhYljH9AG5IJOhqYVMhsBBHgHwbS8qLd87LXjQa6 vN362L4op+zLjAzOTTH5/L8YpHSwthnMjVKUeOM8XG1Z2+0EhonkYkPgwnFOMXqjFOmNDN7vZStK +0YJhLUMn19kAidBaFRBgLjPWazWRW/JiDfJX/cvDwz8d77Y2Lu1ojblRtskMG9Ey7t+7SW6W475 fHGhLvb5lgB80/0PSzPXgdwjT7vjNvQNLEoRzMRU56uiVJ+9dA4mYAMoLwYj7g4iHxR70aYEuGYQ Tqlh5fK3/Yu/tfq+izLvfa5m1iqgBPP5oDz5pT6Ptgu+kxuksOF9fjdY8FTrUu5qWwmgU+PannzZ 5aFybOBIPeNSORfg4kklroEBuxqgKVfiZB1YnBNH6rLCRdg/uLWXvW7wwWlsgi61LTqW2vnmmDjX 8z/4fJRV+laSQ1YBAv6m3qGFIzz24ECc5C0HrqdhcRYLNo0FgKBQBy72cFwCvvW7eCYAE5BJwb4T IfDEKnlctG9sFH/eV2eMmtz8KRFKkAVKH8MXfXAJnEyex+dT5/NBUpl/dU2ohLP2cy7FGG9Z8Kk9 eVInpkYsjfD2WZTSdGGCoZGjcu/UKDbGxcCU58xYgJjg9D5lz6Dh1ACXGwUkzulxM6aS+rLXDXJv oFMAId++aMiEojCJEYg6LrByRDv112AA2mX5gZyGXo4Ixcm9R7O0khNDIeHLfOyLbtskMHOEFgVN Zwb57Vx8qltrgrUVKpSTcTdwuthZAZibgOR6JY4H6e05MHO8BYo5rNRs+ACAiGjmYfiAwM3xu47j y2HAuC6xNIdujkC7AbKkIG1KqChIbV4xmwndWgtShPJexC/3xCBxcRDFBpirqrdUwNKgdFKi5CjU g9EItipuKFsK/ByzBynN5ItxclIe7AzVOvR8cMO1b84JlNYbwdMWpCj1xsuj1r0dgeWsnFW7Fyjr geMElo6JCqko3yQPOR95yPMJnZwiJRk9ZWSXiCPSA+Cw0EktlzypBlxo6WjWDxjzYUEhUtRcAsgH 98U4xQP/gQgj6GUcB1Jnb0Ce+kYpyrwrMYLaQI1l3OUuMXORli96eRalWJKEW6nKUL5erycYge9a NrfSqZo3hI6RnBNXgTSMzhDuQeNvG82YZKWGI1yLD+L2FnspA7QU+jr+L/gbYl7aPboDY0cYzBYq bZho9pzbcfAg8nuQslvw5j+cHZkHUARSy02WICyHHPlELp+0QB042vpStwWpiGv8tW1vG+Xd/NC6 0oBuaQCGeqvw3K0NMPjQ2vG3Swbj0y5VOYfejhAoWpAzVbIEgX3Lu4u4ytS2QRNH91tg7pgM3p13 vYt/bxniQptdk4GuMGlw5x8ayj0hQvao2/RtrxvQmFhPDMFNxxfc9ORLVQdNqnw+auZ+y5BBOO1s yNtbCgwe0cxd9HC2KC1qorhEUrIoDUAiLEpR3m1kgcSeCBenVBQgiUgIoCrAybvZEEBhMqEQX9Jj Vjwp1ZYDj0dNV9EJYK+aiyVLVX6UP2iw8/mom/srWUKFP8u1V8uhzeMkTDBN12CfVyhpMAs2H8xb xAU+j9iVspWnKw0X20wnpwHxYHGqmynFQaUkunsv5GrpiZIIOoHRI2ZM6P3DuMUX3XDnjyGu/amu Np+PADn157vSxcjy5kqfl1QJP5LpPkiVtpWmWt744NqebnsNAIpi9JIooXr8f3Lng7y+L5YoQWOz Ns580qB5C1OqTMgMJOup8+AUJiRKL2kS35QefT2TtqxF8TnfqPx/MeX9vCRJfMFte0jjTXycpH5W Ae6i4HTUt9n8ec7rNVfP3muJUYHSzc1EXhIk/uzOqDR5ibTHS+QkF/PUnDjN0E+CPFKSICIvcFBX aPcomwODvr9GBxMTcXHJheOi6OCgZ5ejFjRwX6Pz0EysjAFShZ0sRuclN+JDODGB37qfV/NTaPTp UnZjOfzmJTPS1hnmXrh9caByKn00Tp6hY9Tk9YScdMo9kIEzRVaiuV/yIr5pYdMerCdfdJnhYJMT zbmWPy9JkUJrve3xQ3xw5eiByBtE5yUnsr3DKUmexptYLd+mbbp9NhDeGZ2XjIj/jEwd2Ymyxbv/ 0MJqXawJav4N4kqnvJuIP/Ho0Nbq85IP8UdkEyXh8bzB9CC24eQNYLBS5m/1/HlJh+5H8rV3wF+R uwG9taPoQK126CNCdHhKIWMEQen2aqHCIv/UYO8gkhCSUM2/nu7aqcLPaT+oJ3HCxAsNE6TGBV8W OgP2ZW2q1b5kQjp3qH25O4WzudhZmnF//dAZYn1e8iA9bbL9Q9xd2JK2tS1en5c0SN/jFFXCHWhq vnP/8rAA0jA+yUsSxPBMUbjlkt4el/TzPQDHvYj4n/Vsw0Yl1gk/FHobZfp/CxyRmE+/pEB81dVU bKy7qKuGNoE0Hlstn5cESPugFgsPT3Aovqfu0XlJf3SWS6g2XaHadDkSoC5bdOidTX+eMDosyeiT psWLjXWyX5rV0SaspUIA6SrIz9AEU5vfCld5GkTfVpaGRF2+qNaoIHiqxsrj85L46Nya/evTAqi9 sixkdF6yHh3LlTCtadFZnHhKg3WzGKNI7V4Gh3jJeexYJmJkk2vDxef8GbLqjd7WkOHshIzmDjHR Adpkzo89Ov8en5eUR3+BSYL7kCqbKw3gpiNKh1ON/HlJePSG3S8tFm1sBE8/eF76jPqyBD/uxtRa yw+eE7CrQzKbdz1euox2KJAXuUXd3o9GwklMC+4tHjxoMne29ctClxHw/cLxrEHYGiXZoujQFmwU jvlHcRFcWkYRpFdLwdPv0en31iJsEuTy1D06L+1FbddKHG1zHltxlZbFX8mUkOgmSAmJMOFhPTGF gpwPJmvD8jPt0cwthIaOp/gCO2QAGV4IfDHkGl2LXlqL+gtwbrbLar7oWD4/jsbuagi9NBZ17ozt 0VG39hxAlJFQPhhly4PucrSlNA2S4ruH+qmii9xz+Y3BpgDRCLSNXH3x6vTsx/NDqij/ZVHuGzQ/ IP2hDJVlp5L1cYnyH+2fQeMryHnYok5+AtlCOv0DhNHo85q+gdS0R3O8NE973ghs+rsk6tgsO356 uwS2RQNlUSGrKyG98dcUoikUVtu+WFrYKtqiVH1Pk4aFaGVDLAEUcmhH0PvbIGv+ssHxXGT43bcv BlxfNYkumisfD87nvb6+MdyEnQb2+qHveTMmsOgpFjOwPv+rGejhFIsr34+sXuhjEKFTFgoRMnxx 1Po8RUUiFJMMv94pLQoPeUhAwxen3qpCtXuUOXe5YaBdYosCdBJ8GTudiwBotSj7Ge07+6mnUO7j Kbui3BlIu3NGj+URcrMHDH2L587rATwEp7R4RhXQQFt8FlRw9lLw+dxhmCVXWs6sTFTe+UmUt/A7 LOllg8qLFrmZzVItVrefy0CuRQXQ0DB9Hp4BsdWPFINev4rQe/6cqD6+IPlmEZrFtfp3H/XuoXT7 0lGEKH+AgY8v9pVBbwY2bmxwAhtiQYSFML7AH7qEEc0HyRu9a3QOKTLqb7AksU3UqKWI9ltqnzfS muVQ/esry9jXjYxehSjKoXvHDSYwHznZzXPowXtXiMfuedAbZ83OfPzivbFVxuWON05psYlIP9ki bvNFuZvM1hR4vOWxVKErzxtlTZ/yJigUPVpbVKCmtqXgVU+W8XljrPEV2Sp4PrOMS3RUNn8YovdE GsUJr/ulYh3fWTeoeirZG2Rb3leJ/050ndHPIFNzZOSrOVLgt5EolXqusw6VbHbSMr2nNicc9ySa /q7vJ1GrvOgHy1suliueT0Fy/Se1+LzR1azI/d5EEFv7/4x9WXIku7Hsf62Fdg3z8Pa/sAd3j0AW pQy2jrUMR1InK4nCEIMPDSVum6AolganB9+wT1Bt7iY7tnleUp/WJygKpruZXjFq5GDbDDKu5zdg nfXESa0iNhqoKUKoH20leaUuaRx3vez7DAFeBmgimbTVfa0heLMkvXTCifl5o6rdL/GZIVxtfY57 VL/H00A3AKHejW51ltLwGZq0RlQRaDcv2EcBtZyLxmKpjIMfRAhWOuU8EkSaEQljEruoNPgub+fH mhrvAXWm3SjMzHvxwcpAkEoR5RGiNVFEvZ7bnvURbvx0z6Eopu604CuGhwG62PggcP6u3tLAuaI1 FAbVFPYbWzO0nxmCHxEYP9LOhIim5NjRCoAv6M+qV4we1SF+RjRD9EQngk+DEI7gqEnBl7CGKKoW 1PfmHKBTENOsMmIUVMM5DRWg5bi9fCPGUd38apdpE/RGULM7kQd1qnewCTpfK4FVEiA+N2RjvSih sFdJy0/r1qCXvWtwUBMnmwhM16A9hr6YWLOz5M8bPe0/kjJmHeR+zVtnjUJqXCjug0EN6HSNeeqF WY3thg9v5DSrDEh2lRV4Dt44HBVAa6X0AxwHS+nJK8KE05nYTqFsL/tHmb6tIvZVcYo3rBe6MWbb /Lxx0+wq81OIwAVgQVuefpO9cdO0cI0o42vocZM1wRG1erJVW9+oaXYjqibEy76t57IH/fCco1KB HhCf5EENuewfuo1mNC0diUbLhzdmmgVEWbKQxQfDMlike4602j5vvDSbYZ8izgb4AaiI2QyFMfVg XjZdJ5sVHVXry7zH0Ep2UL+x0iy/q+rNZx+8XA949ZauyIIDGCEPOI7Gz6S4SH5svyUs8kZK04dQ 1abCxcYG43+AHijcUObjUUm6fp1DWBsNvT+boSimXpSDyt1r0hKlIdaDAC+VhkBwYcD4xkizTc42 hdIzDje5P0EMfBap4YNaiIwuoY3bmRGjnmBV10IBzTdGmmbIVI652zhom50brEpY7Jx0nzc+mq7b e9kLkL0gIuzVoTc6mhXP9g9sGXyGqBghphXDDRVeoZGmXRbVpbsYVomoTw5eH+pgsKIjj25hmpCO p+MDyLIdVyPSV1THUbZHPvd5Y6NZ3C6hLBOl964zflhZ6s3P9Xkjo9k6T1+V6QKyHuWpNUNRRA10 OVgfppY1iZWXGv286Oo5l1U/3qhoN+/G9pKKb31UfFmd3iJ9DDgaoPmMcwgU2Uo5ERr0WFds6WXf z6HFAhH9Sm2wNbT24KFUTuL3eWOiWeu4fO8ywmr7ve3DkLqwoWFNnu/bfrJtLDV6sGe0ht5Dahis 4zKbdd1BM4RwfJ58nhSrDturuiXpj8IHgjEoJHtaVuxdowmSxWVVBwh1Ji6GfE6fRmFQYJI/bzQ0 qxJ70sEu2qC+suf2byw07bIFPmN1t9SV3fIBFuCOOYPNhc3Qe0iN+jEjxUoIDAefIZyjFVcr8MIn GErSacUBWGF1MtNlMQzChd84aPo2p+D5+Q6KhyZwY0Wbun/eKGj2+HcBrZME91z2UUSN/xtWiJbZ n+TZ1TFGd7W18/XcTfYeUWdTo5+LQiJT3SpF1CdkBNxMOmIgDamf2gXqhA7k1V2dtP1+Y589ewwU xu2DJugcb5mLqcEh7I18pnjzKaCxHMlCZfI69Rv5TFFU5ybzy36sapf9gq+pXfYTrAE1n+OQOnN3 UUuMgy0hYLqBgOxM7M/xD4QYFEaE90bgdsvU9GL/vFHPrMllhbPlg+76E4XKZO5MVP68Mc9uKf25 yTrdHrbfZG/EM6u6UfBxeg02dz+nU+pepYa3jk1QWKUmFm+XnX3wCRogB7ERnrAdmurZA+KQFH7G SmVxHRyYoneNJqirPVZ80BJa6KxIy/EEbG+sM/2qaX1NUOHdeqtnb5wzraBCyadmzDzrmKlPtm6n g4RzMPPeKGda/4JOT8JfOdgemwOiksTawacwn1mQZgauq0kK+XNMN3vXIClTd7VLLru7q0qfwF6r 3NP7541vdmP+r8IHboN2+4hvbDMdHonYoLFsgkgTA1f4rCCW9ikZQrIqJ+g9nvaslQIsNvgpDYvd EzGyvAiuMCQlMEG8Rs7hvegZokOIWhhvVLOLA6Bh/OBARK9OofMPDxE4gX/eqGZW+fguDVV6293q 4hvTzL4WWoU4wLyU4Wxqk37kEkLRlMf0G9FM3w9lVSGq6YNmqENtZg62xRNq1WsQx3h2BQv4Z197 2QNoUL5plJBxYlJfPhh46iRnpHack25+3jhmFkx/32JkT1F9StPzHkwDrweMRzGDmbUUQYuksLw+ PYfLFrwxzG4m8LbDGkT5wKjlApr0v5L3NXpkwH7Mx8aRMpOfN36ZFvvi2dPaHTRBYJex7FFGr583 etn9Vb/AdwDUlH0BVFEsTZ/L3babzshil2WP3a6Z7HAz2TdymTVoCDCTIvZ4FLFrQSA9pshlNKkq Qm+ehUpUQ2avyoiKhAK/kcsskiE6kVbGGqzHcZJXVc4WvsT3WFphwvcMQZ39lhbfqGUKhOYkkNzb 9WNeqAdlEsQGQqCkGQrL06qcuWcI2dOTaAbod3YSfmBSmOluDU0Hus4sKuMNz+mp5v55I5ZZBZ5Q 8iyYGTJ8tm7yrmmxwg7kUf+8Ectshm8/GlOLrsXZnF6gfiOW6SJrmdZ7Vn7tq3r5dUv2kbB7VlE4 R2GBmgjXKV/0+fii14adlqoM1CDDT7fUE/4CU0By2a3gZ/IMP2+ssuecPvlGEdkVm27+yBi9FNbz T/rA54N9ds9pStIAOCITPs5QFE6jDwJ/LeVhZ2+tK9BfivvJzp0cqRgVqHWB95m2D77PeppnApRv IGhIhNxP1J1Ovt/BLs+3MkRK2RujTF9nFkqRtTMOVjs7/4h6cX7tzxuhTO9YPGdlVw1FSpnwEawY hdM9U0B1Ct+6IKf24+L8FlkD6QpeDtGKMeyDJfzakg/esYcPE0EsgH30vUjyBLaZOuEw5nzg9oPa /G90Mh0KKt4PSjmAsKFbKVfkd40xcp2fNzaZbVM/iRhHZQAYO6XXNUch7qPREZ1JF2Jr1Bfxb4Ag y3KGAjPcZ5ijKKKe5GG0JSWH9Sg5nKk+F0+juHNfaQ8IOrNnfx6AZjGzVouHWtG7/rXPVhVm8VZX EjYtA76T/43PG5fM7vv6tc14P/Tq2+yNSqaVO5HMU6b1/yipjsNeDFdoWGGukNN2n6CoRN1oStyS dHjSvDTgs2j6ovcethlITItuoOeK/pk0KiTi3USL2Ut8Y5JpFVROTSf2VV7T/LXaCbiUlJ0D4fPG I7PimUdERPEhkpcaL2foPaQWUxpkTZuhwV4AVfiy9PiBfpuVvjOdPyfaZrrOCJ3m4CXqAVBwM7x9 2QqpKQVzTla096TCJ8XibS8bXPiVLXu0IW0w6g+QBgK7nJD6jURmJer0PUMo434dRGGJmhZP1DoV MOZKqKd8C7CQVTPYdFii5uIZUk3hcLutHTZhm6JpFe3oLi0MoJ/R+ZHZpfLWRfOLNwqZ8tYhpSuD wF4vR6AmGMRjQ3/eGGTWA/huJq4sIVafoahETeXUmcw8DRIO7h4/93bkdLveRW/8sbuCQUegrPMY +QJjTtYNX+VObSLkl2XKdJapKg6WB/3KRv/njT1mib0UiusdrMuRZ7J+9B6fN/KYbZdbf2Xwg8pi vbyEN/KYHkM5EtUtb5UVD4gkJanrPhW77t+4Y7aGGBBtFWD3U4DN55vLdFQ5a6jDxFNyzxSaxIpt 5cp/dNaG3phj1kphwgH1UhvUsceiVeliFz4e3GX1O++gOXq66LM32pieStCyyNZL3CLHy6egzMvc AL1CE/Q36GNB4cQGL33gUoUkBMXlhy5pTBC+PMTwIDf5KUSM5xtp7GZWZ1tkuppz0BKqQB9Tt6md zPWNM5b/A1rFAJxsoxtTtzCm3p3+cm7mOKwUi24r1XiLuC1W+2ghilql6aW9tr5qHyOd6LDzKuuQ Hy4qwIJdUguteZqbOVLjD58R3PUMh1LeyQcVh2DMIT4P5L9aFFI/rUTGThWB6W5egG1RTA2o5Ul1 kpfPWnVhq/JVPkObXQd1VKJO5ItDlNgHP6hx9xf1o0+qeA5UiTpR1JJgp7weY2sd1FFMvRIJ0UkV WDdQOyECFNpZdj47Fo8HLfviV5koLgRTFG+39jCoThQiSl7/aMZ2wTk0XXp/ASIjjst7UI0vidus E0vNwesfG9Ub1OVomQrZvST+5nlbYoTn9ZjrlP7qUUwt7mFCbdIGLaLVgEli1XBUPB7hhL/PIbhA AZPiExTWqBPysl5dZ4+OZxJo6M132Upuutsj2IeqYqi1+mAThHwUhTwWiIBtywwLOkD5BZKWe97k nvBifEaQdOiSR6xlgwGHEEwooj5JR48i6lTm1wQN0CRG9pusxzVqGhIZg2PJLtpAH18FIsfF9KhG 3TlBfdDfmoM3W8F16ZCqhWRMPof1pjc6CBBnXYEmle8SIrodHxLEiyTUDzlejsEOG3+v8wVS6w7+ qwXPByzE+V2DRZHwHNfDY+oe0hArdT+dA018ut/2vRs+r1Xye0v/9CimnuwENYlTtNIeDivA0+dC yxQjztD76KR0ZHgnITiUC8h8FGR7FFMDdHjOHLgn2WBlxlzEuyvnIsDjwVmd7jYzj1WwXv2s7hEX EWfVyTO3LaNFtxAB9Gp2/Fmb2zR1ehhUyyeF4FsNHhAVQPLA3jhzg0q+DqIEFVmICv+wmG73PcuM PapU43rFNdZ1myF9YYFonrXARXTuzILHgxm6ZUbqDZw36uWSgnocU0OUgdLikpAfvoZ2614gonop 87Ie0hHZLAMkyAcH6CW4EaOsTMeGEzuyQLRxjnQETjtd5BClUz49QlJP2aND8M8G1WHzyT8FP+s4 qaOYeszvCVKU8ZzUYaW6Q0AH9GTWPiA8bbWPib5olhUoqAGaoDikJnKoCKdX6k06EkzlpqyJ0TZL SBYAYz8Xy6QpDTkDLmM59bJ/1IdOHi8PmdktpICTeFo2Q/PTo5jaHS3EupskP5S7x95jauUqOIZQ HaqsE0noC2V1Fj+oHc/OBO+yKKbey5BDywcHUvcTPlZSuNK58AbhB4P9biAZqR9/TRvpj9ajQnWl AkMZ3GocBIs5H5KpVV9rnng8yOzbdymf1KI0vDrUIxw12QpjmxnKaqRsy5p4L0Gqz4oAOlVLKAJ9 WCl/qJQ/xIRjwHiWCMTht+TP5pTLHrL9H15o/PYdSA30DD4kAp/JQb5PH7SETrTaVWbubePxYAnd TcYGAPp7X7zWHtapSd1U0X4RZbVsipbsLTarsGhicYqiOjW0cOHRROoLh3sMwTlUah7Q0VlMcVGw gNggFeIQK6nE2Je97B+ZazuJcPdB5xCQwhRnQOcJj0e86N9Y88SfcztCIwqq0QhGSOQ42FHvUb0t BYFUrBT1+mdElerJSHCWVnywuwzYrPPfpxxRMlYYMzPqeaD0AQy+GB0l8ageUVCdldWz/KFBU9TO bUAYK6xk8XgQVD8tM7LwIRdfbp16RFE1a/lmbg1KRytOWNhreEC0UQxk0DjCOvWS6ploiukRZphn aayKJidW0e70EkWdGrc9rOPORdGvohdwcviQiD6uElqi4jD5tGoIwUmehhAw0cbz/xPM8zxBh0eb ohBMnRFXl6waGlCeHldTr9TVB5oBYUdUqc5MybZEvXbnXTywiOBaiQo0Svlnrk+AxEIkQ4wKaGB5 kMITnnL4jADl2anASIxvk7m97vsTCC25nne+4j+7HSzDdtih3AmKgmr8PRA0nX2XuisNp3GdLBHn 2QRFQbX2zmzbhmwTVPc6W6lznaSO3uKmRnrtDNZQzlmPajWCSXxGhG2w2lnxwRpm0JrjqjgHGh7/ 50GEl6MK9IUwjiikRrcKugzFOS/dW4pzX7D5ALpMmywKqSfVB0Yj5pyDB0QJFLClZlAGVIZyBYlr Ciq7rKk5swwNHnxIdA5hdzW0kzCAtL1/JH6eSaYEByLj+X+tIUY/WLbllvJHFFRDN2ItChkyqG4l 2Tk0+nLhpQ5nV01RFFTrF8cc+GDnUGvzvDa1/s76PD9IhZKMKj6diRC7e6GaVPsRFapn4t9O1M/h IATahrO0ymLnMhsRlBr67l+pK9ba0w0aIZR6UrpzGOsFWChfQz05CXgg9tQERVBq+Q4Pyitr8CIj mCjoIwrccP4fsfQQDAEb8lMfBR0mEviMqF1GZhkqmDaognbCrjrk+NIHHg8CoothJAEczbxzmZY7 RVGlGpcMQHTFOR3lcjraFUKhIqemKIqqJ/MOSu5zYE7Jzv1JUU9A1VWHTZk+YrjtUcJCOjIpTmm7 7Px4fEYUEBFjVUfyQVMEwzgWZU9wu/H4v/plKqEhtc+edowwqt6E4y83P1vbw6HZkpufTTAINUH/ iKorGxm9Xt/KsqDPdi53KsKfn7PE+jiHNkF6UPBipUeEBS2iMKqWU1WRPnVB5Vdo83aSPnIyTjix 8HyQ3NfbMBOICDpV3euwIwyr62PIiLCaNRqF1TAjtq5rAs8C5Y8RlqqF3yzi3JdnjqBmjksDc3SS +7IKaWUddjcdKvlFmhZNWkxNLxt1XaVHaQgZFwvLoHZN9aVLweNR/eN7FVGXENRUzdAMK9VtEIbv 8I88XQZu1itK2WF+yRmasUheFgR4++B487NCGyG2FGzYSZ4HFMRroPiR02E4NDp5zBD9UZi1JhLK zZaCOLTNuwRxcmt4PGp3fNOkWfpYV41gRjE12HYnp/cJmpV3PAEHo26/y/K2+scMCYq0FACh2wfH WJ1JqXMSC9snQJGdYmeQBeloaNKYzeqwtM2ZIT9xWvlj+qAJOsdZVlf6RBN4/H85h+hThJvcJiiK qJEJnbPTGokndLz0RBwannQ0j4dmTE8UY0oAh/TonZ1fukGbgdk9PCsLq7CDXE+gHDK1PI3jSjGC GVaqaSgEu3kfBBwHNr+YmUDF49EM+TFEQYsBjustEM0opIY5KGS3nBZ05fJWnbeWv0Ac0wyFdeph GgTJB19CCcYCiWDPM9Hnl9wivaARBAW4qu6rpBaX3jWYoCa8+d4+6BCqky/IuDPj8UiKsn9NkOgP V3poRiE12g1fOUd5rODGuMAGnON2CIWSeaJHL9E41xNSwzG4snHCPbbIBAJNET0dLBmZLxoiH3EK PiTYZFJaBMTJBqsxnvBaujrnFsXjQUexeTyEtwOWmsqHNkNxmZqHcxuGhl3zzpA0YJGVcS1qCUXQ D7WD2lrDB9tkJw3YZ2JUx0cxLfMiYzmlAwG2mNgrGqLt4owianDeUTqjXCcHw1etSRltoEgGHo8q aL+od+jf7nzvsQj5AU+Oc6VkTzkeJYJzTLhg5wLCTksoKlMrbe2biPO+H04H8XOpCBsDPrkYVgXt 04q2J+Ix50hTdHFGEXUSfLGx0YFCt+X16JxVMX1wj8VyH995PcWiarvHdMhNtJZ0t2M6uTf1OTeM YwYpvGXcxBlq51HLtMsFtj8usIRg5sY3gcUCQLdIcBOrxHQC2l9l/K13jXpljKcnyZt5Vi8wnoOh MYDHYYDHI3RV/5qglqiEcCcoAn5M8sq0bsgwa07e3CTY6hCq2ycoiqexBoGAZUbKwbcYEKQws6ca /snuqlplQLpzgjLFtK1KTcD5jOLplkR3YW6Wc73+eOe8ZDR87pOOxyPw0Hcdn/CuMry8OENuIg6h 7k5KINzfGaKniPksdvMxmaHaB4VylhwTODj+7GwskMjJm0ojSdK0iFhKldN6W9I0VsNnRKEQ0/pG 1SEOhj+D+zaXxYkb8XgUK36HQmR1tKtDsKJgGs5T0GdQWg9PA+csGLuVFerRDX62ItiHhHFHp3on B5ugk1m0EyQn1j0yuG4SOWeSBmskfpXfmtwrhH1s2uEVVqiLfwlI6xMFxM8vXCseD6iJ8xvVQAQS IO82QWGBmhOUTdz9HOvZk/pWpseKAyIDmqAQ9kFQWS9C5ZerOVROJAgHJZw0CfE5UifuMRA0wCjE hDlyCMsXn/FH6azBR14IxnPayxcXYEtJB59bAc9H+LNvbiLwOC3ddGOFwtOd9A/TpoQzXvYmRx4X 9wHCs2Yoxn2Qy9GKDWwjsGF/ssLMMhw603CipslwJasL8EBQq12EEVc4PiMqnfH0KcTHcBAwZkP5 2xR1Bh6Pgmm/6KXpgNWTnVq2Qm5iZ8GsmcfbwvHudaFyXRSgzaoJCoHUnfUOU0Ab6WnY53FCoaRI CNCDPWU9KUUdI26afB7BZyuuT0uUaRcf7JQGVUvIoV3xeHQI/aotFpSX9oUOrVB/em2KMi0LhdLu Xn3NjzVA6sYtWzE30ZqI24ebkEEWL08pn8EWZ1CDkUUPyFaRdqZ7bLNhvyLMB0SuTxyUiNLjoLMV 4CTCNtvJYvF4FApdVAyeIwq23NriiqJpAPOWwFAEDklZeVGhe6Wb01cLFles9SHL6Vl98NLZAJAd 1VkYT/QTKlYCh8DmnSgSZ3rhmtFUspeNYDHr1f8ODUG23M+BOfB4gPq49Vesl0kw7Y0WVwikJj+x ZunAwb7AZmj2NYbN0EQUohkKw2kChnpRQ/Hq/ELmCbLlLJWfa77C4RUztHDedeCfM6SArC7EPjY+ JJihTjUUnOcclrGCGoQwJb6QGh7/X9SEgawiIMpmKOQnwqdkkd7+f/QKSN0LZxQPsdJiN5+SFSOp eZWlnX2wNZRO4n5WIyUl+9lcZZHQAYeXHx1bxOcJ9THtXf+0l0g1+WCFM6D8h+pCfMXgoN7fMgRY 5jBQ8QkKgdQrMWU1k4C1rhjKkKgFC/g7GQd4hfVpGbhM1zt9mkBwde2G+jibqgJ4M0BbOA8k1oXQ tmGrtZB0gA8JziHZ3+IEs8Fqr+efKReFVfF4JGbevjMOdBBmu8dQCKQGzb70+mA8XeuDmlKcoZZ6 NhDsitXzpMAotMJ+TMoqDI4Hi5xQUT1HBo8haoRCwv7cKfMBUg972agNxHSeyCENdlKXMgQVP1cT Ho/W0DcuhkbF9Top7Cighh4iEIVu6KobnpJDeV3JoeEmJTuqTg/14MvuPvhBvU841GhodzbJIheU NOlJdN7+oQmFGZWyOr2jgLrJXLLXOxi0CjBIVs7S4ONB5ax+bzKKa6xrU7LfA2poqCCr78WVz4aZ 3UAgMSVfQog8JGoeIj6a5MzXHfyuh/hyoqvDOWTqbos88g6ewECKIdKU3WRUxN8hkJpaFrnu5IPh hlapYqysPfB4cJOl702GcLPWq0i9w4A66SYTg+wE0bXYJhM8SksITW3N0D+A1Fnlj3ytXGjlNnHf MxqCri3xDGRNN2Tm4Co7JKbauwYT1KWGIj7H8AmaAFHT0hXihXg8kmTyi4xAmkEDx7vHQmbiOc/P EnIH7jL3VUOp3eSWYcHuExQCPopgeb374IUhkKY2+NuYqTUg6SYEbD/bC0Qa5kXWApr2spEcCjsc nfpnu7uJHmwbmM7B14/vGBRfc/raZMhaa71X/Q4RH3tRPM+Ez/a+lpubjTkzShxm6LL/Zib2AfSm hjtDMOJokiKY0IRscgBkBxpdya/SGUgn+Iwo5RCbY2QfBGfI1DvgydIqHv+f5D6Qv9QbT+8onoam A8KvazxhspSg/05X9Zr8qZygGO+BnTMzM9IpIwhrcCQQpOmt0ODljeACxVcUCgfagvQiEw4fqEJ8 RmR5w7p9U8bRkmOG1okhREw8kR0ejxSHvpmbwG9/iVnsCO8BGCgqisnoLm7kDuTc7s8EGR9oR3iP oc5GYenZ5Em0gtCp72OoiQidbYZLCYhy/OCfSQsRtaHpmraj6nQZPKVBbLTBQFUzyd6LTcT97+q0 3CdQzFtXUGeH4XSnYoytlgUNMZuhQY8NEoJo80Pa3Q7hHtI9k50WB68tniNs9ZJUfc3wVGBACYRJ LTimp1M5Ct138RlR0io5r3IHzVAF4ls3fR14PCqdXc8tpSkAUd89FoXTg76/qWeboDV0YLPB4Yyp WUgr7/w5f8mejUatDw4X7tHruQvWlukWvjRZkqGEyHdGsmaYqj31rn963/D40KAJyqgpJiVZfDzi unw3Edf5MvojT7mjaBrHp0OocaPl5f4lkLxz4CLsW7XHIqzHLAbI2z74Cmro1UyBzs7fKmiOw6UU 2AYI4UDl3SMhNjh2qPRhnkmiAC8vDCFqnCQV1lYaHo904fyUZkUSf6/edIPV1fclNFk6682wHnPd lLV2x+FP4FWlZ55CrY/OukcicojDzVnPzyxktJxvGLsY6nq5EfywUYmgUapBhqjLhE8JqJuF1M1S rLzYzQEA1hD6Jfu5KvkDIrbCt8wpobrpls9yiorUQBOctNQaiE4wwzRBV9DrZ8updznFNi9V89N9 sGnKuM9mITlxAgWQmXVg+0ESZfGnXV0dBtX4lIh8h5AIJCkf+ClQJG6MUttojc9HVPvvSn5HEWWk ZzGFsI9NE6Ws6ivU4tqPu9lafR8UXq/D4gcFSGpWqumFaIPNEmVnTviDwHrAFZMC+iesZRaHOJQu 1+6vme11/wRXLRneTSI3uJgIkaOk0ByLPyCIjPJvFSsiIeqdprBcveGyIGHKQb8FL1c32UfTZaG6 RBN+UNQT4p7LLMrW/KUrfBYlLGOZoTU0muj30iizg9yfp7VHj2Xb6/6BZESZsvtgxcZW5dxx7rjK 54Oje36rwm7EWuXqDQrAG3Vsz5U2haRe9It3EaLpTgvEptnJFNWsLUD67ywNPgLw/KIrzoL5yGAp BFiisxO7g4hYLKLyIT8lAOYryGZJVoOykA5ZTMkvw2whhRiQ6yXANYi3mv1W1HIK69ZwlpzZKIrn YGjVZHamrKhwgFcw0YhFoxdkcDKxHgJdFRu8+dH2OZykXAmoW04keJybDsKwoNaATutVx7TtdSMg CFFWgx00DlYyguQX46QTp/L592mqV8GBcflG3XM+J1NYuwYfb8zhldlVjEu1SipiniGqadVnKYq2 zUQxUf6Vgxev4QffF4vXsMmZNNg+0cH5Ihf9ZgsyLJ1MQIHqU/4UbEo0e1vpmr11IG75r+fA4/NR gf9Xq5q6K/WZpVAJhAqWvCKpaUV3Q66lDSxNlj8OfgfbcmEBW5Lw3Vgc+W45hOsnwVqaJbQFaTXZ gWydoNnheLB4CQG43jZChEgTrVoz1vLTnKiW8kN95cbn/wXcE3HxXJmrP6d3FHQjcZHuvyH3usOu FmU3hQlZu7snXqQGUoQnoo+kBl9KJ5pBlKRuY4O3UmfiBv0uQLOhT+WRgFySUyxYzcsNlj02aCWd A8pcpEsbfD7Yb/U7XgKG92S7zyTFGntIab07DaPwclO3m/0Pkm00SWEdW2CGqbByPmKWCbhPeVqn CTlLWIIBnMYqB+Cyw0W9SyYBFh8SuZwI+jmKDxdETJNMQNsnn4/y219gfYRL+bESCt0VyfjYVICW eH7yQm2hBYSyk+ZVthzYK4IUhqRjyG5pPHZLjYIiZ4lW8Rk6DlmkJ4rGz54DXMftJ2kpnQN7RdfZ oyWUDQYkBv6ZKynBcTv0Vxz7G2oNhEFH/O6TFEXekvamlYrqSGa1DRbsvEDZ5C4VOTBYxCuQ4qkk dz5JLgwV29zTRD8hlyKHwUS176qj4aL4kr1tRGBkrNSkoN+u/y1MGKwWWSqfj5Rjf/HxsZK+Jym0 WEyb8L1qtaS6mxUCdrnizLxHWAjIoceiuMJDRbexbn+/QhwHYmCULIByE8geA8a6GSX6EzqjkGTk odLsbf8Q24MPvEoBrB4TZgSlRqZlqJXkwGUxMaD7KicVfDWUqrdZiqraQLLiKLoKPLaoECqR11DY fQSfQbMUxt1siSzZehgGWkvphKvnW2Dr6CRzaGKruwSwA4JJaYNI+YIN7Bz4LGK/YXporGeDkDTn 1hxC0qxc+fy/mvwqHjBBuTFA6LS4UBCASactpTnvJG0eSkU53PD9FnIZqQM2JjkOQ70KsT8Sulhb lGrowSRCJjJUtWqnpQciJSUn/b5u0OefXEv0DsYg9U/gQ0/0oiAAhp2h2WL5xdWrtEC4gKMcmC2i 2qEoctzi7fTK2/jS+u7bl1IcdZtpxfLBj26YL2VUfkhnPMc3I88MKnqjkWF7HDsVBAR2i/ApI2i2 EliTHX56pnnIZesHrrJ8PpK39Emaeu78rf2cSlGFG+6iJwggLAvTtQlQJ9OKCpsMuhfE1ZTnhn6L i92Rk2ZOH7y2NODoQWvOk0FMGCiwEwkaa68QIqQezhI9X7Wl0HFx8vrfcqval42TW6eSOH0HNp+P zu7vCw6wcpC/7iyFUiGr0+0sewWOAFE6CopcTVhNb14NCEwXUY3gtSUpjDYeD52OGvEWIQ34RIq0 AFeDWRrwQhlPFICqBD8kuuDoKiMMbXswtLh9ikCN9pLBUroqBvSqaBs79EngAt9FqWHjpK3W1KZQ rhnApqtzuVfzWQpr3VulJZLS2uOzXM5JCl2nwWrACXKpgwXgKChFUIPOO6WLPkrTXjcSkB/CHTUf FCyhMC8hx7kynw9yk/GdmyD+r/Db81mK0diZwZJ4V2vvkhwpuqqLPaynTBmbL3aJWhtB7Tm8z98t hZEXQgAwQDsbt4hSOphLi7UAdd1WsreNukoSC2H0zUHB0rmXc1WjaDY+H+Qm5XvDac8/VsKh/yJS iiXza05Syo6FXNS70STVafTGHBowri7pZmLW27qY9XJyt1Zrk7AKtbCoo7bqyac7biwAvCyBE44t hw6MWAnfSnMubw2bJK6DE1xkPv9PLT6uGhhc18dNODRhnJQ0mCbLc5aS15UWrm+KGZ3kRCrpnKUY ly0SsVGKn8ZALhMyGRKSxw2XaA+30bo6xx2Oz3UZavKkDl0Ys9xyAcu1wTAA6QT2RLOdT+PzkU76 r/3Gs/ApvsU2jLSuKla0XYOVahFoaO+r7gkjYe63wIfxLqXGgi4HL5istnJnrz8NkLDAKgXW5ixf EI2NpabiG3cNPyUC23DDiboAK/kf8RMr/AmI+0TUxB8QwSJ/+VdlZtn38A69GBOFC4dRihAjGx10 lV0cOXoWWPZpigHanCYBI9sXMBKK7aXTcSytM9+bRkWYm5MYYbkXKLHfO27b6wZwAImrgJ7KwbYc Wt2GHT2nHZ+PygEeeVPvifv8sq5z6Md40p8fGm8a6CYbCBAM35q8HEBRakbegSEj3p8qIqXf4Z5L tWDtJFns5DNViZiSwmYAqm/59rvnsreNMBPUfsj01COjvYsKAVQkBb1Hgw98YMmY6CDyhSClP0q/ QWXoyYhDAeGSUbLWvJSs1ZuzHtG89FmKgSXGF7nDM0vnvu8ihsLuYrG/kkg1L/AyaNcuTgKPOTRl dEGjnHzQFQci9pLDWW18PlhKVygUXyKAJm2MZ8NFkTekBVF+2wZw69MBbt0rKUji5vRJChX6OEn0 s7bB6wGjZUo4U2x2wJ+P0ImMvAD2FtBTNUkjqrzxQwLsBGcnD8nyDoffnKVeBaQ4k7T5/D/tdnRQ oyDcb4cptGZEnQI6B1Y1WRTFVZFyFC9SNlRYbZbCcrdU+jb3HQebpV5xheKXgNDjiTA7i72psfQx eGX8gBuGDWezFCJMhA9IefugyBvCT0pPzmfx+Ug/bH4lcQCJtnyhkjl0Z0QkuLaEwOt1S2Gmu7sX TSYWmDLdwJ4R6YlAJsRMcvCQsoNtwU0F3eLWJqluwN3+UGWTvAiLA+qytw1uOJbIE+pUNnCSBirE wxDJnc9Hh1L52m+UCORV67MUBd7QnNmpVC/ATbMipFHBPbtrvrMUmsrQerDKqaA+TgVwKzqxdiXN b0DdGaYFEHwkHg/IFfKQpzrftdvrBmtJ5ZJBqigHRyuNtKSaUTOfj2pL33FAY4j69JdCm0a6Vm/J PXWS/txP1zy+uwjZXsuNfRq3gDj5DraWyolOEVlyLcHnYhNCUNjuhjZUWenpe3d727+qJlV+DvXx czghdKVrigregVOjCnhfwRJir/lccKFVI2k2i1oX5pM2HBdYkoutsZCvpRR4NUKTnV2BKuJofWxB zoY7v1umLUiHFTovNfihYq+d4Ii1cGdld3vbABywyFtf1EXlYKWlc4mqG9uw4UK3xrH214ajEcd4 9lts19iZ6BaVuc+HbWfV7n3LAfQtspUUQ7iTeFrNB4dQgOp41jm73vnc4xDrg4jvWRUTOLLcxgNy J/Yth46NUGpGxE1XVCEZ+ItR2E14nDT4/L8guJgQqeVcpkSOPRthMEPHOCOT7OFMAMFx6exAWoxm KXaYYbG/yZGxtcu3OdkInCBZDjiXQJ/M9k5Aec6iSXxPWjdYsq53YNt4/ix2cnfdPvBTChxbSK49 GYLeMkp1L2qJoRXvjwvEzX8YNz7iB51Vk36rJpcOsJEHKD0JnBt9mhpRihp8mipy3Un0/ahznPkh hAI68ANoFpZRHO6el71uVKc0HdHhg2LKviGNwkCgTT7/LwopY0rN9i2bBP6Nam2tXpqW0BLS2HLd 7TztCvNBm6VYb0R1ShlfjfGgTQeEtCh4kBBdVIg1A49zZslyXVwyxrxJ3V43Ym8x8m7PYI1vYLo4 Sxt1k8DDEX++GwM0uQRW0mcpirwByULgqjP7HEyz3rWUul1xGy9nsxQBTRpD7lZ69sEhcIMMvSK9 VXjFNor0o7cPGyzKfHm7cnV726hsogrcvIMi731CyqaSN8+lENidvkX6IfwBNtCdpLDkjc7zyUyV lJzparfknft2ANx05Zr8h5FjV6d2+eBL6eRzO1EjBqf3WZWbp3eD+jPgurAT9g1HbQN+SsRp54az 2PLapxXq1LF9cjYfn49U2H7dcbKMfpZSWPJeFK2BFMz/IZ1L1dE4a9IejI0BnFCqwMVWjmLaSvaA w8VQQHe7OLJrTAC5cCyda1jNK1pLW6GyN3vdCCaYJf1cfdCGQ5+3mPP34vNBTJl/GT5kMtufBCW0 c4T7LZS05J0GUcht08RyXHY7A0cwh36OasUVXF02WCiQALoaWDpQoz8vxSD93GxoldIGYNyAqdBN l58Shd6FmFwWlzioUom4OAsHjzQucHRUOfXrXIKsW34wp7Gl40LZZCVnm9Trb73FK2U5t7v7bg48 Hc8Polg/bOV8cCh8PwF9mYwqywZyWekwrMYLVSPqFTlmaMgPiQ5v3m0z2dC1JlBKX1PsbSAEAlNH MZ6+JgmAY7hV+iTFDjTUalnK/WGr6AWBLto7SV1yL+QkRSjv1SV5uJoPd5JO6pC3bIzOTQcJZ642 dIIQqyxINyuJGwqXAlfH7JM01BkY7kEDRQdSG6FSq+eDG67NX6F3RtZ3k7jQ1xGsHDApvCBAuJTx lqbnJwvQVZukv9mTA4bYNtjhDSbXysCwoABHvSQyu3omEgvZQbnsSRXgQmNHXNxABw55zuHWLCou gatBV5BUFn9ARBj4pUwPU4tWnv5JYO4I/ltB8ja71Slbd/LSrMW9ihET+jT9XfOeibnuTE+uO6jd T8XT1Oi7nCVFyg4ccud+6yZT0VLg7ii7m/Mz1GUq189otZZUGoK9Jp//FwJOPiL1hyGLT1JsRZPo tHKtaK7m8d4930mq3q/8w+CRPbiUlw/ePzkLpO0hMneGflSZUtI05RaYV7rIX9v2tlHgLVt5keHy cNVjGE0QUwu5Dz4fLaXfp9J5p3aZpjm0eMQGO5O0BQ5cVGkxVkVP6cfVbaCzywsu8Hh0eZs65Loy rlZkgW0k4nllcX0MbrgMcAULcVAhe/Rt+rbXDXhMdHwCEN0HC7xPvky1JACA+Pz72b2uqXMmBANh Yq9fB1MUeoOuDOibWT8tylJrMaXsXhAUf7J5ikJvlXPborQxBwsExjxnxrmy2deFQgyxFhnCvD+U XyzpsS2eVGvLgdejyHtngjadnTlYvNSGnHCgTM3n/6Uvwb4LOsPzMTfKod0jFAyAX04+TXvYNE3v OEGkZDreJPB7RK2Vt1uXZWh/LEMzZBygKkVmboJnOhsoULAC5v9npf9QJMuB4SP+DPaXdDDle8lt CHXyWJnoWAaOj/8JqIT2MzXjNUmf12gpY6cismPRgQ3L0j3X7fmKAYFeqzl6jZWyCyBTq8IGh8C1 BBMINO2ZnuTJqKrgmgJkV1oPzmLCq75GSvgVSTupibxTDpofUBBUBTmX6ec1Tsrg+WsNTbIq0YBt kzATlrOiyRkIAIqdQktIKXIpzJR3//AI9kJAMDmCmJzTLPlgyLfzK52bmSdjQgmuaiOmJk8YdHmK e644/v11dk4c2aSqme+g2TkRWBKwu6CE+zo7QjxrdvhmgIexmsWPfA2Pzh/oPy1rjQxKBDgEx8Sz KcE+Hc71GhxlBwRQkdwGm52T3e/RWW2XbC01EGX7DGEyLK8rykpI92tolAF9ZNtNhNN8Cadnwueq ZsfbPq9xEaZ2J5scsSfQe6g2W5/XoAhLpzPdN+GNZZc8y7aUWlalrVZDBL6GRBmtJIXX9Q62rxoM MFlcY+S4RiXMC1ZQdIb9GY/FAR2nPq8BEf4Q5p5z1YB55TF7PkxQqp8TvubPazyUHx27adCBiXLB tNl5jYZsY0E9yjUl+rL0YxnJlEXt4TnaayyEb4bHTR+z++Czg4ZST8pjzxpKlXnsKrQOQ5KFrNA2 1qZe7WsolA0icU5SeohwsKMUBknsCfUTVb8GQnx62exIVPKcXYuBEI3cgtmBWiIyzO6zM3ztnOzf lJGgL2sB9WsQlBOXIK4rMbr73Vi1AgJTNsERZzk2XP2IFMGi7tSD5iIXOoKauZ/XECij8yIYkhRJ tjdF4NomktsJ1svnNQDKsrB81g5EQoH7stl5DX5wklPreJtcNvL7y0pqj1z2aLZ2XkOf7Jo/oysn 6zcnqxMBaGnCIZ0DGgxlzA7ga9SCVqHfMleZGkRbS2tHeWseT66RaJOHHCuPz2vYY6fW17mDEgCA u5qd15gHh3KtxGm5GMl0tfU5GM5Kd6z5znqNeHgoEysypMs2LvyoElgDGyNWrnH7kvyHaYLUD2R8 H5d0Kgt+XgOe7DoJlJuwQbNzbsihDPTEPp/XcIdvmL9nh5Xg6efOa6ERO6vsL84IYkOvDS3Z8jGj L920bF7LjNzVjJcn+/wc/NxZ+URrU+AsFI3QLYcCAGwKwecp8JR3DFujKFs0OywyTrTlbOBngCdH LblzoRc8/T47rup3ZocnOED3afql9Vpg5IPcWtsIf1PKzzyWtd9E02pW8HgtLzLe4eLpTNI5ON5o QnetUkMCXiEjEeI3wNgEJwbYtXGxa3Quei0u4itYbOj3ln3QsQyBYdmFoCT0WlrkpeXTIwsfuKUm n513FhsuYxbN2rB6EARbfPWIYEOAyNheD4qCZXFGR03NB89O15mQszmbIJCQ30N2SpzAgDZUlp+K 5GmbOP/R+iFcjdBcG1TKT6z44rc+afDnncOWxTb5utZRHJf1ldDgwQxhuUOUNmkBdRq1mYr4dnAI HC2cUhPNEG/0KeMZDhYTApkuN9Gzgk7qlhLtUgek6tGT/ZGOlEHWDEMens7scmypHm5TPTz79+zg LpHsysf/FTPTUKVVaCHdGXoPmkHPZhPf6LNbXn+Cz4iZpS02LvQxmKFddbn/l0h2K31Rl5AKx5W5 LgNDomfAkUXUbCmFEvcobO6ob0CNv/ugCQIF1XRawDh6j5vz9ZOdhGGdjfnTyVTVBEWBc2VbcZi+ IYqX14FPHUZq+uWZvJMfnNFdjk5NnON2OceA9O8TvzcSswcCTiqLsiOA6vJPlmqT3WBJLxtkXcTz sb9ng66wEzQ1NagLkKFh7Dw8/uEhjfLPQAdSM/QePAOpSC8D08jcSfZp3GRj3SUE4I7VW6MZQq0C QonDB9tkZ/UhQyfU4Vw9FDkmoh0VCvEOtmH5Mts6n3fSWr4a0NDnwID9YWuoWXJxprB93klrWa14 22R8jhXJUm/iHoXQ+N1w07j8Kkm8Jr9656jv5NvsPYbO/08C2bPW5oO3N87pvKjiiOS0ozazCb+C Sipi7VUeSxW68rxz1rCV5Y1eKTlW3IIMnaOyiDQG5PTzTlnLMENYX+dQpkl5ZZ+MMxSG0RCotbue xkXZoCDnIMpu5LhBNVdtI4qjpahF80cbfIbgtLuob5HOtY+Ql4A/2shuokHvQWQndRRHN6WoScWN 5MUN9OFakqdK3p93uppluF+hIoDKMiXmBEWRNO7bLcc/TpAgWGzd11S8dd/cMuSdrHbvsj729uGW xmjjSMmxBT/KiVwErJCKDjJ0NLjPljSOu172fYYGyaFtMpbmoBk651yTD+Pq8/POVeM7enVMyNH1 0+cNpt+ZalhCgxnGGl4Aam64C4S6F4Da8H50FE4PVjeW/Is4eAUowQlrmhcotPsFPybiGlLr9aL4 vaXxHk6rHgNyMcVFOWiTAVBnaiwQrYniaS/V2yaj0mC6d1kUT8vOgMRewq1K21YhG/Lqob0cEMVa QmFAzT3GmbbBJmghvC5zGL5xU3EKe6zjmIQSfr1a9FSj+LxT1KyOc46fSRg/B8Eb4U4/zM5gfd4Z agym/LKXsVNDUd9X0DtBDZd9J4T4+j3MNW+8WJJXyVAdUgkxjKi5x6jIa4NNEHtqGe4asNo90645 LAD2VcLv0rrlZ/p+v9PTsNoleLh28sHS1UUfaBoU5s87O81qVV+1jgwJrul3/Ts3DX8WC0Bp2wRR NlXFDmH32MJAz1MT9B5QI5RTStaWD47XO1nYHFafp8I+WY6z0wCrXPiQDqE89LJBTkakB5REfDDA R9o9SS+kzc87Mw2nvLGKNUMIW1uefo+9E9OwbjPlaadDGvu4jVXwAGyGAJjUDL0H1J7Tj6HczNWH KU+LjGYJq9dBjOrU6oebxFlPhcpKF4Y27GWjcEiaWeyrpttXxY1i/nK5ts87KQ3vOPwUYmwIbsAu Hgu9c9JYTkyMgAyLvsr2buHYrfy4IwZM8XBMv1PSmNxJn5ZwvZkvQ6YBPLoK8JA1wbgRZnNIyiD8 TS13gO0vIIa6Iu+MNP5h2rp5DFUXeIHRW1nK61Pm41Fi7xdZl/YKamw3XHwnpGUZNSCz97pQ3Vfj uJQLh7lSEO98NCu7vNlcQjrjBIObaP0TgVbYKtPOAAxQ1HszyNJWci2Uz3zno/k266lrLXXz24Ve cl/SyT5f5uedjYbb9lc7o2EpjnJP6rAmvTrlw72fUbuL03R16Vl1nc3wC+9ctHuVDdZUNdgMzQUF qK60LPP8YMl+4+SiCwCS1zolnokv5fPORcOvWLrMi+6gGULgpsT13DSfdyoaF/r3DKH9h8Kez9B7 QA3KB6CLnqMuyGU6BD2V29PAVaQ19B5QI2hgQJ2VeeTHmucEVRNITx7VQMF01j4WDm9KmGaayXpD bOll3w+iJRO+uoYPmqGziZtM9M6h/XnnofHQ/Y6oJ/v7/fYLw4ia1dfeva8xLldvSuVYfgbDlA3e SWj4eqn7sCUGuR8xyIYWZMM+prABklhpkC7K8JyzDu0TT8rK0LtGEyQlcUZFiTgJWTYnOt0jxjmh /Oedg4Y1lOfXDJGui1/XZiisT0sJ0mdo9eW0oVm/pMSHwYXfGWgsHlOvJ4EarEEzBMsZqD+IxXAu RZNjqZ252HlqpktioPno552AxvyIgVDuxQfFQ3P0KQ1HENDe+Wd4fLXvCQLbaz63fRRRo3AGu12v faSrkTWmi9E36u5qk71H1Fb7KHvQNISDR9RYjAgcmXKURtyaqY0zrAbQ3ALGSdfvd+oZl5DwweUO Vhya+E5+CBMen3fmGbOr73CoUScxJ287RxF1oy6GlNbOWoK5sqX1ebdLPEvNUo533tk9p7fUe/ej 3gvDkJO5duLNT4CYdmWRtuGYbChPz6dEzQ3+eaedZTS4VBWawwfd9VAopzLdiRvy5511hldcnnLg sU605PaI+p10liUTCFKy1xdLv/VFSfexerZcXuWdc+YTBPHc4oNtsTZrKYU+cidmOVu4mHgWnBGg +tyN9UUCTNG7/olb2Lv7oBW0ejEHtTNtn3fGWZbp6dc9xhi/+Cn9TjjDCqqo4W8T5j9rqV9W3pxX Fis5Dfadb4blT9m01ev0wVbQSS3wuFToQBCaDCw74tRCT+L2nNJYs593tplV0+GRKmretCZZPnM+ k0pnJyr6vJPN/uuQRsO6tFv2eOeaYYJOYHLWjRkPry2F1cYVVF0Raw+qiHT+nL+S1r3GHfwMmtRU nQadPv+xIjZSJ7RG6WlkZxCFMN6JZsQAsLYosX5UhX9YdMWrNjnLzpTL551oxrqHH0IqktDarjiA 4Z1nxu5Evm6yFS5PWTcay6/lWUMWT7/TzPAFdZaoERXaYJvsnGX0H6G+w0lMBsWdzo2DDPikjT+3 7EGl0s87xYwZWdfhk33QGVTSIiMOv+n8vDPMfgXTerFzF9BKUtPzHkyjdz1IwnfiK9UHtcXUMROw fNot9s4vy4LEYW9x/3Cw6TkHD5IN2TU3kDhZe22APoHK8DMfF8dOsbB3dhluyq0tpibQ9CYQIKEm 1Tx6/byTy7AS0t1ibOlDS+OpTr+Ty7K6NxCc9XRj7mu2S4cTsyN266t3bhnvCKLKsC9t8EbiOpcp xDGliH1uBYZMJFIBFgyfynV5igQCv3PLmHRqk407WI+joyGANbTwLb4H04oTvitDA43Ee0xHwTRK MgB6mB2xgjxz221eOutXAOudWJbdPm1WFg4nTVp+ptx20T0cSlnhrl6JKsORjawRyniQfVJW39lJ fOeV5WwYMwhDcEAZg8fQPuGnbIz6uS4+77yy/IiEqV9/Ngm0sG8sFEXTusnANVEsJK4iWxw1u6Dq SNsAiu+sMmxySltuWabsfD34Gr7gSkQrxPlmopgtzA4GgNwJLWkv4AN7pnf9qzKUs5ytE13DOUMD ZqxEh5/A6vPOKWMT6fsqw9fTZrvBUBROA4UE5UlXURvFS0PQAfBoESqfmqGoQD15189OFD0HR7jC R7SkLvgvtIpIdAWx7GeADZEbO0EqDZFQ9s4nY32QBVjk1TZY8eysK5mdnl/7804n4zu2rzXEbltt fhC9s8nwoYv2llvQ1kWXV1N2TGn+uBUoS/hAKsaYD7p+53wHy+pPHHGmHfVLwM3O6baooEZ/MPrw 5v4g7Ukh/ryTybILqZZGrCIvfSmoVXRembPmOj/vXDL8uagYLj1gFztVVzVFIeijUGwWn/Z/wEuj NIR/g2PIQLVj0E+2L5uiKKKeKg0N6YSOq315vqW+O3N5WmFMtgsH9OTO0YUWApNWi4da0bv+WYBF QcYG++KLjtKfeiLzzzuRDCfB/G4D8Xbot2H/ziPDGqLzzFpydUBs7cYF54SVAj3aQGh/coKiErWI 0kDj+uC4qhNRn7CaiikTLXngdchqaT+42WhcezWL2Ul8p5Hhj8j2IIXboBk6gSiNS1Em4ONRSP09 Q4zkKbmuGXoPqRXHo+4hqxma5JkEX657uJ0svm+aFrwzyBhSs2gGoTgbPKSG9w2gDDJyPClZIeiD sgTQLMyS4JNeMXkI7wyybGYqHYr+PhjtB5a1JldcPu8EMkYL6escgrTG9zkUVqgpTrTHlQFxQAOS Dr/L9nVLfaePZXflwQz74HfZomanFNMA2y9EN1bqe/JbTWayREmCrZcN8lZF04n4IQ6W2CPxZuWj tvZ5Z49l9QCeoHqpFeA1/HfyWJZYBCCLVsPvZbsegRnK67ZvRtZ4545xBaMDtKqarfVptgJ9lhvq VrjgF5pKZJlRB2ADPfQgX9m4+Lwzx/J1mZNFWH4swjrsIqVGsMfnnTjG9Gp/562oidfLSHhnjeGp ThPZmqxT1vK4E1S2d8pq8QmKER8Mh4R+3Q/6tdPJocPqADTNfo5sEqb6Br8V6pytXOkP6rR93ilj WfKLgEyrPj3sS0BLqUi2CZLIn3fGGA+x72iIxuipe9b6zhfj5/L6aooXQXpyv9088lVHWZ50vNPF bmkIOtY+OLDqXD/nmJbJXGsn9hEEFKcQPCJ+UDPxQ4jwzne2GFHwlCWuLMJy0AqqLfeuo/fkre9k Mf5ZX1usk2U07jEdBtSbfCgHwF4QPqj17Jip8pFNfL/F+GmdPtRrWuPqNUFZ9sS+iZ3Ec7BCQZ3M epAwamFJrbmRI+qM/IwAmrdJ2OjpDioNnSBzicIK4a8WxdOo7X7d9AhKd/eMo4XxdINdfK3ZJojG IxK2HFd7YIF2r1M6Kk+nyjAxkXf4uOJAXw9i1gntVPgSEIqPMwh9z0o+bF6Pp7VO6SieBn0FwPud fNAeOwkkvY1+oM6Kx6N2/fceE47iNqN7FE8jN8Ae61766PMuoeZGjhvsTnFb3uNpU/6sLNnaYIcQ 7vdNnZ6zhBIw1FS5gEHBTyU4eF5zOcog4jMixqEQH6v7YNVFuGrwEDkRBh4P+Bv79xLq+I/n9T2K pmFmgGxx+RIi+YfKDBRQ0RKi0xgnKEJ8sEnG7eSDTdCcGxrWsgI9p2jJXS1ZhIRAVkFT2DKySfWK HtWnBVnM+xkMM4R6E/us9eQbPYqmH3KU8tuzlXHO2gSF0TSl9Wa+mCEz4UOvPl/+D4QLeEr3qD7d KYQ2pdI4v1QazyF9thNSY/TIkE3BvggokPIzUQHNqB44qxfAFHxI1CQj55k+IG3RSkCHK6yh6Eo0 TqSF5wPU0K+EA8J457C+B3UP2YeVKVlSBxqVRL/qb+MeGUc3QZ0exdOWkhEirMHLZyczSDivyeQY 58huFLBCjWjCX6fI/2M+0rE9iqdlt3PytztYhbHWITzCLBOPR/TMXzkZzqFya0M9YiACq70shUd2 1m7lY6Ts0WKHfbpmKIyntXy2iM/7Ep/PzjonTxFScOQCpSkChKFwfw7BBjWOe9ezvtijGvXSOUSr tM6u4/gRUWEsOYGe9Y7Hg6tstF/bDGSX7l2OHobTQA2NYtaNoEo1L56lq2DZ4CfPlKyHLMShCSL8 lYMvIUgXD2pDpBM09qZwOkMDtQPVResmO4dwo+IzgqyeBY9UpA9TnGdHfx7B8DsO6iicPgngz0PS VIhxiXY9LFFDHSYDM0QLOTgDWNljQrZQZQ+4LdkExeG0Qpx0hwsxPwndXrT8wHlXhIgZUhxEkAU4 zlWvtJcN9pjJMrfhg9GlcjJBjz7mp0fxdLq9aIkzUy70ntTv8bRZEa5Ez+EKvaotNf2zxyrpmUv1 16m6R4/i6c1UjBeUDRdBPcg1F5Xj3MszGVVz0huF+C+3a6QzWo8q1LrKquRi6yU85vMhXcpnNc9P DwvU9fsqAz+ipeGFoR4BqKk4PBtb9Osc0zQmk/HnJpoBE0TrRS2hCO7Rl66ybQPfgQDqDL2BzT7Q 7NCfWZwgkDd4TFP31xHUCAnwIRHsrL7KDCZYufJfe9t4PFhCl1AmvWGUPAhc1hTFETWDoKXZAL4q e/21UZ9CvgPNj6GoQi0l0THYSeXgawi9/mm3PcgJLB7lRQhNpTIc0IaqLnZChHuE+OjUzZOgmwad Q+c7HmbghV0WshJ/Za05SQXCI8YRhdSThOhxRT3pkaSTmtooKuIvl6kaUYl6sjSE4NyHm9dvtOnt KkMbkaTpxgMaRQ9SOqash3hSjyikFtk3b6lV7OYn9clat5btyhmPByF1X1+LCO7kqAf4BEUhNdxh Fw9SY3I0LRyqrA2LhthiZMQ4wgK1ZGAWsdQcPGI88ZB4G/D6RCaNqCBvaDB09FxRgb86XsVeNmIl siENmz+boaFGEKzJCT4cDVMUlqj3L5z5WehgnvsUhSjqSnS5tcpsoasRNG83keweraGoRM0eWc1s NwN3z/clKgYiM5luSanBNDbTVxYQ5HO0Ag7cn9sehRN8RoDu1CUmYQbKw2e77ZvpVZx/8HjU5Rhf tz24DfSrswkKQR/gxiSqk7D+mocLDKdlivHlpM3F0rIRRdRLvUTxNHbhBhiqLqZea6usLp4Qq1RV +6FMVQmLXY9U9Yn6Bj7jL9JdbqP7YBjqs4W71IXHxuP/usvwGE0Y1qWOjyigRoUFDBdXYC7XkGn1 4q3ESf8IbrIooJ7kGq5MPyYOt5U4185UgEz0QVdYUGDxBXseVAfTJZSBkYEPiY4hHNS9sk7diZ3d nKJz+GtfI2TH8xGj7Kb2vNuxbsstMI4opoZZ8VrVgME4kq8aLMWx2UwczdutI4qpJZlzTpnkg3MV TqpZKOuIRQSvR+kJTqqNq3F6S9Tk148QQ71FRyT2jINO6nMrZQuIzl02Igw1yg/PImIaJE9GTlCI oR7kkZVsi0guVVxEKufzGCpeoh4RhlpmlCjR++BUhXOwDjuGBlALguo3ZDsV1g/1kc0ZhHeOUNyj KV9lo4yDMcrABObZu/vA40E89EvdA9VxWoDYBEUlahY/1iouI0i8hgGEk8t7jEtKHFFITarhueS5 glAu+2FUsGEFm2uj4DsCx7KEPWuooVfYD0wqUtomOz8enxHV8FlfXNL38OvynNLnfBd+da2Nx//V J1MlCXl9vlssDKmHcAyOaKAKjVaQTGNpeZanwWJGGFKbAgFPo/lodlUWcyQAdeKicyOSPo68npKd Z8sWQqjFU9ASCkPqJslOOVcvEPUFMkc3jvzvMfbC80FmX777QCjIqhCsKQpD6sqJ6dVC6jU96zB5 oS1Hz6HSxwiL1JKg3Gy2crApwrrJW1MEiSveahBpOAdbh3RnkYxFk/pS08tGzVaJNPTkg1E5YB9l rLuCx6Pax3cNFoh2AJhthmZYpK5IXKsdyehLe9Ixd852l/XNu+zM0PxbFW+Rp6HBj6FzLQP2wT7H Oahhckfe5kQ3+HyPRBUY+IzmHTPEfBDKcM6P5YPO6XPKNVXxU2t4PJig9p24grYr62NNUBRRM3pa ElGcsDkjgmgTfGb/I5iXKSsrm2GROlnGunzw4lAGqbJJfxL98cXkBKBM2NSci79fue5Jp5wZsxJJ UCjtDpqgClNbm6CCx/8lFcMVBMDx2J6TzSieRs5+Msns7Hq5wLK8SKicUg74qPIYmjErUbV5OuIO yQEkSeLih6pTNuFRBWGhk7Yizxxw9MoMao3ZSgGCGRaph86fdgd+SEHXcxn1t+Lxf3HunOrS2WzR DEUBNYTm4I/nMl67XbrUnpdQBq08zVBYoqalMlrYPvgSOmEdQCPUVm6YGsowVjpSQvOt8sSW2RKR ZzNEfChrnan5oEMIPlSaoJkyHo+YHL/b9VhC6U5QFFAjaoGBtFFdSl9+1Z8w0mOhrT4HDqFQJE/a FZ0rafbHHGcVGEBPGp2Pdv40eeQh/myUgaPhogHx0XXHhwSbTI5mqFrZYPVFUNSZcpz7Bo8HvcRf Cg1AUDdcijZDMeJD2Dxj3K3ykIG2uXrjSN2+hCLER99WG6o++F2PsgeDFSA+ztZKTP1TBUgYmjuL ab2CIVotziicrrrAMrvSHAxWlXIlt7mdhYzHo+qZB0OVBdgzQWi+2wRFiI9Ow+WZsgVDqTg3eskq h8EQGulaQlGJepEmNeczPMf0BsaZORkW9STBnEoWFR1PxIBOjabM4ozCaZUXC1BtHMzeHF6ag1QM KBDh8Sgj+64MZUxkvfjXGYXTSMCY0dgxLYEGVob6dgWLvbqF0zOUy6Ob6azSQqnz7rE9QT/crC4O OAip2g/a1PnGUUrdXyX8rXeNyEBEdS5J6azqpbOzSeVDdj6HjwcraH/DX6lUtS90cUaID7Q3t5UR SdksfgjJtchOaYdQzyicHqIoZEVC+UZCJ4raoCF2ndLnPksEyhYk/o3QRepnW4WaKPMZhdOsB3aY o/qghKPDOk6+gb3j8Qg05LGiKDIZGaHXPWbMSISVQTZBL2T33QtDY/gh1AEq0h6LNT62ZJiKD46J ySdAb0lGCgkunWRUwZL8R5K49Xajxyp6179Cof+aoNl7Uv56Ejy+4r8wMcTw0Vu3+D22omAablOA MUhpABUiNzCbed3y9N6W068I8TGKkvmdfLAJqnMyclbKmtG2rQINbfjV2Ff5LcK9QsQHix6sadtg Of2ozFPOL1wrHo8Yid/XGIE1NAzTBEXBNHxKFz2BeQjl4fV7gBJcn2FClEwTFAbTYnIkat9z8GsM 2IwzG1JiyoA9sxUN2ZKGMIMK1IYZwkLHZ0TVafagq4aC2EteuAuVIULMdy14PsKdfRM5AMVpqXoT aIVK03vSFciIHLvPa1vWL7WeClyaoRDyQXmGXYiZ2oXF4sZ8DGj+vkTkAFQwDXl4V9at+g/pnKa7 iGgZn/Fn3QwtERuEiYHrO+lOFazfFQfT5euip0RWz55urCiYFl2KODGWhZgSudK9J6zQVbUJCvHT NFKeVflY/crHEmJkeoilcx03+E6T6bL8HnkIZZOws/V3dRpAJB/slEbXlcH0+YF4PEpYv1m/6G/i Dr2nUKg4PZnIz26lV4ktLkbTtzwNJRyboZCRSDr4mHILnuO5yNDhoLIOZmj0LPughIOtAx0zy+Uk 7mHvGhTOePxksaXytYtJ6AonCeP2icf/VZzGciP4tTx7LAqmgQgFz8UKZ50u6fLeUM+VKT32OO+x FQt8UO5Mltz9AeZVKJSf1B0SWwmcjtnZaB1oX04UiIn8cG8pAs9WBPiQknuSqJcM6PlrtVm0q88X MPB4APhY3gIiGmsyslpe9VghgFr6r12HD45UV4RDouxcoDXsql9hOF2strh8uBkZ6tMIR4E8yyUn 1tYSuDPwGv/JBBWoLgQJPb5sMEVdytx0eN/bumQQHe6JroDAbOHxSEC4fB/U6Eauq266ongafb+1 tgMaFinGKi1mCp8ktRENd7ZCBHW3FuvywWYotbO+WhEGP1PEHH8F2dlPp1gjoXlCfMyhd/3LT6Kg zmqD4ulzlm5e/O18GB4PDuoLO2NccLKXnq5+xQoR1CApmNEtZXTK8GBo3/r9xHEhAeq4PC11U64P Dt4BSg1l58Ly9DpH8aIWEyt0g/6n1GBlo7XwwsCHRBBqlT328EFlj7HYL8GZuyoej/TLf3WicXfQ blczFFanN4odY3gfkZbNmiFXjimNfteaoViEmlMznsGjoZR4f+EcOj8GhgzAxMyFAelYLvOBUI+h l43IUuKRsTDEwU7qKhQhPfXw+PsMrSs0JLtExvI+QzsKqFGDRNLumnC9GTd6FQl2Myc7v6Wush1V p5WTjc1GPAc/qTsw+PjVaZLEWjI2GZLBDlOdnm7ZQ9bSOwqolZKlke9gsKpzE+igToOPB5Wzpy7E 04vCEFfjY79H1ODHoEWvOBqkoHk1hCuvB60hiEdKx/xv45aO/rUNvobYhUTxEmsIORkRZ5Pqccgx RJayu6zZywaVISX0sxYfDDQEYTUetOc3xuPRQf2ruIiiUL0H9Q5B1AMg6mHWyLhvrfp6Iovq9iSr 3BkKI+plOl7VBz+HTqQys277wUyGvZACdlWDYy8oyo6IQVyMz/irdJa3CB2OIEXsPwRkROsdj0dq Xt8TlNnZvHpnO2QkLlBdptF+diGhS6Z2nZhY4j0Ad9AExXgPJmVNBOl2uUDnkE8kuPCgPtksrHRR fQWFsAMNkBNVPtQD4lW2o5Ba0pRnJSYftMsSfFvNy4/vGCkIz/9IW3FI+gyFCGoKL/qJs1O5avh7 P14KANZphmJGoopm4w4eDYENB3MApq2QCmBEreVNONtX7QyNQXzGnyofWblZ3nbXLxiaScTkJPZ4 /F+YKu4nKqlfEZQd27ggXGTrmHe9/JFkH5nqzxUVNDH8HaI9ODPAvvjghQ94IbOfxF792WQskVQS VqiBR/cxYfChmoHPCIIhceunZOFcuBBh2yYrH4fKwuOR0tD3HgN4+0vCYkdoDxyMa+twppDOuk6t 7Yp0QzjaJihUzNuk+yY1E+8tfNbmQt5tmKpxkk2l9YW6MWiDT9qGqA/du941orSOLyGddt3HTirB Urq6iDsuT+fvaJEC8+neY6HGRwVdfAyboNX2eA4hnyCsCfHtdoj2YL1ny51tP+5sJ4+soPOJLEVY BOewozxdwSse01kcMmjBZ0RS+JyZpZ22Luiswona+HYDj0cK1L9spBCUp8tz2VE4jQsMvqxOlsrr EhJpqWg6QzyDOn9OdAZRSCdLLy9fKSaIQp8DB5EsiJyVSFhG3EgrcHIR1GSAqm3vGtndzDetqgko g9wiz3vi8Yjm8qtRf76M/ohS7iialhycHMcq7Sb8DNpj+CFtjE1ssQjrMZmyrkKtt1UeQw6kY5Bi IqCqzZNGy+yeiSpQY0jOPRBig2OH+h4EdJaiLVZ8i0Ewskr6tZWGx6Pi63eDAx02BGY2QTlF0TQQ suiMCe96/m2Wi/VI0wHmy+v3OYUKH10SS9xkbd1NVhZUFIhWh6n2udOIXwB5A643qBK0faGdm2pM +JSAtJkVBYkYTQUVRcEAwnJNnSU7+QMidOevVisi3HRJdzmFsnkZpSTysQiJKRfxAZNnL1Iv77Xm FMfU9K9dslBa15XsJGQJVoXybDshEVn7wOidg2Wizsh6i6vpNH/dSJJpouyBJMgGfkqFE4VKaCeL 4vP/SjywmNCbaUiXfJZCdxfgYmY1zcXNmrsX0Zb3E9fwdhl+UICjllC34Jt9falRU0ShUZ9ynjQc rBfgPiBzNsg4TfWKoSxZjqYQ+CFRLyvqQ+SRTQOgznMV1vxccPwBQWB01T4IpEEZFpKGPk1huRpt 13IB+WW6SOXsyWwoCvC+dzGF9ojsu7YtEOd+9JbbuRnOxTN1qtKFgJLU6PEN9E55WnvwWLa9bgRk ZFw9RvbBeveZDum0lKh8PuqbXSVPVmRB3S/7maYowoaX71r7Hk31AvVm3w4iOkFB96MpKloP8Tc3 kSAcfDUtOKqAAQY3t5XJmx4gjkA7G2B2oYhYLaJcOT8l8qBgnlYVSlZ3poZfr4labFgspBAEchcT D3DkVrPP52iK4mxUyxZc+zRNPY985XWKU4RQxRAYjfaPwdEknplcBNbjIpB6qyjaZ64mrC4eTWui MIDef6Zrs5Ud07bX/QuwR+aJDbe6n2XsdsIvPh/4Tv3SXgZIsY+LlckpLF5DhGiIbr9YpE1aTKBK J0N9rlyKz1IUbU+TH1KHbI1Hn7q1NuldW8EwHBMSmSjSApKLNcamkR1NgIHqUyLhSmp3N5natwcv U6ZKJOXk/3w+4nR6TquGCargLd1ZCiVAmJLQ7YNaVgmwKq6lTRSXXHEG6WbccmEFm2xfhDE+2Cwh +1yL7qwJeSBrDEjkzm818XHE9ShgorIPPyRISiRBlIS6YqKkvH92OYr38xCfj2T1fnkowndmXfyw 9mxUtlz0KDMR5u3Fo01kh3nb7u4+eJEOiLKNOYwKdCuQDQ6lwHpOCXmvqUZI6SwFTeiSrRsK3LeN 0I0SHdzVB60k0LO2jPDa4PPBfqt+emPRoP04c3pWUljH7ovOHSZHZBJyzN2aqV8gYPLkNqewkL1V fTRTxQeJvumfuOxUor0Jy7SVlUTUvYdreUMCzt42qCBVtokaXBU1GIo4QUzsh9D2yeej/PaXVyni pTxuvBQ6KqIfcLabiTGeyKlkL2XLMJnpycxu9hZYKooTBikZ8hk42Era6OF3NYz6uQ9Gldc9xdiB ENnTYbKF9Ft+SCRUcBW/voS/QBFGqMUaADy2Q0/Fsb9b16yml9vbz6GpYis8k3r1OtJ2AM1aXs0+ 0XL2lRS4KiKekG4+OkIaNEkdLf1uBh5nuc1B6SIgTnGWVx0NF8aX7G0jhEhWEfIOKrVB01iAixML 8/kg8n5cYJovpVKepRSG3qz5F+a3lTYDy0sBlABWKWAgFOP9FjorriIbqt598ENp15opfkBRp43S C2YJ2o3lnIc/lLww7lBp9rZ/qezBB0pDt+I0eP4ElUO6hs9HbkLfmOvC3vVzvQXminD1JApim3T1 WTReL1n56hPNPLZPUhh4b7nMle2DLaVGX7NB4P4Jk05+ueXhCRI7NoB0QSR7wQ52DtwVXc6yFWYp HASlmSeiEPfjvDWfj9r8vxQ/GzOUZyWFUntTndlqNRPBatpjEsyVtMGS1H4LqYyUP1s6wDnYShrw 6SJWFvsNnHZeb7XR/JpOHqhFKzvp5mIaqoNMFrWL2tmFnDgW3lbLosMmuHSGFovlzhJbukSJPTlc 4LAIohV2Wboy8SxoWelt3VnC72BLKQaMiOnJw2lp7XIp5ROxYcuxQwL5zy1wMbizjaKj7fouzfu2 f7KqN0kgHAQ6SpVlRqyOXvl81ET6ZbIIBYB0+5A5MFlEXRxTU4aqAOfoTl9Uq+JB93Y3hhy6LEpM v8lkkoMDIk6geQ7ZumWI2zpZDiecPN9qBwKabkI6lUpScSn0WZQHXJpsk3BQBgfCdpNKSNl8/l+6 hNL67m4XqlkKdUII72vV6Va7uo+glgNxNas9sxQZw3SpO7RUffAybinwWlCzjRAeYiUTumOd0vHj iQLQ3uOH/HV0w6rIB2tH1i73S7T2+HykpfLLf4nwsCd/C8wWUfgjztgMy9eSHwxxxm26OOEAIMEm Kax1E2B93jX5cEtLuSCllYoB6jMIz8//CpQngGEwX77oo+SvG8jGL7Ykm5ARLXlAeRJBBuwnf1uZ z0epyS/vCtS01mMeHDouVtQCrrEQzaJ/XAsjO/0TFR+fpb89zKeoIRy8KzlOZJtboYMOwFrUa4Yv 0FlK4IIulgLUdFvJ3jaSAVX9TXi/7HDjdfZbG0bpbHw+SE3K+Dq6cSL3r/0Wui4iNwa6wLCQO5fh +032VVal9CQ3tF1cQkF2islycAQJZNHbYvltAhJPbMvJuSBuDyofSVjK34Rjy6HvovkM0dKyExyt JHeVrjscJGU+H8nwfUcBUFxomDefpLDgnZZVAnBKn5WEa5mSxAmV4CyhQmwLm6QYlk1MbVGuW253 shKCwj4VWyd9NLLWM7pNHXp0kiQWQU0+1KH1Ir5CALJX88EQAAW+cFxJZfL5iEn8a7vxJLwiajk2 X1ywWR7JTu5Biivr3TAOtdIbDijbboH74lU0EA2Wgx9KrZ3wCAAH8BzP+plTeLbz1XMyRVJT6Y3V B35KVHrjoTSsngvgadXpkoocKk+QtvkDIrCNTxOO6Q4x9y9L88CBEd2E/WNUQWw4gCHtVILfo11w e7jNaQ4sGBNPVfYDtg8X1AZAGGT+ajpZEIQmAUhCRe4HK+0Hy+q54ba9bgQGYNGNLLNG+pg2CcAk TU7lufP5/0V9N3ObX9J1Dk0YgYqGRW43yE3LDgdoQiCrV3nj7sCF0bRBYWC3fbjdXDBomKDDzvkc TUWIksloG7W3fNvdc9nbRpNEpbAuv0rgqbqYEHUWJbqjwfs98GGUNc9DN6LwNk9Fm6Wo2o1DAXUl 4xuhx+TFt7Svd8y41YDQiXF1wf9ojcLB11LdJ/nsCpawWOQmx/JIw/kJwIN5xEnaMYdOjFmoAFy4 NuiGg0covxfMHZ8PltK94eRVhD7ctdHLoRcjIB8ARhp664QdDiIF/sFLJtiWNkmhOF+X5bL0eB2c B1YWNsbcDJY6sO0IUdCDQnqLy5se1dIzAsBUbxtAJ8yvod5BsVIqdOPlJG0+H3nsfCtgQvUTra87 SSH5MbEYUF3NORVXmp2KDYgukYVD1w/6K4ODvJAPXjGpBHERz97hJzO0kgpbIGDv0vyjcb8tf9tg klQxaekOzl2r7EUDGDr5fBAGjF+S4CDx5PTESlHY3elkNa6is1sSoaxE3SemubQu1iSFJjKGoGCK wuEyIAcoRnS3aOc6GURsn32Gwi69ZeaV6Ft12dsGF5w4omVNH5TmzrrkUU1PxhyYMgInN7+2G8UB OzwHfJbew25kcJDrFBaQwuDFgErn7m8OVNqz3FmKWZBFgPbkgwdLFDEGjRIZXOur0fx0L3b4Acqg pulU37t2e91gLXF+qM5ig2GVznE+RY+tmc9HhaX9fXSPzfqUz1LozTipXLyGqxvVlf3oXkbdOrOE aEmnUmzOuOVqlZIPvuFWBsqUkMkOTMCgvSf4bZAHL7B1fbre3d42ynNZdhtU5+WgSSodpJ0fr3YH 9oyIu78p67gSG11IbJKiuBt1XEA/3F0vtQsrFUinSp3GT6XAoPEkzMVmp/rgfRM0ApiSkuxXJ2mM qHuSoYAez6O0Nrq9bUTVYhRQOVccjO1X1xLrvGHDhRaNv9X6KmW6niDgD49G+efa/Zb64waSr04W +hK+kqK4WxwAKgTaYACKE8r3TrFoZHDQUQfr+KRfJ96BnxyMmx6E+/bXDVI4Ks2naayt7Z4yGxtZ Knxp8PlolrwngOck5XnJ/Tk0aoRw5/kW7n6jrwtnqbGPYAoat08ZOzWqmZuJEuRwMUutAZLLZu6Z 7k7hQ4Am6INacITeWMla3oFXo4jSaOMSxc2Bn1JqSqTDQBlIbxltuF/WO5OanDc5+cOrEWc31xpn SQaNUy1vby9toFWVnARmja5CO7JoJfm6EaKohJ5clz1RbbNlFpZQHhlgTjHMcah7Xva6UY1SyDeV A7aR5zIELcaW+06bfP5f/FFClStTnefsDumRqOSyuCp65OheWMpjuqJ6gz6VzVIkNjKIDxxNjIn2 MCYgy7smikAABoCQnFnJhVSNZbqoLBntJnV73eiG4yyt0n2wSymJvwgWcubzUb37m4ZMX8svkElo 2wj9MzABRE3C2V28/EYnKd1w+6IEA99GCcKgOtmGD56cADB7IgCeS2iAIsOFTTzAlCh+DWIp1apc 3d42uuGIe2tM4ThoklICQ/WHSJzB56Pk5FZycaLhhDz56XN6h/VuKIeXZdS/k2wV5yJPFVAIf9u3 HBB7N4oFKCxlf7CUFW7hkFtrhAa0EzsTJQhJ2h/gD0DY96oJDef0KcGOMwsVKrOYuwzOpXauNoax 9exfPh8Fld87Dt9Ny/k5vUPpkSVEd2Gtbe1CywtV2sWPZINpGCE5x/aNrD2OrWLlfmLKE3ZBuABK sen8W5lSx54o6k3Enpl+0lam7M1e9y+QINDiPthiaombFW7fi88HMWX+5phIQxruNz5NYcX7bLGF HjcnZ4EDaNNEubAsIwPkOzZNYeittCPNO2ia0JQ+508RcbufIA4ic1AW36hl4aAeN2AqZDPzUyJO KRAmi9mcBiMEppMGiRCINC5wcUQ1dX0fTID55kukyKGNI76dc8nlZlGlmG/9cTNgNRdEY4UCgY8j 8kF2vDNEHzU4lSLvXa1QCQk9pF8qrMA7GelmvQLHg+z2HPg4PvlJku83e/0ybU6C5ggdEBg5fqdx Yk0Cn3At5nJo5AhhM0yNEjZ8l+5e1EGzttLSSh5Vhk6OKi0tSQFIdEGTNLB+DB0wzh0zKgsC9Buq /HhsRiVxQ+FSYOWY7YqjUoANxsipqVRRloaeD664X0ISIOKdrO9WTUIzx/Md0+NyXJxJu/nJk+ry ZtMkxdRJkU3aHe7h3c7ZWpTqZljQsTWOXhBgWAAwlEudVP0tdHOUt0ou4p4AQlHFjkjNSBUjlcUf EPXhLkWZzCU0GMrlKOfA0fGS34rD39Yt5k5SHER0h26JTVNs6Uja2+Qdx8HXEtx2C0JJ6vs1fBWs wOEbH8id+62bTIVLgaWjc3Oq/Pjq9eODSoi+mJoBWgo9HR96lzxoKvTu7tkdmDqewwRe7qNsl23p 1u1Gj2m5ldHA76VAIHZ1pFp2nwR4c3B4wAkfT8Yl0WyS6XnKswdL3RbOlkn8tW1vG0XeskrfwwcV lwbtk/B7N8DgQ1vH+WuSaPzSHyBl6OuIrQbHLQHeF7a3cyrIuJIYK5TcdMEFxo6o5bJ50kRxa08j 7vwfJyKZdKJZIAmi3AcUPHWC0Izh/nCkybbXjVhMVNFEmGGDwU0XDVpx0qTK59/P7nVzXcaUAAmC BuizFLrREEMhNSTo27Crao5PyRWA4LvisxSSKZccMlgT4WBZHAB82aznIPNKagBKQeccm2j1lvQY FVMfgp8SqSR1ot9VEUi3IoA6qEKdWfV8kOtey57JeksBnnI8V1xU89YV17LLQ2drXSL0pjw0p2lf gk7g8XhWpWTI5FnEwY6lDF3NTPj+iYUWgO8kvgOxxo44ZVS+1chyYPJ4e0yJtiJG5WYAjIKoQPBo VwYuj+CFf+MDzoz+dNIvOEmf11ipgCFGKO401UiYotiG6627EtBuyQzWXiOlAua7Tmxdc3M9QQD4 pZl6IAAHtDkYKTUyvdFwZ23HGUyIlF7jpOISLi3TH4uD5mdumiQleq59XqOkIkYEZgfC5lvlFfe7 ZgwbTA9Y6GcLJ5fPnoyXNmtvRtA5AQ1057SEgunp8ihekmVdjwdmJxEadUicRoDkJCkiIt5B3yMT DiufAwO/v87PiSNNhEzdt+HdtwlbI11rBSXc1/lBpDN9fnjqwOGWCAJOz2t8dJ5iW2dXxyltwhdN gH3kCy7xO+01OioGLTknV80+GCoQIMmzu7JUa88LCas8MSkF5UyqkZomKwHdr7FRcUo3JE580H22 ziszmjxpU/u8BkZF4jiaC3En0HygcDY97KOt1SGnWVJ35kS7YpEp+YW/QXDg5LzGRAW9JAmKC/Te v3gTBYxABHZo3dYJXwkCSyFb3dh6upNDLOvnNSI6HyEPowLDEwzgo7K9fS5xiE0jBznhyuc1IOIv Wr9mBy3JoqiR/vXR7GDpTLo1sLU97XqHG+Z2T75dmkn/vAZD2FlbWhuy5GsP2z0BwDaWEtlyslo4 MMIuDK1bslS42LWzNuVqX2Oh4noJ0KLwwU5TyKKyot1PWP0aCeHpMr9mBx2yRmgdZ+c1DiqS0gFq y6Wj1lU73nXdnjaUQmQMGszOZKl/iWDKwS+uDnUt+iyAetOTpJMSnK37ou1ld2QbLmq+6vvsLDmN ZMJtcr5M7oo6hboitXxeI6AifwLNjgACEwhmP3Ze4x8c5o36vcXXjrRXDRbxdESS+/OEx45cvxkq rnql2U5kCzXoLYsnaEksACEKxecpBa1Kv6Wu8jSItlaRCquWUHfF/tVOmG5JVh6f18iHp9ay2SmO aq/0JJeEeXQqV8pC2sGzdllXd2yW6xtCVgGm5zXqwfR07am6fPCD55xZ6FSoeH0epWQUrhm4LgD2 lR9zdJrnfl6DniLPUQBreKlzsAL/eZK8vXOO5c9ryMM3/HUssxg8/eB5LTUWT1bHnjY74o4wpxd2 mzk9XFKkORYcy4l4/9F5X3Ow2Tm3eINfxKT04USltlMB4Czaidcr+FYdxNYoyhbNDuoUDfr/PvAz cH0Ze7QUPP0+O331r9mBhgjMJ2x2XiuMXK+oeBAPRZIWUEo6lmvJrnC80XOXhkR0LEtblD4FGi4A AnbEm7xRyKtm4OGBAUR+gR1XCH0x7Bpdi16ri/gGrCbEuJmDjmWIzEqEBDWh19rif11aEFs5P8Nn 553Dhss4Z7oQO/Yh+a11YvPhjtYLV4QR/aL5WYyX5arCwRtDUM7om55F0EcCU5JgWsRGqFxk2alk 7S1R/qPlM9jNz7y32tXhPh8wu5EhBxij0e4ylRYdzWg41tL94nonsNm+OIeP6/SPMq93WllXKUot fRJqohkiZH3JQm2ta2mNgxM0mckVBBlXmNuAn31++gQ6qdD42yBrxqeJTmdd6Yx2NRhwHYKu+FfM 2uedvlbEWXrWEKquTPttht6DZnSEErv47i7XHfSw1C3XFgPm3vBqwQxtXe5Vl3t9LveKAyINArLx Vac9FBhCdR9LB96hnlMod4/C5t4UL9flg1FFzz2/VQYC3+g9bsYWvRMkSXYId66bdEWRM2BosKtq NkPtMkXhC/djkn7CYbGXH5zRXVdXoYY6B6+6Asyfiti0JxU9i0h2xLIZhEoLVZvsBkt62fdd1lnd gGa3D7rCEtChOqQBDQ2D5+G7jLB+lIAGpfo5Q+/Rs86PnXJxPbZ1/UL2Wg6cYVxkFddohga9G0km rlcKrOBSRBCkOx42TkPyiBMFDuP5bEPzQbVF7xodQ12XOzMwmh1pEZ0fmeiIcuLx9nnnrPFKyl8z lFkAAFnepigKoTvUkJZ2FIvSDpsB3cUV2eZVPXynrOHIp+jsThQ/5OAH0QA2Jktp/cQTaTNTA+IB VftzPZTHUYWmPO+MNeyTRNznKncwxhryIkZ+o5TPO2GtPJp+OocybtBBZ2vN0HsYjaYIF1FO1ppu 7lsERR5d+0gGs2mLvvPVWN2giSyNKjXYDAHjAPWroSpiNtRoR14DISpJnpuJo07qKI5u9AFl0GmD BYogJylQzPvzTlbDGuq3/PNDCRdYsNwJigJpWN+i/NZsgiha4L37K10zLw4kiqTNX64RWMzBl1DD hMGguOKrhtkhXXkyOi9onWQ5pS5JHHe97PsMDflbLm4zDpqhEwxtCYWsPj/vTLXy2Bkssh2gmNtR xrMZeg+mcQch1diGtXJ1NrKLCEj99kVHJTqKpgldPEsW/TENdg4BzHcyuUT27ELtV2d6ItwB+dBF 8XtP4z2aVnfrxEF73kGbDBGp7rIOyZoonN7JlxCbajRIpsklJyiKp+HOhQK9uxnQ1UQ1aNVXJUXv RaB3ihqXUOXMiIrdrlNqh1gmHFQ2S2Sr0PIObgY8JmGGXK8UPeJHfkYwQWSEMoWyQfjGdj6Ee6wS 1RBF1NkMCi3fAJkCCbNVEKOIujGi3t0n6EHvbyrMGiLN7R7e6Wk+QWeJ0xqMg00QyqY9E4Ry4qsO t2Nqt5FmCBJrS+tWoGn5/c5OwxKoVqLvPmiLzXYm2fwJ8+ednFaELHy2GPoSvd1k/p2bZsU58Iku ZC9fIHEv3sVYbRjt6p2a9h0M3cFZV+CmJbbDIeWTacdzzlXAWgZZXMIP6RDKQy8bXGRSp8nqRGfH 4eInkAcFzO38vDPTuBh8j1F+BVgt1NZthqIq9KQhBlkC8kQf1dth40uuziFW77w0VuqaIuntw8UO AXreeI+hEZkGsv5MryhaiGVc2Q5Eo9/DOy2N0ZCQVVxDHKyNMbJlrbm2zzspzbogtoa4YAhBSX5O v5PSGFEzKxvTms/zmoNNpWqcoppNXeydk8ZAhVOk7jsHr9RDwnew4XRy4nPKddaETqK3f04keWYu kwXaH1mRd0oaPmSzxTO42zgY2AOwCEbUJ3j/vDPSyoNiWJRfBQ6/recciiJqnAq+zViPLo6H2RLO YN6K3I3h4jsfjZtcxBg2xOZ4kOgL0D9I+PAcaq3pqIKUzw/yhnPQVscwkMfPl/279CF3lTScAdpn 4RF2fkyan3c2WsnXEgOTgpMIV8UYnri+s9GwOzfpVW152bV7RN3FmWnSy7K77J2MxqKfia4UH3yf QdqIHFYgGRFXZDL3AUYYHXcjux1T4pnFXjYIhzJBsVRz7IZdxBTNcyNvlQvn+rxz0Yq6sV/hEF6p QcRcMxRF1OBkPlQ09PUsLTtX2Zq3bO/Is3cmGnMXFj82jeM5XEwVwNVZUocgfk3TNuA2o5gI/Xms I2Yv+34SrWFa9M0HzRBuALkzrbQ/70Q0/K65fW8zAEVavzMUhdQoQC8enOYEOn0NrXoB6Av9Uq2h 95A6k5Uz0CUfPthBhJYYQnI2nCFXk6q0tNifODkgZHg8KytD7xpMECPqPGR3CWFjc2xOkHwl0WXs /XlnoXEN/SrADqore/HjnYTGZI6KNLahwInx6v3KD+cTlF/N0HtI7X7EezHp4OAzlM9lj8yVB1FJ rIPQ/4oKTPOHRALVz3h/ft4paDxquXaU2nOwgAjwJs4VKGjvDDQ8Psf3BKF0NucNiKKQupI63C2x 9w4rE/tECUiq9W+vDr3Tz5jYs/YBDI8Ndwmdn560hE4KnumPipxj0UwFMpBX2nDS9PudfMY9Ju+0 Nnyw4pAZeCHLG5937hnTq/GVtmJ7tXYxC+/UM6wgqtENQwKtyQSZeX0pyUFUq7r91TvzrBgaD2jp O/gEgSKC05pGlwAKi6MObfGGesJ8atSU5/i8E8/Q4VoseUyaFXLQXZ+4TBkOjfx5553xtvVjmuVW 3BEVBRuboSimBq7LW85cQl4+wyXttSHy9DRDMa4DpxC6zT5ohmAle45jtltS3a1MuOTiNIK5BhL7 zqPSSDBF7xohF5htlDp90BKCxTGvznPs98876Qy/6q/aUKELefH64jvnjIG4NGgdQj0dQn2Sjnb7 HBuWRSDmvVPOirlfVXro2uDxIm7ElWUm28Evo1LNJIpsQlauPcc0ts3nnXBWxGl6qVEjz5MTEPoF n3e+2f9n7MuSY9lxZP9zLbJnnIf9b+zR3QFGqjqgc9vajKerK5QpiEFi8OHXKc3kGbdBuzXHO92M uVCnwrrzqbcNVkGCTU4V3qg5FKD3hNoGQecLglWtxQJ0MqF5MmmZyaZzWojSMEmcG8CS0jFEhxCl MN65ZrfkqINTjvPaT6V9aPOd/UBTtJTL551rxs7H901PM4xR7ykUJdTAwqNF3Q1gPs3QiZqY1bfQ GNZ/fWeasUuJLVQat1C5xJ1T2oE+f66yIa/Uc3gIri+V9YyxlfU9qAn4eWeZFbmKs+HRfTHkFIUS +YbN+XknmZWHsHguSQ3KNvJFxzC8k8wYVTA4ZPpJ8kur9xVLt4UPty/FJ+pPJ3nJFjV9S7k7CAng rmDmwnRmwQqU3BjippAxzcfGsVMs7J1hhnzUQIkKVPJ7fvacjIZx3rTPO8GsyLLma9YKLMTTnn7n lxWxL2BH7Pe84Jt2SF+uYvKy/p1eVpzrQp8aWyyZBsQRJHCCXE/xSqEBoDepWTTkJnypioQCv9PL nt5ZZ+ODiw3KSum6xxb+iO/JtKAoT3MR4ti93ebiO7eMqSJHHCblcPbSuECPdntns3rv7J1aZrco ZxudCxt/k+VGWqfeGEPT6JOuFXayC0HvSMELKlGr6jtHie/MMrbg5RpPejA7bcwQMzCiKlrR3/28 M8uYLJavTQTdhE6RboUozKbrvL480MR2UOvZRHs6LRg5jIUobFDDDh1Yqe2LD8ogsV6b6g1UIss9 D1DWnzjN28Fnzs3P+OucNt3jwq1EwPNGN0X8jZMUfd5ZZUXCDAoI1fwhDzH7RSlG6TQGkDvLRHaw BWJToMm2iWWLs1lF9k4pw7dn92yXeZf7mqV+Cq+hqj6PUWV0iZ8+iMttHAWpNeRfNhgDCUc1xl2s e1bBNedBtPbnnVCG75i/QR8AYDWqTAipGOXT55TDfivKoqEomH9M2LFnV3RCv+JECFDFGPTBUmyX 7IsP7Oncu4x/D6w9pY1zPXfOhGh87g/anr/0551ORiAvE+rMvkfN0/K+87OAwGCOXOfnnU2Gt7R5 j5qBPZnxN0z6nU3GSxohGoQF0Uut619wDOEQetC8CNBQhihKqGVeRMNeWy6mARX4Yjo0G4ZmrGsz oflQLGbRaulQK/qu0WtG8mZjl5GL/+Fb2cIN9fF5p5Kx8zC/8kXeDr163+OdSYaNuxZr+cqdAxWB 4r4FOF4RKzI3PUBRj5oTMmgUDl8cWJV7O3GvestaEaIT6ej+mTC9zRTkMMVijhLfiWTcBAxNz9kX Raif9FMCqnPz8Sijbl97iIl8vjXZO4mMSQJEilnSIEKGT6RxmzT4kgx3uzwL3jlkxQWKCAS15WbU uGC25hwdn8RpNOlRA8D+LA0+yRWTi/DOISNsVWD70nyxPZSkqojSpXzeKWR4/L5lsqeZOIe8KHtn kLEfBfGGNLxqbe1Wra3fhAgwJEGmwxb1IJ+19u6LD1vhUEfaHTLqdsqmThEM+Jc0TH7kdKmyddH6 4p1AxrI16wBKvlhhD4AKk5xz8n7e+WM2A/i67TOLXx9zvNPHLEc4URrWxO+z+rR1k37jCZF1qN/Z Yz7mqCmzKkv5qcrmOaX76py2npP5/EOmPRx0ApDVHuQrXSQ/79yxG6BiO2m6ENjsnN6z6tjj804d K5JA/6rrkQnVS0l4Z44Re7auiSwJG/kGKI2HOVasufhOHHNEQ82tD1+86JjlxIdizQC2LYi+4KRi gLiPylX/6GwNvdPGioSPoN+8ui+a1w+AAOS3W/h4cJPV8pVS0xn9QZa/c8bwVKKUxXBRi+mKe0vy QIKeUdeFAYohH2guFmITtViAEiQtJpmYeMcWdXYHqRxqD0P92Q8h4jvfGWP4Y2aJEzNt7O36OJ6q dSYdvadufSeM/QqQ5vXgGY17kUUJNRBqID8LygktmWVl/V7e+GisCtn4aCF+ugjQQORZag/ybJ36 CxYFVCTc8H2tsp0d7D3g9G7u44g+Iz8joETRRbbo9CjLjOyw78635j0G6a8W5dPPIJHZIpLSfev6 FubTZ2+YVqICtH2Q2NptndF1Q6d01J6G1iMa96rN1lVJ7Sg6UmHxnnAeUU2PbiEZul8wiVuPp7VO 6RDywSTo5IbNF71jMMiWGuF5X/F4NK//xW0hkKL4Kd3jfLpf2Gu9WRG30JyuJr97s1Frf8+n1bk7 p88GMlGLdYZOrQGNy6HeGTr4xMQsypYQHTyvuVyn6FeP0mmxDmtO2RdrLq62VJeXUfH4f0EIQzKs 8vpUgML29Hl7T3lwjRz9aL6GzQpQN25UjyAf4JWfyNDjSou3pweYjARRwnntZL4cIy6QM6kkuOct 6yf1K3rUnq5UHartWRSgU+lXIg8Bxsfj/2RHTRa4C9xDTxZ73J/m8NDwL/jX1bGSh7zZpNgx3aP+ tHU+/m/ROmhqRvou9s858DnXJzByEt+Pl8Wpvfh0fEjUG6K9FQR8sHBAy98rnbKa2dc4JQueD9iH v8ZkgNad0/qe1D3kH8Leag1THloS8lProxTH5sHSVpiPHiXUU57NuNNt8cL+3FkzEyWYgIlJkmum kzjcCX6K/D/mIx7bo4RadjutUQWFi+4y2PoJGjXLxOPBUX3BeZKVQbIx70EUcRBxv6KuH46KSY6K Gd2cnFG14vRkhGLMB5GvQ86748p9dpC8kCzwIDo1326mu5MLspGz6dJVZqLcGD7jr2E0PC25ABnw I43984NY158TH49Hd1n7yoawo3q50/oe5tMDFI7eq/WGer4SX+OiF/voJsvUYx4i36+pTux8zIgw P50gvIiqkGviZY+h3w/NQHe6QjoT4zx8RlDWV7la/4/lLsSdzZGw46SO8ukxvwsO5RjD+x497FEv ergVWcihAdKs77EIWzfXxpFUtPa4R005vSmhuHmF4lpHB7yjM3gunwZBUfKlJoYckz4raIRcAcup Lxu9Y+yaJbaGuOiGpjh9U4QmHg+O6r6/3rHJN/UyFfp7Qg2uJgwIIf2T6UIE/TNofEGyCnqYstwF 04uNjx4l1GrfLzOQeQZlbcIHqnQC4SAUi+OaZE3gH4AlAgLM7RrpjNajFrXcGltnQs1FiBj6iqkz lOenhx3q6ltI2w3Q0gtn6BGEutNGltaKdLRs5kIAx68pMQY6Wlrfo0d4D+t71GwLuxB0aSoYbuCG R4ByhUKcQOZDxzSlfx1DjQPp0yPAR1raQuku2kIJx75Eutr+9Ajwkcb3S5aR+NUHh9//alGfOz65 s0673qiLVvfaQ43HUOfPiSAxhC22dRffQwUMGjGmMCijvSJue6D48IfMgNpae5HVOT4kKFuXYWKW L8YpW0MGaSfNmnj8X5tIIYKvTkv+mo0op56EUa/cLSFaY/tJXcewk/qh049YyIP1GOb9tljKiFk0 1GXY+Sipzcre0KBpPLoeQN+Ly3Fes6zvGrUXBaMu1RdFqJVVpZqzcsbjASn61ybCtL2V5FfZiHLq TkY9dejJ5eisYBeJWt3dmolzYMY44g51Fmqx+eLZEDDYNVFwAGJYSwlTbhU2KDBrRqrsUl5IwPAh QYRo/E0reiw40GwSVMl8hJQqQhT2qLff9mTVn5O+5zsrGyGOOjOVntpDm9bl5tTcHtGBfWn1UY8a Ngingifw7ixsjg7toX2ONLbITvkHU0Vee5sO1wDeiO2i2x7FHT4jgHdS7SSj2cql6HUBpZiAuZ9T DvMr/osPxD4Jmso0P1aAQtBH584pyWFDl9uak2lYn6sUv4QCFGXUFDU+9zlnF1lAsMHex0SuAGAa 8sWT5DcWJjNTPwudnPWoVaNvhM+IphzktGouzcVA1GM1oX7PcYbHo2PoomIQWI6A9+W2jiij7kUD Mmdz6F/qLyY/hiY6zHrLoox6coi6t/LGPe5bthNn8bKIOdf5yGyfNVZjaMZmSmobpwwiSviQaCKN 12vMqgVE260GGopizltPEofno030TUzkgPPJqUeYU28wgoaxEZf86kUqY+XAaeKEpo5CFOXUi7FZ BhXuX0qn0GQYSzJwJ5WEjQ5C1GgtILWO26Mmw36EIGrjtva76KTGQLequD932YhA1A8yRt4w9XsQ NEIQtTnEeW3fLldh6VZjEx/QcAUoAlFDG4iyndMXKzpOyojGLgfSYxeQcAiM6VRMBBnvEc4hggyf EV1ldK0cql5Hcg+GPdvewnf2gccjfnT+ChAt61q5OyiEfTRS7ZLd9Zi7Xgx1nTdAO1uAopQaum24 5NmjTjoisjU/MkhlVO48v9Y+bxkDhJOnncATVmAgc4xD8RlRNkQNgiLVruLZEBRnsurytTYej1Qa frdgFyUvPEBhSr1BmaLLjcrW6vp4i6WVqjI0DBWgOKXmK7b6XewUQsXXSpZADDzzGo11WEZV6GLn Qgy1iAraQmFK3Vm3FpMUBkZLKHPovrJGG2MvPB9U9hdcJR9GaFN1hw6NuEtd+GqJ0OriVPuR0Nmc tnrrY4RdasmgaG7PxQf20DWfFIw4RzRknDcJQSeX+AGh/PyCpNg36S81fdmggdY4CSoSoyw+9M60 UTPeXcHjUe/jW8gCkPZGbR9GaIZd6sr2WbW6bMlagEUHP1TMTajHMEIzzqjlnju6L87cLCdVybvw GGrAfLDj3zl2x/nP6trQZ/TvmCHoQ91XeQtxMfQZ3F3Zxk+t4fEgQO2buUlX+HUBnjPKqOE/A0uh ZgHSJAgBktSCLrJSrSqbYZc6k1lfCLLn4qOytiDFanZwgHtSKL7gmO1ITke/it3EL+Ez/mrjQ6DZ Fys52nQTmFbw+D+PIXapcerP7NnQjBJqNLd3mfPyx7dPW8fa1oE9e2kY+GzGxERxW1v2xV+yDjVB XuwJqMhz6hBaBfr2APWYup1ObqUGwfyzS91zk7lgcxxpgSkBh12Q/cHjUYi+Jx2AGPRWveSYUUYN TCeUW4Zl1MmvstXyuOzf5GSXGfaoKeW1h/bQuHvo1PjntZo06jo3zp6c1BPSgPcK8HM0Z81wyb9r dAp1jRGHLzqFzo9ZbCWcrDPj8UiB8k461M8+ewhyQhahKKPG94O3gmmhlLGd/summasIDjuoZ5RR q2DdhaQ7Lhahdq6ek3IleZzmU95gJFuE3MCekemiQfFRNeNDIh0LTsuSFfi+UaGgTUMUKCVXPB6M E9vviXSBiKqnQ/NP0MdOrpW3ZneTvLmGS1atO7KfEejDNLqbXODal7NZ30THMkInLOdPQq1g+GFT Dg7uDp4O0W5xRgl1JbYTCABfDFl13jLpkp6djMeDfPFXk5qejZSKUoAi0Ae93/a8Ig3C5CuhNqVl vGTubjpDvTzCo6Fw5ou3zxrKARILYE15Do8s2WVoo2DoSX9Bo0dTanFGCXWasoFnadYKz13eZLtI axFO4Xj8X/BFjcrw+e0GKEqoBx3Nyqp2TlfuIPaGyJvTOQ02u3ZQqJjHcxpqm77cMUdBD1fgPPgq gV46OKE8f3EYADBA1sTf+q4RYYpds02fDi425jjVI7csOjR4PAhQ8ptMtx4+8pKjZwT6ADkUPpEm 6mXKDILiryvWifm/AhQl1EMBoqeEFh+3nroUB+k0e1yejINoNgRoQ5W73x41geYzSqjlGkisqC1W cuzzyqq92Dsej2Ax3xcZ3GlPTXiv+pCU2Dkpa90PoXZbQ/LFqcoWi71joc7HliBTX774KPEcTyd9 xLAn9XPhAAjC1hAvsoIat1+I8Cr6rlEyRNDHJCmRiyHP4NIghsbmVwyyxV83PRHZ7SoFryidhh09 dD4ExD/32LqszXHTafq+cAutCPRBOdxKFXFbHBaD2T+LSrQ9zg9d02ZqNK3J+lN+a3GvsEGdJEDQ py9W1QN2zGus1YrH/0lKVLfkpz3D6BU2qCd1Prrywt/d13pZm9jBClCYTqtanbKamFdKB6AsYKDI rsdFf9IhHUI4ctFBbcgVDTaEjY7P+FMwWAKDBJsN+eGuVmmWdU78WvD8f5H0AhoHoo0eoVBtuuL2 KmVZY4jcWzMvy8v7083RnSsGfbDhsbWFtkzpyOU4O6iVIrFyoBUzB0aTJBf8G60p117ES4TP+Ktz xovQFm2hlOEXomx64PEom/5WhaNMFlBTFqAom6boGDEGClC+3VfAYrwxhK61AhRCqJkC7UyVj50v QLihQ31iwBnHPL+U1JSL9DV2NcamyeYRebbi/rT1FLMvdkq3VSR2e34gHo9K1vV1j50UFa22W5Gt UHV6QgfFsx5wp7wim3VdqRh0+BWhkJRYxVMgfIiL54ptkwuyDZyXCtVkIFj10zHvnOXSEjfH9StC fHTONtB38kVn6/n2Vdzxc1jg8SgV+ua6EP/6qOatKJkGMmWdQ8FBQxQiMQMOIwaBL1UM8bGi7rTk O2digLg8gAZonmCgkcHjOyc21IYWqtWZ0e2mBa4ZTBF7tiLIx5rS7UzbF+cpQAaLL04feDyAfKxv nsKkne66WyiEUCd6ShUFY0srg/370VpxNhDg/IpQmE2z27Osjb+eEceADtXsmtefUwpdEAwSMQU5 R9f5ziBgWmOI1h/4kCBCnWMyndc5czKOmpWiYQhXP2k/Ho9uMkeeOayqrTuLXlE6DQrSOaerj+sT XzeN61c3JsdCB0QRCjHU5ImtIT2mcfWYWj5nBPj1PKehUtJZb5QGzh31GonNE+RjDn3XqN7Ib8xW sNwLB9MtNX7F4Jz+RbmDwCosTz1A/yAlXiGd6XPEE6Dh6TQlkSVBHfenRQnXS5afl+y8CufN6ibx sUAHpfg9UtGR2Bci3LwzQqvpywYg6iWIMKVy61W/B74miepyNiUejyTM83fBgbt1XhXhFSM+Fjtn 2a/6kTxbvESOlnM3qssK02m+XbNKh7peHWpUljBu5ZCM7xuJHBS2BZLhfGembJYNjaEvGw2BWM0L RJ0dRH12HozjRQnPfDzaQ34MTRUnSOX9rt9RPo3XAVQdFz0by4kKZXTvC1EVmDfZDkmJwlXNehfv C0E3hGcPWa0nfxQ7GjzLfsqOHwqkmEMp29M7yqcbOYkkUNhiuCp4xXILpcHHg85Z884Zr3UwPh4H hR1SEgGiTmOZ5NmU+Jm0mKzfiOar67/uWOODdRawYbb4TYZzqJlV4jm1V6Nr8oZO1MAuFVvKbjLK 4O8QRU0hnZa4k7joGDq/gBSFYYqBx4Nz+hdbioO7eof1OwRRN5oBJ+OTqcHCl2y05vk00z9FKM6n OYIe3B9rpNs4A+/lVOOZpM2zcVbhHLFiLg8gGjnKjojBTsZnRI0zeW/TvrW6kAgYA2Wz8YXROx6P YObp6yLLJLRfttQOKYk4hRKlnhCg6hAGnELukXj+WNuICjvEe7CZAciGL0/jbMF3m9niiTnwbAgQ SJudrZpElQ/NgHiT7Sih3hxFF/lwFPfhQAkDkdAf2vnxO0YawuN/itbK7pAiFCKoM5MhO3BgNHi1 zJtZS8KJw31Kdoignio11FrMT2vxfGco43JWf+6NCtA5WoukcqFVlr86Z2gu4DOiiqNJm+EuSQ2e c9xKnvSU9Xg84v3ur2Oa7oH1wl93lE9j354/satQ7/aofOR9/RTuRbajfHpLIBfHmS3e9sDQdXae Quc/xlSbbCDAYBqAyfwrGgYfrw0+I8qFkhR0ui96xxZqT6E90sLjkSDc+E6nMcBsV5lyhyLUlaCz PY3rUsw6Gvim6VJMa94AhZJ5yzwjsy9es+IHjanm9DmqAPZEzQrWVIX9zKRviObQveu7Rr1XpkKu yHSFYmY6R53AiK3g8f9SkUlh/op87DCbBgZ/k3dNAPWe7kG66OIgHXPgNkm42yHaY1TJeDVfHA4D MjSKMo7I6imdkjyU8cejecl0Fkeh2gs+I8gVhYMpprXnXkCT0qLsZMDLdoca1PNbuZO9ky/Hkiib xojzRCW5kA5dc41Oxm0lrSqatHb+nL8UhN+a07SmI04Z/J+cecOXCfflApE0XKEOqNpT3zWox1Jy WQZbFCBUukMBWnw8orlckW78l4Ew7f2SWneUTTdOyMD91TvmusE+2tU9Rto+37EI7KEkce9efbEt VOGNdPZU4UXfoALKQ4j8qQof8j5uJsT5xg4VPiTjNcb2xQqyLdNGIKQaHv+XjHlVAgXC3WPrEmXT 2DNL/C/pmJMgxZK13rbHggq2dMxTlE5rbkHxAlsclQdW96a7ZwJOGwAxGt9gZL1RA7d9sZ10zeWn BJ5bcpWS5HuhVJHS4AQpcypTtjz5A/4F7yR1CAOr9JghppiYiM50msUgH9yzDFPdlw+05D+e9IOi DiMBZWNkXzxMk6QycsoWLk4gzge61v1ngqeAn3b1dJhU41MiSAP2EhM0W/gpFYbdsnc5iS6fjwqP +rWZKCU78rOZQoMXeqW3rSmr6zSwhya6Auet2fUXcwqB1GzATjkAcrEoLaTLmbIj561jY8dwH5zu V+SNVw5lyXg0hcCPJosyUTuA5yHQLcOdMi2jiy3+gCAz+qWgh2Kvztuslgb464296a7QbOCBkbsP PHibyl1hOc4TPygaCell29MXCxPIe6dia9pMOMRhokjJlVN6oJO2n4Y++nH6lL+QjFl9ay7WbOyk zdJVovL5CIWWv6JE7n7ZT5SiDDsRqJdMyALcl+YoK04HhWWEyL+dTFHLeiS10Wr1xTfTxlVEoFBa UEGjQBFUp865DaZoxoDHmkXMQ/gpkQuFTBLFMatXdrmjeBKKCCYLKYSA5F/0F/ztZp/POxf2rUnl TNk0mjreU+nr7MIDfGn+mgVGyylsXJPWApFlXxyNllLP58DmAY4rNHOYnZB6DyBAqN/uXce07ev+ xTTLvRVfrGV0bogiKl7afD7ilX8jq6Hw1sftO+YUJtsdHuTb4A3YVgbZA4hsGOpzwaDEohRl28QM Q39o+uK50kn5x6bVN9zvxqJ+ARKNk+aD5Ju56XUyERPET/nLHTBJqJqLvXIN+Qb+OXfn81GD3xtH auVOqhE911woApKhYYXNJDWrPLZJESW0e7Jm1TvdbCDsYEumScKLXO4sFu+dCGe0DNxIPk/VXaFk NcG+ua0jTG30bSNaJ1+5zLKtCL7Awh/TJ15c5yE+H80avW4bkp0559y+IGtxZ1+TEBpTaI5PeIiR yiFgeaX1aFdhXniRFEjNvLXk4bofD9fzveHDRfJrOvdpg8YFyR7knk5Ik62bC8gcOYVS1XKm2OI/ bPcCOx+RN6kMJ60cfD544+7AkakWILMzX/getSmDTvYg78xtqLYZeYDG75wh2E0Wj1LYymaBOyVV ML+kCoC4yrwXzvkNq4rB+u28JpSHOU8N1/OGw5l920hLl6mSOIxcDEgMNQcZUTGvDD1gfrkq8hag vqaCFNoq4jjBC2fomdmv6k6lD58VKOmaBobokCZVmdl9sa0Eyfk5rcjFGCop9WxsBcL0cjpQthAL zw/5S6uANsW2GJg4F3YvTtUKp+3QWPHpJHEnwfKNLVsLUpR8Q/r2nEXdQVhEKJon8HZezGY9qiBF CJGUxDsr2xcPEhh6rSwRYwBOl/A50ibS+XA2XBxfsm8bWStyel2JKi4uMgavnCbNi7ZK5fNB7p1/ vW/cSii4PUph8p2Zcluti9na1Sei9Ku0U5BXsBuQQ3vFZS5qUj9vj/o5WCwFwyT6boPfKfL+BsL0 /Fl+qHph9KHS7NtGQBrOHXNXP4DsdyGNthx6QALh8/+p8c/pdbuHUmCwKFl69Hy6BanYQAQ2pixW ivKA5kEKU28qzEDjwhcFacA78BymrFBO1kV9XTXmztGDfFLSIFK+4Aw7Bw6LSnbg2EUNHi7cSv38 CQTHArqXz/+XOT9cilu+hOEceixCbg+D/mpdE4l9N7VurwrPaO7TGZgsgqFTJLSXffHe7amgTyFH vT1A1yqya4DT2Talmwfa0apPulmZxgIh7Gujo8mlGwSWirKs8hOsOkObxTK/lT8rbQ2fYjewWRRW CEQik/lO3HSmB3a30q75bqUYMcKu0hJAfV2AOnVlzp9wLaGLV+UhX+CccE5aOK60a740lQWERovm hVtZ67a6nI4Gu4Gt3dErnw/mSOl7mg08RYFSoQcppDQOURqX5d1leRG303aNB8zLrNQNrRalYDAo AqLlFnGjD/nzJviur4w8IYOj/NMJRqFi0BI/X+2l0GxRCqkFxb8tVsTlRE+Z8/uWzecjbcL5FSWY erV64X05sFvEuI049auzW6ojawB5sibchnmjRSk0h6Hlyyn4qy8+ss2o2gZNEKB4iG4loDVgUHZM sdBv9CwAFTI/5C/q52jrLjaRHGWr1z3tS0ZaGDcLYJQ23tD9XHBh2l2hjuq+3K5LTKRxfeixeJst SmG/W05Vs97Fi5PRobFLdiMgBh2wLFS+kNylGQDH7wZAStO+biQdTz7RotiDiYRIDiNr+0LJjs// S/ablxnaWtBK8SjFeGyiaQ3oB0fzq/tdjXqNN242j1JsvMjJJHWGtXilWyB6VWiCMvEeoyV00m4o 7vUJ20e2AzR4W8m+baQFytltE1m/OVn/HIJtEHBwsujG54Pi5JfAPnBT/REDzaH3YlcFZyZV54Vr zV+4kfslhlBFDXVuaL4oS0UoN/ricEgIW7dJm491LjOQWvnCocu4qLOfvIITlC2H7otTwipLde66 dS6Mo5gGgJTF56OeyXdtUsBRquUaeIb+i0hWFtJinthn5wMTp2YAuCxZF9x29kwODRgHz5q18/TF g7TBBKW0JGxyT8lDQccCelqH66B0icVRkxl1aMAobZXSOaLkYigAeBIQ6JzL5PMRm/i7NuGdsa9y SI4tGGm27JD1ZfRGtrxrnnbBfQ0GAg9GABaJ1NqcNHFxzN8+J3futH2HxjIxx0DbDGBq0Q4jTU3t N3rd81P+4ssW0dUypHSnnS7nqGOb6STWmz8gyrt/sR3RwBjjlnCBDaP8nOB+Zi/clBzNZJ1bnDK7 YZdhYYpFrjUS2NUXh9y0kcF8mBwzwV8Ysx3UIflno7VIZMi94rZ93QAQQBmaLHfqUxoaehSidnPr jcudz/+LjSXLXLzm7YlS6BxTQBCZ7VIe27KWSVvpkVkpbm4eeDGqd45cct/FsW3wck58pXCEt6TG UicwCKPxfV2FC4e0/JAgSBWHdlscMlEUtIsLUVefBDie7z/5A/41GEiWB1Seihal0I2RUiuLAs7t Wi9zqMskzfxjtpcnoR3jMkUjXl9cLgIQk9xKp+V5zhJI0hC+hVYapKRGu0ZxknfMoR1jLvJHWXdR GnCO067855zhfP5fWitiPmIUd730cmjI2PTCtQtxy96jHDtdfjHuWAtSKNAnkYwm/E178DdjQLUW 5vIkGIO0VUQ7Oq8YZI0hp2qaRhjz6tsG8AkK7lcjsDU3OT/fNI9hQdp8Psi7fwUJbgtwGb1BCumP 9M9N3fV4JZfBFqV05YUwWV7CBaaMJnpdiHayxfEBvYNTjpfqFLqYnhHTXTcJRGfjLjqANL5vFqQQ Y0IkYFl0ZSzytCB7DT1RSe/vyecj+bDvGw5XUsvpyZWitLtXadB5NyBbRxczgeQok61DqesHRXM4 IrlSS754H1eMI+DZ0nlBMK8kiAJpN8t6EiMsDaj+bYMLjqcRzQptUZ0LqFIzrZnO54Mz6SJxeHKj BOz764KL0m7wBx9pZ7zdy9Fccw0/ulnfKkpx2q02txqQjygE6FnnbSA4+/xIQMM4PaDHV4Pf6jlD JtuFDFO3rxth2/WmCY7TLhynwslYuhk18/mos/RLio6YlXZPpdCgcTJKxN0Lu12cLAp1K4NRfHWW YofGZfpYyRfvLFVoMa8uDShayFJZFCbg8LqEt+sz+O72baOje3OOQOhtf6C36D+Mp90deDT+rwY2 +PJtXpmsHHo0YioDfzR32Gv3VIJ2tQMDu+PecmDSCLCMUEut+uLYUqgcrd7MU+aUTcTCw4ABnEQM eR61tdHt20ZkLQK45ffJRT1KOJB3qzc6n/+XVBanLAAyP47M+Q+fRtKxy7IZ3Njdd1IvroO9AW2w nfQnJxKk3OSLNZbQJGuFzVVMYCtwZBR5PoGf4D7lNh6UO9FvObRqlGhsqapzq/f4zvmbZLcO9Ts+ /1+iRBm90u4FF5o1UqNhCl9CNsmNUrtUgLoh+GNR+tOtERIcd/H2W4WT7ibSfaMChjgO1I4KZHoB 8KF5rHIlG3sHfo2eK5WSuy/8lAJo5pZ0X9a3/Fehy7dzUpfzFrqxYWOjpqEBlHeSosaUQuZywgRf ARYngWOjcdhfBMPPOwVBJd4jQNWfl05Tb7iQUOowr/5IHmX/uhG4S5St/1ERXQPDPdLVTtrH5/8l eoQnacPSHjxOYNuoudZ5D5Jpiw3O62Su213Tp45ywV2hb6NsCVcRLOeSFyGGeQrczk5kougRjdLz BicKyqvnhkNnyZg3qdvXjd44sbeMPuGzB3g+Z7Ysz+mQ+XzU8P6WZKG35RfQJPRuhB/8yZVatr3U DQxHBIWR2U8Jt7yVG5g3ShIG3Ulp0JMQZk3KU3HA+JxT73NsAJsOOA7QbAPu2YNwSs0qV7dv++es UmYYXBSkk+QN9rKgsc7nI1GN75QSEot1X1RuDuwbJY29Yd1lPcpWrRsAaJf5yjV0PTxIsX/j1Ji2 +uIp5ehoNQlmgl7uJDkSL/TPhDRAXusK0K/tXzd44USzHbX4omMJRQlTfVjY8fl/5ZQc6KISzPk5 lkLtkdatukWrbRFbZMiuKRMVAuDoC4b+W2zhqBduSt9nPvo+p0zH6V94LG2IBi29cAkpwNA1fruU vdnXjWCCPLVHqb7YXurTDu+9Fp8PUsr8HSUxd1F1eJj+ckU/f8yh4Jx4JAfAzUGjEI4F+A4oTHHm zSRoUdivX5mrff7iHaoRyLwzrYtol5ob4GIL9QkbNZ4J3K8bWfOgOIWOiS/KBDZwqMQJJlRxgZPj +WOOX81cKHXk546LrRw3OSbb0QG7JK9PqnMF3L0ImUDg5aiUAp4qI/ly2RQY8SbWJ8hmwKVjXwXW FxSNqFfjmFQ5fkhUnsh8RqQ3QRWSRhjm+UB0QGDm+L9vHDwj4Kl4g/SPjveyUrcoMp28wDq9s9SH 999CN0dFJwHTaYsFCe6mk1QfoHHaWIWJVcfUt0KKDAMFq+GGsqXAzjE7P7nJwqi50sb5rkWON4AQ 8fnI1uCXRQ8s7NNVRMqhoSMQLedeGw6hmNODBEsCD9K86LfA0RHZknye2NHl4scSJELa6kLj9Lw1 ZemLkn6YBVGFVexJtd9CR8dK0lsxCTug0Hl8Z0ywFnGQ57xY/AH/VEAklBKzmPLccYGr4/ngSXiA 9XIxYnLqCcoKS73XfF64MPUeVKRHkm6LN+DOawZnYlqItJNG5iVJUsxTAHOmTKub0HT7tn8BTqlD ZYtySsBOZHyZAVoKfR3zZSrLRKT+aIxqQQpVswGOHfTg1ki3mrDNIlrWRro48pQIxM6OGguIXcHF 65OzjdBDYtcE1JPJXIFU8QpiEA3pTOOvbfu2fyXerdTqi3pL50UUNqc2AOFDa8fZfslmU9r80rlz 6O0IdjKCNC3xHmnZiKmO63AwoIKlCy4wd7RWbpmFIAEudw4HqdpNiwMYgw1lS3tpsFshQlYepMm2 rxvxmATtlqxv97lxKkBfMKc8tzqfD3q5t2tCuX+ABOvX2R0a0mx6OBcnMhGT8m2M1SWGmD1KMZ+S Y0oJG3PxrQR1iTU4YVqT/j1JAi77h/zlkh6zYg7g+SmRTJKkE1jxcrFsadYl4sisej4CLf0SawOP d5bxhClqeWNcgbzYjY3adX5a2doEmFcCAqo3LoKaYDpCHbLti3fgesHPLyaria43zvmGsU1DmMiN +5Yjy4HRI+ZwkgUgqaK5cQ9umlSEqZ2YVgZOjxio+eGtUhcTy5ssfV5zpZrpUgAak22l2abPdPsj VL/R4WCMXjOlmtR+q2xH2eJJAAT/QBfgRmpnKxA+MPiCAVVLpQfnMCFTes2TqhOXwUL0RfFZJEnh umoLT79E53zBvdRU2jLLODu9c/CrFzwIDoxCMJdphugin5ZMipQ51N1MJJdvoCA4YpvsLuew/jiH NcCRBllcaCtlNQEw0Ktoc4NHUdxvxbHvr9E5WSQdZqtRT1dKFp1y/gAUBjiVz0m1X6Mj0yhFR4AA VG03Oq/JUZWU6pIRmuz50vLXa5pOCaHKVv6/pkbV80eCRWxxSCCsGCs8mgEJPFlp4/yWCKUCohM4 cK7ISjT3a2JUMQ3iZSZRgHZFAaBwtXkznF+0fV6zIoR2JwuO/qtklHtwXlOiKqwECF1u48yaTj3b 8swkV7X36jUhqmDdMasumkiWO5FsUHo9W58OswPMgkn/hw5SZWvcaTc4nSfPazpURVinhKYENZF/ cLQ9W8uV9fP5Q+fPazZUpSit6CjxOX/t0j06r7kQTx30aodrbqRUXA+g1vEVHcurXzOhKguAdwm7 UwSfsk+69AVCUtRLzIMNW2x2npN6sdjX+LwmQjh2slUe0xcdpWBD69jpJ6d+TYPwdLkvVtYRBQU7 202f1yyowoKGNkbmcbl1/mvzpCsdxc6FrEGD8Jhe9uaEce/L34JxXsk0HoZAYgOEhIniFK4dLLfu sDY0NfhV38OzpETS1MZu2c1D0N+Uxc7JkT6v+U99fLB07kBXhD6His5r9lMd/N9NFxteRi6WTa6V U5KWNfpfcx9Ep5E+Usr0xWsNWD+kpE4RUJKJeNxKRXq0BNTmt8JVpgbRu0UZ8TpU2w+fqi063BCo tfL4vOY9OLbunaWEG2hTfDKj85r04FRurMDyMwaxvTPplGq1GBNdROc15eGpLHXIvXzxKf9eII5h dpVOuYSiiWA/WvTAoRVcrps/k7v9mvFUdS6I9R++WHP/vPhij5yc6fOa71TBEZ+Dp7IRvHzvvPYZ cdE1Dj2yK7Usk6oFcaTcrscudvC8dhmrG2KswkqMy00Izws1aAGcU0NK3U0AoCFvhvEuNIkNwNao yRZFZ5OetUryhZ9BKiHNCOAE8HntMFZ1KJ+9g4nwSITSUJchiE7mcKh2q+PnNPcZjNiNIYGGfrZB 42tzkfkOB9Z6bbj40APeawMjJ9RgddFrZvCkPnunE7c2Lm6NrkWvrUX8BaSQ/T86PwUaLUUYfzSE XhuL9cEbbw3PNoxSMWRQNhjlyoOSh7JOqzTnuWCsxgpMGiT9ciGj+GigP9UMmk8zCNqSZ+s3usvV U/YoWWb/fuCCz+SbmTZtE+M/2j7yt5wUyOaiRn6ajSAydHZAGI3erlm/M0IkYaX7vfXOXsNfhf57 eZnm4RglO2w9FwcZnQvHeZBhxjyLGkB38XIC0qIDVm6s4ttYjOW5DRYo5Cgn2J4WXM3INNHhrKHi kp/Kun4qkI7j/3HyHz4enM773l147rzq0OO4JcV71iz+A8Qy3Qxj3tQnE+Gmd6yui3sMQgTkBIrR OX3xyx2SDkMy9HOgO0lliY5ZfuNABlg/qylUuUd5c2/ySO13UYRE/OKdBLbRe+IM8sP4vr9OgtnB 0rIARZlz5VRxp2QB6qV48kPfBU2owVa0OX5wRnfJ0KeeffF52amzcPJw/HDe6Y2JBrwwKg/c83Ol 2mQ3WNKXfX/LOqeJbUkSabk1GObeQ+OyAlhomDwbTE1bD79pH5QbU9sp2EJoyp+ksHptsVfzCJF3 Y5fYfcve0+cs3UyMi5MvVnk1KLBlEBWpsl7G0niDd1Gt+sZC8mVMkvhdo2Ooau+o9GKnhHvopFnM +pGPt887YY0Z1Pc9lgEvbuSHKERRBt1pJluuIlu1XhndMK7gGFDgCtF7Cp2pdUWL1O7LkwZhQwo2 e8oCblNQjdnphER3efxUsn3X4Cpjzd4LtxIXVacnLo043XpS8887W60+mn4eITFab4TCLHpySFaS zaWvfdpKy6DYuOvdKvWdrFbNkbiSA2uLRWjjgmwUYEzA76G7gV4slAw0OQeU3zwcdVJHaXQjn5+e a7ZYojh65bzpvNP7885UY1rz6xxCJUetQQUoSqSh57irNFkQoNmcqDbpoiflGrxlClCUSfehFHpU X57uz+gbA3eMQdPZTbR+KkActU11Wr5mSwrHXV/2PUISiYJ3gS+K0KnhunCcq8/PO02tCtj2fduv nw4kp0XoPZkGEjnxtr/G8YQ1GpXPmUWrTW9DR9n0oOxhAVTFFt9C5zaDad6WReGkSzPOIaCiAKqt F8HvA433bNqNrwb0DWyxFhD0S8V2hGRNlE6v/X1Q0+QysbnKD43yadVi6mh00mazd8hq8j49TiTb QmFCjWKM8mu+2EGN4voU3SzkJwyJOw9qGn5jMvpDbraNxebUd40CVHj80CeVi7CNqMGSVVjr805O q8KPftUbIFIQHK8OYpRR1zUpTrs9QKU/N1m/aLRlXbJ3aprtXwyfBbduF4x2Kq9x/lhtC/WxQNzj 3BD2h2A9/MDOxtvPtPx+Z6Yx4ZJbEckNXPSKzUXfcPoT5s87MY1Jv6eLMuY9N17v+UYoyqjRNwSK 2LdQuUTQRFK7OapUO6bfeWmWyqF32oYvDrFKEDvIJO/tClUXzoQSZoWDFC6hh3QK5aEv+1eLnuLR thjeo3XuPgBu5+edllalIP3sIcyvG+1cFKGoDQ2c9SK7w9rQq11E40w+5QF+VRF6T6jNfw9vdfLF R/TnDF1j0nOGydbkHsrobA/wU4CTvSg02j28c9KQDlGq/+Qld7EhRqq6yZCFft4Zab8yakaDpon3 GHonpOEtozJkScUiRA15a9SnK+jXuykdvfPRmKjIQ1bTMJHDdAwlHHKQ8qzwyG6SZimE4Ezq5+aR Lh6GmiLvdDR8CNseXQ6F3R0KYWndKNwKwQI+HrWjv28yqMC29ZxDUUYNABSwsOX2o73xkeq8GTUk UpkuvnPRnsq+tuKLo9BxKJFThXNopIXjDWYG8I2HNU5GkWsdV9pxfN65aHzLWLB2wRi6oyVzB8yQ rY+TtX7emWi4bZfvIXavkVCPmw29E9GYZQLGWKvr0uyr1T9qvULrgCboLYt60l0CtdAMtsV7H7Ad mBTuovLa7hTZ5CkyMPLNEPavU9KZwMJ/3nlozNoluKK0MTuM5FyBTQbIpypen3caWn30HywbAjZH hD1G6D2hRi+bCrUOgpl9e1Um4xu1pSH4oj30nlDbSY2JV/Plgs6QeU7yq2BoDckPdocAP68UEqmP RWFb+rLv55DU1mAB5osihPxczsQr7c87Bw2/a/a3DNGA6SBLFYtQlFFPNl/z9NZHLbdxnx6EPnx2 tIfeM2oku0yHutKh/qRDC067py5N0jTAaywUyJBP0w8mIl6UlaHvGgRIZH3KFMONuLphc8KsgOZX J83+vBPQ2CHuXwkjKu06bv/1nX/GfIh7yBQezjXtewhJ2KV7zmSCBu/0M3x7qKyJSG2LRQhQngIG CSMEwFmRdw++G4SUZ7oMhkGo8Dv7jD1U4RVW80X50Mqy6RT77J18hsfvMVSYXnbQ8zZecYUoyqmZ MhZZOmkTuUDWLNVljjMAP3rN3nPqLATsuXRxDmvx5kcuDVNraq2fom8QlFbWJDEPzPV1tQ05Afy8 M8/uWwZmmy8KUU5z8VCCvM3nnXhmrcJnD2EoiJGsD56jnFrDw1mV+pzKezr9vLQnHxouivFOO6sG EYbhQvHFAnSS5760YxKS383yBANogr2Rud0mNeQQ+BnBYJ5DZ8r926LL/uQ5g4prJ3HIn3fSWRVT 56voQDY9kl/275wz7jyUrSs5naq4I8a57PsV60NjWQGKm9QIUJvMG7koQBO7E5ohi+hgpEYgwnJg /EPV584qwAgwRd/1zwA1OYe0cV8yXC+SED0Z2zvhjOd0+b7JKIlTPF9855tVJ53TJUYs2NY8G6JM pViwQOuQlPdON6umY0QZAV9u2bpxYkgLAwjMIXY6jE6huI7b5AEqDn3XoCprnG80oaaao6b6OntU Kka598871+z/HNMorkpbftW/U80QoI2LrJmy46NpCLUQzlgFMEepwQC9J9TmqYK0Z/hiATr3K05P toYm7pu8DfqCfgfogPyHziDKYLzzzFhxsK2YsuTV+MvZIbRYKp0jP5fPO8/sV+eDzTMa21Vv4r/T zFgsF3rJ2haqKbtA3zn3HyJ1tmTonWWGvw/6GbWpNdSe1tCEU11n0xJOqWVu4MgG7Bogsn5+7u17 oBXMbxoNoRkfNf97ro4sh+UGYaonw56fd4JZfQxDNhGcbJdCLM3C855N42KQc6NPEtsa/oZlixmG QNU6+O/0MisFzgW/eRJx8Q3UIDuH2T9UHUqFKjk2EGa7Baij+Xg4EpL8eSeXVWFEQXieyxcF6ARr 6BI6qfvnnVtGtMZ3UU8j2ac7/U4tw4fiL5hadoCQRK94Rq/uYGkmSjqjw+40Nw5PWVsc5HGu0lTZ rYfY3E6y72mAetJWJeOqd5aif9m/WmdtsMXYhqtgnlRiT03qF/6I78k0NkP7LshOJtwpr64PjZJp VEKnqO9unpYdIzQ51rAIJYeTv9PKqgmEofXDgoyF1c/kqBUHfwFaFxHaGWA8RAhgy4ZtX+iYqqK+ c5D4zip7MGZZbs00gOQhRBwbK5x+EonPO6usytHz6Xusc7P2J5t+J5VxbECXB3MrOq/DcH4iLFod cQ/qqkIU9qd1j8lRtvSrNHfO7M3TWVRXCM+TW05PPnjr/MzbwM9AyPIz/moMAfPGBfqOkxGak+Is 0OfPfD6qWe9rhncKf582h2fT74SyahZ8cPS1Fj7luSTP/0CkNyR+FKKoQz3Ved1UCjW3XYE4T8pw ilh6foMUXSGpirIeSFdAKXLjKEitIWKV3+lkzEt415dcfNFJdP6nTp1Ea3/e2WT4jrdo1WAW3k7N j+p3MhlOIjqBpils60l6DPgLFdXpeoVr0hUdUMU4nZbZt2R5Z7qQIYiajJ6a4GbAyxCMR0LYRCUF 64dr+k1l/ncuGXG8BuIUCvg6gVZIhU7myHV+3qlkfE1/DVsBXuzUElWIQtQH50CAMVNJnXRG/Ouk JjTv4hzoVD/ZQhQl1LiTYL3DMzt9zYGoqr4ydS9P4TQBj2eIOOvsVVWrpUOt6LtG82h1XqUvsx+q 9DkRJOh4EvPPO4+MrQcvyUhfpFhK87fsnUaGjTupv5skvi9lUNE2F+d8J1ZoW9BvFwGKWtSNhsS7 UHCeiwOrTop+6tbFAEHjAEAUkFtB1wAYmybzLlfMUeI7i4ybQAZh6S6KUAd4i7/1SSs+7xwyw8t+ 3feNWC+vyd4ZZFXkBZilJkVo0GaM+nvIGN1NlsLvyKjfCWR3Gk0/EVu8aD0hOdW6jB3AJauclBWI VA38Xln6e9IqJhHhnUBWRZNrDLIvtofQijOp4vJ554+xDba/ziEMJoC38AiFLeoJp0vaCgoWM27V ypmDjcqGYfPe2WO3Acta1BYvymAMeg5QMhExuK+kLUwwUvGDzelSZetK9mWDsnXm15P67ASpOJ7f uH3eyWOcAXzXHEvQ63tSRy3qCczHUglPcmlxgl1aVyblZN52l71Tx3iVEtFAr1gt97pHzktxuzQn 0K9UI5i4y6Avhhv3WoQBV/B5J44xQIxMrcsXS6pP/ThVdezxeeeNsbz6hc5DU1zauwxQCPngOe1C exhDWIBO9XQ7Q6ikLEAx5EM9sz188f4rkAcwhaSHGv4C1L3Y0FyC7hUwh/6O0T3i884ZI7BKJnOt +6LBOyATTXa7hY8HN9mvANEX/QGWvxPG8FQiEmZ2G7au6pJNJTktAWOcbgGKIR+D5Spz6pbr844l NEqzTObOHklFZmsk2iGjXYD52CFEfOc7XYx1FVXVOvXSuWgHQfiYs9LWTt36zhYjrup7TtZJM5pe dLQoowYjdycxMamWbppE3/poDSrnany0ED/dCfXgAEOLH9Mgj6UiMxCIOqMtTGJ9ww5CFtncxxFt Rn5GcNPzAqMIvC3qDM0pmAGYuxmPB9li/26+wtKN2YIFKMynAV4s2bjhKL+cMN7LGh6g6az6FnWn NYzO2Be23NYZUMG5F5mCwb2LDFegmirzN9qqmaV1si8bcDektQ9hUVv0jgGt3sSoKwOPR+N6jxBb rUJS3N5HjxPqRcvmbL2P7Ir75zfp9XYXh5Nb3hNqJBoIEU3IbLHWUDq//QD4ng18NAnYPAMe7acS HjyvuRyp/fiMYNQ65HFp19l0UMx5+TS1KGVUPB5BhL8HiWQFkFijAEXpNOYLmzb3bkngbPqhcp97 qBZrDvUI8jEWRXLGvosFCMbBGIAR3jmAZ9iyv8AgAxwzmC1bSUagMj4jKDhEWpXpd3PT79x7pyM0 fulTcPQonU7FtxDHqgMECb7qilDcoKZhU2kOG2LeyJs+1WeQmG2Q2KMGtdVkwjVwcXTnPEVY2zyn 10KyyGOo4HvNipEJSKFO7MVxiw8JskVWHGkX+VthtqbjNZ/kmiMhdOvwfEA/vCWHrB6g0ljuUd1D AiJBH8lmG0sulWp+6I0jOI/WLgB99CijnmKPDdk3ja8OGt4snPskkQEwAxxxpqQIAWhF7h/zUY7t UUbt2FeWr1x0mbUJfAFTnDLxeHBW3+YHtxwOImpHKkIRCXFkaA/2Ye0hmCtYOjQv+KzK8IURikEf 7L7WeRcftp5joiYS+jkoK+p9dDDSz/txDqV0dZmGf9doksj3bGjagQb8+JHA/kpkc4DAgMeDAF2u VOJ+A9+le1HWw4R6UuV7DmsOfSlW8LeUGAN0QBWgkIdIjkKuu/ri+M7U4UTEsvUUVZl/AlxxJybw BwW2/R5EuGTwGcFtXySB1u6iHmw5tZwEYjpO6iihHr8AsEoyxj2Hwi71gMcjJ9mnhEeS4o0P4s3U +ICYlarWHifU5JE1ku24XMjHyZQSb/ScKx1l5drYocuI2RUUuq565dSXjXpDYkzVu+iGPlfDtFHi mHg8OKr7d00mjcd677L3jBrjOQhWTQ4y4Gs9erYITZr4UjJ+bu+e9QjykeT19X/ddfo5b9BcIh2x QniBdMSKUmxgwAKkgPs10hetR03qmnX67OyLoRdhHMMAndsOj/+Ly1pUv8HX+mZDEYYasFAoeuno WV28F5rGsHshS0v0LbSFIsRH1yQoa9ChbLcSAQuIM+b1bMCefKijaVYKLOp4TFP310HUOJA+PYJ8 JEHOTmXhi7YQhriCx/S2Pz3sUY/vxkdGn7MSkaMQxT1qQfJchaFfX521WZVxD00CPDt/TjCOZsKY 2D3TYnuIhNZTqlKGoYD1RWkdAKt/CDknwNf6i50o4R5BPqQMR36DLTqHMGuVyeLCWxYSE+vNqRki TG3bbZ+NKKdGuwyarT4MorW0EqJRl7fxoX8vSnTUpJ6G+SAGlotX9gsdarlXnCTrZNFDRxYuN2iG AH4vMsd5zbK+a9Bf7Cw35GHRcvMItbrq0rQsZzwe5NR9fUUIsWF/yQIU5dQgKaPXetkuWxsHA9c1 LBtif5MZ4whb1EIH9zx9sT2E6+skd6LUQ5x0sfVRMRHuqDwy7ChcyAu5PT4kiBDNT1oTKga/4rBZ EIaLvMEbQhQ2qW/ZQdzQORl6nl66jhBH/VvNs1RPqic6FZ5UgzanPRQ1qTNfswb0BRdyvLCHMO8W VB1+DK0U8l3QxMfRCkBwf257VHX4jADgSVsmeqJj8dx4ZwADmA6d/8Hj/4UQBOQi3eosQCHqgx3Y 8cykpwM884M8O3vCavsRZdTSyqEiG5fsAcKl08pW8+OkekXUITiwY8wAOdhHqhplPz7jT/Zvpl5e y49e3jpHPl+cc5zh8f+iyzB4OSTvL44oocacCincVTxfV5aqmSLcSYeQh+glixJq9NfR9djTl3tQ z5OmU9guTbj1VM6BBq4xypNm7AjnlGFOiw+JxhwIDXF4WDC12GqglTQ5ejq1Tsbz/9pDhoEAQfoe 1FFKjSYLfwVLqYtb6Jwv0rtPE89haCGKUmoTXaziSdd5gebwQG1FypRIsejOCKVibBuVDxf4QSE3 fEbwkrEF2zW777m5qdepKaVhhMwRj0enkA8TCbsnZypf3ZwRwqgn4YvJm9Qz3yY10eLWxU/Wgx0R jLqQpZA3W4x5Py1GTvpYyaOLDy1JZd+0PYWjWn2UcwbxnSOU92gUzZ+K0PQIjW2cpp92ymQ8HuRD 6xfOHJ4Qrd5jKGpSw7ATVCbnuzS30Z2wvvNzut+rLGpST5HK2rKFgAwWHecXwRRA6LwF1S6Shtjy aOctmZSktJfs/Hh8RpQNMaWWA3p3B/QT1tI8G1obj0dkjtv6UAMNhX29N1mYU0PqMJXmL9ladwvx TdFlf7WXRphTmxrlLr44m2OeS3l0inaeFGgmagiefIkQYZRlNPfUSb21h8KcukmPOklKGFRIAc1h dUJ81Rh74fmgtP816UBPtnLWqxCFOXWjyjKniQwWeeNbb1n9MY/4wlnZKe1H2KeWEEonipyLj+wH XHIIjTnVCBjltGmCA9pP3+BC0xVYnfwtEZ2Qmqh6w3Q7rzw4xNiX8FWn/sPjUfPjG4EGVDvgpxah GbapG8zOlpHJcGavW3Ukv8wmfKMYoRkq43GaWNju0eLTxJFPjtRpTzVPoKaUCoiTaCCDceRpADS6 d8wQ9kGyVJN5R7vmHSDyk3t+0uTW8HgQoDuQxkG9EJt1S/sZpdSV49adrXJdne8bA5TyvcoK2b+d PycA4qse00GdnoMaAOdzDuUliF4BvjERogelDbjQcdhgPVha5cyQmKg+fmJpz8VqjjVFsDkBKng8 Ood+7SBkDvMC8WeUUEOGexdKibPmWM3lJ2fJ+g9Pvog6gcfQDImJzbrzxRfvDrVzqyfwq1Han9t9 kqlQ0N8dYALjJrrs1mxfNupSU/B9P4sA0XnTJIX034rH/6UCx3HiyZp6u3f9jDJqzOHW3BeJL0Cs LDu66wbvq0Ewwx41q7IM/TBbvEc9z57pXYq4qaYsiv2kYQScF9HLd7clgs9mDPoowlR1X3QINcg7 iNuaMh6PuBzf7TNagY5bts4oo8aLfYrVpbxnl2W0epJdLgxW7TMcQlFGPSRknoiRNtcjgc/OadGg YM5kqCN3JAyWnl2gtcpw0aD4mNnhQyIdC2IakkqPNBwWUyG1z8up9IrHg3Fi+z2RPj9sXSj+jBLq RU0mWs1Jero7p2ytmZ3M0dyTckagD4PBZkm85i+J13M5nT8VX7JT6PWuJj5PyI4jGvQ7z4aqfdfg mJaZSSl3MWQVwiUXlzHweJAuzu+JNCYqbed7TEegD6QtJwUyMxz4Ld9kKO3qyRA0s7SFoh418dHn Lt/FFz+F0JkGQptbaFUer0a6q+CGQhbC2dGUWpxRPp04RuxdlIXO7I99j/MOs6N/9mvD41FJ9r2D AG2qD7BqhqxEugVUi8XmearWUAaSxY7pOqzgmKFiXiH2Fb7Ftvg9BmZ0A9Ma4DzoTcjyjarRSKAb A2Q9fPuuER2IvelEsVcuNuXA7UK+1ElO8HgQoPQdoIZvtC85ekagD7jFQlli2ymd6pUb4ntth1C2 sn5G6fTIKuvz9MV7+EC91irFqopRJVW7+wAYmuhFKmhbi5pI8xml00DOo1ol6qNf1AfGn0qFcHTj 8Qg39EtMB3+UcoHmM+QkdgzKthFb1xaHg7ihWpMdQhNvrN6xUOdjSokp3cUniUBBnsqYVgqnKpJm E/hAlGVAQPuFCK+i7xr18El0oUKIFkOeTZhysITY/Ir/yhVFVlgAiXgyvaJkGlJrWyJl7C2uXv0e W8uRZxvvPbfQijAfg7hOSPX74p0hTP6TQNQT8tCEOZYFk5dKA7N1MdQS4l5hf5pmSn3NuxgnEbh+ kjZbrXg8ktL5haHGO17uGGhFyXSn8mKywfwuzyk9aFFvUuWuFLNCzAdToGSyVcMVODau0pkh3cnW GXyC6N+FrXSqjUnFoQsbmvZdI908EYIM3Elu449IiYNOaz848vB8JBXzXbGii9rSZZStUG2abY+W XcRCox95vdd7CkGFVBEKMR+cQpdB6EcZTL2aYfMgNUjMx8SEdXzxfvNJvICEcO1F1BP4jKhzxmMa 2YEtOoQgrckzG/gmPP4vDQvKyiABAx/KAhQl050Gr9u0dNYe2eEMy/9DqHxkay2uEEJNbN7ZIdMX T6bBRy/ZAzS3NGIH7jEyVurDKZvJvmvUnuaE4/8Icnd0KnmPnR+Ix//LIcQBQkMhahGKsmkRf3Mv lgpppMiCDFAfi1DJ1hdaISmxbk0Quy+eK64F9xGKwq3cQE2gHQDGhx3jzlkuLXFzWr8iwIc8lJss XbjobMWkMmus0ycej1Kh+47hhSIAtlyyy4qy6TQAnJbfzaARR7JciOaCquknply8yFao8kEx8jXp 3cjFr3rItxVykE/aiFZ7R4QSusMT4n4gCF17KWLPVgT52HK9m5QW5GLp9KljFjfR+U3weLCJihf1 fCWRZzTolVqIYhA1HbmTBqyQm7AQzSHdBjmVFbvrV5hPS25o5Lt4iM6BdmLDqwx5aZoc2NMxqSP0 Gd0MawxxwIwPCWBDXXOyrjlZcz4QJtMsWk+O0fB4xK+/2ZAAs+uHpZyFKKQm0nejWx59khQWH2ou lmHNRUDHLEQhjFonUVP/tT0lB5oSJ+uRms45U1vlfmsQzum0Xr4w6kItCnxGlA6xrMdf1RZl1B1q El2dIX7F4KjevyaJE+/5LVpXTExEWNq4CgTD06E9rBkCT/Zi4/oVZtR6v6SBq16lHUTnHgTgjGyX lE7eD92KkqkghywpU/KHs9ZC6Q58SOAssWV6Kxz1cgvLUxFX6VfVvioeD+b1vxofDXnqvDjqFWXU 8IU5aeL2255pmMr6lIzLgcmNqZivWIpa1gDSZfqmlJ165vwEtIAyTtS9ab1B6vhoFF+cD456DH3Z vxhTZbbmiw6ikw6NJK5C5uP/2kNWn5zdfrFnO0qp4YW1Jt1dFKHsvTMzm9ScbNtltkNeok03dN33 57qvUCGenD0nEOwXzRPOS3au5fNunzeNMw7pCLM/vaOUWjZJsCDwxZBVC/bMPFkGH4/YLr/UKXEy YnRpAXpPqSFwSnllVz2blMCWHpPObJE53Lttx+4tZNslObh9mf9wA/XJCgO2nk2C3hnshYEiQ4Qp u8uohL9DHDUhH70YBM38GPNG619b4CR0eDw4qPc39gyP13r1zHcIo+5AfDQv7Mvs/pKxQWoRSm6k sMOUWoRNtLdssQglFKgQkWU+dOqzqqKDGER8BLaUY2KQJeMzot4ZT6HJAHFRVXa25eb/gek7Ho9Y id81R+bQ5RKmdtifToVS1HZO1+xGknNydi7Ex3Qp6h0iPgbfsa2h/ZeD+1h9jzEoeoZAFeDp4XZd gNkBqjlR6ENDIN5kO8qpQaamFH71RS8ZUEnES7az4vGIzfErp940nLhbKMRQN2rp3N6Qm7SheZYe KWoXPdsxK5FbiEL3WjxCBbBg4oDPVZ+QBVBnmWkIOrz5q3kGbBQ+Iyo6JIUvre55tbrB2pQN2Ukk 8Ph/QVWB6NnqJSXuKKMGqgAgBm8NzXY9A1a7W4g2MQxQCPjolGGqGiPW8XQ+oBwDuxN2PmDCmCSz DGALOB5s8xkKH1kmPiPIhYoq+tl90Tt24kowDQ6VhccjsaHvzgfg25gCeIAivAekFneiBi/ZLm1v 20EnKX4a+M2P6VA2T9ID0qFO3zrUMLWdVdzoAXwPxGLPnxxnD5r5k9YhGkT3ru8a+UgxB8IpZosC NCvtT2yMuP+tQ60+GzeuV607zKalxpSuVozRE2GNnK8mXKnGudv/wHv0fBdrLja4a82eZGJ34tPU +OBUqMBmYDqPo5Bpg88IckXizTradLYYj+MkoGJGw8t2hzLUq32/YvhhyM8tQGF/upcLeeXLtq4a U93Gl6r0wlSA/oZ75LGGL16RoYUCLRUakZ1NTcYHlBomTInPtQgNVsNU7anvGqkNiXJXpy8KUKHc kGosPh4RXb55HFAuQZQ9QFEyDR9XIJKcUDbcxXYZSIZnULuZUAT2mIR05vEstoNOPT9SsgBhq04m 07QVrHDmgb67J0LDvmuQKg6Bzvr2xaAM4F5zDt1Kw+P/qlgtfwLj7npypCiZRnkE4xYfkklBh1gG yVVNNRfddjRF2TQbr8CSJV8cl3ey/EXBX0jkwmMZfVOzHaVXVdsX3Mm9zE+JTLeIhKlMqytkNM2R BbNWkqd6y5M/IJIg+CZMQY5upAtoyClUzgP9N1OFTpiY7WSFNi8haO/ueuYpzKnJ8wF0yhcP0wAG KqnomBBMbySVAZY8QVTAT7uSOsyp8SnRyB7JYpGSRblKFpVKEITFjNb4fDSzL18pETCPbdzzmmbM QYcIVunD/BSAGC7eRJN7Gyeuw2GwOYVI6iY14VF88WY+3MgW5MlQmuVWJBkDLg/p9kgbryDKku9o CpEf4pRlKegBksFOGnBrgJj8kC+2+AOCxOhSyTkdycJj3TBFyTXGgXCImDby2N371YSOaO5KqzUL UyRLLQb0oqKQFgvTOfFOoQqNTmymPDJQVUBrAOMAdz2e1p48Fv+6fxE7qJ1mi3UbzzZlQn9S98rn Iz75N6QaNUujq5JFKUqwEzHV2WSZltxD+cqdg9ZpnFBT8JMpNHvZS1Dh7otvplMqFHBoEaVOoVnK m4MpPaGISLEi6xVl6g/hU/7yoahUldaimxw+20swItgspBAEkq/QFykPEH6YwLJ6nMLONbQtFnuE iNPIbJ7Riqfs5p1rXFFEo+EH/VmJ9FZ9eciKKN04/tg4m+ylQ2Y5AAKBytLtOib/uhFij8VaEqgo +Z5NkLgRpPF8GJ9/j1NNv0ZEIJmM9bxzYfP6fGV4cxTrzGoWAkPbQmiGYJ+TjshdPyhIBdQUaeUu Xq9V3NSQo4Be3LksChrc50bDdKrS0nbfo4nSb/yUv8ZE6BP5Yu8cyMc8fufufP6/UKeB86aEqkcp lAEZm/TyKT2rBNSF7aVGJiOtcb7euVhbT/MPiXc+7kFtn4rqXHXA6Wag909KScF8HGUTWmME9ihh ouQqPyTidfJdU+uoFhZhrPs3RFZ/qKzc+HwkxHxbI+yjgJ61HxOqFKXd/IuezMVdqNAnsyb2NiF9 ZpXV3fAiMZAqserCDiSXW9qeivaU/FIgPBULWUFlZGI8SGtaNxeQO3KK1aoVnnoXywVRHBJ7dcoe Ph+8cL/8TairlR+ztxTirNeiTEqy8r9ZOxLoq5U89SanTUEKG9lLXcjafLktJPQ4t7kIgdk2SYBd FHzFBGW4onfJJMDiQyKLE2ylvPkoF0++Z1IDYDCtDE1g6jeQGA6pJ62471voqwh8Ny4g7aSFQZp3 agcxswrSuq6BITyEciml0DSZixUoq1eIdqxuMMdJ+PD5iTSBA75pOlC2EBLDD4lowsapyr4YllgW e2gCwGk7dFZ8eDFyqICcw4Xx5dBasdGiwhkeEIl/XjfX+wSO4gYpgogkoovKXHfxIJ06LhM4I+2d QlnZk3hSdqfqaLhAvmTfNhiJZIPJNl/Ua4NstcbXq1Q+H6TeFyNyd1Jpz04KU+9SWN96K2Cv4a0A WhObtkyxVkAO3RUF5iuCg5YHDjrgvgTf+myI4kYBuXO4wxRnyT/5sodKs28bzY1M3LtoyYZbTJAt 0HS2Dj4fdf2/nTwK7/+vIEVae+CCQ5RgWpBcPo4tyeRBGqCGK0ihOTldyetkZslFQYJcyoQk4aBv V6W0u/iyTUxLKYNI+IIT7Bw4LOJ/eY6pcdLnleA5aX0Ry33lyueD1+1ebzzAGhtv9+AOPRaheoHb rXnPpG8PEnuhJsIz3YUyMFlMrJmxhUr3xXbSSe4GBMt5Jp1YyVvg5GE4ijrtPNA+UXXSzco01AeZ ppzStFTbSuByke/ZE5w6Q5vFMm91Qiw7v1W5aXfgs4jhGJuT43ZvzZQSL1y/gmCFelddP+gv6CM9 J2yxMGEWegoJHtRU/lyVScBC8wMm3dizjjpSEhA6LQq5NhKdvLgYLutcqEnbo1c+/y9jGO1BaCDv dYMUUhqZKUlymIP/Ph48hPifNiRRpRt6LS7RrJq0vNu1LG8AToH5rHxynJqftQkA6z8ow37oKaRj qaTlXzdqm0hDhbrfXKyG69wS9BzYfD5S/P5lroz3tz6OnYHfItomdDozWN8iGlTAGpNwnprXJo9S pBVCneay17iLT7RPinEyIwGxZ8EABp0DgPJO+QT21XiyAKAu+SHRVsIemoXIGi42j0S1yjx62pf8 L3IqsBfqwM17kMKk+5xz67wdLvQg4jmn/sWT7gpTdg9S2Oymy9uWJ+du46sdADsGaG6iHVBP+NiF oqjfufXRNEnpwo/StK8bacerD8B5ABdry4LoytflfBKfj3S/L0OWVz620UMKyaHxIrY8SA/JMP0U cxFYlGr3LvfgvaXYeVG97lyTL44fwYz15DVUbcbvVslvxGDxp2MiTAadjd1Wsm8biYFKrrl2X5Qs 9Tl0QSGL5vNRbeIZJVu/Z8N3UmUVpNB8EYh+jCaTNb3FjV1qeicPUm3GAc2h++IywWZBSPIXhKSf LKPC8Al7iTr6fOEwUOrw4e20hVsPki2H9ovyacAfTcuyMnf1c5GSG1KwlQL/xUz5IwWJdxnUR+pl qOXQfxH6dwAbqzlytv52YeI0cQIpWdp0ZGKQQmS2hv/Whuv3gmu79HO71SrQKAnveOEa5ECZOEmY WBw1uVGHBoxZbSX6NGsxDEBbleyFdq5APh8Ba+vX0c07Y1/6Q44tGCdhfsWab1PKu+p4V9dI39B4 1usWeDAqjTnHETrbtniQNhQRCWyBjDzkDAhoK3NC4akbT03NN76m/JQopdSppOIEGZYYiidTMn84 mBfzB0SJ9zcTq0NzaVwdoxz4MGKeQIS2OQqfInS5H0Ht7BBMSTj7+CQwYjSVa+Br7uKAm4GSFGPF c0/O814ggR049QBfgbBvLuu54vzrBnAAntpFrLVSuzWWyNTZeuNy5/P/RYM38zVvt9ANvRgBjN5l L5NZKTrFJaHaqncD2HBl5h2YMQpZ8Or9cTLK8yaNrIH3PsUKRwccw53d0CBhfwfec9m3jYLEeeUm XBsOjD9dZIhTZk75mzc4wAd2jN9zgWKJQO3jOZdCP8ZJCcy8rR1AOK85VrqJlaSKLUqxkggb3VXa +3U9ymq5lU6TI3Tf4DwAOSzgJhuK8ROx1h+4f7FvG51LwpDKMo49Q+YBMIcgbw2vI5+PKCPfQQK5 oI0r8JhDS0aUIDiyfSv1a1o52wNwqy5plANPRocGlCIQTrkjplPcYtInk5QJD5kkDT+iKVDRQ07V NI2wvfRtA/BETWJDbF8sSLjvioK0+XyUd/8SBQfMCV5wHqSo2w3qGKoT13RO6+JLaHUifAlgqSrh AlvGq6ZayvbFASYLBIYmQZGJZJMYLkoXN2gcLVqANL5vFqQQYSJB8CTh9PQIp0t3nAzIyecjOPL6 Oro35lScbFqQQuHrJpWMbkHqpgIFieV0NXlTv0GKvWTY5V6SOFoXIABmxPlrUYAPgv4DrGO66qG8 hbxBnVemb9Vl3zYyShF64n88reBzMHSibJ7cIczkF2abAoEdx7JHKUq7oaALaedtUSqGTgYasG8/ lDrRgF0/6A8BdcC/qy+O/D+5S8/bHD7PLqPld6llwIIUsIwCgcapyXft9nX/VAjfrHe5GFrplG1D qhA18/kIjfNLZ83AYR6l0KARlDufCRC5Pa7puWDuXe1uP7pjh8bFN23yBDehXDUpZ4KzJEXCJ0oe aT4uqJiD7/9DpxCfe3f7tpEYHTtLmyLhXAyxNBf5LtbuDjwaocP0y6MRNQ6AmB6kKO+mcOhmXc0m 5crXIY2Yep1Kz1YKXBoxpuKbZvqY/ZoQ9lPkwrZaIj71fE241SF0xAXCLLY9amuj27cNwAGTYvyV TQEu1iDaNUlPruGFC30aHxc5uRPjTX8Glf8wapThOUZw6/EEWeOO4IrbVufQqVEJ5TLaVp+3sQTH DqSRSyLP59UYhC3BJ2ySVwvAlkO7iH3LoVejicZuySBsx4nDDbURaAIhCj4fRen77F4kv1x+fw7d GlGSL9paGHPUndKAi77MURTpFqXYrpFRYkKpxaM0yxpQGSOZBCrNBAimft6tSXxPWtdW14begWEj zE+krsqUiQs/BVbEhW2aVrO+ZVTofnt+Qv0R07sbpTDtbpRBGDqV3KZZ/Nq17zj3dpYCy8brgT7k VTSqv3BtnLcIetoyKTrH7aZQxDk6zn+zYZOu/ige5WVf968mZUtr+mIDJoy92cpdbfL5f2oecQxH RYVn6h04N6qFDF6kCFv41/YabhTXFptXni6H1o1dIxGIptjinSXMqgq00kArObfRLrT5BiZxosF/ Kq55iTep29cN0iXFR1RJLrqVTo1ADSIwkTOfjzrevwxkAdscT/8ttG8cnMO17gL9051mFmGmdsUh ihalCGfSGrFvTQ4O7QtBUdDOZT15TvFzdsNYHZq9vAzRQSGcUsPK1e3bRnM4DuByzb4oSOlk8F0N b55LIa47fZvIsvreF5WbAwdHe+OsQUKZn7Z+Lh05Wzsgt5k9SHHizV4ush5bbCs15MQJig/w5APY AXkA5MPPjidthXYEeuPWXvZ1gzeOc+/ahfDqrsKG467ydUE6y+f/lVRK5Jdm0bc8CU0cJ30uAWRA rw3tsXKBXYVmVyxPoI3GBlzo4oiqEZ23On257QC4Rk0WuhjuNexwAODQGGqD9/gVGqOcFz8lggmK SLqKL7aXppp3sPxefD4YV167VIIwM6nt6abeoZEj7UAXlPYRnAUXQbeYa1Slr+S2D+9Thk6OuuSm MkYuClOFmt/5FWjoBgr3ee1OJPPA2wPnMFBi1k0F+rKvG6UCiE8uJCtxUSqwodLC/ZFQxgVejuim fiO7kPO3/FxysZnj3jyXkte648kq6ZTBMg4aDUoFAjdHqQPCVAUHjRavdSvabpO1bj8vyfkDJsm2 nX1K2Yh6RY5Zc/NDosOb+AAA5rg0lWMYrdIZ1/ABgZ3j9xvHLwefhvXgA0I7x8EglWWj7zJuA24w /bfW0nDMUujnKNpbkZ9jeci3g/6EG2guGakV3oInPBgEgeeOJ62IG0qXAkPH7EFaxIRxUX0y+ixE TIPtyeeDG+62vAVPzT/sKniQotS7Ml2a00EU22lL87zf8wbJORU58HS8Rdxod7mHN+BitOJJE7MT KYpPgBph8vVDYoORJ9V/Cz0dC5m3qNTUNYFYsXpL6Mfw1jp/cP6AiDDwy9VxoQX4dCkDW8fzv0sY we6gpQf+Nq11icFA81I39nUcRFFUGn5y8a0EWG63KJWBHyYp0kbllPPzAFpyE5pu3zYaMYlcKnLO dHLOahCKkZc8QEuhsWOe317g9Nso/dlKoWx2gjnx6tvqk2xKG2Ampuoz3bp9evKHtaN8QTXaLeup 4mDytIb0Ek7FOxrhpg2z/cq5e7skSu5AfshfiXcfUiIbyzEUEy1vvm8NOPjQ2/EZMYnMjQzgkrlz aO4IDWIw0Kfl3fRX5IipuT/WSYt7N7OeHLg7ArHC6m3zZZIyjmWUtcw8qzLKWU7txnwqdxmFVqiQ lQdp4l836MA14bu5lbjYlQR8NBnvp9bi80Ev9zoacYRQDMbhUQoNafbi3HvbSLesZCnl3svtnBc6 vhalmE1JmkBmS5fLjRIkFoH9pc1zK4Kb0k5jgo9b0uNWPKnVlgOTR9w0BHaPWX2xZAkqhbJ9qno+ 6C2t/hWljCHaLM8gLvR5nHSbZT9eGuOtWJjWtHP8CpQk/aAAurzUzZ3Vl3vDnRcwi5W7MuwXFsFd QIg2hGml/9Ejy4HTI0ZMLE+W0IKrXer7ue2kFT0xrQysHgGKnF9RgqZ0fxx5P6+pUkO6DKrAMMFR /MvgOOegKt2HlcmdwV8TpZboMYiRiSFzv4wMB9160BJLu8PikOSlRc7DAgUXf3anMCFRek2TGkSL iOqqBAhwUXxW751AmnbqoM9rktQkE4E/RdLNdr5P50hzcOsGwYGY7nmBzaBnbfnLbhYlWWI3mOQu R3QFwQHECY5YffviwYGoX5M2NMAF532gIuI836w1siiK+6049P01OieJFLp0C7W8rxME3De6jNDR v32Nzm0mWXRw5bcnOq+5UcuclqEA8VZSua/X7tmZXak7kus1M8LWYVgquYJaLDq7T+hHZk4m04I/ iUjfsMNAdxg9Z5dkJZr7NS9q7lPcFZx+gzNAfGtmw9s+r0kRQktRlWpyDnR2hNOVgvOaEuG9IsyN LE1p/1j9j7Te/kO8V9taI68JUUOHhe9VTtsXJ00Am90XNchOQlNO5UE9gMR5IK+0G5zOk+c1HWrS EAOYlLIJHU0nzmEQ0j04uDq3RP68ZkNNtOyvrQP+Sum+dV5zIb5YkGpZ1UklNP5lgVZBpfAuZLNe 7WsmhBdLMr5r3sWiQ1mbguai9MfoeAW7MHoXIluHoo69WJtqta+JUJNFK+a0mkT2+rTWxmZ1Bprg 5zUNatf5EgZyOqF+YEJl0XlNgvA2ghlEj+//odwsQGM9OiNZv/81BWqJ+oIcGCVf7MU6bxN0WTES gWzIrnJOhYYhINtQZ+uOaju3NK1Tg+isah7pd9Ewu2F0wL9+q+Xzmv60myT6oXw+tKfhe+c1+Wny kD8FTfVpSHmiU4yAg+hMK+5fUx9ER1dVIvKPi8/6T1IIWyM2J4BsmxRr6XCaheKodfmtbJWlQfRq cVDUMHOwxRB/53+IHsXV/nlNe3hqfV9ZlQNiaLgxOq85Dw5lSFVvOkrwUK4+BZmbnT+zd2oGPnrN eJqMKCkhnnzxK2ufA3kR7pDPFlzWtgY58GeAl5Hz444+ydx+TXjwFyDG7zxbfLHePvSxOQE5KdPn Nd2xa0PRIQyXbWDMrxid1y4j3qzO6Uc3ofVzdSdvDPVxJ9fz6o0FpzLtMNDNz754dE6mBrNmNs/O 3QcOF2mRp7hA0nnOxumifoWl4ue1w4joUFy0VDY8uPAz8MaqGjtpFp5+j04X+wh7h0A3dM25bRme 1+6i7ddz3BRVo2sSt6BjmfmowoM2mBQkwnyHcZlE06b5oGnzwvUN8Wvg1jbsZNmCxTWDyxi4Nc8G C8P6ee0s4k+weCz/jyMxGPKwafF+0GtfsV0fA5jXqVkLp1QqDjAdjJJlJB3nwEnLukHdXNSoTzUv pSZNp/lFAWLZlXstvniP+tzYEI1IEoXspNIASQukJLu+JJyZOm0T4z/aQCRn96aJfnM7VlhFFd4F rQwQRqP3S5hsv9fRGgcgyiIUZcxIP6CrYTpIY7vk4cqyvJQGybh8mjBCTHhAerXF3rAMdGxBUokt dD5ocwsV3DYT8+1C62/NYKfRaaLjWcCZSn90Lrq8IFen4zlXPh6cz3t/RWiBXYB+rUXoPWsG+ZIm IQ5Wu1iQEyFJ/gj32JxPG6XNmz3p/4swGkgXRmb7MMGqZ0yW7gMVPMwXfyjXYjXFtO/6HiAZOo3E sSIXBegcctLVqg10o/fEGRWbp4bsqp1TsY99t1CUOUMIGfp7HiAqyOt+3/WiHTDRsjl+cEh3SURJ UYuLvWRw4cF4Xhot7Xwduhu1TWA+uPDSbLIrzL5sUHbxeB6ZrOyRXa9uQW6vSq8OsNAweR6eHrLP j/7P4OBADZVgC/X5WDgSxZpuBlTX3UK13M59FCG2fXqhgTwXRehUWgWHm2TWYQZOw2Z5Y7VqzlxC 8tGqmN81OoXM60HWYERQ/kh5dVDA8lyPqX3eGWu8krz4olAE4MVEpVqIohSacMM9h+NmJeSrNKgM xxWt4Sf1ew6tE58WqcUXzxIXZEWzYEU0x5hsOGL0WnGDrvIYqtCT552uhjeZ7bGx6DLHRdUpOPJk pAJy8HlnqzX8hO9jKGf2zpPnie9sNTs/NjeJxtIUqVI7OlnHjLN7b45FebSENOAR6ItFaICoVqAB ee6yBkUw6mZuEv3BtKDiuZk46qCO8uhGM+u2110cBbIoPwifpv15Z6phD01/yzS/PhUyWpYWoCiT xnULLchhAVK1uji3N4unAgSHQ0CiVFpvWdouDnm3UAatgekR2Hw4qnlQN4y+26Y2LV+zJX3jri/7 HiEJsqxMUNHK22cakBeWnfDq8/NOU+N1W39d9qfSmUQ0M0Lv2TSQDeiqkjnINvTczSIE80gDySwI VqoNHaXTdMOryIh9uVvovA6rSnCsgPAq8xXk6hV+WfUi+H2g8Z5OK+M7BabixMVbQEuI0NqhWBPl 09aop9uxmVymdA/qKJ+mudxexYuxXm6HLD9CWqg9tYXChJpqPudvWX2xgxrQQ2wptcjKCYxAa8AL nXp1/6x6leg5xPy8s9OaG1+NThM+LsI2NojgaGYKREOUUQuZ6TsId28hpFkdxCihRj/mJNRmcok+ 0HOTzacPNK1afaemNcnoUwpi+GI7aE7oQxg17VRAAwU7SLR8q4AGS491Gi2/35lp7DsQbd3rXfSK nZ9uWIZZ8uedmNaudq9dZPAcR8/NAxTl0xiKnFN6OcJKykcMEA1gTIpte4De82kHMiQZf6frrQgc xkm14F+NQyjlnkVPL5SRJINL2CEdQnnoy/7Voa+Lc556xySQdtULV09p/3lnpfEi834HUwSomLaS s4coakPPwtZ89z1EaoGZopf7kmXXYXsnpVmjj9Od4YsXZROYqiTgEPSgKRpVKnLpgWlWxhvuIDT6 Pbxz0to1bC4yRy/dYdYLsmJ8y05R8nlnpDUZHD6t6AJkPy5Ai1CYUa9F/QefPI/sCDTw7m9PCIwV nNPvfDQWd3y9BHXh4p16XIOV2kMnEeoJjQ9sIgiATarn5uHaYqYp8k5Hw4cQBgPJEl8UoZl8pJoy H48q+/b1mmECyFGaRSjKqFdVX8j70awF1RfaLr56MuptZes7F625RHZOz2J7aPRzFxf6pAKidzJJ FvYNqUknfatX532QxM8vG0RoCuVZ78IPgb5BlvrqSPPzzkRrQtJ8tYao4SawCUMUN6UHQUJ3oLHc o3Ds27LPmDzoNYu60gLEyrxSiwNhdoaIp8wMMNvIZBHD/PJnYOabcSUAAIeePfLWzzsRrYl+A+HV 0XxRiGC8MKR8MdfnnYdmG/3pLSLFq23fTfSeUeMgIoc4ub7RnG4P1iiKZAD0ZCiYdxaaVd3vCr51 DFiDsPdaoDXPmqNC/nmitZkJbfaJ2NKXfT+IFkPTxWjgYpuojSrvobP1P+8kNPyu2RNGav7A2wBS Uh6iMKdu0n72TTTcQc1FjsVkWL6J3nNqpLuITSsUg+ZiCVE7t1Ur7Aan82bklBuJeluUxP6De83L sjL0XYMIsdqAvToXTI7k2IxzgjnxSUP3552CxiZx/86IBsWVvcX4zkDjJYgLn0pE/080Yt9E6jN7 e8hasO8ENLaPWY/B5dkWixA1rHuXkBi8uotFKFGAaf3MdDkMBEt+3vlnrJBY1JuB/LhFB0i38/LP 3ulnTXTyrwCBnid9LgYoyqkhrebOco2+Br6FZht+VOfulf0794wdAqRCbXHC0dadcMCOu54ajP0z zJx3bYJ2blI8T7DWVTacNP1+Z57ZFIXeadUXBQh6l2ymgb71eSeeIeMc9eu2B8mzPQ3Gd94ZAtTJ NjNQ/snCLs6s0LrePOa24c3faWdWE57IVNb3XLw7dP5d1qRC5jp5l6uLAEHFk24+PeqBjsnnnXVm Iy64763tiy77NOm8jHRo5M876axdIXFrMOr8yX6TvXPOmuzmwTTb97K/dCphzMzMwHAL75Sz5mzh QQtCLQrQApQQ5T2KRDQF4S6LGPJEgOhzJ1/XCDBF3zUIEEeHBLg0x7nwFTtlhy6yfjK2d8JZk6zF V11fKIPnQ7J3vhl2UMH8OXWnCrdnSraJ7Cw6g5JIee90M4KC2BqaVFrnYjsobRCp6LgHhn+FDDub VugAQUaJrUcHKg5916Aqk6PKHndR2XpShGoJde+fd67Zr0OaBzIug3aniO9UMwRoL47lXTWM8i2i Cc915bDq8gC9J9TYvzhZeuJtxuW+YqBTI9lSAzZtqhukQZuQDI3VfM+gat81qDi6pqyiLhZinnUI nWyLg8SZcvm888zwR9zf1xgLRbmQ8lOjhBrXFkQqNfLZJO5KV21Jp7f8IuO9s8zw96EF6JA3Ohd7 xwZwnGtSNHSs1FPlLqPcA92dbt8DtQi/aVSRsXVPGK8WHUFnh0oP8Nz28/NOMGuXrHg7Zxvp4j2C 3pNpXAzjWjzUrxYRGJ3ZO/g53/BE7elEs4cqkzkutoFOIgRBR6nNQGRmUXgtgVhWwCufj4kjuW2f d25Zk84WJPmkgDGzF2Tw39MRMnr9vFPL2Dn7bk/TSfbpTr8zyzi8YU96+qCexabmiDJ7aMKWWXf6 nVjWREBB/kNP4tp8ig5A+flJY3fiEk9lgfOadiEbM5hBl8prvycY8DuxjBdK1fXVfLExGSRONfrC H/E9l1Yq/vQWIQAKfXaPUJRKoxjFxnFw63DfKzgQ3FS6ul3zO6vMkAIoszktK/rzTkZoTEgL0S8E dNZEAnrpQHo0MJlLIhqlawpkXzaYtJIL3KrE55FLcHIDmlWfcjI4+/7zTiqzTPPJhIDz6Gi8WIjC XFqSF4YbXxJwVyZ0kUKV/HmFKOxPw2oHKVD1xfse+F3KkHbKOYvw25CAfzJ1wMGhaX6Vi0vWd/3r mO5VKDz82diBhXMEvH5+IM+f+Xzwmu3vSSL+PPRqsAhFyTTaUWBxdZtx6CKTOP+1SqVNhiIUNain YIqLtxSX2xmCLg4N0k4tdpKAws5QQzdhYDKQG0VB1Bkim+ydTMZmsU6gkn2x3tk5KUkyL+fX/rxz yfAds0dIkE+kGThLDKkYpdOolCB65XqFO7sy/25D7XwQE0nbAFQxTKc5BsL28cX6HguOreymoWTF aUxtmYZB4EROkPsDtR8U5n+nkhHHK6dddYg6514oWc/nEQR6cuQ6P+9MMg7KvODg3kOq2KkiphCF oI8iz2YTUs+dcqmZEFIyFVlxZHoUIkRRQj0Fi9ksXWWxY40PwhD5MiUm1oSnYWKPvPqcvqxZLR1q Rd/1r3k0VXhtsT/8yQOkiXoS8887jYyNh5sNSSgb095xIxRm1BO3fB3aOvAENXk5iF4uBquc/y/6 WYxQ1KNunJRlZUU5PcboGXOINgQcwsHdZFKITHXC8xbEsitYzFniO4usSdALu6c1XxSi7lqqMFj4 vHPImngMXxe+iKQ+CXqnkDWxF8CJsgiNRUF55qlI6vFPsKN6lmXBO4PsdmDBXfHFO0Nn53f2JegE CnnWctuLmLNnCfBJrZhMhHcGWbteKjtVX2wTFe/AniPw804gs3zhK0JoEkB+0SIU9qhH+dKYR+/s 6snscnEx3duL7/Qxlq28xgRebF/gRUB2z6FDqgZUwBpV5cpioxmjHxldqm4loOLzTh97EPeZ1kU9 V7dQO/u0yJOntvZ5Z49xCPB9EK0sJ3qPUNSixgGBwtgasIPJjDHsSvMG7Hbs6zt3rMmMBQVrmb54 3YrXaqK5DDXQ2TP/K+DgbUEa2oN9JXPt884cQ4BkgLUoL9+Xy8ufrHpPjkvPgT0+78Sxdr1SvezA TU9pSQUoxHw0omL6JUSZHCFJRstbQ5i5KUAh5oNEDJhK+2IBWuBBV1iNAvfZWjUccUGmWIAeKlf6 g2CazztpDMiqJMRQ675oYA89n67mYuHj0Tz6+x2jMfoDLX9njBFtJGUrH9hvby4C1/Mg76vvoBjz wcggV7DFdxAcuSFMSCE5KvfRFaOxnsctcS2t8yC+850vxsJqEspQli/aQWBd80RqJwP8vNPF7Fe1 V0wTewhHt3uTRTk1SJwnmit7b2jf1odqtMLKNZn0fgsh1Kw3mgAdXCxCHTaUm+kOGB3Qo97OrD9b CK9ecx9HitriM4ItRGBVryrPqg9bzyt23hXWZRD+alFK/RzTzBeRl+7ulWsLU+qMlJpOowhQpgy3 aPXCEjFAULvUMR31pzWOri0PXxzzcTIj7BiZfrclr6eyWY2REEsDjP/P2JvlyLLryoL/MZbEg/qm 5j+wkpmR8sh9nbkO8FDadXE8IxZDLrGxxiytdUyHoA/ObYZmHFz0ki2M4MRYKQOPBxP7/N1ebFBm GLf50eOMGnKN6zpdFuMlgMBBSwd2z6iDQnrLe0aN3hBxi42NHy5+CvWNdiOHrehWZzpcQNsSao34 vec1lyPfDJ/xF6Shd7Ghu2vHdUwgdAqVUfF4BBF2VMxSaglYujfPepRPj0Wb5ur6ehrScwutdLcQ BKQUoAj0IeOG3nQKtXsKYUR2DmZAWDDeOoeb1NMgPgRQwfl55q3sWZ7jM4KKg74NEMHyRQFCWS9U zPkp8Pi/+FGZJe5CN+kGKEynNa6v20ku/UI+Wr8kF+xgHtM9alBLLQ6Ceb54wZHBkQH4AC/COZeH VMhA9ZwAwGbYwzixF9kXPiTqDo0vIgfk261hcY5qN95rBc8H9MO5v44hNFDPYT1vjEIC4qD9R9Ns Y8FtxJsf9Axx2W9zt+pRQj1FesbgyRaLEZU9JzVRwYg6Nz/dMFGPn3oMiY/sP+ajHNujhLpKIjRz SsvFsqEsAxNMBSYejxiavouYOeEc+opQREJkH305xwUqhO5MONVBq9T4YvMDEYpBHzypMzHCXLzk wIapNiijcJz8UqEae7KRc2qnK8tEBSp8RjRJ1Akt4w92CNgdOodn4eweMuB4PLjK7iRxcL+B7vKc Q2E+ff7pS+A2dYfMERR4p+b8eVh3WYBCIqJBhOm1x8W2EPYP+LgEUeNMynzNBny0OWOn35WdQyg9 8RlBXU90XpsS13XOUT7VzDa9io6DOsqnx69ZtFKMJ0Bhl3oCfr8pWpVRcDDtyRIYQIXPdwz3IovW HubT1pwXUrhcGYaRwWY6X6T90DEN79mkWMUJxqTLysBoy9Urp75s9I7xKks8qbnogsYEcQoBOyYe D07q/t3HN41Hn5T194Q6mb6nrirc9XJAZ4So1E9PlIXSlo2PHgE+zM0qi62Zv9iagAlNIuFO0tkS RTjO0YS3YgCeP4vbWJx/atF3ja4yJUOc1nMRJOac/8rg8XLj8YjMevseMtgjuNT7+D0CUQPbu2Qa SEdLdRqpMTBNi4GKJ932UAT48LuMlQdkK3+YlKCsPzdqpidQPmGcSK8JM292TlP411HUOJHwIRHr Tpgz4aq2YwIShB417Dr/Vzwe7KELxOfphRBXToEVoj+71IlijZRhkEk6W7D0htImkoVs59/5ax5d EvMhLraJJkgJQPizSw1NdMp4oGXE+g2aI9UbjJ0w4R5hPmxc1kid4qKDaMO6gJtg4TULmYn1F5sD 8+nWbvtsRDk1YATQOXOccH6UGNe+OOHlYsMj6lJPbh+asNniE2lY6tRGKP7iH5XgGU1dANAG/l5s jsIu4WdEOXVukq3WuCzfcRnMm6tZfeXPCHPqkX8VHfCMu6iYEeXUPat5P53uwmIVOicpm9oQrWIs ZRxhj1rvV+Gdz8XTIXBRGnsM+WTseNPAKoNXw08H3S9DzsqFvNBTwocEEcr7izTV0BbXMOhkI4Tz nGgjRGGXen1n1Y0Wmvc1GzGQWm1Fa36Umc1NB+lQ9ebHXKZ1NqImdWb7bAy2zwYx2Od9R12WSPrl zHXmCptVzkTwq1ece+K76LqHByg+IwB4NtlYb5laL5Mwh5FDY/sM0cPjQfPj8n/ZKDkfWWa6eyiE fVDTYyYHDrXlzET43zo2jxpqDFCUUi/NE0VaQO/GAwTjqszpDaf2KNT4krFfBEoGkNx+2+P9w2dE cw7uncrKvrsPNMC6bfBMauc4w+PRMfTdxB+8HJL3F0eUUWMe7256/TozTfGjPWEEdslesiijpu3w qZaZFnHxgxrX+wkwB64AsgP8fzYaQNpwnkF/MF1SGTYtPuSvY2gtRmihW8ALAdpgLGXATCwZzwd7 qP3CVmHblnEP6iinHrtewS4M8Pty+7NFpWyNE9OwmfSIcmrhOQoSDFtsElSQVOME5R6CWNuiLXGi nRf8kPpFftDTAJ8RVK60qBh0AtGigzrjjVVD41xlI0JRP0DzQpwR/r/1ATCOEEdNm6G9vUu9ndAx pfms6r6SrpD4d97PIcgDoX+vQ2bWmzNSlXpSoTMhQOeApDkKpX/RgqqPds4gwnOECh/yGNyiAe8r Swl7DW6ndn5VPB4kRL8AjOgW1XaT6hF1qaFZBA1vb3+QA6lJUL/6MGDzWICiLjUYYbjlaaADIPAP 04J98pSOFm+TZj6kY5rkq9GkwPk6qUlpb9n58/iMv9KhMSmUy0UdxlOBLEFYz3uMx6NR2b3sNZxF ad9uhOKkmkrLw2/7p3I9UTFmIvgc/pbFSbX0B9r2xYf25eyTNOk0vBtczSgfONlGHyjMCmHUIito D4VJdRcniCBY/gwGNAcAjZXOGHvh+aC4L167yvoL+lTDu7AjTKppDytEMM2rzRgeUPx0PeJBLWRx P+I+NbdG4ZEtMR69ZdAwgKgsNZggNA8zFSg1QJkONX+hL7A6+Vs6OmGfmgKLcKfyRaXZWmYwWE8J iMej9kf6vs0wFti3yzjDPjXhQ9mGiMh4p0eozuHtD5BeGaH5tzZenXYc7QvSO8nKXAvKFVAPRB4k 40/yEuFeRjqHQdDo3zFD4EdRVS+5zuRynSctbU1SFqk1PB4EqH2DPIEdr+ueQzPKqc8/ntAYpYkU krIArWlOjLjLoA2AumyG5MRNpouwelz8oB5Q6ka6dN6ygsZQN5AethB2XL+K3Tzf8RlRI18dfMoI c1GAOoZ8RQEqeDw6h8bXOwa78oa2rwUoyqjR49tVoKpBUYvbYezGfAGF3Pm/829qIlhjvnifugC0 nqYK13NAi8zRgMEYmJniJvLClYh3fMhfbA6U9b7wQwrMwtVBO/koHo+kLC5DGm8n+C6Ew1mIopwa 0BBY5D1KcM1JU93oeECgNTupZ9ymZq5Yyl1umxoiXvjFAKU+VXijsfCCxixQ/j+AibvhEgFo82/Y B/sntugUahDqEQM4ZTwezOzXN4iR1IdxcZ4zyqkrwfjDZmTo97ohPDOYa/5iirgzyqlp1FHF69Vi V9mpxwA1X1KyOJcyucJlwFG7YTAkz0VD4+NkwYcEb1lm8yO16Yv1GGeTjTEor3g8mCe2b8IL2PKN NrCKUNimXuh9ZMPAANWwHAm7vI9/yjJPqWcE+zBVps0IcbEtBPe6Ugerji0hArxlHRCFjiboKg/t jm6LM0qpa1d/Wtiq+WCrxnmP6Y1zfhk8HvF/v48hquvvSyKfEewDNRGyRK85ar9lmXNf8I4tv8ii NvWSGMomXYGLBQj4x00iGWb2p2o1Pw8oG2D+h/v+EqSptjijhDoRjj8TpTpnyuZwjh91i3SXcZHF Uh/fdSvRXg+0akYJNSjJ+LLbzuk+TMgCTK3p6SLZKdxBoWqeQNRU69LiXerz3mQKN9AhF6ofrFtx 7jRk0I0Bsjb+1neNGEHic/Tqiw06KBjG7tnm4xGyyltDfB0hT7wvP3pGqA/NYmiaqlM6b+98UGzB wObTL7IonwbEknTE7ItfZOtsfJLHUHGAbMdJ0ARQ8pRn0LVBNWJN6mlfNsAJaxYNiIcthhyCESWT xdU7Hv9fkEMZP0q5vM0ZpdNAl6xZd7JDiOZajFDNbhSA1ocf07HUB0LTC5VNuXiyuKC7PYtm9id0 Wz7Mkz407LX1ixJeRd/1r1xoVDZgudi0dTXJxJ2Chl8xSha9RS3T6gWUyFVejLLpRM5UXsO6i9vZ 0fBn82x6Q3aBW2hFqI9BfaM6RGwd6ZnZL1BgmqataUExh6JVpdGzpuin/NbiXiHqg4zWWeiJx8Wq +p7FMDsXVcXj/6Il6uzCtXcJ9ivsUDeKTQ9LFkt3QaY5+tPCR5qlAIWoj85ksVH9lYsXZOd/PDbm 2Tgr+jnatsbRKBoAiWl7X9wQNjo+40/lvKF6TOpYfFnQxFL+d+oCPP8vVS8O7PHZ6cIXVyg4jXcM P7QPOfb1LpvGL4P84vIIhbAPmnM3/vOBByITl/3XAlmVpHsMJ3E2vSFCq86xAla1qy/iJcJnBA1q Nc2k5j6umvvATZ8tmx54/F8QYQYICRiwzBagKJnu0vS6ajFpXb0hEaa1hYplQisEUVOwvNhOGv0Z cqxWKD4tb7cCR2s5TqJIrUbadLPgre8aNai5hRotS7hYY2hloYggdITH/3UI8R2jevXOfpGtKJsG /B9UheqpkPmWA7Uyr3PC7saaWjEvUTPEPX3xif0p7CpLF17IpZI1VPAm/pyEuP3wBNc9tjmwXxHm QxZcfazli87W3bpSofONJx6P+tO3dTYuBrZdtZgVpdPQtYIFh2WL9l+04NCUg6pe09ViViz0weNX hJf0EF6gvoQ/hRCdn/pciYs2JYDk/0yoXmfQsN1hivCzFcE+lgjkmWoxPTtUO8Erlg1fELPx+L+k 3bljJmlTyTtDK8RRZ/alDXV2jmrWZJSGYydejY9CLRSEKEyolS++1PULWhF4DYU/wxWAigNwrXO7 wGlyXLICXeTwIUGIukyBuhyVezNO0CjyWfkBgBuPByG6FHLrtCWSgu42inJqKB9DDWX40J4xIq5B Tt30ThjbxOFWCKW24Izqy73OAM5a1EBCA5EbFc2hhu4DhGMvlLqQWo3P+CshahKHa1ccDlOC1JUn N37F4LDevwicZ/N2QSoYoJCdSIDeLq5jPvZV+rggxvO5uMWoRR32qClDkDRu5eKFPU4yKJ7J6Qa2 iplS3YAUw+AtD0KcOiO0mr5sBKXmgKNS/IyLWh8TCAAyofqqeDySMr8NWOaXSFWJi1OIwh41gLCY y/gkyNXPTsnf7qBjJZfrjgWpRZsShjE9GEZMVqHnuMlRqgALU1EHOnRgnZ2LZT5Y6jH0ZaOciP2z Ygpfl1k2z4U2bLzDx6NN9F12VB6eF4G2o6waBdU5A5djGCk6q7KjPKa3qVhKtKMetfzKzxHUfPEI ncPoFGHo1EM3pqLLy7cMXXyYe3XOOaQmzB71jrLqJoObJAu35Fxs9P5ZFuCA4eMR5eW7iU/bGKhR WIDes2rpQ2xDUoEDPO/MvtZx22fXwG3/7eFCBWJbPEAd5og04c4glg1CQwrGQuCVZWNN2W1GQfwd gqmrpPBb98WwQ9CkkSjTHng8OKp/De1RmJ9K+m6hEExNVMMyCQv0EfwlA7P2ThNdkHqHWTW3rERM tXhKdM7oTAUI/G2QdZFXnn9Sp8J2I1vZgTHYv/iMqH3WBRDOvjBAmOptlua19I3HI2pi/qpcM313 qt9kO6Qmnu95Xl3T9To/fXE4/krjyi3DnlsB+hv2UWa5i7fPZgNnh0Y34NmnJBcp0Kx6hvJoIj1I gyBeZTtKqzfpQG314otespylOQ45PH7HiNFxt5A5B/6Qe2IhCqHUZAWlfh3Klxtufoua92b6eTuE UgtdZQMheWQoIUrnVsZJphD1gmoM/n+oGilV/9VA6/ZdI9sJyTSk7Yv2UD5np6alp7rH4wGq4Zce CpxWW332UJRUN+HzqqtWpeusQMam76Fkl/0OYR+ki7XMyoyLI2Ng80TZVjTQ0M9nFx/KjD8NSQFb fQbGxwWIz4gcbyTrJUWC0V2U6SRDM6sySwuPR5pD6ysbQuewixnEAEWgj0TwWS+uOTSvZWumU7MC RJVZBigUz1uyjlQFW/sD+kinzKhQZsDRfDLYRaHTSZ1uNNEmLUQ0je5d3zUityZ1P5YvCtDsaWSB ElvB4/8Cn0nvgxv37qBQ76Psa3ZDT9t6dxCBFDblIP238+8EO4jovFN+JV+8wXhqWti14hU7FRpu ZYLPaDyDHpjU66asS+y7Rqr4bE4v0TnWpXPgTeH/D9TA8XjQP5vfbAUYmAN26AEKe9SJpCA6j0mU SS8bBM2bSQ7BNWlZgGLMh8Bn1LLg4sc0pkKFOnUUW0bdhwCBN0RpeAqgGrJqT33XqPnBPDoJFZMc FXNqpDyYF+ET8HhUtq6vAEGIq/eL+Nihcl6n2PJMFiDXjsEZlK+7y8p+SEeID0nFkMhmy33FBvgc nV8fBraZDtvwrUU3Z/9giu6ZEKccO9b6YItarlvDXbcyEYBLzhOl4fH/Rc8cOk61XlOynELEB/GL YkVTXSdfTExt3et6aNpJ0DyFYh9Nhpq1+2I1GXpU4kOcbHGgzUozV3PAQRHc9oV4bgoz4VMC862i TJokzgb8u/my4Bxln7m3PPkH/iWWa5jZDUrSE6eQoIhefpbEICEgpANTZKK7rvn5WlfXPMVZtYgv Cle5tA46GG4CSujRsYbkmejNOvG+4K95N38xq8anRAw86lI3Ahy48FPwbrcqAHBrfD6oPNp3Px9E izZuTkRP5uAx1GbE+6BLRLSYGmmQKPWpImT2LEohoJrYqpypacHFK/x2csVzNrM4Ay4u89Kv6GMN GonTA9rdNbN93b8E4Puk/nKHuRCrwIzxLm18wBtb/ANBZlTKV3ZN0sIsT5jCpvVGUp2rYfUqoRTS IBo2ZgQTw4WsSC0PPt/mPXdRmBrmleeHrkTJ9HqSGDEVIcI+FpQU9tPXX2Xb1w26snv+aqc5jYro JElWwhg5hY3r/i3UhF5Mw5TPoxRl2KgA1mYjga/czM6BWQRuGtVsTT+aos71kFNrVoqdb4rdxz63 LLTaEaUGSj5tceAb+jMhy2xYIraLMnWI8CmRHwWPpkYRBy4qQwDFIc70fNfM54M65O4lNmsgmPoz H7RMTmH3+tTAi3K/olMBeWA6MsigvDULBSVkAfhDUVuNcaqWT152+QB7o21qaUJK97wPkrSCgd3A nZpVD6jxmLZ93b9we4AO+6LdhJJ5iZSXNp9/j1NNnksyazgbqY/1RClsYHcyO/mmLVJfkzVnixqS i22j1DxKUbpt8hWFqSIXL9hmPRn2kPrPCU4fxMxk5F+r0tp236OJjqz8lEgcjWzDQVgRF0PNnAtM ajDn1OLz/6JQiw9DWaJ+oxSqgdD+t1TRO7cJerLfMEjy6EIRL3/nwh62RiFdCXW/USIspK1K9uIJ Uy7cdaX083aD+fkDwpJlTPge+rZBVbL5rlUJXFFjzyr/RrV0KCw3Ph8lA7888aia8ehd5BTm3ZM2 wN3cqNJ8dIkImDa/rr3dFC+SBBFe/wVeNDv7U70KBtoXobSFhoqtk920bi4gl+QUa1ZLCFwGDN0L 6AQbePJaTl45+HzwwpULfsDGwxjyvEfXKieFreyNHUSndBlSeYdkGimNip/gUluUol72MFdFed7O q58PJhGEh5vO7wlxeM6MOr8rGrvDhb0LBZn4IVEXiakSuxtaLP0+5bUuucHEMnSDad9mJ5R9FPqC QQr9FSclrnTJUUF/Oxi0frkH9sc9MASJWH+E9oEtX/tA2MGcf81MouS3MrMauglXMEpqKZDLgJKe 0jkwWNTZS25V8kVBai1LVw5NKz4fVXHfaSWm8r3cGX8OLRYBpNyJ1qpqJQ3HYqVu2+sq8DBIEVBE dh4YJvtiQRrj1EAYQVqQ0tw65fFWIEispRzO5982mIpIrbGsu6jbdorDNNSPLJXPB7l3/kXMx04q 7R7dsctik7eiKzSX2wxIEv5mMwCSKGwG5NBmEULLmHetu1iQMmgfU05QC3t2EpEEJWK06UH6bJdE VJp922h0pF7ksGUZejGBTUh1B/RLcmC0qJz0ybxh5Ac9nBukqLG9hohWyzsmrXiQ2KZRkODuYUEK M28Jzcj3lYuChP74+eYChk68bNnmTOALIZ2URIgUMDjGzoHVomtbDqjv28KdBB/BLin0k+Lx+X/N +gm/aWy9XcvX0GwRe8jJ5+yamHkFrjceVBK8qvdMCkmNpJ1XEfe43J2UoaXSqqwE96xMAhb6LBUc 4IwSycqTbpamoVCIdL4HWwOAPDoQ9iSqbN+fBHzz+WBSu34hs5CjpXLLk8BvMRGahQ1UvX07tzff MjsnihLQ6dpKMWqEzSUyyrVYlGqHJP8mem1BegC4/QHNkHOyANeJNphDj5QEBI6LOJRoBtcE82tu XAH/zqX227n1+HzkD3OvN/KyIQaQ53MqhczGRHz6dkzEcK2HuSWHtfxUUqkbmi6uJLaVbDnLbcF1 8OoS7gQ6MZ3NgAp84M47WRLFfmAupGOpJPWXQttF6Vq3pSJu3SLubC6ZCgKgyuejs/t3Q6BjVPLs pVAzhHupXd6VZtqk6icTLARrxvmfOXBedH/TLPc8LneoPfF/YCf3fNqphjhN6lAAglXTD0ETlgWg Q8QPifYSkep7bF/UygX3gNngSQz0fKSr8n3BNTCbHtWQHHgvivRwjqbUbfA/xh38a4Ar3sx0D9jA fPF6UZc8ffEWXIW5O2TGCZkYdMw9W4lKUIBn09HZMUhp2teNROTZo1ziPazmCeVeAAqygluZz/8v AuAgANaHGZJDA0Z45IL54CanzQUvCRD2FhyOLdtKIYJE3YA5uy+3BXeOIABtDIW0G4fbA7V1R8dP PDrN3ZZ/20gTlB24og5c2XbDnb+/6YIBbmfj81Ft0r7eN+i8nlf+bqXQhBH/NijJOyZSaBKz8eze WgLWV2Vu6MK4qNQIzSVf7H3DrIReMSxN+mJ3ekArZp0MAHgpEufXg2bLoQ3jXHJ835pzb5e4XiNl YrWQZPL5SJLPLzjNeoF9vrKXOTRihMTYghUmD2wIrHhfCXT78580tEDzxIIUw7ONUnwX20knD6gn K85D2FrIzBLPhl5xR2OvPTtpNf+2f+Gz2yp30cmdzn5N2kll8vl/UYqVPPIoXLcXEHsxrkSEtjq4 a4oFwZY3Jbl4dNPcXO9bYMZ4t1Ibd7EorYJxUFIvN0FbFUIhZ3cluv0NY6up+5arf91I8UlyRnKL QydYPMWTdVXx1fo5oPgHosR7fOWUHBmOcRPvwJARYv1EAxQBbc5BNl2brw7DtCMPcJx2DhwZr99g YTrAxTE3BXVvIzH0nDuZvTwIYXa6sYB8TcqGXXHbvm4ECEDxdn7BrsU6SwmWNUKQltz5fMSo+eYc Zb7n/WbeoSkj+jFgFxtmouaLu6GNvLVM6vIrLnBl1PfHKFeUkf5QRtR7K/I5B9W7EUIK6z7k2x3e 6nfkPZd924j6WMhYY39pYjrVRYlAN4DgZzgh8A9Eg4FfBrGbgmw3Wwp9GRvN4Ftxp5RkHl+Qfl03 SsUN43JozLh0MKHNaItFCSfQnlRVPCUECrwt8hq4DTA1A9Htgv6LfdsoW+LEOxuOqzvCLeEW5r/7 vHl8PtpK3xA3CpSM+ZxLUeLdqCBWzewU7lPVMW5OPaZihtvBB96MqHMkWzy2L1aeQDNGgsRsB4CL TatdsOPhSvRT6KfdNded9m0j+IQmceovzXSD1CHvoiBtPh9Z7nzLrEG24LvdHZozchCXaCsm2dni EJw0rrMemENWwwXujACT8kVLEsRMjyBmwUxpN+mKwP4Yo+uzk4Yk905JRCuFxvfNghRiTPgMTNN9 Ud49UlnDeJCTz0cyYt8aWRsI8HwloHLoz6hCt2fXv141e6HL38a80aj+2PWHgjwgs9DtnFbW/nBF iWqfPE0hzjvRD+A0F1A30IvrvHJ9qy77tsEFt6xnMnxRobvQV+A+2Dy5Q2mRGyQ+h8F73xdpkgOT RrnRoP3mUJOWm6O5JJ2hreQOcjlwaQQYRyRHaYDPfvOAfa7+JRL/SVLnIDHupJQYTze20UkwmRp9 125fN0K3E0/axIukjST30vlDUzLYEArPoVHj79ZSs2GeRyl0aoTMKcjGquGAWPLJSa6moYW9NA3z lkOrxmEuV6354rPKnDFLolb4OmdAnexjso6ukKxBu+cOvrt92yinZEtJDtZcDLO0i2SM1e4OzBo1 n/rKlZBMoN/vQYoSb0Ctl+ofNSl3vlvpYfd3AgO7/tD7CyeN69r0wrXnhYMl6kmzs+63NFve8r1A l5sqme1RXRvdvm0kd8QsYGoy5dOZfGqT1UWNbXjhQsPG33ZylY2A5+iOHRtJ/MtGG4HZefWdVNz5 AtN9v99Cy0Y5O6QqUZ9arqwYaHmDZilQez7ZIxsGhQafMwNuBR8TB3cR/ZZD00YT5u8SremOhM6n bJFM17lNB5//V5TwP6asZ3kmlaFtI9ClJ9k2Osm3blabTpZo6SLdc+zbyHkIfTFt8fYbEq3VKQKJ wStCSM2jXeGKCojguu66NvUOnBshDiYTa/Elhk+NkYypL9pq1rf8FzZAtCTcHFdJNP9h3bgphpAt SsWkfJAGlMv1n9vBXYF34+0stXSXG6VeYaQmdXVa5pF1A/+B0dAjpna/4d3zsq/7l7x6T3KaSe40 A4KipnDwQuLzUdrtZze2zeQk5mlSBg6O5zRM4PtTaEny6s1LuJMhOx5n7ZI9Sv9QHZFfc54Pk7SW E5tBJX6ABDbmsIgSDHgA1j03HCJo1JvU7ev+yd9qAuZeFXfQMjPpxBht8fmo4/2NM6HN5Xj6b6GN I8Q/zw03p+2ldb2Ik5oEuuGcsZ0DH8fz/2gAmivHR1kaqOoHVOgQ4+SDrdNobdKMuJWpY55qXz6r XN2+bXTDTXGRuy8K0vltVzIwzuDzQXGSfnVNBpUZrnREDqwcUegmlrfDupTeP0Hh3rM3vGfxrkns 5aiGd9Zeys9eKuNkf5vmOzlBVi7p9EbODd9sMPevFP3ay75uJAvJDtxsd9G51FBMkcd13l8+H7xx F94tq6yCbtTNvEM3R+hrn3wPw9H/V0gtbReNo8KNAhLF5PpzaOc4s5BdTMC5eDsAbuuTghonSifj xtkDBBzeOBwmudMDXH3K3uzrRjhBNXOlO1aGs7c5vGIL7uSvfD7IKe/wBE/mLIL7kwqELe9BgdEi zzTYnGW3mgOOI8vdGu+ThSlOvSvB22n4ojC1cwQlMP+BzS25FVnvEogICZQBUsy6qUBf9nWjgwlh KjK4fvzaMmiHRR49KOMCV8dzwoxfnDcgfQlLUZRiV8eN46gvr3XzfLom7eID1NlFKhDYOkK1gzPv SiAzF6910Zrk4Qr93nTOaiJNELCfQvx/vWLHgyT3HNg6+undRxPhpKnnLcslMryEDwh8HUU3UJB4 nWEMuNoTpNAoPVF6zOg3u2yTIaF83W0tscRVkCKY95pCT4g4sL0dvSdzCtKT0BA4H0Cn9EJTxMpp KSoEFXFD6VLg7KikkD2lu1hD4LzQnHxDLYbPB1fcL5Q3nEFbehKB0NqxkrjEg09Ik9p9J9WHl7Nv aynwdkyET4NPsZMvNxE4d1qpkomcZ2NRsLVsViwY9FeqsYo/qf5b6O1YqavV1RfofNB4ftC0pLbh ebf5ByKU4C118fUa/lB5EKeBv+P5fwyTtCJVq3hHgNp81oFDsWJhirVJKFqb6SnS8nj2EqozqGpL /yef3Sv+EgfzyCzIADE7mm7f9i9+Th8S8R8u4n8yycaRGE6+yucj/Nv3qURvcWmbK0ihfjaMVKfa ABjqotNjhiv1UT7G3a1E4A+PR85NEglKOfVbxk2gBag+BizFuQd4KmlcWTeYwO3yKIEZ0If8lXmT tWKLat2TESwpkTUg4UOTx0fbl+8WDqT+dOBCl0ecmSe7N9D7+a9abcbU01CiiZelmNJWDmwehVmB YsJIvnhOeTZ50YAkJ+yrRHhALewLYBjDX96xJtu+bsBkkjFN0wD8upSBrkGfQbDYK59/P7vXHTFR uxYoQeA4PEph5p0Tp5TFh7r9KiCLykQpIM6iFaWQUMnzKIt4ysWj1IAGNdMMvMUwoBu4ueEuD45U enyLCXzlp0RySZzEZUUp3yidd0F7qZydy+cj2FL/uuHObsY08Ppf59DwkUymVJsXKGm5QutuRtEF afAWKIHjI7RwWW+gUW6LDwYS1B2bnHnzrhCEoGQ9WFu4GFf6jyxZDiwffcaEA8kXw5qcQ1HqjxPj ysDzEYCc7zwAE9n+QOA+r7lSl+wp7h3DB0zJQ+OFEwNf08qabFr5mil1YJY4FRC8uz7w7vPnzn3f lzzCa4N7DVNOpPIVDlAc0DmJCZnSa57UXcdlyiici8UHGSn7Sm3h6ZfodOiz6mrLzLjhyNnZqBMg IQrOHmQGuDfNMoQA3rMiVhzak5e9FARH+JKKFrMtHpyTf3WqR+EsSm2I2zUxH6QxTAY1xxwPDPz+ Gh1MTJhFbk1M9o1OPWUNK3hQfT6v6VEX/FLBEO+dVVuz6LwmRx3QEswByLjj6zVckOxE5+F2AYfK 6LymRtg6vOy7upL96UrOmSEnURKhkzi2qzgEwPlguvSDxpsrsxLO/ZoYdYyDCAmUwAQXBSe1Wpgg n3qnfV6zovMFZ8pfwamcPJBHieC8pkRdqdQ26i2To36B3CwITJpk2Xv1mhB19FiYVa+VfLl9bRhY zKa8EU5tQKBiuj34IqBN4sEh+vvzmg51EBCz0KS88DvEJzjbhty9cO/9XAyf12yoi1mo6GifAIVI +XX62wbRWQ3X/DZBTYyQPK9u7IM7390SxtdMqPtopIjLzuVG5/zMnKdWpJ0nd+bsv01yBtDJqUDd 6sVi5fN5TYRw7BSJiqt9RN1G/qPORb3INT6V7fq8pkH9scDMktyAP2nD0EPhec2CeqYTvAeFUPfu +L+sskMsgG4F7GsOhDeLnNJa5TJbv1xmT8qA94jhgbw055UnUTx3+jmrER6yXqZYbjRRDcKzGJcG rW1bNM7uM4vKdaJfPq/5z6/NQ3zk+VBaKik6r9lPF2vgJDfVo9P345V+O/3oEZtTTxAdkCwoKlp8 8UsdotkZLC1k17Bih1FPAbsEitDd+vxWuMrbIHq3NATB5WOL9a8h464SK4/Pa96DY2v5wcMmLjoA uCAUndekB6cy907qnvSM+uUf4nsnQT9Par7R3umSOR7NF586LrRfcbChcw3lGcpBt1PoQ7MaNPV5 lRJIZPm8ZjzdxVomQGu2KDpQbjQNxJ0/r/kOv+H8PpbZCb7Ree0z4qJr6VtwPbkuJKDsq3nXAyoR Eh0LjmU5W+dp7f3l0w8oBLbaOfrMcIWF+j0naYV587mzynRtv8Ja8fPaY0R02BeqwmnX6WS28xov Oa1ACP/z2mFEzmH+PJlISGyKAYqAovPaXsR2TYl6Wnqzzm1T7rFc2r49Ifcmfm0uMt/RPU1xyPIl DlkrNJ8hco0GNt40QNww9ThxhC5LIe7FgGtJXzU4ltUQMs2oepnavWpyrIbQa2OxP4hjP5Y3PFPp xyEafRCfwfhwpEnDy11uY7Hvq0ICsK3x/KIAyVS2sOziYgE6Lya1TZpg2TWRUlNAi/0ZAK5lMs5M pLbZlw32D8XWB0GwWtTJz+BnkZx1EufPO4ENr9f8da8DKV66b6B3/lqXCNeiFaFIfmU4ya/0K6eF rM6R61GEWEg0Y7Gl9NQTyFAm6cUZrKIOtMMoJG8RkVroAa4h7DQ+TXQ6V9kX8Qrjorvr/Ky9yG6n 8vHgeDahFpUUwL12aKhahN6zZglHnGvKgY+ZPG6dQPvpu8Ly0dBqQYQ2oXyY8PnivemElyypJp0w +KAKySLCE1j29qQ+S5V7lDd3wrBmE+ChOeABl8BIEmoB3+g9cYbS9q0qeIEtGP8mzw3fmWtdQnmI i5/Rs9zspyS3Ksx45W2SHxzSXT15qoVqcczjplRdpaofuooDguznkiNSCDBj6TbZFaaRTPCW9a2a gorQXHSHQSBFZNoCYGiYPc/uVzyFtZFiSIpJzYJgE3VCHnuz6iLXXvySX0bTYsP1TjiiELEynYmb iItCtOCadt6kyd79Aoh+Mx8Am73BI50TIoL5MlVhPu+kNZ5DOqJNl57dSaWIhMWj75VT+7yT1ro4 OM9BBBbXD71LLURRDi2XMKrOqABLjppJ84HOkuzFEL0n0dk6963mu9h7BjgCyMYkrrdTxWYKj2wM ACssZSk+Zt1WuvO8U9a6EHXoqxJ9xUXNVqBCRVk73+LzzlhDuZB8E4lYDMQTTESnxyjMpMk2btVn 03O4sWwu+5ng0wQr8e9EpzXnZIkCf1w8RtCJgBsxuz+n8s1ZkD/0gzcBYvU6OuqwjlLpVmVoQJkI Lp5Knz8rc5W8P+90NVa59yxidpDpzbE8QlE2TXdiUFotQpof0tGRwAhN72XgnPh3/rrPAETzxdNp oB0XbHzB6YM8MJ1lB5KgjpZgLpc+A9cDfdn3EA2+YrtSEYmLDTYwcOIeODnz552s1qXP+JUU4Xrr VM9ViN5TalxoSIW6wa00ujeGEQT5HCzDLiua0VFOPWjl2AftsLj4Jpp9LZxOdFI7VeXiPLawBZww 0rhAfh9rvOfUOm7hB8qKg4tetA0aE8eIHco1UVK9074vGp/Du08LckYoSqsx1TpHUXHlfshluOdl dedUyjBpE8V59WBo5vLFTus05rnQmiK0kS1uWRtAhBXp9qpXl57k7887Te1GaO5xF0YIQD5616DQ Wp93llp/dOnzj6gjDVZYfg69k9Rw43f2WW+A6GhrNetF8FOfUp3EMK+2rDE3X7yViAZZXQTGov8G KV+c1YRf0pEvP05qtAB/p6h112CFzagvVrQuG+ue7DR/3hlqXRjMrz70+YqdMqcKUJRWZ1Id+Ysz QHO4nHjO6x7UI9lB/c5Ps4QOJZns5NvVFzlf4eTSk/4qJ/E6Pzea/6jqkV3neTFEOoby0JcNSrMp n7CafbHCYxXCDYC7nZ93ehoO+nwrVw4ygGqDOr2FKOpGT+r5SGkMIWr93mXZ8dbnJWtGv34np1m/ D5j9Wn3x2uyECEa5rDzSoE4WPcAbPZQrapxLUh90f3jnpjEnqhryFF/UkF6rSPbiVLHt885M649T mBpDhSr52Y+hd2Ia3rKZvqRFwUK8U8NWrpYPUDo8qN95afj0pCH9qr7YWwYdv1SJesqn7pi5sXo9 B8/8IaGo5uEiY6Yt8k5LY+1ArHWzCqRZX/GcXueXlZ545uNRXv3dHILoY1vPORSl1Yt2fLNe1X57 t84eGpfZcIpXw+y/c9J6up6Xes2+ZHzaQOt1S4ZtspEjs7mzzTr5hhTYVOO1UEXznZPGDoj0xOmO wUXgqlNhqdFYT432eWek4brdfpcVqSQuaGfdTRSl1bAF3VV2cwxRuo6F6sNiE50ywaSO3glpPKpt opF9uSGCG0qRalaCoxyYRqXjVxnQ1c6gTtYpEU2gyD7vhDTL3SklXn1RiNa5L3US7bk+73y0LrMU hYjJE6YalBVQhN6TaimoogHrOkeUkxcQnTtXr1l2Ufp3NhrPalYczOW1XCxMP+9DyRKlz0DYEiMP xPAElCTTWtYHY0tf9v0gkirN2OqEbD/tIFZro/lTyX7eyWhd1nH2muG5SbHS4injOxkNj0m3//qC AiNr933ZXuEnTod5Vr9n1RAiRJoz0riLJURwii94g0gkPvmjSftLLJRyg+PWZmXou/4VoTp57deB 1qYMnNOEcAmynPMqfd6paOyI3eLMrVPruH3qdyoaa7p84XksX68EBBvudhRh+qJd9J5Vuy59zyz0 e3bjirPvoUpfoOyGVuOJ0FDOSNddTLbYqVEfjf3yzzsRjYctmx+ZKREX7+P3oZwPRLR3HlqXEciT EvEN2+ue1VFSjQYEDiIXXe+Ps4HkxREgNpEVoPekGi0QHESjr7tYgM5b1kHVY1KN6XkaRp4l1/ME a12NQ3bnP+8UtH6NjGi6qkUBQs+PSWqDX9g7Aw0p573uNSXbAAd4zvhOQMMO6hSA6rrCzlcoTvUo 4OZZQoSutybQcVJNt5JM4YeRr/DDwjZe2KOEm51QTyHUUY7R7XE+vWowifgZwYCe3fyZKWw0sxn8 gnE7p+VDI3/e2We/kmqOqzPfLt9B7+QzDh/XV68aVcdton1JZoNroADF8A4kQosAMi0KEJCIGMuj dZ6UG9E+oxOTD/1naDKj9iETpui7/hWg0YkRGq5bfpIJKFSqcD0p2zvzDP/U5DuIaEHqm6bll/07 88xS8ZNJbxdaI3lA+dDMriFWsgutvRPPmFcRQN1T88XP6Zx25zAStiu5U7sVbUbwpnDZt/ac0+h2 ft5pZ+yHExfUCX7losIVEKQm4kLvn3fW2a9jmicyrgNMhSxAUUrdrS67svTblbFoGeKy9CgOGKD3 lNq5HQPHri3+jqErNIb6sCdr2VsmPlUQi5NfEMSkQ4iCGO+Ms+6efLPzjqZFOS/4TDDtEuM+l887 4+x38wPR5C1/R2bvhLMuYx+Yy5p2mJQ3EKG5xqPVx7kxjul3vhl+H/Z8IAfni71kUGReg6ZyGHxA LYEHFUgZGSjq2/lgmfV555rdeZmMa7QYgupko/RLPy/u/LxTzXq+go8GoQKJihZvCs97On3ejIXm Gd0UtYHS9jdMsDNe85S4ZXiiLnXSydLX8sU20NlZaOvmbd4qI+mQRmenIJOYX6aOLDjeaWbY7MuS xOWLYfBbGbL1HL1+3llm/REusFsMnJCa7iEdZdOdYI90oeX8WA0UU71gj+EW8u8cM45cqWIoA6P+ GBidAxrqQnBiQx503rhNJh6bjB39IbhWOntKeOB3jlkX6Bn317qLJh2nytvqDS38iO/ZNFLN6hGS ptrARPG+YlE2XSkS4k0OVPg+c0113bp+uPDcO8HsHkKt09egSYxiiu3SUocIuomFzUELo8mceGLw kygf1DUNSvqywcyVxDKAHrmgYc5TaI/zI9Irrq+TLL7zy35N7vEYLODZCLUQhdl0pcBTa5YLueED 3Ksec9mWDRTzzi7rojwiCSKSczxIzpMxQGmOAg7n5AeWJUl69hw9UDT6mZyXScW42HeNziFx8Bsr M9rAU1lnwz+ZPNtx8qLPO7eMwyS/6/FODrpezgtXjNJpxBUu79UGHWU6VhoDKe8wYnCvCEU96qly tfGq53KbQ+wFDpZkp9iYlFsrmFOciwdnQ+M8SM0hEsveeWX4OalZNCBWYYtBgXfvKjjOP/vzTivD d7xVqwa0cHm62Jh3VhlOIuo7DvcFTTQBFBg429yM7TNw74BZ/LtJ3ROxQ1ys89Gh79OmKjLo++Ba gEbYOf0nmCm5P5h7gtA/76Sy7nqqXWDprpYqitYqoiCS5Do/75wyvqW/JvcZeKeeb5/6nVTG5unG dUa91Pwjtin+C2BSUhVJ31jkuCBGUUqtzlkF/88W732cWrvVIt3CemIFgggYsNAthDaG8AXKh1rR d/0rHwK21RfjbgB9wYTxpOafd0YZew/zK2Hk/SBFJwYozKgnXa6Z+TBUnD9LUhKmx/8PCK8JQJkC FHWpm/LkoUbjeLzUzmXT2qraRGin0MW4UA+Ektt4oa90MeeJ73yyfo3A95y+KELoxrFpeHYTH/9X +4wXvtwCHB/zziXjODEZxZURGkh4xQRm5a0ILdhX0L3gnUr2zIIqxWW4eEYEItJ539nHP1slzSXw Kwb9mHdmafFJt5iUhHcqGRtZ1nzNvtgeWqOoB7tOSv3OJOOhW7/qVmhstNruHgpT6oTjp3eHlksI W+hgVwdtlGQQeDrsUmucOEkn6/ORuwJ0Gv1FmoVxWjZkP4vZIFwu5XqpwpU6qJ93Hhmh94RPb6LQ uFhlD5FCYu/OLfF5p5F1KTF8je0Xz7NxN1HUpQYK/mwiy37WLOly7dYddZxryAQc3llkXZycgZnw 8sW7Z+e+t3lZ2gUzCRYmafPFwlgH0g5mF4ZS+fPOITOULwoOkqRnzTethgib3Hf3+LxTyPi++HXP /YK+ODE2ClCI/Ohg2a9hzql71ekj6WmAtPOWoZWrAMXIDzaHBnlkXBxAVM4vT/OmCjkRuCMSjbWh b0U3i3JVQDqbQ+/0MZum4JrP3RcN7Rfga/xH78LHgy1UPWPkqUyn9HxRaO/kMe48ImKW6cnkdJ0v Sk9Pj3pZyvjOHbtv2UQeZov3FwHMQxeWEcJgSOTgIi/Hc09ci+tMwMjnnTrGEwF7Z2EEaou2UAM1 T9SoU7q+M8d+IazwdrJ/v5rPOVqUU3da8nHUxNLVxz8AWJHYUR6rgs6/Ew1cmQhNgYfmBQ+tfhJC tNMo5HzurgHGtrnPYiMB/OGmjmg18jOCuz6xdMWdYIuaQwu6NDynoQHWopQa0KQHTA2Za6RrHqAw pS4yuDbyeHbOYUb95K2Psrz10aIOdSIHqGdKzPecnx10alRodDQZyRea1xFdheEZAOB5PQbXOqej lHpRJnVWashwMWQMPKKJhT0vLB7/19Bejuq8QD1d7HFKPegnuwxP3cyG9xqnsjlUh2H0+ntKLZbN ebkKVRm52BaCA/jqMEeDRFqDUirnjSRvECg8r9Ec8cn4jIh+KO1PkRUckZwhVFfk4F1GxeMRWLh/ vWMVXRK+2wpQlE9D1M890vm2ZdNHm+B6+zs2pvGkeoT7GJxuzEKWJhfvnvWzKxcvK4g2IhFmyo0s rEDVkhJFKsp4MeAzgpKjiJ9Zpi8KEFwvqyQaTsnRo3w6lfUVINT0haMoBSjMp0u5Q2iyXfiOEfWR HkzD6AaM6VGHWvcYsBi+eMHRBto3iUToefLIxYIDtRowDSiQ8t1CsHnhh0TtIWrsqo9GVUhrWFRo +BIJe+KG59+PoXE5HeqZAMfasfksSCEXEToze2fn+NYL/CjsRZhXYTVSfY9SagmkV1Wu9alc56lA QNyrTKlPKYWBK4oOzLuRG/0UWYHMR0W2Ryl1IVl8Fh7VXHSXgaGo2vz8H/F4VNvnr5SaurZl+lHd Iz4igALnlDDP1FOgpfXjIk02HzrpEBp6ilCYUhPO0Ha7ix1E8uhmhAAWPukEGXfwfgflrcOa8172 bDL2qE+9xIMGthIBQt7JfxVU+/hi93Pi4/EgQMMve459gGvpvEwVoT8zahB2rD/UtsOrCtTTLWEE NYhlWY9wH3MYRjj74ihP2EDx3EFGjduN8rELRT16qTj97klU7bsGt73ELKuQMdVPog0vxi0OL47q KKP+T2XPJOM20HrUqIZVyS60Yvh/50kYwVrrY1PvREL7uO0VoDijJkQviX93LSg3QDFV/OiaT1hw sVG4AnucsiIZTdUrZTn1ZaP2kKTi9l10RTdso64ITTwe4c9uwqi5EcXCvHDt7ym1I4Ub2gK87lES KERrcYZIY8IOezfeZlFKvWnbiNLaF+/lpwHvxkx+GZpPtJw/G/RUN9SjnsVNLQrFBPEZ0W0mQdS6 fdEdn8jQ4m2WJx4PKvv2zZ5C96E99LseQakhWrK2SC7wt+SYiy3G3Ls7N6L0tD0UgT78Nitq6OsX 1UsGFd285Lx7ruZKtSHUtnDe3VIBdiw1jiR8yF8wz9H543PRHsItqITobAk8HuyheVn1dKIlkWKu e+OHWXWjE3hyd0tVrrTZEQZdMap+EEWNaoKmQEhMvnj3A0KGvQiRfw7jk18TgLaoLYvxQ6egHXuM nWDhHgE/TDE2sZcvGUmeRGhUa+q+8J6FNMX6PTIDTAfID+9+jCitRgsZs2iXQi3Zpx05G/qTxihO kI461dITHomjs5GWKw7ClqmD/ctpxzmoS2Fxn4GurZjioGQQrUNTus+I0mqovpDAeRc7qzGYk9J3 zp8RptWjfJ9EOFtaucoVI8qrAREBALb/uCijW1mky1kARs+wMSPuUxMKqwi1J0ITrenzTkgrFlbC lKw8adKAKcr5ZTIEP13XCzfUZ4Q0RZb1V6ABRj0aCE14cFCMsiFGYad6za+zqNFS81LMRoynrmTh GZ66Zu8ynn9zSQ70zI6FHVGnOlOcAU1pLUw6uInOKdErcQToEG264Z0oLvzYFcDg/lz48AHBZwQ4 T8nFTw0VZ7X35WRXVMiA+XnnV/zntINt2A77uhugKKtu9I6jpZgB0K4sMy0BjWBWbXQ/oqxaB9Gg ACyW5AECPqZD3YM547nnk9D7AAacAxsk+0e6GsgRfMZfeHMNE7UYmrpm0YTaOc/weHQO5a8dNHg9 XET+iJLqTkR+MqyZu8gSb65+rFSqiuGrRpRUy4YYmFdfniZs38TXYmDW0TSgwCCUlmDX8wMY6KWX oZOHD/nrHIJlFZeJ7cMQgTPAYQf0VvB8tIfGV9IIwRkURR6iKKsGboIoSeNOrXG5U82UmZERnetU IQqVPjQoW5JfWo+77jmEGjobrDtKOlkkGiCIHa4wKuBd+AfzGnxGUL2qQ4QCyxad1OXc80V9sXOX jQhMDdTj131PsXE0CSxCIZp6UsNr+CZiNm8iw/1Sg7qr5o0ITV0omwulbV/8uge6tcwk/+GGQauJ oaF0RDu/XJUh2uPiM/6alwGY4ovesjSTTNFguYzHg4xofYuhQJm7SkaUAYr61FCJAWfBOyAPFThr hi+2tNsNjSipprTyiXO1hUkZk+p+MoXBr4NjKGG6yADhPAU/aFKh0l6y8+fxGVE6xC20xZne7oF2 Ct65lPCttfF4pBbjGl7ik2PMUe4waERZ9cjqMmYvXa/wYhrZL/tMqUJGKMyqtzTO1l18cH+SoUKp AwylT2QW0oKySJ5Eyy6jzLeTemsPhVl1k01sSrrKusPNT+29dTec/Yrng/L+aaPhOZKA5/Jm/giz anjGw4OTxw9UvZuDG5LZxwMsXIwbNMJetQiuiQAZLl69nnLi3JiogXIZ4L0kKjIBwN0x0ymcDaub vyWqE3IUCdHDyeaLEcyKKUWcLL3g8X91QPSKAt3e88XqzbBbXQEA4f1D60od2xaj7PdZ4pt2YjRj rTwOFUcdvjiI6OQ+k24F501LAJUTWUxcdasUh3yU82noMUP8h1KhxY4jFx3WE/h8tehbw+NBiNot PLiNFtpJtMxQiKK8GoUu7Co9RGVmL87avN5niTzFzr/zF87qnH7bF+8SjYQLXwSqxP5ZIxTtvFwd c1f1jNWNpX/ODGmK1tAXLDZdG/RTundOLGDShsf/dRgpAz9/Bb+JBSjKqlENberd8CzKpQ9vNJKu yrOoZJ+7zpilyABR/ESLFx4dFxgOIFSv51FCQgtasohNwXX0UF0pSzDDfrWyxtSbL3rPCtRB2V49 hyAejyLUvo8iMF96m34UzSivRsmxFs1RBctfPnhtq13zU6R3CtHf3eq2RQbeDxmYv28bks5Dsg7q CNjSShvPJq/OwWMWwM8IIiRvqyYnprbcivGc3CQMIvnMeDyY3U/fQ9L3PH9l5HsORXl1pf35NusX VGbZK7P96KOgXtM5FKrnVbWHcvbFzmrkvLiQWd431rH0zIEPXaO6EW0YDZa/7MsGbxnnZX2JDLyc PZIw1pP2UukVjwdzxdtHM/vPAv08zxpn2K1eNF+avofyvpBYymiqNgPxW3sown9IHxdgcl9sD6VT eQAKq9FrAVajUCNuUCNu/azyUPDowDijvLoK75lG8kURWqvJZPKcnAOP/6uP1tiKZYB8JDQj/Af+ YVCaugrCMzn+o/s5dHZB9rss6lYvius0aelxsQCd719Ka7tIi1rMa0gvIiHBBJ1vm5GlKcA4o7Qa /8KTTzf6nVL7WdX9uVMonH0ub9xlsfLHnbxSQGZy9OpZ4ww5iklFffIW0ejWIsqt3xbR9up1hkJ6 VcIxNPPkcltEFfygRSjjybAn/GSQZ+ONQSLdGCFr52991whCJLL0qL7oKjvpuV7rujYfj3Sa6ld5 TxmJ3e4xFOE/0AU6aXXz/kctF4bWHiuh6urKM0qrB3PFXsh94eIBgmBDWqrMTk4IoWXOzKBNAYgJ 3ee9WU3Y+YzS6pbMFL76ospjnMRXmu8nR8LjEYaofpVmmAN+TRVnSFE8v9qa3iECF8+5C+d1MHcz CJcle8lC3Q+OhGZKyxdPGCewLAQzpHU2V+pCyBBruQmK6BcwvIq+65/qyjTd1GIotGqiVlCAwuMR QsbPaWZOwLJRXpQBWlFGnaaUmbzHmG6PcVFoyRrVXruuCP8xqnw6avLFt9BA/4nqJyCvofDoYr8g P0K9vS6iWvrcK8R/UBxsSWeZiwEZYZMigEyteDwS1+m36OC7iZvvjl1XCABJMuu0fJFABJ3Tk1Zo ilB1Nc8VJtQ0C2iFNQcXv+vRtxxg87GVnwqIiDDpwIUCO8eGNMAgRNjp+Iyo/cEG9RJOb/IT+bbs Lk7KOfNrwfMRDO2b5gqQQkvVX7IVqlCL2pFchZoGiaZh5aP78/Y3O6dXiADRlCMvUTsIbmjsMsLU h3KtuMk67jW+ZIUYa3Dut3vjAdn10/AZQZ+aohZLPrkrm9R1HmRBmlHXwONRQv3dyKd0Vr/qQyvk KBYpxrh2TOtPB+1RosaRrQCFgOpulI7qi+fTp4ZdcA0i9yXhPSBcmKSgXY3A6RbCW9/1T624ofH0 SPeYPtfINuxdxePRKZS+j+kiW6X7kkUJNWDxOB2SJUMEEyoZolOyXrLkIKIVchSZ4lTRoLl4MnTe nFM1LSoKV5QfRqA69ReQ1j/zcX8nmwWfEbTQplCwaoFstyRCul+UT59dgseDednt5LOFRjBse/ZQ lE/j9V2zZLflkE+nbDls7AH+1DYE0QpVP6QMm6UMm+8xNDNeMVQdPzSA2wvQISCIGmBW0C/kBjLb KQLRVgT/ME2LXrMvllAX8+qupxDG4xHK6pu2MMmfusOOFQKqK+mbVZNWQMKXdfJnfTr5QHApQmFC LejQ/5WwqmsNGLFwdH8qF4jsYw/Bo6OTOZjGpS1s/7J/QYh6o7TwWYqxg+bYJKD+nAO74fF/0e3V hyWiut1NFGXURTXH7tZjTHl6/0zJ9aZoJbJchihEVJtN9397HxBhwjw9sebY+aQQKEsKaS8drd5x EdUlzaHv+lfN0cu+i27tAW8Uaw7xKwYn9ZWG1SsJkNfyieIKWYpDebQbveb0EDvyQ+xYpq2zYvgH X69aqy/3sq9EVFEGraPLz+5Zo8ZlwgRLfrSdEVpNXzYwnKA89ZThxHTDiYITgXKJP8A54vEID/vb jwOC6rc3tKKMekGwsuZrOZHrTRi7KX2WVmAUpwiFGXWTMpNcAufjEgjQOT2D0T5bkC/JbFODLjTQ veZQxfOhMfRl/zJW6JPSsH26LsRJRNPSvOu8bXg82kO/0qElooVFaEcpNYTG1yQB/D9k4NqspYZG /rCUeocsxa23i3uIi0UIoksTFl88h05GRMfFMoEvp55rp26M5IXZpN5RSm3sxKxjKLvCeYGnqEQt 0uDjQfesft/29JHZyVvU+z2jdgfc2c2Ga66rj4/+xUUMu4DVjqAfI4l6R34ZF99C565Hs0Jb6KTp WaalFMGYmEqTPWVXGQXyd4iplqhF6cUXww8NIkcxMNsDjwdX2WVPaYY0gFrzc3qHmOrBzrQZTezK G1Qv2biU+1KrIT92nFHLGHDcxZtn5xoc5IKBT35u/k2E1YZyXMMrTX0kw8bgxsZnBAEibmgONfHd poBeB01ylaVvPB6pfvwaSmekYJREUoRCjiL0KnPOpl9VgQMXLB/kIG8v4qRQhGLoh8jSlln3e9mf d6DgauPYnmVsp7sLZtEdKv3Q2bujIF5lO8qpTbCy0Gmai2WMbem1bifZwuORuPD8FSK8ju02GHeI qCb4oxSXOSfNRsIWlF2VuA7+UyGKEdVsMOoua89dNjHE7+eYMImvJmOpmsiAg/VW/mqf4UfBZ0QR YrmxBBte1j4A4XQmNXdOTYPHI+WPX0r52Ir1zu13KKTXSLMf1yCo3gClciW8YeWqAIXQD1omdmni cvGyDBcbLhspf5y/xBcR9esPXTmbiGbCV1V91z+hnuQ1alHvAxNjylIAhIzHI5W4bwMlYLl7SzdA EfIjQZHgtuuRmLa7g+qVRqFHCgMU6uip85okV5keucp+DkT0OUjtAKsZ3TIkeNhTaNpPeopoIt27 vmvEcpUmSrqL4asWbHx+bJa4wxb1BaCxgS71+Uuf2qHwx5BGnLODWJMrQhyKOwJtiIG3Y+gHkVVb XbT9KHxByqKfnGtrUgavhaa5PhqgKOKm0zoKyRn4jCBdVPc+s27logg1kNh019eBx6P2WfmOECrR lu+4dYc9ambUDsfDb+H6THlcglkmqJcRiqEfnNVncRTzI3COVm4CrlYeZfkUtQRAQCa28Euna4YD lXd+RuSGIwErNoe4KELnn5iS1Vl8PAJ+3AhxYt8wcc2eUe8oowYu0yExfMuKS3ylNZfvobqNgrcj 4Mc0kmLavlxex7nRx1J3qAOfTyRoAq8fY6Gf2cfNhjjm2KHsB4GwcwuBti8CbXbrfdSTduHxyBLH j6EuyRmAldOVgE8h7oMq+d7nQOp761ZxzAhqwFaTwHkKc2pWHXlzi+T9DFwh3gaSAU6ik+RBdJCi DWjK0Xs1t32hnmgB6OsGTE4yF9omP6iRhqJcGDxrIj8hC8I/EKE9f5njQKRupHZtO1LUq+bE7GQu 28AfZMMR/HGy6WVttAyknWTOUyiox5wacyRfLE4n5z2/NkldOUM6ZWDeUeBwe64VTFhTuWS8xdQa nxKhiGhHKvHKdnnHFR0jXkqACPD5oPy4c0WWux0mKePSpmnOGkA3ZK2kHuO2235xKpTnHSxeS4EU IqsbK5DFSo3Lnd93CO2Ai4rpxKhrU2qnsYaczB6vSsra/nUjiIPx8bQUgDj01uGlxsELPSj+gSA9 yr9HQ4RnPVZLUdsa9dWGeYreulpuU7aTKynUHupEC1NsoaiRD9NDLgpTx789QfeUNjAJfrSLUing VS0o0e2ns0/ZCH5KBG0kzqFI2LsMZ1Qt6ln+0HGi8vl/gdIQpw2sf71qzDlFaTY2z7pYYeRjzoax Wm08NpM8m6Le9chywxvDFz+bzqHcFsncVGtkNw0dEUw+MAoxQBF7RpmqRPiUYAAyCWvMY/qiWuQk YVv9/Q3/hRTCQS7NnM2CXCTl+5xNYQMbo9hN9gHiBEjuVZVZzc/wRo20rj/0Z/MxC/CQH8AD3oI6 m2RlAMQBTAfYIlTB2D25Erei7mPa9nWjur8LcrV80W4C71ID/Z42n3+PU72KDgrqhkhxvlEKU+6G 5lHp7ncr01LcdDV3Ea5KhTGQRynKuTX8q5pY12diPQvMtTLqCThPbsgLkMQI87+FQXCmtZeOJmK2 +ClBxsQTHBpQvihK5yObSIhzdz7/r06/3L6wOdtl6ZH68n7w0gauuspVanPbZkprmMrVSQgcLJtT lHgPEvTgqu2Lv3QQ0O/MjDI4SXVxYAR65s+EgiOnWkqaOKLih0SEanX5hy3e7McFSXzQSesbn4+m jr+UC9Cs2/1aC6W/U+88HB6yLowvUe/FNb7dxStF+iA1C2KkicjTq524z9DIzybyPXTN7WEt6Z9O LN36Mk9OoYS1ju+Rii+WDZ5NyX1wMsvB54MXrvpWomriSfRm/jqWwn72oJVpdxFrikYp+5YLQVWr 7QYpbGhTtqH0cpfbSaL2o47vCpAIsXxTDGAcZsNlvgutd/khUZ+EKaWms+1OZzeqaHFMBxPL0Bym e7fNxMMAFHksBaP8W2A+lJkSc1qu9L1EEfRWkjt5BbaLoomhwGXXre9rKng2xzm5QRNmjQJ6NXl9 Jz9APxAKtiiBzZaSTtM5sF0Etdp0G5cvClJDU56zjgQj7tB3cezvICGH7uVxFQyNF0kDySldMWuj MaI2NQASk+8bpCj53sRcA+3oiwVJgnvwZYJWMyxDOk8vwsJpN4j/cERfsm8bjEZoqjzauos6bis1 dlUJM+XzQe6dv/FY3EnykVeQQu9FOQeX2zAxswEM2KbrWWeOpni/heaLizWsQNVaLEgFpna9CsJP 7RmCbAte7AIjNQphGJuoNPu2f9GJHln9JVTDOQFn6ZrS1sHnozHtL0lr/DK9P0GKmttLjCtTHyZH 04Okg7vIoHJ4kMLEW+UGZ4xaLEjwY5KzHbqR5/8NOcqAaVKQTUotRGIY99v+pWQwJa3ChTuprzRW letkrnz+X5oqBLQ3dt+eMynW4KMB47QgZXq1KEiZKD9qO/Fd1usWkhuZd2M86IsFCTvylD9if/bz 66vDkhq6BB0je4zhrTrpZnQaaoaot7QVrJ2KbSUo1nJe3xOMPEMPxjLXd5SQoqVr85EDD0bpGZy3 bGWLEtNlRWmVqx+fyn3fYrcYHtkgstvi79tMgE9Rv2ifgHfrLkFGscHKCX0wxx4pBwhcGCUU1zqI yL4YOmvsTgFvaCPz+Ujv8jtRyhAFyPN530KGYxM2tFrSLfE005VNSrphKmwQvxy6MIpIjNfUF8sm uzymwMk/Zx9cQ6hhROsmcoxoNaRTCeNp+7pR24QXm3ScuVgJt1eTJ2wvm89HR/djVsl+AFxp+610 AydGcCWIwt5XftcEMUgE9QqOkwELU2QaY+bLMjSt+SrJA1sDxHrnPKBheCX9XfzNToXDmW4WgLOF H/LnXho8lrjYXLJtZbDA8fL5SGGl/ipNNlDuX2lAmHUvzrTTy/h/16taCKyEnUshKpu7CFxqX26v EgZYJMWiHwBLTPq/Y68OXLDkETsOKU37uoGkvKQdxVbjoiQwS2oa18HKfP6fNl9MsdHWWo9lZejI WCkiorx73INcsmGretsE+v22mWJLRo0nZ/PF3zlYM0HihqBIlGlJWCRebxXV7oX8zZXs20bTJWnR yNB7Ds+WZkNGz5doNj4fdQP89GbWMPDW3yF3Dl0ZAQGBbJgbe/btkLYtFrY4Isn73qEt4xrmpbd9 cahEBYEYGSfJaufsE2ALJXuH5lK/3qcGacuhL+OcEppd7DBtgulxLm3gm8QdwlYKjBlRJpevINE1 /etYCp0ZJ3F/qYguiz2Vbi8AUz1JGOJWsiCFCG1OZ5ugke2BRsLjvIl0TVRbo7YNlDHP+XleZGgG 3520ZFYdWjPK8bxPaqxx0eF9XuJeNXosk89HQ6ZfpnG4Nlq6o9wcmzMujlBMnG/NPbzn3Qin4+EN 6zp73wJ3xit0UETIKpeQNYCK2BVCFdTpm4WwkwI0LFSfhnHW1H6jCg4/5U/xp6ryBGKYAttA4XYq 9T6XBf/AvxCSnKAAUq/LQ2GKcSUIUy6iiYCbaDJ0iy18nzQV73kHFo0+QSmbeXXxVAZ3XIKdOlQw QcNOlY71o2SOAQHpKZm0Dbvktn3dABbAKg7tIy0iZoHbNxfTHWRqfP5fyryDiRbe8/FEKbaU4Xed w9E3VxRzpHqRE6ObKGYObBqBalY6KQHscgWwB+/NRHUKQPUaJw5giDbSHlFpO3TibNpl3zYIEnE3 q3Act87ra63rBS4Y99Kpfyf/QDQZuLVusVSg9vUc36EBOqjGybV6gCu9ABN6eyhMSOcsTLG4SBKA a/hyESZjAUZBOCl6JgQNYrvRoh2K/P0B/hf7tlFH4JWgBd7dFmG918bno730zY0gjenxBcmhWSOM Qc73dRe5Wuxiw/zkskdK7tmDFMr2dVYocnDqXw5OUEFbpZDFts573Db/JyVBNQM6JIVed12j3Wnf NgBRkFoz0xq+KEiZ+kkK0ubz/4sFDzISmNreIEX9bmG5eG9LhfaxRW35smkLhYy7/lBUxrHON4z7 euQxz448PzpNOGCxDoo5EYFNfmenLCJhs/GFsyCFSBNp8tfRfBGOAnkFE4Fzlk4+H9W6DhMgQwLI npyeIIVy2PS0XM07AmymstYtbCO4VK/XuoFjIwafOpXUESg3855nW50XA30gaK9CSIT/y0zUIgTs 6rzafasu+7bBDbeFw5FiuGtKAw+Vh3qMm0d3iDa5zgWIB1UD+76MyBy4NsqcBmBAB+T0BxY4691K nUYPXX/oL4nDIpNULp5TjnTiXTmHgzr2yXLoEAL9F/rRZMDDyZncApLxUyKQO1twi8w2LoZamiYJ 2aAbnkPnxgcayLeTxJQHSRFaNyLNUmFllK1r/lkkQ995Kg3DKOfQu3GQrIV6zRfbSxOa8rVRfO3c pxPHLPbSJEcJ2epKz+i727f9q9b9P857Ezj9oSCh4x24N35LYzNZwuhzXvBbDu0bwW895ckavpUu cqkIlmMgXH/hAv9GAGaKMEvNF7/fEkrcKsuiDF8WISgJq0LbhkLrztDu9m0j3haCtDM3FBdrLqUl U1Zsez4fgUy/LS7h81G/5t6xg+MUMDAbDLfmSykZy9HuGT1F20mxQDZnlKRDaPHEu8AAEu08jCrb pooyZGnPnTkz9j/KLwd4EQKXQxPHsc2OuPmi+gT29DwI20iDz/8rSpKtoTHyvLlS7OMIPciV88Mr uSOmvZxXQk16C1OUeA9d7nkMX576ZJehYwmuu1kUwIpCdRLjk9b127XBd2DlCKUw1m9bDkZ7uDBL A2VSfJqsbxk0BNIvwUOchTM/UQoT75au2yWiJJdUdU365Sd1t8TIgZkjokSwSZJcXXrk6pA54byx Kq6sxYZAAz9yNPK1+yOBlJd93ahTKd8iOc7t5j24haaSRgNt8vn/hU0qJeDLcsuBpSOI4qhw5REC rmTP26KE6bu3TUb1Wjf0dOxb/ZJUfXHQKWQwzh002c/dQ66Y4E+OU+viwKNHsXFwUrevG7xypskm OfHt3glI96pssDb6JoGtowReFCWJjQC9OZ8xU2jsOMlsZzOUm4kvu2jJAp4SLYj2rIUpwpo0ZpM1 teHL5XJBpGFJDRoAFmqln6qXSAJMpQiq1MBydfu20R3HrveSBeZyEHnKo5AoA0DO4PNBeXIh8Kz4 8PPsVW+QwqY3Zs+YHlqfkjLFkouqzfW0TsHtDYE/vB3VzhXh7RFDGiCX7FP9L+EDznU6SbzFNuJ9 l9e6yvT0/+WnRBKRkhvb2xedS+dqlmACZBX5fJRV+rkkSY6CftQt4kJ3R8AtYORc2G47H7vn7cFR V3xKet3U+3Ns70jyf6NlmhZ/486pJIUuAE/pnU5VLWDr6QIFn+HbqezNvm7kZ6TKRHupPnsJzEye 3uffwueDpLL8YrlnsdyfN+5POZKNAT6C4wFjmKRSSxxFdf5tDj0exclJk3IbXAxRWeqp0yYFfM8L N+me8TOgQwPcBgIybsZUiGrjp0Qq/jiR6qLscVVDCZsJAuxdjAHUcYHLIxqq35Y0SPpbfqIU2zyS pUy1FKaV5NmpQskcDbChC/sfpQKBz6MKwvOi53IX20z7pJVNSMF0Eu/aJrX+if4tsGGT6qeMMEl1 z4HPoxcoY0s+W5Bk/qJ7lqbBLjACgdHjf+s4PFLWA+4KfR4nEXA8BunqXLoFaa4HkpPZLVaQIrTJ 6jKfowp7/1Jh3zD+6xSywdUAFBMlxqlcDaQ55UdVxQ2lS4HTI76tHDNEphRjifSlvIfRl4aeD664 9sv5Gpzw9CQCodcjqJYnGy77FijzUiv2peegVWRBCnNvjip7fhYHd1UYseMqw7sAZhfdNXKhQH3h LPYSKdWAC80ei1LvIfgSOjUsKpCLYoCMWyuVxT8QWR788ndGNVieKy7wezxRWiQxLZdqoy7+d3OJ SeXa06MUGz4yPEtUnXXBlJBSHp2/NDpwwMLJW4OQRyhCZApbmjlNt28bTZn4vmEIYItyyn6u4S2X eQCXQsfHRyaaYzeiV8ivsijFWtqZSaXcwRek330ykPctUDCAVyLwD9PHMuddPFs6x/bJKjObufDK KngnT6oMrC4of+TrmuZf2/Zt/zI6WpVtk+UYMkgFnmxDRB2A4UPXx6+txG8H5AIcXj1KUeoNcsGJ kqlr4r9sYnnOtKxGE8jvSFF5wwW+j+jmErUltejyqEUP4CbPYbGZLg2MuhepFYIx42rlb++Ak21f N+AzmSjiLr7oUmpwLpEcUKp8/v3w5kvxkOIGFWIf/HJg/ggEBLm5JhsJt6ObeqvpzTD1fMMUUisl jzgF854X5g3xJ/gESIUUAkGoEk7mgVbS2VEIx2NlPCndlgP/RxT1zCqRYdlid3dChY3/PL8Knw/6 S9f/gLQvzCvoK+VhChUAqRTAG0LK2iN7mFLxLlwGS8PeuQhxInRAF6Syf0Eq0QSYYwm9tMvZ44sS gBDQbQjTSv+RJ8uBB6TcdjmsbL4Y4iTRrp1Qis3nI1xO+0qXkNR9AU4+L9lS+f9SJnztZMbFi12B l/DGjWrDSwIpsmL0kiudP5PoPkgg5fbFz+7z3+ct6CpPSt7CykNMGm/HluyDM5mQK71kSvymkvtd ctHg4vFJVV3KtvD0/4kOv+Deqt+KoZbOm9t5FQ7eqlF0BsmVy71qCKMRtKsnkeMA7WomxvGSJPHD e9fYZFdfPDrQ+qdfGF6zNE7yvqiQWClHh153cSMWh8C/hgdTE26fpfttTW/iNgxOeGQXNHFfw4OG UvHw4JuN4uxcROclP+JD5/5E3e/S/uZNY8pt1iiB7LVF5yU70t5hzjMTNwaXB9UNK1IBludoSTO6 huZrQZ4DZoRrtBLV/ZIb8ZsW8t+hkuqLggPTomkGT+3zkhgptHfvkIzD6cPdOi9pkbZOk/CfNW4T R8WiT0BW3mVKhqm2vSRF/DOo9oArVVLUn6SozQJhpJU0cYNgK0XbQCVrjcfQBSp3Hj0vKRE/Ijd1 jgxiCpU0DrgngTc4eWCu/nnJiPQP7b51FIqJfsGy6LykQ/ZiFfZr800aPbU+P8ilBKBfKWvV6MXS G5Wo/8zFR20bGTMclCBHduoEwkrPpgF2EHCO3FDv68XaW181OHfo6zxsKjnqNVHvJ/+kyGA/afVL JqSna/vaO0Bp0FVQ0XlJg/Q28n7nESftDUJs2PSf/QpEDy9hX5IgRkenMTwrfLHonLov0VuVTnTl lHkSYQe/osNZOnN6KnwEKQmflxSIX1WTNQgn+2KUElgF8GI+J8DnJQHSNqjdokNkxHmzeuI8G9F5 yX50lA+UZd2m+1vkeo+O6yHW5KazL7mPotP0SnEA2Z8BJHrhkDmkyFaGIgyK4wF+7vrpoICr02+l q5wOoleLlxUJz7boztrn2mX9cF7U8XnJe+zU8r0j8DKJEs2i85L06FCuUN0orVvSs4pPQu48Ehd6 NRL3S8qjQ7mZcv/wxa+sc2H1JoGtcv5joAOBNwt00gw4Z17lJtCkcL9kPPoFSCaFubsvFh2QCs3q Kn9e8h19QyMjFSvqF0WSLTovnUa9WWWRsW3CP2mO7MXqukiIAjlW6Y8Fp3KiJnTFYMwWf7POezZo BYVzB2TbsuTxiLwZXOcyXeSvsFr8vHQZFR1O+HuSA8T1kaT65NaFXvB0cGcZ0bZI4g+K5kP1MsLz 0mG0q0AmxYIc0bDSj+Ux7kh2TjOee+kvWr5D5X5JaXDxNuzCELaJPbrP/gZmDI6GqC6QXBViXwy9 Rjujl+6ifgJNq/+jd1zQiBBaiD2hl97ify4tZoMbHqrVo/NGZNNlTNLIbg5+qHX77qlMeESH6MPZ flF8pGiz5Tq3H9c5VNgg/yVpRJ4smdiHCcLqIFaavDPTqm3iJkb7Z0hzTJobxV3HAdJcnBC2MkAb jV6vmb8zQkjfgFdsEYoS5lQkEal7HeIzzmKrBJday2x5hMKMWfayS9nPKjdjBvEE1H/a0LRFOSTa Y5zgTACcC13BNYadxqqJTmfyjlfOyRf98Kc4VLsLxeHnjcOm49k0IhWhhbsTbtYWofekGRBRWslX v71aG456GFdXqwxXQHxjsNnf4b1V1/Dl8o7aKbgpfXReK2ivSs0X88eGzIIMaSspVLlHaXPn5HVt 9qi56HIHZJAWMviozxt/7VZsFiDBts5bNp8IRZlzZYTK9DN6rQt56F8NRffle6Ov6dsXibOx78zF k0NI8pAcQjpNWShkoKu58M/FOFQCTnaFJX3Z97dMTY2lueLyuSLlieQEAQWMzxt77ebBlgDxmEYH aOx7EL2nz5LhxdW+bROJQCPp/u7pc0nztu+jELE/tmTcyEUhgtACVDAalVjxxkG/hgw/bCKAXOjt SGktvKv8rtE5JLAjS7BJl1hLEdNSeXEupvZ5o65ZDtW/XrOMGwNfzkMU5dCdiHU6U+imn05fz+Ig VUGwHBj6nkS7rgZtPWyx9wwC0dDi5lldoQ7J45xSbLVDI6Y8Hiv06XkjrulFoVnYXizEtsMq88kb hjQFzr+1fN54a3y8pd8Rwr5v2UuwN96aThACHh3SQCUVL9/1nrE9BqsmNTeiRFod6bGYSHPx+n2d pHlhi8NDFSImU2A//Es2EWL1ujvqqI4S6SYRmyWsw7Ib81wkfSRyqdrM+/PGWbsl7lc2VCEwoNYc IxTl0lBi2tQBkdkTDz0SaKA1as2xzC4WIxQl004yysMXv81Gaieb3gQVFRic01UeGuynEsLZXy6B prDa/Lwx1rRRMW2H79/yxScba0itdvX5eSOs2Y3rh/XUr/cDCq5H6D2flpDm2sgu1YnezSO0q139 BaCEZJ3oKKEenB9SKNyWu4fOsZSS+NjIDmc3FDv+L6pgffZjU433hNqHGjA/9kVNoORuELVDuybK qHf6Togyti5l9BSgKKNGaM5LZqDPnVWYzYf12CUW5VsoTKn5ktHK4zp6MECbvXlIpuOkhmObdG3R V23QMqB5hg3H5tR3jQJEiCNdorQwQHQOs3EGcA1RTp2NW6QA4QQsxFeqhxjl1JVe1606jKhdj5U0 xyU8bm9Av3HULEDqQO/si++gcy7D1S/zFAKCjxC/DB+iCgkEKlpZB5pu4G8UNTsx5fvQ7mIch3Mr NQkZlPx5Y6hZ2r+/86F2dnnv3bfQG0NNcUW/AwY6toWonm832bUQAarFIvSeU2MryjtEOizlgRDh rYJ20rBhD5mmUD4iPJ3czLbuKZSHvmzUpZfi0a6+qOoocwiYW0/593njp/3nKqN4Rq7awxahqBEN zMXZOcX30OzXQmQ9Jis4zhSh95wa1YvxiZIv3mzFD1zIAMsF9vKNcr5jYtMNQDBwVTgUjQYQb9y0 r67HWEw2ufgcI/clobHaPm/MtP8k1YwGZRmz59RvxDS9ZWzV134d5aePwrKsL2U5lww69MZLs0xF 3TIeQ1zsLTt3WMHLVogcGh1WBwR+nlvgnALw5KuuMmbqIm+0NH2IOfXIjXdUH2UsUIkYoZT5eFTb f9dl7C6t5xyKOtKJSj7F5Y7OD+4whvMze74IRRnli2+cNHvJWdQP9T7G0/uoQFlPO4fGoOgDanvY lbOxnzvpqmy6Enf/eeOk2ZdVUc89xEUw6znBNmXCmObnjZGmCN2rjOcQXtl5e0NvfDTrSous54LH u1lXep7N71QrgoH1lkVt6U6j4q6rrD9X2Tk7O2jXVNE8YT+/WmOECnjH4Olm1tdTKprAVH7e6GjW qmHV0XPzRRHCMLIJFTvX542NdjPyr7cMjY+evPX6RkZTXAsloXO39lmaF4W+HqeeXqzmeOOiWd1t Qr7VF3vL0jlI+y7i7kN2HbflOYeQTE+SAOgw6yOxpS/7fg4pD1pCMa6LYgRjT6OCk4buzxsV7Q6O nz00qQNQPV98Y6I9EcqUYOJbVvLtTs/9INCb32XvGTV0CGmlRnS5Fk+HyrkfM5Wg0KZfYKez5uCc QmqDtyorQ981ChAfaeRawxTJnZxhj8eE8Zxx+/PGQ7PBkd9lYu1j++x7DoUdas5+9nY4lXyfvm57 1q2Y72oPvWfUmMhj84zNKQcXixAY0CCtsCqDle+Wel0jPCiBe3l5DIN44TcSmt27msXP7Ys18Fsv 0t4HCe2Ng6bHpweIQ0YIkO59h85RRg3vXViINA9Q7X4MUT5SAcLRoQC9Z9S391GIOuNiAZqVfcZN UAf6RJX8Iugm4gI7G29dhUOO4z5v9LOvd2zWNHxRgE7y1TU7hF/YG/vMJl3l+5wGZq3dkuONfKYc qm9y9TXZAH/B7dJr7sPRQW1ZyfHGPbOKkCUHXS21WHOoLsBvJi34UMVAEgIBgtQukoif+TSpSTb5 vFHPbMTF9lll5cHFBvOwyVEyNPLnjXlml63vIBoUZ2aKdzIfojqgsYKJuY/m57iHULvDZzaTGaC4 SX1uMBigd18sQHAPmZWV6bkCNnpfDCXEHij/DHo/nC9IgrHvGgWIjQ85Pc3Wvb94Dv+ypOd48rU3 1pmd0r8aH5wsICm3CEUJdWMbf1/dp7rnTYaKDzpgOSxq3hvpzA4hHL6zCEJdLoR6AZ96itW+BJwq Y0hihK7Q9E5tzzGNs+vzRjmzogwR2qXfRc0zmNAw24Me8OeNcfafU5onMm6D56Z/45vp8EiFZ7ND g8t2svCpoB6Q+fIAvefTjnldjV381W4XH+dOhuIgy/qeMuEZNPIh1hTyM/keQlTDeCObWQOWF73w HQtDYO5UZlibuczJB8vnjWxmjQ/PhdTP3gLdWoSiFjUtVtKatoXqVaFD985V6BJgfDym36hm+n2Q A+K4Wr4Id5vSAnp6NKKCTxqNZi6CiBZthhDwbXt0/6ZBfJaknqittq4qJgAMXe3Cs5M+bywzpSL7 96BsI130kvWNZHaTi3MGUdKBo8RyUdMrO7acgioKT9SeTmx7wGrXF9tA4KiCs8gxEAxUKku3E5Jz /IM5Mr9MHVltvDHMtNmZ3C2iwrQoQBNqrnxrRq+fN4KZ7Z/veozWslSAV4CiXBqjkJ1bcgxM7d6/ Lyt7Ll1AjtMhHXanOWsdYv5ysSMI7o0nDksi69gmTeIX53bohAvqnh8PEviNXWYlpxyuR/NFjaGF 5goidK7M/nkjl9lmqF9nEBoxHQ0xi1CUSwt4X+2eB+Yj3WvsMU7dDm99o5bZGcTRRlGgOHc7+QYp HCeL2FBoxzR6wMlX/s0oGdAcotac1fQUAvi8McusAy9colBUAKVkeThAqJ2NHZiKfN6YZbfF8zVs PcdAH/O+ZVEyDWfEE5i6LBVil06pUBsXhJe3p0Jhe5qdsCm7Jy72lkElvEN/hCE65X4jlDrDExsu DafcuAJY4K/xM/6ak63UtQDXoF8+4eyXTn/m8xHC9TaGOMkeHPWue9dH+TS6rNDNM53+kw2ZZtF5 V/btUE93KH4jlen7Z3ULqc7HxRuwJ5fbHdLtEMVOMPmieSpoE7QkZT/IW0Mklb1xyvSDSu+iEPzB xcet2Zyezj/780Ypu9/RdhHfSggftds9e6OU6VN3ZkYt62aQXS4MeK/qcyBqwRCtGGfUnCWuehfv DdXzHiccZvTkOxd/Nomwc05Te7Q/cPtBjf43RpmOBbqrDE76sUh19sS5SMPnpMl1ft4IZRcC9JxF tJP5Akq/Ecp0D3WpFZqkOkVe8F/QJ0KNapSEXixEUU49OSrrjTIFXBzWcOJ1LoHK47qd8pLqxSdE NHYcVrZaQtTsuwZvWlf3TIPp4hz/dGJFI4afU9CMzxubzC78X/kQLgg0Oy1AYUotMT4C7VmfUW1H kpJVknMFI3jfQ1GPusm4QFYgXGwPpXae711uGKeAOpcjO7CQWp7wYKFUlEsXc5b4xiXTJqB9wewK VHc4P5TL2lYXf/PxKKe+wA8CjejxduHkb0wynWAF5N9qGPs10boxj4cO/VqGaGN/073gjUhmVz4v tFyrL7dwPZUMHGY5bm1nN6kFS8oZUNlZUnwSLiYb4Y1IpmNTkjKdZYeISvxnYWwwTbe4fN54ZHq8 7a/KFQjC83X8yn9jkVmikK6lGpvUF9RQqHJv9qnbYdNhk5otWDos2uJndYGrCuRFT4p2ann0rNEc kpk7VEfpfanSddEE441EVhy+CiaieIndyORna3YD+Z8cp33eOGQ2Bfg+iBY9AZ7XLGpS4/wAvHYZ AI0NeN346qQpQtM4CW8EMmsAi/VLlNXM/YIaBpQIErrPMC1o5w2c14qWNDt0E9wwDHO+zxt9zAJE 4BmQY7YoQBCdZ51TT+b9eWOPWeHrb9kQPSj/0IbDIhTCPnolxd7aQ3v7sOy8AM2GZeck7B6hMLGe qllZ4nPxygPvVaJMEqCkg9UGZiEwMEGh3MqVAKEg+eeNOabPUOVRd/XFeIgAkXILnArq80Ycs2Ms fSWNxG/nJ0BRXi13kJ2a01ouhrE8+PIMJRgFKEZ94KTGReXLs4X6Pr9UozrhOTyaHDI2ZzDn8FjX 5lpz5c8baUw/JiakEO4avljt2ojWxNl7atc3zpj+qdlPIb6dHbX9Q09oYVoNin1O2RXm2Q1n9yMR USipNDo68aAOYdTqCzXSo6YsX7iF8IrW83JtaYDB7FvuRkhbIOcJARxzdiQxFJ8RXPbqvQ6RXIZj q/q5Kq2BBgWwFmXV0KB5TiEAfpGueYDCnPqcOrvW7f0zUJxEr5+jefMDXCc7p6MetQIkXxQt3qM+ lwwULRigc6/3xiKuAHVRM+C1eT021zqnQ9iHQRgF9lwus4MerOqOk0oMPB6M7B+kOf63rOyH3/U9 Sql7InfMbAvPv8xM00lh9Q5jGi7Y1N9TarFz6I9WffFTqPeTjkJoAg1PQDt4k3UqdBEjPK/THOE5 +Iy/MA1L8gPryg+gw1jU/yqj4vEIJ+xbqCq3BDTdL7IeNqlP6g+nJlNHy9kYqwDxDHe+LBB8UIAi 1MdYChBEvbRY/wyVWMVImwGqMLgmggZOYQWU202KbRerfui7BiVHUftj3sWAQyclTUqoT8nRo4Q6 lfm1gzDaI/7ZAhQm1JlchTSs/aHLa0oZzWt7Qp54TPeoR23ZorCJl8GEYStGHLPyjcqwcmgURqM3 +6QLX813C9ErER/yF/asTQqBN4KgdbieupgNYzixFjwf0Dnm7aFR1AE9y46OngUpZCESfkbwP+m9 45EdaI9XIYC/xH30KKWeU4qfnNlzuRPpdMpDDlUzuSnkU51zCBNpTNqLvEDmoyHbo5RaCharjrt4 PjSH7OBnmXg8OKpT+67LcBCVeQ+iCPcBaK4fPyphp6dDyq4bp2XFRNJ7TEVkg3HMu3iLaMPmcsnl qsGjkD1/0tY61CBHuvpMdKHHZ0TjRPUXSbYDJefHkrzU2EkGzafg8SBAo/96z0B6uQPpHmfUFQzx 6lL7IpRxC1UnlNVNiwBc9j1kIwomPNVtpGaAtlCF9Cz4dcyoz12fGKCETkRHJr/T7TJCU4ifEVz2 nAXNKdSHW41B0KnLefwcbxWP/y+dauUY04uyHnaqgWCkNhkaHicBwnXO1sduFJNnA61UD1DcqaYY A3DJtlhlT6uuTZHSkznOcw4BoFY6QCozozE6MN5yHcupLxsc1VT4mrvfRTd0G42GZYjQxOMR+uxG CP9j6YUNz4f6e0qtUgVGnY0iVRCs8O7QRgmeZZFC+xteZlFKvZe57FRfvJnf2rnokE4AoHeStilK BwAMA0ArwMDcu7Had/3rMptNYkPNm3TwDVsaSdc88XhU2H/XHKBJAL3qAYpw1GixAMqrYhVyrt5h LERyy7gR427toQj1Ab7V4F2uhVgw4vMy7h3oaUIArSFt7kSaQ16fPpAgUjmQGicSPiTIh6Y2jwkP On0tlVO7CIF4PgCP/3MPscOIHslc974Pc+o2CRQejMY5qAn2gH0pfn4LEcb6FqKoT71k2NQkxtSe nBoNmL7GErgKBZRY0QI74gLulGVii7ETKdwj4EcnMm9JNJaLUctQgPKkXXjNQnriLe01JUGp1VQ2 kxkdZdVILKBO5iOhmm5OVItTOnCWGDU6alRPh1dtXy4vaIFKtQ36sWuTEijVRwEpAQZflI7znmV9 12gixF009LoNg5dk4EiprYEDLePxIKse+aswQ/vhJJueD40oq26bvdfhw47Zja+waGdm+VBzdvQI 29RsaZxfNvniB9EapRSmzhgqnhIW/ZlCM98O5Y9McrBJeuE3+YyQn2i6zOYrj5b4ZIgW2Ey4jk45 mz8jblR/M6QbDTVvQjRCMDXy6kylCewhYtZcUb86VLg2H9yPqFENcYdx7r1CdIz4p0N7aJ7KmzZo 6ZyoIyfW/5RWqDhASn/ue5xSnxGl1YOCHhP6UlzMYW+D9MiD++Sj/Ir/mnWQbX4+kuwJC1CUU5+D FClJuQi9i2HM68KHcnV+4ohyaoFjVuZ9vxIPIgaonFsxJ7R2kDGeM3mTbt4yUUOktz6y1SAz4DP+ HioK5JmcnjjhtMFz6Pz5jcf/pc/AiSJvhydAUUp9ahqQgJvrLuXlGWNqxphGH997sCNKqaeOHw2m pw/7ClHU4MbRBAW1a03MC5QGAUKUx0iXWYZ/ND4kOoZOhAY1+LFgC2210E7WyHNk5JLx/D+pZZLO 6Sg7vLwfYVadkQfNYdSyRKaEiFO7uDt6ohE3YxRl1fLTgY+bLw6m3rltQrSwieA6JP90zI/qpC7F xX9QNBifERSvyWjAwxed1Ji1/v+MfVeSLDlw5H+dpY0GLXj/gy3cPQJZbyejm7bGxXA4WZWNggjh Ypv84sTjwTG01tciQtGt0plFExRiqWciwsrT+5mvw1cvV/gcR5wmKMJSF5Z9gMLz4TLLzukBCAjN TxJyRcbfAx0JkhXKVRcahHmOUOaDB/Xu3GwcvjodhG6ef4nHg4Bo7u8JQplcCqKcoKhMTcPYtLML ffQLkJHnpk2QkxNHFFOrtArPUw18dfnFQuRUlA7wCRNbDWdqELABnzL7I7+Edi++IwqHqJpfqPTB QRW0MVC65KpYG49HjI5/Ymr06IBCsgkKY+rButnYftePGwy5SwxI0svwMSOMqWUvVGUvUB97gZ42 FHK3mGUoqICogJnrsEXsViDSQb21hMKYWtFQpl/KRJPNwOZrpcJm0DgXMZ4Pcvv6rVGF2k6d2wv5 I46pB0/qbTH1YhORwAZiEaFvVvdKJiQ44jo1V8Ya2QefImT3i0XhXNvGIQ4dQfzrn461W2h/q0r+ lpZOWKemwtlcc/hg1DJoMbHkc8JfPB5V8r8RRIhqer44vRnWqSt60mtqhnD4tStN3W/ACIUgZh0z FsjjXVbX9MEJCygFTXr/AfUP4ExWJR84uUKDz4tCo5HHDJEfJG6OKc8dhiUWLvYud6HUGh4PJqh9 X/bYl5VempqgKKKGHBp4v2oiAtJ7l1Cyex8VxmRN+xmyE8lCGEkJbFoPf/NM0aCPNeWYzp+AcLFs zMlI4OFRrF5VWLrmzN/Jif9pJ54foeWk7kUreDw6hjxcZMEWiLZZvH42o3gaO3tXkiNIIieaQhLw o/oKqsgKJcj0BzlR9bP+VT8rtaM9AOJyxlbYMsyZqMOz7Z6h9uwMVyoRzLhOLTgD24qzu98MkCXq BUH1Ho9HM3TzViKNzmbpbV3Jqiiihj0XXB2c0iE1OFxlPT8wPZxImqKwSq0S4+Be4+BV6orkgIBB oKkTlYmhIIxuNHQbRE6U8xIRaDPEfeig3qX6INrUaFVIkxN2ZjwelBj/0fQiRGKUu8miiBo1KUDC LeU4KXjznKyt5KgGxBE8p2cUUQ+CqUfpd3CCa0HHvybm9Zkgm8oaLGrxELKQ+6IB8rE58SWRmgXP n0aPk+GIbZQYZ1c/8RyXeDzoJ7a7htSTLgyffIriMjWZZd3ZiY/yEIDKlpWBIm9rKAJ+iL/53/LQ yfg7cEjypYIBeqNWAxz6fihqu8pDvaPx4owCaviSYnu16oPWUJq0TkGuPgYe/1NX5wc1WExQ9bt+ RriPTlv4YnJwOKiHNzpmL/cmo60J1lBUpl7ksgwxF0a/vKkJwDCq3wyoz4Ii7h5aaJgypMNokThH etu7RhVGCaLwqt9rmir+XiceZbzXM26yWO6jfedkLHrIW43fGpMTlc5fs47pDtVZ2cegIEq3gHGG 2nk0epfWpAbHMLaTMiWZd2YcGZvJCYGfjawXzpDV8bfeNeiVKaPv1EXhoKusTmg7snq2+fhf4CoC 8OEvdU72e1BHwA/N0LoHdb2+Zrk9NkvVQ+oZhdSD8M4BVQcb7l0GQRX0I3EOQZ6PAKwM1YEGRbxM NW0rUxNyPqOQulF1cY1cfLCkA4ryTCRW73g8Ag99F4cAAoLcus9QyE5s9H29x1DNnpU1te3JLQNm Rbss1PtgM2hNiupw8NoHVfOyRHET3cckiiv3dx5eFym87F1/C4fgGO+D9VtXy8SVnp+CrxjFi//h ddCPjhO0ooAaQSEMzYqVF/NIz1U2bol6WF6/IuAH+raQC+ZFzsHbiZVMwsS0leJVopYR7wEoan6c XqTJvULgB4XdN0x8bLh5fTZka614PJLU+aaWZeg51uT11xUF1J0ajDzmOEESq8Q5LWs+U4wphmtY IfDDFKt688EvMlSmB6FU4Aeh38rqWUbsB6YfiuQOHcJCx3dECnpKyYSxkoyY/tS96Vt1zvxa8HyE P/u+yQQyvdSyFUXU6MvgJrulIbOlRnD7APRaMcmYFSI/pDi9trocvOobltCCLj2ZM0RXnV9+WmK7 f2jmRHU9U2HEJsJ3BBVqalnsJpxey45gPCGvukIVDOAVBtS3yyGsOaXFrshpyE4s1MX1JYR+6K18 5AuNSduKiysEUqswL2EzDn5MZ5CAWSnLAGm1QQVmOgGc4NGom24cvPWuv8rDTSlaTAd9nLO9lyQd z13xeHQIfWf11O84l6gnZSvWnyZr00pm55/S7QNl8y/hErKAeoXsRGqX9yav1/Z4ve4Kj2eIJCBr JVkX/VYkaidahDcXTyHeY5st+xWhPjrbrUumwctNg0uG1x4JrvBIxeN/FqjxHxMF+7DLVhRPQ2UI hiXzx13w+o9bcVQXd0+o3GiGQrUPlp3r5Axx8GOodxw5rJ6dm1gkY6Aazi860fTOXEDmNUUA2opw HwLGwK/KBwuoM1Ck3Dl94PG/BN4ZUIM58+ihrBBITQ5w2aJLiVegEv6kwI0qH5kMRcxQGFAX1e7Z B+JwGYoF7TMG1JnaNIk9e0RPHZknt7jVhjZ9Hlao9mEGU7zKxqYqAsuLe1Cv5OdkxQ2PR0T7C/Jk 1QToKkJqbI6ikBq6sWjaLyswlsucojOgDBTypkUA5ijEUsudW3LU/UuOukKRgegnNqTPXV+L9hnL DzzZL/BjDr3rbwYTI6l8lm75DKiGbtUhvuL7PluXW0YYDESOe75Y6hViqenJyYRRerDbpTwLbQWV 2lOVlIrUYZVaXpw02tTgJdgBUw/CTlGlHvCzkIviRP1s/GTBdTunaDW9bGAyoduMqBYNho3JVT7X 50yqeDzq2d/rnuVIvNNcnpetmKG4KcPonY7qAmhzqQbLKZqOFV5hTC1N88bQmoNN0ckIJvSAWEE7 E3a2xKS8F9IUlAAygYQWEo2hl416QUzqq8pEtTnA6kQVqVp/h48HF/4/R1Ely2tc2e4oqEbMf+7j 7Rc+FbAluzycFwRDIAuqd0hQNPW8lXywGUKA3cuSy+QEp0pGJZhSUOh+OkVjJCrMKvWOguqWLjHR Bq0hCDuzc3Z+Xj4esV6u3QQvd5A/9pXu3u9Rtckup2UCaCes/ZqhfjPX7YZ3O7Zykahwaz74DA2o OLIOk09yfjZdAUhv4PgeS8ypxzqRuvg7xFPTV4rURhsMPXRWuTgH59jG48FhfYWpp0qThKz5DIV4 atSHspLUcxA1Cv1xl+12pZlAQrAZivHUus7UNRuXW4YtNsZswnmejZsmBZoTWkLg05Cx7MgYhBj4 jt8KaDuzkL+zF/LXuQJ0+Z8Te+PxiJ94gTFFwSYWnV9mO+QnSpg6V6/CdjMsP6lrd4m43B0Zs0Pg RyE6LzMk4uD1oZMzARW0RbhPhGSeGcLK6aAl5cQ6n5pBvM12FFfvKhgjEWgcFBKho6gq7HlpPB6x Otb/l7tWcSM5QzGYmufzlffi32aW7vXOECw+NEMxP1EzVKoPPkMANZK+hzWEcmmRrfmgxgLCk6eC hrsB3/Fr4iFx83nFzc9HAgrLo6VVPB6Bq65yN0NoRKAUxNYMhSJ6xMa05eLmvV/djzavp+R2WscO cR80uJuyK+fgQWMBHpIoRlqSjSXLYKh0t4GmInWPhcfHUsZ3BAGR9PGhK2GDyh8niGy8rAG8weN/ KcRhCaGsSkUEm6AI94H8H+rvTp1qzR06yjC1FMh7ueH9DjX0JC+4WSbi4P3EE6KciFR+95T+YLsI 7ekTjZwlpOReHene9a6/qleh9GaDJmhB46b9WD9xx2Xqf2U/spawTVAo+6EabL3ku7R8BdFI3uBn xaSH9h+4j7Hv4B3pDlgkOb5pQ3JKdZ3KLiI60mM6o6PQhhffEcSLab5VP2Y/Z5h0UeBuu0NN6vEP MAao/odGvsMitcTxfQUlmtCQWlaERCNzCom4JiiOqFlAE8KKg3cTFwDO8NhCPymhpi98HoocqOrQ aMWgVXvqXYO0jL2ypaYiB00Q5OS7JVp8PKK8eFrGHhJqen1cl44dBdSQNITMo6lX5XL3WJaQlQ6h e9VHsI+pi6wrSu1Xi2DB+wlynizjn+VUEv+TwvpwA22hjxsLsdGxQ8UPYasoZ63BevYtLcl7ndMA j/+VuEpuBt+f/abPKQqokYXB8Ndye4rBGaqBsh0kLAAkbrrmKYyoKddQuwAyvfgimhkzVHDA4OhJ ZS66TCENR0sPGlZPkRE/hV43cOEqmJ0OzsgZ1CexvywLjYOjlx8QNe6/0dSAKIzUn2n6laRYJP+O aUqtGiZ/TEtGcFhfV9sUhdWDTUVW7W3waUIRLjF8zTj1kT1B1QILYQLwjU/zcj51QPktEbqBRZCs DCQ7PgCyEImz1EZrfD7IPW4pjWYdkL0cjxNFeo+s8dj+WQBCGQKkZsN6rtZN7RxMxetDmkJINWkd TdXG9lVthDDcWUPmI1AGIgDqwmJaoRGS6cDpNpvZXjfCWcloc6l9BqRW09450RclA8ccix8QhEY3 dpSJDpFZ+05TWLUGq7w2h8TWuhwoM0r2imMprltJevn79xfWGiHsY4OmCYWQE7tAHAtyJGczLrCH oWlyNukGj3mz9aHwsWx73d+cX0ZnSYSDJfqFyo90mah8PsKjfbfP8O2tPpYUKdSpzgCkzd1sy5V6 aTB5O5yIbA87maLStUOG+x0cOXwSjhPZEDlcwO1ngbIMNH/xf3Q8EQtGmWJExCm/fwv1K/uSBfBl sEPnSQr00Bvg83+xy5mHFCr4zudkCsvXBWC0ZZJNC2J+htujhrdJNgGgRFhaTn+oVZvd0n6EQHqF gdukWvUJAaAciD03JwreWDwoktzSY9r2uhF0TzrV1JHjYAXscxYmSYGkzeffp6le7qvM8Da0ia/T UgpL2A2ExWq2Jmur+LjJ7VzOqTpZe/FZiqJtYafb5Cxx8HRkQBSF6RmD7QFsBhzfzgMLeKPMU0sn EyyM9S2RcJOsOAlE56AtN1vruvDm7nz+L/kvtZYgmtC0mDVPoSRIQSDZaBaUCS6atppgtW4Uz/N4 800X1rHVDdm7+mBHE7xg4BzAEmSbJ8jYdMYDQmQmlWY8YqLoAL8kUgBjkR8dGw7Nav2Au1WTWm58 PgoGfJoI7of07L6ZbU5x3M22bEqW2j4ltjTalx5hd3e8SBakMqkdopZx8LCSJg5Intm53j1TUC3r Dya/ad1YQG7JKXaD0Vpi7sZBawm5If8XhBh8PthxV1uG2S2aVvnrXIpr2fgpHSwDg+D25P8Xplbq tRCMASJy89jZB89OgIiGNac8hTrs84RTY3B4TrPh+t6FvU5+SVRE4h2XJSmXs3P0Zpld9f7BsDK0 hLmM8yqANlh6+S6l0GhxUjy2mdftOnvhomhqvj6UyaskOXBaBEEMG23KCXc+vuQbjTaqxZPcUEsf nMiKg6yiUL/J4ZERJb2lc+C0+MWpHj5cJiMNw39agvV2aLU4Hl8YqvHCBO7LjTI0WwQA0R2FmK7k xyhveMUWQY7PUgQVSaQ2rERa9UqXVo1oDOW3LQpIX4Bm/VDKyWapkgJSHlOP/IvbokCPvfigehva nOwfAmfK54PgO//j98ql1J5JCoNvSPHk1otVA1YuVg3Iez7VgGZaRTk0XFyMKmeX0m5/lHZRMqw4 jRxwNJOkmkEKALWKChhGJCrN3vY3JtHqrG8vmg2zHgAnOK6DjoJJDiwXEZTeDce7DD9Nv3rfOfBc PH/cIvQxuZ41Kb9WM3nUZiqF5bo+KNhwBIJsZSocbJZgdXbu3826JHTlikwu0LovuIOlEyIZDPay c2C6iA0nO3dJpd4N13FkkDaDjI7PR/3+fxQcG4tvz6kUqu9NwmpmsrLJtJ3HSUo2SY3pm/ZbSGyU pH7NywcH92VY81S1SBBVKjovGWVBbJlM51MlKN3cTUO1kKnuCE+nrUSA8L4T2y2FAXDvDI0Xy/xH DQPrm1veZilStu7U3F3ZZ8lr3dAbp7QslxISVFtKYeRN0NVM0ioUbT5JUWWCQZPEjz1hmfwHCrTR Ue9gHczRRwoDQutFIthGqjicNAh+VEDnYuB9biE+HxnFlO/8BIIAeT1LKWQ3JlTgGJiLVuRZ3DI3 AtaX0C9XrhuaLy7Wt2sXd7FfIvq56yaydRrlwjCugEEDyD9UVXDZFTj56FiijrO+5Td963M3Lh+U nqy0By/x2svm83+d3WKndfT+b0Ug8F9Ew00+Q8Vy3eYk2ZXzcN3d0txxKAcGjAD1Y4G0RUgkh9uT TBlMEcpiwJF6ia6PAK0DWU/PLwsDQO/glwRLidrEu+zlgzUl0WHQnWUvGSylfwoC0Njr+XHLDSwY hRhYS7k/DfRMxwDVsOJhNxmsdiqF9W5ZvA5Ta1y3BlcpUdiGBJzB2cRVWM79dDYoEEUkEDsOKU17 3UBMXqohU3p8s3nZ5N5w5zfIfP4vIfAifUIg4PNXIBD7xiTq7F86aNsOipR591BM6eWl2IpxqrNE YTUOPk8wD85kgEtcjaTjAnsL4LVOIMsOqlpvK9nbRq0l3m1Z2qDZtUFh2DDlHHOuHz4fpCft2+Ac VNgOdxWbpNCNEWgFiIO6n6ciAtLSe8qO+stUKUaiG9oxLgNGtu7DRR/D9IEYbHpccFND/Qk2aMBx 9UcxXZi2HPoxTirMTpwFHMyCAYuJcvQ/kHjk85Ey3+UVM3zEmqzjmaUo8B5EtWU7s1GDe8oBkP+R eCEOHZulEKNNIhZcqnzwgi4sF0uVyiyQSUxP6G/10xuR5HcpLTlUh5aMmUiAMXryQYc3PHaEBMhl 8vm/qMUSOICiUb5xQGzKOFkzMQ7oCTBv1ftkktWuuNqmgZBz4MqIpcRwepMKwcH327mATu5FZbVz 825KEY0yAHaYhbWl4dJqJddkrxtV4Hi3beVy68ThAgHAVIMQ/LM61+YHRKH3P3K8cF0a80ImcmDN iEuO5g1GJl7AH9i51HJ2Am1OjmbPgTejNKRgb8p4yQJhLaY+zxUHyW9QFLcB9QsAkzT0Q2loPbfc ttcNQAG85aDooiFrVZxkJ2eZopXc+XxEq/FbrijSwk5fz3IK/WQaITe7O/imJgPfoEp2e5bJpK9z YNCIyjCblqJecXimqY9Jjk+Cs94calpSWBmeIzs7cqIwNuSXRNAJApRQhkcscBY5u7kZon3yH0QZ ix/wF1t9WTBQaQZksxSVvc24qRUvCRhokuCA5eiJ0r0GF5o0mq7IrNkHr8GhwXRupKqIqc7MfZmo Yl2wsFp/oP/+tlHEJMnZknxQ4SSdNUr/txN7Nz4fraWLBUTdBG09cFN9kqLgG1YUAOIb5LYOt9ib u13ljFocpZQDn0bsOKYm3RQ0xtUvTieFO9cOve73yYPBYyaBBPc1fSKod9bV2532tgGGQvy11u+g SSqlbR5RMOzl85GooZ9LWjTw1prPJEUl706MwKxWN/mCcmX57wjslpulcYFVo4eVo8v0ol/Ti5OD nsU0iqDbyA2lpkUXWkq9o3NNSJXsJPQlwSTJaK8LzOW+tEAz9l2FpN2Tz0e57j9K4YOu0DemDM0a YWq1M6MzAytVz3VFkijyIvTiUuDWKLtSMiHv4DySdJYVbSmQRDSaT+JQovTzRE4+r2zfqsveNrji GHpDDNkHTVIqUN/m9/HoDtEm4/tMomBgfwxmcujYiJblzkJtE5CzkkO6cr+WlrzYNEtx5M0MpZQ7 3DTu3JoZrWrcQrPBzhpBZUdFAAiSc4ZQEUXT1O11fwW5J53dyRSTwSJffF1w1DKf/4tNwjYCiU0P SiC0bYSL25ml4ih3sWxJBNhmHw8AxDZQTo59G1mCm7Jq5nA7lglm90LlQOFtq+aNhKaiVEczLO9+ d3vb3wuVkux9zB0ARODtpJp34Nz4LYpNoQgo5lBE2CYpirw3Pa9o8qc65QW/FZpnWxQwvU4ZeDfm /0XQDFRXLj7YJJ1lim7KYFB5zqVB41ew/rDXYFl0Ydx5jW5vG0AEBotLtFHRoJDn/L+dLOPofD7C mP5DBmBD92uSQiQ3St7Jk3+oV10Dg3qls3B7+EoKodzilAx1K8ftVs7zyhANxW2GnZUGNNvhNVNh 6QS8CZa9A7wIgcuhgSOKv6Dspu2D8hNY1hFmeuZu8Pm/ZA5pFMKdfwXYcmzhmCdFR64luuscng3S r+jI3s1nKbab0d3O7gkHz0/OD10kOH82QgYVx0pwmCXoA6Z1rXat9x24OII3TYKkROhnvbK0A1VE rIRWs97yrw1Hii7AQ3VeceMc+zg2LCaZhPBYenTYxnWOL8OF6nJg5HiN0RcN0jg43gQlEzFsYIy+ mL8hjQNdpwPls/ojgZSXve6vEK/BU3c4+yCfWKMO9SBXm3z+Tz4pe3HUnOrPnosCb5TXFwSflcWh XGLZ7mj1EVp3V5UcGjp2SRwXBgRGgVUkcFZ1EoH87AU4jxXyuIDcnUDEZ/YMjYOTur1udMcpP5Fv SO0XSVGg3c7DBpWTwNPxu+w9FPH+lPkUTkJTx8H0ZBncdOfkGiQrs8ApHAVkdm2WIrxJY/OoTQbg HDwSOO8yeQWxvFTwL4jKMQAmhb+8Y7m6ve1vVC4GXzbY3T2kYwlQzuDzf7qr/CQREfcDygl8HY1m UiX7TMF1160DZdahS+e37j5JsbEjIQLqgXPwGtyGNORkobKcnBrTxuP7/I6TlPO1rib92ste9zd1 yJl4inPwg+nE+gSQnP3L5/+KKhWnF9SjnuM7LHs3Gah2lts27ZddmA0kScxSBVPdanCxt6OIAlOV yvlUKjdyhrGkKQ6Xa6Rbxuia5Fgh7PFSZW/2upECoizAW/HB48BRdCCfhIjPB0HlNXImYyn/y3PP obtjnXR7mtNLlWQncZomayUMmJD+2DTFeBN2Iod8ZsaVHxsJV8LJ3vFDw0tzMR3Gob5AG2+gxjzE t77sdaNYgDhBQv01aDEh2JCsTUIeFxg8QvTiu4eCoL/lyznJocEjLD2g9+MZSjWeIHoDBMfJ0Ggv iwUCh0ckhNT03Ty9OXhnFxpzlfXINPf5v3RJBuAMKnQgrlf0eJDsngOHR09QEMxpUAmOfYzKo1cg gcDiUcyPJ2ACiKKs69iTQ4tHrBd07q2xW12uBUJ2yYknBS1Um6QI7K3a0pSTIQevCPQTYJc1b0Vg sLJSFhYP7D0WgQ3M4obipcDkEaqzsg4byQfj5rQhnN+ZKj0f3HDtHzcRTDlq+z5JUewtpTYCOJWg zOErqdfr6zxubSnwebx+IlsguH1BcGetjHMlyOognbnpg1zUsqXpkH/Y5zEaZfK3jYAUPLSXTOZB xGRSAeO6nmlWMFJZ/IC/eAPM+SDzCrVSn6Uo9ka5wf3lyWbKD08wP6T3fmcprnlTwmUw9ubgFTjk 3JsOYlBphcgBifG62HBlkt5gtjTd3jZqM2mWFIGv5TEliOLCCGQgl0Kvx0eOjM0Sole+oN6B2yOa cWQqd9fRlkCiOgPTOcvUt1Yg8IvdozoDZJNyuG0moE6pIpFhoTsWOyjQJJWZIc3gTPWvbXvb3yyO djPBG68uQd1LxdwTcVQ+HxlnlK9oCZLupMv4JIWEygEI89rVA+90A++6vGxCQrwuuMDx8bZPirpN ZTwb7lz4J6mlNmJZFekA4wCWK/GbsAB48SbbXvc3OtOUVCsH3UkoeBMFV8/tw+ffz+51AV5UsQVU sO7n7A49akyHI1tfl+4yCrwZsUmSHem7zVJIqzR5RMpDdmf0YSlNoJgh5Q8NhTNRMCRFsntOpIkD /Ozs7NES1Uv5LVFMyZblnsMHv7nPAmXcPKue/wu6xPQ/QzNp1nSjytD7EaAimIr5lhvZE5TUreEE ShXEDbTlIsRJnTIO1R2X29MaONdaQVVJDOZhbB5ULX+wzn5WeqZJTJ3A/VE+u1SLXj7oYEpggHAX TbQsA/tHwHK+xUhRgOz5mox8XoOlbNL1k4ZT3HESR8YcDcFOqXuzkjUsX0OlDOojcyIRuDl4FAAX KFRmlMKdzI1BlXTHgUim5oNzmRAqvQZK2WQkoZrTfLD5OYk6S4wQAfm8hkn5kWqtasHBwAOsBfyL 86NEk9MlPjoMspQeq3A20SR4k13e7jVEyjix5ZJFYC4Hmxy4HSz4qXObARZH+T9I/KPSfd64FHdg cQz86+yAcyd35919UITUugCYEFk/sfbr7CB0KDY7vOQH07Zls/MaHZ2HgMoAGNetVQke49IpZCaw SoKX0ey8xkbZEQFLSqQcbHOh2o1bYxA2UVKW9h98as4rAua+H8l6orpfI6Ns3rwnrEU+okGTk6Ee ad687fMaFmFqrSSp07Gy90APdUzOa1CUZQkNlP723i0309AZ7ZW2tB2g9BoSZdRYBC6lZwgHr2yf SOEEv0P6rLRhYWU7E4rFpO3ilEln+7wGRFnEdUZXVPWn0B6PWShHaqqADfu8xkPcI750tE4mqwU2 O6/BEB6a1IjOzi4ZN2RslMyyRNZ1kl5DoezNEfgN+WCzU04stNuibGQ7J/OJGukN3hOl9wfsLIdv rE352tdICMcORbbmXNkHHaWAdqhU209Q/RoH2dOanSzz1QX9423T8xoGYTsuVta8p51V6JeM1FOm zV7Nfg2CcvpfFdRezh3o8fXKqCzDqrf3RK+DjHoAKNy5DRdmLbTm+ryGQOdVlymTyOqgXZ2tSQ8u xn61fF4DIKyD6ouHAgtna/U0ffG8hj84ytnx3+OKbOXbERnVa/2teL7xGvxgdhrvqmK+NNcWa21w JWAxS8oktEIJOE3QQ2G5X5V+y1xlcxDtLXmpmvN1v2YrMLqRo/PK4/Ma+GR5rn8dPI1EiWaz8xr0 4FQmAolKTHJSnVc6O88ryQreu1R9o1O5S7SFO4yDhzxgQo6etXZwrhVuLZySE2ZlmS4sFkCTw/0a 8eAXgOLGucpT88FnByzAH/Y18uc13rE3tK3FSBtfum26Pq+FRuwsqI/lajJawP97baigdWOMrXzV x4JjWUK1JxCtPnhlCJYxu1FaqyVY6UzTQWTgDDPe6Sp/hcni57XIiNlhVR89AR/4HbWeAJipcS0F T7/PDq0CdCwTwAaiCzsAnJ7XAmNWkZx8Dk7Ped/m09NJN7GqULeq0Gt5MXv2hXnwwauwaHU0cBm5 eCpB8ci+UNUGvaooZBV4jUZGr8VF/ATUzEYE7oOdy6CVLS8JvZYW/7m1BM+Ge2r1gOedyYa9BcO5 3C6x5gv7oIZjoSz95YxE0bKVg1SlHk+V+uQlA8AQtoVg/pmQrg/I+Z+ZxFJixc7Fapuo/9H6Gaoo mu6Pyy4VumuSonUSl887jw3ba+avwwfSjzShtxmKIuZEJ/BmumOLCHxTZnP0EWpB++LXoxkSXWSM O/gGS2Cbs9cJpY1E/UwcPxkWRmhd0Q5cbdhppJrwdOYSYrirQT88iuksiZ/Ei48Hx7M1YRX5YAn3 Vvx4fqew8fZCrCyRfpxAD+ih1lt4rX15dToKmzeRDDMLtZZv0wxZ+0CRxspA5/sYWVNnq4GNBF64 5xRK3aO4GTUrEGmAoNJgl/tJbdnxAnnw885fw2IYHvxIw/5ssln9hH5nrzH4Iam23iO6bb/A1nYK ROFNo1Z+cEZ3WqyMLFBIfkAhaIz23IicqfB3pLkhevrInRBzSr3JbrCklw3SLsQ9Z2rS9EFJ6Tkj VZmrBcjQMHg2UXHNECpkXZ6FnKH36Fn5JIBqHgDtNW54mO4m23eTvYfPWXnpOWxwTGtgnAFf010I KmPmlWGtxpN8UVQcPyFDWKpqYafyXaNTSFLQ+ypCy5NsX3Xcs4Hb5523hj1qkhFGmUDC00q751AU QSPdciwftev2jRH7dO26iiKSpug9hHZdDTrD2GC7LNPIsfAmO1FeovUZ4VcDpc5z9pXHYoU2Pe+s NZ6ZFW6F4GPaoFrruSsbWxP1xFifd9JahgRn/7rKoMoAJ1FPMd5Jazw/kGMMF2jJ0IezIiI78Na9 bw4FicJoCWvB6soHm6GzlaAmLrGfekJmA4vQG3wPrXK3ddRBHYXRsm/elahZDsafafhfGSjm/Xkn rDHD9VhI9f3zYSvdCYoCaSqPVZU3qGKX3NRx9Xk798UD6Xe6Gncrmz6lZB9uaezck1O0kHqij5Yp GrVxsncA23O57BnYYOtl32dosMKRIfZvg2ZonEBa1p7npT/vbDX+iPU7iYedGn4tm6H3YNoER3Zv zi/afdkM7ZYNJlNRnrY6dBRNC9OwMw1EONgSOlMHQdFFXj8OanXHzvRDzo5V18fmWh2N92jaoESy AbDB4LJoCQj+AemaKJz2Sr1tMnZ+i9eA3llqOIWYx+9qBWjyfZ2ltovvMTSWtYTCeJosEPS0ffAS GVx1ypDGKLzkUxJ8bZGUeRY8G9FqjM2pd40mKHNmVvGBE3SO920GK8Q0RAG1cL4+QTgAqW5rFcQo oAamBMpsBiFKdE+Sygh19NUUA15cJcQwoBZov8npWkQoTtBJAio0urYcnM9qpBgpsvyfBqsMClpZ +Zku4O/8NKx2cop2S9UHy1ZPFKrG4Sz5805PY8zvW4xHMuQeeqcYNGcoCqiRs53rqydbQqV6QF2o cqYlNJ1b/E5Ow1JkEAhEpg8W1p8kr5zbuBAYMyBStIixqgTsASeJ/M1PoTz0sr+U6PssFLDjoJTj hGuq1+O3+bxz03DM51sNYqETvYk2m0eM7+Q0LN1CiOy8QLTu28xI6pqjbD2Md24ai3Vq81DCf4q9 xNPwXINnPXbSZE4815EsEJGOqaIOEeguDkSjAcQ7NY0BkfRF6/DB2hjnVJOG1slrPu/ENE5x/dpn QM2D9OwzFMbUpO+N7J2wXh7cZ6q+z3ayk/qdlZaFFER/Z93BT+qZW0tUNjj/AHUZoPNOgnk+ahLm 3Chc0R9xkXdSGr6EvI+9a/FBR/U+uZ+6qinz8SCmHv17o0G5u63hedk7JQ3bm7wGCR0SZ7299IE/ 1CLGhiXJiPGdkcZ9zmprZZuLgy+i3EhJE8y6QOmyqegKDj/iq97dtxCqf3rZaKMJqV+TD0JZL6hL Sconzc87Hw0X7s1cZXyEoBqbyKYoLEufedh1VLPDIjnapPvZAxbVaruL8zsd7fbDppgf82F+LCCX zmFXCcI4F3NmP6xsLjtgwXPDxpiS0cRzn3c6GiN3lj/WuIODz6bMjOAR8Hlno7HC57krA2hUPnr2 yvQ7GY317HqN1FA/K8kL950EZBemtwLROxeNibd0fNvywWvTZ6FMmEnwJNpb1oaFbpiTOsp0mPWm 2NLLvp9EixYZe1IRmoMWETzLTZg+7c87FS3LPu45iSZL3PXeZ2FUXRhLD0/MxNFjdl8e80sYtGkN vUfVCHhxn0GNzgc7ida5OzItclFCgwoO2x9NjAQqDo6bmJWhd40miHKHtQm/iNa+bJwzsI/E5o29 P+88NK6h8RVVQ55QssuaobBEvSgr6txYOnk54eMK09O9SmvoPapG/VgZGfPS9UhCQs33ZPR9q8gI rQb5HyBNqmjkz3SJDHSh+LyT0Fi/UOl+dx8sMdv0zU4iob1z0PD46l+JGYRiG5Jhm6AoqgbAnYGd NQ+3aRlkBsC3/JGMEfNOQGONAPzWhOvThpt2nP2OxIlwszG6BH+75ENQil1X5XB2e9doCfGat1qs dygpfy4J9gbHsHf2GVEL6WuPNVCP2k073slniKP6pKKBQp/zrWnZbV/haGj4oOmp/Tv37BZhce36 YPUhcBdWpfRchnJRrTJjATKooUI9nyr1gC7X5516Zj0unD5sr25vr8Jri41I7OKRP+/Msywg8wNc YFdqFK8OvRPPuGyRl6V9LYq3B9UGY6w6pu2uf+ed8SJrqLVAlsgGm6DRIYrZcNKkhSmSryPoU3So pigzRdlBgil612iCSKuuufpwax/StKjnuz7vrDPie77PIPJz074TFEXU6Pvsq2GIOrFrilcFkRTH Wt1Inu+cM8KCePjsdoebl6WMUJnwBVA8siRGgLUt4AEgJrlYxaF3DfKyysNnsorGQeWzEyvwODjf 0PvnnXD2zyGtkj1uAxC8bYaiiBpIgp2W9QzPnb+vEh394AUyJ5OHM/QeUcsPDvoLmCgNfo8V6BeC Z4vaRwVbWJwG4E8QLi6W0nUIUQ7jnW1GHACr08iFMKBuQv30cxygfovbO+XyeWebsfjxfdNX8jnu Tf/ONePvstl+noYwd9nQE2PTmMp0VZrV8d+pZlnY7SFWgw22yc7RfE5paC2fJQ3gS6aIz4kA4I53 joJb+iD45vNOM8uC0PPwGT7oDOoI01mA7XN+3llmCEXWdyOa9Kl+ETDvHDP213hIJ3d+6Fc7VJKw usVuvvFOMbNUAEUhtoM4+CF9Ata5ezGHnjZUX+souQKR9zO/bB2ZbrwTzFjHEho4LR8Mfg+pRBmm 9Pp555flR7TAbjF4y9Zbf32nl7FigYRMDEXEQTL+lsnTcMD0yTYMvfnOLrvN1tUI9+Dg9ddzYeWz y8gzX2fG5DifcDt0sKmz7vnxQIHf2WW8UZSs5uWDtRKBHuQPsvAjvsfSChO+0IlnSff2QMyiWBqs MeioefWsej62UMW51TMX5XmnlmXXwJrESEGqmBq4sifGldMG3VUQNVambOTRNojrUGvOsnqKNH3e mWUoZHXZqygSItZWJg7nXy1e++ff9s87s4wzPL4WEVhhfay7y6JgurEC2+uwUGhnN09tNBC0hGwY k/OdV4Y9zt7FFlJ6P0hplCfGCREzGeaQ2OiUL6w4ODrunzkuAhj8NX7Hbw37DQ14SDxCsV+//Dm/ JFwAD7vPO6uMxRnv2LM9P9jqvXihd1IZEFyIFovLpmzaWZtS/3jcU2uxIvU7pwyvrx6ZosU501PF byeDovwXxJ1PWJ1VPSP/HvPEgpDXhsgpe6eU4fdkaXHzKtOgaKieyIrt6XL+7M87owzvmP0kYgUA Ou1yMSJYMYR9ZOLtzQYUGZkLq+fdhnWCKg09iFaM42keQYOwDw5e9ziZOghrTd6gkPyhvyyAZxPH du4P3J6/1OedT4ZDgYHibMUGI89lKJCRdNdznZ93Ohm36XdHOqMN1fEGNkUh7qPIELRJU70gfMM/ QaCU+kREnaHUoymKIupJcsvMrFbPfMHAVI0GkJyFD4g910Zyy2ZYcNYWk1YLh1rRu0bhkIpnAJJr 0A/f4NfJCOdE5p93LplVHizl4MGO+0HcUc5QGFKb2MX4EXcTVayrOr/5j1Dnp/wlZigqUrdqfnPF h0slm5PixWK45tpUgoWd2IRvWEYd06WL2U58p5JZ1wFivLzVODjodUvg9lwTfDyKqX0RsdWBRDpf 4Mc7j4wV2I12YpfrzLl8Lru1LGoVc4ZAo6B/wTuNjNuMtM2kjnTKF9ZwAqIKbwEeRABBIvUaLDSe oxWAOQnxSbaYZIR3GhkrfV24s+WDZog2muI+n5D6nUVm8PCvkAgBaL3oqncOWZYI8Dl+hkNjvuxl R3PkawU8QrDpsEbNnv0Wnn6Xi/HaxEpXJGHnrABET8qPjbCLJN/L6Ynrog3GO4Usu+jlLCLaFSfa nSO4NKY5uBY+7wwy6wI8IdESOeYe1VGJeqr0YfHhWum57+WQxRmC3Bwvs3f+2AV4nmVXffCO64nj TswodBWgbIOBd2tEw6B1BQCI2YXhyz/v7DFMkMGqZvdBEzRR3uP91Pf4vJPHDAD23GXUtSNuSRMU wj7o65D2tpgxLeeOpWyBZMFva/XXd+qY32VIWJcPFzt0TuOZpcV/vidPRpeDqncQn2/l6n901obe iWPWMmU0fQdl9ji+FBTvwseDq+zB3lMHDC7X+bnto6gaLhHnDq42Q5n+PybddJdQhhiJZijGfRCI A8E7G+4mqycbpsfuWeQT8k2cIeXUoPjnm3YMYjzfOWPMrLiEUMqzwVg/K5kaaDuZ6ztlLEuy74Hf M1XcF1vVwph6T+KFHAU7+rDSh3AVxq9PVhxqIYi6CPaxlw+2hNJJ+RtVcWDqCBtFuRt1JKyIbwCU NFNHRqv4jgCfJ0mrIXb98F4idOab83czHg/ixX67rWzNIi7d6y6hKKRG1r7rNJPUTc6bkevrcAWC hlBX53RUoU7EDC2Zya/HTP7EhwOq+E0Sacj8spkWYpMhFc7r8bjWOR2F1HQtHAmYdRu0hgrKSuQo nG/D41HT/gLN8d8SNXRhDT0MqbXJTOsTba3sa2hNtyhIEG4Vw+U9pFabbkCdbPngdz3gQqsJwphh qraIv6L6ECHC8zrNUV0V3xE1W3n+dHbsOViB8YSggtedXwOPRzDh/HXV07q9Xo5LjwJqtJ/h2GEi FrmO6UuIEDIT3nch8B7hPgD1xsywUi24nyboPNpcb/fM4sxCo0+IwBYoWoKoa0nZpIZFj0rUVVrp fVQftMlAQG+C4p+Uo0cBdSqelFXmuGcvj1s/63GJmi3o6uzDMvwiK+U6XFNHjsd0j0rULMBWFP59 cBw1HJYmwP4gZ26o71G1GUkOiWTnZrhLCIpE/JIIXEX3psQLDeJxP1axGEXyJLBhLXg+oCDO9Z2V QWIEEr0+RyEJsTJxnQbQgwiON4K2VdPOSd2SOV31KKImieVsa5bQOHgJjUE1dgEC/AZYLNH4KKpP SOMWGYHMR0C2RxG1Se1brdH9R1H2M3HIcjICPB6xNG+RUSt8AXvtl1mPmIhoZ6BdWaz60Zz/PDfR vioQzWUOfD0MqRdhsKj+2uAFonZSmkS86bnMBuwvSdREGbSDc0DhPbvtWWTsUZ1azcQtY9ANvVCL 8tbIakefb8bjwWU2vgNGZJq93m5rDyPqAWU9UpUIgyUX3daQ0V8gNkDz1M7P+W0Nrc5Ln4Nn9guW jUSVnwsBJCHS7dhz6hC33unWGMm6xncEmX3hQZQFF84mqwex5NWFHOo4qaOIevyDrVKQMe8KCuvU 6NeXhqf+B+oVJTcrfWzmlCp9oNqjCQojaioP9i4nne5eCmc2zoZrm5jTjDyj0x+kDCA2QGEGHLU8 KpZTLxsc1UIvkhCtQTf0+UyWsjBDE48HR3X/RlKbWNg9ht4jaql9r01LTl726FzZDAFlnCkeD19h lT56FFFvqulNWcnNx0oOcKk5pF6b0QuqhTlHQgthoKKFz3bzRrqk9ahMDR8V0KV69sFgQ6UtiwHz xONRXp/+OYU6EaZ3DUVIaijfnBlaOp7PrUnaFK0bparHGUJhQ2sownyowji3FfQn1zH7rahKkEYG lCckh6gaD5ou1pAJADuUGqcCviSKhyQKo15Hu72OOrPEPWCojcf/QjCqHpkB5EYN3icpjKpbur16 Gu7Ma7NTzHunIH+ZNklRoXrxAJ6VvWkOzunYZ14GBA1x47cxVagm1vf8l9CIA+pQRUaqQuBLotyV cGrYD9ugSTqrsWgZLGy0kJ9Yv3uKEPhFGO5h9YjC6klblJac/Fv3tUXxHgjOomoFohFVqqeaitb1 GE92D8+vc2DT2RJpbDblM5hv1ob92Vyw4iyIrHeNGkJytKI63M7Z2b/nJBJV/ZxoGY8HYfW9zWTK Rwc5P4pGFFZ38hNlGjuuLjPLH6wam7LnMAjjCOvUS8REipzPa8MBgF5HszUlHUVQhsUEUa2nQ9iI nRRX9EJXB18SzJDOokpszEK0SR2js0xBPEFgjMICno8a0/8UGWmpOX2bjRBNfaZyi4CNNaTgS4L6 ozlCD5b2toaiQjXefrQCmgQGZkqDqVkCYxoeRpggtCpZZFwQPEHj7Kf058IH6OEzorh6EJrHOi4H E8/bwGoSq3fuNb5iUP+4a4h82AH1vgumHlFUTXhVTs4tS8vEcwjJv7LVeDVNUBRVLx4rW0z7MxSf oDTP7PPyYo3xHMeSsyTUoZPe+qhWQ9HiM0Lox1BqVu9gnfs8lpyHznH2GWGZun6LezCv35cXNKKY GkBZtDqKnUJ0hNQmo2SGQsbhzKkRxdQyIN6OcLgO6QA1nLSAcmbEeM6ipitPSMTymQmkccuWvWwk f4LaGVCvHBaWD6eoN8gU4c/OJeP5iFxWv0Ii+kWVWyEaUVQNQy6kHdXOoUJ1MwidpWqUPFz4rjA8 oqh6iSBdpZx3pX83TKbOLSxmEJodrJgOBC9DBu6tX/QHAZT4jmCTWRmWFukcdFDjU5nnQOYAj0en UP9K72Fgyvq7TVCIpKa1LouCTO/dIg4o2OaNe9Y/NEERkrrIwjKxocjB11AG377RDTWD4lo4QZ1z wmykXHWh0e1do24ZCZyJd73kfVRBa12NoZMCDjweBUR+TOvVOEHeTxxRmbqRGOQcoJ3GdniMNaWN 0mFl6hEF1QK97Mr8dVdusqwydSlnDZEmDUB1t1Mo4fCBnsTsj/wSLLTxHb8GQ11o4T7tqh/QUBfM d62NxyOxhm8sfkWPDr0Tm6Awph7z8shJcL2djvO6Lk8FPzeboDimbqIpVB9sizVIN6whtsI57sG7 Q+KKCxMF7BMuPu4Cu9vLBjF1E8qTzvELF6BBzc9z9GyFbNHC80FuX9b3TZbpj3GnKIyoAcZPlFP9 H9Zjc/aIWlxOyCmQzsDixwjr1KJlDlPBvQf1udr6uQcmp6j1EzUAFAi1hg63ETCi6X6rUv6WlE5I UKyKF0ULyi6FhXi2E0qEHwePR9WP8hUvAtfe811EM6xTN8SLFOhnztHY1WDXflwSMIGyzDlmrI+n Y0j4oS+s+Znpk5N3XWWpwJtR0qYs8gC7329LmiYQ+I4gdaXHCerDPuicPocnNRTQwW14PJig9n0M gc5ed/dgaEYBNcH4qRRhY9ZWQL2N0HYnaFjmOkN+4uYNJhW4+aUC18aAv4AYL4QckvKR2JoFOFoT pCosPXNmTE/kChIziINNEFRBpyao4PH/S8+eoecsfk7PKJyGW+jJILPBq2CR7QVGWZ1RJS85B3iG 5ESdP40EXw6ecayzmyCmRtIUnao3PRgxcNV/QWOozYsvCfYYnZf+g/s44VUXP+jEqRWPR9S7CxQW OwYc18t4mVFADbcAaA25Vt64cPzek5fy0/a7foZlatKkVyFbY5VyFT8AJVpNcqYJtt2S6aTlUoOj Sa3OvMuLALQZ4z4YSQtltR2OfxbmCQKEB5op4/H/C6GD5IdxNWNmFFDXUq4ONTzO1pOS5Xw9zlIy VMOMAurBEIfGFjZ4tAjzxTbUtEfXY7LUlglr3aDCUezc8Pi4SPElkZwFScCZoGoOBq+CzChTjrOc 8HjQT7zxNElTOMx2v+d0FE/jfH3cqaFGfSVRRr3szdIM1jAj3EenS+ALNfEcQoO1WKrqVBTcWWIE w6HDP2CVh3dH18UZxdMgywAzxOx+KzHGEsoQ7lGmPgYej1R17jGUhN0v0Ii/UxQhPyDNATF8MzXZ bMdYp2OOJ+VYuuxnVKdeksOv5Q4XogdWHCtBGcwH6KOQeYfSHlrnCAOdJE3NxRlF1ImYGDA4NFgZ bG+AtLkuMq6yWPDj9oLkko6e67p3WchNZHFoVU/KdvakLH+1y7qrEcxQO08E8qU69bp1ajhQJBRM eVSfpVOkkFaAvGiIoWkN54X8rXcNumUiTCGPtEF3WctVrTOglvB4hK66XXtdfFDEvw3FGUE/EIGA 9eIndZ3OTczYqXZS1+UHURRTU7SrrkkAGgcvwa6TqZ6rjmsI3jKF26zgxGtQxMtU07Y6NRHnM4qp Kfd65uYZLOs4s2QFxt7xeAQf+m6X8UcryzH5MyQnwohhte2eneMKoPX2FIc6WQvYZaHkhxANWBA2 eN6aSmJjGtUzkGbzkoM10TuFOeyFCq+id412mWBDtfjgHdexhogIm6/4FziGEjxoB0C3QRO0oog6 EQcr69ezmGrp0+6yNdpTos6mGrwi5MeQXvAk3Z6D9+33+a3pRY67DAgrecLQ2gdIYdpVf2tyrxD5 ITmdQnorB4fGJNXEzx9c8XikqvMPtSzT6fkC0FZYo+6k/aZnhkys4Rwl5fFV8rtshdCPLiS+xBrm FWuASEDbdbBGjZbNMG/cSa/Oc1gyZjPwEFY6vuOX0gfcXqv4rdjW/FMzhFfIyTx/AJ6PZGO+C4zA 5LTnKluh8jSoQegV/7jgxy0OyY1aEnEuWr5C7IcIYwJPWZujsV12jnDIJLI4BCC1DE874CRwD/xh cm0yjIBx4jui6pk873bzQafQ+W+rqmdgAK8wpO7fytwc1YTiBIXsxILaRzX6BorV5dY+ysUwzruE Qii1ltBS49XNMgpTyhP7DjGDzs9R1Nev7AZvWpc95DLiz1ZYolYvOotclp1cdlIX+Dez4LMrHo9O oW+dSkpmmZcSZygKqYGleDIN/NPyuz6Z6CmFKi0aWjE7kR2grCJRnlczBrgGiLmxOpQR8JAjnRAO dACwJ48hXmTUOsJ3BOUzNVyFpN6OpAalYw4GM232iceDPXaVPDG1hME+5LIVRdTIWM/fkJNhhyDw 4FYc0ztlmSa+nKBY7EN4hnIHj6j7mekmm9d29sUGehmwhnMmTximZa4fs5oiAm1FwI81LCsbPlhI Xaj2TXbRwOMB8GONr02G3KfNK/axooB6QQ02UV4NtKCa3KzknNOpW+mDgCLNUBhQK1w0zY/+7S4B 7JDMuMpkUagL1nByDmRvmWYvKg6BX8WX/W2GVpE9Z2b4h8w+nROY7qXnzG94PFKv8jXE+ifQVZ00 AE1RyFCkA8ew5gb0vRzXIJkkFhjP2eG7LMRSd+nf7zs4cwoW9x29M6RlZzukpLTshE8dqLvZHV1V SPjDd/wGa4BjpQ+KqM8vIozA+S35isFB/f9p6kDVJ19KxwrB1J1CldX1UIhyN7R59m4r+usmSB1W qYdqr5Jc/lKMQQGWEg2kBeEGk77X4G5rXK7ebi0kZuNLAjS1MMKA2tlg4Jh0LklpEayKxyM98/Ud D6GD8SVovkKCIuh3Fa7C6nQ0O34QvTV3wK20l+QUxarU6ZU5BcUYXKrst55/OKHVpOF0Q9EWkar8 TC0gGkMvGwVEU5HQHXQSnfB6CfdxflY8Hq2if657VSP8JNpRTI1a5DmhXQAtlewd6apju0nww2qM O6pSD0FiWr2Db7NVGzh3TFxxltapVgdqD7ilyWYwt1JWqXcUU+OUB5OnNh+0hk4uTNnoc7YMPv4X 60XyuGB/7MtP3O8xNR5jVai7sHnqTpWucptiQIRcRMLmoeIHlc2hsOmDb7ONGrIrmxe0yUlyLVh0 CzFK+jJOpCz+DvHUnBuA4n0wMjmchXgbnX+Jx4Pb7OEBq4fE8otf+DsOqhOoCs0E0GBrYVNkoFhM UQcvRlMUBtXk288m5dyW7yLqUBgq4HXxrD7LVZYl7A7CxBd4FUfGoAGA7whmSIYuuKRtEA0Y0vhy ljyfisf/4icuhZrwcbxrKOQnyjugJqvCdvcOgLXhTe1B99cExbgPK78OH7w8dBZyXlZjXHAPYOaa ATzsoBfDIvA2g3ib7Siq3iwyrt3voHOo4IbkBbUa3zFidXxDYZG5fvWkdwimnsztDSW0c3ncFcrw Kmyu2WcoBlMvVc6qDx4RVcCpt657wP4qS/7ohwCrW5B43gIaQFn4jggZI/+AOX0wJnmSeQbqxRWP /4Wt4rWFoAEiizZBsbELjqGZHDo0h4t+5HVlqVHKtwkKYR88fukhYoMjYeEmXeUoBY+RLfhZQ5m2 DRTRaEgmPH62dw3iIQumpcZYPXE9F8piIxJNk4XHI3k4v8l4qsPqu7dbP9sR7iNRHn+4agxaQDZD VWxXqp8ha9AMhQp6ChX/A9A7YfpAy4eZ64l3znHB8BtGrvBQr5baqyPdu941YriK8CLtjz6vUOUJ s9jsYj9xh1XqWz+jTJFE5/edoTCmlof7cnvbmdfdZHU88LMs+t3+A/hBqQENzliAbecQhDFNyKTw pOpy4MPWnNcciC68+I5IHp+iTEPiy6NfZZ1zX0qbCea2O5SlHt8dV/oIyx+EExQXqcmSNhEdoBqc n1jI1xJ1anMJdX5OVKSWToOR8G7mehIaNPmKKesAYrLN76TApbiwMePYqj31rtEpxKqHSTEuCxeh h95Z9kOmxceD4kf6PqZPwPrTx83KdhRQt/50N6TzVfwUki9FVe3Dg6EI9jG5dGAN44OfQue9EADx mG6gBNPSFQHWD1pnPwhVPRZin2OHgh9ZS6cmH6xnfyZa1m3nvMTjQSz0j4wn0t76pY2fooAaBxWE uy21pyGf561M1ZZLDErZPIWSH6a51IoPmiJoeEIZJdEoCNrEk137AQjDhItDRuvbwUNr2+sGFE6y 48ceGkAxUSBcT/DAVPDEXpMfEOE8/5GOYZSbrmau0OvvHTPQW9H6lEFiNVdE9BC6F/NxE5nAeYrj aupSl1Z9sJgI0P4TAeOIQI7ZqFM7Ck2FJkjv+DQv5y/G1fiW32h4s5K5MKszFyjvJDuuk0nx+SD5 aB43smICEd7R5p2lEAJyDqQFlIatptGqldJkHSUkGqwmbJZCSHVnFRrGcDY4RQjTwoNOBJgMNTEY SGCyKFCd9mUuLBmRphAE0mTLRUjahhCWsecgkMX0YZxjix8QxEa5fcVGVFifX+ZKUdF6MM8n4/1/ mPFfpMyQKAinCW9n0xSaJlbtNiKp+v2Z90k5oJa/yKSCFOuJxFlyhLQeRAh/qKnu8WPZ9roRqFEK 3iv5YJl+T41N8ZphkZzCwvV1x8GEANvNOpPPUhRjnw1xxT0pnr+WbblNfSnNUoGnj46mqHKNPiGK afMOvuWQguCkI6CorZqlMdwgnovWbJaKLStGlNrgt/xiTHEuJaYjHDRLoNksIYrgu5BCOMhVbGKq Vijgu/KdpijSBs55J7lyTXpKTwPuNRnn8ATH2xGXRse34GRiHjsFTJvfwLQTV8LTFovprFp0IcEW orgKFk+mgoHVHtO2141SfsnJqNKfZvbFBPsMloJ62nz+fZpQkP0WJToXHQT47zTFXoqo8relyjVq DQ7fq1KNJacKoiE2TVG8PYnAH41BJQfvFA14NW9iHlANn1nsRRRYFwyT2Fe1o4kK+PyWqFckdp6K bKVe0zcYGgpRtTuf/4tHLZwN/+dZTKEkSGErP4niKYk0Q4HCxzibRNpyW/IU1rG3yrPrDnYynVv7 LJ+mEiR+dWj9uqsi7CWgJWMREznv/JKI40kA6NAtN7iBmPqv1LpK/aXx+ajl+K20B3p62+M6LqUo 7IZqCUqPwyFYj5fHmI/2uVsr5hTpghRCQWHU5IMtpQk1xV1EYjxzQ51WcBpoqUB604WCmltyiv1g GAqoTjtvnTZVACrkjtcGnw823CMuQ5eBBh7leiLLsJgNVVRUHX2WzJMT4oDbpYdRI/FZCqvZ8hmQ IwOHp6/WK8hQkojXTqOsJdXMz7IaLu9diKLml0TaupzZMYoP1hOhog1Wx2BcGbrCXL6w3D9A0ru9 2Rz6LAKG6v19Vmuvh2nrF82XgcYxF8EQJMI67ZZ+NwfjMZ7zYmwwPIkzmoD1M/KkxwdMe2FG6T6U 9JbOgdHiw6lmEM7BiIywmZMoCqy3Q6fFx1+aQvowgfvyogytFlsW5DF7LekxCX4cpsu6RoKB16IA myCgsdnAwSbpJNx7QF1APDRoYNBSj5kJJqmSAVIeT48cey1ydtaQ+8kYXrGFKW5VPbJUPh+E3rcg yauFS6lerl6O7RbPJwKjUS3XzbaoKJnmxYCaslVLcui3KOecXWTFROsLzRL+dJzgZFpl+GvKFRbm oAXUKkpgGJGoNHvbqHskhYfVNFj59aQqM7MN0FEvyYHjIkr//0heCa/2BJWB5yLqicx3qXCp4km6 s7TnnaXdfZbC0HtRzhrRlg02S6ud9dTzNAj2pnU52t1QrcZUSShEMhhsZefAdNE23CDB0AauJQgA GBPk3Mt8Pmr3/6Pz0Fh7e6xNY/U91LRZ5pOq06o2SbXyrsMk9VuazIHvIlRIWb1N5BBz8JAStuSz s+GfFiQZEnHqkDgHduKHDmKWn3QzNw3VQqamxyYrFVtL8ApN8luAeWfovPjVrVVICb2ylu40RcLW Bl+r2dbSnL7jKrMarSVGYlpLYeTNA2lNZnPL4YkoUJ5oHvJO0gcrcIhTFwBIT9zeq1382lQcEJov ZmrNEwhog9BHFSoJXEvnyuHzUSvJd5ytQbjwPBsupDeCZG0UWQAjdMORNjN3t/QEobPluqH9otUC JCrTLx9hrxNRnlCAzePcUC2AMOGJKAGQ6iCDFsBkdC5RxlnfEvFCWOJOUp5xhmOBZRrptQClbT4f nd7lO1pqkDOo89lyoXKI1pJDbIRRFUpLgpfTzyWbptAuhhJqo7MOx+Hi+s+7nclhsnt29FbjIGGp dlRnZfqhQKD620Z33KbYQ6k+6I6DNjG9KFBz4PN/qavIlBow9/JccWG9+/ywkPao1v1f7YEcLzfR g1yMT1KIIdkESFRqX3K4FYETPg6wN1heGoX1zMI7iV1cTo0DkdK01w3E5Le0Z1b2wSJvaERzv8yV +fyfJCP8xxBgze0Jl0Jg9qZ6SHLAH1/TLND2vOD+kn2Wfjdj/C9TbcGt/mTog4JhKYEcysCbTs2J 6e5jxriSvW2kDcoNNyWGNV0Ma6dzEIq3n2fj81F6cnO4RNgJh9Euqi2Hnoyo+7s5Cngi5C/I1XMm LzClShleJLuhKePq0nIW2yg/bCPgRBslibGc5kJpCRMF+Sta6/Xre2qwthy6MtKBCBZoS4MluwAQ EMBwYmmspsCWEcnmvxFTy9+N3Bz6MlKfLxUeQpRzLpcYWqjsLAHDtX2WQpS2kKOSWpkutcLldKL3 yjUDNccF+AfQAFC9741Y8nVJa3KpDo0ZM6dnNlL4ORg+ci7uCPTVJp+P6MXfuukwp2vpiZhCY0Yc q2enDbUHTioyL4aUUo285c628VsucGb0pTQkMc/BgUlQwkRniei2ga1SBbspEH1aRlxTEY5RLb8l ItBy07VqNsMnpWfAXFej5SzA7GvzAyKUZPteTMj+xuOCmgN7RlxzmdQs0+lb8vmianEtxa65jLqO zVOI1x6qwhFhwsG3XDnhZIdADzTEgDJhFa5BmmtD5gLloeee2/a6ATJAVYFFKuRclCrCHzbOXs5i 7eXO5yNmTflaTWRh7/WsptBQhr5fKksSf9NdVXW0a4wGIqPF34FFI0rojCiHyfHP5wQHsWOq2ZQK gKbEWQB0gqib8ne38z2Xve0v8Am47Ujk+YQolGmEE2CSOcJosIYPTBrl2vMFuaV+8si3pBu6NDaS Rd1uEDHTrTDV7cWTImk6TlMMMiF2oklipI2bppQBOg2ckkjJBuiqEMiFjIMtvDYe8H+xt43kV2Sc IjTO9jj6BGUkgmCh1cbnI6rftzImwuomNwlNUhR/N4AoMrHWBLuxFaxiZdkOu200pNUkhap9rHuD du2DTdJYtKyudLg6GasJSHSSIDGZBThZqRxBUlhvG8AodDAtAgI5aJLKbJUBz5mkzeeD8DvdwFKr 5lwDbY07S7FZY6VGlpcFnFCCYmVNF/GWzOQqB26Njk4+++sOXtIdiFeFTgaRpA8Wo6i0jVb2z6J9 SuOOs1kKwSZVWDdK8nMQluIErqMYJXLy+UhR7FvcEHYi32WB0K+xE27SileY5FOksgAPb+a7hXpZ XR8URAJZVDbBTma+h/ekPpq8L1ICdYs9qSaEAO6+ebX7WFnmlwR3nPy91buWRAMnKYFCx5N38+wO ESdjfu03qgb2vZ9TKQq/gcv3DUdQTrrQwH4t98psXmEKXBtdaHVAXM6GO0sTSMk5BHRnmoKoErkK iYmE96JwyGnq9roR0p2nd+3NB00TZD+qtDRq5vNRTfeb19ZIvniQAqFzIypDYCUa1D2Xq4RQV2+G p2ij+bEUWzcu3W0i2I6HYFtPvHUuHjZ3ofvMXjUgFygx4TCFC+vtgHd729/IfygR+6BJwjm4RStG 4Tswb0Qd71sMAQD/NsczSe+hd/nfRGM5eD3aUlrbIYK5V19KyNu1lAL7RoWnAC7N6oNPUgbavdDk oUA+QzkM4Hw/SPl+5iPss0a3t43IW/j9M6w7bDD+H+SPplKOzucjoOn6Prsr99rTAI8tHCHCloVz bxD0u1bEsF501kS77bjQw7Gv7J0RGzz2BqcWQbcg72sBwAEIUwc0B3QnrHuHeREHl0MXxyH15yF/ p+GqAmcxdcGPgP7i839NE5G8Mp68ULgc+zj2Se0Rp070fB2bZ+1ODGjLo6XYyNHke+f0wbE5AM1U ykNkwMM7oNDnD8SpOSGvlflXyW/XGuCBlSMYyeyfAK9lg9gT89w1LBCdgEBvGaW7fnyLpETM6y2e /O7lyATE1NiAp3Wx9StA0pz3nwMzx9u17HJHdz1CiD5DihaSdTy9sW47EUwIs+mVkancb9j3vOx1 o3Kl8lwpkbTkzaYN7VO2j052zeej0Lt853HSfPk6mULQSYGQTS3V87jmAhKzmgcvmdtergxNHYUT GJu0Nw5e1SVuUEItJy1JiR1OFFCQ7wJ6ytahMXFSt9eNLjkCvVa/g6EpalVT5eQLmc//VfuWGcu5 TKE36bMUxd4ABW8TGOMlly85uRrJC1HlM0sR5qQ1LSYVB3q/cLhzxI2zmBJxXufGo8oboDm869DE JMZbjcvV7W1/b8mJYUpVDitXwnTuh8icweeDBCV9G6pBgQde03eSwsr32drwOHNXPvmoUgRglWZL qeCIskmKzR2JyKmapPpM0skLT9DaKIpUC2ADTX5h0O4ni4URp3bc2steN9hxFNmA76QPOpcGtOxk 47w6nw923PzHmA9BKrenzVJY+m7UzSb1Dx3M1LwK5+7NgC+t4rXK2N9xG+Wt+uCFk3N47w7EJpZS 6uAps8B0vnNim2Z5v6lY2Zu9bhALkMG9JNTGwQLBWWdRzX8tPh+hmR2my1ICcS+7PIspBHzTrLjy 0EbsBAi245cQqHrlxOHMocejFlNrLIs0t18sJ1c6JwaacQoid0+JAFS0DX7WhKPB+KK/9WWvG9R0 2WaCI4YPRhEsgycqdYP5fBAL3ByFISSOt/ygTmKbx40lxB1OYgVXgWDxvXnjMl9yTg58HsGkozfW kLH8+DKWr+gUd6L0FsCDSeBC/AgFAh3iWMkIk4z3HPg82uEN1onsMqj5lQThn/2BCgRGj9+JnFAB gNWuJxQInR4ny0u1qJK0iexVFe6ssOtbvLanKKHVo2q6W8AbDrdy0itaS8TmQGdikGfQqDYBaXAS C5THDcVLgdej9vWr/vEkJJHatXo+uOKuGhkBTOgSgz7nkxQF35Wok9W9B87ShXF0inN0uBBskqLg W22BNZSijPmcS+kEKbBx4SThwpYPnaC5OBNAkjMypWpwodtj2bLNmBqQp0qv5jypWQIKiB8QKSP+ Y/AM9Ep5sHCB4aOx4WCipxQlCx5gNYHHznDfWYrL3kRSkLatwctLkKgQZwhaCS0ZEWOoRQ+J1npb coqWAsfHK6Wd1b7M24PKDkEdro4MBFNo+aidwEnihYirspZbqgxMH4WrPBlKcTVtNgMZLvW8XKmk ZiqVJH1QdHizZFLI7uZw2QOQaKBqy/kTJm5tdpqoggxvZCptm/hf2/a2ARWFRMOEHNAGqy+1Zrpk Daj40PZxbm9cytUICUF/kAKh8SNKtZAhyhZ6U/1RrSapbFNWam+H6AbOj1hLhqscPlxARZmYJKa7 QAxQxKzQWWbQq5S/vcNOtr1uQGtSrRLxiw26lZgqMkM5pymffz+91/7G6KI+3Ot10MiB+6NUVkEZ sARlU3jGQ2+vL9GRymYppFcuipUYiIskgHsunch+Ccg8TvgEKTTATiaiSuhIpcfKeFLALQcGkNnd +6o8w+twG1rKVcsSqur5CMLUvuOlDjvu+rRQQgtI1F7hSDEuosK3XE7JFbgKKlC25SLYiUzn1iZO mYMdTCc1A4m/SLekJwoiwjYcMQ+KRCs9syS+TmACqTQMfTgKl8wrXLLIu9MsoWsZuEB+QyuZ9EGt uecbCXxew6Ui8WgYrNpaOhvXawJjmhfbOX2hPMxJeg2WCtjwPLurQKg13QZKLxsC+JwiNJ16p7x2 J1dkw60Pv7tTmhAsvYZKBYqZkzKSqsS16Sd3KYB84cZqC0+/TE+BZKsut6ZAiUYeNGMaDD2i2YH6 uOlLzYuLl7T2EscCweT2ikkwOyoErNbu4AtojN4GCG8ovqGcToWyQsQIlDvET5UFgoHhX6fnxBjN vI6mD8ZBhYYaBbgKyriv04MibvfpwZthq7Isztl5jY/OQ53q/ptCAWeDlVy8BFDLcHGpDq4AZ+c1 OirmfgB1xOGDoU1PkA6mUpFa60mqNiXeJshShdqC+9GuJ7z7NTYqxvE+qwTWaxqsxp1akhTSSQk/ r4FReaDdzagUCGCbL53XsAhLB3QPO3PIOzG3GkBLyi1JFveGeA2KSqbeK+Clo/rg7VvIenXiOXFl nRhkSXJ8Kt/6oSKxJqfz7HkNiYq8L84tT5EJmBsOtaph+VtF9j53fv68RkTlIZq0H8NJTlQyh03P azxUJLR07vftDAqBkhA1trkdBpChJSNz1WDtDKLceB3YYDfYhmLhIj3m7M967n1MJID0FUouiBnR btPOonHg5zUYwsFTdMurKjK9KnJ265wMUiGy8nkNhexpm56iQ+pk+zPb9LwGQtiPi2YHTjDJPU2n BfS7eqiSIuPQYHomXWmWykbrKRvBNxeqbRS6OZH2YBEaomS86lARbMNxSYU6aJ/XIKiACyPsFmUn OaitfYIIsSgQ/X9eQyCsg+Z7SzMJESJ6utJF4HV2rKxWSHbk7DhlEvISfdns9JZNS+o1/CnSyseF Lg3S/ZACYXx90v/MbODcicQgnWMZTnv0Lwau+yavMjyINpcAJFkSCtndrzfAc0Rko4v3eQ19yhP6 tItxp9q5Zuc17sGxXCtbIFc28vEU8fwDCVW2VOM16sGxLNGNLY+s/eDbUSI453Ih6b2fDBXNRhis nQ01KyByed3C7CSZ+zXoKaYaOTJ12DXY7CBw4D19wqbPa8jDN8xfa4du7uvOzmuxETsLs5OHcWug cOoHT2HhT0k95PqkQxacy4nB4JCiKIcbE+bewO87s9NR6yIA51xX5xsXqaNMyAzL1vSqwexsEraA xbGB31Hh2yIkcil4+n12XOzPIh7GXYLY4jtfi4xFhe6dq9liLXF8OD0ys1RhaF9tmyjioabNnFQy nrPcPhrMo0CIIJF0QDBB+ReC6AG6VpE2iCBstDR6LTDiJ1hs6yO2tMEaH+dMWdurQq/lRYZ2fvAw djxRRy/NF887p43XMepma1rdLJcLy6o87OQJDkCGEf+i+REroj2DE21PhLxaWsziAWOX8G9iCMn6 OiloJlrbpAEQrR/Bj+U8x0EV2AoSPS+jMkAhjbbXzN8xIRLT0v1efye0Fbmd7yQFEiwgsifE+isX InLCFZ+hMGaWX1hdwwen14xz3BfqWuA8A8QPHY+NbTUHog8ag6sTO41eE53OAvk3Kds0N946uRhg rpihXPn4X1Ezdtg6m6bL3pEz9B42KxXZJTvFtuTmFeoq71CSR7vfXu9cNnwO+4prt+zDPaDnOLNE ZHaB0eOmUHtDxZ5bA90PTyqUvEeBc6evGoCmPtjl3iB8zzsJ9KP3yPnhQjRjH51fsVPTWzMUxc5A qCFq9ZJiaTf6Ke1yIeYlRUbBs1x45yLYiINDQ9KJ4iktfdYQDOALKyF5c8eA+C0dJ7vCkl72fZdR QeLEoVSxy4+KHXcvi0AFCNEwfB5+xePIArm1z3zPoffwWRBh6GYa8rHUi3xk8/beYj5D7/FzFqQP CWL2QbkXtBAmFgfVxeeEe5qg7ThMeIP1bZC+jJIk3zU6hhggNoEeGlgBWkQDuSkNJXNqn3cKG0Oo 79w0o0zVVDXgFEUhNOgH591G9RB6X7HIdjMMSL/bFL3H0NlSjC3XFQ43eS8nr6hSz94V0BaWpQE9 hfrNzyqP2wode975a9jKwM3gFhw+CF4EBTAiqeu5Hz/v9LUijwSbIU0ROuSy5+MUxXE06VjL2DSZ 8EhVyPZ6evjTK2RRIE3IELB03QfL4DMylz2mnOVPbDGzbA54OhvKwB0edVRHgXSTng1ONRsMDXIy uir57Lw/79y1IoTy90kEIvPKXuF4p65hd0JCqvadbIYqgUWU2yVbQw18bADNUBRMCzo7iWDQcNtk BepZbJM14KQK4WwZ/caOshu10FVDLDBU4Ze8T9EYdL8c8w6aosFmPr7vJL6fd+JakUWehdOCkK4f aTJrit4DaoiPnMB2955uNTrZFO1mhfyzT/CLqxodRdTAQ4JtDDiIBltEZaE/2sxNpENNg7NIZJ/0 264GuzU23iPqTDQ+7jG71ZoDQs8qZUkYxqz5805aK0+9Hu4C3J9oAfd7nUUxdRfu6iZku7mfcxFi nYXoVbyKGAbVk/uL9iAabIawbBa7HDiseyeXEuR1QDuhK/nNWZtT7xrNEKJprmgbOEP9ZGrU5UKa tT7vjDUGVPvrrMYhWOD7aHXEKKrGZQs8w7RK/agOmDXHUMH33OjgnavGCZoCyg4fbIJST+CfFp1D oPQZFBR/bcYFnp03Azas3jU4qgvLZFOMkOn4dMD/VxY9tOTPO1OtPOSi8xfJm4XGU37fvxPVeHwx LTM1NhhiXpmInvykLriTNUPvUTUiIp5DEvubj9jfRMR44hX8VhnsHBa94T+XITWCIg3pM3YO5aGX DRIzqkWBheuDwYhOhM1WYp1tft5parzNxvdtBoHTNu859E5Tw8oVs8cNV7LKQ6xGp3V3Wfda/TtL jQmMkPst+eCp68nyz1FK2VogGBpMCQZNaE/qWok2fZQ0aAbxTlIrkg1DTla2Dzqq8/kgHUQnB/+8 U9TYC7lTJHIMizH1FuzDwHqwKL1chH3OdU+i7s5PpXt/9Z2hhu9PVo5ePthGg8ImCPh4nV5Rdk3s qwImMvELndirXnAM1UbeCWr4EpQ/YNgzfbCOGHyQl8JUPh7Vpb3jg1N+FpTahpc/3ulprCkhOatW RYSStidnbVefocGLGVHjOzuNIRFri5kWdCs/FnRn78Ixgxw+OK1MMUVAdPjpCKQg7OCV10JZzXd2 2t1oe67igyrTJxdeZpiR5uedm4Ybd/kqYpceFd82b/H1nZqGRdSAGNo9eWA9rPp6LvziSv4PH/Sd mVYcRbw2CR4cLCZKa7JhugWPRWuCzGIgTWZCu6EhfpxS1cTUft6Zafgbk9j8Mu7JbjB1lnsuSffZ XJ93YlqRqs5TJYKYa+3ZM/x3XhqbqlI+alYDqd2zs87mnyloew3knZbG05qKR1XNn/o0f8BphKZL 0lHUoUvJGTp/H3GPKF8/rbGll30/ishHO9MkLtF2218EpUPSqivtzzsrjcdu+aqBTMoptnvjh3F1 4S3m7R+Tih6ydb4s0LlM7eCdksYlDAYmwEE+2EEEaqf84FFHS3tNMY4yUYnUHxw3OStD7xpNEAVZ q+RZ0bEzV+fS5Ll49u3en3dGWnl06pv0RgdrL/c6C+vUSyQir1PLN3RIgMXBZ20nS1/f+WgsIm+2 VUno5OBhdVkUpRFWH3oyXEN9C3oOGqYrjGX6Kn3e6WisYbAEAsl4GxQTnfW4xSUDHe2djVYkffFV AgERKeU7QVFUDfMKcA18CaV9Lehmu4X8uq2D+E5FY50AMwPhRx88qgaatpfUxWrsbNgw70C4hnLI upKHk8bg70S0ItEh1Khb9kFXWcVhb5Dh8XnnobFi+F2Jhahga+U2oMOoGgWQJKRih7NIdmhHJYRS MCHkPepAR1E1MKzYXOMOViPKTJsGe6xnrpLL2IE43FCmnk+peqDg/HknoVmjC+0AraDsK2iWc40I vXAy4s87B60Ikq0JoliEtKHvBIXoDrleZ/fBnDeozuXrmB4mBfXOQONFhoSsUiVag03QyX06lD6E FAaqp3CtIXyiHDTYDuQ3gQ1T9K6/TFDHz+mDlT/O2U97tJMC8hWDLXZj6qXDnXxmn6AopG5Qqc27 u1ZWu3TPpgYauh3wwBNH7518RnQQc/rR7uBnUDpnUCpDCI+zs5pB0WDEt3lnrwezOPSuQV4G4uGg E70NKqEVmAkK2Nn75515xkP6uwwLVjwb7TZBUTzdiMTbVk6EMI1a0gjki08QkmeboPd42mhnjUU3 G/wMOj8wyE9F4n3nGGW0OG2JI7PP9wyiMMY76YxYANpg0uRxoH71U2WJCqWULN59Lp930hmLH99l 2CrPdI+n3zln/FmoY8Ayh4DmxqueQolJYQXXnPZYVKhOXDsV0Z8Ntscm8LOI00mrTpN5Kg5y3IhQ ib+Vjz6K3jRKydgEglCODQaggmMiWx3nd/y8s83KYyXi3bJzFfThpaF3rhlji0KBY6Ody43LwNNG 2AcseNol9k41s0wAVTMeQRw8DgJgZC3ZOaMCMtQTQYsefvM/88vikdnGO9EMF6X6rVV8s7p8gjZE NhgKj14/7zwzrIT0nY/RaFbSj5ygKJTGQsevmzwfS17Fb77ZSuvFRVXeWWY8o1ldBMDaBi/A7o4k lRA82F0blqh0iPaBbpt1zY8HEPzOMuOFQv25QvQ0B2sn9pq6vIrxI76H0lgM9esMAnzl7P27hKJQ GjpHKJ45zNXFsXCLrXSLZ8uKZ+8Us+LA8l22Bl4qU2TzhDI76x5I7Krc+QpEsxtFpdO+hBeu2s87 w6wIPv/VkkYZQ1vjnF7q4Pd1QsV3hhlDxW9ADPpbXTRqTlEUSyPqhUKjbq+z6pOXhhrdp81IdZiz 2ju/jK0gba+VfPBjGrWgRVEQCNqyP01thxNGdBwDtMowTeOS9a6/VIagh6lQ8Uy1A5yhYIOLaJyw 6vPOLmMraX7N0GC399YX37lljOBhG8ZIQkRFlj1AVJyp304Q8bqcoahEPc2HpmUfHMt5jtQxYWaM rn2CyJPl9OtnSlJwuxw9e438kqAVRLVHWubYoFiogewlaau1P+/EMr6jR9N8N7jTt5vTv/PK8KVr s1em0r3nY1sntUvR10qtRwAW42iaJ1Bl45WDVz2Qck8IRJw1NNZJwUgXyvBzPtnZeef+YO4HBfvf aWUEJpCRMKoNXZcS2iMtSdSqzs87q+yfZpnkQc5V2vPwpP6dVFbkkIGyR5bAeoGWMf4J5soEDDHh aJShxxRF8fQkMXGtcgdHLcKRhn4h9DQAB5/WagzAQQtmymrRUCt61ygaolLBpKMBB/3w/UywLHtO XP55Z5ThJJg3p5eANtrRxQ+id0YZFhH9DCR5RQonReZU+C1iczIlyzZDUY26NfUR2e/g4DXqdvLU 0mnHCwH/NihTsCoMaUDcgGvT1TFmO/GdUIZVwIg6NQVELbnc3JmknVXG33w8Kp19B0SN2pzVs/p3 NplBO9eW2tWGqUF3x4cKGDtnqNLOhFYG72Qy75WB9rR98KQV+vls/MDJ4KypzV5ZBitwoOyRpcgn DWMyEt7JZDg1q/R3dB51N99KY606BXg5EfU7l+yfCrXkZSaadfcgCivUK1ExfDr49YJjar3g15bd a/adSFaMItWuomS/dQ+IjKDyQaGiDNKXpLCp7gX/ywznS0tbFy0x3olkRWpM5wRKYkil5sB7vDUX VKutfd55ZGwB1K+0VQZJyy+zdxZZEfvs7K1bfm0l23Xf0eO2GWJzEpfZO4msiJKDfDVNH/wy6xmV opaE/EBvgop9EsHDNM0rnQaBY37HLxN0sjI1OVxq+1y7Z2K1yc68f94ZZOUxUrW8Hidju6iGdwJZ ka3kFjpbTrPJbvsFzoVue8jlmUfPO3/sNqTzVgXNZd+QlBW0JSVbDMI9LS9hA4E/BHJIbMVqj1GK +/POHrPiCrDBPfmgvP7EW2oZAD/xeSeP8RBrX3uMtun5maAopkaVGNQx7yW26i6qtfSryp+c2fLO HOMew9IphABr8D0G9evelbVWfCr32OKiAUF45Zt00Evp804cY15F7BCqzDZY3np+Bc7WCdnn5503 9h90Fdwa0HLxCQoj6k1kee2etw5350sUv1Hhg3EEj+kQRl0IaYB/tA02QSBFAx9mbNazmujBNmRB RSpGdYNHFjbxHcFVn1g6g9yaDYI07JoKYbAVKmAtCqhnv+wfpnCIK6X9wRkKI2okro2Af9bO+jZa NODz+8d15ovV71tUnrYZqmP4cI/ptgZ0aDhDKAztqfo9mN849vN6/K51TEcRNbCcZ3dtwTy9/gRS 1ezydTgbFo8HHfvSviJq2uGR5i+KSxRRQ79+437hDK097cAGP3M6lhrelcZxeY+o1V8Z5LP4cK/6 E3AUyFujgI/StSRDWZdhMD2v6VynAFj/nXyYFquLaT3VxQ7gHyuGo+LxgMfxL4MMNZL6cICieBrR 7a5iRtUfo3GZVXHzJVRbtuJQj0AfEJnAzPDC5+DH9EmME5BikpE7t5jVABIhBefnIY+ri1g/9K5B xlFYHLLsdbozyFk/idk2zKsnHo9YUutrBaG9X8ZNOHoYTjfihIejYvgjCs4gLoekB7yL2KP6tAeL c/pgCQd8d1KT3wV8wnKhOAF8MH4mGCoEBDrDF6kAvuQX7Nm57Hgazcz2I+Pp87dnOhdBJAXPByzE m3IwKUO3v0t5gHMU8hDZBaI1Ein1mbXGxQLaVUwvaZq4To8C6smlsSRKvB5R4o222Lnrm7r15+JH 5fX8DxTOJyK+IlOQ+QjJ9iighuIGOYjdB51DJ33c6kWf7A+PR0RND6gJfsA5hHKWzVBERQRUA6on hvGEhqbNUGq3xEjTF81QGFCziI+73Qc7qSENkDo6YJCU7yf7onAaBe87mLKDEYkue1YYe1SkJj38 rEOi9MhtV4zXzheS3Xc+veDx4C4b3+cQcLldboWcoDCenhBEU2Q4CDu/5bO+vTiUADFlTtZDNiIP oHMeZB8ukhrI12FtoIpWqyS+GgzwWLy7BUaq5eE7gsteFrP4j21QK3qejzSmLw7qKJ4et77IU5mT s4uHQz2sUsN5p0jR8+SvkDtU5QPM+uLWcolk387PiZaQ6Kw0K+bgxaHObjThDB34HvbSCsRDfmZG FWHUazRLA2J8SVQcmlKNLT5Y1logT68pmnj8T04HER/SDLuL6D2kdnV0igtL5Au1X01RUY+ezVYU /XiZRSH1FiEo66bKl7EAbmWVvTgS+wSqb2eZGii93DshYG7kSMe0HlWpDZZX0h0MNDSwbnmZ5YnH I07rLQ5tZXCug8YZioDU4MyBWmk6++fQq1ZhrBS61QyhBq1FFCE+pDawpySZpqwkNUOoAxE7BejZ Wet0AmMtgS4gFAJ2JDXaw/iSCHqWZQY+fdAiwioXa+VsXjweARjX10GUIdAEP3efojCoXrrMhDDf 1DgxPYZOrLmMLgFU4RRFZepFGsIWiZODLyJoPO+2CKekHCcrbYA6kEIE+ZFrwduJFO4R6MMy197v YJFwAWEU/7iwz0KC4mVG8wRjfvewy0YUVRMGm9pOltu37WBzmlO7hE62huuI6tRgCI5G9SAbPDM7 P/amdwxkdZG+E542EVhUVMkRm4rTUSjsjO+IukE8pYcQaOMqooPNmcwCLOPxIKoe6SsigstWKxds PqKourNfVt1CJtN0UcUPCAuZhQy9phA0jrBKvaV1srYPvob6uegmYCUgl+VzhxBtDQnin76QCdQy rqoXIHL4kmiGiPdJTaLo4ILOHyPgidAJD0c8H3WlfZ8RfN9or7n9Phshlnqhfn8D6/PXeP0jEdgs FCyNmriIojp1ZsOsLoLPzinGKFepWe1lkrKd00htsk59DlfczJBeLf258bH38B0BwlPEILrJYhg6 UjYAk6wnnHOVrxj1Or5DIghOlJmvAEEI/OgEm3crwoqMYQzFeeUr6DTOCYqiatgCk+UlzIL6e+zb n7hnDVXQAFaFtiep1CB5UGB3PeLVUN35jBD4MRgsJvpacbCg+gREbD+1M3xGWKWuvoT46xmWwico Cqo7S4y1O9K8PSVGahupxAj4onZZFFQD+D8UXNrg5Y+znfZEORrwxbb7WaUUFsK1DLGwzATSuGXQ yvqMqEqNWndjR5tDMnE3tPtLZ94wzo/wGSFDsT1w/B/iIJB4eJVxRHH1YDcom47XmaM+PfGYVz8H XiY2R1Fcvcg73MTGaHCsOarsaFpyEZ1JqWpLL8ifMVLuF/yxybUfIY6aDEWQrX3QUX1upLyV35/L bEQ46gcdU8UiQmEPVCSbohBJPanWUL0Q2/cjMVS8EAuLSpuiCEldVGBcOmXWQ1goZ2n0AaFclPJP yN0YGpzju6JkcaamXI0h3tr4jqhfRuhZa9sH7bMKy12evrsPPB6ERPNfABFK+RdIPaJKNV4XypTX Nu4qnxf+LqpU43DUBEVhNXuuTXV6DDxDyeg4wd25u7YMGU4Atorc96gtds6P+RijArqd8R1BQLTM pXH5oCLaWaV1CWm+Nh6P2mXfBxE6mrXMexBFUfWgR8y0Aj5oQcNrRJ7yw8gyG4BohFE18ePYUj5Y apbpNdVIvkMxjv5YMD85B3QD3D/3x2dgawmFUXVjjbrQ1prqlDo8ikiiPH/3wvMRtOEbB0tUw0r3 tg+jaliiQqVNUXUGPFRR9Zmt+SOVs4S/hwWQEZaqqVU160g+2BTVc5mdPUCo+ZmMEyRC3AG2uudm p2BxZle6SYzJXjYqo0myYQ4flJvBkoeTBelLPB5VQNLXLsOp1vPwk3qGpeq6KGrRrW9P5Rl2pUtv w8AfJ/+3CsgMZfJ0jbWdffCOYgbriqx2AGEb4PjkklMYGC3afpvSk4YeM8R+FNLtIVNog/4qZMRN gXJreDyYoHab0kT4AZDSyj2IZhRWo3N9rrAlKUr8tMUXEb1xxZROVFvs/JygWE2z3N0EQ/ueohPN Fcs7KihglVU02BGTMG1TpFIs/XNmSFBUNZ9e6hpsisZm1xhTVPB4dBDdNcR4DZuWEF3NUBRUo8Z9 joolHsc+f4vh8eemeAdPopO4WbV6hgRFJfaZaoIcLpQRiTTv9HzWyolqmJskIOQGIor8hY9ZVCSY cbWac9PoqHtXKjicxYpEe1U8/he0gXwiYA16n3efRWE1Moq12GlnWC0ZbxKn1hcRuFrUOMNitdBV pEFruAiik4QQBUDB5fPnTEkJg/vGAkR18h2+U+8azZBQaKJ2TJcrho8dZVwQe2Y8HnE6rvAZtW3w /3dq+2iKosAaevO4GUwb5SQZl33H9ql6Hs8qCgmKFBCmpa0N9z6rA0K5dKw8MeQmDKJSWY1KMjJj NFA+VjO+JNhoNBWaG9VYDYayymtJs7P0iseDvuI9i1jEgjgK59amKK5XIybaxTDDmaQnU/64Aju9 LouJZoT/6Ev0VomapkfUFE2t1SVrUc6sdyl7FtarAeSiT4zFRLRhnFFcXe06W9sHA1mduJNEYKTG eDyqo12052Axtvx0QI1thiIAyEjMyYbKHRBncISMoNFyhwUzkDf+jOrVRuxQms/BZ2hNGDHvJENm 2HhQKC1j2eDgJlbWqNJUX5xRWK3DumxSg8om9BSZxwnBZH/bM+6zEAByo0YiIwClr6w7aIZCgiIk BhMhLaoS1dtaLMUP66xKY+LnBDNk20zl6jGfGUKFFdxDQoY7HMNxEp2tC/gQMPacISvob71rVNCX JgpniIPlZqM06cSdHwKPRyCrO0Nq30Me/2IZZwQBQTn9nNB25sDT2JH5mZgBHkQJcbFmKAqsh2Ii +r9o8ObrKAiidVavOQeNvCv+KhSyoHNDwTaVq4k7n1Fg7SDGvXxQ6nEO8S0a8Oodj/9fUERcxOW2 PGbIUFxQM18u0mSq05ihIbccklwxWdplse4H4UOFTE4OFhINQqm7kHp7njhLOqjgklXJg/WLGF5F 7xrtMqKIaJ6rwXBouxCMCZkMvmIUNXriwSUEZHa7YM8VhdXwKoCQuWX3PBiMwqkTSY3FbptsRQgQ ku2xdoYPXoqdozeSath5nZV+VGdGELggVqVL7LdA9wpr1UmKKFA71eDZPfyo8EefsASPR7of6ysz o/1AvfyyFdaqF5VjuhXzKxXq5B+kuj41LbL7B60wqBbAoac72B5rmIyUJc999tfQf8JGQwNvDfRU xxBhoeM7oiIak1amvTCT/H+MfVlyLLGR5H+dhSbDvsz9DzZw9whkUZ3Bp7bpBqV5yUqisMTiC37P j/wn5ibUAUIXeP5f0jH88hBWpcsvW6EGdWXVYxqUMVNeXgWimq6nGUWJOUMhBITq05lS701p70/j EhpIzGAQzxoa2M1LLGDArECg2je7xwHV8BlRDY30oJ2LDwYigreVxdQDj/8vghZUzuq3H7SikBrS pOjmXVmUPO9FNm6BqC0LqVcIqKb+GzyBfPBjOp3UdanvWipco4YKsVjeSObrF8OMMLQVV6oZDFVJ EVQXsBqLkHMWfXbF4xFSL32f0kXGSh5RryiihgwUSmjNmB15ZSvDZtL7lZclF0VZIUVxGITzDn7V n5h9NPOcSP1EeezvU2mow6WDUo26x+jlgM8IVN4FkOni4PXlmPw+gH5iuNgnHo+CoV+ZK+Gwrd9j KAqo0SCFupE7m2dXQYNnitfQSqrmPLVCxY+h2iqDIQ4+Q+fymovZD8ppqaYpQ7zzvuxSZ0hHuvEU kWgrQoAsagonQHZtsIgalxn78aMPPB4gQJZf9UQ3IC6fl6a4QkR1KtSt0vG8K90FpRNX07bqRwIW XDMUBtQKF2vOPtxd1oCs6qwyjpMNa7UVYCfY+shpXBDRHlkvG4GI2FTsWd5TWR0zsNa2aABndzc8 Hl1lt9vxI5RVf2gLK6Qpwo0jUQ6CrXsiUsWfyiNZkbGihKcZimmKkothfYyDzdAJotvqNAY5ATVq sdTcgzkedOwSxGEv/mMOvesfKUef4htMZapsuqJK11Uf4isGB/UvpnQ7L9zzFc5dIaQa3aAs2W4y XbdX8qll4KyFbo37FReq5fT+DF6FzZCCoa0DcDaLwEwkVoQSNS5Wb7oWiCvzZYNzaAttTlQ+B5WH CpAYkiNYFY9HnfvvUj42eF0XUr3iOjUUP8Zq1usYdvZAeGg786XCyU8zFItTS9mcQRGHu4ROplUZ D+Keh1EQZXU4UYC8ZzYcLBwaQy8bdYO2ZKmzDzqGeKswmjkJAR6P1tAv5XdCmS5ieEcBNcpYa67u 3SCFQGRLy+KliT1lNM79J0nxrAKDAz8HNai+AIUTH3OutZPbYztWnP0dKIGebuVDxtM7CqgbOYrQ 2vJBS+jck1UgqzT4eMR8cY6iQh8wjNIFWe33iFqiyWfip3OD8q0wkjJlFUaq9FHfPNT8YC66CPbU 4BH1hIElgmEInENfh5degoH2YN8gfXkoUh1/h6DqJh9lWgNxsDr1+SuE1lx74PHgKkvf+Bh419R6 xZd3CKoGtaNMc5k4kV69eT2h48J+1O0K8FFEPYphye/g59CAOhOVheB/cu4ymlI3wKuIk0Oz2OEx uFHxGcEEKWstqg0Vr3ysMrZ5354DG49HqPP+nZQhAku3SL1DimIXJcj0u++BhKx13LQe/Q9NUIz9 MIriHTwamuerXta2hwoXdFhQgd0odgGdkJhbqxvEq2xHIfU2PGwrPugYqhA551V2giw8/k8tRktb v5rSO0RUo9ORenXpZbCiTdWCDTuhY5CLa4bCGrVkBkfrPjhgGEXp3Shjc+6SNc1VqmPVILnPX8Uz XE74jD/UqYEbWj5oCZ2VIxbDuXQrHg+ADbt8TVDBL6tXQm+HEnodhY+dqsOHDOBA+FD6uS4Lhq/a IfKD6JicS/bhgmEn4qrJIv7ZYG2TUz2kqVgWq3yOycfNgM8IgiE5mDStoNa8MgTtYvaezum/8Hik PHRhDaxQZ6Qct/66I+AHUn/zvCR9qkw3WoC5hs1Qm8tE8ncoobclSMDC2P4SiMsosaetJbTPyUbo Ym2AN0Ch2fJ6taR717tG2kxSraIeAQctoQSMyvqxduKOpalvUiZlFCzb27XfYUBdpXdqMwTG3Msm 207B2yHyQzzgPJMPNkMTxtQqlVE6Bjg0RiEQ/AL8bEwvvxYqduEzorRVLNc1fLDqYlurKYuoA49H 8l6+yXR4tR+5gWqCwgJ1JlG62AQBiPkjflmVTSLpUxB41QTFBWpR76jyxcEmCJ5em4I63GQnlmhy PUGahzyFM2Xwqj31rtEpJPVFSVpsX0JzQIi3Kc3i4xHx5RtOjQ5AHzcl21E83ejfJoNETNDMj79t vlYd0OLSHotwH1MURcDnbPAJYqJBO4OMrbAXtT77Ypx2Po2yKBYKscexY80Pbq4tnbjt3VbsYwHe ztXW8Hi0gn7fYxv/3u+xnELcB/z/cu0GapATo5JWapsoad3TIPk5hcrUlKQeIxcfDKLX+pnqTdx9 PulrgUEmBHOxbyey4dwpwyj00Nr2upEVV5JxO502YbJjNi3Q9GWI2lue/AUR1tM3Gk929mTylWLM KVbSQyCUtpXQTuBu4I8prXu52y6XGsQvilIztglBBbXB5mkOeI/L3fashdWpgn7C1DM7k6hdtT0l scOwGp8S6VXKkWJ2H/gpVcVMnMHnOuDzQe5xK7HkTLNceZ2VeCK/P4ZAc+3hEjKykFx0H07NikR1 bDcTSCGsmt44c7Tlg9OEdqMwPGcpnVUK/t1J8TujanRC5eBjdpvZXjeYJQIZKbsO5v06GZ4CPpRs qCSEjhx/QRAb1XuxyUsHZf1bbcwpKlkjb9mV6vzovVZiK9j1GGs2h+yVWX2eQvPESs3Xve5gq4n6 SmVsWZidCJFF/TJRO5w0OwXazgPIsu11g8stKT3r2QfL9HupW1reMEtOYdl6fJ9NxG3V9MxSFGSn JrWvZXtOG01cmOwdapRTzXchRXXrMYRvUKT0BZZJeyI/a+QLbVowLKayA2SqSkNo12wqdA/mpwSr KcnFrN7B4DIwh2dZdsN6IYVYkHyvOB7hhRq++zmbwuJ1pXBTUf8ejcVk2L2einGGEFPeMzyuXnOe /q+21YnEoM3ELmOD1Nvkv2SxCP4ePxkapl56TNteN0hpxX3lotJgqwkRmCprafP593mqN95m/rvB oCztzlJsBQO1nc4y2gIZPz/kszZtllIhZqbrFwXBAGkxi+GkBq9gnxC+MPfgTdc7qNOgMJ4VuoDf yxLW3VJN8df9Q8yy77nvoCv8RGFL8c7cnc9HVX6/6NQ04f9e564U6oKQXAVpBypcpUVyp/Sb4EIl nuf0RkhOUdAt2NVsjIc42MnUkW5mqYCdo7yxqIYiLdWtKP0zPWSi+Ts/JGJTMxww2Zzldf6T+6uX C6nlxucja6rfvrcVcn/XdymFcfduVJdxa1fZnYg8NL1MS5kQ88iLxEHEPQMpzwfPTCZM34jbxdYq PZH70bYK9uA4XSiouSan2BJmUSNtTh+0kupZoNRggmICnw/22+N4ghMIlyY5/j5L78F3+X8QUdyw r/MaSb81EmJ2BVJLy+2pUljNFmdYsHwOXok8N+RJ4FiJPFnbOby5NQt5iTzMhgt8Y03Y20auJ0lV 2uaDd0RWbmqqMbAMfWGeHJdlALZ46y3X5tBwcVIgdZRrJ9gdy9doLWqlpJzcTjDEiDQ1+Gv3wfmM +cwgrz1kcWczbjFASNJL55bbLAnKkJIu0zlwXJRxHU7tPH0wQmOhbysqATDhDi0XHwEDTggUJXp5 IsvQdFEskOGaYPRyNhaI8hR2RoAesVmKgCLEOoLEUHywWdq9nNOVyKd8HqxNDp+LxBAgkuuX9wl9 PXLsukhoOnxkfVC9ZKNVzkjx5Il8Pgi/y7ccupZSfpZSGH7DZaiknSzdpVKM1QMoks56AHJT1gNy aLy4Cg8kaG/ZoEkCBmuDx8p8N4OLLmpshZ4DdOb6w5Uh0Jwf8hebKKFXg2EZ7HG2k8tJKBUlkxxY LyYWvr6K/yR23z52DqwX0T0nA2S65ky1E5uEq3WLJhTl6fpFf4rODPKKypjOuKI8f4JrsFR5zuGd 5HIBA0EQvCUmIDUMNrJz4L1oOpcjExiswRA1aZA9j5uy8vl/Nfu5khqrb4/F6XvojUmqLE6a7HdZ vOtYnGzdK0uwufXtFrIbi9Ealw+23dDAhjt6lqNXyl2gGoqIgKnDFpUlKN1MTkPRkFnFQN8ali2l c1mwVYim3ebzQbd2/fbOw1GZLtE6Bw6Myaon7crHZ6t345QlHqmwo52Sr6Uw8qY9ZeoyPutfxmed xl4GzloD7VxMU0PzFeJhqC469kiBQODBCPwZAm9g3n3QWqpjmrHXie35fKR3+R0tMe/KV6swBx6M iJbWBc/ip1K/eDOXWpRcwyiHJoxqJ00KpWvwftvZCgNka7KvNrxiOq290E4CU6Yg+taxVJJKTKEN 42QJNxUxaMpwANLGSc5vpZfN54Oz+9rFWLG7y5LWpymKvBOtCIi0VLbbh9VOity9WDuZ1a0qAydG ZLvyMydJb27nj53T+RyPZVJphj9MTWgmfgOnCSDGHgfgcOGHRGvJfCyWD9aXPJPEKTtfkJ6PYP3j Oz1h3688kxRF3sBQnPzngiHLbf8P9osVBkznOubAi9HQkG92lalT3Vr23Wc10eKWjqUIe0HuUg9e MKQ07XUDQfldFSbdQVEg4nAJZJydx+ej/OSXCC8CpZbncy6FuGxS1ehIImz/aA6JBI7Bsf3D60ux ISPd9HYX8bpf4vUGg/ZMm7l4n7CG4nSVCkEDLp7fhowr2dtGCqGiXFMkI6crkgHA1zSaZ+PzUYJy 0UgsJkjxtV+mUQ5tGVE0Bz/dpMM2Jbjk7Lm2w/6SWI/IdkNfRhHUYdXqg89TTyddI8Ua2mGQVDXx /RP4Ymn1a35qoLYcGjNOmaFhTjhMR7Wdd+WN0QtWU+DMCIr4r5OJXj0oevoshbXvNinb49xQwhVV E6BCnGQM4VNqsxSCtIWwLfIbLvmq0JVzBvUTqhDZds6vOdlnwnL66V0n6CWtyaw69GbMYofmOXww dCRglcLul8nnI6D/tfviDkXfKj0ZSuzOOHl+myfj2rSPEIR0TL/mxkWy58CeEcEM847OAgoHmyWY jFTCIoH9Q02F/xLyazianLemKlyuyV43Kp2oESd/eKIH+efWc7wqw+hnD/AXROG3lwUoQYK+D32A bJpibEmmEIQjbZWjSGrNfiQ86U5TCNdmCfJkKtsH33InLYGtJ3KUDvlK/ksE6IsMDKyF9dxz2143 wgZws0E7m0PSnkvjvCFLjb3kzucjXo1nclRHorV3ukzaHLo0wiwR8i7VITh25cHDYVz7uMfbO7Bp RFghykhtPtxpmvCkSDLemdyEbF2ypSur0dv8nsveNpolpidtm0tald8i7EJX7RLxgUV8YNSYvpQP loUDdTzdy9CosZEqShCxoUxuiWluR5lQqMRmKYq/t6jGRYClkm7tpBRoWjS6xFeoozXqYwLHi/gb +fF4sP/F3jZKeKcy3e6D3XNn4bPde8LvxuejtfQdM036Pl6loxx6NTbyI7apOp8baVwnQiLqZTDT +/RJCrX7JKQOFKENztI69w92b6UYdutTiC+pHzVwLElp7erwTnvbCEjBYKCOO2iSkFFzxs4kbT4f mfB8AwVAi2nt646Lom9cTeCveVWglYs1oSKx9huJhMzkAr/Ga2FdZIJR0k14T2g8WmfecA7V2mVl dM5x1mXP5bHMTAn7zSYpRJsIqlTEqS3LhVhQh5nGh5x8/l/oZCyajUMpXz5kDh0be2V1vlp9ia44 lu7uawZWN8UOu37RnzYzEOuy4YZLJ3WrlaWTNMGJpzrdoIEaU9159ftWXfa2wQ0nMZYhXMUojjg5 4bZ0yunZmAPTRiFWvrCTQDCMlJ5DKQq+Ue1BMu6oHCFNVPc2Gywc3KX4LIXBN+H9c5LRx+HOEhjB WXSbCl9rti3zZugDWE4BNmaqCV67vW6wlhbnB6B6Gwz9lqYa2A3i4Tm0bhzLK7rCFaBfdb2sc+jd CMwMugOubEw3Eyt7r2WAir6LQXNyaN6IYhpuNgkf9S+n5pl6w55lQXeJhQF0FyEW0Hle6emAd3vb P4JKeKZlHwy9lLJcE1T2Duwb/1seG5S+Nq9Vcw79G+G5vSXGrVrlbaFUqsS6A6i57+XAwBG4GSKX qgq69RZ0AdqGN0yn/jOcIgs9aTr00itExeYj7bNGt7eN5CCpC7WfwWqVmzpxzDg6n/+XlB+PMHa+ 17OSQjg3WwPNJTVKvQ2UKrM50koAhlJEGZo4dlVMUmk+eH0J6muFXM3c1lrEap4DBcrGk18tlr3D vIiDy6GN49gCwvXig1gBsPIrcqlOg8//S2iMZUkKFDzEiRw6OSJVWzCjdG7JcvYN83XjBWyHLOfQ ynGYSSzFaTg8aAr4F1USA/YqDC9QOjl7bbI1w79KhrvW/w7MHN0b/YTfyQdN09l7k8B1ID/4fIQS 6F/pCa4YtA7vLIVxNzGnw25/aM9cMS3SWXksNUAubTH9qZUNsugdrP+90XJrS63dDm1IXMMF2jY/ g1HY+lJCysteN8J5mftM88EaTSdzYHUI2AY+/y9WO7mAKCr3p74UmDriy9k/uESrJXHSXUWuu/Ij hTRufSl0dTSH9EFdLQ6+5do5pibVfJBTn8lnfSkBsgbP3B8wcS8PJ3V73b+uuFIB0NGgksDJjSTL dgLVzOejwvevmBIQzvk1S1HgDX4IiljGVcrMhCRU26/lXMmuXJcDa0c3w1qS5udgszRoZcHGJIQS QHNnIKC9eX4xAWDWs1zd3vYvM6ysugAHq1W2E3HLHZTnUuxDc3uWeL+BZCddGc0cuDui1wneP2uj /xHe2xUAtpctzx2HpW2z9Gfkfb7PXX3wtbRnBcV1SDcb8rCyDIMFOPV+1vK6CSC89roRyksAgVF8 0Lk0G4oQmKazf/n8v6JKzun5bhrfzGYphJws1ichwylxcdgYsAaXKBiDWTqruJiCXQ4dHqfUx5LU x9K+1aUT+cGRj3SBVk7kJMTghv4JYVO5U/BTpcrurxsJIYr6tqoPhjlJ6F0xxV+LzwdBZb4wAQbf mUz3ehsEoc1jk45dLlar3CgsSMeOzQClKAQYa56i4JuY+EoFYBs0T2BinnSXVniI/Tq9HEchugog XlBkHvpbX/a6f7BMz8zn7YNRBJOQaj8Iz/h8EAzc1SSbHihx52tMk0Ovx74TJ8epFT07+STPixSg pbmCgcDsESq4tFwpbFxy+IIMFgDh8SfAiShL1p6WUggsW736x4N89xyYPSrFQKyUFDIRW82vNDV2 yQwpELg9fuNPub1gNAWGhk9S6E6zZYNp6W7FsWEGR+3WBMgWs0mKMN9Lyj9bNmv7y2ZNNzWx8dBA Whs6L3WQxIiTBn0Fy+NG9rcNtDdUVoLjsQ2WxxV8yg/1a/V8cMldTX/BnVDxxCHqsxSF32Cfn+y/ d6+crJvuLoJ0yGP6mqUw/Ja7SJPiVkv3lgO3i0waQgUySDuEOzc6g2MjIt80OqVqcKHlY02mncBE DuBR3m1InXNiBRz1FP6CSKPkW7S+wTG6PN3dwPXxfO5GLCAsM8Fww/lwNZvSHUonFwwX2z6qCEcT Yw22lk5IsVGX5Frq8IlVn5z6NriN6XfoJjXd3jbqNMk5o1YfFFYOmirwkgN+KfR9zO2XkAvirvpA dAPjR/hlIazcxWDxmYUthpWDtEUF3+CAKBSInR9FfMd3ZYOnKCDUmdYvp2kzYCrQDaWv4W4XFU8H Kn5IhKtknosqmw1WX0q9yBajARUfWj8+OqQ8uvEGj8tIDr0foTO+lgTIBn9yu6PpTQLs+GJiLjkw f3S9G0CWffCospZzYidxB2b2kErW4rjLM795B51se92A1tQkmtSqD7qTzrpcDMTPlVD5fFTPzV/x EmCS/RG3y4EBJCqMyHHlssoeb3V19jyyz1Ka3eOlwAFSLXy0dMvwwYtwGxD5Ql1tfP+LIvVlA/6O 0PX8tsfNeFK8LQcekCY+Dv/RO1jwvaDOgR9n1fMRgOm7NZB7QTKXn2kKy96bitHb011GzZaiJJ+m ml1rMwc+kPBo56mNVN8G7w1AX34jfIe3+iZMm0hmlL2B25IsxpdAWQ6MIGVXil9Qig9GQ11tZYNT bD4fQXPm19mNOnbP0yfp8xorVQklnpcu7i+Wlgsl0vzLO5bNkt3XSKkaegkiS8sHL8Eht13EnWdI O6+yRBGrZDcQafcwmhApvcZJVaAaVN5S98FAOefQUym3LTz9MjsVvkNCMJ+d2FVfgZOGGjfnW4lm B42Tk0ZUgy01MzYGbLq4tDYOJFtBwexY+RbEGxt8/SD7BEyG1BMoO5Oj2wCgaA3lFxy25oJgUPjX 6QElgUB4HPE26MDuvVIM5oQCKOK+Tg8ih2LTIx48E7dks/MaHNVMXu8uzbbShry8d7vzVd6aqZiE 5GtoVD2ALHgFGwxqetYLpOXpmgWtkllYSYEeOUwazjzsK+4/m970fe0Urp1an0GTU4GEEextt89r VISp3flrciiWNLHlOTmvMRGWTmPPdjiNYuXhjcnWt1cksTI4Oa8RUUWZRQYaI/ngG+uszZN2rC6H 1bphOEQpZLZJCrI2nxyqr31e46Equ3iochKMW1DBZKUWDiN9EzrZV8+f13CIe8SXjqZiol6wbXZe g6EqY4i12lVQkO9BJ6urelyd5zYDltdQCBvL7i+CAjnY/bVwZg00/ZHHYqcb9w28XIAk2eizjbWp YPsaCVVBF4GOlP/sbVQhoGZp+fy3J6Z+jYP49LTZWTqiTgJyZ+c1Cqoo+kM3knUAxtOj+NopDPck +jedgvMaA9VEgB3atGzkc7jw5AS8NoHuEN3IkgUs0ikCDKQNhyTRJhmv+j476L00KJgNH4xZAm60 2iK1fF7jn/pYrXWxAuGggtaxTc9r+FOtqFam4f/3ScwvqaTcYj9Ujcy9J5oeUkokr67BE9c0zq/a JtZ24vROwBYLfh09xMx3tMxVfgfR3mKSkRVNK5z8kbbvTsaVyOPzGvjg2DI7w37x7XXuYbPzGvXg VKbh4ijZop68XLY208dEIXTtVuR/jXlwKg+hIsWa7M/BA2X6AVMRLB7az5lM/flvaDd81m27ATSp 3K8hT/VMrMhYpPSLGYFqG5OMdoKmz2vAw3uj+uyIK4kwiyxATM9rrRE3XUEe/6j6am8xV+1kcVeh 2l2FLDiXE2sZS7rYHHx65kahkdbgJ0w59y924bnjz8kDDzfkQq7+U5gtfl7rjFUWZecuZ1avgSfP ybySUPsndsDT79PTt5/LRE9CYYeyVpyd1woj1ivb+8PUo843e1VJRr6zU+c2U+fX+mK9aqPSWuXg 2dc82Wijes15mRMALir/wEP3nMtg/EsZRPA1ehq9VhfxDSzRIoxD4j0ocG1qnV4Seq0t/rq1ePCc XdUViTIcjKJlFIlx3iwrB43k01N3c8/rXvpl/UXzsxQJij8iCK/uLWCPxyZ/9GTq7s5H7sVA3pXJ qzK92iYFgGj5MNohUNoGlV/bJB0V1MgB/mi0vabPkBBqOHjwNdkURSFzKoW24NtWUL8N67NJHLXW 7s3+TmXDFAk+s/fywWuvGW5kaPAC50AtM+zBhJB34gtBFcUbsdO4NdHxLD+sIf/0kdxLFToeupFy 5ePB+Wy6LVpEsMnrrdyc4j1sBhwP9iFyNcD11ZNXy9pojnsAttAha8EM4Q/GxTXu4PfXADdzy9I5 zZMwNxzinYYpYGtQ7deSCuXuUeDcKc1G3TkbdELTxoYl/Abu0Xvk/JjNdgnWQgW2z+6h8zuLrYo5 jOXt9cRWXMOujG9VaEc+RsEzLiki+7MPvs3oVTgojXAmA5gpQrIHoxk0RCnj5HdY0ssGiVcS8Yjo mZodPXMOtsHAErsGXY7oIBr7K3wGB67PfNfQe/wsKV6A1VxtVJ4rhIXUse4t5vpa7ww2zBDVo2id YoPWUEH0feLB0STRNqlUy7N8gvmbaYsrQF8Gv4vvGp1DjJxrE9gYf5wW0WB+h8LX2dKfd/4aNmn2 IJHFbKKMxarlFEVBdB9YRJKAxhSl/ESJ+9qIIAjWFL1H0eZo1HKjzwEHv+lPNJ7g0CcbkWTq9DOh rXH23M8ql+M3aNfzTl7DViZs5izz7IPARedo2Kojnjzw885dQ76Q/BxigSwTFV3vbRbG0ZVUrOyR IuvtbpLRvIWPL1vVjSiQVnmj4EK2wWYIV+VeUxT2NSGcbaVrTAoFj+v1eNRJHQXSTcL9YPraoDWU Tr7IanKbeX/eeWv1qdlbogEVdZbabYaiWBrKFLvOazbbzKWYAEL3dC7L5enfWWu872XmTKgDB+9r nFk4l1YSaA/LiwzIiurS+fK7ZNBVQSz8yM87aw0LlX5YEFX2QQfRzASrgEXc5+edtFYFEPsqAcFa bbGpwg99D6dxCZ2Lbw+zLdzAlPsMifEw0Lqv2axo3ilrePkqovEzWBEorZOJTGRg6CKyZjDV3Ie5 I9WQp3d+rKnxHk9Lgf8sHmFmyxdmdnTxg2qHhk0UUK/tE6TNieZvv/FQFFGfiODHRBpZJKOvnmGu hm8y2kBpCYUhNfKxsyzo68zBJmjgwJhzWpUMFCIi/Tpv+/6brDan3vWvCWoiPHDgX9pPyF6a5Vjr 805Vq+K8Pwc1TsAyCZZlaS6KqRsJWNMOHPx0EfyyL2wSZbG+2DtLzdbveenJMiIHx6anE5ktVcpQ XwQDn5UySjVBMio/JWh6gr+T1LjapZstj9DUbjp/ojnp/J0v4PPOUWOoUL7DIWA7O7SabYaikBqk CUiLex9j3JusrO4ZfbkemO8MNYZDTOnLyj4Yaq8DLlSVszaQ3ZizwnnuHEaIkjKDSTuF8tDLBmmZ eHzw27RBWccAglLaIW1+3glq9VGs1SmEbdPmPYXe6WmsIyVC0JbPUPcuBqLe2+nJRi9+Z6cxeami qHcfbj8M/0OiNRhAc1PchpwZ5K0UgXsENGgC8U5Os7IcGs+5+GCNjDGGYI25ts87Na3K6sd2Ga8t KjINv+zfqWmIqaUMMW5ZyJFoZxFdv6cKTVAe1O/MtCqe/BvcA6ITZ2kwPc24fjbQpZA+ymgZ4gyi 77WBYqgx8k5Mw4eQWlxozahBUwT/jbnsYvi889Kq9Ay/FlGhPZ8XXd9ZaawnsazIHcWYujj9us+r 4D8ISkLA+E5Kq67osyfV6zjYIprnDJqTcDxQcHqutIugR3VHHYpwHCu7FgpqvpPSfJtBZ7r6oG12 olzx7s49Mz/vlDRct8u3GctsCKnnutssCqmJhmllel16dUdZp2TTBrLVsLvsnZD29MNo0KfB8zIW FB0YCxENMtkLoopJMSU43RAQvunexg8JwiEVhmpfPmiGChrauszm+rzz0ap0k5/LjMb2OIFtht5D 6kwHXyD0vH4mV145YF5N1ryzr6H3kFp5N4yc9x08t28dOoeVawj1AknYF+y7iZoC/B+fptjSy74f RDQSAWR4+2AzBPFqyc6k/Xkno1U5yD3xEArTaGH6DIUhdUEgvcy7CJpMvoZKu4ZGLbnW6DsVDV8v p6aSbq3BzqG1dpttC4LO3hdLjEQttE7ZwXHTsjL0rtEE4ZFJCc0OI3Dzc4aBLdPss233/rwz0biG +tcMIddmLmEzFFaoN7Wze/FdtlwNqs7l7Ng+qnV/3nloLB8zls5S8snrzhDoJAX5EkH6paclnaNB pydg0JMri+VBxPA7Da2KF37WDpI3GxQQQd9RBp+gob2z0Pi4bzL51hRYF94lFIXUFZl9nduPIQn8 0kikXOJQq875fKegsUKAa74SgK7BJqidFVQLRalOzABKVLOcg7HamdZ1I0Y25D7vBDQuIWOeNR+8 OtREV24wDXvnn1Uxpb/K1GgjtXJbz2FIjQkyTYMOR5Hrfll11xMf1NxH5J19xpB6cXNRyI+DTRCK fyesJm4BfI89iMlfqJo16KNBvNv3GFKVzzv5zHpcdOTLPlhrHvwIofFG/rxzz6oIcl9bjCd09Yvs nXpWJT0C2I6toLTb7ZHN4u1nGqxxBb0zz/jpqA11Gq1osPIZ9PurzNuBAYATMkEOE3EV1MCg5lS7 0WCK3jWaIGLMTB9jmiInoPzNs9bCVwy2WE7f9xgR8MnbQO+0Myu3ADVqjOEi4V7253tzMuzkugQ3 7511Vt1hVo1lDXaPgZZX9qQ6Pe4bGDsLg1aktcYOtGMVh941SsqwgurgbcZB+Xkx7V+2mj7vlDOL +Z9QCCBv2kPaBEXhdNuVQbR1ghIrFmQLZwGDiTFf28Sx3vlm3GK8vyY5wxxuWg9p+k1aHqwgSpVw baFpakVan+8ZRDmMd7YZ26xL1XvV8pNhoBC+VdpUnQM/l88724yFj/51CFUqGVUPhd7JZuzSb2oY GXS6essVlaHiKms5e5f+nWtWBd2mMkHywfYYeDwNfAXapyagYDiJQAlnQii87NFH0ZtG8yN7A9Jg 8zKsKMQoalHKer6lzzvNrD7MTi+cnasAH2jT8x5LC/W0ZUcg/4fkInRlzkukhgSZpicqTyd6pRe1 yzjYAupglpch9NR5IzIMsMNQYwWCfn4ZOzLZeKeYEejFmWk0w+Jg6PteTbh59Pp5Z5hhJfzK6eku K8FHTlAUSqMVAk0hT8f6pXT2MlyHFih3u8TC6rSsQSePag63fg8GRyfyHkoe9Kg++4OOTySFDEJR xoMEfueXfZUWaRHKwShBKMOJC4Uv8T2URpTgZ1ChF1vLaJQlLy6+08uY1KtiVqx2Jp9QgcyWJ/Xn 8DD05ju7rLpFMQUpMDCMm4JvjnEOpS5Vni2XLczRyYc6NJbIO7ekvrOX+E4uQxmL3Y2kpD6Bheq9 m7E7C9QnR+qfd3KZxZpPp2ydXdfZBdYURdF0I+cV9p+MhVa7DrO9ZMfhFTgraYrCAnWyK6z54PuM 1kYJhU40OU4ilVVeLNDng27SfpwNSta7/tWxz1W5PZAqhm0mmRZ/4fky+Hyw0X71owc7vRcv9M4q YzCEcFodexITqlnMztnXdSmGzplmKKpQT6Zi6Mj74DjOc0icaJcZ2fkzYBDHnBUQclb2WQ7yyhBJ Ze+cMkLjJh1E9vLByBsjTbVxzp/9eaeU8R3Hr6vsLMV2u63vhDJe9olOK9K82lnyaVRXzya5DhYQ 3LmJVozjaaZiiScSB4fFwJuNDlnQvMggWw62gc6dPGlF1h+4/aBU/zufDGeC5Hm3XEJ3l/IslPpb KQqS6/y808nYKfuuDOUEU8B8i2fvdDLe0oRxkmCP5SQKZ6ZJEPomEuWjXA6nKIqoscxIkKo+OOyD dEew4wEkh2wRy2yVSvX9vCWTVouHWtG7/gmM2fkO+uLB8VN78OzezzuX7FftjK/G0mvxo/qdSYY1 NGWgqpWDYvh07ibKapgrsLR6tQmKStSNmdae1lH8siluaMQ3ejsCa9gWxcMgawwiMNLjfknlY9rL RrBFXviTcXie7ikBSXtmAsAh8vEgpE6OaZCzOoGUd5e9h9QSKXeVwk22qbNbK3uT/wGYNEH+nB4G 7ySy2wiiWJ0NFjACg302BEPqDhlsdFkREINuRvaxlPikXUwywjuJjLwJNhHlrqaeCP8s+AmqE7RO SP3OIav5ShNYSAQ51trvDIUV6gnO5ryQhnxxwZWqjKqdwZVJqOmwQk3UR8FmssEb9gk4v4K09aQ2 6CkROjPJ4IFOYEYlxPJWWgB/3hlkxNzLt6APHxQ0woV9yTe2tc87gcxaAE9pSCYI2xP7d/4YYwSq Etz66ype+UCF51aomwWN7/SxKlEEXPN1+OB3GYQC0KiXTfH5PIE+FuxDwLEDBNpNw3Ahfd7JY1U6 VczHtg+aIBjAEYhTT+j6eeeOEb3xnZWh9mCeWJygEPPRmHY042ykehUKE8XbcdlXOHrbBIVRNfON OmmuxsE2WQMNbgg8DZ3GZMAhXNUVIp2NAM+hgLHoXd/PaQnMlp3uoAk6F8GUHfw5Mj7vtLFf7Wga 88DoOj8TFIXUQFp+98n68LSjtnGx92WYhOM7Z6xKPfuEuoMFEA5efYUFIixCqSeX5I+Ebrtc5M96 zzfrIB7w804ZY2I1uXT68sE5P0WaLDgMPu+MsV/AKpI8kNcDxWoTFAXUQEmfLba2Ja6zuCx4TtUl 5nnssvLRQgQ1mS2ls/LBwSsfFT6ehX48J0iEYiq1L+R0Tx+i6taOqG7xM4Kbnj2ghMq9DQI07LGy mRTXjMeDaPGChNUwQliJcpHNUBhQA+CJvoFVPpJp60B4t7r+wMCJrWM6qk/bDEFuwwbHxLA6yqMY bjBA5IsLDCxBwbFP6XIzutYxHWI+NtdQowY/B1tDCP+1hsrA40G//ldA3QhmGH6R9RBHnZhomM/l 2ivfNaT/kmuoTpuh/h5QS8D07K7MNdTys4ZYsTTkWd60wpC7IaxdQCqWy+tSdcjeNeIdWnts+GDw n0YBCGIbKh6PMMK3F50UWsJ908PFHsXTyGx3XcuFB2ZzidQlscvi7XrNUIT5GDynmxQb26PYCMQH VHyZ2cPOGXoW7OgjscA5DbSG5WSTEhY9qlAz4xjsBttgsKG0pv3RJ+PoUTz9UKS4+ABjK5JG4wyF ATWV0VZ1AaLlaStKOX5QNyC0eFD3qER9w8Xqg5eoz0tUrEgG1ONEfOTCwNIZaesJT1TFErsX744P CXYZszGSXzFgO/F8zXAIscy+FTwfIIfWd6MMMcJPrxdq3v8kIWYxN37T6Xu9UumUkCXoo0chtWVl LwTWSiUrqqL1k+XnQq3wBBFAEoiLWP/zkZDtUUhdtglZJR+sylhNFK3MMvF4cFgnZ2nqYGe44Wd1 j4iIo+gO8+IHNR05Q7lf0EfpLjjQY9AHK2jAY9ng5aFzTJcJjANbZemc1ywPbTCQ8UcO9qx03bPI 2KM69RriSWV1zLCl+Vf1OohoBsmn4PFggm6RMZMAC+Hu3qZHRD2Mqbv00JZNkTR0WEHLtz5EJBTz sh7TEVWIZVuagy8idLthmU5H+RMwynu+oxTRJ+lsFzhEZUh8RnDhs8cBZwMf1I+GFHeV/R4O6yim HpdwV/VuCDK6V9B6WKoGtqrQKvCk8edQpR5MRlDdwB22Gao+Q3FQLR2GvX2wGQIeH/LWnd3QDXec JtGKcxRl5HuD4oOmZDn1ssFpzaCRYYENVv2Yk6gMTNHE4xH8zKuwxDCi6oK2pE/Re1iNRvaiMjpm A18oVrxNEQDoWT4pYHKy/NGjsHqzjJ9Y29DgMVFH8MrsC1DJs+mYd1TpzEJwPHtuXxLt0npUqNZ9 BoKID4aLgf0HF9E5kfB4kNvX7yoseBKN6nuaoAhIDfsJ6FaKQg+7v2k1xtZ2cah55UHU+Xv+qjHm zsTsDGQPEAZ7bvvd6eKaG6uMhDDiPsMaMhFgR1LD7hQfEqHP2AnapJZx0Bo6HydqWTkpNh6P1tB3 9eNcTxVqTPc2C+PqSRWPIt0cdDvaj3vtTC/DpnWnKCpUm1jFfIZnDQF6T6sdEDt2ZVxdoOVCbyJa QliRsRMq3CPgh0itlRL7GqwjBNInF8HCNgv5iXcR8SphSQPZnM3RiCJrNhxo6mEdoQv9KCk5Vhje L8aNjgU9EA4Bp+iDZ2fnCzhntFgvWKadaOoNXGhtBGW5YgX6FnrXSHiAITXNLDQYL+jEDFv6njnj 8SCyfrAxhbkHTKNu43VEkTV+NTThXJKRyHapwrTqqhUlFQOgjbhSrT6Hbvzy3Phg0+9z+ZDTUUEt YDG/wYwQyhzn4CzjSnoBKIcPiQiKtGYAJh7SOQhApy6085+avAcwR3Gt2mNrcWT2SfNvW3GEcGo0 z889YUWic5wmbyvSU5BB0flHJqA3olp1pvVn78S+QMEL70v8EEqLKldluDZCRIUtEZxO6MqU/tz4 4AzhMwKYZ1OpOmcN8rAgJF8STP38Dx6P2h3f5DI6kYsOwAkK0R+9XJs9VkPqFWhgzOH4IVcgiOJq wWNqpV9jVX2CE3RCqw0RKUWN40R4Mm9oF0e9HuVqBJH4jKjbwfKZ7OM4WFhdBvkVPyjX4fHoIPom dQyL5H2CorC6EwfbXB3WJBi5ydJ2pWG4wNomi8LqycZ0ESC/OCCfdVjA9HInWn4C4dblOY/6EHtY CG+dW4Y8/TOiSjUae6Dd0eAD3JofwvAznB7EIxlnH35GSFDsNySSeg5S/Oxx9Yji6oFa9bnNklGn qO7O1CNtM7dCR8gVYkYUV68hdao1fHA0NSr4k5Xhc+Ss8zqT2ksFGFQ0BjGfXqsm135EtWoV0rJ4 ivmqnyPi6moInan/jAhM/Rsig+ozPShtgkIwNaK2Ql8jUqdoIawMf1+dj4aIUBMUgakZCZ7Vs5MP TlnowIWjT06frxMgqdJIex3qLpYrMERLM3zGX0IfYBX7oF3WIFvEs/fkEXg8iIjmd0REgzagjm2C olo12sM7VxMhAIzR0ealbsfjl7YM2zCioFqZWVnNBqLDOEGJXWmX8z7nXCdKT/L1MI17HFGBTc74 jKiYzwJjWssHldE2ZJ35R6+18XhE6UjfKwh9ujLvOR0G1ZPrJrnmIr9EI73MZlus1WTQhhEH1Uuh 4vDhbrGFJI9ZRyO0gYQFUhgasx1SOnRQby2hMKgWMKaYRSNyYB4dkGTa7ECdGV94PsjvqxdAzFYO n+v4mBEG1YWNeyO2Qq3tSpxt87IEkBFBHAogIyxWS5srlTt47npixNWAOwYvCH50WXoxtLEEJTqz L90kxdT0slHXVXI6nCIORi7rsrcF/67g8WCGLoKIIt6guEKRRTM0w2J1FfpjswUNfEzyvnQdLgJX GxkLnb/nbyxsXT443ByO6JuqFdB/xRkl24OU5Yc+vjTzi71rkLry/ElZ1ozZZcITpH9Y0oDGHB4P JqjdcxrrhRVdD8XOp0YhdSWWUdDyeW97riHqZZnKudc/ZkhQFFSPLrAa/LbHPsuDsjENtA7hzel/ Qqb7rE8lls45M+QnDl1hqlk/4I9zicvXDQ5mePx/OYcYfKJCZRMUBdTYDueuzMYjL336OZR623YO 9ek88hnyE+V0YsofLvKHWjXEi8a2zLUjsSBxioZeuEfzFzxmUYxghrVqq+PLM2c5TbSc3yBOB5R8 8XgkaHEbHlSvpsvh9vrHjEJqeEetdbENmcvVzE/TpQGDo6cpikvV87UQm8bJnpLQz+fKOasmyccL WUiDZnqtTr6jSQU/40/wBwTabBA8BkqsWfCYlPF4ROrwy56hCHiSJyvzeHFGMXWFh25RXQhWZ6JL kX1Xr0s8TLhshqKYelQBY1SxbuvOEGRQygZAEvrBgJVO1mFZmCdnjRaMBsrHusCHBNssUcYUhXsb rEK0C1c8zpWKx4O2Yvvu3dMgfK97DoWVagpS1uVp2ZrOnKKlnQvD3W0WoT8QqzCUrj7YDCGdB39c ynC9w8QJ5xDKZx259ioP+Y7mizOKqCHzje2lEtryEhrITJ3ojxNUDDz+LxYwKa4wXE1X3WtG6A9Y k53jeYvYujb96nQOtennENcwL/sZFapVQaMOsw1OTxwQRuzE5AOTNpbECMDjrOif47OdJk3ZxRlF 1HLMJa+eQ1VofD5j0WDzBzZhePx/wjFiItsVh5shOzHjhk/TA0aaDChgbM0bZmcPmmTwDNXzivZY v4OfQqjeZzoHAOYJM4dKDwZENojC2s5PIX/rXaN+GftAhdYmHJSTnXhF5MSTyPDxCGDVvu96aLIB cOkzFME/CG5Ikq5o5pfr8oLDa0NwjrAZikJqueQV2r5ouG3XjloZ48Vz55xMRjOE1dMgiZepp211 akLOZxRSS9OUiGYblHRAJ503Qz0XCh7/J4KoCWZMkJXH1DMkKHZ45EpDhwTF7eWhc8Vfb4Xlpl0z 1PyYkmWi7jQHT1wX6IObNh3ovIHSzPIQBZkkb3HhwqvoXf+Khyq8GGwwFFovaymR2B2PRxHjTVyX mAsEZnsVdkVBdVo08V7GXGC2axxO5g6qU1N5HVO0IgQIuqdYP7v54LX8cr5v1F95EhXgG6psmFCA QK7KptWXNPcKESBKXTcpihwstx+J2BFINVc8Hgnr/BI5RTG11avOtKKgujO5Z8mLMzSaVfLnIiBX sHw4bmuGQgSIIqHKSj4HP6s3SLI5ibjQzo3NpiL0FpB2QIDontW8SfEZUZma/Vagl4GoRqGFEsLw Bpe/Uj8/4vkIifatkgtsTktXjXGF+tOV6IZyVT+K609TodIkLaCSqBkKESBMO6AEp4FfKSv550o9 4YqI0mCSE9RXad2EgtrP3LcKC8fDhs8IytTMyKrttjTcPrDCgXUqqB54PEo7fmPOK1ifV+o0iqk7 hWP2I4syHr+3cXX0Rrd4aIWIal1jhdI6HPys5v9DnV1AtG7mJQyDwOKsXxwz4tBWXKZmXjYk1zAc yzjo6qeaz654/F/nEB8rtFS6aM8V6lBvasA2hUGb8hKqwZZZb4Foo6qFgGjFJEUJx+Thw806zjxQ fBNNtBNDsCdSiWjvtPNFTme32WbnfkX4Dyo6DYgH+6CQcZw4jmiZk/lNPB5FRN/1IeJh6WqsGYpi ahTPFnhfBiESY5GOHG6RBwm0ZV4TK9T8YKQMJpYPfgyhWTY3PRRG37DF3ZKEnT8TuX2GbKRbThGJ tiL4B0rhtMMtPhhv4bwqOXnnjx14PEJZ+UnNfAVcwUYOt6YoxFSvR+B9woWdZ/aigvr08kcW3R5T FEbVsjEH4MSGu81qzWB40CYggWJWycJjQE1Y/rggIu5sfEiAGc506FQlLam7Q3CDdPWhwpAaHv+X qrDgNFhFmFqboiiuLosCgzNZlVFx9WYhlgUQyra2ZOpDK0RVy4KD2joavMrYIZ4ERAAyszMx+Cw0 Xc+i76Aiz5Eu/GMOvWsUES05cDQfHEOEpqsqRHzF92228uVyckvWk6hcascKaYrA5cv1WeJD9ToG l3tU9+J4zxWG1VUm73LA+3JQOuFQOptqq4QGiipLaJm1RshpkhqrnmuhYwQ+JJA83ZKDzdsHA8ic O0xI6ZPg4PGocX9zV55alffEXUMxT3HBp8zdS9NaXiFKhFdY/aOYLsqKxakZKw8exJIu1BTBY/qc sUnK5kTu4Z/kDs4rO8v0frCIaAy9bER2ZcoxRKQa1V0DUNUVeOOcgXg8uO9/W05Ql396UL2joBqI gAX9cZuhVtqziLwflJp3FHfIU2TDTLIwGu4iOmEiTjWqD5WSOu/7xiIfijwAdrtvabF3jVIz2t51 M+O66tQAyaqGlgYfj9gvV92cz+FOBM7VZug9qAZDlvlYUmZ2brPpmVlTVURwT4fH7FD4o493Qc+E Qiv67FhD5y8BRQ9rCE4H4phRM9JuM6rj7xBX3URbGHewUnWhxCtaZnvg8eio/tVTBKoB0b/NUAir hpRevVXGLodA6TNdQOyEwIFmKAyqJXGOK8oGrzKeLxvgM3buz4mREN7RhbILKMd+rKFj0PbCZ0Q1 NJ5DQ/kZ60nM0sHKVQWk9I3H/0VTZO+I1pL51oh2yFMEUg+0b81QRQxp9I40r3gMUnHNUAz+IBBW 8kO5fYvC9pOspmqk+0YLyXOwo0+JJqzHjGoI8TLbUVi9pZubVCRK9WFyLqpiwOSP7/i/yDEidf3q S+8QVD0EPfNafhuuHlMEcDQVNF9DMai6cWpy8cFm6CwaOLqwcQ+HjrZ5289K5Xf0Br6qaFjA+Iwg 71gmE9d9sDWEG5LhzMmS8fi/kA2cV8SfopZwgqKgGo2YLVF7NTt69QnqVyauICbWBIXQD4aK/Ic2 ePWjQdp6kC1dWNylUcUEsqFRAJcoMIF5AIXDZ0T+NxZTdx80Qamd9xctKi08HqnE3ZuMSjPnpu79 1od2hP2Ayu++k3HiIbcnXQSwmQgaMhDNUCikpyWUJXCR54PSUzrGSvVZTD3JP2kAyH/mqVhur650 t3f9i8hZppzJ5nUmy3NUMV3RUtxxpTp9zxCV55/UdYfaH6jlZ2lYECI/rjXpmL7J0JMSD2//A/yx 0x0c6QmNjpZYZCznOxtJru/wOajAn4F9ZGqVjOfxGZFGPlEfOWcfVEEDt0MHNTxudyhN/eCFi04v 3BQeU++wVF1pZmKaeTC7NQUiQKGRohVubsTrnKEY/UHgECv1GvwYOpfcWaRV0suQQGXAiLraD3u8 vE0MYLWn3vWvYwgIah9shlbWtj6bjI9H5Jf5dU6vhnbUTTp2qE3NbkfLbmeyH3dbVT+qKFQeDUXY D6VjJcnBLX3Vh/qckJgiGfhsspmpVjmhYHxu/PUDvrYHQ+x27FD4g5rLtVAAnoMFQ2fNclejMI/H /5W30o+Z/7el8ji+RDE1tfSAnrbMdRX3Jq/FpAoKmq5F2Ab8oihklFWQlPK6N403ph1MmkW88B7w N4Z720b3dSK3RqnlYojWttcN/LgEGMazdHBPhkk8p3XpxET3lid/QYT39PSVxQBUbfLVPZW7RbjX ajO0Oe62rgQfjQTHneNSMpnzFEfWVKnqFKnmYNN0zgroDfPOP/9oEbpzrjIkZqSqlpxv04NiY/yU P/SaQAPuPiiLyAB38RQerfH5IP1o9Wu/dQqItWeWQuuXBYkLYEM5S4WVTXkA91spOivdyiA5hcjq RpjVkMEJiwVeB4ExNTBkIOTtSuHbUVlDgwX3OUGRw7rhZrbXDWapiXnPsn6BEaPx6Co5Wz/kkC3+ giA6ypfFwJsNV+tId5qiujVkWc/Nk41xhje11sfU5U/a4nDNJvyiyFWAi2mxKMLBFlNFkRaG25im k9NCehh7DtC+Scgt2s8eQZZtrxtBG+XgTtIZB8XY8KjsEiCEV3IKa9f9u/tBZFLN13khRVE2bmaU Hc2cFEqljrrK2YkM0OT0xRSawGyRqWS1tB5iXj4H26b3GxbTmOQKncUEMtckDG4abIWAo2WvG3RA KKa7iJDVoFkCRFZx5Ib7QgohIQ/TnD4wEEKc86b8JkT3Gkqq4ihzk3POkm9G/UPR8aneBLqzneBx CXsqiNw+eCxZekGwWsn4GHBUpJIcLGcGaYFg23r1MW173QjCV96UZeDO0mR1f/Y1n3+fp5p+mQZi 0wI06LMUFrHP33/uGV77C5T84RXapqkjuUrNtK5fFAQDVGFMiR1ZDl7oX2OfbECzBLPkTFvFk5zk nwUycy77YtR2bva6QbzUx9VI06ALfOMg5Y9zdz4fMT19z7GiO2kg8+y5WB1kEOkowaZzzfEnBvtL cSTJQ9mdt1PsCMMCUiLCioOfTCeDOH8EtR16gp0HXRtARoNRBY2xPGRCw1ZvGyFELgyUg9X6me9I cO88xOejruN3VAkyHFthPklR3A1OKbr4ybPb7DCs5KLN56YFGsNc8iKJkLKF5SvLB8eDnkPjfGdk DDf0+kkSkqs0DpefTrLn+rJNTrErDGMAXLo2aCVV6H8SAFHa4PPBfqvp6/RGH3KWm9+qz/V+t26K WnoJYPZusXdlOZGxNyzJfJKicjb938BSHj54sRY0tCYdldbhEcx/0mjmRl/P4TLfUDS3tw3KSEXa jXv4cHsiBIwjmp98PspxfxFAEC/Vy4nNod8isiL3hkG5Vq0Qlmt3dZ4VNSDMTDCEiXQyrBJpZhxs JXWYv6VOw8XzbcAkjsJq4JpAHOZHbr3yo2z+thGzmrW2LMGQ7D1+KrNlNY5gwR06Lj4+09TfhBVc eczyQsfFRnz66u5omruLWZc5fbtV9i80SRFSBARRWgfewScJ4hdtMloq4xxQAFqisd05SZVHw0X1 JXvboDFiqnsE06QLptmJ1hgsSJbK54PI+7bX0l1J+ZmkMPLOqCJVc6BE0Wt4LYAYcNUCGkuSXb8o QvZJO02yu3N4EHAO/Qm6NQFHQBife5MrCWc2V1x/uDLw5taHRGyiqSUkZqyXX+e5F+i+cQ7uOvh8 lO1+t/oLed1XojAHvovQl1s0NW13klzUuhIGrkmScmHXLwq2G4v/rXGSONgkjQUnKVe1hs9DUX8A DNjtk2RqGGxk58B4UZ71SEvWHYQYOZdB6bKbzJXPR93+/3ZXbvkyG3NovbjIKaKsINWd5K/MymTu XlWasNi07RZyG1VPGqz/c/DiLTywqMHD9uzZEDD9OtcCT0xMet/XWbCbw2koGiJ9fSv8br4N8X0n HapaSnDwDN0Xy/STm1OKCC09tnCB+6IcgAGKGla/babjTCi2q4SB1upLKQy6Kc/z4mKBkBs+1KLI nqN7cZYGlifdOeC47NgjxQCB/6Lj1yDP74OW0jmwWRM7q6NXPh91ki5QlA9CFSDv536LqtznZYHH bvLMQ8M2ewrXTG0Wzl7D/QVDC0aGSGeTpuHDRdecszqNaeiac0PTYJBifx3qT4WmVkt8fRWXQhPG Kcx6Ewu0be/7n/eugtOeL4XPR2d3+zqWIEIAKbw7S6F2SMcFJxdGJLplOVG2DHPTw9ndqs9S5Blj NowqB2wvB6DznyClhXYGUFr97BlqpRewYgdmZbV+owB0Qfkh0VrieVTkjFKuXwM65ksGaPaS/0L2 k420Kdh748nAiBFBd0ZpKRmg9lxFbqN3FtmVw4LAqU1SWO0WdGSKzzfzQ+SrbS6WxyjjXKHRxPwN HmiQFi6p3sObxmD8lEhSXio0LC1xMH7IEET65G8r8/lIDPw7NQF+pUEHx2cpirrR9jpZ2yWEEjAs RGRv6aLZWvJZCkEkjTlJIvc6q2aoqPucSOmsJGrzoSiw2HlrtJDJ561XuzQjyGrpQ6LGEpfSfxtW 7nMadbOnno3PB6lJ+RZ4zAmlwH6BNjm0ZOy0ZKQDtpl6DttwKRdnQdD8Qllu6Mm4JG05mMdx8MpS nSDOFmmrdZglc5agv4yy9U+/tqcGaMuhKePUUqr9NnIN0TaHaAXAYfD5SKHvN30f6VG9ajQ5tGVE dxUiNOtHis6MV4w5C/oSr7jC01SzFCO0Ca9NkuxJD3gU1tMrj0oC/5ggQ2BTdnyRHWV16RWLsiaX 6tCXMavuxnBAg5WVsN/kRlkmnw+KlFelT9kakpzUn1kKtUTgZpW3uQ3ilk62lpambtFJJnljIPBm lPgxxOfEzcoG9gAHGzLnRYbwYLs3OjbXDJ7xRCVOtDVV36jvxk+Jqm8SoZPRJyIBMRYb4GAMBc41 sfkLgqjyhgJLJKXzuqs9e+5PVEnqhmmDc9y2k6nR7FUg0n3NmAODRvVacbvNO9xq7hpjwQziXPkJ bsOdDRSAgjahl/laypRE9lqOHRoFIxVtBKrRxs6aqBiLs5c7n4+oNd/BNz290+XR5tCjERjYkz2k 5dgbE6AD/H67a0qZLrySA5NGoRqo9158uBA3CCtO4iQLjKEKAybIqSPkZqH9dr3nsreNJkl2IOZt NYGV/VHheXY65EHFnr8gkuzr3wETXRLKs+eiindP6us6/yhVx99U+TOTf1Qd5ZZDo0bhS871dgev ePdxggHjG5/wpMuwALLzqJfhPJsP8L/Y2/4VMJH6b4NuOWjFiF7Ta+PzwVK6J5ME6bAw9+3FhVaN iBe3pL1Jp93rEtn2hZOONu8k/cP+nBtOg03SOS9O8L0EoICTHgpZqAhAaZlWCGtZ9ySTickPCRAU 2Yz2mg+apHP0ZR3fvW4+H3nw+CRpa50rs+3nVIrq3aNLQLQ4hKJel6J+mWzntNyWxgV2jcAlm8DT 8MEmacL+a1U6oFfIG6gBjJgALKTyg7Olktem7I8fEkzSEodEWlDjwkxO2MI0GYzIyeejXPeXYjgO JR70NkmhIjadrvbyXLcaDxLSfYRxs2wyCEzu+kWRx4xy3X0HP5RgElGT8f3ApSL+FHrSP+zwCRys QKAue9vghlO9BFIPNmiScCFLvnHz5A6hJuu7tkTRwJHScyi9h94yp0H3y8EmbfpSqr34UgK8xmcp dkInCatTRoSDZ3FntqfAErmhSpE2/R3AMmRXQL3vqd539deN1tJQWWn5YLC3PugWeO6rmvn8v4q5 ndk4/m//CphC80Z6WZvbFSpN+TIBek/ZkBQD7kI6l2L3RtrrvlAjISa6Jy1tgcpZCB5ZNhhszeFc Sk/v2982OrxV81bYlD1FmVATVYrCmnfg3/hLHps0QeBUkRb5LEXBN7rskA669dyLoMTO99WEmECr KbBwPHmzLB4kdFgeoUO4zXS4NHf5Op2reMkLA/c/FMVmeyTY7ttGYpAEiDBo1qD6Uj2foeJQw54L TRwflKmKcNjs65aXYhdHhitzXFLJdOP4Vrt3Bjo4IYopQxtHCYetzdLA2g+rBDYpe3aWl6BtuEAu gBrx2dyT3py0FTCEFyFwOTRynCroIh61wWkluUgS4RyQfP5fs0TxmsRF/SylmCVJfeM1nFdSnTWB m8o5AfXGlLGXo4y/k6T6Urpok4WwAFB6zNI8Gxz40lETRA0mBDgy/yg57lrnO3BzBHOaeW6TPEu7 8iy7wikBs1Sz3jLacVdu/UeSkHVeG7Uc2DmC4Qgw5b6+GOx8mNx6qnYs9eRaYznwc0y0jSYwYPhg s7ThYj2gdIWaQGWfifQbSPcD3J/XemDvednrBqXKWWQ7cwdrM1VpBuDanHz+X9YPRC1De6DPZ5ai yBvwlaWIjNluWkYFxI/NsSb5IpdCW0c5y6ZCZDeHh8d1srjZtJbOGs3EFZLDOrGrM4oEzsFJ/roR kYugSiAfbFBRIAOyb6zkzOejuveVF7UvEc2mZ8uFde9C/czki0niI1Mwims5152olANzRwXxWEXp Dh4LnG/5bGoq14HoDmNfVnRxPgGNMwjvVsty+dv+2Y2T2woHq1XmLXlIeALx+SBBeUgUxMzh131B TwN3RxlinRTX7n/cdm7Mt2u+slo13cUU2zsSG7AUMa2viKmAfguOZKX+1aZsElAoZzFB5fFsOS+d ZALD+Cl/aUSyhGGDDqZVWYABVmN1Ph8Flp7sUmQKQVt+CiehwaME4hNBXWdVJZb/BclJgxATAJew JVWGix0e2WJKO20fvIeSod2LXjqio34Ob8pEUnN2kmXVmW+qWtmbvW4EFmTjO0sqId/C98mhNsGC JxFdfD6IK6+fMwsnmUT39my5sPKt0kURRvBsuTTcf05EHIHh2/RpCsPvLUUfmqVy0NY/qRWYb4tE 7glDDAhEovqxUAsDSGnciKmkvux1o5MJdcpNW3ANWkxQWaJ6D4J7Ph/EAr+M0xH3t3x9aXLo9Igr HHQcd+Ud2ZOUvPO1dd7dHNZyYPUIbQp6y0N7wQaHLtWzjTd0o+pZnwjFqWBCQnYhC6Be6WNC1fkh UY4yVaWUENCURBKAUr0T0C6YQOD1mMiofbDeBd/9upYZOfR6nHSAmsUmqbGoKQIclXZVXsLdYpMU Yb3ZsW30+LXh4rvSY34NqRtsbwRzqAPg41F3tERuKF4K3B6zJ3KJdxwHZSjnS1TsfRJEPR/cce03 nhIySftGAqHfI4Tm4KA+PEExSXaglZoTmBoc42ySYiKlEhSpR2YzEkNU2YHpV4JyQq8GRWdMkqq4 qBX0comUqsGFho9FLV32FBBUQl6V8dI59ygN/ANhUv6CoB/XvomCKJaCS3RnKYq9AchB+lSsUjn2 rVQ+Ei60bbNZiiVKRF9ip4nDxS7V83ehKA4wxTzH92JhvJDoiSuTaAYzqPG3jTpN5W0prYEAg5+X gV0KXR8fppd5j/20+sQBge1jpvzK2mV7HDDaleBIbXjoXd2zL//h+8jMZDBi3Kr2aCmdrZU3HJRI hlvDoIIDoEjizNtFw4PnoA+JQAJk6HQKTHDQfjt5XFNM2YCGD40fZ91fWRytkvrXoRRSKs/GhN9j sf4JSeTqM5XhglJlJBPhyIH1o/N0dhO1+4uWC7TJaHRzzh0wKEr/QzSAF2KFMFl9ECfbXjegMxVu uCJL9VLcMHwX4Z7O70iVz78f3etXFod4GjSGO0uhUw3ND7Y1caE+7kKkWZLalN2q3Qkogf8jftGb IjLtHldbEpY5s3R+kskqJG5PSIkmQHqsjCfl23LgACkjAlr0Vh80SwAOysFxVj0fFXT97JZtAs63 WZ/KSegCOVU5cWP188W6UGIp10ajAeFnWy6CnDRx4qpqcXXdOACGR53lNZC8O9pmrHsD7EPlopWe aRJPJ/CBPH9mYrC05x10LmWknXLOQs8yMIL8xlR2JrvET/uW+7zGSi0zeTyba23bcVJ3wRzNuq5j TXI90tdIqSUCV4C5atMHL1P2k/k3tjSgUnrCKAq2gqoLWgPbMw+TCZHSa5zUAKmhwL+8wzkYJKe2 xoAZx/fnNUpq6jlmJkFL1RWotcrD9HwpweTgK9sUVxEfTtq/FLyRvh1Fo/EXaQEFkzMYP+ZNICUH m5wzHydWZeHmXGm1gLBBlUQKj6OsUIr7sDgE/nV2zmeA5dXhWOKDDuxzUcrmvp7b4fMaHjWhMDU7 8j5A1lazzc5rcHQeAqF3n8DOCV7y9JGl2CV4LTAEODuvoRGWzqAEs0A47QHhlLNf9yjAwlO3fgBK Qc4OoDbAgtMZznRaiep+DYyauRifC3ckHzQ5/fyuKjbObp/XqKg9TYBhBAp0H1q3yXmNiZqb9skm o5Fj4holzDhdCXlb2v8aEWF7FrM7mD44vPTkZBBxI/O9kt9LeGmlUQiYS+UClSmK8nmNh5oUxSAa Sf8MmNb/EN6A+sSJ3QkuPV/o5zUcwh/afenoUp8oFjSbnddgCA8RWUGahmbHkw8IVl4EALu1NFeN NhZPnSRxRA6e7J984aSb9DeCAeQqjBczOIkTlgOZdX9trE0J29dICMeOyEloYttg1bUxlyq155U/ r3FQe2wxh9kboV+3t++s1zAI23Ei2cjrBtRX4Kas29UuMxs6+TUIaih/6tyRNsl+sMknwG0nmeD1 Pjr6wWDhGrwNV31uwzFJhSXwz2sI1EBV3tK1yT6oRAuqr0Sgzon/eQ2AsA7qsOkhXbdDrnJtm53X 8AdHeeZp44unjHIbIrw1JW4D9Lh8e6LZoVJkkdpfedT+IOIyC9zhJMMOmimaEB15fYeAJy79m7jK 6yDaW4Wbqqg0WzzX2B0dSC6elcfnNfBpT+Bjb9bIlPA76zXowakMukuayR1V/WrHwbM9z8jdD57X kAenMjXakMP74LNzjuLdE6GR59I5pzfpuSiI/0wUTHIlrl0BNBncrxFPk5MDj5zpg8/OYF8ZfY38 eY137A3tRhfxFFHWXTyvhUZsLThlAEttW2tdSZIqvx5KklAAgPpjwbkMJzeaqCYfLqB9QTKEl9ZE tbWBIFA2qAQLwJrStvc/CrPFz2uREdMDjVmQ2ZYPPHmgOpl0pZ8w9vNaYmxqHNvJQ5IgQIcqnlFq KJgedII3azbkau1SfHoG82oVPHgjUkwiWD1QJQLWSNS/9EBGKRV1Dh6ePAW0UYIh2ZKaMA7joe/w NdoZvRYX8RWsKlxW88EO5rRkTMOS0Gtp8de1pXIt1Pu7X+rvVDZcxwna9O0aOu/i9SCiXIwQgekz vl80P0vS63X5YPMzUTJBUqKzhx1HtDrQjxmE/5BUZWq1Tcz/aP1IRxMpoQ1WfV1bAA/wVT7vRLam ut5XTIiDp1jrDnDnYIZwW+BUnLaAFCezD6tgSIxI31/vNLZ2GSME+2t4Ah/ojhWmpsQOytD5nP0/ kOohYsDbsNN4NeHxzLWzavLBekIliXx0Tj4+HpzP27cYDiAo6vRG+wl+6HvYDAgNaoqyAsdZRKtt xT68PjVD9/p657Dh93TeXzqhy/cJ3aieSds5dqkTsTYEPzbADnAWeVKh3D0KnDtjwza41Zp7KGTU moi5AwIOgLUo+jHk45ApOOQr+pw364piZ2JZ87qs2n4dINS3M8VjVx57J7Dh7XWHFW22si5T5Bz6 pbMBhDUEjyTd8AxEYMmcqd/kd1jSy77vsi7DOdFpmtNpCM7okkAsQIeG4fPI3wEi2uR9PovoPYDG ActrLPsi2inZFEEhw6+xkm9hOpoi8I4JJffBki+ExSeg5hSd46e1KZIEBNeBOqUlrhB96GDrXaNz iMoatWpA4q9VBKolmdonrm6fd/IadmnuXwdRJsyYFUVNURRE04u31GXQUFTEHIKVTE3q7LNevAv0 HkVnQbHPlpp3sH02eqfkCPPTk8SP2cTfQs4O1e5VLsFv0K3nnbrGUxMbrA8aX3MQtKg3I2jVUcrn nbnW0BZMv2aIjh4mVnE+NQykKVdL2QKrjz08muEgtYIVqvJGFElLnq1Kg4yDB0OIgao5PsH5dBcJ 24LGAGo1EY9m79jtXd9nqPGar5PK4hwMX5wssYGV6eedtIY11H0NMTJADWlVD4beOWvNrGjON5is Zt+LlaPRPd7eu0/diUZRMO0SiDv54EuowDWV+lnITaEX1ckNgcAeaDS5DC8gApavl32foUFcWmeX VIMOogX9annz9fl5Z6z9ihdlbAxftZ08U31nrHEN4ZYXrQ+16Gx0ELQRi+nXQNvI+TNRQD2IATmp 1/LBDiK4FIMsyAbZyVP3NIUb3PJKYae3fqyr8R5Qm0gEFF2mDwaaPbk2Q77aIV4TRdRrj+9dho9O /QZEUUQNjTCQDGyTlTW8WF938pYGS+1aQ2FITRZWa+MONkENlOWyRFkfEE8h4goH+E+DkfdiL1q9 sTn1rtEEoTXWIXdtAycI0CvpQ1fCGqKYWpho5ja8ys6FMG9M/c5Tw20PknGiQreQe/lC+PvtHvKq UxkxjqkZKjYWCYvXh7HJVprQhVlEfpykqTc680HzA5IKP/IKVgl62bu+X2Wo4qEfxj3GwTLWKeEm HGj5805Sa5JkthUkKa3200epPkNRTA2nIsDRtqP23GYFiHtP6RlHaobeY2osRWnSy2p2j9uErmVW NEAlfQR7c0aMMFj7GYhU6Xrpx1C2l/2rTA/KtQ8GIDonZjZjkPl5Z6jhnE9e88DcEtPmou/nQ6NS NFj4Hid2eob5Jmsp+00GUxSbofeYGuU6MYnGHS52fyGDkbzfOahrI0m7gooK8wxG7xeKRgeId3Za k1Yn8M1j+WCNjHPYE9+NXtLnnZvGCf4+hpCgU+jZZiiMqce81qliFl8bXjYLzIY3GXLonZhmkQpK hGQ7cvBzGn3Wjb8GBBAgqEhhy1hKc1/Ha8PEUF/knZfW3KsHgtM+2AydKItdUeSBn3daWpP3oZ1D BFoArGoeoZyjKKhmPFS2k4mKwAvMXWV1wG7VbgaJeaelsSwtbTGSHLOTHGkBAUjPpCbE2WeV7rfI 7ieU1weNZv0yKwSYf95paSx/GMC6+qB9lmnBwJAxzc87Ka0JUGOpGf4x6r20WrcpCivT6IjB2Nam iIg+qUI9xmGIcWyjRaVpFUAoj2GDp2awG6KCH1piOyHTJNbz/CUTZa9MDt+UjibChM87J62JiYO5 4YnEQVNU4TMhYOxcn3dKGhP1/ZXeszrUy22KvQfVOIoK2z2ajJNR1UvhL+m2Ni4j7Z2QxtRbPs6E oJVH5uBEKm3AcagIyHgSA260ikRkAkoL9bqnL7b0su9H0Zq8z4Z6P6P5DDWIr3MNrLQ/73w0/K25 fB/WyISpeaYZCqNqSB2Val2wk5hdqSOIUDtcqN4L/z2qxhJm43CziMbBjqJ+rsWyAc5A2gH0QhVW BoXmDsXBMW5iVuxdowki0xq1Bv6maXbOEC9LhDD2sffnnYzGOvE9ikgkJvSt3fssqlI34c5cnb7k dR0OqPfiumK+iN6japSQJ5GL7Q5+Wp8/ACCqIg8IOPwaYAZ1D15qLiuWeYd/3qloLVuZsUrBp14F H0ytyTmCivbORLMc68nMOtgjqXhm9s5D43WWAGfK1TtkPkHnzJ6e3Pfi6MV3GtpT/8jzDjZBlQD/ OaS9DuonEXxpCep1lty6CqykLX3eSWjN/YygEeyDVYhgzWpg4fF556DhGBq3Dst/3BHWNz+p3xlo WEIgfSZ3nltTyKCpz3WqR9qeu74T0JrbF/bU72AzNBAQdQA3ATeDthj3Y6JPI/IpiJX5LkNx/PPO P7NGFy76dgclZg2sUmmvjvx5p59Z5P+Vd1DouHqV8Z18xnWLg/ryqnK5HfpSbyeoQpqdS+ide3Yn iLK8NngJ7QSuQAthj6WzsKu4fEAc/VACGuoAELwgE6boXaMJoiptI4+RgxFhIA/IoPp80Z935lmT IerTSCwEDaSLYIiCahyg54a9Glm1erMD3WRrdqyejJ33zjtrbpnecrmD3WQzw0Rg8K6H9fvaXRIj g1nJYFRyAYv2rn8lZj2TT8VBBbQKgVJGjOezPu+kM2vnPYcQKBqlN0/t3zlnmCBoh+RivNedptHx zgrKlzJcYG+uCXqPqbF+efpU9hQ5eGq/N9y6JR0CINuSEQuAE+Cm/chhQIcQNTHeCWc36QCDhhAY LMqu8hCCLSwBSN9/3glnmOB0S4zyZGEs73f9O+HMvpdN4VytIYKfMEU4j3yK8o2G3vlmTfjtgfef PmiK6jl0JhiYJFVDD22ygnQOqo0q/lnBXvugU8rnnWt2q9RgsPlgAKo0hVetZ6N/3qlm7aEterfs XAZ93EP6PZxGD3vSfdeZCqS4iZkv6V6eQXn59EQ1anZ4oMw+fPAVVCChI24QGLAb1ABgPeE1g7t6 fnk7MuF4p5k16W6B/56SDwbBh6An7+mTXX7eWWZcQPtrgugwW/M9g6JoGhKwJyVzJEzZt53YydFR vgH3Od1i/yhRN3YVOTg+cZ0op2yBPaBGK4pZJ6aIpAdxdMeDB36nmN3yGbwTfNDl3ADg5DW28CW+ R9OIE+pXNA3SQZ/JI6F3fllzFxrVqDsxil4cUh1CQLPtdunv9LImg1v0N8xGldfYZNI6cVwUwsrR y4eqoeAwqFGDsZFNDZ6toKSX/Qtrdn45O9Pwo7X2TasgHuP2XidYfGeXGW7sWUToEHb/es6nhtF0 lV+YSmULgHsHKsqPlxXGtT0UCmvUxEmfODr5YLsM/Ptz7mT2OdbJSzadnCcEHjr6tJnW5SZlXLJe 9q+ufenyBUdYbvhmAB/Z5IWL3eedWsas1RFnmE6IafSUPOF4J5ZxYsFS7NnZCd08IDJAbreABgts TVFUpZ5CU02pqczxlPEhe5ohugDdonLO0kxZBxSoz/8X9pkpmeCyJ6/snVbGwISIxUIZFQ4KqKFf J/7F+bM/76wyvmP9WkQABDW6AwuxGMbTa9EES1Tgk5KRXrZZx98ugdXRzCqELMZlap5EQz26US7m vgLkQ1wA9tnZbzBLOQEMStIQEj1b5cHcs2r4eeeUNVdVzcKdZcpxMGs9xz6TFagRzs87pez/dMsy 8rEO1TubohD7IULwEhER4P7JnzIIhsAqbhpizWJTFEXUtnqK1lJ5kHnw9OuQLCY6BgjplXyKxmCF 6Fbyqb/9eSeU8TN4m2XdZvme1TOLOPhzkuvxeeeT4SiYvsu6HGnxudMjxnc+GRbR5Ax1uc/sLNsi 8TdRZv9PJu4VCt6coahOjRSCdnPJB1tEY6COYyYGo0CCdpDlWuBzjZMIrVMXMGZD8Z1OhlUgq3Tp X3EQwGpAJ4oR0dx8PIipf1/4tA+qd5u9x9QG7kQZkJsLih3LnXrQPsCPMHhhcajz90TbjJXFTYXH sts9iMB12C2pfIb2MbzNkCcU0snP72vWGGbpw142uPDVDoI/iA0OQZt9C/FyYup3JhnjhW9geUMA KlQFPzQKqRkzFkmAsXyWrpx6z16l7tD4FXY6qlLD2grBYm0+2C6DM/rZB8w6gPubhTqqG5Wns49h VIxDSonrohHGO4+sSYypwQ/6Dgoaz83YuXPO57bPO42MjYD6dRApebk96XcWGeZ1SjltOIgxezdo lubY+wGYGC+zdxIZk47MjNXy1/TFTDgxxMmbsiQdYUAnCx9eX4M9q2sZhkbk551CxgnKysuGD5qg Nfo2WYI9Pu8MMrYsbjNIFKHzH+DwaTMUIj86QMI9uVpKvzN0Tj/vKCZ04TVDcViNXdbVte9P175S 42LT2AXyrOukOISCsiaEcn8rwzcZkZOfd/oYm6bsAiHcssG69k2UU0iO8/HgLqvf2BgapudbxX+n juEpiu/xH7FdNoaDh+oYLrpDi1lNUBxV4w6DqYUPvoQycGHGaIWqYOV2lPAVDuaVb9oxiPN8J47x yDR2S/PB2C1wMmUqcS7izztv7Be8SgA03J4XXdWimBr8LbgVJc9cS7baRy48hZjYo5LM2kcLgdSF ewzdABs8c0UTd0AXAihGmBSr9iGULvBSbLg2Vc+S3jXgRrEXfQ7l5oNgDSC6d1uwGY8H8WK/8aKI VBsP3GgoDKlhVtB1k6H00S+U+qRULjFP+Xad01GJWj3pij6SDV4+K+nkk3ThOxfrmGYys6n0Aknu XFjEL1/ndIj82FpDNCvmYGtoliEk7NmweDzo2pfvTdaUtfoa6lFIDaQl/FlVYDzXslmEAPnBFI1r aAyzmOnvITWqd4noxVp8sDWEylZZjVyFc/EDflZ0CgF2hmOSRfyl8lDXu0awBl71S1f96i7+Bb0a QVvKqHg8ggr/4pDxG7pg6h6WqBOUGZK1DQ2dIo2GzC4HJgg8ApugCPgxHN7ZfbBV30/WfcLdRpMC +JBOVmDFsK5wAJrDkzLSg/EZQcohq6u1kw+aINog8OPqSTl6FFB/EaWY4i4kcX6P9TCezoyFmuE+ SqLPtcBV9aIagATlMd2jErXusaoeDwdHMK5+Dp6pePr8nix/ggaZDqnGyLdEDF/EZPiQP+Bn5zbr JPhKsV/h4lmavOvPcVfwfMBDXN5L3AoRyk+v9xzqIRORJdi21d1Y1Hs1u0I3maGmvLHFexRRT15l WTbF+cumuJ+AY1bCKUHSnBRhRYc6IyHDvS4/kPnoyPYooi5b+M66fVBqD0EgeqYgpcHjwVGd/C7T uwEiuO8qitiIgx58tPxj8aPmYjOU5/IS2jlHDMPYw4iaCDQKHdjgzcQEtBg1KuDhCAPnRRVC4D7A hRhWf2DtY+tdo2YiMYyQWcIAWWPlrXCtp0TlOVfweDBBv8BD4BX2Ni8dMQ6ouXCGYPiu/8kCGsXJ BEAD1JpJWQ8JiUIID+LyOdgSgi56oeMuOkFj0nacZvD5B0Z8+HruOYSOHj4jSOxJSISkig+6fhK+ ALXKcFBHAfVY96CWQBECjAsd6mGdGiDGyuTo5PCw4Ev8Cfdumm4pV2jc0Pl7/jqI0hS9bN5WUIIS GiQdyGhFaYX9xkoF1AnnhDyoPmhKllMvG1WHSEdMc/lgtQ+A5aqmaOLxCID2C6KX1Jr0GXqPqOVh jzo1wh02y+hpXVinxo5i/axChLjyqI4i6i3kUH8GW0MLVs5NSjontAPtJZHUQSXHQUqJbTIgHPWu 0V1GylTnPHEw4NAYbesCzxOPR6zW714QUvqWbz+6R0hqpEEwABeufEEwyQqMJ0+rF2wOWCGXUIT5 UD+6woaRA5NIxot9nsu4NgGHQGKtWWDz+TNhL0gZYIdSAwuGDwnCoamiEDHnHIw5tboUm8v5KvB4 tIS+ZyhDNL6lfQPGMKQmqV4sqUXaeLMpUgOda4haAJqiqEq9xKrXFHHwNdTPTutTxCBIMwzi8Vut OrggPlK9wtiXvWyQty7mY60MH6wbBN11XtYLuywkKNbxa4qwJMGKNW50FFNP2jZSa0TtIBPxAKoh X/ovoewkR0dl6qkmx2IploPfZWeHnX8/6dg09rnzuqTPqIfOp1yyoiQe1SOKqTPpLnVIgIBZ7g95 QWln1WBXzng8iKn7XUQkgEAzvkw/iEYUVIP9hoNo3lbHVYVJs/hltqexXkZYpRYhKI07eKvj3BcJ XHQc1RVoRrqFdygswJzwJ1MvyjS9AJPDhwRTpJJXkxwjzeiogbNBDGXRGghTPP+vtjRRnidc6OUW 0EYMp0b5vjcDC7PHZtCYblU1WHS4/sCIytSZWT0FFzjwfYkdOutndWEYoTd9jm0G4Ij7T55w/pb+ 3Pe4C/EZAciTAFjYPHAgfwITdFJruX6dsJ2vGFQ/mqOrZBjef5iu2ASFyI9B8fPk3gy5u7x3maYg j/LQMobiiGJqWn2hbGvDnaCTapyjemmXgQjRsjDF+EM60sz16FYjgMRn/In8SNxsHLxvP1aV1PDY eDw6h75pwMPk6X2CopC6s4xPjQMeQ2B8W4GxXkB+QglYmywKqSelPZpO6vac1CXRs5SQ8tzQrZ9s ucLgEryK80lo0Ti3DJRIfEh0Di3S7oaR8JqQdqDxL1kIooeG56N22XeVumDZ1meKoqAaRb6dqolX wIPoqcFWl8/JyeVzRxRUL9I5sNx8cCh1P+cooXdQ0l+VBDLkDOA/wfgaFTWvUpNqP0Ik9ZY947iD TmpomShOPlv3MyJ+4li/Oq4oRVKIVRMUIqkHyfbVTqGiaiwRjOQPqNGB4FwTFCGpy1ajQ2X89pTx gfLIoG6y49ohw5rvVUbdxXIFhlgF+4xQ6KMac6r5YOghdIVk+9LHZ4Qh9U3teeKgE04NAE1QVKQG iOaEQ24ykFPP95iefkwXFEc1QVFIrbSsCYbWpPmbtclOONoUDrV2pmezI0L/MBb45uOGikw54zP+ joYoC99ckO+kMjsJRw34Hx7/V6uM+QlqDeVZQWFIDaG8UrqjGvYFfpw7pnnpYzU/hcKQepNq34TF b4/l4FmH56/ZzFtBlq6dgoODLtsdJ6fOarEVtITCkJro19yGfBmxWnl0nM87sVvl4bsXng9S++YV NMKEaTB+uWUjDKmJjckeUqvKIokzmVexZz/oLlA6fs+fgihiiaf5WOmdQKW2JMzpRLEXLThUh2BD UNBAyexIN0kxNb1s1G9l03737oNVXvceTr8reDwqfnwfQ+gK9HzjxRlWqSGokxtz1EQS8LbUvtLv hzMERJ2Sjvm3RF7LInDmh8BZYe9JpDZ45DiWKEm5NukKqPD025CedPKYIe6jyD6POOHsQoXnX55Q hGV4gKvxeLSEvlv2IBSiFOwTFAXUIOOcJdSUuCIru0soreGJ616WuM6QnqiMNQmjly5GD+0xiMsr cS240TrlGjZP9HMWzPrUYGmcM/9mJ6I26YNN0ElrknoXreDxfx5DDAuwZecFxswonoZO/Pn91QqM ZT/t1jz8qh9g+EqT6R/0RAQqNnj9DLqv6PyQWLbPNqJCfgOQZ4DWRVVc57hSi2DGZWp2OoiL0qBN dg74nkxpqeLxf5HvnPDSx+WVzSighrQxStOueJb3ZU31fEuwZbpqVVikVoWxGdxz3SL1+Q8nwu5y qEobaCHq6MMOvlEojqIbim4JP5sh6kNpa6MwHAehPvb5cHJ4zybOeDxSovyF+oAmypOSzSigrk0a Fib40WbyCUJd0IOh7J2OGXITKQZXCx27OdgEQbseCmFZ/dazilg+YwGfUqYyYDQ0PjIrfEgkZ0G4 Bz7LBu2ygXiL0dD5/Xg86Ca2/nXZQxKl7e3NshkWqZGTFYrREhdTuvM5EAfbDM3mN9mMUB8C6BVT 7O5PLwgArzWo8HOuA0DwGiuL6XzVULT/ITfIoiE6L84onq5d508aPmgJ9dT2UKY+Bh6PymffzTK0 VDpgGzZBEegD5ytICi4bTNlznkKJ2msqDc3qExTVqFHyR66ehw/eCEJRoHZhqeEGD5IxYDFnDisa 3RCidI40RRdnFE8nghk6ryAMEsVH42E20e4yLrIQ9DG+SWUE37YLNp8xMZGyi9Mcc3OpLmh6cjrT sjjhX/I9FmrnkelSZftSH9sX2AacdbmnVtDclLGCAxyW+MKdtPNTw99616BRxngakaYPysjOet3y 6Vibj0fAqvZ9CCXo4bd7CEWgD0QfmympZDt7udqCdEwU1hytck1QFE+DNAatV6asHJ4VNOfqJJHj PBqjyjJgs3gFbRsqr6pETaz5jOLplqR7ZipoDos51+FKVdXF3vF4hBv6DhbBKKrIrW2GQl7iOS1P 0tS9MLTMjzqvlcrVZaL5IWcoVPvg6dNXucPFUcNWbgtYBctdM6/GWq2sotWLNIdlHj8j2mMUjNE9 1v0eO3dAqqpEwSMDj/8rWNS/Zcjpp/SKomnEyahKW8e+9naJm6V7YSjDcZETtCLMx+AF1hLFmTh4 Cf9EOhVq+KqcpSFTuFQhqAA2Yd4X8yFJ7hViPog865X1aQ6aINZyBWqtFY9HtMR70VNQdxPteAUq o3C68xQaNhkbXWKD4p8v5qp9gHulGQpBH9Q3xanjg6OoawWUQdzfE5udCaE+HBATDd0jRMIOG8JK x2dEAnqy5VCzVUqg/FPPJxAG9gObSzwfYc/m1xqqqJ6k5hfZCoWnGxKO2pfVPRbLZaK2NicFEaKh GYpRH03Nja6BXykRsCeIrZNyCOciO+EDilCDaRrk3AClvtVXAJQbPiOqnBFYleQdmNY1DTw/T4um Bx6Pomk/hQi4RgSGsoJNUBRNA8u0pQkhWMzVDz5Hqas0NHTBNEEhiJpV+RcNAkwuVOOLoGfgSVdW X1G2h8Bh/aKVEXm2/i5Po2XrgxWGkJSp17orHv9nysqVV2ildBGwK1SgnsAvLpMst3hEdA6fLRDI 2bA/wdAKiYksmhXd9aV8o2I6wNLuN3XuGk5RB6KgA4aaiUHXVbbZsF8R6KML7ZFW9kHh4kqJorXn buwTj0c9ju/6NCGwD+hjRfE0lLzWmrkZbkjEMnpxVFMbRCmMuwwzFEp9UEwnrXYHX0QbnTKQ5mqe sy/T1KH5FlNNYGWu1VSyl41gMWRvDpZhOVhAXenXTH7awOMR6uMbA4vbqa1LvVshippap6np9MGZ Zz6Bc8t8St4JaF1xhsKAWjbmY1YffA3B/bZWKVcBHQuJfehOoR4JpdWcxgUO0dURH/IXcIgtTg7m 9X4SsUomJWhiDY9Hl5mf1EUCPFhE2SsfKy5RI5CWPBzKi30t32ZsLJHO0dnx4xSFOGqdRDqH1RPw tHWOvXKnYO75aaCTAXZiwzY70+XqUdWOQXzGn8pVCEdtUEi94dSoDnPjKwZH9Q2pqe2FpDBfBvAK uYlUqWQ10IQ8Pa9vSsrU5FjWal0x6oM3FGjaNvgEwckSLROWhkDiRzukFjkLJDl9WK+1UFcaHxIA qXWLdcJjOBguZqbKysW5kyseDxr2457VbLZWopM9pl5RTH2Ozh8w44zh+lUbsrYip6glQ8GuMKYW +Lem7INPUaKi16LORIXKELzMKvXaB/LhTNMHi4j8ZaNGUH+5zsAY2UXAmHNi4PFoEXniOixFQfDm MeOOomqAwGGWlJzPMbwf3fryTlDC1uCFv6Ma9ZA/mapo5UvJM/cBvRi6cnc4LG+KDqGTA8ruoDO2 +5WyRr2jqJo4jZOQsZbPweuLi1Sm83GDjwfls/qducI9pj1aFvs9qE7mND2KqzKN4fbArJmZpDA0 5KVrHop9EOySqRes4TZbcwVHimsIIi9FstRIRCaKXud/H99EyuLvEEpNWllWfpYfcFWRMBZ6ZXvg 8eAyK9+2AaBP1nrFLHYIpYboch2uqAO9Xo8Z691liw6+nKE4qGawKMg5h4tgBB8VxTIkZmc7QNEe SFa5zQxylR0VU+1d/yqf9VKKD0IJVwhj8XoqfePxfzETWR1CCJZvdWhHQXUhjpq+Xpigs9Czg/Fr cr2YIr4iJijEfBhgiGo5HG51qOdN7MK5yiD1UXiVDShbAa/nEaMaQdNeNuAECVPVSW+t3emt+fxE HgrM/fiOkaBw/YqHkLnWR3JohzjqnKio48WPvpMxXsruyWcI0B7NUIyjJj641OaDzdA4iRg09HjZ n+O8jiGp00lthQrVqVs/wyfiM4K0Q2oWndkHBy0h6PgnnSyt4vEA0bC/Jwg6mRA+9gmKQuoGPkdO xkyAPdDwCerrLiFA6zVBIeSjE9Ew1LAf695kJ+lD3Y9FfJTua5lLLoECbrDS50h8bHV8RhANic8x 2CnjYEh8qKnKXiEtPB5JDv0qfsDpu/d71+8I8wEENSTxpXGGjdVthvou1z4AQm6aoVA9bzMt2xLy 3FfIM6OeAi9pSTWgcPT/GfvS5FhypLn/dRaaDPui+x9McPcIZFGTwdcjk6Fnvk5WMYgEYvGFOOol JepzZKi01zS6d33XyFWK2VBRhV+2Cy5jTMVuI2eJO+5RX4Ank0uQ+/et7XecUadLUeBuGh6hIvUY 6QvilsS0dcegD0nGmCbVegCeGb7PfKUyYD2AhZN4h5h17LztbI7CjAOfEeSLWZxNSsNxUQMNXit8 SSqsbXcoSb2/W7Dnw3BP3FwobFKTuilBfHq2mo8AtPOIICpSOjVm4o4zauZekxYvdX5ZvEDiHJuI vmSoMeUCI00dQPHzg6vaU981csARc1zi5n7SQXRjpGwUFj4eKVl80+7Qa+7jEsh3lE+3xQbjNl2v UpMf05V+jGZqW/yYjiAf04iJajDOp8HYZjmZImoanEKn4MiLcyDMkivMmCl4bqkQxxw7lPoQL7q1 u9gkEcKO8iEtDY//SxmOyEqM21K5/hMpTKcTFT7YbdU/ZRchEN8FkI++kiEa6Bb0/vl0BNiSg9l+ DEFdap+LUl43aNND5wuzxIR8aTINZa5twKG17etGHlx4v9JglyiBVGT2LBNui8TAnlKdP+BfZjgy oUCS+/j/5RTzE9H2oKg4m2ii3G82QIrjGrglJf6eYg09woYKd1TyhO5sTOjENOBfWZqdYowmimAu njsFo8yizE3KOkyr8SkRsmEyoS7NF/41oNTEMQS3GJ+PyPbeJeLhA2hOm1fvlO7M7891qBCMrHHr LiO5q+10u000QdbyMIV46kYnk0qSJpebW+NAFVz4hAyWaLQNgjjgxDQerskX/yEj0hQCQGjU0eTX gUT0x5hzZ/MraONkufwBQXKUv8fTuLBw6d4whV3rs803OOMavzbaRnHwMZ29CKDVMpQMflA0G+Ju kpRVkjA7w9TgPzUwWYXvHdhhPJhozjjRVoCK9U0gy7avGzVlmVOVMn1Rig1/Ll1uGRbJKexc9/mV QkL35EsQTWIT73kZm44GrAIwzXWIwLX1KEFRzzZT1Lm2Wl+aceXRjEvYSefqrZJgng1u29hMAGKA PwQwUfKOUaYQET7lD1eKjt3vi6I0ASxlqbbhuZBCLEj5JpvBIh23x7OZolQbgmsPtwMs525H+Bhl +Tu3uZm6flBU8cv3hbrnNadL6jxFA128szZTMrPptlnKgv/n5Fy2r7d93Qi4Z5ii7Yu1jaCwKtZi 2nz+PUz1N/H1XIh9lmczhR3sc+4uygCLUJUudA9lxY8RquDUYlGK8u3ZpV4xui83WTq/QiVtIQ9p MTdaC5xgrQrhYoD67WTa6AvyU4J0iQd4WS35oihBHkKX+dm7fD7ojFzVJp72U64xN0qhGAhO/LSp tpyJs06u2pTI8xS5c1V/5WIfGFKlVi6+2CtXaMJQZS8AdaIB2Oep3s7fcGbK2Xg2UJgl8kMiCrVY iyzczpIsez4/d8kK8DzE56Nk4LqaSfayfsOv6O/ynoVk8BfrcmdFIr3Upt3L4fokzZk3XiQJUtmZ Pkl198WxD7lD0kIArHGK2p2TvKQhi3w+uJN1sb7cktPfXjBQU/dFySBIQzwKz8Ez+Hzwwj2yMsRg nWpglgu3BqAwQqmhd5QcIAI3Pku+20zu/jZBarIohd3sLU+hlHyxvQQk6LnjpkwG6jml6N0+YIDQ qHeNI4nS3pKp5YdEsrocGuHUtUVRSrAf5rE0mFiGjjAtfb1wsExt9cKMcmiziGjuRHYSu7X1q1vb PEiFyj4yEQxRIk0T/nKXi7metYA2SqDR+VFNNdyCmgPoN5vsAtlQ0ls6Bz6Ll049dvJFc6OTBLcp eNWYfD44la6vEJ5DVdUBcPYghTzGwjr3uuTxD2KuMNWH/MyXLUgRUCTxReuJszUuFiSIx85FwZ2T 1TS4FjDxhE8ANJ54Nlw8X7JvGyFFsmBYxRcFKYGpTYGZVSqfD3Lv/OuC407KT5DC1BvdkkKMohl2 usRMoxiTNQNw5PGCC90WbQt1gmq4eJBAHDv1Lltu/dR1VVgRtpiQLveHI4PEVB8SDY80NWqaIVG6 jYCjxeYCju46+Py//IV4SJPNXe79FvgtYo7N0JgpHjip1YNEKzoGafDyV5DCxFvyzJXwPi4KUoXz 7dlJmT2lc+HPLbMmVNP0POtmGV6tw84P+et1wzTcF0PUnKtH6gXnmuPz/5r1K0tHMlEucC2Hlouo rs5Wcu9XwO29NUkpO0ZpTtfdy4Hnomuk/i8f7dzzIKOh+kXnDZQHVnEQjMS11iBZ1b086d2/buRc wTDJDq6VbjhGWPHQ/RgTu83ng2HtRa8po+xwO7v3W+C6iHS5X39l4rHz7b7R/sn30vS9FObdooHs dBc/uk+hnEfO0pOsy+63RT8lfE8YsTr2SFlA6Lso/FovBC9yMYxogbkE91JbfD6aJJWvIKFNAeej G6SY2diIxHYdA1UkrOHWapZ20+JFlW7ovAiCFwTz6128BXfCfbJdyC1lSKukTNHmghl4h4pykf6Q aPrqL4Xei9K1pk2hLZrYQgZRGLZeNp+Pzm7PKMVMg5JBul24wH0RSh/sveVula48zdE2uUgblHC7 epQioxiqxJ5rS22Tcdsm54yA3M6mtyDonzB6QQkHtdSBqKzWbxaA4PJDoq3EHm5TMtDS9awCPEW+ Z/Yl/6WqQvjIlkbvDVLY7Z6obvvlgFLnjVnA7MOz7o6hogUpbHfz7M5NZrntMcuFpHhT7/a8dgks U8o7AZ0HxWUkzffwJnyFnxKJyEtzhlzH6k4fOC/gvcgKbmU+HwmA/57+LxAdn7M7tospBI6YgFEe DxE0r+JYNggNWZRiDInuLXFE1uUXpQ1t+pnFfZiYuEpHfrGXujq78z55W8m+bVTBCTOqGy75DbdB ch8yDpyNzwe1Sbkq6eSxJ7Qw+pczdZR3wxgRjNbuDJE97I1LrTlfNqOKVaEbejFqxg2gsS8Ok0Dd DiaZcCSNZrmGp+6AdnTCtdYDaMuhGSMYxziPpJQ+t3FEzseJM3bSaOylwI0RYf5VwmG+1Vq66VJo xwhRfrAcE8/s80/doaOFqEWp8qWUPUohQttM9NJd7rl0jqwFtXVspnMQVdIgBjRhOgt7ShSLrCZ7 6tCPEfZz55RET98Wa1MSfMPNVCafD9qUxcESUlaHBvC4b1zsyAhSaKnmKHwyzHt4716qHd7ravDm wJJReQxP7eGLtylPtnTSvyVbz/OzyqCZHtolLMVFWFP/LddkXzfqv8nEqpi9cP8RVRH2LSRunN25 Nn9AlHpf2X18Qyjpj7Xu+R34MmI2QBciO7Xh1es2TT1ltxhmt8ziFGK1KUeTzXtwpEvsQ88ckvuT xoxgZeFIKhuoeQ4lCvpb95bb9nUDVADLuNJooUsqlN4SyBVLfq7kzucjVs2vSRO9vNP1iM2xNSMV aXYuDr4x2bmT3OTLqyGYRsl34M2IvjCHlhwjafF3LgF7uYwgeiJGO6u6OfZGo2Bnh04UmoDzQyLs BFsBM8td72xDqjPmBXQzNYdhYcMf8E+merZsAOiyG6YQYEJFCCmHEWBijqjoCQC2ozBhzGBhihEm QgDO5ovTa+BRA+wN8RMFXC1S2Gj/Vjifmg/yv9i3jVIm0fn39EX3HAaXPMDPL9L4fLCZrt8w4oF3 qM0rRJdDh0b4DZ5CziREYFTqeNIyrv/ghJi1BSkU65ukgA86DnOxEgXI/1Mt8WjCBHZk9qESrkWc uxC7sAGKym5+SIShUB3Hk4mLgnSyezKDEKTN5//lu6N368S6PSVKaNLIYdy5Ox1mQki9YCazOkig 0DScdVzg0njl6JZgFOvCKM6z58ZrAMqDZLOgusI6joJpgDMvzgwbXzgLUgg0UYNym9PelcCGGt2S EP+efD5CJn9PLDdOpfw0c0Obxk6HtGJ9WxDzXAS7rQs1oRuZBSl0lsk6lfZd/PBep3oALfuH7sB9 ijG6IT6GUP0Qt2SZQF32bYM7jpC3qsYAFwXpZEldKeHm2R2iTW6Q5AoCqcqUbx0XeDXCkQapQFne g6Mak1reso8lJzKt6lGKSZEEcEsMKn/J9hF9u2DVBxmWUwLhLjpZJd506tlo/D01/q7dvm4Ecpde loT503TgG7TFJIUNtfAc+jU+kmuMCIDRPT2ZQGjYiNsCdnuG4S7UyJEEQlpu2DjBatOxFDs2LjPa 277YG4fRtPyL1TkpjQcYTbqRQ8Ht9Zl+d/u2f3VO4JLpizbTzFtnlJregWcjku9vWTHYDTVakVmQ otx70+ibKGi+ceWKqvdRh+MD633jAtfGbBKQEBj0xTGm9E+DghOGTGNM6FlCKIJ32vnBQD9ejna3 bxtABGiv29E2t0XtJTAJBfBreONC38bfpnKVQ7h1X7g/jBvZg9sGM8Ux4mwAd1Ir1DW1nDJ0bhSW O0HSw5Y7Y0JjRmXcmKBYkTGRCe4CDIEqOQ7xIgYuh96NokhWXXRCoLOOAyiRPjEANfH5CIv7DRGg 22T9et9CuEmj5IjZXXAQ4e/bbNfiYTpaOf9h39h9dGSLVyjnbUN/ikKQEzSFNNk5SdxFYLNTg75+ zb4DA0cQDalrLLrkpWZAWtrGukCP8Pnohfs9+96077lRij3R59drBlLm5drqH3EqdQBCbC+FoG7N KxeVVLncvXQuhMGaMp/z6VQnhXATzJ3GAPpwrQf2npd93T811peUR5xsiLZUk8oGFAL5fATK+UZU Uoa8z5stBT6OKGuA8JLoGvpxbTlXcm+6YSx14Ry6FBo5ivefZdicH8PmnDPYbMRcnb2Uz4+CiDYE JfYPtta54uo1diJIkZ/y1xXXdcV1v+Iw9yHlkqTkzOeDXuUllEqubmPWdNOl0MxxsIqqrtYvTT3p a+TrOoMj2KMUoU2ItGl0Z7Ll1roFzrab7aWF4cqmQdhgX7fS4elOLFe3bxsN46hYB6S1Ld6q3CzS AckZfD6oTpK/cNKKApwujeeNC9veMC2ty2Vazrlnb9zcvTTrCJyb3HuVsaOj0qXOCQoXT5fwO4A9 IYBXnpUCtWgSnOMT7aq1vHNydvCyrxu8cYnCD7Lr5aLT+/wajVNe2Jfy+Sir/NYcI1Q8X7ZSDj0d AUrfiZMReM0luexSqKPQSW1QYDR5qzI2dZTOz6C7DBePEqZUvAqwl1D3djoWAu2NiSzGPfv2Knuz r/sXg5uQH1sMbrIIOaQH+OLzQVL5C+kNpcofkRgsTGHjmzR3wGslXrfWRTLD8iUr94bKtYUpzL2p EbEp+qPFkMxjnMw4sRkNU99JMs44mSQ8jOA2W0Z7iG992deNUoHM+My7aDOdTZqMG4g6LrB2BNrw O6vk7DNfH5ocejuq2CWUUdr0tXmxm+/QksArpQKBuSO0O6jXooSpPwlTgY/w+e7Ee8OLYy0xU5Am UTJYJE+5Xyb/ttHpzXxpUXSjrm0ogVWbRj6GEgjcHb/rOKWg583e1yE0h+6O6OZsEokZJE6Wzc9I jCb2loiRVpAisLcEa99sVHNqvdA4iEKIELvBbgP2AmymHwTNqrihdCnwd8x2xVX5O1b3d4Tq8RSe He8xn4+uuP6VLmHW3/JXkKLUG4aO56/ctuNN2m3ASfOG7xvqLgtSmHrLRGRR34aLQ71h6rUQZvSW aiJaCUGi7g/a+OjNGY1SDbjQ4bHI7Frq4si3f6r4ftDc4El+roTFHxCM434VKJDbaxSvtShFqTc9 i0uZRqUELdE7Ag+je+D/blH6u+ndDFExrxQZasB9ChFCBSveB3NO5xuIq5hmLG5J0+3bRiQdvm9N zivNsK2n+hltyF0hA7oU2jzqUOX4mxx5TIhbefZSqKF99un5Q89miQBLctEGWne9to7qSYnAH06P zAAKkcxcnF3RT1U6FvuUcO3pnV4ZFLNrEJvJJd1il5uan/IXorINdpm4WHvpXFQ8eYG54/OR0LiH SZc+lZIeEkro9ogW4SJGhhOUnYhjok7J6m5OU2vzKy6we3Skd87yVs3t0QdAY2x3TlBGgWscwOCA VorJC1WyL8jJtq8bMJqaaAOq48plaSdUQtwfO1U+/356r4sW5FkPSntvT1oZWD4C7s8ReLUReNK+ kjFEu8Jbu/igKfB8zAZiLpXK7EUGegpTh95NnQrTyTBxn51yF2TiiRevpMfAmDqN/JQorZQ/LxMC Lrq8T03dVazOqucj+NJlVyIi7HDOlp7tFDW+BzWjdSLxrWsuAlhp9GZv3egepwh1gs4sNOvrXfxs AtZhDBa8YF52uRgvuLc2OB6t9IRJXJ3A+xGTJuIppWDPRWcT6HHSrZkYWwbmj9/QHHr5VEwub1fg 85ovdbyqMF9vw6WB2i3kYBbvQ0tcK4zRa7bUz1Ymc1CYZC0O9D539IRcKF2Mdz3JvTTcErUKO2Uf LpsJX/U1V+qu6dInqxMuig+dt9kIOd/y85opddAjlARMdrwBNewli/x6/ihRcDr1pLKpAp1sw01q yricCkBrbQMFwenk51bVuPWpccua5VQDEicDUI2EfmgkVgrSwfaxuAOLw+Bfo5MIjz5nh1QCUr9J 0jpvsowxz4/9vKZIXSbjio4Q8wXY3sLOGz70NUXqECc7r1Utw16w2uY1E1O2hMpt12ZdgNcECZtn yPSxFF8Ma5pOxTpn5+Zp5+7ZNVGKAoTKAiI8HeFMppXQ7tf0qGMqhF0zIB9qi8LDKQzJp+cbf15z IwR33/DwX8UAgtsWwXnNjLB5RiJQafgA18IE0ebhmVGBMA2D85oXdTnaIbemUDQXH9/iIARDTSbz 4IwmQUx1gPwAomzB6Tx7XrOiDmg/m0eNBjW9QmhBM+4Ea16E6tS8+fOaFPVH2A4MTh3s6GV2C89r StSVb55zLbvCRLrpdZdjqMjvrsHxmhDh3eJLdT7vLhYe+NCdA4YJ0ZznpVtFXbYF6hsUcdn917vF Md3nNR3CycPAlCrnjLpdkayee0EayOe9/bwmQ/2xw8RroVMK+lzbwvOaCnXoS8iU11W0x3behGkD mCGE1bGviVC/ItqzTF8sPHsCiTIo4TLhO1+H0FvKv+GdPhyYBFItv+p7eBa7axn3rC3GLBmm7gUA 7Oc1Cerq/ig8tAZFOkxmiMLzmgLhOIeRYG2+e4obGmJ4ZG/cubSKoyNeEyCcPGzOtjTv4kU+OVzC RnTybigQPUmhnZT8w/TGClhZHURvFxHJ6IH4YpwSOE1RW+LE/POa/ODgWh4eZQTAuNfd/OZ6TX1w MtdF7zDHktZZ7uEzPfVBn8HEa6P4KDPc1E/gcpHb8Exnp/hsn3TuJh4+DfjR2ZFI4RjxPLrpq77f XFMd7Mxig4vis2qZLDbayZw+r1kPv2G2+JAvgJ6wGG5UYQyiUzlVk3sRi9bkiIhTn9/SfmyTJnnt N3Y3yvhfG1VMZ5GiABiNVu+Czgq6shCLgiglPLHSxbM1CrVF0VEbrdMai4tmRbNAwxwfVwqefo9O t3naNDWAsysGWNkKz2unETs2saZferkWXOIsPLNe8R/QZE1VIjqau5C1ufvi1QWlybGfgfAbQwpT lLL9mYTPcKpjMDbaGb12GfEn4JlMYV1bbPyBRlbx3tBrj7E/YglTaPYN/f5xk8IoZcbduoWd1+A6 e/esk+IlXgS6H8b7i+Iji+Imv7TWL1JknmprZjKyMPqAhBSFEJGpQJ7vFKnzEa1tkgCI9o9aHRCe tMXasCWJcX0uAVBIo9dr+uulcAC9Uu779U5osxsTgSm+g5q7zk3OJ4XLgmm4EWyiEOlg5t2kxZMf 2DmiSU0PVWizkhQBPskP3EN/WLLYPHYavyY6oIvBsrIvNh06NyDfaajDfd7pbMyd23f6gzl3x0TP QvSeO4OPiYw5XQ2y3L1R3Ql6EnuUBC1B14IQbRJG4APpi7dg6fswOUBrJysEOJjSLSjHmcvsdmsL lfBR9tyVIE7KJHCxG76ZgdhJ8QFcizKgvu8dhn8ZPhkaWAtNEJ7SjVPqbSnQLFfGriTnQ1AExqb6 wTENuDxls4svzqw5OwfgMLT9zim066ZF6LneMXnGPIpKTn6JJX3ZoP5KtDfYPI+6w74A/S260k7i iXFHdBINP4nY9UcnaNYbofccGoUlzx9Xaav54oxavQJSHf1Sa75GEWLZPpVLc7ECrKMvCqUtAvsG SlWJcUF+B0j2So0iSmxhjMnvGhxEVKvNxHFByP0cYJYHoQZj/+tcDJ93EhvTqPFVg3HC02CEZCGK 8miWqEUNe2wnki+VKK7ld9mEuIRC9J5Io+fG92upDFu3DCsn9Yc6C4dm58AoZBCOlmCYUSGTxpGH 9V1p1/NOYcOrTMd0SNT6IoxRX7tVKR+X8nlnsHWxiO0g4jGfcZWOMb0Se+ewdYna4IDwZJHVovqJ JOup7VqaA0OibFp9jt54FHHxowjo0AIaInnscyYBbMAEPt/aoI9m8KjDOsqmWxXNLy1fHGic5JEF GYjPO3+tPx6hVsmjsFvVa7F3+hpr1X4h6+QcZSPTnAR7ugLJuRcdExKl011Q9bKXL55On79whrM8 M6KTGHRKawy4RXSIzZ4/pTcS6f3ID3mP0FCrQ/Pp4fPpczFAvoPn9snWP+/ktf6oQysnwnekNoNF 6D2jxi20zzVPcwu2pIsPOOa+naCTmE/XRYpS6nPegG8MS0tbvFU2oICVbKAIlu9mK4iD+6LA+RTI xhvvKbVUMThUmr7YdOMkk4LMdOjYRDn12uv7IMJHJ5tAnQ+NcmoYdO4iyVFsptqdHNJkZchO6CLI IfHnRFtoMTJ9+GJHdaZhT2myCC2D/hQAsQFshuPW9zk79vZdowBNbh2aqA4/6zA3SV1SdkQ4RFl1 Ln7dU4sc1IrZ/R17J6zhtl/lGs71S8qSIU3xip41m3qJYVatXDGLkp0fi9AG8ZLZh4RZ1oR+FNGg OEpQyc/86GrRD/ydrsbuA08f+fD268MLLIBpacySP+9stS7tGNtBYm2BK1e9n/hOVrOza9PUyfih pd18aLvbdaVOCiP0nlSfrcgLqnQ5pwsvzhkihjqSYIFCW84b8gTncqP0xtosysc9hfLQlw0Ks8lu 0JJC9DIOUymwieF/OS/x/LxT1dj+8qSaylJQA2jrCVHUkAaWXj4e7pZxIY7CYCNELXvb452pxp4d 9WolrHnLSiAczwaqENIig/bsFvo9V9jRgamWqTF/YWn+ZaOMCLuImBpbDJ0+MXDh9VTb552mxgj7 VaZwIKNC+m8hCrNquPZwCmO7aK8borz8IEoc+JyT+p2k1iUqC4C1GDPtYcycq6Cj2kDdsTixp+ZY oYI/7olKq2cDyFBr5J2jdsv73jXz6c/MZ3MGoG7C552i1uV/aCHCJioYid106J2gxlkWK7Pl3SFq xwpN3Jo37mfxrPqdn8bXXNe86vvy1PdtneSIhBxMV8HHHTTBBJm4Q9Iv93UJaoWqmu/8tO7OT5SU sEXvGXIu0WVODfh5Z6d1jUi/u6/Iqle/IYqyaoiQn6rbWOlbPro6rMu1OgB/wEIUtae7qESbhHV5 sFqITtUyu2uNTQyZULx2/MgJ78gM6insStG9B6T5885Nw+8oSdZe7mKgdOBs5dsz1+edmoYI36OI +TOCQ/yAIvSeU2uuuim3Jt2jfWX8B4nfxnLsVt6/E9NYeouYloov3sCHCGID7Idoz4bhIQEfJy6T DXwg2O5wbOnLvp9EoGfB/JhNIi6KEGBvMtM9B/jnnZeG3/UpPHCyc0LLuZRCFGbVGJCV2Y3bUNbt 4beSfBON4Z7X77S0LkvygUQl+WIpUd1tSFUZ3RXIaLATgGP7fEHID45xS7My9F2jCC2i0Jcw6bg6 +W5A0bQS23jyjv15Z6XZafkkjbBSrfMOOd5JaXjNNotXdwolrMsdRfYtXoE61SZ6z6ph88gB6yCH v4/L4T85dEFFRvOns9MGvFKImCHlA9wGzPHURRtED79T0vjX7Ozfk07cpcDHwux8gixTQEl7Z6Sx xLoBEu6xgJy1PEJRWk34WWsXfpaSZ42p50siwpROEXpPq7M5G4GV54un1UBqF5X3MIOtqckUgTJD gBmtmzVOuoK/s9F8DzEn9cUus+6mNPAPeyejIekcflRzKAIRAAn5cwgdpdXAE50i3gZBa6nNyOte TVkz6TPk2TsTrTtBFv0NX/wla1B3AzgfAgfnWFrSg8LMsEEpDcrW/pJhYvF5J6LZrIvkquqLCrMG wy3e9ifn+rzz0Kyf/tVDoxrkTRnfaWgX45HumL53pxDX1JyO3q5A3TsL7RZmS+IG6xE3OG/P0IwM eOGTXq/JpraEk/aWRnPtxokp+q5BgKacGSV+XLq/Y6BJKKnuhV8xeMfS+r7J6OOe/RB6p6B1ybbu Kye+NUTWNKgMH3fszru+8+dEECHBO+r0xQ6hiUZsHla5npeKIienxOdwGmNBOtAZcnHouwaFGYWO R2dSxEUdNJjJ879gmvt5p5/ZQO972oHrgDNgRShKqTt1fGszgnValztcKl88Ys6ReChC7ym1gzvH JimWi20hOFnAk4ZERvh+FfmLUVwHh9UmBlWHEOUx3rlntw0LFwguOI+7zmm2cHF9p1w+79yz/mAW rYFGSbrux/Q79Yx/l3T1jQE4z2ZdiPbQ8j0E2WN7yaJGdeKoFR6+vihCLZ/6tMh8+7wXQG2zdBvY ZrmBhuO9D/opfd5ZZ10cFkyB6D53oX6Awizew0D/zM876axLNs/q1h+jU+HktPC8p9MCQMG+fPsG mk6rLuvReoD0kMITNamTfunC6pXLPaTHBLeTs6B8LqpsltjnT1eQ1Mwvk0cWHO+Esy5PbcDwGaCa nwCdLSXL89Hr551v1qXi+IXDAzailnuLRdl0Z0km+hROIzHQlU0/88S1rXv2zjbrzqfuGpb1r2HZ KffOxtl8w2DVh/sHoyDCrsh/AMfMmYvEBb+zzXijaFomQbpphr8lneSKTS342/TPO9mMm6F+bSHo 8PeZvSJ7p5qxfUZh42xlPZgFt31WXQmjFPcJfWeaPe2zRcJZW0R8TKo/75lrTRTHBMNpUHQcXRxA KQElz4Qud82Ckr5sBDiTV8aQdTrwyXo1eI8QqXASlc870Yy55vyq6wF67+OCFd95ZhwdUEfcrFRh W+VQ10EtcKmsNhehe6eZcRSkG2zdxXNFIM3zKKIsoklNs4gFHPUoIG6RqGeqxiXry/45t6cSCnRn zts2LURoQP1AuT/z+eA92x4ipoYw5OrpThTfSWaM7AJjcV7INPEeYCwuii2ogQbpNMUoalPLUARo Ql+8j9+Q5FCM77xSA55thm04ZSsLyUeRHpMvfdlgFqSJvTzWuOgoWgXoAB5Fa3/eGWb4jnn9usqa czkIWwwT6k3ZgmI66xDQMRpe61R5WuyfoaVF3GKUUA8iFrtsAbk4OqZCLnKS0nleNBSbVAyr54Mn emkkTLkvODX73/llOBVYaxTIUXMxAfGCVi8PawgTft7pZXhPL7hK4nMF7mXLU+p3elmXshRCNEhK BP2okdoJY3BW85mDe7CLsIne2WVdbB22hbYv3vtYMKqmHBxOa6hqcFzGAheNVEKvLB9qRd/1z/dM vCku+sPDT6qTGnxS8887t4z3vUdIps6MjZ9E79Qy7KHJMesqRuVEmWJUTsyzMgNUFsX5sIeiNnUj EwoiV75YgPrJfQG7E7KhQaN/E/x6fpFFFaQ5LnKaFOjPO7Osuw0m0SO2CGJ1IqcyEOIDn3deWRet 4atsbdJ69Qi9p9SYsEC+sBjefsGF198yNV4puNGQoCOlfmeV4S0buseY7jTxKHhWn0P8lOttEaIH XTjZXndIg00klpl1q+kYk5jwziojhFUmqr36YiC0ZrOhU7yUzzuprOfrez3lx9swOKvr4qfDNjWE MIHysdbHuCL9PVmihIMoGbLhnVJmIBJki7zxuXhddr51hXsUcuoTrU3b63PDoQlG4wTgGa1y5YTn 884ou6V92lRR4WIzV4B4uTHOJ33eCWWcA3znRIt47ORVxzudjInYpkaR9WC3CIm48MmwsKxxNOP/ vLPJ+lVVX7v44u2zk7Ofy3ixLmt9TInUZ2D5eOrBF8Xtw7J9178CNEQCGg5SwrW7d5VEwR6fdypZ f6xUdZeBbllbdSTjO5GMpBjoOY5h5vLQErAAEXKo2z4hw1GAwrSa3E16o9riZdkJfgfUmUah5zYj JOV8s5SEI2xm4cWcsei7vh/UndqgpELZogBBPo2zi/Pj+Hhwlf0KEI3TqQCpAEVZdaYtOKGZHJbN pzm07iiIRqEKUJxVszmUaGXIxXdQp+Iv54lwLt0zm1UGv+xJU/MtOwaRnu/8MfwxCYk5x/70RQEa sIomvrWdyvWdPvY/+Cpq/uwLr2phTk26fc2mpJ52c735rFkZWx9US+M5HUKpVbImItC4eOsj09Kh Sw+snxdKY+vEOwSgOxoXNHXPkr5rANEjRRqUFl8M1nCqJUGpoQbWooz6AQtLmh5fID0RCjNqlK7k Bar1ka5Fn2RL1D7DqFjHdNShVoS6DFW53PYZSN5V4nvnDTM2PkWQANMH87dfy2sd01FGvUjVRLvM FzuFZsnMhk6GPPB4hED7ddfzhB6X6RJl1EDKnZfMTQxTMtgwPD2y7yHSFkR1ec+okWngepoYGNni KEa6yxKQlytELkw5lFqck7pN0xPqTh2w/g8SYtEpVPwUwp6VOVkpo+LxCCt800WB0/v3Od2jhBri YTBRc6m0dCVTN3susr7IrifXI+QHrYjOKVCXL3ZOs0m9kWFjyjHPi6bCDS9XqaADcJzXxbEf+q5R ySHNPfIRm8uCQ258yUXi7NWJx4Obvl5UA1+pAciYvFQZojClbrTg2XaVFbWmp/FD7aTu+PPxpO5R l1oKYG325Yt3iCbaK5RmyJC23BDRoNx1g04aPMKesozlIT4k6hAxDYLxEEpFZFhLGeP5wKRfuxU8 H/ARL5m16/UsP12+4IxRyEisk4AP3WFrywycKgRpChZLXoeB9HqUVE92nyHN7YvjPCH3unncUCUN XWuUHXROB1KhyBxkPpKyPUqqC8GwHUYMthg6Bv/MXTDLxOMRZXN/XWcVR1HZ90WLSInDHPlcp4GW JIxQ4U5Wi4hUd0Yohn7wsK4EDXOxowgS/HWSggM58MQRHkp79LDAwh1mYsbux9Z3jcaJrDq2HHnx girNm6gsOQE7Zz4eD66z+Z0QndT151yudwuFKfV5l9A4swCVsjxjRDf5YtC2efH1mJfIlLopQO0G CKiVCroaNVHOgYQuES1BztHV0TPb6YKHCIXDZwSlfbUWWvVFNxA4uVSqOaVLxePRS/YNHgLLo+4L Huphp5qmYGz2nSr+FK6kAUGHK8MMzQzmFlm/nT8nSqk54ekiPPd0kTED4K2ECNeMMmaD0wOQHkat LFyh73tVLe3L/tUeghG7L9b8KL0R9XkiNPH4v3gdOL0AFWgai/ND33NqzLHR8gBpPsvsKkn4C3jG wgY+DdvRReVJHeXUWzV9IZSci20hUph34jt2KvfGbiMk90lmPBHa29+xQnstfEYUIIoR67zmYsAY TKf5cTVPPP4vbqu22waVyNtnPcJSdwWoCZd3Kp3tqgOCbghtjkNfWyhCfciJ90RCLRCaSFdmjGBM lVllAzJPpsgtNAgdws6iILCDqaFOgA+JcJ7Wg02+aAvBuFZI1t42Hg+20FhfEcqYuJ/D4KaMYVLd BrVjzXWHOnEmzEDVQzZhS6csaufPiYAxai3+/2LNcKLoGCQWNdDg/8bbnh5G6JgIf6YWYydauEe4 j24la5u+KEQdfRqGaOEtC0mK9RtOnRM9d5a/ZiPKqs3aYnbHU9crEjOGA84LujdiSEd96smCY4xZ ffGZIi7zTaPEDMpT3zK/6Dh+GiPq2hWFxif4jKDF2IU/k+wQ8ZY/pAaNItFXqPri8YAjPS4DjzAa zEzIVFSEoqy6i2JvrDLMOm6EKD+luwzjOKaMI2xTL+MEdV981jHLSm3Th+BUMnMv8cvQJULdf1LG Mq7AV7EvG5EUiadWmygzr5qMEcxV+CuiM4fnI6Bn+TqroRnbyxUhGCGeOrHwsMnPHq6lA3/57f2P PYqpEIyoUZ2pzbwzS7MtUP5gG/bEOoHEx/4HXRtoXQjTjYr8tPTnukdrBJ8RwDxlLK8+UevmIZN3 B3OEth/nP3j8X8wgAWE7Esz7lkUpNbyWN7xMDT1UpgNhK1u/UrGAK58CFKXUKwmuwOmrUS4YIFj0 nNuG8zLArLv1P0BVrDjg6Jpitz1gfviMCPpBXFWXhHX3BhFkQmkbBp2wjcejyyx/J4y6He4OijJq yIFvypLbXLoXbzGy1LYWo1OnRpRR2+WdCGHk4rc9QB5pk6J4SoNG1So4z2fo/KEjx4GMkctAxcSH ROcQ2+/QKsSCM5GDL9iwS2YKrx6ej/aQH9W8uLBt64UKjyinRjV3dkidxp1SIx9FB/RvrSzLyZuM I8qplzwsihD5JT8p43mDT6Eq5guPC5rtniQJCQmOAvSyrE1Nuv0IsdRbOPORfDHmyx7y1jmJ6MTj 0bjsu+gApqzOK0cwQiw1htJGuEdtP6/lV6/TqUEDV7MCFGGpWV81tkZt8QZRwqg5Cf1RIXAmwQuQ DSswKoSo2LSMIM8Rqn2w6BgECmtRB+1UeDXJAKaPzwhT6hsgyTGjj9/uDora1Mib0aZ2NeZcr1jM vAEiukUBilJqVWVIc7SQRcqbDFl12sIJg50gqieQh2rxzccZFV5e+TOiNnWn1mlfffqiAJ1tbzjh tfZnhCl1T99VGfAPZV21mDilRrFKRirLVrXSqAQ3TZYJWp7D8EMjTqn5iukea/m2GE8CNM8pnWQ/ iKQI9BEoebFDjSRLZ7X4CtpCYUrNbKgMWepQyrCr6kib6DVoqS88H1T29R7UvMroN169ch1hTi2M 3rac2twaN+0ypv4RL0Q1L7QRNqpJDCpysy6PmzX8jRtlZYnqBNoK/8pJJDCAPBk3jM2X9/K3NHVC imKTpQezopaMw1bO9Yv/90MGXsHjQYgugIgXLUiu+Y7tZ9ioJlQYKDpTYGblmgn9qNMi1CCHyKpj hmJ55CaSf26LA4jOTpnwEcJlj1mH2FVpUAMf/zqhlgKh0dVjhsiPMt8qV2RcNEn6QWsWjwcBat5h lKhFhxzsfc9mlFKD1XrSIQfHwDHJ91CZxSLU67Duxwwb1dw8TYpw7VsRDsXXTmSSQ3x7U/7ivMRd HiA/rGqtCUsTnRkyFNnKb50Us9Zd1evcBrVxPn0iVPD4vw4iJd8Y9k4fSc8ooaYfeXc1pm1CFkyH 5uMMU51qP2OComS6BG+o4xau6P2Rocg2dTovA8jmVeJ6OAWokes0V+oRzLhN3cVUaL7oJTs5whAe f6+Kx6MI/UJTozPWYQliIYpSasxc107TU+pKb11iPYVG42U2vJM//+5Sw07QF+9S17XBfuDU/lz6 BaNcjCroRE7k0BUUXkSgzX8gP8a6iyKUqc3HYyhlPB6JUt6Tmhtuke1/z6Eop0aXxbnkJ0JtP+Je 5Zl1gFGjCEU59eDvjVaZL35SZzi9gImIkSJoVPRjJIAY/X3zYzRIPkaR+JDgNaMwCr1UbLEGEdhs pD+XXvF4MFJs36MOnCwN0EaLUNimBrAB9FPHxlztxe7g/JMNYCLIu2xGyA+bBpXdfPE29SoQ8iwc SxdowJPCOdEtIRZ1lYd8RyPGGaXUlWDYxt2nReihc//3KoOXMfB41EH7xsJiqEL+hAUoQn70xWl9 dXtYCifqHMoX+VGys4BnKKDH7mtvZftiAQL0Caw6m9ujHBM0Bs09zM4hMuI8aaovziilToQJz0K1 5VlYhrKP3wiqBYgRV1ks+bG+0yESgNutymaUU6PSOe+BG+jmWl2woazuKWOBA7VeslBCjxrUfGts 8WMINI6NDiTu/VO2NrapN32V8VTb+enjb33XCDyU3/UIzoac6p9tPh6hq+bXVQZlZVx9HqAI+oEc 6pQaU0fyTqTmqOjIxU2XKAOoAEU59chCV7W7fE0TBzakWC9rAwyNMXVmA4ta3f22qYk4n1FODSGn JqE5WzSVXoDIMV9cvePxCD30PU3EuVKFXGKEQnIiutRw3bZTqHaP0JYNHMmJzQ29Z6j5IXiVRkJc PBvqs6S26Ep1kpaTa2cKetMGHVXGYNovpPAq+q7RS0b0ENpKtljdOrLqwFPsdTwe5YvfASKt47nq V5RQY+qMqboJD9VhMtTQ1eneo8YUWQFaEfJDGrl96hSazymEk+fkQ1QabI3nM6llmDNUlIN5X+SH 9LlXiPzY0tNpwxcF6BTYi+3Fk4ZVPB6QE+/Inh2ljW7bPaZXCPzInHN0QzWQW2kGQuUqvZftGsIr Bn6YuUL1xe+xfK7GAh8BHEIpYTepNQQXP3BPUrnYIWx0fMZfzTNknT9m08EuZt6NxBOc0yffxfOR bMyvshXAlvbcZCvUoIbSqcTHzNVsOvBj5G7HUAMnXSGKgR9EoOk0GkNmvRoF7VPYF43sN8yE0vV7 qRDJnPsRY8RbhM+Iumc8p8Wd6pc7hYaL9MwrGMArTqjvOc32GXKwfinAK6QnUkdvOoix9MuRboQY OFDYao4V0hO7xN2J0WuPShyEGNECJ7S741BO3G7nzkelus090I2Et75rJAabft6cJxKdKdnx2RWP Rxi9/pUM5UJvpdv6WFFCjcaWtWWo8y5lHZat9eqYd/l0nWxohfRE4fGFNq8P2ryAG4WXSoqeJ3fs HCcOWCnQJC+neieu279s0EDTtL4R1sBFNQf+DvJYPPsEj/+rR41TnUBYOgjqQ6OEOiWYA5CSKmeO 5AYm+I9FqFVypxChWPCD5+/glKeMdA+icxpulK14y06AAIoidAhopEmpWQx23XuKELQVAT9EtK+S q6xXrhLvWZc80+gDjwfAj/WNhAV8vK07Klshljpxap9Vz2/2tV0jrrhG3CnPDFy1woyaCotNGXX7 yqih9HBKFuq9n3vhBGmz9YGey8DfI6dxsUN7ZH3ZIEKSza2yn6rLTLbKOfZIKIBCecPj/2LayzQH m6g/IYpSatRP0DuufLnOn7F5d6h1mpmB9gLGpIUoRFMLnFikjO+CrVSFTTBkUxf2nKloECFEna9Z heCHczqQW+q7/tUdyplOplwMPHSizhbqSZH5FYOjevfvfOjkOT1fZeEVoqkbGWXVtAgAPfCytXaH n8Ey0nSp/0Z+FKVFXO4kqNGyarF9dn4tCsNjxHGOI+gQgBph89ZCGBw+JIJTc5w45Dw5rsHb2Tp5 yidhVTweDe2/zyEICFXkURahsElNJGy/uub9NocoCGgRgjKpIvS3NrUMt7R4hBIJDEW8IKh/oDty bgH0SthZJ9LaMiJ6q6wop0bVgFuMhSsXnUMdcgomicLH/7mHVMOxQPK6bEdJNVguJ0/MDvyYV76q 7e4ze6ARdN3vUPFDpm59DF+8xXj2x4aqCjZRQ9lB9t0qhDUwZ7y9D3lP7yipbqK3QkbAFu8wov/E jxt8PGK9fPdgkYBgTuoBiumJi7e9iVMvKkBacyh7gxHaTCZvHit+MA9crfnix9CAogXAimcPna2z mRfUBv7UBN6Eky6/y5p92aA7VPF6AX/qi/3dl+gOP2XtgccjAfj19ZYxIauXs7BjMHUH7MPlvaDI 4Vso3y200QZRhP7OqWGs4ItF6OTOswLmgZx6nUMVOuPofQCbQNeutS8wBschPiMIEMsOYLR8Eaqh dRNmqqVvPP4veiKHnZyRXz2CHdITF3UGl0lXNdHJuoxd3UCgkrXGAP0N+3iBDgG9ttrK6i+CP4ji /1zU0KinT6wSRo2CeJXtKKeWWiXEhXyxY2iel9XM/vgd/yUrnKxyrY/u0A5xH/DeSvyNJN5tiCpM gvIFxgw36Nh/sxMBHfLFE0Z02ZfMSjslT7f0TjEuh4BG/mqfoWGHz/iTA7xYdKjG1hbaU0hqHAd4 PIJW1a+iA2A0oDM9QKHBSy50vfEAcZgszQ+Z4gg51AxatUPUR2fB2niTcbnos5Mmn2KBvKBx0tHG FmRuIoOfPZFuycGOAD4jMsChA2eV1qDL8AOfIuuMc6ikhccj5NC3zQJo971f0suOUB8Yg8OFxYhT p/Az0gs0P1zaa/YboFBBTzjh2ZYvjvEc8J5otciabHNEP2BKBl8gCA3uZxzdu75rZC9FssLodzGy whLNQbPEHbao+y9wHjqeu91TOpT8QIBySyY7lHPP/oql3O8rhjwLw9Ydoj4kO1S0g8rdQbWAG5sW m0MnQavTevgwN6xMwrezOQodefEZkTy+zNtK8UUBwmssivSYG48H19hNFhkMzJLyNeDaYYc6UxJu m+qQUKgkljW6kZA21QGbUYDCdFqD+lbvcotWuEXLqAMdEdj2EvQAkCLcBnk8GbBq23eNziAe0lVe FLV442N17FIVWXw8orusrzNoNYxbh4/rd5RNQ3cUcAPTHSqj3h205/XBwTBNr1gE+ZjFRCySL06a KjRvNXH8cxErm0yb7g/nfwaoyxMhzjh2LPYhKdh5FyVC8DxVgE7c8XhUsvohzQkk1Ddauu+YTIXf Q4QiV0ZTKs6qCxHU7KgYYFqFaMgpTKcpA0vrN1sUo3NHnjKgLcr3nhv53AvsDZHzMQk1X/sOW/m3 4qcEBE7OykoiuurkluPHPFpA8eJJBJs3/oAIbX5Zrvy3AQXL97qX3/L7cxlG0qMa8mNh3sM4nbeu WINoNm8Q5RRm1bzP0M3yxXNGAKdHU2V28nxc+4gTDoJzhEGAKN9pB1H2/JS/NOCpo2aL7vmyUlbr 99wVfD6oPJrj9Jgjdgxv19d2Cl1fIMCxdzFHyaIJLCl44uVN6lWW7GEKEdUkKFLt2xYPU1urg31H 5sI6BRgdbhsmFpNtIugGXsfNbF83wjdIkZHD+14Htg9/s54b2Vcgji3+gCA3uskj2cOQ1Jhj3DCF LeuN3STNwUGDckN8nrNoe0P2pIMGicUPiiZDNAJe/S4XsAcjP3Y7zhZdMBNHjZYxL53okBfM/j1/ LNu+bsTwkLTwvIsybOjuT8kOwi85xW3r8XX/b2Rbjyia0PLvaRnxMcVajnkSOEwWTNrelO2J8g3c TFHfWrIVTbqLXO47B6F8AWMHJsuLOjIN88HJQ0J/TfaLMrWI8CnB9IMomZT4znFRlBakm/iBG74L KYSC3L3EUq1AwHdeBLrcrN678qK9iqm4NsWReTRNyTPynUPH1I7wuHmd36doCxg9oGb4h06Z8BhI NqHlmABYALrbG49p29eNkHtqFwkPMi4epOdOQdKTeqXN5yOO+S/m6/m1qUHnUQr71w1Kaqr5wRwq qXhzdlXdfucaxLDcohTl27OLHazW2ngKkgLZ2C0314nm4NZmggHDqQrPIVj2haZxKMxPCfIlYdPy vouilE+EBXE9pTifj9idPkujwxdmKvPrlYtybnDTNjlY6FZD4LvYXipiNHIge4FF1HgNjoypCcjw 5UkHklTqaEoFkXU2ICGBOEHx38TzMmUiD5cfEnGo6Vi6aR54lqI+2XlRU6Y4ZD8P8flIZ++XuhX0 jR7wle6c98ewgeDuZqSYx8qjFNci5F/YDPIiVRB5vPTKc6nXh4x/MqI9Medi6XZutczmKNyYAJSl TNlNBbp/2z/J+JNEcy7KBZmkCaDWBp8P3rf6fSwBCAFR7RukKPdekNCvvbr6+WzX/02C38i9MW72 IIWtbO2kPZMvdnifP0MH+mxqYARtbw6MiJ1mX4Xy51VNtmLfNhLWpVTj4tlUHZALB7jRu6ypmFaG njC/mbBEWl798xxaLSKagKlNa9USmPs9EGGJCzSX+QiGCBFW/8PcczQFFOS6wIuMM+LzDyVRZNSU UTkXoIyKWVHSYjoHXos4eTkRKQSFclHHDB7cLOjOLTH5fFTEPW6diCnK5P60knJot0j+R0lucpbr 9Beu0hpdHe1SfC8FfosY85JlNUUA8XeBYToXPbMKhGmu83+iNh8dGMDGYhHscL5k3zYyxJ1CiAxf FCZk+KLIrFL5fCQhW/9nLz1W7rHhYgZqlvIaLHaztbfZDUhPN2CoG5BDx8VV1C8Rjag8NKKyx7l7 aLiGdsB56ahcyBYMugU//WHJgDOpD/lTdU9S32fRhPakp7g6WZmlOvh81Pi/BjqIB/nCl3qeA89F cch2ocAog9QNIAJXbmbjhfj01DxIYeLN1v+SNOF6pAkbqm7oJhGzthNs5TjpxpSSrEZJhEgBg1Ps HJgugp4vt0XZMdEG5Id4mmUl3bknK5+PJv2/6Wg46y9qLYe2i1DFPR92O5O9eGeSChUK0ikqrrtp SGokVaZvenxw8b4JLFOL5rQNOnOTTUz0c3GtLZpxeXXSzd40FAoxPf1uS3EQo218zOs2nw8mteuX Zx4+OPXnfYtErbF1qCpuUUr52UrZt9KQPkbXD4ouOML6Rr6Lv28nSypse2KKhAqOd2BCXBq+J4D9 jjvq/m2j903KV8wCuBhAdPYmi9MTLT4fucR8BwlyNSXv530LqY2NiibT2ks2MJGQQa6WdYNGZoVu 6L0oJQOIYPtiW4lTtZY5jIQLDY0nTj6JU4TzzgLFJp1KukL4KUGuxGF/lcvp1Us4me/JX4Zm/mXz +ejo/jXSbtQyeEq4wIARDpXovVFVmm2T3Iu1TRoMRU10F3vJwhR6xVCj4Oyc7IvPbNc8JVUl2LiD rMljqQ5IKQIC+0PJYssDkBbyQ6ILTlbvefti8yRgL8ibmfYl/6WrguegUsaRnwcpTLvP7Yy3YDgS cvlce/EsEy3ker7nwITRM0rLhKS6rr10dtMGy5GtygJNJFp7NZS4E+N3tQoMgpT860ZC8gxPHncx YsheS7P7uTKfjxxj5lfeDbmU9lib59CIEWknUg2jgubdHNdft425C6gpw6MUQ0gEh6RlDBeHkECV bdcsU52TN3XJPtCHGPLJyzJfkmWTfdsI2V++GnBOwTonC4wBSOPLs/H5oDgp3yJGmR2eftXUcujG CB2fnSSYSn7IciGsRDyd2A+SU0OdG9oxLmbcLbP7zcWrk73PwUoJvkzjamxcMPnIDTl3VSdWaz1o thz6MU423hq8bbhUg7Odsl7pZS/YSoEhIxL3iyPhbYYCCbYnHqWw6b0mxWcM0JbLVeqpEuMDoK1i dmhRCvHZAtlUszu/KSVGbRnmKES0oT25hQPA7QZZCCgFX66aHKpDS8ZMe6/EMl6LWiZAFXT5nJfJ 5yNe8cVF8o3Di5ueNy42ZTx7ZxsRnXqzRjE+J0RtLtazgePWGxe4MvpeqkOGeuPLUO/sT3wVs/bM m+2A2tFjnLjexFdT/425KD8lSiqpOIc9gSru1JaiKuIapqrg2Z5r8wdEqfdtwHE7QR0EEtM3UCGq hHK8SiEBtwX1xXQOihlZork0PRkIvBkdZQvdPV8u3P9soHOrMRmARwEpgABLQt0F7Jk8xnPNbfu6 ASpAzYBJ+X3gUI2XtTv9pPDW5c7nI1LNN+2Iht6p35QptGeEGKRr0gh7Y2Ypc9aRHXsD8VFl34E/ 41VUlUQ4F3/pAJafm+ikuvoAh4RTSwmr4U33A7zkuezbRtCJ+vOl0lcgtsFkYKZzg5J93mAMHzg0 Jk6qHvAEz0vyWSxKoe85G5WYXqolQM1hjXaZQShK1fE3ObRoXKIYW5X2pdSHblsqKuQ6pGkKYYAb jUq0zMBVelD/xb5tpG4kjT6y+rnomitt6TA8xUvj89FW8rySjEm6ZsxnHBe6NDZiTFIzwG2f1S0I a1kOJ100XVCUQrk+4klRePpiNUqbfcNMoFl/KdOmEYNSMJAwc0A2JYEj+l/yQyIQBU8mzS67a5Nm oJQmgVgnSpvP/8t7x7Tn5g/V6j1KIRWyo+ssiqjML7ZDlXZymECRe1PXD4pwyaKJ7uSLR4m9blTU 4NhASUzCB7BgaJinLYIZGt+45d82iFKTzZ46J+0KjOxEShrJkJPPR+Vu/sq+N46lBxGYQ6/GTl9d HnzsnCxrf6NVyXixvQSomQUptJfheKlPzuS4XEwgWPGVZLbzbc4bJywB8sqKdnKdF9BFzx9+SHDJ LSmuPYuCBPSbhh+bZ3eoMTJ/wSahFwgT0hul9+wbtjQwLRhpeRPOlYxXW3cr9d3uVoq73knI7e2L H97nDgEnRblABW8XcIqJeRY1NDT/npp/125fN9hLku4Tp42LVXJrDUJGGiTDc+jZ+NXRVZ/l/P91 D+/QtBGo/C0/DxM8uhII9CmVSgSM33Qsxa6N8ijaFObnYnvpXG40gSM48BQhxSgDTXHDsZSe6Xe3 bxvlldxE4gNwMXQgGJYS0kDbO/BtVNv8K0hofM6rb5hD40aMszYo1LaVqOEufOBMfsPN5Q67OXBu zP938UTuQBPYYkHqGORRXAPJN7T8yMBNE90v+HwDTHgZ2t2+bQAREL8WF6Et6i/h3ZOodcv6kv8C mfIIA555XLPvHJs3klFS7S6D4rMrQ9Eo2KWzXPgoh+6N8iYkss0Wr3b7OZCtcXLiMajMcW43VJ4T sL9MoqRhvLZ/3aCQIza1mazGbK6n0XMqW7CcNPh8FKX2dSxRZ4f9SotSzJAEpy07ZSJnq3uRpV2j EPBBfCuFibca/j1lX7zaPVfIXrSUyRjAdYq1VWSXPxMFAj/TnHZt9h14OEIkjDdc5l6Sl+IP+3g5 kRmHeYi+5X954cj+A/nZoxTDTVDHtdtf4pzdnOO3k5FHLV7HBS6Ot7+kmVwdF5EL7AQM2icnlpCv 5g136jiUx3SvWutBvedlX/fPue7iscTFxkxV007cmZPP/8vvAU8iM4Smyo1SiDZhFw6lgtAm9KlX tTufViXKBotSqD2iCZvURbk8c921mgwfJhFGyKdqx+FxtlaFzdy+BJzU7etGN9xUwzv5Yk2BUmV+ ebZt5vNR3/vecNKwB4Bzj2c3Rak3kGHowxlvG1J1tpuAebDdVNHdtThFeJPWZOZQ73K7lbCQpbcD kHAFcHWichabloO6Xz6zXN2+bXTHmYZv88WalTMpZtikfD5yV/k+mHDvNigueJDCvjcTgd1dhKR5 kCbFMEwKGhe0BSnOvNURyNkX30yn8j2hIfp0nAJjT6qQVNz/i9Ip4EPYK7f2sq8bvHIsdmtr3Rcd TAnMQza+z73D56Os8vtgwp+mfWFPQ2NHvJs7CX+DtiU6OALlZEjaZzYrUYRZGy52dhRfiYxaLR6l Mhd8VQTKQauYzo4AxP1MUCzySE+3sjf7uhFakNTSJkpXu5Su8wHyvIC9Jp8Pksr8HaWcSXJvT8IU e6Uvkm4lWneygnI91YDYEXZpoAllYYpy70ERjQzjElsMuzTSuY0yhDgxazr36MIRXzYGprhfQY55 iG992dcNcgF5F1aOCbjYLXcOpibaMeq4wN4RPdVvvVoO0vO14s2hvyOE4NDJtbFlWs3TyiI1Ozoa dWeY5sDg8fwgqmtNKWFzsWI3AfnWAfetEE3oTa7GsAs9aTeOhno1jwkM44dEx/fSUHdqMZgAtC/q EpEbMIHA4fFbCFHgEmjR7OsJnkOLx8nTe1ep2OymzlunmnB19FLTK8koRXDvJahJNmnWdE9vaOQk dPcIpkjn7yzyCTSxKhRg0ZiyMm4oYQpMHrOVcaSM2KIK5eQVSWPLOvR8cMm19iv5hrJwuudSbPMI /Z8i9CSL3elBkjqvFbsgKStIf/MoO9Dztlyw9/kb9EF3rIYf28Xx6vQxRSe/l8ujVA8u9HmsOrwl D107xp2cGcFiSAO5U+Ev/oCI5vUtqtmAiX4sV3Jg9YhWBFsC08BLpY8LhGvNwUvniB8epVifhLic Tp95LvbC1bZHkrAe6rlVpSJt+t64M/sV/JvKlwKvx8vTgT+lLdpKC8YJpKFkgJdCs8dHZly+NBWT pud9C+mU5zA8xXS6U8vpA/Dp3ThsJbBkmQjEbo+q8+VlWB4vQ3o7gzgiiRLIUXO2OyvQWsKkpVvt Qtpfn/JX7t0BFrVF7aV5zn+RdQYA8aHf4+N5IGggnATGgxcMHR9xxZ1Mf9kEZZMBzDDt1l1eE2o+ dsUFlo/O1ik7T1+8vXSSxp6lpY0G/tgU+qX9EhAFECX7Ap1s+7oBp4muGbXolXMk2XlTN8A/PGpS 5fNBQ7d8b6YBuGB7Uu/A9hEgiHp1NakQcPW0IfRsUTpHSfbNFFMrORSQ72N7fB+JrIeodmOUEiBK g0CBsxMWMK1w4fF8aVK6LQfOj9l8+6oEEusVSAQxMQlYc+pGPh81dD1f4o3Iw3G29ZxMUd+bYhOl LxuCl7IeqMBIXvBqvJv0gwLh8Ul9MrFQ+sNCOZvmFNFS+AbkOzHDBgUV5QZYQis9YRJXJ/B/9EkT DLx8sTbcXkUDkImxZWAAienALzefisHl9hLl85Iu1fOR/xfUgrVGHjazHMZGAejEpP5JY7aZ5Uuy dH4MdCQ1OMnbF8d4JaiRSdLlXOOnvKUmMLNHUHWp+nDZTEiWXlIlftNElvf8WhSfjtEDZ0xt4en/ iQ6/4DY3qEU8JWjWvRT9D+ePEgVno7LdJv/zSw65DudUdB6j3EBBcMQ7+V+RzXNJL/j3kMQ0kQRu vmYbYDc6i+VS3IPFcfCv0TmJJJDz8PdovujEPhtSfKBTSOPpt+hIWV/BYCjgVq+5FqLzkh7xIZB6 dx3+elVn6EDo11W3eoKyNqPzkhxp6xD0NzXznl/Q7nMIjUrFWVAFC2xvkpCmFd4MBb6CV6OV0O6X 1IjfVK4rZC/aouCMNKXwX/tun5e8SKG11q2Cw77NbNOC85IVaevQ4YjOcZIH2N6SBNrOsqICGBOD 85IT8cfgd6WhavPFBwBzA2lFrPIpZk6JOyWfQAAk2gTlYpU7T56XjIgfkZs629U62+cbacJ90nI1 E8+Jlz8vCZF+0T6+tw64LNJgprF9EB1APdbStJbRGT6R7BJL1EQyWfnxkgzpLyOtraz6Kz+jNvQZ Ie9PnAQEl6iRDOLVwMmIvz0KWb1YhEF+XlIhHTtGmWh3MW5gtvl/6yerfkmE9HT16BSdUKegy37s vGRBeht57CCUpr2RXB+6uCAHOBPZMuqXHIjRkQdm7+bI82i1rQxXIxpwYwQM9ahMgNuWGvnPuYsd lSSv0s9LBqQ/JJV/su26dck3p1iplvrV8nnJf7R3zOnAonPerK5+EaLzkv3oJAd+hHAVG4lcOUR2 Bk3chv1GWvaE0ZF6P4sOLv5mnVojD9ZPQKKfd0y4CARC898EYTWrXGVzEL1ajM7IdE3nYoQSiICp yMrj85L36NQyh8dlcpGN4O1u4XlJenQqV+D9REam+fVw7R924y05TC4f9ZLy6FQefKcUnvaEB02b BUsjNgPhpAdMDATqz3trQn8oGi2BJo37JePRn2BSrHYQ18bFwgMzSl7TJ2f6vOQ7+oa9fR/LSHXo IsTovLQa9Wqh1VgE+JfhbLrz6+ZDx4ERuvTHgmM5yeVb+H8uHh3IRrTJKmyBlqSedQHqiJP50okA EpKtUaAtio7srjuNILiofzYRKX5cKXg6uLTytOjwBIdBYF7NovPSYdR+hVNwGeYBfrZ+XxadJbyW BI+nS7ZE+c7kOdJVVvRnap3OIbQobgD4GmH6xPkBbDlh+FQ4zjH4Gp2MXrqL+gtoWj3Fsp2XZYt5 QV3eEnrpLdql5dGhYAtEmQrBF2JAB+FBgbYrxFGNXJOq31rjMpBmvWy2KFmWrl/bgmTtB5J1PmDB Oy3Tf3e3RVfYs1uAQCTeG25PrlXbxP2Pto9w2UsE2+UE2wIJSmaH7fyhP29cNsvK/GjmY6BClH0j FCXMoAKfDbQ0cz1HZnM81spXAHGNffl+4Q6Sj3yrvvjhfLKnxYyc7qmVbi3QBT1vnArTRuBDVfku Yk14OstPTXjRni+AveTNHP0UK3z8v+TMoKp0qfsJuRPdXo131jJ3DPCCHfVAT3ihHvZwbm2UNW/B i3a/y+1Od7j6klTTcSBP0t3oP4YJBWYNt6RQ5R6lzd20simDyEUBOi+wxrG1gXj0njejYEtfWwgi pX3u5AGKEmeqIpViQn74jp44Q8TRtlBrt38fZc696gIr3RdHhZwXC+Xb5igf7eRJ+UMOmtE0yRRw 8gss6csGVRdx/bMyQlysJoV4MmccBeDQMHee8yv/gTlGn/VuoffkWQLC5/DJNwFy1fVFKXk/pfOd cEQRAtDjFEMc4+96x/itwqil8ig+xcFJCyV60yiPBUHDSlNHCmtRuPvzxl37OoVO6UYfXsrZG+YR Pxd/k3Nyts8bd03vqBGOLDUgyLj0u4miDBpwTsiv2iaqJfk5NEYpFqIFYItC9J5CO5YPNH5fvCV9 CkaIBbPxA/k62R/AwOqHfMLF8kvNVrr0vDHX9CbTKH1uRoqLkEWwTuDVUM9X/rwR1/gV+XdWj0nj xEKshVdgb8w1fSwoWbllk6ZXF0/NsVIdDQK3KettRHm01e2VkeJyD6LzGqzJTit4tYmX/Uns0Buj xzxuXPN11Ekd5dGN0/sBiLctBi9GZ0wYmLw/b6w1q3B/ZYpoiEvrhwGKEmkgL3ZLBt0Dajb9mCC0 rHs4u78V/BtlzYpUVhhZGlv5HkTnRVvnKG3DMEUJHEhqRII8g2wol+Hdw3MrdX3Z9wiBgNJgxtp8 UYRS2ksIvFNZf94Ya3ak1K+DqMBPjWooitB7Mo0tBDP5bRK+O2mISJJRXirKgDK/mlFRNj3Ye1+J A0IudhClCQZQEyH7HBlVmJqGkXUlJcTMlp6Rxns2LSkMpq7LF7WAYOMipdoO8ZoonV77u8uBQso4 tAxQlE+Dco3Dx3Bp6h6IELKL12JIZ2wLRQm1B4jsfi4WoEITu0ErrN4LqKJV7xjnUtBl2XcyNqe+ axAgkq+WemTr9sjG2UFdCn9ENUQZtTB1flDDsqBM3hLsIEYZdesYQ6dqkLScHuPL1K/xJQxV1UIM M2qlQUsd1vV0WOu5QHajxy7az1T2JQQUAUINP/MjpkUb8DeSmmVcZBj3fhdH7ydjGJ/E8fPGUbOk 328yc3WEm1q7PdYoowY+YlPP2mBW+xoUt+WzHuovKULvGXW2gRg172yxUQ9ciYucgEEMmJD5Q0YN EMNYm/X4uKdQHvqyQVFGa4MCAwRbDD8E3z++ZOeF/rwR1LQbkqdDZBYQjbSL32Rv/DTrIhHL0Lvj Gu+Yp63kFsXE/ilE7yk1On1qlO27eNl67rIyTPionLfBgWgn25rwXsn7C4nGecsbO816HuwjSmm0 PkqjsKJSVX/yrs8bN+1G+Kvbyjro5tRvxDTl1Kt+DebP0dMcq9dLv3j0Uozn+MZLs/KO450iq5Wy bjp0/qznCm+UFzlFKyyHiNHDAGVunEFsGBkmhvoib7Q0q+xxlU2Jr8/85NSoOpo2LR//Vz+aYrUF Y7Db+Hgjpel8h2J2pQwVE0bRrYbA6G44N3EQMmF846TZQaTSfldfbA9B7a6hkcY9VODTLGsD6K3z dunrktKIzP28cdKssGJpv3v1xVDWMMHiSTTS/Lwx0nTdrm0RItYYKfUa3pN+I6RpD8GCVxQK0zvy uizL1YgR2l7av/HRlGqoK62JRn8mGniBT8JI7XnArRNpjmDwN5BAsSc7tvuUjmaxLxukQ8nE15Yv ihAaisqpTzbxeaOj2T7v329ZZ05/j+r3jBqjVCDPqK7M7pliRRR6ri52RBUXReg9o1bZjSGqio56 iw4UdQtUWWE9O3LqSWpMhtIoTgYM2+5EzL7s+zm0SIkZmTJsXBShgb8s98BK+/NGRdPvmv26x78L 86Om/csPjVJqNO1PPmRCInBR8LeMAgm2h9a0fOiNh2Y7GG8ZSdS2eD6Uzr08MvmxA20UZd1lAqeD nwsBfi/LytB3DQJEqQxAILngbWVYckeCTabL2PvzRkO7LeKvhAjV8mw3QlF/GkTDXSDQbG9ZuXwP AfdFiilGaHwjoenb06J4NvZ+uPhQFWdyXooQjrlMbEORKt15ivay6p+Nbd81SqklucIO4/C/Ati3 ZQpeDw7aGwXNmh/9awvBp6ylO/x5I6DZMQS4osDm9ORrPv3JyrMZIOwABeg9pc5m/rCkfMzFAnRu r0bNHoLN9oK6C1MmGaucw2vdjJGzys8b+8zeMYo/rDx8sbselq8GFB6fN/KZEs7h6ZBsRDFjk9UT B89hTj0hScPW3rnXz9l8jS/brl50UOxSk+cop+7Uwl4qubjYS3aOFjStm1x65rnlusYgNH/ISNye lww4t88b9eyOuDB0psLRmNMH82eLj65ifeTPG/PMSuv+dZN1CtE0L1vfiGe2byd1113ecN/xah1X lK5f5b433pl1Ps4lD4fC5osFaPcEA0Q0yjLM1bok7MlIzyB7QFoJZpJkwRR91yhAbJwVZo1crK6f EOJi2Vr4FYN37LnIeCYX4hv9JntjndkWanTAMuAr6E4+B6IeBwYd53ecJv30RjqzU6gT07GrL46b 6tBWw9wF7rIng6lMKevmawcfBzrOGVZx6LtGZRnOaXYNbFHderblrtpCvX/eGGf/3zGthBoTuu6n 0BvhTKcHtOhOKWmM6rS3k4VtekaQ+arFAvSeUCNdTK+nUINxbdpFwusbvSGpslLFCOC1TYSwTiEK YryxzawFyx71pMjaBJiFhzPO6T2YXZ8Mt3ze2GbW+rgvGUs4IpJuVfbGNrO/C/lBu9seKuaUAYT5 VaIrdLPjWxZ1qcm37ynN5otCdJLdk7eckpFCdBOkRsKDFvZLhijV7XzgrOc3/ask6zMvXwxdfjaC KFR9zs8bz8ySkfW9g8CfQlPcwvOeTmu+Dka7hSet5bPEsu89BrqNhSfqUCde33NTuICL32MAtZ4K lb2zUxSlOa0DAJbC+bnzy9SR9cYbx0y7XXJhq97F4ffFmOKj188bxUw7IXv7VSI1GCKUi5+KsukO nac6lnupjeoX/XRUVQGN26r6N3qZjWh4BmEYZYsjE1semikhVzxJEaqu80JAlHeQ8ABSmZMViQR+ o5fdeTK8rUkJ4mKjRJiNst5Y+CO+Z9NnM6xb1ct+d7jmM0MUpdPQtTj1TLLeUGJ5bN2zdHFCzdPp N3KZXWQmF8phxyTueHIefX42bOQZovMiTrDAToiQUoBc/UMRTivrqe72eeOWWROebNepeTR0s2x6 c14WEehOhtQ/b9wySxfr110P08o+LtzjjVpmkwMKqxtxA7+KS/KM3q+7bPLe0BuzzAZB3D6LWCou /pqdv93ZQxrZLxIvDAEstgvkCppDgFk3fN6IZXYQ0bdgFYM2nLRRf/ouGD/U+jOfD160Pb9OIpT5 GON5iKKMejKjpg4OUdKQPTSOYpueL+IbWIiiJrXAMENF2XiKsnN6ngwR9ugo7DFoJfTqXF4TAvCF 9rBPc6jblw0mQfS37i0XX5y+0ZLs0M6v/XnjlOk73i61thyKa0q/GVwxyqlhjgnSxv6Ri8hkcsiu VJoOBB5gchTiFcM+NeUuzqlQffHmxzmScTab6kXvhQTFBYsT/Go/5Ei5Fbh/2fcYVWKAaf+GhWKE SG3yrqK4oNj+vFHK7ov6zIIy3PZ6vmDgN0aZdU+5ecA4paxToyNo5X3P/j2zak6PsY3eCGX3NiZJ 6i6OWqzQmJ3UmOEwdlH7aiU0AyYr16cwa0XfNUqJpHjRly8GHuq2pVo5N/4bnUyHwVxflStviLG8 7Hhjk1lSDdbGtp2DhrWzXFtJ7p4KpzjbQ1GbWmlOIzRIiwXo3MK0YGeLEQBJgBcAej0F66L0EV51 1y/mPPGNTGabgOppuVVfFKFzRA/2iurcfDxqoO3vK5/O0re2f2OS6fyC0XWqU3J852B9ItS7wnYu 7UWhuc6fE+GrqOzMHrQWbw/VmsZOLDvOYQscCDlSyDXO0YAIEZwr8WKyEd6IZDo2m4p73mf94qsy IElq5J+Yf954ZHfc9pzV0PlqdV3YdNimJgW4zWzdDw0U2R5yuf5zDmELCDcdtanlygcBUF+8kQ9v tgI7WSTVIG6w5V+BdDhHCc4DQN9Vu1Lx5/NGIlPtSqod7xNbVNxXjuTwW9fWPm8cMpsDfDfQFkXH 0z2Gojb1HFSVScMRaNm7H0sKhkKgOefnjUBmOxh7aGqwyMUjdJKFtoCfhbPjuXeqjDJgalaB20Kf zj3DcGl/3uhjVtwrXWThwUUBgqwCZ7y17/F5Y4/dyvcLooeag4NxBSjGfTSKFBZPGrvjPkghsPYQ 0EAKUJxXcwtt5tVc7CVba0PforDw6GtShwLGtzgt6GjBzHYoZyz6rhG8ilwfunxo8aF96xpUnDL4 80Ycs9npN7ycVunU6VOAoqw609ixG0EMspyOHaJOronJpGIT1zfS2BfuY4uCuB8KIsTNN6jemwrq KDzsymNRBKp9vnXHIMjzjTOmP2ZSUa+NNMw7Agojp/LT0YuLLMqp86/mB3V+CBxUgMKcehM5ZIDg zRNBlX0eHEmLYV+s+dFCEDWZYJPkAy23wXiOM3SISGUFmrrxFZt04EZ2Q7OCpv5Z0ncNbnqqgBYW nVoM1QAKWdffI+PxIF+8w0S5ZMIH4GI8W5hRn19lj+xOBeCleW0vRV62z/a2HnWLetQWoGoIq4cZ dQ6Oca4n0Q47JkCSDaeZU93k+vZrcq1TOsR9kOM7vxY7hHoSBbud9xWPBzP74qWrDDLIG/EI9TCf pogzJdjY/cjX2fEkqcO3EC3GyXB5z6fRvcPpsxvVB7jYFmoLTZti5qknmwYyEocQmh4Tp+Sank53 Sn/1v3mHQ4UrF2swrlnkc37+qng8YHHs/PWOoXKuNEtXgMIedWJR9lhfbiNInc9fblEAM3gLUAT7 GPTV2Z2zRC7WPcN9vBYn4/BSWzOxSQtXNQA2Trkz3RgsT4pY9KhHXTXfyDKSz2Yknwf6i6zx0YbC 4xFF6vsQoojtrp4r9jCbxrCV8tOCNNDVU1MOpwYVVHZ2jfWoRS1o1RBImou9Y2dbnFQxd+FiTvkF wC3cd87fZNL3uD4VGQ1R8SF/tYcyq82RC/K8pWSxbBEQx0kn8Xww55ieTmfKYWbo653ayGdBPWYh Qm2fvQPy6dnok+yAFAgG69Zldlc9yqjnvNTV3wzWc3WdY4JmO+dLwSudYOqOXGsCp1D6co9ZU5Ht UUZds+hAGiuOR6yxdjM8OeccHg/O6vQNjak4ieRHxghFTETUCmBnOsqzj2ERgoe33/YQE1eEYioi RzyZMOCZ29PJR+kFEi4vswIpaEp8FJoDIQHn2Eq3PbuMPWpUL51EFO6m+uOPkrxzEBGcCppPweNB gMb3zP6kCz+0M7AAxQl1/YGbiZPodWhPauslzxcrRi0synrIRmSSM/PovnhdD8+rTGvJ3CZaCGxH JpyS9GMBQcZPItwT+Izgtq9NPcbiiy4gdHLN3gJHdZRQj0u4kzwREownQFGjGvPgDfkwlvBrsXV8 /gkJdW8my1TRIVSAQiA1561NNVl7arJSoc6Dwoycsp4qGJAwcTy3D6avPzRnvzqWU1826g3J4Zqi TFwUod03h9mI0MTjEaVjfZUcyKBwnnmE3jNq9CVpijk4LsQ/dSl9gUZPzFmmsQXoRTyro4x6L7GC uJO42CmEP2/fgg6tVIEhFpI6qQPws7e/YyXRKa1HbWodQi0JW5UutgqDAf6Cp9ybeDwo65tfZhrO bgA9HZ/XIyA1BlTwDBQqDwWU+xAMgWUmb3u6NXT+nL+20JjUiBnsev9UlfUbA8Up1ZNeh/Q8cLQC wdikAOxIakgj4UOCfIiIGKbntmgLLYy2eV2fmwmPRwjG+5I19hfRYpJAM2MUJtVdFuDmtAMFTotR TWbgADh+89csalOroXEifhffROfsn31RUhe8KdxuFNDBvzmFrroz106scI+QH8AWAbwoakfeHqOR xSj6OTnAxOPRLrotWFLx0cRoPfllNqK0GqgskOmd+0urAnE6xN8k93c1KzxG1KaeBOeh6+bLvcxO oZaLXHZAcmqiMjIVUsvW9Srk1o7P+FN2oIoiXe3vAGLQ0J46B1rG40FaPTwlIoMTeD8e2ApQlFYD cYC5fbLLTBx7BqhOJ73w4mDWOMImNdPqF14QNDxOBdbE6eiT6jNQQDnvFJuEGbq6rugFFBM+JIhQ VuOMaowFMyo2QM5rdooXFq8geeH5oE39q/IAcqiDVmchCtHUGYbN7LtjD41xW4w0BWBCdE6S4fT6 qE0N/z84LyyO7+lqdNIVaPUjLQMQkppnp0xOdOCZkE+qKLHLM1OcyLnxGQHMU06NmyTgsxg741xm RZIw/fwHj/8XXhDuiTLvQTSinBokTzRmDAh7jncfTFd0PG1yX5wFPKKcemXBFdCCxlIsQHX2hKO0 Uskrnd9gVTnsYVRNHPV6ZKtBCcFnRIMOHkCJMvFcHNqAfgJ+6XOe4fFIn+Gb1DF0PXjRMaKUGgrg 6DDOX2NpdhhXad5hLK4zPKKUWvoVE+BSW3ygeOIMw+alsfROfMlQLUPYD/0muAM7tQzACnzIX2Pp 1VmaLZyxZPOALSPk98nPS8bz0R76BcjHtq0XYDWipBrJN9xz3J6JJ4hClMwilfolfg5FSfViH78L bt6/4OYQ9Ab7i2PpjHYQqdQZtoooSX46JYbVpSbRfoRY6s2kulKlgYsd1Dn3pLbYuctGhKX+gscw JWoU778ndQimBsm1ZmcGYXjjg/uHGXSOYdM4GxGYurKPv5KAVqnfNnWFy9CiqEYG7z4Lkd7Q+6ho FNLB3YZlxHmOUOajijrF2TQXa6FNwvuB8+wDjwcZ0fq1haDk3S4xaERt6pYnc0Y7p8/7dMEfu/oo iFg6BShKqpUsnl9fAaLXUGab+uyZlaHbii10CvstywbT8Ws/83FDpZfbZ0Rt6sHIzEYTHS4KEKRm xXw6Oe9nhEn1+GbaYwfWcuet48+kukyXXIQetneIJDfE3gc2kwIUJ9Uy8tCrNu/M/iQP8FWjVzRw rqfsIOllQ5UIJLSTMPYLhN3aQmFSLcnlQZBeFbKUdUfHxci7c+z1GWGjunqI5MsIMHe9eyjMqRfS oWVGlRuIKM+pJea1WXcsI3COsFHNCQ/kKH2xEAGQmcByBU0pQ1echVkflMmj4AflGpp0mJq+bNBE I/yMMqC2qDJb58RU6TpLweNBhC5zipkBvkEvN2OccacaHTQSQv4PUZfDq/vO65Qh6uhGsuyYsUAe bzH1Y7lcygJQdI3ToA57TyUESEPldwJ4gqPQ6OQxQ+AHq45WZKNXuouctyrkNwD2DY8HEWr76zWD vE57XrMZpdTQQ4PDn0FjKHNoQ/tKgxMM7c8lYNCYGXaqk4b2ql37xXkCkFchYoF8cZxCHoMPgtCI fDyfO+vTh632XaNWvmRN+/bFAnSKHGKFT4AKHv8vqjFg3QKn7gGKEmoMjM75bzJM6BRdtc6WnHx3 jhGbJ86QniiUnugIXOwl2+eQPkeRao5zY2bqnyONJAis/FAU10muFCOYYadaTjltN1/E4MznHiWW te5V8Xh0Un9PXEF66SNfxaooo84Uxy3mcbqzpC1EnLrUspp8Zj/jLjXbPZvN6rHn434OTkpPlCKA lzvU2/COITnQhT+ugDA1j/AZf8I+Nq98LsYsg3GRWMAp4/FIhvJbSxBGCR1zfwtQlFHzHSu7GOyj S0GQpBeZMTbpMlkyNOMmNcvWXosvN11se9OxGIfQKvhfiGJcYOaAL0i2vQHyce7hQ4KXjNy7vJ/F +kOAleAfIXuIx4OBYvseuWKK3PYddMy4Sw2McHdgDH6lHxf8uG38jWYpb7IZCn7oFMpp++IvGRkv a7LmKKAzCwrboR2FFvAq42ZDNF6cUUItr44+n0XIoZMYVVOzGAOP/6cGGoYqPT3ndIT7QEIC8uOw kqNNT6iNx8De0GD/DBGK2tQr8SIr7A1xsZesYsyxIFgJgM+5EpVQs8XLuh4ylE6SpuTijBLqROLU og0gFteWhF4PwwXNVTwe1WTfE8WMSLZbk82QnGiqytMmQe1WHOjBW75YIPCrlyxUzrOm0LyLn0IN Ct3k/APAeL4Oj/IMdENDUw5Nl9vG3/qukaApCvqhhHrchHqD36Eu9dp8PAjQr1FZg2nTQ72bEe4D zQT3yAWGaBpkGM4K00euQAhagKKEWsihOegcwMUClNDrogwDjulTVm6yqTNIkA3SUZlS2talJtx8 Rgm13Dlqy9sXDaUXqSKMUO94PNpCfpGRK7OIrXJkzAzJiehRp75dCn/ZjJU6LFfca7mY8gz1PgQd qvkuDh3a5yqviTz7k9UNMNaQiIDcU1FiDIMW8Jgu+q6RVgOL1rrvYkUr/rA8pk/NgscjZMy3vqDw NdlnZSvKppMOoWStoTqXjiNo6rR7COEGYYBWhPsAGWSIj2/LxS+eetb0Pk4OCU0DchPhEVeb1Cxu skhZ3BXiPqSEUgmM4aIAoc3Hiup8TsXj/+ImNqPsQX7NT6EVpdNdeh9e1tftzTOAMe+4NTs8b4Xp tMgcsuriYhfZnKAwF6LxB5ppiUOyc/DhJYMudioXOoSdjs+I+h606KybQHNwelgcw5K9dzb0T4JU 8HyEz2tfRSsAa+jqeYRC2WkKV80rZlHY7RC/dXi22JHLKEIh8oN7CFLwWoh1kpgFWPxD2eKpgwuL VnSGJvXcfua+/Vc2WPEZUe+MB3QWdihf7BDMGVSzggG84nS6fL1kTFL7VZ5eITmxD4qfLQvQNvgH QMJXhHFm9+teUTrd2ZcHkcAXv8hQzrGbjABBVydL0x3EFRplf1HLiD5bf5MTITTti3WGyh6cuJ/E oeLx6BS6gzKe04VeSsOHHCvUny6boCqzNM/bJogwFDUoCCbSzayUVkhOJNyjDw6muTioAU6KJYtc Bp/uAUvBuoDkwaj9J6d6B64UysGHRChYdjymRvf0xmTJcaJF1s55bfvE49FV5ic1HiMK9mGRryih xgT91GTWKFuwUfhxJ451I0TNVkYolPuQdGAlvorL3UT1vFeTI+ndl44RchYT8FUJfnSOaijkuOFD Iq0GNj72KL4oox7n/KyS0OsDjwdH9epfJccENmJdke4VJdRrcfpThC93pTjqwy1TIMIcKFvJscKE WtWYkEPjQQ6h5oZQGJWWz1/9/NRKdwBSPtmRQkvAWkOw7OOX/UvuA/qCLohidOdzyxHHeq6Bhscj NeFfCnrYQ/2OElfITywyC5Zpwi4COGyJWWxCQNj58Lcs5Cey1gC5xRe/y8qE1wBJ4+BL5yTHUIx1 O1pSc6SL+5hD3/Wv1lDpbMBysRwGuICm1hC/4vtLtpLX9ewDgevTS/Xe0IpSalooFOm7Uw32Ys37 NgXUAvkOg3musEdNQRTYbPniDdgC8WDlQ5MG2oVC+FB/HHg3Ie1s09YCZAe/bISl5i22SQ3i4tCY xtv4XE6r4vFIznx83fbEwK4nQmGL+hzHp26vdpkhk/bWB+2QFaHiktQr1KTmMBHMD1+89dHPXxse R8QOFWRZ1FyGPMngAJSOD5YQjaEvGyVE7J5p6spF59CeCPkPX+SKx4Pr/ooMqoTTrrcI7SinhgHH gqK8jVt38Qj1Wp+ybFlzaIfcxCy9oXoXb31AwI2aNAAPnX9No5Bz3pUfqpoQeGV2pexQ7yinbrzD yH2wRVvo/HBZTdc0+HjEeLl1K+8t6BPn6+Ky33NqPEYUrKl1L3AtvTnUr+xQ5/6krHko+CEx/MlA cfG37GSysk+ArvnMYAlRIK5Qgez83HxH9oV0CXxI0B0S3EMURS76w9dSt37DEyk8/l9OalgS1nop HTvKqdGlAOjD5M8GW+PaQ3faeg78bufQ/geaOq/ti79lJyyFOSlSxgkKVVbVQYRcJlvZYTE44PEZ QYBIdlkpVV8MJjyNhVdL33j8X4IfnHQyy7+ayzukJnYqxbhyVcvz4vH5JxLoA2goBSgEfSQBh1Lz xS97eCmUQYGWATpwYk4pFCx9YZUwag7Eu2xHSfVmj3r0dBdLh+pSqwiYLjweKQrf9lm1yhWCLR6i EEmNsgNys9bFRwol1ktt43q6QCtIIfqbmzjUHhq/20NodXVxpNukiADmXPSbwA2wr2gMWW34jD8l P1guaNEe6ni5eBWdXBWPR8gqP4eYHKKGqY+5QqigB9BHliQ+TW/Mr5y0oHQD5JyOHYI+eJWdh6Yv jmCE8NB517bUYGEcwIl9YZ2OzCbdmoP+I/iMyPWGGXWisx0XBSjnZg3GkwTi8Uge7oI+cAzhv3Y6 qihCEegDQrC7yrCtoj1UXHdo0qVZst1tmvrZjkAfQyl1o0Y+lwvPQ1OZdpFAcM2eORFBQgpUSrHS XuPo3vVdI3brFmuz+WLHUAY04Mdmifs/aFKrgYZtexWFd5hSNxYdWxrmO7t853nJ8u0wQhVY3Lsd oj5o70JFKlsc4XmyxbLqFr31pL7y2qwk16N9MLbzOQpNePEZf4rjaxw9fRxNE6PMDXV+zMbjUQPt exDEOOcLi9lhkxrCP0goFKBSTEAG7zbP7ELUBw/qzp8TnELszZ+Nexcfc6x5Uo4lyWUYCzjqAdrc wCOhW+TIqj31XaNTiIypKh8K4lPUYcQsTh+3+HhEeCnfLxnayv3h3u1YlBoRqk7fLNuvsoWUzLYQ 2196ySLQx9TeyRL3yo+4F0hT7E7QmgxqFuxjl0n/EJT3M99kiHOOHcp9iBIEKTVblAxNqNLIgaI0 PB5soTtLpKcLzJhJYleEcgpBH9SyGEYFQhdkOKaB/AqCPibEmQj60DjlPWM0yNRdFCP0pjsKNVYd /WQx0AzCnOLsqwkAfl77DlxB9NCnRCZcsm0n2ry25WbQCUI9HGH2k7DwB0Q4z/0dJ8jTjXxV0HKK mtUAE8DtyMExAPeZcXvtMriFFRfh1BCAT3FmPdmlT8MXz6whhVYGNfIXzqPN+hVkjLONgMjL+U48 FjNrfEowu5f2cl/dF26AxrYcj+zzHvP5qPy4RT6tudFMwTb0MIWeL9T6EnqagvBGYgCbZzi9YyYf veYUYqoJAUFr0RenCJ38ukA3lEaScLACwAr3PwbEiSpEj865jEhTiAGR7YtmOgM+H8ae6zu1bNyx xR8QpEfXe4pAflK75s2Pcooa13g/wJY2JSIK2Gv2sXJO1nNsO1lnP6fYNZGiFYLn1weefxLIefao 4nSCfyoa5OOokM5rB0mBgl3hOWTZ9nWD+40DfEKrbFGaDcw2p76s9mk0HtzB31iQDbR/LU+Uojwb wHCQdNrtXRfrqpVuIKNTzc58X7qoeS1ltK7d1L93U8aRk2lwf6pauLciSujw/1BkIE9eM2wa5ft1 I2cKjgYrCVVcrCdy/hoCzGwYL6QQD3KRjTgUMmQEJ3BwHqawgV15/ZtTB2xQm51Ni0obfOlWmtnP 8FiwOijZJqD5ZRP/uZB1JTrhLfjKotd2yieQiaz9mLZ93Qi7J0waq1ouXrPBEIzNtbT5fORGtb7C hPzt20ooxWog4JsVdkEgu09nObVoCzvbS26By6MUpdyTEPwuuDUXzwZqOmdVYmGL0CTqpVT4Bp6U BZYXNBfT0QSpOX1KNC7SKEQZ0ywepdJz5keeJKPz+ajT/wv4gE7C/Hrl/pYEQUYD6OfmmEl7qSBg oniWSSgxX7lQE0T2C1kHeL6vHAAxG57bZJufrAFSjnjlUIfBj+xH+szMmXh98EOCyoQT64ZJJZdh vf6MbN60lhuf/+fUkd8OdjSpzhulMPWGywBk262+rReRnr2zRFGH5fZ4kTBIUUqZhJVNFyt7UhuQ IKm1laEFlhbF9jBGAlZ2mwTw+rJLTrF6NQ2TG4F8XBwLmionj6A78fnghau+lTixAXiWSG2PUpR+ g7EDOpgJWNfCwpYGcKTjIv0+4QYVX1EKQSI5SAYGQGW8JoEHhb7iIlQNt2Kj6ReOJEp8Q4HAvm3Q SiIvplcKGHBRlCAgZOZUzCxDW5jf1kvImOpVaM6h0yI1CTPZt5DSX+7hjhH2vr2kWYr7CIZAkSaJ eJv11wsU2RBsxl+bWCP0WYsGldhBoC7u5WTPkmgunQOrRSdVD5ga2yLEx3kFMjdvS2Py+eBUuu0k 5kuTygD7bqXQbRHmXeAwGugxk6hp3jDjscpzDdkc2C3Keh4a3yLJ9P5EqUHC1GQJwUdSBtpxcpFS WqnEUx5bjxzbLZLOiEL5/zH2nTmS9Eiy//MshQW1ePc/2KOZuTOyMOHV32Iw7O3pqIxiUrgw4YNm CcdDEhq2VD4fycjW/1lKF1ucY8PFTDxoMqnmInk5FQSyqxX1PUzLOoeOi+rTrlSnD14ySTCenfIp L2BnEg7R2Jc8P+inP0QZxqL8kKiDRIR6oYTjGapBGCe4tkw6Uh18Pir/f2P4Bd9/ju7AdBEaz4Sr pXZrAi7pNOqVdFoopNkkhZG39Gb+R/Sqo9d/0tsuvZmTryT1COD5U2DyI50QyWCwl50D10XsN9KJ 0I6xQaiaVvteRh2ufD6KAn4dSuhnlnyjgNB3EU6UZ2qGyTrVUb1+CxKiTxKQdLbdQmKjuCCLSqlz PUqp51Q6h56qAh02BI03YaGv6EJEibKUpSfd3E1DtRCJ6i91ARZzb4YskGSh3leCe2dovViuyqX8 lWHd2J/9FglbA+gCsQErwFW13biUMvM5zNJEjmlLKYy7l8B97GlzsP0G8iqAolRUmecgQIcC3ou4 0XFuQhjU4UeKAgLzRXjAipnGShwHg4litbKZdEIMPh91k3wpsZsEQYCSnkkK2Y1E+Ulpd1loaTnc rNXC7gZmsTLd0H1RjmetsH7SSr9h91lXUNhFR+QkcxUtNwaUuVJoOYPT4V3bklRgCv0XFSv1qnZJ Hd75h9fyUIxYNp+Pju7yNUss6j2A7Bw4MIIswaO7TS/DlWp1k16Xl+EW7HJsliK/mE51dFxgPnhb ckCDEHUdOursMeg5RLXUAesp6u1bFIAvhh/yFwuUeB0brKd08lsZEUx7yUhbxSHHEsfcdK66xcrA hfEElCCHJOYSMveaDvcDNsbJId3JITmwYbzJyaZsattXNvUcBCmjBsMULp05w70EkacEuAumP9V7 eMOTSJ8SicnzhgNJ3Aajh8wz1zx6zyfx+QBpk7+R2QUqEnk9Oy4Ku2msk9e22lKpVxeUVE2rmgwH RebQi1H63tRlseEBAYDsJHemRskwrCV0a35AMP5Z7em+rWRvGymD6tAW1GZZ3fhcoW02q6nNxuej 5OS7GnDuFKB9Lh80h36MMKc/X6DPEhjAtuNyHl6BI45LiW5oyCicTWcWq8HXEtQfJhUuz6+AgjeF rmHl+tPRHO6G3ryYthw6Mnr/RBK8vHh5LK0TjRGJDLk/Ph9J811KKJdSptbhnaRQm4+SYZS2A6wt k8JsrFnKFEPOqC1nzebQkxF5GGASFJAduT6oLQjVj8I4YMC6cDPJo/tFR4jX+F2KryaH6tCTMbOT mwuPJQ4qmQCMuYTzL5PP/xdmMbuead2ad2zKOFXpTlalzI6OPHcBLZsA2U2IqbXfAlfGK3FQCQfo 9cIBQLkD+o8o5DM0iigAuAXJdKTw4qyp/JZrstf9izo7IFaA4Xy3Yis2sgtwLJ3QcvMHRIH3d3YC 5cwJaIpPU1TyRl4CZpn21lr7CkF054mCdLT8igusGR9bPeJsOVy8f4emBNmhJ6o55zp1DCvw3xup 5jmoxnPHbXvdCBbAQCBXG7KhjMjyZmmp5M7nI8T/jSmr4izkxvtOU2gmAyQpzjyH35g6Lw3c3Rat cqky9A78GQHYpgpdk7RI68+5hM53rlItBr59UM2okFnDPhcRwep7T3/bYJbY+CbkD8P5iln1Boph TCpeQDyUPyDiqo+vO67R4PNpx4UWjSD8btolqx5AjrCBA6pXTSrKcjZLsawIkf9V51MdF7SdBgT3 xyCs/WQgmQLq5xCfrJeR0PUg/4u9bXQwkabeGAhw0B130hPCPaHy2/h8sJaKp7p4jlzB+bh6hy6N UMzYtZVkUDc6UKr9zV0jpmiZXn8LbBqBU6IJYWY8wOFCKCh9CtRdhgF6r4aBR5+6Qe6Jbgpdrd1p bxthKCrBE2X6YIHA7t0nafP5IPJO3zQt4KK/7C5yaNQIHBpo5SY/C+UeX0nEAmklpW4oihw4NUI8 g6lurcUHT+JAv1jQ6ADjGL7VTOLOucfrqv/IkrRxv9kkhUCTxv2WRCJJl0Sy0QVjO+QcfHw+0hLz SWLUsAdjyicQCNHblKQbM1uqS2lBlZZm91R3AK9osxS6y4hT22bzwfYb2IK7bha8of+UaXbRKjFe THPnjSlX9bcNrjhxkZIE15ILrk2UZgQu3jy7Q7jJL494CgayPeGz9B55Q/0RuRt5GKwtsfLHtdQl xEa8yerFZykseHfhbpnMcfAs7pwXZ11KDwqM405f1AUoHSU01P2e6n7Xbq8bLKa9xdW6g6Vx5+bs osvWzOejYu6VQFCv5Zz++QEJhLaNjCprnZe1NR/32KvLv9h95bkU+jaa4dVgGsfB+5Xn1QrNYrHl IOCeb/EA9SZYvj69725vGyW7ROTIumBc64J1rkb2eqzkHTg3IvT+pTyPO2A+UWVo3Qig2ledstbi zZM5DRN3Dm8kBDZJUei9eG9NWRNw8BsOIsmF5BbIQhWIdLAEhzN7n9wVvrqXpN3tbd+XUienZKVZ fVBx6YR2fVrKoZf8l4Af0QSET+1nkkJpbHhZl5nshpPJuODuBIuadNZtnoT2jRCrx/oYywfbcCdR R9a1qUiLkwmypyfewY+akFDI9BIwiBdBcDk0cNSG60KddLmPSflosH5x/jYNPv9PgbHzj5cYQPdY ii0cz4EDWls1YknZd7/VfkVHAKCxWQrdZowd2u/gMIpBJwO2mFYDPZD4APid/UyULzN+qHntWuc7 cHEEG5m5LqwEbOAsnQ3QpDuCZgifj/AB3zAKEgChZO6zFLs40u6qdDuV2nbBzMbsnKfS2DeNC2wc /VTqwLna4LluObu4QBAPBYF+fjV2KzVZ1PRe6wG+Z3/dSGhdljP0BOVgPaaNA5WRQJt8PuKTft9x qNLy/vBZigJvYHwg2GgAr6SeLpNdeiiwnHuiNwcuhW6OnWTk3p7Bd9yg8xLZCguXHDwmMEswR28o QhcK+omEk7q9brDjFhsng1gTDioJgMLirOTM5yN3Jz+8ZSqHuDalZ5pCpWxagk5n/0Pr1RZTWbnY Yqognds0RWAT9EPQ82a8xMGzuJPqlsLG5FlM52ICdBJsa/Z/4atOfLf6lavb20bKkJyl1rMPVqhE 80+2oDyXQoR3GV87Dl24hvqNT1JY9K4kkxoRcNP03gQAdi5WEYCSiE9SiDWRT9hsxQdfS+eGnFR4 BUANgHgE6cDGL6jYbOISfcexYspP+Qss2JAd26Bz6WyJVgSCW53PBzvuQeRIlaOgP3DruaGxI3hn G1KgpJHu1HA+C3oqcz4paHZj3+bQ2XEyEqAjlQ1+fE8UzSt7A2f9LJDfiKk83/jEIqZ/iZcqe7PX /QsLX/Cb2aDF1MEWVpK/Fp//V3mJS4/V2La/llMoSbLBfYNyNeeJG82cC6dM0snBxYmneQrr3rQt KGpalqdpmU9MOc81QcbAic9g9YijKQNssdABluSNBQPdXzfimMpjlpVdDlpOrTQ5YNaEVC4weERJ dX8d4IhsIR/jsxT7O24p+Vq+m6jrJWpOH4+fc/bKSWDwiOoCjVYqZbE53Hz3fNHo9oJuBomCxUxu AFtSIDre6nU5GGS758DgUXgRMHCVye1iOkeLuhWUPSZIIHB4FPvpqZzAjbnsy3fPocMjSiwAwxj3 pElBCpOUWvPyEs2ObJIitLdKuhA08cErJ6DfFswaMhRwBqXeAuZUhcUjUE6Wxw0FTIHHY7ZJ6mKc clCGgu92SFli6Pngkuu/fHsQfuUrF5ljl8fzbezKGoTgJstrcM3tjiDVNjz4DmwePayU+ryGB+uN cnoxMbuKg4mTxAohSq8Xk1OyanChzWNV8a0TuYRatx0vUBin6TtS/MUfEEki/pJhhzVATc9+i4Jv FHt2zUZxPwt5e01g1OQ9S6K+bJbCsreT4LoPtwiXYM03BcrJoxaCwfU74jIWv8F8abq9bXAomcwW wacctJSwCAn2qhnIpdDrMfdvkAAAERCTuZMU6mgPZChyM0LLkvR8M+/ZrqM9yvJwKXR7tMLJ4Fxx sKV0graTdSONhX5CXVSzg8IEsgzss1zSzXYh7a9PiWJvEuJw7NtgbKZZ5bp9Zrvy+X+pa/IAgpHA eKCCoeEjVvqCTL06KJvC74y9U7p1k4YmuS64wPHxsrvHSj48QWXbiTKs8DyHaQQuOEArWYuDLNkX 4GTb6waMpir4m0S1r8BmBqs+66RJlc9HBd1vd94BqGAbtyYQuD5mxg9wrdCEQJPdfbArN43JSgFM pLUUhd4SlOqbctEcbJb23rNsUnBRSgfCB+HS2cPn2KZ2Z8qeNGQqjPFTosObAgFTx9O8IIGNCFNW UFXPR9il/RV7nwOAAN1ny8UagDi9CZfnlpuW0l3il7RahydygfkjuL6yD/0fud9K+4ZBuV/WAjb7 TBkAxAaFTwC1fJZE1AncH9FnYpQ0uOM46FwCT7oalGLz+QiW45UTZiNIknq53nSf12Apo1KJTqVL ty2cRjZJQnBI+KZ2g1K8hko5/T95qa8kD9p0eRUNfavzTbOcO+c6x10TBRVOMaDvA3JyuUwIlV4D pQyNO0oFNIrfcLBa7jk0WQ5AtPJ5DZMy4EdKc2GwpAILpmfxb87XEs0O5MlKKdka3wzmhA7YM1st oE+eR1hCwex0M8XwOuXtLsHqa+1GD+OTN1d6z8FpHsVGxGEZ6rBmfmAg+NfpkRs5xG5IzeFgfZMz b6x717rw9Nv0CIWp6VGNjei7km16XuOj81QHiFJe7eR41evAMqdJu5SewRXn9LxGR1g8ZLbvyqoS B5seEJZLooUI8rM6F3Hd7MIUGE+VfWX9J3Hdr7FRdnfeNcsdNDsDfohEmZ6s4fMaGOWnDWBhG/sP bdrkvIZFWDtid7uKbaYIixQ2H3tnsEUUFr0GRRndOzmqkpLDwTsAYDdkyDLROCOhPoVsbtCLB6W5 cpHK1EH7vIZE+dpmZDrSLQaYanEjVeZB1FfPn9eIKD/u164NPOE5OWx2XuMhPDRhr7a66yeU4Una SOlpSg43v4521jY1qeWDzc655hck+KlIBrE+6rOew3CB8Il7l7V/7axNBdvXWChLPY2U7uaDgW5Q +WS19hxBn9dICE9Xn50sncmFjC7Z9LwGQtiPW9Qkp9/Mnn3x7NHv4qmWw76GQRkUq6QTZ/hg0wM5 l3MwAHp+1g36bRRs25XnDwKGNhyVRMF8vOr79KyqEydtH7QM6FnCu/mcJJ/XECjni0jaInGdrdUZ VXJ2XgMgHOawy2i5udLWbD470MN02k1rlry+hj9ZgoMwo+GhMp2Ahtk5u4suaxTagrcBJzCtCaIw GswQdPHcVU4H0d6S67W0IzmoiH1O/UIB7Hb23ec19MkPbHvL54AGJ2wgS1E4OpYBSE7bjIo3D2DX 9u1emi3VS7OvYQ+OZTJIYMXrg0/PgtoTtcZQz0cXGJkGpDbRMTpfZaWKi2Jo8rhfo57sqD+8lw/O tlmTG62duOnzGvPwDaffWgy2raun2XktNmJrNeoBGJr2vPx01Y2ep8OPqCEmEbLgXE6ZRw7gkzb4 7Mx+4mrSFs+xc1IIcSQbzuUFdlGhdrZB2RpV2qLZYdmjKIDmoALaKlNed2e74un32elrfG0tIEyG wLVUaQhmB7hvqIya8E/K1cEii05uUj1+xKPCiIdrRwfPeA6egkplB4QPs3OSVggl3XMZxI3Cho4h 2Ghm9FpexDewrMmYfLDOx9jTrIqhPxbeWutr7SAE62X67Lxz2bJo1ru2S0Bard5aR3avawozGeEv mh9e52PJBmJ5ZJFqxxcN1ig9eCfb1uQhQ8+mooNGazUTrG0i/0fLZ0hYvE0ftHxSlZHWD4KFzzuT Dbtr5q/1Q2Iv4y3NUBQy4/belTxPJqd1ufrYcsWtAgslJ46GMbMYI+JEcPD9BQVBVOV4+sARgACR CX72wo0GJrh3YqfxaqLTmXf6mGwLcfC2UBOxAAJAn3cWG47nvW2GKN2KxdD7vdvfWWzZ9MfqWM4a ncPLilNqElRGmt1rr1HcvJvM1IQ0mg/SaI2SziWwJFjbh11xBZusETqE89myCmXvUeDcGU0udARt 0AylucTlPQcbMGtR9DOSX2DqCIEblv0Ce6ew4YwuFPmbdsGX9JAhV/PYGSqn3s8PDunO1TP6vMON f3BMo9nOK6ycCxlhdho06gHImyJOfoUlvez7NhMJYk3S/DhYYjqneaoVAETD8HmU78wUJhl9smCm 4lOwiACt33CgdmDIvCp/vTt6ZpKNqqprNEWotnYGNDZoiqCjCWwCcSGdVnabTQ5Wz9Eer5SzbNLv r3rX6CCSAS/zjMao06KgE6aT5jTOHz7v7DULojRFdJkl0rh0jxLf2Wv81VAAEsqBjiIXOwNChwOM UHPVFL1H0Tj0SRatafjg9R8oHDfyZeCDfBJ4gmwrqA8wNvxZTMFUcc32ru9TtKn3gwPLB8GLztW4 BXs8N8HnnbqWxR5+brNMytNMdxGFgXQh58HU+nHhehO/zuwS/pATsfpGFEl32jcho/DhhorrnBpA gRiuX/0NciEbyXVo6Jm5o87qKJKGnC7SU4r6rmyivhkkTsJ9fqBb9XmnrTHJ/Z4hvEYj5lITFIXS 2Jwn0+juKL+adDRkrXAb+MX5WFEsbSeQTEI42ARtlO3L4hI6qS8uIeqxot9OTnMuw2uI56Lretn3 GRpUsdvKNfaTa6DNpHPknEmfd85aljfe932/YPJyZ+g9nMYlxDCxWw0oqTGGxkYa6bpfovWgkn0U Tw9eZlt0aw52DuEkSnnKSOT8VoCoKag8K4esEFpVzK+2xns8jZhPdDXq1HNQFej8KFkbwXL6885W y0+5XhOU8dEi0fJDo4gahha47fstknkZehDswj22kZhpCYUhNWPFLdHRLYaG2NgdRdUtxGyDkDZR 7A0RP6mZi91odcfm1LtGEwTgfaLrkwadQiguccNVIhuimFqg6JtxgFMh0W4WEaOYGnfIztkPoSz2 o9LVdKVGAGZSFTGMqQVPl17kHA88HTj0E3ISGQvTIdM8hnW6OmMzP3pa9AJ/Z6lhCdA4FaLwPhiE H10Sfty5Wj7vJDUGtR4wivcHBlj3hPWdo8ZpRb9n13lP6eTR0My33wMlFU3Qe0iNugA3TirLB0N9 wDG3bSaHkM2GYi1N57Crz2HFm3rcQygPvWxUppfDLMlFHCzpODGCUHuzzc87Q+3XRYa1B2FT7A2f oagQPSlOL3IxabPD91hX91732LQuxjs/LUspldSG5sPDKIIrKMuJ52ufEMpDWgYxJfiCo2TxgNFo AfFOT7OiHNqFufmgeBGRuTSPTwr8eSensVTvIbWgtYuZtM9QGFHvB4dORHH2m17sATXpCTPEMf1O TWPZg2mZzJw42CaD4FESjQFzAYLjps7Yub4mPdRpoGqgGMqLvDPTsOAJHFpymuVgETVQMQIOZT4e pfbfhQ8U+/tT+HinpWWTzYASrkWLeV+k9U7uOjfnNhjDOyuN6QBTe/W5OHhJ+pxAA3cZ11AHzIo1 V+C1OnpfCLO95lr8ZaNdxpy+ShaiGhodqgl7EI1eR5qfd05azl8NDaHlUezt6Sb376S0LD3zjRLF hXrcnkZdflQvuiVxjqK6dJddWKH4+tXbBYAYhdG5JRGZthzrB6ylzj4DkQmi9T8ApaFsjxPs885J y9noVh1ihTbcOeq8jM55tD7vlDSudL/tGRx26uPcVfQeUaufijhEM3R+mXFL0zN7XwMeszZD7xE1 ogYT820+2CqquSC1XxIXK2d1EvCJdu3PBBMhQwb/NsWWXvb9JFosEM2i4nSxgLGcS3sIMlHOd/B5 56Phd83f8RAMD0i/txkKQ+oKzt5I26sf2W+zvp41dHOOdzYavl6E1DC8Wj7YSbSh38daGbCegG8l NjVQIEJ78QfOlZ6VlaF3DSaIbcPSp/xE0FTj1oDpk+CxJyrbn3cyGtfQ+FpDCDgr206aoahC3VhC q+48Vwn8Ms7HctgZ8YhaQ+8hNcrHFO4pxEubCrHOakrWglYNUbGNjjTJIcChV5Au6DGrCtogZvid iJZl7X7WzkzZB8/KZlLEByLaOw8Nj68bMZKXjYM/dW+tvtPQGDKeiKjTgotl2LF9hvK61CFgrG2G 3mPqLNpnT4Sga7AZWmcaVsZ2guzxykbnI0SMHBxU7yxknHQDf+eg3U02yF/TYJfZCXTl+ATbsHcK GoEL39c9LESaHJ/Ye45iahw7GxJfvO7Pvkrul97LTTpAONEme+efeWJ/ZibdwSdonlxyo0EBatUJ rouc1XGFsXaKbe2bDDfS551+loXvQW2RZWoOyspghMqYGPTkzzv7jDG1N4HoMUvRwNtBfCefZZkb O30BN1nefk5DoclWUB9OQn/nnnlW1hkZ2mBZGaqKvZH4mgnHl1PmhtEmOZq4A7kFwYQpetdggmgQ WmUmUquvIAC/hpKOXviK0TFdv47pQvHOcldQFFKDVL3JnBVAuF+A8NlhhqLuiB7F9HznnREZJBC1 8vp68/qWILdK9DyVn8bKgqHJU/UcPm3lB6449K5RVjZUNds+KG2lJjCLZ+djPu+ks/y4iHhEPX+I n7EJiiLqXmlv7eL0mUA0EoaL2FSFnW/gjjhB7xE1ttjk3kLKpeFusfNDmYNhghKI+dxi8OCqm+Xf dM8gSmK8E85uJwjywhy48uyYTpSH+wEW4PNOOGNs3L+WUCUmqVwATBRRq7pIsA2XkGwfGjHmyynV BYeK9lhUooaw4/kuEcHZoBkakIDuY9EB4iS05zjLPMgpGAI52lv3APyWbxq1oXmLZUbVM1+HjIQ9 zXrh+ZY+70wzhtNeOWN0AAX8Pj2tfyeaZSHnNxxgf5yn4BJ00JR3CAwoQ5qeqDyduCy2DNM5eCAE +f6xqB0KomKVrsigYiFirfll7ejvGrSBiJoGWtYHn6CZ9AuOXj/vJLP8aIYZygNE41o8DnqnmPF2 5hHkveiGdWCtROpo0sgI9ox2iYXVaQI3F0XTNPgRBFZn0SV2dlCHSCOKr9i/g2j+8ZCnBAZ+Z5gZ Coqd3OWDoaFqEz+sLnyJ77G0FsN3ZWhA8vneYlEsjeIVpEHMPpWWeZaPoW9spTOsbs1QWJ5mbfGE JEsD43kqz7VyArjG4isY5yf3w7/MOKvPpZMRCt+svrOV+M4uswo8+tBFAHwyi/l7nb/avIdO8NA/ 7+wyXtlePKPCAZqZXTxzzlEYTbf8VT1brG1Z5WM31wihkK3mKCxQUw17D84RB4+mZzrfwFQlmkSh zTnalFDJ1OtvDgNeJetl/6oNdVGm+qJXNn+vfsIFYv3P8cTng42219dVBg3inqrDON95ZQbG2014 X8A/KKXDKUopOzGYhlyaoqhELS3MJXYGB8e5DlDvoc+Omx3y+p2iDsw1JtP69BSHSCt7Z5Xx+yRh qrFTxkFH0dpLhCkobn3eSWV8x/brKmsQUfGj6J1Thg9l+UwQD3KCOENbzLthQOAJHEchXDHGfXCH bdYZ136UnbS30OPCRkMRZJC9AbWiiW4ZOVK2iAYx3e+UMlYIKfDcxTLvFEZDXIPKx5aiVZ2fd0aZ wUG/2hyoE3e4v9kUhcAPYqUHhMDZ50D2mf8P5id0OytsBA0SilBhfCeUZZFIIZzS7uCAV5g/FAE/ TnKQMpCHmCIgZBCITvseWfgoete/txkZ5hwMOoSonfjwc2h+3ulkVnr4wgNTcusWPt7ZZFhDiw7X LHfQ16g7y5X6JyY1h29NaygqUjerLDKi5nBZG6viNmMvEdooq7EEC5VyahrRaNvFi9lLfCeTYRFQ FWxIaW48SnMLEDZ2gs4Hfd6pZPmRUj37gWlr4wXiZ/U7lYxxAiBDBK+fKcKXsX2KdpXlAyJGxLmI qd+ZZNxm7Z1JNiv8f7IOooKideEagujJBKghz+zgvDzIR3hnkrGQRWinHJ9mvf4FKITTLBHSo593 IlmW5dJXYg8+Gk2SNUNhlRpBY5E+ChXnkyNjRsmudDGXYxreaWTMOqTtTNrm/qJtjpMdpAzpOsTU HRq8cllF9gm/pYwM3RLXlexlo8xeHj3itPTLIqvVOgfn2m2fdxIZFtH+ruMvOmdfTMM7h4yXGbaZ m6ODVu4qfFseNE2yMhYTvVPIsuuobzmm7/aF+jg796wS9lsBZUyLjccTE2BO0FtG7G+mYaj5ft4J ZE/pQ1fa8rPuJLDnh8lV7dz6n3f+WJa8wFdmj1Xdbon6nT7Gjj2DxuHwYKk5UrmBsjCqDZVqt/07 e8wRej1Nlj44WOkDEJ90DmfzDBtU24X5A748FpupNDUUMxa9a4StIttncSFxsI59J9oYyVbh49FV lr4miHbp1KDTBEVRNeqtkJTwhjR13VQbqiY1h8QsG+vnnTd2a0PQj/XB91iahOVxjyHGasw7Tq6P eTmrh5KqdggR4/lOGyMmQbihvH3QBE2WL3n04iaLYuoHWcX4G4n9vqWPFobUiIbUxJNxoakUIrpl RY2lj4bVyWM6xFBXxdKCNIwH0nDWUFqdkgznomrnh0r0uZN1A8V40qKbimdJ7xpc9fCJg+tB9cEg DfAIroosMh4PwsXhnTIZZUIdpd8zKAyogfnA4WmVD/pJKbXXX6J4Vij3wVM6qk8nqskiNvTBIQ1n zZxwiCBzJKwt8zxvHU1R9NkzwVVmdJ3sZQMCB0GwODJ9sEMIopDE4J/9iseDjn393mPg5oMb7PyW KKAGTwTfnVXwIR10lxAJLqwNgcYkgst7QK1A42yurD2W7x7rFZdgL4uHUIdvCtUcJlXumFqv6fE0 rbbwGcEprZy+UpmQg5UXU6rEUcM2HY9HKOFf7DEc0M8p3aNwGrnbbqyXssWRnVV/4urlBgUNmZsm KAJ9YJeemcFysMGKZ+gTbqpQsjOds/caC+Ea59NZwuoi1Q+9a5BwSDmuUzSdgyao7DSE+z03Ph4P 7vnyfY2hKVL2rX30MJyGF0jpyzX2ei0/rmbVrhF4d1RMjwrUfarowT3GwaF5sNtJ7MkT85GopAqp tP5zjgVYgj0pGRAo/JAgVqTcQIHYMgbc6EvBIgIu5uonZcHzAQNxfRdgM47D3tNlkcUcRBKfsyX2 KVsCm0H0dXDeBBaEqI8exdNzyh6UIGkOPkcT8jGDsdCCKzAQJqPOc7j+TCCiiqxA5iMi26N42raZ irDrKcKem2GpAnZiDDwekTS/VxFwHLVcMlCPeIiD2KpiOAbHwmKGCsMxU46bzWYojKeVccjAaT0G Tu1kv6sreUcIgPYStXegodmhjzHYsdJd3+1do04iE45FVtA53pocqxO7EGwwnwMfj0dXWf21zcZP p4SNJigMpyVc4RNUSNIWx1da+watMuu9HlMROUGbVbS1H085tg4bfe1hdH1+bEXdrGABdJTLdrpi OhP9PHxGcNeTiojWmw+6ftgqUxkfB3UUTo85voIhfDd131ZrD6vUsEqvk30ecFop4Hb+hHBazR9M EFaYJujPcBqiVd0HR3xAH3VSOA+8wbPlKG4LwMYP6iDIWccjYzn1stFJzbR+ruyDclb6QjTN0MTj wUndv/GLk9Y95Z5C7/E00hSiFreUvM6fiFwo9NdOlPKgSTEuUJ7UUTwNECK2kNB566LzqB+LSSB+ cZ3jTjg+0DxYQ/rZ2/dYodwbPiOaIJF99/TBeoJlmHXjuQzweERnvXgGNWY3FNDuDEUg6s5utJTj 4W9JbzaWF8c200vqdCZbQxHiQ1n9kkfzGbjmldVDDFVmeyvj6hejFZuMbt6UAHYUNTpG+JAIe0as B8gzNmiXgfSoY6S3jcejcOh3eRFdAaqna4rikLoR2CnVBYC/rhTDWC7F0CDVoSmKatSyJZ4jFR98 EW18w7w3AEU6pz5dG6mCMpDiZ4d/IWIkTLhHmA+iIM6tJbmBanIDJU044jKgWdhmITfxV16f0Q1s /VLqRxRTT9qiOMUXiO2rC0McrnDCYNqLFh0VqSEpdnYjKpU2eFoG1H1L7LeCd9eM5knV/0btE1er KIlH9Yhi6sxg+hxA1QdhGs6fhNw+51nG48EiGuMrpobJVuN5rQmKYmo0tqyRx4Oo38oH6zbW50Dj mCHjCEvUpLssScFz8IYiGhJtEzYELaY9qEOUUVJn/yTDm8L1vFAxwYdE3EQcQbWwNsTEld0N+NCf j6Fzc8MUhUXq9Q3GB2boZJzeChohkhqiOWiSag2B/e7ovGR0vAKdQ19DUZE6EytHsVkMrEsMFodQ vp0iAJ9zasCGUMkt04Qz9U9DEenhwGcEAE+todIEX2xmirZHbZOn0sn7+Ir/6nMIAtt/yrzFoRGF 1JA5B4/ChSt6Gw7G7/127YfnZSMKqeEsCagCvldI/E2boNah+VLp9neOmToSIbAwf92GoV6PaDUg M/iMv2AfsMb2QRF1zl0Em/OiG4//S5sBN+0QMNkz+xFG1PDXTTqeUc3/EoZN1TlluXleNqKIWtoV e1BZaLuwLQ7qAUdVlCqhzpAGXasgKTQh9Y9iE2qnTipDgo4P+eMYgrnL4AD+1lb9DDJk3GS5ZDwf rKHqAZFkczrW8N1kYUwNW73E4EKcqenovJzX5UyBCqEpimJqCedM4Tw5WMgIrShw7KgNc+6utVlI qlCabXnRkvSWqEmyH1GJWshOcm1tMMYLdEOU25+rbEQo6ucUYkeEjiLprqEQRT0QU3cvUVep6Khn fylBEGq1CYpQ1JWQsr3W8ME5U7BCX8YeyymRbwdoDDJzqlWVqyw0iO8cocIHO2Xwh/XBymfL6tVg u+PxIKRe5XsFQRoC8sQ2QVGJGr4L6AP7Vf/YM1fKxyptbdOgMSMKqZXY7yWJ08VAJStgPNusN9nG Jxz5iXoXlWzECezQbSVOIDDxGVEwxBr+Joiag9XwUytGS1wbj/9LqEGrDd/Nuld9GFED0nCSyXmv eu8Ckd6tLUZ0hiYojqgZJ3YRg/pXwx6iaCccYnXonB10GDw/ENInhMFnndViKmgJhRG1GEGDlY9G ujGPjtKBlqE24NjrM8Iide1fa6jRXbzdNfRnkboIPMTW/XRMA+xuf+TI2OEixdLHCIvU1J0aaS4f 7BSiS86CelClf3KlBgkQ8JOxC9rJycv4WzI6ITORxDvc7D4Y++lclioxzlI+I0R9jO8iNdBZXdae 1NEJi9ScoVqksryRxltq3+ccNkMDVxNzjhmr43F7NUU6LT8c+9khVrLU50gbhjco48OUqqm/ti7+ jC4eMwR9yGx4s0va3az37LHRRTEDfA6PBxPUvguMC2C2crFVMwqokaeeabmQhkwsp4p2uxukYQ6e 0ycYmiEzcYtazxL9rbDjGAJ1DP9F6uY8dzF7RRlSvwNYNIHkVIKlZ86MiYnCeO7pg03QibGyXE5a weP/UjmTlC4Ch3Vx5jOKpxMqsH0PM2Gs655DlLM39m9yc9gZMhOr5FD68OGWzzaqc5vR0LlyRqJg DDqrZ50jTp/ppq0r28tGVWqiPfozcIrOXditlrBXxeP/OqlF5higt16k+YwiaoDRT7hiHDuUiS9l qj932XDP0xkXqbmIZruDF6lX2mABs8KICv+Qwy6pqpXVxSsfTCwQPiOYoabVIzuBfP1Nzq85JdNw 3h6PR2SOK0LAkxpyKOXe9jMKqXG7b3bOOUOAAjrMs24Ph+b0eHFGIfWQ3bnwiRwcWgXoE+kihHnC YKMJwljFC5T9ooHxUVbAh0RyeY15a50+WHkIztrcZ6VXPB60E38dRFRDSbfRMWPQB0hl2zzftkgS ZgBjntWACgPLg7tsRqAPQYXnytkHn6Ez/3NMSzrqiex4ZGXKJIAMtMq48VC1dw1OahISgWbwwaFV S8ZbwP7h8UhS57s4hJ5KT89JHYE+EJEgTKyWckifkymH6+MjYNzDJiiqUqt8thMJYxwcFXPyQ2wJ aeZBDkVen5TmRl5P1TMjSFNvcUYRNcyT0ahFNR9D+bH7J3UycSkRiMf/S16PxnhtV5RphrREFs+a qVXh+HxElad7CtfqasEzVM0T+FWawRy8NtRw3XMGsELK6oybkNDiJsk/NPHwKr6961+EIPSSfLCc DORHYc03H49wVX6VKS7IuPqczTEj0Mdkp6zbbtpZHAUeQusLa+4R9Ywi6kHS726ElXG4vUSwl7uq iyclr5KTEXwMIP3M7Mxq1MSazyiibuxz0MXSBnWkT2DTyWytq3c8HqX1Xl4kZppXB2wxbYpCWmJv F1klMrlpMu2s4hmFvQB90xSFUh+ToAbCpjRcqDnqtyuxNlSqzEdQG8LEIMkYpvjMY7roXf8Kh/ai Vy4HY3ScjMOshHfH41G8uL9OITI62AXmBK0ooMZVsqmmqbx+WQmE/XXXaYDXliZoRagP+SnARN4H 77YONOgrUw5ggnPhGupQKq5AjIHV/0uRe0UBdaIT9V6MF7dL9oB+RNdmwGJqxeORmo4HQ6ra4t/f jGOFFeqNY3q7kRlMb37MMAilZD+ml62gFaI+WJhfLd3Bw8U8saRylrTp2UZEpCP9OJsMqthYXIYb mvauUYGaVt3m9TZwI1B8asNDjIl5P5cmno/UYnwJEXMBe4eWLgJ2haLT8DpGbGi4j3rJCrxrDPcB qL6mKMR9LHY5svjjWe50rC+eU3RP2jyea+xc9pm9/QEZbUi5/cx9C7AICBo+I6hQy6Zz0zuQg46h EwIUSVSC+7v+Q0DN1iNisIessEJmIopDtU/fZTVfJQvZmpFhn7rlHCtEUTNMXrI0X4+l+bkxxpmm Jufgk51l9X7IbT9rza4xdw62d41K1KzhjzF80C7LUDdWv3VXPP6vY4iPFTopXcmhFapPQxlOVrTQ dS9ilsn1PU2vDmFrMBpaITNRwu5CLChb0TYDpHwRWYvqUIKJIf4l2X4djEtyIuwuI7EHHxLUz9Rv zZIeyqZxXQr6kSZ81icej8Khq/WB5wiCbduzshVF1JAugDR9+pEVB7sHZsWRq01RX0xcMUWh1McQ 5zDdwRdRryccBV4BvoeQjEkSHYLd+oByKuHwZjVFANqKgB9LG0yKsMNFDAtqjDRaQUNp4PEIOnSR MVxzANi13ZzRsaKgejGoJlIby6iVnQ08xHqyqcOBDa85CoPqIrEPZR37yTrOpJysBvWTyhsgDUp7 JeChBp37ZLg+tIyyXjaaI3FesobkdPCzhQRNRnEfjwf32a+2Pdrkvd924goJigtxkASrUCCizJqA DbhxsvRyaSzGGQqh1MImjjV98Boja6TiuELHAjJWmCFIMHZ4NEiATNCPOfSukZ4wIcKLhTQOFsaM SdYeomS+4vs+W/k7cT13KQwmPKpeUVTNbllNV+tjXTUUMXt0VgOaKTXqsE5tDmRr+eCtINSpW6EH 7pm4AgQyttkgqRrdNwp5s+EqVyJ8SOAxsaXWLaBecY7ribG6tLLgEIPHg6b9uicRSa6IVdfwEtqK omoovu5eDP+6S2k+RUV3nKSrnCe9oqiavzGOh+7D7ZaV3eAuga49vIeBADgrgAkaMGWZlg8WFI2h l/3LSwFS5j5oisCIMDGLWfF4cOPvb83lulRZtxnaUViNZAgCEw+jw2eoS+eLqVnNFjXuqE5toJi9 qw9e/Tgr6iQeKJWdXOj8v4XkGCqddXSNiE4xw1LWqXcUVjcK4neBGDmoxghrpmzQZD4eUV5uIZ+n MoLPfLfZfo+rUXhDO6gbUfrsp+n9sgmpCQsaKcJFWfNY7kOa+NaSv7SpijJTLbTsRUFlVYoOodwL gbgzOznftr2E6vAhUSlfBxGpHRz0xcPngHsOIEw8Htxm18vFtIqAOfeweodhNfU+9rD0frLFYrJM 3WqMnf7PmqEorPY1pMho93tSw+3uZDaiLAz4bStohFZtYz0fX7pBY3D44jOCCaJeNxydfFDu2gvs 7/Bx56vG4xHevH6nZvThrR4Q7ZCbuB4l6kZovjuWnODEHRMrJD01QTHwg9XXurMPHhDt83+Fb8L4 l15gaACe06iTyaSYUd0g3mU7Cqs3nckQMviggOic4MwGUHHiO0YqjJcknSx7pdGiTVEI/SCzLFuH Hhg9dwKs0Ka1KULjTVMUgqmFFW6MGVe7MeM5DxMCB6X3c88uSGijsSunfl/NGNhX8F3/FMcXZJiD raGx1C8DmhCPR5Ifv6h3yGLqY64Q6ueNyQkybmLOwyU/yqzlTtDwYygEfnRpn0m+Ko1HhTGdU3Ty ZoXye6PmPjYFKZjwGE037UC/gO8a1fHl10YGMAcDD5Uhm0NoJeLx4CYr96DGP8Y91PtlTu0I+ZEK lT6q+5K6LCw0P/bV7KYUBGco1M8THj9TkJuDzdD5x5C0qEJ+7HPJ8yxnulzBq1V2r55073rXqAwr 4Xdat3IwwgLauuXHOor7v5ETqTe/r5zwjiU/SFNIRi3L9Rp0VJ4lvseWuHc7Rn6wJz3m9MEmaJ/z HhZbXEJpnm+b7aJu+LMzrdsZHYUmvPiMIF5M78r4J3KcYkifTYzHg5Rj/AJXoa+Ub7Nsx3Vquro0 zQXELFSOBT9lO3Fq0FmHExQjP1g7Y1VVw0WbnyN/ESCEPZZLzrJRRBkIgvxgYTq4ak+961/2N4gk fDDsUM6y7j1bjI9HeavXh/DgOSh/+ri6QzuKp1GsceJURV+xbFtB536/4nnZPUx2hPuYVYwOypxw 8FMa+IxJsCn8S2Bqy1Na7M1zM+HX9lDI3zWIFot0BefywYHUqfPah5k0Ho+S1l+wDyzHdFcQo+T3 wyMD7bHXVfuYDmuwJQTcx0JQRdxHTqHcBwkIve07GHoI9z5J1uR0zIF+B4r3aNifYBurdd+GK4EC /JSIwCm/djU7IFFt7iyg1LKJ31ue/AER0PMSX1gIwO1CUIrNUyiiBxxjL1WEl4080OYJ/iM2T/Sh lfZ7ig0SB6v0z+Cp2Zk1oMQocnySKNRDME+QFJyo4hVQ2lxbh2E1PuUPdXM2vn1IuuezuMz428bn o+79FbUgvgHk/X1zD6p0vj+HtlAiLRJlIjpDqEy0vQRZBN+0aQpB1YLb/4/yBxIPYM6ocA6TBTQX kX3g7JusE5X+SJzLiTSFKBASgWersgA+UY2x50ayOAl9cP6AIDb6JQMPdNDJrR9rpahsDZ1n9A6s A9tGNqbZXG01rzi27V4LKbZM5HlM3y0NNk2QRJPHyVlNE/cc1YU7ZCYnmJ8F/X+PH4u/bgRr7JJG qT4oxD57raihgUwfz/+LWc6ybIYW/aXBMEQMwjL8Y2cF7VK2V9SQ9HjpGihLW0xR7XpIc0Ba+HNe EgOqsSeASSqHnN2NjB+LCTSWSWA70BZWMcoy4U0RGkSeFGXwouOgWdptVrZ46oblQgrhIFdBl2Fk hjjnnDdbY67xPk/E7injn+ZQobNJskvcdGvl5Gd4XMBWQ1+cqnrBew0SdoCOU0sG9gIURa8bsOuJ FD9XHg6qPSZ/3SClZTONZqQ2WAX7ZNxNSiBp8/lIFf420zip+1s/jh7I70dTgzqsqvwLSPTsxDOr HC16L9R7073H24WEHLDrmdNzuNCi89uAOcRZAt+jEAQKatyiRISzdEm1ava6f+k2/Q+GL58flpS4 ny+Bz0cMz1+mb2iBPzqWsn9/PXcTYm7YavCeI8bX7jkpxIpYle8BHpax5aWYKH/OweIBOqKlzazk XGMnHaFAGhykAHE8Adtw17dCjzB+SMSjJmJfroFdMSL7RWtWovgAhOLzUdfxm+RZOyBg7Tm+w7gb WoRpLceH9O4lNkMYEcaX3TkQP+j9YDJ42iLikYNtuA5nvUXD6Az8FVl4aDsi/kGoJ/Hf9WWWnP62 gkH3wwdDg54YRnDZ8zl8PthvN2QiE/aEXvNBpecUYq47VB16d4QIHjTrtz4svUWtx127UggRkYEg 3bs0eJmtlQFKOGFG6+y2RIj/OYvKmSR0IXEgUdu7kMDBD/lLV3dM6TrM6pOU4erNK24wrgz9YOo3 SARilarUaJJCk8VJilXuqlzDQepy0FZ1D0rkGW4hGMJEOhmMWRwr134BTATeU7LsAHe+s7KG9iTI YjjRt0W/LNZ2e9tIbY/0hqokt16CDAg4S22jMfl8lMR5uRaLBjoS/QGK5NBnEXV0KBIm327XaKBK mIeylsvtpXNgtAjDRhaRuOs0+CRNeuTUYYWA0gU2Qn8SSBIeDRfRl+xt/zJa7DJa7G60iJB+TVav Tjhe+XwkIOsl235X0iWe59hpkXad+dp1NjNUzsCBuUYz24SsBeTQalE2lHvSbpqDT9KCHDyqDZgk kCiodTUQ2ONc/+kPTwaG3PqQiEnE1shmsiuUAKsBs3VpWoMnyOejwv93i5YMwvFY3Admi+hiAy7i zpNwN3Uh65m7F0x27cknKYy7JTUz+vbBaA4bPqbw5MZ2g3dVExA5Q5MI0ZhEQqSBwS52DtwWXdxy J9X+09Vzgiq+ypInXOXz/0VJBY7KhOL4JIW6e7jdKvujnKTZrl95mb6SUPjw7RbSGkUCGekOXphE 5wzUW51JJ6Bjs7tRNnFBha3v6/zazdc0lAoR2Yo1MwxVS+lsspGIzusJtp2h4+JjPM23Q5X3y+os cFxUmx7uwdtmSSBaLKVBvUnNEu9OLaUw6FZ1EoBtG2wp4Xg7KZBAogutlyYsP47feiJJOhAZ9Egx QOC56IfSGr34oKUEdLR09U+8weeDPlL61gbDXinl8TYNTBdRoESPthEQuhhN9pvBMRxYBLC5IHEO XReFxR7mh5cv4aHBVrA2ki2ZzCUKQpxTCJ0kgNqpZKtTqaTlrxuRQqTqxOoSB3W0gbHoykzK5vPB 0V1uL4k1cbQVIK3j0xSqhmz45jWrlcBraFvVhALmVlyqzgHNgfcihJsZdK+9fPBQqbZ+8t5EjmOD XkahIRMum4HS9mr9RgG12tsGa4m8q6nWLQeVuiFZO2R7Zi/5L2UVFqIYzD4VuMB9Ef4pk4Kp27AR czgoe/fk3JkFmJpNUowfkUFlXj74JMF8qBI/C8fCjvoGFZ4qGtsQbqT/qUGQ0rTXDWTkt2ARK/mg GHBTE5T528p8PpIA/64sISGjQ4XPUojLntTbrWLN4JLeDhkt9dZMYBttsxTbmi8hI4oPXjNZ6MSw ypUnTVZIA0jgJg5kvKs9fbeV7G0jCRpCIve8g6H7B02NSPBsfD5ITcp3QJmhyzz6xffn0IcR/+ak 0cMpImMt23C5J6+/lT2MQ5NDI0bqpKFjuHxwVGSBBzPYApDHYAbcOUtnIjsRW8S6rAfNlkMnRlk2 DMj1cjCRa3iIycenFyylwIoRWeZ1puZSyvLK9EkKZfk2G9tJZRK0uNOPk2Z7M8YjhNB8kmKE9hDY r/lwoaNnNQ3CsCFjvSZFHhozWiLPG2M6sdXkTB2aMRJbgfQ2+2A1ygmdGC6lMvl8UKO8ynyMizCm 9aykUEQEErx1GAQS8GA7uoGfsBsO3jF+dAd+jFhJKic9g1dygQI8kZcsPdEiGIRstXP7T9p/kLCm 2luuyV43aDJ1CapRZHaebf0jrmKDPhvruice2/wBUZvpW1O+A8CarhpNDjwZ0RdAnpvMuHuhpeXH 0jIjZlCO6vJpioHaW4nZHfzwPjvrhEYUxtosCWG51YYNt5F4lTzGc8X56waAgCpaqKwHOyliWEzp hL0sw/azsPj8v0g1xsVBZnwLuaEvI8qpUG42ah9EQRV5z8mGj6oBohsj8g6MGQX7QTApyYMyb8lk nt8cKDamJwhUNxsIENxCvE1My+14z2Vv+xf7cS5mKROkYS4g1HfOZkKEBm4qf0Cw5fp3IbfR2fOJ vENnRtioQ4zGSbS5e35SS7+wAAB0bJZiaAklxNRqWrlf5giKL1A8oKbIBt6B81kLueJsAs8H9F/s baNoiZyRzNJJz8nVn8oJw4m7RfzL5/9FHcF8TNoVPyXK0JyxNUJsXRp8iMyGSWprOMYtAVthkxRq 9XXpZazig4MnzmEN+XcWlubJ0koV7h2VXZiyFfxPUjciVY8fEqAnlLxJ5YiDJmmdi40J0ZmkzeeD wDs97BFFRlyTN1wKHRoHy90wnRDEZOdx19K8MK5KMYSuH/QHxabtrNnKX2axfaN21WgLD9SNOuTU 2WTfj75pgAYbS4MfEkyTeBGNclkcFHmDOCow4NqTz0dSYutrLYGp34gis0mKIm+EsrsQJS4URb6p biJAWaKGufskhb4yWeLODJo4eBZ3gvldVX/rMCGEEAV05qESyzR33piSdVF+SBBTMvCeigdmdrbf hL2VkDSbZ3eoMHKJ6+TUQrSGBtI+S1Hkjd4zakuGNSk8GFQ2SeYLVs7WTXcpxZTILNR28eECb9eJ a1h7R2TdmwpwiWkrUPFqfU+1vmu31w3Wkny9qyVz2dfSuWAFN2kQC8+hWeNjBMZcF2DXL8H5HNo1 gngHJ1TzryZe1+DJaqhQJWK5a2yO/RolwtelqN4vxh2J8ixZas8wBEE1kj0oitCDkUoplvwguHNo 2JireX5WHwwZCCFd4d5Q8Q4cG9XgebpwUFWEdc6dpPfQu/w/QGR2VUkS57jK3IQGtsuuhWKdT1IU eq8iDdq1ffArriwgSpuuODDkmO/REfJEGOOH5q1O0e72tn+xtrY2HgdFPf2E8mrut6yXjISzfvVO NutKN6aMbRsH+STeFqi7X+9YSsOau+723kno2yhJlpGENUkP1iT1cw5lYA3R0EX3DfWVk7VBFIr2 P7QRMHgX8W85dG6cWZWANH1QcemEqo0FAYhL8vkIhvsN8KLXJGTVfJZChuT5cs67exvuXEQOdp+z +iw1FN1tlmKjmeHVfhtuG+78T4RhnMi7ITqhaC85ghPEksyQQB671vYO7BtBRRapJFcfxJko5/4x Kk3WW0bgAE/j+I9xFq6nxRT7Nw6St6ozbVdx7ZqziLudSitPY9rmwMDR19LM7H5zuMWlCmdR4Zaw qkAROhc6JbAgUZEpe2yQ97zsdf+Cd1XUp2ywoPJM07By7uTz/4XSDoxJkyG7ZikEmmyUTUih+z8V 45YnuyUlK+cywbdZirVHWDZJbfjgs3TWdUayj7WUYG9dOwE551CZhOoXyvmJfpO6vW50xVFefQma u5JTJUG778KOoG4SWDkiwfGiN5cNoJdfuW7o5QjLMlc4JomregnOVBKoko18yWYpAnY3Vbu3zqX9 nEsnc1sQYJ3SXkVNgEJIRDjDE30Q2K125er2tsENR5zJThS45mB1ymI22rXzWAqh3XfDES4HKZw0 n2MpLHnThy9P1yAZPKDow5dNIhJa68ULArGfI6WQcP744Eup424oVRW43Te7v/Vslw788Dnmdr2E UpbK+SnBhmOCck697oOOpXMBFBY9gB/j8/8lqMRX03J/ZimKvHFLnG0GUvb/QWoUKoiGxhG6hHVK yGCpBBc7OmrD0VdWg1dzZz+7Ok8dS2C6W3XpTCucdWAf8xQqe7PXjSyMSOMCB9YGrSWwMjhlSCD5 fBBT5vR1eGcuzX3d5nJo6ogsE6d31TRRxUbTNNCazTyXoEft0xSH3gyCVrs+YJomODmCkmwMbhRF ccDD/jJRhxp6uulGAn3Z60Y+PRTtl5gvBy0meAVIZzQhjQt8HXHAfPd1EfO3fG1ocmjsCFUiZKae 66YLyCk8SWVlxDIuI4HA2RHV8y6xljt45H3OU8iSIF5q54DqVfRKXFcFRO5Wr78B28H8kIiAy1O7 kRm4aKGq/KRQ1dURAoG1o/gGtuNEMNn87m4eF5o7Uh2psBpEWs64eVwa28lv8LrwaYqQ3muIdrKH Dw6kOMsMUfWSoxH+TNoJky18PJzvLI0bipcCe0fFzgiUZvHBqUuFXEZo1ur54I5rvw4m4OnylV/P ocEjtFGBNpmONtlOzSEOR5NElodNUixNQl8s6R9t9aJ5MJ3E/QQSg7SBvujySLeIxMwAf30hOSWr Bhc6PLrTActLHcYQ3Ga4o2tmlxcZPn9A0Ixr3xQ4QLQByrqzFMXemM5dCbKTSNK+EDieh9L2hTSe zVIYe4v9hn9gg2EEgGLNOYvBhGOpi67LycFlTE0y96Tp9rZRm0kaW6R6TVcQPmtzVlYPz0cAuBS6 POb+C26ClLldgegc2DziNDkLfq/mrislefN7uRFUoT+FRQJ/+DyKsKwOQXsQp1BmxRnEDkqHFgez 4sRNg32WeS6Y5F/z141C7/lG9MKOLltAQWDhQ6fHeTVK1HjBgfjUc0Orx8o0bplk9kKzw0LvNIqr JXWADXTFBV6PqOeaxHr3wYNK1N/E7AQjB059RFIs6nAg4cnfeBN/3aDPJHsa0OhtUOgNx2PhTc5V x+ffT++1v9fSIFLwyifkwO/RJCby6m4Snqc7+NSVfZYaAkFbSyGnUmlcE7W7PdTuDVF2yJiL/N5Q YiTG+yzVhR5vSbeDAmtCe91IcFwKtmTpcNAsgXgn5bVZ9XwEXboNFC5CENsnGF0+T6ECYB6UGrfo 24S0le4WL8IB6O/zFAFOKjWjd6MqEIfbHahnkhplFCb0AjbxTSz8NZClIRT8S50sB8aPyMMYKEnG rfsdAUR6VtkbGvB8PkJU3lIlcaeVhu6+mj6v8VJRzA8GhapK50/DpevXXO5UgzKHJuk1WipXRlJe zxy8M7AX2ogkfC2oGQKaDU4YbitQkgn/diIToqXXWKlA4I62hpO4JQ5eyy1pyhlz4emX6SmX6zUF K8CRTahI1eKNJmeSemroEkQz5YIDTGYbFtjd87dgcugbiri3+XBhy7RgKZThWKxWUiERABPsOhQC kjsfGAL+dXYS4Qdg+ZIrwEEnNryFl0KkhaffZgcFpfI1O9Dfw0vY7LyGR0VFt42zQedQm8UVNpca cQMKE92lkF+Do+Lc95zQMdJg1z6MoU8awqxtwPFLvNzFAxki/mVfTf9JUPdraFTQEjLNv+KDJucc R125SN/t8xoXFWQyySZHlmPoPvRkk/MaFRVz6gNK9lYkH3XNnp09gTOXUdFrTFRw1jNylFg/B+dO sOFmMdHZtGdLkZPbiKOF8FS5OOXOo+c1IiqucLMnz5+NULuoww1xD9nQnTf+vAZE+EX7r6UDFgsR eJyd13CoKOX3s4YeR80j66FCkjqS2arar8FQcfrNHHKYH/fUgRgoJHoYAydBtBbrkJUn43ljVv61 sQj7+ryGQjh25IW5yOfiYPW1RYwCurYnqn4NhPA0K8332Dkf2kkw4+y8hkHnoZaeOcGf1mNJk4dT AWp1VYnXIKjIH5CavtMHWzvwrJoZ/FXo+J8sqlGl/qwm9iPPkoQjnQEkWCz7vIZA+CLhIj4ARvHB GCUlS8UTPKrPawBU5AFms8PpASIKAbam5zX+wVGeyblx9Wyg52x6FnFe4tzMaYvnNfrB9LAXhEav DzY9J87Bv8/E/hHnwWY2Is+fjktRjFNLXWVzEO2tIp9rqrdwMC5JoboJsqw8Pq+RD44tylpjMqhE 3ljLXTY7r1EPTmVzA7dTOY/bMCqE90qSdbgk62vMg1NZKgBJssfpkZOAUzcYGEWNEHURxgkZAZtG Ik82nUfQZHC/hjz4BkiM2JPK4hxsdmal6Rk6G/nzGvDw3sg2Owq1l1p6mp3XWiMuusIuvyvalH57 jp1IA6X0kOOX9lhwLCcis2Zl0ZqDby0IjBag9XnwIG8B1xbC4j+gAJyVSSyCcGyN6mzR7PC2qovN 2eq0OSycWsluPzc0nn6fnS6fHjt4aNRBZC1n57XEWASgP3Fyto5sqhd0tMlgVXe/T9f7iY5leazQ OlaD9/ZRcqqsj+Fc2dPaQ8DxoHNE5ueFr9HH6LW8iG9AReohFPtwFHtuaRZJZqMk9Fpc5KWVv4/l Ddn+6bPzzmPDZZzY1X+ENrovnikJZBJrcPEa2S+aH57IywRJ+i0HgUxX4Y3LeBBOkUkNUCi3ESuc iZA0qdom2n+0fMg8XonQ7JUcmn3WYKXk3c85BMAajXbX/J4hUnrhIWAzFAXMkPU88zIMvp768D7s JvhCtSC02o1VE64gns2dXBAONkPgz3Qz5NurJQqt4/RBvwMpFNDx3oadRqr5+3DObAqtfJtCbIKz sZorHw9O5+07DP8W+B2AenyG3oNmYEQxQ3s6+jFNh6xJDUWgB/wSBlkLZmiTcUU9Vxs84Zrn7FyL tpcnSVIRZcBkjYSaAiHUm1L4u75PkDqvuEJ88Mu98doDAg6AtSj2EfIRS0j9IPDCyl1DUeRcC2QQ uCt4RJcb/UAb9Of6gHsFPwqdezHRseqD32AbIEc6pkGZuwH1wPt9Mpg7M07tJr/B7GXfd5maQRt5 vw1KSueZJKr/QFPg805eK+LiaIrKdcdAPcWm6D18NjMsiIL4ItoXFFKvqNai9qRKrtEUoZ/YC0Ae Nljqtc/3i3ahZMfO9qJzI65jZIZoAFL5q0m5v+pd/zqHemXdlSyoarDHPiTSfY659nlnrtmdZKsI 6y8TZ4wTxuYoCqLhO7DpTWdCrEzFBMEqzaLElFy77p25xkNf0mxt+mBzVApgyrmTvd4wZewDdfSi 6YzFtW71Vvr0vBPXsJlB7Z8J5hI2CFsElzA1Xkcpn3feGvOF/pWgZqABKSZjMxTG0ZWEh3aF1/O1 VKvFa9IdiauqG1EgzcO6p8mGGQe/zk7IO9AzllTtiV1Zt+8Asp4rf8v50nwddVZHgXQjZX23cgct otrOj2MWNvP+vHPWyrUQsbOacECEjzZBUSiNDvJu1JuRzzWvMMpBl+ySI61npxlFsbRp1w32djj4 bTZ7xwlDOH87IY1q9mQddvwXtPmsgEjePz/kfYaouD4zFqgNmqF0IkGJgp9I4/POVytCGDzRNF1w QJuzGXoPpyE3cjYZmOWaoSSmKClGJbnxZSFDmgX7KJ4encUfYMVtsE3G26xICAne1HMJx56w7czu 0QvR1tR4j6ddBglHkA8qAgFb0CV2DOWaKKBeEoj0uiw+Ot1U9Z2pxisUZIdsjjy7TVYQJ697M3uE leGtQcchNdvQxIFq0ASNVDqYm3R6gmLFAppkNOLUSYBa7EZbpX7qXaMJKlg6eMgG/aaw2pKXPIEN UUwtRPTNOMCoACPFaohRTF1ZJytfHtdPulquykjxdPWdo4b6pwjGVQXoektBHYoZ59yhWdga0Cfg bd8K+5sn1J75UdKiC/g7RQ2rnS2M3dkV42A3GfzXpYhR8uedoVaueJ3HQwB6AePkMxTF1AD3QFfP j+mcrjXoNkNVtHuyFaHfCWpYilVtHjlftsf5EpqHA0wNVqHPgUTKSF0A8tKeNe817imUh142SMsY Kz5aNftmHa2TOFNnm593fppVvp41BEHTBs0Sm6GoEC2FyHQFxdv1wuppuU96wzWlGXqPqaVVCjYP tbA5eNew7A4IC2dobhQWMUOAOv7MAlOP/YVFo/vDOzmtuE5tU1rfPK1HR2EKLlvPafF5p6Zxgsd3 XlYopHd3WRhTz3RR6EQRbe/yjFk8poanns7pd2Ia87v2ypPp0GuHXgbhJxMYjc6+KmRHKRotp2tD xWx72WANbfqE0WJHg2ZonO9VB3XKfDzK7X0NYTaBWOp5315GFFLDMAnsdKu50pLWBcW/iNfF8rJ3 Uho3OetmnVwqDnbXpwRvuaI1BK++Sf56Bi5UQuUCp7Loygz6805Ku62wuURrWO4NWgZ8NhQvpvl5 p6Thtt23KM3yx9nZnYptmqIoouZR3Vll5xRlU/ajYZir0kNfx6Yoqkt3MhWWrC/Xt/UlqMPUUEZz fqEXANEstCF+IFGPyxqdBYlo4iM/74y0kk2q9n+B6DBoLbrM5vq8E9K40O9lJkwHKXvTs453Rpof RWiccDpOzDCr16brJTf25pyGd0Kapd7YYWzNc/DkdUIGQ32f89eFDjRn3iD0RuoG7qKnK7b0su9H kVxWFh2ONWiOFjjX/A1X2p93Phpbxz5HdFCd7AE0T17f2WicIlZAbFPBJ9QTs9FTu8uo+o3/HlXD JGEyFlrVBzuLhlocnS3nc2Kd5U5QFa3RUDdZF8WYCSv5vHPRipjv+J2IZaysuZgG/9ry5MAd93nn olnzyPL7HxmofrUO36loRWrtJ4SZ0zYaE3Mzv3xSV2JsuIjeo+rz9lkt1Tx8sBlCBW1uCWVATCLL /gHZ2w8dbWkxqyLaIGj4nYjGCoZ0exQTPXbyKGQKmQEi2jsPjSmWJ2YkHGOe2VrQBEVRNWIR3MgG 8iz77rLSs5NjF359TdB7VJ0NcVbY39HgUTVg0yOR7QHMSi4mFEEQExrW6waNk17g7xS0IoAkJfuH Dw5byGY7B8uwdwYaYs7haQfbRaBEtOV52Tv/rBhrKFMlHfYYazyean3cJhAcILnH3ulnTDuwuYDf 8MHzsrOAutBKsOmpWcoP0kuB7BeIQL7HgNf8vLPP2OWiY2EVNr9aagMM2+I1SNDf5518VmSU80yQ FAObB9Xv3LMiJzZoUlgZFjoYXmR8hPtH6UYYeqeeWdo8eqN9qgZbQYtXGxs95wbpAGQqdQPGDIBq aud3I8IUvetfEwQZZR+0xSArKGhHL3zFYIul7xVUCiPMu4KimBpC3RsOKgYQpvSdWkGs/aLXgTpz FdHznXZGYBBPaRzyNnhedtbTJo8DmX0pbS711FhQg3UTPecMrjj0rkFeVlk3awoYvRaOzufUcgLf 9vPOOStyRnuKQyjBlH4T13fKGSZoo3o2TeQRVry6u0CqHhdoPosxYd8ZZ8VtC6Hm6YNvMVSp0+g6 g87JMYdtMSRikFQ0wTw6FNu7/lGDnQnAQehhoofUdUqfFU5eBurVn3e+GWsf4+saq0RwF4+F3ulm /FoIXsjWLju7YNkMpbFchw7qLrbHoip1orMVkjAffI9tSPV1xkLnr4HOGDynoOMFz6db+aB9w+ed afb0ygaxMxx0RiezjADoZ37eiWblkhZvrwxd3ukQmHeeGTtsnTyz9ONMhS+Fh+YYmNuKfqeZMRlQ HFS6Dx4HDYBZt3wvaaCurjUio4KaIC5fb3Mw4XgnmeGipJVal+5Md92ZM0Hnh0lb5Xwzn3eOGVZC Gt+RIt1l6+1Gv3PM8KmZAuLJEo4m/D27iclt0jsscOwWCwvUiyX8zuoiB++VgZiaBj2u0T2kJ8aA wBoE81EzZjHf6IpEA79TzKylfDYX1F9s0OU8gZuV+Re+xfdgGmFC/dpiUMnu81Y+3glmDIRwCPHQ EBQ4u2goOYVWPUsuzPPOLytuUJzQasNAhOtkurHQCKqmywNrarZkmfDR6R5Zv+f13V/2L6DZNM1n 9AmseXP2rfhB5y7vn3d6GUPN/RUrrhN+93nhMO/sMnYONm2eVAfCr+OiPDMvT+wbSvSaorBEnTg3 WySFfS16TpiSgFSToNqJoDMIXgBxUikE6DjsF5cxLlkv+9dBNDMLjRPFK0M3j3RiCEn1Zz4fbLTb k6Yyzfn9eqr3JIrCaczibsOCaMipef0steviTE9qTVFUpJ68w1KS8my6yrMdemonSqR76sZ0zKyk FeVXGgvN9FSHSCx755UxOZIuCNkuHHQUgRCnVtf5tT/vtDK8Y/GzWhAaiEp0X0TvrDJe9uhJT+tu nIuNAhi0veymtY6GK/cZ8IpxPM2pGaK4jEtxocd1TvRRwawv6GKiOoTDakK5hRwpdwKnRv87qay4 gPGU3/WUOTzimlrB+2eQXOfnnVP2q1cmuTnsdLpsa4pC6AdcHcsiZHMwYETsXNEKQoOrSJOP9yJK jO+EMm4Bouwl4GxUQR3W5xKH5ploqGfGGkkeGdkyrMF/pjkps/BR9K5/1WBn4a3GwcBDZ53yIDlX wPy808ms8vBUGHk9YKvZBIURNe57yKT9yDq1s5bIVBAMQlOaq3RxxhqKqtSiIaxKThkHW0MnARkw f5UyP1pDOAcgNdt+Fo7pDLiBaxezm/hOJsMikO4l8kgbNEP73JgMwOrcfPyf5TPMJhXehxcY35lk 7CZCcZ7kgTNDawGl7zOUZeiM24zM+86f80dIDXmV5cONiFDjXtRSR9VAM3SW54KIQ2U38LKlyWX8 vBPJcGhSpmjJNYSDraFSGz/x5C7l884jI/R1f88Q6kx1Xdh0WKUW8KNkrwxdcCddYbyO71XqdxbZ 006cSs5muqAGGHjWtklu7ZDeKITPDNxpDQ7j7Cxb3rrogfFOInvyVhkUc7DEHqVw/LGdmfq8c8jY BvgFDlaxu3jx7J1DxigB7bI1bIpO7OOohr1Nc67IYo+X2TuFrEjp6MwNhKdtcNwHJBtIrwLy/jy6 5OqK+7NCYgOscrcLQ7DxeSeQFUlVAflKvycOFlanJVnb2vf4vPPHiuT8v/IOXB7txozv9DEi0PIX 4n6f89N7QWVX75bV3Kxb9s4eu7CP0omP4WBpGbSadlKhYeQOwqb6apu5Y8Hp6pusszb0zh0rUkBH DyglH6xnD+0kHiPnC/i8U8eKBNify55O6VRv0gRFQTXE7NALbt5wvRq8TbwxBtWQc9cE/R1U1waV Lw22gqB4nfNU3gpEeREgFF64FZfLyjfrGER5vrPGiIVXzSNlHzRBqNLI9qLhJotCasmZ3vo0Dr99 QQ0tDKlZ+tjDPB1zHy4wXwhuYWLfajWB+RaiqAvr000U+3Yp9v3c5uBTdkJ1zjHUZJw8mYfALbSR Ft1UPLN3Da569oGapPhbts8AMb93ph9gYePxIFzst/Ihi0zIo9z6awsj6rPvN7yENEPn23dOdNN2 Q/WsgrGtczoqUKtslnjCaLBgaEN8svC2QvEHft08pxHLVCgm5cISfvk6p0Pcx2b5DMB0G7SGznkp g77WysDjQc8+fyOHGsUHps9QjyJq8MWRDllxKNXhcnulZcdSl11NurG/R9SyzxowE+8+3BI+0FSb WOpzbYA2NnQKIQ5BLYcl/KXyUNe7Ru1Wlqbn3j54fXFMnUJlVDweAYW/odQVVZLnmO5RPD0IPmvV bS9JMjB74nytLtCE0gRFsI+h5ga4VjZogiZ0Vs4hU2V7mdGDZrwETyUANn4odqWcbFLHokcVapld LearGgwjdc7/KTD+yTh6FE+n6jlZZ4a7wOzzeLrHFWrkZHh/HdOyABfqw2h3xAmb7H6PKtSKhRJ6 DTbYHqOCcDExq4nyKQ0yMw6BiaJRrk9OhkIvP+Qv8FkBmhgDxKB5uma4QhN3C6lLPP9+DpnRlSdl qNx1XLk2RyELsQL3kUYyfB4DeTkV9pQNn7eGq1v3KKKexOMvlejN61UM1nOvnW+fuwyw6tzlmYaD lqYLRVYg81GR7VFEXbZ4QExf9yND2ItkOSE/M/F4MEUXoEcEBM4hqllphiImItQ6YdlgUvJ5Vkcw lnGRMXUXE0nvYUStI7qv5IPnHEDS1SWAHuxfm8xTB8hucBca+Uk5WGLsUZl6CYhfKc4AyY0fxXjn j4sg2H4+B48Hd9n4ZmpCOLa3i2jocTyNqhl9b41F763E5UpyZ5tRSgKXfY/ZiCwOjWewJYQNBSy7 ckSASYk1r2hMdMwboAJ+DuE4xGcEl70UrafbXDkbsaiyi3gaB3UUT49fHHF8N5W+UZqgsEo9CfEs Eotfa1JbKDOeLm4mB4SdTVAcT5PMWscdHDm0B7rwCBchAgP4FDhlqOf/TDLzoeNzZSynXjaqDWXp niQflLXCV9YyjjHxeIQ+69852Uxif/oUvUfUaGIjJ5PL3jCkuWpDAJpiZ4FXBmWRyqM6iqi3sV2k OdSeGuw5EE9ER0f7E5fuMhcTe9A34Y92Xjol32WFNSV8SDBFWcihUXxwVExiTnAuszzxeJTY96+Q GjyJBqtKm6EISA1qJmxxZI6yIDttBca5k3vIjEVHws6f8+dlBpQgBx6FzDnQTO80JD1ZaSaOkZcZ sFXADEtL1pDUkP3Bh0TxEO/5IlZrqe5CcELawuYroeY9wnykW8cncC1DnalRvElzFAbVkK8sY0qO 8eyt7D47jdAN+eychMDmKCpTm1ZFzdkHX0UDOo1V5SEUSytNMCk9PKmTiHKslRg7scI9gn10Cgxt KXtx0FEErJx6ygsbLSQo3lWkIixMdpCvGDM6iqpxaUL9LHtu3640zOhO6ajQThA1OqpT86LvVKG2 waYIVMQ6qvIOSMQNiZ9Bs692she2UTpgEKZ3jdpBDKdRN7ZBsAbobQvWsHLG40FU3b/pd9BgafVy XkYUVbfdSeTo1urghSLOSzMqcIFErXWDRlilFudFRaKUHl3ms8nOapzsBm2iz3msF3BJeEvnWsaV 9AJIDh8SERQJFQYLFyJGOMt0wqaMhvQPj7iM54M69fKNRr7n2fAdnqY2RSGaGpyOXobF1ZMu9ubW 6MoV58DwGuOI6tRgfYzecC9hoD3DQGZWqcjYJFRNecwq5hA2FxD55WkpMhbAZwQoT2npN4bVq1Up KeU9s8oTJ0jufMWo1XGbrlxE6N/gQrUZiqLqzgIR3emozzCTg4cq4zCJ5/GHc4aiqHqpdJa5iIr6 ZYOH9TwxURfe/KSYEDZgBY29VkTYJE7ZhQ83GXzGn9APFORtUFCdZ29UazjHwcbjwTn0q8Q4hE72 Qv6Igmol99z/PIbS9F1WSnX4GZs02mVRUI3v4pw/CHhtsGMIzhfnME4O8QQFnKpCuJJwsORhAAyK f1S97B9tachhkESGszNbjnUSWBbtxtmIeD5aRJ7ec3Ni3dbLAx5RWD3orvswp5Agaopyc/EcCF5a z3VEYbUcdbaEgzjYQXR+0ElgMiGM5/A5N3uW7CcKYhBAJwHWytSk2o8QS01kb5fFLged1LNCspAR 0bnLRoSlHreQz2iEriLJ6x8jRFLPRXCwS+jv5U1pFEy9jg+QmCYoQlJDJAicMgHQxgNA2yc8muDW 2wRBwZXwGOREgKhAK8K7ZcR4jlDmA92ys2dX9sHq+LnLuwp20Hg8CIjmdy8IsUxtN2QcUZmaKGEB d3TXF0fH1Nov9gMSVpqgKKhWYpaH+h2DCN7MUwjNDaAQOEEnl1aZelLQDv3D+VihItDO+IwoGiKl A+AkG6yOD9NBxntrbTweUTo8tWcbhtuLUqeaoTCoHgQHL7/sR70EV4J51JLGoaYZCoNqXGFwhu0+ WMd1LeqGIOMGafYcP93wQxVa4+dm7E9mtrWGwqC6USav0bGio7uhs6MMsPp4DJ0kEM8H2X1d33ME mASdcWyOwqCa3CkVF9nAZyTNoHrNbj3X3rvVP0ZYqc4yhxNG8fGvbrVCzQBC4ZXR74LT9ICHC4tp QBBRsaFJiqnpZYMqGu/5yYRBg1Kzjf6w2GWlfEaI/Rg+RaSdg+Nabg1thpVqph1ALaEXfWaoL8vv xyjZZmgC/sW0Y8YSeex2FBZiOXilusBzi9EPBHMgRUpvlITdooLSuiA0WnnMEPrBQuzs1KzioN8K LDo26Nq5Kz8zwlLP9t22XzSCWr6EZhRTY9Gj/FoM2FDWA2wgejFTMH9NARtmWKmmF8yevfvgTelz /zY0ykjhbMD8UZCpc0YhB1mfOiyNc2bIT5yMFDNlGzhogkZOUhk5E1TweHQQecCYGH03yOTeCYoi 6nTSpW22woO1oquV3y++aoM/I0mmmJ/IjLXL8Kxfw7NzWJyIccvwDG6qJ02lZw6Ew2ZCZWom19WB rpteNqpUk1s2xh04Q3DEXMSanJu44vFohr7TMuydPi4CbUYRNbSN1x4u1pBdAhZViuUtV5iX2wzF dWqWXxHZ2nDBQwTYJkbUo5//8KSmHkmrrA1dCeFFANoMgR/NjOGLDzqEzoEks/Xzu2Y8HhE6fgE/ IIpS7mU/o4gagegWkJROZylfEnCe1wZmT4uGZhRRD6Va6RlsgtAaOrdOZjQ0exokDyFshGQdIjiy 7Q2PjwgHHxJsMk5N6334oE0GyiAL8fgm8XjQULwANKrdSRWFqY/mKKxUn3NnN4FhCY65YNjpbDPA FKo1O2ao+FFMdWj74IsI9ecOdjSzjoLaLOYIhrkdyfYq4wZENF+cUUhdmw4g2G5o0CLCScSWIkoq ePyfLODKOmzBl+Qx9YygH8A5gfNmAVFOydUIykhey4dUkM1QVKpewsKunHzwClrlsdwUMqL7Rimw QUG0ComkfZV1BmUXZxRTJyJpqOTPofzY/QPQJ8+WjKvs34ofSubYdL13fRRTIyYwzMVXN0j1s+yW ufCNsG0WqucVqsWg2mKD9+0zLBrosHx+ZppAizErI+EFmSioyl7K33rXoF22ZGaykw+Wle0q9uaJ sfh4BK+6pXwV0DKod15hnBH2A9kf+vZe+5CsFw8iok+UdSDN0gxFMfUQrgp3lg2+ySpOIjOEn/DE 2WRvIrFugBBlyz+EYrSXDbDC7E/0Ql8TDupL09SH8eLZzHg8gg99IxsyvhVoRNkMheREOnc29zet s1tehrqjWSuco9f70jOW/CDpbpK9adhmhUMgYVeiloE1T2hvsToEliWyjEERPUGFV9G7/lHJn7nQ cJOD/aZZtEZYJ3Y8HqFj5vddxqgz+yZbUUCND4Xkh1WHmszNBpEN20+hAtF5TtCKoB9DcPPd7nBb rtBBYSU6AyVTFzHFE3S+CmdKwpm/ZblXCP3YPIXKKj4Yvmqe24V4l5Pg4PFIVWd/RUNUNGhXVWdF AXVnuyy5CXwTn2wCkrO2eytVMOs0QWFATWXlBEVVGzxeHKyQmsci2mRJTpRQxEOQQbdqAw9hoeMz oho1Uw31gmamKRp/1Q5LQqLNdy14Ptpj39ypCmGDdHGwK5SerqzAJsMwFqKgzQC+eDjU0cnTDIXY j8XK4prqc0iSVRC9iVoRVU4h0lStOITGCMgMP3PfCixLrPiMv6pnc1DslIOBY3CyDQXUA4//S1+Z /UQEYf3GQissUYtkbxJD8Fb0EvWkjpTFi04MWiGUWsnYIi+KgwM/8jnv6bgnmUHaOA+YM5zfNiW7 xNw9eOtd/yK9wOzYB+0xlr2FHtoVj0eH0L3I6HdSaKg0PaReUUiNsvAuxcXdiyQ8WflIV7sK5u0K hlZMT1Qfcd7BQ2pYFSQ2NnHXA76KySzndjybArEDOtF2k2327VcE/ZBJ96KxgAbFi2fxUYbjB7Ks ePxfjQ6sPgJh+xXpXlFADf9y5BS64c+fhtrT+SlcY4ZIncIMhYIfdC+DRb0Pftc3IDtxcld4mcJO abI2lGE9iZCPLEEznCIGbUXYjyXqVCnZBzWlzz3GSBMdpYHHA+zH+m50YJvD6cFnKMRSww43Uwec mT2rp1KIYylLCnFgZWmGwoBaVubDEHgPOOZk8Oc2xwdANeasnUy5j0Llc4oXynJ9aA1lvWw0Q0TH bEJAoNVgvKA5QK3ESZ1Sw+ORfNU3fKhyDd2G64oiauC9NxATqp5Bs9iZUxS/lN4pfh3NUAim5vm7 iRXW4OgYKLfmrJOaiHtGQ6zBQmEYHqYX+TGH3jXSFM6Sqtw+KIRhw6mrOMRXfN9k65d4FZhzvdxG 0ArB1CDf1b5czbzsW/qgoJ2y1rxNVWfFRWp1L4gu4+CNoAq5b0IOKYCGhBUQvUrA4xCSy/qtkErT ywZ4fEH0CMvXoGNoQsOOR/WJq/F40LO/tY+i1mNnkuJTFEXUqDvtrqys31Ijc45yMXogANoUhRE1 m4QLtlE2XIQVCsiTPi5IPiY8v0ZLvNPQg2Pv0QOiMfSyUTuRJVhqXGrQFKU1lLcCBojHg0X0tKQl msvQ3rfZjmJqJFxrTdfyzKX7fQ/mqE0REEC673dIUJSP2yzFBz+qwZbeLONnlNIgCovaB6hrHccX vLHdspRF6h3F1MrKIF3kgyqM6QS3uu/T4OMR6yV9BUSgLLd8M/v9HlMDOjZICxKpA9K2lzk1b94K FoJJm4eCHxSCgZa+Dw5jTOhIszhUkN2liuvuxHbnbya1PPNt2hfKc+JDguqQjKVgsWiDlfFbk7NL OaEbHg/usku35wyB3l4vx3WHeGpIolCxhAfRnM1LjGMMb7jWdMXf45hal9gzeCcoIRVAVQ5pGTMS Zh2AfhL/NW5xSMEYPiOYoE6WfWNNgIPyVhigyPT2bAA8Hil++CZjcYg+V1czZof0xCXUmQtXtZkc kU90i2AfQIdrgmLYh6SEa/LBL3uASgYtns5VhmojxU4rCtKdTpUKGNUJ4l22o6B6V2GF2/RB4RCI QTxFYD6Ex/8lKVwsca3tSlXuEEwNuLkpv1KSMfsMVe08Slo0J3XsmJ7I+mImc4qDo6tQm6usxECP 4RzQjJgG5WDRr6QWopXPur3rX+YTK+fkgy2hc/NLi/N8l3j8X9gqyV+gjXDFCPafEnpZ4sGonhUT YwJW+MrDVQqFcoJC1Ad2TieyxQYvfZzZAhZW+LyTw05ZBlOdAl9PSzfloMAlPiMyvlFDulcfrDYE JxP2Oc6Jjccjdbj+HVBnhIs3b90R6gNn3TZzLfqSmu1fhvuTGQOdaC8Z62WH+nmkz+9Vlg9eoT47 C2A0WiycUwa6BywvMlI7J4YSe3Wje9e7RgVYEqZKu4Mm6ExV2reXuOMK9fhaQZSc388pHSp+ABAv xi65d635Cqp9r7uCULlEN3r/A/XB316DTRD8yc7rb9aGUgGok6gP9FUqywHbCR2FNrz4jCBazDyl GRFp0ASd6019ICgq4vGoePYdT7OI+yCpd1igBm6oUCyDvClCEUgs6yxfEGs+iHjmBMVIaqma6wya X+zNM70J8nLcYif/SGY7DQQANiYWqgOr9tS7RmcQS0NTaHx3zQMgcmaVhs5Px+MR4+VbXXA19Fvn XUFRNN3YrhfLvlLLwrfYmSq/xuZYHglFiI+pHof4ZRxur/U8Dkc1TNDZYrOwkzYKpmycG4wyFxYI scex/xb7WKNVHxQInTlv/MCT2zQ8/i9tOKIXgXxLdwWJTfz6qZs+tkvVDmjMuw7BkIjnpkcHOsRY QjmFMGr2B2cRRrrsK/cBRjnAootyucD4NFI65kbhA3nk2tc8AEoa+pTAg4s+JoOVtwG48I/5s8D6 g8d2P/PIHxCBPO80sbGGsvGj+JFTKKIHI1JClYDx2AXuEGbcDm4G/gi3FwI/oP6eYoNEcV5UIKqP 8PJC2sTTDnYv6ZxLOK/qBNZtAvoGs9WrrcOgGp8SIRs4QZlXIYekax61dNbQzhzy+SDxmDfxINkK ZYI9nuUUWr4MltyS6kEbsHYrEm3/ywK5UmuZ5RQiqpscTYj/4OD1/BOYr5SlrwPufmcxMkHWk8IQ mQr67rKZ7XUjYQv2pNsUtBr6yYr3ZhnKmcc5HfgDgtAo/6qDQAhyXrEv6RO/w3+gOLhN3Xy35dD8 KTEEVRuTo/Xwg6L+PUsgmZ6JHGw1nf2wB6tk3HUgKcJeaaA+NwFKLGDxefhYtr3uXwSP2elIwUEB dp9zUhKPeT6e/y9svA3SUb3aBNR4fw+QKsnApt99zvF28Xqi45HRmWkkzT0Xla1HEomqDh8eUONe rSrTL/DXhp4TgLKopQGcBA9tqxdlShHhU4LmxzTl3DtYNQQVFR7gG6YLKcSCZO8wsoEG3PKEqZxP U1y6JlTPzGzPoiI9jzpR/Vp3bBBW7AgPa9ddTKp0BwsDCthCrREMsidcPWl3linEjFsm0yfHCo9p 2+tGCT+pVJUVWg5WvKb0CutqafP592mqN11j/eR8YX1eQlVOYfm6FeioWdEa9h3eAhnkH5JRxfTT ZikKtyd1qhcQgzZ4DyRtqKASEHJmqU42bSuSRFhmgXa2L6WKihX8lCBckit5Y5mWg2YJNWCJCp37 k89HNf5fZpyAAs7yXHOhHkgCKKQlwT4lc2fX3OY1J1IV4XvccrEPDNvQUwH1vJ6KtZ4YGwVx+W0v CGpQ+Bxf5ERmgO6QRUwAjOhtIxY1b7ndVOVvXuU/zwmJheCVz0f9xu+8DQc+PIrvJIVhN1CyJ7lK juDr1++2uVbjCZa7W1KlSBQE1jOoee3ug6e2PPzBxAbYmvQGQviAtICnkGn/ri+v5BR7wdCvtKU7 KBbsae0hhFobfD7Yb81DASolnviW0Z1PUgi2nlJGc9+uvF0W5Kxmz24JU7dJCivZW5VsMqU5eCUb RsO5yd++w6GqCKIGoBmxxDiQqOx9goNibxuVkOQgyJXEQZMEwxP2RupgWBkawrSLDmEug3iJvmGa pdBmEck5jGBUlV30MfNa9nR3s1pdNzYHPotgh7E8QgM8Db6Uej9JCnW0gZABWah7axJ6MD+kfZsN JZ2lc+Cz6JDrPU28YFuhLaFl01UFGJPPB3HAY72NyxGJZm+XpZdDq8UGmp4xhrHhxhVHPS99bfLy M0sRSiQRgnX+l+GDVwIyKs6D4m3nRmMsjuWG9YloiofDBfP52/7ltYiWvg8Cq5UhKsZPW6Xy+SD2 zt+oWS2lci+42G2xAfyQ2/BigCGMcTw10+E5Z8fMKgbk0G5xaQ312n24p9IJuxAmcSmh0koTmYnv oALB3x+SDJxB9SERjYiJnKDX5/+q2Izn1JBABcQ8B5+P6v6PrwfPafaN+j28A8tFyMoBLTLMAPdx zQMwhjphkCtKtCPWNIWhN1ZIP0ly9kHTNIFR75OHDwQ+kXMRUYILtGCuJBMiFQy2sXPguWj6lpRj 8UGAGioICNWXK5//L61+HOWNPRKbpFh7D1OTx52k4orog0oEXEsLnji24UJWI4WsMxtoGmwtQboc bi6Na6meoJGG932TModyIQwbLT/pZm4aioVM2brzituTASJjFkRfWkww7wx9F8nieGYJdd70tZQi VWuqYXzPUnJtsC+PXDrS2VIKA+9lQrvbB5ulPfCfRbLDhOQ3LWDPWYvqNvYJWqiOPFIcEBgv4lhS P3tnHwwgeraNLq2z/vl8pHP5C2UMNYDydSyF3EbaM/Dl/o+A41Us7q7sWkjtAYeKUt3QenEpx90M vzlYHLDnOZcG3cLPPQ9DIRzvoG5QZfn8NIDjdC7B8dZeN4qWRCfq2wclcWC+qUzZy+bzweFd7hWn Uve5MdulWefQfxEhB0gPyepwJJuycIKaoupwPTHK0zRFVjEKvNcQgW98gUd2h6nUJjYCv9CUKsZA 4w3V7dUeP+pa7W3/YusvGaJwsIaSGxqeX1fPRyzQ74JAY0C7nrUU17spHu/2cLU0A2Tv1Oe19gJT zSYpLHhv2VH3OziApE9YMLHgvc/ebeTrnxlBuon+eyGe3TBIadrrBkryW27LdGHkoHAJVF+Zc50T kM9HIuAeVKr7vwA7vjlc6MPIJMpMhXHXqTNJwOi6ULZZsxeXYiPGbsiI7sOF2aD0M8x1qCcYngKH hJr6gE8b0P3eeVv+tpEuqIyXZYniztSogEruEOzOxueD9KR4DkcyL5pqoz9+p6EZIyhwm/6xIqWv du08V3LCLLTcLNMN3RiNla7CPwdHSfTVTxhETvH5+1wdrVWB1jqHCXuESuIEZ8uhHeOkfPNKgkYm slWIIzknJ3EZvWApBX6MyDQvL50LD3lOe+q5oSEjrglUvuqP+I65Ty/B7ZWN7wjNAJ+lEJ89SdqX hAoHr5pk9IizfAkqvDa6yFgVE4SuWl2Xrdb8bYNjyVJdEo5MmlhAgJSHvAbK5PMRr/giASSBhUyy Xmhkjl0ZB+q5qYlihBc1bOQuK6m1Ai3p7AdTYMso2TMc2zqYxj2YKnhTHXIAUgTHCYLrMCGDo4+M OGsqweXqrxvJPkmHhquJRlZiK57wC8hRLKe9Nn9AFH17F4X/+ETpUKy90xSiSsSGMMrR2hJ3pjUj C3esVDIit2kKodojeXZmw73kNoCROKMLiuCwTEbZG5r7G31glDKfW27b60aoAOHaiAo4g9GyThyZ pWMImyg+H+H9vxM5mnmn+cxS7CUDXEDdjpKsps6Lo9QcVEAQrYYLyIE/Izi07Hsnuu5kl6oEtKSA 69wk6zwykhVCS2onlh0liXz73nPZ20aTxNg7SzAU6SfzEgAtM00n8A1N/oBg063fQMnNQ/E5wKOy N01vMvukwpc8njLt8ZTBkrNp+oesyNY1t59rDsYiODmWMEqVAPkTfWNeQf1DHPrg/ou97V9Fgb4I SOZgRYG1m4xizqbm85F68U3k8OAki+nxZA5NGht9ZdhmJJl279sBp2OifGVQDLJZCiX7BqsCaHLY cEEUmeRBmVuhJ1CfAhPSYvXCu9q70942QFEU1qodbeLiIhsS/0Jy9fr/GbuuLFd25PjPtfSZA2+0 /4UJEZGJYkuVfUcfgzeaV2Q1CJMmzObzUenEEznF2bwtn+M7Cr4RDkoJTqUTV2IB0MRpbLiRTOQ5 Bz6NV5IOXVAbbJJOvjshyk57+HNNbe5NFHSxLM7lh0WIPgR2nE1SCDXp7JxsNuU4GFgpXzGxPfl8 hEyeX4c3lHDPVrpFuNCpEYWgfeIIqy/VWh1sAhNKB5sg8rNJCt1lRKXdOfvgiRyO8gSdf6hjIsZs EuQnPgDZybxhJXXa+CXBFaeAqZrtp1sRzg4KHq/xzbM7lBi5hRO6h/EMTfVZSlHwneSt265dY3JY 4GD+KMQJCQmapZgSSSGWOrcP91TqwNSycrIo57pEk0BMRxQIG+BTDfDa7XWDtaRFJLOr9ZhdARql YwmS4Tm0bByPW5pMLc/xn6/rZw5NGyka3rKT2uoszgRY04X5wXP0Fkrs2sjeyTkRhg9eOmlgq2Up jZy/DBQ5sgUqpehxLKWn/93tbaPDm5cbbdQ1aJYgByySP+vegW/j/1XFxkaC9NKdpCj4xlV09pn3 meoaLovNopKza1PySYqCb1V006SnKwcPBE6qtAYh5SBHotbE+tJGgwdXBe6IS9Hu9rYRbYsIOCBT bFB9qYM/upV06CX/hTLNqsIxO7k3XOzeSAfZmrzRVLqztGeryYsCdXlzILRvFI6SZl02OIIJdtwF fu0Qddi7E1BY0aIBgum8Nt0EDOVFGFwODRwRiQIAxx3HQQWm8ysU/o1o/fH5f01TYbccHe0xLpgy hyaOoEmuPapBumlDZVuuXNdvQPt8nqLgewi6NNiQ4/AUTxZalDRGrzmBBU6K2/ltJgo0OVOLvn41 wAMfR2Mjw/C7+sB5OjtOQpaQwNRbRjCBWzyRxgSBis80haCTRKDgzl5jKl49Qbf/xwRsmquP5MDK 0TvgYBL64NOE3zpT2AtGLWcGecYnAF0GCBr4RS70PS973b+6u63lO3izKUnOFwoOfD5ilDqukuIa la3TdmcpCr6Bv9pJHirAnFCKWQnvJF6HJmGQS7ZZigRIOgsCL5ncSbPqbCCGg2MCo7AiaM75fSaU I3KhqJ9YOKnb60ZcripTle6DoSnaJLMBvOTM56Pa9zeaglLr6etoioJvbMtdisGWgc916nbd4+qJ w7XHZinCnDSrB0ia3nnVqDEBpUSjGaApzsJa3Jy5sR2M854Qb/UtV7e3jdhcDL4hWG2DJuns42ml b55MIcj7GqxQ4x9GtfDs9UkKBbMrNMVrc6GWuX3DGfN20vwhF5+kGHIyhRC4gy+lPiBh0DRJcJ5F F+VESOdPpGNYpgWDNhzxd/yWYMOJ7Nb29MHOpZSlUoX6DJ+PAsvvDYefpj16Pzm0diRQgKLc+QTa m00iA+boyB70EBkm+JNjb0fTFRdpoF06BZS6egHYmKw34HRo6pigJzPxV+eRnnplb/a6EWJwEwTH 8Lu6E3g5YVKTEDuwsnw+iCvz9yzlTJ7712IKBUlmY/gtti3iymrTBLe4849fbRROUxh+k2KKmogP mqZzvJVVWJiEA8RuiVTvSrudk8ojrpwXwMQYmt8SBAOsMMlxTIMWUwc3lsDKhFQucHg8P+b41mhD 5AMdVZ+l0OIRafaG4qsRK1jjUb6bmjcuK7WpGAoEHo/n9JZZ+pK3/Loi9QPEnAI+E2Jt2DAPiSsA dFoWjoZ6jQ4Gye458HhEjsIyJWTuMQwTPl4nixZKXlCBwOTxWwpRABPkuuVKt+TQ5RGqLOgmduPn qDlHDtwuTmDqeTiCKbR5XCwH5JazD14V2I1C9cxRcD5TAIciz3i7czqhpqtMbiheCnwes2dyrTQf rCowi+lF1qHngyuu/cLCoUucr2xCDp0e0Vr9hTppPklNqW+ln+ozSWH0bdyKvH2w6Bsikeiis1jZ cTbR63AM9phQVrzQnJJVhQutHmUJQWA1Btz34vy1OgfhdyOVxQ+IEIP5qyzQFhX1blAZuD2e74XW Vt2u+ccaq2Zprseor47tsxRrlEjEZTUfHJuT8V/FfD9pxR6FMgtQIwURZULP//Gm6fa2ARhOHlCV KcpV+ZDEu3KzDABT6PeYL3mAy4YYlvZ1LIVS2gl2ELtb47LUZEHlWnW4lPYgFYKRwN+Wj+1E+9sH X0sQet2E6YNQD33vKQGFcw1jo+WSbsJLSQJ+yx8+R+dJlmLTFZQCbJONKnqD8fnI9+ByLNTfZQzy bLmQWAkIRmKXnJ3LfJtNlAtzXf9mYi45sH20xdSWqMkcLBSo538pFdEXkMzzXEwb/0qSbQtJN9+4 k22vG/CaZFQz+vJBYWXeiyXEH+wLPh/UdNP3wTSIGbzabTmwflTzHf1K12Xv2fX/WrMz6qS7y50N c+D9mP+HDjQNjTwfvHhy8ubUYRWN4O/sOZZZqLN1svJN+Gi+e+6+7p/KSQKgzgtAPZFK2rLeO9cP nw9KTPO2wVmwpFRsfxoEoQPk5AE+l8kAEtPnPbndPN+FvJbNUwQ8qfLRwBFsgzcIUJgenWXdc9yd 647sAdB4IAGYf9AN+SVRlgMPSG/Jobvgg265VqVkCEjF5vNRg+A7kcON3sudpM9rvFQlHM0WhPUt WSSXz6pKmJS+gf485+g1WqpAMBUhKrcPXqs8U5IrWafQ5NgmtjkLeNsw8ADy5FKaEC29xkpVYpng Ae3tg9VzzzGR5JG58PTL7FSw/gXLycLnwpCPxve8Aj6vcdL5TgRmcBexWkAh2tkwArM4wmtfMlMw O93dsoYPHiUBFpLSIklnQBGsScGNEnPYt6UqgXrQ8K/Tc0LJIZUJ8r042JE9YFHJIGnh6bfpQayT bHp4NMM0qaLLwtl5DZAqziEUJZefQz1nt6mhuRlxJr32ZjWA1/CoegxZ6POswSJtgLlmFb57rsIA k31MkN6g5U+wvgm1Et/9GhxV9IVs7RQfNDkIUVkxQVryeY2MMLVWl8zmBYV5If8Uk/MaF1VX2JzL FTZH8jbAOVJNh6PRaIRx0WtUVF0dMUPZyQZbOrUXsgTZwZ3nhSpz2iGVTMTj5SKWaQb6eY2J6nXO yLjFzoBKk9rcpSXjmpzf+PMaEtVHKjr/WD/7bAZ0kzU9rwFRVQMA1VMv2VLvw5pJ1YPrCva2rFWj tUMRoE27Qg3eSur7pObU9EeSIAVk3POJZ+OZ7mlSIeR30744OniILl2F5VoOur86oJ2Mlc+q/byG Qva0HTxbh9RJ6thGogdeMD24eoE48OlhUcJcIfajz7rdVzWYnrlM4Xf64KsH3c5EH7GC0kuTjn/Z pBNCP546IcJJ0Bfp8xoE4YxkB6mleQfdNSeXHKbAUcvnNQSq+fodaG+BatFz94PnNQCqQqLufhdP cylkoKG8E3nSKZTJ6dwTzU5PQo9kH2x2Mn3O8UtDa2vhUzkt2FswekbTdNzsVWYH0eYqLDk2odyB vzYIKKRCCBWD3cTnNfbBwTWrTQ9LJo2sQN9br3EPzmUAbnN266c8r4pUWdmtwcseLl3757mccFra YNOTIGpKiyc2Q9pJ9lnlh6TTJLKTOHOLoUnnfg168BNMWa7QGZyDFk/KU25cAFt8XkMe2/12hTPY XursaXZey41VRse7bGvxn/hkeYWoE5SmNjbRIpQhCw5mU/FPDPg42Oy0DDWgqZMHNNxMnO1C9WpB o75QPdvgbI1CbdHsbF3mRGxrvbOGBjw+UVpo4XxeC431UfrL0vDH9ZDpmozZea0yYr0mcNtpmITZ SeLXULmlXWGSBe9syUpEEc8qko26g2cWFUJduHQrlOQhPEZzPuTwE9aSha0KQ7ElvWpwLhNgMhvb RLO5Pv2JkNG+9rLQa30RB4/Jksh9FRXULqKNaPXB9KAvepLsZRiIOm5NaLISLIoNYH5G/QvnB02M VGvzwY+eTjEpMW07igNLFpjQAKgoC5Rbg81kjX/eOW1VNgqowolC0g3XhLBhiY7cygCHNNpd08Me 1RYH3Hq6z1AUMicuIJlfncMn8XjU2dzXI4RYp/Nrohki+ShnymdzsBk6W/ecP6j1YzwTxN5/S1hk i72URvhDVQYvek14OtOkuLOWz8ES+JSEdoaz5uedzoaoOX3PEAgrnXZcmqH3sFl0bahBrwuCdAbS UquI2Id8u9VR3LyJeTgJ2/DBC2YTNGbCMGCq2RYhJLicSa2BOn27SYWBfoIJ6ioEAQVlg13uE7En ryTQj94jZ6n/2wSpJQSGWPXr/Z3IhnlF9FOmWYZsYK9sDbWZnAwxHlJkFDwDCE/h/uWDhz9pYzak 8tfPFT/pI9Ioxg+jCpay7w2mxkyUeGXahWU8qkF56QRzjvzaApBoGD6P8nXD0ySDACjN0Hv4jIxy U5PeZqj1cpEhZTmsb0+/xN5JbJghCke1zjueg+VeS1f+lnJ2HrNJGHmB8QFCOynARPVlFD34rtEx RCLkFC2SdVwVXU+AmxkCjZPAf94pbFX40q9FlIk2/jqroxgaSOrdaJ4rmvbNwFYdd58R7805eg+i M39gyLOpPj0vO2sA8zFyL1KGBsiInaDJ6Af37SpX/YdV/887g62a18oE0NEHIYxmo3MbCq6lfN4J bIhpkkfRmiGwxGa+Z/V7HC3OMLSz7awuQhyTTpNuoDhqcWZWFEgPOWCakVNPN5BGN/Gc9dSKhIQp iwXmM9uaO2DqJLKjOgqkAVuB6ZzgDt1YFtBZOMkdyz/nSPq8k9ewiPo9iVTmnzDtuWsoCqVlRtNt 5Zx4jSIIVIbuhp6BMvT0Dn4USzMe6gJ6aLiJ2Em/aNdGvVHof5MjgqXUkYEAUmMlxELN9887dQ0L lVUO/p42aIryiS8kXAxD53fmmr3jk4pBwKHvZw29h9PGzT65k5XsTQuRZKN99dfLvupRUTw9WDqk 56YNtsvg9ZPOoSYtRJBGE+lI2IgVio34Ld1IRH2N93harDN0WaT5MxxePMsclYJGtUPGJgqolyFC s5EeqnNpOUFRRI0IGkB4Q8r0ciusS4g14EEzYg8toTCk5lFdCyNHDjZBc58VxAIKKGvnv3Vq+C+Y SzUUUzh3XqufetdggtAeQ212+6BjCL6mbLtWYhuimNpdIDRBeKLMu8fe6Wo8vMB2qJaGAfZ709XZ /Lav3S1C39lqPIVUnldWny/BqMNKfk0CosFGw62WKDfqd9nMj6wW/cDfyWqMuZogoMUHu8pqTpKL miV/3rlq9SEX5R8FlzCcmrfMGoXU8ELfZPfomJZ2LcOhYsKaUDl1rNU7UY0XokSicvXBeocNVvIb yxtNsb1o6gTY3tmF569E/w3BpB1Ceehlg5A6y0KkJB886RhSX68nlPi889SwGIynBvLdDwRHK3Iz L5e9s9SqtXoKNe44RfzjdJNRL0FThHKipug9pkZWX6UTuX1wNBqcnCGtRAm7cu4c1hMzgkpCpQq2 m8PR6ALxzlFDQEReaO90L0Q/UvQgtP+3hKFx537eKWp4yeklReFrua69lfHOUENQveg9ZwkrtIS9 azhb8ZIi5cF4UL8T1KoAg6DJ9OWDA2MA3iYAAfChs7gtMUPVflL6iDaqhoyh0sg7Pw1foko9JtIG C6qhZcagOmU+HgXV3wfRLFQVqj5DUVANpOeutLUllKHetOMsBIdbL2iyM2B8J6fdgyjVOX3wovSE q/UWpHGiL01AHzwZ4Sp/9hlOOau6FmpqvpPTmFqR6Cj/wv34F64uPaLzMWl+3qlpNV92g5elB7Sp y52iKKbmIuoS8iMc7eYdtUyfIl7NmqKoMG0dsVqHDzZFKA6Bg11YW0wgAdMDEzKltO3JsKxnpLtB MdPLBvFQFs563sGmiIhR3mZzfd55aVzoflgzfu504/J46J2VxoI2oVXbBH1Suotop+osmVGzAdDe SWnMvCXpSxgaB5shALTPmhKP/7wMW6mj0XSXuM+z9x7/wrb0su8nEcRQzuppEj9qxg8sgLsNOaOt tD/vnDQ2j7+7hnPLKNJnKIypUb2HOIOzGkb1bZbMkA56o9vP6veYGrxrqdTwrK5PTA3Ru3yuY8I9 F0RMxTSaMEeEOcoPl5DlZWXoXaMJYgW22cA8hxWidXZMlzfq3p93QhrWUO5fRzWIhnXe5s87H40R ETjXrV2BsXwz19aKZa6lLGs7v9PR2JXvNJ2jUj0HmyHEEGtA6BVVRvRAZVCR2GA4YTuuKCuhUZHq 885G41E7RGhcPigiOqHJbJeN9k5Gw+P7ntT4l+FY1tKtf7xz0XgOJZxDpkYPeLivoZpumRodddtl 70F1Nnc+9lRsuDN0kibwPlT/2F2d+U0dLTqyrRszsgzzeSeiPZssy+Exu8Mj5N11DDU4h73z0BBy jvp1l4H03dryCXpnoWEJLfSAVMfvVF+71mr7pvaVUpZYQu8ktCohoDMziwiYui7ebACW12gsLKEM /ODshCC1hzwKJC58kyHU+Lxz0NjkIswM7uQ2WHf+nKJbXisjf94paL/yVrbTKB94kR3vDDQLEc42 SOlXG2jQe+5q+NPxVP35GNuBcKiTq6RBE3SCwnMYFmUdPaNckJTAQZkRAA8o8EDegFSYoncNJkiO RjpgOVhiP6WqB+UevmKwx9J33grWTyVrRhMUhdQgZuwm77kKgehsZeqdWjZS7NkyxSSg3rlnPIQq q0Jt++DgqTUh7WHmfOscSZlovSXZQzip5gewOPSuUVpGfAdyKRuUt8JkU7zh3PvnnXjGU3p8H0Lw Hix9ejD0TjvD4ZHYmi+Gos7yO2i0mQUnhFjzczGbiP876+w2gxpaejbYHmsdhzRvK3gWg1PN1E0W oVzA6R5CFMZ455wx58DUFLpBTGQwP0zGUD87KShTrZTL551zhhm+wRDXG06rWf0ie2ecsTiEedlG NN+tN1ekS2s6Gr9AukebLCpTp8bdVXnVc7BNtuDKVieLQ0Dln9iCBxVflzIKXvmAGyffNJqf8Wpy kLsh8Wuf8/PONav5CyLEAxlK+P0m9u9UMyYcoJpNU75Ay/6S8xFxmBxGvhihqEKdCCxjd84GX0D1 BNcAcGIBFYSlm1X8gesc+2yu6xA6mW+888yw2Kn5SFsbG2yCzi+pW+h89eedZsb1M78OaXrMAlVp ExQF0yBhAFfmQI+9BKDOiKu9mQhyj91iUYFaOwzJkQ92Bs0To6RNHRz4kLaeZaEK4YpBRD9DfiMs Eg78TjIjEEoe12n4oMsZvkKqDS38iO/BtPRxvhGK50zqwihxiqJoulJ4ppr7wzkait9jBKNa9QxE Ak1RWKGWT7FEpkoikmqyQs1eH4JCRtMdzSFMEVrfhFAWkryU1lOa6/POMHOo2Uk0pM4DlSbr3mw4 bDKcPjvu884wY32yfJ1C0DLr81lFYThdpe+kAuPaapap8pE8aW2QXdAUhSVqXeBZlep8Qa6IegAG m6yfdZSGWGmDpc/PgIYBZXBd1LjYy0YHEXX7gSnGQFon/y5I2LD3NE5k9Xmnl/3KWvFyOJdQgvAp iuLpOUDxWparQnLdw8WEjp91grZDOd+5ZXj7Yha80wevwSbY8MLjAn0OdMpo5owYFFLwZ73O9BSH yC17p5ZVaeYSidd90FG0B+hYPIrW/rwzy/CONyfTVXZSO4ZiwivGQGkqYnZpF0KxYDj9TipGi+Uz uokAsPg38qNU9qQ5WF7fAcEcAnSeaz+ReTSgj5tRYTzBA+oZbghOwf53XlkVQxyrpzUN1SK/8/lS ye65zs87rcy26YMcyhB06bADsykKoR/wDTMx9YFzm+lXxSWBXkuR9QOWVkWF8Z1UZrgVwBVL9sHh 0hm4s07hC8hIAYtG1OLZXRMQLEQ5Hg+1onf9474/70LU4hqOWsy9iibwAxHJzzuljLUHv85kgEQM uweM74wyLFyaGkmWF0cSxWD/IxA74EgmOYcuMddQVKVuPKvB0PDBq2cF+UUBHwpmBeegRdYKsbDy g5gCSVW/OsbsJr4TytjM4S7rdh4NlwvF5y61OjYfj2Lq73OoUX56eNLxziYjshM9xJQkC7pIs7cZ msSZb/WCtqwM3slk1W2f0D/34UZEJ0+tMHAjswXyv7jNCvg6EyxVxElXwpiEhHcymWFXIcormTDm cFxDY6SlvHWdkPqdS2bNtq/EHgG62uGcobBIjWZQHQYCBqohefVsexm/07VSwOmoSK28tbY+fPAy PgxhB7Tyzg92XgaUDbLOUXnAhsuwvbTElXqSn3ceGXH3Chph8KhBmf05mNQZAhX3804jqxLKeM6h RRepcmcoqlFPEqVFIkMFdhuPhVKq3WdoulHPO4esyl4ShIR+B5uhc5VBS5Aq2HCC75XoodVQT9s0 sxzXOgzQgs87g4yljybQ/R08qk46hs5Hj887gazKHtKiahlnZAKyfIZC/HTvV2peAGEnbpB1at0y QLk1Q3+H1U0Gj+0xeJzgjqFpViSBPdOQPg+w65VgsuKmKpm4xM87e4zNFOKqVCPioCVUEXNxCZwk +PNOHuMp9p230jKdGmuaoCiohp42XM1sggqtJNVx3cvJGw04eU1QGFSzZ9+BG7fBNxmWTaGnNVSK T+xZ+o8Z1AHSeBb7TTvI/vq888bwYyqlB/zRBif+5NTEi8JNFoXULm1hFWocfrt7Db9FIXUncqi6 rFzeRmkBP6F76YMxA0sfLUZR8xTKvPE5OKiB3TLudlDgzp3Pnv2mtwAt4EiNbqqeJb1rcNWzu3Gi 0eSDgRo2+znsgGc8HoSLt5fIIx1hZbrd1hYG1CdIOXd63VY9m/2izFkjZ/Ws1WHlxRYVqDVBOI99 8OrZOcHOh05yFBBfdRLrFvBuFZKFGZbMbnatYzoKqBfhndDj9kGnEHwjiDM5O3ng8aBn376zMqoC j1vC71FAjT4r9EytepYkQdSYuBIRS6UGVktJcXkPqKXSef74ISSjt4qRpWZ0OQCGwcKiVzEDSrbH JuWaHNSQeZTgO/7kHsojNF+P0JOnVOpOQ+Sq4vEIJ/w9QQg1gB/wCYrCaVSkd2M5/D8yCXFmfSrD VQk7tLs0QRHsgyJ6vU22yzh48QydHzgJs7x4dlWWAiagdgXYZig7WUpG3C2+I0g4shDU9Q6aoAop ArnMnoSjR+F0Kus7aQVMY18gdQ/DacjrGGiRGkTL8/rOPFDeKWgP8JTuUYW6M1slzckGB1LDIZ3F QPTrM4Q/yBIHDm9C2jLXJyWjtyi+JOqTSbmZiruVjSUerrmlLrrC2TMFz0ckxG90HviQPycf8nOo hzREMFhrywoSYUwhzeYM9ddq8LyNZjNRHz0KqJeYCnMWH7yClhKi9EYPTCAhZSIGWBpMr87HE+Hk 8gxFLxusosI7rNTsg84hyKEILH4+Ho8HU7Tn9zbDOQRGkc1QxEREVo3afTUA46oOYCzueoEi7DAd 8B4G1FZj3N2HW8U/O7DTxAGgSFSHCD9D5a4zuM5PxsESY4+q1GwlzrRJ1UyoeyrE26xj/pDlU/B4 dJV5n2NwvY2f3i6ioYfxNKvUbkgA4ld3AGPPHi32ydpQ5+f8ldZDfccH57yA2LKqrHhx6zBtOx95 5qYj/d/pKupQIA/fEdz19EtnQYlyGui5c5fBm5X8qVnqxvPBErqsIC2hRvk0n6GwTL03+vWQKj8p PIAehf9EN+du1nIjNctaexhPb7VQ1x0cOFROLAFbKkCrznaAZDfyesBPcYzDY/D2ynh/40ui2hDL ZlxDGozzAtCctNDGxOMRpcPPIc4mP6zdTfYeUKMRlHhUT5WGCjzMVBrquE2LaceiF8ijOgqot/zk gHWxweuLG7B5ALErC430AoLSLpYS9jFw6NfHkZZpPapSV1mAUMBMg6FiQGtnUnY+Fo//i9Iq0gvy 5jzuNouA1EjIIcCVVWBM1Eqm1sASmhEFxnlLHz0CfXSrvbaugZx15hwJHhTQpDu3GUj0SQVG5HmT VuOlPEhqOJ7iS4KAyCr4JChy0CJCn7VfqHkPi9TjdoPouJNQ0UVkbnMURtUdYI+UJL0AI7D943oM zeeIsBAW0HpUpkZ2xfUzffCo+gRByO15m6HQwUZQQ7KHBim8m+otMXZihXuE+4DOGqi/e/hg/aC9 hvrMCxstJCg+zOiqMizq3bN7lXGElerM7N7hwrlf1cEvaUbKT4odHVWqaXB51kXvPjj0AxL7HWan gH7kZPgqVBvBe+HhZawO8IP1rlFDSHMk6Edz6MdGW5qBMWyW8XhAj77aA/JTSTSUc8T5CCNrSDSX Mk2XsabkaOFG30hTY0qGOB9xoZqqDIJZSalTy6iXExSSgYGtduYE+fJo1B/t1HygMpope6EdhS+J OIqTqA+6ppL3OHTKpk1DGtJDM56P0B+e4MvH8LxzLzc9GzGiGgir4QKfc01HWA2Sb1hFq2ta9jGi WjWwawQL2cAAH9lHbtBTRYuCsl7wyBVCBEEtVlR52opY0gPfEQA9G0WsVcwH81EEDerPsnF6DiW+ YtTu8EufuS6EJufldYwossaGBuTcyoxZYDSh8mkkx+Y9zmtNUBhZk15Wl2RNGX1yggaQwwMCnyS+ wG2HhVgiI2t38pTd+Vhf+I4/mMBnxvv2QYE10QXE/54jDY9HEh839yA6nVfEBXqOKLLuLDPSM4UH UZ6+zUorjkGDHZJtsyiynuxJ10ywcM0XLDzOMlkADpH5chLkhGIhCHg4B7DUstgVgsKikoEv+UsF pSA2x9AMGA2IVU0kBsMLCM//q2emDuSZnfpMURRbo9KxwSS09Exiw5Mts3LZU2n6SRTF1ovuDLkS Vs3BTqKTGozNklNFh+AcddJh5OUEH24Slq1Wnexdg13Gy34AUGVDsl22aYwNvv3E4xFExs9q1tzo L3Lz1xGF1vAYOkvN9CkVZFvysarLC0JqxCYoglNXYvJr69kHW0OD6E6YlqHt2k7SaZ5ggC8Cp1LL FRkaBHqOUOujcgmR76rBy2grsUl9Eu2BxyNah08QNxRqjO05hqJSdWPHbBmH/Nz2BAgTCduX0zqw M2yCosh6km9fq6w/K3/dzGNonNNQUjFg04gJBtlv3GDoIc7HGhWc84zviCIiMhOxM21w/l0d4q2s tfH4vyQbaI9el3oJNkFhXA21vJpMhmAXwa102e9kW2wAxacJiuNqlj5GHj54474C0MLr46yknNKk NAhLjFCpPJ+GQEAH9dYSCuNq3mSDsigDnOkf9vdOCHju/8rw6ATWCx8QpPjFgWhEFoPY9tBcRxhX U+cMbBSBGyj2wri6S6dgM4HF/YIayAir1Txczt/YfPBjaFY4o6HYcFLVBAlG0jpYkoU8aaH9n+r5 W4o6IUmxic9hKvo4r/h3wflWmw7IdjwfVUH61xShC9ZL9mrsDMvVg11FxDn/QUmtLO9Mz8aoGlO0 Nnv3nZ/zl1IeMWs2eLm6ADWaaYi6UGLktXdWFlgs9PxGOmVINLp6zBD+UWSCRv4LB/1V/cQXWaYe rX1mBKie7buOtjpuilsmmlFQTbOKMnMzcANKnNa6H7kYuGEVAkFOPDTDcrX4ZZOQfA63dX8i3tyV v45xTnS6MZH4OBAXEy1nxVh66MwoppZK7lZHebsuFsDa53Tjxqm9fGYYUvdvtOfAepSuMScoiqhB a6DlrQ6i1vo9iGi6I2MYtKglyxRzFHnXC07OwZlBEKsiLx3MIMrUEB4DsOakWgY1AYzoSkGCGZer WSVa9Q46hqCf2sSdWhWPRwS8X2BGbJ6urJBTFMXUCKJOeJsN3FAIEjDJhit7hkVkUxTF1CpTl9K7 D56YnY11onMSX5Dbl0YG3uTNVFlmvFrC5PfgO6JjKIk0VXxQlWhCE4Lh0Mm88HhE6/jWjmlQRik3 t59RSA1L0N2KT9CgSL+SjjSmYxuoxcoJCimKChRX3z54y6PDwgPtelowQViEiqYg5nTiMWjGaKj8 ZS8biVowYEySgE8uAZ8hfM2rrJ2/GI9HbcX5dU5TGYWGdZqhuFo9qdjgJM519YdWu/pD9HDnVTYj 9AfwzlScrD7YDMGLhOaexMOiosZyLSwtf4A//1nlod/RhnFGETVOLrTLVvJBSwgFCa4nEGzweBQw jq8JQm+l5xsPzQj8gfMVcYiV8+nWYuUhKgCrnF/9rp9RsXoJHiOIAwevoVG6s7CteFZW6csdUNCZ hkjSvto6g8qLM4qooR+EUDoPDXI/L6lizdKVK+MiC8EfzalT5FdjItvNyWbMT5RypzeENuHnqp9t t89tNRs6Zob6eYXCTJt9IQ7eMmvn8JyoXYK3fb6uUbBhsIxBaYFdnmL+1rv+wQyaiULUGpSTrZWq hE7W5uPBBF0BK5aGICEP/2qboAj8IYor3QUkbTquHgFleXVK92T0xBlF1HRbQges++BbDHS7RV8j 6O0sogQGAFKsX0HhhobeqlQTcj6jiLrRonpUntYc1JmGHhrxP6g04vEIP+Q5B9uu+FHaM0NRPI3j Asyg4SA9L55tSr2IwJkRv2iGQs0PJmWN2n0avPKRATamhRAYCvDQlTQuMAjIMQZV9IQVXkXv+geR fNLM2QZnvnRJYoKAgseDYLF7efESO8atwK4oms6sUVcHWDV66HAJga9ihxC1ojlBKwJ/DNJ9yiQB hoMHiw2gbpguAT/Ezs2UUONZNAA45P0Ei9veNdIiIHXK1HVcvRlPdamdQhwCj0f0xBtNqypJOI0f 0ysKpztTMurecYaYkQuRL0sKVvHpv8IZCsPpruNHQeOXBexZPudf3yqedVRxWLkfg9IL0MdOlxsE KUB+R1T4YJQ4mlDncHCgDtVGe5mJPdpOeD7CoH1vMhjdnGXoweIK5adPHGoAS4H0HoqrmtWEfwCZ ohkK4R90nKgmQDQY6jZVPtCrXxLWKROqH8prscnAvp77FmAJ78B3RLUz9RLr9kGnEGCwnKsKDvAK w+n5XaFmjNqHB4sr5CdCgpomHlpC6W6yuSw+ItHe8DErBFMzjM5iCOX+SDHCcnSiyM5NdkK8TuvX CTWSlpJdYu4ivPWuf/ETkzZZejZZAbVbPddd8fi/QHrcY4WmSpfBuaJw+sShWDjT9d3ZaVM/MVvc WNB7sWBxxfxE5mK5Nh9shhBJb1Q7eNWfG75SzqKhyHj2HNrS9Rq/bjbuVwT+oI4nWJpiKlIZhG3p szBZzySpY8UF6l8KaITC9uzx9IriafyMa++mRGwJ+y8AEWtZVj6jfBWmKFT86FLtSt0H79xnGAbw pC4w/0ZvmqWhs7kmFKQzapLuOkUY2orgH2va/io+KOOYkLvR1ukDj/9L6J2EWKhg7eaVoRUF1Avo D1P8wIZjTUcKaKPp0EZTepmwzgoDalqZ5spSDwefIZRdKT4NVkeBkhULH7B7GqjuZJAhrTQE+g1f NpghthHnpmg3DL+MGQRBYZZBIJCBxyMBq1/cKa2hu82iiBrth3MDI3Bj7awXb9ufUDITCHKy1jJ9 hv6GU0tQWYPzXkAf7NtSDgh7oYwNN5SCbQZiULrgjzn0rn9APU96rHhxWbxYTnQ6VKNu5x/xeKRn cfWrtvSrKQp7pyjkKHae0CYztKE/7mnrrDdtXY6GXX9Wqc8SVLTTv7SHzrSctGBLNmbXBAYHOseM oAY7Ktn6rQVWeXzZAJMvSANE/2wwgEyFqjy+7+R8eDzo2l/0h4qRCFX3TVxXWKSGlXmXEj79ui8o H3LYfp3NZR3pFQbVrKvuzSWy99XNPVNUC1h41GLEPpB9ECKun4FsLlP01EKiMfSyf/SC0BibPmiK YGrNCiEgt3g8WkZ+Em2lKMS+2wztKKoGlHEt1/fYuV3FU3iL2AxVCKLxwt+hkh57HLkJQtSexKym eTYvlRchYIW6CL3ewZfooDCA9ezGpaxR7yiqlncS9WxssALjzG3pcBl8PGK+XEi18lQg/uot4+/3 sBrPEUE0Xd8c+YBbBWefokmbL+qbh7IflGdKAp9zcDAjWqszFYrjn0DrJBv48TJq4LOgEJ5v2x5m 53rZoD5U6elWyTMbrokJcvae4syvPfB4dFj/0v2ANmq9RNcd634s8hWsgLbkwSXT6ewnUcMvrhmK w+rrCfjbGhCRw0hTzAWsmk3mwqDLNi1ebn2osNmP7wgmaGYJfgwflLvOAqNJfN35dDwecRS/qR2Z SIvbcd0hR3EUZht+VBOzK1z+uNrL7Z5DOwR+pPYLqPeIEgxgOJEGVba5Z6a1C3S9UfBKHjSqFcTr bEdxtQtWjuqDszi7hHba+WI8/q8arOeuX/yyHUOqmd2nW8ZPzWaopeYaaHW4J+COOYpC5JMIzMGr 1ABnDXosYKrOLuM5NMlcAhaCeohWQUObBd8RJR5d2u/NB1tCmUXixFofHv8XqoH7aVBR1HGMO5TR O9N6JqjbErripxl/iIsPnXc3aeodwj6Ezes5+eD1oZOagoDNgKgsqKGSHQQGchs4etNNO6CxyXcN AiJSO0aZd7D60EpN4kMnDMTjEfzsu88BV9hOSo4mKFSmLqji72nkoO4NMmBU6lVAo4AEJyhU0ZNz Wyf6LPeH5QocAwAATMxAjMfNNZTIVDQdldurH9273vUPkitEKpsPxlqAsY4YUa3g8X8VqYuqbVi1 8+6xUPcD4jYoSWuGcjd/RERDxeFnFf87GXg7xH2YdozwMeV620HxvaD2TfrUTrj9adGN9KZyQum/ OWVjkvSukUQ+eR1d/LJ++WVnpxRGqOdjNh4PKmgzf2eudLjKt/yxo5B6YZPBNUwzVMZy8aGRivOn xqSHUufn/FWELbLgKo8F14m2ThQ9lNyDtNbKkAE1itEoG0G4xrFVe+pd/7TqoImABoMOpSpT6bPH +HgkabG+NhmSlj6eJRRWqSEdU/ayJfRlZgJupC0h6HLZJotQH9DmxMzM6oNfZCePgTqMTqEEO64h jiIbrSctu6yFQj0ffEcULqrNyoiIg4GpAdVjP7qVhsf/pRFHACP4o+l2Ww1i8zpDlPoo1XAxUIc2 0Ad3ijy3RzVMPj4oChfFXk3TB8s5GixeCFeg53algy1sxSnCmOSbcsFDa9vrBjzOrKx+aAA4Whsm nTiLWccJ3CY/IDisW/pKO1iyKenadaSoVg3pg3NIkt0BdQIInbqDe1XSj660V0ByisX0huan+eAJ /sSthaV4jqi55pw0VYIgPyynNvgr4yrsMKrGt/yhcn6iBqJkOCRlEKiPE0c+WuPzQe7Rf8mcI2zd 41lMoe8LBPXOTy/UB5L94pW0uqrViahFJSH4FEKqqYZ2/ofigyewFTbAnYsppXMnyIYJRrc/4On9 ZCgIXbdNf90I30DZysGODgMjo9DNPtYWT3MsfkAQGmW/2FgIyVQMyXeawqr1ppek1arPpNmEoYhn GX9BIJJ8mkLrRLWdqT+owaZp9FGhFTfoDQj1AxxLdaFIPzvEcgFu9fCxbHvdP1gepF/7YCVHiB5U T/TxfFS5/jaX3Ai2HudN7YD3qCxTQEb1RQgTOVvoC9W4G5Ey3HJR6VqiVpme2hp8Mc124itiYkCY OvkHfReIIeL2y0zhVDCikCm/JbKmIAffhCyLWe2V83WwrMQXbjgvpBALcjtEPOwzkMtzXlJVTmH5 usAoh1pdmKc0ph1NnvpPlh5L9xM8VqwWrYq5SH5EicbJRihESC+hsfAN2HQVBQ4IYfzkWuctPqZt rxtl/Nx0k55mHLSaTpbcs4xK0+bzAdP80bYile9ciGSO+DSFiiDnFVE4MgAoW9NWoU3djW7RHvZp igJuYfUB+PTBs/7WQVccJOhhDRSqfSasf5Z/Mh3GdDZBxlrfEiVtSzpy2QdNE8SYBSQ+tx+fj5jC v6R3yI4o17grhbIgTNv6Fs8T8B6/50BvN55ny9frJMV2MF2NxOqDZgnayxDKqZJJI6SPmw594Al9 GeroK2JC4Km3jYiekkmTklzpLv9ac1P7BXcHnw+CgV8xE8DHPbVnKUVhN1gEWzU8Jf+XOFSzE4ca jKTcIi/SBqni438Nzj+DIMheyUrZJ+HJ1naEe9e5YqQBvL5Mk1MoX82EuDX2ZzlYia31Lr7UuWz4 fLDhHssT8mFPdjAh7u6zFMXeTE56q8WLSNOlP1GctwS3XiF9AXXei3xVFcjpg/f3zxmJ4IDiBWiO UF8WYjDZ8MQ4kqjxXZhT80uCKpJ88gqJsRwsYgbXUn01xpWhMUz7rkSC3NXqNfXIodsilZxyNVnd tZ6K/yiWtbCO5D5wgd0iQBAkN8jJi8OlMkKUdtEq73wbyINsU44kWZgfsJPcjZIW0zmwW8TZu6QO Mn3Q35Vh8aQ6wJh8/l+HEp4DkrC3W9DOoeEikKe7iPZBHtot+rc8XauI+E2bpAgpYvrUwoTWBxM6 9olU1xIRbSFulBoNePo8s3g2XEBfsreN2Iw8jaCeboMAaxV6U0Ren2yLzwexd/aC5Lwr6UqC5dhz MTt6X7WAnl2vqCO9Ny2VjQXK+y00XVSTtlbW/TnYJBXW27gFoG82ciPDYU9EfoBs94cmw8Iiv+Sv 5tGsrAZQXpLV13NWFbnB9ZNh8fkg273mHrzJaJX3JCiB7eL524CGqNvIi0CtbZukk1PYJPV8RZ1y 6LsIKU/oXfU7aJJQqJ2QR+B2Q5NwVaH8AfQCXI6TZFIYw9/2Dx2DeSLP7YMgNSfqkEDPuRYqn4+2 27fwFfGBbJHYJIX6e2DsVbqDsmiyXRZ9DSnRYCVtwga13UJWIxFZmAcfHD+7z8YgBQdnUkqdbPVz biNCwb1xIpBrASvhohyaL+Jog2FINoPlraXEu1gI2gQDz9B8sdwggG+HWsSX51ngvaj22BaLjEsp GRTyxEYj+X47uV31pRSG3ULyg1hmgx9KcHGvVcW3k5PkyrB7AhXWziKmHatjjxQEhO6LBqlh+USD YURHkV3DmfbF5yPN3V9dEigClIuBzKH94qZ+EfEIVDNgg0M5nMwFqfiAXrwy3dB/kZyic2nN4YMv pRMjrs0G+3mhc2xLdTdjkjpoFoUt0yWqvqpLoQOjKROzmDtMwgDxJELnpNykbD4fHd1epBQ1rUMV 9NYDAgtGlE24gJJz07R+FmtwfXkNbrqTRQ48GNELkNlQSz5c9MiJHAf0TtFvg1oIcX6VxCI0JJnc WRBQq71ttJQIyAYx3wYVcsc+F6pUU+0lg6XUv5WwGgRs8nomKax1d6iAS94Sh7jsPgA4lhuCeCES xkj6oEiCRo7UMkB7lPYhRwOjwU0QEjgiU/gRMBYnuu8FBngOQkrTXvcvMfndRFBrTlCjV6jAenNl Pv8v6VRV7haAx08UELrGNCQkQmBD94EQHSJGcU1Z0WRlR/bn2ItRHoNNHmjteqBBAxQCf4WhEsCj aglQ2JVqDYD3e99tJXvbqK/Eni0N9TQYtr9C2IBbaDY+H6Qm5VujOEvZ/Uo75tCPET0zlAKc/+By Kyh6VOek10nwOrLc0JBRpPTEM0eDw0gKpMLQjIbKypkS3oUwPQWg7UQHWMiWwAnPlkNHRlFlUeLR UJTlnn9IZGvA1j3z+f9Gm48Kf+2JlUJPRrBtdpFF0yYcwAsmsAl0KWd4Htskxfhs2XfX6oNT1bjN ujqUFZIEPOVPsHTCJEiGUSrcqGqyqI5NGSmBCYaID4YCGGmKmX5+bz4fFCnrd5ES1J72iBvk2JUR bnFNGvuE16ZlFW/qV/CC6xXmLdpvgS2jbLiQcBDLfmVidHQDsrVJeUR8kHkHZmiATLR0xUNS8S3X ZK8bJXC8/yl8fU7ps3NFVGxMhwiO3GvzAyLAjVcppbFepBnk0xQDSrDNJPIEiK13A6BH0K1G2Vrp 06cpBmrr1Ja6Uc0P1h9WswmVmXpmAW3LyYo3gsiNYhrdRe8Vt+11A0CAFwO6hmbwIvCzGC31kjuf jyg13zuObt4QuPBZCr1kCk3RzN1ro3OmwBvA/OSwmzbdzjuwZ7ySqqJncfBoCe2f2QhMmuvEJiBC o13JDlxBdT7fjvdc9rYRaoJgbcNsoyDFRQs4Z9ImPx88+QFRX+BWvItFAl8S8zl0aAQmw3z/BC0Z 3tStY91pKsud4UOLxkW5vjzpSpTndSXqg3jbshV5w34H5/wJCXFRMeejtkd5BB9zaNHIcGmwm2OD lQMKTEvwd/fa+HzEHPlufANr0h7pnhyaNIIJutsy0WJYcTp4YlBMWOJGQPPYJIWKfYPlgCbuSHss QU5wCl20zXLAhHzDTgrO1w+x6JRkkboRzHX1thF8QvC2PX3Q1Q0dculenUOUz0eBt284LRqWXm60 FPo0DvIg+XWsmdCgRStpugthQx3acrjAqPECKKRhUPoFtg8oYle6pwMfkGEhyxwu09T0/NWUroDk zvl2m6QQY9JFgWzDBwXe547zHG5PPh+Bkn/14XAqQRrJJykUwm5seA+bJHnRC2TSsye6k0VuTVJo LiM67aBUOId7Ks2TuxFYC5VehMi0SassAvAWviHlqsveNrjhlvpKVNDgIJZNP2m5tL43j+5YC9tD Ssn2ob0MY0SfpSjwRggJfInPUtpe7YZMmiNNIApvs/S3CXrK5NRyuNHS2sAqSBwCrXwLvBvVM4q1 vqda37Xb6wZrSelJ0g2XiqNxTrRUGcU2KIbn0LLx4ZEw98dv13nBappC00Yo7u0mA+J+PVKFAXFq Ni5Xr3fHro0yiU9ySE23IHD+GLhETDG21vmhKZsN1btOm0IYvj6d725vG53dKpeM7IOhumCsIZUR 1LsD38az4671J5Ep4BA+Zk45NG5EuAhJPesvyS9PnZNs9SZ0dPedpCjyVilXpD4NtpbQD4CgLusB 56prgqVMyLxWALkoNOL87G5vG5G2MDuAtfug0tJJBrc8PlrWSwYgin2DJdEEudnu4R27Nw4iurJb yPZ2LWTTRXGn7CKQObRvpJNKg/WaDx5TnttrKgwA0B2CkI2m8YibgfnKNBMwdBfhbzk0cJy6lDaz uAUnetWDaj8fnSVy2DM/IJqn+j1PxFaPJ6qMPRzZ+F7mS4jOs8tnrXHls3qtd55iE8fujSMbfJ7g PzOAkISV04k6Nrk5CcioCa2BDDyPme1a4zuwcQQXmayJzaR3oS0nff0GwWluGVTm+AFRuns73zzI ptWUfZ5iJ0fsum3M4/PbTyfbzmYMXNxRy6twgZVjMkRuEmaQg6dyK585XJTrP2FSrYg8qF5zfkqK WIJC56j3vOx1Iy8+WqXupQH0We2UdGIDiWaey4If8E9CKSNLEHeBTPJ5isJvtNNPmrCVwcGuYnvK S0afbMJA7LB5ivRHTGesj+SDnU7lTPLZd2Qp5fMk0zqkvAl8SRTGgKl1Ek7q9rp/3HTzTHHywYAU 0KMigWKjehLYOuJi/0Z3w/cSnu13lqL4G0oXEAZ5qFxXgHVsl6gdY9xZiuAmAuUm9to0ONzk3HPn 6Kr0eYKHWCGrFLceqmCTul/es1zd3jZqxxHZDYl4G6xauZri73NKDT4fqWx8C9lAW6elJ5MLnB2x 5TalD61amcUrlScfCRXklUIByCYpRpvQ9wJhsA02SenkDee2G6rpAmqMnK9C7PXn/BINq/YSS2lp xW8Jtlyio9oW6mQb3K5U0MWqXJxX5/PBjnu8HbvMswoYXc9VF8qRTMxOoeMVvTBLuqgcsDNUr4Tf rEpxob/jJAYeTtY++BHOOJKEdrCTM6TpueUKIcwbZjLpFix7s9cNIgIsIxx9wwdDMp+fqarovxaf D4LL/EuRJJPqvp4TPER7l8e2kJokV6Qe+RzWkDRJHLwUejx2qj3Pte9g4CXcb1C0Y7cJ7Ge25Gqn i2tDlWnNi15KfdnrRhzTKXm/4YOW0xhVUE4kEXw+CAie+gmeA+05X9XjHNo8Au6K8ol7Opft5K6z kM23p9V5ISeBzyMSQ/rRdLZ3OVgP/Mz7CWo2Qkg4xaVR5ZkJNneB/G+r1+1gkO6eA59HJRrAmpg9 zejW3QXSnroZAgoERo/f4FOBApBSlnrzudDpccr+aTerxa1LPsmOsSDmxKOm0Opxsa8LZLcPlqhQ x7l2NsHXmbQFYUEQmMD5QrOP3QOlc0NBU+D1iNiQ5Apwam2w0gBKDvy+E7Py+eCSa99AZgjRtfxA vEK3R+Tpm6aHhjkZjhRou1yH+XaLTIHdo4WWJ0OZywcHMu9+bhMxKSeKTZWJylJFAKCcC8wpWZW4 0O5RthBnp5FryiIaz5cN0XJmwCOVxQ+IuAN+yzmvECCvG4EHlo+oSeB0KM7IbXl4PkfFMGO+l5V8 msIIfHARDXmJjcdL7KxEuLBvThOAFKlRLAlqEZS6y73dppwCpsDz0dpNKFDdQQVLxK4yOsrAL4Wm j/kyLOzQhD3bjQUC10f4rcESgpV5itg26rcsQnO6B0wzuYht/sP2kWnKFlNp37CynTC+QkySkvUV OiXspKDRd35HKFShe+PCf23b60bhNyMmNK9sUJ1pn5iOCcuZ7crn/8VDEbd7S2nYZymkVS5AvEWr HPefFrvgdd6wMpucSw6sH03xBvfw9MEjpo2EDhEGJAIqXA9pY1yJjUcwn79hJ9te9y9pMgYQNiiu LMjIBLdJlc8Hdd2r7K92AHS3er/s0xz4P6LnSIHW7g4RVGOUchLrLuboM4qvppBeuSVFWpMP3uFN Z89ts2NZ4DKj+NsSyiUL262k20sByMleNzq/GVkm2T6n5vF3bmuaLVTV8xGG6eZyVQCdghLFunlK 6AOJJvduqTlgYJbmnbnZvCCX8OPbREXYk5alcZe2D342zU5KRTIVrrUzGyho0DS0vBaJul86ZTlw gnSm7kSXygbdc3B0056ZaF8GVpDfAB2lvPR390n6vEZMDXsVAkGlNOteFuIpp5DM27uX0lA6c/Qa LzWXk6z1GbyTAixCx0UNfsX51YaUhBJQHykTI/VwmhAvvUZLTQK8KMKVO1hZtyTa252jeOHpl9k5 L7gNCVcUK4GC2AsdjXmxRrMzmN8OV5iq9cEJzIvx6q7B9RonNRzb5p3VffD1k6DUB0Ap7dcG7HrR jMPZ09AFyWiOmgWCgeFfp+cEk5TiAFXKB00P02fp+y88/TY9iB+WTw+mYhQH5mJ2XkOkBpE7+oRv baWNI+PHrHrTUu37RLLdfVZfAySsHXKYmxTcOFisDRzUOfqLqdlCsYx4U8QCBQFS2VfcnzH/5zU8 ajDqpfpfpfMaB91oJ7k1g8Nzy31eYyNMrZW6i1EpkK2RforJeQ2MuLFokGmWxTgmHf1O8TcjUiAc ZWD0GhY1ZTRgdlObjIMtHWRsQOSSnXv+pkFzrTY342RA5ctFLHcePa9BUbveGSrhE1td1OxGzkw+ 5ImO8uc1Jmoq1n0tHRBaavXZeY2IGriuOGxy2zY7vT043Hq7k5CKlsFqtLFkKCbucvriLp+fEypt uM0B5jzbjOVISgAhMUHXrPvG2luvGpw7kojutflgRTY0wliF7Seyfo2F8HTzc2fpiDpJXfeN9RoJ NRNjV+BFEg4FnbR2RnHpv4ZOgLxDg9mZbslTfLC1k/YackODIR3KjGq3FXi0MDvuD1aCjpqf1ygI PyTVbToQwjbophnQd5eBGjwNo7VTfXYIYzo7C+LONjuv8U+TKMru3RVcejYxZBw7w1tIJy63JP81 +sHsNCJIUMy1wdYOEClnQrIIShArYcm/45bqCw4TUNL27FVuB9HWoukXSn4YqH2rOtjO0BfH1jrb 7vMa+uDYsk6k/XCNlECfnteoB6fyANTFxaDNUFCLJ1VPNqDLaOq10ancqW8zpX88n17tSbymNE+A RkpVFZBWIPY32WKu+1oXT5K5X0Oe5sZGhVRuDVo8ubDhygZH/rwGPLw3+vexjIow2nuanddyY5O5 Aijutnjk8yJnZ7WRcPDMepXagmM5EfCH7pYPTiQZfaHcMYXZ3gMNtYEXSz8L9eZC/WyDtDUKtUWz Q1YbNpUPahYlONxxa52D7fNaaGwqO3wFPJT/kBoApue1zNisAUL9PQlszeL4o5NHXVEJiuJSVCI6 l8nYylWZRf3KLE7W3RmvwUnkrGjiWk8MfZbTicknTAgftDYdjV5LjPgJFhOKzANoZZfXwtGlzcy6 0GuB8detJXwWBPzXDQejaBltnd0InhcxYg2vdrCVLI4N5ASM9xfMD1Dw0Cto1QdbPrnDIove31Dc OOvQwOznEoLPqreHpFrbxFKM1s+gbksa1QfVYKsT3c+eBYM02l7r170Oei//QzMURcxIjiARabK+ idVegSDWdGxWElaEBJtoBREzCpSRDx73TPIHB1fQONeh6KOUsF9KKAiCqMrhh142OJ4Z8mSEgDZY Co/smfTRXPl4cDzv7+MZx0enH5dm6D1qRmOIxuDm97AhU+lraFfnjubkoc87l61JCw9TI8undIUQ z1wsVIJoRYM6Ab1cTkaA2kZDBNL2DX2Wkvcobu6ynCsqCBWXR0gFET4p6g38o/fAWQYAT/AD+FJ3 yBOaB9ER3Zl0bcNAFroMcAn1fKOfMfNt6gdndHcF/+zDDZ2x0aC5jiUECg9hj4M5KZwqqHd3b7Ck l33fZIhAmY5WH5R2QUmAKDBITX/eaWztkYYulIamS8acfki/s9i4OXAMleQC9dtqZpliwr6EQOuw oms0Q9xkQ75z4/GdO/EKdNh4j4PzVWiugswLRDTUBahESGhfhq4d3zU6hagunsU7AuasquYKVDO/ ET7tn3cKm4VQzybLBByLE8kpikJogH7OuWp4vo2mj0+RNEg5Re2Csd5jaAhsMP9qLfvghQ2QMhtv soxwaBbVZiEqX3HbLuZfKrjSreedwIadTGhozfUOQhmtImLwmelSPu/8tSa7guegzkCSjpnvSR2H 0Z1tDTupK29blRFndon6CUSwahtRHK3+9Mkdtg8eCoFBOOFfAEgIhX/IcMP6aQ06ZISxpq+DOoqj Me8Ez95Bawg6HV0iEHl/3rlr7XF3tHMIvlE4+GyCokAa/ksb5FSr2hM8yKp9ormQdfC7lw+jSFoT BKSHD37Zp0ndZBJpNgRrgYc4V0POMjWD77mVDyHco5d9nyFJr1dSszRYZ2POKTlVWDq/E9fsurU1 xHsLtmq73IPoPZrGGgLZKHtjIz/svtK7m63UPZxHE4XTqv2g3emDl4DO+sC5SgNM/Rep2qLCgCor GZBmJaK2xns4jYhPIDUHOrhGPfqWJnsMEZsonl57fKWqFLBLI/sERfE0dP0AJLc11InTVXlVfkbE hM67hsKAemhm2vLBJmicm6xQJBs1sgGsKTcZlTFQ5WSFyCv1U+8aTRCX0JaDxDYxDcAkAHJXirU+ 74S1JkCp5qPxKjsXwhx+Cr3T1Zo0YHfuRsM+/1T8nC57XdFjoFdUQ4wiaoOnb+pplH3logb+G+R3 k4SQABaUMR9K9CA3MVezAjQtwd/JahZxYTHK1qgOj4bSSXeSpDFK/rxz1ZqgI5ZyMOeA6vLYHi++ c9V4eEErqhjHwWvQVIho09ti8Lu2GXqPqIGVZDiUWCvjYL1D5LsbSgrsip1dX9k/ww39MxMwOASo 2DGUh142SMpozbcyi5ALEgTFktaZO8EyHVoj71Q1HPTpJh34l9EgOmv5rqKoEj2popFdOru04qZP XaRHzVGyLsY7U81qfajQ9+2Dw/ZgDHcSY8ppndMqs+R4vqYhbwX2A0r+jkijE8Q7Ua0JnAgJtEGX UEJ2hs7qc20zgERJ5fPOU2vSuP9KzArrVB4QvdPUsNHGZsK67boXcl/C8Zc0k7Z3V99ZakzwmJE1 YT7ag/nYZw9MYOqs8kF5Viyj88Ck8BGdVwwaQ6GRd5IavsRcbHjfc1BUTaoD846U+XiU3E+Pqinr U3Ae1TtFUVi9WfygTwDN59rwkr1RjBqLH66d/U5Ra25Jgw6zD15ZhJN8T7mqGVY3XL5gLA/YBfvB fd26a6Gk5jtFje0wMmZGnz5wowGXnngy1ZHm552g1h7EdZF32NncnVh/zVAUVUPEfJu8KGZojKsO JeQnFxEa95qhqDKNDgj4QzySyuMJjm4RMB3orpztfI79Ppjdo6ID7gONANnTxKWEguHnnZ3G0J10 mUSp+u3tbUCts2wDAJX8vJPTmvwP7bjmc+oXePb6Tk7jUUS1Wofup5Z8Ee2xXfVoZq9Ov3PTGDmY sm/2waZobIA7lyTqUS6D4tRoRAwtoBwzHr1tsaWXfT+Klgx7Wmo+2BSdDThk6Zz2552ahr/15mYE K0x2+rcHRe/UNE4RjiLClcVuqFejnqpdWkXDifzvzDT8wCTInPWTfLCgCGq+HZL0NDoYqVIism1W IPC7gg7quVkZetdghojVn4nKfmMzU2aNCKhSVsFwh3/eiWlYRXl/HdadHed+ZyisUm+o+FMOTDWi cqlE7TrQAZZhM/QeV0NahLlZE5qxNUfCzLNeUOTaRHicv2Nv803nsqF6bfYi2iB0+J2VZiUMJGUM jvKojqmCx7iEHcFKeyelNbGmvjIPVrvTujd+FFjTOH3kK+Qz7jarLIuKR9Smn9XvgTVKIJOIcoKH OdgMQZSo6rfKVPkVxAH8a5zQ519fN26ctAV/J6Q9u6w+gyEXwExhmwP2Ye98NFxL4zu/b4QGLD+H 3tlomKCFNlCz4iKqHtPBL+VW0UjE4hJ6J6NZrQkzU6YPNkGAeaRKROe549vckx2jk8hSBh2mxc8m 83eN2vOsVANfbIO15zMgykzZR/68U9Eswf46hqQfeDtB71Q0gjvqFYFAnXFfklUnmksnNdmH7NDH 6A5MDfllNlgVDY46Z+ciIkK3+ExVUZrbWf+SRDOsXUiJsXeNZogoM2ScNmiTFVhyK3ktfMXooPYC iEzHMKPV99g7C833GHjR2mN9uVjWSfmHK4oByiPe5zsJDeufxbMmpDQHr6GdK+ec+VkmhmeqhLCC 1DiDyJ9GBzoDLQ69a5CbNdZhEY/boOR1oN9E4G/u/fNOQGPg7/gXHsm4DhiKaYKimLoTSC3bJ8DN R1fNGtr409XE4KloE/QeU2OPIabuuJVssD0GCxro0lNxDb3EzTpA2mwFD377PYSoj/HOPfOsY54E mJE16bRd5zQYVlgCUOP4vFPPftU/mOlSb7XeGQpDahYZmTSyYTaqi9KBL+6AfMJquceiSnWiVcak ArsG22MdbfnKKiIuqjUaKdgU0M+Ad97qBwDGfNNofojCb+TDctAhnfmZ3GFzft4ZZ4yn7xn0IyrV 9wJ6j6dxM2T0yJYvoLK93VqlZEC5B0mzY3qiKnXiEXQi7+WDR0Jne43EfiIoHefAkWANCShQDITI i3c6mHC8k82w2InDhxqGDzZBAJRIWKbXzzvXDCshf68fOs3KaoUTFEXTsKQETN56QV2nNZWxUvOs tRUH4L0zzZpTqpsmqD0TBCAoHAqZtSacSJvkoAGG3mDdGNvXeYuEBL8zzZh2ki28xBZe1ZUe6EzG hv3Cj/geTStM+IIKnfnqUsPgDEXBNMpZ4DQ53KM2h3uUNW8BbXgZ/51mdmeozqJB5ReW8fu5ok5i wXYiRCwby/gDlAOgiqA+c/P6zn7iO8vsQs3SYtOM694aOLA35ZaDXOLnnWXGK9uniDThtaDf73n9 O8WM3QNc9MWQ9qiSeqdjdjeVhz665RvvDDMmlDiEmkTE2yMiPikd1kmdLOcfT8JBsd4BXj4QsD/0 fHRN45L1sn+d01BoQS4F2Krhm6G3ytmCyMnnnWD2O23Fv3v+vp5uU/qdXsaJZcLRzQXTJDEwRbn0 4s2g6mzFd3ZZk5UJutFSVElPM+gEnQ2NApobQDFcxmoVv80ElUOyBlYdIrvsnVxmSx4887V90Em0 d6Wm4DmJ1v68c8v4jvXXTQaJieEn0Tu1DF+6B9MM+adu9iDFU6RqldSwWFYtRCzG8TTrZ5t6bhws r8/nt17wYCTsbJ3QuhCUhwL+RAxDppS7glOx/51ZRjjvlAjW0jCtVDg2hTbNbPadWNYeUyOdRMQi dBqYaopC7AcsMirLk2x2FHZXKxYRHEsLu0ETAhgVJcZ3WlmTPjzLr9MHb9yD1jwRbyFrrWepk69P sZkJfDjgZx4ONXvXv677lFnL5yDoB9tv+MdWznX/TirDUXDh5IWVIFdO9CkKQ+rJVuuQVCh075zt OjoN1Sg8B+k9raKoUN10jU/pzs0v3Tn43eQiCXpUnFqVpNo5nIAePfsMe8yUjNlTfCeVteuCCYdo G1QdAgsym4AzH49KH+UrJAKaHW73PkPvMTWEwhr5wNIGXRAv9hkqPJ42VfoRv3ARxTE162c1NR/s sM4TVOnFBuIJIjKgIDiJ0DGbk9oV9f6gg5SEdz4Z8asUUYFboA1aRSfUKnJXO+fn551OxlP3ntXs CKF5IqcVTlEUVENXaTdZzbF+1pdjG1TVJ+cOtVqBp8M6NauwPbN1xsHTjpHB5GPiilJlcX16QO6Y vdJKQJnroinGO5mMmSvjxUFtEA7K7QfyfAY65w74vHPJ2Am4hzX22eJabPcoigrVmNmTFjhGGKVk oybk/NASa7Ur/51KdrsdjWY0GjxuXGcrE8dG83SVM8nPR7ZKq7Rx3cOwWT7vRDLOEPuJTTB891KF 9lVq2mZ9j887j8zg0F+5PUrjbXrm8U4ia2ZnVFKu1jFLPkHo4GzvmG0nkb1zyL5SV3YVOdgaQhMu EesBCbUJHU8e5/iiCg4F5067rPu7RhgrzkwVWrgaNfSc8BU2iUy3Ch8PbrOav+57OqfnC214J485 EvYGjRtyO14dIrDdjDCKtRTfuWPcZHRoXmQqDL2vNhlYChsX45mgc9nUWdV1TCwwTjDt7jFEpOc7 dcwaV23S8cAG5/4M05ptuMyiqDo/qRllMvFKJP9phsKwetOhr7jCXBnZcvsmqity+5GHVT9aiKVm K5EmXDZ4WH0O/zLF/IWRRM4EYyW6TbO7Q4J0U/0s6V2D614gaimocVB5KJ9oZJIABE2wFoE/vjgu olLhR3sO6jCqBjxmEmqCGRrwUDOWCx1YWEDr3dtlLSpSS/OSZF4bblQNg8HE6gcIxpMoLKA/Ml4S CKJ0sfh2UEdRNZC6aLZSgq/mK8EHhZqsRVQGHg8692V+5/dpOemXRJcoqob9PGU7NUNs+mgNlX1d Huu4TJf3qFqGWmd7NZ7THLzRgX3VsnbZogcgW4+Vvvco51xsg6nx95CAyFO9CIRWLgjtfAMXOePr iscjtHD53mWAcah6rhmKguqxJL+Rf1yHwMUaErVs5H2BUpxmKIJ/DErnDJoWabAK2kRgBRNDmc0O 6vqj5QjJD+A+ib9QYkaiJb4jSDskdZmoop7d8TdDk0aERPDS8Xhw19fvxAwaVYWFTk1QGFJD86NI nZAH9ZUF724UDrJ0stS1R1VqwfQAMfPBsw5e98lY41DHI7ChIcaYg8byT2IGJAq/JAgYaX0FTz8O NKRnxNiKIZTHSVzwfEBFnL9YHVDP/enAWtkkhWzECmjDNAFwCFspZc0QhneqJvwsFVX3KKqeJgYq Jmt/mKwzndATQC46YZ5bQe5YDGcmalkFV9qVabCXDQKivUVIbBqqgK14rvJ2gL0THo/omn4S2QqH x/FdRhEjUdIx3ZF6ELk2KCPyTL/v0a/TDMXgD1UaKWfBwfOOdnbXNigjwtDCOhqZK502WfmqNdGU HN/xV0MRNuAyT1lS+zhLaPdGf15sOTwfXGe3o5i44saZ0dtR7GFMff7nc/U2A3vWvryMtsSQpj5D YQWk83Oi9J4xdUl3uNyp1YiLYcv1JBtUUWsTSXNH2Wynq62DA4/fEdz3Kp+lQl9HtO1pxlcgv8ot +oOrEs9H+8yDai4YpI8N7DmborBcTcl0mcdnaIED8UqVJijhmcUcIj+bojiqZptHHvPSC1N6f37t 2aBQiP7YBGMEs9gSk1f4iUMe9FG3nHrZ6LTmHC16X3JQ8srohEWNPiYej2Bo36DqSQGadpmt72E1 8g46X+IuJKgajgqqEUEqF3sLGKuBA5CndRRWb3ZbCyqHNnhPsUEFhtDgAkuNRburRtTVYDGB+Csz dKz2sn/cZ+gqdR/EoErnbpPExwkq8HiQ3rfLGy9K46Bi5ZlHj0DVct8pTWr7OxE3SdGBPcibSpyi lWwRReAPq4BgsXBgB4In0YKMUto8q3PaUwiiBmSbNC3RrnBUNdIRfEkEQ6N+LEomNiS/z6YhiPrE 49Ei+o4aM0VC8u2b9TCwlkFRtikqom5Sl0E6TaCBgeKsMlqPytUq5Rc5y5VvZ7kC7woAZlCuXiCn DnpcQKaR4mqE8qjU2Akb7hH8A/8zkDE8rbNri0LSaRch6xf2WchUrN6a5o2fSCm+faERRdYTFrNq NrAvpLufCT5LU0rw23KWdFSvnuQI9Sr8R33wHwVRI1VzwBFqNcHVFbLZqFTg0gYeX/SOwpQU3xG1 hcTrSNMHowidhIDX2TnQMh4PIuu+v06iBhn5erGMIwqsKcoEtKJdZ6V6ywMp1m15FONJj7haLfV4 0js4eNy4J00PGTdCmp6t6XNDwuAd2c0JAcYV+MLE4kv+IJKfIGsLjUaKD/+u3JOsZWEziuejevX8 nqJzXPaHRDVCWDWk/0iL4xpaZXihkfNi3ddVjWc2omp15v5CSYiDFIERmWXSLwa9QCHaXC16Atyl gmFfntYiwIYD3xGgPWncmACIwJDNKm0v8BfY8jj/h8ejlkf7Tl/PVxY573KCorAa3kEnpHMingEd iM3vJq+HzqKzzEYUVi/W8bsV0+S1MxhW53FyG4gOoH8PspE2GS4CkIPFobLrHs0QfMdfdOBzVA4f lN8D3T6liTM2Hv+XVAMR6rwc7nU/oqjaxAhMYPD8U/dTqLDNwk1WSjXlsxFF1ZMwvbYVM+4bM56z +ES8rfAUgiR+zqYvBP4LhJnooOUsM1DY8SXBJmP/vkkZvdGPlEL589yVRDqfEL1kPB+tIb/veW9h 2dab4I8orEb4DaK9hdVpL6cISfGHXbPSHOs5orBaMjo1E2NW8/X+Gud+mnOjDV3B5NgqgZxtC8gU fhqSlq1UTcr9CCHV5HVMgCZt0EE9IS2ugOhcZSOCVI/1XaquNBrJLhUzQkT1gNku1SWpqy+cHjlU y5GMkMm3Wv6IENXQx0Sfg/EQB68z9hM/D17mSPuA+GF3DezQivICDjtvmRHqOULBD7TMsISGDwaS OTEDi1Jt94HHg3Do1wThnq7tqsWMEAICyPm5LV0QbgynA9eV0k1dhxk1jSimVt+1p2IDvi+T2lGh xQQtD5gRnxTWjBxoKov1Ox93VKgPZ3zHX8FQHYRZcTAW3vkYoYjOnYnHI2bHL82Pytze07IRRdQQ i0MuZtWPwlzH6EE72RaDTItNUBxRS8NzDR+ctgBR8E3WeEkAbGWa6oCp9wMHovNpqK/pnN5aQmFE 3UiYLijmQ9fixB1Jacc8C54h6jkXFj4gyO4vllHeYNhl415lUUi9oHdaZnajxkJuGUNqkRY3rbSc yjnCWnUWwIxIPQ52DKVWEHOIHzRRKKDoEMx1UPRqaJsuL+dvyeqEVEXC8RflCZDUYa9wigb5xTip z12D54Mpml4Aafx3gW8onnXMsFjd6YMCKMZ/gHSY6UJAhLoCBCQl2jR2fs6fqHxYPdvgmPPUke4t Xmaw+hqSsAQJRqbfOAwMi0Z/jxkiQAohVp3+ute9D7rNg2KtJ0xuDY8HE9S+9xlLBOWC8mcUUlci Ute11YOqlyaoU6yH6AYk+krLZkhVZHJfLYHt8zmpExx0Ggte1KgsJHMO1NAGOGX09rBKLN10ZshU FG2hEjDMQVkZdGnIfzrBaMHj0UH03VRER7o90hYzCqiB6tqDOoc4iBq7c0rKphmhUC3XQPkz5iny IOo5+fAcRFBuVK365BQ7U9oCJewfOlIDi3PprpQlmGGtutNHBymvDTqGGlvTP+QD18+MIuoH3MB4 H/lyH/ccmlFIDUQMWlmu/kF+v4MbLlgP2ChNUVipVlq2d/XBq4yQOqjUDz1/CNJYUsw2y2FQaKKu hTkyEYg2/wH/oKaKBgFkFiMufN1MGY8HnftbZOTpBdWlr6xsRjF1pZXeWDZDkJH2pGPP5tgGgPd5 Us8oph4s4p/5HT7c4kc7+Wijxvm5caoVGSEF/APsOXQJ7m3GzhS+JNhmrAtOVI1tsCIjpA/JfTmx Gx4Puor3HBpXIKVc2PmMS9XaXY6Qkaw5+VOpun9OQXCuKYrgH11JfZFtfCm3J3SOnF4HW/VIMdeZ IyE+z93ecXiu8nDw6Mg4o5gaaALsfvE513SaYsuVvzGQJAOP/zcVNFxJ5zi4B1EE/wDDYpcyvR80 hjfNKhvwXss3O4EZFaqXevdF6VmZ97ZHvRumNgwZocBYiAxNpE/hPyiDZnzpbe/6F9MV0Y+GJTBj anA84arIuMpi8Y/buy8MNdl3vedQSFJM7bp2DJLLfYZa7c1ixjY9Zpyhjh71Bc9SmD54oXpASWyo xAgo2qp0hgOzHG5qEBB+Kvlb7xo1zKQvSGlcDlY/G3VJKe6sezweYazad8B4ohM0FHyCIvgHqtug T5nCjlQ0dQzN5gCZXob1XWcUVA9eYm3l4cNFe6IORO9f9HLreTH6bpKSCYtslRqtTE3g+YyC6ib4 WaeWJwelHQnhrYB6vePxf2X2qgjgV2mX6DqjmBpbGyHKpXG6PdWm66ZIiqXeKQrVP0yniTXY8VWD TdiugwsFuE5Uv4sAobjg0YGtF3cOyCq/4694CFAzHxyFVuqWFtrueDwKGPdX5kp6x7ht6RVF1Khn QgXWLfPKRTKihWPHUK1e+1gR/AOt0zeG2SxgyGXSyDDpfTURqMBD4i8IZZNfAt0rLFKzft8y64wt Nycp7r54jkJupeLxiKTom4xp9U6SZ7EJCovUjef0tgps69m13lNdV9gCbiGaoBD9wYQd+iQ+WMAI JOxMFGrBYZdgdM9TSEJCmYRcBxBhoeM7gglSypGTDJiBh2THArgn8izOmV8Lnv8nDI2hE1qulyG0 opD6XMs4fNx1EfKYntuXceEf1XF6K4Z/sATbuIG6TOEbl9DZGed87pSxQjFXGIgMGBbE3X7mviVY wjvwHVH5LLMrvbYPwsecm3LIFQdE4BVG1BeFxh8PMVgfXj5bIUkRWSv08mwJ1X0D6l6dQpVWt+rQ CgHVXUoE8w5+1YP7spd0vk5wlmg6fBbQglVWskjRHYW33vUvVH5RBbZ4DZNNgi0HxRNo4fF/HkLk Nha6K20voK0ooib7BZfVj8m833Ziq9byACq/WLi4QpYiefZlEWrFwXOOcvZYYT0RckFzD6JjOnZU h6NgRifarrLNtv2KwB9dHiYKGzNLkzxeG9SPiZI6n5jx/H8jhkY4LESXbIqiiDqh45qyYYV2gpSs mXM08zgDWRoIBE5RrPxBmPQsd3AA0cnszzULvDDUPiAltuXS1eH2cL4J8rBuP0Uc2orQH4sqRBvs fxsMln9eVsof5xrF4wH4Y30XPxh3Pq2OFSKq4ZJLFWRJfRWCPamFxioY5eLG9JxjhSF1F/zu/wp/ wEYrnXOC3j4JLYlF9Zjz/4GPL+7GNC6CaI+slw1mqLPIiPAVw1S37Gxe1KPwfZDJwOPRZfZ921eu oexJx4pC6kof4VWL18/msm02F61MqdmwndqxYkh1fi/D0pd1NTm9wZOlmHI3AkcgHHd6oB9z6F3/ CIfGpJP0oHxRVt4KjU184QnDKh4PjurLlmY4NCkNeycoRFRD+bSpfA+2a72F/D2rC6NU6J1LnDqs Uw95khENmx/TAOgaLHgedMl+lMmAAHkba4uVbDZruEp1Hl8SGE5I+TTzHOKgvPXEQzOJtrAqHo+0 zet3WkYcwb6qlStEfsBkeVw1tHOv+20GNoDfZpcxvWKFagXKe/ngUwShxwzkWUXgmJCHU16H+CGi Pfttl9HYDl/yl74wXBR80BQBpEVMFzzB8fj7FK0rrzOUoRDabTO0Y+BH/Vnsf5qi3lXXYYXUhT+S tVx3SFTcRUWP4YPf90D2yh4ExF3INZAyvYBrAKeXbufmYMoq9Y5i6iaJpk44LAcrMZ7TXyjpNPh4 RH1JXzE19G5bvUnHfo+p8RTBQ564pnqb9ov+YZygOX2X7VD3o0sTdzcfvNNRAC+n2hlRUIseA+fV zr+CqOYn59u1L4kK+TuEVLMNRBUSG/S7E6LMy3rtgccjzUHvBU3jN560pzhNcYeY6kG6gp05wAa6 /tDKt37WaX/LKYqDaq6hrOwjj6cZBKGU3KhLgI7uyciZdlT0T0E/pTSRQWOAXMJ3BDNEwvQ5droP SlwhfEo274ndNx6PWIrfGtV4RZr+2QSFLEUgY0zjtNFC0f1LMv0yBPzAptEEhcAPOUt1yQv3R154 AAAIViJxDXvw+hhombLetT1iVC+Id9mOouotf4U8qg86htBoIPwcETwe/5e+cLHM9YtgtmM8NdUI lnuYlGv0jgayzVDdLnO+wyo1lZkqknMbbpV6NLSz2LWHCFfeOiCpuzypEXHrZyCg4DuitIP8TYaN GmwJwaCJm+zk6nj8X+o6PIbwYfU5p0MtvU7hhukUxZ7cS6C2NmyCWmlG7Ngh7oPSKEg3fPDi0FlA Jx1vBOjteSJTmVYUumsDsJluzkEnNnxHEA0Vls+QBNtgxSHEW+rEp4XH/6kTh4A6I1wcHg3tCPcB vPXZZ9PYU51KbZIfStMcOM+KWD5BoZIeDTjhb+vDrcBCbLtLJu4EpjC+wCFE/u88+0GZvRrSvetd owosZXWIz9OgCYKdQ7J2a8Hj/w1yiDiCvTzj2GE83UlUsMUCluDdYsk10FqjmTv60TvGfbD0Ckqo DbaCGnKnTQsygMnPeUFlS3AXgT47T21vlRWa8uI7gmhRFEUYqtugCWpAt6mcMTcej/Spv6lBwNK3 r1M6LFGzH03VFnKniP8iu2yU7OpDaLrbBMW4D6ESGVZzcPTZucnOH24SrCcrkly8uQGDapQfaNWe etfgDJqURhmSbBjj+rc1YVQoy4/HI9LLt84gQzEgxG2CQhU9FD5AjNME1VWvDZcOJtq9gFupLRaB PmaR+LuUUUr5usbaIsuVBMVz25Uq81ZyWyvx5jcSYpNjh6ofWWIWq/ugSGhBuYVn0Dks8XgUCX1L oJE9mvr1okhRNN3ItSdAkrIftV7MR3I5gg5pcmE+8EFRtKiKB9scad42B9ylz0WzcY+VE6mgnkbF hpNS/Uy8JzS9L3ZobXvdgMSZrfJBzVNoBWbLW0enUecPsEn8gH/BPNm0R4hb8jNNoZYepeKGEV82 6gg2TYzIyFmAbLl7t6Y4qKaj21K3cOWbl8F/pLkybAdfaTR6ki4IyEArFPVj19hhUI1viWh46c3t HnubTgTwB8h8Pkg82vdiAoO47fHM0ntYrcd2roY+gymFlYhQzVFrCDzzZO0OfFAAqOYsFWGthDq2 CkiDpgwQ5sjNaqM0JcLIjt4wHL2p/OZ+m9leN5glQaqHdhzkIIw9N1fbPMHHHIsfEOA9b2zNOSVh +gZGOYUV603dwZm8krat6QEMorsKzFKrT1NonsiGGaBnPvg0nSgYynp06Wq4z6iSktBJm6iGFuAU PXgs2143gjRWZq/9DhZe5yKxnYoGPp6PyOXfHXzUQL68N1UneE9cSBMatpjqYDF/y8nMnFsR7FXf clHVWmZU1Ey04W65NTL4qNKSaWsJTASL+wk+SaZ5uapFNP7mt/xhUHH+A9xgDZqleq4aQYc37BdS iAQp34LVhJTPeUUKcgoL1xXYT/VgUcLew06mc9IaUxhFx3IP8LByTXnTKgJ1fQjUKDqeW2FxmiCM AzgIqo4otFOV6MTd85Yd07bX/Qu3l9VEy9lPJno7sI5dz8vy+fdp+m3kCvkNcODuLMVmMPtn7eac sywSNUuz06GNDdLTPktRsD3ZJSql3sEbjRCSozN2hUc2YHyEf4IwswjzobuYTibI0OtbgmCJVhVJ DuXJHcrBjaxLih5zdz4f0TvXz3d5NqnX6bMUa4LA7mQalBGvueyWq9R/NJuBnX3LxWJ7ChMFki0X FNIWsG1pyWdgwy2LcoTAzYpGjYK0xUus6/JLIhGwolhgaOiKnXF0ipZ8TofG5/+lol+YBKPjxJ6q T1MUdgPRCnRhttRfbnDCo7cL4du5uEtepAxSiZCtsJ+wwROTcyrNkzA0CYHNxMgT0BCIguxiGsDr yzY5hfrV4MVAVLT7YBU2EJKFUGuDzwc77sEXUTOxwWH26/wOK9kApXMWzMa0Jktvk0y4K9V1R/JZ CkvZTMqACPDh3nJ7nGCjEZVeoBIhnzNkvIIT40yiyDdwr/a2UQ2JsQCl9zRolir9dZm/Ma4M3WEe BA1vRGAtH/uc0HARnSmYwmjVIFJzkNEgiEcpbkpu4xU4LoJ6kslfpOOiNI21lCAtPTdrRdQgTqXJ tBJVUihSbHZEZEhJk+kcOC6CL5yk/Nl90N91cj/VPlsak89HSVz9ipfAU4eh1p2kKPg2BQxzlQYY 4vZE2pX67shRbJIilIjUrqiIY4MD00nHZycdsSrMj+XcgAYY5SWpxFMea48cmy4WSe6l7YPAaudn lNvZOQQrn4+EZPv/W0m3op1j28WClmMeXgvoJq6PGs0yMetGIyXWAnLouyhAX08Sa06PWHOFVC/Y hqyWnLMcjQbQiPCnnEAM5oeXRlSavW3UOWJmksjNX6mbBMbZDruzuASTLD4fZbu/zSuIKl7PUorq 2hADOXmJKxY1obAwSzgiNEudhUSbpTD0Ju4apHkfrIbR0RAZuboicS0qzzXKvZShWTIZDDaxc2C+ qGAHJXHaU9ZhCgZQzxDjmPQyPh81+p9ZYrepUeXomabYKQbVkmp2nbvu7ZU3mB7ZYtpco9pxIa9R gsS7Fh9uUJl4gGfpy6FvSyqIzE2RUqNbZhmKhIty6MEoxGSZ1Ncvk2jeJKuELm5sgo1n6MH41atl UNnZKL2zFKlbS8iAyCYuptJdIGyl6ovpBMTDF1MYeqv+1vcd/FxC8D2SodfOMYAzE1j+zhr8oCmr Q48UBwQujN6sBa3EBy0mMB8k9rDa4vP/Et7lc5ADwM3okxSyG9smai079qh75H1OqGKR90rZMKI5 9GFcbJRkeQxwuDjaBSw3+7XQAwfFUe3ISbllwD6mHUzSAeS3RNGSOrat+qA0jimUosSy+Xx0el8V LHKOEXUBoOPTFOuG0PK0a0ZgX7UMXTPn6l6HQz/Zpikyjens+KM44oNHSyebBESLkP4Tgw0EZBAO wW2Ie4P8HgsEarW3jdYSfXOBg7bBGkonuN8qCdhLRqD+b3YRUqSeH8/TwI4RqJNJKcfhUD/3/Nhl mWzYmUGQwWySQkw2I4Bcyh1skvpZi5B5UX5SJnULRsNR8gMe7fm0dtuSkCXUt0SK8qov5eqDsrhW hiC8SKr5fGQd88sIDSwc6Hz6LMWo7M6ityH766DHOeXA83Zk/9rj7rjYlJHskCxFZ2LjNEsTTkET 3VyS0unBSZoa4itsRBYLrPO2kr1tUPaW52nRUiq+lM6Nmkc1gmfj80F6Un75VtJv/ZG7zqExI5Cl Jw0vNkvk1RucTfIY5D/kYnzQHDozri7SrOxP65f9aT6B8YTmAWRooMS/ueGA1h7stWAClcQJzpZD a8Yp9kzdstjZ3fFse5tLU1mZz0fifPX7WELXtbXrO5hDc0aki+5QvSlD55C2c85UYzxCbcFnKQRo d9H2lermm+pCg+bk3FRPPnsrDarmojsDUzuU3ZnfGV1NXtWhOyPl92H3kXywSmWdM8t0oEw+HzGL vyuVMKhryH59kiI0CTLw3di65xXXHRmJE0qNFciINGM95sCe8S6l1LIPtuFQAoAQ5vBMtxLFfiLy iXouiksXGlmYaPNboixOlNAh7/MN5qTOl9Gk+nh+280PiIJvz1CK6EmoA34Zw4egkkG81hbiGE6u TlMf1O4kgrShumjzFEK1CbspWYXd/HjHnRzuZHULZdMTm+CvQZ/lBB9wywZjsuCf7iW37XUjUMBU 9a17Ec56sxCV0p7Lnc9HurzfYgc09iZL3mYptpRBH04FSqYo02ABKOh7z7JxqTL2DmwaURlm0N1Z 9+ZwY+9zBCWwdNn2Rjcr3aYldehyuW3vuextg0miXFMm3hYKA+cYJikC+RRJCwQc8AMirT4PBZaF AiCV3lmKyt7guaD2ZdWl3KZ3ds85cWcJ4Gqbpb/hJbWyfcnB8Te50/GT+JsJ5vEUfw0wPHSZSG1z 2L+/bVQTGBIU2T7okjunfyN1HR6BfD5YSuU7EpgsLTwJSujViKMT7SWT74GFmU3SdHrtOZh6aj5J oV6fMDhJHJK0boIyJpq6lDNidSmnJnkEHB6ABQOvYwJHrG/ySwIIBdVVaxIzJy0vwQGwtGySNp8P Qu+SvvYbMl6QUO4kRUVv5G+o5hYrnJSvlbTqxSmxmtv1QX8qY7ZyBy+cnDw39UToHaLGIoFV4nAa Nt0CMQ9wj82Kk74kmCRF3puydBwUedMqm5H32pPPB4HA7QywJkn8d0nP2R2F3rhkIDHiFYF+ja4G 9SYFNakONcmBZSOmWxw2MWrrw6gdiFkJIgZv/aRZjQdXovuOaw94IFCXvW1wxS11mcYdxLMZ0JSS gRWP7lBi5CEdS5QOF84XnCKwbYRsI2EmPdk01eSLaea7mBZEIm2a4th7vnbAByrDWETJ/L6ptYvY e7LoXawDPtUBr91eN1hNUn4clA3noNU0ykxsOjXIhufQupG8lQcncOKT/lhg5NC7cZKytV17nrV6 8UYlMsA8DvaLdi7F5o3acv9fNmuen+ysxswtBwJpZhUuMxWHDlZhnSk/GO4cujeqoFsajycOyatL ZOVa1Tuwb/wljI1JYhnmOZdC+0Ycl+eCcBh3YweDS2k385sDE2B7HBD4N57wtDEOyFRW4+A33DnI VyfPD2lcgVs3a3CJndUptRFnaHd724i1hT+rInKwQdWlCZqYpRx6yQBKsX+X4FAMuHYzObZwBH+U xjSGU87XKqQv14pI4NbZJIVIblUEKvHKHG5IeUKnTtz0CYZQnuZ+K9hvc6BpRT8Bg3gRA5dDF0fq i51DTncTLDJVEKojGbIbfXV+QFASSL8kVymgO566d+zk2Kg60rxlmW+faSePBJosujVPcejN+72o J1fWhZxA9xDdHOKXFm3ESb1pV3QVEoRmuWv978DMETph0mTZ7PACViSFfVZOuBjOWZX5AVFV4CJy XRCyEmZm8/R38N2Sia03ZJSmAU1LIAnYbJcay4Gho3fAQUTxwecJ/mm5dklpnQXEikWrCGUBZ8ZX PcD3vOx1g2oloTmT+qJnQL2OfxkAmp3kgHHiIH7Avxil1EGg4Mt4kpTA2BHE9kn3XXGRYV7hdEnp wJlUVC4+T6ECCQsCpdbqgzMoJgjt/OFKSQhiE1Ne7LRJmXiQ35yGk7q97h9y2bN0hgUcVInr585z YnLm8xGJ4rsnB/32ij3ssxTF34Bh7LKr1Sur9EWnPFZ0moNUSmM+VE8Ce0ccc2pH9uLDVyXu/Dny uZ4gFYE7eC5RaQFOan9543J1e9s/enJnp6pPMLy7m6EEw4DnJEeDzwdJSvr2dxxwicxPJhcYPFrj sskOVEIk15ovz2RVgb7XXUph/E31Oko82uBHOCHMm+zk80YN2TCKbyCbLUihYtX6llt72esGW443 XKJ8nQZRuurug9BKJEJ8Pgot9z2ZGGEtSujd4nfo8ggrBFgJoZuEf0JZV+AceEtSbhwhc+m+mMII fG1VKqcPvpgouI4AmcVvNi+x5VB3mghZQFq+Fcve7HX/EEIcoxKCykHRICjKLBG0vRafD0LL7HUB 1nWzqO7PNIXVbzhjNVDRsszDoMJGiXogsM/cZGqSNJOoz6HVI7WREAw1HwzDlGCwelYLmdyQWKEo yfl/wFGJfrMp9xsR9GWv+0dEMFAV80HLaboee03I5wKzR8AO73LCc0C+oGRjsxS6PeKHRFBnwPis yhKT3p7Nuedcg849yYHdI+QpcDKNTFfioY4yI3DobpzJYOvyZMAb5H2qBmDF0EK2XrODQbp7Duwe E9WdUfGiWWghI4YROHrirKUILRD4PaYvW1UVutFZpgT8/zL2XUmu7Eiy/1xLWRu0ePtf2IO7RyBZ PRl1esZscKf7JpkFQoRwYbMUetNsOWN5KY7KlmLBteQO6n05TTCHjo8UHTk3P6mUHG5p4FxrOUne F3ZWTcqjiF8q3GgWBTCYzA0FTYHlo6lvzCzQAAcjMQG9x9LACTP5fHDJXVdMtonwlT+ESvg0RUF4 ZR88+2IqquuSp7Obq0QPAvQ0TTGdkvOTeDJxcKQuZIM7W7xnhWZI75BmQI1/aE08+JySk79tVP4m 871RGmBSBU68v7ZJqwN5oix+QGR78MvpGed3fVCDgfEjuMC452jzroMp+yztei37SrkheOz8yMUE GKUPztZBDsFFillCFsZGAgBgIKOce7Nf5b+piClwftQ1hVCpJx+sYnluTUVMGSim0PpRe+Hmc7gF vtrggfUjROs7ZDhMcQN7z7tya3bXbZtlmNpN/sP7kcHAmM0Hb4NDlqzQ4QwgmpPrMRgY5N3g0M5g crr4X9v2uhFaQLVKVr/rxVSc63w26bmcYJrPR0vplgYYQzZqV96YKbR/xIlwEoduMZP9E8JvLX+b pmQSiTnwf8RaIqhySC1g3MBynCMJPtY8KfPZaF2+4Q14b5oi5PwNPdn2ukGPl2kF6qkcoPOmVsrE ncc0pfbBD3g/wNf+3nIDwMH+NU1h/C3N32XSQGz+WPxNL1OpAI7qoWXgAnlBFVMh07wH0zgxM6oL bMxBlUyarkxT4W941g2c23zPUcMtBz6QarbS1Kf4oAAcq1XMpLPG+HxU2/VYgEXLfu70OR7AQGgF OZn0ioUCwMBePk3s7CrpTXvfaYqwJ5Rw6DgvfHA8c0oFBXBWmvo5dFMjHX5Te+YkjAyAv2XKcmAG KQFm4L3HHaxmCaEYYePRvQzcIP8bX4kafC+3l/J5DZg6Ci8kECTvXTaHnpxMa3XPUrIXBl7DpX6l kTerTRy8kbJnbYUvAq2R1RvLdQkkxwYFHLR6L68J4dJrsNTdxq82suE52PyAaklAxbn6Pq+h0nnB vbTTqpi64EQV1gMICIomZ45b66Zn5nJt7fGF8erdT+1gclTzbrnewSYnQd+/Qw0DSIoTUxB2CaQO /hosR3J4ZYJgePjX2QGykdc+gfQa7MTuUGBkkLTw9NvsyDBJs8MLDMh6ECY1O6/hUc+8ik625Bp3 JzGytvdOszsrrsOehbPzGhxh6dDYEYqXPhjiFMD3PYuEAtaJ2RP/zQpruoLgCDvbtVqJ8H4Njc6b liHx+j59UNtkZVmjwOKpfV7jop4Yf2py+GLcU92XzmtUhKXTKJ5gARCa8smx3cJ5sTCZt2ECXmOi Lne7N9Dy2VZnBe6myYFsgSSTtpSh0F0pF7RMc7zPa0TUEdGQ2g3oGCdnCP0AYZ7aWALqq+fPa0DU 1fPQ7Oi2mj8KQjg7r+FQF911geFtXe6xPQEZu3gCck5uN1gNlg5LZ2cfiwE3HyRAbRkKmNQbP+sF XcRFvaTz4509V2i55xuLAqif11AIxw6Z7yy126DLa9RNKPoPsNaf10AIT1dfO/p3zyv2RHtVTM9r GNRBDBARxxePnJ21eMysFyUR94B6DYK6W0C1IqBEeaZng4ZdcGZDHwgCZTx3UDUENRS1/3u7F+qi fF5DIPySnbzALJ9eUWjJwjnhkCz4+iqf1/gHi6f6ucNePy6fTo0dTs9r+IOzrlHlJpmQdh+uJbWz jLHRQToBsbX/X4MfTA9zrVbyHbxjC7XIkcgyTRAqY+mN+rM/tNjLldQ8Za9yPIg2V1YgPWX0jDay 6mAbZRWm+L3iFd/np5jSuB09jf0rP5dfwx6cy5XAkeQOYnu6CFAtzYPo2q9YfXQuizA5aP/NwaZn An40AHyrIMtCiAlBT8MtPNlhJtLcQmgyul9jHq5QJBgtsRPJwYg3M1VWiYA++7xGPHxDXz0kASAK B5hbs/NabsRVtymdUA1gU9aFRYw0vJM96btFIbLgYE48mAvuEhtsdlaB/xwMA87sEILeKQuAqHHh FyysahukrVGqLZqdnVzEzgY1ixAosSWMDsLntdCIqGP77LCl1sFYKNlP5tcyIxYs3NXqSNZQS8ss 1VB39r0FQJ0xJF6LjPjyLlOjPn3w/AuF/VwyGdxAJQO4jsSrw2+WSLb6INnoavRaYsRPQFXWJdHI 5eo/EBlYezSvC70WGH/dW9Kx2xDxB3RMEWEUL5/QFaZGyczTm+Q2WO0YczrRZk2vdkQBc2ex+nxZ 98FjQhzUCzHbiQnHSX0W1bMbiHwTjsFsTrpubZMSQLSAZB3WaNPHQUVY1FLYCT4JHZik0f6a06aI FxUmp6bsMxQFzdgZZwWZS9hORJMLBJHGVfoblx8ZRs1k/p0DYPvg5SB4zg30KiEC0KG7SBtMmAUv fHuhbrQ6spSF+LyT2rDcJbHVhYFwLwVYuHRhkE64zsejuDn5IvpJJK2gcOUz9B444/5CC1YmYaiY yTFdCAjjueFViq+hKHLeVdLr7DFy8LSUbJFVVAvCRbMEhFyARyMKZstYaYWS9yh0RksJmg19+aCd UZtq6+dSAgXpPXaG/Oz+Srsg+dlX9yP6nc2GacUmq6W7BpmsDQY9jabpabbZ3b/wnczWBe4C5381 H2yCyt4gCQtPe4KQKjrENOXZ884k//kVlvSy75usE/tw3rb7oMzrnEg8vyg2/XnnsnXRmDVD1yhj rruE3gNolQGBL7IAGv6Vjg/hPSGFetZaVXSNZoiq0Cszv1j55heQAl1wRB6yDoP2OCexMjdE8Iz7 n9i+PPxdo1OIqJDRBcYCztFu+VSFEj2HRfu8s9i4R32TsZ5NwDHPQH1tFER3IGmbIP7YZfNq1O/p FOQOFxmbovcoOluSAbl/HxyLdXLrTCwAxaFTn9JpW6gH47pd5R7Ug5Y97xw2bBPKRjQ6DWswDhtc ePl1o5TPO4UN+ULqv2aINqPZw+h3Cls3UEihrSfb+PXBrVcTaiWi2ssbUSCt+kZfcsFcl08zM7Vq k4jsvZ6bQC6YENOAB4dcMM3jUQd1FEi3ZlTI5YPq9ohMu8Qg8v6809eY5C4/qFXkP0tyrVv/iUJp ZFDnpN63bN+cvZZzd23ovm4FMYql5QFWF/F8HDyWPkf1OYzo+wTxDlGNcI40wPCh+zO8gliQOPJL 3qdoEBWK0poPmiKIkAhvDkvnd+5aF9LtWUSwLui7eLj4Tl3zXCxfc/mTghlgZtVcr90K0DCq2Efx 9CChdpAko8H7reNcXgW1Jaghwky1iL3F5B4VIHaB5ldb4z2elgkhqOv0ekzZSLt5nrPNdlmHlE0U UK8noObmrFSI9wmKAupOrLr0NCEDkuatkkmdjJhQSGJoCYURNcX+xqJjBgc7qWvd2GiJpDWkZpsy 27mjroNC52JD2ir1U+8aTRBmpmfy+zjoGCpI+bpyrPV5p6xhkz3FDl5l50+aNA5jFTGKqNE2MX9O uWB+paseLzZ2IlVGjCNqyiCqHc/BVlCH0nFnFx20x5RAkIE7H2I/iFvN/JSgaQn+TlfrkiCGl9FI Pni+apbgZynlzztbDfWq5hMk0tbZ4zPdCYoCapSad6lutqI4XtUgCUNTvWY7hfadqsYLkbmqokah t37UhYY+QVU5CCcbdQsA3RvgZECKG5hdP4Ty0MtGVfotgjEDKa4BQziiWilDuTw/71S1/ojW6hSC vClqmj5FUSkakFr8AsWnyJXHFo2MfIqyCbS9E9W6qFGvJaGzEitsEtQPOzfsIhcb/Ckox0ysgXYB aTSCeOepMR5iWg9GCT3Bk4pfmXZRrlG/Pu80NU6xn0NiAUrE0qcojKnPD3MixmrbrEEuxRCgyj/U pnebg3eOGtM7Gq1gUdrgBzUkujKjexQsJ7lvEGA//wmLFxVOJI6ModjIO0WNqT3teAcvfQ6KqSdF 6vl9mY9Hub0nrgwv4VbRn8z1naGGA74suoNaXZGIMbNNZ4jLk2gtRzK8E9S6NFNxzY/uwxcV5CTE nYpj56eHYwYKi5V2Igji+rp110JZzXeCmm80uCBmH5TbgzkrmMt5jc87Pa0LAmRnNSuvZ2t2dmA1 RVFQzVU0inUwXHhUlensAuMJl7mmKCpNC+tBD2IbvPF8AiKQkqrgsRAGJ1avA36Jon3edMmTqiZo PZ93dloXJQesYjY4OGiKUhnUcTkfMdfnnZzGoPw7uS/dkEs2Q+9BtSRVz3ttS+7T8Mzs3PfVlY/m dOT+OzXNEu9vU+dbvAdWYUC/n2jGBcdHo1+j/AHpyd4eD8O29LLvR9ES3aoS+8nBZqgK+Qw6yf68 M9O6LAssd+U+kweZJ6/vzDROEXnFnt4jBPbktbJsRCNMiATqtH6PquXAzlio++CZ2cC0UyEN9Q/0 IzczMxiewE4aZFDPzMrQu/4xQ2M1AtJXY9WXp24ehr6BhPnnnZfWpfZoi4hBEcWPb9T4TkvDPluL UpoOqtruKLKTPDEpMpam91bfw2qUkJm8LjmEr6uAvGDy2IA9Y+t57qVOY6YSGGRxALi2GtogdPid lNazqbCXTQZfkY0z7cNgXc4/EKS0d05af9QgFBTBtqyBo2UTFIXVyBpRaBcMD1QZLxEpfVYN7Qr5 v/PRGBRh8Zycu/pgE9QLfSqxjTIq0cso/ojfKypHY92okRCuzzsbjR1ubq9GkXEOdpshZyXRCvZh 72Q0BJ1jfd330AZubXkL8Z2LhkCqyx9U9/2iobAl98O064CE9dT1nYrGtBB/9iSaTIPnHedmbhC2 YvnjnPld3ViKfKMiMcuVYh0Eobwz0azNhaXDvIODEjPo1bJrCbG3zzsRjRU4P4bwICUE5+0DvfPQ uouM89TgVVauv9rgk6ahva2L+E5DY2KGwtguTD84aIIYBwJejhb9WOf0kSXCgGIllGqo0gwteBJi it41miDaPlViz5c7J4Fn3VSSrb3wFYMtln5HjJjQ6jfZOweNofhEc9WaYierHEbUM54qWx1dVPTO z4mwQcJQsxc0nl7QiRgn7Hib0FPoSSSxihhDAi22nmMaYLjPOwHNSuoNVL/pg9H09lIjsebeP+/0 s/7wYM460ukOcdnbDHpnn3VpvJzMrLqQ/y66vTKc9ZKhzentqRl6j6k9dZ1ydJ6PozPgL2UvNLUr kKI5ScyWJJaKPJ+VIzuEKI/xTj3zrAMItcESIwKorgpaadrV84T2n3fmGWY4eyWfZBBVhjx3feed 8XfBO2bnDbc5fYYy7VA5Q4hUbZNFdepEeVq42PhgmwyhCqxDWAeafaRG/3B5pjekhV77wM/AN43m R5VFudJ4ag8S7VmiLOOfk/DzTjhjPF2+txioT4zlNT3v4TRuBkr0FF9APTkXtrm4P3ZDs17ZO9vM sHEIgfYdLoYqo5hGOTqwIfYiCK0CV1YAICGvw/ocTDjeuWa4KZc0DRUJuVYCvESWgKPAZH3eqWYE qM2vaJqmAHXfCYqiaZA2APWwaLorVSXUY3snCOafVj17J5pZMxwBULrDJSqcPK/vTQWaCclP5Ldt ougw2D8Bvcxpi8QDvxPNmHZyiyXmGxyMGnQSX3llLPyI79E0FkP7DhUBAupP/eydZ+ahYu7D+q1G XmT9jPYI8g3bHk2/08x4j0k9lLbOQA3iSGCNesM9D9c6oumzC1qhzAMwVYA+AAyVPLFnIfXzzjJj HZ5l/KqbHgtPB+5507Ukbnxu5M87y4yX9vzaZnDqhPGbT1EUTQNKCXu4ZbEQnd0FBPb7H9us+xSF RWpSE4ZQ0xxsm4F2APfLTSnxc+kPehotyHAN7D4UjK6wccl62b8O6gydDeRkAHTqp59QeSKX+lwE n3eCGTba/t5owEn3dHvS7/Qyj4Y6sdTESjtFEZSg5r2gWbdJ0L2zy/D2NJZ/6ZY1WEODAYQpgsOB THtw2/9QJhFU/VseIrvsnVxmkQl957oPCodOlmyqlufP/rxzy/iO33VqtFpaH34UvVPLeNkjStws vqL5OlN3RxFlZ5sEPPT4iFmM69ScmkEwq8KrH4PG1Hr2lgLqmlCgLpR9rjA3wAyBKeWu4JTtf2eW dVdYJROUg5RDT1wDsiCz1rOyPu/Esv54zRo4JqP8QFc0m6MQ+wFTZ66dTAcIBKewB8WaR/4oBwiI +lcUGd95ZcSFEftRZdxTv4x7OiAfQ6VqWDyalyqZ3iiF4hr3gKgVveuf+2yQfCeXdZ5E8Pvl+QJ7 zc87q8yKD1+LyFwCfILCmHrKw9B05yqsvLSI5Dgg3TmcV1pEUaEazBNAGsyG7pY+BjhArQydRBt+ HwTH0IudDquUwXc1YzYU3xll7OdQKEz0RA5KH5AATKlhbj7+r9KHXNbJmPS07J1OxjiTDPwppD1k HJwOPKbJ9ZXGE4116nc2GfNWmWOJercv9mNRQQX+xKwwDnCmOutnCZ7B8L9hCcuUjElJeGeTGX4V QqFEEXHQGtrFJFbP+iyfdzJZz1eloMrlERzJ1i74451M1l3smVGpikP9il707UERORdCT0d16u71 s+KDTVFKgCsO0RLhuCtB/wzRlkYtRLhfWupKibvPO5WM2HvpVfXsg+X257/QX11b+7wzydgJuP0g zKd8km5Q9E4k67Jc33V6nVphCbuumSxtTRG2Ca+zdx5Zd2X1UXv1wUuMpdeUqDEPjzn4pYuYhxQd ojztCazZE/+8s8g4Q8QIr3QHC6zPmdCkT7DH551DZqnvV+aBxE42j5ygEPsxCCz3qLFIcH6S23op ZB2nqyYoDKyVujYWxzg4gOhcF8gw2Lc/N24T1KjSGhNpbKNtyFDQWPSuEcKKMyOhonqFiiDUWmTr fU7ezzt9rD96hZogGqdTR0wTFIXVqEXs0lzjwmT6hUFbN2ZErVETFIbVsmgeBHqu8QA9xzmJ56TF TJ6wH1rb9IzgzXPOP3mt6RgizvOdOoYfk7FiA2bNBq2gtPD/8vDFVRYF1fmpfiAyQGq/LzeqRUE1 VLHOOdcstS99uEq4xMmZ2p9b0iTnW4ikpi7/GEQPcfBTqKGKAi158H8q2rcsDw3OC6SGSI5uqp8l vWtw1yfageM3tEHlIXb2WD+DHliLQmrXcrRwiPwWyohqhsKYOsODtxrYbI9xmdGDkl4soFG0Q+d0 VKNOtOc7f/bywWPqIcEKzRBuzTYF/YBKYSbn9yLx7ZwOsR9b2LNWfNAayvXMEvPRE1bg8ahv/x0O gU/Irp7xXKKYGsnmLsUoPztNYwQB+/El19CtBtvfY2qsIdaF5GO4Hh/Dc9YDmgdxwEpLJLJLsMkS b1k6znpILTX+/jf/8KQe2wcvMdYpnGYZFY8HVI7LImNcgDpJ634K9SighgYWYJjZNtlYvoTShZuD t2NXfY+wH4PKspAY8MHqZ6DHQqeuEF4FIwyeQh0EhlLhm4RfUVnZpJxFj4rU1YTkBKluFgxlyhlw BdRz2OHx4KYv6ztvpa1E83ushwH1plVocnDMuLCGXpJDhTvALjyme1SkVizUGzcQB8fjn7x1sdZB 9BDMeKhL2BN00ugr/2RlBJbiS6JOGQWcM73UKDeqksW5HIuEc+HahucD/ND67khnROS9l8smi7mI lTp7xoMmgk6s+syVS6JmnsNY9T0KqefUBbWmDx4MQTkskVJX8AusQnniCmkiyjgXwpxcoaHoZaPM lUp7K9tgmijIW6XKds5LvmNwVP8qMyIN/uIs9IiPOEi4072OWy2Vi2Is6WLQQJHUDIURtcycZ5k+ XBTjPKE53EMB0usoCdL0WsLCiK7zVWoarDL2qFC9xiVqYgDCg5ujQNGZsqPnVph4PrrM7gwlrrjx 08UH4BSFETUy1yRTEMbW1cHCZ/sNCxhR8pH+UA9JiQYWtsb0F63jzAY0w3iZnSQWNvCYonNL/3Rw GdhJs5MIuQ++I7juJWIl3guZZFSwKrl6+QMlSDwf7bMLhSWzLDVoYfp938NiNXTAe2oSZlq0lJRE E8TQzGZO+4FTFMfUjHM6BXc5OH4IbKBzSyJ1PX8VIGqAWBX06c9sQX6ClGhTtpx62ei0ljuowDHD i9UZ6uJDWceYePx/IXbg/IUJm8/Qe1ANFj5MZoGry6oyIuZhfQio8DMt6HdsNo65z6Kgem9pf5u/ zLxpGVKvLbHdQnIifXtPxohbDfAHMkS9WkPvtB7Vqquy+vYMhrAyzcRzsuSJxyMQ2ndXmtD+fFkL PcJTo45/bitsi/9wyVNSl8VNtO5UINrg32kNRcAP5WUgr2pgD1S5/Uk2CtRaUf6YG8Vq6sQUwPRw sslpVoBqlGvxJUFIRPcGNDh80BoagLjwrAXivEfIjzS+b/wMnaYmuxROURhWd1TO+tIRDZV3lYWg yjBUnSXCympoPSpVqy/dqlhU9SlVn62FfkQRHrZB2hKF2I6sdeJqy4TxqMrYiRjuEfZDKvuFchca bJulKrDJyWAnHo8qRH7jM5aSKn33uHpEcTUeA1TXoiKcp57dj+7V/E4DRzKko1r1ZFJGEwQbvDF9 7uLB8AflD7jxEWFVINNXialpzq+XpRe+I2oJkaS4pMi0umOq08pjWQ0t4/Egrn6uM9JAEs0tfBGN KLDGb+nuOjiJWIIQPCZXv86QPituHHGluhEHu+9gMwQ1zjR7Ytx4suAT2A02Fk9CzjZmrsTHSNsL 9wy+JGIpEveBih9AaACc2Ak7RluS18UchbXqm3sYpxq2Kn5YjxBUjRLRZQLtRetcwWH3GN57Rcij RRTVqjM7ilvyjFu/KThgGy4EdVruATx0kflcQjUQmNjy9BWhITTwHQHUU31FaJFzKBY1njt4Mk49 L8pXjPod9XuC0NN8QHojhH/0TE6Qiw/LJ40lomxOfEzOXIcgiqsX8cKzCIpWePANlojO3oGhiVT0 9ga9gyS8bDU08qfsuj8Z7cB3RN2OTAFr+oByUHqPdSnthXOe4fFIqOFXU5G3Q3Ny0AjDapDJc93e mhauarK2CecDbjIwMmyTRWH1HKoNiSM06kMOSui+tSWTHZAvmih4qIQBdQL0w2WYLXvZv86hripj R4eaHJuMOpRkP8ZJ3PB8tIb8qObdjh+tPmsoDKthc522913zA8sv9NJix+wkzj5FUVi9pDG0FBGt GxGN848nKuoyA4dqMo4d5OSQoobcIBwPvFBNvv2ICtX05zhRFFW+OajtuipUvhkQnbtsRHjq5xRS 9ESbkYsWHiGgegCG1pbLDLW8fq7MkBViOx3mNEMRoLpsMadW8cExMqtSMX6YKfFuZuaE8hDq4rVc nSHSlPAdUceM93OTkUlzj1TgA/aUD0wfeDwIiFb92mU0kQTc0yYoqlQDjgF9PCdQkTxnmPxbAgFk xyYoCqqngYiYdpyBnV+ZoUA6ftPw62T5Z8ZYLClc3sgf52OQitM24zv+KuX/H4YZ/L+7Ac7XxuNR TP1NBa6V2f29yKKYepR5ZbxYsx5+13fK36or3d2qccQxtZwGVvHB2UEAT9GLHvAPuKcT2zChSNBQ dy00SNJBvbu9bBBTq1E2tkEczmsm5R1n2hvzUaj84QOC/L72XwERPSLnXUVhVF3bhcMiqq609aAj 2iLKejO/HybDPOJitZQ/SOTkYJMERBTcdckPaufoHonCFpQ8RZuiUPlH9fwtVZ2YqEgbyyI5fdyY AorXc9wluTOdoxTPRzWQW0hjDQRk11K9TDTDcjXQjC2RuwHRU1mg0hXq6sEhdUhKzubfanmj60Tq twayBkn4k5af2GngZKCgD8ttOX8jmTIwGu09ZogAMVtUXvgc9FdBQpwaa+fnaHg8mKH23RNCG689 IeOMomrASjfdgM1Wjz3oJHGdbNnrWrzNTkQ0w3I1U7NehdrD4HlHQnZP4VHkUBnuAIyqoTeDJJ/W HlaMpZnOjJmKLFcvlavX7d2fE5faSSci6gWP/+soYmiAzvhqTlScUUyNwuKeeQmidzKnKwrfi/mg wIeBJaLEz/lrl7UmC5TW7lHUxjhRF/7rM0EN+AXtMjQOoDYIXsaFDJNF/JlhuXoSGpPa8kEHUYPp vdGB62dGQfW8eEbRPk/eM6702YyCajQjISmVLKge5co21ObCeaMsO6xnWKxWnVGaDBw8uwe16KSI rDOe64tRBMpPqNDge6lqYX5MxKHNEP7BAuP/qRCdNMZNq2bKnxlCqp9yPkUpoY7yFKtnFFVDQ3uL 34/7HjVgTztmvvAPuknipJ4hTbFLaJn8BQ63Mz1oyMT6xzm0TxBCuzuglTt9SQt+dAPmozeFL4mU LQrVmegQx8HqH7OLPgVtETwetBUvEI23LeVRavbe9Ayj6iU0oy2i1i/5ZW/HxPbz41Sbogj+YTf+ rNsHX0SJrcQif4p1nmVXCOCinw59h1UeDh79GGcUVVeJNIEJZIMWUUeVm+fQWUx4PCqiza+QCM2V nm9INCP4xyC6YeThDY/kiNjW1xVaBgZCExSVqhcbiufEHD54Nb/C6o5gJsh4QeWCrWnyFkG6p86x 8aUpwDijoFqCFpBK17AUHQMgWXlVnx+64fF/YRkXQ018/7jHUMhRpCXzI9hdph/UbWbPy84SsKB6 hip6RaLm8w6+yToo0pUy7RlVa9pWd6qsgQN7UoXylPK33jUAEDGoPvnN9sEqaLPK3BUKhng8gli1 r6sed19Ll3I/I/gHq0OFpBNpVKaLj6nFydJ9u67FjIJq3JxI6gWtHo/qO+sdZ7+y+HGmv23J6wzS DXGTka9shWrCzmcUVDelrAobpbjOtQAlGnMX7B2PRwii8jVDPBjbI8MYUhQh81UuBk3qreQuNB59 pChWKHRohkLlD2ZbUAr2wduuBExQXB2mjtCtVHkIyCqkGYNSesILr6J3/XOPsY+jwcpDWU5tJ43Y HY8H0WL/hf4AuWPcvvSKwmkkRfbTUZKgJb/I0lxeg63MnzBBK0J/DGmiFJKDOHi0OE4MuFpVOF0G UGkkmEE8vOMi20+0SJHcFaI/aELROxWaODjEqtPlA1IrFY9H4jreLqsGVQcg1q/6FcI/EC4WqXNj hsYNF9MwZxN45mY7hVYYT1MHH0hqH7yjWHhSS+r0BFV9Ep1+lipVtjP5uA4gwkrHd0RFajp4VnlT oo3PwumZYlQxGQLuWvB8hEK7Fxmr/jgC873qV6hCfSYUHqyO02vzauFrPfEcwiLWFIUAEOYaszTV YonebQaGXXQR5BSdTCEn07ZclHb7mfsWYUkiw3cEVWol9Yt23hyEkGndbKkgUY/H/9VR5JGFYm2/ N9mKAuoO4+Oei++yefV1dr16jKXcXRYCqmXPkViC5uCdjvMWZ28RIYPWaxVZD/LmEGS1wocbCm+9 618kvNrZLeOgcxpykibpuSsej44h32V8rMhbya+yFapRJwjsSBqF+2146aN1i62/g6EVkhQbw8Qx kw83GDpx9Bjygj8HOG5mZK3ANgIW8pPRirarjPp9+JKggjZlFmQD6ro8XwFQUAwP5Uo8H2yz3ywz wmH7JXKuKKKGa8NJy6wFdP5pPRiiuX2OlicdKxT+GFTvkhV8fazg0S+Dvj5Vms5lA5RnInHhbIxJ b4O5nQ1cEpFoK8J/LMoKg1Hmg0Lq869mCeeeewKPR/CP72bHpJfDbXasKKReRKKpr4HUvrNHttjs 6O6kMAt5eJihMKSWBtFWd3pff65zNldk4a1Kg2jB1h6riPkraRLQhLHqEMjBfNlghiTGiDXPwQwA 0YdjL+Kc+6nh8Yhx/405r1xD+Z5EUUyNOBW+vl3VoaaS9aapaZf9GxLXu89CSHW3xn3ywW6zXnY6 m54zhAgb/CBgG5C4DvDMM7JiR3/MoZf9IyIaoG5Kz6r/GIRoVYIwIdJU8XhwVv8OqtFZ7Jdyv0Ki 4mJRqLms58x2VoM44al9nS7dvcJKNbmcsL7zwWborKBy7rZE2Q8c1gwTW+s81bFr13KRppJW08u+ ryE0dOnEkX0wfMwJGacY06vi8aBxv/ZXXtbIaC9XvTsKqqX9PrzZUZ+mdOehIO0Y/AGS744Fqiln Ki9uDr6GMrrIECUBguhsEDg38IYGgghaNFQ+tZBoDL3sX+2gLIcFDjqHoMErQvC52vB4tIZuw4yJ NW1o0OnWHO0orh5nV0PUy9Ef82qjzOTmwY0WsFxFOypTS6+iKfFoX4kHkFUZPssEyJRKaBKQCOfc 7TixwA1wC1OWqXcUVzfZK6CYbYMWETC3Us9Ng49H5JcHmc8ACKJqQO/aFL0H1hDyA5lTql6oN9II VEFRKx41ru0+gTsU/9AOa4yvOVzYMHLUzqAIalDmMwVDPxEVc769+5Iokr9DYLVwH6slH/TLA4Wj oGbtgceD6+xCYg2dha7m1YEPgdWQJoAIoI6itbaHjWvesPHxCNxRXC08OTxtfPB+0AAO3cRhzw8O a042pjOlEc/23OXiY5Cx4DuiEhrTVgHQOSg5O2fZ4m1US994PBL68pOIGo70xH5waDsKrNFchd6L axOgG+QuisPhH0M9IszQ3/CPnshU5HAr1SzFMiRKJ/wmPRvuUizyof+gsFHtIF5nO4qsN4v4tRAn U0tzKZhs9hnoXvAdI3rHheo1y1/rI0K0/8ZVd6sHAdW7bYoaPa3k89JcUW/HTMXyXkWDFwHU9rO0 CdZJ9xEU5AZVZrgg5Rs0ZkLL8B1/yFSjANt8sEWE+Eghdqt4PEA33OuMZw6KVPWmHjvU02uUPF3m 857nnaC61hUAya50vmP4B/7ssXmNc3AS1cnqYU4LjNWGF5dJMG8as1bU+27mwXsC3xFERIXnD4rS NhhPcQxFROeuXHg8Eov7Ji+giMoQ2SYoQn+gkwXZD1NIgVL4j4kQbYp8UggN1o2aoAj9YR1F+gBp 8Kg6lZ0pyQx8DFUo6FTa2O3c1dJ7daV717tGRE5WqBkyatAE4aV5aJ/TruDxf4HQsipuKMSmewyF 8A+qeMsXqHIJVd9jZfW7x4i07vycCMnI8lmf2wfHx5ytek5ucRdOjgc4EeEfaFVRW397w6yw5YLv CEJGuiiB1+GDZggS2xLZGXPj8aiK5jOkWBwVoukAoh1WqumWuMxZAdqMur4yEqhsHKqJn1gTFAbV hHoOCaBy8DLjWTO4ipneQ3LRdL4TLYHBhMgPxGpPvWtwCMkKGNAjGwxAlLYqLtjSeDwogFwWHh5D pNDH5ZPvKKZufVH/zHxuKzXXxFNUhCQltGxAzx1BP0R7GYl4Tw6+xzJOaRha4BDaM8ujW/ByVL7m 7SeWxF7H/lP64wSK9HRP3tY9qcoerBkjtml4PFhBv05pVG5bunBqA9q83mPyuBXGYzfCIaX9MQ2q z12SzXw7xWp6mZwORtYcPDFbiKWIQQErG8xvGm5Cy2ny6Fy7XwjR2va6gSlXIfFls0aUUAqQW0su ANmKRJUnPyCCe96GBynBKP098h85/amp1xdXCpkew9gLCwp+/MfzR89sVSJ80F/pWVGLmoPjG2pG 0MtS2ok/c01bzm4nxpjAM5zU5DY9FuNqfEvEx5tShl0+cAGcsNamCauez0fZx3ehCEdm2+OZpdD/ ZVHxvJitJK9hq6X14nw8WPyZJHwKkdXsndWxhw+exJ4/oVcT20HGAH0UaFycuH9iYxrJw2w3s71u hHKgUArCVIhcnITbeHTQ4yUgDbE8PyAIjS54mEV1QCxWSneawsL1Cdg3SE5eUNvZmx/KbQftKSAi rWkKTRSL7ivWi8pXveikGSAvkgsDLyH6K53FBHuq2SkCuK6GJTq5+pYI2shqYxevyiVZIIdZGxs8 AIXw+X9i0n7Q0jifVh//hRSF2CekQCY7BHA4h/cezoYZhnNkQaT4louq14PtM0jp+OBH04mXwDHr lJQpJ0QFQrTBORd+bxBMucpNhW7p/JbIpIJeyUVKluWWZgFiYowEhSU+HyQixU8mgrOxEOa8Qnuq 7r5PE0mLNiMn2mjOqzLLe07TRmdCJ3isXM1AknabGvySawB+UhTl/EM/QQEL2GBo/KBr9EPFKy8+ pm2vG3HP2Muv1Jcpzk0qaK7srNpa2nz+fZpqWl9bDrJdfY5nlkJVkA3e4uxGrYI+mldo69R/iO5I KT5LUbg91YlesoFb/avhyEJ2SjIPTGelUd8bMceCMG2mz5hOpo1AmN/yp4STbCs4qMKxYMAsY+nd +XxQGXm6sjiYyGar11goxdIgGS1HSOb+hxKc0yWKGExktRzTulsuLGRvNUNq80GzBBfvtfi5VHFK RHsBxXcunElVy3GLR6U2e9sIHVLVBckakhLUAkQm0cAnCml8/l9i+lNExnP+Pw6vdOF8f27Smjxb AanM7bqErdXtQL6rfkEy2vtaliIhkZ4aPDEBgIkiVoDQtDw6vSrh3flDDRJKAVsoIPfkFItY40Aa mwohHKzGVlpSOnZels8HG+4JBZjennRrfs9SCLtuJFk5jKb37n6L5xfJlt8+Ag85hQXtbZXI5IOD 0+EGTZ0WNB+hBce20VlezTDFOJKo9F3oicMvifxPqnba9kGzBKisSgCDcWXoEHM5MjzRwPGCNKXP Uui6iLMLKjhaS2vnC3kcq16/YMjxmqdgCBUhP2jslX3wOsm5Oc+Ptpnk1j1oC0+blMZj64dST2ZL SbPpHNguYsFSQyWz/cjB/q4JmzDWAcbk88GxtL8Fi6Ap0aEG6ZMUBd+wTgEX3/BGJZW74YatL9Qj 1zVejNAiALADA7GqDzZJQFSee6Byks7/l2VSUKkvC3ZopSJPeRw+cuy8yGJtpuaZBgFjOswZ2BFa pfL5IPYu35gsraRLJsqx9yLqSbV8qRZNKwb0sb0YcKbLRK1zaL4oYN9JOpcPfiqdACZjcWGS8Itv VlRoYogg84fqhUYnKs3e9o8G0ji5rQ3tx4Q/qhliop/A56PS/y8dx8Ki9tV6yIEBIxrozHKvbPPu pu0ED8+qWTqHzNo+S2HozelZZOFr0CxtYPb3RPsA6D7ccCrQFSRrG8AjlNxMEIO97Bw4MCLYIWUP 7HobBKsh1YGn0sqVz0f77bpQs4BAraNnkkIZvoUGZKs+Selq80wxrwup+sMNBgMTRuiR8lAaxnK8 fjEnts4DOvKTLGtIs7EElQFxqyws4dS3/ETyRTm0YSSmdJK2xMGEVVZDUY81gQQnz9CGsdxgifJK 9Hh+cKI58GGUrxwib6suNcLkueOW+KBYSwmWn7aWwshbBcra7mA7bqUz95OqjSjhpi5MaUYW0QCG hDGrA5AUB4ROjDKErVXqKrW71txZ9lSCQSl48fmol+Q7jn0SMJO+CieBFWOmYsk5mvYwCNIormnQ p1WdTq4LZ2/luqEXo0QNqniwHLwhmWHz0YmFPH8WyEaNWVym5vJA437auYRymr1uxL9iklvoCsvB srhaqF4DdOXm85GY/D2XeB8iZ26PpWfox4iiK9D8y+pwmVYfwNgQam51uOaifDkwZJSYOS4u6ac+ 1jEnW4NgDKvZiJZOKIN/81xPYK9j0ywA2C0OqP620VpiQmH6jsUNGU9kT5VP0kP0fATtH79madPc 4joOBp6MiLwXFR23o0a3Ww7WPk3bEVCZ7LMUVrxFN+/tDl4SOOcjrgdlcRUSa5B8qPizJlcpqicO RUrTXjcQlie0lhRJG5TFtcmLD9dWK3w+4hl9wyILinn5Ksvn0JgRja9zfG+B+U/M1728NEEfV3lp z3a33N/47CbryvZYV8LKYeDcZl8ANUU5ok0AIwdE74AA9d7bSva2UW8pqxJQfFC4tOeQPUHLs/H5 ID8pl89HVG5iZXXeqDK0Z0Tr9VwuboF6IpJ+YW1p2DQRMa5kN/Rn5HmDCuT04Z5M55bMVOBGP/r8 nIOcvkzRVdHXPI0TrC2HBo2Ti4hxFQfrxp47/VwEYhCtzOcjlb5vskgBv+vrXAotGkHohBWFIdvK XvMpwm0h2xq8CH2SotB7itcnv6b2+DVNuBGBYZMkfN0hoY4sDuIJkI5AyHJZazKsDj0aM2kQU6QI Do4FGOp0Q2iez0fc0PSd67LtWa/4U45dGmFafXKIbpccK1mse/dUTLjnJPjNT+/AphFLibebtFc4 2I7LfVIkg7OUCchGdQkq2OdcQve8XIgkzi173SiNYw3O7K2QHYi02OC3yqXQ99r8gCj6zl953Anb fiih49MUBd+bhqirKsVde6XkB5N6dDQdHs4byYFVozsTGSSCg0Nv0llabbOJUvOoVVjbDG2aDVXm ksd4brltrxvAArLEr5sNU1suA63Ce6uff+bz/yTX0JAGt2VaN64M3Rp3Z47i2qG4txV+zzVu37vT MpDhd2DX6FxatHB98PAbcIyMkwqZ3FmjhRI2GdKPdZG8Um7jey5722iWRKgg6bgiF+liR4DIkSXh A5v4wLDxuz2wLBaoANP7LEV17wZFfkMHAGDijrHwSUteOaGIpM1SiDBhFgsSqA82S2iVQbFeVMhz qy525DbS3gYI/qDQR3m0H3Po2Ig/H3DdfAfdcnCcZwmtneCCzwdr6WqIsnIiGY7nYIqib3ql9Tlt klYzz1gAk+dVOmIXVpMUO6ETIjDpwMzBKydwqcgsLqPEkWs1iECiEEqF7oVLHfHy4JdEIAqiJwBQ scHu7hMWCGbS6+bzUfD9DU0uvAWeeCl0bYTLGkryDqOQQIRwJsVRAk2ah10fFMlocHZSnT7cGtwE HXtVwyqR+w3LkEYrsPmDsgTZ2fD6nfa2wSQJ7ybvz+ren4BVZVN8Xnvy+Sjb/dWNw6FU8i0vhb6N 2EiAUpjkM8ENhjWRORixJtRx1STFVjNDIIrlg223uduJ3dhxOzEA/cFwbmXaNzM5mU8gUJe9bXDD CW0ydvNBye5sRoukc2MOrBuBVvmli53R8hhpPBsuir3R/kKV1VBdJARb6aTl5ogTqhFqmuLYW30m Wg9ysCtun5wEWPZJoPvcMJKQrxP2B1hg7IBPdcBrt9eN5MMJpGjM5zgYsOusXravG+TDc+jg+KV8 pDrLCQbGPbtDC8cJYR8S7pXHyfGKmMYyTT4LQA4vMIUejsDZoLJEnMB4cALrbEWoKmSC34AbrPIV EacUMkePA5+A3Dk0cczsDVSIxdhg2C4s96fqHbg4/hLIJgGl0kPhrqXQxxEMYcjRGgyXejJaS1va EeigpAtUzoGRY3aq9mQ3joPjcInyKUIqD6j2kiQIJhcQelmiI07V7va2AUqAxQAS121QrXKvJpn1 8zV6yUhEy2sCBM9VlgOeK+4PM8d0uTcEK5N1S8B7dpqkIhubpb+FsiuQxzY4mAK2mW2p/322GPYj XeTPsQJ1pBPeYYMYyoswuBz6OU6BTYqUNQpaFbyXKup+pLOA7MwPiOapfR9NLIuNp/IdWjoCAbJT dW+V0sqdJ5qYqokyt4cCsafj1gXftw8XwQSR40H/5nJO2kFeezs/P+AUCC0obyPrXeuAB66ObpSe M0EnoGT8SGu/wSCduw5hFD8gAgpcC+cfSUPWdWHL+Q9fRxo3Ow2nte2SWgJyqsQEXLNylMDY0duW reQ7eNsygY1GZiIgXefsYMKLyuUPEhjWjy/4PS973aheSdRJJ/F2wVRQaUWGCCqLssAl8AP+GX3j 3570Ou7PER6F35luRms2g50oxkTK29gMkWIU4TKap1iJhOF3Js675XFzuRMTEQDfRDQ5v3uVrxoc eBHqZGBUnIyTur1uEDXJwXvV4oNRRs4FV4ygnPl8VP2+sBMp2O0fomB9mqIAHGgjd+Alq2u7HIlC H1Njhb8H6yeBzyMiect1tw+3rgsoPNBHQMRl8nFR1+XCwx+Koom3Lle3t/3LF6uapKZH+eVEFIVN GIBzBp8PspT0rZ2Nv73lJ7YMjB7vnnPtKGajbtG3q9UFBvoytpbiAFwYgZp98LoAJEkW7xRAmGpl sQ7Yr/HDSlOp7XrSEEbNbwn2XJY40i4+JJ3g54OaLHlX5/PBlrv9JsoroLkHbySfpbD4fUIX7Djx SVF9vuAcgOSyhFta9VJcbPYo2pttvP4spQ5Jn5OlUGKL5t8sfjcgbBBoQlfk4k4Qw+p1/0ANIlPM PmgtncCyCHey1+LzQWj5qCawnYeToacrm5BDw8dKEzG8aaasZkrSZ8OEqU6JFu+oDvUKHR871TLP Vd190Dw1eItAihVb7lx6QwY2Z+ZwNSdi18q1CEeB3N43OpoYg9e8fNBygsAZY/CakNAFpo8AHv7K ejs23VVFzKHrI+TToKTt7Io+HRtfF5GpRVmvafzmwPYR2oF0YCGbVIN3L4HFgXgLNsRZReDoEoEJ pjjSbaCl3BeTvPcc2D76+U0PSQ7LerzwAWYrU3iBwPfx2xuCywaVr3I1bHNo+ziJPJE4SaU2mbOY sgzpxIRbXooLfR/Xlt3aGD54aWCec+kcq8x6oetCf96unYaKAboLls0NxUyB8SNCQ9Z1h/hew5En aPotsXLr0POR9cEv5Am6V48IaQ6tH6vuuHKtfLKvJJuvqshy+STFSiVMUJaEONblemH3bbhOLFO2 2xJlRxULC6h+wXNKViUu9H4khgkAXZK9mA8yxd+9rC7QdyqLHxAp14/vwBLHd71iJTmwf0RJAmol yRzWNuqvhodLeXueUtu6+y2WK6GQSyZzkIOzdU70XRYhFECe1C18YWWIBG3p3K/+31S8FPg/erup Lor8c7B65ZkwwXQzQEyhAWS+9OXGegv+b9tXJyAHFpAwzkKaUkqxWKCzxctGOKQwTb+tu+lz/sMD UkGA0pTWv2+5vecUuLJscMnYvKT9DI2bgYdwEcC27XX/wgvg2vXBaE21DfJrQcXm89Fi8nlSWw4H 4n4O75BdefYG7FJslpKU2xB9F0pmapZAf1IsENhAImu3UKn64NH3WdQFZFZGTGf7gYJyou9Fex9E 8/kbe7LtdQNuE+iY5+9qVJ6AorcqAnxHxk/zhHr8gH9VdgtTGthD7RsKBF6QmbXSjZTrxySU6vVC qN29INFN9cUURd9CVTRRCNtDIZzp/Ntw7pVB1Il5RCGACAFsDs+ywQ3rm45abjmwg8T5Tdvnqdma dpMi/Ia1DP7x/Gd8Pkh58zfqm25YczywitAREgHwbsMtI5qM/diXu3uukwBh0xSBT0jG6aMxFOBg t9y5dxoCSxG+oY1MlkFigRYNX3olfOuV5cATUoI9FC1aPmjLTRRQuBImmpeBKSSAku1rljDLnXYM nKTPS7jU/l8S1xJ22NV6l2OYGheO7+m9y9lsjl6CpfMxiQQ2NFDkvupydMAsbUSqhSimc4M2fANJ PcjFUFSCftflNSFYegmV+KZAVzc4KHYfbH7AL6cLxEk3Pi+BEl9wT81OM2gOAIsAyuI/Ob9KNDtE DE5vgFfCrAgTgFmVwZdSusSvYHa0v+D25YNHSef6GmA1yf0IGw4TeC7qJFWMcxuxb/iFiH+dHvRP uhS2hw92YmfocjFIWnj6bXqwxZZND1cabjWKtXN2XgIkPgSMCciDVlM66YIBctw4ArS4kdwt4iU8 0tqh9s0qLONysNkBVSKtyew2ofRa2DVAQAO7hgHc6dVsJcT7JTjimxbpTWy6jXBQ3+R8uDo19dzH n5fISFO7fXJE5EHoiJCDk/MSF2npdF7z/VFS8Lqk6ZFKFrkZKOAlKuLHDJ05K08fPCpCZLd3l5NG FvQRve6J9iDgu+Vq/BMM9HmJifgVWfT3ST8f4Cek54f1Nhjz/pzbMX9eQiL7Q6fNjtYJHm/ZZucl HOJDKMiftNKrtlrb6ieV6jIKbXaLrV+CIf0yErwRH6c9fJwJLPFZMEOp7Anq0Dgka6mfOxlabTRa 1cbalLN9CYV07jCBLYUOCMVhUAWN+SVd9rMgPy+BkJ4uvna2jqgfCoZodl7CID4EzjRK7iYyYZmH iAHNmTh9eh/pJQji7Ez5z8prlYO3JEElaqyul4rOCfqbFCaroIai8n9vd9ix8lXfZ2eB+D4gHeyD 03BGMVe6VT4v8Y/Wjlkf6HeDvSr9XjU7L9GPjnJIcAzZiYGLk3b1LhvFY9g/4laRi084O+pqGwf+ sX5E8nGSDvJvMpxZVMheHSAmMuhwoHruKt+DaGtlefQm7jDcN8KA5gTPemK4e8Urvk9PsXatLetG YmCx6XmJenQqA0BiBcb+Y7JpXDzVYRIQaV1msPoS82iWWU3rlc1qDjY9UFDtm60hFPxx2VOzrSAs Yz2CSHOLoEnofgl59BMwG+s8sjRYmAITaa6Ic8x9XgIe3RvdZ0dHOESVU/HpeSk32t5iw9+MaDYB HaadUPPVTkjV+kUvxUY7FdT/UOmnXI4b0DWoMCNxLzApS71eWcQFDHxhhG+ItqZXDaZHcTOLQxqs VdTRePkhlhdPv09P3z49xJGxucf6PqfnpcqoFcvmrJ8yOy3rWzMezD49EFaQtEQU8XSJjqY7eGLB vhA4YJgeANwRMgIAg64sLhGW4Q3JRnujlwKjfoIlLcRZfNDBjMWpAiPKQi/lRbu2fh3Mm1L+3ePB KFyGNNcGc98wbBKT4vTUPZ1ngyTc2H/R/JCqJTtQDR4Ror1LUVs0G1FORHTUBrqTE6r4udC7R+q1 TUIA0foRdm0RVXWxFif0Rs2Sf3UZIJJG+2sOv9jVGzq/S737643Vpp8FU1TH1sScv8YcezKkHh2b la4A0BupzYJmns+SkBpfElKQVkxlmQjA+eETki4k3CemQJYAkQHvxyIM48sGB3RhPWgTUMPBoOy4 DYXSrnw8CJutMdQknQzaSqdGiqboPXAGEpKqkVYe212BMxEQe1pl8SSmt/waRc6bJeoxZvfBKW0Q 1BpTWvXlZGFWWKTrCWQt5R+stELZexQ6d6JpK7UyNGhvQC88yRYMLKT32BmUCJ8hYbjONlvjrqEo eEbciqZiskO6LDfqGwJBUu0PZR1r6gendGfH7Bwu1QffZk0qXU3kmrMdKi0wi4FhfjLUnO4llvSy 79tMjqGtsAfLQZkX9NFZXIbo9OeNzKaD6J7TeDsaZswnMX0PoKWLs9u68BBhHQh76FerviDMtrJr NEMsbexFWU0OmqHdRsrnS3iPIfEizI860siecY+gm05sXx5WiAmv+SRsiMQ1yUPTn3V2J9t0ENz6 vBHZbJMmnyHK/RFx/HUSRWE0ki+wOSzJ6AQrmDjr9m0G7VObo/c4Wq6Ir1ptMG1JfYovck46OpFC /qaQWbt+Vrln9aB1zxuNzU5NciHHqD4oQ03nl8hSDy/l88Zis6jGz2qy2IAlHfOZoTiUBqyPZsNs 44+rxT7Z8FIbH3gX1TeiWLqzqDMHq18cfJ9Bo4OGN4ilIUZGWckC+i2obDLENLtHHdVRLN2Ypp5j /Q4q3cOAiwEgeqOfNwabFlH7vu9BnTt/2J2gKJgGiwwhirfwJfy32MJv7irCwFETFEXTXTJ2du33 9aRi2GljSh4JGBrkegOmKXQ4AxpqeAkRShx62fcZGrzvB9VHNWiGygZ7DH8h3J3f2Gv3HZ9sA73I c6V6vPjGXbO7jCh106g/IS05yJghSKr8uO8K9MFYso8CahH9V6cLFAevIEIDvHKtnKWE/jEXW8MX VwYl+bG/VlvjPaDOtCUFTakNH7TJGrh10sqAlk0UUe80vyYogx6enrssiqh7k8SWGYbCZdCrZGk7 uQ8EX1tCYUhNtrGyUA12UpdyfteVKICcBoh+9PipII/TaYzRtpfqp941mCAWn0d9BvtLTz42JUwD cEMUU+c6v04hIPMKoiirIkYxNcx9DNLLgHFdBF9V857qxyvbBL3x1eweZp1D9ljjscc6l9pEyWOy mQHx48mKY0aDtwFnOfNTg6Y7+Btd7UZcqJTxKuvJ1Y/TCap3lYFYyZ83tpq9YvtOOs7vpNtDExRF 1JnW190g1ygqejTU1s3oO7synKD3iBorkQCZIcraKFfjB3Xnxb4GDqGTC7EoAv90cDIQhoLi4IdQ HnrZqExPvMeqGrAXlbUW6CwSKAzJkTeumlZDyV9rCECFtpdvsjemmhZuQYdeMH4aZaX+46Yi0y+y DhCJpug9oka5TrWyZ7BzGgBNmImwbzgRgiC0bIMksYYGAFRnHI9GR4g3nprlZchYJ9zkMOB3Gzqo CzNjStWvzxtNzWLqm5hhOgrhA15TfGOpKaYevMqsjQqSsVvTbFVf2ahHEYYH9RtJzSIVKbKU5oNv M+gpZuLDSl0NhnSUHYPWOBAgcMDOFxhDtZE3jpq+hAnZoBmdBsXUi8Qufl/m41Fyf7s9WEQF5Y90 F1EUU6OgtOsyraMTDXWLF9cJWzzr2NQ7QLz4xk+z2Jw1M6H3+4PenxBCPsk3M9ezFmdhwRXKPhMy 7EAyrFt3Zfn088ZP+2qH1dHuoOS+gJUjZZ80P2/sNF23a3yfRGdrnwPEyx9v5DRtzoWCq+yNGgkh nnXg2vHcfnni+sZNs8oWw6Haug+3PX9Oo8Gz+KxroD5zJjj2bIaFMA+x+w8AV6jbgy3weeOm6U/M Uhlb2wfNEHimclnbc33eqGl3nT9rqHByiBriDL1H1DiIEEcTVGT1s+Q5x7igoUV8PGfoPaJG7iLX 65x88INobmqyChc71wQ44wQV0HRYcFRgXHO7Yksv+34QERoy2cq3wWbobDiZFZzF9Hnjpd3e8dcu ExT5XvdhSA2LrC4hCGZl69obsekte6PtNP43VpqtYPYLWdfUcNuGUGGGOkAFELqen1kt55PodVxi q1xvZ16CnzdSmk2QkB2qNC5yu3jkljE0XcgHP2+ktFslfmaoE/I2PLd/46TppIaVYe8zeXnIDaB2 UkBECW3k3pqh95A60RIRUKpnsIgxQ8mWxpoIiHCGM2JEUvPTIGl7zrjiFbRB2PAbJU0/p9rynfkr ByUd84RK6VLS3hhpVv3wk5qNRpz3+Tmpo5gaPdhzo7RqZdhSb3NVxmKsftAmgLvsPabOVmM801p9 uDN0ttEc0oRa++QcprJJ+CLYROuGjHQn/Lxx0b43WWcRhINfZalPAwyPzxsVTRHn8E3GUxmAL3Ag vPscBtVkO6+pLtAC8fDJ7KsH1cPxZm9MNLvLOqemJB98l8Emjuk8WMS1dtPQxiXa4Hk0L1Q4szj1 eSOiqc0lUJ7UjzlYdx51Px7UeeTPGw/tvuKTllFCEHQTm6AQ2jFYWPT+PM92u+yz69S3DYCWJigu U0OQL7MGrYETdC4uQD0bfJJgqg6uz1Jqi/26t0SaqT4KOkzRuwYTxEhxA4dtg6MW+5RS7dnQnzcK mv7U5He9OKJIT2ikqBmKYupGHzqiVajk38zAGGA8korR66ASIjmfbwQ0bQDqr8/NuJGDL6Gz/RBL U7pnwtLbuml2MP20h8wIDUR+x595WadkVu83RQdeVDr15+f4vJHP7Jz2JaRKEC6Ekf2gfuOe6fiQ KW+zGSrCClObTqQqatP15DP0HlLfTVZYJOJgx1DC0hiLsqInHkljdlloAegNaRVwlP0YojrGG/Hs STqgecteKykjXfUzJHz4x5ly+bzxzqz48R0OmdDxXUNRSL2BoS41G726iY2OGcoMWYXGp3gvDuo3 1pl+IAiEjQQNDRtsl52jB4BRBIRn1vtqVMboEFP8yeAC3tIHnQE/b4yzr150y1Rib1KOIQjmRH5i v5yA/fPGN7No5PumR58V/ng+Pe/xtFxAzhFjipjm7y6K/nxw+PxUTk9UoU6qmk1qiXHwBQQF+T3N TWShq7VVPFto6LUf+Bd4m4MJxxvVTIt9sRsNOSEbNEHQSSQKuZzT//PGNLP18w2iouNsvfXXN56Z vhTqj6N7sDjq8hJ+EUcP1+IY1cAMbzQz22EsUKtExMHOoIxwo3ShpjvEV4kHhkrTzyBYfazLoBIe +I1m9lU963I16u5qBOR+6yZhgB/xPZzGYhi/ZmhAAvrusCichtAoKg7mOJv7unCPap0PYKenz1Bc ocbZiyxAwSKrL0xagV2b0qHLaC+B9ccZQoUalHNQmCytZ3jzeaOYWSWLXcSdVMNHDto1RTDn4/W9 TrT4RjGzYNM7QQy+gb8CNcfnKMR8oM1RmimprL28BAvjj37xVMuDobBGTeMnOP344JSgNvAjV1aH 4OJds1x5kb2izZALV5GUjUvWy/51UFcwMyGWQ84i/66Twcpccpxg4vNGMLO8NX0tIzQserp4vDd2 mYVDaEnnpTgauGwXV8vdSMOFHXKboqhKLT2soZSLw0MIgrRjbap9wCkcHdeBKtgCbCfvK0qf6R/x eaOW6feUmQgxxBoUD6UTnzcdRWt/3phl9o7lK2CskLChmJkQi1FE3YkmF0ZosX3vVhmblAhigWti wAjIYhxR8yhqBBBxcGjMWR/0CcVGA4CCiE6o6p5gGhuLRCm3B6ds/xuvzIAJnatnahFN03gq8ILj YX0ClPl5o5VZs8y79hTtB4ixo0toUxRCPwA9q7DSyjSAgC9v/g9Ab6XSrpies6CdVZQY31hlt2F8 Vk+ud7hUIOzSXqU8h+qQpLHBA6dJHw9Mi4da0bv+CY7JJIZX0S5xEp2kozGIB5b/88Ype7nvHc7l ExSG1JN1Re6tTbUZt6WZaBPRbqWtREE1rKGoTN2azLHKHbw6NKiAmXkQbSAP6UDX4Cm9yJWC3oWL GbOd+MYos24Opfk2K4wcOEPnpyw7mxo4H4/Oof19nTXmQXcJvYfUYDHQAHMJXC/Ha5shYt6wy1pf 3GWdn/NXSD0k6sDBI6IOid8s7OvJOiZQpxARTWDrwIaUurQmZExGwhubTIem3B4GYeUcNEMg5Eov /fwSnzcyWfuNnOYMgd7IjEczFFepifzI1etnxpYCQm8+9TNXMX4jklk/UY3E3X24lxl8eVCmq+hR piyfR0iIQmgQ4qaApylzJars88YjU+Yqw55Gd4zkOLc8QR82IkZrnzcambUBPOnAVYseahvPGoqq 1AChbkkuMCTa3ulwJDU7HasYp+WNRGYrmCLYckfnYDM0AWFssLNDxxUiXkTRVFiSnciWOjjXPwwH /OeNQmYTxJgx8TTioKj6fCR9xQGzGZ83Apl1379LsEyDKOymCQphH8wcBfZABksjDnXLRu522Y/h DcU3+thXo+PEh9sH22RQaYCYJwsNYxJvjJOK4O6ODIKeIUMhY9G7RugqefRJm787rwUWW2Wqvlj4 eNSS9gnC5qR5OhWNNEFRUI0ez1atjhO0riHvoLmEBYxegX0jjrUL8zyhIdIzDd4rQwaGggHS1nU2 mcx8OumbwM9A4dgPIaI833hj+jGzIENK7EdxdnSm7CyPXlxkUUid8/cxTVg3AzFNUBhREyact1c+ IK5glY90VfnP8Wjaci2EUbPRuhpLRKtdiz502RJgyNxi5/OLqkjwrWBnCzBGd3mkaiW+I7jpE6v3 SfRDJ/JDcjbXrq+rGY8H0WK/E0TkEMLK/BBcwoAaHI4ljcszQzMVZ49T5EXVs3PrW2rfohJ1Kjp9 UvHh4mLSSK2RAIS6Y87y7gHGsgK9mElxMd9rHdNRQL3YZ+1D5bPh5bN1bjKpjZwMcuDxCH3m5TNS afh/27i5aw9D6ixdQlOaR9ndVlHt5VaH8I/iubyH1CjfoQabwFSxwVZRBTq4Nm6zk3HknilQ2KlE BPJJvbgGk+PvMf1Q9TPiYK+jbR4LPBcVDUfF4xHBbnydQyAD1Db8HOphkTpDMnVNlyCY06XSziVU vMBYnOrSI+TH0DkEHyQbrHwGo4VKp0jUh4BXFZETBx7LdsjgLCmb1LLoUZGakDoA85oPmiCotfP/ qfWkHD0KqB+iFNPWifpU85ushwE1KIhl7uU3GRtBwn0UP6jPmWgSOz2qUUsTZZaafPC0FToMfUv7 hPAhEqEX7pWJMPWk+jcpI3EdX/JHfejMP6KEM7BNy5yjga9Z+We3gucDHuKs31kZ6hVonvschUxE ANBaX4Lh78wGi0j1pAGQplkppoisrEch9TQW654+OAx2JthgJ3E66mCeOsQaRsX5xCXpQvSkKNuj kJpmxSceERy2JGl2oNxXGs++3jrfMTisk6OHit4NHsdeH+oRG3GgTowmjWMYs6M8a7v1oQZGlGYo Bn6weNboEsbhBoyzsuUqw4vdMyVBMmRoOjYO+4p23bPI2KM6tdqJPavhQRkqNXUyzI+pS3wucDwf zND85pQhBuwiA3CG4pAaYIZZTVS+6kgieKgXF2YYK5mfag+BH8zspzzmOXhmT89LCMei0wEdg0Fz eaCjOoQeISfsBxFeA98R3PeNKM/VhPvY4GRom5VOqd4foEjxfLTNvsFDoOm1dJv2PaxUk9NBz5X/ 0JOPNvKZHQT0IgSlBh1CMxTG1JyhVnLzwYllJ83olHqqCM5BVkGlukEYcgLtUPK+uAbiAvElf53V EKj3QcWPssyh90z/xOMRAO2e1UR+oNj0dd2/R9WWdpzfsKs8xJ9Y5SH+8EXCnxtJDLdZSE0kemhk ntkcvJg/sHAK6fQ1nUuyktWxoNU8WG2m0JY5OtI6rUel6krtWCQXPhi+aq8q3suZRDwe5Pbt10GE ujd8xWyGIjB1p7HzzionniA4W/Xj7L2hlYWmNPH4nZ/zF71smMk84X0/lUf1WT/QeSS9bGBVLYrE jEYAz/WZFZoaJye+JIqI2Lbv6w5aRKAO6C8E3LyHder5XafOCVIz+RJfehhXg9RRRfTFZMkRnM4N CrZpvNMQPzAkigrVSzDG/Az3JKrwYC5WqF5905JgohbBGhRoMV5kZD6KLwmSV2atVebX9ZpfZ/Af WGQ89/7E49Ei8pOoygOEqqHLMfkjiquhsX+Sy+xREXWdFRWl5uqM0HczhnRUqp7M7xcORBv8xod9 T0FTFuSgit49Ty00WioFZ9s2WsfZZ1nvGrWEJF3Zug/6qzLk+7NqaBmPBxTpcVeRdJ1pbeH32YgC a0g671pMpcKVramgw0tUXjJjGl54hJVqHkVTqt/zS/V7w3n3RLCykNtNC61txIAdyjC5Eh8jbS8k 7fiSiKQomaEkMBrMfXTEnlCrcaeNhjn6d62acTRyFzME5RyFmGpIVg1SkbCK0DqwIsjqF6iH08RW UVSshiXgWWvEmJ2BEgGDCJkTQJ/IaKk5nYAFYViAo66CX1+exuKE8RO+I8B6Sj2+aTG1Lq80aBeU zb3Tz//g8X/1O4pUvTrUdjyyHlFkje9B6G5gz8IiKmeoT3OZQ1Q0jUs+osh6sdC4JbC/uWEwQwDR rxPjmTXhiYCIa0fFH2cAgkfSp+zKh/EOviPqd4iywGo+Bys0drK5UYkdG49HSg3fQdHgBdE9fx1R YI1L4RySdXhzujrmHIgfO4hqXkafGlFgvdlVXDIEX48h+ILGbKZEBUWHJ0QBgfVsqBqCEZGxh51g hisKXxKdRMScTx6yFF1nwQcd0DlZSx25ZDwfLaJ7FPEIw8Kttxg7otAa+MpNlpfSs979KCprX7+m 4iqxIwqt1zQy8PTBjqJzUJ//jqgvtOpPhERQcUZfmuLrHWeBVavJuB9RtZoSsWjZdh/UeMWdadWx c5+NCFI9bjmfK6bSZ+QyF0aIqZ5gUG2rTO+++nIGlU4nun20Yr3pEWGqK2usi6B7Dd4TOpEjMNtG l4bqBIuN4N4zwKjlCg0Noj1HqPhRtXra9MEqaXV1iervPvB4FBTtX2sINh9t3KM6BIF0iJ6Wu8/W fnD57QIckhvIjSiynnRsXKPr3mddKjOBTWC/TPKl88mecuYyk28bkkielgY6x3WD7/iLLj2Ehx0X DztROBAaZK2Nx/8XuSGKVNV0JygKrIe847JtMhR2bsdjmFTViaycHzTCwFou8tprHLx5jwpLol7n CVt6z0OpB+SFG+D79Mmzo3prDYWBdTOiNBuvxBkkJR/r/A8BCudNFz4gKllfZRSe7PSJ3F6yHjEG hL2OPjy0rh5a901Pi00447AyyAhL1tJG2XQl5uD8Fyh66N4CnwqIeik3wD2Nxn1sEKmqv7e9bFRM K4Lm0w1nEc/GOZrogrMOcnYxng/maPTvC20T4XC1dcKKdQV1qnZBP3ZDW9tAIKzgSR5+01yv83Oi G583GaFUGrxifXbaHKuIUg5tI1X1wbprgxO7LhyNBh8zxIDIGbULVn31Uc5aHUyaTrDcGh4PJqh9 N4agndzK1WaaUWCNTPdsL1sum2ZsmqBRiHBgBpu6pWcz5CoqsM4qpeWnlLaA9oTApiAgQLklBdYI S3aRt4fVY2mnM2OqYpY6U/VB2dm5SoQwRbcJjwcn0U09tNwgHbEvaHhGYTUaUBt+vjqKuiDoPIqo myhVSjAhpM8UUhUp2YAukA+2y9D8OdH1UskaJS9RFQEznRCsyXtc1DARp/iSYJctuViu5IMOokH9 jx8Sgisej6bIDyJe7iDAAPLkUxTF1Zn1PnE4EVfv5vpney+/zmZ219gZVqzpyoi2ug+2iMrYUCVa 7C6ey71VttcKxQUqtc+upDCjjc8MMSAsMxbhqjkIA7Khuswo56SFnxnCqn9VQdoa30zFGcXVlZzy 6m2P6fR77DIvWJ+/JlWd1DPUz8MlBdDn9MEmqKI3WpZ8GU/GCQ8OlGMBGukIajOa747NBwfhM0Om IvdX6kRacdA2Q595CWnV62dGYXVr32g96qPUZ5dFUTUOWChrm0FFT16whrKCqxDRr1MzFGp/ZPbD IM1rgzeGzr7aS7sMLfpVyHbdwNJ3rCOe4RYR0ZFxRlE1TjBkrTqomx/UoEbI9waRJB6PqrEXRqRq 7Jkhmu9qhiIQCBgVm87tanrUfItEUA4wxOfOPkNRwVrJK2JdH+wcmoCuo6LMpsd5LRQZBwRXzrsB TASFMmdMU4JxRlG1TuqRkg3J0vsBpVESxzKushAE0ut3PEQYWpvO5ZxRVI10BTxca5wVAdBURrNp gxWjQ6tnFFUvCjCe23r74MfQ2VDwhqU6yk4Nuw6ZGS72DhsWwmS8or/1rhFFiCJEmVE1BwthylSJ 6ASAfDwCWnlFX1U0iPNeKNqMUCAA+8I7172Xer/1DwmjNfFfLKqeUVQt8ItZBHDwTQYpWfI3cU63 sWhVCaIiJKwQWpDHZOVqYs9nFFU30oFTYn86uVYoeraTDmRnhnrH4xGQ6FsdBdZftT1ajDFREXkH jSwJ1hN1GpWFTgF5UTmBZdEMheIfYgi13nxwFMj5WTdsbWkhcI6kQeXzs7oLfsauUo1hhlfRu/61 ybq00LproSGFrObled4aj0dJxz2GqrIOItt8l60opD5R7o85H1KXoA+/7HMyxD7qHyggY4pWBALR 6WICQxwuUIaew/LM2wnQP3kOyYoNn1Z/i3SvEAQC8as5JQl7y72wJxrLcDK14vFIYeeWGdXVpiLs VayMYmoo55/NlZrN0LzlfOpr2AztO0MhCoShKsUFbbCTGo3GExHptq9guXIRUdKClG5Eo44kwlrH d0S1akFiKZBS2MSVbe5iKoijeteC5/8XOBplQGiVpRkKlagbqXBrW8OjX20L2o9Z/WN7wLhiGAg7 HYI4rE2aUOMaAv51nZSVa2idH7xlJbf4T2AEt28hFlFnw3cEpepdpYzSfTCczPn8IYOSNfD4/1T/ QMG2j7vJQqZi76SUm/hHv4Rp4OE8YKyg7WqCQlg1s9E3Oeo9d93MoM/vsPKj8z7RPjcfQTcV3nrX v+TimiidHHRQt0TrTELwKh6PzqFvomLGwXGiMq8QrSikxoUFLqeKrwB/evGjzXkrRI3GbyccWjFT kZGi0vYh5X7N0Hn7kxKI3rE67isyzQqgINSbRUfa7jJif/Elgdi72PaliLOIvj+PV5LZinbZzHg+ CogcjYYTjKjY3rxptqKYmplranbJbxhGOZAoVa9UQ9PepiiqVHd54p0148OziM5vLBDISc9abnTl YNNS3em5nRFcEuFoK0KBgBsGvW42qTkYNv/cBFtbpw88HoBAfvE7qCHy9DtWFFMDVwh7GiszWhRE IFGZqjiCXYLTkzMUxtSEKY5BK7MxrpUZ1IxO0jJkGDCpn0syHlUw4OabuddUHwLegi8bzBDv+1R4 YoMEqaAaPEgKOv7gJ8DjEe3+ssqnXu4soucyi4JqggrbSDJS2LLD5j7bFT0mKejC4kdTFEKruySa lJ+5dgmKjOdAO/uMHCGgfzLJMg1T9wMzUqgj5gsCmUMv+xfoMyfyPDKtwH6EJBpMeiHUVPH4+zZb lzPNyv+Z1N6rg0BWzFYkrePKVm5XfwdA1vuuLS1rCK24Vt21v4YPt0C0ILUsTw5QqLIKRNZeo426 CzUVoBX5JcFJJFPz/zqsSwUXoom/sCoej9r3v5BEMOChFKtNUViphizjWJ7d19W3Jx6yEGb9AwUi iXjHMtUkUNWSfbApAvIPRCC2flIq5wSiGzXoLwMKUZnypxYSjaGXjVpCLA1lsYTyZQnBVX7JV3FW PB4ppPwi3iMRQKlRM7RDCMgGe6p58x67y2Zotn0hjdNVdnZUqB4sVA+AtmxwrFVp6EdPO4lW7Swi JfSbOniqKLe5iykL1TuKqpvMcCe1TzloDc2zi5mFnLiLjwcltKc1rUvwbNZ28479HlWDOoNYOnkN LSdX+9oilkjLqnSTJtihAIhcXQq59xy875qQeFCP5IRBA346qBANaJ+SrJjz7d6j6aiXDUpE1CVO dVQf9MPDR1s6QifgwuPBbZa+NYYLJAfbbXbsEFx9ljdUdhRAb8jTeRm2ehm2I8ixGYqiarl0QJPR h1shgulUYtMsF0RCLNhmdk/pT7nLxcggwsB3BBPUjQVzB2Vm502F1T8RxcbjkQDI7ZhRFWOQ/HbX UMhWhFJTzdlVmFtLhs8/P003AAg6OjZDfwNAxhZsb1952HXOjvM8rVzOcYa0ih1ayFf/dJxJGVm7 94N4me0ort5NZhTEyHDQOdSSckt4/vEdI5LHN9UMuWttF9G4Q2g1GAyqPKhElN3opalhRqOXqxi3 Y7Yi0/oytg/3HKp7wqqMawgqV0nqp0xZJ21Bbg0NTBR8RwSRUcOsJR9sDU00PHi0tIrHI3SDn0Pi bkI4vV0Kw46iakT85rLCGUqt+gyhy+VenNMdF0L8h2ANUvdcj7rngqHJRjWfMjssL7L+ARUMaBfD NeOi84Giw3cE8VAh8AOaEzZohip6KOJ4pIXHIyGi7+QeqVB/ctcdwT8SNUBqk67XRtb9YzpE2xTA YDM1fJOFknrCC5tl6XoIrzBjXAvHMkSqz/xPAmSgFAuOc7XkXl3p3vWuEZ2T6PzJK5+DJmiic8Ia 2tm6eDzKy+4eo4cy4DbplvJ3GFNPlD/yMB5VJrZUS6hnl5GBLpLYeDuGf5i+xfDB2Xjwi59qdiRQ cOuW41IhnPrsye39skJrXnxHEDBm9jMyicEcNEPnF5GmDHxQ8fi/xL6UyVOx7ppx7SimhtcRKtW2 hmq6TDOZ1YmvaObK/Jy/nNzmUJFxPEXGBQjpuSp5lYHNuZoE49B9hrkkQJwOstpT7xrZvqhh34cP BiHCHSjt08XHIwrMtxwa4CId9k42QaFKNaSsKi8FTtBezZYQxclNt3JmM+TaEfZj0lWaM2mDn9M7 nT8fpTJ0g/Zausmquq3ncp+3oVhor4vviOJFsRdW8cGDITot4WIqDY//awnJFXd88zsMafP2rZMC VtvQMR0StQb90IlE/Y8xTJkgp1AAhOiq1ogZ5mDhEKgFUO1H+aN1AAMoAEKuP/jO/KqLIVrbXjeg dIq4UIbqHzhYGAmf05+ep2BS5ckPiBCfl9T5I7G68UiAiEL4+hg4nSdnFdYDOb3LpNTKAyiR5NGt jpZTHFezwlp5anPw9BVC+WPKgAqNDsRX8CY9DwDs+AMk6BXbYVyNb/lLs+m8XPKBvz9MZTb5Zlj0 fD4i4PuVRu4Vzgfi7HyaQhRIqtf2DmoFEvL+XUsDW9VV4VOIrqbeWW9kVHHwaTqLqUx6IyNB2wiF KRR7QqXJeFdUD7PezPa6kcqn1FIEKUqAkiviAzSMDc1xoid+QBAcle/oEaLrdX1NU1S3HlpN3oLt 6+L20krJcfrpOt2m2Eex8sJiH43DF61qLr4Q0Bvng7E9obB3VhOCpoJClweQZdvr/oVtzEB62qAQ G/1t6eejk4/nI1Cal0NIo0aXs9ZnlqIg+6SWOLL7tsVEKJNsSosRG1BtaMn3XOgGw5LsqBQBG/XL tgtc/C1/+RPYQmZvMpcdOJrQiJ3T1ZsUiPBb/vCpGBv3ig2apbFTM1ARbBhSiAi5dHPawaDiMB9W TE5h/RqIB/UQME18ZbGrVDQneK9mkj66Pig6mnjJJfYROVw4+vltoXKIowm3KQQMMF04mujmV9u6 tce07XUj/B4pMUPpyGh3McGsVKyytPl8RDn/1Sk6P22fX/dcLA6C2n4yo5MzNXcxbZ1Mi9IOgB1q lqJ4e3aZm7GX2Nt4KrQZ1khbND1YUgDaDZHvc46vxVkCXkonE/41fctfcmll9zsIXXQWq6pVZe7O 56NCvx9MTIHZe7q6ljlFIXdJsugUyXPTKlvXXNM1x44jpAtsy8USITyRupxM+9V3OIEEvPLQm4EU +kQ4wPJRzsZDA2rWAiaa5vJLgqVEAlpJmqs0jB5T0KhllI+6HZ+PdPfy1+mNWkunG6VNUogPWZia Oq/X4sWHNLGshONzqaKcIpmQSoNXMOh88MRk7L0yVVAxCSfQneI2SD12/oC34qGA/JNTrGQNRPFC MdwGhYLnzpGPF8gSfD7Yb9f9BPchcIGTu90mKaxlT9iZwgbEy/1uKShrNqW39K/WJMUYEfoN5Lx8 8LgynRsUigjsPKK1CaJRgzrJT6OGDw4kqn0XYjf4JUERSfZLFFPRoEnq5/heaqsxqgwtYi5JhqAk qGm0x3Yx9F3ENgDFSYtmoebm5ezsjnknR3U1lRwYL16bIUJiNfhKmidsOwePXHROSJBJ5ifCmxoC dCExX0qaTefAeNHp1fBK80F/V4UTHK2qzs7m88GZ9KvkD1UJqln4JEWhN/D6oKOZMW7hGW8+MY8Y BvQqbJIioIgsPtZmTLn2jSnBmDzTIG4scOSQ8sRiQ5fgzBFdzx5IX7K3/YPVCNHnO4hLhICSaS6U D/l8EHlfy/LmK6nWZ5JC70WS0GtVdRYdDFckBqnVKgEDXSRWAnJovriY6YJ/5oNP0jhxEoRzCIVA V3/Id4fFAWw9+p6JTVT8baPeESW/QRjhUFR8nevsMrK6oZLE5/9V+FeTACn2408Z+C/K+hMgESso ASulSTp5HdXjCz2rqIDZ9UHBdgNebyTQcm3gJEFBbkK3RfLxme3JpbIcWE8VGNp2FTHYx86BAaNT 9UenyNzoLjJ3Vucisxa3ZOXzUcXEC7d8uUa5o+dMCoX4cHDXXq8JwS1tz8LTvJCsP5Jvt6i2PUXr 0F7i4N3+E7DlpkYtym5NvP6CTK6yqASgk+Um0i/KoQvjksvyqJJ6qooBcBVTSQJLCUaeoQtjWb9U U+niOO/1Fpgwqj123teVwprojdhvSyAALKWEv8OWUhh0s/QG3z0fbL/1gbW0ijwG4f8xNEtAsSLs Xe06M03FAKENY5aJ56LpKQdhj+CIIpjDOUv5fCR6Wb5ibjIU6M9kkxS7xoC/R1VNgo9W95h7kPrC mHsDs6o0NzRiBJwMBXLVlvYTAwAmumdloNTYUlpZzUhsNZgu0kZvibKv2lJoxaiYG+1fH6yj3SAv ywixbD4fHN3X0NP4aSfRIdTZpikKuoFH3LQDY2rSIH4keA3OEi/BTSeC5sCOEXkuQ6XOs5vDhUaM chaOmKCjzkVNsbY65hURAQQKPAqo1d42uuC4lpjmarB20knXt4oB9pIRrv8XNWTT2+I5u+Ogu/y4 0CU63Du5+mXdjuvvMG73SQpr3dvMc7cPHk+eCUlrJEmorkZjVXh4V+g9VWIv5gUhpWmvG8jKs2RC azAbjIR1vlI56mqFzwepyfrWDSvQAciPr2foyljp9UVGOApLjbtbkuDk8LD+lnqqPkt/O52Dk++D Z7nzTM3mJjhLCbV7ahVvGlqfRXaW0tN2W8neNnJI4SQ1dnA5KKA8EWthGNDybHw+SE1u1M0lCPod 8iifpdCaEYXtTaEx46bXdPFs5Zp7oqivLDf0Zlw0KBiJok4cHAIwafBJg1iIZ+8qtBZLAp2aGTeB E54th+aMKlKWQSxSGc2y3Ho9U8Bo4vPBLN32JA8gKCW0y5rNoT0jkOUPWRYs4/5IFm8h2vCzT5+k EJ9tIBtN0riTBKWVE6MMycuDm5gJ7SIo6fyMsPm8S2nJrDr0Z8xs4UJY2Qed3WVaibKdmInPR7TQ 9nXDsd9JKJRNUigmMoWPEIPm5G+4J1jv7nRjYO1tQJVE+y1waJRlBnA2LJvIPEz1khNLnv9FagZU 27nCAIwBqq1UyIJTgz9dpe6a7HWjDI5YiZRlSQTmJGPlDmwQS98oqPMDgsA738Ob9RXYRKarS5MD l8ZzNfL0FqKEdbhtWgeLUE8hSJFM+DyFSG0WQrp5Efb19AXOiYnYgNU3LEu6obYKZ6kNDfNC6ITf cdteNwAEcJ7KHDYsbbkTsgNnyy2XO5//p2YGQ/YF3tGzmqLQG16rW8xyBpVE4CH0njvZf0iBI+tW 5sCqEdgCciGUy3HwLUdFCeCswTzCguF81sTQG5F/LrfjPZe9bTRJjCabfOKxV7s4ERCTIDjpHHiT HxC1BX7ZxgJ6My8qIMdujYXmO92wN1m9S2FvlhdNBtILm6VYWkQ6YpIWWY+9NyB6W9BxOheMyqJJ 5ulCYDslPsoj/JhDu0b8+RQVKT5YPQDKHgwTT2jB56Ol5F2BJhokluR+ZimKveHjvUdx/M1iwVko t3aZovyjbZb+Vu5bKuhysASlAaS3k4iQCAVVfUIWRsfpH/LqpXNEgUJ+SQCfIBS5o25gg5WWYPOz NUubz//LhkcnNdUTnkAgpEICxmWdyUoykgPdzvT5hmuLIKWuD4qCSko8LQaVHGwp7bPIM5SJBKA4 5zgBFICwnMwUGw7MM9Cyd8k2SSHGhObDbTDjbS6Fc5bnOsud+cn5Oj4fpLqXlI0nUZlgcu+TFEXe 6Ba6yAgwFLN7aWnquivkR+Aw0iSFPjMEtU+JRHBwKNe5fE66u/xUKmpBiQG06GHyBAJ12dsGV9yu L4xRhKJnj3FRbR7dIc5k/kriMtgHMtm2aYpCb/S9diN9g9PULuBtsv0hqAkQAjZNsSP6Us/7DnbF jblPIt8Veq9z1hXo+cDmbKvgrdb3VOu7dnvdYDFxnnKmq1PO2dM4FHnYk2uQD8+hgeMjSZtVZDnB wLy9k9DAkVFln276TcE2wZPh/mppXKdDFY+l2MGRue5i4VGD1ykRzCTLdStMoGkn0uihAmhyeez3 hODOoYWj6ia9UPaolyt7dJJEnqdW8Q48HP9bHhsqK2Db3UmKQm/GASjJ6OxutbnI+l4uwNaZ7tgk RaE3RfVeSOxQHd6N5icA4CLEoWJ9WeTXnliYciNO0e72tgE6gFkcVTlt0I6DYbPqZy3rJf8lnyWa IOmr94KLfRzZ9m7ZYNy9Fm8LQHzEyRJpdJ+kmB3J4tJiC4WDYygKTA4XqW0njjzvCYQpod0n9EZW RV8BA3cR/ZZDK0dd3FdQA3E0b6VzrPVt0VLP/IBI7tCvOJqGAA48n5p3bOaYEW+P5jjc+UWRdG9r WcLaNMXWMyLcjDt43eRkS3kxJDpR2fnXamHdBKgumIH/5MxKZf3qewd+jk5FppQUhvMH6tA+H9fp NP1zVljmB/wr22Vm23Qa3uM7tnRkJsfegdjIBOdQClqGvKSSAi6rDCXwdEyMKSAkXosPTr4B44/r plLWGpZMiCRZGiC8kfr9BnrPy143UrBBLJAyQZUJGYayikyZJnzjif0mPyDik34XmCZVTMZzNEXB N3IeeBsYJqdIl4UJ76R+3aLMz7zTFCqQFNbfBFvuD2z55Ffl/Pq5c9dBtzBTqHYwW0Vb6wQ481Jw UrfXjXYd5SHVlOvZ5Y5hPlqljrBROwnsHb8L33LEAPoyrRszhQaPo1ATOptynWkfU4iVGkzktu/i jMkcODzilKOBypAz1rjtgXNgn6QwDxo+nc/Z7BIO+GsWULkKIbLes1zd3vYvicgmbikHzRK44VuF 7zT4fJCjXCdeXoqw/2r56ewGJo/IdxM1bK4SSfNqZaZDsqoCKztaMHZ5ZLwI8zcffJZgEb2ZkUDj +izMSUmrdA6zBecHHOy+59Ze9rrBnkssxE3mvRw4TScN2hSOp1crn49Cy2+EboNfAv1wbJbCyjdV EFlS+A+rKI7vWj2zCzfEpPBCXOjzKBDzkInjcCNPnODnVkpm0QcQqizqGvq/56JBF3RSyF/lyu6v G4EFiTdBx9MGLaacjQF0ItrF56MS0y9xrYy/sX8F4KHXY9UJbqr05wzBdEOqfidQ3Yt1d5dJ1efQ 7HGQ5M6+lQ2ap07xLvg9gnYFhjcaHqOisw+aOyKX8qCXqBbOrwnOJvEDO4tMHHTTgcyyLZidfD4I CG6mwhnp2HRXyS6Hho/o12MRWX9XvUPlvHlb57J1pXMICALHR4gGUtd3MnLkYC+NLHfUJqe1k3TV rdIvwtjCGkq9pgeDdPccOD7iAC+v7d1dtV0NKRBYPqLGtL+iJiE9nzQltHyEIuwuVCIkUiC7SfjK 02xrKAPlEK/Q83ENmaqW6YMXBs4Ndjb1kkv4hvY0c17o52DxoA/oudzI/rYB8lR67HtvH5SmnOiY xmfQr9XzwS3XfkfgaDCnZyVFETiy8l1rNjjFmS6fJGnEiSaI522S/o7Ap/qW86tvCVh37TR8KkkR 02ZhQI24/AXMKVmFuND3EQx9RBGF8NzCQrEqced/eZGPkzTyAyKJku8aE4/vemleObB+PN+7QKvo bXqeMpoD4RiPiPcOYrPNUhyAsxBHvLsGJ+mczLvD4JCgk5M21inIHIJkqN0SLe8+Nd3eNmo2kWla RvbhliunakwZ8KXQ/DG3+ZXxYjG3fTXZc+D+mCl7cIL94lY1Y3dvgWeTv4GQrQvX59j+kZXaXsSA L1dRChhaAEK2vIzBDk2UmEBS3CqLJ+mmvND517dEmErOEoSsbdCGg9m4wsoxK5+PHBCuDIcSZMzQ 1QPKoQUkhAR2oggU2yiI89zzyJYV+V7OQgk8IF3wpie1UdLTRhkQxVoEFZV6jqel6HuqZ4kwlb+9 w062vW7AaGL3G0UYDhn+fz/SCCiVsfhcufEDorKuH0yUIgVisD+178AIUnj/Dc6mou8yCItj9L1u 9D3HTVICJ8jsdqtVbhr1cdNYIDVtgjsKhMcrLPeIYt7w88RfQwKY9hwV3HLgBelx5cnalg8Wficc hvjHk7Tz+QjC9A0+zee8PHl3ei65WAgQ51Hy1QTZJgcLLJs7apbcTRfhTnTerEI4MweLBFDnSC1L xx6ZKfYYdOwhGnG+kGWMXxplOTCElBsetLdMgst6WvA6B3CDs4TWZeAICXSOryV2c1EHoSKkZunz Gi5lBM2wPZLizcA/LTMVPcFgvzlKLZajvAZLGQgmzk0na4DDDZYm4KaF3IqOtcNgSX6rLN+2LzoT gqXXUCkDWMPTqFMysXujBhM0p0i6beHpl+nJqH8oOenmOAJ5tELwEi+MaHYgBNi86w069nCKjtRt t/heJuryGiVlxPzsVw5yvTn41bYT3FcQ3p3jKJ9DjsXcvIkOAFCTbqwyQjAk/Ov0QBiUpRIx4zjY mX3i6Gyi63j6bXpQVso2PVwouNco0s7ZeQ2QzkMQJts0xuM5NMgsl8L/Ns7ASR10XCd8TLB2WL7d m8QTDp4dnJlIo9Kv5sQtlQoaNGzBK8Ima1+Bf97en9fgKIPgjbUzELbZoBruboVGaLB6ap/XyAhT u5OvHf6rCIoICsBXvsZFWS5A37BuKg9J7IZb0lgU1eyMX6OijEoLN1aTtn/rF10yqZcxeUSfSAhu 8Th7KjcW8fLlavt3nj2vMVFGTMPkY5HbDUz0D3Mdohgbo+Oz2fLnNSTK+Sq3mRAV2CzUApNNeTQ7 AOUhZLHZSfX6rPa5HApQmtthB0unm6eY7C/zVQJCVg95xEVNsnoOFvQ7RyOd/5xVC1pHzTcWofKf 12Aou65/HdRD5qDr68x3JZsdUKrPayiUBVWw2fn/jH1Xliuxkew/19JHB968/S/sISIyUexRZV/N x0CjuUVWgzBpwnSdUT9yFOT0vAZCGVw5aEfWbW7hZbfki6eYbivg7tstVoPpUTFbUBEN3h+p6N/R yQN3WGdGDpQbJF3Ruz23md/vErn4vAZB51XRiGuDTuQ2GP8mDdrXQkqqfF4joPzQlTvvduj/nMPP T+XX+AdnORASM5mbEaQlbGttOHRZ/2jua3EUzY4UNYeZG87br61rnN+ZxYYCQuCmVkJPFG1nMbCy gaPkVYYH0d7KurTY2obO0I8QoBkkMQZ45/+HV3yfnjLb98nTSAisNj2vcQ+O5UrxlmyqkSVfW5E6 bMNBmjXZyfMa9eBY7hLxF+ow95uPnXsThY3NCvae0HKZLM3CXBUBaCbM3GJoMrlfg57s2L9JgRIN josY7C+jv5E/ryEP37B9XVoMw1Pxk+e13IibDoun0pWU7LZ8s3ro8Xsfu7q0zWuxkacCZZHSyj74 1tqoegJyCcj2WftI3NH7OrtqQciuADnngLZGwbRodqQ5Oljd56AKWoPOHKHIJ9X7vBYa8yOYoK1F qUFYIGp2XouM+LOYWgy/tZIsaTrltYqDj2g9JUGJ6FzWtExpR81HO2pNHMyD0KPW8vlPbKaR8A60 9Q8VoxzGRluj1/oiX5VSrPilbLDex0nyyvaq0Gt1MQvZ+OwsZDoELls4GEXL8GnffdpMbJh83WJH HZdhc4uLUbgsRsjMLPdw8IAQWemkXfoJlyE/g6vtrMdze4CTBjhku5K1TfT/aPmoyFGlalOta4Cm E6GRYEYO0Eej3TU9JKxDFEGAVGivJspKtIQyMdpbZded07oKba25yl9mSVEEm2iOJnOJ3O9gcwSJ 48RqXEU1+YTFZLVD/PokXFBuaYRAVOXwQy8bnM9CZDUaZnCwRXRCgyX17MrHg7A5e+yDyUVvHaaU PkPvcTPUJ7CKyrb7qzeHZWGGTH+sl3kJtlHgvClau7JRbOYXKutkb5uFKYBqwVGhuhYCJMRAP/IO Vlah7D2KnLHm2DRrPmhvnCh8sC4EnPbnncmWHzaEDiFoE/U17wRFsTOqDZttbZ3R4+GNJgNEsL14 sQ/BId0l4Z8YHnLwbQaFhSpZm5OAgftH3+IlM4dz6EPE6V5hSS8bJF4MDLvUxfujLr5XXtK1KYCJ huHzPYjIwaFTxmqeer3T2CzyRd3epqjvCzQy8HGlNPT0KXqPoM8UDdo8osRuA6cIWD4ILMge/Nxd Z0Gx40/16EbR8e7Ivkzh2c87h40HEUEhI6nCgd9fi6jlSVsPRFWokwVTlPPN3Tm1BBzX6jf9O4mN a5elaUfS9mFm6rAnuPLZYI1a9v4eRgPYxxxsiuk3L9PvhBEdNcUhxBoC5SHZGzr1nOtklXtYD1r2 vFPYsjrxCIHoczAfn4NcutQFzhotn3cGW35k/roYbECSjlnuWR1G0khSa3e90bqvAvv86uM/Heoo lBbLj0LiNvhZXQYo2sV9n1plONmQITbp0y8/ieyojkLpRo8+4mVtUOn+HETZHFLz/ryz1/JjFqqj mlzvdfOwd+4ad+fGUX0L98u0NFgLL0alGchiNEFRMA3ECLg7wtHWy81GDwvCiFWySAkIysWTaMP3 FQXpontXusf2su8zNGgWOhuLHRw0QygVq60Ma+d35hrfcXwn8puWt/esfo+ndQmdk9p4Rbu05K2N lqa3NjrwDqrZRwE10L9jJLJANdgmwyHXt6QikRYkK1cPgo6RLObH+1qNjfeAWoIYYK2zhMhBm6yB paFcFRI2UUS99vyaoAxVFkmQcYKikLpjgsZdQoOWMKqSscXLKllfbibyTlnLpqV5LhskHhrspEZn 5xw9VoU+/68qu0O8G3kLi/1oq9VPvWswQeiyzyny47zkRxi1JemxEtwQBdXOC9EEdVArqA+nKmIU VCNx22woKGDk1la+ul0WujHjURkxDqrbazh01iRQOGWL+YgeFilbBXfm+eD6M/NTg6Y1+DtZLUu1 GAKIO/lgf9XJluXXPkv+vHPVeGt7vKjYEsZqxSuJ71Q1TOskNzS5T8ZwzePzpUahKSAQ2D32zlTj FiMyplHlb7Sr8ndeOXVoZzVpHo80KWE3cUozDs57rXsI5aGXjcr0vOwLCx8FxRz98OfC7hLB6NAa eWeq8SbzeKhIbhQQyeS77J2phpXLillzt5UiCPZ8TJ05R31Z0eOdqJYvtWi24oMd1PApPidaZiNj oHVF+XUkbFCNmT/0XXFEGo0g3nlqVpc7YTOAjBiwT4gFwo8smiiC0887Tc1aIV8nNTKhvO8yCqNq AohwHJmbSGre6ln9mquhisOT+p2jxlDFjOW7D7bPEgXLURCs4pciV2VyP2EEMX9IFHZkDIVG3ilq zO4ZDxUqaHOwbg9UfZl3nEzg885Qw3IY3xsNRP1OyUrNUBRU49U32HWWd8zhpKJF623lHdXLru/8 tCe975yo2a+4OFQi4PcwpyDXi7aKQGHjJNiEXK9bd6Vc8uedn8ZFQJ2aZA7hw2pnFfx00/RJ8/PO TssC1XhQzSr2gJdq94jonZ6WjYI9JFAL8H4anpu11p/0vloJ5J2dxmiDO0zKTxzsMEJ1KCsFOj81 kcQUrYPw9ILLIo1aIZ5bLe74vLPTLHI/x1hhCaSWWwIpwJbzuN5zfd7JaVmKOl/7jD2fdLti70G1 yUJUKR+DwJemF0By2i6evWa21Oydmcb0Rc1UqtZy8ObGmfU5KW0MixToulGvDqXhRdly/OPbGFt6 2fejaI3htGsbNEO5FUNJn6/7vBPTsvguT94xCRip97AOo2qgrLuy+ka+jCuM7Z3vSZRv4/A9qgZE kCERZFJt8JgRkcMkpAACYxRiQwEE+U2Hhv4q19aZmtufd1Yay2DEL1aGRJT2lCc8cbHsdvSx9+ed lcYL5btIDRn9Om/Q+E5Kwy0IgDW8PR1VlYen96u6enYDMEAz9B5VQ6KeGVkiHoaDzdCZacrSEwjD 05q4/oqKFjVZoezvRbRB5PA7Jy2bBNscvM40KO/YNOD6MU7aOyUtP1YZlt4jK2zURtIURXE1msGQ jTd4XuvpRkVaWaqiOdXqnY/GCggDajQubLC4Glizal4Z57+Hjr9wMqQ6I4RcN2ykLf3nnY12d1kd tfpgNaKxdjPQ8Pi8k9EQdY7vc6iRD3dvs3cuGtZQp9jhVFx9vis5SH+22W9c7T6P71Q0ntRMyQgt 1+ATVPu5hDclfc9cjSz4C6Ge7SzOn1muBuuAOcfnnYlmjS5k9bQK5WANeqAAlLCP/HknovHC9eSe BTO6d4hrzRmK4R3oBY3td1ku67/u+zPR3Wj77zw0pmZYQoUXlQbNUC6gbOclqHCiZSWMYJLC4kq8 F5zgRIkpetdohginbhKpbck3We9Tyf1ZoP3zzkLLAmF9h4x4vVv+eCehZeOi0wJcIv4ANbiI/3QZ qMn6HDDn7xw0woN4DHGPaXCIB8rBFJCA3ArsUilRP0hgLZKDfFCLQ+8apGa8wsakYQ8H5a5772RL qPfPO//s1znNAwf3wbjNxHf6GSaIueveNkFmYUhduslGPRXqwUjXBL3H1FZAOxnerj54cg8VlE3T y3KiqnPdV2ZvPCCpioef0g4hymO8c8+ya66S9YABoEy6pmyUWxhTAyn0eaee/S5/yJqFy8c7iu/M My8QFcopsmeWDNUAE/M7Ra17UP1OPMvmnD6gHe6DTRG865BrVJqpVqAYGBZQarAhGPPqBxu8n3fS madl52Bn6sFBpzSwBMugP/PzTjlDNHJhQtxOEMLvt132zjjDrK70Y7XP/4i5kB1lLkkjoswZ93J6 oiI11jjE+nLxwW/6c+EAkidhQ6RP05RqAKDCKb2uTSghIp93uhmuSi6dJIXM5AqZiPzl6gVUVv28 s82wEvKvawwNk3rRDO9cMzZxBu55cyY+N2bxTkcd25mLc1HZOPFzIqwQYyCyEjV4M6hWtFmp/FjQ 61hM/jOawoN4dRDMnLhITPA71Yw3CsnCjVQFDuonQrF8SokOP+J7OK044bs+NH76nHeHReE0zkfo Pblr2LiuYZXILwE+oPylGQqL1IS5bJnz7cS0cMq/sJyJgDALqvgT/CmqPIAa3ZGmlsyOBxP7zobi O8vsQZtVwl07inLq38A1nQQdCNv1zzvLLD+ixp0CoiDz0a/RpiiMpyth98Wa0kk6BsQC1+QdxfGg 8cIqdWawSCaehptxnNC5AEaFnGy1JE0/HK4nTtzUMepX07hkvWx0DlHjQQbzjRG5fvpzcar4MWbm 88E+uwc1/+2CuUG7UxTF06DHogxuqmFVZrNkK/ZSHVUOQTlNUVSnnllWRlYleoof568Gfo37DIBy hlsnhTlB90lbUZHbV40+D7LL3sllrBISc5/n8EHRUDqLVIL758/+vHPL+I7fJxHOgoa7wTCLUUAN XfBNbKW8RBo5i/xa+tkTDdyoeErQYhxQL26wvX2w0ge4QejcqFt2FgCKgThFzp80cQWRKuW+4NTr f2eWEdHLRVS2PGeZYyGuOQcoo/8f8Eg/78Qy26ZfEXWG4EQXkYNzFKI/Cgpn1Yib6L5CgafCCAeW L0WGvAsfhCLjO7GMyDApzrTug+NeCxAlCK4qNB/PKYWk48RhUO5GKZRCGBYQtaJ3/XOfde2zbsoO MNdMkkk4F/D8vNPKflfQWG0jousuojCkxmFdF5vSwFotGvFRmgTuPXRaAcKq2yKKCtWtydOZKJkh gm6SHe9At2yRuzHJW4cY5gB1cwGzQXFHVzL2l40Oa+o7FjJcOGiGWu1manQytM87pSxLM+brwm/s 1TnI6p1RRngnEcEEScMOk6nLfwT1b1JYJW16iOj6Tih7+kGNME/zNVXSAWm+UaWldo6lTp1QgFvO EgKjkZG0yxiTlPBOKCOAVe5zQgd3RweX1Om7gwv/xNTvfLIs8vPXDKF3Imt5zlBYp54AEFGrh9Wh nm51CKe4iTnAhUXo6bBOLeT9olkPB2dtnLloCefEiRlPnl8KwfmNBQwkr1RnscSVMN/PO5mM2Hv6 Pm227zkoaATPiyFRq6193rlkbARcoB6miPCTse4iisrUTO1bX3bhF3k8Uk+1dY8aedvxNntnkhma 7czN2He4Fz4auJBzRuwIoDyjRmiHQkRt/bQnrKY5wOedR5Zlp4uMLBUfLKzGoaa8Y4/PO4vMEt+v 1B6F8TY9rH4nkRGEVpm5WjfIXB5IIhPSk9c9loAmKIZ+oKKRU72DpWUtQS+cnsRnNyRU6mSXiXdD M5v0Mm0yWtx/3hlk2Tj3swstzEHVIXRg5Y12zovPO4HsV1uaSSqN0/PwzOydP0ZsIEnS1ZfQdiDj ObKt/YGYsVlf+p0+5kDGgejNh9uXToAfowR09tQ4q2mrYUQzcKAZ8807WJn/vLPH8GsyJRuD7nMc tITOQdTYMz3H3/y8k8cMBvlEjJT92cuhHy0KqtFl2NK1lT3fLl786NtF+c+ZnVT8aCGWujBfz/kO NkFnV22USGnPN8/9uLWEUMdGdwuyeW7xyIYvviO461k3S5WYWA6qDlEfilYE0ANrUUg9h+8x4hgR WOYnGApDavQ5duoii0Mvyu35VmNpH+WzdUsfLSpRC8C4J0s/W2w3HULnLoN2DFVSToy2l9x7WB3C D59JLjfDa53TIfaDzZtJjKgGW0LgAdHX8mSBeDzo25fvU2hTJWNcnksYUrNtL0XwSrCwF4cqQxoV h/YyKGx/D6lxTPP4mbRFkfSxnUKgvfRkNqrrHB6VEeWgGBBKFSzir0eLv8cERJpfUv5FgxUYgTdW cD0qHg+4HNvDRb4avroN32M9iqeBWQQR1/ZYoVqZlBoUDNH4onVrt/YQ+8HTh4hUG6xEjeoNlC6L fGYB2VDbEaA/uI5sRGxKyibVLHpUoq4iu5iqXDYUIFI56BHxjz4pR4/i6VTW1woaE2oaze+xHpeo JcLvZOjVigM/xnC2yxgOYexRidrlB+jpzMHB1PCIGMQonrQ1FSpkIOE418iEqGOuT1IG1xd+SdQp A0tqAraLAdV60YF6S10qaSdnwfMBE/FhLRDniYXe2ezVJMVkRCoR9ewgvcvUrMOAwydzba7X1KOQ 2rKyyciag19lE3apUPGFH9/JwZOgH9jZJ6s9f81MF6UnTdkehdSVTSCwzTUkwe3OZbA2yeO9db5j cFQnz1yrfkAYAd0ZigiJsI4BfMWBnm4tB9KUAYjRLJsGjukx9CMRAquGa3karif/6osS0IgX4fIo TH4VNuVE4NQg0mXPImOP6tTqJg5ZzKFnKakPiIwvsYTOjzrxfHSZfTft0UTvbXt9qIcR9ZIkg62h uqeX0PZ8xBkA+Gda1v8mJW75qXJwNHXvJ/IZaic2cIjJJi/glndUfRHk+EmE18B3BLc9TWVqNfjH yVX4Q2DiM8P/n3M1bzz/r33Gmm06H5MubaGHlWpW0LCL6C6XYDVBhaZFWQe5y+FYshn6G03dofZs g3PLzgo6Zz13WUcFH4UhKDZCpxzVg0KrCde1nHrZ6LAmGj9TpUnS5ZwhWLCLPtXHxOP/C7GDOnTj pvb9PaQGfJIislC5oyQDqKWqDq1MfCd79nS04y6LQmrAEc92MiRaemqMG8HEXip+rI02WSXACkRh BJIZel9u5UjXtB5VqnWdQdXQB0FjYH2WFAbmiccjauv62mVgS5h+FWcoglNjdZx5oTITXBwgj6Aa I3FEWE6Qs6/mV9wj4EeXKfiUms5UKUJ5a4HtRCEYdiJBo3MqFCEBHnKVXcNTA3iJLwkiIuKpe2Jb iIPW0Cq56GID4LxHwI80fIZUYmwoLqx7EIVRNRdRnrLeBUpPJjLnUYQxZrtTiGNESBQVqtWUnkso vfUsIlw6J1SXU8pZoynh2mvQfJCHYwbewGqMnYDhHiE/+hZ/Ki8fbJuBni6jFGyzkKZYb8+VnHzE uRCjdoZ0FFcz8yitOGS4Vtc+4VGvw5olQVGko1I16qxoJypDa+VeZw3oYEkwsS+djQlbktqkWFJG 7Dj7LOtdoyIjiR2Lajoc/K9qlYnDOdAyHg/i6vGrUg23slZv5jGiwJptxTqdt9DUbMWFP1f3kAgp qOLGERaql6wJ5bo3H2bHgkNa2dJmbGCfEf2QO7GeiEMr4TFS98K340siGrm804VFY49XRyyg0SSv nAWb8XzUmr4MKleN7Zhbm6MQVI3YemwDfeydrwzBhoG1tV5TNpH9EdWqgfs+CZNa1JWI0R/UgnM6 qerKNGUoCeAPSDMgbsL+gvtGefqKAAkOfEcA9aSIdVtNRMVm/Y5zVBrS/UQXfMWgAvJ/CrEdejsO qR4h/IMScWRxS6fBYXpUEPDmPVuWnKAorl5qK8IUBgO32aC/ZYGWZyXXPqHm3cTCw6pDvCT+lF34 EJ7Hd/yFbhg5Jx8c3UAd4sRdg8eDk6h5y4xaVbwfrgjKiMJqgORp0mmtaVGnJ1vT0wlmcKm2XRaF 1bPzHIIHtw2OOUehADqAouBVGKb/8Gwd6J/TUHBehhmqC/iS6CBCEX9untV0OeDCYRVtM6aBxAie j+qM30Ui+EfWeqtoI4qrkZnjGtHWMhUkmyI/iL5geiOKq2XWtBqbixycgwdYVZ1MYM+VgBOWKoOU yIfESkc5yyrVpNyPEE8tVf3EjhkHHdXnd1DBqJ7AAY9HHTNfQ3w1uoxsr8OOEE89gTiXkj5khqqL DZ9Yr1sdtiMMtAmK8NRF4Kq2iw+3HwQNmEY8NQqxbU1ZOmFOJGF5dYYIaMV3/NUwm4swEA5eRMsm UnUuBDweRETzu2FWNkv5dwVFhWqotmwq/eqyV/RISH53nmuDs6JNUBRVTzHMKJVzBtYjsqxQEvz5 eJVlGBK2LNkLpqwncKS3heHNz3xlfEcQD1HLcyVaNXJQKb8rGUA8tDYej/pl4zuoBgTi4XWMKKim bEPtzWzjar72TObbjC0G/q5NUBxUM2JEjm2DI/JhLQCrR5MV3IVlANg2AcGIt5o3MdtaQlFQnU1J n6DqAhHSnJR4nKW/ePIBXIgPCNL78s10bTSI3J54jDCqhmlcETmRhii84DfniLuMXVeUSFkAGWGt utJ1yEFm88sODYrOAnb2dE5sXuiAO7DqheSVd1mTJFPTy0ZdV1z2xCJjQIeff9dJaEpl/2ycqxPP /6ucT0FvUF3BtTVdnbBYXdFTZCz1HwjYruy5WSLMReLw8ERmbjZDsbzEEygptr7aMednXidPbUW2 FcDFyhO14VdvWnXrAtGKvWuQvBaxpdv2QX/V+agqmerUGh4PJqh9y6NAaKeV5TH1jGJqvNrZXcmS 17KGAWSgGKOqCDKzbdiGGRWrzfyE2CkNt9+BaUiU9YIoVipcbdSEHOOc6PT1sFIsnXRmzFOkXoOM rPt6pPPLbJqg2gse/18a9xCubzv7VTajgBpilJC1s6SjN1dbPJd0d3sY6GKaNFPMUuRBtIm3nzvd u/7MSpXhMGgdZ29BcmOg6gUxUrhI7XHxwouSBDMsVrMAW6XjzUHH0Ey9Ccu4V8Xj/6LgsWY7wHW9 LbMZRdRIBkEkdOmP0l3bKz112JWccD/DSrWwjIUlxF0eQcpUYauOUh0S13J++U7NBl5MvEvGVRNe BKHNEPtBKGPNdNOr2fi0MMQEygpfd3IjPB707de3ZgO64x2VRpugKKKG7gWkLOyyn/v6wgj5JuxH o9XgOadnFFGLDLyG/NDH9dDD2YvcsJI7dW622YgSySzDwiwrE2ptmHwcXp8ZchQJi8mLE8VBmwzg P/6F7bzrZ0YBdXtAaGQGQRuFsZimKKxUT3AVkqetpgAixr35xsO1cpmjwIywH+YJ10bxwauMvUIp gFVGuMytQqFhSAJCK3H9rPLw7+jFOKOIunZjvGwfhB+iegLv7xOVfWbIUBzfASNaH51+spqgCPsB ZBS2lhtTSOuD8dDaTihfs/saigrVi/o6mwg8DZ5yABzOkgppHSfCnvT5gLnn2X/7Bz1v50pTfXFG EXUia2FaYC0oh+IpuF9iVWRcZDH2Y32dQpkYkJvXz5CgSBMB/kGcoL48be0E4Con29tPoVhDT0jP tH3wTbYAPlpCVK8zP8ReSLCnQwyT4Bgv5G+9a4Ae2tL34inEQTc9TE147Z/oj49H+CovDSkqyPDy vKdQBP2AqCsgwsZxLVQ3dmyMH9MToh2aoCiiHkYMErxqPfCqnHJGm2wrFNpTcWWFfF/nKoBUrpep CTmfUUTdslGn7qCco1ZKQGGGesfjwRKqHguJL4NfhQr9mqKQn0h0DOVlRXK96kOjdFdo6vidNUWx lh5qQ7lSH4WDl2AXhBYJM4NiYgUmEjG3CJRAqO/raoJUlt/xF5f85HV3sNpQNgf0drIWPB5Fi79w nlLC8oxjReE07SFLckx+m07sWFnoGJxCcKrXBK0I+zHEKoPwmg0+QbWfCRosfJzrBkhzCqMAHlVR USpI2L7luVdYo2ZDcWUWPjhogrA5ZcTVasXj/5LWIe2E8iH9NqVXWKNG5cMOH8wQiX6C5IsPQ1EL tOs0QyH4g80g1Gh8sHMaeSb9FUh9OWtx8q6raB80NHwBaHX4EFY6viOYoYqpqVsUV3rPyi73hOyL PGeQbvB8BEG7a0jA4iZ9cJuiUIMaBcZSkjlRlafACKc2h38U32UrhH9s1j6IbMCACWpMORJqZJtS uegwAcjOzJaotPPT0K3WBBmRU+A7ouoZ/QKMUb5cwQolcfp/I6AeePyftQ8BzlECvh3FFUXU8PmF DLvLfsx85QjkbUKyPaqHmqGYosgwSEpWq8+vdlnHjp3MWk8mPyt3Ii2yGk4Wzo7bCW+961+I/JHX HXRSw9GkG/Ku4vHgHLpJGdExhdZKyctDKwqpkccD6Ommb2N56aM55hNVfArmnnBohQxFlV6TcOfp omNOclfGWYO0pT7x4llRDJxyQtqKLnTG/7LLbLNvvyLwx2AriC1/OAZhWnnAQlG/ENrQ98x4Pmp0 3KyDNrkAw/Yr0rSimBoNqp26ybqfZKyYzBd0SrKX0Go2BNEKZT9oGDSYsGvwdhkUboeZT52luTZV PQcSuYmklUe0e08RiLYi+Meal2f/RbcvBUQmrilo0OLxgIWXvnN7OGo1uLjbDEVBNaho5/Qz/0mQ autFEA3VG1FkpD8XZigMquWl1NkJ4+D4GHTiKlvPpZ5giVibs4qgtkwV/szNpvIQqMF82WCGOimc m6so7SzfqYJO5cjynUoNj0d8e29L26/3Q696m6GQpwg76i5m/aYTvEgw6DoQ5kD13OYYq/U3T3EC dGKDX2dnWwyZPp+o+qT3m0GjwGiAzWR0KRz9MYdeNsI2SK1SclaEIlnqWmhSC4mmiscjUYtLDiKz FYj8Pp59FmKqE+pCdam7inO7+2ldkvMWWnVY/gpL1fyTR6PlxGiP5cR5lLI5XEVpAUEsY8WlZUcs jvVcC88wfElwFtFRcUg9d1z13HpO/S7N4L4qHo869/srN4O+Y30AnyuKqxd1P/K2AkjLw9muI9/s vmyXqF6xnp70zfP2wQlUiKlhTU0MEdA2nUpfFNGlOD71PS0qGkMvG0VFQwi06oNOogHZDJ5EJw3B 49Eq8tSjKU35QXfKZmhHgTXqiwtiDRYT5eIzNLevodav8c0OeYrCNPSUffDkbEAabqoztuaizBsO 8wVowzlMGNuahWmxd/0rNysAUNugJXQOzSl94TT4eFBDu/iYKh+PwmqBh437PbKGHQyMXXrxvnS7 ItU5u0Y+GODJdM5j5Q+2OeRdMh7vEuAwoQbBEhHECzvtOdo5z4k9PJ+Xb+e+JIrk7xBXLeqUYJ9a cTyKUGJR7nB+bTwegBnT/IqKIMxXW/UMf4e46o3qYspmQQHvYMd+7Os/Pcsy4PmOA2sW8avCxvqE jQ2dFKg2UaR6pF6Yx8GJ+qcVAI52ufAYnKH4jqiIJm9use6XGwSCHSpTc4QUeDwCnn8r5edBz0Kv Ee2QpojkDBgoz++7GwkUStYI+wHrPU3Qn9gPADuLD15lxBUGmQWKWNFtpHGXQRoWKK4M/J23g3ib 7Siwlm5lr7zUOOgcgqk9I4x2DjY8/i954WrpK6t4NkMhphqCg1l6VSwSJbfilHOdTF6qy3jv2OVF ggRU7eTg5xCdhrbUhc8uGYTAdPJFcC5hO98qGvqZ+I6/xFGaSDBtuuUd9rAOaqDR8HhwUF9kg+QX 0d4GiMhmKIqqYUOBzr3jhwQa4gzR71FrCJrimqEQ+9EpKwTFJxtshtbZWQCtqHOPI5U5SucLIqDG GzgsH3sd3xEERITDsqZog7EU0Q0SuyMtPB4JEH1f9hBn6qAO2gRF2A/kReedGQShqL9NUvhEdstU iXAKXT+KUEuPPtxLyOrVv6TyE4qLhJkVyKO0wbDgZOK0Jx2W3qsp3e1d/9KwYqHSBk0QtHZY+W0n 8cPjUaX620sACAvCgG2Cwpi6o9Fatk1Q3ssMOxY6677HoA5JDt4OsR9LwlRp++C8hTNDeUgbNieo VTMxQVsIZ8/559vrsDRx5ndEqStRMfK85WATtLtl92eB4vHgHrt7TMYBAPeXcR1NwlJ1muQm2gxV Gr6SYgYh4J/LUmw2QzH4g2TpTlQ+B99jIPKgeU8SHtxO2FEESu+nbCKqb7hIgXV8R+SHw6xeYvAc DD40GxM6Yk3w+L+oL5gMbBA0p3yCooAaasiQbPAJ2tYCAoNgXkeceQ+hCPlhTslUX9Lgqf1CL4jW 0fBxO+cr+yGdU4Y+JEWiLRRis2OHuh9kJ8Je0wcFwWd9TglUn8/H45H+8mUCEyQCZ8p0lXMNZxMF Q712Ac+QIFzlD/Q4TPljsELU9UF/wfJHZjuMgyOI8okYe2ZaBhXGLU1PyA2e6YHA2qKpkhBEa9vr Bp5cRYUPpmcV1V/xE/NKo8vRreXJD4hg598QIsAQR7mebjmFenqkmUG9jASYijUg/EeVDkiint5y /9YUhtXaQZltVQ6evVLqXFjGdsLzDq4IxC0aQGhA3oA+7DI7DKvxLRHAQXKlc/rA3x+eTbrzser5 fES8L9+zBFB1uvKwvL+Dx9j3QAzGxZTZZmQpra1uyPN8Ba3o+B7cGExdi7wFyhNas/6TAPpBfpZg SIocH4wkaryB43EJDEvGpCmEgQhY3RgxtJaxePiXQW+W+Pxz5C1+QID6zJcIo/YQT8NnNUWFa9ja gg5mTViTIWT3Q5xzlBw3KvM2T6GHIjHToHj44POEmCdXgodhugl7P7oEYtPBwqug0OUBZNn2utHt RiVdksU0KMQ+2d0mya2ik4/no+L1xej/QBJu/PI4SVGQDVLnWYhFFcazth42DGWmUHbsZaXpey6q Xg8KVi3alWmwegjuZ3V0UHfsm+krU9kOAmyFEIPrNhU6yfNbgh4IM5BcCZDloFmC34hIVRsWDCmE hDw0cyZrBS/xCIDlFIXaCXoXjd1B4BtLJiOPIT4pJwTvNdSfi6y74go287VFF4q1Hig6GMeIgTJ9 qc7RQb/AnnDKTyBEcm3rVh/TtteNUlqW+TPtXLu776FJ1zNhD2frbT4fOVO1r6Qfql0wnr2zFKqC 0IG77mWz1Eu21bQpEUlu1eLPq4suCrjpIIDIvPvgCLW5cu4USiotA0kMVQMwtAl+P9sbqB87m1By 07cE8RL1LpqA+00m9bgDtvlJ/JSzdvl8xPPcX7PUuDZburMUKoMkBt0g3+GeQw3M7rkGVxIRPTvI xLbnwkq2/Et7Sj7YnutoV/cqQDEa2dA5QZX2fDzQitBB9JCJhtT8kgggQnya0hMoiP6Ya8BJFHnN 9fMQn4+AfLcdws7s2Ui99mcthYE3iA1luHBjLcvVddGWd4wI+jtmlhfpgxC4f0I3yRSlR6ZoLyxL ShFBxBrrCv+yQsAEzgw/FHiwYEDuySkWsaYnA+jHNliRrU1R0tAG5vPBhnuYeuwaQUuqXjl9JVTv JV7U2cSsarzvPMMtFLw1M9PkZnApqmdLcA92Ej54oe38BtDBZrUWcucUgeikMhAqSgMrKn0Xijbw S4I6UlEUIJ3mlpyrN/BpXB2DgWXsEPOtEgIL1fMh13Yx9F1EQW3ThASTdNKJ6cYMs9fxVJKWewqG WJHG/LaZWOp4CiVrweieG+685JnvJWthhJM4jZBYuy9l87eNBAyosMulpEF/V4NnkVBWY/L54FR6 JK9YvYSoRAcWxKcpir+hLwOz4G7lpHKJID15zfacUNPtKQPvReE2z/yYJ9N4MEcbtklgzCiVy4xY ccCjrwY2R+31AfYle9sIU8OSWyIjhINKbmOKMMYtzOcjOdlfXBCspVqftRS7LzI1aSY5U5Y5CqJ/ xF1IRRUw1VkPyKH9ItqAsB2QdHPxmhKkEidUBio1m88peEJxM97BfwOSDVIK4xOVZm8bEYpEtaKS AcnrFMNAI2fwFgdSl88Hvez8XXajIfbKz0qKitsQHUDUJx393emVhUnCxerC34MJnCYpjL03xa+q FNKrO8HmDLtNqNlI2PrsPwiDIqCC68M51X+onWx6GGxm58CCUTacqCgRS8tBwBq4ETMmOH9z5fNR Zen/2ixD6+hOUijDt2H0MboVTs7qc+lmpEs2SQlNP9tuIbuR9IWUjeR4rU7Bsk5AiWi7pRN+s6Hd WHMjuwKC45agSL4ohzaMYLUBQkug6BmWlhKjVt6pPcHKM7RhLPOXoAHKEenSG3PgwohcnpoPNdks scPB/Ybf3Gdp9eRLKXaMkVrhuIPvt0qhXdowniMJt3cWpH8Bl1d+0DhxAJLCgNCHUYawAw68NgiB BFS8dMJWW3w+krz8rgmA1FGgA+mTFHIcK0uTxmzcVdZ5iLvBELK4m2IpynVDJ8Zl/OCyffCGZD1T DB835Lo4tndTQ/L8jnBLg9iTy4KWpAJT6MU4RQAdrDNxsHbSCXvUhz0hGJ8Pju7bcVOtuwMOeZ30 QjdGhH6Q3RX0aLftboxbUswqw83hlZPAjjETv4Jbi3c8B5ulE7ks2DBSC7y1E2UTM5mof4nCFfr/ HgbUam8bLCUJGBWmcML8cr+dS3SzhAKnJj7/L4mVJo9qMCBu4zYHjozZlB1nM9QotZ9Ff2jlIRmB 5GSzFFa82TSB7IAPXjc5P8FZUZSUb4DEwELjZCdQb0N3kcYrF4mUpr1uJCqvTLctH4yIBQAn/+OJ YPl81Hr7JX+Jj8n7WUsxPDtTisbkjBpcTkwRfF9bRriv+iyFOBIBtVCdsuFmuhlHK82+0NmDVDHi bpRIBohrqz29t5Xsbf/0R+k1+aCQMp3fRfZdeTY+H2Qnd8MRikv51jEuNDKH5oyoxG6pbJKdTjNk Q7VdbCQ5L0p1Q3fGzbYAbmcfHD6aqSXSWF46cUAqROQkKHIxXga5zpI4odpyYM8oBCYL30mF722w trNaWZj6gZoUn4+qJtfznOEjSCf9Eo5y4NBY/h/KvpByLmKGVlxOJlkM35bMJA4+Jz5LUeA9hbWp +Q6XtgYG1mDgXQGFnEx1QWH7oQF6exbTkmF1aNEId2CIYJIPwUGndzU0PoDXk89HcP9v7SeguFq9 fbgcWzTuSvix1b1ra8VO78FdzwocUKC24wKPRigd0M87l+6DV01WKWNOyWECOTFZNVlItSY0nU2E fyjVTfa6EerGsBIUNUQpRqRFuoRXAW3X5gdEzabvqBJqJjP1G1UGNo0we6Je8bSyd5JoKA+mUR1H Otvysnfg06jAC7ebBC9LvdNEelYRzqU1uEFh1TXac27c36WkJ9klfy3HRo0kGlPQnIMhSTOgQ1xZ Z412Pv8vdo1MdRc6cjeqDK0a0dyAq6vF3j01a1pOENusIjCym+zlwKvRxGc7o0cbfMdBVBLmMoi9 C9YW5VfPvSlGzQ9la6zxPZe9bTBJ5Kt38CAoYHxCLgFpUM7lf4ToBD8gIqx/d3YbJ+jJUEKzRmQx uwyDRQIn4K3d3pvP0kS9wmYplMHuAk4Qt87BZ6lDH7Mx1y20rWbhl/k1zYcHVT7Ko/uYQ7fGzOnJ oHfboILAgkwyQbTnc/l8RCH55voBcNLWU1wK/RpRTEIZ0YhaJ/O/aur1SoUvwLltkkLdvi47wtl9 8AQFQfLJRMmQqJ2sJBYEcGbjhyi01+3q7U57279AFGNSEnu43tTZphNtOE3S5vP/qpqYKC+7e88V F8Xe6JwASupCtOqkCGjSLtAkb68IBKaNVyFT5cr9+IOcn7k3CBgyjTupSq48ucDSg6MbtPsA7ESR aYOKY68bTFOTJ+G4g2FN1qrCla49+XyETb74bWqG41xC38unKdbETmT9uSY2fZGY7c6Wvbq0KlsH XR/0Vztu22z127Tc0JrHTy0C+zj/jkzuLjkd9DLnEwnUZW8b3HFUp2Nt0QZluyeCUHWJzo05sG5M ZFp/69Fiz8J4605TFH2jwHii7zxtmghZU+mku79lW7s3n6Yw+qb/hVqSGjzfHQtHtlA5YAXWJbZE p5ZGNp3DqR549deNgO6E3m5ecnn3u5p6G0vQrpr5fFRg8pOJtzDg0f2BmObQwhFeOujkG0WSdB8d TSe8cbI/J1xHU+zhuMlrS2X7YEdTOhvlBJoo2KNcDE9RHk2k2TMEqF8dcH/bv+LKMVhnGm4MTWMT I0my7h24OKLP8y2IgH5CW08HPHRx3FTy21XNAXBkbC3tpFolgu/8XHKBjWM25s1uo/pgW67geKUn DJ0wJuFwKMNNgvq6ZEecre1vGwggca8toF5t8AJTNRXxlvWSkZTfhTCxCof5GXfDxU6ONbH9bc24 Trcbg3PP5KyJMZJPUojnJoRyDGNBPjZqaDKgusf2N4ATUBQdVHT8mbgtMn0FDOZFHFwOzRwHSe2U Z6T2yPnJeDHBkltVAcSr/IBomr612KjxOZ+6d+jnyHQ37eHyI8kbTTsRdGUSvqv4NIXB91ZLtzcf HOhV5jlukOOeaULVFqfGAGwdQC/cmpnVyvrV/w4sHVEQo1YUHoN785x2qSPylXzEObkyPyBIeNMv DRKehuWZpjD6XlQ6dlm/noZp1p2o2UXXO3a75SiBqaPkwFAO6MkHJ+EktGCMynWOwAwdq9EgSXt+ ySTntgt+z8teN+rtsnvSGYWfGa+iYUPWPREk9jNOHMQP+F8I7kDHte9NF5ImE4LutR1zMp+MlxYF Zhg2TWcjh+aOqoWcP6P74L3dvVCBS0zloGXTWDBBmPPDGCGz/mRUnOSv+9cth8K5D6rErZl0TtWN 6kng74hL/RtzgkY2ANZ3lqL4G9Ym+K2NrtRKcnW2WYqpkfSERpjNUoQ5aZQUP5O8fLi177TOFWSM rgVXLGoeA8M8UIVDxcS7lsvf9i+Fv5EUhqerm916F34Duht8PkhSfuHgaSaRvy65UDkb+ue9FHPn KyM7z72wXq6qAG1rteNiNxpqGy7ps61Hn23UvQFlITAH4qfEFZ5FdTbXogpDbdeOZu1lrxvsuKxy AHdKXdWlkc6npioz3tX5fLDhLtZbOMz2Q+1kn6UQcjLIp6BdSKHrkwNzOjQAM6uVpO7bUgqjb7W1 Nxu3HLx20hA3skIBN4jOjYwSE+x6UH7ETX7Llb3Z60ZiiORSZOZyHLSWisxHaQu++HwQVmaPBShM ksl3v+ItObR6RNSwQZNBdI2aHNydIFR/riPKZqMlh9PEUF6h12O3OIgs0zYuy3RAH6DQRKGWgTin UEKbzsYnFKed8YNgSn3Z+0bRAOHem+0mDrrlTjgwt8x7kMwFdo8AHV65PwZI+N/U07OJih0ft5Q1 i7Mrihv4NF7pzOY6EnuFA4HlI2QqpN4ymg8Wf6Mnl0X0OM+dTEsCvxNUQrbByYg3T0yy3nNg+Yjz W840S7iTZQ3eDeFFMr6EFQg8H9OXtaqauWgtlyuWlEPPx0ET7FXMwhhsR5sl7QDV4oQA5yxFsG8U 0JGe0EssXS8xEHTA5OtLEhMts0EJnyMArDYkQFr3XG4oZgpcH7Pdcr3SuK+7ng6Ei2ZhyAT3Sj4f 3HK/pBErOFRMn2ySogAcHiQnz63LYSftluIoRatSHK4wm6TYqIaVykyxHw52gJ8ViY1GkEkGqryy Dd5BkD7Hdv/C5pSsUlxo/Cj3UG5U2mUlNURO4ALIKyOmVBY/IBIr+e7K8QDnoWizFMXfiFT2cLDS 7qtcNBwrPkp42YzTLIXx9yCkYpQ7+FLKJ4ACNIrlk3MCL87SgIhohahm7ldXaiZ/24iuo4CJBAIO hmACZ1DsbiCYQvfHx5hOouNnsvcDPw3sH8FGYV/AwF3Qms3Wk9stu1MvtMItFvjD/5GREhQZbPBc 7rzz6vR3LDAoaFuCJWC7NiyhTEyKaQC2ba8bxAJUc5lNtK92SU3n0Sp0zpiVz0f401+y2tSQfOgD oQMkUqiTtbnWJuSgnGaxjCQHfu4wtYkcWEBe1d8ksc30qNw1NANqQ+0WVn4IB5mj4EcY3Mn86R14 su11A2ZT0x1HWdICL85lnmIZ2RzSjpUbPyBAej0a/0xogBncz7kURt+kx/XkLoer7f+KvqF0exdT SLGUKdTmdpq73MWErtDOfPETkMGHHH1wkEbxN0JdM1F2U1uOUm45sIKUkwEcn9PyQSHTOeCm1Opm 1fNBjekhEf6wJIHO4NMHD80gySI07wwodORrl7nHMCkud9BK+qD3aaqcpr1z9cEPpgUpoE1zH5zP rRIQ17KOhckixi+1shzYQcp4F2JcUnDd7nZ43rVP4bUnWpeBHySaBFdWQQkvPaq8SfB5DZcKJmnR 9sjAAilNy+RgXlMsSRnQ1+ckvQZLBZhFok8Bp7PB+yj7nNb4BNZOTuQkYRe4SEksDGz/S2tCsPQa KpXr5depEDS8n4WawhIK+fyUePplegoM1nVun63AKP28YYfsYSUJ/zVMwuy0xRKclKJPxtsc43X+ x0mECGltCQWz04eqlEQCcvAFhLO1UmOGx9EAxYw6/xvwKlS8K0FHX3D41+lB94TiN4WhEgdND+B1 /D9gbPB5jZAKoDXVpkdc+AKL32yz8xoflcy7CCr/glDuMb0KABKKSW52VAQ1O6/REdbOYKCNmoIN tnYIwZqJ937BBstDsiaQjcSpU/YV+WeB5fMaGxW1hsBbkGYiTQY5OcT9ksJ0fpbPa2CEqbXCpK2d yibE9Nl5jYuK3Dc20MRel0yO7B45uRct2J2andeoqIiKh9Zkaz742mmALZuwNsy90ZqBksKAsRfU BcpV+O88fF5jooKYhgDKs9A5ADfAVveaOBjY/Vg9f15DIvyhJk9mawekFpYdODuvAdF5CBITEFG9 uPfbm+T9br3JWdxnNVg7IynbV/1oP/Wjc5YAbY2eKrRyT0SsxjaQiAsszFKJy0uieNPLODp4pMs+ CQrkYDU2+OgyN+0nrn4NhYrACpqdpTPqhwRYzc5rIFQUWaBfVJ2H09zHuCs9Iw8H/W9ZiAazM7vO nVZ9sNkBd/zkXyzVnoAozU3TsUy+DvWS+r3fCxfu5zUI4g+JMBiMeh901QBxwHZMPRnB5zUCwtox KMlQMbtDKZbGB5id1/gHZzlcnmfb1j0aV097M2dS92iTXEoLn3B21K7twwdnBUKO3c3ETirWCb2D iss5fsgMq5RKVe4q24Noa5FhOiQSMCaao3IPzSg6sWd2YvbPa+hThCG0k+dHKPe66b6C6XmNe4p5 HuQ5TD2yINXV4qm7+uI5gbBJkL5GPeWa04ASZ4NPD9r7HZafFV2LhEoaYmiUbADf/6G8ncfQJHS/ Bj3FxROW8ozleUY5NyFIvz9sb+TPa8iDN+zTZkdSFHBwF7ydAl/B9FB+o3abCZerp3bCujCkxbSS YmTBuQyFF3rQFR+884hfFrME1HY7GRrC89EGAIYLB2NBicoRbY1qbdH0KHJeK/tgraKkWImoi89r qbFIC0zTQy8t7HD4I2p2XuuMWLCseVz4UaK7kDqzpV1liXHVW6KIp0uHnS1HDl6yPsEJjNYGMe0V 3sVm1IeWLKq8rMMbkI3WRq8lRvwCTFJhZOeDzuVz8aZxq0KvBcbyUCS1tZCfnyXt5/I7qw23caq3 u1+ZXVh1EYAEM78+J0m7BMlofqRTv5jGc/Bb/dy4Z1Fw9TTGuZ06ADjzJoQ3UG+84rVNOgDR8hGS BpQgG1TRP1F+503ZygCLNNpexrTVvV7hwzbWnaEoZEZieALlZKePSSDKDaI5/iHX244NY2Y2PYBU 88Fm6KwLKFvQlfesxEIPhTNDAMYsgMOR+3o3FmxjvmxwPAv+sAhk52BLCM0atjFy5ePB8byXH0BY b0AbdNqsaYre42ajs6HIZxUz1gq0x5atLAiKDC9RR4HzVkwoq76U8w2c8zkeIAhtHQ94jJCDtJYq FRBHvVmFsvcocu7kaY+iFlpplz3aRqNa7klagF2Lop+Rv84gdHlguOgTFIXOKLYDouhH9B4eOs9x pf5SSRdDE5zRvcrhUdaz83JG4DiHsiL1oDt6HJVxZMGpTNkeFHCeKyzpZYPEi8TajjjABlUUEzI6 tmALcKJh9Dw9PsyPY8buHgO9M9mYU2IRNS+7jnzlEE3ot3qj2squ0RyhVDYqPsgGY/ud+BhavoyC qDqnJu3qoFt1JIe0pWlS86961+ggIhUC4jWgjWLh2SpKVCeBS19CoSyYI1EUGCVybgk5BtHL5igK o0G+gtichdEjdT+LCi4PraOOXaH0/T2ORg4mG7qUfPDqRj/X1aasd0H/dS8Cjju1h/EzrHIPa7Z/ P+8sNuwUlseWOvnLO/nnJILTQdEBXD7vJDZkDMmXUVFjERhpmfdwisJYuv06rlvZ7iuyHuZRGtWC xXcSW5EJ8sneqW88rs0g09RzydAEl2cR0FaE2VAAQvCi5WeRndZRMK16KxU6bdBOm5BlEhgm7887 gw2rqPt9VkzG9YfUN5uhKJwGRBfyvm4zv01pI0OorxmdZhL9yxmK4mm70Ap9oDg4OjSf67ggG8GV j6kijrTMs3bocZaL7l5JIHe97PsUDbY3lmAzHDRFFSU8eRf3+XnnrzEs8TIQ9ydM1nb1gPqdveZr COwvBzrUZjN0wvhk9ejRXIz9nbyGl1fHVSTtvOrdZrmci2c0WmRl6M4kCW4LawR5vvz4X6u18R5S Z5Orb42ARw7aZh00Fom0QMwmiql3Wl/5Ktt0aXit4524xkIZymPDNH2hXdi8UDaTy0ed2MqXUBRV D0r9cdnZYBOETlajNSPP6jPv1POnN0QDKpKuLF6un3rXYIKoe7xFy+LACSI3V940BDhEYbV7Qgxq b+OuKCjyWyExCqtRI0S70MBphS0i2WGW6bUytLuskhiG1YTwbZmBcvAVBLmxOcWfSSd3nU0wCCBW QReb+SlDL3vX98tsU9qXRjk2WM7a66a+M5KazztfrUgZ1e57Fq1h0UXNE81QFFYjK8JqWTZD9HhR Rags32MdStOaofewWh7a0IrSBhJ3QCDHRZsVhkT4IDRdKUAG+Rr07qnl4adQtpf9q1RfOyX/ZAqt xBUVf7rq4sT+vLPVcNDn79wMIqfQtvcpisrRMlCn+r61xYxEC8V077F2kCk0Re9htTv1Qeveh1tT xOmzsw7qcxJvqmptaGtM9JkKrzkDpdET4p2qVtw24/x78voI0B46qSEvTqXDEzd+3plqRU48zxRB S6xC0dumKA6sN23WuoFAZ/GDSKveevXuIvbOUyuCDdJcfvpgd9kJXPv5BSgRAWrI7OyvLrikQckW Dtj5gmOoNvJOU8OX0Ll4VTJDOVhHrFEAH9+X+XiU4H/fZdBr6PKd5QxFYTXQC7sR3cTUY01XQFrF pepR6rL09Z2jVh7Ih2qM+UsAGSCZSfUPwEAhJD4p7XPmpGOaMjEzKr0Smft556hxEQi9L7r68Mo0 2tpDR9FI8/POUCsPLn2I0j9gqTruGoqCatQ+z2XmfY2Wh9emG3VzLMHfVj9756cVB6ItqRtz8Obz OKf1nskT/AyRTmSbvMcycyucl9LVRCHj885PY72GJn0j30EzdPKWVnWbzfV5p6cVcV6e4n2hgtYt ob2z0/wgqt3ZDbmYJziweskrjAD02Ay9x9TIvYtYadMHX0P9pDLg8bmY/6QaWQKaHJQsAGIeN8O2 9LLvB9HSWd0E23cEbjmnSUtqra+0P+/cNPytOX8FRNLifm6zMKYukENT+MzkdVzL0Dmc2tAvNPad mGbhLiOh6oMnZjRXkyjEidDASqCPGPxUO/VFymOIWexdgwna8jmg9FFRS4VH7olth+iyY+/POy2N 10n+OqkhRQhGhM9QWKUm3nM1S11NQUNuB+mm99u7h++ctCJjnLN4cCLbYDMEGcSTTQgJg5KI/H2o OgWDsh9yga2MNogdfuek8ahlSCRQ1XRQVQGYoMtYF5y0d0oaHl9eqGa1Q2Z45W6zKKoG1unE0tt4 e21u73OMfNtAtTergLzz0RidU+8IOa4NNkU4qykHQVGoCU8rCW1uMufPyb5u0DjpEP7ORru7rGkR cbAi0YCj+g9hw+PzTkZDzDn9pGZdoMEKqHefoXcuGuIoUGOKIuj+A9zchenvx2V+VZMVeaeieeo6 CvNSDb6INlBC7IWfrzmvhAV5chFaWUN+loxn22ZYCZ93JlpxuYyRcvFBiRn08FnZrOeQ+LwT0X5l rmzudzqq3mr1OxGNK5dXmDE+N/Wg7brf7kXX4UZnMxQjPBAQwTXEB80QWLGlFtTHzm5LaWwwPAaq yx05AxFfP0TtgRNT9K7RDBHasVr2waGLueu27ydme2eh8aS+RTSGhwR63/LHOwuNsThCxp4NBDuT IczOX9C2dzwmVhZpn+8ktIsQQiPeB1tDtVFBpC4ZGqYNvBeQmUMWhz8q5xtu0d71r9RsyddwZZfz R0+F+MHzdb1/3gloRXYJTzzE22cUr6C9889wfIAxxGq9UMLbHPrgoN4ccA6sgE3Qe0xtLL0BqpkP fgxBNLMm8fVBuFbHGn4FkkLf+CntGKJCxjv5jC1v3PJTHaE5kDB0rSGA8XiDn533eeeesfxRvu6y Kq9Hz+7fqWf2syB3LQ42N1hwXvAuc0h+co3Dd+ZZEYz77K7EieJgpWr4x7RaGTEmmMwv0vrJuCEA 9hY/6HH1eWedMStTP6gkHwxoDsIt/+Qz7Z93zlkR0vG7fAZ02PKr/p1yxj5bI03f1Q17cijMSNeV ZhESzOmJqtSYwPNHZ2LwSn4weJieQj467rGzhCh30MlkPfl4gwz7bXYw43jnmxUhRmkLXn3QBHVK +OAPHL1+3ulmWAn5e/3QdbZePMM72wxfen6HjWKdDulZPCfbvARN7WE73vWdbOZN15MR7OKDV2A3 cMBU9yxlsxZLGQPgsVmoJsXMmYtEBb+TzUo2feOzxe6glmLOuEfwfQs/4ns8rUDhKyfLaC0+SyiK p+HOAPyk8fFE+xbkY5lMLaTRvIr/zjTjGcRGx7SByiqTTdeTiUJbQ9zOc+lnOT+Bat6RpSJL87ye zJXPO9HsgZsN6mOit2vclXxeW9T8E2L1zzvRjB2z/pWUoXuDf+9TFAXU6FZu1I41RYniImp0dOe/ nojF/cHfaWbMKMkHWlxEHPweg/PjzlI2hqV2pXxWRflq4KcxJSNJG5esl43OIYk8kCRM6wpDOUOc mZtunOv8884y+5W3ElgO9/bU7jkdBdSAVJ7TmcfPuF7hVO8XXIbwe5j+aYqiMrWusITIwQbbZ9Cg RnOMYOBz4O3JMvW5nivy1oJeTrvVITLM3glm+D2L8K4E50k2VxH17gJPYy193vllRfqdT95a2dO8 Wdk7uYyLiBqGzg7uIGxLkT6LAIMT/9xkU4r079wyi1aRjukkuosI8Jjz8mUOs3pEtF1YHYJrMbiH 5Eq5QziF+9+5ZciPiJTuYpr3WaxUCBC2ooue6/y8U8tsmz5rCFjTs9O7N4PemWWW7kIcpJK6ufvg f6o/3NyA2tN1lmxMlBjfiWXcAouwPFVh+63C0jgBKgYENwywqektmkFWm+Cx4UkPh1rRu0bbrLOT yJYHB/7w5VyZk6koug+fd1qZVR++oiEhuqpPUIiaBsKqUV2clqrwhjPhuUbtKxJmSvM1FJWphepk p9gGr59N9mqoD3Iyl0mKFIToz2ZYwE9Q39HFjNlPfCeVse3AdtnYd0he+1jqop5M8vNOKSsiNTi4 AdOJqlS+Wdk7pYwATzKB3fghpWtKs1Bc4jYjoklk13dG2c1bU+l38H5ZOjuwcDVDGyIjpmABrcOT BvL2CKRdynjbywYXPm/6Rm8rDZoisGjocHVyl/J5J5QxXvgu5EPevLHRqRkKy9RQVwdP4MedDF1l JkN7ydQcUHgUfDosU7NylskV02AzhHurdVAC4SFWII1FWYxK6UCUIzICNyWui84Y72wyou9VYiQc jYOCRvAEmAe2kx193slkRZIMX/c92vbjBo3vXDLO66TGnDuqStKBkqrdMI3lHCMuz/tOJeMS7uT/ ip1QH3YCHH+bufKWMy+5UisUicn5CTOQzFdGDeQcfkc0QUzLFiFEHCztSOjbM+3Y4/NOI2P//bbL uPRQjvlaQyH0YzBxdUPVE8q5nOrgjSQaWfXr/p1FVkwdDBnS9sHSsrLAH5CHem7Q5S1ChXLloFS8 r/k164Sfdw4Zu6ZVGdnwQZn9gjilKoyFjwdLqH7fZTRQz7dt/04gK1cLZPoE5XYLjKMODxkvkPqd P+b0n1Ep/6nBllA6NzgcaJm3dkgWyYitUDQN8gT5ph3kxXze6WPMrMjYHC37oCUECw9SOE76ND/v 7DHi1NfXBBGWs5c3y1oUUzMxI7NTkmB+9GDlsujI0ge9EXhOh1jqws21ySXn4Of0BqqBziXohQH7 Kbg1XdMblfPc6pEqpfiO4K5PwlLX5oNwDdUKx+fmrBmPB/Fi/+4EVcSVud+LLIyoz6+yN651Fc8o 98fUHrB8K55tqo7ymI5K1DZByE5s8EMoQ2eFxyECu0FXB4iEIAZqhazf25K2YzqKqFdSozUvH2wJ jS2xYSjs4/Goab+/9xho6BDbMqJLFFEDHLDZv5JcQ7EWYj7B0ExeG0JvUkyX94hatTvgF3kIcfBD KKWV2egGxR7lRfE9JH+LSgWL+OuR4+8xA5H0sVmqD1pC8IKZuvRHxeMRWvg2gggLxXcDgGQzFAXU UJmCbKqrEGyD5Z37s/DWp/nFdK3LHiE/4Jhwpsbwnt1VZEALR4WUxTx4gTUqpSJeOqumwJRqAwKu pGwme9e/Ug4E5T5ohqB1KHTVuWXweNQq+15CaAkXYJxtgsIKNdutkkzHTa/CvXAfKfsxDRtaHtM9 qlDrHksUttLgEMYTmWNehvyv1pSFeEbwMFGdyfVJynga4kuiVllDp52Ml/PLIuUUIYgFzcE/uxU8 H3ARb84xdAGig3CRsD0kI1KLSGg8ghiLy/A3h6UBCVvN/qpHEbW0wPegkxoHB1j1c8Es2rgDK1wX A6aGNvhJXBE8g459RRqKXjZYRTyIpnznyNaUKMpJPyuLCSe/4TtGdM1faRlUDx5mR48IiRDvBLDJ tAcKzz+pptO+Xb2yPn2GYuCHELCKF9MXm/Uk+gDkJWnLr1VJjumIMjq+beQbDQ3WGHtUpl6MF2EG xoFkER4/8DZn/+tcaxPPB5fZ8HCI2GvwF9q+MxRH1BuEoGooxpYePv2eDkGblUDYzs+J1pBC6Zx8 cPBQRpVqmposdhZ91kED/aGsCOAxfhChvI3vCG575a2qfTQw00jvO9tMqqIABNWN56Nttn6tobNp 02X89rBQPTcVP7NM5hLoEhRpAgKtm8ncTiREd37OH+WhPqUsz8ERaGjabErglgFkOOV3ziUCdhBk iAs5DS5rOfWy0S6jAiFcXGxQoRpxVVY7cUw8HjE7fhHqGV6NfQ+i95gauQqdGwCj5SIC00nlITjD mo5VLQgceFhHMfWWwfzkCtkzP7Tocyyd25j3/fm7cAKR2kogBO4DOjK5pSO903pUqa6sMfZFVEtf JilWEF6wgPsDsB4eD1L7m5dN5XCwubj3fYSmBvwLeiRD9aFch7teZko/nvV0Yj7gOLWIIuSH1Rib +8yzzilafTlJmWnJQ74oU7Q5Q9djJcaM/YFTw+MFXxIh0MgL6qxXc9Ai2ijqXrx5D+vU8xujl1Ha bk99qIdhNex4mxxlUZBNS0UhaEVvYc9hMwvbd4ZEUaF6SdFDFoS7e2KWIGeUOy0hCI4BU5GeMmA5 TAhCQYXIi4x92ctGqauADWotpuENoZ6nZJmg1oPHo0XkDaGqOcpXY5cc6SiwnhT/HtVZwH3uGxX1 5aD85WHjiErVU25gaB/YcPv2Hd7fapqd10qT99mc1K4CzJjsk6R9lvWuQZVRddhFzDkHg1SfnEzI hpUzHg8C6/Gdm6Hw2SDJYRMUxdWoQjzoxd2oq8gLf811XXjphYeTaISFajXLEOjY4CcRcBmV2Slk 1sECZ88Mvgcd2XWuRMdI3QtYOXxJRFSkRfGWiToEi4dO2HH+VMGFG6YoBH/cxj3L2vC9BrHUpihE VGMNzWL0RCSyDvXc48KF59y+hqJSNQzKBuSDOgdFKEw9YN/QwBeBvG6GCKq1aIEUBm786SrCUX3g OwKkp7qKScJeyYHRqZ5AnnkIWk94PCIH3W3GMmyH3s4VIojCajgn7Cpry4dLTqJi6ttb9/Sq4wRF YTUprAPodA1VE3Re/PzZY/YlNzk67BC/TwWrTp3YR8YaNxy+I+LaszRU5CVXsmugDGSArBCd8wyP R0oNt3XPghvw7ScEvNssiqtx/BnLlK3pnT07q5RK5jnUslcZRxRXs/48MrUGNNg2QzEO9q/kvgw0 XLnNOlD5YA3BVHBehhlof/iSYJuxeb8LiWaw6f3h92RYIFOj/ATpJeP5aBWV76AI3ahaLyx/hJE1 RJjkusXcQ7WhSfpLdtv0QSNczlEUWVMhdiQhQNJFgCQY96DiNOS6m1qiqiUMCAZNcs9psG+tmqT7 ESKqKfNdKp1SOdgN1OmpTNb9xONBz2x56sEaCA0QtmOsRoioniBQCdVApaFpqcf5ywy7h4PobABN UISoJs130AbahltHy+Aksnlf5kmehgkY4AUlF3elhqiZiu+IWmadi4eOshysjLaMYN5OioDHg5Bo fzemUTM6k3Rv+xAAQhtZMrKNQOX0oEZvTWWvl8Y5orB6ksZZsg4ieZhn5vcTuiiiLRQAyBb7QdDV R6g4f4hSMcQ5vLXxHUFARAzRbuybcVAtf+KEU0C0Nh6PGmbpewUBA/HIWowwqp5oS4/VDNtQL/xj SBeObWlg9jVBYVRNusJuSj+IgLTUDGDtIUfLls5BPenBV4Aohf36+bR5U7OtJRRG1V0azOxLV5ae kwhUEFVnpRAiWPiAIMFv+TsiQiNqpztHYVg9qHI6Xe2s9WZhNTIsa7sOqm+hBDLiajVh0o1c6NUc UA1xg7M2BoQJK4BxBbIyVF+EIPMkiojXWZMoU9PLRm1XGntMCuhmoBAFFIdYuJxNUbbG88EU3dSj 8d8C3dC8XD3DcnVDtxW8frrG0QnAkzNrV5/0FZUSJmcz1MtLorru7YOVq2kPCCgXb7MOqiLNPWB/ Qv1jwGIciUZzjxniP1j8GIW2FRz0VyFq53XdUmt4PFpD3pjeXG9n05YrzTSjqBoQsQ0UprLXsgn/ SHJFXcpe4YqalZrNkKe4WYOeTDs4eBkNalUoPZL7cgIHaHCdI5lexGj60tjDarH00pkhTZFyGCeq Tj4oMxsTwTsjol7weHQQ7a+TmvHnvgfRjGJqZFBIDawS22XJyINoeDzUUR40daaYpcgTaLTmg931 wD1By4TYhorIBaumbRgLTUgYZ06ecV0pSjDDanWX0Sfbrj0XL4CcNJt+SegSVjweUfBuOOTclw5o m01RFFXDnw+dIAPlVzopObjBaQtru8/njIvVDBkpPK3B+64LHuLUVy60Hy6S1sct2kgSHFdQeBGG NkP0R5OXHtuvHDRDqZkE/Qk8Mx4PWvfju+PRFlWIvEQ0o5gaao3oGlpuv6hVo7SjXMnlBpoKD+oZ queR8kKVPBs8poa9Ev2mzybrJ8zfvPbOtEDhBloiXD8GykcEhi+JhC0aYR/PYPUPu2rOsdIrHg/a iu27mk91FBTObYbCiJqi1L24NGUaV5oSGGTtsglwhWYoQn+YCVMWBS8/FLzzl4Diy6bZCfH7SfCx ESskrOitSokri4foxzijiLpR+WNUxo0c1EuEAxYvMoBlPzPkKI7vUjUS/U63VE1QBP6AOBh2VvWG UPXy0OjN07J97/oZlaoXq/gg/vrgML1zVAOEzousgRWszjThIIC4gwjvXGnqL84oopYjHLq4Gjy1 X0kYsfMH4yKLdT/m9ymUMZPtJmUzCqnRRtpFAHNy77sro4Ad70kZfmKdQqGOnjbZtAvt+lKcLXXC NMVlZ5PBJYlo2LNoFzwhCkXJbi1/610DABE5iqP2O+iqb3lJme4kWXw8yjnGV0jdIGgkFxhOUIT+ gGQCSECmQATGpcNjVjYMWmM5UBMUhdSD7ebcmLxy8H4QzJxqp6dBmRRsop3npK02Ct8QlvNCNUHn MwqpWxZrisEQByUdJzqtSbD83vH4vwBErPuDMFb7RVTPmKIIrcG1XYDo4bnO5DYLHbZYtstCOT0c v4NhlQ23CJvO3ZWGHE8LkhkKO0CbqYG5MPa1NqETHb7jL3QDIMc+OAitlyIttM1XjMLF/b2Gss40 m6AVxdMIwU62uq061LAbtIaE3ZVmQ3YJ4RXBP2QakCtrsRw8XMywD6xF/sInBlos9k8ezVhI0Kf7 JdG9QvjHVnGIaHwOmqACuQBOUKsVjwcMxSunlw2p/oNurc9QCP9IyOfHM0PF62dQefMZgsyCZiiE fwwdQ3n64PEiCrzQD6baaYa4QmFtaLLRAOrAVcSHdhu/Iyp9UKCp8kI76dS5ExTjwUGNnfB+cgM8 H+2yb9pCBSM0X/mhFQKqOx2EitHtzaZDqX12vdMBCzrNUIz/IIscYAUMTFsbN1mGuQvk6LCGcu3T ZC0pcwFk/r5FWCC8Gr4jqp4RA4uSgw0GkDmTTrZ8BQ94hQF1/8W/w/7q96BeIUOxk8Lp6jqj+EG9 Vb8z1Y9t/KkVwqlJlE5FV355GvfrHNPLrIPKmYGzHCmDBngV8e2cHHcU3nrXqEpN3lRdd9A5DaVN a7nuisf/dQrxmC60V0pePltRQI2SMXinChJ3mbeh2PN2ja+VXMFqxQzFTeEhGVSvJ6CeaNwvrpOT PbUm/+5ekCHBQwAOS9cCdrNxvyL0R6d2FbzSOWDWVRw6qQz5TT8o1+D5f8VDuNkJhu2Pam4UUeN7 zuncrUadBNmjNwevbCugoRrBKQplPyjEsBK18Dl4z7VAyDkRDDvO+TSYt7WF3GzCVinDOdntp5K9 bASQ2UxYJc2YDUBxVujOlAZAT2ng8QD9sX6F1DBn2hdjtUI8dWJIbXqn2GaGsVotWb0awsLbZygM qQtrHlkhdX5CaqjRnHxbPpQZoExK6VVKm8NXIadxIUQkQeFLghkSkbN3+St6TH2mPjFdoeISHo9U rG4ZX9jZhezeATIriqkBpDm5EnNUQGVqF8oB/CmwOEw+l6oWmKIQUS0Mp/BBHJxhViAzC67S2Wc4 bBLL1JnYa2QdOUN1ytAf/rJReUhylVRf5k9oECKAA1hgPN+Bx9+3GSx+vlL7icx53oAopCnWdU1L JOt5dT/alc8loElndYz+aJKquIPNUDpxaxnszZ+TCCSyzRrsVD2p/FBnWj3XgkXFLwmkT5fxFrIP Kn70LMcB3E4Vjwd9+3VnqKra9kObdZuisEy9JP9ueM/Wrjqs/GQ4RUSlS8A7VNNTqCwLYQ5OoBrn vN5DGszjHEQg2YMdhLo21fEpfWoh0bCX/SskosScDQYUhj0mT6I8Kx6PFtH15VAahzPhHtY7CqvR vVqQVbB2UL3MhVUvH7ivbSHR/lNP76z3cge/8Wedk9k3NeCRHJMxjWyzo8IClpt7mLJMvaOwmnwZ AEaHD1pEC/BSzlAafDyooNXLn2JpqVIx4rJf9ntcDUkVwKyEZlRf+vp05+uHW8Y0GasdKn/wKFqT Nz8HP4omSolq3UPkvzdxzGol+PB8Xr69+0L0Mr4kKOWzIV3rvINqaFDKV5XwzBYeD+6z/EssDklH uyJNO8RVA2UFp2IdRZt0cIk0tekcs4lKuWYojqtlZK4EJI07Q7CNreiLMvM4SRtxIpAgmjgM0JUp Fx9T7V2DCWIwtArd3jlYjoW9LK2KvvH4v5Q/VCIiNu7Ryg95isg86kp+WKft0PzamIQQ/rG66VTv GP7B2lAmGY+Dx0QoSpONhb59O9E6S0QV6lDwNTtrfubbDvKXjcRR5Hz32z8JTfBSyZ5u52jD4/8S GDaHShTR7kEUoqrhYa5oTbmZEcvo7uozRJkrzVDMU1T1LN3BA+sGXlAzAkxB/iGzc5zelCvZVz2G GFd8R5R6sNmxV/dBawi/pThiJ8HH45G+zt1knA0A/dvVOt+hnB7khcs1XDhXc/UZorGaTCZ39jUU Yj+EaUi8IQzRowoI9JlKLuootgROGClCasOf2DbdzAN8Jb7rX044pInaoApIh9SsuvFp4fEIhOZh NR4EB50uHzZBEfYDpBC4iTqHqln9HtSF5Wr5C4wsTVCopidoWWUpjYPfZQDI4Mo3DhXyWWav1N04 n6f0Xk3p3vWufxE5lywplltS0KOMaFW0XAse/1dmxn+LkmWq3uvYYVANgZvSqgsQPadQT8lBaB2u p2Th7Rj7MQWtKj74CkLkc35u00E7URatJSf+pMq60vYybKExL74jiBipPLTTXj7YBIHAJJXzufF4 pPKVv1YQKdflcsx2XKjuVLOwCarUYSHHDKXTH1doGj5BMfZDVOCefLAJQl0XVl+UQYNWiqGHUJMq PITKjRcBbeR3/FX/GGVlHww9BOfTofiUjwf1j4vzxGSiCYyOi09QFFE33mPVjptdt8XWiKjXvcdm MTfgHQE/0A47M4P6kg2euY6Ttk7JWjCqKszHSOQlVhhGQB4KsdWxQ90PinvVTPwZB4VCSTp0uJZK w+P/WkH0cj8/f4NRlCbIUDavMwQ2+TBx/N0XVSoppaDOGYU/ejdFAnzQHwo7J2riEcPBko4zQydk nFT5gsdAY1BwluWE3WUmZ7Rf/NDa9rqRKVf5qn8QUqbIbyHrZSG25ckPiNCe39OEAuJ4tD9UT3kv b06EQQyaszWHhP6o03zdYZRerN+RUxxVb85PuYNDiCqKIOoJnU9K6JdR3OIsKCIdCiy3XGWHUTW+ JSLjMcMHa80G/v6wKGmEvp1Fn/l8RLz3KgjOZhT4e7pKRMZLf3uMUkQ1FVtMok2zlLatQQTRwevf mkJc9WDuQUErDY73BMZjNiPBnLUlcc8EgbKZwF+ANMS13cz2uhHSiuX8TYA1k0aR6KAhWoXlnmPx AwLMZ/HIiO3+zLNw3GmKyta0uUW0Z/U0akWwrl/StKJsTyvfaQotFCk7MGXAwMGmKQPo0DePpZGB vOq0ucWPjzYGl8KNHsu2141gjUzOkg6m5AdTKYCDMTNFG5+HzfvR/UsIBEiiBjqtz1IUYdNvqTVD EW0INljpelXzBYYKakm+5aLatXE6WZHWYFvuxIcZh3R21Sa0Awf8d0h/RWH2qjaBQGyvGzRAhkQs Z/FBs7QA9+fxveG/kEI4SLmlWTowoMk0V74+JymsXyMT6YqNGHEX4+ZtIAb9BF9kCdO5K6QvLuYg nSxGDh5HrgHMjelWd5R4SBOGPOOEO3uubd3aY9r2uhGTWrgPtq3HyF7BbmeJJtkqps3n3+cJ7L6v TtH5s/scz54La9jnxERtf9osEdCke65s/ZdgMOK1dc9F0fakke0STY+DH00I9TJVT0n0y4QcN7Jh NwRCMmxe7GgiQ4Df8pdeI8TTfdAspTKh/H3+47kr+HxU6P+epUbC8a1i5xQLgwAQgsIVrznsL7vm 4CRuPM9R091zYR1bzlQI82ywPdeh19gGrW+h25cBlUKVFsUjNCphOm4BE+MsfklE9KRAUdVfV41O fbbc+a+2CS43Ph+h+L71d0Bw6nU8B1MYdVOZdFWHh/Ts8JC+prdlF9IGs8qL1EEqXU8S01YNFnZP dIdorwjI9Th/IMGQcM45N/dZSpR3sFBA5skplLBmRxaazz4orMzgR9Jb6PyifD7Yb+17klAGnPVx gkt/MhnJj1cFaZg1A6oOaXlyu13+IqcQIbKMr9B88P1W4OqhVnU7odfIbF5XqGvAb5pEHsl8l0w6 LL4kKCEVWZ3Ru4KD4mWA4ZgN1cGoMjSH+SUpBwNV2Gj4JIWmiwAkILPUJMERPTnccafrFbxqd0vB ECUCSP5A0dQHW0lnsYBMTaWiAuGRRFW1OcCRg4rXXn4mFbpn8Eui7SYlnpR90N/Vy5I/zFmqk89H 8gXf/Aa0MXqf9+QOfRdxMW5yC1VHqtlL/oLv6ExansPlwHjRvT0KBfM1eJaLA4McFqJms9yCzxGG aSNOo9cH0ZfsbYO2SGatrbBsy0G1Nhg4Ujnv7N/K5yMh2buSpi8lfr3NUhh6Z5q/NvPvLNsW1cl0 63YxlVl4KHV9UNSkNeR+88GXErSPQKbWUjrZ6FanW6T9c9chnTAmUWn2tlHziCSrTI5Dyctqr+fU npNwmJ7q4PNRl7Z8HUqFKr9PqBTYL8LxgCiRbILEQ4WBhkMpFZukPqXJ0/VBwX6jvmXLlMTgoEmC Fu1Zljj8sJRO6s444WTjiLnR66dssmlhsJGdA//Fs98IvV6LJSUOgtQslGK4OE4Qw+ejGOCXXEij ztFzKIUKfAsrSW4V9bLRaWBOdgUnKfXefL+FxMZiVJDqg60k+OSeYCkV7bc6K026B9+OIoVMg5Wd SLoohxaMi3WlNVSgHCSAEd0H+yJmrwk+nqEFY1n9VzzZEaXcSClwYDSXypbNOXi3eUtvm9oLnKXM baalFLvFsPbW9x2cMNMyzLwoPHNC1FnU+R6092vniF/AbRn2SEFA6MGYWd+eg/UADgIflZOxT0k5 tsXn/yW7S3EesIDKE04GHozYJlhKlBb8D9kzY1oKN8ghIKkoJe/559CEkWBskIPvcDttLNqiVFrO LgOCfrEXeeYG6ADoPLkkaEkqLoU2jJMoY2iv+mCNJPDriAY50SufD87uxxBF9QAYjuRnx4XSIROs BwZyFN+lvTzLJlkNJmRwA46zNk2Ra0yn4xL1RmxwbMTJswqLAPUE1SejzSx0FxLaTqD5g+a/hwG1 2ttGawkZ7sSCt8E6kr0JKHF+DT3/T30VTBIhz7dnmwMvxky5hhN1D+v89+xFE4iuTCM+oHfvkxQV uyfLAWsLHbEfiy8A18/WJ0MNh0fjWmrnqMoomuBHwAFlKKQ07XUjPXmSHhRRjhtRwtl66+hdrfD5 yDrG4wD+Y/g45/0spbDgnaH4AO68aT90Zz9AftkrcGm7IWPoyKhy7t7rDncpwcQVPQd0bivalNiU 6NP/gOF/ltLTdVvJ3vYv448u14Z+fbwTW+aDW2g2Ph/kJuVX6zaxU3hBkTl0ZQS04aSXlrdtCTMK 0MZMQQyI1ryaG9oyyvL1v1TDzrkEQdkkMl/jsiJeCVRlHk9k1VkGJ0BbDn0ZJyGjFIvikA3Rds4J gZHO5Gc+H8zSxQBwb0FIs9d7eIfGjGhqnKWUxW2Ega4xQuF5t1ULgHny9kkKAdoS6iGEVMPF2sD6 TO6nhLPjUoDOwVnofVFttl+2mryqQ2fGbB3cdAcrK52rZmoplcnngyJl/RVRSgz4nkqhNSOkKVC0 tyJlpaQVj+5B0xvecHPeGy7wZpTyGWA2MrCYt5R77mcEipth9zl7loxmGstx0ugr18Kh0BmG3xKF lGQvTJmeI2YSVxE4QmrXQb9l8wOiwNujJUKAoWQywejxeYpK3kAYn6N2XpDtleTdtVWHkGLGbZ5C qDb7J2uPO3ibKaM8gry/4pyGWxx2GWFtG+qqKJ49d9y2143wANZmahqq4YsmOBGsLJXc+XyE9//u VtLVO+1nlkI/mbbpImP9XAhYKfSeoPxZPeDkrl40CUwaH1lVEUSvaOi54QZ0CTKle8CumZvn0gBk EPMCeurteM9lbxvxHxlNQjwYcO0NiicjgRNEKyU6l+fkBwR7bv0qeQt1U69BfGjUCMGSDatbR5ak 29UtxadpFUcn5dCpkbVH6YHa4PCk86O3XigSjpYTqx2QNEQrBCo2o80H91/sbaOKABsDtd9B8dI+ P5JkM3ptfD5aS48INhYOeAYNcCmfpij6biRkX4jSpkiHOe0Nh7nh/X2aQtU+euwV8DFtsERuF2JM VpZSVqdEO+2rESsDMU9n3a7O7rS3DQAU9Edb4DTYYEy/sSrbckB68PmocPILQZGkj3gnKap4Qxtt w53L6iYzW51yNR4uKsFBWF15XODYiICJ4AkozNng1aVWe5eZdzmLFvrgU2Q/bBiQdhJVsRv3nM1S DDOhE2FVDF7dbm+d31b+fnXtyecjRbFLI+GkogDbAL71eYoVsVGFG21dJIXLGc/ByZMi9rrzFNvM LE1Q88EZf/msktxJzYbD4sm1KImNs6wiBgc61KOBuuxtg3uOLcuxSCgZbpd9DrSlU02+jTkwbhRi 6MEroYx60qT0bLko/EaJcaOtaLPURvPaCWlXOpl2bz5LcfjdX6+5E4YhxqBXyvlPNI+V9hpS+wGa GTUOpxrgtdvrBqvJHEDpUGik9cTqc92CCUA6PIfujWN/s7aAjO7lqrHk0L2Rmr1nWxhCuRP0wYOp 1u0sf1hI28EU2zcSgEv6jA1eq8zQ20Y1FHuu5jNJDK8SYk2uo/rV/u72tlFkKU1a6qsvr62fEBMV UUHfUPYODBxBYb6xAMtRoI4hLfNZiuLvs3q4lgyl3GS7iw5KyhYhwLGyDp+lKP5eopBmCffmR7gX +MYJQTeGlgnqXJMVJgAiEKdTccR52t3eNgAJsP62J0W0OFiFaVBigXmHXjJymPsmlVQFSzdiik0c Ycoj21HZhczkUO5q/G00Y10MMocujlKmBVTJB893Tzp6fgaCBKCKQ/HME39DbX2C2J5pKmAQL2Lg cujjOJPaAknqI2iI8GKC3kuWSHbrmR8QTdMvyxB0NOZT+I6tHCU80rxlWS0QzxD9cuGRjexA4Xfs 5chu3ATk2gaHL8GSJHUyJTvcgqB/O0B7rsDAnXMus1xZv7rfgZsjCMkyVDFyADDhIgKeO07MyXEu BH5AVBj4pT7C07A8qymEnEx4E9NjggdTdZ8wJCxOwGHz2qYp1soWf2tkH3yaTlw5u/CCgMKRmj16 gozgIIyYDA/DvedlrxuhvEgwyZJoQXVTmcXZdVkr+ERrkx/wv5BKJ5syX5suir+B48cacjktiUMC SzEVYxJxgpqVKgOhsSOL3icEJViAg+OXBqjnuL6YzMFYmNNUzlk84RuQy7xOTyt1e92IzMWYaRNx wkGlOPBuh04b1E8Cb8dv8X5OCBCY6dr05NDcEZ3C8xXd2VxySKdHMQWsWbBM6L/aLEWIk0Y611Z7 eyt7VCxQ2f9nZNmY+7JJTpzJQBmOWknWt1zd3vYvOtdMRsG1iAlivnln1b7T4PNBlnJt56TSPqHS cItMob8jA6Zep4vTU0JVULhmmw+GWMWhcKHB4yAdclGeV4NP0omCUivCVUJhC/QAKIufeVnIpaAt 7zuOTTZ+S7DjuIbaEoFyWR8ClC5rF0A+kM9H2my/bEJBVPjqW4YWj9inkDyeJJJCCMttwwbnnfXK WZ6lFAbfFFndY3UfnBm4Fzzf2bdshU0/aWudvbMQW2ZeM1aw7M1eNwIMsggnfejctwsjNdS8SYg8 n8vnI1bXdyyQM7nuT44S2jyScUJrjEw5ANZHiIQrdPJkTw4Rj2G8Qp9HWh3gHlg+aJrOyX0+ld3t WibFswHhafQQyxQGr+XBL6W+7H2j8JvOapnexS2baCcWPqX2VbDh80EwMG4xjssJW/jhKufQ7JHK taW7bTH0hjznXctpA+dsdkRF4PaIOjr9n7KcV/J1XjmnM9rb6LTAZK3BRH4JfDnQBgcL7hoejORv ++fxTX+RSdUd8bRwBWQ1eIEVCOweJQ/8JU+ik+Cq1efQ7xHKifATqVaMIzmRs0TOkRXjVvEqU2j4 uEjMEVNbw2VXrAnM75DRGrKCTvIALnRQRDf2o1K5oZApcHzUzgb7TSrR3fS8IE/cBBo5sYyeDy65 5h1e/mMkhtSLs0mK4m9gFHbtTrAoJJcZyat5KQ5dQp+kKP4eEiLbFNRO+yG+U3IUDTnCTgDTJeJ7 SCkeofcF55SsUlxo+lgZ4eRBzjKZ3Ews4JKYpWeLKiA/INKs91ZKvQd4vSq/OfB9RD1CXvPOp6wW FYBcc4VKTkLgaUps/MgdV2QxUq7FSIaUEUlcshgp2BPSKgU8ZOLz+lWUmgqYAuPHRFgafaC2D8p4 pzsnwwOYz0douAupkOY4FL8eDFPg/QgDUTih9JZ/XLrN23J7TBULoATcPUv5w/zRuijZBw+/KXGQ KDRxokeoUU5WT5BwNBwUJd2UF1IC+pY/HI8m+fU2aMu1BoWUH1K+Kp+PqCjrK5fjCp9XFCiH/o+g 6ENVwpuXqThaoKaRfZbK8OZlYACJtcRZGgI0U2ZMW66snLGWSLJYUGGkAWSGzu+Adm7mb+/Qk22v +xetyUG6EBWSIhTVmbh+58qNHxAUdtN3zITkq4+nFBeYQKLOSAs/66DsSsUHhd8jdZ8m5BK2mP6k V56ri73J/S1zdyJumMVS9LctKCXTGwLhC1S+0MjOd89RxS0HPpC4beTix8WUfTEhj2tdhbSzNvj8 v6pMPDozMnGyq3yeoggchwGCYtVNzq9uFhECdFiaAu0+n6cIfFLlftSkTdrmLezCLuLcb3lIo72S Nz7OUQWLdaBNiLP8VirLgRskcjF25OS42q/j6j7XV1P4PNG/DOwgwRX/lgjCdusgs2qSPq8BUzWe pfEE0L1E9VBzlInGtyxlGqriNVyqiZoX0Dum2J0uK4VLaeDTW87G/eoUCT6XOFpHjB/xBzmpCa/6 GizV6+Sni46D9QdWSnKkPQv18xoqVQCRlOlOBgEg3nYawE1WtaLJmahOsr1Dzxr1czdP7eH8E4oO aAEFkyPDXuRrPjhacEPAtZI/eM7+sxR5s3U0meh4mwtPzfSFhn+dHSSJpFhOyrhx0OycsEW+mfVc Cp/XEKmKbqDZ4VRgCUK+QrPzGiDVLNOjIX4lEPFp+/bCDWSAnMXaDWbnNTzC0uHsUJPNBls6GfTi CUoCUYInKK7qaYI1AYGnsq++/yTA+zU4qgDjkMGscvdWJ4iCkAiPRMzZ7fMaGdV067c4YPlv0YSg ghtm5zUuwtoBirL25jYjXLCmeGOydzykreD2GhVVWNyxzz0p48bBjx74JG8UUIB7O1GSDnMw4X6g nPfDVE6zQyzN5zUmqohpCDPN0pLkOV4VYHdI6iENOcfa5zUkwh9qLqt2rOPHYdmBs/MaD+GhE9Ov LeYkke/Tu0mrpuwZCOGANFmNdpaqbDRL1+Bd7gRfXl5S5eQJiT1alLYb3Kih3VlJ0k+id9PHODp3 xMsVVrk7VhnI7tF4fbV+AuvXSIhP++ywoYnGNQXoNT2vgVBF7f8RZyUPp7iJDzBEvniKEwNew6B6 1QGycLj5IVECcZvNw6ePCXq9kG4wzkYHB8UxB0skxtSvQVAFbZkZR2Fdm4OWwfltN+t5ta/yeY2A sA5asekh3AvLuZe7t14DIBzmmXrsyVoj86n5WymywoSI4SEtfMLpkSiAjNTrY6SegXXfdIJBb+dk ZthPMBeD4yOYYTCo8/RVngfR5sraXMRwLT4r79AKBgVF23rFK77PT7nXFl+tkRbo19Zr3IODGfm1 Cn5UkpInBI1FsneOzkQli3teox4czF1sSYkgd48OczphdGPjiCpJ8GyjMkAm7X2hWUesuQXRTa/6 fm1JvwWhmQ9aPdDeYNCD8/rzGvLYGz7XFjg/TQB3ajEGs4ONj3a/K9XX5v7OdCD0RvZ2KbLgYE46 c5RpcLiLB+qVJB/BYv5kFplwIUSJC+Y9AF1fVFujWFswOytJebRMH1RFOydaV+XjJMSf11pjfZgk tuRYp9KpRzWLYHpw/p9Q0qdH4Yp6s/Wrz18NqPVaZ6yO/U84omzwogeMgBKp7PBZGWd7yabv/HoT SuyUjXIwG32NXouM+AnU4+fvrsGAyLN5zAMVsvDeKt8RISEryU+ed14b7uPEcofx/HbfV9mmDC8w dtw4Tv6L5kcCW0M8SRFfVe1okI8qFNjqQNRAaAnGxQtNWdR1y2pXubZJBiDaXeTYzt3voJr+BnSU V1cZYJFG22slP5yZ8hNjOHyGoqAZRqQbN65On1y2qyEmQdwJroUgnpFsotOZnO089h18g82TfRri H0opurXOhYW7He2d0ihdW5XDC1UeHc+8vRbEp22wJTRMYhxixp93Shvj5u8DaNFpAdmeZug9cIaB RKKJyO3su8M8OmDV4A+osDh6LZghtqpPUDi3D159xfz3TiZyhRspKoAnNuwC6i3UrG5aoew9Cp17 EyWyTR8sykOLiEytBg7Se+yMtrMnFqLigCVGr1CBCaIjuhAVkpLhQ0rzC2yVabzITqCtQR+i4Fny dSh323AnCAxd1hDODpiVopkDan8whIEDCvLve4Mlvez7JuuUGB8koWmwvH1Ze+XkNGh1RMfQuEuI IGUUgTb1kThF7wF0Mttib92fE6k5OiSX7STk3lLxsmswRZ2Lp7NPrcHofueEoQBQV36BnSRtW6jP DirYJYP2ZZYwP+88tnsM9cY6UIf0Z/VFVAXSh+n2553HZjGUpoh5CTHHtXuK8c5jq2rhbPgM3sp0 8fw09WGriEJ9yt7fo2gd+ShqEGtUsgtq5gRLpJlZuAL4NSXcOmAgI2lHBwv4K6+40rTnncWGrQxN t7mlY7evjt2JbHfPdvqWzzuJrcqO5AkTM6CkY7Z7mYVxNAxFxPFUH38b8YgkRiuQ5XbrG/+fsOtK kiVHjv91ljYatLj/xQh3j0BWz2b0I80Ww+VkVTYKIoSLKJCW0h/d4m3wywxFxbXoQNcKLPoSNZSw pRqWHq0wzeZRJ3UUSDee1DMzz+CgbbbQAiVW7fyXn3cCW30K91OqSmQabkq1cIaiUBpa+mg9WBO/ yvUAdftCr2S1p2dycH8US3fBsbd8Z/fjdVBgirE6depP/FEh0YKTCGl8LyjpFd67S+LHXS/7PkWD heg9SBThoCk6aWqXeDGMnd/Za1ViOM9ZjVOk73ovs/dw2tRHoHbonQ1n+C2dJpohYGxVs4/i6UEJ /zpY6ODgZSBYgi01XM9JhESEmHYQHSqNl/LjfK2+xns87YIRfe3mg3bZSFU0ttohZBMF1Gv7LpPD KAQ10rpFxCiiRoC7cSVrhmCW7OdQNVUE+Bq5afE7b427jC3p1e9gMwSDavzEbP2gQb0kLIGkpJ0s /2exJW3FenvXaIYgw5EQDdvAGTorC0wvJVnr885aYzg1vs6hDmzLnL6E3jlruO4ns9Ti+WqdN1+l rrmbQXghMYypVZ8nj0aDH9TzJBKAOKrrgw1BKTsq9sEAHXA0L0LTGfydsVZVZUekSPoMB0tYd3fv sJI/74S1KnHm73JQhrta94DonbDGeZ3UZXFj8GU7K8OjKVnHZ+TmM/QeU2spwuRAGL61vhTGoeLM TiZbImzXDYCK6pkckIT2WvcYykMvG6RlFCltQqjDRepHv3zrle5d0DfM8/POV8NJn78TM7S5ekqe drzT1bByqVqrgIhNseGYtNGqm2ON7Z4r72w1z+sHJedtsCnquILPNhXaasM+jFJRKK5SSrtQR84w acNeNip7LLbpKTsCEURxzqBrlcjzgU79+rxz1TjF5TtoLNTOT3ejhXE1RYh6dYc1AgPksLbIpaHD WvX+6jtTrYozD52RlHzwjXbWEKDCrH20E31CUhkadjCb3QCnw0XS0THUG3knqjG7r7rGkg8WV8O1 k9n9yTQ/7zw1nmTtKzU7KSMaPrelEYXViyTjbHnqrhe/f47U5Pj9lJIVXt9ZatV9aTJJ6Bq8dgZl zZLpfA1qYqH90Un00ZHaxFyvW3ktlNV8Z6ndjbY0URyU3vcTKyWZzaT5eeeoVQk/2gzhvIY2yLmm PSR6p6hVcwslNNuSs+lnEfe65fczW4HonaFWDckAo6rhg220M+vYXiwvDtQaaZF99sqZHfjEsn/7 g54save4NT7vDDW2T3jVbyZnHDRF5+AdcsHYc33eCWoWln/1Nphz5HsUvYfVRgKpo5pAVK7dRdjF qGMJbZdsudk7OY0JjGUcxQeboROcVzhELCr5nz+hEhXCgvLZyBOImMfJsC29bFBjlJ5mIUetFVMQ hjUTEKUMGtP+vHPTqgzlvg5r5sL13mdRWE3vntGSS2j1cbPXXpy/N8o9rN/DakS89FiTT1+9AIac eT4Dh8EKyMk44MkOIcl2thnQhKtcY2eKmn/eeWmcIPLtCjO0Rp1cTgsIho2i9f0cep93XloV4fYr JhqEV3vQ+E5K41FNwGe3DlCn9rv5qebi+f0zQ+9h9W3M03VGgweN4KjjQiMO/ZxIXfK+JAYPkElo 2akqGksnn3dOmv2ckIZmD5qDgqJzwW0qdZCT9k5J4+MeNZqqzVmKtHjTFEVxNdY3dNhMZLwn9zpY Q2o+lY6qzUTG3wlp2GZMOVpl9MjBpmiNNSE2Ig8xWIeZcoRwzWfprYtdmHQHf6ejcRGRlp5ZZ+Sg y+wc1hLKbfAQe2ejsWJ4LzOq/sNrHGoJ1oKOAmsqIJc+dLWD1uV2mKvdRtAgUpw96Diwxv5qhUBG Dj5DOZ8jMkl8ZZbBxj+mDIcKjNZnuXaYAyDTzzsXjZ0uwjsnpSA4qEefGoXd8HUjf96paPXRiFat uvMXurXqdyJalRTJbr05EW37ObQ2JTuMY+Xol3ceGicIRzT+fB80QTXBeg6yVojP9jrJGRqt4/x3 BVXm/tNR6O/GiSl6178mqMlPpLmfSIZHtKyC0FH8vLPQ8Kem74PafN/8GHonobERjct+W+Nn43ut VG30D7Q7sIrF+nznoPEYwuaq9RlsBVXIlZLpCQTVHIOeCB3ZlF5QtXxDLQ69a5Sa0Ryhi6fXL08P FMmqr+v9884/s8DfTiFOEGLyeldQFFIDpbOrrCD+o1NPpXwCzlG5sQl6D6lNVfSEzDQ84GAThE7E uS8I6C1rAOrBHI7Cp4l6nekeQtTIeOeeIevYQnWSe8byPXlQRL/KW2SeNPnzTj1j2/2ihGTPwmPw AmGimBplNsgb2RT1ZpdaXmVMn6KOJIjn9DvzDD8QC9B9UWyFg5Wq+wmESUT/keI+uvc6ypcK+bf6 gW3HN/27X1buYEjz80m86U/sOz/vnDNEI2v9ysqgh9+fQ+g9oEabTV4Qw4Dm83pBjPE0pKnoyvmJ 6tSyEankk2nwPXZurFSX/FRRi8tJ7j7oleFqmetpdjDleCecVfEr0C+hrJHsGThDBBrzDzz/9Hnn m3EFfcdCNJytF87wTjfDlxLusUzRcJ9ow6PFXm0tAa/aDDz9zjZj8UX16V598I7i2VeFHwu28Pnf THI6mLA/g4j1cYVoDBX8zjZj3imQmdLWbGkr1iX6i/i+hR/xPZ5GoOA3PdEPZ8d1WihqhqJ4Gisd pJxrjDXdXq3lixcCjsVm6O8yteT4RhHVYnKG9rnDVqIYHaqxdcgtFDIfnQykzHYQE3tyJj7vTDOW 4tVLXKbY1xTUnNSjYdPi+l4nXHxnmlWpN2mKcOKgFNbFNOMURQE19hcKZ9brSEBWWixEFL3FQt0q +e88sypv38E14oPtMggZnntAGmsAo2VZ0sApekCe09SMJG1csl72r4OIdyEGUvP4d8HFguv2JOB8 Pspb51fxAzPd020HvZPMqqwVNn9NNTtqvrbFcu3pYrx4NBQVqicxi6WwPc3h4l1xDSzpGoO4KYke CKycvBV4jH1V6U9CsvSyQT+IarSVWFUNCodANpeg/Pn4zzvBDO9YvmcIqU5DQcJQi2FAjfv27CMT pB+0hPs/fu1mLY1yhgDTUZD+nV12A+oyyh28yLhZAmQBrSXgfKh7WEEuRMfiR/oYZg5O3f53dlmV nPxZPVtraZNRh8w+08aeUXKdn3dymQGBnoAxw5+zl+5H0Tu3jIBH4l7JsBsGbsjnCjtLftEjlJ6z 1GVBjfGdWsYSaRMqr/jghzWsyVCyxhS1dEI76htUCPJMhOr4Rg+IWtG7RttMkjOyxKzW/C5mmITz 99wKn3diGasPvoZkT0tElxdh33llWEPodtACiX6qrVAyhUh2Fc1ImEEGozUUFarBMxx0nfHB11Cu 4JOLFtzSGvhHyKqdGHJBW40Kjy5nzJbiO63MGg8N5Kg7aIZG7iJhwo/9804qIxbo132PolS+8I93 ShmjBKStPOewyxLQlybPp/B6E+IDqVbE1O+MMmtooujRlg92VEOmf9QsUgJSfNTOIWEIYRCq9M7q P6iQR593Rhn7DqwLrZZ8sDWUUPbmfX9i6ndCGcOFb4xVw4Js975/p5NZlHC2VnHSHS00VB2S+mwj +qPYZfbOJrvMhCqGYh1PYl/hhDxwN5+Qeq/Z5ZI94bXBxn1GQc0S10VfjHcy2UXfU9fLBsWMrbYk znRt7fPOJWMf4NY+8C8vnvvpLqKoTK3LDIxK9YNYcyGXrBe/zE64413XdyqZwdmwWLiIan4W0cnl WeBCy6yihtYkGg50OagoP9THNQOxbO/6Jz9BJuHbzW7gH5GkRQ8c4OedRmaJr2aIETTqQu1iqN9Z ZNUU+aocHTFBY7s+wZTtQ6dtyLDb/p1EdpGeTXX8duv4uIcnvEalql6GNBABBt2k259Ts91N1lkc eqeQXYzVRH/SBisOpdTVyzlb+PPOIOMp9g3To3t6Hp6XvdPH8FQSCN+AjLdZj9TeoGkFkHcrwb6z x27fvlNbT4N3y85mhfgANxmumsnONd3J+HOsfLMO4pU/7+QxHpn0UpUr1nIoIML/0tXdabjJopBa YrmCCkt2dSP3uOdQGFRvCjm5ND/hoSbNr6Ca1Q9qsfCkjsHUpNlPlYfmUx46G33Dv0CZGc2wWB6C OhEx3I0U6ab6WdK7BgwpFvBrlWdxrQ5t6Cdtmuon1ozHg4Cxr+/LHj/R1wSFITWECvfsVqMmG0q5 /TlUrX42oA9nPJeoRm0TlAQB0cWirAN/SdqSA1v1/L+IH1oUbDi3HGi/tyttB3UI/uAu250dIQ5a RL2NJjmwVgYej/r28+sYArYMRtzOdIlCaqAyNoUTOEOZpS8uIbMKLRRHcsuZ/h5Sy1NroAe2fLDq EGqu8PMq8jE8v0AWK0Y6k6guTo+opcjfQwoiz+m1JMi/TC0STb1zJDUFRhWPB2SOm5V1/oD4T5iL +hRFITWg3+fWKi5QmLYzFsiFdQMMt5vtEfpjMK3vhXwXDjZFC/iVAgIZCkTYY7R2AKYdRCm0Waan ZfTxxXcESQf5LnOVO1wAESWzz845SUePQur06ypDefBMrYNhexhSk9JR+vh11xP7IWoQT2rAXXhS 96hKLTBsUS229AuGBd1i0mmMAKKTgCTqOtFNWUrF9UnLYP/CL4kKRADQbuBazoD4WzULIPwzlXZO 2FXwfEBHnN/ABuj2/tDE0uYo5COCFDSS3WGwKpoeD3n3lcqETdiPHgXVU1zoIlh+eWD554Ac6BRg n5FdJkIiNFJO6opjmVGb6zQUvWwQEJkxiCiJ2GgSRoHXm0hTrfMdI8bmr7MawJgH+9EjTiIy620C KPQK6490ulHNwNKF0zJn6G/sR5E2IQc/qxulPysjRoCmZXI4oIvWoVA3KESk+55Vxh5VqpFK44yu GgiO5PkDwDBFIQALwPPBbTb61yLCMd1RNLcZimPqQn0GU7FodLcVoT5v12eYaNMwMet/8xIxIz74 GkKgu+kvVU5Id64uumMMXNAd9j349f0gQukN3xHk9nTAHBQPPUMBNUPbbFRZkYAyhOejbda/Ulfi /dLtuPawVN3R4QA7mMrySQbFmXJWZJRRjTDRJrTzc6JSNdX3KzU8OHhyf4IjGAsjZsRBXVCOG+ow TZBcYfr8KFvay0YFIpLFB3vTF3Jbcl2jqFR9big8HpzVt1TN+HASdtzuYf0eVp9QtaP+QSwtF5EU dCpDolFMyqrRN4OHdRRWy34oN7ZeOTjoPBFJTXeZ3tNENYUQK4QGlNBK+RLw6GiDL4muM5aGikrW xekvJ9AlJxTXWZ54PMju6zcuv6Jtn7dXGXsEqSZxofHLUCHKjSuHVcaetZ5IfzFDxx5hP6yar9S1 SHalEh2DTEMu3DBRg9xXIf33zMkiXoVKu4apxuGCL4liosScbGUftIg2DaR/DHTeI+wHHv+6zaDV 1PK6J1EcV4O5wFhEygzFdBrPJZ+u/U53Z9AelarVl870+NLgi2gsqH7qNqupn1BIpeqzMSZKbxlb 1MqMnZjhHoE/JBo3qTylQVPUUS9i0Lewz0Ki4l1ELJ1kwEzbumHjiCJrPLcLzakEGh4uE4Ni4C3n V4OhjahYPaWBttT4WOm2pjPkq+hqhdY0sHhUKtyUSqVcIXsOSfss613/6gnR9MMG/VWwiBROb+WM x4PI+iZnknbervzNCYriaohSmQYNPZ0LaR4UZRLBEza8JxSxCsiIS9XC6aXhg4eNUIsdkJhAfQhc O4rIN6yXQXV61BRc4avYy/5FJG+F1fxzipxIVSfs+ZmnhGMbpigsVm+/zxiTg7DRWQPWHIWwalgV T5nvwW2CLABTIV4u77WmYxlHVK2GkutA2z5zYIkL1eBCk+rzV1AbHdWhKS1+ANfgL4z8+F75Z+UP fEcA9iS9Y3Y2p+kFrV++7d2EOz//g8eDIkj/bgmBplYemY8RxdWIEnflLyCphpW9SjRTdbAnyvma oCiu1knU6NN8BhZtBrmKvZ0wYsvC8bzMHlucagaLNOZ+pKyByMZ3/NVWXLXcweqMuRNG9wOnWTwe HUTf1fzB7PVyF0YUVuM0QG+62TH0qFU1VfNxDJ1ZNLWqEYXV2mW1U2SfgweNk3UVMsrRkKUbCmh4 MKgCWTCjsO0sM9xJ+JJgl6F4e34KtD3OgH7MtkJalp3cuSUynv/XGmKEiGXb0j2po7iaZnJFITQy D2FgOUWrXdOmi9MbUVy9pPdBrVwN3njNAOosVhobDIc2dapONHSmCKb2kPD3WjU59yNEVAvi+zXY SX2maJka48TjEUZmf6dmqFsRYKkJChHVwDewwiGpobyXJR6NiiN2CnUrxY4IUV0oVFk3IUQcbhkN 2ACSSqEXXwkdpjtYQ9i2FAxZy4xQzxEqflRSqIC6tMFKsdtC7HMaDDwerKDfUkzAfZ159gmKatVN LTND54EReZ1k07hOsiDyaIKioJrG3mdmaItSBd7NDBlTA/GuyKsJxOJM4YsG/CIkXee6ckPoLmd8 RxQONc7M2Yk2qIq2IE/CxbTWxuP/6pixM1KZ3N9TKIyp4bGH1rqXP9oNhhhgSDNvuljViGNqEjvy MzgmH3YoJPXTYg/KHMQQwUq2weQRN7Wf01tLKIypOyWHins0QYFXFCoUwLmiTlC98AFBel9vPV80 M1mL+CTFUfWkNqXBG2qnY8xi9urwhnZSH6uAjL+r1QnHuw02SQPa3exlnMsHQAkcAOPc8hD/moQR 8TJrkmVqetmgjkYYI83KMcBvnn9XPXO/yWxDkobnoxLIL4wM6K4y/sQUzbBc3Qhh3JqNTZEF5WZF 1hVIPHqmjXzn50TXPXeYvFHrlzfqWfuQYiajq6KHW+TmSMayFfcvFI3+HjMEgFRZo6bpg/6qPZpq sS21hsejjseVruKCgyBs8oBxRkE1Xm1X2vdggipQmybojRCHySuchLsysxlSFVlgLOBL2HCraCMj ZCTOaoAiygmCgsxZQ33K2sNKsTTTmTFTkapM8ozjoMRs5Za74qFe8Pi/lM+42mAtQjCuJiiKqFHi 3mTpSJVyXV34E+RZ3/Vcx92yjhkTFVX4GM0HzzrOJGSg9aiPAhU9quxnGKGdUxq35rip66IuwYyL 1Y0JGX0YOKj+sar9JHWviscjqN7lKRLXN0B3vbfZjGJquPWq7KGYmmY/gjew6aIqGhhimqKwVm2p BIzhNTg9KJ/7PMvLup6JydRmPKH7TCYOPa6k8CIObf4D/9HFEurDK0SQJ1kiBKeMxyNdyl8ImUUV Is9cZxRTn5MZ6LNlfOClHJZJh7ujn1MI0Foe1DOKqQcVFQsFlTX4GjpTDrUXMszOtZNF7ICBJdi7 aHiMfXH5OPjwJcEuo4pVW2X7oF02KoDJ+KvPesfjQVuxta8Zoj5KvcSFGZaqKU65zVgIfuiW2+9K 1X7usoX+jWYogn/0LQxRvoPN0DgHG4RHOUNoHVNhECh04FHPmlnloeDRk3FGIXXlJiPA2QZbQhDh lMvLGHj8XyU0PIbOSs/PMRTBPywgWnYMSXhT8I9sTsSlJygcaoKiSvVS1WPWO9yeGSqMIpgB7jGx X4HbRzmEnf50c45BBcYZhdRJ3Y4ifllhl5wX2YmQyKCDMchnxtofN6RWpNlp+eEzFJIU0W8o1K+T skVz9tQgA15ZGbQ8dAqFOnpFV9gzeI2xwluSdi9nCQF/SNL9gDZ+B6oR+gu3lL/1rkHDTGDqapVG o4+g9LEGY6G6Nh//J8aKYUFGDe0uoQj9AZzvhlKfHdP1EvCmU6rQ7HDdhhnF1FDGAytI7aD67DFY ktbalJWdeLg01s8GKMcdfOWMYNjr1ESdzyimbkZwJYSoFYcQTbhSkZpxJq3j8QhC9N0OQqhX0dO0 GQopinBdOiGciemNtopdZDJ4IEVxZnpWY5PFanqsCm0K7HDwi6whYqZ/H3B6C3hYUjuwY8BcGPt6 m1BwDN8RBUOUIBK4YV9wwxooqBGmd9IWPB5Fi99pWZMbrx/TKwqnIWTgApW0Pr9KXyWvq9qQnLiw IvSHZHIrQMo2+AQhmJ6UFCy1jVkaUfk70Zd9U7rjt0L3CtEfm0WhqhJR7c59qSjfS9y1VjweMBRv QxEvh0y39XuPrbBGjfLio/zRpYNPnFbrbhwASqpNUIj9YBG/qHpWbvUs7U2q3BpidkBhEECaDisJ dBkgvnEF8YH+5Hf8UfmYQGnxt8fqU4QHXDuTwH7uGTwf7LGHgceqG/6zrctbWKEQNZpBpY+rh0/M Bw/qXpzacfJoCxdXCP9Yqr0OsV0ZDTWmHDCd6IP6MXXAzZ3LLUkd7eybuW8JFjFnw3dE5TOCYanS rMHwMa5+WkEEXmFA/ahamCwYL1M/iFZIUwRgeCZzB9iDGl2m1V38tod2h01RCKk2v1yKpHDwcOic aLVZEXYiv6SU+Wwswi7rcrit8Na7RlVqZmXye1nX7wX13MHG+4kbKx6PDqL9dRDlQoulyyZfUUiN cG1DZlzNoLKma733VrPd9icLN0/4FbIUKWuR8aY2+Axhkir63shb08n7cFT3xggTbeiM/7DLjHg4 fElQQpus4IN82pDSZVPUO1lxnZQ5PHs64/l/RkQ/Bojtj3BuFFPjGD53zzJ7jrS8PLQBRfApAhRV UxQrf7CBkdhQ5GBTdBYOkMK08KbUdqbrW0qyneCp5+amhe7P+JIAISOqfeV1xkG4/HYuB8kynt8G jwfwj1unZicGhUG6JtsURVH1WgRVZ5uiUZtX0CDC9mNm8CuZws4Ko+oizkIpPnhItDe00BgSdVTy OxX1IOh6VhFWJXwSrD5EfXV8SQQiYgWtUV74DMMIQihgcmefz254PKLcewGNQAgsItRAbIZCqiII 033NZQU04YQ3c3vSyOlkBgEKzVCIqu4SaFrTB+91gCVylgz3GT2imHdUihRitijq5PAPumOsKKyW 50TvjB07ExilrsAVmUxTxePBaf07rJ7AIM07QyGoulEeNllYPcpuXv0gJ05WnduNX1dYqhaGCHgz G/zKb3uO3NmWPi+FNIRKVm3wgQIUhas0qV2HL4lQ1aQusOWuQblr34O7APLvFY9H+ub5aw01BGW8 QzRDMVFxApLaLCgylTgmHtplnCFAMjVDYVhNp+4knR0ONkMnLwN3bJBCBfE4iEsDMovzaOHwpPqp RUVj6GX/khjuqzYfjEK1m4gMgKvi8WgNXWGLqizlZzwltB0F1tBX3in7FJVRLPNYa62H7jqNIbRD pqIMqLd22+7XfwKU1j46A+tzic1R6P1OoRqqYjPDNhtT1ql3FFhr8TSBP9oFf1SASYcQnIOPR/QX nyH+u/CPXxdBtN8Daz21ibq0jll3gZ0iTW9KfzQX8t6h9AcB56mV5oPXPzYkwdl6KYhDa6X4Xoa4 64SvbM63cV9IocCXBBWiZiSz7oNKaGV1RRjn0Bt4PLjM0jfaE341tV1pix2iqhFWz2Gr5eSY+VFp cr9liH9ks+neYVy96bOAnoMNt0QEF6u6rYbW6h7SqUbjCyg4OnMaPAaVJXxHVENT9sq7bF/HMjCL hnDn51XxeCT+cXdZVzyOrqJHRDtkKg4wOLPrx0Dl35D5LbmROcIGX0Qh+EO1/EUeHgePiHo5Gax8 FFsGRrywqwgXcgh8/iA3ue0gXmY7iqu3tYN69sEPojSFCToLC49HGsPf/TLkr5WqJJqhMKxGO+jk 8qb0VSlhKxfFPcyqo43igno7ZiqyvliJH+Jww2r8AFMWXBuulVToWiD51U3lyisgs3DC4zuixINg T5lOc9Aaomss76KT0+LxSMbq3vZMr4EYbP3SXXcoqNc6Mw9bRGVb+RWt6TVsihjra4pC9AdPorpM BuSRkEGl4Nw7FPfEUK2NCGmdBr4o5KMdmI+/Gt8RBERFdPt8BysSnd0qPvCJA/F4pBZ3QWiUm8mI GdNdRBH8A/TWPUVVhAoRtV+oQtSV4FeaaiyfoVBQT8HiFmR4f0OG4VOyVxWL6kTZ5HdMkpXxX6Nd 623p3vWuEZdTVibjDnYQwTiWQc65JPD4PzMz0vYg/ZD6naEwqkbMWLrtKNxm07dZvZKDqL2LiLdD /IeBrIhC4+B1tBPaz71l6HpW44LBCYCaneI6aKB7x6yQGY7vCEJGzNCZ0JZ90BpCU5RVIsgp4fGo jubnkGgz7YcSlDZBca2axu7sk9Vrx0WdplacZrZ4UXKCwqCaHKqa1L5Pj9EdGvegAXMJwcRjsC0N xORPodFjuREj5Dn4HZE6rAEYuw+GICqAKCvX4uNBAeSaUrBv3yhQ6Bi0HcXUjf2gVs05ubmYDFXw pl9laVjWsSPsx+TSKZt2bhw8cwWqiGaROIXou7CoUs1c49zuyEI8HGK3Y8faH7KVpn1y89yvQCwr kW5zQq2Gx6PEdX+tIJg0t3zvekPavH0rQmq6krNCNIpXiFD06z8m/rHYD+r6oD8Y031T4ULDTTvw fyY0Dk9iNOqULVcCLYyOl0AmXwzR2va6gTGXSvAieVADQpYteQP4hu/sJzjlB/wL7kl9Hspql6uR klMoqlelLTzEgamsExABUllW4DwBlmCK8CkOrXnEzDR88Hk6F3tB84NRHWjmIE81zB20rLgUxpXa af66EcSB5WoBq4dTAM7nwrWScPI1M5+POvjfST4oB/jB7iyFMJCEc1ps13khRWxQl61UpPQCTIpU 4VOIrBarfspkco5LL0dUhy0oHgx8y6BZ1KGy9DMTSAV0YXLvzWyv+xfMYVZhq9GzFI/uBBdm9zlO +MQPCICfeX2dSo245SuWAmBikMdimuwEQkFtVW9Tl2FgvvPrA+lk0xR6wXAroRnng9eL0snINu0u z6Y7hxT8h89iQiWBIPLCGM4iyLLtdf+ielR67GhQjF0hQM+CPVr5eP6fxWs8CMU9uo76NEVhNlV3 Gpc6V5OsF+hUmrJTGSh5bHsuKl8P6sXmTt8uDrbnAMI5gRIpsB3ClpMa+hnyQpOIz3m1m5Cn2OtG ThVqxrblg6ZpbYqUoWgAI4YUYkLy9wnObHQi5/NpCivYDbopJLOj+Hi+b4qed351aG+TWTUmbbjp 3hWWsNVOKyTDcvBbDujGxmP6rJsCggwFnNCgnGAR5trWrT6mba8bJLV0c1853UHTdJLCLGxRT5vP B/ZUv+R34OYOVPydpT+VQRJdMQkDzbXYRXcC4ySMY9sIJu2ii+LtqdrIXHfwo2nBpYJkwbONQXBI mRcduQIoRKP4YEfTRh2R3xKlbUTIlDZ80CylcxWrhHjicD4flfp/GcHJzfvZcqE8SAG9CqrJvOYK lZV4zXVIUYnqOSA4Z1suirmRANHPJPlgWw5EBsw+1xL4aCqxZXB3UEnEcegRE7Jeve1fjOpeZcVU XQzsRKbnLyCw6jzE56PG4y0hSQgTlOX5BANh3E046JP/O5+BKaN7e2zI9JhfXiQRgnuMQNDigwXe aO710tnAbque05JQNWq0wCz+Z9YnFuj+tn8ZxKC67oPV2VDZYz52flI+H2y4+q1CDN2TWeez4cJ6 dofEgrvj7bENoYbSRrr5LdepJiksaG8tJXaP0gODSJCfzLQlpA03GFjYcA2Ohw2y+JNmTFWVtmJv G9SRGjGhm4c3B0XM0Pcpsh1gXBmaxFyyni7E8wbtCZhC50XEaRseUpwkZOiONlrNpDEo4DjdVzDE ishpSCXt+pS080mBoPOtUlI+k0ORx75hucvMiKae5kxJw+kcWC86t3oRwqBBf9eJ/Tcxci2Nyecj CYObxOHOh6YEHMbuLEXBN2YS+82WEhTynAjySH7PWrvPUgQYSTT3gP2hD77fOoTvRxZ2thHhhdUG FBc0unk2OFWGFh85tl9UjtKlMkdrY/5d52LMQyXJUvn8vxSJmy+lehlpOTZgJHCNGuMsBuRerBgw WCJUMSCTmN71QUHJzVVAkw+O4oerS6NVbznzgXByiBrbUDaFrGy+k1Save1fDaTaWLc9g2iNeW7Q /wmrTnXw+aj6n74mqQiHce+3wIQRblfy+ZAC8Tl9snqPyB+HO52u4SWlHLswsiDZNztJHDRJFSDp 83shszr/iCSOfo0Fd3/Bd6DY4noYbGXnwIUR+42koiRSUXJSEZps6smce7Ly+SgI+M7iaObYnvQk tGFcE9uNyROrJg5CyqASmbZ1TziqbLuF7EZhIM3jy2HkwB5N2KQnTRKw6p393MWElFqFkDW29ET6 RTl0YiQo4kzPHBoUBaAGdlIspq8Jbp6hE2P5VXujy3O6FNkcODFeQYNuGk/NIaMQdOw+S5kABC2l OOwWUnTcwfcbrOr7sALuAHqHXQDYrzeI4eErHX7U/W2D/Uav7g1qqw3CH7UECjeXUlt8Pugl3fob PZ2Qc0HLwScp5DimQl6aqJ+7VlMIPb8OSMEmaZCmoWlz6MZo/nhldx88CIA/O/E5J+qG3RfP+HNn d0DWUTYhkW6JsK/yUujHOHUcZWoWVveWhzKo0hrYEWw+/y8pcOFFz8f0/Gy4KOoGiQ6QCBN+6HS3 YdkEEBsrLk1wbGyWIuuYzm5kWvUOl8iXUaUUOgJRDWWzwJUH+fecZWi/ehRQq71ttJS4hjIB/hys GXBecBo5RM//S2IlizlKU70nVgqj7j2vFSNQo2W5UA+6Ngb3a2jm2CyF0GxGAWkQ9sfhZnBpT3Y+ UYJDMEabasDaUDXZLF5dHFLy1w1U5VnIXVpL666lfK6cKmDbCfH4/L8UwXkCITAtl3WdQ2NGnAe7 jW5grZ6Ts4rBd/ESHEXINEsxjiSrs718eJA2J4Qv4qpl5IWZAhAo0Q9ULSjjYZ23lextgwxuyPmU EeXMN6I8MWVSRHmOKD4f5Sbf9p4o5eBlb0QZujOi1AF3RienU89bkLZhrp9Qn3OuUQ7tGZd8GSvZ WBx8LfH2bzy8zz47u68QGZkhdAD48WhXOF2Qthz6M06m711aK2cwDYgTFbYpDYiyMp+PNPp+2TGz SdWfakDo0Igg56wlqhqhFDfacs1iFZk6tURq9lkKgdri8y3pq61HXw0qDRssPhXgqBsGwA0isA5l x8ZZEmdNltWhRWOmsHytFO2p3k1H17AKk3QW7eTzEb+4fh3eUIxvX3lu6NEIGvQ5lIZdcU1ggEXZ dxNbQ7HVTa1yYNIo+TMgtkbywauUyBy2Wt3nPwe8/FgygRcRhfrKdXIoTGr4LX+X35TDpYZSAv6y s4QafTJ++vlx+QFBo2l7ekJoGWCEE9m1z1MIK9mLUAk7mNB8tXkiFFZbDmofPk8hXFt+wzIrSNes ICFDRJRUKSOWkK4gOm80OTwRevmhiMa95ba9bgQKYEGgsPYNnqXBjGg+Qdj/CTH4fNTz/rYmor13 uq4gOfRqxGvu3qZEVs4/JUMFIGL2juVM1VABOTBrvOKqeScfbMtNSvCDRoMEBZV00UQJvwUAE0GM N73nsrcNJokmDuhacDjzzI12Fuui9QEIxPCJD+wavwVomY008rP6s5iimndjslvNvRLoNocGjLkd o7Skx8ZpivElhODIZoaDw7jOv4xKGzddOnttEtk+wCQ5+7pLB8qx/8Xe9i+Zozp1Mk0/mVLqWaWh s6sbn494Wn7Lyc8I5a38HN9R8N1YgaurOdatrEdR3SydsFHcTC4Hpo0eC1Df2gZPURqYI5udgQo1 jcpZ2uyCoRxX6LDb1dv11w0gFDyZdiPThoNVl1xv8szS5vORn9yvtVTkFPHEAlH0jXgBlqVuVSQ2 NmEmpTuaC+ZdlsgFxo3ok3EtbfYuOTiMopxkqTOKwaWNli4OcJjJ/tA5Ci0W0bMBK/PXDaZJTled kBwOhjSBNJw4f3vy+SjdbV9xJSat1f3MUhR9Y8nvOpsZXjXWWIQ1WURVsLxE1XbNUuw1Q8OizeOb w2UBnO02ACKtBBJPSJcBSwGsGw4/uMp6LFCXvW1wyy1OEglpGpTu7vPt7Zo35sC98VtHY0rCD8Cg fKUyc+DfiFsO8o9ybaxUG7m1E3k/EHFS1vRpio3RqTMy7LKbtwN+4oqTv4ss0WDyDjQuwm90QQYK adQ5nOqA126vGyymxZMJ6ic2aDEt2AWrolszn/8no0SFlh/ad9oshR6OqPzuQZ1AEdm3H0x0DjHp o5q8oBubOMokdUg/a1z9LBjkZRnXAUF5oq8qHgCpSlxI9av/3e1t//IL2fTT0aCEFxGHuP4sewc2 juryfMHfCIFaT2QZGjlCsOjZaBumuNZBMZk6dFAodmGzFIXfUu2tiWL9HGwtwYakpM1YoMEsvVJl bEGoFGGCZEecrN3tbSP6FpikmbYPGrTl0McTcaZlveS/RLTUK0cQcLm2OTZzpLn1NkA33GWdjZxm SQ55h/2qTVIM6Bb9Md/Bayfng1CFJOQEtoiV4Xfa6Fii5J3hLOAgr+2vG6RytFAbCgUG/e84N/UE K41AP3C3+QH/8uPjz0iFPYLMbJ5CsiTAlOgrWs9yNN9yJbvaGHCzxifNf5g6DvnFdh98nkD7Q7iN 8Bv+zbkT6AVixwRBAP06N9+1/ndg6wgOtdBwZN82HL8S24cCdyN4EMri/ICgMpB+leJ4HD4NgtjX kSY027C40KXqVj7J1URHT1AA3pFNU4jtFj+g8prj4Divk9aeVFWydQjEKPYLG15I/STKvdaLfs/L XjcoWMqGprOMAkS0OmtwYZVK/A9g6PyA6KLzPgoZgQREXUZgDuwdEdFmRgNWsawjGzRnrzHM7wle 114/Cf0dZV+I8qoPXj9B8p02LULHOUEaBRVO0nWWwsQdnqkxYVyc1O11/yR0ASJug6EpzmotOm5Q QAksHr+r3yJJAn6Wr85oDk0eR0HZpE9bTWi//bhX8fXrYW3NpikCnaCCjoquCDnrIeScY24AT8se eEcMznsOPUyQugqhsi5Isrq9bXTPMaREddIGVSypvITlAYERPv8vlT+cndDta7k/k/Qef4vCsTvN ckyS5KLh6O0heikcP2zLhfH3FmuJ5kYcHA13wrDcWPk5J3iDxFglGu5cfGhE/IBB4FuOLS5+S7Dl mFd0bFIbnNjVrZNyEgc+H+y4K5lAMS7qBpbnngur33CXJ5UbbNJdE9El0rLY8qUD8LINX0ph/E0Q c24U0OZgBxNAaydwFcorpZOnEnOSkeiutOg6fHU3aOLOb4nMjczCcPtga+mEM1Ih22vx+SCwLNeo jwE4aFo9pydoCiHf5/9zdjgl6hFaghMmNFzZ1BpFWw6QZMN5hYaPXE0dkYwPmqeFYCNRha2exBRm c1xNDaoAOdGjpjwYptSXvW8UEKioS0l/Drrn2lm/csBOSOcCz0eUVr+rlgB0tHFltHNo+ogeyKbO vpLemt3DB+7yls4RoqqwKXB9PAc4NZKQ0vigTQcVo0lWBVRczp09eDIBfslG+ETaeW0xSXvPgesj zm8eSZtbb+00jK4FLmIS0AtogcD28b+yiHIRvB7GObR9hJzNBk/TinHi5WCSCk8XFeNYG9QkRaDv E6q8M1BahfBSk9NRW2hGscUL9Q8oJOP28FxuKGQKjB+z5XJTfMrpfEpwYxnKg8Y09HxwybVf5hC4 Mh+2YA6tH6ENBjfv6biT7XTBwVKGOT27G1QOvB8FMwbBJN3BiUwohxeGJeUsoxM+EXcCQ4fzdoi9 e72MSpXiQu/Hyvi7sIp3fjn6KXApzVUKmUgjlcUPCNpybf/3+G5X6zcH9o8QTUItjoLxRMOtdtFw JTvtdI2LqYj9H7vEbMXV2Q9Xh4rpucsSakM/ZZoUBV4X1eh+5f+m4qXA//HsF5VPtnqXXsk6wV2D ELL2d+XzERruQpg4SwhP97ViyYEFJMTrQfC+zcsqZ5+lhpPLai9QGhUKxB6QxDCn3roPdskNpA1d Phqtg64pzCAonQ2aIxm2ma4B2La9bgQX2FRNArZCg3Zcz6I7YLorn4/IKN8pb6Eo0NNJCV0goY+z Id1tnRTeTcayuPpSC/msQoHABlIQFkzPaj7cRvg42S18XmR7RLc7eGlkyr2hc9/qAz3Z9roBsYka iZ0WUSctOVeOhKEKkmd2uU423PgB76f3us5HFLUVavA5mMLgu+I4Wk6GVw9EYoAULNA0DVdHzoEZ 5GVYyhMy9/kcTCCbbB6SJ0c5d31h9zKjnkqkXUmU3dSeo5RbDuwgBZVhzXP7oIipIfXkhplVz0d1 XT++8S/TRG3OayGeQ0dICC1t2jsLMEDsq2W8yfyP+ujZw+/AEhJHHOXKqnCD9cENDiDsKwSHABiA BiodskkEQ9vxB3KLv+TKcuAJCfAI5bgAi7HBwCcty2Yd9gZ8/l/gSi68inJc8bX0eY2WWqaxNkHl mqM03EQcpNTkKUp3LfvXWKmdhUwduzq5kDh4tfIcS7V26mzDBCGjZgJBNxT5a7Go20lNiJVeI6WG +aEu8pZ0ojMVwYQqS62mc1J9XuOk84Lb1G8WqwH4xWx2GMNGkzOAWRIQbhEl0JxZIfe6LVyOk76C yekyHNnrDn6xnTj0hJaVKe6EvPOmbS8sgBq70YW6D+kLDP86OyeO7BIfp9IUB83OCdqXuCd14em3 2UHssG12BBAoTmPC7LyGR02QrHPxm0biuUqT2SBAuN3a3idxzAYPeA2OsHQ4O0CT+mCzs+s5qbe1 c+Hz3GXRhqVTIGZV9kUuT+K7X0OjhjJ1oj/EHj5ock7aZxJl52z4vMZFmFqTBbL4ny0IoihpeB8t HWiz17VcExlHtmveVFf4B45Ck/MaEzWc9AyGxlg++NJBolc6zelOxH62WO/sdbOUj4kqV+CfEi2f 14iooZ2Pc2dDgJqirUb2h3rgXnLvO9f+5zUgwh/ai82OJfiTetM2Pa/hEJ7a0CZzn77zrd11JuB/ 7uwAdOZktBrtrG0XV/HB7i/MUM2VBIoJ7ltGbQCQsQ6b3grZrOY7ixjnz2sohHOH+NKx+x0M7QZR SVKQzkn/eQ2E8HSrNj1LR9TJ9eu02XkNg7AdN+53mUI0piBXqTVVZwWs5dyJ1yCoJcp5Q0hbVJy1 L7d7NFpjCU4CIWkCdE4siYoAMjoalxlSgoJvn9cQ6LzqYmWt7Jp80E1zzlv25CEoVT6v8U97vLJ0 7rTzB/XCji1m5zX6wVGOtGyVYYJbU3a9g1bhQ/D3gvjLgCSvsQ9mR53/VKcPDiOpIIjL9QAyYiWx W9sZT5PxVKHpb6mrXA+ivUXCxG5NqlsA/kgFAVAQmcr2ild8n54yv6eHq5mABE7Pa9TTzPJAxRlJ SSWnlBDcYb6YrVum8Rrz8FimpB1x0Rq8swZtjDR4aQGqlSA5hLpsh6sIOnUEmlsETTb3a8jTZFWG 0oDO5cec5lxaKVlzI39eAx68Yfdz2ercEEbxg+e12IitNbihmmWrtV3ljVmKq7cAP2laZMG5nIj/ J5HZBjuX07lVahJSi0Z9VZoAKJ6d/5ZX4nUzZLr4eS00YnY29RJMCbmZeAvpQI18OmiBfl7LjE1d GjuXKR6AiidjLU7Pa42Rl8G6Av7tmoeyMUvLZJue7rIS0bksaO2WwdG+9IjzIbVldqzB3gYMlZ00 0m4nOPUUjnIgG62NXsuL+AlQoJhVnY96Ox8ZUqapeFHotbjI0O578SAk6CoJiQkdTI/o7eL7VcrU W0XoXPAOFTl38Lz0yD/nBz+lD04fyYNbbIhpC6kEmWGefTQHe0PrSkpwFX/eOW1NpUFsIJY69oVp nyMElQ/81ee4/LxT2rC9DA1ph88gvtBvrndCWxNujgpPOn1ys0gwOywS1SDoaTvDJoyZKdyCk9MG 32DwkJmCP2DrLeqSAqsN2Z/JXkHxXixIiHzZ4HgW7mGRiszBl1BOQvDnysejqHl9BYYUXx53h72z 2ZqJkPW57frqa/sWyz0bMbJXwY0IXQtmiEKRg/1JG2yGOmr4lELA/TUGrWToMS/rHTha3aRCqXsU OHdyR7da1hy0M9ZZqUl3EghI75EzjJG+0wqoY3VJ/alxEB3RpB/JLBTgkLZcZJwdLVtCT206ip07 O4ulyeqgXauDE/6ldfYWY+d51hYs1QFag2BuAx0n43y9N1jSywZ5F5scYwtMu5fXE1G6YienFsBE w+h5eHgo4G2BEvDwGXqPnqV8DXcvL7luZ41CIdWq9x2JpZdcoxligHh2dfJBM1TJXENgjCV0jgn8 p/DtOFJox5sM1ZcJ4Pq8c9juKQSBTk3QNO3jBMdZ+UqeW+bzzmFrMpd51lAm3Lj2O0VRCA22+gZE w3BYvXjtB4mwLSLcQJa6v8fQgFDiAGoQ+bHB8aHrHJBTlNGG4LATrAYKCTZX+QH2yqutdO15Z7Bh mwAXCvHk5oPwRfCb5+V9Dt/yeSewNSj9+TE0OUN41fnMUBhGc5u10b2Dv6zys3gpqzpW8i0iRnG0 mFmtsXrPwe+yVM59hkosmP7zbEDyRGAm/UPkAJ0wzedRB3UURzeqsS7SzjRY1b6vliQDkffnnbzG qCZ/JWG05trtXvZRII0jGge1FaNrXdVK9ibwL4lxL429U9ea9KuQf9Xug0fSJ68ea5msxgIBTPbp heZmUIvkrbskfdz1su8zNMy/sGwfNEMN575Mi/v8vDPXeN36GmJkCHe13e5l/x5MQ1qAnfuy7wxl m6GRqnON1kQXgOX6KJqm2A8YRN0H32QnBz6HYhfl+PwFKCSCswUMXUVtNT/G12ppvEfTrhUx0Tuw QZtsliTJthNj5c87Z+1/oqEM8Hxanoq9U9ZwCk0kqLcCPaVSz1PI0XyQndlegY7jaSyhLnVfDtaK bhBvOMFhFxj0HPnNaKQoNpy3XGxFW5l+6l2jCUIyBgqfD5wghA9dNxlxDVFALYSPTxCavYUiFSoh RgE10J4w7dmerF473l6vJ83szqJ9J6tx/bJCX3gWcfAK/dxggVZCHJHmdyqyLuQW56zKFLX0+jN9 wd+5ajwwieLvkq6lHAr/KggIiUI7S/68U9WacCNftY4Mb7XuwdA7UY3TSle+fM0yruNKX+771IDq tAl6j6cRDDFhFWE9X8L6OYNwWlBGHBp/BSpfkh0DtpHCU5vO6TqE8tDL/lWiP8c8YyL4v+qHPxOd aLQMM7L5eeep8SZrX1OEOAMMap+iqA49id+nhgCniH1cdcN83kqjToim6D2gRqFPGoiqMu9yQVZw O14rL7GvwCunDWZFM2yyfQSPd4ei0QrinaR2w6GyiR4qwCczpKB0jDiWWL6fd46aTfGTcxT6Tqa7 isKQGuXWIbcMREPDgWjohFr3GdAMQ+u9E9QsUkEYxFXEwds8HTLIjXqjgI1QeQz127NcJ5RbK4g2 jomhzMg7P63J3aoBllV9MFB6gZ4cV23m41FB+tciKqh95NvLiEJqQAp2K9NKri3tdZOO4SiGhJYR Q+p3chrzASYdkvcrX/J+q0CcQ8bpHVog6E6esxxwcnRJfrKUNVlzJars805Oa7KQQz2R0TgHZfYd 4Ah+30n5Pu/UtCY4zVdNeiDa2B4OvRPTWDnrRHf4DFULjAAKuCr+BV1MzVBUldYRVKpqH/WhfyyQ jFEtwjbbJzhCDEG9g3muMczQptWi5DSLvWwQDhEFMytrZ9M9ymAIcIJxwjzOZfx5p6VxnfevtAxc qYrU02boPaLGQcROzzRWQ64ueWRscSueZZ+h94gaZzWLHkt32pq3bn+S+tUYOQAS2858gSTSaYFE i9KMY/62xOxl3w+iRXx1lzR0L3eGKqozPEfOpfZ5p6Thb813l3Gb0V+83YMojKkhyzToeSOw0HVY gxTFTcuK3/fvMXWmKDFCRZJkeru06wxDzXNRD9n24EgiBn2zI99OUEMtHUvLytC7RjPEXqoE2LvI ATxzB0qwxOadZfp5p6PxPvEKLAuwZHzc8tA7G83uwD3cBXz36wSxc3Nh305qnWboPaaG0SPdsTIX EQcLGXG1n7Sp8zY7Bx4CazSd0USn0TAg/F5AG9teNogZR1NHNftggd7JczRZIKO9c9Hw+BxfmSv6 x1An9RmKgmp0X/ac2wCe7BhZd0zS/pihBmCDttl7UI3qB0LlkQldGK4fX9HdAP6yiWEFUfdKYh/t XPgTgiZqMeOkNfg7Cw2/AgX85qBCHQfdZQUIVTL34R/2TkJjrdBvezUamTj7XfbOQcMSGolkT7vt U10eEO1cr8EaKGXqPcdBNf5soDZ88CW0kcZL0Pe8EN6JOCtagaHwOMt1wqTX3uedgdbE2Twz0wiD 5aDWPMjcuuzPgf15J6BZIf0r6yAo6Bap3+lnTei23Zb1nDd0e22PpZqnnUK4IWyC/gZ2rMSci4PV z/q5qRbtsCrkesC1mUQ3oN8PoxucJqxigQhT9K7RBHWi8fcdtILQFU6iLpyI7Z17hj81+RbjdkJ2 UupdQVFIDS2tPZt14vfkjSAFf/J90OeAfZW159+ZZ1j+RdiONHywFQRtjAL7MFdakV4k2wVQrEcK +mAVh941SMvQgV45q3qWb/WMIB3VezpfMTql70Wm0/1cB6N7NPROOsMMbaqup8uGnZc0zIqxlMQS /Wg6PyfK7FmCLWn74IfQydIATyR++gShYLxihjKi47SRLKd7CFEZ451x1lxxdW/eZ5uVL7qm4Hel le/POfHK551whhlO82uTEbRFXXjNUBRRnwWKtKz6MT2yq/YhfjccPoDBtoaiIjX1nQeKHD7YJgN8 5mR1EGcuoIWttXVe4VZBBf9WPig/+nmnmjUXodlJ3aDkLNhFeXGZYs35eSeaMZz+nh4s5NKfM+g9 nJab27kEsknR1XQRMDOP22mt22r47zQzJoTcW5NtIA6+xdq5j7MqH8C6wsv7x3w0CsiA88vikfnG O8mMSC+68E6KPXCwCUI0yz/wZMOfd45Ze7TDvPJBXr7Xzt4ZZtZ72ssNwgBF8ibHuYlNivZsh+II qrg+jT+7qQDCwevTE12gREssEDIbA+6TnmAqCVMfV3zGoMDvBLMm3VwApdcd1EnMK0sF/NzB/fPO L+NiuEuISMaMTlnyhOOdX4ZIaFLhKVnlowguTaiHSvjNt5imKKxQk9HZKmXDmsoQU3a8KddUbIp6 n/SlhVQI6PDnVyiZjSCm9Z29xHd2GYvwpq1KL0y6XnDpZDiZFuHMTrD4zi5r+TJdFAuhj3h+2XtO h+H0kE9o81jI8a1rEcqlWCh5/eydW8bOv2rTDHQ42DajsEPROY0kIcHOFhBgmNXhujYJIwkal6yX /esgOv/SlrAaUjP+Xef9G4FnZ3Hy+X+lrRSoWfA2eK6yKJ6mPV+vt8/RihGDVyYHWmhyFEQ0RVGR WlYiLbGKz8E2WsYS3VQ8PcndOCk/sYoVGF6K6UBQ6haHur1s0AkqMp+jlAoH67dC8EdH0dqfd04Z 3zH9uspg+TS8VfZOKcNRVGHxuIYprI/ZjKG4C0EDUjEEmI069O+MsuYyKmTV2OCAPARDaWep9QOG iUo/oGcZ0uFnxkGRcmPwYS/7PkNVeVwm5myJ3obEPgN4SGeQM37eCWWGAPpqdCT2ovrFc4a4D8gr Ve5qYu0X1Xgrb3ucE2oF0XUIFcZ3PplBwgjHaz7c+lk9eT7oPZiicwkQXHT+KxRhT7T0Mx8gOa0m P+90MsZD3F9LcjOUOGfkB3R6kmz4ue/f2WSsPXhIrVIboVye17+TybBwWWBUKobsQyAhsthQTiav vMEry9ZQVKVu7JUV0crLF628A8BEGwAU8iEQK5tQVGIX0wDEcS5izG7iO5fMmjn0Mso+aIaQBDPJ hxf7551JZug4w579sA/1Q4qKzdB7SA1cJz0elqHtU9tuRLOZRGKXYQkN8VvfeWS3IV2lTszBjmqw +sHSZeUD1goJpJ9+fm0QdSBKiUDaFYxJRnjnkbGQpeIQDdZUpOEMZVCw+X3rhNTvNDLCw38BX9EU QEZhMxQWqVmCpZIRq2e9XBPMuT1vpcknT+p3DllzVyyWw2xwyH1C0RIctxNS970mfBqhgw0O70AM irvJElc2vT7vFLLmzmqj9DsoaOxwz6QAT23t884gYxfAkTE4sxbhI9kjoncCGeDISFzRbfJeUHMC WR/VGx30ZtIMhVG1dNTFbuXgM4RlhF4JE1dIqQn2sVAJg+VUpyavfMNQS/i8s8cwQTiGVoauig2a oMVCPtOOPT7v3DE23+t32oGyeHs2WYj6GJC32MVwMVU+hoyHsoFlYBaCJignKIyqic9Dmc8H22Sr QHYHFWmq8m/c82Rt0LxowYjo7jEC9j/vvLHmTHumGDZYbQhaY6ouFj4edaS/G0F0TadRqCYoiqml /1GsK3YS+/EQN66aDHgANkEx6oP5amH2wcExDQk7Ccv77LEK3DSlXcvkuyWs9Zt1DCI83yljTKzY kZ6soV1nMjqaCDHZGi6yKKKW2jK7HLxGhhR87iaLYmoIY+7Wiik5NjplqPYxuN9Y+wA0irWPFoKo BfvoLMBy8DUECDm62kw7VqXGDqpD7NVL49X9HScyJnxHcNfLrJiFag1CNZxAugrBCBmwFsE+5sjf 8SLiSmI/NEFhRI02YUqOxdtLK4cwaplfsH6GAqPoLVGJWsdQT1S04GAzBI16WDzaDMFjiGpqOGYr TqcMVRF3vNZBHYXUi84rCfUkG7SKzl1vjmpnO+PxoGmfv4EfiD/Qd3GGSxhS0/iibPN0yNeuAA6Y 3apDJ1q3Xll/D6mFNz4/ZiJPioNVh07AmE6QyAojSkVpUYz+xBfsw6C6OD2ilg5//5N5CJxx9UEV RmjXssF7AqOKxyOY8J0gvB36ny1hAWuGooiaEsWmkko6UHcdi/y4XkxEHpqhCPkxGA5NGYRwsBla G+S8JnDVOc/HnNLChGszyrxMapWVEY2L7whyDpXOkGzY4NihEzCwBltPztGjiDq17yVEBe9989Ye RtRkQffuVxm7gI77WD/OknJBqx4VqQWualXpa30kLM7tdbLewU3Wz/lUkJsiKzsJstSJ65OVEZqM L4nQVQiDcqLqF89GlSxOnEkKOv7sVvB8QEKc/SvpyKjdwbzd5yikIUJoYzBNZm6/rjTxaH1dAFo1 NasexdRTNKBNHSIO3m+FIECiYQKk19H1qNT8OtHJJKAEKdUVZyh62WAVJTrNpl00ZKWgJ2Sphh5q ne8YnNW/0EP4sPoAP3rERARwHlxnE2Yo3UWuoQjhRM1RkimB9zim5vKR1AcHLxCdX7sPqVegyFiU dSxIjtPQflB7SPc9q4w9KlSzZw+RNVYZeZJxc+BzN2EJUKfD89Ft9nufjZ8uuDJnKIqpcViikmwz 1MoVjkvqEBFfhe4C87Ie0xGngunqg+Pxsbk2dRFPcH0u/pblmXL2GdCRcLe7BxFyUXxHcN2Tjjhr 15AgqKBtdhJW1TVL3Xg+2mY+Q2QQwaUm3YZrj0rVAP3I3Ydq8qmarvy5Q6ldILIvBMI1Q3Gpmn3m LDmh7DrpoLOeNK0V6gwQziIrECg4QoBw0ib2kbOcetlolzEUGvRRbaN7qbqlJclD2Nng8X9xOiir lzrjKp+h96haCsbwtShWHqLUMMpD0kSRdhVcZn8qz+ooqt5s8dSi8lC55SFAhSfE8qZE9aCvghpj h/rT2ChLpezuRIVhAr4kus0oJD+k/T3sZwBUqW1BhWueePxfpFa1Z7fbW3CGIiw1quebZnisD1GM QzVGFqXkdZlT9l0W4T6MOLXZUKybBdIqz511cg1278qgTB93WYPoyYKmutR1DUyNrhq+JAKgMTPr TBg4qPqRIEDLXQK0eQ/r1OO7Y5bBkW75Uup7GFZD6BMGUSoQtc6OEGUlV5deI0qDdHRGRBQVqk2s 4n8E5NGpn2NVNsnh6gStJjpbACcMUS9EBF5k7EQL9wj5YSYpcywftM0AaBOUdWGbhfzE+nuKkAOv K8kworCarnu1mLrJZrJiMVE2PAhqaM6rH1GlWvd972n74Nkr2J+DFPZSYeU8N9vS6NnTFpzi8SR1 FGpC4Tv+aghN1Yk4GJ76xBcs2p3zLOPxgBw9vKuoVJeWGsVr+SMKq6GYtHF7/sh6t0/zRzmHBJux sN6tVztnxIVqqlUBD2mDzVBCCDQyCyBwpe6oypDVsSG0nUAfT1fUC4UYfElEUBTqg7X8Tgdyawil TMOpM92Yo7BUvX7hh2B2zS/WFIWA6nNonJulCF6e4XHqfkS5ur4QtN2szjiiWnVmcrZIgYYMDE5r 1IIrlISgDWmy8SfW44FOtdeK8kJ52ooo1g18R4D0VFtxcxWt7UJ4afYuahCiCzwetTu+6WVgQ5X5 KBCE6A9A0Bq10skil1G6zC2TaaH3VLtRFEcUVusk6vK27Fv4b6rnnffak6acBUJ6Daq6aN5jgcMY hNwpu+8RC+A7om4Hz+o672DNe4T9EsMZG49HB5FPEH89Jq/Dc7MRRdVM78s9h0ohV2FShGA4Jh+m 3baCoqga8q8Dde7lg+2yNjYgDrmKoQg8o3CegEuhHJlVhBAUFkgyfEl0EJHy0rNoeGg7bE4Rwngy pZHG4vloDbWvCx/hccVpZFMUhtUoNJZm5cXz4hel18GGN6MmZJiaoiisXszJILHog9PLoKhUM6WX OkJIeVsvuEK0SgWk6/OxSbUfIZx6U12oMDDioKP6fEKbyu/PZTYiOPVvwDlWXd23lj9CODVixkmX TqyhKZGqSffv4TzgDcyhJiiCU1eq5vZBlB4Hv8s2Ne/NOg7kYmk1o2JSIZLKYMgaZsR5jlDoo3Lt oEVsg1VidxIQtp0cEI8HAdH0oFo9aKDx+81dR1SrpldMoYEZd1mbD3vK+0ENQbDNUBRVq/DTyUjA QB4ps/tUak2JGKJWkVcRM5sLfdAWBD+uyhBN5fEdUTxEmB7OLhtUyACU30LGtfF4xOr41bivTO69 6TqioBqNoHM6p27lj0721KSd9XChvJ3uMRQH1WR17Jp8cER+WogltlgdJ2WDngio5E1NHVxENzHb WkNhUC2H5iYdOAgu5CT6FPREWCeEKQo+IEjv6/6+7bPke3yOwqi6Cn6mmdnUajMSZ6E0wWYltlkB ZMS1ahI6kkxQUnr0dDZsQxcbHmelnddZhH9AAnNMgoh4mTVpMTW9bNR2pSZKohJsYzbEv4v9AtZc cC/g+WCKfvXugW7v5TKBZ1isPr/f7vJnBK080VcIuRmdAJR4DPrgIjebsUoesXp13cFqRCcfoxUX 9xm8dBcjggS1IRSffgg9NyQaPT1mCP8oxFctThQH/VVQTGIYD5k5PB5M0K94CBI7raY7QVFQTQ3Y SudeTBAdmtW6n8QkMXlN2QEyM6xVkz3VGrGeHBzMWEDZJLS7nJB9Tc5hh2EcyO5Ldh5Wia32rn9W 80H1skGJ2YlPKfULeYGCx6PO/bemBRQBAYL0CYpCaigt75XNgBHyRpejKPFOOnwWb7vOkKMoB+uS pg8OZjyn9Lm3hG2oaDCi8NErRKTPIY1bc9zUdVGPYMa1aqI/9jOo/rHTSYtMa6ni8X8R8Ir6HWC6 PrJVUUwNMRbVOoyBVy+4oXaz9OopOWthhqVqRsoNcGMbbuP1HDyVHpoFrgg7kekKJL3pQY8rI7y6 vWswQ02sKeb4vSwn4J2gS34YQELh8aB1P79b9w26KCSza4KimBqcIyBh7LZfu3lnesn2W+gPt1yY IUVRSNjUsw82QcBUd+qFIWDc0lhBPACGIABomevHUPmoyONLIk0LuQvmO1j54zzH6KidLBuPB03F ewzRMR26KLV4lXHGlWpEjDlf0Y+L/iCZw2iuwlhhhiL0h/CwVawWDp51VOq9Z1735+ifQENhhjpV Ek98Wx7+HW0YZxRSV+atQIT54EuouqTFGHg8KqF9l/LRWOn5OYYi9AfU6OESkTwe6vcYmsMnCBqt NkFRoXqxLtT6aD54GRZ4q5GlitIgAULqR0LmViE6jzvImdKUXZxRSE093HOi0Xwp1Tkssd9z6ubs GRdZrPnxfU5D/ar2yyWfIT8x0XOx+wRl73XAMLl7UoZmjg6hUD2vqpnY72ATdH6BE7xI1LQ38Do6 u0Go7XQwntDFvIX8rXcN8EOqMFY5Vdbs5LuOvJ7H9Np8PAJY3XYZbz0IIuRnhiLwB50DWhkubLqS Y84XUdFGlHZhnRmF1IOC2z2Rn8jhdoPOsqGJE8hlEGWQXQW0dzq8ZTIRu1anJuZ8RiE1pHFQOGMl n4OSjpNWV3MU7P0zozp1vrUP8mXwqzw91xnyExvwnSRDiuOaXJ+STqQqDgHPZJssFP1ghXFI45SD X2Sosm9Wg0tZLMpR3AFcRNqfjn3dTMYqetdoky15mk0frDiEioqyiM1XDKLFvr6OaTI75oVYrSic RiMM1BdL7Lt4rVhCJ5d1wPkAuIlLaEXYj0GBL1A3fLggPSCEaUQHhRRAwomOUaNq49Pqb1nuFWI/ NmtDCCNs0ARBrqEI3VorHo/4ib+wH3Rt7NWv+hViP3AMGU2KBMV8IflUStIMNd9kK4yn6eHdiq76 cq/6E/kgis7aZBPWhDKeQKrMPgN8vB0+hJWO74hq1CzjQ+oAA0ooCvEgb01Ift+14Plgk5VvJUbo urd1wR8rFJ9GBbZQvs5EP/INqKuXPkCWtxmKwR+LnDFSX4YQVo0Iq3J20l5DEmirQ5+JFVhaB5xM DSR2F2LELsJ3RNUzle9X8kHomJWzyov4Hjz+L4VlCTHiALrlxRWF090onIZjnPlKDzX1OST5kS3j WCGaeigWWtUHj4XaWSxtUa7hrOmixn5H1RcnQdbycRvhrXeNStQmwDh9sGM6J7K+ALyreDzKWff3 MY1ac09XFGXFGtSZtt3ZCh9krLCd2IdFSPyjus7pFRMU2QmqM/ng8XTdW43oSqPGbEkrYv2BHjTh QHaTUSQVXxKUz+iitNUJOslLNhk94JUmm13oF+D5KBz6XkQEw/bbCVpRQI1Z3GknIYV2Eh9okcBe TeIdDcFiUxRrfvCCUlR0taU4RZCzkoHCop097QHogrsQhxIY6IZTBKGtCPshvYYpQdj5WOGdtHrK Cu/MPR6PZN7L110GhdRG4RrNUIinBha2yktx0O/VJdCadAbpn7AcPrTCiFpC70sWE+tWGCHoCDDA lPck0CvcZgPtDVCwzyIaFz+Ew4kvG6kR0KZbraBaZzZqEJRyubHBWMbjkYKVdxOr0DFIC69a5QpZ ijAOHnKTQPWMnh90USikpZhv2TRTlxUiqiVnviVnvq+c+bmABrB6hcWheXYXmWgnUgOyGeCEzNTI sB9z6GX/CIjOeS4XUxoNKW89CcCQ+V+peDw4q395BUBD68yQQz1XSFKEyFcfLglri4iaHyxEMKbu qB5JlDosUws/pLiIw8MvQ6M4cYbgZY6iLzS7qfu+Gb27PFPBouLLBoqn5CskXL02KHE9E5PpUlz7 qng80jT/LuQjqaqsTGmGwiI1PAIn7ZooVrmHyzNNMnM5Q8TAaYbCmFq6TCxsaHD2FGKJ2sh0He0E 6ih7jd7I5mT9jJKnFhGNoZeNmkGUPIWKog06iGZLiUF1PZcxHo/W0P46iCqK5fPush0F1QMovSRQ fmcO6y3p3b9EP7r17HfIUVQ7cRgWeN6gupzjeFG8AipoAIvh3ut0nOw4BoEydddS1qh3FFQ3coC7 LBT7tVA8pz8vJRwtg48H5bP6KyACBWtd9NB+j6nhAMNN5sUhFJRtk5303NuJjWUDSpuHoh+S80RV 3AYPiM42O9OCSn2BdmubdJkEoeoHUvA/Oa/nLqMw/g4B1VT9GJUsMw4qn1V49UrOcw88Htxll9mh WhmUUfuVN99hUH0OiE3eMaH45769nPtSfI5GIlWOcxRG1Tyja2dUzcHn6MRBEwkaQyL4TU7mHSBw NlSj6Otk2Bj81fiOaIqYktHQWwODRrga5ibl5b7xeIQ5L78yM0RhtXhyv0OSIrZZY9Pb3O4MJbPa 2C7PlLpLL+8Y+cEKvsQJ1HOzCtoeqOGqQJQ6qh8oMQ40V+jOnAE/914QL7MdxdW4EoFgpHw3Bx1E Z3tKSOZkSHzHfwkLd8tdibG3GQoB1XCUKlyyLBCV6taSQ0pNtHZB40QzFHu7sLiY2x0u9ONchomV VlpT9cK+dUJXrm4qVl7tGDIl8B1B4qESWtYEZZugDHzYFP3ppPd4PBLXKV8TBPORRiFNTVAUVAMb gml5JsiVP4Y61VT+QL6mCYqC6k3L5O7asOvB6PWz/iDdwG5igrqBuolwTACCFj+sQ/LBusJ3ROY3 NCsXrJqD1YdwoHGTnSgQj0cT9N2RhrBGJ7JUExQBPxJWEFQ0xHxZxYROz9aQJ04l/Kyay8IOdfSI xUeJzwffY9AdJB6c2t2Zop2ofqD+CgwxKXjWkO5d7xoVYcWaoggRB62gc4jOKVxiK3g8SMueaIiV B9RyGc9rhsKQGuwcGYnS47YV45ed1NVoeSAtkF/W+TkR8INLiFoVGm6/dSVwYagrfCLT2di6TpTv pwjI9l5ZId0H3xHEi5SkzkW6wsV1hXdKRR378zEbj0fK1Psroj5fBnb+tTEJq9RA5EPaXxNU26WX zXHpZYsOMpygGPjBXtlK0wefoNThb0qUJ2zb6qaPUGZzaScc0zdcxJbjd0SqH+rYz+KDlRhXEmUB dgV4PMpbv6sfuI/649Oxo4CauihVqvjkcBoqDx37sX6u/JAxFHeE+phqdMyVfXBiUKeyMNllJxVe VSJg7AxUOKhD6cGDITY6dqj5keUgve6gpVDOz0qPBCAP8HgUDH1z7dECa/mizwxiE83Q4CmH8tCY Jd20NSuDhYMoGny0205hRM0uWW7S72q3gpaQZsCbl15Ba6OYn1j8gPQyYK+I3S94aG173YDFSYb8 bLJbgAy/PFrgZy6RrxPcTn5ABPT0o6hwUiFwPdqV8M4pVNOD/vIAGovslzqvf3vj0cCJynu7f3sK I+shGzfyoTl4craxFBMFZAYaEwCWwr/9HHuTXPWcr88my4f8lkiqqatenX3gChipFMHOYenM5yPe vS8nNiGBqU7lWU6h7UuH3hedIIg7R4nfetOE15OJd643a3rkFIKqRRfvvNM5eE0fGtWF1iVlVNhr JMlbnJ9z4jaFcOPjtZntdf8CWq0l4wXUrMWggwFHZx9tzLH4AQHms3yX02AqXmEO5tMUla1pbTsp xknF/HQbsDWVZtOUEfzZNMXOiZlIxbx9uHC02aEuWQhHa7tPwtGAlz3ZUqWD04OtLtteN5IokEdX yT4owj55mggN8O7j8xEe7fvwJrGvXhVdKYe912Unu0LzLqZqpeu9DOIIMaqefctFteuRzNm2+GCz BEIhBIbFYgDEhLVrQqQmKud5Xs0mVMfsdYMGyCRueFo3NnkechKpzjJt3fBeSCEa5BfFPMMaY67y TFNYvm5U0MXJKVga5YkyZSyLw9JmIm6Pdl1h/Zq1/SZsY3uwjRk9QYCut8zwCpzeWHo8UeME0y7z 57TSY9r2un/xzrZkGPa4rCpI0mUJgqTN5yOi+Td275wAP/3pxkp88z2lBd+MgRloVbXVYhddljsM 0I1Iqv2ii+JtWmmPovuufKFC0qw9d9JeQAc++6+qyr/JEoBcIwHFW6opzV73L5G02Vv3QbOU4TrC eHDuzuf/VeenCA0/rT23XKgLglsOXqK65bB27ZZj4VYcTwrY2paLxfaKmiDNB99yFS1dtoQKnXQS w4EKQ4aJXAZFT4uYyHzklwRpCQH7J/SWIcM28EzZ55dkgHnurMbno57jrxokSnx1PhsuDLvZUutm sCQZGBdPmV5jSyChmz9eJA0CCxx0rEv3wePuttoJhSp7aj2DX11IaiBa6CSOsz6RgByTU6xezVNb 5VoOVmRrlRHeyTTa4PPBfmvftAYsosmk0SYppjECvceCBv1Li0HT8m5jjCe97T5JsSsMI0oZwXHw SAD1WfCneXh3cM6JSoduZoOWCp1ZqPB9/tpibxvVkEiGzRRQ4aBJSudldcMNhpWhL0z7BdxHG5PI dE1S6LSIRjMyXAPRpFLc92SnbHU2MLyvj2AIEhnyzBnTB1tJMEFNuQ/BQXvqQw1+COBhBaDwcJ0o aS+dA6tFnLzsXO+cfNDfNU7iM1QFGJPP/+tMwvSiW8n40CcpirxBfzo/uzVAtgDeZg7jTnmAhrkd ZeC2KLQmyo6Ei3CwSTqXCNiMixSZc3/tqu4bNEKhzM2jwSkytPXIsdtiVuBNt0UOmqQEh3IGiatU Ph/JyH5bn3AlmRk3vzYMvAsxj14rqa1ftSLJFxSW2+C9xOstNFyUgVevbLJxcPR+HVQpIuiRJTeG U9AxQsX0PIW/ySapNHvbv5T3mrAiTeEhNa8aglEe3HXw+WAlpStYJJIxvTCvUVVgunj+OHRHZna1 5qlSbWMt1BW/T7C7l89SFHdPUhr589qgWQKjEfLNRBdjKaVcVNlF8gQpKKjSuQ4G+9g5cF2UXz34 67P5IMBIgoyUcH258vl/7TeZWFLj6MYAoe8iiCubFswqmozlxf/FY5STBHFz328hrdGIIMTWcHDk GgIkZmyVe280CfAtCsYMMtCnJyeSLcqh9eIS5Qq8Ww7V1tKEeQKT1wT3ztB68T/206hFpMuNzYH1 4rmWOu1hlsuDEdnCDbfb7j5Lkx7CXR/0l/8AlMR8sA23oPo1lwrcC3BaAtU3cUQIxpEEOfRIQUBo vphZl0wIOGzQUjrhl9K5c6UvPh9p7s6vDC5DDwC9Pp+kUDJkA3LUVjHwEe2dmcHBFcoyODBeLM8N 7RdFIS6JRW4OFgTAfwb6jUhNTjg3E9qmUOPLVE4m1tzpjSWpuhQaMM6uUIltyXa9hMsoOCAYIpbN 5/91dqsaAJ+IC1fPgQUjUhO6VQ1hahw6QvxR715amtspoDnwYMz0NzzTM9iQ5ODVgJPHpqKz+4ST 8GiibgjKFzCO+4HJgUcBtdrbRkhIuqF0Ymo5WC/gbGsrBthLRpj+X9CITRu954KLg+5CAp9xrbvQ I0T67eKgfobiNklhsZviKsUUZltLdyn1MnICUg71NzDOq1y7J0WeEipX82KQ0rTXDcTkt9Lb3Hww /lVLW75BqxU+H+mAP5Ba7i7QJutTDQjNGKFXsHHTq7TUa182T6mvaqWl+pgx/uHGSIgErbk1+Dyd 87HUxTwX5g0LHG/2bs8DqFqsdvF+hIHySyL5VLYDOquVHCxUBlZLvaLZ+HyUnNTvQODEP9wB92QK LRl7Z6JrsH5sNNtzUOZzXvqCrJsS3dCTUXYMNRF7zcHTE1hVzkZFrHNkLVZoiAPE1kMBpV3FdCHa cmjKKO3LkVhhGonEuUQrtEJLjrOFVubzwR23b9VbeEraQtY7SyFCexOGBLDa/xWq0mSjhKKsT5Uj yIg4eDSHxoxjiGS0sw/eQFl7QMBMeJu1+yacbcKttNOsqV0axJJJdWjMKKNzMNN9sOM7z24w/zL5 fMQszl+hN3E79bGpjp0ZJ/B+AmlTsGd4C2UpEljIdNHA0o4LrBklfIasQ9aM9bFmBIoktVbliLZQ EFDN5JyGkugr18PhpLrJXjdSTicXywQzsChFVuwwRatCsq/ND4iC7288AHRMCEb3aYoxJShOqkAy 4Bs3DUO6k8zkBtmzpfg0xVhtBgGNpW8OXlo6iXsHnofWegn8aW018MGQ4ZeSnmSXxLUc+zOSYTwR X3EwFCmEizMXE+gKfP5frBoBbyB6sJ/FFNa8qYepBkqlcM+04DuVdisCbZhDYw4sGl12tsnnsz0+ n1Db7dgISnbP1kgsaKZEJAAE7ul8rqb3fdtoklgRKHROgcfaDzlgqDxvbbnRYAwfmDTqYPsdDNTZ n3MpBJeMeXl9AJcwaVRftzZH30CJzWcplhWRCSFVMTk4eGI2GJwsBd+ZhHXpGcKdDAW7th/cf7G3 Dc4lEbMqKwMcdCudRTGlTdNr4/NRcelbnpdavygf+yRFwTfQAJvHg+Pc5rUhFAOJ3jJPIhdYNSLX YSIHsTYbPEVpgALg/sdaOku0NuEDUChDNFqIpOhq7U573QhBwbgS3ok2WHUpDyJiMUubz0fBt4cC Bo6c3xSbHJo1or4Hta9klZNkCuooeeeL5ELpXolc4NaYDIgDJRIfHKkE6dDe2YuDXTUEaXHHAdrU qVLGOv7g/ss2TSHQhIUldj1tMKDJWRLSUz8RCZ+PBMW+010U21vdzyxF4Tc7llU4baS7JVvlBKj/ p74E/qpmKap5o+xHHlvzwc+lRIoxcxR0ZyZVD+hEDPA06hb1RgLV3za449blG3/RjqGc2LeS1c3D O9QYuYZ7PIIQzP3Is9WmKYq+QZE+7+3TVEe+gBPW6XUwjeJVgcC20SE5Z1NNH/xg6ufwS8Coweo7 QchvMfqGNylUJiVwONX/rt1eN4grGQt0atFq0DxBnV97DrrhOXRufKCBSYWWcytecm0OrRvJrp1C uRFeepkAvbimcYfUqB1MsXejUIGVLqAcbkUXgPAhXttYfW+mMRnqSpULqc6n+93tbf9OeFmNS64S CEJqG6rosuwduDci+K5fkUAnkvfpMoX2jVj+Z58V6w2AymINlNTdZxcqi9UnKYq9RelrwuJy8B1X T6a2sxglJy5I8kjdED5ukHVnx9BZ2t3eNhI+auxMIRLQ4AUmiXsj59BLBkCKSykhAoMyuSs/sxR7 OCLJTeZZcM7Dfk0ud78ml/O2mUITx2GsLd5xHByWA06JlDNkbg3nKGg/n8+cg5bWZV+MF0FwObRx 5O02F4JDDNlo6QV4d6mYnqww8wMikbHvluUC9oWsKZumkCeJTg/QmhYwLWeWgCHg05TAk7Rpim1n 2NAdsioYVwD6XP4QkmMF8UwNhBaQzQBtWUAiBVAL55IMd637HXg5go/MChyOTAznSJHEPrtxrJCO NTI/INpz38KixHfhtvVpCt0cT+x58lHn2w6Vm1A8gXKOFZnO6evFk8DOEUUmsiUzOUocvBJ3Lumz hJIQJ0CfgrUMyW9gjRJlXusFvmd/3aheCazgyNRpoY2B8gqUXTb7KOMcx/yAf3FK8XrQ8oDpx52m KPzOxHZvY5JugY6Z8Z6zacjl6WRpycsCoa3jIGX7/FjbB68xJbR3mYmAQg/b78yyLlgm4MCTxe88 nNTtdSMuFzkUibaFHFQXSHNNRvzQyeHz/zKcc+vLSuavzVJc/GZfIBk32Q4pGhSnK0MCD2efpQhx QrW1Aa1eH5yshLhyUCizDMDh4c0OtzAIcqAKh4qJ65Csbm8bdQhIvZUZ1r5mWCcs25ud0nqudz4f 2axcJRICUAE3yOOZpbD4jepJl7oftUi8XnkCG3MxLI24LNtyf1vRlKzWbX6IkyeTP2c1p+H8E6hc 9C4Eo/KHC4BZi225tf11gy1HAOpYz2CkLjhK6AtX5/PvO279cpltujWf8zsKv3EVgv6/VYirrblr 2MTOyKIor958LYXhd5MqdB8+eI0JPVxCM2CjSide8rrQfF7A6GSSlqxe2Zu9bgQYVGM3Vx+0mM7v zEeBz1h8PigxXQNDFk+QQPecnmggFCVZNAyDiijPb6J9CYWrnYUl4h4rpSK7PuiPwLJTodkGTtO5 l8De25KsPR+KAAcA4kFUWqrUCXgATKn7+0bxNwlwOCls0DUHLjWpR+cbJ58PooFf+kgoEJ5M9ZZP YqfHDSG75B3ec6Q05+ZIc5SmK8DoKxoIrB4RVlC1hZVtDRZ/Q71/nAco3nJyOLjs/FDLraENDgDo NTsYJLznwOrRj+/EmAlDU4MXskWF3SeBBQKvRxkhfh/fCHcvdSCHXo/Q+ABafVolbtxKXJkGIAA2 p3slLjR7VEhJBUYbbpIyk6YZdYGz48QkTGACNZQh0CqwTG4oYgrcHiU+C1tnedMk96Y52y23ITHR oecjouD6igRQEVDIa5MUhd8w090tdbfvUcGbK2kVnyTMnU9SGH7Ll6ZRCVm+vj/Ggxvnvi/Us2sA /HQWNhMrAXQy7PVyKVWICw0faxYGjmAvOJGK4s9CvSjeZ/IXPyCSRfylBITTuz35buD5iHIlwCbd TZ6nDKGY76braLjBt7ZZikvfxApM8rs5+FIaKLgOVgUgmjT0rwApj7c7P0i/qn9T4VJg+ojiB/Pd Sg3bVF3D9oQBXbE3vo/PR+XKX3LsA5zpdAEVge0jxLThXAwZGBcl294fyN7gPdHUMimXHPs+TrHf d/PBMzm6X1fTB1hN++0EULimoGIAx7Gr/Ne2vW4Ue5ORS9EtDdpwsGVkSHhmu/L5aCl9zxJF4iHB 57MUhd4gym+YSVsXpfQr/icdQFofAAShQCDwfsRSUrOJGB0OnqGgwQHDCAaVJzRCRRIZCrFOqH7y p3fcybbXDUhNZFbMRCr8AKBValAneu90hf+BPhM/IIiX9r3huD8JGvw6mMLguzLNNTcxnOPJwkqc jj5P1wAhBxaQuCt5cUmstT5ircDnA9g/mKKk838gRkNT7kysCFOJapvac1Rwy4EJpEuPV8iA26CA CeJMgrSfdcvng3x3fSNPMvgGcz5t8NAHkkqAoxsB/gSxZXrCmy6qYrZyN10EPTG1X3TNbPCT6cQI jTu4IkKFApBYqGjwom250oUyS6UsB06QsMFT2aRmH3Qygests5CJzmVgBYkWwXfte7JddSfp8xot dcyR5IGMrJMIQdYckV/ODAWxs+boNVbqiPxJPx1ETXJwYGVGEig72oF2+ODWTJihRiebx/N50a/3 NVLqJvp7UiWJIw/7EYhIE3oQ3q2f1zipIxNTCW7Lsr5RO58qbtzj0ezQ86jXbt1vrwoAIWM20Pjz qgn8vwZJ/VJQCSXR4ABmiCVCI5p8gZPFsv2LDOUc2mxFF75j+sLCv05PopIelPsk2spr8EcGCJNu 4xBcx9Nv0wM0ZvHpwVSgfV5xunN2XuOjnqmMt6eUN/BP0pOSun/RpkN4PI0P9xoddUkanT8e3Rob PM6Gzt6mrENpMKypRTRw+NGA3132RS5PwrtfY6OOvhDuM7bFbdDknHhVpmu17/Z5DYwwtQYwsQRA gOVtk/MaFnUxaXajHoH8RUyQFGd0q7co6an/a1DUcdRT0L/nOziL4mzPpIIWu8FkDUIhgGgaNMbL 1fXvPHpeQ6KuDuzZOo26gQl3H+tGqNUVYoihi5s/rxFRV6zwtXTAZxGFgo6lweygUrBTqQ57Z5dZ MNxt8Tb0I4uF1q/REH6ZJME2obnq8tk5h06BLDcLtmu2mhK9RQpivIVwsVQiqpPI3fQwjs4dtpGo ZWCDbq8TuZlD7/nYz2sk1KW+YLNjZ9RJ9rutps9rINStu33SD+OXtHRZAbN3a263jdKZjEOD6ZnW rRVMYnzBJBL4EE31bMhuFPJMB4B4KD7/5H6v95IYUr8GQV0NGHQ6Z/bByCVAhTE3O3nN5zUCwuKp 3+cO/qBO5wzOzmv4g7MczjQ0X+TsLKKXmHGMVK15NFABNeueaHYkor369MFm5wTNHbikoS4k5Fkp EQ3a+iBNBbhDz13ldhDtLfk8wk0YA6iurIJlNH0ZSeN2+bxGPv2Bb+8Lcq97+6X1GvZ01S1O1ODK ozRD0uJpy01XIHLiKuzRsUzkDPNJG3zxdGxXyPiifL0J+0QMDbAgRIx/MnHmFkOTyv0a8+AnkAAQ OAk2KE5pmdogsqL9vEY8eMOev89lNvbQX5DYaTA7VbFzsXS19u7aNlPmB0zqBQCEDFlwLlOb/yQS LH/UfDtq+RzuJ+4kSrPgqpmFZbaCi3yBblbYpjU4W6NOWzQ7Eh2lI40GFdDmqOKMI/D/vJYZuxAb z9rpuEcYZ3F2XmuMWK+Dd5UT23L22dngfN6Sx7K60GuFsXv+VdGSs8G3VoJ4NrfOucHH7uTAd6LH QOT+oWSUo9joaPRaXsQvsASInHcwEPJJ9xgvsyj0WlxkZDdtdoq8fcaveDAKl+lGQ4ooS0LsAzrx D+maODb0bBPxL5ogwWkKTWk42LWewM8YWw3ZBSkRutF0rJw52BtaV1CCesmfd0ob1s9QrWMPH7R+ 0jkHJBheBhik0fYyiwM7fAbRhctnKAqZEczuVpru83MDpyuEyIIm4x4I6TnBJoyZWQbK8lXLj8XB Prk16WskRcADGwR4SiKedGsK2uy9WEotf975bFjusjJClGODraEC4C5mKFc+HkXN82uGqLk87hZ7 Z7Ph+oIHZidoEXus7+ssD7yiYR8alVOFXAtmaBuZTaXX+pRe87luOmpAkoqcU6st8TJCYtj2jX2W cvcocIb9M2JDladbdXQfXc/4dQ38o/fI+bE42HINg0vGuvfXO5EN0U9Sb9GO6Ab5TSOOsljLJZQJ Y1I/PzijVdRpedzhpl1n+6OagVMonTdadFqZ9ATZcG2iBIffYEkvG+Rd5I2Cj+WDAWiQ1pHHVgAS DaPncW94RtooAQFQYFP0Hj/rgN3kAqjoWq1mBlXf4hp2kPL2oms0RUwa1mBfkYOm6IQZwCKoigw1 /zNDEpVk+k0QbTJUXybC+fPOYfNjaK5O3ePVr+5xToOMNnjzJZTJginKeXztsky0cTUY2PnaKIbG nwYhvmZwh+H+hVslKK6iiZBTuft7EJ0pxIh6NM35rvQ38KFnis6PO7jNIJawuN5yYeJ8/kz2Pq3g mu1dg7sssVW6qeHPQQCjDrqRGNqlfN4JbJYufM8Q6lTzmaEwjq6oabAuJYn6dNk0qQ3v4cMYUzMU BdJSZB2Z7Q0Ojg2d4PVZIN1J+KOiCxDNDTgvWmCawaNO6iiQbnRWI6LfBqvbj9pU/Tmh6OedvNYf 7eyTk/PVJs7A5BMURdLI8jecT6xq35fzaKjoYDwa3D+aoCiUVmcDVVQffILOpCxQagnqh7TakFHG 2SsdbKNceO0uyR53vez7DA2q+Waou9qgGaLU0jV0fmeudZlOf6XxsFXb7d7279E07jI2xuyO3+Ds 2gwNql0578HBMlE4rTLHzGTSzHx1R8pa8JOjNXE5dyLk60m2QaOsstuRH8frZu/6PkGTjvKAK/ug TTZhfyOfb0jYRPH0Tl4FwoMQW6vpVoHeGWs8hdJ3jWzlvm2PoQ9uE7Rhn60lFEfUJGMX0kM42EHN ZmRR45f6jo2rLENjHHjsk3Tsp1A/9a7BBLFKhnatD5yg8/ko0CjFWp93upoFU086huJFoUiFaohR RF1pd52uC4SkISiAsB28B8EQ22PvVLX+MI05QRy8inj20TlcSHY40VlvFAEAFQsM1lGoaekVaBqC vzPVsATEMJ7iG8/qHqoNzB/e9Sfy+rwT1fojvr6FImoZrmo3XnznqXXpCQBBubzbkzwcGqW6Ee+k Dhhn6D2iFkySXZ7lg+PRRgauiiaqEwc+hbd6g/nxpPDUpme6TqE89LJRlZ6K2ZVk44n+qpHy+lpU IUCHa37eaWqM/b6zVgQa5gPBKYoq0TLArNvu+iodXzbExvL26pre6XknqbFaJ8e5mnywgxr6UOeT qFkzToSYKabZQcj6mWjulpRvzWPQB+Kdo8aqHCtmYsw0+JCwBH1OhLJkMzXO9fJ5p6hZI+TrpGbz Kd1VFAXV6JGcONEv+5ndvnCraKc2PapZPKnfCWpdfhKwMip3sH12fmBwOOUDkWvvNKnrDb/opA0D Si0Oi6HQyDs/rRtE9hxr+Q66y2D/w7sIxjqfd3oaT/r5dRLhZ+81e2HonZ2GfBf0sIYf1pSPsnNl 1n6Uj0azvOydnNYdRdyEd2lfytmsKxaWqIDVO8cqoIzghWzJr+e+btWVkuqfd3IaZoiVs7MUtg+C 6pEFoHw9zc87Na0/ds5b1OIGe8Ca7hSFdWmU7YfPxm4kTptb8W1qFEjgaoqiwrSS+5bZfuZwAyKI RNLlrbBe2qugsWf1nuOjkCCA6Jp1eyy+zzszDX8jXUROfH4HTRGcB5I8e+b6vBPTuNC9/MHwsONr y91m7zG1VBbO+bOsscFSqPtApCvKWrKhz95ZacxdWf7QWuLgkKFa18nrKhdROf/ci5jXZ04Wjwak vbcrtvSy7yfRGpKopzgrB81QnQZEP7/B/ryT0rrsz7/us7lpCXCnKIyqoQ0980gOGGrJc9f/Z+y7 kmTJkST/4ywpLeBk73+whaqawSOn3fK1zMqgtqY8whMBYkTJ2p6Yrevo/E5J4xqmmb2MIOZjBAFK RIHqiuofrQxpJGcQOjtAG9jpnpiVoXeNZohQ2C1DZ9LhuTfKgCCXrrO9P++ENFbDvnNX6OnVrxs/ qlHDAgKyCnYS9ZnvIlKVEdl9H64s8k5Hw9vLNn0TqM/BgsazSSvK+BQWSeiVQNGu7y7FAoqgJK+h DaKG3+lo+Dml4IMFY4OComorXnS0dzYaHt/fJSLwCFvJ98KPwmqWiFYif4hM4nJV6rrri/cTdftB 9B5WZzuI4Irhg83QuWcgXaa84xyPZxnJr5fIfHRj0f9Q1DhpCv7OQ+tu2bOhZGmDLrPKLOSHeOHx eaehIegcnpiRwQZIboNZkbWfo7haLGLXhEZNeThAf5pLDaT33aHvnYVmeSGIKex3cLAZqqBJbiiX 0QfixEfJtCMr2Ky4d68NJsm5n3cSGttcxHTk1nxQez6jicfMLI/8eeeg8cItX5lZp/1E8ibiOwWN 6xY1D8pDsPrR3Yt3p9X9LmvD0QvvDDROEDKOLQQMB00QVhBsoAhbPFkIzygiHCBFi+gPzX+kPyTD FL3rXxMEkz8f9LOnxLiB2j39884/I8jnSTwUMyJ5vviOd/5ZN/7Z3NN2GVeLqfi3Wr3RWr2W/04/ IzqIf7gsHDnYSQ1W/TmMpU0HeE1RjkKXlUJqYn4wi0PvGuRmLFEXovI1KLs/GU2SnljufMV/HtQ6 4M+NQL6AZiiKqgF7hfeZU2JRJDDmcKWHYaFa5jR+9Tvz7C6ilbnLVn522ZpkVxNGfb7sBNikE1VI aTVkH+gj+DlEcYx33lk31dUFygiZQggzZQqyFlQOcYOfA+/zTjtjAcSve644xkJ0V+MMRVE1i4wn tDA4fp9Xu6+y+Es4/kCZS2soqlQnBkS7Ms3nYNsMaOk+Eu+yk33ATIqHObhJrOPf8geSfb5pVKdm n4wAfg06hc7sLJ1Cfc7PO92sP0YZOJ5/xKQq4itwft5DatwOlVZPDjKvyekKU7XrShWxas2Od7aZ ZYVAkzOD5WArKOV2lk1XgQhzMOlGW2H7VnC5zC+Tx2HvGnSDyDyH6ZYPNkNnNYkHe0L1zzvVjAto faVltJolUkATFEXUiG/PBWmaDnu1NR3t4T00aJhVq+O/E82606lHYTDEwdtlJ6jOkOyXAA1IVDQ0 Qn1mEK4+rgCNYYLfiWYW/kNQWoYZo1yfg9wkmI6A/fPOM+Ni+A4XkYoiEPYZigJqLG+KVhngoywP hhqhubzqR/U6/jvJ7F71dFDlwKBeRhDnQyedDAB3nK1n6YXAMgyJKph0ntp3dhTfOWasxDMM2uQK b4rKyd/q3HGFlfyTBPfPO8eM13b6TjogkNjhvGhzFIbUPIaSqT2eKOyWGbdiJOqsFsqtJ35OkHTw mp8i5c+HlH9OHphhTVWIIKwGL6GB6xl0RTgAScZIssYl62Wjk4jIl06G8O6UpOXftc3t52fMzOej 1PW7VI1ktKd+N1oUU4NVAUDG8G5HdbJikR9vlx+vL6OoVD2FVZxS7Z3j1j8WhG4bu5tgdIK0DOBQ B+MIRiNnS19B+jzILnsnl1nLC6AYdMw0WNu1mY0S0Mafd24Z33F+ZR0VkQbFOQRaDGNqKD5CSkBw 4Fmy2WTAQ939RHZiDQ2oxTimHuqUVR8cWoWy2R4i2kFtlQ4e5191lBnBjgdVyn3BKdn/ziwjOIG6 RY24YNS1VC6sSDyKxK3q/LwTy7hP69dhnYFj7KX7WfROLGMFFfVX6BlmKvRB3Sb/p7JlRmF1tcyQ XaLM+M4rM2QYBR2SDw7/mAOKJYDBn2N/nY+iejj6+T8wbPqZD6Ac/Xy+a1SIlWCoLvzqasYn7qyC eZ6cb37eWWVd2joWD/HdeEHcltk7qwyLCCSX1gnPo8v8dBX6De0t8cvPhT9tEUW1amk8VgnJcPBa dQZLlHpcJ+2gpSYF1aClsmjXCn1HFzNmU/GdVNZNWnWuRcorh2Tljy6ZL5hwfd4pZYyqy/eNj8IU Peg0Q+9BtZRGd5XFNdgJg2tIhlPDthnaFUZ0fSeU3UJsH0w7+rhpx4kTwbtPNOSd5602tFQ5Z4iG wKgk986EjMlKeCeUsfUgf8d9B80QOrDCv5409vPOJ+sP6c5ufJSb2r4HUViqhtwcflLFRIKSyghz mCkd4B9eQntnk3GGVEKrzQdvmnUypZiYndz+3IzSxMCqaSDp047FkldWUz/vZDKC74mQIdNVg6JG eMqr/nFS0c87lwxL8FITGNOKnF7vFEWlauAJUM8yr9DqaoUnE9lmIArd2WXUjXcqGZcwgyK1Fzl4 Zxq3+qArDmRn62hmegQJeEDQOrV5ZR+Gb/y8E8m6ZKvAlBcKpLvs1Ylt2jDXkD0+7zQyA5R9YcwL quM93RkK4R+UcyrmJH/+qTe779fYTo9KIDBrhsLAmrnr3GyacbBtBuGPs6qIIYIu2IJCMvCgCGlR P2MTX7uss0L0TiHrcpKEPlGpPliFCP7HXAInF/i8M8j+qzVN63TSSjRBUVyNEwhqBAZlrFRCV5Fx XT/eVbwM+04fY+8eC+NEP9sHL1S3AvIATmLYDeVzbbIhIneFZCaGdgwR6/nOHrPcCjPTpg+2yc5k Jx2+uMuiqFoK3n4Myb5m3Ms+Cqo7gXoSB8U/Sc+R1Q8qt7L6USppCp2f81fbdRaWNjjYCjo55jnE AW0kxIrafqoPIQqi0Gtzl8eJGxXfEVz2qajJkX0QuAHkECk7Qw2sRegPap0/ASMCyzy9xtjCkBr9 nXOXN6+gjbEdT70JJipQKZxeQWtRnTpxYQxJzI5HYrYsOHou4izQEui5qwpLUjIKjLmk25i2gzqK qRfmZoHU6IPW0IBUJFHSrQw8HqHQPHnFOQ1UaxsX/9GjmJoVtDqaSh1nA1jtFffDtBmSVrGoLu8x Nc5pTA0ETH3wNbQHYNVENzSk8s2kDGu1OjEr+euR4+8xBZFpfeJhlNN2BBFc2ZjmnMCo4vGAzbHL 1wQBc9SgKGQTFEXUg6bX3Wxl4O3khIUiJAgn6MKFewT/GIyGaE5pgxXQ1gmpz20vpYaOaLFJCnOQ JgXX9ulZ2aScRY/q1FU8lzK7D4YgSqklYfJPztGjiDoVz8omc9yzl3f3gLqHATXJUqKwYi3Rj8nA H7fTsRFo8ZjuUZVaAvPAovrgmHzYXaGWImN54EgS+/ZnMqRQXJ+sDMRkfklUIEIJn26vZ+DRJUIQ QO2MhlC8w/MBF/EXTC+jdtch721zFLIR8TMO6vwjLTt3vUM9yX82GFpLZvDYo5B6MgyCnKIPfpVN JARkJ5c5kLJVovIRzM6OIwf32RVpKHrZIBwSHUiXfe5FguBsOnQWwRBY4PHgqP5dpwZ6CN0Wm6GI kXhuFxTRpkCLZxcsRzI2N8MqDYZnNkNxSE3VmKpqY72qMfXsqs3Igb2OvbKoDQki6h0dhEEJIl32 3d416igO8lin6KycdJ4+YFRL6qPuieeDGRrfzA4UgntPnpb1MKIGGToXk7NERcHpvmYyz/oQ+gJM y3rISiTIcwyT17mKXwUMBfjKFMkSQ+ihyjllwu5ig55+DyKcgviO4LYnKxEUUGI/BpgZ3GbglJJh fDbfxvP/yzZDTb6lWx/qYamaFbRVzUY10X0dCk1rIAiTwRxATDZDMaCad/iSgNx6uC8JZFWiqE8y 389UsFbbId0IHcIJWuqFNizC0HpUqlZ9qKvW2F3jA6oMS+ryJ7ubeDxCobWvgEi081tj7O8hNbpB uMxATVZ5qJGhUCk4ymlBxDgSbmSe1VFIjQISYZ7ZB68xwtkCvSXypyDv0XlWA0wx2MFK2T2KaPLE LwlmKDOmTmyYcRA8Bvw7pspoN+HxiNv63e5Ao7wh37UZihDVkKPeRBuwPJSHl4eATl1meJllBdL5 OX9lZV3eBH1IJom7DBE19JmI/YCuNaGMEAj/WYjEpbFrkGqkaviSCIVGnZjEa5+Dih8Jiiq8i4A5 72GdevziLaDF1576UA+jaoDOO+XdqMqweBDROlX2Fov8oFlUQetRodqKi1pLHOwggnDsCYsI/phQ xWedpHcKNELcS/AYFRk7McM9Qn/gPoCylxKz3NyhcMAajJfRwjYLeYp1/poiIiJv535EUfVkVN1L v9WP7TERDb6lNwS0jSjSUaVaZdgpw2IOft+Xc0sP+YG2dg66TA2ZYrosUxLypHacbZb1rlGNkdSp ve9gqGp14lhBy3g8iKr7/jqIoMfb2i0PjSiqxn23YSL8I/tdienIsKGb7slZwsPQH+PvOjW4LT54 0FhR3mKhG0CiE+hXSsNA+WkA8Zg5eabthe2OLwlmiJperMFhQKxw+0GlyokAUxTiP3L6WkQQjO31 EqVHCKoG+mN1YwKdNHaXKxjbDDYD85dtPbMRVaozX5j1VgzUG0Ql+IRz6Vw8i+n9CV1ynyxEDnbK SCB42orAwA18RwD2NKJit2Gq2wGh1c70/kTJHY9H3Q4/iegoCzeqeYmKIyQq9nXPanmlZm8q8mph 7z7jfNUui6LqxZydpVEM1HAdIirW2Qt6S7AgaHCBZdEfCPNKadQvn2skJfiOP8nAaF7ZYDXGc82b JM7YePxfQg2suOIFGoX9NUNRVA21METVxl0oMiae1CKwfvXJOauDrEYUVSvhGJsgEA5+DqEpMFlR hJ/YCXtZhkwbhWo0wjMkmZxjhpgeXxK1pmlg2RVWg7lDHA7ftTKkgaEEno8WUfo6icDRqarkcYqi sBrMlBOUWj8aLmDOXejL7dJB0vMpisLqpX5rad0H75iBUChGa6FWfKM9QaL1IvL7/gjpblLuRwip lhmxlPXHdBMiCBhbEe3EDXg86Jjt/h0RNYYYHhGNEFI9YeSaqt1lczenUHXd/DwnsL41QRGkumh7 NR7VHO51D6WhTppiWwiZ2BapDRX3Dsr7ePplxHqOUO+DKLTKK02DldDa1v8HepF4PAiIlidmqmmj kH/BsCOqUzf6Wm93jyujXAoV5TeUukL4XhMUBdWCSc82bKAKECeoQYmgCeqZoTbMFn/Zm5WzExRR k8D22Pl4fEcUDklKn8c1B5XQNjB7/KPX2ng8YnZ4jZFtESAgarl6MWFMPTNFdJJtseFCcGtMJ7q2 fW3jRhxTk7YgZEP7QjaMkzKd7HWLx3nObf4n5+KZKhieT5s3L9taQmFMLeoUpVHmBHI2J1Gocq6V Qer5XRc+IMjur+QQebF5ujw85ygMqun7raB6kkJlAdEaAlej6ToB7mH9Y8SVau6dKZbZfLiu54Rb A8oNyF3BLkvUyiP/GHcf7ae8mL+lqhMyFdl07SrmdzjkCikOUzppZOFXwPNRAeQXQAZk19I8eZ1h qRrSMaOxhM/+6zCdangTb8s7GF8zNZuxWF5mn6PdwUHn8PnNSzLVuWEZpcvlpIoTUikDotHYY4bo DyLQABD2IVnEuCpR7iecaHg8mKD2vYYgvd1quhMUBdXicnZqK0I6vxieAY6oaB8yd02NFaITD82w VE1jGLIbbbAJAku8JtBWALJqqS8yqBaFZgDnwHt7IZY2OvNPouLKIIfZoLxs9b5IXTnRacHj0UH0 HVKjfdF28atsRiF1IpbxltCGLK2ZllHDUD6fYGNJminmKRLSIBu07oLIwDIig1q6ymY9PxndvDrg aOeQxo+6x81cCebHl0SlasLPmsyYmtXD4eYxt/jSZy3h8UjZ4pf+0ADZ9dGuikJqWBmD2eXaHyVv JwfN4ciGBK9SzVBYqCZfGngqH7zISOojVZDPZX9yDx1Y6FGYKvS4YsJ0hMJ3RKfQkjVF9UETlKEv ztrzSfzweETs2N9ZGcRRSGjXBEURNWCcezogb4NF4jlHt1krYBVXndMzlM6TeozM4fpjDgd/DXyo jHOgddRp4rGg8tUBP8tcPgbKRzEBX/KHrgUusemDFT82dytC9F7xeNBRbPl7CUEbRS6xnKGwTg2q zJS2IIU/6J+3SLBtlrb2DdcrzVCE/OiqMXZpmvZH07SeWPRkBJRehCdPQjbEGUKd+kRJqzwMvGrv GhzTjcf05imU3MgQS2jLuBTK+Xg8iBevRNNgERagk3KXUAT8QHv/pK3dZS2ehtlil44TRM63Jigq Uy+mWqOqYVafhllBLrma7rEOXhl3YyYLGFp4FDk2sjTFF2cUUCeRFszlZGx3qkxoorAXlHGP/Vv3 YzHMREB/e0EzZijKrMMnSF2h+Qi/E8SYHVA9Qwk9csZGbskHX0GAVEG3liDGkYq0nBJkfTqSAOiR 3TK+vWvQLGNRCJa1Puiih2anWq5r8/FgBe17CDE9OaFJow2hZihCfsCLZeuUE3bIOosowW5X+cr4 hTRDUUQ9qNA9JjV2ONwlBDMbKrKdKAKGsbQ+3/D97qhmgk19q9SEnM8oom7sAs1Sqw/KOQBSqRKm 6v0zoyq1/KJuCRa/ytNxnVE8jXP5zJBR6/eU2xIuskXHDdaGFkov2mSh8If69fKe5HA7rucahPwN wEMjjapSJJFfDehdeDw4VHgVvetfsVAB8dMGZa3wSUlKIjZfMQoWf11kKg74Mb2iaDrJ87zaEuqS jsESqvQ0lKzF6lb3WBHwg4cv3RZ9uBOEZIzi84g6T35HHFZBdl+RdRTh0B5x7hUCPzaFmdBHtCFZ YSjztVFyrnj8X9o6EnoAi7N5sLjCEvUsdH81UYuus5l4fJdLQVrvxJcVRtMyON25+GAZ2TkJOzAv 4knPNBoFzlNnexlqdulq4S9/16hCTfHyJQ1z+Bx40TSBx4Bz+qQDeD7YY+UbKMy27boquSsUoKbs h5uXnZkozliY04SXCyzJDKC3QuSHKORT+LMpc0jCYFcj+J4YT5DhOyH7dZCfCHfpfeuvWPIN3xEE i0nF+558MGjM2ktKemABrziavixg/LfE5t3K0ArpieiUnamwJTRlvUzRj738pt9jG054hUhqqTD2 knzw0tlYsPZJWdyps1gYT9KesPGo/CKXJXvXqEBdRU/MPuiUxhEg28STeeDxfx1CDCyBJoBnp99k K1aiTuQnJqt7UL6MzUTEeH7XbxKDzjm9In5irhKqVL/1S8Gq7HU27JACfkUtfDFnxe08cPwhlvGb jIkVvuSv6llOS21X9NtVGqonVCY8GjoQeD4Khy6sAUuGQNh+KXgriqjRddz56qBRSMF8OVyMCN3E aWZvK5T9GML/5uSD5xx7N9jf0b2onegQF+zoFSVBQdtol2m+U8SgrQj6sbYCIiKsOKgnfQLe1eVd 0gceD5Afv2RzoQAHVpfPUBRSry3ZD6swDp3VRA8165oh20y+isKQupiPQvfBZmg36lBT7GdiHc3B rAwE6YH1kNFXs9oQ+tR82WCGJEDUyMQ7/7saM+hEpSK0nQOv4fGIbv/dkga+qqvHwhkKSYowmkAq YMWztmyfQbep0/ntxEO4cTRDIZhasjoKrdu60sLgqGXsr0V22doQtUAN9uy9MyTqIuaL/JhDL/un 10TmRC3ytJh1QNmZ9zW+CI//S9OisEYMC4S+r7rwikmKsgs03Q+bIxY/0pUkAGjctKnDOvWQxBdt gTnYjX8W0YIzu4nHnA/dVPoCSRvBNbm81nEtEFjmywbCp4tBI3SBbVDqykxHdOlV8XgkbX73mezd 8J0XUb3CMjVK+askD4qo6vofvfjId4pcoHrFenqUEdwywNmPAlE5F0lpHW0psKfQKS0kKSJGQIaf qXxqUdEYetk/GoonHGK1moOOIigOMjuveVY8Hi2je1orkUP//GrD7iiwRssAXlNmzQkhJVtFKc+r qNedx7lDliJtXntTGaRdeAyAmOfyqbQJPC+ErgCdBCGIw/iyP/wy+U/vKLBu5Lb2ve9gNUaYEVO1 IQ0+HpTQ6jeiGnY3bV37gP0eWIP4AlGd5WFjof0zJ6il7kJfDaekRM5D5Q/ZK2SCFlp+2FPp/CXg 7UrlHCgTCgd1wJEni+J5PdcZFfJ3CKkWOmapK+RYwIJmQZEs58kn8XikOehhkSCiUEjtV5VgxyTF BbbCNiw+LI5cHYU8TmPd12oAoh2G1jQq66KPcfASyJmik6ZuLqLaUCzAoZVgddHAfKYjp8FjsHPw HcEUUYGonFjdB0aOu2WmjwzeNx6PSIqX2jEZkk/07R2FtqPYGooh8AlwCebc3MKk8zAU+KNOA3/s EPzBEkgvEvwqF9qQCdODVhjef0J8ttMcCOfyuU3Oz1LKo0vAC21HwTWVK+dGm80GnUQITYlsOPke 3/FfAsPJEtjabi1/h5DqCnmSZhh83PM+Q4DX/5jJJNgGmqEQUj2sRp18uGsINkxVftM4kjYrbRnV qQqhOOCsvIyGuB7fEWUfFGkqlLfmoL+U2iuKsVvF4/8S/xDRBWCbcVP8HQXWLBKhGGgzRFFOM6PI toaQqZkZxQ6xH10ETlqZcPAaCCGROcslCDwhSaGtDqVzVDXSuqh85AT4jiAmIggNnts+GIDo3OSW n6WFx4MZKt/sIOSuMBP0CYqwH2qYtWTklyVsHn7YmYwyBKK8k192KKdH/+QuhQsOl9uRIetGL25Q pU0dJZEuhq1BCp41pXvXu/7J4ixiURVnmO3S0Sj7Ycu14PF/laoZMuJT0o2HdhhWQ0zPdPNAn+p3 j3USDWWkNItR8HaI/ZB8TCKwg4O1XCFEmeheXgkiKaCXwqm7M2k9sdH2fllhhRvfEUnlE1iFC9AG O01aV0/6fMzG48FVdvtlCsYbAF5eh91xpZpWStWcbquI940kTiZpoE/tTMnKzs/5C/sxJC7EwXkd Z392lDxYAoGiPZO3itctYCXl8sCr9tS7RgpNBAlDfMoGbTGUE4Yckxcfj4gv34Vq9euuYceOImq0 aQCJMYGmVsY9g2TlyjOIBxy3WAT8mEUTlIsPfgaBS97hJoIiGvLhKoriJJih/FBFyoKhYe/6VyUf qqo+WDCUALHC153kCI//yxKHAhigNje6mXOGDGbzdnpksqdMQQ+6H24DWGd3G8DdtvE6cgpDaqFi ihSYyr6JGSUJepvyKzuLZ7LpyorCRCREc0QHEC1/3YjHucR6oZweEGtSfTuH5qxLBKo8+QER2NP7 rkLOwgR8PdMUKupxmkgDBf2lLv4T6+S1LZsmxBwmBp/iwNps3e7g+SusO/AZZJkh/yqcJnC0zyI7 0wGzCBfZYWCNb/lD7hx3ffNBV3fqYp6ePTgzn49o977dqFrEu/92zujWHLT9acqVHHlO6T8V04S5 AhWvSt0i6YOCimOTeRDN7zg40uqccSdH7qIvTKjPSyJ2o0cM+gIw89d0M9vrRiAHHUYpib8wfkSh g5xPyiIsjsUPCECf+ZtKxcD60WuSKNY7BghdfEc3nD03vbZfmwnGglvu3Y+cYgdFCebpVE63pJbn ibYAi6XW8EQzBz02+M/VnwmsFyStb/hY/HWjyiyFiHi3aVCAXeuoXViQMfh8xC//vtzgcEf5dZ+l KMSGMCAcyK16PdQYokGpipGgMZy1tn3LReVr6Vp10qQ02CzBSxE0BpaMzrCRLp3FhPV+wsn6Q/a6 lYwyJYnwLZFHBUGN2nLzbjmoeYssDB0bPv8vijkvRmD2zra/LhUpLGBzmhZ3GoFpgOKDmLdBCzBg 2qzUh6dtV1jBZqDd5WPCwav8KMp2qaHigzKMdUEIOW83AZ2DhPytPiZ/3b/AexlWgzZoMZ3suIp4 dn4SPv8+TfVmI8x+z6nSIZvmsxQLgqC434vp7lCiQ4sJCG/DN+a6s19zUbQ9yV+kg6wNntKen7YQ J3NmaVWTfDjXHMTpoSeYcZLbybShZsJvieSbWFbbKrJt1/cGjWQL5npieT4fcTy/txyYiF8MRsrj vx+7CXh9VAx1y1Eoi7ecvCFVXoMBhW25sJJNV+k6avVBs3RiPLis52kgPuoSYMtBvY5eXmhkW8BE zR5+ScSlZt0o0TfnDHKHLgURH4us585qfD7qO3739qEJ0bmubJKiqHtl2paa2sVu8+JDVvHObAdN 0H3yImkQGd0DIeODN0RSnXWYVFrF/4fU9NTEjZ4/sz6RgJyTUyxhrd9+Vh8UVrbz7Vy8J6ocfD7Y bzcSIIAFrcjZrgJxTmExu4PZ0MzRdU8WhZndqg6k7JbiNZqlsJrN8loVjKY+MJo8TzyfOuCUNBgi bI3A9I1f/vwoVA6hzveJoou9bVRDYolEqEcOmqU8mQcifWNUGfrDtG/ILOOmdlvYObRcpC1DTU6y St0rkcDR2CQ1BoJmKBgCRVQdQTHBBkcVn9N0VAoFAC6Qp2R4C+2Doaeylx9KsM21t41Y1VLXVRc7 WRf7nJ9LPqwnqR+TzweH0v4WLwCbso9Ls8qh6yL0JL4Mg788X0d2tBEIKe4HF9guCrE5YB52B09z K3SUW5UZNwxgOUnnz0mU5+bZ4CQZunvk2HYx6+Su3QdN0gnwG0tBaCjx+SDyzvX/rqTan5UUGy8W 4h6tVlLXI9aciBxhKWAD7sX7LXReFPBxJqZxHGySzkZI0ACnUOrJb0Hy5UpCjwcKZAI+apJKs7eN mkcULMpdIGNjNOIKHZKy66kOPh9V/n91jwqBGJfkkAP3RWxzpLi9adWclLGprZZ3l3oRJIvORTJ9 lqLAe7ISAAlIHzRLoOunszPQgkd1eEBriNAklAgAZu/LKfqZxHV+yV8c/YJaqw1CjeRzg5rgbq58 Pmr3f0Oz4LDc2Iq0SQoF+MBJa4zLWTSZe1rRZNVhS6lLukT7LSQ2Slc/temD3W8LKp29iZQGjdpB 1ogsYqhRSElnZSfSLcqhBeOiWwxL8RyqraUFUdrEtQQbz9CCscxfLX8UedPts+XAghEVO0pdJndp SMu1nTZlcqQPltgi6fqgCKw+XumfJ6kCDrfKpvKEFqMSrZ3RXzjp3f4hV97wR4oCQhNGYtgWzYNt 0FI6R1ITcOSkiHz+X4YxRPlBEaB8nUohvbGyB7CXAZAo3U0A0qyGZ4Me6HSTwdCGUXIGVSr79VHZ Pxkz+pGDyIgFGGGXeRUCaLZTCk30lsj6y1/3D4Xrl0QXaW5SblI2n4/O7m9ENrAJrV9bnRxYMULw gyBaz02gL3QhSK1YbWnBjtlmKXKN6fIc4s+k4WIjJpwziac9B9BZ35kcPsAH0TD54eFpUUCt9rbR Uho0QxnNB1W6M81oeGfZS0bCGL8I+5t2es9SCmvdm7j1sh0xOs3zAxrSrujI/WiTFBa7zZ6aaEcO DhldoA2VJau4huSHJl+YnwmH1wJqjsOQ0rTXjeTkp2RDlg8KKNe5LpVZrFb4/L9yEx7TQMDXp7IU WjJSLr3noqb/7sIkYZYyoWWcpbpc+iH/4ck4hfXbPnjUnQrkZknbP9cwJNOnOrdn7YEJt9pF/BFt zS+JrD+oaJDzHSxQBtKCQNVzQvH5IDe5mmpcgolKHpeplkNfxk4jK1YqqIe1lvNBu/y/iPlLw4u5 oTHjkvnpVJlyfpUp0wLZiDbV86xPuMlgww2qgkNgvV3BdCHacujMyM4JyLJDQzdI2zh/L3dfLyvz +Uia71vesVBZ8jbfcmjNCEL7ydVAb/gPKkwFRkMS64EmUWaREm18n6QYoy3JPfYH+uMQi6dXRppP PaMBJAndK9GaQctbospGV5NTdejNmOXbUEvywY7u1nU9tVwmnw9qlL/QNtD9b1B28EmKsCRQ7N3W z16MApaVlfZIUu4pg1qG2m+BOyNWEoV6EsHIHLxGWQv6rTy6z/8B6tqVsDZQ08FNN+39oTQ32etG xbf15aOLpSCyYq+TejDA2K7NDwhCyicOYPvk/OGz3FZ3DhwaccWxEbeaoWwpzc0rLlejIqEUW4bP U4jWpioWTXNtsMISlbcmRBPYPoFcBrZaSSf42OCIn1/hyXSrv26AB5C2Gv48s/W2JlMastPtJXc+ H9FqviH/dPVOjzd86NKIvx8uMsViylm9XSnVW4FKoCmjyDuwaTzvX416dAfbcuNspUpTL/JGSuUd N/JSgFTh6nc73nPZ20aTlKUtT/EVFON4l2aApXk9srPGDwj23GN3MS0UqF+13NipkaaxK3VHlmRP UCZVYM1WZnlBILRq1KbrEsfs0tIxWZFz4MDAiX3vPU4iTwAX+cKkHbf9QP+LvW0kmyGbPUrScbBr 6fwFvDBar43PR2upfZ1M6FUjZbqTFIXeVA6V35hQbsk2H3px6aLcSp4+S7FiH7VFqmysa73wiQ5V 506EP2RsE9y4CcrFJkMuh3KoSRzR75hfEuAniG8sSSlvetRXQD6RN1zdfD7y3/llHAsgD3BAPktR wRsS5LtMK0ieeDu7Q9E3xARwSmVxgWGjyxoOtXXH09YtWJNwOncIBWHiwCOfTLyjf5dZxQdRCatu 2usGs6S9BikpGyz2BiZcbL89+fy/gMmUMR50Kap3lqLYG23OMx3dZqlJiY7FpZKLF5da9rJJYNoo IVICKJIPjnYruEWhq0wrdGSlCBoKAYubZ0K9oUBd9rbBJbeoaYgf1QYhTdBiF1B08/AOoSb3WOL8 wpHjZ/AssGl6D75xyUEyi2adXEyzOl5pqTpAtAk6UTZNYfC9ZSFLqDuHp8c0ToJCjMCoCXa45NsA FddgqSV9w6nud+32usFi2oqVsJg0aJ42wO0MmaAankPzxrG+FQ77WcXntr0hU2jeOGnWPB0XOOt0 f4dzDW07l9LafsmF7o2DNbjJyFqDw7qAlJlDl1xv5yBgs66yF8CFVOfT++72tlFcyTxuMFPhYMA3 9LEkyoead+DfqB7VV6WyU0NpPbMURd8QgjobrbkbsWwbKa4+l1unUWrZZimKvhe5WyCo+3Cjb1h9 d6IDx7nyIAvGUABoCPTaZ7tSCEs1uMDDUdliWyjq+aDyEr0zs7IOvWQknuW9b9aiNnl1FyHwh4cj Ym5aLJNTkrvbp+U8XVCj7l19kv6Wx67D3OMe7NJ57QYcMUE5VEGgPDadr+egrXXNF+BFBFwObRwB WIPnlVjtILKoJFQhQ0GdfWQr/IBomrwogP+YrpxzPdMUMyUhtrqt1r1butNUU3XqDSlwNk2x6YxI N6rCtacKN1AIUo27rHLCP6hUQgcJ3k4wxcr4acxz13rfgZcjCMnsWMICCgPQtAIpjxMJsJ9yksXM D/gXRIBpYONx2J55CsNvIJbH3C6u0ZOXmAqVO2XeXNx5Jgd+joleglBd5Ybi4FC4TXe+KpcwYCko YaPKLmGI62kPUIKO3xJVK9n37oQKAAj1o8TinGmV7Kof4BD5AREu59uRb4qMca+5wNURIAGQAyjM Q8DJ3sVzXuSXMnkay9luObR1tHLlbMkHX07k/EvVb54gfZFAcAL0gpwX6Q/KEs7CSd1eN+Jykd/e 1UXpzYu6Z0la6XujfBI4O6L07bkcNysAmPnrAI/i78Fc7vK3IWhtGK/N8rsqcbUmn6UIcNJIcYd8 lg+epAAWMNHoBpTinEyLTDcgnMHmKkTJuhLJ6va2wTVHX4xEvIkGlSvPh1HmAb3iwecjj5VvIBzk YE/YcsPvwN1RHKfd9zbp/lq9XHkCkCY1TXR0buX7D3tHHuCSGqn7AcKtshNI4MSb9H22RmZZAPfp gqNhoVSjdtzay1434rkvbrXZfBDEa6OuJx/e1fl8sOF2+ioLNMkF3uJJ6O8IuYKT78L9ApW4SvdX onIWikyqxDGNsKUUhd/g6eNAYkOOg81SgZrdNO2xs4wG4K4Dt1L7IScnk7Fk9cre7HUjuCALlXkW H2wtnXNvmSf44vNBXJm/9dky7Dd7zs9iimvfRA9BNZzH9x7DgHAtSTIKSGaW7Bl+hyaP8sMChtIH qzGdu22hADfBB0mQqkUZCknGAtN9k577kN/6sveNwgFCBBAV2aBr7sQWawoRj2Qu8HkE4PDmKZhU mI7CR9qmKTR6hNUi2DhGGih7uecKXNIsmTsZi9cFAqdHKFRItoWGjxws/h4J9RJaz5z7Op3ocnDd kb5IC+J6vQ4GCe85cHr00zujmIdhmVANXHXY2zCkQGD1+J3M6fTmT3dDgdDqEcv9HEeeynVKhHCS TMOWmJPiFMEcej0uGUJshd/7Mb9G/wfVaZaYUHprPMJgt4nEC1ds90xuKGIKzB5Nf2MlXDY2WCa3 6DED+tLQ88EVdwX/2BhGy7nVp0UQ2j0Cy3lCvVUcdOIe6mf9ruWFuLaWz1IsUkIpO0mxjy8p9to6 xKFlRNdOhN/oM19kWFEdmSMmpQpxod9j5endm7q7sIZhYnHClhNKMnQaZ4fzA4KeXPMaU2l+fsOx yKcpltKm1XxZlvGqLjBo1+ec3HH2+vBpiovfUq9l3MThZrwdMDL5QG3wco2nU8g+Ob9Iv8J/U/FS YPqoVjv9nbsPOklSLYWQL/wsfD6CwnlUyQlBFNfTZeXmwPcRWcqAaMdyi15xdahaX7fpRzYo3Vos EBs/UpCtJQVM6QmYoBZ24kxF3xWiUo2kUwqDQccgQ1bB1f+av24UfROLSUUODdpzjO8ZC4xZ+XzE Q7nS/kqQt34pn6aQVjkAoa/T4sosa2yCBah5aXq/1YOBwPzRmaeNUi0avA8ODSCgkwTRLRk/O5MU /JeogPLHd+CJv27AapJZDZ6FpFrzP7cssPiJKF258QOiwu4vMVKCBp9gIDCAtPC7rmm60ZRYM/mk XVwWGTaXvppCdqWUAgorlxzsaDp30jm9pXM3gUdodGM591GDrsuZ/0TFTW06qrjlwAMyW2mgTQq7 cDCUbh9dfZBZ9XxU2P1moGaoGM35VFBCG0gElYCa6kDaxBxbykvJamYpLDjaNEXQk+rcweGDH01n teDsE48ZJ3gTlvmEZB338UoXyyylshwYQVrHae5a7mDFOOrJcJbQvQycIIHP+aV/U1GPK34yfV4C pn6+kn5LUKw0YVvYONkc1T63g5jQWeQcvYRL52OuMnKmDtnM5YHoQpMDCTA7lyfeFECVjNM2aOLX H0YTwqWXYIlvCkkoTMy8w40DeIQCNoan/2t2+IJbgptom8kzAQ29rn9zfpVgdgBHP0GGaQIh4zXD Gtyq1gHvvSXnMgWz09mKG9JnN0aosLkJrucNSNwy24mgVO9NCL0bmD2Z5RSZIBgY/nV60OOn4TPy GRs0PUDFZ9Ncx9Nv0yP0s00PpgLSMLV1m52XCIkPQVN8r9Rsf61WXV2qL9NHZnhsl9pLfKS1w8BI UtAavAXX4aKbKNnWkcFtkcGBESwooZZ9kcuT8O6X6IhvWsgtqJ003epewIh3T4hFXba+2+clNOIL GrTbJqeqCZFscl7iIm2sQa1W7wS0K+YCUyIv3+Yy7fB5iYr4MSKZzETuNgc/es4mQM6QpWVbpkzH MuavQfkAa8gmp/PoeYmJ+BWZNup0RsIAB4CqndWzDLogSfp5CYn0h06fHeWuk0rTNjsv8ZA2FmyO knQlGpVcvJu05cVCMAAI2bJXjTYWD+aeJTCRH4GJnGCOmajrvwfqLaQINihbnL8RlRGChZPI3bQw js4dXltrS1lKAtqES8Bzkyi/k9J+XiIhPa0Gt80OZCJ6Hr52XsIg7cYp1+K7dsr2tZOqGWMCWWox 9UsQpCOBZDc4CvpwE49zfC2qyZST2y7YzxLmhoLtAv6p39u9UIL+8xIC2Qm5KQDE04eDZgfMemrG 1L7K5yX+0dqpfuwIKzBxa26bnZfoR0d5gUltMrv0vXryij+gDEa+QWRn5j3R7DQ1jf7LzRD+rLOY ShLYEjDUgP4m8HBkqThngYwJ+h1EWyuzPJu2+v9QE5VpKLB/VRztild8nx7raGMTX5A7EQmcn5ew R8dyBU+ZhvZUSaKxpFxFiguNQ+PEYFsvQY8dy9xUW/zS/YhEA/mPRi/2Fvv0S3V+9DSE3yHO3EJo crlfYh79BvTl5RvaoDgF1MMtAbadPy8Rj71h/z6XEWWm1Wx2XuqN2lu0OtjmP4NSy03rXUoBqglX qi04l1NRF6ROHxwSkc5/DfAiTp6Ecx+lDzTq1s+qjXat9ULaGpXaotmhsRpFOm1QDe1M+pZC9Ela Py+VRjsb763FhYb6OctWnJ6XOqNWbGH/YxhpC8VTa83Sf9Hb/Mmlf6KDWYtHjs0c/OgBt2pwCgrs R89CZTEWQjiQrP+hZpQj2Whq9FJi1E9AauTI6vRnlyBTxDm9MPRSYLT72Q9mxoMbIv7txoNRuEwF 9pWyaW7M2pNND3IZ49jQmcCIf9H8MH8f8r7mYPMzU68noqKexLnAUsncXBNKkXOwO0TLHinXNkkA hLtLfX1VGUkK5vo5m0Tl/VYGKKTR9roXO99tEGC4fIaikDlBLrKROs8UfiTXaMseDJWT9Y3L+otm iBHP2Kzqc/AZmnlPerHi8gKgdqjN2JBuTaq+Fm/HUkzv88Zns9UueehcfTAgOxyohdGufDw4nyk6 U6k+Dd0WmNXOu4bew2awtSGjKUYEGvvJCkAZ9hnDCout1YvwC2ZoKzSENIENnlXA2m6DgAVUbc1A cxD8QJosQBr7xj5r2ru+T1CXTyjNrzXY9Z6ozIk7Cfyj98gZtddtE6Q+G6wy9vAz6I3JpjN6oHNG ppvwIcPjn92La0WWepmjUfDcadTDOqQNtobOwdzTmsT5n5gKajDU0QQHosG0R65rfoXZy77vMhwJ VNAuPljeDu40c4sCoOi/w2eqAKMEtNfdZe/xszApkKZ3nNGljQI66mtowTLGqq7RDLEuvzfjaA6a oTbQvs2V/GMkwFXec21T9xGVV9rRNKn4V73rH8fQuctYod64DgWfyhlBA4VIckKVLJghMRQYBf2w ZQZg342h3zhsdlRXAB4sscA/Ta9sEGQnv5XpMvVvFDb7HBL98qo+2DY7s9ATa/bwNAKBcJN9DM5d S2eevpR/CE36vDHY7NBkxb2ZSWx2iBGa4OJmnY/9vBHY+HjL3wlqZhUGK9pmKAykESj2ZHaOu7fl M5RGc1MjarNrhqJIWsXWuZ7Bj+qWUGkjcgYtrakNqboyrHnpgmkWjzqpo0i68QRKmxJkybcykpMu ZaR2roXPG3lNa2jcXaZ3wz6fdw1FoTS91QDU/HHDFatHL4lgi0tD11HOUBRLo1ICQyyJIZRHDAEc pswu8LnuQRvc0HGBFvgWcwzoWqsgFviw8kvep2joukerxQYdRB2uQmJl9fl5o67ZO86vgwhX23m3 O0Pv4TRgRVBhdyHWE+6auTPg6lcUKaO8pIp9FE8PArCRtPtw+62g42b5FW808Jm3qXhH6n9+TK/V 1niPp3XUwkKV2QYH7bKTv/Qu4WOI2EQB9RKOzyYoQ8mfXFpNUBRQU/YDUtS3SFZtk3U5YzIjANpZ SyiMqInBhuSeD3ZSJyQdQPjjpB6gHCVz8KvgGNWTdeynUj/1rn9NUJNnDwdO0Ni5W5mM4IYopBbm 1w9q2gDP5dnqG19NO7uQPOsuoVU0bCogjKt6vMGWUhUxDKm5dIZ8scbji1U79Xs7SUbokKa0RcjG BAH/Tz8GK0HTE/yNrWYnpkznRvbB8tVzBYq0Pkv+vJHVbrnKzml2DzOs1Z4ZikLqzD1W550hJzsA luie4BN/iWboPaRGt6eL75h8cLAVeqpFzvIoBqFmg5AaJfdJ6SnaYdsplIde9q8y/SKm4QzTTtwC QK6I/R1qI29cNbvLblKP5yDw2VP2u+yNq3YrSZv2HtKtIclC1li13s7hcNWxN6qa5fVVxbLug53U J3MtCNC5ihYwUawoDhx9E9ZUJeVb9Rh0g3hjqllERBDoIhGrQ4uryF8G2kGEFI3zQ3zeiGo2xz5H PJYZgWSPqt9oalZVTDiJmhnQTUK8dRKhoOV2vMkkx95YahasyO26bh+810MAwmymywZzLPZWwbyf xM+Cm+rYmG0vGywjnkG180TioMvsZB6NfVFIj33eOGqW3X/3M1AO7+AF2gxFUTU6LLu5G9/JYqsT ZsDx8brrngb5eGOoWXZPqEcZd/DS4jlTgSTldX9eBtqUtME8e5sC7FKJUOG1UFLzjaFmi4BaY53g Rg7C650VSe0NROjz88ZP0wyl+ussOi95pmj4FMWl6YLEw1HpbXQX9UEjy1MzerpwiqLatCIiaG/6 4BHRudDOfCCNRulsJU4mu1g/MGZGdIrsVYKagJ183thpCirUUl2p+KApAoB3ywhzrs8bOe1G5V9N MUqMVY+I3rhp1lHFfUbiHCtoLTk1Tb6dqqBBAl4z9B5VZ4+qK2mOHLyE1kFOS4yI5jkwqNE1Or3U Fyp3GWiT2xdbetn3k2jxJJq9LR80Q/AYkfPOmanPGzFNf2uu39tsExt5ZyiMqsFsmN1LsHDg9OSV YDBB0be7P73R0vTzWrDIzGztJzM731+QW2YxiaDYSsIVWOYd6H1EB56ZlaF3jSZoCdvB7mpmLZdn 7vmZhWM5wcX+vJHStIbudZZ+ZKZa5/AOxxsnTZcgc9fSrMVh7VRbQy5Rfw4My8zeKGm3gowzWmSi caV9T+QB1i15mecXPrFFER5UGthIJzs7nFVH9dLLRlEjgqJaiRnioKCo42K5lLQ3Rpo9vr8us469 Wso9h6KwGsHIhqObYRjpSmotMvY7NENep35jo9kuwzkEfJ0PNkMVMNHiHmsFUuME9Hemq9hx+woe ThqDv3HRbA1hZlIn6Cz14jYHvZjFWoOD2BsVTUHn8MSM662RGZFv/zmMq7v0s81wJafk1/25QbfH 1bVaXP3GRLOTGtuLfoU22C47G25UGoBX1rz7qlIdgWsKrPZQavRdhqjm80ZEs0YXUQtbeKpt+fFZ 6+ckI6AcQmWfNx6a3bfflWrad6zkmdkbC80WHsAd9ZY/Vlq3Up39tu/NHYvfSGhPpXpmevdo0ASB W30y0kQN/4rmswBEyGug3HOufHSJuvFhit41miD5883hg/3swH8zHDrL6vNGQbNz+he8AwnKA+94 Y6BZZoZmh1ZQRcv19oJm9yLjgH6j9tgbAc3AQVg6qwvg0S/A45xyOGvMYQ01x8HoG6oE/Dc4ih7I 4tC7RpmZ2Hl0FOGg3B766DXb7/F5I5/9n2NaJVf86GPdPRaF1ECpwvZMcGCYXl+dLOkeUk2MzDag 8t+4Z7aEWIbtBCFyeMqwMI/hEjqB0K7yhDwhMOxeQX3Db2mnULV3jZIOMjLKZK+DdludxxD0bqja M1MunzfimZU/fnVbKf00HefxRjt7aoy1unRf31e6rw5CzQDIR5BsaygqVMM0AsCynH2wTXb+nrHo +VNLg2ulIHgbVXtW8W/xAwky3zSYnynlR/aCOOgMOkmBcMLADX3e+GYKRtZ38QMohjKaX2NvdLMn 4zATQ5oc9MuqJjNUIPPh8o9vbLObDLBLVny4Req1mhzuofozecqhfAZCzT5LYn75PDLheOOaWfmA NryI+G3QBOFcUKx3/t3njWqmlZDm1/qh2Wzdd4KiaJpghp2WxYprXTujOS+8vAIHrUM6rFErSCTC RYMnraDbjSGh1cFiGubwnNpAewCtPq4EjQGC34hmN+skjmH4oEYH/IJ1jS38iO/RNOKE77Qektl9 5XuNRdE0kJC79OGmWM0JsIvEIoN7oM+nGfq7Rj3NkYZlgp9JRAPatezA0p/vLCAWABJ+wo4ktbB+ rbS+T3vZoOHaxcFbquZPg2MCCMElCazZCRbfSGaa4ZW+bnpwzfu6gJg3jpmOaSr1ZaO5nL8k3X6i /A0aC2jJF1FYpObe2YlqhhzsmEauPlKhm+pE1JmJC0lsifDglI6RRI1L1sv+URwC60oDgVWcopQt PkWz9/PGMLOs9bsZhGOpp373WRRP4yiHypCphlUphtKz2BVoQJzqRlZ8o5fp7bO0eikVMtsjFTLQ Litmz3eCj0rT3j5bBwsP1mr7ytGfkGDpZYNmEOPE81XNBysOAfLH9XT+7M8bt+y+4/dNBtmb6dHQ G7XM7vpKNLkJGRKBLiwwdIL4Lws2xZYU/Ruz7AarZ2qgLGuDzRAv57SJypsnWre8fsE5cILYRqqU G4NTsP+NWaZDIdW7ejAUBX5wnussg2CFft6IZVZx/j6JqPDXEY/ZFIXYD4oZL4Y7ANxLpajisu/E SLNdhiOjosL4xivTDyR0jLCv4xFYR81ss9vBKQIAtrN+hhoRDDN+aF9u4VArete/wiGuNBv4w8P1 l1Ddk4We6/6NVWbXvVc+ZF+DFA+uGjZDIWSa6Bi5OYNehnUntuuWJR/qTKnQkAaLKCpTN9k9DIph 9nHFMM8vnBAuguYFsYLVgSgAceO84LkDaCZ5PR4pVPZ545RpFdQhO6PsQ7LSx8maZY2x+XhwEJXv g4hyd/n2y974ZAoTMrnSTDoWOFOtmDJfkt76Jj4PL42Q+o1O9r3NWruDp62QJ9pcKOXMVCUwAcrq tKKBMiWLfKZiTErCG53Mug70Bqd/pQbN0Mlbm5TV1wmp39hk/6dKXVSDnT8i4GqKwpg6kdfSnXLH 1pNsMF1IHMnCshv/jUv21bdfmzc+Bw8aQVap9OcoJ3jsQxZRleQCYIVh/OyZK1XJPm9UMstcWYOV cvjO2WkbtJDgPqutfd6YZNYHmF8nERQJG357m6GoSk14eV/NCVLjWvUMqlCJ+XPB9280MrtLGS5W lkA42Aytc1X2KWusAbloTWKloyXwZ/oZ5R2G+/PzRiLTBLGO3xJVvTh4p4OoJHITxueNQnYTLKsO cb2gMI46rs1QiP0YyFdp9sV+WZ9OIdsO+aRliCEb3hhkX9tsD04UBwuJIA58Iro9ZWCY4NLDtiMi WgSTuNE8rNYSiorUKJsA0SDSpiuOIDJZXdbnJwv+vNHH7Bj7PodonZ7HnaAorIazBtDB1lCs+7al V82Ool646zRBf4bV566ink2aF0YNlB4QWsiXCtS0zjuxgU1VMCCsSJi0Y4hAzzfqmB0IvMTyuoMm qO9JbjcIoPPzxhzTn5od2CCeMPG09xQKg+pN4dTtLHJVh5pAes1qH1DbUu2jxUhqZBNUgLbBg2pk CAPyVsDhb5wYhKCh+lUHlV7R0Wsqn9m7Bhg9MhCnJLA5CNgAjAoRaBVqYC1Cfsz+HQ6hn8xkwiYo DKmB5UhpGGx6E9tjQE8abxIsDLV5I7lENerEstDqvPM5ODbmnDDpXOKcobNCm4q0lW44KC5mypea 5bU2WYj92ORGLerLcbDyB1DHrDu3MvB41Lb3NYQzEkBC2AM4zyWKqfG34wa2Kj4oP7aGhnRkqL2X uwE9+3tMjfIdJYbG2bM22BpCCyBRZA6SoGd5bWb39LqrqEsSEa+QWlr8/U/+4cnd0h1UYQTBbeja HxWPR1jh/LXJqCmWHiJQFFFDVHZ3hrKsD43kHenS3a6greFOvD2CfgD8zZnJPlj5bJSz1ftWgbGR r8CAqQCxRXOSOT0rm5Sz6FGNGi1K6u9mH7TJgJUTafxcj3g8Che/szKI0RUgpW2C/qxRt1Kt+tHy 9LyV2BNr2aNqymO6RzVqyaLMKfWBedUHyjpTARqcCOPwnFHLHlYeFPqj4LBzfBEB4kui+hAB2Iua 15W4LNGBzv9hEIF2ItWC5wMi4oXocXKBXPvpWLY2SSEXsS5qEVpyj0aEtYKAaREGDe6JSciPHsXU U1aq7RnsIAK5qZ3cWwCitcj9Qjn/hD50JEG29mg0FL1sEA9tGYMsG8w+BXQ+tRMhUIfHI7LmnSMz Xj3rrtx1FBESkZLB8tqQnmV5xLjwEreK1k33q8fQD0aMOd3Bex0ZgnuLXg59n+R+CwubBbw4KUi+ 8RB/H3xH1E9EIARZaAwJG5Tbo+Cab9Ko2hPPB9fZcNoCZfnOddp7ujMUxdQoSAHNYDPUiAhUP3EX l7BYg0zxzs8JFhEVLFYjkJGDLyJ0qGdl/eNETRAkoHwzlk4Hz3Cnq6wDNAi/IyJDU0M20W52o8bS ZT08IeCMv/pkNBvPRxvtm9gBTZ0GZonNUFirnotZh9mopkYaUMZtRuCKOq6qVXd+zl/Ul4YOvA2G 0zsR7wl+hrhl561ozI4IKVOJcIL8dIENixi0HtWq6Xc1Z3oG1aobEx2mHWPi8YjZ4TPERglFeuaN qvt7VA3uS/5hAq4KUV+0SKtyKhwmYzXAlas8rqOoepMnD/SZDw4fOhMDwkKV3kCigA+q1uzYozSa sosQlkTjtB4Vqyvh+Gfamw8CxwBRKD7LCQPxeJDdt/EdNaJtX27bvkeA6m4YtKoKUaas2n+Sz5Ac L2GSZIsogn50q8DmqoHzQ3AM/HdP5kcg4+wg0nOGkCahymMyu4aoxkTiS4KYSMTNziIaBwVCYF/r TALkvIel6vF95ePH+mlPiaiHgTXwsN21YYCjTqrELuLmZXcJCwkV0XpUq1ZfeggkI2lMnUQFDWR2 yoCO6ed0BMG8Q5DwZ0LfS+gY1RnJ5seXBMnrEj6vJR+0z2ZRRH6mCPsspCm2X9SOJIaI3/gjCqxh pAG6goE/ztV/4bAte3qfUK0QRzoqVs+p2hDNmTj4FHVo6NN+Ek5Q5VxDbN03OgEg2kSqQKzM2WZZ 7xrpDxAqDCa1DYYfmrVn6X7njMeDwPqeRFIshjvhrRCNKLCGHj/gSeYMPuj1KM8GiaFA96QnR52P sFQtv73MJHbmcilm8ywhEFrZEgKeEfA8UMyQoqH7nivZFVL3Qv8UXxLRyAX70ICZHWoJnfmX6MFJ aDKeD4rV+xfXFYbX9XLMRgiphhTqTqbniXOzF2dQEaKARTRIDNMcRcVq6GeCOaXePbFsP4N+cgsK lqJyQqN3jWRdWhSxCvHI98Y/K3/gOwKoZyPJdSUbhhoeOZ+FyUAQfsF4PGp4jK9tRkLP3F4CGSH8 g0W0uRwv3LcD8/fo3r2ncrJ2WRRX6yCirhWHZBMEufizdaEcjgmCFZ8gVkzKULBu+QJkoFIz8B1R v0MqH5qg7mVG9B3I9ganbuPx6BzyUjVfDukrpf01QVFUTQ/O0otLEXRnmC1Qeixm7NMr1SOKqtF3 Z+lj+eDHUD0Bd4LBKSogGYVecvA64QVIZJAzOcUM2AF8yR/nECj6jQNy6q0yWm+FFDHgI/H8v9aQ gSF+INXuUxTSFBddiQmQoTLcnaJRluVm4AT6FEVhtbQY0Tf0wacInsRD5I6zfPKW0E6hqRAkJfqj pEuNAXxHkL9SiQCWDz7opAYuthvjfuLxf/XMGDw1RhiOIBohnhpU11W3FWLX1RpGbdAl9amupQmK 8NSFIePOQnrmi/RE5tEBBEgkUJ3YqKrOCFnsilijGqebmVnVu0YdMzKnZGXMQZsMaBmiQdBMweNB PLS+IVZIzauBGfGlUaUat92u/IO4yWRpSXoQu+FCN7ThKyiKqWX+DS11DWTb6q6HBGOZnKC9oR0i mB4d42DVtPrFmyNfx3f8FQ2x/m+DJiidWEVo6LU2Ho+wwt+IfLi1VPpFaILCkBqa8o3+iNxiChK5 xXb1U2jTBI8TFIbUkiSQlTwHrxGdNzm7lXc94TFQ5wXa0yBl59Pmzcu2llAYUjdBYakzvMBHk3ph QZ9sse5z9trCBwTZ/W13sAMJ9AYrrDZJYVBdaRGD/4huliubQuUJT6easZCccke0ERaryVfoXZ3p /nSmz36ChSmSgYJ+02rMO+hpRxRxofK46vlbsjphsZpW1oNmoXPQjYd/V0vQ3OUNdd4UzwdzNL/x sOgO9HLBejOsVkO4duypLvSmJodSs87fiDHjhsI/U7P5t1jeTqn64K1pVhAJmz452NnRjfSYimi+ DeYg6/bM6O0xQwCImmWAN9mgtAM260QntPPheDyYoHYzs8kV16DBfJWHoqia7CCIj2qGah0u5j1b 8+Q14cOZmc2wXM0Zgla7D15Fg4DMwlYF0CrDVQczJJIQ2qXAzHgxllY680+iIspnyQfNEBk7PKtP CIrHg6Oo36OIdVAw5nvanpnNKKpGzQH60XYYjbz9vp/9Sue36WZxMyYqUtVrqmmIwfYZAOeFdufA N5BFC7uKAdraRBkk73GTVzKS8CV/UTtAoPFBFZA9AAv7ISG44vF/aVswVACZQlm1pigKqzOjxmxl 190eBhUaFj9u6dGsez/DcjUNLFcWzCHfIhFSi5oy8Qtnn1Vi87HPIObcKqXPrqLwIhRtxggQQdHY NJ0uNFtkHkZg/gma8HgkS3kPa6ZmEEipt282o7gaeSsInAZo3Cu7zVBqRjwDAqRY935GcfWidvmE TrkN3pwuG6aYjcj8dRK/wRQlAaDf0dHMXEAGzUecii+JxC2IAEmSAUnDjyJUMvmN8KnF40Fnse2v zIMCKQgVbIb+rFbPajvqrNfkSsIAtNg2M/OFc53NCAGiev5U8MjBo8aM3m61GQJEnI2zBIxoBxx9 lYeER0fGGYXVtcvkOzcftIZO5pgI6sBVh8ejKtp37oruSs/PBEUAECTMJ3dtJvzaaNwoAIi4051E TvddmFGxWnhy9tRt8AmatFRPBqxOQBERIiMKJbyZL/KcUgP4juioJgsYXrkc1k3uq/R24OWAx/+F ZsRdljGR/bnLorB60EoAPqmGiE3VD+rStidm0z2ZZ6ijVw1XvX3wPdaEnKff2d6pSNQb6tE/dFxv j34MFZDwHUHPbHGLUbhBg2KYgZll6/X8qng8mKD0ndxTMTxfCt6MACCA0UKPybaYhJ11CNXk/CmC NTVBUVg9SKxb9DbXcFdQRcEUpVbgJM4u41RmivciMcpQQ/dK9bSXDSDDpAIvkjw0WOIB9QMVGXvH 4xGGyJcQifr4Ufrt3s+QpIg9hu6zA/WaK1UCOGP1IXg12x4LtT+YeGyZ5ezW7wyBLD2H4A1tnsNC 5Y9GkWToY6Fw4ojhVfSu0R4j/kyYzybrH9aHzl0mY5MT5n5mGFH379ye7I6H57qiiDrNR88Cp3R2 dsd5A4OmsadoFcYV4T+EFF5ZCJn8IGS4GwbDZqSusKiU7aDsM/Fp9bdA9wrxH1IkWAysORjIqlXt sdZq/ayQo3h795J6AJHzwvRWFFB3MhckidJpeZ4vLN9M85DbVwuFVhhQU2N5KnHnYNFiTmch90ah dzTwEVP/0INCJQxYkFwEEaXEVyymx27rEume4HVFeLDf4ZF01nrB8xEM7ZtBNXnY9yvnGSKqOUO9 XOGP5UDG1ar7miVIKWmGQgAI4Ylb9hO7SVOKewzXTK+UEh6oUwxyOzrMGiDYhM6rH9OUOsN3RPUz 5vZTinHTgkVoyM4ih1MQgVccTnvKIch5FU7QJiiKplFHp1eK1c/IbJPsh3gLqOInqChpgkJAdbcQ aPjgBcZ11iUMJ6jyVUCHwEVfSD7gUflFMSMIbf1dpGbB2AZhrNb5XEKAat0Vj0dZq68g4WPQPujE R2iKonAaCiyog2+rfZTmMl8jG96BziNL5/QKSYpDYpXslo2nW1aI/aMCJ3gL6C92FojQox+wKcyU 9dVNRolpfElUQpOm8OIckdqj+tC5iqnv9AP5MDwfbLMnccWpRTzsuH3pFQXUzFtzbhYOZRrnyJrD GWco8RQDyKxQ+IMe573xHObgy+iciQl6lZD16qDes4jWATNaGafnyo/9FKFoK8J/GOO+rjuoL91Q huBGOwkxHo8wROtro0FU2jounKEookatDhJEpvQ1BJUhhKhVKw9BVMBSjhVG1EUUKkKIZrsQokrM fxfofMGnb1IA40zVmVIWo0ADtfrQHlkvG8xQlwFl2hospD6n3SzERPeUGh6PlKx+FWK5hua9zaKQ +rw1KVRWQBuqMW6ZmG0rDwHNZzMUYqqtAqvtRtymhdTnAKahgqS+oFON2seCQSYFS09UkS/6Yw69 7J9+E6ATc8g/w+pDZwexhVlLxePBYf2LLo1F3GmnohkKQdWDhhPm77pho2kRYylOdz2H7TS85/q7 VN0pHqzBTqJzyKUspWNIM56vypSxGuSabeLbXaOp0EUCXxKhqhkRTeHQeLRzDaHtbXp6q+LxoHE/ vqkdEICr+6KqVxRTw4Zrr+bKp53/uXD5I7s27MBG0AzFCtWcIVreavCIqOAXtmJ+Pn9MngR/0BgW tNgs8VGFRGPoZf/qByUFUxx0DiGFFLTh5Dh4/H2GkDw/fXsAXsa88JgdBdUoFO+cnO1a+3LxmMQu nQl/bIuIdkhUFBYW+bINjrFCOAWqPTuKsChmsR+eR1Kx6g/FTBbUOwqqGwk9kM3wwQqMIAcLvzn4 eER++eXMARrW2h4R7feg2gWY83B2UM6elrVHwwpNX9M4j21duISWZPXWoxWHZYK1PKhhtUfBhibX tf9M7OOsVoeuMirk7xBVTQdFSEv4oOrZWYAy7D7h18DjwVWWvkUroWR6kkYvUu8QVX3ece9ixN+c slpAnKJaPWgkhVdTFEfVjBYToYwcPLdnijEYVZ8gNiUlZrIvQRAAzTZHxyAZxXdE5TNior4GBo0n lE+FESRSPzweSX/cNcR2P4KwdgHDO+QpdlrgXgVmkRM7WTfLFZgh9mYzFGM/KBIHMIcNvohgjjWb bEsnqB5kcm6g8wZsmjIlXKwbxLtsR3E1dNJofTt90Dm0z2EvUaFzouLxiNxRvxYRctfarhL8jiHV QDYMd+au3ekva+iCq96X1gzFNEUiG6wS26+XAAJ2qEoS2QDQKgz2WMZHzRGgX2EJVUDr9q6R+Ifc JcV4vdUPmOtOSd2fGA6PRwCr9HVQFyBIaHmlCQq19KDfUoeb4Jyf0pfQ5GFiDpPF4EM7hH509RPX 9sGrH4tAB172Hc2TqgkCq+TE6qCgrIvKR1qI7wiiIWLPJhM0DVYeOrdjk71UWnj8fxEgguAq7mif oAj6kZi6rmxurtjPPyZANN2CE1gfn6BQSo8OOLMS4MDBG/fnAErVJKwqLzZWPxrn5mw0cvCsJd27 3jWicS4qVbbpg1YQKshVyMRW8HhUo07fwRBqKOkGQzvU/kCn7GRLZkdR5pWPIeDHthi2ATl4O4Z+ EIw/qRPHwVYQWMEF2FjSgzKLcSwwNqar55+3d8po6cbvCKJFVe9542vQBOXUBf04H7PxeFQ/85xM 999ZweWavey4Rk3WFNMv8acUWaOWtU2gCe4tRlLcMZpaDOB9B8/JABjr1BNE7nq+QDRXCt/uRNvN i67aU+/6lxlObtRs4GAFxgWRdqVZfDxKWr/7ZLBH749dx47C6UYBolmNgYcKsp9B/REgStXUKncE +5hCCFcVYuuVbKhA45+1mNjlSG3LcHNQJQK4NFg53lCIXY4dyn4wWJyQvLLBlsJ5aeIZgbfD45FK nN9i7NeDx0drZU6QgWzevhVJ61S1ddLgdnvSyq67dD9WceftFMbTsqHQJcXBTqGy5/m/Qc2xQjSo LTmanDMkY3Yy8aQXPrS2vW5kyCW3hSHqC4hKpvmWl3wSzz6e/IDgqK6/9BjRtmoX1pBTqKaHrGPo LM4gdVIWDuvXuOWbvi/oElMIPoVRNZN7WlXZ4KkrFMlno/jHgmFrI/sFhuJQsSp0lLgiO4yq8S0R soGZWZPYTjMtbHD5p7AU7RyDfD7IPFr7utAAc+pAZPgshd4vwOpBP1o1or5K8yradkMBSL65GnwK MdVUORuJhF8OnpydCxOCapwltM/QaQJ34WzJCcwE/pPHcTPb60bohosWxpF09oAIdCdL7mSDArm1 +AFBYHQ1QDinLDPWa0yRopo1GLxwizBnydl2sqI+VLhtmgr+0aYptk8klQoHoQ2+mGYHRIOi8Gep nnycFngNKvIT/xr4iRs8lm2vG5Vk0XcdhcU0Dgqv6ximzogGPp6PCHk3ScPCgQQKW3g+TVGEnRKd hKwntAf9ZmRP2lszQBqsIHzPRYVr4e5plmKDpukk/QVwWKme9zNnW0wYgETPEdx+mBlauShTkwjf EhlU0EKxkyu2evJkPxnYB7qqmc//i2NOVSJU6U9s9UxTXLpGUU2Wb9v6jeTl7T6no9ImUC1Fpl1x 7ZppLIQLbXhq1yx/sGZUNnq0NN8GJ29WfF5t69Yd07bXjaB7NMXr1Egrnu+AXd62eNQ9bT7/Pk01 fR9NMKXp83GlSqEiCI6mPFezJogEL7Y5EP8Yq4pm2LrnomhbVekhoPV4gNa4/s8KZW59DnAoqDR6 vwHcvtEAyyTu6GjagMHwW/4yN0uVlq4cNEsAiUmb+2xvPh+ESw/Wmto7M30TGHOKQm4UquW4rXuu DuPnLVgqGMlzQXva9lxYxGZS0qu8t+v13gbf9USSQwZnBby0RAAf3o7p7x63eFRqs7eNyNRSvJjS v5giU5+IY0/dGUh5+HzUcrxtfbbfcAPUx/QkhXH3prxMsRLbOTeGM2MeJf16RdBzitRBCiGgU/Az Dp6ZJOSeSEFQhEyVCM4z7RvQYCgI4+7yYEDOySlWsCYJNkseJHevsfUik4STarTB54Mdd21M5Vlx 8vrZ8jNLIeYaILUl3Ez78mYgSdnMFgdvH5ulsJjN+63jnrbBo4HVM/C2i64V555GZY/IdAQseE8m mVVltmJvG0nsShucAhjZBTDOY1k63Ge2GViG9jA3xZUH0wRT7wkGQs9FsAuQRifNkrHNhTO6XsG7 OcsqB6aL4IgxOVHbaH21jdY5ykGMXGKeI8YgHlSKhLB8xJI3T0oaTefAdNGV9wraozbo75plGaw4 jcnnozTut/8J8I7j+jLk0HcRXbNd71oq1LUxk5jms0SjCZulCCqS2BHZVej0etHpwF732oukQub5 gMm92bmEMnWnrh233D1ybLzIVA7AVR80S3kIA4S5q3w+CL9/GQ9wKdUrFpJj60WoCDRajTHbzbcc sFSGKzT4AAmad1zovbjoGowOrA82SSfzPZtRXBlkhblwtYFij6LpgIPwnaTS7G0jQhrV5YBw4SBO I9rgg05XwOYMPh81aT1eEs8YefYF1OTAfRHNcwTfu6t+tMGm1CSBcJV+TLZIZPSuD4pEZ6iIDpy1 DZqkEy6jxFltJTWUt1nbRREBYtQ/aMO4Fgb72DmwX/T9BuqED4KMnP92Sfh75crnozjgV7TUiON6 tluswbevMTdWEiFgEm7uXljqX0d34MDogqlr0jCXgx1K45wXAOgmOTCeXcbtBlmDH0rI5E6Gan60 i3LowUjrT6hwbg3LltI59Qqj/Z7g4xl6MJZr0qCYssP7rNxZiuStewckaySbJRDFbb/tzUMJs1Tg dmFLKYy8KdtEJKANtt/gOY4at3oAQL7QmLmybYZ8CBg2Bx8pDAhdGLNgR7sUH7SUTt4zTc2xLT4f Ke96GEDqHCQBHtHUHNgwZoqVnMA+dQu8W7HAe4GaYFlcAt5HyW7owygace808+RgUQB08kB4YLIL 3YGqLA7BQGflpJrDFpv+2173r2AJJ5oPlp50FPAYJJbN5/91dKvSDSvIC4zIgRWjXDD2rFuooz0U K6ly0rPVlzZiBZulyDUG+Cm6MlUfLjQCdsK5W8MNrc7EhhtqmieG/KHugkUBtdrbRkuJ3YBcsw9W 7B4lm3SqvWQkr/K931D+6ACd+yRFYTfARSc5KdPhog6GhKeAuaABUHWXUlzvpiZGMpvq9UzSXMAC EOy3gBZqMn+HMAXtPUEauBikNO11A0V5Qdlqbz7cHE6A0XKOJz4fZSd+wQkBB/ZOfU6lEJbdcRbx 61iEm8uVjLLbEMKAeXp1KfZkJD1vrH0H33AniEHFlVEAlMKBdQHeDzkxWDXwivbG233bqLHEsHvk 5oNCpZpSq6qqzcbng+TklytjTkQCl1vQDX0ZwWc5YXerxn+gLIFAkfTGNsDfNrBWDo0ZF2ESYJz7 4MnJOckX8PdITk5GunbnLFFfDLjj0a5ouuBsOXRmtBROtaUzNMOzAQ0u/YeyMp+P5PnS1ywVqkvO GyuF1ozQljjbDCrE/4Ecpurf3OmwS8gsVDbQb22SQoD2kM0X40oO3j+ZCVSpLrkeQLfYxSS+p2M9 UVjZuGrN3/aPC+5smr190H47cZfUf1suk89H1OJv1B+Fa+ttUubYm3ExoDTA6O7ODy0pseuMf5Rf qfZbYM7oK6lLho6DraQEDGrbskSrJ81p4jxCc2XiTjD9/aE81183qr9RzWjRUaagGCqmIvomg0nZ 2cibHxDF3b88v058PqXDqe+NESWIA0QvBsJWDUpK0ghRQivdr2mKkdo6vMmW4WCVJdDJwFRjmRI5 RGE1oKLAtJFWFwRo94rb9roBIqCIbMTS0h5eWkKDKjMKh8QHn48oNetrMdHVO13JlRx4NCLIoo2M 9SbPPzkmYCUJ9THPBb5agXdg0nhVVSW6wsF33OhwvZPzFxTmK+sGFfi7ivISRSus5z2XvW0Em0As mQt5tBmAKoo05hPJdP6+54yDO3xg0/it1bcsEKjzAm1zaNNI5c6WLvZmdMferDIutGTmO0uxrIjc z3Uu5XsuoTKGbItr6aykc/lxIjMxRqiajStvZIqPOfRpNH0j1OVtsCtuORHjHHt8/l/srCIxOgC2 63MwRZE3ayarF8tvU6Zih8PcjGk8Tmx8pymU7Ovi8tfug+UnqN/CmUDuzKlTPh2QXBzouCLAKjCJ I3QL9LoRgkJGe2v7oGk6wQR7QJimzef/FweewqZer3eWQiJkRs+SVpvEULhjGkAmczrIBCw2JXGB X6ODksHf9+GmuuesAFGaMBzoFzPdy40gBvSjWcfHjkT6Mu11g1mqWzLhwweF3iDICVK69uTzESz5 +1xCrb2R/GOzFIXeWPLYcQbFoe6glZaonyykybhVk8Cz0U1mlmRYOHiTaZbc6GYMRZaRs1UpEagh 4Pxp9C1QJFCXvW1wx1HmGTxEH5KVltIQwWHz8A5FRn5htzNIVxQ181l6D72h24hzqV08juyceC6J HMlzqVBYo+uDolYc9UWW0DZrPf3vlNKJBagaPlEgRt40cNhW6Ho1kzec6n/Xbq8brKXFcFI6I3b5 EwCZyjQVjZr5fFTM9fa31Vh++iMBmUPrRmIpVs3T+wKuK7YnLxweS0iT7FiKvRuZmawpNdr5UEmA 8apQHSa1FnuPWgkNK6ECDlXrrS0Jwp1D80Yvm6Tmg204NI+FfEPFO3Bv/FbGZtTAsGvdwzuwbyz/ L5HA3oY7EasK0KlHu51cC0Mdn6Qo9F5EHi/1j5YLgVBcbKcMmQLWllbPjYFVxQnUUE2Z7WogLBXg AgNHrFcElb0TdsJBtaUBUKalHHrJSDvr+1SqrL09SVxs4cj8pGxbSaO063F5Pb97K8xfOEkhkJsI 7t7THRy8hKJilxAkLyJIr51wo3a48dDSujoKl1J4et0gi6MuVFrkIidgdlUPIpg+S2alZ37AP6cJ U8KK2LziRzk0ckTrfpds9o1bRVFJjrR2JUewNm2eYtuZKthS9sFLAsC2Q2UVNbgEbeJC4k2CLAvi +5xxLslx15rfgZcjhMLotIyoDgPOFVVAsEwZOp9TPPMDoj33LXDceBq2Z5rCqjc69bM0U1uHyJJV TiqZMJymDU19pSiBnaNSJBQDCDgdjzExJC2p4pfV1kWHlwwuuKgObub19AaAI9a3RHrrTSJaGqCA JvjSwqVH6acTBfEDIlZ7+8rkTOvlOb+j6BvdPZei39TWKAal2HRloMvT+WO8KhDaOoqCM5rKcO0p w+GOXKQkgWGCkh7LcBPihdQAh6vh5eCkbq8b7DoVKyEQZIOV4WASZio/mc9HdW8PBkRIoQz8eFZT FH5Tc707cQJ1AWcmp9KK1+FSu6spQpyoJDKELOXgSQp0sjIYHgDDrUqgH/zCsJrAtViP9iGJm/yS v9ywTp5YfFD7G1ElkUDnIBx8PhLZ+HU0oQiCyt2dpbDwjZ6lwblJMC2mf3je3csC596uXhaIDR4V K816B8flnBc/kbxwORCZUx2OqcpisZhajdpzay973WDPZTqlF1k5F7NyLu3k7cIlnOuz8/lImu2X kAS1t8uzlkLAycSWg20YC3EnZHf4KVaYFeIKpH1ty4Xht9oDs97htgdmrVVOGTCyqjgcoKsFP3mg viEuf8uVvdnrRojB/WJIAwTdbDyjTka9+HxE6/oGe2cYcNLt06cptk0/9xx4n4ivcZQbXjdD1XGZ /jqZawJ5hT6PXWI+XcZg/QpK5IRG0GS/FX5hiC4bEMQLFZlUdTY85Le+7H0jimljdUnaUcO1o9qJ XOXSdwKOyeeDeOBaqnI1gY75EHNy6PWI3tmmAZqlvGU7Kl55nZK56WFTYPaIrJCcnE3M994X833y E+RvjTiBkyvuKq2783JorcJFrF6zA5IW+CVRlsI2CqD8HAwncKIYeAH+OE4gcHv8v8kcgsVan7UU uj3CcwmOxZbxjuQB+KLurSNOUvNJihDfFoCPVnxwxMn5Yc/B0UmugBGQOpwQwgQkCwrezVO5oZAp 8HvEvhZsaSUfLJUbSYQgIPn4fHDJtf4rAM+ofT+TFAXglYW4eR3CRRiUwXM3WA581hwIFzg++uk9 d7qDZSkZvnQ9mwTyynCyJcECzUWgvmv3Drh6j/ySP7xX5sC0YqBrNGdpT2AScW2dwIMfEMlEfzOW eXp/oSkC00ccSwsJr3nxnmijOmV5LMuCeZAkn6Uw/CaCeZvW75dc2wada0I2AnW4jhp1Ee4SkwPc Qb+Sf1PxUuD66GTBstiY42DgpSoUEW8LPv8vIBz+Bx7lHRw6n6RYTHsC/Wa1gE2ao/oDadjWw6Hk vO4c+z4y04U+oA8efAPgUHVGz9zOl3UJTCRayJHM9Kj+tW2vGwXf0kuSdpvPEpTMWlML/JyffP5/ 4aGo+12eWQpJlScCPunTMvb7+Stc2L8lNzaECrPp2ObA+1FGHJye7INnvHPxf8iwSAO2n3SEEuAZ dT3+9I452fa6AaepMv1CsfwMqGpJC6pAO4/B9znCGz/g/fBeD16QfAziBa/8Xw4MIFFm5BV3VQJK vTqk23QlgWPeHnwHDpA3R9kiMO+HwLwgwZGaoAJUsaXGxIA73dnVAzsm3z03/XWD4xuVytkX1ZE5 WPSNdg0XyMkW+XwEYPqGeWXU/Od8CiihDSRaFydMGtaUm6k5VqC2PCxH2eU25QIfSEjjCnzauw8e CcC/p8v2aDJjIQGqoN/ez1UlBNO3RFkOjCC93URilA265EARlazxROcycIL8xuaw7IZ+b68XMvh5 DZdypj8i9pyxmr73XC4XwbQdUPEaLGXgl0Q/lTPUfJyh4JmU9kLzvoB8mRvLBxVouSbtov5QmhAs vYZKGYJzm0oc+w4WBUw4ceE0Pv/68xooZai1Cm+STbEkY3Z0IJ5fJZqcQbWJMqz73fN0kg6Vnghc GhAS0woKJkdiEyxb2HCbJyeor8A6gi6QCn3BIPh/Vmqj/AN6m2aBYEj419mBJqhEJnQmNWsc48gm rB4x0sLTb7MjRynNDoMhHP/MGzk7r/FRhmdWo7p/EvhtzVZt6QzGrmRXYvFodl6jo2wh5Nmcz+C7 C2myzLtgkdmQuwlh0YAugnD4BS1zxX1eY6NsRsaro6dqgyann72rVKTv9nkNjDC1u9rkMHxUCyLb 5LyGRVnRFOTUvMQ9XAh5IXOychsUiTQ5r0FRBgmvKrDePtjkEErcsrwNM9wx+V+eDAAJKAKkdYVc qDz4eQ2JMkIaVkXmupiJUi283lR5giZu/rxGRDxAytfsoDNZ1HPDd77GQ9nAbqlv00qqqXqStoer a0Kx3pq3r9FQdpmb/wZxnX0EDERhMyRBI5GKXB1cAegCoCyCkpg21qaC7WsshGOHephb+n/bTYCB leiL1NPWT1j9GgllSa9pduTlSwNjP3Ze46CsmhhktSykbr07A4cpmVW0y7VWDWZHzisAjfhgs5OA 5Mq0MC00wcL5eVJ/mNUMYEkygC8Gk0iMqF9jIPyQNICaav/P2/7PgBkqnu6rfF4DoCwvgGftIAnu 6EVrdl6jH5zkSMt27dsSjnUlxkmWEfMGx4U590SzI0OaTGY3B58dQMPpN0eZrUIkEgr1YMISDI72 i6euMjuIthYtv2ot1E2oLIGrVoS9T6m2cwt9XgMfHlvj++Bp7FlWm57XqAenMmnKwxRGIYjo5tdo rf6YpUgFCYbSvtGpzLr1HLzXOdj0NPhe50mIDdDbBF6cOA41xolTmc7jHkKTyv0a8uAnsKq11Py3 CZOUszAbgfh0nf+8Bjx4Q8PZ2uwg/U00M6F4XjA7wJiereVUmzrn1W3ZaXkTGwmmJMiCYzkRqT6w fm2w2el499Y1OyC5SS2yYzMsgFfRY7lotkaNtmB2lqRGWfXQwNnpCY1NgiFKwdPvs9ONI5nFkSSq p9299VpjzELzbGi6a3pys7QLXa18pwfetZKUCBbP2EIe9eaDn8vA7p40eHN6QPPg9Exoek9QbCgY 5Si2pFcNzmWVYYfEbUZ2ShtoBO0WhV6Li1l2vl8nz4Z2fyseDkbBMmWRFjuoqAjNlX16hgTsSK/J w2uLUbQsjAz1IWzw5YO1mavyU5heg1p7NhcUCWHmbWBIU6xt9rLR7mKpY+t69+SuNFjUyrGgDPBH o+11FxDfbRBcuHyGoogZVZqNCqml8BTnU9N6XPJoWW5e+M5kyxdvNMja5mAzNOuJdlKh7M8GoBYU eWTw54RaiBWBIPReLNlin3cmWzbV41Vp7azBlhC8yaSbXfl4FDSPrxkCLLyP6XHhO48tm43qyGZ/ dcIdM1PLIOG7agtx/oZbC2Zo8+xZKqvqErSM68RBEI4Su/YsHQCdUehHVI8DmZIgllModY/iZthK Y+3sO2iCTnoqj1D8AJ93Elt+PEIV/EDKte85fIKiyJnSWudASA4OMZtrWIR2V4lkimT9/OCM7lXO GNI+5oXoWRdQQJvYEEATqMmJRjauaWBHM+p69wZTVybYZJ147L2UfS3PLMDhbOyfocf7eWew4Riy 0kYWY5QOGfvZZe/hs3SET7RjMMcTpU+vubbhhNG+V3Va7Xv8LOgEbB2fQVN0pg5FRICRyac5KZp0 kSFF3aEoBNFugfoyWil817+OIXIpMSDs1iIqTafDD6x+P+/0NYuhnpM6E2xcx91mUQxNL96xmtXI xmrDij8tDV9FK7kFxDt7jQkYq9KpVR8sAQOkqDAohLzGOdwa247sMjYspfVQ/Aatet7Jazw0MTd9 Ef3IQQCjBaig2NmlfN65a0gXfp1DGeUK+inZDL3H0UhsaKNKWiha+NKJJJUmP25G9Tano0C6c/ds 6fhz8EjxfLbZEJcJCYxKr5rGgwiGgjQyNX9HndRRIA0gDzr3+w52Rc9EsusPlNI+77w1S3ENyMTA CVjulDxJfeetMUigFvSwqn0jWVKq0NQ2YmM6VVfwf6etZQHIaJiTffAZwnbNjdHiOYor+Ew4icCB 6dhrufDeXdI87nrZ9ymSYR1MzHzQSTROKiZQEPyc31lr/BX9JOJ/C1s1dug0Q+/hNEBFjBdrshka 1TSR1kkqnfdQ0F1UxT6KpwH8PXkW9Y403F7rOUZr3gL0oyFFBPIAibYyh8+P4bW6Gu/xNAoVS6ji 7YOKQKnToR6pKiRsooB63xIZJggxXpVlGCcoCqgBYNhQYdIEremWYeenzlpXBYrHJk//TlfjEpqY Gaj+22ATNCCnldNSkewE1HKo6zBDYN9nsRlthfqpd40mCMcQiwE26BhKc0vKqxLYEIXUuXznY7QA nsuz1XeqWnbbuWn6olBB8Nv+5Khe60jYCyoihiG16PzKV9eTr57Thl1DFjvgvngO20RKCDgwOIrQ 4PUCNP3A34lqDLnUPGQ6z0F/1dmuSSoa5+0/7zy1LODI12UPfCfzQpuhKKQGYhK9+mIzhNDY1CG2 iY6gVjZ9ht5DaixFcor+2+FgtgVxfUqNnqgygeuNpAxA9EnhqU3LdJ1CeehloyK96s/MyiBE9FMM 9XGuEl7WHUoj7zQ13mV+2+P1AEiigbtNUVSJhuUeuMSGRmvCfNBIhLa4skwvfpW9k9SyREtRDJKV dX7cHU8eAEUoXvYLGrjSr6PuCKSQfzgvjkajCcQ7Rw0B0VDJrLBkhpO2yFYGXRFe4tjHn3eKmvVB bBlZuxGVAL/u3ylq3Gj0Eknm1zNlW0wv3uxh9YDin06id4aaZXjoFcoIs13AHgg/56KpKn0A1YhS HpbR2ZkTEP4K3XbHxVBk5J2glt2wB//jgy4ziHTwG8//5uNRTfo7uwckv9fsZ/U7PS2beMZZqAa4 JovYitJtVi+7QmWTUfU7Oy1f4ezNu3w6JpP2jkjUOhV91rnb6ma7JwFr0dm/68u7qqVQUPOdneYb 7RxBrfnAfQbdG5uhkebnnZuWH1v5rIokui4dfRWboiiqJv16Zq/bt3nPIlM9ojYUloimKCpNd3ka dQpocLBFdNYIIFvoPUEGp7LWen4SbJOFCyHDeJiuzoCBLL1sEBHxnM5S9+XgU4T2Nu+zuT7vzDQu dJ8iqqnyfxNcbnMUxdUAP6CweGtoNznLTn0EiqEbHv2dl8bzmjsMvGcb/DAiOMxutLMPOrBx4F6f 2AJiI8DDPP6FbellgyIj0XkofvqgOeppy+UAdMrPOy0ti+3ypB7AHrY57nEdxtWFpOJqM7TStcBE VmVHUSrbj+v3uBoh71A01H3w5hhEIccWOz0jiSLCoUHauUMnQGgU5WZl6F2DCQI5a8L+WPcaik08 dedJgbusUff+vFPSmGV5DSRvN1Kt++av75w07LSNrLVWq4L0fbscMlhmik/eluboPbKGIw2XTzOL rEu2avtkY4VWxLjSUP/inqx0L4QRWEYOYYU01u4+75Q0S5QQRLCFyMEK+Zl71ihp74y0/GhBoLj6 Q127n2bgLnxrFFsjVlDaLTrxuubpwK76FKGopo32HlujCoKgOmcAEzRYFSST/2/cRujW5ikwf2Un BuTy6qHjpDX4OxuN+4ykvUWcp1r5uvMLscgEDY/POxkNkefwsIgCWx1Fp+yx9TsXjX1E6ooty/Bz aX7ly6FDbiIoE6kHHcfWrA9VZmccbA3Nkwef/5dpH7bwSRJIptwcLIfph237DLypzzsTLV8bTFDz bFB2Rk8XJe0jf96JaLxz89dBBI4AEyGboBjfQQ2RbgZ0I3c/qku+yQc4BzZBMcADXKUqtaz6qGWh Jw0fQwLPz2V5Fg6nMoE4URCSIFagmTEYMUXvGk0QiVWqo3HQz35u217UaT1h2zsJDX9q+u4lorpa aYCrCYoCa8TxJ+ztBoHdzbz6MiJJ77We/8jK+e8UNPaymNij3GaDrSBUQ6CinUT5PD+8tH0ouCu9 +XxXEJLEzzsBjdkZq2iTXA8OSl97MlusM998xX8d1Evn+w+Igz5BUVRNYGcjwpAY4W60fQAZCBeW ShYtjTo/54/0dWbWEDXYGURDz45mDJxm16Bqh0E/G0yvM6rsfghRHuOdemaAgHPQKy6qAMobDQra EoxnzllXPu/MM5ZAPKxm9ITTSoIGnKIorN5yeWzNsebLhftaSjZF5+urHdPvvDP8QDACm0DB+WBT dMLqBjBM4ybLJ6VGhg81sbPYgWe7BRCk+3zTP0vVNJrVoDMI0uXCUJ2f6fPOOMuin1juymYDyFSF WvmaoPegWgJvsMG0e6yu7bIYS2c3ceYgEmuColI1WbBnn9flgxfRKjbdzvJMR5OKawiuPlJ/nCxV q9/BtOOdb5bFrjgX/FbYuMdNzM4fq6xh9Pp5p5thLeT8dQrRarZux5m9k83wpeBUb/5HiIV23n5M r8vwPLnzNqDZO9csO3NxT9ZhOXjLDMKxE0uqohoyYE7zQ0FayIcBSTKuBo3Bgt+5Zsw9M72MKL/O Qe2OipqxvMDwI75H1Io3v7J7HMCUebMpikJq/HobSky6ycos7sbbh08R2uRWqn4nmmXn5m/TxKDt z89UVzGhusvOPQkFiXbFYOihGdQBakme3ROw+nnnmT2IMzmsFcq9cemAtNglabhOvPjOM7Nr+1lF a8G1/eJi3mlm7CE00oGsSATenPcVR6k+RdsJsO8sM4fkId1YPvhdtjJLsUJzgvlPGARCx59BrLeU jKRrXOxl/ygSASrKYvWiQtaPWq+ZFQoccP+fsStNcqU3jv95lgkF9uX+FzMyswrNsbrmSQ4bnyU1 2QNiqSUXPh9stL2+bjP4ofc0PKJ+p5hxYjPiaIOb4SRaVkcrBLQLgA/FT01RVK1GSRUlRhLNOHjr FXd97tTpOz/ZObvB9kC8OEDEKwRx3RoRCWbv/DL8nlWS9CIt9myeKxv+b9zaCEk/7/SyLPHOp9LI alyffhS9s8vwpXCWn3WrEXSioNINEdxndkeR3EHOJnAxCqmlFLIn8Q0cbgFkQVnLhNWgaFxVI5pA gJwfgWwp9wWnav87uSxfPWPcRhyKYj/ekkwEQRL+vHPLuE3vUYTtmQF66uU28N/JZcQ8IhJKrJOh gVaoEVpBLkO9oIj1imp4RaXxnVtm8DAa0kwfnsbiOnGvdELAp9os6Z8TCXJdKDeOW9JnJvF5p5Zl 8edQy5cj77pus+gt8Qvb+bzPO7OMV743hRhgIg1O2S/8d2IZFxF6ZURQkGNO5QMjvJr1SgGvN9si isrVjVWNWVVvdKtc8sqgOJuoPwsm1RqQmzk3wfnVz54mnuuigwf7iu+8MrYfZCBCNm/2AsKJj2EJ qKbH5uNRFe27K0TBu3x7Hu+kMmI80elg0IVtljarZQIlL5Oda8B7iuv6zim7pVhsFB+8+pE6co8u FCN0whZNVNFnmxDOYiztOsbkJbxzyljwowSWbB7XtXksQAeInrhOVP1OKWPA4DU0njqwkW2935Mo DKshpnaSTQMJzzHdCVOGBNJzmE4CemeU8cZnEQ01ORu8cbYh+rGk9IxlTQL+aKxhgLWWqSeu5HXR GOOdUHYB+EltfA6KrKEsSGfVs6Xb551PhjV4e68sBSwqkPd7EEXVaooXoi7qvcV8CQrN+iBQ2S+G AXmnk3nLAxH0HfzGHzDJorrC+QthY2g+UfCgQ8mw5xtYY8fxO6IZwoWPefbBAmu4qUqad4/PO5XM kqyv9J6K7u0eRCGUGuX8RkdA9s2ous37HjVymyBE2TZBYWANPOOZ3XkHS80KgiBW29G+R4w+5ZU5 WVZEoHddr+Uv/84iY/BOTmKd3QctIS5O1RgLHw+WUP3OPOic/gDx3ylkXHi0UzGK5lmsrXuJca3u FTRc35qgOKzG7ipY+DZ45tE6GME06WsQFEq87ieO1oriLF0M7Rhq9q5B/YMSc+zg2WAMoAqiNA9f XGVRUP0bZzXS9VThl0ZBNWq1oD4aIrY3K5tBB6SadFpvzMR5UMeAagbVxHtqsBV09t4CnFG81kIW P1YQoImURmxEqTRV0JLeNbjrE0uMhco7HDhBA2D/JpO+mvF4EDBeyLDoVHBOWPeYDkNqVFXTWUcm ernFlCbes7IFC8xwpTk1L/uoSg3M+pkaBGU22CF0IukNgMMUE4isMtKjKXWJFpwUTMvXOR3F1EvH TxUgrSb3400gjTAcamXg8aB7nz3rwNuBV9PGheT3KKbulNypzrMvTprCb40WvBQb9jKefX+PqQVo O7sLBC0bnlPohHboRBBElNKiUMgiiGfR8Pr6zUiNv8ckRHIVspwLHCGQ54mu5VAGryc8HjA6tp9C LLfhR6MSjM1QWKeGY0Enj48VojlciaCItcAZSt5S7BEEhBSWeTZR8cHq1KjEEebJTgfgtVSagdPD T6EgKVIjpWU0j8N3BEkH4UOIoH3wXWYe0ie0nng8iBeLX2QsSkOituzLtuthSN2xhuj4w4NaoaMQ IE/vvrgQf48K1RYwFml/lHoZZbMAFQ5RQCT3uWVU7885BeUW8gByffIyWrngS/5ol4Fgjay+EzEh WtCE9zshsSdtwfMBIXF5xEjXa1zVXYrXnKOYkoiIkbkmtdPpXs52EAjAhkWj+xwRID0KqqdRg5R9 fGt+nJAjQSCVQfXZvdDXgVxRhzEfsPk4oa9SQ9HLRrkrN9qiymVl8ZMnEcTkuG4hPoXHg8M63Y3G g3390FbCZiiiJQ66mbFyihkqu1vAuLrry4E7VQzO2GMECNN6QDps8IZZ6pBgk3Yjeo1otNKtCAQU VE3yjYcG64w9KlYv9VxL08DDjedPA4GHFaLzsXg+mKHbMctacecN+s1dexhTD5gc9nwRn8Nx1Tnb zVbaoiA1LvwekhMnO0JFMXV5YuozORvqeGx4wBWCtlejAjJCoCZAM34UVXvX4MKvRH7QYmhBE/KH d/45+KBUR8TC2eF4/l/7jKgqmPO1dOv5PSxXj07PwiqbuQTlZwo1QR+nms0c6PU2RTGwmoC9Ig3+ csvVdPBZNFQDGgqqjZUkMxg8IkUnhcW7ZototB6Vq6EWBLGvWn1QuRrKkKJ4nEsKj0cUj/wVFE1J +N/77D2sNkOQIS/HTmnLRO0voHKJpkbUuLhreVpHABD5XS36WGjwKlqCsDxQo5BFO+lCJ2otNYjH IS4Bb/GaOtI8rUfVamAwADoXP7o6uAE+PswBoLg08XiQ3rf0FVeDttpKvidRBKwGuudsrmwlIiRk VgAp4v1meRT5GorgH2Ka7ULB9E13kfOegBBNkM/ZfobCx2blA1q7Z/ksxJrS2jVkNbqx+JIoKGJD cec7qAACI1Se1SdKKXg8WkNeIiKyGhyLli+0uoeRNbDnvXuNqNPBhqXYhBqcmV4OeHUyKIqK1Std T7nf1nIg9Z3tzrYZCmoFXAnUiE5iQ91AIWRUZ+yEDvcI/0GbWQgOTR+0zeYwM178e3g8Ikp/N6dz yt31v8mUjiJr1EhAJDfocEujPLhYL4AkyG6IKh1Vq3njT7RZfbjp64mAWqf8YAf8P3c5hy5VvaAe ZxSPs83sXaOmkAQsxvbBMEQMrVVFy3g8iKz7d8OjQUa+9UsljwJruGmdlW8mMhvcFKsQSYyE6id0 BWbYOOJStehBZNpxsAk6u2pCIG5L7BOVffw3Mw7ogQMLy+zHJb6wPfElf7HJT/ZC3+uE4EI9IZhn 8yvBJsHzUbF6f19ngLf2do+iEYOrJ5Efpqqb8kMUat6JBeG++yRF5WqKLJ1gkBmsldcHG/gdpQ6C Fs9+zcDHqDuCOInGRE9rcSLsxncEmE9WP3Ckaeiqh0Efq5MT0M+/8Pi/Wh6NBxe5pGX6lT+i0LoR SJRcq7mt5YjGVLpD0YpCAmy0KLQWlDFnFmOzvGsGEY1nl0FWh4Q8/OeLiQppbXWBwJrLvfFxK+I7 IpRDE32h+2A5fm+yv27nSMPj0VH0q06EA40K0pqgKLLmRivTZJfOP03Ti1383RU2DlgBaw1FkTW9 9mCrU324kM8ORmGX+DCyKzL2Gm8T4mRwFTnbDD0EfMkfR9FqlTNE1hr5PQil5mBBHirHeP6fjTNe Xli5rd3TOgqtB81Sy/bu60hejYUp9Y+pxQIUpTmKQmuwq8GBkTvheMDVZ4sCsafDKCPHp0ZcZQOj nj+6P5K65E3gO4J9RqjvljkxB91n6eTgQjmc0AGPR0fRhZ8T29cIeb+rKIRWU2twOs9jzeKAxqlq I7UGgefUDEXQamoMIhebPvgM1XxWJNrtTD5OyiAtWeb14MNUc+Nmflb1rlHfjKW0zfYZB5VjT8zY VEo7Fw8eD4Kim3twuaDu0C8kdkT1apwC8Nt1NpWoruQKjVosgYXVpk1QVK+eopuh7caBgRm32fmt IdxPxOdGhkwr7IGWJguPc/ULPQceCt8RhUSVakz1DpqgnNJQrfBEKXj8XxwP5mb4bWrxoHGEcfWE 1UfJLsS4uu+xmff0iCglQziMMK6WPgGUXWzwCx/u0ZNtjfP+qMsCtgY/FINVlzRvbra1hMK4mpdZ QunBLvycxKaCbyYPIjDa8AFBjv80PbjiEKCnixsecc0aq6hZAAT5zWxlENtmC6toeaFoxCVrNaYJ Qefgk9RBOINy/kmuOvrfCL6hIYMA80ztSdGXV/W3JHZC1iIz17kJA5nQixdgvME0m4pQIE/g+ais /52foYjby5U+m2HNGpH1yUCE/oAwQbP87ORKKquBwkAcSOfnRBe+uq+SyJ/5Qqs3dEz2YvyBauBc BMZCcBCyo5RCv50zunzMEAZSxBESQdhhjeesPn8Ai4Xnkmx4PJigPr7DRtgQn8vCd9qMQmsU/bZE NTBDVVUQNn2BO7QMFiVhpmczrFnLzHoJu7cuOp+MwkrQP26zc+5sRo2lEiB6ZgfUFy/I0lNn/sla RC1t+qAZQkZDbHU7hy8e/1cHn5W0sy/6Qw2eUVwNEzOIyZjy4iA9UkcRQZg8iuDta0JNMWmRLmit 3sFL1hXi3pkxIzo4KIVTQX9BqWlrsV7qKyUKZliyps8nba5s0EGUTqJGa4N6BjwezVD/ys4Al+8r e5loRlE1Ep5distctJ6vs0fd19kj+XU/w4I1A6K9SR3nYEsI4oTnfyRSDb9mETw61LFapcLpVRYm CB7fEUxQk0xTqT6oSlSAoWD6OlPG4xHB47sGgtu019sXmlFUDQK8E6k6Lgda4oollKvL7ezZrIE/ o7B6qN9RhUqrF9N4/vYT/1b0y6ByAQQr1R4Krto+KIY2Hllhf9u/ZC5S6dMHq4GsKYoHhKfweNBc bN8alZRKQWnOpiiuV6Pp0ZwDI5FA6ZtSu00isCObpvCMMCDWGEq7+OBNj5P+APfPRHGVcVI4/FfO 1pgQTtw/boHAY2jrXYODum0V0JIPtobQm5PKxRh4PCIGf2dm6K902ltpgiIMCNgtoA6aSm5rKTkG hAVgThDZ8pqgqFpNf9yTFoi1+KtGBPEnsIy0hOo5a5CZAStTif+8FZBMDW58R7CCWD5rghGd4Wb3 dcop8FzDDY//Ky9jFQ0T2Z8VFMXUeD13YWTIOJ9zemVPy7qDYmeoqNdMIGX54BME8mw1DsxGGpto 6AUj6U463h5PNX/rXSOSEENFEtQ0WBGt0+YJf+vm4xHOKn1tMWKR8mWWzwgDwr5i777Fek5XSCb1 Swru3nudUUyN4wRJfa0+fOWt6cyQtE7PTBdJOMC8pgMrkgFi81o1secziqkb+4o8KG2wrAM1auKs Vu94PIIRfTPLsZJrv2TOGQXUyG9PEnWxerNYu/Wc2NXkTkfC3689FqqACGDVIdepwRkeOCFIb0EF DTYtWU4xxOpBEoJ+JIYaXkUvGwVDSwSq6YNTPEDHZhqx+Y7/DBd/jOIxryraiuJp4CoA0PNjul9w fps9OfK8Ozh/RRgQ6eVCWtAHRzPC0GxuUTzyTpXkYUZDSDTwafW3VPcKMSC7UpUgFx8MaHXWEKO9 k+vVzwqpile/Yanu9kOBV5ugsFLdMklCJt/Q5y3ll9ldG39C70oTFIbTXQ0hapnvcrXMIc4N1Vbd 9Amq3YSA1AGpbFiU9y8UEVb6Z4WFatbP0pJSN5uTCvE6arqUPT27+bOieFou0l77mORAXK3uFcpR V57Ozu9olPDTRZauam7aXj5bMQSEm6wQRpRF5WrcZKBtDogfkIp3wlFKqUAjFnlshRecn9OoVDV8 R1Q+4wzldAfBiM4V3sU0Ax14xeG0LyFGlqjVzotuWCFXsbJmlrx61pphZKA8Ykto4CS0CQpB1XRX WHPe4dZgQZSfbN1PgP422470hm6Fk/Po6hGItv4uUlOe1QbtMcjNZsPfVTweHULt+5hG/wCypT5D UTw9eQo1U0ZBluGFDypH21U/mXCcc3qFVMUu9Uq55Y7HLXfCbyAbiwp2zp0uepkJPUpgGc1ou8ko MY8vCepn9KMEYoIDyjrKyfrknsMumxnPR9GQF4f4XyYkdlxK8IoCahg/bG0LGgYU4okQUJ/XltEZ FHQJicUchRIgtHuZRSbVZVykFaQle6KUL3R3zpQhOTl/7VkSYGXS6tb2WUkEo60IAbLE6BxUScnD VVKgYbsVD524Do9HABC/y+hNDcXZvTxgXFFEDbDSuctqscS+9WWrqHWzyYMhUrOIeoURNdWI0Dj1 wTGxoMtS1h9KOxnFNKYcQMkOTFxG1cCKQ9Ca4MtGMKJJv6Ah2yB2pigA0nBYYxGdwxOPR7z7iztn 8MRFdOXfV0hXzFAAqUblOLdmd5fFtpMVh2CvabJoK8ZVc6OhYmPD7bueJH6QFQijl4bKJQAgUPsY EPBlc80BIHPoZf/CfKbO6lCiLAsXEcp1xC2NWioef99nq/ySGj4rkkhOm6GQrTjIXZju/jpuZl8o LMrbDHGxCVXHABDy6VUC4eAQmZNlAPlMMaKyOhXPIaRLjHojSMDlmuBTrpcNzCcWQ8ZEsB4Hqw6d G7+ZCnzF45HOuSeuU7U2Xn8+Q2GVGkZva3h1qPe+HZu/6xVvkK0gZigMqqVS3codPCKCDkUrhWuI EOtOsmKWu0JB7eP2y+ihii+JukE8iBrJwRx0EG2IDLB+lmfF48GFf+mcZAUDhDRguaIp2lFUPWio VKtLx62nPNSat4OIT+SNv0MBEDoILxVYOfgigiLswoWIRXSy+U4oFiSepWjV0+WZyYt6R1F1E3YM QC0bbA1BDpsdxTT4eFBAa7/pnLgb7zm036Nq8Mywt3pysfzWLomqjOlrCCGw9M5DAZAurWHpeo8L rK6sFTGJOkc1HKcWEjNEAaAqAoac13OZUS1/h8hqZfUrbx9UP2t5VJ20aw88HlxmN7mXJGwe37nr DpHVyF03gy7WGNE38DlK01pmA5JUNkdxXJ0EKe8+eNgIweHSqMe8wDeqXG6VhDpY10ET0iEy2O74 jmCKWFvs0o3rj27c+dvnlBxz33j8X2RFpmYIw1r1XtAOyYpTXrjbs/vuunGDtvMG/ti+iGLwB01e Gt0kOdxdttZKwlVP4J4L+YwJlahBdyz2Y6wZxMtsR4G15E//ywr3XNwUL2cfhO8Y6TNeIFq37BVn u09RCKwuJHZkK4BcvU92FB+/l2X4mB2TFZm2CtvBwdfQOSYquGaYooHGXKZMCgo8UETHkXdraIjv 8R1/UYKTpJrSI9U0O24Wnkmt4vEI2rC+DqKCuGpcJt4OhfWGfCmatTpScV+K1ZsbL+Thhpw7xH70 8i6JxhbZRGOdit476aTu9M3YNM94dGJR5sF3/OmI8/95VDsBBkk4x1lNeDwCEO2vCYJUQAcb3iYo gn7guIKhhjmWoiD7Y0pEzWxMoX/rxgI7gn7MrKuM0Pz1QPMrRS/O4pBadYImzmLyig2TaO7Xb0u6 d71rxOXcrAyx78pBE9RB1mKIk1rB4/9KzNS0z98coR2G1OByEvgmjhAzI51CSvmxxRZ7BOhI7xj6 wRVUSvPB+60nH2jmGoQbvndOUJ+0E0CxCP8g8Ura8+I7gngxsY7fySnnYCVGKA0zGjqpJB4Pko7x Xf4AmKOVfc+gsEydyAF2z9tKZX/SzJbu+iI1tGETFEbUg736LPBQfsofyA3S2bpcQZh/gYfof1Ko nVQeeNWeetc/ZQlwntlgJcaVDcN99jYeD6767ROE/Q+PJghJ+ASFenqwAqzbxb6aewih3DdsBSG4 t5RjR7CPKQGiNO7w1Icoo0cQ48ZvTUZ5R3xVYfc8Z7mxEBsdOxT/IAV8A99kg2Khc8BlIsbhY4zH o7TV60NFzrgDSjs+Q4ayeSuhAcY45f0HyzsEby7/sSV9XkaWFjPkvFMYUXepMBM/xMGOobNGzidQ cxDoLXCCCzlU53ie4JURmOz4obXtdQM6pwTUGm08MmypTTI4zSaY/slmJz8gOqtv7irwLCoxF+2p umV0xm84YosCc2L7YeCPxriVxollpztPsbKeHJZ4m48HH1NBlAMdqLIGUtlylEMpCjWgldEbz8R2 GFjjWyJ0AxlCophzSLq7V+9ELbU1M5//V/JBryUAq9NtLNK4OWj6g27mWOGT7RdHn7d8/QXqvK7A KURWMx4igs0GjxxPjARltGIQkATGCvyEIPoFphCQxI/9pr9utJoYOmpRFSR9ItKhnqlpQurDDwhC o9+VEJp/1uvBmcK6NTV3vAACtr1zOxs5BTIZwMFt0xSbKdI7oLM5NPrjaQbphpV5ANQEhh+MU3Eu zZN8wAe+SAtV4WPZ9rp/UD0myH0+KNU/18GgEmVFF58Ch/9DAw30k5ODXDePFIXYKP6dPeBunBJ4 59FUqk3Y+SsACLAtFxWvBbiihKoNVg9hCw0dQSrpQ2gJ3kN94baZgDKSGWAVo0xpInzLH3YVkAVf PigPOX+qxPuBG+DzQSJylYfZDshQZpjrcaxIYf0ajgwjmZMr6Phb7Lyz/1A6JS5tAldf5OEVa1hP gmXqHWw1nTwuw5GBrh4b/cum2uP59Sf0rHNt69Ye07bXjcoiWWCQ5oOtppWaQqVzJfP5wKQqX+sT 9ZXO2bTSs+lCaRCwq0qqjgGVTRWWU81TFf+z6Sb9c7o+KIgGCKmaW+W1feNJAAJTh2w8zib45kFm A3X+8yNuCNqzRmVnE/9wfkskbSlh3XQHTRMQdNJmOCuUz0eV/vUdc9PFYF53jxTqg0C6oLYtRt5J Sqi8A5tHcFqd6tmpsMtNFxayt1qJBIdwsE1HIadMtxyo6oPCXq/zABCO+4mZSm32tkFeIvFGiPtx cD2wBFNmU15ufD6qZN92CNO8jhugPLMURt4okLTt5I/OC1bKe+xOyGkoPbZ5kUpIJTBmN9GsWn5y E+iEZDp5oO24jGZVTwoM9MyZqgf+YD7KKfaJQSES3XwfLLSEhCabwaUNPh/suHpPJrzfibTO/37N UhR+o/G6t4zuaerlctZ7qFiLDJeWKjZLYUGb5bOZ1x08xV3glDT2jdaG9gyPsFzPxuuwRZlkOlUV 2oq9baS2ywLSIOCIg/OGh+gCdTCyDN1iLi2WtV1kzA9FJocOjLQrr3IVRG3brYeANRoui56qE61y YMEIoliV3tX24S6lE8usslQoSSCRTaa5ja97PpjAMbOopO10DjwYsWCJ6CuSC/EW3vn8E702YazG 5PNRIucRE89NxP8wMb7TFMXf2Bq7asfhn7Kz9+Bd4sWA8/rFpymCi5BhPTP6PzZ4sksxAzRawJQ5 0WAijXbSliBTf+qac8vsI8c+jCI27nEH+/lRbSDucZXK5yNV2V+aqVxMZHrZLIXxd0bhlswTJrzt Cs+AqqaKQKeZAisCOfRiXFpMgFLa4Ch+GJqnzcotkKGArJNPhIAO9tcjP3yi0uxt/2ghASm7NSyD oFXY8dJZ59zWfD7Iefc3+rEQinHdLHJgxwidOXCuqteVVt+qc2f06ceP6RfhF7dJCqNvzk6lda4G TdJJGs4nQaeQO26dSHwKjYwEDvhDZOsuijH8bf/acIQg2iBgTQUtg993tjCfj+KA3/1soLohQOGz FHrHUNKxctUADZmW104WO4PSAYc2pW24kOAoJgiiaBtsKVVIWZ4IgBA/7N3FwLNRGpyqwf0xHJSK UQ5NGRcZro0sPgwC0ubzW49pawnGnqEpY7nACG44FP7Sfk7vSOl60B1WrD3MUs224U5uuq5aOlQZ bS2FsfdSDU5FynyDyg5j0NQkZDRPNtqkJ0/nD/65bGobBEmBQGjLCEgx6CCl+qC1dBZsld3XaovP R92k/nXFoSxV0LjxSYr9YxZdvhyEJBsixpStdkvkUqOSatIHRYhsnsiV/SQOHnljn3UqmEE/pGFh sinZm8SmgdG2Y6kk1ZhCa0bqXU+WpmzwBGWqNVB72Xw+OrzvUhK4tAMP+RzesYYI1YyWyT/Mslzc sU3D+Bc4i3afpkhEpJtPU60+eFUAEMiUJmVmB5YLsUqJQAlIv632BAK12ttGa2mJUjx8sIr39Ymd 9pL/0shg6WSDAPE1SXHkTT5oNgXMriIK7QfbNsjfmewyfZJiGAnX0tJcretpVQtEJTJobJCaxbpc rFeCDjDJ3QeU17FIadrrRuryjJOWkt11k92COhbj5rMP+fy/jGSE5kcbuD3JbujSCGmo3Z1etPse zivOszkVqyECtGkKQ28hJAQH4OChd0Mbt4olgiZDJ3Y0E8lxQkzaR3v7bSV72yCNGywJJJ5LHBQu 1dYUCJyh8fl/JihVciJw/plP+Ts0a0Rlc1NTUFQI2Ib9IoYS+9e2p7uhWyM71+d0Tne4qKR9Mpwh 3BZ01gToFhoXOI3RroS6kG05tGuclBJZgL9wMG3Us9P0U0O3PvP5SKzvm2FcAGp+FMJz6NcIgYST TmN6/wNxzDXSle4BZ5+TNJCt2CSFUG0CbHcyB+Jysewwpe6b/h0FaTyo6kDdACfTwTGmzLLR1uRf HRo2QgcLTg47+6AtB6AKV0fLZfL5iGJ8Oyl4DlOuYpMmKRQVAbxt1aRKEkpvqlYWAHyl41Mk3qAd F1g2ysAMaYdyXre9xdk9gBQeJgEFawEibxYkeXltmBr/ULab7HUjCq1iAKr4UCZDpEUQLORwdf6C zQ+Igu8LT8IMY/2dq/IGA4FrIxR6gQYow1oEpWwjH+3CNEO1796Kz1MM2tbJTcDkfKy+gd+iyjyV sGfF2Y6t1rmMqeOGNPFec9teN4AGFHaaEm+7LC1H9pvOocEiWi+58/mIXlO+rjl6fafHDj10btyw dhhs25nFjCNwzo06vPeN/oWi78C68YqsJqmMpO6rqfGa6/QehK3AIN4eJDZagYF5CvCWd7/nstf9 A0ABAyU2UsCzIMngZFRrqRIBwCo/IOKst69UDtEAyuZ3mqLSN5qmzotgfWBfkAkrWwKZbEe75dC+ cTEQWluIrv1VYTobrbDfAKQSbgpeiLXTL4Yo3UsikQBkDu0bs2AmsPewQdfSWZryJm69Nj4fsUhu yMTaCTLD3Z9LLoq/gQDdlrU16B0tg/4DnLxVeILekZte5cDBEXuui9V/Bwdwo3SCNhxzuQWDaKF0 0bCGXUgBKFCCR+Ra80sCLAX1ViFF7oOmaUN8PmuaNp+P4u9v4GRhAb3famXo4dg36t15Wu2kOoOE IjnZtxz8CZXKBSaOXvneOyUfnLG1QD8r4o1Sxo+uIhV3UR/Ycizn008RutD2usEsNXJqU64+KP6m PQLLlWtPPh9BlL9VImh/VB/379DFkc5FLQ87mFrP7n21BvmShWQJQiq6Pihqyom7vrMPHgucpUq3 S6Jyzm89eDB1elFAnqvRx0CxQF32tsEtR4nDmsU/zsY/BnB3bcFqNk/vUG5kzu9ULsPQZUCu0Kfp Pf6GW02mDYZPU77YJSoQ28EE3VebptgmnbdcVem7PgdTB3WupyqxiA5BaDo8sb02r9zhVCO8dnvd P+DuOKuHD5bMQcyKRHYIiefQzfERYssqteBavLMU2jkCjAEP6mwNgnaBuNIb0LkkVU2cS7Gf46aO Hw3BNXjtG+6XWU5qE+RYZgagDcCNDwup3hKT4Nw5NHT06gmpNxw0SdBYSpoklL4DR8fv6FsQK7DX enrqJ6Gp46b9DLJ68QJwzQopCJ64LaYKCUWbpij+hkUTj6Tpw42/C+DKQ8lcgx3NYCkOkk/wGV7p EgGXKnGBraOcAc7jkwEmBysybXCflHfoJf8FN1Wxhd4qTwD+h7Mj5kasNgRPtflighuKId9BJfNZ imHdBJ1UdS7rQ2s/a6f2TLRQgeDbSWsZgONQApLg51x6jsktlM7jtwRbTkg4MUsLAzhNTjrHEcHv JzHM/IB/zRONEfguqz3zFIJP2AcvxRpOjbLy0iAZzXk4udfl8xRb0XArbQo+c/B5yqjD002xbLjO o2k2TqZ51vqk6n2mG0z96oMHFo9mnH6mWubywGNISuuccTieME8nROAHBMWBC/CWJAdPxGvpnGOX R/CTzytbpWmW5YlKG82l2VJ19m0ObB690rQqeUscLFEZ1F2vpOPM89MB94eqJQdEd/D9vSj4vOx1 g6qlOF1JIG9U75RcABk3uUKQsPAD/heGKfBuXzT3HHg94lREQFCmEd9aoUACYBV7Jbd+mgjRVRwI zR5tmjIz/yXMo4q77WwP0SdPPgciMrop58hv6O8CWkzIl3FyUrfX/ZPY1XiIc1B1AIZwkvnbKKEE fo/fJXCe1lstnjtLUQg+II3U1SdgMYU8XBoXP740bTRHfAWOjyCvMENJ4w4eD5x0HT/1EDAO6DLK +UOBEiJKBM26KMnq9rZRCYVio5NZHQe1wif8tgjWPfcWn/+X8wq9+qC7kNdtOQWWj+jAL3CX8v5x XZILi+u9eDGOknOapDACX2K+6QRvzwl+jnC0CUjM2aqHV8Lizk+/IBpZKNyoHUevQH5LsOMyYRUA Vtng/K7ZWLWEagWfj4LL79KA7MjKs5RicRJsM5r9oRrXmDrLSQxwGFXjyn42XBiBrysy/ltrHEXi Ewyp0HR2IikjgMWdqHKhY54nRf1Vs+zNXjdyOSKuudB+h4OtJdi2Muk9NwKfD0LLu5Zw8WdkHp1t PJumsALeQcjtLFXi+GazWKg4CdWjy8uES3Cv0Pqxm4IWSZUcNE1nVY6mk6/WDLzcJhWXu+sEhDJZ f6hwfdn7RvEAGd2ZDUwOds2lTrVC9CMnnw/CgfHtVNNQIh5PPyW0f0SyuokUt6x33EQlpen5HC5N RQOB/yO0GFByz2zRabDSANKuSgHkiij15InSuGdFgBWjlq5BJsnvOfB/xCQxketTA1tIiWWMpv0n xEBgAClV6y/EANrLtTyTFBbA4QlVZzWiBf0fNUlVVmMEn4AlbJMUgb8XUSdJtmLpsRVraI+CTJMk 5oJ+OKkoKLyhVIfI1pO5oYgpcIDMHllW4iw5WDJ3XjZLWnTo+eCKa9+gZihGtDpvlSn0gAQEFNgT J1tcZ/UTzXZPeQuc0m2Sovh7SOpGh/juD0IHKQrMjKmaBKfPSdZgh+ZjRapeiZYVrVK1uNAE0lwi GD6fgfoV/MNwv/B3gdglP+BfEonDT2+iO22Woui7muCvRd+rDy/FzeHSUuNcHHe/hdG3XA63THv3 l2kvCdCZBmywEB3D43RC4c4P0q8E4FS0FBhBnv1C/nvDZNpg5wjVxxXFVj4fYeL8UCLn4OSXhA77 JMXa2nCIyAaF3yT1qhOedvdoKeXmofcfVpACDKzlg730guHYEgh1oZafTdQWvSZs91wMLEubum2v +we+cjWp3LV9q0wnoGhSSjzfzecjQ41fdd1CcuVl6ebQDZKCpFnkgY5/yt0BA2Ur0iw0K7RIILCD RFlX/kcET87RH+4OjnJqKiGoPN8HR64TeiOyRwH43Mf8OctjI55DQ0jeSZeGinxlGVoXkSzmCS06 fkBQ2L18b3YUCB38mqYw9i5YQ/tqBlRXc1tLam6cpuQqQTkwhbwZSmH7koPH3qgnQlBB1B0IAQsy cAbUZn/YZvI9Rzm3HNhC4rJhplueQQHTSjCYxj/OquejMtPFNDNiQsV2zv7MU1QAh23HppStWClt OmbgnLyupoRmhc9ThD9p4urWPX2wUACJ6cwgUdEs+1wLPOobvATPf3hu5fY4jm1/20h7kwwn5qka rBoHm3Juo4n+ZeAOCdp4+pXush7n9e/Pa7hUTK89j2Vq21mUS245neSAMgF8rjl6DZYKgEyCV9bm g8cBHSLANJ4vYOC3reizAZU8WCvsD7sJwdJrqFSknYlAsjQfvKS72VqAhwCefpmdAgUAFQOK+nFQ TOPypdDea5hUDO89BDphC/xaiYPB7qWA0Zz9FUyOlMj3ksXxeiyOG3yyEwMXZG+LgRGgp+qBwHoE QBo5Ihgo/nV20D1ZPIR4cnPw2aliN9e68PTb7KB5vmx2JKcN6YGWfXpeA6QCrAkQApSPIdVSNr5Y OzNNx3cVHK2cntfwCGsHBZJZaICkwXZXPmfFWb5bopLgx2dTeG2AGZ0/ZV8AMytCn9fgqACPwxJu ZUoyHGcH5n6iPTyQvu3zGhlhbu0AEhmjqgtRbHJe46JiMPiuNjeqkrtkZ1Qsw8azxm2T8xoVnY+B qscgjNsHz0I6IFcQEwDCBIaZIsMDjQPUzA9aGDY5nUfPa0x0viJT8Yau6DQeGGLcQvoeFpRIQlbP n9eQqORrulpkg4Bfu9KBja7uweyQV5nmMu2kCuiOOgApFVebgC2YWa5GO2sTxTUlFTAfqYDzd6yT DSEwlGEdCsmj846DCidobN131t561eDc4YGTqjZYNcESQC5oTo7u7YmrX0MhPN383GECCcWCDiCt puc1ECooirFBkoovHpO2heLv8pJ2qa418RoGFYAQePBIz2U/ei7ojAPDjQOwppMw5in+GxhxE5E2 kMyOlUiMqV+DIJyRBLsvhLE26HYvWJDaWqt8XiMgLJ57LKsPPn+wJ2x2XuOfIjzqljwvZmerF8ku mwwzQcNpqFDKyieanSb2ey4+2LGcztF3zi2xKNE/2mzYFsSgo/JYXrcTOeR9EO2tItktCWQyV5SP 6CprC8Z9dvLnNfTBuZz9XOarNfYtq03Pa9iDY5kWmU7bOslG88XDsqA5jOTpiuPhscxVU9XPrk8/ +2ydjTYHb60FKgB17VPu4HeiVKC+u2Jo8rpfY54ii0nMC7HuHBSnnPA58woDjeLzGvHwDX3x8IKj Qsryk+e12ljcypg2SkLY1OlZPfXORU8abrj2Wmvkvhb3VkKb7WYYMPZEO4VmJ2lUU5NGMeREg2Dc FBziDmprFG0LZmclybcgFdfA2QF5qrH+Ben6z2udkWejrx0eiyxnteyL57XKiAVbKeNv0mOb54B5 Yaecvc8PTowUJqKDWeX8rcxiP5nFCQ0KWPScHjAwiSrp4NiDh3cWCuvLhmWjw9FrgRE/AW7zc+Ss 7oMdzGfBUx+AZaHX8iJPnuQhD9bZhpx/88XzTm7jdVwY6JhV+CrD763z8sWpNmgOGQMwvLhYEmo8 WDg4h6Sf6AAHMluysP+gqwZqpj9zsDe0bh4/m/iK0e6igja9v2xQBbZUCrODJjlAJo221/SLnfUC /t/GdoLmKIqaAd1B9mAitiqdqm+tIIiRT/HL653YxrCZWVcT4K894NpztHegLOhNA6dwov47QtGf BSnIQlM4tWPB1efLBgc0FduarNaaW62Vc5UMPvlztgwfDw7oVL7uL8SkfUwPDd9ZbbjASHDXccPO fqm+yep2GReiIgy+FszQVrqFH9MGm6ETRsO/bcpG7CyfxIJRV9Oi09nq5hVK36PQuctprZiXsSUv JVMUn3z1Bh7Se+yMrO1OkMTtzz7b20+hd0obAqBJv1AT+Ntdjj2Knq9yZMGGtZ5+cEx3ytCDlOaD r6GzSstsIth0lDYIfSxgp6HZ9COpdr/Ekl72fZ91a3GI2e777GTuDeB4ntPAikYB9Mr1KzWFa8aA MpTN0HsELY3yPW8EvXr1XXbOMatOI6C7Jfxohlh2rSjZ2aAZGkyUcO1cCI0gpaT7gUoGBUlh+zKw fnzX6CBqEknSgGe0iHqWNPoPaECfdy4bo6j+NUOZoGOZmnCKoiiaDr3ndLUeNeiKt/4zPYqGwp6l 7+9hNOpthC+A9GHDTVAX89Mtx/kTszASOsvpnCbQR1gP32/QveedyYatTCX2Wdjj4CCQEQUvpbdV yuedyFaEFXrOoQxkF6D2PkPvkTTq0iT7uxndSMX95rOsDdnFh/W5ZigKpfEJsJ2t0we/7s/pfK40 Xmdni51Dm1S3DpRlg20pcnG3fNRJHYXSTb6hS2obK3mseEKj3uSWmvfnncSGEsA9qYeJuQKrdi+z KJhGVW2POayG2BpniErRy84kemQ5hy2KpoXB2o0JKwdPNkYnxpHRNPs83GYFmX1H/p7L8BpiQQ2M X/I+RZOXGXrcPugg2hlGz/gLN+iQUTzdd/mKp6EG33dfPkPvATUuoc2I0bR/GvVDOEO7zMt/mMlV baKIevAWK5Td0mC7rAwSlpKQKSCCKOtH5FiZxufHBludjfeIOttBVIGps0F1oEwnQCarkLSJQmqv 19suAx0r7XtSRyE17qC92jSCCEyErUw2si8hyIj5BIUx9dQEca9xuKWgEwY1HUMTZltVTBIkOShO /KzvYv3Uu0YTRCWtQcV6DjqGzhWoWn0luCEKquv0g5opB+gVYj2wjBgF1cie3PmaHtjdpRCmaH4E 8BF5yDpiHFSrGb27DzZBZxkXiKUvw+91JfTYtz8sc1OWxIrQdAl/Z6xxtTNeFKCoZbNyAxgiVTMR K/nzTlhDKTH5XU/RhAw27613vPPVcHZROHK6bwalHG2CynJnkdWSZfTvdDWuRKZjcAS2wUkhdICC ahDqQQvgjkwf9fOVNM/Imz7qOoTy0MtGaRnFj6ukIiB7WxzfSAwgzOXy/LzT1X5fZZT0p0T1LXq8 09WK/NehgeSLqK/rLVLN94hYK19E7zE1yn0ytmZJcX+XFAuoMmMKBIoaEfv5CQ8QVcmJcUAajSHe yWrFxCPnWrwFF+CR5LoQJr6rMGZlfd65akVuiLbPJHS/SFPxOYqiakTfJ7HxdbSUd9BeZGcTGx9l E492TqJ3phq+npaYWW7h2VkIIIR02HyywFFTPqEVUO9YRx1AK+CMO7gGho2h5sg7Ua24h8/ITDyG h+5IItn0B34o8/GoLO2XGR1qCiogJu55noqiajQ4t9JAFl5Hdf7VpmqzjiKUxhhVv7PUigP2tiCL HHynnT2LxoagaPA9YKWEcqxAgJ68A/GiKq+03Pi8k9SKvMAQCokTsrwAgo5SUmo20vy8U9Rw4W7f aJwOMIXhAeZTFEXVaNjtKcI6jdQd8AHdkdvYAIpMUxQVp7tysirnx3ptD1qC9AFFSXEYnVMb2FLA Y89aP5dQpRG6bJ5RUVh62fcpGgTtU+zeBp+iJB7TSXHW552gxoX+XX8FIbyV4en9Oz0NS6/sC/ZE Da2vawyRnd4AkKAvoveoGsc1SSBo9NvgUXVBHNrYEV9IyDJdfBAZoQAyf6iIcztjSy8bFBkpM/7/ fY4gO56KXAtOzP5556bxbx1fMzTpOT7uNouiajJXKZBmPJnpFXxghu0gypCh1WH9HlW7YE0ZLBFx 8LbzTjsnBocF2oVwuaCqD3xNodEByw/PzMrQu0YTxPtsyukZDCjZw595gYsY77O9P++8tCIqqW2z Hxmr1j3udRaVqQHt2TwcVCLipas1VJKrjQMpZjP0HlWjhsyYCCemDR4TnZinQvCGjfnVKXIxzv+F 6uPG4TnSLaINIoffWWklWxmftso2KCgChpgFELLS3klp5dGEKGqOoO3VqMOgKYrianiobyk4iFKc /SBa41byR8tWyX9npLFMwEW0qRbNwSogBfpGlYpr2G3nQ5O8bAC1gwsU6F4WNrKM8Xnno3ERyQJK mVm+mdkclfR/gIbH552OVqSk8t1FhBjuLH5Uv9PREEpBgKWlZAWQ3JoXQDJLzvJbq+5I985GK+ZQ M2FA4IMtIgi9YoqnNDMSW35AXE1o+UCatT6gs2nvGrToaSdGCq4NSs3ORmhMrc5vkT/vXLTyyEUr NeuEBqbbo48Ca+SDe0gtk56G06lDhWxmTtCcfg6989CKC4533FA2aIKgdnAyD5ajCxKQleifXqDF AePnH3huAvZGQoy9azRBLC924V+64V+gbzesEHui9c87Cw1/qhlnmKIkQqGnlv/OQfNG9E7mb7DP uWC0faiM3VZra1apfqegER/EiJEW4RpsBY1e0Y+Q4OEJaHbtEqnD5YX+dTfBWCb3Q+8apWadKtBk W89s1psn4y2zTD/1Pu/0s1/nNM9k3AfjJvfv5DNM0IYJFPmPBAkv84cAeYEN6vJEQ52f8+cWy4ys a07jHkInCuh7yBVzn7O1ydKQRR6Kf6cHQTUpkvFOPWO7Vd1olj+IxDIWVIdCBb0eUi6fd+YZfsUH CMMAHOfVvMX8d+KZ/TBIWrejzQ3CkFerjBgRgdKpV4soKlXTPG02iq5osDlCUWgkYZ5Psg9jTFaz iVuHuNEtf6CozzeN8rLBCjXFxjnomAbmb0opa87PO+OsPAxGw0BAGn9dKMw74Yx9Nvahiy8hFN4s 5xjJ77HHnOadb4bpWbzAutXRbjfoPItdhiYGCmjn5CfoFZAYIqvqz+o3caWv/eedbVaEGIWLAhH5 HDRBJyw2g6PzVZ93slmRUuEzQXSerfseQmGVmjono1mrY/fLqt6idDQeQtvKH+9UswtSpB26DRYt jo6+fZ9Wx+95sI5fwX4dEH4gBcZ5i0QFv1PNiomtnQOZ2GkOanU0cGokY4Af8T2eVrRpW0wK7ONc Ebf68c40Y7hYqSFqhXyywg3zUdpyzEf36sc70Yw1RpJeChuLZmQx2VHcQG8aO39ApJaE6kqNeQCR CjGeyuypjPd555khb6U5OLw2ORQ7Ts7Ew7tVgLMTL77zzBgv5q/WPS7q7lzA861RRA1Ht3MSeYEo 8acxieOWfYrQsNMUhXVqzk1RcsbBthlyWBjUsEB00tPWZRKO9sbg0Sk5I0kcl6yXjQ4iavcC94UB dUr+9OewT0QcUev1804yswrNV9sV1wfhNTZHUUgNUMRWrYW1/OxaRqvKIpNFtDEt63inmOH1hVrc bfjgbdczK5WqD0C8njN7M2BMa4PwjdfdV6A+DzLM3glm+EFx3wOctXywtmsqCoggA/R555fxHafn ZSxsI64Ck8uAi1FM3RNY1KsK37pVRSUmGPaeDnqdnCIgF8OYmrcYkdU22BSBsgGjL1aIwPKjAN3J bSHXB01+8qXcKJwa/u/0MuLHiOlkt41UfwV/2MKZyT1IR593dll52C7nZ+HUotTZyy3ov9PLWENF LZYEctqrUs7uXGNnGcGbpsikF9bfFcvonV1WRCiFeCiRwSlfZHA7P3A7GReVVhdk/BqrtgWh0ESg DFi7x0TN3jXYabzrUfP2QSiic7JNAv1PRjw/79wynAZzf2X3lHRJxffZO7UMSxeUjwZygDjmizLP SWXGbsDytMkHwiKKitWNLsawcvDhdhXRksNdBLsMKNEOMl4LcmZIaAEZ7hWiwa7iO7OsyLoERN55 B80QJBK3SYPz8Siu/m6aUfcu35jxnVZWpHm0qdrBbZZk/MBYTFyzTZ9nzlDn50RxtaQHxODYV8YQ utit0doOMwQsLCXroUr3M3kLz5qupjGZCe+sMt75AL+iXOODrSEosjB3XSesfieVWcjwFRWhN9C7 R0XvnDIr3IHdYnXYs4S8QjRS86gojW732TulzNkJszQqTHLwXAm38DkRK3sLdRHMDlcW0sRR+i5I s5W8ku/0eWeUGU4KWStvfg7K7vfeS0ph5+f4vBPKrBdgawjTSX8bmT9wiqJSNcktgwrwMlfNTm4Z MjjAdbbQjNEUhZG1jOZxh9vg9Q/QNiY9ZsFOyEtm4VRwb/Be6UaioC7I1LtGFIVFeRmKhHGwyBpS S0rv9/i8U8kMCvY1Q4Xy7sMrRO9UMjzWqa+eDSvc1YSljAM4BJohQEBshv4GgLCwZcNFEQGeh2mB XtFJctpQD3LxcEaod32wZTj/ziO7MKsmglS7BKmTyJZiVcbCx4PLrH7XYemlThF8TVAUWGfqYUsN hG3F2+3Y/dI40HK3CYoDaxxAaBr64FC91BL8ZxvZ5LSwTOoZgflTukwN7Rgi3vOdQVYkso+0tQ0f NEEDKTdpTw1XWRRWS8HTe9ODUMF5j6EwrGYBBPr5EgyfRlqAUnl3hf7zE1kBpIWAaguribUqMqvS QZ0gqk3tL3CJIH8p9yO6YYJ7yQpRUwkt6V2Du56FjzRotsZBFaJzTko3vkIVrEXwj9kvllHw4o2r 7FJdwqAaVbEkKQAW0VZxTDXKFVZEY1wlsktUqNYUQYfGB0/woU8H9XlO0SpkZ8JYhFEQOnBsOOoc spM6iqpXMpmr5IOdQ3UpAGsn18fjUffe4yGsPjBr2ti+y3oYVANW3YbZg2wKCKpCNAXfo2gD3lx8 l/egGjMkFGMZPtgxlM4HVbLUJawO1BXbjghbAHKCiZbF1FLm7zEPUQLhpGva/U7/gtyrLusyKh4P cGjPVYb4G5c9Cjc2QVFEDQOvPbJ5FcN+2WtElar3csYEyEsTFCFAaH99jk5VG/eVbjqT1aH6X1jJ P3M9GyuRMPADYpymyZ6XTYpa9KhQXaWyA9iIDZwgaCIWXtW1nqSjRxF1qo4hYigyIIWULjK/hyF1 xvE87dLadBIw/AccGaxzv/2g7lGlWg4hENLx4aLOgaaF2hf1iABYYuceIEIYZf5QvtjJvsVeNoJZ gZUPLigHnDiiBW2sdx6/J23B8wGM6OKseNtD3QbOjx5V95CUSE3nbBbquz+M6L3NfwbGsd0I9j2K qjHNoLKyZcjBTiIINm3Ki4OVjmY5eXcbm4ZgrsJ6sYs12MtG64jI800cSNvbUHcbEENicOGzjceD 0/o2PBiBQ++v3ipRj4iJsAfDx+uWPydRNqWGk2NmrxKRfa4ZigEgiKpJrLbBwXrYBXlqo4HugcIy zYvAfUPdJN+AaLDU2KNq9SLEqtOPYXW2ark7ThzBCh5QRHvi+WCGhldArKI0AM26i+jPqDoPl+Jv c1zQcO2ORsOvq9SsRwAQGWGXTP+ikq+sLDRixvmpqPwFAkxtcgqHAzcMRZEO3rMIvT18R3DjN3lg sQACTXdpMJbzc1bmBT9IAfF8sNFW/jqtUeds+daIeliw3gRTs+pxllPqSy6rsJsHelGec5Olxs7P +SNoHCtJXyjdGUJkf/YXCyjQbTon9qIxHxbMQtWm5H3xDYtYtB4VrKtEnNl112DY/IluHBOPMfF4 cFw/BWvC9ZLYWD5F73G1iREO0RMwWbNJ/gvoLhwTvPGB1VSJqEdx9Tabmd58uMjz1ICEZTUWu3cz vy+YncHDJGXXIiyp2sv+eaMNVkA4CCOzT+AoFNG5QfF4BEX7roDglYhTtBmKcNXoCJ7NlVTs2CY0 REXktre5YBbaUnIRRQgQtDVQ+pBCg8RcqjAyZzHSfQAiH+cwlYxqBmZqcfEy6DZgNcoA+JK/gqKu NJ+DKiANOpdCk6eCxyM8o2evVbLEKDmVC3DoYWiNo6hLZwj/VKsZ8ZxvG6ZAcALrbk6hPapXL4kO Zas3fslYwT+gFTbK9oSZNCG0GTJKEyTMTNtZVRo7ocM9woDIu7jlMX3QRkNRjp2hkwFMPB5ViX5R PJJOfcd8jii0huPECQxrs7iozBsXVSuMFHh8WvIxono1FA9QH6p3sClaHaJhXbr79EiTgQHx6DCI ASZfFI9CM2B8R7DPJPnZyx30V504XQqy5/MyHg9C64uKZX6GQKq1C9cbUWyNIw+GBAqFzpnTHZ+P asWPJFAmTgpGjiOuVgtjlbIPNkPA55//l3zys1pPhMcUv1CcHzKFIORbelao544vCYqxZDBkKVmA wvYz1BgqewhmOhrmKKxX72/ONGTwGD/YFIXg6sIObLHaRwLJzKlUInoicKQBl+YoKlhnpve9subY 5Z2GgjBQVRXQWHqngdbWSMs/yRhACqhNP+1FqvXjOwLMp9SrpmStJ0vD7MAuEK4RN55/4fF/cRik Yskeg1/5I4qs0euDWLPZOXbZzg+WQarj9eoYlqCNKLIWXq9Qj+EMbPgOnkStnRNg8D4DkKgUGRlp gQNelsu98REw4Dui5uISlzP5YMhqyEGrGDs2Hg8OovqNJMKf1Gi1qgmKAmvw36Hlb7VY8DDsHOrs DzJqHNvJeCMKrMESo4F69sFTj33u4jJluXMWWFctFnT8H9KTMpJf55oh88WXRC0PAWWYenTeTsyz 1sxpE4l+wt+M5//VOFMf8izb1q+sRRRYD5TS6jDSFEyFLbCGGfz1burF4PkjDKyZe6TGDiMHD6zz 7ACZE/N5Uo+amMd11GAhkf7TH0ndTe79iMrVk7TgDAV9G3SZAUDBL6znD8HjEVLG1xALAqhJAt9s ExQCqxfgH8mSjE2JM51Cc27nLxDRojUUAatrUsujLB+8GAtR7GHq+n0lJB0MvZE/JFZk2+2aEfE5 QuUPodFQe7DBOh7CGJCCPfB4EBEtz80EJUI5v9zLPqpWg514VtByGEh1PCOc/jx73fhHTVAUVZNQ D77O1EByCi/7EzWQDUzzxgpxEXKJ8ma/tcLg/QLP8SfgO/4Kh4YiRw5Wzj/rtKuZWgoe/1fTrErs ARt/Xs2qEYbVG2E15UxskyXfZL26315q3jYbYVgt5VP0ZmxwDsw5iFBv5yZjLRZHOiQwJVx2Pm3e 3GxrEYVhNUHndOmA7S4b8SIwtEFAV/pZfS58QJDiN19GBidCufFZR2FcvSlXnQQXdtdUusgtt5ZH 99UkmUdYsTbBLqpWcrgNfKiRUq0FDoVgHheSqTYW3oQMCHPIJn2mppeNuq9MYJmcT3JOBRlvHS0z 3lE7FzwflUHWV0gEqHsv97CeYckargMAZCo9m3t6esZLjFHjIMya6dkMlfOSUHtEzHDw3uJZhY0S 1TiLOEVExkIjrpGSjnTKOmd0+phhXF1M2qv7oMMaDIuuULk1PP7PvgcXXMNl4SCQGYXVyHMRty9N UO3bOvhnDS3PXzMAbszNZliyTlZCqz54fxqCqglUOBRkz6FxYhngrTJPrQ49mesoP+mrM0POoqWv kmkit8nKsXVMCQb2gsf/dRZxtTVYOlzo8IyC6sRi7ChWjB0Xnb/mXm5p2aF7Ip2mkLHI7jTVY23w k+jchGe7yjduQ7iadoUJgm8LqRj64Jf3Sn2CGVesxSmXkH5x3usJOofc9dBMwOPBDN3rLDsLpq+b 388oqka8bjQ4cs16dyZV/Srqp2EX/gzr1WxPg8bsgyevZ1rQnMykTp/gqBD5OVEQOjlulsCFeTMR jjZjEEjmMSQzNJfmLSgNDRIQTuiZ8XjQwN8XSESKB4RSWr7HUBRWV6Aa0WVRO+hcRFaXzWaS5DAQ i6tnFFdj1YLMSRgIB19FkC+rQ4zFBgFgnkM0MoV0wU+WFIcg+lQbnaGWnmAgjauIg5dAEp/8Odlw xeNBc7H96p1BL7fWO0VhvRrWVbObme4eYilS4nQN32cQUNFlNiMUSN9WSFs+eJGoISGrOQmxh8w+ kUp14okOdctVHjYe7RlnFFZXCsH3uosPtohaabrB5xh4PMLJlK+8A/2VTjktTVAEAmFI1Iv3PIz0 OgXP8ZCIFjOaoBBfzXgaLGAfPKw+xyHkiNifrqAH0RNlTN5ekLbcD3N627sGK0h0YPkzjpTNvvLE ELRuBaIRV1kIAvmVmGEJV0q1aYJCuiKaQpXGEILFriu4TNK/mepWC6tnKKlXmbQWYtJyuUIyKAeW OXQMnc/clLiBkMwmbvdcuI+QDNcsviPAETFnzTlvH24NrbF/f96YjwcTlH7p5IIVSlsUTVAEAiHj tVOhljAiegKZjkz3c7rh1TRBUVA96BaP/o8Pd4LWasqAQBM6txcRDjSNl9Mg6MReqyb8fEZBNYo0 0D+UEepFOCwUNbvQer3j8WgJla/MLC8Cre4hFJIVoUWEZp+h9egbgnUD5Ikf01CFtD0WioAQg3ZW e/PB+4pwgdnQo6Mx3Fk0VCic8lbGwZnb9TgZ/rJ/SS3PUu6gAhHURbIchzffMYoXHXxepKDGG9GP oRVF1CAQ7Ca/hc46rCvmElRvmVl1kfwVgUAkmZvbnj7YFJ37pkLThLsMdrFL+vAJtCiaVO87Q1Lr XlFELRXqIXMqDga1WjXx0AY1GI9HbMVvyS9AsVu/8g0rLFSTwTD8IoOMoqPzk3lTQPluGA9mRRH1 2qIPiOuRHizayYVBAMZ+xwShiWt+FLhIYOr5BSPCUv+sWFeP9SGUM6k1mn+sdDoq2CM4qHctnxWF 1PmuIaqZZxGMfYaikBpYVpTXXQRkeIGIZRePF3v1JRSCQNjsqKlrIPitqXvfKvYur/oTazUyZcaC akxFrDj39RVkyPxZYUTN3QWYrQ/CEfWCRaSIeuDxKOeYXxNUUa2dt4K2wjJ1UwXNPOCWeh2soDUD gVKy2w7qFcKqO7uJQ6nHY7AAUiE+StIEFZwjdoM6nThdF9q5ZkSirbhMLXHY3nywAhGgAfyj6654 /F+nEFuKKHN/UWBWFFATVl2HVYVQ4DIPijXEYORdj5+dB/UKyYpyFq6qD9Wv+hB4WHOI3zHgc4qs BByJM1FI1jLVbnSVbfbuV4QA6eQEN17Ti1UaccHbOMl9l+n5zHg+6nVcggd+QYJixxUnWFFEjb9t 5+owIqiaWERdt2kxIx5KPkexBAjxQ2qHcbh1WKANgXA811fuZ4F1Knym82svZLHIGH7ciIpwtBVB QBZJr8XAsTs7faEvb4afOAuP/0v8nSE1KuXEgGmGopAaRyx0agwjM9Qko2zcLGarAI8nyzlWHFKL tzCzD3aXnasLLaFEpZ0KFAgDoope+ImizgyxMKLyEKtg+JJghignsAojBfThjCUEVC+hZD2lhscj TavvxnTlGtreUlxRTA0SMCTJh9XPWKFh674vGsBlnES5WIlxhcDqIe/X0n24VLPBiIq44T3KLDRo LkT2gcWVM/N7AUDm0MtGABBy71Gr4SCPpFIQavIbIeGKxyOFz6u0QwTtiVe/lPVWCK0mRiaZqM5G W9RS+zI8te/njzSlnRVG1ZKtkEE1h1uFhZhDp0kXQseK/XW22RZYnX6dLteEiFIvGxhQLF7Rrd5B J1GCDKCo06vi8ah3v7/zDjCIUfa1GYqiavCm9qIBDbuuw2dobTnAcYa6C+muMKoWGjjvOxiKCGdO K3ThKABsVZZrz28COZmFklwdt2VGZw98SdRUJBZNUsPlSg0XqkKQ4ZFnxePBhb9/XfiAqeKe1gzt KKimV1AWa6HTt/spDy0XtErQfuKFv6My9RCqYbTqg62hMwGAvnSCGxLc/Sht3eC0AM0mXLpe/JAh 9Y6C6lboXYaWuw22hLpR8WsafDyiwHgVlg29kxz9gBriM/QeVQOJBpLQcoYH4WfOo3IDs86DSorn oQYIRRdP9Fl8uLusLFTNljCxa49payj/TEj75bweV0Xq5e8QW13lrsy+UMnNK/lwthWS7Hw8Hv+X /KCg+MA1jVtk3GFYDfuyvaw9dpZQ2SZAuLvI+AgbG6T1NUd/g6vTqNkH70xXCOAsVojoXSA2HrQ/ f+hOPMtt9lFeBd8RTFFX1oqukAYlr8yF2Zk+WT4ej+Dnt5aP6QBMC/5XPkUhX7EDfzZHsfw+meYg Oq8lOf5juubXDvEfgun9NxKt9LMnBitW5QSQZ2oo4lDonwvthQw4l/eDeJ3tKLIGWQxkV95qHFRl LLOpeX+CSb7jvxQai2WvtS+/8HeIrD7nwK7JFa3QO/YZGvVavuC80gyFyGrKNeWsGlF+akQTFfpF qsC52HKjAttgcxa5FEKLfItoWP/4jij1YPbaR/dBa2ien0fk+ZPf4/EIZOUxIycDkC2gBWyCYmE9 CQxXa3a0R3uQJq2mA5LMW2CH8A8TiKVlUPmyDEqTvHLZc5+Jbon44gk9Bko7NJSwDZuPOBnfEVSI eIklmFPbYBWiIRcoHHULj0eqcd/mC1AL6OOi0HYE/0DaeoIC7jGKES0jwYBKbq6lQLxbPLQj+Ic6 iglHig23dY80DH8beVRriVbewVk46XEVF8+a0r3rXSO1JtKo6mg+aILOz5EIzT/ZUcHjQWK203c4 BCZEuuHQDlVAoAlfh+nDbeROvsWuJnzbFDVET3qH8A+toKQVlJ4VNEG+GFQAgCLa+bMITadQcIXj FQN/iVfSohffEcSLmWm9UCAcbAWd70vmMbnxeFBBm9/REPqErTwTFEXUg2kZWeBfNKpGJ7Dmak0J VqqaoBhTLZKQQGj1ScvQxIV+zFKnA2cy1ZroVQpJqlpvp2PvqXeNxJrYre86q91STyQLphG14AyK kB9jfwfUQHn3+dz0UUDdOt1xtmsR7XE9b5XC0v5luTvOjoAfk0poeajTMb4k808INLsEa1c6e8rK 1CQozhOezFluLMROxw71PwpRMbQl0WBN+5Sb4ulWGh7/l9p5VgqHtkC5qb0Bbd6+d0q80sAxi3x/ UwCp0itAU/y6lKZQAqTRUIFzpcGyjnMNn0ys4OeCps+5xzJNcvK5qc/8VEpDXQjR2va6AaGT9bOy qxRlIA4tBTgItBNJ1lue/IDgsG4XVi3DKpRiblwtxdDX5+ADM+hQDRZMQ0FKAJCeLO2HNFotPk9x ZN3eXd6AAjiRB13eUq0ARA/6upOSVwVxuYo7jKzxLRHCoYgjlH3gAjgX9xQMF6JyfD5IPx43Kk4q oNXp8qdlNfz63AC7Y2/T3JF8ptrT1YTQT+yXvWadU4QDqQx3Nr24NXhZv1RgPBMRaRuCzdxzCU6G E96meeRLYliyKU1h2Vp6KShFYYCNAoMcwEvUPjvXweIHBMFR/oYPM+R41JtyiirXkELbk906mrlK DmwSMJizTRPOXJ+m0FFRFXtEyzZ4JguXHEAcuetS3XQe6hAE+5lAxhZyPSyALNteN6jM0rRrURBG g5L92bp8emC0zucjUNo3Ch2Od412PjZLUZB9fmoks4ynMV+kJ/Nsqi7tAM4SZWO556Ly9ShixBi9 ajnDs8JXb6fKIBLiFOfHZjaLsGwCzpjnVXAqmfJE+JYAqs8oKa1efFAi0qocIWEPkvl8kIlcyQJi kDL4xXON5wwPK9h0YCLpX+A0gBr+Q0RUHtPAaZAhFTgNHxRlI+xYJ2Uj6atjDduKTqVfbDr4V5B/ hlIILFGQr61bfkzbXvcvAF9vlAPjYKupz7QkDpI2nw/CyRtvy8NhQ2HtOcFDdZCzxwC/sl5IE0KN q2kwTVk6wbcwjjlFEfcUALTm4oPvuXkmrdBStZ4YfkFygCf42ccb+BmY5PrRBAafviWSchI4jZVI Dpqlen5HpaVngfL5iOz5jXMEN7ohEvZZCiVCMuUt0Ur5j3AiwoQCUYwQ20psji/CB0VdR9ZplxRS 1vBZgttZXcTV457L7LgRyHcyxEmj2SdoIvGXXxLhQ9iNR4uAw7BiPxAVkgQ7D/H5iMyYv5fS+aso vuWTFEXe4HgAY1S8d309OxNqB+Y0NF3AIKdIJ6Qmda33Hby7z55XL1tw0AaRMLKGNwWt9w86DB4L yEs5xT4xPJAStTA4KLScPZMqegLFNvh8sN/qBarhQZTSJ2vaNkthPRuq31DhsSqSFDEwS7OaBm+B orXbnqWwoL3kS6kV1R7zzk4hjE2YyMaOrlJOQ/+54w8SKrOq0OZvG5WRjEdUfNAsdVhAKIVjYBlV tJ8evxgjk3Y1NxQITRhRgwdQxGYpawGxpj2LC6ZmyJaZwWCIFBkkM8rXvXz5uheU5zsAn2CTQEKO seek5gz92liIM5dKWk/nwIbx6hg0FiSbC2ydCH+e/zJtYNKYfP5fHUiuJdTVxxMwhTaMuBvPLM1s 9Tb+PsYFyd2LAd1TuRz4MAK0SYK+xPg4XAA2WhebjKt5jg+2/dA/AXYK93ft159bZh859mEUXm0R /yhPQvu7chcCe5XK54PoO3+LF3EpfcVLsRMjiI1dbnDId4e1kuAzSMX9QpI1iMO84UIrRkH74Kvr w4Xx82LDsi4naE1nYTH+hN55JZqLWbkmqTR72whUw1SXPhQY1Kg9pxkglWrU1sHn/xf3oUIkxpXA yoEZowqKe00vCsDAXJN05giRKE1PG3ezJikMvcmZaVpJ7VlJmKMTI1H5ogCpu2sRRAl0R5DLEE67 KAab2TlwY8QFoQ4k3Rg5CFcDE0w1hs4G5vPRdrseVny7RtfuGyyFhoyyjmGdhkJYxUA2SLPTXUpA Mtl+CxmOooIUkdTK5RGDh9iqXJpQqe99kvAwUJJuOEqy1Dvyo2KUQ0/GxdC7q9LdJVHA8lLbm1Es TJD5fNCwXb9EDVD3eyqUOfBkROUsUfnSarjdy93Q2CaUlrOEubS1FAbeckNLYqqlK+aMhK2db+nm sLOI9z6zBI+RBo39tbyVlKfigMCV0VFsHZV5GwRBOvFXNTAtDPVCW0ZqNjxxAM6RUp6QMvRlBPly 12HCKic9GdtDytEsjTvL4Sa7oTEjCtY4kUv2wQPvs3dhRAH8SE65FBVZ0kbZAiL2anov0fZVYgqt GSmbPje8Xm3wZFc0UnAXN58PDu/yq3NLQRuc+j5NoYgI4H6rGEJrU5ZHpZNOmVJp8aJVbtMU+cgo 8t5TMIDZbyXuxI3UQVHXLe3C/tworEWB9LjaEwfUam8bYUa541C4sMEq3jDCIUJi2ktGSit+MMmx GgyIKxOaA3/GzDryri2ZAESfJnRwJomyRKIZQf7YJiksem9aokFx1IabxCW2ApTEnSMj4VwC9y6j cEKdknmxSGna6wYK8+Jcq8Pdb4f7RGS7CtZ4Dg8+H+UnV/ZJ6IETdbX9RAIhQBv+cX2Yiy70INwr pTD+NyvUfbdcjCVh67aIhF1uJHDeohP0T4L6Ar2YM1lxhw4IdKz2SGOtZG8bqYVS3EC4JA4Kl+Do LTevE7Ty+ShBue5oRCBDLx7GNj5NoVcjiPRfwLZKVxcxQ8eoDv3bDmzLoVkjVRzR4W4++DQhQWGF GL041JuK1DKANaVYbbs1XQHbcujWyB7K3PLKOIOxRSqi1i235ZX5fCTW94s+i5j2xO/3YAoNG6FD ScgZNY3OP4GK/h+JkzeGScCUqHDCWQrJj7ze0hRuYj7OO+fOP/9voV7GntCmY6OpQTSl45Ci0LIR 12RfHTo2FirSpK5st3u2ezYamLlcTGXy+Qhp+12rBFuv1SdgCh0bIbqzBfPB6T0oivof+iiupRIK dIeLF74Dy0ZcHyx8F1KIOdjpvSAaWRgUofGBUvhm3eQcChNQTlPkH8p2k71uBL1hELCI4uqTBQX8 ZZAJkFRNP6ExP+Bf0bcsigpyuXt+B6aN6CtQd9bJR6WbJg3UQ42yRYcZP5gC18aLlCTTWMNtN5FL gBpSPXHT3sSS9onKCdTq4BZUn1tu2+tGlHV6pzAmxbB+rNuEZJeNkZNO8fn/iV4DDH3az46LPWaw 43gQymNmD4u+5UahbLdOA0/kwLlRlWGIPFPJmIN3LjurJ4wrJ4iQjd7yJLERSZGB3fLm91z2uhF+ gtOz2NwtkFMk0Ba2SgBS/YBFDM/4wLzxV3+gWTRQ11Wmy6F7IzB2u9HDRCATp4uurX9TIJOWfZ5i lAmvuUm+KAc7v8+EUKKRDIATTBk0Fxg+3FUEVDwMAH/bCMsloqioWq14VWDQKAp/98m3+Hyk+by/ FhP4Bm1fIE4ODRxZOtkUNUFqcmKa6zgDVfQfc5wZINNqlkIFvy4sTq0+eFmgImPeYvezO9Mo8jfY sISPHK4Z6R3tNe11IygFyZBVZKTaXVjsvPjmN55Z2nw+cuX5ZQ2WFJ/eWYrq3pTKrG0nB1PUC3mj Y5u2HGCJSuUCF0d3T8tDfKRx+UhnrrFs5hKaohKfg0sOpkpi/bGYT0vFkm2WQsAJVZ9bkgpbuoCT c66zNXz+3j35fIRQ/qWwDmQE7EzuNEXxN+DVEGAbXmIyItI5W8SuJeYEl5RNU2g8k0WObNmHezJh bdGusVDOJvNkkhMaLFxavbguilHxS4Idt8zYevmgjLeWKvNmWjnmwMsxfXnzUDAJVKxRxnMuvYff oL8TbrKsetJaecBvXvnu1I+zWYpd0rmPZto+OIDyZHEto1sJQUimPIO+KlCbQ8CaIejOoqFR5/kt wWKiFj0NRm2wXO7s66yabs18PhKn/a6eoODfH0nIHBo6YnbPeTq8P7CmV753djX6czGxFYVz6Q9H R5W86x18yzUQcSjSC3zOmSV1EgCPIpip1oeJTDh3Di0dZd3cqxVRqlsazH0O8KfwHXg6opJ3caYs bp5ZpgeFz1IUfe/z92OfGRa3C4DbWdwaDqQ8GVD3WQqJkpTXy5nEfw7eH8C5BHSUiYues48KtbRi RqdvjQdqqkJc4OsoH+Rz10/GTRxUrzynw5KBDHu7obHj2L7j+tVB2tcxLMfWjtDW6IxcyS5pw+84 WCoY7r1B4MZmKQZ1i8W1lw9OniCcgqhb9JrQqWykl5xh8sDr1afp7M5prxukcjRUoxQgBvx4vJha OrPfpbvSMz/gX6BcAuKQdK/2LKaQMUlB6NIvKjddCZLZnGKSISJg0xQ70UzBvO7gNaZyZmpDm7gC pAF2ITWzAVCb4JrlzDJP/eqBBxaP5pp+NpgcaaC3IaQ/VJpbkRfYyPyAaNN5/M0+J4/D8ZxMYfyN uu665O05evcqU51+MqHMaFlKYPJoVaYJZKAPF3gCoZEkHZJxYqLBelSWY0QiWek2CVBD17dEFUsy ucRwz6ixK7M4QdMcrCWPEwfxA6L4O33H3zQlmRcEnwOzR6Q3WEQCdm3+U7OkNyW2BrDf0auwykDo 9ti39Mdy8sGrcdBKqMXoJnue6yCzsnv24UTUcEKch5GTur3un6yuzV3Hwapx5xpwknLm81EB3Aso jLXpjTefsykKwFGG2xLvohQAcQXSkyjXh64X9+rLgeUj8Kw8lKwMvvIFe9XzP3OynwhhXzRWWGXC +TFQiFuPFCLMH/UlEbFLoi3PoPh74chjO/Jc8Hw+Ml75VgMYBOLvGzIFno/iOz0qCRtQLEPEdR5n LAzkcQsDf5g+Egq3Wf3mcKvfaW5KTEPKLp3QBulbpwML8aqFyo3acmsve92I787jRL4yHIzd1fAv fuHqfD6KLG/8zZOJcnr1OcCj+Htt3HNwtmAtrqcr1JYWJclYP2HKpR0Xxt+EnID85IOvpTNLJ6ih C/amnTQtelGMhanhee2Zr7AEVWT4LZEsIqtwgEzbYIupria0wLkS+HxQZcq+mFhQgKhGz1cWMYfW j7Cy1wFOQXYaVRsiTgbhaPJSEVJYr9D7kXrjEEDOPmiazlosbYyK1QTMGNR7zro7+wVN9QQOey3j IcL1Ze/7VzxQgCuwwe65M+NENdeEdC6wf1Rl9eueQ0sdUjU2TaH946DNSH+MWG6daY2bzeV6I/DA /xFpIWLLc6slH6x/mSC4cq4kKiHDqrezf7kZ00EWFIwvd8gk9T0H/o/qZaPmQlRFk7csWSjQ5CCY m4CBwADyl0MEj290eMrdcqH/I7Y6UEbVinG1O4ugqa0i6MkNLUMDSDDTyUApPnj55BzslcpzSFM6 uF5oX57Ta6IVntFZ9lxuKGQKHCDhjM6TJLMTzsFyuXNiF+lMDD0f3HGPSyYvxAzoya0LhB6QwIid 3GSvCz1xso7sTZTxjluJC0wgz49cJdkmTop+V2lxDEhlpCy9m/MPo0rvBvKttPYy+XhUT5K/bYSq YCcF1lXQ8sH1ZH/Yya7If4GgJz8g6st913V5erf27Lco/K6oC2zdZ43YE0fErXbl7Ro8BmyWwvB7 ymbkGZyyg2JlQtULRfSTwhVSTydhAugSUXzXHWu6vW0AqiDUC740Ptg5cg4K6t/AmIXPR4i4m/Hy qIfhSZrPLMXi2hsyHNk4BPSNUyM8S+9fvr3Je7x/mEG2nzdD0Xri+xNLQnEI0tH5TLlp2ENlfauV crEn5OLwW/6wPzp7m0UUDioy7WQXHjw2+HykffMr+C5M465kaw79IIHw2/T1ZFhp/0SqRc8OQk24 eRQKBIaQD9e7Vh8uh2CgdzmZyyW4lrIOBWV1lsl/CEu86JNtrxsQnMTckcZ2wTGzDKsL9CMr2ifB 5gdEhd0bC1SmNIAOzhtZBq6QwGkJYGlg3aqcl3p3ZbnQFNSlfTlF4XdnF4V3uw3e5AX8MVfWkxKI zaMSMjBH+1nI6ApjFtt11HPLgTGkMnsIBiD+1uDxdx5SaDk/NJ+PoEzf/UsmlHNeeY4cekNCXHkv crRJSdmu1767/s1OTbe1fJoi+AmZOxOmRD5YLAC/i5khpoEGJi46kr47NApwh//AQviXYlkO3CGh xTxJANvDB11z/aTlovBMtC8De0ggLK9Hy2bGi4rcRXx9XgOmikmCAMWalqXkbcSBDP9MU8LpYPBq kl7DpXp9EbLqlqz16/jeMAGwqDLBfyTz+B5bdsX4FcfDbUK49BosVTkZNfgqNB8sDji3MMEu7QTk n9dQqaIAoumplp5UtPSIQCUqKJgdIK1ODrer9cDVtiTDotMykw3mdpOTYHbk8ZOxwW1wmECD5hXY D9CXQqlssQHXmvTrYFq33BTBUPGv03PCjEFhSVTKbbDpQX4gxf+Fp9+m5ykrVYLggBIowHgNm5/X IOk81qmRLMfnCeWybXCTPatZsINrmays9BoiYfWwS1kh0GKDB9uYn05/D0C71t6S7cKRUqDWWPaF ME/ivF8DpIr2EO60c0MmHzQ95xKwKLLv9nmNjir6AdWmR60oFfx89bwGR9xb5ZfpSGku7pJo5MHa ZJ1eJnkNjSqqLexOFppncrDZQd7aB6/XAjn4ggrbAIlpw6vuHOqsxmt2ECt8XgOj6to3fXRe/CCX FfW7z87aDOv7ucA/r3FRzVeBvN4OZWlsdmN2XoOiCspJoXG4zQ64otYGSFRCtf5kttDxNSTCus+y 0ZDyTXuUb8ZGbwqen8hnwYtX3XbTtBcIKPSFbG/xj/y8BkQ4etjBzUmiick6JSeybhAfwHFy1ujn NRyq8oX9Pnpg5JG3b63XYAg7EmYajTIGXDx1ZV88bd7FMxwx8RoKYeVSbf1c5ckHn54MvSTkBlAn AwSqUrAEf+tsi8zNKxaQGFi/BkI4JauQSdxbyb2BT37WSpUSYF/l8xoFYfHc6WHm0eGzmOzf+LyG QDjOz3Le0JXQ7OyLk9iUKDHPXvJ86OcTzY6mZUr4d17BGyCIzqm+qEUKxdpVWISDFzTAgPCEQqfS MlgZIER7ixf76EIBoImZzUwUguYyPKp4xffpKbN+7S0WMSkZz+l5DX1wLqNAWx1k88j+gbnhzaOz txxU+hr43HOZQmw2ONT9JKRwFWMRe+LeQpI7WOKfaIvluh8UCZndr3EPFyhL2JmmYiNfU7GZ9paw 54mcPq9RD9+wf8/OJhzJT57XkiO2VkEDslqj/6ydi4xY8tASQWkaFeC14FhF5kWlsRUffHaw3guy qIpYmj6HOHnwe0Aq4oeqL45ra9Rti2ZH5cYi57ViLiwAl9OfEvdiwdPvszOKrx2CKfEbteJb67XS WGXEfmZ+CkeDSp+V0DZh1Vb46Ntm57XOiJiH2hJpqF809nMug2rXCMaiDGArLGwT4zobEnoWmQ3O Rpuj1yIjfoHF/j4OfRt0LsN4Tfk8SkOvJUbeWv1rdtAt7naxk1YXTM/gyVOHFc/WGH5tLRWLyLZp +3IAw/lR11pGtPlhJJ0w+Sy9inrWicpg0JhIASxsy2JvERVpIrZNcgDR8iEOuTce0N0T4dJOUpF4 wwOQ/HmntmF3meqfHqOSBzsKmqEoaEazC/19E7E968Y71yWbwPa5ufrt78dRswxoJXOTH5kbCI2f g7hwBaXzZGOxI+MGW5CKLCIEVWXxQy8bnM6amsWGY1/u+ljOHhY9HafD553Xxrh5fp0/aEwR+GQz FIXNFGgdutHZ2293i40r49JbTY5fC2ZoU+a31HwHL5rRG6DRchj6ryeQYNEsUdUHBdV9Q5+l9D0K nCEdSAWgO+hyL2NJW7M2EJHeI2cZAmiCJIoDIn7Kd4ai0Blh2wmY/YjulPAWDnLd0BlRnbf1gzO6 sxuUE5mkHBwismG1NnRGd+JqqFiPgKgDH5f7fJSQhdB+j54lvoiUdDUflFvAzFPHUAFYNIyex3cA BOmWQU00zdB7+CxUHrzCk1des8eHg4xXRkB5jVufjmZo0Oux01C992uoPk82MSph1+BDnFutqfgI wEwHHbYjeye4L5Pe+Hkns1X1BiFHJQEABGiCUGWodxCQcs4jVMqCGRKByncZ3RcaV6+mKAqhIYWz ZypmezBTvq6YzZQAkb3fAtB7DK0jn+ZPzYdb3GjQ8ZWDzzmQ6uoS9UdbHi3+9fhCEHf2eaeyVbde WZNRIgfVXJELFZG1S/m8M9kqTBNuHKQpAnhuPlP0HkcjN0GXQ05iOJOgLvxftJoOWXJNURRIS5G9 DAHWxgNYS7CJyFXN17J2E+d9oNePirVYNeb6qKM6CqRVb+2ZASMHQxufr+DmaScf/ryz2LCIrCrt JxGkcdCvsxmKQul1/rKzdKbV7ptQRXKcb14gK+gCaIaiWFolZqhm++BtoDTOakTLEuoRELxjIgbH 55MZgHpSKTi+JITc9bLvUzTMvLgOH3QS7WXNgrJBiIyi6ZF8inguw2qNUgSaofdwWrfQ+dhkJcTz y7gbHWS4nAHRkovaRPE0iEMQced9z8G7idBdR8uHANpxjg2p3yAZqlRse4rR1tt4j6cV86HVSi4N B22zQi4KU1Uo2kQB9TbCqB1EUI9J+y6hKKLGEXt+ATfw2ZR4tioZS2dw5y2UMOIExSE11k5LLLJy 8Do0hDXoq4JOYoHKI7Eijfyqc14t+j9atX7qXaMJwjm0QYOyQXDHk3TuYUZi6/NOXGM49V0JgiFM mXePvbPWeHoRgz3dBJty/Lzt10h+2xOaoTJiHFOTk51kHJquceiA1N+JgljsSDAzpAkJZJC5UcrP pH2xqtC0CX/nrFXBehBL7+2DnULnKiD8rp5Y9fNOWauPGvv5T1kMynRa83jonbHGeUWJTGAiqiA/ zqG9uz0v1B5sht5javAD1fFZd7BTqG+YBkKmgY6PBQZIiKnhJAhRUqp43lOIxcR3wlqVcx7qZJyi DBplcZBjYxMUcqLz805Y43JoX8fQpEh1vaXoKKiGbRxcmO22b6u6C9RWMksn9eZ8tXe6GoPz9H5Q t7MWIaBddFCf3xslViAc8w8KROfT+qWukxz+eWerMSKiRkSVshag7ix8QLGD8REl69fnnazGKV42 RVK659Hot/07V63KOxtFM1tFa2y/7afEWmnQu908452qxmCFCceiuBYHz8xyYhKlzAx2c0T2ZTiu LWScFSY5jo6h5sg7U63KLK3B9XX74A2N1gmiQMfk805U40H2HVUD8kJdTpuhKKpGALeb/B0bbzXH pidq31nekawo/U5Tu/FQhgqlDXcRsWzcTLFe7tqDGu3QYz+f17GAVHals+LnnaXG8geVazYdNDgY ZA+mA7zMRpqfd45afZDpVYwZiHJCTNGnKIqqCUw/q98R17sZyWGNcqeoIo3RFEWVaXEc/luaracC NGwlXx0gx4GZHyOBnLZY0t1UI5W8Jg75zztDrUpfHykHw2oOF9W4KEBWz7R93glq9RGIsOsMKLQy 7mEdBdWAE24FMBRAoimqCiDsxjK9T8U7G+/sNB7WCqqV5dPQWotoQS8bGiJcRAjf0f0YKBf9kLae O8s01hdbetn3k2jRZh6z7gNnCBgVVafLuVU+7+Q0/q3le5ux1T/uNouCauhAnaNud09ep8uNDW+X nYgIvWid1e9BNZAQOKvZ5LHBG4ewOSqIpGl70CvoJnAKp28n6kTlgcOUoXcNJkgGNUnzBHdeOcSf cy8vJjrwAfy8E9NYDvPUjH00JsykWHKGwho1pMYGDzqWiEit1Rpq1WvUdKLSDL0H1WjM66iWaM3K T0h0TjTV28EqxoRR57YDUkWAsrhYKqINgoffWWlV+oEQ82d1iYNiIkp+cLLASnsnpeHx6ek9y9RY iYiLbYaiqLrCCWoNL8QOkh8UNC5j850ZQj1Nu+w9qkZ0jhmCTIMPlh6eadkpCUt3Ij0w74mToR8d 0DezXu3D2e1dgzVEVdamWppscpWXwfqDaoYwE3uno1WxNywgUrcRbIbhJ/U7HQ0n9UAln4qDLMRe 41BQiOy6Rwps3fl3Nlo11NmEs44PtoYmhBMLr9Wzy+bZZuIaZV5M56XnuJVqKlF83sloaHPxtj+Z fPVBiRmYht373Z93Llp9HPushpbZ9y4+QVFUjcrbpv+MFYiSO/hUmYmxQLSbbbJ3IhonCAsDzjQ+ 6I1PCAp3EVL3T9iyy+7EyTSAfQpC4Q70SzdOTNG7/jVBRQWi4gWiArUxbThU1D/vNDT8qWl8p/b4 yZ499k5CwwqC/hpaQNpj564s3uxQGZaCh7wDsMfeOWjVbTMqXUM0+Aqq6PYX4RZPuIPdQQ3SwhMS FZD2wBaH3jVKzHAIrcZaIwdlrqiRZoE7O18xOKaLX/W8tHAdzORX/Tv9jI1WQjymsYd7MlYj2AvV 9bKA8hUv9p19xvXLvSUH7PY4YA/yRkqhbCbs6Ba1xk+0XtlsBzR/XZNwAiY/7+QzNhOlubbZiW4I ZBTkod5MhP5MuXzeuWf/Vf0g0nxub0W/U8/wVKOof7c1BGcUTdFq0qkpSF2rF6rfmWf4gVgeQkna BzunIfwIs1WE1DSEWkTKUBz2pCQnoPfaRx9FbxolZZUQczLRORhG6FycnKna5/y8c86qBNW/UDAQ xt8XBfPOOGP8tS9tEeE0BCQt4+juu3IiFsd5vBPOMD08g5oow+2hDKNcBvkC9oJgQ3QyEHZmDVIN QOf1PJhMON7pZljtqwjxOnwwjCLsRHiGnCD18842q4+Qgc4g+s4+MKF3shm+VFAPU3sgk8cO6d2n 5Rvg+1px6J1rdqtnSLp8sHzjBBsnusa/QXOjc2TTVrV1HFookeQ9H+segoLfuWbVXOYRAk0f1OgA 1Yx9irrwI75H01gM/esQarwg2p2hKJpG4QxQJ8cD1109I9vWHwIdulpx6J1odhuuNXGGqjT7poQe 0GSd9OqDJgkwH4gVceuSp1/yuKQX6rl83nlmF2rWQKdHiRoKTtwaJ+8FCRm390lDPu88s/oIiOmm B3r3e4qicBqi7lDsM2i5wc4ExcvZpghFcpuisEatA1q2oe2xDR290V14FCHLz3E2iMUjIpaGHNIy kr4xDq/PO8eMXXuGiSlJyn+YZUHJ51+DSwoJ8+edY8astX9NERrx5qzKKYriaZSkNv4Oa3QIci8F f+sPga+YPRiKqtSzqCqkIuy6RVjAdDv4LlIOa0DJTIr1nS9eicIdV5oeOpF62aAXJMfH1u6gkwhu WarDnz/7884uwzveo1oYGjhA3XDxnVyGLwU/YVWr3m9ocRoaeEoTmmhXdEyoSv/OLasyH8CFJRfj 8tCmEoLEhI4osFtD2olEnfUTSuMKoA2TmYRTvP+dW4ZDQRtMB1ITJQN5PYKuIYWrOj/v1DJuUy8w qqGIYnovt9fxzi1jGIVLrJAWNQhtAASvchXBetH8edEMxyp6p5bhFyLYHmBHH3wVdURFKDpxjnIu 1J+rSLEgf/wDX2ePh1rRu0b7TA7GdMniIOwHXp/XEezWPu/EMhYfvnMOWkmki65655VhEcEECt6w P2KYbzJfSa/ZexikPBcKGWIRRWXqRumitKXztB87kXPTjFrpNlehqQIcLMpnyFh3QlluX+nQsxa6 XjZCx7Bdlqj+zEEzxD6uKTnz8aj0kb5miJJ3eXtM/c4pY8C4KVxkoHvgAJ0RPJccDqBV1ozq+k4p Yw1Wwtgqfcyn9DESfHjRSsUMZeR9jUqPgL4ibWUg7XrGJCW8U8qIXkUwnTaZZRw0Q3X2Jdr0OiH1 O6OsPlQpmyE0TvrFoL3zyRgm1KvmgPJZvcDyMd1XdfCA4FH9Tid7EvtMyaKWr2TRwA6DlVtjxzXB 9IZWdOhhNmBv1dFX4rrojvHOJkPiOpSxEoDPwaLqjgo3/ura2uedTFalmPGERPREnOse1VGRGlAC lIRuv2w5rWVM6xDBLsYLjO9MMq7g8p64nrjwxHfmZogSbyFzY4HRdK7OfI7X6VE1iUefdx4ZEb64 xBYqfDZYVH3iEdMn2OPzziLjdslfSwg8h9rH8gkKAdSdhg9GagXUyrExaeZkE1ShqaMJiqNqeRGz ocjBsrIMySMFRAAxrmFamW3xaEaYt29QrRUUlag7OVEjMSzioNoQXlod0134eNST/s7LaKKe511B UVANaZMNGrO3E6+nKvUpr7CMFc/eyWM3qGaP1wZPO866OacY5XcGteYIfBBRc5KkcLOOQZjnO3fM EqsTKaKgZIMmaKJrQBBjw0UWhdRSW/QtJgWI5Xl9C0NqVqips8DSxzY4Iyzw+I8ofXR0ulj6aDGM mnLzmS4PHPyYzrgGsbwBQDtbeKt5jfZyhW5GY22oqXiW9K7BTU8OOUCdPqg2VKE8QW1n6IG1CPaB 6q6zo/BfJuEv3xkKI2pc7YnYIEzR2Q+1O1i4UVGU9bPRt3Ncohq15oiaLjZ4n+OEiJuZOI4hkAUJ bFgsPAz03ujcp3PIDuoQ+sF+/aIs3f8x9l1ZsuTIsf+5ljpzoAX3vzDCzNwReTnhVXz8QE+/jswo JIQLExrsHDqLh52g1srA40HX/gHpcZb2lv+f81yiqBoHJciGJi1XqTbyf00f2YwQ0eU9qlawcXaY LLD7Y4E9AKebUJRjASSd/FWYa4owoduPnMeCasny95iDSJiwTB/7NX2cDYLIXAYnAcDjf6GF1Qo6 HybBNE5QFFKPTY3iKWWG3ZIXYSW3pQIa+PY2QRH2AyXgMzOTzTIOVqXO8BIoOqpXTic22qyRVMgc ISbZ6AorL5uUtOhRlbpSsanutn3QBKXeZFtwItOJx/+kSjHLPb9JulXqHobUqBD11Cy5P2+fHPmx p5fxC5XOcVT3qErdrfBBwQYOdlRn2DzurgrRhO4E1ZzZYpgIYjKlLozoi6AGX/ILAu1kN5lDY7+d C+dcOMInQwkMzwcAovUPmywjGOojeUOxh2REoPRWX8aG7lSaBHgxJbnyAIJSQWcj9qNHUbVK+UQp 2OAovQylc9BgQChLOMtpYwgrPggKwd7rEVCnnkWPourKbvRoBJ2jcWLEwgyhDDYJT5iMx4M52l4j ki09aErt7rOIkEjB1LKtWQ9HnulIT4EbWSPCua8ZCqNqFhorNo4N3nI9/+OEZhQFP9OPLUhUfidX Gwd3viHRYJ2xR6XqxY7raEOlfBQUizKz3hdbH3BaxvPBjTbXPxttAJLlF1oPo+pBr8M9rURE5I8a ij1bVH1SWyB4ceX3mJTIGQJ9zwa/ztA6nJt5BwoGfdKDdaIW33HCIdLxkwjBPb4juPJJ7GAfD90F VCoZC2eoW7IfBIF3PP/nPuMaaj8sg9kMhcVqzNCQlepZTWmQRJZRil3YUmq5AoWgGQrDarmE8sLX 4PghCMtvAB0r9MV3oeRAByLwh8KyRRm2aVtOvWy0y5i7SjI9LVePy6MAYMLEY0w8HmHQ5vdJNGU2 fqfoPbC2zANBjJSszq8wKP2VgbbC6ZORvEJOgxWiHgXWW3IMkLCz4aJjEpzgluqMsMEiTq8BzDMQ leSUXYmwUEUDXxJMEdtsbcscbLs52Jme2uVWdFIdPB5xW32bqUMLWkC+EVEEqO4USNWZA/NZGjf+ R/q1AJPQ/bJArkOLKMJ+qKlYxhR+iJo6lfQgkH9pAgfZgYrzg/cZIRiQLsl0LjFENbqg+JIgJGJe P8TBGw8Hr5/VqTVw9jceDxbRhTZwT57fvUohyuYojK1xGFwbmS3XVtZiU9sq0JZOcLbmKKpWs516 lk8dPji3Axg0Lg80txKELISxOj/GhIhJpt2sCo2dmOEewT9GEg1v3kEbDb7vSxgrbLSQqNjuHMkN JAtuY3M0osgatV9YXhkRD0Gqx0VPjp+3W16NqF49BTjPDI+kY6g5gqcw3LOGtJnKLKIIkVZFNQfc uiR3UBua7xpUGomEBZTdB/1VJ4Xty2zBMh4PWNJ9fd348JGgrqZNUBRZA4iDhrQioXMUEXe+aKCa knE7VncfpxEXq8UMUmuxPxMEHY4MzU/p6GyKl8LrilRc8aS9+Voo5Y4v+Y1HXvqSATYrUlxFlZgk XOMNUxQiQLJPEUuNMJKCk5NNUYyqhqS19tlgNrscRNSEcEDc2IC40RxF9erMStoE75cD4w627zNU 8aG4jfRsoDXHMLygvwU/m/L0FnH8DXxHgPaUbEpuopQ3M7eAUI9ZqZ//h8cjhtDFNxCTtejecldR FFkzQ+raUeAqtttbzHSTVAe/TXNyHHFkzTJsp+SgHEU4QzSWL1IgLuvcYtCzYAef+jWoiuVyr3y4 QeI7IggIj6DJuJGDg6r7Etv+nGh4PLrPvvtmZBvRGFMTFAXW0FLcNXt+VsUCxjk0anPywgQyXEso CqwngbBVMjr1S0YHSha5JuFhz0KrJnKJoKjB8IkQXGeZ+ctGB5FmiPqVnRjprWLaKGLijVwynv+T Zia7nbNw23NWR6H12ErtpRELQMiw5MOqINRhghEak48RhdaLMJAiTfTypYm+YaA6qWhBx8sMrvsg bRiFnfXTH0VdusLhO4JttnUS7eyDgRxgzaYk/1xnIwJVj/0NGSahfNc7QSGoepB372TOXV30DEes kTkR4/lZHYGqy2bBuggnUx6m4rlluwg9QFrVBqVvBt/YMgBDSDVSbbNu7xq1zVjRlxbTerSY0rki RSo/uxmPBzHRSl+pB0+gXu5JHRWsqRDbUvaWBzG7ogg5eOb8RWrCJn5OdJkRCFt16ctUMTNqhJ8d kGecIMREJttMINhZ4hLYtk12Ph7fEVT0qVs9swKZbLrPeTXUfInVA9t1hGF1/54gSurP7C2PEYbV cgDt27LXvF2Qee7qPaGE5FMTFIfV7EkP8YTGldSBF8oJdnGMQxUlw/gLK6ihjNETEDG0MtNBvbu9 bBBWd6mdZUGtUFtNoi6gK8O+1zmkFj4gyPBvW0iqQ4geHhbV+BUF0ugKxnOI2jZ2DnVT9OpQUbRz KK5Ysz09eRwl7/oR4wC6AlTGKtjlMEOj/Af/S8xdySyJNskyNb1s1Hxlyin93ASyqdDieOuh+3Pn gueDObpwK1ZPsGO6dDIxRzOsWPfCpmtSfraaKcPnPYU8n9RiBlweuceMRfN44Rc1QB5jNHhXlU2l L/A5dyHhBaBPNMLQ1qXyjvXO6PIxQxgIzYh7JeGVg85qQNKocQIqDB6POh9+VrP7irtRrtGcoCiu RvVgU5yVE1SnT9CiI7ES2DypW30iohlVrMnSnFVuwxy8P32OfPBSqG8xT35G62JIn2NrLipY3Xos LXVmSFaU/lCdywflr6kuWoPgDy54PDqKvjGxCED7wwieoQAI9JmW9E3RqO7DiHiQt/WjqM9iZ/UM uYoSrtriUO2HQwUzBzQolmQX0TQinRMNWvAN0Qh/dBcpTTB/rVgvNrVt0EEEjmMxSnDF4xFg77s/ Df5LdxP786VRUI074WyBNQ0Wy8BC0Pyemrdf0QvWDEVB9WTxo3aapHOwGYJO3kiw+TsxxQZpsQhY DRJLBfESIZ65MhGMNkMMiApobP1rUJEIeAEm5yfyzHg8Ynd8E4QaGUpXIWVGQTWakGBwarVkmOBe mZ1+zYZyd0fUGQroNQFiU/fBs3ugGrGIiPos+BfcZJs6tWCvcf0YOB8tX3xJJG/BkHGwRsjBKiAj 01nmp5Ve8XjQW2y3ASuPwvMG8J2yOYrr1fQ62dv5HTRDk7hpcwVYeNXpMpsRCuQW0tJTSOMULeAU J7lk5eziNGRT0VGb7ECkLXRmLSSiM+OMgupK1PmYlLQabiVaaoNZpzL2MfB4VIz9hsWivdIpo6UJ ilAgkPPZLANYQb+7kQekDe0cOkGyKRPMqFytKtq5z5cPNkHIxxrkpsTDG3BClTIBwhGgG2/9Iw9q MM4oqE4yYqrcbLMyvGHWkeaSWWzGTRaiQMa/hUbMJMnImqGQqYi7vsmiSqjY7Sf13m7vSed67bJQ Sg8uiwBVVx98lzVg4paw1We3wkIFeRkLNMgH23bTBeC59a6/cqg2XQU4WA0N5nPMy9bm4xHSyuv5 bPZD1ChfZPWMYCCIM2ElbeDzPqpNkFn+yaequcn3jKJqIFuR1QtpNR6RnXVOzzXRMsJiQnJK6wra 93ZxPMb10uXexJcEsGEeQ1UFIg7KO9DrY0hcz3mHxyNQ7D8FIuAIar+5/YxiapxeGxIvBteTQuVA P5NIcLFdW7Hcfob6H9Sh7jSk1uDg83OKppZZQgMQrcCCjgLxgI7j7MSf6rhhCkLPUFOPEIclawoO hqyuy1R2zumJx/+EyvwYx2NuP6hXFFEndjxqs9x1Zr/t4UJyK9UQluEiWhEGZNAdFkeND06CgSPj hto7T6STzAClPsgUPccfdFwuBkRK3SvEgEiXoLEzxMHAVueWGILJ1IrHA6biHl8RNYyEWr+V6hVX qqn/4RM0qAPEY4jFQNP/QHVZExRG1F1gWF328172bW1wg1COAyC9wjNeOt3nUICx40//whFhpeM7 ouoHa6edEheFCvuK8uY5wpucmGvB8xEc7WpZ4T+eBB9fKeoValFXSsc0F5Gha6ihQIYfRAWkeU1R iALh4mmqMjZJBjSuIbBWqPeIlXUSkMxSEgBFsF0EyuGe1CywflYYUrPwUZN61Ml0GVELr1NsV/CB VxhSX20L0hdQrp1XE3bFXEXUzZrJxUAH2fXwl3OEodzlkM8V4qpZoIbYmQ9Py+wELnOZCQW4d2iZ Qb7JmV6PpB6xaCusU0+GQaBg2WCQzxOZV5m+7PpZUUj9nEKsXqGD8KX1taKYGpnjJqeRxY86uwk1 QTZ3+GU/lhlQrJCq2KVcKeHu/NgKk7lbG/XM94A+ICtEHbHNIPgp1YuR4arFl0Q1NIHQWCFi00FE 8Ha2bmKIip4lno8K1d4PYuGBuNixvMy4opg6geCRWzU9tKz1RJMOYA99jlxDZoXyH9o8iwERh6cO m1jeB1YMtX1qRp64cQASi/hh3SpaSYSjrQgEYs5KSd7W1EX8EUivzam90wcej3BE42ufwZG+7Udd OAqqqRjHkraSe8YkXEV0t2Z5qMGYwmYoDKqLDumefLDLDE6d59cjBuSk+blsGgdR3/XEEQCdzstf oEsfviRSJmi4oakQi8GQVg0KIlVyDKnh8Yh3/512VK6hfddQSFg8C2VDD9IKaKUna94PIMNZHxq1 bp+hGFtNLNogXFFMjR8D7JUCGTHVhyCOPwUBQRWExlYQs3YIyBx62d98J86fprMaeupcQwXNCJYN zw2Mx4Ozevs2YzHpvHHfV0JmxXxFSlq4hMzYyUmvZbnDeR9QeZFEdVyrljp+3j54YgZcbMKigpjV yYYAZkUZVhpztOt0paaCWIAvG6GrmZkNXvgcrEBUdzICw6p4POjd39RVeS6KuumC0VYUVQMstCEQ Z23XaUYvsKR2S1Ooa7iKdxhVU8WKZoM2WEhUC1SwByvVkJBpqPMMKuX/DMAvch23ZUbTCnzJbzLD 5BzZoOz+/CRTKrp5Vjz+/1lEvHlX8qh6R1H1KPRTWnbhn1DVa2iFbs/qCAG3zwt/h3xFEakqO/gc fJudxC6xBgWkFRgMIOdTpQBiVvCDvgYUcqPeUVQN0OA5gLrOoX6Vqs/5tpYQeIOPRySY+p3do37X IAhhM/QeVsPWfAH2sSyspriTEan23WY7uY3A/l0AJBdZvZWLHG7nc2jNOeWysGgti9z1zNmEa/G5 Mx9PRQrl7xBb3Shi1Ujs5KAaGhjYQlqtPfB4lHjsr8yMMLSRPXfdIbYaiceWrRvKjEW2boP8JBNH KwMmvTZFcVgtkerRffCocWbYZwx54ICmjrANmcf5c5EvzOKqeoW0THxHVEMjg2Gwd89BuetssxCy Wc+vicf/oivyyYwwDFo7NkUhX/FcCScjK1aqXnU7Pn8qvSf+gzAEzlCM/6Ag2hp38G0GemdjY+rc aucGqpkoIrAzB/q0mWxP6wfxNttRYC2VFIDzfLAyI4pzvKFW4ztGJI/vzittcClhoxkKASAbXSBv 0wMgfF050zSETNsCgmKGfucrosfqg99mO6HbupsAICfTSbTxRqH4XHoEl94iGoINfMdvpOCiCSo+ QRnxWiVQuZy7GY//JQLCABr6+2zWaYJCsxfKDq5tFaLGcFgiIGVeERBG7JygEP3B9L4VkfLKk5pN 6Fs0mvABeo6TiHVYLNCT2RPcfLH52d41MsNhSxqakzZYgWiuzKsIeEk8/pdgHIu26Nv3edsdOxaq 3sChcZNBiWhPn6EtyQJTIjLJ/B1q6hHdwFPMhtu8H9D+LYSer4V+LB1ywYXhvyYdz9rSvetdI0In AyF2azXYMZTbaNZzLXj8zyqjVEBQiM1eItphUA2/6ZN2JM1Q5Z2gY2ibPtHZZGj7kY+3Y/wHeQuT PQ8Olnac2wI8Hkp54/5JLGaPjRbzSZXPU9tBw4VgL3xHAIgtBA0PYq2GWvWEoS1pkuJjNh4PrrKZ v/Iyys6Va6i0w0p1IUBmmFZTS0tRIgTj2EYrLOXT9rbzc3677Km3aoOXYQE730UNM5CGFo2mwSI9 /+YEEX3uC7HaU+/6mz5sE9iTg01QbeSCQrOi4vGg/vHYueHWgkBKR6/WZigsVG8ChrdTOnngmedt 82OIxjbaZBH4A8UoNOxX8sFr+ftshwziG+iKlM7dPKfxl4AtBispj4bY7NihAkiR+2a6g/Xt28zS YT7/BR7/K3GVOe7ZquVS8Qxq8/atQKGBHPljhnfLYecTsa4kQFrpVsrPKYypXYi5+eBZRwcFfMiX C4pxnXLwLH9MLGHaJDqIaG173cCZK0sPnnPVQGmWa8s5tRedHtFqnvyAvwCfrAXA7xlsJZ+mUFgP 3m5jEfKKJUUTq/8YZ3tyxqAR26ZPUxhYS+WikymU+mUKtbEgdQA8CwJrmJFMmbp3UPIgEodqlOvt MLDGt0QIB6nEpuQDf/+B5E/d6TUznw+yj3/waJ2du7nuLIUokEw0rOlWnu3QppfShkFD2Dpza4EU Yqsb03sxYjj4LJ2ZGezNQ+RzAutOyeqTlvxMekYMXCxuv5ntdaNZolyKVD47neubEhBkfETGzrH4 AQHws/yTpDHkWBdNRH3lOI89a9/08+e4/M6WTfmiALc1fJ5iJ0VuukzEQ8rNrzb0g0g8LmRVYWGS MpTwC00SR0n2sACybHvdqDBLL26UyW3QyQRaBPO0ik4+nv8LlYY5hdpGq+tZTVGQnajLnJeTGXir yqt0GuAawsoj+Z6LqtdqwZZkzJicnqMJCQ3joAoHy5Nl0zKwLOw59CTmFXAqmfJE+JYIRCyjUmnF bzdeglFjXkIVwYkhhZCQq9dITgyUkubTJZJ3U1Sbh+XnNmzamAKknVeQBiF+7FVpjUf/rrCArWRk qTy7Hhjo7ECvWt0IopaYCqRrrf3Mihie0BUrPqZtrxsRqhkibVawx74V7HV+SdVFzr3D5wPKeUlf oRLU7Pu6tTUQy6LFBOJZW9lhoNONbxuJPKJXgTJIiCMZamGriqIWywevjJzX6JNC6KDIDPKoz5YD 0nnzrVEDtaMJwuj6lihpIz8PPTsbNEtnp00JU571yecjsue3jWBD12BeJfScYo0Q4oiT0I27qcXP 2qmSWYLUEB/blovNYXiAj3QHmyVoKCfogEnMadK7nEaLBSbY5E96wMQmHr8kgocQLZvo7p7ScEWw hEKOKS83Ph8B+fr38Q0caG+XqZdTFHeDMQ4dqmW963Jr2RD4cLBj8+wfH/S+lqpOJC4UDQ4HPafs PHc/0/9VEcQzdyP+ATOEVobHAnJRTrGWNc+llu7geNDeVSA50RmfDzZcu6E3u9cNN21/ZikEXgOd vrfL6m8Q4szR1LUxzpkCrpHNUljPJvUjKUvh4EWSBbVsuDvQNo86zTiXUK7saJGen16XMSttxV43 qiOZY3DzQcXIc3FKFbEOBpahUUz/p6INEO7Dccih+yJ+nd1qNuWrvLpXI4ssYKvsyt0XLrBfBBCC fQ7UhWzwciQU9eHKTLIVHHiprQ/OB6KVc1kQOmb2lPSczoH/ogQ/AKojCZ2D/jDI3HO/nHR08vko jxtfszQJvGzPLEXhN3YlhL+vtXJ2i4YpciwNCKh4rFmK4CKJoKzWpE7cnlpAR8+uzkopAxSaJntw IKQjNc0/Qomnx+cjxxaMpbzRic49UyW7e/5t5fNB9H0rSpwQrqV627Q5cGFUKXR35hSsB6xHu7nQ iqgw2yWDv+uDokYtF1HRkpJml2apASFEQv6ZImRr6B6OvSlRCI4aC/CapdLsbX/rIJWkyZJaNRKq AcNJnd518PngirtLSRNCKMblOuTAiREtdPCu8rKy0q7WLAGUnDU4+J12/I02S1HwLQ01kF990CyB PgFustBrRJt2KfXipMINAaSDy2Kwm50DK0ZsONXcSvVBwJqzpRfLEOf1K5+PAgHHQPI/brTrLneS QkE+GOsAeGGFk9a3F04o9s+lBE9F33AhxZFLiW4wNthSSqicoGbFDXdO3Mbi20qdfPmNTO7qPkjF KId+jItI9bEYCYxlkQCqS8wHsZbg6Rn6MZbL4BPdD8XePJ4dF2ld0wgNSsQ2Tb3cHScRfk0T9Aq1 lmLvGAKNF6WM6rpSRhD9Pkd4k578+fcn7KPvR8EvieoJu9oGQVIkEFoyZl5xo9Pdk4MwSBC9MbAo zPRCT8aUvqGQYDyV8gSVoSUjeAgopjsIaUzL49aU/9cU8yFZtht6MsrzIylBSV8JSoIHM921UWKq 0rRBY7tBbxpQkXoVQqExYq8bVQUoIlLa8MHID+gMs9h9on4+H5ze5ZthhJuq9fVccaGKyISn3jQ0 NiJkMx0k18UKcXWk7rMUWciQKjzJ1LbBQu8JreMJPAqdK4Groiw4XhFEmp/Vnjig+ttGVxyJjgYd Tab4Cy5Lz0MlAXvJCN3vk9TkALLpOnOz3cCbUdYqzpjBbbeu4xfUJT32vqLOOTBnvHbVjWorHDyP 6+AEgQqOPA51FNJmkbcgIacJyz2+d5r2ukFRIIuMJaOCut2NGdVzldFOsMHngxRlfrOxChrmPT3n Umglg9i7P3QsL6KcE5H9Lc0SPs5mKUZoS7VYdsP7sRs+CW1rgiCWTTnMJCEIFDaxorSY1H9byd42 ElSlA6F6A917A3ACSaMIdT0bn48ylP8jJ4Klj9jUpim0aUTdHvm/yT89oqoLNrVWh8vNuaE59Glc lALF/48Pjo/EnZBQ/ESKsiDTj+ObMoKDmkgAKCuRE7Ath0aNcnNITRzRRmU5HEwLPiwsfZeV+Xx0 yV0XQt5n54Bp4zo15tCqEQ3OjYoQVY0g452MHVoJGuJiMtdhzlKI1R6y/xJWe1ys9mAYX+iiDbN4 oD3JiKg8vwGZbZcQsWRdHXo15m6GO8kHK1amubqqtGXy+SBDqb6YpLrO2Ptmu7FZI/so5E5Dvmeo 0wSjyJINFojryGk1OXBrlLPS2WqZwWXOlzZyTki0BFITwK0B0I5zif9mAuVmgvxD2W6y1/1N1vD8 pmwFwDlDxMWO1JcV2X6Ce35AFH3nr2kC65C6Vz5NIbSkUwmqWeW7MKDlwVQp5qkOQbqV78Cx0WV6 cxnNB7/lzpGB+x9iWUhYJuYcLirQ3YKRAVtqzz237X0jbACxkot7ri7fc2gRiOYHAD6fj6R6v+1j 6fMNF1GfptBipifq+FjfctDKl+F3Fk5Are/k4Xdg2wiUATuWEM214W65Brm+JLnnkx6CJoPGJbIy wPkywFve/J7LXjeaJUJJT25JINeJ77jT4LBa1C44J97kB0QdAj+algUD9YED5ti5cSLozu6614Sd pKTxKNXQbi1fy/jQunFxfsBQ9sHO714Hqnq1Gr69oXdCLBf+4k3P3YcBUOxto5iJOJNFYSgOupfG xBWBv7vXxuejtfTdlAPfALWcO0lR+N0INGlFtZOcSt3XmzCZLyhsbsryWQo1/IZQOK34YIsJ7QF0 9SWNPU/QxMJmounVAOkb7R4pHu017XUDJIXokIv9AQ6qw0EvSOF3r5vPR8WT8R0xqV51k5TQwHEk pCYsz7B2UobvuJVMqBY7riafpLDyLapWYzucg09S7XP0QdXeTQycCEngOKHk8ZNZzqedopiG/JZo lrJal8UHxd+Qm05S6d+TzwexwKNShwdRv2mtPIspCr9pD9Z6ql5iaqaRfc6Qq5HNW0sJb2DjmP/H lJ+1UDj4wXRySrqCkfrX4H8uGjuakNjqrV5gFyVf+SXBLcdd2hUScFDCCzr8MimazueDY2mVr0su Q6d4lPEc31H4nVIh2MSqJ/QsseoJBeUFO8Gk2yzFBuksQg7uOA6e8Z6zGyT8LozpRGQp193xQ882 aG6wcshp6va6wWJabFmudgdbTGlrZaFFxuejGtM39ATOMb1cAFwO3RxR/d3bCf9nb1U/vfc2m1Dg gJM3CEI7R/V32baywbdcT1BUVCHuBGd9DzuXUAqj7/V8muDd3va3ciUKVD5oknamOJdXvgNDx2+p bCbLAMH0fE2McmjpuE070+64cz8b1NQ04rmWKoroNktR9L2kMlakH1Gm85PgHp8KbEMknrmg/k2W Gy6qrlDgAnSWKnGBqyNWLKHKiNJt0JZLZU75ELG9G9s6Xrgp3w7V912ftfS7Yvbo1iAYfV3tiMfm upd7x4XGjoJTJlmDpscaFDJL52BbiY3Lcy3VStZ2BrBpUjyi448yqBfBcDm0dpxJXkb0vmzIdlQX oiV7VsDUMz8gmqZHm/4HVuRnB6ynYPmLveOECsloFjHB+9oNQodraqGz5vP0u79j6ixYcnDkCQxm Kw1LiWOenS0C+s5PnH4592vDa23wwOARwmGTRTh50qCKIdX9BpAstyBqvvyAKOf9Ppoaz8PxLKfY 4pGCSFuKEfu8gWtEnpDJfeUhIGJpSuDx6CSBPKnGysH74MAxVSpaFFRqlsTZgKk5f9Ni4PFA4POy 1/0NyFT6FE8ZviNNacruYk6eNHHyAyJ6af9eTtSYnk81LvB6REiL6601E/Y9/7Qt6zU3cOz3Cc0Q lQZCs8dB7EmWuA0HpwrAgqbgTqqkwQ0mfqMAhjEpCVbmNX/iKclviSS0se1WlbFqrY49QT5thX9U UAK/R5nnfOvYbflN+ixFEfigokRJznWXLABVxmdvXo1bI/tqirAnjb5GIP/74LMEp9/aVRsg5Zn6 WhWuXODo/KxHCxHQEH1JdNEJw7yzDwrAd6lJHadzw/P5IE15LjosPCgH5iflDTwfz5aDdTEEi1UZ aM1Iy8A3zIuJQ0vdJikKwAcrumnREyOt5XABtE54UfIEP2HFOTUaKgMgSa+JTUPpRm05KrDwW4It R4eaVAlr5qCDKZ1cnb33el6cz0d69fP7YCL1uT3nd1z+hjZ0xSX5H8aYzZXawE2kRR3MVYtXLEPf RyK5Z95qFtBVws7vcxUUniFAWIKDSsn2ApDAAjqYfoResuzNXjcyOuKJlCm4xUFrCWBdtglgsMTn g8iyXEo3oghCNFkHtmkKcd9Ae4FbL9O+1YkyyWROJeIFUY3jHmAAHno/6vJac3cfNE3ITdL5DTNJ 3WdrN0gxnQiTNYbUEg2yHyJcX/a+0cG0hGfePmg1QaNQGICEdC6wf0Rl1a85CbwVkHRu0hv6P6Lr uM20mDCU0j1PkRopAQMwLFE0EBhAng9igtILtx4Ha18m+MZJprFgM+6pfgvi58rbvaXrkEnqew4M IEFe54kkjYmRjNl9ruQGMMuPAwYCB0hh6r4BA2R5PnCv0AGS/cuWuvn5DJ1MnXnkTl4aQCPKZinC fqu0S5ChDRd8sqDIUJcV41A3oPcaepCos7DOo2RuKGQKPCCFSoCQtUiV+1YGygk35Plch54P7rj2 jRxE6bvBK88nKQrAa2X/u7qnzy4XMDC7I3RqSY6JC2wgcWTIs1f1gXSNIjqINCewThLjOGEcPOcx SaATQQ6q0idCtEqV4kIbSNmxQGEZA3IhyyzmMGnPkxUtfkCkYP9fxzfRnTZLUfhdwazc8lYFJq7e guX5fVzzt0PSxWYpDL/NHDtNH2wpTdCUJ2Pcs5TO+8xC5ST4Yjb0higQ6J413d42ajgxElgsn4xl 5RMISY5tdxxwTKEXZL59ORYRzh+IusWdpJBceV4LVrTZunIEjbATnvt2eCWhfYoEfnGDFAN+Jh9u jlJRTFxqpaQq85/RwDjrUNE9MdDFnkDyX9/yG9diJkLiOGjDnbS68n+c2a58PmKkXLWAqtzm/I+v aQoplhC2zct1ylTAENliZu+Ek22pUCCwhMSO45GcxfXOj0tUYmsX1SNgdUn2Q8G7CvuMMI+/vYNP tr1uQG9ixfKEFjye8KAqcFCulOnhXLnxA4LC7qWAsUAFTPTZBc8lF0bfcEFiE0Ay0t2kgJFgJRdr 37gubTnFTEs2CQqVNzl49A2Y1yCh4uwItLJw0A/8YT+gJIO6c91HJgXdcuANicCSeSpVOzVY+J35 DUB+Vz0fZbzfivYZXw8TgjtNoS4gFVzHsvh7tXRT3uKgZgiDePwd+ENiXZJnuQmLq7s9sUCnlQ1j gQ2RbTbBx+QvCWQ+YE//SJblwCBSmRgipXoHbbpB61LOEtqXgUMkugQXypSY8IL7k3zTfV4Dpqay yzb/Q/xTvnuuE0FCKBMOJ03Sa7jUoJMsnyixCne9unfwjgJMgIhmor6J1V12qeP9HpFb+vi+BkvN Ve+QqvlgAB3UZtgGP6fg5zVUao+CaxOGCcciv5myKa9xUnNx0rLNCHrQtIy5SauMxMk6mvdACian d2kkKw6o10z8nNcZvgjkNJ04IFVKclOPCvdThmndclMEQ8W/zs4JJXmlVXSsbbDZOSecFIDrwtNv swPYfrbZkSECy6TTZuc1QGqAZSUQLbfpkJ9VVGzpTHnYEd8FnVwundfwCEuHGIo+eQhx8KUDe7Es 65oFGs6kEBX6DD9lQUByXwDzJMr7NThqgOMgKtr0/9ZgF9rZr6J79d0+r5ERpnb70mGwySykNZuc 17iomShpH9aNPHFku960e3Rv5CIV4uS8RkUNR70MffId7Og526jBCpKpP5xKM0vc9ATt+DnHurou 2OP4ivfJyWxPjs1S28DpVtTqRkOG8KhzTeTPa0jUHqG79mPxJkoGxWbnNSA6D60FZgAh/US/S3+L Ygq0xlMCwhYiTVejjUVqQMmz+OBN7jPdZ1pQ7zsbtcM4jQr2IEGcxASBCWIAbaxNUdvXYAjHDg9k XnI2GNkE9HFGgf3E1a+hUBNaQbOzdEJBqdHXzmschN0IqHKvrizVV3WeSSbeTmtnexL7GgU1QRCA 0Z7dB0e6oY2k5mhFMyFJWIpuihOlKRwbjpRIDKlfYyD8kDxvGKnZoLv9hMZDci7nKPi8BkBNN7Qd O2o4nb3FKrjm5zX+wVmeqaYtcwgkHaTZWdKRXWkCNkVm5xNNEIlvrOzbYBN0kgv4cKquBrpboVnN yUJQ/qMnFGCClr7KACHaXYVto8Trbm5E4vISPceZlOzBHv+8xj44uf65tgh2Ty3b/LwGPjiYKTMx rPQBzptLtc5hBApwS7K5aLyGPTiY6eVTdKeX504/eet597PJdaefGw2Xz1k/aHzOJcusq0Q6Sex+ jXqai0iieeeDsW+y27CcuOnzGvPwDfP3ybyJReo2O68FR+wuVvj7cKUb1hCU11OqUPwkmWJDlCw4 mRMNniC664Nf6nDYwwn0w6MHmGSKI4LzveAuXTpNVoVqa3rVYHZEwC307+OgfuNJFbVaT/KBp99n p+97qas/C0Mzdh7xna+FRvxdEAI6GYUdPkUwGxzNECDysge0eSUwEcY8EiTjFuPg+FGQJIDewfSA ctO72h8ZlhBQ22Dx1MBsdDl6rTHiVZdUtCnLzkFHM1u/wwtDrxXGlm+HSDEP7opeKQMkimYwPUNm a8MKjDttQ9XsLSlAcm1IoxcHMJqfJbHxkn2w5QPBObDaifwvmJZNTDtq8BN4CYEiTcO2SQ8gWj8M mXE1+qAi7LkIi0n6D9BJo+01/WrHZKJqYM4iokFFCwiif5Ad0ulTHIwM/l/32wsi3k60iWZIDrRL PJv1xbOBXt7a9PBB7wf9Dl5f59MXlCKL84GYxA+9bHQ8N9U4ug9+u1e1nE/4yseDuNky+KakC32p Th8zTdF75HzBkGaS4d4iWERlFz+COs5Gg2YFU6SjuSXCjznYFDWKUm3W8s9FM4GjZmWxEzxWgbO7 eYXS9yh27gT3DXMzXsNv+LO3FP/UBiLSe/AskyTNkOg4ENEtHh6+M9owr42H0LYzuicX/Vt7DbcW KWoVsakfHNKd4XMxmVYPT0AhhRHjaExL2RvCUQtZxDOjnWZBEo23KyzpZYPUKwkrKmCthxEZ4IpB sNyJ09HqiM6hcc8hgo0AaknZk693Phu2x6BZuLlebwCPHQM5HVALEcNbeI2miOnXFIhmPiCadcLn E23iUgVQ9FxaZNWAcrNRcgUkahm4D2m+3vW3cwgKLRwQwGgRTYD62M3PCZWyYIpySd9TlIk65hGo OYoCaZqHzmzOYXBUdTntNqovo4WfVxWg90gaUErhsGjl03K9h/VEbUY6BDVl+GZNVjeQ/1Izfs+r Nz5o4fNOZeOxCR+JhKqeDYIZZWC7pINcyuedydZgm/AdKEJuBz/nXUVhKN03hREtVByCO5BW00wB 8ESPbPNxhqJQug+V7TVD9c5QB9RhD8LJz2EJNzHGiqsBIwosOs5Mt33UWR2F0qq3jsbqNAdd0hOq 0VT1P3HY553F1qTAY4uoKoc+yzh5rvHOYsP2BCJ78j9CgYwEITFqBnF5KpDt7MXWKJpmQX5WTKUN PkMLVxqdMcmJGJVaJIixoQjG0n2yKmKhLO3nncSGhcostSsw4qApymfiZQW2wYeM4um+6j/5WAEc XAYjnKP3kBrgIhzXafoctexMv1RbvxyI7nyaKKaW+E+HvpsNts8wQa2S4gII7TBDtszt1aCQPC83 y7ob7zG1i0cAA++DIWgBgBMYBKI2UVC9kx9F/I8z2lIEJWuGoqgaIPst7ClmaG+j1aBW5liQ88P3 ZDMUhtWDM7QJMuZg9aATUrWcCPwtKIkCso6WRqZb80Bj5atgP/WuwQwBe7UTSbUa+JfOtjadz5Bo rc87d42Hte+zpfuswTvbA+t35lqz5k+7RlBtlqsVXW7YWFaxgtk7cY1ho6xnjSZyu60zFdTJqFZU gVPbWf4iiSqZJ7BmT8Eq0TQLf+etcb3Tic452us5iRjXYjmW/HmnrTU1hG2b8djK8Furt9waBdZZ 7qFGnME/bU/r15ze9inJZ+g9sHZoA9IkH7wu1GFdiboB6kIoQPVMP/WzhiYQT5nS+nYSsaj4Tlpr jnPMUrfL0FIuBgA5wRchUx3qI++kNRz22Y8i6vpn2nPeRRSVpKUfOc2f72yy7mQseAX6IkKbVFP0 HlgjuWerJzPHr7lc8F6D/nRGLobD+sTAmyRR4GVApSXH88aNg+4Q74w1RkVDhD5msdh1xngBoUVR 0TntPu+EtSa3rSc9K9z601sa73w17LNB8ky2VST5d1mMtHpNene1nsY7XY3xCnMPXWscPLQ+/1cn 4TDn5AZhinAr5CE/i0UjenoZQobCI+9kteZGPlvmENvNIUDKhs4Ovu9cDJ93rhpPsvmdngH2wkPe pigKraHkv6GcbhUiSjiqOt3qPYpQCmZo/U5VY2LAMyizDsvB29ALtiLLPOfhykyj5w7f0L6JvF6O aSgUMvi8M9UwRZOHUBrNB+2zDNwkz6ITSXzeeWq4cvcNrUnYwhsIdsIpCkvUYD3OC/voqRnsA5Rw R13X6bCPd5rabY2Vse7gUdHs8HRElaxCbiQDzjgG8ORQIOWWRgorjU2wez7vNDWG7+Mbm27WdwW+ 6JXUirPd1uedpcaV/m+ChoaAQLKcovfQGmcRu/TVaA6GZhhycncdpNSdyvfOUbvHNbgxPvhZhBJC mjyuEywIFz1GTlLbUAdBDotusTfIll72/SyiRf1ZldxvHDhF9RxpWYboK+3PO0WtPRwHLT4knU0d es5QGFoX+oVPU2XfFC/iDCHJ8qMIYj06rd9Da0S9iKkZMNvg6Rlo2OqCF7Rp+yKniBgEqpnRFtLS szL0rsEEMXdF0YP9VdTkOC3ncwdVSiD2sD/v9LQmmaZnhqi3sIeXqt/JabwFUR4aywtFpZW7hhyo d9JMhxC/c9NYSGZ6JvgQBwuKGphcSBV4WFNuHoc1des6uRJjXW4D7co/79w0Rta0pUMhwgYl+dR9 518Ibto7Na1JB+NplUHzqT312HdiGsNGlEEI1SGUUYVrho2permaMmraZe+BdbYEdki1hoPN0Ib0 Rt3yNoT6zqALSUaBswG5OWkHJYwHDcPfWWnW6YbGGDF6HIxwdSIi7rgGS7F3UhqupeW3GQuTiNJx B1kfOoqrYRK6m1fxTRrVhTOS82MkgJj4OVFcnTUz3QebIAgdAPnCWiN0kSUdAV22H5Z85rj1ahrP fN4Zaex2kU+8aT3PQbkZbG7lOZ9H/rwT0prstp6KPgk7yCFtgmKQB66ylKsVidw3Cxbu260fQUaw CQrr1WjzzCW6FQcrpG14XsNRF/EZu68sR0IP8ZywUPcHEKUbL6boXX+boCrZ+uqy9Ug8ukRgTwjf P+9UtCZPu6cYi5+/1r59gqKguhVEjDWbKPve5er6ZyOEFtxEVq1+J6IRI8Q/u7EC0tutgMxG93QY 09CjBjaNguOhWg1/1f7IadOd8PNOQ2vmnXFydJweGrSCEnLrrXuTrxid0u3rDCq4DWb2MuM7BQ0T dE5XmBuZ8QEoGT/SzKL9skDnlIggOfadgdbcPJRobBtsgsZJjEdjVoECDlYOJ6irrlpA5HWho0yN nM87AY1ZB9no1Cw+iSP9UbWGTobUxcPP5fPOP2NwPL8Ss4rTSrwqTlEYUjN3zcY7B3bXyPvnxmEv rSA13B5Sv7PP8AORnbcyW/Yr35b9ghz3BlWFBKaGc5ti1yiYZIRQ26sf7Px+3plnNyurq9xBhzQk E5RynF/68847Qyyylk1Pc0bV+cnuEnqPp3E1QLeH2EjG03l4ygFmtHeEhndd31lnzAm5hDLPIg5e H0JP3tUxUFvbhBQVlGDB3v9Z/ZF9YMbxzjnDVbnU6FjVB0MqdrKzErUXPu+UMy6g8XVK034WRsY2 QVE0jb2RwcFTFg/dE0s50CTOlpUNKszqnA5L1TTPaIPnNAfvvJ5I6MQqSaXqs6BykVM4hQ8Ac9r0 WxsPOPidcsZLhTZHS7HQurHQCawERz6Rdf+8M864HNp3ygEucKczjCYpCqiRDtHkxYDBNCQ04Ee5 wA9oHWiKwlq14kToFGEg1HIKsAgwOa2MCpr4JyBGrXpWZtbILqgxqNy+s7H4zje7uLNeh1qS8F7i 7oBXcpWH/Drx4jvfrEmf6KueT0OzddvT73wzHNYdc7RMM4xORA7MG9NKRA2AZ5ujsFbN22zktnyw nVYb9LKwykCrhqcHS0QTJZWxsYOlaiSpY1T7Pu9sM4NwnQNe9Ua0hYQUL/msVtllnUiUz0epa/46 rAEJ7Om2p9+5ZpjZBbesZdkY0jJ3Mm5zOQ6fMjiaoqhYPalu2MSobg+jGoyU8z+3QkbQaeqi1BoS wAUnQ2SAt0REptk70YzRSVGwmH3w7mvtyhrOn/1555nxHT1zlfkYzKCSZx3vNDPe+ACUU7cc2lib FCZqr69qKodgvSCOI34xRoHwLNq07WtObUL5I/UT0hWrEE24ErA/jeIs7RszzNjdLpw6/u8sM4J7 VRqaW8MSyPdsXRx3jJTr/LyTzGyfPjERmpxnqydPXd85ZiyhYukguSdhETox558qOy1Y7yJzUFAa i+idYtZkswO9SBqKcfDjGnSURn+zmgaSWtSSUESDctf5K+dYNyZqRe8abTOCGzI5i8P5IoV6kjy6 Wzl3/jvBjPUHD4nYHUEAgVmyCQrD6qkKtTHNMRcGLk+gJ4hpzq6x1lBUq27cXmW25YPXhxaqlEKX w0o0d5bQMnSo8B0ILi7RfLCx+E4va64VwljNBs0QaCisxp8gkI9HcbWfQ3iM2nf5FhnfuWWIFGAq UhsRVueVM9VaNUPTMPnnqIZ8Ozmv79SyJgUPHEA5+3ATjxMe0t+hIsqeKMbiHAK2iNV8BtOua0x2 wju1jFhW81zrPvgaglwyb/wTVr8zy/D4053mFOFWpAmTpiiKq9FY26u52Pqa2ZGwU618yjr0bj2h d2IZb/xB9D1LaP1RK5gn5NoQ86IdFJBEVBEf4Gn/NArD4q627JWCd593XhmyV0WMa9/BQutz3zJT gzX8551WhjWYvrHU7CzN7fWPd1KZRWKQKrC+Yt1jW+d17JHsMttgNmuGwshaLVdqN2m4i2gAYlIZ E0G/fyT5RpFFkcG/mx5ZownL74h4CoiFdpMUW9tPZD2sUH0y4c87n4xJ1ndkDbjo+V08vX9nk+Gp Ie+HZD2zTstQajlk8zhEKX/7EgrjamWvo1UfvILWIIWN+tFZQud0pRMR0IiN2CHEefuG1VpBUZm6 c2Zmms0Hu+yxrdm/gPPjO5OMp9g3zYV26nneYyiKqmEqBfqvT1ApXiCCAOcFo3mB6J1GdjEyUwIq HC5ieIKwgGL9WYflBIm0HAMuH53kLl9DO4WI+HxnkVl2jooAqZscVP+A/RrThvMt8/NOIsOfmvfX BNFsa6571UchNVr8IJFPr8Gat3MGJNaSe8T0SfWPFkOqSbbfgn/sC/+AIw/4CplbbKANJ6ljnFMV ZTRKYMj4kRJS+I7gqpeBWJW+Y82uPt8mGwLoKNaMx4NwsdevMwhs2FounLGFAXVh4HS11FFNdNhw 04GEGtqCjpAIL1GZWqjzLspCfygLAwa6aTWViHYrS7jZNKhTiOZSSReTb+d0CADZOoaI/ORgxxA0 TLTLysDjQev+AkDw4GZL6YbUPQqpIQgKHRB1NU7u2izThxuh6/Bhnxnp5T2kFo8SCEhCiTh4EQ1g /E5T5bO/wBkhRKQAQ90AvwJGxyJqqfP336mINBi3QYsIGrnaJWVUPB6QOvb8ioZYxEvLz+keBdRj IYxu1SX41AsCb6HS2VzemDX5BEXwDxU+ZmONlYPXqWvHNqgSUAVDgjY1jSUVBCSwxrCkbFLZokd1 6srqYuut+KAJOuteX1jrSTl6FFCnMr4mCGl5hWSHTVAYUNPEqGw/p4XHZ6e4NVdMq9nP6R7Vqa36 MXmRcXBkw/mPgUDeEpI59w81iToqdNNioYfvi82OL4lAVnSgI+vuDKhIa+HAZYQLCoKkeD4gJV5s PjFEGYl5H/muopCXWBEm7mlyxYNG6MAwprPLmgHR6GZK+EePYurJInVt+Q4O1Zsn8MmZcMYTdTUw 1ehc0KGWdmKiMkl/mY/AbI9i6kqH55UkvZdYaOCfRX1I7J3W+Y4RcdNrsUWKM8imb8esR+RE0uur 4B9Qa2hOiV6DTGxWiNAYtykKY2qmHV212P5di4Xd7x6D1xnuNua4A200cDeBubwB0WCdsUfVasHy ZyfDAwg9k/04G3gqBINEP54P7rN5C0RssOEy/cKi9TiqrkAUmiYhLJh8r+Wxq2PRJEHQ+TkRR5GT Iw9RDp535FzA6KyaIwC2B0VTE8By5y8D8MoPI/T28B3BlS+AH1XXV0NTiV8DKTnTrztfs/H8n1uN q4hO3H7n97BgPWiktqQsD6pxl2ATzCWmGc+dJd1shsKwelMhrfHU5uBovQzNgUkduZN4DH7XSe+B 1z2XP//Ai26gVT2+JNpohH8U+TuVq5AGtSPpopxbCo//f0geUxo0d5+9x9UnVj0n9UanlIL753Ih pb4wnCf8LCN3pXU1z+sort5bbth5+GAzRHX6Dcc/ujyuCVwLaJxI5RCX5JTd96Ikuqn1qFqtGw00 CB+EIUqtsITDCgIej9L7i6xeyuN+WqmXCBwBq8GN29DJV42oZFJak4hCywQIyJTUIorwHxT9mq0V eYWpYkOiELus4G9iioBmTESiAba0SF4G+NaR1WiN4EsiJBoPIRQbbFAFBMJWPK3P1VzweARnXF/b DHhvKUXZFIWxdaNpyrAiUe+9WCmWngtmg1m7FYl6VKxeUveA6oINdqGduOgsmDz5E6/za0tMFpIv P9Cbh23QBQ4PIkB6hABRz2ysXHzQPkPArnL8wj4LKYvtJviMpwAqoiea8aWj2BpqzdBsduTwuBYz KZki6FlGwDaKLx2Vq6eqRGiy22BzBHvK1Db7Qicc7mg48bgq5HwNkgfF8ig0J8V3RG0hacpTurm4 dHNJKzd1hc6JlvF4EFsPv9BUuIWoYb9doREF18grUBAaP3LmneX6PLfhLI8N+QDGjiOsVqugX6Ur X8fjTjBOZgpKnlge0FvGWURcy0BBNNftDdhCUXd8SURaVG+aAJs6icDlMmoZ8Ftc4w1zFNarb4+a FQF4YbebxI4QW52RzK1tOhYk89gqutSPAh8YKxSNqGCd2VakcAgGoucHe/gnK4B151ahCDhtSoOw ZQWPx/I0FwFlGfiOAPLJVTRNen80WfGd4Hpnat38nHOi4/Go5/GNZ7Ss2tuvI8SBoBg7khdjO2NU ofWu4v45nrrLEkSxtYAyus/PwCrXEA2mA6431cQ/G7aY5iXVSAHhzOXe+ADy4TuilgcraZk5PgdD VqMgIYmcsfF4pNzwXWoE0L3lC5QZUWQNnZ5d6zJ4fm3JzqFz6i1nMKx8V1AUWU9JxlQF2HV5Lfb8 6nht2TbsczBuxLdQfK1J5fRMdq7RzaiMNKJqdZ6im/GMHdidrLagliZMNqwhM56P1tCFDTNGxLpt y+sgI4ysoWfdmnuEmwPIooKl52rnym8mQDyiyHoN3WfK09YFE0HhZUMXlidR7RVsRUbWcCIAqrA/ yrqb/PsR1asl4tTQ3bHBwkZk+hIdOtfZCOU/bu+VtW1Esg9UZoS4aqQemxhWEhj6TT3WuoqDbbqt 3Ihw1cTwnltMa+kxAoM1zS4UQyfaCo1Txd6YoISYmuDv9Nz3I1T/QNtsp8pmCQftMlyC7LGczxx4 PAiJ5nc5licQGM02QVG9GuJWu4l4R55QsWPozM+tgzzuhCOKq5Wb8TzjQGKpgDKAfmT678JoZ+Ni pjMfRFEmXN77xZ0DtIrv+C0gWpW8xeXg9rz6MJkmsl5HGFb3bwoMtfVn8ah6hFE1Kmlt2rRsXdPS zys3HMrNUdUjjKpVpgc90wYv6NdzPQ6wJyGQ0iesGnDZY8o6y2n0NNNBvbWEwqia3Bdo8mFArpGT Uo8+N0s2P+vcNPiAIMUvtwoithnKjRecP8K4uhI3PM1enuwrO4dKqxZXI66xc+j3ijXRIjbcrge6 q1RDQqVoblo6jUrLIiDhSraiKDWa7GWj5qu6rpWXNSlw/LvoNM9aGKRW8XxUBvHWIvu2KBzR7ERz NMOaNRUG57D0bAHtrPRs0bNGCmjSh+v8nOjCV/e1dR+8UtSQYEIECPFHa+z0wu4DNATqoCKdstYZ 7T5miAIpJoI+fNBZDaV4NjNR58XjUePj8l5pzwBTcf4vzVAUV6MxfM50dsw2cFfNnPaWSDxKYHFZ EOQwQ86iCAzkSWnwOlFHcQOtUkqgJwqtoQhJYZUFTZnrK08TZnxHVNVnzGg9Ru/PFXTOtGzbOX3x eIRHu6U0Vq3Pe/R8dRxmFFajyce2sRVkJYXGw6iZLAh2jZ/WM6QscvFU4AhscJzMBAhNYlbocyUW Sgb/kwWOREba48RXihTMsGotX0thiDkYk2pPKfbWvSoe/4uPR4rHIO/V77MZhdUIxFAbNUWi1qcj 9iqbvn6fWV1/hiVrZa+FKoIcbIbqOfdhREuxanjpZJnqoGoCYyWpXJhBE9FoM0SBYF9ASD35IB7V uZNNkmimjMcjlcp/sLGLTam7yaKwukIbfidjCZ3wuabkM5RvSFQQKGmGQsJiU+eDjTEOPkMd4HCa /p4j+ySelRXriepjp1UM149B9IHBw5dEQhcox9K0xAargZz9qRb1ObHxeNBd7Pc6+5FaSq+3NzTD qPpcJXuuZKJEEzexyZwWc95FnaqZy8CMUCCdQSO182y4FZAGleoiPcYG17jMGaowRz9R9WLgppiI Fo0ziqprV7uDS4mD1lBHK4JB44nM8HgUNKav3BUdlp5vQX9GKBDsZVc7Z0F/OwokzeT+uq1SMw4T FJWrl/jA8qpoj1fFWSQwwKBVJJg9nbxnChRAtQ3ItH1hMoOKjDOKqhNtY5cc486QLLlvEIZgSzXj KgtRIPMf+j1sIMAn9hkK2YpMzGp3VOxw4jSyBbXToDS5XFUvlNWjc06b/Q6+hM5eGMD1EtAIv3Ue 1Kh1/FB2BEi4W8/fetcASCSXijXvYDwhlItICl6bj/+FtFJgkKFRcPdYBAMB7R5CQbbH+nwsmltz 9Hkbrrc8o7Ba5me9Mevq7cHJgI810bzkEmIwSS0ZLKFOv9g+rqsu71F8SQAbZpOiFTrHN/gwZame nnS4sS57Yu6K5yMoUf06qWl71i+UaIZsxU4nXedzLpr5ksNQsAXsoMaG0y4LZUBYzx+gBNjgSJlS yb4nM+fsk67sLW2yBVHVggyO44ZX0ctG22xRiqgnH7xElMwf7pyeeDyIGNv6ys3I81hX+nRFITUi prO3jHEPVMuy5HUIZM3cbLtu7opgIHDpQaRIVCwHm6GcCwuNdIPBpTyLHPRAEqeNHi/8L83uFcJA iJOB2bAPSl7BkFjSe60Vj/8lA0Jg9ab+qTfwV1iphvlpo/oGFZtK83ix3gk6P9c0PucKYSBU9wT/ 1wfvmp3MErIQnKCE4HexPgSQNKxTfvoXkAjrAN8RlT8kja+rHqeK1U6Bd2MWe+60gucjKZnxdddP CqxNz8pWqEvdFSW6CEhOt9vRDJmGCpojPleIA+FVBllTDZQjlZJMA0k+CfEJqXxWtAu2SAVXZ+4b DOFrGr4jqFOz49oaVxIHAWXO4SbgSQUleIUB9aMdJ4kwFqc8ol5RRA1L5L3nNhDIHtcMbm13iR+U SNMMhcBqnj8tybMjPZ4dA/rLu5oB49gbWhe4ynDqQhgf6dk1Gd5619+w+StRSGapCoVNNtAlkfvL rng8OoW8hMa7Hh2Enm4pf0UhNYg3WyosqH6cr/Dqx6zDL/tMtWsc1CvmK7KduKX6tS/ndcBH9ywj KTRC6TBRa4dgssGsLNULk2FF/rMiEEgn94V6kihYT9OmKgBzNULHT/qcPyuuVF9YLGaXuNh5EQ4r iqlxtezci60imkNYz6w6lAi1VFOSWaEGiOS7hwpFozx5GTSO0AFBhWi1NBaFPpHKAP6NX+OW0Qq1 e/ElkX6DHHPlMixrJDJ8cW1zo40+8HiAAVnf4gSINU6EfE+iKKgG0Pn8De4NP6irwVVEnQXV8hPN IjlDYVBddVYrtm5Xa2dCB22MROZ0pnrfpIEATtwBKGJG48kKRHtkvWwwQ7Q0YwDLwSwB8RWc27Nv UsPjwQylh8BAiANW0UO/X1FYDWjAXqtbhYiAFvXvJ8r5rBCdNb0sJFohupqlof8GpHW0/85G61tO gjAQQoWoN7ZqkQvAxc1RIHPoZX8DfjJMwJBdeqnKP52KTRWPB8f1diSR0rgKZvs9rWPGIkHVJqsD qYLm2b36rrjPxnIhmRWDQCSTzxS25H0Tj3PSFBXVzipKUEGmR1yVogEOUNLE2XhlrY4vG4ihLkL2 aJKowSpEC0Z8+L6+Kh6P9M7/OYoa6rqp3uM6LFYP8PF2987revRi+3VbmGkawGHFqtU6gyhjycEW EQyFKcvJptlCszrTXwkQWZzZuY7bNWMxD18SRUWLjFfy8Tgov8+1SEYLcTwejxbRN4kBRNUBPLdm aEdx9cCVn/fyplCbTmIo02W/0FoeuvJ3yFhUIVbY6ZafEpE8uwGKAZKI6h0qEcE8G4r9PV1DCjlT 7yiubsQ3pMGgiIOvoZOvcYLS4OMRDeYf1S/E84KmaIZCwiKusykCFUEyyzKP83c1CxvPX+m21DvU AVmSzSe8gYOtocm27uRhXRKULjqVvRFFQb/xHETr8VekbP4OAdbsdABp64OKaKAbi4ZwshA8Hh3W HjdKnAnretS7iEKENWJl0O2NvJBKbw5xGMnw6CC+XnX4OLaWZPVuPjjaCmT5DuTW2WgZFie0Xljo 1jWgOuaNHAv9afEdUR1NUrqz+KD8FXXZLl3mvvF4xFn8Jz1DJNYuIW+HnMUBae/6EPKMNoSGx9yO AakujLZ/x4BAK8YHL+eXgdaJ6OVn6axCz6m+mV3heiQ933pCvM52FFtvImPHkEaoH3cFZfVEjCDA +3g8Inp8l4nIxO3XU2mH8OrU6FlmM2QVNdlDu8FA28DGaYZiyiLXjtWsx22aMVVtReYvCSF2YoM2 EbuZsSQh32h1NFQ18B2/JR/0TrHBltCcPcnbrlU8/hfQSrZKIJdfvZ0d27/IB9eUvXtN7sAAKzZf QtDY1QSFCBB6Lwykvzbc7Ay7NfUpbHUiqwdcIcii4ZJsAO8aQh9lMXxHEBARFlsHqzscNEGQFMuy YEgLj0cIkG9eMPQCOr7QJihCgBCXmpLre0EWpJufGw4n8+Y8EV6x+36H2nokwbAqbYNXq/s5kKl0 xGp1kuAwEtiCUlYRJc96073rbX8ldVZWGjnYGjoXgEwYzqfj8Sg5u61p1dFQjL31/B1KgYBO1SQK L9nY7LtsJHcvTXQgRGt6hyAQMYJlxtD7dVVGI7ECVkttPUDRaECF8hpqELAL3A4cLvTrxXcEMSPh sHOZJFF2yZ0GM3EGOSdzwuNBHW1+H9RwaYf3k09QWK0utMZpRlps7lZKfIOTFmnFrgmKo2rrmRUf vNC4oDJMP0con1cxp2eiEDNcB/t6cFZ76l2DY4hiuv2knD4YjOj8HwGyteAYihAgXzyYn0TjdOqK 2wRFMXUb1D4trmrF60E+OVKvphHMdP/bHQFACFOY7EHZ4Fc99GQWSyAnTDr7Xp1ZONefOTk39Kr1 hkPsd+xYBERaRNJu6ka1KbBPkT4RcKV4/C/hODb0qBda7zlkeJu3r510XnDU8O43d12SG6YMCP5C qXqnMKpm8lqk2s/BYkbI07TRJYMKXz8KYMG/ElVGSDyTB2NIorXtdQOzLp7S0IXggFQrK32dQ2nI edfJD4hQn9/yaB2bvF9RZl0w0Sm/B72v/kNomtsors5bFDoO53Pm9GmKjRSZlxUS7DnYNHXu5Mag qMCAKdHOA/HwmSbUVxCzuuYOQ2uiTIMePsnTnSksB/7+oGV3i4pm5vNB/tH+oXcCXp3mM0uhKQyc lKuOITLzrlBBW+YcDBW5ZGXrnEJ8NQ/iAoahDbeyf3YcSCQUBIH+Niv7FZ3NCbfPDHeja8aZ7XUj yBU5sMpiKWkoQl0+maaY1OhM8QOC4Kj43a9eEpkC1xkmhbXrnckVsjbsyfwN/skGvE1TQXpu0xRa K8q5C0aNNvg0bah2QFQWxKqOuqrMb89HQfkSTIB8A8iy7XWj2iz7Xiir26AQO6VGq+xzV47B5yPc Vf9OZinbV/ezmqIoGyiATV4gj6ZFcC6PpqYm/9lsAxvQ91xUwR4MH+sUPWZe89tzN54c5Zx9xBVl QNMrxVOQR0/ERJAG9aoRiZP8lqAPInPXQi10DpaJgMhIJPGGK0MKUSFXuEDmlaUBhbr3naewiI12 2hBgBgA1tE5J04OcXTKA2pKACv28YiVrFss6u0UcLpwYff0pfw80aHFLwGrorLiJzXYStnXrj2nb 60YoPonrjuWDLro1USlneS1tPh8ZVv3DZdybImt3lkKNEFx0ZRih+kQFV++qtdENDOq6TvqgIBjg LElnR4MXR3CUjEyKLqXooWiJi27iV0GiSTd6nU38en7Lb66CXTKp/cqkFkBQRLM6C5TPR9X+b0/T Ro72fNZSKBQChgxKzaJ8NgXaLJ+iICnK5wlKsu+5KOjW0VRE1+Ngs5TAmThnOiu1J1QuhXS9igiP ms17OgEE4lj2thFGpJGmmWywev+Z+CGbj34e4vNR77F8XXMwhuvtovfVA3h9bJEaUx/HIS9E5rEe x6HmvlUpUgupWSg1Ys/l+aDAO53cvHMHAhLa4O0FisqGQi3kon5mfWIBmSqn391iymRThINhQssJ pgRWa4PPB/utta9LDrX02S72mv5BwSSx0Na61fy3wEZ0OK1X14l8b5ulsKKtMmRhA7KUpwEJkBoM SwQLTXACnKojoc2PZkIinqWq0lbsfaNCEjmfmfLEAtcxFljAaqgzzcAy9Iy5SS77Ngwq+q1H5tCN ERS4DZavpqk8Yo41DWdcleWMqxzYMQINIech0dHX5eoP2BmgnEds6LmZuqh9aUHvCV2rTPyY2VXS hToHfoxOs565bx80TbAh6IJajcnng2DgMR/CLQeJiT6e0DK0ZMTtiLDbKkpKkKQLKpAWiwG8QzVN EWgkMfIehYuKg+OOoFBV4DstlXTK8KCFQvs4HAv9enXL8yP/4skoqX3JzFZTAYePZMusbZ+dWPl8 EH/nhyWbfTW12/PPsS0jzu8+rcYG/z5BjoGBLF4SqBXYR95yoS/jqqLMEBcxHlwELAhPpk6cSNkV /hOsg4MuhRNpglJ2p6n420ZtJIQCdL/C0LYh0SDRtiShXwefDy65/I+pXiGNeLc7S1GFG1yWjRab ZmlPA9pkyGCaDs2Jlqlx0PVBkRANBdNpza1BswRN1nTuW9k2nHmqVfR1cCoqwX7Laftng2Z722jL 4eSGi4oPAtjsM33sCJzbsvL5KBT4pmDRgLk9Bp+hOyOSWXDH2i2eeJF7S1eVSwklFdtxIdWRRmdd c8XBDqYTA6Xz36esIi4gJEXeFgsI6o5k7so/SM8ohwaNS4Jqu0gbvIgui1M05a7eP0w+Q4PGchUw VY5CwRehiU9TJHvdiTqm7aqmyQxlsvQyfMftu+NiDEkmWDQtHzRNgHaenA2pCSrRUHvaZIQC3A4r 4p9FkpGQSAoGQovGTG3Hc+4lHwRFKgPOj1xLcNcLPRofFUzMB47/Ui55PwcejScYgP5cpTgssUhz Vw8r03JuOh3JlfGGJo2iGRXNFQcLKzetzdEmQZVpJ3rSobt9UoqO9VrqVQotSVWm0KaRykaLvt82 GAXiqjye4InPR8f3bd/qv+7wunjWUigncvIpWOw1y3hJcZLF3ixejKOtnE1TZCfj1swi0CqtUo4C nHBD+fWspQpteNo2DVLc4I+22hMK1Gpv+9slh3PbB6t5pyar4zrtJSPJle/WEhhcfXxN0q/Rdx3W DNh9LyfPjmyuaefsWK5+nQOrRtwe1OMtdEbl4HFlQQIBPVE4654EtxD6R7usuSaj+3t6Q21E3xJJ zTOgpIqDBkvkTrwk7N65N/j8X/hI3vmQ0e7p2XEhTLviWCIXSqW4qyRWWvUcBf5LPkth9K2qyZCo yHhERRJyWFZi0cVNYDFQDgKo2AEIqZaSWnAr2dtGpiCsxG0i2jkoXkLtsqlnNBufD3KU8m2EnrGy xnpMP0PHRsgJ71aKU0bSdowkhKW9EpeSodtyaNmoqLtKLry6P5e80E8O3QQGWANGzARJQqJ08IxC q0CZnNBtOfRsVE2A4sccpqW7+9w+LDbCI5vPR6J9l943rYTwIwlzm6aw+l1IOAKe7lxn559w8JAk SgpylgDtIMGP0xQits1jVyjAelGAY0GKo9FOCs6WkJOhs2WmtwzOz3ZpEUte1qFvo/xCEcv7oB23 EejJEL1MPh+kKPV7MaGG3UjLtkkKxUUWwRJNJW+4c0vF5/y3YktsuhJmL34Hzo0yMgPshvCJ4puB 6BsQL6x0Av0EZiijUsaH5BHJ8g/lu8leN1KDkr2DynDzhDtiL/YTwsjX6Zysmx8QAXBu8C0cfEYx 8HIhc2DfCBMoZiZOF63MjWVpLXC7ugTVg4HAvxGhV1IJbvrgvZQE5mIhXrK0c4ws8rITqbuJ25mk bb/ntr1vBBBgzzJvG0x8HgVwcVT7Sdr5fMSz8WSOakN0/s5XOCvHHo4NmrSywpDhTLMAvLTs3cvU i1cGAhNH8NcZgDeqi3HwMlOFRinnCfZXrZgpD+tq8GnOmFTvgM9lrxtNE5UyVZNrSJhNwTHTh+MH twJM5AMfRxxv13XX4oGKRrpPU1T+phtGH8N9HIUyZTWOfg0sWXbiWDRNIdiEQWUrFIHm4KQtHB0L 2hm46U6yiBgAGB1qh2xaXT9UgGJvGwVNRbjb4YPR2k44xmIKbjw+Hy2m279k/SShNXexFDm0cgRS 9xwf05KTVMZV9sGVbeW4kd0CKwdmjt7BPEFS88FX09odq4YKWmfSQAVgLxhF6gFLuXX1DmEEp9f9 DU+x4c1kg8pMDa8r77i6+fxfFk+60wg+uFWm0M4RQTr8ACyZI3/EICfuzwfIybxrKRT0o2FqR4Jk g0MqAJtcSYUBWG2Q5T8APEL4Cyg3p6txy9kshaiTpgZm7z4oAh8nl2aZtK49+XykNDa+srmNgwnK xz5LsVg252abjO8JR7vjTsYVy4YBiKW8gaejG9D0ItHsUi6uYp4wtVM0ECXLBSSo4F3kcaInUi+8 izrU/JKIJkkaeqrNB6W8/XyauMSbx3coPnLx3DIPQezCO9hmKYrAUTM751LzWcrG3IZLT/fCLjjp vpZipiQL303A7tYf0fVELd/MoKlUCNxn2ocAHpMpFYWoaaoZXru9boR6J/BEYQEHraVzv7CjRxA7 n4+qTN/ZHGx1e7m8/xx6O7JZmRKr89RCoqSVPLEoLkg4Lg0ZNU2hu6M8CzpFwzT4uTRwmCOeh/R6 LSSU0DfsvC+Ftnh+5gfWnUN7x1xUZQKvVIP+sATHYan1ofwd+DsiBH+MeMlqAogK/9LnKQrBN6nb O1k3Rd6DQlTufVfTdZ/Lgcdj/p8l3v8WXnBfvOCEVc85m3w1JbFKB+IzwJazBQNO3u72ugFmYJB4 i9DKBm26M/dLcC02eUObx/EwBFjhRPz9AODzL0aPpG0bp2QPEf/JEeDxqGAAgDebphjdPcWfGD5Y bAmXGLhGJjWdEj4YQTiKcpM6Er06tKIkguJy6PWIM/RcTJtNJ9iOizNyNvm5KShNMs4/8gP+hOfS UQSO5g+/NId+j3hHlJIdwdzK7TpNtxDrdNuxeYpi8JHFyGnDB09Wzh48KwZHQEWloelwQjn2h9X9 DKyL2fJaNzxwfDxnKdGDxPagtoS7MmkRgYVLvaOTW/MDon33T62JR+J4TqcwBB+05nUdMql7qdZE 2zMGTZWle6YqgekjephMVTod5zk4K2cUZKaDEEtqSWY5YCcKPrFE+mDh87LX/Q32VZeOckCRlFzg h04KwU8oxA/4SyKJ19qkB0d5tl0Ug6MdBFSOMeDuP6F0srsZQS0xulEgCM0fO48loHF88Ltupoli G+u7+HyD6hToI+EHIs7VyTmp2+tGdx3xzIMMFA6qEJywS26ZdaOQEvg/qof4VZOjIMi8mUpoAElV diD7DDcgAUDW5KTKrspi8QpB4P8IXGvl9JQ73Cr4Al6X5d2zFyEwsTwiGJAcX48w4ljd3jZSsWWn dxPPxEGTlJIa08DpDD4fGbHU7yOcdLpyBTZz4ABpQJ0poxFa92XT4IBaisUGUEP2ymVsAWlHuMop 44oldWRuZw+AaY4KHc7fTHTcCTJI3iwUctSeW3vZ60YCkjyTaruDmF4o73bZ9a7O56Pw0iMCYjGI 0mg3ugw9ICFjhWZTU0muIzJWSQ7cZRrWIYJeXrmMTSBXID0+64IIciPoqyHpHbzo0MVZgDblma8O x+7+upHrEVFxnU06DsrngM4WTfJcCXw+6vR+u0ACq4d607OYwjI4lTYTvdPR8wUOUtg4BoRFLIvK A7zrg35TUkiDmAAOmibSl0/WzGYBqDkFCL8B8ceJiImJ3hWWKqkve9/I24cc785eLwetpr0pBwre IDK6wAsSBdbveWrorz90nRyaQQJiczIUQk+Y2xXPe/e+xixVk4dwIHCDRFxBvMAu2weLLveEcwbF tE9CcTadwvXKsJzXe0vXLpM8+By4QTpBd/ZixhFW9d9rrM32nGADgR3kd0an5jeaTogOfZZC+5oN Qkq+JlFjODGuyXeV1YFaq89SBAJXhbeL/tV9NwCDgoI3xG+Qqkxoi03xCZBvgNOBfWYJ3cj+tgEe lVEAWWU2KKGr545Te64OPR9cco9Su4CWGXKJ95YLTSFxHSEET573DvdaT3lf0sXaHloGrpDSOAVk wtgpV96FkMoyk9hxubAmxFnqtK3EsV1/nGCpelzoCgkIHi59SbZjTi23gI4qUZfojPMD/hS09/Mb ghU+S6HQNjT/91oWgO9pnbpzvQrnzHIcQRCapVjARAidvny47CZ6QdMLaQNwuUmmy1A5bJD7guTL NbHp9rYReye9YXbhaCabAWSMfP4vcBybeScw7Wnd9lxgDQmP2gy4OBM3inAml7RHl9kCpgxzQoUC sTckT++iXqYYv7rkQN2cyQKmM/EQDyCEEMIGBUl0bReCAgcAfUsUfnN6SLDToB2Xz+dO+arOyuf/ cthYOvR/6uOMkEN7SLQxjOxAO+idl7MudnX5zdSzd+cCf8hEv5cBpd/qw6UTdHgXWMAErv6i/kQi yBelVv70DkHZ9roR0Wmr9G3+futHQlEVVBf+kfN8GT8gqu06apdMJyAI5xMKBCaRdscZnRDK7aMP iyvNBZmqUyjp2GKKGZcMlVRBqU8FBRKlIMET20iPYOCXT8RUz3qHXAooPNeJhAckvyWSVWKztxBC yMEipnPJSQBgVj0f4Zn215bL+Po5x3N8hxqBiYSwbMrSsK6zJGUWiwrgruU2EjnwigQHmLfboMxC H1dmYZ7XyERYCtw82NBFoQmwXXSKVnssyEThCcwi1doCEYwYlN4Mg4LaIWp9nCU0MQO3SKB+fS2R 7A1IVW+Xm/J5jZc6dnqhlY3SEQDVzV8LgfhVuO80Pj6T9BotdeAQWf8G+NcGmyKk6/CPqiyeJHSx MZknnJTi6A8vYmc5IVp6jZW6KwJDqMkHJ6YWwRVbW3j6ZXo6cjGdSP1HeBzosqO7yn91fpdoeuAt Pim+inLATMSbuqU5M5Yy0k4mvP0aJnW3Y4WMuQ+OFqh4CbiyVSJSk3yj1qa2L3gKBHvJI8Hw8a/z gxYKnbUEQeVgZe+zs6iQUOvC02/zA2jmtPkRixmiwmP69LxGSOcp6LqdjWXypHsLmyOYF9UUqbk9 XC/5NT7C6qEYDgi7PvjqySf1hH6AibuRzIaWJm4uOOyWfZHMPHE/r9FR1yGCNkwvPtiNNo2FAvb0 5zU06g/wtAt4ynIfa7j4ytfACGvnrJUTeVWXDuCSVTu3Gfr7REXw/OHkvIZF52OG7EX/+/TZK5Hr xUP6nBEF7W+IiZ/d0CmHxMazJgfZ8Oc1KOpylQfwjQWAhUKUiFubPuWkn5wM+vMaE3VFC8/sUIet UWuKLubhzjpzkt0X+/wGxZHLJ8S4nPjqddvXeAg7S3eXiCf1IZ6MBoQDuRPwsQE2lzgctOR+mDaU iqqYdhaFYT6v0RBOHtkfdTYnOTjvpKkf0PoJrF9jof5kH/3HTJEXhJKTTc9rKNTR5YZ/1uy2eCSc r/J/Hnfx4MeRwWgwPeKdtv4Mjuba0ICiIBmKk5D8l5EWitooVGVsLwNMpKRXfZ+eXeTi26oPut/R BdimMlU+rzEQ1kH1xSPSBRwBQfbT9LyGQDjOaeKbulX4AYXpjgLYu1gKi83gNlrhBDV1bIsPzhSE GnJN8hfdMLlHqktDTVQAcTKD4WwZrBwRou3FCcKFygFpotmLnj+yygCp4hXfZ6hYXQ2/z4/B3skE 4wy9Rj84mit3lTXXIMFpp89aEnmh5eHsdrG/xj7ddUuoAWuD31znDIX1IsvY54guLAWMjjYkCATw 0LrSpAQef15Dny7Dh3PuyKB2uUEtguit0L2d4OnzGvjgDa1Q1H9MovOH4EfNzmvRsZtYQJvlyti7 itLakgsiVykNK4C8lhyxt+3YURV7Pir2G8rB5zwGg7JCjFOSJQUtbtz5QKfVC3Br1FMLZkdCASUx iuaghtFJVBgQQFMLT7/PTt9+rzOdgELAYNOI0/NabOxSRTsL1QzVz9FssuxIVq/cBJCsJjcRHc6i 4WZurpafzVVOhiGREpw+539titwxaoFOJqww1kW10ffotc7YxfMk5ab5YB2QcySMWxx6rTLi9Bk+ PYQJAEXc6/S99U51w52cpL01DBKxaf4t3g0LyOLdjFmcEhjNkCzq5kg+3B72SZWSummotZ5bmcpS kOGgk5oQkqZr2yQPEO2vQYBkopcGB5ViZ7W+FPiGn3eiG6Oz7+AHpYNW+w2co8gZikMnbZ8qmQEB 5NFPmd1QWr0x7BTpJgydxbkRJ3DcqhB6tBV3WmEf7VzkNbPggRIsuRiFiG61Zans/nlnufGE5tTk XHywG36mYUY9lY//FTwXStygP3X2fvY5isJnkDyAAit2yQ/z0crueFxpN9KdmBTFz1tzJJofB6/C Ap9WGT/XnKAVnlWFrQr4fggFtOxCaXwUQHeq2J8c9A6GYwMfVZZhYCW9R9APRaLLXgyLWVKSat0F E4RIcPfKqx0hdPbmvlfzSeIew7dZFEPThwbxT/LBo8QNJ7cOQB3U7SpaOrjlAQyDn/UPaiHPLZb0 skECxmoZRXpsMAxbA/CPBzVQo2EUbVYR4EX/yExjJJY5VNEMIiEQZFB9bV5+TQ4ancwVGAfVfUGj 74E0LJKxMrbk2TgYaWsNaLaOJsxombmZxRYEwqDeBtyuYH5nU1a9628H0VAliL69QlPls+UaMfsj JxTMgikSMNhPokz8MX8bTVEUS3dx8R37MMstBLWLfTjL+8K034NpnPpE903K2HPwOhD2UoWqMQ5U 9NRol5CAsG5YQHtes4hBU593Wpsdm1B0ACNp26QQbnRC2Sbydimfd1Yb4prc/5kh0jmnR0PvrDYc IJ05RnEde60c9vNXfvr5t1QWRdMCh4yUhw8eLZ5MCT6oVJSAQO5emqEOlbu8eWS6FaTO6iiaburm D+psc9AtvXPvVdFi3p93Rlt/3ES7sdtPBNfJpdQMReH0WR7Qt2m6xHZXDL1khWASLudfFle6ieJp VYKa/FbaXE8rqIKetTlD0KHem4YjExp1hFXlnlwpAZqZetn3KRpyy8xSBXKVr5Jgzi7kywY3Moqo +/KImo8BFi7HEX7pe0iNNYQeWd3W4ug0gleLg8wVzlBHGKBSWRRTywuBUaANtss6St5p0pF2UwM4 KfqGkgEixzovTcsaHO8xtdMiZyP/aDpDlRJYK6kaBIWbKKje977nLkM3gahbTVAUVCNZB1fbQCAJ tDObIZAONUOQDTd17XciG9dQ4tQ8gx3VoFCsTYc6wB9XX/KCnGwzD7RUvqr2Uy8bzRAKZefy2j5w huZZ7YspSCXMIYqrHdyvpAyN7QJSglUTo7AaJfMNP2YdQ/2Ka5/jok07qGt3wMw7h+1OUK/lDl5P BCykIhdDVJ0adJ5ZMlNUc5IPmhqrGo3X+LxT2BhzsVjW6h30V8FMmnjHeu6ZzzuDzU5KzQcxIxD7 ZLNAExQF1YBPom9vuKuWr3bEKhZpQ67VPXvf2WtcimTVLoIbONgphK7YCVxzlg9kmjyoYD8xf2ZB 1rPpr65TiEXFd/YarjJK29FCmvYKANEx7zgrsTFVhurZ5529htVQv8uKkCLtqXrm+k5eYz0pkcXu hiM6kCaPobV9DSEE0RS9x9Toxygzk3fWfLyzCqp9U1j1UtjQoPo4MFITa+ikazdkHDSLeKeu3YCo yv8IsPcfo73A2k7yh+dVP+/MNeuJeOLBhGUxSPM5isJqfB/6g1YbQpnI5mhnrw0NgMt0EL3T1rpU uuHGwqN61HtUD3AqSh3sH56IcdekOUK5aaEDVMG9cZAMJUjeSWtY84kle6gT2OB9jUEi14khMx+P itN+EmFmgQTvovZxhqKoGt20TSN2o2J5fWinte5JRGw3oup3wlq/yA+E5zY4vvHklrByGCreIxKY vO7PUd03wddYQaq/Un3w885Xw8+g0yuX7YP22ZnZKcHNE7l+3tlquHBvc4P7E8bpvWWv37+z1bo5 IVhExNzME/xFupQl+KVaAf+drIYpkkibjHvbl3Ev8FBnw6MWDGQonH54FuHPhdQKfgxkr5LbRM33 805Ww99IgGyHUqENPkUpd4GC5vq8c9W40OvXIkLNtpXlEdE7U41HEU7rWr2Kltw+C14R3uLIzYuM 7zy1m5pBBtkHP617Bl89iWusU4OU7PNRi37VnQBM648tvWxQZmQ83eooPnCGzjUPWW3844mMPu80 NfytxWNGVh/QN23IaG2KorAaQeLeK1mCv3fLNkWGUG+PaGvi5wRBI0Vp5uYi4mA3/jqRK7REWMaH WK7s6umL2dFNXOVBxZShdw1maHJqxhQaDcBu7o1zuCVm3aC97c87R42L6Ds3o/DCvvn9O0UNJRDY 1tBUQjWiahgiCA7XaYtoIvzVDL2H1dAbMZmo4YMHjediHFOWLOWc4aCTISZq08wqMy4Cq6ORKvd5 Z6h1WX+Q5Zh9UH6PTtk22/T+eSeosQTicbUkk85812eGorgaRybsnq0WixvTo8Zy6WmzNCMUvbPT 7jaTI40GmyHIUJ0rs/FyLcCekHZFff3z78E3rxfmQQPxd25ad+cjkAJ9MJTHuTCnoYfH552a9i+K Af8tfQRvWP3OTLvNju7ENINVmYKGh0S5VuN+vPPSuqtrr8LiBgeboAazUvh9slcGGZAtz5Ymn7Af wXW0ySD09HmnpXmfHvpTwwfLzIayAerBf95ZaQyr8/ddhrJ81Q7nDIUwD4CrZzOJMXjTehGt0waX xxAEwmyG4mI12AkodfigGTo/KkB5nfz0lTBhOqnQ7kQn5IdeDd24MUXvGs0QmVZQNrLhJh6bhdTa T8z2zkezE/erWV/UIPEJiqLqhm79JuFeIv+pZW94FFetO/eOi/u9s9GwAcj0mAJ5zgfkiQJXadwR PKhPsC6BJECIT4IO35pnDbWhlw1SM1SITgyViw9WQ0PnYSj+4isG5/RzlXE6cCHMZw1FQTWOqz2S I8+F1KB8FrIzQ57T6Ipc4nce2tcu423PwXfZWYJI6psUjxJKXqSqAT/IRjdlsJW9ErL0eaehMfFg L1qVWDCUf4wRtQpYBLjBUy6fdxYafsZ9czOWS8i1zhcRE4bVjaKs1p/f6HJpjhalUThHDU6stoqi YjUtisHkmT7YPsuQzFzA0599djYWO4qYRkTUaLRQU3tKhq3oVaMZmkKbDx8MMIRWk8R95/y8888Q kJifqG0ziOXv5pXqd/ZZl3ADTLDtHIKQnO2yQlEzNYSqx9Tv5DPMjwBVk/PDwcMhJJtsclTUAIHo laeNaPzzByqa3u5g1vFOPcN6X2p3tDtoglaTkBXpKJ935hmWwg2pVQABYz95De2deIYvxd5MSX6i 7ZFlJdx8Tz+qJ4QPdFRHperB0vho5DFysCkCwPRk9ZWl6ox/wml+/hvmKcgm2dkzHiMhwu/MMyaf dD2i8o4G63fAD5C32cLP+B5Ui13xdRLh+KWbmk1SFFQjVjtn9TXPSsMDolUu8CznblWid9pZlxPD +bs7MXeji0gpdMO5v8ZStWENqB1J1Bd9z4oUI4/LgWF98vPOOrvYs9HZxh/oR6qPg/Nu8T7D0fB5 Z53x6r4nEZFqICKMlO6NFiJA2vpyYjmrMV/p42TCh+comsuDorBcTeWHmcsdfKsNiDSotZjPsT8r DTMXOD8TUWPmPjPpY4TKn3fS2UXIdJPShoKeUM9Z7A7UiWbm88FmuyiQwhgIKT8hgjZJUWiNawKE DvPMhBSGIc3BW7W+EGwdbZKiijWyw7dCESVVToZZCHPIYHpKhEauPpNI0nYLRaScvTPO2PsSAJaM s+YSpgAywyWbB9Lan3fCGd4x+4mtXi38obLH1u98M976gH9Qlw2VfODQXNv/XBWudDjU9gCOMUaC kGi2JFO7vux7GwtnshVtGXdCFhBt/Ez4ucAg6bqIU9r/nW9GoIIk/LYk/FwACknfsoC5zs873Yx7 9WL1CMXH9d2h92KTFGJBQBeGEoC4i2OSxVhBN5tElpPXAbBgxTJ6Z5tZuRRHMPdaf6TY6Yd9ol/W 9XM9FwmiXFTTzgqaSClwSnhk1IreNdhqfTI1U3sxe3sRy3wSXNROBvh555qxEOEVWb7aII/Lr7V3 phkztMndJceaLckTwsxBTDLWOaUqtIiionUTWg9OnTZ40RqCBifZY0G2NUyMaT2cMAPtOSaFLnY8 7WWDE7tWHtWt+aAZ2uj4Si1z8/EgvE77a4aohpf3RQu/R9dyx6OyLncUShbNZ2ibsv9ZQmkZ/fWd ZNbdEHKUtXyw6LqceH0pDoLvy9n6m3qiKH5MxGUMqV3omEyFd5IZjs3Gsv4QInY4IhYWVmvr2j/B 9TvHzB7/yvIRiEKFxGYoiq2hb7wXWf8spWUvg8D/0wOjupOV0t4ZZsw/GDTavTauaB/MQ89uhY8b pIzOX7WITAf0HAbMQHyivagklhn0551ghiSWNLyzp4oPOqvPTTAkHnZ28eedX8aegBf1CbeCHfm8 mM93epnfZsMd/BAXNWcr5JXsNtugIWqGwug6KXllEsLB1xBAEruwYp1OMACr5B9N2vkJF5AG06Nr tGL5HVGWz3bHJA6EgyZowu9YoOo9Pu/Msv7YrnbpOqBG1G+h6J1Y1qX1da7L4r0z1mrUO+um+XRS xTR8CYUwkMWkq1HuiYNNELh4kLmngNE5hnJRJW3r4EGst29orRUU1asHCURLumrLddUy/QFYamxw g3wnlXVJaz6nEF3W87ynUBRZQ9HsnM4G02MQ7EFjrQ5qLDv5CopRIDinkUv64BdZP9MPihB1OCYU 7YTcR10EbQsm2XYIEfX5TiizBAtMMl75HFQFOUeEfA/P/M/PO5/MQOtfqM9EhW6vxbYoqu4ZNWrJ XZFYnl1DHDQpK4JM3NwsgrQQWE3TZzQ7fHDSy1nGOC8IcShnr5oydKO2DGpFXZRlFtKS3jVYQZQs Okfr9EEQB1RushqLNePxIF7s32dQJTv58hZaGFF3WvWK7UJThDwcW90pAMdK2ppuudqicnUikW5m ij7PfEWf14m2zlUF71s6iI+95UHGW5YmnyVdZL6d01FMjSMeWl0QKNBgST7kcERnKQOPBy38ZxHh 9TbL3sXh1T0Mqtl9ZTtGfpnNvB/WlqaTlOiHwc/7e0wtIU/sL2rw7Hw1eCCNAte+THUC3nKi5ncw oNK5gGB1aSG15Pp7TEucIk0VH7SKyFMXzWNUPB6QO/6pE3Etpn3JQVFAjc46Npf7ZTaze0bS7PBz CFlbe7pHOJCxNEH9DlZHQy0cfo0s7Z0j/Bx8whbDnAcRCWotlpZNilz0qF4tgdBzaE4fNEG5SyYa 3d2Jx6Nq7He4SIMVUBBsgsKAGuFi78tvsp685ZFzuygQlCN5UPeoXC18/mhEgYz2oEBgjV2hzo9N 1kEG3awS0euMXFym19YUAo4AX/IbGi031mShw/KjqgXQJY0RKiiPeD5iJ/5TJsq4X+kQapMUEhTB 8ti1GGIP4koCoaVy3ftgvLYFA+lRTC1di5OhJh8cfn620TmPJ+FWJ6VF6iSaByYJYcTcX8oNRS8b pa7UUTU5Vano8M86eQ7by4Br4/HgtE63qM8OAL3N7n3WI5YijgjYfLnCs7RmBfts3atEQH9pimIc iNRkCPscLkGFkBEMywFUKIr6q07CLc9xDpogINYj34iIkTG+I+gtiuYhmf4FXrvaOYApCTZzwv+J 54MpumAiTgcu0772XUVhVN0h2kCBHNaI0rqAq22BEvCsxTKzHlIVmdxPNajn06CG2gcE19CAPlME 0jjLSAmg/g7cMF1X7SxCeQvfESX3yOr7UkwEHgoLGZACy5LVmqVuPB/stEuFYRYHOxuK3GqGwqI1 GtTmYAjXSCi3Urppzc5SvvqvAK9whmJ0NTX3ikhn5eG6nhsLwE+S7MFCK4NkzgInmYVDXHe/C15O vWywz1g+q1MyV9PpZnmh1q3EY0w8HhzX0+8zlswmkW3Pef0eWCPzyBAlVFx4/gnARpDrIYhDgnRG 8oq4gBWiHgXWe6lC1IsPNkXnsD8nK0SdQFzoZZDogCLJmalzCCIScyeMkuiw1qOSdSVbaJofRl8O JkqrLol8nlWLx6P0/lbRlMdBxMZxjT2CV3cSgpMrERRaILAAgo64eWPC29oWUYQDsQJIZl9sqGZe eVg3GnxJGmXBrJaaH2MRs4d0qfSLHkb2VfElQVA0i59BNiSLhM7lLaXiVPB4tIi+e9QZ4V8rzbOz HlerK11UlNXDLNaNMRW50hizQi1QUxRVqxezjq5GLAdHoIObPuiWc5Yq2IZZRiHwHIDM+/8y9l1Z suTIsf+5lvqAFm//C3swM3dE1jC8bg95iD7DjswoJIQLE2g/ep2RbVR8yftRZMd00hSlO0XpXL7S lV3YZyFx8Sb4XXMEOMCuPkcjCq0JuGqrew2E6sI8rVO9njOF0FvSpqNy9WQGO1mu1+Bz1M9rLDR9 EDl2yDJQZAbtpkqJeWQLZHqUxNN6RKF1phJhS6RPczCmB9wVRCPLGY8HofUjS0BaCHxr+oUTjSi2 hr7MnjK6gLoFvaCkiFJM5vKcHsO1LUdYrObyGfgjbLg5PpCNVHwHFP1EMwRcLTSJ6cCZ6/YmbKHC O74kYr+KbGbaKBRD4HHN4goj5oY5CsvV+zvLR6UGkE2fohBjXUS559oZyGdZf+EyOpPUPHS8lKoR FawhDDPOdQxaMgZGKUhAElR3AbSW7dzmX4PGCJAGHZXXp8M4sV/xHQH2s+O43pntoS2rKUzR2bF0 7/rp5z94PGp6fGdoTbUr7+SPEGLNWmNxz9CzYBxRVPpw7Ge/SIcRxdZCwUzda1ON9UFRtDMJJ7qe ZMMMtLaWlDDYRIdTai73yocEL74jgjp0chiM0TC91jhorMFi7Nh4PDqKvnGNNI+m0aEmKIqsWSmq 7jJ7/ulah8+aplMZklMZRhRZT9Z/ZmGHkcPTWqzIpZf0iOF6RW09Ejc6NeXXwznDxOJLgm3GU3oW WariHmQ9A8W01FkUOXlJxvPRGvJtxusdy7btexJFkTXKrxudY51EvVRX10mCiPA+g6wVk48RRdZr iB7cpg9+VteSznW2lugwtSee1ZCyQmMGCNl069Vk4Y8QYL2lW7WzDxY2NsneAmU28fh/gctQtPaB y4wQYD2ghLqTK+7v7KfQiZS85dFnMa7HiADWPHkhPNR9cCwItllDE5DIz7omUSMwBflpZFusx0mV 9/0IRUAq0WhDhKrhhKpNNQR84QkiBh4PQqL/4eShoF/uCorq1SyDNJLheNsLzDgJjd3dkSBI0zRB UVhtuRmVqjEw5CAS5NyWMMJkx+NEfWQF47ZXowOMmH4B6IC+4Tv+Coj2JK6Rg+rVo44qxhmYryOM qvs3J48y+7M4IG1EUfUgCkR2juPKfVNKj2076TICL6UJiqNq0YQkTzWvyP6ZGhh1DAEcQFBtFI+f ULA6P+BElefqOGwtoTCqpgBqlTZYpe5JMkYViqE4aU9ssfABQYb/nNQCXaHaeNUuRhhXF4qlGPZj y8iORZBNYWieQwVIRZ1DccW6kuKRiw+XLpQTzS2oPDxPrlxof82KEtLac45sr+pvae2ExEWSXqt8 QStSVgHHT8i1qPeCTyx4PqqCeNTIIshmGcZPohmF1dBuBGNXCQeE0JJlZ9ghP+53OadSjxnq6Kmo T/tvDV4EOZH6OREb4yEgHWTQBxGqH34uwDHeOSv2rkH62kwHffugo/qkS0liF6k1PB71Pb6ve8BR G0EzmqAoqoZi0nn/brr6Fb8iJ2hBD8DS19K5hk48NMOKdWL6Ovf0wbvTaBMtejYBRnTWEQlVm7rx EF9E2u31WBrtzJC4qJL+kAiqS45ADYRFHMRDveDxf8mhVQXgZ6dTt1EzFIuBgMbA0iA5+DAR86No uvgpEQsSbIqJi5JkFlamj8vthInHiReavORqo3n22WWQw1jALVN92NmvlCmYccmajGLK6WnQQQRv Wl33J1TF4/9UjCPqaLCS59fZjIJqhJVwKTFWnol7k1A10kNkWCZTMOOCNXOy3e9w7/vzb0+Qic4u 6zmf34NyuwX3Pco+1Lkwtyai0WaIAZEVeJd/encqzAltthAOJ/LMeDxo4I9fGBDg39vFV88oqAZq bZNbYM3FmZxPVeUuSxDImtbBn1FUPWSaLp4wB58h2EEmdFaBjj2xg/oe6NaBzns+r16NeJKs+CXB PiP9/uz65IMOIujecUWhYIfHg+Zi/w6JUBvs9VYaZwwCQfNqbesMzTJciblX41UzvbeK/oxAIH2r jraKDzZD53A41w80aWgAOhIr2gNaSD80UliM2xQS0bBxRkG1yHi7UB6eg9bQoBAkE/Yx8Pi/ymg8 1tFgAWnWZyhCgeDOhA1hcdBn84J+2j5D7mqJGYrK1brLUED0wWbo5MIwlehVNuApF9YkzxpF1Rjy D/vCZAaVGWcUVUOhDWUPNYd2sq4QnDHSFKARd1ksBnLTDnXOOmH9PkNRWD1orteGNc7aKg6LxRWt 6seJGq+2Xiiu14SsFr+zX/m4c3acUK2zmIjaNfrKVbnrgN44UogrKLMI059RsbowI6sm0rhvEW1t AruxXzYfD2Yo38uMBxFt6y6SaEY4EO6y0ZNfZrIjJuVsX/XBkZ1yNqO4elhaP4YPnt23dkKKXQS1 gn3c4nVPJM9ENslSn1WrCUGfUVzdODe9DeWuKM9L/nRuIDUQ5ZydjOcjLNE3K4/ZYr/SuTOkLUI3 /wQHpvC51nAiQ7kt6lFB4NU2i9VAiLIaVCXicPtmJLlks2CALypKaAXAlUajIXyPA4dX0ctG+4xp K0XANBi2GjaZ1FM+/4zH/xVUywKbts9+VK8oqE7kLe57VEuKCDyGmdetVU/H660IBjJk5YG70AaH 6DccD4MxY4IQ2GILuwABApwdpBV/63evEAZy3hLlKlSINGiCEgzpGVSfeAuP/0tyZ+sx4AWviGVY qpbpt9c/Rsue3tdt4g6lbSSymqAwqCZseGQuIQ4WMp677Kz8Ke2vs8MgX4pz6PzauHCh3fQgibDS 8R2RxJ6svMzRC0GqwrwNnwjyfXcteP5fB5HUQJS8+AyFAtWcoe3nUG+33ZHTqI4DwQGgGYpxICQF S8lymYQ4l1CBzDDbqzWNBeiHxPTRIANhZ+4bDmEXNHxHVELj+bOZwHIQUGadW76a5M7A4/9FhZkW B/NmHStkLdYl10pr328tHNXQ1vJKPhV8cAytEFjN0iHwrz74XXau9HPlV2oQglRWuB0TvHEa0A2U J3TP4a2X/UsuZSsx256Y4QbsLUnifFc8HmWu4/ucRgehp1vKX6FSNcuwLhq3UaCw8sdcxoxBEa1Z +WOFpEVmHX20O3iNCE2JKUzjOZPRV6QrMxjnI9FWtF6YzGb7fkUgkC4/z97NWGiZxN4JGLLQ+fAI w/P/iog4H7pOL05mRVE1Gr5bEAqsIuCjLKq+wsPIzKZBiVYoBiLnakkMcvCYca4TFJHGcS7OBQ7g IJToTOPCL5IXNbWzFGOHXjYi4ctAl/ttJK9VF4g68LqGo8ZnhSCQ/b2MJqOxfU+iEFsNsFVTBxFg q+1S+aC2u4DcWY2+z8KoWlqfnQBZDve672hNiOLRkddinwGLSxUhFAv3JTAQeYcvCWaIU1POhajB WmYnd+3Mvs++SQ2P/0vcikcR1tC6VgsriqqRJ51ZIYGaNbRarX2/Jq0XM1WJss9QCK4m67Xncgdn Uk3ys4eYVAMEos1aLOMiFFRy7xcDMode9i83ij7oxSzfC64huL+pylhLxePv22z9UpCD40Gn1q9m KOQswqwD+bdCxpnNWvBcPzV7VD3zNHrwCqvVhrqn0h4HLxF1CJLXIX20s6YooTYERCPgeS3XbTop RNPLBvBqis4BPOqDlYg6sxkgX1bF40H3ft01lFVyQ13yHtZRVA2ZE6i1u+nCXlcxlnBcTVFLhoxd YVQ9VKQmxZ6DTdEJqRvuMU7R2Qx1cYrgVAHDWujCj9s0W2PoZaOeEKtoIB3ZoPy+FNMSBywHj0eL 6JfyOaLrdUvVOyxVN2oReZ2xiUstQZnmIVFGn5sh0Y5K1YOCMn3TOYmDzdAJzlsFppE4qNwqCHAo EZ3LHSkJLl0vgMilekdRdWPlA6LaPvgaMuXqE3Px8YgF840jQjDf9q0y7veoGkKWwKKlaU2zTo9Q EalUTpMYiPt471AMZHDtLJbSOPg5BLxeJaWLosPnxCCMCLAPeHr9qCVrdxml83eIrq5CNLY7WDH/ /JGisZxACY8Hd1ny1LXoMVQ4PbvfsRoI5c+LqxOkMorP0SjVq2i9OrxhR3E1VZSA9LiD3WYDkMbK +KWiIJhAYkfmsejhvX9klCWMDOoY+I5gioA8h/DuHYzDsFQpPQujbzweVNEeGUJEP6Bm1nZFh3dI WASzcvRpAJBFCU1C9Bdb6QKAcIVyhkIAiJr3VWC0+ngHbmChaYaMOiMUrSpvs4EBB1ZGhcFbQrzN dhRYb+qBnzN6+eCFxmFkqpMq4/GI5rG+z2qkr7Vvv852CK7GdVbnchOG8ZgwpFFsihIKh5qimLHI GmwVxrqu2xM6CVODK66kHOa5FrgX4UyIggU6Hu2W0VB+xndEqYfyVp7Y1Tcz1DBrEcAedLMdy4F8 R0RsJ4yrurOjqBqNVhCezFqxj+VyIFl9IsqBQCBRE/Q3AGSyRKbBa9WQYk4sVoHzdKJEdRUR5HWo bbdHLwUCnHzXP4SrEaPfwSpEJxyTwdKJA/F4BCK6QTVxwxAXf0R3doQAATQ0pyQ1Zv7jcKPAlKhr Kh+Y7iJ7OxTZW8pf9x18n0HebZahavX5QoOfU9e0nhNrmWE2G/j2thHrtZHNyZMozXsSbSBuhFFs BY8HuVkv3ycRfpuW+z2JQjkQKKI2RhckC9V6t1mZfhKRFk9O3g5BIILHKCSaT0gEawqQg5dWUV20 CTyrCHCcyqe2A4cLnXvxHUHQKHpHZot652v12iExxr7iCeLx+L/kv3jmZHTtrqjMDovVaVGVKNkE NbPsgvIbu0OFEwTKCScoDqtZP5Pk0GjXZWBuOByOnNQ0O+FRJoS/ULsS1sajPbzgPfWukRIIZmYU 9j04GIyIro28zHAORQiQsX9hYuFXyO1pMxRF1dT6lDsSta3o1Cc51O4mA71dv+AdIUAEQRtr3MG7 95APhb0HFeTmmWuiGQsQpBVTsmq9EREbHjuWARHRTH6KbjrDzIx44x/4YeDxfyrIkXmFKlW9IZEB bt6+FjqN4OuqSkT7TdcBmQbWQ73CqkT4oKjUSEWmToUCDpqjc9DDLk3i1YDqoWBBDQcYj8J1jX7p DiVa2143IHayHDsWoZ8oNenqLieSAwcfKf6ZSH5AdGBfLwY5WJ3fq9/2a06h1F6npExTQn/SD0rG UeKP/hoUcmgUEdI8xVp7pMHobqtrXDTRiUZQTRvEOZx56JgW6Ouff+XkgOWHt5wL7zC8xrdEipbs UU/ut+4OT9A0HoX5GkRC+HyQgtwmdZXkNSHWX+vpPcaW1OPZ1V5RGzLFY586G+4a7oFe22cQEFb0 UEuj8wsHm6eTByM7k+NARbxNoasONegJtHym/o17c2Z73b/mCd4qHGBaoCN4AhYo6uZY/IAgQsrt KxNp7A6Na8uQovr1+SvYGdKM4ORw1EyT1tX4pVCUU2izqGKIytgcfDmd6Z4tM4isEyaalcsJohpo a0MzIt8osmx73aA8Sw8mZt82GCqkFam0VXT08XzUSPsGX8Ei4sQj+85SFGknchr2KMZpaFekiCpQ 4jTUdL0ZUlTDHpLeqeMOfjgtuLVtUmNQ8590hEXcBaonIGOT7gysHNE3i98SdEKWnN4lSXwtPs7T ZVW5vMGeIYXIkHbPJuoUiZR74TM5xWVstK0bG2pAqSGlIlNvw8zLUGoLQv1Ftl6xqPVVuvoteAX0 g1lWnJu6oKRNW0GkpRN77WRt69Yg07bXjZJ/XHVUt7JBVx1mmNHOuSY2nw98q/Kv+shZSH3l6ziU wlL2AgxrdWuIGONz00+nFcODNsRLBDvig4JwQH5MgmNx8BLS+cWEWMIRPqD50Cj9jUgNNckMTWo7 mqCWrm+JSJ/sFYJjZ4MHBFVE3HIWKJ//F2pWkBsqYVzToRRqhWQ0i4YZBoNzZXtubUIMZDGIa9T2 XBR3D1JAmkkUpKcCkCBuXXjpnlk6oRLPsPN/zvUycdvt6RSQwiY0vySCidDjNLOvWtRsxZaD4Ttj nn4e4vNR9/H7YEIRv7f2TFIYem9QY2+tbaxbRyrVDS1OgFquj14kGFIpgzHauMMFzqLySA1gLiyy KMBx6BRbPjNVn2BABssplrcWAJv9Iw5aSSdaWWx4nshy8Plgvz2lJJoRoIzV5rPhwqI2stZzGGTL S1IiQ1Wql0y1pXqJ97d5CuvaSzV/lgI43NL/RsNNdnoDKBjkJdR9/KGNbk7EtFRV3Iq9cFRPEqOI QQEHQ9CmUSyNY3AZ2se0Xw0kaEL3x4EotGWc6vYb/HFDdPziQ7fXS+pwcmMObBkdEAGzGx+8YIL+ 0VhiN45zgFtFaUj55XwVMWRmXNn8df9S41sz30G123wmjLu8nbuCz0fJ3G9rCyAw12PMGEXg6C6f uHsZirbOC6tZ/F1VD4Dnr81SBBtJlAhbSaCIdO09Vz6n0YnwpYOVwNLc6ueinAu7FJwPTpuh+0f+ w5qRQUDOd9Cv39hbYABeKp//l87sXUrt9vxzYM2Ix6jmPK0m0Nt0IaNMWW/WBNpIJmSUQ2/GJaHv Oe9gk5QAvGNTBFWTAbQftyZi50rlXvZyNEml2dtGjSS2IYlbwrAMiUYAWOPpXQefj5JeP5g4ubzd 9rOSoho3moFgXTUvvqVZvPgmG91CE2YI9GiWwugbeH44wzQfVJg/h16vC+GMiV73JlQppQDQPwMy x/Ux2NTOgUGj7bediLPRIPhIWq4LfjYAn490Vny/qW3Q6Pxd7zSFynyAdfVs1ciNNr1XT+izobXU vaGUA49GSJSyAlf68MHLJ+1MSN1UN0jwMmzE2OQKGAjKBHnkW+mWoFEOXRoXISQ7iYiV+DYsMe2t W+5M1ubzUef2RpUGW+r0YL/z9B59I2he1L7sNk+kUWnP0fZYsk9rTF9NMYrEKpXbB83TlgLdZPMW Ilkp84TPQ8VrtCO8q5SngoHQqVGAtiUVseUM+LzO1SYQZl0w2QutGnnA3j0H/41SnrAysGpEDIJW SW6Wyp3TwsPK1bvb7JWyjbGWQ69GecOdTxo+eCQAVm6G3/BJeDfIZYTaEJFGQZhSr1ZoSaozhW6N k6lczXSCqNkITeXEEU1O8e18E5+Pju9vlTVQIHjo+iyFeiJQy4BtCrMRMLOcOrvcl/AEOdtdwHLg 15jdr3G1O9yywNllg3Lp9SyFdnL2Lr/GwkzlB6x+DwRqtbeNWPzEtXUVv/tVwzxpEP1EwBXR88FS utA/nQmQoui7PydTFH6jCnh+1uIA0uTmhPCZGT/OOXI9wxyYNiJHEU6COG0OjtuCUuuqWkwNiphU FSFdcaGzDnHki0pK0143kpxXPEmuMQeFlR39bnkUtcLnI63wb//Ygm4n9Jt9lkKkNnycBMhkKU62 YASzj+4KRyewTj5LMaiEkKQmX8J2fQlPDLQhzSqMJCTckPwAu4WqKlCkq11ry7mSvW2QyQ3OTmGn koMCpm0qDcDvNz4fJSneiWPpOdOqYz/2lqF7I7WyWi3OpS3VtbLOceIVS3gKWsIb2jcum6a9ffDF VGZJFBlGjnKOBtnGQ0TrZ7ALDHSHcjkh3HLo3zh5yxGbwsEgbpUqjMRJnk/l85Fwn8eVWyUEgAtu WTd0cISu4wZcRuXvs723EUU7tByy6Ed9epsgtHCUB9GQ/ON45B8n8F9OyS4FFdlB+M0JOOEeYJLL xmGTo3Vo4Sip55bXHXR6nxfMZklQJp+PSid3ktT3RvS97o6LTRxB7F9rime8R89qphQGN/rH818O 33GBi6P8liCVTsVeDheDs84FzMZORVrKAAlkyLMHJzCd51q6WMBck73uH4JQZ/tuimWidyEK4ziL vbLCj6uGHxDBcH6pQJ6pnajt+TSFGJMGsXCqXFGdrjoPaVPJwXoErRSfphC8LQ8+ifpw8B7BECg1 yw90ASAIZTGQa+gCBMWi9txz2943wAiwJzdkCwVhe+25coLDynbBOcg7n4+INt/TRPtvhJg+TbHr zIbXTL+uM0YHQLXe7HpIG/WEN3BzBBRIJg8kH3NwIgnkajqQJ2ABnCU6hogkYDhC+od6ft4Cn8te N5olaaYlOmGBLuUKjuDIU2ykwUg+MHQUTP6rNdeoJ/p1gEfVb2jobgLODW7Sr2ZNmU6vHUjAbJ5i vAnPplTu4KwtQGcKyvPyxDC5iNQojgFOyHjsZ6gHmUNLRxUGiI6xQRfTPBkp5a/a2ct8PhI49nuO QSlK8j095ZPQ1RGpFVNd06Q9me4tM02kWFaMa8OLcYGxo3Mm5mJrbq7bmltpV0CLEcGVE8U2slTR 5UQqNaBnsq7kIWt4/JIIUsFTCYhjG1Rl6id8ZdZ7vmbz+f9SQEEsSKtbn6aQIymrp22brm1PeteW tlYVR/JO0t+O6WMbZ/s2CQDmhvMM4DJ0DOuSsU3IjYgdyqzp02exZJulEHhirvIMLTlYCH7CAamP rD35fBAOXN8H/MsbR1Orz2IK9bIBxkWWbSlvXsOhJwxgmPJCndJS3sDeEboILIvs2n1wDBzufyA0 SWlHCi8WIEq6DYWWRlsDhQN12dsG0Ar++EPmYRyU8qJaLArW5vkd6o9cTLciSyAdeM3aLEUBOC4z 1qe9GJeq4+BK84plozeU1lLMlbSc9w5OwekduJKkoKkOCjnD5xE4NBS96TmH6iGnyV83Qr7r5C7F B62lcQJw8dohLJ5Dl8exvplcKE3hXvRZCm0eYU9CXow584FWZyq+SSUJBpdzTS80xU6PEmEVx52D w3LJPZfscQKQfnJ3VtI71DyYTy/c3zc6wFlg6oQuc9BfBt12MpNV/g68HlXOczgcoRUVyrXt3nOh 2yO9RPpT2+3b4gG4rLmlaoMnu01TFIOvJuK2lyRvl/fcnQnicowuS4O7lJpOYD/SNTq1Z56Gv25E 6aJyFCvgGrTrCqvG+BvZ5Q0dH/9HWwvF7wcFn2PLR2W9yfydZUlkCnZGr0DWm6vPUsydZAxeZEpT HlOaE/O13oZw8OeKowrmicHBU5rEg/TqyIqSiIvLoemj6nAzD9n3oOTMq+nkP006Oid2yvyAf00T PUVwnq71TFNIoMRiKtWdwlt7TJ5p9y06BZrlNk1hDL7lxMcab30sD5D8FHjOJuK+CpjT6/pg05Kp zmvQa83wwPgROmJs8C61e4F+kgp/B2KAuiQo/vEDol138XE/Uoz8cjHOsfMjpdqyBQFgdDnNdNJb kTFTQ8ykVCWwfsQ0EXqSpPCbHoXfc57CcbaSRXnWGdS2sJrwV5EvTlqg4+HzsteNOr1J6EEOCYhe /mXlnJ5yikBVjB/wL64pw+1Z1Zm58xTF4DlJsc2EpJpMEJD5JnLE6QS1AAtTfSC0gOxsuZGZbIPX mk6mkzKqPBX13criNwhMICujH5/LfPg5yV83uuoEZS53MGzFySGlFr1RRQlcIL+L4Lz7N/1xn6su isEBdID/Qba65bwSJaWtW7fEQWurKUKgNFa/exaXSWg8r8ihFrCnDLNGBVYXetHwPMK5vx5pxHHf NqqiEKbTSNXhoElKJ5AgZOsMg89H1PfyNUlQM2j07bWvDWvgnUo32YXZs9s+r7FN858eCI4g/MMH Ulw4uvpw8Br4WYfQ3KSSbTvXUeJSqugdwGODcke+5ciV5rcEW475XBF8l4O4XpTtlmvv6nw+2HHz t2ISAvmvYCBEoDTFlpLQBli0W0EO6hs0rAPHYjseNTaCXJKLJuSLg5eaFpRKxpT32khw88HBBD+x BewUVJVu2bI3e93I9ohBJfoONiib2xAQIWbg/AOfD2LL/I2syCihESPt0xQiwDMNoCnQPmkFnU2g HWX7MzeNlfyxDfMVWkFqMZWapg+GIARREBZ72HEd1NMBMcW+BqnLWA+1XGmpwmoOv+avcGCI0TQu o+lscaougjmIfC5wgzy/5i+gJesTD2Enx3aQm/nJcq7FNk1tAOWbwwYq8COKBgI7SOgyYH6WhADX IwS41gmbU+PBdG7xAs8hcnNZqU44jlu6hpnkwefADtJO70WRXQ7JOr2bZrU/DhsI/CC/ZV14BvGw HHcthXaQAJSdQMkJKeIBsDTQkzsgIQi8kxRBwNUogNiGDzZJjZHl6jITP2spSceNhexCMkPzbG4o YgoMIa8sh3wROBjjwsH58E/n88EV1+5KMmU86A9fOnwOTSGBGoVykhuK9+xGUWlVYzad/3I7Ni5w hfTm3GjP4Gim8/9Z0AqgUxRYx5WOfkgPaPNWaRshkqXKcaErZFW5UtqJLdFhmifTCTWq3EcSOr2h L+Rs83/PbyCVfJai+ButRXBRU7rYuN0dGzeTa94NnfCcp7gKTjF7U5SeDzvlRMYnAc8Gr5jchAjS yXlDh63nLxsbf98/NUzOcvVBZwkSIYZPNQPPFJpDfkmWscgJ3b3HMSoH9pAwqj23W15G9t5QqbOO OMIbC5nYIVQ0EPtDcnpaYhmJg8NRT9C0FhH+xGYNFsFRHKg/VIXP9eGnUCCG3/KXJxKIUz5o10E5 eUujY1Y+/y+TDV78ELpaX7MUMi6hY1KSUZgB4UuXdXElp2jgomgg8Ih0EQo6rtrgHZUzUfskPSoN TNxy8pAAmG5JlevRw+nbXjcoyLHJC34D4SsVdi/4y2TyysTjZIj8gPcTfO3voAkqtn0+0UBgFAka QKKhr2pKyHSvAB5ZMfL8geCzLaZ/sC6nrKPmtY7COVVB9mZoCWeylCkJjLL7gqRuIRzC9hz13XLg FYkjnHSLyQC8zCcAPzmj2MlnL/P5qLh7Z4kUcHw/6vV3nqIi+EyIlcY0+6hdR7Y8ZWV32wDW9m66 CIZSqS99FlL14d50ZYJGThjKCSz7IPMgI4/sIMuDrPBLwiwHjpFiiaAvxz3HwQpyNRsZYKKLGVhG wqP32/sY4W1v15X18xIyjf+XMEcDdjbFGDxnT9wtp+ObgKaebY5eAqbzMYliGAgCWGziYDNUUehd 6oeDHFlmkR0iJZLIfXxUb+nr+xIu8U0T+ShDZgAcdCb1E4iprtsWnv4/s8MX3FPgkyEk01mEvRPh yRgtmByobO6llhyamGgJGVxA3BQALPNy1aCXQInfrf7uWAwVOXg9N6WzZSH+B9EgeLXxXzl3P9fA hI3dcpMEg8e/zg68sUiRW/L5W+7zB7gMFfrht4an32ZH4YNmh+sEwqfMmDg7LxESH8JVBMVHbaqz vdydbc9tTtFQu4XMEZbOS3ykpUPU0i6ULuNgS6ejNkXQGsLImlCxZEcTixF4oLIvjpkliM9LdMQ3 LWgIbdrq2aDJKUvhCvQS2uclNNLUmuLUMHIFqn2s4GJyXgIj7Su0lkY2lbtvBxKIcnn9FhA5Ts5L UMSPGZSTnl+DTc7J9sCfYPBY4FAsUliGLQFkIX8G71tNTtabvk9OZu8NVDQOoF9IfxMFQya1UAb5 vERE+kONFj9ug7K05EvnJRzSxmI4RF9llm1L9x6AudYJE7BNSuklGNIvk5ntV5WRantwb2eRZErn njAeEcWkyU8D7WSh93bu6O4ba1Pk9iUU0rHDPglLRc0rRiRTLOytH4KQ1+clELKnfWPJrnVBuNHX zksYpN0IYDeUi23t9OZCU2XO6UgA/LnyFw1mZ071RyjywsFnB7pMrXN2zhwDHbxktg7HiCXGhuMl CNf4vIRAdkKy0NMJoeSggggYrFZcW+XzEv9o7Ri3C20ZzuQ8p/L06XkJf3SUA7qGjds85+jZO21J Ih3IOVZyXcmX8EcTRJjI2Kn74F1bALfoV1CpH1DpooXa7RkQHGaCTSyDlSNCtLtoIZ6TVHBAEcju LgqDHOyuXvvnJfbRyTXv2SN9AaDeVSHGFL1EPjqaq/T+3XlkeVsEiHKXKjsLwaXagwmymVHpcTyl x3muPKgQEH57Yuhzb5Wshm07Kwi5HmHnFkaT5P0S9uhHWKYhvX3QCoKlUjZbrPx5CXp0eXS/1pvV uwFK8ul5qTpqg5GptKY119owshv3tB8/+BNNpiw4nFOVz+FaPtj0IJQ+aSre4/xgtITk8QO5z4UL pHQ6rwre1ijkFkzP4rwUJWQcvGEE3SF83YndPi/1RkUeyzeYZAIqDeDspv+8VBu1ZjOAbfd0hle8 nc6tD68Qten+xi+1Rgt7JFEmP7/9uIiDPQTgmiDu+N/JJAxogok+cmEF1VBtdD56KTTqJ9hWid0+ GCcQDJru5aGXMqPdXdWmR7VbYL3WDQqjkHkkQpC6aQDBAmk472Y2Y5QMoliMDhhN0JIAuUTs+yNi f8ILdGeTCLjQ+8/GBuw/E7eO4JGma9ukDRDtL5aE0BL3QYX9lbcBkMoAtTTaYCbIMUSaROGg8d7U HEWRczofuLsIbcjka7teERKXalxCw4uMYeg85Q2l+Kfd+Gee3/r8ABRIYrqdNwmTzOGXOob8JasS eXvZ6IxWtUNHUPEjCFYIW2WeXPl4cEYbfWuwJ4vuFHUPbYai6Bmlm5ObZm/wE1jOTVbl8FNlOOL1 xSh8FuZo0rNHg0WI6JsMkD6p4QYtaYZJJTfB+GF5dXMLpfBR/Nzp4XdO5+WDzug29tYh1MBJeg+g ZRNga4ghAZZ9YnGRMxSF0CdzIb7WAZGlDFtDKQ8PgwrdY9XbD47pLqORsu/ga+gcdGeX8ZqHJrY8 R8cGhh9+1j8U9bm3WNLLBvlXYVqq/tloV1zqhDiiXEFr8PNGbtNJNNZXAgZ63UjDA6E3apudsGgL 1Vk8EHLIEQTKuultDui5ePE1miIc1ScxBVtSg1G2FrS4KqXs6km56/CW7fkDYT/yQ41Agvwyb9HP G7HNwlGB+zhRk0q51jwDoZ+HS06olgVT5BLk1gEg/vjrtI6Cadjf4lfQgjn/5CrtJ9UpfhJB6sWK QO/RdDatRKhJ+OCZ2ElDSzZb2gStiiX0MQIbLCCwobzuSl+fN1KbnQmgSEIS3QdhjeBT11R2LeXz xmnThsnjq8aRM93y1l1F7/E0zmq0gcSFZDvfbep2FUqL7XyUJjRDUTjtBXzR/+bj4wcz494RAwHt v86ZQ85yBmOjI3LEmelWkN3e9X2GGqs/FCi0QWsoJXKJEC3m/Xnjs2kN3QtftX4s4uLh0BudzZIc qtysZq18BUGUIE/Na2RNZenEzwkmSKY1VUL/9fGKaOjjkyxUiQqZmTh/uOBBMPxMONJy1RELJSg+ b2w2rVNJAVV6Q83q3lDp5BtFehsbxMgooO6rfafzcAxmc00z9B5QA+OP5j2MP8TRytcr8yxeU7g5 GeswW583IptevsoujABIDl5GBMS8kEINp0NYG7NmLQ03IHvmpWhZc+M9ovbexlIrn4M2Gax6ya46 oVf+vLHYLCfKXxOEAk8lllQTFIXUwFeee3NYoR60fJOxh/xg0gyNBlaoZigOqjk14JrY4J1pRImE bqKbiCObBaGzADaZaKi3PBX7qZeNZgjnz7m4hg+cobMDCgFRSLPW543CZuHU/pohehes6ef0G39N OVnHbc8lSt3fWu9tL70kRozV8TJv9LW7gCGISGQsB4+HIGrCYi7wjudoGyQCZqILUPWY9DRWJdrf 9f0q22z87CXi6LpVj3OusWoD88v8eSOv3XPgOYWA7aD2rk1QFFJnuolKkoxuouMKR4x1uz6okWqC 3kNqLEX50Zbhg51CaIuMQkUU+ECe5VgITQMoZILygLV6T6FsLxvcZBJpyRRuq+zHKu042eRiN7lD g+SNumZXmU8Ra2xQP+0U29UcRUH1ZObarQm2u5TJaDgi2V+aZ/W7iN6DakDezRNq+eCVIRhoLGic gFALDWPKkQ2kUhPJQkn9hoyDZhFvvDWrfAgkxQOb2k3GeoEUGMMcYLk+b7Q1m+Pxlb0WiTV7ZvbG WtM+Az0pUdBZtr2jN5ujjTveGvbInHgSvbHWLFiR/ogwMukxy4QNwiC2SYjZSf4fqq/lB+oS54DF ajeQDAVI3jhrT36PO2/6YGE1BE4FJMp8/F8JPs0iCkoghMhziqKwelUK/5ocO9aTK0ZkShvpKAJz g1H1G2HtVl9geZSrD5fvMMC2rvKgh1gX4Y0QDoNGO6DXyyENpVBw842v9tUWW5WLiYM2WoGPmcR+ 0vy8sdV0465bYGQxe6BxWTw3eyOr2SoClW857rrX3u0wGsAa2xQNz17fuGp2nYlHK8E2OrhaSERS qE7rus+MUch9dIE/M+8FpK+S28Q1+Hnjqt3QHS1VddW8iQIde3mmnI+Y6/NGVbvxsU0RLzTcF+UW 0d6Yalp7MKVtw1Q0cGwkL4GM2+MgRFNT9B5WK3SAHWm5g59FDfxWVEChIFnP5YkMd4BW+UMB/dxJ c7AG2dLLBmVGGWossfqW+2WeX6FNiWSutD9vLDU7d/v3PsOmnfPOUBRX4+4BeCjfQr5gMZijXS4u ffRkhfw3lpp+YZrLg2XqgydnaJn0RdfVvMDB579SQYLskm59QDHF3jaYoimDqCUKHlIY7o4Ko0/Z soy9P28cNVtG33PUmTOPexZFpWoUAffYtXgvKF2CQx4uHw3zXZuh98j6XDak8688iw82QzBvBXyx iGM8q/B8FEIk6CoDp2iFNOqsfN4YavpBIY989iXt6pKb3wIrAgjujzHU3ghq9vj0S59VEEToLXn+ +sZPs7MIXXoZ1WGymklFQEA6O6FognymjfYeWmcd1+v8TNkHK4LMjWYZSpEQkIbliLkCILpJqMnS IEooD5qIv5HTbJ/R3JgoRA2Kh8mbZbcDLmNv3DQFnsNPa+a6qHrP26d/o6ZpDQ1K/U6LilBwcbw+ O3Zm3Ot2kG+8NLvPWB5CqcMGm6CK2CFXWWgBL5tl3EK1xrXAJxp3l2Hpfd5oadbwopYWMOc2WHbW i1x8sew/b6y0/2l4kNCOHGUV32RvlDRbeKgMTaujnTB4ecMVjkV2DKEabBP0J9DjHJ4Aw2jgBJ1d lSiAjZpXgVRoywQY46eA4UyGNMQPNF/YrS96178maDR27TnoZz9p0pxSHT1R2xsd7eJ9vvJ7OngO P6nf2GhWikWfAzhLkxrLzSXI0UfTHhsMvLnH3thoWv9sBi1dZuu5zE50XuH+KW2oTdtDgjzBlYDY HGbrQS/ay0bZGYNFlNZtMMZer5I2q7nzFf91Tms6cCHQ2FxTFMXVOJj3qATCUmbMKo7Q0mQWAuw5 dC/EJX4joj0dj9dNBlefTR/1enLBTLg5MKCo5+MmydTBVgI7qZnxxkOzphBF2PCDNiyc85ndVlEm afwHvsCfNxqadYV8jrTA+dPcKYriarQqztnTdW1ttNk1RWcds2BUiFvs1ld8I6HpF6JOXcbhboNN 0d4offDHOjcN6gYVd9lGp/ekorAY8xIIKt581WiCZDDGXcbBALBzi8MPo+LPG//Mour1vcugli91 eM7Pe1CNyyHdbANB9XLTmg2ugV9kySPGN/LZTb7htkYwHge762fOBhGCnN/KmfW9M1/U9DnnDgW1 rN/BtOONemaXJRsdpVYfNEHncOuyehi9ft6YZ1ZFm18TREvalryK9kY805eicHgSPt9aKe1qFpCw S0uO/KjNiiBvzDMrwdCvV4Yr5ihqXbM5T0jaqhSiT+BFhWiI9fzQqz6zt2c8RgKE35hnln0S+zEJ O5OiHcMhiJBsmYbhZ3wPqhUrfJeJTm64buf1jXemaGg/dhqdRA+3YDv/uQZj28HBb7QzO4fYPShk egLvgUOB6T3Ujbq1hOYJK1IxSd/9Q4JGYeqv9L6zsfjGOlNNq7H2lljVXxCwUR8HERZ/T0hi988b 68zaZt+lRuCgB/ACNkVhTE0zyGxMfST1Lnt8YhgnDZ+0xGLqN86Z5ZUqU7PhwcGzjnMoI3VqolZX 7q0zRQAbou1BG6ore4xL8PNGOdNJRGjDaLKK4E7hT5+BcKJU0NnSfD7Yafsb2gl4FEXnbYqimBrf CryrwYJ7M//VjETckfh1LusJvfHN9PacInLxbbikjrwqMj5CHFDXzfTPQgwjR1Egdr1IRLrZG9vM ioWWkm0frEhUikp3Z6r2541sZu/ol5n6tHCHyp51vHHN7CxKCIlcZS3BmujHLEdYjyD8FW7WUqt/ 45p9Faypp2eD5/cJhoJTR1EC4TbpKDoh6WTuDJq6O4hT1P+Na6ZTgWLHO/lgcjIn3+6J9wNSvc8b 1cz26d1niIkydNs6VPJskkIYiGoggwIq4JrhIkYEeb6WJGoSOlCBr1hGb1Qz6x0PVfOnD15rRMV6 gEeBSlo+k7E5R+CQTODk6FlrMVEretfoyu+S7Es+CAXST1TKLdfKufLfiGa3BPGkZrggoDPiExQG 1pPWPl0Ca+p/CGGe4AQownmh1DcXUVSwblVCdDX74EV9sKbPREwWrGvvmxN0blJoZCIK3VdONLMu 9XkjmWkV8LKH6ogPnKGaqBeP7ztn9ueNYmYF4+/Dmjp4coDjDL3H1RBAgraaitTn2M55mmPNCfCW 6dJ1ir+xXP1GL/veZiSyaPACyJkK+max+Zr6iSlAnGKRUVjGWdMVOd72ssGNr7QVeoY22BpC+1yq tCesfmOX3YDha4ZQK+jDo6I3bpnFCegtshyOsHHL9VBFtOKCWB21VZ7Vb9Qyy14ZLzZypzj4dYY0 BGERwuoJUFSn7AyRREBPZyRolr4uuma8McvGheKfbJ5GdRwMig/LJupjnuDt80Yss36Ax0T4dxcP /uT5/RuvTPO6UDqry+Gw2VYT7pji7LuN31ozFAbW9IJYmxPFwbPXCY0QyB0iJjpnfqYgVEXHAfJh P0hS3GIMS+/zRiqzCdovQWNGeUIErdr3+LxRym6O9ZV5oAjbb/P1jVFm/XsiPrtFRJ1cJfXNXJ6W tlC+hMKwWslrQUSowSbo7D50JJi8porJEip0FkKHEOftG1VrBUWl6pGIZETjwga/7ZO4ZQ1ekG9s MjvE8tc5TYf1fCHnb1QyPQXCQm/GGoMxneMZ87whY21eQntjkj1R9SK72ganAjVgnxHlQYLjZGZt GFgE0JhzpjK/tkOIiM83IpnlVvI13tMH1T9w/RYRpXCRRTF1zt8IEM72XHeLhTE1DFdnyeZRN4GG UfkDhm+W24O2ZYyOEFNNMOyWBf1+LOjPfbMBmelMOwDMSzJDAp8cxlDwqPgxI0huFHxHcNMnaRfW OwjfADU7LqcKhbAWRdTzwhnFP4dqCsJvTVAcUSeqFVrBNUMExqTC9lDBETU06QBwiqI6tf7wtdV4 3Y84AWwlEKBnBYwwYaOd1q66eE7wli4q387pKKYGQ6ftQuNvDdplC/RHcVnKwONR/94LIIRo4ZJo zHlEfImDatY9XJCvibHZqNVPSVVq9VORhcyX95gaNTzW8Jnla7BzCKiJXJR2pIZ+BgOnExV1ce7R bbOQWkr9PeQjsh901vz2wVEy1cQXyqh4PEINf7emwaVr6TYVexRQo5izJajBCRrddR3baF5lzM0V LnoEAiFGcWUyGDRYpXqMmk8USpvDE2efHbskRleY8cHIbHpaNpO9a5BzSNYf7AEbNEGQNxfXvp6c o0cBdarpO3FFjTJ1L3/0uFKNGhohykKAXE11yBXaQU19Xh7UPSpUS6twFWL1OHjG0dLcpUu6uMEJ crB3D4j+RFcwM722bhDOW3xJVCDCSV10YBcVd7hw6upUWkZ9t+D5gJk4+1c0BFoO+op3jkJu4iDu Iztab5mdwfl3ZzPh4jFSNdGdHoXUEiweInBysIMoI+ZgmQ4/8UYrkM17gD0WWEnlG4xGaYsehdRl S9sbSuEYTCn8bN7SGRyhNYfHg8M6pa9tRjvaem+zHvETKaZeKahFuYbUsiM+y/YiGm0+qLfT/0aA rKky47xlRgSMGYA0Bowln7uLFaICFkBnKSTfeIhCwPiOv/rS1DSwc0h9iwqQAhPPUffE88EMXWa0 FtyQzYTNUBhSo0BEQKYKRNwh6ilWp8N0YDhshkKSIsHSa5NDxeHiGRt1zDhDtcMsTvSF83eSlqEl pIMINym+I7jvqXE5ZIYB4NAPhZvKCRhzI1YAvnR4/l/bjO8GJmi+bekeFqt1VBelqxChlOkq9EtA CRJ9oZCk2Pk5f7HHqeBrg6GITqhAmB5JrgNYK+rxNygUL0gwlrwvuIEqP/iSqD7EQ7pKKK+6Sx8U JYZlHWPi8Yjh4SRgzmaiIIb3g/p7UA2JtEEtwqLqEPJMU7UiZKzA73FI+IlndYT+SBIfKExcOXjx AxA9xNA8qylZTDH+AudDEkdSdv+iwksQX/LXbbY21xIHIWRy0yXMzA+PR1TXGxAph4P8752hCFdN 8kInYR3lodKSFz9qS90cMUFesTUUoT/YuZ9z88CeUnGuPKnRkSyAn6FSjSibGnILcQYNmzOm04HV CJvwJVFAxIxskl/EQcUPiLXLgnCngscjOOP3fZ+BrG/lNs16GFfDaXFIXQ/CspNyHyjDnlDbyrC9 Jarwd35O0JkusnVSibHcEuNCLJ0WUQS4zSAAtukOcoJryizmvi9weBD+0SP4RydKD3bhPmiKEliR slDBNgv5ivW7rZjpEbWrl9BGFFUD2AyZa4NWN+lXTUbVFzlcmhvzjahSLbVhlE98uNBqwD2rFJpA nB1yogG0tUJ5EJB8ETwKXcDxHVFHiElZy3cwaLVDldG2xONBVN1vjZEIGVD6+qVLjyisZvNe9nBy 6F3OX+iCMwKdn3szK4cR16m5weBDbIOdROfl10lt8zZLVfh54iSiEyRKoRQ7cLEvxB34koiuyNqZ GB5U8B7qCJ2DLvMoAZUBz/8T8vkjDVnautkUxdBqdjnoOIXCR+JhZEyqZuWQcxlh52iSolo1goZz L0I2lwPLDHSb6zAdG/JxOAuJ7Ehc21hGBG0/jUWaseE7AshnoyRqM4AD2ZPUJThRnfzVz3/weNTv +N5oZJJKbIRTFBIWOyAg7lWILMcpDBU9UqN0NpeMG1FcvahojRoK+/cs4AwWYpGaLXmGJyQlhWXG 8/PxZRGXlHvho8SE74jA5zysFwH6HKyKlucgCvdkyhuPRyfRN3MaQPdGRShNUBRWo39PIzsdRHUv twtXaxAHEQBw5p42orBaB9HaUmmkNZS22T7rB4bgVQ4XCWVvBI0sFmIZZVxsTjXDJseXRCcR2a6A SmJAFsQsC2U6te9PBpXx/L/WEHcnlq3srjhFYVxdMl34hMkHGmTYSYSL2q8zAHuZm40orl5TklXG n56Pqk5Z+CwCrSr46oOB5Tn+h/y4+iOtS84EviNIX2VIIIkCDoZv6JOdJEDLJh7/1znEV0NBcjcv VY8QVj0IQCu2iAga3pa+pmIkxpO+TnrwYYoiYHUhHQ8iQD54+opNuugndVKPBBIvY++B0jx5Fusx UOWFP0L5DxQmCWfzQdvsrMUqdYvzj3g8iInuFCl+QjX/ue+jYjXVYptQnp3yFuaYtvZunr2W5dX8 ERWrJ+kvGyBMDlRfY7F6U95ZbLwTRCAc5X3PCgbwnpQnsF12Ph7fEUVEIHhADsIHFavnmWcJOIDy OsKwuv9K76GuP6++zojDarQ70jBCZ5tOE1pL9sUMiEoz2PkIw2oGi0NUqvFFpToxOWIIVKOZlxHa giJRA/wC9mI1XQGHrSUUhtWN2hZDShddZtRMPUA9ZrKzzjfgA4IEv/hBtGT5iMN6eJVohIF1obt8 Ln4SrXsSqRa7uIqGb7OwXG096X0Hm6SFWuOiVmntSEWAIxyUPzvZWVP66SX9LZWdkLLI1jQlAiAc vyEOhr/rRJC7cbrOei14PiqCpO/7bAPecO+zGZarK2GxLJ9tkxpkyz6Rh6ewsa9kZaIZquexPgQl OB+8CNIT3AjLlslOR6WafcWFqAR9aqRT1jaj4ccMESDk3QN+6YNl+NgwLOin1vB4MEFX5itxwcG5 Znn+OqO4GgtlE8MvPf1MV6bEjTZu/pqItDoR0QzL1ZQ7XWAZ2eBdoQ2SUR6moFe2TMISTn9U+n/Y crRiLP11ZkxZJKd8its53bnx/GcrYW4n4sbj0Un03XgF9qvn5l2hGaqAgJi703YpfSnE8iRac9tJ NLpLVM6QsMg2xiwiv5Z1QUQnPYbsGaH5OKyn8IwsG63EoGY8AoyUJ5hhuZpw/rlZ3pmulwUb9iFv vxPQVTz+rxnCvwsCTCd5STMUBdVIhnaRy17jWX1d5VdyXjB67jZDYbF6SMu738FjxhNh907z3wpH 6FJEnN6TyuNV8hZm0UQg2ozhH/KZaMkHAWTOnS2p4RN5ZjweaVTWX1kHlPwuEG1GQTVOTSRmvVtj EQBTm6JG/RoBQFDI0RSFcnpcREs2suuxkT0brKVzLGuXoZkv+CxBM51WMXU86HwIQuJLIo0Lpq1d /IXu/IWSkLEQAHJOBDweNBZb+V5EkMwFaczmKIyq6WipuwxaDnUVz+9HscQDWt8WVc8IACLFprnl zLSvrcd59QYndiUegN0odspQ2Kft52JUooiIPo0ziqorUcMJEhA2aBHNnKVceRLMgcf/xQomFe/M dufxqAmKACAoTAGk4XjPMn2CbpsaaufJLvsZFasXL/sT+Swf/LLPJZ3rjKkrCBxbjf4KxYdGuvW+ CJlBQcYZxdQJQD0AwWxoltsPSEuJ9oObLASAtG/aND4FGoU+QVFMjfBrN5oEmp2HQ4jEFJO9br17 LIqpl+2xdgcvM04gqxI7QuhsLcXUZVJiHL5B+8rIkBiA74haZizm53QHowihw8zG69p8PJighyKk uCADoH+DoQgBAqjvOUq3y8iIF0QZmX39K3k1aYaioBqdrxMFpXQH32OAhgFcSy2iCqNJSp6eJP+H 2iO5j+utOwg8n1FQbV56EkuB8azqhQD3d3lknZVc8XyEIrpURaYrhFndYygKqfGte4j9C6ReSc5e KN0Ts7MhsjVeZygCIiIeZfM0OALkbOEyiGeCwEXOVV57YPi0KXnzCzwHO5svG8VDWHMA/PlgqSsI bHKy3nzHfwWMcirGRF/B5RVF1AnshS4sI1pCdCVUhWhuB6LV7p66KwKAjGLn0PDBsYzQMgcenP0a YPJJr65ES3HtAPrwrdi9QgDIZs5KZT0NxhHCvcYJarXi8X8p7VhZCXxO32UrrFRX2gc4lHGMO0Gt bgfmJ7SWNEFhRN0ZUad9B0edo0q1kmTjFkj4jKgLys0dDev+hSHCosB3/KWr1wTYa/RUqXYQbQoC /vRdC56PkGj3IMJzU3Irdw2FutSVanG7OpjRY2oEuCZw0Rs0bzVFIQRkqQwrlseUPgKTjt5BCbO7 7GzAytZ0ByK6oo4GsrWrM+KEwXcEdep9ZZq+1JpQyNxVRzX4wCsOqcvXMQQ+cp1XSWaFVEVFjM2w HqimrVtCI3ZJCJBSDVS9QlB1l+w7hbln7Z52LHRTB+rTjIdONpQ4mUDoA06I7PGxWSASbcVcRSE/ EDBqMDhjFTwMCLyKx6Nz6GKq2TQr9Fy6hdgVSlQDEtuomozyR5OrECAgcCv1C38vi4hWTFZUX7Hf wWtEEEIdCKm40c5hw+w2IYAbDFKAobTrbLN9vyIMCO4eaBiJXQ6PMpHBexqosnOnzYzn/1WrxnQQ FDu3V4hWFFXDgBx7SssI2ZFXiNraPkWAENgUhSIgEqqWgTCH2zU7b0FRLFSIRqpJQrEgUqNz9EPy lHtSJXvZvxQuZi7TB+UdG2Ujaev1gccDDMj6xoBMxmP76gxHYfWCa1zrbvtyoh9XjhuC5k+S8aYv ojCsVnN6SOtz1Kf+0UF5paRvReuM4vbA/KIxBEHbTEtGVYjoafxZoQYIhdHqZPZKMyJeQm33SvvP c/Kn9lkRXbFfGVShZM4aWlcIfkVxNWZkb4nEsYTWLosKuQsrRAPVfJuhEFjdzWOh+eDA6hNOjzKS xdVQXKG2Hio0k9bOue+LAZn2sn8hHIgcwYDeLdcQWtNFSKtS8XhwWl/WNDnp4P6cSbvHdQit7hT+ KBY1TlokqAIiVzMG1jifpFYdV6tl8yLV6lnvNkN5CBQaTtFJUCpaHyjEkpLWWY1ywaaCg5wvG6Dz GRQl9hU1qEaEDihJwifZqng80jtPv3IPwI/Tze9XDAKZDKeTdV5xqpp4nDzNmlRSfIrCwJpREXSH fHAk0YREIx1DqEeUCYIApg9MB9Rt6rhtMyIh8SVRT4j1s8V9NtetEp1FmlUlOgMej1bRr5YHRUuy X2c7CqwHWq8lW7EDzqY+QzWV5GU0dLoZFO2QrUgdmTlYAOLgceOGz1KSnXAr5aRomMRcuMpBXk6P rylL1TsKrJWcEVRvg62hauf2SfT5eESB+XakQDzPXphN0HtgDZ5sBvCjOfleBnlkUUlQRhog25DV O4KAyKpjSLVpuK82mNIIsyfUvQAjOpkNfmyIxZ45nDDAyHmte5lRM3+H0Ooqu+U+fFAxf0BGlH/h 2gOPB5fZ7wwfsmN19DtFIbZ6S6QpmywBzNicOT1a8tZrh7CiJikOrc3hfPlg91lrQP10xo1lDsIR EDdChaMhZ5m88AWTqfa2f9XRCmwobFB6dtZCY4flXAcbj0cyIL6KsOJotNIugWGHbMWzPfZY5rGw YTZj+PzdsrsGDujSaIJCCAiLIDPJeIoeO35WVyRlRKNBQz/DDeNc+OgnIieBzdu98Al1wZdEQins BQEqaoNVGqvUo5m14fGI4+EJbLUEtiLVsxkKodUJx486HmxT1+0zNEayGUJ5x2bob7biolqThgtG g+oacP8oVifYNZOtOImPwYyTdKpCGv5mfEeUelChESeuDbaE6tjCbIJqtv+tAiIWOT5tPjMU279A GT5lm6G+3Z1iQ0PX1xBCUM1QCAFhPL0H8cMcfIbOUUTVagpGnsmaat/DT/tccZimq5NC1UJ8RxAR ERU7GlP80ZLP0DjrUfqMJxDE45Ea0TclGBAzMQI1QREEBNDQcw5R44ZyRDlnTRFkSS+VakGKU1MU auvpHKq61FTWUrm6Yd7Je6MTVVNyVnC/wdz0Z9H0Xa3p3vW2kWATIiL4TfugOxpS4cRZn5um4PEo NftueBAX+TTvd6wCIshndp7QGr7LpAJC8UEK0aIzvWMICGsgWQJM+ZHayZDQm400GKgOry3JL4pe kK6/HTdcaNiL7whCxizVuL19cCjaKqZ6PjceD/KOqwGimw+yT/UqMu+wXA3ju9an+bu2brC9O0NF zPtiM/R3VL2KKo3lqTTOdC7C3XjfQwusLGo210XdynMdzflwgvfUu/4lnT82zUs5aIbOxxA8AtGK iscjgYvvviICzj4vS2iHxepO4Q/m9GAyUIFeKqgSRaMLzHaJzx3hPyYDItYmbLgTRPvqLMmviTx/ coJQvsCMrFpvPMSOx/5bAeT/NhUhdDCELisNj/+rFCun3PHT6kWeG9rm7fTILJ6BCGiq3i0vz143 5T6pAQIjSKl6pzCsbvIQEqe1FU/wB7W+lhL8doK0MxfSb4BaU034tAfzSTwlvyUgdZoWOC2XFnp8 MnA5cRyFjEGmypMfEME+f3VfkXP3m51J7+79MTZds7m8N34MYSAjdWFDzlICvtGmKRTYY34GQIQP Hly3fs6gRQX9luDlAQIxcNYbmsMTIpQXCLIYXONbgjY++2djUcyBA1cAbAyaYOUn5ubzQQLSfDlx 7QF/3vuVJcrpPb7Gc4DHtm6wtPGFQq+m4lSAVHAjhhQirJtV0oYPNk0J2qipNdO6gIsO0SC4USeE x/LIV0NuybA0hXAQJiFLzngLWkHi01F4mHkWKjH8gCA+yr9Q1tKuvrYwKSxfb9ox7GVFtZVcm6j1 Yjj0XgGfsGmKzRW56UT56A/lA9LSUGgm3qFN0sSATGvYe3AhQib4AK3Lttf9A+GITm/2wUAh8EAl cQj9fFakgwL2t4ATMulWb+VRzd13RBD6Z61MozSs3exoGsVNK85l3bbvuaiAPaiYO6V9wcGOpg72 GnpouOCgqYvcltCiCQng83mTpgwsG2XqE+FbgkYIWYwwKffBc5FeZCS44cqQQlxIuYJyKvOfLAhQ ujtPYRGbPu/dDu4NPzLR9CA6bQVIIMqrIGo5xVLW4lgRlD6/QOlgoOXRKB8Lo27+7Kb2PStrR23d CmTa9roRjE+MBlgxabAy9skAl/xJ0+bz7/NU061BSvn6nE3r8a9IfyqElD0cDVqy12l7Go4GbbCu J9RRGIL3lpVMz1r34Rb7z6V7Ppc8q7OV+9r0nIbPHBx2fjLPLZ1NWGr6lihzI2q/Ew/KQdN0rtYk O6W5O5+PKJ/7KyKgji26Vj5LsUxIY9gtGOju9GrBLJ3TChEkY6YKrqxturCcTW1P6Oj74KTPQheL ySrSQnsHxl+DjjFQ4Ud92IMmOJLrbf/CidTWdDJRoQx7LvfWXIG58fl/AfrMNwXkgYs1Ms7f23OT PBmjnkGmLXktMndL5KDZ7cZeKRILKdJMK6JXl0fFEdJ4a5qBXqtrIpwEyYHb5my/WZ9oQL7K6W+/ GCRLPmgpgZHM0uQJLQefD3ZcHd8n0zwbCUj5O0uxYAhT27RcMCQVM9Er6N26U8OCy63NU1jWtkI+ a5Ic/GjqvcO3i8ZDHRgtGREAsdvhk5u50ij+Xejmx28JyknyZtriowszi4kCMpnnSh0MLkPfmPat CQp31dYf66HQjhG/D8zhNCEQ/PXKduOjylNay241GGJGmjx1WAzYTzEAMt2tqg0BiNkJ7WlTJLgI lg+xaO5XSSfqHPgxGtN6J8jW2KDSbelnZsRZHJPPR4IG3xphEJjo4wKxc2jICJ0r8JbMU7hu56ad 03G4tuy5nNyzMnBkRMWHpQAY29twKwL15O4J5ZuaAT7aZEEOkK3PJiR15bJm6PqR/3BkZMVNzKJ2 mUXpnC6ZGMZ2Qnw+H0Tg5RfbGktJ8DlNUhiAQ1ZgNHNngHiI1QTOWVq8JtDRJ2VNIIemjIt53O6C srktMvu1Zz8v4UQhtozCNPZkloXV/FFgqUkqzd42Iqg1ZbuW+xorGEokTdqpqQ4+HzVs+/fBRAQ0 TTVslqIaN7hjOI1StvJbcu84EKWp2FNogDruPIURuLpX+Lls4DzB6AV8wjoJscFqWkVAJeDvUVHD Ben6GGxr58CaUSEPbN/39EEAkjNLkzXvc11WPv9PCRF5XQKpVW9kGboz0nSrN5e7Hn63gUHISjdX U3OT8xy4M7qI6s7EtHFwLh+0m09EQ9RxhhknpWgrgVcIAqQQqjxFckY59GcEToOA461h2XI6kdhQ Hptg8hn6M5b5nc3R//mriBL4MyKlR5nJLU+BLjQc+zl0se8l+VTXXUsxikSAUXnHpesddxLEBlkD rqVza0NpjXWmIoE05NzeU5LeHr/kL0jbZq1Sg9ZSK3UpmVtw1wstGlP6rjQBeQCe252kkPQI/f0m kXSAkSr3HuNKdJOFIwH+01Le0KNR1OIhNBaHG32vlJGUUEwkQ4TuNriB+ERY6DqhJanOFLo00kBu URfDBjVwT+jdBH3IZfH56Pj2HUdEP3rtDYpVPk2hoMgksE2gUeBK+vYKyqa7CwpNYJUnn6dIUqRT Ox35sQ8OBIDCOfqJqupCO2PRqnHT4fvs+DRuKFCrvW6wmPIUSGL4oLIuaN0kCNdpL/lfFDNw5vfd nx0Xht8AS9Tl9NBRLo9/UOvFOEf1LqZYVUT2aGaW1q8584ZnrIRlz2Ka0DFGZaAjSV8i55UrbwQp eH3LX0rzQLr6YKncmktI67P7+HykEf4dViL3aj09Wy50lWFdIJmvFVzSks1S4U7XLKEtZLMUOzbK kHAMHy4AsOAMYY/p/AYnTK7EbpG532iLej0t6Q7NL4lUQxl0t918UFR5bumRjfXZ+HyQpJRv9hq6 pj9jP2WB0LUR+ftjRbhbmdVRkvOWLGFvYwlvaNu4iOUntsmGWz0507zJBAWTtoHkR5gkmCaQNoUb iKVyArjl0LdxsoFyPmBoMBXscy7B8hFXXFmZz0eafX56L5UQgEh9Jiksf58dvKF4rvL3XCubis8o TdLY5/drffgkxap9rC0t2g9xcNjE6vkE3ctI/eeWS3TYxeVK+hHVlo3DJiPr0LpRV1zv4rJ1l4KC TBmJ5Di8J58PwqVLjVDTG7H3lx16qC8CUbq1p7omOIpUrzz/7lz2j9iEfioF3o0CekI4VeJ0dT5I wJMansBgECuZW6G2wrni4Nky2U6HlorV4XJN9rqRHJRkesnvzwiQxGA88ftM7Bj189fwA6Lo+5dL Kqiq9aqI5sC+EUk29CGG+Xzv2qcVv8Fh9A1HEwSbphC6LX3Zzriagxe/2zljz/QwEjibd8oVvSFc wuWKkAXnp99x2943Yq+LM0rkJHx7teUKbEJYIUAZnM9HRBvvOG3hcM7xXeqtMYUejsglNhMI6dFi z6sBXt3GCBT/ZV4qOTBxlIQ9lenucKFK+8yNWk7wvipZ/V3wVBq79ollXbXA57LXjaaJmw6/Lvz3 yD/nX3ZyavGRwKfiB0RdAo++u8UCVe1TTVNU/aax06jmFQ+1I0e9lX2twWa6OUpo5Lh0y6nENJ8S E3BuXSpKiL57mgjwwQRAcKhm5uM5QynIHBo5ZtoTzsyjiYMR22YvvPJOXtb4fLCYyncrBeCTnp7o O3RyhDrSWfndjC0Bd2hmMbfluMlKHNJ5n6ZQzE+YHOmxcXDmFlX0cepAQuukbK2ox4l6Kkg+hQLZ XW3eae8bASoIeUPhxQYFA3OVmm2aNp//lzmPDmumSbcQF9o5onSBEpNncsUExwDCLY59K8NBuDlw c7zaq7N1H271pAHdRXobkEkJ3UoayQ8AhyossIb42mcubZYi2InY7Ii7fbDoOzWR/+u5jfh8EAys bxzuJjCo3upJaOgI7truqW+bpWr45IxiYHHcCUrXyncDR0fvy1E00QaHd8H1Aa1dLiWkzsTIUQG6 YasDJujBQF32tsE1t+T1IJpS226WDty6RIo3j+9YK3t+RUyMUMajpJVDT0e0wRAdJZsl+T/0R2+9 ko887/EdR99qpJA5wcGBlAu9pkznkFqI1qF7KrRgOnp5GfUrlA45Td1eN9hxcsOSreNwW0foWOZu Rd2a+fy/irpE5XeW3y9mOYfOjiRpnLka0xC5qS/vEZRmNthgO3bvpYTmjoMCtLtI4688vukV/RkU qljX7bQNI0gFtAlKo9FROT/A7hy6O0J8H5oELMXlZKU4aAIOgTlU/A7sHVHK+854oUjU2xXZyIG/ Yzl/Hlml1eu69O0yROX2kwlyOj5JUQBuVchahg9+MqF5OTJrTPQvzETuloYyP2ZFwYDTt7u9boAY oAVEASTAhluwHJIwZI839Hgc6zu0pCjS/lpMIbw7Z0pnG89kbCO7I+O9ShsE7Ngs/U2cHInFSA4e WpZVR220DqtnBSUGV5T8+QFL9yf3+vC5iInLoc0j8kugmEjogtvmj+pCbSRFpSdk6pkf8E+TPvyO pA4hb/J5CvEnkNeCwbYhmHt3Pk6TIw3ltfLwYCC0ehwU+OtDIn7j4Syd4+hMG1EBZ7YWpE5YP8Hy W0ixM3iJ5slrrfDA6xESM0x6M++5BtC85Peh8VDEWjq3Oz8gynp/efXxRBzPcgojcMCYtwvUwxPL feaXm2IXGMCYZUYO7B6dLACerQ/WC19wDRuDCR1ajIltlzOV6IXDioKcQMfC52WvG9UsCa6s7DwN pAvKLYC+m2z4jxMJ8QOiCNzPJqw9k4K5xYHA9BEscppiFsEpoG+TLe9NEI6SA9QaFzIQuj6KmTNo TK3hMuDgMi5OBaxvcPCytAuIzAQsocyHmpO6vW6w61izPAfe8sGqcRBDFE4HJZTA+PG7AO7OmBWY WZ+lKAQHXWkPXf3USerVq3FzuD7JEPwk6YMCo6ymVTS3Dx4PZPipS2oL8QA07Om2hsKuXOcvwQtt K31J1JkT+40pDgfrhJ8lykbIyYMGn48UOO4BToM/qAeWq/uXA+9HS3pXstYuFPscGTfrmFYcaM3F AXJs/qi1lAXSyQ9IByy4CggyAYTQ/Kew3Yln8w8swX5oaG9bjhA9fkukH0lk3CTmi4PaBOUEfsVb XHz+vyjXN2orf0UDYf0bVfqB+i7LcaMO91wjeT9zlgD19B0XRuBLxUqiAabz1LDjMpw9l8pxzVym YMR2vnMltLJmvjIcgE3odYNogL2mkdTtTdaKkEVmU+F/LT4fQJluM4X3I5Sa4fb3nEyxrzoYTKMS OIF/ovh4YTOFk4MDfKDUIMhXaAKpzlxLu/igecIMATkI2YKKIgGhCOP8jYkBE0r65QpLldSXvW8U EBDzJYex5Sp6LD9TzugHNGY+H8QD45viDVNCac9rmmIjSDCYKfJnaW+5qQoDdzUwYcuhcCAwgoRu Bf3nGymlHKyBea7/E8GjdHASujRHK8Q/87ijCzTQWm6Vmfxt/8hUdqIwNgZ1eTFFjUVFAw0ETpAy Rv5WJEXzYj6TFJbAE/gowydpYhOoHEfEmLO+ksdMoRPkmtYL3z7YJFVYc+REz1Xka0PUFcDgKCmG Vrync0MhU2AFKWVaXN5r+2B8C+fvnKNPzweXXKu/AvCMltMtDYRmkKgpn4ApeQGFTqZaSaRNmH50 8aQ3cINExER1qZLu4KWBCZ01nNOYJIgr0SC7QkcEhrU/JIEbvVLFuNAN0iwjOskEwJGZ78hJfXqv 8g5Dlzf0gyTA7ffx3dozS1H4jWMTVAuruwF+spLlvGfDmccPtsedp7gGLkF7YZmeLu+CM8uc0lHK BZSdIXbTQvn5/O50zHb/mm7v+0fTCWZczQedJOcMZbX1B9/A5yNY3C/4CbbLl35JDmwhwdYtYHzv ZK25YYQUML7nsJAJcbMFA3/4Qqp2wsiJg8ff0DCuFn8jZCYZE6FnlzusuKgmC9i2ve4fMEsgmu+g PYfGUxd6cFY+H1FTflnUooNYv7K50BuSEqWF7T9EloUCtSJdCBTeH2I8ooHAHBLTRMZ3rXdw0sW5 1uRQgcgy438IGaDOJKEOUHu5AJRtrxswnURKAa8YRVkEFALtnuB1Uallrtz4AVFx9+IH2VYAgHDW m84FFpGZGFITPpeH5vDYEipdPk/w67HlFHMu2cRspIVxcA4P9Cx2kREr+nLQcEN1AJcN0peSv1yj qO+WA5dICCtRe6rU4YNHTZBExT/Oquf/C5op4+th6HCnKdYJNAiKCQXuy07ZdF82aSUEOraeIggK 1d1AYWg+2E0HDJPcR0EBBzmcNc4CJnUH1wygzF8SZjnwigSak27H8oVeTu4Ar3xW+YlsUHgCs0i5 836hUSs4QJec8nkNmbJ8zwBPN2xFKfdo6iL1TrJTs/V6XwOmDDATZyjr+M4PFBUiCLiTNjNeYFKX JF2pQmfUx8tywqu+hksZEBtaHnfSnDnoVAKhmgFaawtPv8xORglEe40tQMaU8K4i+IS1rWh6Bj1I islKA9nueIFeZJF0jltMopZQMD3aaGtIknPcA2mxwbsKF1A9kWlNrLCAzP5DDfdMrJdcEgwe/zo/ 4EkgCIDqhA9W+D7xq4iX5/L8vMZJGQHP8vnBVADhM0iKxVe+RknnIbSjN2AMwsLRM1WLRy0Jci4b 4aBYPK8xEhbPYJi9JaW4bycOBiFtUisGbB1gKBhGTShJc4+pf5FkKd75pu+Lp1ChtCImscHCyHYu fpUBdvu8hkeYWjOInNJ2ozwH/Q8xOa/BEZYO8DijLq/hOm8wwzsqeQ23uJ70a2CUs2twtlZ8sMkZ O50bqnRxmc48S2Cy4qLv0G0Z7DxrcrBbPq9hURZHEcURFrkT8E2GeQDaV/Dl1fPnNSrKj/KddxDm D/sdmp3XkAgPzZPvy+hLldvpHcqzGS4oALoscmCNNtaWR5TZjt3OGzyRQJ4AmOGcntRWR0A0oDoB KXc6lfvGolLq5zUcwsFTBKEkgYmD7i/APJnHt36C69dgCE9Xnx0ZIi8oJfvGeo2EMur/xAJYqd9V TEjLqenBAnSziXqNg7KQCGyONB8uKhA1hEIkQCNyhXr/8Ek/QcviFzlKCWZAfNX32Vmy7l3KPcjX Z+qxe74qU+XzGgLlx2Z9msjU2Vrnrig2Pa8BEA5zII4SmCCWd+Q0bt6xklW0Af6xIuRrAIQJoiLg 6vLv7Y9/L+oUdVVd7DBP7Fli9uiHo9qfeVRaDitDhGh3ZapNkBePgAFQYa6fCikL9hh77Z/X6Cc/ 0Y/WDxHvZfnZ8xr64GDuk90QK/ify9IpJpsuKeqLQJ1TAsDhwcyFk2UMmR+IBKz8IMrVxMrNHSW6 s37QAIG5Jjy0rjTpbHrV92trSjuah6sGQ0iMs/Skzbbz5zXo4Rvm79kBp4wFE87Oa9URdx1O5rPU vWvEzrsUOXa76Ig1LGl9rTlm0VVx6OQ7eJs2nXvqvDx31wKglJ6rA9f8Qtefah+ObmsUcQtmZxEB WDttaTmokAZ9Ye2uE0d/XuuNWf7sNjtcZ6iOyviIGhfB9GROD20VyU/K3tIGVsJrHxTlktpEdDTL vk/u8hz88CmA+hQezfijCv0AaGP3M8HmKKygGqiNvkevdUb8BFuaNzP5oKO5nbUq/TZUh16rjPmp eijmAfujy0hDLMRgelA5Q6N/Xs5NcTnkcyRt40kMuHM7GfDvqLDu7oNvL8rVC4EElkRG4o7r7Kwj 1BwNHmmytk3aAOH+Gi+arQjDoe+Fv/uEDp93jluWeZXmiO1JGODU6efzO8UtG+O9V0M9nK1wbRBq 87LQyekvrTSMm6d4NqID5mv9fMLLdvLVTmYLeOJYPbzAJrrH7BgyQ6xK5IUvjw7oShogXUU12P0O sBfrYbny8SByTusr/EF7qu/le+yd35YNPDqgp2o3/PBSde3VqZKD8sBC1QQztDU1TSi29sTOJ8iA h7G0txBfNeJuGrQyoBEBu6ubWCiDj4Lnzn7sXnv4oCP6HKGj6VYCHek9eoZJwP6aIGlxtLvNovAZ Oe/uwF8IDlnNEAGeR8OlNhH5ems/OKU7NTZ5HNjgxxB9t7o6+xWqwgQEQoWLRtY/uXOh2x2W9LLv m6xvJe25+OCgoylkDdqYn3daG4Ph+jVDgKWNRCgmZ+g9hBY2Ba6V2Yuv89FJ7NmhkIOtORVfoynC 9bTQGfGBU1RSH5A1Tay9QsGnbu5HWEX+nN3bAdI3jF9mDv55p7Rlx/uvrSIHjMmqxYlwCpSFX0Kx LJgi8YP8os8EH9dnm0WBNCqBe/JioGBrK9coUzUPrKI9snlFvDPaeOgrCxvdB09RT4IE1QZKS0Gl rLDgD5IfotmCmsGtu9LT553Qhn3C/OtM5x0MawQlCi4i+K+989mYM6RfMyRglGca73y2exC18bTz nVxDNIC384epkb7T2bIzkDZuRxvsqKb42yhUsUfauiRRziYzOlw8Mt0HUid1FEpTgxYeotkHo9ZA npp32cz7885lyzK0tRnqOgPQfb37LAqmVQOSrTFa+aymyIFeXkiTPpDdgul3IlsWyZc9oOKDX2ZU OwaaCzUyIMs4l+fcPLsNJj3sxqmMWIjh+rwT2bBQ5ZGJBqoNDuszFXuobH/eeWy8cNvXIoKz42DX SDP0HlBLbuCskGJ1oPFw/cooXkSkVraqZFFEjdsEFaBWfbBdxoptWjLTOLcCdT1gZo3iWBNh+9qN qLvxHlG7hgRqej5ol81z3EuLtEPdJgqpKSjy7DIkiIzTNEFRSA2xLOTcV52MDo5iQxb7b8voVL7g DIVBNY/qjIagDV4NWsBwYmminQibVVKUqVbVSH/+Ltjby/4xQ1vWWftaZ2XY38oCqRLlEEXVudav GYIMVAFz1SqJUVQNesgGcsky1nGRfJkIQosYq5cS45ia9/xSRr9uRo+PoVorjyFY2VeC2KBfDHGV +cO5s0I0a+bv3DUs92qY2e2DHUO7LmqSnLMtf96pazwHvm97sHBRXvMJikLqjJuMp4yaPhJJZEqf 5nUb2W7Y+05cY82W2kildx8uOwQ4NAg8UOEfVxk1pLBwCICk4rKfQiwovhPXbq2+bhJFaSWrH77D jZFf2SFA8k5cw0Ff/C5j5RqqiZ09fs1RVI+G9Rx69ZdO291upDyWNVChtTl6D6pR8ZMllEyN69WP OlfxCcwWFJAQM4JKlVj3wPEzEdsUCJ06NG3Yy0YRkeCNTV1ErDbd0eB8M/sc5wb+vLPWfoXVonFJ n8GnKAyrl2Qiqq2jve3UpmWvK9nwYOVJ9E5aY7TC+36uO/h9nwCPbpNzhByNuQnOowr9vxMoEaBg GBmqj7xT1rDoIWaLjGj6YMQQynfg+1Lm41GC/32bYXV0Efs4RVFcvQhwpIQXMw8yDlSazsVBxf2m Zu90NVZfWD1rRDasNh5M2ponVFcBBDh+KLTjvoeaKPXZM04xq74Wym2+s9WyXCvhkdnuYMA9yFIy Nzuh2Oedq4Ybd3tYTfmk84691XtYR1F1ZUSUXFq7u9YfwI3Zo2qw8GyGovq0GCFn9TQfbnq/0Qsb qi9iwhbhxANNdkSBmeooU1Kb+FE+70w1/In0o52NJTQONkNzDBNem+vzTlTDBOf8NUPIJhuhspqh 96jaTqJGPCGVkMZwlhrbNErvwZyyGXqPqrOpbG7ZGu/H1nhB8wqNeRZA0EBHUwFc7A2VTfChOnkG 1htbetmgyEiYHurdPmiGMnih3CXnVvi8c9Twt+bv/B6ks4bujs1QGFXL+WhkZ/KlOd2CLZGbrJMI BDCd1u9xNSBiiBqhlOaDXfkoMIEXLFDMyTUyF1oHrgFkLBjX3NysDL1tNEVDq4ccrIpmHDcH6KaL NYWTI+3PO0ONq+j7sO5Mmcc9iaJCNcCXm4qgKhKN8rSBTE+69JXc6fCdn4a3Z3tsb2Gs9j2Jzo6r AIklEoxPLjbVwK+ZnrnAJA9mkFVn9dLLBmGjUFVDUdG4URGkcHXWgp/2Tk9jDcQDa4YHaNS25zqL AmsBY7Vc0DLr/UZFXPScoQluq/bZe1ydWUuB7BEkoDRYCWRCJRJQJClD9LpZJZpI3xokKVC8cIQH 3cPfmWlcQ1NKNcsHBcM5tZ4NPTw+78S0/FjSWiMRNTmKeqoJHcXVlI5ufRlWKFMsXyERCz0yG6ke V79z0rwSu4AK8cEmKFV40NOct9KpvYkcglik0YCelSxtMmB/P++UNLa6iGBIfJKDUjMwcghorCcI /rwz0qyc/rXHqAZRfI+9E9Js2Z54witESxVH+mgQUsBTqACcqQkKS9VcQVX6WfXRz8rt5GlnqrHl C07wk+ZxDtF/KgglQaoB9I19+qJ3DSZoyaeGXggc9LPvlkS6rv2EbO9kNB7U7eugLkyFhm+xdy4a iy6Q79E5rXZHyxYPycmACv9kD3GPvXPRsP4zs/sBApUGB1Wfp4GKYQ0NOVQnsrizloGlOeZjadyG XjZIzVQ8Y5lRg9XQ8LFCeHa+4n85pnGlFpSkbIaimLqzW99rs0MoTdfNKsTGEXY+BDvv/Jwod+UN Rk0RDbbHSoEWdeJlX2B1N5b8o84V31Mm2bp48krY1uedg5ZNjnVnSYwRUmR8qD27NGfPziufdwoa ZjjdY0j9x9/bLAqqN1ZRT9ZeRWHctDTPRud0FYoeOqLhnYGGXwgB0YKVhQ9+UJ+XOLE0dv2Jz/KZ lsxSCakEOD4eIw2qLH7e6WdZROmTkYmUzsHgrydmNETDnJ938ll+KJ/qmCFWRPvF5+c9pFYMdk7V bQHjEGqR/aDWHA8zZ/VNFhWqUQuAxhMJDBw8GBplFWA+iKOG/MoSV4YgEORm/UG+Mud4J55l0SwA wWt30DGEaE5t07MZPu+8MyyF/J2V0Y5WguOcoCiiBuwzp9xduS9lzQv51SrJUvlheQXknXf2VKpX bT54ywz4YMDVqW/U0DMrBH2c9TLB3cxs7BmJkejgd97ZLaJVymRosDMXhWsuooWf8T2mFrfia5fB e57YGpukKKhGHQIMRrcWI5FL4ZBasMR9LG93vJPOeNt3RYqKG3lyTkIbYIGzZUkLRvUoBH4WtAo6 tLxKxkWn5L6zrfjOOWM5nm3FNiWUBW117o6CGJ4soX7C+c8754w3991oWIFodY5UPDV755z5fdaq Vxqpy2iVxlEcG5PgWqw5CovVmffYWne4Ow3YWapRwSbtrCn2+TPwdSdXKlDkupQzeoR93hlnPIu6 9FV48SdkaPztczkRJBt16Fh+3hlnrNJ4TMRFh7OpC7/IOYrC6sm2GcM1tjzEEp40y9zO8WztrqOo XD2lUDtk3/tc+WcCTixTlrw0Eth+iULsqPUShVbIwrAiEdlm72SzLE0YnEPsfHCw5iuqyEKzrv15 55plSXp+QYjwYegHGnwxiqsBxdlbIBmSYFYzUcNzCvZu2NdVyM4DgDEOrNF1peCIDZ7hQ5Z+zikh sQ3acGWV6FxkOLZNUdQMxKnp/041I0aB2Iae5euTjWqG1ZkZyiPZ+7wzzfLjSQvjNUwtPAEIMbVJ CmEgBTA0FOEz1cVBTYXwOqhmgGOIqECMApbRO9Msi10KnL2K+vuB6eGcyEvkIATXM/HfTBs6YqBS o6XjcVEretcIJ8O0DIVRG3Rg97Mc2X1tJ5j7vPPM2Hf7Tj5wR7RUvXH2TjPD0l3UnWmSpj//RKUC Kgevso1xXiqVDbGIooK1aFNnwScfHMdYICLmCrDn0ir0Ex00x4MopqQhTeWYrcV3lpk1dqDgT+/v 5UrKpcKudMg9Y/PxKLb+DYQdPCt9ht5ja0E9dxWx/Oy4TLQNZyhXQ+Wf0xrlNpar3/llvs1QQWs+ 2GmNcuJqiq1boQEbL31c2ZRcZUDt+sZkKLzzywj5puhMr3ewNQTQjCxCT2j9Ti/Lj4i/zRDC0D49 MHonl2VpQO9NRzzV0WToxzqaZIwIA4FpLQ/rd3LZzWAztKJssClCBRZ6Mpmi64Cds4WNcgWslwtI CtNTWCqEf965ZczxWWRcO/ngQPwTpLMIcuLdzzu1LMvV4YHsLXpN3djxnVjG6yyRzem9M3KQ2X2d MjqEMk/K02coDK4zacH06NPgGSzEi4kRJv0OZUvZ0INvirO658dgLNu7BhNEj/WCyokN1vIARIDk u77H551TxgR4fE1QQR223yrRO6OMDXwSp6fFjX0nu++NE6/7HuAATVAcWmNm6INlg2VnDZrMY8hh Hd3DKXVR2vjiRuvrqtDKhP6dTsb2KTPXVO5g1/25IRlgNDhBvrPJeIp9X/f0V8+X6PLOJeO6YxiU rLPYqVYhMkcu3vE456BP0J+BNfg+d/CuWYEwNjUqz87DWiTUCuYZ51w9R9LK10uUoLvPO5GM+RUD IbDSbNAEpcJmNyYIN1kUVudf8RAgxG0uz+9bFFV3sMpPtGqE6dmK5ffYbZ7fU09XfI4YVF2JbFjF By9VJ131zDzOj3hSMcplbsIYcTWBVC4bSAiH8V2Dq14WmVtKYdvqYbBoTZWzVSER1iIICG24b6W6 IrAszVOzFobU6MifkMDg98hfh6f4w5XpC9xjnfIS1apTJU9q2mF0gdVowsI+SYwgyIMm+YsPdhoI G04XlW/ndAgCQRtn153uoEW0T1zCiLGdyxKPRw18nyM8tqlZtzw163FQDfRQntcq09pAkOnnMUT9 hu3SBP09ppYVKrYXIDAavJAGREyWEeRZQ+fe0jG0UIQoZ8niiLOQWiL9PaQjiksOqLoNjpIpSW3B s73xeEDr2N93PRqhVOOwCQqL1YmKDb7L2nItvoWM1SaopGE2ND0CgRBZttAB9eEWqxdIEGzen3QY +C1xXiGP3yv2+PS0bFLfokfFamZSqJ8VHzRBJyWqrPIgO8bj/+RNyb9uwWvcq9U9jKjZvG+mKncO v3b7HatfOOPwllmPitVYCGNJFU2DH9Qn78lVjr3nD4B2F8F6oEZPEpMg7OkdITyHL/kLi9Yqm/et kjSngLFAb55/dit4PuB3XG5Q59QuONDcWmMPmYkVZM3lcgSTxkeEgFQJhZIXjbCFMXWPYuo5hWwQ oLE9gMazByrEfdW+r7lD6n2wkvazQLGAGesj2lD0ssEyItizCBVbSH7gn9VTZ75CrDYej6ib3wcR sodaLyi2R+zEQZOHZKyp3bhgBfnczcHn5wBP0tvpYUgNMPBKoPPZ4Ed1B/pgqvaKMG/yum8wvOkQ Hxn5xkOEwuE7orYi+64o/GLAz8/NUSGdyaMauG08H1xn00+iYUaR8C64R1EcU0PTogwjjvdWHTeM 6Nc2Gi2zNEUhR3GoftaHD45oTDCGQ5GPUg3n2S3tIoTTEEQUolFHEVItfEdw4dNdHcYaHGjUpEpj XYNbDjrteP5f+4znVqIt952hsGINlMwUyYVukQBow85wUfYlK2akvgRnKAyqqT4wKMGgwXBESAjq yWUQVPcTScN0E8l9pbdDJ7r+AhzWsJeNTmvmrPsZDJ5fIeLHtGNMPB6c1tNP66IWEuHH97SOwmoY AG66L7JABKsy07VakvnI6CUshBk8raOwem+W9FMbPnj9A3LX56Kik2GjskVjyRRaaXvT1q1dw0e6 qvWoXg1jBhCE1vLBYDInJhIu9nw+Hg+y+5uZaTq3tJBshiJodScNpph54YZqsyukDSqkwROTItha RBEEREKgW/bym/vlp4prVs8B2iWXeiKulCjkTGfaBWeHDBSlY6tBHsSXRGA0Mqm2+OTbwWjwgTNv ppOE4PEI0fjNpMrok7Qnsu5hZN0GBYp1RAP/6c7PWd589MPEhGuKomL1kreTFBiuCnUF1KzCcpLJ 69n4J7Wpg1ir899MpPgn5L7YYZac8SVR9krRry6ufU9ujw0NoiGsFfZZSFls33xXVG8JjLQpGlFg TWO+tj19BVHbDuvUrs8M3BkVN46oWD0Ftcqz+uCtM5A6s+r5QJ6tReo5EUsV4oNA5YvkAS6C3jUo M3b1XVf3wXBEKddmRbSMxyO+9C/OK9XV+vaTaESRNev5K5tK415i3C868Uz36KVppQjlYamaYsNb TjMcvJx/LrepUsOJJgC7JSiLIeJANfQEld6ALVR2x5cEU0RW8IR39xkGSUmcIyj0EHEH1Q08H6E+ f0GJYIqNuNmmKERXZ5YXs135OVFCwdhUo1vREV7EhpUZUbk6Mz9rcGHDwKrLYBP/pDHnRFoURUuQ RFd3je5l8JKHuY7f+ch/8R0B7LMxMYPBL4esuPF8pnmnnEOv4/Go5fGrFrtYl3FRixFF1m3gKJqX 1YkswgB7Pbm23oROoPZZFFkLKiPjgTOQeKN6eUfc26toHrkCRn+JMGiktC83bJgX4Tui1qIxgocP VkfrBIegEjs2Ho9Oou81BHxr00twgqLAWtJVtXmDurrhFZyBHaGfrhLxiAJrVHRR/EBHSIM3FpFv OB+vpVSTokbaMaGaDq3iSzcDLA5fEjWoUUHbQl1terBuTlEGNoCy1rlkPB+toYsmkjc4Fu64bbMR htaAfbK/JoNnsPh0FmVyEXihQb5B+dmIQmudwf+XPQ0G0BqQ85L4d5FzGrjBIC0moGPTLVeTgD+i cvWU5XxSb4g2a7rPTsjCJB3cbDwegWW+VxEFa/e98UeIrh4U2J/DgPnefUUKa20hau2jr6UpivDV tC1e5PzacNcRtG8HU9jzoXvKFQsqO6oUiXyanit/hAIgOKahuFl90EY7n8W+OlhWA48HUdH+vvLR fq/9ufGjyBodQTCck220vfyoTn05n6pKPDbxc6IcnzNDmVMMePnM1uvJnVDKYUV/MJmVfRFrxcB9 UqLA9tn5eHxHFBMpxV/TB4H2cL7xC0l8HWFgfVkeXG+oos0LSBthYL3HNSJAir+mcYUWqPMeEo1l vNcRB9YsTvUyfbAVxMAxyW0HEn3UiB2AGIFGcK6gUtMVcdhaQmFgTcQnrTlBU0e0kJR8nNiqsqyw zjGBDwiS/LK+8zOK0eTlyMYRh9ZcRd2InZ2S2TyJ0rKSCFRkhukzj7hkTTLy1+DbDD37Wuhogb5Z TRQaBKftB97XuLC3l/W3dHbCkjWP6zEYHA38voKNn/syLfYWx84Fz0eFkF9SFzR+yl6znlFoPVIh EMRyjpMUTCX859SgoDMjR3QHlX7MUEIvidpZsg/eoYaPXNpdtaKO5JXoz66b+0wUMirrndH1Y4Y4 EHqk8bNs8Cx/Mzk44XJreDyYoXuhVS056MTeS39GsXXdIiyaiN45Qg3lAKul7jksVJaJcphh1Tox GCzsoXHwUtHIcBqVgcwJjiQlB6/z/TOZpTFsVEmWNjv/n7HrSnJkR5L/PEvZGLS4/8UW7h6BZO1k dM38oHftJZkFQoRwMUPmIsv6CTUPG3SfnVtlS+K79oLH/5KU4XojjaLdCYoia8qlbNohs2i93Z/h 7IPhwqcA4plcU8hbrFJkrtkH22YoxCEUYgabTprWTVH/xJkr4Z1pI2HsV8oUzH8XrdeW2ce2A6+w uEJgYj0rFo//yckTbQb01+Ex0Ywi60ynD/l7ULOpXEJVuu5oEMu0KQpr1oul2LqbD17XH6B9UNGr Qrs6K3DsMJxopDcQ2CirJgLSZgwDoUz8yHcQUGYAs8qvmynj8Yjo8V1tbEBW0gJQExRF1lV8qn7l QMrwRA0lkXpxIMvYrzPkLTYWrZGl2OCHNeibC7Vw2DKABkv7IUwX+Lzn8+p4gPrgT+JLIrELGsdN wUHmvHHj+QjGjSiO4fGgv/iL2cmKbu33rA4Da/DgF93liEejtLHETlfrFjVm6TCf62xGOBAELIAO U9pqr9uBXZMGa5WGjeBEtGkzhNYizD8X6yEKimjXOKPAGihNCKJRHZ6DFtEUEQBp+xh4/M+CLJWI E6Sqb+NjRkAQKehvQ1YDkOYzlHu9DGr8zJqhqGa91PHI5FNx8BkaAwLsWZw8RMD0cTq5/QafCr4/ FykzKMs4o7gaDN0TL3YJ6/VsPiawBNnUKDpHb8PjQXbWb6GItTRMJXXZNEMhb1FEhpx9hoqLVCcC vmSzC0Ul7bJQW69wlwnokL6ADmcLnL+MEmgk5a3MQlGDfEing9D+sq/aeteILyQTNGpASID0R1SP bdTXc8Hg8Sjz8Ot+MzQ4H5a3x4wzQoIA6HC2VnZBGZ09yDza3A6VWbLYTfyc4BhS6xVXtw2+hGZB bM0o5NzKuTRee5irH3D9fjLsIb1iTQz6jALrRqje3PT8nOjqcl7g6zgTY0aE13g+SvF/ie4swq3u ORSyFju0hKmricsM8GdD7NXmU3RSs2J6KTOW2MMaQmnUh8vrPEdxQw0T5dhR+5DXDjotDbS2jNqE Y4dX0cv+KyKSW6EGS1/PjmFN/iwKvmOElvnWziXbg+/FGVpRVJ02rQaTndSrpex1onpNhhruPC6i FSFBqLgMWHXywWbofEqjVylpi/n89KQ8dAhGNa4dHOLfyt0rLFhnQfXG9EETBEumLmHqWvF4RFsc v84hICPh72IzFBassS3bSA50WBei36Rzzkra6lawXmFQ3acQsckHL1if3wkmriR2Qth8EF1c4bvb QYTqX2AiLHV8R1QBYd4qCj7hzlZBPZEilXB+zsFb8Hywy8oV78ZdxrjqQodXqE5NHUtRFKkGkrd3 qGfyNXRSWsPsrRAKwiZ+pnUnhQfxkzLtyAn6KFpDY9Rpzrusg6LzsdJtvwKI3vAdURmNbNkpoNS8 5p4J+LatoHrg8b8kmGXWhKrtw8FfIXGxkNA5TSH/ho9UA1Hbg1AQmGPwJFohvJrFHywEHxwKcu7K OmndWqG3lzJJ6HUz/oPdVL+lRgE/V1iwplJsGUx7OWiONtD65HbWXfH4XwcR/U8LvZcuw3yFQtWL DbNqxdi2qN29WCeq0+77ulwBfoXERdox76TtlvqdIfRXz3WTdBJVoEg76UJAILGEDKFZu85oL4ov CSpp9PaG0hQHROM8Yc8Lrk6gAyQd8PxfFWs+R3QsnA5tjqK4GvAopK8GKIIOqsfVzxwVlCg0R1HB GnpB4C9QWoaD19LOZZwb5fpPFnVyB0rZUq8IIg6QVIYmj5lTEZe2IjCIqV1sscy3i8iVvc+Vz9b8 6AOPB1iQ5Y0hOXzAuPqqna8orgafb7cHcjWKlfTP2zQtLUSNywppK4yrq2TgKXvOwUsgkz/yoJLD gG4XC5KZdUmcseSCWpWIZITPCvVAkHrA5gPZZ8+MAklEgdCC1BlSw+ORvtUvQFHlIhr3uA65i5Xt xTLyraSN5ZQqdm6kq1uyT1IIsmapfnX2PVZ/VGNBUj9hy5YG2Dm8K9XCEkAGkx2/PNJFg8yh140k rqhkiYQeA3AVXEaQoGBdDV6PnxXF1uvSqBkhTKrG3mUUchc7/PJkeQ45yzo9xa+0UpEu/HS7pRUW rYeh75sPTqgCEIr+eaikzZN74Gcc50pidMQArFgHtgDuwZcNDCmWGVM2H6xQdM4EXnAnlqx4/C/h czUjO2yx7lEUMxcnA2qTJhpluPJwUjOE2QdSKs1QLLRHKtWiVuWUdgBBVyezPUfREhgkUVYX0vmb Pg2YplzHvdEgG8OXjeIi1dDYYFxufFHORUl5KX5fxePBrb+vNDyvv0VSgef4O6xYQ/Gz0OCTraFh aSvcqB5JkOadjx0VrAdxn+hs++A32vlMIJXk39kSMSZwxYEZFQ3i02NyyoL1jmLrRiBZgyO1DbaG TtSluCgNPh6U0i7ZQ/hmNuLuBL2H1mDDnPQM+hpaQ302N/JO2fSIIQnSDIa+Y0kQahGWdQc/h5C7 QHKYCugdOjLoepxoeP9MWGzlvNa9zpq9bFTSZ8jYpEDcrjjz2H2pr7P2wOORRPxdQwYhQajhB9EO Y2tgGc8Pm4p1YMu69iajb+dUdW4ITlIYXQu6VynoycGb1On8xiTQVpoMtEZu5/nKsySQi0xe+cLL YDHjO/5VS2uyPG9eFz8XG/WPuDL6xuMRc/FiQTAf0MM/q90jxx1F11DOBFjfStbn5zYPwbPTr4cg Q2PNUAgG4Rm0ZLq8HtPlBTmNAntKsV9RelwEN0ItrLGW0e6dP3md7Si4BmeU0gTVBys3nuuBf+HZ fnzHvwQbeRRl4uHyXUYhzjrjAGrF7rMuYh7l3WR+QicGVL81RTF1UZIOu/twFxFqZOpYlXNJilh0 0nxU9fMmP+kW03CC4Tv+kX6cOL9XH2wRnfBK6Sx4Z/tvWRDJ6KKjO2/vbMdSewu32HURKla8hpxv ar6IKFfOGYqxICRUNdb2OTi4cc6Tcyxa4qEhNwZvvg4WWUepvD3CKdDh5LtGRjkkdY5nMEQRli23 2YkF8XgkT9S/LnzMTZ/FK0U7woJgl7H7d71gRrX2faKzhPGqUKXXFEVYEAo6TMhW+OAFx0IzBsbW Z78lID6Qw3JFoAqySCBUj7p3vW1Efx0S924+6JaGhU0VXrEVPB6RF6/khWRBUJK9siA7DK1hs9wL kZ6oq6WUfJv1u4ioo0yBoh2CQdQSWuqhudcPRMA6vEGK+h6JbX2iZajQyDbJdgxxoX0vviOIGqmY Au6yD7aINhlkOFfmxuORgPV3QRamLOcs86hxhzXrM/dQSzEFpxOOmyrhiRqTOXqcS5vN6s7PiS4z LiGwE2ywXdbAOjnxolpn57dIW20PLHDQFed8CMLb3jU6h7a2V/dBEwQrQqq8g42Ix4MyyL3wsVrg wEcZKZugKKzGAQNhE5e48vI++kLOXey9e+KxIyDIVD22ibvYHu5iAc4Bf7tk5AAJYfu1IxHHjKxa b0TErseO9UCERZvTB0Oh19bEoG6l4fE/IyK6coIBiaq3ZshwN29fu1lBa66fnwwiw/yVXHFJgqDC L5HvFGqCKPnIUmjKV1v3HIeTtqh06T7rBnoCYHwgRIDR0A9LL44pWtteOHLuohI6OisYsPulegZy A7ntveXJD/iL0UAJEeRB/eb5OYUkxkwnBqR3MH0HDN7gIBSqkKRDL15zZK72/kt1sy/dPnjpGq0B gv9hb3YufUiBgNEGzU/gc4pKtFLgaf66QWlWqoRLvorLGnXlJAVNHSLo8/D5vxj58uRG0wbW0Xee YouYc/XDh1U1IwTTXlXraVtVjf7O8h1IIdyazR/6utngBCKexkwZz9l0bhMkpAPyxOVnAgeaBy9/ c+fM9roRLoRo2W4ql5DsZtiHCmdlCAnnEH5AECHlbxyfJMEuMCSnsIgNKZOV0rTS2u7uhtvm8GlC F9WnKXRaZG0NEro+OInobEG4kxTyrHCAQ27l/O/8NPKiHF+o67LtdSOwI8PINO+gQPscrlP0BvT1 6Sr9fn6P71lCNt3azUUMZ/yaw0DcEkwMs+pMOpvOxaq6CA0IVk2+6aI69uDdtiCLaIMtppGQoRUR idDQh+00JJ0yiLFA7FKuVKWjTL0ifEvUD+G+qpys6dZYhVqjghVvuDSkEB+Sv0X4cmnQZy/PIR5W smnaOUzL6cwSiXqZNqozGVRto+hXZO0VSlvP+ao3s86MnJwv0Zep0TC9S9ZpQUsWtQRQlr0Gmba9 bpT80zyvswOZe/YC0vkpxpBYSNp8PvCu+uUZA29CLgGfpVguBKI8aWSDhdbLuALy4QLUUxbkER8U hANdlihWKBk3tcW51LYkQds5S2j3Pqg5c74Z+WCjPtiWokqz1w0ipk5pJxhm26CAoENGniHhOTT4 fFTy9y3HdvckZeZaD6VYM4RIkWX8zy47na1S5KAQ1jmYILRgWy42jmEcMHXdzZuYzAXFTDDQJZN+ PoywPlYS56J4tJ9LpdRmbxtkJpslNnQNOSQF0PiWxbign4f4fNSCvBphRSKZQGN82emFsfdm+3pb m3Z+lSO3/T9h0dSLu+lF0iHFgm91svftZEPOYOWRRL0qcEOhrmHLlKo+v8+sTzTQ/W2jaICQmpaH D1pLOPia7PTa4PPBjruyBuTJ4gZpX2spLGsjsoYsume5ib7eKkrSP4tFSdir+zRFle2h7poA6/ML sN5h0bAWcxTkK3Wz4pQhWdjJfkksDFZV3Iq9cCTES3ByI/eBg+YJEhNbEmmMLUMXmSdqIrUWSU+/ Urw5dGdk/b939xWucvkkTnRPFwT/dh4MkSNiPFBQToMXTEBnLUXYGgrzss80GB9g+WRCycy+kq7U OXBnBGqzOj3NBlVvT4ih9Prc05PPR/IGFxmBB0Em7Kij+DRFMTgIACCFmNysOHjmJnMrAqQF2TRF 8BFGS2d3teKDlwQgDp3Q6EFJAOKz5sCH241V3f4QaOgCkmOHRu42ct1s0M9PgSwaV6xS+XykOPvL QxdrqV0Phxx7NJ4gAidTt6SX2CdWBapEnwrZfEx6uz4o6tqKPTO3D45CSmsXKB1gLZ2/BrQsihrh B+dhzsBSk1SavW3EVmNDe8k5fplQBoByvbDwAbM1Ph/lvb6UOLmsRaRnJUUCfUiIkPZapQ2WDlbn Ri7KpkBxLpZNUxiAEy1aReqrl9R3zpoOJ8jFQjcIsyXRZG9TUggaZ0iNXC2Dve0cWDVCClNeMjv5 IBTJ+Zouy9iVK5//KxhQKQFS/E8yF5o1rkXZsLS8gLKmF1CkKkaN8Of4DswaoWUhvQwRINe4t1wm haKS+Qjd0KEqZaM+CIIA6YUqS5G4UQ7tGq1pS8UMDIZvxJGRWPnuCZafoV1jWb92nIgH7c5SpILd qUMnHC2V1E1sBZRF1lUoALUYUnV90L/sCtJiU5aDHUu4p88OLFJVPdtrCOav2jWK7dW7Snl2f9uI hk0uf+MkcdBSgguh2koLXnuhY2NK35ME3a5SnoApcGxE2YXFSidltWFlJnheDEvloK/qfoShZSOL RxNHsg+OAmA+vSiWc36S1KDteI5z4N77QodExCjR+VVmCk0bkSLC4IqHNwe1cM/dT7ArKMuLz0eH 91VWlV442n+X0J9D20bq4sNpb/+YRG/qgm4RT2KFJvDPh09U6DCT5NBMBRYOt9N9EsNceX7DnCJt zmWi+R4wtxBO81CgVnvfaDVhy5VChDcH6y+dz0/GGtHzwWrqnvIukUpRu6zPLRfF34juT6jdk8Ek a7X6CbxAHSY5Vr+zFBe/NUt1+eC8EeRttZPk10GDqPRDn0DynCk8PwgxlIZNSv66gfg85R/TSndQ YDl66tLZPVcfn/9LNZwTApWAnp/zO4Rso0Mwcvdi3LDth1ggX10xnOo2SzFmm93cSVGf5bJo2HQV 5NlKgf6aYELIel2mvBbUW1e7HpdzJXvbSESUBD9c6TZYWImepzpHs/H5KEv5pmXnBCL5fgoDoYsj GHrnC8zIabeyDAi41nyAgKjVKeUNbRwXp2cjJ7fB1xKqHGVLWuxMeBoS9OEDVPaH8qdyOcHccujj KMlnNhk5dKW8LUGyjDi3sjKfjzT8flHZENe1fUWfc2jliCYIfAdEfRTGQZo+qA1RCqkM1kxtlkLs Ng8kbFkfPEcZJ5ak+gSAbqBs8QQDTBlYvCz5ZSOzydo69HLMtHkYW7Isri19boB+kgaRicvk8xHu 9lv3GXZ2rV2kW469HCF8tCXBhhY4xePZTClwrtDh3RFrasMFZo7Ae6q4RFkjDr7h2gmNGxVFKlDt CV8GUuRZqRPAzszbWJW4XJO97r/EoQrCPAznRBGVcQAwx0MKItD8gCj8/iUIyQ94Tu/AzxHKV4V6 vUZHqjRilCSLsrtBvcN0pyk2n9HlJpRJfVAmeUKqVP7NJ3Fu0GpG9Zsmc1AZpavFc8tte98II8Cm U15NQ9WqAH1UoJOTdXY+/z8RboDlLdewMIeejiAh7Un8guxn7LqjjoELs9Qn3w1MHV2fNrG/rcHD 772XPJYhV4cCDc0NO7C3DR7KmZmv9cDnstcNZknU0c2KJXnwJuZY4VPMtmSDoXzg6/jdJageDNQ1 nuUU1b9BpXKfOcJN+vq5AjbZAaYrdZ+nEG8iQkBXJ7zfTjgasgtNKGJwEw4jsbe2wh+0DB4fGkpD 5tDake4qYgTZoGsuneCX0ArwV/h8BKfwPUdeSQKW+3Ikc2juSGhVkmQOi3GtJDfm281t5SHTun2a Qm0/pnHZZEjKpSOfqwsYKASvqDLxemITr4LPOMB7omB2V6PX3zeAVGSBTng2cdA0LahSJE3T5vNR BP6rMkAPxKvEmkOHRxRyd2d5haiTUTzlTePi3yq8Q22SYjw3szhy1jU4zQ1Otb1Kdn1myEdsAnPO R3X0XDKL+nRbLPm+bjBLlcz/KoG2up3Gtc7KJzK3nvyKzwfRwPjGK8NksYEP77MUCmdX9gaSzxLB 2IKesDYr6Mmi7F/XB/3Tj6bSPSxVdw87JxMMVAb07FBkGhv5ME8m2quhN4KYxYKBuuxtg2tOBKVM PC4HpbwDYarp03Q+H2ls3MCSD8K+lsRUn6Yo/kYXcQ+a6HKaWPczU95rhdmXi2fnwOnRDcIndoEN Hg50XKPAySEc2DyokMtB5RVSqj+0EqNAAOap2+v+A/sO/t/0wRdTMfY2JMZzaPY4dvpaTP2s4rNP 7vEduj1OErpOAGM7LaU93QyiZrPOQslj+6aLDR/FegMP2QavWcLZV0Tgc9Gl80MII19IfqWp4Hya 4d3e9x8AeIhLbx/0l1VIOPPiYvk7sHxEBP6tjYSrtrevaYoC8F1pu7JNRptqsraYdk2+mCplSTlJ UQBu9aUiOFN54EwNWgDnl2DLCczwxUZwY8N2VY8GnMXd7XUDyAAtIWoRaLA033RlSquDxu98/i/o KelNKMkDJOGzFEtpQ409mW8Y+MoeCwBsbLEAj1ybpVhLm8CTzmNnepOjgogDRChoDKgOnv3XGVou OEdPHEU43C/qa/vrRqr+QzJtjCxLc0R7GzBrnYyZeuYH/KWKSDsxVHL29RbJsfsjFMdLN6n63cbl 5LRaXCu6tHUXUxyBL7/hbXD8CYPJTXHN80n9JC2snwBJtNhKpp6CXHqtGR74P6KXQuAJbXoX8yfJ 8ffzjZVdrnFOc35AUBtIv3g5PA+fyDI2gOwsx1GFjsbz8yqz7zFdsm1m9xTLgQVk8mocHCdssOXU AD0tpN9A3j/B/BEJHXoZE2uK1EDHw+dl7/svmZuJ3oxJRyu5gJOozdOJhPgBf/FN2ZmrnO9nnqII HGANyNuoaol/ed6bU3NLKHSNrDgQOkEOykYssbY52HI6v3Pp9DIBOq6hsaLq7rmbJzlFZT78nNTt daOLju0UWL3YYNiK3aUieTLrzOf/MqhT9ICGzhOCh26QAJfDT86LltRPE7d7Ty/HTaSXtpgiBEoT uaKz1sbBcTqA+p1jm4TK80pjKxzYKMcBALYejURGEfySf15zmeBvDtYMB1qU33dueD7/F/9dxMIJ lMFzMr0H4OadddaPu/hJPQnIODheWG2gYb5skv5tBznxg9rgMB0oSVfOBNS1O0q9SOCwiihyXijp qB1H8Bm/JdhxPJkaE0ENahSU3VXZBUaBz/8VW/JgYoWhP7MU4k86lpLsizP+1dxhjKaErMb184Nn 33BhAK6mZOK5tNM9l870wD6r0T+rFTixNCLjEENB8v5cVPmqcdDohN8S4QcJRy31DlpLCZLpLO2e 0JXPB5Hl7YUzwyHjFdzsO0+x1frGqc02LhCEsEWTVjttEIvkpUCuFegrNIWUelIHfcsGzRPg67AI Ivn0BAHoP5x1N9g5OYcfrX2vwFRJfdn7RvEAcc2D7ac9XOuuw4ZoijqIfC7whURx9Tu2JJBlPFlv aAyJYxfKEO3mcx5byt2b+RzkyC0cCIwhJV9xZqGwk8nBWpiYGZzhOJmgdAffQ/YSgIgDsBDwRLfO JBc+B8aQl6M7e9ZgqC8QOiiOa6CBwBny2zxCZse4U+atgIfGkCjsbILoVI5juVE+NtLhJPwEeapN UgQBR8f2RN4738EmqUKrsleyB8/9nyGiyOUGygxkTLHPLJkbCpkCa0jhEiBFvqcPSuZacsWAE3Pw +eCOe3SAeSFmsIbuhgvNIc/JcW82wk8uOa4QqSDq17hQpsAd0lgpL142GwXws+2qWk69mUAnGOzI vFA2qT9OsVQtLnSHrNJTSKQ0U9tIiQWcOxarHyeUWvyAiE2w/v/x3S4xJQcGkbA8Zm93OjbH8SdI eTO/2JBxN0+JPSIpNZXLGD7YPJ1rrQ2GSVCcKme/MUaHrqgcCuj853Y23d43OJakWja58TjoJKFu jG45YJlCk8hHmJzTNMDSe2A6gUukWWsVFZcGBcysLQ7hI5eYbNl9kfK/XSIh2Dd9sOgbyGGIrw4Z I80qCDQNNjp/JbJRTR2wbXvdf0Tf8my1QVsOhogUWDqzXfn8Xz4J6vJyn99YIDSKhELRLtW0AM+/ +qVcbDuiSmcLVbFA4BSJWWJYmZWj5CdHWTnDbsOaTuf43YJ/s68A1AjLyheAsu11I/UytQmESYW6 iOSiSHSSaffKjR/wfnyv/X0yDaIH60XJB3aR2bSTk+uVtp7dLjK1mWyaipQmOU1R9N0VMhUa+3Lw HOWcUrM14b8b8POo153MNMEfGr1KAiFsy1HjLQeOkbptUEFnQMBBIVNG9YrhzrmA+HwEZvrVDUeN a87LusyhaSRybCBQkpkAQBrS15N85ymvNJHO20RFCJQqP0RzR3SZdXAtUeBtWSx5FDFTppQ7LqZz oOcfpCq/dMxyYBwpGR84sVMTj4PBLJH88I/cIPAEzpFQW/hF4K2om15qyuc1YCqANkPZtZjGwgb9 zPfcbOa8AZC78Xdew6UCPUVecrsMH76AqKi/sHoCgVdpcnYIPLdF6N54OE4Il16DpQJROul0yNxo J2denr90m7HmwtMvs1MeWddlxiRneimGQSOhz2ukVEDSgxvJdP+flZdjBSYSO2niVFSmtYKC2QEr C12BXn3wau5ocLimFQBMWgc8uoGNl3so7eyW+yUYOP51ek4sycrbkssWB5ue0SQAWuvC02/Tg7JS 8enBVAyE23PZ7LyGSAUWWwP+USZatrfIcouF9uaMy4ZeCNfOa4BUZKFGo8jiw40ieQgvauChxt2T qVVgMyD12BfEPAn1fg2PimxpIJ/IcknzqhBCsE5eH7RH2+c1NsLUWmlSk4NbqQKbpcl5jYyKObQh o7Jebq9emCz8IVW/hZ4ZJ+c1KirZdDhPnngHn5xy/uYGzQnc90vAfkApR5c7/GDXWZODG+LzGhMV xDTs/XLNiSBueIeMmhJO6LNG8+c1JCqP6PaS5zHQRTKnx+y8BkR4aGVe8DY7UN2z6FpqcoYIqBY1 voZDxUVw1tZ9vx+/H4RCwEp2OmDMgfIdirYQXV9gktM9xzbWptLtazBUXFeBN7MNVj46W0spfz+R 9WsoxKfvudN1RqGXVGx6XiOhguI/ko8+bvF/TgcCrHGBAJx5Oo0G0zPVsyWATINNzzl/O+jFZDLP s+cSJTwSKhGkqOJocrgElVI/r1EQjsghDq4qtfkiAk8yI0ut8yOXz2sIVJ5IUVurnfftUgHG7LwG QMUwqXQFsT5bZuWQwonFU5FzLNXs/hrR/EjdtsrHpj4B0IT3CMXHwVk6SUWjQE5hLwVaE5mwBUtg ZYwQ7S62/5sMs6HGZAokBZQxThBAKp/X6AcnlwHe7ewB4J2tPk7Qa+iDg7lyT203FGdpymS4pmtM gWNkIsDRwSxtqS1Ltv1YsrVBK+JOhDI1pnDewCMaMS8qN8ScWxhNhvdr1FOurCSVyDUYOqIAoPzD Fkf+vMY8fMNfJzNiCgZjnJ3XkiPuOvb8swtMtb26IyPQvrKzB/+USFlwMssHAnKPPlxaCXQFITl6 Zmc1Hs2IntFzXDjyCpe4IdsaVdyi2WHM0wpDQw5WRUsnqydd4my0z2uxscjtTpPBNjZ6yVKNxey8 FhqxXjE7g+ZypHBRJYKz03v24tCg7gu1JqKQh4HMrgIi1UuUgLUb1FbYm6V+W8YmHCe2PUdPRxGf 1VPDs9H+6LXGyK0lzk0vPhgbEItge2XotcLIC/ruLBVux5mi7THPO8UNF3Ki4k3t5TJuuvXPzh7n okJQCJFJ5wJGUyR7WkCwbfCCR0P5I9F7taE/TmAWtKrO9gKuXdBIk7ZtEgaIVhD9adGr9kFlfaig 6EY6C/3zznDDBpu+wdhzg7UCMVCaoyhsTuTetmXnD9R5/fq6x/PJwuul24QzxIAZGb8NjrAF3HxT kLCejQEpWwQCCXXX8x8O/IHFe7JkyX/e6W1YRYVcSexJG+x+7/JwOEdK5eNR5Dy/jiDwv0xKUlCe 6Arb2GX7WtVtN9M6M5S67zLygAWoCWZoCyAihe38pbCNWUAJlt1YCG5RIfHMPqsVdArtN7FQBh8F zwJApEWIIAcd0f0c10xMawMb6T16lnuSJoj/LS6T1D0Aeie28ZBelLyxALG35hi/NJL39em8an39 4JTuVaJk8qZdXxZjBTf3zGrrb1A+ecUD09Gp6NVZSrc7LOllg9yLwQ8IZj4Y3ijBnIvHNPCiYQRt pAgtIWAZBlNCzdB7CC1cCoCu3S1HcikuZJ8eIftF/r9Kr9Ec0VC0VZJtW3WyLXww0/nTB9USykZS KZIJNCx7hiMC0g9WqjMFqz/vlDaeQ7TvNWoEO14WJzbz+UHX5vNOacN6aO3rIMqEHjMG0yRFgTRo L3t2S7g2LxgDaBPixGVkHbTEzwnSsCyIaN0+eAVopgWG3paobUqbjf+OdiRNl/a8SCN60XzeCW08 NZmkkiCgwYBGnZFskhHbO5+tqHr63PYZxxriEp+h92Aa35qpblNvLz85tYZylurlM63jDEWxNEUA VxYAMn8BIE8qtiqNb9B/PXf/EjQbQSn6Wzwz3RJSR3UUS6MNfM5oCFPYoJMo4+QTHibvzzuXDSd9 9yIH20bn8O2sYWuComAa3Qa4gLnVuhRu6Ea/2NxHhYxptyYoiqYJcliUVbbBTiLEWKkIk93g5ctk f+KGPAcBMJVUbGUVsVCy5vNOY8M6JXOU3sg2GKRvVjWrywYpMoqnZdSKCeGGQmTCgqNm6D2ghtpA vhQRaAAlNl3Z3Wjm63dyBZjSqEoWRdSiseNW8cE2WelsPSwijYFagyYsFjfuEUrYz9sBst7Ge0SN s1aGq337oE121uMgH7J2SNtEIfXa62uCUDKpRNdogqKYGt65YEBuTQZjRtdo3726wTHkSGyKwrCa jryF3qIabIrOBoY3tqQ24Rtl8lzgJUJz42d/V+yn3jaYIkhJ7UHxXw2aooSYqynPWp939lp5YP3r R/0wQMwrsZgqJkaBNQgEgC1Z96ezqMsbP4/i6triv7KaGIbV2mBDDj/j6pLB4q1Bt43FVoDLVDY6 8WOGvMqJTyg/rlI0AvnPO3eNYRchRQhmbLCDCN8olYOSP+/UtaKWsE8RA8zmGu2coSiszpN9++GN H+p4Szail9sf607KeieucTmaxmb24VJFgfCnIko98z9PjASBu41OGKR/sVzTPYlYVHwnruFeoYM4 NP45gF6t3DV1dCixMKBB8k5c43X2XfgAd6jnWzd7561h7RKZ1pLBHMd00BXVZ/02g9inpug9rlYz Bqe06P6p3sLiuSDBe23iYwFF1OVGj9xsE+XYb9hIosXnnbXmMRFEJJdjHY30MtDqYcJ19vLnnbRW JIL/VbRnKTjfKQoj66k+fWmOUs/GWkNVwSj/hXA8m6QotsbdBE8WibT0R6QFmogN1DVJtp1p6VxH AL6vBNg9ygMOkqH8yDtljW0f1s82FSM4WGx9fkWmzOds4eNRbN2/s3ysj15v8f6dscZ+Vvmxs4/5 WTYtJFTvqx9Gozpj7Z2wVgxtdf5kuotyuKg0RjuMioBJW6rAFtKg0JjKCMmsAlsoufnOV+M6YDxU eG6bSzCheysTjknb6887Ww1TdDNYnjxgeXQAM22KotCavqIrb9dpH9tFbCaZYzqvp5PV3rlqxZv1 W5J2+5G0A2oMaiCDpxECIdRCzyqCZMAihIsKKVNym8VeNoiLMpuHSe6Zqfc7RV11zXri9M87Va1I v+/JPqBv1ESb4ZdGoTVEItwFGl3Wbfz1DH+QYUn+CYmtDPJOU+N5LSN6RdjtiRzXWRuV3gdYRCe1 l48UaJWANqJbzujNOmT2su+H0VL/cCte2BYYFQgcV1k6rrQ/7yw1O3if7AOyag3FXJuhMLbuTFzR YrEcNjs0Fp3jK6wF2K/OovfoOlMYZoC/n33w2PEEj4kJPYExOOOWFCahsFrpYHMTtDL0ttEUUVyT edqZIlQWODE1dxEPfwDs/7xz1IpYVHby/Mh7FRgUn6OwXL0BFs3TekFjtltME48Wq2hVt6d9Z6jh 7UmTOcft8MFmqI3WCipE1LEDXnZLumYC3QRY8mCiXXVa28sGsSMbrYV+WxoUGJUTlYrDAYbaO0GN bUGfoWaidz+t3WLROz0NU3Tebe9ysXpUX1SxiHg7TRH6Fdpo79F1tj49lCB8sEII3CLOpcx+UAF8 e9ACCJIv8M0cYItfnAfNxN+5ab7PwMFMPiggBn+SYU2D19g7NQ2R56hf+6xht0q8mr3oKLamgHRj bRNhEW5oB+y7qS+qDK4y8k5Lw1kNFD5m5g6Oil1lnLVTCYQ59xl2MrFX7FxAEJG8Yu0yLOXPOyuN vfomT5/hg2VoCYIQPPhG/ryT0ixD+iqmUSmneBXknZNmaeFe3Y1r1s4uXDfb8FJapSU6JyisWKNB CJRT8kETVAo0cFXWq1BOI5YaWS50JmCDwQJJN3JM0bsGE0Rf0Z15DG1Ht5UE1l6R7OhJId/5aOUR +veokW0S32LvdDSsIJD2Nj3i1PWo1SOiLQ8t6PwPABu5x97paDyGmLqik2mDL6F5Uu9hgAbwP7Ow jqBxQc4W0cEDYBx62Sg9Q+rKS9YGLaGT+0kmEgojn3cqWhH//7ntC+4DpoaaoSiuhv4rEg5XzyrG 0stQTLW2UJ+I0kklfieiFbcVrYqqa3+qseevPtd5FsQTlINOmRZ4056TEhVwqjsrgZ1UzHjnod22 UC2U86kFkBZeZdBNT9xy85xIn3caGusgv9rS5fcui6JqyLOg3qHlslfK2loIGbuj89H3sDUUFawT efs9Uc6eg03Ruc9RxqH0eOqwcqdbfeWLQmH6cdMguOLzTkBjX5pIanDrbHAILMQmuMnm/LzTzxiO 3GIso0PczntezNB7TI3LAWnHNa0Z7ZL2wYn2i6w5NfadfcakiWEQ9GJt8Ghonms4WZkIMqaZrcW1 Sfs4a2j1B//KrOOde4b1TnmMNWv2wS6yE201maz0+nmnnmEtJL/qq2xswZxodwlFMXUnexff4tiP XZtlr3VmV3/I2U2y37lnt7mYURawwecI6p/gRVLkaJ8InjrJOP/PrgCbl5A0IzISJPzOPbMAF6ZX hDe0x2g9n5cl+b2uyXcMttnwirXmCPpB+57VUVSNvNhNslFJS7eSlqhxa72z7pdZWLHmbZ/RvR1U q8CxYL4+55xLdNDC4ZYm7SJQFwTotMHIqnl+39ldfOedFRM42oSMYkAvmLsDkski64NL8nnnnTHi 7F8BEYQJRqp3o0VBdWu0PjIzY5RE/b7PcsxmQJScDvvOOrO8Erd47j54UA0cE9186AiZTM+gomkB gUKIcl3WGQTr+CXRYS2Ag6wz8R8L9Jypjo8vBND/8046Y52mfU0ROlO99rvToqAaV8SG+pf1PdY2 zbVlVTUa+IJzqimKStYUYUceP3zwFvVAtYPl+9oRUTQyHBMMWRaIW4U8DKsSdXvZoDNUlJP16YNV iVou8i44f/bnnW2Gd8zz13V2Nu3Inpm9k81wFiWWz8QzX+zANvccya2ZFPugUy0F69/ZZsX06qGO tX3wDB98iDYN5VmhlJlZJjqraUI6TbKiZiU+7HXf50jaq4XqRhgsAKzYt6zrI9n7vJPNDCb61fpA oNbbLTe+k80Ik0JZPxVxOWFxvSm+Djgz+S5kK1B1H8vonWvGC5mlWB3X6R7XoBx3aOmwaF1RrMCM 4Lg+63ciCCMSy6KiVvSuwUbr0hLdzQed1uOkkSw8nvt4ft6ZZrz0/SgiNAudpnSbQ+9EMyzdSToc s/qNf/VqGPPM5t9/jDaZbQ1FJeumqr7w9RxulaiPs5plW5PbWV6QzAZCDR7Dlfquy6tE1E/4vPPM 2Nyhin8jLo0DZwgpjTqwdW4+Hp1E30gHyuBRykQz9B5Zg0k9f06AstRV3Fn0Tc5QhyEMLWt4HfHG f2eYPfdZU7263cia+tj4uUVSOMfzoIomVESAZzyfh3DaJY7JUnhnmBHRKv8jStBwsDVUqLOJG/8E 1u8Es/J4iesx6DoDh+ozFJarB7kuqoCwvN+vQD30q25Nv9mF9k4wuwlszUzPan7SswwWNlRiGCdC SYfc/QHHigaptExMnzLYReeMd34ZU3zK0BWRg4uVfAFuzpXk4HYOvc87vYzRrddAcMwvStve/uI7 u8xKU3sMCxx3q5fpMpchQM9Gb971eCeXcQ1jhmBy5INf+dDwTxDdARlooj/HFmxFoo6zuufHZQxw g887tezyFeomaIaDXWfohCvF3+PzTixjnvVdrgZBo/bpF/47r6zIhHL3Pas3YLcjQUp2iZBORQxN UBxYM+tQvZGDw4n6uQuhRqs2Pk4NgUQzr3iqG9+4WisoqlYLrVdIe9VgbJedJpEcDXaQ75wyHmM3 rsbPR6f18myzKLAG4PTEFttRe6k353T02/JozclS73yyYooOqxELp8HuMoBhi+evNKZVc4QmUQA9 rHwtRQeBn+90MuZXLFZX8fBr8hlKZxXK67DhLovC6py/77KRBMT2CYrCanADT1o/jX4/aTvNGkjn UUJoLAv7onXE2Grmr9pqHGyPTcAOe6ZmES1YFmmuyNfOBOFyYuOqqYyW9K7BZU/FIoho+MAJmufs qnK9gEhYi5AgaFR9hYwILcsFE7UwqCZ1odbl4oVqmzLFR4PU6mhQWLMpimrV6Jng/FHI2L801hfb lbTIrjAUl139bIQzInwo6YLz7aCOwupFYZle6Evbi/vSJtBpmMuexT7weNDDv4U0TO6met297nsc VsP4oVlvY+PSsUWUBeSjhgOVNEh+eQ+q3RzjBAvdBy+kZRQaha+md4y8feht1c41/UPpXsXUkurv ISlRvAVhZcoXVqaPImu5MioeD9gdv8poNG9Ll/7So5AahBMYh7mAmkTCqN/QdvUy2lgGHu4RDgT/ xZkZtRc5eLE6o980CHI4+QF400PMVyC5QBBAy0GJ2aTGRY+K1ZXyznPIxm9YzHU+Hb73wuifpKNH IfVDn1Kau35ofGUTFIbU7N5r3dDeKOeLArk32RSRPPFzopuMYEYZr3JwisdZGOe/p1oh3FsmpxKQ PfQ+C4Ohh/iLtg6+JEKk0VeFIiBnQJguglBfdQoFctIWPB+wE2+pser8KmgtevLaQ37ipHNINmj+ nrRRVh1tTQGLeFlbHa1HUfVkQzFBH8MGx1s1SEKqoA9tSERX9Mfq4EUD6rbblb6U2GyPomp02xEJ LcVFcyt3RUclT5lldr5jcFg/2FgBIhJN3u5Oi2iKAMns1rKQDbCyuorqw1QeoS4HKB1q+j2MqgXb Kz374LC9s92BKWrSUD0xaqa2BUqxHTYPbO7bhc9SY48q1ovIxiI/jMLcivsD6uPy6Rln4vB8MEfz +yw67/ADRUCfoTCqBmqvtOpVIoHzudXScMBVhvisZiikKrLnWoCFsuEW0gbiO0rOlw0VaVMuOjEA pSMFbNRZhCI0viO48SubkRAUwACZ2qFqbFel/QfXJZ6Pdlr7OoxOgAYL6EvlDCvWfRCCXmRElzdL IRmoPWLcFDQCkqIZCsNqRTqNTAYOlt93WHwnKcqNev61E/lmcLE88SIKhftCHBYhaT2qV2NjoOvK iiMH9e/P8bCb2Jxj4vGI63HpnISBkKv/XGjvcbUBiPdgWAhxQhmqE24I8+wC38dBCTjWiHoUV28d RRSP1OB8IYjRDIqX1QZAVdsqo+EByrHSuddsH2mu1qOCdaVSMYX7bTAoEWyTGTeewBSPR4xXX0RL edyPRPA1QxHEGmn52Y0E6m0wqqhIrJx5VnPGrLv7NotAIKAEovYhxesmLziKOaNMnejscmao4e7h DCGKAY3l/KB9XYw1sE/4kgj62VRhzD6oBDKhj8+/cKeCxyNY48WjDVYaUVGR9BjnKIyt56ZOk47o k50N1YaQbCcrxnZKc2iOooK12xaN4YNfaGdntZV1oSW4iAMlivCow0cQnYt9EcSDMJAewUA6pQgy Q04NRodZUBXDPxc2WkherL8ufTRSG3t4Ik5HoTXmYeP7rAayhgdGqZVy77NmofWI6tUG0d86rfdz Wp+dBR1CdoaQExfaiU36j1Xo3QCeL7JHYd8W3xE1hojVKxK7Lv2SPeq+hbSMx4PQuq+v6wz6U63f 1tmIQmuA1fcS0RWSFvlKWkyBioHThx+qEcvDarVQVlUVxzovtzOnQX+wyuZihxAq9lkFMWngUM91 ewe2UN0dXxLxXyWFolLj5r3IKYLkLnfdaJiiuF79HVwjIuuteL16xBBr1RqnNRVTGcnlP7v0UhE5 9uloohFVrDM7Q4DnYaAH6Q+ilkxJF0iLs1B0ZmESaQzIA/gZyJAvfJitN3xHAPzsbHoM7rMymAuR X37ejxC4fv6HxyPK0DfEmqTSsu8UhVCQSu246j4OcxWH7O2rbjGHyzeMKLReWW18CqRA4NMmKEGY u0pTq4LilzP1CRYw23URPvdYYgOLhO+ImoucIFmFFrcKPesdSQ1FwM+Bhsf/Uv/gesOH0dhIExTF 1aAr7CYSTKeJmvcWt7wwLWq0UuOI4upFvSHGvDbYNpsLrb8un4uTh01C++YoMEBBQT1Du8NZZ+gs 4EuCbUZ5xnPe06EAMB8WW3CtLCr2wycy4/mocba/g6KCdTueOYoia6Aw4eeuU+dcZ2xRL3Zrarfr rAPqxPxsRJG13WM738Er+rCaYwMXuM8MIsIURRhltAQH+XTr1STijxBeTTUnVEF9MJgDqpQMG0/k gMf/OogkcoEg49bSRhRY024AuEmX26cohyzmSh0mq3cyqm7EsxEBrGlcsYry/PKV5+MgHaB8MPk4 yU2WfxjkEVqhJVe7nTNe+CPUAVENbRN41V2qBqZTuwp3fz4fjwdB0WXmcWYRp/XbWxxRwRqTv0Ga spJ+mcVO6rNJnUXdzo9v+ywKrP2+51Iq6kdkXmeDZClaXZ3DY0CGWA5GLKZDDqRfADok3PAd/4qI WiYkjYNKaXCEIgCd9NcRxtUjfUVElNmfFwcywrh60UHd9FE29dvsIOrN09dKRQFOUBhXc4ISpA5t 8Pu+wpWQfrdwMjlTgUU2C6VuQDk/q+giY7eWUBhXMyIa8t0ZQAII/Fl0cONvBEcbHxCk+LftYbwz SFknb3uMOLAelHN4TqLhJ1G1ggjUK5OfRHHJWh1qWhFvB0Oh7XESsfMibOHD6W434okSWhwDRaKS d/Ky/pbcTsheVP81SyYOryrYeJ+NoVWCr0DB88EcXYA1T3ZUGWrx5uIMa9ZieNYkpTiz5GXvPmWG q4wcIUap7GOGSnqMhsrazYdbKoKqO124cecPhAFy/hDKFQZ8t3tG548ZIkGq2maEXnGw5CNvSQ22 s2TxeDBF7btSBAOS1u59NqPQGokZ2IuGc2gwl2AKu0h2E86hqih7gqIZVq01QaDZ2eATdOLyObsc 1M/Hj0ppnoZ6D+0zJqNG1WSrvWuUwSp1TcMHTRBSddb4W+0Fj0dB0Xdv6IT1Pyx22wRFgfVZMuiR +GFtol88i4rJg5C7aLnHjLmLNCdmFUiDn0VzDNDxaAc8z42/pH4KJBqNhc9tNC8DlmIFM6xaTzZz lkDoy0HoOIY2W2l1r4rH/5oh6ssNEGCvrsyM4mpqE5WxTBQELSmLq1tpBv08oeU0KswMS9YqNua6 fbAZOhkZKh5MPE6KkBPtPwGxBuMLRCHiGmXW1O1do7K+mA9r+iCkzChLX3iCz4zHI73KC2vkhjyb vD/w2BkF1jiqQKi6QBAmD5qjscxMBufQtit/htJ68pRf6w5O7oR+If31EFm3DZwM6UI4KoG9yvXK xJ8oO+t1I8kLNqmxOWywg6hTGzRRLByPB/3Fm53JL7T8dP5AmqO4ZL1gzGDuumei3SZ101VRQJAM 5RBeZzMCgkjY+2Qp3QcHNoJ+f/40ellBaq8wakTjAjSPc2SCRmdBER0bZxRYV+YepUmbsQ1rwNYJ s/LFrH0MPB5Fjf0rakSPpecrmjIjIEinOFEyy1hXSmHUSL8AIT/xB2uCoor1Yvv+XH/JB19CZz+h LU3eYj9H0VaLGqDtRlzRvkiZQXHGGYXV4r7WSXOPKjAwSyAZtDasioyrLASCtJt50I+BOhLZI6IZ 8hbRd+h5e9jYs2mCnNPWlYXBc/BNFirs1aKpuYPdZVA3rLDhkGnFTmjGoi90Pr1TCXd/GVhtvWtE FzIPneqD6mgnl5Gyd12bj0dgK58hOYJBwD95HW1GQJDJJvEybXMUz26JiChV6e4M51PNKK4eYgux OqbBlxAEvOE6KO/vBTMAJK/w9uwQRshQp/V6NSHoM4qrT2Ao0ypeaQAAkCsOEEUenKJVc8XzEZro uwaS6cS27hoKSYu47mc2w8G9BXigV9y0ylEBM9PUqWcoCSJO3iKdQShJi4cGZ5tNj4LWKXVA4TEE 0iDwbQxKDDu8il72X9usT7IWOVj2ei7bLW7C5jv+FVTLRH59I/ZWFFSDrHBCXpP53IvvyIBo7ebV 6g4TQy6iFQFB7CqbhFtx8F2WoBQoBDqQxG3SznpCqKVx7UB74Vu+e4VAkE3VptyKD8YX6qbU2Fqt eDxgLc5vADqynQaxdZugsFo96vWoYsTo5XyItLt2U24O0V9hSE2IDI0LbfC+2cnGGmXfcdePE1tT JK2DCkM4UP+CEmGl4zsimT0mr5UkhoFESeVTpkrMXfuuBc9HgLT9dQ5NgtjTlfoMJapZic2uRDjG vEiQ0a8eSHHjhRUhQYZIebAxw0Adh8ZKbGvQxafUBQyaYfVJOxicFiiorXR7r2RF4zuiIhrrjDkt H1QAyQUkVIXUA4//VYllwQ0VW1yHNkFxqVoM/Ecln6L8xIFkalIKB4IyO8+hFaKrpTKMdq8NHg5t KB5nynifwKVAFo+LiO0LiJ/0W2cU7HPFxerF7TWKDwZqBPePU1R3xeMRZu9bbTgXmC89HfwVilWj sdi1iFAuytUVwLbzhAGM3T5DIW2xq6PIRcTBt9mGlljVDPW2cyUrr6LLO6haBpi+XWabHfwVwUD6 pEZaVXu6Ipbh+drbyIW5RD+3Dp7/MyLCJBEau24hbUVBdaIPo/wF4SOQlzfOqPOpOSqoRWuOYjUQ 0hfMzWusm5oV6C6AqcIaEdI2QK4G0hFIOGwI5XnPQxk7viSAykxCP4GztcFYDEWgZ/SWBh6PcCD7 K+2Axl5Pz2EdwqsTrYZdR25ulwA7QRnLRsTWYctqhsKommrVOc/tg/dez+970nuEPfXkQo2adGcV gXFD+XkSJ6xCtEfWy0ZgItYYO2F7o08ziod0jmyyz1/c8HgwQ5fcKYUerKGrBrJC3qI6i1KPsSLa unwqoOokrYt2quYoBFh31j6qgCD1AYLA/KXDf5O0vHP5TAoUw0Xt3CmMiUa6QJA59Lr/gDks+Gdq yD9CE53AXZTkcbbxZ0WB9bpcGIYHk8KxNyYKiYtAEw0GYBK0TK4M31Jy4iKWhYlXhwVrAha3MlMO vs/gDn+iziWozEk0OUNpUEi1M/oq1nwtmAK+bKCLKpT+TnewIlE98ZtoDKt+VhRXu6e3VhEWb0tX L2XF1eqFaNpk8kHluezXnh2kv1o1dOyKFaxpNiE2GQfDW507Cnj6LgfPMzO6z0hdAasKBZB7oRE+ gi+JukL0O8/9DjqJylmQMvDMs+Lx4M7ffqEpkWNZy0+iHcXVaIbjrM7efW0+QzXZwoKOXLLsdYes xS0/HMKIc1qe36OgSyU5gonAp96s/LPhC0+PHwpamsUpS9U7iqvZozinEXlnIzsCvTWgAvhHp8HH IyZM+dpklSL83VOz/R5XQ2QlE/txeR6r/7go0SVT1bVMIX7Hvi+S/BQ0Nj3Q2HODwd2ENIZzYU9i d+DAeHbFJHIFmmx+mVFAf4cIaxpToKDlg/L7iR4oj9rze+Dx6KjOX3E10oY6bvK6Q4T1YPOpbe+9 FvD5rc6o0wlVtIGoX3MUY6x5nZW8ffCw8az8k712ImUUWC+2p9EFQ7MVtSgHyiBhxndEVTTRGHLz wWgMCYAwLoy+8XjEWlxfkXVGGPalox+yFkGnmsVP6i1RItkM7AsCmS6CvkMQCKtoqUlJTpA9FasB 9CSTDLWQPjurJLMApDwA5JDlm3WEeJftKLDe7E5D7NkHnUMrzc0918604/FIrHF8nUNs1o1rrrRj dLX0LE3toveZfYb29aFEZm4zFJaqxTAfY/lwC7HnkOtlki00OqLGpJYZCxaglLRbRUP5Bd8RZR4s n5nlQL6Es43/o+pIqnj8L4SDyOgNjEAPqndoAwPRndFNk/H8K/sSqkyZJQeC6dYExRCQJQBR9sEn iPYCKCCwp4gTiYXYQbnNQjDPRejjz8R3/MsmZ0E41QajLKZSmyhSaeHxSD/uewWhpdDJetcEheLV hdkroWNsdwDYpbMHuYLtMlzNflSHGntSZ6aomwYvNMK3Iw0amJ0b/qSZQy6dnSiZ/rMov6XWdO96 24jVSQgIsmobdAxlINOEUmwFj0eZ2S2iybmBZqrXsWKHYXWlE27tRheqxb0Gcl7px2UIV5Uw0Q5B IAbRl3DVGJ545BNFQ4VZciAJcLdKWyaGSKSBbtcepr0UvyOIGAVkJb9cg60iaBUpM5sbj0fiX7+2 WaZylOcdO4qpIZcIuxwnnC1rMDJixFyRTwWQuiYojqmtIVR9cKRVqye+1zaD7sqgkuWc9BwHTVES bkJa7al3Dc4hgT0LXZc4aIJaEbgHLMSKxyMizLfPG8DrfV6u0I5Catg/ghns0lZjOGcRZCFbQQOw L22yCABC2e4FIrAPXohFF4joTML1INjPvisuSiofrVpvOMR2x46VQJiVdfWn+3Rg9YLGFPuu57/A 49EK+j6HkMe2erW/DG7z9q1iU61iFeqkxNxS1y3kHnid2c0mUxhVd2mb5+GDRdUIBFcHWAw8jwbX LrpygqWGmiS/6kKJ1rYXjpidZDHA9BMDVJKkBsLNTDbiCb35ARECfX9NFBPu/phWpFBoD3WiSRzu fygMskzLYQHRqhk7vz5QYpqmOLZmepaoGcPBi2kNMI1q9kssi6BQtGBnfhJjqNw+fu+UruO3BF18 cVYTIVccuALmORfqVlw0M58P8o/2LSUHEn4f7frnpNAeBlgZkHlUKxrqfKia5jVZn6WkDwog1s5s bT44aahCyaySi99WPZNGfaKEvHhCfCcPXv1mzJntdSPMlaCx2HM4M6EM82Mo67SMTrb4AUF4VH/Z wLEBezP9nEIOI4iwKxcjny3ei+p/yIOAhdk8l09TpGQ95SM41h0ufO8cdnBNw56DkyYxsmgBlHNo g1s+vpDWZdvrRqIFrKbhYrDBICF9JXZAKpr5eD7qos2vxYSTobWbh9Dz/j1IysAV0RGLnAYigv4j GTMjOhQwfbJvuah+LeRV6vRC4WCLiWLhW45nDQ4yBK4DwNQgBXx2ODsRqhnl4a8bWVgogpSu3HJd ufOhkzcQsMGZzwe5SPEwiTcjgEFzl2cxhRVsGp+RQkh8Gpx7yNM7SRx1vkGxwr+FT8MHRScTFeU6 xfc4eMLW0O81J5R5MtoxlbCd33UCsZopg2TFx7TtdSNiNQH7hDtqsBr2FHIDOerm8/+LbxWgcl9l /pxikZBzakM+03ohrVRbTL2n7ah0yKsR5kjzofctx+I0q1w2+JZrYEwzqj5RwTnB6T84dqEKEMiT jbJgWzoqzV43UnTi/ZZk7pWuude52Ks0Bs+pyOf/IldLVh0G2z19neCxVEg17W+yPrtsqDf9q7oU sKAdU+49F5ayCY05QekdfNPBlSonNo1ONnJmImWXT5tAke55+yH0fuGXBJkJ8fsD5xyHoXI/CV2c M7Dd+XwEvv5Gp6Nm0wX81iSFKBEKGdRq/euVLlatLTd8B9p4u5NepBcCfU2KON7BA8sTWfduYhhr 9oqNAv065mAAhtJscH35K6fYOkZ2DWn4YKDQs0oF0itt8Plgx9W745jjnktz9q/zOy5oM8mt5gZL T/M3kXRKsGueYvuYKcGdO3hBsuFEH9QAP7d/XdQIO/E3IhZaYQIBIg3wkkmQxbcExSQ7mub2QRMF DWSV/QdDy9BB5mlB8lIEda/f0DJ0ZpwQuT7rzgpuJ2H0iiRWgGUp7LGY62CMF5G7Tr7DpYM0qM1B OBhrC4USImoAKalYPlkAMnlX0pE6B86MZznIknGNO6huC6OmLqzVmHw+OJp2+YoGIDLR50Vh59Cb EY0XFB/c/ZRu5DKRadlNCcrsvucCc0ZURanv1Ihd42B7jmp/CdZ8IM2cs6lNEzFayE7JXLmkGZp/ 5NicsaoPuZoP+vX7OZuo9NVWqXw+iL+v5H6/Syldy6/YnhFCT7O6jtEgy5sVgZYIGynU3O9WMsmh P6MAfpRCsMGWEjp3J+KYtLTKKzXzaxskriBqZ1ypSSrN3vafonyliYRF2Sny0yDeotO7Dj7/VwtA e0uFiHswBR6NZg56jthxrVC3o45S3tkEnVGlbj5Poc05uwAoAvigxXRO1wHNQsrOnawO2Cz5FyDV hbIZjjQXyGBPOwc2jQh5xJRQ/S1tB9i0dHI5ft/Klc//teNYaAHCoj/+caFRI6Zpj9yexeSizqUw maMweO3uFxv4NCbWSImCnD74uXQuI3RtuZjayYUBQj2HOShMDSGAVEKVpUjRKIdOjYi80K0lD+sM 2VZTB49SrX/YfYZOjeUXU83YBs8sRdLXAH7sPs1i+ORZ2eXTgWuwWeqwIrGlFIbf4qpJRp2DlSmh PwMUNrsB517qlFg/wTdtOwEKr95QylOxQOjVSDTbWeIl+2BL6WTtS+R0+OyFZo0pp+8kBTIB5QmY QrPGSURkciZW24aPQNXDU7lO5WclvKFbI2qRKAhIM7Rf5/NzesBtsouKVZGkgiQxM4HHNF+r2Xu3 JanIFPo1WtOkP4Oat2haMWE5p97i89Hp/W3YCCfqRi6mzVIoJyJnVFAopMubmolCoEI2FIifc6k5 lSYHpo3ZwLUpq4Obnw5uRru/g8eM7iSYQaSsFRZ/4dGMfqhHArXa+0aLidXuSfwfB+stAROuEMhe MlJd+Ub5o2h+UsBnmsLoe6HsnbZF36M190qbrN8JGwnYm03Sv0Ha6I374AkvDvDB5sgJ+dBeqlKA OgnvQv+DkqiOSErTXjeoCyRKZYBLb4OiyknMHO+tVvh8lKM4a03tOuAOvy65GKaNzlsxRxk0ty+C lFqlnCUAPn2W/oknYTPJB99y46TvIxfr4+K6Q8GsIvQb/Rw0q113S0a3/JIgkWM0mYfQf8PFac42 o4IwOZ+Nz0c5yje1LxMxvp+yQGjeSJ9dXjUSyirbIZJrtu3wP9Snle6G7o3owgAcKbXn9eCSznxA Pg6/WOURlykeWkmMQN+HdVVlcgK35dC+0cwdUNbhoIAJN+fcZNXAaYbPR7WT9J3JFSY6zx0XGjhC Dwkw9q3iN5SbTMVnoqybme/Sw89mKQZsS8aH3crcnm4l9FZP+tLkcXkS3cITviO67AvncLusiCVT 69DBMRdagWUJsGQXYIF8SRJL9KxZPh+xjev3hgO3pz2OzbGF44QEVCYbYlE8wzGANZPqj7O7L0f9 58DD0YQP5t7PcFHtDXGkkCUn0MiLdrIJfb9JSFW5QWXJNdnr/ouhBd8REZBQUuCfe1IgGnQC1rf5 AX9F3wzVz48/6zW6zIGNIwpxOI1IJcSOq3s7SevsiGk7bmZXqsmBi6OXKxNPGw1+LrGoJOr6QN5L /jZ+D1rY4gwCBflectve9x/4gJ260O092Z4DET7x8O0ldz4fkWy+bYvo/42qlk9TbDlDRVpzKNpz mygdOj/zNr/ZQGHwHTg5ip3LeLL64JnchoyYZShn0vKkfdpEsYREkJzauu3v6a8bzBLhbiuTswXk /48JOEKXlKSSs7snPyDqEeTvkIm0+flsuhBpgh7BIFhRSJP6wEpzvqDJcacphprIKL0nHy7UZDZK yjFggimSAKVjiIv+M8bjPEMxyBy6OSJihJIsqf4cjNJG+jH+7l4bn4/W0jdMgJabad8mb+jnSExV 0tsR9NaU+rIQR5sTes+cjV99mkIxv05JnyQ+Scq3eFJYXCfEFnDlRJNfNjhR865E87n0EUpZet8I TNGU6A4fNE3nHtiyS+918/nIomd+xZUlCYl5pykqfcPfDY0Bl6fdzWFduVwTo3NneR0u8HQUlQFy mQwJSjEwCKUQFvX9ikg3s9QlS3kQOuA3klnRp8FiyTZLIeREEmyLEr4cDHJybmvW4erak88H+e78 TlKQezS0P3yWouibMrp0o9Ysje75biL1nvkuTROV7wa+jpm/MtAUtJgtThtCfXLDU62QhgxqmySA zsGEwmOF1UW98qvUMeKXBLecaOxL55KzwbGSZlHRaPP0DnEnvyYpg7M3oNrusxRF3yBynBAgubOj qiisnTDPFvIESChbSzFLklFAI42Ww2UEnA0HyTFVvpeKb2iHAtWAzGpgt001wmu31w3W0iKRvYnW 3tK1oVmN2SgMQTKfjypM3+IjkLLo5al8h/aOQMrhYDITcDQLTJAV13Kxmtw555YfTLHDozabNBA5 OIYJcdKWK9Y5g3A3sVm+oZ3W6SI4n0Z4t/eNIkt6OiN6sEF/GSjbYrWz9B14PKLdc1FMXInAtvXx pCmhzSN8288vPpYtpzTdxLCW4ZjcPm+LIPB5zC48JkYXh0vCgYDNpFwtSLYpibhNxSgsH0UDztzu 9roRl4t0t6F5GjZPGb4cTVI07PGGVo9P91Kuhyjppav3k2OzR1oZ5lWNZMJDRQQBheB0v4Zqh01T CO6epHExxNTgDIE0oHfPvtz5pASRBDR5ET5QuBaWuhfxRUhcDu0ecYE3cABpIQYxL5WG2jn9tvyx TqrPD/hf0LmUj9253mmKuZPgUQwrVMLe7bYv+7xyJPDrsmkKQ3AK1exEVCAHm6aT+Z/FVCjEPliX S+qFr/GzkKTkzkO0fvXCA89HUJQFV+pCMkPgTWvopD8Sfz8BYOYHRGnvdwjeeCTOZzWFIbjMaaiP JsN5inDLcN41AAsc4023JQfGj9dOPQusm69xxslO6QefVNuFSB8FEeGRidZY5VddLHz2F47KlkSg iNi1qEjEv40uR9QbOsnd5Af8RXcnVxmCwdjqPlFREI6qG0RtVDo5/3JAnETupJB0AhpjCObQ/hGC b5AhE9G0PERTBBcAWvKyO8dcqbzsMv4UqHCczHc+zJzU7XUjdhdPcaqQajBsxSBZj3zlzOf/olXw uEaeSdqZzVIUhQ/idEDscdJ794JcdvmWPlv1smVgAAnDLE4PJX40eEiwc0KfKZFIieXSk4gV9GsZ xM26PMnq9raRii31SVq/g/XCyWP8IUxn8PkgVbm+6mIUQrGtPRddWAJn2tuK1eMI8jXpBJ3gBBBC MccmKY7BGTeNun3wIsq5+nfHeY24CVaEiAfQvDsnOJFx1HHUjlvbXzfYcUzoRhH+RDRlEgXLlHoC EIt8PgovLxiV1JW2KJNyYTqhDyR26rnfDOq1WS9RRa7rn506JW4mmmMjSDYw02D6y8GrKLMDtEmW DlsrdajY1CG6BbTxfOCo5ATzWyKNRJliS8G+rWsnyt+VCf9afD6IL8svpRJwXaGXdacpBICjcLnB YM6EEC6c0MhQEqxYz9xQVAp9KaG+QjNI3XSz8XTmoGmaPZ09x44cNAIgfoGWC8ibCG0pL1eurFRJ 3d83igiY0wEqboNuuoKSq7x9kNMFfpBIYb+9DqmNq/IEvzY2hDzX0x4tW7bSSbpStlKT53QjL8dW BH6Qkq0Y65yf3QfrYaKwcXJG+kWgqYv4m6cXsgR6zrV0LTNJgs+BH6Sf31V6EzV7RS4BwMGuqWAD gSFk4jX5fX5jhV+Sdw4NIWdqFNp0jyiJbXcmk1ccubDXrkmKEOAStkVa44PzUWqDTZTM6AfUf8Rq 6hQvQqcCJhjK6IaCpsASEvuaaK/JxI6D8y32qKI1DT0fXHL9GyZf0eJDCdQnKYrA0WTd0wE5GxbW nqj06mXLRWitJimMwA0Zx7SOgycq54xsJN+xGw6vVdmtA0ySWDqpP06vVD0udIWkDduC1yoGhg/8 wzKSKcYF8HXnB/wl3prs9Ma73VmKAnBUTyBeYhxL9HyL572lFSvIncM3eabyD29IFeTKHWye4IwE P2lGlucgqtR7O9cS0ICF0o5Pd04RU+ANCfIOdUsKHSQ4WGHt7DcyJmoGmik0h3wYYGyeD8i5fS2m UG07Q5uDSGoGA9JGBpvgBP5Xzw2FIgUD/zCHZBQANUQbnE1QNmTo2eht0LnlpjwRE0xisdNERTVN wLbtdf9hiLQRh/mgLXeiw8a+05ntyucjIZxvZDP68jQX9FmKom/cyxtNSsWVcnaULGAZLqZU6FvE UCAwiERDZQrutn2wWTrBxrmACtWUoPYM0A79I5AeQ74/53Z5YDIWz6FFZCMyblLfHrJuJyoVZrfN LpTwXLnxA96P73W9xxYzGuAHH2Bz4BIJcGRiddfFA6jTaJLS11uL+uY2TSHjUkAuSFXacCOm1hZK vASAn3UlmHxC7xfCViDwzLvlKO2WA6NIMTvQ430Gi5jqasIlz6rn/0IzURIOy8PgnpqmqAi+5A2t 4ht1laimTwwKsZHSVYLCnE9UhEGpU+biY/pgR9M8Ow1MJwIHVkIlnLWmdm4jGHX+0IfjW74sB3aR 1nhCibj5YEDLfMJXhoUbDJ7ALxJQnW/gAOT/er8ygZ/XgKki7j95bhFYAKpBtV2ek68rBG3V5Ldf w6UKNBOLlkNFy/EULVeH6WhW8H1+8CR5oVmZ2jOiGw/JCeHSa7BUUTxhcQnORDZYZTefncOSZVt4 +mV2zgsSdIxGgimZnN9no4Un/FQ0OdQDlk77JlrAbY+XjKEBrjz3iJcDgsnpWjdJqyjNS7o80WOC DSIzuJNrzUIIKuxbsFdoYrfcI8HA8a+zA7FY1r1R37TBZgc202Q2nfX5eY2RqsIHzQ53E8S9BsFw mJ3XCKlmXtj7pNDDWE1jJFs6q3fnWzaUFbl0XuMjLJ0uk0iASTRYEHkyNSDE+jTpO/o+Q3AJ/ICN oHpfFPMk1Ps1OqrA5LD/1piMcLAQ8pz5W55au31eQyNM7d42OQwQ2A2AFyAn5zUw8qUz5HXYbgNF BdzpGjgg+2lyXoOimk1YgRhQG2xyztFz1gXqU3CxGVib4vidv6ej7THYTtXkIIj9vIZEFQRFzAqI PhxQkLA/CvarlPU9m/jzGhHVR/RuC2MCgovYg7Q1jWYHevYnrRfLG5l+8eB6J29QVgSusmCNNtZm 4Qg3kg1OptiNLGYmRDh2cICNgR8caulguKZrPkLm0+c1FsKxU5l+JCGVkhmcFJj6VRJQThC2Pq+R EJ4u+/vYaXA57j47r3FQRVmMXUxfO7MZfgtV7eyeEWs72eQ1CqquFpCn5x+3cNQrQs1EiNKAA9Ji rAjUIbTUCtUtHC+RGFG/xkDnVZcM/ejEp8Eud8gXEYXbV/m8BkBYBqYNpJ1FQwY1HTA7r+FPtXvu LM7pGIAzLQa8PRdwNTKOrONlGRHNj0gmWHs2+OpJrRXKK5CytEplB6khdySWkjYnnr7KDCHaXIWC E3LJ7jiwBAaFY5PkoyEL8XmNfXBwTV8+Yu0AVAjaoGboNfLBwdyoltitPtvp8yD9rXWlf1t2yc3X qAcHM600MkwBbfC2doYtdidzou9zgXfKb4EgivWT4KB1RUkn+d2vQQ9+A9qst0owNwcBJND7Mrrf zp/XkIdXR/4+mcEqYIbL2XktOFapNaHtP/zs6d7QTtJJ5NmzXDbptdzIc0Fim9L4X7f2AQcKgriJ 314LOoCbjbUz3wtug4XYbsO2Ncq3RbODBOz8DIh8NFgRLa86jZ6Bp99np+87O/hvWdES3pbyDcH0 ZEqPN3dYr8yC5I4tvTKKJuXpoknR0azFg1aWDba5BnjQNbFPBDOERnAJlP/O4gHoqbB4apA2mh69 1hirujV0Vu8+6PDpAFMUrwy9Vhh5cTWbHjqxbMj7J1887xQ3XMiZlkdjPoyb7Xo3ZQ+jSZyLfXn1 LAqZ+5KjmsAjKd96B6jtMMws3F5jgFEKnC3ysQmoVRZRQYq2TcIA0Qoa3FiF508rbgQJMBJrELB1 BK002mCzfs0RY0zzESQGOpgjiDScU6d6Hu8lIdTshsc+o19SaRg3W9rFJhoHP4BgGS4zwUqPZopf zIIm2qJVEH3i1JSF0QVf9l8n9Fk80wdrD61l7mu58vEgcraO7GZ18cSvAJsOn6H30BnQ0YW+mdvT TfFJFP+Mu8lwcBioJpihze2F+8oHT0x7BQeJWq0A2ZeVJCtFBi3dQftNLJTAR8EzHLVhWMn4kIOO aFQgWBesDWyk9+gZ1AhfQlwvSPdA/fYZiuJnkF5O2lssBsJysTWUqdSgO6z7Kf1Oa8Pb6wDqUkjs D+Z/ivHHmvk4G3ZVMknhP/JDv4Xcyd62SyzpZd93WWehLGeWzThY8gXTGV7cBZDRMIQefsvznAad Mw2/5N9JbVX6oRS86VZ6zWO7SGJuPkdj50trew+js+GPUab3wZKMxQI1fy2U8ctkGL0guf3TURbs gJuxUn2eqnrb6CBqJI2oLYTjU1iqAnAFa+IjJxTLgjkSyNyv+kz0MWtCmqQolCYrYo5pAJE5ureq oUJrU4QSjhVe32NpHPtTO6z4YBuNjOQ2iD1uLasEz8ZaQUBbfuiZbGXXbO/6PkVQwKUx3fLBsEYn 1CDtg/Zr74S2qg6hZoiBUyaddd1w6J3Rhq9lpjpMRxKmR15OrDO7E+TsxWHsUTgtXDYRADY4VhTG ZGmJN5KBWM/qc5zrG9cQT003gtRhHYXTTVobS77Gy6+znEVsQriY9+edzcZUd/kiUkt7ondwd1oU TyNI3QvpiQhIyQsda6XuutGdeiqcoSig7pJHBOvLBj+LBjImKj3VDrnHZL5ZEHpjpYlyrawjqn70 eaeyYaXqEJInGQdD9sHHhdtkgxcZhdRug2CNkRNSD5XEOUPvMTXuITTLkruxTFEh2d+gsDFnaE9W O1Aoi4JqUonOTNN1lYOXO2rDJujk1y6I80oCB1d0pXr9vD0g6268B9UyvjqLp6/ug7YZrN6GKjxQ t4mi6rXX90GEs5HYEU1QFFWj6gnGhvFGL2cbUwSJUk3RueQu8ygMrNlzbQqK2hMUwfOpn6xyqVyW 8xpsf8C9kUJ1P/u7Zj/1tsEUsUs2B1F9HDRFaUBQRanW+rxT2KpMwp4pgqFzhaujVROjyBqH5PkB rGGPBNBv/CIqEqNG+KarnBjG1eKHVDpqcLhraI02aFxc+ziH22YfkaJpHUHFpPC4StH48s87fa1K 1BhEYyLWOegcOhej+P4AZX/e2WtVEF+bIJIAMs3XfJe9k9eqbHDdIBN5fepOESEXyPpj1buI79w1 xkRyQdjbBz+HzilXN45kKPw3mBzTXh1t+4miYkYF0s8hVhXfuWuI+8jpxqbnAC8vx8vCJxgLAxok 79w1HPW3JE2Jf8qh3oPonbqGlYvUY8zh0LRsnGw0WtuFpgHkrSl6D6yRwlQVze7whI2QACSn/gQO 5yrYlB5fGUJboDikfsPGQaOId+JaNePnBSSuIP3px4gvw8F8aFd+3nlrVXBSW0Y82BGMySmTcxSG 1iRnZ9LFhAaV/xoNR4YX0MYC4oFn0TtxDS+QdOXzSOLgNRD4qcPkjhx2KPXRYx05w0nQAFFBh8BR MlQgeaet8a9E5Dg6HTM5KLjeAC+xGX3+ls87a60+wAbVp6HQ00FWtjmKgutFzvEsj+5v8gSN0arK 94WU0RNav3PWqsNlaStrg7dYRyNxOsv6eTY0DM6V3yTQjvQD6atqsGXYywYzxL5GGfsOht2DsQWP 65Hm552whhlKvtMUQg2IB97Q+p2whmXU0RKr3QpFXfXqwajIF9HZ4tUytHe+GqZITNr/ztCAuckE 26BSBP40HRMywNgLjNtM9PqU2ibupc87X43xO/Exg2KSHGyKgPuQWeZcn3e6Ghf63Wms+QN3fs6T 7XP0HltLUxlsB1tGVS6iJojktcZ2a43vZDVWdJngS6K9fkm0n3x1QkYqUfcvg/gGbGNFbQRVybOM eGhak2zpZd+PozWMH9p90ByBHS/E9Er7885VYyd5ft36EzXLOe9GC2NrEWfoem9ZbHYAkcBSymJR idKR/R5dZzOI6gjObfDA6Lw3ANxiZG/E7MQPoSPSwXYBwNVTtDL0ttEUiTubKbMJgJTM46lFRROb frbf552pVuXR8xUZMXMedxWFFeuN6Lpm7yXu6zhSd7tQNPRRNUPv0bXr2NdWpg8+Q4tdMvnWTGCv SKAdg3aVQCYPngdVx/XSywaxo7BDm216DgqNylmmgpGBp/ZOU6uSxHgaZgAwtDa84vhOUsMMTdhF 1MsqenggqTwzhOaZ9tl7cJ0tuO5QqLHBZmjDlC116o7VeqLpzBM9kfgC8hGo9g70oIf4O0OtCjSJ EsgoPigizo01XyKIx+edoIbQc3z3Wxuat/OWZN/5adVMa5oTQE7wVjwu4i1thiPDg+t3clp1kF7v zNM42ASd82zPSQPs2hIcf0gu3ouKq7ChH9dkncXzzzs3jU0vImASNew4KPuY1bQhgLv9vFPTqhT2 vvYYtXLqnaAQ6jEgDLHdcETdBK6gJeAwTiFoDtsExTVrzMyUjNb8ktHaSGN6Fq0Y5YLB3dhAcCyQ lKYqaTd6TNG7RhPEU6hpglz3raRtxFWwAfvnnZPG+358FfVL0f3qExRF1jgo914GGoaij5GKaeJh RNAxarLG0DsjDetfyX0WlSO7ujYIoDBgm0shEWyQaI69YQJFCXC5ohiCcehlo/wMpw8VrGzQEmq1 dZ5IoHh/3tlo7O19g4VAhiiU1tUMRXE1lto5nEuyQ0iSR42IYVaMqDLWYE8EiP47F626fFZnlUyD t/rOIXFuNul5ZcgRLE7QOVFxUkJAHE0jZbBsv3zeqWhV0HEgl6gJAbTfj67483tUITRPWFg+70w0 zPANGjWf8jzy6/6diVaNFdP7NieEVYvZZENJ/3KuJVeHRRSVrBMt2KgVbIPNURknbGiIs842w8+t dUbFrvOnlZ/HTgM1Gb7qP1pna5defTAQLOSsBRqa8/POQKv56vzvy60qkoPl/LwH1bgcOg2z3PdI 0j1dWCU3ZNndg+p3/hnmh+cPvLF88Hr1xu6pZHkUgFZBZmMDG9vuZNmrPyIQw941aAxtua+V6YNd ZMAWcOOc++bzTj6rj7aBgT9AiW8XGvPOPeMmw+FTWnX0RynmSQu5z2qtWFDiLXl9J5/d/iu8CHzw OlE7wRydm4B+PT98IyqvQXNokgyxV71Mxm6vGxUbK08gFtQ4GD5mDum8QBL38849+9V/VZ1oSAHO 5iiKqRv7rwTjsE5EYp2iodk8eW3dtdfemWcAvs32PUOsj09mZqCToQOog2gP+RqTAH52FfDBw9XG M2U5Pu/EMxS1qFyPpj0H/FwqZlQUBsgtP1nh5514ZvHms4wgIARojU9RFFIDPop4yDDUWW7GQ4qQ DrHKAEhrisKCNSVYweLwwRZROS8A3S62qE8EW6XiR8+CSeOjtR4PBJT8Pu+cs6dONOgvtkhJ508P ScDNmPhkxXz+z+wV/y07RDemfmecVSlKAzvfrOtRllsbnztsWSltVPeoeyec4e3pNVKzhFby08Uf G3KQWR1YBBFUrpkF2h0LVWP2l71IRL7ZO92MSz4JFZx9sCLRCSlkMnf+7M8724zv6EV9dWsbqB2+ z97JZvhSyTARGUWVwyxmEPjC588zsPlJCEeTYv0724xnEedocRlxcLRMJ35IIodnI7NMjC71iUnn RGeXuqLmIE5d/3e2mYF8wYAdcvbpdjNVFMnZZUaq93knm9lG1RxhxbALTxKCTVIIBukQfKBehHzo aTcCHNwo+I3FVsD1WrGM3rlm3ASEljfe+BwcDLJBl2tivoC3COtPHNfn/zMBoGPoZkFRK3rXaKMR 6rAJCeGg0xrYY/LxTs48P+9MMxwGq33tM1wQLd3W0DvRDKto0hxqSmPt/AuMVILMcyFPMTEkoowY 1lBUsm4EpMFszQdfQ0AfFBCHiSc6YR3ka082C5k32Bqfb1peIxrsLr7zzKp0m6DwwP4HB85QTXOm ZFrhfDw4ifK9zyhfB9JHKQ4GeWeZEfI5qZMp0pTq6pqiIpcRgOoQZuvKf6eY1WRaolVa9Ry8AAKB jVYlhnFyEOg8UNrwXACTlzDCaRc5Jk3hnWJGUKuksSjax8EW0djLIDAnsH5nmDFi8MOa/ZKGs7/v C6gOC9ZqMM46vY4mmWwS8UoqXtRHm5zn9TvFjA3GxpgRMv0aHJeG8n2nDza6+h3SKhTMZmUe1Ag0 1C2FJSDy884wIyKfZJdJq2wOhsgHzYGFjdra551gxp7AN6x6UbKtOLLxnV9WRUvzoj6ufxX1SVjg tWRmBy63+k4v4ypmBluottrKvpEj3GkSuriMi+qZMVls4KeA6MMPmLbuMoZw6vNOLquPU10ePhik qK0k1NXZyp93apklwF/ZB2rk/VaJ3pllhKVRkmdUb8GmWyUiVUs0RVwEmqAYCtJZHuJR1O9RhJhu tZPON/KC83ktENrBPStcNAXh7Y2sk71rQHghkqg2Sfa2K9lL8wkdLq1+3lllVba/zwTRY52zpAmK ImtU/3ffZvMMS2KvxJ6UY3tkjWBEExQiQXgQkRZlg8dEsNXNlYgr1NRgA8HjHIU1mLcRRmPnEKGf 73wy5lfU5BkE+6VhkjbEgCfyRk+4Oj/vdLL/AlydW/WHQng2QVFcDb1zeFpuy++LeUFA0sPBsSNf 394Wo6uZvy5KqnGwLTYAV8yiTLWTqhFTDyAIIhEUYRube01ltKR3DW57aYRN2mZy4AQBMSGiDfgv eDyIGfv3GYTw/kQxd4LCqBpt7XSbiETtOffl1o7Q73DUXotq1YlxNb21bPDUI4H8ubL6HRMdFRq1 UaUKgLBc0oXn2zkdxdWLwlfUM7VBwfA52hbz17PYBx4PmvjlO/PYsnLzBnWP4mqqOg1SuSnikE0U E9f9du+HAWFu8V/eo2qc04Xbi4AQDl7Nz3NPHMFklJ8brLC/hhL/D2Q+f6iCoaBaYv09ZCWq3TFE lR5OlcaF0lXEOJEXHg8IHvuGjOoIwfA1eebRo6AaLfM9+y4/bihqMhdnbzeX6q8Xg94jJAhd1xaT OBvsoF6zA8qig/ocF5250SrQvSgUTgRcRanZpMpFj6rVVYKqiMJt0DaDAoLwVvWkHT0KqlP97t8T UsDdrwkKg2rQWgGZdxxId23esyOccM+Mmgd1j4rVusnO5p4+OMKhAc41ikQL1zneWAJhwE+x27Pb HuovSvT4kqhIhMR+AH2GgfGwVs4JkLnLgAXE8wFBcX7nHRRmB1DK5yikKLL1mnq1DB+CuV5Jk6Qa +NHwTFFY3aOwegpxlWvxwZGf0DyHZgcyfOgvsh551gsOTbrbo+5ypRuK3jZaRolMKqL3OmBd2hwT +Tdx063zHYPTOvsykvgMXd68pN8jniKS691mV0faNVOA/FQzk/c95LilutPDmFqg2M0cloMnHgnb rsq4F6kfmg3ww0AlDRIkI9+AiPqk+I6or8iTaMm4dyFg4O44h9qQSONJASeeD2ZoeFuI/+080Sq6 tzZDYUxNzYZh8oS7C3pF9HBLV7MhFdMl6jFZcZL+O7IPfpsVXO4j65wAvKXSQbzjmIZHjmCNOolQ FcB3BBe+5NS37A1x2fZh1djOl/2ZpW48H+yz9X2bkfNIqxrNUFixHgSBV6Wsu8gsPGMNbV7yjBnR B9YMxUE19a2sXl/yVZyfrArRXKiepYraayLhbBaEi6TTXYDDIiStR/VqehqeF2vTB0Ppn7yPSKCz /yYej8geFxxLgzWDkvgURWF1QtUnwc5LRSKKUJq6lVquGScRBQR5XEdx9d6ynCHCmoPXQM7lniUp SXEUBJGso+Ho2puBWLvGj3RX61HFWrZhVTFRvTFRg3A0q0QndJ94PEjwbx2tKI0DB+uuoghh3VlG 68WkCIos+ZQy923emK3Rd6bzc/61iqAVp4H1zh/l8ydCb0UofYCvqS4L6eUfHkcnoFkXYg32NL4k wn5Say+xC8tBqwjASy2CnQoej1bR9wxBUxyFN0/OehhaN3qomOcMoMTyKshe3oeFZIMLgaYoKlgv ZmVljDv4dTbqiek6tUA7W/e06Kuo2E1koJmFGpUaibXAlwSUoUUmQ2c9jYM1hs4+XvJSwUYLyYvV p4jzidKzajDGnY5Ca1zV+9zEluFD3ceO69RN4Arec64rO6KC9WTBug06rHLw4/okx4swAVxoG5Qf HlsoA1c0jRmYkOwBOwm9a9QZYgMW9RgbjOyBL+wqpGU8HoTW4xf9FWi9Ni7Bc0ShNbjMe7GbiQtt u5TFyaiKV9TOInPj5xHWq40O06YPXq8+UV1H75U2KgBa0wuyo/qIgA/saW/BFmq840si8mKW7i7L sYW4Ns4RVcSwt6HMjef/alKzIACryXYBxCMEWWcGjtVkLFG9YcJP6wvCwjlJ6O7YJEU1azXn5yBZ eMpGgCXhxqhqy9JhtvNDKwJfSLOhC0UYv936uBHxHQH0U/qfk3yPOlmjxxStE1ewL97P//D4X20P tqnxs5TtRf0RxdYgC4HW6YUitumMAruK9fHndtOLEYXWOova6qqDSPrWFPb22U4sxgLKl6dA/cRV owva8nPno8iG74i6HhQAURm3jvpVSVPV6IRjG4//JeLAl2MGe9tCI4qswSo9p8MVsaL6GruLe6Z7 EOVhXIYRRdbaZk2ss/bNOgNLEZ0i1WLPahqMG/GVDWJdeayHdoYfCl8SSaTI8Ypk6gykNkE56BCV RQnQcf5kPB+toVuNlTMNani3ST3C2Jo6IMltwwe1a3kUFZkZLxnMmNDXiGLr3U2fMftgc3SezQCh 8yg6N3POpAwRxtdwEPZHZpc/EL4jyGF1ErVUfLD77IToWwWyc5+NCGD9tM5k7IjLot1dFsKrF+1A hqOq4Qwi4hlkGKaRF9Hp8imKANYkuq0mzFV7MFepZxQcm5QsAZGFadqYm0RWoJcq8RjpufJHqAVC g6LZiCHmoH0GUmqVdGwfeDzqeVwitWh8qOlfwMwIwSAZ4Pyd7canwKupxS0HNnaofdpGi0JrS9CG Xfw8GDLvM1TQ1mR7EZdOqfJXS7LChQpevwh0dMzxHVFQVDgJc/igYhqI1KY7WAoej1pn98YXSQ1G rrcOMqLQGmvmzIsRzHe/JL3zvdtrRRXCz5qhMLReLCLO1H3wGz9jiUqAGNuZ4hAIrTvQc4390wuO 3VpEYWitWtFiKZX2VqCNUtIBQEn8EwgRfECQ5tf6naIB1dNKvmdRFFyjdQlJuHrPIu+dWQuWrvOI kLTR4qo1Gz6NVWsONkmlIAfn/VqhqZhQaoSoDHgxiN+ZOFtlf0t0J+QvNmaxnRQ0RnBCjnfIcFN+ 7QRdBc//Vdpn72NDQrN4sWjGZWvV07ZxX1MCb4z9+0RXSnE8F9qOzEBmFF1vYWM72eYcvFzUaPqg W3+kxCoU/MDOgU0EKAHY1j+jBcgM0SCFYZFXjcbVvZg10fOvnQsUjwdT1Lx/Vhj8r07MjB/ZMwqv oaG0+arMY9u8ct9QwzO0Q1vcbCcwmlHlelB3py4WsDl4AtKJ0zdVdOxrRsVAYP1MyM7MkW9dlp47 M6QwsjtdqzqN9d5pIF4SfNdqL3j8z+OIgTiFav28nlFwnYizbqVb5Vq9e55GvXhgNK9TwwwZjITo l24VkYt1ADwTZBKgxYBgnTDawUbDJbkgAppBq3MebLaXjcgevO67uvndF9FZoEBT8AxeFY//xc0j /GiABnu71DOKrVER2mW5QlGne41i65lvUbY4l2HGZWviGhf1rThc9mI7N5EkisqE6MUgzjqDmIAy GCUvzLaJuLQZgkHsJKL3zHbPulInBNJEFE4Zj0dkj9/JBzSKniUUxdawHkJFwLS+8vmDrIJ9go1p 8wZlkGz52Yyi60F7yzoosMfBT+tz3Zz0nh2080vDFnyQMXT2RUfVPNerFX8C7azXDbYZCOoQ3+o+ WM3xpDHaZufnxONBj7H94lRBRZeJoeYorluTvThc9YJRsbSGq1uoQyfe7rMZYUE6jVBq3XfwTj4w Y7lKoHE0SAFzhtCI6KDaLR6WCouqvWtwVDclaKX4oEUE+pFMnM/E4/EgcPwFS5vkdF1654ywIDDF hOSXF/b7VU4xvT1iQbZf+DMqWy/hPjsD7NovpSrxtj/xNcEyFRTDRjsrWKe2BHe+fcEy7JHjO6Im LFWuNj13wTqzFH8CkksFy4y7LMSCPCuIBUdKSRQPiWZMXRTM2kW9ac3BJZRlkgaHj1pdhnCGOnuE NBLdYYMD0es5/iH0SHnYc1JDf/nMEJqZtEukk7rX9e1dAzjRNkOd7oNqaWfmSjKLPT4e5R7rKz1r VPG/XeoZgUEmLVAAm9ceW6l6lahdRFoiAYoTFAXWg8aouFR88IN679khSkEFfYjmoKx9tvaGCyFi YxD6vGZNHPqMAutmvYqpG61bro5Qc8m+AmxkPP8XoGgwZyHi6oZDIXERZZBzx1udaGuXyTWu+RQ1 vLp2WagKwjLIWYLDB4ekLcDhG6uNlYKEJFFvXkyomGcUuBxAvIpe9p9M/EWzZQ5KYOEFnOUPv/mO UYPR46FSlXogaL352YriaiS+5yTKFhEttk8VEbXV/CQay+gMK4KD+C0mkPW4WP1E5DTMPlkqQnJF w+IFtmED6QL11V8q3iuEgxAHQlsfG4w0BEF+HtWtVjweqe/4ScRbEBkPHPl8hsKadWVz2qz0nCqM GepzdC+mFXdIX2FQ3bOK1csHa59B3h7MCJ7VJ/xdInxMKP12OGT2L0TRtHeNEMT0QGPQB3PMM9NV R1ET6uCn71rw/F/7DOfkJMPvdhhXqFWNqHoI6kCRq2ru6DCivHiQ/2PsTXNk2ZGj0f+5loLAedD+ FyaamTsjqxVep/E+gFf9TmRGMTn4YAOIEpqhEA9C0lBPLKWdGIjhidIO2LsDyEr/BdgBsU9LjjcA Cjj8XLMRFSB8RxAz4njdmX+iBlVBwP5b5uo18Pi/BJmz4MZsLt6jaIX0RVojZtJJVUx7mFXyc5de PhR6eBitEGZNa13JNWjwYtrJjc+5tiSW1lVfphIYouTCouMlnyV727+ELeHg4IM2WoYUq7Q/d8Xj 0Vn0LduYC2yYcr4bLYqsF/GfYnmgaNSG61wliTzQ1As1Fc1QSF/s6i0SSMzBe/kLkvlJR9F5qybd RgCvfwaV/Ii/1o1G+RN8SVBNYxmNyo9ngOST6OEoIUgX7PwcGc9HYZFf+liBhMjKdo1TFAXW9Ist 1bpAm/UbC6yT8dDoqrtsikJZENYbs3BndxfgLAImCT1Mwh1qr5nCjZB5gJIDin+M3bIEZIdeNsLM iOOZmw9OZ0hJrfEzV3g8AoT8krxANzZd7fMVRdbU4tLdgBlaxTrW6EhtX0St3m0WRtaV+2vnO9id jzIriGaEXRUcq3TPa9S7Qsk4Qz3FykTc2fiSYIa6+Pu0h1spG+yqA3+5JNGQGh7/l9IVDy6uoXmP oiiyhgnhOX/IkPwfWlfy4BOxCuDVLJFdkWIwR7HknqwXBAhJ6yuBPVERFK/Y+mhQbcYcnTy2g+Q5 ZM3mgJA59LrRpS+FVDbQMjRJBSs6Kd/iuh1nvePx4MDe/StyBB0IaoY+RyGDEcCrsQxHvCfJX95h vH7xkPaUjHUMCGHVOqsum8s9rE/sPNFvlTnFhlwqPRgbpZw6nQyL9WALHVM/K4JaQ3AL6VnLPlid CHLhrNWfi/Wzoti6jO+TCIu3pSuXtuKSdUVEvbP1YNu+oiCErGqGUJzQDIWxdVfJmnqaHOwkQp0S YkcmQ5xkIzQNXIN8TzRLhUVj6GWjsIj6qLl3H3QSgRlXpVgw62eFeJD9rVhQRSf0GdpRZI1eLwBp Fje2sa6wzGNSQXYqo6Id0he3FJp398FnaJ7J6+xunHvg3P10+ZgDxSE2RKhraWanrFfvKLJuVEmb XRa63WzUcLpVuZadb+HjESHmF3ZP8bl3qfd7YI36G4qxfT5LyGyDkojfpgriSPQdqoJI42qRU8XB j6GFvaUJGgNdBgbWLCSd3B8x3Fr3MqOU/g6B1sQSZQlac1COP2eSHDoELvF4cJldRQc9hsT5FmN3 CLTuVQpyZiEI4Rd3Gxjbvc3GgCyu5igOrZl8mKZcSneOYAmKCK/I53QDV8QuNeCc6LlOwg2El0Hm i+8Ipoha1oC5+WB0hrInc9Kz3TYej+iL7es2g1BylZUgpyhkL3Y6CbrY95YNnAwHmmNBzFAIExRi QQp3WXkGX0SU0YdYFoRTBix/KB+LyuiAUoK836wtxLtsR3H1FgokPYPVGs8VyULPSbn5jpEI8Xeh iB27caU/dwyzhqNZGm4Hs6q7duaejBJzMjgXjN9/Uxer2Pj1YeOfk+EcGbmYSvNJxVeStAyBMvi8 63VOq2G+a5R4mNNi9cGWEHydu46kisf/JbYnVnoDMdBLjTvU2qMc4ZouC7KGe1acxGDYBO3qZpQ7 CqpXV97amw/O7WzA4sAPGShrqO1SAWxTcgIgtPboprDJgu8IoiHTbRrVB4MTlSWLuXrCQDweTdB3 ywNQM+gs+gRFSBBcwtAC6U6rQm1aZ0/iBJspDNuWnKJQao+F6sLMVIMfQxNZCM0MQTfcqVL5U8kr 6h9ScFV/une9bVCNJfhzDLGqxmVVAe2+BVZsBY9Hidml5jFOwG/TaDiiSYrC6gokel/NyHmEr9o2 g1yfVhG3IQWKdgwFkegFDZebrA55p0JFpsEFjyJgwOonelfD8beCuciSvjQt6eGL74jonZibtsod bJvVKWPy8zEbj0eFNJ8i4SHRuLu5645ianT6UUebNkGpWQftHBS8+UmrAvNAE/R3TI2N5INXGs// O1cl+/dn4jISTfY8UDfCMmWWboCrPfWukXMOYbGZVnEcjEF9cntJEBecQyEM5GqCEDLSUDBv96CO QupGgnAxJaLNQrvZKxNuIFhjv3d9hAKZ8q1YUiJcjxIhsg60PchdrCAGTzaF4H1Tz9X5A1FaD4eG vWskCUKpgr2SD2orggFOLBCEbfB4JCN3D2oRYCFCdkHoBrp5Oz8aa2d5uNJ3JrhbuSuXLqAyMxVX 180pDKuZeCRondmgsPoklVgenb1FeIck2U4m5NcLwA7ayTig6L7wHxZem/oQGEAKky7IOUwzD2wU tPgB/wKAsouP4Kx/TVRUtIbq5j6/bJfxe0dMTiTImtJs3CxVbbcxTXFwTQbwWtsHrxTBrWSwYV8R gQ52AE7ud5KQlUFJQrrrGjwMrvEtQVVWonuVvPxUvZMPMjuvGmQlmc8HCUgbX/cawBZ9PML6KXSK ObnCuZa9DQtFRSun9W12wmeWihMZcwqh1so9Cq9+DjZL5MOMTcU0pPysmdFM51y/lNsavPvNojPb 60biF3QfqF0DIaQ/QlsX+QjDo5AfEABBr4osi5VmJXh9PlJUvR5SI3aH5UUfSbVAWhtemQWZ1OYp dlzkbsuMlDjYPIFTV7CdVHcEfLKAZoWmycTdT4yXB5HFX/cPpONaFL/SYGE2FF15OKGlj+eD4/tW HjGnwIu1x3UxpyjQhnLFhlG0nU2psvYIjswuBntEedVrj7TtDqZJKvuEqMk1VtN0sg5AcuRlMYim YdkI1ItJu/Q5XRus8JTktwTYfdaNeLzZYNOUaZaNugG8GlKMDfl1iOdy8qAJfIBPVFjFRmVk8GIi TA1XHkl7VB0X3QpKQybslFOsbi2tGUUENMP4sd71CQWkYH12C2aqiJEGnBpCy4xGtxcgk7/uH7S9 s0BFuHL311Kh0qR56mnz+cDF6u462cpBGRmAb5+mP71jqngxBNESAoHl1BUPEBU62eHv+qAgIOiy RiGcT1Rg23VnWZ7/gTzrAeJN4+mUIHCycdXlRpGwLU2VZq/7l74TC142KCQYFW0pHue78/mIaP1L snCi+v11hv+tGlLNPHjT5EOzlBYpj1QBr09AEFazpSZfCKnh4JuOTgeS5jlxQjtBCB0ZUSqdMMKW 9CTDplKbvW0EFZH5MPPcWQnRYzhwPpVloH4e4vNR/3F/hQP1XM4dnRGfpBAsQq8kUdA77Xa8jHTu ye6ALPR7zVYvkg4pxtVPyQdP4GBuOwoL2pCB7WzRTrS2gaTBtls3GpDZcopNZKhmsAnv4+DQ0Ep9 7B+YQvH5YMP9UpgFSJ1XrU9SrMm32aOdnuYmZ16fiC+va92wr+dXiqrag/OTNksmHLyadFL2hONJ DhebAeU5mOhPz0p8oqx7Vb2t2AtH5SRyZbfOp+3QtVIh0K7uNGPL0EqmX2gWVxN+qq/gMrRpnFIy Mh+CXbtR97JD2iqDy77cgjAEjUgGqwl71B7sUYdoXkuUTT35fIYiildMKpZPFo5MPpa0p86BTaNp ZJxHqXzNQTXYM01JEMg0Jp8PDqbbZiPtGr/ULM8sRSF4oz1Bs0r2Ocyr9ZAg5+qVyQpLAZulCDgi E8suyzEOls+d0BF/w5KD3DloITELPSM0TQrkRfr18JYPSP7DqRGk9InIwwb9+gO+O5ykE4jw+SAC z3ctpbuWbs8/x2aNhSfTNDmaWbLrOjfpzKMqsFO3skkO3RqXFHsmbcCaK6GgPJmhhZWK3K0mkMYE j3D1INQbJGholkqzt41YRtR76kVwtiK6Y6bIKM9CHFF8PurYlu+Qqaiufg2uAsNGtNJ5NGUrJJ3/ rGZUdP71XFY9manBTUbzFIbgrL1NCPHYoHk6xxJUDTZ9burZfLxYcMjj0IZiWl+X/jDY186BZ6Or YbIpaINQNtTOIIt/5crnoy3nMBvuVlozP6XuHNo2An+HnGHYasrTuwFlV5fugVWM77mQ+ZgFhzRw 5G3/p7bOPs6LWgfoGs5MKX7AF+GZ6JqhSlQkb5RD38bFfLeBRcah2nI650uV7EqC+2fo21jWL4Ej GSddWZEcGDcqbobSmleaqgtm7XOxugZUx6a0xRQG4Iy8G9Q6bLCj6QSLFY5vhb3JdC5xJiqL/icw +tjV20p5KhwIrBtxNC0phhYftJg6xHIZfy+Y7oXejemXWDgEHUp5XGQD68ZMyZbdq8G0zqXfhgWW UNP4MWNCbCclvaF347LuP7VFcnZtEQQVYPRnwiRoDIIj6QSWUKHuoC8XwiaX+Pz3daOgSSVLHLwa DAWQejar3bL4fHSAt6+gCWDoNq8Zeg7sG89jU8C2bPDscx1tgf2BJlnJK3LdhR9z4OCYTccnyzkt ry9SzXkTLFKBJU5uCm1eiNDiDAN9BCvZg4Fa7X2DxcRgoEtmhIPuuQyaKFmQ014yks+o31kK7LXT rX3nwMNRQtonkC0u/vgQRYGK+XHrtOyVpsDEETeImV01HzzlReme4v4V/ngntkQr8/yE59xb0PEr oMk7LilNe91AfX4J3UbMPwdFlmfDDbHPVit8/p+64VqFQB+W52AKEdtsxdXhstiEu0rwiEaJmiaY q9k0/e2QftZK8sGnKSs5Yb874Y9jv7uhbDMgprna9bqE9Yu+JNJZpay6YLIcLLCsZyGS5XeCTT4f 5Sm/RDQycb+73dAydHNEkI5akMloCLUvkNtqhnHvBQRq5byhneMSeX2IvD4e8vqJVs+G64ZyOzuz MJ3rWD9ApP+wvKp0TiC3HPo5wuEVeVwWPSKbRsS59Xqjl0UvK/P5SMbvG8JVmFBenlYODR1JkNhM ZVEC3ym7qM/qDLo70f+7+ySFwG1x2Ao5fxw8skQTqzR25Pr5zVFxIEOi0nCmSoLZqGwyuQ4dHXnJ nYO/30FFJrR+JOd8olg+H4ms3x3HoxqyE/2ySHLs6bgoHdnVOjk7bg3rp9RqEj/lRHv39A5MHRGi yniXVjJ5ukNY3vvMUp3CJZ+g4iTSqCGgTYSOHFA9wM5bLS7XZK8bwXDI5E9UzqTAjpiMAxq6VbDb tfkBERDnhkwEa6OdNr+OpsDYUWzBPW4NXC4c4tvM7sBSmKP4RIUAbhYFsgAnHHyi1lxwLuxsPJG+ tVADR5cmk/FfyAvyi87fN0AKqFmwq6muGyYCfacytOty5/P/7INjLdIT/JFgzaG5467sECQLwVcx WsCJ1yiao3oc+m0KwQN3R/wBkmBdywcvDpzbq9DVibJ+56WIe2MbsyFDy6mt2wmfy173D37kwhGK AXG7STqeV6yEV4wGe/nA4FFE16+4iTT69Syn0Du9bGa7Dn5b2ytyjSrxRAeiDeXTFKNOCEckP12D n04NZj3kJtSzU8rE0gethAsDHYbxmNFQHjKHDo/klWzQbHzQTYcjkOpa7RypfD7ilfwqoSRgufez lqIQvDUCT4RdQpzZc6tekRMzGcCTUpcndIHJo9Mm2lx3cDx3AXVYtrwnXkVxUq1O6cgQ2OdiSHtN e98AV0FB8QGGmA2apnMLkb+Iadp8/r9x7SmUUB7PNEUlcDipnL9hmmAt7muHnqzlvkYdpHSbpFg5 m2tpP4MjB1Y5J9WYMsc6KQxZTHOC3Nmh+Z5Z16fl4vmX0143mCWVLQvFRjkYhmn3Tn7m+Xsnn48A y7/UxXEwtStnk0OjR2AH8A12MBkOjgYN8sUm/gRAGiW9gdOj29JU2RfXa18M7/g5oTo2VRs4sTd1 EDfQh63iZq9XkHXVZW8bIXJNOLv7oKQX6pQiPG4e3yEE5Zkk6fxBFaVeN4gcuj2mTHGt7m6PbTgK pbYLF5zdTcRyYPfoYoimLZbzo+57DqCz34jehrv3WugVAb98zqMOTik1h1BB5Dx1e91gMS0iB2aZ PmgxnbyKiD64hGQ+/69KU1a95dyL/RaaQstHhlupuAwSdJKq9wpM37cTEpf9AI9dH8l6Y+HdBscz 0dpos9lbJ8xU6TuW8JknD8b1N5+WeLf3/auGAsSHD/rLoHjAba4aeOD7+C2lzSbV+VH6qHcxhcaP uxFxmv1kqsmxp3WyacBOwUx3kqIYXDUU9O198D0HuSQWRqmytWHjh5MJnJa2yLprXzzubq8bAAco tdEX1Vs4eKUJWRD+RnZ6/zB/zF+zBImklq4oYo7dHzPnJlunYJbrl4XD1mKBSQ9CzVIsqL3YbyJ8 ILnFDqgCJ3WHnZQsw88xlGUZDnr6YqjaL0b3LLxprxtJ+xMDn6j5l4lonEryoWgyGTL1zA/4pzAi Iwno4CMvuBMVsihB7ioXqduEkGMbM5VkE1XplayJCmPwJYNZxk4c7kSdv56Ea9S9J8IM64gXUNRB ekcLRGa91hEPbCCN0g3rYPYxocAgVX5YRPBi/oFyPj8gKBCkb3GJxhPx6c/FPpCNLQJZ+tCDfhYp tMOD3ojMJ0McF7ATWEFiopaKcckHR8lBcbwueWcNeG+LwITOAKLzHyKdHBafl71wVLsclNiU0k2B AiX/tnqSLDHhx9np/IDotvOJwutB8aOtK1OSA0NIFAPXhcbzv6oT4QiNl14S/LWsRBA6QspiDDG3 D166RG6ZiECtsLPJq1F4C+zSiWUG6+ZL0kndXjfaeKTl5lZ8UFUOdlxcDHWjkBKYQn4XwqVxB1ON /ey6KAofbNElBw/M7F51OJ6KF+UQftpiinAotPSGtWH3wbvirWa0ZsX1gjBNpSlko6T7idjWI5UI jTx9SdSiY09FgpvNBTdLQRuZ1wa643w+SFVuHZw6HtDcaVKQ0yyFhXC0ewFiU30AavOGkVt8VBi5 3pbPUhiE82w6p2fywRGX/cRdjSDmCs1BQC5wNgGfuABiLJR21JYjDI3fEvHgO6le0JjSoEJty6AE aY93Ph+lvd+UL0hF9HTNR3JoDYmjFgeHRLXPf3XDpZ5Uty0rypHubTsuDMIpDQSGmg93x51juhFQ i+gSNrw6mIBLRYGOMBcvXfZmrxsEBJvVAejz2aDFhKoW64Btr8Xng+gyf6cqZL12sLN9msI6+ASX CelmRu9p80SXZHuj9yqAKOBCGvQr9IdkMjs3LVg02PndkbsvYmgqaJqbfqQDKxQhE6LLckWmCtMB fk1EQSXMOdOQtWSz8wW78gR6jIUSUrrAIhIl1m+ZKaRJDVq3Nk2hRyTwOSdrmNnCy2RYpnyChOyU AuhEWDwQWERK42NA2rH5YKSLBldyetDXMx/nfyD7a0FPEX8TJEfSddEkHT4HFpEitKPNy1C8NWbh bD2VqaaKwAOBR+R3SsfdRY7+utFA6BEJwMq5wy7CgnJ+srNhIUc4FGjc2CRFUHA1CyAe7YM3e6kT UOlnAwmWRqThSYwBJsFMYZtZPjcUMwUukQClkgG21DPwShOQr10K1tjVfD644650orCW0CO+LMIc +kTWgqyXDR8BB5YnKk3QXXLARvfIMjCKdJ3S2iRZ1q5kWc4AVBT4hp0JgutGFaQJ92pLXEH1x4mW KseFRpGVdN0ta5tN/Cb/MKjb6ygfCc3e0CryS2DSTm8gi+8sRfE3oMto9rZuCDli0U1SqVj+cmJ6 pIY2T3EVXItJwrcubggVTmibw8WGWS/Co8yzCzZlrUjn8XG16fa+f4lvoyrjg06SeX5KVZoyQE2h XWT+5SbRBsTdHiB4YBd54pyzNXdlviftsu16OFdGCBZbyZu9f7hFMj+R8QYHpxVM9AkmSyjnQqGV HX2MRv0Bet5IqaYQ2Px1o+ibzRS60GnQlusnqJfay7lq+Py/7BJ4LjWhhe8shbRLuCWYuH2H6UZ2 uZcxWrJZKqiLKxQIHCMv/7urodKfhsqJM+YefBfIKTa2Dc/fgqU+EK/l3C4jTF7jOfSMZNl8rUJK 2IKomBSjKiIM1gChQsgPiAAWXhxgV4Ewwq89F0ffXEJ2Hp2wv7oHUJYrIqapgbBs0xRF34Pc7zJ7 9sGnqZZzGXXKTXaUrdXGRGkcVtEQB87zbjkKveXAOVK5PZzIavXBI6baxJ2cVc8Hhaa5vmbp/Jpn Xr8QzqF5pKz/srzaRBIzSArwI64sAOWZ7BMV4VDq1JkkB9L+5UB6LvpS4HAEjDN0HGiGDCLrT4e4 MpK7X1JmOTCQFFnkhEqNkhQcrCCH+jHXwgaVJ3CQRLpxI6bB/gmHma+S8uc1aGr5f9EK3EW4JmgI teqkp5GMa1B4n+t0eg2ZGqQneYAXmSeUfh0SOxkVuDVJDCPMgu05WY3gpcfDeELI9BowNZcILpnw uJKzszDXoksEgq+Fp19m6LzgpvoRoG2yKEFTHga9VUjYYHYAO4KneBI3BbLfjhqQoURBP7wmP5WC 2ZFkYF29+eCdFGDXMyF9IO+fyLKQ6DyUiLUfIjvNOcGA8q/Tc+LJJjmT1nyw0jf0e6XStfD02/Qg hig2PVxt+HrEXpqd1zCpZRZIYVwl59q9ZUhG/xYI0cuSHSBPrZ3XIKl5JDkK4d8cPNyGkkNDKoIg 6eSlizdg2ZBQ2liSjyHAJOz7NURqOEfUgzMy+HKifIeAD7/tbOzPa3zUlM9oLsTvwS3Rfem8RkfN LZK+dAT8LELnxAVfppZOwse8TY7KLWhR9u6DLR3g4KGkpFL3hAyYLKKhTw6nrMHmsyYHOfznNS5q AP7zqp9ZpRL8mfqjKqy7iKI4CeLnNSxqxetI3Fk6j3Fk5Gnz8xoWncdwc0ExTW3b3UndVoxdKTVJ ZIBs2eHNGm2tLXJcvcOFCYJVS/vVivyka34ywuBFBUu4btjW2hS9fQ2JmoT0IUBRsw+6xc49S7NL oNnW5zUgauK3aX6WDinrKXF2XsOh8xCC8BPKX8mpdd2Pey3W6R6JfGa6jgazIyeJKuBy/QYuw50n IeymkR0gbwqGTkQqojCQG4aaSAysX0Mh/P5VplGWhZg8IERTAMfghlnl8xoHNaPZcDLUEYemNX4X zs5rFNREEwCGv1UraRfngAMI4+7QJ2bpbiQRzU+nYvJu24eLnzw3zED6BrjEuQI69aYGesMDV1au gClZFiuLhGh7FZ09NNVkDC1cKAxeO1mR55Lvn9cQyI4u214/hn1n54YT9Br/4GRGNN2F3u70GXdO Tm5XsQyiMyYHHJ3MRHGh4uGDTc86O2vSmQuF7BOwsAA3G6Is6Ix9G2tRCATf8X5vEQ+4u7yzu4uA FGS9guCeJZk/r4EP7w6fHVPrBOan2+y8lh2xuTIJlHv40ePraOd0+5AN0CuplQVHs1yhi2ASHDy5 PwuzTzp6Qqz9LGpqBmRw4hc63YX9NcO4Ncq5RbODv+hckPCz0aBSWkGYaPJaePp9dvryzUUuCcA5 J2b1xfNabsSKy5sQpG59tXy1S8ZeXiJaUMiX8kR0MlMroGyhSfeDJgVeYI0xZaI5UCSiR8s5UH9Y DC4soRqwjV5Ir5XGJronGv0i4riedykEFi6vD73WGdtVA7R7HdWZc3HekDCKmAH4yJBpTc6+KY7Z TrWTigv2TYapoxEDw7vL2tjThxs0r3NmDvr8DNivUkrgnNHnf6F1Wy7rJvSzSScg3F+Z5Q4KJ3LQ CkpJOvOwxATHNNpgysDs+EHxoOl7BYYO5oiwEdTbdf6ANut4tjWbBz/dr693stsNnBuiHBsuGgIS RFVpxaR7ENcQCEugWKL/XLwzi4YiXzY6oFmJrVSdaHW6P2QF2oK3Uq58PAqd69cRBME5aqPbDL3H zmBKLKqRT+/y93lLjPvKuwC1bMCaYIZ0dYE97IOtoVHByQTW/sSHkN/ErQHS5JaaFte7ZxbT3vV9 gjpbjOi/+iAg20lT2HM/txKISe/hsxrfX6kXbs+Rpt/x7yy3ZkXYkw/5Kb2zq26qti43LZThrL0f HNO95YCkjPZ0Ra0EHAkYc7FQPeB/CjWTn4zldy8xe9kg+yIKogjrVxzrByWLNuS8XoAbfY+hgbu+ u4zxNoJ+Mjk1Re8xdGKj+YpHN8VBJlSSiswAWIGF5ZpVYKNJ4hk0kavZYDkYatLoxi5V81OT+SEi 3x94/vzwPiHUL49Z9bbBSUQdaZSYOAwXST4fVUS8OjESambBJAn16kcRZX9afXZaFErDm2UTWq6d BnUZlcz6zl/WCA7Vfo+loeDJCtCSYdTKt5Z/4puTiRVe953wTklxneWFgJaW1Lf6SpOfd3Ibz03q /W8e1ra5ADlCdUCsbLiyvXPbGNiMXzNEFHC7yygMpyF8MyAK7i19N4+ou186ErQUNUNRNC1t0rZy 88Hvs94T9sFQmWNUOOrh2C6Qsz9riy9p/pA6rKNoulHXlngxG3QWweSRQtknj92fd14bYpvihzUL wyCDd1LuNENRPA3w17mT3Xp9JK/hr0Xgjdh/FFfhDEUBtbLVao5aJDHZfXYOyURXQ7jUzrOkpqy0 gJekXiXlW1lNLMTofd5JbVioar/OtHxQKIP7WPX3DYZkFFL3p1LG6x1UqHwT1ndOWzN9ktnMHxLd My/gNynaT26z7b38KKqWBBeU1XzwviK6+ZBfEwASVvIUxMENUKlmP28ryJoc71F1tpyjrtx80GkN lRkW73Fjft75bPhT83c9CLVReXxpgqKwmuAGWDimH1MEWlevfRM8xinqa5ik/TudjVERsWKVZ/ao N248KSUcOwBMQEn6XPKqHgEojz/0/Czfpfuptw2miKWyE08kH3QS5U73AOZa6/NOZmvSWH6CIlDj zwl564lRaE0r39GShY1DEGNc+WXUGzYmt4d8J7I1SRIin5e+7b76tme7AkNL+Sa4i1f2ec5BhLou qiA/bKJZNRpNls87j401CIaLNHfRoIMIKcSSgEbJn3caW5O+jO0yGbTQkM0PoncaG86v+p2w4r+2 zVAaxdtkqH7ZDL0H1tm6ZKVR5YaDHURnQXXERZT8XyzQEY59du7PpMYTncjsIGJd8Z3F1mRldNJE wH4xUCzvR0AQsTjgXzY/7yw2XmeevnYZkJzbAn+azVFUlsZiRR2q2HVG4Vhd+Km4iQ20Im2O3kNr 5PdcPl0St/2RuF3oGSbWVuvJ81Ki5NSssKOcsDM/OW65CDU6R7xT2JrrJMHKkgPQo7qmoZ2l5v25 2D7vDDZ2RfxCMyF8mg/5FIWx9WTi2sYFrCc/gJIZHhMTiuyPZ9E7f40RSxKFZvvgRRD0e6AD+KPG PbSSqNh+bojF8J/+2QaW8dcNMjTWzlYq2wc7QdBupYDEeY3PO3mNZ1n+CotO0gXIuheJ3qlryHxx 51PoyQr4ycsgLVvaBipssyrRO3PNijBM74sPtozSAnttEXTV+yjkYiH/QNseOnMZ4D+rwRYqcL4T 126RsRWp/pdH9R/NDn7fSbk+77S1JvzfV42aHUzKgHKGosga6rOIiorN0JUjZ0vO8fy9GfzjnbTW HP1RqxWrnzpISsAnFVWpoWdCj/ZJXtuCyrdE3abEN3Etfd5Ja03oMFD7WMvnYDMEH0yuoROmf945 a1jn6bsOAk0dqQBqht4jazSBFrlFNkO1bc9hFc+Li9WapWfvfDXmsOyr7nkHr6WlDfN40j86eq2L flpodwDfiJ45QQ3WI1t62fejCErXIIcibdFgMwRhDYnap/15p6s1efQ9URFUjhsE0G2GosiaEmYp C6DHFDYZaBYnUXc16QSZLR3X77H1+YHJ1Btr3MGiooyLLJNBBmJ2B0EEURFWBHg0P+sRk2T3/PNO VmM1jKF1KmLTYktzc0BfafGCOGt5f97JanalfJVC6Fi1b/rxTlbDRkN7ZMKuRCkslb5VTpMnojTJ i/US37lqrCZjh3V16fvTpYdQ3VlLQ33oc1K0Mqhjg8qsqIKD3ghVh/XSy0aRIyv5EtjqXwJbCB6F Vcz9805Vs8efowiHS2vDg+t3nlpziGzrjv1c4xqQ6PpnzXq6CNk7Tc2KBWB6PIPN0ImHId9F6Gcr 9cR4WdnHTALsAdziaA/6ir+T1Jo5IYFkv3zQbZbPkpyqRK/xeeeoIfAc41fkiMgBBozWjv4ztm6z O8AqF4+KyrotIZbb1Y+OY2spRhEWw+GuoZMfp8KjCDs/NXH9htqm50gY13mdf+fnnZ/Gnhf3Vxen qC+nO0KUgkvgRBH5805P+9X24I4k+qz6SfTOTmNSWLmE7KxGyciW0PmRXO5voICjCYpq1lANA4Gb 0SMHTVA7r77RhsZtdlbQyS+IDoVa8g8J7DSx7MaRKXrXPydo0q2Wg/ZYakNWUWhEfN6Zac3gUZoP Bo1Mh+4ERXE1MMrIVLP1PVJvrjqWihFpzwxBuIeb7J2Yhg2QeAxJtaY/qjUFhDEoLAt7hr+BQVPa lNNiMzI9OMahlw3SM7aEJqbVBltCueSl676UzzspzQpxzylUcCE8l9k7Iw2nRxY3xpW0ri00igzb EOhzVpNleyekNWdeTxlFzcco6lzAKMBm2dSmDH1fE+ReOKdRsgHDUAksk/bPOx+NvW/BYaWhQWdX 3mUZRH5qd82Uy+edjoZfUT5IyM74cthlN4F9Z6Phd0HM2Jfzrlfvxrtea3rvDPhzi6rfqWj4gags shp3GQdNEU7ms6ES2UNQoD83GdYQ4LA/NKZ93DUIjv6889DYOSMBrYlT3ExyAoHRCYhoMHo+4PPO QmtyOHgiRvCr4Hzj8/MeUysI24N5FK/63N1+pLdlMfVIwwnX7xw05t78qwepaBy8jMYIaG/xiDfU kMhhaKgvIixGS92bHkw63hloWO5bkLO5fLDLJ1HrDTiyXj/vBLRfZTROJjS46KyhCYpCalpCnAQg eep6rmD3zmzb/tcCXXsro70T0HhN8BiShVjPT+oK8ZBE9jiIvtA/3irGDhrWoQbCxGw8SOF3AlqT bhzIkSwTcTB0TKtb5hpr8h2DTTY8GpLqzzCxaM1RFFQDswqAg8E/+rhyIgaJZeusuF32O/nsFhp7 Iuyuk/Zz3gPFG0CpezeDFsgTMl6c7AoNFA3ycOXx3Ke9bAQ/Q6URIQMH9PO4OU48sSQ2etZr/7xz zxgwfreFcBIyEbUpCjEgDaJZq9cbDnlmJukuhUPZCXrvzDOmlSxXZx0yuXt2fxYjbgzygyupQuc2 wBTh4KILdeZpaRrIuJ8+78SzJkIrktZNhgfSRSGfcx1nx+IL4XX/eSeeMXmdX/c9SEudgguaoveY uqiJL9C2/BCSgJ5I7/PwthCaPpqiqFw9hQ2eqftg++zEOqPwukTSXxYIjYA5ML2HqgDBMV4iIuns nXNmmB6GQtUHC6rPWak+xvmzP++UM7xjuSBG8R1B+kfP10CMUVSN/OREQntK5TAnQb1lQaIJo+Ah 5pzy9e+ksyYLWfqJFx+8TX2CzslvgMo/+GgUHMfF9jMBYJPGqNmKU+X/nXRmWAWUzIgVBu1Md1NF y5dlnhNEzM875wxbtfk64haF5D0yaI+L3klnhECStQA9BFZkJ5RREUOCa4i+K1kLGbcjVtI75wy/ EjUhifCywQtFGV1kEijOHCXw9Kx9ts5mA6ZxrBsWtaJ3/QsvA+MsH3Rgn0t/UcYM+/rzzjjjrf8L Z45LoqV2ZyiMrRdFe6qZIFDHX0DzPEo37jm6UraKopq1GLC1PIOtopPAri777vNOJ5AsmbL1gFBv FDkzO2smfMwG4zvhrF3d403BQw6cIjAgs8AOc/PxKLZOXwc2xXoA/bIZeo+toSRWoG1ojVfVpzRD 8OTTlusF+le89d+pZtbexGWmWz/dW/+8/gD+L7EGcnZxrtQO6fhpJoA1DKhd8phUhXeqGVsQrKFl GiJx0CKaUGbXrX9C63emWZMCwtcMQQihXzT+O9HM6gq0QzLNbOA2kpXSanLY1Qnq/cR+Z5o1F6Ub gzk+h9uDnYggmTCCAQtZOuQfgFl3hKriKyiHZVn18040axJuanC6Xz4ovMb/wVJaq6193nlm7Ank r8gI9cOGOqvNUVSynuwwLjPL3G1kb8GyIWTWB7S14AyF4TX/bph6++BVEFjMZPmydShjSYI8NTrN n5TpC1OEbiy/40/SAh3VNGiCFtwHuc36Hp93hhkz4O8uNYI9ALF9gkIsCAi6I2+Xpi23eVbkoNmF ujK24ju/jNuMuWvp2wfLz8rABJMoXc9EQbiNqqzgDVYgkvu+u0zG9O/ssiYbVTAWiEvjYBPUuoAh Df6Q7+Qy9qh9BfGypet6vdjzd24ZMadgUBMi9T+aKy81QjDOsdXIpDRDcXCNtTMX7/25bnsRYRHt DpYyWLTyDcUPwN75vVe+FqOD4M93Xhl+TWFAlKEVz9CIAm82Q7jMotBaUt8X/JkIxr4TFIbWJwTf aw2rxa7RrLZ/QshsIv4DwDJjdsT4ahKChJIdbkOMgv6iZk4hOH+iSTSl+0BCB7iGYOfIHnJme9fg tmfYmFDJt0FlolJNBQOaS3g8CBvHd7G6YgmXG1i3qFgNinZOlTxRHdQrJcvyJyVskeWj+mLYxhaV qxN1+/texQc/qNMEGl89D3Ss05YKFLLxhZOBzBjzy9Y2ew+tTx5A3vTsXEscLLQ+eRlLYWexDzz+ T1AattQmOin7fd/jyBo5B4uDFOhrxWjmKJl7LQ1Nd2PAvMfVolYC0tiWD15LO5kIIi5W9AGGzqzo V4SVDU4IqHRbWC3p/h5SExdBIFvWIjzWuYoggyClsHNX4vEAlPYAZJnu4mLMFy3To6h6sCu0rCoE HTejMSwx3DhDjW1SzlCEBRlLU6Oi46PzWAG/gmcqVZ0SjKGbbEixnZD8E9io9Iy8H3xHkHhQCzOl lHzgDE1U+nhuoxiFx//FoeJRjQpDTbdB3cOoOiPb8FLRJn7FkSDLkSCbpTkc1T0qWKse23O6g4Mc zv1e5R1yEuqGkIjC2MDoLxQPzh3zkIDRRMWXRH0z+hyBs4SBXQsFjfkEf0ryW8HzAUtxfp9FGTlW h0SFzVHMU2zCf7idXzb5cMgBDmNKDyokMLDuUWCt5KzONHzw+6ykAbA/kSAnwwACFKkHKiFi4u2+ LiyNYhc9CqypdQFb0aZBHhkloShC1BV6dHg8OK/Tr51GIhVqozZH75G1nBJdGYw2EN3hn3sbRa+A c2k04B5DQRguTuavHDxqPFNyZqHTIKPDIm0TQox/0qnmkK9aP+Ua8R1/dRcJaqUs2DQ1EFitJAYA o+6J56Mr7RfhA8C43e9Oe4+rQQIFjXw6+vNsqqsKNs2DncrM5k7XY8Ii69WZpKGRL2kITRuU9Rc1 Z08mPveWiNGAfx72OaGNOovwjfiO4M6vYipO6aVk2IOqJlsoooX6XN14Ptpol3OmRdRgkOG3fg8L 1x1hUaKKUwLTvi3zOTxJp1vT9cJF1Pk5f8WNebU7WIp/QpUB6I+UgFBkAWL9hFzw8sULInV7xC+n XjbaZ8SCVDUYqzcYAesa5nI4Jh4PzutRv84iKdVlDxz7e2TtSoXnGGV/DP8JKJQpXe2JgDqzjocq BQ/sKLbm9gFCqPvg8EagbQrZ2xVGNIm+yHOA1D2wpAiEcytIOq71qHCtO61JVoaD4WV2okzuD2R/ 8XiQ5De/02Tet8HEusd1BLPGEbI7mRYoFCE9sDJIg9ER3TJ7Uxmk83P+QqITy8uBdzBP63mW6Mrq CSe09ckbgiHKz+I9XJ7TmrEBviSSbBClKm0ftIoWXKr4nzsVPB5hG9vXUZQTarvwIrUpCsNrINFH ZxkEimB0AqVVz2MK3QF1sCmK6tbGY2g8izj4hUYECOX16mgAyVJ6D7hb+Atm6KdeGDGjMnzJ+2HU l9oeUsFu1cuNGfBb3tkLGy2kMDbfaIynElPh7RfaiIJr2C9CfsgYHz2ZIijyBm+hnVx4WZdxhLIf WBtAMFQfbvdjTcCUs8zFe09Jx1Vnh7iTSSjGB1xY9K5/VRtPPDx8ENbhrATJpp4TLePxILju9z6T 6DoWI/aeTVEUXSPPgdKebjSYPN1SEYDPFheR4yiKeVi0FjVvS+JqX1zaiVlQmqZHwFlGDV33SRl1 HEkoi36RqAv13vElf+E/86DBONOVoQ7R+YWIXjmfiFmKy9Y+S8QyQgagP83YEUOtM0/smWyWCh0s ZIRRSvJZqneWosK1kq9NEydHzaAwXBsAD4LGny0xTkBNM7ZOWA+wpfDd8Ysfplr4jgACOoq3PThY 8JixqrjxwIjF4//qfrANgN+l3BxkRPE1iIQIMpZj97o3GhutXIRMy05jHFF4rdNotKkdx0uYlfME GltPnQU1mLgvworYdm3wcYbnk9/6IEHiO/5i6DXZ1HOwghr2Mv/oc6jh8egwGt+LaDCPbX6ljSi6 xi9pArbStCruhpXyVZQtTBS4hKLoWmIOXRYYHOy8PjkwGPmiwhZSPqmDSfsYLFj4+V7+GU5dfEmw 0dj0qDpoC+IMEn5gqdIKy8DjrCY8/69FxBueXfLbZhxh2bpQfL+qFrtxHdlZVAUAXaQ0dPMSH1F4 vYiaaU1EPXkMi6F3Mg5grwR5OBES9grYwo3OQfCWT7dsTUr+iMrWFAIBv+gOutHOPm60nkN7BY8H J9G6PUaZPWL93JraCJHWi93X5WLpKQuohjz2fK/5hJzYphssZERYaxLeTu5Rtw/32kdjFnbPkCOC 73qWlR/+UsCs5UdrUOuqt/1LcmeJorecogctq66cB4gsPB4ERss7aDyY0Rpi7qgpigrXqKBZH4H7 bC0/quHyblksQS7aZ1FwLdn90XXpdzlKsAsL4nvnFQ/LUMAmpRdOCDoQoFQtsG12Ph7fEYVFhM0k 9j44WOEarDnWWkGEHWFsPXyCtqwwcQI+2ywOriu7sMPzfKpY8SSq13CuXfurEQXXQ1yPIeeU8e2c kgEqZp8aytlgBCByhFVAHwiBWC/SYb0ZOY4wuGahaFIJc02sIZnOwpUgyT0ZYAF8QJDp11+kDxQv CQXVHIXR9WJM3acfRcPDIoT1dhQNiRFjm4Wl66wWNW3oOVhYxJLjmRykaDBUoVsVUCEAI6Gpheq2 l/e3pHdCFiOBDmCRcEB5kn8XelCDUzTO5Ynngyma5WuKECTRtkpTNMPS9WBUtNZyUIgiafxrlDKE VhvYdkpBZhxfsw5S6h08vkaGPzLz2JNwnuCOTL4E1FsHI3UwKlIXjW4gM8SE0L6413YHndfQRJC/ +llNeDyYov5NrkJm3UbxO39G0TVNLjqrLcQ7QNxPaSww5IZ3gDaM8A4z5DGK0dA9Jry6gwvmh2MJ KQsMLdyvT3ANDsxEtWqOfAuzdN+ZIY2RN1kbu/lgiBDgTAjLO38HHo/OIg+taV0gzVrHyc4ossYx vEGpstJ1NTFGSLlXL11DB9cUnGISI0v2dSYf/Cg659BJqYmTHaARkzR77q1zWEOIkO26y4WlbMEM S9cQVj4bieEjB6uEnChUlZDz0nj8Xxpg4oSSCnslrqLAmn3Yml3XgQmBS6RZcxYCcm6iOsO6NWln 5/TdPtgMneSs7Lpl7owVpG5bwqRDXE6yF2bgRHTaDPEgBBXlpN5XsrirkGEret65AfB40Mqftw/L ftuCUtHw7GxGgTX6kSBUmRAIfu1LqF7XV+7EvtkAITMKrdnWOHubSRoHj4lgrER/U6jMdMg9ijgE ZBg68rle3fg8EGXgS4J9ll5l4xmwc2OfAKbi8aDPePEOZOhBUfchNcwwsj6Z7l5t+D5Db930T/v1 RinQLOF1NiNAiLQvumDpHG7ysVBypDVKh3XxMovwiWWOFkh5oka6OM4osq6SZoYDpA1aRdDaII6p ndAMj0fltG9UERotBD3bBEWAEBQlzn1vCOITlg6XTynFutagaUxLPWZUtl4Mlrs8eHv5OohgwFrx PwAxg4YQlXoSIu+G62iuC0gf1GmcUVidWEwbMrscichvFkHQ8BMXCFdZCAi5+as6aGb5ZxMUhdXQ Sd3Kj22CXPgiF5MsAuPdwdYzFNsjbwgdAB9sgqbsmqiXdm5kIGiYvu7zZ3YdKV+eVlvvGpX1xWUw ZoMBuyCmm0eSefrm48EEpV/IPcBvCCPQBEV4EFoOrOFbbBG3KbdrOtGSWAWNIZugMKrOwljn4cOT 38M1rHGLnR+fMPSzxRCanE18DkGo+3jJetrL/okjXjyE6NPLIxd+rElGaavmiuejNVS/UjOkCiAY +xT9SV+cZRvX3BSHaR/3TNH5xXyTxcogIucx/+DgDUY4u2X2rIBoOT82Q8qMCKghSs5Qt3cQ8Sp6 2SgeYlK2uYg4KDcbu5cqmsLmO/4rYJQp9rko0q00riimRudvj9pN+HzLK5Xx0K79HkPFbvsVwUEG U1JIf/jgu+zE07N3Nhg7WvldETWULVujqUL5Lee9woo1lXQHDRk0aIL6InYbgJla8XhEX/S2B1lr yHYaPRA1Q2HBuvEccs3PydXKGRq13Zo+Ncg5QyEchGizpuOoue0dNGMntKRRI8RBPahpSs+KBV2O CgWVB1KEpY7vCGaIBrurTQ2A6DDMy9VAdBDnLng+kgL7vutluZ78rl+hXnWjQWhziaJqmvC4HbI3 qdHGsBkK4SDGrWKTcfBOOmuZ3CpUkgs5H2e/pdTMeJ4dswpK/m3AIi1o+I5IxIk28v8pKAfHgdLN 3Wvg8X+JMvPHQ6xI719NUMhfpEMi+B1eRJvm7lVQ2V9eREvJUNYrRFkTQdxk7tUec698gpWTZKD7 CDJ+boWn+oS6NwC8INXdQqPgnyusVlPFaSYqFXGwGtHYKn/AbwiPB+dQ219rKAO31wF4tDmKgmrU 4V3SAagQyYLouveGBxLXZDMUEhgpd95KuoO3qM9VvwrrrXDzSJCYoY88gDV4y0zGjG6zzTb+isAg XQ3YIpGigmRPJaJzR1JE6AfYZTwf3WbrKyIiQhblN5uiKKbG8jgpwbR9Vnq6Xh613YhoDIPLrFgX RC7Wq/tgUzQ3HdATkQ4QbiwEg1BiauGPpZG6u1URmbYiNAglHc4lMpcPCqpzJSUSm6cPPB6AQdYv SgyImx3CwDZFseReoUmzIYpWbzZFCwr4NkXgn9gUhVG1Tuk5qg+2z87hlFtqrMWSCYII/qwilD0G 6vwZrU2rEJHCgS8JpqiPL1d0wjO4iNDypotHPycdHo/us29EUeUiml4hWiGHUbaVojBQI6QYO6aQ SC9cyMyQ4tMchUDr9a5ifTY7iIxL1sMVdiEsgXRIXk2YyNKizcEgc+h1/2rA1pSsL7RNjwmOZ1mo q1Lx+PtG290PI0leV6CtPfVYMYmxk2/uZOF11cDayF4C2ckRoCsEgzBsLItUBQ7eol4dNq6NRSJI EMqfoqmB1skFLNZ9LYRU4ksCtD6Tsy4V2X5VZBELya3iBMoVj/9TB72o5nYSkJu+rrBcPeng4ZH1 6MuV0NMy/PW5nbfDiFcYWUvFSdSz+lDPAOOGmHKxOhoOO04Rj2uEQZlUSwuLxtDL/gV1KCo4asXx LGqppmZ6lfWz3iPrc+n3XxtNx+ZV+44ia1RrTy6/TBikzeUNauyKH2fCdous999q1g1YSRv8tIbq 4YL8F0qxrbOre658lO46YHuUtjTTU9aqdxRZo8N2jiAUR23QGgLOkSd3TYOPB3W0+l2rRkDf9u2b 7ffAGoIrjfiP7VYeuxfLzsrMjzJIshb+jtWsiZDt1I2XVLhm6Bz6rVe64Zzj4iSdtRBzBYAOuTM5 r3XvM8rp7xBpzeJHQSncBqX4C6x8HiRrDzwe6RJ+R0VA29Rx9b53CLQG/D6lcT2GKzqcWkVT3gyo ow12qThHYWzNw7pNyVrP68gASYd5Job52ZnqIZnZCXzpSY/O6qQIloFlEC7jO6I6GiPGOrMP2hvQ EiChAckfHo/Y1HeKGDkiEiMaRXMUEhgJBCGTgDn+nq4nl6RWRCBIaWYquEMgSCUbCJeyDX5Y5w6L eeVnDbJNPKwHbOkHLm/ZwFlTiNfZjmLrzSkCoN0HbbR8DqViLoF8x6AWewnnnsHW8cxQDLNO9Ka0 62wkQw5n9EJNX6ZT8lkz9Dd9sZeWfLgohwoo0iZNuMHDlUX/BPxeA48rX9/zvLq96x804bUp76BB ayjhNyh2seHxf+Kt8G/B75+XA7tDwb0NfRlZULJgNK44SHrEQdqyy2yHMJAuVRCJFoz+wECA0GuS IW4NEuRVEAfIk1In/1FP4dGH74hMc6gKQlyrBscSbZlLg1uNxyOJopvhs5YO0/AvgdQd4UCS5EHa MFmZc0SbEGhJPbuI7DynqGEbd4QDGUlYGcl+t/qoWWPZnESf3KqFo2lQRBbcIszZz6Let/rTvett A4onq7dsStqgVXSONfpqozzGx/9VbFQHP4NNfQ/rMLIu6OBnTgu6sPtKzJS6XSut45ihStEOcSDb sPrJB03QScMgNlxk4F0huEsmX6aPUoYAEMSCpGtJO198R+RqRqIHqIA22DaDdgfBn+cKwOPBbXYb Z0XUeyTCDwt2hyXrTJfcO0XFPIWQ5NMChdSqRFn9zs/5C6sP12AfvNoII0ry7uneBWrEYLWR3rjn hKWxt+Gt9tS7RicRuYtsl2jwcqzax9CyqHg8KIP80oxHwVs6CpqgKKyWUjOlFOiQyzyQa6h2mzXo 7Q0/qiMUiPSrujJ9Dt6/z2gft2pVEPA8sQ8TwAzkxq5ab0TEpseOpUEYClV5LFa37YZSFH9MyB41 PP6v9JXxOARfWruoRsPcvH0tIJ2wIbMyLFiezRNYFg0lDbK9DqLuShw4FllRcuBrQ4r5RD4TFU1Q PqAVxpIsspIzQUV2lxdPJNPM9B5cF1akzmUvn8WGFmY2T1OqRyDPb3nyAyL853Nm41+D+w18u89U qLx3zoUN8zbZwPeRjdOw4JGsKTs72ZF7+KDo4qflkHmbjq+a2uIdRCYjAiLzpC64QBf8jgoJDybG wwAb3/KXenwWmpgDl8A8mXjjmdTO78Dn/0XMZwEOUOtx6UM0ew4eg4+wu6Cc7X2BV4PiwCo8QrVK HgQphFo3uSiy0cjBU9mEa40Mi4rq9hy0zKvIoeGeC6WZ/Jh1ZnvdCHrFWdpbUqmwxWHcB9sNVY3O 5y9+QBAi5V9wazktX5X9FNWw2a8mfFWVtTFvGyS1YdPU9yw+TaHzIjVU8iJAjYNNE10JibE/m+5k gAuVGjgLnn+ykINTKcHDyLLtdSOg40YAiWaNDQq0UyMIB8iQMfh81E3zGw6zC9Xo1i65Oqco1EYJ GWn5MEkniFFXO5zSNdMb6Gv7posK2YMqhS3JIDddg9wEDihqbMzYQD6cNFxuSL8m5VvmdJEwGfjx W6J+SH5DFdd1UqAs7zdYNqQQHfJcc+znFgALnuRfnN73meJmcwvYhttEtL0p8jnC93ORDyHVcgqr 2Sam1poP3r4GBZlSlyjVNvGIwEk76+lcrMzb1i1Dpm2vG6H5CA5Bn9EGzRMs50W07mnz+cjNqn3P U92kVz4LKi5ow+erTm+LDEKwNl12miPUh4q1XR8URAQqklQV2upTaGtwipuJOBEsNBr4DRhnQVkb mscNCACdTvTT4LdE4pcs1wLGaoNh+uB+k3Wcdz4flf1/KfTMJB8bn6VQOwSa8p1IJXBAjbKPWUob YC3LcOuNCKLYe2SJphC7Vh7ruJP+QOOhSXa/Iv4AYWSiW/gzIfSAk8/iJvBD9bZRdoIy21rky65F uDDWEvCnErs+D/H5f+H6qPKAfd9XvWY7KYq+QWDZK7sNyGJRUKrpdMMWJgsYCfPXixREypbWE0uS HHzLFdDcwcxC+N0L5cUh+nR+8A4dskkHQoUDsl1Osd41MTWFdW0OWkpnv9MD5wcez3w+2HFP1ETR VTQfenmipigEXyLue0EJYvnJUSNZMADUJXEF+TzFxW2FAsxBODgE8mykflI4uoGg59boZMkG0nlH VPqAx6MueKEXPb/lL8cUMgFt0EShhiVO8WB4GXrK9F82zCC498dGLvRrxI9wQqRlPnKAB/lqGpeA NXOd7kUYQkfMmajc4R7g6fwYi8SQ88e41CGkB9GmP3cFKCJuaEmj6hz4NTrzunehsr1IfL4EUuY0 uEpj8vkon7sYLTYkgYOcF7OeQ8tGSL3vTps7un7u5m2A1KtPU+ul+jRF+JFEdeexpN6zrjIvJFvP pJC8fa5LYhZ51ENdGQ1K0PmuAt192whiQ7EMdSaXF0HRPGdHiryuyucj7dn9FVxqLT23XOzaCKGB 5bU26Lkmqwt0YWxQF9iMyXjLhbaNiz2A0RlccvC1RH+HyqAJlqDE1p5ZZJyMowZ4CZ+k0uxto3YS Y+/B4kkexMxIwBi3J8/vOvh81Av4Zj8UViPqM0lRoRsddUBHslYN3R0MsX5iwmHKNJMELVtLUQyu jYQV6IOVmOaJAMtqjMFbOXORheKmNDY0FAi6M8EMdrdz4N3oopgrEV3LQVCbvjIRebguK5+PYoFv mjodmp9idw7NG0GI2mzQaS1RYFolFLpQaC2l7NaNgXdjIjkQ6k+qoeynhtLOfXlmjTay0BaoEoTY S6Q+Vw5VoiKJoxy6N6Jb2iDsYcNdTKijM5FNMAEN3RvL/tYSg45jrf05liI9bPAH9kjLZmn05pU4 RT2SgULZw3ZcHH5rlv5TKqvMmeGorbZJz2loPhMVVLCW0TV0RJJigdC/0Rq48vrmYEupVfk8AKvN 5/8l1MvJhWpAeQoogYGjFVC6bjME3213CytzNz428ott/iA5dHBclOot8pkpXz4z51Dd0M4vLDS1 uoakelFuAFQPgBlv4pakQlPo4SjFDJzVPijnhebaVtW7LD7/L+Fw807rdH280xRF36iFsQzXvSKn qIAc0TS9IpfHraEERo7ZIqY6yYvgYHsuQTR6AFlNq8tOkz+gbkDJB+SJqCULBWq19/3jkgPDrvtg MU7JkhE4Gbaej2ii3448QNIMwAh8msLiNyRG4Pqj8Jsaa4bXFo6CMMk03OwysHIUiRP8x1x9uJcc xO02fR6A/lyIZbHvTuxHYkTZj9QqNd35LYEKPamiDZZrNiis3OfmVP16tcLn/5Wl6NpHLwYRj09T iNlutCjszetxXmhyswcytHC52DTFRukMg5iCaHCsJFHJlvGe+2c32l8NVssB92Xh17pxy982UluV BSTbcfXWmTKKexQDPZ/d+HyQpVQPK/GPM8pcYz9V8NDSER7ju3Wn1Dbq4Uk6S7ilQXJ/8Yw39HRc tP9oldB2DhfFVeDglZKsU1uh7SNkNM5AEHe7uZyAbjk0dZx0NEiNyJAzZGW8DcEAwfvnuzKf/5eS 31YNgXflnaS4AA6QEm4oFsB3W8XYkLtRAQmJXIfxok1SiN1mDIA01AdHT4x+DnAeknVAl6hTIHsh xOs0/mqXIbGav20EdeMkYV/aoBJT7VlY93N8Tz4fAW+vfhaeGwxGnpUUao1sTJISWzg6C7KNgmXt S9W5ciLbaYzIHPg6YiXxPGpynWuPIMuCSZUlKANc6E4YTjtz8kMD6czLWIW4XP11/6LVjsy65cDx IybjCVvpfJhoE88PCMLK9J3ugmb/XRYIrB2B3Eg8loyv1ailLEGWvR18O0HxsGmKTWhkf0l1SA7e TKkIJRO5xyeZBusPawlmBNTswuGLOqxfctveN8IJ0ItX5RPcDdpyELGi+M4PsGd8/p89cKFx4Ap+ /R9yaO94wjPgblk9gUqtNCKgnmlJMLvgsC9T+B34O14N1iUm8tpPkQkQr5QpBd3OsTSlSpbQe6Iu SmbJ0Prgc9nrBtPE7mValNJKKF+aomMH5xvzdI65yQ+IGgXfJFuqDq39TFNU/sZvcO79OhxysoaV Bc4PlKzGBFkDn6ZYesSAXc0Hv+WgpTGqSBMnMOC1gloc6TM4xMdjSEN1yByaPAqFS7EkG3TLZWBa GFmePJ3P/zfsLfAPOhoaPklR/A1ljZOgFzNcObejiEosxbkJVJlluK1RDpwele9A36dkHyxNSSha kgCFwgAUfQkwLdTVBE2x0E6kq9M77X0DVAXzk7kZX3LQNG10/ZKmafP5IAC/aYoOawhRjGeaovgb Bfvz+c1N+npzfFdp0/FdHTwVm6R/+NJMsf7nw/o/N+faqBKTgHM+SyqR8CP96WhjZlar+a4l2yzF 2BOqIXWmKRwErNiVvHtuoMnng2DgUdLEVgX6srWvaCCKv8/coxLXk02T47uRzU23yGoQu1bKG9g9 ZmsSdIPDURDPooFNZxpcZrB8WllIMFoeNQDkUQz0aKAue9vgniP9hu7TNijlPQGp8C60e8yB36Nk Y5+QKUNK+QQoN0sJHR8TDyZ5YtLx8cIpW6m+mNaqXq8MLB+xGbiPBgHeHDywRFGSPlJoOCV0wIl6 x93asQQzT6apdnjt9rrBYmIcgIPOB0XMDerB3HKpZj4fKdde51B1XgYK8HfPhbaPzG5SQR/PnJ63 80uSoJGyy6ruKJZj50fmvKPQU57DRQ0WqBR2LqfWoSbI7hTV0TqV2+bTD+/2vtEJTlZpYujMwRBx tPT68fJ34P2IYt53mQmENqqI+DS9R+Dlf4HEAmOm+dG072qqF3o6CY7WJL1H4OeDjMVNdVYOHg0Q vjxFyEG5gSrAsNFCJZvEu/ZF4+72uhGviwe4dP3n1fVfA8EZIx52eUMDyC/4KatSgCGmS3XPsQUk yG+jXQBqfbgCszhXAGJxPk0xzFv9S+LjOFzQQBmV2rhn0ZwjfAwqJMHaBaUBqps/UHgi43JoAkmw mFEtUbzLkn0u0EctW3IsPfMD/jVPfBAArlnSM08xkRJYneyGvTAr8KgJ0uCmTTKSH06xEyRbJKUJ stO+uuEtkQTNeYI3BFLsAcp3AUMdJmqIqOTYa93wwApSZoEkv2lAyygpV+kmMwGAJz8g2nX1a9c1 HolXqDXHZpCV6kh9Fj+c8lCt6fzrPqsdTmm6JlkO/CB9ogBu8MEgcrSc383Ku+dsokzgbGiLIo05 n5bmRcXnZS8cVS65kpZJ3CGJ4t9WT/a7yE88F+DkB/w3aknQ+2jripTkwBUSfGmgUDNTFCi35m6w uJRRO5BcEliEViAIbSGll0QusA3e6YV5UyUvD5pbq6MWhwLv2eGg6vxADueydFK31/2L6jUKcxYO KsmtlusSrARllMAZ8rsMrjSXgP38TFMUh0MYA8ehiQRMibaxJDd68spldo/jHFhDXhstNcTrd0Mc ZiyTdlXn3s49wXkZZmymo0wArYuVrG5v+1ezoIvi3Z3iXc5isibUWcaDz0dqHPs7JEDU2yhSYLMU ymx3Gq8OV3TZV0phNeuNnzC8u/Vhju0hqSFdk9F0b+OJrEoI8ScZ+51AA8tuggv0s4ByL5R11J5b e9nrBnsuExqHYrkN6hZ0aLpwms5q5fORhpvX5FjmXGAyXJefHBpETsAtp3qUmf/lfmNjbAmUF1Ai k2+5MApn2HSC0u6DtwrOIZ5FMT1r6cSanMgJffIfWPqeOydfTQ6C9PktQURgElzsZWank0PpVBw1 tDYXnw+qTeX7BOdb9fJgdQKTSMPVpURtIQEJE2ZCmu2dVSZUCKCsYciv0CdSBaesCIqDHeEAOi9c omD0dwTlFVWBWStFrVBoLFdlqlBgl18TWf5QwVXebPV6s3UALXU4JaR1gVWkVIQN1fRDITAYtN1d FzpFoqe7xy7eyCzLWRe1EGqBrO6EiB46BUaR0vk4a0pdAw7WE88omfVKo0jYsp7/JiscFTZ48/3Q dMvcNEmKz4FRZKInDMyhJQo43SgygVnMwF/QgcApEpvuWzgA27/WS97JoVGkeBe0emJRzvV/TzrJ Oq1AKO1WCEKnSNV4B3nKGhyEUvZJQYb7s6G4WLTcIGbUCc/znG4obAqsIoFOIAeMenAaVCDoUEoh OeVE+nw+uOaa5yp8OexgqI77JEVBeK0EoczuwIFxc5VVLwusXkBTYBaZWI+hFlfxwWtNAwxeFK7A cErgNNPmDziOlriC6uVaqiQXmkXKseUsPxYuGWDwD4PUi5RRR0K3N7SLnP0Cmla1E7yNp0IQOEaC hEhFfLQS3WZrmm57as7oARVseBgem0by7x+SEBiPhAC681C7GzSRghRwIZU+A9/Q8D/3djUCp6Km wDQSlRDqKmU5RudLKQS/QyqKGZim0DXyKV7KNRL6bjnfaQrlt8/ps09YY4D5kYqrdchFT8pKu3s4 8IdrpMADjAo4eE7XF05XqQhUBvwkpiJ7OTsQAGfsRxMJbNteN4JbEj649/ZBm+7c1+LooTvB5yOS Svo+mUh1etoqoXEkzk0YPRhLvlBoTeyL3Y3f3E/kZmIUOXCO9AIv8W422CydY2+d5UNK2Dl+i5I+ kMDnzyQMiFrqDkTZ9roB56lSzoSUnrXgaydUc+0n9O5SKsmNH/B+gK/tITiV+IkifNDygX2klXg7 OSXUmK7LtdzZEzIFZXALbZqiCFzYAbRNfPBpmpClmCgtVfKfYWQIksoJsxbgkoWACNty0183OsGb QLvFB4uaQBDif54cgs//S5SCioEdBiBzP6spqoSjswCI3INE6bUaEqVUlxGCuImHl4GNJKTjrFPQ fbCj6WyJhTggi4XZkL3gEEfRBJHCz2qPNZnIPIGPpHefqMNugx1NZ9KUtG5weQIjSSB2fkWXiIUm a52cp89rzNQlmwoUhx1NuPov5YnNJ+CaqO7Oo+k1YuoAW3KK0r6DTRHqbgJ9o9gEWQ+GDQmMkkbt 4DUevhMiptd4qUMTjxMkqCUH6xWsE6NR564tPP0yPR36roqWsum7VghjEFzBnyWaHRIMwdwRNQXE AocNYH8wCAf/32EDwfSMpFrlmj54GADUydaJBHDO6sSfbEYqqLfL7Ve+CQaTf52fROw05SiaD/rN z8sLPXjCQzz9Nj+IIYrNT7UeutPlMT+vcdJ5isSQ3Zf63xtW9rZ6dnLThEHJQq6e1ygJq4cWtkuw Zg4Wb5+3OjfzIvCkzwENleq9zQKAVtkXz0yvt89rjNTRKUKYPZfacsthg5sIVBZoz3L4vAZIXa0F Wz38p7gkerfJeQ2OsHhw64+9XBdnZ69QQtDmVnK7wQReA6Mukh7tSLoPvrUGkJVzyWgLJvGkG6IC DhopxNTTnRzULz6vYVFHWEOZFnRyMGQvCp3seQ4WsE54kT+vURH+0F6+Zge9SoqiaHZeYyJuLWji 7O312749WWtzdc9DLr35NSLqCLAlf0dAacsXCo/k9sQhWC8noz1HQ1aBpIB6SlpK6Y8jyabo7Ws8 hJNH5RHoetmgK6xD8JMVj5M4f16jITxdhs3O0iF1sn6VZc5XvsZC2I4TSiYufbPh3eyMChUmKeia vVnyGgl11EKXmHDJBy+yLcTnhRwmCL0qkUWAN+Cqef4SgioEnEiMql/joPOqi75aWZyT/M05WfRQ oyf25zUI6k/qkQWgPDvrRG++s15DoG7UgjOvwztu50KfVtSGPZ+nHa0ZUuk1BOL8sJUEAqsNjpgA /3FLIfAc3i0BSj7OZkPM1MCsA3jTk1g5JESbSxCAwbbkRMdbsNCaIKxGbbde++c1/sHBNev30QPo O2qumqDX4Kdbc6RT7IL40lpdkqsk864/qxdyPtIDDs9lubGyk9S9joPuNninKTNCPPN0lichuGgG /0yY13z5auVJsvdr3NNVO2yiWtvgVbWaGGmdTD9/XqMevqFf6yTywuieC1fqsdHmIg9HCFIePdWz e5h2+9EDjzcJlgUHszhv0FbzwWYHXk6pJ5bUT7ycJqrrqKVNSAbAYAynloPcGiXdotnBX7TQXPHB Kmkn2ef/gRji81px7A+7JMtBiV4x/QY9r/VGrLjEvmOze6v1mWx6Ji0tOT37EZ6ITmYJJg7W0jjc Nu048RsEjwBN5uU0Ked2VibkDn9oFebYtqRXDU5m1tAKxco0aPGMEwzJWxzlodcyI8+e6dMj9DZq CdmP5ne2Gy5kdOJg25idfNO7if+eE5ahosg3yVvZUdTs8aBMj/tT88CvuhsZaGfR0MWGEHfEWxOB Xi7r0kpms9f9a4PR7cUGLaGzQrN0dcsAxTTaYTN9nT8oHTTb1wRDB3OETjcktu3+khKburNO6Cqd FgbGvAmvdzpF/T93FsTCZxUVsiUmCO+JF1jHCy4KcNA9Ts1ZYPn4ssEJLcLNoqwbB62iE1EJadVy 5eNR6Pw9QwsyDLAsthl6D52RkBSKuiTr8zeT2Eb5tzo4cpV6kVrBDG0Xmqo++Bo699kqlJMA67PM Tpv2jAuFTs5Y755ZKImPgueu1GKzLsRBYDZ4a7A2CIjv553khsVguEhY9uAf4w5N+mXYRvjrmB7S ukH87BIcKHRM7/CP+gBGgnO6V/lGpOaDg2rO/X3+BBaqUViEuxYuefTQAB34YRXt3mLq0gS7rBPW Do1/Hyz7qiT04fuAHQ1D6LG/1hAYRSMtz77e+W12xKLG4YQtoIK8/FpzHxYH5e5yZe8EN8wRuX1b glwcLAM7CUpD/2SwxAF1gK2+NpIMBACdQlN8VYZ7n3d62z2I2mQ81ND+qHbVD6jB43TJCQWzYI6E yfc5yoIhPxstCqUxSSdZL2ZiI1tcobUpmm3w2u3F1/dYGnkYzyAJuXLwPKwnAPGGzCPwh8m0Du21 1rnNL+po0OXnndyGnZLYRUyr+SDQEYIaNWLhyfbObesox++vYDpn0qibR9Pv3DYcIYOJar9GSLna DFkNXz19+o8kfs5fNz4IAj54Cf/Eh7Af6Wrpn0iGSEDg/aBon3loujmkzuoomqZCMiZ5+qCj6ESJ oypczPvzzmtjppu/krEKZVRiNDVBUTjNIhkiOavfZ1fbPj/1MPV28BqdrhXF06JpddkfdtUddBLl c6jWNCWeBL8fQrImMuGBEytTwJWVxMK21Oed0oZ1SlxfK6x0cPBC4ipqxW8QJKOIeiSPqPkYXjV3 T+bfCW1YQqh1zGWKpHsRVKoOh5skoJXPZjXqZFFMLe+IldjJ52BLaCLbHovumW3QrFwZP+YEhtB1 Pv4j6m+8x9T5f2Ua0ZO0aVNxC9YE8UPG1B06N1FQve91j+UCIzhqFdsERUE1rlCoAU0vR6fdjA2J +mKzKRrUduAUxXE1drWc5zXYUV3AOh8qtZ6YHRpDEjODDRHKy2QDetV+6m2jKcIa2jqxOegc4rxb prU+70w2ntTr1212TnSqN6uWGMXVkJmF4YUnreO6jdVkChyg1aDqx2JiHFULsy6y9ryYmTO78PEF sgHCLfBzpNTG3jmJhIByr9eiUfb6vLPYsAjo3zsykdkjmzHCiYjOq5JQAE3yzzuJjdFC+64JQfpz bT+G3jlsmFbmraNag6wPph2DkonDDVqQXdkEvQfVIAwyJcvP4FUPgB3QJENANPH/Me0Aa/jMDaxW mZLYMcSS4juFjeV6IB0BBOAAiJbyDkidShoBWiTvFDbeZe1rDaESds7fu4aigvSE8OasxXRt+NK6 ynq/U0SVD03Re1Stoh2OaNWHSr8x4wSioS/5Ipyro2B1I3k9k7YIRCN10eBptI5456912eIBSdRZ QCvA2OqKXidHIhzoZMnr805f4xTPrykqBO/UW7IPw+olBxKXk0xZ1mwongG/aYjQnP2wfqev3QLI C1odsU8/O4HIWeAXIJFC2FX94YlVKe1mOBk2qN7Ja/gSekLuSgoyB50fDW6lwhJlPh7l+L+WUSFg 3VP8d+Yakl4Y/ZghJGJGIjCsOF09fd3JE/x34houUxHX1PPiYC3WBTYW1QvQr8cJIu9MNLql/ACj XKu/MvH5vPPWuptn0grQBqH3zklArO/5mDQ/76w1XLlPkUigBiAG0j2Mwgo1VCOWSrAE9psXSabS uuWvJJppiqISNSUsT+BgOlL7YvfO5TZRZKksEwEGOqWHcO5NaAHxxv4hFgsGtksvG8REovYhmrFB U1TBdmWd6AQvn3fOWjdVg+86Wvlhv9ym6D2uBtQKcXVyY4Q6+y3ij+wKrgPkc03Re1yN2EEpPnXb OXjYOFA0oqpOHQAUYdGcVQRs66KDNat93h9betn3w2ixAIvIwQdbReBP8HxZaX/e+Wr8W/v3Pjtx VKO+rmYoDKyx0k9oYCV7pEzZqTOVIqxKYLc3yd75araKz4qRhwQHh+xNGEGKSntSEnhSkIxUQO9B VLYe5gxFYT7vbDVOEecGAAwMqElxd1RE1FlX2t6fd7Zalx/zV1jEpHl5/vrOVcNGAyl7Di/HTlZc zIGk+yraK1mr452qZqXkExHKrZaDhUVM/SCDpLBoVVUBUoFjNkG3zNVVsKH/wOedqsZMiXUlSMjY oLjo/KFTiBZQ1d6Zanh8fcdF4F2iA+kzFMXWuLQ2CouG+9x3nyW1pqtOa9NCeqepdZlKnz91s17E wdbQOBfAtF4ifDAk+w+ovYH1JmJKw3nQUvydpPa1zVr2wcLh4vkrTMfeOWqsGn53g0B1PEvaK2nv DDXEUihCUGhHyCpCogTaJ3tb/iO1WgnknZ7WHaO3ts3T8IMIbfpx1k4jKbSfWChvaUtmyhtC13nc TYbX+Lyz06zfBdAwXX5qtpr4CVcKkeJI2kf+vJPTugDzX3uMijnVz6F3bprlO3tr3Yhhv7odQ2gz WpFobleteeemcYYm/M7pUatBM4StCrAHgR5ln0VUWI5cwMai3A+JiB+LTADR5Xf8OUOFDVcO2mN0 MRU+4URt78Q0ntTpV9QoBoHPUBRYt0L7EWbLbHqkS/dIqRnF/0wVOoDcZe+8NOyATAPNk5j54KXG QSM29VxPcrYnhUgKGIYoxeNMeiCMQ28bpGfkfSzgoW3QIkILYQoJXMrnnZNmge1zDEE8r8xbjX1n pGGKNgqN2Zix545fTioGK9Hh53uZov07Ia3LUe7M42JTeq3blEageDIP5hcoNCa4sHKCJpOj9kN9 ZEtgGad+3vloT9t1Tekfof7GuywX2IPgz4Z+0OedjvarCiKVI4riphs2vvPRLHEG39pU7dc0NAPE rotPUqN2LVdRVLCmxu95CdQaNfgkQd9nQ5elAj7UeqPZ5kKtj2yPx16DrYXPOxON6RkpaItriYPB YE9UT4hSOx/weeeh/YqrVUgDWGneo/o9rBaq/tzx1ZoeU0D9TmHWZbf9yRamz09UrU7s2S/Zia/H ThwNoXl+YKr+o3JdC8sljSQo7HIoPXrPg4nHOwcN9+WimziELmzQBURSN3fO6PXzTkEjiiN/TRAt BFq/ExQF1Z1sGIJ4DfsxjIIG9xGbrXL+RMfkvTPQLihvbBZlOfgUJWRRSs5mAooISwjVWJjWoYK8 mZyNByb8zkBjY4cn0Vh3MHTMCQbl0bIm3zHqvvp1pj7kiVl38mrsO/3M0UN9rWSFIlpYqlDkfRAm HgbqfGef8SRiZr8IH8J1jmNBgiInooaJFkuNu1P2eEz+fR0zWVDwsQSf+IHPO/msO0eP6hYYYBnK zXEW1NS67eeW/LyTz7rUrL/ar1jN6VZB3rln7CNgipKh73dhJKZiIwlrComQa2qKwoI1ez1z9+GD LaIFVl7ZUok+0z7gzgadjLPBJw9rWu2YAHKxl/2rUJS6dCBAQxbsOZ8pIgwBzD8+H+y0fQtF/Mdw 0LTEGV8bxdWKirIR0DeXvhlCQ7TH+kLT+0LvrDO8PiUPR9cy6g8KDRj/xr7KmSO4YrAkOeF6+bNQ 8iN1yOtEJJ29c87wg9Kh+Gyr4oNFw4jX5QC19uedcoZ3LN91IhQQG3AVhmGMAmtIRkHjkFUzEK0L TQQlmVVMR+vkrwA6ULv+nXLGojUC5ilWLAdHy1Scp31LVgxXPs6rs+HgGEHkJRVGzVacEv/vlLPu Mqz4FA1DIWCFlyVD1ZPuzc8746w/VrWGl8nwn+rUEdAs/QkG6ZtQBrrTkzdVSTkDkJfrqFMwH+vo nXHWZQgGYdFdfPCibEU7Jam/CJO/TRVWwKjPXlsoXq8bF7Widw22GouxbXKm2rwkzxOo5i2p8Tk/ 73wza749dxquiAZLDJugMLiGHGQXPngbuUMo87xNpw5eSADWchFFVesmrBVuCBu83HheHl+gFD9R zheINBhOo+yBb1pX85j9xXe2GbsQrFr3cQfOUEVVX35Rc/PxfxVBiD3C5EDn22boPbZGrHBetFG6 FOz8ky0nm6EquSz419RV7M5/Z5lxm/FGo8qzBi8TndinV2p2AdGYqSoHiUNQgxZUPuejlzlIVHhn meHcrDIWZymNg9YQ/HcYOJ4kpnzeSWa/Stas4TdM9Mh+o72TzBgq0E58JBerJwDNamm7OyiWgqw8 r99ZZrePD8dsH/xOSxO8O8rNnFgE8BkiHdD97RmvTbaCsthFG413khkB+SymLdb1r0yCmJ3yZaut fd45ZmwLfOf5EEdrtKvSHEVF60lPrW2XF4r57iy++nQLzXNaWyP/nWHWXYCdfDsbfBlt4ATGECZ/ ATzDHiy7YbiGvxBF8GXld/w1QbOSz8HB+C4Vgg9M8/f4vLPLmGh9I4oKbvt+MVfv5DKiycqFCxM4 bLhqXNXLoSAdlV1NUAgFYfK6VdXfT1W/JYgzNjAVwJ8+23HQa7OhtFTBI0XC46G1VlBUse6Sy0Z1 2gZN0Il7uww04Q/5Tiyzc+yZIBqvwxjAJigKrQHygN+Ktz1KuqC0VZ3U0bBnNEFhaL2FtCLegYOj iUrDxLBeDWw+GAvsjABEBI7LytdhlKDazzulrEtK/+wtMfA4aIIKqK88WhrusiiwzvXirfAcqsJt pbuEwsh6oxqL8joz/J28uA/hWGPhn9RnG/SzhfBqthXpH2eDn0IIE2F0K2cRHN1cQjgSKiqxjSYH TaW0pHcNbntODag0PqhOhPaTsrOWMx4Pgsb+Xa6uCC7LuOFQGFYj74UmWfODek3Hfk4JHFZI8sDp R1MUFazVpYa6ug8PJC011vjBLj9rtsrMBsiYCjf2XBAsmWO2tlkUWEuJZzXV0tpyuTDguYQfbmXg 8aCPn7/PoU03zuxwmR4G1mldmZl6XXwasSBPLQ03jfgv72G1t6jREvDBDup9ztGTuyyCZRDekR6E Pw8iBZUWjR5VS7e//01MHEUVtfKAZc7E8v848U3F4wHBY4+vkJFhFYV9NUFRTI2u+YkNi2vzpWR1 oqWrghPUq8uE9QgLMph37EVxHQ5esD67KPU0KK16/v+Qvn5iJqCdgcUSqlGp2aTURY8K1tAVAsCB E5TvBE10X4k0hdwmHo8IVN8H9cDXpguW6WFMndE2W8NusjmvUBEx4oYEgXQ0D+oelatZ0Uef+w4O clgnUl60xIZZFsJtgBwm2LqLqRJ+CO8K4ULCl0RtM7qto6cMqwfA2azyvJaQpjACxfMBaG/dHj5B aZDNOivJb7MeUhQrAcR1Woq/qEFMUNpAg59lNdjuGSitR3G1pWa9NR/sJEpwZoDHkVwNIM5DsyxE qwuncwHk4co3FL1tEBGxfb+El0HZW6HNSYh75X0GQSQ8HpE4v9dRTZQsv0S8iKcI+uXu6kWjZDSG E6TTMFQI6fbDZigMqnmPzUq4w3SzZvTOaqudwgpwzIYYAcPvDGGJTuVMKoXqwmexsUcV66WTqGem +cgqVflo4BUzZx91Tzwf3Ge32ti05gDUT96h7mFUDShIUWWR8hbLtxoImDZFZZJo3/k5wSIa7FBv GtNzuB3qc0ZPNzSBLwlbtPLMZmpIPQKdRdXeNbjxiR9Og6RFqiexZAdUIE0BgRGqG88Hi2juX4uo fRPOeli0Rod66brCXA0arGea0tGpjrK8aFpohuKwWhJ8tfpgh1FBOJFEZQCnDEZQYLuCX7vAFyyl Xv0PsrjwJdFxzXr+qtkHpa/nZWUwC2YJHg+O6/ENsZ7s4z732XtcfWJVtMUS7dyyWrAD4SdFrshw KnSB3KxT8MCOIustyhDodzZ4JS3B1Wh0ic3uKYTopA7YYImUTr5mAkmntR4VrWsR/pMpPgdDy5yw lC1rGADg8SDFb/XrzqeBe7k92B6BrLFaz2nZJEyI5ni2IkgjD5Jloo58QasoQoI4hYFAmNElDkDK UCrIhBGewfdkQluBV9oGngh1ufIc1qDBVXzJn6i0Tt91DlYEAQWNp9JZ7ng8wjY6nqix1AiVlXKh ID2Mrc/i20OQc4CvdIltGq8TuAePTLpDaoqikvWSw1oW7yxf3tnZZ5BqokFzPSuoy5v9RBfnI4EC haNQ8lojo1Z8SZDAylalknbPwfgwbcjXCE0EPB4tou/GB71HG/TvNUUjCq0RsZyDYhvbo6thPXkW 3Qut0pgDkeOIKtaTUgyrUA6Ug+PQ4WWUJoF7sM6uys/O+YwDGlxHJAtke4CmpHf9S5igyOiYg8GJ zj/uMns6+dkIQ+txAVfEWUMgi/LAmqEotlYGK4AsUfttN4fq03wSNTXCoo1d/nfB+v8TYgC/Pxcr iAiox9aOniyOIl79DWcg/Jtd/gs1GHxJxF5kei85ZzY+hppDZ6pJBzufiEkKS9bbq/r4BSEsK29T zVEItM7Eg/RtbbNUdvVSEfzKbJLGcA+jERWt2c/Ymfpnm4aOkJE8GciJFBug4xT+AjO8E007gXU/ kRIb4ffWPz/LwHcE+E/WiEZS4yN54wPVRvnPAfaOx//Z+GBlEr9LvViHEQJCOpLYsr2Xn4cLgKgl JYonE2TutCi4XuxQr8o//wzVZghMTGDsoEJw7uTz6GLDlpu3nWga6rv30sc5he/4q8V41kv1wfiL WR0TIHA2Hg/Ool/VxsEk9jaGRhRbQ8X6xNb9SlmVexSJMMyj6EyXLaEotp6GJWKWz8FPa9D9KhwK zpV/UjZUHEnNwwtCJz3PRwQEBxq/JNhn9DNuXQ0i8NQJBgY1e5NwAN/IjOf/q0VUhID3wGiEwXVC SL0sGdvUElD+QaaHbjRMovKPEQXXa0mukYyG8TAaSkGXI2Vxz2A/W8gFzZQWhkZKf/R2d7J3DdJY 0heZndugCw0CI6IvntgBj/8LMcMIikIF42qBhChryu+zUWYnkUzoaTk37X9FEttNEW1EOGuVgObO d/ApOudSY9jCclruhVzQAsBgY4QDwqHDrKve9q/uGQCSPnhVf9o+OykmHo/Col9VfaTV/WJmRlS0 hn3fmcnkdnN53Kq+lPWIB4Hah/ZZFFpPBo4rDZH0+H2ZtZATsZygSxPEsoqc1iDIUcE1n5QrsG12 Ph7fEQVFk5i9fAdNUMblwCsfFNgRRtY3+5A8BhXGbpY/otAaG3H33btl+X37DCUd1czy8eqaoTC0 ZjmtF8LQOXi1aPbcKvW4K6D5nWDjs/POA52pMatFOqsJRMWXBKE1TGfX2gLXoh+Qk7hV59Moif2D dhY+IMjza/++8jHV5dIZRhRbQ5ofqMZmJxFrdzyJoPBjJ9GkJQ63WVi1JnOxQcrbBqefIS+nrgmU bGEtOOgGwvo2IJ2IabyyvyW6EzIYmyRBmKdhe/0IPn6C0LN/2c/YueD5YIpuVJRZh0CUdHa6n0Yz LFy3JJF9E0I7N6ll/TiNpvM8N1J1piAzlNRzNbTlg1dDJrayuamiwpIJy4bnDJzVzz9nXKQWGr1A ZggIKUKB8tzmoAMbxu1DIXNreDzqf/xqwsLddxS/9WcYXidgHFhJJdpBKiDc4512DVjJ1A4h2mGG NEYRGgppMbNcWgz0uMFlJKHh3M3n5OY62hDAmCBtTU6QCrP03pl/shg3PT1tsBttVjUT4ECGx6MU 7VdY1CCMPryeNqPYGjYA0Bc0nifNPuwwytvraQvQCak3xSRGuUoIxZev+HAeowLbSeW4iUy/1U21 WIinpE6GkluoZt5R+JK/2NSoqvtglZC06YhzPmJVPP4vsAMZH4NMWD+uZxRZg4B/Th2XR+uuOnze OznSQYgizdDfZevZ2IXl4GVrWjdkovfgyD6Exi6T+jcgio0rOrwITJshGkTJ63/qMtbVrW6EqAuP R4wPv9CGTNdBWyr3HIpC69rIrCKQjPW0JpM0zBFsLhwNgtK/5ijkMEoMPbP0yMHmCCir0YYaICeQ mHQFXSnjFQFKy/VKxmey1vAlkcQeI8fJohEHK4WcU1Nm8ycRwONBk7F9KxCi+98fTsMMQ+vZ6dLg +0xdfWqfLpdlHpQJ5IU2IzSICOcnet0++AwV+poyPxvYSInNJBDefuh2yuTWwiKaOM4otK6doaGy Dw5aRXBN40/S5hh4/F/lNNLzoAiQr3TKjNAgKMGebL64jQXxUoobW3MA6Bh+48+obL3Up04MCme6 9ruosp5jLknCsoCDRL859NYgM9V/2KA1MjU1GmcUWCcq70xZzM/Emwkp/rmVmnxlM66yWBjkm/KB GxVIQp+gkL9oCWzy5tDykCiXpvLRWbeA2mqPhUJ7rbIrxPaZpNc0QX3CdCCZYvXAh7HzgWOz01No fzlabb1r0D2jelPe3GkcjDa0+KEIrDYfjwBXflATOQLyUblI9BmBQUiB3fzFCf1sPdkKAtfZeFWl OXlxRnG1lFOmzNOnp03YYmetJOiSE4kOKxSKXiQ6yCGgydDL8JI1kegziqtZH4QVhhhoy1wFUQRZ BLHD9qni+f8GUZRXNms2TVHIXmzU3HGZWGT4P66gv5161nE1a5OFuiC8y84R03x4GoxLWAlaVpw8 gwG47DkQJ2d8uCOIV9HLRvEQ5mYnAmY4GCemY9cymdh8xyhg/D6GQPnoZOZyhlYUU4PLAr84Q+3t 1nwRJWEdeAxhUXARrQgMMmh7gtjbh8uJOdE0yvxE7bVz7BGqXuDV3ZDKIk7+peO9woo1WXlLecd6 xGVOGsMC6U9rteLxgL54z2keQ5vwT8/vVxRRo/qDw8dVL5gbEag/dnWsQ0vd6mgrBIN0dYRm9sEz M9RxG0jrtElvBJpDxRs3bUFY+wUnwkrHd0QFEKnoLhqhkRrATXZOOZjW46DeteD5SFvmWzCWzkUP I2aFQtWVftbbnOQn+yvSJ+rVl9AGgkszFKJBttGqlgbqjoszhLLQpB9TB6WhUZevEpQKEhAgqi7Y COUyfEdQrOaxXqeQsXNdUNpMssWooAivOKL+joUAjDiRrMdCK4qou/x1gYqwKlrpLpdfu6kWAT2c LRpaIcR6qGcm0kf+OqqhFF4hDqHLvg4eVYlBHyTKcTlcF+Ktt/2rWn2mufpgyEZ4Ckj3c1c8HhxD w/NW6oflQiumqy6zQs3qSaWLYrXYQSsiXfer+HVPWxFNUUhe7GIw0FCAgydmOS1o9CqkXos346A7 yckeK3GDtwFLVhe+JCikWQO2KnBEx5IH7ADXnA3NfrYfno8iontW4/0IkAV5yeYoCqozao0Im7XT UF32oHp0tz6DdovNUagLwkpam6P54G38ScUI9s5wWdMq7iwlLKxFMB3Y+e5URWTaitAgi+l90lZL vtWgJr10WtdzJOLxCFF0SUN4PfADe6p+468orAZHDRxYB13NqeoZeFX5usONaWSGFYfV6uPXOzxR Iw5RWuac3XV+DNSr50LCPCgG2UjPG1pG9rLBFDGgPiH60NAVV/cNKw5qXqTU8Hhwoa1bR+PLcRU9 x1HIYOxLwNiq9n3K9MQSsYpVFWrsluqd/BUCrU3CiUV9Dk4+A6wkm3fuuZTPqpTPIKpEaM2Do3/h IHPodf8Ki1ov8rFGM5LrKEFf1IScKh5/32or/QqLoGwHtQqboxBo3UkVTt5e3NsT/JMAmXRK39fJ a/0NB2F9wAafIQhRVTaqKnQ/YWCFeiwTXYonr+X0vEINO3xJhLRmBW0wPBrDRQsQVGzzX1gVj0ed /PUVF0Ertz0Y0BWiQc7fjkJaMrD+2C4MkuFgYzOUHWe9Ysm9KUJV8cFmKA0cdU3SKTAZoKk8VMcE WkcJ5N5pkP7gy0b9RcGu9h10FrWaGo8SmN3i8WgNefIhv+ElzSCboh3F1lBxONl87saI2cmnCKpR NkXl+r/sqFotzZhRJUNcrwxxBrC6sfQKjaK9JWN0FutZS5j7Hypbmukpq9U7iq2bfM5IRNCgNdRh eM/TOg0+/t8wYoTgur2z/R5bo/7G9grtwSTUnJPlZ48hs6RBJIceS4NIUk621eXRQx9Mx2GSQhli MOmwzajIMyvIAoTP2oVGMf0dQq0Jb8S96IOS/AXSE8+ktcdnvwfXosQ/wXVBV3Nc0tAOg+tOlxxa 1pKSX8utx07Sx1hJA3jP5igMr1VJq7v4cFkxM8MfR6awBTflYCMf5wnSeuKwDS8DQBO+I5giFopW a9MH7Y1zw6iNf26CjccjNHr6vtDQSq39Ns92SGCkrsOYzvlIye3i0Vt+wCDLaDE7BINQtbErdubw f4x9WY4rO7Lkv9aSKHAeev8La5qZO0OnXnhmoYHHg+obUiTFwQcbbofxZMMgnVHoqkIqkx3GhXQX KX9GpcH7QrzPdhRfbwWN0FW1QTsNjTQG9LDBxONBOXZ/32csNI7i1cYdIq1JE24mtwNT42ozVGC0 4bYMyU7rHdMXCbfapLwML3TRSXABYcUbf0BpbDG4LKgXN6BM8r4tWErZ4jsi+RSyXyVOyMEW0YkE BHcB92xHsfW8Qs0yWwLbvFxfhtgUBucPW3o8icbMNkHnmhcRpAy6kWiCfoeCQPnTB48bYcHFwBSd xQooKRV4YCnZAUNrj3gKvWnwHVFBn+RXBJs2XJxDI6mlnlAQj/9PcnIoFK1br94RFARC/hAEKcV2 WSFghSJF3aX2ETVW00PfERRkJEPLLB/uFBWI51PpCoC91HjRQovnHERn8y2KfatF3bveNtJxIrFq PYPW0Al6SclCfYyPR/nZ/s7P8NN8iaXtEAtSQBdSX4gmuXX6Ltvz6jYOCvB0fk7UOmM/aLY7WLlx JOgQZ4rIdgprUGelICYiVn5TMZ7Klkyg8R2BpRnRaFTLsMHCmHOK8QvPx2w8HhXT5nd6BtWz1m9z cYc1axRkoTatGertEhipH2QSRaiAaYbCuFq32GDJiIOvoXNIQJ6feCjYEhZCIYRuQExB5URDXO2p d43OIbZdK/UtOVg9di2uPuIo8XgEBLnnEPUAqfSSnkUUBdatbaYeSVM0WfOTPirQq6a4dx10dgQF mRIjpCOEBp8i6OxBGpeLaKNmLS0wwDqAhWBdzUIi9j12KAwifCzuaBsUV6PvIVDa+S/weJTAfguD YF+0R/LCcDevPcFMa4ZsVJhE63FXBqnSCzlBzVhWLMopVt2TTrPczfPVRE8zTQB+F027z9UzIKUD n06SPiA5kr4wRWvbCwc0z0L3E2AhMfRtMMUzYyNx0sBl5wdEdccLBqFoD4hOlC2xmYqK1+gDgXgm LgNAWMKAnL+LhT1KOyz03G2e4gCbeWxhcY2DB9gb+0FnNuokadLMq0JwA0KgptlsUjwMsPEtv+Ed atrbByH1IY7O9Xs2QObzQRbS/5HbRXO4E7Bj0xT6xfSTy8KH7MfFePqtq9Xutcfill45hXhr3ulN mNk2r/5eBhPgJMtsxsKEMdPhG/bn+Ue+ZaNeasO6rxuhHioh+wyQiNwXww4mvPJ9PRnO4gcEYNB/ eMNIuur6Wk1RHRs9Cqi/mZ/pTi51ub7Kj8Dd+TSFtoukftTBXVfH3XX5XLRzEyxZqwQMKDYDUSzo Ep9XRHnXw8iy7XUjDQMe3JnRkooSCrQn+Nv4QrT18XxUyfbTCT8jkiJqD/gsRaE28N1gW20z+kgn uOt2OuVk7bUy0yA6hLsuqmUPecRRSl+D94xOioNIQs6vDRVa/uiwhpjwectzugg41J7thSNJFTZD 5OLJ4cIfOtF851+Zz//FQmdH7gRx/YeC0j5TUcyNHvueLP8BrNaA3xFzjzuFYLVzKQC3IauvsJ49 TEut+XAZReNEgnNTKAy97POnoFiLI4Scx7Ow1q1Dpm2vGwH6KLyb6vTBCtr7bHt5W6TN5wMvqysO KvTshhr5s55i2RAanKNB+R86D5JQvOkiXAyM3U/QWVWspbxjcLrKapApHIeLDUUhjKoFmKVKz19w cOCGjbsu49PtcNqI9/gtQdRE4cJzRSQfhDaa574SK+hcSnw+olt7NVIKPRNV8Pmc4aF4SGU8Oapd dfQH4DSlPZ0GSiKYbbpYPYRXnQw+rgsOXU+hrLHk65nhVY2KyuyohE4E3vgei5toHcIv+U0tDJqs GuQsXXDqFZ7g5yBvfD4KCL7lrisBGe1ZS2H4DWNY+AJat5+Odaol5WTN7FGJs9WOiwREZLMzJoV2 x7y+X/jDT8K1yeJBuT+TZQ1FNQhew+uCTTaFA/JcTrGZzKagBYtKHGwpJf0JPye4HHw+2HA3Aidb 9lwfE918n6RYmk9iT3N5PWkua0AmaXKxLLkp26tpCovb0v8cPJ/MNtHAWWDP8s4/IWU7NxyO+rlq RtOfalM4QL3oVuyFg4pSkVOK8LSORUVhr2xWlOBRx+ejbPeb7Ih6UOvP8R16NSJaAxPe5HrqJqKG iymxeoIdN8FbMR/CEDsihBblJTX48Q1x+aJ0t00EVEVI0cpG/dnVebrDOVAB9rq/Ua+HBMQ4qHzb TtpCZG07Vw2fjxqS34BsiE70edWvc+jWCJlNp+0D0CbJa9YmxTGqYs02n6UIQGIO3molraeVVBFe olU1hVkfXRPZeBwhMa/9GnnLECT/YddIxpIN+vUnwBY8j1epfD7Snf0WXNFSys9SCgNwQNnON0zP eb3KBGG9obIAEOJJZYEcOjZCexfNod588KW0wONeVUsJuC2qssBDlqJ6PwTg2CSVZm/7C8xml6Uy 3MrDSrHzPEIcUT/bm89HOuo3TVF+g13enlmK6txoOaE/P1wnvNThJbgTH9iWg1T8tf4MI/ANYihq JT5ons5FD6gouxOw/oIUCJtdi4sJYtjLA6Y8hr/vb5fcbsDCaRDYZnQ61JJhXPl8FAp4AC7OGgBb 5ZmmUKxvAaeVi9WY4DnpBZSyXN9oo6xikxRSHykBPme+g6Ntzi44aYTOJVTktCkzQocGZwXphipN kcJRDq0bhZOYCr9nYdIhKezU2errCQagoXVjmd+GYCbk8ZxLkRg2siNUKL1WKdFnqUBtr8QNlvm0 5cLgWzj/+Qx2LgGLdXI0k1Qn4g+7MquBlqiycDFJCgVC88asHMVQpC256DxwZTJNWzDeC90bU/qn MgCfd1B87yz9RoA8AXifHnzXYlFlUR486cTjGNsc+jcu8kXaKMWHC0tqMIwiyHbBtJa34UTn+2fA NLVUml6J0q9CU+jgSK2jjRKlD4YEQOglCGlZfD44vv9twKF90eZtwOXQxDGZbXPOnvPKHIwIN7RE VJErQHPbRIVmM2wt9d6mD46Y6NBcSSb6DD0Ns3VCsQVUeAjHeyxQq73vb7x+3g826JpDvriMN6Ln Ix2W78gSyqbnp7rhd+DkaOF360Z63IOFHoJtVyrVkJLoX/skhZBts1BjuYnDPZkqcdpYTex8s/wN Mdr9g/jpp+wvdFKa9rqRBv1mvZJ9Jg5W3UVFTjdXK3w+4ot+G8jjTRqkBXyWwgI4dTK780WtQCDJ o2xm4LDO7T5LUfRtS6nl7cNtVraKXl7mUpob7t9cSpjWE9H+0NjVenEr2dtGUqK0BK1Ke0WoYVh5 jhdG4ueiaHw+SlL8ZMJZnxO6YvtZS6GjIwRH4VIkQPtZVesC3RwhcC7y3QzolkNLxyU0S6bn5/CQ j5jSNIsJaSwYeFItE77UsLpH57vfyq6Abjn0dJwiSBCJiqEo3231nHBUlO1nTfH5YJauY6HMDyjI 8ZjLhraOyBQACKBVWuY/k1WWzj9QiBfEpKY7T7+r+Y0pYOAsT2iZYe8j6xDw/ZJ0aZOsJ9F/bpcl seR0HTo7SvSoVAYDHAyohHNUxdoy+fz/whrl2uwXwZ1ja0eojCEN5RKC0Uq6gEAWnjYwJvCM0ZYL vB0Vop6JqgyuOfg1R7l1nAFnkso69xEFokAB+5mTjQ38nKrE8ev5LVEljr3Lc7NwyKgq8M89m2kx LjzxxuYHRFgcb4FX0ZfKz+wX0JUDf0cgTf45mlqdfoDPYkwu2NBkP5oCe8fEFhYmSOaF9ZoXntQC zoWbQlpoq6SpA5yCsfBOQpm/Pffctvf9DSnQqtzB6zTiFkQtyH852y53Ph9UBvp3o0Cu4Nf/IYcW j2gz7TWtW7nXBVOc84XyNcx5xzLIUg48HsHGL+qAdx98z6XWIAJKUQToxUwmfhTPbOSbpbZuJ3wu e91olrCOiuQjCLY0UUfA0flP6AbxA4Jdt9J/hQPoGd5pCt3Tz+vDiTwb5mSW62EobWgSuKDwb9MU gk5EC+ij+XCnKSWgDc3EsDRKGEE7VefLD1sITgso9ra/lQYqPCxt0EUHSDShWSewaXw+YnD9Uz9J wHPvW40LjR7RYgbZdiYDwHVRk1mNW6YVfUJL9v40TaHC37biQPbBO+JrFARNmKazwJclvU06lqD2 0E6kq9c77X0jXIXRt5oPKsYlNhM1TZvPRxWUf4xnE+QoxjNNUQQ+KGVTL/SExGkmvVWsbUFPHAKX A7NHVxefnfuJg03SCeMpWisiF5SDqHGXyLyfyKZZrqbzIhBz9rrBLBHy3hFK2GAQpgXlYOZze/L5 CLN8Ebk/ILDB3+hp9IZ+j53ONNsKKFtSPUx6i0R+CT/ZQPEz6Q0MH0F344lUpFVf0pWzgW8hdK86 SwM7t0FtUsKiGsh1jYqjCgbqsreN0BUEoEzpOk9DbGa02/e4ho85cHz8lhxlFJkpolt7udMUReCY C6DrzfRxunMxvEKTQVBGSuMuphjcvYl7J/eNwyUIIEuhFW4F1q6Q9HZOwHMxU2aFCSTqh5ynbq8b LCaRulAMs0FB84mOxWZtZ7ny+aiy68e3OBcDJfib9IbOj4otyzDrx/PP7IpIqU9jvhdc3Wbbl0Pz RyG8X5yOcj+7f8Oe5yygBOetyhkFaqR2OWo8/fBu7/tb2ZJ8JxsME4flydiSBfDA/lE9ny85iYpf qt3FFPo/Qo1lD9IP5SCavQDeRdMhOLdnv+UC/8f8/xaVWafYJrN8SUidg+2kJ+RSoC2DFhQKTZBw asAMKhhwJne31w1QA7zfqFxggzbdBCKFYQG7vL9YQH47rwFm3VK96VzsAQk6xRjT2gSTLrsinBCW Tq7AonmiZinW1B5+ddlwI0vQcMmcPpHlhgg/oPD0WF1woT9Tsy7ui9C4HJpADspFQ/KVA8JE3ky0 0OJdPlrP/IAIpTu+FhPkj+ZDec+hD2SHYnRVMIBuyijphkzJMKgnPB93x8UBeBeSKfvgXV5yHouE khAA4tBGMxwUOEIGyLcQct+a4YETpBusZxmsn//snCzcc6OlpeQOKTc/IMp7r0+E6Es4li5rIAdW kGoWAReXnTaQVR+gEb086bvMn42VmwM3SO+H9yIV8nLru+eHbmiDU9xunCwTGSL64aCgTYh6F3pW Gig+L3vhyMuvSBhAMgGQwePfBp8O3Rvnp578gL94p+yfsM23vk7xkFNZIPzrelvnglvOhWOoZyAU vJfqA6ErpHq9vTEW5+B3HbUliiq851Q6ZzhT3wyXsU0lhHR7TyR68Ft+u+uoxGCDwsuzEpMTmDOf /8siSv0Tep99nU9RGI7eNVJtReH77IdkmS+Uxbx0KcuxpA8KfLTEGRwCo4x+40uoYSYCTkGsZFmF IcFW83wRQOt6Javb20ZXHTvinWKJHDRLkBXmiX4u08Hng1zlQnXwJGx0Wn3K4IE3JKgdmXBL9cG3 2McEyK2evDxAvWabpDAIZ3ngBUdY4Sebqi7+ExBsaGlDMOusHlxRKBXdPbf2steNJCUp1D6Ia+Kg bgF8dFlSBo6Xz0fx5T+yJcibieuxWQqr4J32GoweMV9luSMb7EDpY0fiX/EdFwbhm9WTTU/f7t0O 0HWgQd9R3sNS6h5dnh13rhvAPIkd9tJlb/a6EYyQOslVxmPVcQOwOSHsmAQDPh9El3fHUW07kw// lMFDh0iEDuBgcXYm/0lqReG5So43S5eL1aauj/ot8S0r38GOcLgrJhAt0V2t68QumCio91EXHGXG cnWmSurL3vi3s6mJBMaBM3W+olNBlN4ifD6Apd6uitTfwPqYt8YbG0WuSczusgCz5+Kp7yRtjn42 e3roFPhEKjsca1ceTRysKX7+H9SW1e+lcqa6U+gsV/T5QWNwK02y4nPgE4mmNk9u1QdmalaSQ0JN XpSBBwKjyO9Nx1MIb1Av7yKHPpFj0/wwW31g3XoKtEadmkKEuk1ShARfMrBLpfngMJSzeRss3anS AQgYhTyIj2hItLHRLKMbipsCp0jtbFAUuOc4qDxAR1RpUAw9H9xyl79TFIVDbveqJ+bQLBK2ULDj udCB7NyLXvdyFhjqhTZLsZaJ/I8FnhBdhLfcZu1smzM8rl7+JzDk/WEoXne9uC9V5EK3SDFTSlYx L+NMEbW09alu3Uho94Z+kbOvr6MJB3iDNZfPUqi9naR/5yWmVOrunvgWg+4Ah1IcYhF7RnYp3I/u g62mdDLGDaVSyW+DPEe8DipzDTxG+OBca5tu7xsReZj4jmewxLclxYXo4PD5v9hg8oyEwNsDagpM I03qvlJ5+D8qzmVnFRAvrD7mvNiBXzwjmctNFgk42D2HPOX8whIRKCBLUqF+AplEcRK491yVwLbt daMIPLObwqLTVBJHyz/4H3PPnfXK5yM9zu/yQAFYfz9oy9A2siKwPGmOOgT417BZmuW6ItYxncoT +Ea6oXaTAlV7FKjg8ttSlzcJerxtCCwPrNjkXsZxfoEo2143qMmBvXsOt0RTKXQBpRwFcnDnNJ3Z b/yA9/N77W/k7iCM8DLlc2AeKdLkHnm5s8Rorlta5JpE88jWvY0ZuEeqqQ/aZW4++DTBP5J3PFHg aJXSMBrZ4jn0oRBMJyBtOaq95cA/EkUfki4kJ5CvnAD491sbZlY9H4Ga2lcVBXIoP8Rx+TSFooGA 4qaSHyAKpcYFRCHkgILl5bpu5cBFEncBzyT1xdfTF6/wIYRUAZsq51pBGwLlJoAGOg7R1f5L0SwH NpJivIMFzg4UBzua1mjSldhg8gQ+kugWOHoACycDFzLz3XWfl5BpnhTw/0GZfwNR+WNCQuMKCXXD OsHyN1k29xIwnY+BjDIxX2Iyz/VYtZ77vq8ux1+AeNBmZHsO3XAe6ms8bCcETC/hEt80ETzY5cDB QX8TpKyFUWoLT/+f6eELbltGReUpqDz1rQ4FfpZodiiQk0Y2C6CzE8oFDbBXTTzqTsl5c8H89CFf MvIrp5QgGQXAPrtRWRfQigxOFc1+CXNjxZJmv/JOMJj86wRBR5T4k0T9Dg6aoHOSjSpfgIWn3yYI 9aVpE2Qd9IIa07QJegmT+BQupL2HK5bipEi2fhC1aL9BDdwk3V+iJK0fzg8Qmj5YwH1yToqfkkUw zhvJ7PaE9gM74uzdfSHN7Ap/XmIkvirg1iAkcINx0K12blEdtrXv9nkJkDS51hkoRrPANUEHZszO S3ik5QNkznzauim7NUmd2x0BYPasyXkJjfgxw6wStHj2XTz1rJ4KQQqKUWD/KNgESfKcPwAY7nQn B7fp5yUw4lfkJoly9KY2CuY/hnzogLgSybx6/rzERbZPqs1O8V5l6ZToog18ND288AkgYwl3XoPW nvcFB8DXUgat0d4iA6UPntIcPPmHC9Zm2toSlLK5C2dDtLzQKafqsO2tba8aHD5cNTnT/SlnE3Mo 6OnQn5BJxeclINLTxfdWlpXrQl9p2fS8xEPakRM1kWx+x3sN455gyWaXLGXhXeajwfTMKbQS8Tgc vFkC8mBZnQjBQRcPViNPuA1rzQG1SBeiKCwpfl5iIb7qYuEI1C4fND09Q7CJG2aVz0sgpHVgwDft rZOy/PSRfG+9hEE60BGunYldw1MPV71FoX4ZGn4Wc7XDBwXzw7SLTF8bvFq7TxbGqUaCtulDCk0l GCINZGm5AqhkeayMEqLtxe72kh/iQqtCyNB6rvfNTv5Z5/3zEgPp6FrZJujC3+ljIa3m6GiudIk0 3c09ngZJoTCY7EhQnZYocHQysy8CAIAPDpWAE2KbNIgGamJVEpdgJPEzoWOYWY2wYJp875fYRz+B WmxlLh+0fMCyZyn7nPv58xL53De0m4sZPoxium+ul9KjNlenOmC5Z09zGZxzON+zp7m2wkvhUb+M 1MgTFw8Hv9cTLi1J21NeFEnXOZuA216VZ8/uF+XWqOoWzA5iq3biTvzsGlRMa0BhZH0dnn6fHQoR cjKIb4MGf78X10vFUet1Dtr6LpudlJw4AR1ob/vDjlXKE9HJzPrZaOUO3sxeIALkztkpVJ7i0YNf YeLiKKyiGrSNdkgvpUYLCyk8kdX5z9spbxP6A9sLRC+FRru42vfRswf1FzwqjIJmZDE4cFY2+o30 DES/kYIydRO7m469Ed7s16EWOY8UDU6ZgJx0SjSJXOeMl2kdaIHtB1qTQEleYsls4jGG24vg9vEM WkAg8woVUQYoptH+mvlrjga9Gdh45BxFoXOCM/SYJpF49lR13cRRrLd9tkApl+0WzRD1JuaS/8h6 /EcgFo4yNYUU5lJfjTaa81xfkEygg5xapGBy8mWD85lxz5Ss9Myum1ig/NEEtq18PDifDQ+hGYLM fN87+wy9x85G5z7HZfVG//bwB3Ahh0PM5B3sKHbeVUJTYrq1y3SrqY9cJlNrhIcJVFNUzkAohbwS 17unFkrjo+C5Mz6sgwSAOpwAkGGNx+o+SpmfN56bFsO4S4g3GJRo0vYz+o3mpkO6Qv93F9cqG3cN USzL4md0Lq3HH5zSXUjtRRPNsa6JZkFrAobAg9ouDYxrnEMbeCkxyPHz3Dss6WXfdxl+PkgA7+SD pV8ngkhSvAN0NAyh5/xaQxBLQx3JZ+g9hMbuIN0mDzcgqS54C0TwcgOSMp5yfjRHMM3YGc04G7zl AfhMQ4QHHvdEPkOuSeIcocNPbCSroFBR59v+dhCNYgM6+NpnLQs89XMyeZTMgjkSipq6hT9soAGF vP0yeyO46U+DqMtKRmuDhKs1Y/dorr4JfIcDIN4jaRz7JNwi+bPB60BngY29zQopoXpGdjLUQhuq kXte1NGg0c8bvU07RUzJSmlADgIdnThNigc0Zntjt/HxZmAaAwjmIridz1AYTPdJek33nn6rTrRp 66EjJUf9R7G0cMer1e6DX2eA98MriCXFxaybZSBI1kDJBYemO0TqrI5iaXTYYN9H4hYHHUVny6mG 2Wbenzdi2810NUMUcEV9PO87QVEwDXgx4EDGsRnknJJjo3ogy2TseWuComhaBfyZ1h08GdsLZzRq PfX8YLsyfZ8bovCDTBUquLKWWPhDfd44bVqnQ5rkxDz0i3lI0PoUcG2DIhnF0/2WyuhgxP8LyofP 0XtIbeKbq5hE+z5TVr2UWJuVEs/Ptb1UFsXUg0XETSaWBq8lDlAzaJsLenuD5RhOIngIg6L8A6iR m5Cox/EeU0vZocG4evugYhCuMysGQekmCqq9dF+MDXHWLq9ZTVAUVUOzHz7H5k93LobkEmUnEe/b pmjU4YCHMLBGMn8OGgTWGuywPodch0EChRNPBNlVTqSiVUdrhSbxXrmfettoimA4QS1yG3QSoWvC 26ES7RBF1oICP/cZzvriUeMbl81qHsRwu1kU2nxeMWu3YgYMtZUTw7haXrVN5nV81Epm/SyXhZ4J qqGzXML2mRfUun4QZ3s9GrWFzxuT7cZd6I9Zt8zBxmeG5AcClcH8eSOyWbzwfRCRIgbbbpugKKzG 8QUh0mXdn72u2Pa+xFEQ62yC3sNqOSgCt/AMnpq1DJTiZGqG8gDoQcDynRMVzSDwPOo9iFhUfOOw WW5WUeRHIwED4EfKPKjEw3wUeiRvHLb/us2wJaGF2gUN5RRFNWmQZxw2S5+WeS2zyQeQC4nEpBI/ 532KkvlpzeXDrdknFKCJ7N90nurUI0fZ1Apn5QGo0T/ijcBmIRFxs000P4jZGP8F7GA2y8aJGz9v /DWLrH2KGP0A2VBv4fWNvaZtNlg8q80B63CFdFDoKrd4lre5+b7R1yxeEfqDwmQcHiYNTqAsrONK 0FahrP05eRdM3SsRPQaVoRjJG3lNX3JuEqCTRvdB58fIjW21c7RkPv5Xkk88aCFk/c5RFFoDg3Nu n2YVotketLpYEExg1zIw0RtzzVJ8LiPhi+cXvvgkNnXRGhM9e5SqcdGe5VpxFACFC2av1V8L5Tff iGtfzTHAvH0QgA/y5ENhY5qfN9qartzb3SBFDZSuDnK6TVFYoR6oUK9qOf6QbAu8QaSLKt0fFLk0 RVGJuhNJxGPfBltEsI8CzoeHEUw9B4nHNFkmbyEDclolCkbu0OeNtXajd9TuBSjK+07R+VwhQU+U /nkjrd2F/mw0TE5r1Wuwb5w1O4sS58Vq+JaSmTJSshka1GHmDL0H1sq/EVG35MOtwp7/r0TxI1SJ Vu3StcPGgwPyWUSENFiDbOllg0Ij87ImtycOmiF4x+vgPqnz542vpr81exlf2jcT1bR0K7FvhDWb Ix5GfWfLYYuYxjRny7b/wOtbfmK/R9fnJ0b78IS4QPRrsFsf+L9C1SByjoECFXkGFo0n0Qft4SZo Zehto0kikXZzw0GM7Eem8tSlsjtt788bX83qYv07LmLevLxW9EZX007bk2JILkper8cGKnpekC1u IPHGVrNaMteRJFrWl0QLwLGQH2W9EfZKAh6Tp08Ty4wmr1XTBuHEb2w1K2XQJHJLx2b7cZ3pVsu/ EGy1N7KaPe5XGmcTlibtVkLemGp2Fk10orsLRyU/i2AHaiXrDs9i22nvoXXWWQQhpOyDhdYdqXCF hTkqyqMyPBzUnRFeD9pJDvagtfgbTc3WEB1sGB5psHh4UDSXQOLxeWOp3URLE8R0F9p4T6f+jaSm YArd1p6yRY6k6hhuv5phQoHgnEWObww1C63ZV6bgtoZnCZ2IhXpx9WSa48QwJEAgyYGROurWd5NN e9egVy+ausy1OCj3AO9Adsd55M8bP+1W1b/2GHIU6n1pgkKwh6Vnuf9ctT8/hzLF6aX2V26/PkZ7 wEq9UIVUg6YIujUAS6LrXM+pfR5Vrovbnr4YCBboIYmGvb1tNEXYXW3oOBqm4Xkiz7Gniqz9xG1v 5DTD/fgmk1kdjkY6w2mOoti61Sr8ebLOB1VB1PlIvCR4Ek3aemKfvbHTLIWmkaZ87Dj4PjsfvnUm niUFeoY5J0HBoLLAlB4k49DbRhka5ggAdB9sGTVqZPKvLZ83Ztp/HdU8dHAlgN9uUxTF1iiqn+zG FewGORtkFjeptBamsGhaAqv/xkuzfYZKbIJloA13gs4PNWcX6uP8ALVIs/yk911ONunmsJMCGm+0 NGt+466futQmsm3RozJ4XLJbO2v/88ZKs1/xe6dRFzfdDO2Nk3Z/F2jWZoOgK51t/GWu4lgbrqr5 RkjTD9RQBqG6uw02RW12Sv7iNjthwJy0Xj/7DJkAxNwehw0oBvNVo/xMWsi9+uBY2CSxpROFls8b F00RybqdIZWFwLKC2rHN0HtkrRtiTyE+WLJe3oCdFNLlLgOJwmYoKlknEPF2AtPChlsHmcAPEdxA CRax0+U9iECJ6CZrfDD3eCOi6cYkj6FOTdHsfpu1MtTQLKPXzxsPTYshfTfPiH9s3QPrNxaaoRvY +Fgme4igsQ3LYIWeVAYLKVJmsG80NKvDFJbzZSbmXBVU9U96AxsJhtYnNoacFvEf0IgBLzGTf2ms RqKF32hodrfwJBokNXIwFOz5kRnS1DX5jsE2Gzdo1CQNuHp5CvvGQrNqGp00zWV9D9phqX/Ws/dg wbm3+yyuWhObr8Lskkr7ZNUa2lZbFNkxYehIdCet1M4LVvyFw5N8mjt/3jhot7IFNW1lsgio1NA5 q2gzxj2X4wkb3zhoFjbeo4jQR2qfkj2jSYpCa7T59gl8psVFvfok1XGJxBViN5qksGydVK9m1YiD Jx9wX57QVkcrH7bydLhLkEOdEDBCunyVkHEoft4oaF8dtLxo2ULlJAGg4bBIUtYPMPqfNwrabS99 9fJhpQmmi01RFFtPi62vr695s2b0ukRxREVtWH72xj7T21MBeiX10dJlpENeMkGCYzP7ODcmADzA qp1dteCzXFBy8WIRyWdv3DP9nkpfJezXsveHUj3/sdxozp/9eaOe2TvWf240mEY1n6E35pmOI8oe aonLiKSma0SyHHgOUYspCfs36pld++gubk6ABu9V53PVN0LOAKoDe4gWf3B3mZSepdSoGYxT6f+N eqaDITE1W9RiO4OJjp+QNBcZZuQ6P2/MM9uqd44kVXdyuS7VFc5SiAlBm/FsZ4r3skM0KceOLhr6 fTqPEix7sI7emGfT8WJExFQfHkwaxEUXj2yUj4qO7BNy/0Bl5AfpjUdGzd71t8hoZJJgRrbtXMqC eg/Jnmedft54Z1aKuHBqVt/Op6TuseMb60xrd0EhI23zQRgUeiIhFvUFAc870Y1aRFHpurV3GSgs 0HPugAlBJT9U96lcfwKmH6QcNFm+6sdsM76Rzgw0Q9cRIJJs4Ayh15uIIYea8ueNcmZH0T/3Pu6Q UjyLfWOcKVqA8XFPZgm9C7sonKG6zQ7hzJCutHPrv9HNnugatmzbB++goVfG+hBgjRVKxwRerfO6 3DAMqV33mIyFN7qZzs0qKL4UIZMrQoLjRLtUpDHl88Y2m/+FqmZR9oRZoBDbDIV1680aWqq3nFbd kiU1tvFUTkOPX7jqsHJtwWNbPnimj0utoTaC4PH8kJOQCHKzekaMTdKC8ljCbT5vZDPlsWzBUvbc BgXYA66+Yv+29nnjmllr4OaxuPORI652D6KocI37EzgvVfQ3zISsVb0oMUTieUYrkBfaG9PMMkRe oo0HEQe/8/M5rpcOooH2xyBt4cSRaKpimh5cEXlJnzee2Veiv0SgWk6ggmUZCSzkLYzPG8vMcq3v DA2iHbUvD6/fSGZ6atO/znJ7ONn5jV8z8w/00MbFO7xxzGybMXtdWknrOo0AZXeC92L+dVnwG7iR so1Ods7dZbKpf2OY3UYqZLDkfTCuTT2sxlksanCJfCOY2THmuwyP0YCdDqiaoCi0BsAQLlre+ijZ LQ/Kat6oBr7SJigOrQm7Qm3CBgc71LOvKFwEpjloduLpwcEQ7o4/K1+f0UH85xu1zDIsqfeSJNwf +7oTQEhG7uTL8/PGLNOfmr+RaVyH65mgMKw+vwq6N8bF39UKaGeL0TGJxKkGiV0dQlFYzS7shlqr DzZB45w05wYj/vz86DnVLY8koBDRQ2MWKY/ICSgvviNgTslmDKVfGwx0deI1M6/LGY8HIeOsX2cQ 26/lwmVaGFSjUgPWhUP3oHrhST41uajhn2QSiSmKStbAVeD4GXfwDDYDNgZmINKzDDlaMmOBka+g XGVa/ZhxtnZZFFavpNSVkg4cLMtPFdRtLKIy8HjQys/3tsd/vAXX9lXU47h6s9thxbS+HOh4nuU2 k6JDtqusv0fVCDY291e/g51DFKNbk9sM5+mu4snAmIxaBaxnK6iWfn8PCYoqonX5aHZzwskn5RtC g56AtOLxCETsOT6l/vFh1BnVBEUhNaC4eymbx5FdXacA4nG2zc5B7W61PcKDoFB1Zob6fBqsZH3y ocaqk7T7mYJI72FR0EPYRmVmk4oXPSpZ1yyb2jR94ARNENmJSztZ9sTjf7GoCIvA16bb9uhhSA00 yMrOKp97OLRxAEuiqx4aAzqoe1Sv7tS4XCJyrn7LRAVi2IBPZEEbF9UST84BhbYFIGgmV8caQ8Ve NsZctXMFsYGWWI7l+Vowt4Qtj5O24PmApbi+T6IMB64+lmevPeQp4ihI+Zob7DSy4dKmTKEnQcR4 b9SsexRVm/VDMX2+Jx4ChxahQ6YaPbSx5ZiFLbVwOheg4a+Kg71tEBAR17g7icC8Ybg5zlVWCk/r frY0Ho+YnPtrGUnYdflp3SOuInRKdh+Gtjo3/40YoaxvARHb5Mw7eowG4fqRSDEHm6EFQ4Y1CSoa FcSGIrlQsAkAEgGi0e97Fht7VLNevMfmIqN8TvRtuTvgdzjkCnlOVDwf3Gej/rPRANZPXkfrUUyN p3b1ehBQ3h4ytmtO0yGrZ2so5CvSlW4PhjscfIYQklPdl84GuzXq0YEJ8jNgNZjBBfKjCOkPviTI 7knIy2YMKQVLbrR2thnrqchx8HywiG6xUfRGnPkPjLhHVWsQySELppx1n22dzefw3EnVrOnOD+37 LA6rqXdVFPGUq3ABtcazzWiEhv4icHs08IPSFi3FYKX1CGBOvWxUJFIhjQ5Q7TpAoRI15Zp5Lik8 /ifnQzLPaqT4FL0H1iDq03MtEzTELuwGu4ZiV6wQlv8wjeGK5IkdhdabJ/bZBsuHW0mb/RzKc8ly LUF+FCc2imiD30ZHX/OCrPa6v91ps/c7GGYmmR37CcImHo+or+srxUdLvpXhdf0eIa07NJSGWvkw z6FVEIsgvVA3LfEwGs2WUYQG6SZsoTst8zephOtDKG3Ly3cuWDc3FUHOR8HO4If9cIdao9uILwnC IuavY/NO46BldALtJrDCTgWPB8toelhEzgdkslp5rrQwuq6kn9HfcFF1VibHaH0UmRtgilh17Pyc oE0ty6dNRgMHO416PvN18hdW0ib4MehkwwF5wGBww1koea1xEA/SIzyIMtielKel5N2hE/0n6jqe VHni8WgReQ2E2Rzq0IDNeLVxRMH1lOBlsc5H5+3JEzvnegV3ZjFw2ohK1lNJPuIzG7yWNk6YmOhh Ukc7P8GmrlqnUijAzrzTyPpQvQrfEXWHeApJxIGDY4pGXuYglvF4EFz39RUY0TxqDo8dRxRcwzCD tDlpWvEsahYYIa9SVY1EDuOYhxVrAa6UonJwhCPCxfOx1vk4fxmpIQnSsvAaAIt6XhkwTC2+JJij /A8kBBmzmkMnE2AKdT4RkxTWrPfTZZTj6v7pOCNsmkLANTw0JB6oaaqpXcg1pe45TQMJiaYpqlsj TCdiZmpgwQFZSDqnE/QymYWc5DiRILdgmAqqBsXY7tWPHiS+I8CBciVNHUtw1VD4CDXcySwCX4TH /+x9/NAE8bzRRTyMEBQylMcaDnTKuU/4veHoNFJztdWiABvFDdRik/r5zJqGgqMJdcKRJcGLNavY AJTWE/eh03jvfawMfMdvkPSx2RzioAMknUSWW+XEcxuP/6XmQN055rG38zGi+BrrfXf1Xjv/5WdR 2ulGj9N1ZEYUXxOKf7YUbzYOnoHMhXpgEhn2HI+D/+UJIyG0A+DtfMRAINzCL/ntMBqZXL2BqJNF jfNjwmxYPMaS8fz/1D+D2B3EbXyOoggb/RhooQnnAJKqm9P3lOxcgku25SAjirBXVwZP6UoOPkco qqVtLL0TuQDvCcJwopsh+J6X18BfBd8RZLKsgjSEEDboSkMXjuExYng8/jduhvU3nNW3pjZCuPVk wyMbB43MWBf9giilTiJQopvNUQS4VmgNgqgPNkeQyex1CfKwwB6WpQF8IFpHIREtGsdbV71t1EAD QDqhm2mDbTT4/EpPtg88HrU+vB4iZBEq+8MDoxEVruVCO/et7PfilX0WNcSpBtNaGy2KrqUnswWC 3YuxbuaNhqpslnocOgSTccE5iTgvZ69MChfYPjsfj+/4LS6CSKIPKlyXyaqGkWFHGFw/4g4qLxF3 tTy8HmF4DVGrkUe2XJ9GUhYXTScQnQPK+MIjDq8p+pXaHbxkdC5LyA9SsTUtINun/NTgqnreld1U O603Y8cRhteN0s0UKFpbqjgiWdXa5Dt3Pn3hA4Js/zaIxEM7G7bUGxqF8TWs+c7tqVAaZ5GbrLdK K3H0YUGrs30W1q4ZEY7OihEH56GVs3Z61RxNiIUAsn++BxIhSP7gSuf1/S31nZDKWKURQilCqokL Rg6qpTqOoLzj+aggsr52GtDvHbUHTdEMa9eVmWzbVilKFLuVeNz1C0OBf24lIfN3db09tNcenOP5 gaGpmDtRfPSHqGzEIoDqWKuDYZG6aDQFmSEkRBJFmT5hK2c/sGEOoSZRag2PB1PUvmkNC3XMUXyn zSjARosYGkWGdyAP0fAOecqor+B/HMI7zJDNqOKs3K843CYRuJid1esTg0MPCguNlSJKO01OkGqz NOGZMZlRHnPmOFe8HHLOSnkzttoLHv/rMGJRDVZJyLttgqLQGtayG4BBq16TNamwSDYOJOZvzz9m zGVUESQ3H2ybARqbyTQEUPYcrDlRxQn4hAW8TgZ/zAmx1C6YcfWa9f0hN+zhS6ih/ld0AK+Kx6MZ ukuIYfggI9ZD6xmF1lDd3nWN9n86sYO/qIOKDOQ4w9o1ZS120SYrzyY7qwYo6ykBYhTnGVw28uuB vab4hRk5EZs2Y0CIJBqN/+GU4RNYAySIrzuLEo9HIpaXYcXc44QP/UJmZhRaV7kTuZrT+YFTcZHm VNflV6FUqCmKgmtZNUCf0QdbRRvgurxoNnsONEijYxYzYAQdsLRcr3h8htsfvyRSwKBsAU1iNVgx ZOXKllE7VxseDxqN7aYf7KNBVpfEL01SWL2eNCpMFhYhsLPY2i45WhGgYsr7bEaIELE9gWzwwe/8 cQK8tqQVe37vc2UVThGwvGCRIgfxsIhmjjOKrWtnZjYkXjA8bjwBLplaSNzHwONRRW1/3WZotnSQ amyCIkRIJyKk1+4NkGSan2enm24I/K9dumBGpWsV1JZOovV1EuEWhxmVXJ1bbaKDVubr4PKhU+6k an/XqBNLXOPWiU3zFtZBFvofgjfiLoslQq7MDOtpmMl5qfkz5DFCSrdTFoYzpHqIWkT2P5IsbmHj DBX32Bva0gjZj0ZILaggdDVAJtJOXIxnhiBv0BM76l/mVlvvGvTQJOTU6/ZB5bQKLXHh0Tcf/wt1 Jbcw9MrTXUIRJIT1RkQptsfmdjvnc/O4oCVcPG2Corh6EEa8Je6wv8QdgJg5MaeMCScDI/nMn4/q 5H7Q2tXK1oSjzyiubuxXtMYO0clfl+XqCQue2c46+TGe/19gRTTb6jf1mCGLsZNqbny8DXMUh+51 B6OfTbh8l4UCIZOoGRBSbXDoHvwxmnkzTBT2CRVlZ6ZRuD23C0ZnkoUviQEPHeoj3QfjxrQhXW60 xPB4FDJ+N2IBEAFQ3mZoRVE1CuInHjLZfIRG3s9P86o7nJAxaRGtCBEyWEBcEuDj4KCZczgkYImZ 4Dc0ubjLEHY3okGKt/ONlb9CRIjyV5g62aAJQs23C/VaKx6PhHhuQMTexyYz39fQCqvWVE0je4vb rFb3HppE6phEiKP1VwgJ0W1fZPNRxldqljKV5niVFTQsJOZ0rucOehI5M4YqmlvvGgmjUh0+0ZAh kxjAXZZ7zwRlQgmh4PlIZeZbm1DM9XsQrSiqxnkH0+hkfIY13WlgpDYcE5Kcb75iTAgbH1j5HPiT Ki+bK4ksCJmLMUTcp0ldRV8OQFUXb4SKGb4jKFfrANJdxsGwaRCKMJuvgcf/whArY4HBdEMT3qYo iqqB7CGpyuXzC5m+qqSpg0ZMCP7Jo2iFSOtuyo3DBz+tRyPWnWD9fA5+FSSLHbkop91qoyCgKy5Z ExKy6h0M4VjrbhIB3RWP/y8nUS40ZkpeSluhfjWPojY0GQCP+TIqzZtDXYIwnKGQxkhyIsu5Njid oQBuVUmLmfS+ILkoUUsEiPlM7W/dZ9T5wJcEtTTxqjRTENY17b0BxCrL18C04Pm/giLi9wCTXZc5 tKKwGnnnLtOUqzctgGyn0f2BU8RsQVMUK4QU1odS9eEpE80lcyioOZzjmqW0gcIQerIQrHLAQ6Em Pr7kN/2LPJh5cFB2hv4JaUQoJ+DxCHz1XQKZ4FalSz9bUVyNAxKSqOoH7X1dTxbKITZDozihYYVx ded9VpSblZubIWc95ysNEIH5HBNIEy4iSFfg8xo5ekOLKOtloxnCIiqi6pXsllWDsBNS9FJqeDzi 5XvYSKQB19Cto60ori6L+iDK67fMLJNxq4CzEDgEJkNLdbQVgq35lw/ARW3wKkgCbnZQWW6VtaEr RMfBs2Am+K2ZKaQhQubQ60Z4BzlZLxsEXEDjADrQPxR0qnj8fZ8BZ/sVWqNclZ6jKERbN/CFhbOC VnyebhrbXXym9A2PT8lZhyVrSfCo78Phohwzive0+FwA8wHEjTpRlZ8ezaitAws/Jb1sALfm1Kwk Q4bkvXwIXq0k8b1V8Xikhn6tGIrKbid4fC79KLRezD7WTlYnmsvrRFlOn5wilIM1RX+IWg9RrAi9 t6OonQ2UEX2hlDbQvSdMtW7JhqIIci80ApnxJb9xq6pgRfXCimo7Gc0y4cqKx4NFdJUL2CVZ0m63 GdpRaA2UCuAxjgdJj/jeWq4YD/UchUU7pDAK5ZCkS5yemv45p2HiBvLAiYJwTJF7nQcCR9BgqHFp 9qcsV+8otEaXDVYDJfugNTTAT+EfnQYfj1gx/yjqFwToV6lov0fW56lCnH7aLtm8+xUqUmVWAiEu frFjgRBe9dRv1OA3PmoFXf6CiIc353Iu2J3AP/yHsBO/ziiqv0O4deVfVWWYU90w5/yaSRHSOejG Z7+H1nIi/yIOoXs3LnFoh3DrQRsYeS0BFnJ+aZcqmsPTD1i+2z7bYXDNM2jVMn3wQhFIrzspKjr5 xpYoSCGbFV0OCDw4Yga30mdHwTVIKVAGacMH7Y2Te0jYpp6P/+xYIKR9n0ToNUM31Oco5DB2lvJH tXqsMgeZDxSKOEr5u5lW0Q7RIFIobGSec7ioInA9puqxQOlvFrM2mtQDB2aGibU3hnif7Si23jyK 4OHjg3bayQ3aFAam8R2DemxN37E1MXG3HLtDuHUFNG3con5rro1OhSK3Z3AT9B1TGE25oPvgRf18 IusJTWhUrEkxobVegTAskLx53w4s3TjxHb9JhJTW72AHLNC48kJvFY//pb0nNh6C0DkdMLND7T0s opVz8Rv/ep1acQSYqCKPKsxQDAYhao/i+Ro8bgRrZeNkBSA9w0PYXAf7T2fe/oiogDTPdw1CIh7W dbMOwsGADid6l73wOZLweCQsd5N8trbhIH6CmbuIIjQIqlzIYU2fELi04jYNfTzCcqP6eR3K7+ks SqZfkJ8GbDq59KrScJxgVhbZm6GbCluyReVvNal719tGPE9WiTYd4k3xAn/XuXxJuUSFjI9H+Vn6 iq3x21C626YoVAgpRINUszkd3ZRTgAapj4IjUk1E1vsPNEinvNx27hMsGc5yOXfYYtsDKrKyQEMJ 8oeY8o0usaCY1PrDdwRhI/mLq1EbjIMmCFlNFct+bjweJB/jO7CGeA87eDZBYc2auDSV8ivdFrVs 0KGubincQavVBIWB9ZJ2ClMPDr7NNnEO5FJDVSbrP6lwPQA4BG6HF3O1p941OIh40yMG8sHqsWvL FQu/Bx4PqiBX4bJRuQkSWaPfUtGOAmtc+edut+WySTMwodRWtp1EudxNFkFBpljUNJ7W4H2PBjMz GnVXpq+NPST2XsFy+Fn1SfFZkd2hOAg5MW1SP4WD4uoCCJ3MlUrD45FmswNBCLyE0x4KuZogw928 feuUxu4YXkyrrVoGCwbCj6mDQNxDwt8pjK1ZTet7Dh80SZlZ/qaOG/zIV0Y1A4LpZ2UByoeo5sEU rW0vHJA9aXc2pjxCyG+VtylqRLxEcffyAyIQqJ9GlNujuS+OWZ+pUIMvwRF+0y4YyqmFrBhqtlHc n7AiSD34PEUR9qAiwUhK1tJ18D77vu4TsbDqSJHTTGOdDvfEBRCdxJtNjYcRNr4lQjwsdYeaD1wC cOnJgsqumfl8kIbcohozbVDaOgzTfJreo2w9t5t3FU/0khwJOqmqw6LRFBst6YMCwHVToi/Sa33M 4eBmCnoaq0ZI0hfVZmhZMMna5s/pxp3ZXvc32AOk/Ej67WjJ/xBzDe4K8bIT5qYpCrRHvoUj6V9h Jc1nOYVV7A2qcXUk38atY62Q6hiITpNkm6fQgpHXeq8MuHu94k5QcO6DWMATC1AcjPiZCkLpolpH Gk8gWba9blSj3WLGdh8s1D77WjccGvtU93s/wftty+JBQAta+9p1UbSdSEU/wZiBi06AQ3zoZipk DTYoVDu9MafQRmazlt0YMHGwiToTM2GNnGlwAa2QpgLSuYgnTsYMGokVkHj481uCpog4aZP1fg6G gKgkleMvhn1DCkEiV9KgqOJ/zrS597Pxwnp2A+E5bx1F4NpXI/CtUoRdQzWUnSP6foUF7S46cb2D X3aZtsJs0C5k/hTGQMZbf9D+R+q2bikybXvd30oAE9VgG3TdVbqEs9KWNp9/n6fHFFYC2ed42hfV J5m11+MJ6oV12GbbVIITONQkRRZ153DYImjKKYq75c0IxpAPvu3OEdBwQpF9BRsx8onhSQc+3Dme wFiz42nj5uC3/Cb11EV07A/RcQIEzH/O3fl8RAa9qwmH00QZ/CZwcu15712iyAZmCO86QZo5SwnR v8igDXIStufCsvbiXSc8xHjwELi+UO2hKcBOFTSRRYtGoGk2S8ZOCsGU2ttGrGtan05KZeS5jXWN Uq0c1vt5iM9HjUgPnSROC6TzY0yUwvh7bSJFDAC5H3W+c3S4JC+kJ9xoL3RqVFGyrzt4AA6zPdh9 IgCvJ3FbRNUUSFygCf1DhX6LB2TAnH63lQGP2wdbSSdbF0b0hOV8PthvtyyJ+UBbEg3AO0mxPh9Z f2StqKK0pks+yU5JhUmowtk0hdXtrUig3cGrbueeTAtimVhLsFek3SfMBiChfj4PpLFbdvMXDmpK LNvOQswxB81Tozk2UzmGl6G5zDVxEmcViQ8CXZuo0LgR3fATz2YrK7VyDZml16HCG2Q/zJQwRI8w bgK53gdfTRA9K5MYrb7QtyMiu3X0PFgvpFa/OVvSsDoHxo04ftUhKXcw0iNgclxOJ+Tg85HUwXcJ F/ArKgn4LEVBuCgizdYNHKS8+JZJyRNLvQ633Qu8GwHllBB/rj44MRQmuLu6ds9Jr0nlh0YNxaFQ Rr0qdPQGybF3I+HGFGexQb/++VGmGkSrVD4fxOC3V9J8KaEK4pMUhuCQEFt9mb7RYszAwsA5jkzD +KRkwI7wjgvtGxcL3EAt+OCRwDy3HNTPiDvGvUR8REcnv+LyHBD2sUkqzd72t35SS4qb0jTjqg18 09LpXQefj5oBnqiocYBd/iS+gYGjzBKuNK8Ee+f2CpwsHKFx1La7qOTQw1EizwWUWBusPp+Bxs/q b3eQaBQ0LPg4YA6Brr3CGWxv58DDUbqYoGKt4YPANufKbPJwXLny+QgC8A3yp1HzuBo+OXRxXJOo P5slF8iSEyiFa7CW0sz3WArZj4aMLN2Hi7UplEyaJIogm6C9A6mr6qFTPFRpinSOcmjjuFipXKjJ c2h3MW1KnQL/tfl81MC9ft8s/m4Bye40RaLYkj0geZrFStmESQyKhd0iCHL2LRcG35TB2uCY2uDn EtQ3TphMiREIHWfD/hHIBy2qmi8kSbFA6OSYlZxUAbfqBW4BQy15zAUXvtDKMT2KWXgO4gFlP5MU UiARMA26VSn2NjYWNZ9NIbvPnt2pMPRyxE+JOZjVB8/kGJ+iEABm1rm0iUqac9PVFQj5Svsr0fpV aQrdHGX9XcWqqZdVA/JQr6p6l8Xno9P7prykrIMC/+j15sDQEVQKIkmukjHsYbbj28Z2wd6Kbr9N VOQ6g6oN1I4YhXPwHlPaUGFpxExUINtmVRdOFSeiqDwUqNXe97cDfENw1AbdcgAZiNw47SUjNZbv k6kBsJmu3UMOPB0zmW+7jWsMmq6X2qrjGoMifbdJijHbLAhkSl/PbC0gnEx5pXOl0X8CAspDFk8Q ZftZWAL0/XF4Upr2upEUPanri2mvzAOZpJylX1T9WK3w+SBJGZ7JCRgJJBjvEZumELZNyK3alajH 5eEOsydJTQ5KRgpv0xSG341rqbJbwOFC2xtk0uQPeh7EemJZgNZzC55q/XbjVrK3jSRXs3RGlg8W Vo4qH46WZ+PzQZZyhdgyVR01rEcGIYf+jiOdpLNNl9E6f1K+aLd8ORIgXynnDQ0exWGfm63/ua/C cQGatyF0ou8cyHlk4SY0DQezm37Lu0K75dDhcU6hcLJQONmkItpZ+kVSEWVlPh9J+n1Ta4sJlt1J iovgOJsS+aP/oSdNp04UkQnIUzLTOaT6Pk0xhpv1paaQyHvT7H/DnkGlAfhWrUpsXEF1vxMI2S5T Ysn4OrR5lHt6XeMOBlbaZdqpXCafD8qWdz3x5h+MwG/WG/s8DhYELgVZOmzsqextAMExUzfiXw6M HrGWuOkyhcc4eBGcVEmp+AJSN9kSQx7MthziF1SgVIujJw6/JVKKIuqtEWsE0s6PKI1IFyqP8HNX b35A1Hzy1cSlBxeKPp4tF6NN0pd1OkAuduVtqM1clPLIPk0hkFt7jUqsGjwgAO8cUataKie6gNoN RMe4juH4QMyX33Tb3jcCCwivxOiy1+b6LAPGlPQjLrnz+Yh44xEBi6oyCX96BaHfI6jze203EFvL jK8ZsRXPevP2Ekpg+AjYAaNv/EA2eEIHeT+qrANRAZKbiKQwoW5gzOTU1m2Gz2WvG9Ek1VPZOqJa /jF5R+DpKIsFACI/ICK1f7tiNsIprqxvDj0fIZUOwdGLNDUWRUZZ3ECCo5L9pGmKgSc8k0q+gx9N cMyai1fdhMO8YE7wt2O1/2eMx5om+dtGxQHqRUE2xwZddQDDcgGjm8zn/1pM0rADpvsxnA9tH5GO wNLQPGizdIpVkBN+mMgTyKv6NIVSf71I+Hj7YNMEDSRJQYIyt8ZgtQUIbzquNMD7XBKJ9kH8kgha UZDwgqVmg+px6VyzIv/3uvn8Xx4+ZZjFBiwknjM8qoGzQTeGmYVCFHw7/oRyH8KftOnl3cD60aXs NktNGnya8EnnsiMABSA5nuEnFi8QYQdSkzVrqe9km6cQgsKc7iyD7YMBmTbtUkmbnHw+CAjGd04H Wn9rV7Ilh+6PHRq20KO3xLfdxBdCgw5CQUtJiW9g/4g+KuFwKL/a4EcTcbMrUV/rhE3Q6cHRhKSo gbzUIB9l4UBd9rbBRUdbwyL7x+L2j/SEtZhn8wAPcSjPJMldBMGwNQb5xe9RuAQfQXdrtpicJEgz AVtMg5g8W0wxe5KoAbgf23Chp+foQ+2SbacBkYoi0T8IiKJpw7Npqiteu71uBIMnw7RT3YaDFhOO vCHFjZr5fFRs+taORHMd4ajPUugDSaWOVK7YOJIJbxaYUy2cs86vaNDBHFtBbu620aYPzhaAGHvu qoIDW1EIMOjQ76rqITxMZQK9c+gFicP5hM2TcRMHw8XBXJr1XRbBAzPI7yCcSBRKvT49lcANsvy/ E3OTZ+pQ79K9JtfHdmQcSqk+SVEIvopEE/r24ZYHoCe58jC1LWDjUWxCKQC3noUDzufu9roRdICF gUWULgfbdKiq85pipzf0gxz7W7WN1XD4dvoshXBvtgr4dZyleXknDVMjygDEsXyWQrQ3Oykji1WR v1kVJxIinQvBZTlH+2b1BHCwBWEXuIJe9BcBcjk0hDShfym1VxRAVCDC/pPB+zipBz/gf9Ilgw/C LNeILYemkHhRAjmtpbK7R01jXzPxgUNWQXjsCsn+Zd9qBexny7EM3jeLTRuK+LvIb/2cC6yjZMRs ZuBrHfHAFlL8fjQwWZwjPVAK/SesmMTQ8EfiB0Sb7tszovFAvOSBHBtDdiJ2mx/cQMab/Hhq1Z1Z gNj1SkrgDYmJYoEg1eSDA+VSYS2GyG91VVi+BGJnVigmpDQvNj4ve+FfAWCCpjbUrJRfQEuS+NAf mCHxAyLIzvX4IRAKUKme23OMR3E4tNR3b0lswZMoXcQOBI4didKLCd3l0CJyWEluTx9uz+AcQHMm KnDBpFNcrwk1qoW6LvVYnK6Tur1udNlRVwrYLxsUYe4L3t0opQQukd/264ogEPU+Dd/QJhL13XPT 2a22Zx9ev2yMclW/lFJy0gcFjlokoM4mxk57GDvwhQTqi7imSqfRycKccM6LMFqXLVnd3jbSlZR1 xJ4+WFscTGYicM4tz+eDbOWx1MKEMFqtD8gi8IlEO34ip9s6kzYtrQWTWz07TK73tnyWfo/Ch0Bg HByvg6wHpxHRXydSVSWlg8m7gHMoVHrTplt72esGm44VgiJrtnKt2VBuorLRT82r8/m/Aky1hyHF 9dQuQ6NIiJOd5WqS7edf3VW3F2tLJDbVmrx2GTtFLiN6Nx+c/bWgLlUp4ro65PyaOivn92HRKRME bcXL3ux1o4iA5TilLBxsMc1Mrhr24eLzQXh5WRd4v5xJim/zTlNYC1/iNC1WAPhPZG0UcE+4n4qq l4DSCf4V+kUK2MSKlQ2aqAQV1LqAxqmoLTZxmic1NAEMgxDlTDcq6MveOIoKSJSTVmm/WqV9gj5G +dGErC6wjAQa8Ru1g8OmjWtYn0PPSPTUwdmtltUxtFXXN/N/RFYHsWyLnQLLSMlZjJ1R6rHBWuMg XM5Benc9CWrvtuRQn4PG+w9ow+6qSW58Diwj7wGexd3Nrql0gkSrvgtBEHhGpu/WCg9wEvZvRBBa RlJUaZTirXH65rEqBx02r8oVNwDKoWfk4gG+J1O7PaevJdpunNCMBzg8bFGxJGKHYvxwrAQWRSnd UNwUmEZmm6TSqXXPQQwMYIYJDj9foeeDW+4fFcUKIYz+4C1D20ioheJkMhOgleplYOyynIExi0fh gW+kR5fLSnPrtgvaOUx3X7Qcw796zYI14bJriSvoAX8lf9sIScggXKRLQFzMniSfpIf9oJ8Tkix+ QNCla/9Q5EFSGFexNAfWkXBDTuSApZv47pqexNeigdmF2eE8xaVwIppSu4PFTGdqIHA8qQ7ckdQz r+nM6UA66O1qBU6FTIF7ZLLW+N5sjXOwxBdu3fy+DGBTaB/5gOQ4TQM6b/k5liIwOI3bIPapYGDw 5jBuQXeBJWZeCgZ+sY9kSifmEwe75hJkjxLpKDDAzIMH/CRJCWEG+onXpARNLn1LFIFjllYno4eD cwuXrGyxqfn8Xw4cQjEBfAY/ep+mmH85gQbpFlki5PBp6ts7440QdkYDgYfklTYZ5jv2UDAKGM1F DoALYqVsdk4WuCbaA+CwPmiUba8bEJ8qa/yTErgJJQHpR6ELQYNweAg3fsD7+b3299E0iCXsz/kd BuCdItPZAAR9J9dSpg6vyQYmUEc1TTEFk1znvbIPPk0nuQVSm0UlyPlSnnNOEM6AYD0HC6pOtuco +pYDL8lsBYKyVMJcy6HgZwNaxDOrno+gTfkrtMxAac75oHZCO0mKMCfB2pwhtgyOYtqmEC6vvJ81 UREcpQrcBKSSDQ5uOkfApCQlek9nNy/6BtZBYXfo5bTHp0yMnsBS0rtPQ+Wm4eUm8HnSVgdgg9AT eEp+gy4JbgLGYjKv4Tx9XkOmjM0+f2QPYXJCs/24nNC2QgG8jK2X+RowZegpi60q5GV/DEvOTmgQ LGcRpeJayGKFowy+ULdc4yE9IWB6DZcy8DZk0I2RfdDfBK1dabu3hadfpue84DaJk2oRJexQKbZE kFAwOTC1hTrFUPZ/4hjV3fi1hIjLUPKKcr+GShknEpdO6sUHu906TCbmlljwyfibPEnPD4LpgfwR fX/loWBY+df5STwXEGxTA4aD5udcDJMcL8BcPq9xUgbeZtj8qLN0/s62CLTEBL2GSTkT9rcF1lZi Ip8ALJ+tPgoMFM7dZmim1ygpWyi5UXDzwZbPrBu0LVm0n0SwMn9bC8iEAsRZ2RfWPIn9fo2RMhpF VDLbFgKYxGuGmGnvZvrbPq8BEibXaKqSZ8JNVZkrcXZew6OsvsCe7ICxlJst8z/piHtJU9HdigCv oVGWcgPOnT59sMWz0Ig7mdqUeik+lccPxIM6uhdjpzs5qF98XgOjjMAGiwZHGQfkdfqjYBCjXOSc SJ/XuCg/OtMmXpV4ZiSbndeoCJtrgtJU9rYC7ppOlO/CnlKQonrb+zUmwi9Dl2OobPtgt9g8IXWb sGk7ETEol5sgwYG/lYLmpT/2JJun5GtElKW5xuwj+6A77KQ4XHTIKdbnNR7C5Brlyyi/cFnt7CRz el6joQyG8/ix6Is0nfSgAiT5RlRAcfDEayyUAbhKwiltH27jrZ7cCPJjJ2usvO7BiiNsZfGaorek oBNkcn9eIyH8kj0x76C9DQdNzyhUScF+WeXzGgZlFYHs5OFMzh9IgtvsvAZBWdASYN/XzTwoo6Ky 9lhGY5plL6v/vwZBWQRPcOO1x3K9IfVCD510cOhQYGss6dzTAwDsSgCQPYuVW0K0uwiZgAit+m0n 1+KFXjOg19xdvfbPawSUH3B3/aHpMv8vqGE2Ra8BEM7mxjzfdtUe66pzVfKeZEuSrjZwdDRLs7RL DK8/V1cdIJcwma4b/chkdm0wIIXYa2Yj3oJp0r5fYx/8CCysbe2v/ewvnPhyrD/R0+c18uEb+tUl SuWCYYyfza+lR8xOQSZWma6yfXQZOaV1l8MZ9FimdllwNidi/OaUXtC8ekH1LMK0QPslCBelOUK6 geH6IdC3gAPkQLdGdbdodjZDJcLeNaiY1uBuviwB/LwWHfODcTsRKZva6Dg8++u15Igly9OnNLu6 el0+PVu5mOof1QhLr+XG7Akr4hof/HDeC+q2jYj3BRV02SGiWzRRfSssoxq+jcZIr7XG7IK3/80K OF+xloibrBC9Vhpx+qx7sQvGjYJC8dXzTnvLavGQe9OchlPmcBpO60adOPMzvOUfRc5QakMXu2Uf /HavyE8H9QKQKsHOFjk9wNuTBdKyLsGEqrKfd9pbVn0egtKt+6AldK4A9cNaGaCaRjts+v3O3GcQ wpL9AHpnvWWJee2ZTWUbNdjrI7Gvtlu6TtrvpDesoplfQRFAImXUrnUEnSAb3qEwij4Hz6IoAN3k 1KEla/PzTnrLTgegkaANdsef8LXJx6fy8SB+Lt8B4oLFMO4+m6H3+Bn4GlmQ3GZ/Sx4DlT0cEpEv FzeKn6G09y2bfI+hBQx5koIi9NGodY8mGkpgi8fuvOmFMvkogO5N4BrGQhwE/Dt7VupE58wDoi2Y oDT9ms9Swz/HIcsImqEohm4gBc4ybYYGxQh0izliEnb1IzkcIjiohYZgPGXDxUaWRo1+nkQ0CsNZ fi4veBKB1gdu0b3Gkl42SMEyu42pbB88RW3SbARg9fPOdcNZNP0iI+ULcurpWUPvcTTOWCEjpyHY Um2uA3zO194cGUlPUxVhozlChLMrNcM1WBYGMPI57DJXEVS8K7FsA/kxsFU/k7QbanJBSIJvG131 NPtRLDSJXvIm2lme7LHmhKpZFEzXGy3SH41gZLanNEtRON3n/FGvxUC2xZ0SxjRE0rnQ4PyhGux7 PJ2VbuyUyx1u06OgHyCMLTTcszU9IF6LJbTnhR6xr/B557lhqySe1jjTbRDyqKeu+hlN2t5pbllC njYfnCFifVv3GQpD6gbIf57JG/vN7UgoAemNfY8X31lu2Zy0N9a8D34WwU0+qy2EVnbfbP23wr9z 6tR0u0gd1lFE3diCHZW0SQ46iwA84EaBTMPnneKGRdTXd8QImVTC6TVBUUA9CYYUP3kQPepMm1Wm l8o60NyaoCiippPEWqiu2OBHUYfdpZqLG79xZdyUoRlELHKmdpgYCqjJ8kveZwgFi7PBMtEhHDRD tDDnYbvBlYxi6r7ujf9D0QbYjnrK+s5tY5GtoGtWDPVw8qniFfxsziQnZ9USQrUsiqoBVB47A6Rr g22yck4xKLSzGQTQkbG7ABwAOeYH8aO7kajN8R5Vu7AEb1wbVA86cfUwL3YI3kRhteOzq5VbERq1 e5tFYTVbMeeXnFcWKFfnSCankRTQ3pyHFEfW7FAvTdF6pmicGQZFTqY/AE/IthaKUh2yRMAo39r9 1NsGU4QGF0TWug86h2qaNLZGrrU+76S2/Ogn2hpCwkqXFFUUo8gavyBYkXYMjf2kras2L5p1d9N8 p7PlC1/Pu/jgDUUIOG1cXRS6AQcJxxBwbCDCtB/IyHpFGl/+eWezYRHUIb528kGbDDYm0pQ+od3n ncyWH+07HUMIqPu+UfU7lw3lgERPtm52LRR00wRB79vO6Q5aoCboParOokEs0PB9cJTaiXgAE6wU 4OrAh6KZOKEGj9lCbnb9Wqjc+XknsrH2Rf+QpQFMav3wI8GchPr20CV5J7L9c5excgXyWy83uX8n smXzRZrbQWpg4/ltv6yiBty6A4veeWy4iWkgsSbtJDh4en/+FJjds3p27usqOWDKucufghxGA6nR R+Kdxpa9Kw06HAZqOA2d1bCBE+ysrM87i43lpfa1zwoJiM3DxncSG/bZlh1JMr2WE/naJIG37f5j 6I9ZI/GdxcZwRYa1JPtx8ObGOZ1TZyKPk2JR3xMCZT3jrqdK6bxoGYqSvHPYuJAaDqFFLxzpB/MP A/efdiJn2fLxqEI9vo5ryPh1SsdrjqLQGnwDLCNHrLsdElAgw4PG1F3g/p2/xhxfN76KsOspwp7v byCtZXkAnK3cEFhnMDYH5jVT51VFWFkjvdPXslwb6TNafRCGbyYKOCBwSPPzTl5jwHBniHVYpD+E VmiKwjJ1p8VGsrh6dDeKXuj2Od1obaviv3PX/D47UyNrpH6tkSq0Kc+1lbjTTigw0FcCY7SCzb7p DYM6rFQ48QN93rlrDN4lcU8yOwdNUYcVjIqHc33eqWsWlz+BNehJDbquNkPvcTXOIkLRmmn/ED7q els3x4fgms3Qe1yN/DszoM7DBy/E9nUuZLi70iXhXAIkIyVgxBeMpDIQb7dJtvSy72eRDFv6JuqK g2ZoZBp0n3+eT/y8s9b4t6bvbYZiGmwfbYbCwFp2mjsnz2C5ei2DLcUz2AFbMN5p76F1ploMwiH1 EdfTR+wbZtydlaJ50vzZhpR/4NXYybW/9lFonfM7gikihX20pBQEoSo3x/lXIZHrXGl7f94Za1ls XM0RK//MmpdnZ++ENTuuz3tv22dTXD7akfSUvSALY0XN0HtojWIyxclRO7HBZqidEABwRjKzN/Rf loRa0CrPBECj36Fa2iCe+J2vlrObR5kXgBQNmJ4BncTDFny1d7oaa7J+ofH18BvR0MimKAqu2zmn 95awXb2akrQjSdcDYF8PgHeuGjYaQEFQe84+OPjzXF3InqRlg4ovU/wJl5sGkiiU1hzu0e1do30m 5u1MPlg8vFDX+mE8OT7vRDUEnoaP1UmErh7My7wdHYXWDaF1L9NaioV9byGHltdjz7U4LMN/J6ll 85HYpVLnnoPnHmcRnf+etPVZweIoQmLxTprQuR13lyFp/7xz1LIsaXACCUi8LXw/kWiegk/UPPLn naL2T9eDGxI5Cs4vm6AotEbCA6m/7ap/J/V2O5KSrVNdgCe3ruI7RS0bsx9el90HTRG6rFDox41+ LoJz0E0dWXjFgk0OVBZMvNmzL3rbYIqIrBqZFWsO2mUAanPL1X7Ctnd+Gv7YdENrxY0kQ1Sfoyi0 RncMCPSarPORlgeLIO06Nna2bb2hd4Ia9kBmlg+lBhtsn4HaAPMpohkHNUdIgig8CHS5PWDGobcN MrRGBy04fdqgFPYsqFGMl1c+7+Q0Nv6/lxFQ94X1K01RWLPeNENsdhAhntF6glTEA0PfJmT3zkxj CquDCC07DTZBDWLuFSEFbrNyUmbT5i74MdEloKKxcthJFY13Yhob4ISfL/U9iAfgdZZ5G1A0M+Xy eeelsRDSvq78itJ3Sn7lv9PS2LDIt/2KUzt59XoBRWdT1CDrpDUUlazBpkZPAMrHGnwNnTAR9uqI rGH+ASgIlhn2VEbD5bHaAFyUrxqlZ5JEFiFt2o0Ja+VZho7fUT7vdDTG1fXrqCbN6inqv3PReD/Q MnsZOAaezZZ5LFrucY9BXM3mJypXQ5cQkRBqQBq8CjImBOe5hDrwL5mqEROlKdSPftBV964HE493 Ipq1DWCkWZcPuoCg6yDqz0nSPu88NEI58tcCQse9QVXHJiiKqslTTYU4LgFA+Mcxfe2jXM41XCmY vr7z0PJjOcqVxMGLjQmdxCpu9TrnK71/F5Lmk0Gi9Q1pSac1Ei38zkOz/BO1fPVh57jyrBtKFvi+ NfmOQQN2XHQe52hANfqeQ1FcjYrSJoZBlaJmppFQML4WdrM1ixnfOWjZyPtQG90ayKqUhFYGF3rw vocxn5Rs6Ap5cq2KP7B6gk/uxuedgsZqIQvWld38yUOs68w9VyYzZkDlPu8UNDbPytcyWuCqivTJ KYrCarr8dWGHEBIp2yBET0B0TNFZCR4ShRVrou3oSm7DLccuJl0kW0MOkfJiK8OEdqIPhPbKFUNG 0e/zzj8jlov8jrw0wAKAP/054k/+S2rszHw+yjzy1yqC7zE5NDZFUVhtQVFyuSOWAN0fepvC2EhQ ctEcRSXrmdW/H9UHO4sAM8xnG7IiC/kpET7h+fADxh40sfutE5F69s48y9Khh8gRO7EcDAVLkW4e Rmt/3oln9o5fqQeMqRtCeYMyhpH1ZrS4nLGQCsNbGWq2oi4IvH1nloz9O/Msm6sNErM7+CSdw5hY akkfwpaFgRAKUZM2egho3Gacav/vzDMkk4kEmDu4gU9OixLzUJqZn3fiWRZR2taR5ETKEtzdZinE g5RN9gtFVaAHhWsLMeSCioxYjJ2KchUL6Z14xh4ya/p138Hm6GyCgcxiSemfSPQfAbF+psiB+4ZF rehdo1uf7aEqeZp6uZ5nORJS9wNR08877Sw/4g9VTCxcEi3dLP+ddsZ1lG5tnya2IP2TEHuWrVHQ Ef1VW0VR3bqRsbAqa/scnNoBjt/cqhWhzsgg/JydiMfQ0IQi9ZVAZovxnXXGVjwVRtGItIFTBJW3 lk35mY9Hp9E/iHxEIqXeGXqPrUGsBudFwgXL+AqaocYSHu1senUP7Xe+2bPPoElig2MdTrhzDlQ4 Z9Rz5Z/lSE5DQhVjYrMxoHb1YzIW3vlmLGzxMOrsfnDQDOUqczkkMeXzTjf7B1ZN7RrEoYiIbYbC orUpPuRlSWyZ06tpMFbxahpwyEJWR2VrcfIgM+SD14oSwl+qnaL4uPBDo5qGw7dn0s2wipTFLjpq vLPNLi4fyhs+KLwGSJE4Juh1f97JZkT/la9VxB7Kap5+vFPNsgkdTsZ68mV9aAuU0mB/qKDvxSvt nWnmrY9z3yuTLfe0PslGg0gCdZhRNTpnE+V6MKUdcmVfoCI6e37eeWZfxAVhZPdyNfYEjwU5P+zx eWeZsUf5DzYfdfL+HEQhGATg/NEveLg3l1+t8v4hyQxSgpqgMLiWxrgiyEvfhUpb68DbSLlvpgYJ VmJE9eOBnnN3mazq3xlmDOB5RjeyOjlYglZQA+TZ0urnnWDGwNNvMzxIG3aqcGiCotgaXa096DPL vofbjWfoc1UPHMHn0wTFsTUixgp5WxtsBZ3dcxJFCsRV0IK6HG4XKDcVjBtSh+0YIvrznVqWr9/o mtMHTdD5E2cVJQF3WRRZS3DQjyGY2Dbm1ZqgMLLeZ4I2/fWY4V8rjb32sgwfCnt+CIUI69I9b7fh HkKAOmQ5sqaO8r6URHC5QYy9kcvZVElLetfgsk/M7feuPqhKBH1gHtrwx8PjQdD40F94qCO6hMW6 zVAYWKN6eMLqNfyglhZ0p7GvVY/KWfzZgHstqljbHP3f7COfyAeflcQQ6kwBKcBG4h18miBQZwba 2mZRZI0NitwVlkUadA61VVggQGlu4PG/Ovk4c1TXvRyhHgXWUFV3KFrlhrPJ2jDu8lIa8VxkwbyH 1Up9BjqSywc7h7BuCuCsrOmfjMb0fdm7KDyoL4VTKv49JChSOHQJecXBVtG5F2Uzf9YrHg9oHvv7 oAaqs1FFUxMUxdQQVoeGgwkVjO4idGu15rXGQSNKTlCEBhl0gaiIGmywgnU7v/sqxFZVsDtypv4T bd/hgyxco3KzScWLHhWsqwLGxYojB04QiFqaIHi94PEgYLw3WWGyqji/elW//1axhkqw3WWrumUd KrNun81SIY/qHtWrb6Fo+OAwdEwSVJdE4oSCOFMzEPfOt0HNOz88YGSD+JKoUESNx6wWdSK7kTHj Sc6UwI5zxeH5gKh4gXuUEUeq1EkD1BzFVEWSGGoSSg8Of9VwaUifLH+l2y8VZnoUVwNSRh5D9eFO 0gayiLWis2BXV5I/y1mwC1XVQrt4F3IoetsofzVkbNNgsU0+J5B82NHKxOP/E5mT2OXlN36P+Iqw HtwkDQv+KcznkoePEfLOATuWMo8eRtXcaVDU9sFLRSzqU1cBMeO52TiJDArIT8qFmBld+aw39qho LeVwpEccUD7h9jhHWqdZ088484/ngyttfhccIW4wCCnUFMVhdQOls/kUERFnEOJm9JgOrqEtol9J i+ci4xRxuFPUoH1InYvzZXMXYffgXDkKZTiJl9FphNgGXxJc+o1szsnkDCXqHxZiC0w9hH1HIQrP RzvtpvjEZJ8jthF8pTkKC9egTC/d6QmzxRo+Em9sNfOom4hbNEcxzJo9MRXVOFz43oC+IwLHE7Vs 6F7S/gFpBogfyD+/RDCnXjbYaJld2Codp+o6TsxvGEbCHwiPByf2SF+R46QJZ73E1/fQ2o1EMs0B rAtbXe6qFkmmIZFBAZOFoh4F13up4piyD15MA66B+R8qjnlR2gKkj7NkJhOCVHyrFdbK8SXBHHEd neu2+GCgIqCiuDJqnng8or9+X/uVEknzntcR0pqraBTGRfDQEQYNQT1lPFUoGp1wkM7P+aXFuPZm 8nWWJYNdRo4JOlnQLsQMoaDD0n4C8n5lADTKvMc1rz58SRQYSalwZh9UBkk1dXXAzvfj8WAV7e+z KEMb6JzzXm3sYXjdJh2NrFI0BEunbc80kQuqzyeboqhsvYscoMYdvD90zp8zf2xTbyBuSdCDVNRZ 65SOVg4rhnC3lw1yWNb0+xDqAYM22kkBm5BlCxstZDHeHBZ/D60LCWb2YtGI4mtEbHh3i416M2va c6mJ6EDNHZpwIXwcsf4HUQwoldvghRDsJOTEhKPnBq6WVDExLeCrYRPKTICiCPiO30QK+tBh5DTA AgmxKqfxE8Ph8SC+Hj5HTFZw7OOWswmK4msgIoBxSIZCT1nazoiMSjbrzDL2dsfjEVatmemnbPSY 6/5U4bZbYNVEoicCSV5qMIGg48AJH6nHKykwVKrwJREZFiH2KFWYEDracCGd2zuTJjOQyo6wbr2+ IY5AEHYcIjZJIdx6dE2S9RfPD9n7jbH7tkma0PLRJEWF64wcHibAGojMRWH45HgZqFD20c5OPvEE K0uJNkeguuPn9YsfrWx8R4AClcuaytdLAsqYIkw9r20Iv+PxqF70fWCTYFov4GGEmJCFiiOBQMTv 1e4oUG5T88lEdU8bLYqvbaOBrMWh2ATxL8knIibv4xwgJ3eUXG+j+xB0cMu99XGW4zt+A6SvJEhI 2rfiCHYVlXbOoYbHg8Oo1q9bfzCRvVjrEYXXEHY6u/qeRKNuP4noZWuxYzElmRGF15O8M0hY+uCI h37ugD1MHHyC50mCHi7gBmH7PB89EFar8CXRUcSS41DJcSC73iypnWOpsU4+csl4/q81xNtr0Gjq HtZheJ2whgiU/w/ZjATMLl7607St4K+clYGMKLxexFpvoao4OEMPujj4BlJjSDvXFEEpvlPU6pIa Nkn5I6paT1qLdLDbbNCFdrJ+iLKTlT/x+F/9M74amVXPYR3F1mgYgDXhCGuw8v2wbqVZFwQcx2lT FIGty65KPe5w2UPQke1K0gZjLCrzFtI8gcjDAnOstb1ttIhEr0L3VIO2GUItmYad5YTHg7Bo7a9z iKJ26C7YFIWYkELTuWaAh0E8k1I0CYOTXlXczHBEoTWqb+TmTQ00BiIaHYJludGVHrJyYLuSK0zN pHN+T6oW2C47H4/viIOiMzPn5vLB6ta1bVVawYMdYWTdvxEhxPHsWwkZcWQteb1hOayg6DyHhkkT Ql7PESEjjKwpq7emyJ5z3mrRhK30ogkFfuhzNavB2An+nMzPmp/Uu9vLBpE1QTMQfMRA50lCHE/M 1lclL+bM+8IHBHl+87NaEgcTftr3vo9Da7TS5e+87kGE0Loj/lT2ATcA22Vh3VrmKUXd6jIfb755 8vhN7YuGkmzlbT93Osc5COg/7M1ZcX9LfCdkMcqotwrGB5sMIcgHzIA5RdjheD6YonVja/kZ7IXq rBdDZli6bsKEVOVoGT1Tm5vU5Vg0cRhBD5wZyIyDawFlZ/fhlvdPILHAo8NpiiOEvPwOAGqnDRSD IjXRaAoyQ0gIo6E90h10Xk+aajBgbg2PRy2Q78MIqgOM4WyKouC60lm1mp/q+daWHO7A5sR/Mg+j OQR3mCGVUaQGXFM2eBPtxIy90IQL/zyhKO18C+68CRwVlPG8MEsTnhlF1lbdl4EhBwOELBHnTlDU Cx4PDqPxTxMNlctHpGhGgTWc3aCql+24nqS0CHslWlrnTvPa9fydyAgxFh+8LHsmCdhPcvQQcOPw oQl9+VkUPtx4TaPDUrdghrVrkhpqL3dQJYSGF6zv71XxeDRF34AQIOj5c9kURYE1tJEB1nMCWttO h511O194jGaI/RkWrlndp3WVDZ7mo7u4gNLEJoOTLbXCBg/LijLbuALE7GngO4IJYqmIUCkbNEEI rEWoPpcDHo9YH+trDeFI7P3yz2YUWFfiQSqxyiyndZbuiAfJLk5IX15fRCGNUZ2PydyDg19pC4A3 nUMTrhaSmUeJFvxeqFhiARliH+0EfEksfnGOfsWPHKwSsoDpwt994nY8HrQZe/qKrLEGeyuenc0w sqZwgTJVtIjE9aBwQXWFZjg5mfTwjPAgVPveSSd1ek7qOuBcTPwDavsNUJFOdhUo9gvGvOUJG2nn OKPIGvE30rKafdAiymCfyRtmDDwe1WQd4UgYL5q65VIaZoQHocvsYHrMqIhtcZ5DZW2PimbJlnrM qGoN0AJwjYZyfNx4z2cTnMYJQmSYCfKD3vMPjvEfxIrOp6ZY44ziaj+ppas/XVcfNLC+hW7EVRbj QW53iPVGzOS8QkUzJDGyktayKkIoDA5vD1WTbgS5avsmC/X2RPNcMilcV2/v/L6V5E52GRtYUJTF 6oUqDeynf5X1t941IlfhlgeP2gdV0mjlZ6Z7fPwvzBWXG8ATJd/LPgKEwJN27+Wc/E2YApfQrtXJ 1G24YOOMAuuRk/hC0wc/hRp8xKjecP4Nm1nedhVezh2eULmP68BLjQ98ya8w4rWVviIEtAvoRBP8 ylVzxfORTNG3tAOMcSq4yjZFUVyNA+7E1dP45nsXt5Frj0hRhxCLdlkoDiK4FQpdNtgaWid6BF9+ qpkP4AzvMpzlKKn95NyuHQp+Cr5stM2YlqFjYYPBrmqvQ9nE5jtGEaNnHsJoZWTBtwayoqgazQB6 BtoqWt11nM71s/0gGu69uyJAyCi8xFDhtOGyPiB01QQrmqQecpuxe4FCOG4BE78QI3+FBWt5gFLY Q4NmCFojDPmg2o/HIw2ebzkwJDxtXBbsCgvWncDh5WiHYS39fBbxraP15ttshYCQLicG1hs5GIR4 nvP3XABE68MueZvAFMQiO3DEUB52SNHcetdggigcC1YEBuCmVD/NA9KJPKrPrYnn/zqI8G4yMbql 2BWKVp/FseesihNP/F69jEY7JcODFA+HVogHYQUaipgaeBgy60A/qKGKz1JsRoEfpVj2ipFOUknN hBuhX4bviGScpHD1DMIUIeaXqDd4wisMqW9aVlg0A6qjtVtIW1FQDXU/VPGbFYdShZajCmnnwqmW u1aUV3kUrRBn3a0F2324hTSom2ye1sDMQOYeyyjzyIXIe7+1RgFAV1ivniRXwQ7PhotvHCRDoyiF x6OTaHzlHbnQluneZyuKq5EwYMWIDHP+de0qqrxR6QIOWpJmKKYwSjP//5hbQwo3DXa5wf04W5p9 bBxQSCAZ1d8W7GYjf0V4ENwpbcMQhEN12b2z0RJBrJDDz3g+CoruQrooWVBGbY6iuFp6U9t0PwnI vXF19TnqcLvRHP2hDlJYjuZghxHqc2ehSGb3nOODx9bZY6AOoetIaSx3rSI8bUWAEGlfFISoNqhN jVuzavf0gccjVNE3jxFSRz1dAtoKgdYJvelufrF717uKUrlGcRPLUTMUBtZmTjGrD36fQUS8DxJh gZ/M8Fo9hxL9LlFzzBScU5GIQjH4kmiGKPRdWQMBxV+R9ThnJeMTXnN4/C9SPt9Na8jz1xUF1uTA pNJ5Xm+i1OiKvnkWgURCqd2GQoDmKMRas4WPwqIPttPQfyUoDXMEsapFNacNTM+kAxpQ5Q4HmUOv +5tNBQmMGEAQ0Coq0K/5oZhTxePvGw17/LsBW7+BVysEWw+Ipo3idOHmnViRVk2oCPmt1KxjOAh7 hotFaw63Rw0RyKqzaKI+1OjJCB+GQfe/dYFXBRgsvmygkrol800BPg5KYMeJKFUm6qvi8aCPP78z /IZONx6zGQpL1pO18QewT7MRqYP06vC9DUkwTVEsvEeAY34GmyLgroFBo7knZOtAQuJMQbgdaiOk g1hgNIbe9jdqVSvswHLQUQQBPyYi5/aveDy49h/2GZM5Mrv9KNpRaD2gdkV+qjqwpfoMNRJNTB6k GdBhh4rWPKX3lOfJvPopFeDD1ED9Rylnp5lIBa1Qk+2gMIIh5e6nLFfvKLRujPUqOq82aA1BWZoH 99l4fDyopF1pQs1mkUqmz1AsaE1ojUJq7jPvKaYuITXKg7RscJkdK1qrK8Q7f82vOx/ozEmaYksJ Ylc81xMQ6+dMAS0VjT67zyiqv2O4NStpm/uMgyppZwkVQTjPHsDjwX2Wbpa/5SaHsP7GjjuEWzc2 O5Jpxp4ghcQ3s664gOsJPJZm6fcAG1ojPtwW2swTtz0jowVKdJPW7glv0OiAwIMDZrCKPzsKsLHc oAxCQ2YOtj0qwGH8ur7xeCQJdvseDB4RjPWreLVDFiPqjZOC2/+Rt+c2f+adW7le6OCDaIpiOIhU Lkn03PkRcZyA+qZpJrq0GJSWLIzhcGhm2thab4hX2o7ia2A2DeZggzYbnB2JxG9n6j87woO0/F1x ZOMOAg02Rb/DrafpgpwQqTs3pjBKkT1Mu4soJjF2ZfjTBwuMErhDif59FdYEPTEwGjAbapCrzfu2 Yak3iO/4VSIks3nGwUjncCWxHkjF4xHYoX/d+ZBWhWKJT1CovJcpR1yanUU9uzjh7Fu2BBDbHX4W /YoGOaFfLT74BKEL3oe8l9IJKcSmhgfTT6frwqOhAmFOvmsQFJHOcOLQ6oMhipDHEEd8okE8/qes HIvWIKk8UdGO4CA4MUBON68lJLTVKiAJMBQ7rwvuRs1RqL23dKVJU3Z+dWDPDluziHF+fuzaiNkn R7xB3oFBpfWpe9fbRjxP4kBgSmyD5ggAHa7alhcfjzK0+ZXF4qdpANrYFEXBNaQC93RJmT3m9HOo 0YPTxAmBEkCLeodwEKnrVBHRxEvl2Xkm4cRClVXrc/qfY4ku8ucAKIAbNCSFLnDJ4wvfEcSNSf45 tPTmYAQ0kEkEB5kbjwfZx/gHLwO49lOS3WHVGuShvptNUN+mdAlGPsF85FeBNKwJiuEg0lBRdb9e m48GfZBzTFDS+qSt5wsqe/gIG7Fo4HZ4MVd76l2jOgjTs0XAg9SStcv6VL0RtSk8HtRB0j9BEf3E ++Wb71B2Dz/iZPmLVrksG3MJjdy2nUNnPblVTAQGISjxTO/MPnilKKN7ljhD51dHi2BJ7QpOuWeh r/qk+KzI7lAdpEnect5BcTW06cVnaKXh8agiO7+WENVEyRzkBBny5u1bF0UyaE0jK8qZl2WwTXbw ZFfVacSYnEJ5EN7i7BYPbxozfq3jHEuDfXzYda4CqcgB4StoW0IfLX2hita2Fw6onvSBB7CBAzi6 sjYFFpD5A6j+/IAIApq/lxIioqEFrZkKBfgy/AQLjxsoYYwkmgwM7Kfy/zIYDEsdPcX6e1RmXGzV c3BkEdu8aAmdeaJXK0hGZ57OnbTQxJBws+nxMMDGt8SUWKIbuw9cAuf4hywiA6OZ+XyUhnwT0YAk BC/+zlLoGgPyR1OciIYRr1Cvqi2vPCJRlBFBitHW4tzvO1x+DEorKPAgDQH1vMso92wvmpNT7+ra dmZ73Qj1sJTFagD0hUHf+TGa6rOoIPADgvjoClzrGkSCOB+LpqiIDbjC3uTGs7Q2k7HRzoLY2aep OdQRH/Q+TZPeTFPWnBw85YcKRiJetmVYboIQMGaH9TXNw08W+sSQZdvrRtcbT++tzHZ7JtIAUlMV G319Cvv9UcbGYgJypoHO5LMUxdnUkDs5bS1+OFGahIeTqYNPBkm1+KaLKtmyYtxZWCMZS6lKOxtc p4grPtn6ktf5REUWMgbnW+clEZdM7SLaPAeNdslesofNwfAPEOxQSwTGDSlEiNQrl858BHjH9RgT 5BQWsytlHYtxrijuIOYejcaI7DtLlTpYdPwKq9nk6afEwJuD469PftZoIsx5OttCYmGIp+AlhqRt 3Tpk2va6QWZLF6I1JAs+rMaAGtJi7Au1z83n3+fp/zP2XUmy7Eay/7WWNhq02P/GBu4egazmZPTh MxviPvJmVTYKIoSLWvbXrgNPte/6zFJY0D4n764OKwamz+q28Oy1q65D8MOvuijmnjKNG+RSc/DV BO45c1b02eCShh7lhH8QbNZhPNiu+iUMFfQtf8VMfavRTygpz6ZVzBOknLSHz0csUG9jYx22mSTv 4rMUy4cgmOxG1Tt5rle3NwQbjAXa0PuxPRcF3sjGeXZnH7xNizuNpSoc4ZAH74TQgoqBtvYP7Y8V NZXa7G0jpdnsBX8Oxpg5G7bupLp/aXz+X+r7rLaBarvaupMUxd4ADaL9bq3sLXVZJOZrtORwCKpv aMNFGiJg5IGyP5cPnuLOCmOTyioJxNUKlfpb7TS92dh1NxiQ+3KKRa8paVHn8sEQtCfhzVIMa4PP B/utPr1aJLlnj84+rudOivX5aCSjK43FJIliEt+3nZmOnv3yeYpq2yPLrpHYNA52MEHBP6VlXp8n HyQ8CdUkyqfDZSrXp+JW7IUjhB+JV/UZNFGoC5AnUgeDy9BX5heRDw6sbVwlkRxaNuL32ayDmw7/ TLcqeQtKq7sgTQ4cGxFXSLFZqOyeHoQWJAapy0ikKGwqGgsmOIIRNmTYarqpJd2qc2DZCOo1GfyZ ulgchNHqlfLTwF2Nyef/lc3ROAVAyPkYFIWejY3CTzNfV2ZrB2TIDFtVCaCP4rMU4Udg2olifJ0+ WEUgQa4Ioo9khK6CsInCRpXgiQ0631WgoylIjk0bOw8mUENs0K+/gC1QAF4qn4+0Z/30bsvXEkFi NkthBJ4BreHZx5y3mTNRhnORVQVQ4kiqCuTQuHGR6JiFXM9fyPVCgZS+JLsCugO5EhNQ7wq5F1zo Pkul2dv+1U3qlfKhvRK9SqQWtGl0fNfB56O+7Y0s1TbANv+apajKvZj3VkZUlAqn2IHqb4PGvhQ4 6nXfPRd6oON+O8kDWksaNE+g5yxeRJgnsL2RZaPCAv4mcI6gMbtmBrvbObBvxJarZGLt5oPANkiE WbA5d0Tl8xEC4DdpDYCta9OcQwNHaNU6HomLKXkNrpNXxcWU0USxSQqpj5lFuFSaD94MQCO6bXZv B+pz5gKKbid5fRkgbctTpHKUQwfHTU0IOAVqGL6agMDQaoIPaOjgWC6fRpVfVH3bE1gGDo5YhYlt ALd3gPygSUEtbkRU4manpmjXB0XNt8wiJT1Ts7tTQF1kAXDbZJbaF5nrFO0jTjZBZyFfSJKigdDD 0fZcoyoEB60lNK7kSrzgvxeaOKan+8YsBZWJelXXcuDiiOwIeJJWjCM66g0sKx0CaFK4rlhvDm0c 1VlaWb7EuT2B5WjnwoScEiooZ8ahjnxiAtwuAwQtne1LlH5VmkIjx8mOQEN5wQbDAmxzAwWsnc8H B/gv+ceGO3P2JxYIJUYWM91tM0JMSXV8mwQOUZEjvcPmKbKcYWGXC8cHL1t2SCdJpAqugtTeBBoQ WTIgEzs9DtfV3ze650gVBeTPBt1zICJSGgy2rHw+Av3/QmxDrRPP+TRFATjFViE1rQB8Sq9/UsMv mUxmh2mAT1Jc/WZguWv24bYpy1lOuM9wenTwszPLcee/Aeb2p9Abx9BJadrrBkL05t3M7crBqrs1 TV1SqxU+H8EmPGTi7kLZCmURn6UQtd0YMhnvaLNNb5Raap3IOBW2KzZLIbSEAoa7UIGOw+13417r orFNYApJGc3gn0OT7Yf2rtaJW8neNmozVRUrsw8WV7Zcm3bcbHw+SlN+GV9Weidd0G0OfR3xY0Jb UUG3r6olLw5DUXQKBSjjDY0dl9zAp5Rr5kPwh2ZfHpUybGhT021yADk5gHQ7K7Dfyq6Abjl0dpyi aKUqhkQ1DknrJUu5o59rjM8Hs5R+FcChFdbBbPJZCuX8AM1KmR5RKC3JUkWk0dKaFJFOMjdZP+E8 hQBudbxL7z74wQQJnrOEjOV/zpBRKKIFd3iCINulSSwZXsf+jmrsNs2TW5RQEq92O78nn4+Klt8d FeDpW3+M5WN/R5C0YQbOJYSDaXg/pST7xzHrNPXVHBg8+mJa6ihxcCAOitNdhC3k/pmL6dxy56Mm eJAZ2mpWiss12etGElHM5RpvOTg9/ojOOM7nJ+YpZ3lufkAUgX+zR4ERno8sSw48HnXNnZOpWqOg iVkyqS86LkS5TQ8GAotHC5lOisa7joPX4pi+oft7zm+cPQgyz+2GRB5GtwXSM+255ra9b1AZKInq NTybBtMnFeMgq8hpOucUn/9XDxwzQmvweoEUObR5BBQWFjTW44WttwLwZf1w5rzl+qcHPo+yC6Lo SPbBtxw4/TPJGOvsaXbg0MJEI5LY6tTWbYPPZa8bzJJE2RIXFdT9zMAJJoAyJIdqED8gEve7Cjbb wgG84Z2nEHHSErPe7oiTmb2Csq36dG5zNuU0TzHkhFj3LaDgvtlcPcfuQMWPqmMghDTK2zVd6XBo GY8rDTUic2j2mNnEHJJI4KCLjj13FlB6bXz+X5B3idedEzynZ5KiABxyXTgslhvT9DmaleMKv9jk 13fyaQol/joJJijw2WCJCjBpwL1RDOn8Baw0oM+JAwQkTUhHmRgSPRz5JUHbKYu/VYsPhhLsRVpi 0NXn81EJ5fo/Cb20wK985imqgItRKotepHPVXMSQapOqzHIcaNc2S7GCNjEniaZ0Oa2LfD956IIE 3yKT62Q/jX1OYrs6wiSyvMneRpF52usG00Qp/yUlxHWVEBPaRySP1ROL8PlIfcyPJjyHWuy5ZW9s Gdo+oigGHc3m+BzTFs/OpSD8pE1PegPfRzeoSUNouPHojONfTsygodcCd1W2zjvi2UZna4TAFg3U ZW8boXJ5JGUylDmIiAObcynSbJ7fIQJlXk4g0ZQJHGBZTmqaohAcB+9Z6Lcel7eDBcd2F9oBmxlf TH8yJ9eS7hEHO5nGFnFyS+yPVgoA5qJxiXrouW1wNE11xGu3140Q8IwupSXRr5ZEQpWH8SLAiXw+ qu3esqUVXH5YOrdpCg0gATs5Z5i0fWWatb1VMJkt0zQL5mk2UbEHpFhvOO1s8IlasDPlrkJBDuQf cgogfQYzX5zsT0u82/tGRzid56u8n6sDTzPgCU2iJKiBBy6QiMIvookAi/OznJ/tmacoCsdleLZX 02ENRq4XwUfNbraaym4+S1EMTsfnzezTBm88dZixJdLdITY+BzO6xiWBVo4CAmdzd3vdiNxFU2yk bzZo2yE/FAty7MXnI/ypLyeCxMA1RMbjsxQCvTlLUgIgBKw66aTN4d5Za407SzHOW2gmKUOOy8s5 K3FkwJcVXZ74BtRnaEafJbQAz6bFr0O/CI7LoRXkzJKfTRIhB5OKR1IXgpNhU8/8gH9pI9JqBNwg 5OE+TX9xKQEKNs6Aufswaup12TRN9vEZhMd2kOzxzk4XOg6OaYLFoZPgINuGdOhMEy6YJSFSVA5l 3WsN8cAPUnsOMB2eTa2QlcElBNwvdyD8A/gB0abzWhP+ZZ6Y+5oh5NgRUgrk1M/V2dSyNCYKbLCM NjCpiW8T9afCNmBe2wdbT5BDP39DUXjZBhH4wKHAHwpbpaQ0Lyg+L3vhqHRJO408hUOBb0JT7nti Dq7hc+NMfkCU+35rBKDT3PNTuwysISF4Q8lWk5fYndhXwXXwXwqGkqAVowJB6A2pbbfmzD54ttJW OxlEZYUX0BE0lAeNRn8WVkSGLrQTdVK3143uOvUJqMbBQRWCBIEGObCikhLYQ34XwvkcHbwfWFPo D4nqMTaYKbqYnwaLcnU7DX5Lzz7pgyIrLdaZslzr8uNah5MEdx0jggztzk2GRSNIvC0CaF2xZHV7 21gKEEjLXX1QEN4GBU6J1Rl8PiLCX4lkHviMVsfX4RQWwhuEb0bXyQ0AjFUIzvlYk1UI+nK/4xx7 RLL+vRoxcqs9GLmTUdA/TSrJ6ICncYGpADkUqjtqz6297HX/wn6hue6D0b7OG5or9Op8Pgow09di ggBTz09VLnSJBAQbGtmLRTmUClynDL863eygsjHulouicNirQ0SaK4XDpcadM7qQcQKJydnqmpLh AjgNqSJa8l687M1eN0ISqnjZkw8GsICNkJmLLz4f9DGrzxLrlDmTET+eeQqh4JS8S1Vy0fzH7Mrt OdMLCSWCBQyd0F+hWaQuu5HZ9R45+xmeeQ8tpGuwqZ1zsIUOAfdJbSu0fWe6QUFf9sbR6UShZM3Y uNQmmgwwpq0JaV3gF4ki640wf5QqtbFuLzP0iwS3DqeTmSOZSjmT307qLm1tlpNRc2AXifyQ3tAs qGi4fXFMlLV8gWfa6iqwVI9WP5xP3VKTvPgc2EUmE6HYVWYSdanji2reNLtI4gcCv0jRo77UbhGy tStNnkO7yAEb7dEMdQJqriV16I1sL8txS2uSIjD4kp1Elzh5v+LkEB6AbynF7+AWyVATgB0cx9An wk6znG4obgoMI/G2Il+M5YOC8LNvVzfBWz0f3HP9m/gNinvrV7gsh46RFQWCRb4/M9+b2wFuOT3z Jc5FkxQF4fj7IMlFoAUHS1XOVbbgKK92QT5rqZF+gaJ+S4bDduiXanKhZWSV0dbiMd5YNha1tJcs 7e2zoBY/4F8agaT/UElvrBsOBLaR54s35TIALTJSas3JM9/krLDZW3WURegcSZQzctfhg00U0F9j LMEH6kKkz8OLghLA6FM12A1uur1vBEtlyovGtw3KfEkM4/dlAJtC68jcfhlGA6aXr4ZZDrwjoXYP ZkEtbuCyq4uYzXFl3jbiL4UDsXUkoybyBWzwhkECNYLtxRNANSQrbGWiN9LhxJ4BD3WpwLbtdf8K wbcIT/uL8HR2HZN7qGrz+X/aJjTFEQA23apcaB8JRUGoEJsIVa3DRTtI3XbXhGbiODnwj0SFlzSV KdmFeYGp59c9+4teZOhlVuiTX8T8BKaDsPWLRdn2ukFZjvzdPOm/QUyd1KPg2V3ZiZrnB+AHRCiL a5FI4hPBhNdEMgcuktCQwgk+LXlDR6dZEF7lFSDVQChka56iIFzAVEFMNDhRBXoFtYhZgDopcLcn r9tnv+Mc/ylc9rbpqPmWAyPJzLIaTOnok8hBcdOoWXQMOGDx+X8hmwTZgQ/ISs/hFEsHUtbk8Zgo Y01Do7SejNEzweP2iYrQKNR60IqwwQ4nyOyeY30I6dxPVrYkawbdtbZhDfRYlInQE/hJegMKQkQ+ GFN1oQDPKx58nsBQ8ht1yXmCVdFkNMp5+rwGTQXVSyKwnM9TOaF2OJVs0KY+HGfxGjIVU8rZmagl DX7RnQnOKBOL9IQ/hvCvTQYFfRLHw3lCyPQaMJ03TVMSnVRe4GB/U84ioJ/0Gk+/TE+ROACmp6mj 0miGyp3OCCSaHaidp7OHu1KTcwiW7MiBQeQAwJa5XuRAMD9y/U2kzmmw+aFEW0dtGw5uqXf6bJxI GUQTWJ/L9VcGCoaWf50gKMlSzww1Hxs0QaAIk0YJSv7nNVQqiCK2TRAPHuDuF8WmMT+vgVKB7xZy OMGWmJoU4gfp+TumbbdxDpqk5fMaJxXrXW6ivG2wYLKDZljNBRD9mabTCgXQsqGBty+ueRL8/Rol FfSKdOu35INCybMi6zSXqPZ5DZEwt4Ziaj/6V1EgRYTL2XmNj4oYGnuW5bXcUtyepLEaoVpum4b0 eo2NSmYzcZgBi4a7ds5PtMnZR+UNYkTDoZW9U8rzVpQAKcVXvE9OJoUXISkH0MT0R50sZjP/AWb9 8xoY+TbhZFi2j0Nj+vS8xkUFRE8cOLU6Kp7XgUN0nSbfeS/TqDVYOyx9nDSDziz7QZ7WBk2Bxh58 KyeqO69F9i7a6qygl/54k2zK377GRDh71CqXQ0nL17JtSyYN/dwTYb9GRHi6TJseO6ZO4k/NSczO azh0HoI12PkpZjWeTmuOC1h9TMcFlGKz8xoMFdVDgVRSi7J82ZKgkUSKFPJGHKKNZVuQWxZQHiie OHoiMbJ+DYXwQ9bEzKNvH4ykk9Ck5H5Z5fMaB5XH2UZbC1JPnR4FMiB4nR3cdsKamiInbvdm4v+p rXEBAZChlKVEND+amEUPWw6OmuiU/E9TGRoUb3FxFUgQDxTJMkDxnsfaywa7iwkHjJA4IM6Q/Wg5 Vx4PIjjmfl4jIJxchizRBEFmvid62OI7X8MfnMw1U7fdS7WUB+HygeCxN0hgviFh4OhgpvFPQqvA httrg8TXAr0BfS1YI9Jwg1Z2mPXMTrzF0qR8v0Y+RfVDzEvuPhhbcJZMUZB2YqfPa9zDqyN/n8zg Q+fhR89r7RGXHVrbg96FbB8Jg9spM+kUihNDFyPkvFYeeSxIZVInUE83awXgBqxDFPshejp6orUu nJcXwPkFrV4HujW96vvsLBo8pkmVQA4qpp3fVoX6E67j6eDeSu3r6GFhi6wEzs5rxRHrlUcPNeR4 MI9pWSqU3oaXP+pwlaAw5pEvgsm8XAxJHejzDnCx0FpbI0mtM6EJw+5CYeBq8DZaIr2WGvELCFI6 ac3GwRohUFftXiB6LTSWfOWS7WAGhKVR+1/MzWB6sGzY8M/GwClz+QSN2q0+NOEd49zAaIaWWtit +OCkCXQcITmPqBAIbm7ABQvSnzmgrFPW5ZbMJqmAaHsN4tu7qh7dqx793ENDRvXn7Py8U96w/swf QfsLxYPW7gp6Z7wVGQ6clNJl77AhvD/Lmp+0Ex/2ZBg3L+mS7+WD5xX4UxbCTZK7ESbSJxLqERQq LTSRU3sWgRhfNjifi/xZ1vbBbndIAgtvW/l4cD5vnyGmIQtiRWn4DfZOd8MVBoT7lSuDC0nz8Gc4 vKZDMNDhNcEUEfD3JjUFLtLJHzcDfwjLVtUYgfJoi96Y82YWyuKj4Lk3QZEFkmzmdFKA5WSEcn4E 0JPeo+fHsraJd4OUbxCIoxmK4mcQReBTb2JcM5vkP3SUkt9hq7sA5zvPDW8vrbvS7+A9WfiuUwX+ LCL0JiqFlREpnpMAuCmAe+8llvSyQfaVswgS3QfDsp30okjzDvjRMIT+dY3BYmOA+GUz9B5Ca3sg DLqad3U94ol+vZ2jiDU5VWCjOSIeEl7QPlgGtvGRA6Vr6N3N82w1pdd95mjNn0nGDcW4UCbg20YX vfyzGS6y6lath1YaaShnVSSUzII5EvtMy4jq7QQj9+a3/TvLjWkqDLVqMzsb0aMF2U6uWDYKJWlY gX0PpnHy8xhagmmtfQW5TmQ0YE5B2bsBIUUC2UZFXoU1tOcFHg36/bxz3LCd4YQFmeLugzhuuJnm 9Wd7p7gxbZhf6yibjLwnG+8MNx5Gnalq885+v3SbxxIJlsY2Q1E4rToHSpE+eB0fhek26aR58rsE /pD0pvB3Th2b7hOp4zoKp0X/m5ltj5mTk20Gknle5DPvzzu7rQgWoBkiwjRP9/TlBEXx9CI5WQBk GtVvK3ScnTCcAtin69u/M9uKOdjtJHwtB8/HoOPegGYDLAuPohhyliqqjsi/hDeSRwL50J93ZhvW 6WCNbMhEezSfoQR/EC6BDZ5kFFLzVHnOIpSJx/JS0DuvrVzA/zLUw67mnnl+lWx+EmeTPFykKKge jIYqLbM02CYrhZ4aFN2eYDMKHrFpz4q2f33Ms2XM9k5qY+Cnk4gWfxxUDKqwupdaMuRuoqh67f0V NwK+UnO/cWMUVsPn84TW81bLcmmu/59cR6kMHL82RWFkTRZSlZsEB5uimmGIUCgGDNh/7nRrmVNu j9CNf9Ql59TbRlOER2oifbsmQ1kCrbwlNVmJd4hC61z2r/vszE4idIblxCi0xv0L9vP0rLWse+NP V0smqkrlxDiwpjbJHMUHDxvPe6XR6HgMBE0HqJl+tXjJvn7maLccDX35zzubzeIu8I+YgezrO4YL srP+jXv/805mwyveqJFBNP1M9k3r37lsnFewj3pyq9E2vaZYdnJeJFTJbYbeA+ssGoR+yuG/KM+h jnoopIGUukKgnN51kN2fBMARfGfnEKuK70Q2luxxAJXCslkBrlO//ADWmPukQ5Xkncj26zJLrHxU IGbuIoqK0pBGgzrmNWu59n7z2q/jtjd/hHcaW3HiUWri+rX+1F2h2D3BZjxTlKsgx2eK0O1Z4HAX CGg5So0eEu8sNsvOGi0pRD9KEqqBb/ZcAjyewPHzTmJjaD2+9lkBC6A2v83eOWzYZ0ghT9yYrqcv Mc3ChRbDzYBPk63b+k5iY6Inhz85Q+dLHG0DVZtJW5QzSSdlBIQa6cJ5xUUFByPW/FxJkncKG74E dKxE9REbdIBMnJX8vpPvf94ZbHbaa45wtEP3ttdbInonsOGQL0DxlWY1opNYenl69OYFWAhlKLJ+ 5689N/5od3CEcTm3Wzat5BPznGObyu0JF2BCENqXYxvMFemdvsadxsOoygWgbvevO1FVVfZxotLP O3mtPGD1pp59A9+o3/7GO3etSF1pE+irMhpTJKyntQn+Ft2ouf/6O3Xt6Y5VAfvr02BFnbyTPgst wFInfjfstHP2Lp6gcHiuUwKcuCw+79Q1tlEIVW8s5XPQFKEQpfbYOd0+78w1LvRfQRFAaVD8thl6 j6shsowkP1u3Z9MX3dW2hrMgd3G41TtrzWKHIbK+DTZDJ9I5RykgkMQ4NlRAUKbGRwrjiMV6O2RL LxuUGunVQpRHc7AHZghGC1oD5xM/76S1Ip3Rryx/opyWZvYpiiJrvDsEbfbyHBZVG8thW0qew1Yi QRM/KYgcLSzCGtHgYVGDNQtZiXWdgP380kzPSPME5mJd/X82zvkd0RxRtn2RVDtxsshZvgJsxdj6 XKD7805ZY8n4u5jfmTcvT8/eGWvYaJBpkY2gWCGXJDqySdygx+4+iO+ENdw29PQFvsMHnyG4bqxG /Shoxc5J8mNHrbrDqiCDqGHltEFA8TthjaUMWkYpgOSg7OOccFm0BxDW3vlqeHzeDJatEQAi6H6m KYqi63MNXzU7UR+vFUlt5dKLqu+099g6q2q9m4pF7SkWDXSYEK5sxtblnEGktYmAjmuYvTQDe9Bh /J2qZu1uetVmH6xTfy5KRkjI2j7vTLUiNcjvjtlGh+Q2o6PYuvWH4gBPEvLpTFHDBe3O/+pFkHeS 2r3PIMTmw11D51odtZvo9llNsgYS62Uith53l6GU8XnnqLHlxUeQ4Nmg/CzBbEtp+8ifd4raSwIL re/mceM7Qa0YDPIkOibTdv5xdvf0rSRC8SDKSNo1RWHVmgfRKCymcbA1tDu8yBbF7KAZljJLSRUY j1LpVX+mqBtVpuht/5yiIWckP+xOcH6OBCr+nBOpf97paTys86+4kWwIT2Hf2WlWegEAfSZrfQDy Ya2PnJcLk0/AgbjN3ulp2AJK74Gjt8Ex1kNxsFEbsD9IzYKs8/l6FvkfKOPQ20YZ2kTWC2NAG7SK ELJXyWSV8nmnpv06qkWSxH9Sd80mKQqu4RAA/Wg7iYYEkRopfNnpxQsvR3rxOzXtJrENwYMN9yQq uQ3Y/gk8vOoDdezQRczk1CuLnZTReGem4UYQhWhJ/x8YYnGkMnYseTkz5fJ5J6axEe/rSFr4W+rx NkVRbI3ced9e2d7ZHKQQOG6foja89/FOSsNPRPNsqDf64FN0Ip4iCSRktGgucr2BdJoRlD0uG8DH 8lWjBI2KB6h622Bw2HMkiC57PuDzzkcrD7/R9hle6Knrv9PReEFMGiG5JdJM3hta0mfBLmvbLZHe 2WiGmcNfTVg1By9Yo2c5OwlEEH1LRF4vBNEn1J5kgt7GB1OPdy4aLkyuoNHE9mjO9kjQS5Mm/ej1 805FK/Lq+7rMEJ237rf9OxMNX5qJN08jOf5jj+YJ7MoOPa9oUDCBfaeiFeM1Qjl0+2BTlAEY5iVP 5SMQuFEqgojxySEBad+rXl4j0cLvVLRbTAMl1gdrfCzcaPi+NfmOUQ/WN5kE2WC9fNtn7zQ0JvnQ ZpWoL101i1OJa8/Ti2mo6+g2i0vW5MFAwGUYFOhnEiIz4EpnYTVkRRqdASriwwGR9lKoGc0Uv7PD +E5CY1WeLD00h88Aeqm6OUg5Csmm52ftn3cSGqNGv/JZ7IP84yjpbrQosG7oe5zD2OaosrGkoGhe iF6/9Nh3Dho7Q0w9EgNrDg5gHEDmgydUQZKtsDH4IYP/xEO400rujxoyUvXPOwWNRxElIBQ2NiAV hH6G3qt8BsbMfP5/SWHRy6BuqU1RFFgzHodtmkqx0EjxwDFXy0Mg0Z6tN/TOPsPrZ5M1aD5YDgvJ /9nH7NI7OqdRpvjhiQN+QG34YXXKS0Ukn71zz/CDMr0fo91BpxGkAgXQP3/35516xnd0vAxzMeCE 2lx+n71Tz/CtVBgrFOf4z9LJJIlIwNEIwqIZCVIj6ti/c8+KUT5PAkbYHocLuEKPtBPsuRuQf5T/ o37ZouIkeJ7uMk65/3fuGbG+zNA6y2qkJZl7T8mS/u/nl/28U89srz4dNIDsgXb3vfZOPcPy66gR AU6aiWhEOx/46YxYyGiMnfCQihP7nXnGkEXaNKP54CXHE8/J7Je8hVEWExAiQSBd90MLQAuLWtG7 RluNbfxBew0OwhWdyGqY7vicn3feGSsR4zsqQgiBxphNUBhdL8qMZhmxgnmxjRFbEymfgO30wiYs 1lBUuDaM9OZJw+ESYPqek0FeRUy0gUGB1iHqeHAzOlt6XQFk9hjfWWflummq8MhBM3SSPsGK6tx8 PDqLvD/EgiM61LhTbYbeQ2vBPk8Ou9Q2Q7uu2Qz1NIyx3+l/y0v/nW5WzJIVHZ7kgx3XTMc3pPkB awQRXfxztNBlfUwGv0kfk6/wTjezwlYDnKj7YDN0soCmS/9E1u9sM7YxbgdNNVmwz+tdRGHZeor3 UoqX01jIYxY7WnNkbGsOm3mnmxXzh94VNBAbvBE7Wj+HNnAXkoculB6D7Q/UFppxFpTGLjpqvLPN bhq7CgUyOChHU6qMv7u29nknm7Ez8I1vRIrX1o0e36lmF5jfkmGrO428xFpoJpWBLKuahN870+w2 P9h4s8FLIQVgCirswjJsdFH4Z6HkLJzVH1wR1jS/I5ogxoyN6FgOln+csL0ab2F83llmzLTad/6B cmy/9cZ3jhme6kAP88Jk6Kggkhyz3p2LR6NrTVAcXKuKppJRWT5BOORghZtJEq773Jby3EQNpm6S c9KNrbWCoqo1IHpn6UhiZeW7giAVvHW4tPp555fxHPsuhNCDvaZLXYhiaxw8kNWdDiC+vgc1Dy+m 4QVsgv6Gg8DawgdfQRVMj1IpVd/g6Ugdcvi+npMVCVq+Er7sKX3emWXMsOhZw7NWgyaoweKXW6zh Losia6lZ8y6jUA0cldbtfLQoskZP5YSNrblOfaKYBOsgWxTYIj1RP4dClDV1/Guqd/BzCCywVHnf r7MXAeWj6gqCpAWwWe/uEwnYPN82QO/xoh+dOGIOhrrqTTEYmph4PAgbx3eChrZYfRgeLYyssW9S S8nRexZAdtlnuJR/hvmypigqWifi88tmNY2D4x2AoS9oU+AggnDPlIdbJiEXlNf0+Gdro4WIkG11 tO2DJfqgpDAmamXg8aCZn7+RaXtLzs7mqEeRNWhM51RYyYppVF1RMS3xiivyGzMgcX+Pq0WvxA5j vZWDn0QzDdCEWAlZMGWrojxACbTwqL4cTqn495ChOMxLs/ugRYQracmdbVQ8HuGIv49qADIbFSI1 QVFUDXQDZFSyTZAXPzIaXT5BUAS3CYoAIYOxUM+sWXPQBE1cZa2IXD4RQVBRW4kYgi1hG5WcTYpe 9KhmLXDsiWCLD5yg2dEilZzDyTt6FFVTK0YFWea6uDxuzNjDqBqKamvl7HCQ5XfZnBYBQMzBETM9 qliD6wjTFTpqFhlTqkl9lgniBklkQ3E9W9rRoOIN9n1+aMBYtPiSv4BptVEYE604Y9TVTrof/+xW 8HzA95jt6yCCNBf6kX6d9ZCpyLp+acah2qilGjJttX7TV6TDlJjpUWA9ZTQ6uYo4XEYM7MuoA3eS BSDVzvaAcxasRoHWK1QMcSGHorcNQiJ2ljMM1zgsxTYn/Ew8wk422jsej7ic3wcRL3s2NzVFEVsR sF2oTzQDgHYzNMjI0q1JjX1mqUeP4SBaP0BRm5G6pR6QfumFDmyQxiZUkn4i5zfEgZWZINmVz3pj j4rWZ7kzs5/K86nfwwy/oQ2CLzzB7cTz0X3my4glD6SzA7AUm6Mwrj5zchbvnaNRvFLUFRVRwoH8 J66ikLQ41BxiVMThgYBCy0dxNVhCnEZoJqPuhzg9ETKjwwjhMb4kSPGLPCFJhDh3IY5cFWVPHsI/ EVVePP+vraZldOaq3KJsDwvXKILsWqV1hbQqmd9hwZ2cVZQFt0hTFEfW1FQd4w5eJ9oL7pnI8Ru8 2AaqPDDyOxcg5cfMScREMKdeNqoTDYVD1QeVrU8uOOW3cm4pPB6c131/HUaTRLbbhu3voTVyD1mv AfKsNqyI9nhFU2tAIlNolsITO8KDGDaNwo0absGRbomEyW7AQBsNWRi6T0oepeJbrTDgxJfEd1qH JtfwwUAz0BJWNJgnHo+y/G9sGqBPtDm3OYqw1uTdD/bFUSmq0HxVHWRUXGCsFEF4x1ZRhAfRnYb6 sQauZPaHTow+BoFVJz7NDdqHuNOAqlwIGXN5wNak5+NL/hJugEWwD1ZLO3mMamHniMPjwSqa3wla xmnQyH7TFMXBdYKQ6tQ9BjWC+eOePckutz7QTdMURVXrlSWBzSpITrcKAvRPgTZNEwN24KgmR+98 EYm1GTvPyo3EaONLghyWKmBDXpCjejGtoB5OmR9A3/F4RKH2G61I4hlrstzgekTBNdqKjxsdRJP9 vC5EcvK87hLAPLHjiIrW09qM/Q52XgM3m9YirGhlyKWRRL02QxJsT6QLZH0A/aJ3/UuigFA6G4z1 AYkAaYWfDG2EwfX4Zn3ALqjNu4hGFFx3dIpTLu6RmTAzFhjVVFxEJst5NfGToqK16HnScthXeq8B hYWGlwRAIHDFKWqwsIDlwIkeKccrKTC0p/AlMdFc65KYENpLcx2lASc/3ORIY0dYtt53qzGfg8FK v4X9EQKuM1Eh27QtYUk3XZNoJhfDPkGEy/GOqHKdWeQYlTHSoDfiDyrDgxI0JAacYxwSWomJXKGX JxiCZVzlPQpl4DsCHCjR6Ftcxt1Yh8YcnXO/mLH6iR5HVLiWPNftV9NLMDs5ZoSYkEEq4zak7Gy3 XtSbQdZAtSuWxo4ovoY0x6DbMwcSTjFBMGyF0h41m9a5fHomKX2Rc4eQb9Zyb32kMPiOmI8P5YN9 B50fIJMRfNXO1+Dx6DAqXxM0mMaOexRF4XWHtCzjOB1F/CY1GfN0Vas63TpsROH1pA102fsO3hw6 2xR25ayEUN1fQnLA6UAJ7CRLjxoIyer4kr/OImiGckCzh50winERiQUhPzwfraGLeZDYDkp562pe hOE13B2gEaQk7SQ+21KQ0aY5rQ06MzEFGVF4LbUdSmnZ4HOUJjS1ae6wIW5W6C0GMzFZGvZHfZfV bnxHsMuoUDwT81kOutF2GsISw4ETj0ewGW8x8tUYNU6vhYwQbT0JBandD6JShzOsDOTI4/oyrEaE t64s6UMP0AcvqJ2jbXUp7y60msSwgq/hT6Piaf6CW1e9bdxB62jPdB9sn230Pn5ItR54PIqLvhlW bFkJTswpigrXaCDss41sn50/zvdZ6461PtGIV0NGVLi2K78aWY915EyiJ1yxCrr7FCU6wW+R5Tj1 Y6FfQOUC22bn4/EdUVSEBL8o3eegwvWZfcmmkAo7wtC6/9JsQjAG6R+boDC0XpQG2cNy2FaKx0Tl aX2sZS5Y48/Qem1mphr8wp/1/G9XWP7sOcBlYIsB3QBIJ3F16ajejBtHGFqLWSXueQFBVHUp/ASF MkE/Jzle+IAg0S+/TiIs3lae0zrGhLDsV0wufQmmj+C6T5NxLvhbim2zuG5NHAppDRqsUz1BZWiU amzntFwsh4+VUMuawByXTMg+a/vbXzbqw7LqKCPDDidigciRzjSeRdDXwPNRMcRTNP278CaZnsbO sHC9WNEnt/Q/bMk2KlkRjDJ7t5paYRkVGcgMtfXoMkOsmw03Aznh4qY1Z53n78qqhlD2r+NXHAyK 1EQjIXaGiBDSsNOmSBoHHdcLQEeZp7SGx4MperZa55qDgmy7GkVReI0WMfZaM8AD8kkDPKDurzR2 w5iCgIcZkhn3EJ9h++AzhGC4T7MLbeA2Ul2v4ByCvA/qlV6ZpQ/PDLmMKu5vBUdUXGAWm85vaVFR L3j8X4cRq1koafRy48YZhdaJxlepWDZmuoeKi1ikkngsKmLScQrJjMr0CwGzHJxABI9hbAWCr+DX R5WQDAWThTc80cW8lFhqF8y4ek0FsEraZ6vuqNrO3mtGsVoVj0caGOkrR8Nl9sWInVFoDb+p82Wu sned+agb663YWdxPdYal68FFtIQHxeBY2fOHnN3MDA25GhSPeeWj8VyLuV2ZmRPRaTOGhGCCBk5d GzRBGWJOAs2kjMeDZv4FOVLm4PxJJzn3w3pGoTXsx05OJhUnHEqdNRgiQrI6sUSE4BbRFIVSe+Qw ljrv4NX9M12dRWnoXwA/Q/GCDnXzDuJd5gIyvSICrmdIZZQCamb6sbKnHwWAxy29ol7xeNBmvPIO lC2Eqm6rd47iyjXKsslMwqH47c4WZ1nYrX/y0W0ynzNChBia+P83ic4aWSPtprjx/FOhUUhHxQ9S aj+4TD0soqPjjELrSguCTVSZBi0idBcVN553xuNR3Pg9QWi19AcoOyNESJfrZXcR65w9LKrZKSBQ QDG49Yzq1uJ9sAZtg09Qoe4OL7MzUxkGagIVwXDyLFgEi86ppljjjALrRK203dltBIfE+kOYc37h +a8aHg+ys+6AB/XQOpj89xiKAmvUTk50kU1IF2YHlpzBxuTHrHhTtrhxhop7hDkUijNr8GPo5Agy fKC+94nSWfufhF8mtlS/zK223jVooBFBPBrlPjmoltYWvMdZS9t8PAJdfTfQGnRYyuV8zAgQsnSV 8Scn/nMWR+ynYlpzJxraLjU8o8iabtZn2XGGar4zdPKyExkOFD6whArK1rKaB3Bho9uE4M+L1sSj zyiyhvTACbBIaIH79Phxmaq5xR1eJ2XD8xGs6DuBzfhZ+u3Dzj9JjHOMbCc1BPfspG40KPE5MlOU GSqECFekQhoHr8jCn2eD+cluPqjUknM6CXcDPzgDxecw4lX0tpH4HumZjQuQg0HTIOLIkOjkA3g8 iqt/0RghWgvrVk3RiuLq89ms65u6w95PoahWz88mME9cRSsChAzKppXMBLbkq73XE7BXiTogYFcN 6YadfQZ5ODQNaQoo/Qux8lcICKGWU+5l+qAEdqG+hX88d1rF4xGNsXxd97wQ5z2pV1izrkQRL7/K lKXRfah3VwjpqIxpgkJACKU/2UW1wXKzMSFpBfIRrjKUDWjseFYlYloyXS53CGhUfkdUAqHn0GJs XVnc5C6DMU8mtAxFUTwfYdO+TyJombd9g+oVqlbT3iNbuRpM/Os00NeFfwKDoRkKESFbRPM1vSZ7 fiEeRAsquFXKDvioRrGrDVmWCjrByvOJhs79hu+I6mj9heYJClEnQgwh9cDj/5K+UL0EFh+3jrai kBroD/Dwk5FhzqGdXTu/09hGeJA+LR5aIdB6SL9RsmD1Gn3J/x0FOhp9sXqtRVSIUoRl+S01CgC6 wno1dXVLIqmBg+EbT84qsvC5L/F4cAzdYiwfK/RlehZRFFXPrXNIOmn4J8cQ12HtIiRmyfAgK6Qw kumRiwno1yemJj62MKZGpETQJJxQMFGNXOV6W7CbjfwV4UG6/OKQhCFkRB7P8xUhbWNdDTkknv8X 44N1LKJk9xVpXlFUjQY8ALGSczBhd220uZrNUR/VlHhWqA8yZW3dkg9+FK3KrgdFrAd7REQVoZMt uD4TW7OtIjhtRXiQRcrHiTqbD0rwsZFZ3ocJNB6PUEXeO8N0TpYuL9hhRRVr+I2DE2PcKiSXHjUm 232QuASThzMUhtWFUIdOAX0Ofps1iHCnTrfPhqYay7GQxSWU7Pyg9TrFbQQe+JJghvoS/2xrMNzV qNAywXSdq6Dh8WCGqp9FYlOfNbRuSLRCFmORp27pXkfjQUtqVZ80RcvIXtF91xzFWGsKOSWZNqdH rRkH9om0RfrIMJiksFyCvssCWp+mig4HmUOvG135hKHPPDVk2SmgUk1VV8g5VTwenNdXFUwNWJSE n7MoZDFSNm1b/f587RX7tsYQ+6PLYcQrlAcpsoLJ3QdPztJJpmpWkxpUvUkXjwSOysRWoe6s+q+A DOplA6g1agIpgftogwFm0szSTeur4vF/qaGzdIvfqOWreLXCijVq0Am9FevAJu/pI0gxabmRKIzF OQojawnqZ+KJOXghrUHACRcC6vqQXWQHNoOuOaEom9FK8LhoDL1tFBcRHb0JduBgZ1FbJgJ6AjI8 Hq0iDxzVjcR/zgcqu6PYGt4++5KqYcPQbI6ASf1xiZBitI8dVayHrCoam7AcfB3BfUlClhU/gRrZ J2/rg5EeEtnrgcqK9Y5i65YoSfzffow9QZpWJoODjweltH7b+FxzhfmzZx875DAWEh5T83rs6l5K G8NMmyERMmwZ7VgihEDZUu7g1z5UQMmoOlcaRODkKZTBMJ5QAMoll3ulUVh/h3jrzmBKnNh1Ja9K ucirtQcejyQKv/F76GTU9UxRzGIkfk9GQmTpj0f0SvxqxNcTLAXNURhfi543+x1sjubII/MbENqd TI6QdKAbkcTC+QiSggaZQYET3xEX0+Dhse5gm+Pc+lpGJwLD4//SCJES6EQT1uPrHdIYB5wqZV7B NL8lA+0DKVYcDtKyacvtEA5SzE9o+eCdfBDCE7SsEDwCcUXFq4qNNhrEECDH570hXmk7iq83DyNa g9ugA7s2pnw0DOQ7/k+KxOR1FT+vdwi3LiTmX4IVvRPNoYGbj+Ywrfga+pvEWErpPlhglM+xj94H W0MZXhASUVm8UDYPvVtOw9L77FjXmnyGQdhVd6Lk2Zyli5aPBPCzY4kQ32ZcLxBhmzdH26H2HjWt 13RN6+VWKHtVN/I8aYKzq3YIBrEkVsoF41EuOPHn2WKdzlSAFMNoi20PqOpgH7dHQwXSnHzXqE1N d4XWiw9WKLIWzfmGtPB4pFV0SfnM8qEucbWKdhhaF1nE1EuELXYkwdaouzY6FX00RaH43iZeBomz DX4OgV09Aaqu7NWnwQyl449qMPRblP1Wk7p3ve1fNE8EiT6oJJvk68j6GB//V4LGzhDwAO3G1juM rTMNqrpzh6RUJMfc6qi0BYF1KhXtEAwyBJORCEa+bfxR6F4KazrU0gYqm9hkKwkoc9YtcdZUuKQl F74jCBtLZby4mw9aQ702k9s9ax2P/0sSjOulGVrHJigKrBG/78FfgxMkaVSGu5UBEclVyMM1QWFg rWp+4gpSCnE3GU4hOQgiKZFbypSGa4elxL6Iqz31rpGSE9KyLh5sdx5sgU8GLRQYf+HxoAySvgvW sFkf/Tmmo7AamwHe6uIqooNmt/4eRL9LmBCQS22xCAgy1Vkc1NrlcOtEHUHvKGLFjI2jkQTGLKUp MAI8GmI1dofSILT5AOLCBwXVIKQw/QRhAo9HK+gbh44qWGv96sanKKaGmBLyV0I/WUujoa7yV/kw b5jkguor++4UaoN0CQ4C2aHByiBQST+XEMlVIE6cSKhQs/kcKgslEfRCLqRobXvhwM2LFTi2zTBg WbixaZG6Uz9blx/wLwAoC7iAWY6e70SF8nuJxLMp3IeQaQKDpLYELzpJbJru/5pizxgir+hoocGm 6URIZ+MnSuznDE+UmeRrevJ83EoFOmSuxcPQmp4QQWWVFVnsfRu4AuDTkKXheOILPh8kIO0bJgsI Cdqnd5ZC1xiUHVufRrGao7rK/pym5swj23y98EEB1LoRmEYJDA0+SzmddKPI2Wsyhql0Zzqh49zA Qs1+ZVSWXE1TiAghkRFsPzI+UVsVEbb2SqETcMsWPyAIji77nKKyjcWQC5VVweA9lV1oDmUrPe7t Df216hpenoVKj81T6L5ICOzSubweF6sGCb5GC2qgyM7KQroE53RQZGifDWkajyDLtteNoI6MHYsi yeICYQ3StVJzRE+fsn7BDTy+7v8NHkCr1xclRVF2InIfhrh2OMGw1Q6n2rYDZukqY7suqmMPImZP wtp8sPWElUNpHqyngqYZ6yInMobRIBAt8xKIS6ZwEb4laIdM9UFy9cGwD+f8U7q2YdyQQnRIucuJ iG1wBle6SLWcwlI26URtG+OqQVpCvD0YgBjikYlCkeVXXMtmWw1IEBu8e01rCBBbwCeCw1HaMiMC eH8zZVu3Cpm2vW6E52OYlOnewEF3HSB2BIycvHfz+fd5qtc3TpMKkMrlf+YUxtzQLQQrU02RQVAz caEnKlZ/BFisea+6KOSGQgvULjhLHO7plDPqRtQKg7QW7qmBLgZsxvB5rV3tS/Qo9S1/ajsOCl6b bBFRj2MM6cLP3fl8RAH9pV1IH8OLDFUY/Brrnzc895IhHAEVcfQsbd2ycttEJV7uuSjuptL1gpKW D94XOctkZgL5GwowiMYInwUlnaC+VPxsAsvMXjdigdLeU+rgMI1T2R8EXFZ22Pzn80E88Kjxso1y gqa+xjNNYfC9oC4/zPsdVZJarI50VtatI2GNmc1epCBStsXdywffcxUEYCqkn/C7QgUAZ9OQktHm xrsBgcyXU+wpw/hbAuEcfDH1xS7iOaQGnw+2XL1HExYOS+uPVE9OYWWb2nOYE8tzc/ILDv5RDu9L 5fqkpRg1woW05Ge5vvwsT/p07s3JLlLfJ/0m64GhbEdYCmr/U3Er9sJBPYkZCqjPPmii+tiWqAzG l6G1zK9cF/oxMJvweQptG6fk57ZpqLe23JJwpX2TXYR1ZkkYIkdESy/lDr6aQCzZ4ALWOmHxnOpU tgtVXCSJoAq5ryXdqnNg24jcHB3EBISJDSoIoF9KZMM57Caf/1c/sojLDqn7eY/w0LkRUAW4h9s0 DVbWmdGVub00OZsXTXJg3Si1yYHubPHBct45GtraaIag/bwWC0soghNbQ0LfFaGjMUj+w7qRUGOK xGvQz79g6crWxyqVz0fyxd8YJK6l/hxNsXdjpsNVtbrAWqb5BN0eCoVD2gguVaoL5MC8ERcmF1F/ Bi9PLvgIFNnunegJaTwFehsbJAUMsztJxd/2L6m+ITX1QWICK7EbastEyZ4rgs9HfYB7MvGs5ja/ jYAc+Deio74EI9HuOv9I0U9W4CaTdeobURzHFlMYhW8yQhP3HAerMJ2QCS57hNpM2F9Ng95ingD6 xpnmohlsb+fAwtFlMSvZ0xqEtVlD4DtcmJXPR1vut80lqp6j3hM8dHFE8rVXMqWsfS6MW0TJxapM A37IPksh+ZEKqwUyHDb4+T3AFlhU7JsA5lOa/nwR6HlgpeaRrza/VI5yaOO4JuVXUIbnUH05DbhI cDnBDDS0cSzzG3ADyHJtX7MUaWJ3kkVEUa8sW5pO/6buhilB5bJ8z8UR+JasSPbB9lyDamuH1CbE siDFsjNV++g0mCC0kC8mSdFAYOOIg0mbbVQfbC2VreT+5FSLz0f6mN80Y8ApC4XObJJCBuQG+G/V ZgG4juxNuazlNoXw3rS0NzRy9LpAXz5YaNkgsH1SOISW6SRbHTwNtLkxsDojfRFx+lVrCq0cAVRA sKTOyfLOCWzaqO0PBazF56Pj23tLKpGfOWdxwmYplBihRmYta3vaS+sW4dsUISw6ODtIMgd+jgD8 M1GZvfhwKREgi7QpXn+B2qH8HJH/AY1A/JTFArXa+0a8fiJsacaiQbFA2b2rA3dCDT4fLKbxXWtq OEtTfxZTGIBPZCeluxufWpOT2oomp1XOTz6XT1KI2N4yvaR9KgdvU0IGKiPBqOi5jU15uhPRoncC CnFhrcfQSWna6wZC9Jv+zdBat8Hru2loKaxW+HxEP2pfi6mAdzAuuyaHto7Av0OutFtFThUUMmq1 D2mdOsedpSj6HsmaucMHn6XS61myfUlgbPG4ZGWgsHyJ4uXtxK1kbxv0mRh1j06o7Ogu4pfBSCnC Yc/G56Msxe84nGgn91oAwt+1FDo74k6D5JvTI0py+azknAnkvM2ggDm0dlwETuTGjkpuD/r/HNSt JUJsW5qQXGf03XjOg8CB5Wu5nIBuOfR2XGZmQAbJaM4gQahBg9OfXlbm85GUX/+OBMBIBDT1zlJY AwdcN1FHn8WllFn4IWm0VgImmMshmrd5ivX82EpJtMbgYPPUOyxW9hSPBOwAUpShhYNgaUmM2ehs 8rwODR6hT4jqN6drOvS2VFiHCOCey+TzEf3Yz29ZYSB3ht+Lz1OoODIA/V9Z5H5ILW6rWrbbUsEh 68d34PGIEJXOc+7KewVqWx44/lG0QikOmmaV1xyqFxMZbK7J3R8KoeT8lqgaJyssBk4JnTaxGQfu gyqY+9r8gCgC71+7Dgrns1+VqBzaPOJXR+XNgO5tzMtqY7FIzYLl5NEcuDwCRcEzqanG267QcQUE bpLLhkJTgm4XDPoWVVJSgoRfSe2557a9b4ATIFBp5m3D1LZDyCTacz/5L5+PSDe/giZg5utllOTQ 6RFyEHszj1ET04i1+SQwzdxWOq1fFH8HVo/AckuwbmYfHCgAlZ8G/Q56h51zkGfTRJkc5E/IWq3b CJ/LXjeaJaIpRtNJThsH/mVzNhP3a7CaD9wev9Vqc7d4oO6nWxDaPYIsD3O4ZpCTfeGBdPU0clJy i7Uc+j0uGj3m4UKiF9iFLVcHuN+ox9HasNIlnBR+6GuNx5aGIpE59Hs0MaQqBZLqCiQZfkCU50Nz hc9HZaZvxShwEHr+uuiiCLyRO1HmNCXfc6i4Scb5t/eFnbBLqmmKzdSlp6V8pV9aez8zcM6hDepx nbD9ayzH7UFnXsj5MWrqavdOe98AV6HYshNewUHVOOhaivl/vonP/8u/R4yCBXblbYuHro+4bc4W n7bn5qiufEzVB6vGjWcthTVw5ftynC+P43wHfeWEud0YtyfVIpCS0J/OmjRL1pRMKdlmKUSfcLPt IcPw4Sp/aG3JXRrLlc9H8mO/xI8Hb9lnlkIR7ULjnjpsltwEGwIJ+4r74k5SzhsYP6KNyllqKhC0 fAEo59Q4m4P3HAwbehusM1E7sEEWsRHYrWigLnvb4JpbzOa6mpjdmpggwMIwGX/i5vEdq2jvrx2X EyTTJNuiWYoicJw4gJ4YjGlRvlsq2hQ3Eghl1uprKax/U+Tw7Jjqg+OW0bRqJCe3BK6yqnEo0/1Q 0y7zYJrqiddurxtpjUsaSUp2ycHd6fyOSciBVDOfj8pM3/xkkO06KpE2S6H/ozR+6zLoMgpzs9jB tHAHyTILbg42T7EF5BbeiwzBKrtB4yxNJv2sxiEU27IZR5G8UkmuPhxl4rtz6AGZyZo8IeH2wc5v kMM1TSiAByaQiMHX12rCmjhRzN1yoQvk7vvqs9FqNXv9m+IhWkwUMrZJigLwJYp7oxckB28TnIQi EdpKF5bV4eaOYhywEgtoaAYDzuTu9rrBYuqapZR90J5DvqC4cOzF5yPw6W1hJrNERERyg6bYChIW fjMbVXmvNL0511VpatRuWdnnKUZ5s7BdBG4qNxggcLlS+RiVJkTzaIjPBaGkBfkx2s879ovguBx6 Qc6k7iXpOQ24TJUEOiSYmGeM1jM/INJG/EY1IUGc5bog5NAMEhk2nBa645iLT9Ni1UfqJNO5pvkP N0jidaQ9wsHxFauegG6JMAB9R+hFovA34OIHLQPyLWTdax3xwA4S7GqyKiD5SedNJCxcRLMNUeLQ HeUHRLvuSpH+SEGy7n0T39gQUq0COB2Yn98yYTJU5JrJtM+eZ/OJigW2aZRByq0GmyiKYk2zhzp5 PGzwCERBpxVpI0xVLiY+L3vhoHJJNPxstK07cfeWOwYEz3rjTgKPjB/wv1hGoM/c83Vcz4EzJNRu KNSaxFiGoKrZHp0Zw1YWDgUFItUHQmvIvgmtaFSS5OC5yjmbAM7ZrMmddAVUcmAHUOqBo0Ymf9RI Oqnb60Z3HY0PkYrZoAJBAWRK5w0KKYE7JDLYe9dd/8z2ROGhPyQKfufIcO/DSfKIydyZdAB91+9q ioAojfoASbqt6Uu39eQCtVBjBBrStbA/g6JclWo7IbSuV7K6vW3UoKMJa1LlMnnlEloELQkzkQaf j2jwt/FESF2lVdSzmMI6OIAoJweVL+QJa1N1kJzCBNQHBjhzNkt/29ikwmITB5+lcy1AgnzJTyuL WolLdf4sQBwKtR2159Ze9rrBnpN9ROZR3rNXmzqEH5gC17w6nw+23JVPknRrO1vukphz6BEJGUdV vFGT2ygsGjD1/FaDZnbQ5KhekotNIpc0uGrywWdpock0t4WXJ/KlG9LJQPO5adCeA9/Sa5fdXzfi erN22SlUxkGLCcVeAs/bXovPB+Fl/iYy50w+/FNFCX0iu0o+bTMyEl5nm247jSJp8HeuD+qTdn3U X9qJcxJ4ykETBaQzbUeJuTzpyOatePIXaDSkQV5hSjcm6MveODqcClGE6w5aT2CnSwYnIakLzCLz F/zLnMoKGLsXPRC6RYJ4gMOpOu3C1DhhOtrMGEnYVIVOgVkkdBroZjO47ThonqAJBDEc+oyf+KbS AwTy5chgUMVAZueGmqTF58AsUrMEYvesGoqKcqnlOeuDHgjcIrHrvi1JEB9UrGufpBgOLgsyZxZU 82qBRXRKjkN5QE2hXaTUbiEv5YOlvmdnDXppUjK5r4WdBbgO5Osg+Y3/v+V0I/vbBrjUJZzzuIPC 8NkFN4bcrZ4P7rlrAyCt0oyyyw2bQsdIKE9vGDtb5kvDFWW+oGEaCYxQeU1SHISzfFKfwSZpgOU9 J1lg1NBE/AQ7dpRqBXZ8gF+qyIWWkegTnSNJlpH0HlN2gR5yp0vWSGj3hqaRNMy6tSYc4G2sZylF MXgl97v25sFlbS5KniYDRAWX9AXXPMWFcCvJZR9snjoMKQBukjPJOdw7RQIhgEKQOI7Ha2/T7X0j PiGTucyNx8G4YK00njQQJObzkYDZt08SuDM9P82nwDkSvvWA0A5TnII8l6t1nJTL4ZYpLYfMx8aR LFjCkM2H2y042wAUDPbE+0nvWN6tiJI7r1WUsizzhTWAviW2SuqJ/mU2eEkukZuF2a58PqKp/GI3 s+s0n1kKeZdoPdXuQuUUn7dZatnylJNoVFOjyIF5JJYSq0xzTR8c4tyIiyOxIJ3oGwApii2ADgZz ndwfUpiMx3NoH4nlDe1/ypkVYe4ZgUMrntEimjX8gKi++z1NgzDCawmQAwdJ8HeBl2fNTlZAzbED jV4Y6opn1wvMgYWkuvpo9KblgwVNidKDRNC0EzKf/2PZDr7zP2vQWTs/jlJUe8uBiSRCyySCSvdB QdMJUZNwW7Pq+QjT5GkveeAoO851bSRz6CM5icWuxIZJsXybue1Z0Mm65QWCW3eiIiRKpcMEsLM+ 2NF0DmbMUxbTubIjQVjT7PBtRlb32JOJzxN4SXrvaVO0SoMdTaUXQUo36DyBmeQ33pLaiogFZ760 p89rxFQz+8QwljJR7tqGy+QsmooS1jSGNzJf46XqdPma8vbBIakn4j4nW5oqNZ1QvCgChaAAfC1w iV/KE+Kl12ipXpngrgnqPkHp3AeF91ZrC0+/TM95wW0cw65ErtEIlbuNN0Y0OwiCEpAUyktO4Fcu agA/MBkFE2JAtoiC+TGLu07WRemXdYEuAZrVgwnKuetypVLMQDm3QdQ9E/Ql8wQDyr9OEAqWtGvt ZPBw0AR12nkxUlp4+m2C5EhlE8SyVIEXabb5eY2SahZz8/y+2eXMUp1+tZ0Uzp0TBoBJXD+vURLW z5CJZO8+GAr1hFhUS+TFBh0bycNkkBfOjj4zsS+kmb6Qn9cYqcoPGXyKMX1QIEkbNKnj7PZ5DZCq bExteogLOxEKugnFJug1QKqW4U5iaVjzrsWh8a23aUXKByfwGhxVaTYMbM7igy+fkzzAmJKVN9zO Q5KKCJd6p1jMrSgBw4qveJ+eLPOWrkpJRytKf9QJJeRICjujz2tkxJ1SbH6s5YIcYtnsvMZFeGjh yKnmXrv7rt4R6P22Knv19tJrVFRdLTDndAdvmlSAJ3Fj1fOPCBwHC7hIJRcw1JCg9s1FdOLnNSaq kgs3jXsbDAK3jKrTzpR9XiOiaooJd3YaBJrkYkvX02B2IG8AQKnrcc5LqzjBxlV1zd4HeI2GauIZ BpxSmT54ObLPkzGAjXvSxnrumUR1Krq1LPSP2Ysz6ERKetX32VkUc4UKsw+anQRAnSlPlc9rIFQf k63zv/NsxtpFgVHT8xoH4USnYFCVCxIL27PcwnYazeEAbZr49mscVCWxj0M5bx8uhhLcbwB/0U6C QYk07nFbDuh5ZKRznsfynn2NgqogE5RQpOU4IhFhQ0GM2mzAnXXeP69BUH2kuTrzDoQ2HeLEmqDX CAiHM/pI1uJGpVbiJTx7CBfS2YOlJFXg6GgWlgQ6iDbY9AA3CuI3wsQT/Jx1Ruh7AQuOwgWZ4gIW TZP0/Rr84CdgCQS0fR8UJO5ihl7thE+f19CHb1htdogXAMYpT18+r7VH7K4KFPdOw9pHlI2VDM7M Dk1a2fUVXiuP3NkETWayKjn47MDCZmT5bFAUb0tAkUE09MlwqDvMrVFiLZidxVipZAZAHFRNAzxM Bm2l4On32XGtqW7d2nNIgtai2XktOXK9knxTs5/MplyGRo6LBI0KOpzUJ6KTefBIhn6XDTY7BQhA qJNhdk44BEU/nD2AbcG0GN3odcFtNER6rTVWb6xNCbvNbGyoc/bsKnA9C0SvlUbeW372UN4FbTlW 8BQWRlEz6ozn7JkP/6Zkn6AhviD5NwQ+ixkYzRBR7ufUmT542QPGRQMCPQic8WXMaisu6InaDBmt LnXbJBYQbS9p3wwqcpVxFd1O7iOMTTuB1ued8Ib1N7/vdlQPRAvSHEWxc6K/uMquKH/Mlb0/W7Zf X/D+ce5NGDoz9iH8UYPP0EnuMqRy2Z6lfsmiO/TZcwvd7kIPObVnQXvmywbnM0FaGYGsDXYAnUi8 iQFR+XgUPHt2QQYssI2DqZqm6D18hlReQX+/FO/0X3jNOTv8DEIV0uE1wRTtKhzbaj74FJ1Lfi0E cyjHgkwnlSAw3+EsgR1zkwsl8lH43JsQ2+MOyk8Xalg8hBq4Se/xM7K3ZjPELYlVz3aSJigKnyvD 51VMq8xKirzCWvcrbFNTTFCI4JTmqSfEng0O2WbrDPEtmp/QKyUxiS5Y1JDJqH3cOyzpZYP8i8h/ epTbYMcHVOKYfxWAR8MIenwnYEAzMJCyGXoPoXHC8iQiaoEc5VbcPDON6tf8JEDHKrDRHJHbRlcu GywFm2huTLroQWoKcjVDrFJUORZ0Speh/c7dVPW20UFE8QQkKRiAXbJ+7AZYB6fL+Qs+7wQ326ae hf2whbZAK71nURRK429DWdSwImy2G1ybHQWeRaU3b8e+x9Jofssdst3Bi/mNDgCykIAqUzU5LjTC sIT2vMCjQaufd34bNjOl7eskS5mD+G0oEPOwpTfbO72tgjTzHSrCWVJNc5uhOJoGOKuudtv6XlXs Yrqrrd8d0B4F07rx66h38KOoIzAEkgL96rMJK92Q5qSexonRcWi6SaQO6yiYbhSSpkmaDca0OZ+z pBeR9+ed2sZM9240lfwndtvdaVE4jdsFaj7e0ue/jkoHMsnmlTI0JzRDUTzdpZWAlo0Nl4x0jm5o AejGbwoKTn52LpKRWJ2mLiD9EYhX/bzz2rBQyYtJiRBkDpqifPI9cWQ2SJJRRN3n+LrxgcVEt8pn 6D2klvIrdKaMibQF8WctKNtyQi2ouuJNFFMPXmekLNlgJ9FJNuh6yFJWxmzLXjtTGhiH5WOdLVu2 d0pbFcoIjJFRfVA16GR0fStjhdxNFFSv/X1YQ4e95n6PoSiq7gSKnm1sZJGUK3E0qElDVdSmCA0v m6I4sMZNRpVOG3yKiHWF3TqdWkoie3Th8idO6Ac2y7d0P/W2wRRBOjg1YIBt0EHUULNcyrTW553P xrN6/brPzq/z5KzvbDbe+HTR7J60lnqT1uIQ/75Ts3Poncx2z6EifiQHL0if2BV7K0lMOk90NejE uiG/dpIzwBysII0G8+edy8Yzk7sL+YINusxOrKNuSp0lf96pbFVY3ycxAxWuQwvaJigKq9H4gcGZ UUelD88Jqiou0kVzuEzZO4uNVyJRalvEo32JR7C0AZ8fd1UDpyObqtQ8i2aiVlEIKrNjiDXFdxbb rdnTTRlDR20GfxevyyIvujw/7yy2X5eZXEgq3BfvGoqq0hMdsjWXF85ycYjaQunNLMdQ8OYme6ew Wc0PR3S9wyVAQAdYqoAlAQpJ6USeObBARFh8o8ZBA4l3BluVxwad6amUhOUvAkwuqO0SPnvixs87 gY1T/Ktuz1C/3VUUBtaTYWMygBq6TLl78awZ1gFcmmzd1ncCG76fxIea+/Lh4htOBrQp4QrDDVia sNmKKAgF6p9GprRBZahG8k5fw5dsHUX5Djo/VlpNOf5J2j7v7LUqgRqbI5Y/sUA6nQc0S1FwDaYw RIysRrRqdvkICeorbIRZE0Prd/YaMoPC0mI1k7+ri3CmAmL2ZlF/wscsVH+D0vEgdBLJnJVgZYn0 Tl7DHAlGNJjrc+AcAUPLGuAPDHE/79Q1XLr7V1QEYftOD3hNUVikJoTvNjjGvorkSS1qprCkZnOK oip1V5UaIFwbvMOxkhU4sIxAsSVFOyNYPxccogus+SkFTtxLn3fiGss2tNmgc7oGTdE8F7FkSE44 +XnnrbGicXuIFOtExand0Pqdt8bjCJrkghOjlpafOv7wSuNIAM5oit5D6yyRUljMbB9uIfasoUFm Wjt3f22C9zWKlBLkSB0ub5ItvWxQa5QWeSVyb1VH7jWI6dJ57Pwe+/POWcPfmsv3gc1tO71J9k5Z Y5eMpXz2tSQoPczZBhj1Pj2JpWYob7X34BposcKAKN/BW/WgYkGHhGgrQADNPJtCNufaBT3EE7Qy 9LbRFLFTVmQWmQG75e6owFixlAGBoc87Y60+yvZdFHZZi+97q4UF64X8Y47th1Fxmw3Y7t6SbDa+ wzthjdVkLqNNyCwHCx1PXp9kz4r849wNnc7QM5OgCfApKC1WTxtEFL8T1mp256jMELJ4Wfz8AxSd uAxAWHvnq7ExeJcRLZQgQoWKiE1RFF9jE4MrXQTQg5+Kb7UsxDq3GgWZudXew+tbC0mt+mDwzwy+ NHn9qIVAyohhVAZ1t+EqBhfOER90GH8nq3EZje+L3y19UcshERj7b3zeuWoIPkf92mmohp1t72fR O1Wtmp9NpyYtMVbZxZOha+2kmd5dxeadp1ZFjhrQlSk++CJqq8K3jt5aJ9+XqjTUq1mlXz9zXDkk +uZ+3mlqVcgfGJbJ92dbMgo3QpxBzNxH/ryz1KrQ2poggjzR1ztb6M5QDPmg6N/cfhaVYik/SPb2 35YTfzta752mZqW5s00SvTY42CJaMB/BPYDWRAG/lSc7cffQUv6hOGE3tkzR20ZzNHQI3UH7rNXL bzjB2ztHDX9sWr+CR/IhPCx6J6hhEbHzmtpO1v3IZGmx+0FZDe2zBU0+7rN3hlp1A/a2eWpzsGV0 lj4Qi02SrfAoWcPVlBtLlCs9cMahtw2yNLgqJCEhNdgyWoWHKUOrzzs77ddpLdx6E8zbpiiKr4n4 nH35QaQUhJJadPYQEL1Moxe/c9Nu86PvdgfHoSdkrMTro7IPjLW0uZGto6iUKWmsPHZSReOdmnbb Q9Bn4jBcMyT3XOVaewKk8nlnprEY4lPE/iuwAJQC1xRFwTUpMqPOZjD07CXsZfIjRZZIdla/s9Lw AxFTNRN17DjYFBV0SBfujrPNzl8wK10UOpR1MhHJtxLSaZz9Tkm7OdqcBFhxcEBslhTWuSrL552Q Vh8mqO0ynNJPZf+dj1al8Yf1bjXrTcUvJh+0GdQe65JIxvxENeukUlGhBhIHr4QAp6gjEdIZDSIR 1EeiAtkEx/GRhGDu8U5GIw6MZD2KbmgwFND5l+VhOHr9vHPRsBTS/L7LAIDHRWUTFMXVKI8C4dkd ACLFOWM2znlz2GYIz3cqGm4zFkFQ+PDBo8ZOa61JJOyZ7LIZErUOrRpgWYHgvsRGAobfqWjVUdU1 UbGGg7GHoALOc2hNvuO/mrAU38y09bpzFEXWAKdswgtVLdrXm+2c08MxIKNateidhsY2NTseYl5L hvqch9Q/bNAZ0zmUcXsxy+/YdgNadqVQNZpZPilTn3cWGgvzkhkrkhkDILGrplEh8oZL/OR9n3cW 2q8OGtY4Wg2jPLdZFFc3uvrmbQU1oDVsinorPkUjeerxzkGrLgTRFmmNHOwuO1E1Gx7sU7dzAYHI hbr+2Q9QaWVYfLWQsS4+7xS0Kj8Y6PnRIqHTqoM/PRAmk9sO7brPOwXNmkvPThtUuJkORHsnoHFi Wbce19e3T3M+RtvY2o2jZEd5vtPP8PpShszCMeYvHGMB+hXG9DAvgBcFSdnMODaaaIUHkRWLyD57 J5/hB6VD5IQUoQ06jEYZBhc/f/fnnXuGd8wXjSb/unOm4UIyKGMYWaOam+CjoZ4H0lljDafOZJ1u JKg7SMj+nXt2G0Q4b3ywPP9sA7AOpdAO48giPBo6JItKXHT9NIdx6v2/c89wMFCKNQ9m6Hn43dRO 0N15Yp9NPT/v1DNs1X5LIWwzorLf6U6tWQpBIRXevihVZJZmexGG+rz5JmWY5xEikYoT+516RsAY y/uTlxoHbzMSlkR2/Jm6Bk8WKvqdNfsz+dOmp75Pq77PO/WsJu8RpWcQsggnA9cBZuvzzjzjtZ+/ 9houiQasks1QGFyfdQ5yqcjnsGdrxomtCIe5oHoHK06rKCpeNxnWjmewmuP5q85uwzqFzn9B89Lq sgmnH0PjaxVJ17LPO++suptmB3zNBs0Q4LbM0qF883lnnTG29hyWdCqwFUrz2PqddFYlTYqc0yTq TL0vETXMgHvjwO5OgHlnnFmTEz1q0mCqy6BUuirt1Kms0jIOHmIfV4VZzoLpaJ71kT4maeGdcUZs K0H5WS6YuTg6rVYTUEAj8/NOOPtduR4qy07k+XcVhZVrXIWpQiHOKmoCPwwFZa6V1ZuzPN8pZzeL PYH49sFm6Zz2Zwbx51cgQmBkTk12SLvCGOucDMhwlcYyYf+8M86Qxg5BZ6QF1ZvrG00g2sXXaO3z TjirsgZ+cjTov9BMweYoKl3jLj052pTeKqx03PAHt4a3iejegEvtnW7GsjDPaxBZbfB1BIJ1R/IA PcjzN8pwdG0WxyBZ+GCLsKj5HXGeD8gvRR84WAZylqeS2L7H551qxhz4VwaCYjm2ik1QiAnpibrQ xYLHacIhgMia/kOBTIYhHt6JZtxoyEBGZvA48m1Wn110QkQTNVoVnbNGGC3P/02KTrrRtVZQVLeG kjpApOMOxu4Y0L7l6dLq551lxoPsu9FIF/aavFb0zjHDU2RSF4enjTZvdN1y8mpa8+j6nWBW3blm TLaJOPgeO6tzJarhVLgJ1mX9EaQJuAPhPO0HETGg7/wynprcW1tSvttOO0jp5spKUWu4zKLY+kFe sVs2CCDsd4ai4LrTBimxL8NSEfAJjiTeLi4OWz5jMbQYaM38NRHC19OF8J3TeqBGRwksKIAvwlUg uAYhK/K9h1tFAjnPtw0ufMqRL9jS2GDQqzxNBuMEp3g8iBxH/0rR6ArNepGmKAyuGZQ0byii4Hgd WeENYljizHSXUxRVrTVFbeY7eFB0zrPz72dNUYbTK7WOOsUnUHxinmQG2tpoISqE8LSyCU8rLtsC k+bFnxbluYHHg45+/k71N3Ui7pXfo+AaywjaEabWN6jwYQr1tB+jQj3l4kiFeQ+tEXBwo2kXcbCT qMFxx8wOYFmPkhqhotC45OE7L5NTKv495Cmu8i1JO30RgaulNuq5VfB4QPXY32BrRDGNEpGaoCiu BsDlTKZ1XOGaZ0to7VKvnOF0AboeoUIGIcT0crFBEwRD+gUlni1Jh1Zl/0vmKAwQBHBUfjape9Gj mnVlfkYBWBs4QXOmM+niKZ7Uo0dh9cOlIjhiEvDgiP0e16xheUTjaWFCkiNAV7cCEsiG3WSLelSx tqBxleXDRaOjGmsm9Wdl1Ux7u3YCxJ8FWEdm18haQ4gD8SVxqQiJ4tSAgofYQrjLeCqNs2LxfEBW nDdqbJxaLMl5q9Y9ZCuyf1Y8u8flmQ2eRkkhifdXqMFQZ6ZHofWkigPZdTZ4nk+XTALSG5wxiMQ9 GxEI7IXlWSjG7moORW8brCMp86ECx8HkU3Bn0oHo50S3HY//i9EpT3vSTfy07hFlEcgMIjUMBUpK LoPGmp6CGmxUmHz0MKxeWkf7Dn7ln+wYDAQFjZA7IKthEHOM8wtFtnvns+TYo7r1YqAJm08MqCCq p3M2bZKZ9skLJ56PLrTbY+xcdANAVI8bexxYnzmq8jTgHNXbZBzJdfuhoGSrKGQuchW1xbI0Bwc5 IlmFsBNZryWfsDFRWhUUvoI4NBE2o9MIRyG+JLjzSV1ktocUFuxgxsPnxp2i+gKDiOf/udcERGqw I7tzFBWvB/Vl5pQc/W4JyBi6HtZBoyzirTuOCB7YcWxNKZBSpw9eLGpoeiSEaA25R4fGF/TBCvTB RiF39dHCnHrZaKexvD/p5sfBSte4fvl9557C4xFELX3zOyHSwlawTdF7dI30gxW1xC4i7dco4UnZ q1bljUGbcZy5PLSj+Fq8j5rz8sGPo5M5ngWtNuPOYLxLY+5MzaTwUSq+2Uqi+1qPqtdV3CHU0m0Q ySrRcoLXWp54PCLB7u/jCP18ir9rjiLINbgE555meA05TJn0MHVOM1m1CKVm22oRKMSoQ8IT18am VqWUE3Q1K7314HODVi/NVuBZuEhLLQ/mGjpZFV8SRUaULMT5ZYNqIQXZPf/xrGA8Hi2j7ySW9kgo X/kUhfF1Z8nR3HwdobaZpK3rnJmhJ8ApimrXlnptGmVxsEV0EqhykqfeVN9fwtQu8tondlaeV6g/ U6IFXxKksU5mnD5op1XwdPmPCzstZDM2LzmqKosib7lo0BGF14ABwPjRyB8jXVSIiYUy0ZdO3zmM RlS5ngwbeyVuloMd2G10KNDnITN2saPg6kNsGCYWCQPJH4WhK77jL52CJfun5fZPBald5fFyjrSM x4Pwuq+vHA0YiTavDMiIwmuWqRO7EQaXrW1ZZFSlcwExmfMWVgsZYeFa935nelFVaje+MLrQQyYr A38EhUA6clK4DvxQfdb1wFBywJdEbHNqpGR6922wCoW5gppMY0gINVs8H2FBfRkRhwRkPDi5Nkkh 7DpxktKsNkm1moIMCnnmaFDOFVSdkB/VrjOFK1YieH9R9PhnEH6FnlEDLBc1x75JJsEttEjYIKP0 3vv4EHxHgAWlyVoSySHRHkZTtKr8jvv5f3j8nySiH3oh4nj3LGSEsBD4Ps1p9VcARBy832dqjpYd DigeUXy9soqylEvB1rIJGuOsx1kJSkX0CHs9K4ZMkeIBKfdLH0BAfEfEyaeZ6Bp38JIjGrmsyY6N x6P7rH0dRYTc5nFPoii8hu7imYtiaRoV4K3RWJLT0CoTBa6gKLy20FFMxTYvLb/jhE4Z3ABM0Dr3 LIFFJ7cd+BV5WD88NNzb+JL4KALUGoitM8xs1tdntWsXwEoy4/l/riHuKaxbOplojqLwGp0KSKKJ UQVAZbEUBMVCnUqDhpBMQUYUXssrBA1qH3yOwPNDPYQdonaOIqIeVqaJZwNFPt26Nbn5I0Rc03Bm gflvg658lBPU/jihAx6PWmiegExiw/CfoDT7FIWQ60n39Vbved2a29C14UfRpAKgJikCXUPHAsnH usOdpAXn2U3lnTNfFeQqINRg5ow0B0HUxVxXvW3URSOtoRDAz8Ev6kFZASQmA48HcdF/wYtQ3L+U 2BHVrlENBPDQCZ95ekGkbSOkn6OoDkOCjii2Jukel1fXwIKDCJ8AWUFRlEVHINPlcURqzNl1k/oF ttHOx+M7ouI+Zob4cBusdo3Ii6E1KLEjDK2fJtoPQ4wfAjltgsLQmvoFKRnmYTyM2LLdo69PsOY1 QWFoLZm9Ke75o0Z4wqlOXnWWHDgwHMCFFNYEqPU5aUkrZgPjxhGG1pSVb6gPtF2hRZ+T0o+R1Ob+ OaHEwgcEqX7zHI1HO0p0D9NqxLE1BAxoeYzYekl5l6705WqmpznNe26ElWvKX7CXaoNzYiuAfEYi 6kimwH5YLEROlNYQGnt1f0uCJ6xc0+poQHynyVZQQHI0f+VVCiA3nv9XNYSLHEdbn1cibYa168qz iGy//wgq0SR4DaJgMk+1SethZiAzFNljBtJmu4NnIBsMqyK93X5yQOoWr3OrkTB3th3DIvXRir1t kMWS0whSmw9KQE6+MwnlxI2Ax6M5ukIYmWuugYztOdqM4muIeJy91hz0ABqH0tib0aLqQ37+iYtm SGrkAmqZeGoOTkY7wXsGsJ2a8uiBAIm0OgAzZyKd9aniLM145l+cRqSvK/lgHf28Bi+kdhI/PB6l aPvrMGIUWm7gOKPYOgG7eCagWLN6qbPPwKhb3oYZcsfQGXMa5SxTpw/OaYQ2UUJ6hCz2RAPwWsBp dA6sBW1nSj85MZYSBvPv+jVBMs2xMig7Dlhgime1Kh7/13lNG55BYqznaDOKrXFVolieLhNtWGy9 2nCtkBPRWTt/xrVr9vGbpL/bU7teJ8CfkG9gCwRqY0kCs+gaQk6KiYcZOhGiNmNUCNP8zW41B00Q BHFURjwfiscjMctfeFlQmPptos0otqbBaGo0QWA9bdRkkRBcgJZjQnp1RbCQz0h1dDim+uBXGiSd EBETlT7hQYvoGi19kKJgazrulUbpIXxJsM9YdNySkuegk6jvTsUcKIlXPB40Gts3Ew3kITbibY7i 0jWbQ7PaNuvNLWfn3m7M2zItZ8+FNiNMSGclpE6RrOYlWUGLc67WJBnbRu90nD9RBEjkCLwgZ+FR EU0dZxRbQ5ioSzfABi0icBxIm2pzDDweldP8IEosyp4Jgr2ETVCECRkyvmxe2y/JS0W1OGimT/TZ NUFR3XoRNFM30bIcbILSeeu0kdkygz2hbOVdBp3hE88jE5iXWk3JxhnF1WAenpmRjnUqybxmEeEu HnxArOHxKD27mBA1KJGeVQ+sZxRYMz3rowpuBem0YelZntutQRuAdtpkoe4ej+iG2q0Nfg6dqP1s UjqDnmAilS1B9MVGGLfLV2F/610DWNGWhApFP+dye5Tcy1IagmodHg9mKPkeQ20KsUFG7nOFCSNU iOEw2Rsncj/5MkJ5wZz4oIPhNrMzCq6pZ3XysnIHJzesdaJgHALke3beIIAowNRt07tpXDNeav/h SwJAcRIDjZbqC9JQJlhVAShmbH32HJ6PwEXfVD0qK/RL1ZshmbFRP20Y0iElUk3Eic3TBdQGElRt tVArhByiQaKqBq/KlhOaFaC8cKHBmJcys7C4OGcHkDhA5PscraK3/Yt9Xmn9okHxMNQEhL86KQwe D+LGXylao2zN9K22otCa+KspDiOXkcRAFRVdhNrKnsSuCBcilCwruTY4AQQiiDPpuJ4gkYo2TMFT uhYWb+obP3+FhWtqfwIo4IMh1BrDNgBnasXjAZ1x/WIN449u86rwrbByvWn24Rg+/Lg/ZkQkwRkr 7VvdeoXAEDkSNilftcuIReBz9hN7cBUiGQvGIQOu7GejFTiCpssiWnPrXaM6yFS8SI1dEI1VSM1o 9jKWhxcQno8wat9h0aQc2w2tV6hhvXnRb8vzt7IQ2Q64Pdqow0uOK0KGiETUG52KrSbbroHFgiIM rXc3PC/JswLEBZBQGhZ6THS2Hr4jKqeRFrztQDL8UgYrXKqOFZzhFQbWtxHLGBMtlHZ7jCsKrLuE dpuRq1DXH15M6+wcCxYCqyCeRCtEXFPlu0rkoX6JPJxfd8yexWyAXjwlnQHjJBIPZcdbcRQQdMVk RsqngS1ng9WKZsmmgr4rHv/nQcSqR0aDu0vjl7MUalnPQgE1bS+o7fq1f3JzaxChzGCR4wrpjENO 15qj9MxRhqx3Znh45giE7WxMq7OaAICABamf1pTpxZcEBbW55JeevXItxvhofesr+znt8HwUGnnJ kc8RLwsRSpujKLoGLfzcwy4QVmvrvtWKuTfCDjwZeGaFYiGk58t2WIOXi9JCD47d6jKh6ctudQZj F1hjYDndBqUkwtRWBAxZ5lgBp14NCq9T7TKugB80Ho/gRR4bsco0uR4v1WqFmOtBqeZt2f2JjOYN H4kSoswc8n+bozDAroysq7ZcHV4KGWDHnCtusOzY6QTORiOaOoMUulavcdxGSxRfEswRDXf7FA2E IF4to2EHIHSx8HhE0f+uXAOC1dcNjFbIaaQpA1g+qjWeAHgK/wDJyyFEDfNY32sh7rpLFrQlH7wZ e36usZLoaAmwBOJCCsTYFz2M+npwIXPodaN6kTT4ZhKLSO5vKKfBA+CH+k4Vj79vtXVjbAadTAcA L7NJ+pPVuMRqJHvYBFXQJMruKF8o10J567B6zUbaiaS7D57InpA6F2Ti0FE7pxN6HlDfnRAErXTS dsZe4W+FLwnO7CxbJp5KHFS7Rny6hE0ffMegp38z2aXy2wkg+733o/gaOGcaVljBaJYrLltKNvl4 HCg+RbEWH+dmSQlkjacaAhuvBkDvWUUnga3GRcsoOgKWkaFk4LHRGHrbP2OjRV0eDqo6giKgFsgJ wfB4cPPv51r7kbrTuaE8etxRgA3b+w2MnbVjUc+1frVK/SI4bCM47JDSyE5+LfQg4HCzfRC0twjW uCgna2oDvSGmbD1dLppsrXcUXxPLsSnXbIMWUUZVX+fL4ONBTe1CZzpfrSjwsAl6D6/PU3NLPM1x xZK2lv+Zq1xPoPdNKD3ChQwxGZOAs+mxP2tnM52lk6h/BT8dmFkCXVRQuUY0SsyDXWlU2d8h8poA Psg6+WDoorKV7qP3hMej4r4f14I1sq5/fRp2CL0mju4E58XStPP3OMV6VZOiKefoGEZw2CH42sAz hGBxuM1YdKlpcdYAUIDnjQwH0X+AFVJ5vPTAJMd3BHNEkXSoH/mgzQG7H1Eaz/WLx/9FaZQN04RT zFXbj0Js6NsZ4US5fnf8Pm797MAQMH40QyEwhOd0GaTrc/Dy/pldSL+Sp0/R3CphYIBAcOHnivaR 9Yh4o+0oxN6i6ZHRqsEKjzDEZGX2vAYej0QdHfZQLZFldmVTFAKvF8pqy5y9T0prvigAXk8zZOxU QtUM/c1nbHKqat9OVTiXJjlNldijmqmoAvG+RolxOg2prIZk67NDvRBqOs3ENggHrSFAD7QGQEbb sRTf3Wesw+I/O9CENkVReE3q8Ja5IK1jzPoD+ruko1ExZFRjyewYGKJMtlYfPHTM55jKReCZBJga z6INsfqeOU+PuCzClc+Oitfy05k7bx8MXXT2mXj3J8D77NAy5m4zwkKQCaXLPt8RLATYdUSOedo+ q9VrIGkU92OaFeZbmqJQi0942dKrD04DOZHK3IiL2WiEgYBkrnEtYZmv+fSru71txPnkSVSVyVbL ZE8kCiFKK5Px8X+hiyQYAiLcM0VhcA3s/uzJhYtKuQ66MtOVniMp152f8xcspMk8hoMxrdDTHxCU Z0Wtw8VVbo2o+qDGvovXHAuNfvEdkeD+lLrc9kFrqC8XKB5z4/H/ReQaxHPidmyCosAaCSRY+dpP mzxzUdGqTFLItIKTlSYoDqyTaOfTh9uqTjRHHKxcw1iSUePaYjQ32B9e9NWeetfgHKIsD9GjNlig BzCd1+/weHDfp28BA7iuj/44x4Qi17SOkfIedJ3K8KtsFvsvob5bfItFmJBJ2d3WmMdy8AlqKOup bM2K4y5iM6JsDRQWLgkPiFiT3aFUCJXk1+B5zUFR9fkbpHcNGB0e/5fEHJcbCgyAy9kMGQLn7YAv hMe2auWPdNKkbFohg5VhQkIg7WGG3imMrFlLzJDStcF+1wzaYE1Y0CfGblTQA9MKOefqAL8AQO/o orXthSN/r6R6kZCOSIXM63QUBdf97F1+QNTSX99ZLNVex4WD5hSq8UHrYW9eWYDOohonYEimkhvm aaAWZnrpKRTj0zwVzVN55glHK7D1dACZwIE2mZ1S7AH8Gzr8mjoP42vKkIZ/JpqL6Q6G2T/zrBr/ mpnPB0lI/1ZSg2lkRx/GZylEh0BKTexS+gurz8i6WurWNOrniDXctdp2r3eGvL7MLqVf94aGFAau akxlB9RBsS1XhhIU8QtUv7o+ntleN5olOi3hCGggTTYBqs5Vc37JYkyzxQ8IAqTyu1uEFGSnO01R lA3dffTRpuX5KRVzjMtrLYOLAH89m09UaMjIODvRZVmDl0XOvz0znUVPPAzf6k2SKoDNi25OqAB5 GFm2vXDEBiFibZCWzsFwNGnLu7qiw0+hvzAO/SJegX/R2tV0htxksJ6G4LPDq5BQibEKW6suhzmh m+z7LipnD2leSwKCg6+oBZgRyDgQWWF1BKc41H9+YPMN1mry+lGmmBH1MYNjopHpMO9gGcmglimW BtwcUggWeRYUm2sFikoP01GdiveKNpjPY4hSBDLZMBrfuXu2AdeKerS0Afu7pF1JWtDgtx3kHScR 12eeSmoqaQPB+DPhdZfJ47NSZNr2uhG6j3JP6BbYoPvurNfCTPdkv5vPBz5Xj7zz1rRu6JSXO09x WRs2w9u6/CDwFwOKTl7RImF1KDDqvosC78kDvGTyhzjYelqQMiyNXp+5o9XI3ITKBrT8BhrATygA ZPUtsU9R23QascFRkHPI3WPuzuf/FTnJ0RKRfE7PQR4KinQgRqZfd6CC2DRlVDSyGaL25NsuCr8H y/65EjzCwcM9WDtRLBQ1yd3w02Oa8AMtkCop5WXRU6nNXjeiPBJKW6ud51vl/woc+DTh5sbno6jg m0SD8k1f45mlMATvSee4Cz8mtilYdUteFygw6nRfsBSpitQk/rWaJesJMvteRIzS0GlC8hUb9Kyq hFR3g0jzaPbJljmFYtiqA6hAOZuTIM5qWmJanuNn8Plg111xGswIMsnZH2ewFIKzRYLIVwMKwh+O 91MTDtlu6nX6NEUl7sFuZJbrDAcvKy3oeRMI0SDbg/QOpxMq3R3tlQwnyVt5K/bCkZ8KKVmVyFoO mqcTV0+yU+C9zuf/1ZXkcgJbZTzRU2jmOGnPBQ0plk1af4wKmWcr5UXAY0aFIYpEwGzCQjU8XBHU zikEdU6kZbH4OcIrTKQxS9Nd0AtLjvyWiIxNJ5/CAmUuXqA8qzUJztvOdPH5fzUms9jt0Ia4NNoc +jlCruyEkM1sDAaLHirAMRjhNAEf69MUQUlSkmnRvIPjkSjtOChTA3H1MdSYA8YATTlQ/K4wHQ1D cmzoSBc+4rtt0M8PJuJSJF4qnw8i8atT03wt9Xkzu9jREUI1e3YXNXbPIngONqsOnGUyTPc5h5aO tJBE2zn54Og/dieXUMg1wUicDL/FCjf2KXQ+bJJKs7f9S75vwoaSg7VvicEjxPYkR4PPB0sp/wqb Crf5fpZSpOCHBAH5b7/2qRfDniZpw7JPhWijLaYwEGdLgBGYDV5nOoHFGDwsKlZltR4U2icwYvxB ucBlNNjlzoGzo+65nhoavzYIdgNdV/mGnDyIzwfz9AuvDeinRF1smkIRv4VKSq3aXOfQKG4/A4sw W0xVPH9OUsiGpElxUxDeniC8Q7Pv3EPUF1uwS+08vsFdV7USGi2erUj3KIfmjksmzrUVDb6aUqb/ C1YTHEJDc8dy9TIVWiIzqM9ieg/BVYQBT6taxbLNbVuukY8hbSiUiG3LhSG4dFcnm5Qc7FwqcJ2B WjsLTqB8MPnF1QeEGWQz80UnKRYI3B2BUZNEdh3/x9iXJbmyI8f+cy1lMszD/jcmuHsEkmWdceo+ kwnntTrJLBBDDD74oKW0dCpgKcGYL7R3TBcOQKIdhARqfiYpJERCJ2Ky7AGilklAbpZ1t8XfI/M4 ZWAZ+jviJAUQgOpP+ZGDPLviBGQZBRPUUSCazTuOQtcDyLwT8mc7mEpSvSl0eJyE2kIw0QflcwuN GTawz6/A56PT+5Js5K12pmvOJxSI4m9we3AajeKJ757VoG6jD6P8TyD6rEIQ2DyiQ0BDI9rvafDI MhGqTaJN2kA+T9k8YvnBkm+nfWOBWu19g9XERK7CRsEGxQJtkyMNMESqfD5YTbdAwF4qHB3Gg7vN gdNjZmEZmGpzWJM6HuHbySW2oGTUveAUWD3CGQv3W9E1V76uObTKzqVA++sCuQ2UlVG+TFD2BjmS ljmGUkrTXjeQpyebLaNDZYOVedvMUr88n8jnI9zkNyHpLEMElreMEto9Yjrd+gFluXFdZyE883M9 Vd11NnR7nNLRarn54MWBAd1MWWAnHH04rpH4nBhxwhhho2JnDbmV7G2jdhPTE6mzcbC4Eu0JtZBm 4/NBlnIJNzzUMlb4qk/8HVo+grq0+zDZ9W32c0s13m1FuRM+G849h56Py5jsbBzU8vj1gTjaAAtg 9RLVcC4mfqZUN+lEtx7EWw5NHyWLMHcWg4v7H2tigiFJW7Bzj/H5SN/vGyBQ6NNankkKC+GJei4L mkj/RzzFdH2fhL+JEknAmfTh0xRDuUm9mb374MkcwPowNSKKokMlkpwJNNVhMCKFZiO4yQs7dH7M 0j2WXfi6duEqZoliXCafDyooZX/dc9QHRmPEpynUIEF3brODzHvOdbSBjEmmDT3mdl5JDrwfFcww 9Sg+PPykeZaWMt7MWh0ZyUg+F/Du50RxS4iT8SZ73QiQI5ot2yvAKP8IhjNO7KvM7pyxmx8QRJYP OpAR1onD5yPVkkP/R1go4mK1jsFZva7UsvK4hfDsNqs5sH90Y4i8yO3PMqgWFPeckGXTtKvBInED BnSmHkKMWL0ZWiv5uei2vW8AF2CJaaJYxcG0/gqKvWzUnVC28/m/uFyC5SxwyW7ZMjSAREViK3pl Opccw7SgNmc578BJowg8cIB0K1Eq19jwlHcravuMwCcUn0QqhXN2A/0mp/b0w+ey1w1mifW4k4uw /QTdHJN6RFtriW8MC/rABDJ9XXQeDqBwfqcpBJ7gptnjWtWUVayCggKjISk7iPc2TSHyZPDorv0O fjTVTqE20rnO/VYg9kNYBSnGEJh5vGooG5lDF8jMtbTmGj7ooquOTGy9Nj4f0bm+u0+T7nj5OZqi EFwLv5AZzKrlSVy2l+Mo1ivwCRDgNk2h6F839+ftg2Uq587dhoODVwukqVmxazLPWD8UcJU+EkjE et8AXVHomzUEPxkOPynnCJys2Zxp2nw+isH9aOKyAQo6f0UDIX2y0YjeKkon9CdHoNOhbiyvxpVc fZJiy5okmYThg6OYIPFLQ0Okc8CvUQ154pfp4ONkVqzZnS7ZZinEoFQxKWrzwXBMaxuTe+3J5//C LrNDh4OpPX3x0AySaLhJZSzOUt2OQmmi4TLpzdxxXR8UBAPSjEZl2AZnvvVKxywK/p5YiQbw52uB iiOvkmxqCwbqsrcNrjluNSxVH8TJKXBZuG6QObCDBI7FJ4mUHCgsj5aecykKwJHO4TRaVkBxWX/2 xbtDUSB0YGspplBKmET1yPGkKYB7twrgB31rKpTJEYBDulGEdx5MU33x6q8bweCpktR690FrCR7H DEBaqpnPRzHT944D2KvXp8wUekLia6Gm2JPjc9dwXYCtei98tOZeBtnJoS2ktG4aYBI2eKGpofmQ rdC0IZ7AzjklATu12x/OcvH3jUoohOaK914v7z2fMJias1YAD4whEYF/n99ozPcvKEpoDAnUq2uQ w3+1ZK9/I1izxZR38dM78IUEmIYI1Ez2UsuXvXTug5PB07kZWpvAWbLntNiGXPQX+pZs9deNKF6J Xtn48zTYnjupnfbc2IvPR9JbNwBXOa4Ab/hMUyy0jVSXlgKaJkOlQMFoGcIS5DI/v0NvSIG9M/oy NmiaAM4tUACi3uYJl+RbtxKg1+BXAiL5AMCIkMuhO+SkRuJ5IbYLYHGs4tCJJ5su1tF65gcEtYF0 D3Ci5FL7YRPM5ylkVULypo1sMdMgwdXkSpw4cIJrKrcmfdC/uBU5yegnXaOfE4GvfuLIzAi8nxuw TWltJygAI4vubBXUr4544BF5Ng0x32cx8ghfvO50rZ+Vyrt1nNXKD4h23XdDhQZN+2liBi6RgDax VTDS9MNJpRPUmsZwxYkJF3afqFC1hGAd+Nv7YBOVzjk0OzjS8NWirBupTGdL/oBZ+8M6nGPj87IX DkqXrFlC5BQDBOOUXTSEUDyrzrtOfkAUg/+qo6DP3PPXTEVBOJbo7suouW6QhNSXpkqComQYqKo+ EBpGdiqVlUIUOAcPwvPIRZJXDTCppDYmZGt+eF1l6iYaWyf56waB06ZDNNakDSoQnD+fxenzGaij BJ6R30SLa6rZnig8NI3EzwMJa3P7k+aFZO+mNTQZ+3h9N/CMBNheCJRnsNUE3VVU/XSKr7N+KDWl mhOaCECauYDJ8reNUhWKb859B8Xg/aQ/U5iJNPh8kKo8DTqpvc9flcvANhJ5b7nCklR5yeb3t8yG lETd7s4/+R++keLGteWDw79yB7JZtSaURrG9zmECfA+9Bgr0L2zPsX3Lbwn2XCLA+eQjPoj6RQAs gQN5dT4f4eS+2QTUsMhXOCCHzpFUWwZd3WtySfAvNr1Wa1JxHwSt2p4Lw3Ato0UTWw633ATIAKG6 gH+dn1pM1EW8Dr55PvBURtb8lkhHUYUBGmyux4J0QgCCKd350fl8UG0qF2BBmBzbD48Edw4NJHvi 9KAylUVrBrJGUu6Ak8r4DwekqXDn0EKyi3LCEoEGCwsgVrdOUiLk5YKgGaq79MHOcEsGpSTduKAv e+MoFpdwqWylXJEQUJQud/YT4Uw+H4RP1wtInRV02iG/YDMV2khCwc7PJ8JSxhf/olpiB10AC58C F0nIDtJMqkr1pV7VlwnqZqdHCwAEeWzpwBaAn2htjUKdO22SIp8DF0lhSQgkNFihHcYJFt3sUghA ENhIJkGUnmI4LVLLPZ5CF0nEXBuIfyvL9RuKJ4KkBUWBxJRNUoQKV3m3J9lrp8tuHg09lJRF4wHs ezDG3PDboh8QtpqldUOhU2Ajqb2NtFen066uSLFYicb3nfCGzwc33S+p6UpHqys7mUMjSfi67r2S EVW2y7vji3d1NljJ2ycpDMRJUQGD2Qfvi59p2Q2ahOgXjDNHVVQetJDQ1az7wX6pKBc6SQpKOCtN gSaltPmHgXFmxrYJLd/QS3L278Y4zvBGMXWbpVCRm8KKdabu8aUrd50obbqt/Rx0HdI8hYG4qnKk DWqweUJwfc5t3HWoPJ03JdIgAUndQMXuRKaa542/b9R+YjkOegQ2GClsAHXJqw64ptBQMv+eJijq 5svpyYGfJA5woGj3ZRiQeyuGwXZLl4FoxwKCf9hJSnJBgMJ95U0wD2cbE/N/1k6mGCVxzszP0WZj WVPJL3ajviUICNgXRwXMByc+dRX7z2xXPh+JdLpQjmAnDJm+VlMUg0OaAh0hY4NXwhY1Tau4Ol5f FzYfeEpeZYr/cW9FFod+UaN6B+QRoM8J7jTyTsbg/aGHyZE8h66Sjd43kLLEcJbpzzIAb0IBAtnH Se74AUGN9xGAY2IDKOGD/wqMJc+JCJQDuH+2mkh35zRBNNq7T9AnsmkKaZiSWUzSFUgXstPmmYFS CfFCo/FsOGw5tEHO3zjouZ0fnynKv+XAW9JFzEdTCtyaK1GC4CPE+6x6Pkh9L9FwCLRTMLHztp9C f0nATLJRP+WowGxMyktCE4CH0VHhtJmK4Ci4q1B5NexluqBLWLcmhpMkrKaURHtGgATg6s9qj22Z iD2Bx6RyMgIsmg92OE2AOXjDg9cTmEyiZfDdfsoAoM1805XPa8zUsFshJqTKHP+1766jNiklc6a8 lM7h9BoxteR1ObUy29PKBKEXPMw1pOOB6hODTwAVmrBf4+E+IWJ6jZfa1YKRjWt6bFwr0h8yBdrC 0y/T0yD7qt02TOck/9CWvvIrX2OllmkVAb+Svk1+OkM42oADOE5JKph1UFwZayiYHsmcNFK+NXjZ 8sx/WRQxOzkuakMsCyyQedsi5Q8piiwVDCv/Oj+JCOozMQLqpAvUSX0iTGWotPD02/wghkg2P1Ih OtuVQS3n5zVMOg+hTgoyQTNdvJOaJId8JV6IxMYNIC+4fF7DpOZ2CmPy5OZgAXc+eQcs6TJ7l7Bh k8wgmnK0rSv7wpop6v15DZIamkXUNts0JOegSBJVPqbW51dun9cIqT2Gd8PYFgjSKKuM6XmNj3xv TclRAGfZrgJM4+2icm72eslrbNSAOaElkP5yDh4bQeUHER+Pn7N1SakHQQWG8mRD3ZIS79XPa2TU 0OKX2islB1NBM0p/FBi1vBn6iTA+r4FRexiqluglnhnLZuc1LMLmGpQxGyZKgTvLm5VOgAZfp1qx +zUowi9D+klZMrVf8/EnOwka4irc9udGro1gpZWBNNrY+/RWtK1Ft87Pa0jUHEExliiFbmRxzgWA //H/aefbPq8BEZ5uvrWKHVM/6A/a9LyGQw3BNdDwvXnHO+XbDNi7W8f7HHzXljSYH4kJ1MnLi4PN D2r/6LUy56/Uo5D11rlxFzQpC4WghJ5gKejzGgvhpyxi59AmmYPm58y1eUz0VT6vgVB7VN0HO5S4 7LrqbJie1zAI53lmwkFFVs5P4aXD2nYv/SICZrKj5zUMwvx0NXHLHbxiO85xkyHqBnklxIOETQxc 7gN2jhmChZ7Hyj4h2l6ZGFMwzDGgyi5P0kpVS2yvXvvnNQbC0WU2iTp8JtOX4hP0Gv/gbG5wI9vV YaZ9TT98qIesHgnAqFIJDk9mrRujWI5b0B4dHe8ld4l0LrVEd4kG1vwqZMiMK9A1yf1+DX3wEyw2 JTMJljWb9Vk5Ac8U8wgQq89r4NOkuKLZ4UpDvElFVc7Oa/kRu6sRye1H89ireKlIcADxlpqhbl5L j9jaWeWfsnzwzVURIQDfDgWBAqt59I8ycsGFywTI+It0a1R4i2aHZbRan0EFWrizk0xWS8HTwcWV Pe7hTJKdDmUyTc9rzRELFqZ2O20XDMreLDpRarrNf0SN0qGIwh65JOVVfPCz+bzCycZpsHnC6NrZ 0kZO0H8mZo3YEce30SbptdiIn2Cp489eJAedPZCQIwuOFaLXUiNvLj97WABA95CSbBYXRlHzQIP6 HAr1iuEMO4jKuXCqk3AmrNaNHhhNkSpDNd/BC0SgYp+Dg8VrNbQTpSYXnBFxZDKoNunbJtWAaAkN Fj5IV9OgJXSSOXI/4FcDrmm0wywHG/S3wdncKL6nOYqCZwgt7iVjX+jfpevXtnZ1iUBAI5yBE83Q LKIENh+8zpgXbBik7jYKuNxLrtEZTgAZYPPbo6WN2eed8oYFX8i/OQGnD3aDjdmkHZkrHw9O6F/h IflnwmvxS9/DZ2iBwYxMtUW0+4XRIkdJAAnssgTKk0FsolOoMjGthIVw8OSrsoeWWWRkYCfrSBzV bVHZZt7kQol8FD53qifPUe+g9HTDsY0HbwNB6T1+RvLmh3QRUQc2TSzpc4aiCBoHJNbQsgh6LPcl actNN/peF0MahdDKv1hcssFbRCf87pPaK+c6S6DL0DEaPK8OlfvcZ31usaSXDRKwxMxr0cQ2OR/6 /DeBKuZfXQAgDYPoUb7uMYB/R9oeJb7z3JhZknUzrzFJ65eoPLOhSsscuEWsBhvOES6xhcvIBsvB JkSrE2qyoHOvZIcVAgkdlpP+35TlGrPqbf91EBU4nWFA8VRX/YDmjlz/EopmwRyJDON3YCYWWX5t nKQolsYRshdV1bjRxjWwn9e7RSZ0qsC+x9KZgEVUgLiMervLaMAAACoK0pfe1Aa4hnbgZ9Ky2eqv 9P95J7nhUNiYovPzZx9EchvAqvLr4Nf2znFr8lv4nqEipUKfoTCcpmFC88N6ruvd0mu+rf0rVvpO ceNhrVKZekPtQv8HqiS9Jm00cN8gHY82VKNdQeah6daR3d71/ShqEgoC/McGI9ugsk4M8sz7885v a3KefNINOk+Xm8q/s9uQ4uxCFqnxkUbPJhN00u1UrE42IM+oCYriaSGzWuIEcfB4OucOe1Yis6B9 R3vWs4DQQQLgJndC/mmXAJ4Zv+R9hsZUa3HdQTN07rOumGaDKBlF1H36DJHHDIHAse59/x5SQ3+p yUbCrvkTWRT3+U0SnJokkCwz13wntjV3lKCClw233lrKIuMYzSBMyJSvNJLUhtLn46ctr7Z3WpuV LHDTM/XgoHJQO8kIi9S1Q/UmCqt3ql8hEaM0EIlsiqKwGosdihvuI4EamVkAJG5Vm6Krvv3OamvO t53Ac9lgU3T2axWSkgp4CfEFDmvU0SF58LPLIzM5p942miKeRJWLiYNOIsgPsZFZiXiIQmshXe8q 4llf/cZ/J7Thxl84LGvztLVWr3qcqWp+4yNdUEHxj7i6CFRb9p2gDgfbjVSNEu41tcWKK0L1jtKF 3OxUkF72rsFRTSRflshkviKT5SStnZisM2f5885maw//aKgaAGpi3/3WXKO4GkV9WHrZUQ3gh81Q nddwfEKRXjP0HldnU03CyeyD47Kh+7TArgOgYLBKQKjahl4Z9ACJLLOTKNvLBtnZpPQ2kOoYUBkU FgTXSpNFXZ6fdypbe/RuB2HZuMF7ucn9O5OtSf4Q4onmRLY78zTcZrt2y2RH695KfCeyMbC2U3r6 4JXX8+8TRTKwPpkZOhDMX9FEXOgMFtbVDKhGP4l3HluTNeY55FHWQWSN01EK0QXfYSL36/NOY2ty D9IUSQgOArM393gnsTGyVv1seHsMxgNeP5s5We+erqiapCi2pnfv7rIh5eBBERB7jVyRVpHQz8F2 KyhfC8XYlnq5aBlqkrxz2PAldLHthYwRDgbvH13WAyd64+NRmt++YmugvtieszmKYutFh9blroir m952hg158gx2Z4PKvBPYeBat1zsfOERgZTrzs3PhpEyAGmgVkAZbaEg7usEskt75a1wGPOCXrv41 PceHzuSWz2+an3f2WhMM8NloBFZIe5szFBapC7bXaJbBnlPHTKN36t3BRJvNE85QVKTu4q6R5qjh wmROJM1E7KyhcwCNMggvhsoAYQGFa2hKiRP36uedu4awguzsDlq3DZyhBqIrFbeQ3XzeqWu2zp8r H860DQ6NNkPvgTWK23BIIuqBXQ7Kikttq9fmzAegdDRD74E1QgfjqzUf/CjK6MHDXADeo9D+oaMd 3JXPVQYWDfzrb4ts6WXfjyJwJugXuX3QDK0T2SpHP5/4eWet4W8t6Sv3QMbZ07z3WRRZw9UUGayJ IwGF312RbPRifbITrzmh9p21hh+YrLWZiCXicOPGeU4mZAos5UOxlHUQqK51VA9oRW7pWbG3jaaI XWggBOHPAhdPbo4KhyFGRf3M2+eds8aq2Pc+g6B6o1WK5igqV6PTAGy0V4rGcJmt2Xv2eux2X8R3 xlpz58g+JVI+Lzx9npioAzlJRckzXawzEyCTf0j3A1rGa2nMmT/vjDUWMthp7VT84WD5WQXK9McY a++ENTy+bidaVKwCzJ4XQt75avdCS9Uxe5NrnXMkiV7tNFx42mnvoXU2kfu5ABHQ4OBPdJhnlch9 pzceW2uIEhrqnJNMB6E9aDn+zla7Gw1AGx8cy9C3nKLhRfZOVkPgOT1ypE5JRzUveRXknauGw/qc eDAdNfh+7dcG+ewBb0cT7ap2dBhak94/hR8Sf0sTRJ+zif3MZv2E9o9D1yHY88Nmmm0zXFCfd54a W17yHZ3ZB6VnsFJkCxN8is87Ta2J1/PUYztylLU993hnqWHlTcI98jYRpFSGa2icLMG8bsAyThZb v9PUmhsl7EWzDQ6aogUbgBNPY6FUiIwkmUlDwobQMYg4/tRubJmitw2miJalaZLRx8GofBuQW2aw J2x756j9uvClBiA+hE9RFFmj7n92GcXRqD6Wi6VqyAS6NT7O9ZOsbf9OUcMWyIJ8CGM9H4z1gm7+ amws5rJaz01APmyWVqhk82AZ7W2jBA2ndBt0j+RgPD7YeAgQXMrnnZ7WJPTwbDMYPlci3zRFUWSN WUQ4b7oH54dxenGXvQRR6ILzd37OvzJYaPv6YBN0QohyfuNC+kc+mWxaEixFD4Mqs+TwKYWlwsPn nZrG7rcEEScz2QJIPq+zfFI2ylz+zPMff96Zab/qIJxOBvXZw8Z3YhqeglHC4LEneLUdPyCrEOWA KWrDYcPvrDQiK7B41lLlmjrL2malVvRHiRo+U1egnoZZJHGLVN9bBKFe1eedktZEb2mwA9k+6HjN sLQVXmGUzzshreUv3BDnAkyrdBOPdzqaBRlnVrprQgg4hD2W0r3KxkwWNL6z0ZgTUNkvsSjLwQIi nNu5Uk7uRIzrfAHnp0xKSp+TevVHEoJ5xzsXrQlGCiebtX0wDNAuUzHjyfU/71Q0LqD5lZnB4rG1 2xd6Z6LhS6vojN1VbCuBoGI2urYtTuplwkfvVDTuMsbVcpTgcKcImuoUhGwZAfdmKX2gpzLB1igs ZhuxkWDhdypak7IOiMREWXGwrsegwTaOs8l3DPqvNyJSnQguzO1e91FcjQMAHJhklbR8+6+tGXOW MjV+3YcVa/L3+6AyKxw2cCgQIHMitVHIU2jgJw3EVgyDN+wcFkTpq+f3nNnPOwvNilq4xbouM7Q8 u6oZMwlCdMKt/nlnofHq/k49FhLu8kREIQqk4xxyt+Nd6QbHKRppOEYGBvM2RWHBmuJiQMT4cCOi gcbQIryTaC7CQAZYnyAT/dB5xaWQUfL7vBPQmvg+ENSektdGRY0/faaHJHtnM/P5YKf96lFTmqRO D6vf2WecWIbVa2rxoBxi+n6buFh5sxYpjCV+UICzoj92WyX5cFP81bHXWG4sVJWj+CHYNRv/q9T1 lIlIPXtnnuEHZYq/KXmlwQ6j85zCvvN3f96JZ3zH9Os2OzO9sq+id9oZvpTgGmrqmSOJGtLETxLR QUeSjpozlezfeWfNGZ8dWhc2WEn2pN0100GTSf7Z/Yisz6SPJJ24TIVNraNBwf933hnOhUSog9Jz UNoUADYGFQqX6/y8086aZNa/Oh/QX+s9ewb7Tjtj3ksQGhxrWJJd9LCprBSR+cJKEX9pnNfvrDPu AsJAxNBr/ZI7BjUgEgzHMEXwoaANcgcVhpzAnJ7CPosNn3fW2W1T58IMNhfPYE9gUaXf3cqcn3fS GdtvvtMkvH0uf9QvbYbC0HpD3H+y4LEpamCo812Hc9DP780WLBZRVLRuLH/UWu9g1cZ1tgEwmbrR YIqW6RpBBxSk/siUr/wxO4zvlDOe17TVqJR+4KAZapAt4/fNzcejyDp9J7Bgf5Rbs37nm+EEgzzG SM7Sp7CBZgj0KW2zznuOd/472ezZZi0NH/y4HieFgTcbMY1nBaGnScxV+1mgxeVZH+Fjf9ngzq86 iuQXeSvWKKyUJQzMCazfuWa/QLFSYT3Lrq0LGn7nmjFypJd1b57CQqXJ4SC7XjgIMkTBquOiNbP8 Uu9gG423V+FSqTh7tqpJCdjQDmTHCSyX57CLphrvXDOC8qGTlXAT2qAEbYrnB6JGa593qhnhIPvr LML0ME23OYqK1tSJndMbaJ36CdamNr8tODwmu/XfeWZcxGQriB3E4ZIWzmtAwA+BEdzCBN2n2h59 rL8wRRDB5Hf8i7VAxI0Nln70E+UKWb3H551jZh3KryQfZXLyujRBIRikU03L0GhQLLF+9cmna/cO I/4QTVAcWzODVabPwdIzuAclQq3AEM65SvQIN9B5t3NR9/2E1lpBUckaUJ4mqTwbjNZxzjVp1cMu 8p1eZufYM0H0Yq/5rqAotIbE9PnF+/XTvNB86O5b3NjnMrTMO7HsTtCSZdR6LKNQw89QsCk0PYBk Ae+7yrAfMhwMS+wcIvbznVfGQ5OXWAOgTYMmCI0hFl1aw10WBdYP4opRYwI9+OZnLQysGRLlusYt E9XiZaLNSlqBfUZyP80WIqyJyyfzezwEcG6yjqCoifyyIQdEbRqKLSUSvYebRbKfie8IrvvEHJ8W dBoMctVk2ETIEh4PosZxo0YCRxBeSleccxRG1iylNRmNstrYnEgN8wyT6zvXmTM8WlSxTtQyHI1t ag6ew0I2LU/GRAl31+CRlSvZA6g8MUkyE21ttBANsnkEZZPzzy7Wd86BqSvqXAt4PGjk5+9y42bR oHlM1KPQGt7QdGxQoWhUn6zdCO6WVN92e5H+HlhL3PPssEE8OgcvFEHPoQMfiIv5fFISRBSTQa0C NkEVV0vDv8f8RDZgB0nmHLSKOmR5tvL9iscjCLHX9AmXwX1PUT9NUBRWAxB71n4eXmw0T1ZwKZFO mpbhtmpsj8Agap2tyT4sB5ugdeY8U90Bgo9dJETQ0FC6BwuZyEYlZzPZuwaJh2RB2iw+cILQqOnC x55gFY9HNKrvoxoxdoVstk1QGFVXFBiH3esnoOuevu6W/bLv0BLmUd2jcrWEHLoa1Rw8fT2nKKyT FVWvDIlVxIwobAEQgnDoIQEDsoIviepEma1pWo+qxiOeEHpPU392K3g+QBTNX0EjLBl/pDmlSYqJ ivSuksozZB/nLBYS7ZTsn/O8f5XCTI8ia8vOqhR7a3l0Q+eZkiLrrNJOGkJE9tl+53ZaqDqeaOmB 7lHvokeRddFJlJjAtuQJbB6QdKCS80mP8fh/onISu7w9ye8RWxGoXURC6rtCo0rOD7jyszfPjE51 co8eh9Uqo+Xkg1/5sB2ARwOxIAk5w6SdCPYbzi9V5+3OZ72xR0XrNcSk6lOEqm6CIG1QwB3rqO6J 56MbzadIZaWBep5f+j2Mq1G1rmv6FCU3iNz4+S1s7H2ZT12PGYuIlgeSXhv8Opsd5MvGsBGGHBXB AQ5tlPtobEa4jA4j/FT4kuDOp/jx6E3yBNDiYTh8zvza2EI9Oc/G89FW88aH94Za6fc+C+vWalLL 3rD8bCqYyPOwMWZiNS3J/AHndRhas/laBCkqXzDiCScL6GKD9VrOFcLmECTEoQ12tnWp3zqYU28b VYokx5ezDypbL1g1ijI9Jh6POB/9a6PNJO1/n6IotqatRjr5ZqMsf5Y5teSuGhpHBYWQ82fiLOGR HcFBkrzE5KLJwatp57+dqJcFS4NzPTdSF1mrm5ShB6bObSFpvNajwnWlXkPZM/kg2FWmPwkvtTzx +H9hTqOgSvlbm6MIat1pNC5/rI1VREEwJc7NSo8dyZrttAgOYq49mw39ppevBMlmgEluqaiXTZBs BwqIyNBcHqT1QLcRXxIB08ipauQ1cLBCyIl8p7piqeDxaBV9n0VUc2tU4tYUhdE1SvuTztc0M1CL EaY9sBP+MdNMKI1piqKytRAzbT6DY4oWZhirGjcaLAwacVdo6E9srIz+nNUbB+EgPYKD0H17Exlv gzbame4tR96FjRaSGOv+WkQn2eo/FFozBnUUXMPn/ZyAFjHC29I5HygNeppft6VoI6paT4qoztS2 D3alwZ7nJBgEO2y0K6fkQ4nUp4oR0gUWbM42y3rXWKAAbkucoX1nCDkND3qcaBmPB8H1pZ+JzEf+ 20U7jCi6BluXB1BxkOxKJmpx/phiKLVZazYJhxGWrXXtV/Fi6nNen/VVEJ8Kjg4HON5psKOB4cCZ e/CRXQgMIDp8SURiJFqmEwmaOg41nrLAhGxyYQcS2RHWrff+OrAhM0ubIpukEGydmeDf9mI6iXly epU8RHCpAT9ukxRVrjOzUzTyNfCNibyCWW1LNBFbdYgFPbbsliAAht/X730UtfEdAQi0s/uR99Jg FjQFVuxd9uoneBxR4TrfsizXEX6WevEOI4qv0S4Gc850nU8o7/C9vh0mO8jK1E6LwuvldI+pIfsE oZh//qeRf1aos0TBVAAOoPP8M+sFOILfMPAdUe9DAMcxfLCKI/ryhKadHwCPR2fRd8VxMI29BL0R Rdewb0Mb046iLlYeVtD5WVz7q85qTcYRRdcmIENrZQ0XJXuWc5MYSKIbO4VlN4qcjb2o+YiBIIrn l/xLLIWOrBiw/JltQS9ws5Qxzlvj+WgNXd4H9xSmZ94O2ojCa9oWKDVnjkaPG2YguIp0Ko0G5Vdm ICMKr9eSbqPkZNIjJ4PeaK4Qbqbu10nXK+1UaH0OEDGNtK1sTU7+iMrWgMdDhIjFonSLRScVyZXl tXrufjweHUS/ZghpbJu3sD9CrPVmQ5L9dx3X+ieP61VcjL80ZvuYowhtLWvjoSxtPFkaNA9An5bc NRCtjUr0GTAS7g/UuS7Yuupto2U0yauq2Qe7qGcdbKGda3Lg8SAuWr80dzZK+89Gi6JroMYAJnOe Z0ueo6kPJlDIrgZwHFHleg6h9wR8ENAnc4KQpvJuRFl2nRivSr4YECg0i+FH4RMEdQR8RxQVMc8H TccGo+h10VPFhB1xaP1dcawUdbhd2BGG1uB5TvLFTF7PQbJ1ZFtB58W61YtGGFpv09tpPtiFDz++ QtorqEMJrOREC0O0q8naQuvPzmp6A+JLgtC6IRwiCqCBbUs1LqYfC0YUOJVABMAHBIn+c1pTvRkd tLLuRguDa3gWLeH3FmixuVlwDSsBl0uvbFVjm4WFa174tTEs5GCT1NDpNkP6CmIMblowQRtU7YDH KfS8YHV/S3snLFxXGjvBIRUDim9yoT/3vdqaoEfi+agY8h0V4Wbq8yqjzbBy3cjLh1iQ9K1rYSCN iZhsJTON7fQQwqU/Q2m9zDpIm3fwDORcY42CsbVSEU3kkNQ76JZg9zEqUheNbNgZYkJ4CNW076Dz micou2hnqeHxYIra9xSBi9Lm7VXPKLqmuMMUiIiUxuGAh3Zl9kZCg1QTFNaueQjBptYHp3r2s6n2 IqmBsvLYHSe2RsFuwm1VVE9VZmnDM0MeI6MiEP19sCR20q4dUVEvePy/nEX8lJLvGooi69Sl/D0M sb+o2K2wiEJnUo5Fw0caTjGPUbKMkh/8UkjHshnkcwDwUKGuwn0G3PtCISKTWm1sWAoXzLB4TVr+ gM65DUYeOlHXNLpwxeN/KfGwhDtAhr3l/RlF1gDTwhZxOgFtO4JvEeGtsiwOEs1QXLhmzbGok1Ye V7Ce1zp/gnJYqDhtph6ZKsBAqjHtMCsngtNmiAjp7FFDLd4GTRCuMXEaZsp4PCJ9fJfTYKHQ++2g zSiyrjyH0AQ3pOwYaxkgpDYj7kHEfvkiCoX2JPRNEqeGe6OhmQMwDirXQJEBjw1WbPsh7C5DlcmV igi3niGLMXXKnwqWnk0IEtxHdPzwd588AI8HXcZx4eguonLSQ4+KZli5Bul8V7NrcqUQOjrWZJf+ aGMYX3iG+iCpqDt0B29/nPhMsSKL+1C0kQs2Od/nLdHk9aiIdo4zCq0ri/roJfqgVdTaHLzNzjjw +F/lNBVlzwSV5AnsjBAhg4CH3QwECjUPj4qao63BsbPcY0Zl60U0UZ/iCs/LFe4TiTB0wCSgUlYn LT1Bxa6BkoRY0fnU1GqcUVydqPAJwUQNydpDQB8uGX3iLosRIb6EsFogMFHRK7AJCkmMCQYys/sE yV2OuMx0fXjPQW1h4wzl9mhyCVUrH265scH+mozzc5ExyyemCJCVRDHdr7L+1rtGxCGyPKvOoern UO7nN8hCo28+HiFCvqUvYCVHJyaboAgRIr+PTIqk9CzZLSZiv5QrwgPMhU1RFFkPAonHEH9oPFLx KOrUzrIeVAjPfCdK6kNFqQNblskjtqI18egziqwbzyEI2nNAb0lXUN3k+gN2myuej8Qvvssg8Ckj oMwmKeQwLro2zmWIkMT4lGd1r2aeVsZAbqF9FsqDsIQ2t1gv+zrNn/tRsjxb1xlAIDRPQUbb2AbO 1zKVjCu+7b9iojY5VRwUDCNk00l08hc8HgSNT0zEACpzIXuvekWBNZTakKBdXdSSXNwhCzzLDK1l UwhZESZkkJXfqdygwdYReFqlZZ1FcGMbFlej+AyGAno+Jn8hUv4KMSEsM1b1Pqr3PqDgWraULU96 g8f/EuJh7y1Dgw24RZuisGwNMSe0jg3zULrVY9eu1atpE6mJZigMrEUTzvRB7Xk+SOK1ztKcpYro eS5M9qo3za4lInzpQ2tuveu/gMQz0awJRc0fVVEhjEAXrrN1a8Hz0Ubzyj7hkIAigZftUxQrV9OS mPE0ETTNVfTPtzkspF111BXCQriIpnRAZ+Lx2VQHqSB7ygRlAjshnRUKtJ94kmjVGxOdkBnfEZSs uXrQsvRBwKIJGkVXYD3weBRY/yqm4Uhjr9lmKKQxEhNSu3t8Yfl672NIyxGgkIbuLA+jFcKtiRhq m/0hDh5b11xgqUXIwzo/OVO4tTulUFBR67fgKBjo+nfV+pwhdzCUY130kWCKjMf/PIvwGEyner11 kBUF15MZ7JiaIpcnXJQnNEgoVbrtuF4xj1Gkhr188O4QjJxrkwbx3Gd9kYQ2ca4M8LlBNvHjerOb vyJQSBcCdFFCJaOvKaY4LHw7gwBYS+L5v1ofFyq7b2C0otAaTfgTY5jfAuR3ik3RGuO6oWEiNUV/ KIRUCTnWcakx58YfOUFguJ4c/OxHOTUWiOcvMgTWeBwtk71sLO4ANAgpVhwUW5+QJ5mGSh94PEIW Xd00AkioC/XstBBujSmaa1hIDRbc8uCR9hoUBTsRhfEaVhxdC5pXqg8O2T9vD3Q9mHqFnf1MlUuo /g1Ai0/Qew3jKI2PL/nXHPH2aBLZEK8KkAGWXnD84vHgSlvjaxVVrqIbGK0ovAZjAmYebH5sVtOW wA/nMCKQFYW1iRaGzVEIuZZmw9ByGhfHhzV4Dp7MrQZlNBR8OTkVzAKgKh5QeiGeCV8SQR6YxdYk YTCEoawWnRNCJPRRS8Xj7zuNNdQfJw1DrZsWSjZHsaQ19HYJUiVpWDrW1AhZV2+3jitpHVauyY6p hXX7Wi4oHYq2LUNUVN6x515GGregHXwSSTS1dnWiXuENgS8JYPvUcwKu2gfVrQG8rYLtD75j1M/3 LLao9vaD5rnPUBRe40xGPa24IUOdPkU4R2yK+LNpisLwmjFjHb6W7nm9T1pWQGmgJPGCJRNSEPTA 4GwLUfRyRdFpN4ovCSKjmmUXm3xQyRFa5RLRPWE2Hg+u/dtDk1nV+pF0CadoR9E10AfggnXDhOTl IirGZCQjtjlcdodMRmanwsRo8EQfcIkk5W94IMIZAJUQ5JGdflXp9odkZr2j4LrRuZJSXDZoDaEL Sp5ATYOPB/W0x5aBr0aAiacf+z22BqOmkOzZHVDMwjFTtHVp1ZAIMdHmHUuEpNc1RIQmtOYoeYWq EQ0H1gmTTsYNaEUuo9wLjcL6O4RcWx0NwiIaDFgEzADPpLUHHo8UCu+VL9k+dIIfJOgOQdeNkBD6 3Iie78KpuPazJWkgZ2abpSi+NsXdsu/gjdgOLKg8UCGpCbgpG/pQJ0eOxOzEYDPV3jaaJFIaOvv5 HLQ7ADFcxTY2Hv+Lyygp0AmO1V1HIZcRDoOrWAEWkirTfRgLTSiECcG8a4ZCTAitcVsl8oGDH9co 7gOFxeh67lWpSTwYSYFyeSKKcftD0942ElIhsiipn5+8n3/W6WZlGZ6BfMe/VBw9ja3jXmg7hFzL lLm5R4P3X/NWNCaDmFZMXG6HkOvN/hCQkTbYDIFDUs7Jxv5Q54FNpRm0WVomir7dmhoiZHxHzBhu cANdPmgNQQhceAXQ0Pbf6nskfwDAhVVpExTF1lIFG3Yu7919v21oydsSAqPVJihEhNACZUrOioMX HTvkDwgDrXBQBqIIE4TmTgcCvj06KqyZf3ZUtk6FyD3IhNtgsKKRZTF4boX12aFHTPELfzDLX8DE e3doR4AQ3L5owm4vqFVCgkhDG7Sp0WkNHKymKJTfk0xIatMHP4dGbyMPFYsWYOny2EVrpkFLbs2n Ud273vafXE8kvTbozD0TbVTGk7V99t9URv13wYC70LQdqoTADnZOsxsAYtbXEEgttsl4yVGtaP8B CFFcPZ64GsjzdSZ7sPUxCqwSGxEzkGFEeZ04bElc0toX3xE5nNG4E3+oDVpDZwm1Yc6UG49HomB+ CvFQBqKUTStNUBRWg+aNYpqZCptzLilonel+EfHD5Jx2jLVmViauZ3+4nmcvzfOHg0oF7F4vJxSi w+liFeucAvMxpdx76l0jiZD25lFNHTP+gbXgFArRIOm7w0j5bdiU2wRFUTXk2PcSBE2Gwu5iMecV KwIP2rZYBAYBTgO912fwY/pkvrttTVDqNcmrieEFUtkfdJU8HmI9dv+hD7IV7G03QjlnbZmaoFYa Hv8vySv5ou0RRk9RUI3FT1nLatlro8crBUImwNDCgixk5XLwTmFczVpakSsTBy/JQkc5T972FUyE jBbAyRQ6ABlozNEH3WBFa9sLB35erKJm+cVkoFWzeZtmOY9CKXvyA/5CgbLhBtrluLLNOYUCfIm6 8YhZMCWAD3eDhGTZem96xlxz0xRG113C8KxgK1q3ctECCbpNZmiz5i3lZtARzoqnuPXjCb8YXeNb /gV5oP6tDVwCa1ai3hEWzcznoxTkWz0N3gl9Pl4NKfSNGQI9JMOobZ7ZrKkRWW4WsNPq+zmFgOvG PLaQ/MnBiyHI8yvlmwDFmp2xAcnEP7QMyvRjd9vObK8bsRqZp+G3xACQupSqwSQncfMkO4sfEMBB 87enRSM//6o55hRWsDerafSclSFB82I2Eodh9VnsFZ+o0IKRuJBSSG/k4Al/hq5Toa3FOQvYXAPd Crf34hzBhN5jyLLthSPA42Amy9NpXpumBu8sWVSitU9xv/9QxSZst81nnqI4m7jzhFXrlX6uBBbX OqllKECetb6zb7uokC0x+Vbz8MHmqZdC4ykuKMhXsIQCtzhozeHeWcm1wgojUH5LZG9RRXBYPlg2 Mmbm0qob3g0pRIlcLjp/yIzCzMqXJkvXnPeZquQ7s1R0wqZemOWDvbfpw0PEWhE4lKZfcTVbPBC5 pTzSIaOfw+JceAC4NGjI0/2JfkQLkLX6Q9V8r0Kmba/7L1Qftbls0DyxRcumSE+bz7/PU83fjkQE 1VP532YpjLrPZYHqoyD8m2rmQofO0oZTryab2F0fFEQERPTVJXjoeuChY8GNEb0+3NcobJB7VXCe bsDhcmuXe0VwKr/ln/r7AAjYoBoJEGSizZ7/kM8HRZL13erHhuugIfsshRoiCZQHOOPyqrsKRrjq urSxCmocbpaSosh7JNVredXJ5FqzlBYUDJKE1SCqSk7RYuoN8hplEz1wYrzFb4lI1zyUqLGDwRRl WjofzP4YuH18/i93K0IBwcIhMNNmKYq+dxu/iiPn8raeLQ6R5ZWkjXaWGe1FSiKFlNk+6Ivb3TYI KVylpwxt4RMwVZlGsRDllfb1rI9GnyyYU6x9Pdi67MkHW0zw4mZz+GwBPh9suauuRngAogH8hHee wtI24TWlFBcTyTUnmyfkb5bppkVgLecpKm4L7UAPCRsuvmb2c8V1RckDIA92kSAVRgw6lHyemlux F/5XRSl3qWX06d4pJ1NkJ+xMOCPM0F3mOjoQRIYjtI3HCSx0bkSYD+qUcv+zvJa7p6z+5LvFC5M5 MG7MJnXAP96GO03n0lwoZcOkCKtLVSVkAQ03SM7TLc9Lomd1DpwbcYR3cY2yD1aHxS1D1ZWzN/j8 Xy1Jwv0Q0BNJZ7MUBeJAksOFJ1nprXUvTta+vPS2mjtf5MC80c1BJmzMbDAgUj27A5h/4iNgcKLQ Ab86z64f1r9Mi47eIPkf5o2MLJtO8OYnOAIZcfpOklb5fBCG5+sOku5aeszhY//GnJzNr9R3uHL4 pukiawPn6mY/qeuTos5tEXf2DhfCDlUOYI4rZMfP/7HKtSezBQDKSLnTVJq9btRQYpoCuCeHqiM8 nTSnMgzvqQ4+/1f9hM9xnz8GhYGHo6nXpkoBWGpBVZolswg3+7Q6JTRymq+mMAxnaxKVKR9sNZ3z BjzaRE26AS+tbaiuSdfFH6qemXYG+9s5sHFERYx+V42KtBwEtzlrcwvHfmJFPh9tOU/qVFBA8609 B1Oo3Ldp7NCszoQsw8soNflaquuagQY+juD0CDvKvgAHB5NAlLcCUwrXaZTOSU5KIOizVJlHvvr8 EjvKoZPjIpqkiiZK5pkWUwKeh2CSBD/Q0MmxXI1MBeydLu13liJlbOT1CCOblSvHsgbK7jKeo7g6 kjvbcWEEPsUU7dsHW0pAXxTuaVSbTvC6COpOnepFZ8dvBk0CJSkaCLwcvYW7c04+2FJKS+Yq5/dc fD5oLaVv2yIirWjDaZMU8iCpmkXEFLUPxvAAvO+0vYqCrEuhZejmuEiDrIUVWw62lCAPcRb1MhLb WUEUW1W/F0g3SrPoXCpJ1abQz1G8iIVVboPSFADyDUdaFp8Pju/yLQyFJiy8pe8svQfgUDmsrtXr aW93tujM1VWPBrW+WR4ITB2zmzpW0rM5eCxwAq7ZBkUiTl636YaCkAkqyHhJOoRbKFCrvW90y/Fc wmqxwWDbAKuKmJ0qn4+Q/+V7mtCmAIzFpymKwHHJbGA9jBsBNItg2zDfsvi7jOU1lMDVEXmKXNRI mOVwA6YFOxX5hE3o0FX5hDUoeUNgFcVrRyelaa8byNETAVjqvoOhJkC5YPR8siI+H+UpvxQzIQ/E iNdmKURud7RRqBbIkty6jnO9dwclb/ADbJZiy3S2cwtF11uZT7Oy5wHvIgpFrImwI1OP/vwpk5Ur GD95M24le92o1WRkyOGD1tKEuq7aR7Px+SBNKfs7TcloOwN77/MU2juCzwFlM8Pd9nWhbnmN5HBA aFbqaAr9HZfM1Ib8HccX0x/OoDmpNgDoW6PKMejsP1RXZq9O2Zygbjk0eHTzhyI5cfYguShOpMdz pZ9Egc9Hmn7zKxQozHXq3XKhwyPkyIAcor8D4SZ5WXUpEdyc5W4ARTybphDCbUa8cghv9fJJEgSy M8HkxE9MSiTCy/acMpgXOJM6r02+16HJo4uzkIbMQQd4QotCq6lMPh+xkH85GKKVjo6FT1MoPDIk 6t2W33PTRKBT2927Bau6b1gOfB5lx4QKE6u8dV3231me8A3rkq07Ce85XahND1bbojIO/28qx+Wa 7H0jqahCsNtipA30kmiNwHY1dVVOUsQPiOA4XgcXjamgNvAsp5AjiXrcZO0Ae67t7Jz/84dMbxc0 x7rnwOnRq5ZEt9jg9biTc51T0pwe0X4qEh3rBP/AwohqyH7RbXvfACqQGQ8MqrIuuaSSjHx2AR1B IFzO5yNKwC1bCpEDT5EnbArtHlk93A6+2VscLpSmB5VymfSOcs3mA79HwQ5QE6jdB9t041xvZ8st kQIW1gDVI4C+bcRXp/Y0w+ey142mKcmlj5sPjF3TdwQGlACF0eA3H1g+qvD51VbZqpvfaYo9H89y ojqhUCdk+srRMDvldoBS79P0hxBJZgjOwc8mQK8g9me4nJnkpt55zqDOOR53GmpF5tD0UVETIgEf dNO1k/MSFNF6bXz+z8WEAgoQvTk/ey4KwbHeCBO0JZTO3r31uJ3c1PDMpReaAudHBwqS2WyDZSrw gz8HwBSXi7Elzy+0MyqMMgqBVl0d32nvG0ArKB3VBs8mDirH8ZYpmqbN5/+y8TGXjR/advk0RUVw NF/PuZ1ty61kRkcZYPV80SfuH5oD60eX1RxNNO42L/Qd1xykpCQTPSr1bbCWYPw9UeZjzRpNus1r Vq8bAVCa+uLTB4tzYL+mfG5PPh/Bln816HgwPTXL0P0RLdM9W/Gkd7pd1jnLufmY9KZtGJ0c2D9e pYTNmiUHB3rBtGbTnhvum21ukiwzOvzkjjciuxUN1GVvG1xzSzK/7PouV6OGXcxMwpxuHt8hCmWO r6AJdZ0fCjT6LL2H4PC3ob5v8QJKMqgXIKepOw6Fx5HWUkyelByJqCbtoZoAGH2SO1JNMk2z+pYl 1ILrJ64aTNFUV7x2e91IdJxluEk3Wg5aS2sNyS+3VDOfj8pMPk1FtTtiBu80hS6QkwDmRpQ9T6ZW lwnZoQlsZ/ncdFTiRMVGkNvuueyDH+BnZ6GtzqolpCKlKw3Bd2hcYi8+ZGWCvHPoBCmZrSZoOAc/ wBcVsKwCHlhBIgbPX7ccYP59jNtQCb0gMT97ZbOfhTj/8NWUvQIuJIpNUhSBL5a+uwTs+yNgj5hi wHhYlqsn8qtNclsgcy9iF76VWru9bkTuYnEAkjs2aNPVDFirourF5yPFLU97qc6FmCI/EIvYDhLF gdkcorvqdCOEzjuEsUDK6c5SzKMUrkka/it7pQkVpRM78sJvTO4oa7go70u15EI8hKG/CI/LoR/k pML/zJKipYIWb6azq5Pc3ZFm8wOCaVr3AMeUAKJ3Tv6b9saekFCPbqs7lHkvJ56g/Gq0ip2yI3b+ YQq5uJFozsLBzibsgg4urhSTTkoBKi3kjgBEAX+dJk0y8LWWeOAKCW0x1gVSvXqkUuiHYkVilIlT jx/wV+IrBhOOpf1MUxiBdwHltosE1L6aVZsmcR5MfCea1TZRoVoJq01Vupsc7oI6YVHqEv8FUXOi 33lSXHAGADNmIc5h8XnZC0elS/rXlKHoEjrm/Nug61x4n8Nvlx/wXyA7uNjPUrkRQWAQCV45BJMY mf0fy3PL0l0azjkQpWbT48ihQ+SgUjtI3D54UQ79GJTCsZ6gTcnrcCGTNyskiiUaUSd1e90gbqKS W0VRzAYV5c6+Vosc38zn/6JYqBenfPyZpigKR+rl7Df8SwjMycDpGtlAPtFXU4REaUMkC2Z0HJzO dKZ78nMREqDIL4O/wpPh/C/6spluyer2tnF/DuWddQdriSdIe/0QrjP4fCTM8Q2xGMgpxzWoz4FP JMrnExJurnhnHhsCyZkfIhA3w8sDsVEkARZkGNrg4K+O9glnAtTsDqs5nU0NmuQVmuSXfrr2steN pCWlI16WD2J9If0htaOen4DPBztu7a+bjpOdr1ZZDq0ip2lxIen/P/0zO4Y3A1qVjdI0754Lo3B2 MVtXt6A/3QJgaeAwPknTbfg35unE3wPVVaAuH2wqkOF64SAi2HKzYTFlu0wKxNsnfWZwwC8+H8SX 5btdAKoeqp3PRMU+7ELsLJZJBN4ppt9+dghqcQgKUC4z/FdoGSkNxZPBNx80UQgCUoVBMZqGHQVM qLnOSXP3hCp7RRvJo4K+7I2jqIAWUnsWH3TZwYgyi6WMrC5wjUQSO7/2HTKlNsedqNA2Elf5OXtK t6wuD89XusJOZnW9e+wUuEaipo59t8Sr4GDUi0GtUjS4CB6A1M9VxK84LRC4ubFm8reNJkkq7hQt LaSP8e9q61x0D3ggsI38tpTgObRJ17+HU2gbia+FCKfRUyAUYpOU2gNEgaijTVIECF8ipizlKuvm KvO8RT6/dGWxKZ94Y3RxnNCORP8FG81yuqG4KfCNlBsP6F8EyXFQFL76OdWIBjhXKZ+PLG5+mUrQ xKo+KymKwmvmgVRu6itiColgBA6LCEYVI83SHy43m/SCvi+9ALpMtSDQIc0pQ8K9snK5kIDRRvaB fqkkF3pHUpQa8g/0AaJJN/+yfDJInSsjoeEbukc+pgl+hGOn3mmKgvBKZ4m6zIns/HNeLOHc3qU7 KV2aPk9xJVw1OQlxC+TJ1VRqAjK1s5CCK3+R71OS5AIX5Ogem5tu7xt3n2D6JxncaiFsyWfnTiOA A9YUWkj+9raDWkjPT9AUWEjCWxtrnseIWpnZRU22PLdwz2VcKIoHYgdJopvRefXB0QOAgHeJcZ9/ Z0pjoSt+vhk0FvQTL1cFHgH6liAeoL0EOfc2OOcpUbsXs135fLCYrqyJ6m+NFvaXqxK6SILTCosN 7TTgnadPk6ooiC0HAmSFA4GN5CWCl7V8sGkq7SzQBUMyhAPpBBlY8dBgaAKFntMqPVCUba8bMJ/a oFRwp2IwCqSSj2oQ5CaW4PzQjR8QVXgv+osGQqirr2uVmAMzSYhIJRR2jW4B/ke1eTq5tmvkJJSA bZ6iGHwQrdQIetPgMXiCzgJc6zFPABIwvMT++4FUDrbM4y1F3bcc+ElmAiabCJE2GIC3DNV7URHn 8xGu6Zd8INLvueZzhocCgqKqUobMXBSyo1FGaW410RGn20RFaJS65BIwqg92OJ3PSeT+GyN8b0YO CeT8Du7Tao9TmUg9ga2kUrITCPRn8MMpVRWcNjg9ga8k8L/fXMOMPHTmC7T4vAZNXQyxDSkk62Wm q4i7KZqOUgH87gyN8hoydQCbKKasrcfBYamQOUumzj1OZCfLklxoF4U0fo2H94RXfQ2YOmooTZDd 6oP+prOnW5Xv5sLTL9PTIQ+getwU864hbBHhmRWuaHYWfdxgDkrWXCoP0bDnbayCCYi1LaJgfqS2 xMK2DbdouU8ISAOXBneJzSk80Q0qhpiQXJGiyEfBwPKvE5TMiSN3eW3369UOaILkcM/h+XkNlbp4 y5og9dDx9eSm4CtfA6We+WchUNrWACda0TbZuWGt1DTgw6b18xonddksDzQ3hg8WcqdzMkzcmRTs Knl5dxNsF6hJlH1hzZPY79coqeMgEQyVG4yDpgfVVCZz9QQan9cQCZO7k68f/lcn2gnNpuc1QMLy IbhymBIOGHsuptwYvqpKCXISJ+c1OOrSkIGYNymYHGzxbKrI0OT3TE4HARD/FZT24CHPf9/JQdTx eQ2Nunsn0G0DAwGHWjwnhiAWqJ/w+/MaGfUngMTu0l7Cz05TKboxB/OzKI7TklVx+17OdO7SMhNl xyG6r3FR9yC79d588Py/AZUJHh4ZzxOEOdKaUKEhEKA/JiWbKrivURFOn6ruG8WDVnvEgyB6z9Pn JPOf15iIT1ebH+bcUF5Glm3T8xoRdZj+FgADmrVwU+rNw+s03RZ5zOZUndeAqCNVlD17Hz44GL6A 6grdhjM/GeB+mrgwXV4gVZz/xPUoSmJ0/RoO8adkDiKnTQ6an7NjZdYKXaLPayzUrYd2d1dDEVgg QdozvU4P6myU6yuXymQ4ABS3O70PTDrIlbhfAyHMD7OzkYRgTvMh6HSpsIE8mOEBD+b2ObIJ7YKg ELBxN5eVa0K0wYgyJdwQAzJHOZFCT5GUoN5r/7xGQTy8/HTGf3XyDGMiiwl6DYFwOrNam0zYfk9X U4YfwL7HT3LtqdfwB2ezktcu1dJ+VUsHRKYhjcIWSTq3FUBiECFCiwT4pNzGFeeaTa/6fncp6SCN 3wYtnwQRRtJyTvz0eY19+IbZtxfT/CUhDM3OawESF17jkVzdQ6pU5wfY4UyoBOhM0i4LDmfoMKAE ZPDJR6J8QlBjVqonw2IcqwdRNFBrC6ZaWF8X6tao7hbNDiFJKBP5oIJaOftLDIqT/31eC49d+nOa HZ7jyJ8Hde4pfRHMzokfoOJanbDkIhSwGx/Jm/8AbUqEIox7zBCx+/BAkk76hVYR5BXqySzJ827A PS+yJoEdcYAbzZFey414VZUbQVazQWsH/m+te5Hotdj46+oSaGsgcr9xYRQ2Ax120sZ+5aZaSg5H WneC5tyX9xZFzgJH9FmHD86bADMTzRa2ZnZjy5pyU/tkQPjcsi67ZDZJBoTbi5WPyW42B9X4z7nL 6s0PNAc/76Q37K9ZvvYXQjxYofocRcEzwmsIiJv0nZro6tFSrUX6ib1dllI0Q8YIlDXSuK3smdHs TywNo4WEI5jXF1RvFxDZhWIiatGyNPV5p7xhEVUeqb1MH5IfzxJEQF7/eWe84Xze62uGgLEf+V5g 73w3zBCKsTQktm5/8zLjOVsNrTUgNeoIm2CGpAQ8S6o+XFLgHGCxsptWz+5KJAXSeaRBgqch3rfk Qol8FD73JtPatn1QfoouvKBaDfyk9/gZ1ivr6wJD0jcIvTeAQXRGI4BebqSFBrovoU7ZFOlLgnVt cIjgkO6Ca1c52tTraAOThPO7yufv3LHn1NMNX8APpQQBYvZ7hSW9bJB/JWamSc61yZ1rIaykeBHE uc87zQ0H0fRjmuxvRKoUOtEMvYfQcq7F6bNckavJO4o12ElVNwRBrBJZDTaaI2K2wbH2wd65bkou Mwo6t3wviYkITb55Vk5aflORi7zyzzvJjZFoY19D7esBTLqqQWeTZfmxn7/g805ysyjqiRMzkcj0 INAkRYE0POoRlDr2aLl++0kgXWLynOjulPDOccOpz/R9FiYaHLznQalyqZaAjVwGT3WCaU80i/Di Io/YOfy8U9ywl2nGPrSYOIjihiyYm44mbe8MN+QM2escgzNEeevusdA7w62Lu3VuZzOTAESlXLqN Od3Axs4V7t8Jbve+n1kOtnnfrtDZPOdXLkPGSGNwpaAORNojDuz22EXqqI5C6cbS0Sb9W4NOIuB3 VNw5X/x5Z7dZqvuVyZ8Ippebyb+T27g7IQ1Ikhib+tOrrgslZquTTQi0aIKiYLpTGgg8CB88mD6J KyQyWQiCRRKOZ5xE6EICxps7tQHpkgA9ZH7J+wwNloAKQPY2aIYKmbysJYImGYXT3QCjmiFEfmMs DxjfeW3d5F9hlmsCtynRVJC1oJxcRgk/uRdco5B6UHYbVBEfbBFNgED7aEPyiSsZqwtpfWUN7THR lkHbO60Nv+dQh5XWvhzUWcR9oOusQ/ImiqnXnl9RY4YQeB43aoyC6s6oEYmqlcuydNw5RXUWL5ct N0Z6Z7XdfQZJDR9sihY8kAaNRWHutxPh2Ru6N5TO/gG585bu7W2DKaLMzdBMcdBJdOUlKgEPUWDt RhK2ihAvUh5FBcUosK48LFv3g6g1B/Y1bTnmrChNq54YhtXslUFi2wcPidAcQZOdohsNLCRyITZ8 5xHVysNOBWm0fT/vbDau+MFS9M4+6DLLQ6KGZzmW/Hkns/Eo8JzevCJhXTX8JHons2FeUbya6TqO put9XJV40IwEq1Ez9B5WI6Dj3mniR1KQwmIibjqK/MMpCrgiyZKf62dBD7AQWGYnEcuK70w2hH2b NiTi1AwAV/TLDzjJS90esiTvTDZeZ555MFBA+6iDMGtzFAXWJGxvWhJRU3q3y7HN1srHiduMFfnO Y+tOPGJBw4bbvkdDV9ItFZHAli458viT6VekrzduHMNeNgqKeJElcSMhhSoWzDkR6iCQA3Ien3cW G15yfB/XQEme1NdLr+8ktn6b92VeK3aGt6qebff9mbCHs0mKgmsZH4+pFhD5Q3bn44ffNPtqqMIR 3ACy30mWFlxUWurl4mWoSfLOYeNCQuo6kPLa4Oj+zfrxWR+Zj/+V5dP/GP25njw/eyew4ZQ/5zVo a+PGjZcBwS6gZbDJSkTv/LVboO6TQBgODjLeaZ97UmjHBsNZkkbnRjWpoEGM8oAVYGWN9E5fwwwx x6+JdiQcDMeXuxSI60jz805e64LafJVgUafst4b2zl3rRtPe23VtznLx4zqLesQMtjsA5J26xrOQ mVljesrBj2s4IOLORIn6HBotsz8GSc6fBdG1wnt3SoYTkdjnnbrG8J1o9bLuwBliSU0zdML0zztz rT+g2WkEEeDS2CTXFL2H1mhEo1/fLBU7R/Sjt1W2Q/oLmmWaovfQGuc1ITJSTJ5fislQAUrDJO7O YspkSKyJFtdK6FuBvXh7ZEsv+34WLXr9pU22f9rO9m+g3xjbP+3PO2uN5+53oWiimMa8UTMUxtaF 3AxKCymHrT17Dis7W+awxX1Z31lr+IUZD0Ikygfv1Dci/zLJ/vXswER5ZTRHz6ULydt9HTXROud3 BFMkLxIQjM4AeIMc5uvcJwggif2cep93zhqX0XcOC1/WJn8tzlFYrT6/I64xayVCSs822pKnXZW/ luUf74w1lpJZKuoUBeZwG63A7VHng7yQvKnHlUY30TZgr7yYNogpfmesMVmaqjMuHyxD6zBd/DHG 2jthjY+Prxy2M4e+Bet3ulq/ONlmAkhQcd02RSRLa4pyX0Y3fqersRCCP3wP7jcODv+s576aKN1T WPqEjgwP4OIC0tT4mSQ6CO5Bo/F3shrzB/URKeHKwcEMdXYVotf4vHPVEHmO9pV+NOi9gDJg3ego tgbBfaNiaggrlYcmkUPFtNv7hKe82tFxbI0jaMs6aj/WURO2tdB+Z/JxguwyzYyD+tlQ3By3Yo1Y kN8RdeuJYpBtZG7mhQi3xbVkCnd+1s87Se1XbM2jGknKulX9d44ak0KSjAV8aW43bn6RdEkVyRgr S1MU4z3OHwv0a/dBU3TuNhRiYSgKNMaZ602pGxprFbLNUNTvRpYpettgipaQMEr2s+M9zjE6ylIK e8K2d4YakT/rV9hIOoS3FN8Jal1CdnDUsg4Z9CPNRQKdIacYQ+zRgqJ3hhohQ4RXD8pqcbBt1pHP M6c9ZzV9vEQcpYtdY5ydHjDj0NsGGRohMQCe+6BVhNZZEU+mlM87O+1/jmrIh1RKuGiKosgaPST0 bYyDfYJ4W0748afj0IHlIbv4nZr2bLPW7uATBK2RUmnDjjWaM0+siup4p8YsKXzKYSdFNN6ZaUw+ 6GSzRcSm4Fu3VVQkWXDWb/m8E9Mww+kmaFrgAHveVRQF1pBF2EPdRWqzJeujLdNBwBS1Zw1FNWv4 /M0EFwQfbIoQcJ2ziMIQ55+NEB+cHdS3RL53qyB0lf68M9K6fBg6kK/ZBzteT+pRpHcwyuedj9Yf HqjtMhCtwDWz+XkPq6HkQOr1NFHNTXVh7rFEnRztMaJKOD9RwTrRW3wt3vYcnsYQzNma8dMz5cVI nsHZPaEh/ShCMO9456LhVuExlBYraRwsM6twOmGy3+vnnYrGBfR9UoP/2tqtpL0T0fClQneqZkbo eWlWhT2h8M43e52Wvb4z0XhTqGlGXiMHr+lnSCx2EivOebQ2l9CaAC1NapglVorGgxZ+Z6J1ifV2 pEHbB297tGwSiJPvGFUbL/hMV99ApcjT13ciGiKi8/uhxW62tXQD91pae+Bn2+/7sGbNthk8uDgQ 2zMlDXW2aCa4u6XVgIhjLY0qLKwUUfFeCT71Kj/vNLSLQLsWCcn+VgCFm7wrTo7YP+80tF9BI702 AP4uyTtD7yw0nNVgpY9lDlrnnEheTBvd2kUo63vf452CxtSStWrptK9Hp30O/LKg2TCsBmOBHtoL YmPUxi4ANLoYMop+n3cGmp3VJy5EfIXh7H6hnwumjc2oMTOfD7ba9inCzAKe17XFOUVRXC1VKJwQ an0AdGhhY01mYI/u2fA5imrWMqkfvSQfHMY4EsqvhKGdj9xkp0P88ESiG7LLha9pdSKSz965ZywY 8hiqtfpgp1E7p6lJqezPO/UM71h+wTwBuFnZV9E784z9xaHTyBTHUyMxX34kne174NzZNKKQ/Tv1 jKcRBWt158/2leQnCJJ0NITPdkCLgOIGkD/6WdCUFh1U64iSc5936lmXDD2oHZTwqbu6ClvddC9H vFzn5515RmjQLexnKYrAvWrc2v4798yqqefeIZ+aeEa0fIGgzicDh5ucqkUFxR6c2e/cM24ErqTM 0vXIt3R9cpcKTEsRmvrESbjjWQoBF71K3uxGRq3obf8VGZVOx7/SuwsfdnR4hZmZ8/NOPbNSxNdK gow5BFVtisLwGv5NNGClIQLkUsyvpSUDoZcOGL2to6hw3Zi8QnnIB6s4Dlh2pawZguQHe9oLK+qH pt1aRyaAzDbjO++MHR5yOiA0bYPN0LkR5PM3Nx+PoutbLGIchbZeuSXHd9YZTrEMD4SWZJgNxpBP kXRewNrvq1EZsvNz/tUhmmohzkcYcmV0TJHg0y/yzA/9ZhdYDMbUn/URPyZn4Z1yRmAro8cqg406 HRYLUPsy7ePyeWec9YdL5UXZ+UOejs1QWLY2H+uVvZ5W2nZwGqSTrZ6m7YdlFBauWUjbidcaB8/0 C+DmqMXCB7xAVI71NKC5OqXkWWtTHrtoq/FOOEMeS8lj+Pn5oCRtZbLDQdZo7fPONyP8z1uxeDv6 Kax5j+yocI3KhMMcAE6b2RuxaeZpHaLWs3XR3slmbH7wxi+821bJN3wEIRssDhaLJvYZs1gw/1EU PX/yAyxiTP95p5ox0SdlYbKyz0EX0QkdJeZYTzT4eSea9YcZZMwOlMr7vod1iAihkhgdUWhcu5ff +q3k22YEGFETFMfXWBj7a7BKyEkVVkeqRp7w2VMIT3BY8Q4D/mo/4bVWUFS17luadG37YNQOpMbc OTCLfKeY2Tn2VNNoxF6zR9fvBDNbdyeMMRgagMLJo+s0XYJmDAefv7PLuMeYvAKDZoPvMaT6nbiY Cn21Isp1B88HgOIfFML8GCL+851c1iXIDw8zGqhzMLTDiaZ48J1IY37euWWEXXmpSGRicITHJXdE sXWnDVJefXipaCbrvcIcyXTW5vlws4duMcaaMAdd+xxuwbGfZSP2VJplE1kGmd8CFjXp3sPNIgGa 59sG131i/pH2HRx3NRMrnEB24fEgcOy/UEUIMOutg7Qwtu5qdzR3GSnd2R57ie0BGDFdFzRFUdFa nepzAC0fLvDqfDqMnMQRqnQ0Q7GIVzxWfkHl2By0tc+i4Bry5eBO8TbrLgoIzz0I+HIZlYHHg2b+ Da7x4CYmoPlJ3cPgOvGk3ibWR+dLE+ujAIzKaTgjRYJ5D62l2jrBSks+2EEEjR/UBqeq1mBPy1Jy JQkWAMBnkbVU/HvIURxSc+Ctz8EgM+dKkpjoyZTweIQi/gWZwXVPvTpNUBRWDxWLqvc9anaZ1b2y F9MWdC41QREgZBDKCQEKH7xmfaKeKXxVRS2qbUqwwT/6h4Ex0Y1Kz7jF8R1B6lFIMGPGoIETNOds XdIgJ4vC4xGP6vKEqAWF7623ENLDqLoy3aAgvPAg3VWwkpdHThRSmrFce1SzFhR91p588PTs3Br5 RLBM8yE1TfPNc1SBMl0RPdT8UIFxaeNLItwVINYTokgoYmK7iCq0YKugNL8VPB9QFed3yTGf2AOx huf5PSQrtiauq8BpgACbtxj4zOLioypGbFrnBwXZGctFI8kJIj0QRziXwZGNgTWUeztlaBvCxAXy ZqEnqYs5FL1tEBOxt9gz8SA9L7PLyKTzy1Czdzwe0Tm/mx+VAOZ9j6KIrwjo7omJhjAO5ye6EgWN di1eUDMfiB6G1cvWT/fBAY7nR67Af+IoAh+yMPIGZPCH2JBc6r53PmuOPSpcgy5CmQtyPrBW1dRp E+KIuPTH+XPxfHCjje/cg531NC5lMYyrz49/ZqMln6LkQOIxTDaM8tY+RTFnkSVrsoI0eFm29Hp2 yORp3Ta2GkWNAGwF2xMCp+2eRmhI4UuCO5+kRahpcsDZxHC4LEgiIQI4P8jG8/9lp6E/1Er3HL+H tWtgkQCUlRo9hMKnuR52ObUCAZbVZMSBHYbWJCxWmndrsI3W4A1aK3mvJ5g5+axpFxJ4BRBe/VbC tLcNTmyZQlaCQZOvVUhIlsaNdnbvxOMROO27oCZlqHUP7PfYGn07NWJBv7e4CM601LzqEBIqLKg1 XKqVJ3YUXW8yos+hM3y4eOsTX0NfjoWQCrkoFmbz+ShigzIAVW4MSfO1HtWugbHtIGFsHwS9gmOz qOUnFsTjUZZ/S0XJ0rmT8657HEWI606PjMFsDHKYLIGzEgJNRhMtTIV4687P+SdWdpGzMJbc4ym+ A5x7I6KQBnVlkWA1sb0XzTPLugc2qAoVXxLB0zq1ClvxwSohddQtTEgqeDxYR9NzNJUcIaHMq1FT FAbYJHmObNaZs2w3hRTJlNaZK1FUpvNz/tWsHk1M4XYv/pOMA6xEHdhGeAhqwWMBw/czsbUydImt 4jgICukRKATFXyBA8x201XptU+agC1stZDLW74ojwOVAXXs9bUTxNYDyINkanlgATiX6NG7lpbaS q/SPqHQt/z7AMX24/KGzv1LWpYbSGvCfUH0E1wEqOCAUivoBspTeNWoS0eSwsMjPQZAHePtSM+Kc aRmPB/H1+I6voYHd1oU8jCi+RsDCzMygjVDKWxYZUeVFSjINPHDxzMO69RSEj4UODh4+DhIbkmQ4 gemigBOkv2A6cFYlsyaTAyv2uhHTfKijz8Y+xA+GukRg4rPjPJDJjrBwvW4myyo3epbjlkNGCLkG nAQgsqu3g9tRC2mu7X0iWD/YLEW1a0AsZqKtHAbeOCgNQ3SePadCfX6EompMLlxmyJbx+7o+PyJ1 fEcABW2yjmFfFumfgWDOSqXr308//w+P/8Uh4hThd6m3TTRCYEinuXhpBpZdxTF8Iz9g2dJNUH1E AbYhZ8T6XMS2a4Lg7HCOafZjMxqzmY3/BjXHdg6iH6YBdu1jheE7ou4H6yCyy8wu0AqPWDgZsSg7 Nh7/L2fRYCZ7eXojiq87rbHmcsbw7MtWUK5elIUwsxVlRxRfS2Nocfdo8AxkQwikSqv45H4s+0Kb EA6VaDfm+QiCUAwaXxLsM2ql1MW6UaVS0GZR7cRZiw2ic2FmPB+tofR1oxUsW3QobYrC+DoDD5Kt GLu3160hyk8xuYWPgmoB4+sRxdcioI0pTZn5pSmzCUEcjIsSWPRN8o34DaHjRG6R1a3Jyx9R3XqS w5jppKJBV/5JgDfLqid3n3g8Ooi+o2uksW3OO0Eh3Jow0KJkw/7pNLTOggORIUCW2xRFgGtZXi6R htdDGj57LCE8p/wuPKFbpghmRY7VoKoGP/SLt65626iFRlpDJYZmVJdNSSfyoo459B4GHg+iovUt XoDyEZ0jbYqiyjXAnjiTjR2DZMG2mZoLJjLvDf0RxdZK9JfM+pZAjplxY+7nkypj64RyuFRCKz69 AVIJzWCfIFw3+I6otM9aI+TFbVDl+oT+naYqpMOOMLTuN4k1uB/Q1o4lHmFkvTQvN4vtPkOtpKtz XZcRhkccWVN5R9RqDvfCn5Ao2eRYDdjQ0gdrAG0NjDJRpn5UU2UbXxJE1o2q8pkE/ZloXK38Y4Pu ib/xJLMLHxDVrv0kErrobPSy7iqKQ2vIXM9qfVjo+VloPdu6kum4TbXNwso1UzOwyn2wOQIseSFk pzoR5GUQYZ4/5bzXxG1WCl0vWN3fUt8JuYxsVUMcigP4D/y7Ju8TFkN2Lng+KoZ8A65RPfoqqc2w dE1rENTfBXNI5HMh1Qc8jn4gGbiQ7Kn+jPX1iC9iWKjByyHQpm30dgbwEjk+24x0DEKvbzAmUheN lNgZwkK4x5p00jjYcd2l63p2Tmt4PJii9j1FC7qY83ZAZhRcV8ZEoxngYRam/OxNEdXCVZRx62mC QjYj/+wp0P58QPsnt874pMYrH+y6Rkr8hnLTbL0Y31OFWTrxzJDMKIaVQMUcLIXdheJhP7B9xuP/ pZlP66OS/SiaUVwtF7M0h9WuwTG0s6hQwVvyscnl5GfIZayWwi4fvFrUaSiTBVGrg16P8KM4D1Cc BMiKS4mlesH8o3aNXNAG4w8luWmfj1gVj0fH9Q0bGYRDLmJ3jxtnFFjj6Ns9O09v9uzMD2D7vC47 q9kSzLByrbosImobLpMRLXN099EBWXB6VBMN1E3IUjPrMDsnAtRmjAhRPS3dQTPUZqEWN6LPjMeD Zv7yFFY+7CfX67eHNqPAGgWqfNa+G/ClQWoXESEoNDsipCXrgMwotJYZwWwSam5XqPmkab2gqqbQ usw9KJ+OLB1m4efzWrrJB92Z8CXBRpOSSp93MLgsauvsM5Ze8XjQZ/x1EkFJpbdbB5kxIgTxdDcr dciFZNtnAnqLMzyGqRfMCBHSWXGchXc+B+cML+zYMZSdAcDPGndq5KCdz1vg1VlUREvHGUXWlTS9 E/pUH7SITqgrTF47YTAej8LGXyk+Kj693Px1RpAQgDegJ2dRkcJVAUHndf9g6VEzFNWtdZnpINZg MzRwXHfCv8+qXKNO6oigAqaCKIJFJ1VTrnFGgXXiDGU0QDmYvD7FpKVnmXGZxSIhj6Al4k1MJRwr bIZiJmOBjGW/1h/Jpb5LcwWMs1E8gZ2h5B4dGs7NtHywg+hcZQ0TQlGwBGcqGRcR0nkiL0Q3T2F/ 613/RR9Ki8KEaT3210AYm/ceH49AM7/VCxI97+99H4FCJotpea5sJ1Eu1/+6luJ2l3sVK6bNKLYe yu4rfcA4+D6DTCPbIUA8nMw8k36dFmHzuM8oGWRVa6LSZxRbN0JlMyouQKWjP69L6KzyzfLjSW0q nv8LWsQ4POOXgb+nzVLMZcw/8okSLCTV1i8PzSzUClQmzBtlhjohbBEhpffhImdOCsWqCm40pJ5N Xr0Q1wE7IOfrnJpRTuDbRnER6VVSsOZgtaK8lsQbTzSHx6PA8RfIMeNivFy9FcXWiSlatbI1pqh4 XJS3n9d9IaLgOloRLmQUdWGFvBZwVc3YSWZmJc2qzzlkkV0AB0X6SXi4yWCIm79CXMimDWih/BUH B6jRqQfAmVrxeCTI4wg+LiJwR+eVLFph3XoQtzfNaH7XatW0BTNQR/AB3KQJCnEhanps3vwcDEu8 Rgcpu6qwn8EE3WzFQt6rdBzAl0TEhYDvCOogpcubmCJz1KjkPoPo4Rb7Y9eC5yOE2m99VFac7hoK 5ashkLqb21lt2uQJBJp2u1e+6zOvEBbCtbOWmtXqbTV2h8BmyHSFaSBEbXmhUP8VxBDCVW9MtH4a viOoWG8eQdjQNghadPLIwspLBWN4xZH1L8DDmd3WbvtsRYE16n0U0betleTxKxH9mquDQmAJz4No hWhr7p0xaMrA4d5oqcNTnmxPaIPI/TIXExr8af3WGwUDXWHNegqe1pMPjnLMifkVsMp4/K9zCNMB sayfXq/u1Ypia8aNcxeryM7d/M7v2nHsS6xkkk4r5jISNvM/EvpA+1OsiefQoKElIPvndu7I7tlr uUf1HvayQTltSh1kMX5MiGh4Ep0vOekBw+VzYOD5v+IinFqEytK+VVMUhdaQjj5XSzLxNDTpftza w/5DYImTcfVWqBJCAOg5VZoPNkXl5AXgyNK/iogOOlY04JxhJwsc52NqSYjaikAhQNkyd+0+KLY+ 90qR0sz5cfB4gAm5sTVpcoQEXMLnikJrxKRoHFiZ8VydedkUVTr+GiYkWWy9wtia1XpgPXzwfTZP YD1Z+2wQeUronqPnP1Xe/6FpulWKNrIWfEkwRczyF7jYHMxQ/uSAs0nLOqWGx/8SJGZZianwk+Wv kM5IsGydxOxvdRhVNoLUJZo3rKbNmn2S/hDha1Qs4uBJ7ISXAdBRkAM9E0Yb1UUNxIU7Pj+g9IKw h6/7L8BD6WzH0tCDP35DFZsJxKil4vHgwN6XF8uqLPq4lEvSJIWERlZll3nFwnzt6oRwfzNwJK9B qtZx5Zqy1kvCzesKN58VdHK9QYwVZInnOahZlUUvaKI3i86IdWFBu9PLRphrxkMUEtWgunWDlYpg 6YPvGLXzvVrEBBgoz5Yv9WOFhWuaeqSZXPm7N+fFSitRqrLThYlXGFx3Wz/VB6/u8xBiKoZ+cqEN 9GAo8zNBSs/YOh4ZjaG3jTpEPIdWGz6o6LiBeZBp1ax4PFpGt4nGZQRdinoleXYUXSNHAWveio6j bZeOH+rlSyqkWYtoh3xGcoaR2PvgO+2snHXyNAFmz1QMUo1SojEL9WFvi0iO1jsKrkHug2S7rAeW 99B6JTiQB8zg4xE95ioYiPOJjK/4Rtvv0TUkDObD+RSbsSfL0XZx7jCQ8dbO37FWCK+zXrsP3ort GTpadGVEdAcjHS6jdK7fMWnrle+t1ux1g4oRcVeYdx8MW3QmW+ipE3nh8eDI3t+xEWpFDRVnm6MQ d81eVO7VHRoayD12HC0rRhaKVNoc/TvCnkm1tXT1VOY5aE4ckGj6DflU6I7xPsO2gbDUvDut0NcW 3xFMUbf8NfuQLNUfsgrEtYnHg6JauUU1hp2Ixx6e1Q7pjCNRA9z1m9NKVhrZRXaxRIXgQNUUxagQ 7rGiAKC0R4bvnPwDvV1G2NCwHYwfCWaj8ST4J94j4qW2oxAb9myQwaCyLAerXkNZien7+Vg8/pec Y7ZE9qsaskPYNRzP+lrOtKKeImeoZl50tIkBnVcz9G82I114bPBFhKNoEn3RMgCJhQi1Cg3HBrp7 psmQqmrY5fiOf8qFQOTNBi2iDruDrbmqeDySC7lcPSqJIaOpzyIKZfiGqM2G1zu357YE/wS7prIC kkKxK23HsBC29DfDRw5OZzzp66bMOEgyUOKlYAjUVUAXR93m0ZWlbOqOitcwvUTFkdgrDnYLnfja 0rS08HikMfcLFgLwc7pttB3BQpJasXm5xlxthn+AGrXpFwLOOX2fhTp8rLhCnsAHX0V9wlTX9hmC PElcw2ikQVRuzadd3bveNlK/6oQ77DuoNnvOoU0yyPkCPv4XtMiUZdGlKh4Y7TDALkSlbfOGnVT5 lR3T3NtPokS5y87PibD7LFu3knxwymeCFEaX3GXvZx9T/InYOTq2QHfU1C5JIfjsCBcyCLuCw4cP WkSjFfop4GP2Z8cS19+QBxyWgDf7BEXB9aCyEz2tzWHYeHtwGDY+Y98FJWtO0L+D6wl1Chtun7EB UVbFNjwnkpOGmUGeP3I+BpXb3zU+iBo0hJIPmqB6glFu6/NrVzz+XwgyqAqPrxUUClxDvXmTfUhd J3E/u7IPRzh2ukpzj0WYkCk2YxKWOD3dfGR/c/KsaehTZ6HTKg5psIh/Vn3yfNZkd6gUMgxLvH1Q YI0OlmD4rTQ8HiWxfgwxl4O0aLuGZwbAefvWZQKXw5LYBgcFSYVQaEBJ7JqAqNDNO4Wx9ZD5dL2D 1WX7zAkIB0zSuZgBBMWF37CuFqLNAvi8g4vWthcOOJ/soBVIOWFIRR2xk4BAC4FqIWfr8gMiKKjH RcLVbsBB552oUIsvyRR+S/VCeDUhQ0rNQvFDfPf6wabYP0b2cOUODjBCSfbEktSd6QUkDekTozAL 7A5upKvN0/x9Y+QDJFMlPLNdeGbhpyyyVZ6ZzwdpyINU4zwBdj1viC1iyOtzEAalGRhraytt56Qt QLJVW4MWthkTpBB2zRC7J+Gw0oPDKlDYzwBUsnLUQHRAxo9Tc6GglCGVcj08s71uME3NNIyaNIyw fJiInAN9EE0AMDw/IIiQbpgt4yKIAj7pmrx6Xp8byvrN6ZSB9vZ+yDY3Xfgw9ztToR8jW44nHOo+ eKW2wgt+EYzVYT+4KXhdgIFYnCTULD2QLNteOLjh1BJB48QGhdprLPljV/T4qfQXXADfFgWo8LU2 052nKNiGeh8oDml4yZ9G06yy9bmMN3u+Ok/feFFFWwbDIxEfysFXFAziB4FXDfpeE5hQIPsoPJdA XU6uG1YoXs1vicwueEBBucsGzdOJm+m8eT4DTg4pRIuUX7QZMGZWrs+CCovaHeZNqRtyrbdleD6F /UKu1U4yKA3Awqp2t1pt88Euu/NbkxFK+hW+atABbEHDaDbaD7Z1q5Fp2+tG6D6D9Q0fNE3gnYh5 3dPm8+/TVJPfd5IcgY4wuHI+TbGOCAmh5pECSOdwmGiv0xlYhQprXR8UxAQErvVB7jkHx6+Bl0YX Fur1wM2FxX8IPmwUz3Nrl4JFdyR+SxQ2VZ1P3QdFBSfWKLr45u58PqKEfpf/seGgrnhnKRQTKZQG n5IyAnCk2SyVksxm+Pz8Xv6X5k1UW8fxXZsP3qw9cWuDlh4vu4kGMhZTb2cxLXD3aKNloRMF9/gt ESkUx/dmHQiDsa+hMySzaqgf8Pm/YNmVgSk5vA9XTQzutwe34CP5AtkEjmbVjWauQven7eWSnCJR kWooJEJqOXiMWWHqA5M8ZCl5ytbyLBxAajNLuI9iX/f3jYQfmeu2kn3w5XQ+F/8Er5LPB7uu5a/g CR1KZOJ3msIS96RvdTW5cKj0luLTtKqhbCC9cu0JwyI3092eWV3q+QH8bfpVL3b/a4GEKGGjqyEC QvsyZ0geeOmt2AtHdSWuGcRiNqg8SZsxNd0YZIZmM79NnXBnjscXLLRxhAI2OOrWCWg3OkBoYlrG UNqcblEYgkiauCIqn1C+XosJPLbdkGvAsqifeLUKOYrbnTaw7FiYzyUNrHNg44glyyB8kwXJQWWB cVLqJUrjmHw+SuqugwFWE26E+eQsoZEjDO7PDnHtedM8Yv1tJa+/8Xe3WYqQJIkBwZZmFgejiJ5A /KzQxUp3Oqu2KKlha66C1E+Au4nS0Sgkx06OecpHpfmgSTrX55Sc2CqVzweBeK7/s5TSPcEDL0fJ 0hmrX2pHKZuocQFt3nRYhmyDedOFdo5q3i5deBwcy57OIpG8IWSPGvuSYNIWsvnXD2sYNk2l2fvG 4n3nSBoEBCRdW/zLUEQnQPJEfnw+6giU73ylgJ6FBNznKap3oz+DoLK7gHhdTu07wfmygvcc/bpe hq6OsCyBOvVePthyOh8OF1CcFnWf1dTk9jDZdYPEb1+PgB9b3TlwdfQ9hx3mg3A3ENyh2tt5/8rn IzzA/grDK9Kf8Vx0oa8jxFfQIzHVI7hf2Z6bVInlYmoohNgkhVxISdJmcUYV9+tkQuBUGRpBWxKc LQJvUJBluTIDW2LJinSPcmjsuMxgdsnxYflqSgtlRK4muIOGxo5l1a+TqVLQqz2bLtLJlgJCTy61 vr28ewJEZi2FTLY1fcuFMfg03azqwz2ZMDc7E9Z+dkPuRC3D+P2HOkgssxg8qfvbRiA3ZCqpk2DD wZYS8Dg8bBZs+UJvx5R+dU+gIwA9JZ+kqPTNquVKS8E2TFQ9tjz5xPBCCpoxii1Dc8fFP53uBzZY KHD+u/OkJ1tW1+evyHRRHSixD/zVlBfXwVSSCk6hvSPOO2gA1+yDUUgg/MZCyon3+Xx0fpevDQdk Gq91n6UoAqfAQUJMc/Pe6WjAuYtD3eZMRrTJgcdjNslDKK36cLfc+cyzPSgpfmKkE+cwoUNzdgAS vXO6sUCt9r7RYuKBBBSPDRYL1ERYzE87Jxefj4RZviNL/lJpPYspxG9PJHFMH8mSGIZ7AzP8spHA t/JJCmvgW9ZquujXg0w+6+jc1UQmQ1ALXVBM0rnwTmK+oCIK/JsDlZK/bmT5RIaEunIcFH+Pc55K znm1wucjVfErWacMZ/3QotynKQrAgaUCAsSoEvNRzwSPyKYpwbfBpikMv5utpeLDrYSf42AqnzvH 1JgZDDiUG9sPLaszbHpvRy7Z60YSrNxslSUnDlpMZ7plawjSP58P0pTfNRR0sxdUbGyaQrdHcMn3 KIZRgs+wo96KZP4EDNzGIc2h3eMSalJhOAfvqKAPdw5YXL3nnusNgQok6sVIxjGVPZkT6i2Hfo/W 9W5VADcaCPFkGnDCxCVXVubzkbyfL6apQgKFue4khfp+m2JIk9JQhVIkmGi2DPqi9zX1RzKVNThN IZSb0zQzT3AOnsxBl36i1EAYxcntqFGCCuY5wVEM6ZcysWSDHXo+5mKaEdkHA1BC5E8M4zL5fITD /bYUAequ9avxl2PPx82GgViA1CRkwsK6ZfF/onpmePccuD7K3BU24Sql1As2GfAlPefrlFD9RopJ e2VAunBA4U52eTb4itv7RgU5xkqLMuMMmcRvnFCtZ5O377X5AVEE/t0IB6SPFXSfpxBwAjTF1D03 0DooLrKxmDOoYXB5EznwfUT/iSjKotnyGwjYLvQmYSHKIA42kGwYlKZTCcILdXxddNveN4IL6GzK VYOBTWtquVEmA6Ern48oON+MSVqGt6dfEHo/4srfO08PwLMLs64h1WhW5LoLs+bA/FFwB7bBuw8X dQJnFBo8IigYdTBgOKES1FJI+21PO3wue91glrK6mQwxM17bhB7PSUegPnSEJj8gahf4NC2LB+pu zwkeVcEF8ZKyufBL6cLgWIUTmvKk3J7OhR6QJkmy1TXYN1M5dz/qKJ3+EBl+BXWJIsAiLUwAxmNW Q9nIHHpA/j9jX5YcS2wk+V9nobVhX/r+B2u4ewSy2Mrgk2ZsQGlespIoLLH4kklyhyiuD7rpzj6U uEQ765PPB6vp102HFLPn/Gy6KAYnRjrVNG0Rnehr2F47N1F2+EnrjtDJgQ8kth3XEy1CNViqAuAA PF4oqI3uZjX1fyJzoKm1H3mk5e8bNZ+wjvrkUc5B9biyz/xkTdPm8/8qovC4ZuX00idz6AQJ/g8w 9wKbwNrQ8QN0RnYESvPibmAE6ZY1qyjrLU/W21Cnyo3xAOP6xX+ZAUHpCMEzq9a0YS55+esGs9QI 8VZcUG6zIIGwqqrluUr4fARg/sWm4NE0n6MpFNYuCClnzzZL5IeawRi9xghDGcQvd/2iqEFHBu5k fXsqUTeyALjgVNqCqQ+UJfBPCmyzoaX+04jxVjhQl71tcM8Rl7thsmmDWDlYofuaQebADfJbh5Ty SgnxW7smCDnwg0RjvACBsrJXUJqvpV2m20F2FK1tLYUhOEnIJ7ZrPvg9d/LnvlFbQjrX2spsY07Q DztrrehN1qnGeO32usFaopItMlAftJbOhNFA7gceZnw+qjP5Wuoqt5wL4JqJ59ATkl5oJ0Ba3haX 1CAOpvP1WP4Cd1BHw+fYFnLLA2kPHzy4PDsAFyhXU0qyP2RVvAMiS337pyve7X3/Ci5HYqGJg53f YzV2nlQCD4whEYN/B5cAW3d+STZNUQwOLYhzedfrBF2vE/StWY4KYK1NUhSBy0p8DsKWOPiWg/9t oUp0K21WuPwRyAxkExQTc/rWbO32uhHNixhmZA42aM+BVq+r/HxDfD6CoY6f73Jcod3mnaXYHBKN gpGyzVK/7ZSRHGJ5zpzhRcvQG1IVFNS3fbAu5snboVDJ+iCl3Fi0XBDI/KFiQyEgwgBg93WDLbdR aVq4EjGA2saL6cTzSagOMGX4C6Jp8oQOLwiS+CzjmaaQVQnNm56X80+qgXcgV3IdoROcIBSBxw6R W/OTtg8OsOgo7qGShggcgc5kSxy/c1HuqaNgLTNfa4kHFpHYckVdXgIKZTeqJXT+EHIHIBbDXxDt uV9qieiopGu+nmOTSCjVI9tOFjNh4VuxaWWrQCG7h6KRJio2YJcAV+8+2Ho6+2w17jHQUIAfoXd2 ZzpGwZhU68XG52Uv/JfLX55M7Kj7quziLOCsxukoafIX/DcMVHoH5X1vusAtEsgtVlGGapSw5HPA DnEXhkRBUVvlgdAuEjuXBiN38BN8wTUE5mhnPVWWvwXYQU2Oal/UTDS6Tur2utG2I00X72uD6gMJ /h06b1BGCRwjv8vgDJDEsrpllNAyEgA2uF4uq1ymq17Sr6HNgCGvL6YIiNKE/E5z+ODwr4KdJ6LF OdDPnOCUP/EAXEpBdJW1luRLVre3/as9lyt7BRysId7zWAbXGXw+IsR/9wrQTm3jQesElpFWHADA 0gpyJEkII7fSLciNfXdcGICT+NUr2aXd3x9oHUSUQKeybgmuH+hPixZVC+wTKiLYjlt72etGCpNT Bcvhg6hfAL4Iw5tX5/PBhruGGxRhIJehPEFTWASnVULapuCWUyZqjhU5SGvQ366AqOQYudg4UoT4 SjETDp70QqQBFxFRTSRK86AFpe3EkkBdPuBU+lnwUyIVRaa7qd7BYCgrTREtT0jD54PgsvxS34bv V4enuk9UrGOSiLQU3VTG0cl03EGTO7NDtZdOaa6uXxWFBEMZyPRBE3USJmaHxKH0k5aKbDnXIuMD UrC1AnVr3ae+7I2jw0kbTmWCanqT6I+dTFFkQqR0gYGk0IgWhqsJU4A3uJddbCG56HLTknUyiwvk YPNkz+mWWyXlwEESmhYEV1ArUYORL/o5ritkuaRhtgB7xZoDKKniuKBMhZlskiKfAwdJkdzPHsNa 5GDqSmeJMp538EBgISmGyHM6Uced7HObpBgTnsi6mE4vyB6FJ5KHBUQpvfgkRZDwxdNpDWp0cbAa CkwhZ2JXFf5RCFbJBGvoRqLrhZ1mGd1Q3BQYSGabJGZONigI32kmef+d7cHng3uu+aZj9lZlZ/XM UhSFQwqJyHnrim/WiJT57nLJYGV5Rhe4SPqeY8vTBmeDbfSeBgvhJ8mtdMtA6RLLPRHd/0C/VJEL XSSBwwNVboo41wzYXfh7+UfiVuYv+Je0ybBDvI39TFMUheNAQEw5XIkK/jpWkluqznUCUdpdTnEl nJnvkmAwCVSap41SeIZxMlhzvcMgEfMEfj6EvCBM9xjedHvfSN2kUdaEBQIOxgk7d5zoqRm4ptBL 8iGFscyJWDVfEaEcmEnCzb5h0Y/u/AKmLdTu2Htb2FSudVL+w0tyqJ0yfXBkKkSQWqH/VkHlAzYJ ABKCeQKMTcZ1Z5kvhNv1KX/F4F0cQw524kLbkLM0ZuXzkUjnL748VWjSpajm0E8S3U4gIk26vJXq nUzIB/6Y2BJ+nQKCwFDSSapvGifQwctVAN56shjM+QDOiaDQ89p9fUFRtr1uQH4iugLyjBhwQUlG CmxXYbnnyo2/ICjwpu8KwQCUcD0458BVEuwNrCEJB6KISY06TpNYMs7B8FQlsJXMlvqOYX3fRy3n BBgnJFhUyEOFABuS0wTy0wQcjt1+23NUf8uBsSQuHKa+WS2o/MB3QTJkdDirno9gTeOrQJDheT3X Ax8IvSUBVCRb3oQp4NLsZlwkS8hyYsIzzSYqAqMoAt9aT/tZT7D8TUDK6QwHgZtTCvXuH9parPY4 lonVE/hLovskIUpqnXDwswmaMLziQeoJDCbRLvglvYRVMuF5qHn6vARN63wmTRf32QyGsmjFJDvB ArHs7hwv4KzxbHoJmc6vSVaTWzXdwaOBk2vBt5W4phNc5kqNJnAxznrA4bnGQ3xCyPQSMPFNzf2m Sn6pTrdwrbL4wm2w8PR/TA9fcJvP1FJvjhIZjCkZy75OTqGuNog8hOXSpRtHi8EG9pIkNUr92dEV wfSYUbK64hxulwBntxHDqAuzpCWE6cG/ywyk5KZgSPnX+UlkZ0GQSoKmyQVN06ilED2CVOjzEilx dqtVBBa3GUpwDQVazc9LmMSHiOpGL8kBX0kyr/IArq65BD0hLZ+XKEnLp8vANd/BYagTNxeKwuxb tqFNCMDu2RBQetsX1TwJ/X6JkfiuZbKkv1TWXdOlKc7NJL9kGAN+XgIkTe725aN/OtFLmDY9L+GR 7S3UclnyYpFydC9S9nwVYHBZaHJeQiPNMuk6axK/xMFDIyBoOz22qPuWiYU7ARKaJ522HLeiBAwr PuJ9crJaJoOSCxlNdMM+AJlB2txJffLnJS6yP7Ta7GgnTSqS2Oy8REV8CJXIXbvrLYw0u6drazgk Hlmp2bYGS2ckpf1z+eClpA2xhUarxH4O5wTBAHCaTgCx2bbtj1EJxcc/LxGRjh6BupAx22AQuF0a 1TVbP+H1SzxkTy+fnaVjCk0T31sv0RCfIjYqgZfv/BMGX4yt8+SPRAUg5pUpaTBBklCYpQ4fbh8X ctCTGQhkckhPOKc1JOkWupWF/WaBJ0hm/bzEQnzZJSR8y8MHTRDZxdQsP+fa5yUQ0vJp/dcEdQSt vDUxQS9xkE70kqQeVDz5SOa7CYVX032F2eE0tOlLHKQJajyVBZqYD2hiogt9ErLMyvb5v2XIUopU fpIQSCy0VFbuCdEGy9JVpDIrCWOCh0LcalBmC6pFn5cgyA6v9rXBZpZtjk3QSwTEh1DG2NBdVzx9 /jLXMYNSmB8/MDiQSnB4NnPhoK1mg+MCIdkAOW5KdKGTgeRtTYQrC6WtTBlqi6bJ/X4Jfu4aRa4h 9UmvB58gcYwuq6QTPn1eQh97Qz9+lOQvKWFodl4KkLrwqMQtTwAczq27a3RvV+4lQYdBCmbB4Szr jSEdivGlQwHlJKi64GZvJ4Kim9hZlhALWFBXRaH7At0aRd6C2QGftYEzlH1QQa2sNZgmnBseTwdX l5XT1g8V6CgMS2VnTs9L2VELdtMaya6pE3pOZ5gUSltzeuhmKhmKPwMfLnEb/HQ+yz03cmOAJTtv RRw36N/n8AEZEMgRx7fRJOml3qivYEsvoN1Bi6etXPv2ItFLtfEGwHazs/nX0FpBod5CwyhwHhRX oJ2SzmfBfCVeVss0Es4Cp8b4gdEcLdWGhCidF1G6AE09yyWptFch852Y1Z8LZQGSlAnKNe3bJtWA cIcRhrRT8UFl/gWNA/YUywDdNNpiM39tMVQQzrfWfI7e42e0mSDJOYZqHLsPa2Yj6kruktSI6RUD J1xFogbW7oNHQAVbqTfJdEBHgC6tE6JPUDkB2rx4k5YOLJ830ptWPOsdba7qgy2jkQt1tU4AyseD ALp8x0ALKGrBtQTtiS4xULuXGG9s93fTedtmL4Ftltu+EMlghnY3P7vqwyXfoMEvr9+zME8+RogJ /rcT4TOgmTe/UCofRdCdQWLK1GPloH4aNGTY5zlTBUBbFAYNX0JG1DkHZ54eBr2R3TSvhI2wPMcZ QjvAABHtat2XZw1FUTRAfQBs87ye+XGxnfC+XkysoSmDXjjh7RuXEYrFGcvvXmNJLxvkYEXJKQHJ tVpJ5exb8APtG0HrIzqLxvpaQ3DdGFTx0Ay9B9ISsUGT37RJAUVK06uwLBIyEDrR3q3CRnM0ZBvZ iw/W+Kgn2jgHdmMaBnjQEqUZ5j+90fR+GeIvkzrzeaO52UGEBKzJAagBIixglYwZ2Gk9f8znjeZm kVT/mqNMMLJK+pykKJyGZg+MKwx9dO4Ut2hd8pDkUbQ8VnxjuenYl2XCFjhyX0c74CxPDgYAI0yS au6JCFv8F0S0lAW7FVg6AL2R3LSZiTmam4x3DiK5wSGOAC0atr1x3JQ5ZD+JCmeIZk39zlAYT08B R5M199kdUXP/0ZlM9P3iDEXhtJS5qJ9rw63kV3S32GU8XwS5cywFUdL/zDwOTTeO1FkdhdOtGo2k +KBi2YmMZTrezrXweeO3Wbrr4bRkvDLF9u9RFAXUYGojqfTKa8omUw7tjO21MjhwaIaiiFpQmjkU WI9+MzKwY88yEhzjxHYwwkYSn/BAgnZzz061KcyXP2/kNi3UJb8ELiIOmqITNGaZr2wwJaOY2v0S 7CgCMXqse+G/B9VQdKWgRCrdiq65bHeOBKHUiq6p3Op0FFdDIAilIGhFaPCK0FkhZzthI7U0C9WT 1YPFBYSG+nxq00JCvsfVCP0YEyUK4HFQd7EjFlLWCtmbKLDe6deFBvNzCAn7HEWRNQhb0N9wWAjk DZwlqYYoi2YL8a/mKA6uOTmIx23wKyaj5tpUl66QR+A6aquLVvSDM+XW76feNpojTA4bwjboLOpw 41lKt9bnjdVmQdX+daOdFZSq32hvnDbd+RudfBKm/+fbRfLkUHQ9Vea63QPojdJmkbUye7Ii5u53 ggq8e3ehL8m5pk+SyR61OA3gl8jRTlVpSnG+MdpsyZveRvNB1xmQopJOniV/3ghtdhYMP4oUY8Ku bXl29kZos3md9JHy3D717DNEFWP1yvKdoffIGjhKOiZkaUzn/WBnQGiHvDoi63MwTVh9Q6P8zMYC XrsQXWZHEYuLb2w2q9xTEKgQvr6QJumbh5rqYmiMHuXnjc1mF1r/utAgeImbxKcoKk5PqUlQEMTs bfLtbezsfddznpjxxhuZzQp4LH10FmA52Hl9kgQI3tN4o5GCu0nahi7ZQqeqoIficDXaSrxx2RQX DV5pnbYSCfhKMWFyq1lMiPNtrM8blc3C65vH8nRHw5W9Fc1SGF6P/VTR6PUrbQTMEu0L1cJv3XFY b1w2S/aYe8gRYD2OAHAux81WWAlB/xZHHxwBdpKkW6Mov8FmKE3yxmSzXH8wVlh3MIg/JMNYmj+3 z+eNyGYB9j2O8BzgX71nr1S/EdmU/Q6a/3ghf5ONa9D17pXYRlAo4us3HpulB3L+I8iBw21MJ3wH BDmcby7B0Q45CGEj0JHL5LipFCujpDca21efrGWaJDZlZkJkF5kmnLRkft5IbBY3+DpSUw1dJWlw c4qi+Br2vrADn1bOn9NXFAAHXk/LZHhyjqJytUTsp9ja82Frr4rmD8zlUa6GVplpKMJZa7OvWljP lyRnsbcNgiPp3Usn4crDFBzgzQTv5vq8MdhsqfvNLwYEgF/rTtF7gC1F1vNhBk8HUtHpa3lnZ/nV 7FiZN/aazmzeamvP4YNDihbAe4yggXeEAzk2GhSVfxYbfLBbuN0ye9mg5sigvOjeL37vl547HWES CC3780Zes8P3uxoytWvvkR0F2Lh+T/I3piu5tzXctO3kVsMzWdjM6zB6D7EzNeQmAFzJhxsaoSsE EiEJR3ASJDqNVpsQV1r7WmxSmOHzRl2zmphkXZnsI735keV8PbeC2kIw1Pm8UdesdPwr2z8T3tJz rYV16zP7sKGzihFSc9tn0hoXJ2tkw2C/Edd03xC6CP9VH2yGNhToOgodqIecyL9QP6Eg8+ugsGQA bq2mNvxlg+iR2m2jrDsoCRnwA+VpC+LaG29Nj08/i0TIOvuMNQh9aBRgG2J2NM3GZjnZzEnosK6j aG/faO/xdSY7G6xZ2rJwsHJIAw+7SlUyp3n2mfQniXWBF/Yk40G4DzqPv3HWtIi2NFroTbKHe5NA P0DmiLB0+7xR1ixpT19rqLGol/zSf2OsKaACCnPMi7RK1/cPlUGLryc8A9SYDuPrs6XPzABob4Mn aR3S0YWoj3M0QxlhC7JGb+P1M8fVRQIEkp8R9e15EA1WZzkoSTun6TQd910+b2Q1SwEuroFrCJnK fjr3UXyNvhA6inX/uNb98tMa9qp2EvU9bZ+9sdWsOgeFrQoYhw3CYrBdebLXTmDGpP0P6mgJ8LQC vhigo7UbbabobaM5Ioh40mmTg7YZ2LhDTIdzWL5R1eyw9nKIFXTBjLhTFMXXrTC+ppkhVciyi92j b9r9yme9hPvsjap2wUP4w3f3wffZiQZlKQ711gwSe5FQAm5cCJyv9KAah942SNOIs56DU8RBy6js ylyAgdXnjaZmZ/UthzA8QFtP0E/OURRdYxq3SR8Bkt6c47/7YOeR4lppm9r9G0vttoigzza6D16Y RQhadpL1HxRK2aZtsNjoFHogmU+Z7KScxhtJ7atFhIRGO+3MRdcyquewEFc/l88bR+3/10P0clCz 89P6jaJmX0wBSaYYX33LEhFTlAg8JmofqjC2iKLaNdLeCb3O5INN0TkoMgqmBO3DZgUpFoOCCQII xditFtLpqf1GTvvqUpfCFI2DHbA00eH5O8rnjZqmmGT/glihyscvR/PzHlhLxQ5prNXxCQlxYxLx Dm2bZVPYfKOm3Qzx/N2AE9ngkXWerEcNqmhAlYwl3AGZv9ooKP2IQzD5eCOmWWyKrKMgSLFBU7Rk +53IF/+88dK0GPJ3uQhoiZNX3ymKAuteaLHZpnskVXkBMYcdqdwcdlgO+8ZL+2rF7sSuNYc7RWd9 NYruN6hHJnqXnO/mLJ6JHKmQjmkkRyKH33hpXyU1Wn/bYA2QWTsrq1DP/7zR0rQgVvpKPgi52c8c RaE1ouc9JaLZCXfoFwzibsidUnG6zsLatUgxSabISceCnLZQVatEMmb4Rlaa3SZMxmDBqDQXJM8s 6H3eOGmqbinrGKQ3oi1jSPJzI4iJAaR0/7xx0m7YaQUj/GPYHg0WRDRHUWgNHOBZ+s3Dorx9jqbM ARgWocSnOQpr15n3GSy2bLBVBOeE1dDvYGidJqofABQhQaOMfR6XkMY6zOeNj2b1IsIdaB7E4sGP oNDl5DasIgPlweejHLZ8bTUgM8yplVMUxdaUpUx5LPNKkD68DOzVJRq0J1nmAPDGRdPrZyaxZWcf vFpEuOikfyTQD2sSVTQgC7VB7is8iaxa1O1tgzaRjEkmWUQcrFpUUavjabT2542Idt/x+0I7CRrN hgVrDIPrTVxaJ5CaCkT46wQahsSjfgQVyGTt33hodhpxrxWqH+1SHuTemFD4FY/hLBQENLSzPX/v IimHNFmtozHsdd/nSOKscIzW0IThbAM65Exic52fNxqatdLupU98PsCOXeqs/NgQGtKZmcHGVMVZ SDoCTp1xx6BszSQNCJKKI/uNh3b7ySjuP4MjH/IAsorovQp8WuUkbTACJlxgc3qK/KAZ822DzdbZ 118C56+LbxzAeBE1dL7QzxsNzWpq38003BKN+guaojDA5pFNFTK4I0yyj+je0gCs4zLqJ7rZtoyi 8nVj7jXWrD5Y1RF1NEhccobO6QzaB/XswVdCa1/LyMSQ2W58o6BpHVRZRwIZrUEz1BFPkDR05vzz RkC7SKEnBYHODNqhPkPv4bUEo89fZJbjm5ZAmqEp5dFNHTa8NMLrN/LZ90ZLrfjgtZAECchMpnWl ozQRoEDzgbR/vlUmJyaDTPrCG/lMRyclx/Pc0webod6KXfsnun7jnq3fGGs1ik4s01bzVtob98yK VMKmdYcRt94dHLKLIx8mLHYMZx0Vr1GgmrjNkg9eUoP09YAkBhjjJUP+HzkImRqwFQO9zjNZOsZ8 3rhn60Hp11mrD0rTTgA2qtjArX3eqGfWHvCSGu99yJjTMU5zFNWuJ0FYZZmsAVCf3o+tZVmfqKOb xzvtjXdmi1iEYRaDOPgyOucLdABY3ocMpcwBG6puAr0+CCOsaX7GX+WQKcwjB7uHTrY0lerv8Xnj nN00+CsHQbG8PydRCA0hkSEb0tpN23DpQ8PMuo0reWD0xjiz4JFq49CznI+sJawiOyTW2mAGAl/t wvAANj0o15yNs5/oWisoKlwPQqxPjtx8cJ5HlW8VxLc+b3QzO8duWIRznfbsSGVthqLwGqYvOFud 6pHr7VgPM91ERc1pVG9Us+VshpnoRGODLyH4LlZiYk9WffZfMz32RDI1Fnu/BxGhoG9MMzs1ZWPD yLG5GFemzDL/S2u4zKLg+gFgNQrXJNQob57fwuB6F/lpZCsXFQGMqA8zmymNn+usWoeohZDrspi/ 5+qDH0RgYsEpQCkIdDJZdYSGIlSbpMAq90hg6Pm2wSoiBAs71QfBHiaU0IV1zBmPB5HjL0IM/qRa nykKg2vUa04C3r3oWMZwUPoS0BiQYnRQbYqiyrUEM2Ee6MMNis6Xi7CaU3SOS8XhDdZsFY5rGSIr bqutnRZCQySNdRIaH7TTzmUiaAgYyXg86uqPrzlCNZ7gDmPFRNE1KE4nbiwmSTf6tLrISQ2LOUSA U2WLqL/H1go4zg4rrGBzsLIs2q/o37B0DUXxvWVyAw4QrZ/m5XRK0r+HjEUx8hC32WDYmb7kF3VC +orHA9LH5ZwJIgFJ0+pdxh4F1iBhbJQXreRICDxFVzeN5ThBKzuiuEfIkMEqWcGFbINP0MmGN2uQ AGDl821v46fhjOtLQEflZxTCw2cEuUfhIT3SHThB0KOi7un5uJN79CisfohVTPPRNqxaupyhMK7O 9BZp5QGGJCcOZTqq8MKfoEDwtO5R4drjxjF8cCBfhigMPXUBdazQwUDugdr2QhEmU6XOOkR4e3xI sM1oP7o2O0SLpV5Rh3bB0cY/vBU8H/A/5voKrU8WDMuai8HqIXkRdcTzZRsgDUoFjlLbQotAy7/h /mFw3aPg2hK0yYoRB58kfMlTlz7UVgf9kBeJgAucMAiuPNIORW/710oahXXHIZVwAUK72KRo9eLx iN75K9MnmHnfsyhiMKLMYowo4kHll7EYFhmvCDC1aeTgHkbWVnXMzQevOkLzb6KChrMIIpZkQGSm +/h3udR9b31WHXtUvF70+Otspp4Bz3CDtHNXUeHz56TLE88HV9qvTiPYb4Bj+BSFofWEpkOrDpkl r52R45m37ji1Ok3Tof9FYjyXfWYzjcOdorFOMiLHaKAwNnmvhR5R6ELlROSMjiMEH/iQIMuv0u6v pHmyzM+I+EQWm1HnD7JtPP+vnaZV1H5gUeFTFFavcbwkIPWp6rS72kGFir00rltgOKg0ixM7jq67 MPnJB9toZz2f9A47Dxn/Pr+MsheN9osIFdBKfXQxp9727yObAOw86jX3g2ok+2jnosLj/yKBIJIG dxX4G5+i9+gaLBnxO+UKzWMJ6BhqYI1GMAgcfwdnmyd2FF9vqzeKUFQe//peUHpMXEfI9QsdShc0 V8+3Dg0K8qzNKJJWbD0qX1dirrt0Vnt25WeYKJntaM0Tj0d02O8CP+7vVtelC0fQa928mzH15irK VgvZULuQgiE8EOy4jnAhXbCiLvvoLuUAKjmcxOwsFtMKPEdsl5UmTFIXxG0ALffjGqYhFR8SYdSk X0hDTQ5WCznxhQqrZ13i8WgVfVeLwH05O+05r8P4Wnz8aTfalD/GVkHt+mjKeKXz9wT9apPEJi6G g0fXtZz0AphaEvbOuUEbzYWDAYXtHypaWslxEBjSI2BIJ2YmlXwHdYkGhCN5lixstJDW2G50Tb05 NLApFGmk6ii+nuxYJyPDboBabqo/XLMANE2FjyOqXUOkDl3GdgdL9fP5otratBo958eakjmEifR5 N6CRkDGQBQJdd71rpFpAUFAVDqtO9+9BHVM9/XWStBHG16N8nUXANzeUEm2CovgafDkcQL0ZYBa9 ZQuMBgGWpDh0YFNFO48r10VaV80Hx/G1tvuE5grOopP7SfQClwQsCM7UU+bFtMGQ9eBD/iKe18Zu UaWwtNpEBRhJJvDIZEdcuv4uGEF4to9nkmLsdeGSK8knaTXvE63intrnT/KS0YiK17DBAm6G3f1a RRCjFh+AD5XxTzvJXDppMcMneGNUIHRgyONS/bgZ8RkBGrQxNMo+mBQf1BGk+wy5WzwetUB8q9GZ BV8LbgCboii+Rn2dmrBWMZIwL3mNMN81/nlzvOyIwmtKJqc0VQ2Z0sjFBJ1zCSQioBtaOb+oNemJ ogXVYNPOJMAufZS18Rl/YdOLhNXLI6x+fotEyMD/x+P/utD47TGRvYy9EUXXoJuet93Wje3SucIK os6JQseTOPg2i6JrSfPvrVxtr2eb1YWYsbgCX4aDKhh752BugJjiz7uENPQL8SHRUcQrH4kkLjTk H5TaPx+3i7EaS8bz0RryKeL9jmU7b9VxRNE1mhx7U2RY8jt5NctAziqyUwmoRGM4jCi8lm/IMp51 f8IikKjh5ksJjIUfSVqrjX5GUDJBZ9Aq12TpjxBzTRBwzRK8zNX7H6hcL6PpTzweHUS/EMVwUaB0 iWYoxFxPVh2pPiF6wzR/LFgaDWPKTEYdmqIIdQ03pylHUhucBDKxqBK1907cM+co8gyBaQNqGkDm XNB11dtGTbTOfgDlLudw39VUeVxjn+0+8HgQFt02o+geQO33yyUaUe0aNOo9RTdHdZ9uwWa0tpxh ncCv00aLYmsk+sQvTg34TjLZVgP1fEhs4ySCiyyXGxXSGoJTOujaDOG+wWdEURGOILoi2qDadUMX ilIYoMaOMLTu3zoqFOVH08YmKAytF+PGPSyJ7csniA7JOok2cjVNUBxaS0KFEuEcvJ+/kG9AHQFm ovBYYyVkQQxjwBGjIJC0s5pu3fiQILRu5DQAvNvYV8G5wPTj/I/UpfiBNjt+QZDoP+mHGGkTcmn3 LAqD6/MLIdSpUwdQZg+ulwxCcKxVlnW4z8LStTo/StQ4WLd619H6mtJ6gOJAIf9jEhuJ+6wUemCw vL+lxhOWrkmOoWVoB3MSOmL4u1CUUBoLxw08H8zRDR3FpQUMvgNToEmaYfV6FNliVmEdEnruFMA+ QRHYSFmJLGrjTPZnKLjH+nWCJpQNjjFClrkXVXnymSXzPQTp4SQAqMUxLlIrjfzYGUJDlMCiG2+D Tuy8zq9nnz61hsejhfRdVANsqj26MzOKr1EU3VOoYjrTLu/p9z2HraPSWJvFBIXMRmrtbeSjNniS dq7nXTkDrUD3ipn+zuhrwT3KuJ8qzVZ7178K/F2GWD270nUFq0TY9NoLHo9OIz+vFYe3H6bCNkFR bM2qNzDD7h/KVhaPoxPyOlEfqZXpOoW0RoY7uLp8uPWiCrgRldMqLHBQCYft34AnJWYcWaHTY6ll MP+uXu+smtq99ZGLiP92/tqKx/9J2PsRR0bmAJqiKLaGG9juUm9+HAuoO9Nr8hsN5hiaob9L16hS ++Bh0Vk2wpBBlJgyjoRdA0LdOu77cY1DV7d3jdCgW5W07AMn6AShUzKaJwDNeDxo6D8TRHgEqgb9 ShnMKLiGUNW5iJNJ8+Q0JY2BOWpEjIlpRbtqzlHIaaxEgmZppObrUjDbOe5PGECwIyaeUMEhmUZY s/zkdn1mCPnihwQbjbJF5zrMPqgYss+SZO367OSKx4NWY/crjYA2VJ3ahajNsHYNPfm9jQOLH1wQ Y7O4KvowKv280WYEC5HcwwYW3gaboc042vyK6lk7iS403Hk87NYDv6IsFD4jOKqJKYYzmw9aRRvk G6alcww8/i/yMGmxmG3K3mmCIlgIyFR7FjMrPPujOo0IF4PDQgA30ARFheslDlomooGDL6GNm20Q oJYXXZ6ZfWwYOqHAgmjR6dVUcJxRaJ0oal3I+E3QrrMcP0FiDn/2yfsbHo/ys/mVn1Fdbj67LIqs QSqE07NP0LgTVFM3Mcdzpg2zU52hBB9vqD3pV8jBux8bWaz8WwpYe0M+RoiFO05thNi3sL/1rgGw iHohcHH0wah6vZhrw9p8PEJefUfWDaLY4yKuZwQKmYU5WbGmK5DFJotRoH1iuPQJnIJNURRbG6sh SQsjXS2Mc8cu1CmmqGiQuUy0v0CHnHdJxk9etiYufUaxdRPBCv1BeDZA4lBXUJuVfJ5zPeaK5yNw 0XcOm2mbfLP8GfIZCZVN24XmIM5tRzWVy3WdzVKNFDtDvRCxiKAAaIPT9fL5PyPZdQaxLYYGHTF8 A1E452ujmsG35tv+FROhMeyD1YpaW3IHPZEcHo+QM9+oEOllbq9aryiuhh75CRWzS4E+ksTQVkye oiX3VF8RLERau5SHtcGnqLRaDStbaOnNf0K1jYZADo0xk8MQQX+FsJAt2ZlcfDCEWq4ixaJfiscj xZBv4D4kOxoalzZDUVgNE3QomxW/zKYFjYvxrzVikx9FK4SFdNl+qEs0HuemE+1DmFB+FhN0/Sl1 J2DwT5yNfP0Ci+bWu0ZKfOQ0mK4jw1Rus/OuUFjBYb1rwfMRQu078ZgiAdw1FMXVMNCBH4rby6Y8 vK6f1oWBDngya4piVIi457VpYKbEDhocFBqAcIQWjdJVKVrMW4F6YKXIAqKTxuMzomIaT6JELb7q x91JzHpHVYNh9cDj/1Ud5Exvg025zVDIZqRyUZ3dSvlnN1DMgK2PtpZjQkY3xMMKAddDWiFaTE+r +mQhJzwEco/+X9ALkcl8ykwjQLG79UYBQdffReuzXpMPKhWhw5eFZdoVj0fZ63fmkZHw9noVsFYU WEMx9SyirlsMajdekUVHx/oe7FVphmI6YxExZvjgMRHMP0GH4UFUiVADz4pZLMCv7MPbWc3uHT4k qqblb6kQwF54FI2T+DTKoJ0wNOP5f3U+eA8SLLuHy6msKLDGC+4mDyJ4DmTXUdtoDllYtEA81BxF VWsBHgA+98FLRUxnoK1ZT0J/jptJtt6AFutCjpzhU+MWl8SorQgUsqaZpm8fFFmf7UztcqR6A48H mJBHToWhNTZsvoWiFSOucedLrYCMK6iGG7aI6ANqhBUJ62OOwtia6dlqwj20W05beBqKskJfnSAo s6sN3X78y3P+005KhSI6/OBDImwR52ix5ohPUXB9DssklixE+vB4xNH/3mqMrp4kf4WMRpozNJZR xbPSroNpI0hkwofAbKGqn79CTiM9rGbicuLghZAMr+LKO62ehTQ7+XoTuRGq2id2vLj0QtVqfEh0 6+NSoxw6BqDhuI4yMJQ0l6+l4vH3rbYeAnpVPgeB2QudWSHsGtyGXbIrquBCsj4jQjkLHvtFXa+4 eM3NNqhqOcZ6CHv9XJTN/WRBe6DQ9ZyUzUDwuKsT9goiML5tALvmfQa/EB9ULTopoPxpYHiMx6OO /vw+jyDl0/KFzqywdL05MyQtSbsoD5ujmk2a92wXnnacozDAZhVoZjkT58eZeEAKPy92QBoE5iC5 gHz2zMxECzVDzcCjozH0tn8xrTYcCG3QgXQy3GqGjbPi8eDq3985CKjLs16m1Y4C7EGbmLItfBzE rIoa2y59eELKg7HRjsrWyk9fRMIam4qT3eqa4ANNFk2GEvdIjHZuj0gG1zuKr5WlQb/WBy2i1uTR fU6Ywcf/RZHhvyVE6cKu93t8jQObEDXd8UZotKssq1togiHVLON2LHbNRVQEmy2X0AjWEPvVXERr JMgiYBGBBzqJPaxAHtmtRr39HSKvWW5sStY4GLpoFnOUP3kBHg9utUe6yKoE8KzzEHuHyGsqFeeZ 3S+ulV7uabS9prbbNM30/Tfy+j/t4mBJO9oo9PwE2boDeoS1BYzYmU1AOC90BooC+Iyopkb9XZyg Nmh3wCddphYnpcTjkWTIN4yPgAc4btkUhZRG1NQUEeu83tkVwQuV/4ULAdRYMxTiQmjrNQV7mA/s AcLxJ/6EhBlD7JOHcIYgJoEeBLDpYEdZh4iX2o5i7C2LXdrwadBZdP7TpSp//hQ8/i+l4mGpbB1X VGWHsGsCQ7Zrpg9qBXOGiP6XcQxNhTVDIeyaKhfMhG14OkRQCGPJqJwn0X9Hrg+xH/ZCMk2HVFXD N4XP+EsyJDfi0nO7ehgdrXWbq4rHg8M6/Wp/ADVZt3eIdmwdQ8mQtuqtGHV3b8jdeUTwKfNtFuNC KGGA9qYNHj2yBj6pwgtzRtwISGUzxGNAfmuPqsqkgOqOSteJCLWiE6k4KfD8y5NHMz5GvQ2PB1N0 dfeJKEaR/TH22hEuhHFJAkLTpkiOa5yisfzOny1P48nsCBdCjb1NxpMNNkW5AhrS2Uc/EVY/2QKV 90HoPifU/CEz1drVvetto9rsJMWKnEYOOnVRVZNlzrkn8XiQpt32hxr6MEGtd4rC+BpyhdNdCBwW 0kUUdBW1VDhBnb/nT+h+kbpVuVc+OEog6cvrO9NfR8CZbQYuu3giqyLeZ0ewEMk69UIiEQetIXjz ZcFC5v7sUOz6F7YI92kbt2C0w+I14POzDp+g6vvtfJvLlYvQfLQJ+htxvVPbPvgKKvNELTTaxARV kq5AGyYAE1tzPeAr2vztUC2EgJmcSALhYMiis8Wy7jKcQyEm5CqE8R/TAInIL81QFFjj2NlbtBhy rkqZtoZ2KcvW0CAQl5ssAoVMmjdsGgxp8HOo5A5FSqrOQFRQWs9t0XT0rHQ4U3lIxLLsDsVCaJwH lL4Pauaf10tmb1EaHo/Ksr9AjudvJuBSM2QQnLdPxdJLcN32LHa4tsPJYgElIiIEebnZe6dQLkRG npUOghysHHLSWJzRoPY01Hngz0xLAJQc0VMvQNA7vGhte+HA6kuC8kk9ECw7URmhiCi29Vmyk78g QoP+kr3E9zUuRz+nUJGv8lKDZQlmB9ohxYAhhcaRxDqCu2Gq6SlW5OMEobxhg80TtGRP/NupeV0p /0d7WNjRrw7dtPz4xS8G2PiUv8TlSyZgjQOXALQj2DGFMkHm80Ea0n6xPwG8nqPfaQoNZc7s7k54 Dr2Gy+M1LL416o+pO+46pxB4TVe0MdmU5WBpSIYjfJ281xq6+Y1FkQHqyWIjeY6rq7LkeppCeAjJ w4kdb+Rq8E1VItJ2W8Y0W/wFASz0hpC629B7LONOU1jKxlGTzlXsKP5cbscIBRkv1CJlt4kK7RlZ YeuDohd9PA5gZz8UqM1JsHjh2MJyqmh3L8g3VeD6PY4s2144KtXKCb0UH6zBT+oVPhANfurJBX01 rx/hOdBRW5vP8RTF2rDUg7Tabl7ypxw+i2yjmYdKoWKTb7uoon1WHQmge/tg81TOAoJhCoF9ZwEh ZEQBCSD6hbgyU9JUBSTWrPgpQWOEqQjoST5ongYAdgJ9wtMhhVCRm9kSKplLQ1vm8QZJYVEb0cCq 7IKAfzWZf2Aq0nDT2NEoCi83sLCqLSsnVDBtcLjI+Rn8xiJ3EPxaUtQ6ALcAgak2YNXItO11owIA MWttFR8sG+lZIK164jA+H9ld/RJ7xJcKoKrPUlzXpt2wSczDdXoZSnQu5xd3epzaZReF3VPpbV7L Bz+eINdDgQDQ+M4xTjj2QrX7fDKi1dYuB4vsZn5KpPvEqj8ibhsUE6SZhDtCwMHnI0boLz1DiNvk G1iKC/h69oKEhZqnrroxikUHJ2Vrgo6WPtFItD0XO80wJJjlDnbVnS9rQsdYV10vmxp5awJCvuiE zpqXAieekvyUID0hsp9qGxw8jD7/A7NNXICNz0cyz342McxCcFL6M0th/G1adM2rSaWOasCIWo03 Ai9uV8bEr3pfTZWgY6SxPnh8mSgHRAu+0tC4zoVJHG6qDEoAm22KCGTPnEIxbGob5VWbD7aYVqU1 zs/5hMHngy1X75ZjK6nBtD4/ey4sb09Ojgwu6STbW/J5ojohc91MPSvNU4wgkZRYLz54E6B2mmPT 4wGH5mZ0BdE9mKjBhgpJi9fdir1wVFWSMs28g4qTuBF4UNXBCDM0nbkIdjnUoGwzbukth5aO6KTC BVe1txN/LC9OyuiL2w5YYrcrDFEkKpvg9LTBj/BecMdxOZ0wI9dEeHKbrCwhTc/T7dALhSj5KZFy n6ziWTipFzkKQe2m/sf57Xw+CAl+6fWiot/nk7GEpo4gTG1Wx1R+gwCQld9E0ars3la3LAxcHQXq BGVtNx9s0528H9Jb9DFAi3KtqsN+s+aKu+mafssvJMeujuLP9p590CSdCEBagefqq3w+UqR9WtzD F9O83rs5dnZUEY5yXkp+W7OthhVhSiwnukVqzbsuNHeE/yuQJOLS9sulXez/44TDcloJrk/cn/zO F0ph+fo9wHtEHxK1lSxRyRpMQeMcqomoIa4xPh/lv37ViUoBglZqz3KKCt5LBjSzu4x4peEdK3FT lmEQPRr0AtCCCiNxmoVB8dkHqzSBRVsoSwfxrDZgFqqDflI36ocsKZPRYKs7BxaPvuvwPj4IeXMS UjPEWrny+UhqxHcdwQMNPbj+TFOo40fbB6VwEPiZZmCUDRzCxQS0lE9SSIcUwm2bVt3DY8N51wrr XieN6pCpxWKqqEc0BFEACXq6IuWjHLo8Lhk6S3m1z2WUWljDmZ98gldo6PJIve+v8LIzTrmzFIll o5N/IkkrLW0iY1WSQ4vaZuncsMW3XAwtYdHyvK0PtuUWd5K0NKAbkBoJkScRho8DmHSMmwRQUkAQ +Dy642xJQt4mc0o8S+lMmEBcCxZ9odHjI+FLo0dICdTLH8mB0SPI2SgMECYGptaSJBtjcKLrkNyd pHaZJksOnR6lg/ASXZ5IaJw5qvLFgrJ4p1QEe5ogrOs9l0j+KjiFXo+Syz5x2PLBGSSwRGbSXxaf D07wcgtzEszuoLE/0xSKjlB4vc0sA0PiS6zMdIJ/nyikLWYBkQPDx/y/XdpHi/VtDh42wQKtC79N GvuiG00C6mBMGhulGw7Uau8brCazxsp30E13cpiVBIdIlc//S5pF84TU4YHe5sDzEYACCvi7OOTa 3aoCqdARhC3dhm6CzVJYB1dlYHA5cfCTKcOQcdBN5KSqC6aqql+2nwUh9oIuhUOV0rTXDQoEiUzt QqotByvzLisQgELH5/+lLS7SMeiW8+rW5dD2ESc9zD6LFeY0N/RcKdU1x+D47dMUxuDKfP9DT+sE SSeCh14t1UfmIEkbGvWw12D9iv9/3pRL9rpBTjcUMLXhg1bTWrKCR4em8fmoPvBLogWC/Ks+ZZTQ +hEH77l5TJ0eSbDj3sp293A0dfxwCr0fF/FKS1Xe9VR5seEWXHV5OMFjpJFUkhAAT8BpBnH568G9 5dD8cS7JINH2OQ/aUfFsOgELdxGMK/h8JPHnsyT6qdQD7iSFtXBmdGYVRoL7nlZiguEBfqQGScnN pynGc7NvuYhE5nDRJthyhG41ikmAdUUiN3y7T7BOMU2juMkVOzSAxJFP8k32wVvfkKPSET75fITF /YYrA3jXcNP7NIUqJIPN79qk7ePNTNQurUO32QZ3HmAOHCClojykgGuDw5aAoMj0NW1w8cmtq45y wrWFqlrGqWY1OYrC8lP+0o1iHQ3oN9gHMGqeuVBCCHDctfkLgtgyffegQIeg86vPU1QMB8J+T0kd nz3XJTkub+zsmGUgB32aYh1tkbaJ7ubgJd5d61nZKoXnM+Ey76lctmlUHsBfN9229w0QAyRxwStT g+26euI6aZOchd/5fETD8V3XOKv4f0E1uxMVe9UQTElgF4LwYlar+dwcIznyZG3PVAIjSM04KgNk 4qT8MHEy+kO1JKa+cBPvtCJpu9Eblg3Cpyk+/XUjZIXIbpUDMxYtgX2uB4mSNNjRB16Q8pj4fyFB 3eM5n0IASiVFmYGOACj9OtLnNd1ufWMT20yFCBQuKXD/fPDzaaAKy17PWUwdqSLhFYm1WjB2x3yY AsXeNyoSUJNEmtH1akZjX9PLBXiJxueDBVW+SUsA7fT8FOZCQ8iGgt75vOS0pSGApQqYtTgIZbma bQ48IaX+BlX2kX3w1BfGiVIbPQsqdfRBuDkZWEJaaz8ySWva+wYoCxnSJ/m1J58mYFunWC69bj4f 1VK+85UyKA9/A8zQFRJM/nN2p2lJXR0XiFJyvUCUfScprIYvqSJLGWDvKwlQQAwcshZFcXQrqaso McJDDS5awMI37jqbpRCLwlJTXfSwqV4jxb8kgIxEysnnIxCzd6Awv8h3GqFoNktRGA58Nvwv3PSQ gjFCo9BIUFZj6LIp9Q2MIdFRZYGgsdS72+MqfoJl4NbK1FKCaA5J76hgNeIrifNWRFD9bYOrjjD4 3EV+7+bMjSBvdykfbB7hISDlqpFK3Q6Yh/b0fQNvSOk/Aoey3G6M3w+LTeSbGSIFXo+2mMIwfMug tmQfvO17flU71+6iTuLJGYUi2HQzYvWHJ9NUf7x2e98IEE86biOwiYMW05n1ucW0rZnP/6vapBIe AITjTlNoEEn1wBP1T+MKnMzC1G9KznWYE+KihrLmKfaIXCqhyNwvXXO/c4CDg9IpRX5Srz7lugXl CkPKkhaXH8R3Dk0iJbU5C3HiHHSAN3gJ8GRiKTxwiUQc/u0wDiYg7cp8mqI4HBEOZeh+zBe6+slk YgFVqe/ySYqi8MWcF+UkH2zPTepU0wqlgWiUGtM+amec74TxwDNLKsoFNpGOIZg730Gb7sx2US/y XLF8PsBa/GKeUj0pPwWC2CiyAh23zNcPSvYmxrUhdGixQC7NGwahT6R0knlJ2uAApw4vPMltt7xO itJZIMBdh8IsMPgPDIxIuRw6Rc60aMU6ZcwKpiTnBpWHRW7kSU8zf0E0Tb6YyAiHYFh5kDuxV+QA rJllD5FQruHo2YgeMmXQIBSF/2EWyX1UaBPNwVvjBe0CEmIgUgJXZ9LioA0KHc4f4MTc2dda44Fb JMTGGH13KnOkxtuOycoJaDpbduc3Z/6CoEJwkTvMANFXQUHTpymMwZn7tr6daNFKzlZwWl4VL/Cz 8awusIyUfgUoFirLlVuWgxbglBAkySgIIFFJmVAdgksf4rN2IfLZXziqXy5xdDsHKHXybwNqsUmu 8ew+/oIIuvNtaoOGcy9PshIYR6rzdZav1SxhsWmOSLDayg5Iya4NmEPfSIEIpsok02WgWL0suA4o G9Dhgz2mFLlgRwIlGvXwjLSTur1u5LhBcHMn6ZuD0Zp2opcT/t7M5/9VDOdpbSXVO0thMbwTS5hd TIneqhK/a9tJ32k6Lz4H1pHSmEHZctzBZql3kIcbm091gg1ENSW0gn/wX39ksiURk9Xtbf9s0qkH Vdy0u6Ck0tV8OnPH5yNm/O2Mc4dClWlc5/ocuEeihr5hKmEEZjMRE1iOj6oqN4YXL2P7SB7hM6/i g0dNbcG6cEs6GWbvXWdTB1AeVGCUcWzLrfu6wZZjYyWNfAe1DDrcMBiC59X5fBRdfq8lkH2o4u2z FAPCF6m6WZJu+PFieZGEUbwcaibNgTuxhSSRKBNICBs8aiqgi7JxCaAFNN54NCEgXkA25flgVClS zE8J9pyFldQv46BaeAUsnyzTvRafj2hg5eumy6iBAEp0JyqshU9ZR1dGj94ml557qdRzZ30ABXnh wEIfSS0oRMM+aKL6iXMhqUERMwhRZVTjxkrogwPBivgS4FtrQnV/46CfqQrmWMMHztQA0ck4hUjq AitJwBKvRjBxqmcfNxQVbaZCM0n88n2OTndzaU6dO5ECZZSZ1ZXi6UrgJQl1C1pv4e+wgfNUzz/F Oc69ANkg2ErKmRNvh3Z/a7dAQCQ/PyTKVlhH2VShqpuOhGQ7ZYmxGYYgMJP85TEhAdNJxPqdpdjz BsAd0j6U1DVnhEFF1SEp4BraLEXQ8CVr6Cbpjna3HZRjzp+xU5XPdm+i/ZBqQlcgbDXL6YYip8BM UjAFOADW7YPCcAA52S+pdej54KZrv9wkN+g842660E4S3qnYaX07IEXUeea+ozkbY5WLIQgcJQFr pK8U9o4Nlq60Xs9BTl23dgIccJUWy5eIqRNR/g8KTEW50FGyJvkl0p80IxxXhpGpTYEPPAt/8Rf8 NyInOMXbeA6nwFQStpu0FEjum3QWMsFfiMRX9VbdNyAl9pWcctzud3AUASCFdRLKmzu0/rjp+kAV hTBRYlTN+abb+0YUQ0ridmrjcTCEU4erJy87IJxCY0mWhO4hjhur8zSwaQrluRM0Fh0qv1clvoJq OSr2duLn3TQxx76SamRmNupmfugYyB8WsGyMwk+CiF4rmuMgMQ1cGFDGstwXYBV9yl90jBPJFR/s yEU7mTqUY1Y+Hy0mv+oMdw+ewbOYoiC8EnXsE3J+okCnZsmXUl9QW1NAEHhLXnLPfAZvraBnQNcW agev0dWBIoKFNGF0ZS4iZdvrBhyoCrzzotUxnC3qzzJG3JkdEzDJjb/g/QRft6HJ+BKYwnWFBHNg MCluALDuzZvjfVrXF6YsTsYAL9mmKYrClausLk3T/miaYilBk4IAVSj/dMjirgm4F8xxsGEesynK wOXAYxK0XNJVpEKdXIUasHBp91NRnM8H5ab5XSGgTcJc64kGoko4FYLP8b/MROn8mHzXWQ4zSBbL 2ScqgqRgQaBT0LYPftNBOb5TzayVCvQzma2NtUPICa72OJeJ3RM4TaIBRQTvpLECBzuaRknKNTbI PYHVJNKO7xOcSP4JywjN0+c1aMpiC54XNrjA+am5pmAaw8ApJ/kultO9hkwZ8CYGA3KgSALE2hTB 9q5QFqbBOrFQ2rNhOhpidUhTXgIUQqbXgCnDB4d6nZ2kFQ76m84Ft9RyawtPv0xPRilEQeXZcoSP o85MQZ/NXR7NziDKclQ5kdPLZDl4YLI/IMBl82wumB+5BRjzm4MDUc4X4JXds84G6hssWp4zuLE7 WktyYwVDzb9O0Ik0JFDR6KvAQRN0tm3t8tZcePptgoTVtAlitI6guyWbn9c4KaMOx+Yl1fctOdlm DgTmrGsv0buc8/MaJWH98PbPmzElB1s/VILZYBphi4HnkXlq4XQ66RPEyPvjGND5ru/rhz20tAoN AzhoenAmNXOPap/XAAmTa5RVTQ9sCSEuZtPzGh5h+TQUJ4koU5mydavm9rpdCQZS85qc19AoZ9fr 5NGnwUMjoE8ruWngqfZSleHBLq53mrvdmhJ1ej6vgVGWOhlYCaxQFhQYDQGBtjGLlX31/HmNi7Lo 3ZodzSm+9O2L5zUqss21K909CY7PppgD0vyejhAojhB4jYmygBzIwcr0wfEm8BMZmbMzGrqeIBBA Du4cdGy39cezhNiaz2tElB1FkUXeya4wV+q5Khma/KCm93mNh7I03DQ7S8cUGkvTZuc1GsJ+nJIu r25wm3vzIncZDy4ALy530mB+plBLW2pC+7FJLiAEZAlzQxwVgjlAniIGWtDqL+QZCj+RGFm/xkIZ PRnmZ5u1Ng5WHBnQbOaGWeXzGghhIZiPtN4MRHjIHdj8vIZBONALj2YJdwjild2ZtIsfR0AALgpZ TYTzIy8kdbr70+kGO2sNfF1IDgCtpPhAxl80pCGExqUlsjJRiLYX20ijd9VtURhdtoDaJOC099o/ rzFQlpG5Jgh7aRKqQjt7qhRHZ3OF/0aZy6q1KTlDpxcXM+u7Jxctj07mLrFyphz7STkWGxmlswoJ qGmBNwp8W895s9gkRH7kwTQZ4K+xT07G9oJhhQ8WI+6ssifChc9r5GNv+HU0SxHDb/bX+iO2V6XY /fKjmTU8FYtY8BFQgiuTMmbB0QxBFpw65Oty8M2F9tOQ9ORJWiHoS8NWvOyCrw76uRfs1qj0Fs3O hvPjhIiFDaqnofTLMPEsTjz9Pjv9ubgoOAAGtixtqfAQTA8bi8Dyu+VW2V7/qHJLqtJRtp72a8kR n96lpTi3D17DPgtvNKHcGqzZK11/ee4s0gAo2GcgN7olvdYb8R2wkw23Px/scMabDq8RvVYb85Os avU0nPB0k1NcGIXNQEYDXpb8dG5iBuB03n1ZgWjyLDWeYDRDMrTtbfrgl/v5WzatwrG/cJYWik4i x1jkmsPzwCVwm7QDoiXEuZlJZknJY58BZJLMksoA6zTaYZaDnVSBHsrI6Hvx+/2d/ZaFqQPG3U6g vp8u7XLE1uiUFxIPJwyepVcuQ9vHOvpMUYIkMPOvnuFrhMk8R9AJQODGBcR58SYtpa8+7+Q3rHhK mpzjLPugVdRzlnIzcDyfd+4bjui9bIqw/GAqMITXEjwzusQoXTrNOQrtfucHznZ3GSJ4R9gEM4Sy DB3tkg8+Q3AshCA3kcn46nnNdey2Bn0Q/HfPLpTJR/FzZ2aaBzWCOaifltAoYvzcQFN6D6DB+fcJ Eq4LyOjll/w74w3HNCQ6NrUaOUFrXC3Fmh0PUaHQZHiI4JzuRRp4bPdz8CCxol01yDKFVSvylh86 b2yQcSF5P+tziyW9bJCAETza1J3lYBlqWlu6EwUQ0jCIHuvrJKKtFaEYmqH3KDpZCtZkbNfIW8gO jFyyuKU7yb4wrfdAWqAKgPpZ0K+3oF/ZmutsxVJ4qp8kj51JcN07mPWTJuBU52Jk+nnnuvEgaoJt s7RIdLZ1ZbFfWag+f8znnevGQOqG0ni9DIkL/u02S1E03bHRkEwa+qjf1vXu2VVLO7zClca/B9OZ mpx0kGw+eJ3jXGkThwVTMYi9qOkB8nsT3vBij3jkft6pbtjMm0L+pd1BVLfzW3mGybftnel2XrH9 SuQztfdXvzstjKfbg4lEuEi+mHFuRvLmPpi6mqEonNYqSkNn9riSnHIgLRRKbhXSLkNKgeKsgZ7U HgdJndVRON2qISKzD0bfqsjsGS/m/XlnueWnin9myDRg5fZlMxQF1KgywaHe/dm9ip8hF+V0m50v 6CiKqE1LsenGb8+Nj2QGsDVG1OcA4hECXVd0YyFJlbm+ZJxA6eXPO8MtywISKnqibnWnbp24rsq0 rWzwJaOYuk/fZ2yLdBTtZr7lsveoGvcQpYKa6yanPEe1elBZnr+erVGcbBMF1igpzVR055fnzj/X PdDsjVZJJ+vqJAFBhBvouoZi0Hxq04JCvgfWt88h44zRzGwos6hLJdzaoX8TRdZr/9pogGJmtqk5 RVFk3TVFZZqEEmQf3Dshr35LZv2CjcLYmlus9HEHn6IGLyNiQE5O1sE2JY59EPmZIRb4CE7OqbeN pgiJ/UYPxQadReAENUu31ued2eZpBGdk8Eo7s/NUzd55bbz00aKmbLZMNpdLTMv+XJZkAKuqphiG 1qq2TnVg59UqhynuuQvoRNIkwUGZs4r+cIdcrmztVJSmIuc7qy27qmul3KgG3WfnP4l1M8CYPu+k tvzIuW/plKAC00nQ1AxFkTUY1HvyrOMMyZtsUPHepg262cMkpt8ZbbwWZa8pcF9dX4Dj84ejEsva ENYQeErglEDNHUDHQicgHUUsLb4z2li4LwKJDoFEEZkw+1hQaaZFCTRK3hltvNDqV2iNe7UjwLcp ikrTaGxASMJc/rKkpVQfygoEcKMxjOQ+eye0sXynDj5T/e1kYYD80T2yHLb3VtMWdRtBwsJiPzns jR0H7SXe+WwMjERs591fMGWiwuQGmg4m6ZxK6/NOZ8NLjl/le7Rb67qTFIbXFJmubpKE/gabZJik wSYm+/dtdmuSvdPZ8Pmpip7VfXhytHPETQpznlj1LBmBsOr5Chawgo0sTgPNUKHkncx2M/1VCTBa tXiPrKALzwN7zvp557Jl6WA9c0R7M16omqMowAbPE4m5VUJ2/dbl9BSEVzXD63ceW3aoMXMZGxxq 3OkoNahVliFdtlRnhHxSUVfaEQ7ml/ROY+MyEC3WNF7dL6mfuJ1aPefXpPl5J7ExarjHEUvVaJkM OnDwU8NaNcl2ibVTpmnZzezPC3dnipSrXfrOYLuh0eIS0WCriIzmAsHvk2UOtG+ghXlWESxkCqIg oIvqlCwn4J2fdwZbFmkHuoBt+qA5ygt6aLzT5vq8E9jyA561OUJvvNE6TnP0Hl/jPMLiSVa4B9g4 eTW/WwsEShLDmFnv7DUe2RK4Uarfn1T/BNVnF8AgT7JJjV37TfnzBYpFhgb+bZUtvez7abR4GtXO jcZBU1RIkMOPK+3PO3kNf2tu3xtNYgsePL5z12yGMppYDibqLblG2SaUGnO0Uq0WGb2T1/ANT5xC pbCbWMrTTeyo3ieieBsWEvzeWQ6B8jtaHNAS8SytDL1tNEVs1CeWQ4CR+JH1fMV3TMxjP9v8805d Y0upfs0RlGUb3HVsjqKydRMwLVn5DP0Kv/l3n640PYBN0c3/Hl+jYY/1kxODRw42RWdj9UpAAECz MDulZmej2BFadBk8K6upUeX8885cy3KkPd+8uOzzctmx+qpSdTDX3olreHzOr74ZepMNEH2boijA bpThhMTTj7H7/HbbdrvxMGrFakbvrLWnHoLKng1WD6HQfd+ylUqoNkrXXB7tyFgAYDfYBx3I3zlr rKwSdg3UnA1WMkKvmxEhvMneKWuIPkf/iq9xJraVvXj9zljDDHXg0ne3+LqV4am+VR0bIaDT4Gjv dDXWu5ijQW7JhrvNyrk66BYPA8CWpiDaOGsbCiEQBvFthm/n885WY+uLgbU6i9U7i5kNmyZtjV0+ 72S1LDv6r11Ga/anbR/CPrqUXEu3mlpdl2w8y7De6wSX0aYoLF0PhEVY/D5oDWWq3VYIRJ+sAw5c EPxAposwF3QxhpXdWDNFbxtNkZy1Cf7s0vHFLsMal4zrySU/70w1/LG3XmT1XBAjPHJ8J6pxl7En TakbImR1pbBDVPo2KTJsCouL3plq2AK0ZQde1AdHWyfIYQldBcmZbpw2Wks0FHRXeiCNQ28bZGkE fK5NHhYHraJ6ZkkttBOofN5ZajyrfRWpn4SFnYf3P95Zatlgnys5GXv2YaqS8NXrDkiv3RS23klq XMQ4qyukU23wGTrzCzo/wTELcUSS8RTyWBTwMgvISmRJO/+8c9Ryskwf9EYOcBrgCX2ihkTnGdwT 5fNOUWM1ZH0dRZC0aal4NeSdocYuPuhWo1VDo6ty1EiWWab7d878bG3Gd3oaviGWiwDB88HPakhr LXYaGoBpELdlUIDgATI2j/dGp6/2Ozctu0diHawWcbDzFeUiEhXOL/i8M9MQk9w+LB9DwPhU99+J aYwyiALp86pDbHcgTTQQVZ8xMXbiDEWVa/gWnb+75eGDJ2jnEMp0eQaaqKgVfhYWqgsVFNrVrxkp pc0/77w0LPhlZIbf1uMJhXfZko5eP++0NCyGtL7ue9TjWrtl2XdWGj5UPo59ubRtbYQ3MIddl+TY ljttvtPSrFX+hvM8M1Qg/EvF1gqztkzMSMYSnfg0MOIvx7Hb60YVNbZiJ7EOHHTsLlR8pYk4+Y7B Nrs3vuZo0HbS5ygKramadN7U0Q4sFlpFzeyTAERbZtf6Tkl7OrFLgDT6v/5M1ovOEiqDFfxGAfHG kiMBZQNJKWbQs/zORuM7I+1i0WpjgA225I9ixQLEO0sZqEh93hlpjDrn106jWDPrIZqiMLJulQbt HhTJocRc26YHRdVdkd/ZaFnw7Aml5eqDd4hwFvFGRmB9roBBYl+GethCXbPwSjF9ZMTKn3cy2q0W AfHPoUCmj1N0FmZVtWhmPh/stP09RXQSVETPKYoia7THzgmRr9JBSg783E10YmDPTyjjYVFUup5s /WyQn2zwJtEJ0VqhtC7MfzO+dPm2DahFno3Mk8iqRSSivfPQGKJwHdVyB8VFOE5FQjh/+OedhoZ3 zN8JGmH+K/syeieh4UPpj1hJRJFHSavXoyT5jzAB6BK3f2eh5SsGsQx8fumfK9dMQQhp2wPDRG2R hPwPjgmUPveFRNH4zzsLjahfUoglqznStPIhylmL8hOorH3eSWjcqn4asdKUQfPodCnSLIXIEGDT Vsd5r9os5ccQR+5SCchH8Jix4CqO7HcSGrFRBO9N8q052CSN2VG25B0GiVYg1ahchyObaVN6avyz Fb3tX7sNKCUfDGC0iiL6s2Ln552DxqLaL3zagP/f9jT2nYKGhTQXhcYm19H5qRsGfcMMRcsIejvN llFUvZZ87xrjDk6G6eg0iFeF8iB15GHqAvFFNNK0jEwRmd3Gd/4Z+zyspclTKl1PqTpHWdSrqWdL f97ZZwQK+QxJy3VRLuNCZN/pZ4we0QYp1j87EwMxfs7RktL2Zs+6mx3QO/fsa6sxNEyX8HnOnrNQ Jy3t0JGFwym1/xLIwIsa8BRuNTVk0hfeuWdZpjxQzOKO46A5Gp7qw4nh8049y/l6bJ+jmoiAThjx A7IOy9ckWreWXdGep5hV1ZbfbECqOc46LGAPRs7q7Jens4/K7KC3BsWOoOomVY2Ml0WolFHst2R2 JXvdKJnFlQbhUh+Uqa1z4skl8XwTn3fqmXUIbK9RUCRPsCg8PnqnnmUJlQKHb5f/qK1bBFmSKWiB mjGtqvbOPGNtWJf/rj7chbSh50xtGqhDDS2kYYi8szIelBHFZj7vvDPyGDa7H7zd1tWuTSi0sBt2 crjxeWedMRX+LqoxHBk3D3knnZE+U3gGGZTPBBB58S9DYJ/oCIRKTVAYYnOnQY3OB8vTztpHHZYy yBD2Q8mFtLTGks1JKPYTYSd71/fjWrIYhSQIDUb0gNogmfrwkXwnnNlZZsk+ZpNG7fUijN75Zngs MX505R55oBrXI934sbvSyjvZjLsM2ce5W5YPvoRwZufE2nXalJoXJBuBGyImtEv8KCIc9J1rZolW T+SF2mDAh6GYCnnX/LxTzQzNbuAQho8JZcp2Q6Mwwt6MEGhUyZIRih+WyzbqwdOEHL7YdhKFqGvK z54rffngbCFwpAqEOOEjCUNkIdUmva5wqzCXbaqqJb1tAOZjJxbKvT44CqsX9q8hJ4HHg+ix3+iR giwIM+uFXbcwxK48ogVPa5yuecXr9zZjjVmmtxtbVL1OzNGyaRmIpaJk9iSsAMtmS9Wo1wcBUuD7 aLkMGLv7ayd73eBO2+rErjtoqy00x1kTOV8DHg86+9lLj5gPMFnavgX+HoXYqE6em8vwsfBXn15W y9NrRsAbGC/mPcBG1IHDulE7VoPVZrEluCQxQ2f3dpgZnZ2GAgBCvR/8bRZfS9y/h6RFJbEg7tlg +JlZaRcMHEXF4xGq+BYeceWfX9YoEqUJiqJrlJrQDnIhjGqgvowV1GyCCJjSBEXokMH+GQvmNthh fTK2cq5VhkUZF7LBImFyVsCpJthRSdqkDkaPite6xhBY+cAJOqF1T0ZaPAlIj2LrVOZXbE1vxCeR 7XHxmuyG6S6twIY4d0igMV74i/LDOK17VLxWaRayVz54krbPFshUrjwZOdQvKBWJLhhMoypionGb RLhp8CFRyYjRdWOsAAfyH1Ux0OUVUXicDAbPB9zFm4B0m1uEG5eAFrIXpZydkl31CWmaIdVAYNSP 56JrpjzTo/h6TpPJbD54z3qdm3/zcDzp/tlqFDuChhGY5giBwB644g5FrxvERTywJ/9MDCZulSew fdw+rXc8HhE8v1dSJaL5ArF6xGFEZfoEFcUxobLTACa0p+wcvdSnOf71GByidbSGD1416umcwlpH 58jLpmUPucxz8aIJU+q+1363l41a1sQ7AgvQBe9Rewco48yli0sAzwd32qjfwTUAXQMwVJujMLiW m1wx9Yu56/B2495X1+Hqr/eQyDga9XjEEV5PVz+d83nRUg1zhFaROOY4qkfnXt+3aDQR5ONDglyf 8vRpStKf4gU8ps8plBfv/XkmHM8Hy+gCZxmKU/Lm1kN6VMOmQkciVhvKTmcnYKVArn4PbJdMw1a4 hSjb71F8jZPF3J9ssGx/zY3SGrqAbUDjCYR4+rWe0zqhP11nfcQxp972r6JRWbz8ORhdTxUbZCBj 4vGICfJNh8UUn4jvrqL3+No8XlOlYx6Lj/iixb9PU7L0iB4nm4JcR1GEvVXmx/Vig59G0MncTdKY DTIpFO3J0PJEixZaWPWaRtKYrUdF7CrGJykSGhxBc66Grjhj4vGIEvvNBUFvuD25fo/g1whGTmTU 3Vez7mn1kI1plowhgJ12XkfgEDuNFF+fge8ridUNH0oKqMBVm6ZBKD2eo3kBepTLuuc100p8SAQL Zc2x61AS4JqEq0UHJfTIUsHjEeDRZ4jeo4mayskbjj0MsKFhONmagqnmVAqyWcHewvOfPxslA01R VMFeSaVrkqs5eAUbkOFFK23Q9pYxrhKCY3jYnPs3XU/NQXRIj9AhnY6juRtuu9gUIUeaurYXNlpI bbyLiFwZxLuoPNgUjSi+BjsUhE/P9UtNdl53CT2xWVSqxdcjKmBPrqJSmdBysPN6g3u+IZLCDKRS BWxI9hbwKRIMxQQpicf1iOJrFKDhbsTCIwfV+JfwKyyqZTweEav7VwICyQxY3PkERfE1NFJw/jSD pcPa21kOMw2rrp3bclqyP8Ly9bT7vvjgq+jk+QtoUK4iwN/Iv4YrIowIcAMjODF1MFyt+JCI2Uik mpDqjcZKahYVYOypPYRUdoT169vZl3QKnFdQsbRZCgHYmbKOY7ltdKOGgLwyurFoCmpg1i0aUQkb pC9ozDKj7XI0G8Q/LLiMFyJEYFMzGnlZmdEbfHjQW3PBfrAA8BkBKJTB9WhJXJBkPhlwYJPhaD// weP/bIT80Cfxp8pejFMURdhNgqoGM3KoCNWeydgxEroTZEcUXy+WHVESYj2ExENOEHpdKy1KPMBX JJPIsyeF8JAskwlulz50NPAZf8EfcEn6oPMji5+EwuzYePxfGg9cRIOp7M3URhRfd4CvR3LJWTir 2BKCU5rFjg14Oy2hKL6ePITy5I3Gwe/8flKzTQPfE6hhD6ZMscICgiywgfMRCZkodeJDgo0meQcp XkGS7Ydw4kzZc9aex1nDeD5aRBfDr/YkyEzNr7QRxde0/Qa2xRlFideKKac5uWicK6kqwh5RhL3E sIbivw3eTTsp2kk6tuyywCAjRuRElAuYTDjRp1u+Jll/hOjrLRknqRR1VylCONSaKTpOPB4dRt88 B6SyQPj4FIXga7obFYq+i+ewrgQfGazCiFR0UjVFEfwa/WhkHy374FMEqOyeBBoJAUEsOzQifxr6 RS3PB31d9bZRN60IpnYHbTWwTOTDeo4kPB5ERuuWZw1jC8bonaOogI33g7pzsmtfmuokgObZPZUd ru48ovB6GmWv2sCag1LZirxGh9FKe8nEgIbkDfTwCRUsmyH8DfiMKDDCIpoCh3JQAbvDA/dSZEcY XfdvLBbF+dMNHUcYXctbZWfLY1mbt7iIyD4yZMFM1ARF0fVgvXUByGWDLSHUnk62B+YB5AzaBHqE pnTn0IZW0fknl0S8GTqOMLpWsShLeR4C9DmJdZU6qA7nRyR/+AVBsl++42ssjlaL12ZHHF4DxYck TT6Q4CFYfA1EvaUg6H/aPgvL1xJWGesOlsieTOFMVGHtsYMBAfLJQDGyw5cZzDR6YbDGv6XKE5av OUlF/n3w5jE/3klpEt6iOxc8HxVEnEjMeggKUPvCr2dYv6bjU1WzWi6+dZii807M0zJF5cgkPvf+ /Ft1j4gzGyzCphB/6STJAkw+E016KG1DqvNgYKRuGkmyM0SIFAVGxEBwsLb1WepyVaml4vFgitp3 IgsMHpFCNkVRhA0xAZT4TY9/rlYtkR29eyKLXoZNUMhvpJNKBqjaBm+CnM0LiXMi+uBKNwiTAArv Bx0eY4CqOkt/nhnTGzlBlTuNg00QFBMkYN0LHv9vpNMYhpYbOc4ouMb3iYLRdi1+WT7hMEJtxw6j QsVgqjv9RW7EXS9/8XJZaQuozUWyHoohUOhJlHda530XauwZdktOks32tn8RrSFt7YMxrnLLyfwK Kh6Ppqh9bTOs6r4v+npGwTUcbNBgTxZc1+2Eqyw/X3qEoLGtGYqL1+yglXUHp3/Cr4BcH3RBUsvy iQaJC+5eXZmHeTwRqjZjbAjB10YmSq6t0hD8s7x2AtCMxyMayP6VfQxIl9wJimJriBhmiJx5F2R2 148939EejgyZLv45Qwm+KuAMG2rpi7Z3Djng0obyj3NID156FbtkJGCW2zWbyZP46xlSG8VvwBdq g4oh7EUxMS294vGg19jK9yKC2m67PesZ167ZHmItAftsj4sttm6IkYiNHzsjZAiuE2KLkg+e6S/M x+Q+q8CTMQ/bLQnaSyGjGxVVe9ngqO48o9HPtUHbjMqHXBknNsPjQdw4v3Fq6LZ0MCtthiJkCLRR wRvSyhH3wJAh5JMzQ9toQWqCosq1clgAG3zwg+isn7OnBC1GnTBTsLgjiIOG8w9iRSdZU8VxRoF1 GrKbo1phXcVaRMD4EKPxg6gLj0f5mZ9DNFLDRM5bTptRXI2E51xbyxxDB8kJOqmn6WQUtOMMWzRD Ib7C3BWGVzbYZV/A+h+bmNl6ltNqTPI7soyeWaRPT2Xf3jXooQnA3wSZbRcyO0suWRi1zccj9JU3 iJr81vJJfca97SNgCNJ3oEHqsoOo0GlcUo7Jba/wDj5HYWidld/P4oOn+WfLnV0gsf2zpmBMwBYR ZJ4AEDwRwLXoHcSnzyi0bkkSzWw0whHCHBgAMs08rVfNFc//C2BERWs6KF9kyIwiawuJyiWCjORq aiMn56HPPiyynrFsiGiNefngrD2YERTd+HnAAkKOKrDabYzj8vVTzaxV4kNizQfkrlTE4mDRcKrT aXt8xwg940cRAyhSbrbvtBUF1mifwU7IFUFTt64+UMXPWYSzistoRciQIcvZne7g+KIzecBK0uwK NzXsgxhXY4rQFCyODDGm/gqRIVsYLKpaczCU2on+uLchR4bHI2Kjp7BEqaHT1+ZtNK6wdM3TOlnp bG9FQhPQkOE57MmqPMlfITRkyvWDlDQOnp6dq/3siSoEVt2wxqbbDDZaoWDTZROtae8alUGk8kRs eu7nSFAhtUA9hxkoLLfx/L+0wvBvkXUgyfMZCiWtK5kN2ZX4ILh89Xl6c7cGxmWaoxgbUgVPEwtd y57yM8iKuZUxRzAhqGzXIrUB+4ew1RsUrZ+Gz4iqaZmHEHWbOQhfBGtkmVyBP7ziyPo3NL1+Sxms kNcI2hzQTHbTA6boBccx/MfzJ26rpq0QeN2lGjLvYBsNdtrI+hkVwZ9o0qGPld6Gq45WN+5YbG/7 l+Tc7OJddfOYhdUrbDRZcNwVj0cJ7P4+raGF1h9llRWKW5+NtaHurj7jajkZMGQU0zA82yMVc2lY MbFRXerSffCw6HzJDYguxo2ztE7f9YLTZwxx4u5pvdnQXxEwpPO07qo7dpjNiDs+c+6im4Jkhuf/ Vbhm/YTw0r3uOoqCa0pRQelMkRHk7bxsDf6BCapV6jxhjkLVEHEbpLHPwQ4jnNdz0WS5nSsWxXSy idJZmAtWZ6QlutclgWorAoYsLiPKCtigFA21THb3YTmKxyMM1neDCJVOsHV9hkLYNU1Tl/Pzk9Ar XEZNHSJohdXmWmEriq5RHjjrB9poNthGI+0Dvgz0JTx3ACLvITs0yOyfDK1eL7mNlig+JIAVS49P XvP5es0PaDuSJA30Gh6PlIrr16VfuYiG465XFF6XLVIDWOy0aGhEHNK8ET0LQURgv7vV0l8x8loa oeSmcXAsXz/RYktdfig4uKXzhG9n4ZrPDzi9MCvEh/xVLWqDYXnD8c3vHioG6pBjneLx9522LvSa QHaseGhy2ByFyOvzFe4t0idjx+oUvr0Fx27kNyRDhK4QGKItVsrwwbPYDCUMSjaDhlBRqEO1KJ8r HqcIufbWii1pNb1thLymXEip1QelsROLnn36NviOQU//UcJKqr4h2LyHUVi5ZqafUzMt3kniEucI fos2RxQG1BzFktdEhiTq7XPwHASs+k7f1naWU9sSFqOwKkjqZ6uVdmOjMfS2fxOuZvNBpxEcM6Vh dI4KPB7c+/u7KgsG80lAvbi/o/gaEeKXQROaWzZDc6fmFIeaLHjcIbORPbSU1W3Mj55zhXxsUT8f 9PEiHFvlzkxsHN4OkWyudxReN05QVtk637I15CIXE4iaBh8PKmr1LiLi+ArjK++h7ffwGo/xBMqj ePDIwh+9wGGL9+PCIcNa+jtChgyGhCSs2HAhs+cswrVI0Tno0aFyvSCd8DOJPiRZxe40yu7vEHzN CLtT5kuD44vOkueP54zD48Gdlq6emrTqwGy81/4O0deN1p/r1h2bVGckYjS8eL3RStEkxRG2cDOt +2CTdII7iJjIVx7H0OhS44WUPpCo8CF39AySIXzGX0U1apHZoO0B+ZmltdE3Ho+ojfU7fEQ41m8m u0NiY0f0KD9i6qyk3IwFUuYVVEtIRDVDfyFDhg55G3yGoDDUIWDHGeqrszKbKe2MMCkD3uQtIt5p O4qwtww/ay4+6DCCI6FS2dX4jpG+47dySOZVep2Hdoi8Xizvb8POwLfEZqheIaw+EIBrhmLkdZMC 1vbBy2rwLu0SLqwwE8g80en80XBmZ/ozqqwGsgo+42/szDPYGkIIr/u/VTz+Lzk1ltWoNdouDWSH qnyduJA9il/721N8QDtNomdi49sc/Y0LYQ3FBk/T6pw6ilFW6wUoIoIe0MZCA6Y98ipcfPiMyGKn CMLXfTDQw560CCJJEI9Hc/Stv4//Np5kf0e4kCRQcTOCHjLZ4vIq09cW5Ly7n9ehLJ8oxHUsHy6A v539U6Xu2GlSxYIIMo8GMZo1n3Z173rbiPkpmlW6g6qzhaB3Fcr4+D8xarz1M5TJmwfYO9QOQcnI FB3rhT3QpklSfTyKYIpDFaMd40JYlN0z+2BsonPUn4RaegZlQZ+PvdixiBGDdHrxRLbQ/RefEYSO 7t6QfNAiGjMZMv3ckHg8Ur3+7hGV8xptXNHrHZavB1rUvbvM00jd+Wh7P77DyycoRl2LhT6TD35W 02KVHT2g+DpUn8ivRo8Ra2auB321p941ctoh4qEL8eCa0TDRowcCdS7qZ4egkF+aGMBQja8VFIXW jaAQFATMTJd8HJnpCh9CM5nmUmE7AoWANI3eR72Dn0MNundwOzAhrCrvT+RlDeoCq7YbEw172Ug1 RLqOq/mgdv6EqhmhRSdK/+yocv0IzpGXdVLR1u59bxCct08FNubkg1OpK7LY7UaDsFQyTMiJLy2L zSlWvWbImImp5mDVkHxWJv2rETlu2g5S8AEV2YW8pjDCNXjR8hcOHL/Yse6ZVZEOqxPZwGDGWJD7 wbnHXxABQh33MASv3WCxXfn0FGrzJQZEylUBn21XGINqVQI7dhq5Uz49hdp80rnKxOevfI2/QOc6 21VcdNi9wUSZE3Ve75xPFUfuRYcshtj4lL+wD1UifRwssD5BDosakPPg8xFfv3xnIhDm7BDG9HkK ESLgo/dm0ukQp3Rm2karSwXInN17MKcQfa1pas/glKIzDW2T3XTWOJiwABYtKqwt0jPmuBIrS/an KYSINFEdWBeBipHM3yEq1aWLdfbG4i8IgKGXCbp0FfKGe9ZTVMweZFxneuaYZcGFqecLiBg8CWym Qp/GSjV1FCBsuMC+ChofrdLOn9HAhgD5CjCaRVEhdFw8liz+wsEdJ5NvOKzZoMwW55ISEnT5qS33 fobv28XGHtvI2vrjoJKigBuVDARI7Rrq0puNhbaxret/VkXqyXdeVNSWZePuBKVx8IIt1lcHiu8s qRPhFJTrB8BNZ0mhR5ZR57MyUqa0ET4l6I3ID7XyiOJgoJoTyQ/1RmDvkELEyK21UbgWNgFnyla6 MxUF3plqIrNqdpCk2Pl91kFdjoFs3Hq0BosL20nRZPHhAY2kspu0xADbmVx6KNf/ICJHKempSCZ/ 3T8RfuDc2mDpW22CYWDx8vn3eao3f8vUNeCZ/ij0q4EQ9TZ2U6l/IoXrzsU6V7gxjTvgDX7lRcG3 WThmui9vNb50RKEHRa9szNOJEnD+wmXuBD4brN3c2iVjkRvPT/mrkUQ2hQ2KDPL5jzRA5+58PmoB fAdPdK7/PqBCYZG5Caux+26Iu7Z531VzID5JbJ2+68L69qYXX5Xtd324oVWWaVSEAKS/dxZv6bF7 klXmRU4TKaQy8lMiciibAPJyPEP9MUUx2IKqCVAan49akp6lVB1msEZf/U5TFIVTGBGascNBJCs7 mg31Mof4l9HckS+SFxHGH4eaDx5m5jMbs6v9D9eEzgpuA8Ctq4y7b1wgr+YUa2MTi6Tp4mCr6awn gkDAIuDzwa4rt7vNjBeilTM/h1NY52bKi9KI15bGcOdC6KVayptXclu+FFa6tZLQ2rfhIiMpUIMi zjnGT0AKngSKSwA7oFuXufC9/lbshaPqEpO5SQVoDlakRKWZHzgYaIYeNI/gEcN3qAfP5xQPLR4n JY96twITeldepMxX3zgBO27uhSGaRFKQSyDk9QVCho8eoLsE3NTkiS+6LCchg3nXdHP0s4i7ve5f pGxgjX0w6NZZQoIhn7Odz0f6B/nrdIIiRZ9XOCuHHo+NEmxtG8p2jORyNXVtK1TidKo+SxGiJIm8 1uhkyME23QLBA5RduhmlRbAlG3QLtdcMpt+VqaN/SP7D5JElSlnJc7AKCtoQDBtXqXw+CMZv/jvv Umr3bIptHrM8n7orH6XptaUTbtI1o9DzCS1pXnWh0+MqRvUbPnidCRpQYzK7g79NB4YOpNpJqfUF 7tmdptLsfSNW7VQRLmtIP/b190kTL/yvg89HndybsyjZAcGmP1suKntD7o3AkmTz1C6WHWKzVtWF AO+1DA1jcSqytEm8LQerNp1IGyw/yh6er+Fk19Pkfjsjq5++HkU/9rtzYPiIPUcNtsmG93JW4bno kvBxmPjK5/8JCuDbNfTixjNPoabfhCVNHeZwsLdD3iiTZ6up93lnKaRFZunVUcifw20P7HlWifCS QM10gW7JlQI9P7MwpoxFGkg5NH2UycFQijcmxTxJHYUZHSvaCc6hoelj+S2guUVJuLMUaWfjnj55 fTVt8TUcO7kHtU05S+gp+56LXWlE9svVBz+aOgLBTpRSASMeqKQzS4AtNIpTgldqKCXFA4Hro+gK 1F9vPthayk09grpg2BfaPqZ0PVfI9oeoQK3XsS/wfUQ9hXPTBU86P5FGivByqmEJDc0G6SmFl6Hx oxQRFnTvbPByyoJ7sdSiOrhOi6KtCXW5AXJ6IVV6ie+//HWjcgoNskD6ssGoJOhuVCb+ZfH5f53g jLHOdDZgeXyWQvWRTkOaMg3FdY6UmgystCVos4EygZyqqgSB/WN2w+cx72B7bkzAMTfJEg3NlEWY RQYJgJ5QqGd7NFCrve9fF91SZ3fdzi703KQY2U68wOf/pdGiChMu+JH3c9WFYXgiMiAlA5iWK6xV 5rUR7WB+2zTF1XDZY9bmgx9NQOt32WUNKEYyCMfpi2IK5KFooGeIpTTtdQPFeoK4R6c263CVPGjh 1CrizNkEfD7SGvddx7u/LQQE+85SCOauaBlMMxU5X7TDcE/w5w0olA26z1IM5mbKCwqzDR4OoOwA LC4WE0C53G3IjQHIYQe+96c1l+x1I1FWlpykIMFBq2mfEJFZGvQR+XyUq3yD3zJkRFd97rnQCBLi n6CuOLekk4azmPp60nKu6+wFp9AJclE6CtgYH3zPnaPnzBVNaWl7gbrJ2KWR9IW1R3j+etBvObSC nDTxIdSNQ9E114vJOP9AmZLPR2J/3/UBiM2efOexEA8L4kX+B8g//ofA3FqHtQ5giEu5JGy+UX2a QlT3EJBCZMDxkAGhW3rCAaoktATa3qAKGRB/PBaoq2lUN5lkh3aQWTokic3wlrwZ3jqwpkkn+OTz Qf2yfi8mnC+07/RpCuVIBnHdPZlY9Mp9W/WyMyfjRQeiju25wA8SispmIp58cJxgw3HO+PHsuZO1 dGpsLWjtLTQPMo4bq8rlmux9IwEp6tg1UdwRiYnpeH7UbU2ILX9BFIR7WY5VF7jsjOt2mENLSPif f/G5SAEzp+yUbc8NZB4+TSG22xTH2x0cuQwY6wTxBLu4jgqQxgmFOxcyiCaFc+Q3nb9vhBsQIFdG WosEPNVREq9okNg6n4/YOOs7BkcLqe2njhLaQiKn25uSp4zBq2ErUPJanvhOqD4qBg98IV3MlmQI G3zT4Rg6ky4bX1hpi1+KI6llWi62pzE+l71uME3EL0Hn/YdytjAI4l+2IVpMSZYGc/rAGlL+QF/x ZaMa2cUM5tAbkj5rKHw7CsWwTHQ+XMbOPXEVNN9tpkIYSufxVCWSWB8w0znbFhSphIWv8F6tqssh DodE15gPW6DY+wbHk/q/ijOb53Rnik48QKkG1MX5fLCerm4CnkMrtOdrG5FDe0jUb3F0e/kEGkRu fmiAJkxTZ/ytaYod2FkiGOwalKv4X2F1iWL4ZA8bkqeVBfM1CdKBxtZ+5JLWtPf9C2mxhK9cF19Z wOTmf0Goz+cjr5/5HTghTkWj0acpJFMiqVopeU4nvyiCUeT0KzBKTz5Jf5mwY7uN6cMNnHJTJYbe dSekW0hoaFvXgfijXjJ2O3adzVKIR6lcRYNnOQcLdSb2M5O6Pfn8v/TJWFsCbLS19aymKAqH0R1a 2sWnKbut1qj1Oo+x5MfUN7CJRKdOrHfuvFxu83ef+w2aKYVyN7g3F62kzy6Z0FcfkIW4EUFd9rbB VbfMEmH6oNQXNnlCTGwe4SEqZXrpkrxcGFcNJAw+S1EUzjJSoneWleWc542eWV0OTKF1l5ZTGIcP 7SRhLx6r8QUFJuC/mNShZ9cVhxNmwi+AZ9NUk7x2e+EIGS/iMoGWHHQ2oUZHgvY5MzKfj+pN3zoB KOn0egnMOfSLnKTESSeeLfKeDLB7rjeW/fHjSX5vlTe0jBTG6Vw/wwc/wiH5s4FBqsB8wapTyu2I v4mYrevpkHd73yjC5NnUCQHnoGk68eXkuaxSeGAaiUD8W2MK6WUf80YEoWskYGonSCpWCt+tJDub NmTVtZjOKedVucA0EtgaluPyuoNvupkBsJ+0IwGuaKoqBzhBQ84NIsxD9e72uhHpC7O0E0sEO43r ibhQ/VNovfh8kPreE1xVOVi27LvpYuNIpL4rVbeK3stJBGMNiwZYvvZZ+oteCZs6Ir04WL0JKgfn dJqE79R+Jg1VuQUm88/5uMYNc9FgxMvl0DlyUhAwI37EgBY076aTKVpZZZwtzV8Quf/cPUdq+Inh 6Fjj8xSTLCuV75rNU5nGiMM1vpyOMrbH4X+4R8rOj/RADt4cRyG9DnJ2QCRgkQ/i22etL4IIOirX cvq15nhgHwnRsc1+L5t1eVLblekKkPK8p843kPkLIhTB96aDyGRL15ckxwaSnX0CFXRFJpjDKk67 XGW33ZyVkgMPSSHBybUoPnh/vJ5APE9SLs5JvYB8peQUkjKko5Bmu1j5vOyF/+r7AhPMAfUq/m3g gsk4aZwbkL8gCsR/udgDh1eeCmbgJIm4NBMlL/4gINrL09+2qtd5IeWoIkFoJKn1tPH7bPCu77kn ZqGBQ6PKGDmEEH3JP4vmH5RRNPpO6va60VXHvHfydOKgKkFemcLq53egmBJ4Sf5yaWcAwdTgxpeh mSRoNxvyDSpfruZoJ6gjeGEOVjW+mCJIimy3zlPbB+fvlD3P0Stl1wEeWFJAAOgO0kW5bknOZHV7 26hPx8Cp5uzD/zH2ZUmO7Mqx/1xL2TXMw/43Jrh7BJIly6g+70No6Z0ks0AMMfhgrfGRBNJHnZTP B7lK+mbIQ8Wnjf1cdGExvIBOuIspmsxytaeyDAHJ24Uhp01SGIRL3W3n6YOXCBrYSNTnaWC1N1jQ 42g6GxruACe/b5eVuvay1w12HLt0vFJsUMvgBHZjiSu3Op//V3jJUieAwYzYbZZCWDhPJiFg/pef fxJuDbhr5slUcsu+5cIo3Fzu6vDBSwSwIC1QSAB7F8XLTdolEJ5n+nDnlKd+2Zu9cOSbJCv7XH1Q LbydDcf/pZ27ms8H0WVOX/OUM5ny6VlOYTF8JmdeZrGccZxKDw+EvTM7rBAAeicgWOgr2Xl2jyYb 5JY8DB/wyj1XBUpKgCfDIhbY58L6aAJ+jBAcb0L1ZW8cHU4EWWTVVLJzwugQphWVkNYF1pKos37b uiBTausppYTekjhFzqlniHnYTjgprLMtr6yuN2Oo5sBaUhoXE03G7oMqBCe8OYuAPRtImm0UUlhr wW9T0cdu7VYIBvnyObCWRLLBG65P6b1OK4dDsLEZGxwggsBbEtsufWV1wGRV0HB8kmJ8+Lg+XKjL La/L7SSBdwJSSvdqU2gtuShxUjI5hhwcQQABqUU7O5ANJikQ8CU/n9mgRUonPGV0Q2FTYC3p+h2I L3zQj5/Obzr0fUPPR3269CsIB6XnCi7l0FySwRb67+VSMoZbcWXINpl7O4vgmqY4DFdpIC0fLFkB OrzAAZdFOV519JnC8XX+iV/ywYCpKBf6S8rbpWrDVXjEK784QVkrtIcEwYYfECQr/0+fu+Gme+Yp CsJxYOBgqje6JOSMYfiC1auiy8loQ/MUl8Olht+3DzZPJwmGUg6xvGeaTqBZC2WXzis3okQJUTUL nG7vG7WgyJk/+Y8PynxPRi0xr5qBbwpNJh+KGEt455jq+RoF5cBjEo5cAMht7xms3o2HkRLVixk1 oU5kAUFsMTkF7RrdBw/BQUJti7lKBWMlsy+cgTHrqKudn+hyV1iS4Lf8RcmY6pHPXB595ZyI6j2z Xfl8tJi86csIqSFVeWLL0GQSv+E+sbYm5PwrT5ulXFxX6By/3bQ8cuAyeRMV0CJs8LBpn5Coy7gM kJ0GCDwi8IbmD2qJCMouIMVfN2LQo3i+oRjXNoWtpSqFMlZhTQ3us/yA9wN8bV9MnOGB6UYp0Ocp NHTfqPFuk19A8bJY1xfUoh+XziEsnPMUczLV9RWhLj+EurbPO6AcxLCplUywwVlL50Jd6KcWluxt z1ENLgd2k9kylSwNHQ4KmygQKvgbQGCh32SZtxLOAkwHZG1fOeocOk4itMuJGHIRMnqq15+rNNOp XKmkO1MRJqXqkusyVOyPoSKiS8g5k716nseSwiEOtyaAnxBlXp1cUXwC10mUW8kV2+MOBr7M9GfH HQ+GT2A7iY7b+I4vAeea+doFfl6jpgJm8Oa2M/spVIesPpBdMv9ETcmtS19jpgJ4k9ynevHBz+8B qXR6lzQAW2rbnKKOsG6TdzgeFhRipteIqcjSBvoUmqdlzD2IOEyZBZ6ECE+/TE+BRSuungYJWQbr YISgKoP/y/lZotmhMj7FbSSfU2vPjh5AKJEFuZy30BTMD7AZqCepr1K/2Kt1kJln0jCjSFawZ4RL yN1OGJLcYcFQ868TdEJKur1QT9YGTdC5FmUlW08A/3mNlQpQKMMmSMABfH3LNj+vkdJ5CKpa1ypQ Jl3TgV8nwLelBKUyKwm8xklYP7z/Ky53GyycRHpVafmGLQb9V9F9wLQDBgweeI9xQOe7vq8fOQND GdUHTc/5UYcIT323z2uIhMmlXotPD8SBG3rvmp7XAAnLp9H1bls1d5INKdfbTlAFTQPYvcfkvAZH RSS8KQV2G2xzjTbwm06x50EJ46U34PvYISTNeoVNDroJn9fQqCC0YdOkyykI9qcGgQDOlUnbiWTz 5zUyKq5BfRcP6C+I3TU7r3ERHjrRxK7UviI4vt6OAAw1LBcZEP+Ul2u0tTYrbrslH27FrTdGn8hq mfmhyLswSz+kQZX+mJdsauO+xkQ4e9gsKYKfFoefspfeJebaT4D9GhHxad9acg+GZfJZfpqd13io ABlAxaWWruwrvdjU8p7FkQG5JktoX+OhkhjPnUnAT2SDrZ6TN2Xys1CRXPDzkxcXYmF05dgTcgQF 3Yk+r9HQeVnTDEZZzQYrjkCYlHplJ6n8vIZCxRRutXrUG0fzD0gTTtBrIFTEfAGya9mqQYJY7e4a +U5QW8N9pqIJYhafs6SD8yMdnBaEAyWLew6fk5FJcAm6QfSxydCl8lxWZgrh/sKhjGiDAxKipRXU qtTyeq/98xoF4ewiFB7zgcmcFHBAF5kT9BoB4XA+mwhmRl6tpcC/Th9o+JtT2fTpeY1+cDTzTgfP 3ge/uogqbRQz7WejDuQEoFmeHUvru8xY2+JpEsFfg5/iwgugj/mg9ZOh2irg0c6f19DH3tDudgYe +J8Ngarm57UEWa6lyyz3dM5+/pTiHcmMWokkzYLTWV4ccLnzwffXyWPnptkvMKZjVXba1jjHwYK5 AgTTLuCtUfUtmB+EVw090+KDSmon/TCLgALtvmB+OhXruL94kp8sY8BYQdPzWnjEks2EBM7skm/z 6lKcSM6LIOsyBl+rjvj2zlr/rt0HWz8VVid9L3VEcqbWFMqzJ8218wdhkQHd6Jv0WnLEbyCMxNx3 sPP5hFp9eaHoteBYrBbN2WBRHxFqX/dyf6fAFfG0mVZsw2410v95Qp915QpCILI5VzCaImG2NlWW OHj0fJ4eg/2zdkLeUTeTWzJOoQeHON/lzPJsEhGI9thgQr85Rxy0hgA5lHDg+Sk/7ww4LMHpm4zS HUjr0XawOYoCaDCUztXa3aGMrlnWpy1um3SOcC8QxfGzSCblDh4CnfymwkBMiwj2KVTqgpj9Ap+Z tH9r084+9LLBIU1kxJCz1LjOUicuLFJcaue2/bzT3xBBJw8RMbWQ5Idzis/QewgNEVNk8dspgrAQ sVMIv73tsjLqRUoGM7R5TJdG/18Ovobq+V8T9fjPXQMMPZtv86yzE+LDXQrFY0swpr3r+wQh1kGF kTk9B3XU8onBmI+d3wCwtigOoiGQx0FI/Aa4ojZBURAN3tc5RacdQ5tecbzGYH5gS6iNBxIRnNNd OnhdasqPDi5iOFDbCNbqJ4NvjRqnNJHu6PrnPutzj9nLvm8yKXTQks8GS1K7pE9Jovu8U96KWLVP HA0l6YHz3WboPZCWNCvQrjXZQdSXdR/PQdRcwXRuJaysw0ZzNGQiSYp3v6IvFdHnBI6AjWJUJHYS DgB/L4gVk5bg1OkiaefzzncrV3E6qwrL9qtWERrLbN7hb/688914L3ksxIIQsPb89W2SongaClRI DwwxsqXJSauScg0CBgJrJfLv4TROfVaB5KXJwfbZPlcqhBVVhB0nGV1MxvCbNoqDzos+Itbx8852 w15GQR+YsuYD/9R1Mia7zmDg9k52KwYqfuJpGmfDrcinKIyoO7XMevb2/sO7GaV7e79l71xHAbVB bFtePjhCa4MGmCUV3NBJVEeyk0p7YkD6mJibpM7qKKBm6R/43eWDymUsBkn9N+/PO9GtuFkJ5oOx FP2owTCxGYpCahiawLZtWPV1juWcm5zndPy/qtSJHxTd+GoIcedw8KARasqLniTwM4RWOZKyCnEv QHcBO/KeNWpQetv3OdLkjMXklYPmqI6uSv75RdbnneVW1Lt6Nhq9UWe+x/V7VA1Fpin9Urf/LaW6 cHml9hUJN6W7uPs7xw2vz7wM4nc+WE0IEj5IPtgTOtORLTdBjlohmAIFb69PCw35HljfZsdic5+D YH4Dciuq9EAFJ4qsF1tmfl5DLqrmm9m/89vsGmVqP71qpsMIUyTBB1XN5LONKQpjawoqtsJSPgef InzopPpcA6yWzkzQNWkE7EE18JGenFNvG00R5DsykA026DAavaZtWKP1eWe3FRGanqgITfmanrpi FFsDKA3LazuKJi2hLHedzStniIpUVgwja04Q7WtssAk6r9URUUtu+lw+wih3dLI7WiOyuFNdmtqc 78w2rPgqCaWyfNB9Rk1uKSGU/HkntjFk8KNInFuIOScPrN95bcVUlGZapjY903T9jUbQpqxK8rCm 4jupjTc+y2ZNSKz2ILEQTqPnQyLpQFKPaATpSP1he7/gCPaDiMXFd1IbAj/Sj7KEJWCqpmYOomow IrAuoFLyTmrDYd/y94UGncWOI9vmKKpOT1aI0rxmJZkOEeLb1sskXRew9k5q4x/AZYSI1wY7rgG+ TrMoh8XVtur1s1884mjjbIA1+ky8c9puYFSHYGvAkxcTjk5VRECYOn7eKW0Mr73MqPY/A9rsaew7 pc22GupoLuieyrZ7v5xvtZpsmQ3YHZ5G75y2IlE9SgAUHzxJO1PWho7nAeMkaPbifIPTBDpOLfVy kTPbXjdYS0QXbfnecLBLrRaDPs5ZP++Etl+Vaq4k1ONwodocRRE2MPUbvSwLHmUWQPC6HN2YxlZA +RBfv7PZyoWDJGnepPZ4cUAPenCttArGs4yBKpA4QLyiN+04B/NOeiezcbFiAVGQ2QbOEDCDpE+f j0nz805lw7W7biOI+CsEEagy2BRF8XXtElG2WBrkIz+RCu9uJrI1eyL7zmNjqs9iyGCAzcG7rSfP RKyEOYJTTU1dLgroiFMHDQhhQkV2Jv7y885jK2Lu4B4k3DhfpddzQfRhl9pcn3caW5Ecz1eehl4m Qjabovf4WlxkVCuWNTykIMGCPsyeLZNFq1Az9B5fI5URWKZ2Hzx4zJiZYsJ3G4UwXGrnJoK5LGa+ P2pAoJLyZYOSI9uIDXefDZqhThkV/HOl/XlnsLGvfFcRgdhbWjA+RWGAza6HrId4ZPedTY6TzXxN 0srzHkbvETY8uBg9bpqVVfdYg6Dy6mf/0iGpNVp8sKo/IRrBMwpcS8/TytDbRnOEyLrLewHa5T+y oa9oQzFQOqtpf94JbEW0069lNJjp3zmK6tYonMClpFvJaK9bVEuluvz9BGtQt9p7gO2mkgW1PBts ikARHMBacR3hr+m41Trx5hlE/IHGh4pqgwDjd/paEbMcJncsjHDQeX1iuyWgOehr7+w1VkTmV80I /PRm84QvjSJshCU4jKbxj1ez3hmMVorXroGktq32HmDfgkgiI6t7PQfUO2hxjyRDewRARWqUhWEJ wk1wOQz6QTPyd94aV5FEW8b2wYENXfTUBpeyd9pakQvSs4jgAUO4hXWmowAb5jjApi+DW/Ur6zp3 qtYcWvIoS/ycvwqzlGq34SZp6+yDwfixwri9UYxjQRmSdFEsDN9m6MF83hlrRTzPDnmZ6oOStLVy M4GNXT7vhLWXPBYxffViyDtfjeuW7UVog1l1v0xnZl+CVplQpbEpCmvXZNGiV+ODraE1gB9SxQhA 43OHKdXF4Qb8J/oCRNKifV/0ttEUseDYKIvBQbsM7rlVXIdzWL6z1YpgwV/9+0JuxJ2iKL5uhFjl SjmbqjzWGaJFRq60UKjN7vx3tto9iSpgMDbYKgIzBmcpD+szFef6l6oiVhEMgQbF3Q3YOPS2QZqG 5iIygOKDVtF5ekj78FyUn3emGs7qUr8vNGhBVggF2BxF0TX8gPbKTsmew22mNh0YnGtMOcnOz4ki RwTVTQ2QdhsgFXIXZ5sNOSjA4baJodXIX81kZFfPZKn58HmnqTEDIfuqy8IVHyTCVD4nv0hmKFZ9 3llqxTGNFyRTkHsUL4e8k9SYP4NxJeAZMOl9uhJZorcFpqjD6c4WUVS8BrvmHNKTJxIHP6vRBE8A FVU43dau+JtXdIaW42PD0emy/U5P4wRRZjMJYpUuxAptFsLJIbbyeSenMbRe39sM1fSnvP/OTeP9 UJS7ep8xtwtHp4aRthnEKmyGotp1UrkosxPS8nUEOi8PKnGluFZG43pTRRIC+T/Ujaepq3VAmH28 U9OKBLxol3QHnUQwLZQc+1mwn3dmWnHlAz+sUTuGNLFPURRZdxYdK/exsCCEDTCHncmqSNCH6Ebg e2em3VZsaeyAlHZl7zc0y0/AIKAeNTfZ0C4bawlhNewaLs2R6OF3ZpoloZA8r90HVYwAbyY8ET6n n3dimoXmTyu2yQr9ntZRaA3I0Z7dc9g5+gWjteEudykPM259p6XxOmO4SKksDNTXJb76JAQjU/Wk 1QT7E0TUG6fTDzS4zx+I8r6yfP4+n3dW2sWjXZ8Juggysk5n9UvfZ52w8Z2VZlHns9PQ5UTPyqco RIQ0TEzr04MiswKAyNxeT1DkUxRWrnWdofhig/eIzsoBqmoQWn0WbKLzFnQ3fxYMJ1DsuRrJOOQ/ 74Q0nkUkCbl1AvDoU3VHCBTJEyDz+WCnbd9pxJ+DoQzglk1RFFkrLCp9Gy89QevPIscub5dBuxJX Z3tno+H9syCx0tD40mptcBRsk7ArJGpwvyEuBFbxFSEQTyKrFpGM9s5FK9evZDCC5GCnEcBKovGv /XmnovEdL65RKJtzWIOiYMDGKLiGYQBix3L9SrrZcJzYEZuA/5xUnKLI/TsXrUiV/OyyqabjfPhD MKIFsFpeAI3OfTiO6oZ6HLTUyZTVSmJ77PPORSsu1Hpy7qzBrqd2ciXp/oLm8nmnotletUmiRRny YFp22yyF2BAQZdck7VPSR8hU/1dVMULiwSSNTQyc2e9cNKLHilDVywebpBMZnQyBruNwbj4LiQya AsEX2N+yl3djo1b0tsF261Sc2+sO/PXb+SEIQwC5bX7eqWhWjnjaIJU1z+0H0jsTDct3UWXbNO3P v1Y2N5cuJM2mpyRsw7iMovo1+NYQhSrVB6vyn6Di3DVKYyE9n1F2RmU2wXxgd1tGJozMduM7Da24 dF2W9w0HA2GdsL1JrX7z8aim9t1LW1TMuD3rdw6aoUABkxK1Y5OSqRnanb1+EPdL5nLq/Jw/N1qn ii0Hi69bh1AWm64NfwhEO1lTy4iK4OI76yOJTAbDOwGtSCoGwSc3GgfNEC4FEdDWCa/f+WfF/chv uYg44gdlHYbXIGgnEMYdHcLmr0pq9KYXOoQ6wlxFYfV6MGwW9KFd6ENFsH0+nxLFJyes8AWkujbc eTra/bmihapMdtFw451+dmH6fZN+xkFp2oZEOLXVzl7+vLPP2B64aRqOAbDIkEL6JEXVa2AGzp42 Z1KpyLkwazMi47lOm+X67+QzLmJmsIOdEA42RWMWsGyWUFgDdXna4WyGgsjeH4wRqf6fd+oZZ4ia NIOtaw52D524cCrX3+PzTjxjuvW9z1gsHjcJeaedFblXn/OnWr1odiMH4SQy/ifoedlu/XfWGetF iIloDmqDJWmoK0OBgyZlJ9uEfioLajS5BjJvP+G1llBUuu40/6lNYtHN0fqAmBb2LxoMJd8pZwYD fCaIdu0wDLAJisJr6L3jqF6Olp2u3NMF6SPaujmR6p1sxgliFttVcey34nhW0MxwpKIG1IloxxKg DakaTtYfuK/6OUQo6DvXjEkWgSHSMhiuZXCi3NSW0tiGuywKrh8EVmFGByBsh4yQTVEYXm8KsNIY m/WiqjoaElllikj1z1HSLJFtIeaaF1kVYLZewGyFhOMEEYDWtpX6nBSSBiSq4WygFKv8JKmci+8I LvxEZw2BaLojjADCWjSZwc+Q8XgQOl5QMVtuCDHrxT20MLzORSbt7j9SKHmpVuOtXM9KARpOUVS5 timCU7ENHhSBhTAgrcPCLOZJzjeojyCbzQWNWjPa1k4LsSGs6u9FW1IO2mmI6oTbP3sZj0dANQ+v sUXRdm4oGxoxJoyusdOAT1C9aCxTgUIim25JDT158WLeY2tpuU64zG4fvC57IoYJhVouIipMEnaM 1UqPJOxRC62l7t9D0iLrso2+dBq0iPqGGQjTtVHxeMD72N9HUaflZ/WzukeBNUBpUNVxy81qLHO4 q1RTwugnDzFYeo+wIYNydB0yjTbcCZpgDg1qrwI6M9llo1AB+bGEOipBoyUNviPIPYpKjnv7wAma qIowrIbUOB6PqFXfjViQhip2t01QGFZzl+XshA/8tE4dKp7+Qz3MdXx7VLjubvpbffD8rK0Jo2a2 YjtM7egDdMJTuEbBJA/wfW8QIcrBl/wFUmNLG4E1OX3MPTJk8AkMOckLng+4i7P9StBw63acTzZN IX2R5n+VbpPEqaWH3bmvwv9kc5jRdY+i68lLLRfpG5ZnmuCsCn4AYVjQWkWNEBYIkDJaKFRDoPbq OxS9bpTGklwl/AwllblBIKbFK+dEzL3j8YjhOb+WUiWe+WZoPSIxAp9wLvvqdHxpPy4eRu5oT/SU 6o49RobI4Gfc4dYdT1jXcA6jqnYOWRFpqGo2wCfLVPa1e591xx6Vr5eg15W6qxNpv3o7HRVlllxH 3RPPB3faaL92G+t6jgjtf8bWPZlZ5F66/xE6ruGW2ye4Q3mCUxQSGSlTX4V+4ODAkJMgQ4q2C5x+ zg1xqIFxHJ3SwfsWjOAFyJcNbn0ajZQiwmeG6x9D4nN3V1kYzTPfeP6fe+1HXaJ2zlqforB+3Q0R KpX6zcOWevUbVr7nn7BtrSCxM9HvcXjN9hjENm2wRB84tY4GGur56BoAUQlfCBRh0FqAq8Mjjjn1 ttFGk8BTSz4ojc0npeX/cr5n4vHgzO6egOAUk6V5uofRe3yNNjddNc3cSOW1Lv59motqaoiMNqpK LBf1CBmCfgDkVdlszLfZiC7+Ymkzqzq70zQiyDl4ifEjgtF9I2nM1qMCtgvUU5OHg+AztdKfidfo xONBqt+8UyRLWfxPlPl8liL0dSeEv5t64e6AjavuiEqxmWvShEEHdgQNGXLzQdaHgSF9db7VOYGa SmqA6rCCzWxtNZTUyroHNqmz+JIoOCIjbbFGy0HraMBbiRHy+TXxeIR39DliPYtwulYvJ62HMXaj SvYyY81J7yF6+vS0dHqjLY/P5RxFBWzTvBgkFOXHqmafHxkIbMJnOhQjNomxqP3+TKj0ZHhHWtlx EBzSI3BIp05YmSTmc9AcoRrBcLueOBWPR+voO4DM1Lkvt6o2ohB7FmX727L9Nq7QYzcGDVoAzaix Iypf695vuXcfLE8771UaLCAYYucT7dGQe3PzbSgXIGkgE+TstKx3jVpFjCC3lNT2da1bewjOfA61 jMeDEHvM7+AIC6895M8Rxdhdxp+zeB+k1ZItNpqrGWYNadAw9nlcvRZbpt7Bm7In2e9yggG/+uwL KqmhIInpgWIorHxMIwzIG3xJMEkipBXuuIEEb6hZBME//pED2ewIy9e/OvuEmQB8b5MUArAr42zH OUIrbGS/+3d2LghcwmySogI2PHkAnkmC0pAxP3CGVoCwC00OW4Z9+2DZCK02cDdo431V+6E/ju8I MKHUT5mDoD7KgwkM0yhmi/jx/D88HrVBPOGXEOb579gv0hRFMXbrT0jUiBRJVjYCgs+AamlvS2ZH FGGvLCYIu2lNwvCYoHR2LK4yQHraSY1O6irrKKgvNQKOrnttBudh4Dv+YhDD6cEHOz/OHuIv0s6Z hscjmYcbGvHnYza77wxFETboqntQlJal2Xwrj3RMVfjI9peWUBRhSwCjdk4UB7/2UR46q5nhI4AD heLqA6kVNFVwXD+0NOQu+JK/+tZz80yaqF6z+YviTc6MbaAogOejRXTPa8NKnC++JOIRhti00ATw yxlFeXimljtz20X/HoDGERyNKMhe4u4lXvySHNEsnd/73Dj4IiS0cISUYCELNohfOxqEVsAmWX+E 4GtC+Im1tUF3GliTZOjUEz/g8QhFcxvXhCTBPxOFKJujEH2NvZOK3CDFc+hOTOvz8hxAjrM5ivDX Yu3VwRItB7/4gXcsnTL9PFgbpWkBOP5pLLLn+cCvq942WkioqSK69sFAoXDnFSi0DzwexEZ3jsSr pnVJvesoKmLj9gHOvjrt6pGfr9O51tQA0V6LithzGHVvamDZgcjicxlkxomVwieUmRubGUjDHgaw 22cIfwO+46/IaO6SfVARu58tyio9SbIjjLD7Ny+NAv0P9nqE8fUAmjgbR3/PPW4bpFlvhNZ0fhhF 8bUqiicjSz5YnjZPRt3xDSyIoHkwaXMIfsOAXlGB9bSd15ux4wjja9pAZikXZ0YMvBfOlZgqw5Wf dWYLHxDk++UbfI3FC2Nvn6M4vt50XTVm7PnzyrIAG7rYloTQGE37LCxhZzH2S/PBJqlRF4pMT1C/ oTMkWQw0KAuoQ4V+GE3KTk1vG/VkKS4LIDEGzDD/sLmGcgTOPp6PaiL569oHGL7DDUBzNMMadiO6 GJ2+bF6+1NzFO27J72bY0uHiZ8Y/Y+U93meVfTUOFmKfdQS8QGJT9kxZM8+QBhws2n6DkdF4WLIz BIgUbjWISthgiRp8vZienhAMjwdT1L6Dx5Od/tBy2KYoirDhg3iOziqxVGgtemd/5ruKGsmEnKCQ 4UjSXpkqsM2vRkg9v3Cih3xr6Hz1ofga6T4UkkQBVYWWJj0zJDgqZmy82KYbTSJiP4eRIqNe8Pi/ ziJF4g3SD14RmVF0TR3ws6+zZWmbUts8jIAJssMITvAm8BSyG4uSffH29sPbOwlbAgGaGcjZbhY7 ZnAFF8BisCW5LFlqGsy4iM3q9W7DBxVEgPChZhKYoHg8mqL9HTxSGmFfYOiMwmsI4p080BzCNkvK 5q5WilOuCprwmqKwgK0LLfEo4uAF7IYLsZPmcH7valO0gFWAv4pyD3N6IlZthugQ1dOqIGvVyyEN 3F4WM1A6wuMRD8RniCgJ8LYepsyMomuAtCEx4R7ZCbenTVEnjNW0Q5JBH2YUXyP4BI2IEpccPHIc CWJYZH82msWkzQo2FHIwlbldx5k8CcCeIbcxKXSk4QwHbbTzFxQWhM/VUPF4RCT+hoXiV+utea4/ 4wK2outibTQoDXiunyjIIR4xSL+80WYED7F2UZ938LMI/UrcY+R/jg7HPzKuABUCjWk9MCw2G/Ad wWHdqWVQCYLgoI0GozGxZc5ixuNRVe27DYKWSwfUyaYogoegcQd4mvCfMCty6ZA2y63xQ+VTExTV r8UdLoNQPg7eT4MF/XmgiebQp+QtJ3hLjZ6H6zEGoZbjjELrRJYDYMUcqpmgUGqXx1LPreHxf8Id aSU+qTdxT6KY2QiHul1UGdqzbIfNniPEKiF9oWGgbRbK8VX29ZE422D3PbuYZdPtAkYlG5ZXuO9B vcxs1OenwL/1rgHCSLDrQXwIB5XUJvpzKqltPh5hsL57IOiBNhwHNkEROoQqkFCVcurnuRucrd83 XY5xEsHXw6YoLF4XaxQtH25TFmI/8nSCMNZI7KUNIlbBuKZChBevp71uhCymZGFl3DgqRdb5l6Fa w0wfekZ4/l+6GKzM0ki53js/JDb2TeyDw0Noqa7TelA8iRfaXE6PnaFyCFMzY8L0y0SvmK8z28Sr NAikZlOIgER3Y1siX1/VPFbR20Y7bTN7rdMHi4dP+qO2xkkC8HgEobk7TUHUOYd6eXQdo+AaPclz Zg43C01sHHOvoYnmp9F0qsOKECKj6Bhi45GDw4zOBjgpYzdlUDRIdBqhZIR2PTHH0sYQW3+FCBF6 XAy5PA5HEJyLpSXO7g/8QPB4RG70W191Jh3vPkNh9ZrSoPzVGTnWLT10FM2n3WcgYVvkuEKEiCTR s7rW+XatFy76ATIaj+tzsxHDD9MZ3Cede+ZijObWuwaVkIKIEWEEBrgEqZh6EiV2CmD1XAuej9Bq fqHhscm+3CWlrVDZum6lrncRESYnjR6GGrrzQSzWHMUQERZB9hJkjVu7kQxCBflK6cIKl0Itok2O EayaF6tFFhadVY/viCpqXERZ+qnZ9FOB9ynCNVVQiFccXP9C8IMHBsNxn6OQ21jYA9nNdleqMprD HJ0IwNT2z03dDP2wQvS1xAuTCOleEUQSm8Y5jjqlnhp6QUUK14BdNYgmksfv3sVbb/uXvMpSt3F5 txFST6lbR3ZXPB4dRr/6ROR793b71itUuZ5Aq/Wl2/78DFZ0RIE/2dk9iHXSFIXsxrrVrm4+eJKW gXcEIedMEZQGwf4+aSxV32j+keo9sFmVwZcENTWSifqewmDjoOdpNIEZYhMYSRee/1dshP+WMNN9 K7Mriq8Bszpx4hRFfzc5EbF2nbvla9A0tztthcoh3Gn8D2244IcEBalNlR7SxiedP+B3Cm9VqFk9 npcErK0IIIICOQAiYusXZ+vDeLhKS+4sTjwe4EMuBZRYkkUDbAcXryi8Biwa+b11YZNeUjCjC10b LScrqa0wvlaXEaIwNthGQ9kJW3XJnvBsuYSNVof8Mc+X4vq2ctFGFw9fEkwR8WpnSmxoCrDPn94k Xt/P7YbHI0Gs78iochGNO0VRfI2YNSeaisiqoUsLY5PthSxXyiEy3uv8pL80w1JjhJ0cPk6vBrTw kXQKHwKHa4g9ne37QxGp/GDUJfeJL/nbzUIKItAo52+PyuZkIDlQUlth9fp3iI1LMV/5whXzGwEM XSalipR2evRI1XeLHmEeI8nrsHwt7xgAYm3wnnUD76ZYz1q1EUzRRkiOIBcCHNaPhUmU3jYCYGP9 LBGKdYJyGQFrYYYfg+8YNPbnrTomVeB+4ADocxRWrzeravkK8iaLsDP0dFx6rmzXMVp/a/OlwXOb gx/YGzqKWAAVpufnjJ5ya8IcgdADMNsNjsbQ20acK5bTBtnWHHQandenpBA0Cioej5ZR/oqNIPU0 6/Qp2lF8Pc6yP6e0WzXNkr1nvep8tEOygWd3TG6UyzzxxfJBtxlCMQX1NJIbS1maoQ614CGa2e0S ye56R+F1I9WhrHoHVUPOr6NlW9Pg4xFZxs8iifcS+HYn6D28BlmGgiG9OB0dxJMftwSvxgaZ9DGS dnqsHaJFJA+H8TjMV/R1oKGKRYSQf2oRoUg1kThkONX4lUbx/R1isKV7PWm6y4FzVCDRo0Vw0gI8 Hp3Xv1QNKBG6Pd3fIQabRTUYHtocteugvrcbFoPMMQxktOMAW5hZcq44OHS2nbOumLs8rGQbe2kD bTNQ+AHlvPgZJM/4jqioJtWQ2XxIluwnCZPWk1Di8Ujo6bb1CVVDMAZDUJujkNw40fgYzZl7kN80 MkjZV8Yoo8itKQrRIenqX37LYMKeiSaBjIwa/EgLa7MVUetAEyGDZ+FdIl5pOwqwdxMh/Rl0FkEG U12iky3h8aA2+0uDJvMmvYSZHeKvoa8yXMEZaFkrH22T7qGJzHSuw465jczxUbmx4WYgcODaFl5n BgDs6SMDAX6Gtu5WVuv2rn/KqyhLa/naWSJwkyIaeGn73+ohjKHALOGlpgkKdfkWocUkkpl6SL/q IXIhAm4W7sQ2RSE0pEs1hTIrHHwRoSbdClUNAKdJJg6OdnXPFYWbR2yWWqo7Kl4npuc9zzsY7GHA BEq/yMLjwX12CUWChiA+e4xSdgQNSUWaIVfrCbYsNkezZj+v22wGw9oRNMRKRZmYUA42R+cwwq/L PtGJjCCqwtIjUVCoM635dKx719v+aWQ1mchyUHWWosqSw1p8PMrRLmVGRTW4ol5+4w7lQ/IDVKt0 tXJe2mjV3VISMRuIrncMDWEdZFIAm4M2WgZrdZQuMyvgjKvsLkFcbqiyA81uCpjEnOM7Aic0ChgN RUXjRkUJjB4JOYy58Xhwod3IUTQb6LdfJN8O69fEzLJRzAmauxgtbbArL1rarKb0tMPYWvoqVlob T/V6AG3SJilFsM0aoFyCQoxbDGtmrgeBtafeNfLboVYx9nB/tnKBh8BWLaTgJIpwIWN/txoXnLf6 xabvKLIGJDIno5xTLixNp38C9GBraOHX0y6LgCGzEOyQ2U3j4CfRgsI1Sl4oO0LoQV6plYDeDNOU doMi1mV3qBzCiLHL8qt/WX7Bo49fdzY0Hv+X6BzhJNAbZTyoOTIczltNLRMNMrfUHSCbdCH8m1R6 AkNAKja77/SX9jU0nOb2QbM0T1B7rjtWF9sJ91TBA8g1g5sGfWJUhh1jtLa9cGT91QQpVgVy27HC qdeR3c/u5QdEEPX5fR4BWzBQQveZCgX6wAQ5F5vRGs4f2MylUYJxgjyyiCUR9RQG2V1iVxR24HCr 2LOfI0rZLGq1qIFBcfYcUuc2bDh0H7keBtn4lggAIfEHMR3dRRidzTTV3jiJOZ8PUpHbu2asQErp esxBUmgwAzZon8Wqa0sa/EtoLMOpD1ggm2VBijHYqquV7oNNE2QHWsvUV4Pp3liMs1Hs/llkacxx ZVaWfFBTiBMRHktiNBXhnLh3SAKnyRrBCDVFsfa47HSyFvg/G3IEn6iwnE2AXCmOwD73t+Np0epx dwfc3T5VsWMjMdY4YmzwElIGgTfxgDp/DtRpsKLOJT5+FlxbqPTt4WTZ9sIRApItkUH9eQ7KbVsu 5gqGTj/l/4KreHwFlBDaRWRy5ykKuRFQZbZSrRqJFpgqbWl2MzUoJxJwIC0+6i+hrFxX8sHmCZxi QJdJoQFZhDsPkWU+RxRgOkhprI5EkSJ+S+SDQdW+JumedqV7JqoUgh7B5CGFqJH8C3CcT0rzs8rX 1gsL2/ScScvke0ZjYY1TkXJyICTF9OUP9ndlG3J/PnhMAH+2Td1r5FknXSduBLXgnwnoaG4l35Jk 2va6EcxPJoXzDpqnkYdAYfXEm3z+fZ7qL+0+nHCjXkhtTqG0CDokjTwo8rFEod3kh5blfCzUNOzC i4Lv2WVRyGSXgx9QCYzKslSVhD06d90Gzwf6JWeW2iVkAb2pb4mEoNQAoHALBwUGpUv2j7czn4+C pxt/LxnVAIm0H7u5UGEkMcEtuuXOrBHij3lqUlWv5K1NNw1JsfmM+iSr+2DzlBuO68x5GmeV1c4r MdEPY7Bgc8kiNCrW6wZpCsGQFVEXh6zyEETYyjIx58bn/6XUr6MMHumPYXOKwnAwpYkdcbBWlT4N AW0ye6SdQXVKDT4qwvkLpsVGwHV9ZdcWIoRDumIn5xkARyCZg3OpODX7hgXybE5/O9C09Qy2nM6x Rr7wCTMHnw823WXV0HQed/TMzzSFZe7OG25MR5LkudwzrNKAkylvIUxF0xQWurWcWls+eI0SJTic sZTO2iOvPb24BELbCfhyewpwxV44qC6x9joRdthgRUqYbfEQHwwzQx+a6/gkS5YzRXM9/oVRPI6c CkgRMynuuXg3YFOHUmmvdNloYBhiSYQgHXK4Ho+JM7wfEoxVJHwE6w/mLAXUqMxZmm6Rjvauve5f pdyzkIoPhtw6oauioTQmn48Op/W16cB/PnHmjcVDm8dG8aO23dmAnBhV4bZbhZ5DxPmiOfB5lLEC +GuSGCuPxNiEZsrsJoMwBvUPUBLHxqB+xONsIAeRHPs8kgxZO7nZHKyAsiDvxki8VD4fROL5NijH XUvjOtDFVo+dFFzVuZkAEwWFjXaOF6bFqBHsVq2IkkO3x+VFuO6Dw7fYFIA2LeUioLVBASRExayI Dtgz2jyVZu/7Bwcp8UDrcgPU719LpsEgZm/w+agxML62HPTlWurPlgur3ikLVrJtmlq+suK4kqxi OUtvvpzCOJxX3Kj7Dr6cZoFzLW+6DAZJlwkC/G7AwvnhqWWiGux258Dz0eQQAAqePghYAj8Xniuw oeXzESTATyYeY40py7PnQk+aKSeaZrMEz7UfV4riEiuk2Qy/5wLXR1diPbuo+3ArThneLdQWPwvp zGBqtMpAzRCxWcZGt2xFSkg59H1cYvtPbj4gSW01nQy+M9eAFBmfD/q5q/yKLgknvzF44PsoaNEm 40xOENKlR1ludvZ4C50gcItqy8W+NJknt8Clo9yjCe6sJ/Oh+mGd55zt1BybNH5Emwa9YscoKRoI nB/RzyUHQK2U5mb2Zy2tsRlp1gXLvtD6kc7iTxMFsgJwJfJJCrmRlNTaZtS31+rVQ/B6VTQ72KgK LUPrR2kigInqwy2lUOGv0mweB0hno4nWO9gnjHjsYCpJNafQ/JGWdDBAmT4o8c3oYbGTfdYtn48O 8NuOk+caiL6XkZQD+0cc4CRLTFOJgJNZuRgTUGGY0a2Ce0sVgsAAMhNpNQUzsMH23LknEyXe2fs+ eZdi8EpZf/Dfqfdn0UCt9r5/QSfRB/VBF92aAA7jLz8XBp8PVtP8Vo8AgfDbsDewgJRnC7xFmqFL 6QpCHDe6RIacpHaRTVJYCqcoay5p+WCTBO0JqI7iYEIeNLDFxqKXBhevGnaGVkrTXjfygtoi/Ccf rNILYQo5HbXC5/+lNc6L/8S/7XFXz6ELZO0kkFYjBGCHWLGJyo7XbXX4LMUG6/JlkDd2ebyxoVIP ESDW5ZBQgOUKtXFQ4KGokOGgdRtz/rpR14kFOSlIcFD8jSqZbNPzbHw+yFPKN68kg9236hM0hU6Q VLQZ2xQ1ztwkx0/WbaY+SM2qEdtzaAW5JdcyRXabVwP5XKFlAnsr6NtqU5qRFTX4WXg3XiVtId9y 6AU5JTSeyJQ8g2Ei+olmOutOJ/fNfD4S/PtWjSyycyl3ksJaeJJZDe96imxOxpCYiZULw26ETMkx lDk0hBx0PHoxFzt5O2yf6CPe1sJ2pGMmVAJ1LPTLnVjN3zc6mFiKq4wFOKjStCbKKDrAJ5//FzFZ yEKY0Y9buYwNITcDJUkh456raVnhcrCQg39CcMsw3TkwhEQv3DCC1QebJR6zGQx6itef+55GfnTr WtDOyei5W0EuV3/fKJujot2iT8QCNU5ExwkpICKAIUTOD/hXBC5eE7BB1zczB5aQuOcGtWxFBNxd Dj+TkWVaDsjFjWTT9LdrDdpnPtxbrmeskkU5Mlh0gt9+QkTkn4k8MWon+j237X0D1AB7UHm5ALkp /9WM+oWYfrnz+X9xcRg8wEtj52c5hcraoHRt8tJpXMPKMnU257AmJzh+N00JbCGvVms3hZL+sEsR M6mE2wo9j6kkRf/Vhn4M+oO3KT6XvW6ErWA6h84kBhCXuACgCE7I9c85BSc/IOoXfPfFEQ7UfcU2 c+gMOYiGyyvfUlNPzsI94axTTEnItYmKIShDRJPsgx9OIJVO/HIk5Ax4RJMnUBCDI3Qa8+EJFHvf v6ImtjJtsBrKApAYf3mvjc9Hq+nG4JxgNNxIuPeJiqJwoHky/EK8dDm6aySdv2c7DAWeuT5RsQ4g faLaKD5YsrJnPvsrb9K79llafUqCglEl9t1+9JLWtPcNYBZcUGyY2qCQoOFWsInafP5fXj+GD4eK 9A0vQ49IyE1DKMkzut7djHXIloQlOcQJNkl/S21XFQk4eH33TMfZwYwIakZjnCJ3gy6WiP4zy9ZQ 8QEGfNrrBrNEGHyv7NJxSFZFqRTGAJly8vkIx3yrTVhNSDMaqJw+TVEUjgoGALnbpknmLMSjjG0q wAOHsCW+gU0kWHCmqJV9sLV0Hh8NGgesNYGfK8QOSh0NVOtGrLcigrrsbYOrjt2CNuhPzkGJb0W5 gQfw5hEeSpU8tGX8jMDpAcB4C+GhVSRCYFTipqNS0rz4uCwmClEp69ZRArNI4Yawlejix8G7vhOa EJlML3Q9RqbkdoVyawdbmdbQ+Kk4U91eOFhP5HpBN8EHHU+urnb+4pr5/H9hoHTaxI07T6FhJAs2 J+dq3h2n4rthmq9J66KSsuYp9Iw8qR/vubF88EgcN39nDe5cdycPhu8wipcIcFjpretpjnd736iS kpn0sv3EQdPUT+xaNE2ohAeukWpffVv8dshv310X2kaC3gDWnSgWe0+GToQ4kTrNxdTRTrVJiuLw ZVITMpTwqiJ43ih+N5y2ZzEBk1vNvxbOn5uJQHtmSUW5wDdSYLPO5e+Dtl07i19ArrOf+fw/MalS foWOUrsaQTn2jpwgMheDNiOudIPWWbbrJpfnpgutI8EWOksE/SwbbNPVM2triq1zPgk2JVsG9vtn IW4pOT2kL+LlcmgeSV0f+MJQjRNezyoSwRFmMd84x3zmB/xroniKs05Wns5K6B8JAt9JKUyeA3h2 23Tnvy0+TxWu8wrF/zCQFBpMOpvr2mqvMeeCvh7FlM7hk9l/WlT8WAAxZmDSzOzXmuOBgyR0x9ha Qe8ETV/WDJixQK90y2hsZH5AhCG4KHCiErFOCJX2iQqDcVAPweR0TXeS1VV2YqOfuxCSY8unKsSC 86ZLk4QCDt4fPyc8bDslsTDOQc5zPAGZOnEyosJ10fJ52Qv/JYjTizp28HhXonHyoSzUG4zf+QER I7V9HeRoOcNS7k5UFI4jdAFnx3LgSZC1cuBsZd9fsLnQTbITwpssFV4PUPUENAOpMAsqUMJH44+K FOtnSbgUWlHG30ndXjfaeTJJJIaHg0oF4KTKIGCjohIYSqIi7gtKcDs2EJ99F1bEqcvZbDVtSvZb da5YfA7UXPMUODCUhMAnIU7FSpn7piwVEiDFqHKjYRGxOkeY3bmnfuS8JUWT1e1t/6KBNdn/tmv/ W+BUQthCPfc8nw9SljtJBNihY4ATzycp1uSmvlvZOpOgGOwKVNmVcVko2D5LcShuMdP0wYFgwKOg 10cJqimlImBVz+ZeIIAXSGLYllt72fsGW45kMJQbfFDfAJeoxJTz6nw+2HG/gOENKin5ay2F9XCG mKXS5DcTY7ibYXo7STZSngTI3fZcGIsvAeby8uFWCs6fcf5+RgUb6lGUNgFQ5ew58PsngWCqYvZm LxwBC608V31QRRxYZNm67LX4fBBiVg/G+V9TjLTXKwGTQ3fJSnfJjDg2u45pNlm8MsncRQYM610D g4X2kp1AuIUOuw2aqZlhLjXlur1ODrPwFWM1qtYkIlUrlXLUiurL3jg6nljC7FZbyXbMjAlvC2Lm ErK7wGES5dZfql3wllwPVjV0mEQ7HxCRYmSDYWRM2Eqv6mSDNRyWEhhMyjn3zGcjYYWDwkwoDJRC Bz54vJw8Y3STh4NrMTO8WyhgtYtfEkwSo4IOAB4N3E1xCbWoxgNRQILAYfJXcifjZCLXb84SOkzO DEpGuQW6NZ0+l1ZKDkvh+2iWIoS4VHHrlvDr3recslY/Z16V5y2F2gi3YK22wZQdW80Su6HYKXCY lK5tR1U1+6BfP8EkTt839Hxw010B6iVJUzTpL7EnhyaT6GECltLr5WWUa+MuRfxKle7kSUvgM6lN hxqBZM72uniLk5uCMi31YOiq4O1AEWOZFWW7/SDBVJ8LfSbl88I/DQMRG0ztFtzLsI0g6MQP+C9y izjGG9I0n6dQqjuT1Vtq8ggzd5fKoyG9kIVcbzZPYTAuI+6i8lx5ynNnB7YGEzNZT01gb6kJ02iE DTJFe+xwur1v1IuS4ElPPhjMCXhOFp4yYE6h3WT+JduJGmLHke/TFMHDGyUX5RREvsF0rbzc2rDI qUFBTzFBbDepyuSQnMd45DzaOj/6gJkmOlFTVHc07FDLwHo4l/Llr7DrzW+JwvAmtHP2wcpziNbk 1Torn48WU/qKCQorTu1G4aHhJIW8ejZtvPMvi8cLYDxXMG+7XncOHCfdlBPEXR+86JRB7UUci/y3 lTwF5kUsNWlsDNTixaVse92IDUULqir1TgBBpC0FOPVkbe3czo0fENV6HUrANigqOn1fr44c+E7i ohusDniXfAxv/649bfedcCN7thIYTzrVgJAJG24cvqGDIeUTGI8lgnkzMsk1SfLJjwXV9NeNhJgo C7OJJeCgyOmsXpUgkRfx+ajY+53TZZTC8Hp3mkJ1QdLGOtV4GTj17lZd0NSTbsxJYMdyTkZgQAmf eFoItJx9sLPpnGyo8vLyPUffOV4KpU4RInVWC9q1xZti+QQOlDL7hdc0ZQk52Nl0skRZAG2QfAIL SiB4vvVP8jhLe+aLLfy8hk01k/d49lqb1thM5muCXVfU44R8dzHds9egqQLlJChYLj7c4xtYAvm7 j0S9iSIsL2JmHFhrPEQoBE2vIVO9isJZ9rDZWQYo+Epm7Zx8ePpleiooFQqYslp84OfQg7lRmP81 XMLsLKItWzIenXoiblsOJDIDgdXv2R3Mj0HlErvjHGyvYVfBWmcrm0NHjSYCgN81GtPWktxqwZDz rxOEHIPYiC0l2O1KsPCCUieqngj+8xorVWE2NUFqPSHsvvPzGiidhzoZEDwfzGWhmTo11s+2pQSg u1XjXsMkrB/e+wCF+GBBN5wjNg5VyuMDfJfV6QRgkDVLwB7dQKDzXd/XD47oji7I9EHTc5LbPVQR 2O3zGiFhcrdPD6Ohyp21fH5eA6QqJuc5DpqJLc+9vKbbV3e1CsAlNTuvwVGVvAzcglLzwXYXGOJ9 Nnq9nCUtY90BKjq0jqXFdGcHHYnPa2hU3aajjSR3TmjG6Y9aOAjwT7zx5zUyqkaeeFZP4q/us/Ma F3F3nRu/AlohjHw322TIAc150QLFyM+vUVGVuTHtpu5geyufD1x5UpNyFXR2C5EniEZ4+UDN0/cW U5jPa0yEw4d67wiefDBEHEQ1ZEd2AuzXiIhPb58d6VfCQJlUCzrvBdNTic3JyzEUKLY7b6dJp4oo ge4kgteAqCYqhQPJvbIPt3ECNQe4Z9QGyYpemIMguYXU4kRzyPuV0iD8vIZD52WX6m3sB2vQBPWa m0TgTlr5eY2FqoS+bYI0mRNdwGoT9BoJ4UwvhMXTT1XEpmrCi9B+7T5BnX8JzSeiCRLUnYoBZoJi p/M5c0BpI18Hv3YiX6dh5VJfiABCz2ZlqxBtMC6dTcXWMySzYwfTZWxSlZE3f17DIJxey2eI8exk dYWyppih1yAI53NFZbsnr9mW5IJCndmD3EvSsprtawCE07lLwVyR4ngiRWh21U6K0bnny4RyMxYQ RNyo3JyRJHlETTr4a/yD32ASbIoOlA1aQHAMq2IF7vx5jX74hvnr/KF4x6SBCmX5og1WKOBhEmaw LnG6wGjDO5MZ9sbSNQtO50TXG4qQ2+CgiQWRGeEDTzi9B2JNIN9OvrGwhKD0f5FvjdJv0ewQDHAC zu2DmkgJjm4UBSwQ8Atmx6UXstYcHCTGHD49r6VHrFiKmWbI8Zru2/ZOW6/dzuezS7uV1F7rjvj2 LltJ4ic5OOTt3IIQx+P8pAHwOM0UEBsueIQSSeKIN3vX4Hy2elrOPvj5vOnYo0rRa8mxmhESZ4Ow XZxhq/neeifCVaFu0F+rLkbViPFh/z9JgxLB4abMggiD0QzJLFn29RzsgB7ogsAyj7oU44SJvNMp YbuwLYgHcEXcZq8bLCHKdG5ZTHJQXRaNbangn39/3nlw2GGzf+0w1BBoC2JzFAXQ6NIDEKiNtb/g W3lftwlQIp2RE8bPREY0lRvbU26cIK52NMHOGsoVHBY6S0KLb2HrFvrOqVfLXvjnnQXHBS+rMnIp OFiq2ib1xWE1zsejCHp+zRD0koYSMAGko0uM3kBl3La/t2thJmlrCHbHFzIZzNCuKqCResrBMzBs s7Lpc9vGovIVrXATK+tAds6bYCiZj0LobkGiBDuGC3YU1GVYTEMN+vPOfquyGdAESTF//NB30SYo iqFrldF23g4gcYfJvaVthjV08szpwIjgnD6hCAHc7Q5+i52ds1TTaDARhZYlcNzof3RKK9De3m8x NWyiJExkriqX2+pMSmB4JHEDLt3nnfn26yTCfwus5siUVVDxIFhDnUZupMzrJBrFEnqUjosJUK4E NrbVYaM5YlmfJks2WB62ztkGRTQqByZmG8IC4LDUtlyG/5Px6+ed9nYPopPJbQ7ZJZWhMTeHHAET KmfBHOXsJxG1J9CQaaPfdRSF07iGcC4nlzRLcnVDtMi+kGm9NndTeOe9MR2j7FtWPSjfehCc4Xdu +BtQhh1Q9Sc4mZ0ZCKHteXFIg+ZA77Q3OzgBCAd7QgP7hmtBU0GiyqV83llv5/FW0q85QqETdEOb ozCi7pkR0fQev1fP4P2YvMePTpFmKAqoVYJtmXEjB8845jx7YVCxC/zZteUHWAhUP7OFY9ONJXVa RwE1XbtOLlaGDyqYLcgSk8Q28/68M97qY05qhxFgTCiB2ARFAfWSrWS1PB4ZvZm6QZE+e39/NO/v RyG1kJG44X3wlAN/eF9VMqaD6sc4jNBEGCALZwGTlySVu972fYok8wKEjw+aopbIUUFNEdzJKKju 05N6picIPWa+V/57VI0Lja6S1XxdIKmQvSbUerU5OmGgewO9k93w+rLi6IS4c7hFoQXMIoyfWi0N BBJiSfBHyQRvPvVpoSLfA2sXmwD0xAfB/WYdFlh3KOFEkfW+VTM+Bs10YBZsiqLIupOnQNMwVy+/ 5qRFhEAWUOrlcIWx9cTJO8R6Hw/rnVcAaCNbFNyJ9B7ndU5yQPzZ5VGgnFNvG0wR+1wFYYINOooo QsS6IrEPUXDt4H9LzcClSE9hMQqu6yQXMFvgOLc7JoNe1T1zhUaE6ophaK0NVvv2wU4igK8mGL7e DFrcZ2BI/FDcTl53KkxTovOd4sbQa0tbovug++wkSZsQmPMD5M87w431q/arOgQ8Ysqenb0z3Koo Onvm4o7kpAdwhlre7gJ8bjOr3L/T2xgWMX0trfvg5bMNd2TgZ09oDa9EROxAr2UkH5BoQvvVTyJW F9/pbQz9JMxFF+CCpKUYCnJ1Vao7xEre6W047FP7OorQ2+n1maKoPC3V6awIiKD2dhGQleBLXmh7 uDD3O7utypABqVnuPviFVvMJqpFgI/9Yoy7W76Gw/7NAVD4Lq17QGr0m3sltDIzEcWcu29AqEzHm nGttUAkMkk2fd26bTbImSXYwAqr4JIXx9aJRyWh+XldiLVVFG9u8b1CGNc+Sd24bYxbeaZVzxcHL 1HUBUU9N5ZOYnZRNhRAUphZOH3p9OnSGWiXvzDZ8ySY+e4876E6r2yRk6smXP+/ENh5m+evABnYY vDufoyjAhkzIZu/ZU5DiGHbeqkpBANJjeP1Oa2Oiz9yDStsaPCUAR29ZeL3qWQkVLdeGSjMKa2hN O8zB/JPeWW3VPKbO/2CyxkGYvpMfMF4AcWB+3jltuHWXryJC+sBBGreU9k5pq5IDv6SRRli2uUpv okstj51O/XsntLFaxFosdrcNnseuE1jPSh4pVPXpFDEg73ZCOCBMCkXfpjQ6kSN+3gltjODJ2c5k SdZcXCdwnWxiCyo01+edz8aKX/2aIvAGG8xBbIreg2voOGYsHc9jz0/uVhMnnHSriY6ypc6i9+A6 k2SGJuscPtiBfWLrBtYZmbYd7MFMFAgW+MJTGdTx2yxbetn3s2gNmXBJAb9eYChk9XV0r7Q/70Q2 /K35ux+krsC6MxRF15Oq00O1M/6zVDuvM2wCDLFecjdFt3ciG+aI4XWfBMxw8LiowKdB5zUOWdwJ hKcx1T6rcz3i5ejL8W2jKRIXXabtmZq/P6zoY2lSkfSceZ93GhtX0b3TdAEiz6/JJymqWjO1S8zl LY/Ny7hGJ4+tBk6DmYNJbrzT2Ni0Z3pWpWJe+3W8Ax4WSvhV8qUZjWm0FTOT/Q6VG6DGVVTjFfF5 p7HxwKVBAHpuNliaNnvVVgGN7Z3FVqWcYQuJM4oUra97YEcRNkQiiJW9XL/S/DiS85TKai7x/s5g Y0EEkzOkrzwE7OFC2tTUlTJghSgupNCBBiVuDvUTMDoM+0FX8nf+2t1rVT5KHKxmtNn8JLh4fN7p awg/b82IeT2ccdu6J/Y7fQ1TtAAF7eYJuBu7vMz1l68t9IaqhUbv3LXqvok027HBZgg34lizCR2z eYJTGwDS0zCEh0yIbzUkXp936hq7X9Itl03e6J6l0WlFQfMun3fmml27miHObZcaoLcX35lrVXwU YBqz77SmpSN4o0m7wRbdXQHfqWu3HrIIytGgOTp5B4TcKd0LuPW55dlF6ojGCmAQULRFrM0GftHb BnPEo3p2yQF2lwMEQGs34RvOifnOW8Mfm76TfTJ6evbD6J20xn0myenhAm7nbLaIMdUrB3jefBhS 9p21xsOIJ7asSzjYMoK4eJ+LGIeeieiT0gTybHQOBkXeDdo49LZBogYRqZw7zyIOWkZnusknY3D1 eWesWaPP2kTM6hBuCN7IOYoC7E4pKZrFyScgKWIEcYaQNNKOEzHXnZ/zV8lodFpLcvAZOpus97pE 9T97cErHFC1FchUyA0vlspMKG+90NcMKnCRWAVLH3hZtCt6VjMyZJH7e2Wrsyf8qq/EYql7Afqeq VZkKocRoVgp7eiUbFWcTbkPeb420d54afiFi97GhfLB9lus4v/FkiR9ImNU8QJjggYC/7tUQNvE+ 7yS1KuQ9IqJ0B0PHnuyDywJdwM87RQ2Bya5fgRHJV/n2QN4ZalXiKlSJMMJ6Qp/LtllbJniHnlfz GYpq10kR0eZJ1Pc9idKJ12lWQWU7qKMntksyDfDQUIfShPdAmIC8E9Sw4HVaL+HRVvUUrYBJybBm 9Pp556expra+TiKcMA0sDJuiKLomTAiiEG6WXBmDMY2dpWZPY5HQMHZ856dVV29tmSdxy/XJQM6h cy4fSXCjpyZn+0bvN4TWSAcv2ZH44Xd+mgGmzvpEJdAG9RozqptMY9fkOwbd2FG+thminb4voOid ncaiGkxuxzJR0jm752hdrGxe+QBF6ToLi9fsVwNPxYEloynnzUStEZ5EiIlPFEpFJDgm4yQqqCJY ok887uedmmYFekCJusgf+Lm4PcBipgntuRtP5PhOTauPCJm1Y0H2LTe6fmemsatQGRUZYqbJnJQs 7G3zBv3ebT2id1oa9nkhoDGV6YPvs3Yys7ooQTYgHIrVAzUvWE3AP5mmwS6VXOxl/zqs25B3Cai3 gkMDL7m5itDO/Lyz0urDBEU3Bv8xSG7tltXeOWmcWepep+wVI5A9vE/EEiu7IKvd2DEqX09CZ2qh disHZ8dA33wXGpWdnwCrJSvZLxDTpShsuhUjMtLeCWn4Sc2QnApbHHQcgcgnBcnzGZ93Phrf8fvE ho11W9WPo3c6GqPrRcnWIg0tQGTbdOQw2Yf455w42Sl1/85H47XPc6jO6oPDi04cu/uaEtY6Wxhc g7OSzt/4s5CcZfJltZIGHQHe+WiG/j0ZuljqS5rNSPdTTgQdg+gyP+90NOzV/t3Zz3SPHxcd+85G Iy4SFZFEqXZVamFwgzgSrQ/m+MjSKFOFI/udjWbwKCD35vbB1hGEfs5tJiOOk9RScQtzBLvXPZlJ 3zI/uMt82wihRnhI4oHEISnd3ybI0s7v+Xkno7Ek4ZksOdrA7uXkIKN3KhrWEapbU1B8HN5Qh5Kl iyhL4KYDP+6rKCpgN24xINt88MojLM+WjNtPmH2Co1xYm22wIN7TVpHpI7Pf+M5DY0uC9TR6AmrQ pXauyDpUm918PIqv09fFj63e8vQT+52EhnAhA2Odh7H3T1zsTGKjeRBnt2gn3fk5f4XXTTJ/7cr8 VdQ0x6DgOTqyCPQqT2zUb2jCmuHC4tLI5DC8M9AM6Irwmu3u7kjKAi2rJh+udaLrdwLaL5g1p6MD SNxueP1OQGO0QAJMMsww4g3n6Z1fu07Hh0DMQ1DrsICtckjmuT1yvi1ZgOiReFSGj9DfINYacvfS sCI12zLZRduNdwJadTs3cCF90Cl7zoe+xd5o7fPOP2OH4F78XEeQ90O30yYpqmDjDAH8qls/jd4g ptGarPQIBR67+d/pZ7xUlzgMyQevhyxYnWeR9DAnCTXHc3iwKQbzwnk7RVjU/I5ohha7H/UOmqGG /Jtfd67Pzzv1jOmWI2g4GSiZj+TX/jvxjBQaRo/bJ0iaWZP5c7b4uu/mVKF33lmVXBMT2O6DJWkV CQzsmChIgYhrGEOt0ZDm5zGTNmv7d9ZZlQYOokaCipvXfwsg9I0QowZjyXfSWX3kD9VJo2t7vQij d8oZnko6q7vjZa0uC+Rvqrei5toP73yzexLBp9UHW0GQvKxJcOty4vg5Zc45pPR/jqPc70HU7F2D UgiFH1aadzDcw66GBm24zKLg+sFg0Zkb/OPcb1AUBdfQpUZiVl1ZrLqMD+RGnaWPmqSfQyHmmslp H7Tb5vAUHdk+G6qFtNVZuSVFqVGagmlsU0Et6W2D+55cmJmNdzYc9wA1a+IIwEXC40Hc2L28L/zx +dHqLRa1MLYukqvqflRXehFKxL6b1yTQM9sy/RZVrsV/hbquDzcmOvdGUl//TMkqZg9ECSKid9DS cLdt7bMQG4KOQCYGzwbtM8qYMyZqZeDxoK2f11dsDQpO28NP6h7F1uCA7tWmiRiM7QVaiCwWK6hB QMhoMe+RteINlIn69MEOohNJn9fI5nA7R5Ehd6F2AA1F5uV1SuS/x6xFWWwRWtxFhcEiGhklQSZr o+LxCFP8jXVEmbWJcc4JiuJqBCHn6rXy6wZn3pZQol4ny2mL7m6coAgaMiheMBsRIhx8gkqBhpRI +aWe5Uqrm4oaEezoAdjx7Iz0HHxHkHkQMDsEnrkIpjxJRWW3up7Mo0dR9UOtIj5iUhHPA6IeRtVl PL4jAoYMxzzU6hVsWW3zrO5R2Zq1n3PvKklLT+YBid4+E1XrT5IG3jCg+xXEzoXYVpR8axBhXeBL /kBgJTDYOdDGnKnH+RWH2OMnd8HzAXlxtu/0DHqdnXocmqWQvSj1VQkcEzxbjGt+fnzpjy/yq2CA jdi6R7H1XKK/ksfIwfP8Ccz5WqyGTCjML1HNzw+0diW984HyUQajR7E1NGqgukoxDJaQuT+QBwx1 WFvveDw4r9OvPB81LGlgcI4iDiPV6GCyKjzoKnkYHrTP7iW1XIlTOxlsj5EhsvnRcirrVkIalGh5 mKLPOOmGRHFDCEEmIE1KvXJPg0XHHtWu1WFcSe14eFGosdPzqOoRnXHi+WCKRvuaIiAPRpqXxhgG 1mcTnRS8bpuiXj1ulHiZ4kYwPDRFIY2R0eB5+g5elz250zmBiHs48fZZPOj0bRqrjE76wL5VRzqL 4kuCS58C48ArYUA1mbDTUnkcEcxw5hvPR1vND2w24NAjglGMz1FYvaZuWKak6DmdN1q/Eq5HvRYp P61bE1UdcGSHxWuTDeOGS5frWfbZA/tcisQ7LmhKIiOmc+tZwDhFEX4/IplTb/vXTuvSfuRgXD14 2zEyOjcVHo9wap7n48FJ/MRtNfb36FoEF3Dx0YT7nxqzZAbjOzY+skjOEESxytMoiq83C/xVc1S/ 5giK4efab1vuthU3HcrXme18UG9gAenekbRn61H5WvfaiVLuIADNmV2SM6gohceDTL+urwAb/PeG eo/NUYS9RgwD2qFEC8/vTaMD5s6A0spes6IhqfM6Qoaop19REMPASnCVsw9CiLo0Q3OcNAw1R1iT /6wOQEtZ97xG0lPxJUFoJIGZxVSNg3H2EFrwMDnrEo9Hq+g7dsyUmKmXkdbDALtBBJpJFb01d3Fv zd6m3W4dEAiboqh0raC5SrGgPooFUKtHtYt8K0R5CVxJXPzQ+EUbIqNaaCVHSkzhS4I0lp20lkS4 Sk64Ao55FkMWTzz+r0WkKQIpqVze54jia0QjMlFXor9MLQUN6+KVkJycTDSiyvVkm6gv1hw5eB4L TQdkUUKGnHueOzJDxwohNemFYoGwDcl3/Uu3YFTebBxU3MfuJaninGgZjwe06qdJxH2GKsjqHhmN KMBuNGVotDFmB6TREo2R0erZdB7PxC3rgIywcq3AERAuG+6lhnIc/JVQdWyAxaJyPUDOgaEGqOfr CoShv4Qvibjn1Exp7IBMlGKH9YmSNLPOJ2KWwtL18iCbdWqKao7bkh1RlC1zEUhI+Cy15+q/vkfn CBnOz4+q15m6MucA7BwYZQ/29YH63ZPWZ2e+QYzlRKLdUWEDxH1o4v1new98R4AJBVoisRvBwVog BQaX/MKzrzse/xefCD8gSafsFWmKohAb9Hh3FEPJiBayajem7FC+3JbxY0cUYC8WHUciyWOI6TMI U0vnKCs7mTIYxKvp9kPbQRok1efWhysKviNCPmCC2qIGJgc7QKbEM87xOzYejzQeviH8g6ns9v7H iOJrbKY9qskUnn81X0Glbg8eB5DnWkFRfD2p7dDzuoN30c75dhZqVfC4BuROAAhFsNfgzpbnIxKC E41f8tdhtNVM24UtOFbVznvL9mrkkvF8tIa8jWYoCfiV3zUUxdcMOc9P7qe057ViE61taRqqfIqw RxRhL7FkTMxppUco5NwkDQ6R9M2iFga1RFFBa3CbQLfIS9f+tsE22yLIjupDsvh6tqVu7IkdRwS9 dtVwAxajxzi3X/ojhF6L4yApA3IcEguPmKLO8rn0ZnHcaooi8HUhzPFkH8sHz9PAqmvAA4kcCw9H hOAIIRt6UvBMv9jrqreN2mispqGYa4PhQc/qVPF694HHo/7H1cIwfC1OwHunRdVrwDJhR+jl/W1q D6heO4X4LF/3qBtRdG2Y6+EVWpZziHw4R8aak7l+O38L2YygEDM9gmY2wkqbIfxg+I6/4qI1ZAM1 rFcnJWrlXWDHjjC47unrrKZCf0qex44wuIZ46apmX4DyvoMeTExFYdGdoDi4ZrNanL2SHsJVJ0dj sUGEgs5EVggtgwzgamF2s/2wpjwBviQIrptk53nlV1bJSD8n6HlySZ0EcOEDgly/+EYTwmii63av /DC6Fllf5zWlsCleRzF1OAlaO3ZKcx4bLS5fy26NGHUONkvwwlwQ1SKZCPRMiRaxRiseCD0xmlSd ml43ascyg9W53Wloy78MbXBVzCFHguejgsj6Wki0RIAOn4nyhPVrMtFbYrIvO1/k8/Sm27KEzpRN RfcG1/78W3avl7R9sNNoARd9rntWjQZgb+zqbwjwksk1GBeNhyE7Q2hIs722fbCW9dnR/FVQAcbj URskfR3YgPFSyNemKAqwUReFUKqIw2dPLIc9zEnMPlZyw8bXBIUVbGZprUtArT+Fx4okqoHYRKo+ olDSP5EQAKJl9E9VZ+nUM2Nu42SKX+6gCRrnt+yaoF7w+L8OIwmrNMg+3DUUxdZJiFD1YGEpWlex 06ju4adRBYVa0k4xs1HKjXX44GyitPETE76PCmaD2jEsejLETbpEAS5DlmoGMyxgd55GjZ4hHKwY Uqam6EReFY9HU9S/4iIQZToaDDZFUWwNVP0eq9uFdg6Oy7dK1flW5+Kx83qGxeuhNcRyUfuST4OT AHsWlCY+X0RbwRPswS6vExNyzURpBoHviA4iVdT6HYQtmic/lKfDidnxeKRu+Tv5gPrfDRxnFFuj WgK6VU/WBSEMSFM0GIwJFgK1IR7WM4quh0AzgIHZ4BW1VUFiKRKfOYsJorPwDSvSpP7J7VrO5Enk 9Qx5jWxZT11pIj5zn2XkAsJf9YrHg15j+67vQ1qlY+3ZHMXF685bzJuwCcvXIsdcbfdB63AYVX9G uJDOyLE1tmU5+DI6s7E2xC/OHJ1MoeZBEUdKyUC3dD0ALJbi8B3BWU0m0RoqOo5bdERUoa7+Wcx4 /L+U1NBu6eXCQWeEC0ELDFhiq+/PVPwkov2ZJigB3KkJikrXK5vM7vTBF9H5sfpsKhed2LrgYqQE OEp+KB2txxeEMo4zCq2T0RqZyo7KfBpJPto1U9yg1vD4vxI0vByUHuq8tMYZ0hqJKZa/DD1Btquq nJPQ0LJ97XtUhzJ8lXjrRfyM6b7pts8FpG3x9RAUTZpiwir7hx7lIFrf0v7Wu0ZMK55AS34X1J5k PW2hhC3Zps3HgwlKl2n1o70F3TKfoAgXMqm3T56qKV3u6tI8Yza3eWQAqimKYush0EOm4RwHW0MD 3vYjSzKEXTRqzcHB9yTliMTQXPfCNZHpM4qtG1GyJPxhAFZIdxBVtRjmnAQQz0foolsJoTPfYoJ2 l1EUW1MgffXkcnNJTqE8rceF76/qnvQzFg0hg0jd/THW5TTCcaf2ytJ1RfQwpzI0ZEgonOd8zVUz 8L1822inMYlFTmeD8WQ6KAJMKTbfMQgb2y05/ogE0kv1HHZFkTVKjazn+GG9sudoZY3kZ1FyC/EV QUNG0WE9pw+Oc+wbjsadAkYFkI5EOwIUYxviFBT9TBNDPP0VQkMYDU3gL20wmONaVPKCbVzF45E6 z/oKimDK2qBIajMUBdb47fckaZJBURtWT1ubCuWmGuIKTysMrLtqRG37cNOzc7cv2RPBobdn8hsW 4uteROC84KK59a6RHh+tw6W0DxWbH9VRz0m6UGCF2XMteD7YZ+U7zQfxHk51PkOhpnW3G98XUebV yRu/0vlJN/4chgRdITZEENBJy+c+xQ5jJWQ36LI1VkIaMhHuSOj1kPdDzOoNis7CwndE5TQuokHI NQdVQs7ZXZMojWvg8Siy/hbnwX3YcCLaFIWMRp7XTVH0zWU1RbiZHBgCAUMeRSsEXWsZ6Siqz1GE 6QdaH5Tec6Uhm83SjZexNXB8t+IoLOgK69boM0JIefpgUMeMyefX7YrH/0sCm2FP1tvV5FtRcL0K M1jTL6AWrAFDJjvHsmlYXgdZMaWRiZkojfVSGtGsPof9rFMWqg1mFsg+OtpXY1CnrN7DerOhvyJg yGBoPWTAN1jn5Vk0KekrW/WZ8XwUF3mChh8Q2NKRLrdhRbE1juETIxYVZd17gJH1rKZfeN6MCRqm KJQMGUTB5nwHxzzAyQjANZSKFgHFoKOBywpBDJx64zG8JE5tRcAQUJyAvWG3ug7vVndcw9x1594d eDzAhTwSvDiLdCt6OW2FkOvEM9qa1VBVszYjao4WRQKV2EyaZ4WxtTR5FuFFHDx0nOe94TqgmuNa sBuA3Bx5Mii2NzDsVCkCNpNvG0yRsnxBi6u8rFGn2aytYRGl1PB4pIP1rVkIAFbfV+F6hZxGaMam PrZbyXXFQhvchobeNJPYAvQiO/orhF0LTF254Tjc2PHksck6+vi9C1uxcC0+udlG7HiB6QVAfn7J ny4Wcp4Hi52B8vkBkc6wAIRq2gor1/s7Q8PCGLAztDkKSY2DLD3Cc0W0SsklQ6DPZ6HjXMMQoSuu XdNmbz+DraOBtg2LI2hXn6Qoa47oE4YIN+/qGCww4PS6wWlEses9iU3f074E1ty9yc+5Db5j1NOf 3+E1vS3LJcmssHS9CZGg0i97sXk69bMR/C1jWRQONEdxeE2RpyJ7nZIeNXnYW5+zbVHv+kQwiXls RTo7gYrLMOvy2GgMvW3UI0JQRFlfG1QwqrCh4V1+zlA8Hq0jxz1wimC+9cBmdxReQ64cm9k6ILPX R4l3XckQhKIMjHZIaRRoptfig8NBz605oHZG0OwJ2gHJgRsKDJ0zSVO3RSS76x1F10rSmsxkOei8 htW0JJ7S4OMRRWZ/B0aCb3u1aL9H19BPpQvaWldwrjcnWuG6/nHFEHdx3qFiiHzjyjM4rphyodQA hSrf+eX34CJCgxsCmLn2fO80Su7vEHtNb/m5xWjcXnUsMLWk9O/53oHHgzstfTOsC+PG7aX9HcbX iaiQMt214UxXtsMIkBrrxeaybKPtKL4e0i/KIu7leQGhOIsAd5HK7Ejoe7CnD7wBatEoODh0BkEs viOYImQs5+AZ1QdtDsRKbKWeid94PGJY76/AKLPEcCtqO6Q0MtNnQcp4sdfMkma4Bgvp7BphhkJY CJWK0enwwVnoo0N8xqTCACemGXYnPbYh98b95w0iXmk7iq83C7Kt0LmKg46icSZ+mC0s3zHigHjJ qFjqgu+9yygEXp+Vsoek5VB/LJaZwam9mSBGn8MdGncIvOZp3egBp8EjIxQcEENwETUYElBVhSrB BQ3VRymMVoL4jr80Q7rMiPs1I04gc6kfDzbajjVDrgYW+SNoRPd+peV3qMk3Kb+bkusXmeY+VUMk PksuEaommqQYGMI+4xSSb14kX6Lbe+1kD58NdWJrtUA2FgPyZvxADt+niuoOFa9FBOlMaDkY6qEh y7ffBI9HinNe3pdCKFKQC5jdETCEDqEQv/TIqG673aCtXK+FTBqGwNoRMESr44TWd7jFWQhlZBqi dkD4G9KjvSDG0cZJtdZ82tW9622j4izTBjTPbVBxtp1DiI27lhcfj7K0/yc0m8GGu7d+GGEXCKjV avpFK2UnpI3Rmx9GyGaoX7RjYAixV42xIwdjE0Ew/iRrm+UQsJAX9yTwxz881rFsTfuSBsD4jiiR ZXOokE00XcyWVYkqEaxzs+HxIAUZ+2sRIa1qEOuyCYrCa9zmJxSupjU3lzmmnAlit1B8NHoccYLi 4HqrNVR88A5RhevOVocIqoV7iVyNPIGuReuBX+2pd42Ooi3MfvHBdtlZslNxKo6iCBUy9vcELWoe tnsQhWp8FAe9DsOgW23305UglaxkQKvTLotQIZO6RW0xgOTg+QecGEtSj6gCrJ/xnyQ7A8oPeFce FbEuu0O9ECmo5VJ9MFz6qoOF/npuGDz+L7E5nlq4p6AWZHNkKJy3r12UmNvJFUNYGRF6f1dy9YAK IetOTt8pjK9Zlc2d8H0O+mUXD++zkCjEi4YI6lOQVUGXEdzJggPREUZr2wsHll+FOWxRRlsQjGTt mmKaQP3sXn5ApNBzi494P8Ye6Lf6TEU17Ekacab3/P9AG8XvK3QIdVhZQzpnUzXSlZpS7yk/5TCG HIpGv5Wjls8HLMYi8Dk5nyXzeKgGksAE0+Cr0sMoO6cozBb6oTcCjHszlZuyz+2pgLKdA4/PB7nI 7841ooj1+Kak2Flmkkjk1DRioVheS70ZRH1QkltWBSlEXxtSjTuKg8/SWVrg22DTAc7XhJ0tgNcu 7LJM83h39/TXjSAQxBWPJS9r2Dow9oP8CymIWByLHxCESeW7lo2ax5dSqAzF3vvymd56zVHqRUax hNLU5I66I63pExX6NEqZcNY7XCzNSa8SFTDAlcV9dCZqLfjDotuDZLTfYLJse+EI/Eg26KYRKgdl tigoSt8ZTX5K/gVXwLdnARSmoR545ykKuJP4V3Xeor+aa4D2rWL/1wJRHfd1SFFNW8YONTGc5ODz 1CGMkUjAGmMAwY/ktgBisMhiBRfFqkgsZ/Fb/nK/GIOFAA6GqRlDwQc0Jfh8kJhc44Kqyv/ZBqvU Z+OFde0OJZHGgj9YWHPY4X1u8WXKT0DAGHgtp1gLW6zqlHzwBHdRMjwTm9XPRoTDDPBm50efON4z k1+rSKZtrxukuGxAbmmscvD8bXeFBOf/zOcDjvqV7cmCcm1ovV3jqxQWtwE4bmTBk4klnvGmkfWy jhvKrdmvuyj0NhWMLnvC/tgTNkgPpd1o7FShYg3fRnD20W5AE6u1S8UCcFPfEomtsbpd1AAol652 Hhdt56z9zucjZuj8Cp3oXA8epc9SLCqC7LY2hQLYIdlmqU0KZ1XO0rPpwvI2u5HYqz5YUICW//nr C2HHcDDYsjDAcbSGtN6Kx05EWvFbghRlq962mwYD2LQJpxw2ks5DfD6KCa4GFMP1acKBPk0hhAQb K5W1rxfo2F5VGp4Bl0nbVTPji7RFioLLQltZDrdocq5TlKvYcTuBD/SbkMmhbUbICRtu68uqOcWy 2OyEF+GRitdvTzZURP4+IdTg88Gmexq3bCgh4ptX3jCnv7X7yiqOIsm7OeYPVLkfN5WlHC3nKaxz S3StECrBwau4cJ9mLxq9ANgSVxWX4BELkaPMqorX34q9cGSuQow/ox4NVqNERspofDDIDO1nfgVP TLofyFYOzR1RstlzTiNm9VJdKnOP4d6XaXkzIAfejg6U6K12HzxjSVSiFSLpXHv0VUHGssGMwh3N moG5X9LaOgfmjpeRPWWDOZebYUFgkAuknVifz0d5nfeVsPZAAO0QUPBZCnmQUsvsJig6xhpehEu3 CLemF09y6O+4NxHsSyyt5Q68Ffoz49x3LHe3AuCKXPwYLQOmUqV99DiH5NjfMctYhUj2tq8u7bki inyHz/XO54M4PH+j/bWUbqEyxw6PlPRRf1nZb1/WcoNj8VCBYAK9oQJBDk0el2R7F4VXOdg0ddzR myJDQE6e/x/Z+aCIQJABBJ19mkqz941aSxJbbwqf2Noncmt2b+PWweejtsAvFhtlIJ+sLvB5hMFo Fqhk2TSdMNnFoYCttmolhXZtNYVxOK+6lVhI4eDTlGEbsgm8KYVVJxnVodgNz0y0XVxLY/j7RluO LQHIbttg5Wsgd3nWrFz5fIQI+BbTOGsZKcs1nQ29HqGVshEQ2CwZHln6UN0ktGCpmnyWQkpkljat ynLpq30yzgk5kC5Ch+3cnmbSBzYkK5YZ0GTLVqR/lEO3xyUssmTpsS5tNUHAUm7ECZ6hodtjWfN7 022BgO80RYrZImvN4oripViFdy8q5ElZlLeotlwYg6tcWYkE7PVBAhaY0IAPIBBXocj0AFi5S29w Q2vfEEqKBkK3RxnRDATtNmgt5bMyeRbWBaO+0O4x/bKehTpZqfW55EJaJPpSW50TGBzl3Z8IvBtX a6B6p9gy9HtUnynLbI2Dx5ZnV4vviDoKVxmWErwgzpEEsE1lK05UfxWcQsdHq6MkJioclPieMH8M sdTK4vPR+X1jJhXLIapRny0XKo/QXnUIwI0kuE9T2Cjny6bJ+gJr5BWCwPYxm3I2HYfmYzyEmKmB xJDJR+qkVm3GTECaDbC78fIeDNRq7xutJgLeSNTWoHsOkqWsSbSzx/l8RAO4q4nBb9sUBnpuujAI Z9DUi+ED9s4GUTrH+nKqxPiaphDGLdafPAs5ePcb6nV9VJL/zsevTlgg1T8WjhpQTi5cKU173Uin nuKHQnZxUAyOF1dvdrXC5yNvmm/TeRg8tHl5tjl0f6w0WZVx36A1zbRy03w86dAL91mKjdU5S10B eH8C8HESOtisEoxTkHhJqJ5oAuBPgJJ62nLJXjfSGpUAe08+KABH24lV3hNvND4fZCp1foWWuIB/ iFG3aQodICHDDwaasyZydwBlG8M1xqFBa4dTaAFprHZMhw0PBxB7kB6ZAyIkkIlCngK2IMwcJvlb 64G+5dAD0pRGtwRbNjHBLMol0nlAOFqZz0dCf7+CJmY7V/s4hyaQkx70DbUkqkWdjYZ4jcWmNZXr ImjaLn+cQx9IqKpTwm744N0nEMCpna+jKYN3M/YEWInok/YspiV37NAHMlP/eHRyJUd3ruQ5/AQm whE++XxQu7w1OV780LIBSdqnKVQimU2YbqEnz+XWvXQ5pt90k6IY2nOBEaSMF4AOlJFffbSjSAHr ggsMmB+WJSNIeIoxaOrbY8uT9CZ73yC2LDTNot8W4R8/YjlOJI1dyPe1+QERlvLKkOE/hnzKgzvJ gRckbrpBgwhH5ApeIb2WPnzPbadP5MAK0iVsT9A1fLDFlEnLybQZOTfmWrSChBk9FjJll1hx9Ztu 2/tGmIFJ+FsXGK5bcHk29ijqKZ355/NRceAbwYSqcHv42zmwg8TX0smvVgsuhx3bIDTs6WkvnXcY ggd+kG4r2ovUJMqXmsSAOvSeWe5ifZmaBDFomfbB7emJz2WvG8ySossl8gXyekk+Ak9J/6+f0WBK H1hCpm8rjWXxANnAPk+h5XoW1KvnW2yahutOxGGp2FQxnzZTMQKFMPhJUxZTJNOCgolNBtuI7MmT 8VJBcspFFf8e8yEKFHvfKHAio6vzLJ/dVaPPqZaX1Gx7bXz+n8uJIBSAER7xvxw6Q7Y2xH9zkik6 FD5PyQwjy6RJi81TqP/H0sDJ9pcPnvoCuYQSAZM6dIWJVClZHOXiOiHq/E573wBlIQBK2XdQSHDC MVJ6ME+bz0cOP79gFmSKPR3y0B4SenLnZk227ZZQOwSirEcsCchYm6TYv4Y4JsHi+hcsDkfSOIfQ kH9N2hDGBnkJQAfqyhdEgxDwQZdz2usGs8SG5kh9+OAMuLEFHVx78vkIx/ztgwQoXevpWUyhyDYl pdpKNkvyqiUaRfAv2o2hZaHMN7CHvBy4Me/gHDi07Oom3RTUHIQ4FLI/n9koVUiotwKCuuxtA7AF tZJIzLRBmS9qo8L8b57goUzJzVXIgkNbY7QLjcuhQaS6mbyTrSiXXQaIWBuHpAB9bqspxntLqaRs Hzwk6Lst9uQQOBV4/BSa+tJTF4hEnk1T7fHa7YWD1cRkZSy5ja7kQnfw96MLzfmezOejctNlDvBc Q2cVxjo+U6FPJHW7UGw0e3HcU9UxzdmBBOux+MuxVaT2HbCmNlyA00YPWtfdQCqsgkImehBlD0q6 5Af3nUOvyFyZ/U6FUNO9ffOZfIJDrBQemEWqg/WFtkD7AcejT1MUiaNPsbdDBvfe3Q3agCiw5TR6 Hj5JURy+WBtoUzoB89EJWGuhB8xayrn0TjQ7JMKFt9tKBJ5ZUlkucIuUCBEwhKB7a9C+Q4lXcc/5 ZD4fYVLbVyBOCaX2tZhC4e3VyWH2WWIjXnp3iycWORYX+p1Du8g+VWriJcbBNh0CzLRpm9nYIgdV C671IL4CKFRyejhfBMvl0DByGpWJPajK+j/PpBN9d1EKEPPzA6JpuosJJxtUi4C3vfMUglI6T3wX 49p0G1KTTkU6apeMm6/EppHcctlcEPpzONWzDaB7UAgFOyHapFl0Rh9vUbkR0Zt5/FpvPHCNBO96 iqnLBktl6MV8BYjXLG+xkfkBUfp76RY8y9Bbyc8xHhtHDh5OfXnk1LMrB+Cgsi24cnZVrhx4RyJl WiqjFB/uijrzjAiKxzjAvJ0oJxDigeb9kUGJYeXzsheO+r7yjWwakNnwbzt/cZJK+Shp8gP+RYxn 3xe9rHLZqDlwkFRo64QdoFV7clmusfbyUu/KDpkLDSS148pQAjweNRyIoJwzSsSUde71ye54gTTQ ahS8h0iUkXdSt9eNbjuSdalko8HoTeffRCjVjXJK4CH57WzH8xqZd3sqvaGJ5KgoDgxTLcHR6qW5 QU0eleZw6dliiiApTazdLGO7/Bjb5YY+QaJD4jk7GjsFYEhCHRhdSLltSctkdXvbv+y2+qQVKQdv jZcuiemzxPh8JNZxw3DC6+qENtczS2E9XL5pIy0rza3u1tHgDlmZIBPqp2mKnW5EuSx3sD0HLvtZ AwI45XOGLJbDExiHC8qEBWoYtue4gPktwZ7LAqPM7oP6BpRfJrMgr87ngy03v1tQwOn2/MDAQitJ OFeCXbmrMVPhK2KA3gEB6ixVE3BJbNOFobhYBWhN2+D8CySN57nO2w4Q1i4xvAWcaqK5bb41zN7s hSPTJBUIyE3loHp4h9grCyR7LT4fhJjle9Nl+FgypvOJCgviiBxTRuScJWBaaACEt6yoyRZOFJAA VsQMDSU7i257UJWcgzWiIJGy7XTa7ItDZGn1To4MOpHYnLcT1Ze98V8M1TGJBeOQlMhmM/w6rz35 fBAWPJbtoqie8Gntu6RCV8m+KUG5ratJCRrLgCXcqdyuGIw+B6aS54NYR5n1GYyL0WC3Mjshc2cv N5hBsuKCJUbGertlgkHGfA5MJe0QP8cEj6mRqmHBzuSi2/XjQILAVfJbXVGJIA6DKyaUQ1dJdjUn 5RtZndut2ySdtdS9OkfyoiYpAqWocdCnW0U/oJSTkuDQQ0W8QQJfVRdQlWACycqp53VDoVPgKilt 7bcqwUkId5dz1NDzwU13pReEQGGf7lENzrGzJDVx1XQ1UoarmKJ2bRM1KXhhExWb4JC4IrsADp6y QLP7pCxE70C9rJMUDRLreT/wnvYDBFNxLjSXrKSsDOoKYTUNMy+RVh2rmAnt39BecnbfdMOO8TbT s5xCie5MsHP1YhNuEBcSXMQ0kq86Yc5l8xQG42we9F2HD44lgABckox5o6NGInsF+g+Q7f7pxKma C0639/2jE5Vp9GSD8l/aWjFZy0A5hR6Tua9fZxM/58GHByaT0MSHF1dN3tbc1ekGeV4ZuBM9m/td jj0maYVTkN3acFOW3BulCxCIn8QOOB/0yNEmgfKXLJWUAMNCQN8SgQkISFmy6Vj5Vud2keLAme7K 5yM584t6FlcMyW9/jvCQlHn+HgAiTbez13G1uuu2CtRAddxigsBnErGT6BjpDjZNUI86kWvlcQlx AVg1Qa4CTXVgnzJafReZsu11g/ochSnL3Bqg/alm95l7Aavmyo0fENV6vWPHNBDIQgBjfJrCSBzi nVs+3FxNJgUL/KXpMhTYICSfppCXKa2BKYOy+bRYTlAEX/nMBLi0jP7g/zH2Zcmx7Eay/7UWmgzz 8Pa/sAd3j0AWWxk8UrcJtKubrCQKQww+KHTKP+iYo7Z29XPoIMlPCVaTMIWC0nNQ6IRVyuTlfC96 Pig6zW/8ZQaEYu7riZNDy0nohlLC0/KUc7du9TgL4m9zMF2lPuspgqbIYBoK2j5456CD6EM0U4OS SwHOgtEVbCAGsrvrhje3v2+k4UkY75lPH+xwan0Js7zB8Ql8JwHh+c5+MyLO+bQOPq9xU5PA4Lml rVi5EdD6pntkdJh/8Gx6jZoa5JYFApO3e31whRBGB5BDKjqwLh9FNGhgwXD9rfHwoBA1vcZMDaY4 CJZWky+nk2QLSgUqcoEh+3mNmBqcjXTFFWP4ApGGBnTT3RrNDs2nUC0Tiy6xuWde5YtdTABUwQiw szuYH7NQBpLGBkfONzjszSEiRofmGeWy6TpLqQ3IDpnJgkHnXyfoBJU8t6fMgedjDrzr2lt+gQtP v00Q6kzDJ4gBO+Juqppjfl4jpZbJy0V+Mq0Zfi607kpVRZ6cAFng3tb8vMZJzQNKCnXZYFE3Klgn PKWfYgMtsxDBei4E7AjA5BAauHlA57u+rx96J+fCZaTBwskp+R84A7fPa4jU0i1X2sJmAY74XUzP a4DUFFdBmM1A4EsyQzQOyH3ctpzjmV6Do5bZVKRNUPLBNtdZ+z0lSXeiR0QFBpIyz53UWbW8dSVY vuEj3icnS+7dcISoTxkSYu0lnCUAZZ/XyKiJZ/S1eMCBQXKv2XkNi7i5TjbS8p4GkV+Gjjv/pH5h BaY1LV+DonYlc5pATM1BTGdxNHSROptxoPK0RVGhTP05dkn6419Cn5bPa0iEs0eu5HkXHwwPtwod K35aPwH2a0DEp7fNTpF/MLzJ6SpNn7RgelTyLVJdkvTrsuv9bIqrmFOHt75fwyGezUw+BMxp9alL jg0ExaZEBRyEOsrCsG+HyDtuoAJEjUEpEoPr12CoSdMPbB15lV51gfNyragq2Vf5vEZC7dFaLGxV oknZZ7Ld9nmNg3Ci01ChrlKc19Tsrj93Ql/VoQHQVJftRDA/U9rcQ0ZT40HknDTkZPpJFpyMHlmR HMQM4sbLVGawbFZ+CtH+yizXgsBK4KnhRU5w1ROLdOg49s9rEISza/rhTF9ASaT5/nqNgHA4N2T7 w+YHZCzj8e6+rfONeNprka/RD5YPuagAcPngrcm5wHRNDBMzevcF0U/DMbyATsztK5omE/w1+Gny +uokAvtgnK9zvCUz1sqf19CnCXlm+4sTCUne6svntQaJ+64lanckO5uhO2cFI5EFeDYXb3K/1h+x twnsrpLDrY8cLn2lz1fKSsj5iwrEThBEw15ngW1ZoBnmqLdG2bdodnjslMx6CAe1kE54t2W3XaDe F91cyc9mxspknC0qUmF6XiuPtmBR+DA7gHOYukgw7hmDlKDN4QI54emsY1kinc1FOoGVRNyzlYvh IKoUfyngpC5UookicbSbVDSi03mzLMuoW4NaIumEm1J6RaHoteL46/BRIfds1EXhDrHvos1Fn7te sgO42mgGA4Qia7IJ2uCgGFswmiFWsJv6kO32IVGLyOf/aPh39tQA9A0z1Oqu5ig5irNP82xiN0ZL iMEP+i0+qCwLxxMFxCdn/LyT4LDDzLtVc4RX4ovaHEXRM1VMN3c+HcqudGlCUmkH0ARS0fg4YfC8 Xuk4WP/grJCOs4BX3YKRFCBLkWoW1LKsU8vI9PNOgWuOcGM2bYPdYICoSmm78vEofG5fM4TIeSj7 Eq4uusNGYi7vTX94QBhjiTRkHkJNFGcibYJTSEzTPvcdbIZyJYZkEqEMYFIhzSIVyvzjkNzrZhfK 5KP4uTO9gG+nD+qpoSw+RNQCXek9gAb1f3/d8TSzLtmvsHfqW1NNKKNMakitBFbXj9NNu/f7R76l 2CiIlqBJk1RHu1IdoAScQ3SJuwypIhZ7ecufLUPwCA9qv8aSXjdIwYiKODdm8kHnx1wlyX2rAE4a htGjf80RGu1oWfgcvcfRAqyAttCrzdFQ5j5Y6XCr7UUTESvDRnPEq37NfQfLwuCZso0deO64BPlm YgFAZm9kOzv4j7xdvu1flxmYChxQrLberGHPz9+cUDYL5khUK7/sM1Iqoj1skqJoGhI6KJA2Vw5M fXi0mLsDSQdiPMvk38PpzO+Ym2z7YHsNuhXnIif99hxZC17t3mtriI0yBU6tCEtboHfaGw4GAkhR YfKBIKR1jgWp/9DG7Z31xtzh+zSiZzwUsX2SwpC686YvyyJGQnvFvyk7eZMfMFNNURRRC4IEJJYP nrA25KiqLAJDmuRKgV2NwK/x4HRHSZ3XUUTd1OLXQcbBqFxwYVTImPfnnfGGZdR9hhhAAS0PKrVN UBRSgx+PVTS6FV8BeFHx9WT5XnzNaOJohqKgeiTVgxRUryeoXqhmyT/qBLGAIXZa3pBZQmNhCpzK RoFX4eed7YaVKplgYCe6E7kxRWgKCXG9wZ2Mwuq+PTDCvwvqz5jlVsze42oU2tTbn5ZsoCbhdfxe TdH8ZM04rlR3jUJrHJ8QK2UZn4M3GScxfyy7tjTOTmsml8seNq1nbnlamMj30NqIk8BCJh8E9oO4 u7y9O5Rwoth6bb/UyBugz0wp99qPgmv0mFErsyo0iACsfbBsRtYZy65QzrM5CsNrAkbnZPuMg80R GY0d9TnYS0Fkj35vxIh2BmZEP1gJf+ptozlCn6tCKN0GnUUnoRykX1WCH6L4WvDgRnEqXGrnYEdn 1gqLUXxdyVKW0y8tJcdNXpfXPnA5Ws/sneLW3NEF0kk++GnNduISyg+cERCYgRnFisC9Jps7Faap z/nOcGMlgmfQZhrCQTcaIka2ceoJsj/vBLf2iAWf3aY4s/0QEmAzFEXXheZSpQjnAJlX1+Fobgpc +oRasWboPbrO1nrlLrXB6mf7BL4wm6A0FzRecMiCt3UWPZVdCpCjfhSxvPjObmPxnvhHibtXwpSU w9ZNOXx41+X5eWe3/deFBpJxR13cpigqT7Nuf95sXMOSXf3Sb7l5gzrB0F2TFAXY8txsnSVYDhd6 DPsfukiduBHHNFuKFbqwCxXwk8bWC1uj18Q7t42hkSiAbHQM9GR0SkPqgwcEJPDX553aZrWIryos PYnLXUdhiE19oLPfqh/Y0Ku2OtraBhSdvbt70ju1ramFBbKWzF3K/r72EaEPUttyPklnytJROqnS YprUy8XOUKvkndjGZB+nUVHznYNdamcd8Ws5+7h+3nlt7TFMtIWEc7/fEPud1tasVZsI11Citpqr dKZiFuUnmV7NIux3Whv2OusgAPX7YJvtBEEZPgFkuS9UqRv13aHTN6ipDCSv1WJln/TOarudstnZ meYgVF9f1Q050vy8c9pw764bYdNSmZyM7gWjd0obtyhdAmgcw4rRNhMu+G4m73a04pI374Q2XKpU +2lpNh9sGbVz/ZSFktO55WsTGA4aeBC8BuqxwH6CXIkNWyu9bRAbkfknMUUNhnxMrRhaaK7PO5+N Sz35HJE5AbRkm7eq9s5nY7uVANFs9dc9u8H8z4Ekx1Kms5dH+s5mY3FX1kl9+eB1x7EIPu6ym4D1 LU7tDkD1AtEx91WfjtnS274fSIvMmrmkYypVd07SmXP50p+T6fNOZuPx+11Zm1sFFp+iMMaW92a5 qCIqtgi4nvmxBK4XLGWdR+9Btn4TIsfdfPC7/9z8JwRmUxEZXFaVEgxiaW+sR8CcS+PzTmXjFEnq VcRIsM3lRo8Tb0oT6KSAn3cqWxMD9ekrSnO63ostLF7TkSPtdssidP2SbYlqtthsC3V1raP3IBvN oUFQQ7mDz9GJ7U6eM4kzRh+kUAJgE2UGD5yMQqvV1gZxxu9UNqtrgEtTig+Wq+1Z5TYGKts7k41Z l282qeIUyJfedRQF2Tgr0Zte0gOCSE+555H3FyX5q/PoPcZGgITFsVTCXk8JGz7U5wRqRKyfGRno wTIPQQcCXFj0UR3+QVPydw4bC6xFaewdrHCE44igPJiVvVPYWEdcX/0hfDsm38LudBRj4/KEm73F 2DRLck77vr72iIHVno5jbBaAMoXcVr5CbpAyOpe9OkTnayvWBiCcBHjzH9CnfZ9Ne9ege88Acq49 fdBflVAZV9i8y+edutYe6Wml+12E/9u+D+EfXcKu2yUBIUds22xXdweam8EwpyguYRe07ZCY2qAp Ahcyg08L5B7yjDLp9TpRTCuoxJCv1Y1BY28bTVH/pSfl6f6CyqN6sOe0fOet8bT2yGgofCTMwUsi 77w1LKJCiDqVaAiWLc3dEs/lvR0sW7KDQN+Ja81dbmdjFMnBlhE8lM5067heO7OejWUEdg80yQdF 3g3cOPS2QarWif6AWpwNyvcHlEnEninl805as47GF4oIL1DLuHMUhdgosgOO0A2cvqeWDnuNF5wO zQ8Sj98pa7aKzw6r1N/k4DMEi5oTDSdttNkqgbSoY6CuBV1JQB2UzVJW/vPOWGMWwjo/sMoYoEfK Gw31zcEizPnF5fNOWGsPrBFCiYptf8z8hnMUhtiV6exMtowSSbCcJOnyYZI6cf1aRlERO1EPYRcS jDjYJO2zGtvozPgLvv1NHO1EQS2DC55vRYQdmc87Ve0makiJfNBhBAguKcQnQyyfd6IaA2w/rblg cI3m5EWjd55ak7wdNtryOx8UBNtofQ67889XP6zj+E5UY36A4j1lT2zwflqbFPuRZwk4QHLFQ5eT txq0JrwTwhzknabWJOcFITJKTHNwrPWQm9vJA+rnnaWG1ZC/832Y3LY2vfb4TlLDh7JpXXdyyExl D4OpLO4JT2XnNnDsO0uNtU920nZLPtgUwRBlNBRlEV4vMCzZLQLRiAKMkH2/lEdCiN9ZarxecBjB sNAHtRzzyWDFUluT7/gv2IOZlsAUfXtc9M5RY55GT45isKu5TL4GsJDslbW0HPH5zlB7urI7CZXG nuNkZx/6SkDW0h7obLpB6hF1owaNqkETsGyfUiKfd4Lag0sT6LPjK1SHB8DJQTG9cyb2zztBjZHn d7cI+cyQWTKnKAqvG/ogU26JJzBq3k87m0++7Q3ItOXAondyGltFOKgHYK422CoaHe21QrcJWLci 4We2X+Bp32GiMLy0lhdKgJ93btpTNSqJNBkoHAoTDYWtoarRCTk+79w0prL5a4pIuoHMok1RFF1T jTrVamkHpZic6ogg0Fohuzry852YhveXuf0mt4HDbczCdwLwfcDQcdwhPdsFklEQ3sKvT7dqRF7a Oy2tiaoCZBHDbA46jnpftDeA023+vLPS+I716ziCBlVb1Y+jd04ar31zAMY6ojARHdGFHh7VgMRz iYINiGOMEeEKSkKgp4tAhxzOSR3o1XqutpPiLsKrO/R8FpKzTNasFtKgLcA7KY0QBraLKk0Udl3G EIaRO816UP2fn3dO2q+OGpYflLRPOnvTtHdOGpZfo9Im2OoSQupUqcGbk1pXpEeKXknFkf3OSeM6 JrZaTcf21XSE8zuWklTcQegnxLojZF0pKdi4wVGztw3yNKpJr6QYO3mMDWHhLSDoyQo/75Q068hZ JiuB7oG77c5RGGNPooer2OjSaZWryyDelRT1VNAI5DKKqthNGlFD7bJx3W+gKXNiJXUdz56DD7DA ahtiC6jUiXydlKl1vWxwZBMrS7CtDYbGOstRW+2cWJ93LhpDbE/2+S+jQQMlHZ+i9xAbUtKQjiT+ Diz+vi+hOO3kLP4KEAh5su9EtOYUq5FoOMXBG2rnxjkvQqw1lFu3pqjjEoe40wkh69XXHGQyvBPR mhhSEDFc0wdd/HQhYtfxnAmfdx5ae+h6hncknPhm++8sNA8XgBy23APoOKs9ZjYpDCayvXn9TkO7 czS3mo77Nh0hmrF7IkflxI8AbxZpbVMJGjZINHpRNktWy+edhdbE+wERZtzhJvzSJ4dt2eedhMY2 wa3zE5AF1419b/53Dpr1gze/WjXValreL0oXAbGWOwO9U9BuK+RcbXfwkgjajgOadQBkASk4TIaE S+Lc4fO2i5hTf94JaA+hQZq2JZs0coG4R6e1SD3f8OedfoYd0/y8lq5mpl+AT1AIEWHNSC3YTm+3 bLd+3827jhvfrSYohoig3bgkg7geGURYk3fojJLwgSRtZ/HTAFNHwbPvfONrLaGofI1s9V1mbFbZ b8Jc8p151h7NOm0zenfXCzR6553hqcTG9XLOB5VgpePD00ThtayAEn/PX5sMauM++CY7WWymZxSE O+CfNGX2Wng6V2jI3nOIqNB3zhlTdd34srlxbh5E7s5K4B5ruM2i4Drn9RUVIVzuD6WqhcE1MTSN FElm+nU1Z1dPujmQUzWmSx+2EHtdrFQ2fbjgh1EBu2oqh5xTh2CtArvWRoEK5rFNRbWktw2iayKT FnzjbDDwA92S8XHny8DjQeDYf+VotCGot2DUwuiaiSxxGma+WZKbuG7VsZnrJy+qtah4LdFa3Cs+ eCJ75vdch51FtYTFyd42pACwz84Xg2aGW25ro4UIEaqxsiNjgzYaGKmSx2hl4PGguf9rHaEe3XDM GD0mRGBnAPj7cMWH5FDs3Vdztf80vRrS32NrSZZOtFKaD16aPcsFmBF6SyJeNwYNq8cVWmrzsjul 9d9D7qJpG9U7aBWhwaImzwlO8HhA/7jkMzWUYINSL38oiqyRdm/YItkEybyNag+FaRsKagvCD5qg KLAehAyfYLD4YBM0SqnANBRZa8yTi7BqQrvEQa2J7fnZpCJGj2rXlfyhKX0sDpygeeIJEnzwcROP B7d9uzVH5rvQLLlhdQ/D6tyl8zAvPKQ78qHV7OWijOyPh3WPStcjy3aEnhkcHH89WiOKhrkH1MpY LurQ21tIQ0XMtx5RsdeNykVU6THNnoHigThECLSW/vBW8HyAM5rf6OIMX6g+p0fWPSQxVhbV6gWr pTqcSJ1cehw8q2l2ZD3Gh0i4bzUfLIudJ6ZLPSvTP1dObvvR6sFZVIhCcpUHe91gJSHTR4+xaLAs 9rzr3qxRnTOv4/Eo0/dJYjcgER3vd1qPqIwQYjyXfnJYaGUtZDEqGs50yChcsOzY/46sR6rbBz+t U9nngs2UX51IjFkLWdCPGGCz51IffxaWHXtUvQaNH/WnqQHPcYd02CcswYz2xPPBFN3TaHLNjfMG 605RGFkPtBjVv6cBSffAcY/sDgg0pdUURegQ3DW4yXTpp+fSR5YIByoe13MtQLDRsG4gPHWSBfct O/JawocEtz5lDTtlfBP7ogwey5n4oX7sPPON56Ot9l2+Zp+qPFMUlq/pplGoO/sfSoYwzy+IyOAn len715HIMc/vcXDdfl50V2sCsSVnNWQXSOZ5NrrcQj0J8KlSZ32EMqfeNioXMazeQqttv/QBOm1L 0Nkx8XgEVvtu6k+Kt2ZvgvT36BpdokGuFVX91ZzNZvV3AlhoNRMcci64s3F5GEXx9Wbm0Strsxwc QLMB6kvkg0ASHJc0arPoi7GJkOGv4g6S1V43utYIvW7sWnMwJFZFo1frduLxINFv/WunUT94dYfO 9giBjdRq77TdZJMulCyFoNxpJpsN3jY6riNoiEy3e1MPpDFoqXxjUKj7ErURXmy4uAZoTBOYR8S6 6x7XYOBVfEiEVaO35qaYyvYS+Qm1dp/sb5YTreDxaBWtr+OaZicnNLuxYxhfNzZkh660vTIzkM3Y cZmAwckem09RVLteLPBDlMgHrzmyIL+z1OdOdDSoPtcB05nUosCKt5ojmTv4kPfDiCQb9EC6D7bR AJLiRptl4vFoEV2oGh/Fpf3Te/PjaEQBNrLr86ppGwT7gYdMclBM8nGbpNqIitfcOIJI2eBd6zXa KOJXd5j8iUxbIPFOT3dyH8kGgbqr3jXqEzFwHEKqDUeqAVXXWTOEgyMeDwLsBzlLJRGQ83H82QxF ETYyAaw5J1bDEMTlU7YwfuA6oB1mFPQIHyIiyMBXY4Nf/MgMEquvIDVXCFxTdx2wBzI0oI3kQmFo 6uFD/iChn6uac7XAWx/qFDVEpnI7wCyF1evlTGL2uIEtGJdUNGIQNn01Jc3HSZrLi2qJcoKKsle3 KHtE5WvwqSY7BBxYghnIDBIhsYsef2e9AJqD6GAABQGnZARfV8H/bIKBzwhwoYMa2ZicLqCOwscB zDLDx/MfPP6vmhGnCF9LvZyZEeJDurSfzVLz3D7Ta0b1Cq7jbLKdFhWvpcIzJ9mgc2afoNKh8dir 0MUdohUya6EpExqiRKrZtX+i9IHP+KvdeFZe88GKaucPMAutsfF4cBrVX8Ej9lhJdw1F8TUIM/DQ tKoj/K/tKDohmhWPoD9gZdkRxddT62ITIjK8404pjNrgF0go3wkdi1olSScBKqXz0QoBLYcf8kfP GtzwxQFSsrqHIJdNMPZJFzOe/9caygaUAKbdr/0RBdjsLeGKdy2eklqxw6hSBYp5Grh8CrFHCMAW fa+t5IOTHU6Aff56itHjkIJUCGYJK6chs0Uv1YvXpOyPEH9NgVBwiHzQpQZPRVm1n/gBj0dH0S8Y P5R45oU+jBB9zRZIJXdZVAeKKXCK5LDAowg9PJuiCH4tJ+Sphux8GrLQ5W+FAjosq50/jcpG3LyN OsJ5PujrqreNGmkkfyqh5aCdBjHqLUxoH3g8CI3WtyqGeZjcoyhEh2RRQKtd+nQllWSROA/MZHsz fPqIwuspjJHcCqglh/AAaRoZIDA8rhDNodfvD9UMscIBZ4fRqE0QLhx8RhAYLStfdx8MzFflOySS 7Aij656+kzSUHdOFYY0wut6Mimr3CXoaIFRHZFSEzWcTFEbX0rFapKVzsE12cvATng4xQCeI1wU3 fsuIIHHHFSiy2GG9GTqOMLrudKgbhBUDlIbyDc+U1kHAPT8iT8YvCHL9sr6yNHxVDYgOm6MwvCYm NM1q5Nhzc/JHiKrntswhcjCI0T4Ly9dyWklqcaQbOyYQZVHk6XTLOpMDANaZJbKzC6gxhcYYTeJO Ta8bFNa4gEZnQWSAWSFQOSJenvVU/8fzARDrl3QasPAdkb8maYb16ypXX1BIzdUXQCIa1J0bLunH yWSa6f6Mxfd0naXqg2P4UZQtVhIZkCUv5DnA66gjsB/j6aWRKDtDcIgy2UkYNged13OmsUypueLx qGZ0CyIEYuEgmpfjOKMAG6SeE5oWXV4nFa/TgA8r24/QMAGNnjMUchzZ/xmF8nscbn12QOapylx0 AjWf5JF1tiXEQ8QCVXmWhj0zpjgKNzPuYDPUjMN0dk7B4//LaTROyNGxum2CouCaDSBonJrGyp7G 3cuwjfGyGsy5TOQpJDhWVrCT7vz03Pk95ZPLQOvwHEeQI8MdfzYaTDUXdf0oO2tEWaoazH9UsK8V 5LWpXejE8hBeFY9HU+SLqKgPAqJs8gL2jKJrNEbPvLgMzaZnghxDhtuND3CAbYrC8jXvMuaTNniy f45psJTkd5xBC8E6y5XBI7/EK5e6iFObMTKEuulLIivLRVYaPFiJ7DghaMbjQU9/enQt03ZQmYeH RTOKrsHKBw5hu1XImrcPMiW928S4MtjsDOmN9Fbv2/QfHqfMlcFosLBoto5fxjQW2SwdPjt1HKVl NOx1g42WlL/27IM2WkFHinFR6RWPB93G2yvSKkKjoF2ew4zr10SnlW668uctZrXYsVCkTFzitMyz YEbYEAk+4dzxwQ+jc98gtmLbGl7Xnbn+LlSimYCGPrEj/R9nFF5XQoulq6HBdlrdydQxxsDjQew4 7xwR1osFhfKPzVEEDsH7nrOzGm4WOb3HRnUOr/GjqqMZiurXqob0ZdDidA+jTfNI+g4jgKms80Je HqhXoHYRMTrZetu7/kmSHVY4YqUViX6VqRGwDK3h8X+hHdmezJOr955FIbkRDCR4//yYP0hzG5Wu 7TcAn+nUJE78PZFeKm+yPu7gyGK0sMeaMluFYAzzDyqoQ+zrh1R1L/BvvWuAL1IzllarGoy1N8Rm g0sfH4/QIX4W8fKDniNqVzZBETpEyIe6bk/2Nh6hdlItA8FPPkVRgD3Y0B86kjjcAJu5K1XDzn9Q 62fUhsAJf9o5i3BWW/ma+PQZBdiNfYuzpxqHam5WUHzKvJR/YHaK54NJqt8UWZiNVXTvbJJiauO4 Zjw8lVJKdqUNobCoZSQUFjZaKB8yxWmkSQMHW0ZrDzgVdUqs5AXSBgWfAClmQpLzdVnNY9nb/hEY ncuwNh+MLXMOOHZE2ln9eDwKHW9HVt70CwvZ87QVhdeJ8hh73uNaDDVstUpbQB1GOM+5jlaEDxnE MI6S7uBArAYz8kk/tQEqdeF5lWGq20AdROXP5DHE2F8hPkTc6EwW8fI+AkQ1TlLA7dNqxeMBu3F+ Z2l507DXT+sVVq8bz+htAiK7T8tk12ZoZgIizWrXK8SHSFtl0NiJg6GKT85a4WVh8uhgzdCDhrTy Is/dizCaW+8aqfOxEzuX9InPDKuYer6EOXRc71rwfHQYfQsUoyTdU3HI7Iqi666SWnNhYshbL7vz G72GVLpObt2wIoCIsv0ppuzUed2YooECM6SPDjRWX8Sv02YE9J8fGvB4XHRWPT4jKqlh9Wxk+Dao HIJ0jdukgkO84uj6+yg6OdFP6xcPuqLgGmkA9T2SVR3PvBgkBDrew9EhaGXyLFoh8npm7zPedqOy 2HM074rDDFcawi5KrGyROZBo91t1FCJ0heIh0J85a2F3HzRFZ4Ko5AmAXsXjUaL/TQOh/0vHDWBz FMXXwFmfRW+Ah/PX5GnokCn9MDo2pGF12RUyG2USWnr1waPrBXoVS3wN/i8Zy/38I3QOubZAivPT mg67+JCgqKa4CBQgILHwO3kWzVrMleAc2hnPBzvtYaWxnA+M6cgXZrSi+BqGk7uXoc7H7rLGZnRd TPKRZHRfRlHxui/ZYe/pg1eMEDumRZDRgq4wfBvGpn3hgsR6fupqzGn5sn9BaHqm1D4Hhde4iBUa nZgMj0di8p7rM7pGeJ+vdsiKouu1qDzTm9f3p01RFnFMomED4DlNUVS8TsMO7OKD8z8RJi6eOG02 XD65krlHF+PzmqBee8GIrU58SDBFg1PUNEVtmQE9mk6LmonnK2h4PBKf+1WcBVB534bsisLrSr+G jvBXxnJ9ESmCsJUg1qxMFl0odvZXDL+maHoiPISDd4pWP58yySaCjshZqFPl2XHyM8QqfacLD5lD rxvBQtc3mwiC/cz2T6o8eL8BdIrHgxP7RkbEap90dDy9tBXjrwnia6a7T085FzUgWsOiR1TXJX0d A0S4x4ZK2OOWsHPLBfiouSRiWCBDizlCy5hKhnlXh2IVnGp83QDET+5Igr6xDSpgl9E7y2KtDb5j 1Nn/VcAG9vtJZFcUYK+kXtrojuKfrjrbBGFrOrJNdXbFABFqGZXefbhHdoP1Hhn7aKuVzYJIB857 InXJQCJ7cDSG3vZPxlUd0wcVjYB9EHg2z4rH36do5WtPwKY1csp2MY87CrBBFjlZq5l/nlB7XGFe doXVKYKdCUOjHXIbRbUuhD70cqEP0IgFo5raxSfX6fBuwjEEhszQ33w7RbK+3lF83Vgy6o0kGw46 ryePIx4wg48HZbUnSxP/U87sPkPvATa4MkTxZ5uis6BWy864IqTe5EN8p+1QPkSnEYiLNtyCCA6Z pmVUYRYkDX4A+iaIIAYP0aVGDf4dYrApY7ioGaHB6OjwPOKJe34rHo9kDL0iIuo5LrR8tTB3CMJG 2JlQ97JJ6sV0euAPnl1+rkBnS5MUxtgGnmXxlYNjaABrOZkrpYzKOf5XM1PChURtAsx5ITQQF8Bn RHU1ys6t2nzQ9jhbduniL33j8cgJJH8naiwzFL/VdshtBHR2yaGxyW/HBA4gL+9eBcA62Qz9jQ/p Ijf2L3Ijv28IJTLEniecoGjYBHx6UB8DggTeKeKltiOAiCzBefLYYCXsE4GxDnliAb5jpPT4bXWR dZfeVRQCsFOjwLy0MUB6cCH1trrRZUD1Nn2+HevzsWiUxx18hiAWc4I05rKg3TY2THLbZElRG+DW 1YAHw2dECBoW92fpPmgNrclGLU/uisf/pR7CKGqyxn/tHKLwGp1QSIZUX0SlGh2kQFchOaMI21ZT FKND5IxK+Yf5SBllAHBWpcBrA0cTxUdOEUUWURSB3IeB+KmouqPydapyQevLB4M+NFpt6QbF4xHI 6Gb7ien+gnbyXUQRPASlKWC+jKaH5qxjQhLtzL32uIxTtEN1PiPCWGXkUZ0d8I4fqLngKIIKsgrY MAeBxwwM5m/Xune97Z/0T4rQaFB5tuOKTKqU8fEIZXQRNFIPAYRn3nUU4kM2zqJGcT6IquXiR9FY 69qmNErNd/6eCBTKgkgVYq0mT9PKnuNEX0Og0BMF182aSUGS1TKcNIunsoV2wPiMiP/JyvV+Bjus ccqTIDvmxuNRVe0b7lj0Dd0JisJrUL9P5LuSsa62nUlngoaTG0ciKJcTFAbX6hLlfAe/zWqDIPxi Kw1gtcZVlhUJIdBcDwhrT73rX2oG4OL4YNss1WSRDk6iEBzyqxyy0BfmlaoJimLrRvPvzE0td93l uJlk2mG0luluQ7wjcMi0Cr+aIONpgqCMdv5HUJ9bpwq2KrOgklH3DRe3B0WszO5YOESODgw3OSgo 2hU1LXzcidPx+L9050SRHcLJaYoMi/P2qZPJazLlAgSRxX0Hd7fs9oSQMAqW73cKw+tGzYfMslrN XlYDneicPWnQgRjE1o1WB8xQTxixRmON84JCibLkp7xP06K+ymqSx6A8pcxQgYWakinIk7/gX719 mWEBm/wYFqRQoY9ioSUVgUEgTOrSGE3ChkDyT/h+S0g9xQp9cnDaw4dbN4LjQRcCe6DevGlUhCBy EVhB5rVp9TDExqdESpgkzUgWa1xZrE39HJkvz8zng0ykf285MDT6SuNO03uQDTuJTR0PAR8gt+KS /Jk22CyuVVBdZVuQQgj2UMpPHFEd5ZZF0qZGO73kzpcC9ix1sEEWW8Bt5TmuyMqSF2oKcSLiyULd HcR9EB8Y+EGILPPkhmMmf0EQI+VvRBa8RgA5uNMUFrMp2HO+WceHFrFB2DRad6LGdL3HnELPRnLT Sy/VB5sopGYnsaGOCFq1tEIaIF9l6PNOpKIXCQG/OH1KhIBkrF1ZrJW7AvNaxKdM3Cva/NT+ez/B n+MJxzVkhxuqKj5RUbiNMxRA/lv1ZxVMAD9jHsFcF8Be33dRUXuQVty2fHn248tzdsKEGz1XVKMR HO2v2jmDF7msi8AjFpGoD8JPCVaUDEbMOW+ahutJSs49WtQbgc9DCkEjd0F1lf7hcYcGuc9UWNY+ b3tuYTZCNljqEHwgxg9iIIZgW1gORS5hcV2bsWRPd3D0UTvHh+hEZ55O5F3I6QPg/4c4NjAjb0Ey bXvdCOZHwAizCg2aJ3SutKB62nw+ssG6fCwGWOfcGWwl2TTFzjPwAtWJPaG7Muzm27um6oQseJDY dRdF3pPBUCtWd7siohDFpN8QhfoLJMm576DI8kM37dxo1rylvNLsdYMUTgg2lJFtMKwfBA4l6lj0 /L9gtVlUmvTLfiaF4iIZ8JFhdsQnzMzTpulsQLFGAbDpyXddXN7mOb5ly7dd07hsNo1IkoGfwckM 1UriTBKUDC0Kj53YmOGnRBRR9QCGRPyIprddN5NpOTc+/y+xfoYQyFgptOjTFMXg9ObEBvPmf+PK E0DiKbwNJsXadpHGSGE8MNQyGenx3h2jZxDN2JVEh6Cx8ZQAJumQuCSx2qIC+TanUB+by+m/BPtr y2epCtHWBp8Pdt2T75KbjJAPQqk+TyFOe/GSI3dXRYGcHUhi/W1azDIx1jxFhW4ZOdVN3VkODmo7 tx9sVcntP7fShtHEwEGI+AUN85zbU33zF45qS6z6LlIhOWiiTigiBi8kjPj8v5Je1urmprGoz1No 9oiKwNlsVheAw5RLZsLa3JPeNH2WAq9HWBpJD4qYJA63RHkmiaKGtZ0vuzfptmSs5MaWap6Osi0U yeGn/HGIp6HTadzTCdGEdITa+ad8PmpP/jJbQSFvXZhtDu0eW0a+O9z/YUxDlmQYMi6rwW2Q8G2W QiW/LLDEuIPXBhCEGTX7pL41WdC+ePkht+jXDFw2Ijn2eyQGZNR2ByufnPUqzZpVKp+PFGq9a8JF q8W0rul1jj0fibYtIh+L8O8n0rmwmikdT0hEqkSQA9vHk7/Ts2d20mY52ERBpRa3nJi151oqxAti neHsRke+3Ikqzd73LwnWKdD23Ms9vs86le3E+YDB56O+gHdy1UNAdPmEmIHzI/rHpPfTscnoEds9 V9bcXrCcq7vzY2j9OFhKAdjYB5+mBNe+STu6E7lsNGgIXEaUAGgpbAZcVIPt7hxYP5p6JojvdxC0 BPILLCOfea98Ppim1b6Ppsa05bFYDVX9ZqUdjRdTUnOzI520qjeN4S4HOTB/TF5NWfkOdjadC/8s IFymOpsysny0TwDqgKYJzH08Y5EQUg7tH5cIJOjxcDB5FtT3MgNxaEnw+aCf+6soBwl0gDjvNEXi 2TDPQQtFe25vWYWjKrf69lmqa07fcmEYruq3ynLzKcsVBANp0ZyPNaemVkIug4jJE95iURlKSfFA 4P4ItFvnXqPSz/Sc6Kyl81tFbFsw7gvtH1PaX5MESeBS63WlC+wfkSTRg5Y7btGX9gbhclkHaWv2 ZRCcHPo/LjpBnFSh+uDRJfZZRscf0WWFFyQNe9EzGjj7CjGTS5R/1ZxCB0jKZ4uI0R8+BirhJ1Uh /+HsQz4fneC+lHgnAki2rjFdDj0gAQ0CWyJtI7d1Wg1hcjKvZuZ00E0wZGkOXCCzReHUs7TBkhV0 BJDOs/XdKCFcBMSBeTd0GXBmWTRQq71vhA4g5o0OIxoMxr1JUAEoIlU+Hyyma9wrzqnkge40hUDu Cf2vud3FByQJw3G34Q4RZzWN6bMUArm5jJpgJu2BmSRYKtSKElxtJxY8CR1DS8r8LVTg4Sl74Upp 2vtGgvVTCiTbB2W+gI7Lq+jEHnw+Uhr/DpqKWstPNBBDuRfppC6LRCtQiWzQbEEiG0gJbJZiKDfl j0e5wwXjLEjWDYJx8jqp3aJiPSYL4BwE4PXpyyV73YgTwM2Wc/eBs1TgLT2F5Z6Nz0eZyrfYeIZx yqoX15VDO0gc0mc11Wy8CYFwKLAl2QSiA1c26FsO/SAJjEBbuvngZZS0oeubZANNWiC3XCVmCcUX VJqUzwn6lkNDyEnFltEUYkreECcTFN9ZAullZT4f6f19ayMVAjLmYyYeFsMzJXA6AqH/sECHTMAY pU1ympAjkQ5Z168KQibqQ/Rdug+32tT77MCDQCkaOuOshm8s+AG4AKU1jesms+zQFDLTBgjKcT7o AE8QOdViKpPPB1WU+q0biX5oe9huOXaFJAAuF1tCZ88R343a5azbypiTtVftucAVEsEMz++sAypf 4u35PWdpLVy8FLQbxYpN4N+yt5nHdYkohPPwUyJFO+JDO7lcuQEDxKAZoe+iNk0/oQ1/QRSBe9Yr XlMRwsznKYSd8ARfpl97NtpyN+gtj1ohcnf3aYqR3TL0q80HP8A3fNY5Faj8dvTWUQufjP0XsL60 0/aLbtv7BqABefkhE+kqX3BZwA66EZsNcBmfjyhd370VWE60h8adY2/InuRLPy223NO4S2v1Wj3v RRlTEXhgDnn1bBPDaw5eHVhwz9o0GYWl32isI+RJOGMm7P5pis9l7xth4CVh35TPgTTAFZAzHdh/ QD6GSX3gD6nW/TdyYJPd9ayn0CCyCLWc3KcesvlWbTq5q0EGZ+N5qZkKMSjDFEru4CvqhBZATrLz u4DLotY/dHTYv6XizOUK+Pv+FYXvJEJ3uk6jyFqyBNlq4/MRt+v7eAIzoT8igDm0iIShVUZBywFx 52JtXpQTX4DFy1TuNIU6gOT+zcWNN9fdeLBNPf/PBKKByc1gFYUUFKAGWDj7EUxa0943QFnQOxvN aB8UEpwTdMrQ+AQHfP5fVj/cYYBE56v+m0ObSPSNIIWcDYgyr7ot2iMXiDK92hS4RDrQYmZWB2a+ 1YGzjqCfgDoPdt0Ay5v0JVQMT3hwZr/gf0Nl8+w6m6UQi0Ke6VhkeHFQqAMpz21kysnn/xccM6K5 1p+GQWgUSRVeavLZLA3bfID7Jct7T1DrpabAJxK/iAoKTeDKdsNL2MtBZnV1Cd7UNKg6mXvLoOR2 CEPcgKAue9vgpluMdDqjSw7KexuKmPwTN0/wUA3wMRyVHODZ6OPhdufQKzIVyf+O7JiU5mr/J73a zTEpkNSy5RTH4SIO7OWDEwfGOX/OZimEM5+oYNNOe1B9BKgh2kMj3ORMdXvhYDmxVbBE0b3y+QX0 dJoVnb+4Zj4fQXd+gXWBtekP+iuHlpEs2MMQy8XcenW0bmbuzh9P5OPC5Dl2jXQh1+KD7zuoWKCk z9IOgN+CsKBjUFEmq/WBWhL3nUPbSJ7h5ze25IPm6VxxMm9RKTzwjUQkfmsELEPjv8e4ApM5tI4k 52tT41gGrcWzOQjXGchpTOg22zxFoThKL9hy8w6Octq7I+Uh1RsYl8LmS4KVxFm+8qt8uN7d3jdC EhDYnCXhnqeXnPqSPCXC68XnA8TFDQpUlyugrN5Y/A/3SKgpze2+2sQWy2mrzavNQesQzdLf4O9a ZvPhwncArig0bFvp/C04JCAVB2kZ/FwIjzAwGPFyObSPnMmkONXXBGaJ9xPsIyXQNc6xzF/wL/Cu bEnO75s1P/MUIlMqKBbUiiBXl6oX0jBRLE7/8epki/yHhSQ7JpAktsH74wUGlXT9lBwLovYzT4AQ Lsr3IK80v1/rjwceks5n7ouoi46oXjL+ExEnN+E41wZ/QYQjmL9i8Y363M2AYxNJJg7jUXTvw+op CHaqwQxhTudIp8BGMtmF17Kc2vPj1L7YnxPlAslLhrgkcCnQMYN+C+T2LlY+L3vhqIYpC0kqc84G 3kFT+QiuVUQ6nSCWvyAymPDcjrUTNJ17eZK7wE0SNiX0/jOdciAJuufA3cRwz/E0iikI5NBMEtUk uhR3H7xtAJlYMHpYnTuXFKhNkCdoEA5i3wzUHuPvpG6vG913YuxK3H26Fl5D9Y83R92oqAR+kija emRwLTe/j6coFodpGIyjTXdy7eop8HCFClTnevHVFMFShNF9MSdLJ8I83zs97ecJl+hXjagArjcQ 7JXxlhRNVre3jTp1JBZIS3k8WsponUko+PxjPh+R5H2SqAULsUJmmDZJoSY3lXHSMid7NNjMKgBQ pO2FAkCebZbCUHxL7W0nH+xwwrU/2YID6RKaApWHE+iA0K6FGvLt1FE1iZ8SYcFEUNnTB5WdoFfD vsGJNTufj/Jfx4IJsIkbs90YMzSV5E49UUyvxk2tqOkJ08vwJ4tdUG4RM/aVXLK6S3fwWm/DBbe5 vM/dAvoc6WCAqaBaBJ/bfKuYvdkLR/B5mSWu6YOWEyQ6iYJrZ2vw+aDslH/VeiER0OuD6Q2tJSEV BOZXYurGYBwyk0hVEiAxZ3YwUVk/dv2qv4riWTI5+crklJOZnsCmUDigEW1G3twiky7T/BRGprcT 1Ze9cXQ6kTmHvpYNSRFTkefiz/lOJp8PooKxv04nJExQ5/eJCv0lcfS6511lqc4BF7DO9OQOp6yC p8BeEkogtHZJz6D1VCFsMsZgzlIXwn6rmwOCgbZBa7dOwMo2PyQi8rIavlUb3ySpkWyAFUWEOHEE ob9kelIWneGTYMQ7S2FVnH63oszJ67EaH3NnJjrihuG4tGkKRbrJCqM0gg2WA/cBy6FGTG8HVL+Q /3P+NswQAYbNM7uh0ClwmMyW2UEizQcrFJwvgEIlkC/i85EVjkdOPMqAbppPUTy0mKTd50mJutu4 Z/r6KQMWZA4ZMIwDfJpCMxxecqYYxMFOpxN+n8gcywscOuATWHrJ8PZs7BntfpFg932jorjmhwH5 hPODcowC2QLiLVAg4y+IsIX1O3DCMU6wnU9UqNVNbGGT7yaxhfhwxeISXSFfldBMm6gwFmeNYE5Z dM2rlgcxYtglJmbAoDQ00qRQGz3rCQgTQlXNDqfb+waAi6ruweg+KANudXQ53mfgnEKzyfxgMH+I 90B57oLBArfJc6QwZW6mHnyu6OZCy0iAf1yXMntfMzabVABe1GMpT48lQbP+XPZ04j5HO92hpYPy 08FMkLWS0l8A7/QpfylT7i4dr96uRlU2D9Az25XPR4zDy6FX+xc77qmnhH6TbP92nn//oT/OHD5N 61HMgymyQoLAcNJ7LHUSWcjBpqlDU2XRKRn5706dUIKGE2TCTzETdejAlG2vG7ChyMiA8yYGQFuk LoVWNwERP2fdN/6CfxV7mSwDWrgfZErgOql25Ia3qK2m2lyGKTUvjp9rKjvLJ7CddDGvXlkY5+Bx +DpRQF5Kf8HHpNzyxvb7gR4z+yN3z1EULgfGkzjDGVqSJa5BkdM6fzAL2ieN1PMRyOkbC0Zv0Aln R5+mUF0QxTbo25rSWRqEktOqC7Gh0TIKAmmbqAiaUomEI2lyPtxJYOag8lrk1XVCZnQkJ+mH6LAA 146GoSvmiucT+E+iXsvtVlhO2XLEsbPJbqoNmk9gQPm9mkjKIOcmX3rd5zVu6nKk2H1vW03nhHHF qkobcero1FmssfkaNXWwJ4swYNsHW0ugE5xErjBb6ThEBOaF+0CDKAQkei4VClHTa8zU3Tdwn2jJ B/1NwNZKDe8s1s9rxNTRwNX0VAGcIHeUycUgYCiYnEXNs7an8eiQPGanHmLDMBCfe7su3Gu01NF8 kjblrD54kgJzrpTUHD8h2JTc5wnL2g9BUBnMGPNaMOj86/ycUKNzfnJOPmh+Tio3WdqCodnnNVTq KDIVmx+BddF1kr8SJug1UupqqZzwaMxLEku7+frJXQwWpCeuLPgaJ3UZMiPcJvprP2ZvUtqFKAPF ciHKQ+hTx+lcNuB3+8KcedJ/XqOkjoNk0Dtgdh9sT5xDQgfRWfyf1xCpPzJV9s3R+5bwXUzPa3yE zdUmHUzcy6R2r+iKkqa+HGjvnJzX2Kj7QQ2Khg+2es4mAlGIvXAQe6eYrRktqd4plX+rShTD/rxG Rh3lEnbAUQ/BAGSv/ih4gQiLunr+vAZG+EP7/JodFLfK2L67XsOiXv4feGpQ5rZ698zN8HF7ET5i WIFsXYHXoAjfDKWooVDrg9/2aHvXTUjc2f7wrWkUOUMhC91nBJO+t0i3+ryGRF3MNSDjd/bBAHGl VlZmYN/weQ2IumkpaG91nVM/RFtpel7DoY4ymSACw0QFzm9xF6U02uoOEUBQK9PSYIKmOOHJpJbX Q7Q4iTWbGpggkL81QR2FWOrbFWxhg1LQ0PHzGgzhpGQwhMawD1YfAe2VLd2+yuc1EuLTvnzUIz+/ ZCY/e17jIJzogEakqjIk50foZaAqh2BMrCEtj6tf4yDMD8U6B74WG5zWBIrIGkPyZhtyDDSaREQ7 yKtCb8dzWfkqRPsLE5MZ8WAAJll+pSc9lq1CPxHY5zUI6g/SW3UCY276AnqNgDr6JNhV89qXqDjC 0ycPty+BMKWJB0dHswyCxCIcD4sw5xNbFfB2iRJMKy+aTA7I1y90Uyh94dE0yeCvwQ++AiLhF4XN NGj5QLOQiABQkz6voQ/fsHydPqhAk4ao2XktQWJ7VWImerKzOZV2C0a3J5nLdOG34GxOdGzpUsTt jyLuOdxOdAPLMuK5xuLMYTect1rIZyDbdmFvjbpv0ezswoIQ9xgHNZBoo8rKRoF8XzA7/d5cRAGi 8zMW8wxMz2vhEQu2U/Vtrmnzs5Ibk5/NZafzpLWshCnCyEdV/n0HRyfByZ2kpZNkrNYSTkl6KUA7 kIcPxP4M7kYDpdeSI74DhoQrSwQ/uwg+zIaV1bBM9Fpw5N21bH6oiodu3RP4vNPgcCczvegUa5E+ Dlc4m/+pbgPdTHjeOl0wmiHWx0be2Ydb+TgJOy1boWfWTkZGbW4KCywUNTNyRtfDbZIRiJYQaxV5 0Jycg6qyY1SaU/2cBBbs02iHzV/RISoIjaAWTVIUP6OlDKdhU1Ueeyy7wMpy8NaYMjAlHyeaIsrh DnWMxtMxwuGZYcPBhjY8YlEdxw12Jm2hfoeOlDdqaeTweSfB8YhmAgYevw06gyaYp3L8qXw8CKBz +jqi4bo+lH5xhqIAGqJu0PIznuDej7W9AN6Vpi7Vy9ZR/LybYsN8B19EwEBy5UDNDH8C4yQafQNc fBb8vvmFUvkogO7cX3OUOwjc1qpB7M8IcFsUBY3+lYEh7UMFwCcoCqFJ502w/3DwCP2zuIgSxcG5 iMZ6YBHBSd2rBKhYaOTgMG5k8l1R9EnYF1xRcM3DBK1DLSdrEdk9lvS67/usc4MRAGuDDhCUTbr3 Wz7vxLcu8rOd1bzK4CWb652k90j6fCrFFUeayybpZPUXiSQ9/EYaznQY93swDdtl2kqifG+DdT9y 6ai+blG6IEJNu7dGG4XGXuUy/F+mGcjnnfbGs6hJUKFzQInEerPntmeTfpyP/rzT3ro8bGyScIxl 5BFtrJvMRwE1+n/Qvm93KalNi4Dx7BLjnQ6kSjZL7xF1Nov72VSzbncpAT6IQhP1cQakzIWcgCsO a4WgLl8Y0qBB0DvxDVsa0ni54Zu0gSikBeYT6SV0dHvnvXW5MTznEYI19vR8ksKouiEs6g6uOfmB 969FPrYu/3LMSBRUw78bc7OyD14Qgn9YTZLoqBnWEPLhwqpAqiwKjrlL6siOouombZy2tw9+IvXG NQUWy+ed9Mak1281TifwFDV7YPTOebNUhxYK3uLvZRn/BnLO3uIHVkFTFAXWblgiqc5+baZO0nXi hooOJuqvC1cQQkcitM5lOQE/8s41MCp62/cpogtwJg7OBgNuo2jDqlDHoR2F1v2WzcitAOVulhsc vcfWWETUnEhGUWLq6iJLA9GavIDpx6TaaxReq+bBLWmD7bQJ/VhCkdAWahlxHyNw2paiJjSv7bbs 3N7Zbla8ABtQzaFltacMnmfnJXdWU/68k90QG+31lX2gqIi4xKcoiq87pyhT/lsK5tXNtkFNb1Y5 S1DP0BSFETZlqLZqi/upLUKRFQZ4spw4n5cE666DRZ6MIkh+qvhTbxtNERSnO84dG3QWrUW7F0KO 1ued6dYlyqwpUjFAuph3q70z3br0T2G76ZCjPIpnsOlau2RgJFRcDEPsKXG8Wn1w7H8HRiHRIWiu AXc6SlAW1HvAxZHlnarTlOl857lxzbP7mqhCNb3KgiVkCHEI4nzeaW4WOHxlIRl2bjfAfme5dTUk z53Z7LSe9U5Q647J6otiK5yg9wAbsRHJWyvfwbK0eT5hTHTiKrA1E7w9ZLHn6z45Pmqw0Ovxo4gl xneKW3eF916JgOzokeiLn+0EeUy0OhRL3iluWA2lfichM8O48QZH7xQ3djgoiyfxhC7hV9teqbNT IVHl6jal7xQ31vF4UmcSbka+EhMZyt9QQGbDbEENgOyIDm0HuNXBm+W6u9CQ/fPOcGN0JC7SEN8d iCTe1VSA5h85ztb+vBPcfoXZWn84DovHRu/8tu5mAZXIaJ3YNZty2VkDxZYSpCSt+/pOcMPnszkN 8R8f7sV/Ai7q1KMgkmDQjlutwdFybaIN0KM2AA0VS97pbVj3FB+rEpioLjBxvmOgB5lezFk/7+y2 X+VqibqgaJTvHEVRNstMaIduT9a6o0KSRGCZiVxRl3duW3e0/yh5++C0CNjTTPFHBjWWYe6DDgIk AZAEIvCygqxslN6pbV0GKZCfJHCNg5B95/Rb0uA4+cLnndiGi3f5eSTeEvbuoHMJ5yiKsdkUg1WT tTw2iIR2IhEUzoS2zWGAkHdW2+2YddVmOXjPNTe0IpDyn/w10auMPXw0MnGnsLpap6Q6cfJ+3llt bF+Juk1prpGTSwZuHHWMCfdcn3dSG5f6d8rfcRRNugRxit4jbN2lGWdE8crjxa/3vt0sYFHrgcfR e4gtzyrE1iJrtfSV0K5y4hjW9c8LrVZYFRkgsS54pmQq5nrTbOlt34+jJcZf2c0HFY4y6kb8caX9 eSe08fD104hHNqHw+15rUYg9GWIPV+U+P3bvRWcxqQVdx1TqNHqPsa/cTeGRzcEzNYAIZE8CKz6Y imI3sug4oK+89jWWppPm553Oxili+bFKpxsJsXzpGzR1uu61vT/vdLZuvrWaI6qYDyRpt3T0Tmdj 8kNoKO0IlM5KrJvlNZlwYq/tfsOj9yAbFpM8suVUOh+n0pPo1Y5bgXKvJ6VqidsRpf6Tq2Grow1v 5TVWVD7vfDaWNsiJTNIESn5kFzj2yfMYfLZ3Ohsenz5J8ss5e63vG0BGUXYd6k9n4/wJz6njqCXv wDYkqzqO3oNs1EXYdoH/og1WF5nnpOngpVKiO8O7hO1IMu3AuKLPq0FAur3sX1ttLN78Y43rp7CB xf0hxnh83mlsXc4Iz2mEb6fB5sE61GGI3dmiXlai7WO6222qw6tr7JyqRR2H2MzQOqEyu69Lr91n QgY1DKgNWLbRa1VnxSk/rmDSwJn4eSewsQu2dAhtH/RXARSUJNy+y+edv2aFdttnlOoi1aj5lfbO XuN5jcOouT0y9KenCyjudR2BEwCumqOwjA3M7NmwcNnQoDlqmIwMCXzEaqDvVslzEcnIZj5AMt1Y NEVvG8wRr/09pCk16iVqZ1jMM5k95+U7da2LD/AsIjKgpIHLKYpCbPMtkU0ZZdxc6wY+K927Ree2 NlXAd+oajyIms9Rj0eD1xwma7rDK2pqj87RqtDIATXxQ6d0AjkNvG2RqIJnmBga8Dcr3oQw35Lxd yuedtmYdv68aLRqdsIPzOYoibGAN9lJWRrOAyz6GoqgB1M+DVezjd9IaN1rHEcQkRIPNUIP5ewNh Cb0itHakT43iUQeeKJPcp2R2UmfjnbPGJITmm6mK9UB4OZfR7BBt/qGCfPm8U9ZYE7nLiKkvQc3J r7R3yhq/GQi5SutHAm7ZKtrnGylmGHD2wjTEwzthDd/RWYlndvbuPvhxjf80UjdxnU3IGykygCo3 IvZbEul03n5nq3GKrGU9fTCUbB/S4jw/lM87Vw2ByZ2hfllYedxV9B5f445IEoswI4VUlldlIc7k 0PR+OcfvXDWD001I4QwfPMA+KUwH8AaVNQCMipyWQHqpKC+h1eLdEOYg70y1LusPnEK9+KDDaEGE hJC9E/J+3olqRHiU7xsN9aJzmvgURfF1J3+9peygdGxnT2XnBaUPVUVwGIY1bKkhDKKuOfgUIczr Miw7S6iXumkKyGU3SHu8kjaGI34nqnVh74FHq8UHNUNOfrdkWLYm3zHYacMvNdWNznvAa9nmKAqw Ie2zJ01VCL3ay/3KBtXDVVjLwxoh7yQ13mjEew5FjoOCqtPkyNaJC6mTOM43wMoUStgQtUG9qpR5 k/3OvuM7R83K9B1SCszSAONTi6eC0io/hXVCx3eOGkNHr2ETR0uUSrmdkHeOGi61Jn1pLRgIYnkm u8Q9BoBsIQDQHIUlbEJDltqPHGwVnXCxgfkheemTQDTSHZn5rdJhpjC8tpahlsQP+evAntQfgbUu XJd5gQ+Ix+MDxzkIP+/8NDabvgF8pCeB02NTFIXXk33HKkV3EdW2ewScZMTr/Kl4R+2dnIb3Vy1k sg7JwUtr9cSkhQulnZlJKEeSObsAKIBNLDGOVjUiN+2dmsYCIrHVDKg06DgaYBoy3T+/4/POTOM7 zq9cFgIPDbRkAzmGAfbaEt3GDuOPyww6kATR1wU10AW5XsrevzPTurtyzNarDw4ixuVfSCBvs1K5 KFFW+hxHCycmm1G2kEjC+7wz03AygIZw8pk9NZhMeYexOe+Ic0jNzzsx7VdLjf8y7qdvLN87MQ23 0flf0HevZH7SXFo0Rwomk8qARI3dHZzZ77y0LhcHdNOkUf6ll5y523jqNDC8F1JX+AKfa2AllfZu rR9G33zbaLcJpybFkemKI7gpOx0nW5nz805LY1Hiu26Ea6LRp1xTFAbZiyC+rnV0foIXEN1dUGf9 EUsdfTBbRlEVuwkoCwizDVZ9RIkZcN8hx9uTcXci1kAEhmGKLSNTSmbb8Z2Sxo5Pe1OUBiHNxMnP ifd5J6Qxxr4NI5zYUNAot0D7TkdjvDAo66NW47nKLqc49zRshigbRarsOxft2Wio99rgJ3bHukwU /Ds5CI05uIbOvDC0zwAeuUgy2QzvXLQullTHfXAHgxTL75zJTPm8U9G6vB2+ao+EFN9s/52JxmiB TJh0ne9o3SegSM0mfQ/jOtfYfKei3f4+QHw+WIQN2DVsXdiYHRA3Zu2tFtpEAoKBHrslswQVft6Z aE/CnxVG5uXw8kwNKuyz8xmfdyKa4QK/shDklg28KZukqIYNfApQa9Z1pEaoibVObxdRq8imKIyw dfHvZ/DGLO6NZMZ3uUMzgUy1IgGM81/TPTgz/dE/7yQ0pvsMG3Gs2aAZ6oBZqL2/x+edgsamZf26 9nFNwzTAJyjEiHRgRIjJlNvtLHbpw5LeJigVL2C/E9CeVJZFIA1PUW0s4IzY3KdzLyU4MU8V2TJx SBZeawlF9WvkMcBKK5NtHl4jTRMxEPIun3f2Gc+xb2Q61AV6vVnaO/cMT52gEXpsyyr8fXvV8RwV jjMiS0wTFIfXqBQhrPPBJuh8waZ6h012rrldpVGKGABUhvV4kw8iQ995Zzw0iQwZ4w6qhijs4gTh LouCa0kV+zk0KHx30bMtiq2pZwDisDOsTeyf7pLC7oNYNacXZluIv64iDgn9kB70wzi7DGpZ5KGf w7osYiNbA2sH4igUZ5W7JLvP+IzguhelarKVxsGQWKizM25sOePxIG7svsmERT4RRr2dtBbG1hSw haiVHdWVPo1qN+bumX6jPR6nKKpda4rWoiLWWteOBKjEsx4LJf9Pqj6XdLUqJDUqjwbg2d13W/ss RIgQrVYBprZBsfVZqIXn9kmnBh6Pevu+jIh1wBJu+0L6ehRcQ/MADA1z4JyemKHZ/Kg+JKef9/fQ WuTLmXNmvYiDVWah23hOehbVwLDahZg/qKqfywemIijaKrKW3H8P+YuTR5D8yab7k0FluVZO1znq +HhE0MteMMKFD/pkbpdDFMXVYGHBmGXYBM3keg+Jtn4sqG3a0HOCIoAI4JXQg0TsqMEmqMGdcgvG 3zP0a9n4H2ifUaXV5Fi7zuqhlw1yD/OXZB9kjeH7LIECuO1qwOMRzcpnKCvhXdhsXnTsYVzNelEZ PjPnX1neA+llOT6kpmqZfo+K1+aHMJjEcrAEbUESrXc1ZM9hbcJGExha4DmNnm9dIlR98CF/oNXS gNQMe9YIFBk4wu6M19u52AqeD4iMc31lsRkihufb8xJ/D6mMbMnSX0J4NajPC6+GCoCRPs/LbdPT 7lF0Pam6RmEKGzzVP//ypGfOiSALyHWy20KouXAcld0fTB9pzT2KrjGzPVNfl4PJYlPskHqjvfWO x4MjO+2v/APdmHNie1zU36PrTMNslW8EDCUGlcDQwdhV1z4Q5aw79jC2JiJ0LvolcnC6w9nKNY+i O23MuQkhPYER4GLozZX6mLSw7tij+jXMUswEDlKlqD9zh+BCkDECrA3wfDBFt/DIix8Ol2n5xd// jK27vBIHXUimtxt1YDN0RL9WUxRzGhlUgxllg6f5FWquVXzhXtdIZKbLDqGTTADOnp1HaPrhQ4J7 v8icfMg7CmARhsQVoEdh0M7PeP5fW40Z70mJWnmmKKxf01iudNLLK53cTcMejq6oF1GODmxZJvo9 Dq8lHUZ8dfuW0IZG+qTQZz9hxfnGJ3iNhcKKuEJLnfURy5x622CjUdZwyeF+XYf7M8Etsy5yMr2J x4Mz+5ki7CrJkV1MX38PsM36VelYpu9Io5MyIiIAyXkEoSdLfAdPoyjE3pTNnpld+5kfgZ6KXgGo 0LK6BYyS5E/4ok7Iq5xrsF4rSXq19aiCzYsNUrHVB8Ni9Sk+JfqbeDzI9ev3OqKOMHowNkcRCLt3 imNuCRhuAsxVDaHep9w2e2LZsfP3RMuIM1QoiIUFj/dlZ79BtYiWBG2dl9mNpslgWv8s5DmwfvLz GsYlFR8SBUcEXyNltkHLaIP4yR9PsI3HI9DjzfQpYQclInCy7+UfRtkNR/ZYIg5vVmFl83NCPOlB MoC0klGP6teLbbSxqKXCwVGPJyeuEPeRCh2Ca1ppIHejy1PmElPZkeqy+JAgl11shOy+fPC9VrvI RbNMPB4to2/UI4r1P723S7OOYmzsSdgLmizPicuql/i3u5CBeWeoxxGVrxFpoKefqg9eMTpnM4aq NGQBv0ZqEUA+dCFDwY2EkEJKAT4jahWxMLtZfZRCpV37RZ3y89szHg9o1iN/ZWoQ8Wlr+kYbUYzd ExuOhJVLB7qQ+LB0GBlWZG6QCUREj4vXSe205oNf/CcEaeR3ITSC6gwdpXnUDdqOVVTGTS4Mdyo+ JOI5MpvtPLGhv/Az1CrqkKiSbi8mKaxe7+/aLORoCRqySQpR2Iko7FmST9KVBzmnbCmOwl5OmxlR /RpmFlCYQUkoA9eCN8Y6GpRVrTRxb6geZXKPFx16sUHw9bqQP248fEYADO08h0SegeqfhY9Il5vY +id8HFH5Orfv2AgVBxSPfYqiEBuHBBQHsleNXFXlHLPTVfyp06iNFgXYFFKGgw83GmssmiBUeCsE NskFHaA0UKi2n9CggfJArJpd+ydKHfiMP1HqYl5zsLLaXHtLYWdsPB4dReM7eEQyC01wm6AovkYi sAUpF524uT8UTlhjO0x0zbWCovgaAR2iRmKN1rxshwwbWwiXbJ1EfTXGlwU8UwhBn8M6Pdy0ZS/7 R9c6E4uEAT0/u4QyiAH4s8/VieejNeQFfl7wg4wmL4iMKL6mXanLypFWJIF1nEWNS5dpWqV+JyLs EUXYS4dQljJ93g/ZARIZ9DgXSL1Cf5uMYnoOTbD4bvWavP0R4q+xfDLkfXy4F5qB1U70gMejPlr7 miLcL23dLG2E+OtJUEhN3rSW8rfIaQLW4CSiFY+mKAJgI1jAAurFB8/3T/B7Elta1nXQbit1wSog so1awnk++Gt726iRRvona48aDBR6zjr2rNvuA48HgdHKXxvNnEzuSRTVr+H/d86f0ezKLy35SZTG TWRBiNJGi4LryQxkbd7nZ2Boxsrjhs82LC4AD6FlO9ZQhR9pg6UB1NJ8gtBXw2dEQREXD4wwbFBZ bZyrpl+a7Ahj69tHk3I2Uo/sxdkRxtYbRzXbmiKmeVlk95Xdzw/70CYojq3Jcui9+GArKEMSZCVJ 8E30lQs6jb3TDviEXQVCbXZW724vG8TW1HPsW87jagEKxL9P+E5FR9w1+AVBqn/1i8zV7nxH3bHX IwytqaIKUW8VQSATZk1YCOy7rvoCH1v7LCxg09q3D64RDtax3iOfe70QzbfhBAWxjDNLVOpr4DSA JGNF/i2BnpjiyEQ2FYM8GhofrK6SCWcGcRnPR/WQ+RU7YqejrmqTNMMCdmUjJJMzxJy20vGJNnWk auBHkIKsrT9jBT5eaoMVIZXEfwxmNNDUZ/GxNzhdUjG78BXhekkpcWumkSk7Y3AILzNz0cwGZTrh OnAn7BXVUvF41AhxcEjRooPM7PBkf0bxNeS2Nl1yzaJuVgM+LAofKJEFSkEzFNawRUlDd90G74Oc AK/Ag5XY4tTYOzh3E3b5BChLLFBVZ2nbM2OOI3EzICrboBmam0KTmKFe8HhwGrX9HReBUlqKx9Yz FBGZhF/j2zF/0dQchtXp1sDzqLdqKo4zZjhaGnuHWw0BDCTREfLEl+dSk2EPbLPO94HJAOvKmLIU NphhBZs80FFFd6juJdbPxbSNdbUqHg/m6CZp1J3BLTVS9p7sjKJrCCDs2ZxLvKcnZl9GYkikrcg/ w/I1IY+rUKeRg68i1OcqreIa2nY906oHqmFIAQbzSTd/IlBt/gMbAqdNGzRDCZGEuMQp4/FI6zJ9 p7GLfkZ+Xs8ouq6ACqeZXDgd/lUuj0EUmUhXpP7yvJ6hIl+j6MOQhR/ZUxY6nmMMlGK69JyjD2VU uoid82BQgbLve6ux3Y0PCXaaurGNFUMOhptdXRY752uteDxoOF6gmgjpUDF5FNX+Kl8T5eiac1kw Y4oUT1tbBeUN84WeETik8xCe0r3k4LHjhKZfFwcULUElacC6nhvlfI0LXX8LjegCOaPwusrmONON PbubG+AmVeDHc/oOPB4V1fxGGyzNFvD27zKKwCFgZQEha0nauRe8XNS9+YjQqPsiiqrX0nOYgxnY HPuZoA0twU11jIFuRWXXGt887fWAnb1ca6o6zii4Tsxid1IPhA0Upvl1o6+OdXGiMDwepGiXRozJ zJNL905QSG6EDd0kOswcQqZP0N4qIQE9k0yqZ4a6fNRKXY11WQ5eLGqLsFmi1M5iPeEQDyLAszv1 eujmYNX9rXf9u7pfiw+qp53ZHlkgtc3HgwlK42uTwb70S6dnRuAQKBYCEbKKA/hVNeJBVF3N6GzF bKWiGYXXIwtzzZ49Bw+vwUCgLgJiIrRRyVs7sepZ7AWlcCSyXromPH1G4TV03b+ufDysO2iBDogP XOf+xPP/EsdgWXYxGPMMZIbMxtbpxuM8kJzdXeZEA4+WUekWXM9QPmSSKgNAgw22jM6kn23cqacC D9kmBcgGddeG0io/0udoFb3tH9IPkLK+g5WLlomJnAiY7xgFjt9gR9zhkMixKVpRbA0pYnAy1j2r k++0WpoD+dCa1zJaETpkSNVa3LT5qGOUfdYOoA5UwExoebFoUmqTxAVQqy6OIbr+CtEhxKnBO9MH m6FW0xQMq1Y8Hun09O+jaLMw64tohZVrOqlVq3nQgdgmKN2wscMoziYojKxZUJtVF359Lvzz+gkB gzhpaIhLoJgODIV56eUSrbn1rkEhhCzrsQgJHWzGcZshQatE8vXzteL5fwHV8G6TduQ3tF6hwHXh 5nIw6CU54sLvj8NFqa7hvEJwCBuNu4p2xVvxp5mI/LllijzU0oJUKPfZmOL+/OBOuTHRuV7wGX/W 03qePqgW0iC2L4jR4uNRLeS7KotXRCDrUxQSG2ll3IoRh/FjdUeC2VOxkiNBxzyKVoi7ZiFxyt5i PvYWTF0WvFEoaHDmRF7sTMY6+lg0L3In4623/Ut8DgBKHzRFC4qUUknfFY//6yTiY6AN9nbpDSuK rVEU2kvKcxM09NIMGTLbdQVrMD/UDIW8RvnIbKVp+0L5AMevk20CFGVPcAnJSljRnwNp0AAktcts 3GzprwgZ0hkirJKYfaD2Lfb4hLUm8YqwB8PzUeX6W8oImFki8W2KotCaWqFQqVJYxGTVAuvbQztH yDRDsBUKhwzx75/BikVwLwYsAftsow8C0gf9wCDSg2Rmsyor80si1VaEDBF4ZugU4aDIGhakZACf Dxp4PIIXfTf0IRoHIz+foSiyxj0D89TuG61KtJDLqJqQWBn0LNQchbF1sZb+HWyjnaBrTLjwsaVf T34vAZoBuA27Wu0hONAhGB8SyRnQS3cLqLbZfMYqgq4tF+5JZxsejwSxLkH2RxCsvi9MbUXBddlS wrQiGsAWwxwbcmFCY0qY2WAPK8Zem8/A9MG3WoHIz6xS50MBE9KKm9JIix65RJ0YMGQOvW4Ee5BZ QxXB4Zyk/PJxazYKEgwU1FZYvL5zxBiKwestXq+Q2Ehh+US7YMWOYqVTOCQvV6AhdETa13H5mpCd xu5Gb+0GRhnA0IrWBlh7YJl0louQfUyiTXd1FFahRxw+JPC1sO2xsg8qXiML5DqA0R8ej6TTvXjN viKOlYbej01SWL2e+7f+dTc6YwbsxePrxn4b5+hvAey+VcPe5WE27oIIiRj+k+OfpYNW/B4A6xI8 muER4MHRGHrbv+hWHSGUDSITdcizm7hlxePROvruEkHraT6X2o7iaxzLWPYmHQI9RltF59bwVQSk miKjHfIaty41yWHuW7mGhNGCJBJp1kCKSncVXCLI4lJL6JqmsnK9o/C62UnNihoHHdibzUYeMIOP BxW1+i1jRI5kuu4x+z28xlM8gXSTMXgEWMrYVlQml3JIviLqsX/M0OpJPvgUlQ1oI+ahbXzfQqkN qJVMQP0NGKJLjRr8O4RfV2Wwu/mgiloeOYvJsfbA49GBfS81/ssZtMbmG22H8Gt67JzF72XH3i0G gJ7g8gib2sWapDjCZn421bieD3oG7lzoybLsCN6vIG0FCK8GqgIqDg6ewdWEzwjmiFqhjVrhGrQ7 Vj03MNfRWVN4/F+8RsbmmUWGi+LfIbHxZAR789PswDY0FhKTVB0a0t22cYfQEMJmBy54G7xmdAL1 s4Uop4qLno10aKudc2hAGy+jhe1dIl5qOwqxN6tFY7CqxkGH0U4tFbOJ5TsGldk8vkNs3aXXriDE XrO8n606tOdyucdzqhbRQ/DnNWOC7L95jbjXffArbUNirVK3GL4Xs7MqUFEtauAZl/QYzOPD8Rl/ iPEnAMJ80CLakLOU5XWreDwCYN0mERsgk/V9Dx93KM032CXa2a/9sqhoQOmQ2kybb9I4XXMUQkOo zbfVlt3pXmmFqNnJxBVmTQuOaJgjwDNRdebt6wB+yqnuqHadeGBv3J42GO4BhibK09LC45Hu3PyV 7S8IJ99FFEFDqL6T6k6uEN6KyT9AhWuaZ8qJBYs5FuxQm28ZV3/4cKeowaZyy1Omgo5FfDpuGEBG fijVbx3r3vW2f7BjQSUpPohyNYZgLCiU8fEoTVvfy6ihhYbXtjkKpUMWcLK9G6Nole6OBZM2xvJN wdFCHaMdYkNEIE4sHXEwOOjoa5yzVIJhxLayNlvpjYhbaRcvOxb6AeMzgtAxsY+Gq8AGW0StyYby /JqNx4MbbXx3YwGAbsBx2QSF5Wva7qy1jXLlKisZt3IzThr0Gm2C/g6up4yt52NsXWAfP4h9h+wD CCXM4yqAnQ0t2rkeANaeetfId0eNRkjxazDW3mpipLfzfeDxSBGjfC8hKDOiieszFMXWqLjCyXrq XEZR7ZrL1DxNuRCm1ma+syNkiCw+Vx138PusFEIRC4tqZ41u2sZVib6BdlXbDYtYmd2haggVnenM YYOuszGqtK9R+sLjkerc/J4jGNnibLQ5MiTO28dSkbfLCW0TVZyqew9OM04vkOjK5vydwgCbjWhQ 0Hywkkg9M9BHYWC0AYNjBrpJxl2kUaDg5Cijte2FIwswdtGWemoUy9W2aYAGUnHvHH38BRH4wVcT /2WIT8yrqyZx1vd+msTnmsBFe8shHaFDb6YFcbKQmVxrPsVRtpzkCHnsX5BHSNzk2enSuFADqxKh Aeh9wWYLxYWr1MMoG58SwR+o0ZNlap0dxX/Cu7yE6Fsz8/mIs+8JLTvX2MHrSqvRKjp4DJBZYfpg G0sDeNbX8JfdEuRyh5AU4q9N4PL/Jv0ZnWoofJC/V4HQZoENBqA/ixiVOa7CypIdagpBIlRTnWC2 YUAtkpHROY/KXKI5wg81RaH2uKE2r0KIrOAi8WmKqtmDgCx44zk6VLIYkwrG2/yLBuV3bKJC28ZK 4lWZzQfP/E9mC/w1+VfceIiyTyraUYlE3xYtF48ly7YXjvCP7TWzned3C0OLJj+l/4KK9nfRH3D6 Rvkom6co3qa1OvTTlxUj+xjN0H27V9MOXxnnpW270HOGEnzggPhg81TgngXfYbEeyoQ+ICptZ6uv gW4U9JOsikTdMn5KZIRBsZ4tvb7ten3wjhjSw95weUghYOQXCPLkYh3Vvmeiwro2iwCJhgA4niaC OwH8IG1l8LUTLkzB13KKJbGZ2BY128qNmMAxGn02ivSf2GARCzeQA52DDNJuWX1IVSTTtveNqgAq t407aJ5O6rOS3DDS5vMBT/2X4jNqiAji7jTFmiIw/3ZOCHQy7N47f5zL9w3D1XT9oiAkYBOJtWIb vOcPSkTJMv/GtQfGw1ld6IbgkDqzRCf5LdmVZq/7RxtJltI2OM6vF6kVnJnm8wE19EYFrOWg7dFr fS67UFkEjhiTliP/gcaIKz8BXYN7wVwLh5t/p7C8veWGpTbJ+GqTTATF0qBf89xKAFEAU3tmaCG1 g/yxh05MxfgpQYqy2eJdy4ZmkfSmOuAPhZwbn486kt8QJBAvaL7qsxSF4Gw2AG5vqQmURtx5Tng0 1pRmc+vUnCJ9kSpj61Xv4LBaaEoBNaqikpqRJ5FbsEohWZJU7PVl3Jz+1MZGutx80GoCtlk6mSe6 4fPBnqsXH8F0F/HevAzRnKJAfJFGW3O/JQEqCAkV2Uy+Bn2/eU0MwzI3UWr/vZ5YxG2lEly7Tt61 tO0WGUQTbTxgSG/1rdgLB6WlQl5Wpjpdztu3Xa7MnRPli/h8lPPm78MJGJL5nOGh2ePskjkyZlZv 1bGjKV1mVr6Fkxx4PYJX1siEYAjFwfOVs7ZgYJsko3FOcZYoK2izEE2DcZj7pEO8yF43ohszwlws oXBQVndySLkEtBMo8PngcNrta5ZAzuug4/gsRYE4bEb2nFbX3uPxMmyyK1YJLt9ZiuAkYqxveGva YKWBc/OfpTVJph0QymiUZu2m8rNB87sCdXQQybHdI5E2Z68NHzRJHTRcCkOdlcrn/xdxWi4laJ74 JIVheFEVblTPfYeVdQEo4YFVuOUgK8abLvR8XMzndmWDadfuTQEUlgoCiqwCwZkYMgJRb8L7NTRP 7jSVZu/7V2cJtrUcWBFJOkYpAAK6/uDzUVfgO6krtExfN/sNbB/lqYDyW/cSAfqJViLYyY1Eztpu fjKFvo8ol85zxoChrcHRW9A8XEJv1QkYh8zq0MGvNLxYj5gGe9058H3ElmNfgOrrGlS8hoPSMuJx 5fPRlvslCQlV+sdtJYfGj3Ap2ZvniASz+3QX402UB4tNAzYoNkshJ5KtJQo4zEfHgec3cl50mgED PJOzWWwphHSQekxqiZIVSSDl0PpxsRK3xWDfuxsmAGSUXRUPwI0utH4sl/HHaeJLXQZ7Dpwf1csH 8cVKcptoRZbkFrXBJS4KQwjbcmEIPkWyEeZ2XMxtpeRVlZQWWh498aSH6uiJBtDRIIPTIEoKBwLn R1AVWHEqdfmgxbS7y4ueX8Tngy5T+j6aIPYAm/o7SyExMok70g2bBCmnaSmdhOFE2BrLo8vQ/XGZ HTaNRThYdLk6z+3BlG6tsmQ5P8DfZSGqVDa9RfZXxSm0f5wyfBaKm4MRSbIBb1sui8//8wgnGi9T WONa9wUOkBBLlicNWZGLeJNhzLaMdqlyOhjCGHAyBx6Q2SSjxuS243CpEme9grtOTd8E4zVyknA3 DtR78PIeD1R/3+iqQy5Xdqo+GIr7pKJJoIhU+XxEBPBSiginYCal56qLonC0EAFvv6yknvOP62pN 49mOVZ2vnQMXSO/sjvoMNktowiWG8fB8bGijZBbmzgQtdFKgmHTxSmna+wZq9XRaTdC5t0GZL5DD womcc5HPR8Skq6xVlDKf6HI+p1MI5a7sqFjFCR41DlNeqRilBEeKV+ZiJ0iaQI/KUJzDZQRUtFGY qyxY76H6drKjjRYmpMfkueqNuWSvG+R0gw6Hg6KsHLSaOr4AtZ1m4/NBslJ+GdOCcbXqbfDm0AwS Lae93Nh4Wz+O6lrV4/EBqqQdTqEbpERaZmF4ycFbvGdvjKre3Frwutg4nBAknSgY2hw4w5XSCfyW QztIdVamEIKTHoT4u0Ds6PxielmZzwc33f4uNxX1ae5aCg0h4XcFYSSET/8htZQBmPikm8RJ2Iru CqQM77rQE3JomtDOsuGCu84e4Mkh9wNL+pBI4gyfUtY0opvsskNPSF11a+gkH90d2NDwalpMZfL5 oHp5dSMVIw1oEDxW0LEppOBdI0kRAT51RkhOEOvTj3DicxPfwBQSIQ0LTf9tK44z8iRDlfiuE73C HQqFFOAbFiKQPK5JRCGXlp8SMW/pnjWZ+BYYlYjoeL7JQazqDzS9+Av+BaekYQKAVOPC33PgCwnk CSlKhuzawkFKtCXfrkFeroWcA1vIJAOthNvfBz/C5QbNbuZGHxiUABbDUTcFYKWAOHSvum3v+xds ALQMEjC2pSsQhBEc/gQjnc9HZJz9NU3oULZ9kbk5tIYU0ivV4ekKOgi69hZ6HVYiSDg7FYgH5pDC IECmVfzS9fBLIRoLljv5OPBMXQTMVUo4QSrRlDbVGJ/LXjiaJylrV6Z14OhL+TEDabUlAgSj+sAf MskV6+GYkmtSnn0XVcNpGofJcdO6Eya5++HoVoeit4pnLKFD5FIdPOsYz/cYz1BEBkaDlbkza0UZ cq4qrw3qzVy2QLH3jc4nYuIai+IcLHSCcKZcfmrj88F6+nXZoQfZy9cxHoXisBNl19EWUbJiigpz lr2UOWi3qWkKVQC7jNmYtXHwlAX9By+dAEmR1P6k8w+6K6YWot7vtPcNgBZZXvVz+KBpOgE+Ef0k ZvL5/6WYUkgTG89qiqrho6MG3lo2LAo11oVFqSl7XQ5gVJuksBquvG5Rf4uDn06jAoydmf3CfgL5 CglM565jxx32rSDDY9PZLIVwFKqTzbGGD8bxAv1ERYI9+XyU/X7DdAGUbTjIfZZCsW14ayz15DBL c7qp1kycOooko0yh7DfwicxOp8zzDnY0QWkN+gaUmABffXYJ2uMmpl4h0d6KCOqytw3gFom7FP0w G5T8wkBK3cjNEzzEpKwL2qHAbYLeXvsKCaIwPBEWX2gryDM8r+vv2+QTTVTKaF7ADNwi3RapLwpO cPAe1Fzn7x/s1IEnl1CZg8EvwMxoV1ByDMVEzlS3Fw6WkzxZwXG2QRXMmoram1i4fP5fNaeiysu3 TEAOHSMZciWAaqxD3iWqAFRzaUZrLsscXDBPsWnk0l2ncsp6KMwZ0p2LwmnANBfSmeBMLpgFeHMP 2JLI7xy6RhIons/9OX0wmNzqkiZQNTywjfwW3mZ96azCc4G0GxOEvpGghaCGkqtddcmB4JCKMEXu ctZC8+MpMI48mbS8o0rJPngTis4mNNQ4MX9CfEEtLpygbUuX8JkoFecC60i5DaA+gPxOg1HjepGP ZD1JF5+PcKkei5PeQy2lx68tx+6RhdW5cueJhTxSLc5Wz0Yk6LJG5DzFCHDeYEm9qHQTYAD+Ory8 yGtaEFjImTb2EwQ1FL8JkDA8GDFzOfSPlB0AXRsx4IbnBXWuhpxZxYTeJ39BNFHfZF3K9dXyLKiQ aJnRGK/tsnVtBwKkVZ2R0vctOsUWkqyj9EQBgZ7qFSsF+0K25sSDgRMBFiGOqp81kZF2dg7qV4s8 8JBEq5oIHtSyzwBiuRrjJ7jrlB34OTdg5i/458b7kdBkyxdcmP8wkaR5tNq8ZINl412e82kU62+u 8xXdiYqFuNle2qv4YOsJBdgF91eieDcQt4n8JuTrACUWtFkcLp+XvXAECGPyi6mFyAIQWPzbOivv nKiSJn/Bv0ip7NchVn1Ug3LgJYnYdFK6TDiw81PtngKXcqu9AjqhoBJaSUpYaRSGRhy8dzBO/DsW obyAWKCNLRrY+iEJI1NL0Rg8qdvrRtcdJd1RfLVB5TlQg/g31o2KSuAm+X+r4jTcbNf9N4d2koNa eHub2c2WxQTlb0vy6ty5cJcvpgiY0sTdnbQh4XA5PHNm+rADvoNEFaJc59iFKUVBh6fcfAX1HX1I dNkxbAKMzwZrkEOfgJ93jiw+Hyl23HoKdVWhVYgyu89SWBIvVBT2k3sT1u5aC+lW5+otFMSWkjTf 6kvJyHpc7koFvLAt2SWe8/yEmqr1tp/z/RAvcft1BJzyU4I9h2hHDOr+EKmBy06b4RqoZ3w+2HJz fgcFbZm5lc9TCA7vTOwa6wCZP6ISRFTvXJC7V2JXiqNVY19JweYG818OHjylc//UKa2ucxiVRoLq +S6x6/AFzytLAYysvXAELyTNLhPIs90ZpZxgvnc2Etpei88HQWb+PpsyHC17/QoKwqL4lGRgY4LL H4kGx1vCH+rMDiaKVBsVMkNvyU4hXATfPnCiyga080w67chSg7oiVtRJ/9BLBtOarni3G9WXvfGf 4TgYyDbougNF2Jyikd0F9pIAKHpUIOA9HHCummkO/SUlRdmvBc5ungMPKtsquxtOMcyBvSTSRCrA rNV80HpqcHADd1FuXBCrkBQ8aJgiHbRbKBjkzefAXtLIvGklKpkvqZPi7wLtkxmH4ASBv2T66rGo nUJn6nKTltBfErthz7bdoDxlJ2XuXM2FCmxQF1fOocGkFLuIrrDBURdpLABsyFtpMLVNclMC4h/s Gmw1S+2GYqfAYNKEPNKa6Q5eKWAJEZ839Hxw112M+FKjPKOzeS+70GOyyuUlkfVEdEozeg+0eooz M1q6OUtgM4mC81SyUnzwYsFs6KnQUmmeYaA+g3nC8Um1iT0uHkzludBmEs1WoHkTjYNARVaWQb9S ocQTWsCh0eS8JKhix3ibX8spCsVxj6G3Mt0zqLXpAMNdr4bwyk4Uy7HZJAUGCJm2weEp68QBE8Yx KKqsSTFlqnWjqLJg+NgeN5xu7xvBVdUBnsUHAzuN0QUSzwA7hW6TD25OrCAQGPO1VsqB3yS0YQAE 62lbazOPZKSDUhyGOWDxYFFBbDcpoVxQv2x4qBkwGqkUO+uwQEJl7gRsEBei8AmtlZQBU72En/KH t1KmwoYNtu0qzD5/yBerfD5aTb80uxsm6OEchIaTELCDcLDJLXc5J2OWcKrYLIE1YCFB4DiJtSQd YcK9ONy6E2VNZ5OcMFQiuoRyz5mPRDbDbevCU7a9blChqxISZgOYyEAJTLUleSYomuTGX/B+iK9f 0h64mjogTD5NcSTOggr72lxNc1ansCgBJjODGanmKWZnqgO8ig83YSmo8lbBUwrUYQBWHZA2WIDV Fva1bdNRFy4H1pNK8+E5ycNpNpdfhGQYDSERguj5qAX8nf/mDoQWkm6fp1BgkGbGcG8yasaZYaNB ZalqkppRABCziYrgKY2X3ZaONwdHYmb832pdh/istGNIiJphiQcu4G2VT1F9AgdKkeLRXKHEeWrp Hk44SoWlB9MnsKBE8+CS6hmMZwqPPg7vn5fQaZ9P/X8TfsFQs9d6Gi37vmty7ATnoPVpPeCXwOn8 GihVLpUvuw9+08HdFZ1ydoAHbhZGog1damQsdY2HD4XA6SVs4ptKVhjXsQ9GZK3EZlPYC0//1wTx BffSBDWLLNG6oJQOg5BocqgTTpE2kulw27md8qaIOCLLBay3Hd7B9OiCWwLSc/CGZkqgulHj/Bx5 JIyheImCVKP9JLre5rZgEPrX+TlhZecSKukOxmNNtZtz4MLTb/MjjL/mp6iOh2Bp2vy8hEp8CMsC H0XFgcFQqTijrkqXcVIZDmramJ+XQEnLZ8haMS0fnAi9z+l6XiJR6PSkuHKjmIh3T3TQ4Yf3uAh0 vuv78oEFM8wZ5x1sS5wjTaoV5wD+vMRIfMGZkk0POyqVU0OoM+bnJUTS+qFJsLQ8qJ9TpxV1x+rX zQQCC5ydl/CIv2YQl7qLDqJydXJLX7SKpZ/7OPc/DZmhNQBVBZyV5NfZ7KCY8XkJjvgRuanw1th4 AlVKcIjMc42ggZMkfl5iI/tDm02P7UlqJ9nsvARGfAjirZDlU8MbLvSGkttrbp8dBEnm7RqsnUHO yUzcOBy8WLLQxYSS20ltO9RVqOfR0f7YQNEWRAe2tzZVcl+CIp09hXXcIUDTuNpCZNKQTXAW5Ocl JNLT1c8eTmqjUXnzzfUSEfEpFOYAE9geX9cHJkDAmsEEtssvvUREWruCdHOPabDlA1JFL3A4BssG Knx90ModpW6gJ87945C4Qj+yz0s8ZN8l18+mWyAH44JlKgtjx6zyeQmGtHxqubuLxzNiULDTNUMv wZDOdFKcWhqOrjxBpLuWolHmCAHCHelBEc1Qk5455c44PAQn6DxM1dsKrN5oNglu6gC2M6PD4wmt zBWiHZbZgsOSOwMEyQUabSdFWlls79o/L4GQjq85fIp+WKMgY8Mm6CUKsvO5UAbGPUxGdjel0bjZ 6GHy/xn7suRYYiPJ/zoLTYZ96fsfbODuEcjiKINPrbEBW/2SlURhicUXJLLSEI5OZ9Yh5ybRhMMF C3bI5hSynSE9IO8J8C9/FhxlcvsKqckJfwmA9BVMSgsA82KDFlBLvXXhkHb+vIQ/9obTdxj7uOeJ Bn0ATc9LIVI7rG/a3TU7nrlSVTbq60InyjQYzksR0g4H1vwlTDUeYSocD+cjcKMD1nViapyJRL+t HybqkAa76LemV32fnsVaLfC9PqiwBnNfXmXog35eCpAKP3b7NT34lhb1lfGZL+VHrdjFKz2bWA7I A967nbrTUAcpebgoVRT8SNSsSuKs7lvNhr9QhYsY5meck6wLHQiht4VspmSaJwr1Rhull8KjvgMm YrvX7YMOaBC/SRJmseil7PjEeZwNOiahgX+C3+zRYRQ7D6oudCVjlMpRzDPo+b19hqQDIepgdIfJ lmPn5oOHz/3k6/B0obZZRZEDO2yiQENzIlY1XRm3SU8g3GIAPcIxxgfV+wFVkYjgOfk+b4w4LcHp t5jau0CZNj+i3whx+mIaUYHZqkR7UuualxhyTTuDgJRwdk60iqb4JmX5YCHiCcPONTUo9Q6JwtLk LokW7ULoBFUwb9iCEcq3DQ5pJhcJUD4b7Bobo7EY3M7Efd74cDqkrfuvQ3o1SPTdbfZGh9M1RjOu lHfy5n+xMshZ9C37QTSSC3e9seH0m0SDK4Qr7/LAlTvnXe21s8Eyp+QEitDhh/0tdEhvlqGUPoqj e5NRAAVyORj0HUgFyiw20JfeA+nHUEF5GJK/UerdaVEgTVppAsDHp6hvj4XAqbZlRKkMw0cEh7Wk KKelEe0xTS7nN53vmU6uG//Z8uXYYLlv7HQe1n6XJb1ukIqRCUdxHxt0hGzUsngaFQBLw2h6ejgk PtjZ4Wcd+WX/RoSzc5ad/mYU3XyCU2tF4qJOhitd7d73b0S4m9dNaLEkH2yvnZz3HKmliXw6pnl3 9E1xs0QkkyEBM3IRfkZwGhHgmIfmCBd+tTYtpNJ4xJwd+HmjwVk8Nb9SjgzpCzNW4CRFUTVFDlIu dyWBJ2UxY1lurMDiqU3Se1iN058KcLXcwetCA+7Yi4XGeU6OOuhzUgUGQU6P2NrLsfQJeqPBabsA xJ176c0H4ZFg+CUzVzi7vbHgFOGk9nVmZ4BPx5qeebyx4CyiGbS7ndbvpwSK+v3siVm/fzobJ4qr JSSIKqEPfq2hOrCF2VpwYpks1xac4h3tI5FxzGRSZ3YUWBM3mJZOMQ52ILWsMBIyYZ83AtzNfDVF NBXCzq0EjnCGosh68erPVC5ir3+uZUycqrXF6K6729Qb+e0rOFoyTeDg59GJfc55zuBxLZR3ub3A s4OOHySW8zVWIAP/80Z+01Jl7eO/9EwAEZ5MuM6a3Z837ptdvd+rCDX4oQYRp+g9uvZrTQeeVITm vh6TywrYJ60BYE0l2CjAZoYPL6btg7cb4ZoE3QPSAUA+5RwBCkC0DexCb6VaEMn3AFu6/2gL5eaD Af9AzmO3sUMaJ4qwd/pOz4DbroXO25yiKMLujf3F1L1KXcRdZv2sJ2MKos3sbY84xsaJnQsb17nc xnWFWsBZ/4sndj9fPZgy58Su5PwDTVfyU8yfettoijA3QzyA4TwAJPiUBSP4aH3eOG8WW62vA7vT Iyz7KnpjvOnm3yihFWOSQGqxeAorR86m1r51z94Ib5ogwtheWMvn2i8AIkvrBfQGTlBBsnOynGnG dypQU6/zje926xGQEGzFBzuJVklmWVby543uZq+4fQ2RLpBh6tY9Nnqju2leJ7yUmvtwTum9UaR7 Ge72vAGwFJqh9wAbkRGxNI3VIg6Wpp34Y0IInwp5+/wvALRC0wxSXcAbFfAb/CRimfGN62Z5GgGj Mt5m31XfPCwGJTQLXtTnjeumwz57aNRYBqmQDPLT+o3pppVL8wdTmaAI9V5Owx0C/1FfGZBmTdJ7 hC0lKypRVh9urt8qlLSZy55TabZCEeoJ68QFneDyhI+D5hNvRDcLjQifYRXsDAAr86YG/IbEPEji r88bz+3/i7GFwaK8+rgLKQyyyZuskuXggS29CZbT6rAmLBDESafRG9HNohZearN2H+4sbVp8FYEh xwAlb4BS13/WJv6XFcf+qJe80dz0IdToRubng2HawfKkmNmc9fPGcrPTrH8dR5B+6ECf2hxFMfZi UTaV5tyt5F6BSESmA7VHdRnqN46b7XYtodJ8uFWREwGtoaIaPEsTnAFPInJ++4AhjXkrzMdR6Y3i poS017dkrQ+SCxk+pvl5I7jp4l3j14mEkH0sT2jfCG62SQlWW7Na4Yi6LDqSZvF8tl8i4Bu9zdpm LO03lUXaUxaBYCnkxlm5PptsQkMA6+hM6oZwB9VU65RoJ0Lzzxu9TX+k1VLL8EFlkVzQmeO1Ntfn jd1ma31+3fwoncOex6coirAnQUZp92W1x3btJwblWdy6zKRd3qhtNx1HbM3O4s63s3imelAAkRCj jWp6K7QxQRuftAiswNs6W3rboPrIPZbkQsFBU8SbjX/iSvvzxmyzHnP9mqJp4ECfoijEpsA0LiK3 nRpjLsewJ9dOQJnZgqM3Zpu+YaazVDOYj6jBufvPCbFQdRRwBn3czbIIovsBjiKwIZ6olaG3DaZI qi7gouHMbpCMVwUS1iIku589/HnjtWkZ5f29jAYKa7d09EZre/I78l88m03X0rX07XythGNDF9t7 jH3uHHK395RD11yuEFRRgISWNbXLTj7TC091yAycVG0g2AWMXOU1Ur8/b7w2q7Nulh5L8UEBEhys 5fIHXtsbrU2Pr/KVqiF4aAQWao6iIJtHZpIePZVvHif3B853Np1Ttd4YbVY6UFUEEDQNBg7tBbLW aIEB9dhTTZyiSbVswN8miRACgtCn/I3PZjuNDTS4qtugwHgtAn4JNR6fNzqbItDhMTbr2GCKrOaX 2hubTatoIMbew0g1g2RTXvuZUAWJbyx3cn+jslmeiJkBnNEHT0Jg654oTNYge7clw9yR7RAyjojH 9xl29ueNyWZ9MB1FvfjgbXwsVYbNu3zeiGx26+bvbUYl7OaR0RuNzQpyYpbfolGn7pcK/Yq8aWKC DqCmKKxicw1Bc8YHTVE/YTaEvFjFRggGkTdiIXAoA1UBEDr+Qrbyi972zymawhJNxxLRc0pAx35O yzcKm/7YXxcaWDBVYricoijEBnLg5q+mqGhxNTp4LlZWiWnBNnsjsFmrq/MPz3fwVbT2+WOabAP6 CUSAfIUvFRDimKKxntO6Db1tkKlhf8EgtvqgVHYOctmIdS+fN/KaHdYeO7LvgU4nYhKfoyjAPtkT KkXDbQNo2SgSMnvOhKkXXCEkIb9x157QMeedsw92EGE1bqiGcJ+d7duKdKpRZGUNnRQ/JbOTchtv 1DXrFjF0rJIpI86dFxrkTKioDwp4+bwx16wi8r3TAKpuOfuF9kZcsxQayezOV0NiuuHLLpT/xxz1 zW3MVRSVsKEPOaESUnxwJH/DpTYpDNgg2DJZ5WZYkPFp+dZDOt233zhrhqtgOARwkg2GlEV1lvHy +QWfN8aaopL9HRWRi4VStE3Qe2wtpBQVI7xZVGtydPrszdHpJ1ayTsgbY82yRGoCYpva4FHR2aYA WJF4PNFyJKQWBD8YYrLxeBshzD/e+Gq6Mpcwe234oBxtjSQA9sn3+IrBGsrpa4pYLQLUz6Yoiq07 ydmNyHUB09MDC9m9eh7biuWxb3Q122gMippMXto1eQHz52Q3k/j9cyzhA2TijuIJzh5i4pz7SCzx G13tq6xGyL0N6oNAQoDLFgaonze2mi2I9hUUQVhn0A1UcxQF10DiQpfMkWk5XWRaa9XLas1xjW9M NbvPNqeG5fstpNiUZGKZjVq2PIrOXqFVUILwwkDxkTK7lukTTfB5I6qpwtVFn6XbPbxPRTgrNZ9f wuP6nAf980ZUs+vbp4hp7Drf6ijrgvfC4LqBL8MGDcIiuo1ojuiabYU1tAY1R2H5WhcaJck02Co6 t1QGr5+lxwHRwUytLYSqJ96DpcK4JDVYS/BD/jiMEgWjAMA6eYyw0SeJTIu8uHE+/PPGUbM0tn9t NWZ6yKNtiqLYerLl2HJ1njGY8tYqWkTlssafUbXVJEUF7Clef6doBgfHGE2oAddJ2voc+D6204w3 EPuFIEcrGZGf9kZP0zcqJZvN44iDlYxO6EYtqXp+x+eNnaZ3zO3XlXZW0KreTHsjp+k4yjyDZCpJ RVf0yQ1EDCV6/ohENEn9/o2dZvH10Dm0fPBeEVym2CKWvvQGEAG5/tnKC5Yi8jDRQho0CXhjpyld ypgjpGQa7HrqNPnkXjtfxueNnPbSTqO1Y5+3rvbGTbt11XN8JpmTYh2BHwH1tvMtLWL0gUOnfB+O 7DdqmoUtXECFRgocHCaSYDlhRgr4wrGhQMI6R/ZKpAs8hX7MGd82KtAyNmpSHXEQATimrQ+zw5mf N2aa1SO8rMbjHbYc+UaPb7w0raOUvvqMe0nSh1HrdNb6gAa+raKohN2a/O/IpOLgNKJzlmValMG7 5CSPWLJjd9QhNms4qKS5ZjI7jm+kNC2D2qW73XywGTonBgvQ9YSpnzdKmgXYnsfiQcgTU93LZug9 vkZUX0BOr7LbPjdZabbPJA/KGWqifHT+nujaJ4ymk2jFwXtFgHDXpbNonDwZxl9wmMZyp8nRfKyB aST/eaOj6eiszNIS8egpOR690ehN2JgTXr+x0W7UYDPETUlM8bqLKKxfU1N6yOxWolruLAErx+UY kem9/Tc22tPbz7kq568PB/QcOKy2AAnU+8kLBxVwG9WMkOxn9v2Vyi46cbyR0SyVFZlB57aLvkA7 ZrOZ/HPC+fZ546IZRuTBFWMdYQntG2O/cdFumYpFfnN1FSBPoq3sMzB+hKavzVEYYovPAIVFG26I TTbDIk/2xOqwL8UcCd+JJTHdjZOO3PyMYIoW40ZW+jVoikavSxo0fY/PGw/N9sx3V7YgLRrPTguR 15NBowNEFgVbeOtTBUThY8IXrwkKA2xWZumUZ4OX1NBknCzgQ8sSIGNzfAG2CEHOzje+1hqKitdd PGL5b3DQBJ3fQMHLH8ArP28MNDvJ5teFRpYtLgmboCi+BjoJzA/zAVgr3dgx3fI+etg2QXF8zZoj TjIbbAWRVmKEdLAcZ6eSDTT/zrvBbaper1LaQnzeuGeWZZERC4CtDZqgs4t3ErEKt1kUXT99fYK2 BFjyBkiLgmuLipbhiCnS6nns0vEEDwAyQUT/iOHX3QtlNnjl+sQi57yB/8zZZOckkhnVQAG74YKi RqtsJgGo59sGgL7NVB/FqP7UpMBG3pmYrNpyxuNB4Hh7jdmiTJCxblAURteoAAGq4apQtTefo9ST 44sbeRCco6h0nVgGSchlbHAgFvCAhNRgjs7fMqVR2tg6ww1Z0tVst8M6iq5RuIMjDBNZDoquM9xC eVifAAmPB6394sk+s9bN4Kp4xajH4XVjWGT6hzMvV/Yb5dF+KF4w6u/BNUIOrJ8C3J8NdhShw5Rb E8kK4g/LMACb1pAZXE+PraX93/+kMELlqPigZZRHYcnrzNDm4xG8+Dcu9Py2li+ar0exNbTi9skq rew4qadKmgNkw72kNorB+HsEEBmCgyqd5WC1a3ignfuMeWwHh2xKHwIeIoV1QKmydp3WQy8bpB9y UNyt+cApAjIusb6Prh0eDwLH8t1GQ8OwQgfXZigsXme53JnRLfAhrTs+pF6vpFYdFNqj4nVn+rFb Gj7YRgPJ55zWi4hHsIMB24GWPdIP1MLE0bcmEWIyfEhUMZL6eFXjGmgKUYlw4tFxdJwEBs+/H0eY lq96CGRcYUPkcVEP6Yz0u6v9wtVSn06qTlwP+PGcINMcynoUYU9K/q8sz9L85VkKin5n9AMrmXNk yxuhnGiTXkHww3sUH4peN8pkGRIxFcHgDY0CMxh5cfaOx4NZ2t8A4wpgMzsNmqOI1IizFQrtjgsl nsAU2ocX1iA6odJjj+EhbOhzs2m4RaMJDwxKQA04AzdyR2AKeS5f1PhLfSxbWHrsUQWb3Ua40LJT RDOkomS/0VOVVmMTzwf32vieIqS1QwLtnKI4vIbAw25XEKM6Fgsm9dZu5JGhKQp5jeqQdZ7YHHyv nfM+ceUA9gSvDmYg6NL+jE7SIPaMnUf4dHxIkOqL0VizTIKR+DMoPkcBQhaUO8/PeP5fe425PvK1 su4qikrYwCfiFIJCO7mxycohqCsraCRrBjhTnkdhgL3pBDjrHWyOEATMjS2C8xsCnJ2uEQDlUN+N OmVXNXPqbYONluQOvKsPxprZRTT0k+xNPB6c2f2e2WordXLcfI7eQ2yQXRg2LjYUl0vR0P0PqoR0 BEZTFtqoladRFGTvLdWCdQc/jU7yD0lo1tX6Cd0T+dWA8v9QSAkm0ddUkr5tPSpi10rdgk3ZGA6C YgEAvSRnlCcej/L9206T5HanR65PUgTCRmwCa8zM6seGx6aXRKheznUE6LQd2BE8RNZ2O1UZlrO9 VdkJgZTKSDywiSNatLaDmv/PQukENGw/sJEBV3zIH+DQDB6RD1pHUEoid+AEEwWPR7DH77sfSuWQ 3L6XfxhlL5zYa+lS22hNqVALNr2hsYHC8zstqmBLwmnlcgc/jk74jAC0CskPFTVqGwJEyLuPDX2r PA7iQ3qED+lLPH0qia4vglqHtgbramXi8WAVtfJripDPwPbNeNZRjD3pHUUTJvFBmvNBFpUCJWG0 3MRmRAXsqRYITyMNdqmdeKieAITFWaRqG5EyDqwCXX8o1QCjRTpIIUsXnxEpGfBOo3GUhmS3fmHv D2daxuNBjD3Gd6rW4CqNTWMzFMXYvSnKNZOIDOWh4aFR3kYIWZTl0ByFBWzSZNFS9MGLjydwP2E6 O2q7zRMTUMwvQ01vgCx/rvF9RcPQq8SH/DlJnTqiCQ3TYd2ikzKzyj+Q0I6wgr3mV84PWdoOUQSb pBCG3ZnEpu5hdhdXjUij5jY/aD5bmD2iGnZmPgvANQei1QaStXPX4/819q7PktqCIsOSEcaIxHVe QX/A2PEZAS6Uc1R2lWxYNfeasmB1S/TQ+R88/q9GSJZCxJKisE9SCBKZgIZcVYz1dB1pY2yaIdvx xSMKsQWjyRBV5pBtik5Ah65OJVoNQH8GSiBm40ph36Q+F//Z3QOf8RdQHWruPlhp7cS6ZtI2Nh7/ t+wDpgjPnBfzPGREMTYyzU3pRZVni6s8AStvBZK+plcfRxRjs9aBXVt8uMlawilUJW0Mhz8mtJXO 4ORBw0bS6Wlwf8KHRM1rOY6tLEXxYqqNCcpXLFadaDXj+Wgd/cIa0UJvXuWZEQbZWK/nv2/DqUWb kBFQizo1IpmrteLsqxGF2dINefFrbWCFNPaEwHOEgAvVZ1B2bhRSR5fQithk74+oiA36H1igaflg 1xrUcaRddCLIEWGwxxV3wnwyk1235zhCDPbgcdQem3vqk4mgJif37uU1TVEEwi6WgpTlgy+kjsts iy97vrU0pTfegO+lgD7uNcdgV71t1FFDcDQSeWojXV2+k0rLXAGECjweBEfzOzgyW5N78YcwkQJk qHtIQyvDBUSmFB6ZzcLyUTstirDnZKo2JPM0eENk+Y+mMwVliDa+Jy2RMUFnu4CKBbW3O0G4dPAZ UWjExZPq8MEwfec7IACCVNkRB9jfAAgK95+71ScojK934rQ4Pc1l1vOGB8jNZR06O8L4mtqOs6rL Ua+7QT7HGAj7FDLGWLEazxdSxKk9cSJKVXZcM8DBhwTxNdkgsxAqMmHJlJO6PufX8nKBIvnCLwjy /VtdE9YIx/W4iygKsJfoIC2bVx2CoKRubKI3oRqzMP2zfRaXsYmrbtIsbI9m4ZyU4RAfBAFBofgV recmDJJJlLFa/972ulFjVs39zKQWl5qg5QuoU4aTY+eC56OiyC8kzfn7oKlrkzTDMjbPa8a6Wf2Q uqWPTQW3pB/PZkcxBxf/DKX4km61VHxwtuyEH3VhbDQo50kvmwaCWcfPYzw9NbJlZwgSqbzUuhzZ evE0ZNMnkhd/qXg8mKL2SyejQ3F2+Hk9oxgbYdv57qzkCLG8agCITbwAc9khw1FMUEh0pCrvHhJ0 HI+Fz7lG9knRMon7CC6z7OxxhyGzNSqoSrS08Jkhz3GoYcSMNi1bqQU9/S6NmdoLHv9Xd19aKw0i EB5fzyi+TtQLLwy95DZaZ7nHkYv3nCmq1rieIc1RSWyXD1svj0c7nOzbEEYEJbBKjMjEnbsQzxUg mpwuS22DGZexybjatPjloIrIQhqlQ3hVPP7PORK9BnTZm8vOKL6m48McZmtEcxoHhzYSoEXgSx49 zjDA5u7Zm3AaDk5OA1PghNXsqaEelsmtaegxtkVtgVvCXgSszRAkQuQjWM8+aIoyiDgsGp3liceD 5v4FPjIYR5jeb312RuE1KskZ9lUuPLcJIOQU7b4s3z+71kPHGUrzkW29a24+XMDaWSx7be20tEnk OH8KArABBFru+95qlGvFh0QSGdxjne1rDtpqIAxkgbF6xeNB2/GWRIqLrZyQzSu0M4yvp2Bq2bXn KNTpisXJW0YZwpGapAgkIieajWjMBg8eqTJfGTxOSBgmrriycBzgul4PHGvSE3JG8XWVuoGc/Ep2 HA2U7fpWCj8GHv9fKmsklpRboJ0RSKSzsFaaqc9N9jsFEknZD6MMIVhNUFTDJhPkXMiGfpx3gsA/ 7LnupVXUAPjDRsuI25B8sPRrlGvqO84ouk4CGnV6WKS+zCDl/JV9chGdnKnh8X8m+xRamRSc8uhx hhTHitr+NlnHvbSEOEOtmL7RgJKAHUWhQF9hXx8VTxu8WQRR9imBjLlBEOAkQm/lh/7T2C63yL/1 rhHOiI3ZSeb+ng93ryR5m9e1+XiwhLYvIR7tUAVpYFvYDEUwEQZT8KW4IvPpyvXsR+JxtmwR9owi 7CE+EcQ/bfAIe2Qo8mZpGgFUzuO6QGoAK+ycRTirrYJNpPqMIuxGCESmVTHUdsaPSVptCP0y2Km5 4vkIbHQDIyw6YFPrmHeWQopja/TnMeQDziLVIQsESv1O21jJ2mqhjIjwWJtYCB1uVqCFJEpWix93 2io8rgDNOoknOCb5Gq9mGPvybaO9RogQnSA1OCCrSWqqnXAFj/8TTPMjUkgvl5O+ovgaMB0g1rof 1zl50ag2g/jD+qbbXlsRTmRQ5HubtFG/aqowhitQ92dodCarbcaZVL9HwxYQVlfJEG1/hTgRSvQl fK02OLNoLkPS1IrHI5Jj+3UaAaiy0lXDjGvYlA+p7vKkiBEzlFBZtrIaBOk0QyFORG6ricpGHHyn LfLriyqPvcFbDQUjMJgoso2auWON5ta7/lENQZdFVipo7HKjnWVqIcC51wqej0Br3wVsOZTfAHuF EGyy9oGzcJyINLJYMUoXGVqRPWmOIpzIYHYGHxkNXPY8sWsnUo3BI+QLKzUQBhjlIAL9QCv2BkZn UeEzggL2VsexFx9UEEE6KmGstvh4FF//Rqmjm3bhWCsKrzVFTAtUd2wqjiyWqrJLGlEgg2fRClHY nVFjEiM9PYx03Ggn8hJtBj2X1WUyQ+gQuE/91h2FDV1xAZvcq0HN9OYA3ZNQQipJH7crHg+OovWr 44gyVm+Xkb6iABtl85OzduUdSGS7YUSmtAxx7Z+7yGco5DhKVR5ihDZYYFThMjcHZVbOjkNwJ3d6 kK9oCJLaZTluNvdXhBFBZYqSzkVetMV0+s4qH8122sx4PgqN7pVG61BE+Pm2QVYUXyOEgkJMUmjU R88eXSe34xmw4LI5iiVECIuV8v58lPdPgoE4dJn49ZkV2WEOqKotnLIEXrsdJkFrKwKJrKnTiI7r K5vXben4j/QeT1iPxyNxee/tq3zNhtOdoVCkT7KhFHDjTqvDKvywUu5m93jOkF1sjqIAW8LOa5Pt yME5M2dByuMMzf0KXCQ2Y2rsLaEx0R6+w0ZzFB8SYY3YJGpZCvPZIuxZkPZxGZ3fi8cjHM0vhWfA sah8bpMURdhoDQHwilI/veZGIkgEuNRCzwPyHcDsUHt/hUjsKREaIiA42GY71+Y5p81XFTjLptoj xHEXaL2m1yOQyBx63b9CI+iychhaEejiNJ1HA3W1Fdawb5AtFxD0Eke9xccVMx23nAuLB5BrXBkR fjCj7IROpqSw4zq2DB6ptsbBk1lQ0OA5SxrfgiYm69jgukyqJdFxhp3ZgpCJrxuc2oyyy5x34HaD d4lS2zNffMdISv2qYVsl7rvruEKciGX8Kzumv1qzf/d2g+wOBobmKNbqowQt2j82OCZrF8isDXX4 e+94Kzhjw+WQ1HQQADxAGkNvGwVIW447dxC96AQSRUCRPCsej1bSd0cNwk+z3W7IjoJshHab9Rt1 Q1Z3kd48raENIZFqcvw7JDoKkDV5dnO4Gf/JbApiDBAd1znmqNwPm4pzq1AIdF8vVZawdxRjt6Tq 414+aBFl4A8INE6Dj0e8mfIVQFbp2fnNv99DbGDWaChDB1MFkHXYFMFJwCV6E75caarHjjI4iRlR 2eBThLt6oFd27rXzUQC7chEhtcN1p4KJ3WvU5N8hGJtl/hPXTB8uNd0smtceeDySnb85/w9ptjAv vqrzMc+R8iFlu+7TyQuTH0a13bYsRHY0R2GQbdK8s/jgrAciZAb5snNCX51OhhVnksDrpV4kDRqJ +Ixgiojn63hbG7Q5ds9bCmvnKsbjEdHxpmoSDkWlofuBvaMoG/CovWtypedMazZi+htNxokSqdQp 4RSFRWzRrpscClt50EYJOnpVbmkZiTmnCNjsnwEJ2FzbJRhN3mo7irLlEj637TgDxZdO4U2e16vx HSMR429BmqzL1HO1HQKxWedvF0eTp60n8EKMOjMSxIM1Q2ENm6AGUNx88EWEXlxfDI5mA0snyVK8 kVmEt3ps5wF5wmdEaciUs2zxQcyZE55WhTagqO1YSeRbJgMs8Y6o3SYoirBBroN8yHTtsEqzPymJ lGQGPOiUGNRohwiRJTxfGj7c8iNo6lsdx07vInZCwEjpqHvj7nUwP7VVdyiELWX+SRgNB9tnZ4MS f36i1YXHIwm6X3RZKPSNfEsiO4yxaYHRUvHKWqu1OUuN2GY5zFwv0B1BRGaRJr9q2eVRNcqlQAdV RZENXhf/SaV/EopjmF3vXPeut43YshILFwGrXALWrHuIln62MR4PMrULMSYrkkX0fa+0KMKGsg9U kgVNQ9HI0H17XlPZs+PoYdz5e4JFVNmvpgCdBuNftUWvNXnwnPuyLCIkO7rVJ+I7yWHxymNhIQWf Eenyq4bd76BFtBKc/JinzY3HIx3s+Su8pr4bILU2RWERG1N60rptBCxmSqKokSROihqomzZFMQhb pceWfLh4tdLh2zhMX63IrBC5/3k3ICDXg8TaU+8a6YhQ74k9Hg1G4puEDJLyNvB4lMru79gaAmfj aTnuKLZuPIuy7D8pcNQdf3Vu5WaSoRMGKLbPIogIbm70QOTgnJ4EZOMEKiWzsIaAqRAZ2oEQbilD yrjdsIjl2R3KiMjegVQ1h1ZiNUCGlOk+ZA7w+L/ceHjnU8IQglaaI4PkvE4Sg0f6j1NIpNMdU0Ii rMEDIgIVy2J24CkMsJt809vwwaoiCe6EiSf2iR5rpQsXTFIh0AtErJREDG60tr1wQAiVVaOc9zJd FGWSCiANxZT6mUr+gggg6ieS/LE2GH1XVT2Fcn0U5y+ta6I2Gx9CinTJHQDST4MqqaqnP+X6EiR0 fPBSdjqzlKwy0s72Ai4SPLUOJQhIhtHT0aR7GGXjU/4EQpBdrIFLYOeTAQossmbm85Hqo08TgRBo Pq18nXlSCBdJYoRY9xE2D1ZiK9fJGSq97haSQjT2FDdfpbZy07U8kOmkrHQNfZaaIfc80Vo7SQ70 MsbVXFmySE0hXKRJy5jtFFJQRMKr7Sww1qPHhEdqimJtFj6f5hrErc4Fd30MUhRsgzZOMexrZCDI KAHHa5rj01jZYTU5hU6OdJ9hq8AGr9hC4ABmjqBjnZlrwMCOTRGzBXezin/s0WTZ9sIRElJktT19 MGANnAt5zaHbTynA4A64tTZ8lUQ9o2HhExWF3IneKr2ZzQOKbciSWWxD4e3HTXdJguj6VcFEZVmm iyBa7kRlVAMy1bsqdPbOOm9aUudwpO8gtOW8jkTkPT8l8sWg1zU2gg2WmCDdYQ1gw/QhhdiRck9y 9ujyOXNg+3QNVlIUfCcWk6CcpSMKgn06vxPRVQKz7VkNzJbT3xrZRMDZ4HXJCdPr3quZ8yFwAjOL UwuZrqyGpOqSadv7RrRs+mIsUmqLZ0GlAWKjasnZk3z+faZq+q4ngaQ86nyuvFBnBOFdF5yGsC3u NnCzNrX3WeHOt3ibUxh+s1UyJ7M4Dr6eNmxCZfu0Tno3pME2YGS0aRbUaDG/JcXir/uHp9FZOSRp TR1KhEV69QHkLz7/v5BF4arQEXT5LIViI31Sg0WX3AnBs0mNIdXN4o2SB+vXXRSDDwmtoyZlgx/k 6JFQgg7uBpgwFpVO2gsnw84k6TZL6DvGTwnSFAmyQMePw1AnAGIPrF2gFdv4fNSYnF/XHWrlo948 RfDT18csl7PAEtTRYWd3grqy1ZUWSvvm1hdJjlRqZ53Df/vgMImTWJ5LD1RX6kaszvpcxfv1ijZl ewT95OacYkcaFgWWIvHlkThCskWEzzl9Bp8Pttx1naXOBD1O2TG2eYpicRhYUlbUmwGlGeof5cJt qP+TU9bs8xRWuuUY2hhmcvCzaSGLAyCRtobDephQCoYSwYD/U38qcMVeOCp1k6gFvKINVqWsZVHg AIpGfD7qUHpcwH8MHtu8Dcocuj+uswiAjFR35ATI1auU6JlY4kuRfTM2DBEl0qXnuazB22/nDIE4 i0A3gMpINhskrVbo9T7dPB1+7fa6EUlbfVzmvhyU2O1Z9KefnTH5fCSH4LAb7lHiwB6L3hwaQEKC GHry1jWZvKFUqbxeEH3PcqcpgpUkEdoSxbQ4WIGgo4YL+SgUCPIGsIQ0gcwgAcSqfj3C5SmSYwPI zC4lrMRtsBIKBO8Eoi2Vz0eKtd/Idq4lSMn4JP0VihPok39cPdtqu0XNS6khNdqO8aYLPSBXkZ5v Lj7YNCEFlnA5p0kGfUCWEKc4UBC7fhB0yuGHRFuu/tJDMlYRBBcluXgyo8HnoxT4W8m/UHpk3aMp sIE8sytlX0D8XTSquiDSuXe8ZLmg3GqrKYzESSquhUg3DrbpTgAAlzUUA87Nfw7pLBFgEiYLIDXr kddgyzsHPpCKemAswAOKg+AluITImTg3ZuXz0Z77tZoakpYnagqNIBd5ABC8tGlKYxh+C6JeySpO M7soUg6cICF5KrhkLz74Cd4Hyt4k//UFkBsvwwYRzTZQVR/5avpLFimHXpBrVUnVV1est9PkHBuE ufcEf7rQC7I8gjYKL/u34VEOzCCV3m/cQyrMoaVrhbmt2iVFR6lCrU0XBuHit6HNaoOtprP5SyYa CrOFLG+LSQr3DOhTZRI6DaykiCA0gwQzG6YHEhwfV3AcXnE8lM8HFT7/T6saZitQGaj9uelComSm OqqyXi6tZhoJJ2Kj17IIXNPRODl0hFxM6CZe1wZncMEzBSq4cvE9RwIdfdZZSz8DaVWhnsuSBIAK T6ElJCW1ARXvPiivgyxSlUlEWXw+OMVvXscjH5Cy9fivhqaQ1FAGc7h7/pupKrFpwOrKG0jFvKQS 2ELCgZWtb2m1cvB9p6ocCZOrdLbmEDmhvzAg0LavloRET/kh0XqaVEaiM33LdgYWdFS07do5wPh8 RAu4IQH+MVKCdhWScmAMeQKnvtgn2M7AeeglnbprxHZBnMxnKcR0L9lmm5XWur25s05OTI/sEXzA DXM5lFPY8llgY5F36MClNO19gyoBJQ0zrnYblPuevHOLo75a4fORbc0vBNxJmhFgXlvo0B0SqJi9 pqlo780qiElrL4fA1eEa7Tk2hyTo/yyO7IO3MAuQEy1LE/kE5WALokRAzxoAWXg3eYMu2ev+YTuS qA1ig1bT6KnrdMqz8fkgXynfqwkkTmhY3kM8dIikvN0a2fVb+O+Z/UJW1WoEdHlQjSC0iFzDrMWT D7e9spDJMXJCX59TMwmu+AEw/gfgFsvqhILLoUck3oNwyuqoSrH6T/wieZJz9GU+H2kA/pKSJNMg PUXM0CUSEGdAo9mHK5LevPzSzvo4anOJMZ/mKcR3D+PjVB8uYWkCU0kPmwXEcedUshs1gDqi4KYR 3+SgHRpFCuC9l+xHVndyIFgIOpvO6cXnI5bA7YkL1wO7+ieri60iJ419Zcy2yDcddtUtNnfw4wS1 zHZdYBWJKIxZrxTt55eifUMbdg8W5k5EO2ibAEr3OdQX06xxzSNwFtv7RiJ3tNRCPQ8Don5bF2eZ EqjV99r8BUGMmX6BB/ALRrmHeGAWCWrh+ta56/uyKIHItpJ4qW35NIUwb6Hh2rzDLYmfk3ltAXT7 6nUxIjinCFbyArAL+ON712173wA/QG/WgWuSg8FPa0dPkQSvkjuf/5cMMBt8gFfs/Gy7KBTf1JiQ 1JGH4lZ/WntdL0Q2IxWKB4aRZj0KLtTwwfHwJ7W47lrnELP2QkdvvJVy5TfVIZ/LXjjCWQh6StWS VHH1cQnkdjI6dtygws9f8E9M/LSooMEbx2cqNI2EGEyqfbqzZlVCRxJT7dOhFqT/aKZCPIpw8YuO Ixx8SY0Tfjf1gOe5EmDWjQMKJZaOHBDeCJc5UOx9o0oBqV6wyLTBgqc5yZaB9ljj8/9aUBQRRAui XDxzDo0jmdqmuoZHT1PmNqrO5e7TNKbndoF1pEHjz3nf7mCVgnOMn1i/Z4py1BOVJcrfzMJqE6On R0RpTXvfCHJB1ffGZdWbKwWUE3QlnlRnmjaf/5cFkI5xxGDjOcajUBx//jkTe7HMLpmwJORA1oNK cc3bHHhHInZK0m5fPjjOsiK05IFxJqmDzYzwAeqTP4N1B64z6pWXbLMUAlPI816m7ta9cQCkVCY4 6SSSk89HqmXfJXG4ALaen0M8FOGeVClvbkg2zQ/ozFJiEsPGASv0CgliixuSdBbPcg5OigNdJ8sW GQYiFarJELqHoxxFDKFnZiFBXfa2wVW3ZQZQsw+qppzraikO3zzCQ2zKb2k3aIX/wA7iTlMUiCdG EfjGHZ0CEKLqKdSfNHQKGp+2nP70aU9TnG8tRS2nBA5CIaO7LSQwMgZO+YRNnUEJz6apVnnt9sKR XDkpX5llFQ6qYp7brnXJ2tXM5yMUz7c1GVh5vV95txz6SAJVh6S3eqNcThZEN5fhkAIEDV7sja0k aXGXJPDG4UIK25nmosvu/AghAVQxO2YHp3CtD+qSCPAceknmIvYX7Ug5aJoWpUB+vCQemEl+63Gz ynpC3x84Wt95eo/Fy/8lljFTSfsGBRd5WdQSxvF0wgMPCgI/yWz1FLTkfPB5Ot/hyeJLVydqjMKV V7F0Gu1/wZ6+1O9uL/wHByzXoSBzLJe/XyfNZkkQ5Fc+/y+AKsHy0FZqF6CaY0/JDT+XVq/Xdmvu UmaxExkXa3sGHHpKyshtgB9jw0Wo5E0oJxubCA9AJd2dSSVQYYUwCYOFETqXQ1dJqpil1bqYTvC6 4v0ERkQnDXacY5m/IJqnXy27hQIUzAJ8okLSJfjfs1vtBHVMb2y2VV10ejyVgj+cJTVB1O3g8NUm Lx3FOHSCT2JNMJag1BtClLmzYVe/2uSBtSTozexBLc3TIiycOQs8mLmEz0bO/AXRxvsdjMNb8pol 59hcEtqtaT7n05BUDsxvqgoIOJ966d4pD+wlnaEypzrl8+mUV9hw4d6Te/u5FegMCJG2nwkB9ROp t4ubz8teOKpjbhUwpUgFRWz+bVB/oTbtSavT5C+IsuCL5EGQCU4QYME+USH5sgBG0KrqmOenXjwH Xt2tpqgHa9MUaptsFeiUA+/vHPhEyrAVZYFuIAemYxkaYQv1qkx1RWPypG6vG1x3ZEy2RD4YBxXo GigS5Dpt1FQCm0mQMP7LibNdU+Ac+kwCNLJ3Lq6KS14V63OzO0p8oMrmuy4CpzTyl1ellyKHG2NO +HNUir8VWJAviisvhOzYgag2ucDJ6va2keSrFVSmD+qSIytacgVOg89H+YpHT9ygwDjNr7suVOmu EseZRuRdoxoz/GT221GGZe/isxRH4hJ/68kHD50SlCmQQYFauE9YkIjFRCq7QVsptd+W3drL3jdS omTntwicUixAQ4Y+BzOkcxZ2Ph/suPk9TY16MuOmdaHX5LS0DpEBeap1LUOBgQyWxVM9cWAtvufC WJyX3CosqHBwBDTQEQ2bq8LSvdJCEXsOJIOOAsS8ChXgNNoLR65K5GOIGpYeahi8vcU1OLcQnw/K TtnniYcCRD9/oAhxZyqsivMQB0CPJUtwn642fkPlo3CmoN1uhczQc5J+5ScXIaWXg2YK2nvn6AFS vqLse+afpSf0c2ChjA5LTfV2o/qyN46icap59H4H3XZw6xLKMCG5C2wnUW+93JUfKuuh9HSPp9h3 cuHoVjMKyV0aTswYOzVP7qDfrRgzsJ2ENCGdceBNboOmCSR1gDDJzEB3e2aTh0ffBXdIa7dOMMig z4HtpDjw51wqqvcW3ltc/OfCpn6SEAWB7+Sv5I7nNb+7djdeaDyJMg8Cv+GheBnOpJMOrfApw0lQ OXSeZCIC5krywXPgE9a01SluPlnLYg+4F6SeQA1hr1lmN7K/btCLotQymhw+6Os/519jY6rWoeeD q+4KVSkSPz+vpwMcek8CUciiYPEMeN+Mpbv3UjnXnhPEc2A/iRBTpQLW6O49BLuugYBgsQM81wkN MrFzSFkhnYPTe1xImIpzof2kgBepyGMR55ySDEiGNYkuJ3SAQwPKOS4SM9k53nBG+0SF6t3KrMZ0 CcbWt0sx7e7i1HNBcsgmKozFJxE8iB9teBAqOITkANMXCbII16kQCZlKLjW3yOn2vlE7iqETFbw1 KAUeg70pXHfAO4U2lDo1vDzXSIbdNyoIbCiR2S2a9zZvbV6NGDDgLXTq6XYPYhdKBZizTh8sDt8n yzt5F83fgMI8MTSlK8Du7Uj15bek/Jc3Oz/lDyjmWZ06yPd27WVUR6ukhWfl8/+yXZBPEyboGr7m 0IcSb3dyFiOu7k6+jTRQposN4RI3L6Ec+FC6lA4UvXy4szTm2f8KCToatXtQlQEcRFLcodV84Snb XjegRbFlB+FUqgyf15bUFHC2FFD5gREsf0FU681fcTjLMvvrCA/93rdQBNSDR1qXcncdb1jNOz+j doenBH6UrsW4ttAXez6ReD5HOMyEGDudCxRqUYidBnRPgT9nY9s2HUXicuBImb1OsFPxwQAF04yp oCHK5yO4U//K6jK7rCRp2ESFcoOsYw7KuTJ0GiJrLrKVqvMzAO7yiYrwKZTmPrtqFR+8d7DRo4W+ FE7x1c1VpzHvwKVIu3PT0BXjJzCmRF5Gnt2Qoveo93A6EyCZjg3CT+BMifU0vi47pE8/1M3WPH1e A6eM3V5QQk+qoZyDZPlq6rWZgNWJgqo55L6GTfnqMFM3RoOf37PgzhyksFTUMlnq7fSAJmZljYcU hbDpNWjKYJEQ8txYwCzO3i+wDdEEgTz7eQ2ZMlq4Kg6gF8nAMkOZKfG/OV9LNDtU1OnUmPsPbXbo XieSD821EAos2iFpEQXzQwULwBSnDw57xqWfzPF95ZUrZb8r8JMNtmGUWjX3BUPRv04QBGgJ3SlS QivbukhntQu7iWoxnn6bIBPC1QQxB4StAJ1NMT+vodJ5qA/pevRhp1GZzTXiTrRngJTJi4Pr5zVQ wvphe67g99ngeOcTS7eMlwOmcFV2s0Eqhz7V5v938c5EMH9ew6SsthHMWvvyQesHCmJCzp8D+PMa I2FyrVppL9axsdjBxEe+BkhYPoMu5qbceX5KdmpvoEe8Mdf85n8NjjL4YUI51+6DLR7muasKDI4q U9rK7oCd7FRmunWliVTh8xoa5adgsqxusiUQDPXclhjZQH328xoZZdXuNDtmGoRvvfv0vAZGGdi4 c/b0bE6BG0Yklo3s5oavIwm6A8PXaG8xw1ir3eHWSoBhhoYR0rdzz29WlLDjfoBFAQ2n+d7a1Mx9 DYpw+MipE0GaDXaL1dzV+u8nxH4NifC0kS60txrty5vvrdeACBuSwOaquJHRdamOEVjFOwITCDbz Mg3mZ3bZKkqicoynbQJSYUtFtnjQSk8IiHat8OqC7mvujoiDNRxf9n1+Fg07QAbxwSokvUrmFDYF n9dYCMvnnj1DYILzWzYFK/CZr6EQjvRCaFfJnn5QMlK4nKnLixPUhzssRRNEys5m1VqDTVCBFkM3 M7OJw1oa+UgCB4syaO94NiunhWiD8XpHWMWB3E2uoLOAlujhvfbPaxSEw2t+n84QV6dzuiboNQTK qmVAgEHq73tN7wWcRZCuL3fxauRr+MOzmfOCmqMNXmMDXiKDAo1+0rnVqOG20cX6IeE8t6+Amrzw 1+gHX4FE4GbLPmj9NFAtxQ/c+fMa+/AN+9fhDCAESPc2O69VyIwIvhFAOe1wTi67jJC3PZQm212v FchsVspgzxQfblNyAMWF0Abf1YmfN0+fAq1u4OUKNSwM+dYoxxbNDh3wyI2xQUU1FP15+gAq/Xmt PuaHf9LVoYP5xliMDCmwEkwPEbapyIQbjnhCThiB0E7nWanTQJGKMPKR6FLvPniWsc8tCo4O5ucc 26h9iS8P9QUgb0XZFeKNlkqvRUd8B1Iy32Lo7Hx7IidwHd0LRa8lR15efrWrlDvcw4SRYRQ4E0oI 7x5XFDq3rk1QTssVhRb1Ho05GN5fPJ9V2OBwax9dNkoyekGmQVIzgEGL+Q3SRtfHbVIUiJbQYL+o lzsYUhSbVjIeAzzUaIfN/LXDUEJoKjiK6xLMEXtNwPDrXgeW2G+wKmggTqBFZrmoOdEUTcIB6U2i wcuNJ0A42Sgq71D+K5S8GLDZPVcYUM0ognmrllJ3n3c6HFa8TEuLoO/Foe8V7UydQbny8X8F0HgM SJmhPq3gK9El1sQx6W57n7opCoKm3vwcOnfzRQIGc8TKfkYI7oNDJs+fjx4Bo8RVSJRHCgYET080 AHoyDGXzUQzddT4PaXWPB/a+ihr2kOT5vDPhsB7G9xQh8xulZp+iKIrGq6Gr1i80YrcrtVjn8mU0 q1f3o0DaVJaLfKZL+gqkE+ARnR3aAR4WS22EIXWi8gATvjdZ0uu+7zT5TFZGjBqUhtEVSvqJwJSG ofTw05rscCxnCOXZHL2H0oBaUe91mU0gSvLrdvvZZKXWYsc5alXGaI5YggUi0gfLxE6Mf6KhKsMg wHwTiQET8t0dgTRUBAQBJNuSnxEdRnTomHTAI1hKMKsTK1aVvmCJ/XmnwGXBUZ91BEAqVDv9wn9n wPFPI9y923TgCxnLAsZKL3kpv66rbfIeUptiB2jbzQdPV/M5gFYXIgLYcilWsN/W2Y9AXO2VWFoG vTPgsFtY1x90rtAgIBJk5rMEvEr5vBPgEN8YmLQLR4GqOvLBu93CsLqjgbYt7dhMlIyJU0x4sZzT qFlQ/c5+45JkLWir8rGv1QvEOVC+6VR+xZfXqDjUKN+KqExEHDOc1JkdhdWAiZwIsVOTmoPzcAB8 Z9yY9+ed+4aF1H2OxKVEkF6pccYpigJrkpzO6lnu6i5iPMSoq2iVg2iI5HMUhdZ2r0EY04bLwmmw +EbqdY5pIkYQRhWyJ6j8gWvCDBYIAvm8M9+wWKdMOYmT5KA5wqJkoa5OANqi4LpPz+1JToWY4Kx3 r71H17jX2ESb12+yufA7XtcT2TlZx2HlLAqwcb6gJkRGAAfbawUIgrKGtYZSlxfDQlsRGOUf3A1e pS72tu9TNFkUaokRAAdtNbp4Cy8CbZwowt4pf53ZdMX4uteiCFs2yqVm1zQvxBKr5yF/E5RRSJDR FIUxNstmMAXywaZo8AYCsYH85V7JbU5o2cI9YP/skp9C/tTbRlOE5XP+0fbBTqOTCLOkUImBiILs XOtXAosiMJ1IrLwYBdlkDKzatmWw1RocGYyiZBd/yW7J+c52szUMHkltPngFH9pHkNZnCgufFJan EVf+dNxPcsFTeZqine9kN8ZfbFMDDWWDHUU0t+I2K/nzznWzsMEmiGhcKPOk4SH2O9UN8woH5RPc W9vsnBg+Q70ki7D7lvpb4u8Jrn2Rb8u+g51E8PHeIMkywpY4xFXDoSUBaEx+ErHI+M5zY/wnyaCq 8gWSFSWy4BAQHdKhXvLOc+OVtnyOCPGDQFO9hbR3mhuWLgtFNd0+dWVbXIWi7J7u0Gv3dfQeY6MM WFVDmz7cZP8kyJlSGWSAbmkGwTfuJPsQCy7l2r3wuc87yy2bQG5m3RMDgJC8q88676puniW1Pu8k tyy95K9KPlH2w++0d44bouxBA5Pk6wcAiFtN69PtXiDfqtPoneSWxSBAE6h2H7ycBvdMginA4V9t IKhGAxZ6i5s8PNYb+yNe8k5xw4ewyzEmy2ocvJefOkUlz6VWP+8Mt/z4KerEniDsoA1scxRF2UtY dhlNMhNhtKpkTQG3wMeuNfHOb8Nu53VOg0EbbLudfQgsiKkInlwD5V1kIrh38cFmsDAfZ6V3els2 g4UEOK4PnKMOsVQZTY80P+/ktvz43ttuQ6zcx74LKYqyG0GQqhezcNQs6c+bDS0ltJ2wZs5RVLfu JGKBFumDB5DnQILbNLI1cGspugjHr3NqbdQmCmA0oFOjrg/I6ued2cbogrjsPe6gugj6QsTWnohr fd6JbdlUEJ5rDXC1+Vxr7yE2DiThRIfXHml0Zew/18I5X3o33Mw7q42ntnSEZfc2r9DyCawqZCXE sFkAHFUqmuMUWpvx46pP32zpbd/PI0QlgL+l7YOmqEHNmSHySvvzTmrLjxq1la43MV0eP75z2rKp oabRt5/ZY5q9K71MDFt0/rJkxkHvpLYsv/QpAXwb7O4HiEh4c6hLIFujMPw5soHHOHEp4IKeqpWh t42mSGAZ5vwJWH951TdARBkdnZ2zP++UNhbKfi0jXvvDA8h3RhuObKUhs18nk7K319fypWpBZN3u tfcYGwVmdhgVR+av9mujSRf17pCH5LPC2WFEz6NDmCEDgWv1NUKcP++UtpzNf6rCesgGBUjoUIsT AUrbO6ONSVf9DpBwD7Xx7LUoyq6dfLZsFdlzTd8uSK+5+3G0l++19yAbcqaNFRGmIxwMGXrmiJpA rK9VGOJKzxONpJapLtEuCoSm5e9cNq6jLO/b4oNhHEaTYGfba3zeqWwEOZSvZdSAWF+3SvvOZMOB Tavparn9+Sgms7j3JarChJ/dfHWp4yAbh1HNRMtwsEUEXZsh9duztwCaNogWDhZI7qIe5BsNu/zz zmJDH4wsvyLf++K+9/hhDhO72eXzTmLjtev5PvckV0/zJfROYePCpdSr0hCsJkBcrdSfSahncY1t QU1RWMdmTaQPspD7uCzkMztzE9UCNsaCWBxXGwot6IOcmxcwmW5EmqK3jaaIfEipl+arXopG/hQC u5/j8p2+xuPab30ZBVDZedw5imJs6B0CKZucNlpFh0RoNCiPw7MI0GDts3f6GqFE/MsRk9ngBcgT x/dl3lMNMvZNBcgMil1BV+s5r9vQ20a52iZVgV3H4ToMkIsfMm5GcPV5p65l6QZojth8o0zgvlMU RdhwNkIT0JTxV3cHqs1USBj1sqsp47/z1rxfdIIHLqL6LKIGuj9OHzL+4cZcbJ9B4A/yEZn8PmWz k1ob77Q1ggYWS/wsGiXg4EWgAitqcmuf+KR83llr+Bb3940G3wQ5FWuKogAbZVKwHZMtopyqi5RB KcvmCMGlLaKoig2EBiylYSKtwQ7rk4GMEzmypd/2WS0tCfAPlAltp25BpNOK+52vdtM0BnE26Cga mRRLnL+jfN7ZalmafF9ZGm5Rcf05Qe/BNS4IaicWO3SAD1megcjRhttsTtd1fWerWS4Oj7veffCS yDm73QwHdJC+qTBJv2ZYRvwgvPFWCBOQd64awlOd1oU3PgclaRtylbzOUucrRmW170QWyT8Mnn2K ouAaXRzAQtwCJzUVQnChLQasSmSXVx7fqWrcaOyoFWlxPu2iBmVYdoxYNToRzKRZeabIBI6ekq6o jSGJ36lqzEQxOSMRGMJBrRB4fzW1ySbfMdhno34dRQ2yHifI9zmKomugHlzQpnHH7YsM6d2RITMZ au+dpnbr1zlpomRbOpl/wCB4Ttb451lM9E6Ez3SHSR/8gsq8qX5nt+idpXaxaStTC39l7ABujwpo 2hY27QSO7yw1r9YLXfQD6MW5KMpFfr6T1HihZZTTzLwMykhWyUZY1r2wVm5UFJevWbeGJpENnn5A PSpXXvm7Y8sSYMPEbFWir8ajn4wC4OedoMbTmqLuVSgs5C7CRdOJkKXacZbo552ghp22v3caKaat 3MMoCq2J+jsrNjVHf8pPeVJTMnmNv8AaTZMUFbCRM6Ox3+5w+yAADSt03JD52JOYfZxJgNIQwXBL RuSmvVPT8I0WBo1Nsu7NAyMgJ7KhRHb+vDPT+I7t+9KHnfsTXL8T03AaJUoSlSU1LaiSIXiQj0km dA8F0LUo6w6UYxxeC/45kg8OIT4HElRrRJytuzeKsyQoxxKQJBsTLSTC/z7vzDSCGNi87sRaA5+j 2+kE/6tzd5//dn7eiWm2Va2shn+MpfhD8LjNUggUIbsYpLIsFe7cRXNEzWiR8oEkjcJeOLLfeWlZ ovQEWN/BgSJpnJmBLwM4DRs8bNRw4QDzs8gvhW6Tx0at6G3/3G1Zuy1XpzQg+t1miTM/77S0LFrb FwoCzhz5ltXeWWlYvYuNxupSbTRVoM3LUod2E0s8zEPhnZJm59q5zbty1H4lk2FJj/9RK6ThuAU+ Y1P8YANWg6LC1Uye9rIRlIbyiGUuHzRDFb+OzaITQ37eCWnZFKLvcQQb5layl/nf6WjEgzKPNQLD hu+RbTTk9zZDg2qFXENhfD2lEbXv4GsIGm0dewNcBpgGUgIo7QkfHDq8PA7BNLv6vHPRsjjQ4HlQ 0U5IAM5QBoaDh9E64fU7FY2P+wyxFkJE8fL69TsTjcECQiMgoB0lUpqFRrnJEQdFtbNNrHH9TkVj IluZ5Lfkgydp9cR5C/UcSNZ0Qth+aLuEvxdrtqKNqkx20YjjnYn2ZLLiN3KwUxYRhFgcrX3eiWhs EVzMLAVGoBGFr8omKapfz0HQmuS00HR8wkfo0lv4mIGV1hyFETbjxlKpYVfq1bADoB7dqGZd2Z1k nKBmBhowCIjcwQydjc87DS0/LnisP3LQFKH8W43UMD7vJDTmW7ehxunA0h71nkVRiA39wQ27Rm/L ZrcIGns9wOI7Q38H2DiQfbAsDSc9YHf0eFu90FUK4H6G/4WgrBtfaxFF1etOs1Jo7flgM9SHTOUa 7Cbf6WcGCnyOIjDWOpApNkFRfI1U96T6TvxALunBI3kY8gbO0+Lrd+bZvfMbI0MNXlLbaGguJWkn LkqyndiQ42nowq56DUuJ7/y8E8+YZVHvqOTmgyYICEjiaZB0fd55Z/hTyzf2QfLBF/bYwuh6bdm7 Lcv02y6PuD1Pa3gAUM5a5I8Qf63AulO7vfR8sQ9n+5/Qs9B246TDZx+QnDdREWvUJWYe21RSS3rb gFm1pYasg2jbQQTsw+4Ge8wZjweR4wUYC7aFELNe8lALw+shWbHdPdefy0tqUG21XL/jFNUcRaVr hFdnckA8tsFutHXe/1wHbIFAb6N2qUvT2wgwfma9bsKtjRaF16jbgcyTsg+GexxnlrSOysDjQW+/ fANECFbCaxtHJgyvz9GOmpoKRntWNy/dgL5YvYgdElFk3oNrNWDODjtz4YOfROfnNQeOm3Yy/Qp1 ZNIgEJRUqPPNW9yX8n8PCYwyvl1UQ+agVUQXLNau2+bjAQVkt6+wEdp6Ld9qSI8ia7w55ddc9pDo IEk+5Omy/yiX2AxFABG10Rqxehpshhby2A6pw3MUnUCrsW8LMYCznXa6iqxdh/XQ2/6VfqCv6AOn CBXBrbixnvSjR5F1quVriogrBZHMpiiMrNmwxgFx4SEpX3jIti137ots5f0ela5xKyE3280H32fr rMJGyB6yD4j4UPAQ5bzFrg0J+tYjQo0AHxK10Yo4aEQ+JvxOMYlOZL2Lcv1W8HzAZLx9NPzbPBFk gZxssxRyGTVLRPYSrZbGMqpnNjr6QlmtcZY6f1OQo1GJ5iyY7YMnshAIKVu887NQCzrw1MlC0xph 4u4P75yqGD2Kr8FhgwZrs8F8two4ozxN+pkvPB7RPb8LIrATqkCD2RxFhMaRGQ2h+mvyT9VgoaMv x6kXtE2ZgfQYHSLCOUVW8iM63uAzci59amSBUpQ2I0f6Iw2kZyfOfAxbWHjsUf16DbFlCpPZBQ0Z 7hAowjVGASdjnng+uNV+gfmBOztBuacgPY6uJ7QjRzfkbDF+PkQTsuuIITKwKQpJjYP3fWWdn4Mt opOenQsN5zkEaLDpKr1aUGIapGyDzOHHEepV+JAg0S/cY1PZLGrfRDGfOV7g26LYeX7G88EqmuvX KjpXfll3FYUFbKqy42RlNWTz+5bmU0KKUKBjPzZEUiqPozC8ngR7KtWfX6n+uRQWUxwAaM5MLmTo EBFrCHFRrauzPnqZU28bbTRmsJVymRxUvwbHkJXHk+tNPP5PsBrnEzDjdPsg/T3ABrxYbHz87XQf aYNqPBWnEcrRhZksydKVp1EEDyF/D7IyywebpLOKTk69yczfcFfbVPEpxAA2XqvLxQ0pAMAP+es0 Ap/UByGxTuZUt+61PPF4lO17jE2GGpSEgQ60OYog2IDV7a24Gq6bVDRmPaRW8wg+CWMr5ifZI3CI kpBkpbWpUilNtta58OAghRkibZgg0oRMe+H0yWXd83qg9YgPibBqMttcd1A9BHJ38mDdqeDxYBnN 73YjIL5Qm7qXWhhiT6oadl33e1EbVnYahQT9paKaX2lR/Xqp3liFL64XX4z4McHBhvYHJ/NAOkul 43MaLbQeGVVb2XEQHNIjcEgXOX+XO2inQdm9GsB44vFoEV2uA1HqOCJ7vxIPIwqxIbiwd19+Yvd0 a/yjuPlB79WwsyOqX0/CQ8Ay98E5M7Pi7FevCL4skyrHE8zRBoL1vAoYLEHyM6JWEQNH1OJsEPYB yna7qqqW8XjEsp5foRH4KLBJ9QmKQmxIzNCfPFkbpC+jgyBsnYbIOl9+NxD2CKvXaqcBzmiD3/v7 /AV9C4QNFYzKnVbAVBrsCUOG3/XCsOPxIRETnSc15NAxZNzdnCTgBFiHRAyH54Py9fZ1RJw6L8Xl JaMRY7CpZlC3lx5Jq3eYkee1k+VCTVJUwM48sHsVlKby+IMSNoLYk/A3NtQgdQztIsLZULtA0Mcb 05T8EVniMwJUaBMRpIkW0kyg78SfiYJC2Dgdj/+TVqR+AL4YqCzbJIUIkV5vjZ+w0OFtWbO5raQV NctmRxRhL2Ia0BjiUHyKcElizSg4muduK7KNgLJTQy8TuAG/90/mNPAZf8Af/htcjKygNvmzjY3H /3UasWyEbLbWO0FRgA3eyJYeEtkO1EqXN7kT1sB2yFZ4HFGAPUlKK/Ry1+CJGgA4rVHS4GSdZWFp wx/gzGiDkgKkxi83DbcUPuSvw6gBi4wBIF7dQx0MCOy5cT4bz0fNNK/xi/0hT3S/9kcUYTPoBJfI piZV8a+p6aTy7KJ8ITxmEWOPKMam3jqppD7YLFWcRwVgHVhpnQNo0iO4A4DVIP6NyqoXsMncHyH+ ehNVrPYAB7vU9qZGBcBxE4//6zAiJAnnEOt7mqIQf72EULM7DT92ly0aIhcz48dppSmKANg1v3f3 zy8E84ca4m2uNCd0etEHgZbwJvDxS7eo6m3/aKadVIXaKhyssHb+Lcv2CIPxeNQG+Q6NzM3E60Yj RIgUeWQYc3+1C3+YvVzmVetWEhlRBdvytEwH0pK5hrJKj+OkV5On9QmfgAmiDjsAgq0D2bdvt3Gi t4bPiAIj+rUnJrQcdBQBjsijhETZEUbXtzwrP03cZflOUBRdA9dxjuXtE1SSC2L3XZInshVIVk5Q GF2zKv3fLAeg9wEnRo7BO3+j/nS2S+LVjyRtt+6HNe3U8CFBdN3ktUIDtdVoKiXmFVYm2yDn/7jw C4Jkv6zvyAjTU28GMsLwejPOXF4GOf+km0fkueS6da2nSdBgn8UVbE5PoXP2LrffCHG58yfA8QaA UzCtN2pGG0CxCXQQSTJW5t+S6AkpjlUofgpApLUwxfjLMPdNkdHOBc9HFZFfdxpuRmM1QfkmLGHT C6ohD8zm80u5Z5rVlWo/UrhBrf0Zi/DpMGL5sTzlR6BPUgHQnkXsDmRHkogqCHSIAMfTTiv2tn9l sk2KKxws3e9L9OVWS8XjwRS1exixgszdvqYnajOKsXESy6pR6AfqIRH9wIa/5bKDMnOYorCKTXAx /RZt8CvtbDfwb2Xn1/Ma29yy6BHXfiCR6AVaevfMkOU4LcBePtiVVk0Pu53LE49Hx9G3WBgj0VK9 iD2j+Dpxq/F1zWhUQD42ZSmYwgMJlmmm9hRzHJXEluWDH0ig324KYSC0bgAIYquhW72mUI83l6UR GT4k2Grm8lDvoILIOdIM/nDOVjwezZHXHnnjAlY/AGi1SYria9zPJ1TtrqhmyjQ0YyUpmLfaLMUO 7RmXsFmgXbz283qu/Z1OYiJFi4aO7aw0XRtIRU9AOZhUugUU4WozRIh0ytE0mtPl1n2Kylgqj5wo NOPxiAviJ7ac3GFrdFFGMwqwK1KdNOkSi9se9CIjokNSpjhCZE2rYc8oxMbCBSa0Vx+cKgsVqMme 0JmR8+Ri67qCkz4qFn/f916bxGDPkOHInuN/oWgqHFBZ5QfnHY8HPccbYcsUsUDI5J5FYQl7Ztli OTUty+ZBvP3kCJHStmkVzwghQnlwmNJOH5zhiPJZbxJ0BpRjTkpkgA7SERLQO8uCI5pBzijAroNQ vsIyPwctI1gJsXGERgIejwpr3nXsLM8WBK6ep81IQ6ST6tCy6z6N/ZxF1/SYKryaoKiCvaiXmmG+ YoMf131MdHB4XJ8It3cisLuix5O+rXL71oPKjjMKr8mSpUiqBqdNw9qLWLNzzzU8/q8sjSSDjJlc 7S6ikN9IBLaQDmwVDYfQAGpvVbUs7GPi7wlmiPDiLLme/Mj1gKBGk3UZhcDQmK19tHrPnQ/MB6pJ XuLfetcIhyVFcF5oHJy4N1gBhGEfHw9mKH13QdBbRRDiExQhROjmczZx9oJRq2vbYb2p9EqS7IJP laYoirAHSSBF9xoHBxcDUDyT5JsT4iG0/1ODgeEAsiqjy+71aypjzSjCbuI4QOAMA0o0uoWg+spJ Qq0fz/8vOKO8yEK5kxSTGycF5S8BlAo4EunLBoU4f8hweeIZKoiwEnJCwOSDUx2gWtSH8ljwgFg6 SQjCwd2Dd3W6Kiv01sGH/BUYof3tg0OxTojN2PHkMXg8gtGkr9MadJDznB9FKwqvYeUDiKwLY+HL +XFPnuX0Rv63XEYrgoiMotBR0k/1dtOgPcO2NQ/rk57NqZoRyDGELLfrNGOM/RXWr5Musj590J91 PoFWAT8g5OLxf2qI4LSmvvW6wNkVFrB7+6I6ADbdPZdNy7CiKKu5LNYKo2u6piSyYTT4TjvrBvxD JftnmYALzKbsYCP+h3I9BjOaWy8bVUMYMlY1QyCeo3JqgQc04/nzpgXPR9ph+yvbR1e4p+obbYVC 111GIMXnqBJeKTmjlKuXr9c25OwKMSKio4OKyYGlUuJaTuRcwGokKhQdR+qrgTBLDtAPvskbFp0v FZ8RFdV45zdk/RqENBrQ2jKpdD7+LzVnAdTRSyuegawouO6FMk9t29qBgaHXHWFUpbMbZr1WVFsh ALsTQKQ7LX1J0AE5Cz4IASKpLNJNoUNH+S9wDPutOwoWuuLyNRGz0La1QTutqiNKlF7F49FZ9J2k 5UJDp+S5/orCaxSycC1rijYczw0gMnkc8tZneVAzFNIbZdTb8/LBbv0T7m4wQqo4skOVyUR+Hy9r cFH8uN7s7K8IINKVowHZgQEQWdWMQLtiN6zvmfH8v8ggBGUCZ0oLRZujKLxGBAY+lFqL56drl1Kp aa/YEVm/5iiWDyFtcVP4goMdRridUfKl2COsHvOAZiiooD9U4iDo2m0wCVhbEUJkqQvSa/FB0XWF OBzLiaMPPB5hseZ3dE1/mnLP6yi6XtJ9YAVFOy01X0cn/zC0+knVWTHCHIXxtZL8QRx2UotU0WMf aH409q3P9XmO7E3wAwRHCjRI2wM04mLAhwRz1Lu05atLzGctIwAzmXidNA6PR5z9b+lrRq6o8dkc RfF1gV4/LCmbeczhw8y5oQCIR4+5k1sBz4re/gox2HKdLZLqKY86eIWgF1Hw6O2XExF01kPAAVqg jZhUjwAic+h1/8CG5kzAEYbK2wl0SfnVQvKp4vH3rbaSl7CJp/oBZGz0q4y1oiAbTWAaFjqduOQr rVrJJlAASVFSqmDHZWwB9FPywWapnUOChA1WjeCClTlL0KM9sSSrRtPasoX1RHxIgMNexM/0cgfu NgDgqSx87rXBd4za+x4eqT/Zv3uOK4qxUadG+XQUA/OzpyABke15yBgM4DlFsUofa9iiee4HzF/O 2Q+HlMEadgEMhM0A8Il/JlVtoZDs4dEYetu/mFeL9H0NwtFMaBmyF5JnxePB1X9tLriMAFYBFlBT tKMYG8g4fLdXMrR4FlKkGo4ZasD3MTbasRK2kMO63VyWgek+iJ/4AGCxoApBD6wKUflB5gLKamag Wuxdo0SN/5rpgwatIfCtGTXXNPh4xJj5ztMqsThXnne/R9igptGBKFlrEaW06mbhbVRTfJKCiNTU YyMZSs+1knzwfQYZ7SyZELh2bXmBpIxkBG7zuV05o0IQOD4kqBk1ZbECY1UHY7EwJU75udzweHCr pW8ZGrjZNUCsbI7CEBsLHTBsr2CPMqsvoz3HDbGnbbQdh9hykavbB2/Kroqbn1D11Wkty741zXZY Yiv1omhQGsZnBFNEfYzR9vZBTVnYTBEEc0KxjccjwSdfRgRhs8xwxR53yHDEPtuPVjhsGw3MT49x A4g092/cIUAkS0i9bx+cNHPOHILtqc2bzk3Ec72Us43HRKeKK8haRbzTdhRib8vU0h0UG+WRWDsF f5rvGIk93jttWzJLE12boxCDDY/JOU3FgEBdm6Ixr+cOKQmaor8ZjhRftcFDI6Dgyf/AnkPHmpEB klqAH6jEcQtryCvwGVESQr0w5WnJ8zRQZuoUoxzctB1KiOzvJAT2qh31PpugKL5GmkIu0aUVDWuI nBen/oQkRHo254sd4kOEoqn1Dt4FQbkRxTCmssC2og2RiHrpMDjA3es4fqqq7lAEm2VZWLL7kKys loq6ICcixOP/UjOSb8qisrhPUchvJFit5RsWtbbvFPVs8Ic5QLnVFIUCfcLObN1o+7nRFgrYCfEP IDToqw2Jz0F9epzjeWEFWdu629tGHFASr3B+2qDyLKCzXe36xcejNO1b1wB1zDau986O4mswdU4s n51W5E6WwIdMN9wtXGuIrneMD+HiAYTDBqMVDdqK0UDmbDPIzpLqgJrtDxVdQFwwHUzyj/EZQdjI NZSmXEKm96wTFNgFUT8BDB6PBLB9m4lnQ3cZT2N3WL+GpMHc3dWeqN8mZtpik5bMtMQLv/P3RJVZ 9T7G9MEv/Ik7Sivo3F2jdETUqPVW3LdnPvcDwtpT7xq57wj4IOHZ7VJGmf9RU78OPB6RZW6rkT39 BkDBxfDvKLBu5DlAh/zHJMNSskKaOl1mMQNwoHZZhA6ZZaj7sX2wOYKS82jGbxzwUFETZFPAHHla 7TcmYml2h+ohBD6Uue6gAxo3r5TnzoGAx6Ms1mMiGfLCDXldW4cURdZAjgJbvKRfgD4qElUZEJJ6 C3DISphzGYCnMLimGNiqsvus1+4zw7E5TdwHMOKB+HOhURGyrQVEnvRDDGi0tr1wwAItxGJR5hPT ZJxXsNOAhcc9dTYzf0HkBXZRj9QbOV/meFCPOYUifZlpGsnOoDts1JEFERkSFAOWf+Fwkph6+jvE XoN3FgfHGhWk+ajSIE9D/whWStjkALJABStTI0uKPQyx8SlRe38SklWXD1wDaDQtofrWzHw+SEQu ao2TCmTXupkaLaPfHxvNqCBuH7sdAlGp3i04//TGY04hDluOV1ui+/tWaU/cC3srMLxRO8KVRp/d jYv3LC/IZIwrtbJki5pCoAjlsZLANPDH/hH7DrR3SfWNCV/UFEXao8zv6w1qK/hKfZ6iajZzGPhy XjnsOb1D2+VsiYna1XkPOYX2jTyX55Ih8bqGxEA8QBuLG+/cYcAeALvWwcVb8PqsaVwkBApw+pQ/ 2SGLEuscDFFT+ixih4zB56OK9jdMlA67TxiQUxRup0EbrGXILOQ/1fBYUBu0fbcA5/EDKipqD6oc pET9UA7OxEJgWgDahQ9WGxnyIfTBOgsKIP+8hotAlUyBo5wiyMhgux+9HB80T2k0KlaC9575/D95 6myqAN69AN3wmYribtA5YJvD2gj4WJsHFOaiXz6WDNGK/MLCyraiySGe9RgP6PhE2NDop4QP3EYz MbUsTKIAhEJSvhXJtO19oyIAm0iTTVsOduGdy6jJEyNtPh/4Yd22P99uo1F3gX45hfYz6Nf21rXZ 9pTUKhCjqXgTaeTNbdf1i4KggFYXG90cG5z9SHRQlSXxRCMp0d6akGcaKTV6ym/przR73T90MmE5 130w3hoyKB4uZ6b5/P9CEaUg6VOTzCkUGClwlkXZAcvmbPcmMC2iSwAnGF3W7Fr9OYXVbcGPM+u2 O980Fzrf8IXZjAo60DBC+wH0RwBapiq0Yif21PkpQYqyu6ptQ4NVhigwypvv3KeNz0emWL/W0iQ3 edxZCmPwLWjt9OZ/p6ub8BF0tmcetyG8aR59kcwIjTFyrkIi1YtEaudmQiZBxN/5/k9GNGlwDWWl DlY/yJseE8i/OYUK2Wb5IMma5Zyas1SpHYAYsw0+H2y59kvxCCJREzbkPk+xkJ9IENMFjoscMAfP plRsnuBo5fMUFrlZdVxbwdO+wRNKuGtMklh6Sugc0uiaqUln2Zm0JC++FXvhoLREgYgKnVUbNFFl V9OJGAwyQzeaX4a8iIXb3I+dYRSNow0C2UyrLfXRrWebEOVYbQlYUrczDLEkVjlp3QevvyUQFScp tUheNjVbEsoDP2T55zzdLx0W2/a6f2G1EzoXNlhhAEYADDHTmHw+yuvGV+iEi6s/yLYcuj42nk3J TDL2dNewM2/Zy0snAUq+lgLXRxmgASOR72C1gaZaUmfx5Oyq2rq8nyF61HHF9UuukY9Ijl0fM4+m ne6g3HflQtEUwHYrnw/i8HIbAtXX0r5SETk2fswsd8s1RHQkVxJPkx7fVEBCrVQVghw6P64qDV86 93Gw1XSy1HPxZZUIxkShhMlfZpXwLKKRrwkEfab5IX+xkACS12CUSIi/ZvYEeqqDz0fYpF9NATJG 177TFJW87abp6QpFkSUtoajWXQRpES6o5RTG4Qws2yb2v+3+HOEg2CbIxZ2fgQ1AzVhmUFCuPpto PaIa7HXnwP1RhLAOPantg6A3JDkbA7ny+QgR8Es3uyFlmdeQLvR/1DQlem1zmtI0/WO4oJPFhYhg onhp0xRyI8mvJfDBBi84lQJOKTKI805nD6YpnBv6zANgDxTaLF2RFlIOLSAXXQ1zF+Ct897Fn9aQ UzHxT3ASDS0gy42bGIqyYXFLTjmwgERuv9hBGT5NT1lu9ztNjdbi2nRxEC6yzbqDg5TA0KoowxLr JiYmyJG41RF/ZDE5hVJSRBB6QMqTtsg9nIPuphOByOaonV/E5yMlzW9VLRjKlNqfi+5vg5pExS9m dUBwWRhe2MkhcQscfQswQxvIJUexScGjNb8sDvFdF+t6o6mi/jjQCD8D116p7HqL96+yU+gDKUlW 9Ax9UFZ35neJc3MORj4ftXX9qquqgnec4s++C3VIANFybxqmv0KabtSCVjWl34WDw8oEgRekDKUn ruk7OKZrcDtv8m5Sw5Il+R9iNgNoip3rDQlqtfeN1lOmHtK6g0KC3E88zZb/+Xb5fLCe7vHESd0U C3i2XRiIw6VujeStXZR9rerEEr9AXXs68SYHbpBCAwFEIhW29ej+wBui90G6JCgmlMxGce4ssJOv QDxqX3FW8lv4KZFw/RQdufugrC7DiFX3Vyt8PspXvlECFBt8JLZy6AiJ5gtCEFWXILVhYlvnv0zW AB8Ui7NZik3XNUvjDl4QJ8G5CNcFikkttBdDej5plcOryVtzyV43ajyxbznoKc5BiwnXBr8YdND4 fJCu1Pl1hpONt5Cr2TSFrpAnJsI0mX7E5t1vqi20mWWJoG1SlLp+UURwF4ySsm0cbm8F4TKLQB06 /yZWVkCmmbhn6MyqpE7otxz6Qk5qadM0jkPWmhiA4w7xjlbm8//S/ZOfGA04yz3DQ2fIaQb1qFf9 R12Ebf2CE6XJWoyyJOnOU4zrNgeE6YPzlAZoUJSwOWcBoF2UtwFp4JzhbUpj0+huss0OzSFzUROK IEgOOsJB8V06lMvk8xG11OkBvPxhWdMvXCDH5pCLbC4d2wgO5vSbDr4FdtO14cDuHJhD2moCQnD5 4EcT3NEXrjT2DRakk1BwWjyaMOtY0VaWyzXZ+wYBZpHUL+PwTogYY2eWtBgSwMuKvyAKxL8xpxQH eyR/c+APaY2DRRVjnE0jTVdFTsQEsRxekCHbNMXobs2PebFf72zI4Z7cBeZZFYliTQixzrxvCjKv zbJafm66be8bAQfYXzn/4UDmC0oE/XzDSR60ufP5iJDzy0vrhJt01vFpCh1s6Hts9xsjTHbvmf6m 2i8AZQ0vEgQekVfZFkQDGyzCRJF9gPPGtC6T/64iASpE5Spuqjc+l73wnwCLoXo4QSRcAicmL2NL Dgh+9YFNpCqgXxNFZ42nShDbRDZmc9CvdBhK6Ze7NNwEcS7y4jlRsU5JEQz+Djcm2EhPk6imcBol lrfBK6GjTA7W3eULFHvf6HjiZllslXMwEtxJVCRve9JIPv/P9cRqChKfmp95ikLxpu8zVWebzjy8 htn2rj5P2RFNOXCLxM7L0gMaPlg9BaCBE9yItQyhtSmwM7ojFahLoKldOWlNe98IbCFHVs7TmHee NogEU/O0+XwQiV/Yl9wi0M8q6bnuYkIlACmCMkFFyZ1ZcT+V6rW5Ou+2iyvi2m/Ul+LwICw7bMyp U4ZjHKEgiMznmx0sO0BNiX2FDe1se91gmqp0k1L2QdHO2dnmO7r25PNR/utRAXYrPCa/ODo5tIzE kbopcyBUCv2E5UGWZ3dUSqd7VNcvCkICCt/Ats4HO5wWtO0ayaWQmGpQqiU8DuRzShfWS0BZddnb BnedRCWbWN4tXVv2AsPOH4KZM5+PBDn8aKKmAHRqoQH6bLooEIexILqXBkJBOuYcr5PIz+nQFFC0 bDnFzEpFBan7YMsJbblGwjswzTT+YSjOVjdwQ/RqQT2RQWaxF44UylknGKQzcVAVE+qJVuqtmc// q9QrebOTbQCB5RMVekdOkr7ORjC9gATeiAGby3VJXAyvNFGxfeTidVfF1q2XPAg5e0SpPMUho9Q7 BQNWofwmOBEkD+YH/J1D/0jVU0YSVi45Vg4wBPXuVBIPDCT/fxFuXLiQvL7T9B6Ll//DLQe5ieFQ p7TuepLFIY8nqizZNEWx+JI4ICwZbXDQZcM/B70GjahzL1FECUEUpMvYr24PMHX4C0fcLyQtrRJa yMF2Hjgv9D86uRafj6Cp33Unaiq1+cxTKMXdkfay0i9/7VmdSZBqyc61aP1OU4wBJxGFjmwaHJ0C 8C5MnRGMQw+FbO890fM9OWUBsKpeTBhxczn0kQSLH12DqgGJJu8nKH91UprBTeEv+Nc8Mdul92X9 WlAh2XK230omPFjUsOvVpabncspu/sNNkg27xooBB6/PZfz+QnQKtCgzKhgEPnOizvXZ2bCrX23y wE4S8jSy36DMW6IOrriEJ9Qr7N/im+AviGoF6ytr4VqCaZfPUxiOM7ubPXc7n9D9ECYlt1asybmY q6qQGVhKYqJYTNGu4uArqgGWtyloAu+Kgcb/gJfoOdDAUCmptouZz8teOCpkspjSs5DhIIzxbzsf kk2d8+Tf/AVRGnzDcWaDwNP1ekmFObCWVCPsBHfN7JMWUY3Kg9vNg1PqyyfqT1WTtAnK1HBbwIUE 5MUVlfu5tCYnalF+7Hw9FFY0Gs993YgLRmX3TKVODirRwfZ8ysIVZZXAXBKV8V/9KAA3ib22j43C cVy0kDpORnVqpiBEBq85mAycHL7vIngKDVsyu042eJg5sO3wB6HEf8IpXXdMzSbNuCDWZdImy982 uu5kpLCSD+qTNxyt8gJOg89H5i5eK+DVRvHCeb0Tc+Av6WXxPEzJZC9iiiXUqfYBqgVQxPJpiqJx xdibbRYNjuLBaVX2kH1iA6WEqFXkcZvVuNpv145QCn5KsOsKD3C0Fm0QKQwBFHdRzavz+X8FmuzJ EGh+6Tw59Jhk6pxIjhJJtUGfx8wBhaNjbrfrPZ3CeJyibymZ/924xafzapB+M+ngcXZfJY4HsfdC +y3PK0+ReaHwUyIzJUbiVW2W6m2W82TqPFjaSSn4fBBm5l/tKBqAtyceD50mq6DiiW25yR9bN038 Btx74URV4pKZuIRWk5Amoh9Z8kETBQ1bUKeExiztnLgFtPACPD10sCDSkOptSPVlbxwF5CL0kkIn pVjed4CKMMTGB/L5IDC4KyqLrHqOpn0tOXPsNwmhvDV3sQSvZKc/zcfupUgzDwFUYDcJOt6g0Uut Plix4Byr6E8RZAjFvdnI3Ujo6FZsvNauzDIlXPkhfx3iqctsuncDFaBP2OXsSlBB4Df57UHBk2hT 6Pkup9BuEl/OuXVW9mi8Ta/RCVQjiEpP3WcpwoqLclDk1FG+nDqwmDqEyGiAu1cn+gDypgDWnhMc nQpL7oaCp8BvUlbR6GoqG05usYC6E3Fa0MjV88FV18qvYPz8vJ4mcOg4WbMqT/nyM5pbBCJwdq/p 0d07KAemk8mgvVnAVQ42TVQSXIXa7yf2OF86i+h0jGqgEQPm56AwVehC00m5K9DLCwVNRPj804DE HArGE5rAoe3kIwS77BRvs1+UYeA7aSpyZwkt72626Tnw2eOOVpmLubLmKQzGqbhctpbT/jJ+KUis EwnQ6OiBrAw0OXwwWqNfb3uccfx9o4YUNU/muoNy4ImemS47IJ5C78kHPMcTH3fBV4EuMJ+EVVcH Sbw2a27WIcj4+bdyEkLk1KXrkfSLIj2vRbCXMpb1ZCwnFa+o8LG5eRIwmL0jciLlmy5p45E3H23b 6/5hs5TzolswB226DoCCIIaz8vloMbWvxQSSE0MJn6UoCocM7B5KUjBfDAxNWnCbMPUAtc8CgsB9 0kR0oHvSfLBZWiC1VNSfqSt89l9mFF5RWKDnGxDlF5+y7XUjVhQQvQvdDMgNwLpaHe8NgAf+8pPh Nf6C9xN87W+r1wGMIUQVfJrCMLxIy+vhsfR+l5OQvUbPSD5RMUVTolU1+eDdg77RaGuMkqHPBLYj IqdzLp4bdjvdR5uO8nA5sKFEeZrkQ1SHbVC6cuKNrE1Xtp4PCk+XP8ZKJmq9wPPdiQrL4jzEh3or FCFoluFBGsjdXxcyV5+oCKBC5tjJ0NlmqY8AGrWSE8mw5xBf0DqlANrg7c7kDl+/aeeK7xO4UaId Rc2BrS73ns6rJ6GYO2mD7hPYUQKOee0oGWICLDHL7QN/XuOmAj8CSMOmrTrBxtv/uGb+cEzBwKnL 0+k1aiqJQiyvwN6a+zpBlNS8UacDUoc9OwR2CFzWeDhRiJpeY6YCxg2xz1tO3bt6NAASADvz5wvG 0y/zU5CZ6Y4bpoGSf4bMpxmoRZNDfPggmOw/SSzE5CSfQm2YikXEc4KLKJgegeayZomDZym1Lyrn MZvLa7Us3wVwIVBayfWawDiM/nV+0v9JPA/kYR+0gs63IRHv8y3g6bf5AWvF5ydbCgjt3GUT9Boq lcwiP5oEw8vgMt3Q+pGF4KRw1rSE9zVQKtKTOuF2YRrH4UKez/8+cXtS6YMGRaSU477c0KfaX34C ne/6vn4KgShjMfQey4ms50zKku05IX77vMZImF2D8Wr9VBoJkImB6XmNkIpIfHttK+CCHijc/Pkr 2vKWeIHGHSfnNToqknZAWJS7Dx4dnXD2xPS0V1znyKclDgyVcVziqBz71pXov/t5jY2KSI1sNbFu guKmABG5TNlFnECi589raFTEObLVw6nAl46WF2fnNTAqqOifo6dXo6PsJfVFJCN739ZAbts6KK9h Eb4ZSptD58qHW8jN6Akh9OnA8+IsIvzkrKANf9wCuI7trU2x3NegCGePpPFraz4YLu5cMLw4UX77 vIZExWQVOBlVxxS0H7PNzmtAVNQDZBZyo+uR9sPhcY3TlYr1v18DopLo6w2VZel3tke/EwHiCQdx 9XYoqtD87oSNgI4QKg90pMMpEq+R13AIX2VltGgK8Mk5cxXg+6G9tcrnNRYq8h/QdBBciXMMuDPN z2skhAM9M/ughst/bKqc0TuVpbGGhDagnCii+RHIa4rhNB+GU8kAT2B3MluDBCxytArNzgGF5oz+ jueysliItpfAAYXTNKC3JMjouRkL1SLPdq398xoElafpre3FRC/RAhcT9BoBFRUyQEexiu0ikZaH z5jOuEDL23Xxo5NZQuYobthgV9c6XymVKlhMzRWcNiwfyPcueD7m9hVPkxX+GvzgK5BlwOb24mDl 2rZXs75H/ryGPkVyLM/RjPi/PYfPawkS2wvar6uzdI2f8rpyOU5NAVTRU/zX8mO5LoqNISKHW34c J8oEAqOCRQrp0obDB+hM0MRx8I+LfWuUf4tmByU1dAS7DyqpdSYvDKGh4xfMTjfk8qDiEr7u8wf6 xfVad+R6NV0Km569rySVAH6sgADzYAoVUdwjYdwsJ8U8LwigNIJlWZ3FOlmZ0MCNvgXAcD9FjF1B 3mil9FpxxFewGPC0vH2whgig78WrRK/1xvKkq0OVflD2++4+P++MuCIYCJr+ycWEemre/0eVVjN0 Qjv3LHunxJXrndxy8sH5FIs6XZl9yFSo/sbj+SyexfRmlJvVzyY5gXAJseax8x0U5O2+K1OoE3KB hxrtsOUXGB5DBaGh7mJzFEXPUhNKrbtrWRtOrqx0cuAJdILM7NScP6PnktP0waZozNEBAED586SG AxISNOI8d85CTl1Gua1aBPN82+CILip4yH1idT+DVoWtNKYoVz4exc/3iJYWzFnOAJbZHL0H0A6b TBRZt86/0wIATnf8FolxBrgJJmlLjWoJRrKedYQ+Ji1YqLh0YqCtElqhvi1wIenJMJTNRyE0zlY6 Td3BgO99ZSpUoYn3eafCYUGYvrKOIiR+UEryKYqCaAEB81xX7J39F+njlWwXPWHFDo4IDusuh5dN 9wkOHkdPwF9QVME6OmckEYOI8wBJ0labz1WW9LpBGpaIIGlMUzkoDQNentBoVKI+7zy4ovLbc9XD mgPW1z5H76G0HfIJKhsWDEHORsHQWUUmkw9h7eQUivdo+swRqQF9lDtYIjbLhvALVV8XDiHoSUFg AMK0nVi3ZSDATLP0zzsHjhGpUnj2iCoEXYSzgvT3aDIITCieBXOUTdzMctXMCQKixGYpCqmhBARb rr58Jc1rrSAaEEPG3LfP0ntMDSYG7bfXTj7YLMFMdAzZBmxcDzJPHsArwVEAPOYLRqJvy+edA4cd zbr+LEzoOQiLdFYgAYSyd3unwP0/xr4zR5YeSfJ/nqWwoBZz/4Mtzcydka87vKoxi+WbwReZUUwK Fyawb1L/WkmoVyAdvCWPMK4umyjS6o3+5Y3+dfXyYJRq7hPv/Dce2lI263fwew25BmgTlMk5b5OJ VYJc7g/SWaPiWFqWp172/UBqhLWlLcL3rvMeSFPijrA//7yz38pT0dcUVQTpoCjYDEWB9aJxGcyH raLfyD6FCnVj3dh4OM2b/FFozYI+GjfTB6eXwEmFDGhUYAmPI34baKJBDQLgZMxagdnW5535hqVq iDZqnHHQFHXZNeHoB6ItCq778r0m1sSCHOY9j96ja1xrReBtUww+cVD2MvUJ9QweieTVG/xRgA1+ rQylfPDiax9gdVW5JzcoeSkGJ4Cki5HuRWqhI98DbMce0W3MBgP9oXTJldEhjRNF2Dt5dIQ1Dk2N WqrnH++cNxxGnKJCIKPsSrM7byPVd9uAgvtEUxQG2ewutkVkMgebogEl851VHmrns8iaSQu+cx2r azPGtjr+1NtGU4SNBu8BH+wsSmOxQVgJgIiibMGEn1sN1bziN/873w0xVQMeq5v3xPlXvRnsWi6v XBAdqrYYh9g8pjMzWQ7erD6J2OjYQOx0nN+Cp3Un/xH3GQqDXpxe9q7BYV23tEu6D9pmKBtQlAwA 0s872a08KgFDLdgMX7fpZ/U71a3Ig+lBQ55/bavDnpXrLjgDRXeboPcAG2tRYCxW7zl4zwxnGyUD kMV2eM3RixMVPgTGENh+DqJsLxvkaYw3194kJqHjqh9+jrplodghXvLOc+N99p3mAyvba79rKKpQ T5aJaq/Gijipx/Q7n10/s7zd00S632luTGesEJJ88OjxXMfnfEuKHsFOgQUcgLtQDKIVZxkXuUbv iXeWG0MjhdZb3lzwWOZFXVCoY11knF/l805yY5Nkf2VqjGhRhbFJikJs/JIAYV20aGWXWJNEVT5O Eky0dRa9k9zw/crRijDtyr+F0j6pfZXtLbAKkMlT9/VsjmVuoZdrI+2Sd4obvoQii9RoskEhNnC/ PLzPlVY/7wy38sAdrJoGfhM0f22OohAbhSjsje28rVSsXn0Wfm7bocdECCMNeee38UqVlpIoJI8g JXXM6sBPCIGuCRYJFlJFb3wAvmLGCvNxVHqnt3G3sWI9VbGeXlLrgMGx9XG+aH7eyW24dtf4miPA 8/sTYL9z21izTsKobSsbtX0tA9IVCurAK2mKopq1ZHETm/gaPHiEnQXCU9T0TwoLOWSaA5//ZIOu W6BLB/4qgkecgZ93Zhv+RhFvq9X2H0X8E0pMIYbm+rwT28ojMaGtBgsUBJs+Re/hNRCXm4mH94R2 qcOr+oRcKgVJXhR5J7XxzJ5SDu4+eOWRNTqJ3wDX3GVhklGI34REwYrptsyW3vb9OKLNS66YZBs0 Rf388UpGV9qfd04bD9/1vdO2VNB8iqL4Gs0+tO6nV/YHNUWZzJbmNoqL3uU6jd4DbMS+7CpWqP9o 8IsfbZuW15C0xPkoknPR3j877RwG+3oGsJPOt/1tikoVAwkwSjnUn9R/VYJCUKf9vBPaimx/7Frj OiJ8dnl36J3Qhq22CQRxIiQs8O5CMoP3yly2eXT0HmGrvIw/vBUfLHyE1X1jKArADC1xyWjLSEiB 0Ic+gFfXBpHG74y2ImEIlENYq+Wg+wnYAlkh7tw/74Q2PD7HV3jUkcWO4g2QdzYbrzWG2G2o1Qrs b77x0XZFroHQTXvtPcJGUQShdae6hAZN0TireUN2ZcjMHYrIjKQAtGwUeiMDQggQWpW/M9m4jtR6 zXfQpQZjhSXRkTU+70Q2xJ/j+1JDzRW0Te9PRxG2eEfDI+xBNA+v/eL/R5zXLrzxzmG7FVro2/ng KQhKjxSshUdgASNEAnkgWEEPCEhW32gQk/m8U9iKy73j2PdBfxX+zqKoeZfPO4Ptn0SWe1KUdg8f 3wlsXHh045yOkz2/Rkt+FhFtLjul6jIJ7/y14hDH2ah2w0FTBE06ELMIcezjzExlt6ShPgqc1I+8 TYxFU/S20RRRtwWqgjbYLjunURdu4RyX7+Q1/LHJg0eeYAJ5+XH9zl3DGmoUdKNonOCyq3aHy5L6 zJOo0/ML2+ydu0YQEa57cmht8FWE/40pAyij5+hZYpWKX1fYjn3wjfa2v+Vps5GmPZvTtCEuVLZk cEv5vPPWyuNfMgi8RtEfsAufoii+7tK3McgHRIqt3H9SturiWyj7iH38zlnjGsZF1iv57By8OAvK biK5vJ00+Zy2WZl+w1ENxg/JfcpkCfX7vFPWmILwJstJvsAIpbQcWMLGFEFZ9/POWGM5xItqhGjD nTDnexJF4fWuFHZtTjzOMsHBHFU1HzFHaXkf5J2uhl+ISey5pJIPflaf4PmkYyARNdjsFUbX6Gi3 H1aI8i2G0Mnm885VK+6hCE6XD45Ll/Iz1F/K552pxtj6u5tGBlYeHlu/09SwOSD8BhmdaRd+oxKl BAHFQ6Yg4CrWcXznqRU3UWyDHX0OT1R0MmIxaUH0n4PF20St40Gy2s1jJ9OPd5YaVrxq+0WGnGW7 3lbq1N0hHpivGK2h73oRzKro82NTFMXWYLijAFguZKaanHIh9NfSWB6wDBzfSWo3RTvncvfhQj47 2Mc7qzI7Fzv/qRJEjKMHJ8nlPBJE/E5SKy7xPkX256AuyPmWxfwB7qefd46aBQzPPoPE8IC0hc1R FFyfswFyNqka7qEWh6SZPUeTzPS26yyqXVO0Dq0PaW9yD0ymH2cvFXisExR7llRhEtvK+Wtph1ew sy3R72wUvdPTrD4PCyWWjDZ+NvV2oEwl66m+Ttj4Tk9j1PmdxaKLPcr20PqdnVaE7T7p99B62U3Z B6ZoifXYkEef+8ymKCxdk/wBfroPT9nxnBNwT4Au0kIvjYuonV9lAWRfoAPj2snIFD/vzLTiVkqo WhO/d35dQaLPhUtqLnwCMp8PdtoeX4cRGTet3MMoiqyn1O+z+d2B2dxc2C6VZmyZE9Usk0V6p6Xh /avcydsdLI2Fm/3JjSfdSs8e7MB5nIRDPleFLd90C0Zkpb2T0vCLZqWxbfvgzI+TJlN05HzG552T hnfM37E11Bm/Yut3Rhq+lBlaIzZZFiZk7YvkiPhPwvfn7M4Svn+npDG6Zqo/2/DBIViY9okuNSmz tJxUqg+kfiNLsPpCGjQIeKekEcBAfS0CAjBUQTlPYjxYMcXMzc87I608prdDvL3MTsG8KMd3SppV VffeW5xP85ukbts+a5rYfNFjzjGII/udkWYYMnTRWDnK8xoqMUFDCs4i/zxZGSp00LkDRYV8ydRu lZ9Qj887I+3GRggjfBDW6BxI9H6EGc78vBPSWI/4Po9wS5ycxTfbOx8NC2myTtQEzD8Zf05m8LIm I+2NNgiox1pGUQG7sWIE2zMfrMq/AcLZTNI6kzVUjGAMkGhqCSo8cgbTS2a78Z2MVlxoJA2TSLR+ HeROazM7js3Ho6La98VP7Zxyi2rvTDRiQWEq7YbJe5IdxI1W1ZvFDE2YCfHaf6eh3Y1WU7uDXftp ow2bxB3qwKZR7B4ttRMU0drlsQUe2142uPbJTa+ZSRoHY8ScNU7bsZPIlM87C42Ejf290TrRxNtr Ie8stCLCJjS0ulsCTNruMZHtNVtsdP6WZYnsOw3t3vxNJnjtoaGBN8yLmVw9rJNGRcCFvKbThRg1 VstkKbH7eWehIZMdRPMNXmscDKx/3k8uQbW1zzsJrUh246kXrfPnwanY5ygqX1NLGxI10xuOxaYo jZFNVnoWaoVzisIIW4ks9Wo1+FnUziqC3isB++fuQbkPhH7kaWyQTTfiNOjDOwONuT6lfAorahw0 Qye8FZ+89j0+7/wz5lvf6JDCLl69R1EIDkG9aPV+JygXA4fMZlhRaPwlX0NxfM1CUWNLjYMlaTAQ OQFjknFZPT8GVcsTDt6Gjijgkh5eawlFtevODXamcfmgCTphLl1WTkrR6uedeFakGPxMEHr8XTYu nKAovEbWvIWpZHVf7LxBMEH3CUIXwyYoDq9xBA2lahxuQW1CNVqbjKBQEtUzMkAi4OkFYAcRQaHv lDPDLfRzVNY7aIJQnRIotOEyi4LrB4UlinFy7QdOUBhc05+8t8tBJ4fW0thFE7OCrkJxL9cWQq+L kCG5++CbbMCqpxKDBXvyXOm4cYIiGolsibPKYhJYer5tcN+TlUfwpA0Oe4BDLL7uZOF4PAgcb6dx KciEsP09hsLgWtjifdWgWk4XEzqao6/HnFYLaVHdOhHF1xIBjxxsiiCZOvAEkSEwv6Xz9oIpTSUX FrpK7rytfRYF14uAxwnBEhsUXCPXnyK8lIHHg7Z+9pgI8wEaTkcRxcgxUXANCAnEiU3PbzYH0J5Y ht1HYn/vIurvoTXqedxgvKk02EHUkXEMS2NZ2iF4lL0zOLv+AN9mkbU0/3tMXKSMAXDLNmgRnZBE QsiQzsfjAfljf5M/cDC0B1jco7iaDdyUenVBDCnYduIH91U8FJ8RMxRhQwZb+YMGkhpshgb0xE4a y2rRydbmlA8Ho/ZNGzmqsXad1fa2QfIhnf8ur41uoFmo2tUkikM9yUeP4upU/Chi3YQSCO3WQnoY WAv2QANMw4a409Y5Yu+FP64pYI8q1+JSlz2qD77RkJ9txJVC8iWEakg+oEKDOCDXfjM0WqThS36D qQGpygGgHJGIzscXFnyAVMfzAYVx3iufiFAgqvu651EPWYxEPlRpq3xFSItcB+cLn2kqJqjdo/ga dgEU7xs+OOAR6WtdEqdrcHSdS1Ji9UwTosTdHzQf9TB6FF9XkhzG2BqWCSCfiwBW9wyZe8fjEdHz u08EMzdqBtgcRVxG0A7BVVI95CRmhuXDeZSdbFVWNixfj7EhrBlJcbw+iuNto8680OPFid1G6+RR d2BeB9Iz+r37xc/CY4/q12pZ5yXBkHkFQyBTpursqHvi+eBauxj1ykWHyuO6J1IYXQN/MHJy1Cyd HlQxkrJopzFw9ymK+Yy8zTJJsS0/8tANsrsZlQuW2DqrYefgQSNpwDQi87CyAwktP3xJcPET6Ai9 eBIacYIzJkaIJcTO2XIbz0d7zQ9tnl6QCSn73mpRARvpMh03IdYLQN8kpwGvCH/6MzEZWnQF1UGe R3F8bZLs1Qevqp1A79w8tIo6v3cqVVauWJnnOoVNyqyPWObU20YVI/Y/lvpFy4w7zhS11k1kbUw8 /hcbhDwScpYx3zZH7xE2EhejW2FmKCMmC6SKXnmR9wHl/XGm8jSK0CFmswXG2HyIY8CqnRRkpmzw 60ZEEOqzKMViWYFf4cKGRCDzS4JJEg9kkHzOwXBY0DURKz9PPB5l+99ZCGWEQR60OYrg1whI4Io0 pOi/CYa3ggjOYP5zzEQ4X+cH/Vp5bLrZlTZV9kFOHH1LRnP0idYzuLYZzCt8W1n3wIafasWXRFA1 BtmdPIfq6Irzg5z8TLjOE03g8QjyuL62Gpo+PzwcbY7CKLtJxlA4R0Ba5U8K3exlWPWBDWNTFBWw 1bRG8uLDPY46SOidq2hs+JewOAvq2xJRDPUk1R0pvYcvCXLZtcTLv4OR0zrKaayqlYnHI4L1Pwh1 QI56v7DQEQXZuNDPIk12qe2VvRySipGJy6AGsgjWUf2arLzMZpwNlsz2DYLvEqfohNyrbh1YUlNb BGeKCcISJL/jl1bRydlz80ENx9bNnPScaRmPB0H2PYz4ZMOND0cGm6EoyqYRaepifVArpFaXWc3L ooGy2B/THMXlax5Ga3QfbsnoJB3NmLKU+qMzYkMiQL2HDACES4UBFYMviTiOPKrVM6KG2rBmEcBL ku3FLIX165uKsMsNrZpxy2ojhGBXAkRmSo6hoWC0YEYKlAjBBr9VkxRVsDPZ1kNt2bOl2N5iLjI3 3DBl+NfOFV/Z/q+5mzIer0wT8j9PD3xHgAql91FPXe2QbjqpsA2QSSIM3fF41Af5B4eFnwXkHZui kOPYWeJPJoWxU3eg2hIOC0C1ykAcydqIAmxD0Exi1NuU+Bt5aRCTIaoU4SMQeJNuJBDwbJAlmI9C GEgPA98R9UBYV9PFxsHqaieeIIXpzP/G43+JPVD4CulsvWyZEcXXIB6dg9nlZ6esV2hK3poVRzqY WLaCovhaYg+0JbPBttniIgLWHbE22KHA+KQJC+lGcN1Ml5VGWUt8SXQWUaK3E41FuKCxgAYUW7ho c8l4PlpD8/swMtu8K4gRBtjUBqtlZWcUCWUMRtGYI3ueVl3zeUQh9hJ833RnxhNin2R5gsFBINZZ N2BcY5bAUwV4DWCjW78ma39E9evJfiPxLDboSjtBxTa5ohM9jlAz5JKuJDOLZBYKSj5JIf56yN++ Vac6MJ3kJM0yLh9k0Y4ckxQBsOWMfPbM8sEmCezDDSAfwVhAYzFVWxVBCVDYvXxJFlW9bdRNw0m9 JqNHDqqtnfRlSLZ/94HHg9Bo+iRJJpSlcy/RjqiGDYmYvZZL8S1GZFItmsVp+7w9tNeiGvaUmFMi vrhJ6Tlzr0HepcAXi+5Z54pmfE0N7kbJiH3bjRPNNXxHFBhx+RSyHTgYnG8SU2Uc2RGG1z15JmvY PwCTPL4eYXy9MsVDnJhW07LT6CSwLlZIUIJmKA6vxUQnbDZ9mUbPk0UBRyFLKPTqaCOZaHXLNA1i gXZeb8aOIwyvGx1+YRYExxWufxGv0u46jlafCx8QpPvPcSQ0Fo7sKyEywgCbIs8nUFSBCIiAbRaR EA0d+udEWcR2WljGrvSp27P5YNN0DqC0EsvY6D2cGxT5SoJhKEzAwbxCeK1S/5ZCT8hwpDZPaUpD AZMSrhzESUlujRMQ4vmoKPIPkAbc7X0h6jOsY7Ms0SA7ks3mF/ktzepSM33ss6w7g+xz9c9YgY8m R2svH7x3vUCyT6ocpQkl/kYJVQCpUSwb42mpkSg7Q4xI0Y1m95v1x1EUAa2eV3+peDxqh+SvKaIU 77ottRnF2Ajb9p5tG/yBC5Hwh41miHLZsaifigkKSY5UnGdqMJ8MARKFCIhXkaI61ldhc78Bpzlh D40aqZdo6dwzQ46j2tZWzq73UlugEqlt3Qse/+s4YmwE7lapd4Ki+DrRi6bm4Rj1tEbz84gytzyP zgK3+HrGFEdKYW0WIbNbEaI+u+A6jpME+IcGJ3VuNNxPCw2Xkp5cdlHWYP5Rxt5sGHIQpwiLqMpi ZVU8/pdgD49nNNAHhPxtkqIIG5CQ87nN3cQSURrq7QuwRjEahMKao7iGzcyia5/1J98fYBSdX5nu dCf4gUq14A+QUuRRcCsilKDAd0RTRLPxLRNkp7/B+HZbZe3MPR4PevuPXBj7b4umRh5jzyjGBrAN yiHO2SNX1iAi8npjZe1cbIajmaEkX1cWW4sPfq+d+2agIks6CLTC0OdOUE/9oSVU7vvea1TCwZcE e42ktALLahu01xpIRdxrZ8LweNB3bP8ptNL77YXMMMZmhba07XTivKsLXiKpcuAjzHU0RxFGhG4h KPFnH7xudG5M2BgxOoJEXyZ/b+DK7XChAwvXoyOaQc4oxhYp7ay76oPW0YRItOwdx8Djf5GJSd/D fJcrrDYjjAiqfYg2Lik9uYDIWDc4KnDj1ARFNexFcEhNOq/Tc17jhE4Q5yPCeA9i+5CEIHrD565y e9eDso4ziq8TKyHQIdFgbuwghQnSDLIaHv8L9EhxYkwk8gaboJDfmB4OyGAXezuIZlvej8ojLR0S PyeaIPaK6BSiwU8iJjWT1i4bZX5Z+Mx1AvuOWJUudV7j33rXAGUkjoOMVMo1Ujm30gkdGF+fbYzH gwm6GjRksJ2vbfO50CKMiDj7XUJzkr5c3Q+i6ih1+BhY5XFGAbbc+qoyEQ63D3LeY/I0bpAhESoy nSWEdgSB5nQ6Vv2aqlgzCrAVMkLIlwPyIWmnnptSkv/rzBWej5BG31U1WL1UtGptkkJyY6NTtlGt EX+ZuCxcJOYlEuO+0D6L5UMmQVhwFtfgXIc52kljaHgxzzXWWJxMJ9LCeYlqL7ujNker6G1/C4zO 3ZJ98KJR5vIHEJbvGKUg/8kH6eVW1VYUXONrwCnyZZRb8rJaS5feSCQPl9GKUCJjKWbU1Z+/0Fgw y9jCQOx9YjsJ8E5Ry4Gjvx4zRthfIUqExinVmiHJgbOwKJUvD7xI8HhEb/QZwn+bN2uPvtNWFFtD JweyIU6YSYRR8SzKbflhnYpHjitEiXR1iOodPHLMFQRQQp8WZEmGJIobJJvQz+p1X6DR3HrZqHpt fuu0CANjW/XUmqqhRPquBc//KRuG6wx6kekGjiuUuKbaE8l5BhKZbOizYLTtljsZBG5XzVEIEtkE rHXK0DTu3J/GesjoLdVNKaN1Yhdo0tC/njg1yKnm9QRF66fhO4Lq9WafUVYXHIQ14ozzC9vi41Fw 7Rka29ZgpbG0pykK6Y2y6nXRIiSuaEpJ7UkGfZAyGnvZWbRCALYCRwljcbCNVjY01Ogn2NCSGp2G 6xSuhyjqec9beBQudMX1a1xpACr54Dzi2hgUncVa8XiU5393ZHOhlVNy9MOKgmukf4DuZ2s3ipkG gMjK2XtpHWoomqGY3sipKT354FER8G5AB7GAvc4ypU9IQ5w50HqEwIuf1rQlw5f81m5EuZoDiJGq GJ1rc5N4fcKsjOf/bILgUgPQdJSLx1pRcA0PhHNEmKfqSXWWwYwggeJpbJFTCuYoVA8ZkgJn15qD Ex3OZkLRi6K8CAiKVGdxai9sNIKu3QPTXzYA0VBXLa19B8vRWk1FAit94PEIZpS/IqO5FID6DEWx 9SIdPZMarZ0myBHW0Vaqj52Wutt/rDi6li4f6egcvBECoEOfLjt7XqhSCxOmtrOACdUeoBGIRnzd YI4kpgrqCgfrpU2gmdg3Ot/b8HgkivUPXQaB676a+ysKrwutLwfeUOZyA1B5mTYUwsFE2V/umbZC EDYvNeBvffAUrbcsh3ZQQzrIgI3lkPNjLBB6TahHAJFpr/sr+qHwOKo0Z2dNrQ8QjH4o+FTx+PtW W+mffiO8AHGz2BxFEXYnOhSkJYseS93uowoogUeP1c3VV1jClotMpXo6B6+qndtn0KMOJSM04Ql/ SDh+J3YoivTWky3A0/B1gyObqT71qWxQyQg0NbVBTkKLx4Pm/pPHJtXgSB/xSYpJjmQ29t2tK0s7 YHFl++w/Lvh05yhWwSbsMbHFn9PVMIRUT+fKoijWRg+c64jtSRSkMzhTHh0Ne9uoVVQIC03bB9Vm TxBB7xnIW1Y8Hq2j9BU/QvBpstDPKdpRhH2Cip89tmvM734NwKpUHhtvNS+q7VihjxisXLIPtoqo 6QWXYrJA+6xAxeN8gyQWPP7g5OjOqSxe7yjAFgk0bVH4tsPVRoW5qA6Ywccjwsy/JFB0U64w734P sKGmSu8hqpUoeszb0rSTYa5hNbU6u0XYO9QPoYghUCU+3PI1QBsgnoA4Dv4wtR8yeccQZ8ztihkV EjvwJb+h1WrLd1BNre4pzcey9sDjwaWWvmV6cJa13P0w2iEMezPC3uXqPQ1HF534vCRvyXJDUG0+ irDRSmKtaPjgBzYUPMeiEuY+8eJGkeqEj6j4NMDhZ6kXQ1PtbaOaGgVEhqLI4YZW5xeYRVCsc2Lg 8b8IjubTNL8BIjtkOPZFoZ7k53VydSxozrqyWkXpXVMUI0Qkoi6jpvwYNaHzcU61ZEZNqLMQZ4TM asCOPHMJWZ9o2tsGzKIi8TliaDgoNoKZH40bwZ/G4xEl5J+CCK/SfbdaCMEeksA07bk1fc/Bitln KM9khIcd8xvZ16/q69enr38yxrETYKsEP/S05DFHKXw8VXgWqayGwBzf8SuGRphXGRdyEUE/TPsE 3LT9t4II1gtKPCcb9jRth+p8Q2Si5Z4FiO2MV7RWugoiMNTRFIX4kCF+4zN45bG1DH4bWTPnGmPT 9UwRQNkIk4ANujD+ZW8bWe4QH5JoCM5BU4R4dIgSkhYej6Tn0lcmCyG6gSPSpihCh0A1HQjS4lW1 hlTcp8jBDyeTLYbE2qE8nxRE5CpTvlxlBkClFVp4LM7CtI9HEbD+/DYAL7xl3bveNjqKqBwidb55 1fkKQbRDhTI+/lf1WupZ599I9WyKQgERbLNFaUXyinp1C9kpjBGZV4Ms/s7PiWTnCApt7BFxMF4R TArKKnKzRPFrE8ZPeaYGsiAuChPBpB8wviPQ4ueVD6aVDwpkTtrSyXE9H7Px+J+SYYqlIPE4HMW/ 4/I1ZiiR3oAZysaMRRWGWCMyrxp1Qjs/5zdd54qs2IbbIYJqPnJGpGtjYKdxlw1oLUGzZz8orD31 rr8575Sk+n66nqilzWYGzHXg8SiL/cZeA9EzcAzbBEWBdVNgPbPsLWCo5aqOsLO0s3rCcdR2WYQM meSAVuFoap/3wh+J/bguDBboRHIoGJR8O9MFISmPiViY3aF4CEGzFIu0QZdZ6jrlofkw8Phfi4i4 EJxB+D9pigyJ8xoUkRxbt6HSE7uTymKTLNIzaA6ZgWPXR0XpBz2jEsuPHKwcghusMtmAcPE5P1CA HZviJFAO/5F8iKGM1rYXDmig3Gsts3SE9FhoIbDTqtTukDTzA/4ChrKv36HqdpVoCKsKKp7EFhGS Ca7DRjdQ+JDhxZGT9i/mIJBRT2GEzTQtiVCcHkLxWU1oUsypNG1BGYKis3BcXDTQkiGq9HoYYeNb ot4+6s67QNlAA5cAYLcSoAMmhs8HeUj/boV0YLDXlVcjUTh4DDTZWh32CPqJ4R+oEO1g/mXCT/ik AITdTOIy+2DThLopEEbM+Me5SjZxj0SWr0SRjHGFVpb8UFOIEqk6mKjJn3EFiHsH+LKEDceEIWqK Au3xj+Q8jhwWqH2eolo2SOIIkrZ1ihLyBu9f12S524nYcvaJCm0baadHCQAbLgqinpMDXR10jKD2 mrGeTlBYzu2PMys9qpi0beO3/IaAPElL9sEIRm2Lfg0rMj7/v9SzcRi0ka63U4qi7dSE5Dcza+S4 dbk1qhpKi+C5vfx8ikrag+laXmz0c7B5OjuCWgm0d0INScCsRBAE3GnzurD+wp+J3xJ0RiTaV4Tv Kx5yd5TB5KayYfKQQrzI1TLGJmUxc7Uvo7CwqL3ZnaWHGc6nRTM/HN7oNi9DQbKVWmQUFpa1ZaUi 0YzyiGY0mPOMDTd0kLHOSV245Bg0T7hnZ7UhVY5M2943wvjxnpus/XNQ7HPmuzEXQsmTzwdGWPkf 8BGOGdiZ3HkKpUXqmZwui5nJ+CkbWDSNZi2kUdqevpyiyHuqaptZmORgy6ljLVU6p6B001YlLCKd LYZvxjTRTH5LfaXZ6/6WweEn9MFIa0gThLYuej4iiN7YktE3Gna1X3eeFAuMIKQE6xd7bW9OqGhr gxcfgsuGrNVuu7C4zQQlDalBjUs4qtAMQCLAXXcOqiHDeai9neMhMSy59aRSm71ugB/Z0p8ngD1N gjO565rSKWg5Nz4fKfh5Gmewt5/xKGjkFIXgizF7o/wUS0o0VDYDQzftA0KmTrfni2RGapPCoZiQ a15NKJAfIEpYWTCB+CoZI2RadGr4tkfET87NKZbH5um9xe/bl993MqMtQtr5Sfh8sOn+oYkCLTHn 1RDPKaxwo80IlN+wdLcKFjF4OCXjrcPdrfk0hTVulidTJ8Ofw6WMnB+/Y7Xh4KgwvapSzOyIhiG7 uspTeyv2wlFhCbuu52ew+mRLmUiZOhhjhj407Z+MDkxuGJz4RIV2j6AYAz1qnYAzIcOmKZGqJyNn qGCbk2GIJGlVJZPpgycsZ4p6QdiBxkkpSOuYsCBXQNYL04xriEmj6xzYPbqWX+5UFs3uDVBOuox2 8w8z2Mnno7Tu2+waSRackO4shWxIavjKkffM0rxV75OstuIVuN6qz1KEJknsSp48t/tgtQFkwWk2 QbWhpVFsvUFppJPVfqk1MhHJseGjigMia3FQ6rtOJsTKMcSD+HwQiH+50C1bTD1dI5Ecez7SXLXV mTz9lW9PQ5GJvWMc4pPQBFYIcmj6uCSC0Kl9yMGBSSdsPCncJN8P0OqlYHSpf7qBmb4TVZq972+d pSpiZB0UhmOYBw8pggFSHXz+L28j7S9WKve+8xTVvNXsptC/5qm5JisO8e0WBzvN5AsqDMUJ4IKH sw82T61QdBTLp41zJo0t/0zcVBU+JX09qhpsdufA+RGRD8FthINqUPl6VBP3PXdm5fPBrlvfQj/Q mecF5tMUKvtN5nMUeeA0iUjEaUrk7jEmUCtI0xSyI1VOgfqwDR5hbrjdQEsRpxNs2NiohKj7eUnU ERHEW8YiOaQc2j8u3nJ08uBg6+n8DJNEKjTWNp8P2rnrmyWBxjUKz3eeIgHtzhaKoBOcpmQdShBJ GHYydNrProvdaajOslfxwVFKtZRzCA7KakFkGT72bDOdo6EggTAup9Shp71vBHebAiV3H3Q7nYtO CiTtfBCfjzpN3wB39OFKvejtHPg/GgcF4hfNEjvQzy0SL/RaUsIynAWQQwtIUmhxODcfbt+bVs/E T5zz9mQWSS1LcNoLlH0q+94i/qvwFHpATpnS0qNIg4Hc91m3qmyXxeejc/z7skPPE1fLnadQh2Sx s7vm8gS4LtXqThSTitXq4OPoZqKBD2QmnXCaLq0Gj50SgoRKsb+TETXiFaGlfUIodjNxlXhMUKu9 72/XHchxPlhMMLtAymfhVj4fkQG+lxPsOwf6dD5NYTF8kkzqTYLzve6Qmei2p95uEvAt6ZN+k0Yi DdsGW03nUjsXW6ZSNO65EyvTWmzRfw0ALsgLO2IpTXvfSLieTNLa72B5HYCscjxqhc9HCct32QnW e23um7CEbpCAWgIUaNC3PbczuLYzKc7RgQPYZimMw9mTy6Ju5y/qdhsDlZPNBP58EfgSiMMhNT5x MGSeuN6bS/a6kTxrVhWl+aDFdO4FKcTBUpnPB/lK+W5gAjsP3cobOoWOkMCJQJF4GIpSTRZkv4sp B4sEXUdT1wdFDHduuaqSSn3cac8vXYGC36z1gufAIkFDMAbazM9Ux/5Bv+XQE1LNlcdS3CS1R4E0 KyviZWU+H8zSc4LztEaAmR/D7NAWcjLU6putbubrkzJ/IJUWWnFink6EmLyYEjpDwvQNinaCd+/r ONIG5MMmDJUoPb7yorDd4N7jsu+307vkmB06QwrYzcqUDQalhCqTneCTz0fsgPa1moD2anBN9WkK dUnYDy/wmtMJnpKLRZ/wdDhBuQMvql0XeEOiIU7xcbkfcnD80sL/Xqh7DNb+BIsLxZRzLC0A9GHz 66U5apnxW6LSHNLeUegbQXd50R0X9dpZTTkbnB8Q4XO+IycUdOYj+psDe0jxCSGGLFDOHnTI5RGe s+nbFnTl3RI6cIdUCPZWc2qY+XNIowx0gqg6J8px0JekYzdi8kIFHL/qtr1vBB1giwUNMw5WdKoA kPMEPrFY5/MRIefuO+qZQG1z1+d0Cj1smm44wrpkYpM9A040CVedgIAnRuKBR6QzcRvvew0Ozz0b eKG9KQXpDhwrtRPQ4CgC4j/t8bnshSMKJXfckuko5KgkAnl+1Syn6NFgVh/YRH6T3psFBS1dZ4Qc +kQ2arhR5tmRKGk5eWm7TvJc2xvAOXSKXPIhKSqKl/JEBb1Sc2OyVFAmr2HQKAFxg8AUCiyXMVDs fX+LnaDP7oOuu0qdOfzlvTY+Hy2o+hViTs5zfvZdFIo3CrpAGN6Cp1kvMA76tDZNJD7aNIWCgOxt NlmNt8dqHCgCZNgU3D4R5omfCchIprwF5c3r1oKQV+8bwC14Pi2Z13JQde7swcrg/0zT5vP/i+tP QUBf0rOaopI4cEXn1a7LX+lGzj0HSr6bbpTtk/S7p00zf6RHdLud4AlIlMRqSmmQrE4s9UJ8k+k0 TAqAr8Kms1kKQSnsbILd44OiHdhPyiHhXKV8Pkh/57f65kYG3p8+eWgYCb9xgOJcD1i8ZaJSqstu DyIalP0GfpHZOZW93MFrKbCAnjTTaOhv1sJKL+A8P5CEhDrEDQnqsrcNQBeEDoK/6INqKXu0kg3N nPl8cDCtb7RuBq5r0KLVZimKw5Psots9wmtyN5tzX6Xq0BRg0G01xbzKSrmSnn3w7Pesm7FWEab5 nEtoFLAifuJEtvd5NE31oUaxFw5WE/knYE/6oCrmObf7Fum2Zj4fedt8dw56olTAXU2hceQsIllY HRwhZjUQQa6Kyil895TEY+/IpV3HeeLgV93JSE/+RpNWKEHT4mTSeBkR+JSgunfJu71vFGLS76/y puOgaYIRqCn+oSIeuEdK7OlB8SB6H+Mim3NoH7mHmUa7rV3axkZFMWUMO5wWI3ZNUxSJQ0EChAwm Lhw8Et/z5CtZ2OYN0Un8J4nV97bVCFsP3bvbCwdoAkoDd7r3aLB9B54CUZcnNeXzfyFTVZvDzl83 Y4k9JJn+7uttl+RpzwbLJCe+0al1ersu9JDsgqVUnuEcbNcVWN2VrSrBOYUS3OJgZX8i2I1ySCFG wiBhRM3l0EVShBS4DnCgg+CPyASjdQkp9swPiOTL/gkxiU77SoBjJ8laaNrmvB248dpE4bttoja4 a4rFf/GSJHEni7iTL0AVUGv6sxZ2VFDuKNTiBipk83yEA4vZ/lqbPDCTBKBW0EvKCGQKmnDjzQW5 b0aYa2R+QJQDfxfFITnJiprPU2wniercnNmFOSGcKUxKFn6I59OAoLaqmIGhpHuVQFzMB4eFQUMz J7qzprOzErsMidDSCdEsQKsuYj4ve+GoismJmmQVZj7Nvw0c6UUMwzghAj/gf6HIQxik1/KcUFEo DvzgCQaWqpgwUjfYBTaho1PScj5hDl0lpWeS2zN4Csz4unE9nWAjV/MjB/F7QXwgU1XRODyp2+tG 111h7ksPpZEtLwJbrtdl4kGZz0dF8e9znN6beDOfpbAoTics72eevZBd02TVbMI4QBs6eC4wlkRc QyoYZNds8FkiqJepO/xd+q7s/9YKfRjc5AgEXNRkdXvb4LLzfG75oDgcWrJiOp17ns9HRPn1fTjB ORO4vjtLYUmc/kmFCQ2rmKqIU6VTi4kkZ/DvbJr+AKdQpFSDY3jA22U/BUYBUGmlfvlGL2GzwlT7 bdhRBI3fEklQKgSvdxAj7ETYKuHU8wvx+WDLzW9BgcYz7qKfc+gvCQEsMnezEVRxkLgx4GZgyewX AEHbdGEsvmwdJR88Y0FVvyOBrERREm5+Nh0MfBdEuvO8yhSZly2/JXJS4nrq6rB077DUTZkcJv5r 8fmg7PSsJ8ITcx4E+D77LiyLs8lSWC6WzyT86aWI3xntcaoaCOeqZIZGk+ZwI4VADpoquB0VWCwh HK+QosJugyk86rVYzmCa1NuN6sveODqgpN8hOWrnDEPmcBchVBKyu8BrUiymrzWFlAUiEzZRodlk p9TJNuz8OZVMvANqx+WyMtZ0HE/gNYkAAyvq3DXVB4Ne9BNKnuwxyxx4QC9X6rA42LMEu68d533b YJKW8TIuPUMB9EZB5UEUBGaT8hH51jWlyPO6kxTXxTFJeS0Pxl2PCq/QvFKQaQujWYqg4ouwE3Lj bHBQ2O6wDGimaI76LzVzCFqDKQQc1Cy1G4qdArNJWfhQkXL7YIWCJt0vmlrz+eCua/2fWPz8ez0N 4NBuslKuGxYjngKv6Q5ds1dPgSePMU1TbItD3Rw5LNTHYQHESXCFKfx+LlOYpHJ3TnJ8kBnvcTFh Ks6FjpMCOxVxDsFPNTeTE921oiJmQgM49Jyc7ZvEgmO8za/VFIXidROeQg1pqVStZnccwQXW2typ 300XxuL0DWpTRd958U7wwsHfUEhjOfElok5amSmxglnv07NT6BT4Tno3CrG+D4Z3qmsIDZeBdwqN J/PFGPLIR4xRrkJ+DownZYMLU65lMUHbrpdXtsl5F/hHeGfzF99JJizUCtbgMcGJl85qGoxrzpTN KsUKaOp17NQMoqYlwKC16Ft+U6csi/7lHLTpTqKgLXNmu/L5Pw07xONEwvI1TSE5E2noyC5wTqkb kxWkKbK0T1Izd5wceE9eFstk4y7NfQvio42RwVxgSLBg1FkpWoFYi55v4wkxZV2eQ/dJeA5AHoQn eAIlRBpT59dessWdKzd+QFTr/UfqFBDDfaXzcmBAmVliBmI/XR6LYKoUiSFYXfSMUqZPVBSKm8hQ m3fwZlRHlAFBM/TsQKqYyuvQpaZqiOg+2nXUhsuBC6XLnOfFQiYHJSzn+pQu5knS9HxU7f2HC90B KNpX2CuHRpSiRQ1qIf0/aRBcZ4pCtiV7wBVWATZRETwF19XMXYZL/TFcgvdUQ6WATE1oXkKTDYRo wAKAP4ZLtgvniu8TmFHKiA9ii0yDOdjpdAIqKd5v0H0CN0pRzr8QBRBgm/U2gT+vcVOVfAoK4Ko2 nX8VP51GzyZ+OqgyxdPpNWqqgrQRCjZ9cEQYUjnQfUhhAaqKgqeLNVbCUtZ4KFF41deYqcr7oufe ZCrQphd6IccrXe5zQ3xeI6YKtJIippPEsdqJ2ldhS5OFrmh24HuQwMC1TKUTbCgpHXRLMtUr6JSi RRTMj/K5iralDbbX5jixEfqZPLtXgZwkipc4winnD2lUt10wFP3rBN3I27zem2svoxwtmiUIRZ/X YKkiiug2QVs5IHh40+bnNVQ6D3WpwQq+SxHmetVgO52RKcfUlmvDvQZK+HETo26pMoxHlWFQIRe9 KIrBVsBRiFjBLwM5KxjjPV4Cne/6vn4k4cmD3gbtifNgk27F+ejPa4xkk6vp0X9KNWNfPq8RUlVg tXea4hFsFg/NSGDlbOF2FUMs4WPeJ2ew8tYEaWoPpKknKLesVhhFFpgIquFExi5OyrFvYWkiTPu8 xkaYnFQVQzLURtFcYIgMxCO32vk58+c1NKomdc/JMGu3SWquTc9rZITdVZkOWB/u7NDtYXYS7Zd9 SxYm6fIa7K1NlCXQDT44HxOgXVJvzjk0AWQiBpzkjE2iJxwMbG+Rsvd5jYoqTmdmauLQXeNGoHOS eb6ck+7zGhNxdptNj51TUH4sNjuvAVFF3PgfGIH+cFOAhXQKD3GJdDAN5mfSLajybtJw+0uQO6k8 e6hOyP7v2c5Q8cqLOIjqUIrE6Po1HOJPyeQDFA0bjL8DihFpcOds+7zGQufpbVpwUyiKs7lo/qv5 eY2E8LO3odKRq+a0ktxVaYltSHDAxQy+xkFV9g5o5EpmoD8yA2vWs7BxCAMxCH+ltKi9dPJWCMKf sxkyN5bMyl3h18uLxA0MiPFlXVrRZmfnsdf+eQ2CsIDm9gWk2DJTgdbPn9cYCMczWTt5WEy9tikL Qselu5EJzkJTEY4ud2ZntZAZwMEm6HwiQuxFXvjEPQ/eCtL/9rPYuGhfEXXTq75fXiglQv5NUsKX nVpbx7T/sPORP6/BD9/Qb3eqoGJvze6X12sZEhusU4Y67Xv8FC8ZKQ3h8VOadSZfS5A4GprOHfEm 6jM78AuA74GYORtmrpt08IQwGrLECNIc+tYoABfMzqKFyRoEdC0PHyC6ujaDQ2gZfF7Lj7i7ar+r B9PDijER3pTGCKYns0pr3Hi22vTPTp/Jel2485WCiWIf1fo3rbk42PmM1VOgqMkJAmeHtSK6NywU aotou8K80U3ptepY3ditSgmmXiUYmN8JTs5S0WvNEdfXqN/nM/yF910+75w4XMtV0FI7iuHc2gyd lCFkpAk654S7lr2T4qrMUqGrvO5wy0QwNrZMDHKCdKZIBV2ihY5ZHuUKVDD7+7xz4qr0ZtD6J9aU g9ZQAhWLkOUywESNttg9gvDYoIt88zX0zojD5iDQLfWpxvae3VTfi9tO03Vi+yZ7Z8TVZMlqXZKE WY8CNRT5c6fgS4dYpzDKEIOtZxEVln1vt5ZKXJ93RhxWEcUWoLjjgw6hs+OmaIPnv/i8E+Lqw/ye JgZTf0Zm7194qGiKcnk4S+r9D6cvZfEGcBBNdsyFuIlOomTCysOHi5kszDGmpHHh04xltJlzAlsJ +VPPMZTPR1F0Fwmu5+SDkIDnJ9zsiwCP8XmnwuEVd/naacj9xjkBfIqiOLpN9dWW7zQKaZhAnnjy VOskoVLwiCiUJp4UfkI+eCh9Que9W9YyqpgaoCU7pVvJkEEUfq+ypNcNErGGU6gD6WKDEjEUZHl9 nyAMLZDwNPJgmhMC2VCAzX2S3qPps0Ez2/x5O1gSSFnFQ8BFJU3SifGSC3e8B9TnN2YkPSsLaRws GWvnfzlBkdG7VlfAeGYdzfZOCtMyFGAm9fzzzoHjjUacjWgmHVUHQa1qheYhj5hzLn3eOXD10TJX yJgzeXj7ntlRUN2tQ9uv7iuVVxk0NvcSKBOGRTZJ71H1TcrmSD54UWifS7FNWbnXfP44TQwaSwi3 QWS+cKRBq6B3ChxjC/reJUTEGoRGyttcZOnu9s6Aw75J42u3ZfSlz8XvgfU7A47JOAW6x/Zm/3Sv ya3Nxmb/bL6OwsCaEstNjBwO98wuqJ3pWktkm1ICDkWac2xtUHGu1ySD1s87+w1/Y1N3SC3a5joU ANgo84Bs4eed/MbUzGMjZilI82q7ZaEosKYteeIqUVGf0mpUoe5jm0nwt5hQFFoPE+6SlWLfNzfr iq7Z54cDUunU7+obAsc856jjIWeFNLve9n2KBnkzXbrvHDRFZ6nS5PAHadrnnfj2T/hY5AizID3k 6es78Y0VN+av1fBZVKPwkv4a0zpFMDHxkn4UYQ9CtKjIYYOtI3DeGnHW5AUAyC39XIotdFHSvU4t gOR7hC2djZ5HJfxv1OE7DZaPxKdDQPXzznrDcbb/2Wkwf/2qLkYhNgoRLJ9dw1Lhblk+K/Z/LSCB OEgrjLGJOho6lDjYkT1OAgLRDjIoG2yBaZqT2IFGPWozxLZSvr3tL1NUoJTtgx1G5dySxG0TBREF 2flZRrzV8EbFV9E74Q0xVUMKO/wsWsNgNFfUFPFjTdVy/He+G+t3DBw3L6y6x+3EQtTnrKAyBBSZ eckdEJbvHfcZGh5eoKZi5zvdDSt+S2FJJ1JpzpIYkygKLMeSP+9sN4YNN00TEbf9oDjsMxSF2JA8 BwrrenFmn6HRTXiRJjbGL31nunExEt+HiNMGh2tv5N5s+ZyDe57EGkF4giLcyfJBeqLMgh1FLDO+ M924CKiIW5mnJSghFMtke2+iBELA5J3phj+2eGykMkiFaND2KYpC7MkspO7pItQg8HupaDl+bU5y xDlJUYjtbmXCIpe7z86PMNCJEIErFxIpkYbgLj03EZw4y7j4tWGv+0u2n4l8o6crrlsuJDj1yD75 BEyfd5YbooYbQFps/sMs3iYpCrLrlPRrc0R7VZCteprVkDBJ1Xjv7yy3qlYW/rO9fXiaHScaAjYX SMh+YpfOxiLOoSWz0H7JNpIveee4VRflOBH78EFB9kkmO0PJc6nVzzvFrRr38R7YkwXzfNsdUYxN acXz/unWROp0OHuuy8+jWT2ffee34ZMECck6lvK+VSOU8U+syPMonQt/NdbUOrSVBzAsZq0wH0ul d3pbvQovCLRsEL4vnWiMRYYTac/PO7mtPhjtSZQR9QWgHm1zFIXYoiyif2hdD1ZUeSB1tUKQzvay DWT0zmyrYnYgNmRriIP3FGeDSg2moEPzdIHswsLauc5gvVggTlenZDtRiPm8M9uqmuCdQboPmqK6 0mSVH8aln3dimxX/LHxkk6Sy8njP7CjCnnQsxW3jczS9tE9ejGUhUlHAHL2H2EjHGVuTA6nBU/4+ T4qA4iZC7Hau/US2O15uI63IWAG3cbb0tsG9RhtOGE/4oDk6QceSGvmZ+c87q62am91N1SD8TDCs TVEYYgPOnQaF1iWKv/OydJYuJm4csC02eme14SemTMlAn8QGW0fz5EKQ5yKWFuD8zYvt3Ag4YYEL v74B7KfzbYMjW/41sp2qwJioZ9/QaiTZ6uQF+/POacM6KvnrOOpUc1n3yA4r2IlbrTSXL5NlqJXX uiPVCrFjvNfeQ2wUDzqxDfQP6Lk4FmQkWnSovHb2BwgAMk/GYoDf5zmnt5fXGJx93jlt+Ek5Oz3z euve5IWXoqEeT8jbP++UNj6+v1I1epWiF2pzFMXYjVVafYFAjyojKUBqzkCC6rvttfcYGwFSZz2E acisNw2BtAuQj+RpbZyzIF+gtA0QHcrjkzQIwUDoVf7OZsNWI7W9rLZ80K0GN8hpSOPxeSez8X7y W42xFMp8bZNByjZ1FGQDkgb2kcQC95S3Avkb2e+30bdbdLwz2Z4shMexBltFcC/dJp+0+x5tS0OX 0jWFohJXPYlZ4uedyMY2PqXvkvG0LFErMOnaRAe3c+R/3nlsuFTWLfVzV2YyRDyCfOexMVwoVHud Xlwbc20/jWY1JvJq+OU1RzESBH85cnYfbBW1M03nf7iKgIBAiYf5LrATiHs7iTZGpil622COdnml a5dzZwoJ0s+B+c5h472/vg6jIqzXXURRkC1Oe6UputTKvPEIxG66/SKC77HR3ilsjH8FwQbUS4Mv Iwg4zSGOSFr4LmmcAmoARB48ZS/Ocehtg41GmZsFCLINWkbw/mL1ENHV552+xhD35mpyzmlE0vsc hYVs8Fg2NUOJUl9mvA3JWnbUivx4hjjI79y1m80CyuCDg9TJcFjSB6zlHH6ijEI2tvO6oMCv0tlJ uY136hrKdyyJoOrLATX9rjTknBQMbaCi9HlnrhGXkb/SEHKxcr7LKAyxJxP+VWwV5V6cp91adZUy UPhtFUVV7MTQCPR+H2yO1k7r/OR4byBCG+w5udEAYkGZKN+KSCf5+J2zVuUl01VN6E9RAcJOZNvj AB7l885Y4z5L3/sM92geHju+E9ZYatrcXDXbpU/2u6kCColFVUBUoDVDUQk7c/mcUHf74PXZdPYA HaqBuk5k7Ypfg+zs7DEZc6oXwhTkna9WxYo7V32l/i0HHUWAsDCVPXEKXzFYQ/m7XwQxnEYKpKYo Cq+B9MxyfrR8Xxc9U1khH5jKLq+rvdPVWPsUMJ22rhy89Ai7kQpdWGFkG3jkJ+5L6Czh7CmJdaPx gInf6WrVFPEJ9PTBWiFDOCh6oH7e2WoEwux/5mgA73+Poii+rtxnqmAD/QBjGiusJb/PRjk3jd1n YQXbMPtENjaqUv5MJvsJ9gUoTaOUPSkgAc0ItBAGZNqhluXJfme36J2ohpXKyDGJ0EdMtzo8FdE1 GVjwAPi8E9UYOn5n+wCrjrI9vH7nqbG1cMKiuczUHg1OK2Wf88kwfQWCQ9YqeiepVQda077LBltE sOI6225QCGEDKUXfW9QzIAcGqPJ4JJRRAfy8c9TuWYTUmL1rWA3xpwdCY7O8gDLk552jxtDxu2g0 SK0o3ip656dZTfgcI6O5W0Bt2StruRSXtzvxsfGx3+lp1X25/rvBD2eck69SewQytX1Q8hYlDOCV i+jet2hEdto7OY2/KDOQSgwoB4XXE1BpMYp2/rxz03Aj1u/ACHIVbd1m0Ts1zS59ntK462XsDo6E 6I6DytKogO5GHBaAjlF8PdVJG+xeyxNec3Sy1Q25xyI/zpMqsKHGaVwAQMrIRAtp0CjgnZtGIPBm C4S2nINVQfxhsPquW7JZdX7eqWmGJH3WUaaQAhQMbJKiABuKacjNsuxJ0VwjvLqgbAQ8WeG936G+ W3Fkv1PTiCSTLgvdFDh4ug9yVEpszEKjdRAjD/AL4I6N1c9b6qde8+edmlbdQ5mgPxuc1dAkBtjO 9fJ5Z6axJPEPmhhAPoT5NkdhhH0i25Phdaeqw/pRRi+bPAhQ1Qc4U7aMoiJ2k6J7koVyuqTZ8/IA PwC4Qu2/ZbKkCQqkG2QlJIRXNZlNx3dWWpW5FhsqyQfNECAES1r2m49HBZH5NUMLh3XJfvG/U9Ju zxEVEU0RhEFsp1kjElO0WjO4/jshrSZrOTaTl14Xcj2A7Dqn7OZphNqjDN4aZn3R6mg+7sCDhIZ3 QhrbEtQzHJSL4mCL6FyRQqSvE1+/89GqNNm/akZEFd+62jsdjeEjduWk1Y40tbpn+2dTJOuFnIxo 2M3/zke7nIYxdvPB5+jsoVxASzxRwEIHledWKmLenS+t1AMUR512HO90NKb70nyUn/tdRudGONks y9Lnhvi8s9EY4nqIjSWDIlDby3OQdy4aw4VM3V8THj3Ry/bwcZY67FI7gYd1Hd+5aFWG3lPoNBs8 fKyQcZ9E0pyNdf5B/baUKRCCEsd0P04DQLwz0R5eQxKvIV1ew6RiF5P9PT7vPDQr7n4lIezkVU9C 3mlo3Gib01J8grILHcCu1qNHpOKaoCi+7qRWzfwMtobO1j0nNjDDkPkDSEA1tU59eWQT+zHj1BKK ytfDFW2zD5qg86HM705K0ernnYDGC+17CcHKvYMPZxMUhdco+0GB18DFCO6sMJtacz2fjtK4JigM r3kCTRoia3AsVhpj7t4pDsXmk/TIYGnZ0IVdiIbtHCIy9J16xh8TBdmR2LfmoAkCE5A57cm55ued ecY/9bt2DYlNU4HgBIXBNekNQqNIo7U57BH3sNkELoIhRf+Iwmv1ZDtSXxsciQXZ+SbG/obZ22ZJ IDP0JzGVaWxTRS3pbYP7nrcZZRZscOzDpnbi+Yac8XgAD2nfAGPKdrWLw2phcC3v5OIy7Wfl1Cue pQOcRHRykzhFUek6UXe0C8jPwVtpJzI/yX0zelVfTUc1BOcqObEASLkBt/ZZiA/ZRKkpNFoXZoSb oJtJeRl4PGrtf+NDQMfpqV6STBRcIwYC+sq0D+ew0wf4EDoDFemZGIeov4fWijcm6KndByvLAsAP ZxvJaMJsuIgG0ekNCZDILe5L+L9HkXUaBlW7gxbRWY9zaoI2H4/gxf/2iOYPsfM2QVFgPaggknba VnOc2f1KS2P5kTNUm1WLeoQPkYXE3OMOXrgecAzB/Q8UFrrXZu4CgOxOxRVZu87qobcNkg+2Y+se 0wdO0UL/hmp+wKPg8Qhj9L3PADI7OZGXQnoYV5f21IgEDynrlov2LRdtb6P1qHItTTGCP23wTP9E 2aAds1yEsixasBMaOPUEt8ixar8JGjUq8SURDquqV83mPqWAxCXCzPPGHue4wvNBFvsP20HogHVP ox6SGbusWoqD1dJuhuc7l3O2f86JGI3BdY+Ca7S1KIdRffBUH5oavch5q0B6dzDVR8uAh3fZ/QH0 URajR8F1JTBkpSItVvag2WykSDsD5s53DCYpfQfXsOVAn8/nKGI0InI6t6k53O+1TOsBRbVZHK1G EjhnKAqtB0F6XfX9/tT3O5DJ5zaXqC8O78liyESHceC4zOUr/WDZsUfV6y3fiK1EDRrT6u2ACyiV N4AH8Xx0qbV/NhvqjuueR2FsfX7STQSrkLPFZetTYrrEXiO4NDZFETpkkSrMpqQNfqUl6avwOBqT DFB09SEePjpl8cDas+MIFV18yW9IrNJobEP3EPFbT3xCaB6QQ3Xj+WirjX9W0QkbAeu0KYrK10P+ SBTvZ3QtCixfsRCYnsl2ALGVx1EUXaNeCvn1kX2wjZbPb4wDDYl+hXhRqnSMgEbUhjVRoa2bS2ba 20b1IlX46YjQrv8mBDmNEXLuAzweHdn/ALHkTuk1tf4eXmPtUnOVoqqUrqeyD8T+ET1OWm6Cgsyu FVppPQqwmb+fkC7fwcFqwOwTDYrD6ERNCDLO+yEDmSgrZawAN5Wkb1uP6tfQq6RcX/NBCBosraS6 Y554PODHLq/wM0qAhtG6hJAeAbCpin3SjazS0MmoxvZiCDSa+c+zbauZIPQIHKKWdSs0j2qFp19l FnuOYwR3Kob02dkFSChg/CycPqi4+XkNxYeKL/kNGdoKkQ8cbBmN0dgoQiiBx6NEv39fakiDgaH3 OYrr16A6kAdEPw1p/MtPg101eCcDk2dTFFavdZctMh442GkEtTMkYNS/AK68kkNcgcxacr8ERktF x0FwSI/AIUPx4xTVYV7LTWB9HF888Xi0iuY/UwRFlj4uzTqKsCcAMelklK4karqYPLKvkuh5t2TQ hxGKhJi6A5tpI99m2oBrVCn0lIFEY9Yeg+d0hara+plXA4MVSH5HUA3JqqnNOxjXARDYpZpaxuNB sr/GVxICyTH6T9oURTE2RTxPolau1sy6oVFZ3Wbr5Fae7o+weK2txmaihlu8Xkj32YQHZwlUAXTT cDWdEJ8Wt/sqhhV73d+22smNiRLB4h9qFS0oreIPx8fi+f+lb41+bEdB2yYpBGHLmyG14hCa5gyH c2O79MMEVsgmKRQLYQa2JvvWSzCjwUykA/hIBS/I1FdWsmAhOmmMmHHiXi3/k3ENfEeACzWPET+x zboG5rAy7DuBasfjQTJb/cQ2I0VscT+xRxRiA9MGEyRHzl4TblD3nQh6Lm8D0IwwwOYxNDrRfKMT F48JGuc/Pu9PD6S26z7/pI5fhrPyOeWAVHuu/fMrD3zHbwS+sqnXy0EHCBQihcM6fzEej/DXnsyy tg5EfKu3ajSiABsYbdikDKs7puXUtL7NYutEZjjVtISiAFsZWh/9Dp6ozYVK1JLjGE2iaEOKekTb mHFaRYiaxqWHL4lEVbiGFrHFtCszJtC5GvMUx7FkPB9VHr/BD3LOu4DHEQXYEr6qwxgOCCST865m N7c26GIky0JGFGJvNUEakxEODi6GY8aCZTP4RMhCOs01K3S5qJKKRppVr8nbH1H1etJB68z68kHY h92A0dH1MPF40Ei7fGI8hlSWokk2RSH8GoaZSGX9SquL/TNO0UjzOYqsTzQi/HVh9Ng7qQ4cPAtp dFLUTusnD5DJeyJUDeuoly/doqq3jTppGYQr2iFq8LpapZMz/uSBx4NO2vouGxWVze9Gi+rX0Kp0 WWzyrrpLh8x9WUXUC9JGi8JrdWO72vpdUMQs35WzdIiNBpavzyz/A0YyDTRd9thsghC54DuCuMju fJ7VHHQUoZ2yL0t2hOCQXr/ufEr2p+59tBFF19AXgHqITxDFPXkSDdmJSK8wmbbKiIJroyxSmkjD xT0MtPGpnFbQUivFhA3I3T8TD7slO6wJasKXBEuI4BAIvgI/gx6GFMEKmIAyOVjnF8EHBInszfXZ 3EYfre57FIXRdSPbek4J70EezP0zUfl3dfWz2f0oCgvYFJqFELsPTya7ZymEhJazzHCnUcMIsSYR FeDIWJF/S6MnLGC3/V18BNSGf9k6v0XmYYK+Jp7/q7DGs/0svb5v9XGGFWwSHdpgM18uv2QPwazu 3BT2T/Bkra8/w/g6CWfE25+DdxvhNtqKPLPO/wIhY6whrPwOxZoxnmYaebIzRIdQPuT8bdUHy0Fg D8NYp5aKx6N19B1fwxgQKks+RVF8LXNI1iEEfGBlhMCH1ZsnstSD5wSFJWy5P61xB5sgAPgLzS5x 67c+m7yyiJSA/yQUZbw6S+eeGQXXiZlHlaVfzS6zUiEKSdAaMBZ4/K/DiEW1s+1gqusTFGqIUBW0 1uVN/Zy2C/Sjr2rHERaRST2FGiLsN1JJ1AaHGGW0SavKIQ3K9vRdo0LsAmKbvoVOlKWswQwr2BJY KaxgczBeGoJrft9ZWHj8L7U5RrZgyxC8a5MUR9fsVHfPPzLF2NXV5/aWdUh3v9oZhddUK0D9Pftw k7S0zl/R5M4OrXZC+NnVPznAYkLpBlBEqs0QG6JyWll34BR1ipMo108Zjwdd/fldeASrvEOT3GYo iq5rkW5INZzjuY27UUBhQmiONGuACsjzeoYFbJkZdYFD+xV0bjDqGYDbUfg6IaEiKhTkmkEX1r7v rUY2E74k2Gq8zlrlauKgrUZuqRSNesXjQY7Wytedhiyp92eO4vr1Iqqtm3J6kueeOPvJ2TITJRmb owgcYr5Pm4ZGHLxmhNIuTYkRGmG2KxVEaGsBsQAsJQ+NaAU5o/C68kqjgr4NWkbrXDhMstoJTfF4 xCT+LhnR9AfmcjZFETgEFUVYHntolK9ZyCSZg2cRoe6aoLB83dRNY3DN4ZIb63mcFtlQHUpnSzAm Aqa5Aba+ym1aD+o6zii4LqzMQr1Xg6EdyzknxC2CGC8e/zNFY6MSM7meGQrJjQXXGA1H2QTp092O 1zL+NexUugmDzlCZb+sIgkWvhruEThw7IOjADG2foII3PhqUHSVImILe+v7Wu0b1fbZkYYtug7H2 0A2XitPm49EMfacfMDFt87nQInTIJIL/JFTVW7JyxeRJtFzMaG4UaTVFYXzNElBvgmC1h2h95iVX aGEhKNoNmpE4iSbKhIN2Z2QYW/Gaqlgziq9Zmj+pBytqJwPZkm6CDtwqLPCv84vg+aB63W41BKto kYByL7QovEa8skn2MS0jQk8lECqFa7KIYVmgjRZVr6cEnyiErsEDR3RxJjyisOlOTttJ7UujUH8S ztVUfNIcraK3DU5rstB3ZUOWg8GwADUlku8c23j8r1YaweioCncisDlHK4qus0Qei68jMQ2YyLY6 vWIEaQWtoxUBRIznsNUE2f0qrFTgbzIAHHAV2oAdYR1RS0ytrGs0Y3z9FQXXStMICrDB+EQgTEs6 tlY8/j5FMOp62kSAkAKP4TMUFq/HpvilG9SnWzmC9YSzPzPNYTlDYXStsDr34YPf+fC66IV4UMgV D/Da/T5DN6vXfTFGc+tlfytdF9Du6apWf1RMrQmKljyvz9LC83+J0OC/ndkkuWyKQpnroSLRIzVL xx7TDykXIFIcELoigIhJGVXO0ZC0WqMMzaQRRaeU/Dmlzh9EHzF00UD/gSDvExadowHfEZXUEDOy 5GKDYEbA/BIp0tri43+J8VIRCw0ixG82RWFwzapjI4xOVUf2XqT21JpprJ3AL5t6yIqi604IFlJu H2yjQVG8sCYOxwt0pEhFX4RkJmSR/VYdBQldYfGad9o53YoP2mgd5RD+2Sf9x+PBWdS9AUIgYMZJ 2NutO65Q8JoHdrM20SbjQ/AQ6uiYPdgodl6vkNrIU7illn2wnbaRZsIlg9f+mRNqG8MZcZ4cvxCK dS1oia7Cl7zPkZh71A0HxQHkY5WMzhlU2Zg6J13G89HF/01Lw480yq2GrCi8piQV4NGKjLociuhu 0YobzZ1s2hKQFYqH8NovrS0fnOOw99mE7MhAtuqcrKITjd6gyotjD/gjs8EkWm2F8BAi9+E164Oi awh2kZxYz6+JxyNN+X+ia0lI3J0WQq8xrykrAeFOE+Iac5Sas9KRdRkOa4XxNXEhrTCd5eD8T1xk mcZKHT5pg1zak6mxFQu9v/agjDayaHxJMEdTYqpVwA6iPbCKzo6VMtBJcRoeD+Zo/iMYin27r8zj CsmN6FAic9rm2zCq14ly4Y8sun5y7MOKIuzeWZedK/vgJaO9oSMpLaNxIkssKdRDTr6xAM41nR7B Q+bQ6wa3GhF9bVY1QU7oJpQRhDfY2AfzHY8H9ZD9T9MaCoH9OYxCADZyuyQjaoWPI1/xELyRiapC RVEC2CE+ZEv6mjrPpV5Px3MEQfEsZ1nO0hhEJWxgSAHLp82gGrIS4sSXBAhsWqa1RQ8+DqoZneC0 qd94olM8HpxGt1HUxM/CRoPujc3SbwARSPQZBvscHsPVQ87UeCNksqTOSYoV+ig5uyhGw8GjIxQx 08JCQXsnUUsSSj0IONGPBRrmhkdj6G1/I13lJVGjlR1Ec2KiqQMpz4rH/1pInCIYcLbiC2lHITba L+cQtYLI2Z3bsxD85DZDA6JgjI12LNFHDM2WxNpOd6uBDnrioUbiXl5AzVKDBprpRMcDBO7+qSxf 7yjCbvJKw19lg1bROc+avOfT4OMRWeZWZyUSwerBxT7s9xgb5TgyrdL2otHJB6z2iGqYk656dYTx DnWwGUCW/Qy+1wYKAFuNkHOZtUp3qwom8CT/oF01o8JQGV8SpPzUVmPY15/qXUH1LrH1Apc5PB6c 2eVb8An3Bc8Tm6MQhd2J2yrTE5Gx1/bzqFjoXSY8vExvPg6ymwpG0wevilQ0VMjyRNgPXz+6yUFa p2Hxz1Ivhgb7Bd8RTBGdHJYyfg5qyoJdyymqpW88HtEbvYSN/zaz0HAJoDukN04QI+Z0GWygmQ3L D3aLA0RK8xmKEdiUnf0vNcwB0tsAMUmyBghqefMDXTl4GHEFWauIt9qOguwtNfVCj3AOFh21LKnH k4bwHf8SL56WzVJXy6YoRmCj9kjJVsoabN9yZ9qQCcnUofpxvUOASJPZV+k+2BqCaxlSDlbWzlSj doCSSBWfCCnhvpU1FMvwHb/KhySeSRy0hipQ8IL0t4rHI121f/ggsF7pz1EUyvMR+9C61dBAKrru liZDR/kQ4BU0RSECm4JqEOH1wTE0FJqF7DXaIHMVSq8lwiw7zGWhNeEofkqq7lAAmzdZyySVNTWt E7sRldo5sKZdeDyaohthEx8C6eKcvSSyI3xIokr4iejceqct22hnjvLwytqszk3boT7fVgNE/pb9 IV4NiICe5KaZZ2Nr1J6j/fgJZCBSUW7bune9bUQAxQbbmcaN26lLZ1lCbd5kU/h4EBnlb1k14AnR 6/MpCiPskq+hlSpszffZvio9cAWQktEOK9iUfRhiCHNw3hUkHqB7zVS2nDmgSk8G5JscexwvJoNJ W2B8R6THLyHV1X0wqBoVkpimzY3Hg+tsfJfVcLi2eQE0Owqv0f7fi05InKCSXcZoitBHGSMeqZyg P8DX4ATZ4CsIvdcTdrHZuBkVLe4yXGYZEdJ+QFjb3jUy36FzXJXgUx2+y06u31m9BpQSjwflkCvS I98L4AmG52g7FL8mCqU+bsQtFbvkAQ29emGocmuTReCQKdXCuqsPl3W1z4XfSQPbuNzMVr3ROQmJ Wu03JGJpdofKISxb90GOIwddZukE78sEHwYejzTUv1N9tmLxLpoiw+K8fSv1DLp0nCkdQitx5rGp 8/TJoDlABF/23ymMrpd0nQlD42AFkfNvNFopmV7Y/6YVMdnva7Cx3y4olCEZvyXwASMUC1QADsBf yhb1RBBJRaOWJz8gOLHbN44f1kFj3Sq/PHvfIQFSUme1SHj1NAwjMkY3h9SxIc8kJfUUR9gkmme5 yuV0y9gViv4N2Q0SNfCkCQ3NKC4tmCqZLarUepq/8G/9fULpbeAaQAF8qHe9ZubzUYO//XP5bygg XcH59B5kCxdwkpHeHMs/kttf1GXS6ufPROYo44IUK2Ezn+3SEulPDfJkUtDlZIAEibmxCA4tIIgS r0vzlmv5me19f0OK1Ma8tqLsJ+4d/FYpkA/e2eIHBADR/I84Fjb+SI+1U1TOHjyceBGaeUGfyZvY yewuC7T37kTF7o3ccU1crPZA106agvuBbf4KWjqqqmPvdtbogtUnewAeSpZtLxwc4SzTFhlglWuA daKtPkwOeww+H5D5Hr4jDmwIDbRx9Z9yiuLtRNeZ8xXTIH5jPA6phTZqC5W2zo3X9VG/ddjg6uCD V0hgfnEOk8QVlTotx8+KgpbxSUTBobm4fgiA2wtH3REuJfcxchFa6JLINuGs38zn/6Kpq+MPqenV xrP1osAb0Iezn8sw+Z7Vp2H7kJRmw0ICQC8UmxhNrydUVodtTh+8UAJBAkg1ko8Fd1CK0FNnZLKv gxq61yTTtvf9DekHOq4PVkvamzVDSk3x+Yiu/k/ldkO+/Eqv6Fh9PaEaTEFll85UbpqyEU50o4oO 0DB8OUWh914qkcgvdl95mpObLPR8JI19wjSQKnGO4wGI0v8A6eYHFFFP/JbfOkkl0b6Qg2YJPHgC QcuZaT4f9QC8oqS2Npp29TJFcoribzSsQRWp3Gt7d2qtkrjG8oupZWU3DkmxAw2Lt71mHywumOcC Ao+J1x2kR1LlOV5xhXBxpn4LSpQ05bf8xusvZckhg20bUo3LltfKifEbn48gf99hAXLY8Qho5PQe hJf/SxTIBj7eakrWT4JFX8pW0z2nJcMzbbpIZaTm+krtP4dkPjcKBAGxIc55kNhQWoPgWogmtEfD r/v7BoeTUpTEPVeT77nzW4zFQj4KDnw+2HNP9MSeJhDNkKO+ExWWudW+LdlN6KpbggPXmxxvM6bL ROQUFbp135VGYjYHb04idYZgAd0fTiazCQPosKsYhAmhknrLb8VeOPJaITGrsHPCQTN1opiWZW3A ODN0o5newuWZDx2Nx7A4h56PqNVuIFZUXuqPrA8vAnN0Tq25nWEIJ+kiRAjApTzdem9QS9xM684a OpNDZc2E72Tei2j9mmLS8ToHno8uglB2nz6oNFAgD8X1lMbk8xHoxs9wynqi2r1uzySHro/4Bfaa 1Xyvp9q5ndyIWb0Ih4a5zVIEKUkugb19sOrACc1ORERwBJCTFZatbD6p/o3/79JrZCSSY9dHpnYF NhU2KPtFe559obZK5fNBKH6RSSZrh8Ps2mPFvo8pq7JUPf9tzbolEKntKhFg7kwNOgfGj7gzVUTZ yQfHJsFMMsuwb8NbrzBczwhvKXsOqQGfptLsfX/rLbFMzsGO8LNpV2KECSdJPv+XjZhIFWeGcnrW UljzblmciDtN7J2Z3DFRE6xXQn7fVlMYiXOzLXaPNNg0NbgibskyTciWN1YUSGWrMCrp6xHVYLs7 B+aPCntwvBGEs6d1sZCFgo78wxuz8vm/hEd4ijUkLfMmLLH742LWy/9Mutn8UeSmzuOFEcHK02+6 wP7xfBTnp5sGybi0vxOBr32SQ4qPwOMApT7BblEzRNMB8YYlLNJCyrEBJKuWtS8ZiU7TZwFuqLNV CQwCn/+roavwEsXmW5XLgQGkzIjdkoZuEET5si63B+u9mCZQ93zTRVF4F/VvSaNlPRrsBW1u2nDh bILvBx18hHxktbWMRz67+/tGhxMB3PMZ7GqCMRSbKOeD+HzQaLrLCecQDa7qY20cWkAS4QSwZLG0 jkgHxeFVbmzMVsBaUoAZukCqP1CQwtvgcXiblYr+7FieuBUvBtHa8zOeXPD8PUR4L9H+VXgKbSAl ioT/0AcHug/VM9vJivl8cIivb+9eMHXavmSAHBhBuuDokLPYEubEaG2wBzOZ39VEtk36qIgOwJ7c Uha8HsrEWHA4XhRATrjtAH6AkDZSKghPwLjDA4Ja7X1/O8RXp8bv6snlEU6eo6JRq6ny+Qg/+a2A DDT1aPnZdSGcmwYj6aHeqKJJ6k27KtFpuRN9Drwgkxn4wm/NhztLCRYaiCB7gXJkV1aHo3tBcbag XOeYpfu+kWq9VEcZNzX3XYUYiYz28JMWPh+kK+s7XUG9v80nCg/9INFUdrtVVudyvUobxW3qBtNo m6bYc13TxO5KdUoiW+AVvqJS0y7oOhFLQeOSSf4NzxJvziV739+8WOrc2wetpsnrgftoNj4fpCtl fIWXuAx+Vn2cjkNTSCS7Wxwf4ihrHpb8QkneagRwU7EaQegKucS9yTybWr5nE46Qlgq6fqj1tgGo Be1XYW1Hh3G27NcDgMuhLaTMfCDVpWFoUZA9zTLIWamZz0c33eVy8faXm+utiYfGkOwtnQOpTJPU JlZGxNIKCGlWaQ5xoM1TrP3HeZJcQvuSSwA8JAOnRpsxYFmA3jnJxTm+x5XYNMabXLN/8YbkajJ1 EuIvfoQXOMup6wiffP4vdXZOE0x9xgUM5NgcclW1DpId4YkINh7hqW8nKQM0arsudockLresfocH lzsBQs0yPoR2AsGC57RFoxeRU3JYBX4ce+EInYMJmoXW2SeQhWoHjyTgUJiwwJSAHxDwBPY/JUyI ysxxUfA5cIhEzg2mwJgiKG26EZrUP28S9g5qH256HBhEAjdroMrtwwUxnXuvd7qwn/8p1Ks4AVSB 8BIoiFDH3s9lt+19A/BAIXTZXBAVobCaAnoMTSNK7nz+f6Iuoa+KY82nKTaJJLCyd48x0ce2/DeT LaoqAXt1DMUDl0iJ6VM2YfpgE3U+iA0bUirgfVyIMEhEV5RyNTfVHp/LXjiYJwJ1WqX2WkNHWSKQ FNOt0gOCYX1gFPndPJgWFZD+6BMVVcQbXRCZSjoUJTvia8/iCNRNHVpNVIhFYTBeIRZsgx/k5WSa oxZJlQBrQRW3BV+SjomCG8IlDRR73+iA4gTJ7qddu5+zoJrEAKE/xOf/skZgbQ4xWH2Cp9AsEmsV c5M8epptedWpIxvTNC3KP2qaYjsb8k6kvDke5c0xcGSPwSzqREvnn034eQTM0GjB/efKScvfN4Bb bAJR6jOoNHcCKZbLME2bzweh+I0KdE+uH3KHfJqiijj8CPYSG66yNp5s0y0Jc7HoBDi6TVLsaMPE d4472Fo6SUiGMRuLTgl/BRmDtM4ZzKeR3bHacjbd8teNVMkbSQMEFHBQtAOpmKo6wZ58/q/8l/06 UIJ6ffZcFIkDWAZurs9SMjFy6GGt7rgdVkcVEsSmNuKctuyDLSUQyPMqQn+d3xwuRgRd4ERoyD3r paCwkcQvieC6rDklseDT8mLKud+kTF4zuuSBZWRiufZb8u7s8wE6pM9SFIgDrAyBoJ3tCK8uDgwN peUgOfRWfTWF5XAKKhSritNG1NNfBOIgutKzpdNEB5E4CmwbhVceTVNtqFHshSN0fBLgovugGiY6 QORpn98h8/mo6vQtco9c/0svIIfGkdIEHu3a//TVzMgWCtnGZF4UidA8hd6RwqfA7tgHDzGRT2SG mIgDU5aWUF7MegFbqQ/gkujvHJpHqprCyrQNOsFJLeMJznp44B6JTTe/EpY9iHS6kXjoHrkrlTj7 Hrc4172GWXPzijjyMp+mKBJXeYDgShuevgH3BS+6c5g3KpqnDb8DljJQOX0o391e+DfuV4cAvQ22 71SORkVmbj4fKQR+R06UVGpPXhdaSHbqBdEEyPxspcvB/kpe29kW7dZ6Qw9JHeLnh+0+WFvzpHzA EjYatcOOHHpucLOvkL5F9Zu9fwOFbX/haNvJrlVnOeIzVYoGxTwTI6ee+QGRftl3oWCdb571qfaG PpI6xafd/VhPxbA7CXmGRQQpT+Nc5NhJkqrueQsdth9LKRRRQJHmPJ0wcI5ttlv5h7jPDJ9MM/61 LnlgJQliM1M7UaKFMxSXcG1JZf6MNTI/INp4+yt0ogUggB4+TyE6hVy5ucxdG6ETTSaA1erZW8Fr UL+GZczATlIiY7DXmMMHxzutxsmiwuvZEp10qBOMAfoL/iWoixcyn5e9cFTGZK2gkN9cEZ8qzeiA nLKOOc5PzA/4KxTng7QYAkrAZyqKxTPxvMU4u5tSfpYDU9eJOXDGZWbzFKmaDJ5MVf629fG3JaVw o0GOBbUGtF/oSI7cDuJjP5mqikbjSd1eN/LfQmAwkxyFUnJwSkf/S/atqKkEvpIGW7rridabAH34 LIXK3J1ywdWpTnTEkG7HI17esThs20XglEYv6TroOlFHeWapnkgMFq5Cz+1V6duO2fuZuEAQCbi0 yer2tr927EwSlxQay39dW/F8LJ//M//F+yEsbV9gzMBaEuLcJKf0pjPJJSqk0um8J0CE7+kUhuJb 4ngl+WCbDssyof3ATYeImfabGVE4zdBIQ7RNR2AjvyXYdFmGHPQCLs29gEdtSYd4zavz+SjK/Ab3 Nl4GDyAstJekKWUi3VMk1baSQ+foSp+V/sKCzzZdFIzjo7COaDTJwVOW82MPwm5QeIIL0eDhhG4p YQyg995CZm/2wpH+NNEpQ62W4ZiLlkA0Z6P8ZBZ8PsI6/RONwx6aCi8+UWFZfImeSsvfwX9uF8Tv qB4VRuNnMScrZIYuk2qynBduPmiiCpSPRyMy9eR1iD9hJ72hu0wdrAKmydON6sveODqe5BO076Dr 7nyG8mHIgvP5ICy4/oAmqYfKk8st5tBpEpMJG3dL7lZvl5Sx5rWXRk9Z0VNgNAlBa9lN8XjicFkZ J9tF8kXXqYWjkCVh7jSUsFu7CsuD5PkcGE2q041ooMqXi5mBgO0SITRAQeA0qeDrq94L/gbQez5J YVm8wJmjp1udkwwcZuncu/NSxKZXU0KnSfi/wXtCmfC3NxfsK9uSiPAEHw8dYPwf0Qg6lzonUJnd UOgUOE0KtEDydPHB6gSTXmhi7fH54BAvvpSYLwNauJ6UJfSarGJj7uI+3E0QX6QstKZVBryWu0zn wG7SM+CzO5sPdjitdOZgEc12fg5oLFMgHralP5S8g6CV48FUmwvtJqsYYkmyedi8yjLKSdKSrq+E BnBoOEmK7hNhnt+Op4HPUxSJYzsDCFmvNMwmEpMIQ7KL2NrcODhsnkLhk0H4TiNljIPP0yJ9ZVfh LtD7Z7Tezh8Ly6ifTryqueJ0e9+I6pPJWGYzioMKBQsAGnKhMsBOoelkbt9neEMYdl7nTlOo2302 +YYMkEUEIxsyHAQgV2EaqTqaPjSdHETmFJlOlsd08qyX0uGmTK0BuLJlSp/gSvrpkDk/f63ndZhd e93f2Bl1r+mDNt1Jsmsyxljl8xFctXxH4WyEIc7yaQrJmbCjGNmO7fOv7tPUrrQg5F3MHCcHxpMA XQjOq4ZUX7cTBQmLCpEBBgTAuheiejdwrSy0jyfAlG95Dq0nZaecG8W7M9nM6ncDjsfI6Xxb4wcE 2cpNf6nhD3wh3Mx9msIwnFYCebsUQ4LMmk9Um8nZGdklUHJgQJkvlv6/7V/ONQcVcnY2F5iabNlB QAEtu+xsH+06ysPlwIIy36suJR8UOTVAV8UW33o+Sn+/5ZczLej2FWXKoQsldDbhRpEEI0Au51ox 8NeyVvk6K/xOVARPkcYgGFQ+2GU3odoqxfxOAVcYk+CyQ20EiuwoCbp2rug+gRMlOnbsbS927C6l GFDMNRX1bLB9AivKbxQP61UsusJPQvP0eY2bWmFjB1mXnU6z39U0te0mxXOdFPUaNTX3NetTLovz IfuuxmKKNt2Ae/AQZxzHTCJmbjyMKERNrzFTcwtB1its0ARl6PfIqnPh6ZfpaWB/qUO+JE8IbS01 6RPD2dfZOTcjdxsEk+XHDUjBdhzBYDDJIkqdTjYI5kenUReSnoPzNOu51dpWlnIO2ESzVyNDb/A/ iQST6YJh6F8nCMKzkmBcd9AEnaA3Swv+3MSf12CpmQAup0PbskhtptsMvQZLDeFyJmQ+m7Tg+WGr i5+dXWYZ72QywRX0Gio1p9RPocLngwqHwiCiyMqmCrC6icFCA5C34Ior+8tOoPNd31dQUQCwuYI4 aFcA5ZRUFNjt8xolnRec5vVqu59IQrScOT2vMVIDwoIenVUsgo175cdsTXLygLsOB3+9xkfN+WGj EqzDwbfXyUsW4T7Qy81jtiavBciY4Kwc+xaWwJPDV7xPTpZcLuhcnXrXPwJDnE8ai3dbP1nz5zU4 ao8j9/rRVExyc212XkOjc/ggt9xiAFCxgkwr4XYJY1Q6QvlLerwGS0c1kpOLFx/sIjvrvkFeqNDr bawxifgCBeAHCCSQmLtvrk213NewCKePOQZSaYCDweLOuVtlTXZi7NegiE83mx15nYLLyQWE2XmN iLC1qjoXyUvdvRo8DomiOeWUlRA6yr80mJ8pjVzJKnKw1TOZlSNiAw0Tas4otaEuA8NwSAhmWnNO kebo8BrMz6L1bTXF3JrczGTBwpLmEudm+bwGQ1w9vrcYWgN7uO/qeQ2FcKRXweNT8/yjGiwOedqw +ZnIs8yIIpofcgjgoeDDzdJKhr8kS20wZAbpBC6f5z9hZAG47c1m5bAQbS+ZcU6pJuGdhRhtyG+E yOm1f16joCan82eCIDXZy/AF9BoCYQENbKrSu5VsU/bDZzLAlJFJWq4jHJ3MmpdkuWxNz/LZJ07E ugDu9Jz2yAjP5EDKYqFklNtXQE1W+Gv001RHxLGztw9aPg3wDO6ZEzl8XmMfvuH+mp2ObvukKD5m 57UGiTNVPu7uOLUICVHFSDZUPHyu5NJr/RFbu9mpM31w+M2AK1fC2dsBiKtdJibwV1jgLLAjbsA3 AuY+r9VH/H34vdOm75QG1dTOalIpGpbMn9faY5Ndi80O1d4rcGXZp+e18ogVR1kB+pN4oy25SXn2 Cw1eSk5leq07MvRRgZataw52OkN4d51jGDUQpN5E19DlZf0s5DlF8sECvNFL6bXo2LzJ1tFWsUGn 8yAu2itFryXHf+4ulhwFQqgeGr5Hzur2oOtvU4FCaTdskuoTXEHnp7vYpCh47hTGo1WbDU6nODEO 9pOW0MkEFoPD1iGEz7ce5epT0LDi886Hww5jBXsNInI5aA2ls0RNxWOAhhptsfl9AA3CW29w+M6G w+aoUlqYtsnmcK1X8IxcIA9mUc7Mia54VhG7CPL9IciPfHKXE6FQGfcEoWmQ9Jxo1bnQi9cuU692 9qG3jc5o3mGaonqn6GTDQ/v6HBF8PIigq19i0oKpPyOD6qUpigLoJLpJvvTT8y+PgkoZwwES5YG4 BXO0lzhw7Q6eY3TAn7PAWxuYAGLhEvUAED30lG6KoXQ+CqG7zmmZA3PQFCVI7zKEbqAuvcfQyOG+ VxFSv0FRIU1RFEQ37rSi1JT9kDwdLFm5eKX2jrTbsBFRHJ250+A7ZINfZShz4P9hGRXgRtl7HMjA eBjkUR6wpNDc75F0oh50x39UfbA8DOBVSScCUhpG0tMTMUGUAdTMO/skvcfSKqGen7Qm53uv6kLd 50JNzvfuAHtboTGYpM6Temcuku1gdHRAOvDvaCmitr8q1JBRY9vuHzKpiN/kGlD1ttF9T2PASVgp 6iimsHxSmz14AI4Tz33eKXDYqnl/BdSZgAgsZ5ukMKSeBLy3eYVf2b1jyNjTcnWz+qBH3mNqqaTA YDJ3H7wmlKEjBJEG0AL6hug1a0JgbUNOKAOT7MVYmgW9M+CarL9wWDMq4iAsEqAuW0zvUj7vBDjs m9y/MlbIGyIdvBfbe1yNbwUegoVTdfqXe5jstm6nv1andUVhtRqONDW1wa+1fBYSBIRZXzxHx8qS 7wJlG7XGTI6opWV56mXfp6htwSTL9EGwtnVSMwIO21nDn3fuG4+W9HVmVxTfavPQ6J36hrIQMZJl Pb7ALanoAbJrcqmOCZ6t5iiKrQUQBQvGhwuGWBNriZ5T4HsnqlECCgPAbf1hWmXeCgyRP+/MN5wo pHdP0XM5aI5QnxkSlQCkLYquRVDFlJBgASFBtFJsjt7Da5CXmZL1sWyOSCBQYWiX4jJCGxKMKp1F EbZ0X6fSEA62jpBGFYNHnhD6nElUFqhyVuwipHuZWvDI9wg7W3Q0K4tes7rEcoKY1lb6CmmcKMTe 5oWjew2Kr7VUT8/eOW84jRoLZqi8uReny3Wdi9LVqVDS8SkKY2x62Z6QY/rgR/Y6fzktvipNX84O ISqwAXtNwXmG2FbJn3rbaIo2Gl4QO7ZBhxFkUasarIBAREG2AEFeIOo0MCq3uhgF2dBg2VSEkp8S pUCUwg6Db4N3kwx19M52a5exjLzbhtuvPsfbkrcbyk5ygknn3DnHNfJseAF7fZqCne9kN9YjiFyb 6nRM73RAei2pBDJL/rxz3Zp51nM+5DPZfs4d40fRO9ONaRqJSeWaldZ5c/z95PjTDqJ3mhtvRmZp jXITtT2GUwPyum0RNFrz2c7ZvDjPQbQwMQU72A8ilhjfaW68tRFgQ2QAAxJD/fAUV+Gi7ZAueae5 NTmzfmWyPNfq9AvtnebWTFgPTlnOIjnBsJ3RCR5a1qhexcuw7yw3XI2CIPMs1uDx48mU0W4ZnKU0 ZFABnhKsFejFWS7xnUnQ553kdjM1aO6JmoRaPBfSiXqnvJNPBPl557gxyB5fhxEUniC45pMUB9mi IY1yDyPOjKpp7j+BfN8MS98pbvh+qeJSYFqDTRIY2zjjWC/CtktUEQTB8GdtegT2S7WRdMk7wY0Z P0hMCbVLGxRkj3MwkFR37rT6eee32WH2VU9Dca7fksg7vQ07fPOyn9UTkdS252p5GF7k3PvDmTbv 7LbmbCS09nzwe/9M8Ob9Ch2zjZomUGsD6PYBq3jzVpiPp9I7uQ0/xJS6Mjlu1Qt3NAkvJhSU5ued 2taEDdQcMffF1TiTX2rvzLYmZ64MAxYr6tOxVCeSRdiYok46AacoqlrrRGpV/Nv6hEYnAjgzD4WB cynDiw3FTBTWzuW50fYq0CMC2xDhY7G3fZ+iQbRxUYTEQVPUuprpQPuvzzutrUmk5ztXqxTI9cL1 O60NM1uYe4j+jzkiyVfkv56c1VagOKo5eg+xEUFQOzjTcpKDb7VW2wmAJWa+znvp1O6oQW6ULHn6 3q6ZvW1QfSTgmEJ9NmiOzv41kbazkT/vnDYuo//P2HdlR5IrS/7nWnjegRaz/4UNzMwdkbwVXtXz PlB9h8EMIiFcmPiOjaihAwyGTVEUYkPYFu37sezMnil7OltoBUHbgIYUScfRe4gtn/QTN05mIxzs 6od5xqI5OS1NasuEaZ0zqP3Qn2pf1wC20/m2wRTJcKowX0NC+yOPelReBt3AoIXyeWe08V6ZX2lI 1wq6yyiqYDdbRn146WjPa2PiKL+C+M9StXdGGztEzGaXYFhreOkIGcj5z6EOyAL6ijYmG5pvHQiR jKzUymtj2+sGASTrIn0xDeGg+AjFa1m17Nw/74Q2Pj6/Uv6OZH/UG0BGMTaac5BaHlf1pucbQroA czmpRLXa0Tubjd0UzNHmnaXBYux9TuSVELBRjXolQR5xNIG3D456uygQ1vnfuWx3HTWtIw6GcQDW 12DG4/NOZcP9NNrPdxttu5ILW9RhjD1J/c9TgRDaH37x15k84R/Yx2pSh0G2shAYU9pgMwRLcvAk udNOOgfZCmYhnZacZ7YetVe4HvEzgh7+ssPoDoYJLVso1BMjls87i63Jr+erU5QJuEo+Q1GQTU2T NOa6NGQVr1lbKw4OXZTu1hSFOBBMEbhVwwdN0SIMrQIkVNG1wOwRCAHOQ4EmVydExJg0RW8bTBFl ynpmlN1zcqZfTZsXMLt1n3cC269rX+Ejo427iKIYW2dRXcNFuIjONNBscn3AE5Mtu/ff6WvNZfFX YqrGwVYRt/GWNRfw17NI5hThdQMuB1IdF+U49LZBqsYS/x5kP3CwdB82xlLCLeXzTl3jcV2+IiOC 4+XHySmKImwCQXdLySDqe9m5vc8FnQyi3vIyWfx33prVY3B0MRdZj1rSRGtxL7ak+0ll4fAmdyqU tRjfk9+nZHZSauOdtnaTECBAfRGJP1UoPUd840lqP++sNVZEflX6C47CfFdRGGA35LC7OvJa6ELO USPuThzt5Ijid8oacyDsni2pyT26X2gnOTi3fuNmgt4dREuF90c5HEWifOshPFw+73w1zBCFks6x Nn2wk+gkhqxR4fL/vLPVLCz52ma4RfPw6+ydq8ZYTFW14gVsHvDqyibZcaLpmFAx0QxFBexEGakl VUQOXp0tMBSnSXIDz7JS6z3TgaYiW4bJs3dCmIC8U9Vadi5tH9sHnUT55Gm8/k/Cx1cM1lCe39cZ 8rO27mEdBde9FakmuuFUm8XFWkBz8GwfdV5Gju9MtQvaG7r4OXjkODs8lI1a1KGK2tktKpSTGSwc Xt4jkcTvTDW2e1ANS0n5bEreUQMoTHS+NfmO/+rLSrxtAOzv0fU7TQ1J2ibiYVYHXj1Vo2LSpVAp 9arRO0mN5WtNjUr89PQ8RyIo2ecY3QQMI6WFPxfUo87hCt44sJ8FnouW6tOX+PPOUUOqTyH8kpnN YuX8qL9T80mbGByfYLJ/3jlqv3J99mUXPa7vaR0G1wDG0qWGQVFPFlpDK3s7HTuVbIo/7/y02yia jYrlHHyfnaN7Q2hLXRCovmcuogopMPht5/GoJ2MJf97paTiLukTbBN5DpiRcNI15CY494RufDy60 9I2/QgGlt+dCi2JrXJUnLMrj1q/ZrOFOK9IElm1Z9XUU1a9l8QIlUh9uG+QcRq2wZHSO50bOHqDo G4FqIXog3ZJRt9cNWkVUBB6Dc8VBwfU8V0IRSGTnzzsxje/4+0ZrP6yKGsIxCq4NxEcpUdmYVB7N +OmN3cB/ntyGXD6AHGOUCJVuWx4+XAjx+YpbS5qjjbSBgm3oQy90k+ViooU0hr3u+xxVovXnIJqP dhwSK58QE1L7p87POy8Nj6/vE5vU/D67t2XfeWme/iakrybXxv4EEdeQugM+jZruC0Q3HNnvvLQm Ox820roPttsA1K9Qo6T3LYrPdFAeQA6trCvjFvpnK3rdv+02uAH7YJSGkx/Tjb2dffd5p6Xx5nc8 lmpw8AjcdyGFAfZOJA+ZhQLr1jJ52d0J6ydPByqByygqYTdiH0Bm9MEL/eeQGQWbGaL3YNKyZJQR VWxQMEFYuorJ7Di+U9LY7BHpQwq3mhTM0DmOqqhWc/Pxf5bVcIzBV/asao8e3wlpiBcKhYAJ3MEc gT9sW62XZMJ2gHSYEc47HY1bjXWQTkAWBy+HdDQ+EN5BaVuQCKwiOmF0Grw87sCkxX/e6Wg8PQmB gJyhDZojpshcGesE2O9stCa5hK+SERIahPs2RVGAjXoapCCKo0Qm/ZyYyfZlWm3IZKcl++9sNOJN JCVN3iIHi7DTOY22OtdtrQ6zGrrAbCKoAW6hPrBSWaoIfN7JaETsK4AUKaY7KQYNHNP2qa193rlo TZo3X+VZkGra2S4+SVEJW+k+vWcNODsdVzyXgyAnlMNsjsIYm1V+eJH44AEkJIzrotgf5PNXNYsq VkchLTrdDsAAEO9EtDtFVNuwQVO0zlHOYKP2PT7vNDRmXOnrMAJDoqLfbjMUQq9RHTU8MTM241NB cMw1WID4MOD1OwXtbrS1lcruJ5Vd7fxXxqZAax9Uuq2amljOIMs9XpxaQ1H5uouJviTx52LVACFA hwNrCFaT7/wzO8oeEA2S0BMsX2JDFGBThy6lMtxGMbXpJf5cTfWvDAhk2xRFIbaKanvUO3g5BKk+ pH+5z05EO0Tkm1CIQScSHVU/iogNfeeeMdHidd+FfugX/dBBMBG1ChdaFGA/24zNSfa/byrbogAb +BVIWXC9INnv01ux8Lg0PfJFURPRP0IINmFok6GhBj+uJ+jonSAaoFAzyhmQsIH0YOlJEq1ymkSv n28b3PlJhZCcfTD0Q8uFyKZ6ggo8HgSPvxDqkttPdxWFAXahxljfXuBvrE4JG7rNX/Hsju4+5S0q XidVE5XRzocUO0C0aWUvWSnudM4DlWaRlZMUSzk7s+DWTgsRIsSpwZPCBwXYpVf6G6JKN/D4f4Gr gY7TU/XYsccBNo6i1lV3PHF08ara7MPdOGt2J8X+Hl77Wb03xbM4eHk/p9UoInFmaCCSrNb977h+ 4EB3y/tS/u8xhZENWZGIqpOIIL6QhdlB0RSPB9H1L5QRDHdhb+oTFAXXLDSdS8bcR6BFbpf/Cer2 lcYAlV0zFEFEpIS8h/og45ZDgOGa8E9MrBiNM5NNNFD0nQiNkiJr12k99LYRwFgQ/p584BQtGMLJ sPR8IB7/V+TIMuzgtzbuHIXBtahEe7sBd2MKoJrRyn7ls+vD47pH1WtpQA2pG41H3egEC7vDhWQz ATnz3eh1P/ClLvTtcu03S6PXKT7kb2g1AqIxIP4RmejcdY05zzjvj+cDRsgcXzsto68BRQqfpZDM 2JnLEm1ISnWmogUp1aqW4p/n0HWSfo8C7Kn8TOLj/Ut8HIJkA4BGwrHWKPzJcwycE3PBH63s/mD6 KIzRowC7UnLtLM6hwVxbChTeqMTRW+c7Bkd2rl+TBEYbWhA+R+8BNpiacG5T2wxqD0SkEhg6qVio yKi5rlEPw2tuNprJ2uCAtUFNnqUiPwxOCLzeIKkPck3KVwrC2mOPStjWbpQTJ6tH6u90uhYKabQn ng+m6BeKBqvqrPI7RXF4DZwGtbY5RbK5o9L/dK97SKlaltZDWuPUfc9EhINX1lpKJ0ZrZAzjqCrs mCRw3QdKncR0+nmErh8+JLj3BepTEAmW5A/b+ecj0ExgH+TMN56Pttp3RQStogb5WpuisIYNAFEi Dy4zwMYfI9WnAiHhAgb6OSTgacnjKAywWbsvU7Ls88qyo5AKJ1E6kuEWS7ShTrirfzale+usj2bm 1NsGG43dtBORdx+UyTIdUQoyJh7/L6SQyTi9XHLse4SNxIXVtMLocAlfjDu+UrcP9wsz2QyhgIp+ Wo8ibNLO8N7LBy+snVsNMBqV+RuUW6CDcVYMBOkySRYub1gSXdt6VMSWpeQcUu0brto3MmTfda/l iceDbL9977Rzbv3QC8rmKMJgd5q5J6ld7BMcURSYBZGGNgX/CWpRlnhYj/AhXV5tW2LsFB85L8pb rYOIOlQQWeiFFBq0IP/A6YP2rJ/XALtXfEgEV+NptMnSvzS4s4xO+EXOMmIJPB4to1vmp98Pip6w TvVJCoNsEmdS2gI97LPZHkuNZZKZo4Prr0mKitimT9vbHe55dGKjsZo67+d+g0AftENOMLCoJ4TA 2mqPgwiRHiFEAGCArpqprD1CdOcayMLyl4nH/7WONEfYsv3maiMKspWIpFYcHJpoXSkDBMFFBoGP nvGPqIo9l2QeVvbhItXrWZ7oe9Dq57FIwNeI3h/q7+KEsBDJz4gaRjJIJFeNg9qOfcMTXZW1jMcD rnVf33stAUWT7xRFYTa6mwCsGekKVCxG3AiO6t6mibEG/a44RWENW2CsVpIPvo6AMiiNhFnQGhMV yNMCt3ysSY/bfVXDEHjgQ6IKLQPsJJ1MKIANdYxgGKTYGQntCFEi6R5ITFwQts9bfxwhFJuwvpPs eKA9WIHmQmrptoxo7aFZiurYEMebKOwuDVTSBZKGuvsJ6CNI1cOjnNh/NMDACgEj9Or08e/GZwTo 0CWNVeYk1MORiwYKAE1U8xNBjqiMDRzg10LC9zLu3T9CIDaFVtlLJTa0mg40ktLqkiENZm5M10YU Y68qyz/2HxctFDhB53Q+1yOKjuSEAKwsYd8TBqDMDrzac/Ofr3TgMyIan9RC6HnPwWprUEniH33+ YjwenUbfSHUEuVRTtAmKQmwYrmzowlnxsd7i42DHXPEjXdS4gqIQe4pQpIYIB9tn8P+dk5ppwAMN MNPJl10V7PAz4RDONn4a/kd+SHQWkcJXWDc6a7P8GB1orKXD6LxqxvPBGurfB3YZ1J2+aygMsQUT Sc0PI5MGWywMd7vUzqtvA/OPKMheLD8OaRqPL03jAb2HvojGQjydC8Gj1Fcj66vjvrMSNsn7IwRh 0wiiQyLPBkEgNoSjTOdx4vGon3a3GfEHiIv2c6eFIGzaRrbs8PQzXcmVi+Y2Qg22B/UxMEcRCruS xDcpV6zBkepnSW94cHCnlXPOUpuO3XwuJMCxLwi76m3/0lHDb9g+WG3t3G9dsgV94PEgOPqFeywq nt+dFlWxyb7aQj50imXUn6te5OSiWu6lH0XYk2X+qWR2UlT7/CS+1XKuzUETXmiSryYgAKAdiN3O j+/bciTaAJ/xN9b1SNxoHHQU5XPIaxGBKzv+DRIR6h9b7EqIjDDAHgDyTQeJmOosjyKCWiRaWB05 O6L4WvIGrVFFjYOtIBpozc40rUJhYtJQExg2JP0nSgRkzg7rzdBxhPE1MbOlkpfO3DEn0a/gq0zB LYiR4xcE6f4jcCCK2kRkdmV6wgC7EhoqgdlFmEhRQoJTMNk/Jykh2mhxFZtG5FIQv9cN5gjV2BOp 4cSudK9DZSk1IGVnI/0K0bUq/VtCPWEVuwrQJwMIyJMIXL6QTNBf+MxVwfNRUeRuNR7vWJf7ihzM sI5tZr90xpLZL9Ehmci+Ye51qEYndfhnqMXHEuTsOpV6epyOTkoLoBGbagOeORQ+wt/6Q4DWGE9T jXzZGeJEGGJT5McGS0PQlmCpv5aKx4M5at+REViv5EDZFEUhdqWY2raa40Zdx7LZpC4S2vsQr7QJ CrmOCrBHzj74eb3Qv5yEQADN11H9oWT82W0wiUaR1Eu01d41SmaZoCUDZjnYqK4+pKjQTgyPx//L cQRHKpJLbIJCLZEiw57t3f1ck8vPz96sdYRM1LqOMyQ6kknce80++IEE0CdcX5GDnKOfzsHwJKc2 KFpD6cllF+UNZljGJncf+iQ+WE0ELkoEZJ3gDo//S7YnD6fN0O7MZikKsHFsntS+eApyQg7PZec2 Lbpyon2/1mZYxaZQBnJUH27Cj6o8PYwaHFeAmlZ7n2nAYlLpPlDdXjZCiTAoGoLQDofQnosnSUrp hKEZj0eMkJumsdUNQO7Ijg+dUYhdWem3yHoANy7j42l6mz9mHgKxYB7ZMyQ6dkkWsvHKwSv9UC1E rsl8f5wDhwXIhdt+QEQEkeDVNiIUe4ZExyTPHsFEpsNEwFtYW4CsXvF40Hi8CT9JfK5mYnP0tzI2 KAlGc6DrQ7b4sY/hqew5ca3WPyOYSJfstdQLONg6QpH2nE/EGae1B71Pce1DKxZ14EVRGoVHdISc UYytA5tgOhu0jtaZ6qkMfgw8/q/i2mCNFqzbcc+jCCZyDlT6rHn8qMyDp9G4mVqdziieURVblJDR R/fBFtE56fZqKE9jEXVMET19Mmt/Z1uvcpvXg/qOMwqwVX0c0r8cbXi3CDr/MmU/EQce/0/IR0zk ugqPM2Q51kU336sjQuaAtFaoSc6yGpHBOopCjT5GjowIbfAldG6xVLDl6RZyQi/KQ2WoX3aqNab8 lPm3Xjbqp3HtoDRjg8pqrcIZkGW1zcejFOR3We38e1bvOc4IJSIZZ8FQuMt6actPouYqhgt1DJui MMbOPK0hmGCDn0QnJD6nsjX4F8ppMq0/62dAXDZ3Oh6rhk19rBnF2MIY95l0ai87dwumvrDNuc40 4vl/oY24jBbJKPe4jiLsSteZlJcZGp6j6ErRnevYlTDR97SdFguJENW3iTYSEcdiR4LTJoX4NxQO Mg+tgpO6I/XP7JDaJK2i1/3LVoOFbfPBEVm7FNEYNt8xwtJ8d69RGu4P+2pF8TUopidV29Nv/enK NLsNp6qdiNJ1ilcEFEEciGOaaKPxoI0IkYaYKnHYJ1kok5j/k1zRXAfutI7nN+b+CivYSclsu0Oy ohEaVPirW614PGA5PocRy/wMOy6qb4Ul7HPz4NhxynXay0+jcrFZo1xY3wqRIrSe61uofhpp/FjX cbQT7cuSFlAzNHZ4oVER9xwsdV+w0dx62aggwo5slcYBziZVVCtNNFicPcsXz/9LQUxSIhvqXH5g r1DuWkCRMq6UyEiODcX2cHJR6z5HEVBEUCzIQ5LmKHYvkerQsRtQawD9oc+dKBoORyZygaDM+8RF 5/XxGZHwE+80sR05CG80e2XZEnwqPh4p0eXvZQTkdutX1mjFPEciflbLXnyc3v5IixILlDWaIxsB a4U4bNM1oB0th4vDhmgGWilQycjgjFBVnnIOSL1av8VHwUNXXMKe5ID24YNjH5sky07MWvF4lO1/ l7BZBO3tMh5WFGAvwER2t2YRcP3DYCJrmJ7xufd3MeGnFfIcu5BWbfvgqWw/c7ST9MNOOASyNc2f z68aVIRFWGbn9WZ/f0UwEZXWcMtzyC7WN1ubdJ84W21mPB9stdt0RBwECO0Ay8ymKIqvz9aCOHiT 0cX5VzL7hlRhvuG1teWLKNYRoTJvqckHKxqdFQp5Vko/QYOAQs/z/PYT7q2FUAvQa7fDJGxtRTCR NeXkJLPH6pqP/STCmdjzs68HHg9QIvsbhS1mafVrf4Uo7Ew1Q/GvJmOj5PphOZtqXwHlwqA0Kwyw RXhIxMlwcLRRXefAL3IuHBQIR7oPY6efc/Wd39cetNFGcxQfEs0Re405q4PNBmJi4a9Is72n1PB4 dKl9y9Ah2hjpZmkrZDqC2ZYGPCrlNIfWmTnNVcoxMn5shTYgnb8pIjxINJQMIw621fbZ7xBAFbUI SlaUNaIvyKJ7pyR7BBOZQ6/71ywks3o0KCTyI2CfMnAUOCoef99pK/s64ul+fsu5iu9OC6mOzPZL elQxlzVFoCOyXRWzQC5BWtghTmTKUqY0H3yOzqFYcbyqbHQytsoCLRrWE2EywF/WlS10lMeHBD4X 2wyfpw8qG52/VE4pJy3gO0ZS6usrlQXOuc1njmKuI0trZbms0b5ODnO51jx6eoYzXmGEzQy1AZlq g1fWzrmxF3YC9cLReGyS7Dl7kzV0bDoPjsbQ20ZmDpLhz3dQeXYDtiurq1nxeCS18os3A+mnCYis 5mhHITaIV1sFZ8WPqT9qz6Z1BCGRYpzZHVWwXe1ZNvT9uffB5QY0kCBaGLNATOzcahCTgcYxpIWu jyor2DsKsc9NwMos/bA5aBW1c+nStLCmwccj3kz72mlVsv5+Gu33CBsuNBTplQo2w0fNyyQN1WtG MOW1bHaHQiK0S6HmrQ22jE6gfjK0NalEV8++AwLxLCOk6HAw/IFI/b3VKMq/oxi7MijqlJ/XoLoa uO+Cd56cEI9HwvPfgti4LdrDC9lhiJ0I3urrKj/V7E7YbTXn7882bKvtOMSe7zS+cvLjxsAQpjvQ O+JPwn4RSJYJTOfF0aD4g88IpoiqWBt/qg3qywKMIKZj6RuP/xOvjvCRdYZrKrNDouMEbnZfEYjS jJUGH1PayxEjgmWmGYoxItLoq9WHJ8Denbrt9Eo7c0JL9Yk4daArkrmCrFfEO21HEfbeog1TSoSD YqNznLVttrF8x/+kYrxZ8r6rKMRhN+SyjdA0HNfFGY/o8LjrfNkuqr5jHDazfQn1jUeo79zG4/xW iE0g299gDMhvHfxCSGgXHkUqrOE18Bl/E8NuEgxvj2B4Qxai+79VPB7hH/xCozAWEuJ+hUN3FF4P cZ634YyQsM2rJFK3LaITdLuW4Y4C7M3bHl0hHxxHMwBqJeWBNw/ELNlvRHSD6iwibcfyU1t1/10J uxep0hSrAMOHbuQuiEhaeDy40Mr3GkKNZzxqNDtCiGS6f7UxvazWk4utpN226/RN8Eo1RaFOn06i sqsPPkXnC6Z7Ix1mzrlPSB+uiPO6kK0Bytf71r3rbSMi6GQ5bRYfVJ49O6Y3KWMtPh4BjX5Z8GR8 /kU97hAh0pC9ikYkKIQbyi4ahxr7qpqm0Q4RIpLHEBR7uusk0HwJ/nG7SKTvZGWVtSUapjSkO2Cm mxwm3YHxGUHcSHw6LxYbtIZSYRjKX7PxeCSDfQ3SeHWhpQ9Mis1QWMAGoH6xlMAZqsUooYiUys9V NGo2Q2ForYOos3zNwVM0oMoaXNfQJFqzLxK06K57zs4zW/sBYu2pd40kjXgQjXYH22VIcViYPZ+H x6Ou/m8DDAAKhmexO1To2+yjje480NZMqBe6rtVVDOFVZbssgodMSTllmhDOfE0Iz30/UP3OBPQh lbdFpJb6BlW235CIldkdiogUYUFZfeSgywzONuyStzIHHo+S2DtFWDA4LNu4J5Hhcd4+drENMkhF I/GBciQyTMcXTHTIOYigxUQf8PR3HRF4OfigWcLfvs9QqPSc8K9EeV5stYWzSDoihjVa21448AOT s8MqGqCuJX9UQLPUrj8RGH9B1N3/FjbCeh+gdvpEhVJ9lBKpOqgpByFzGUQOBs4GnH/X5o6NKQyx WVurizh0DhZipw1hsinN8LPM96ZtWgWPYsFeyfxRpdzDEBufEuk/IcZGkdQHrgHMsFzUUOjk80Ei 8hjzUJ8FWOV1dTHpHR1GZXvSMFGtR4b3AmKXvRzRDyCVLAxSiMRWKsLqmQbPaM8vnVM+D0is6ma1 vyG/WolqGeNqriz5o6awlt1IC5nsPjbsNXHwANHNcrmeMEhNUaj92GDxJAP6EUJDPk9RNXtU3fzN qQ9d/Fl2sXd1HwPoWftExS6O3HKSmeXgbeyzuE4UQRgkjDvXoIJPhiobxe9regQyV9n2wlG1lhkt MEs26IQ6X3YVEBKdfjwfVbTb1zxBVLON8qynKOCG6BSqa7bFaL2b7YQqrRgVYhHVZidUVNRWJEkj Dht8QY2xNoqQXFDnttrmh5XBDkU+uC62v+ThLxyhjpuoD8MHzRO42Nw1cIjm80FectGQ2Hesry9A En2iwrr2oG0zMVWAsRE1xeM7TbY4iIakuUiRbVhc2RYrqxYfvPg/YfOzaLpbzikyWEkBK+78JOT8 Mpg8XpFM2943yHB1kqvtP27bH+a9kzqFOBD5fICMKLfvT9rYeatR97PxYo2RMzkjmRYtYB6mcpSy utuT1qnlXnhR8D1VuJ1Cjc6LGu0n/YDntjShkBCANnjOcXTVoDv1Ayiun0/QyNCnBNVtFpKIvbNB JbfaVNg5R3rR8xFR9FvPB3D9XvdjQhcLjQBB4lsNNbdmIQIsfIZIoyj6Lb/uYi0/TpOQ/u1B+iOx hXc2mhdn0Y6EtAW7DtWSzWlP/VaUKB/IT4kExtghGUtWa8sFxspGXvdDYefG5//pjvUjPth4hDRy iqJwpFHoj0yHkZDLaQgJosvYBjhvdM36IrURqqsBhXQHizLPTQwpaDEiYE4jX9oTNAFeiyiilRsV yMk5RTiSRUWWulf3weCjZzVJOfNEUnw+giPdqAA/zf7gTs/hFAXjOO5RfOvuRsdylIpv5i3GlJcN K81T7PvIRknu0wc/nQClPsfTUKE702TtzBa024AuOJHi+iq/FXvhSMmX8bgKsBw0Ue3sHin5DoaZ oS3N9lOchxOwNis/voYhIfKsk72ur+Ggj5LUfagmLF9ncoTlaxiiSbqKJ4w1OdziCRb2pnsHTJLG ZG+lQKKpwWsPoP3rjknf6xyYP+IMZ+ut9u6DagMnaqldQqxj8vkgJnjYflhNmOz1mKeH9o/GHzGH PghpeBHOzOU5S9UrTDmwfwTAk/C2XbsPhrnZKEUDHEGqFho/1G9piNEqTYb6ZdjIUSTH9o/yyZis D3DQJKV9nuEkrVL5fBCJ/xaIRCAOQLZPUhiIsxkPSyXPf2VyBHOcLaOaQqMsuht2/aqonGtgkumD k2vbWTKArTABPqd06rL74VI/a2jAcM2mqTR7379p+/XN/gnBPdlKcZBd4AleB58PLrr0yzMDAIKW r/dKDlwgMU8GK+k+T9vrBGyCW51gg5tnyykKxIGLOfkz3DltsHkq0BiF9hiUbPI5qQoBlQMSdhX8 lL4ebQ12u3NgA4mbDlStRFabBtWvB4jOsr/Mlc9HbJtvtR+kVewW+jSFCn+TnP9evJwCrUsrpwD2 aRWnc+42n6aQIMmbbra1fLCz6eQSwJ9XiUYBBk59ANoCNxaW6aqhfEWaSDm0glxiSiwdUYtRNWsN Z56GrB5gKRpaQfbH3pjhJVQ/LrUtB1aQaKDR5TC7Xn1K0wtzJ53vNk2Nq067LgrDrfAtoTYOHhCc o2+S5UlNRxHd0GYCCh+UAKC7L0xJEUHoBZmL1DXEB9jL+W1QoTQpxFX4fCTx+wtZCoGB2p/lFLIk O8GSu2RL67LalJvVoJuu1H4DzNAPcvH0boXASQ6e1q0+zp1Csxokw12Fpw7ayyCPtxY3qylJhafQ EHJyOZGy0h/mCrSSRhuirZXF5yPRcd92hFDggNxPmSCwhATRgpx/cSWZ/nbilDeNXxHb/x85ZDB+ Upkg8IRE+sumHKQrbPAywclLM0oqbFsCGtUkql3B/88Qbqk3IqjV3jc6xaU3jtnSYBFB6pVx00mC K5+PCAE3XeGkwp6q1WeewnL4KpT88ThcUlemrdlNVxuWWNWnKS6Ik6JUpCFVHg2pBlrJwHlC/uRC tIG0Dst9sUyDFNgxS2na+wZ6ZMzn2hp3UFoHvq4Ww2qFz0f5yncZExCFNvcTX4aI7iU6QK5enmtW dTpf1rrwt4mqg81TGIcPaSGXO9zeEzw0QH+jdstJ7BYFyLHl2WahKfXtzyV74aD7xAmiNp4NWk9z ZH01mDE+HyQs9RsBl/ldQRTVJio0iMRft1GIMyClNP+Y/tKBUUasicWUrl8UEd0DzPKC2D/rf0hX Tg5H/400WX1hkoOUTmmdEHA5tIichIK3ZZKk22Kn0fJeip1ODsrno1n6Rp0UaeVdn9HQJBL8mXMi beK3GlU3ajduaQM6s/BwGomevl2/KnLTkgr5HD54j2VBDn2Q6w4CH38ZTH3PNJ2Qcktr0zhvMtAO fSJbUkNcgMHmrczeCsQrdIZPPh81osb3pkMPaqRnmkJxkj3F6PIz/ConlRPbsF6Au25irWvTBUaR UlYDSjBvH3w1LQCygDY8q2nXpMJAUhcKSgHgrntpLtdkL/w3USlK7kJcAguyKqSGYZQw8GvzF0R2 SL9MWbPZV/hEhQzKBHCFuinjB6Qrbx1kyW+idQBxWp+mEOCt1kEXr7s/+JN0ftVuCCrACz37EdXW mSA8BDYiEEsCntplt+19A/QAJ6hMJngw1tO2O/FlpZcjJBU6n49YOevrcIJf1knJrwNybBdZdcP1 7aE4fSqZAAuepgQYrooKxQO/SDcend0Epa8n++gVp4dcJKDeljbD0AKIBHinrr2pBvn0F45QFsZe IthioLQi9tJoSbH4aLCuDywjv3sH2YICWnr6REUl8SaSVx7z5+rc3upcz8lJXrt7zhK6Rq4pJDw5 8BwcgVr62beJK+osoEUg0kSGjfwM1ug4wp0yUOx9o1hcKF1mwhyMCXcWqPrkvTY+H62nb9IApYXr 0wQObSMblVxbH85cphu4yk6dpkwMnlZbngEHxpFoHSyxT7YPlgG3SpuEwdRutvPXqR7MfB6+yQCv uHrSmva+AeCiMPWFLYcNqs0VeFN0TdPm81Eovn4d4/w1N2UJrSPRZoOjpuopezfnDu7VSvZNxz2t SQor4kUZnYiDPV9ebgFE6lz7pJ0mxOFsVaErDGDRWaTwhQE1DpvOZimEpRBt8QcLHqa7Vdbja08+ /y88M2sCOHVb/zrEo0gcvAhMU7dpylbMBKCZoFQCU4jOUEgQOtxkwS7oRcrB898O+/ROccBzZZwV I9s/2Lk0yFyhMu4hQfW3Da46agX0Jv3tduVdEnTcWbrNaJMH7pHfUqUUn4ER3uhPvhL6R0K5AXvO 8DtQCSouwb2IdRM6Zd1ySmAgKQARZUumD56wdLTG65AT0AkKOKNQDDznCwwA6dpCM3uEmMVeOFhO 9Nwam+h4DsLwnM9g0+H8xTXz+YiK8ktkGsz6r9gpNJEkVywJl6Q2+fazKddmOgLnmgIqR/MU20ia SLmIut9Ip3PTTeAvWHbKEL4TlIXLCID/+kAuif7OoY8kyykUL/TBir0LfZwfr4gHRpKIxL1vkLjp iHW6fajQSXITTZCGAeZQnRuWzJ0EtTl0l3BVm6YoEpe+GxSkfbiR+MkwG6XCsFVqmyo75WR+oKii Ppzvbi8coAkYg49JvNNwhHCBWY/svqDSxecjcOp3REBdpXaFS3NsJ1mlMpk8Isj18U8Yya66Mfe9 6kI/SXlM1MWqCgfva3a4/GaZueyzRjctgcDi+NkJ9W82/w0WRuRcDh0lp9zas8lzQjNkKrVLxFEi dOqZv+BfKmYEz+Ft62V+59hUEo2ona8juYTkOU+L7VDVU2r2ekpsK8kaLtDwPvjxBHYl70uU6mCJ lqjJnWmRjJwSfS7zALY2eeArKSEy6E6WK/IqZf95/oamEHONzF8QieD/CjExRXk88xTG4jh3EsEP Op9kgkB5zi4tQZxPiHSsjhl4Sya6GqJCJWPyR8IUpmKpgSBJ0Dy67jS96wC50ZkF1MULms/+wlEd kzDVwXuPWjLKMzpMdQhDhxoff0FEk/9eUETfo6jjExXF4jBT37uzPUdxCk6TUuAxHJ3S6HvHafq7 qklHwm6DR+IJdsuDaMw6cZQk+pWhiAYBsp9MaUVj8aRurxv5chCICSCBDarPdRgb6XxCRSXwmBSw /H9sOKG17bMUheKA2254hl+qU3VQ2OZTIvCS2aZtF6FTGsMmN5Xwwpls7iF2T6+kcv4L+bDa5GdC kbgjEnBtk9XtdaNqrypFO/ugSPwsykXMKRoUfD4iy39XMQc44F9gzMBm0lubgAF6FbN25zmPZeIC OMWLVzFDp0np4bdqWMPh5TkoPWY4GxcT6aZrIlGrZ4YyNl3tt2e3tr9wRJgX3kJYnv2QwhC9UpEq r87ngz23vlvAjSCX8QRPITqFhxOgyUZS7cmdAc/Ml2kk1cRwRrsuCsanmgeNkREHiwoyJaIBvcRt B1+FRhFq6HicxZV/aK3odcze7IWDqIAM5QYHLBu06056TTEt+JQvPh8Emf07emIM1PuDdgodJ6Ec wQWFYJuOkyjtSBh/gExUGI2Djm6FzNByUtE4imc+GAy6V4oLUEsQmvIJap04TAaFsOAXUVO/7aju bxycTwTy9FLuoOuunbtGpIOE7C5wnYQE8a8VBcD6vkzMHNpOIkSCy3q5vIx06U/VWQd1XXxK4DoJ WULMz54Ux+dgxIwkTg+YbWAOUVESJWHUoysKJHABcWNOkudz4DqZTKeiyT+ozW2H8QlYE0uLBikI bCf/14eiUJxu3NUU2k5SfWmpn8lYvJuQZ4ZL9y3PAYVrsxRBxdcUfEch+bhyeWDyQL+JEENohbTM SgHN8Br668iSLLMbCp0C20kcTjy9k/AXyatOJ85rXYDVOvR81LLLv0LxDDm4e9WFxpPEb0IO4/Iz aLUhFlSx/vk5nFDBtmmKQ3Gx6LiYOFwyHTTNsNFAg6qwaWOlAH8MRBp+gFx2RJiKc6H3JBY95kdq wohPlWUUiNYyAx4JHeDQfXK29T+HeCMdy+YpisTBmUO1sjlvFWwcL2LCStk8hJgRaZ7isjhJalkW 3flKDQxowJ7F0yQsuIleJZYc4j44/+gF5O443d432HSMxE9cfAfLgImB4V0HuFNoQJn7d8cOFcOO 1eDTFKp3A7CK4oZ6B+dcdcmK2rLheaBUOi0iiA0oWehuje6Sre0bXxbwuUwzF6iClbbwhbi0sFMz pb2V/6LIrU/5m9USy7M2aNOdGzBlI41VPh/RWO5iEtwJnbDylOdCE0qAtfbI3SwWRXPkPLXkJnAn A3DtvByYUILGssTLmD7ceaq1VIpSnYjgXKSL8Gc6p0/y28cTYcrFPIc2lK1L5EpaV7jLJTPVQARk LokSGX9BVOz102kwt4HF45OuBE6Umapu50SgxAEjgjzuRHXVVkjPQMHPJiokaVIWtlsjeH3hxDts WqZ6dgNlZFouNZgUsmdnfB9tOwrE5cCOEqc48zpx6tvl1DeoC4vJer4LPh+Ve68gPHkHlIfYV8Ai h5aUkyJxY5nYKZvAZtkFOqV18FYrpfpMRQiVKtvOQWYUB+8eFECs6e2KRtu5/Bg+gKX70yGhAfSe C+iK8BOYUjrld7ZyBzuf2miSCN7g+wSulDK1tJ2H0yyTfVOv9unnNXTqkGYasA4eakABWmB1Avip mljDYN2cB9Rr4NShWWlwsOXDvevO+ZPhOI687hxWm6d9oeZLorbXfEhRCJxew6YOsRi2f2u6g9E1 k+nnni2Np1/mp4M4pvnZJvJR0afvm//L+V6C2dEqmlSr/j8aeE7eZ4QSEJ7AVUT4jVZRMD8qNw1p 6HK4zZUGZ1nhLTJwsaS5JJwwDay1XNExkvuC4ehfJwitFYnBEydxZY3g0l2ymQkuPP02QU+laQts MbRvbX5eo6UuPApQ8zXbeYQ7xtePpBihyTQoSo718xorYf1wVexBshgH22PnHK2TrSFAnvf5Y1hh QTR/tgQKDvvLVKDzXd/XjzA7W3JTHLQnGhQfzDq4fV7DpP5oVml6KmEo25fPa5CE5dMA16GnjcxN qqvoLG5qCVcg4uPkvAZIHeUXepvkmnywxTMTOKeFNd20z7k2+ZMZ2Gwq6Yx9S0sTX8znNTzqqIMx jNy6+IHYERziTP6sBPOiJvN5jY64T7LvLk4FNgXB1nRQjWZHznhrGl5+1e7I3T6G5yN7WaD9Ghlx axHDtNjc5eC1EgDY2hSqop4TB/x1gE+AHYQpROnIbLW1iK7+vMZFOHtUwNXp3O7pfKKJTROEn3YC vc9rVISnq2+tomPqh/o0mp3XiKiDA90VYLuncqcGOwPsXLzWvbAnzMk0mJ8pDr3Q8hw8vD7R4kyS Xjw5Vc1ZiDhs1kVLIjpSC0zBDPHzGg/hFmlVVIvtgxF4gBtn3NhX+bwGQ9wm33sLrdK+GVtjfl5D oX4B8ruaBAO0u+y8OZnI8F7AWl6UfA2EenIefWIpiYPPz8JBPVm5Pb/zhIqTmt3oVgxKX/FYsnRW NgvR9uK+2tC4woCgjNWxM1VLXMzea/+8RkE4umb92l6sH+CA1gS9RkBdtQwAPxQ9761UjRJeQKCo pVSQpEpJODqZydUZUw6d89b/R0PPEPoFFBRsIJNheiZc1uiRkNtXQE1e+Gvw01XRwLFDwk5fTtg5 0fugmQ4aH/nzGvrwDb8Pn85aw91er0VIXHdUf93NBM7WMAucDJb4pTSJhQKBs+BoTmKjzrx9eOrZ a2SgFVBig3xTpUwejEgWZNphaebIt0Urk9fyI2ZnY1ogJOODimoTGgwmuoSn32fH1XG3tJkBMhuq qVH5IZge+aW0Zr1HdtrM4eXEbsORy3CLMpmK6HSmVGlvbfpw4d2Q2cdxhD4bSpDsi9DrZSEhKhIQ FuSNlkqvVUd8CewajUpB/FFdEL9Bskj6bygVvdYc/7i7kFnT8tECwyhuHlRaPEeOT1B357JzPFOE lXWib4plNEMqE02p5cyrljMT4cTYSdLNWUlAibPVfhb7XaNchYrZJCgQ7jBM0YlFpg9aQ+nciUMM ywEiarTFjIe6Wf0YxLe2GzxH0XNi9z3NrcP47Kx1pc16NuuJsUmREjcnmiLqUUHR2wc7gxZUZRro HVxEJ9TJW4ac60wRVCi4y9SspXPU550QhzNaEnlTd/y83MrtmJsz73w8ip+/z2hciAPETpui9wAa uhQETZJHrH1WpkdBhRwkHkSgczjgJpgjigOcNJMEAQ7eCunzZNFrFNm8VEi1IQPDRutACEKCzhMM pfNRCN27MgyaBXHQFCWgX1lEayAvvcfQyODKVxwE0P9AxmxTFAXR0JzLqexhTveQSLrLaFwSRaU+ q8ARwWHdGUCDy+WD3/SQH6lgoZ9I6GzqXdhVWzBU6vjgPMoDlxSc+z2STmwEn/x0kanLwbKwM0Mi whWASsNI2or7lqdCiIgsYZuk91ha4ggnUW3JoyGCGiW1CLsRixaHGv6sNEaThD8dLNDtg7VA8jwx c8/C3g76sZsaY5eDyASwmihAQGf0ttFpJAg3YTYUBa924UNxgUfMuXw+7yQ4C6eevZahfdFmupst CqkpcnBWbdq+kqT+jpCx93mNAyQrlPibgoyMDN2FZoENdmZ3VH9KIRUXDhNNLnCg3UNxHXDuedFI DI0/7xw4ng3IwXdTybpZ2pcJbFazHx5v7xQ4JBDZo2p1HQH63c8khXE1e/2PA0XKMzsVR61tlqyv ses7Aa47E2clnjYc/F6r7QTN2YQoALahChxEyn46jetJE7W8LE+97fuJ1CrNuLXpDGeLshlKMixp njx7f97pb/3R6tZCYgBRn3stiqwnU7NarN6KK87QNfC9X7dqVi77LQqu1eef4idzsOgIHGa5H6Bs NtJGmncWLgppwtyCvmD2CqiM8UPe52gQ1LYk2cFBczTWEN3u5Aj78859648SnIXXUBOEsbbN0Xt8 rWWE9GxcIaHKpGPRpKPaAX7S1+4IpCjEHlRaonq8Db7XKpIaWC6hOXvOvqQSwJD1rUjpXqcWQPI9 xBbvEx4vlDflYLi/E1QQygNc2Oed9obwaM/v8wjQ1lI9P3tnvfE62iyeuTMHKlFePBtjNSvmn+/f dPHfSW++1YDrXD7YmQ30IYjjhItU4FEELIHFK5uFmzG2lfKn3jaaIgguwuvLB51GwFxK850giCjK zrV8ryIckI2YGpYXoygbMfXeqVoAuVO/MtR7VAsgEaFZdTGMseXF2Z7BO9bs2KAYffbZhP8Ni/0J jeqOFjQAQ16epmjnO9utSyMZTbNn0DabyXoGINx/3sluXVbnz3EN9b6R1q2/RiE2QExIY4V3OP8q 2ydoumTnQAHfJug9wpYfIwBZZflw4ZCDPqU4iOpGQt6Vpi1k+SAaotnrBxFrjO9EN8Z/iInKJo+r QKClWCYL3TqZ2uX5eSe64bC/IfZkGaQim00+RVGNWp5KbVUX6u7FjLnQqV7FO9U1e436PcSW4SBS tJF9sPix1hOe9i3NIGxYUOpJ6YakKWReS7nUd8phf95Zblauw/oh2IgIOTFkCsDLLMqO8/V83klu nORfpVgqqtx09p3jxo22Ze/iV5pBQlhNGyZzer6o7UjId5LbnaTZTSbgK8jG5oKkFicJ0ge5Uc7s LIe1aRPYL9lG6iXvFDcs+8TQmFGVBgXZpBEkXWn1885w87bOU09jtTz7tf9OcMMpT823tIajj1Pf V2tiXTT7WUmWiLzz2/qV6pac+fiSMz9JPsxdJ6995CUweGQiMiEj1t1cYT6uSu/0Ni4Esm+LaKXF aaXjXLmD6+Assfl5J7fh2l3f1z7kx/tM9zyKQmzk5tAnqW4c0K/vVBfTtBIp6rnaO7Pt1o1GJt6B gy+jczXCaIR3MpR1pg4kzMaGFVcBS7xO6XbiIPu8M9ssjD9zvHgucTAAJLJBir3tuT7vxDbWN34V HoFWm8O7Hu+8Niw+UGZo2uvLSJERkTN1WMoPapql/O+0NkvHkevLAXdf9h/KsgmWU8L6V9goo/g4 QaGmQWFG0el2zZZe9/08khbA3Mz5OWiOJhwg+c+V9ued1Ya/Nn+HRpP6Aume2WGE3cmv2dOtXadW 1KSPiWnll9WQbuo4eo+wsYzZUdRxtJ/jaDYUHEFOZqbWwGbcomvBV5Vg/3QTtTL0ttEUsR4yiDce +GFJ4DXsZ8KZzoWwP++cNqyj/H0cdRFr7jqKKtiN4mWFusXKZmXyKueAYki1iVPX7rX3CBsFZqax Y9zB5mikuiEExHUEQbRMMkDGrdkbCssDEHKV18jy+bxT2no22fcxmKlxUHiEKFV8tBNKft4ZbdYs /Jqjc2RSXMTmKAqxK3VKKj9AujdydmOAJN0bEZC6VWnf6WxfZREgPDRYiH3evp9DW2rL69z2kugY 0AFrmSiMdkEgrPO/k9m4juSjKE2X4SolOe8kZdsTrI7PO5cNAej47uCzwygtF7aooxAb7TYqKCnh P9Oyml38rXotckyscTWpwxgbSH38f3QfbBUhaQVrvSsJOYFSZuEo49itYIs8cq/IVfkZQQ9/3oqR DfqzJmymVaPd5fNOY+O9W75ibF350w+jdxYbS3IEgVAgwInIbmNiZm+yMUk+RWEdm3laocGrBl9E C6pr9FEF+nIQADQpuYs+yLmlqB9oVJqit42miBus8/Yffba7zyb1HVhQ+Lwz2PDHpvErfGS04b3Y dwIbFpHCx3zBxfQBdt7otNBoruzN/Hf+GoMs/uFLQOzVHiAItHP6Fm0UOhIkiEAb+ewzbHCkthfm OPS2QaZWqVXGbrUGy/Yhv8RM7QRXn3fuGo/r7yuN/Zla/Lh+p67hAEmd/CLNxUberHP7JG0sq1GA a1I7qfP3RNsMiydR41aDQ9Th5QI1KYFBNojNmCCi0VDszyT4KZed1Np4563dJGT0pkVE6xguB6CV uKSg1/x5p62xIPIdPJ4rBKJ3dxVFATYYKHuLBSmvt2yV2t0pNCYcPyZbiyiqYSeeQURO2WBz1Gmd i75KhQQb8s7MuADJN20zbjmkk3n8TljrckIE22U0H+wkqnI3hABM+bzT1RCW7Px1EpGIlW/o+M5W Y6ebBpOreysEqaZ1ZXPP1ZuO/c5QVMBO7KjtraLavkW1sQAoomcJADQp2UnUkXtX0DPw4d4JGfa2 QbeILDWg3X3QSQTpE/lxps5XDK6zXycRjG9REvUpiqLrzui6U5hCsJB95Vr2Ml7IiYPLssjxnarG y4L160Z3Lg63xn+OnkT1MQgYnTMJlqUJykkSlEGl/BIfu73uXwqP6BhvH9QIqWcnC5S2Jt8x2Gej /pqjE3kCz2NzFEXXlVoIdG8S9mE2j4l6ukWjTenyxN/zPkP8uwHF5AzJ52WyHoLy3Kysw2Zqkchj Gt26gX5ewUVuqT69BT/vJLWLTGOVFMOJoNTfqUi6uW77+Q4+7yQ1Bo53GeH9AAcaNd2zKIyu6ahU rA2yO/BimqNdZ7M5yhTm4xyF1WsmZ4B4+OAb7aQf2MnE7cOsBtVGrKIGgw5YbudxGWrgivBD/loz auzudyxV4aLBeyvsQCIl/LwT1KzT9JxGqBbCrsqnKAquJxuzY9RbM6rL1e0qkdmqGa1s1cd3dhre P6uxLw2E+mggnF+fREnDVku4Bgjax92/ZyF8IN2aEclp79y07mblc5FQxMFqRifF4S1az+/4vFPT uqhtzxzRgns1X0bvzDR86GZgJOgeTybi+uRkAoCshBITRdSIcoxhItKwoazkylcUeJxtdRJNU2xD OyTTTmGim7UgIC4jEy0kJnefd2qagYAhykF1liXXv/OzRBAQUQCp4M87M+1XO41G3AmBq9wmOUkh TqSw0QgqebYOP2em4OJfjVKb6DmyiIoz+52Zhi9JqqSJ1xoHmySYo6H4OCVrB8A7Hd5wo64sc7Bb 6IdyOV83uvo5D+rw19vhbzB74b5rZ9F/3olprEj8Ch8h3op2jM1RGGHTBI+xP4nqVFqkz8uepoGP UgBr2FhGUQ27SUCyUemXg/NjVgUUSATss2VPUoJlRKlTBGXc1Fc1mR3Hd1IauxIsPYIIaYNmCOwC 3Wpz8/EowP7uFUE3sJV6t9p7gO30a7oaymmiVtMhBUzLlO1mQoTPi/+dj+aJ7LlbevXBU5ATny/s ISIeB1rYvPgBhFnE2c3HHphK8p93Phq7EjyNBnFZHDRFAB+oOnsyoc87Ha0/fkFWDgGkuF+UyDsb jfHjJp2hJs9krw0OChbVM1lURnhiv9PRePWzHEK5ew0+Ry13M+NoG3Ychfo20HfHH3o+tHKJiaDu rxsh9qXqo7radh4/SIFNsNDa2uedjEZY4Pw6jWBbhzaxz1FUwSaFDbj6bLhZWZixEVK7x48UnNEU hSG2bv7KIu2u/bJk0fo4+TzPIixYimFiilAaw7fA6NsszAh/eOehMdlnw7oKc1S9qJYWdC5FVNjj 885CY8K1vlYRfhliN5+hECHSybY2c8C9aZ5BMYjFW9WastmslN4ZaE/BaGITabAs7XxAg2wQkUb1 JCeF4sAIBVCwASbrceP0d43QWMxBJNQ6rlDruTOL+PgNZpPv9DM7yJ4JQp6O/p5PUBRf0xPpvH+/ jaLV3DChUMtccj6otWiKQnwIGtaoMnUfHIx1FhCAQMQ+LOrhsTcJ4GxDGxbfhJ9EhIa+M8+6qIgd Cy35YLvspADsW0O86/NOPOMUfacgI6nK61MUhdf0ikhDUlmYrXPzZM9kWZzAlX82yrZMtoUIbEoa 7EbjDQ6epp3I8+QmhXrkqLEUUWjRW2ilJ0m0ymySggH4jIBZpRSWlssaDPtwvgOi92vLGY8HoWP/ hTLCHdHSXUVheE0t8rFvn6hTe1PiWSwOywEAkqqaoqh2nVRMlJWLzjPLQeBUDmo1yVXnYOpFLiYo RG5ckIXaD+XrsI7Ca3q4QK+8+qDwurBfx2VUBh6P0GrfCGOwcaCC4ByZKLxGZWrvYeKiJ+tcXlSb dFhTwahPs2/p78G17Lxgh/AMts9O9ngSWMSw6KedL3tksWnw/UHBrF/0g0n/95i/SIpV3dMHLaKT 5QiPfb4JPh5wQG7FSA2liZKIo/l6WLsmTB2KslZ2rM6X2UBP+wytafKQPQKI0PMAJr3DB5+hgpAI zG1c+P2cFVkGCsg8IFRjkqxdp/XQ2wbpB2lWJ8fvPnCKFoA6whidf+Hx4MIvN7LmWYS+FXh2Nkdh aM3wn/5TBhCRNCsBImNYgQ2SD4ad7VHxurPiirakD3YWwaDnhJ7EYVVM32L6sWCvsqBhC1m/2yVC 7Qof8hcg1knDmM5W/pHiEg2AxwmePeEJng+YjPP7TsuoEiN99FkKuYw0c2+SwJjU8tumZZDNJWEx 21/G0e9RfG0kWGnXDu+6I5Plf0IOAinIKo0CwIludUxGC48qF3woet0gMtr0BwbVikO3fkaFDRoJ V63zHSO65/qKHWFNWZF72RxFjEZ4hHgQNChUlx0W2g10DAHkbOYRPcaHqPTY7uDR9TljMhho1kqr s0nscAIuhqZK+cpAur1s1G3sqhZJ6wHnGHcI9JIae8Co4+H54Fa7tUeCkiZqj/seSGF4fZ4C6dP4 5puGTC70bxHAyKg+aYpCVqOYwlKr3Y9a7aQI68IRDg2anU4EgHWWoVx3jhSsXLD27DzCF4QPCTL9 IokeidORGSKD8rMPFsNHUJPx/H/ZaiiutPJMUVjCXjo0J7Vor2UiXvHkzYiqMz1IEL7wOIoDbMxR k6hR649UbZqodQmB3U8ygtlBrg8y36Z2b5310cycetuoZIRlNGW2Na/ZFohuBEwi15t4PDizR/ra aOQSQK3Vpug9wkYKQgmxSrsaCvZIGaviyF7S9acwPHYD2mk9irA3iflTCtrzUdCGoPsYk+LfOIxO hA2ecaIF4FT4ulzesCT6tvWohl2pgrHw1jYIQ3Pmtlb5ueeJx4Nkv34nsg06IWvdAztCYKOBhdQj qfRxgiMUSFgP4YnKf46FEhDFw3oED9GtNgV7nJMfWHmrnXlZdfG8RqLfJktGkKD5WZBJy2Xd8xoJ SsWHRNERw6KpIGk6Wg2Q6KZ+2Ikl8Hi0jNbXYYRSNObprqM4xqaLZF3ZLjVe8uaooat/Ea9WfJKi ErZyeMD2fbjnEaYIKB34syCc76w8Qrx5NWjhY7qs8khHPHxIkM0u0RyEDe1XiA5LscmGrUw8HvGs v9N9hIU/vd9cbURBNursQM6M684ylrfUwHZRZjsoIiemdVTDntS22KjZ2+DpLIRjoVBLJZWT2naq PDQg3FoTFckYISxD8jOC2iN7IUhmfDC2w0nbKEtyPi3j8SDMvrkaYdjIR/ZVMhhRmN1ZNMLu0H1/ VnM29dDcBKiBHTA7z5qiuIItkYd+B0eqF2CwJKQCKHYHlg6K/g3QB4SQAEG4ahjgX/iQiI7Owlor Ko6cw3moX4SSJol8AwntCEvY+xdlFs3LeauPIwRio74PPZsL6qOMs6BGbJobxrhbv2hERWzUHCb8 7IcGdq1+6OneGrzdWTkivYtX4OoI4LCYynVMRkz2M/AZATbUFhALSHO6eQ1Kd7uIsX8CyBHVsCUn hBkhGABfy7hV/hEF2Y36xvTaE3q2emd2U1ZZ6Nns6NkRhdhIT1GXHSKE8CsZRPS1MfbAlY6iyIRo IhYaWtoossMK6bn4U8IEhRgRVWcl0DeuQB/xrMxIYJiGx6NL7fswAii+1XFFH6IIu5O2L8oMQqNh HUeINbrx34Ask62gKMKelAmDarEPN1OjKRj1Zs7Xfm5uCmQVQL2hvvqDtpKT00j7wodERxFJ14MZ /6blsS4iaCZKmy+XjOeDNfQLpk6Jc7AYbIrCCJspf2vZJHqBxXJNJ6m4MVHbV151RDH26vIhlUDG vgy+QVXmBno3lDFSARoLkwSNgwbEN5DBXsEmdX9EFWy8bQem7Q660hIcS4y7P/F41Ey73X3GjxBb 3xevNkIMNl3JW0vdW9dlLJujRQcmHUUw1dIcRRjsQto+IMI+3NrauTfnZPnxLJ9BZiMbIbhS6O7x JVxU9bZRO42mLegA22CltfMdsoHXzn2Jx4PY6M4RdxVr5xf1OKIiNsRX9wkZrQ2ylqVsoErPm8ti /rXTogBbLVlo8WjAss2sz5YTCu8tA+lV6cPC4iNafR1B0r79Rij3ZHxGVOWXH/LYPiiWydixskUu BY9HzbRvpBFV+9ONHUcYX08Rrpx6JX05HEXQ97SjqKKsrQmKw2spye7sg4Mf4MMxZWOLCl3BjXXu TFwtE+JTBX16O6yZVOBDgvC6yYGcuw3eSQjjftjzKZtQN4qR4xcE2X79jcaaiMtuXBTF1/QJOVs5 mQ72icu7CayfEKnaP8+tRGM/7LOwhq26q/zax+PX3gEPqRk1+dqRlW8ab6K10GAE3n7Ik7E6/5ZK T8xxZP0RvXYMaJnwLzsrc8kn40Q+Bc9HJZHvrizue1h52STNsIhddUpTD1tWv8P96jK+Jv7znL+s G51rf8YqfFkoo+KDA/n3uaoqqkQo9CNoZyRecft34C7GeDpqpMrOECJC9t4eaftgB3ZLOrDPwVHx eDBFbXzF1yD7N8RoNkVRfF1ZfExpuE4/lyNz2VR8RYGWbPCHGfIct0qzTNM43OMayoSDYligZG35 AIP+/jOhbLTSU6Cle88MaY5SMzIV+lwd0wexnKLAqBc8Hp1Gvxpq2Ljl3vozCq4pd3x2WnHePro4 HhpdCeNBroX0nkKWo2zHa9o++HmUkYx33voVKhnJdtrZNaxRlfRksivb6/6NcN3YNNKgigiM8FQR OfsYj/8XAh8YMzhpfY6i6BpsjBNTJzcUKyl78XFN6xwVnMHWuZ5heM3LjFeiDbbPCiifixEi6FcN SBle+pA5WVbXcg8owtVmCBAhvIgGU/3xmUIgUWi7ixg04/FI79IxNAwQYJM0ypUMi8Jr0KFQTOgG 6QNCxBQyMtXOzDckFYuLZggQEU4trTvYeY2EFcwuXvvQS2gE/yXqU4DEkfu+txq1ifAhwU6THUah CAQH7TRcwFQmaOdwwONBz7H/UjZAebdfZOgM4+tJm4dmAEeg3LKLOo9sVr9QZE6mCTojhIhooEtU x6s6gCLRnAsaK5tJCJxM6aJF6Zmz7+rPohyNQqNqbxsc17rSKuGzHLSMFt3KmL2Pgcej2PF7imiV WMY9jCKECAwTkFp67EihEyFE0vajCMK2NkFRARsTCwhWrT7YBMGgD+QYZmkFdpn8kQIsQ4Mnwyq3 bz2o7Dij4Jr0iPO1LdEclzWKKuyI2eiB4CMej3K0byA/G+er3zUU8hsTsvt904/Em0htkJEMKzIG omWdRKE6n6RBN7shHHwJwSJ7dgnRwLmgk2xc0ajt6GchBrwVfnvZoJW2KK+2JC/LLg2LRQ38ZFXU Nh+PYFi/IkfUicjZ0QxFABGx9kfpflj3Mc0SOpdSTPthQQjWpiiKrwe7sbqsNHiF/8z6Cd+LpGWX ox+AP/mBEsQPOHi3fE1lrBnF140X2VjDpsrQ5oT0k6Pxc76piuf/C9AIQpwkW9gkxfTGLu7epYCu danE02Hq0Kk2zOMMFUQMiwX2lQZH0QyItW3GRen8qibL6MZ7CQo4mc1Rm6RV9Lp/qfFTj9gHA2O1 KkO3dtIYPB6FjutXCgIw1uVdrSi6xgl20rTp3kVwzbFEFrYtnqcJgJ34iyIVXp7WjXhGDt6UpWX2 nETynwiv0Qs6g3iNZtY5DK7VjHH2V4gRUSKLm9oGw/PB2pByRq1WPB5J9fzSoYEh7bp4vhUWrwet MbOXZXO9gD4IgHhRDVKPmqEQI0KY3hyC8Y8L4z93PqrMtI0/pync3SkHmQkKBQyr131hRtNeNqqF UKVv8FprnWwOntfnUNg8hc9iLXg+2GjFz2uy2M7FRO8Mm6JQ57oula49uqalm0FE5AJJVlFDtZRz FENEeAytbAOXMguP5/+da00JSAYdVN6+WRrpHZK8T1h0Ijl8RlC6JlwNBko+CGmE3l0Tom/x8f8S XMOok9bUNkUhv7Flda2Xlx23C+6nnWxFlblkTn/OohXir03WQGqP+0E/9D7Ai9rcaLXNMiWuRlnm NPGet+w4/W3/okAnHnd/6NwwmGB1nSi9isejo+gb0ZdxDp517HHRChWvKWckutVEFlu64UPWyu5y MfI0SawV8hu7NFbGHbwwew7EPEqW/Bz8Lppsn/fZJ4MA+XZNaOmWig+Jmo0Sux4aEGupYgTFP1ZW YTyF56MOyHeTCNjZgQaDTVEUXSMo3d2LjedfJA/TMIUABjuLtrk2rFg/hM5gmyE2BzuLBo43eKtS FZzktyZnMAjz4gAF5tp9MIlXWxE+RBCac/hXHxRcw0ZLPIdzJOLxAB5yg2sKYUiZ/vZjVxRdL3KK Su/etO50IeMkleSKhrMONwFZYXytnbY4Vxy8aQ2jwoJwEni1mbikzjoqiQDJ8/vagzPaSFzwIcEk dQKMAEHkUA1s3sFWIZbxXAh4POLse/jIUBPLCCwXm6MowAbrgPrC7jE32KIl76pKTBXhI5sVbOyv GILNumxl2MPBK0aFhDeeRkhJJhXW04C1xKqosEqrRwCROfS6f9PqmUJPT0yVcEYDiQEhfSiqrbCA vX+bWyCA7Vf0aYUcR6JoqJdj4ePe9ccVRJYV+c8x2812doU17KndxppR30/NCFJqwKQJIrKxxqgx X0AdpSwZrgZ1ZNF60esGKOzFHLYJHdrcxHig90yK0Tmr+I5BZ398B0d4vM1+z6MQITJZwe7O1j/b 4uqoixskEWOUnzRHYYgtHf6mCna7FewByepKe3k5hBpbFu2jH7gvoLP/oLDH0OtG4RFPJLQ3bdCJ dH5UhiD1ZDd4PFpIvxr7aB/ThI9ztKMQGxfL+WpvcJTYlbJldFXo5k4WYu+ofj3kPY+o3obLSj+R 9YYflcyLm851uq0Llo+6mnmosn69oxBbNNDeKK7KwVbR+Q82w04uwsf/RZmRexE7vHeG3kNsx6ut dN3S2iiW8OdHXm2do9VKjzuWEOFx1Hj1c/Cr/+SZOLN5r5V6vhOBsQoaJ9DRQOB97zXK8e8Qh03+ 54lOpg+qq3Xw0xgjrz3weHCvpW9kKK6Llq9dwY5h2Ioa3ZUwTVejY0Pe6cSABZvk/N9j7HM0Fx+8 KHK2MdpW5DgiyK5sgxTgkmjEOEu9EBqUofEZf6mrnXmUsXorjxsR0DdcGn3j8Ug6zJcR+6EIyB4E zQ4pjoKrUffGiLLL4fwk2hpApBQjPOywgp3l1ySsfr/ehCcy4iEk49QxCHik8Ox5u8GuC5eQtYp4 q+0oyN5MY8lAt0GHEQAJLESeNITvGFFCvmmgyGbZSLQ5CjHYGdnsnBdCMw3Wf4Lg6jNEGLtmKMRg S75YcSSHp8Y/NoRrCX5grRYHe0UHoYGfXngWqbKGQjo+I8pCqPS0CH7goEXUBjJMRgCt4vEI/PBd EEEJp6PyahMUSvRN0R2K3/owBHDqlQRW5Vzgzo07xoeoz7iXD45VOxf+iVK7KmuD+gaYIkBYGKyC GuEQbKqq7qh+nYRR+99LPxeYZlCi74SEeDySn/vG8eO/BhJqm6IIHpKalENuXa0XQxwB3sT/lXJG xDBxikKJPlWLMjHoHDzChsBGZ6FPEBqAyogzOit8sKNWbte6d73tX4yt4B22fFB9tmQqarJUxseD PO1pWjN4RAl93LraDkVEsvAhW8SitZq7yS6yg0QskrdD5++JgPxSumLoyMEwoTCd6wP8OuSyGRuN 2sXAXbSCAnpNLoVJa2B8RhA5JoKLdy0+GFRtoPDLPG1uPB6lIOnrsIa+W5vPnR9WsGFKvHpdNkFK X5u0i7tNEDEdmqA4tubaKbP74FC1E9GN3IjlA+oFUsNs6kMBBnX+uR8U1p56178Z8IzUpg+aoFoM sdPO7YnH/8WWqazDQgwVvB2foii4ZgMutVyubNi2/jVygeoShictN8DjjuAhkwXFhdquDX4UnRPx XHCNqwjeQoWREzmyDVapgIt4VDTsbYPIUSLhmXURDrrO4HfI2LidxYrHo1X07QIGUQS4HdgUGRrn 7VMXjXYnTx0qiAA04W7ptN/JoDokEMZoAp5CCZFOr89CDXAOmqR2IuoEM7RE/wsUtAuJVwikEHoj kL8esiQF81MCIihvMzb6MCARlzcqaD1U7OstT/6CCKb+qy0LzSDQ5XyiojL2oJVKvQD+k4aUbhoZ M3XDPaIxZr3rnMIgm3WRc+cXH6x4NNGIFwIUIOyzfRFHnWULs08qS8gbVaI9DLLxKREGAplIyRTs 48A1gCJL5Ty1NTOfD1KR9ktSHSjsdSmzEox8fWzD55NWkuo9Es4sFHYvpjp/Qr3rSZxCGDY3HWXK bfCMFqLMbUxN0/nTaDlzrrzzemdhoE86yuP6me19I6UMpv0p6wxHyNSUjWRglH/IPVv8BUGYVH4l /oMbL915igrao0qvpxkEG9I92dvY0jQcdAvZ7vKQQgNHsvTaZqDY9nWQHaOd8z+jDFH7id9O5klI zUlBz0ThK+K/PJgs/sIRCpK0kCExKG+/FAB1aLJ4wucx+Py/0GtYiegHtVGfeYoC7sSy8uhtG8Zv bAbXjNJksALuQwfpwA6o0HuGMGLgZXxwwhqaNJDHZq0NFwJJNPTwWQDTZvobqYyUqXGETwnaI5Lu 03HOQfNUwKhh6rZh9pBC2Mhv9xnkowuQQZ+osLRNcA1IHQZiu9i+JAEYYiEr9PSLHMPi4jbrJIMh wRzzqZOctXTuApFEYCwO4tJMC6LGE6jUjF6J1yTv+wYpLo1nsLl88FrSJIaKOpN8PnLE+tajRU1o ABPj0xTKi5xjbQ862/0fUzluQFQKS3ZN+kH7d1tNUew9yaEZAvKN9hxP6SQrBT0wzlKpBXAauK+c WHDT0rbRuHlLgaXZ60ahEzlZxl9L083n0AOQtsOZaT4fNQG+T3F4UMFn4c7SPzRGchenDxCSvGye EqrkJIyepdHvbReb0DAs2KX5YLddX5CPq6xwn2/uTBXoEicWOUuBPEhmqRY80cKMnxIRsln/z+xw 4+hWG6BXkLTwV6JGyef/BdKm9dEENDI94VMYhS+e4uPiIgdLfvLpo2IDGwG0YDSfvkhpRL5hS4I+ 60vQB4VJIGxYETiZxC4MtArKMFCq/Zmt3KBAFs4plMnm4QSStw8GHj1B2VSQ2QafDzbdr64b+pRz p+ds+nuZuxE1x4y3peI13Lm3W2MRImPTFNa5yYjoiyQ/Dl6hLDPDz5ANk75ODE7D0IXDZBREGTQX 8/JbsRcOakvk1qzKzI6D5qkBD84PHAwyQz+a6zlbTJAM1ah7OoW+j7irT7JrCqybsFNNEyy6fty0 uPo0BbaPuoVAiJDecXsQN+efZ58lKNGemWmwAFnMWAAfBfD9XKTjGmPS8joHvo9yJaQEZ/ZBtYGa z6tTMDuNyef/ldnJKQNaEf3GBKHzY2vi9qt+AvE9A5fsc1tlL8IB02+zFEFKTERr8Aw3k0HJjpwo aiA1JF47n6y3XRvoKtjbpdfITCTHzo/s33ZBSzhokjJ1GxiIl8rng0A8/7roEIin622UY+/HzELc qNWyX6Vk2GhAvlkNZSWCT3jVheaPvMBOki+SzV5XnQUYwAUxSKa/uMW3jH4o+HHWEDRcfZpKs/eN polNSnToMTgtLYMfzV0OxR4+Hzkcza9pAqqw5QvazoH/o2lJn6NpL5+mbHZHiAeaeYqsBPKtraYw DseZVDKdZjX4NHXcajwtGm62jLYVcMuAAlBKZD2yGmx358AA0rbcOfup7MvB0DcZUm8/vDArn48C gl/wm4aUZT5eq6G23xQ1u/k0ZYrNyp1GjK1ClrbXUnJgAelyrOjH+uA0kgYWUhWnLaE6R6HNgr5E Q5qcQeO1dEVySDk0gVxi2WC9cLCAAOIdsjKBWymfD/q5y286xewQILpdphyYQKoNu7cbzCOEMq8a KqZ74bLjL7NNF0bhS3paUh6rV3kMcmyAmQjiPmsiCA/0yEkeHwFDj4i2AoLABRKANREA5h3sajrf dBObZBU+H3WaLp2E6QqgoLg8fJ6iGjj0K09OXYdT2mGqaAFmVw8cdyfxoAowQydIaSN0Fef6U5xD lwMSkcggzkbes4Eqi9PqTNSg7R10dnU8QVPMXviv5K3NLJiDId3PKcN9d8bF56NT/JfhEcht+ykT BGaQIFokUv+NmgTQSbHJORkr1ASo8NvgeqYyQeAGiYoD4Th1NR9sntCgTGcCivUtC38EetoLtgf1 h2LkFhHUau/7FwBlQSHFB+8WrExUVzsHGJ+P/MU8X+EPb1KU+p2mOA6HVGSr3gDP25VHEa9d7s18 ZinmSzKf2zyhOfgswdtqNLZUoELachGyC37ZoOwU3CEOWkrT3jcSr1cVpVUflNadWZJE4vlKC58P 0pWrjsTrv8Gh9oqP5tATUv5ZqVxPyDSTMwNynXbvDUCOfZ5iU0jiTTb5txy8gVkaTSWZ/qIAghIz 4/DzkwibMk8Tk9layV74L80n2JZPH7ScJu05uZFm4/NBvlL6r+PpJAY/57i80VNoDdlpEZUYrRFM SdSR5b9WqIMHa/GqU2gOuYRXnjKum488IpI6EGuLDNjPHCIST5uoAdq2I6dTXicQXA7dIc3+qLLm OzjL+MPAgilE6SD44/OR/J/nK9xhU/4cd5bCojjT31lJl5TmBnFw4Ja2BeErdg9Gq8unKUR3D8Ep yvTBWywDZrtoK0OhpEB2m6Jk8Nc5pzgYOZdGsWSeHVpEZsoid9Mmo6wcD/FzxCz51Ocy+XxEU/4G 5gJt38ZTJYg9IqmVVGVKv1gUb1aQQ1JqBSiAl70oHphEAhPKqlORcV15jOtwTM612YlC/3fDGg1f x8TxhN8H5o/V5nJN9sKRohTpt7RvB+8Hwh0Kqs9dRzBKPwkSf0GE0flthVRkXeETFSJQztI/O63I vxe8Ij/FS8lWMBiwPvFpCkHeNBsbRS2E0u4pfpLrs5cmT/F+MgoS3GYCLxN8RAByhD21287f92/w gZZFF8gUAEWZYK4l6xzcgXz+X7wcJssTIiDPbRdaRW5imUzrV1Y2zjrdhRq1yoDpccJgPPCKfMRb /zfKnNDaRh2TXNMNn+KlOsG56RrsVE14Uw3yueyFg3nKYptSYApIjZ9u2K1xUmAK/DXY1gd2kRJU +dIo23J3uRMV1cQbpf97T1536tlIceC+OwQV3vOe3IWOkaubuW/14TnGF2A7LBXga0+8EjMWQIen AORkLm2g2Pv+TTlpFgPINXexayggUsS118bn/6WWLLk7OKA9TeDQMxIqppAIyI5CZVFPdacB8y2F T5sHn6YptmVXjYCxJgfLWsAVAJOBeslj9FlYP++oZUG89IegMNNOWtPeNwBcZO4snPY2qDoHd0XC UM80bT7/r4qKwJmLIp53mqKiOIoZG0rPglxAI8sxKdJUYnEOwZxNUlgSn0rtxBms9cFcwMJr8cUh VAbCL0u9J2QzX3Ycg6AxY9PZLIWwFMZOTQLcHIztNYvO8Lr25PNBTDAvcoeiyYPqOzdlCZ0jAWaG bPuwaarLdt+5OoYXCiCEZBlwYBzp5jaEZ9jghYIF8ZVF0bt0YtWzu/AjFesG1+AP6SQWEtRlbxtc daKfcq9o0CxhxpJBmjOfj9qav0hxWB0D+kQ+S1EonihX1lPODk5p00CoSTb00t9O+Z7hcSiuW65s H+xoaufgGChfy5Zks/GEUBwMro2ghGfTVCdqFHvhCB8/BEzpPgjEM+Ary1P53KZ8Pqr1/lKYhi7s V588NJA0pu4wdQBY9gyLBLK0dqkZUAlk4TzFFpJskO+a7+A1cdTMCrSrcdfhS5mCslDMCLW5+mAu if/OoYdkJmQXGEsfvNrbBcpXSTwwkUQk/h05wSVrjMu1yKGLJF2hIcf51OeGLyejF+B02n02n6Yo EkeDAygnMro5+Om0KAvIaSqSL12szyH9ZKwBBPplfXd74QBOQFzKXKzPcbB9d7bgVEd3bj7/L3Qq 3w6AOcjg+TyFMHBKqyb+GK86WDx6i0V2JWITbD/FQy9JGbl0ef/2x/u399FRgNhiXIwFfi1gPEhc NkRBCrv/hgojdC6HbpJTbQO0k41DoFrRgBfploZLz/wF/1RTxFxBi/vcM/e2ix0lKx0lq4dOWRxC TNSi6prYO6UYbzfHnpJLpkBj++AllZOYLOQ9KKlMOkjTbBvUZmrJZSjhmgGwdcoDU0mQmwkkIE4V IktgbSiXRWORQJ41Mn9BBCj4X9lJYLHuPMXBOA7yxV46D6hJL0Iql5OPZqImOGZVyQyMJb1VPtA6 s8EhBaXiNtLOm8Cf05Wc1gfsb59N3i5sPi974b8Bw4aAYQO5ixINWPyoYAsBLP6CfzHl8XpIoHp9 gvHAXhKYAkB6V3WPqVWz58DTUHXwk95e8A3dJTsR4ZSKmI9iBOhgKBBUNgn6yegraaWYJpAvOyQc qKxoRJ7U7XWj+069X64nDirR9ZVF6D2bKPP5f3EwhLtD8+fJ7UKHyUHQasrbeSqpZld+o8ij0Xh7 9VpBYDGJNikLvnSJ1uCt8omy7iAgE8p4kwKLJy5AIIE+GmIB1zdZ3d73b2ZcszAF5qBYfE4h/xju 8/kgZfnlwwkVhPYFxwxMJrHrSJwjvMoqmSaTgyZwb16gG7eSGfpMqtEyUr2DnePnijuZteCY8Ier mSWVBv3PDXRiqf327dZe9sLRriMyBcaPNij3aKUuwZ1OSMXnozjzlzzFkiDInaewMN6FLWS0zYgT 953he6mfZ0Inafm2C8Nxnk6zsLTCwckGG7WPouW0CP4kNwxow4Xaz/np6zW5e7MXjmCGUup+Bm07 UEoYTEFWg88HYWa5/U1qdYN/0MeDUQn9JitFO/G1nzmxfy4H0IEeVBiQ87xRLTM0nOykhlXdexwM CY3K+IkGOqtPMA+gwsRGnQXVJ/hF1NRvS6ove+MgNJAbkvmYMd7jhYfsl3LboMTy+SAyGN+QcTIx 95WCy6HppOSXeipOzTDyysnvenfmwVnWHo8HnpPQdFh0e3kGTdMJJTPctJbZT8F/m4hEmH9QSgUn m9tykkOfA8/Ja65Uuw3LYAVAX0hmgLCCwHTyf/O7wqDuicdD00kgW+DQsT0cV/MXs9RGvsUC9Ils liK0uPoHu+w7XFxYJddtyq0DZD0ZvWGC0GfYsEVQcjcUPAWmk4IuIGpKd7BaARw/iwUhfD647Nq3 quD5QGjC3T0X2k7SYSH1mZ2hYd44YkK14WSxfAFPgfMk9hyZdHQONNKrpumc1gmi1+wfnAQJZpQs FmCpF15y48LCVJ8LnSflRjGkvTiIJuSfVqAIR5zsuTEWf0EEM/ytm3cW0nxizMB88nwuu+W9pmRB AThvP67E5ORVaLHc5RRXxlWZ06raV0UHHZYF8ZBBZ5O+mmDADYhN4mHZ0nN3nG7v+xfCD2zwqg9K gk9o36cuO0CeQv/JBz4nTD0Vne5lFxhQwq8L3INsXsoAKrnqch31CqD0bSo6OfSfVBVzFPbsOFhI cCYIArxtypPiRFK07VjIUzqURtnOsRSYej78lAiMqYxF7Kh+dYUHRZ5+yBurfD5istzzm2ESGFHI oHyaQoomQIaDPOL/47+KT1Pz/7GA4D0sIgg8KO805Zx88GmCNQdZjWhtQs4LPFfw4QAUx2uPJ8KU h3kOXShFzqybvbuKrqK0phqyU4YE8wQy/AXvR/ja30c4PAyBoLnTFBrlbLZWIJGgEFMyG5yoTkFk MTSaq3jnwIsyM6gBlL4mHywSPwfgmRw5LsGNsqIxOBObLezaGeNHu44icTlwowQl0HwEhw8KnU4u zOAYWDM9HxV8f6nEQfdt7iexCw0pheWZKalXfiJ6vLky4MoWGmLxc6CUO1ERRqVKKI7EcQ0OoZud fwcdls86TYmATPA4fzq40YuYegnoivITWFJKBOj8aikOrkdx8JykWXzLDcZP4EkJ4vktFBB7BzDl rNdW6PNn4FTS+dD/h64j8l+tJ+S/Luy5yAyhrk7KrmPxZ9iEXwNyPYFzdF/Q4DddQi9eduaorhAU BOo4juEkoa+HFoWw6c+gSW8qjWEAa31Qrbf03hjNnmANT//v/OgFN7W3GrDJhLdWwK3QK6cN5p8R kz6TtB7ICjZ5cyeCbwxLMMlExCqaRJByFQXzYyLMZ+n64L3fkdEiT/RcgqMDnLfgfAKoIMzFQCF3 8wVD0r9OUCJ7C+C7PXzQBDUYYDYtWTz9NkEIe+b3BLEBBdkITdCfwZKeovxeqqKNy1XADOBwME3z OpmzTEt5/wyVbAHRtyMXIC402CbrE/zFOoV6pki1RNJQuoKaYdlfpgKd7/q+gCCQhH06uw/aFK1S yADH3W6fP6Mkm10JDmW109GUhmGvTc+fMZLtrgFjz2EWwZAHMEMhtPyLt8SXu7/8GR/p1ww1xGUf uB/7wHlS2xM7bUmezgWKAZtOcFzoONrGvrUl2vN8/oyO9BEIDzsmkJUTbA8BIs7qGZtLqZ+A4vNn cGR/qKgqNjuA7CN/t9n5MzTSQ6ip7CFcKttzZiyAn60+O4+yx5+Bke8tgpk6lXM52I0PtaVOQQAY 4YBfRWpYRzGSdWoQgH1vbWrm/hkW2eEjXY+97qBr7LyoejbnIlmfP4Mie5rtn2axCJLK3rqfPX9G RHqoCSvfrgwTlLEcJ7DSxQn0YuIwf0ZEmp9Jag5cXX1wFFM9b7GgtI75qY0nPqhzZ7MudHIL8O8G p0gMr/+Mh/yrJHaw0RmHg3F4KPnADbPK589gyFZP8/mR29uE3bXPz5+hkJ3omflHuc7u6OUbMuec EtMBAnCDkx1FOD/sLU0pL8+7fs4uqOcL7llncxMKfxKN/4MOyg/1wT2blc9CtL2KVBcTJXQWLiM5 mFZXFO4n1vr8GQXZ4Zzq1wKahBoMP5v/DIHsbAarcIku8Li6D6liuiN3Td2u9j/DHzuZqby41FJa T0tpQsgBoG9CmTOalWwALBTfF7LS3L4CajLD/4x+7CuYVDVHjmKDls/5GhNNbNsJgz5/xj72hrN+ HT7n3IPCtx/NfxYhbUrbpphHM77OLtMrRiLx8GiGBpA0zoKjOckwSO4K88tdgdrUVBWAiiPIhFSI 6ChAL1DECkDThn2jXfTnz/KjzQ4S3YzQyQfV1MBNk9dLgZxfMDtdkos+OxXFPZTVODt/Fh5tvU51 Tqf7uWfpU3aGz/06cQPjLJmK6HCW3mKRvll59M06nWqGmJZQChlEmg7w2xcKpkUawsK80VHpz5qj fQesy0JO1gcdzoimsnerPn9WHP3quoGPSrkDKp/dI8MocAbwBmeyEb5wUntfDTbBy8tEtGsSfTCa InkqdAnm9EcwZ551wghRS2h1lt3SSSzPBuNrj3Kty2aTpkC0hlj2yNA9skFrKCeTzGtntX9eSHG+ xfLXAYQiQgP73eYoCp/ZboLagvq1J2RL3q5t8xES/KIORlMkF8Uk4Zx0o8MTYp7cJQE1d6ZoDLjf kk6BBHlBXgzKqd6tRZDCt43OaBEH6x10CKE/oKVxlv7nhRLnZ/T3Jb9a/Rl0K9cURQF0aknEpekb jfGEoiC6FPEgWhLwJOQmmKMtlFs3l+BHF3+dZKmmKQBXG+hFJrErkWIATpvSzTCmve37FHV11LiK NGiK0iDeFNwtEJjeY2ikcH5QG9Zr/ErCoigaYDS0Ppq3/cv2SuM5iix8PEELzeOEjwhO624WHVRe 4uCh0D7Z6UKVGl3/cT6A1ZEEaEbHzGae1n6X2eu+bzWYeXQgXKoPysPqPgEpS2gFuNIwlJ7z+7w+ oT+ZwjZH77G0xH9Pptq2R0My16DcYlvJMCRrwOXYCo3RHE06TQ74b2iwTOxsrbShIM/afofZu9QG hrmITMriN3kHVL1tcBrxot/KWOmoVP3Cr3SoOXsnoXwWzFG+ySrmI7PpONP0SYpCahEoyu5XTFBa ywgZz0s45bsBdaFJeo+ppf8Jm8lSfLBJKhCi7LSoP3d/KSgus7KPyI8diTwvHokmIZ8XHpwfn4wW 8cfawNIOJfUFA4TH2wsNzhOI9muSAPvdzyS9x9VIVsQRdJnFlPrlLaVRvRY707bA8YUE52uS1aBJ 4BoH2200nkAjXBVGpJgUyyOOD5DDTK6oJWZ56nXfJ6nJNCgJnpwuPJk0Q15s57M/LxQ4W0kjf01S BdYZO9bmKAqtAVgCeWK7PzDocN7pp9iNOv27OSAiiq67zG8Hw0gOHh8BS18Spc4qoZLMT7BmJeiX 0cU2jwVIM/JD3udoCE1ctZ5q8uIi9GartCWAa4vi635PJPwfCqDwHfM5eg+wgYagS3m7Hsodkv6q wOKksgossDFWO4tibEopFchN+mCbDRp2GwRdWrsOEE4UjYNVAkQOczMrVAsj+R5jOwCJ8awNCvzO ucCvljnO54X7ZvHR/q5/ZFjAgk5rUxRF2dBoQ/VsOo60dS9Sp0ndbVbzT8rubY8wzF6cIkj12mBt 6wyR7oKIETXquiDaTog7TH7PL/rZjLKtlj/1ttEUYRXVxuyz+nKAItXuDj5anxfam+20+isPQc2q FT+NXjhvnqaB6Kb2GWtE+XpykuLKCLIhZlJ5MQyyVTsrqlOXR/0VrG24NZEa2AGvVQEW7cQTdtYf XJ5eoKZw5wvhzVa8WrCkAGiwbVYEkoJXef688N08bvidiKDKAM9Lm6EoyEZRAp3eaml+NiVqzNDy GYLRhs3Qe4ytM+3sncRshIOdRIAlnIxRlOUxmK5eaTNw4Ar0m/wkYpXxhexmlwsLaCwSY0Asbrls oaoYcDF5fl7Ibnba1+/gCF9Px11qUxQF2YD6oFSUXREHlnI/7vF2tahTzeas9EJ18zqgqiC5+uB1 fJAmt/TfgA0BJpm87pOtQ9cTdONLgB+0oXhhunk1JKv5ysY+NfZ4WZ/3p8oGtfHX54Xo5mH2+i7G InBtwxPaF56b7bRJw5c5/bxueZj2VLpWOeWcJi4m+EJ087iFmUiVkElNX1SS2U40TfDaiRphHpOo aQazyU2vvn4JN5IweaG5edLf6RKJurUGRdkXfXnutPp5Ybn9b8GaFTXIFvVbcnwhudkxD+WqkzZ0 z0TSTMuzNckHMVvLnvS/cNx+Jf1t38GBa+Vc/eDDSDKoQ8KTRccTuY1Ki67t3VfzVnqhuPlukzwe m68c1No58UqmYMqJ4OfnheBm9+66lxqJ74gi4DdqkxQWrtmELKVWO7MZ1fBE6nVexe7drK7/Qm/z +JGtabafNdx1BMkj6hr0M5E1wb96wkYO0AF4gICmRjWKnVl9+LzQ255IHlLdEjMrLmZ2vgS7Jc6i XZ8Xdpuvdb/VSK1EYQ3CUjZFUYwNz1IwVOa1WJj9SnRVxxidNbqsMvLCbfMQQjJBgs48BlTzhNUJ FTB28QG/U3V2I7zfaFRn0Llv42zpdd8PpEUm96Z1rgbN0QSKnctgpf15obZ9Hb/PXmN/8rbOXpht /tRiB9+syc8/1VrEoQ1jXzu023DvoBdqm33FTGUz6JA2eAP/TBNE8JmsoUMkDRzavA9Asvb1D2BD nW8bTNGQARVX08QBIbd6WDPSIfMHtc7PC7HN1lH+bi8Kzbe8gfZCa/OtlggHGTehLa14ZSQ56XYi ALSL7T3GhmAJ5iiRD6Hh9l/hylllgQvg0eDpXtlNRZsls4arCtsg4PiF1/aUNuD5wvtNsli8oKhw yXvpRGOfF1qbYxV+5SEoisDp0OYoCrIrS9lsiRrsMZUbQ5LjJRYSJFu0196D7FsZgQKXDRZkz8W8 ZIhuixXJWtzklUaoe20XCMJS/wuj7ellUMsl+2Aoh3M2VYMaj88Loc1C0OlHtjpp+4ckS+tSR0E2 cjRca1X4/m3EEdz8negTZvw7OW79hc3mUXZn/tG2D7aK1gn5BKetUIiCmD3TEFyoHQnpfERfB3b8 54XM5m18nkK8jTToz0I3RPfUOfI/L1w2v3j9MFqyOsWl3/3EfuGyeSefqhLl1tcmtWl5GgETbqfR pFYt5yisZSMwPOcCMI8abBWdo3nA5x1VVJgGbUaZ6MFONEPOn8uCifFpit72b3M0d6s+2EY7AeoU AeIcmC88Nvtj72HEM0z6zp7wv9DYPDYXZdS6ZwxZzL7s3KXeEZEeBDfaC4vNNgHLarlKu6xePUW4 S58vt7PleOIL2lERDQnjUPh8j5UfpOPQ20bJGr0DoBNngyX8Z69uyWuV8nlhsPl5vb42GrTlar3Z 7At/zU4QgGxhTP9jNOSp4jUTrGwwdTq/kIb8wl7zfcYjCH+pDbaG0GuRSQO0otcJ9UgspeJGb4mI /ubp7Kz2skEaQkellZo8FVHc1XLAF8E620y5fF64a281EWLRaEShKYpCbPLs9nxkJORmgjkajeuJ UH5UobSIojp24iEEnykfbI64IzZUwfBNr5N8TFtu/YdlonwrImzAfF5Ya56ocYbohajBjqJRs1wn zy/4vHDWLC7Z3xURsrHohacJeg+vEWkwmRWMn43Hbo7BuCWS3WdQqzFhhBfOms0QumqFtXobvPGI Y2whfgD+s+0h1vskEwhi8hAD9WYIU5AXxpqt+M26rJhGHHQSoY3NAgk0Mz4vhDVbDb9CR+j9tnbz /Re+mrd5aCBQ6833dfzQu7RVh86k7PTHF7qa3xaq86/tg59Eba4GWjDDIojtWprWqmRlzkmSLvuR aOIXuprnokW6idMHNUPqktYqzVA/L2w1XxDfywhS3QMmCTZHUXgN3OVeBL4R/0ArRKFDhJRl2ah5 2eiFqfZV5Eed1AbWZQQPSfn/M/ZlSZLswHH/dZY2GvZF9z+Y4O4RyGoyo+dJZsKIfFmVjcISiy8U aaE46YZUBDWSUPQbLPoCF27JPjf554Wo5jUuSQRL550YeW6PSkknRtfrRI4vRDWPHPPXFC1a6KZ7 FIXR9QA2theVPnbvw7vXiS6ZnKICtQRNUVi/ZrMow9bABu9eI9Xohd3rmjv8uTcX0Tl2CHksaE+4 jDKWwueFo/araCRq9kDqLmz0OQYofQrPgMzno0T2gmiofQMMM6ptNkdRdG1xkfy5hBnJHjueNL14 1Wgvjx2jEvYkdHFnwo04eG82NZDxpV2+8M9NQ0XgjDbqEYUoR6sakZ/2Qk+zn5TSkgvlFRsUXp+z YBinaOfPCzvN33H/utLaDxUpDeYYhdc4EzAxTWpt+H1TN75jwodILxGcMsngv7DTflWxaVCmwcqP J2gpwAeS3AAdsg5DgYR44AfM7B+ESr6SBt0CXthpDmRg47r4UHQ9wSeYiSYYD/PzQk6zvdq/54j9 qk7XSU1SiBUpEkGgCClT2Ulln4KLP4sJSqYM7m0spBdymu8E1tRmv4OXRE4iTDdlTFKDn1mnpiR6 cIssLqAxPDhqRa8b4WlYWkuj+SDMUTtpLcuH55ibnxdumpck9nf4iFu/3JLICzXNVu8CW51QOrHV 0zS3l/NPUyqFxrS5Kbzw0rxnKrqsVDfLo7qZoXUBPTVyZGZjW3OC5spoFW+FpMHEk9l0fOGl+ZnN wtrkVuOgGTovbaisufl4FGDPrxkCWro9HbUXUprHCzScoLY4pmiBMc/tBbkWV3CFxbMu/hdK2tdO QzYwfPCe48llcQqR0LCh5proNw3d+QXqLNXbXDiZjIYXSppf/IRBJK4lDpqiCi90dtTWCbBfGGn2 ePveaagE9n6RIi+EtK9w4fxBdq0hk3VFOxB0LJRcBAnyxH5hpH31rgt1RW24fdlGZBY2Wjr5a59F MhuZ6PLzpei0WCpLKPPnhZDmqSwZMY0RAAcds+cqWOrvnyDl88JHs1Uo0T9HZZ1F1UDhsDmKStiT 4mN5G7dx7978UluCXuNS49mvKQpD7MxKCDAtNnjpMYHID826yh4P0N2YIqplwkEKEZE7mREB8cJF sxlSJUSGyhw0Q4vKI0z29/i8MNGeRPjZaAULG47FNkMhSoSZ7LAIG7AgOSqBvpkc2ddAdNEEhQE2 9xmKrz54TQ0oGmLUIDtGYWFZdgPey6x9P6acWkJR+dpzkHUHXfoJlFK6TsFz8oWC5o3r/DVBcHU/ Ef9dQlF8TbeIlPZwz8lMhBzDRzCqbIpW89b1C//sO8KupQ8fbA1JHWOzNAt70RNgEMIuy5mzaBbz NZ1EhIe+0M9sESQiRKDLY4Ptsg2FAE4RrrMovpYOmJ9E8vQed4qi+LoT1zfI92WuP+ZlWhd54BRy 9JZlsi1EYTeeRMQ2aHA41pmhk4KQfgbCufmUDIQZDeoQjZlsU03N3jagVwn02GkvYQqRRGOdDJk1 sdpyxuNB6Ni/O9cU72rpMmTC8DoT1kfepKGM/YROrWxHGROBpimKitd3ipoPF4yVe++yvMHuwOEt hAgCalyQkM1zL27ttCi8BlaC6ofbB6teL4PUnBB34PGgu1++gfxg5PR0U5AehtcDTcY53XVSXgnS 9vNFdA4q91Du78G1Snpng1WeSBzsKBrIvxdOKgAfN+BFRGuxqQ63gn7xD2YB0GMSI+nVEPa1QYvo fCoBd2C18/H3CSrbz2pqkCAhbax9aoai2Br5ESD8JVvdUcA1Cj9IcZQ1teaKoz3CiKD2gzMIYlAa fIqQuq5GznDq0IicAtqc87VArs2UWeV/S3mMHhWvq/XQ1Cza1pHNC3Y6PPzq+XHweES28rNISS/Q DxfO38PQWhbB1P5WyQjdZ4OINIdkn2t7W7bfo+I1O/sFd7sPjjQCJTyBxoo8tiMEoB4qmo4LGLBc +83R4DvDLwkiR7Yb2yZdr21aUDB0hKMH48hzeRY8H7AZ5y+8GiB0HZI/Nkshn1G0EJWtJ9Hq1fjC 6FAZZX/SKYrxdY/ia62PpbOGg+MfAONn1oZZOuE7GMnI9hswIggTd39AfdTG6FF8XXkcUQmYwzRA DATLRLpqne8YUT73V+yIglFF38XmKGI1oo4A4231GPdWSE1k6LxVI8qCaoZiWqMWECDrGrz0eB5u jcZR8FE+Z3+T5OEAYAxNlfKVgbD02KMK9jIte1WNEJaovQMhiUZ4/3nVieeDW218t62xqkDR9SmK w2sIaYvzgCnSnUbB/+S17MFOqaYoZDaqcI/utg1eWDs7NhdQaSBwftKOStQj8DQ/VCmjqrYfR2gN 4EuCTL/IKDiLFoIiLYPilgDKxhSdo3Dj+WirfaOx6EJUnikKS9iEqneIImax96gBhVdsEwklOOjI QKqqIT2uYLNDJoHR8SUwSgBfl+DaqEW4rIn63YnsqeBbZ32UM6fe9o+SUV5zZB+UyMIPjt278xUT jwdHdv+eIiIvc7232nuEDT80BURoEVDBHvid889KgPEASg1HdoHgdkU7rUcRNjYjHMnYTJRjh5Ue 0eyq2+wQCtwmUVRKEPCdnQTU5RqHhYqz+JLoWhMtpGQfBKIpoNnp+/LE4xFg7buEDY05MuFtjiIQ Nt1YElUaUfrYC+U41UMQ5+uf55BFRMcpivAhwqsJsHcG0Q6ZyALzUuFcQ6E1gK9ZD4G++Tld4Pyz 7nlN6B++JIiOeOUPqRxysGV0kmWRzE4ogceDZTT713mNewrzdHnWYYwtG6m+pl1qiYJW8tXIVkkq 5w1QauYkRSXsZSVsWZPl8oCMyXdbNClFYRCcLNBlwFhekOBlYG2Vx0GESI8QIkhZAQ3p0wdNEix/ xAqZZeLxqGg0fk0SCE79dh1HFGSDPkbYYL6Mh+Vs67JMCBlcvmLWwCOqYU/B+NszeO+6AEox2Q2B TU/DD4gzC7laYyHFeWosQ/I7gtojD6L9NajreE47oY7Ous14PAizf3fUElE0d4qiKLursFaX3fdp KgjYhIhkUxM9J6mHkOPvCvZGpc4Gz9VmhXMJiB+91RN8pSnfEVz96GZkgCBcOAzQOnxJMEc1/0Ks natbDSNQvCi2NpDQjrCE/at7DWnaPm/1cYRQbLJC2r6t2Vm3X/7C76hfNJOV1kZUxIYI0UmMM3OR ps02kFQnuACf7HiIFVLoCjexZOg5jVTnKvWB6DvwHQE4tKv4SCW6k96Y4N45qEeSg+v5P3g8KIu0 73wW1XsAxX2KoiCbbcctvjUz27Ju27G6j0ZHJMpkbUQhNpSjicIq4oQMTdAJIM+h2E+eLLM2etnJ MAk0Osab9bn4z0oY+I6o68gkDVehDVZaq2otn/x4bDweXWrfwg+Axbd6+aAjirC7GA9pOOOhJKs9 Luk9IXwEfdpWUBRh01EbZcDuwy3OwoMKOF7gpKBOysIahGLADwdMJF1+GtE8+JI/WtflbDYO0Go0 OhDwFdpmJ3LF89Ea+qWsMqg97eHjiCJs6KICzH/lr7omCcyiLWGnRXGMasJFI4qxTXQGwCob7Cxq 0FTbMHSAOsa5Dk6KhklC4bqhZomfySvY5O+PqIKNvAP6/W36YFfaCd7Y6EeHE49HJ1H6Dh+BVNvT u7IjxGAz42+UxTHyFWG0nCJfXAA4b8vURoTBrlIG2zpm9rrH9VneqzeAOHGjoSWS6C8CgFnbJOV9 aRdVvW10WpN8BfSiDdpo8KyQAM05wfF4EBrtbwEReQhMj7BHVMNu5fHKwEZL7QJnpSfOIn/21v6I 4msYhiNBK1kDIw+WZwG7ha8j2bLnf7NlcoCr7JxSZ3vu224k+hffEYVF7OwPZmscFMpgDYl1DbLs CMPr8R1eU7o/XQ2REYXXJ0mlbpGfRFTH5Uk0u2NCcV27Ak0UXY8spsMsPniSVjamiRJz50DaiPhA K9q0b9+QwEJjR2c1ec34kiC6pudvW1TLoDUf0WLqh06KzEGQHB8QJPvlu2nd0Evj/6M5CsNrioc3 EdREMeKNj/B6jWs0NhHXap+FJWz6sEHRxwdHP5TO6iD5IAXyu52eIgXa2GiKkChjZf4toZ6Q5Uhl xznEJ4Zuu5DlKG4m9p3HuXDwfDBJ8x5Gk//xgoCAr6QZY0SYsUDsIzt4NpnSXK5dStkQXKmGEZmx FB+vfSSmNnjdaAIfSN9MQBZaJUYEoSkw2CcaGOPpqJEtO0OISJOIal4+JC+JLM5Rq6Xi8WCO2ndh bQF9vS5pZkbxNeQGQEwf2+EPw1TDz9XNiw7wB+JVNUNhFVtchzzv4GUjqG1NtK8AWYM5KCPwTIUo aNKAI+AFWnr4zJDoKCeDWosPwvQRIa62dS94PAqM2ndg1BpPfZ+hUEyEYCyQuS24lteLDiRZG3T2 HIv1HGfIc6xSWsl38K22z6eDRyzc4x6F4qALEHo0IPFj3Ex2Ud9gxkVsTk6Si23qtyKSF5STSSiu ePy/UPiYAqGqYnMURdfI4vdeVmaE3e/0/ANAuR+zEOnN0A8zrmBjg6Wp+ux8DLM2REV7aepcQwyT Xkjn1kfNpprfkDlBEa42Y4AI62qDjGIOnKGOE0+U6xM64fF/il4y/4C9UfHwekbhdaWT31L/gw6E a1dvhbBhLqoDfFB5YM8owB4qqK3efbBVdGIKuGAvKqeC6arSW14bPyICrb7vtTYJwp4hyTERywf9 NRu00+CbwCO4lV7xeNBzbN/wB/DvO1D6NkdhBRuAUpCK3BGjiv2J4HGw187gEfJ6NkcRQoQyvIQE +OC5/jlCsJikJHICvUYz6UrBC9D3WU6y2IiekDOKr6tke9IzaBmdbUbjKuilDTweBI/rOwVBkt8R stkURfp8QwgRS+ldYI1Z2piepcEM2yYoKmAvVveBpPDBi7P7JGZtZvVBoLLJRdSQh4B297MYXYtx TXXHGUXXgvHtTpDRGcyqtibxBLD7WsPjkYjIt/QTAE7gzfkEhQRHtkVTuQlIYg6gPgjNZllSA1rB jqJQoq/oKErbB7vyEVuj1UQ8X8qbTEHop6MxhoZWT/kp8W+9bYTDkvUMrVU4qKSGFEfC4Gvz8Qgh 4tUiVgXO1zb8XDZFEUKE3rZpCKVOsIg4/OSAFtODAoVjWklt/hlhn7kRrG/e5v7Y/RxFg0cytDGg WlvYTTtnxyjkW4/r5TumvW6ELuZRBMYfBshQSUQV1n3Mss7xX/F8hDT67qah1oqOg09SSHCULTRZ 78SI1JIumVhmNLjSqF6prRaqiJAQUiA5aoMXROhyDJ9flNQATWco3iGI0cF7yeyO2iStotf9IzIq pTKmKbU5XG33XnVenx2IxyMcje81HlyIQOuth6wovE6Qud75Yh5LNuMwJE1XXx7lMK2jFYFERlE7 djcfHIwFKfkNHQIgsNvY4sy0yUQCRNDrN2O0/RWCRLacjvvywWYonz3LGWq14vF/MRzlNgNjWqLm NEVh+bqhFUvekmLHvtzjqa42fIpKt622wvBaCP6qwtGDozlp6wmw92LsWHDvF7leVFKJ0c+o+wKN 5tbLRuWQpqbsVFMWYQNPbJxHPI7Ob1HwfLTTvk9swPo7rkWbolDtujZlZk5J73XdyKj6pbbgKGFz FINEWBGBZCQG8ooadxqaXbCb5qXG05tNkElDkAmfgfUERmdV4TuC4jUjIirm2CCs0UL70gTT+XgU Xpevw6iOSgdbn6KQ4ViLd2Kt8KjZYlWt+v02oUOkw2iFCGxJGkwqrnCwnVahxLtS0U7rYGHx3idh IbH6eAuPQoauP8vX1FXxQTvt3AhrqfC4Kx6PziKfIqqqZBIBTyzlkxRF2PjhtgTR/mfSdW4ZRASc KdtpA31rTVFMcWR6VvbywXYa9cNmtboaqgr0hUQi+gPTMAq1+3m92dtfEUSkEzbbxQbtaEaIRz5P zk6qNuRSM56PCth+8+PoAtCUOaNNURRgg7e6+zbxsE1nWLNNoYynDqPkV9oKNUS4is7h3Hywe79C rgd+xhK/hm4xcUYg1Sx4OhB27YaYRKytCCKy6HbVF/WfOCi+rhDkEMGjDzweIETWRc+qgE2gqceP KwqwcUQy8XAw1pDiAyapbAesQwjEcpAVhtjaY/J43I/H40R9jmR0lB9PeFqkf5Q2wyjgH9oDNULt iq8bTBKxagP+DxyaYuzZcYiSwJBSw+PRrfaLTYxlhN61zVEUY6PSDe+P5FZzg4Ao9mQrtANkNdcW ZTE7PylaSBTqY7tRgxf5sd0SkKbo7e8TSpJ6tWBTv+jfKcEeYUTm0OtGsREJxUj6OJQfQY3GuSLI 5Byoq62wiL2/Ydgw1aOIlM1RSHMExigVXWWMH8ERNxGRbALZZVKYU1rYIUhE9euZtw9eWIMsYk9s hLQEfZHKAi2azwBDoGo0rSdbWLLEl0Q4bFZmRQiZlxAywHFPQvMPvmPU29/f8SOKavMmsyssYlP4 qU6HFif01rwXMteVMkYFTHMUC2JTe7aIpFaeUj/MF07oLKPQs2vHJvdq08loArPWnnwWuD2+bpCs sak/srZctkC+4CioMsDMs+LxaB1947GwTdDtsznaUYyN0hIg1x5Anp/3qvROk8n+VhHZIcuRznpo KfrgyygR8kZ1x3Nig/mO/7IgAxpIB1G5cStVVrB3FGM3dqxPpLV8sFV0NqpmKA0+HpFmHM0vQV+G WL7T9nuILW0D4GZ6sikyTijix50sOTmJNiypNEWhiEi0iuCYi+XBnYY6fp2SfcLBDdOc3G7KX4hh wJcEZaOq6uMuPqiy1lF04T/XHng80jP8JUNHAM1t7u8wxs7k7sN90gAiO7kZ9kieqoH1YioiO4yx rWJUmw82R31tYPrUlp0nuUUbD51r1P0WWdrtgmgAm8B3BFM06KyOFqgNasuWk9rwwAWwEo9HJEeP jTAdoMxUtOxtisIS9iKoj1plJmOcTKz33MGuHnYORCeF7BAi0nnx45Ns8MIRSvpFlIczU4CWsUCL owTI8pNarqdZxEttR0E2eKMEF28fdBj1UanxBw413zGSMf5eRshm6yP1vGMU9vqyd8gOncEUbQWQ nKLWTUZkhzBsKWJVatBy8NgIPd4lZyt6RANkCdN13CYAQRQeRqqtdXvZKA2hOkbNd9AquubXBQS1 HUXYM333rmEBDyNXn6EowkZbH1T07Nd+p9sp2Vd5GFWkrIJqhKYohIh0azVOH2wVnU28aCVHXayx ScKBJh3uJVRoG0QtDIVNbdUdamEXUUelaNRd0eis0UHeAUxqFx6PFI1+G6iYhrJNUQQRIZ44SXjL pFa8/YFo2xWN9rguGKFM32ZNBEeZDV5ZQ8UC/nus0BLmzbIRYVCgbwIH5p3r3vW2waW/KSNCs2IN qtCikDfVsV98PCpiX7lwqWid/w/AkjZHoY7IACe9bmdf0UdJPiqzXGoRSAGUNNpxDZurB7BhGzRD Gw4woLpxn0EcqZK2PiAleRKS9oPzxfQwSf3CdwShIyreiLOKD1pEoAhkCarPjcf/VViTtSW0Hi+c b4c17ExD4u4TtIZrPi2xikVPQ1mTExQH16xgJzWv02Vbn+U31smgqI8BPGSS5wpYWOfdcMLvB4i1 p941OoiSTqDtgyYIFRfF1idzxuMRYea7l7ZkeHNXUBRbNwoawYrqx3TDqtNAAVx3w130MmyXRQAR tAtQ3W/VBz+r4QpTMwNHuKLXTDF1cLDQjV0nUes3KGJxdocKIuLsF+mFOxsX5cy22bxrZQ48Hq2h Cw/Bf0wT8FH8JDJAzmuSxplZRRoG558o25hnekF3EfiQZQ6pXR8VLCSpPwhdPdOdpXPTrbl5nMKS 5/w5tVJoBQ3hhbOowIHMwUZr2wsHVFCWZncjHmvzEpdDKpxkOVG95ckPCI7s+qu93yHudhXWJKLz +lhjO5b6tpyo8wc2U8mYxbAjZaLvZprqKQ6yZerEmgcHqx1BBLOiakCx53SuaRrLDeDczqbO7pAq 3R4G2fiWSBSTiiplDR+4BuC/TlEPYGMynw9iyPbdWUPI1rHzfJ7e42ywZ/rPRhDjzcc9hjUfzQyc zUcYHcrBIIVAbOnzktKgwabpJMYnEanSxD4X0gJ+Fln/uWAWeEFs7V/zz2zvG0EheDRJVQTUiR8x 8OSOQJTMhENqimLtUb5p/A2Uh3HZfDrdokASG6868YGHhkkdtOQa/W06YCSn2MYRYfZYFFAd63FS Q8WChmHgSXXIQlHPuMEnbqGTXNMjj0ndSX5LVK/l7ZZ38kGZLSS6xFNHsx/PR0Xt70OcvIdRn3mK Au7EpMSwD1LuZdGNQdpyU9DVtaC6Pirye6BLz0538OSWvICa5PZUYGdDQyy0FBfwtAB+ehmJJrT8 lqA9soz/MH2wg3wuISROtJr5fERW/0WhBc1ztfkcUGFlm9rPRWaOTFIob4CpWLm5RE2DyVWRb1gU dw/xjNq8w01wk9zhWG5rsFCla2AiohbXJzrVXpKUk3IKwSNF0dJePlgtCUx4aYmmzeff54kCV1bd lq7mOcnR/vGJCg0e0ZAcUPhVl0ToY9QKy3QD55PB0Zq464OCsEAOhhAkt8EPKAi7pE2Dx5ZhiwZ8 dpogbW+QonKjg/OWCkuz1/1btZ9JHAfOU6uwKGk60vX8v3iijCJwK9T9zFKoM5LZ/W9dZD6iSKbN U0K3haTRc3XW7vddWOJecgSngxgH75XgatuNuMg2+8bGwzwhSidUHm0+j5+Yc/NbopIJJ2ga83gY 1obHeFMfoDQ+H/Ulf2mwTcAjL2BLxcLXeITqtIO6SoKSbOMfw27YkuBzp0MgxPz6IrUR6hUjlF4+ WDLX0WDKiyXcijsPpyEMwXFpAVM+HxE283JOoVa23B9ADrRBxbeN8jxvsBNK8flg241fThnAjn2Z P6UoGF/0ETspr9eWWr30o3OZG+Jmnjz1TlPsScOAYJAUycG7k5AOG1wuJ5GH1Vql7CGsXAe6uJnk di/AFXvhqLqk9jZbJpIZ5jw13M5kqQ6GmaEtzb49XF2OqAHehkDOUUAOqRq0awWv2YMHo9R9ZLtS aUzjqiM5h4iSTgwA/ngbvDRwjqCM45uyI+38ScKRIqBssFjOLBuYQyatr3MOEdui+cmgd16DXpTO l/Tqzh7n81Fq56e4FD5xKTzK4jm0gIQ0DlCA5gWx5mOzSll8TdPoxacpQpXQVOQkWb34YPWBk5nu s9QasaQVPoTq9VJAizZD/ZJs5CmSYwvIqk1HOCAHzRJoqiQYtnOO8vmgLZDrr7MJsJInZwlMIPGY Mro1PQOWWn0TgsuEoYnCUpEghy6QggJmGmFpsNUE5ewTXeIHBqhoN+pqgPQ38NufOxlASpum0ux9 I04bQYBZ6GRWyVSM2+d8Ivgm1cHno85A+QoxC6XFyzVdC3wg0fDuwpbcaarJTWnTmtMLBTh1bTWF oTjxN3VQDYmDTdNa2A/ofJwTBCItwPIAf4IoHAiLvh5tDTa8c2AEaZETTqLmgwA4sF1rxkKufD7C BfxaTY2tuJvZhVaQaykM6C6hnUVJRj0l9yv3A761T1PIkCTHNm0xJfbTH2h5gGpDQCBU+ZCsEO0G Of2B/sDIN2ORJlIO3SCXSKRtqrE7DRlwImC6cGE5wVU0dIMs6xvMBT4xW/s+T5GQNtL7czRNX02J xRypsZMkJDV2nCO26UKQiXRIsgrg+SmAQ4pttmyIt7MedqH1KgmPNLYv41HSVkQQ2kHmKhZyaj7o boJ/nFFKVuHz/7KqYcICgYE6n+UUe9UMtpjYtCRSQNJsCDDPmWGUyYkg0RLg0BByieY2VVeZF186 qbPQcLyRwNWo4YXeN+jZiMhKLW5WAzcqe+E/5LRPBMEOLwclLKBicjGALM7nI83xb0sfEFDb40af A09I+O/KpGY6/X+oz0SoCRDMUvnt8OOyeYoMa9i5PAlHrT74PC00mIxQulF6om9khpLcAFCe7C6L CGq19/1jOZ0VmboP1i6oLvlXU+XzwXL6FWCCIj8AxvBpCsvhE3GTqLZE4jp+CbgPgzAXsEW8nBLY QprnYaYRtg13lqCljHuzQhC1J7SwZoKWFLS/wejb66KW0rT3DcoE3G4DubYNSusAz5GJwckZ+HxE LP3VBYdaNOAVPk2xa42sV6+udtrpkgPIiBGVq9z6XOwNSd7IWsxFlszR1J5LNFIQVgDQvs67LsE4 azLA52FiUlvLXzjiB5B4i2zQBi2nuVandF87RzufD/KVaw+JJyHV9CMhS01UaBCJWAUT1RwFl4hw 4fGU5RaJOgGM1K1OEHpE7iIZoF188IRlLXBxusz9akZ6z244UExMc7DllNcJBZdDk0iVCcgEB8hv m6TEidSKtFz6CfT4fDBPydcTD6LJTs0zTWFZHCKmJybIbDPxoKKjeEGbuWQTlAIzx/sHoVHkkMCd ZBPSI5swEf31TAs/2PpWNsdhFbXh841GUL8kgdX8fYPTqTMYqEx/Z/X0t6MlmXQul8nngyrmBXgz mmQ39UlXYqfIQZzXKtMO8XNBWzXlXFDDFtZkuqFtF1hFSmcZJuMCDH7BT841dtLarm0HbWSxlScI UwvxO3DtXp0j0IbfEsWYbLMM+mqiefMj5iM6QYtZ2UkrNj8gisV/tVlA+BzPMR64RZ69sqltN0zl bqyV7HQqw4iD5+9CL8mmKYR5D3mxC16Zuqe/59wc59BrDDFBCc2F3QM5v2QIGhSBT+222/a+f+EH zrUzNQxjeJ056kXbLnc+HxEGLhpe2MpFxYU7T6GdTZGJTcs/7mdTvEFeK6sHleJS1XOWwDISf0IW vuIODtYpFeWsLZFbKG6zw9CB2WmF52Pbt0U+l71wMFEQhMprLA2orojDdKZeMvfnqJr8gIgAf9Eo 0wKDlr5OqNA2crDRMne5eJS0ncY0L9er0NZcMxUCUoZw8XX44I2WE9Lgt0jCxReDztMdt4NyB2uE Sx0o9r7BCVVk/kPZbQ668MCqINer9dr4/L9kpbn3CI+qTyc49I4kZAnAXJ+nVYbDddELsXlKtSef p1AbUKUnVug0WE2lwAB5yRQZcgon1FRNGGEOcrKyr3ULqxb8kgB0ATABamLDBxXozkQl6teAVsLn o3D8G7BLsfNyVSdyaB+JGgPEN13ylgRtFZ62lwogcDV9kv6sihcSJmzw6+4E3zslanPACxg1Jywm /JKsM2eQFUnCgJXotNcNZon1y1Hl+1evAPdCjZH4pvPafD6CNX+HmVRy6uO570IJ7oZZ6mnaLA3z A8IspWu2xVqygoLQ40b8yq5KQf+qFKwNir2pTpyfYVI8uePGwu0HqYgbFNRlbxtcduyRr1S3DxYV wjbPYM2Zz/9Lm4MK4ZSwG/2qKObAQxK3nQ6k1e0Ub9v6CDSUuhCVvO5yijmW4z0sSLDzyCBf0Pj3 JMSsYybgdTu0XTOKgnS0R5RZ7IWD5cRo/P+gVPKYU2KTLdXM5/+F5FFHBsoBT/gUGknK13ZQ94FZ y5BzO87wyhQT/1ygudk8xVaSW8UUbbt8t91qEC+rjc5bFKScU+ZkmSLcW9rq3ivv9r5/aODBIqn4 4PVegBV+vCoemEl+63En7jpomD29g9BMEokG8PEX8ZSru2wmUP7tdNq73mkKXdsLtacImdfgQcGk ynHicgKqWOo5ckzdSVZND/e72wsHmIIhRiqR4BxsBaTKaxImyZvPRwjVX1xCqCtBlMnnKRTlpnHS yeW9GXViNYst4cXmClQTagg2TyEYnM06zocN1ts8c7E67TWJaz8JaiMP7GTKPxQ+KYQAGDaM8Lkc mkpKyOykKFNQZ4uBcIQWuaEi4eYHRFJm383NhbetF2KYY1/JDkW8ndwloORuew0GFMUZPFeIKsfO kiyqTCq8a7glun7OP1QPKmgXHTAozBPeeCfk1D139wG2XnlgLQmwiEAX7N1N1Hqk7n/WPkTfME9r ZH7Af9l4EJ9s+allxuaSrKosP5QyhACGCpiwKPLzCXwla5YH9pLmDHhuLJZMOPhENeDUsuip5xRJ gK5ONE/PlKI3DxT5hc7nZS8c1TLlmEyHoIm9q0yjQ8GLdeZz801+wPtBvm4ezAoKOq2oZNyZClEq 1Ok8P/q2RDil6tXMQscoJsLoKPlMxQonTO2MstK/LMrPRjxzACTSiUTPzliLcB4mwgCyZVq4G58n dXvhiBbGqy7l7YPqdCd8kx71OWIzn4+q477zOCUAcIJH7PMUReOjCGO4XVkgjeyZcKIjKOt0SPp9 60UwlUYtV8ZdNtxMeBHfM1UwWPRUQ50OOCnck4gGXOhkdXvf6MZjcXw+g6Lxs/OmKXWnwecjHY8b GDAXhCLmak9kEFbHmQcDevbj+sHJlhY4Y/OW6S6MLnaclP7bZDecgx/lDcKs1Fk4qRdbbiisDOi9 bqhKltpv846XL78l2HlZdFVTW15GODhfktRFqGct8/lIGf8XF3Pp0+48heVxii913fyUgN/UgROM Dv1MKZ7oKOe+C0Ny4i/WJvWAg62nBfbfZvDX2zihuThiC552CxWgc/Bf20loK+iFI7ghK5CJzSkO 2ndUbZEw5Vp8Pog087cEbIaUTh/XmzOHxpN1S4EJ3UIuKCjaG47unIw4lcDNmKCtqaAZOk9S9iT3 2u6gicIGaYOepuecG/guip3xRM+0KjjHe799qb7sjf86oeBy5YPuvDZlwvJzrorJ54PY4BI0CP8B JnNfTbgcuk9C7fUkds4/2PyJlAivflM85J8KoQLzSUg7mAFV8sGqBbOhi4romeYvjQJ0FIuFo3EB I/cKLg8y6XNgPonEhRceHYQwLIMWZMD0H2hB4D75XX3ifDBLeCLy0H2SUcyu0wPyQggoZ6kz3FW5 AGpVNksRaBz0DtQJxJBPV/0EDSqA55rEYEGFlNYpYHVtQmkV/ghK74bip8B9Uoq3DAuGD1YtgN7A 1mmo5yPDhV/OJmcrtXU14HPoP1mJhR5pezET3P0LwHBWHTjMnrcEFpQGDkPVNvtg0wRcGBgtYh4C CcYSHfWuW+ElNy82TCW60IKyyLJLNfIJMSelGicXWoyQf87mWPyA/2S6cA7xNi8lKgculKhTkDfW pq2hRCSnlTKzq8OdiGbfeYrL46z2TuF55oPnOXNWE0gxsjhRawdCMeeOaA1lJHA13Can2/sGactg iQ7Rvg1Kg9NO5oqbAXsKjSj1M2NWCEMYzKaf5RTqeCca+C7T+Ng0LpLGx5puAzOeVssfRpTMVsQu 5GARwYmb0c00l+52jgMS8CD6+NMh+JGhpW1J8GjbXjeKxVV9IpKOgzYdjPc4ZbDh5fP/ZTGBJ9/K fI6mkKd5pmIPZqz/w38Vy1hSu75Uo0DGWvFA4EUp+hoIGrX74LN0zpuF3It4TCAPM+NwIBU4m+h7 PyiVba8b8KNIGu9cS/Qh/pHiVD8RX2GWBBssfkCQsfwqPQ0CDa+YXg4NKTv1jGu2JI49F19Og9p2 xtMgXpwTFQXiQyzNSr9yDhY4oV+7cJdyoibUuChjgSNpLfSexPvRppv+wpHouRit6gf36Z4551LX 3VrL1vP/BfXEyghK0neiQtlBWQqyByrZmH09vCqBRIjEIXfcfKIinEplK6oU0u44OO6pAqMJjCqK UBmNYBV9kV4BC7OIq5eQrog/gTUlGlKJPtTtDlajAw1ApjDg/QTelMg8vMFJjSaoO8x6CS2f17Ap O21zWiUT/3Jtnd26IVYmFZ94OL0GTfniMf+vx0A9iUSiNDiB4lCFEH0cRzdqdRUyL86NQtD0GjJl FFOKHAa2D6r1lk2qLHW+8PTL9GSURLTdsrXrKqQ04B6f+bNEswMEJ+JsmVJhPblGNVjrJGqikNKS sw6C+TF6vWi/6aH9glQ9IRAnyZgzUzyUzkWHfINy9jgIzYPBwPSvE5T+n7S8z7myfNAEUf+023X6 eY2VMtT9k0+QMkA5ONoEvYZKGWYwrLCW5MdR6+4klAjaMR00KVglfFCwgIaibspScLCou3cohVAO rkOXbwzjmAPKhD+S8Y6bC3S+6/sCKrz+KTVggzZFa0NV8JM2t89rjITZ3Xd++J/yqM42Pa8REtZP p4C3AS7RnXM5xl1vd663apDC1+goo+skmLMcqMeXA/VZg+cMkzkFvDvrogM1RA86fOEGOdGaHPzB n9fYKCO2IU1sd60hlH7VD0fbkjyx8/H58xoa5UdFLxumFbuC3UvMzmtglKU2hFBl2+wMCySB6SsX MgC3TXm+BktHltLA2vhgF34/O/fEQywAdBgUVsoxAef0s6GLci6g7nuLhP7Pa1CEw4f8Xors26Bb rAC8yzz1LNPPa0iEp6sfPjKHhWkIszU68gWzU7esOodre4zqfFVgRIYDBYorxLwGRFntQGp63MEL JEsaE4vzA0Y9TvGECvsPu+KA7ziggmf65zUcyqAdiRXGfJaD6m2r0WgPG2aVz2sslA21xelgYI1i 8C6+el4jIZzoWf6lc3r2UbLd6mdjDmc6IQQwW4pofoYOZbbgONjuOnsL7r5qVcJ3qpGqCfbFz4AJ WcZv5Lms/Bai7aVbi2avEGA8z8rIFDQhsuFPjtk/r0EQji6zfNOpOBkq3LP5NQLK1vQmwsW9y5sd PoviJXLo7G7M/Rr9ZEOZFMChfbDpOSsvgY4p/GlHh5mugWizLxSMcvuKp0kPfw1+sqMFl8ze1jV7 A7tJJNV2wqfPa+iDN+w+O6SGQwN23u31WoHM0NshQNfEhYDxGhc40e/RfIlNr9XHfB0EIIJjg2Nw ziEGdytivaGNB2I24G8L8DdU6wCyNfjboqXJa+0xS7ew57pYzK7Li9nnVl2qPSKS/rxWHhF6bN9c rCud3T5UT8PsvJYdeR2wPluW27oXmMyqBIIOgyMBKBBGsYrocCb9ZiflGukqwswGnbdNmgn28GYp CpYv58dcoJAXKQkL9pb0slFkKLFu2XLWa8uJigjLwSwTvdYbs2Ehn8MH1cvNpq2I+sEE4RJG339e x/KRu5/O5GSbrFBywFsUOpsBbu3DB0cAADs0GjVz+glXWLjG8Xz+J8SBZ0icukhus9cNNphKH02i 1G16UfYkcpWSwiBxft5pcViBs3ztMFQQGkBVNkdR9JyYggnCR1ZccmhEYuivdm0qt4wWRs9Lgidy nprXeWqVXVGTbVxEJ49sieDJDfDYQpe6IA+ybi15Cp93UhyWvAjgTMA06Aza59o1XaHKx4Mjeq+v IxpU2JGfZfQeP0OeYhMXkRzlBrNDC4LgVWvn0HrmKAqgzf+OIY4G22jzXFfU8CJxsFCOjJVGCEwS YwQYriUYSuajCLp3MwjsPmiKMsp9zDAaGEzvITSoFNVXEd/tpF/wU/c5ioLolthTu5ithGazraMh DU8Kv6c7R1Ec3aX8CjS6DR4J7cEC0VLXf2Vh3EohKGkyn34Qk8J0v0fSCEczoXuj+qA0rMEnU6c1 gKVhJG0NNR1HQNGeiyP5HL2H0sgvE3Hv0/uzi2Q/BkNt32BxoEtiVcZojsB5B2gx++DtjzHPHUBB ZQjaAxIvqVisBia21MZvMhCoetvouicQGbcBLC3AYNVCOtfbZiqG3/XzzoRjNNW/so3MNHUmj4fe mXBcvkOaOY6zqZLHRxMbipi2kBr685qk95AaCRl32RZUeZc7SecaSGifTfVmYVxLBSaU49AyApn5 wpEGfYPeiXDMOVjwSARvcWAFjORTVc5g9fbOg+O28UlSx/EchCcbvLstDKspt3wuYy/qp1V8kk7o 64ycSY96TlIUV4NRCI2zegc7tRGKnjudxpygeo7EEmTbMP+DfWgmWdTysjz1uu+ThOwFsFR2Gzmo bLbnCbkpK3R2+uedBJfFv3hObRgznDD8ntpRZC1PxSouLrv8tU3v8nfPQgZ5CpqjKLi2y7+x+sHB a7DnlqaViaSEi1lRFNSzB0lQKLSY0QLqs/yS9zka2m7gsdugOToHEgnYP+hkft4ZcFmYsmeO8OeN SaA75+g9vsbNtkT2vrLdUl5erB5vr7+yna3SWRRiU/2GJjU+2GbLZysX6O8Svn12XSZNcCfAafo2 FKmVqQWRfA+x5d6HolAvPijwO6FEksBph0pOFGOve/nzQIIRbGn30I6CbCRoKJ5tJ7+1KyCU6Iik Wv45O7xEHUXZhP0VuLz4YFN0gsWM9hkr1JtKwlmkVAmQ/GwG2VbJn3rbaIowN42keA12HOGEMOTR +rwT37LpAWmKWE0CsaLVW1+M4mwkSJCL9DRNvWt5c3oIOdhXVnkxjLKHuN1KZokr1mEEZYCG6IHN jnOBVi6ixioNmgigsHmBmuKd75y37Bodq8rftbq/67lR9pQm0DkHP++Ut1+JmoQpG25BT9TeGW+Y 141padcluI2b5c9+s3w0czRB7zE2LkfyJue6g1XRNuDHO3OCTpB9cofCKhraKQuQmgIqu59ELDK+ 092yAyL7IMC9o6GvH/6E8E2UCYgUf97pbrzTxtedht4FrUlsiqIitajdXYg1auKkYYTJLEYV+9RQ yrdJeg+yASgwP5zuww2yx0LrjzIcZ50kUMbI7AYXF1qmpVwG/KATxTvXjbkaNUymSo5o5YokU+Dt zQhynBDy8051w0vO8XUYobtZKQOnSYqibJIf4Fjud9pJPx3ht0s3PVhQAu28fqe6ZXWy0AQS6yb1 RwT27Ls1Oh0DOwrWWN7UNSs/C1avjaRKQ9BQw+Sd6IYvodpEwxFqg6JssHu3VElm/bzz3PJjPKk5 gv5DR2fR5iiKstkHSlSBMrnllbZna+Walq/m+Jl3kpslCVhBxBqlh7q1oM5wQqLOJvVA3YX6lQV9 9IEGrNkszMdg6Z3jxjkiBCsLVYtBnZ0TCpqX2QlWP+8Mtyxg3XPvg7fWoRhucxQF2a3LbrJ7VZ+K x7Kgcu3TMoa0PDFFUdnajHGG2tPjlq3XiaYbYbSEq4Hd0lg5OhHfD5k9kAL+Iab2hI/gBH3e2W0W yJPvXnzQFEEfnwjeM+Xr805us6X+LCOYArU575H9HmLjPJLLQveE1uJqVfa7FdfOzr/L6D3ERgTR JCM8fbiFkXH+8kRJapRq4KiM2uyCQRhyOOgrPW2zpdcNyo+QCYLy0vZBczQBEpI0QNqfd2IbO8we YjODmYQHVu+cvRPbOElUV8zbfRZmLZ7R1n4PbVoJ6zx6j7GxjinktNW+31/te5oq0eP+/HT4a6ix 0AHOGzAjhk6e52pl6G2jOSI/GaseRCT4e3KDQHtTd2kfe3/eeW1cSPN7IaF6jbvS5iiqYVdZmqzh 1aNzWrg8Vy+uOwX3SMvV3nlteH827uFR6oMFkLi3agPTE+HROQA3mRMNaeLJ1VCERKCtCtsg2Pid 18a0qQqNnX1QfHSWa9PlfcKMzzutLZsZ6TNHEE0gLltzFAXZlXlIXX0bmA/UF4+QevXNlsDe12Z7 D7JvZYTccQ2co5ZaBg9pMOk/53TNQ2JKg250BGK0CwNhpf+d0MZ1JLYtxU05GMihVYWQ7cz/553P hgh0fC8jbNUTuXmq9k5ny061zdU21ZmW5F7BI7kS/AQLytrUYZDNTiMarz7YKmoVkHWIZp0ZOpls yvKroogrip/zEX6l2dbnncvGVtiQGXfywTChJ0oxRfddPu9UtvyIm3syi3pI90vtncnmPQIYQ3lR ZG63Uz5PN+NIniW9vZP/NxBkVBJIOdgiAj59ZWA4atsIYjblvSFL+UPeFihL6A6wlV/0ttEUSZMa kjcabJ+dtcADG7HX553Fhj82+b1vcSeCqVtde2exsaGGwlGb3cmjRCGYvjnNCuUiADIGN9o7iY2H EeXKKISgwQ8jCA2ebC3REg9cpqoSJFCYDbKKKz8wx6G3DXI1Bo55yNFkuKNJommgLv5SPu8ENtbm /OJn2Rtxv8BWnKIoxu6sZKdUu4HUu/HYIa95ecgd/XfykN/pa7aK0aJgT5aDzRBIM/vk4U1uHRCH JwwSOB1o5ALP3zydnRTdeGeveZX2RG2S3mgsRXE9tLQr68JnH5fPO3mNRZH9tdUqHbnzXUZRjL2p 4JLycL2ymjxO2hJI0SQhS9IyiirZiV4mwBn64FsNukwniCcL6IRhZQjyj4IhC0X51kQ6CcjvvDVO EbfYIp2dgx9GRWK4Z7mWzztr7VeErdsPPILb1n/nrFlDHrtrehbSlxVrIfHKFcXWI9rYmqGojJ1o hIvuqA9eFEknZoCGB1HXZ1VVAhsp21LxFYA0eTuEScg7YQ1rnsyitakXzMEOI/QFxT3ufMXgRku/ bjSsn7buRosi7F4lL/k4mHY2IelgShUTtkMQVCp4fGerZcmMo8TPs4iDX2nAww7wDkGoRV2W+vAF uCJKy6BBcvmPRBO/s9WyxLP6+QHYOarVei4FJ56RsNbkOwYbbYxfczR+oNvvcxQF2CjdAOvQLE9j YVVhUbalRcMO64W889Ru7RGC0xzYWJhKZQeK8LMovIaQL31wYfg8II9cyrzpfmfH6J2mlmU9jgRN asrQpVKXp57PEJHj/MD9805T+9VVI+H47LuvzuM7S82aC+cwNcfbMxXmpgyjTINllVF2tdraO0WN YAGG1YA22OAt/ga/IMrWnJQGkSNxJAW/IeynIWVwKWqssn7eGWo4i5h7zM1MBHiKH2GjYdQruwVo Sn3eGWpMQfLXYURlhVbvFEXh9ZQcYFtew+4qZ9NgYbmA20poe2mSohr2rKrQluKDl0TOBM0GR2r4 deSOdi3KRpTlRkWiEOVoZSOy097JaYb66XBJyz4ovkb0OLyt8HnnpuEd8+8rrYEs4wf2OzUtiwCC 0hqyKpmaMMQi2TF13mNMosHxpBb+OzeNe02GpDywOTiaZleQIzIXEqToGsl+aZ29sXBLlfRocg9a Brxz03AwsC2bIZTLwWRvO6SqCN+Hj+znnZqWHyNchUYk8XYgV2ySQrAIEUeJzSZze6tSbqNVK/HV 5MmgpoGF9E5Ny7IZA5CvLh8cLZvhyQW5WRzWAAeRc5URVa+sZsyt9cPogl8SFWklWE6yDAenNaCh 80N/nPl5Z6axJfd9HuGWaOWiid+JaVkWpoD/qNd43r4Us3zZsi7fNKGi5zSWUVTGRo0OfTIqJu6n o3by1nPcVQXYQLTOSrdgSE2i94K3qpeqPth1fGelYR1UUYp390EzBMk2kr7r3Hw8irC/txoAc63c 4+idkoZwAf2NpFYWpyiZKw5CYRJlQOenICzv/XdC2nOrQZDGhpuDrJbqVmOWRBl2TGDUdaIiBHTz 8QoeZDS8E9JweDaW1ID+t8EWUVqbK+rkMuXzzkf7VcRmLouGFasimqKwiK0Tm5IMlstajxaExjY9 lwXoiSf2Ox8tG0O9wEzMh9uYhXDo4rV2DlZwSym3kdn3QeW3EmojhjqNOd7paExmmYUseppx0DHb 0cQRlaO1zzsbDavwJrOYD7o1pWejRUVsrB/Ii6RpXTVlteqFWBupnOn34OidjJYlM3eufCJjNTgG 4tz0BY7KTMbLpjcDpgjlURRdqOtubmaEQLxT0bI0sTA17K1xsCRkUFWfvIbxeSeiMeG6rRAumUkS sxex34loTKBBJRZUttPc3VpqKVFOkbHRSTstNnpnod0rrYlu3S7duiFogTUbjERIZ+lD9t2ogzQE 7uiXenytNRRVsDth+xAF9EG3PjRUu6hDrX7eKWhZEsLPYQ2zEcQgPkFRfE1V9jMXxY0ncyO0D+Fj LbXbFG16i3GK/o6w4R/hg3NjoEYNIRZMUQEDvLCfhDigoaQMN20/ipq9bVAPISsGMYUPWkQD3pPs /zTcZ1F8LW11BxkPqb7eOz+Kr9GUAGqtDMv1qR9iKONF7DEMAcCxMApICMNm9lGAb7LBj6IMynft Mkk4S6hJpgXFTK62xky2qayW9LbBlZ+TUtg7GACio9/LRZszHg9ix/GNEaF6V0uXIhPH12yD9OZA 7E4iIatqbRerqq1MqjunKCpfJ1L0Xo6iE9Sd/d5J9zwZX6pJNSM2RlCFzFCdc0du7bQQJALrAFBS tg/aaSf02sTBnDRw4PGgv/+rDYJLFvq6TpQJ42s0/jYzBlpPKgOR9SR5IFL3c/Ws/h5dA8uPRdRl UdKvRcm57k96Q8ijNA3S3sJroRx1rp/zt14EhLkA9JDFKKZDE0mvGUkPalzMlDFBm49HKOP0feUP WKQs32c9iq2Bt6DYg+sfLncv341gBJXUaJnEGYpAImqldZmUcPCS2onqTkwxqaR5TtME3Q92/yGi mYprs8oDl+IYPSpfV2rY7qb7rNl9diYLFWxGRSfhweMR2eq7tQ+7qQoMvU1RGFpTP3bk4b5JffuV n0v2Jghsgq3C36PqtSpGgCb7cJFG0IxAWxvB9YLCL9MPaFEv/PiZx5T1ibCa8SURGItEqywhNgCr VcRoUCJMsglsBc8HbMb1nexnMPFONOenUQ/5jOZhWruB+nInLYSgvraNOjwXzijG1z2KrydXUmpU HU3tekkAYHJSNN7tSGLPHbfppoh+9SLodPcH1UdljB7F1zQyKcxn6JljIuQFwFnWF9Abx+PBiZ1+ JWmAN1N2QnMUsRrBeEHeoUYsxA1dAGquWRyxVppVHnscXWsBtemDX2lg/kAphDV+ou9IGYY/OQt/ uXxlIKw89qiArb71+eV5HKFirv5OPwd2WpK+2hPPR5da/7XZ8AbbY8cehtcA9Q1ae3CKel8XYbwt AIDgm6nT9ZDZSOZeFeCIg9fVUA5ZZZLzkE66Jk/KjvBioCKQoXbjx1G1lw2ufTJjZ5UxJ1r7XV7l PC3wq5zv3ng+2Gqz/VpFsHN+piisYJMYAuVdlkPOkQ2EP85pVDC2dOzhNjZVDelxfE1An9xLV/7S 9T2//R5y45zk+CMEl7XlpjgtLU1dOXPqbf8oGcHwO/mgRBaeQ6Ken6sKj0eItW/+52Tf8rn23wNs IIzlVU6UDMHGhe6AlV7BOBR0ZDOOQTutRwgRVExAmknJBy89go8GwLrqIaWKZFwAdICuH4gW/TpM 0sKtRyXsKt5555nEQTAayrDq+/LE4xFH9jt8RAWHiEmbowiFDVVnItYySx97kdjHekgnegT/HBsi dVQP6xFARKcRgUoY1CLlabTKuXUYznYUv+hSeG41hCXgm5zTaN3zGpIjFV8SBUcErKGdZYMto9K6 rPxOKIHHo2X0DeYHghHz5DWjHobY0oCc81proMNk1pJSYl2oPA7UvzhJUQkbb8za9fbBkUYJjYrR KAGJYK8TaZRwnSxkAYyrrfI4CBHpEURExrcrmxHJcgXI3Gh+SZTxxOPBOmrfyWymDj7jSJGtoxhb ksdwT3HKQ7/cmUoLcmLVM3XhyLaOatgqz9ZCTh8Hx6qfmxLQBuQhNZ1fuhGJDW8ypA2CaYoVwjIk v+MPNQMo1U0f1HSELrT22vmp8HgQZY/vwhptN2kQrCmKouyeqDjTDcMP3P10Uw0Yz+ifayb3shlx BVv1fdOtfxC0A3z6gcYH1lFDjZ5a7ACrDZI0UXx22TCUx/AlESWdSqJdRzc0KIb6ReCMEwAG3Xg8 HzWvPVdjBQV4MAT2NkkhFjuJWFzcGGnOKyRqltOdArnbIGsjKmITWFX6pqRK3wp6kYssrJnJcI0C hDsxTKChFmiiQMBeVX84b+E7AngoPbZ29sEg1RVykfwTT97c8XjUCrlXPxcSfpd5244jJDwSip1o 8sq7f7fbdxQEiRBaWuQgXRtRkL3YS6tT8AbCPTBFaOoCKQJLA+CxgJxVVQQ4p4ZTZNbn6j/X4cB3 RI2QJiXa7oPV1k7KnFSfHRuPR9eaM4vZocRBVC+CdkQxNiXVaF2s4iPVdulVvnZxilpb2wBrI4qx F2emUKtfg51FEJCAJSzPIpSyBsOogYJqIyGUUYUoahPpIb7kr8OoQIUSA9AYuopWw0GHP/ukmHj+ X6uI8fSg/PRdRFGMPagBzXfVrYYGs6VqiYLINCoHTlRR9oii7EXIGr20bfDoaNQB1yNNEhindFYe sNxEEgfE0a1hJ3vbYKNtnkKVtlocbgBZhik9TjwenUX161JDNkuAqk1RFGOvROVZiT+T8rCLzUva 06XqTwSYTd1pRDhsgEcnrpfsg03RhJ9Zy8SqnwCwQB2TZX4a6xD28aVeVPW2UT9NVAdkbBqstHZW Ypd0QR94PAqOvpPZwtr59EbIiIrYLROmZlWRvSmaKAGjRLUeJrMAQ2ijRSXsqZmhdCEGbOysZBbm v8j6QJg9e4QmkwDMjB9FSfs2HKEEnvEdUWC0KJ1W76BgpgI1qDZrKXg86qaNr0uf4v3ptq1HGGBv nj/L2yDV+Y57ZeuNkG3hUVEUXw+SqbnqbPB6SBvngwZVsWGUXBPVnveU2Mr5NEyqndWboeMI42vm sCPRhKVvxb0/7PkgqcRRsvpc+IAg3e+/0VgTmreeqI0wwJY306gust6lGI4Am/BCcxtDfKd9Ftaw K63roLVjg80SZqYQGFLBwD6H7Sb/CmhCyjWRK2N1/i2pnrCGXSmAKU36BR6CwOXrfA4rFT/jLFs8 H9VEvicJqWLfw3faDIvYKEtDGW4zhUXKhkONUnNZqAg418EmQt39GWrxkVt0puAOdqlV2LSOzLYs pJk6accQFoFaPq7g8XTUyJedIUakUkiErlAaktVEiG2hbnPF4/+aosI1BxzNrdHOKMCu5O8n+Y6j uS+gLGG705v75yqgGxtmKOQ6ynRliz2zH1vklsEgA/USkDWARci+QgTwM2HBCByzV2jp4jNDquOU aLEM/kZ3rYy1zvaW72gvePxfvX021Ei6vSWRGUXXNCuFt0qy6Do3M6xD9dHMSElQc9GnkOnIJkhS tyg93aLJIsDZy2QWjQ3adZVo2Jkd9BVAmr6EWSoczLiKvVhaS9sHK4nUpjCj7lXxeDRHvorobHcu oSFnMc5RFF3Toj7BkNXmqMzubNBUiiEfJ72VNUd/17ALO4oabtPxvAxvLzQd57lEs+xG0G8Ex7ul WxJZBKzNGCJC8hXKNjZwijpwlFOc4pTxeCR7+Z3tN+AvUMawKYrC60oMtkmIDNoQ7gufva6s54RM VuafIc+RF352AcMbYM95kvs9KWDUC5DTkxCRk5aBe4VAq+97rfEr8SXBVksC9QFppEFb7fyGFMdF o7fi8aDp2L61C6G40vtVXJlxDXuTV7Q9ka0i8ZG8z9I5g8fRh1WxZ4QR6ZQ1KoKrc/Bsf6xytliV 0cPaFOWGAinELmB0sChKo9iIxpAziq+ter1G9kHLaEEYiOf1HAOP/6uyJtg/ItHhWdqMICJwVdxb sEcGjzc22rX5WTRaNnWDGZWwl1bPkkPdenzHUYctFWRuRNcAB6HHDepHB/lp/ixG1yJdU99xRtE1 +7IFxAYOxVpF9YTNAn+hrYnH/wV6ZOkRE7m6F9VmFF0DHsZyY/Ki2uMSnXGNy1904vjVURSK9FU2 9zsFaUq/gjQLsJlzbGzZ9Gx0Baf008m8WlJ/8iL/1tsG3bRFlsPc0wcV1fo5yYR/WJuPR0isX7Ej QFjzprEzgogYDdTXC+zGs/dlW812Wq82l5/WYYRN3l6BuqQN3gg5gfACd5NQrESZefTTgBoapAUi 2fIKNhWyZhRhy7V+Iyg26pVkrQDplj3dOf4rno+gRvXr2gdSnrpXNkkhx7GxOCvODIWNmmmKoHl9 hY363r7VYiERorFYDtLg62jAOIpy5ug7QwWQiSyZ0wjnMwtuNkmr6HX/2msn4Cw+KCg+JwVlh0Dh 4ztGSUj9lYQAjnUFDVcUXycaspflkVEpK1sqyytRp1HdrgGxIpQIVJtwTrNZxOGisc6dNQrlDaBb 3MfSadSxbxCYXLsZY+6vsH6dBAwdyQcrquVRSDJqrVY8HpEc71ZjkX/z6vfO9QoL2IsZ7LVzQOnd DmwTg+AUrW6AtRXCRISazcT0cfCKEbSuNw45VIwa+nTYah0UzE7d17ov0mhuvWwk18fYUeVrYntV T63gOxAf3HcteD7So/kuXwPY39NFPa4owAaTA5YXuztMhAJvBhPpTgTNzY+jFcJENumdACNyIBWK pcdEkzCe2GXDQoUn9kInioYR1ML2wOisKnxHVFXjpVa1jJzfdS7KmiWzBhNfPP4vUWcqIGEB9eaX 2gpJjkXkz+LttC61fVXVkmvKn7vIAqMVYrDV/5A4Vn7EsTYoXFBQZtGIECbkIKhonMUAaCgsza6/ 8dbb/lG+LrWSTszBcI+dfRrlzXg8SmR/4WhwEPZ2saHrT+XrvYyTBuxaMowIbmGPjIZLG6yQ4khN g5zVuM5P4zozroKuCELHsxHYdcsVdNAx+Au160e72dxfEUYEMG/ohwgHkXE3qWh0QodFivAJtTKe D3ba8ByE2woNm1GvkMiKAuwEdaqRLDbCv8y+4fzRy21TaM+oOQp1RBYVepu0H9uX1eOCLF+VQu8+ a7M1+fI1MMQQagF47ZaYxKytCCSyaI8NKRcfFF83Ih+5e/rA4xEcq39d+1OtXU9BVgjBltlcr3ZK J9yfd46anUvngJp27a8wwha9sencbpftsCBhVyg9wMZ1TZ0cPih+MKH7ye3BGqHKxdf9Q0ckg6Gs wSrRsw8Al7GMTiiMxyN5LD+wiQHEKoJVvM1RyHIkxHhsM01D/Djc4LESBcf4sUMIkM39FaKwKXwB gS0fvMZ/fvxzpKpCi44I1E0muEwQyAL2UZI9AonModf9Q8qY+vccoNnIutrAK5r0U8Xj71uNHY0n NDonISD4PkchzZHioaWt7eEjZQIkI1LH9VUFZk1i2DFKhMSiRos5DjZHyJPPhU7M2kl3zgWZKdSL 8sYEfa9Qo45N2cKdji8JBFZpz7tEvVqXegVt0ylGyMn/8HjU3P9uhOBAbPPmsiusYUvyUQ0iSj+R RadWCJUmJWZcuwuGhyE28aEpUbOHww2OVjuhUaY8FvygRHhAm/BnIlWCYvaNjsbQ6/5FvppNhJvm bvTQyN1mdTUrHg9u/l2+ziNUwiC5ZHO0oxB7nHX/CM5T1siX0VCIzSnKHj/uWBKbMmtbDL79MPgW +vlrURIbroBZLk8Nh+WJvBERpuukygr2jkLsRpTxQoxhg1ZRh3GPkHqDj0esme+Lv1I/antstN8j bJBmiAupy2V6216GojmHq6Mfzt2zLAvZsY6ILZ/ug6+iszLmJrcKuurE5RJqhLwXLEG02+6tRlX+ HSKxK9OQLMkVap0zxIZCEpHY5VwQeDy41S5kjScPETTzzlGIxKalTO/F67MAc/gy6gZcL3Mvl+bf MRKbl5TKtBw8OmooNFZSi2A8C4YaMrXCRkSiXsRF0SB9xndEhTU5Ogjct91UBhDcOU2bf+PxiHR9 C2sMzRGQPRjjHdIcd1PhyLlFNSWj8yGJdtnwAdNFzVEIEZF0WM538Ju/jTNNWwpiiJv2oBAdvhpV pJPwr6dbxFttR0H2luJTUWPN1e4gRd6aEp/zY+LxSPXxu3KEbPZLj2aHOOwiQ1C/1FLztZMSxQU1 RdNJDzsEYpN3VbL6afn209YJJU7+CgQLstlz06cs93UY4OIp2EF4bQ1JML7jD33+DOK2D1pGsPlQ twcMtf1vIRGWKrFfcYbaDIUOM51CIky0jVtUjVsEzoNNEVSoDGi0Q4gIzQvKIi+dg+No2jnMWl7m kJZFP0KBaOIVgfYZF4dNddUdy2Gbelj3wXbayYcl0XtiQjweqRp9X/uA+lBG2aYogogkhka9d6+s 9e1qT/hjTPFxJQQ+mqJQqm8xi51mdPnQQs5BBkXDSRQNTjVyRuE9c85LANAWsk/rXPeut424skxm BxEQHFShBeyEqwAXJR6PEjWfIlasuZzmlTfYcYi9CIGoRi7K0zg00BS59Cs4rVDUaMcYEco+VZ5I HIxcdM7YldG55nENyoMBQ5HpnzvsB1V7k8SkQTC+I4gdTYZGa2m7uSW0wpeO63N54vFICttPIqn7 lR/6B9oEhTXsDLQaPc04QcTFSfWJhjGaoFVM9WnHFWzdZz374LusnsOtNarPnmz/TBZhJNARPe+G vbkfINaeetc/nXiGpIyHe81kFBxZVTvjwOMRZebb6Wo1ovlv4BgF1429tJ5M+pIkRzurQVtzw12C i7XLIoDIVBNNlaPSH+Ts5GVGpeJzEI1CgRTWrwnwXT+IJD0qYnF2/0NDBIheG3SbFXC62Es7X4DH ozy2fuWxMIpu5x60KTI8ztu3zvyw0jZFjFHFp4hIphAj8SHwljcj8BSG19o+i+cMB03SmHAnQuzC ikjbGwHgTDRKXTiKYJZ3sUZr2wsHlmCF0zRlaEn8eFYu20jFQqUsT35ABA39ZVx0LnLKLflERVVs dYxwGdhEJbRgJZMx1RdZytRceD5FQbaBsnJvPljpqKG4Rr1oAIrPG0EwAF3fEwsvCEHhAr26PQyy CdUKyqy40dpgJZsD18A5nBZ7XcDGZD4fpCJt/rr8TySx2rOgQuuZ89/subML9yTyTyXcQ+lG9R6r o9XxSQESWyWRUbsPt7MGjSyyE6FBe3afsCJQ8oTizg87+9f909/3T1hWVcJGz+umbAS0blwWJx5b /IAgSMrfRCxKYo/8GD1F9ezB4HwUa8eCJFqcrpaymz7NDm6sTVTo4whiyAkF6WDJwROSAujRYAty woGkEL6G6PRc/8CRpEcgk/qE/Ja/1A7WkKPjsCJMGQk6jAyU0OvH81FN+84TWdjI24CD8omKAu7E xG2IDMKubULBkSdUTdk23oIVo59QUV17MAdLQ75P43JEzj0G6bWRWWuD2B0uvbOiIO+6gKfNazix TzZc/JagPbLUrG3dBx3kJ5LPvDnOV2U+H7HVb0hJqSPITi+02n2mosCb/h1QDE8GZCOVDOc3atJG FDlXGSjS8g6LRbKHKGvNBy+4QYh6Uq2nnzSxZnQlTgC+ThSw0LQkkNuLkmnb+/7FyF5FFbfSbzXp BH0sCkDmhc+/T1Qt32VJ4NAHMNU+TWF9e8AIfBsva7OoIdRolbTopJL4uBdeFHuz9nEiH4Fr9wOu nZT7pO13B7qTxojnf4iqDOkFudHBeUuGpdnrRgJsLLZtrioOwkQ2UuR0pOv5qAnwDYps0L0B391n KdQZoRwk7K11ySUAAG2eTmAgDa2zVZZzsgXECHuSZyf0O9g84fJDd4EH+UADadGKrpxgcnPeEztu DJ9Y9eO3RKxsHkzZBzOKPyn0lLUbjEn4fNSW/OXZMwGPfHyNUhiFo894zqh+dY96d8c+msSq9gYl A3fsi9RGKvUP8lJ/ct15OrOEvmepyuXGhlYq7Z9QHoIABerdHhbIzDnFatkU8oMsng0qvu0TfnCa IKjI54NNd5k19MpAFfDL/imFhe5FhHavXhRoo7nbmiG4mgT9tk9T6P2YuN8q40wOft3tc8Ymbq3z RRkAW/wnkFL5GYj3c15fBbhiLxwVl5K0/JoPVqTMI5OjVQfDzNCYpt9TnGc+eCPrlilz6ACJjsCG iZdIWkNCGpgm1vBVXmrU+pe5YQgo4Rl+1lT3wVZTOUc4PHopO4KQk+LRaPpn5L0LZmLjWmTS+zoH DpCXop1ob8hBtQHoUrCf287VzeeD0+m2BPAf48/qcJLyWYqicdmsrmkqo4tZg2xWmVzKZhUiXzZL EaYkseNYAYq3waoDyHhgfM1iboYtlqJ2ijLTZqhfio1MRXL+mxM5954+aJLO/pMkEji2fD4IxXP9 CsWxlHqqzySFoTitjEcdLp1tbW84MKN6oxLBuXhZiOv6qKASJ5csKJ7YYIvpRJMA9LKTe/JTWNMs Uf7gNYArGZ5rNk2l2fv+1V2C8JGGqRM8V5QJmNmlOvh8lALvr6OpUM+33HggMIK02U291uplgtb7 Lcbl7WUC6GHbagojcaj0sj3vg4O44OSzCIqkp/Fu9PpBIRYS1pBhf7Q1hr/vX0KaDONsEPzm7GoT Zlu58vkoILiB+NBa/wHi/s5TbFNDmfFVl80Tgz+VvmVIyYhgl+XetIEbpARPwWgzftu+ywmq+YB9 8mw6C+v8r9hCYZsQwPHMRqYyFmki5dAPcpkxhGpPc1pEABCOxMdO8LH5fNDSvQ51ZkQGEf1177rA EFKMsS0kK+dJ7rvYdimJoUxdJIhP2raLQSbit6kAvp8CeJ/n9kkESZzQ4ATi0h8bTcwZYoYeKW2F BKEjZC6SGxdze3mzKTEoF+tmFT4fdJt+1cBx8QHbcacp9qshvr2WZYldLa42mqas/FBRmY/dYegJ uZI0/FLzwSJMsoVxapPANeZqLCrA1OGEBCjV1OKGNWRy61uiigrPJyAmbDC0+4n+WPI9x83i88Ex Xn5BBJCq7OsOlUNbyMR+yqyr2DwN3XtbptmmtrHgVOzzFCmSQO1oCo5ug88THI0KWovwpN9AB1MB AHp2A3hNHJIeEtRq7/sHhBJsp+yDtQsAzBZFKVU+Hyynez7xatwIxPvNfgNnyMw1AAU174FDtd7q KYs8KcIEIPblsxQXxKm34dWCdElKJ+E6yZaktk5WcVJd5XVo+yzIpsAk4cKW0rT3DRTst7gTSu/W lfqF6q5QkKsVPh9pj3+b1gGl0MCp92kKQd0MxNPYzk7OaZsB0pmy4XBccvZtnmITdk0Q9e04eO8p nYOugy6AKsE5ziGQOmFgfh4g3Y6HiYltrWQvHHWfrI5SfNByWmDgqqE0G5//V8LC0wk0Pkjt+kSF FpFozqF82afB4BINrXg8lWT8txNhdjqNdn1UcDxJX7uU4sNFVZwIIA3qAfTcoPPMbjgwbZM4A2w5 JXaCweXQJnKKR/G/o6fRIQcjCtLKfD7SAOxf2w42Tz1fyGkOjSKx9s/pBDWRbIZabFoWypOgIcQG AvA5Pk0hvpvRZR6r+2DT1PsgLYfr6STDQ6ABOlCfU/y8db8kgSUb7dArMstlXGJA64oBnXW6WFHH IT75fFDGbN9FJ6C+YMZ1pymCn6BMktHV8UM8dxNPQn2t28KaG9mLtl3gFilxNZC51/bBVxOizFZY nOsZPzdi8XPMD7hF4raFP6AV53JN9sJ/FOdO+peE9T5nuqiP53MMVt5P0sUP+Fc0zsWHs2JcmHcO DCNRnaPxqBFN9pRTFPWAePazfdBR0bFpis1sWG5a9Q5ew8TZMQpTuAEpBQhxnTwGtf/M0xBS2c9t t+19I/yAILpdEN2r5QLQHSvxUOXi8xHFK/18l+cg4NCfbRf62RSCK/fypEU6dRLfRMddKTBBIwrG A9NI+Dmyq7lK98H7v9BtzciLoOB6fhiIJkBEAbdxEft+3w75XPbCf+AsCgU8CfoCT4zZHXj0rK6N BgP7wDcyfd131cKClq6kS46NI4u6mv0q3Q4T5kBHMltcsIintokK4ShE7KSd72Ar6uTSBfrzapTj 7xBxAMCdjkQboM5LHCj2vlGtQN0DWpJsZydADG0Kj9LOV/D5iIDi04RzAfAUYNHuNEXBeCM3F2p0 Nk1LvrYoPM3Ztk1TJvBY0xRKAxJmWZuVDNwGoKGIBjkI0nTY3UyK18FkqpDnw4ZxBaU17X0jxAXa wBXNRRtUnkMHliHCmabN56OSSvp1jFM979l2IbUyAWnRrMR0IrU9HZRSk9edGo8+TVIsvi0ZhVZ9 8E2X+q4n0xiXp7OpMYl63WBGjZJEhazP+d/aLIW4lCblO5GaVnHtkl03f15wKyefjzLgb1s7NMJa v5oTOTSQ7J3Sks0LBSll99raknorKs953SkwkMR8s1BAvwgNXneC4lUDQJZSUwtsS5xNdHGiQyGO LR1NUnXLoYFkpZfErq35YDEhGHyGas58PjiZLo2ZbJ10XmH09ey5KBRPRdiLcgtP9QLlcu0OlMu4 jWw5xehvBQM8oDl4Z7PBWxMmPCd0ahB3I/qbnvYdd22GmjYd7RFiFnvhYDktInZRfrFBKB54erEr eeLqzOejytOvziYiZ8rv20SFTpJob4BtYS6kqGk6kwB/kP1zNWiQaKJiL0lqdBQKuGiwBVWJcNpS dD8RJxs2J7iFAlylE1B9UJfEgOfQTJIFFaS+xQfLgLEVmNSyKB64SUr36bnqUPZhG8CnKQrFwTUm 2dvxTrkXK2SeOK5a8wA/VvdpikLxVWzfDR8cnAp7W/B1sJ4SzxQqmKHt20iHgQzQZX53e+GIAlaF dbqDr4A2l4Q65ubzEfiyfm08aiuhh+XzFELB6SWdeLfKQqFX97eVszP1p+Z2afccekrSq+Qskrl9 sH03JGVFrY6O2syUmzT4sjuhBE4AgCHDCJ7LoavkzNJXaMrJ8Da8oKCSsnlXgQ3MD4iAvL7xaFeC a/crBY6NJaUYWJLHToV6qdx3SW0F1FRaaqaIl0NrSTqT5w0sng0+UaB5o5iJaByKeOCdgUR3jojN ExL/Midg65UH3pIIZVkkGCpoAvMgdf+TVyUZ3Z4jLPMDop33S02AYm9PKTN2l9xkFJTpYB7pgVIV jypNOqDmcoOgHNhLqngMph+R86lc5DxYThSWHHIIapVxFuRozx6djRlSu8j5vOyFg1ImFTt2YvFp Q2JYmQaKiDIqhVA2P+BfUkvs24HR8Qgs5sBkUgx0ai11S4PT1ezKhXVqYVRwkttEhfomPMlTpYsJ B8/vJhrjnQaBo5yIFksdE1VopYQa0Lzib8Cy6oWjK0/2NwLzLAfzQAakyTVgo6oSGE2CifFdfaIX J8Jdn6coHAe8Cr2W7NVM0ZWEDlvW7DxRKxTubOdFKJVGXG+aFKNOslZXVeW8+pz04QW+YEEEVqHB SQQxuwgHXOZkdXvfqHUnPSH2pJKTGWjBvXn9nXBm8Pn/4qdE/23MtU9TrNVNtlMyXCG4Yctl8dec TlWFmL1PUwgTtxYCCc2KUrXvUGhkbY5W5YkmWjiggEvbUE4qtd/e3drLXjjYd9SkOlfo8EEguraG 7Hbr+Z/y+WDbPb071qqWMHl3okKUCkXNB4Ckxlft6YLoAH8VX7VBWdv2XRiSk3GQps6pue6+O6FB zXT9OuvpRB4NZgWToI+z75C5zAe9SoNQfkuENmTvrvIk56B9l6AFqwLAWnw+CDXvviOGjkafX7DM 0HiykplxdgbSXEYzdIwTiA5N28IYagEBoHJm6DxJ0RNqvPpgeOizHPtZSGwjAHQDMSCoH01CVBhb 1dRvV6ove+MgOKCF2dw0xOWgK+9EY7XLRho5XmA+iarr/CoYILVt+8H5xu6TbHLSt0f0jOFMsU1G n1I8BIMKoQLzSSWL8J+S9/S2pAL8jHOdnmgMCLCT/J4t2aT6RR9B1LFBBHZ/TvLoc2A+eY/x2pXi UeqFEPe5qV9r0ILAffLbZUkgaoR145mkKCIfdFYc1QEYpSavF3RufuFUeMlpliLMOIu+xQjyHLxj PtFwKUzwQPHsTUU6ZBcNdShY/ll+NxQ+Be6T2troHZTtg5ULEhCytnj5fHDZ9e+iyvlC6MPcvCX0 n6zCqRBVojz4qi3n/DhPV4CibJqieHxIPievOzjoib7QgE5AsAoWS0m1TAhGFV5y80LDVKILLShp qAz8Pc9yeBsq1Th7Gk0JXGAJjeDQhHL220MYdoq3+RQMAhtKFCrkP12uTIyaCINkDRNEA1Ll5sGh EaVE4Cs75hocawi+yQLyvUJOHRp6BPSArd2AQu7EGppPTrf3DY6mLYccyg5xMNxTpnYxt3Hl8xFz 7KpVEko2kE9f3k8OvCihFXMOMzN9IweB1BsKWJzM24h2Y17TpRx7UU7p55btg0UF50YCPQ+/fQeJ aW4KgSBi/+noz2dop1keTKgjvyWKxnU6zeGD9h1KyIY1nJXP/0uxUq3gTa7QnaaYqLnhl1N0cENz M/ksjelyMZXJAUOCwI7Sb7rdTKbhNu7OfAHWW2VQkc4+hxwsOi4I2iEwnMcTY8rNPIeGlI3Mg0GM IIVofiQ5hQ/OxNTNlRs/4P0QX7fDmZngAGv4tFoCS0ojeJzrYXhyxy6/STJSqYZMjfE1USFbcwt4 QdwTB0eMA6RTVRo/m+x8GCUHYRSC1h0Re+luO4rF5cCXUvp/iAoZEXCwnCVBSwxfeNIGPh8kwctj J0wqOtTnxxq3xxlaU06qWMG2yDrmMw3PgqssYCeAKkDD20RFQBUVfM/VvH34uu7KyDrHTx7XqCIh cjS7govIeknpbn/fqCWFbIWiWjbY8XQyUaUcG8yfwJ3S8JVPjEldjafX8nmNnIoIaCdIKm5TxXNM AvqVawwpC5NWHk6vcVMB5ok1cWiT2PBUMjN19QSDbvQ4h0wsYqCEAid4086OQtz0GjUV6f6if7WW D8bahFcYb6628PTL9BSIxOr0Lupsnr96QGMZ/4PzqwSTs+R+lEifJ+6pbNerhs0B7jVUUgBW1hoK psfo9aVvH5yyCTRQS8rnQD8cRIl3MDU7YGcZ+jjmwWBo+tf5wSXapYA2fLB6OIG9+jo8/TY/CHuy zc9tQaFxq/l5jZWKl+RoiWDmAovlXhxGPChMBg3Vay6f10gJy2dQjwI0LBs87D5LpHXgd9HMBLKj S2UXVTfAzcr+8hbofNf35VM4LwA8+6AtgduFqnooYX1egyRMruEHND2Vsqe0ocb0vEZI2Fu0W0+O c4ZMrDkLwZrEePUD5raandfwqIBSl8kW1/lTn/MHZgvnWCN4vp50eVdW4SZCTSLw2Cqw2cGkfl6D owKCQFUXxdbQ+a/UED8haeVu7idr/rzGRuVR8naVS+wKCOdzel4DoyLy7NmWK1s9NxHtqwZB6d1B A4iN5foaLJ5RLoDwN46wtHOLk0N+8qNx3p9cO/TKz48Bhg4bRba59ta7BodPEbMg3UG3GOJbkhng JP95DYn49PxaPahsdcr3cnpeA6ICVgFLI6ktmx8AtR0rsGzWzu24naTyGhAVN6Mi5dkGr5FUkMwm mTxnfjIwhTTrPBsfCu74+eftWzK8fg2H8FtS9wyejT5YyY2YDW6ZVT6vsVB5/LrOZ6tbfk56eG9r gl5DoSI756/07EpYUOT82lCuPJIbU0QT1GiNU6UvXK96HgTyEGs09SthXD4lxVQBREX1GG0ez2fl uBBtMDLp2SDpMm8WdhSaMzvLZqn2z2sUhNNrzq/riy7Nhd7TFKGNjmfYly/q2lObesxux89qjkEd aL6bqnB0OHepwKr9lh66BYlmbdDG9Gw0GF0gjS0QuV8oGuX2FVCTIf4a/XCNquu2pw9OcJpFl/uJ nz6vsU+RNMuzv4gImnd/vVYhiyTR9+bc83Du01k7+2HtnKPXtc6Cw1mu3GQdTycfq/IPelxFzIxe Ehoyg6YmiBEX4PZlFMfnFvLrPq/1R0ZXwKz1xFCag6pq65wDVdpcUPWL7i4rZ+twRncRbCKbndfS I9br5OZCo9C6bSRGsAzS1nJP7kLaLuUqotCHlK+XCi3u8LSLem3A55JqCLk8mCoCRSItYQHfaK30 WnXEb2A2ynv7oNMZPnVjeKnoteb46/KSThNmeZOCIlJeMEOo7qD5n3yG+nSQEpSwvVAEgpKTCKMp WjqZdQzldh26ThRVcwHSgOqd86ypoQP6LCHm2TQXMJ3cJtJjuMMITqLjiwatoXxm2KiWA4zUaIvN 7/gQNYSGWqnNURRAM3JOEFrSJluEVUh0scwrKNj3JRCGAbRcp2iEx+GW0nChw0sI/bVzqScKUkMx 6Qc4X+yy4R1b9Cv5tsEZXel6T0dFDTqEdquu2V35eHBG7+9bHiiqkdedovcY+txii7rm9ba1c622 0dCO9INo0a9RuJtgjrbgW5uCAhxspzW4rk5KLLHU3wErADwJgQjJkinfHEPpfBRFQxsZIoCU8+Cg KcrnppfmYgOL6T2MRk3Ww2juynOyj5r9KHrnxBVBotD7MHlFdvuLLaNzDRtEAnZavoyiSLrL2rVL Ca4/SnCjNhgGkk4J4ZxEW8GMCYHyLxPq/VxlSa8bJGLSWc60D6r5inidI45Eh3NaA1saxtKzfF9m 5z1Gydnn6D2YTpaKTcFJ2f+f1UW7O6skDIZgi+NlxmiOqN41yOrWYLnYPD93XlU2C3DPlmdFQvba 4dc5qY7fZCFQ9bZ/HUYIRjFkTKvd97VJgvFMIqpnwRxlK3YUFYXQ7mnzWUhRSI3mH4wDquNKa62u BzO2877PfTC8kf0eU2fRvuH8PH2w82ihnUZGH7Xf124Ugzup4MBeq+AzX0jSoHXQOxUOG5o2yiXR 2p0D/m8m6YdleZq9vTPhmECUr5gxA2s39rOSwrB6scW/iq+knN07KGW3goHWgnsHvRPhiut3or7n g03SucrAb6tSgQXoWsTKAewjQJOZhFFLzPLU676fSCrEblQQbNBKShTiY+SY9+edBsfEt33ttgog b33utSiyXlkEitG81T+Yz1PdPLl5B6RJnN8VBdes6RfBHDRcrOSGESrvfgRcSbXFxgMhC4pU3GoB 2HN+yfscDdY+SG60wVhLQLZJEADQtii87uY8aScSZAURr9scvcfXuI6Yv7ZSrQCL8oQXYNNTgC3Q rWfxLAqxB4+iRmaEBsdwg9yF8jQ5AQ0WuYThbJK9tkFJrU4tmOR7iG0CVSeyoJIXB8V9eQ8JxIHs 83nnvyE82uN7r0ENisp5mqIoyO6EkjZlHoy383BzziWxQRTz5+peXwyjbDaszylcfLApOhsefXF2 0IBGStloqYgDcXJzrryUP/W20RQRQYp2iQ12HKFcaeij9XmnvmGnlf3rXjtne6u3whhF2ShCb7qT WpZWsuew23IT9qztwH5nvRU3fXlxwT2J6wlQi7SEy4SIAM2DoLnYAVBC8uUFaqp3vpPesOKJbCdh 0gZts3PbbdtmJX/eOW/lEc3zPOR898jZa9TvnDeeYKSamvDr3p6FoKLlVO9BL1XN0HuIjcXIRK1T Jj/1erF+iOzOAbdZJmoQyi3sm6G4eFZURaLV7knEMuM74Q0BIFnws8pKACetfnmo8g3G2LgPPu+E t/LIUWkRQUW41xtjv/PdsHKLBCeqV4oGZdvlaDLNLgepWjaP13e62832cSj44DE2+rEnilAtZPTF nraEzReIGaWUy4GnjMrnne3G6EjmnEPmnEBI8LJGblhYGoEH4Oed7FZMpOypxqLKQCU4TVIUZTcR AMR1ZzG/bUf5ITb+MU1qZCo8jN7Jbsz6mIjMvnzwglGFfxNV/k6UDaXKIYX8c/As7J7GjpChaKhj 8k51w5ewVMQeog3W7oDvXtadVj/vTLciW8avhVRYNvLY6J3ohmOe2ubU6LSa9U4uuFyaUSdObDS8 JvvOcyvy1EU1TU5d6Tp1nYsE+hWJ9K0THJ0fjtTBE37jZ0eKKaeF+VgsvdPcuNt4XU9BRKZXZc9k pWluwWl+3kluuHd3+rrUEH/0We46ioJs+GzAaWH7ka0CLU2oVvWyPtoeNkVR3Zr2toX4WBt8iurZ QKCjEbKG3SQjXFb0ICgC67Mf4mq3IPSfd4ZbkZImKtbrDpqisz7lJXSmfH3eCW5c6uMroUV62UB9 til6D7HxpSgdWZODOf8YV6bLFXIK9SJsjt5DbBzahbF1ST546fr83LMtilJ3aC8ThZQLeggILM8y Wu3pnC29blB+HNhjREfYoDmCqYVsAE6E8Xlnt+Gvzd8J7WQRs3ps9E5uK26oPKtrvieAzO3MriK/ U8qs0AkXx9F7iO0Y0TZ5KnG4tK0GWVmTf28EQjJXO3tjQDh8p3RTtTL0ttEU0ZAbFXYMoMdJPXmc kILRWD+5/+ed21ZE3P0KjqhXdNvT79Q2FkZYmd3D81nAKry+1qjLgXVE5Tfda+8hNirMbMAmtkCa C4whxD5TvTur1BCjPGH0ZHgEsBHykh/WNKy+Ngg4fqe2WWUDgdHMPuh+auc/Voy8c/+8M9vw+PLj iExmtF8Rp9scRTF2JdiYx6cLBaXyhJDWhT2bpRs49J3WxsJIZUVkJx80RzB/a1AnoXZ3aWfJUiIH PuY/orjVq4g3Weh/J7X5VoMTQfVBt9rZt3Ub0nh83jlt5bHC1Q4l83Dn26aOYuxGdcVMuXmEkCha 3KpIMxLgJBJBfeo4yMZtRjsdG2wVQZAjtSpPvEFgMGXzCmRcsT/mI/06pr1s0Mdn+gHjWx/0Z51P nOrEno/9vLPZijhS37ksEpDuhaN3MhuWHoHYs5v5HeCbaXl1jS8sT5MxLQ9557KxJoIdtlTrX7fW 3yDPmMF4RpSdYLK22DHppM0AFteJZTdCjb1tNEW0NEEH1QbfZ502I/QA/bwT2Xhe51/hY3IrCn5p FGM3KpT0VFxoqo/twmWpmeo5fAu3tfPfaWzFsUSdgH0NXjY6SdwCHZK57DwnJM/rjaZqw2YDDuei HIfeNkjV6tTy6T5oFYHGK+rDiVo+7xS28liaWNUIZWMoE9gUhRG2rHDLcJj6MpF8tLeaw9QJcSET +Z2/Vtxxuquxz8H2WUeZetA8scN3JA0RSdA86JARziT6KZudFN14p6+V25LdMsbZbIJrGZ2IlPnz CSjL5529Vh6Ao81RoVSZ5/vv5LUipUsoAhWHX0MWQ7fb+e2vkwB4o7aMojp2Ym0WxsI+2E6b51Kr rOqASgMTU1twACpTB+xWRPqwlw2miJC03GSM065W2apIAXgCj/J5p62VhwhZHjrWg7l6Z60VWcxh dzUPHkfalsGeI7oUB6gPF9t4J60Zog4nDPv7/bEw3fDCAW6bJwV2bDMRqsx77KT9/dFzYwryTlnD pSlWbdKRlKafRXCTmWJpd75icKGl7ymCD26DIZBNURRfd8oADXGPu0AiBkbLeSXXd4WSqmLHd75a 8dZsHfQz42A77Wy0vCx2hE0XFSqQpqFDi8OHrrlOgCSY+J2vxlwU66cVipJwUAn7zLqUyGGJ+nmn q/mC+Jqj8TPScxhF8TXKJntTEI9ZGhs9iop6cXBII4ybF1pYwB5EpXXWaAGDxcHALA04xxNKqHQK H27KAjQUQwYRZoVlIyb7nf2id6Yaa1xkXmVzV8RG5fY40VaX5GhfJ3R8Z6qVR57MWrML7pzZQ8d3 nhpbC3Qy8eY1VbiNmF2thQSr4AvfC+vX2TKP6cOth9QKMwEmIH2fP4UXWkM/a4FEWsAycS1lrObP O0cNZ5GqjkXO5WhACRp9ggxsNRSNZubzwU77hXDE0dTbja7fGWoM6Sm4tcetYM/iEkl92f4zpRZN UlTBnlnymyLLjMfIbHfozSd6B3Xo+DaSZRIAnRtZYiHK0YpG5Ke909OsfNjhhJN9UHgNLT0WfQCq /Lyz0/iOXjRiuRv+rus2i97JafjSJecXSibynytVozsmdpIomZgp2UugYxxfU/EWkGkbbI7YFSks DAFZeJbVIBILmxM0rPNpoD1oIdHU8fPOTitGKAKSwgaXLp+y3EHQXOfnnZz2fxpq52dC1Wh4SeSd nOYQyZTIOlQqSzotUNebwMeiex9qXxUL6Z2cVsSOA4xvbB98tzXU9ykIAi04OGmyhAzdmkUWV7pK W2jY6nX/aGDnuebwwVkNKxWhaeb8vHPTePN/o2lwSyAH9jkKQ+yJg1pMYvIdGQMlwom5ATeO7IS6 MpdRVMRudFROiozSfBgyZx1B690utRMe0HNBUG5oCv1QvcYFlNlzfOelEUyzaKRAwAgHzRDMCIQU mZuPR4W17+MIcLlW7nH0zknDIcaLv9BhiFMEVhG3FxwWTeJunkPX7v13Rhp3mvr7MjAf7mJC2ShQ XnirwUYTjnhYRPgNF2ioeT5mwYTMfd4ZaeResK6GnroNmqJWquyJYZryeSeklce6XOXZDjBPT/c0 CkvYzNPmcu7nWbDTUbN0zbZUFtkCT+x3OlpxZF9vs/rgc9Thp0StGpSdcVRLvUYm2+dLKzMUkdTp y/HORityssVRrUg7G2/5XC77xI0scpw85/NORiuSk7NlRLER9PfTBYq8k9HutYaCqjXVttP30Ur1 VoiRrBI/KGqFYI7Okdx8cJwIHEahLMNMLcOXk8xGyrLTIXnWa2dGBMQ7Fa1IF6sj6ag+WBYyJ1Nw tMLH552Ihj1zwddcMpM0Zs9C3nloeGpQE6Jsr4hArMamKLGRzOhoXB3gdxpa8c7sYOCswfI0CB8m yoFAzb0MCiewE1Cgtlchzn8jbK2iqILdyfoARdIH3ftpdC2pBuvJdw6aAQOfwwjm7p0WCpqiKMIm NPYk9t1hazCWtwCy0uuCU7TBRdEU/R1jj8GGCAfbaah6tDr/P2PXlSRLjhz/6yxtNGhx/4sR7h6B rCYz+g35gdndya4sFEQIF4Rk0YAoyYERPRgIIv2gzuaHEfGh7/wzHp3Eh0LW3AatIsAquHfOYp2f d/pZkVLgU5wdBCxfjEiLImwAD3ECbRcdE7VbKOPNtVVYVyvWLGp/w7CbZJLbI5N8bsYG3tlg0egE TR1XETQ4gfBlmMxctqmulvS2waWfRJKp2QcDQKzdVVc7GxmPB9HjrySECl7t1tVaGGEXEs+WV0HQ XLCwGoSHawiwlsGMW1S/tinqvP053HR/IdKj8C/+Y0O3GDrliCeBNMvwK3FTbu20KMJepDGCueWD dlpFG4KBUSsDj/+X/j44OT1dkEiPIuxOr6mkCPvkbJfeABTG8MJag4CNmDLvAbYbcIAq5IOdRQk7 IvUuYYPzjzR1L+wunhsIdM9b4pcTQA95jMQ+osTlg1bROfWhaYgZ2nw8QhnX71sfigZmLoEPDQvY qelCuzYAOTndoedrl9BBktcURTARddOGPF7H9XiFsFxfI4kNAvWBoeMaDKmfgmDABFrlg0uJjB5V sKvsJ9fOPnCOFkXbeaWdaBWPR9207502cMm26XS0HobX7MoOuQKZWYlXjcrunstWumPhvO5RBVvM 6rqIEuHgYCNYTtNvllWjRoIVrIHAeUCMkWu/eRp2Pj/kD8QaVA+IqEnUJftRy3E05iCwsMbzAS1k ftdnIZ73A28wn6WQ1IgMHtoSrkSuRICwPjhL6R/nRj2cMXaPYuwp6GNhqM3BM/4MabdGzayTOwI4 iFlKOEAW5GDOr/Hg+pK9bhAcbdbWRCxqrMCr/jh2YyEG/ip4PDizk08S3w0AZ1mX80MjZuMQqbrq todEq7lKnAjYodgQyTOKfg8jbFUf2SzT4J2QBTkRUCdRRz3ZJGIN6IydOWFUCm/2e/Gz+tijIjZb 15CpY+EIuBT1eDrsiYlHBewEzwdT9JsZAhX0dNkzPYywgesbPZvcw4mqXfc/d3MvA/i8m/Ja/5vc 2Oh+p8GmCMxhhJOLJza0o4u4sVBABgkKvhv3OKIoa4+A2FPe7tLtAaXxhwYJBV1loluoC4Dno61W f62ik6SBt2xTFFaxO8WiUfRWSSQh8qWY/UnNEzuNQM7hyzDh72GIvdUnq9sHR4lAOa41htggZBVq RQO0dpJnatTWWR8BzanX/evMxnngg7LZ0jYFUpHwTTwenNl3GXE+E/1iPeHv7zE20hB6l6OEminh 16EvnxESQYQGRxDPbCjfVfTUehRjbwlFJ1L0Odgc0WJyZinTlTXQWkGRFg3P2aUQ0K/LZLXX/WuO yibbmoOQNJDrHooK88TjQcZf11eqhiCnQdrR5igCYiMeAWiNBX4wQoFEVFGkd3onJjolIWLhFEUg ERVFeBViYFRfeRwVyBOjao0SLahqvNYKasoLKm5UwrMDG6rVFR8ShUc8h3CF2+DLKJnk5fkv8XiE fdxfWw2ClJinG0GGUTbXUdrDweppuEdpkrg6brUxKZXOSYrq2IutMpDafLADacASeIAnAz5oglYI zmwgqeEBAkIw/PtUfhyEifQIJiK7lv8nEo3Ggegz56SYeDziW38f2ZCc/elosxrfOgqz5ZEE2Wxn hjw6kG0UE2PlCjHCdVTInlsdV8LWujNb0MCWtH+n3gMk2flvIoxn5iCkpoghpqQ9ojhbgseE5Npg aOyUyBPHsZbxeBBn364awY+JUJo7RVGcDWF00LCM6QDWb1LZH0CRYZKia1anYY2wjD27ivzVB2c8 np8YBqRD0REdMKjvf07pQVu0dqlqheLx+JCIla7mPo26oKz2w2oI2DNpM4ceSGlHDBW50D6eSOjy zuIn0gjx2En+myXdGuS1mx7EX/DUTkjVNUtRKTvTu+3M99CAORrIRsY+i6d2ZSPwc81q4SIHhBLN Dw1cTN7/TPXAZwTsGen0oXDKwULIeo5Plk7P3jkh5Igq2fmWaVmuxO8y09U2+AdWRBVIqWNsg4jC 9e6Kh+xkstAjCrMNTtMEpyH3jVPEvn43gnqFiOQiqQ1mqCc+gdF5fe7+E24MfMZffL4k8aJ0xYvg WKjN3c65hseje+0bIUp18To9hBxRlN0JWD+7+ZYgk1Ee0RBJrhk2SrEe9oji7EmBp9aIuGptPXH2 3JAw5N3fOmC6iTKai3ZpANuhJmRENXRg+SF/Say0ylJkQxPFeEE7Z3I1SevC88E6auXr8oeYAJi9 PklRnD1o9QPkpl1snWo3UnjC/yjD8lwdCjGiSHupJUI8owbvq50rHxgUqmSMNIEQQikb9UfauSK7 9VI2efwjRGOTOvv/1lE7+SQVT0Dkn3j8X4B1Io1RFkHPwaYoRGMPnkat3B52cYW+bLrsPI2ay9GO CI0ti/KTZd7B7/7cYdWOWPpMxokyhoyAgBFpmy2OLxmjqreNlhHOajBAfbAC256FW6XtPvB4FGZ/ M7ALK+i3NDKiUjZu3rMZmgFEd3s4RtLpEwvLfZBGFGTPqSrtsIFkOVVpz/80ZLXZWKoTtA+duobr mjVumyBUOvEZQWxExBHV2W1QPNPEYTLW7Ahj7PYdQFLGH8JxNkFRjI2b50S7KTkJy2XnUIC0ytHJ w7vpYI0oxB4yue+l++CZGiaNRCJo9dDqnl73jXBsoCvIh9BpzcQCHxKE2DJiqeJiFTmp/rD3M4vg KeeyW/gDQcpfb4+fwVE2/KVNUhxkCyLaXW/dFDM2N1pJXjpaavf3zwhL2aq+ghFgg01TxRXfMtl8 5zSYabCUTVAxvJ1+SJmxcv+WYk/Id6yyIF1CreO34zdbHVANRhrnasDzUWHkUoz0L1NKwJEQMyxm V6Jnob8k0ble8ScoOkeFeP7jSpXZ7bn5ZyzKR96sLOzatbBDYwiYisI+fz2RZO3kPC7x6WC6N57O GnmzM0SL0F0EUjc+JKuMzNnkr1kqHg/mqH0XIQmmWfc4mlGUDdtEeGYQvLYkHWaImpOtbVtTwGAa FGKGpEcShuukAwsHO7HzGudwhp8dTmweShTm4xGPdhQwPF6oRRaEz/hDuPCc9SP5IHgfasGsj531 W/B41Ob/xvRbf84TkRmF2EnS4fPJ1SDsqyNpLg+xIQdhzccZUh6J7Ct93cH3GnTQ9qadLfqhU8Yj rFsv2IPgx7jUWUodzLCYTQsIeCv6YIURlPSIFtmr4vFojubXHAFjD4akz1EUYxNHeOLH6nNU1Yik gNj2Qu25j7dBIWZcymbkWOgyxsG7jxB2IdcNxu2g4EnDN6HQDu2mlm5hhMVzfEZU76d9H4paNnCK OvhFutfOX8fjEb4v/0pD4HZ0j+wZxdjaaWtWo8zAkjA5kna7ejGcG6xQO0OVPjIeauWZxMGWUWeS QCW+s4om6e7caZU40QXCY78iR8NeN9hqbDtmCR3kK3RQUafjj9JOuozHg+7j3WpM66DJ26+M4YxL 2WTLEoeqZQTgqEny9mZzNMl71RxFaJHOk7oukfpWu03sBhlsKDAzPNqcJOr4o9uKHQg3NQ+P6BE5 oxAbVl7wGqWSIQctI0iSkdLUzvfB41F9zS81lWmR9407RRFaZCR592zH04x8M7U9PT6atdjFP6NK 9mJZrdLAR4NXRRKgbyKpA208Kq2g6Dra+rl6FyNs0a+3vWx0XvO3r10UkZvvZ+jdkeaZW8Pj/wkA iZlc/Z5FId2xM5mlmrKZhzgsK/FV5DW6ene1vlCurw0dQndwuuOAjVijDFRbYJTJKAqePR2afZSB 8lr/1tsGXTV6r+Xs4CxH9Z+jriwh1zYfj1BZ37c+8Lxt3lr/jMAiklOdLkEDNd58SVjNqTRrlGwk rBlG2ZmBUVZglB9vXzROyypSysongEDLeqIxAhUmlGTQYfNCNqWyZhRl42ZFUW2xNIIkTwJX+Wyz zMx2newGz0egI99p+HfzohaeN/pnTHcsAh05O6QuN6rNRfrguNNoqa6tFkqKkHc9Eln8HHwdQfS6 VJk8wCNjudQ6vjAKmuyT2iQte91or1GSZu47KCzes7PeBTYf3zGC1MyvbBadMoDpbI5WFGGjUuo5 LM3Z6zYB491V0cZp1HB9cB2tCC4yKDlbpXpdr+o1XFrOhTiTBPnPgXxO2q3TCKEgqFDXfMY4/CuE i7CBDV1bHwy6NiWdi8yr4vGg9Vi+4SJ58+q/0phhGbsBPNuXIyGKVGhxXtN312aoTatirxAtIl0j 1Y7Kenr8q5yTke0RGBllaBYX8oqxXSgAW6/eGhzL+RlBRUQGa4n1WXhv/qiqepZpW9zb51cteD4S pvmWN5qgCKTu1ccVBdhdHrUeSgOX5TbskGycFhlBjMfmKESLLDVDppohrFM1brST4JxzWQXacb7D IA4wqScLMEReT2B0rhh8RlDD3pKVp1oGB4GOFnxsBDpafDyKr32KJDvGoowf2CuKrzstIDvrYKo9 tn5rjxd1PAE401m0Qjg2D6FaTFDsuhdt8HBPFEC1jDYmFOVZoEXu2YERRUh3zY633vYvMl9T7Nhu 7HhOqJ1Y9wGoAI8HR1H/1VODk1xvFyS6ogAbwSOEwR1Nk5IntSesG26tNnYzl4IV0h2rzHh4JHHw TPZcAetsME7R+bVhZYT4GmSqMWScXfy45ovgQ4LaGmkPC4gqDMAxqXAEDNmQD/vMeD6KjfrXaQQg 7ahXUWRFAfYJHMG+yspB8E/TwutWXDJ8oEVvUxQKihCzdrZ78cF4D7ud/1BR+QJGYQ36rNLNEFK9 iLQAwXZ/TELXVoQVEZzm/5mqnamH6jx3Tx94PDiv13f0iPoDCG8+Q1F8DX5EZoXz55pdXJMZ6a9M iSz5TgsD7KKdxgIkhwtYH2CnDsoZAxjE+OAEGjy2gKxsD+SILpP4kD9VDgopImeYirBPFr+IdT9b NzU8HnH4vwWNEWyQ6mlzFBIeeRrBfs9d50jbYfWxbtKwED7CmFgt/hXCsYkRyegE2uBl/gXqFQic 1DdCpxxlfibKi2bl0u4RVGQOve4fVaOzZArL2GCeCXJ0bl15ig8U1lYUYq9fLTWQMwgOszmKGY+8 7TtDbAlkZrOUAVbElVbpDSNV7BArIiC6qGr5oarB7gxgM4ZGgJumTVwW5Z4gKIOq0bTOLFzu9LqB 0iq3BxofPqhqhBNi61YbfMdIVP3btQBV5G/Z8LiKTTXafEVEE1eAuiF9XFFjrC7NURxhU0xskRfK wdMQnMuQ6eU6QoON3RDgOn8mAZjtERMjvBQfEvGweIQwANCg8yiBF8rzKM+Kx4Obf3/DsqhB2W71 cUcRNsCEIBd7bPToGyVCpjRFubph6A4Zj9R8LBI84OAVEQRGcKeXTNY5tAnr75DoG4XexOnaqrKE vaMIGy1x+ITy4k/ZL/6TLWfzLkiDjweFtV+6hrWoW+Az9B5hA7hG3EO/RSPJujN83HLjQ/i4rlno /ltR5Oyf6YPDaFdiD8TsHRq/DQBHqMRBv4dKOH6rUZ5/h4Bs1vep9XNljBFin/luUktbe+DxSNpw f8WPuC2oR2VzFEuKENz3+M6uZokarWOLN2ZHMaWDHYfYTNQahWk4OLjvhNDYUew7QvEAVbwJI8bz iiaKdLE0oCbhM4IpYjGk9HkHJWrnH+VoV8+5iscjSZFvGhZYSXXe2uMOCY+dMBEKwujALsVmaw9a ehlMZJrqyg5hIkWBIzHr5QuzDme5squUxE7UvgpD7AYQ2UCzOnN+rFvES21HMfYWyHioszaqB0dA nUhhfTW+478Ujasls3VeQeMdorGFE8m3JJKWC2ZAxiHZHE0K1nKOQjy2MFm8sTT4aQRaK8QNmc2e o8MYNHCnbKCGQLnES2ugseAzIigNsViE9mnQMuqdiFPyQysejyAQN1NjIDVZ579zFIXYlRJQg5U8 ExXJrt5T0O41UZFeDG60Q5gIU7U2CINsI18H1QQt9ALvW0L7GkWyJ0ytzivigEAd0vHYFFrdUQ2b GGNQuLIPhoFIWyom9QSFePxfAkdK+BeQN/c0imAiifbOnShoTVFxk0s4/iUnYlEUjVMUivZR+7E2 sfnbY6iyoPeIryHN5wQNLZ1GZ3YAQ6OGvzWve9fbRqRQivXio2xQhbacW7OqsLb4+H8CrWVWaO+l FobYGZYqc2i5nAS2Wont/ONqzjFCoYb6RjssYScp0rDKz8E4RuUkFmdiROE/oWkChRqQdkLWTgAF krppYxLvjM8IYkf6gBJ2YIMd1xW1TeZpc+Px4Eb7hTOm1vO65OsdlrAzNYxrtgmSYVEjYI3VI5Gw cGBxgsICtgqPYmBzuIC1s04QNTDZP6tp08dgDQmdndW0HyzWnnrXP/Sf8C8VH+wgAo9Z7sx14PGI OfOdgKxGTP+9z6LgulGhv9Nugzw1VvNtkyUThYJSr6uH7ggiMotasnKWK/fKR9YJ52P1G+FOBTwz oEZQEYP6LdCVHhWxNrv/IScySvdB19lJ9YycXubA41FUNL+uM0h1NZrNc4oMkvP2qQwdhxQNSREp sKKhngixCAKIkPMgV/AUhtc8rM/f6j5YRWRCMfh8BMHq56LLaBtNYCuA6AcnDRAchxutbS8ccEIb u0UgDGBAup+Vy4ICT0mRlif/QIQPvbg+9t/O8hv4oz5TIesRaSr8HprNFPQMTTIDgYwBjmAiYvrq KYyymfODU++DRZDILuBNwvAIhbzCMzuhMrUgz1ryYzS/GGWzERzUWZG49EU0BAcugg2Qt1yH18x8 PuLy/9JYRyiKRo/P03ugjSjyHEZzZ7MHOzn5fHD9Lno0JtqQcjNIIR6bHjSZus4aPNAe57ulNOWG tSrtdZD2n6WwEn4VtPavEWi2942QWaKsye8JWaGoeMhzWBwEDW3xDwRRUvlu9FMce9wIIKeooD3I Yh0UueJEjbZNR/x8NZdehQmLezmn0NORSqupUik01XHhEPNcfm23RHHjfW5dEPNJyRrn+gfvJT1a mTTp4KdElxwxR1sIpO3qGQOEJnleo9lPA9t/9NdIx0beBgCSz1MUccv2aTDSJT5r0k6MJ1TNaxjo GHmFn1ChG81m56irrN3rM09nGRWyvbCgaHkt9FEClRYohTWc31cyJY/wKUF7RCKHkOWxwQ5yrEpy RTbcH1IIHCnfl12G/PTJVa9FRgor2+QhQnXnR3o1ZObg+Ab9oqo6OWk1a/MU17bJE0nzDo7O3rDz LGSug5m10SCF69eZrgVbtQzdcq9Jpm3vG6H9GBNk1d2yWUyhd4VPwgf2tPn8+zzx2n5qJWf/QoDj TlMsNpJgoZ5Ux8Y6tqlJ1NvjBhzQKfJZiiJvSOacc2nyFJffhVbTueRWRRsSswSUBNl9YPKMHxb1 wPfz44mYYn5KFDnxeMIetiFZ3rZ7sQNdz0d00W+MTYOIe70VpZxCvREWbxMFG/6HtC7iwjhPxT2M y9jd2234U39Kr2cuKg42T+m8SJuZjrPnHESfmxVuNEU2/15iw43RU6nNXvgPbjZu4qJBVugFPiUU 3qRBCZ8PwoL5S1Bjkq30nOJREE6ePcAjXwpIdsedMMcMtMDR6tmN+yLVEVT7cOHLoHeUC0fCfTdG WwIAwOiJ190ECBO2kD9oUHpQIFvnFAFJ5P4ICXIfVHvbUETn/XUiKT4fbLrqQQGOIeDgJlawT9Pf lMi+PEU5t9IyJEmybIX2qskdIHMKC92U0crpGS51BDCMvWUIkRg94XBC8jCQz2W2dr3+5i8cFZdY MpGaJgerUda8uRigPM3no5zXLztW69C7Xbf1lkMfSMwTxNE0IVDfLTZL2OZWXAJkzC0OQzSJqOyL uJvm8ujQUCnoZ0+GBIBq906CzQaI8ySuC55ibqJeEl2wc+ADiSOYIjadKn8cVBhoUJAh9CCNyeeD w2mX79WEcvd+jvDQCbJVFeGKiWme0+HarYLEZ9O0tptlBlaQ6gajdsSchYMXB0YCPlUgSWgfLspw 0Pis0m+oX5KNzEVybAWZpYagrdcNrQoHljrYIGjnkuDzQSCefzH+EYin+qylMBCX5/Ok2A/T32b2 z6gKFddDgqWDKgQ5hyraLFL20u9gq+ls48Y9wdU0N4nfcPxpRCOfKxkSizZNpdn7/tFcAuldnvO0 8mCQd65PstvOtx58PsqAv11YTMfmWUxR1XsZY2s/WuPWRzm/dh9erczUBdJqCuNwumUCkeyDb7pJ 7xpsrH6+ZiUVCf8CaDYQwuzrUdhguzsHjpDYcyjG9UmFtj7tQwDEb5W1onNhVj7/z1pK1tv9UEbW 5ynU+pvK5povp+yQLhibsYGpiKAmn6eQIklAwAmCmg8+TxkSrUnlFEg7AqZpULeGiTmX381XJI2U Q19I5NVnIRVi3FMZti4S9ARVKEiwFw19Icv8Td46LwVUos9TJKmNMhx8arpNEzATPybMrticwuw8 arXrwjBch/dktYCD7zokdQs6/jicxJ7ANBX5GBAx9GhqKyIIjCHNqLZkTRMHXU4dZRuV5lbh85G4 5q90BTIDFNWzaQqJkkx/wfSwtK7yCzLAXExyWE6RRA/T39AaUqTkXIQSkMW30roGJJpa3xO8nkE/ XxRpTkQA8ZFa3LcGylD2wlE5xfT+ug+GdT/Zok7x89F8/l+neJG0Nli/7TnGY1USIpW1iihFvreJ j55sy8V/kf92n6jIvMY0N2baPnjG0hdcQbbBuhAyU5YEeOMTg5wrFseJxQS12vtGCEojkxYf7LYb dRetp1T5fLCe+nd7F7rxo11TjRw4RMLQhe2Ca1mL69WqKUtKUkAJFIQ5Nksx2oT4AKoea7BZahs9 rU5A92jj5OkQDIB+1YQM+IDq/lVIhP6BPiWoEmT2m7LyunzzOgAqpb11Njifj1TIv3FLBf0UxPY+ TSGku/FMWsuN2cwsmtSA7uYjLuCS9KeCeSJBqaBqZYNjumF9NTLpE/1kLmfxTMrZoytMCgRPE5Pc WsleONJslQuSTvHhx1OB/EnXRpqNz0cJy3f+m8FBWi3d9RR6RXZh3+syrDJPD0+At7tHQSbLEF45 tIu0NvgmspuDb7uNQhMNfQA7mQykAIMDNBe3K/wQLLETCi6HfpHmsDFZFz+DweBGPxcfVer6mTI+ H0kBfrPe6PpCuotNUxSKD9ppT65Gc9aiJwtOOUp1F/YPZiVfqetP/QXvrvQ41uANcZrkoS0G0ACM bYioJF5goBgF1LHz3mSnHXpGEt8N7+Hhg05xWBUyDjlfePL5iK2cv/I6wO3beDKW2DRStC65jtH2 j6Ba4QWp5EspFxAsbNsFrpFYTQQMKjjg4LddOctlSJK8oygOuN08ew0FRMp7g+Jkxblck71wVJyT qn1nU4pKsFVR9Zl4HlbwY+Af+BdKB68HaNIEqsonKsSgTCTA0pIYrNP5KV5FrUTzYFTnCuTAN9Ll 7bNVC/pTJsgd2jSF8IqJL0Ox5LR474CqieJaem67be8boAdo+Yf1zYHwLfJxB+T4ue1y5/MRMeeX Jwl23L4KUzn0jtxF3EAe2HK2cX+SEz9biA7S8q2nBOaR+AasFPRxh6fsNGZHexZTD6pOIqMBVO9W +AJt3wb5XPbCEcqC62ka9AvbT+itiYMOtxUKXPwD/0XwFmFBS/PZeFFRvMmttVZXvD0roV0K06pW eKqreH0utJBc8gJQksfhguJPjNRnF5AAJtWytZui2awfmCRc3oC/b1Qr4Pk0BSOc1aMn2HixatR6 bXw+4p/cacL7IcaDqOOdpygcb1uAlOQFOhDKrfR0FXDP7i8ue5sDG0nvA/fCOiYHS1vOyoGe5FSz HKdvUcReKHtzpmRfw1aUOPW+QTOKusmsqdmg+hyiJuYc0CTm8//yAuKRTebY07QLjSTRAzvHU/Ic ONEsXZWn0V1IqadVfZZir3apuIvlPb5Y3tjKvU8pKUGUiV7tE6f5YE6NsBNqsth2Nk0hMKWxe4DO lg2Kd6ApwoDt5NuTz0c58DfjC52whuaQT1MoxW0FuuXIi1Rn8iSYfEVLgiFPoagg9rvhPGV2WTh4 Eswwhx0C2ACebFiKlCcV+ml0K4TilE4nqbvl0EyyyliKzV8NFhbucyNTAi+jVR64SX63NvF6EGr7 oc+Iz9N7NA4ePVWC+buo9jRXdqRcvrWnQtlDracY/y0VE6Z4HG4PuMO7lYpKHfsjy2QCek8dptMZ TDCa2yPK9BeOQPJEX3Ra3eXuVnfQnLJtd6aaz0eMlO8aXUfyg56ozVNoKjnpuzlJnbJeuenhUrDF Gi4LYuM2T6GtpNjebfQ72HrKcKzoUqCoEK9WBAHQEIKBLJF1b5V3e9+/aiq9jjtYDgysOXNg1sUD Y0kE4+3XtoOO2TVzy6GzpKKCTGyxSnRYOCrRtZqvPWm/x1NgLQmYjVjNFHqzjFTBOBgoAz1uNKNO OEj5nLPT4Zy+E8Pc9TC/u71wJK8kQoqo39Op33DTcaWOufn8PzW6uBSpsDSuwlKODSYprQjlabvu TlZx/bh2s7BgrJTuTIVwcO64NEWn9O+A7O5kRYW4Tqgql70G9XA35Dg38VTEABg4jPi5HHpMinKx sw5yGDyqYDSACGYtChrQ/APRVH2jL1gyaxdjmEObSbnfpX5NypHL2c7LLJlJ1mQPU6PKodGk+sBJ ghTpEaRYsLCqFOk7ETmMtKBDCInuBHtpBHCdguT1q18eOE2Cj82qL61PCoVXuiW083xh/OM5wzL/ QLT31q+IHNvuqWfGXpOT4r2urgD3CbJ55bvWrY13AhXXxsuB2eTlqchRkYNN1Don4MniC4EF+Bq0 D86FlU+k/MB4X/R8XvbCf8DD0OHTANPFplT4/BRELaFpyj8QSVKVr0uPkX1dz0RFETlDmLOiUrNU GLqsngrTOI04lQaBEpuoUOKENJWSxTbI17AcvLAND1zEBgBs0t99ZkJ3znKDqsC83lOQAdELB5UV pi4JcA8bVHEbyfUpNiorge3k/y2Q05kTxQ2fpygiF9MgMxYV76kMg6eAfuC8edICbOdFSJVG+Q7W Pm3ww/wcUXBvUMt8oQ/E2IClKswuKZomdLK6ve9fkpQZgp82KCI/N0nuMgpOg89Hpi/flRViV6H7 5NMUlscr4QRCh7Og2fuVg2EvgHW6hPqYTVMckotfKCZdvbAnxF+QEFLXtya4RMLKbKOwt9FLKrXf /t3ay1442HeJJ1OSOmXKFhucpKHSCPLEmqvz+X9tO8Zbi3qyz3IK6+NkHFDdT4xV/taC0bVNzC8F T/KFr8YWlJSkLDIS4mDLacBiaXWWVs51VAobfRl9zrPtsO/nA1/dvdkLR7LUQvJQYoiDth2ybebe gJDw+SDUzOMrhsrgivRx3bpy6EKJxgxY7BQzH1pby3F0zLQYRG2cIKpohjaU5GSCEzZ90ESNNU+U cg5zxJqrzr4gU4tICu+MDjRIJ/12pvqyN/4rNlgisC4vTJw8OxGqB84hkrzAiRJt618KOhAS2w/Q N7SiBCt37/0QNPoFYTAiEf8AfStFUIETJbJFqsLMMX3wgsGEFSUjpJ5xUqnFV+kgRJZNu7rLLEry Q6LMRS4w0wYTYsKdQF1kQxcEVpT/F71apJ35TFLslUNv7lung7S9U+pSqxeqcstPoRXlGlJerMkH m6WTquA6G/TvgKVjX3J/Q2UcGCjw+iy/G4qeAivKzPysK4rtTzBLx276jmDx6vngrmvf8ifnA6EQ c7sIoRllNYzv8GkatQ7Pg6kpwjy48ezWNP0tgIJ58MGm6fy7c+TN4AnUiFbIztzIixpVmvCbGjos +ftGGAxBeTKlB6iCxa9Wz3eo3OYjoRkcOlLO/mvLIXeCBqvPUxSN48xBkWB7l7O7mB7kPIsTfXO/ aUtsSjlUpeOdx8HrTwlKkpu6A/BlYEh7AnYwNSlD3Ik2NL+cbu8bhE4waYSt8vBBeTBEnfglzy6u fP6/yJ+1IeTLnaZQzDuDgtButSCplCKRj+Y6KLN2hxbEtpQkaSIg8sE4LRuluK2aL/yFKvW/TnSD ShLkdPKql9PC6h4/JQrFux9KNmjXzdZE2zjTXfl8JDPs08SgG1FTecCGoTElyk4nGzVRwa1+qsl8 XJ1hbHgLCAJjSqwl1XHz9MH5LOe8ke48+Cz55I60FspUPkdMjprLA1TZ9roRtZ6G3YliKBCd/ZHk VEcDniW2uXLjH4hqvt+t4EG04VMrCNwpMwMRaDR0Z7RQ9k4TtWh4S6LGVO+OExWTNSuRFwTJFY9p qBU35zmmG4t0Z5Zqpcsp/eXpmMvsxncdteJyYFCJ+ExKFqr9zubC58QZiDy+9XxU9P3OgDNg5nM/ Vd/Qo9LcBWtz35Oplvlik5MF4AmkSnXhmByYVOKgIy5zUqqBg1fpzsNQ4yRSJZ2fZpCTuAEL7DhC F6H1ktIV8ydwqRRLHv0ZAg6lZ6fTqdPgAbc8iD+BTaVcLr/sYQa6GfVy7j6vcVPFNFExbqmMsnfP XiegNaLylVrcyPM1aqqOempS925X3RskhprRkGPd6UzFwpkEmVggl+DwRvq9k6MQNb3GTBW1FB7f WX5n2e7SAhfSwuP7nE94+mV6zgtu86WuFlbms+WInyN+KJicRVjYIhT1fwR8Qt5AwepzUhGCCTzB LqZh9RouVbc5beZTSf6L7jeoCqYyZXly3mjhAyZOH+BqUfwBAtVsGAxO/zo/6K6ofEmoEwerhk/C 8kVy+LzGShUE5+Tzw6RNWk/TJug1VKqZOQUgR63YaWRe8DiNdh3F1s9G7MX18xooYf2w4TvkLTTm A30+U9FocC59WBimilsOsABg+2V/+Qt0vuv7+kHB4uwshOY2aE/gsBNUte/2eY2RMLs7fa2fyns/ VZue1wip2lUIY22vVwKbaoXdVGv/cQ0LhxW+xkdVqjPgi+87eByJoi4UjNjthSy8mS2DqAOH4rGv WMxExPN5jY6quy/gKuOAAoV64h0TT8WFkzV/XoOjak0RTYeiBUR+vnxeQyPsL7DqJ81I/8fqcA7i 7Sllxw00b/O+BkbYXnsIQ7h8cFIPJFDM/xWCxQtKUTOf1Dn9bGj2QpXQt9emeO5rWITjp0xVKLMP uscq+q4yLTtB9mtQZE9reopOqh+I+tn0vIZEFXRoAeXv/Izty+dcYdt5Kk3mebDJC+ZnSmRwjeSD l9zOrJyflaZU55hIVIKFa2dCPAMCPagj3rdkfP0aEOG3rIIQljsYlweED6oxnbzy8xoN1ccnp4q2 CsHLTaAA7R5e5we4EzYsy7xKQ9uIdMAKuRjTKrI3gTlFOD/svyV1wdO86ic4GQbAzay1dRSVGrWY oDoGUzNG4Z7OynQh2l+ZQrCSq6zgZeughfmuYeLOJ3xewyCcXuZpqvNHbjLTNtznNQaq6nzvMydu brKK0Xth/WA48NGAQpSqcHQ4d6nAChIn50xlZ4j+Vpfl/bnYEfmwYovQBzWj3L4iajLEX8Of6uIw JNzbYBSnJpEW9D7y5zX44Rt+n85AS0Lr22bntQiJ7VVY8C+pGnFnVT99oHLgh/Py3fVagcTezjp2 ZvfhFv4TDjdKeM6TVzZaK59oogMDh9MHjBvDwK2udw2mB2onmdhjG1RVg3g62yO1QNcvmJ6+/XAm Vq6ucy00XzyvpUcs2JUknOOnT2W8zjJInyb0AdPg7oIVYfDTxXAuPlwCL8x0C3VzJirOiZgu+jks XHlFYsLCvtFf6bXqyOBQgFNJnziYvJy7PekDWSp6rTny8lpf8wODMpT3PDiMQudBi/fxtG378uZ/ htmf4yXW5epE0XOXQ+dmlMjBr/czGectGkmpJzDK0hKugOMuWkOgjetCuU3aAtESYsGibaIBOGgJ nekm6/gH7kSfd3YcttgsX1sMRYSzCrvPURRBJ/WNatEc4QRynbPekx1B5wypXpcNI2jurnauKB8u hfB8l0HQbQexlYkWHDonpghA30FFwaqsfuhtgzO6cRUldo042B12QnOJVOTKx4MY2mBcioFQUxoE ImiK3mNo9GqFfG8u4pWrw25O1JCLR0FgfxnsJpijTa/AZvows1yYRAUPCkeQLF/gfLOYp6IMDRcU 6uNbkqF8PoqiO1cRKGo+aIrOoSSlIfB0Pu+0OO7T/jVFgDOd/PCuoiiOZvafWmNxiB221JyKOqVz hmU0WSsQQCI4q7urvyYffIoSNi11NU6yBi1VXmXg7srbKI/rPZmncN3vobS4f7DkluyyS4VQyn81 SSkCXRqG0sPniLLDFOjPdxm9x9ISAsz0JrJgaMlLkR4CtRg2AkZI3np8j6azCS7AhNsHy8VO9n4u 8TVEQ51jd6IqM3Zvh/3rpDp+k4WAvW10GNHsp9PKrOLAr3bfQ/iCPdfzK3/e6XDYqeX7NCIbAmR0 n6Qoou5d8OTlC6k2N32B0IMBS+GL7How7yE1Dn+ZTpIOx8HOo/PFQaqcVovd9MKZJgnMjgTQyV6L pXfQOxuOkQUtqEBAs0HsSlBi1OyH4ds7GQ7f1vofRsoE/nTs7Pf+OxmOpZL0f3r9wreRldOui8AG YkeTFMXVg8RSwnFscLjkhJ5Bok3XQNNrU+1sIdPoKEZlUkYtL8tTrxucSOSegmvvg1YShGyJJDoL Y3/emXBYSSN97TbaWCu75xxFkfUiBrCpWsbze5sAPLC3ywXgz93qzKUouBawD6w3Hxxfc+7Y3aYz KGqhP2Wm6efg+gePwawWiPz9vNPgqpqq5yiCQoINKi4C+VXkqgxoWxRe93V3m/gTJ4CEJ6hN0nuA Dc0m5q+SDWAJlqtW4BpRTiSWUx2FFIXYg654g27vGmwhnQDyZCed/SG0stdSNRus6YoiGjeiVaqF k3wPsc2oq1D92AYL/ECoUH0ISjlRjL3Td4ER0Lha2p2iKMjulMlpa/s66iQgq4DmuvDnWhvDq9Rh lM3kdUq3Y6qLzFP7hFlnlnAWSCUfnhSClEzKkPxsBtlWzJ9622iKCCHt9H/hYOdRAR/jh+Cj9Xln v2Gr1fl1HlG3AABUKzFGYTaqIBDpc3mFmd3SZJdpVMrRhzt0vhPfeBiZFe724R5G+E+TJcYB0XO1 OybUVDouFVAZXSAH8/p5571hEQzZc+ksqn4WnVsUOT/3Wcmfd9obXrEOmyBReBs2ul9q76w3TOtE lr+nH9cpDU/z9/YqyCCYWzP0HmPrdgTJtGQfPFGjjFAlMuuc3HuM2WTRCfV3lArxT34Uscz4znnD nTbZONssFy1cR8VS2VQT+WMdYibvnLcqAxfNEVU66Ot4C/nvlDes3Ew5wbq8VDTmsIv/RN4m5gll wW5crnfGW5XFKKsgzQePIE9EVJdMpwcag9RkBoc9/9DeFKzji1+jG8U74Y3hEbtlm2rfG+1vcWWg crqpiQkXwM87342T/F0tAuunPuXGd7ob8heJT9TmrWrlEHINzLehn/A9eBi9892qZNJe20Fpn9hq Uw/xZGsDrt3swaLvuzaMDdkTMhQNpUze2W5Y98A9lHMyVh+s4dFV6salVj/vZLdfFWtW1ArrRp70 v3PdWGkq0p/yCDJtM6I8//ZYXrOmWi9TkXeqGza7/CikCMvBi45n1k+iby2zk4uIIwFW/A/+M2QN /MQ2j6V3ppstBFJLiw/C96FwtxVBpvl557lVgeu+ytZYlZPuk5yjsG4t7Te6RlnbwwgSoHRUxxkR Kq45igrXJgObKJXHweeonLO4bgoHrVLO3U38DBOBzbsASnXE1eLQXnrdIDhKBM5kiXVll4MFDopl QGBx1ued5Ma17gFkY3ENtcflKe07xc36rvDg3l48gom2k0iA+hY7gg5CmqP3IFtRPqPr5YNXZ1sz kiPuNTihU7+TJhsbCXTuqz29s6XXfT+QFtP+gtqKDZojaKbLzHal/XknuPH4/S7vs8eUn5s/CrIn C7STovaic/fe/dAmmIrnUUdtSOfRe5Cd6T0DE3E6unDwbO1c+OcGA0kEGexJXuXODf7QqOJG3GSt DL1tMEWTyXoqkoQ90aSs69vg3cCLbe/PO7uNyd6v6Ai6z2ndvRYVsamXhJtz+DqSShArbKyDch3h m9rF9h5jo8TMjFZ6HP3qcTRelSjuNMFBQIKgDg5dRKkJDoyHVdhow/F557YxgpSwS2o+KEBqTfL+ 8G3vn3dqGx5fv+r8qNGOW8h+J7bhXuvyNZmXidT29TWR2wmZSMD8aK+9B9mqfqEmwlh73UYRrPz2 CYcTITMNNeHFWOrEUESqAIvxIEG6vW201aRftu6ga+0cciyeAmo8Pu+kNpYTv5N+Ug8BDbFGdRRk g1KDM8jiI+BnHd4/pysrsXpvjeqwlE0lAOiQ+OCN/IES5AAlCOUS0004gYU8OsHIv2JK0IvjZwSd /CmnaE5UvVrCaVGHl5HzLp93PlsVlvtrEbl+uM1QFGXT8zxRNsDqa2zDq74m6XxqKyLi1hTFpWyc QoAy+KApgnUcqoVwh+owfyMuYYI4n9EKgeQxwexq5he9bTRFuPdLJYiPg++znIR1PEHZ553KVkUL +I4fEUslP6/feWw8i6iryOK8CKSE1bNjlLZ31GBsZ3faO40Ne4CFo6FK9riVbMCLC/HqxM123GWs m+QBrAHOboRgF+g49LZBrlZVVeMUcdAqgh6M8v0TXX3eKWxVSgVfgBCcjmAW2BRFITb1yNJJElzf DRHxj3GRJ62DC0MjFB6B538nsN2GyGxcIhxso4EyvCnFhoJFWxAmRngLei+cYIDpb57PMm3/vPPX DDiA9SNGeuXf5IKAhhmDSUSnn3f6Gn7Hvb8nqZBBk3ySohh7m9zrFcGrSm7hJsCM0SZJkudYR1Ep 22rYCpE42FY7EzNWoY5yh7Lb6ETYDEgOsFCUb02EIhSfd+ZalRREp/mED3YYATegI3iUzztvrebL Qa4PHwtG6jZD7xE27gg5mQx3M4P3hO00oBZsp3XKAHGGojp2Ys+RZWEbLnDvnEGbmcZZtBnnhaoD kxdZ+oE3mPdDmIS8c9aqzL9B0K7LBzuMTm4s1aTU+YrBjZa9ssZqbl7Az9zzOgqwOwPsUfvN+IuD PrNVsQkOgSyuzuuoii0Jrt768uEWH9dJUbfMzM6CGhIqY6I2cfqUxMrReADF74Q1BkbqzsrVZLur yVmqiY7itEX9vPPVqnR4vuCNiF7TcxpFATZKgOf1LQeBcXC7+JDmhaO2vGP0zlXjDEldg8jrs1AI f2aatlaGfLCaIVDmpoQitBZOeH2+MkQVPd3v0142as4yTeviHpM1zu1RgfAhmeO8Rv+8U9V4gX8X aKE5Nupthrwz1ZhdER+71T/bo1+7N+SfPkXN8Y3vNDVLMpl6ZB8sSztTDTqjpgiga7QMJjSCCmpr YuxeQWXU5D7vLLV7FqWp0BG4GcGjoasqLc1xQrLPO0sNO22Pr9iRFK7W7mEUhdfY/SAbe9XxLFhP 0yCRZr3skygW32lRDXsSs9/a3j54un/O50UHbvCcoF1JnBpCvp8NI7NCnKOVjchQeyeoVXn3dlbS fFB8vc7dKd7++Rufd36aveOTyoKGSVqEAR3D+JrKP90ZxQyN3Dcg05uDuom5M00D1DEOsJmfZdb5 e/Y6f12bpL4+JK2BZsimfhtCkwVUEnjVvpAGbQPe+WlEA2NyYNukoel2IrlLvtO5zs87Pe1XT429 EMpS4YCzSQrBIoLLLnMsRVrLChHkg9hFKhYcAe6KhfROT7P6KZB8PLk5+G4bEI2gBBItA+SEB85p /VnkcaUrt5UJa/6809P4IUkmr90HYzYU+fnAI2d+3tlpOBHmr9hoUPHaG0bv5DSuXihLri6U9fkn SCXR9mVvZ66PJj8TLKOojN0pC1y6sGs9X8jsQDLUWmemUVAaQQwF56JJMfAf6te4ijLbju/MtCpT 5E5uoQ+aIdBvpyq0m49HFZHv6iMAc412VJqh9xAbqNDCMkjKNkUkS/4PiYOUC6WUK8I/FY3eSWm3 M0ucvw1275/ZB4KGYj+jgcYsVCg246KF73wMgwkE+7yT0gh8VfqR76ApOpmNSEAnmSmfd04aEebf iwi9kd6TFx/fKWnVVaYnyeGWy85msdFYtxsypXqQ+JeiIjazkNqaDzZHuDPPlLEbAiQ4TJeQhQDs 3XHOwi7Fk9lFc453RhqR+wDSlMaiEQcds3BMY/HwTFb7vBPSsIz2+l5G6PCnB5oeFbFZDEpSElVb TR0Q3GqbUHGWRAbImpqjMMTO5DZAnt4GT2YXZOFpwH3CjA1YOsmNCB07kmRERG5pRhDEOx3tmaKk KUp3itbG5cl8f4/POxnNcuGvLGRSmdEv/ncuGp5i7RFq5T5FbVSbolyTB5DzZCc2RWGIzUsNEjU+ WJ4GE2XgaKsMAmr5agU0mFuoFaIIW6soKmGzzA9T6+aD7n1hCLCKYD/5zkOrj2+gMKJg7/V6y/zv LDSivtkumssV/0q6AMjWHkvl7X3HdxJadWWf1ZmocfB8/5z2Jxgl4KhAEanQgAlm7GeKzrJZ9eoA D0JE3zloVRyyE74n6iJysI22kxxAWsONFkXYv0FZcmianoS0MMJGiSOhWWHZ/gnnXXYMXWdl+yBZ OQskBGJXbTSGj8O77zywz8NnbVKiHCc3/YILG4wNeS2vO7lPAlrPtw0u/SR6Fanp+l0R4pxofTTi s1DqxONB9Di+q7MU8Tqv51MURtiZcj6qzjYpjjlUfZACacpsxWC0LSpgJyppDUDdbLApwtNAiRAi ilKJWZpAlLYSyEOdAzPm1k6LImzauhT+BRu00/AxScuoDDwedPh/HUY4aolRNa5MXMFmZa2wrV9/ NgHk5kBZ3F9i9DqMtN/fA2yB7s4Om3SZ4OA1/gEm0ZK0wUo417Jatxk30NkzFwRhdgA95DKaZJ26 IX24bcL5JylOnKuTjwdkkFvBVquImgEeGPUovAYsjWRD1/dLrbujcqcxnXQQcZRoiiKgyKDp9FIM ycGO63rOxbI3gca1whdXdgEAkJVNNiVVWmWFS5GMHpWwJfoLBy4fOEfrBDhJjlz15CA9Cq9T/XUY AXNJQVvNURRe03A7ndSpetWIDS21HMdwByUYbeq87lEJW/XZrrCHgxfWILFIkhEC7EIdNUSPQPkv dm9qv3naJN6oRwH2pP3dgrQlBoSJIhWNJiAZfKwLng8AR/MbA5EhW9/XLfT3kNfIWWpSW6Ec+S7G 1ofr6DRgX8rdYuwexdiT9ZC6xTDa/Qo/zLMDJo4lUosgnUZJA5i5/MiGYfcH2UeFjB7F2DULtsbd BpqtdTVOsqP48cwV3zE4sy+yj+c7MM6QM7M5iriN5yfG2TXUaIQhrlkm7FUfUBbAUpqhkNuo2hpu cxv8UoOQGw0ZaYgLCD4FfhCVDK7+8pWFsPrYoyL2YuEoZ+lsQ0lPTZ6OuhH/EcATPB9da+1riqDH OFDUsCkKQ2z4S42ZTfJhbwOKFlwT9cclH0hPwxSF9EbusdF4Y3FwtNECGBtfDiqaEPogu3qh7j/U 8tzlHkeUZe3vEfb5kGaGk/IOxqnEuBg/xBxyjKobz/+XrYaiTAPZ0qYorGJ3O4+mlUTScru7NKEX Wv6H2LlJH0Wc2XGIbc6A2YeHYwQiKJZ/32e24aJEm1eIn1Olts76aGhOve5fdaNSdGYXO7NR6M8i HIHGhMcj2NovSBZxObe936MYO2WJz5CHJvskGgBU1NYGcw+e2VBDqOip9QgmkpiiNTKINNhphDJm AvZBbm6zUgsiD/hEAm6G6Kxfq0m6ufWojg1o7gmL1JpttzV7Muk5hRE95wMej2Br6SsPoRnJetZR BMXunSD1Qt/S/YMzaFtRpC8vP8pOhDJiPUKJGA9L8liNhujnRYESQbeoAqcM1BryWvybJ0OHWzDd ksq6B/ZAQocP+ZPNpylKd4pOqJ51qyGWwOPRMvIDm2JrKHu2fmu0Pa5jk32VusPVk9K2zaawmSWc dJZ66ZykqI591TCyD94xwjIqlxEKv8+pGm2FEcj5e7h9rfw4ur1uwDIy7x9pi3bXw2h5skdCrPHE 4xHj+rvFT3O53odf/SMKs9kbhfzStbapxWAQCfwmK4uQ1ckgckSF7DnV4193sDi7zhN+tUlnmwbf 40nIdgbCkySzKTzCo6U9ojhbhL4qyaf6SD6ZIBmraxmPB3F2v3NECjIiI/RlbI6iQJsJDDQeFROh N8LwaAsqYlXaNQFEES89rmOr2E+lue4GIVCe2Ru4ssUD6QQobYkcAhMBACTgfbuvgBh+IXxIMEmF resqYQwEDUxBzn9RVmOTfyCnHWEhe/3qPcKsBehGm6QQka2OCHGHqkHKaJJdI0o1C2y8igHXRlTK RtH0RKR0ScaAhTTY5O8nBKLyLSX+Fx3upogL6JH8sKFkEv/ntBr4jAAjKmYoEnEOJthXQYUu4pyf EHJElex8D20WmvCzzEvpGyFYRDiIvhzblxp5fOw+1t0cRwvEkPZaFGavIhPANjVkmyLIF6DnOFkZ mTDTlZQGpPYbWhrEkdjdf36fgc+IGPysjGxm/RyU9ec2VMlu51zD4/9SgODLIadFe9qmKIqye53P vKgE6RIQKEwaKou+BbaKojh7Et4wCtN+Dl7JhjFm3yQY90ZRQ0r7A3nZ4BCTIatoXDUeY/iQqCGC 9dMXpXpokmbMoN0b+PagPZaM56N19KtOi6W72l1HUZxNY9PUyavkxTZSN6dy6GRYS2QBFa5Ie0SR 9uKZ/f+MXNEobGdVJ+n6n+ts0zj47Cp0/XCtdLTVrJRNJv+IStkgkeKOZH9WPvC81+o57JM+7sSQ I4Jjj+UxJO5AZLR097UpCuHYoj5MIznQd7P7FNEPTVqGZVkyMiI4dmVHDcrYPjjNCKiZ3sWePRls aoQcnSBjQnKAJdcHjW1vGywjImlG48E9mrGYYdY2ZWbUdh94/F8kbGGOCHW6UxSKiXTSjG621udy DO1sjoTo+G+10aJCtmVrdagEya2dGRwt4N3ZfOgU2YW0ExCiIIij/QhNK58g1LLwGREDmwhRQNNt UDwDnhdzLRJnRxhjDw8gh3T/qWh87/0wyJYpaV5OwT5HkGIjXNc9+WHUnYk14iibZZHKYJuD7bOz fE5EvXilnQhugAkPEhSCMMopFwgZ2oFNSio+JIiyyS5ay9yiVD/FV8OcL1786/yc+ANB1n+PbLJD BMG8sxSG2Y1e6s4pBlyk3K1WRvbiESlT3GphMbsKY02yUfVsk6pqFUcPFdcXoq/KdK024ABhLkTa jBX8t2R7Qs4jgX3wSMPNj4hLOPPVa+EFA051wfNRaeQbC0H5nz19kmZczeaRDYiUlOc6GHZSnis4 j/iPi8YFzPvn38p86Oj7YGF2QhEzQ98A3ccE4wOG1g1dNSCjxnhaa6TOzhAuUsQrZtrPwVKRsxnY Ej7LouLxYIqah9nsOKE11/Yl888ozK5TmmHZTVtTyd2S2lx4rQEMsXYxTM0MiY/SVWukF3NwuAhI 7j1J4BGuphC7mZli2RMW0is9pVqUXvEZUclfwmFUMeQggN/OotefOeoFj//rSJL8Cs83z9ZmFGQn 1rjqsrMHR2npdiLNPZ3KD0S1SUCFtEd2jJqOZA5Oe2wnqFlFLKOzz/bizX/CcIiFNibPF1GzqHcw /y5no2rqg5VGBq5/nsSr4vGoNPItLgIeDFiSPkdRlE3dzxP3VgeKVqH9qCI2Z/1xTYjscxQWs1kU 6Zv9Rw7OoGnn1TbVMaC/sgjNw9XfYZRa6U5+PSOJXpsxXoQVtk5zKA6cog4OM8n5JxDNePxf7BAl IrA8qt7FnlGUXVnvP1dC0o1//m85Eatk92mFrZMRsWaIF2nmbd98sGV0ZiABxs7TCLrVMMXBTju5 yiAxtVPoUUpHhGTPkPTINnYByNQGbTUSaCjWX3rF40H/sfymqUPc5OJFZhRkr0zGbHELtlSH+0af yMZRkCjxbZujCC9CxfSTpUoMuz8mY0C/N7ohA01L8KIk/U9IQ6+ohXaJBUi0ipxRkA21aWyxnXzQ Mjo/wmCLFfppeDyIIMf4+S7UYr7H3WkRXoQyEilRvkF6dI7IhqePZfzgvySboaiWLXpIt3S+tqcs cg5rWKokhpDnP8mclQxqHE0/izG2KNiUe5xRjJ3ECxns1FZV77GIcLJRe6Wfqw2P/ycIJGZy9Xte h4xHlpIz/ZckKwLjCLMcvciRwaNEZ1Go2deyDqHmg5cg5xywneQUAa22GT4xau1QsOoP45G1KnxG ADoSG7SzWstB1bUTfbNVCj8/Ph5M0S+5rIZ+0bx9/hnBRSY9oFapnqnNvodj15J7a0M8xacoCrIH cVld35/DDR/HSMOwEAnUEKLWF/CpVMjMaLl7KZt6WTMKsnsTaI2qIpAt/uH3hbvQySvxkedsqHg+ mKT2vdPQ6K3AxNgkhYzHwXUkjQMq1UjNh8qhzf5bSBUuU16ZsawI+Xyr3sHBa2PUk31QZwWGWa0Q vJULiQyIVtgptUlaRa8b7TXGj4Aj2KC4eAMALymMzXcM4sdf6o8giPR6caIrCrHxoSeLfQrZfbls xgk4jLeGbqKpHK0IMDKqzmt1+vvt9J/oAdVLkdUAFF2TdCQ4AMCDGML4juw3Hv8KC9mJmSwdNDVY cQ1aALzSWq14PKA8zl+raPPqv/qYYR2bM0RVbs1QSx489ta9ttZR/9UMhXiRLnvRkXzwLj8g2FOa GTh85qZmBuVu+BG9XtE1qsjiM6IqNkX71OSHfPCP6qoEwvMfQanA8/8FvAa9kJ6uDtSK4dhkGZVb NxrdVdNzRQJqeBFYWmiOQrzIlgJ2F+VR9t/caDh+Ngyp0OffUM0wR7ZMUtDPyusJjM4ljM8Iqtib 8UxnLntB36jVDqrogVjFx/8lkynlCNyp3auPK6xiM3gE9dfy/T6Wa8ynvqxaC/UXg0KsEJBNmd6e yh08vkYhBEQ+cWhmY6KfN+6ADpQoii/X83jrbf+i0PRKfbpeXQIyUZxsWMqDx6NU9pvOl9EF68j1 bI6iABseKuSCGi0defP4cTmxJzRCqU9zFDIeiRNpnV215ncyUtkOX5Vi9JCMdcWOEYDFA6ksglc/ rzfb/CuCi8hxNUFXBqoiZ5ZFLD+rdinUgGQino/K2PVrloClHbXcvRZF2MCE7IHjnYga1T3lEEJ4 lc7rkQ1ztGJREdaLiAXR4Ayac9vAR4fiNEhmwM2Fo2EBXwyhFrHa5pFJ9NqK4CJUg4C8wPJBATYU aau2Tx94PJKb/8YcTTPT8hmKAuy1KHRAqoIE13oxzwugF1yOfy3HZa0wwuYZ02Rc1L6Mi1af55xu TGUBxxoszOaT+QtX8pPbgzqicQs+JJgjdkNOxlE1GC4LXn8lyQUrNTwe8fi/VxGijZFukXaFnEcu dbiZmPXcoPo/m7NNqj2IH88CaOryrxCRTWwjKow+OMKPWP4t1d59zrXudjyUxYbwyk4XLTKHXjcI jQjsrzK/qADICHV0ws66TQiq4vH3nbbyN6MPpb3RrxDUCiHZ9F+nYZ7LZFZvPLJ5pfCxwulc0thx IZt7LbOryMEztQQFQArQ9LOGoL2yWTZC8QT3FPjw1pyFP6teN0D2UzQ87bp8UNmIMhwKjgbfMZJW n197DYJU2KQ+R1GIvSTgU5pTsNM2aigaIstD7LSWAY5XGGLzWgN43weviQDxkgpVMudZpxUygUCL nFtpIsHP7VEUQzzH142QECqtreWDziOIofBwqnlWPB6so8szciGo+TBDdxRiwzfTybNcUelq06Ao b1NUivdDdqjdJz2xxh4tB4et10V7EVUfNwiDuNUmcqRR6NaYrrUqi9g7CrF7Y/+6s07LQasIpxHr /Gcj8/GIQnOL2Ph3iwS3fIbeQ2xIHRA7Rh1Y9R2lUoP4cStkYmUNEFZNUawqwuus6TTy+jKwa2BL j1atZVTPIULMUUFKB5p3RsvcbzWK9O8Qk12zaGrdB1XWBrjdPJhOrI3Hg1stfxexC0v8685RbDLD YzpNn6M11/hx9WcnQiyW/CVEH8bYS/4pzGk5+E4709RIcQQM4mSCWKgngESdXRTRWzcqNMnFZ0SV NR7YVZlavZnaOQoGq7W19I3H/wtsHZpgX2DjHcXYqalulMo9sIfhavbs7rUOkJWhsnaIFJFK5iTM ioPH2JVraYk5C44ZGt0AFjeAzAFbR1Rs/SJeajsKsiW9gjKyD1bEBruCOfxqfMdIAvLCRIulsxVw IpukEJFdBRC50n3AAxuLBnQpm6Q1XHJth5hsKvj0ke7gt9pCgGqavUhtN/mRiVY42KEFhX4rrqHO is+IEhHhH1jL5qB11EHb5DoAXW3HuiK/iKGThX4Pjnao3Cfryz6crgaMmXGxaltufcnCvaYoRIow D5GfjAYPICuM7gc72FCGTCoOJGQmHdUYNI4ckr2G3jaIjVhUy1mWRR5cgIIgBQL41i48Hokcfdcf scvGI06zI6RIosXzyPtO0XCseqafh3GxqpsW7VC4T6dR4ffn4CXaDCceJB9nFU0c3RSApPJtw3QB 5efd6971tpEOFDkPle0iDirRnvtpWWVt8fF/kR5VWWOJ1qvYOwyxO8REtlmEQALdWmuQxt7OxGp0 fO78O38iRRaBfRyssLY2k2WtoQ01MTofkLt35mr94LNNIJOWwfiMIHbMkuvjxcbB11DqRXna3Hj8 X4U13l6oOsIl0SYorGFv+vD2YRO02tWAasRDkofFrhsnKI6uuXSquCH14YZs6CNuhCSAYwGW0wt7 ++T2EErzwLH21LtGsD5JGus4qm7CezbYSjz42tlueDwiz3ybGUAR6dyDd5OFstidcKydhHHEJmuu b0QvU/OeqcUURHeEEZnUyWBjwAaPHOFcdNJAXvlwSu3ksGfifKAiD/sEj4pYnN2hooiasZPOKmW6 s0qnJDnZfGUOPP4vPTpibbF8xm3tGyTn7YSHgSh4uQI6UN3YPdRLcpfwM1nTMP05hZoipF9BJsYH q4jA2Rk9qELh53nW26BDD46uNVBFQE/E4UZr2wsHtNCsVJYrCbnfj2xjKHnHPA2uEvwDkdjBL6XV 88jAJeoTFSr3wXcnVSn3sM62yJNNBEEsa0FOGBaaxnoKg2yqzUEa1gcvHUHGvqNGBDz2WEXREcFF 1B1Do/yq+DDIxqdEkCMkaRTLt4FrYEPGU0jaNTOfD1KRGx+xiILmCj7S5yk0omkwKt7d1Z+z1DLQ e2zT/GahuuZ2YfhLASJ7MBfJVGHh4HF2Q/0Icw6N7AUzEYJFADdauPDY2r92oNneN4JCTCKzmtJ+ mkEzG8G3Zf8QvwT/QBAj5YuD5PU2uPOuP0aKou1BPdGxh7teDukcUvegTxftn7vcmQqNHakxUgRh Ly7/QTzEuSQAbgKEDW5UqdP2LZ/DYgEjUtOjl0mQLD8lkj4ghq0oliweS57jsKlAUtHtx/NRg+27 qk1oFs5jn6co4KaiLeapG0DLDHp0v24zCj1LoDY/oaKy9lA+IusCDt5ByueaLKWpHnkmLdFflgnw Aqo2U+RPdSSuRH5K0B/h0VRk9cjBDvIKiUB84IYFRAqRI+0bWAuz+HPj7Wvdk8LKtpjZo6gvAjxG 0vGdtui0m8X1fU/yuLYtZLYKJesplJxDr6fCuAgKWoVKOjOD6w9/g4JaUr01ybTtff/C+6WS7qB5 QiGDP009yQ+ff5+nx2xWoK5zkPeb5BJtHWS5UPvqQ5hazFLR1JxT0WESZ0O17rMURd4m3t8YgHNw MNtZOagv8HwaQGywDnAS4fPJ+ODc6OgsLwh0Dvgpf3kcJcDubRAqsnW7Ys/C1fNBteSBaRPTPXlB PsdTyIdEvJhymWL4EURi9COhe0gfnQnXqd13YYmbcL+y1h1sotLZgrVKZPTcDbXRXeN85llOm38v seXG8AmCJHrhv/jZmGUNRq6B3SYp5rQp4fP/wv1xOU0Slh77vigKRzAKRd/kiK3FJwiS6H167/Zc eNP9+yLlERQSEIGvO3jVBH8VtEUG4vMc3wIkFcBCYMsMS0gPC2TvnELtbKIk0mCqwkHrCVbCusDO 1PH5YNfV9H3dIZFjfcLnKQZsK+OdXlzq1Y1pIALgNqt5et1EwJ73i4ita6CWbXD+yF6DMgksdUNU Y9J+Dd63INyeV6ZWvVXgir1wVF5iTlfZ7eagKmXHpSr0P+PM0KfmV9YLyGBbV14jh36QkwppzaR+ 4CGXrEpZyzWG6CyVyeowBJTQw2gMttQ4OGR7nkCzzWUiLaXJepSGog3HMACr1zCTbtg58IPErjPJ qOyDSgPt/Gn5ZqUx+XzUoryYEk4JMq2Rrl1NDj0haWSITNc0NfcwTgn6Et0nCsGzT1SEK0ncdlBL 9sEmCqbDOKoJuF0JvHZmOCb4y914NezoMpJjU8hixcrlg+aJvBKiNFapfD6Sr/12xsZq6ukJMmNb SHxraMttT4HJXMFWgxKJVQnOFgLigNdd6AuJqi/EfXmYD9eWxXoiOWKbVPQCkzB7BsgcGKUtn6bS 7H3/otrmrlCzMSFnoDcaJfDwrQefj7Lgb+6/5GwuJiDn91jchG8TGhs2TZ321irHpeaa4+CC+moK Y3HecmtTIomDTRPKr2dl4zKjEk1RO7PTqgZeHX09Qhtseecca5Fgu43J1cRBEJyVCgGpuDMrn4+C gm96G2CcbT4GmqE75JKnX1o+TdJMUe07MxRnTJCS33WBPWQicRcl3Z59sGnCaVTpsoCq3IlXB0Va QQ9DbgULzXxTFgkk5dAgcqnsZLbQc9qyQMMnLS0n+IyGBpFlfhd30Sqv7dpE5cAg0l00kxhJ1Ejy JjiC4n6lx4E2s10XhuJM7UYfzQebJ8j+ASaYJNQ6t4RLIDfAkxiwoUdaW0FBaBGJ8iaJANsHXU/I 7bie2vlDfD7oN6VfyECoDUDuw+cp5EvKUqPsZKldEwQOMeZOKzmJC6wXpcChSaTA3DWxUMfBg3G0 ds82JBxnQO49UVECYs9n3Q3Qr92/BkqH9sJRTYXB5SCOgsMFvFfRb3JZfD46xX9Xws+W2+2JCd5j cUgkEm0ycvEUmGtPaBNkXNL9HQCe2DxF4iR9q/lNDCkHLxWceUmrsHkJevJZUhTZBjF9oJ+3cZpY UFD9faPLbhDKT91/DnbZLcjucjmlyuf/RQzg2tsIxR83zcApMtPeHJe/R+L8ZU1rUxxKAgVAlbFZ CkviIihlcig42CyBBHA2HSt0MK8BYBYZC6U3ANIowAE5cClNe9+gUMDOU6qsQHFQKA5BAJ0t52Lm 8/9FixxXeFvpiQliWLcUSpKrk+V2NRPOXnFE7i7jrqYYdMLVVLBUNNw+7yJ/m4WCc3fDdImi9oi1 0GLIPExMeGsle+FIlpRBwZJa4nLUCSr3tJoEabTx+SBlKX6K4xyH7zlaCLdSEHpGyudXKkcSKBNJ AMdTvaZ2sDkyMk4ObSOXlG5EXGqj3RQYRfDG6iw0gU68uRGGnjgJVQVEBOjgWmonIFwOfSOV2mGX aeg/xu8/GUsRC2llPv+vggoP7Em44OM8HlbGBwkUS504cSkkuF0yBcoLWwgTlg0qqYTekYPXXM8s kPfsNraQu+uQ1lpsZuJswkl0NmAjmYLam5cmsOSrHXpH0gIALfDkgw5x6iiJg1wmnw/qmOVbCRiQ +zaeulNsHgmAGLzaqh3ihc0GgwxOs7RdaTv3PQfukVJeJqO7+uAoHRgkZfac+phA+BMPRzr3olDE QB1b9blck73wXzJceevOW9DzqgqqV2qSKzkZN/9AEGX+igq6/CyeiYqCcahh7O118T2lXMIGQqK+ JBsIkB+xaYqR3sTCCe9Vv/BekIZZM4Pf2MHx6agdz5Oq4KDLsDQs7Qq4FyrY8mMCAEGpv45xV3VZ k4prWmV8/r/gmCkvuW9zM4cekhspW6prPv42BiHATzY8A971FlQCE0l8A0aXYsKNLybcOWvbahBd o4hSI2wTxH5wzwtFnNq+PfK57IUjQiXpxll2AHRIFolp7qGO8Fkdk38gIsHPr6wFYUFLX+dTaNfe 2NecZrSBFqfbb+TKPquwupU5MCcqxqMQ0FyppMTBGwgT4JohXFNqkz1ynE/iSqwfmgU4d6DY+0by LozglQpzMEIcexT45udV+XzEQfk+n4BP6bjyfJpCkcDB+kCuzvbapTlAbudi2hwA3txpClUCldXt cQdLWpClnFigUr0EfzZRv6OAWlPR5C/7+rbuNe19/8Jc9CExnGGinwWCsSKWQ2WHz0ex+K/oSeZU N3oK7SQHrRPmdQFMxYgV9E6oXqC7rqQ5sJN0/NdoUlFuj4ryAPKbnn3cdSdZhZgw/8ufwRSoINaB vs+Gr7i9bzBNpA6mnbcPxoqD8bXEy/bk81EK/J2ywBi00VXSpikEfxeV58adp+FuSUhRXUl5EFqu oOBv05tO1IcGr6hAuxLlS9curSxRJTh/4T8DVOKHkyTecmgpaQzLrNjJNdJQdIVr+A+RzZnPR2fT L8rOyaR+BsIgn6coGE8kog4a96pAJ792Vp72vBiVsbzgG9hKYj0x96269Wq/CPCzZKEBMkwreJ/v BOwuKysk9Wa0LOlxjyCz2Av/BZNHH9EHIXnOKpXKNZgLfP6fBV/VX376uPIKObSWRACLaydn75Z3 E8U9J+RI1kk4t5UD5XNsLrmlZUI0OIcLKtxnorcK4y0V8j9pVsZyeJbSujfLu73vn+JKnW07DpYC D/IdvC4e2EuqF/TVtkNAMMbTPggNJtG1QNuuXpfS6T2o1CCfZS6lqw6fpygYX0UbjpYBHDx8giZu GlIQAMrIZLpwO7VNQFFbD/u72wtHLDByUrLcOPN0qlw9cSWTO/A7+XwAv9geFBBnB5Gl8ZxPscvk kIpA9XZUHmnZbVeS18jPrisePYU2k3J2Q8POB9t3J4Pq5/CiqMnJf8H+I+4JwfMGD60QBGDoMALo cmg0KXmExA1DCaoflYtOzJRmkpxLz/wD0US17xVFRZ92FSlybDZZ5KOYLypcxtLYeZkBCcsqENi0 gDx2m1QjuDN84nA5KjBo3uLM7QX8jnS6TwSyE16go1wgc2DrmAd2k+hcK3BSIRRHtuT+58kpqH7w Ay9U/oFo73keLLoTCr7z2XphQE7j0kVXNh5RZ48Yb+5kZdXm7ETUyTvmgeOkT1Rd5Q5+lO/cT7TU tgAYighnbgDQTSggFcoMGX4+L3vhqJrJDueS1zQICko20LZWlQVNU/6B6NK7hxR7d1CVkcyZZipE qmyyU4eLmZ9/LKZnmqvOdUBVmAbZTIUyJzZT6w5+SBW22aj/OmGsIehTRZ8K4h5nF8zrQLVStxeO Lj2VntL2QZW6E6mpLXVujszng4LmraxIKw+GHf3Wx0P3SRB6QDAwqRMUoOrySp3q411CHl6pC+wn QTFvKtFNH3yeesvncxJX1Ekmx+KK6nTRg9gJAgIXO1nd3je49OQXLEvlci2V60IQS4TYOb74/L+0 PHienb3avqCZoQElq90INa/eiXwn5xOTo06XEfzaNMUelGIaMuSswloJqgLLJfYg4dKZ0S7rxLCe Y/scSGh49Nu+oyUWPyUSpxQMWm2p6nfe6K7mgSSZzwf7bv6qGBDhuZ/lFNbHWTGYUBERaXXyTxBJ 1+X6ItGT2X3bhTE5dQbgGeSDLad2pqZDaA7brqxCb+BzQKHHtnCI5/lAWAl45Kf8iTj8vxAMuBVl 6pRClJPP/xemWIaaTh9XEi6HXpSVRPoGffHMBdVg2G1QuklkWKUzBfUYuv7UX0rnFCayQQtqosZb KflwFg62CE1NAZChtzLU7WvqtzHVl71xEB2AKZgpW2yDrrx+zkBleQlZXuBH+U30kUMTSD77anvk 0JFypCydAWdpdHNdIjv0ZnlpmKVQDhwpRZxEHZxkBA6OwjiXLyAFWFFlAma/xCpHPZ9Um3b1lwfp 9DlwpLzJizYeW5SCF5Ta6CNj8ILAkvK7AqVznHXWZ5ZCzxwJM0g3H5dlIZWf0wSIioNV0i0ahJ6U y1wqzAg2XRQGzGHOUZ2oXgF5LKXNCUkZyIhAHnqONxRBBZ6U2aepEHrIwWoG53KQPFwdej647n4h WM8HQijmts1DV0qw/9HbXN42H2O783vNlxR1cjzPXQJjymRtTsqF2OA5Xgd8Fewa5C6rUCKSUqWQ qmaAOS9CTIW60JhSiMMTfQuaCUVBfrWKPip76MB78A9EVIT+f87xNh94QeBNieMpkcp6HfPONW11 8QylGoMcFoQYNk+xO6WBerIP9xxPs0+xxxAYVHFfqAbbGlHy7THO6fa+UV/qWubYoFw4t1YZI5wf t/L5qEDuJQPSFiQNda+7wJ/SKnVTOnGMN4t7CkGN09uc85ow5dCfUrNUMyVjanbJmBNuzpPaQ6IH 5wD4DcqEO4CjHao6pBlZJoyoXZ8S6VYunUrTB+26KQd2ksgqn49W07fDeQHDrjzl8dCiEunIHs4S 3wLASeyjFdeMaeXSWgKHSlR9WS3Z5JFx8B4nBGJZDYOSxTlhxyS6nv0GtjHGE2PK4TyHHpWsqJwr TgNaf1KeQnrNFP4HdwX/wPsZvn7bU+Pi/Gq3BDaV4A6wrjFMwgLtlmECROdqtbLUyYEhfGcTFVM2 CSvoKftgEwVPwQWNIMbi51FJNYC/ifZdIxrt7jpKxuXAqTLTcOocS6vcQcFTB6pArtNbz0eFXz+c cJKdtPPM7KM5nEOzSnl7nHTeu+bnOjckT4ZOraLxkxLsO1EhWIUrilrLNtjpdOIaILfalM7n2YeU mEnMPHCELgLspagrAlBgV6kKbC/M8G2w0wl+SLrmwf8J/Cq/kZni2kMQCdm+5unzGjk18Mlowdy0 cBAtXflqCmkyZUH0o8PpNWxqjnsago5zcFQmjpCF/5myH6lDxMeniJjDNR+OFMKm16CpAY2z1WGp PqjiC87skDDKwtMv03NecC9tNylfQqR4AO/VFIJEk6NSSqGHdyGkAKkhhaspNZu5hhaUDbSGgukR k6XLyZuDraCT5lT4/jKfO9ETcW7TImiAVnNFaC4/BsPUv84POixbpcvlg+ZnFULweFHg6bf5QRDR vuaHbahMpwHMz2uk1FzMojs2HGD6MvwwWs0yXlBrLeN9jZOaNzSZmNlwsc8owO6shuaAQAOrwQUF Sgi/lP3lM9D5ru/Lp6jAOyjrzUFbAr5FBNCd/Kd9XkMkTO5OX9NTiQKD6xmn5zVAwvJpqi/djq8R DFDcTXsmj7d5pGJ6XsOjhgIMCb+73eGCeQfsqIS+RANDMPsE0QY6w4x9FWMg+YyPeJ+eTMGYKtpK hWOIgBG5L8DKkJOc3+XzGhtxp5Tv5QN2zMq+fF4jo4bKfvs5IWO/jjDLsPMF6iXuCLNJEKMRbLS7 ti4yqX30q4G2T7BYz/tDn+S8fYUaxiCx50zFhu8oFBt8d9EG+/MaFTU/njN8223QNVahbqBu+Imx X2OiZjoLmp6sk+oErtPn5zUkOk813vVjd2+FT9oBWrNpFEcMyFAPfnnBBE1T82TGysHxTAhd2mTg eO6ATL2zEziC5LSgCgFg0u1dMr5+DYjwYzZe8J0QXg4qugHRqQZKX+XzGg01KYFrgti7QzNd0RDt DF7nB1ceKZkjuUXFKPlR8h4XKlB9f71GQk2yAOgtyaBqjTs/57VwOrO1e261E0KydTIBoxiov8Jz 6Kaz8l6INliRHiwJT433tNxNG5IlLIF+MpzPaxiE42vtrw02mfIxCsJnvsZAOJ8r+JfZNE+9CElR r9xN+Gy0lQ3C9Br/8HRuWjfJB8/O1tmyMFqmGtNZkVS3wJFRoQ13fpL2FVGTKP4a/jRPzmrbdzCa 06ACDfsf+fMa/PANq80OqyfnMGrzzs5rHRJX3iA8vl2kyTmATB8miVul4xlXskTPguM5FelTis/7 uJkntFkz7F9o3VFbg2MGcHAbGow4f9BwMBwc+a2f1xokviH6bnmlnH1QZW1NWdZDiQlPv89Pv9cX JgPL4izWbfPzWn7Eik3M7/s9n6trn5zN1a3Udn7yPA2J81p8xKfLaKnISLC4Tv7J+OZJlcZmB/cs nd5MWxBXAi2vi0SFhX+j09Jr5RG/wTKFoe6Dzue5qqwvWSt6rTvy+srf0eH57QdwyRYdRrGziVaM ez6Py5KDGpPTdZDgOJcwmKEhVa457+AH0An4QUnFAQQl2GFMZxSzFpnscARwwdwmjYFoi0kNdhMy wUFLKEOqSpTLAWZqtMemzxH2JqLN1rtfYe/8OO6NQmh31iLaq7p2Nwyq7IieuOWcqhMuIlYcM2Wq Rr4yVRSNPlkLJYUXNCoaac4NJ8rC0Q3LDW/aknb2eafHNdddzoM5Kwe7xOhW/MP0nI8Hh/ReNkV4 DAHwEHKZU/QeRSfHSrTsYWJW75Z0pp08TFxrXMRbMEe7mmnw8sEPogm3v0Yq+DnOeoeFHCBKuGjh R0+dfMsylNBHcXTnPQ+rFx80RXlpyf+gPfV5Z8ZZFqcpEj/s7LTK7r9aMNFRPem2vi5rt+koovji SL6MlhDfhEkEZzWFgilE6cOTiS1g0KgOQ+UQACnOpEE/Af91HteEMk9hu9+DaTGDkaPS/K2rHJSM iM3FUQsQpmEwPb6DaShBM7CxOXqPps+HUstrruHWL+fLWJUjdyJ/GA3NdoU83uPpbNnqls8iB81R ge1LqokyQx1X52LVWklVP1+TrjAEBGYU1/gZf933dN3DgBq+XfhLgvUw3Ub9LJijbHInzXtqC9zB u5CikLqT6gVlBL/TsGTUyp59OorkfFHzx3lnxNnhD/tJNrQ5eAcEfBDW81GMxdkhLwEgbTrsezMd Kq0YSw+hd0Icsw5Ojyr8HPD/J2hfTcpMtH5758M1U8DTJJFyghh07HJPpDCw7qSZ1OYUpjxcyBMn UvWG/3LP13c+3HNqr3QHz1zPBoMCTLK6EHN8KOdlioxjlvI1okSgzw95n6VWZUshDnjLDsFNZNwx dsz7886Gw1J6Ln91AaDUP+7NFgXXoBcDd95dOKfU5sUPyFY7LQcad5qkKL6GcDACa9YXOXghFv4v Y5FuMnghwdM7U9ZoEEffs1t2Yf3qdd8nabCsPxLL+iN5Wf/8DmmYbA4AblGE7dpwStAgcDLo9ag5 eg+xQQ5gCLltjzGDHU42YSBoojkul/9OhcPrqy9bCenm4NutI5gZIupmii5PgQEQOSJ8nNeOSs5v 70Q4RoE6tuf0wSI/RBisoHUo5kRB9k7fJcYMLHlpd69FUXanq1nPy+FaXUYnqqElC5bmbrfzEYbZ JHdtYH5tsGM7r93gI0DW0knuycNHBwlUkYQiTMlPOX/qbf+aIokRa7ADCaJahkBan3cKHOOr/etm gydE8zT/nQDH258yQk3USUgB3jR2JuNNIPk09NE7+40TxLgoy6xT0I0kk+6T6AOULN2lcfbKkGIu 7J/PwQFctAvloNrzeSe/MQRj90x1onLrRDCk7USFnVAmf965b0zU7onNJiMy2tw8k33nvjXBfMGk vOLUqU0nTbD1bnPEiinn6D3MFkvlPJDYauRgZ9EJC8HrLyQrnVXTifPOtZz5gMMZcq12zyLWGt+p b7jWWKNOklegfWCxbLbOLrF8iJq8U99YGPuuxuIy7/UW89+Zb1i7RQqnxU26Z+rW4YBqtPer63QE 6TvxDe/f2M8Xe7lf9nJLwAfMbqYLEBkmRSc3SDsueoCWR+ScQLHPO+8NERK3Ws5bih1wWuN9fW64 0TlfMAT8vNPemsT2n3wN5B+gS3ySwkhbEhTD5d/PFGW7yoCJsPrsyuSh4Th6p73h8xkgDYDVbfCW ENxH2MBBSXAvZj7ow4IQC5m5xr6QQWkoaPJOesOHMA2ZnZcbB6cBFGXR2Nqfd84bI+30lbAB3N97 ubstirTXFl15TE/YCApkwlaTA0QGCA/KRt4Zb1aXYUlt+nA5ABmnK2nwIOZ2gDpnJhKSMsbIer0w K7uld8Jbk0MyggfyubLrPJ4DEHeKFITS/LzT3XDz/u6cYaaRuNscRYF25c1fU7mOAi3ZDXcu61tc W80VhN7Jbk1Ge8BZURWXg81Rh+hXL1QV3Im/OTK2ChWIDYwo5H9+CK/Fsb30ukF0JPW3nqoPmqMT cdETDXic9XnnurUHWGv3GmBrkw4nnKP3MNtb1J2BG+eoDIdnowRvif+CIIzN0XuYraScRkvFB5+j EyquNaWUB72lzQNpIBPY8MjJdK3wBtrS6wYlSPLfob7ng+YI2o5dOgFpf96JbtZp/jq0QfrJz90f Rtn4zkjN7qEt1WUe2qXYtoP1n4FF33luto4BBeV5xMHOo0HNZfFtTlZ3jjbegee662evnaNoPyaC KCXxbYMpkkd3UZMRF7d87BvdO1ibPsHw553lxnX0vddIcEvr7rWwkE2NPLhE33U0kxfZ9iXbtLrN t+ud42ZVZkAcOFUcbI7ODbZWhnAYkIbnh5uSeQGGvEMlLANcaEW2QdzxO8eNhy7TtU4zGA4KkUDo k6f9zv3zTnHzvOuZowSmzRMhRWF2HdJ4KdVAfYiDPYosedscQTTA9tp7mP1UR+YdNEcwX9o9F+p0 dcD6G2HJPVGnGp7m9UGDsNj/zm67W62Y92u6evkV1zG7IWcff97JbQhCx3eY3WDVCZiqdaujMLtR kAPxjLWLZjGTpXODuDMF1A8s6X9ntjUHG6/FAICDJSLnJNtney21q4FYFLNNjA3kto8MLHCM/Iyo nc8kLXOGevYZgi0hGQk/wA583nltzaSRnkWUTUncZijGgzCHnc0bjqssI0IA82tECOBBrKP2Tmpj KgIZg0wTQQ2+iBYQnIl4mUzJagaZi0KgDW39xcKSOvpFbxtNkdxeuZY4+D7btPcGkLl/3hlt+LK3 eMSzGSnLzH6nvRPasIjoHNzlgGtEUitmg3FW7E47P6/ts3c+W3Ov95NqdB+8dFSBSCoS4YBEDawT QDWFOC26eWM953UbetsoW+u8ywjE5qBVlOVRSMx7+bxz2ZppAj+oELDoavVC7TuTDVPE8JHUR+Mk NyO1FWjguCwXUUHkJL8T2ZpL5u9KfhYHz/g7EobFK62zh7ckUon2GNDamXq2ymhZSfy889iYh/Ay m6Qk18lwiwsCIEqe1/PM1+edxtYeoKNV/Bk63hj7ncXWzIEIv4OL5p8f1q43mDB1n6TaDPvwTmJj sgYkXMKvY4NtNVCXWHkEtjhBRpOVo4UsgqWifKsiBAt/3hlsTXh8NvWTD3YY7dEpVnKutvJ5568h MtnfNxppWWBL2Ay9R9i4I5rasx5hz5Qcpt62S08u2SFyhqJSdmLhCIVGHzxTm4MeJ7jRTorfpkrZ DZqiFagpxDTeEmES8k5da/IHQUxL+igHO4wm8z4QkTtfMbjRfsHTYPLV2i0/vhPX8KEkrpGw7Iam y1silUKfAogMuLLwvA7r2Etlfh5GHLz8mHajdIt4ax3G8kzUgGjD6VMSa0fjARW/89YMRMV+UfZB dexWBJKiQ+rnnbZmQYNtNK65jC72c6lFETZKFGdLGZxo7/4FEmEDqYkSZkWRd85ac3e8qcrRTLxu JnUkTrANbcBK0aTW0E2gKMkJiUY9n3sCgOb5Pj/9805ZI0yNDVqyaQtbBGr1EIbFhP/8wv3zTlnD FE9vGyGKArv0JOmehLwz1thgQAFyusbrWNnD63L9p09Q7CjHd7oas0xutEKwLAdv8593nxvVfeCM 0K7rLPTDWQi20YgvHscFlAE/72w1HkbsGVYpI+DUFEb6HGylMZcdM/P5YKvd85qOjMBVtHYvtSi+ Bgz8xEPLRKex1cr2xlq7ZBBgUG2SojK23LpGkRhQKRct0mmOO6kqdU7y8yx0cAHJ2j9o0KPkkm7d iEy1d6IawxQzNss+GFi20yBEfIHPO08N7/jrOAK+rKHvanDHMMAGkAhEfLVAoHKD7JbEx4xjVPqJ BNoVAh7jCJv9tD2XD46oAZgVlUd1jFqRlPmAFubC4pfHiRYShXc/7yy15uquPck9OA1jm0E8j7oO P0hyPu8kNUOUPlstoy7W573W3klqBIwQNIuWRDaePwT9Ab/eE/lxkWgpIHAVC+mdo8YWM9tqamP3 p4191uPa0PNKlCcDJB3/SqY49eJrpyu7BQCAXjeKjljKHtx0yQN5cJ4GWYCwy5mfd4paewiPtshB 4isXePTOUMNCmuA4ChfK1siWOj4wUtMcFmDVPmwZRXVsoj0LxLd9sGJ/o69JI78fIh0pyzy4QjIC OlwUsnExZTYe3+lpzSVIzg86fNAMLcjt4jep5+T7vJPT/l9JBA0V2pTaDL3H2OcQI+zohFKuckdj Z221RC8MNNjOUUlo6EmD3qlpd6tJM0OD3fwLelskLFXawKxFRdcBHB/qUSeGfMyDSc//vFPTmru/ tLzuoDmC6vcyIeXyeWemtXyNupWqdaCLe77HUVjGJv5xCahm2ayX+mcqN5tFA4BH9jsxjdkskSKZ AMid89OcPavw/I+DAWQ7h1MlYbsMOknjLq6E9ouuTqOOd14a0lnW+Oskq5+Dzlncl02kjtY+77S0 JjmO51qjfVO6acg7K43Xmupp2SPIpJoj2yGleFGEyjqaozDIzlTiTqybcfDSGgzsBqpR1GwDnJLy 7rg0O4TlEBK5vRmBEO+ktCaRLKpxbB80RRta2VxGfY/POyXNsuEnU4MsFzC9PkUxWITc67m8Qpvm 1XEVJJHhETGGmqK/wSKbCo0aLJ2FYdpIamCzxz+aLGFgBMXknc0QxdhaRVERezABgZqWD7r4oYfN AmuDE+U7G42H2XcRGyJDvd5C/zsXjWAlXoBMG1Sgbf0WaItHkMTj2RSFQTZC5xP7oNCvwaaowG2g UauhA1gLxx9cewg26KwAOJsfRsSJvjPRLNfqsOybPmgVAdhdRbTClRaF2A8yi/Mp8YR0l1EYY7OI vUZblvCvVt2KclAynxYBqxQrHLUYjk2MSFZWm4c31TIcSxrFO1EVOSFNZcZbIdrapN463IoS4QXf Nrj2lcdW9os4CAdxok+JhwGYiseD+HF8o9eo5yU3Q05RGGPTpXv1K4EkGWv2HYd6s8QbtztFUQ07 FR1GREGuBwWZ4RsH8+yhKcK1STOMRYoDLsmSHpdubbUQK0Ir04Z6vQ1WxO55q0R7djYeD5r89+In 7AH0nBOEevzYoyC7Z6H6p9bRuZcN+gjDTnZsi3ywzUKhv8fYKu6dPYaapQ221RaVV2TvQvfXTOJR b/R7JWTkhthyBugxp5F3GoTMbdAyGkj9tNU2H4/wxvnrTsPZ0MqtivQowqaG34nflu+0tIwhgtYs MWwqrbl9Qo/AIigBoaaG61+DldbAtj1h4qQkYgIuewmZDFgxXINMsFXGuFTL6FEZW9YupNvZwDkC aGxW8RpPGtKjCDuV+RVhj0kYxGVehRE2sSKTh4cqR45hQ9uxW0V7NcCJeWL3qIytZggK1j446OgE 2dDbzCZRU+jHeGbj3CcLTJ1ce739IqKOehRjoy4HNjoufhxCiM0ZQMJ4lZKk54cteD6gN/7qqZ0I BLoB2esiPSQ4CrvGmirhfZD7VmgNRI0xiVemRD+i7B5F2XOJ+SDh7Xn9JRIs3jv0DYioAUhkkiIC /vCCN+QJFB58H5UyehRl090EhZquweqtkO4cPJ1663zH4NBO5TtXQ1V0Xo5jj0iOgylTK7rvT8CR hXo8Z6Bk3Fk7atvykB5DRbSO0h08xobbBhW5cGQvaLcT2w+9tEEH9vKVh7AC2aNC9iJFBHufAzYV d0g/ORp0JIA52hPPR/da/VpHSEBHvld/D4PsAcAGhXYVQIqxRrRxd0oWtB9M376HPMcpdCjRxhwu R+RkWFM0mjYRwzMahw3RzxACc5d7HlGjtb8H2dJdhymQmEaQ22JtFkcTye3U6Nh4/r/sNeydBkUQ m6OwlE3tHpDsrCxChzmq26M7y6IatQWZrOHQ/ruUfU6f6cPFipyboC3haU4uwGIenKvgF0gl1jrr o6c59brBoS0yemPSz0EJ7Vm5PUuCbUw8/l9YIhB26fkm/f09zEYmwvqatFfpuSzkTKWaAauzrEFS txCNtR6F2VvarP0ZbI4q2Kxpc7Ox/UVb2DypXNMpoou+pDlP0tqtR7XsyoXUpcLGQXgaUH2a4sL8 v4x9WZIru3LkP9dSJsM89P4X1nD3CCRLyqhz22SNo6eXZBaIIQYfJh6PKLPfzccG17T1rKMIkU3J wXM2dJWJoPwwrXYEcQb9c9Czk5JiPYKK6F6DwrQGYlt5r53AAvZCQvaf+LuzTEvhlUXnpLLuiQ1t s4oviYCi1ISUQwkHW0bnNJD17wkm8HiwjNZ3Cxs9RIT498gO4+wmZ5Lt11paPL5huJGzW73CN8nM OXtUy15ZtWwSizlczBEuZABlwAydC/qHmCR45C6kAgyurQRJrQt8yfuB1KVLt/MdNEkQGhT18VwY eDwqHn2ntADG/NAw0rjXUZxN0c1UZnXIMf8andojbSOKQjrEyI8jKmaL9biEPF7u+gTxh4Ubvknd AIYr2o9KlVoRYFMUERPWHlGgnalr0DZFIDio/Xg2kSLKc6xlPB4E2n197zV8P0DoNkVRoA3MAhhZ dVkRcrXm7IeRWBmB1cZcXjwaYSnbhLFMByLfev/aQBnhY4ETZTsYyk/wYPoZC8WrdllrhWry+JJg jqhkDyUbntkn/2DqXABBoR06nEgzno/a2N+1bDzfZ/F8bYSo7DQkHeY2QKs3v/0nr3ABjlEN0CRF 1ezMhC1DBZMDaaps9G/g1bCdWT7abbPCNitb/wgXx5Xuw2U38B0BTlSmLSpCZsGHMUXFYeAniO94 POqJfMdH4DDWeZl9IwSM8F5La3v5KM3u7Md88X1jXUzNiMLspQptEmBEULLBrdZhJ5mlR1dOQLbZ fms4jxqsBeiOa1c/9P/xHX/RjJEn+6C0/0Tnie7v7RxreDy61r7r/cjYW7352gijbK6iRB14K0LW 6UVIikRJZW1kaz+OKM6eLBvNLc2nfStssIequdPyoA/Wtrkpc6FTCsoJM13G2sRyxpdExxHx6oVq Bwjnf4wfBG4LmR8jl4zn/9VbY0yNpbsun39EcTYombTWqHavndPCqEaALdo/T0TgWNoRBdrS6zn3 0vDhYrIzdL14r4HVtei0hZx5/lCmCcIgXswmoX+EkGxma7UwF6kymca1BgIN/8R6Ygg8HnXW7lbD omFKm24uMkJMNnGiQz5tEjXM1i3K9CcwUcPsyciIMNnFKv0sanO4iX+mRxKvtYUSJTntaFFCeoDR 4APJrnrbqLeGwGgCzGWD9lo7vyFlatvuA4//qyfCx8QouHstqmZLaPvER/XiRLtzjQj7ENcIaCRt tSjKlizNyVdVr+ULZ0ZHYCl3fCxW0Uk7isT8UH5uRNYgW7MZQoyH7wiCo8Wy0aI8BAcFNJ1qqz/G oB1hkN0dJzoMDgjw0b35wyibaIhU901p3QMQUtCOpR0wK7A5isNsdq+zZK/ydR0B8iTL3NgiyE1p mp4oIAYRdbht2ZG9GUGOMMzuso4i0i8VolxFyCqVks5Aes2FDwjy/ltkk3HZBBDTqY8jrmfTWl3B tc6j6nsNtDAvH11s/4jr2bytNg3bODghi/o6k/LGC9gkKPKdWWJZG1xUkmes5r+3vW5QZGNgBF8X Dji4+Jet3ocIEOdGKHg+Ko58Y455vO3pS2mG9exKjbVOpAjz22HC2XCXR5ZPWzsmVUz8Z6jRl6wv MnzwTjaI/TZJDXGS0pYC1b8OFMgYT3uNFNoZYkZIxe6TKQkHy0U0b5R0rng8mKLmfZHGNXfGfeFZ M4qzqxYsufRq9sPTXUltFrZ2U0iM2CNMUVjQJkALVCwfbpx9jo5Vad/a51k5ietoLXRQgLBc6anV It7Hd/xJx1o8mDgI5bfLJmrzTFEvePxfJ1JhRK6quE9RFGUn6R6AOO6MfpPKOllW9mtt7GtxO0Pu o/h8OP1tuNCj3c5uo5sdFOkTnUgyALE/C9FJIdvfpB6pezDjerZgfm37YKWRcy9Ig/b8GHg8mqPv dA1Y+1EvZW1GYTbV02FzYM2QBO0yq/kD12hd2pm6ESBmWM3mFgOp2Aev1XZgFSjR36F31NCjR68f XVqkgS3d0gj9avEdEWSEFbZBmB8HThEcXnoR0ThlPB5RRHyK2PQGuHvcCtuMwuyqDiTtu/6H7qnl tkWwgh0yguI4T+wZhdmDNjVkAtrgFbYFe+mkDiTEt3Svnc+sP0SSZCr4muIRcdkzZD6qS7tFx9qu +Vgn9AF4U5Ve8XjQgWy/mEaQ6O234D//qmZDoCY5ZATlF11lbsWCwgi9ZTRHEWSkW5pK2joH79LC JJAq80DUQmWMfoipo8oBzBOOJY+PaBs5oygbMgmIhVQ8Kl48OlHv2b5UPDp/Dx4PIsh5L35VajHh l4o9I8wI5TTp3nRL/hczkvb0dG1VCoxgjqJqtq6qmWm4PfPt0kJhHWqW5PTDra0X9pbIU2ug0S5G 2WJiU/pxRlF2otT31aqZnvRD7J7QyxOXNTwe6Yukr0sNqKe6xt1qIfGxSwrKTI5ORMd0nVNUl/P7 JgzK7TQK5fuKnUbNBy9CnmWDEliRTeu5HYicgJoD6FhbqlBe799626i1JgbNSj6ovgaMH3dKPfkI Ho8SkV+1bLhHz+dSiyAjk/D+JeEsltrmhfePdh01x3SZmhlF2UOraLI8wsGj7AmzhymF5zORmQrH 58480ekoQEqj6+7FbCpnzSjKFj2kLt5tFX0W6V2dbNDqa6vmiucj7NF38QiCIRWSkzZJIfGR8Cxa WLl0VnGSMXo+dqstdO+11WIZP8GzWPjn4AntmSGqgbC+BvtoovxqYlqKomZ9rjWSMfAl0V4jr6+o KVK8KQJT3O68Pr5jEED+LtQCZljvtbaiGPtsIkhf5+Sl7LlN1GeP0f00IqmN62hFmBGEoDiqpQ1V v5iP6Vxgi/2zDrpIn0SwZcKH0Vtr147G6PwrxIywaNRx1Nlg9bWTEFYh2GrF45GQzy/hrM3L39tG K8SMCFVDhx1N0erF4sfBX4lTNGA5pCkKQ+wu28NSffAD+/z0sLRn6ajC0IBaPwnq/1CG/C4dAY7E 74hk/IjuS0zYMkrLqq0CbTlZ1+i7FjwfQdjm14mNY+YLebRCNWzkZeA9eIx9Dh7H06Km4ZgRwE41 RxFmZChToxY4jUbwqxIrmtATHOL1NXArudIqvghGzz8QXb6x0QlV8B1ReS0JR7t8EPRog2HBTK0t Pv4vxUx2cwc7a3ejRSG27Fgh+ukZvwQiWF0rThg5yWy36toKYdl00x5ThcjZb4gN2n5m4wts/nNu oCqOMi3htMi3+11GwoquuJJNBKSqtBwMCAk2Nf/suisej86iGz7y4gfQk0APm6QoyKat1gld6esw lM4mw4zs3W7xCFaImqSY+ihDbbb6R/1q9c/W9jTM8fnIk2QjV1uTyT5/JADydWJvtvpXhBnp1qOV ixgSUjHMJ8jeXAkA2+H5qJb9HWUjnIGujU9SFGWDE38O5yUO/x4E2MsupKx6T+xsl9oK1UXEaJzS pp3ODoWR3uwVxTpVjjJJQjDuO2tmwYWUYGx3zSSEbUWQEcFqAKf0QUF2P9uZRy70cPB4hBjx4wiz AV+JjpqpzVAUY6PWCZidWfVlSBqb4BpoVG6AQdFjzVEYY7NdNATOGg846xymELIGbu2k/LNDb4/L SKcSDtH2QI/ov40vCeaoG6ymaigKsif0n9lD6ik1PB7MUfruYuPm5dFicxRyH9GPg0BWciu6nK8+ XSWOhBEk/E7Y6V8hMJu+RGfFVB9sq+0MGZxK7PpZUaS0oSwC8auTJxdX8hFiZA697l9w0VazDeVH yCNowxIQO1BdW1GUvR5GP6Ojc86MUe9Wi8JsqOHwwn80M7t71A4qhDGCbDhDpJMdhtmVOkdJbhjp GrKnDYcqAtfQxi7nts+0hgY7dCI7g4yZtWgLu4j4kgDhv+Rf1JIPqh0NwLIEOx58x6DVP35FkKDz P6y1FdMfiWktV6A2pyt3tJf7+MLP1DC1K4yy2Q2BpqEPXhjpmIQ2BRlBCTtTygd1VdaYcnu0xXDi 8HWj/qPAa0xsOehAypnM0wQCesXjweV/cccMFFCfaFfpcEdRNpyaz2SUCxhprnZ04u/t5bVairVo d6yWzfusiP1YLvsxtwUWcFcJ8oTZk+HBSRjOakIm+kNlNvNaZSF7R1F2S9LxK3ewVSR5IBwxg49H VJp7HFFpg8F6vorr72E29DKxdmT4o+6jogCEkJu+H6qvAXWlOQoFRtgx66L29Uvtg0PvSicwghgB YNkt004MQKxzcoFQA+Tuvdeo2b9DaDbbj03u4u26i9dx1pdAw2sPPB6d2b90DygusrxDu0NodmcX u951tNOVFF/JFeph3mxbbYfQbKFqZDDOwecI4vMnciRjfZ7DdUO959zcaP8tVr77BdWg+Y3viOpr PKslV3MNb2EHvVjghW7/xuNBYSR9K4oDjv6FOd4h+5FN7Mz8Uyf2NAdDVPtTccAIG3CcohgwwjBb HmGjP75OJ9kck6IyqB0N+mLh6q/AzyC5zRSDsqYRr7Udxdm7SrhXtr3NCgvlzHzd/F9OJsJ3jFSO v6VpkdGy4mpzFCJGJJkp2po5rxvYKJUHVLOR8mqOQmS2FA/aLD446nies6ZK43jAnU1F7wLSbwNJ BImz19dwf+M7olSEaVpV7ai6FeaJHVZTox60tR0rjHxrsDBiH7c/u0P/GSg8oWeanW+0LyUL2aHx jQbyRU3R33gRZiw2OKjmLMxGQSX6YLZVKkuQi6+YCBa6uOw19LZBcMQzlnbu/XF1x/5sQz2jsx/w +L/k6Shckamw7AHkjuAiqc4n59cUOXE217K2U7Iej4xQwo+HERrUPvhOy2c5N+wKlI7OsTGIcs8o 9jdUU2gubh3s3vW2ET+UzD4B/TioSlubW6jlxcejTO1Cs1SJQ5m230stDLJp02PmKiCuD+fPnP+Q hxEZWYsCCJ0fFLXViKgZ9Q5WXRvwQKqA9+K8hoVxFlAUbtTYZLtesUxCKPAdQfRYWBZpEs1qLpp1 fgMZneJjNh6PdMX6V6oGsw7a69gMxYXsph7IZWQlO5bQDumuCDWAHNEUxXARsdWJyubgPf5Rz05b EoaoyJcZPRUko9Cs/5n7AWbtqbeNziLa8m7KQ3DQFA3omClorgOPRzSaqy9CYAmQLfmq0+0owm4I dZK8AThH7MNqp0E03XZaq9VAkDsCi0weMXNxAjjc8/rkX5OS6id8IZINy6jAErvBjG/VJ+NnkXaH +iJFLHXZqdH6iFfaySlVOjqhwMDj0TK6/AeiWM+UtYeKbeCct6+leu+oXZgHImqyyR4UdgIIFjl5 UDPH8BSLZRNLIxVfDlYYAQOU1s4IICuQI5VC0EhHTxqK+WjXbJZ9DX5L4BpGFk3LXFJch3KSafAn IiYSiF1+wL+Mw9ijPetgoLPiExUq+RGaTQ0rTRQ48pwoREguC33W5XJ30BRL+cl8Xnbg1c3V6u4b iT/qRUhq4ZK4qFcDHeoTwYOVUsoV9WGkjW+JEBFU3V81+yDIHgQD2ftHe5LPBwlJ+y5pd4Czv+cp 9KY5v8he6brNksqnJqRQ9Ai7z4nouuI5hejsLoiWmPu73QIJ+H06qlFEOrcSoSXnNwAPEox4dvmv RWi29/1LSMOT/4JYoCklAS+Ie2nCRjVFAffIvxDaEGQB3srnKSpsw4UJ7gauKQpp4uocNmlrUcW/ tDtRodkj+7R1p+SDY9nqCbiBswE/CzYH1KsDP+tMFJKJmh75TKbZ/JaINGIuz9kH5bdpVdmvVPT9 8Xxwis9vGBJKEdC4vfMURd2JLVuFN4RqTVr7/I8CteSQP/aF7IAKXWoInRlJVcn0RRntjTrHdH3M J5PL00wN68+iNCr/byomsS/Db/nLNqNqnuqdp5PrQqYTX7jhCZFCDMkv+Rog9n7gdHYnKqxvVzUi zQUbIUEx69m0Je+7WWOHtqUmKi5xs3w7hNgaD2Lr/MIwDad1Bjq6KOeBzAYNoQUxsoyfwUuTadsL R2w2qyVNHzRR6Zynapacf/L594mqj3sGC1Dn1+Xl5RMVyo8M2KvLN5xxZqb0KOBmXanuRKqb2VHq +qQgLuiqvJXtg2+8CSzb5o2HxkmftBFtqHBv9P8zpUnMH+K+71/ybFkoAA5CSJ7tI8OucuaazwdF k/mrPjl5Qz4HVChB0s3HR0pRGXpIVumGr5/ApdA32m5lnMJSNyUhYEXng114u6eM/5Gd39lqBfne mRwU/jLN8th7YwBF9DW/JVhPBG0VqY9C2l9Fog6SU1Y/oDQ+HzUofxmNTbKXrhtLCkPxlQQkuaJI o2SDS3RNGapLE+Bq8/SLdEgqk5Ap6YOZ11X86agoZzpBdJx0pRAvmNmvZgfh0Wgzz+cUi2nzpstS +8sOA2zntBiEr56zcPD5YNv90vxhEWeX5xyPovEl69XWvTTQ+aNwmgDpNuhNoZOTpikqeOtcavLT auNiJk6EDcKFmWlAxB61gHM4FVZzsTwzteutDFfshYMaUxF4e04fVKqEvQtjbByEfD7KfS9sgma2 Zx9BRc4nKvSIBJ9kb1Ej2zmo8tpWq6zDKyhjADZt9ochtETJb5VkS30kWxYgXSe1oxxy2if2Z8Nb WQuS34zz3E006ZGdA49ILAdjbXUfVCGAIBw7TJBg5PPB6bS/0SVQrRjpWU6hS6QqTWlNX04pebHb DBhUsKx3OQU+kdKLI3Zi+mBFAhQpoR/E7G6cu6VnnWNUICusQF1JO7qO5NgnMqvIJCqA88NPwEmH X0bjpfL5SM62/zqcADC5fNL8h1Mke5Qt1ycHtr7JuY2aiducP3pYKSWHVpFL62hKK4kNBzucwKUF +kDqNnMKG8e4k0kwImSfpuLvG0wT6bZEhnHwQn8DtlpneB18PnJDun0mXv9sDsxnnqL6t1rfRuIS 581LThkNI6/K0RRAyymMxhdVgHAJ2ODbDuyG8/8BZwK3rZSEsiBRkgps61HdYOs7B36Rin06cIfT B2FxFmyjeNycGIrP/wsgUHV0wvHusWZ9D8YxTQwESr+eCGtUL4ETdcSYAPRfn6aQNSng22Znl4MD 384xdPKURhguypeT3hIZh1+jGsDIN2mRWlLOoTwJoSbQ+9FgMcGZ/EktfMC0Np+P9JJ+SZGjFtwu kCIHppFSNr4q7ZwneSJRsB3oBxNMSs+2i81sKGpfV/HBT6eKKpbOotH2hrEzC+Fb9xbgQ4/WtoKC 0DYys85bIdRpg66ncTJIYiHa+SA+H3WdvosFDJ0BHvJ5CrmTeCw1elswZ2nC52yJbmbnc5VsALgc +kaqyYZqtw9eLYB0RQKSBU6htULMFe1LhIsDzDWgvu18ggC7vXAkuM3ze9Jii4OD35f6l7AZ4PPB Md6/9begCNP2kwSHzpGUND1Ltvg8gWvlqBOIPUgHeDTXSs6BdeRJgtUDz8qF837QAjUBqy7nejCx CoiEKDMV+COcUITOkQoKarX3jeCUWEcrsZjJwdoGODuocVtT5fORmsv62nZtIxTvT+gUheJYA1C5 dUr3yZ299rS9tfntR5ID80iVU09KB0MfGxzejXBmdfJxTrCaIHmPjIXFXqy6AkSTA5jStPf9S+Ke TT4bFIoXCOvo/mqFz0fa5O3rFEelqMEDw6cpLIwnKrqkx6wVripWelrzmrUCfmjzFDtIyhmRbbqR v7TKepIvIrVuZ6uQ+IQ+OTBxkG/NPExMhWsle+GoC8VaSha/O5tLNfTJm9L8c8c3Ph+kLJdsyqWT 6RsH7IvNVOgjCdTEWUbs2LNWkOUpuVmydkTciaa3oU9zaCW5usztxvTBYYOgFFE7DQJBsFqhsAsU cX4m1yH2nHI7IeJy6CU55xY2t/yY4i2vOwDRKXSA3Zj5fHTdfZcKkBZ0UPt9muLaeBZaEC1Ls9zq qg+cyxqENDYRWH5USSV0kxy87kAr9MEXFK2YJjt2Z5qgfkf7X+hMn+PqrHrUM40IJ7Pt0E0y19d+ 1JmlTcUTnOKTzwelzF8tBKDv27hQnRzbSW7hK3O3U/zkS+s5xZv+uU6A4P6/gZ+kt8d7llJ5fjDx Jy+E9BqTk3lWKGpaOJ/Qz19AMGXgHq1CR+FRfktUodMEycAdbvKiQp4ocy8qKpyjcfMD/oXXYayF UwKwQ5+oGI1CgWCsP2J15xouFNSG0ZsQPbn4bQ4sJd3AtddcfHD8IBSV9mDJd6MeRlpYppttzvzk diXdC9sZ/JoISSBE85Lq1LJ9V9dSIo//tPP5/0LUodrkvvSBHNpKbuGu8/Iwk8IrpstJkxRZlLGG znA88JW8ErhJVO/0UL1PdncmcdDRBadHU0BKwsvZkfRI27dVPpe9cDBPUubsJDQ30B8E+soLNkbE ozb43AfWkt9sHQ8MWlrPOR7jUoTazdtBF2sNpzQNc1ME72t5jS40l1yEyYHW5cNFyK95ZmuzqnKy FrTPcUAVeY2vHzQGLo2g2Pv+LaxEDQoOuvB6P6uWRZVeG58P1tO98OS2BLO0cQOD0F6SMsBpUJeI 07SRTxvgcpqbMpKQkX2aQs1ANu/WbNkHR17gTsN5TokuJMXkrDae7GiygjXh0kpr2vsG0At6uQ0h wDmoRFfP5xJaeKZp8/moqFJ/neNscN3KeOgwiRMUvIrrxlVX89ITJdRNMDjdXReLc8taklodHHzX jbTR9yVfF0tgkkOX0FIgDCdTVghJzS7ZpilEqKi32Qw05/LcEF8dPNDr2pPPRwDnb60O3PLUMvZp ClHg7HACAubzRP0eJcFSgKUvRyPgoOujIpYcqwSd4fjsNxwv6NHC/EYqVGuSmQbA3CZquwBZ4oeT BN9y6DJZeSrRss4GhYWAwfBOh8cWn48anPkrGoc5ws9A09Tn6T0ch3Rk1+F0DfAIihEibPopfvKw Xnw9/W3ozsqgDR4WzM41JRXKPQqL5yc0Q70NKiZUKqLxPaLMYi8c4eU5UYtYXg5aTxMNHCbBZ6r5 fFR8+iW2gFLWuGILOXSbnKJe1HkVlqdp5MK+zJsJq6PSrXkK/SaNLpep2sHB9t25ftq5eidpTnBZ mHTxaLyRQCug8ro3zLu9b5QE4/Seci2dj2spiJBZBmaojAeOk9+1p8ptB22zJxgPLCfL/0tJUN57 PH3V6Nq0/xTST7cyHnhOnnyaOOeJNr0Njnuq55NmN9MgWGdno8pnXMqs5KyHCN7thQNggbzwpATA wfZdR3mLZbe5+XwEVf1VGkdcMp7j6Q/fSTbJCQo0OO9qdtnBb0Qn1Ql9RvJ5ihW7eYUVQuk4eB/4 /Lc7Fif7myfoxGKf50854fuGZWchDsAAYgTR5dB6ctI6IE+yVTJPm6nsDipaUlvsmR8QCZx9B090 y2xP2hK6T3bC57P2nbDh3SYqK3mSygmBMJqoMBwnZLXVcgcvq5z7AGUCQsQWTAVoZ1ax/TYNnqDB bW7B+75wpJejaq9aUjQ15YpaCVKz8igbmR/wX9Jg6FJ+NxH+cKCkukB3KnhajIfJVR3d5+xEnsto mDmwoMREUda806eUg7c40YmSZHCf54fP6FycuBMiPxOVzUKihqHo87IX/kMEHq7TLP6yrNCUCG/5 aLJryg+IwvHvRFimvPuZqCgcJzQupTmSQ1VmNwgGzNmWQ1XyhRaETpQ+UdQ65+ATtQYMInG69vNr N4gkY6KgWbOoaUyfd6P2pG4vHNx4qqlvijByUKFugMpA7NNGXSUwo/xlSXUNO9sTkId2lIN6Z3lc nQHA371SRy8sKsMkxA7KhAM/SgA9WalLVDof6VE6L5DBgvQWWWIImgBnyRMSsRPxC8IBlz1Z3d43 KqzI8F26J8mxTydh2KysA9Iz+HxEo/8uaIL810Ai9GkKZbyZt/TUfOMVWQhTHGaa7ADUFfqdpjAi Z7OprXkHh6oMAp9IgD4TflYpCwYVlbkNvalCkSZtvLWXvXCw8YwrJkPz5aEBdDFFP8Q5xeejULN+ p8EQBssPRCx0pTQ3agh5GXkVgbWwdCNRAa0qDU6+76KIfFZT8+o+OPngXGNQ0uGVV06qJ6u8jhIO vBJhlHuNKVmq57dEmEPaCojpW0R/wr7LTdEXXM0Xnw8izeyYQ7ZHM6AvIz+xQSiBQsMTij9mLqi2 i+nmZTJzCycKrUoraIbmlHJcLpUgg1KzL6gFfG9vhQxWsMapxzrTBCMDiJgB+km/jam+7I3/Cg4g YusDZ2qCGU0Vk5qQ4wX+lACLXBgGlxQQrPsqe+bQoZK4c8h8DKNqTJfO9fodeQhEuzI2CBwqIYbR 6VCFvrAGragKecFzmrPlAt2bKm1P6qxUFGmhuuUmnqTV58Ch0g/yOuV3NnnPE+oOhyEWNIkvCCwq v3M8galxGjyxZmhRKcnqNIx0cNZQ7UZGlKiCo1Wyx5qhR6Uqv/9XkxHsaFRqpfSx+6qNSmkFOJ8G +uHGklKGN+77RlIf1kCoPuj33wC6EF5Qh54P7rtWf4XkmWDzO01RSF6F9e0pXbRKnjcTdhbiIs3L pikMydnAm6r/cvDzacFpeEjtI1MJleAn9O5aYYA5L0RMZbrQqFJ+p1eYEWY5/NMqIKxEwoGlzg+I lPS/YRg4xxvrqjZPobw3l9OoVwEdDlw3IjfFHaBVXN47/2FWSZDYZDuYg/PshgRWKIG+Ycq0ELRX 0rewtDohh+ai0+19o7YUWWSNu46DMmF0OoguONu48vlID83DArHHWfW7XfPArlIFKDC6XQ8tAVYq OYu2irvErJo8KvjDrlLhQFs+WFQwwUSosj09Z0uDViMB0WjpInpgIdISYbiI6FuicJx5i8t9OdD3 TPxusogbs/L5aDV52iKRB2hEXWW9HDpWYrHvKbmvjn+Zui7A3cMKm4PxhWKCwLDyEoBmKT74LJ3g 6USpaNB1lH/XZhrcaf8JfZo8nhhTluc5tKxsLDulwVk6n3nCeeF8kwmE/8yVGz/g/Qxfv7I7iGv0 r2ZL4FqJTIO2A2m5yE4TYQPZXRru740m6PCJCpmbS3IEsjgrF+d7TvBzcsLfkwBWCKeAeZY7qk5r oa3C3q3tOorH5cC4Eoe4ZIfz9kHBExweBWA9q4PPB1nwRRdgSnJHMeg7JAh1CCllzdTBZGSa0zTg gWSLa5ncNycqAqtUns1bE7WfiYIOEwKlRTuGgXQ1iSiNDA3ExEV8vdR1xQAK3CuRnNFVp7Y72Om0 25Qu1QYBKLCv/IZmMsgcEE+sVyTl8xo5dUyTuQwnP55YFZSW9XTgyiQlgcfTa+DUgXzi2S3e3bq8 O5QsTjQGqhVXU+LtjUnCbQixXQiAPTQpBE6vYVOHaCc6CIMeuBpU8e0nwCc7BBoRn9eg6bzgXlpI XdxErOlzYVX+J+eHCeYHxUcUKXM3GeuJ7F4xOOESmctoNVeOfY2YuveAZxe4vudL+0EPZ0KoifrD OOEk/IVUtQPQkysaMPJnMFj96wSduLJTxrIRbshBEwT/lqmAaeHptwlCHJFsgm4fCqhvzc9rsHQe 6mRuQmbM1k+XyA7PI0qPSa2ps4iS8EHB+umKuFP2wRGGGx2nbJpfZ5NBpp1uMgMlDGyML9sBvev7 +iliaw7C5jhoV5w/k5kDUqD2eY2SOrTiq00PX6zj5ifMCV/5GiN1aa+j5bS8vJvmBdJzj7oFyjI/ xtcIqYuoh9BILYP5TE+m4yxQrwBgTligZAXckP0t+2fsqx3DbtLnNT7qiG+y2uKsnoAeKmjEec9C 5TtSLz+v4RH+1F58f3Ey8LOXZPPzGhxhe52gFNgj74jntJ1jPwi7l5gFIDtyhg1Wj1opo6i8VEb3 S3/RRAAyR/hxzyrK++H2QBfl3NjTd9emnu5rYNTlyImCrtrhTpgtDbkXi0LtHESf17CoC5zx7C40 t7tKujRaDaanUZpxtrt8VmrebOpyikErHLZBZnkazM80LWZOEwc7nYFBzkVycWcCTuhY6QaPvvIC xg1fdHuXSS/7Pj/IPHDFUy2Og8puG9hpdlBOmPp5jYe6Sjlf8wP6lOIhfOVrNNSN3QLtiitd2T1s BAve5KtWE4sOfhXR/BDGNUdLPngr7pwNaJbJ7RRlPPL+NzSgBs9/tHo8oZURQ7S/GAJ15GEUQDUc YIGwbRI+p9f+eQ2EcHpNX0CSrKYq7/YD6DUMwvmMDUZnVResLhY5AjpgaPABuJBJDYfHszS92/bB M9lWKpRUCGyG+9ashFjCFYZJDYreN6omW/w1BMKPMKk5tKXJvJ2dUpG+duuB5M9rANQFibEFlDiX BRCmYvPzWozsiu1QExkuM5xq8T5lLo9HFWvCFEALDmhZU+Oo9MHu95MAnz2VGE0vOIVs8sTbIFYX JxDazoaFo1jd57UQiQmC+/s5z2SX2z2DnYCySK26QOsvmKBuHUrtMLgcjN39gH6tQXZhgCig07zt tobLV0zl+yyGlGSwgNcK5I1/RtLlnfLV9GT80ykLfU7os5ZolJPR//5ZmJoijWFB4Oi99Fp+xG+w hKiU+Nl28bOzbHqr2QtGr8XHLvOGrxPohKJMDiw+jAJoCjimsdIVG8rdqyC19u6cHdpeilEYzRCb SFMMsPkwwDKstkqlG+7ZVSgciVCI32YRi4bowOVzm5QGoiXEssVUOjZvOgbHaClAwaPy886Twyaz ymOnMyVKCQ35ts1RFEQn6sTknnVhbQQsFgT1XQ33hi6GQ0vCIHqKp0NhTw4WBUEmET7pjRoDBTYe Zt65fhgtISjwzi1IuXzb4Jwucu4irIvDvcco5fQDGPDnnSOHEyWlrzjx/Kk/A+VQm6L3OPpkJ5ON tf7gAPq0fZYqjUQUCeUHGxjM0aYy7Coj+XCv+lbPn06aM9SG6iAhupC+ha4VZfMtz1BWH0XSXb1a Kkxr0BQVVNvF5QKh6T2UBrHiO9M4JwoyseVTFMXSVFw4CWN3rcoHbpN2ny7EuHe/CIDgrO40yRur 3MERJeMc0dNsl2AHgmoRQkZAkDe+f1xbyrPTkl43SMYoBT/UZONg2eq0hL4WgEzDcNrgbnZag5Eq pUEVOYNlBNtpw8C7yOB0IcYuz1MGjPO2at8j6qxiY81iSnCwRkjNQICWynxsQQCLKw3iFT8g8v7g TxUmMBPY93nnxXU1EJE6Jsl7TZdgPuGKJMFgw40iWjBHOX/faBmaGEYg5CRFUTUuGFxk5UJJaKQh 6865fCENtA81Se9hdSb082yyTc7bcntWtEEgLH3SCfEEzr1NHsXZa4j7oTOUAcrwiixNhd5Zcdgt 8hQYdCXgwArPPlly4aqiFdw7Ka4/Sh4+SWdxD5ycNklRaM18xWmCXQAupzGdgzp50x+5myYpiq11 aFM5yAbbbbDIQbwoBZ09V+vy8QBhiwx8UkctN8tTr/s+SU22Qp1ajNVNHs4vCH8BJmdnG3/eGXHd ZCaeEwknIiIOm6MouF4Up4B3hHf8QZtXbT8TgKWO/3LnpXc+3HOx1TZ9cEjShKYnpAPAYCq49Gnk gU02siBJ7uCF9ESv+z5Hg/WP2Vj/4KAS414Q5cf3TUDcogC7L8/Q2KKE0qDaRPzS9wAbXEN204iA Ux12dCdXtnbNO0/K5SibKMJmclap02GDbbYKV8tZzAeuIxoVEQxXHajPTM+sXF3sbd+nCAigcxRt GgtyMBQgEkHVfSCb8x5iP4h322tQGYVyjk1RFGP3Rqu8Nroto0EgnWpoLRlFYCUlaZiiOMgm63vX 4YNN0Ra9S3RmpBmJ1KYGvwEIkvzskp+K/tTbRlNE81ezgnWpvnNHymiEKKT1eWfBdeFRn/CI+gWg uFiVMYqyIVSJ5HXeNC1f8dyUnRMAXX3bae8MuH5VT8XQnQ9Dt6ARdPI0MpknYJGJrtUEaHXESPAP drEchAyfdwJcd2HYRuaaBm00oIIpjA0v2M87/42JwP5ORND6yM0L1e/0N0ws0SJpXau8JG4AS42y OiWSFL52mqL3KFt8w/PAyneww+gkUgszr2IRrMcxi5nmr1TQBYHiHkYsNr6z31jMV7WoqlqEhMWS 2VWFNOxQNnlnv/Fau9kau21gX9CASbMU1asnpSgABLNZOoet3f3norjeSw3sJ83Se5yNC5IriCbT Gi4sGZqe2MJI+Ac6gkj4yUtd1Ckqj+D5oEnFO/eN6Rop3jRIg0oHEhkuJaBZ2EuF6cTnnfpmLRPN SdMK/AFm2icpjrQXT6HsPetzGXpZLbdsFdqF7E0H0jvzjZkfIyOuEw2+207IXju7yKzLskACsbNz Li1k7o2tIcPTUNbknfeGLyEjd1XWHzkYE2AWk/A6gebnnfbGA+07QAKaH+Gtz1EUaa8itHbzIDIX V1k68dE2lAgNOJSNvJPebu0aAvI+eGkNismk9QAgsgDR491faSm4l1swzMd96Z3zxoXQBbJNPgjr V4jgYBCZ5ued8dbVxbU5YjCF5hB6GzZJUaTNlzzT8VTXprvD7NlMvwNi1c0ETd4Jb92xD2Pm5cOt PwLyQqGoDtzX2RYUOQNAfQMpWnADE2WLc3vpdYMAiaqeeT+DJgmZADvyJ8xYn3e+GwN132w8vioL kJ6NvNPduqQfcfuv7tlImi5JNUifZwEpz+xz9B5oZ/PyWJ2C3hx8jiZacYUL6SQ4Bb6bKCDhgtjI /HNf7emhLb3u+4mENYjy9Z4+aI5WaoZJX2l/3slu+Gvz+t5sQPDkdk/tKNCeUvQW65an9sqe1lJi WgfSKN6Ffee64ScmSWLT8EXDBa4BEU+D7pOxAd5OpFFBZXuAB7AfU0E27j7vTDdOEa9/AM4wIOGS qvI4VxrRo2A/fd6Zbjw0fxVqB+1Vb4gUVrLhF5Cqeq5YR2Vlp02OfA+khnxLN9t7oI1WPo7BXZ/B 5ghSw42iEPSpQBWBsNLMyxelBxS1rcrGH+vzznNjfYMyCislHxQjQftDpoBn/33eaW7ELXwf2uf2 gI7nPbSjSJuSD2fBt6uI0x2tfZJ1r7LNREYB99p7pJ3lv4S6SPfByiOrDoCOugCQEzKb7AhMuq/D j6E+mBBW+98ZblxHnBuY/dige61ChA3/hFro553gxpLir3o2EHM734Z1FGk3st4LNQ8URqJcYfIl 5drAUmpEHesw0oZYELSnlg8Opa0LRX9O0QmPzsKl4XBDXaZXdEcePdiBU+zzzm7rVxm+smTLwcBq J8ATRfms/M87uY2vmL9PbNKQuqf979y27k655wd1PsSqzodAr9zaRyeOdWWAd2obkxFMEbpmPmiK 2rntT/aaiQ9lzcSyXrTsCkouYAhgNbOrX/S271NUiclukk/g4Butk0iBb+ifd15bN433BxWCpAXJ kE1RFGY3Vo+6YNj1oXOjbQSRIrvUUHzWRntntfEwagSEtO6Dl/wT0A6JJX+UEMmpgQwFDCKAVIQA wsU8Dr1tlK8RdFU4Uxy0ik5KSD1ixlefd0ZbF3TLpojzCTJdvZ21d0Yb5ogHdvbiPoIj74WkorJt YckfQRHg/e+ENi4jnkJ0xNFgOw064OeuJ/phwHDGOG8ACnbidylsq6R2Uofjnc92O0dEbGFgnswV gVSQt9oJdsvnnc6GH3J/n9jyNb9h9jubrbt0IByLbZJaX8UmCamoT1Jy2t87ma2bqWAlv9EG22vn Nz4rEr868MXnKoJh65kMNM2ZOOdbGunkJL8z2br808/cLGZrHAywzrOeh/Aon3ce2+8g+8foWef7 fYbeY2x15qmo6B3a6TVb0GmKYWjWyk5IfmexsX1K7BVJjxq8ULt2O38DIVgNvJxExQC6nVGLHr13 74owD3nnsOHeNNGNdgedRuce7ibU2fmKwZ2WvMAmmbMFFPjdaVGILQ2AsadLcg0CDXlg1+lOcAv4 HTuww1o22Qxrs1W7HKKA0KhRPEdq8NBQQDcDuRpqKTh+CIhxRiTBxe/8NSakbBlJLpCDatlw9htS SsDP+B5iI2yov+YIfexx5ygKsUGs3XtkbS5kUuniRMwdrpz4tRiM75261mVoOAtt7zEw7Zly7QbS RbYC51A7KR+hkISeDli5Idb2jL+zcfTOXLtQNYgTc4DkN7fHyYxr449y1mb/vDPXGD36MsJjKAKO elsi78Q1HNgNdsvbxF4BVq8WXxvdgVOEbqimKCxk8w/fOy0fHGwEs9NzNpFWC1gNLXMyOrWor5HB e1lrC1v7805a41kk/L60FBl9TpWAzi0j+vHMfD5KZr9L2bB+6u0m/O+UtW7q1SdOrNVL2dKZQvS4 8vJErUGhV5MUlbInAY9Tdi8cbJIAhGhUKYFeGeBX2Iy5w8Z347im7IZXjkhYe+ersYg4hZTNPhhg dqBO+kMEYf6809Xwjrn+utIaPKn9Snsnq+FL2aOFQduPmZ3AXk38xzyr2QosQDDPHAH0GNeyqRwx SBPl4Jijc5aB1FfVNWp0cjlhG+6KBREXeZ1oIQ1aCbyT1QwWfI6hRM7aoLEF/rBzSW75U52Ia37e uWqE6PkcYfkhK/1BDcInKYSMNGq84v7KqooQVwEI9p4S4sx08EBhBwvpnapmTWYgrtVa6498RKeC WzUSe2lz0JdiYekscrrS1d7KUILll0S7jQdSUVG7WOkFKQ0Y0j/0zZmfd6YaToT1CxABLl+50KN3 ohoXkjyXCH1Qf6SaCGdKdZpMPoUZbCFFtexG/duRSvPBFtJJbPJYhBedHxxK14QendARLMuq1tiV VZ72usGhzTy2lH4HzdGapncHaNznnaXWH0tBzdGi8Fe7cxQF2bgpspwCnOSPaF2bLQ3N3PltqvNl 3jlquNhokbtQM7PBrv6xGmQzK1UjEA1vwEvOGXIugkVAxnzchOn3/HnnqLHQxQgSH2aD5ghwbML9 TkJTPu8UtS4pBZsjzig6ObDN8lkKi9lcSSu7btvJaLdR10GUbjejTXa3vXPUWKdl0j/L9sHTtbZO uAZ/UbRQsVKr5ErwFyM6hiqGp7SLph3vFDVm/ZyerHwt33xtDMHrf9oJ6z/vDDWsifSdiZBOkm4m 8k5Q62Yem8osnvWnWrwGmQQBQGGEhR7NURhn4zAs8MHx4Tayz8ZdBBT3TrYaAREN0oakfNEi1szO iId456dximRxOqsPnoooz0O1fHze2WlMu7wEyZh60un5HtrvcTagZzq0r1DEOS+uUIQQAM3BtJqi GDOCZC2zMKTB0UcjkSTNVOQkNavQm3LggYak+XGlBj1Lb/t+ZnfxQGpaPujyz6iXcxXBm/KdmIZV VPPXKkIUB5c0n6IozKZRQir0uLAqbXtMqS/2qJ5I0KYohowkJrK9+eDlNfjmImynEn4DLISdJdTq GxCfq15tYOK6Pu+kNKZb7Kzp7uegVUROF8WjGq61KMyWYu+NIVnqTXejRWE2BDphJjy9LrL6TJ7Q 0neebgGrFyvSthCTTXnEk71WHzxb6+kciyTYg74HijIlbgjUxM3UmNA2ldeS3ja4+ZNIRSv7YOis NU0Dv+WMx4MQ8jcUEhcFCsY2RWGYTUAtuZiW8ycXa0vIuiznB8TKpiiqY7Pteg4hXOsaLDoCRKRX 4KI4RX1MGaEhdzgBOG6Y9Nh2a6dFYfbiMuqDdgEcrJCNkFTEvTLw+L8QbJQggypAGn7z9xAykinv w94xNSGSsWiAGCEcgpoQfVhZpL9H2cLfoS0L2qIGL/UDU0s6GgC1UMnjekPPBDfQRovUg2xZBPSY 2riddGWDVtFAV4OY47b5eMAN2d9nEVBArdzOY49i7KGOESEI/2PqWsbl35OxvWpriJk1RRFiBJpe QKf15IPXsTeWEBkgJxQGhIRaUjiefsomdJ66rTLKpWxGDwvZTNZY5rWBc3QyLuBSuHtOItKjGDv9 wmbBjqp+EbDiQja3V7tq7qDWeedxbnft7ve87lEhW4q1W7ZK+7FVArI/t9V5Xq8C/ahByXsmaxsa O7XX2zIi8qhHMTbyb2AoiKiV8Lk4RieIrwSgjZPJ4Pn342j86hll8Ge6jGA5SzHNEbdaZxuMIL+i Ki3J1tTq+B/RAZvR93sUZU8BIQnf1+DZ2gBCGI0+4NhGRleESlrnT13wny+7Pyg/Smb0KMqunB70 7TR4b6OhWM7+7Enc8HhwZv/KRBA4EAJvcxRRHWEjs3dfuu33piUkUpLzr6d6tKlTjhkKI2zS9iEy 44PnIfDtrjCbQUOkQexNVhwnJB4JdYXylYewBNmjSra1sLPCR0qscIf0s6MX77iTVUw8H11r/ddm G0By+83fwxD7/DEbP7uHjz07LlvujiYCgbyTcxTSHRk+Jtiv2uCwI7pr1M2L/6ySmdh6BBsYtn0q Xt3ziFKt/T3Ehv46k/0lZQOq1Ejx4nyJRE/hg4nn/8tew5psZd9DO6plD4lIL2b6giDhGMdJfQ5b 5R6AiyRijnFohzH2km/gvoNvtfMT93N2ozOUeAUlGcCehbQpyFpnfXQ1p173z+6jJLQ4KKFF/MYI ENp1eDxiinxX2KDwQsyYzdF7kA3MMev91CBl0t+5ZRET5UIJUCnTYLNVdNZ6FGRvAdfQzrTB5gis hQ2IMev9tS1cZhPWR+fs7hT1RKBkHpQ0eetRNbsW6RrQAV6a4D/EZp0DM6sKmSceD5L+5kk/k5YO N5fkldoe4bI7+/xIdVgmOilVTVY7GsKQbGr6ES3S+UF/LaNVpN1TmCNUZfwbll9EZq2EDgnkESFF M34WLZTKuic23PQqviRi9kkjkrUjDr6Mikk/n/nH4xEM8rvPn1G4b0gqbI7CMJtav5nulDLplGAP jDdyyVY8GpMS6pykqJq9sqR+ZfKW81M8OknIeR1iITZswiuLRxPyMNBq/WFsbUXIQbxIj/AiSrCA hvfBJglOxTJsKxOPR+voO4hE0/UHYa1TsKM4W9isKud3ulPLdpnSPUriUBfpaRu7b0TlbFZqT/o6 tg82STilwYLMrIuc4HSTp91xFp3UQaBN8URMX3tEgXYmDGJAkcgG9R9BjMtWYMt4PAi0f6Vr+H0a qLE2RVGgDVYUWFnF3YNxvBoHYhT3clkrDSMcjb+L2WtKqn0+PPWJDdChicEgEmB2GpNAZ30s3DTt MtcKi4P4kogASf2QRRptQ2mbiWw5vzHumB9akmY8H/Wxv5UyEGR3qC3aJIXIbMaQo1wuPy3XnJVV 1wUdO1V9RNVs+pFUtho58I2RjTTgKih5WqHJWvcmeJuqoPhPfsq4Gn4gZA58RwAVbdRiXfKhXoRZ kwEJP0OCic7/w+NRV+Q7GYFWB6XebYpCxMjkeVSKw2mZPVkLkmpKgtOy6MytFoXZhqqZwmZJJGvw PIJWSSmakN2hRk0WALJ/+nqQrmVX/zlvBr7jL8BIG5Tv42D1tY3iL6u0Y+PxSBDiu9WPJLXhe22K oii7d1EfLDNjC8kNzbMIkCa3Zo3sEcXZc6hKm7cPfhrBsCw30rHP5EFVlqEeWmqNJFE0pI22xvoG viQ6johZn7R3FZeblxHeejAQOJlOxvPROvqusEH3pK6LPBphnE3RgzGLm0/P7JfZyYC2/XPR1o+B 9ogCbSLSTyQl1af6tLJhEnLCUZKNT0hzfhBK/lYk/g2iQWCceSmbpP4RlbIn0Vlt8MjmYD0RuAIS DnFiCDwe9da+UxFmtOmmIiMKs1cmTkTsK/6z9GZTJKy9TqO9rW80IlR2UfVRi4TDLUJuyKBNiglB DUj+ZQ3fSUpNL1/CRlVvGywjpiJzUrpnzuVbrXXg4bHVdh94PAiP7hRJaIwYg7vVolJ2EyerWGlk KzEUJauO7ZQsAP+006Igew5hsrPwEGQYZGKyJxjYm/2Qs6eawf/l+0GZCPyfbIbgJYrviIr9AtO0 5oPimXPtF7mPgEQ7whi7z68rjer+ed1FFMbYk5yHUZ+E9hKNE5MJHkZzOpZ2hEE2W/xnDu7g+wzn TqaIfAfXe/C/CfA1mFkQbUOn0g5s8hnxJUGQTUpfVu6fSUBP4mQhF2G9DUY5+IAg66+/EMeAiUJO yGYpjLKnLv7U7DSS4Zq2GgWWeRpBxdm22t/F7KFOLQfHQ5xcM2/kHGAbjQTpC84S7j8ofXBLW8F/ S8Un5D82y0GoBkUjF/5lkHNnkeIHkE08H5VGvJpNEA64S/viIWZYzaYHILjkpkPXKRtMHToQq/TP ldExZNo/Q6E+piJ77+SD32snWctVvuXwiUhVQqO0y4JpGefPWmvF3jbIaCujbBz/NiSvjFAIHcrO FY8HU9S/MxEU+tq+AeSMouxKCY1EbTS1+lHnUUorrWHCITZKupqikABJlB8xpTY4EBKmU52OoR1s kSEETqJeOwp88P70Si1CVnzHX/xH+OT5IJDfhpUJW2snE8Tj/yKtJcbjlEy6UxTF2AgXgWrxMr+H RBNVyJZdjHZXV+ubIftRJ9Hi38/BttqJujto67zVEAGAeXYSWoTtC+Li5Hq63iOVD2ZYzRaqppfh gxdGRpWe4QlW8Xg0R9/BEXg0MN71OQoRIxKrWcsjyAZlbxP0EQMJHdoFzLPmKKxlk7O2VcveTy0b /Xjc6NZ+JDmEOw3HR4NUb0u3MEI+L74jOo2KcrXuA6fo3AJz8zA68UXG4xFHpPzKQ2CCdOtrMwqy Kw+jrTyfJqrNnQ5O4LcvAaL7iT1D7qOJQkjWsH/p0uEoGomgmvOroUeSudMgW4UdljtlH6V5RFj2 DLmPyTRVkw/aanMZwgYtXzwetB/b9xxBW6D3W+6fMVyEza1+eTR1NWuJoIrkphnnlzfdgxnBReQ3 BpC9D7aMziJNMJBmEXWjWM7qANpJsNOqP8jgPTyid+SMYuxK5dDSZUnaDW9xfsuyC8v17SxmPB7V 176nSB5K+55GEVwEPSLmapdqJN865mp1Zs/VEBFqiqJStiyi1iLGb60H4zfAu4YoBBXyJxtHSEMa 8D7g2S/G2KJiU/1xRjG2qc8KxTak+Y5VBL0Ega1ya3g8ytT614ENwFN9sKIzJD4KBrmz7TSUUR1P 22YzFa2zL4YfRqGAH7F9G6egDU58rG1S2ZCkPlA7XGK9gY21JQvlxf6ttw1AR2Q80iioP35BBRpB tHmGxR8fD1bR5T/Ivu38ez6HUQQXoXBkWuyZq0O7y3Q21nTD+zWTM/pnFGQbLrvNO9xkNkG2kRqr AJaj3IbOGnxLBg3S0HL3Sjals2YUZNN1vUCjhgPkBaSyWkfXV65z9OH5CHb0HT5SNg+kS5ukmPhI 8Bo5hNLOYs3HrEebJWsAYlrGP0OJkUldKMBmbfA29rlpoCTDSTr/hwJiMXTqyaZGRbM+txrMp/i6 UbmfDIjUhg/KZwF23ZJg23zHKH78pbUKlkC9C2lFITY0bvEN1QuQyEWNAzGzqx6cILhYq39FiBGw JBA41uqD7zVgIMhYQULbYbA+eRwhcWc1+7rSGKF/hYgRdtRGp0AEB6uuzS5o7Um9Kh6PmI/pa6+B gwFLCp+isJBtGiPZ48dapumrnymq3qFdmdY9iZ8UTJGcWfO4w20+QhsCvVHIYc/ahRgp8BjpcNf+ KhwRXYjviFgiDLGV+tezBH5UWa3tRBRcU9AKxPORVM13sZ9id2lcQdFQEbvJ2rdexIgA2lIY2Y6l bdNtoFaIGNlLjRB2sQuzqMbO2llGZ/kkuoecS3+g4EjgCMohE8q964mNTm6J7wjq2Dqy/ThywHFC aTkJ4bf4eBRi+5HNWHMQOecgyBWF2L2KElq8rzZ02y9Vh4fDRaACztNohcxHqRx2qopyuJkaIMZT AmxrFDrVnmWEsKgDJ9r6XUbCia6wjk2O8aRtkwYDQY5KnT2g9ioe/y/JbMavyYDE5iiKsSfVDlO7 bmKJJiWMH1OxkAmF7GoaLCsmPnJycik+OJNmnptjU4ju/Gjj5CHEtoNKe3J9uXoXP7E3+/wrAox0 arDUTBhkBepeDPOTwrVse21mPP9fCtnAnQ5RRDlJUZCNHuUee2qOoLKXbIr6vtYqo7lu1grVRYg6 Gk38h/bwHwZFsUG1gkE7tEWy/PvO0l04fvK+W63Qzg5fEmFqyFYbPI7KcOUMaK+NJQmWPvB4ABdZ 42urAYthbmucoRCSnakuov2Ffy7gOjRHtGDTVjurwmLsFcbYVVuNtlccHNl/zuxJuwsQy9KGKztO S1W88f3twR1B05uvG8xRp1uItA5x8yvIhiJ3oUdPP/sAj0e32nfPCO2IAR1Dm6O4kJ0kBDVYLQLG 77Zn2yByhL7sqNiyzb9CTHYn10gYv/lg/EAog2l6G5qjcz7j4mPXFirZxaV8BBeZQ68bJSKE0eL4 PwOOEsGOEH6p/oji2oqi7HVvNR7vJ2WkZI7NUch9HASr8xQwzUzbdQV6xG6/2i5WdIVRtsz6FjP/ sR45yJO3QSCDRiHrXCUFkRhkjvHfRDEXjnLWni00wMGXBGe2tPqnNHyna/iiJpyYrJ2fgu8Yaa3/ KmSjhj0vE3vFhWxW15TEqj3LDUYS7dqWicyElF9zFAXZg9W1seknysHLIg2KIpKjXzSjIaZmQqZg Mllsj7bYGkOvGzWNsg6i5oPOIxoDc/+c8AuPB1f/b5U6yHu3q3W4oyAbvRAQ1ZYL0pe5kq2jPV2Q fracTchvR3VsBdkrqYKU7jqiBdp5i0YrUehuT8pFo0x+sjXEhChAmuVqsbeNkjVZiD6DLSPYvzPi SYOP/4tFI71fkQN9it6DbDxF194nyGbywnMadZHh1bW5jEWz/5QXQbto+uA3fwcKcsj1kYuKmvST dUiUT3ID0NauNYr27xCWzdJRl1gNB1XXgCITU2jtgceDay35HDGgJgjiymXvEJbdqbreq7dnd7nN x3WVs1dBd1Wy9DEs26615oPNUatQCagyq5+oYKiDzQtlKeC+gBq8B77jzymqOrjr9eU5CfGW8Uzp G49HzMdvycyMeOxROd7vQTZKu4vo0Lo85fe1k/eSTq3AItucDXYUZG8ijldhO4iD3/zEesH0mQza OuXjB2wccObnc6kFZS0j3mo7irK3SYrP4YNOo5W7YAUnD+E7RhyR/L/y2TpvrX/HmGwCapJJQKDS Vq7qujij1IMid4BzFPMe5RHGZJWDF2nPBZ1qzVKC3nB+rOzyUzsfNWtURay8Bhw4viNKRFTrz3fQ MoI0bXFMBB6PlHwclsVwfLLWf6coCrFplgvZPq8czeY0/txlW095EZDDNEUhVoTydHvm4YMvowE9 TtxWwBytc1vz+qvgaHToSYAC45jsNfS2QWzUBVhXOyR7OySfS3NkYUXSwuPRFH0X17DJQDTwKQql sidzWHp3aIrABjHDUGnUk42F/EBTFCr4sdS/5NbDwe+0DMY+KE1QhjjRV2cUhbbID3RHfsDg9f51 t7cNirT0CaVhhQ0q0pJW0VUv4+NRovadzmLbtXnVRXaoLsKiSCrFDXrX5aulmrOTsdAXodTRDrEi Qva3Vn1wYiiQXgnGEmgYbcggESMKryPIjf6QeiytTMpf4jsCHC0v6rbHHQxN00TjwsdsPB4kIVea jrNxUui2nuM6LGMP5vt1uDRdQs1WfLXcGAtgimZnT7vzk/669dcud3CsMaT1Mi0EIS8yYUBLjoIU Yc+a2w8ma0+97V/K/a2V6YMdRd2l6WodeDy49Pf6uvQXLZXyjR2j+LoJtkYrOxnzNgf05VqWi4p1 qo5yn0VAkUn74j2ohsXBy0a9tUkPC/jyottIB98GEBkl7xY0ZSwuYoF2/0NbRK7h7bqGo3nQCPI7 szbweBQXfadp4Ey2cQu0hst5Pa6pb+z4YqD8kusdQPzZcCINBg3yDE+huoh8HSZraxySil0bjaI6 6WgEoOwYbPF3XEJrgHeCbo9jjta2Fw64oUV6oiyydUimy0amwb12ioyVJz8gwol+B5BAhfEk9okK RfyIya4KhLZjRpn/b1IUpN1/Nr37g6a/w+yR+x2setQa+phDyhDgaGPdQzTzXGKEgANLePV8GGbj W4JOPyOjkxMvH7gGoJ1L31eaW/P5IBlp36w1mEx2+BL4PIXGNAPkEBmm0hGiVEdl9+xCtcDTuldG CmHZyviVjXGwTQfbzwL1Rglm10IFMuiOQHQdlyUOx2sRmu19g2la6SvzH2wYKB+pWVJaY8JINUXR 9sjfSRt8Atu4IDZpTbyHkrTqkzoUQyY5G8kvw1h/BQ376hMVej3SZK0PApA5ePYPhXPawMAT7fzy dNM6S+zcyQsnzElHL9iPyoX8luCWI6y2Nra1OSi3PblUTWKLjMHn/4Vj4y+Jsn0b81lQUcydshDH exlMi8ZTOqFOuuBwv/NR94SKKts0uYVi/B2cDYHfYXWys85BfbZZpf5ROqHRworOazjNr2TqH+Fb ghYJ1UbaJD2rTadnndyzVJqxQOOVz/8nAxZIWC5gDn2iwuI2NTQLM2qT9+mG8zMSJilaxMfaRMX1 bcYCldceB5+o3SGBS51RtBLwJYh791mlCzBpiJDfumTa9sIRj004rd19sIJSrnJ9OVHr5vPvE/Xb gPbcM6BkPgsqLHFvsEa32a0gcGo2N4lAHe5BWKze9RSF32jJoVBCRiQHP6HO4UvpEZKP0dSmI/YA bR/SCGea2tXR3HKmTlGNW2lcTRJATsNd6tqcU0WhM9V8Pgqe2leSwv3briIruWrvx+9mBTfnalVu 0HttnpoE2AuUR1K9F15Y5qZ69qiMjYY7oQJnS2XNSqHIfULAOujlRyelDY12WJd7/FRqsxeO0CTK 5SSwwacVQEG/FH86jEv4fBAYrF+9yUna0jWHSlEgvon/mxTKNR6SadaDrDVu+Q24KDP0i/RHjBMJ tQwbPOPtDawIdt6gVT8r++CFQhkV8OVHns0sn1MopD3tHC8+aDkBslIlPdYGnw923U3osHIm7p6H 0pZTFIxvciPHvHWBvrZxkbKUwNg0qbQt1TSFkBLCbUdNd/Ay5VlCAG5TGeFEOTj3eDrBEgVa7zlT t95qcMVeOCgwyUpji669r2fd+WSpVNbBODM0rrkdSl2OoP5dv5Ec+kOeGYBopCn7QlbT65SNzFUW 4cZ2A98c2EPCvYnqrKja22CLaaU+IUFGjBv6MkBwTlixVGS+GV5j7rDOyFyvG53h3G2LKLfm3Rkg DjL7GicLGpPPR4fTt/gocoSRbh0uhw6R6MZfCw2WCnr1AkHuw+dpj5p8niJkSRLpn7R2DVYhOMH3 OTtAQAIWEHgrJjjnUEDgWUgKvFJ2dBzJ//CIbIntyubiS1D+q9mC8VL5fBCM/1LVxmICMuhOUxiM i0g6rHqLpqUZs0KNhNNUSNxCMYF3XWgTuUT969IV/2rnNhiNwAkB8zFOEoNzGmK/lNcH5Q+1TJum 0ux9oxYT0e172mCYSVRSCmNMlAv4fFBK+WVZW+jmO59pikrfaxioxGspoxuP61ehoEHx31ZTGItD GKpCWNYHh7udwAlKvyhaAmi6C5t1i4EAtkpfj9gGm945sIqESAJZgI0MNw4s9J/1WtS6PDdm5fPR pvuG3wLg3B5BkhyaRS55jrYrQI6UzjZdIWmDEUHaXk/JgVtk+n8iZu2Zig82TZszggSFWv9w8MoC 4HbkVmcTj3xTFokk5dAvcrFI0GQ03haBNfjTVoNANZcTbEdDv8jyW2YTZeD2ONjl90AchRCzrvFd l6tZRyK1mLbroEE+fdfFgbiESfYdvHzZ94kCJk2jyN62Aq+UfNCmgJqYA5YUEuQoEFf5u9d9B91O 43zFFv1mFT7/L+1frsNOxY8bYYaWkZO1p077DsluEGxEHIX5ki015QyJm0PPyEVc5Bi1+uAp8DpJ JvpXijCvWBLiylFAyaiXNQkbCHvhiF8i8xEBBNdF5OwzPaz64orm88EpXr5BlIw0H0ftHNhGIhIx /wjXJJ21LcebEFRECZexkpu0Br6R2bqXk+IjGpyGc+Jr0HCkto0QkEWFBOD5gHnOpm2kYoJa7X2D 5USZ7S3Ln30tfzDTxahKqfL5SMTlO3LC8QYc1Z2msCI+hccd3gdHEdIqKrt3hwqQsWbTFJbEGYjT P8gGn6Z1LpSMmiXok6kNCiycPDtDFhy4ABx+jl1K01440Lbf9CCFiqMNisQLbN75z3Pl8Pl/qZLz YjtromEf+DyF2G5T3RxOo8it+zxJ/UCkLiAPbZ7CSJwlzLn68MG7mCOhbsJIaYESRVYzGd4wtCEH qF/1rZXshf/WJq3bB62nkxAZyPVc8nw+yFguzRRP0nxiPTzTHJtILmbAbRnlLfGssEpBKTZnJ/Wq BoLPoYvkksl2Jqh05SsOkM4UndgABDuI3pyLHRbykN7EtYeQgEe8Mjth4XJoIyk6d9vUdDuDJcAA e3fJlJ2v5vORKuD3dUc3StiH+zTFlXEc4ytRGJEA5gkYN+64PCpUjNhCWMCTqKASOkkOyQOM2n24 fRaUZiYZFYCIdrV82X4fyKyB9HYGnHy2QydJb/ZqVWVPgPENTeqSuUw+HxQyryMpAyXY/o4rB5xj J0m0KhLEz+0Uh/yKneI9F1NQgo+Pn+KBlaSMnoDzUmV8f1XGYWlXUDhHeQ6xa2U9BaA/xOg/0k1S eS7XZC8c6SeqkJKVutBNl4dSBbaYBZW9Nj8gisYv4ostBFCpxnOQB3aSwI+wvzIs892rOFsQbu7d WwhQXLGJiuHewsXN7oODdTpYmotsGGh+8mcBIwGQOHhNor6Wngtv2wsHKAIq38DfQ4NtPMi6dSbB /fyqfD7i6PxiVYLdvS9xIIeWkuhQ42wd9ce9blxs+py96XEnS25wH3hKujnpnrv7cFk6aSP33SoW tL2pfNtgLYq61I8JcqpPPpe9cDRP+aHpkHEohUj0ssgIPRc9PO4DW0mZVDyQFDq5pCcgD20lGwEX E2eqY1K2s5n6nCbzCrlyrz2FvpJ+1SkyWDcyQN0bjst0BxgTfyWrnQDfc1H8QA/7EgiKvW8UQHGC kjQ90vALD67nosOfFJLPR+vpFxEFBVH0Lnyaoni8EWs5WFTmNFGxX9OUhCmk7Q1xo5qmP31vaup0 mOLgeQvI2KlL4PVs7KpQa8ByBxwVNCivpNKa9r5BR4rraCamwRxUoYPorgSDTqzA5/+LOVAR3P6Z pqgwToQ3CC8XedFd8R6CHZ7eDeHDOU2x+Q3zOghp2OBFlYwkuGxDpwDmjHVXgMkaTIPAT0EVGNvO 5inEp1B0qi5RLnzNQoX+RLasdZ+zlM9H6GY/nmi7BRJLA9zAJyoU5m7SVqrL8+DrvH3yimtYthLd E7s+KrLAIUNOHYT9dBDAZkbRuBJ1CW5tpQFMg6tPg45wg9SJjidJveXQYrIu+QOs7YMiwwYTGc5T Rsc88JiUFu0XphAahGM8Jd/QZZJ+cMkUlOU8tYyvg2UwHadCvLgWVMy2ZB6sxhSHCwTvrcDClQHU PnNF/+SN47NDwSQPnPFTHalR7IUjtDwxKluIlZ0dzbOw9yR0VzOfjyKoiwmzKgyghbfVEnpNit18 DlfPXAbg7HaOS1docKKWV8djs8ktTyXhL/eDv2yQ5R5cMdAKBmiV3XXauaJwRs11b5n7+/4VacIs zgdLhM9nkMKt4nhgN/kdkE/uO8iaPddd6De5VRxv9ep0bzN5B7q0WXH8HCX1TlMUkJMncI4hFpc4 eIKXAGDs1BGaDYqBQ0T5xj4HFnRbDw3cXzggg01x5Hf3wTYemhLqmM/N5yMBwW9ID9WWHveJ/Ifp ZFKd7qJ5l/Ev8N9228Czxm49M3SdBGcUTjjtDrfDCUPUREEBpCYFKvgzN9ysVIopBAIYQowguhz6 Tso7oAq0CsNBuWvz9hT4c5xUnh/wr4liakVVP0iV+0zFBExiwylGZthwnlDUOBFzjhonyykGOfae 3PIMIuWJw82EdyqFbWwICc7FFBhq8OXMFCIN4jNkFmw988B8UtIAABoK3tsgKqyCCgyPixzKRuYH BDWDlH6F5PtXJyG2n0Tp6vzoxVzLzx2wnak6ZNeJE4qYEpUMAv/JW4Mq7Q63ywlGuMSpVklnMcEx +LwdZNFgswEs+kXR52UvHAnAE6XSleSh1KF0g91Tfue5liY/4F/cedIMUaOBGpBPVBSSq7mP6qyD VdRA2FxRezlYBTbENlGh3gkzkllZNJi1fiV5rDgyG95IYBmOUvUcRktQGJjXjArFU71wtPc4UZmi MBxUrIMChnouG8WVwInyf9tR0ayzPTF5aEU5GEPllf3KY4HIi3Ued046J9nOi9AqTY5myvHmk+Pl DeG8PakLs87dWTiVma58E4HeJk9coifL3/evDl6vrfugmJwdQurlntuez0deS78sYM4V1tbXARXF 5KS8JAliSPdkMHWhNMxIluxNHL8+TSFYhXIm9PmwwQ4oAOdqbjTHnSf1g+UpYawdMued2IDbwmOU zW8J9h1d8loRSKxcDe8OyJhizdX5fLDtVv3adg0Y/XxlPXJoSTmpdD6R8wIYhn+CkU8w3YCKK0XP z6VFQQZuuzAk39ZDWD5cegZ8mgtLmhDqntS1gD4gtNnQmphXtQIAanvhSKOaB1NRza44QQPwc3IC 4Wm++HzQ6rw8H9Z+ScofT5IXGlNWSjFCk/RMCb2pAaOUfl7adFqs1M9bxvHNoTOlwHR0UrLBcNEI ubmLUYQCsICMsbQBQoXR8gD75GlO9WVvHMwUTZfOxVd84EzBZGYmcQ+R5QXmlKi9fh9QUNFo+/qd 5NCdEpk+UuDhTI3txt2IEC8NAS4oiqECd0oEGXBazihf22A53jmSTtAPOFTFfXD+RxLyuGcq6rQQ XHMDT3Lqc+BOCVY8k7vCa68Tk8XU5Ryhg50lIQwCe8rvHE9oaqYJt0Ye2lNCcgf5b/WaQV0u9HVS 8HTxKgh2bJoi9LiKv1uS5hxsmk6OgvIce1MDpLJMuGtDl7MBPr1hCaAMbyh+CvwptbkxPzn7YCUD 2V1i+Q49H9x2VwONKw/aXkAP+DRFEXmVe87TOZ/lksdaacUy4QHshE1THJEzwaucAw5+PJ3AD70q TtNmfCCPc5CRCylR82LEVKgLLSplDFNRlMaAGhb/tAoJqCUiBjrCoUnlbL+Uh0BkBZnE5ymKx+s3 s4WJ8BgOpqsqQVEzBveKzVMYjwvQMyQaM77sYdJoA5aetD7ZwOMIZg6kSENvl5hD88/x9/1TEkVq uvOq6ZYTtE7OGDz7+Py/SGSsfpJoNZ6zKRT3PoHZOcOSmwszaDa9D2aZkkSBxrOCgtimcrLjovY5 Bw8KzkpBuE9K6x74X2haAXGkDtgB/Cs8D6ZwFr/lL3xBr736oFP3fEEyxY9Z+fy/nD3UEIY+1Ndq imJxGNdvaWNDl9n+JT+GPCwLxnKwkCAwqsRaaqrlFh98lqDJ1wScO+dXhdQj9XSRubBcMZ4QU27n ObSqbNIhSAydUHL9kf5Uz5nC+hA7yY0fEOk0/VKKB3tvX3+4HLhVZoYiiDG3a+s1ypFKhUgye2Rs gIhvExXzNknYTCKRpy8SOWQfqfpMasuJMxtjp0x8QSEDKN1dR+W4HBhWCl9DcZ3mg2InSIFo15Wt 56Py0y8XFDSX5l637ht6Vk56Vk4eCpKLh/WiuXtV66YXGYzZREV4lUqLD1QvffAy3YDYpBQJILSC ygHrvplcJZAE+1XWFQUocK28bam27mDgzAxHGl7zYAAFtpXf4Ey8XibLpDbfd5+XyAk1XxHRzvIt vp4oVmqq+m06o4yipjyeXgInZD5epJt7+eBHOO663YvUP2rO0i1qQEB0VJFgQHp5UgicXsImvmui xvdc3HocFA/0eRYT8att4en/M0F8wT1VdhoWWuYfmGnyPzi/SzQ9jfUTxA5SsIbilEXg54rjxYZS CpjmWkXB9EhkANr5PthmO/N23n7SAG2d/Hwn0qnl0EWeI8IGM2YwWP3r/KAVRclYM65c1xsWGD+5 ep6A7/MSLfFPrana/AhRsH6YeGh+XmIlPtSJCus9dVs+fRrzpyBuso75IuSdy+clUtLyGdxjYBfY YAFlh2MuMNEMKM9mKl3aFxBl2AQ7fRkOdL7r+/IBDaILEmyDNkUHQ5rfdsK1z0uQpMm1c8hCuI7q UvLl8xIi2fJh4aQmb2bm7PKnqRSLwxFxVwMXvgRI/CBglkEdV0ez7RtIQtsi0xIIEMwzF1IqgHP7 T8euHvvqxkx71/fpkasHNJg5ID5QX3y0SVY3qJf58xId6U/txeZHaT+18JbNz0tsxIfAnNuLLiua nmo2erCBXNOxA225I2ywegZ1ludWcWk/le8BfdKJ5Bfkw7yJ84S87tkqkAk+FzaoONpd9F/5vMRF On2MZCBjj1UvA+rclAz+Wj9R9ktUpKfrtumRzCUYnkSG0z00mB4A1QBqmq5fdZKO5ayeZR1yFHKz ZSIvMZEdzsxVtyQa9iPRkAY7krTqTOd/O4H/lA18PQENmPSwDPDWJQPsl4hIvyXnB3Pug1F6YHnC i76v8nkJh5576G6vBp+CPew/+LwEQzrS1bMsxcGWYzl9NVch5ogV2N2tKoL5mQRUbLlycbD5OQkU 6mxFEMIMdAUhXwUqG8BbZhqfWz4rD4ZofxVpDbEpYFG5FhDMbEUDr/3zEgfp9Frf+4uVOxyKmqCX IEjHM5b57tnFYVNxpu/e8/aWaIEqleFo/RBiudOePtj8gP6yk3HncKTlwY4uJOsX6ka5fcXUJIu/ BED6DWR20tnW5aCi7QD9gSSeE0J9XsIfuz/K1+2OLmeby2+vl0Kk9hdBqEkAExF4hivp0A9Jx/NC q1naZ8HxnARB3T35YLc7NJjXorLkubA6SkeNWDhKMUI0ZxQv1xZqsXxeipD6C6nBnFUNybcaMlm+ 5NcVqPwF8+Mig9pfFKQX6pvKNMH8qD8CCol33Ep2jZjFtopVQoprxETRD1V05mSMyMGjn7QQNG+d zwO6jDh/zobYP6iwAIicLwKOlksvpUf9Bssol8kHnT8LbZ3h1aKXwuP/ur5Uzx0/dOK06DCKnpWN jb1cPG9IF4YlkEksgDg77tf9RpSz38cCw+XDpeycyH4jPMK/wPEaPKGpM0DvLeA+XTe3SWcg2mJU Y5ibDhUctIROeJhUxz4/weeNJqcVOL/naFALimVHzlEUQqO/DEmvpDkCP8hDoJFd6Xzm7lJMbxw5 C6EpfDIleE6RdE3ROW06NGVp/XrOuFXYtF1ILBaAwWVQX7Aqsbe3jU5pwkmmvEmmF4kaLKOWYN6V j0dBtEeJ0ogBUGrdKXqPotGwnZyi5ve8cVGl6OUYyoHKqmNvgjliQRYgruqDbzSYUg00CkBpOlsh W6qKUxcpLYWZLctQSh/F0Viq7DcWH3RQwzpVcXQDoek9kEYW175WUT1vxBzcpiiKpOsQXbc517K7 yDC69cnRkxlBvsEkgrO6F4nm7eGDT9HZsDN1ylTuAY4tgV4JwKROGiDaefcuS3rdIBVjFDTlcDKv wwlyRkvFCjCmYTD93GaYkE6AebmT9B5OJ8vGVlpekUVwZ/EQq0sWL6are/IeUGca7YKmmboPmqQT zU3kS0nraCIDMdnYc23DMhTKPYIEAmugtw1OI+Zjs7HwMZFiV7/xYVmEI+bcOJ83Ypy2av6+0jIU MZokBjlJUVAtD+FKcotEYSXrRctOWTA2ivcuJ3y9R9XCYMJLEbQvDZaznskAoKfLnWIA00D5PO4c OC5m6ldbPZZ2Qm+0OG2XxLBorzuIZllxHUq/vJTPGyuOj7c7SVmzdE7Cseu92d5Da0MKJDpzecvf BeFT5QWulv+uDraJYmvC3ytEi3zwY3ucTdSGCkMLEKgqDw+I4YAEkUketdwsT73u+ywBZgYUIEtD HLSU8qyKVsEt/bxx4m7u+3Um0TtsenT0RonTLqVY5Xn3ZcWPSoAMS/sSaGHtrAOJpTmK4usheUHh kfaDRzozcCaGxC7kHyTl4kyiwNPIwiO5eRftUPgl73M0yDVZi7qeHDRHSdgkMLoBcIsibFeIGz/y jTkR5Nz3SHoPsU3ACdrUrpo/53TCSaOtmoRzBvVgUECLYuzRMluyafrgLUcYfsOSikqM8LJlkW1u Ci9jec1r4SELuDcynEWBxEpW4keWE6chXFVlSXkC+Px548IpQNrjaxlBFuysiHv7R1F2byqcdV9G oxogCZHxuiX9fRleYZjNrVYANbHBm9cznSyvyFFoTvDGiC8ZyLPPB/1sRtlW0J9622iKsMWWzE5W MkWvfLKXlbm3K7EQUZztTjCWp4Fk0Zrn+W8kOLv9MzVyZvNEjYZYsu3sfrFB18OQNW8cOIuzGT0O xdnjxtnnOC0Q7ybne+2Vl5BK9ErssOqGcbCL5SDm+bxR4LTm1dcfbHlwcKpgsUrI2cGfNwacXnHf QjVPLuiG5OGn0RsDzmZ2i+XtyT4Ial5rHNPnaAOUrjl6D7SBgSC9RBgkDnYaFa6iSn/c8ytDFI6K QvirFkp3hA35aeSvGxXzWS4apOmyXVwsn6UDEhYHpE3eCHA68ZOHkYT8ATrYbsL2xn/T6lXFKG+/ 1s6l0zxCyssFYNCBsUl6j7RRDqSEtyojHG5B/9w/UNNlyj+gvERkJC7NBbp2KY/a+aBBxRv7zUIk SXVQLQfQwR/xZgqM04lkG+f3/ryR32ySv3cbCEC1zTtJYawtb9N6ubl9Pk55bsMIG+FlZ/Yb9c2i CNkIqze0b95/AnUwuiYNqM5CLcV7sWdDLmB5GztDBqehrskb8U1fQszRyYuTD4q1z21W1LCes37e eG92oH3nI/ixAH/zOYpCbVRUCUS5svnN7fEQ4zQvXXdP2d5Yb5YqZBXVtg9ee0S4dLaC9ODAwCKa JEM8akCRx+wX5mO89EZ60xxNFkdm6j4I6QeHQplSjjQ/b5Q33b37e7NBNr/PWz16Y7x9raM6q5/a uM6s/Lio+aU5wmbTHEX1605VwdUp2MHB648719zE/oOyKrzasI6Qq2/ARAsiDyJscWwvvW4QHyVp UlFjmIPmaLTem262uT5vfDdb6/v7ZqusQHp89EZ3s7o3fXF37p6N9FK9xL+9BbLQqLY5eo+zZeGE FSP/pfo0YM8/cUJD2YW+nQ05MtjdE5h2RL6dglTWQlt63aAIOUiSKP0OmiOYYcky8cQpnzeymx2/ vo7w3wWdrKOjbXMUxtlUGT5ZtJubioAocyp63PM8QlnDzqP3OBu26o3txVx9uLC1cyBv1KhQ7sfG 6mxSU5kVGJj9+AmimMS3DaaIYTYkeYmfAf+KG6SNUSlQ/ANJ9c8b0e1eLE+nCMFNS08fNixlo76b gOe0dVS2e+Wl0bxUezZt94vtPc6GiolgDqP5YHMEbtuqi1ntgpdkJnLkfDNgWLBOAcDQymyUq/i8 sdzsJzVodvFBIVI/h7m87s4v8HkjuT2Z17PX0AeRAyznKAq0VUNqXLWiJPU2vR1S+kNVdubWG8PN aghTdZHtg5VHJsD+rK+gJLlgMasji6sc5h/1QYSw3P/Gb7Otxrt/sErCQdfa+b5dxTxe4/NGb7Oa 4vdxBAZD29mv/jdym6Kqrjz2pv2wSrC0/+rBnWVE4VE2rMNAG9XaWiqniIMtow1Nok0p23PDrbNt yW0bgK91Wno8crDsi33eqG3qiQnRVxgAcNDfteGVrth5l88bs81ygfq9ilSE9FvtjdhmS5eQ9X1P I4j72Gk0qlnEABLimrlvvDa71TA356ZIPmiKejrRxclB2NSHeXelN1OlcuvJbyHTxfqbmvpFbxtN 0eZJTau8ca3yYA66la6d/fd5I7XZgZ2/DmwQF2hPZ1MURdmQCseltlyjC0ZS1jcqZdR7qVXrW79R 2qzpxYyWpuYabBUBFXh+sUHHxbT2hpQL5BVwFiANHOs5sJu9bZCuUdJlNJb8OWgV5ZUMZnx+688b nc3O6xs/MtgEk64OL0O+sdk0R1tR49WFzxTVlT7XdH2uma4+1xuZzXYajhVYvvvgOw2lwVZNTgl9 cPoIU/v0JEuT+P7H+T3pdaPWEeGuZWkd0T2FK2Lge4h3TLl83qhsmmSjslmFjbXsG2W/Mdnsp2EV crVmkwQ/FZskEfolYkYtOS6kqKCtjggtWmzwvQaCZ6uUTICOVQaFF2sNFAGUi/KtjLA5/HljsWmK 2IBEMuiDnUZzUx0D3bLyeeOwWWzyfWCTm3V+FJ+h9xgb0QYTWoKBBRFJ27ea+b9Wmhlln6Gomp20 jNIcPniudu7kIepx7xAn6GwdTXqa4rYGac7bIkxD3vhrX62joR7tuD3a80+T3jhpH18xWkTz+05D rb9tLx69sdes4cOYsWa3XZpXNgmajtNz/ur+r2/ktad4VGGE58MNjfo6t2MTeQ1tJChNwdcWajON Zap02ZCEFr+R1ywfZWy9dXDv6ZKvDXR5HkcLP+N7iK2w4XuO0Mi+QJo36pqFRidf2tOqRDB+e3Ai Yz/oaytkv/HW7Czi1MD2EQNffhIFCksBZqmAqFcYJdD2HftywD+kFJaOmPB3No7eaGtWrc9q8TMy wnpXswfKzequwYDp80ZbsxvcdxqbtIu2nZ6FvLHWrMUgxY2tDuQ2Vgh+W573NkeYSc1RWMhONKOm qbsGT/hPMt5G5hydP+CkR6ybYMGivLbpmnQVlrGGP2+Uta/mWm9E03RchEJKn/uh83b5ORcon4+y 2fY1RyQstXYvtSjCpjQOjothpWwCNxU+nnDGbYShaGuTFJWyTZtzsAjLwRFr+CQJt3WA0SeUimBK CclinNeFiEerHJGu9sZW00+qFnaf2QeF2FC9Zp0V+dTnjaxm7/jdgARtqCE/NshjGGLv/pT7yaCB U5SZnSR3FTjZKP4Wgh7jEJuNx1buYCXIiYp/B0qeXaM6cdKeRBkVjpOtUYLhSnUPOgm8cdWyw4Ih bLNsMDXc87lTFudQrfq8UdW0V291jW0CilRh/dkkhaARlkUyxRFVFqnEhxR4djcmH4B1LArQYCG9 MdV0HjJJ3U2uOe2KAJ29cZKSagV/0Doby2sn/d4/iw3BdMW3Mkgt/JIoOmLpCJUuG4zkcHYwKc7A 437eiGp29ZfvhQRMTbnY4jeemhbSpO5PXq7lBr6xVg90y7a6bKOjVaKFFNWy4UgEXNYcPnj7sQFz vqZKRwvmZklKk7gkkHRAzsZ1ldl+fKOp3c4PK/3bB8NnnZBLvbW5+fh/Ka8tyGuU5vnsG0ctOx4G zX4e1YwlxaDVZiNAa0EzAqgFlo7eKGrZAXS80pYPdvdDcysDQk4IJCFgOLrgdgaC/3nr+TgJj22v G9z9xKaPtrcPhjEejXozyGjK542hZqHDd5AN8KeZ5fFLw1J2p1xEqdc7QAgtxEfkJXpC6zn/G0HN ElqGkNaG7MPXUQVbOWdJfjRoBjdp5KKZ0KHuihTXM9pFx443fpoy2qGMllg2DjppTyQq84vzk7TP Gz3NugXf8REkDdgAtTmKStmoV+HwKs3rIu22RBKtuAiHmJKXTPykqCXC8lqn2hYHn6MB4OiiAAIo fEto0o5QrMM5GFGRG50RDfFGTrOkn1DjrevNzdROJjKkaEyA0+eNmmZZ13ddBJDhOoZXst+Yadb1 5JFdkoOxUQGwux+BiAVICx0/TVEcZndmssSylYdcfEK9cwYtaiJCQhlRAPsCwCA1OkxfP+o8tYqi QnYn2rjrNOr3NAJ7z/Q3YUv5xkqzw+z76t90wFgeQr5x0vQUtaYrbaB1qz0x5ACXxXyEERBoisI4 WwVI0HRtsFW0JoiyS2CIgXbClqPe+UjS/kFc8MOIaNE3Rpp+UMqx7EYWNgetogm8L/tADZdaFGXn fHuPeG4w58s3PArDbIgdIFjMlvKvsdwvb/B3olsAeYjihISg7FIVYC8fbraWzi82su20mjdPbKpV sjrcmNA2ldeS3jbA+emkTiqvJSuv5d2gG5jtEsXjQQTZv1kPFPUCd9CmKIyy2cTeOTsWclaHZSco FjnqeLtTQIvq2DZFnfYcHCw4Gkj7syqQA+I+mzC/IaYDdi4kpNyyW1stRIwYsF8JbfeENlcIwLGQ fb4PjweN/uIlSEwuAHoUozTmTBRlg5FGaL86H+cxV9gEnsrlEc9MWlmkvwfZ+B+cQpXUGQ231L/Q 9p9URxyVKBt2cBGV1PPn9IuFMI+AHhMbpUXK8JGDVtG50qws0jYfD6gh+zt8xNEA9W6foSjERo4O DS0Caaqa2XZ077N2bgESrA1NUYQXQZqPuWHhjINP0UBVv5AdAgdYCjEDlwwjvE3sPDu3MslN9rpB HpKls6nq2sUdwSHLuvznHMTjEf/qF3Yd8Mu2fKf1MMQuIhGX7qWjtrzW36vJIQG7MC2f7VEhu4OR X0k1scGvfZBBFjYCwscl968J7fJ2olyE+LXXW6Ql8KhHIfYk4qgo0qbvkKoZbYIZpT+8FTwfcByn 32rUjgRDiKG+zVLIcqxV5f5sGL+yL4e4122xJETIhoLsHgXZxh4uTER2eWqQ7WTJJ7ai7NEGqA4O z1DRQmkE9Ds0bx8hiKLXjTJaQddq0WCGHBBAoubXCZw73zEign73HvFhFaARm6OI6YjrZ+/pZbWz BWhOuVBho3mUqkcwRtMUhTE2QOnnW9kR4mAL6Vxqi/Uj2XNBMZBGuSgwDS7/8pWHsAbZo1K29bBN pwYoQfV6OhAW0vire+L54F6b9etEGud3RCjicxQH2SirjZuHnL/Oi0eVPmRUyhg4MzRHEVxkMgGh /JUNtoxQmj0rmnS+c2RXaCWTLXsWzugE0O1yDyQqtfb3IDtx1VPWj8hjMGRpA1DOH1kH40m4FOP5 f2026SI1OFJcRmhUzBbjaMHCQoURGMmYzj3/mqLqEaoCTPp7HGWzik83Tg0P46gWYg5gLnZOKNb7 T6gN/SrqsdZZH1XNqdeNTm2SrYei7eEuHK3QVP5H4QUeD07tX4wjopfyTfp7FGYTYZ8GrQBl6bJI 4IPOJeAK0MqinTBkZdBa6xFeRH3HxDRDg+OOTkqDC4ca9zCCR2Q7IZt/ou3O+AwdJbOgpMlbj8rZ 1fQMRIdY3ugfqAAuyRzliccj5uz3od1gQ8BAVnMU4bI7sVl5UEwTZrmjW10kDaX/m/A1ytt3ftCf FxtVCzAQ38qLbSJeVJ9/7VGLGEdU/Vg0USIkSUc2HQHwJRG3j1jhIRykF1+wjGZlaw14SDweLaPv hDaj59BQ7bU5CuPspr5s9nstiV4M641cispuCCKbeUr0qJq9xFnbgvnvfAsj0GiC9wbvtXkyP+Tn qNRu2IOg5IXg2oqQg4CRHgFGOikirdfhg00SJFN5V519jsejdbS/4myAY346MP9GwY4CbcInEws6 OrUr6dOi00gKsVNAJJte+4jK2XOp8VqqD/dma9AVTU0SEB2oJCQjuPyaONvJeCImrz2iSFuto1ko 8stBDcgz9aNagS3j8SDSHvl7r2Gb7epp/4gibeCT0JgtduMnYkPEgRgkytN0A0ogRlOPitlTu6wR W8PB46PFSBg/bgemL0N9Bn3OAZ46ZAQBG3FBMSxdfEkwR4Rkj1LUpD2Ljj21kydj1bMdhKR2hNXs i1/nJCHtm7fCNkJkNiu1Q6w+ctdS7t46yuuCjrt3aUdUzSbkqiIA0kB9JbT6K4QmRyMQElo9OHYY RLHjhnhxXAk/qMUMfEeAFW1bwGyeS/KKIgcSUbC4/CeGHFEx+3dXBEIQFeIcNkUhZKSzrEbbQ8Mc m6oYrhjTPoRcRreMbURx9kqq0DYSRXRUDJ1HCX6VctoYkI2W1QQUSBEZIMG7Vz9sFfEdfyFG+lJS u7wngsIBPQ5/YG6Jx/91rTHcRFJbL5x2hGF2IZP2wa/BtMCLkMDdm60UM1CuoijOnsI/NlbYODiX JkHtpzf2RCrEUlnLxg6EWOVZlVAOMNoaNAj4JX8cRwgsKgegV3gZQemzUEXsRO8Zz0fryC82gfmx dtcFjYwo0F5tqzd7tbGGZSPwcktGPlozu6rRCIVFCGFLiHBs8DYt4D1NBqVzbPuvlAZeRAPwjWAJ q2aT1z+iavZkB3Ik8tY4WFMEuomqm50YckS47LFuwR9vx6Q2XSTkCIHZBB2PWRw1AmyBzVHZxdUN 63Ti2oiA2dDnPEsIuA4bPPVH5WLRkgUGMPC1YR2yEKwp35UHl131tlFzDXc/LCV90GY7+eSStevu A48HAdIaX5tNYrDPZovi7FaEEF1XXoQLQKwsYQCY1ELQXpstKmdTDrriMuRAfEHmeZSgwW6e0xPY BQOL/n/GvitJkhxJ9j/OkvIEnNz/Yg+qagaP3HHL6tmWQW1PeYYnAsSIEtS3AM7G9PsUAQKNz4jC I8Kz1po+WN8IJwNFoUGkHWGY3cfXkU15/3wFRkYcZm8lsk9O2/xWg/G4nUdwdbUpCuNsCkQskok0 PKnIuSuZ28N460wGrG+RmEGJhZW2dgG1myHkCONsNtWq/JQrpkUYLToEF34mjC3wA6KCtgdIqlvC 4r1dCuQII23kZ9hhxQ+kYl1tFLOanU0QdPDNFla0ybRePTcfHBNBvvFG1Rp1+tEAbT3TRO9w6H0I nKSq/5aST1jRZunopP4ckAUKc45MkAzyH7gO4vl/lUey/vKC/YnXkGZY00YlFhoI08To+JDE6MCI 1R8X2GHK/Wco1kdYbbZt96iwn6k5l3/dVKtHdRy6F2xCopVYUUkaT4eNXNoZAkdcpi/5oGM7A5vN I6mWiseDOWrf1DXKr6ORaVMUhdqVJluJv5EwEW0Zogb69RZqnxBnZZuikAQpqTUBRtODiaCn0omK QC4+ue+AjDmmqKDkP6HTttJTr0UdFJ8RbTdC/OU0zUFQv72gMs4p6gWPB0dS+4ZEDEmW3CmKAm3k 0mBBXPy6ajjYdqgCZA+R8AVIFCqkQMq3BjUEG3yv4aSmfBgxWmmgWce9ln8Wgo+CbNA1H6l/MMOa NkPsusXK2peVhVoDFQ7PbFU8/i+mCE1+TiQ10GazOYoibZzsMN28wmJtG6StoLLqjdqN20hzFFa0 idHKhYkbBwewn2gWrBlphw70WXizgZDVEC23dKsjbNrjMyLUCKEQWk0cOEXnoBZjGsFoxuORMGb7 Svzbog/SnaIo0q4s+u9sVojoxdMamCTRuYejRpbHRzMkQKq6VlTYLtdWCzckxPY7L38Qa+QAVCCI ObDDcqf2o6SPCM6eIQGSYNExeLNx0FabW6rVbPzi8aAJ+es0gh5L77foP/8qaMPgDBGYaiNsjimn ve7uEAq2xsiMUCOCRCRVtNNXRfuEaeNk+4qzT+q/eQwhM4WC3slaUPr0AIkOkjOKs6skene6g5bR CR+kbo1+Hh7/L0W2ybtx39MoQo10tvuJynJgzXbhZx2fOo0a0ZCYoqierTstweDBBq+NQGskZbr9 oY5kzH7c3LCQKz+LYbb42JSAnFGYnUyyhr4Zk/U5pf0J1VqsjDNTePy/yIwA91TX8MrIDOmPlV4i tV1bEQrFyYpUIdNghNb9wA5l/EgRzVUA5JqeMiQa1okizCe8WLDaRBjVERd1cLf6Q39chPjPqJpd JFSzKIzNwSD+cKpWhW3z8WCKroCW6ADALd+K/4xAI5OEozUuY33Rr1KcLJFFAfGf1d2kZxRmw5OA c9N98MMIwk3QsmRl5ETsiSFmRcFqgHqW+5i3nE0FrRmF2S1J41Bk2g7sgaRWTyoltxr45uL5f4lo qAdOhbx7Yof0R1ZqKThoElqpun8WCn1+qe1iGusz1BkhCLIW7jgOntGucwpsNH0gxbIh8MJKrUwy Udasz60G1CtfNwqOsiOObVBKe3J3UURhyIzHo/hxf80RuCK9XoroikLszFJtwtFgJ3YmLAtMiKnC G3uQiGS4kFaEGyF2nxVHH3yvnZMHQSTjRwBIsAxwHEErDO21do1pjNW/QtzINj2/7YOV2GoVYr6d j8Pj/+I/srqF3/Rs+CuaGQNHVGO7aX+Vpy0DyORgLRzZhoVcIXCELcgtnTUO3oI8W7U0ya6eBTmy rIErsZBw2f6qHgGdzM+IBP3IgNBq6pC1Un219lqlFYF2J54P9lr55hzhoDkX952jUBeb0dHM1zEL wZ8DR0rz6IgHrOYoBI4s0bKqaFmssDUGkGm33OBqCAZk32Uv8x6Dc8i5gxBY3+Bo/TR8RlDNpnkt Ous+aH+cn1q3EFqLj/8X7Uw05Fq/BMgVxdhAm6HA1qrl/GNeJwPgeg01UpMHkCuMsXlYJ5qJavDg 6OxZ0Ctk2I79T+0/ihx3qGW1fpeR8KIrrmZLyW8lHwwMuaraMCerqHj8X6cRb/4CrRJ89zZHUZCN tBOOIdX5NIprqDB2/q1HR2vR4B5zFPIfu8QzR/PBtxp8kZIUxiYr3UWW0Rtu0UWMeT+yN7v9K4KN gB+H1d3ZHKGyrapHuOOI0QdSCs9H5exv/PrmXq0eZa8oyk40WKlZ0iJbzEtO0RjJp2hCeE5TFIuM sLSWaU238mNNN+hsxyN5wBD+TE4n+Oh8gQulPQJI3T4z2ctGyBpy1yphbBwUZDN4Z+/8hAV4PACN rG8TAzJO87OMQmi2TEPk9kSJSJqhco5ULBZAC+U6zVEYZNNWIlGDX8NF+J81BL0jquifNTNJuKFE zQRLJ8vKfmgZZb1upHwgNk22YRoOfYwmaW6QdvF4pJ/lxxHnE6soXSX6FRazO2n9jZhZov4oei+h ugX4FEPIMbs1+1eMze5SWd8+OPqogKU+iPDfQL9mWkFCEAFi2cUFfQQamfa6UXTEMHuoKYLaj8BH gDFzpw1U11YUZq90j2zG2Sd3oxicTVIIzgYMJNW0vQNZ574A9u5E0QnHBhPMjmEjlF9FLGGDTdLa 0F0d9FnbZ3+0Re7aghYOGkk4+aZ1aYl94usGh3aSzxrjbA6qHYF8yoV00nq+YyS5/i3mCxGVNucN IcNa9iR1rKblXdpULpl2O8d/MonXHP2tmr0Ir9XgBxJI5TBU5hydyBRssQl3gwrz1YRu/4POHva6 f9k+NCHYmyPYC3BWBAmShY7Hg7t//6JkAyX91Pt3FGaPLKuZnG+Y7Vp1qLW5KDRpLwyPdlTJRh0W F5pdb/0iIk4oCsG0bp7Hk6J9516DacvAvwaqzW1XWcneUZgtS4Oe5YiVr+jRaACg8owZfDyi03zT jStJORcPsd+jbBfOXGs79Lg3Z2UB5OJ4iA1jCU1RKDPCFv5CBd4GW0Z7odExCfI/U3du7EXxfgr/ scuADoffaxTv3yE8W9X+zEiSg+prAxuBR9PZ1Hg8uNd+MfsLsRDL0/4dwrNprzLmdaDbzbRYQRLe LgyFtWD69GGUzTY/lqEPHh5BZ2+LnXaiJrDwFUJC1ZvW1bd4RNw13/av+tr/RNlQbKS+HgT8Nx7/ p86I1EWha3Y1oXfIgJwyX18uMNZkKg6Y/24uEDFZdtMchaCRrCY/dUZSSs9WG+dIhUggLT42ymM4 uDL6m4MWOBSFsq7RtNeNtDOVp0k9yz3M4ZfRJEUFBRA8HskdewTZLaOt84od7xicjeMoU+9VoFqI tpuY357J52i6MeYO0dlT4ll9+eDXGszn4BaqrL+i1sNGNrMQlK3TzdYozYvPiKj90mBRBCChI+6Z piOCliJ4PJL0+Q6PcA/Du8ynKNTyQ2gOIFb6cZ2RkZyWJZCEdEaKaWftEDIiDTZYGNtgISRcYhvB mUhoM1zeMouQQBChTgtanGOzl71tEB1V0fpa9sHgELkvItBOWrHw+L9Uj4gYgdRxvnnIjhAjie3Z qaVDWpYiIvqGdpeEPAFNM+baDqX8pDOCubTBm0YI+Ja0Rc/h3bZkEnhPNgjNAhLpPeze9bZ/cUQh COeD6rQnoptd2lmLj0clyF/yYhl12iszskOZEYL8IAlprKztJbWU1OYvrK4hX0GMvUPAyCJyrQjH Vp5KNqpIi6JlYEFgT0ufHocHsBI/u3o2W0h9wmcE3L5MyaM67uCYGgopkW6y8Xikl+3ntXww0dYr d4bCSnYhqX8kl84ytlpjA5sXXaHwAfQ5OEVxhK1GiNpq4xbXUFFDf5kFyJUHkkEWICep4sTUPNCs PfW2UVXEiMbLBzuK9uhEsrVaBx7/L1SaBfRPvjDIHQXYjUfRTN1tjM9imL7P0nDJI0JJtc8isMis aoQIoFUfW6N5VglK8GzO7gHBbNIfwa5pkGhdLLIpMGKNdocaI5nHNOgUNuhCAzBVGnUnscXjUTL7 fRRBOqYB2KMpMnDO2wlC4tqYrD9SZGTRwpDxBmjAgoo0lATkHJ5ClREKaEyGRho0SSxpjsyUfyTA +ieBR2OcD4UY5/lp7bZnqQXHT4ncw+h7mWy2kkU5J9Cq58Ji6ejMJH9AhBf9bQC1fwZBIzZRoZof C/6VtwInKhPMiwrACZm6IdnPb7VcfD3FcTZNjhZNsjhYnH1OR8zPsnQNV8SWZE0GsIV+quXq+jDO xqf8JVGfFwtIHLgGdp3AujBAmpnPB9lI+6bTkpS7buJPq+kgLis/e6lLpGDJJViRmJjt81ijGNSP jZSwyHciIehQ2+BAttVRaimcJgCoFghs8KI76wklB/b4r1NotveNIFoKILntOuOBpoSkVzX8x4Sf aorC7ZG/j+8GTRYUXH2ewqr2NklIN8RcbTodAkZvmihYObgFS4o9H7njCgn8s+yrML4b8iqp02RQ UUiZAP5inQAAcIn0SGjClk+fEtVspS/KaJKDktvzBWepH6Lrj+cjLNt3IABqSxsXgZxTFHKnKiW2 tQ2oNbsDtTK1vw2CDKCQHVChV42Us4ehkR4Mcq+whk3Ej2yQqeFDDRgSDnBQdvMazvaTXwc/JeiR GEdbfaTtWlG9nkyZIo91wxoihQiSez6x1wbtmtUe/4wUVreX1HxHcURbIf4PoD8A3VSiBPDsnuRh gdtpbMWHm5xAhH0IQgrhC8DYJ8SogGZLZI+0W5hM2144SHPpoFWlX1evfh1amyxb/ICpyucjC63v UgD83ins7PMURt/nlNgrt23dpEQHQXK1WJbmFhybnpaapij6Vi3pf+slKHEi2dV6qvNcnpm+2ED+ b3T/acDtHhGAnvJT/rI7bFUqv3W4ZFSDAbAobUXPR/RRj51YyJy6IO80hRIk1EUoTvFDY7U1nyfW 6cn6B97B77vQtIbQ0CVaHwc/n0gYISkLJkgwKuI8IbffOVEbNnn4VGqzFw7yFPllNrJtu3YR46cG Dd4fqj83Ph81J3+JtE2yl55zPIzDUfuFp5NDt0y+jrrsvXaHt4Hwac5+kQ5JEWgL5vI2PPh/mNcg XesnnS60cofo+PlyyJufj0qbOT+nv51rmvTZOWg5nUmqSufO4c7ng11Xv1tvxCJ+uUSlKBZfVLSZ IrOLdbu8kFvKclu2hmKBTVNU7RaMdG6FT/sJxkH6OsmlOgK9pqaTngoJqIT/0F361uCKvfBfYr9V xmz1GrNV6Jk3sQAYZsb+Nd/XHeRQ2r6s7RwaRU6q/cJU9EfikaMkK1S2vtxolBb1ZoIY4kqEJKWs nQaHbkOGYvCCgdrvmUB24AZDF/j90OnBrTTplJ0Dp0jwtlmkLAIqFbcSx7lBt7STBY3J56PMzpcT 9h2AHAMIBp+mKBpn9+3MUruuGvQcZoGgUA5NhTgE1zZPEbaE1iP1HGrTB83Tud3OIVsASwWqFFy3 oXOMJBMCKS/rRs4jOXaLzOK1M3npXqgBAB8lKgbjpfL5IBgv6ysowGoiJsunKQzG5WKzjfLH4MnY Nlk64tRIGhS852UXGkZiB6CCsoYPXo3DHI3JpGWcWGrTX+Ic7AzuQP0DysumqTR736jHxDJBnRL9 Z2Ek0XFnyEf7/NaDz0dJ8IWWcn5pnL1ucheYRqLZXpUFd5unsUzJBepDyysFHbV0W05hMM5td1b9 HXyeNjx/BgW32slVK5BdCK+w2aDi3NejusG+dw5cI11rk+6v/TGBpZQUheVxZ1Y+/69dJ+kpxAUX pZxD20hUs6AFUJNNE6qAXv4Wzx0xAbw2fJpC1iRusEqlPxtsmuZ5HPpz3VT/aYw2C8RDkVzBXDPf nEVySTl0jlwkTKDDrcFigrSz+QtCIYrPB43dX/YRFXXgdi02cuAcqcY+ddpdmiyPZajJVCj3JTVy NOBt24WhOCWTUNLzwU6n89JwghYncANZTMJXly02ioPQKnHMkoKC0DtSZrYDK90GAy2dqVJQcH4Q n480N3/hlTulP27slEPqJHmBfVx6aZf8H6AUMgEXmwsaPsqBc4g3URBe1Qmvt59ygknoYcPpFAZS A/62W6Y2He3dRS62nU8lqfiUQ8ltgXIQY9lgyPdhhJOWy+Lz0TF+UxYWPcEu3VejLAcGkue5JTeb UT0JVt1g82zM26SAJ08MTVSkVEJIrhxsbPCJWguMQOABOujvKTNdztDWGdRxpYGkooJa7X3/WE8o H97BegZ1qZ0JWgufD9bT1SYnoGIjFh/PvotjcXYN8nDxzTK2kyj3MpxFOadKc8fWwEQSNwkRp132 f4/6JspuifxSWtvtsz8Qf2dYlcC1LUOQ/won0nySnxLI3G+upy6RgN5cxrW6XTIswfn8vwTKuXKg u/3IcOXQSLKyAYX64s91ALzk9+0+SSc2nF6ki50ku9bRKj54qQAibiOL/b4QsLKOlwt9XgAP4nFi Qlwr2QtHUq6UCJiiOk/HLxeYelXtpNn4fJC0lO/cLuPjV3uyltBOcjB+Klo6FCyju6cVC5LBLGaH 7aSS4NBPckmqTPKI2yl83HcQmejUvjvrp00JcZ6j6swXRbyw55TcCQ+XQ0PJKU6FmuIjNUNJDDC8 SBc4t0Pm85FA4Pzad7SEyVcgMIeOknSkAKwPLi08qdaYpjVFtBdFuKFbUqymElpKDnP/XcUH77Sc iTn7Dh49fcGup7AZxRotYTqAnDkNTp7boaWkrrveVvHBsJWQrVw6xiefD0qZ1UvjjJRwgI/rC5BD T8nFflSv3UuZZbmmy5m6ZlWohV/Vtl1gKonVxKK42DccvKRyttVMtLg/C3ROEHNRysRswVb0RwpK qtDlmuyFowod05WqbVdIdOWhVM/8UAsERyB/QITW+WW/BVwIQjifqBCKQuJA2lmiJXspo8PxRLNs 9RD2ch5TDnwlrbeJ6nD1wUt04Lw1eEsAqgsJZcxoZik7036wtKvqDnCHvXAAI2CVoOVpg+UtqqrL mD13Ph8VC34r4UAgZDzHU+x6g3lqYr9RJHMa1gLi982qBcgJ3Os+MJdUmR09u5V8cCRBWsTWU3Ub ou9oGUCwCx3GUsR5vY3yueyFo3kiR6czGz4xDMpXTPDOEs5EyI0Gu/vAX1JaMb8Dgwadbp+o0Mqd GeEi4t9AKfkW6aTRgerThh6gTVQUkG/DEcqpdD5OpZUOODh6AQA7+SN1A0pdLEgWgOTXwyEo9r5/ qXQMAxa480eBcLC4zK3Xxuej9fRd8yW6tX6tpygeN2izHDdhPn/WtbOZzzRfAxzmN5qm2Mxdrm7S 6KpXo4t6x2cjpirhoHMECbXKKBwEjbKvnyttLfkhAfCiUuMVhE4bVKMDq0lpS6+bz0fh+C/kBdHk 5bnuotL4YMk3kXMl6MWwiBNMZEacQqc8qymEgPOeo6CuDbbtGqL5AoVxcFFPBoQ9PFH1nz+D0T0d LSD4s6nhqBcO5qnrviOnWV1Y7rrzVbemcsGefD7CN/tyorIyKDsjPcspJlvS+pbdQaXB9UJUSrlp MAVIlAYHXpNocfJcAoPRhgvj2XtQTenME9A7Ko2PgqoPDpjGI34+km859Jqsip6EVRlzOnWnLVp+ EOGc+XxwOK3vqgrEN8+ef2q+od0kupjI7q7D25RNUFeVziFzA9GCraeYcFnULN8+eNpS6wn9CiG8 q+eeIGNGPk8H/bv9kIoq1e7MHiI/JVhPmqi8uw9aTwuSMNx3Z6r5fEROuWEBYc1guPd11RZy6DpJ Ds2JarKzLmeal5k6vO+yRqk+U7Ht5JLYUh4++IXXzp4AOFUHVGkSGZ6QhyLdmerr3jPv9r5/neOb fRoNlgePQl96q44HxpPqaT0HFJSLBzJan6YoHt90nswyCGadTg4mOKA65VQVF6CTYtMUxeNLcQE4 +zY4ZW6BPUoqc1+AzQM+izodeotgZMGD76GCd3vhAFsgjOEUNnzWfHfeTFLu2nPz+SAP/lV/oubS Y0SRY/vJqjjT77t00gq773C6u1XXCRSrz1PMvpQlDgHNHGzjnbsynZkSveBcDnCXpvH0BnENlUtC AQwiRhRdDh0o5SLQqnp4Fbf8VILXqrbSufgyf0A0UZ654AUJS29PQB56UIqlWur2dguhGyZzsqsH BonxoCYqisitezfFQZ1X5yQDp3d+KryPBozSJsiMAD81mCrjBoWusLkGW9M8cKEEGEKuHQQ/naPu DGoInPWv4Bb+IvwBEbggfaV4OEiRqd+JCiNyFuoWNdSF6pGs+WKgOR3Vs3EQq2AQGFHaAXX+ngp1 6RbqoJaazzecVTDo5xvHXDIjBqkHtYnaLoo+L3vhvyqavdt8QaSRv9v5U6doJDqnkz8guvSu4Am+ ykkAVX6WVBSSZzF7yEETXEUQX9Lo8na4ChVBbab+1DwpWwpUHGymGvWFcIhWFEOgrkb6EzKWRRgR Dd+N25O6vXC091QtMJjBdhwGFmoTrge1lcCTEj+ifU8UfTsfZ4EculIOAqAKfy0VNYuVo1CGdX7P CRZdGS4HtpTomqqaue7gxRV0nKhpgs75AtkHPbxMvBgKBogIXPlkdXvf4M4jMQP+hj4oKIdXntSF z3XP56Pc5d55eBRdsrb2M08hYIXuVLDXtK1XyQNRUbNlVz9h+cXmKTanZHUFsYwNzqebAPsidILN 2UnuYNEJzGdBeRwq+fjptvUovsNPCbYeW+ddgLr+AOr6QLDGcHN1Ph/svP2rSE5Kdcp3nsKoXLbU YhXqj6hXEFA3Ojt3VRIoDuyJDSq18UydYdyiwfkz5c6oen6SuHPmDjZdgLReyP7zvNoVmVJH/JS/ 5Ko7FEJs0MaD7j6beG2vxeeDaDN/F6EytHz7KBdjEHpUNjrmgpB+pmTwj9lV9DKulCJzasAKVdUM TSqB3ABwntFBv9EBopqO/hTSuJGBFCPE/BzvTabLAwSUpz3Vl71xdEQR6zup9sGBMwUD3s1Dpybk eYFPJVbktxsDIFRtzxtGhUaVXZiVeV28JYDPcDMTiCcPHZGjER0ETpUINOgQw3aCBisbnD2XgVuh Q0w9AVaRJDNr2ajUtnYlmUfy943Sl6WjqWqwrjBwh4PTJJRBYFX5XYTic6SQjFv+Da0qSWI4U7M9 zWuSIuiy9vBqXRrO982xV+VsylqWD15d6bRfUPd8tLSEURSmBu1WtHMtyxuKoQKvSu3uTusjH6xq wNCf63fo+eDC67/o9fBfBi/FpylURKGUxUrNk5fZ02VtzN4tG56pOcggsKvEzmeOl1P2wQF1hSbd RdI6ucGMiBAogCAKaVHzAsVUqwvtKmuynjCFGqB7oHyjnq+hsaMHVQH+gAh4WP/POd7mk+QFjpUg GxNGt5c3O6d00BmT126cO5y2d57CKrmwT42eQxwcjIE9R1FaQsUAJs8kd0DzF6WoTuChmel0e98I x0rJ4UToCgflwnnVKapdBgQq9KzMM31PEzuC15ohB6aV0EIFHyEZ3RfqE6auT020KxtXXaAx/2Fa OYQtyD54VAAnY/weanWimUOrAdjmdfT9MmQaLRWGYqI+JYgKWIUci4oEHHTsniRUzkxnuiufj7Q+ v50HAFXEdXynKaRtngsaDt7aaXDedDIC1UtMoHm7fEwObCuv/HDJd/BEuMLmtg+RW8bZyZIf7kC9 goOYxxNkyvo8h8aVjTEBoPsYoNclFSqoEZDL9INtzR8QlH6vSyxV49GX2A9dKvCuRB0SERNEOWw5 AfRo+V2p3lOAoqkHT4F5ZTZU9Nm+d3B6C1RpRzHRBvysRDYCsIwLFafCS892HQXkcmBf6ZroTcoW 7SpbQBK7yCj2ZEx8/r8goDLoYhP4IJ+oKBpfSYS76qJNbPyZcvwoBrNfY/TiExUhVipNLMokD5jD RdSd+yCzq4ba7/k/icix6gZu4iLKXgK7ogEFHpb4RyxXtRK6txIAWRkKpTdYQIGJ5S9kD+ZpUP7m ysh9XkOnrK8HqW/xpCXt0n2aiOsjwGACTcXj6TVwytYQhrJj8sFJnGf7joQCDshSJ5lLRLaMTIEy GHMtB/uejAUv+xo2nXeVpuWS+eDa16fhZIxpy+Zz4emXCcqPeKw0euAWPCrF9VnxCqZnFdkMo5xI Ieupnkqmw/0kBhrAAjpMcxUF00PpPHhWdB8urABo5yZYwclPzA+FHJdOriMK8GbSsHQqvc7PiTao qzyziNLZidJ5I0pl++CE8Z/XaCkjjChf88NeVGZnE/PzGivlbOZMXVpfPI7UzMTyQTXTdlm+Cnuv kRKWDyemDgYCHCygBBJJRSzIxpYzO2InYFMCvPzDc8qtBzrf9X35FEmi4ki2QZviHHXCEoBS9HkN kvKDWNX0VBIRky+f1xAp6y6lDKTLNeRqvnrnb0+jBZ+IGyub0/MaIGXggIjCLNRoLq6kgN11cpET vWfpD547h2wMmE8sCP/un7GveAx7DJ/X8CgD+owTeiZCU0DRNKeODLU7nnno23xeo6Ocr0GsLZ8k H0Wbn9fYCA+dPbkXrUo1PVS1lT0sMNjeJnCywWtklEWAp2x+9sEJrScoPEsSHNNB8xA0AEGEOn9l o9ELvUXfXRTE/7zGRTh9lK3llXyw8tsJStkfb+dQ+rxGRXjajmc7fYCbbjRloCldMD00lIUPg+s0 QBzYIuxJjy2hBor3eV9jogwhDuaq8q3i4CA5tIGss5TQGO8EqiS0aCmLfk7XeduXDLBfIyIclbIa mNKLm073PakNusbcM6t8XsOh/JCibX7QL97sC9AA6nV+LrugP924PNz0tCW39VgdaijyrIjmp0v0 PCUfPE87wd3J1tmLWyzmdmkzoQtOShF6PZ7Pyosh2l8MFdkeZlwNUicn6KxR+v2c/Vr75zUOwuk1 7wJidIlwoWbfYK9REM7nc7CBX+OlWyWE8j1h+05hNQwzpDYcHc+S0dvETnLwZuVKhW4epNaXtBgr nsMfhTZUjhBs3aC66V3fry+1vafMvDkY4emsG2Gbz7/8vMY/fMNs85M4lQUoJt9gr6VI3HlDTvDV DyCIMTmLpzrtYuzt3LnXQiQPB4FyyDDk4JSwVMHFRPftzA9gJ9CHzTBB+6FkFlWODQ5HOuXntQyJ 35CRdFGNTd1BFtdOiE4aMlSZ8PT7/PR91w8rTOdXHoJ4UTcjmCBqCOCA8AZlE0wQOcCiX4+QAUg3 pGDxV/xz4mWhdYn21QS1gcb7pMvCyTHPuUFxDVoKLsxNkcqwYHD0X3qtPuJLEJyyc6Nx0Am0UNze Xi96rT3mpwpiJ9CJRCnuYPFhFD+jXgd2ePMJYgXbiyC5XOLObk4rjGZoKUJsywfbYvWcyR3QBhII UFbt8l0+Z92JuheC/Wt1huybrxvsMYXQk3c9B62hM98CIcNQ/fNOlssq+2mOMLf0XpA7pVDmwRxB BpFcOZ03e1HWQFKM1XkphII4aSeaIlUduyTP+5U8bykhMN80MkW43miGAl3+9QNmPnbZ8NYt/JH4 ttE5LUKhJM+fhDUV0zdqufLx4Jw2pRgto3VmeAAdZVP0Hkefm0wHkUhfjISWiVafA2S1SyeszUuz USC9SerK5pvjkDQlGuenqnV0AuXzLcjGAyiyDqQ2lfMtz1BSH0XSUqsaXXpD3fWGKkCZVR8HVtN7 KJ2pl/51FAFYBld6n6MomO5Ec41yz6K+b73xRCmOASi0QhcGIDit6YNX0bz0wQ+jnikLz61WwPki BaVANqwzgYTn873Nkl43yMaIMaXqrg3KxiCEQcUgqOl93hlyTB3G1zqCj8fZ5cnn6D2g1jEL3Fdx YgXotRYRwT7M5mjV7Ajv95A6S2MY584dbK8tSuiikQZ+HNQX2c2Fce65dhEggLpNXGAmSOPzzo7L rg+3OjvaC8Jj1a78uoX0ObsZRbRgjsRa8zs/QxijTVLmOUlRWN3ZqG2pORi3UtBYZicl+4HEpqom 6T2uhrkmWXEjZx8cFXiutJEa7QVg37wnAXEDdetO12eSeK0iS1+hd24cdjQv/CV5pnV/211rlQs8 7eDeqXFIIpJPUuEkwZJGVrCcpDC2br+OIXb9h3f9pfHBrj80rTVJUXDNsjVkBLsPl2kJH+GhJu1o IBGSadkJ4YCIOgmklpz5674fSY1s+VGlylRtkgokZ+UxeBbG/rzz4iz5/cru8VPqc7FF0TUEf3Ei bQfbVBbXWdsvu9iaQsnLF1IUX/ct15yyfHCsTQURLgkYgVJQI3+nghUzskBJbuJVQCHgh7zPkYRh 9qa6JwfNEbK9KvclgNyiCLuv7woIrMMHJsDm6D3EhhyTAKX1OnhSkl4wGyFOFyPI5hW0KMYeKhFN piIcboloQTwiy18AUjMkMEFSH3sjKz+zcrUAk+8xtotTbHVmORgO8ASgPAJrh3ZOFGSv7Yc2fSFh GUtmkKYoCrI7reCGW1IyhnR/Afm5qqYPOKWmKIyyqaTXKl1PONgUNRxHGwJpqKKdsIDKuYWCQJAl +dkMsq2ib28bTZH8kgQpLQYpxXEExMUPYUjr886Ew06r+es4oooBiCJWZozC7NpNbNDvfhNhRAyZ GXMwkV1AzanOGIbZ9IEFm8AHP7IH+a1cRYBF1NqlcQIuGzJXLHaXzMHe/ryz4LLEEkBjFhBpdxdb OD+08DupJ0P+vJPg8iMiYJnIuf5GHn4YvXPgslkSONFbbnndp6i26tzTlJ17+k6BY3hEeFYlo5mD H0ZnY51XV3i0ztyBNIjcYcEHCLYFgG35YcRq4zsFjkEgy0VSOWngfBYDSI6emLp16Ju8U+CydZ/v JAE40ttN194ZcNntlyaRB2a/VG98JNtzaec34zG/E+BY0GMMuaQxvB6N4XPfAG2khLYC7cWEdjNd A9K3sO1vWLZhrxsFSEmprCSFIADK+xo85soewjiH3+ed/sZJrl/nEaglle19TVIUaNOw7qSXy8tq YP38uGHeMB3UdX4FO4/e2W/M/OprwlbRdzvBCwTt4S1wshB5nOI8WNg+VFZzOA21Td65b/gQho8U kbFBgfbcSXKr52Cqn3fqG88zX0hcgJCh6L3dSYoi7cWVJBMNq1271tIJLfeFuAM3y2zknflmlRks IRFP1/XvrA1egiRwIPEHwYit2gJdYgIhzIVhPgZM78Q39s6kjyNqSXFqyYBKfGcWdo6/zzvtLRvB llMijcHzw1AvsTmKAm2d2i2V5nPUkhsMLH6rympR0NAcRQVstc9SmXfwAi3NriaLI1DsrkCAz0zM 5MZbF5RmiLLFsb30ukF8xN5r27In3c3iI7rLm3DeXJ93zhsX+6/4COC1uT0+eqe8ZZegYLf9JiO3 xq/udeVm61ZAeme8WVaO5lCrPnib+nwPJzSk6vlGl6jSEA4NzJ+NLCh3ylJZD23pdYMipGAvlWCj 6TIXkPldTRCYcy983glv+QH42akNnCDuI5ujKM7WHM39SJylbeiQTKMsHUgD4aQOpPc4G+xuwvs6 cWscvIIE/YwpxTyYMRdpNY5CH9YOBsD1FSR64PNOdstymu8I1FlHQh1T9vYwdJRz2Lke9ued7IZ1 dLNaCg7RdjHd6z8qZTc28s/xftdRulSSMaafRx20Wd1s73G29P7OAlrU9OZgc0Rt6lSpqHCyBwD/ ybgZaMjDzyCDHmJVNtanP+9UNytvvIVIHT4G/OPO/fPOdGPj0KvZrPaD4zaeMzsKtCuRx026U5LF SQbL2hKfszN7+3n0Hmh/VUeyD5qjcxatDj06queLtKV2NVs1CJfqAwlhuf+d5Ha3GmAyPuheq+f4 5QHYziH6eee4Ee/gHTVClDv034ovo3eCG5YRayNVPl6ygffaCDqR7pszmkeR7/y2bISk2oBrscGW EWo6J45OkoaHtQpZARMZYAcMdT6asGBm8jOClj73GKV5+6PQC3mlbpLV58z/vLPb+Ir5V0ZLpPGd oijQVlN/peJ+J6s/Fp59u98J2rI2RTEoBFM0QTiwwVbRBpOfuuEn35yFmrCYRQqUkEUrN2Z19Yve Npoik83PPthGy4LYQUmuf96JbcQHja+Nhj4hEgCfoijMboUR5EO8ZS1NbaOi5JaXWlrGa3untbHp 1Zjtc6Y4eAS5oD4JgQjKT80uA88Fj+YGOA0SoQt5HHrbIF2jo9CUEPOcxrY4fzOfg58QtbP5Pu+U tl/nNWtHINPV4Y3Zd0YbThAyFPMcV6JLRFtwk2vZhlk/a6CZMt47oS0n82BolOLQ4JXac0rvBTEi gIzPKW1GXziuTrIkgP9jAJ/0ulEmMoVLk58wDIF5qVEcgCDjk1mWzzudDXN80UUMNokMufCQdzZb dmuhQmYhJ6nncT0GSDrXJEFFVOsoKmdDfeYcvZ3Oixxsq82FRh307ioCSSisMzYgrYyBxy2MsNHx eWeyPdXs1e5gcPU6yRaE12T5vPPYfoXYAvVvlCH9SntnsbEjJ4HFm4as1rPttPMreIMW+H6boaiW nQahV4tgRw6208Zu58ejDkV9xZPOCm1TdZOd1L9fCy8qI33eKWxY9KSwTfqkaNCpcq4TecGdtI+v GC2i7ymiOG7bDhJ556/hQ3kYzZH8vEYQdKPH4iiakb3c/05fuy1a6FL54NHjOjl9nU2egvu8J91O oAB7brNGuEW6jEiC+N7pa7e+NjfTWQ7WE2kd6xD9MnyN7xE2oobxa47Qxr5AmnfuGiKjs8P23iN5 eS1dt7yU+3ScSN9WXntnrt3yWmGVGsqJFFUlUO3srXO4ynppU9Vb8klni4M9fFYBDhVl/J19o3fi Gqv1DIkqGWdUB1KvBzmzjLDPzd4/78S1/+mtoQqBMorPURhhV1nBmffSL2XcZMTkMuY1FnhnrTHP 5BajxasGT/jXuZS3zqKNiuqmmiD4U2eTgSCA8MR1lrEyPu+kNUKamIVMGeainiWodK15kej6g8Pu 805aY9fJ9xpVcdC3areU/U5Zyy5GbQtpcNsV54qi+umZGqWxOElRKdt0EaT5xMERR+fbRzWkkdpX EVoPotPPR28c2IWQRysdkbD2zlfDVypq0ZKOxHIdCUyzIPjnK8ifd7oa3/G7BllhlbeGH9nvbDV8 6CZmVqqudDzBSSL+Y+lufnIyfqpwAfUYh9hNpkLTB6tBDhiebDg3QIRrnz3SgE+i/MmC8GRJj2D3 oJ/AO1mNuGAe1kvHkmBWIDoAOiin3Fzn552rZnv1mSPaY/c5LzA0xIzQczlDHzMrne2U1ChQlqJl CzDYAxo1PxUL6Z2qRliZOFgz++C7LSFIR2+OJqdgArAsAgOYxddOV4ArA0LID/mzUFsIveZgLIfZ B8trJ3men3emGssSns6yzEQd7OQt2neiGhYS+0a0kDIGO/8NVg+0FY3BPiD1IX7oO08ty6gIsKwx fTD8LHRdN+1/WDqSO9XMdOHaBL2negnsg+3Hd54aOz9yOOHBxEFztNO5hQmIOIfV552lxvLa9+UP VWyAx3yO3sNsQESpUNYYQTLiBrbcNhvlndBmm3vRnPJkQu8cNWt/4kpTla21WxYBM03EZQAhT2At gkNB83pBPxH+y1dYmQyHd45als8TwmzebBw0Rw0cRM7ROlH2O0XtVy2bCS1QxuCA2RyFUTadvM5R 6jn/GtatLSqJ3YTWmiLvDLVbpm1EzWh4AqQMQgmj7N1PxpYpV4K4tUO1Lsu4Urx1+na8E9SY0TIy YhFag07aASkmcTta+7zz09gu8AMJ87GQkaR7+b/T027Srz656iI9t1sXsZlD8riMxvfOT8si+pxr Xx7w9fGAP08m2FmQn4Zjo0KYGyUk5BXwXkBU5G5n/rbRFG2WZ5XR1pvR7hN/ybu77/F556YxIf5e RpjvCoaHTVGMGKEkfjEKH4S3rqFQmQa2ofOSL6M4zmZGO9k24mDLCOois2XWRc4dt6kzCYtP+B3B h/qxpc5TqygqZPctngM1EDgoW8tsXWIVwZvynZb2q41NMyG4D9R1V1EUZsvTpLbhDZHKt2QMydjY 3IRrtVTknZPGnbaYyK7ug+WzKUP+QCqAJxg9t1lje2myqZZhCHfVgQfBou+UNEu3UC8GXkSDVtGc hV3mH3whn3dG2i90FnWBEkUob3QURtmUct1yU+C5JFo/7TtXNm07NFJN6aeFmGyCadTG12CrCHyZ c8iUJKHEdS4jinQSz1pgxcaEtqm6lvS2wb3P32pW5bXVMsJ8klk0yHWHZjweBJD9G8BGXS/4wtoU hUE2MMRp1+6l/tm7q+Cv9mCO0cfXFEVl7KRDqPBO4+DQrEotHtH4T3ILZXJMEfTJ68bGRWvVfbu1 00LACHnXEz0IG7TTzjKlnPBZRmXg8aDRX/y8Zn8I2J6etl/8PYqyIemG06iodISLudzKUbPK0Vip 2zLq70G24o6JYn7zwXYaBBLhRc05OtkaFS7Rwe20YIdl3Y2x5RPQ/2Y2QkLJBy2jE25JuxxmS3g8 whz/qmNDCgJ2ZTZDUYjNrCO1frshRSh2ykE8xbVMF0tOUQQYkVpGhwiaDTZFgzSTxFxtAL9GlfyK +PGnbHasqN0qp1yqZvSokC078kUpLQ2cowWYt6lBnDykRyH2bwIWViHSbZ+jOMTeajdurx1dqOjJ EauHRhNqTDywe1TINh+FkZcPjjsC+2/g+0I+uyBDi8II9hy83yrUwuut0hJ41KMQW/A1EPQ5oJAm jtGCkoJ+8VbwfEByXN+TlOFdi0qKz1JIcyxMY6vpkZ9rTfaLFB1DDUws0Ip+L4PsHgXZ2mWJAD4N t8/fIMXGmaD/NQsDGdoQP6DNgh79cNKpmNGjILvw6l9YhCRBbIU5MKOWqAIqHXg8OLTTL6wo0M7z NtZ6RHXEN763kn7WkQQ0Xthsw5VtTyo+fYrCGFsLSVwIDt6e7ed+TZlw2gkr+MqsriMdGaDg5dJu EXKwCNmjWvYaIskqgGSbgFsEVbzGhHSctBPPB3M0vttG0FQfMGa0OQqD7PPue65dbwC5HHLcupt4 n83SDXfUQ76jithUsK+mqaNadgFKewkqWqBKDsJx6RAxGcAdC2prBxLlWnuEy55VngASteWeY2jc BmUBUPgsdeP5YLP9IqRj88CUwucorGZPZmgsPckBvlE9q4hxzfosBdJpWYJTO4yyJdU6TaF8eoP2 BJ+78b+BnoV2VpHDBDjhm6KsFNZyZU173eDUZsNoLBngrOJsmgqfIFazz8zh8eDUHj5HLCSjvAJ5 N5uj9zAbmQgBtYWsPWpoQfmQsmK5yB8Xp3aDXm7lQorC7L3M9mb44OcRVDObo0VRxCD3GIruPwDY si18jShp9dajcnZlFXKpCrluFfKcp0UVgHpuTDweUWe/GUcs0u4bRPYIl41eBk6LIr+bvVApVu1o yPlms7NWzDGhR3CRIXGjNcQ7oj1k5cWGr6pU1UWgLIZ2MOprZ+kuFLkzLz0d2TQFwIdEARKr2Vnw tezwNX4PgsScexmPR8vI77XGIiSq4dhINkdhoE0T+JvGAgzhVbVEhTRecWe6lk9SVM1WG5tNVhtu 8QjoWdyRyBhqhYI+JglWBeRAMrq2IiQp3/iQKKWlQJ1EbPsVsYUyvGR8zj018fh/WUeQLfjpfXoV ckSBNhECJwGvnvZX0liNTTPnrYxk69GOqJwtgZVKHV8NPkmD7MAqZXvUggnNRn8byYNAm+KJmMb2 iCJtqT+synb2qsNxDfN8DfJ8XSdhG2GkPb4jbXw/bVdP+0cUaTcKry0CPHgeLfkGsqFDFUz6biyk 8eKpx8Vsth7LuINj/HbbZymlLMHDCiFk3j+0F580xt1XUazY6wZzpJpI43k0geUYah3l8xXwQBnI akdYzb6dbMoioBY1iydsI4ZmF/ZCkofaiHZ9HfXirSPYk9gkRdVs+ryeO5wI7dF5zQxwElFZgVNg UsaGNi2DqEksEqKKcTX84BY08BkBVrRJhTXbUBVDwlpedmP9/AeP/xe+Ec6PCtUom6IozkY7lSzR fDHHszjEf64rJ7JdD2JEcfZSaa0IVqR1NHhob5SPyLuC5+TZDPT23ggBzkHCnXmvfngr4jP+4hrP JI+EVD3vR1GZByCgBXg8Oo6+txqA8siVfIqiMBs9RuL6vE6b1aVlEdIjS9T7hhUhRxRnL+Joa+ES 4eBcmt7WiRdHUnPtHHwoNBWGjzQCyFxPoq1Bg4Af8kcnu1KTDQNAGryMcFqQzQWSV8bz/+quyQgI Cd9zZEdx9hBohBU9cbL2cNGn3l3A55way6SPRxRoS7aH3TEbLgsC8pb0UTnHUmkdktBTmpcNIIGO XMSK2WT1jxCWzWsNWrc+WG+NkiUMj04IOSJY9u+clikt4jibohCWTUL2fEB+wlxyimq31XVCSHSF NEURLJtW7icXq8uHCzk+ETDUmzFF59yj9S1qbIhONiOdL2WjqreNWmvkPlQxIapLQ+cTdDVeo+cs H3j8Xy0R2XlTb+GKr0TFbJx96IN0Z4imcovZuKMdEFG3tURGFGUbuq+RvQYIG+IPprQtlXPNN8mv DFAfWIaEIV1jEIBszaYI3zM+IwqOEucmdx+sawSyF1siYNGOMMh+5I3EBMD03CrkCKNs+rqe1903 pd2e0ubebBlB4N/nKAqzle+nzruNg+20BbjgxKIBhSMD7EHTaRxQE9L+aOj6kb0ZQY4wzGZJpC0N k4wyTtOECAV/89Xnwg8I8v7qHIjGv80/QbXM5imMtAsv/7XviUTupWToarJi0jpnr6X+IyxpM1VL IKnZYPN0Tm20W8iWzQARyEEpwchyIkIjhcbK/ltSPmFJW61scoUK2r8/wpwDW0GtlJ/z7wqej8oj 7StCoqLLvhtuhiXtLlQt1bA4XyW5GF2d1f644Hep1H+GYn1C1aJbZoNjszE3lH9AqXu0KfneSTED LACCk6zBRirtDHEjnCNqfdigY/vkf6JlgZiCx4MpauNrisB+aXBLsimKIm36Oqa0q7f7SRlVWiv1 aqW1VNnnFIUkSAKPIAHhg0XaHXrh0GXkzYYWGGKpc1IB7w5/6ZWeci1Oe3zGn6QswdfHha+jTUff K7QRCh6PzqRvaI0i0kulnVGcneix1drN10oyj1JExMvztTQc6zdDCqSkaqqsWeqXNcuE9nch2/gE RCe3oi5SwiwuHC64IK7mI9UP5t8lbbcArL6MxomWqC8IunHF4/86t0UpBZvnEkVmFGhntiZg5WBz dI5qJ2X1kR0yynKA5igsaOtqS+qwpafDtlBxMWUxIvuHXH7g/4hrm8ml20kSxTZj0Agj7P8rbNjn bkn1o7Pg8XjQ7R/fSL92ljFUcn2KokC7FsmLdEnOnlNjDLvZchWptlObzkmiMxTvkzAEWos2+M12 Ms60aE+OLsSJRpnqN0pYkKTaqf0o4SOCs2fIf8zpDeN/YodZBRo5iwuPB03IX6cRslFiyG2OYtAI hUaou8hl1NKT0lI+hluNonuaowg00g1yXLcPD4gN8Gwe2AsGbpC7PyfzyrxTzn4Dh8oiJJpIzijO rk1brHYftIw2ungyoRkDj/+rxsY4O1El4J5GEWhkZMliPnyjq817tveYHiCpxIYpisrZSyIsUGS2 wUsjCUc0xMqgQHhmEltsAh9JG7nysxhni49NCcgZxdmmQDspTL+go2hZPzkbWBm5NTz+z6xf/TWm a16GnFGgjQTonEbT+Hxor7H2SDvS6gfTWU/TQLUzlPEjAY1m5DZcfBY8FZtO7IJlSow/ziNgP7bE obziv/W2QXdNgkeq2HKwCluikhuyvs3Ho1zkGzWCglabF+Y3I9TIRHcX3GkXP1gXe5QnJex5YM/h 7McZlrOV7/M21+Cn0UqIRRUanXfKjTA/Wt0PdCMzvcisnE0BrRnF2U0SvUswP3AtpHqVQXvnXoHN C56P0Eff9Ed0diuolzZJIf2RdGysWysfdZk+8FZbrnsEhyrrQc5YZ4S8RwUA/UurbiM5G9IZGRPY o2JGgNTlgeHRc63RXQMf8ld0tMAOtMH4NGf1Ew5+Qkm+YxRA/l+ySK/N99qKYuycBPLPnrBRMMEo 61wNPI+gpqKFtCLcCLQLETm24YPvtQHWV6Nk7xrI0SnEfr5AYCFBirrWNMbqXyFuhKWR89e2D1Zi 6xRvBW6kVjwedCDTd4ktb8oeenC0ohgbQRRxtPdWozMLA8jFpp6V2KrttRXiRsZth/zqilSIWuYy dWRv2GMAEYieRkFzfn1Xj9iSwWdEcn6MsbvUe1FiUHkVZfLCT+y7FjwfbLXyW2cER+EzR6EuNlvJ hEkbboT2JaYz4matC8qiNkchboScIwhmaGBJncuIwtJk7nfoH0203s52gwRuBYwAgfUNjs5Vh8+I KmyE1lAEUIO2B1C7LCJC9Q2PRzG2B0ekTAw21+4UhQTIogpb357yK2pkha04v3/V7db2K0Rmd978 WTSIfGkQFY4/q1OGqYMY2hFzoVKLKwpwUUCbriPy1tv+JU675Oe33LkMHa6melWtu+LxCOjnvTVM R4Z3UG/3xF5/6WOj62g6h/hjMxODk+ROP42YLWqOYv6jdPldkOUR0GgUhkKzugOt3kG2pGv0SZUG r1VA8nViMyDDhwQ1tqUuLZsiA7mHeOYAjHbJzu6Z8XwUH93zCFMKVC1Yqz5LUZgNDRboW6kVsmd3 WP8JiO4crZTNEWNFxexBeuxeVFDnYFf/yc4gMEolbOAW0G4j+OjsXvKOMvh+bqFJGNuKUCNC1vRF mXUOirLhJpBlr9IHHo8QWt/hEaCxHQhBm6Gomp1k1cPaOdfRlnc05miXdQFaLRsLYoVRtsLrVO/g iFHm4ygsQei4g+9CCmSllR3kuNqDPiLuEx8SzJHsRVmrx2CUo4l7lODCfn4hPB7pZ/l5xOQXqwgI DJujkAIpJd9O7YfEP5KzjiZtJ9xbIWSB4iea/SvEZnfJrBN4zMHPI3hvzd4lDQWfXxJuEtbEQiyW H/x6wfnED4miI2ayowhciyCUtaNz5xRGgAPltRWF2ete/Uz6Jyp7z7UWgrM7Qeslu5/BI1Zz3sek RwAZQnxKveywnM3OY1K2lr6yNYj3nR2MrBVzdL4ERkcJ1cYJcnuBnLCatIXlDXxIIMHK8GiscQfV juAtIHmINviOQbP/Ch4u1eJ+uCRtjsJS9uCZWfsVPRIViyIjrCtzjnJJhodYsWY2a9gtLR+8lH2+ qzNRlesIBoA4eJGyoQ1H0EorDzp7DL3uX60j1nZt0Hl0MgIBeRGY4fHg7r9adTLFOq/arqDPjqLs oXtt38IIojHLRHJ1iv8c1WG1O6RAbl1oM/ng9TUEqHvIejWhdQyQcmk4oQZEGSEn7M6rrGTvKMpW k3+UVn3QMjoJs3gjNQ0+/l/YNIIxlyu8/h5lQzeTDu57u3Q/zR95Tpd0DenWBnFZUxSrjHAZKRFJ TyJSaAVR5FGEjAcxN5cRBIBAGzlxfbnXWrPXjYpH0vCtdzDWOlDf0oXaA49HR/Y3ZKSwP3tVs3eI zmYpe+zmzf49uuMhdvXi0arAvUqdPo6yk+6z6YOHRyDJ0FgG1X749Kh4BHrBWUustV1YDYpY+Iy/ 6mv/429wpicvcUVK33g8khnx0whnJaP8eUWzd8h/5Il91psz+5twIgT57+HC4qgF2BSFkJGsLK0U Hy6AHWLHSMlRO+qI/XnzQ9Z5YOYyJaGsacRbbUdhtilnln4HnUZrp8YCwElE+I6RKOT4Pwkt+2I2 RyE0u1hC63yaIuN60qTHFV8n6U5zFPMfmfOjMWOD32qpoY29lYkANUJs9oSuD5OlktOtr+G7wmdE mYjUavr0QcsIJAJRhkBc2/9NyQ9el9Dx8imKlfzoidnXIzPiUwTFODPKgMyIqdTtEDHSmcjmfQdn ZdVzc9E1DZSjfRaOrOyhE9HRxYP+giOz19DbRm1sntS73cHgECfG6gLUpoXHI82j78oREveBW9Km KIyxyVgnE8RYWWuaICRMUV3Ij3xwTVEo5Mc7raCXaYPfaaAckV6Mssi54eD7PeUp01CPWdho1sHu XW8bmWExkbUmbXWh43Ju3LrUuV98/J+ZGv8uqrRXZWSHIXZRca09Pr1WsEVDkMS1wvCMoVHnT4ra aqxgbyFG97Xka0vOSATVQMR3JEFFl+pq+Ufi/pTMZNkKnxEJ+BN3NFnP5mCraO8pv5Vz6OHxSFzs tozEwSk4vDyZ3WElmyzatFnhlzefnd3nb3cursKGCHYY5ygMsdXBbsxGOPhOo41IGoaqqVbJZrWq ZaJqHmzWtrf907OnpeKD5mhCc1gd7DrweESlKV/Xvpxfrn7/jiLsRoPeWa901tkJBg/NTXU27DRw 8mynRWARJhUvGD+c1zB8bjyMNhQ6eO2fdB23ycmdAHf2yIg12v23xsjsslfrfhidTbAKI5sTiA08 /i+NOkJFEHaMW1wzdM5raEQKhHB9rEXm5SbrEAIzrEifDB+7flSUzlIOOo3kgxFb+zmbN85sYtfh QEvI0YKr0iJJB6pbjjxa2144sA+ju/qQM82gHRhD4wZGK31T+vmd+QMivKjHRyzqEvfcn4kK1fzE QqSz0P8TgT2JCMFqthtglkxsNsTXUxxoy36uJR8s0IbvQ6eoAshrZ2o2VTRwyf0Q3XZ+wXJ1fZq/ cASIwESxVGMD18CGz7KFSDPz+SAd+SXIAjYZ6n53nkLYSIWNMbhGqiDl7u3+1FmVRtQNIU+3Okgh PJtYCBLVbPAOW93YeEOp/6pdHr4JcrUr4S7AHXOtQrO9bzBNTEhGn/KowSZk/HcCwknAC1hpiz8g CJTKzWxZKoAoy7iGWTlFde1R2YrczZl+a9+mf6c6FdX8ay5unJFC10cG0lsVEA4XGYFuEWdiIABD FZcMrQrOKGpw6dHQxNWnT4mQkYtgtnkHpbcNrtFU60PfH89HYLZvgxHk9G3MZ56iqDsl1v8pEkKo 1iSvnScUVOYchFyfEyoqbsvsliajNjiT7UwyxNJZKDm/BVRZCETakBlH23UNp/sVSgvyU/6yz+Di sUEH+QmZKJoMYnzm80FyktfXPGUQx1e/wr6ya33feVT2FXSEmLZKCZtMbUGvUU4q0NhExTLa4mqR r83BQW1gZ6UkH8gMtUi6aDNqWKweQ6bMK5Np2wtHTG0rKXUfrKQEJg8XVE+bz79PVE3fNSUskHOZ PAsq1B+BgzgAHtZPSuheiKxlSqSTvRJ2t7t+UhAWsKGUBotKHGyazgxhQcqKjWBuBOAniTkH6Eb7 //yK/ZpEIJzgp/ylF9lnmj4Yrh21CwGxi56P+KO/NNkRiQEg5dMUapCwaAKJELvwkGL4PCV4bJH2 3wWR5IUXReFDdodb59P+Pp/OEoLjGxom4HpWVCbhxXMukUz5iu75boE8lV44omvrJKeMxOiMdxlA 9TZZzYeBCZ+P2pPrKzAAgGO0xyQqhYG4iLb5+mlAINxqlIxPTBVpepSJHxVRAFgPaMKStoslbSCB q3GLhI4InE7VceT4dPJ9ZNpW9/f9Cyg5ClnJw4HPpUEoRA5IpQ0+H+y6X/ET0hDoWN9pisvdCsaz c5JGo7ompqmocYm2SRv9TlNU7zYXX8DUbPAiHKR4B9jh2HYncKLgBBZO/xmonORMAXurwhV74ajE RJzsIiKFg1UqC436Eo0E+Px/SX1hLdT2pW3n0CiSQFT4pimZ2wT/qFSJDa+cBWrh1wQxBJY0A293 H2w1Fcgsb5AwgFCCpR5wXmczImlADJ2JpTQrTXpl58ApEocwbzu8rw0qEJzcuvP/aedg5PPB6bR/ 4SbgX5ce17HQK7KRBVh02QkaeEsENe9rCY3+kc1ThC5JdPOthEVo0DxBsmUClsyabobQpjq+9I+U sOQl3sh7JMdukSoSZDImOFgdBTJMisZL5fNBNJ5/3XWIxgGD8GkK/SIzI8tqZSVIlCQDueWRu6sk TarB8rILDSPlOdZSuoPXdTMMixiOn9DiXOOd9mwbVhUNeRiA0D5Npdn7Rl0mMz+WDABfBoEe+JjW 0a2Dz0f9gYuc5PWPNlx5zMcCz0jIIwtZMr2aMrMzkk/IzL3IohzoVracwmBcUluLq4qD8wF3hV4+ 0uB+cmX0mEj2pik7dJz7euT/2PjOgWmk7boTZuTsAwF+JxMtQtmdO7Py+f8i4HK+zhMXXG2SHNpG AusAMQAeSQQJyqeeu0567ZQCWs80RSVw6bbWrAJdvlKS57beA8aDm7tuQf2JjEBYYpzE6qwIFNws Z5FeUg6dI3V6zyVNKX7L/NU2lQa5nuBAGjpHlvnNda8oBaNw6/MUqW13Arrp+W3zlJIX52pbj2j7 7L7twlBcEiWTHQMOXsGse06WKkkLXI0iXYDiIv5BUlrG9Y+a3V84Qr/JNHI0H3Q/TfgjENN9fhCf j0Q3vViAxYfaYIFdq89TyJ5MprpRLBbv22PM8/bVc7vW3Zg9h+6R7LEh8O4+eBM8Az8zCSpJtBql NTskvX/YOSr18ifFKuCnRHYkDMJ3v4NyYCi5VWFNy+Lz0TH+zaBskEzCL+/zFAqVdJUvswsDSPJT mJN6pYBnd1XJnCNnG2uEN7aeOHiXl0xDcOlR7TWlGtBoM2Sdy8mZSIlTUFCrvW902wFFm9k80KDb ru2d+YnosPD5iCPgsRPXHoSJAIHxaQpDcapKAwFvoXgRzJTim8ltEyZtt2ya4qI4CctFiTBlqjRN 59Be57xUjAnHNZB8UUI++xTSp1Dkv8KJsHHTpwSlgsRaypY6wHZxyRMEt6XD5Zx/fD5IWS7ypKkG esKIJ2UJjSTrEsW0OKwidy9gwg4oO1yw77ucQuwJXTZzI+GEg1fG0QqpkzbSe2U0E2iRvMkEhwAK TxMT4lrJXjjqQsn+Rzy47eupwiRkGH+08fkgZ7mibtR1QatstSd8Cu0ke7PE18+nSrSVcuDpDN1z KCRjneTQT1LhJYjcPjgJrpRyksfKiZonesgMnyr9JQjYYfluPXi4HBpKTlYxgRTTYNfdSYaBQP0h NDXz+X9VVITHBGrwqpXm0FJySLeEl6Wp312tqTFZbkITYQFHrpJK6CmJrifAudIvyfOpPGWwJNEW AXrgfBFEqhaivInTgSOR8+DkuB16SuYqsXviBjmo8HSitUZMGxyY+HzEXv4ujQMi2UZ5pilULtm8 4nrpdorDOdZP8Z3sj+eo3cbPyYGrpOsppC5Xyf7lKrkShPul6H5i5k03XGDhYGAAhwsqKKlAl2uy Fw6iTPXHRc0d6hPyUKplDTmU7bX5A6Jw/Fu3FFpFc9z2bw6NJRMh3zmbtwQYkNl5TALtgseUWk4+ TzHkm5g44S042DydXK6CEY8jKJ/4iV4fE4ocqBJAx7G0q+peiDjnxwRAgqISpsQYaDTB82nDRYEq L+cb5vMRT+d7QbWzjxAE33kKBbmrKmTXPqlfAcpz5CZDW4B7ZGiLHJhLXoXXKuJXfUrjJ1eFglKi ptKJOieJ82D/1JNakdzZ9u2Uz2Uv/Be7cu1FNAFeX1qRcGWglvi552F2H/hLqp//NVGb2kq3+BQa TAqPej7CqwWrLscRTtEuoX+QrsprDh0mVzeP0u3DFxeljqb4aZwtWaj01gcLJOd4R334kgiKvW8U P5EUJyV8DoqfUHkiBKydhJvPR2SUb97XpD7z05IKPSZbU6vFvQGB9rpwy/444ED90qYpFA1kfNmI qtBgacvuwDGnqfV07rVWeYahX1yhEQYyqGsrLX/fAHkhFOEwBQGfpgp5a2KbzzRtPh9F47dEx2XI 8OLq4OfQZ3KwJZXaBRak1ZvjU4pXVQAfLj5PIQacrhN10NqNw1eRDl0ELqeCnIxO3KNswIzwygXh DkrCZ98tf+FgoszkpQ8fjCIHf10CndaefD6SM/vGyxGMNtJzPkXxeBdgTjLmkhHs2TEqBDYKo8K8 XHFB6IWTREVNwwdPg1ete2zRm9Cm41SeTZMWYdtAlvjxJM23HJpNIpPCfiPdkoMCww6XFDakMlrm gduk5ES/lfHOZh/jqfmGfpNqcaqLqurTdOUgUNcvUIVlBa2nGAvOm66k6oOnwamCipwUP1E4hZ5B 1EkGvYxcVJZdEGcWe+FgPS1WMwc7d9N1U7EMTzrLjXemms9HRV/PW1TTOz9tPfsuNJ2EewpFqDxv mRJXZKslbQMXrAkrO83TP1wnX7yV5u64UKVoDj8NaQjuSr1XnE11Px3zbu8bVVU6nSqfwYq+c3QR 9FgbD3wn1Q96oLwQLobF8J2mKBzftT44VVafvDl1lnJLjlNFG8+nKQrHl4QE1k4+OEVlnF/ixJNL 4XgGTInkVODnWKxGHfIywbu9cIAs4MH7v5pLsEqQctdZVXw+wqreKh3nmKpL86nTxf6TUoGjFIYQ vbl7t6Xm7jyVRNq2ZupP3W6ga7oPXjBA97FRM2hArQ2OHUQ/nZ+5wQso7HAbRmz7C0c+AqRfLGkv INdXzeisp3ORSnKxZ/6AYKruzsNz1Pdp14Qyxy6U5PICjWIT1bMj6BE9WwB1IpV+JyoMyblQdhOJ rj0heUdFohTamENbqMN7CuingonCzYBZNdtga5oHPpRgPAsfRtrTGOyXMHUB8pbVnHOGZf6AKBX+ hVyVE9UzUbETpaA8vTqqh0w0slUhImVHFLQyrGIQWFFKiYzUi+rDxT8tWPRuyeacGaQyCkpcCbSe zSLzBdLnZS/8F0xsmM8yGu9KN6CkQrDbya7T5A+IKKs+UVh+6MZ+wwsCQ0r8w8JT6tdwSc0XJMOt kcJCtAoASjZRsegJS7/T5us5pCCQlMRxGhnee5nerwmgzNVBpabqsJF7UrcX/mvr/U/RAF58qevU QW0lMKX89qVSmgIkp5C0mqgoKB9Z3qblFjVr9Wy4kqBLGv35341GnwNfSqnToEpHGCuHO1HrTPpK RNSdN5uZcgwn6jx7FRqZCAhc+mR1e9+/7LumQPXzgurrTrAF+yGqZ/D5IHd5uBlcfWgG7wf+FFhT eo18FAsDoMiwHU9Xi+Pp5oAXtM1TGJST5YN7wQc/y2FAilq/2ZwlkIWBM0gAtEEBno7e2nm8uvgp wc5LPJsWffM4qJfAMJZBeV6dz0fR5jeerjFofYLN0J5yKheGKBawYYinluPpxiRirEoCZfrGC4Ny NltypTxcrlceDiIjyGAK77yRT87XSDwEvWFBaDfPq10BmTR74YgtrqNJ5ovT8XQgfi0uqBN6LD4f BJt5fkVRGeBjmELdiYpd4FmuA5wkc0F1xp/E0wEaeGanEqA5jeibQ5NKFNIntE6rD5qoc+SB6tSR eIF1fc5S9ENzJSoWsQIoKE93qi9744jHij0HrJEPKuN21Bx5RCWkeYFPJZCL8zsfRnTUHr3BHDpV Uh8EWp7e7ky0vVM+TAcJmegIuInoILCqlCDGrF1HVH+OKMDMcq6ciBORn5+VaB6X4TcJdfcffKS7 eZJanwOrSnW/eTZ1DSbPlFHdJzVKKIPAq/L/VqFAg6wIYX2a/i6T533T4TYMZHAZv8Ss1HWnKYKQ ryFFxpJ88PLK+cIg7bvIQ+xI0ynMiCuvQRkKPUvL8oZiqMCsUhQwlp+KD141yJIdrGd38/ngxmsO 7eHLneOhPaLDObSrrGwKrzaTZ8M8SZUNj+JYjIVdY9MUBeWDIIyyqT/EwQF1+OU71HvQ7Dzf/JbJ BZSRG4QOYJbpQDEV60K/yrJFQtySLhrugVLhVCSRz4SmcOhY+UhYJjvI23yKmoFlJW7zLESd26DA StFj8pRcWa9jZdk8hTE5MfYtlzs4GOOc2YMubtD5PAeUeXqjtHEW2/mNCTw0N51u7xvhWKXwue6g bPhclIkp/9nGlc9HgLpvuYY22Op6pilW+q6A+84rjbK3S1r0dYWa9nSn4Ry6VkJ5HfEAUdEcvIUH 8vyehIXtDYNJ+TGjGAzm1A8Q8p4M84Thp0QQA6rrFEKgONiuO1tC0J4xK5+P+C2Xlah7cqPbfot1 oXMlYu59ayvnT6axe6J/2mW6a7Upf+TAuBKLSUiMvXy4mTC0aCrLluikYmtRWReVTfAQ83jCTLmf 59C6sjGza5JJaUAkSYgKFgLUC/iZJ6blD3g/xNf+Dp8G6Pb7IUwF7pVINsglV42cUcGY7mFVeagx wSu734mK+ZvsnQ85WI9rGlPOCQ7tDGmkwNYb1suo1xBjgK4BW7i27SghlwMDy0yAJZtSyweDj58b lBVuiCjx+QgC9X2KZ145+zqi5dDDcrL4e77XbL3zKTAGpZop5U4tmUnguyYqwqxIORY9Dx+coVh2 RpJHaXT0CxEx4bYDmg6JoEQLpLErIlDgYnl7U/9XmQDcBlFrywYPKLCxNKjlA4ES16R78PR5jZ2K CWMAKubriQwK09jP3vcU0YLn02vkVBKVWkBOpDwqB8cdnhAH5V8mdx3RUSNQDMndmTAcTI72PUcx IqfXuKmYu87Z0jjWNOhoQtHdjD4Xnn6ZoPOC2xaScPlo+0Ccl//ifC/R9Cx17hg5FrGlXct6gEea uYqgRWmrKJgeKX8Uckc1eO57XuDcZLzizulXcybLdVDNg2xH0JHNpmHpVHqdH/SjeLU1yn1z0Pxg XeqGO6Hd5zVcKhAWqjY/ygMXJJuazc9rsFTUF2GSsmz5sGCi5ZNLMfTTougul89rqITlQ/WPE3EP Hzzw7jBPnzSKXbAikFRxYXdgE/D0ZT/Q+a7vy6foZmusDKzmlYFzDScpEkAK4vMaJWFyrRh+ljFJ 1Z3a8cvm5zVIwvpp6jtdtn3u3idAVcDw9NB9NZ+P1xCpOHespVF88O11kjicPmxALXTgCUyZUGDr +NoHWdKaH0zr5zVAwiEiBUuw5MG1h1yRuuNjT1Ez+kmeP6/xUXlkvo33kqjUlWx+XqOj8w/UOk7G cKU+z2MWa8PS67YKZCMHi9hg+chCL/Mq1+CXfgdhDPVjUBBT74h/QIU6mcBGzFyILdD2oorN5zUy wvGTmbapHsDB0HKrKjeF1+rnNS7C02Xb9EjwEkTPbfvt8xoUYU9uHT/T9Sx2KV73XpR/Yd2bprHy Pg3mZ5oNo8SHe76lkk3DPODKSdFMDCPBP+xUZuQ1MG8DkyH2a0iE77KRT7clgb6vkj7AlnI+7at8 XuMhLJ/663gGwhXwJM3PazSEMz2TM76uAPps3RGXjYQ4IQagaCLfimh+JH9KrLeGS31qfdAuD8cz tAdIVmm43UUQYGfOMlrZMUT7S+3KlUyv4fymUlwABpeorbNf++c1EMI/6+4vxDwoZvRa/QJ7DYMK 0g9ssP2Yn4xhpcjzZRY3PxmrGJbpNQYqnvHLtEODLSDQxPe58IlvxqWTGQORuLdQPMqoN3lUTdL4 awhUXKVhC0G+JQ9HyhNqmyIP7vx5DYD4hulrAQEAwrBc0/NajSywqpIP07znc80OpYDIu9dE0DqR ClpwPsvPIzeDN+8rXFlgKZhI+xtnBfROk4FMUSOaKCJ1d0QcLek+r5VIzA+9YVqhUgMHg0n2QXQx lJnw9Pv8OBhu/fAoP1fXUMKB+XktQmLNipiytyvptdUsZz3BQZkODSChgxoWUfzDWzuXfAebn4p4 cHIDDURCpYr7DCrsgtNUkc6wgHC0YHqtP+I7WBLSYQjNwVokZ8oJ+2bB6LX6+D/3F7QnBiT8LD6M 4mfVHme/LdxBxj9P6LZ4bmOCBpG54hVGM8RqNhSkfXAoDhQek5xz4KtSt2iFQJYsskahAeASuk16 A9ESYgy0G6eIg5YQmgpcT+1Enp93thz22I2h8RiN6EEQsTmKgmhKgqVMx1BwCtf6UmNsrjQILK2z dsIgugseuHywIBHSXkvkgYFqWqJuY+F6AWQQm2x475b4o887VQ5LXlKVk2U1DlpF6fzoLbB35eNB GJ08jKY++vnWRt5+j70T5XCRkXZZiLU0JMC2fQb9zavtRW1yQXCCgyjT3bx2sQp7ehKNTinAPAyp BOVzant1WI2dg5na+ZZnKKmPIukud0rJenPQQQ0d/aKPA63pPZRGHuc7jZtywSbjXmTvHDkc1RSs GPPGQkOUSzJ4WZriXQ9jd8cABFPUVY9Nojunx737pBJntqENgp22IB6GZdRoNgKnxYzGzb3Lkl43 SMbYr92LpiccPFldRpErgJqG0fT4Po0gQn02+d1p7+G0skzyLa2un3a7DJTRGE83IuF79WpjNEfk fc2U7mBb7Tx7TnsI4KHCfy4EaTUn3LWdsFS2cZvsBare9s/DSPjJTWC4XfiwCpGrYEINLZgjsdaY kv2ws7acRshJioLqzk7t2Qi+kBr5RLI7aY4QnBNYHE3Se1SdzYQBUvk+2JGNXuPJxRJzyoImHf27 Be1jXwJQZa/I0lnonRuHo4GCAntTf4GDuJaQR2oigpfyeafGYdsYyFQLiaSbsW/e+k6N8z2ashyq eDax5yKTc80X2/4oyGuSotBaxX1c3j545op8ezWT0D3bgg3vAumBkxtBRp0EUkvNVO6LYmuK7Zc5 1h3sRAJBX6Fj3p93XhzDnPx1r1UkevW516LYelH7dKgAO7iQ3JQyIZhxes7OVjt7Z8UxNqOg56jF By/FdkTYleov0PZOmBL4eJ9DaxDW27PbeBXumc87Kw6rFeERWrvNB80RzFmKfJcBc4vi625cVJ3a YGyNlYrP0XuADUCS5AbLLcPuuZx0ItlzyufAZEYFtCjCHjTv7F0Vov5VIQKDok22QeeJtPbkbGb8 FYQDys6sWi3I5HuELYQn56b6oLjvanigNPV558MhPDJFb939FPQHdcymKIqxu9vAb6+hreI2p1mi sSzpZ1c9f2fDFUffjkRSHAebooJJyoOYnwX4V2I5CfgnypL8bMbYVtCfettgijqrr10M8G5n3jko zsW2xVIGHCKKsvMtg+heo0fErTJGUTYpLVS1sSM77cfDc4xiaewm85dlxjDKlnmnsDX1wda0eaJG OL3T4XyA7i2Nk4ZzF6cuigwumYNs/fNOgyvixTAuaj7YRkvnwJQaR8mfdxacHQaaInpanFcceXh4 9E6Cw8TK7mSvi9PK29qx51y6UXZa3Sux71E2wJbsC8meOudr4VkhkHvCW4S+aDlOZbsFeo8QGpyQ dW/3MGKt8Z0DV1wXflQe2HRJ05cP+3SxE2E18HnnwP3PtTbRrWw3W3unwGHxSo8iTUdwr15NcSnP 1LxetEqyW+2dAcdyngwXdXD3fQlLgImcvLoR9AeR8ET9AFZBFtZRQVncwWw0qngnwDFAolxHIv10 wsVA3Bmg2Ujjh3r++rzz34p8wL5Ksrhp2+o+SVGgXWW77ERcBNqO+MsVdq3mKQzZN55H7/Q3fD5D Q3r72mCbbaD9N6fytXNtdWSwJsa58Xs2NoYMTkNtk3fyGz5k0/sLCbsNRgY4x0GVWsmsn3fuWzE8 2rOQ0Dvp7c5RFGijXoYtNq/Fudcgz26r6+ZroNgzGXlnvj1p/5Cy91hPeNShoD7JUoa8N9A1uPoR 3AwYjpkLw3wMmN6Jb5ijKXHYMn1QjwfnUZGrcJqfd9qbb5unNILW0Oz30A6L17IVLOuxge9mDbe3 WovSyFmmkfNOerv3WkkqjaQHOIroEQ5OmIfSTt4ALTXSTRdoQhucf1T3Je1Z7HWD8Ijl/dFYfeSg OTrLSDpAqDF83jlvxUij9+6Hvzvtv2yOojBbXeoxppePWunu4LmG6wittpavo/cwWzk54msaVlTn qKPCvxvQ1EmqVCdum1RIpdbZRi8205zJO2j2uu8H0uJJtDrBL6tbFwp6UGnqPDnH3eed8MZ1VL9C yEkjse4tone6G+doU32iXdM8ucJMqk8M6xdBYsNs4N/ZboyACeujkZkGh61tCBMVwtZOTnK2WJ3y OIe1z8kX9+MrSOPHzzvXjSUyAYMEQ8Zekb899u1k/eis5v1557qxWNa/AySandww+53qhr2GPZRQ 2LF1VCm/oBrbWl7H7lRx5cX2HmZ7H1+u5Rq8xjbAnKQTLNdRHyzUkmXYwVtXfKka29j2ulGcbUIv wweBHXrdUiwqJ5T7vBPd8Pj+VRzB9IznzI7ibBSa4CicXWQpD0c97E3AhZ3Zxc+j9zgbxREsoAGu mQ1W9KITZYJ9Lk2X4QvPIwuESZD6sewuIIS1/neOm7UzOpLh6YOuNbB7ZXO61/i8U9wQg476fWTD 2nwXX0bvDDem0TLKu2B/s4THVgN/xEsj04Ve3gluxX3g+2TFloMtI9h4z2pThCByUiFgTyUUFRT9 u9XQZvq889uKOmL9PEm8Awf9XhvfhCq1u3ze6W128z5JP8CYLd9s7Z3dVpwEuPItjKyxPDqicNg1 FLaO2ju5jbca5gYGyz7YKprjRHhU24FSF6RwmkRL4D5N4BkQot34NUVvG00RD2y4S9hgG61I0RZC cv3zzmzjgd1/BZBMXfzif6e1sVLLqLEs18+H85s1jSDeYJdardU22jurjYcRf/G2hw8ODJ27nSVT qI2HHC2zOpCAi0PD+YeYUUc8Dr1tkK0RD0IMqA22O+CRIOfuUj7vjLb/Oa8JmkPJyqYoirE7lQvy 3i6Ml7vrA6XK+ExKZtBWIDX5nc9WHO44GhcTB9toEwiKk6EZxhh7dlI+sFuulEn8cwf4pNcNEhES uNciWWtBukzEKhAuRScAMvfzzmYrD9jRJgkkzXyxIe9ktuL6EmUkX0d9uHrgmbpmPHckoKYH8M5l w5eE8it8aIYPvtU27C9QDTn7CTCILeQ/AV8oFuVbF2G083knsnnz+oQUciIurteJ7KkInHZ+wOed xobQZH+f12Rn5elX2juLjcGGRBXXtmt/jeHt2VWTXWkbuqQ2Q1EpO+m8puiWhmenVVAPKw3g0bTg SsskBiFdwaLwlgizkHcKGxa9oNeQkLBBhxHUT3Rep85XDK60m4WY8Qcg4HeKoggbEizE8F+IyPJq //lK64WI3CLtO33tZmqtsYLEwaZo9RN+wOVE9LUE+2V6eOHyx+lD+T0nRBLC905f8/LaOTnbHawl MnbjJQon7s87e41Rw/iKsNHsG7KB5RxFEbYiIwhqe3mtLEeppW7YCIA+soHU36lrN1NrdFiA9QKP Bjaxd0dbBFsJ99gCKhHyJPBvH5BVKhQ6Nd8cth/fmWsodXVxICkzNbhzuEHOfoY9JS7zdcLHd+Ya 5nh+144QHQ8dSZykECrSiJ+RQiC62OqCsL7GEJBzBJUpm6OwkJ2ZfpRefbB1VBdVqIf8F6AQCNBE aQAHAtlH0dSrs4zyzOedtXZLRytvDWeChZQ+X0ouKh2d2+XzzlqzrtMzRyRNnAPM5ygKsREXZghL eSl7Um1bCnjZu0YnYqoOd4xK2abPmdTNTunBGyG2GpMTkeDBk2S8iJhog2FUCHi00lG31w26RqU6 1NEGx1yXpLLI+Rmfd75aESHjq2uEwGjdzfbOVsOBlGVyOqTkRveT7ZYnpbqYYgMXshDzGMfYwoRS aFk1Xs3RmTx48GR2jTKSfHpUVeglLcTiABX4QhrDXvd9jir72KtPZf5oMOMXQxthMoKEi+bnnatW HtaM9WjRIOtyp+SnhpARxUdoDWTls9Mh2KKGUNJt0GyiYiG9U9WKRFEA6VOZbV9hTohHgZxEvn8q 0MFdFL47+/pnkdOVrgJXBjGdH/JXfDQUZo8bZp+0qzR+LfCZ/bwz1awu8UwSLooGborNURRmI2WF 4W92PbdEiwusnpT1bzdObVJbEUO+89R4tE2V+rcP1n48gUBCYJTEBAF4PwO8hpsCPow/1LRxcWW2 H995algJmpxB1wUOxg8qAMP9UPyFj0dx9jf0CEqX4EP7HL3H2YgZ6HIq/COJ7Ik6+Nxsy6wqoNYD 2xHUjt45asUENs6UsEXbym3Rwtc4oeDIxtEC/gvZGkv0YPmfrQ1KlAsrk+DwzlHD+ak8bQjBPzyj BQClyJJinTD7naJW8tVCsLoIMMbomtochbVstvpXGw4aWdNb/ec2LelmtM0ipHeG2s1oR0538Ho/ 6o9tkKEG7Zkly5yNq7Ajzs1An1lKu+jb8U5Qwz9DWsFz+6CTdiZT5kSl/PPOT8M62r/WEYq+qTgc 4p2dVsw7/uSGvV8c7e2J5GSCryiM+MX2Tk/D5yulTQyUOHigDZ/WKczIKr1R7wMSJZgjWJ4ASOF2 Z0RDvJPTOEW80JA922CFkY1zm1n/Hp93alp5nGAtF5lMgbww8s5MK27hmWu7qq7SGwFipCzHqo+F 0oymKEaMKJclw5qDY49SP/uK5eqOesiWOVNGTaGhqff4UgPKrreN4FkqPdIRbj2OcCcnkBYG8Cmf d1YaD7NvwAgc4CEW5FMUxdlUt08I8y7yyMGi5wu2JA4aEcPaj++UNLw+64qs4dhwp+hsJ5p0nyk6 +TKxoehQ4hyA6MKqVx54ECv6zkizs7OfcHRXH2yjrTKy6Fa41KIoO5fvjBZUaBpl2RRFUXbP8jC5 taOl6jUdPFOywgj8hC3nbyEiWwy41LsPfmCfJXSyKW20AS9G/pUE/bNz5TVk6m5PiaiDbxvc+9ph EAG2QWCIdlaDymsn3MbjQQDZ7xR1RZu8dH2OwigbBeq0KTMgC08p4pM1QwqdYY6z1ddaVMhWF7sr yu5PlN2gtHlWK40FF2xNTV8KkKdKkSG4dbh1t7ZaFGUvIteWVKbXVZnOQA6SR3BisYHHg05/+Y6y AXzq6TbWehxlT1bVmmoge/umQ2zU3MJzbYdl9/cg24H9U0quHHynTXi/VAonAyZcMzU5KQDYKow2 LhjCfAL6n8RGUNKaD1pGoOV2HUabj0eQY2c+EJkFpfLyUIuiEHtQhbstUzSAnbAf3XsLZcvqWnY8 bY8AI9JhmVQi1+DtkA0lgUSlKKAf52gEBICcgVLrj4m3KumnakaPKtk0JK9wZfWBcwRts0p06vkm Jh7/F/2Kp9EkFMJDox5WsqcJt3rxSCpnCo2uRcfakDvggd2jSrbutFZ5bnO4oRF62DiCkM+yc7YZ Pu4F77cKufB6y7QEHvUoxEZTvpcu4BHJyKpmoH3cJc92pgvPBxzH9QsrSq2pdTmyPSY5EsA2UjeM X1XNaMmCyoKARadkBtk9CrIn73NI5Ptgs0SrPWxngiEAk6CuSEKJDY5UYEc/lHQqZvQoyFZGe5bm 1DCsudFGpvwAKh18x+DQTv1rt0EtpKL3bXMUER0lo5Vyc2PKJAngRfGV9pTYhske9RgwovojGx4c vEELqYRJh+5zOFGxVZqIlChH0M7z3O5+liF7VM1eCrJlELBQaFC3B71rkR1H3RPPB5M0LjSbCiTn B0ACzWcpDLPPl7hX7ttDyJavJ6VrS7MO6ZMU8h1JN4LxnQ9+sUEtDSJXmKR0Akcop6M7cr7vAfUf cCzvkUTB1h4hsyex/TwHMCCxZXDczim4WCPDPsbzwXa7iFpvHTX+l+YoLGhD1Rf4x2qlEXw/pnaf p6wlaNzAMwnndlzQJnJfrVrVeTVJZ7LbmRqezbWhzZZJmkWis0m8rrM+6ppTr/tn/ej/ckXOEdjn EKR2TDz+L66IukwdkJE7R++BNjIYnkgQG81M+4WVRlh0Xp9kUGrTwO26ciFFgfbe8r/J24dbh4Re 5BYyG86UqGlOUGvPQd+521kSkBUlzd56VNAm4h3B1fBBsJqadSueuy1PPB6l/d88CPTwKYFqcxQh sxGTALdmWOO90CJR9Wiw70ABRKoIs3rUI8SIsbJknXAGHovcawul/Gx+eBCsRp3prB2wsmilVNZF ZpP+gQ/5E8E2CBzh4MtoSNsAXS48Hi0jv/4pwgYyHXIln6Mw0q6yWibokWaduJFlwJH7MD2RMbXt On9S1MlmPVvYWg6OhxhwjlbzA+aJ0vcRPGtxASO8tjLkIGakR5gRaAvgJJL2YXaFA6ovS0D6nHR4 PFhH7TvSRvT80/t0UO2IQm3Z3siAiKd2exQioVZotZEFhRkGkiMqaM8piD+lezjYJEG5B2KHVWZT 5zqR2CZoH1D6I25TTBFT2R5RrJ3JUocMvA+q+YPjuq3ElvF4wMK+DTaCsxNwNe3S1KNYuzPxX6VN K0MuKbZvgUZssyHVtcR/xOVs3v7UwdJwYX6zF1T9JbMi04oJw1YQNRDHAhbhmmJAGOBDIqY6r33r +kP5Yqh5BKs9pqUDee0I69m/eBCs1MGzxCYpBmcXEvzqJa9ViyhPnDdd0W9BuckmKeRAcnagzK4B ++Ccw+1MB1bPdkvFc9FVtlA6q4+IhsZV8cPfGviMAC5KD64xCIUcg1+YOJBwcUQUef6Dx4PqSP8F qcXXAqlcm6KQA9nl5lZdLyPv7AWk9siJ5OYOCSOKtHUejUSfhHOBDZuiBhOpKXH/vs6uWHTnrOib n7RSSO579cNdEZ/xF7+P5RUblPhDmm5IhGdsPB4pQnyj/ACVb/WmtSMKtOH6iSkyGj+97yxlS5AN t9Mo12rV7BEF2qj5nrWxpf60LyQCCGpQ5/HdQvvgbDShRSHT3SgzRJ17EdconIwP+UN0pZbN7FY5 8VYhEp7ZjJ3Pl4HnI+bazf21auizcSPtEUXabDmd77T7zbZoCirdJ6rmipc1pzVGRhRqS7kHBREf 7ESqC2xdWLydaUprUz/uTFMlbRwlj5ZuQZvE/hEVtGdKb9p97STm5JCB2T/xeHQe/cL4I61N+d5r ITabmFpQWx05kr1hdC6RfAUOazP3rRFhsyuV+060WX1w0tHq5/wv5GSfmKsC/kowZBty5uvlS9yo 6m2j9hrrI9SZ1aDNdkJ5q7KdNBmPBwHS3F9ZbWEd/ao/jaig3ZTVzkdeRMbB5GW16rwsWrNrs0Vx tu41wBI10Hue0OxznsKJhNBsZBBZUwTGmlDKyNdsiqBCgc8IwqPFuTGk6TTqGgHSWdVaMGlHHGZ/ l9ko8g+BHJuiKMyGzwWgxo/Z3cjONi5lGy5yQp3apiiOs4klLmpoY/B2/wCJk9iaAUxzqZLPLgBo Z0w9KrZ2ZlMaHh8SlSKpoVHV0AazKwuQfULhtnjEnCB14QcEmX/5TmoplwlIjM1SGGhT7NhKaqKJ dpc1bOpBLu617MdRXNImbW1LiGV/CbFAJenEAiwhAYKEOitnqcNGfBPN6HX/LSWfkATJIBIwCw5o +vE3A29AB9I4eTKej8oj35MEsDwuEpukGda0uZQGhRAoRjdAM5EYXassAsDnrmX63J3bf8Zifdxm sh/pj/1IB4QdvFCqrY20O22Xz/ppkN4DFXE8HTZyaWcIHKkMtCuBSBwsGcljNJlwlorHgylqfiBp zTUA2P3MnlGgLc5RzmlcTARNkPi3JXKIrBalAZuikAXJ1tqJme/gpcgNgjl5WX3D771SMasjdp/o y6301GurvW2U1BLjj2qFDZoi+Dl1tbJ7weP/OpC4itg9uFzaGYXZibWRNofr9uLztOv2prq+AqTh zP4ZcyB55ad1B+dAnqsjU24bNe0zP1VyLGAgQqMOt8OF1izKH8ywpq0AG3GIDVYbOSc46TDnzqx4 /L/Q+0CnGfVSRWYUZ2eee+es8CDyfLt2r50c3GWzUAKyVGSGFW0BImXH3b7suE8Uct6mqlpbAIug btbuEBaEXm9Lj6Fkt7eNTiOma1nkrGxmsQV2kvR0Qiia8XgkjPktx0KtE9RHbYqiOBvbGynaMkpf ziR5iCXqFriUpjPW+gy1+5im1UWMNoe7jCAIldjLBnUWPDbutHNUDu70vvsVPiI8e4YMSDX6l3KR 5bnIeXgoEDg/vOLxoAl560fkiiBa75dOM+Ny9laL7VZG8vZcZNHkSpURaupyjiLUCI1YmZ354KfR TFhKykWgWDCJrJnAgVN6Gwe4h0e0kZxRkG13/s530DLa4HYnJvJj4PF/ldgYZHO+bwQ5I9TIkNem 0/gArJnJw6Pk6do5T5YF2TOqZi9atBE4Z4NPEZ19ap0SYwGalOkaMrMGL73FIFuEbCpAzijIBqH6 hI6ZEhpJCAcm/XUtYhl7bg2PR0n/9xQB91QhEWZTFPIfsxH6XGakjna1sZm501kEfDA7jEIRP6bv bbHdz8H5j7nNSWMIyIwg62MRcrHbjruvP/zHRZD/jGrZheYGTIltsPpaBtmC9bXNx4MpSr8OIxiv 4HizKYpQI0JErDWct8YdYX1alIF0XqMpZlMUBdkIzpHtaxXtR/ygA/hyVjUjo1x6xs+CpcxJ0gcN uvqYt5hNAPuMgmxq+56cf2tA/VRKqw3JN37JdQJdPB+Bar8vfrirVRmzcZJC/iPlaipheTLR6v1e aipx00QrLYuxZ6gzwob/SVynD86EoLsIG0cdRfq5WDxKRS5PUMt8brWxil73L1DErqJn1f/P2Jdl SZIjyf37WeLxYV/ufzFCRFRhHtOmkUUOiZrusgxLBEyhiyzezMbxzyxITzDlO/4rf2R0R0cTvqHa oxWl2JkCEddPE7hjv+D2Eksb4WhplJ34JwWgiMqDJD3s9qWHvSAaPgedRIBCaoLWLE4TyYq63jRG 619hLzsxDjVxjtrlHBX0FZg/tlrxeESA/P7WQBUw219uUdjL3kyx992i1ur0/JEaWCpor6ToCnEj mhjJc4WLQ7NPCMI3RoexRXA/qcZoGHVwWb86R2tuve2fosYpmddK+VGbDMJAxC2dW7IWPP8vvRrO H3E3QrrE9iiEZqP/mlYqFzdSkuuwTWHbkBytlqwJuULcyBYhq2UuDKfUzNnogXAAgu7a+SSqfCHw V+Stgrz65kYnguJnBK1sjo125/2/nfKNHH1MJkrn0PLx/yKcWSHa2y91bcUMSPJDqlH5+Y/WdcyS LxBoZJJPc6LRipHZ6TV/hJHKwuiX3bUT2DLdbkE8PYky4KKt32MkuOj6u5W9q6ZG9VKNMSU3adFd 8fi/ghEDNoJt7zdiryjHZj8LjiHJQSNUqKbAWK7u0To2gATao5gAySatOEf14Rydv/mJzRk8urNH dYNOgJu/AxswBq9VHChF7M1R/4pAI1AIg/TBkE42Ei61jiYulc5vbWY8H6VH39BsgGoHe8XapCjJ xqcN1WoBa84/+Vg2mbU2Aza+HG3R3yIj1ASwxRtHE0P9TZ21E5EXrQ+BPTr/ylqIbvt+aoXWwPgh Ea6G4s+FtiGzGFu3dDB9s8T8+sDjkQj9L9UjtMOfFuSKcmz6G5/Utfgx2sOF186R6m6F0eCJoD0K c+zKUp8a4VocVnM+BJiOTJIgOmCvjWiIwh7beev2YI9ogIkfEuyRJmtp22L4LCRv3eyxUsPjwR6l 7+QI+KwBpp7tUZRkM4M7J2aIfwWD4u5+kL2hS6QMEkLHnPSvkAI5JQnFPmT58saq6DLSFo0YtvOH 0tq3IFgugPzoDeCIkTn0un8iRruUxiCjZsijaRSngebairLsdTFsJCdNfOvjnqOQAclbrVI3RaQs mfRQZGSvZfB1YJ9NLDtuZU/1sIsvl0q726Ar59mjCj8s4vwKFTcAQYe2j01ogZnX6wYxW1PsKjP7 6vXsOfvnaAm/PviO0aTfe7RTnTjoid9oFDay6afSWnfBbCp9GpU2D9+jrM4t9ihMsrv6/HQ24OKV yAZepNGeB4zBASuLWaB9Bf/Vc3hpOWrp0Rh63Sg9Sj/SBfZF8SijsuH3c+onPP4vIRZWa+jytSvo s6Mk20YitXl21HKyPcJs+Aqw3pHIjvrYQ6YzwAbb4t01dOlY34CHhKSIaAg22QYH0vlxXmUfe0dJ tvwwprRq5tWqOR9MWwrZafDxiEyTvo4RUczlYiH2e5KNp7JAfq4yAtNBxekTGoonkBv9Zm1RrJnN T622u9gxOn8BEIwXgUeN/RDy1mictQAFAivGrzUK9+8QnE3nmb3U9PHGQkFzXNw+vCoeD0L2L32x IsSxh6Mdg7MpLZouWhT2Yfap7e4+Ihg3G+5oh0k2bUPqIpGWywXVjAzgMjPICmM1amedYHJ+g2w4 leudTdoQfkbUXZPJuGRGqsuMnKcK2RsQ7994/F8yI/h3UQDXeVV8d0h/ZAJZqBWokj/53BoA5CtT 17vL98d4kaUiLfviEXtD/GA2UUQ7uvzoi5wKrQJtDmof0mIbGfFW21GWbaa9nX0RLtaBrHRMpTkh 3zFo0qb1lT+inq3z6orvEJlduEdUSxWe1kWPYVdvJvblVFbDj9HfUn6tC5ndLzIb/zjaptJxP3Xz yZA5eoStDmolerDf9hreAz8jKkT4paV5Fx0jVDoq2cFb2/+WGcF2ANdNQxzbolDJb1I2c26/+Nfw EISZ+yMz4rCjHYJFeKk1UmS1eAdywC6BLNq+8F8NetYu8LPBPwJW6MKy19DbRj49gh0Rnc3F+EZn 4zl/wawKj/9L7FAV/8kf4T5uWxTqZRN2NKmAoi3KpsQKA526nJOl4S22KBTy29LOkOFTLlfzaMCJ qaNpAwkNAB0rgxGUDxqy1YUPzebXvettoyYtO4813UVN2pariNnnb8vH/xN4LaNJe716dqgyQs3M ROiXbHrrsIZayo3VLDhZEyKp1DzaIVhE6P7JXi0XG1+fk0JpSVEg8DugPmtCi6BBvWRXL2YLaQz4 GQFBlAaYu6Tti+FpCu0QSTbZeDxSy76ZkQj8jbw1b0DusJPNc5S1M7LmWwajSQJXkZWVmGdyk+Ic O2sQchfniTR0+Ku6a2PMiTz6RCP0Zxtrkv3gsvbU2/7l2LPkjb2uNzZcSGSCCVQwHv8XlQYHkN54 +U7VdqiXTeKYMOCiiLIFo0/NRcfwNq7jtyOsyJThrPx525c/bwWpuA2q1ZySpPKkUmsEVy4EItDc sNSIPdr9D40R8Ahs0Z3WMWlhc63MgcejHu382iJQPhu6Pdoig+a87RGxtMhUfiQyMgkeoe4BsWuC irDDI/Pw9LdiNoft02fuEodI6xQ6U24Zq0PuiEoskFCTw0RqdzwLVpt+SkASLcqyWftTPll2MqgI C+f0/WQZ/AMitOi3hgaamGP1x74nlPMrBK/nUWyjcp0S0YBIrQ+RJhlDEl9PYabdZT6X7mJZ5Pl3 C/w3aHQAEzHhRRPEIBcVOXHqXdeHmTYhPMFMmbLpVHHTIvZD7+DHMUeamc8H9Uj7tnnE7KGv/hyo 0KBmQvJosidCm9A1HJs9mvuwzITJlqwOUgjOHo3ILNZsklK3ujadq3c1WqZmdDqHmkfIzFamIBvZ NuYUmu19Iyokk4BsUuxIdJgAVrh5M7SMCT/VFCXcI+9fpS0+vPGcp6itLT+oLb8D9tpkTcONytPm bXCWcQeWFKlno7YA8JqKI3K3t41qG0661GU4t/dJxnHLnXz3bM+C7AhzVc8ny7YXjoQQ2AFY8y4q b6GiuOQKMQafj6Bs4ytAIepDVe/uU5R1gzGLqJSbAbXmgvCaAlQvLsxy6vjsASrqbQ8O/itIC7b4 4H8gX5LBSIZbEFJVFCjQ0yK1dg3n+hX2ZvhTIvcMudHn4oviOLw4OVKqG9YQKUSQ/NKJzBBTWf3x z0hhe7tSM0I6rES0UWuXoD/1jIloGxuhVRsVdrg769uhandck0yAsc99RMA6POqGtW0Bifkhdpem wN6ZTNteOCKzdSK1xvbFWkodvBP8wJMH8fmAzZ5/w2w3eJnPhxfLj8wToGoaNk5KY0lgq7i1xqRg FCxktE1RAj5pn0HbOVscZ4s7e5E7PmjFCSl2SPufHdmYemQFL3lE4GTzp0SSUWxvb2rYchH2D6rv miuerebzEXn0V3zC59vKc5xCCZJEoeiym913aCf6PtF0CifrXDLTbbFT2OgWMUTsfy6+TwAdD/mH A3QJhQ3sE4Bzm4E8da93S6nNXjgoVUgcnUNQyUGQEb+7sSSICP8SPh/kBb+EkHHGR8tPfIry8EX9 CFMaZ3Mp5+pgie0eiChPr69fJERSsyo5KQDkK4V8aiZosmVyj2ABmlDjQnUcKlAAq85HpY1jTf6Q 4L5jQ2D2XnzRcYLIK69YqGXx+eCraz5Zwo5gXjkfXltOMW7bwrh3BoTt5TbVsh0oeTKV5dsU9ru3 HDTJI8lfPJI9oT21CRw55fBchUJtDegwePr+wGvrMtvItMVP+cub5fw53RfrVJ4KWnTkwTQz9K+5 pa95S4AAWH3anUOfSAHck2hsSKXydh/WPpZ1UE542n6cAp9IEc9okZ18cVrSOT6ro/BE0XLqxsZ/ M0EetyH0AqJ5nTRplZ0Do0gcWjH/d/FFHYKTWCdBk0+44PPRpPJ7CgfdipEe17HQKrIVQyTf8ckY 3oyrLlVy0nEOZ7RPEbokcXDCfokttk8ncwUimSCltSiwRcoASVt0JOqXdCPvkfyHWSQlW4ZmTMNn TOezBg+D2XipfD7StG2/ohPgJZe8lWO7SPHLd/IuwaLqCr41aIRYl2CB4KguQQ78IuFkQrLtlFLC fJQSZoLMEvQlzn+8O5S7lY9SCgzMP0zEbZtKs/f9E4UjwNsq23CT52NapHuev3UdfD6ogvc3XwLi tDAavtsUtb9Je8U3N2yb4N/snYKa3F0DIcVPU+i/TrNIghps8ZYTauJd2JUb4+xHy0qvcL4ghNrX o/7HwXcOPCPx0XUyk1rzRY3sdbbf5f8qn4/0W74V7TFf+/ZDDF0jtU0nIKUfVwLqbj6Kq8d6ToVs IG1TyJks6u8Ki+tmhWiGn2paPk9IOE7ILOJMopHcqAVAnI5qFskl5dA4ckm6BcQLLk3H4tTWIjAA o7X5fDDafYQ3TOEdadtz3QXWkYnWWIhJ1dXJCrt76s5RwV+y7Tic9t2FyTjbc10wgf7ABEC7QfNJ 3pGnoi7gUE0XqAfkmEwvgy0pLQjMI93MdoNeaYsuqAl9EGK6zx/E54OxU/rGdtFera6bjIfukfLZ GrU7nWvUa2kH4LFVdyjOrAoO7SNlAUDC8HTeMNOCRllyTKlR2Z3yR7AcWm0OmIeXesmTJan9FPpH ql0wCxviXFQFl7zMevzEPz4fxfHfrDdIcMznPIU6JZs4wTJdwnWSVCXUyUwmCwwFx+z7FHnbiCFQ lWXWJ8s8UWAAsKbhEzS55tKArp70Cd0BIZuUFdRq7/vHcTopKy23uFhWUIaKllZT5fMRy7R8ZZkn PpxkfD7JU9gU35wc1Onqm4UnWbLSJbu3XcN027Yp7IrLsG0/i6O8zhaMIgvpDD97wXJpkrDAOCmQ xHYEU5r2wpHSvdqYpfqibHxm8jMSO0B8PipaPC3Q7GD9UKfN9ylEeFe25hAknGt6lThqqZdMgdNq +xRDvHmLIVLa4r2CmtGMoiZZGue1CpsqTZRCxEdGE1PiWsleOBIq5eCgSvS+etVSQcok8wiTZT4f VC31FxAeM/bVnvwp9JOEVBk1pd2VtBJZZqhB5zFNEKisCg4NJRcDE4OaLX6gEoDk5goAf7VNKFOH TtTkkA/fnKo7IeJy6Cgp9hvRB1i6FcGQXCMdgy0pPh9ceE82LpuuSTrnTQ1CV0nsEAgBk9MmYpoR sig2RcgXdbghHVStrRLaSo5hBmXFFx+2oGO9S5fHLXosFFDGmRJWB4hvZ8LJdDu0lczyTbARcDKT WFQtdWraksvk8xF7+XvcguoffIa7TaF0CQMUR+mK46CXWxwfuVkjap0LyTDxOTCWlO8T8F67+WLn 6RxRaEyQZJJ6g/AhAxR6mChjfiShpCZdrsle+C/Aztwatywm/wxL+MJ54Z2Ue/MPiFCW31hd1P9z jGejYkAKzpOGI0Dr7nQlXmZq28cIu7hjcuAt6VrThY5RWhzX1FlHd5r/VrAI0FWB7R1st6EiV9oV dkfaZi8cgQm29F26Fks1oYE6xPg6NzWfj6g6323fhtHdfsYIob8kJZgTuQ5mfUNCB8U5lzwVCEoZ DkrJgcEkGsjMMFXk9vzgUTsC1OjSeC3n197kxAViE9Txf0ydU9Py6S8c7JPkOVFzwP6GSgss8bYc 4nHTw+8+8JiUhP7XRm2KK427UVF7vNFf+px/bxisvhxLuJIBVpA8t+4bFWNTmEFVKXXVx8091312 odE9eaNrhI97AoKJqIw0an7xCIq9byStRCJBEwCjLUdewGWQv5pzwTY+/y8+Cv5lpIi9jptBhT6T jedpDuvIZbY2HXKpWEV4M8d22qZYNZCFixLy/iTk53I6kQOvT4ZcgpjmUs4OcSM0woHcMnGlNe19 g6kU7RO3zAL2NQuAclqWeECvm88H+Xi5CBUFcvQf+rNPUXu8EwZuHFTCLxgghFEZbV2MSrv7FLfH 5TLZti8OdVowCQR0G8wdWPuQ3bQg5iXNZqovQfLnfHe2USFMhTzLJdkOLkJgAKetDuXak8//C+WM fxm8mzbS7dOFfpOdnpw2BGYhzAaF+Zdday5qIKoQDgwn3Q6niVPQHk5BhwheJUge+3R+4RD/QHwC 3okDWShRKTxJ9C2HhpOVPjgbtl62KDVEFcMZwDmmmc//S7BD+oHndzu+9ynKyAnbTERDWKMuFVMN zpQbVQdqFffAzYHp5OWnbKpScbmFMLK/yTFnAvUUBsqY3mFiiQqHdFT0FplpFnvh4DwtxqdE20ku Ok9rb8manaCX+XzU9/0et9Alfl0LihwaT5JDdna1e+Uyx0g+bpnG7WEhbPC5HDtPcizVc0u+OH5u YpQgPaqF5kGh11vm7AhiR9Rf96l5t/eNCmESwfdqvljfd6cl+wC2xwPvSaiifkt2QrsYTit3m6J0 XLDwvFrxz67e8NRn87SASt62TVE6voR9ktZie7QWIZHb4GNBPxPQU2kNV8igoq4oFA0eMni3F44o YZWtzJx8se9u0DAevZm5+XwExvwmPFFzaV7Ptxw6UPZE9fe2XCevNEOu0F6xOAuj1HucYmw4hwNs V2pxVM8AWxtZJfDzPSVgHyZUYSfsgvGFpwsOT8TR5dCEUkYCuCy5AIw1VeGdH9GluNgz/4B/SS7S 0wxS7O3aUObYh5LpE7R4HSDefS51vrtpdJUFGJZvVJiQaw5sH1V5TLrPMTlfyGYbHP0aXnQT4+Z8 Ngr3AkwfzDjYxuaBEyXsWzgHnuRRYID6IwsAGMwQE/RzIljmH/AvsXy6KkKcsuFlfafCjLyJkTEd 2HN+jLc0AXe0CLUx9lHLIHCjTOw+gBpG7UkutlMIssBA0dsMQpcoxSbEYSuYPZjRV8dh5HlfOAKK yex9a4F6DP9uHTNCRphxMj/+AVFG/muEh4qxPdOEwJMSoZExyuUE8Y+OMDiVMOfpBKy0lH2jQtWT rY0iebX4UJsE8QlDEhosIOiyxOCAYsNzCToD8+H3pG4v/NeVt0CktEXNOky/isIOmiuBLyV65P9j 3Yl7z/cpSslFri35XnnQbvFm3aWvABBrmhU5cKYEMU8IKLF78+MoiCneplQOmpodwMMiR8HzAEp4 6lebTe7q9r6RwKCGLqn7opR8Q1FFQt5p8PmgcnkAUNhhu04uEiMwp3QXWIhJ2IdXSfnXPi2PVpO6 a7ZPMTWTIWoJerge6CEq6ZNJysPr/I22nBcT2KM7QwC+9tv8XdtfOPjwMmM5iBy2aJbQd3NO3ep8 Pvju1jdjTJVifkJ52CSnNuwiTu7/SX5gutXZoCC6YNHLCfU5dqiUoldu3Rf/7uC6tFDXAYpxbguI 5J7vDoPoBZF4JFW3qdmbvXAkx6gmXa2+6Ls7/+6Ymg+sxecj1tgvtwp4jvavZl1oUllpfNLpcMkD df6xG6IOhqRnd7BRJz8xqm8OXSrpwAigVvVFGwUdoXO9wYSmQqlsEzk+T0KCWyQji601PdOp7m8c RCiCx1smF6Fl5yLMUxJU3rPnJ0w+HyUHv2ia2KN9pZly7FS5p7gIPj5P0+XhUinLCRu7O983B1aV yDNoEZOI/eViRR6EqieruQoczMlHFMsAjUQW8YMGj7t5klufA6vKW7sU1S6lGHE8Y/rFbo5QBoFX ZRJG5yuQA/0/boAKvSoHBVFsQ+Rp47KeKXUOhZmUw4LKtimCkGuY0CVczcVr4bVOFaRXOgERtmgc CsMeCLa6P6Teq8QbSqECs0p83fNN7kOoZY7DTxDk8/+yZMjCvZ7/Bd4Bvk9RUg41PYBWvngbyXkb Y2yvhTdJi9qnOCln1TKlqje/VPXmzri5yW3pcFUnGh/U6x/47KDeuFAxtepCw8pK8xPQkLng2PCv dopvqH7gDksYCoeWlY+evnEXkW3exCDwrJQ6FArg4tDDkyt5Tt7VmZKJ7oUehqaV+DMmzYR9cdTK ySwhsprNK6bWLvWYSbOpc0AIPTQ7nW7vG9QuREbv1u+iWhgiq+xSoGzi81GL/M7OuU8UfFzPPoVK 3+f3t5cLDjPl9BneSQptm86N60LfOfatJMWF1EtbvHSBtusCyxLDzpUGq8FCge0OkZ0MpUZPoNq2 940wBsycwPqwxb671nTfgS7N5/91nNRuAm+zPtDD0LsSmkz7HBbFpA2xSUufFn7TJtEsz0GkBYF1 pUNW8lJFvJ5R56mFYT1DQkJG37cY0H6cexFUxDyYZ5bHAD2H5pWNvM2BYT8kwyBhKMTvPAkDs5+5 cuMf8B7G11MK8/hBYnBQWMs2KszIlWnOYYpfaSSfCmeojDp3I7n9UA4sLLPBDGq1kUK/tjEDeQIo /OQCTegUIpCDeYkpnvrx98ujjFwOTCxV9GMqJUzrcE75Se+XsDlQlOfz0VjYKxe8XyYWaX9F8lCR kIZfS0JW+sfkhl9djh8QlJkQ77CNimArlR5E58AkX5yleMq21ccw9Xh+i7zx8Plg3rqItZfMrshA gZOlM4J323dRhKK/se56cIECK8v/i9KkljeuZ9unz2v+VF24MVM1WxFq5+uLVop9hQuoYEWo1+yp nhPN+N1T377YJkF5aMPEivXduTLyrvLVha0tYayO+M00hvy85k4VlzrNPlG126LgNHC1S0pu4emX Dapgh+mTEy4BOkKjUmCfn3qwPRBMBqs8Z5OzPhHEiIknXkgfhQqy1UEYwfZI4gJm27744A6C1mvk ZmVdqbSKWaCgdY66uHUyaliKS6/7Ixl3ZEtSs3b/HlhX70qNM9wTn9eUqeJ/iu0PMwhMozIzAfzI 13zpPERGSaKutIWjPDwcZXWcsD+kO/P4vGZL1bPKKYLUfAhSsBzAyKhIPHaV4Rpq6OdCaqzsL/uB znd9Pz4yZd6y+uSij+LcmoUdEGDPPq+JUn2GBjo+lbTNum17XtMkfF3TjD6SQ8WnazQnAOAt7YZG sbbnNUmqmRK0EyPw7IuHIJRaGQp8SiZxLPl1AU2J+d0gUVrbg3j7eU2RKlKc/X31Ayet6fg8pT3P EhD7n9cMqT4Kewb7AVcGvyLtz2t6hM/r/O72nss7u0CfWVWypRpLBMEikRwmscHpGV1IsNp8sasM rLRTWRJLUSZsdjgWzyDcbbTBCtrw9nVRuO7zmhpVaZJ39iZ8MbxcoZ4hxr0n035NjOxp2w0FqpO9 bt+e16wIn6Sgl4+mxa4upXuSuuqd772y258G+zO7nD3zXbwWge7iHhTVhSrXalRGoTPwApYa44M7 wGSS/ZoT4XcphfgqlGp1gzgIWBFTTgTH5zUhqnKVt+PD8AzQD/i82qDXbAgxvUjGorim7pQp7BR4 vjlkoDrl9zUXwgY18p9mXb7YBoHoVCWLcjIt/EG8vQYgBHTFyhj4eFUrR4boAxPga1OfkQAZgUlx RapFcj7Y/nlNhBC+Zvv6wDStqn5/vWZBiM80h8M0zjYoreFyaCykzNIT6HKJDkfxmX1bAOF88Ynl uVug595l7NGoQHvKD6B+FkeIlGy2vJrE8dcUCL8E+OedR/bwRd3bCaU+G4Tkz2sCxDf0AESNBHTi G03XuUGvHUl8YrzAeMo9Qt/GSEvzNkaaTytf+5H4wItij2S+yiPzhYwKCrGkbW04zqDBRGgiQLsA uxbv20Itjy/7vkGLPOiuQVyf3t4+OQncnZlLQ/Uv2KC+1tcBgtYCXca0P6+NyCqbNQ69ryBzdsFK 5BVOT1n1CliFCdAimplpIhffn3UuG5SuCEEQuqVZEzCDkGio7LbmC4WjB9NrDxK/A3F36iq+2JRk NJkNsWv02oH8dYMxRJ+/0ICQnyWIUQI91AlZ8xqdF+PVn0PVhxudT1i9G7sw2qFlY+7ui8egcyxI PucnBogtNrFwJLigz3T+w+t3Rvbe550zh29syKJ6LV90hCAKylYeZKA+75Q5nMD5fctTlglkPtuj KItOSfJVU/fYNl8BBKGZpmFyYOlyCXPhFgk3Ue/ivUdwks8Z4j2/iKRdvMfO32ShfiqDeoNV1f3Q 2wZxukjNe3RfdIpORJInw0n8+HgQp/f3Z3aKwlPYb7/I3tly2KJGqAQDq1KhSwNLLAOcVPiA34I9 2pJhLrLPefr9DWxLBCDaCp/IQ8Q0+7MdjL4pAX0rNFTVR6l0HzKonMkXg76d60UC+g3kpvdcWhYF z5cGe45Rq5ca70w5hOpFHu+DAxi0KhGPl+Y3vOybOCnEAQSxulP3vK52l7tF5/UnbkvE6nPdoHV2 bntAKjhHIlnhXmZJrxtUY8jAK36nvli1WvdWMCoAm4b59GhfewR+NJDCvkfvCbWiLJrWyxOi3Zuz UMYYw/ZowcPNGo7RHrEgo6elLdojQMoyWh2sl9AjGE0TcHw2HYxfELiJDISzut42CkZyOYMMAwYG 28SYz2XYKsH3Iye00YI9EtPIP7UMeYw2yw1HUVoNjQlIRm9H3rTsWt44v07VmfgbaZPe82pwNNi7 zsSmc/He9UmssRmdAKXzhWzNQhD4zrcGdOu8AKXhrxvcalTQzzi0tuCHZOB9p3CBsIR7p8dVtOrL d2YNZ/pz8TcvXd/5cYgj8hWm4pRm/3LMmcyMHpPK5B5M7/Q4HMohDTQVr/MpXivmUBSF56gWeSR9 88h8bxBTJ4/UqrM89brvu4TIibRaNJ390HQG+gdMHvP+vJPjWP36UWKjhA6Ft/x458ah5pkMSal6 N7bVYd0z+G3blzdhdWB7FOXXQ2MiTdXaM1Wr83zMrXWGJHAONtxgTkhC+jiykEnu5VV4mX7eqXFV 9BagSdddtEcnYDTpw0wg3aIMu+/vyx9g/7EICOQevWfYED3pkl9w1RPOKow/KPcqqui04moeUYo9 qA0zadSlxXtEIMJNCiL0kz2CbkumE3cFwGzWZ9avFmryPcW2AQi8crIvSvzaXEk3W4eETpRjr3v5 064ClU8Zd4uiJFt+sINIex6jmRiQFsWGl6ECF0CTtkVxls0Z9mRrf85LHTyZNUbx9MykVtyWIlFG 1QR1kp/NJNta+lNvG20RUsgMUrwtFo/gyis9HGAiojTb2QF2igqdIrwMeWfDsRViMC2P2RTUUA6J X7gVspsdQTYa/5Fmbyme7OzIthOy22jUYad7C0bksswFpAFsSqQbrpyD+u7zzoVjX4Kd2CIF1OIK qDW1c3ey1Xii4OedClcfefjNmQdmrSPfSu2dB4eNpWS1TDfNNG8a5C+14c0QCOlaMHqnwVVHQrB/ Z4vXajPDh5kyJ0BcNjkxZKQTBMueH9luMGK78Z0GV8WzxcyaI9mJy78YSnLOoRIeMifvNLiqkeF3 P6TCcWX5JkV59lQ9K6SWaeYkS5CWJL7ZUpvZkFrvJLhb8De267Vc4B8cc/G3p+bg+UBApDzJ2/nN wyIOQ51Ljh+0q3jnwDFDYrOocdC44HAp+gyQ81RngYj++rxT4OpjmbuJ04LqxjnyniC9M+CYaVMo lgLQ3KQha1hsUhNhia7CQO8yHr0z4PDzpQBTmCf1ch0qe0+AIS+i/k4FUTtKWJTOBa4amBgniH8a qIYKJ+/8N6aBzIwoGKvF+ABADSRda/XzTn+rBkp7DhLac8Cm2x5FmTaURoCHLF73n0ypeeNorOWt /YUWJaqRd/Lbc/UTTKfF9giTrVN0qrW28QkPBKRxTtgpvXH8ZcYwHxumd+4bDwIrNqQ5tgjwRyV0 JZFpft6Zb7h6zdRD6RFE9Pvs3ht5J75VDU5PTtTbDdpS6hi08TSMTUH8sN7IO+/tjs+qhoxcfP6R IVPaadUJLuVsNPU4BWwHUwiOuRiZTgl84m/6eee9sZUjsB8JXVy0R/Mk64Kw7bk+77Q35unfAQnm CQ0XsO3Re5qNgKQUcrooc3MPFHi43P4RFEZtj97TbJQ1nBHN2nyxgNTPx7WqGZ9sxFoMtEtHuNuw zslML32GtvS6QQtSlf/Od9EeLehUSfgm7c87541/2+8UEkijnrtXte+MtyoNXDC8q99sq3lunTvV XhmPJn7pikfvafb5FfMc4Rfqi+VHIAPQKJcomnOzQZ0URC4IeGGOvh93QQbDzzvdjVvEQVElxI+Y FTnct7GHVKX6yVM+73S3+sjo2zkaqEJumv3OdmOCJNvF4STTSntJa7Ldb61PHzW+k91w55Bug/vd F/vWkAHDwo3I2hN0a+XFttNmuYY+JIDlarINIpDfyW7W3sAUVrZe60u7S+EC9u798851w+Mrfe8R NCrHE7OjPJv+06n1KyIkY1/Fo9mzx+zpyl3vRLfqAuhLXkNctEcTHLfzKxucx55zszqje9300KQZ 4QMJYbP/neZ2P7UljgQXXWun9Ekc58NN8/POckMO+quBBMhb27c38k5yQ1bFaq2BQOvyHM3zozK9 gQQEo7kKv3PcqiOxphgk82GQjCwSaCVncsDDiP2B3AjvQNvyUYYFeYY/IxjpTzWQ+l3098LAThOj E/M/7wy3+ghXe0GLnsi4WxTl2VNOA6U5KWItSsHJOM+pk2vjVGqLwnY2JkEnqQZmRoudolMXQyYU vcYObDYIjSzoANyDpkKnCYFxbIre9o8tOl+YuZ9URz6eXwjxrJCT6593chv+sr8uNUAWK6pQ26Io y26mrTRcGgBcYpsa1WREU4ip+GD/ndpGWBE1zWjYocV7R2j6FgM+QKq4M38qEGhr0g16AnYbetug WmuaO6bui07ROVHbyDWlfN5pbf8TrykpWqffae+sNkQQmgoX2oQqFjnx/eSPuRtw/VRr1H3r/JOi ghbJ9cocsHG5Nf/ejSAeeAvuSu0ZyKCi7dowk1GD0mzgk173z1YtLmss9CvkgUC3nPDXeVKxzzul jY2R7+l1haJavu3sd0IbfzO81ARxrEQ7FkODJtBpbJNqdtW3dz4b5yvIinZmArnzTSAnTIaRBS/a wUK+xLpM6PbjqOfbF+nkJb+T2e4WZThd2WLY2VVp2Xxi8CifdypbFaHFdkj334mPgMrZFr3n2Lol EI2KD2jXctNFFJ82wN4J/EVtUdTMTrTxpGmXLfdTg951l61w2rsSD4pBLTIBlP79enlRS/nzzmPD qV/k2nJQq0XRaELuV5zkzlcM7rT7qUnvbAEI7jX/O4sNP9R0ctN1Xk7bA/Yod3B0blhDibyT2Krr vw4iGLV4OXvCD/r9BGFvZKKUEx4oJHGqzp/G5tF40MXvJLbbX0u0z9FiMIgsBxIAJvvnncNWZd9o OAjuEcbY4+5RlGJXGlUl9axxjBI6cw4UcU840G0NKPJOYLstyL4FBFUjfhIICqON3SU21TEEg+zE CSCA0nRA96Ex5/45HEC+89fYrifKSMrUE2o1mvZgKNyVY6+TP77z13iHf9cha8HGk+hrblKUY9MY J1FLW3NsuBKaQO42jN85yjjW2qOwk02nKiYLtnjJ3086lFFtyxMuEw0A5RWS1wg7veQ1wgA/79y1 2zvafRuVBiMQ7lHboxP9eCpbPh/Vs9+NWmrLt1vzvzPXuLOUbqFQsTjIuRuK+IRvx9YAd+2Qx6iX PdWhXb34YvXshurDcFXFc1nClZf49PKzwQguBD1a74i8tXfaGjMV7s6mLSwXg13vns2IaefPO2uN 7zh/XWunVlvD06N30ppV6miqZekootVWutEg8XGbpGJH6l2IewyTbIJF+qJzHhfbI+zX5K1ADD97 Caj50exZUI8r6RHuplnQ552zRmQwi/0y5DZEahXIDid/6ywkgMT4vFPW+K1+X/0g1/90gFlsk0LQ iIQC6bungnaRAlkgLwXdGMq6wVj4/D1wkN4Za1XCKET1VV8cWJNRu6JSJZcdoklsjKBDvsjsSleF K1O64vPOWKvShqLGSfNFqBGo1PP7bidh+bwT1tiY+P7YcFHQDsn2KIRfs5sN1PWP8dgRe3h6EunA HLNhStdFE31nq+E7oCEcFDR8sfkjxKNO/iu30wLhRjgRAZ+bf5AK/FDXxjWWOX98Z6txQkEabRGS 1nUqSttQIjZxaT7+XwISegYNzT3bo/dE22SWkkTIuUeFxkNy8ZhmWXHe5hRSah69M9WqMWl5d/ni 80cpAS42RjoUsyRfCgmDReYpsQ0msEyOwztTjUBYBiQ0OGwxGO3ubQsuc/Lsd6La/8Cw0UrpEg3m HoXN7MGpSN/9lrTrmYq0fkvabuKl7zS1O+ufS0nkepLI8+gJ2QMBqU/otywNIBlcMN3KlXoXoq8n e90/kfxdPoPd7Ts4nWJK2c7H/XknqVmi+wxpF3ko9/J/p6hxZymamJfjIcBwtT2Cxa3hIVaaNl57 56jZOcb4mvcbF9sjNGggl0MG7anbUupS/0FsgQMapGrc9YxwiHeGWpVmFhDQkrxrBkeFl/aSPSx6 L593flo1bb+bRBay5u4E8p2cxlF/IRm7+xZRGEKQkbJcfGus4cCad27aU9MW/v25OPqo0XM3c9SP 6bg2EwzRUzug18HcSXl2srd9j9joHbxxHU8UI+n31Batft6JaQxm318aCEg0lbYtivJsSh+cAv/B HjXXAoQ4j/fXTl7hlIc4z04qZasvtkWEsaVRhbhno5aTShBdgUb+QbvSgxHRou+kNPxC+bcqnIlp sQ9t0ysdW4RLLcqyJbR6M0iil7N3aVuYZZOrvuVNycb/tm8OMXpaZ2SRFa4tijHZk18YZaS42Bal eo4qdLDImhkD8j9qHp1QidNGO13ZVFIQCj8juPezqrWdfREa4lxoRdzzljMeDxLIO3wcSjbJWvEt CpNsNo82e5wq+pMX/eCI2PDxVILbhkYtamQn4tWHgvFYjxg+8PcJ5BbFotTFjchAi1b2ZaBX4A7e yV43oIYk7hGwqLboS4PWtEDHrQw8HiHYvnuQQHj0dAdrPUyyaeKTl7X1T+S7pX4yUA2VIabvUX9P sn2ITYs/WzwYYUrYiL8CD/RkWeSmtUraM2iKFw1hfgE95DYuqUiSz89Fx2h2qTui0cHHI9DxJe/R qgLyrbhPbY+iHHtwQMu+hWm1LZc7gPOp99fKWmaa2yPIyCDialf6b3Cx/tqppCCcLMeXAtCIxpQY E0Hz9McUXFX1Uz2jR73syjhEBytbuEnrZNhVqjXn7sTjEQfre9BPj6x265Ae9rKpFbUpJqXcaN/u 0YJNOrcLDTZr9/eol61i7SSOwxe/908ZmxJ1Z0/+eK7oSnXpjp6sTOCkZa5GLaFHPcqxVYeMxlR7 0DVCLKOt0g1/8VbwfIA9mr8wtbAd6evyZHvIdBSEbddiKL/KmZ6kxwSKBCNQv3Zk2T3KsucUDUL2 HP1GpHbCXQYYnkJRUGUGu4eCWifLhtYkvptHEKLodYP0aDM9qtSmpYSSxhvtvDVTbrhq4/Egaqf8 lUHi8a+pSI/YjixlYT3arTWSugmQna+tmOsS7Oimcfd7mGUTw/aCOz6p1akzMe5FfocEhjqbi2hR CILk0m5+NLq9bjR/ZGIkw3OO+jXv6XPaVPgcr4nng00avz+38TMg2GKbFKbZJ+qc+n44PisLqoUU so8kQBK0l5pJQfSQ8ygopDr+8+n4Ix0+V/Igo3jB5X4vakpDF3+IMLfLjUgUbe3vaTa02GWqJOdc 8v6ZHJ+0qI0sPFHdeP5fX5sOUoM7hWdIPWxoD17/DU1Mn/UvU7wv6PcV9Y8ywZAI22GeLVZW45SN i39sA0JKiWrIJ9VL5MGg7M8w0MTwt876KGxOvW4QtiXHdkK2Lyppz+1ArAuqvonHg7D9zPq5ofjT 8m2y9SjTJuEqDaTJmXX/kCFXJV2E8hgEsVENjCcpyrQ3de574/3PxTZpdvRKivlxLKBHic0GrG12 QxpeT0q6vvWoow0HvnM0mSRrEbAGuvHi9p13xuNB3d88InHsDRnp/dz/ETa7s6a9kg+bwkdqH01i xCiDyOqW7aMeYUYUkE50t4VGUPzYxkmlh85RPjd15ZQJgvftZ9FTqayLzaY5AH5IhGHrAq81X/wc tTZlR5kKHo/OkedIlX1ItEX6c/+HuTZnkFkRif3/tMz/BvgRdd7O75wCs9ykqKGtWXavpB1xcUQE XEf34jD2ZKRQQVqy4TibhMYVE2zrQ1KnGT8kqmrl9laKL9qkMjob8bipJh6PeNjfASljtt379LJ2 RMk2gi00d5PDRho9fdX1d/ZxmRvXNBPJEXW059IUUnoQ7Uq3n8RonbKzpqKB/yayCrYKFGArQm6K K2JS2yNKtrPwIoOTWi5q+q8sb0bEtYzHg2R7+EFiXzcBWdO9fzSiXLtLZruaPgZVxpLxIGYqlggs NgHEVQ/72dPEIKYvHrRPdgUbCAJG+0TJg3M0IZozYEiWG7oCJi2G5/BDIhakXLk6gzbcN4amR+e7 y0yWBwrbETa013ezFkj0js67bVIIz6bl8ilLHFtDe0CjZm13fDv/dbMx5Iga2lQSORcXUEgNHhJ4 YxQke6az3Xnp+seAjRS3Ad+TCmpYGVfND5S/gZ8RAEZlGZhkQEnXmR/RIJOye2iY4fH/MhgBZ6/O fD+1KNNubLIVzxeBIUk+hRRrWCA2NuP4qUWZ9mLrbEpS7GRUzbYIPstQsoJj6dmiBjdvOr2A5NwK S7zn7ofLIn7GH3xjiP1UX1T6lzYE+mvng8DjUTj6BrHR3Lxe2YwRJdqdV39pjxdXq1ayJQBiLBqV XqxVO6JEe7KgH4NwPy7eQQIHsixpZJ2Eu6MxRRG/Clu18+fRqlPUNcQ0/pA/htm1scELKzQYqKgT edJIfnWAp+L56Bz9wmgxNbqJ9ogS7UFGzfkc/F5bRGCY8lOzf1wL4AFm2iPKtE0RUqiI/qAioO5/ 0itoeYIFCTVtGnmiB40OGwbK6fazyewfITZ7CziSly82XpspObV/4vF/gWsIVVokPd0tCrHZtFKc fW87R1Cdty1qszgPYlDuk1sUYbOLsDVJ8GPqSlgxcqqsOTddkdIpTFriUcsQ8TvnCB/Pl7xR1dtG 0zXysjjv16JPjY7gbNaeAIrHo/Qof31qZIqNy1sfUZbdJDCyb70GrohFo5PueU1LJJI+tSjL1pR2 7GkLfmKmZ8seC7AHbhHoWE2eLZglwdHlB/o4vkVAdOJn/MnJxsVriw+OliBapNKOMMnuvkVMyDmA vAoRI0yyyVs3BxtGo3SnIrUkF9EuCB3aojDLZsu/JbL7WtrPtH/DVjRRY4SRdZAF0aChNAHyK+jY WsTeTCBHmGWz3p+SyZqT0g3cJXgXCpt9fpsLf0BQ9/8i+AFg0RAhbJfCNJs4NiV8Fo7K1eu7n906 36LRREfY0uZcDWmuLx6OTrSYMAwW5+hsODJxuL8jVYAYVKFJUpMYVNPrBm22psK/V6XZzQQvQfBK 7LiNUybj+ag5Mr8CEmIxbVC0STNKs9EXhvojNeiIIVnNZaEpl8x/XPxHFv4zlOujvj9qDF98egQ9 lpHU9weIqrBfm4HNQlj5GeMZsJFLO0PciFiQW3O2va94NuA7DEgne8fjwRb9utYAy2wg8NgWRWk2 eo0YPM5iafaJTd0hEbQrVk1LY0xuUciC5GfWN5WPuDhIa9QMNWBaIJzyvOgcTYTqiai00tOtxVnA z4hKWgnS0jtxe2vh5HZo6rHtX3vB4/8KSEyPWBg3j9kz1BqRcZvSRgYkkn4YkFK9qrTlOt/OmAMp iBYlfFXyWUCCnHgW//qczFP6sxKpuCcWoFzUxHPVR3/dv6giq7IS4WKdkZ6rrv69Kh6P9qh/fWmA 3I961Q9mlGVnxr06u6eQMKU1WtZszXmiFWas2qO4n83csbNo4+JX/6jnW6MD9Mm1q5gWQETgkC9S IB9PSaLYZggaoaXkrrJQrm6h3KHUwVrt5BwZj0fSmN9FP8Ar6Nn4FkVZNjFrSVKlaGfDQ8NZWQJh CjSyPIGcIQOSJUWXe1R3PAe5kJn2Mp3BqAEdB1bWhDsJ2QXER7ryEeHZM2RAmu6jNGvW1axZ+AlS PuoVjwdDyBuNOB5Ae6VfOs2Mu9mc8e+LiGi1uIHGdrlj0GkIPcYeRaARWdcAqe7LZUCy8OcQEvoi J26onU0wBKSJwaKy9Kja2wYBm3YQq6qerU7KgsUSWeWnjB8Dj0dk419E2sQz6X3aGYFGQGVBf+1K 1qD8d9AI+qdmCIz6WFsUNbMXXRE7kY5anskRWXtKsudJ9RCpK2dJ7NkuJtkiZFMEckZJNrluNTdx jalRwlME6We53J5fBx4PSrVfXGPAnir6MrZFIf+RoJFKj2bJjCRnHEHx0HDaMOw14PEMZfzIxhpd muv9BuyO+2ZuzFpQLeTz6+BmJjQkOsdkD/+Rdr74GcFsTYZjcHi2xTD+dUpypJ4kCY9H6KxvOg0c eNp84nUEGhGNVrovmtLWCzue/UplrrQ8XkdJ9qBI5hiarY07W2swmNqVfhknXo8+KJGE2fj+4QcJ 5fvbyiZ+fUZJdrNuvxQPgVGW7BUw8rrhztVS8XxU8n/zsfOicJd312bMf0Q9y+aZiflRldqctNyj fOVxo9HfOiNLaD8ufo46OFOLzLVFEAmlR6mt2tk4rc+tNlbR6/6RHEG3vfliGLY2RPI/f12+Y5Q/ 7u9bjZ2D7nu0ohQ7yf3X6zJgtay9lgF3fcKR+5OvCDYy2Owg+cIWh0LCNovWRuj0A1KSisLRBJQa N5Fj/I3Wv8JOdpEWCxls2VW6ME3YPQvDVisejxR90tcW5U3ZQ0+xV9jJ3oSKlKu6SpgQq7aT5Tdv r+Xe7VtbIWqE+L7/9R/tEFKivgjba5AIqKQa41/pDeX70zmi1RJ+RtQWScqtJayewedmyD4ncwst s2vB8/9FZIzx8OHTrBCZXWX02114dVEoxxC13SnrG6IR2qO/USOzTVsYFYk6Rs9gwYoCtxpQR7zV IKmiWwWB6eZG543xM6LuGqeOtIPSos8DH6taR+fCw+P/BXQMNkbr06fYK2ZAkvog39HJf7yqR6cO LQ4ZGdm6aysEZtOypxsZ4lHOhMgIhI+mfCJRrdNo+qQY+C3iFfs9RkKLrn8QINFjsUVbtHBWJa6+ Kx7/VzAiZKngCIMabnsU5dis9OEacuX5Mwn+gIzkUb1US7A50B7FBEgOjZJE2NIVYQMOeyBM8xiB /oq8ekLBOf8MGcA1VxnJ7PPhhwTDRzYsdxK5n0aAah3BNXXIsn1mPB+lR3ci4qDak+bfXYqybAhT b3PSho9Icg36h/QHv3V3D1mhygh97e074mIkiBP5YdpNNF8FwJmQ2hOcMaClR8C+3xqUVPWyEduY Wj6LOtlcVKudE6Li8ASmgccDxMjqXyFbvjbPOYqSbPqNoDOVrFZL0l1d5Kd6O3J1sFa1R2GSLfhe l1V7v7JHpzrjjNlYWaWebHIQDNFpHnK+XVnaD52jrNeNoEfsQYJPxcWy7LlQD/IYpdTweMTr94+N oFqconS1+leUZdPf+OQc6Gj/Pzb+F6VFJIKOfp1SSHX7O/+kv7KjVvZdPB6dXdl0qcUepYEeBvq0 SMwWkhNT9BFgZA697h9uGNCnlVrt2WUhj3D6ZTKK7toKe9k3zWZ8P6XbGM+1FmKzKQ9RW/LhYyPh QiojeTkFEqIFJpcdptlMHVtqyRfPjs6FD9W1Ylask5UvUB7n38QYqCSaIXfuESInfkjQ75ft0yby nIsK2vPZSD0b6vR4PBr0/+qLoCUz7/RxhZ1sqme1vn2PMiBdRqWt7mY0aeahPQqzbOZF0Orzxdtr J/Sc+ryy33/qm2aK0BNIJUIxW3nA2WPodaPpo7wNZ/FF8SifZIu9H2j84fHoHH2nRxzNtKvos8NG 9jCtdU+PGvHRruV7ZUaStyB3zIBkIMLw3RY/RyeTbZU0CMqwrUqtuoW21YCeOVpUbr/KRvaOsuyW 1OxfzRcdo3G+Zqb1AGzh8YhM893rr0TmXLnj/Z5lQ79lEXe8fWzU6SGHOI3e0W2vbccd71BmRKh0 4Y7bgztu0Kk//2/L9fh8eLDqmwwhP9AdPccolXutUbt/h9jsKrfxMn1Re22gCuQ/njsIj0eSh79m 2GSJXDbNDrHZg1PZfLPsvZOHI3HnBBchy4Lq9HGWzWNktknj6ozA36BBW2haCglEClNIzEZJmyjX QZtGuvgZwRYNVfvSuRlfgj5TUyromeDxoDFSPDnipQvlkApSmu1RyH8kxq+MayLSS3WTlTS/rB+n CUTsv/EiLKJtsU8NvL4xuQkD4lxQHUEKiQne4BiHolA2NOK1tqM8ezfT8Z2+KBxtJF5UiFiN7xip Qt5wVK2krU/7aIfQbBkdU3xPiNo67PSASeWgmgabaG3S3wTIF/Wsk0T2c3Y0xm4Q3WUrN1PAAkQR 6HV5hw1fDH7GnyL+sDS2RQdpnCpXWE8w13asNPLtRYNKrY9ni0IxvyHyQ3PBwzWXW2Mu+WdIaMSZ azvEi7DqH5OaRmNeedGO0DrlhYWKBGkGQTVAbyAtAFjoArPX0NsG2ZHs1FyofnhjBG6u0vE9vwA8 HunU5a8MEqKhBHnYFkV4Eeray9PYtsghSJB9L6bBtlC22xaFWn4KR6gqbPFTdCIPpNYlolFcZ+Mk lucUoY+48KXZCLt3ve2fFNFKXXEu6tOecoeIF0RgPv4v1BETSJDV55U63qHOSDG8SHVWFn6v+tDy YtEPVhYV7Cl7tP/Gi0wR17nYBBszf+j3iNx3QpwGAgUt2lagEVGvaiaNhfEzgvyRLjvn/tq+GKTm 1O5sVp0/ZuPxoA75pTJSGolrPjPaYSs7sdAnrtNV6qw1m1qdxUlZAHdqi/7GiwxpH3GxU7TnTBvb JLVDyLqQaMxLjSLs+wFm7am3jUx7koShti/aogmdTuJjTyjF4xGTpnx9aJBrnfkO+XeUYjfCjeeu vkVrJtcX68vFDtcCvVUfWgQWoU5PBefGFy9n6z4vQeYzVOoWu0h0ZMe45vw0+F15ajTsbf/QGDnH pyRfdKUhzUiaPM6Bx/+LSh0SpTYuItuwOa97xHJ2EPnIThviruseDMeKnOLBLcRTLOVHVQiRsrlY XwT5B8pZyqxDyY+VL5R3989C5x94y4s7Wv7CkYGYFDSIGllsu+qzWVPaaD/97CT/gH+hIRALQA8e qLF8o/7W8zO5frbbkDCzBbDFVqGIf4VNs9TXU5xp01EkE1Fb82PSC2XavpAIUyHi5NKAuYFvVoFs aRR6v7o+zLTxU4JpPzVGRhXTz41byz5ZqjpI2DE+H9QjzUt/buqknOAVqU/vybbY/Se/Xt6KLCKx cwiZm5HYoebkXgcpxGZTrgaiH754Xdsq9Awbz1PCB0IZLdrcLwh1c8h/zUKzvW8EihjCHFsmcKIv 07+Tiy459p3vffEPCPKk8ssQCposRCDbPkV9bYG09tomdXz+0Uxoi+sgDvba8t2o2PaR9CzJ+ZdH zr+hu1YpyXAq3Amd4Ex6FqLTQg2E+uJmk8VfOEJFMtdmU1yLyltoCBWp1Y/B5yMOu4NrsSVQ1G+Y 7Pk+RTk37Z5OgMrDkFqA5j8BykYB6/xNtweoqLmtmW3bc/hiURwCFB1aI2TWZMygi8yxszFr13Cu X6ELDH9KMCVZUvXPd1Ecb/THxg/c8IZIIYQkfwMjM+iYJ9F/Prywva2mm+SPl3KmZKi/tJgQANI2 i6v84I+KqhONSFLyxbsllOAT4gjjw1wytcbRD6K4QG6kJ6szmfyFg0J3CkfSmy/WUloQcMAPPHkQ n3/fqJp/sSLPzUhepu9TKD9ysgc4DTsFOVFqh0StUZb1uAd+z75NUfo9pTkmSc32SGpCg501BLX9 N3Tiu7T9z326aYarmaVMIpC28af8KRlVyLPlIvBfh0kOE+iz1Xw+GgXcATen4dj1dlWQcwo1SGhZ V6mKyguvbg5MsFFMpHm05tjrXnixbQ0jeavJl4tIHrA5IEayZlAnkISWCoQtLRcyL0PlTzRs5U+J 2NrcqHMctXTl070tguUoAN34/H+BAOJ0DmBpfJ+iRBzkPgJJrplWSRcDmK/s+AZhyKz9IiWSYjpt Y/jieAlYlA9ZZp3SeSHlwKCbf1does9Hpg2TFL1vRP23kdL0xcCkkH1TntkGnw8+u/Yt10J1xn3R pNSICH4sg/e8qJLhbuLUqjYKwOrLZwI5RQ1v4POROO3ky+3CnfizpZAAKc2MexQKCRvNXBwnQIuc 2UamLX5K5M6isRJ6wFqsVXky26Kqjnlm6GDzgLj4b08SAO9GhVaRAgNmTUzqCVX9ErcG7c7YY0og kpoNYggtYeY0c7+L05Io7k+jmr6xVZPlMeU0WfuetNrjE3NzvW/E267SjE6+qEEA/S7edy2NyeeD +HR1tvAc+DID0Hjfpigfb8SVggfr85PtlC3Uv9UblnJi5z5F+BIpt6Bq88X2aUB8dPCqRgcFUKSl CAZhYyrdXtqN3Edy7BcpiFwq3RdtE6Za/AbbKpXP/0vU1iTw4BB3nf4Cx0jL4s9Zup2UnarZIOUl O8SCfhw1VnjdhZaRQgXOtpcvNyvoFZKJJAJOyEVxhlCgAttQiJGVY9tUmr1vNGeSzpY6cqRWqZey 52ShD+QBn4+wSu0ry0RjtZX0fHRR+3vRQ3uSqiAp8uWUG9imuxT5pLmZTlOYjS+J21AyiYufppMn t53YKwAek37pkApAm46X13rk/zj5zoFtJP6HUC6WK1rY7D+F1xQgAVBfPv9f8AEYmrb53HWhcSQI vqSSLtsmVHL+0RVq37DpRMUgbVPImSxCThJpwsWpJfVEyQlXceDe0iCdA73LBvsG0FRRC1jRIr2k HHpHrsm25VL7aQ33nT/F1uLYsidYkIbekWV+27RSJ2c8l13gHamWGjpyyztP525d3pwj1l6y7Ysm pF1/1J8GCWUOX/w4jZOalWI5Aa89jpxkPQ8wBAbTDltSUhC6R2bZtLVyF8Mt5SbcUjt/EJ+P1O1/ IZahOwCVB9+nmDrJTGA2T8ZhqObgLur5sbgbfdj0Mof2kYtmLVUAeC6eY56IAWYgkQIb83HKJjTk rQOma4BlW3gCfdxeOEqemIWPVnxREQwVpiK18bL4fBTFvR2++C9DgGM9Ufw9F4e2SOKcoDpdCdAE 26eRXI3jfJ1u25IDC0n0HYqq3+yLsyg6jDWhwE++0mBFzfEcOmTn/9scSiknqNXe9y/AadnPYjnB uRrIgjg1QOXzwXEa+ysVh6LpaE8zMzCRxHEiM6BdOg6sVA1OmeqyL/CkoPNuU9gU39KTznexbUrt lDxgv7EEPlULaFzUL3Oj9r1vGIePm35KJHSv+aVNM69WyWxbQLiyWuHzQcly3VusB4os4jlOIcJb osn1mraePMflk9DUdrxgcdhpzn+DT3rStDc9097eqEWfqXozVsIEgVr3JDejFmI0MR2uleyFoyGU kktxBJdzBGuBeJUGS7Px+aBmqb8QzJAeXShhbaNCP0n3AE5ON20pFQcNTgfETf6yVAOHhpIQx4O5 HUcIXPxArQ6PW2qRg8e0VLScQ3YiPo8h7e/WA4jLoaMkJATQzJROuWSjCEE5WQxPA1rmfD4SCPTw xJuNyPorxZlDT0mixDDRR7+J/whUhlpPM5NlmilaMr31FJpKDuVEk8TTPtNjb79xqYHIimHUqU8g sz+JbBFQp/WHByfP7dBUEh6YuDGlWpKdK9jP/ksiCB5MfP5f3GURBM4viB6Xvk+hbgnZy30272VW GbtsTn4dsrsq5Oz03QW+ksA+kkyZFMbTE8bR3T+JdyGncqBY4Qzh/OnnbRHGpZ+kFl2uyV44SjPN mlSa7uwHMipB8YMFQD9fI/+ACLDTvg4UyAbzqzkeWEuiaqHi1LDKd2+JA7E3rvjEIUJzZY4cWEtK uwobVLMv3hvvFAhmH+5cRoh2VCyHxHjOnD+1K+teqK7JHxMACSgPPDepcXM3C8gn2yiD881+vkA+ H8kEfxfB+JW1/bVPofONVMv3zcf7dv2AvSfrYQJSgBRRPh74SwI3xBHnEh2FmFYFcognYz+khLOd x5sRG1thFd78yytsgvKnRICLJHvy+fDjWN9tGKNQxKTB7z6wmJRdxTMJRsRpGM34RkXN8VZMS8nh TUuGgINl8DS05aaZlm1UjEvpwhAmXzxCDYEhRfg+FZzkFTaNtFEcjflFIyj2vlG3YKhumb4ogTq7 UzgqOVdG4/ORpvL3EGESmTCeQB7l43LkWilbUwWy0Pa15UIFLHngIK+xbQo1A6UZMGgSzMXrFhAu TtRg8ymj+s025xtoukKgs15lpTXtfSPkhUl0JV+UF5yvluwZbNPm80E6/kt6mlAX6l/bNkWt8cHW eFrLRYNZe6kMRgbl8JReum9TDAKX5Eu9i+dPJys4t6jwKScvh3ElThOmFYN5a0G6A7kfDJmmvXCw T7ILIPpSi+AXJwWbjOj1/CQ+/y+IMwkXQIADk+D7FOXjcjApVG+2MngPL4OF6GG7oCpx0B/1lxeO nJK02HE6m9TPp8fm0waUIzGDoJtba5SCqh6dJPiWQ7vJSt8pCBD6osSwn12iVjvmznw+CE6X2sxA BM7EzxhXzywHlpOo7/jdfSFVquOdwDiyOH6+mXK/u5hzya74hOqtlu8Lryz2mHjBLepRAM4rVRGy UdFYZKJZ7IUjyLy54DVfdKBOqbeZTeOq5fNR0/c7Ie/nq+/r6auEvpNT8alfyS5TNJfeAu87DM3X 0/SNjSdFkd/EDnJxSM/5v2dPOhUEcz07U0zWjOKKQ/LrPjPv9r5Rokke+EC+qcWavnuxv2i98cB6 Evl4/vXdAYzwdDND70kYrlBAKD3tJ++Ny1yWaQFQRr5NUT6+9MHhWNjiEMM84aKU5YpzXo3A+Zpo t4ZWAdQdHy54txeOGGG0DsCEzxb78DbwQuy8zc3nAwzG9jYdRy1Az62vfQqB4bQzy/NyMIqUPCiS V7tzMABT832KkeH83hLDFBfD9Jx8t0CXiqqdGG2LD57hA7MhnFk44TaIGFF0OfSgBPsaQzspCoI/ rZbRmAUURqZPPfMPiDbq+7uj/woqHt+ov0mYUI304V26ecGcxSnhFSmCbVSYkHPGWTVz4eL9pwnn 7cwWK0TIOwANAD+d2L2JYoQ2pvkG29A8MKKEPICGnKQ9LcheGLvgbM1WnnnSNf4B0Zf33fhl86nk fjcqTMi3ZOBXNlTPon2vBM5Htbkw/jrGxMyBF6VzDU7iWn2xjcJMahUBLWCgDD4dGpqEa2AeyxTE cfR52Qv/OQ0GJpjS1E0ogdLPixOu/YPxIP+ACNbzi/gMdF4rz4mKEnLCCCHAlKwUzmVXK4Xbri7A xNawbVSsecIST+O79ozvTsm12i6VpXBFIKkkGTYkl4uOqXR8N3JP6vbCwacnoRIwYG1Rq26vqvT8 xPTM5//VIZcGMaxp0u2Qh66Ug2aCUMf3lma9MLHqkoOnkAUES6VwYEsJGox6mTpX6xYuCOIneBMY cUqYhqSKqB50tCmig2zATXJXt/f9w7wLkhXbF2XkG00uzprO5crnIx69Fy6UYj1/+4ZBvG9T2CCX BmMqxb67VpxID5tqhx2u7G7L+Q9nSlkFUYmpPkpMUMxfZNBTrercqZU63ueWOpEc6kP0UrPvjrg+ /pTgu0vGXEm+GFksTWErzznufD747Na3tAcLaRjJ+D6FHfLG4rekYuzVlX16gESzS/kcycmNT2FG zklLW5IZXA/qkGlRmlOUOjB7KLvMUwW1eRjmXndKICL0wkFmYGi6ehd9difVrJSeOB/g4vNBopl/ 2Qpi5Nq/MvLQoLLyQA2462UeqFEAYGFLs9BGghvVhGPt+qP+4vkmjAVs0UYB1p3OXcAU6oTzjD7y z0lx+c2jTwj6yTOa6sveOEjJeeX1xgDVmweoCU4Ud+r87Mnng9RgfAcojJqgF+kbFbtULrXqtvsw ZbarVAvvy0MgoF2pQWBTCTUM5Jrn0k6+WI23cjt7AssNuC+fK098YAAEzneC26ZdMeZBXn0ObCqT 4JkVEBEtwwAGJ6uf/IkCGAQ+lSKUfLUM8AbIXn2bQg+dzTjeu29TJ0VdjBY1WQhXQZ1p2xTBx9eQ ZQW5Y1xsm+apVcAV5+S81loGa0Fxx4AVBE/OCryhBCowqpQw98nxk2yq07WppnqSKenq+eC6+y32 AT70uqToHFpVgv508qfevWOwdh1eCEs3HvQx2N/4Nv3DR6dR9YOLd1ZODD8ne6pP13A9ZKGfkOSB 5r3nBYmpTxd6VVZxxoukLODzo2KjFoBumJAnDIRDt8oHFV0sjp9c86YFgV0lOhXEq+wyLC04Dxl7 PI/iaQEsj7wQ/sOvkvCw+SzOkBoF4/9S1YE6lyGbVAVilSfKT8BIHyOdbu/7x2Dq3Jf0ZOKiQvhE Ndn2nc+48vl/0cjY9pVc+M0yA8dKmMRNAOhI8dXIsyYb340+hs85ARtWVvCHY+VQk7L44mVLXjMl ecFkIDXJri9QC/rpkEI/yVW72VPz9404G2yPFxrqSV2KX106yXiRas6sfD7y9/g9D97kVd1tCkmb HdvUuo6NG1ZLTX9bcXfi5vScIPCsdImdqqZvfZq+wHK0mma1qTlsT1izcOgCBmIezDHL43yeQ9dK AKYwZckctmAcscxqGDqrpGKeg8s/4D2Gr1+qFiBwjFyfGB4a6jCGT/ZdlBM0P065zZ6cspG6I6ID 50rXaaS4kC0PhPUULSCnEcK6+XmAigCTL0iwFE5v7aujfFwOvCvRLmP5m1m6LOWViW7Dq/CuO8Ww nv8X+oljc6q57K/PLuyPb0kzj25j8yXRD6o0s1lIHZkTz724C/wrz/8I9yQMGBeHRK+2MO4k8w5u 6jJKzQgLFKzTqFjyuuIABQaWqs0QloQSK67aVKDdIHfFDQpQ4GAJVM+vJNPkAbxq+bymTg2sQtLJ 6/TzVKSYwmYBaSbcpgxzC4an18SpgXIvX0b16tZtjlPZdSOB4mmiHzqvugbMbiJ4dT1EKSROr2lT g8peZmuO2RMXRaZxQpzMdNvC0y8bdF5wq1rB+WFumX9IdMZ/cH4vwfbQTjCtxVqliDLtqIKBKVzm 9mxQLHWKgu2RYNOgXZ4W5/1AkqAUYp3P3bMJ7J1wMYPEHn5uLcPtGZai0uv+nLTSR1DdFx2hhAqH 9dxJ4z+v2VLDGUy2P4w9nEOlYvvzmis1+HtNTnwv1W5QfpXHJ7te2rWsTviDguNDU4qFfbTlQgxh 4jLkNAwCxjTttM4OBoDDX7YDne/6fnyKXWyj+qKPYmhmzllg+7wmSdhcqccUgYFxWzXy7Lk9rykS vi5iCAq6dg4QvyJEdfPyr9IftMv/NUFqaMEQHi5SFBc7Pjut8y0kKXyjC1SIMsg4YfS8H+RHa3uA gfy8pkcNXAHCeTPVJ5H//ggaAZjLZOl1Loz8ec2O2qW02v6AfgIBG9uf19wID9FAN1FsVc1vkcTo DrtcqWF2YvHoDhsdn2qacdsXRzbBS+qk6oX0Q6h7oVdwcuOTylB+Gm0C/7w2FXVfE6MmA1pqMw1f DCg3ttRiT727Pq9pEZ5Wko392QpV5/eT/QC9ZkX4KNnLXbv6dGC3K+69+miOGcieY79mRU2YBTDr 2cnl4qOm1YAj61Mb1AnlPLkjIDILCGhIit3hJVPs15wIwZL0iwW0sC3GEktN81lYQH1eEyKcBZbB foDAR+gwNdL+vKZDzRgJaTWjXSA+d2tN5u6mw2i+e8/tNRlqUj18lRsGPuD8+1KMKauB83bFmYDJ zBj1eEUrK4boC5POcJa5MLzZhCJtmO2y5X2+2P55zYQQv5ZHIJyWSRRL8y/sNQ1CgK6UrlDDljDL 7Mywk3GYt/cJ9iBKSm04+sLIFB+ZkIqRrw1DP0FnwDiVxNUG3aHJmS6mJAu9IzROblpNwvhrDtRk qNrhW998UeN2nk9sC5S08+c1A+Ib3gue0aqje3RvsNduJL4wjpWKkAAMQeWGoE6BRM0ph49zX3uR TSRhoJkpGcPlYnLOrb6LEiD8ouGTd0JQYzqNOIsK2dBwi5Ynr51IJlm4lWeZd1F/bQPLYrpMePp9 g7oIFyXJX+CU0PCUtv15bUI2wagx7r56Q726Ugx8TseFBSzbn9cWZLtSgzI7aY/ZCZTQzknUFQZ3 SlyHRAuetHvh4itSGRYIjuZLr/1H/A62YXSXL4pAp2ppPBTsGL12H3mFNdsfph+wC6AUiaWIUQo9 kiqN7LiuSVNs64Pk7rwdyTWSVRhtkaIzMNG2eKHRMAvGjA3fWB/k5qDAR5Bm+2aU63NGbeXPO1eu XUmmr0VnCLcAW4etDFBUo69stq8wTTUm4Idsj6I8OmV9Wsb72jt7qQqykGG60MJvztkJt4jxZ1Fu iItjcAr0HDJxyieAS60HziAnOi+4IJdBocGq6n7obaNAzcbslJPXvHYw53ZRQw2l8OedJoeQkjwQ 4UFAXEaGY4626D2VxviI5de8kkyn6loeiFZOHoiIuxL+JtijTXTgkCTTeCSZ2oKrZYe5PLppYBbz wHEE2aGaR+l8KzWmve37FnVli0mokuQzkXpKKvFezq8EqLcoGxrfl31d50ur9W5RlE5XygtM0hz1 pZXhX1pjB874u+NiJYJg3Zklw/TQlxusz/M9EZ5cxoaXB4L1BBq4Q64BdIvnNrPXff/SADQ7ybl4 clysXkVyxXyoAGcaZtQioNgewcJjlJtRv9PjGGYZjUa+vpRjuAn82TjPiHZNt98Y7dGgISXNhLVo j2aFO+Lgp9YhFYe7nvgAEJOQ04ok3uQuUPW2UTAizA0AGyyYXOscjXMB8ByB+vp558ZZSvXsUUbD QiRCbVKUVndO1mD7ZwcJlO3bbuwej+g0qU16z6sR/Nmy1mBtPoO1AcXhc71SkBrYpNporEdRwQ4+ cwZO2Xuyama9J9ZAdGAQAvsmX/BDMH1MKkNoBvdOjGtXzeNu0omDgzaw2qQwt2ZAkgmbxv5MODTP Tp4EzJxc1vOdF2dnEnTdNXyxTYKRAOIRiQIbujnsjyQAk05lgk3K15uSmJnPOy0Of8vGcT/otrbo JOF7Jhuizbw/76w4lr/pOyJRCOuWH++kOH6jVKuWGiNn/kxs2N3HEM/IOQCy2B5F+bUEBUZSmp2u IBOk8gAjkVXuggkDId0LCigjC5PkgkyUxuQPed+jQWu0CkFMW7RH4IkmWS4D4xZl2DTAeSIStAYJ cdMevWfYTv8mjcg7sf2qVSuvpHoO8D/qoUUpNiVMzt8fEsJafOpIp0IIUqCHA7oJ2d+dVHbwelmf WcNaeMn3FFtOmh1pYvZFed/JBhsTgdohnRPl2Gv/+tZQ+WAwZ1sUJdm9yS3vHiOo2lsbrSyHbKHm 7LZFcZaN8mOrjt1PHTvBZDnlu2xgTyID8RaxVBtFSX42k2zr6U+9bbBFnadIBkNcFI5gpdYMhrQ+ 70S4JuaTtoi4W2DIIEVjjcYoy0bHjYJnt5XWltt3ll2cV5kgNa1OY5RljySLXGXZ42bZo41z+59E eynLzpOKlWVveJagvqJahSnmIKR83klwOPN0OE3imHDRhwaldvbS6iz5886Ba1dBwHsh54M/Jfbw LYqSbG5sohmeu+XdLeppZIeSlmy32jsDjtkd8VkYWtriuL91DljOBHCfguTcDcT9ARjxQw4LrYw9 GLHf+M6AY7FGQkCW6hnQkvrlL3TnqNzXoW7yzoDjteZ3f2I/pMJqZfkmRR1ryDpBH6f2mx+RNzFF ALLSH8I/NvB4p7/h/aUKmzTcT89wHxIdmdpeldlkX+yINBxN1uno918XL/pUvLPfrG9HV3OxTjt0 ofA3Y5rNRHmcG+7zTn6zmcmzSQU4xLZvVz9MtKfq2JFtkwZJ2tykJrkT2glXR0a+c9/w82nONROd y7n4x3Z+RqGeBmiBYPbMJovT8rMTPQV7u4Caba8bnaTKiRDdqbko0T6faVt2rdXPO/GN8Wx+HyQ0 2EDusD2KEm1Of5JZd7NeuxZwaBx5vVZKsXrtnfb2XP2F6ZH0v3SQdmv57AuRtEgm9yLab2H0dU7t cBOG+fgvvbPeuEdZmp53EdgPfTa2NmBf9HnnvOHq3f0raGOa1zEitz0K29e08SIO3h3gq4PbU77c wLKc8vbOeENE7HJcnN0X36NzD3S4MKPiONU+KdHkmq6fjXNzzgMa/BL2xD3xeWe84S+pUNSk/9J8 gDYm6GIs2PZcn3fCG896+dVfIwMg+x69p9noe8tOuN9aZC+bESGCeoNtbCdyvdPdmEVIYJjip1y8 RXsOy/n4uEcZ/0VDelCofkXjAvTKnyHa0usGLUhKw+fapi/ao3WuBkE+T1HyeWe7MfyWr5ttokJG 0LU9CtPscsnd1uYnj0VFbTJHb5ARePkjHr2n2ciANweMefhi8WgDIzM6gza87aA7TIrpOHU3MuX9 2ApSdP/zznSzFhluNDnmovUla/sGVxs2zs/NuT/vTDdeLOk7QUL6iNvI9ihqZDei2amzb8Lw1wc2 YZ5j39pAWNXF9p5mu+MyJym2eI8Ndovb9gis98VpAJUdTwqLNiTiuXpsg+jjd55bM6/cmpsaAM0t Bs6LrqHtOrfx553m1kwKV3tEajP6/OP2Id9JbghIi1zhL6QokjvzzKOBmAIS5hv62N4T7axebUtk SGixRBvDKEh9MKImdLpJ/+6TYtHsfj+gEHb73xlu+EUsgUGURRbPIjN8DLNUSdb4vBPcWMB/1yKA VoGK4CPrKNHuFIXtad+yn8peMsulqgEbSJChsJl12M4mbmYXfnJc/Fujd05lpnhuB4CQqaZXJGIO xP9VVxqYxnze2W0cig12jrhT0vDg34tKDRLD2eXzTm77NRJhvgmxF0AVbIuiRFsaCubbKa+B7FPH WcY1PJnbOiPv1LZmYDX4dW5ftEULURFYbIi8gUkK5TfsIrKkgvCNWvxk4JrrF71thHsgHmTP5ot9 aWjcE/fYT8R857U1E3l/BvucjhTPjt5pbYhGldj1NpJ9aDP7vuRK7CVvtbq6tWrfWW34CMjpW1sA EX993PwdLpfFKtrz3RUWvRWgbSpGjfVE7Db0tkG51igSlNSpTd6phQHpltPZya8+74w2BuzvS61C qw/qvbZFUZLdZE5Zu28RQuqPMZM7e0dSz6cYeuefFJwiQmL2bnfxon/hjjZXwVzBfeaHht3pNCAh 8c8N4O11g0qks9XfaMCYG52ueSAmMY+4zVMun3c226/OCDe04FOrNxpFWfauVBTu2UXxhsp+bJK6 M9wkGATZOYra2bh5T6QGUcoW+9TGiRrgY1A6ENyX1dRfAkkARz3fxghF7j7vRDZsEXuPpesY9dvw PzVmkcfp+QM+7zQ25tgXQiNc/wns5fbX3mlsLESoK1GnT0UW6c+yO3FvhpOHwJtBWxQ1sxNb1KyC bbGAfbYdmH40T/upYCGcyikuVfDGCbrot/lQhHXIO4cN18umXGBT/tg8f0QRMLVHqfMVgzstf7dp SfRt2+uQdwYbfigFvOGt7QGbjg/KH6HaZjCR5kORdwLbHdHOLaT6fu60crIWCEF1y7Gp/4nKBl0C NJkgBnsZkcTxvRPYWJASolb1qVXLLc79f34R9MQ6b98/7/y1Jk/C7z3CGHvcPYpy7EpzAeLQdYwS p6SGFFnZkSJXkOudvXYn/XOywTY1VJ5s9wP00oEPQY49IfxAX2pkJWf3KoPJtc2Z9roRXI2NkazG CAY/mvaAnSPtwJOt9s87ec079zccYeAw6p2uvXPXELMpxpXphYk5Nl/dhHG9ygUTcvs5ClvZJGmD HOGLf2q9Q4iJBp7QcmmA9YN3tPGVZQJPL3MNQmv8IRGeJmk6K6czCNVM7RGQKJyuzczn/1XQctYP Og7KVNujKMemIBxYxxeT1W4CSWi0FWuYiWuTomb2ZJU2Ruq+2NUPS4ImIQS4eTR2R4FQP0cHigCQ w0q3eUTS2jtnzaZj1AFKviggIU8twozs/HmnrPEdv5NsIoohmm24xzjJZnqk4kPmwmyBJBo/IfpQ TBGzn7NHAD7GmBE22Kjzo8X2qBBaQ3Qs8FkVPBEU/cDPLjgvQwbUDxL9jD/vhDVig9kR2WpGoi1u ZIdWN+fC/WQZn3e+WpNx4wO9BrIB8HgfQL7z1ZhWkdYHMdHsFp5LOOxT91DyPVOGGkEVB+mdrsaL mS3/1u5im9ThCZ9Sl0JggjtppeAkeKJ87XQFuDIlQj/vdLXmIvl7yFl4uD0lbZ66mefMzztbjZ2J +bVJVEqCcYPtUQjAFoe9MnPkdmEOytNzStBsYvmDsi7kiL5z1Wx+iiu93MV6/r2d9DhtAfxIEaXw O4gJPzCa/6GmjWsrcwD5zlXjSWjSVJ6+aI+AS2kmUc7Ho4D0/bEtNrLb3aP3RFvDmHNxCg7KEcku tkfI6MxdGDe1DSDfeWq3op0i63GxqI1xf5oMOGePYFDBqD0BQlz0rocqgQsrk+XwzlNjQ3Aqahdf tEcdAkymq1w+7zQ1Ys6/82xMFqF46nsUd7M3lSKqZ0g73ZJ21ekd/028Ir+1qJvtE0gmSlw8Q0JN fuIqccBQz4D7C/Q3kOZAljHjF2A17aJxxztJ7WL5T2XUfVGkJXCZWc85uJ93jloz5YfnHGHYjzNs exR1syf36BwT9/AEzMYvtu4ennPVbRnSO0etyQYckYd2p1x8j1abaNGRPnuu3yJqe6EvMCyEgbVz uzPiId4ZatwiNmq37rXt9xq9I7dUEPb4vPPT2rVe8sERmaoXD/FOT2PLSTbCwzsjuTgIIhVlBEwi oV2hLQoTbVAYW6IVrBaraU8ycXJHdIlQiyB1yjL7hAkrWGydSFLl2TpFUS8bk2Ayi7Mv2iL0NQUZ gTvlOzWtSbD6idibhMl9r/4oz6Y3EFyEHS7aVvU8m6wf5ZAruX75Oy+tmSw38sPqi20RnRHBNcHv +Oxda8tmlOft8F0vzrgVjIgWfaelsd4iDmIRoMVFHxpEr5p8qXGpRVm2tLJvBkmmcvZZfwuzbHZG tuBGMgzw0VqGy42K/rVFy8IWhaBsKuCfhGz74i3IyXkFeYyYhg0BuWAo+UPV78aKtqm/Zm8b3PtJ FW2avggOge9VvOKWMx4PEsjuydFSsgnFJq/WWphk03QupXENPIWtJXOG+A0z8Gw2fWxRIzuRWrR6 mb54kg1YfKNqFGB+k7AQJJKo0eBUmUt6rLv1pYWQkc3N6Tv7oi+toaEpPkwZeDwY9ZfydaeBptPT rfp7mGQLdJzNxQTFrYMgMIjxBlsa7rnc35Nsc+dogJ/4Yl/a2YsTqHDlwcUEAzvxSNDQbujs8b9S ji2fgB7l2GI3pi1zjl0cVXOKOLMTPhcmHg/YIb+bR52qg+XuUZRj05kpsReoParU+JDn8iK6tpD+ kG362CPMCLVYIISafbH+WpqA7DJJB13tZNmkik70JAo9PyTeqqqf0hk96mVXUmgKJva2cJMWvHi7 KI6nEOlRjp3Kd/4IEC4h57ZHYS+bCrcbfATLjajII8hItTK3iJvAS61HvWxeakgc73Kr/rnPqy/l j9BMJMvonK4B9zfkRv26Lk5ij3qUY08Kjk30BjowrEgYlGTPTgIpnK4Lng+YjhfhT8Y1m5oA2tsu hVxHdvxnmQ7za/rwyCRGySAqKEoIZdk9yrIVZ0aRGWx5zGA72NcTiqYVbgdss1FMC+gjCuKoSpmP vG2PsuyqqG0mJiK/cipyohpn9Sep5DsGUfvXVKQC8PxMRXrEdwTOF+YAwyWiEu1CCRdN3bVtx7n7 rRLpYZbNEmQlYtm42EmCut/ZpUpCKLw5MkWkEsBCozBqt5sfDfYhe9TP5iC7UqOfezRMWaSvgj8Z J+nU/3g+2KQxf31uUI29jLUeptlwqFuuK3YtTJBCjrQMdkzqve1RyHmk9Mzapflie3S+JZioLsuy e87NePtV/n1ZWhqKSBRs7RE2ewrplznOTnClYaoKxuBmC5OgJjz/r6/NZ0cQqfA9Chvagy+5SCmS R14VRR0qQtTv2fjY8rT+Uf+7od2k5NMeJZ9+4jkGIwRodfTFskwmgKDYlButsz7imlOvG31svNRW votKWkDji2qRMfF4BGLzFIkdfgi99HKRNf090z65K0yt0+C0lnX/aOTOVGwSdImKUGwohypPUpRp b+rVzUK6CBfbpDkx6gcIH4EK9te4/9G4Ow9QZwyZq5tR0u2tRx1tgFdATCdrhIshtE71JuRxzROP /6vut1ETfF3qJRhH6GzZBBVV+wu2ucyGWEzXYs4AaNUv9Y96hBqxuy1rMpIpJ1JZ05aOMS+rMn7C mVF7Qrd9QXIll3XR2TQGwA+JkqT9JraNgzTV29ip4PF/oSF5tUFSinQl26Mw2WYjkIJPutqyaH2Q uZQ3HSI4QlKzTYo62ovQ9ZnVrc23Wzv2yaNPtUq9ECK2dqZVCfotC4RRZtjWiKSwAn5IxDuSzduq vmiTCuE7bLKVicejg+T47Kpdwlc7sn9uI0q3J3mQxG8ocHfaEVnfv1jgXhkxjqnkiHrak0K2W2ZS u9x2LQbMo9VFNe0Eoz/I9CJsJVYQAm+KLmJC2yNKt7NmbFSz1aK2P2yalEme3cLjQbp9wzZT80Rj Rb//R5hti0zbpptvnHw4GRViluzmG7vxa0v8k6ItkiAEdUe5eNg+SdIAhhpf29lqVA0AsRdYTWHq nQHNcGkxfOD4IVG3VgJsDEwnAT63o+ZHubfFkzRQ2o6wpb2+kf4QsO0AP9kmhQjtotlIXjfdzu68 sSVJ0yn0m01udEQtbX5mLVNUBAuzEKT8E1p+JzwPCfece58g7pU44UY+NK6YH7LvgZ8RYEYNLCpb IGCr5brBnJKGI+f/4PF/jUakvVbIDPAtCqEjg6L2rbgWcqE27/9gj4nC4acW5dqS7aH1OJdkWzTa 5G3W2EM6JUhJjO9tMIGrFNe6tz/8FfEz/sCwA3mUfVHxjyRyqlk7Nh6P4pF/ajjktG6pt/gfUaqN RmnmQMdhbMuj0ReFnX4HdoqiVHvy1l+NefRqV4x14JLbEMzgOBsjRza0wRs50QABAn+4sddQh/GH RJ8awhG5ueBUbJMJkYoO5wzjXDp4PjpH36k2VK/ak2qPMNVuBLJR103UrEf9abQ9LB4tVADMtUeU ay/VbFtkiP2QIRIu5zmpb5TQ226UasmLdkkwcm/pdrRJ7h9RR3tWw0NsX3SvTUAjmW6cn4TH/wVh J5SGdW16YnaIz2YWOfe91qZ7J50koLrf6xqajGCPInx2IbwGu+mLHaRz/a+52XE892yDqL06bZQe 5jzxS+Oo6m2Dc0RCdhG7v1x2PxSGEuUQcE3h8SBBWj4Y4WPM2bY3SEbU0pYPbs7zQkfWlTk6kdDV +xAo7FuLEu2pj2ww1iwyTc6/SWD2ueo3elPYotlHa6SvoRvcKK6FJN62CEIp+BlBesS8qBZi/rjY 7OjUsmaqXAoe/5dKBOk3FPpHMWx7FOfZpPf3h00r5gjZtLwpFI9gr6E9ihJtdarhcuuLt0dOiJi0 5wQ5q0PPFzlkp58S+Uzo2lrMJq0XPyRItGkyuaFyA5VL/oI1COpJohY/qA/xBwS1/221yWVyAhdx o3aYaW9+bNMo6xQeuQGJKgMy45y8+/GxhW1tyrF0+b33x++9Q9S4UBAHHJF6vjfcfZobTmDJML/1 1v+WoE/MhFyq+nnBoboQ8vzclzCTR9Q+eTyeDzZp/gLYQLYElmYm6RP2tSvZWfTRoijd5H1BUTrM 0Q08QksuFv8zFO1jKNqp3cX72ud3S5t3jkcaDCOoPZoGW0EnIxrPkI2E2hliR9TQBvzdFqtGKO/P 279UPB6NR+6oNvHQNZS1d4+iTFvCWZnGscJF5C9cxLp17bh7FHIhOYGdU9Jr80t6Dfphmz4XA5La WW6AG133CX3vlZ6WLY4ufkZU1rKJtFXW7lvWnuTTlA1PaoDHo5D0nUQOYiwugW1GeXbi5d9b85F/ pfUHI1LaPnCbUE41aaiYCVkE0Jq+2Badr2sjOe1qjwAvih4SbNl/FqSOCiYrLv5IEYQZt7XplEgy rhZrj6CVYKzjisejPfrFXT8JyqhXA2FGiXYWgS0Pj9pDjpvybHOhiEWFW+1R2NTWCLJxj1ZLz8j/ nOYp2X4YjCOl4M0Gt2COhlt6TCUJZZshcmQYKmL7ktSuxaxBhX/KeDxSyCy/apFxtmlcgbEo0ZaR KysI9rQzfLWcLzLtcFFi3iQyZ8iDrNZeS748OeSEug99fuhVL43MQt0YXAF99yt/RIz2DHmQ7PvD 8NQXYWvPThv+uPSKx4NJ5K88G8ISvV9OzYxb2v+HUyPLWJW0zUnH8Gw01d4ZIUdU8C/pZHDxTiSm SBSnRd9/z9UkswoX7o5rDFmAJ0h0kpxRng3ZWJCNZ/JFXxokFpjftDkGHv8vTTbzLPGO9oyQI3Tp TAI7C1wzrslISa4mfqLhMsrxjDracNoh7nj64gNtCK3WzIksytC+iVAuSOIbGo+LabZo2ZSCnFGa nZRfy9qdopWq+uE73ySO0Roej6q19XWKgH2qsNazLQpZkIRFVCVHFBup5arW9OwGI7AqsGAUivnx S1tFQ6Ty4NgaxHonWoZor4AwQi4Evj/eTFKI8p7/1ttGzCxO1hontlzUYJvg0jJer83H/wtEC2Up 5a1tiyLkyGSDbafrKr1aa87Mmmk50L8W36Iwy2andlX22Vb9dqo53+CmyU7f6EaqWwkR+Z9ByybM 3r2dPe11A+yxRNYxlcWC6Zw0VxsccPCpnAS14vl/IZDYqV1U7/IG2wxJkBStqf0y10cqLio65QaM TSqIwPrUYrURorMq+2xc/Bzt8+UtCtb1Datp4SIqqtfzv0E187nVxip63Sg54gnaakZuv9VAhajk yp80ie8YJZC+R/p3seOXub6iHLsIV0uTR4vYLpG9kfhZxE7QeudBWhF2ZDRTD2++2B6d+zmdzImJ dIYxX2Xxy7Fapxb8tagxcv8Km9n0QKzpWbRFYFR2KWPXiscjuZFvLgSKCQQB36KwmU04JMQVfYv2 1exPz6WGkbptUQgdEWEk1+yLp9jAmXU1j/JAZkGmW8a/0sEt/GoesRDGz4gaI5o9VuZGoMSpu3o+ mESzih8AePB8pDT2DfYD6r+ni4dcITyb1lk7LYeMboreCzpShpnUnGgyLcdeIXSEF//WwGMX1rON A380Rqieh1utg9ROblan5cMEjn0/udG5ZPAzgl62dDTyuIs+j4zMRXjIxcejFNtLfv76BoZrV7Vm RSm2FGonW4Ys+Scd5tVfK3M6bqQNs35YITqbhPVZBS+qTxmyTo46iqaM6UQl3pBo1OKKAmS09XuM BBldcS9b4GxKjXAxPCTEkwn2q7vi8SgY/br4cRh6v5PsFepky+Copv7jJiI+LDol6BON2rYce8Us SHJpJsf9XHxuBETEOWTqQQ4YAnFuBPL32Tz8/OZaI3lz3L8i3EiXZTQ8f7AghKl3BJEIzvtPpZPx fJQe+UFijxR9jFFvG3JFWTbYAacG7IrTe2m7Fn87c3kCuaqfo1hrhK2jotZRua2jBkxtH2yinfcZ G6JgwB9BR3BBogeKM9dHk0i2FeFGFrWOU5V7bfWQjWZdFSv7nFM8HsnRf4/WJqhZ5dE6DuHZNEOe 4mOhVkvgk5tcP6H6/q1Zv3+FSbaus0oaGxcvZ9s6hU4h17GME1FoM4I/k+iRcy7bgz/il44fEmmN 0DREgDaS5HgXnTqJPpyAxKeGx4M9uvQ1NmpxitKdiayQB1mlUZey2dMZeG2TeQdxBKWQfZvr2grx 2Ya6piwLl4usBeIoqS2CsgoylrBBPsdpofoyXR+hRubQ6/6VHaWk6w0AR4MflUZ/VOhDVTwexOxf tgYQ+RzjtmlXCNAmIKL25LL0zd1G0UZ3K/sT7KbJaKwQNUK2SBdBq8+rNAYfowbMrFSzoU+YtEdo e6Mpdo7YtBlt4f2KHxIhtMXtK9kXFbSAXKjmP9kYHg9m/b9gbA0T7Hk1tFaUZS8wrSCb6jj/zAek fSTWkdTY3E97hVk2VVa62JBc7BwVDLK79PlPsJtt6Ryh80PeLaaSNz8aQ68b5UdZpqLLF8Uj8BF5 DiDPisejc/T9rSF+TIDftUc7yrIHe0elXF52fzx9m8xYqTVSPYXcIQ1SVtrC13C5FS1EMZekswfM 7FnRIkM95RqmHPnxX2Une0dZdtMwBNx3W3SMTsllQhpp8PGgvXY72QxHdJO4QP/9nmW7q+jmBaEt AuuBcfrEbKtz0V5z0tEOtUakialGJBevaE+GiBSUILb/z9h3ZbmSI0v+cy15+kCL2f/CBmbmjmD2 C8/bo1BTr4KMBCFcmAB0FLh+LCMQa+DzDKfRe61RwX+HAG3G1/j1fEgWZeciNOPaA49HmYjf/AyF Mn3DvLy2wyib9P7pbobgRWTfansv12Np2OzSqI+i7GH3GZGjHLy3hu407EMQHp3FszZ7JhlMmrYk Ne5TRCddfEdUXtuSqas+WLK2u+KxWvrG40F5Lf9K1hCQoe5rUxRyIIeIt4QbUca/Vbdjz3lc78fu NuM7RIyovJZ5GnHwfBbGvUUcSDgOLaxOsPvPRoED68n5WVdS04i32o7C7O2SR9UHnUb7nHCMkk8i wnf8lzRks4S2okdicxSisxv9Mdd0VE1dHlqnmR83GmQ2mqMQnT2q4ETNB5ujE7Z3LCxG2dCxU+uk IsgkjQQSSF5f6/a2EblfIhHKROoVPaSflGKAVvF4hIb4bj0iT+vj1td2KOg3lc8OF/RbO9kU5eUk EmiNTMP47RAwQvnZpfoiB5sitK17EW8GEt07sd9dEGt39L4bZ0/Y7DX0tkFsRBVnmMD5oClqc84h tlVaeDzSqruIWkpYQO8YwmA2RxFgJJEusmpzNMSiTjzniLBO94PYBs7aoaCfILVDLqvjSdb2OFui D5odZCBDcQxMnLfn7XB3L7I+1MLuXW8b0US5frqwNd2SHYhoJlaXUTHj4/9K1fTfokx79Y53GGNn JrF5ucVqerwOisoihRoOiGEQY+8QMDIkWUPcEQfrYMMOYopRjJ4RWEdYaecmY2Gt/Ox6pTPpLIzv CGiiwogOFbTHcvxah8QJCdljbjweaYx9y/kRdpJuMrvDSnZjdU10GkLZSnN1KGg7ODEL/6gpCkNs Z6snHxy/1lBP6ypAnjiprSWyMX0oial5oFl76m3/PIyMCjnd5THPvZY1+evA41E2+4vXD9xvvty1 HapmK1MrZtKDw8j7RGchNLvT4N5r3LUdgUVgGYBTiPR+Dn6ngR24xAY5W7rYRgNGW6KMwJZ6ZMQa 7Q51RniXldSzD7rS4GvLk6mdrYjHo1X07a8G0GGD8JKmyLA5b986VVJjZZZ0hIxcTNoHACwIK7La sGxWfZf3S40bqLKv1urtq51kHBwFIvfHud7ahLkiJFjPf7JGp9LIbc/ute2FAxMxVFbLkuDxYmct K6E9K5dwj3NXTH5A5CN21Y+wt1AUJO7ZZyoU9Vskrckde1N4BOZVKAHAXsm6SEgurAHJCkEQaRMq uxhpc7CZaiBz9lGFh5iDEOR5joTzUQsqBiWXixlZjLTxLRH4iDOFA80GLoI9AfvmnjvpN5+P8pH+ lY/Agrk/yjUyan19bIMl0pJT2AzShqr/nNvAESdSnu4vkkJ4dhc2iyGAcCie18KfYqamhKTB4BAB NqoBqJ38sMl/PUOzvW8wTUxFmH3QzxhSpUxJTjwPhA6JaYsfEERKxc9vukJhikDa8nmK6trAUmfE kNlrbbU7vGYul2Y9M+7WdPioyP1RFf1ZfXAsW0Wddg2JH0MKAS2kc4OimQ15jZoeJU2YFOtbIjUE duqrAO31qh/DPpEtmYq2P57/X2rbEIs7J9S1YklR1J2KIH8tG1JrAYZkJxRXtWGQOU9dHxWJarHg NlUEmE8RIG0Y3IHIAnMo+GRSC6kjTBW9dg0n/BVSDPgtQZdksIVkDSUKWvMgb0BuSSUaDhEphJCU 7852hhjm6o+NRgrL2yTYVuVtmKjEEg9hf0AxGqSNnGGbqLjCzRYJBBZs8PsuNURgFK+teDXIVOA/ OFsVkg+oKLVbmUzbXjhC/lFOmy03DVZSSmlk+UGmzecjH61f1MhzNY7enisvLHKf/QFq0LJ+UprJ 5iaZLT1E9PE7+zRF8fciu7Zv1d/243nY4NXdhUamHDtdj6Dw3342GXXACblVBNI/fkvUUiLcZtQ7 CPx3biIZU4FazueD4OlXZACfu/74adDtMWhosoYrsfFNNEk2WxYwNA1QOgl8t/su9q7BwUTWgg1+ kJ/sZNOhHA2TMmZvNBfFUobFAit+Hj8xqOW3BJmKKDaIDm05SYSMziwMDM5DfD5S/Pu2QkBtBw5o d56iQHzJ3q/1eVu4203HZnXg9tpYAmbvF6mRUNoYyuLJBy9SjnFOEjUoM/Bui7FW7gT0IUZ4pNpo i8svicICZru53EHLKdetmt/ZwYPPB7uufR9P9EXdVz8qpxC5Pbd6t9cFMe3spVwleo2tJZf6zymq dwt607YoyftJe3s9L4dyELcd3NAmzdnQYqLCKpR7LreNbFt8S1BiKo1dJVZz1/Rq7rk3apZFy2Cc GdrYtG+AO2Qg2r5oyRy6Ra5sKm1KWjasKb3GlEwK2Ey1zAkxBJZIO3L35IOtpj1pGMiS90ktVhew svZhqa+sx8xQk57ZObCLNOk/4HiXD6oPdOjiCJo1Jp+PUjtvnrC0AIDWAIrc5ykKx5mbpLLbtdfI rvN/stbq1hEVyoU2URG8JLGouxPDcQ5WI2gLwJoqta0GPY6pgwztMijh1H55N7IgybFnZLY63PZB 81RBnaVQ0iqVz0fCtvO/llOHLIpPUxiNs8u0dYpTKqn7XoM/XFeZAHY3RWWCHNpGkiZSd6XeNocL 5gJ+axhb8gTNqpIDAf9D6i3MBn2aSrP3/UMAEPbiwk+wPMhCP+DfOsTr4PNRg8CnifHk4oZ7VlNU /qb4dCK/00oF2QnJEMHwUgHTYFtNYTC+SCrNkgTSRudqAv3zfADuHyRSlasU4RXMeRB49PVIALLx nQPrSOw6SUhTU4oDvgQG5Yr/cGdWPh/FBL/c6hE1wWHapylUAWQOXAgIVdFp9bvpOkuXLDolL13m wD0S/B/WvitpExy8MHdOuUUgMUoqC+7IhL1BKAH34E+mqrRyFmkm5dA/UigKIhXZS7GY4ByfqfCX gXU8n49o3OtXiNmZSN15iiS3uQrPN5Q7T7xaJd1OJ2ZJt1M5SLsuDMWncW+qD76czvLZJ0ydEksu o/A/YboNcwOgh66L1FRQEDhIItgTLXDfwWBLlarHqM+twucj4c3v9ZQhPUC9PZunkDtZpphK3bFd /G+JN2l7G8xrze5SwDn0kBRkqxdWnzjcHHjMc3ViHmD8u9egYyv6CCcoWERl+ykOd0974Sh4KtJM yD4oBwZTgNQuONnz+egU9+acvCdABF7PvguVSiibuGRCrhzYuW55NEOiFJiFL5+nyODGQCeAdNpg taeJDVxQzMC+aye3yRQoP3/YzwA3ftNGUkFBrfa+0WVHQ9IuI0C3zz3bEJYVWk6p8vlgOY3vbQeF gtGeYmbgJIkYk8SAPtKPM5aW2wCl7juQ17pPU6xWIq5SGT44xmtBDw63HzPgE4tD9K1AcRJu7ROy /PcY51bnt0Ri9+xfNtG72pUEhpiOSNmrFT7/L5Fyew5RRL7zFAK8Cak8V7bTTfK6yNw6vWEH2ILb 28Z+knJJXJqndecJimXQqZDibYXCB9lvy8zLEWTSHEBaXMtf+E+t0labD4rFYXzCTdPybHw+yFnK N7krV3TAgFGyiSpRMN7pc9fGduxp68nwcGmTJUM8XEKAoxy4hFRKho7nJGo+OLoCek5zshGFiwqd zB+6HUAiCHhmtvHWg4fL5T0YR5uF55K8k6AZrutu0PFchKSV+XwkEui5HU/sKSDCnaa/RQIXmg5Z rIpyCacT+ukU4l6w9bGSSugsOYitxFnkg03TBHiZSm647s4pXojmBZxMOJ3WHxpc8/eNbjuW5sTw Tpfh3UchTpqn+OTzQSXzat8y7AYeDgIxPk0RDGVRyxCi6HaK1zKbIwf79Eom/Vi07QJvSTk/gdxN KDMHr6jA06VL1f0sJOhyk+MNwwDUSH+sDzOUAvsLR1HmVmNc1FxCg3koQfuGhB1If/ADIoTlt0gw AsRJfQ6bqBiMUthfMfP2DYbIrYx3Q+7MvJ0KnwN7SRfkphWhDV6hO9cEfFAY0J1bHxKmSD4hFoyg nfCI9Nx32144ABIQrLVpMInBspZ6siEF0zBo5vP/C08H6j5tX5RlDi0miRhLow4X58SfYuG4GXXI 0i1ZgzMHHpMoHkvedSwfvDQ+zx8PSAd1XnC9DCFSpGvAQ9E3XkHdQC8cAS7Y4+zqcQr/zXh8ow0r cjIs7wObye8ewrDAoOUnvQt9JlsRLMWQp+cR5S2k6Y5ueNSd4NprExXjUuzCyz7YioLO9Il0CILH nXEiSvyXwF4AyNd/xvwiERR73yh+6jx5c/FB8ROA9gRbgr3B5yMyyvd9Nyka87XvonAcCiM4vM1B iShkr9Ehe7Ia3Ulem09TKBvIPvhuPKd2u6AC8JfzSX+pVgpjhTmJcAIcDDVXaHTWK620pr1vBLxg 624TI8/BwoIFx2FN0+bzUTTuxxN3GLQLyoXK5dBtctAiOOeriZsl2El0Stv9olMA3NE0xRLdZH3P 1X1wINjZdig6qfQExCp3Zm4NICOErTipIEuFbWfzFAJU3Ga6+CD0RYZyoKoFe/L5KAv+7iAgNYfl 052nKBzvVSbT01E8Ve06QlR68ywYF5VlwYHl5LXDKdJ9KfsRooBddUHFnRJ/4CtIGgcImkYtqOqn kxTfcmg5iYz1TA6EOWxQXNjXCcs4TRkN88BzMonF/SAvkXLC+OHOUxSOQxcYbYOSvPjUnY4CBanl OBW187iewnCcLLDeyZTjYKdTPedG7uz2DhzhhRjwMhdCRYB3SEVFWZFhZrEXDtYTTRVpWGWD1tM+ NwRLbOB68vl/wXmaSjA/fV2bjhw6TxK5kSTUai5d7Z7iyZsuwJMa/jLH1pOi7coch4PXMs91eg6C RXQhDS+SmuqslqPEWffTMO/2vhFknvNTqSTIwaBzSbZrVhoPzCe/o3FtOyARLns3h+6TsjOBt9st PiVvIcBW2yvj3Y2nc2A/eSJWyeNngTDzA8KE31uixw6Il32XzlomfodzK2dqdq+HCN7thSPBJXoI SAGmXgWYBHP0KuWOufl8BFX9LqpQcGldidwcW1AWcy+9cF65CAAV3ulYyGpBBaPE5ikmX+L8Ru3S B6sWdGAUi2zw0GzD/+eHah4bhsGDhYkLECOGLoculEO6nbJWTpCDVcFozJWVUI6TMPEDoomqX+E4 /Mhmuz6UOTSi7LzvWto+UUPSVIqejOMDZ5J1F1Ro987mQYOtuw2eBVfq5haWVVaeiO6JfDohyYYh FBldZh1sHfPAilLaAJRdks4pcFBcUecEVCfk55xgmR8Q7Tw/yZcrU7ZHoyLHbpS0WtzV2AWIM+e2 Ot1c2yZtUzVZ9YLAjtLlclHZ9cGbnAQENkLloZw7Ic0LbgOQrmjHlkT8mFm/LnvhPwSqyh4SzUFg o2QD1qmShBkn5+IHRAH5NzITJZH+1TQPPCmNLQ3bQLdcyi1VS4V7ds1TKDI0n6i/FU+GEL7jQfj2 feLjUhZZYEj3Mv3Py7n+AKYDkZqu70btSd1eONp7rEBlYns4qGSQ0HFWbwCVlcCX8r+t8mjdiZjC 5ymKyCUIW/L1yit1u19OpRonK3V00VEqHDhTZmqCopQpIcZx/U07jDxPNEAHn3L28yaC82wWgOpQ 00E44D65q9v7RlceQ6gkG4bkoh4gww6ivc9fPfh8pOoxv06oQX3Dpy8VeFP6vgOVwvYdVZtNzLNM s2CcG869Nk1hRC6QSiUCioOfUMB49KGC5llLk7Af0E3qz5nC/iONJu27tZe9cCRVKXDYWj6ojzBA neZyyqvz+UgY7jvBg640iHh3nmLQ+HiqcwpRh8nBZUY6WW1OgPts24UR+VIDod3Bz6cGTaHWdT7h miKmZ0AJY1HyfF7dCtCA7IWj0EAGXgyhOKjQjbb5VglgLT4fRJr5O3MBb/2nE5ttExWF5EDzUA8e UzL4j6CDs6JZG/VzMFFUmlNFMzSp7ISpnD1afdBEIYAC2IAo1nM4nXQRoWYmQR2+yxP0k6cz1Ze9 8R/BwYlcYZGugTM1oYshi+qEHC/wqQRa5FfLBVan+7nxYqPKzdNbwHrlwtODzUqWKHO8fDH2OXCq PB8FzarGWroNXjI40SYsT3F6wGuuJiIRJrNjFNXEGJeZJ1n1OXCqTJScBklDzhVjWoU8Q1q3izIO fEFgVYmNt74OKMQEtV8plBxaVTLjSYUuSJwmXONOrxur3Zg8eckg9KqUku7aJLRycLTKmUCIhTkF EZEiU+Ezkw1R9caSUoY3FEEFXpXZMzyp4XCwikGByjq+76RHfD647q7ioO7G88/rUqJz6FZZhYnO NxPebU2njy3qD4A+dqbdI83ArvJmeEUUu/JFsStnYY9JJX2kkKUkoZ9IKAHJe8+LEVOdLrSrLIrI EQPjeEKxmH/a+dA5GZyfUG3xAyLt6u8GHr2G5hX4zIFjJf6PHd7lZsLFMYeoOxnmcKGoZvMUVsgH wzZpo3Cw5ZStbUeUGGBhMkFpXZ7aEyjS279T9BRYVkrcEGHTXD4oEwZ5nKKi2MZ8PggL+i8I6xAQ /U5TqPE9IPhBW1apojSPxmFQftuckIxSVBCaVg5xo8lb1+Bd83OlQUCGwRNQvUKwTgbWYIrmRZ0d RU9t2/v+4cxUi1wry3WtxDagxgSmu/L5f2lYit8JLmR7dl0UjOMAO9OUtdNgnXvl9Ns0SbSxu7uf 5sC20tdSr1QW5GCzBBvsRg4qQkw0hAfx9dBKnuSZDMaY5TE/z6FxJfRGgaWif9UGdkkSVOdX4IUN uZPc+AFB2fd3kAnEYa7PGR7G4iiTnDzbqBkwub/aw72n4YQNkGtsokLipnIWGRCN+UUjhwkqzdQw USinEcHaIdzAolFh89Z23fQXDpaTCBtNTuitO0pgnEiY0CX4hvL5f3lYsJ7ZUd3Yl5mYQwfLaS4W 1Z2+gCLyiVrDVWTWVWzKgYUlSrIEGw6uKAuNDRENqWAo4eB0WqhNUUCOOifwklk84CWuKwZQ4GHp XSmIAvhgpxP8VZSugQAUmFgKKfzUn7IwI91jp89r6NRFKkRMwD4dmVJi/WCaFmeX01T6sOPpNXDq aGMwFBANeD004HEizI5GwqRGSu9J9byKbFHshOU435OxIHB6DZs6ADmoOTGqt0En04nBitl8Ljz9 MkF4waU+iyYWCO9BI3S607+GTN3BPDSmloo1AaAGKkii2FPYAhYuXEXB9BhrMxOAwcE2G6zQTl4i U70TkhUxyTPA9J1sK+BGzJ9h6VR6nZ9zP4hEBriGDVpC8H7qYpIvPP02Pxb2/HzpzrhYE+bnNVbq 2YyZBuV/dBzVu3yynBm5fJoLf7xGSh0qSokBN/pwGjzuLvAHG0tIzORin5PZIUw2CTNw24HOd31f PvyTKuiaPliFFypH/LYTuX5eg6T+eOnZ5sfOyi3Z9LyGSFg+gxDMWi4+/KnuNu0uAukR8XB6XgOk Lk8+KOrN4sM9ghqmt5uw3twSu4LS508HUX6QHq3pQZH58xoena/IdKsuTcIf0C8QNOKcXFQiPP/T E2Z8XqMj/1M5HVor+Nmrb6/X2IjbixSxfj0ZqkeTZyuMnC92oFgI+RoaYekXu8vuYJf+rkhnJhXj 6GABkX+QoM5i2Cg2ELds22tTT/c1MOpKJ2gNs3zQTQZjQ8qgghP6eQ2L+mMPB70jHVUQZfYJeo2K ule9d7oeOuhuedV7zuqYgepSFq9REZav5BkkaMHBIXITOG9IjZ8JAg0YtjMndsQCWGhEF0k3qXnJ EPs1Jjovu2THAI9nG+yqh5sTA+wTQnxeAyKshbp9gjiXE/p7w+bnNRzCoU664VXOUyplYMshBTmB BZYbVkTzI/8c/vEabH7gMFOqFOALwHGNYHEQr38GGo0ZvR7PaOXEEO0wk/ZiZJ0JB5HnKUQ9qRt0 dmz/vEZC/YGBa4KAzINDpE3QaxiEBdRodTKWE8NkScMTKG/vhA/A4kxrODqgZSu46x3uAgKuiA3p UWmAgXi6LDBhqG5IiKeH1aSLv8ZA+BEmobqJhDIOKtwuUDq4a04U9XmNgLrEWjQ/FErtKB5lO7I/ r8VI3HqssVW6JeuEHm7edY6lbm3vCatyE0ALTmiJnQ8JV40v4aoxUjrhzZbwwGpwxkM0TUot/XhG 8ZJt4cb8vBYi8ReWLRpY9UEdJZBhOVlgYXxey5Bd6mPP+ul1wcvDb7DXGiTWLC0qltpGlGSanrMW ti5MS6e7hfdrBRLfrtZIS8MHD4B2Y85ReAA1uIUvggXPMlpId4okhoWBS3rZYIPJJ7eIDVaugyec QAUxQcHotfjosd5zAJ1QdCTSLETdDyaIuBEA711saO7iNvAnh91O2pm39hhF0NBqRGyokyhf/87B phG7KyBCnCwcfRyk9+f8X+x9jXJtzkhR/7wz5fotgnRJoThLH1IISY2SVgb4qdEee24xZK1I7vu8 QXQURSOkRRhkpK+zF7J1Q9K8lxiIJZfXFE0RkVxLHh7r8fCA8duJQU1j4OzpjTY2PDfOA2hOYpMN 79yiQsG3jY5pKQvv5INWEbTP5HF+ltPnnSPXxZ99AiE0Zk7wtn2KokAaREicQ4+sF3liwkvUfWuz c10UZZSIyVDYwSUPz/n8r3kCoEluBXy8VUujDkcHUoKy+ZZoKKuPQmmorKA4RPouB8O8AW9YtGqB eYtioeEnEbESNMi4sfQ7PY5HNftGZF5op7F/yFio08pd5F28uyEAgrO6V+nCSiSu3iL/+bPO+bMb u7VQrR6KFjcs8s4VhJ2GIpvfZWrfRNkYZYaqBJnqI8g0TrrK/w8sGT7v5DgPjZ/TGvUhKMzZHL0H 1BI1BmBouKHgXm5LiY6Xx0MwWfdqYzRHCBhbAZ3DBs3RyucXQMZCtaHZUfBnAQmqrh06lXAwECaQ rQ1+R3QYMSMTAaVAj06wK0TwnQIoALF+3olxXQjVZ6tloBMbJA5tksKgulMInrAeCcNWVzpJO3db SJO9Z03Se1Qt1RU4shGOy8Hr1ie6OjMlXtwA2Yf8QfRysde6sZutIktPoXdaHHYLz6PWeau1brda 3nUD6vTDwLR83llxHbWl+WuSzmYdkFi2SXqPrHEgbfXUPHA8WWz6cSu4mW/TH0Y/nKQotD4REJPW dQefpA4peDb2BxKq2UWyZHuMrU5yRy03y1Ov+z5JjXgkiaVrsBMpzaWEa+b9eafEMfkd3ycSJfno dcI5ioJrlF7PMbSaK573vIw5mPpyugDcARwYEUXXwm7NKS29eaGl8A/I54pVeehERitNpR9nmbJg fP7BxZigIavXfZ+jQRU9gAh80BxBnE9mJxMQtyi+7nN/x9cQGkT+Y3P0HmBL6CTzbvY6bDHxKvjA ebFoTfQFVUGLIuwBdF+jaqMNt0R0suKhenUqED4THmBRnhG/6bysHPnAvXPhLAbsQPQuHxT3dQAY lcJCNicKsdf2vcYtigOtjBseRTF2p8fZoPYxl9Fs2QXPK+FLrOlXFAM0RWGQzUM7M4vVYFOEa+6c RkJynw3R6XF2/hgc2tD43oyxraI/9bbBFNFVqKGiZ4OOI9Rgu7CPQENEUXYu+9e91tia8TJjFGUz /TpHaq12ZOdZih3Zldcpj+wT0XidMYyyBXZvApW2cguNgMSmzr4W1MhPhrLkvwBhRaj7r+RUnAyK Br/j/V7bJOiiQOODbTRcKuZtnj/vBDgmAr8SkfP9J8mePkVRkF2kxbiGl0IqdVCEJJ2e6WN3WCnk nf7Gq7+SHcmSNQc7jM6ljKIeFYVIykHwjlQNzl1I6SAXdw8jVhvf6W+4X8RerlKAoUONktnzv7dE 8SBt8k5/w4FfbnxEcwG0Twjm1ixFcTZgP9SfuEI5e9u1lvdyP5idm1vmvLPfmPCrlCaPgfVY5uS1 CB+VAUOa5OmC632+aKHtRzV1t/CiScU7+a0na8bmIsAIiqPizSCnHertnzDy8859+xVpc9mgSNO2 n9nv1DfstilLAROlhmo1afCTbicuX7kmubI4kN6pb1060OCUyFdwfqmaQKObAn0VwkgbECoanC5Y aYCvAE8gx9NQ1uSd+IYv2Yy0qQmnQZH27rJnw71WP++8t1+Va5rmFLqh3zmKIm2ILaJadNlc5Trl wLo7WcJW4LPNbOSd9dYvSCTVO3jeD20J1lwpgJDqJF8efkw/rKCYA8N8zJfeSW93u9EOzQZ1eaCM yTQawfznnfLWBcV5gkhADzqUqmyOokBbHl49V6+NFOJ25C7gjBwk/t0Yb++EN8aQJnnefLA5Qm9g U6sH+L+TlqDIMAtVQ2F+gq2C+r5kPYFP+bwT3thkkd0iq0jJ5fnPTwzxXWnmzfV557t1k767lz8I TqDQ+xxFcTYtiDEZvo7oYMc5Kuh3Wf1ojmKgrHe2G8MIJiNFQkLly+2kQG1pLbkLFOBrcCARfgxm wFlHoJp4D23pdYMS5GDKP6kgxEFzBHamcomV9ued7Ma/9nuOsJ5PPnObjFGczRrXifjWRRpJoXqK s2zltnV+XgNqv1PduuviJKoNa7Dz6HzMBqSGcTbU5vMShet81ABrZj+eghRi+LwT3ThFtF6Yxbwq Tr7FDXIykMSK+7nZ9v68E914sczvCGlQxNcbIe88N0ZILGQ/hdranKSMLH14K603Qx+/09xw51B1 YsuCgsM9s89h0bIsGJCsJaFK4ebc4bCWgTC0IhspY593mtsNtMtWBWl7Bal0CDdJTzD3zzvLDY+v X3sNfWrUGmyOokC70l+g8RgQKSnfOQK/0c8j/gLca++Bdiao68wHVocNVh0ZMIFnwQ6B9pQhEA4s /ozsUl5ICIGYn3eC291qsEv1Qddag2M0j+G9xued30a8Q/5KadHWhUSWN6yjQLuR9d5796u/SBMA W62ty77NSFjVsQ4Dbe6xzGtNg6drGy5dm+oAGX4zm8LWbQ9FhKDn362GTvfnndyGmBeY80r9Jxv0 d52PovwCjDnK553bZunS106Dw2qefhq9U9uw9tQSaRf3SAV4q7G1aVXbnW756J3Z5qAQGHNlHzRF 56c7ueukHwwMYYd8zs76GYixC0WQf1APZVe/6G3/nCI5CnOwjXZ2LGWBaz8H5jutrT/2Ah5AMnfx XOSd1YZVRJTaIIOfG20WMxcEcn0Z7mFBxlUb7Z3Uhk1ABbM0hcd+gOsTLU1aVWAVgVU7WWbq1HsD 35a8QIc8Dr1tkK7xMkN71wetopMeU2ib4dXnndDG8zp9ZyJodde6POt/J7RhjriMCmFMAq0Lv055 rsl/S3kuQHdITH7ns1nRF7gGFkbyfAoj0IIvqPqbitmGchQ4l0jhUeXOJP65+3vS6/7VOEIxixc/ AnMe0xAV20kM/1w+72w2xsvftVrYz7dcvVT7zmXDT8PoyG41ikssB4Mmqbtzkjp0WLSQono2ADHn qB46scc9sfeJpIHrzrQ277B4RCYCOQ5QGxFt3cpIJyX5ncfGKRpaQMsHw6sjl+JpdD7g885i6w+D VAc22Vkl3a32HmMLOIXTaHllhBGdttpJpOxO29Sw1QxFxWxKT7bE/pEGr9MmMGJZXzu769zsVUJL jcBHdNj6NfBiivd5Z7Dh3iSDrSCosEGn0Tn+SC9D2sdXDO603H5VRtYPy5g2RVGIDcwoDU4uSGS0 6gc25GWsKQJGhw7ssJQtf1OWPTT4FCGHSVuhEcTQNqU54Cd1rrOGgjCrR+PBFr/T16zzA+p/KT4Y CiJn6SedRLp/3tlrFjZ8zxHa2PPOURRik2SU0pOGpO1Ao/PHeONo7LJMteydu8ZUjVC1RmrIlujx JJKmFCgibDYszo02IZ1z5giB2wkhK223PeUXrPiduoYqorBYgtKAZfKjbg8MiyoX0gk/+uedusY5 Lt9nNvRMkAr7LEVBdhvK9C0O2upmWIy9vcTG31qTFNay6T33f/MQMBSBWdcknUkgHOXkiOBTLHTX oEJ6A0h/27/Oo9LUyKbUGH/+E1PkyvBonL39eeetdZkofDX7IUzBMFLfGgXZLKfkXLojitcqvtuK SDVM1qYjit9Ja3h/egTTsckGL4ycZbpXIQsSQrh5sNvf4fi6YcBeiHq04hE5a++UtS5VHsJBtw86 keAjQJXAs2bz552xxnf8BQsFoE/+FIQ9RlF2JyBi0mzuP5KkLk6B7KVYf+ScWNgAxD3GUTYRoaxe abA5QqwEL49CBuSGUKcsTk/ItZB9lfTIdRPz9HnnqxmkAdpb5GMlQhB+yHXoADYxdK7z805Xw2Z9 Elo6neGc7StdcGgIG2EUSb25rD6tiKJn6+614X5M8feEBmPFSnqnq1mjGQ2QlHzwWu05/k8e1oS+ nmeDkU+7Ycq1yFdKV4Ark8Pzeaer3W72CVGKDwZ/7ALlnD93zs87W42lie+qf9Ui8t32TlbD8qU4 ICy7fozDzhLLfxS/Lq0vEGegMYoo8p2rxg5qFzLrDt6CPMvwrFR29SsVkFn1bzhQAOX5oaaNSyuz BfnOVWOflXNUhVSvLnrXzlFjZmcnUvq8M9W62XBwSnDXi8zX7zp6j7RN7zTB6NfmCDgV222jMVpC C7KByMHy0TtPjZF2JwWkFR9uCxLSYU3uQsjVYSpy1hFK/zThzYC3uq4yWQ7vPDUcoE3n9bqD5ggT poxtnUD7nabWHweB83+Z1QLYg/KtTVJYzxbTwRlpLOe5bCk1ViyrrdWO7XeaGo8kpiOwQrHB7zYo IUwUxM7KhJPQkhAH6iBnj5wvpROa0loVR95ZagaGxYSwU89BZ+2sLk52Tr/PO0mNLYPvzQYzemhe +hxF9WxOLcQWXAgBjSSbozydJDqhFm1zFMbaSmvx99rgNTZoqlRo6tHh/MRB1AA40RPKXCCizwum FSTinaLGKZL+bbmD0pFUp07AE82PzztBjVnx+rrZCpUZpids7/y07iIIBDcb3ri4K1UiXcPxxtPK kO/0tCerlUAMBwcgwbWrWDoygUc1s08INQBAh/3mobZWUVTNhsCuhUY2WMa2u5hqOOQ+79y0Ljvi ZxWhR9zr9oztnZmGpxI9l3f3jK2X4ji2NLtHkRseziI9hKH2VE5L740yrozyOX8gaUe3KJjYg0Kr ZiWsfCHVAkdYP42avW1QHOFf1Ta56m1bcQGtiWkbreFWiwLtB6LFGDJRhdLb/S2Ks8lAT7s+hgGE YUqMrDNjA/EnXYn3FsKyCyNs9Kxt8ClKQM02skHhc06bYjDmCEbApcKktqnElvS2wcWfBIN8BiEi TmTaZNLRcsbjQQjZv8NsSnu1i4ZsYZjdWb4pNdsqOr+t67WdeaneN+peYmtRLRu399tZBMuBk4uq YH0WJoRJOUWZCwcbF66G7tytnRaF2YvyiGXLK2BbLI/6u3U8zz088HjU7f/u0eIc6U9PpIdhNqtH pXU3VDiTZJbmCI68ekQlHbFn3sNsYfDOFqPRTLttrwol0n7OH2BEINUGmxfWRiZk2aCu8SOP2PX4 BPSY3si8v2qmanJgzblP9hS9cfPxgB6yvTZCJolUB7392KMYmw67dGW3KarNBX1S7tMdPHHq2hRF qBFGPGdudvHBpmj1E6xnc+aAknuW4B0q9Agofky8VXk/pTN6VM2u1IKA4oQPnKM1T94sLORJ//F4 1KLNX3caWEO13UptD2Psbnbdfu3vXYwYS4lbC40KFG14p/Womi38+oZmkg221agAMTKvfWj3JjCv TvyIcou832q/nouEPuFLIoAWqdZZTlSZ7jBM11IaOgDH+YHw/Pt5BEjDcx5ldF1PlukHUg+5jgwg Jxe5ZMqFyyKTeDRrIgGMbyi2HkXZc9GSZJMPwcFmCSonQEJswrPRte70gE90yAOAEPqEVw6i6HWj nJbltaoIoLL2yr7I+Uembij+4/GIDvpdiQTp8qsv0iO+I10awXBJVhtJa7mUe9o5e3QEZVrN0Z9B 9jnx6/Lh1vzXTmBZE3l8Un95oBcI+ozCQ7vd8GiwEtmjgrY3+yUEjA2ujk8H9YKFM9B98XwwSb/Q R4CfggrokxRG2ed42EuRNTuQpbra2KDdKHbbORK3r6OQ8zjY7B88tDk41O8smDM5VZziSQENMiGA aYWIZJaZrk6kam8bXP5VhBo5dxJbK92LNWZmXe+EqxvPB7vt9iD5cqiK1Fv172FJe0ijhm0Pdvvp sYSzGiJ2+LcoIA2m5zy24zCbd/om5o+D3/6JlbtGZM0+v3haSvvPA5v6kHXWR11z6nX/3Gyldx+U 0p61StQrkr6JxyMU23ecDZ2XL2p6f4+zwQ2Vw1thGI0KwEBhH2FRbplWwk0otvP9XEhRnL0FXSft SoPNUQPngKoPQLBDJ6MSoYVThXEqeg3O7Uv0eutRSbvKm2vLXmK7vcQ56Ir7wOeJx4O0v333snFl tf1c/xE6W94ShaJ2/4Flbp5WGTknOQOkzYI2ihWoHvUINNJNEKrbQKw0+7RnK51TqCwT9uvUSETs Xn8WTlpzFJwSzjrP4EuCCGmKmcVchMNdRoLMn48teDyiYH93RkD7OPN0KyM9LmjLCMCa/PTFS5qZ s7Z4lC8cSOAxaZKigjab2XWJQ7seDu2EXzAUH0Rfg1d1mrLfGD+LOksIr60MOcgR7RFsBOhdqIrR bYqDTRJUOlViKxOPRzTsX3QREGP7uDD/EYXaPOpPHDlcFrmThG9Vf/N4gVLBslB7RBXtKYgWmuE2 eI3t/M7n8aFudkNkwI4/RDEanY+JTkiPyvaIQu3chRuRsthyZbFywjBD+p1fBI9HTOxvih/KQQ3X lU1RFGpbG7Ivd97Aa1qANAXWWmyMbKsejbCcrTJkHcsHR/qdh0+cmqm1AsxfIxkQCh0/7Jxn2pya rhiCWHxJRKZlZCT9WmgG/wx1jwCnoBI5jj88H7Wz/UBilQDFqHkv/xHjs6sO7Rtrj2rrCPUAu/1P rtsM6D+ienZmPltHs4HhChr+cGCtJKNCtecEW4lA/73kpYgA7Cr5IfQe+I4AMdpU8qfGNowgFURW cNqSWOgniBxROTv/Qvo1/Cyz+L02QuBIJ3StP5R1SY4Seez67fB1cxPTEQXa0JtFiZZxEg2JNEUT 92NvRQLkJ2nBMkBXADQMyF4iWLpXP7wV8R1/Idj/z5mdqXrCP/sca3g8Oo7qV3hE35Z62bQjirM7 xZ9g+uVciDLd1nxkFz08QWAxlP+I4mzqQpx0TGWkcvtrZ74GBEVMiRVkG96AsIj/YZkMwYCT10jV x5cEW43YGnC7OaBktlWHXFWer+Mcong+WEfdEUiMqc87UVzGJimKs4GFBR0ruwf16qnbeTRmNSLb SdghsoP4aESB9pLo0zSwf34II2fxLKWgUI+mxyImCdcbZMmBQrrV7GRvG2S1Ah6NeQddazNPUbRB bMHj/zqNyBZBTpueIzsEZw82RfLyW23uK28EgIETIaAJoimKwNlQKaKgaPPBkSPnnN1NnFkaCGyS 1zqO37OOIJ72pW9U9bbBMqKeb0tE/HGwGtuaRY5Suw88HvVEvlPawiL69oL/CIEjVGAxwVX2InN3 TERZy8n9ib5F3GpRlD2FiWisZidamJz/UvYao49O2hE0ek6IpDokAzgUSpCt2RTBHBTf8Vdw1BoR fxysbXTOayUi4NKOMMgevtGuyH8p904Lg2zK05bd3OmuXGWs1K53KWqvhq0Zf0fZcxCGxMETEfTV FjgHsAYt0JunRARNlelWjmVlJzY7D/iSP3WOppr+gwU6tYA6FIKwEOANgg8I0v7Wv2YJ/OPz1L3W 4oK29NVu/ehkES5bb3k/jyP6enKvhQVtcvvg4O6DHUcnlIbEJC4bxDC9QQcQWkfgBAJbVQrtkZrE oJpeNziPqlR8lPejPi3Y+U5V0OCfsXPB81FtxO9+JrCAyw+I/2qWZljSpp4PMEMmSDeJJaEg3aAb A004x+SJdC7/GcXZyCgAc6zVBy9pwyd+NkLYzxZbVZotTSjrc+iN8fTXSKedIXCkaHbYiZTwKQ9t qAMR8o9ADI9HC+nmIlp1uFrHnaMo0K7MRXJf3u/P1LYTJqLx1N4PTAtzFNa02Tbb/Rm8lT37hJIq D4x0tjE4EAi0geiGtvdKT7kWASy+48+k1uuRDtA+KyrJ1KbVXvD4v9hr8t6ACHv3Yu0M5UamcrRb ZKuPpL/6FHYkLTu1Z0iDpMvPqrJuk3OhoDUpQ4yOd39FDQ+Vdm42mACiwClRLQk/UgJhxiVtstc6 CVocrDZyzlQp1e9V8fi/5iirN9J+Btw/bZKiQJu9y9QeJ9eZnXQM1JBdbedP8XN7xoE2NxlQizZc 3Cjs8ibP7TQQ/ZN8Q3vmttjQfgwlCWSbIWxEhcidug/JarXGQD6xaMbjEV3kl/waoF7wubYpigLt SvWjPZ26noty2qk65OVCjGox5AwV/LSANrcaBzu0N+QxJ7p9AHfCVIelbwomDywfKl26+hER2jNk QSbVRuhBll1lEvYJk6AOdH4rHg+6kP07QkKS8SXhN+N6NvcaneP/oxXV3RdiDyeNzj2raRvPCDUi fQzo8fpge22giZoEMYac34bk0awk2XRcZHDP9AiJNpIzirOruOtnUfugrXbCoyaX2/Nj4/F/yhyy VEvxX4+zZ4QaGVlBZHdKTbq+tknOg1bzd7HeGZWz5Xe4O0X6ONxm9kT/gKTiTKJxoV0SUSMIchbj bJGyqQM5ozhbjdpWafx3BguYa1mMTAGHbA2P/yvrZ+8SU7mu1sgMOZCJJct8lVapdOOFke7uIguz pcMoVPLjH07euw1+GKE7i6ojLrWGbkihQ1ImEg11oIcDSQYxviNiHUnZR6SR7nRjOEEsGUGszcej VOTXYQQW7exezJ4RagT2AoCKtGvNRl134fxvd20tOgxxiqI4G40CHNSl+OCH0UpgRvBSO1ncJtFn oqUBA2DE+X3MW80mhn1GcXZjga0msY/QOZD0FbbB5K22aq54PkIffUNrsltX2iSFHEiG2TI4k49W dgx7ZiypSUK32LZaKDZCvlERwoaDraN6FswYg2B+2MqUniVcTw1wFOzqc6tRKRpf8tdeg36WDwZi Qz7IwuJJZ/B4FEH+Wkis9w6PjlYUZOdkaiNehGzTnVoTdL7txAaPRAtpRbiRUcQUUaV23krtBEqc ea3IEOe+AxWgnhcDJdoaN6akIWr/CovZrNCemLr6oCk6G3cSZwM6HB6PZH1+FfxR4ds3W1thMbsJ yF08gOwyf2AAqXYSpqg2R/mvGDdCcwwUdG3wSw3eKsk8yVvCl3GvbZCHoCn6VTyiwxm+I5L0U29N Du6AtKm6euKK1WheAglWPB+h2O4c4QoDKbLny+1foTo2O5BbrmIqZmcrQ5700lZXkaGVJikCjgxW atOW9pG4WY289XOBrVoEY5tro1Yr1RYw+idQ7PsJjs4tg+8IitlbSnVp+KD9AYapbI5OBI/H/1Ub Ye9vsLl2t1oUY6tSu0qfnvXLKUsVNveAWKRK8DhaITZ7qDtLiYj9eNuPvmA/s7IQEbV2ioyjUgvJ Q0gM97uOhBddYTF7qiciXEQePkVLpWSi9yoej5B+3/FjBsan99vIXqFKtsSP2nKZsdy9cZSUMEqK LW3Dr6+QBGnakJyA1a/K+gkgO2RG5edXANAjBueccPmk+4TRNZcagTuYXjeosZHan+cUfR1LU+Wj XeYQJv1sRDwfxUffbVpt1luHXFGUDezGXjRngFv7YouKUwRbdT+xQbPRFMVKI1RiS4T4c3AaBCzV Z6dCxFnZBWpTdPJr5I+dZbnvVjvXxNDLBsAam5t6B8vVTvxW5LHSBx6PSEe/gmxQl5B22QxFQTYE QKA00jxXS2x8cqsJk2FbLfkchUG2+A+JqBkOHkGe7XUSEUIeQcueSNyhp0B3SAAx2gM+Aq+HrxvM EctNCEI1WJQ9wTYmtvpEKA2P/0tEi7kvVhEiLZujKMquBB6v2tf1puOK2lIamUTboD6WDRCxYmw2 caA0l9HgPVrCjdOU6uFc6ITRBDlBLxtgJqn6CDMyh143qh2R/7hYO0qQUzHs0VmGzNygZIbHgyP7 VvzVoq0/tGS0OQpJkIT4o+714/KZlKsjC3KY1g9Kz8006VdczmYdmyxIDQ6HXChSb/YfK2T1B5q5 Z45QqEVTAvpB1qMtbHTgSwId1i3kMUXFOSihhfwN6TTtXBF4/F+q61aLQyv7HtlRlL0M1nqNDfKo 3hWBYqTNUQXHRnMURtmqYvfUfHCCX21YHzyyUXgjU4h2NAMWrOdabeVBZ4+h1w3iI0nSZ4IhOeg8 QpNZer7nkMLj0TpaXyEk/alQF9cc7SjKHuwcFZp2KIRsZp0JEuTwTCRrryV+UqSgJdMZFj1kBqzz qLBhwWtrnHs/AZeMMi1g4INotPyYr7KUvaMoWzzRJOGj9AgfAUzI8xutEjwelNfqL7woSkflgvz3 e5Tt9ga7ZQ8g+xU+OvH98gAydc9odyw1UrR+ug+e0UJVgxI+WEYJGQjl+zE7E4bomSJtdq1Rvn+H 6GzeZyffrz4ki7K7Mrdzcg48HkAhr6Sf8uCB5O4uoxCdTVdDqIJpjjJJ90angYCCerQ0IZNCfYzO JnNdKj0cvLuWGvyqiFLH2kmF1xr4U3L5nuX6Z9NGF98RTNGQKjQc7zUoWTtB/ZIJTekbj0cEyF8R JBb2o8O2oyA78VarKTtXpHe3WYH9sZsc4GayKQoL2WrxIwK0wcFZA/yGmgRgP+myzvYEZPKgwSB1 oaxrxFttR1H2LrLHFEnUWZZnXk7YyB/l5CF8x38JHjOXJJd+XlrWDrHZZIlq1RrbuDmsZu7rWH/u b2NC7BCbreLjys0Hm6Qz70AuyIEuZ5jQ0aQO+CHq6ANm4wU2tC3xHUEmsmTP16sPWkcTztW8lcBc 27HWyLcZFmKVPm7XaP+t57dVMJLWSDJi6Pl3dFqj1kh2A9EdIkbEx6LJs4YLq0Gtf1JZBFHpEKK2 sT2GmL7RBkHI7DX0tkFwVJWlSXIkG40AAL9zGypZSwuPR8pH31sNZYjxSNXtUDa7CEmzv6bIuiAQ jHda1upuirkjxIhus0dH455G0yDrmXAI8LMpR1KBvUW2+4NkxJvYvettI0ss1md3uYPKtG0OgSJR +8Xj/6pk896H2cq84gc7jLEpv54fVhZa/bbRijT+IHNKajmFj3aMGGGZFtB3G6yFfWZ9gejPlB+W 8vACAblPrYjys+sVzqStML4jCB+1ina6g4FqtljR+JiNxyOJMa+KKDInce1utLCSzQJkGdW1ocow nl85IcB0bai6KQrZ+Ul/M47MwvDa8k0la0nVNUSnlfqjDTJQRMdD5syhWXvqbYOziGFjLaxDctAU nRxkM05q56bD4xGVxmu0OCjBCpv5Oh3sKMKWUN2iWpcootWFIEGQMsMMJKcGON4RXGSS25dAkLHh nkXnT3AgxLnfcqU1SyfgF8pZaNB6ZMQa7Y5VRuRZWLIPutLO5xbGYgD54/FoFX1vNJTmGn5KTZGh c96+dclpfQryQISfy4yMRCcRxmQAp8lAPIUhNmYHeJA7aJLgqjozyw+Erot+DwFWlEXA9S9U1zDk 0dr2wgFLFHXas19tQP+doTEUggdPp35ud37Av+CitNE+v/1YXxMVVbNJwU1NqQh9D2Qigv8a/COF 3BQFMwX2FAbamigC/TRYoF0z+SLZXHxOQN44UXDeWhDohMXPVfZhoI1viQARTfaz1QeugQ2tKWlD rpn5fJCOXMQoARGTioLX7yC9x9rSlNx7LO9BFkYpLPrLM409SEhpmd9BCtHZLPfPTEWWmb8UWToM jjpdaijVQk8/GBSNn5XhejNpGGF+of6+f+FGynBFLeiUMiPZK0lDc0y4qqYo3h75smrYQBrceY8p VBRx0xUKxbbktba5vD2yZjGB38Wah81UaP1IsOMo+Q5eAAAPVbi+k76ts7do09vQDFi4qGp6hDTR ytW3RMDIIbTfHZTe9iFhK+QZg89Hpe1vzB8UV9ojOpJTFHSjjIO5mc6JWAXFZgkhUVZVoD9hI7o+ 6q94acn+mYMXk8pJa3tl4RFYqlEFRALUHjJUPyd2dbZfoegJvyXy0KBI6X4GHeQoImUhkWAQkUII ydWLok0vTeD65fvlFJa3J7MTIUdomrmG4/5yZUEJmLYJgLVNVFzhbq9stnnyiBMwLlWUEDSBkXAW K2oHizlkg0C4VSbTtheOoH8EkAjTzsFKSqBLUJS9p83n3yeq/pL6AeZ/4JD0eQr1R865Ajqsuz4n iu2Rq3X+JjvIoURTfZqi+Fua9RNAFBuchTwhZNOkPnZ2BfRa0AiA4POZK6hckcglpwhcAPyWIHYi JRLcRB+E/usTtw3P9KLnI/qoZynC5pC3fB1HUqhBQhhpaynbhddEb8M8nZOkaGXNfXsBOYWFbhbf xpYL1H7QtpCOHkBvE20LmWReeAPtLOSIP9SktPip1GYvHGQqMjUG2tAIN7zLz227qeAIDejG56Pu 5P5KeEHLGO0it3MKA/GtsOkSSXZd+aIlimG30Hbd7u4XKZFUXnW71eyDN01QXdiJSrYF8q2VSly1 MHElGv5KSBAtzi+JLjxWcIvIf+WS/1BQZbaC24HPB7uufXdNKHfx5RWVwnI33etWrk5Jglu8TVPr Lmlz0m43Q8gpqncDikUL3+mDt3Fxja9CFkA9GTCMIymxdTKcgZzuROv9ctvItaUCc1BiYhk30+eH gyqV41zJhAagm87nI8bNN3MLipNtX7RkDs0il4Al9LP4D9TTmDaoxNRdFnmy02tGiCGwRNx2hBI2 XCppgosvIdonXAKylsxuHFJN0zTdb52hud434m0rcCJskoPqAzBc2OI+jsnn/6WSQNgETOzSl11k FI5D9hCluOX1ytK9y506LT1kyrrq8HmK0CUmbiOmGwdHuUODrPSpEkFjHZ8HGLxUSFful3cj/5Ec O0ZmKf+IVdqt10fM8xxG7at8/n+RtcVq6ulW43LgGYnHKAJQk4sjb7ZUsdVwPVshZZcxrJCSQ9NI YgLhBLJ98A4K8MOoP5AJeLJtSUgP6hMibAdE3qepNHvfqMvEMlNl7FRoMsM6wTihANdCT3Xw+ail +w0MKMzr0nXWDHwj5ceAnm7zetNqjijNUzXeyiy4um9kaByJ0P9cZMhvbPDVtEcH3KbRRL4hwbjR FWC4P3098n/D3/cvsQQ0Pn0gTnTvKuoirszK5/8VEnA1ocv0ZYoYWkeuxZqTZO2pBERhJm46ZJNe c4Ikv01TSJqUlFSWum2+fd2VIPdbkw6nOYHDbSxd0sABPFVU4S1lkVxSDt0jZa+F3oOGZsspFZJE sJxgQhq6R5b5XXeq9CNoz3KKBLcZ4WGermISs1fV5hQocJ7I+tKuCyNx6v9DucsHmycgcVNq6qac mKOgkoEqOHYQ6hEZXQjHLCkmCP0jBX5rhT4SrbiPxIlqhohK7XwQn49Ek37pAYF+JR6w5inkThJo OtvYFopDxc+RXaSuKbWrLpacQwdJWPEAjKPmZfpqXgIjtiTkcjKwCsNWNC8xcwPev6Ve9mRJKj6F FpLmHSm9xHz1Ek+Mb6Vl+Hfw+eAUvxkL1w6IRm0/zr+BiyQcElnJlIukcuDt9n8DmCKr0mEWbKIi e5suLKWMbdeXsS20mE7sOAgTROSUid1JpMKco/EE5P0GBbXa+/4lntBYy9ag267vXWS6cU4OPh+p ufh6IvpiIxRfT+wUhuLTnOwfTne14hOmzImmgMP4NIVF8U0BjkIY0yoPxuscSieUL/L/XeBsUOp2 oLEt6zb8oI5fStNeONK6LwKdTh8Ui6/zJyv0Wa3w+ShlaV8pC6qFkJu78xQDvLNiTOdSlLSdKNCy U3JOkLnvPIXYE0oiLmlNcbgpC1pQVimo48QITa6k4BSixW11TglxrWQvHHEFZAUko5LttGV4SZPC BwZp4/NBztK/WyyUMVjtOchDT8nBuIB6NCZYtq9gWRo+Z2ffJCN359BUEtcjFO3y9sFrdMCfFAkp QEgL8SUBcbj3EM9MypmsBw+XQ1dJWW/kxZwFygC678boUB7EfXdels8H8/TLCFjqsJe9lEtcG2fM NKlGSlYFChOqPE0I+FCFe20UOFVSKSHUeyoo2sMHB1j0EwFMnUEFSLuOzBMiHUU4HejOOw1Ottsl xnqvtxS4Y5V2EZLL5PP/JJ1yejesg2/hKTaW5PHU9y3Q1VuVy2Nuv+6aPBOTPipYTUOQr1x88NUE xf9UKO+CmGCxOFUm4nVcpD8SUFKBLtdkL/yXlGLpsplonHAdSihbCxO/Nj/gX+E4ewh42zGebReq cZMOJ04ikLpbjWBWxpMbus+MurbNU4z4ZljQ3GHrmSeoelf8ZcjA5p6ox0KGDSddZrtAOFS777a9 cIAjKLQFbD1rsLQFjARZNvUT0PD5/4WnA/m0tq8hRw4NJtGiPufQ7B6PA6PtoJ2yHbQzQIdQPB44 TD4Kr6P44ICUQb8yNlugTNQnSWE9sXRfdCjeRvlc9sLRPJnhvfgDJBAyIN/nwFgSDILjfWAy+d9y gQgMWn7yu9BlkjrJUAj2asFiuMbi01qOI9yZHt+aqBiWwsBg5Dt48ekE4PBwK5KgGJ2M3gpE+fn5 T3Qw5heHoNj7RjIdnKcmukVzXMqJ6GtnVbCdsIrPR+vpFzCFXfendxcaTaqosnoz2G6WsiGnqWqV EdpcSvNpCjUDyWPOhSw5Dp63cMEmKQYP+AUSvUP5EZLTClBbJq20pr1vALxgfHkCp+aDhQV7SFz2 TNPm80E4fmEFOseXuGc+TVFlHG0kKAasa8s1s2GcgKBz6EUCrEfpXWA2aVbTcHcpPthqAo/13PYs 6wKMtwZ5GTiFfwbDVhqtQe/nbDubpxigwvgSLWwbhL6AJsVQuWBPPh+lwd9WihsrCXRcn6dQoruR R5gviqcKj4o0eBT2PSkcXCjR3fVRf6gsnONU2jjpwcudmAL0esF4TlzXqA0HbZwG1DYVjv10kuJb Dg0nKyWDW2aBnIPiwgFGHykCGR3zwHFSmmvf4oGITIAN8nmKwvFEq5dNULKqT3t3x8z1Ph0z97Xt /nR3h5H79sEpBQW+nI2dlgpR0E4M70bBFajJH1JRUVdkmFnshf/Cy1dxJTloPZ3zr3MTQiOczwfl p/1NTqEo+nqi8dB4cgrqXB7yLq2x7RRPhi0AH9Cvu9B5Ek173HNr+OANzgR96cxFQ2MZJcqoPyIY mBJf94Z5t/eNTvHxdjydX2IQ5WKl8cB78luuW9sOUIR0q3Sh+SStklOpYzsyTBAMHE9jrv7jlsrN WwiB+2T+f1R+OduCxRUOfjzBcxBlTETjSF07W8UEczXWqhNUYZ0I3u2FA2ABN9y5I4sPtu9geGmm ypvPR0jVb+1XSi6B4+/zFKLCqdxV6sVhIiIxAkbvV5aK0YrNUxSOT+pQD6HDOdyiCthOlVjV82JQ /x2EPp0zFPYCyCMvLjwRQ5dDE0qZCIAtzQGvP3VvjbSlAXciUH5AhFf9LtPJNuNa4ubQhhIKD+gE D4c9j7VtohC4TQsLqHZmExXF44Z56mw4cbgcg3HCy01G84nHz4kLYn0ZaHLQdS9DzNCMg61jHhhR ipwNRMGS8wtuP64odHS6JAxP5MYPCPLgR7qbYQSad/WJM2Mvys04s7Vm6CdzWqTAuaoIg06L1UFi gRklZoqVTEm9cXBswUmRB7oJLKwsOP0hw4NcEkg9aM8zuDLn12UvHBkJylDXBlh88G+DzeUigmKU NPkB/wtflQIz7akYBJaUyMCL+KrTUmHgAy0V7nVvx6pcv6UcelJqLc1FvgEHB0FDA3QlFe0XNBoW WXRYPTQ2z/R8N2pP6vbC0ZVHWu+koEeZ2QkH5+OzgXpQWQlsKcE99UxYIsSwEs3P1osi8sF5KqV6 RbO04V45MLn3Sh1sdJUKB8aU4OQR9dSkwtyervkcYAQMgsTOZ2Z6gpmf4ATMC+GA2+Subu8bXXkC sdbugyLyvRlpENIz+HyQuKQreYa1B+EV9OF9msL6OEhIgAEW23dtO5DnRG/TUr2VyvLKSuxNSfrX lJopBzuhIHxypobLCTn+gBIGSOfn36ATBdPEW/hde9kLB/uu6IRKzQc1EsDV56Sd873z+WDbXSc4 xhGoiz4qgzk0pyTnD12k6tzV2h3tO7Ees6gHo3g9M7anVMW3sgLFwQt1Z891eq6iMbmgvcmGC36G RcHzeXUrMlXA+S2R+xLnaZbpgwrd5aTYpIudb1t8Pog06y897wyG56hPDBVCx/F3pzHIUqE1HNy1 VNGstI/kRHVkXqpohhaVgtc3Uh41GCz6RFEtnS2CkPxEnGABYd8lwvIypEdrTU9rqi974yg4gOT9 KoT7cuBMzdFoEQzyIXK8wKUSpe5fJSg4ne2rypRjm8rNCLNYlkIR9O4tYWV+9M/ZyVisOfCpRLpI jyqsSBusZIAuc6ON5Nlh6CtIeWChElVRVFOlTlaeZNXnwKcyUeSVUjpNQ7WOMD35WNEkwCAwqvzv AhSk2Cq6vT5NYYWcINYq9FNlFdikPs9/TVVlpcLDtc9zaFQJvQXiVIoPNk0n9jsniWwpQNrbqpBL JhZiPmD/WIY3FEEFRpXY3UxdZH7GwSoG0HjkdVeHng+uu/4tf36+8JxR+4YFoVUlkAgZSMPkcBXp D9Cpekx3qobHuE9TKIci+zxgUm3wxtRIBSpZ7HNuVDjZbwH/64f5BpwZHCOmOl3oVUnFKzgxsC28 6MfN+w5tCbZ4zpctfkAQkc/8NU80GlqX+5MDu8pzPCXpxFteR7tqB9PN7g28E053z4Rjv8ohge88 fPBCXdnQv6WpX0bNpbIfTNmYhs5gZ/ZnRjrd3jcSRZGrhxpKxUU+CwIb1nKwjfl8EBbMby4Cyvi9 XL2PHBhWInGZsIkpbjMsJSkqfszSxg2ebr8lNqyU5EeW5Ed+2sHnFIJjMaUHz2GL8jVdPQHe7Ghg ZLTOPXpq2943gmYyerIzajgMA/0IIT/OdFc+Hxl8+DQxAEAuXh+QWOhZCWOEje4aJ+T8U3VJtMSH pM68XO0rB5aVuK3F2Oh3sFlC4NTSqAoxYeqqrhR+oIl+GGyIHrDKttcNiFLU04UuIoUtzqqUBFU/ +XXlVTfPVcoPeD/D155f04QQeyCC8GkK0SpGRCie3J102pW+hyL0QV3d6TlLYFyZ2RdDu5xolfVQ 7QFSRUVcbjFoKAAthIkiZ7PQKiPdXUfxuBxYV+KFqfmxyHDhoOAJmTadzEBW5PNB/emixEiSRoY2 v2OCUIeQmlZi0EqwSaVNTpQwduia77o8ygzsK88BO+U7sLYPDjpckCNKJvkJzQ4yXBta0R3lmEXD Zqnrbn/foCuVFWTqFJ/Vuy3lRNnyn9xgAAUGlgBZ/pJHG7T3uy7on5fQqZzvFKkx1eJiOygTOahn E5fPaaLfA4+nl8DpfBC6/jKwbM0Hv+rSOMH4IA24VtAFmqRjca0nZCvLcb7n8kLg9BI28V0TNfUB 0fdBJxOUgJi8tHNvfV6CJr7gtoVUfiTonH9IJW00qXsJmTQ9BBvu1FzGegHtbqACQNTg2HQWcBum ifoSMPHbJbNDFykbPPHd5x7rmxLDIAwMaV9VVMk72VYQpzZ/hqVT6XV+0ImidExno4WDllDquQos fsL4z0u0xD9V+qCN3X7vQ1GsCfPzEivxoc7y5SjZJb9Gdz2rXKRCjOUDBJGWz0ukpOXT6VhJASYN F/+86KgziQgrYNhRVZYVShhslv3lO9D5ru/Lp/CsblWrqLozw3nlLfchQGI/L0GSJnd/Tw8SJvQy bHpeQiQtHzqzE0lsAPHsnLpEARMD0vdul/9LgMQPoq5Qg+ulD34Egf07tkj251qomzWDBjWHDtMn YMJ9ehBXfV7CI35FlhoK+gkYAD5QWxwURBa0+om6Py/Rkf7UPmx+NKs8NZrNz0tspIeEldvVi7rw 3rJQO/NLDTuQ3T83WD5iY5xYtPjgBZNzfJ5TGCksOIInhJAVI2hEGyWPAlabbS/6Pn9eAiMdP1If yPI9zV4uAZG18b6GANTnJSzS04ZOLcbQhKhfZxGAbmvBBDXpVhOUKZkGSmWq2+TOzGD7Z8tsX6Ii ThDrs5W0LxucdbjaSWrUa+pAHyWR6U5A+rPQiIa+4W1eMsR+iYn4skuIJrB5bdAEnU/fVRtslc9L QKS1UMvXBmtQVdsU+cL8vIRDOtSzgBTFhYf02zNuHKu1CxbwmttLMKT5kcJH6s0H22DI/M+sT8nD n+yAVhWVzGd46v3gj7sZrbwYoh0m+gVgdxjQwFeIPKT1mLgiPi+RkM6v2b522Mw6xmyCXsIgHdBs V6Z9UZaA6toJRLc7OXnuuV2OOTygycZU0MjBu3D13ORblzichwb2yVlACBQXderQs/GwmnzxlxhI P4Ju9la3DyrcrnOIEW7ZThT1eYmA7A2/FxDWQYMKlebnpRhpGywx6LmSQ4UlPfUpRezFCdRpOkMB tOCETs0q280Hh+RAM7ep6Q0oyC6kP5OBuFDJLaN4yRYoAr7s+/yYfl5iQYSDOkqksZF+WiD1F8xP N7HqovZKB187JT+iX4qQWrSQdACw/OowF6+tIXF2LZ2Stp1ALyVIi4A4QWaqnNcTAUGMhYLh5wSC RPUiOJ5nEtV6CjHYBoKj+9JL/VE/wjId7+aDTiAceFR/ZMXopfpoV5gf0VxrUCmUFKM4esEEyXhm rerGQqu44RICku60ncHKNjmF0QzJ300Wp/uxOMX5CXQgLTorLWch3lAI1mDnDanfVc9t4kBGe0w+ Z01SA20abALQJ9GpWhkgqEabbOavQ4g8lD59Db0R5fS7MMsozrDcuy1vci+KEzC7b/VOURxGr1eE 4NkCZz+NQbXKjv4UoKyw7zynNbycscuGt24Rq/Btg3Oa9xiqBT44OXV0ecDnyseDQDrNr3NonSN6 lHQTjfdI2uGmtT6hUHV7k5NAtcslrJeaGoXSWzSdsu7gTduzpNOQKHzODYRXanmjYtMTVYbzzTSU 1kexdCfI9FyUywcDvfUmJA4uhs8bQ07rYYzvYPosbPhk+xRF0XStuuGzT9FJK62bDUlgRwZOlEAN AhAc1hIWJqvVhuewPmdy0mUGITTiE2CftAAzRmJd83OZJb1ukI4xDuqZFgMclK6ekIsB7zmtATMN A+rh1xn+W4CERyGOS9XgYBkBa4yAKDs8ae9pDIEsOUUFjHk3LzdGc8QSf2M4qEFzdK6zBHn5KvJx Blxpq+sNnxGgdKia32QtUPW20WHELQYcAYbhEsx1LPAFcMKcOPvzRo27EdWzjmByjCbDnaQoqgbz 9XzuyH6nsZSs82hXA56WSSqmJuk9rMbhz5oQwnQb7Mg+PzFEdMSMa0CVNNKbCxYFywmkN1tJlq5C b8Q4Oz1xWw/p549sulVAvTRV7WgG98aLU4iTvjOzDCTqEFmekxSG1txtynOs649Skvg59Slcj2Si 8G+0OFuTnCRU1m3wQ3udHxq/A0NHTIuMPDpkXkkuJ3vUkrM89brvk4T2m9ErbbATCQq/zM5m3p83 UpxWUmvfJxItL+a9+6Poek7JMJdq5Y8+s1Vj02BXTNScOnyOovB6VhnB5DtcpM25gc4kJ0nDnvQM oOaTf5yLeBA017PLMYGdr9d9n6NBilfvpJxw0BwBbWHWS8C4RQF2n76QRKRYSIA8g33jw93rCBXr lL0QW+t2lM0u5uRJjruV0KIQmwpDjVh2G2wdnT8Fxoukw50pySRtoDfLgwjB47y0HDnBvZHhbgx4 5maTi8pBcd+JKeaWAzx0c6IYe+1few2JzwmnfIqiGFsY5SHBPBb1lcWiilbrRY7UeWvVcZCNNBai 9j74FJ0TDmBRHtpQmJH+1wn7cB6dORHqzUr6U2/71xSB5OODHUetbLZ3KuEQUZQt3PBN08CyaDfK fiPBaXvrNErTWAFJ+GAe2VWqp40tfscgvXHgLMqWudmYPjiKG3trNvqJIvw+UeNmJfYE2B3QgcVN KHCyv+37vbZFnSiz+mAbbaE0zo1W8ueNAWeRwz2MxCsE3H7fWmwUZVPsI3HBGfNUru+DRU63zIGF t6kwvxHgLD4ioTKVOziupm2IcwDAcWLhCawQnSlPKHYiXfjiNeZxOo1Yb3wjwFk5PwsgKfgRPGqU zkLZWzoLEDd5I8DZvbZsljrrITBaKX4cvfHftHpplrfl+i4Z5mnX2vnPPXNDKccX0nucLb4Va2nJ h4et1AugWsT8McPpZHtfdi4oS+7hRZOKN/abRUhSNsmS0UUZixc2lLyIqIZ0/vq8kd8s0l5fOW0B baBtr6q9cd8UaUuKoix3zZndoWw4s11OaILTxQPpjfum788UNAF4wwbv7K8NAip5gMzOq/Tzzib8 YdbWErW++yNs8sZ805dIMkC6Ju3qmoAVzXoy7rX6eSO+/VfpGouGqLje70KKIm3ToVjLg8jSuids 5KcZwr1WK4y80d5su6spRGzkXleZCnKDJzySPGyFrmdhkYmay4PLXxYM83FfemO92Rwxxk5j+yCw 35l2/qBgQs3PG+dNd+/6jrTR7upzeAz5RnnTOmoMivq8c7S2G1Rlhd+srlEpgHMU1a9NH5b+5hps jk6olEBQoeBbBruXOudQhv/ZQC9AJfiHIFsc20uvG8RHkhEyU7jiGdtYuAWMA7A+b4Q3i9PLd3xU SQG4p/Z7nC0UJlLZ6ZpUZ7qsOHJu/20FNtSXfB29x9kIIzK7Q7Bd1ODraAOGNdRjHEhAmfgmQKII Ds8octwm2tLrBjVINvJbJtm05eKg0X1uBvkmrrQ/b2w3O36/i7QIqToaTzZHYZxNBPJO28+jXdym At1fK9juBDqazqP3OBshMGNItfQ5eIB0NtVelTrDsAAeKJcSuAb7zrO89mMqSA+lzxvTzaaI9dnK HYfa8o+87c/9KK+2c7Ht/Xljut0z82sdIX7MpJJwjqJKdqX7EsCcXkDqN6k9x5GfRzN5J/+N56Y7 ZzNfY09Ng5/Z57anhydzEWQ1xN824Ng6/OYy8ZEqsg2ij994bpY6yeGcuS0HNas7unJcBzv3zxvN 7cm8niiSujy3DvlGctMcUdK7lyuxVOj1bHYnyYtsFRpp2mvvgfatjiCZtsGqI4DUwv+VmJABqRc7 uSC7JsuGiwlZ1KZ8Y7h9bTVeIDboWjsfkySSt9f4vBHcFIQOX0bEKAMLsm9H9o3fpqiq88je9+qv ya08TnyZ3UwYBgPWsg4DbaoGIfv1wTGiBeuZ/OoBBaEs++oB/UBsmJ/56MGiosvvCHr66hhlabw4 JA4kptzkBXfO/M8buc1uFS/VssaWoZc9/eZ/47ZZZY5Zf18OfNxEmanGRhSiTqNWrcb2Rm2zyghO o4Hmkg1qk8Ji+fw/+BfU6dqrUEcPPkw/sDyFDPL5Hxm9xt42miLJlqjgv73gXyCStoVlOAfmG6/t AQjpMOLJg6Rl3ujojddm+RqlACRPgn+c1XyFgV3P7i8AuWnttDdamzW9uIwaLzcOXj06CwxUEeb4 yHC65JfQtQPl7YeYIwc9Dr1tlK81sf62D1pGSNMUQJ6Q4/NGabMD+7viD1RBretOURRk96QTaF+K pHhayIMatVkIxybonszkN0Lb0zhq0E70waZoAJE2t5sJL2p9QJoK3BaQ5jKZf+7/nvS6fzWOWl66 /3HA8VaD21UZovjn8nmjs1lppH9PEgg1+daz38hsN5OGxUl3mrthZqliJuNuTNLIy9Te3rhs1qDl UV3p6MHB9hr0tteANXEFKjuj2o2lhsJRRjU538oI7Wg+b0Q2myIijCYtvDg4dHZLXO4cruXzRmOz GHt9zRDpWeXe+28stuLIKZxG80JECIoUXL3cBi29MTVDUTGbyDMU1qoPXhmpUC9NJDgkSrcnNXFp WIXUv18HL3L5P28UNi16cmlaEss97Wu4fGaGp9FJ+/iKwZ12e2vqw60fknxsiqIQWyCIta+zObNw P7BXcpTIcE/qN/6a7TQi1Ck4rcGn6MSOUBlji7ajTV1UpgViC7j4Itfz8YCL3/hrdr+wbLSfwWAQ c061+Rd+xvcQG2HDdykbsdlI8yLVohC7Ut0tU3dRBTY19wkUaaZwCj2JaqXsN/Lak6o1wP84sEMx SWuve59MnGVa0MHHZOmksP/Xgdsv8zHNYQPyjbtm9foqGQkNyLG5QSo4UktotRM/vnHXnjv8adIu mHe2e2SHaBFS/EpPy/rYlG9XH7u4cTeqYlZgeyOuWaZJgc5ahg+2js5lfgK8IVsBdJ+BNIT/Xgdf FC3rPC5xbRHb+8Zbs+MIe42aKRjA2p+aI+iJkhgyM58PNtv+3mxgBXVGkZqjKMYmmySDyWfF7HOE J9tslYLoKrBlEtgSPynIQ4p0OcWeyQ97poDqNRO9cRp2MCBqE8yH8bNJjCPq0WpH5Ky9UdYsUFHo KFRodlRoaaj5CzS78+eNsaZ3NItTv9XAnRleX3sjrOlLF6miBO2YlCJJMomdbBLWNnsiqKgS9xhX s5nzq+Cfn4L/2JPFNDFF4bUlWEmi7BzgbCU9ct2DbgJvfDVDNGiXMdjOyNzEdTi5jVx0Ti44P290 Ndur3+ERikBncybfbG90NauvTiFm5WaKLi1hoAUas4hqKeh24lMcGlhIb2w12wjs8++WffDCCMQQ cAAx6Uehm+gk/DI/i3QlwLqs5g/eKr8kAq8JUSPP5XYV8k+wJX2bc6XOzxtZTSfCvtVsVeMQGk2v jLyR1bSSJkF9u2ZbSbxnJJDfhN8Hhz1JIL/zk96PJAbYVQx+Dd6BXJiyRPRRg0NIIueYoBaaX1HT xrWV2YF846ppKZCafZZ184GTdCK5IioHFOY/b0y1B0d0T6RFf9N+QcbvgTZAouLRjmVzVJkysQNJ dxt1IJFjq3r0xlP76h1lKbbkR7FlnfgQ9V9aMDWY8yVKd2agjU+AhkCyPsLKZDm88dR0gEqxZY07 aCF1FGPImDm58+eNpqbH26/+GmA9oEnbHIXV7CETuHwrbDWbEUzeyXWod0Lxh6f2G0tNc4S/HNKq 2QeHRKS2cYFAsnxDYrLQpBGC1OcAwTpm9U1JrUojbyQ1S2pZYBWDtjgeHhaniyakPyf3bp83jpoV w39BtNDtT/XutaiaTe+Yc4BeRAT50ZqjTD1QIiKg9GtzFEfarEKyg6ThdkVOPlJRuyYvNGUpAVDG HO3JH9SG3e2MgIg3hpqmiJ2jnlhU6al5U+SkTWJSwxb088ZPuynxVzJCBub0dO2NnqanKPuT+5WK AAPYQCOVOgaCG5dl3bU3dtpXpF07PfM4eE67zl+WhpTey9yTRrB1LZT/IMzY97XunlpFUS0baxFZ SMs+aIrOOmpNlsutft6oaXaY+RTRSwjNg3aTkTdimp5i2t9b80C7PwJSwksxGTl/iWW0b7w0qx7h 0id2yQabonm21lmPgxktpAYam/0bqtMNXe3FG0+HEeGib7S0m3ABCMGZ4qCNhttRlgENt1oUZn8B tPAfA8bSS/EYskVxdpcYWVvNsn4gox1TyzoFHQOgPmJzFMKyaRiA1q4Pt96/QL4SQCujj11JGG33 UmFO21RhS3rb4OZPBhRdPggQ0c/q5LoFFAyPByFk/z6wKe3VLhiyhWG2mSo0T/tXT8mmCNhRK7BR jEdTFJWyE3FZ2J8+eGutKOoRtwjCeYWeCotXPXZuSY9zt7ZaCBohZp1lORu01XppUjBvJ3fG40Gv /xdoBGyGnpNfaj0Ks/HJ8IRYXmFjJ94qbEQnSBcCuCixZ97DbLEzzx7rxB1zsHL/SRJA9JUP7Imy AEHhVgOXF7xnoKMtypZRQA/pjUM6B4RFVKfo5H2mrtHq9mxxPh7QQ7Z31qggIdVB32k9CrIHYcfj 0YRAufDHNSGK7bRJKo6mKMKMDKpINiGQONgUjXPbotrfJLY5KAp7Ejrqu2wzoL9pP6UzelTMrjSm pLCODZwjNH0Hu4cnhpt4PLj3y3eFDb1AnAQ+R2GMTX/HVEq5kJGyHTLSuhXbdunTykc9qmWrJQJ1 bR9u2p97h/HiD90EQVVn+Qh30tqMjfo1XZz+un/hsxLNks+Ao34pyIZwrv7wVvB8gD6aPkl4PZAK T7p2z+wech0rkWuyB6Ai75xOBT3Hg8FHFpJ1Rdk9irJhcIAtJEfhce+1ibT/5Bk4fgbIAhMWJHDI W5gl4AehT3jlIOx1g/Bo67SmXxeaLIpzysi5VkXOne8YHNqpfmUi0PKvIKbaHEV8R3l30+vVxCDS qoYXBbrjhkfs0WKOwihbRbYh4QxhpRUeZdBCOg8kmL/tRFnABrgx/gW8/G58NFiI7FE9G+p+qEBm MUPRSuEeAUp+ciGMuieeDyZp1F/bbfxQTNYmKQyzB8w76xiOOx4eZZ9Nvq/aGGhmmqOQ88ieGVu8 Ntgcwaf1HBTs9eeT2/ZNs7MTbpw56sSr7nJPJAq29vcwW8RBAmqk5YMgUA7KG7cna5/nH/B8tNt+ AY/Bx6q36N/DivaQRs24tREsGdRGUD1kTw0FpImpYwGph3E2a7BzSlRk3jh70uNyStVonEMbCBKm /dAzIfu6zvqoa069blRAYi47FSFNB9Y05NBZqNox8XhwbN8IifNJkann9n8PtKHHpr6jOowE2GKp ICwCvgMTg0B7oRlWuZAi0EhiRyRBbd4GnyNYTkN3lMf2+Z8UsIuR6JwHKDOmsomcKKu9bnS1kbsm O6XidkoFqZ9aMecPmXg8Svu/U1pA0Rp6ZzZHETi7EzJqF/3CtuMyYiq9i1ePSs+mMNYjzIhZBM1q A19YPm9oqcFgGVnsRJltssR2rpUFwZVc1gVn0xYAXxIhRiVNo4L2MJY3llFZwlftVPB4sIxm+Tqz M+Le1pfntD2MtJugx939N/DnWImtEj+M8xvlaCux9aigbe5uQ9DjcaHHEIxGwVxFaxaSKHIAV46f xarnIpRdJGNyRHuEGukUHK25LR80SSeilB45Lio8HtGwfxdrATyFUJfxsKNQe6rfn80nkJoQjokw 4ykgRsFlUBw5oor2FDRrrDt4jY1mcaA8E8KeO8/3OiEB1cDjncQmpEdle0ShNrrXrPY3H1T0XyfP YPnoHEsZjweh9gXVYj5ps47Aw6YoCrXRNYJBSSlWhty1uCIN7Fn1j5vmNOKqh/XsKSYNp4iDn0eQ /keLnOcRogEWdXER/IyFuI60LdMVw/7Gl0RsdS4gVuvB0Mo/Q90jiCnyK1ENxvNRN/vXgQTVrXkv /xHCs5n5z9yd0L/ncNsNIhi1jlBLt0mK6tmZkhm9EX5EsMN5Y/X7QXFdpIoiGEogbM4mLC2ApGVc JT+E3gPfEQBGm4jG0mWV0QhJR5RZ/hGQGI9HjRGPjwhVws8CiTyboijQRlUETcjhVIhCk1YBj8t2 aW3+W221KNCW+HKlVFazo2KwDAlMEPkotOGCRk0VaxurnFSl5+qHhxm+4y8AexUlu15KNk7sQrw/ RBXx+P+iCoEjsz01thHF2b0Mlfl9FVG1waRYnRsJQatiPcgRxdloY561sVmGzPspQ4ICiQiJcfYJ NeZi1nK+GTcvgjrc2sZdm4jy8SXRcYSrf5L2hnbteXleRie8aPTBOHFzyXg+WEdX+UDcELwCuZCa pSjQBj4KCYgV+lFC2kbHAuI+WV9kLUf5jyjSlnpPQu3MBusdTdgfFPU+yklFEUpS5xBGauAF4s/z ejap/SOqZ08iRSvamDZYfw35MmPIE0Tg8eg4ql9nNpPa9JzZIThbUL926bSrtEdubZsp7okhvXU0 InB2TaYo2n3wkn9FvLQosnIOthMvoZl9Uv1OAx6oDH4JHFW9bdRdY62/UaiWg/YaJDkIVWm7Dzwe xEcr/cIfUXTBw6MR1bNbVkzUHOOf21Wwrw/rKO0rwRJyIKeKtIwhJTd9/ksg/SCpB3sFTNGAdg/F SMbSKgKOvNwpwrWD74iiIxL7FrWhOVjjaOVGcgq5tCOOsr8bkFT5l+QxpyiMsgcra49nBIArdhx1 zRaOo0bnGU5RGGark924RDjcbA2tzCbKDOoBpLifgwkhJ+3KUbC1I5vCQfiSIMyWP3Bi9p8JIyY8 G5jfVnnorj4XPiDI+59Dm6sO2q9r3bs/CrSXYFoje6C927gB0nJTzrUBzNNmC0vaVL+jg4kNdh7B 4WyMzc5IB3mEBO5CM6EJ+YNCkymV/bfUfMKSNo/rMrbytoktoxxkTumtnaum4PmoOvLratvrB80a m6QZ1rRJqaHzmRTpptyliQzazV04yaNi5j9jxT7W/buqJH4zo6Z9PqhnRFjYDNCmbWrUysrghJLj 6bCRTjtD5EiR43S+gyUjJwuUok8tFY9HrZHvKhskiGiZa1MUBdq160TqHmhTvkFZLbHLltVWnkid nxSg/Lc1+qsPjj0+8w9nKCb+kGepZK5zk03k7iJJGK526W3/LPtbnDTHzddqGvzDT+ZW8Pi/yGtZ aw4hactXFyoKtBOVxc7N8BUieZkN3ZF7JmXr1M6QB1lFqK3LB9ts68QQg65FVIY6l37XZjuH3wKN HaSLK/1IDYQZFrXpX4Ob1gdVR1BFZwUIEQEej+B+92rDEqSdLLDQNklRqA18KroT3bPa2a/E8d7V 1LMAHnb1rLCmPbiSNr2j5B3yY9jac2jPJVEWCPzDLXZWolUazDNbeiwlCWWbIXCEWW2l1YgGgWvO ibnV8Z8p4/Gg43/LIwwcGm7KsT1EmlGsDediyIw0I69neK9b5o9F7Hi/NK3uP0MepIpHg/BrDh5F woSsSwOhQOQAja2JMxfCMbgF+u5X/2jY6wbbTUxaTVK5k1SXVBjx5/aKx4NGZPs+kWhK2YvfbDMu aZNUU5PDItq4ZpJ7TUPXzI2MS3MUIUf6Vt1f62g86wjqjxmkDsZIebDhBnGfTW+tcyKBS2UxEp0k ZxRpQzYWpaMyfNBey2fap5L5MfD4/1Jmo/5v2XerRciRLrvNbgLQ6JK04udRc2u7kwQsU1qdUUV7 JeuuNR98qwHUlDMTfzbG5BfVN2gIKD0uRtqiZW972+jQpk3UyjaYEv+5ALZ5kubW8HiQsN2rn1bA mMonPpohCzLRVWQM7x5RTcZEssWQgCXp7o4/mlGkvbnF8mRnhIPfazyyJ08jnCADWRemiLRhCNA8 LEhaVuI7ggabqffP5YMh/ceuS7JPm49HEC2/18heO1/b5gUfzwg4Mtlg20Q3myZ9d+L6oluZav7b zbdnFGlLuj8vprQc/FajLH2S/BGENSoP7Aa46sBCz520CBW0iWKfUaSN6JwsWpn9UU2SGVsbRQZe MEfH8/9CIFEcG7/LzPfEjlmQrI6sCx0ZZRuMHRhft9IqshjBVvuH3MgS8Wg9xCOcp2ks4dgy+ExC 1xSq5mP11+daoyA+viTaa0RpLS5BDgZkOxuaqLZzn/Idoxjym00ru8DLXF9RmJ2WwLTDKSMnCHA2 7VlfHh7lOm2vrQg6MqQNBXiCDZ74A6bTOo8jzHVf3GvYfgBUm/W8pDRE7l8hdIQCCFQtsEFTVAH9 ZG3xrFI8Hgn7fFOz0IZo+0KPV1jPbspql5/YnThnnthS8lYEicqLpiiEjkh1dcpfc16m6Pnp5mij LR1HCaqiFIiimSzQEl/lozW33jaqjUj/oJmJ+zl6udUAIiBn+tySteD5CMn2jUACyqfDmMPmKIqy O+lru9852lcVCkfIVRuBtJzmKIKODNEgCWXHQEAQ4SuQrBupyfyhw6OcQG5sm4qaPyLrGxudexjf EZSzt9A1lInioO1xjnp1z1tbfDxKRX4x/Abbaw5kWyENsmTx18qtQo7hJbZerIm0oBOm02iF6Oxu pjTNB1tGkMSB9yUT2rObqOSHWi3upgRUa7/LSJDRFZezFVyz8C+tfP5da02xeE6MVPF4dBh9l9hO aIUWwdWIWLFONjPasZLjRh6dsTK2x4+YL5ujmAUp5cyefPD4EctxEdAHFyKIBwzF2Pvk+wTSNdca gQ2OXjcosgnClpIxj7vJ+iE0kSEHAOB4PuqLfFdGcFePeguRKwqyQQ7YO3XN0W8HkXsaFZA9NEWh 1MioMnYaPtjN36CzC6dgFI9QGSNoAmF7BYPsTPm+W41uLHzZAFqjMnZOxQflavv8kVKqPRkCHv9f 1OgnqFnlSvmuKMYGMB1SI2YTcmJh9gCl1k8ApyBa2+FHK4yxeZslZbUcHOTfKqppkvTDiS07g4IK IvBbP7k98CM0Ffm6wRzRqSfLBoquf7yKUA5ekss+Jzcej1S00leQDVANtU9tjkIepI6j8bjT9dad LIIjJEtAswF4j+LRCuHZAl13StNzcGpWqWxx8ozEHUePrLI7yCKUqJCsj1Ajc+h1/6oe0T2IQ/0R +mhB+ojWmSiwrbCevX8FR+fSR4nU5yikQUpobF7KcRNSW1Ij3coi57Jx2f4Vw0YojkE5Fg0XEHnO MhjsaR0tqhVC8hgC9uhKnA02rUtbKA6OLwmEWAk7avUZlM8u+JKwBXnScTwedfv39xxBmmruu9di GmRihjZuW2QXnyPJEUiMDYmE5igMsumgttml1nDX0TmFKHcAu9qGLJ/sNVbiaD1BPrKFR2Podf9q HcGKwgedR+Uc2Cz+407A49E6usBa14ea7fIgdxRlw3cD3ZCL9evbFevyyN3TtYKCM8OjHRWzofNF Rejqw0360dmG3tWZJPY1mYnQTu4kWQi1H/9VFrN3FGXTEbQCqemD1hGaVbKIToOPR4waP7P536J0 BPKZTdF7lA1GTWYE2TwRGcmBNTAyumIjOBGlvx6iRhKbRjU3HzyjPSclAvaldXSmiCfXucHOZAIT eeav3nuNCv47BGhTrKYVgtk4qLwG8Qk1os8X4fHozL41SB4+mbf+1agPEdqEaM3miizARHidNrXm nBryqjVJIUJ7cR1t3W/7ZmuSEp/41RBmn/NcTnQd/KYmzbHroU0rXXxHMEds9/dMpxUOytYahIJF I+4bj/+LBMkYEhHZI8W2QxIkPTJram403insRNRIbpRAJGoEJUVNUYgakanhpAYCBwdoLcAVqqB+ CxqLRIoUlGAGitPsoHjjiPfajuLsLQ32tLMP2msJUvc8YlbjO0bakN9S/lkeOJ6K7BCe3c2D5t5r 3fHGJyKYLg8Fvymbo6iUvZJl+8UHL7ChITIkD0VX8FnU7UelH3k4SHJeYEMoi+/4E1kzyYXgoGUE uKZAaGCv7SjIfhDstDYgXWBcRMQOHWlSEx9r/7jciK8jmMKnH5MbQYlecxSSIKFs0CBL7oOvo5PV r9a4VlCRgmQp5ihD9wgN21YfmYg19LZBeFQYX6OCYINttb3okwB324XHI7U6r69tJv0nhMTU2hRF oBGyTE5G2BygtV2SFX3sYa5PkOP10yhU9FO1X4QZDh4enTfrCLZZyi6gZBFXA+5HG/Tpq7eN3bve NjiNMpP+wm4/B9Vp226SqW/nPfF4hD1KX1OEQn2bV0Jrh1E2VVizvLLJzGLsx52GLoPRjtKk7Urn J0WNNS4fxOs22Cpa/WzZClgKnGjXuaIJPZpooDcIve56tTNJiMV3REr+hIsW9ow4GK4mg/nNZG1u PP4v3WztSpLX7ioKS9mCHVOtQPJQV+Y4nRPVyWuQDbMpioNsqdW0OzjsWB5/TGjryQBbIuVmtE3d mBME7Gt2ADEQfsdfh1Hr7M9y0BSdkEp1kVbrwOMRneabBYGdA4NOn6JQNzszNso5/bhT79p+Fsmm ht40z1kUAUbQRcchRH81Dn5eUwi00FS91J5yITL7ZIIFqoz9Bwe3h0Ys0u5QaYSi8qDk+KAQ+2Ro jcsWZT08Htn3+JVGX4SEntXl9RtA5+1bl5XXEpNYnEvZpUYGMBj8x53o4EkT8RRqjVCglhGhDZok uM+f+LGxdgTTwNUzCyOwCQVf+3zaF/hobXvh92laWbw1FkgS1q5sZag8TXTEiYUnPyCCjH7XRtDU FVDMJipkQmL/pLap7UPeKFBEQo2k7mIIs8EyRyLsKZT1Y/y8KsX8OdhE7VWhktqyy0QAcwbhmgZ1 n8Y6zMWNsD/Db4l4fjix0+QVx4FrYJ+Vn6lc09bMfP7/M/ZmOa7sypLov8aSuGDfvPkP7NHM3BnK U+G5dqEArjq1Q4qk2HhjTZCPtG9EJIQGOgAtPk/vsbYSkr2315BSvS6hkNUybzEIp1rVP6cQod1E 3JfiyHoUR1DEOxmKEtu+cy9E9598ff4sWEKwyX89Q7O971/T1BRtN4qOM/5r57+VCeSYcFZNUbw9 fqn7QdgFKdOdp6iujSgSFbY5b7Gt+URtYHwl6g8HWZ+o0P2RMeLclILicHuR+1xwmUS2Afgo5W0B RIc6ClRZ0iOlCQ6nviVSQ6C8zy7dB4XcUA+j9lJF2x/P/4vth/ndSN0e+IjsEt+jpamipKuzLGSb poU0TCEJFn75HlBRcXsw6k6VUpEcPFwC1gtaCDygxslysTULi3IL+kF5DSf86ZDhtwRdEmCHK4Xb bdA5fj508q88ezzz+YjL/n0+ZdxTq1/KX05hfZsE2zqGi0YRUivkHyuGgrVBOcInKixxE4V8gqLq w73u4J2RxbCdJ1mhISIQbhn2BxMlpX5Lk2nbC0fgP1JHlKCUm6B06FAqbOpp8/nISKt9HVD13Iyj t2eeYg0SGK634ShSOEZpbk7cNA12MyCp6tMUhd/TYLa5+HB5fye9HVDDwAEFVwdaiS9YQW5eWQ2S GOYVgUoLv+Uv1cjSJY3k6p0ggc0mScMz1Xw+qJmsW59kMRPSOO3xZEtRDA79prNKBbPBhdfzdl4b aI1aWmdJdLcST1EQPsgeXZMgSA6O3EoQqWudm6thl8GhtSwI3zJuw7d6/FRqsxeOMhWupyTxqFRc hqzTIviHMtCNz0ea0fnrgMLZP1p5IqgwEJcZwngoSW09cAk3Q9gp7ewGf5EaSZGyNthNNnjbBM0Q AijAJkkQtGOHUrVGolCvjMTq0943uvAkXy/d32J59QkK0EonXqK0weeDbffLCgmI97n7Ez+F6O3S Hn5EI/N2ua5NW7k7VhKfZdMUVryX3Hy1qh7tn0kNgDnYiMRx2wublOdm7T+wrT+37OqX31b9haMS E/tKkm3JLtsCH8TzC2xmdYwzQyOb2+7W5UgW4LWMCv0iZSmOnj3Tk61KrGpMbBqqDpecvZUDv0iw z6qI7csHO8XPPiidaogVwrgnph2S/V04PTlN0z3XoWBh7xtxt1lmWuLeLveyKQORF1EIaUw+/69W JeGAmK705RgZheMtS6mNcAkZsyZnuQ3+rbKO2O47mgPTSPkyAOGuEkG/JQJMC5TbCOWC89voROAs YKgqzHHRjHNhO1qQ5Ng0ktldFRuAg6apomGsaLxUPh9E49cYodpq6unZdbFtJDrz0PQypSSgBGyr wffdqgTnvwLmi7dd6Bupnm5Zs/pwGUqAG8hDC2c5BT2pEYgyAeh/cCCzaSrN3vevPlNV8MRYJquU cqaeagcnJBt8/l8NAl5rC3XvfIPxwDrSfLlwhi+rFBgNVyW5VLwkt93mN4fekYPCZA1QCxtsNZ1T FUE2O03pRATI8dUdb5C7Rnr1SACy850D70h5iALsRqY7B3wJGClzsEl6Zr/y+Sgm+AXFaemXL2Lo HrmWCW5cNaDiHSYKHXnNCSUwm6aQOKnS9xbEdD8Q0zXQhhiMnQinWJONFCBNG2jQGSoplrNIMimH BpI6ldh/4eDL6SyixYuvJ/iQhgaSZX0jTSrVUvvNWQIDScTPLMit6cJSVQaSrM3V7arkwNP6rgtD 8Uld+yFc17iSQEhsAS5txL6dUD8bPDDjaADpLJdxXaSmYoLQQlKWtn2yyNunF3nPNqhEEv2080F8 PlK4/z7EM8QH6pPbBR6SqKlMMpQMWnL+OZYzuobocGSYXvhbDk0kyVaqUOvwwYsFCJxWpU4rsBRL 5MCU2eBdRGX7KY4Shb1wFDsxFt9Gei8OFYCXHmtPkJrg89EpXr9OcQhH9XRRgjnwkYT5L6uXeVbP gUdyYM5E7cmKdLN5rSAwkswmCJzIgNNg80RN8tRoZF/wq2dOZQHPC6DmH9zmHhPUau8bLScDClYf bkzQefNB+JPPB8vpob7JaptW0jcUD7wkZRqDhK5ec5KWHOSVJcoFUEWfjhfIgZkkqh2aJ2mRpdta Ac4Us79VfDpbEpWJk7JkpMDEje99z3HqyPNbglqBpAGbwKdK5wjPOTGaBJBO+sXnI97Sd+2JcAGI 8Pk8hQjvRrwgCYzSUKrb5gmyXM5/B8nM5ymOxQUYlGviNwWunz+usesNQYWzuhiunykhNxexCLaJ iXGtZC8cqXHJwU2uUt11gWsDLE0y9rPx+SBnqd9Yr0yR63bBXjm0lezUK+0M/nlAdWb53Hh5OLti lV0MfJpDX8m15XTHYJOD58BwNiYdi+7tsAdahMSB7kRjPIYM60HE5dBYcjKpq0kaL2nZfXeujSQ+ JQA7fP5fIoFSdSV19ZmmWCVQHU3MlmgV0EZX6Wku0iizpEuS1VRCa8nRLSiqPjjAAhZ3rS+xc856 rbRzaTAmJ1CnPdpuS87bobVklpZSprVkcYGU0lGPaLIFKpPP/xeYLkI6ZJt3mkLxEmIscHjYMW5a wcQOCnWJ6w7OjbbtShiMDxndCR9XrrkkpHPPJZep74bObEZcPuEPN9H0BSAkOcii5Jrshf+qqLQk HZxEO3gdSiN1OZXttfkBUVPq2++WbZ/x5MCBv6Q5CyTCZYXVlQkOewiixg+auV7/7cBf0sG6mw0C DV4azzjdBlUTe6cGJUvj1PrMbBcIiWoX3rYXjnAExFdKxTRPF3pB3a8v+bPnzucjok7/miekr+e6 u/FT6DGJji+hot4mn+UWCwqxiwKkLNcKzoHJpKrHbG12H/wcB8giN4a+GcI6maWnscS2opKjU3Ww 2+2FI3YlV9JuouxQXJEB+T4hK8uCUN7hB0RNhF/mJWxE52eiQlSKifZNF1XeAjqRqJuG9c3P+ZK9 +BQ6TS7yBs/VNnzw4lM9V95c8puCyjfmhG7l+PnP9NK1w1kE/r5RtUBOQWoJT7NqPAEU1FgYQJ3/ mc9H6+n7gJpyhn/2XRSPU8k0rQvchWy54+Raac5n3kykNE2hbqCETPa8g62nAdvtmcjZOQfHBhSI KgxgQ1LhYtcrr7SmvW8AvMhyB5Sv0vVUOEfVmrJGPqcUn4/sgtbXtqMierlQuRzaTXae43mwfiln rrwdnTKyp3eJ0b6uuxAErvmp4w6Xb7HhCcge51ku51GmLZ00QoattFpD7eBsO5unEKAiyneS7bRL OBeJykrPbE8+H0Gcv8NMIEHbyPnOUyjTXZX7VsdfkH1oafDiXmQaTDkkzdPfhjh5UoSag5cLTvAF EG8m9xv5Y68CzBG3DTBY9dNJqm859Jysosm13XxQXAjTeDmXZ3TMA9PJJBqOGgiUxk1gkY31xE9R PI66bkbD7gJVujOaz8k4igNVCNrWvgvjcdJSdm53sAUFvOW53sSVgwZJqYzHGyXxgXzh+TTVkxr+ whFknjtOrU4OWlDnpiZX9OfcfJnP/xd+Sgd+aj11ldB8cpb8P3S5ZCFCoRSW/nkS1e71p9h9crGg gp67DRdd2NcYtRoubJVuZma0eUPaV/fTMu/2vn/FmS2v7oNh50pvSW5mqI0H/pPf4XjivgMW4Rrj 5NCAEr8eGd+3TEezX55Pky6SDAsaDE1smqJwfNFjKU/bfuk5n/auiYL5oF6WE5czIu1Yog0tTbQO Hyp4txeOVJdwjo/B8ImDbbyTeKnVcvY4n4/Eu751uym7hOd8nkJYOHsIZWTvIUA40jgYQ1ZU5GDU 5L2W0IXSWlLwwbDByyoLxc3E4nib+5yxSFsK6T1Q0qHjxkWIEUSXQx9KcxKQczAwvT8qGYHqQVD5 D9rN/IDIRcjjAgbypEIK8KiZikmYVb1gh6xOEVdgQp1KcUo4T12bqdgjZxH1RKtyDp65QOI/qWne YR2P5ivUu8+kbGJmOypQcg+2pnngRgnGMyt0OFQwQBuOS2qdl2zE5JwjLPMDgorBRfVI7WTyJnlm KgzJG8NLlrlkb1ZuqW61Ne4RlYxDlwNHStmPTGgHZR8cXgDcW+1ZjkuL0sKAq2zgoKFICGW1C6TP y174r3YwzOM5oIDFv63DWIAB9TkGJz8gSoa/4avQDuntqRkEvpTucwI7M0uGpVbCZLiPqy08kfHb REVI8cFK5ctEdSAzS6JSVZ9Q4y9k0uGiXtiymcbvxu5J3V74T5qYZE+upfPZeDjzhEBBbSXwpsQl /33n0b6zX+HcHJpTDqoxlHotc4qsPGmZs4uLw1EeUclw4E4pXh6KdPLNo7qsHeYZlLUhC69xohE0 66fsEyegpLhR3Cp3dXvfSKySMfkW0We7K3WD9igXA+yL+XyQuhRfTlRkBe9hf+27sETOWHMVM6Wk g84j6bkNd3iiw32nKTaolCwcSYcc7IQaJ6jZiC5IzFyoGtQf0g82quPn82u/pd+1l71wsO+Yu5xQ oPlgcLqxEq+Pk+l1Pv+vYFNqTA1J5hNCxWgV2cEC+yX+apsG8s2TfAZ2OoGn820XxuRLPQS6U6fr Tt2Q76ESTi5Ua21REBviDDKpxK11pSsy3If1wlFs0HWEVx+0ngqE7ZgKnxyVzwehZvYrD3sUVduf zlVlExXWyDlRY7KQOfjPZZgn8N1wKGGieqsOpwuNKhlropuZfTC8b6+rVTrVVHzRSEBYUclysWu/ wD95mlN92RsHB9SmlBJ+RBs4U3PMYRzNhCwv8Ko8v+evLA9nWkNeYBMVmlWy5Z5AKXauBrN9Rptt PD7VrTgSI3CrRMJIc5jEbJiDBeUnFwa+Dloo51YEAnFZGf2sI5TVVKuToSeZ9Tlwq0wUnka1QKUo VnyYu1DpQ+kIIAaBXaWoDF9FA2QE/frn5tCuUvjx2m8yDOa1Fw3ynSb0J32aIvw4i7/QIWs+OMQA cLoteaZzdUKdT7W6hgr1mX9gHy3FG4qgAr/K7Clel5VOv/SxE5YVxlInGtLzkSnDd+4CgOj5Ge91 FxpWwjUsw4uhOmAlVRNnKIBqWipcgUe3aQpj8j1VLLiDnU/7/G3nzlar89xvubJ5PPHKDVRdMIwc JZb8fSNCKxmILqqH3co/DWqWnbCcsz8WP+BfxgzJzvG2roJ1DkwrUasgnG5dPTSQKy0VXsnd0Hbi z6B5inVRqNKUZvbBayv7ZBqrEz6O22EmyXyj2k0/uU7UodnpdHvfqDMlgW/eehyUCkNij387tjGf /5eGNTvn45dqXA58KyGqDzuwfNkIbD9KGGWO4apxkCWwqCD2rRyMCqhRqcGiAiCiC8WZoCK+Glps Ah1OMCbOrkf33KOntu19o2h8K2y6g+06dK2l+zErn4/ILd9iBNA5gzzonaYoGAcpFy6umhD0W5K3 zeWD1hhiwpyEMUHgXJnYMEXQ1JsPHjvRKQfVKYSYO3U4e52YADnVJPpsMMYsjwF6Dr0rG+WZC2oo EGkEjUhQX/zURAdAr5kf8H6Gr9uX4g5FXxgHvk9TGIsnQn0JcuVygiaz41WmJ3drNtdpzIF/JWrI 70I7AwFnRhqvvvkJONeWUnP+QbGT/pN311E/LgcOlrh02OisxBeUevEFM801JTOw9XxQgPqt/AU9 /PkdE8RShAwtt2UqOKi2981nKiZxdbL66tjxwMUSxvNdJgTbB4cdntwx70UpGXxqV748UKvoYCYu YqOksSsSUGBjeVU/m1QumpvrlLLAwOY1Dw5Q4GP5jethkDmoL32ZwJ/X0CmbSSOq4svJUmq9LF52 1aZpwdtEx9Nr4JTdOQZOOj44cPwcq4Xkb5QKzqOZZAV4BgFAikqmI32lyfZ5DZuyK8mMRJk9DjqZ oNqnWua5tz6vQVOGeKz6dlXZyrk0R2UQTiBRND1L/M3hWtYLaZLBCtBWy1pFE5IcXEXB9HQGTNlM 0Zx9yirmmfGR1C0/lwNkZVHFhLZIZ4UC7FRzaVg6lV7n54SVottXKn9y0BI6k7x4PdVzcX5eo6Us lrXm5+lEUfcL8/MaK52HEHYijjR/WHhYuwr6l8ruWQLDbrfXSCl7T7Oxs6vBA8p9Uoctl3hgeFae Ek+DMANsNsv+Mh/ofNf35YPYHQ6xPLI5WIl3DhkRwGPv8xokYXKN3FpF9QGLHaGCpuc1RMLyYSmu 1OVN35K96ZvOky7XQF1fTs9rgJTB8COCfrHly8F74+zI9i37inN2L4ScZ3ehRoRIf0hZQnW4wXd9 n55MJG8v5HPQ6UngCMTzhNuiQZI/r9FRflS+bfkk/uzN5uc1NsomypNyuU7D5nmKrhOr0hc9YOXv 19AIvw69vFNZd7ggizM9pZOPMQYg0IX0HtyaG/Stk0lM316bkrqvgRGOH+HnS7mDI+UaKSU/iLs+ r2ERnq6+veykws8zbH5eg6KMcllS/bY7aGAlZ6xsonwk9bWcsfIaFOVErSdIDbfmg/MOewGdYFHx DJU1hP8nDYXA2oIoRMn0/VD3khH2a0iU0ajRTU82Bge76aEezpu+r/J5jYfyY6Oj/YU6Icq/Nj+v 0RDOdKIFNiuhSkEI12LYOMmuNLSAo3dfYyHMDzdWlktMfkLrBXzJOSCkPHQu8ZXJBaa121lckMPF zWYJrewYog1WWJasdIlpxHWzRNYQt7HQcFZE/7wGQjy+6tcGU+3uHkCvUVD2/neu1xBewFlZn2SH U8wE0TKpDUfnM+UHcpOqd7vSTOcAOrHmNnJqRkuAnHLEjz8Lroo0W/GomoTx1xAoy10FWtXlDsJS QHaOqwndq89rAMQ3LF8bDFkAPDRtfl5rkbj0kLonpvLWfyOQTRyenYtzeKgNRA204IA2NDMJ4xq+ 7vdeJOl9ErjZUSs6BxDKUgvxThnFK7Zldb3s+/ws1ouyZKs5qKEE5BjjxXPQ4engAjN5WMU/MN2d KduC+ryWILFmm6Tzu2syDbnooBbC7p/VQkayktFrATJfqcEtbMC+kpXYWijWJhLE4d3Zmpj0De6L 6K5JZFggOBowvVYf8RtIz3v35IMOoJMDjHLrRa+1RxxAo/v6YRnkvOJI3U+gd7IcdhgNGLbKZ+Zy fhU+yDvgDFGN0UiF0RQtaZ1TJ47DLRZVkLRIiDuBOFrp5BRCo2nRm32Ua3ZG0aDPO1eOe4zFIjBy bVCFFvhfHtJwnvu8U+WwBOf3JkMpgZJQNkdRFJ3U5Sb3/MzR+fWtRwJdRGvo4hgpl1AYXfNKMlTv L0+9/5xO4IwUXvNns0I/G3WiBHT6muhLDQoNVuX2Q28bndNi7DBjNfkcslNn3+Ivn/P0806Ty7Jt fi4yCCCNQoIFp+g9kDZri3MQ9XsQjey1WQiX+0GUXNjrnSSHTxJ+MglWki6sZMDHA5EhPYVnO1cZ G+DnR8xIxBrIyTfRUFYfhdIQ1gY+KTUfdFIjjyS7Al/3eafI5cctV6uoLnhktDtFUTBdaZlnCh7c ac0Fz/MYbuO1FiliQgAEh3WXjYfshdK6uqdTbi3S0oHz0vkfsNOgNfFDSWa4ej6XWdLrBtkYtYWH qtfjqV7DhpWnCXTJP+/8uGxdMk4JQc6oD0GHweboPaBGmsmGv/DdMoJ3SQ9Y/C0PGEFrtGpjNEcU zu2Lnjkc+M4gC8E4FRE5EjLwldip3UhROkBjk7r5TeYC9rbBYSTLRVrInQG7V+sIaQwBbOOkBJ93 blwWo/UJiTINBUUi5NdGUbW4cU3eiyail+w82uqO4DxafZkFwzs1DmkZ/ZZBlPDhQkxP9LoKgX8w 4FqAJZ+9hkpaB585gyTnFVkaC70z47BbGDDOQUAuB/61u2WCM0kNLp93YhxyiDR+TdKZn7H73W1h aF15ZktwQU3/dgk63WQsUZGt3s2OYusuZOlmE0QSYZokWCqd7J1NEHh6dcp5AswFAAd2Memjlpvl qdd9nyTxl2iYaIOdSIP0McSOeX/eWXFMfr+zs8pMT06i+NIouhabYjFSVfWDO1u1fd4yLMbSXVJz FIXX4liyvWiDn0jnoG69UyOugccGKAnyD4JwkTNBxsg8GODLwC95n6PBu38IwjXmPbXhTUR2WZ3A uEUB9kj7ayGhJwOoq8/Re4TtaKQTuF8Lz0b98011uuSMk9VvZT8KsSUmgBqfD1YiAqv5ZFFFbnB0 hGMZoFEkBtHjvE4ecoN7Z8Nll2IaYleOy65MHRrPDLE7lHOiGNuL+tWKsOeXwQ1iUxQF2bKpmGx7 qaY/urWI6I9hy6il6lMURtkUWmisAWnwKYJUS2fvFUylvWa29gju/jMnm0G2VfSn3jaaIiyfSWtU DXYcnSyJ4MxKNEQUZrshjKVpoFm07jvtnQWH7c08rXBjeCFteiGtup7ALM1Nl99JcEyDqGyCSM4G DyHhTHzWB1mVA2UhGcFCjaIDpq/+msDJLKq/c+B4dqqxP6YP2mgFQilSdin5806By4+OHv5/GW42 SIfcUmwUZefFEJIyEKKe8g6V5nl1qepJCVXN0XuYbfFRBYLGBz+NzmVV0ybNDeFIblIVglsTUTzn Bxj3NGK58Z0Bd6v5fAADsEtKZxEEy+4E6ibvDLgsZyKbpcGCyImz0LOyWYoq1pNmsHubKk6mgsCP +Z10t6mWCKBm6T3QlnIrPRebD97xQK8RLlVM+RtN25GMABy1INhcUDx0Gy8aVbzz3xgiMZldwtsi LBd1poxzWRLNfYKA9Xmnv3GW/UTSY1AMear6YagtU2FPQUCQd1mqPNoyXQo6iuhEeme/MXipopVk H+xESifAbidrIxPwhJF9LLNeLD8Qsv5pSVaxj7TJO/ctO9S2L13+yy//czRs+c+di61+3qlvv0rX hI8WmqL7qf3OfMsmh5JkjKEjaTylo5I9Y8OBqnTknfiWpRjCplDzwRfSOVFPdMwCLKX0CvlcaPGf 3BvMIdkwzMeA6Z33xoXAfn6lhhcHtXmayf5DEmR+3llvuHzvzcabHvL5fd4I6Z31lmXUB75pu2lt bZ76F5eEgV6SCw2/k95uAw3KVj7YuQ3t03PGsoF24uuTOiCWotrVzwYgDQaxP0TZ4uBeet0gQqKM LpQKfdAknd86MYE7v8b6vHPeLFL/ipAqSQB3s71H2jiRiIeszdORzvozke1Z/Wsqv1CSgHP0Hmkj kOAum1JdohW3LSQAUFvVQirnEsKVD4J3BrAddyuW1O2iLb1uUIVk0tY7rTw52ByhyzetIPl5J7zx r/UyNhYdeKqAL/schZF2oUsVUc6i3XR3E4SfdrO8NpPgwQPpPdJGEDzVYew+2IGEPwVVN6IeTmS9 eGad6xRNtBP2gStxM7Yy9LbBFDHQzoXAWigf/shYoE24e5EEf36PzzvZLYuj+hUjnQlvOXkl8p3r xhSIannlprWVrjcqs5XuB9Ls7uH9TnVjL59pLW0DNdgcwatydBFMG2pRxXTPUQ9qgIwC7mFltkH4 8TvVjQUOHtpbbcfdvVs9IArFi2nn/nlnurEz/90OQemqjen9xneaG84jynN0ivaIN7kuXfl8qzdk 23L61jvL7amPIMSyweojyD5PkLHUkV2ZZI9zrqM6DW0WxJRXKI/6lO8ct7vVwDD0QSWkVrrkBNs5 7z7vFDfcUGN+LSNAxBuKg9ayDkNtHdmMWk3BpLpb7mAfhmW2io9SzzosaBM30zob9hz87t8JskoS PgfZinqolZDwTiTkowk7EPJ/3vlt7IrpNKLOCwf9XWdivFi7y+ed3pZFBfraaWdhNxTXbYpCVMhk +XHmSwIso3iVbbfhyEcEFpqiGBaCKZqLWhMctIpyb4i8FR4lSngWpXYAEGGnw0KVRhbo6xe9bTBF shb4X/tuMDEbK1Ynx+mfd2ZbNk/XO0Wk/M4bHb0T27JJyCaY2dtGg32ktUXqNlogcEXNLrV3XhsP Iy6f+gx28U9U01qVZ94GaRLQdUre0E0S5YkH9Dj0tlHCxnx/1uyDVlGDTKGINaV83jltPK+/pwiv WOu6UxQF2Z3QK9Dm/CxK3S4y8Ny6o9YTreE7P+mvjYYjwgfbaCdvONMxqdgN8bch5anF3gYdSEj9 cxf4pNf9q3OEfIYDUBNdKcUJ5YdI/rl83ulsWeozX5MEAG+++Kt3Mht+GfBPUxuuHnjW0TY0aKIg myZp0ged6ygqaCe2+IfMPMY18+gsK4AGxhB4nz2ReKY3dF0yysn5lkZIhP68E9kwRVSE75spLQeH ztI5DWfwKJ93Ghtj7PZ1pZGeVai6zBl6D7ERbJhw4LU7ka9AFyPZW7S5u/3SO4kNM0SQSKsUemv1 Cr2tUXirUT0/Q3glJVUG6E9xdhjQAd4VYRryTmHDotcU0ddSgw4juMxIhPLczp93BhuWQ/pGYYE9 2/ptrr0T2LjTmPPn5TCRTZKEPJfLSN4VKclhWFEtWw1ICPb44ED1mlAZKYyw4eTTCAWttI8b0M1P LB+NB1z8zl/LjsDulZ0jDoaDAMCE37fwM75H2Iga6ve1n9HHnneOogi78k4TskNucMMrj6kmA7BB UcKVgd7Ja0+TdhPRV6QFPwmXBT6EGj51gBFy/hwc2KidQDe70nrbU37hit+5a1kGnEBhUVaR/Tm1 e9AgEV4WNJbPO3ctP0rLmiSEVrQ8skkKQ2wQYlKhMxQb2euiada8jaMKUVbNUVjLTko/2F1rud51 tOHWPbc0y87VdwJJzBHg6yCuZVbNb/iIWuDnnbfGE1vid11muRCp4a9P9QWKbp9Alc8Hm21/t2kJ GWjDU/531lo2Bbxc6m2K8JjQZmvSDwT9mCBGTVJUzUatnmis4oNjjs6t3rB1qYVZoKNM9jGU0TY9 wYl6tNoROWvvlDX8pNk22/JBB1I7SeAWaGTnzztjDe+Y669bDdyZ4Wf2O2ENXwpB9DSXSU3S/cQp kIOcHfRHwGAEih+4xzjGJjB0s6rNwYF9+UQCKSWJ303VYE7KD9DEgkhbSY9gN7f6552vhrNBzMdt ztQkqIDrAE1wRvVnY8/PO12Ne/U7VUPOdTZn8kTkna7G+qrAsyiqWJu2Gwx7785eSEYHEpJBFQvp na1mwDJQGqRt7srHWEgnRz83FsFrUOxpiypdsCf7WXzt1G7RH166/JK/kTVz+2DwxxO7M0w+v8L8 vJPVsjwbNEni2OAsmnchhWH27OrSujUFXLG0ehJttkVhT0wbEEO+U9XsaAMyi+QiDraQal4nxOiS KUNtas0pT+FJafAfitq4uDI7kO9UNTZ/eCIVItQ5cI5ObJHVFz5HHx//L3UR8DkaZfc0R+9xNgSo 2YFMo9gcNWqssgO50vYO5EBIytrRO02NNxtpajCsscHrImdazjrl7QX9ljyJoi1YE7A/P3FkfZSV SXJ4p6kRCMvoscl7sbnJCX7NsoWXOWH2O0vN2nNfdRHgjGXCxDmKwmzGDAAae+9od3eBxy9z1cnk TZ34SVFGyyttUo2Tg99sJxuc1Po5YTYsFyABCqWSjfMD6xi8KUtpVRh556jdrB8ePj4Y6PykgzwC z4e3zztFjf2C7zla6PYDFmtzFNWy6R6Tar/9tUy1Es4RLyMhIja1VjlHYaANBkw7a3378CAi1sQ5 x8LICTOM8odwtYNSiQKH250REPFOULtsh9GV2XYXQQBGIbGFeP6Q8Xmnp1kL8yvrJ9pn3mUUgka6 ZMm6W8HmXlwCAWB8LaMT9m1TdX0np7FNy/JancsH22onmMDyge0byo67DjP7RHiTqCR13bunVlFU yR5igshViIOmqEDjkeU12FO+M9N4mH2HR+gQU1LJpiiKs+kNlPq+cfYgWJiVbJkDylA4OYrtnZaW Zdo3G9FqNniz/5yM5/OJfsjnWKpM105kj0wJclWL0lI6jAgXfWelZcnT9UrBRRu00dY5COTg1XCp RVF2zr8iyJRcIYJTFEbZZIVsNsKkRVaXs0ImDCzkGJCg46kpilHZmTT+PH3wYj+gJ4NzMGruJ7DI svmGaR3vFGa0TeW1pLcNVhEJjue/nz4IDwEA0RQWMmc8HgSQ/RZpLdoEIOIuozDKxlWYkxRGBDu+ c5S6OTKWtaDtrTmKCtmJ1Jm69h28sYaO9e7M8SF2kaHxB7IIVs/GsizpMe/WVgtBI9TV6pusBQ7a aiehaJwkKC/h8aDXX+5phNMZRaGei+e0PcRmZ5X4+9WFKKV7ha0NV0nEFWf0mfcwW+zMs8kqTyUO joZcI0N+R1ptg1B2wgEQnIBTi8DeomxZBfSY3mh6NcMHLaRz3eep42jz8Qh3/A2GxDpsWEw2RVGQ Ld3k0a/tRCtfmhDLi5CT4BJOUQQaGeyoDfKrNNgUkY3MDhqOI0iMJ7LYQMGCZ/WPybcq7ad0Ro9q 2ZUcmiF1aQ6co5NIDV38kEHA48HFX747IrCiQ3XL5+jPIPvc/HbFQ5rX0RCFgvcq99Mmgrdaj2rZ on/W1LIPttfahqQFCs/osJ1QFLgqBJDw76T2vloBKtQSfdSjIFvlo7xJKIY0wI/qGZ1rtfEPbwXP Bzi/+X310wG3r3tq95DrWEnAooyUhMpTc8L1ntPUk9eCewXD7B6F2VNgyM49x8FmCQ4Hk6K24F+t RQgvTPLOhbEoDbAfBW4J3PYozC5bTTWSRfADWHsD9qDy5ThhGB6P6KC/IOygr4CxYXMU8R1p1JjQ XbPaCK5nA4zm6YXIsRESao5izAgLkdIAro8G8FmFGa1rzlGFZG6lDicgnkCQD9j53QCJRWN8SdR/ 7KKEMkBivYV7pIM7wOLGqHvi+eBuu0U2KQOeXTPyBY30MNCe8O9cJmgL7cjtQeSS8hgVMwCY0CSF rEeRigu5WRyeKtuuNJFhAxIKqaTLn1n6Gd2Umu+RRM3W/h5oo0pl978GwNAYHrd9UkHyPc6rbjwf bbfvcxvNo1Zv1b+HJe1OvVZUSa04An1sFEdKJnc8s4K0Kncbzu0Qnk1k+u4kg3Bw0EgdMDaVRQIK GozJoX/VfzYVIuusj77m1Ov+VUHqmRWkLhUQzFGDapck2cbE4/8kjLCajD4x0BU2Se+xtmPY55AA zY9pRlJe7EQeQCaIMQI0UeVKimLtLWcuctM0eJntJErnhwZhioiIBCb/rHWBhdOF7BlO76OeAr7k r8uttmcQsgaGqiy31ponHg8y/3YbbOo1nSh2t7uSIoB2N6nWJEuAvYm8/z9F+QSQoIJEYSpWkHqE Guk0Uiog5XDgz8oL9kTqsw9qYaCDPSRPQ/zXQvHtnFgXoE1vAHxJECSp0Z+oJ87BFhIsG6VqmAoe DxbSL/5ahppQ67c80sNoe8iihLSHyVbtNhB7Qi1dR/ikILYmKappq5tdqAKpwZM2KHgnMwnaEJUm VKSAUYCe5A9DbCtF0ukRX/J+JPUlA+9UfNAknfdP1fDHE49HC+liRlWwRSTxgGtGFG5PatMMci15 cA+23VkgqdvwJKDeNwP7jaiqrYJtI7pYg99u45z6TbYtENZsEhPZFGIC5mASn5Aere0RhdtIu9HI Ftt4ONsYzp9U5sfJlvF4RMe+8GNstkRvRc9IRhRud+LYYKlstcjdmttNoXKkf0JMvBlhPa5pW5dt +XBr2ifHbgD/Qe5XYCF02QZtxiEG1S6HrVBhHl/yF2W9KnuDOt2PEH4nrDS33IHkdsRF7W8uJDI9 MqltkkKINsD7OKuderTXNd/Y7qxEBxCrIo2oqA3FbEjQAch+BiJrB019gfZrpBeNjL3XiJms3F+0 kx1XzQ9aggPfEWBGm6S1m0RZeQiTedQlgAIwccfjUXPkuxYJbPVXIDmiYBsVAuqwOtavtGYFyIS7 w3BspblH54iCbYPXQMYVA+/XwZr2SSgLnBS11cZk+6Ruu1LIi7y3PywW8R0RiF1mXCv5YIU2uD5y ZZx5x+P/koYgJRCZbbtwyBHF2p2rqNHEiquopWsDP2Wz2Ak99qb/iGLtSd+kKg0NDjeMPOc0LMCp xprRed5U8dtQIEcaNdMlsLEUji8JthrB60slbXpHiC+EIos6ViOXjOf/tY7UCD9rt+XlRe0RxdrS hFwtu/8d7G/9QEqumLWAZVOwPaJge+kkKqw1crAQCeqDpSOXOLME7QgBa2FO8aOwGZeeFbVJ8B9R UXvyRutZIJJszQVIjJxFxUTsRBF4/F/HEeFKSGzT8FLbCPHZU3539YqMSJtOkmv7kiEgfKEpivDZ ZVu7P/vgC2lC6knC4xXKaGAgzkr9A3nYlS+RI3vb6Foj3xhyYzZor4EHyUAZxU88HgVIHmkzXkQt HURBm6KoqN3IhVDiLPDIvIzaRmsQyfctl4EaUaA9CT5CA1IDdnfmcXSC+dQbnXChWVuIwTlTBOL4 ubJ/8Ev4FJ1vzPiOoGKbMTdT2BEO1j3aqxkVohQ8HnXYfIrEdqO24T2xwzAbaV6iZ6/Daluy42ik 5IZ3vW5jZ40ozp6MinJpd/CEDZLJgx4FA/RjUP4oAwnRDZqWA5tqR/bu9rpBnM3oqGqn1UT3R84S BDvoivVzcvGFDwhy//K91xq8ydbyXu0IA+0im8lW7Tjae3oRaREgpvgIoZb2WljWzubidgebJfjG nNPRBEfWOeXIqE1YaxNqvqXQJalJEarpdaNeLfvZSWESNL6FPN+gdzO+AXUEzweTNL4113AWj3Sx tTMsa1PU56zWQiU6FN3onUxRukFjJLAwFhhyzEZmLNonUC37kRwszoYgZGvT3N73AqaNZgm8ngAp G0+XjZTaGYJH2MiuYw4fLBnpYghC5rni8ag/4pf/5JprOBA9zp5RnA19NliYb/e5o0SKstqG1ays FiR/m6KQC0lr+5rXHS7ir6YKJ2IcSBvcPAqPNvQCUO7+Wemp2CJoxXf8RYXsqScfLKk9QTBb9pgs PP6vA4k2bCcmP3sXzQabpCjOTkxGRrn5WhWTBkdS6W3fI6kbqXbGVEhWbLcqtvtWbM/6pvuEfKJA a+4kHmVoAi+oHZ01cAE2rPjhSyLCiCSv8h2MwQZCnCxaVsXjkaDGN+IPpBoUVnyOokAb+OgM+rcT j+Z2Bbbzd5ltC6zIl+ljzriqzaY/hWk0OI59sZ9KhagCJiwBuBW6n+fMBEQ63erIIphthtgRJSGr NR+Er9nn/2bha1LG4xFh5PvyR2ekj+0324wCbSD9IDTiyyiXXrYD/sawmw2lRCtqz7CoDW218221 +uDLaMHSG7QDFLUTfX+w17C9EDCBCNmvAhJB2jMkQiZZkOXug9C19FligFR6xeNBK/IXmA35fO+3 EjnDkja52QC/ejLyCNWl1L00Ank2m6MIO9JZiQSJxgcHaUMnLUkF4dz/J9neVNOgKijusQVwsgVI NJOcUZhdSfFvg83/NlzJb2T6eTGTHwOP/5ciG2P2su9Oi7AjwNUQO+KcY2Dx/TQSNQunEY5vm6Ko or3YgoShjA82RWeRwFVpyz3j7AocKROUGHq3nmXPMFu8bGpBzijMTkxpp+ra4HZ71p+Nlt1PQobH /5mtIcDFVD7R0YxZkMSO5OVCEV1udlTJlpzoIP0yGQJphnJ+FO6nFYANTs2CX2gHz1yw0Z4oFDHJ iq6ouj4syEWo/4zK2dKpa1OOydPtReCaUaS3ftJKPB5MUbrNIxbYMlbdvfgj7AiaNTggmgsernmZ 66sOg7EDaGUFthmF2UMgLamOcPAuZOuAikpLY53gfVOTviNPHFT/6uy+qZxNGPuMwuyW1GFLV3RV 8lcZrvHcaicVrHj+v6hpYGHUeUX9ZsiCZMm/7uHpGosgxqbdw/g1C9Ah22qh4Agb2sDP+OAZbQGK qnKSMqC01Tw20bkH8DPX51ajex++5C9gBNE6NhiU7Xwam0cn5OA7/hNh8yPOCD7F5mhFQTYT4SRW PRcSlC0tpc21WNN/QoZVC2lF2JGh46hpr7WvvQYL2IGwkceRyNRnr6FI25HGtetRY+z+FWJHiGEb sqgZ16IG5lLETpEQh8cDGuQtZnMZgUC3b71/hcVsiWfO6mg/IGD8xCaQQ/HjKiYRtULsiPWM6h0c F3Hu1rmy2muQzYAI0ZQYDyq437WjNbfeNqply0laOC1k3KqunplPhCaeW7IWPP8vLBveDaR9UMd8 jkKB7MYq/7wx9t7Opi2p+8W/mXNqjiLsiIRrmu7/poi3GdyvA7fVePGfeV9sr+3FgshZS+Rre2x0 wjl8R1DL1jKqa/hg2yMNIcLRvsPjUSLyHRtxk/Xlp9EKeZCF5zQdGk2pf1QvQUIB3YAjABzyNFoh D1JKY5opDn5kV9QHm8mxwa6BzKNJxVDk/5AgusbIW2/7l2Yd1aZs0BTtVNkeBIKv4vHoMErfOw3k s95vgW2FQtm0gso7uUy/lCwIHKmCIRMTAXSl5iikQQ65bI/pwwNB2nXK7rclEmzYN6IQKjRqgQ3z E5vdPHxJ1IDkXivb4P7VhP3OwcQMEHttZjwfhUffRzb+agBNfJKiIBvvdwJdk9HaRn5kcOTJSZlQ 9LQpiirZgzVIHgo2OBUC+PXcyakZHZqZUG4pG4pKZ32eKdp3q5VEJNuKYCPC1kCXywfF2AkUDiLZ Rh94PMIf1a9UDWoDFKqyGYpibLp6pXW5WTmL20+lsdpdrn8Nt15bYYw9hYRgnZaDx9gFivr0agQ3 i/g/AiIAwkGdNLcHf7RxpeJLgjnqytGkD5lYo8EqOu+aJaNxjnE8HszRL7QfQDVf1bUVEiEb646o S5g93RrGo8kmRC+jdgdErBCgTVY/KEY+OGgEtPKM1B6gkYG3wlaj7t8CAyJ32mUINDKHXjcSPSY3 q8o+BEGV0EfAw7E3BvFgPP6+01ABfIrZcI2BILPPUYjQ7tIXu5zjRhy5SY1MlxpTXkTZ7BA0Ik5W WtUHRx+vfO64wno/fKAath1Ej9FigxlDSTSt65wjHJz4kqDgT7gotDh80F7blZ1L2nTzHaNW/69M BBIa8zmNYswIQZAjuXS2TMskfXRJx9DhNRT7CoNsXmuZyCINvo4aALWIQM88dFSH6CJGs+NJp+5W HoT2GHrdv6lZrNZyUOmoojXO7zvZPx6P1tGvTj+PzuE5/46CbMqsJGo0WgR55aGyEbaYiOjqT/yk aB0xdMyC1+RHJWKcA6mQiw+RUTTWaKoJMbUfSCH+gFTr/qusZO8oyG70XYXflg9aRnPkLiujNPh4 UF27MmM83lE5Khfov0MW5KQFxGjexh40jGQACbaQV9eyK9TvWGtE60f64unRFweOuiEd5DI6MUQn GYJCkHMhgIWEjV9rlPDfIUBbbAhgJmxQdQ0CwULFrD3weHBk3zliJJTHd29thwBt9AjSHPnR9POt lhOTSy6jnrLh/HccZDM6GvMOHkGeYL0TZgOLtXlWJ9uPkx4w1Esq10ObEGh8RzBFjf5Fpg89zNAc hDjauGJp9I3HIxKkR5D4bxHA1TnvMgpJkEr50z2xu8O0Ssr9Oq2UkU1ffMeAEV754vlxcGzWCRpX rWQV4z5onXURwrcGMWUUhrKmEW+1HUXZpqD5vwi2cYJsypPBpZDvGBRp7xwVy2frI8S2oyB7QXce t9p1o9lGZIP6W/Y5WgAuaI5CEqSgIsDk2OC1flBFayH/qi7wsLu62JBAQxYOuJ+X17CG8R1/KUMC p+ODlhHkuKTHB/bajkLsWb4B7OCS9XGxEDs2pFF5bacfFxtx/Sw020xjbNPjWFMUwkU662pbzo9S iRCXFqpAM7OvBuch5khnp/EPRfoPqWeHZq+ht40ce1iBHM9grCMAXgjxO0EhHo+k6u69zyAbisf5 FrJ3hBdJzGd3mq5Tv9t2QRawF42atafr+e1Qz0+crFSaD56rgVq8KyVrYBqakLhPbI2zyFFMWdhp 1sLuXW8b8URZehym7mPWm4Wm4mJmnRgJj0fC2b9se1B7nJcnusMYO8uLZrkMW57ZdxpuImMdUfeT 0kc7xItMiUKTMsLBltEAdnYSFDJodk+HsZOb427BxSJkG6Uz6SyM7wjCR0FE0WKywSA156BWIXvM jcej4tr4CrHhUXHuV09DdljI7pTwy2vbFMlxity1maiBhCkCDcamKMZls3VdCM/mYFME1xZAoKlZ g2KkCtkLNYCGaifQQw7M2lNvGx1GlM+YFM7koClaLa2lqLkOPB5URW4WkmWagd12gaI7FM6m+sGm 6ICceptX1PKuZnNcdr1qfjtCi8yq0yhvH/zAPls27yLD9RNWNKwoerJDze/8jMziLDRijXaHSiNs hLAVZ4Mi7NGb4LutzIHHg9Ao969lhLSijX1l/FMMFmHKv5ZERWhVM91tndbT/0fAimr9XR8VmayJ ImKEkcvJHogeT+RAPZZR1piJAdHCDbYQf8GG+wKP1rYXDmzEKL6fRc7O7ApKbSQZueyntzz5ARFc 9FuEvRP2fHtrEm14751TGjKtYROF1WuQkbRcDeHka94UUYMqamXCBYpyERws0j4LaMAjC6vp5B2t Y04gXFOh7tPkmnjVfRhp41v+5vnxAOfANbA34jCBiWfm80E+cjvZigA2vILznacQNQJgWjrh73UM vYUjBAYGjoDOijVGcgrh2YPz1OlYw8GRbFBUoCYDMhIAoqnFnoBhX+Awssl/TUOzvXAEipA2ZOEN R1F2RoC0eqmSQYK1aooibkKHHljE4M5rd6LCujZOZJCDkxfbqMKgnr8c2QbToZZ8okL7x0qWA3oq NvhE5QbzL7bZJnDaaEJO6uH9LIiz1PRIacKgT98SVG23EY+WDwq6x4koBLBB2x/PR2i2W9vGoxvZ 27xUNuXk74gMHlEEYpoaUvcjSlwMYZBlWtP1UZFPpvJ/mR/l9lS3z4F1Qm8aQZyzF50qlZM4Ubih zcKO8QDlkPAtQZtkqNiWqw86ySFxRnZM3XCJSCGGJH9jIzP0+uga5hMVFrhRPoVDr7NHQAA04F/G Ly9U20Kv1SYqlNOmKCtxFTY4rA1TlUrT1oMQOoOCitbe4i/VKE6m2mTa9sIR9m+rD5B9sKJS4d1F evjm8+8TVa/cH2G5G0LKj3VNCmVIzo+w4QlmDaVEsCvZWmNlIwFMaPT5NEURuMLLcxhtH2zj7Xm+ AIqtmCaoJMA+95xQUGLaFPNqUMUwuwjkSPyWIHqSQluW87obTBcIDcjJppyp5vNBaLC+ATfg1ff2 uLKlUIqE5r1dNr2bNYHdrdJNA1CurHOZzOw3Xljqpncdigo+eMsEsK9M3DHr3J124xVGr+eAoAJq d0BJgRe3XjjibFNbK7OFX+X+hOWE0gwhxf08xOcj0b9fOq0TUMnynE9hKL6mgCQO3d7svhpconkJ LtXl3mwpEiQR0rZsMgA4+LYDpZD/AwoDlWj3n+k4fmJQr44E9Sz4JX8BJStYljZoOWWKnzHQbIPP B7vuV2lgAmeOmohPUxSNr0Qh0nolSc6T1aapp+KoklnydUIMK940sDlB5fLBuwITuW/l1upQjt6s eHPiBhpgGZoVTm4j3RbfEhSZhEwuKftgtcqziYtacAw0Qyubtr7iAoJJ9819c+gYyS45fm6JtOx9 lZHhLp69Etd7cjfEEFnSRWxnDYWDZ3bAusH2mPx2eI2iIFUXWNpN0zTddB1Ccfa+EXdbpO1afVCF APnQEDZrTD4ftSq/23AQrxjpyzMyiscb0cnn0H2sI6pT3Cac203O/ha+c2AbCfq4hG1Z3G1XvaWd pHaiGUf2cYXiaSdWJ8FnoAKvitDWpe3oQZJj28gsQQk24jhoms5izMTeQlGHzwfh+G8lSYTj6dl1 sXEkNhEUXKrnwPz7sNWwnlwsqaK3yDpBDp0jF+tMbZCbzMHBXNBtJesdzBgA1IUwYYSHRAxQC5+m 0ux9oz4TK7t72GACY2fuC3s/kGIbfD5YTbdUwBlZiAbyPcMD80h0vtnKpf2SNKWSEybRiPXCZZru pJ1D90gTuqksOXHw1YQzBE1tHBywGJL+REFVrvLuWo8IIDvfOXCPxKYjBCcLkJOtWJBP5MqtyyJx 5fPRpvsOMUErh5fcnaZQB3CxU0k1B1Wd2jW16YK7F/ojPJsuZE1yt1VRTDg4tyTtRX8N8gHrOZ3E mgQwBNo3PxmVWstZpJmUQwvJxex35Krpqsa+RVSRVCxIcCINLSTLL0pg3crM7zxFotsQPMeJ9MzT ni5LDkEam6chFbeuj/rL+o9yVDYkBcbn/0AInoYk55ju5yQkPFB8QNbKxrWRmooJQhPJzEgcBqc+ 6Hpau5Dl+XN+iMLng65T8tMJU4IQFdpgd55ibxs6JKbu7n/n7Z3vDkURA5zAAcJy4NBGUn0nYuZs 8FAchx8l7YHKORMnnbIMajEAujRes+OpJFWfQh/JaTZJpfqgHBi9w6kSd1l8PjrFPRQXcQe3QbqV zBxYSSJlUWBZm+fAu0ybqAV4sJXpxqo+UZHDjflIzZ59sIlCd+6sId78lUe1WBSQ9hiLqi79BgXV 3/ev264rhOJgQcFJ7CR1f6IDPv8vRRf+x+duHY8vWQ7cJD0UT3tfbaA+3Zwkt2UewHOgsW7TFOO7 mdrNfQefpgnlTaDfQKPI56M2VaZQRFy4bgu+3hFMadoLB3r3JjOVmw+KxbESleefmI/PR6yli8yV FiDY9/sp04WmksC6Q7LkqiiVefmmSFgdDlddhjvHrpKL2Uqm0GbJ+VJOzjxUIDx5aEIrYDWZk1YZ S6PmNa4e10r2wn/ZlMCn0gdF47DLJQAF+Qufj7IWP8nJGYbZ1WqPf3toLclaE8wNrm7Zmg4/Lcpg Jt03WX1CFhx6Sy7maaVIJag8KkFnGa215mZr/PzuU7qu5NtNJjvYdcruhInLobmkdKbpe8Jh6MYb aJmyFtlPxsfn/4tOYKFcdbkneeguaUIBe7LdREI8OlSsPS00nKnGjaiqWFEltJccCot66z54dodC HapObEjBt43TRPU5YnXaI++25L4d2kvqwit73MG6LWenDx3NZfL5oJhZ78bjmQ0wE24pn6dQvIRA C0VsPMjNyJ3wwUIBoUXjm+rl8cBgEhBRYePq8sGrvmCM9EFfki5YMIsqwJLAieJHKkqq0eWa7IWD SFMnObrGqJLTbkDnEuTnuaD22vyAKCL/hjRDvX6C9eITFSJSNoUCWAMhXFe691R5K8lQYJOlI5un GPbNkOAc0D44sOn8xGgX8LRG2RT1X1gq04CbHQOBUe3K2/bCAZqgyHKiqWrgKvjnYEDezo2XO5+P uDr1+8qbPMhvZBD7TNJJadJAxhxwhuG9oUPp9YI1pzU5c2A06Y6lkNz24RZ9oauYKTEJtXj4c9GQ q5u4gUl0qls+l73wX/M00tBApS7G5BufzX5Lg/N94DWpnv4XJnUTV/CcUCE2pUxRvxxwuaVFifrT Ls1QYBDPuhMVg1OmTvDiw+V+nalZ7D0OlFaI4KNlORTp6vwZ84tIUOx9/wyhVt0+WAgFrCsLBud8 5/PBevqlsDA5z1/7LgrJW62Ob+Y05SJRXExTk6UZzXDoG69pCqUDO11MBtudHCzFO7vqRB4ymAB0 oEFF+OzNIT0R+H/UK6+0pr1vpNbBgGCpfbeKV+nO35mGjO3q5vNBRP7LMggCgB3pj09TVBwfbEoh obdtJz6yICrMnwRRwQspwwssJ12rs1kVyun9uO9yPyf15KVWmLAQKz/QNBsMMxCh47rCtrN5ClEq VHpvMjJp18jkRGBLWAOAFvj8f0E5A5HQMPU+T1FE3in7WseF8tS9XGMZglKOUxlj+TzFpjisGHSK dnHwigFNOvIU/RuiC1Kixm/UUKAQs2c+qm85tJ1EkR7COITNcVBgeGLWJKu3jK554DspGv+3guDZ 6+dPe47x93gc191Qna54nS6ZkQAIE8ULUCC5+baLXd6RsqTFPI+D48HrRKxE7kWD4CJKPujdwUGc 5rQ8nqaaUqPYCwdxptTx9xg+6HhK5+Au0ryrmc9HFahvgTyYjvb19O5C+0lzel2PV5fgBRRcIBdC 9kF1+vEU+0+KI79ZJa9KSw1iOJCeUpm7LNosE9KyqVMBIFfdT9O82/v+RSvsKETaoGlqdVqcyep4 4ED5HY6T6jSARrjosBxaUG4cytgI2bddHsOr4zLxQlTQZs8+TVE4rrJvbRTJ53DJl4CodKYmDRxG 4PSBMqSyaCZCdj1k8G4vHIALJtWW1r6D7bvJCxnFmbn5/H/R7qLqEjT7fZ5CaLiQhusqU0ExylgY AxgjZ2G4O14OjSgBwKL+XfHBCwZnqyUEmmxK4famlfnC1bCBQS3scBtIjEC6HFpRTt5zZ/I1IJaz /ONkl+wMwwyUHxBN1Hf3juXX3m7lN3ajrBQUKG16925m22ygU2Tv3oGTZRMVxuObl32md0fK88lb wEramzIeY3bQoBL5c6g9EdxAaJTsg61pHvhRgvJM/HORVsW5lH/kA3ASq8769Q8aX/yACFzwLQgD cUpYr92JCuPxNsTodQ3vXcdVGFjF8E/A3RoVMweWlH5AQU/AB9t58Dc/cVEhie6cuLnxgGLXYAIZ CkPGC6XPy144wonhBM+L8QG4+D/KNc7qz5N/5jhnBj/gXwR6vB60Q2hL5BMVolU2iapjeiYs5y1m wp1+EUSrTPCxbKJi0RMaeHUThr8tl5P0ZDQRyJM/Fw6w74MTBQMvFEIzjd+N3pO6vXDk5KFMuN1B JYNzKwtef0KbzOf/VSOXdh7ah+W58aKAfBChCbS+V+rGNndK6MBevXPotisTDuwpIU5DUkYSCirl BzA+YOklzYoOkiYwsyj+QXEAcReiAffKXd3eN+rgkb8iPB0HlTTPqSfTCniF8vlI2OObBzWw5fY1 qsiBQSX2HaXOKH8o8ROVDqjnSV9Mwungw+DTFDvn8GQaCqDGE0ABibsB2KdcVYP+NeB0mBMUxyfK kbf0u/ayFw72HUPM2rn9au/OOhxAkvDGy6vz+X+p5xvhBRnm7XSGHpVT8+Q6cEDTjeqAX3qhiX5w pYZy7FKJMBsqot2Hp1AHdg8R9IDebOT1wPpAZoiq55OJiwqavdkLRzZMLKgsbjsOWk9A7dMho51o kM9HwOj2tZ4yhVSBqfSJCivk1GQaiwH34D9R42RF85xvWEWYKFxTVtEMnSpZIYeRV/LBkNGQ9MYu Y3qyUYRHclOA1KT38gYFZdzmVF/2xn8dUCDI+KAS7iRDFt+YkOIFZpUovH7rMwDk0Pbls+TQrRKM B8aa7hFzFtL0prAYZbTR2e7lnQO7SkUZYLmxlXB9gKAJ3BuwHgwNTgB7LlIxy1HNqZnttCvITEot v+RPuq9aeX1SSBOr/4R+qrIIYhD4VX5vPGHv4HT9dFxCv0q4USP9bV6oG44YQ2mRxQNa6RBEqWmK AOTLjCtkyFxccRhiTOc6AqGFxJ+TC1P5tC6UbSGBD+yhJ3hDAVRgWJl9mka/gxUMurlX1vNT8Png uns0rHjqQ853PyF56FlZiT8CBcsz4TyLZ8Iy2RFrY3qkGZhWOuwQ4lI+2AF1okwoDlCwokLNooja MkkE2Mw2LkxMdbrQtFKowwZkCHWssrmgVCCrKLUAhWN+QCSL4plws4Mczr53nqKInHDCtPl6yoRL dzzdcpm087csl9PPoXFll57+omY8B992IKJ06esQDI0jgs7e2HE4bljDM0Odbu8bNaYM+VR9UCYM K92m+w4AqNC5Mv/WaRq/ZONyYF15jpQTSZ5fu3YLCwq7x1RGWdmRdRCTd4RBbF1JolTq6w52im/Y 86YhDnkjLZQ2sQSfoKeQoafm4VPb9r5/0TZGokQKB9t2rZFARCQ/nw9WU/sOCyD/C0etO01RNI5D YZ8/RO3gc+w7aSPTmdy6wWWYRmMOzCvlFwJFXcExZvrS+hx5MZpHGgzcDc10EbMBQHp+GxrtOl5l 2+sGZCnCxKpUUirsVCVDNcA4lQnMyWT4Ae+H+PptXg3UIY4yn6YwGKdD0xImejANvgopk3b1jDIX ETCaqJi9SRmiXJsPPlGQdV2bcLpzAZ9ztFC2AXwjtu8Ku7e26ygglwMTS9w6ktet2wdFTxC64b1R zxHB56Oy7y/pL6jmLywIn6hQizDJmdEECHE6OVMjw7dUi2uf22T5REWAlapjabc7OL4AXlbTyXcQ J6TE7oKUSwcRb9FTRhK7YgEFTpbgAhsauvpgp9NOVmXbIAEFVpb/C8/Mo9AwyrO7z2vsVPL/t6hH 2Aia4HpqJV/9L3oeE10wkntZvEZOJZGcjiomUxcOl6EI94RWzAz9/OhcTTAkRtUVdX+H+uZF6+HX uKm4DPHsVP+afv6dn7MLwAX1Lzz9MkHnBelS9yOnRgSX+aR2TFcYhQTTMylItIFCoLH3SVZQBJCU NbEvtopckOg1Yipec6qF2EwONj04YfuinefAwT02rSvo+NXJuAIl2zwalk6l1/mBOAVLTQCO2mAV 8bFoLfEDBbDPa7hUZIH1zI/6UIwCMD+vsdJ5qFNEZvTkxaZzNzgsrFB8jMsHNBotn9dICcsHlSNY HRUfHLTa+1kpnei5CouALGYQIsoCo82yv6wHOt/1ffkULR+UZm2wCu+iXg/i190+r0ESJtfKlu1H vCBQkFu36XkNkYpZXsGltFjREh01q+7SxlVVcLJIOT2vAVIxgh3E9YYPl5eRUFtUw7fWPSD/Q3Hm s3ywxYbEJVSIG3zX9+nJJvuh/hMqeYJGIJajJTj6I/nzGh3hTzWivS2fpJ/d5uc1NrrbK1M/Q4oW tbsUQWH/X9gBgCflEhtuL2IKtxApjqZBUDzBH5DoB3vAW/weBHMbzAGKKtv22tTUfQ2McPxIKJbC OBoMKodqqBq9J8x+DYvKY+1pxw+KCZ3VXMzPa1BUEGWw2SQTRkbbu7j+EMShHDJApgpd9IL5meyE V8lY1S8Zq4kbfiaqWZypWmRmIs4687NwCKAWenuXjLBfQyJeJQyJKuNHDnbTL8Tx3DSrfF7jISyF umx+mPsj6duUP6fBwev84NLj8VwNA04AvVNYJwF9hhWYdnu9xkK8vUz1PPngTBUADVaagjLBjQH+ MbVDwYVcvVwBFbCMVm4M0QYTnmJSLa5TP0ER8gQYgRvs/O+f10CoPIGQFtCUyryfz69RUPHudx7N J6iQEsMDqM5pcsPnAHK012sMVCR1B7FqyaHki25eG2cN/CpJoFvnQis0GMStvlA7yoCMe1RNyvhr CFQcOTjRi7TBCrcwtSfg5ARRn9cAqEiw5ZkfIoN29vl5LUbi0uuEwLF0qPYbsZzWpuwOXhowDZQI WnBAy8I7b3mf7Iev2iFLbiVbcOon1iIIhmfFLbQZi6olxMItmp68FiIxP+TaFUaXGtRQOgtrCRpQ IPcXzE/fd34wl+B6g1pkE/RahMSiRdEI6Co/oWdZXgyBn6QVQ+BYZhIW0QktO5hJJV0ONkGoo0Ab i/itlBapA2eCUNZc6LwVtU+EgaP/0mv9ET8CI8NO1TQNapCcc4v0B1WMXquP5TFgsBMIsqKp+wn0 zpbDzcyq2m6sn9HoXLQBEnemq+mgpb+dVRjNkCw8qJGnwbfYOX5ypwTsQFoBXDO2GLKwRVL7KNfq DOk3XzdYQ0S9NdRkbFCJFvR18QfKAEU12mS/DiGUElonRlCkqmCOkvmcD3VpT5rvct5ntobdYrOz ISLSTjRFZnG27mDH9AlVUXikGuOAjGfhNQ+zijNFkKgYVBqsyu2H3jY4p8mtGIn0VA46hvJucwuZ W/l4FEf7MqIJUasgfmafovdAWpRwHETJRZnqcoOTNFWcrYQpFS+mRZH0llTMmndw9A3Ml0mlR3kf FCdpe8HLi1S+DoUJSzSU1UehdJeJ1x53EObt3JZThf4GVtN7LP2QK7SKIOMMopVPURRME0CPYMjl z5ZoYKQ581lJ54PxbAiA4LDuZSqFWD543wjcI8CXsYzgJtEAT6jEwcJU+uy0602ZpxDe7/E02kaY nBM6NB8sWwWzgodRAco0jKfH8DmikiwKROU5jt4janfL3cXlGMGQy979V/KhiLE4T+c9pM6kkE3Y gGwfrMa/wT5eTVKDJ3RPRWc6PPo6wXFUzm+yF1CVJjyNpHwmHeZxdZhRMMhsSI5z93ze2XEMqcZX UgYooboMNklRWE1z6iQOrMnDLgfd7Fn8QDrry9Kyd3IcT3/MThdwmYPHjQ26toONtbOMzl6j/sK5 HBYIV/hl5sVwDRoLvXPjivr2Hc4mywd8yTmOYHPK74Mf3Ds1DklEGl+7DeZiJynsd7uFsTXbjxdV igbbdIJOI//c2v7uvvTOjOOapK3goLI3B5ukcf5fKQ0ZwiERkcn3oqECbZ5JILXkLE+97vskqSA7 JMsk5JSOpJULe9GwWf288+KY/ebvI4kaT+ue2lF4TST4OZKqG56MmbIX96fDls8tO32Oovi6y1cw 0Qqeg4dHhTVW09CFOAHDI77dIBisZ5dkksHh550Uh9XK7bbkncdBc3RuxC5jwQmMWxRhE69yd1uH 3CAyIJuj9xAbuAiajKfHwbO72glOUyter4XWp0poUYyto2hIKG5cobgOmZkTviQeO/gkiKITGE85 HUSP83p5yA3unQ9XvPsxl2pFazgMsLfGv+AHqd/nnQ6H+Giv772GA62MO0VRkC0NtJmnQ0cmRUQl ez6H++bAK8imKIyyWT8bqATaYFOEIz/TCpwNxxMNSLsCyNIORcjNINtK+lNvG00RQkjc9D7YcbT7 NnUh4CGiMDuX78sf66627jvtnQWHKRLcvd9KUal9eCVtdsv0YQNvR/Y7CY6rWKl+Xj44KeBcbGir Mcwe0HCgCQMAN+fyP9+/OHsCJ+Ok/7xz4BiDKccXV3BeruBZPBLMOWFG/rxT4BA6mDzs+YtkH9PO 3X9r1e8UOMws4ueTWF6Hqibkn1TPk8/RgCSg5ug9zkZ8R8TfEJ5tPHg2WtmC9s1yEQwAWQ6hg96C pg84cfc0Yr3xnQFX5PSAlhArGZUlUOWzQNAxJuvQN3lnwPFea1/5Gpjc/WshRRVroBHz42mG3NZ5 pyXRA9kNT3yS3gPty1ZKq/vgJf0zEzC5YlqPoCAx5SeVjLRpGMVcHy86Vbyz34qr6Pa0zHoRzt8/ ZOH03NklPHHG+ryT32ySnzMb/A18ik9SGGqzrL9k58G6WnbnReiemxTq2qid8kB6574xdiFSJFEw h4Pf/YCzl6LC7NkZLUs/H+iTDfRoS5SH6Y+2yTvzrbi70JRLFQc1PkTy0b1WP+/ENx5o36VHOon1 7kntO++tCAMDiYXiGVtZl/d2flTXf2nNFTveaW/lokSq1lO9QeQCZRL2yot3/zrHH/v6Hf8JPFCg c3BFYuXA9M5640Ig2mjQJ617hRMQlN1NTCjNzzvnDXfv/i7vI/g/e/RutijQrhQ+H/0qVtdlDjHF lTuokSOqLuYoKmDLwasMMZjHbYDsUgGuEIINzZAFQUmeSOVnT2429EyntD0R23/eGW8WzWOXaY7S nSOs8yGFt7k+74Q3rnU/tlVguxQAztF7nO2OcIsVTpmd5W5zBC0by/zXJrGHc/QeZ/up3WTrwcGb ROdnPr+WCkhldgG3YAQ0zl4DKgeh3W2iLb3u+4G0RJtcbFdzUAEpYeeKmJv2553tVgQ6/dprG03O 7gHSO9mNc8Qi2zRYH/g3sznUiPk7s9qSmsWQ71w3/MTwDACyr/ngAdJAVTIlUeAXWNHVlc8HYo39 GAuilsS3jaaIBdosFUbqD0lZ+YRkvHdgW7o/70y3IlnwrzgbZMB8K0jvRDfstUULJDnlca/tXvz2 H9l7afTP08X2HmdDyYS92MRGa/fKBXqxCUUP2k8PsPhXYrNxwubh5Gvn84CgtyrbIPz4nefG+oYO azu6XW0YMr1dkLOd++ed5lYeqTzbazA6G895FAXagtT2nU2MKtXcvdxfKKaiKtv0SuQ7xw17beGw xmz6oHVEm4fzy5M/StG2xBIBFeBQOMT5fYXyKE/5znCznjhsztgwGnW6gn47MzxUo17j805wKybZ 8hzZqB1hw1vHOgq0ZZ1DJrqbLvfiV/9VMFl0gVbLOgy0JUBV9vbBtloieWHRUBgJ29kk1NktbPyg /P1owrIo/Xlnt7EpRpTaZkbLQVOUUu6EBrVz5n/eyW28efuvjHZDxMPj7HduW5F+IaqP1ZtqFEP0 Gts2TO1GNcCmKK5n4zTa0snjoCkqDdsA5DMQlGEwIz/dQS1e+N2gWYBTiW39orf9a4paI7CIgzYa IINFdIhzYL7z2vDH/qoegRBV5z2w32ltrMZgioiwM1GAUb0r0sgUFnY9L2sbvbPaeBjhNoOJqQ+3 eISeRdVGWycMmJzFxK4xqkE4Dy7mcehtg3SNkglLxicctDtagRkf7/1SPu+MNp7X7WuKUJ+GcopP URRjo6WW2bVw1Lqbn6C+1h2OjaRExOR3PhtXEUu0iUk/h5v0n1Ap4XTGWbTOrpvSqAJCqIF3TuKf e8AnvW6QiKgwkimoNEFuE7GqLIgmiOGfy+edzYY5TuVrq0HCs+Xq5ex3MhsT6URth+6yeHP7bX9C Y4LWCi1PinXX3rlsRWjwc37pNOZgW60gVMoFR844KcNeckUZFCREAy/fwgh1Yz/vRDbmapqbNH1w 5GxSvH2+qHzeaWz/T4hNelZJd4beQ2xcElW0I7/2IaVoO20T66v+43Q+8juJzeB1+LvJ0eLgzbUz JzBdJh0ZLroqL9ETAyHgD7Bq3hRhFvJOYeO1yY6Rbv3x3PoTARevqdT5itEi8sOIup7QVXyy2XcC G8N6msJouRhl26+0JS4bUSIAveu8DivZJBz1RCEADhenvoCjEcixwcPAMjWkLWDqEcTshEii+N75 a0XGbLjMJBkoyhqKR+fzN1XA0DH/vNPXiowbv679jDb29Gr/O3uNkREyfh0CymZTdqRaVSWbQBEs N83R35XsRqF3DDwayGqn5anKIgBiAVqDOaIOHmI+2Od6xi9Y8Tt3jeV6trHRE8eANc0Ncn6G3vlP yH593rlrDB+93I/Zhe3kqCT/c5KiELtJS4IweE5SSmt634j1aJG1k2sCvTPXuCQ7cY6cKw6+kPCR c5MYAqzjkpREB41/oTZZYHzi8SNqD5934tqtHQ04olHoBj0QThLEhnigjJn5fLDbfhWzAYDsbXiM /U5b8+goW7OfmhJ1e+2oZ++zARDsAWRUzDaFzv8XlAVPlVHkUgW90YTjnwD1dHL+zpQ93doRSWvv nLUiGV8QisR6mI5aK5RQ4n1+PuPzTlnjO34XIc8jP6j/OewxrGbTpmpJ2Mb8T5pzIPOw9sjaUFEo xD2GQbbslqE0YoPl/Gj9j1TJnOnnZt6gCEOX+PyM8PVClHEVuwcNBd4Ja4Q0cLdJxLRdEVM6OjK1 BXTj885X42btX3NEZz8gtn2SQtQIlTYKDDGyurSTTKICmVnSEADDlsJNxUJ6p6sVuf+Af6UeW3t2 G6Bx69z0LDSiOgQ9vQlrifGzSFdK7db8Zyt63b8CJNhd+2Dwx7M8OUntJN+fd7aaFSY0SVTPhYlH WZ6tvXPVsHrxVMKlbAupSKUMVgKdwqloIsEWeIkj+k5V49m2VeufPtySf9kjC79/blJgUKAesWcG TQdpR6qXwk6ro887Vc06FEZ5tEEArQwJAcxRnZuPR4H2N8Rv0V3oFmrfeWo4xriOcMzaHLEkqwYk sPfWgJy1WXftnaZm6SL4aeRltytsA+cfdCyWCiMn0oLzBdYRCp+LXnOzPtLKJDm809QMCMuTqPig dURhQFNWLp93lhoh57/aa1iNIMbaHMXF7PwoRci0antKS/Fbg43guOOh/U5Suyg2Jqo22F7jzkuJ aGIomS+ZViRQWnoGrgyAZstpVRl556gVaUEBYyy/hbo9YTtnP+mtPzA1/LxT1Ngv+I60aeiUqkNF 3xlqjg9MlcYgnKNcqoeRpSUHRGwQTTVHYaRNtHFXTZvDzWkH7Du7aKFgbpC3vtG37egwQVrJHc+I h3gnqJHtsNTk3z5YZWRS/oVsh/F5p6cx8fqV9hP/fCsj7+w0lpwYRRLf9H+GPLa7HxNnUeSJ/YB1 4RSFkTYz1ZnZpZ3Zu7SdOlgw9GIyUlBX6hIx70geIOKzr3331CqKStnyXfSUNrtGcIbMJ9tGDQ6V 78w0HmbfkBH0yui3blMUBdp0mzq5a/FG9qBqDkvZdZhvZVn1ytu909JuoL1OeO6DTRFhWatQc4zq 8ZCmAGp7YYrOrluKnFQZyXrboDJCLORqwsO49lKBRXtitR4R/uedlPYLn8UIEqu4VI8gWxRmd3Ic N7nL0sJv+2rh0/uIlgFpuwNFC1HZwmVJ5978xjlFrZ/7JkukBtk53TshYkpcFPSjmNI21deS3jZY RVJlabLNa1cJvwMExnSt5YzHgwCyfwdHFPZ6utgtDLLRMDtve71M1nCOOmpt7uG5yjB5rRYVshPd 37vgEP2BQ6yTbbbzOtT4qXnD9pLFo8awGquypMe8WzstCrIXe/xIB33QTuuAWNLx5eSGeDzo9Jdv ZDZYOj0X32k9hoxMZWvXxNNtYVFga+4VUHBEiTzzHmSrwIctRvQxB1tGJ5hGCZNQSLRWpoQjKpm8 oDL1eWNsOQX0kNwoowCF2hy0jM4+Tlnkxs3HA3bI3l9TBFoefjyfoijEHsz6iS7TFLXhrhPuGMDy Wh3Ww+4RYmRQg3tl4o84WD8E+LVzBCETH6Xuyv4TRCOQ/m2SdMkdUdZP5YwelbIFy5ul3oFztHBa ypn65Dd4PLj2f91p1Jlvt07bwxC7aKuli6hVi40G58mv/RMITwuNelTKFt64rdp88DTk3G8n0GM+ 1qHzSDrSCWH2PFEuVaL6tV2chB71KMRW9ajmKQ8qpC9LMXauqSvpbwXPB9ij+R0/no8563HdM7uH TEeWRk7WOQ3lR5ACI2togRkpa+3qXl09CrIn245YNj7YLNGdixwruHgm6jbQIu88sKArUvYjvy1x 2x4F2fIMQpNFg3c3oNHF7uE5WfmOwSRtX0lDJf/zyyCxtkmK6I6DdDUJ4xDnR+ym4KK42qx+lMCd 0iTFfEee2oVwUQ43E0lQhZrCHcMXUT7wyD8GGPC5tBsfMfLAlwT9x8n6UaMOy0COqX7PQIRHhajz FRPPB1fbrURq2Y0fTrhN0t9hNhJZb0D2x7+zbcMenfVGwQxMUkh6JAWC1B8bPBWpYHepfA2DgNLU 64c1GNzyiKC/ZxIFW/t7nA09RBlNdMmQIsRhdIzqcJcv9zn28Hy0375zWjSPWr1V/x6VtDvVWuGI R7ks1EaoGl1wqWKLZBWQEhHsOLj/LmnnIo2/8mj8AZU/JMg6ViIQdTDvP8fUpuxhnfVR15x63Wi7 8XKTw/DwW6rgSlOBGM7eeDwCsXm+xvlM1LK+9/97pO2CbBPWYlneLoMimpU1VIpmkS8CUn3lQooi 7S30WmamwcHnaOYT1C4qkPZzVy8wNLg0z0CdsUQHRplR0u6tRyXtKmOu65tgMRK6XKJC4FDH4//i z6rbDwnUdtdRBM7uDACKayDuPa6nsOFqIIEITKPKRz3CjKiTTZlLDvxRiaotvfRh+HXIO9I8G7qY 8F7GDyt7synlrPMMviSKkXQgETjKQcvoXJ1LYeBOBY9Hy+jX1QbgU+u3NtLDWLuxDjlLtquNovyq sbXunIhZ6AvJSYoK2ot9IwJ0bfBTOxFzspmWoWCPug0BWvVnwbSeAbbVISmthC95P5C6CPypFh9s r6XWlsCQZeLxqH7ke21ykrAqH2TNiILtSavMKSHWzn9eTIR1s4EY7bAuZyQ5ooq2Tu2TuN7hovwb KuaJ3ewG1nST8QS0z5BPT4IT0qOyPaJgO1O9Fpg1H1T0h14WL6ZzrGU8HgTbvwCjCAfbHn4ejSjY BgOIDiXujrs7G0m0UCLbGf88J6L7LY+wnq3zaNblgwNGzwrr8MUgYDTBrYvy/63AZBxAgnb5a4Xa 8viSiAZpPi7mNHVub3WPTqzKGPRnILEdYUF7fyP9IF97kiEv1o4Qni03CTcAAlLb4dn4p2FrVkNe qkmKCtqZjOwTcTJ3I/LzB5gZcDlOeJjl75YwS5zOTq4Ro4pxpfz2PBEBviMAjBI40kVc7+hZ8seH 75psiGD9isejxsh386jhZ3nYayMEjpC9Vvq6rdp2QWy9mrpfATrfsDUjCrVXVpF2dLFFtqYIepag zm4DjoD9nsQEYIxbKdp+r37gG/EdURNSaqzPoNQfXVR1/M+xhseja+0X4Rh5bbuY2hEG2iUJC+kd /1aHx5CrsiSJGBJ8PFtFUaBNd1nU8bMPFws5gZKbk93suXDWysK0wTcFLPt0uWvkFeBL/jqO9mIr cgPxJKpQBoaUlOFx/onno0rk98VWzp/akNLYJEWBNqzaADw2vSeASFb7cSmx7cSsDYsuBtojCrQX FxCOFB/sPMo4ngqdVMb5MQpBMZik80c1Lgnmp6pnk9o/Qmz2lpHiaD5Ye+2kbLxIsenweHAare+t xqw23V72CLHZZNMuV6XDFPk5fabIOLZnivI0HsSIsNllKxdJd/CGP3QhoTWOKYIp7qAv3jn1EMHR SvFL36jqbaPuGul9hZ0jDtpqQFtk2dj1gceD8Gj9KvnLCsXTtRHVs5uio2+Jo+pcWnShLactY5rs 8Yii7En8UV+q2cpIJfPiz2PAFbdS9Rg+QJzFhEZuo8AosjWbIgS0+I4oOGI9uxPEvtwHHEqMPSlm BZV2hEF29yat5LYpr3ZPozDIJkSrzts4qmOa/hyua89oiQ3XFMVRtlGOhg9OORoTOBg63Z2Znmgr 4JBG+DfpxE2Lc53YdJvHlwRRdpNivRRm5IEujP/Zg4rH0O7EBwR5f/3FFQFFdN1W9gjDbGH8x5J+ GEVHkleQUrKTaRfEFdprYUk7y7htJB9slqCYcbZ9odgRsH24uM/bonQzO1QiiG1X2X9ve92/2rTs +JwBqbBQ54B3sY36czZdwfNRccTJIp2gDbQRBrH+nKYZQ0eIF4FGLGToUHMjsi/LiLMoezvxzrCU bYaKfYk4RygB2OAtNsiVJ1b3R93jZFdbTgmNQv0nEBxPi4102hkiRwqz2f0MOrUBqBUru5aKx6Pm yC8tDdhN75uLzCjQroSwl1S84Q9dcctqW32yWhiNa4pCHqT01narPjgk8vwCcyeUmtGzhSkiLSVR JZ4QrVnpKdjiD8V3RMQs9vvz7D5YviY2CqaoFzweHUnpO0DC3u3DN9uMwuzEIptSaAVIbH/ySCrb BWxmv+528x8sSHGzr74nhI/62V4AsxETgT1G9XGwvX4WMkJwI67wIxUQZljUNiKtPLamS/qjWL5N 3HlVPP4vgh/BSmeTjXrjoxnF2fRrP2dyvSTI+jiOsM/H+tFCPKo5CkvabHmgDe+DLyPorhLPjx7b 2WBU9jk7DWgE0HRburURIlXwHcEUNTWOyF3jIGjN7kvRBvJcPB6pY/pOI7IWQkqQMrApiuJs1Pjh U2hOUTlDSsyxfqT/ikybillozCjOHtpiOQ8f7jJqOGTUhjwrk27F8NHo9GbI4ED2K35EgPYMOZBU zqqT6jUchKyFwhLTrXNbVDwetCFvaYT1dkiynPTOr/8ZF7RRB+z9giIabSuV0kqUFkHkOUysoD0j 3IiIoufVmw8XNXrmeNCKb8AXCQLB1FiFxQMusgUilUVItJGcUZzNriXqRtMHbTUIDibpaIyBx4Mg 8pazK0u1WJQXFDEj3AhyWRzTozluJHuPLaGKbcdRmtXi7BkL+bE0svYdbIqAC4aLmtDH0OhFWwBq +Bu2rfVnMc4WJ5s6kDOKsxNx/mvTP2NtqlUx66+AlmFlnMQej0dZ/3d4hAO/PuHRDCmQBLF3mRxQ aqRkF/YpckWivUhxet8MpfwoEIXQzgdfRZBspV44LrI9qN6DUgx5WQgHHwokIcv4jgB9JCU200HY XoQsUFKU+NH5VfF4BND6TmgbDiJsUJuiCDdi1mztydYg4OM4/2S8kcWfXFMUxdlD9NBGUAgHO40W EPBjqHbUW2vAz8DW7vwAowAv3cnZUjWbGPYZxdlA+ODG70psgW2V4Cp2GLP2c+FUPB9l/d/kNbQP IZrokxRSICnH0lJ32vqQih+ptNklM9fJQy3MnrHWCGlHhf1aDl4aAVehD7bQoDiGctsPtUYgmY9k qD7X2lhFrxuV/AnO2hTt52CcmnPpsJrdTjqDx4MA8hcaslGB88bYK4qxofmGo3n6zd+JvGROq36g pI/QZeFCWhFyBLkGGrTjDj5HwJHQJBDHUTmhLTX9NmUsMvvtDvM3Zv8KkSNEHq3CKVrlTlGF+Tlv tVYrHg84kL8k/TLcbFH1tCkKi9mdXUdnOxLG5jlt7V6nhbCygSFXiBzplDbOIq/nBzAKjRTIHtCJ FRKHDDXP+QOlJ/aAnuIR6ar4jqiWTdxxFkYrU/ubR/YJGJosV84ZhecjHNu3+POE0Fm+2tgrFscm eG0Z8xG17GkXP1h9NzrqHmSvGDlC9mOlOw1oxfhVCfWDmSKUwFg9SiCMSbkFyDYU/BFY3+Bo/TR8 R1Rg404DtMEG2x7gthC/fiILPP5f9J8BGEINx6coirGxUmiEvKwGSRcrK7DROE6wEfBleBqtEJut 5mxVxla/FLJ7g9KtKbF16B90FWrPrwjAKIU13RJ5622jWraCorx90BRtSqGwBrkrHv8X1I8HdgE9 pye/+VcUZC8WIQutW81BpBu05mw1t/CZBetMcxRzIOU0mu/gWy2dGGJWFSH3OfrBTIF9QIN7LEWo mwuN5M1e/4pQI136xqalgUtCXPOFEzXLr31mPB+FR99C2ehlDZgF2yRFQTZMBTa8Kn5k1i5/VgZH OXk6S9MXTVGoM6KikbDpHLyUPRB7dZFBK0UccfNndGcX3K3yvlutkBmIL4mI/Wwb7Tx8UIx9PrMI Mnq+DI9HUvRey8bboXh1UhHP1VYUY6/FkKgv52Xl6eCjzKPCEVrNwEcrjLGLev3/4/50YuwJBARW GakiOJnIguyoHwH4m9sDPtpolOJLgjliHnI+vWooCrLPebbpJPuD6ggej9SOfY7Y8sYqSsNTtRUF 2WVLb325N90uJTtVBGWVLJ2RWq3Vv0Jw9jYhv+7DhYwkdH6FL4K4WOU6qgh0FmwFsrQjBBmZQ6/7 V3AEAxUOS2zGcvZz1x0BDUo8HhzZ288jiWvXs9f3XUchCXKpXnQls1FX/bk6I8MK/hPrUpLZf2NG oLXlg+Mh55mQVadIkJBlo39dLcCywasBTsvWoj1faq8bwLPpYzTxujaolp1MCv1ca4PvGLT6L2ak qhQHb6y7jqIgexEN2Xd13aPSHeQPJT6fow4Oh+YoDLLFbpDFYfmyOIQXO/TwCBlBFoqI7MwRVTZR 2gDd9oZHY+h1o8aRymt5+6DaUaWZG77vRL14PFpH30SIRrLd9DnaUZCNFAeJSPNsbUiwn3K+270N Frn/jI52zIEkYnTNO/h5VIF1Efb4rKO5KnWPJmI3ZLqAkV/zVRaydxRkN5L6aWNog45siMRmHTGD j0d0mu8gmxYS5fKN93uQfZ6ifNZmVUtTVNzeAOJmHkBmAGElvh4KjXTeZwKOcPBllE7CjwgJywit dXD5J4QKzwCvywxmk19rlO/fITy7Vt78BCB1ty09QTackiQNtQceD6615HPEoyfTZNyjox3Cs7fM oH2OeB7ZMko7X72aWl2gPg6yWYJslK3h4BHk3jgYUUIb0N6ZWdW1DUlGCJrNcu2zaaOL7wimSAqs khhf3nM5KT+EIUnuK33j8Uhh7BvlnxGPzekn9g4JkCKupeES9X0UN37MFH4VYIRGpZyiEDAiABuh Dhq8dAQBdoiKGoK9NO60gUt/APaTqQplPSPeajuKslU0ogqaDRYddfGj4FDId4xkIW/KnyyhRc7o kxRCRrbcr9adJCoWcZL2Xu4EATsQm6QQm80DsGfV1/JTXzuzflaVrq5GYUDykpAvQ0af9eBbX0M+ ge/4UxZyP4PW0ZnqpXUA6tqOYux5xVhYroS6+bhNox2r+RkZy5XqTt5j6wjBr62j8+7us7pDwEgX IYs5PwfH+G04bA4q1cFwlXioebI5ZO5IKXRSCZi9ht42suuRBmu6g3GO8pJq3Ym5Fh4PpuhyjhpT /gUX8ruKIsBIYnXt5FN3iuTDLjeIZLpH548svtUiwAiZnw2OJz7YKpKIBq0KgclHNMkqLQC1DWIH CzvNeti9620jGq0FRdUHVWmhyirBmrz4+L9gR6xHQKJuXsueHSqNZGFoy/xx3aPqbr2NCbhoWcjE qHu0Y8AIyyGZtBoOtorgfVZGFXzt3Py9yp0l0XX5XCy7XtlMFpzwHUH4KBfxbYYH3jLKA308moaN ufF4VFz7pemDHh1gwjZFYSEb+Ueq7j8DCoRXr5OU+DlFYFPbFMUhNmv9iWLPHHyj9c5cj6toQ2aU XQEAkaEZcyLyfY0OAH/nd0S2PZybxSyEg6bobHIB108YNvB4xKX5Bq4THY4SgE1RFGHDfjV7+4wm vcLV0qT3GvdsQA5to0VoEfB9cAil5sPt8cMWCZZFEBhrKLZwiiD0gEDpB002j4xYot2hyIiYxlUC UXW4MQ30RlTMO78mHo9k6r4FIWEv3XAgaooMnPOaqZHUL/dinkuNs8EfB2Kd/CfoeSYOQbOfIH5k WbGSSsNBk3T2e8+gq6ksckLJSr2aBM2jhdp+SV/Ao7XthQOaaME0FRmJFXgMyFLm7Og1perT8uQH /IsEITstoJ5vRptTVMzerEKejGrbRBVCSAgYyfLoBcK/w2pb+uspDrQ5UZNeoTIk1ERt+tFPavWf UAZVtEW947N7UP0n7/wK+zDQxrdEJD+x++b2QeyHvYqaVZgvPh9JQ46vOBI1upMFPPMUmtQQe5T4 FaxEorXn1KzlaqOTok+yO0ghOpv9/hPfZx88IRmsgw2y/CpgcwD7nfuSFrsw4pi8+swvNNsLB/Ok 5B/NLpzeoNYw/ju/jLW2x4SraooC7nGjAE0rgqR5S7Y5RXVtCK/lnLeZreGfbobJNoBm6py714Yl hdaPRe4qVEHi4GD/BIh2Y1y9UF5FdEyX+7OiIMxS06OjSTNFfksEjCS0Js07eH6b5aMF+hefj2rb 37EAShwIde88RVE3pW7PUbQcqrWYLPCIGnUN50RkYpC7PioKmFhxU6WkPpWSTZO6lNhqGxsw607U H2BOkFX+yWs4269QXoDfElloYMsVKUYW9wIunS6QQiLBHyKFGJI8v8LKDAzx6jc74VnzPlFV/lBG 1AJCYiSD/WV2QolpW92ZWjnFJe6iBLf74PnJ3icaSolKP9DThCXHrNRGWQBVqqRkpcm07YUj5J9p /DQfrKYEoTVZqKbN5wMbrav1w+rKuRvHI8ouDMH7EVV/9qIMEftJmSVUcrUmrbx4kidaFWiaogB8 dmFtKa9Rr7wGLDMpJiSTqIL7FVrAkPrtP5vd1MaYQUYR2d83SuSyiiXdB6H/TtK8RJI5U83nI/ro +o7BQZCDJpRPU6hCwvS4r7HsxhvZ5ylnoGa4shYtwuzGCyvdS14ra/tg85Sg1oqiJebpHFC4I36I ujwBuIHrigdQpfoLB6kKvQ9b29L924a56SDvSoLsPMTn/6UXLQocoJKXQppTFIkjFKERgtPa9the XVputAHOVmru7hdpkVTVTgTv52DbbiJ1ORNAZNKJy4CiE3iLrBA+dQODPu19o8BAXRNeeBy0nE5E seRdd6aLzwe7rl42MtNesF/21bMjKCu4aDlPstJgQa5vN6rtxbLhskB98HkKK950ygaoyQfvCpxc 7Wy4xQ4ShNWBM58ntEK4B9hDBrvcyW0k2+JbImEbatmZHeJ0IgB0ERPDIkBx+fx/odzAX6jtq4+U Q7fIyRJKUWkJHcuZDU2SllSTKl1svC+QA7fIbIDJcxQVHzyzW4CzFcJHKnVoWftNg914yO9DG8L9 NOmYnQO7yKuT0FbyQRWCgUydJ04ak89HrcrvaQJAa6Qvv8goIEeEee7QL98IGoZqnoq7jp5zdy2f pwhekoRyTyzKjTQeIalzY68NSbf/n7EvS5JlV477r7W00TAP2v/CBHePQFaTGX2uTBIeH09WZaMw xOADtNVbo7AGVhwCKkDekAO4rB39R3JsGWmd3Nl90DRBPicZt6/y+SAez+V/raYOrQ6fpjAezyx4 T4JwqrxsrOBdsm47CCVVdS67Pirq6ZIDKMTbeBBvZ1EXHB7yX88VCkGiAqInjwxjIKWxabrv+5f8 39mrNgwd4hkVYqL6oF3F56MOwbeGdOGCLjfIDIwjtdfZyk1WTtmEzqoot00eCHYDyb01Q+fIsUwJ aPhgq+lEAXvQfBWls00MM/FM6Cxiu/f1CACy850D50hsOnoijDJ8UCH7xDVCd6GezeejTVe/sruK oheMUHya3mNxAAS409Lywhy1nc3QJrOMiaoTTZZsmkLWJBEmnVbQGmyaCoLMtJgFo0rXOu3GN52s B9pY6CBbziLFpBy6Ry4GTbMXG6zIBu55Z0qMTc7ng97uL6kbUI5ha3HnKVLc7l22CGapgU3nlpHn cOomTzaBUfddF4biOr2LKDjloeCgew7crVoFJ3srm2hl8G5pkJxhK+eoJQUFoX+kBO4WtSA1GGwp UagCBbpV+Py/uk5ECEJ5AGGJz1NIntxJpIlr/Ffa5ZdWEwqCOXsxOG4OHSRFVIabkw8ei1fId2W5 SJ2jdktdok52eIEHrJc+WZLKT6GF5FzqX/bmg7NMehvCmpbF54NTvPSvGBNkPLpk+DyFOiWTSBMJ JhMOR2Ur6QLsYXoc58Nn93mK3G2EE4TuqA+OXgIkfc7NGBPtg0lhAJY7Bw73TeqgYoJa7X3/EgYY S4SldS872A0OcbpS5fMRRcChOeKkwh7nKt7kwEcSEbzS3ukcgTq7A+AhC2iYioGOkU1TWBWXZdvg EW3C80pZ5vmsIfXtUYGiGXRuQw1qQbm87H2P8Z2mvXCgdc+UZeRefFAsvgf77bjAWuHz/9Iol3fU 2bfQmL8TFSO8KQQ8k9sA1uQTdc5xjzcBA7jrKUafqKZCsxsOHhUASJkL+W8Dwlw03xAdEnoTqHiN q8W1kr1wJFVaWBZn7YmDYvEBGW8ZIM7G56Ok5TssyFAbW49QeY49JengNtp0zbJRnHGa4RtriDgI +1gSHJpKLnXEBfdqX3CvcwYNrDAK28N2jYqmOF3OQFwcxYPWg4jLoavknCqpJJVUWDFkja5OFl9/ 4MnE5yONwG+cTmHxuNxzPLSVFH9pJzJORYJndZyMU1xy1OE+u6F66Sn0lRws96JK4IOtJ7BZWhfl tK2THDfed/CEB1Kng6JziXDy3Q59JZGaYedKCG+4hStksQw/cBJsPh9xBm4OLLmzczY9yiU5dpac LKRUUwjAAVVNi/tc0cWwlgtQdtt3gbWkvAWxQesdvDp+1jXUOYiJX+iUoLNZoRH5Q0MiSSipREdN GX5LVKIzS5eqIjluTh5LfZ6MiL5aJ0PiB/wLscN/jNxhPMWnwF3Sve4hKWpg3Z6d7LWKUyxnvtzT HLhLXlfAKbvf2S7oGz2qE2dSvH1Ci5V4FNTSKnXTyk8RFNVuvG0vHEEJ5E/OGvkZTOalneCGf8TZ eLnz+QjS/AsghzrB/pqnKCDfBF7P5endSfSqZ8ESvRYkBdVOBeQlRH5bJF6HD36QQxoQoQGrBTMN uXSjs/PDDWrynOqVz2UvHPEruaCSdV0IuWREvqd4Pj8QE+QH/KuLkC00IBXUJyoqjjcDptR1gSnZ q08AAf6YevnawycqRqaw3pbyHbyLsBaK4uzRwVHxnPiNbBRwSsFlp1Ke0wiKvW8UQVnHPPugCOpM mQyuQMvj81HxyYuZmJGpWb/lgtBpspmyYbaqSoZ8thfpcrXKwTno911PsaU7CSmtdR8ugKdCbAe6 4uMEYydIaoQcNnSB0TkrRDdLXGlNe99In5t5cO13UFzQILrRNU2bz/+XqgoF0amNY9MU1cb7Ugv4 AaiM5sauTZ6K0g2mVF7XR/1FketLPML1VFVKwT/vJrBUESQgLAA6HFo/6AzRcKFx29k8hRiVZgnL 9sE4cuf8Y9ZR1558/l8YZzIuUO494eqdp1Cmm2WVuvfNg8s1MAPY1lEqlcalXR8V9TglZzrvYMsJ oFQU1BaLdODyyOSN/hjtfHDjVTgfzbccOk5WHUvLHN59mk4A2NgdBco58/ngcLpEOfxjpLPAyz/z 9B6PQz0SNdcTvlav0pEYSqhKJu9cUBUUTWzbxZRLds0FWSlSqlVcsPeJlqscXmFo1omb66j90vlH Qp5TPalR7IUjyDwLKiU1H3Q8nX+62PE+85/5fFR/+lbJAcOpU7pD8xQ6T6KOj6s5Px4U3QDhcEK0 k2qTBaB5iq0nJY8rR0AOtp6gGQ8hYWF6AA6jvlemdCCYBRRg95Z5t/eNTnGxSffwQdPUUHbiKc7a eGA+KUmop1yAoGh8tcxD90lZ4qGd5mU6ij3weJrT/YJP5Dy9mhnYTwJvw3MpEz/PwY8nmKHBKJDo MCCVkTHj9jsvzKozpPguFbzbCwfQAgbiqA77YPvupL/CPJ90ks//F188ii6ta4ebYwtKasCdC/Xy VJgJkoMxRcQkB4MURM1TjAynOcCYyQcH9cwFvw+Rmjp4bZPoJ2gIb2SBJJ9Zi7OrJRW6UMpIgCxy DABv8YqCHjRpfid66pkfEKF6ryr1+cewsJi9X2hBaESJ1ASY8Nu7W8xxpHMibg91Ttbyum/sRCkx 4ZXu4OcT8MW7E2cx224smc567u6GiQJvHgUoOQdbzzywokS3xTzMsxiGZxDD8NyfjejlsUbmBwQF g2vULS3fRsvQBygW21GKrsoXJADq7A2vQaFLZEcUmz6qGAR+lOfXFq5Apd96S7+kSlDEgPAC9OoS xakrlDshFIfgv18ofV72wlE/GJuu9K4BdyP/NnQIZZszzm7gB0QRefpaU9AO6a0+ExVF5LzqIejh Nc28khPpRsrV4SqSPONExaInLNZVHtTVzVkpnnP+uj3IOZjoN2f2g8HBhVUeDIXmNaaC35heONp8 +Y0mBprvZipcN2orgTPlL2sqoTY2mojPpRd6U6oh3KfpnZ69kJxHT+1VI/eCnKJkODCnRBZE7wmK mWnw0/y8yUbASsAKPEEyi3XoEU/AphAQuFPu6va+f7XwkHP6YACDtrT10Fnl8xGT/ltnCJsAEtF3 msIauaQ9ZJhH9ZNWvKYJUwFtvIUagE9TjFdh8NS48TjcXgK0KivhT30DVgAVkHOBnGOMtPSCpNg2 HpNyfkuw8dg4b6vcwfB0pgdwgs3V+Xyw75bvO9nsgFP1lbyEFpWg4EB0fHdjsC4aywnzS71ZGsPs 7kzoHHtUyoGhM4GrvV0pnQQN1b5owTROhFnoegL5mwEw2/7JMkJVUbP7CwcbTxaeqzQftKAqiLFM 8vZafD4INvOvqByouD6u8HkObSppaZKI6M9cURPqUKpqNsBUihyqJWDR9VHBUY7iygli2vTBwoOU gM5Vd2pNcH9xiBXEU0wDyEEZtz3Vl71xdERVYg6ZFXPgTM2FegMRdQlpXmBVieJr+Yo3gX6CFbtP VOhVSVZYKkIeVoGjrXueequeDqPnZuFBYFYJtQecUNSWsMHbnWcZQSEOqwZin0XMqMZYB9USFevk 50lufQ7MKpF9mKuXDvRixbozL4typAYyCNwqk3bQUzVAToA2rU9TaKUj/lhLPk2zZC/WKW2SlU52 VeYc2lWqmTDkzMTBpgmxAS68LbWPvAsTwQRdkIa+F1xQLMkb2d83kvtQ8UmycbRDUtEA5XbRo4ae Dy68p0rO25GJwQ0MQsPKSkPttOdNhqkqJt7GKE6Qgrm0T1MUlQ9p6ZZ1B7/vOsyGJzk+DXi6xLZD 6mxabyYcFyemUl3oWFmpqtO38JlAlyrhqBBsY2Z8fqDFD/gv+ow4x9vjfZoD08rzvaZE4DroJ9Ls zUuaxTjTwKw4yz7HrpXDVL6HD06TqhMKMmUKAXX+N2b+iSMCmp9dfXWVNLu9b9Sb+m2o401hgPnZ 0sU25vP/wtOxKcyw9WuaIvw48KAASrgoGn4X9VkSKKkWPVV44SksiH0rh/CrNDnjYIc4wBi4tyn1 eeJygnwmbWN+KJaWARL18Klte98gLFDxqeU7+K5LVSYfY1Y+H7kz3LCAtyQIkeM5nELmJpSFzmFf vXee6nIJQoHY1RLGD66oIPCudCmi0ur0wVsuY66KWqly4VkSO53YJz8yYSFn0SEr2144IExRcq5n 0qXpfCklqnPbj7Yle5IbP+D9FF+/vasBPMxPnBkYWBrf48RM1RkuS44WxKzoFEecCcFIn6iYwEnT YdlTcrgMl33+d1SHP3HmSdqBFIECyDmKILyP3+Q2O+WDngMPS1dtotamDQqfJtAwjMfPgcfnIwzU rdXhUYB1f1Z6usKhj+WkC8EmSkbKTX07umddw69N0LfNVIRaqXL8GD35YAf5AlgiAV4P6aaEBUEL QrjJ9Ik0j30GqeyKChQ4WSJBEySafqgc7IDaW+36k0ouPh+Be8ZX4pKByiORT/P0eY2eKpwP5Tg8 XbwJzIEfc4+bRlM4wRUgGjyhXmOnCuq90RSTD5feArsYpiUDhMI2mCknysAzxlwO92UDDF/xMkUV wBxM0drUuOCgw2lOVmeoAIanXyaoAsaklSRz8EaNNToQ8uKIpkcKFw1J7f8oc2G3gNgCFPQzV1EG p16rKJieTlQq9bxs8GDgHEywLiW470SWvS12WkBQ7GRdISQxn4alY+l1fsC1EFicZlYcrC4+wS1j yLTw9Nv8IPJpPj+CFaAdxeYmJug1XqrAqDDbHfkmvqu6aUwhQ4Trp4Jgw/XzGi1h/bBVfv7Z9MFj 73Qy91SbQzL75nakukNB76LsLwMCvev7+im0Z1pLeoTLysngxg5aksJyuH1eAyXM7m5f6wdKSC03 n57XMKmiviUDlHHdB7xEwPKJhZMz72yYi9cg6XzQqDx8UPK2watM6/xZJ8RgzJ2BukCVqSVGOoCJ DwpMaHpw/H5eQ6TzFbKuAEOTA3Bj6o6vcxsSmNJP9vx5jZCqwUs0HZwM/uzd5uc1PsL+SpwU2VSy CD6n1ZSgrWDNggXlafOJjfaXigGyiqnjClme3XVOo8xmEICEoHsA0Zuh8L0RwsmxSftrU1j3NTjC +UN5ThA1fTDAHAQl2Xc6Uf7nNTTC08XPH7mlns+CPa7m5zUwqq4egxK+tcQhC+VhtoRRiRy4bsyv YVEVj4s6DcMHXz/oVxVSlsdCCJYHw0dcW1ha6L2v28JMetn3+VkENjWZMbdrxgw56UpQ77l2y+c1 IqqPMbydP+dXgzS0rajPa0CEU11ezL26StMmrkeaupIkbA/4C94Vf05Qb6StcPAsBKooY4ieWc5J Tc8KLM76MyDnDCHTm9XKlCHaYVxAqJVzQD91KRo6SS3/6rNj++c1FuL55SfQluYHyHTQ+JHHQXRC V9a3aceuCi7hNXYEZfM8P+Gwu3u8hkE8oeUyUIcPtoQ2avS5MAM545wKPjr0fxYKSOecvLbwLD99 XqOg6loNS0bM6xoxN2ThpBZAaeTzGgPxDbMvIQK+AJbf1ZfQa0myukMMM3oj86zleIrF/h3P6EkR cIqhBWe0VCzQkfbB91ihAQNRAhD7OEfBINMQISIygTKKV24Lzeg+r+VITBAJhrUIPFi8vn2SjVSI jqsFsn/BBHXzhe2ScsbSoCWeJui1FIlli5MdatU+QZPnK2sivewLEMASlpZFdEiT2QvSlw83CMIe LjpozmmHSoZI9TBhxNaV3LDQcDRieq1C4kcgigImhT6oUXIyJUb9qhu91iB5X/sEsWKJpjkKBB4l RlE0iT8oGm0HeCVvjeRdzCceycalpkRhtLpJnR5mGhwId+Jc0Dd4DOG4EB6S3oWLnrTg6ruUbpPu QLSIBvFdSQABV10pxGNwRbWTJn/eSXPYZsbp1R3LYnafHgm9c+aqEhxivRUK7c2+FY8hNd3V7qYX htg70RQJtNTZ7ebgYJyCRgbyCmwzuJ9TchBNlDNFwFQOSg5WZfhDbxsc1UXgkjl90EFUSqXc9Qn+ Kh8Pjur9nWygrjsA+bMpeg+m0b7VSVTGhQTMS7/svowmRP0ciRPM0a6ao3UHC4dg5FjhDSbpoZO0 ElyBix9sELj9lJttKLePwunO0uyqrKxxMPhbAs6AXwd603s8bRYvTzx9/rpBlpWhWoJVVIURHDeg 3heRC82YdO/75HXHKKLuxAY2BPI23PIsGOarZcPmwiUOuTRtOTYqVSi/3Oss6XWDlIztyC1oFwdL WYFnVvkDgNMwpr7HEeYIugRQH/E5eg+qk+Vku7n2OUximsdEoxvZWYA0qzpGc8Q2x26UGuCgrXZ2 z5mkummQg1BxChFeYXvcQQyfVNBvshmoetvgMCJ3tzdCADp8UoXAAhqzUUfhXJ0opQVzlI3VpOWX IZDR5FLJSYoi6y55T9ErTSa2+nlE5CfPo12vAsp7aO2FtGFqX/OpX5/Ne7ZC4s3VzmGUGrU/EjcO mM0ZN6tXZukw9M6Rw27BfXbSX1AsNIhz2QCmEx+8lM87RQ4xzk3uNUlwS93E3nCSwui6EctFZJB1 /42Mcq6ykrz7X+VWnfhJ0aFdhcTdPnh4jbgKoHUKfG7KxKBNiViuU8uXRFLLz/LU675PUtOJlFlK Wzk7UeekTyxlQfNlf975cVhJJhHf5Z7HNGbdOYri62X0uG5SOicUHkaPwznlJdleLq0iCrABooIp DEtoHOxEgpsTpCp59589QcscEJHOETIIC+vZtZkKFNL4Je9zNJmDwCDMB81RXqvL6nwC7RaF2P1W 0RhAQnZwlXsivYfYWEe08pQm81QZrTncRquLVp6ZbB2U0aIYmzjkkwRQKJaDraMNmalONU0ges8P N4hcwj9B2q8MzYrW/rbBFDG4Xjq7OSjuO8uxUGHiHFP5886Lwx+bvi822kKWcc+jKMjuvNhmcfnz JASwyF46pYggGW4x9E6K40+E9bMauV7L9/w5tHMDa3ezEtsK/KnJIij0PkaRefOosrr+1NtGU0R7 iyGL1GGlEJQkRjKZIaAiojA7j/p1HNE8C6xtKzVGUTZQa0hfk4dHRf5wzGQpasAju6ZkxMF3OtwT QlILzoUSFULuDfzc5k7DZZTkmgv6fwfBcrFQIpgyUoTPOxuuukzsLGX6YOFRpvgcNlrJn3cyHFO1 9F0uyuAL33LjOxeOqRrL+b14st+mn0BpUW3TxM+bJfvvVDjej0xme54+XLTWmKzkU9YLTrfE/xW4 iSwQdYrYOjqMWHF8p8LhVpNczsqqWENyV+ksljlvpQ6Zk3cqHFZE9RN7siByPqZ132rvRDgegezx 50eSubvhGdpB3rtGjmWT9B5mO20JRUYfbJLOfTYhr8aEFrh9cCCgfn7+4AWptYIF4XZeNKx4p8FV l2Aahezvk/lN49sSrMW67IlH1+edBce2yXcQCc5UlasHJykMtIeo3slbsossGgaRsxvJGcpnxY7s dxIcQxemtFsCltsFLBEB7T4pEI3S4zhfQG3GcwSeowggUoRKF1ZDiZN3ChwWPvXPV6fIJwf1PuB2 muxaq593Bly1buFTfETtpvd7aL8z4JgJE8ONVruVjihfIaw7rTKFdS8uv/ROgLPtjsYQkxIOt74/ wYCsbFufwJYgFKQPZ0ENaknLq2E+Tkzv/DfWH4kehcysDQL9nd02qnw90vy8s9+4bzzSlvguOkQr 3WM7irRldzr2FctpebrZAOxvbZKIz9QkRUXsriK27JU5eKQN/Qlc/1hJG6RTkt9QDPjZkBKgAx3h tji3l173fZLE6hp5DR9UHMFRymyinuTw8859Y5nDz22Gnwhu2ip3kt4jbSiDisScPB2xsAggd4GK Jcx8uW/v1DfmtfJi2sMH71aDl1s2ZURGBT5ysUwL/vQmXArYidtJW3rd9zNpyaA6UWZw+XKFu2bh LYOezf68M99+bTc8hm5EB4DV5iiMtBNhiRI85bldUr6YozE8r93Z0O3vvLcqa3l0GXPywaNIEG76 ooZXP4kdIbWkm9Zzyp633o/FIIVvPu+sN04RS7RaRxXHpJzuYULTmu62vT/vrDcupO9+I52X8y0h vZPeqqnLo4n/bDZfRycAGHeziRef+ElBQ4SmymMRwjdWuRkbmhXDzHHPjkDIyoxtIPtC9zqj22xl tkEc8jvnzePICkK9Dzq2z1wbCGbn/nmnvLHnOL8yNpSu2rgZ2zvhDQfSpLlwXle+ul2F7+JsZhAD u9Wz3/lu2GtLhRGmGhws1D7TRefcJogfqEmMFDpVqSpdh65mHpUq39luVm0FlJ2IIw4qITUIBhgA eXzeyW4IQ2+oTdgDaNPAo1vbOgy1O7ORmv32J3LdSkjVAnBwjJf3reNQG2f2SkpK0lOtPckbbE7p LdwaNDqYsLC8f+7sM6OPOizZdZ93phuqrSS+g67lg6YoIXNiPfucD593oluVscbXTsuQz56ODHnn uVVRVyC9OL02Qsy6TZHX3qjaZfJB7zQ3r410/kMbNEWVVQNz8S4ZrpQs655Prz90ZUZNGKcSe/tF b/vXFHUpMveryHyiyb3UFjnT/3nnuOGPLelro0GprFJmXFMUBdqNaT+B64Y5nlZJAoY9W3i0On4x brR3hlt1gaW5CILkcDGieYPcQSGqgeSP6w0yYPCVhHrQc2C3obeNEjaWRBZ7jxy0O+DS00WxKeXz zm6rJhX81LPBPkC916YoCrPBzyK/xqeoZG/JpvaQlNEBFUn5ndtW5ZM5of46fXBU9vkBTiC9hVI7 V7R0hc5vz5LiYgJ0YWrU5Hintt1cZIGJigGAya6koiLvxmV+grHPO7MNv+P+BolA4KPlC8J6p7Xx l0HNqAvXz0pSdX4kYOwO8cdCsHUUFbQVX2+g3mywrXaSsAVze6pSopyWh5T00PSFOl2+pZFOevI7 pa26CeOCRbANBqFtk0o+PxCc+7wT2rDT0t1pvONxlZbnwH4PsgWfQou23Q5k80wk79VdQxC+njZF UTk7TVXY+Pdz8K120vSapI9Qcccl+Vo1ArTPFoM2hbdFmIi8s9mqOHMoiuzmg06jeQJgkjLPfcBX DO60/OtOO79iezLadyobvpRQRypq+oFdPKOlbY5habBGdGCHxWw5eiyCjTjc0Oi8CFqqDLHnCWGw KVHoOZsSVZ+SWD8aD8b4nclWJcWKVJagPg4OhYAZE75v4Wd8D7Hl4fg9R2hkT2+vvfPYGBpN4bFu ia2MJ1cr2cEi1cEi7zS2e++PLnlTce8m0SJ7QaWT+6nDmgHYtTNHQNhAeQzLYHjWL3jxO4sNCa2U JSCsgwFnKTdIhQg2T8DzBf3zzmL7P/21kyAhyPf48Z3DxqrTJK19O2gttezcB8NnNWX9Vj96p7BV 14Sfg0nGdGUMlGfOfoJVUlG3f41G1NoAt32Ra4lSuQeQ+F0+7wy26l5MsxjhCIuAP/85zoZ6R+D1 f94ZbKzffBdroZjbpV7GSYqC7Amw0jlGlwGLIbnsEWRf7jYIvoL1197pa1/ILHkytX4PpILqUVvy 6i4wP6UaXgXXdYPXUkAi8voR2Wvv5DVrkOG4ZrDNweKjcaIXFrTPZ3zeuWt4x7y/4iNAaeF/4ODH KMruchfo1i+iu7C7C8y5pH0OFwZG2UA//l3QhuW7D46+7ouyJALz95P9c7cBZ/az0BQr6VHvHjQX eGeu1cs1avJggBSMNM7BGOXSPb/l/LwT17hZx9ccZaJPVvKb7Z24huWnCGlQBoEyLqifAo2NVIEa gZlHEhx8sJDeeWtcSKr6E8fPwRYSND2wialMlmGHyoU0CGAjcSm1W/afreh1o90mOUWKHo2cHCR6 IonGjPRcl/PzTltjZcIXkpq6xgizOQpR2MTWlJXcpuLshqnVk0hEYB/phDHJbAXeSWvWQqU0Z/LB e5Bn4eDqYZ/2pLoy2asMvTd6HYQTuNDytNcNjm0ZehUJ3hRHRaAGRFlD9Or4+D9LbDi1N4sidx29 R9roQRK6Jp7//Lm+sJtQWiq5oAe50jQr73fCGq9/I4J0H7x6BGWSkXgyAzG6qWd2oiV0cYBQzrM+ KsvkOrwT1giHZWmNfGENRpwpCOB5/Z9A+52v9utmY4cNaONxs5F3uhprDULXoCCmEOnawpREq1VV 2PA389B+p6vdOVo0D9bgN9uJG2kAjJz2THsZpP9RjKPD5AF3hie1Ko28s9WqFGo6qr13MOh531Pe ubW1zztZrT5cf1tH6PenB7EelbNZcwI/zWWAAFS3i62MlQwTscGS1RyFkTYpM1NcYg42Rx0FQqoA Al0zsd1IfISACslmqBi4+RkhEe9ENWKG1ecnoU9ChiqNbEhe8Ov2+LzT1AxH9rT7i1o1fve/s9Sq +8KWVO4UXf9uhEoeRSYIQmqKYtgIIm36l9tgBTZaVKFthGSkALUuYTzqrfMW39fJe2oVRbXsrmR2 EtbPQVNUICPL4Blele8MNTvMnh4k/OB7S57SvtPT/KkkM0xhanNbFkNSd83chXuz0sg7O+1e/VvI /n2R/R2+SJBPFH0Wkgu7GID7vF06KwG+an4YETD6Tk4jpIGrSOBjDtpoJ+TqXcwrXGpRmJ3Ldyn7 XLVQJPRSdovC7C5QrQ7sqnPJYMd5Nc/6NzV6NEUxMJs7rNPxlINPETp2LfFSO6dU32C80seaV25H ru5elYDb822De5+gI0y0D4aIOL+ghEdazng8CCDH951Gla/W7xSFQTaeAv3K7TzXvLhjiPxbgW3B Bk1TFFWybYrU7uDgXaPKwlqh1FYaJw/d8tNBgWvj86iKaz7e2mlRkA3pmLN+Es0qOWinAVlBJM/Z vwOP/xcQG7g6HUpQxqCJg2zijlHP0TLKbXuF7VxH3Stso9ky6u9BthDMqBoR6MfBD6OFAlInwOic SYNXJXfahCU7zusbY8s0oMccR8ZEk1Q1DlpGC3UWUs/b5uMR7vh30wjf3zx87FGITQWzBGa9TRGw 1D9ueXqLkOyxaYoi1MhMmqLVfbApOonHuePVfKxpoLzKYBy2nvCb/TEdV2X9lNDoUS27EsIG8Ugf OEdrQRqAW62ePKRHIXaqHhpRswysjLYvESusZRdttaf52LMp/MAEyEEjFQVV3mk9qmWrUDvUzB59 3NDopPSolUs969xEGzg1mFSfzH0BkpoVNqlQS/RRj0JsoWqbxNpaIc2WMTbiOF7842xiPB+wHX+x ZWkhRmahzVLId2Qbe03jYJ1J6sNM8s5tgSCWdNC9h7VoexRkT62PxM3GwZO1k/SdTFS6mpgyVrxP BHDWz4LACELwRxai6HWjlcSaiJDsvBgEkYEGDgPA3jrfMcr6fx3acK2aN1nrEeeRikAp09qCSL+8 smUfqciOGdFRRl6kOYqDbBbXNmW4OXgd8mymBVoWuyIJ1qHURwShijAyYP/u3c86ZI/q2UumsJMN pAmWnvo90H7bbB2hq4Dno5vt+9RmlQ/cVJukMMpmdy2N5JkIQl2LspMYNTIJyD5JMfMR221OAUdn vQZL8+R/1sMY5649vz7vQFjy/YxuWsT3SKJ4a38Ps8+XqDtrBL+EGsBQSovVSrZeqRvP/5fthuZR q/mupLCiPanc2shD57lNtSwc1udXxeJU/QhCtKwf9biiTbmMzQiJgwPZTjy8oJKA8kfCVUdB9wwo +6YEYp31Udqcet1ot3GbTeklT9dLPnNPuw/8KGPi8QjH5mA/1pIhYPY0Rvp7oI20nzU29FEyT6QJ I2aqjIGZgIlpqrGdP4MLKQq0hTnuIqp3dU2txgYBlrFMEbFM2hBXHCJo6PJEfHwpqbLZo4q2UOc4 dnwwiBbkqsXhzxOPRyTa782Gx9tunoz0CJ6NOAG7qguIDSMnc3VJi2gpiiFWJHGsHvUIM6KrjWpE HFgMZal2MtjiXsP2r2B2nKsNNSNuwVzWhWfTIgBf8meIhKKoDbaMTnyqxsZOBY//FzhkRhTaQJCy OQpD7SarkuJmHJI3Voktu9jfybaKT1JUzzalDLY9NHj5qJzYkU1J8tegqNkI0Dpn0YJfV1atW0xj 0kR7hBoBAAgcY4YBs7oxXmuQljb08cTjEUDru+aP2wr1o0vGjmJtNkrhPup2lHAJ9aL/dJDNGiwr 4EAaUUF7LjmYEX68Ur7d7H3+N5hxykFAmnyw0kT9t0bRRIIT0qO4PaJYOw8jG2cfVPOH22VXh+2k bCOMtW/KxgwYOT/QJjZFUaxt5Cxp/bLmP7PVZzN/ZDkKZ9zYIqyH5ewlLs24g51HJwjGWZyY3Z/7 q8ICb6qIOEjebCzVSmAMCxpfEvEgJXQkdhZqT0PNo7ybvFQH8toR1rP3rzYk4CfAntskhQjtRAYE OfEKtvMY9/ZvxolcPS9n9Uf1bKApIJGFevYZWPkEZgaok14LHaihtA2AqoIpIKmgZVbG7R2BWzDw HQFitEuUdcmuBAg4TtHZdYlf2M//weP/IkPIGR38y+p3/4gibXlKGJefndqeLCpKsgMjiK1Mlz0c UaRNIeZGo25qQE6bIsDWWqM/Gkl+m34xIAOw105DgOfqP4HawHf8CYrI1CLnoMz/3GZSqcPn4/F/ wrPxcthlLd/TKAq01fC3Mr/IEMuNXHa+qmJrLEvZRhRoy2uZQAUbvrCQaAWzvXamfWSKJMCuGB4q 5/OooyX2Gvzb+CVRM3uydAjB0QY+04/IQuco4GzTeSHj+X/11yRF1oGM8EB7RIE2sJpXUYzULKkf UFGsLZPMQjJhgqwjCrSX+rRAktug8+hke6BOdclCrgqycSIPEm3SLgrRLWeT3D+icjbQMdhjlKrh YF0RlEqM3T/x+D/BNQghFxWovN8/Qmy2GUxddbqlcjaniPk7TyMWDzVFETbbFpBaHhx8HQHdNxor SL0x1GIhEhwVmOD8AKpyodlVbxsto8QiLT1cOGirjb6GmiK7DzwehEcrf938sOQCVdmnKCpnS8y+ yBBB1KxkkIiEIM1y2lqywY5HFGWLRjth3sEB75GVru3RCKzkFCFwY7KCtk+jhiSyNZsiFEvwHVFw ROdqafruq+kLuPcSKxtk2hEG2b+CIwr+l+fAjoNsE/m/kFoWQHkazYdLCy0zm6Iwymbaf6KiOzhX BHDOmVT+GBOVkkYH6ragFoO4ol1M7WYAOcIouzMRqSzZ0vksJ2H8T4TLetcPVP/xAUHeX/b3zY8D EU1pm6W4ok2sSGpKRSA70qcXkLjFmdJW/APttbCiLYskeXK2L0/ODaLplgXQBMCvTPp0I+U/MUKm 0ZFX/bcEfUIepPREpzwRcFAIdb7L+WMl9X9COTwfTNKV0uDFdj5m9OG7bYYlbbayV6fBDwUhJ70P qEoH9pQcORMgUMxFZqzaJ7AfW9lz31Y2RPDBfWGHqG3Sd/Av86Ygxvkxx3j6a/62QUpbZKfMhjYH S0Uw4+rSlorH/0tnhEYte3khckZhtuSzSzbNRwggcYoI6KXho3JaWI5qikIipIg0U87T0z2SOvbv OZnXtru/Yc4QZgMpD/LAz0pPtRb3N74j2GySq1dFe3pFG04tM8sS8GxBPP6fwiOA4vrNRGYUZCca tQyeHzqQ2vYDqUomQgfStANpxjRI8WglOlLro+w/4cySpOx/Dm/6F9PPbfwsMOaNRiLxRyogzLCi 3aWb/QyqjPQJBh4P4lXx+L/k6UhcP4cxSuw+R1GULfDPSDehXaMb5bgQgqc27c6u3zfDerbutUQd SA5e9V9Qz5nqjMyT7i9CcDLF8xYKXOlWRlh0wndEU8REZFiBxEFsfe8tx4hzlWc8HlFFLoiNaw7M pXmpazMKs9GUIIfWuv25gD9tSX/rdrGdvKpZeDSjMHuI/bjIYOPgVciTKMDMQfabJ9brBGhNxGGD dJ5OEUiJHxGfPWMVPwqt0rFAAyfpPFilunr+3IrHgyZk/0axQZKl99uonXE5GyFkH+Pute2ICFRt LXFbqG7YHEWgEQlEzURnbg62jtierTQiIHO9FvbikBf+UDBigUZl8RENJWcUZdeuc6hNH7TVKtUC mcePgcejCtt3MRstGPJ5bIoi0AhgGDimt8dHuWwHjdTqnf8T81fDZ82omC07uzFY0+bgJ/ZGl2gt SdHCQoNM0gxfuIaq42KULVI2pSBnFGXzxEYEJz0WwqCZ85/LRZabuTU8/l9yNaAY6xMczSjKRnwA 4podQWj8b2upgbCQdDAtegPrNAq1/JjJg67pg0OPzhVfQabFFC3CsngaVRbJoDj4ECBJw8F3RJQj ntR6koOV1wromiyvbT4eobN+xY/gGaOVZFMUgUbkL0InJzuwd/fDaC0z4DwHNrUGOEVRlC3F1XP+ 3cHLazicsxHX6kiDfOQTypzTi+5YWeIIqmUTwD6jKLsxvMZS5ADeijRXUYhhtoYmFZ7/T+sIv8vM d5JCAiQZ2S0PBx+dYM6NNldLPkmwFbCtFmuNsGi0yRXl4Fttjgz0P3XG8ENXbspCjh7gtWCz3Ula Ra8bFfzJyJJJFAdj1EBu3eh9fMcggGy/ExGG6h5jryjGRqmTYiPOFiHuWRktrX+NCUHFCyykFcFG BvUgZ2KXloNDITfQcFosKECvzJ53RgkQDKQfiR6uh9m/wlI2y7R7sC7CQVPUMtwY8Ge3WvF4pOrT v4IjhJy8n2yKwlI2+uWpPWhRIPoc5lerG7nP5XttRTG2wHvdKkjrCxKREmj8mTIQGy1bZrRkNKHc 9l06AniV3xGBjgnMrkVqGh2RA4/siVuUzf5z8uH5f55HLB4BUZmvPvYKodkki+x9oyO4jv38X7GR OawIuULcCJ0NcPJwEJgcuRowbLDE5s1fUJavImZRpu78joisb3B0flZ8RxBBkh8KgroPtj8KHcuo W8zHo0TkW+MYORgEP3yKQg5kkcixtYqurQhbIqXbktrQsNVxtEJktqxEMltrHDyAXAPcLMoe4qaf SlkQMP2gtAQC2eOOvPW2kV6d3WfVB03RhgaiOrS74vH/chplNJEgVelzFAXZEMaFfYjTadAFsV7R OUSSLSOoFJo81AopkKxQ9yk5lvnIsSSgas511hQdlU7N+or6yA8EI8gC9yObvGp8SYSppYjGJoxt QEZOVHNIgJWqzTYzno+k2L5pEICfnujWo+wVRdmJxu2Jap2yEZHjr9mIeImt9+oLKVQaIV29bobQ HJwGURvk1yYJs8D6EX57ggEI+yxoNu272USjw5cEyBqtpCz0UXaLowF+K1tr+B3weLCS0jfWDwXj Xi4cckVhNvt/kIJwXpYE47jZ2mjFN9vVGVthmC2p7CXlmrVvMbugTJRw4lXw2KSn5SxIAH9ze9BH G4c9viSYI5UhwUziYHE2hDkk53vWbcPjAa7mzpEMyM46SuPOUciCpA7rJiySJnWb7nKiiqD2mYkZ 4Y/O4tGK4myWhBrTeBscM0L7Wtp5nKSw1JFpOMoS6QK7MncWloQZmUOv+1d81KoPmR5FJ5k9lwIx +kDI4/Hg0L5atUz02jlpxryy4iuEZ7PC1mp2VWj8Ej+uNNIsiJyrJGMcr7ieLVF6Xmwc/PY/uy0R Kn4mYnZqj9E1GnOFZKiALawm7fmaptcNlFiXJMXz8EHV7HP4LwLa2tnYeDzSXvdJ6irHuU0W5yis ZmNhnAV3pY8Kr1TOUZXnCuZoMEjgHIWBtmRqVYzk4DfbOZTP7mCpEWaQBdLDMKPHBYdWUW7lwWeP odcNQqR+3UV/mYyeYI52ztDErHj8fY5A4flqZFNAbvpm21GgzT2dWmseaE/njMJ5YrvUSIfcHgOk HZIg6Y3Vu/h9/Yvfh9+4LbqPD0Bu5T6+EO0ALAEc+TVi9bcNEjYSaQbNazTozAboW+pQafDxiE/z LZ9ZUT0izUBf+h5o4ykGSNuc6M6KQtNJMWSpJkBSzlnqy2iHUiP8Uc7kDB/8PIIQ8d6MIWfZZmWE /s8JE6AqkKFA4fcaNfx3iM+2SJvLiIMqbFNeSYntZDwenNnFeyI8xhhit7uMQnw2kovz+WNefPZ2 Yeicb+LfqavIOQrjbF5oo9CJhoNTIc67oETLJu05UltnTpuYcbOTUrwIWeioi+8Ipoi1kS1z0e2W UucGPp9FAYST9Gw8HjEgv1E1oKnW+ey0KM5OxENUd8VAPXK7vDhkHB0ysouhs3YMGVGAzWK2hJR+ DA9xclo6MNMs4/xu6olA9X2App+pC2V9I15rOwq08RooY4/ig7YaiAoiHa3GdwwKtWl9nUYUhkVr zOYoBGerS8uwWHM0k0vWpNWyMWoS5M41RzE4W6jsOXzwOUIXaTfdXOucN4u7EacdhPQRZKRbYsPK wHdEdhmcolTvoGU0T/CgFiuoazvUGrk9EUolnFfsEOu2KYqibNb7Qca6EuwUUJXUSN02RWcLNLeD CBEjKj/2OnxwXta56ksVOOsk2YViR6yMgMcK5E/1ez8DKci3jcr9rLCtVHwwztE55Hgnndh94fEI UNu/pgjiyaNcYPaOECPksabdlsMh9k5XjSUNUxjbiWrKnKJQzU9SI84ZmZdrnM6SylkK7JTgS4RD AKL6AxzSyUbabWJ3e9uII0oc5KTwIQcVavt5f0JUUAbG41GuNr6miLgs/CY2RSFipAm/trZxjkq5 h1GXgWihmC/CA8TYO0aMUMxvCzGyy9cqgroVWgcA+CN7pTwCKlIorY2fXa9yJv2F8R2Rjr9MsXrz wUE10HthqjY3Ho/qa/nrLMJt1vPliO6wlk1n4zp3tymq0s0Ac02Uak4RhUY1RTEum4fQIsFhOOCF eE44+E5znztH0aaD4TmKMjRjzvbc+UKz9tTb/qXjf0764YOmCP4tUxC/OvB4xKVxdD+hJXBixsKy KYoCbAnEJ/hnyq6XbnmypskqtNGaBhwXbbQILjLVmG3tDp6EoC2XOk0eTwqHTr8q2XDrBagGWZ0H RqzS7lhkhMS1QdAIB8XXJzehjwS0IQYe/5dOHVYRtGPa2Nd3JYUBdiLMWOVrItlYppPl+nCk/9k3 2dAiOcUyIywsZh7YHAxTc241eHxxkhZqJHPKwAeW66BWMGZ05NHa9sIBTZQXf8vsQjbadUtoBPpW FNToLU9+QHBoXwwbe/3ntx9w+/OJChX9yMnuNC7jRNFpWpgRXj2C+OPONgn2FMbZclXte/vg4KNR cI9tmYskKLNwonCQL0B/wDu/wj6Ms/EtUb9fGf+6A78lgVFmLfyZ+XyQjbRfwocIJtYNI+k8/f7Y ZG1tmugRuFmuEoHGdlfUfWKQ4dYZKYRny8sxETnS0oMckfy6Ov7IRBs7TWc14GZD2Z1t/msbmu2F Iy0NHts4hjCgV9J0Dp9zlKV/0Hf4AQGK/Zf8IfoOgHDciQoL2wgOT/RiRkf8jy4Rma81xM7VETb0 +wzegDrshZg/Dg6xwR6ei5XZtVC8XtRlObfozwKIWGGUhZNl2wtHZVuR2Kldx8Gy29y6CgBo/OP5 CKv1y19sZ/q+XwuNFEXdiY3tNZqjtRYoRDqixgXb7vPPqh9RUXl7JHOH6j74OQ7I95mgItjfufUo qQWy+5koBGJrVC8mZSoh4VsCyD9V2SDv54NO8g6/XvY+NiwiUogiKT5RmBJEKmf353knKq5wE9Ke cndYW6EgdDaYuMHaQPnziYrFtCUQLUfRmu5EnaN7nm1B3QiQuHflihqYnkWVy1YeOlva9sJRLaCq llR8sJJSgiyuvnDz+feJqjcsIEHwrEh4d995ChVIzsEBoEe3jhKnSWStSYFVnuQZQaBNUxSAzy2U bb2Dg22BMj7HHYtKe9cKNvDUYkDlFVXu6SfUzs3eNwie5LuehQPMbuvXsJGl+nSmms9H0dM3KgnS XL1dCducQhUSCpANYjN44w1FUlvuvVUra9Gp1m68sNJNcYSGgqwNNk9gn6JjwsoSHFiJpKwgXJ0D guIMYKErgCq12QtHij/kRS5uv76mEbZPTpjoeQEN6Mbno8jgu0QJXsaASKzPUxSJL8LbdypX63dd G9ZVH61fmKiYv1+kRVIkq91S8sG3HWCRddEM+1zFA3xk4bco3cMG+Q0M+rT3/cvB5uzd5oOWE/yi +Eee8HXw+WDXte8ACi3LuefjghiWuzvByHLToKjNzF7K7dJIRA2OGlw2TWG9m9uOpG8bvAg3Egpm 3HaTKtuMNEeHwHqBMChIL05uI9kW3xKVmEy0pfpglcoO5jPTOgaa//axoVobdtyDTc6hXST8TkiR kP/z2Ry+hJBpuOFoTSW5FWKILZFd9iRics72JHZlY4cV4rfhywN5oZO1QBqwQTI35+m264X6/fyW iJQsmX/OFgcVCE4IU7kYTpg/+XxQ0b0kQPxjKFeMdJlJOXSMbDydGlXOTcu++Tyt3Z3itsvddYFn pCTjIBwtDM66GBxIGAAUSwxOO6EhEI5ccdA5gH02sFKuakcLkhx7RpK7TWO/7v5+mCaYcUvV9pwa fD6Stf0lAkBXpv74asaukeJJbKukoGZpXZOSpdUOnSTk5CoT5NA2cjGxW+xFanBw6QaxBFpPACkP kFRoD4RN3ZBggGLq01Save9fBCXsXQ12hkNaQDcVsKx8Purp3qyF8SQ33HOIB9aR4KftpyinEuZT lMOV6XXLepdTFI2bzM0k3ISDLaeFaJUapThOOqsF7I4j7IQGQV+PACA73znwjjy7jsHlFjdgJ4sJ 8oaGJ9uX586sfD6Yp1+y9pQB+vJFDN0j15K6bb3uCLu7GNAY3cW2z59XfJpC2mRRMeUZbJrSmalM wBZ2HYwGKISboQ3XWJIY+SYtUkzKoYHkmqw4LfojrUX8FNZTmghcuJ7gQxoaSJb1zeOuBOI/IWZg IIkUn6dTueL2jbporM7t5NtuUiXbtl0YisuORCjK+aAo83n/hQo3mcjnoO5FYokADJ1UowE/dG2k poKC0EISRplgBLKGua/8Brjoi13+dj6Iz0c6wN+SkkDolPqUnwIPSQQFiQwli7rxH3NzdBcfI+AE Mv6WBIcmkotdgJZIWuLgsfg8mWee5AauQksDWmwAMzRgfVDq5U/i7rAXjjhdW2Dc7IMTTRovnXPg lcXno2P8m9MFm4OerrldDnwkIS8id5tpzDcolZsx4rm/t5fparvFgsBIMhugouvK5/BVfap5K8Yk /lBe9ojvAWf62SyWKyio1d73LzzlROHKBgsKzi1KVkI78RSfD5bT8NuOsmbnbh09P0FBDPCmZFKt 3guHW7hjvOjWJIe7XItPU1gVF3IJJ6MNlyGI5mUvijHzCQbQW6kEVC64AJ6T/B7jO0174UjrnlGB WHAclAHDf84usFb4fKTk6hAmTgmUXFN65inGeDPG3Hv7PNVpIiVn5i/dFN7rPk9hLL5E7CaOmcON ChYUh2i+MRiNo3oOKBsLBih+0bNUUlwr2QsHqZ3pbwqnM5f7JZ4NrUJzy7Px+SBnKb+g8ECHrTYe z+2QTDmlxGkWmyRVXEBcdiYTqoaeA4e2kkuW5LLg6NeCo5HbNvJaAqCArdlt3wHIhFQQe065nQBx OfSVXNIsK0niyckQcQPkZZ6I/Rx4fD6SCPxVoytsIV8PjhxaS1JaKBGClY0FT3xclqt0kQ73hqam 1VRCb0nx37ssJvq1mIB66VkFc1dBUKEtvWgthX7gIFDmkXZbct4OvSUzq72tsh/FQftunkVprIEy +XxUy/zVszs/EHnUPk0RDmVxmgYjDx7jdTWXmaZ6mvQUYOlg+y5wlxRU88x6U0mlPSWVArbJ4E98 IjLE6XTgqhCcWLgkJaGkCl2uyV44Su647zqzlgXugdiQWPGVPJt+ghB+QBSP3/uO/xpli3EFFXPg MAmV4CxerqrjJ6x1iylU5Y3MdJLF7gd54DB5AYSN1DgO3kVYMPFSnLkyNVzRRUj0tc6sg7dWnhtv 2wsHUAIjMncbhnbeSVNPTsxAs+TO5yOl4AtGJe158ii/5smhyyRQWcAEN48018V8If50lVe2/hWR BzaTztSdglNwsKN8w2FqVnkDT5hPcI+WTDuMwqqz83UKSgd64QhzwVZwkUAn4g8JRlLGlDsHhyA/ INp731VfxAYtP9XxElXHm0T7enmQKcm6UedYdV6Tqvs2UbGYic7w2XzwO6+f15mIfoHeOZlwY9mX kmn9BMQw03iYBMXeN4rIKWOylAkvl1Uu54iaWVZBtfH5aEF9cwlmEl7qTlMUkTf2OXc1/Cl4VHXe Mt007enNroRNU6gbSMbOKoRcrvJALhcqv+gBIhGGNLs8Thr+SZ24Src3W3QC8Ev+Al/slKoPigxg QtDlalc3nw8C8t/mZYCSl/Gspqg43qmKW0aujlBZLq6cemf9lwiVwjJd10f9meB1qS30J3GZIMen RulA4FEXCioQABiQycQHA9KPUArbzuYpBqmQcIno0AYhMEoCIJsFgz35fCRo9r2cYF7cRn6WUwgE L7ScrE8iTGCVYCoSNyNMhf9O8xT64WRx5Og5OdP1nMwQ9+2kMlONurXddTyhuQjEepMe7KP6lkPP yUrbibXlObndczINiCaQdpHRNQ9MJwV0seWEfw1xybPpyy1Ahb6TiWXX3PtysIrkpwFWAVbJClBA Evu+i3mXcsLlRHG4vAIQnkVxPj8eUDssjwM5NHDZkJGKrc9Qs9gLBwuKLJ7VafjCQecTHY/Fyq2Z z0d131827+C6Ur9D8xSaTwJGS0EBZ4LvPS0cAI/H/iNIYiZHnWP3SULC2fKzwbstq4KTB2jEODnN 2RgMHtAORjgwJcHuXXN/3z+P8Un7SQ5W9wWTkZkwy+OB/+T/Fu2GevGYV90shwaU2xQpq59P+LO8 PE5hAJlPU0BF0xQF5JKcGMikbfCmOTjTU5Hm+WsgtogjLMH1Ep4w1Fd7+OD+wsFySlQ2YxlUg208 VDpY0DyxP5+PtAR/OSxCd2ldR9wcu1BmmnS34USV1qZb4s65upMwlrsH5tCGUjK5ldY3GqxiME9k A44Cs93GTiCiggKy0EZXsLB3qyZnV1cqNKKkzQeUTXWfg9HOO2om/gIMn3rmB0QyZ98aXtT369fW LMdelJ2AZzmaUmGobWOrQBDTJmrtUf18is0oqZVbBzGZHPx8AgajSujsRPljp0UdQRyiGwd+RhHI zIOtax64UQI2ogtPEF+4G8sF4ESZ2N2YqLMD+QFBzeDqwWVF5BtE0OeECvEqyNkgSuk1gz2vnMem i7FOqDKNaJgDR0rMlEw5uAM52EwBjwl3PBZXVpLTy0RR+JxlE+q4SUrxMqdY9sJ/IcWqhD0qilDK NkaCQR4VH89m4Af8F9oq9EN6q8/eiyJy9gZwigzHq+xsQIw8BIYCXmV1F/XIoS+lFzXT8sEj8gIf oSoEFESAmyzOgB9ceIr4Kqf3JH/haO+x/IS7xwbDq0B8gjHURnUlsKZEkfw7c6F7Z7+eQjn0phxF CszlVuuSSwonSHPaGVWv2kAOzCndwauL6cPhds4npoYyjOdmB1YPXHq4w6IIdTLw4TiMTGwqv+Qv By+i/W0wgMGZX9nlnduez0dk+l+eglA52u1ZTlFIzn0HY5MrgELSvxQ95cg0aCjctk9TGJJvRlCZ LA0OTqgDcb4X4XqAzKXOXgXJDuXx81W13+Iv3cL5LcG+2+KJUZeBg3oJNFARo251Ph/pw/2iHQLP Ua6vYA4dKuFCgAIdSrr/o/bLxdMtFmZZMdgyYOK2i0LyScQhOyk22DzhyIPyNasCoAvjjMG2A0KT queTqYuKmr3ZCwehgfSFhT/koPVUK6UVaXK++HwQaVY/yClgQde00Z8FFVbJN1ljiY6CIh+iy8Wi ZkOkXDhRo2zH04U2lQrJweDyQRM1N0VVpG++B44gVMnP1ZB4iQB0WBkcqDvVl71xFJMX1TO3D0lV 3EQNLMB/keQFTpVALtavmcIZDi6JT1RsValkeI/rU93alRuWCDGT4epywznwqoRsCJbSbrJibs2D zdzWAHeMK6qcCzwXk9rHmaRM77HzJLU+B16VaH6zKTyo0gD7VGsKt7PXyCQTyCAwq5Rg8VfNALdw v/a5OTSrnPSsanM6q2W6OgpqBt1zYdDrfZoiCPkSf1YVqPlUoGBjTgE51k/OkTLkIsu+Jjv8MEGx DG8oggrcKmU3SbZG90ElA9SfZDJ8glw+H1x3/VczAdup7ScVDg0r0b5D/ruct5FasdwF1V8nSLXr 6J0Dx0qPyceW9el+7GEzVPU2UHRnniCzV8ilKqr9bKYbFyimSl3oWFlNdzirtILwlH9aRc9LCPKE pnDoWTnbd/McB/kXfzwHppWoVciQsaYLPHwqmtfrCxXZ6fMUa6Ng261Wmg9eW4EpddkyQTszXiEq Ncn5htsUBM7abeIlf9+IkNhfU+GChJRZWwYEKrStzH19n06kfj5A+8C3ElZx+6LoKI7St2HG005O TgRT1+Tic+xbOQUxWHewDO8EqatQ6hTokApDi0ZvT8Bz6JsJVJmHT23b+0bhuHqdTPQ4+LbrRrQ/ xzSfj4ib3xADdMdavTrWObSuxNlJRSUvrCQajEk/jn6k0kcpxQkugXdlMlVU9kdt8ASvntg18VYD 4bdM6spXuHMARIoCFMPM8lig59C9sjEMH5UmqDRAkRjVyLBrIJjwZO38gPdjfO1f+rpAHmLx+USF xjqb3YOUPL+TYIQwKyoID9qW3Z5w4GDpeo3dpC2H33Y4JVFEVvx0/g/+V6jUofzyQ0mKorLLfHzQ c+BhqdIIZyj7oPgJjWalLedt+XyEgfK0BaF3BvRhobDkExUF5LhuINecloF79vYWJ4iulsJsnJI+ URFopVJoEHJ3Ptg5fmKBs3FV4D1hVaWLHMzqcNbgDFwPMHqKCRQYWYo4D1VrThcHnU81UdMHNz2I QIGT5TdEkxgo1jceMbnPa/TUMrNKNNCSM6badi+0cx0Vw6+slVwE7DV2amj+k+Ta+h08CYYObp4U JRzn8i70IGqUIMIUQl/yoUshdnqNnBrUZCrKc13Fum4A9XP0bchB/FACDE+/TFAD8UK1zCFUT80n uWud/8X5XaLpWVV4J/l6I3Op1aEF8JfKWkUp+7kUTI/RpJekG5ZLN4DEmU+GQrDcOIfzqBLmSVQD JOsK8BHzaVg6lV7nB6kKHVEau229OfUHNddO5U5QQD6vAVNDtz19zY96URRtwvy8RkvNPWNYFLa0 dxf3aKjJ3YdR0bb77TVWwvIRYLVRTIaDx5TnQD3zT+BFg3hTIhIYhoQoYgA//OU/0Pmu78unuDBq 90Gb4myBbSZfu31ewyRM7s42PcQSQAipZap+Yn5eoySsH3HJ1VihzA5h6azwNtYjJGyBo5Dz8xoj NfSfBHwm4mLldNdPhzlg4/oYUHZFAQVnEApblBMb1JfQ/GS96/v8qBu+IalC74EhMADUSafk0M+N kT+vAVJ7FPaGTyt1Om1+XsOjZhCy899fm9hzKbhNbKM+rgAEbRtL+jU6al6sZI/YhkvXPFldq6x/ bwgbDJF8QHLcvCXRm7D9tSmt+xobNamUQEs/NR90le2ZOwserZ9I+zUyagI42ALSUfUDWxabn9e4 CJtys4bbjaGCym7NFzfgEkSyAJb/aTA/Uzz7IU7deDh1DaZXYBDgotqAYCIJBrtk/ixUdEpm/K0G JoPs15jovOxiTNThxWqDXfUwPWcB4MSQn9eACEuhlu/5QVl4bz9/XsOhZg30k4XMS38q3a520J8c MJD2duuKaH66xHS5vzjY+QMFNATVjBpTl70wxPUJTMWli73hSa08GaINVoQDb0KFI0Ax4GQq9HDG hu2f10gI55dJoWqC8EBvRMdhgl7DoOYinyXbrqKXXvIDaA4v35a+rHPyGgThgGbFY0iMgMPtVzag mtVg2mednSli8fbss4Xy0dnI1xN+kjf+GgM1F7DEZ/igBQRK7LQ2SP68RkBNgnyaHwICqH0ODztN 0GtBEjuMdp5NFRD24NTHNSpPtxOaKHEJoQUntFw9OoGTGjwAmpAEHCLPQyAoyxYWmMyFNKAMBUfY YYveJ6/FSEwQ6c8wvfZBXSVABIqCaWj+BRPEjsM9oXFkTRT8ND+vdUgsWkED0nbZoTMVDg3o89ZD OrcCdSyiE1pY3dru4OXahjY3rykweTOgY0g3gI4///MGGz5fJBxdmF5LkPgNDK+Umg/qkUBmS7qV KBq9FiCbkQS1gLDWzooeqKdYhBhF0IjXkGjUdKFdbgJ7DtPuQh8FYEujFoYzJIxJvsM9o+uJ4YY0 YXCyorgFSNz5zkU0KDywXEe3SXIgWkISraql+qAqLWBsRDafPxc81WiTLT+l2cREft+n77F3vlwz gkaqZfoiStmwlSXtywmbpPsacyecI53Tu/jg5zRKRJCg5y4jX5dFf2B4F4qfZVBtsCq7t9eNDmop Mq7sg86hExTLtaqd4PbzzpZrItI+BzXESEn/tDmKQulEeC7KzH4QUddY3MuWkx9EUHIxDE4wR5u5 xqxS966OiscxPU5eADAE89WzxEg+zNAp6qy0QmfCUg3l9VEwLWNqRD4+GPKtVOXkKBd83plyTf3x Z4qwYb6TsSiaroymAcf1rcbfRmRnSosrGnpqs1E43YUnAfvFBttqCSdpBZyat1nahDpXGMQAbXw+ j9vQb7Ok1w3yMRxDDVRjHyxf7VnEZygbft5Zci1fqOm4fh5nBXtA9E6SwwYRl3B5XRbiFa40vCXN RKQpoOxWcYzmaNAkGJqXNmiOzhVzQrq2GDKejQd/O8LBodzb4T0/KaDf5DJQ9bbRacRYWkan8Os0 NWY66BGGM85G+Lwz5JrU5Z91lAGfxangkxSF1Z02A12xNPfavsqeaHDbeXSSIG9qv8fV5/SnPsyS ZAUHh5kiaJ9Twh3nckYLnTRnbBzQmmFSfquyNBh658dhQ7PUjfqeD6zxnDRZnNEfusK90+Ma9Ab7 r0lCir+7p67v9Dju0azOv9dka7md/+54ANSuq9euo+Ba4kxLHoPrMakE3AbauUSSQPUUCqssoKEK JgWtfE0qUeHil7yfSBDeo61g8cFOpLMoOEnnxNufd25cMz8lTZK63/y0e2pH8TVCaMD1ixdA5mjO jZPwA/v+Z4Unm6QowO5yy5WFHgevEAGSjv/HunXDoUHTXGiqDiLC5Dm8JMjc9brvkzRkTABZIhs0 SQUu40zRJoBuUYjd13eOBqY1OFA+R+8h9mN03tygek3XPMmU4zIjz+Yq+u+8OO62RVozq2gcbCFB Rg38EqJwz1TgNCI8nkInCB/n9fSQKdw7K665VdWWRwwHRX5nE6tbf67Q/HknxSFA+nWzQYahkqGj KYqibBlWYC/5MiL3SFQvZqNcRm27iP47Jc73GhZN9sFO7ZOPpZMndysUrZQk/Y3QBDboP5tRthX1 p942mqKzx85r4fDWoPPo/A49CQEJTEQUZ2fzX7RVhMSo9VtpjOLsSvZ3Ge2xFc6eyXbK2wmIhBqF So1hnG3BY77DjSHPdpY5xcBvMQtzlgQbnQ525eICE0IZB8znnQvXJKdMycrig51GOLMlyVHy550K 1+RS8FUMOfcf5X5tiqIwG8QywF63V0MERlOYPSyDg63wtGL+Ow/O6roT6O07eLIGEzhzXt6pwPwM oDvAGk+kC4nYRvUOHUbZXjeq57NQtFw5x9zdsMyHdHQ7RE7eeXC813wddRZE0B0YfmK/0+CweLEu cK/dAAm1VXU8cu7NG9cITTRJ73E2KnqVxbR6B8/4EU40RIfgiBZgbihZjflZUKQr1LAyOy/6VbyT 4JpMJum8SFIlnhF7poBHrP7+uRE+7xw4vOTylaRmwPoxl2xOUhhpmyLFvfvhUuJ1teT4GtCNzDnv nQHH1I8Ek8GVxOHe/VAxIV4RIPfMz0KX8Zx2GwDSs+/aBdVQ4OSd/8ZQhaX9JPx2cvw2anUjSU9p 1s87/c2O/K/KGlT4+j2z38lvOOmrlE+Xi1ZX6kgwYZsru2g1lzKzkXfu2038x6x3sIVUTmY0dlW3 NfeZOuneC7XSQfQ4zjIrzsqI6Z361mSf3OEEk3wQ4A+6QLrXzsx/3olvuHpv/0P4h7McV/IY8p33 dqtrszTvf7Q2PfFv6zIBNuWROEdRAVt+XidQXj7YHMGqKpMOBHYETO4au9Vnof5sTFTBtxNoi1N7 6XWD8Ej49rLvoOII1Nt5oJzAcn3eWW9c69/ZCMD0J9q9F1sUZ88qI4+b1U6J6AHcLm6tMrbp+vDv nDeLItAdomzAyi4bAGFvaDlu7rUTGa/Nbm2dYMRuFh46NZmti7b0uu8HEsTXXuKjeZZf0d+40v68 U978+H32GmYaDQabozDMrjy0m3ueuakn+yDbSm8np50OG30nvOEnZiNkV4IfONh5NGG2OJfMg8E6 FvUEcnPniO3jZz/+gsPfNpoiHNatEHIEOPOPTO7Palhitp7jZX/e6W5WSv5aR+gy5ltAeme73V5R Y/1Pe03pPm9/FbVVyR7ei30PsyGizwUkFYrVr39Ohk1oAlmcQG24g5AoTweGDh3ac1BvL7INIpDf yW7MnFQ6KtkHndlAeknHbef+eee6tQdoZMVsWDCNW6x9J7rd82hAfcyYSWP5mV21unhm7+nn0Xuc bRFSxx3sg8XZyGgSPT7QsG4LhTAcWY0d40r1l6uWR43Kd5Yb15FA7KQFclABCX8pMSGAzn/eSW7t cc3VFIF70BCWWsc6irPx76CPl8wW7lyhbnQG2ovVRhbhyGpZh3E265B7ruSDbzXcVoQ2QzzoxLqb DAqgGH9oyDofYVi29j7vDDc2xaQZNLMPmqKTHSzeau2c+Z93glt7hKs9od1UlPcpClEhRKvtfDkR +ys6Wq4Ed26b6V39sJyNtdErElcbkmWYfaBtSbGEgmgSERA4uTA2wxmCZg5OJbb1i942miLF12v4 oI0GDTC5nfZzYL5z2xwh9BVAIpi6XbV3ahtWEZ3zuEgMbrw8ajzLt1hXZMk3Cxvtndl2gUV7sFTN wdGhCz4hQl9he5xTmZxT6DyDKoH27AN7tLeNsjUEjpmSsBq0Oxr01qW9VcrnndXG8/q7tQ9jGhiL +hRFMXan6UkZF5EN91ntLqiQJUNkQxdJ7OR3TpvHj1Dkmz7YFG3cmGRnE2g8QBEierSzMs3S1YNQ oxzHO6WtmRBsS/C8OkMm7lwZBUTWiXhMuXzeGW0sjHwH2cDSU0DdJikKsjeDo07AlBQmlqt1noCW qswFEcd0xu07nY39FczOSbe6D7bVTnR0TufBSWrpXGCbeL+NRC1P/H+3LkLz3s87l61JZBorsSYf DDzbaVWPM3iUzzuTDaHJ+u4bkaFVqodG70Q2a8zTNs/rIrsXb9CmNIr3H6tLS7zz2Jrc2nBQM5/d j9vZSV9Rmq2spaG6VpbKA5lKCSclxTt4T4RZyDuLrbnm1JJaLgedKhPRBIF55+78vJPYsBxy/vmu i9Ae815pUYTdqw7pa+C9b8qfFcGarMS20tE7ha25XMIaUpUYV1Ui04yygWAJmEg6qdGmPhBYdyje /gDKfjmRhPG9U9isD400tlUfDAYxi9rmJwHsn3cGG6OG9p2pZbSx552jKMIW36iUq1DyVV4DrcOB Iqila47CQrbgskWo/sJCzpSOcD+/c+OJA+vlQus4aJidV0Tf8yyD4Rm/cMXv9DWW69nih94LZanP 0cANAmAOsQo/oI9+3ulrXrfnnCCogsrgqMMr2e/sNfYYqJs0t6f8aXofO8tBzPrY3ScprGQncYy4 njj4XoOtB3qQaomcq4A2noDgYZtV1MzzjR8RNX/euWu3drRIpTpB+TIfxQKTWVq1g87P54Pdtr8L tWTktNuBfGeucWpBmyHfSt4CaTc3YRiyPQVEHShGm6Solj2FKS7zDl6oPYcFKrdDXO1e3aPybHQo l0AU6yFkkbf2TlvDb2qdnpl88PBokeUNvYX8eWet8R3br2ut0drCYY9hkI2ZPXfZkFMupqg/NMht slzn+1FRJe4xLmYTGJq029K4baNKBrVk7k4qdjYuypV1AmC5Jout7fp5DLoKvHPWcDhIhnoOASDd 63SkkUSJPQfg/LxT1rhZvzvZqL2ieOQB0jtljfVVMmYWoWk0QIH4DHDYACKOKV03qFGfmwcL6Z2x RvTjEqSm+uCFkXpuZ8gmkcp+TjdZL0y0zxdbgqndkv9sRa/7R4BUwQr0weCPDZbFP/TQmZ93whpv /5uKNHlUD4Sxfv+/E9buUqqlLFtKtfj6SWO4oCkQvFtE0Xe+WpPxFar9VDbn4EX/s44662pwcQba j+KcFWc7/AV+qGzjIsvsQL7z1Zrzs5dotRwMonWCSAFH5ubjUaj9HQAsAkRv+eidrHZhoouC3uxA dnqyYo7QF5vWgaS2I8tH71w1BgDcbj0NHzynJVOmUIca8WVFK+Gc27B6WqANI+u9AsvbXjcIACiX tBtFbjhoJU3I7zNjWyfSfqeqNdO1fYAjQBqP7ZH2O1PN4/O0t5f8IRRiSS3q2S5Rhi6Gju13ploz phq8TYsP99ieJzgQRqtCY1dujbXjFqczXUVfVVmtaiPvRLXm1nAoSflgsPMJKQfsttra552nxo7B dzYC8CJOTJ+jqJyN+gJ0XbeVIVPuV+K1JpcuBT/JAsl3lhpLxbz/szrZ+elko62dqxCPvSbUkyjp 0nD7QpsehXD3PSMi4p2jRsIDYCMJXjc2WDqSAATj1+3xeWeoMfX6niIwtxjr2xSFiGyKKpdqrRBg j51sdfZ39TiSu0FT9GesfXYYbn8NVmGDCmDNe8tB4Hx8lnscrD1OkHz+6H1NvKdWUVTMphv8SWpn 8kFTBC9P815u9fNOTuNh9n0awQW3t3x3WhRq0/kmgaHpBf++TM3mHBreyV4dWrgiPcSYEeW0qftg U8Ty0VrUAj7L9dyRArxRJxT7Y9FPV4cRAaPvxDRLuXojWskGbbSTA+atKcK1FgXaOfsqwmMUWir1 rqIo0O5Jh1FulvbvabLKlHSdSvshemFpfwth2YXJvGDH+8KOe4H86ZltJveQVwPAA7URgGobFV1x Dsmmkuh8fEcA9NtyOaWqJAfDQ5zwSMoHZ+LweBBC9vqVsFHeq/XLnAnD7MLY2rMOlACKmwbk4u3H swYcU9uiUnaiCv7ObGfvXG50tCgVLEmknjYsMemtsBk04vNKehy8tdOiMHslnkJQcbVBO21Aso3k mfP34vGg119uSwQTAmZIzxe83qM4GzBVRETJy0d2YKvGVt0yAE0H48+8x9mYpMQ9xlIbB0dDnk88 94JI6n2cPcZQfKCp3ODR0ecNs2UY0EOC47LWWvNB6wgiREZw3Hw8wh1/n0Y4G2CD4VMURdmUwkPD 2suQ3btswDyl4hU2NPc0RRFoBMoWmJs+fbApWpRZGZT+gxTwbhK/A2cfXOUfk3BV4p/sdYNUpChP W9MHztFa53JJStdOKtKjKDvV+hUbAQgDrUufo7iaTUGUmb4wI9kxI6R7s+CPXaJLrUfVbCsgVQLZ ONw2dob7JWtsJ6ccCp8qPRcWdEgzOK5eqiX4qEcxtiBsXZpavdIAhUH2ieQK8xLI/OD5gOs4v4ki GUcMQ36bpZDsWMmQ3csp112SEIt2L8WaSJuwSEbZPYqyp7FliQbl4LMEZuMYnCUwyEl5n1B0RTIA 6bH96HBL5LZHUTZg+pDZlLr7ZkGIpcgxCJk+51TnO0aE0O++CLQgiIWyOYoIj4PKhHl151sXSrYw PKrV6/+T6saaoxg0wox/kS7MwSPIgV9s6WKDmWtSk7YKRTZg6nfjo8FSZI8q2ou9o51N55YlaWb+ 57yT1zA2NJ4Prrbx3V/Duhp5XdJjGGazS5uW6WOAk+WrB+DR5shjBFyapJD2OKzMtn2wSYLRYmZQ AYJoLVROweI6awjdJFIJ75FE3dYewbOnUtos81x0BZmNFJIHGVLOUjee/9d2q1pKDQf3XUphVXuS mcUOiQ5uSF+wPJInCohZNaQN8gsP7hifzcaZYLXtgdUmHvtbLbZ9PgcZGxP/BgtN9EHqrI/I5tTr Bgc3m7s7yzsoOzu9jWI4tpP3TTweHNzzu8eGm6M/zZH+HmojhyH0uNOKktDjsjVd0BRnuZ+VfyTy lSspCrX3kkJy7T44PBs2S5tWtsBqpVGoyrYgZze7Cg+PKSUFNntU1VbnaCAgtMEwWjNLduIcEROP B4l/uwEAowVEIPsWbHuEz0YZHrSH7MYASTq/+Ne7DLfuhAW0Kkg9Ao6YmvTKNnCK2B4ZUDcAZofe eOfKzPTjgKDBguxKlgrZlILWeQZfEsFG2UKirLkGLSSQIaaAI6ng8WghfR9JQMn8UBbaJikMt6vK bKva5Vb2MpFIdKGtwI294ZMUVbWpegoo5PLB0TWw84bcPRPt2qAXiUkConaBG8MY24qRBGXgS4LE dml2SIhcV8C9dYh6GP544vGIjL2/UpJMcRLEvMbGjsLtyXB70gRSonU7Fy/992IAAGjSGpRtRGXt qR4sCjM23JY2sP5wMoLGGNyVCK9BaosUohK+KcaI6W2PKNzOJGLvtZcPBpHdVLxhmS3j8SDc7rc7 Qt5kosfinaMo3gZCHpfL8t2218oG9V9sutJRmPApUdbjqrZJ3C8f7Ehq4IPyb0AsibiYu40KODQt zq3mKzCG8ie+JOJCMohcLG6z/DjUQyonQk0igWKW4rL2dw8JuWSXWQknKQRp0311StBIxcjLYktX 3w8e5c7rj4razGpPlkYWG0BBeGNKn57bclCKEVM1V1W3aaG7UUEQK+O2kMCoHPiOADZqLt6s/LM8 wR//ZPJD+MjzcR2PR+2Rb7gfUuOKiMOmKIq2GzWRiySz+B+vaeDZIiMblK1mryKNKNpeLB8luLZh YLQ9GCSl1IHQ5jzkfPIHyiP0QqomrZSe2/9E4APf8RfteKtHwkHpP/TLRKk95xoej86j79QWoKHW 8hWHiILtLvBIzQ5lE5NBqyh72nbe3O1MRxRsSx5iWzEpXbQf9KjOlaASyajAxjvND3cv+BjYJMZg g5oQX/ePnnYrPJYa96X4Qhk0C+66cXYjno/W0fckFYg/PcH2iIJtWqCeqLp6b2Qnprk4jybpm2Jn QR6ZwfaIgu215Kb4vyxczqFInHDnVgPyNXeyJnGGgD7O2uutaZPgP6KatqxEoD7tg7VGFrUMyPCf eDw6jb7ZEExs06WMjBChPYnQVqbG2drb2VlzZXO8AQRn2BRFCO2y1e/vyQdfRxkmv9QTPeEQ6yXy F6aKBXod5UvmqOptow4bSmywnPPBKm2QGSISdPeBxyPCyHd8hJpSnZcwMqKaNinXEBe5QkeC2JCd dbtHkAWxsv+IAu0pFFJhiWiLLJqlLto7hV651dDQ6JVTBHnmDv0oZGw2RSiY4Dui6IhAP6ik22A1 7RNfk6pFQu0I4+z+jWGn3H95DuwwzOYqOse2N49ac2DtiZOceHTOiGW2UiMMs9kSGjI25+AXP2zM N/mO4wTGcryFDBxKcjTlBhfTTuzNCHKEYXbr5GRvGVETHiSk/wRnhLCZ88viA4Lcv37PEmAWDTgb m6UYPUKZo1LVlIX0SKteREoUz0RWW2v1vRaWtbOEwmr2wY4jtKE2Km5EjwCMzKx2QLIYFyBqQMlL /1uSPiEVkq3aE5ezBIBKi7DnG7R4ZiMnNyx4PiqQfE8SYr4BZLkmaYZl7SqbuyZFaJxNqCtRlm43 FgSYBsEfhMnIDGX7qJ695Yd7UfgV0m+ZLkasy8IseMj3fFL4Aczf8TTZyKidIXqE9q5ztOGDzmxU 9mRbWkvF48EUte8IkjYt+yL9ZxRmA80FZbHqCBvI0lpS20vOntQindIUhVxIUtfoOGGDt7PX+R9B GqV0XyOUAHRRqrOc1QShygdcu/S2UU4rnmi7g6VrFC3jFPWCx/8LgY3hKOT1bYpCxRFqsU/KcOpA kqE5W7WUCdeBBFIlD6QZMyGFr1EAkB5xKLi1FTRFiGY7ed+mvSQc3X4WfsoCRzZXf6QKwgyr2irU QgvNBhVHBiR3SGA7ywKPR4i/e68xJD9bdYC3YpMUhdn0x0iQJbptNtP4B4lzGPMIjCrLRGZY1JZG xBRgaz55fwbPeI9JeC0cksR1q+CQNLAYWrq1EV6w+I5gjuhgfvL96YPm6BxMcvGDLhcejzQyv7sj DYwBCN7YFEVhdq3sjnhhFti/1jzrT7V7d2RtI2fNKMweVl3jVuPghciOnnxXl4gSSJsZ7SA5C1u3 UwZSCkhEac+QCJmKJFaXD5wjYP96kwJSr3g86ES2XxoIkJuQhQ3nKC5pdzVEbipyDaZRn7TLf2XA xjRHEXLEEEiLvFoOzzJCfYegvnF+8ko1/5aB+e+4xqA45/ERDSVnFGXXrmWk42j5cQR997ElxDYG Hv9XrXaxVssbw+OjGSFHBt3aCpQ3HFzj1jWpbs9tz13TjXM8o3o2E1qYkyQfbIrA5KeAvKZoj02s O2A2MHCtP4tRtnjZ1IKcUZSdQDxq9FjmMDznH2VLf+6kc3g8ytW+pyhjKtf2nv8MaZDsHo1RXE58 PquIOiwmE9E9hJyhnF/hFG1K1HO4l9o5FXPV5T5QLyfxpmbeUJAzSF8V/623DRpsi6tIDL/1MPxW 1QWMP5mP/xeI1nkprLo7RRFyZJJ4tNnXEtY/uRHL+ZWMq31CI7qsc4qiKFvh9ZL12PqyHjshcW5k WaAsAtkRXn/oD/8Min91yvmqmE0c+4yi7CZLxJHlqJWsdH9+TvRXcP5BcBrPR+voO6HN+F2ASLZJ CmmQBGnRdkCX2qCrpwtpGSECabt1amcsN0KQFjI8G3wdZQiuDU5EA/d48jRqAG2f4xu6xs+tBlwZ Xzei9w8Cq3b3wYBsra4qksPmO0b4Go+xBemijOtt+q8oyIYFBAVH3M+9q4KNlLbIBImt2ut8tCLs yKQS25pr+OCtWlR7OszW4Yl4wq9Ba40TDrcfqlMJB7geev8KsSNEsmXCIjUYV7TUUaU4Wysej4iQ vzSi0A/ZV9dvhbVsIaTVCWG9v3kn5By13SWizp9sRMgVYke6ZCLa8MGP7HRyt5SIPhwgDA3CtPJA pNMkZ3QhSHPrbaNSNvcaQBdg96Omy71Wzy+ym/yka8HzEZTtVwsSW1bev5yjUCIbJ/35C/pTynY3 6bOCd/boSBLiiZ/0V3cNbhIaiClnrgYbgDTZQSNBK3fptuDYQL0fkfUNjk5egO8IStmb8JpNQTYO tj0QKfELz4WKx6NE5Ds4ovMDwJg2RSETkupHmwoqKkFSbcFaIi7ou5MkWc5xtEJ4NrFXc5c7OBsi pQTp1WT9/iQ50hP1nW0DzChqcdcceett/9KsW6tVHzRFu5kOYj2nHR7/L+kskKYngEyerK0oyKZ8 KLogw4EjdNajk0ibVuEulLyyOYqJkKrP9uyDw/0ggQAGFZZRP38L/d1RrS8/g12N1FxtBPmKXjeo sE0ma1X9R/Y0OUsrD4RI3Gsz4/noXvvmQgLo8ZWsrSjKTuytJSmK0kakL7/8q/+3J2sDCkaTFMqN 0BS6Q+zRBpukBAPH3SU3cgLHhWosqEfnpGaf9Wxp32z0OODrRuga2ZDP6oPCbARhVWXIPvB4gBv5 dWZj+/dys7UVhdk0HklbOGNASEvfLtl//lA7mja6VzZHYZhdeFjP1n1wlBZItGuT8DiR/Q9qsW5C 2oD+ze1BIG20qvAlkQYCFSI3hUbHJtsK6+jEFjJ9OD9Jw+P/5V4DSms8Bf8VkiETnZ9o6keXuq3k dlPTF8EQg8iWmPR3flK0jihb0yRb0x7ZmlRX30vtx7EnmvwsQuK6WHAXyEh/HTYy7XUj3CiF17tg f8iS+eMDmDJVg0SBbYXl7P27RVt/xrzC4ivkQupAaKNbDNmzc/vQ3Xbz2jVcGHqF5WwTyJC0eHuq R2vBgWhuraPO5ARzRDF79scTmVudc4SjE18SYLQlotHFze7OzT4nJ4vkuNgG3zESX7912qxyHCx+ vVC7wmr2oimGZGqpEZW2O/ziHLFJmtmdkFcYaLNlNKqoDvWaZJ2FdOZvdE0SBJBoHHmyAJj20H+C ka9FSMNe9y9W7Rp5+SByFsIwlY/OIYXHo4Xkh7ZrRKGKY3O0ozgb7Qro1ly03xzJmMcnrN/WOjoh 2rIy5P6HsF/VBVcf/aMNceteq3RGK/SfJex3Vh4pqRBCch9WFrN3FGc3s0CWnRjJblxH6QTdbP3U NPh4RKrxXj+2KGRjerm84/0eZ4O/xsDRTdnhzt49hizEokuzDoKlEmEPBUcYGY7KmeLgldoOl7Is r9qMZIHk7EkxKXiCUOTH7zXK+O8Qo83QaKZ0h3TjbMXJaw88HokffsfZhbus+Zm9Q4x2F+Bo7IvR 3m5Ip1RarBro2WqO4jiblf6sxD8/if+ZBwjvJHUgobnA2SzQmGv0Hy9ehCx01MV3/FFhaxnSHDZY urZ41WFp9I3HIxqkF2qZ9iMkA2LKpiikQY4pquh1W5nbfdnzahcygjaxpiiGjHBvb+JqOTgNsg9I +lK5D3IFC1fuuU0WvePRr6I6lPWNpr1uwDwqgopyNe3sgfZIGxnlD80K+Y6RPOQvJVba4NwTe8cA 7c27bPu1NrL7QZyfKV1HGpoFcI5iGiSXkZxX1nzY63DSG0I5DnRFIILLRjbAGhC4h2KYl9gAEcB3 /JmLWL22duevzamOMrpsFY9HcIj5dWAjQO4AI9sUhaJ+k3W1eZfRFk2EjgfUW5TiCC5JTVGIGCF0 jQLQNjh/DdpHq1Nf5ARsndB4FEZgToTCXKt+8WfWU/AdQXBEwggmxAdNETjaW54QaeHx4E7L34YH yLm+xPx3hBgxO2hdZE2xpMsf7ZpNZ+z8idV3WoQYGUnmc/UOXhg5Sezq1Fsb0K5Yi2EUIq4fdN1O NtJuE7t3ve2fRFHWazWYBMIAjEAlMz7+r1xN5TUUam+jf4eIkSTfXtzSkj/qTqDFvbyMmlU777TO T4oaa9homwpZGpzid85SqENQRvScRQRcQNGJrKgTl+561TOplojviLT8yTcarB1xcFANCzcKvvD4 fxHOLuir5EsU3WEtmwpRjYkhp6iV4ew1aK/aFK1Kv4POT/oLMbJkLb7cxZq4Iyi8V9ayRzkXWqWI VsVfCBjpD3wLHZq1p942cu+RIuS4g6YI0LKkNn8deDyoi9zjGufOQtiRn8goCrCh853pgqkpyrkP O67PFt/GgjxhpAfYO4KLTNr0knVngwePQL2CpMBVVAsl/CHEjhwJoJqFs8gCIxZp9z+0RjahNRwU X5/lrmAbGHs8Hq2i/RU8olnQ0FzTFBk65+1bCYXYcyiFZTGymNgIjCAMLULNMlmJpzDC5iQB9uCD YWoq5KB7ZyUb7aSJIGOC9woTH0TuiBkdebS2vfCfRmKN7UdifOUsg55pU3PtzCQ/IIKL/pL164A9 3+5aTqGuX56i0XTHjDB/ImYkb8ohAeM/2rLSCD4qmijZHK072ESde7mvLA+WE6ymnrZkjzfM6QHq y+XyRSl7xm8J2v0SQZgSQZhuusmauVrZa2Y+H2QjtzNCvhuuSEAQfZ7eQ208hqM7y1SMoqN1uJ5W rs2i7rMGkpW0aSgf3B2sVE950c4rx5rOesVZVGjEss5b1SI/n3MQLzTv2Oa/vqHZXjhCaWnfic0G oXsLjgpcVQkJn3BXTVG8Pa7KDw8yILRmfnZeWNjG5qKu7FNrcymkci2QYPK9fKJCA0iJG0qbjoNP 1An2Fo9qQP+A2EJue6bu/LEw9ENCejF/tO3gt/wBjDxREq0gOSjkhrR7ljrLGHw+grP5IU4pd2hO AAl8JyqKuhNJwGsb1A/8iO1H1Oxe6N7kTdoRFZW3h+DHldIiHDx7Q6O/dNFrzsHU0TKbFZCGM1Ho lC+5tTAcoCASviXok3Ci2iQOmYNVSnqbUo3acIlIIYrkSvwWtQHOrln9EtpyCkvcVB1v+Z5RuXUj a53VRc0t4No2CIA2U3GNW3lu7j54EpchE7oJal9AAULad9YFNPiiODHjYatNpm0vHKH/2ALoMhbv jvwHuXbPbVcsn3+fqXoVf/h257QknNXnKdQhOXfTVtLIllLu0zxH0kq+CSedrG2aogh8yiRqPMPF AcwTSeUi50Oy9KnuC3gGXc1yI1FZfhFYFfyWv9SjgJL3QQjAhf/L/3imms9HJNJf9qIE1V8lW/nF vp6/9Icciw0kCv+qUYlKd2X1EqDS87OV7ldeFIYPcrX6ZHTUHcOIK2+eMypNgrdO7FloonbmCfCe ncn06be0hNa3XjhibTOdk4AkqISKqPs6eSKPl5OLNj4fdSh/abUCstHqExqEofhYgtk6GAA9oIuY cN3/k2705C5/kSJJEQAwyznKKQyMxTc2m8pLJwhZiYJcVLqhI/h87MRJseOX/CkBgMagDVpOBSI3 BJSWNvh8sOsueBs/I347uGzfaQrr3bL6Ef1IbpHVjbX6cJ123ObVpyn2i2xsvbGKzMG23UBDqUvg D5FAQ2ESUomE36AwBia2s9tIuMW3RDUmOUbW7oOVKqHFwBLtYKQZetm038tpf4OTc2gaSfXy8983 FUvOL1w9993ZxMmpbOerKTCNhKMTf57O8ICDH+KgrZ2bm11EaEQnwuGAxUHyC8jmdON1mFHb+/5J 3oZXjw2qEJxzT0dwS2Py+X+JJTBZhn4Fynh3nqKIHCQvnA7lMUVsxSdK9V1U4xJ/Bk1UhDBJSTLk VG7jYFWCCVwY4KmYqJVQtWEwio4uLErABrzidvQhybFzZBYdQB3d5B3dglkXJ/sk23w+0ret/2s5 weH7TlMYkGemdTKxY+tyWMcJWbBruGxCBFkoyKF55GKD6VxmxQeHl3bECJSAAJP75GhENUH6Fmlw hhfZnabS7H3/FAGsBhKYyyv9O+negBgbn486BN845UJVsqvelgP/SBDUmqSkXA2IBn9WlRMDh4VL QHtsNUXhONFs0NrYPthqgnfEpJ0waigDSazaYIuVRujSPjKAbH3nwEDSZDcbhIp80HkCbRiKHYMB x+cjgIBnLfzHjc3d6/sXWkiSIZyqbjWVncx0q6QpHm751bTMgYdk+n/y89u538FW0zm1z3pJvNCQ zJfFsL3gu4EX+Ml0dFHWItmkHLpIUtr+LO5iQ7flBDdwhmvwB+PzkXDSbz4XX+qG4oGLJPrWWSeS VzB7dn9NIJiGl+fo0KtdF7vbyCqh3sEL4e3cRNRBA0IwS/GIhfAGtEcDgOhaSU0FBYGPpAHgWpqy SZpuk5Tg1JVEwl2Fz0f+Nr/ApsBhIyzxeQrpk0lyKvnCu0px+iQxIUKcVKgsKQsOnSSlndClDsDB Y/HaActdzILhw16pCDzB7Rs4IFTjXNIFUP0ptJKU/sZS42k9jaeRANbEPJ07ms9Hp7iDTlgtAHQl XUG3HHhJoj3HTkFPzZNgOSSB+gYAntXpCNu1eYpcboRuG7vdwam4G1jjZusJumVMglFC+QEZ7gfv 7kFBrfa+0Smu/hzdkjnosjuxhg6XE8tWPh8sp/HdxGwbNjn5maa/Q/HctoNOG0ufKqr0Wh1TgUKw TVOsV9JFWBo+2DQNsNNSkl7J5tajgxtCpgUnwLL3PcZRktK3/Kl5P3LzQRkwMA/aNKsVPh8xl7xW IBFgFGdSe6KCv1HelaqWMnB1hOU5x7P9t+VkCiP7RMXoEzJyqty368OoQFSAWSGUu6HvhHZnpfQk 0Ew/pkAtQa6V7IWjPhTFAQvrcxwsGM8URCCJtPH5fyUtrKnUDt2U8ThvhwgUWm+fO/W6b6YrydGT myiBwWAEphx6S0IEidSlO9wy3QnF59ZkgFIJcKyMcH4mPOQn/ZTXA4nLobnklALOaKoVNMuBx1qF pY1zM6zM5//LfVcYhV29qRzaSw4hBysrc0atsAJBJiuu8HyipphqKqG/pLKWORlscvBmC7w81iTt FELjCK7IrQByr3S0NtPlwsl+O/SXzOTm9sS/ryfv/Ha43v9/xr4syZXdWPKfaymTYR72v7EHd49A sp4y6qi7rXEl3SSzQAwx+GDLqUw+HxGYvWnHCACOgLM/qynULqGB0lBYwEiKjp8sqczdJfsC65vi 2y5wmJQB1KRClg++mgac1gs7m2d2oKiJbdcnaF7IYh1eoBw42QtHyR3bv7AOxkD5Kp5KZxs0av30 c2/wA6Jw/NtxAlgqovN8okI4SiekmVnS2WB7j+lSeOtaJ88CVJfNUwj7Znw5pBkw3FUEqCbgD/Om 09ROAOLRO7mQEpJZB2+tPBfethcOoARZZXFiUed0LGojzZEdlHNe8PlILrh8H+TQdeqAVPtEhSY4 PJ8ENTcTnFsu6HR8ZhYMySgLyAOrySuHW9IdLigFUrKFvhO9ncysMiCvEJVFm8Q1OtUsn8teOJoo KZdLpLOxnceIfKN1LtGgNfkBEWXnG/6N0AAgqTtRUXG8NZ5QIw9HpjS3UgQN0jXMCz3ONFEhNEVM gmFiXelhfZ8PKqnJzQU6i4OrDjEnJOk2PDUeJkGx940CcvF0hbd0ncuCujT9n39Oot34fLCgyjd+ R9ool7WTSxSQc16B2jEbE/ppeJVOTDCq4rXdfZpC6cBOdW66vGqwaTqpdWuo1UleaSAo/KFIN+Vw GPBfeaU17X0D8EUmMGXk4oPiApjMsEh3pmnz+f/FNYholzKe1RTVxvG1hEdcs0AZCHDbbfeeoqWG 5XeB66TjLzbBcBrsgAJRLtM6EY2os5mTVhPsnAbDjELgQeO2s3kKQSps2y1p4656QYXY1tMIl5PP B3HB+AaCQxEVWqJ3nkKx7kE24bxeb22v5HkwEybLgwmn7/qoIC4Q51JFKA4O5dkncakjsUiHmPP8 Bx5P6DASZEyRyvnIvuXQeRKgKFiYteKDAsMxurBP4Ajz+eBw+n8KgguaaOUmLoH5JOx0eOkwSxdW pXRbT/RktfIT/Jx924XxOMPMIRnh8SUjDIVJUFIYZiZwnwftg1A/H/SnBSEVhwTjzGIvHGHmmeCh KGmDjif81ixttzPVfD6qP32f4sDNwnPQ5yl0oES3ApgeU3wnY86aCEDPXQMh+JBqnmILyiW3V9VV an1anFBbQmTG9VSB8pTrCdM64Ljqfprm3d73r1N8Z/Lcd7Y7tRR4NpJhqOJ4YEKJcLx/xZmbSNkL VM2hC6WighMEevmpzhsVrLbdW/lEir6cAhtKkMuILqT5jQZP70ZHuivNpRNKoVRHkCEQECxzJMja Ox282wtHnDByUxHa2aAVcA4OOtXCXXnz+Qit+r2cKLu0LhQz/2FFqSA8eU+qyVYAGIVFAL/qBZt5 Defpb2R4T+zgcbB6QadE66Ti4qLWJUkYFcf4TuzyJy9nlq6eVOhGOdTvS9Q72cRq8YpCD2duRU89 8wP+NVH4IVkz61cGNseGlIQWDCm+KuJMLnVSk/tS7ERpHU1UbJNDKyG642q4bJWEBi2hBRv/gfKd taEhdibqnHcoAZmDsPXMA0tKcJ513xXW6Uh9FsmwnbCEESfWLD8gKBik7wwPb4Vf9U5UbEpJSm/b yWA9KT9WOVKI5QFVXKMqB66UXoGis5YNnrnA0DQ12gpM7GyY004cTaD1QCUk0UfYHGCXvXBU0EQm DPwlB/Rl+LcNYCEIFBtnafEDonj8O8Mj6uWrax54U+JkZJtT2Gf94zAFL1QJqoNVkusM5NCcUrIn b5Lw65yYAJZgRZXzw8PsaQK4DEAdEAz0fjdyT+r2wtGNx6N8Sa1yDXenOqnaVjt4o7IS+FMajumJ NHGZ9KcxFRpUjmrhpVOgqlwpyaNvw30FW3UefQ4cKkWDAa1XeUt78hb4CnY6BqptXiCfjUodAilg SRENuF3u6va+f/TvWgKpwwZDF0DHsOl3GXw+yFuSB5p0YYApNW4zn6awQL7oAq9GAut0tV1d+Jms YgAdVi+Q/2FSSbDKEqpibe8GJ8AWJ/klFOA+FwS1c5ER/7CBVaSJJo+qveyF/1KrhEaxD2okzN3F 1ah5dT4fRZq/7E4Rt5ZrLphDm0qiVtPaOHVIX100QJO7IJDsWewD7gNtuzAgV4U8tztcVDTsMCbh BeeaSLVRrHpAqGfhrKJJhRc0e7MX/sOGqbK+Z4PWExIk6Q3ttfh8EGjm7+MpozHZx1ekGdvBUwFN 6AHSx4gfYUWzwZiksLBCwR1VNEOvys7SeC2i8pRphd+TxJy7EFpMhTqaMyeQhueJ9xO7PUhZCPmx 1lRf9sZRbEBM9CL8kINuPFQEyTCqCSleYFeJwus3EYHOoOka6ObQrxI7CuTVdL2qoXpiqTBloJUK A0+l0CAwrITYA82qshro+TFkonThgpc2FEug8EFCx8RWrSiqiTQuT8/k7/vHOX5yu7Q1OMCg4Ydg hZwAg8CxMknx9eschyT0ZbLm0LFSFfLOBSfX8+0iKCeumm43tOnnommKAOSqP20p5XKwaQI7b0B2 AHNxAs86CdU4N+CZIYTuG/4ASvCGAqjAslKOPUhZzJrBhERBdCtV4v1nh/D54Lpr3x08aIQ21Qs1 TVFEjlM7MxN2uAp8Eoy0kS47qo3u9afAs/JyNQcNPjn4dXfCWKSnLDKt1RLDglY3pf43b/ILElOZ LvSsFPpp5aYhuQvK2bhwIcEVltAQDl0r51X4Ntrir8wlsK0E0Y41zOUCjdLBUUCeiAAR7Rc6xjZP cYV8vHoyAocO7cqmuu9JhVtWjlNpN4XOQLv9O0VPgW+ldk2n5pUPSoRhRcfqEbYxn4/AdPs7HGcQ 9jVNocw3mWQpW6MOpLJqfXOAfYr3OZFeKCyIrStlbL4osdPXvBaoOI96XywyjZELSr+098TVTkUI kFY8fGrbXvgPcGZLiULNHHzbTXVLEPLz+Wg5fYMzwYlsdTynU8jbpAZhZqtCAntAJZh6XE2ujtKR 3igsCOwrvR/ctxxjtyEkgC848UUqAhGcxPPsNzZcBrbQ3DhVB8PM8vig59DAUsbVEyBA6jadgF4F XPCki0RPcuMHvB/j67eBNVCH+YkzAw/LLAL6iXBME42hlEukbBAxlN/l5UqEOTCxPCcskSpi0Wvw RLidaZpbsg3n5CJmdlbaIy2sJULTfeNRRC4HNpaqmJ0ZAurDBgOPD6Bq8IXnxOPzkbqF9xGwZSFq j6rB7QiHTpaIudFFS1pGtGlYd6JWcmQPShA2URFipU7rICQf7CCvJzs8BxMb572dz+r0KaSKUEc5 ZD2o6CkeUOBl6X0pbGQfdEDVc55K6GSDBhSYWX7DM1mVywB5zQcC9XkNnzp+H8LDBHsaRLQ2m5xS W7IcZrGfzhPqNXjqwD/JL7Z0H/y6WwuwDMELGuaJHXb8B0nc1+Vg38xs5/MaOnUIW5JeZ7af+8YE C8UyIsfbwtMvM9QfBdkpLvCZplEJVWHnIpoete3Y9PuPPOSwcQUsGObdhLZd84MpmB7qnJ9VL729 Ue5uW3Asm6TjDPjGUzdwnnwV6uYkXQE8aDYNS8fS6/xAoxY5HSJUH6wovhZB+SdmWnj6bX5QcRo2 P1xubEXRcBTz8xovdTRYlkga6eJT7nlUiyuBrIZP4vJ5jZawfKhwnmndocFj733uoZUULZ2TaIhT jTwVVQyAh7/sBzrf9X35iEueoXNigxV5M/We8W27fV4DJUzuHl/LBxIkLVMOHdPzGiZ1EXRw8szr PdCqtTNTk8JFJZDABWReg6SO7hPbc3Q31+BnUBqAgSUBQ9dJZ7t2FzZJxyKnuoSmB83nz2uI1BHi yJxhyZwBPhfqjK+9EksC/WTPn9cIqT/slVs6h0pnt/l5jY/OQ8w0k2RpTfajOuy5S8WB8IHleg2v 0VGXMMtZFCwcafBi5YJUDyQZoS9dJsziAEc5ceXPmbYJsNv07bUprPsaG+H4oRIqDhsfdJXBhF5M g35C7dfICE+bb9UU8hL9UKpoc35e46KOMKM98oPmPpAcNbBT9XY4NJTlgBrMz6QczLm8tw++fnDF wIQAR/DZBgsO4tBDh2gc8HlF/rFqXzLKfo2JOrjRPH4SHRk52FXPOju/bpXPa0DUn8jRjuezmqgM rfl5DYe6qQ+BP+7Vyc3iFePGdQWazm3U7PZ6DYYwP4Qz7cTa5E7p6e6eR1HB5/G8zvKZdLVMgDMN mH8B+HyTWjkyRBtMbufQesKAQtTSBJ3NVkQHr/3zGgnh+Jq+gPDjTQIPaFeFCXoNg7r7DJV6/WHO SWF52vmH5YjUmnwBvQZBPJ+ZzZpJbF8XTQGNoDJkVg1yfUZojOLtCY0XNhjMGm5Y3fSy7/fX1P1e 2vRBC+hcuoL+ger7eY2A+Ibf93unO82wE+nzWo/slu/DXSB5B04ylmTxrOy6QxtholTQggNalh6z qBpZbjXy/PU10wEQGwxqMbiXp4hvC1XaAhFcw8MtGp+81iIxP9KFH8+gClupmeqIUGbC0+/z43qD 01wGcFSKwUPtjGCCmGgBDuATtJJTCiEudZEB2bX0XquQDIBUp03FhxsAAQ8NFAAmqFFgB+kG6pIL IU2R1LBwcPRgei1BdnephviVD+qRICcjsIVFo9cCZH8UYqchu8bPSMtPoHe+HG5mCC1Q8saBXdOZ OwViBzZBJyfwwloUQavhtgbb/Rw8gj6hbi2NUMFzFYMYxi0GtR5ROEa5yueg//B1gzUkWaZJrbjl XLPCkJ2/yckXQFKNNtnKX7c8qgmtE9YlnHkwRxAbPVOkzj/mKFWvFsHS07UGxy7DaTvRHE2d08oy 0pNlnEdP0r6YmJJbyfVdcWCfOYL8AjIi695SjuLzTpXDmifzcm8J6xBDz3Ooop8k3kTl48FBbVqD WkeARqIq4HP0HkkjPSHbGGhiC4UoZcdQyDq3PImgX2kQnGCOtuqzWXdZ/uqzwXRtI+9HfZZXG+uz EPYkDQTlKs80lNdHsTQfQX+t+WC4t3Muqc3WwGt6D6bBr/CtJjPLs9XqDYbeSXI4rKtCoLzuVrvg wK2iIzGUZFoKBRCc1saukMUQBzuMJk6cMzUEvNPBk6SmhNpHh4Vmhvztvc2SXvd9qyEmxXnGgJqD 0tUJ5ApxIgVI0zCgHuNrjuDmMUCosjl6j6i1QTI1Bawwm4vlZqUIBMk5qutSUN5DaqR2AGdU5HM2 aI7q2VtQLRxaRmcqqVaBzvc5fTuqaZy+Jo+BqrcNTiP6MKCLwAEdFONVnBCepbtxVu3nnR7HkKp/ bbUMaQwIa/gkRWF172a56AaV5/wYfh6NZky5syy2l2Xf42pDBXby+2xwFNcJCCqbwLSEayfW7+Q4 A4qVeKnOC+MatBd6J8dhQ7PWXdO6A74ElPy12/WEe+fGIYnI+dckgXS5u6dm79w4+1Z0/q/JQO1e GUqjufIgoNpmMvBOjesmN4i64vTBK/wwOx/WqT3p6R60Z67MjuDxk8kgteQs2+u+n0hNaT2q1DbY iQTxUSICz+d/3olxjHPq1yRVqWHdQzsKryeNzqHf4uVYieguyg2N5vSccecoiq87YTar9jt4Nxuo GLAdiN86l+SiQGpC4W4QD9aze3kVIu4/77Q4rFbC3ECD80FzBAMy+VRNwNyiCLvP/h1hQ3BwUdWb c/QeYoMiAIT+0mzQ6FwgN5JOdCIt2VRVK6FFMTaCQbBu0MjW4OuI5OxGgCQWGHnbwJjQuArR47yG HnKEe2fE9acBIn7zFYg9t+NqXZowUM+Jgmwv62uKMqqbgkVwiqIgu7PKOAVItmU0bRmdo8NkmRet ijRFYZSNLtE5zbCPNNgULYhJV6kKjpQcpdwZKwPktBlkW03f3jaaIsxNHcxjOeg4gqkD93YlJCIK swUd9p0GwYbauq+idyIc7v4hy5PhYXbp3u2AUNm8iSzUflhoDMNsAd4TjxoON9XHRWCnEaDao8kw F2sBSclKnodk+jd83mlw3fRhG4z9fLDDiKaY3Gglf95ZcIwcvjca2p6DrTRNURRlkzFybrXmxZDe pheLUnXc+9xowmiK3qNsrEdjnS4fbq52YsgzF0S0nbkjdRmtITTAAFKE3e09jFhufOfAsZrfpcHM chGswPTjL3g5sx7bIXDyzoHrJsChSWosiGBVLg+Q3jlwbHhQkKKaiSBO73RNT2qykHvXlKwk+06B wx/Abs9q6Q6349HO5d46j+wNUhaZpxO/4eJ1CWqlm3kNe90oXxsSopCMLhq3vLBZNOYsAZT/eSfA MdL23caoGswB4Uj5rWGkPcVWunW1tbbD2Vab7puXidfEgfTOf7PUD37C9J7m4LttnrW08ibF7fwx hYVr2LnWnw0AaUuUau6PuMk7+w1fslm6RpndBuvun/yb99K51+rnnfzW84WQ6kSCJVLHIWFzFEXa i0K65xp1Rlfl1SKQe7+lo5m8dv3OfHsS/67Ev38l/nAZ6JPabwslhsGELcH384SpFDq43BK5ML0T 37jdSJU4wagPAvz1nqRgVEean3faW5f7js2RdAbPVK/s5cd32hsXUubN5nyuE/y5F1zqzfE0kOm2 6sg7662bndc5YsRi3uPawp0z5ASOG+cOpO6hL7cox3jOAmIsCmjpRNri3F563SBAUokfy9QGVUfO QTdUQDrf83knvXUzeXmutlooquNz9B5ow4NLKVt20erZi135EAnxtBaOQHYivQfaciuCdPQz2Byh hL14OtKCaU2KNZ6tdvbvZjbSKclsXbSl130/kYBeBtdNyibD2yAwrzJlhBO9fN4Zb/1RrbbNBv80 yHXYHIWBdmHqPw2MBQ6OdawLYjPnBbbrwPjOd2MMPITuu4MdSMBfnARysdKPHr6QWxUQmlHJlsg3 YWNT/Z3t1kW1p90JBywjedyjszDJJ4E69ued7cZist//bLudyLnlfNdRWMve3GDrZrUNEsRme6IW Evca0E/aa++BNgrNzNSqIFn1gWQ1gDmBsGU35OwLqgydBIW8NWgAU/VbVTYWqD/vXLcuO+2zgJAe 2KBDe5yzV2alO/Mdg3U0x/deg9jwuNXad6Ib5kiuJ2M5X/mx7zwh0nBm0uzOL33nubE8gsmpEIK2 wcojraEtTe7+QA+zNMnpoU/daPldH608KlS+s9y4johi32ocbZfKOyn5TCrW7jU+7yQ3lhTb192P 9IWNDmtZR5G23CrOm3nLqKfkWKy9uyH+16JYBnvWcaRdmKjt4YNvNRbdOhV04VbRJH3WWexCuD0f XViUK/kdQVN/yVUwJR80RaBBTwnE7/J5J7jx6s2/UlroZlOzi1MUokJoMHRiRLen3uui/Xc2y0Ha DJg+wDu97eZrnRouGjRFPWHHd5C0INZ1okm0QybZpqxE/4A/UrtxbIreNpgiqkyQB2qDNlrfTXKJ 5xfpn3duGw/s70utIGmBubRNURRmN1rnTP4ghje2QhKWbx7W2e/ba7XvzDYCi5j102ZAg11qZwme QNEtKmlyR0cr2rIAZwGluYt7HHrbIF+DyOL5i1D416Dd0aDIwQrbia8+76y2X+e1rLwaOJp3iqIg GxVBEkgvcr367kqgdzhyPQ0jcb9z2rjR4HFa0BqwwbP+cy7BZ5Pc5H3eaRF7RXkq1O0JcL4QNYpx vFPausnA4oakmydaPyJX4UeUcgIAFp93RhvmOKXvSSrYas1LI++ENlaPCDCqtdkkgXz240YDw5ju q1DvnOsoqmej13lO6kqQPwdNUkPuCVAxIulzErUJsciz1KBTwknJ11qwk5b8TmZjssauCBqJNhh6 lgYGPINH+bxT2fpDjrR+E27SUj3Efmeyse/Nwyjd5prhQLsof7bT4HpgV9o7kY25IgtrXWW2b2A/ Co1JWiXn9IaCmdS7WHE75yXcUrwpwjTkncbWRdfo8tuzQYfRSkX1tZPd8BWjRTS/FhH8cpscKjlF UYTdeRhtUux0Xs98z+tm8RLCjW7Fo3cOm6dq53BOwwfvP54DYoOrjaUyEB0xMkpADkKW/wfdl0uK JIzvncNmjWgkR7X6oP5jzqWIm7XwM75H2Iga6q85Qh973jmKImxFRqXddLbMdDO1NL16VJFIaY7i UvZWnV+wWf4qk1nI+QVSBq8ZEXY+STLT2Qy/Eyi7oKoxPOUXsPidv9avH1wfkvAGeJcbBPYeTUKd Z1d/3vlrv7prbNKeVTnquBd/FGLjk5nzu+grBX3Nyctrkwgfm9H83tlrhA2Qa0TbQA0eYrdz/VRy ss9R23Zl+IRiCLdZBT813/gRxcDPO3mN5xFdBSTvtqHMILw0MmZNElhfn3fy2n/ls9RckGgZJymK sXECIHixRB9iRo63zlDUNIx6p4Q9JymqZk+CanYq2YfbODpfMHE2Q1MRgnpgGlEk9+T8HXWN/TCy SFx756116d1DpYo9Jw6Wh0CcRC5MO3/eaWt8x2/YEdwPqeRkuMcwyN4UnsymuXUhxsRbEgWN/gjN Xc4cAfgYo0YECaULFQc7kc69n9aSbNKc+5xOnX5nOIfWmTKEN9fOgyWgzztprZvc6zngJQFfusu6 gdtCSuQJzObnnbNm0NKvDiRgQ31lD5DeOWuETBZ2II0WCmw6QyG8Y9p0E844kkC5q1hI75Q1Issk H0FSCAcvHiWQEhqPHWi65YmbDXvlTFIWOCx50Z8CwJ93ylq/Ovkr38HwoefAoNbr+XPn552xZoWJ ByCKm6K15ifSO2ENC2nSOE8IYzZsKQ5ON4EpReoF5gwAAeSJvvPV2EAlf32PO1jRHw7kAzUwLJaO gwQyalRM+Nlg8lLWxvWV2YF856t1maqQvr59EEKrwA6eG2huPh4F2vlrjtAJbeWe2u9kNQQNNM9Z mFJ1IIGe0xyhDD29AwlIA4tH71w1a8yArVWTD3YgwTGgn2yEBbbakJhQjABBL02tMhqsLq5MlsM7 V61LwBnBuZpHzzpaoDLr+j9x9jtVjY//6q/hWBvb4+x3phqChilszbptkV66t0WaQyLOreHX/ztR 7WlBArJtg1f8zzW3aduGKv+oqNxSgwN/b8bqh9yf5bSqjLzz1BzLDz528sFQ5yvJoAQggs87TY0N g++KP2C8OAh8jqJituQTW16e9kvdQh7e1QWTVlInO/GTotYRFxBKdTbcAtvZFA1of5iewdJLesJA N51/8Ry/s17Ts2xv+xfdoeAXtcGSkUKXOdIdxuedoMaU+HuK0MTGyvYpCkEjnYnsKMWmqDRvHJ1d tx1vDMCwTVEMGsEyKridbbD6GrQVMrSDmK6djVeF/Qe2uCHQ7fs6eE+toqiUje4JdINm80FThCKp TM/gUfnOTcNhVr+LR3CCRwnKpygKtJmppjm3t0RWMnVXsH+6g4/G8nztnZh2d1qlhJQGW0UbNIo6 WcpuwBIYugTN2QYaw2JFQIcR8aLvvDQmXEslkeaDNhpaCPxNzokwP++0tN8ALQJGEzv+d46iOLu7 y3n3rH8NB0Ounaw0suEsaXMU4rIryfxpVx98jpDpn2tTtNly4pEhG2uW/wCPwkEkk0qA7fm2Ae9q y8CT5X4OBogAK5AR5End8HgQQf5izlDdq114VgujbHVEaMmrtD/dAluGap8KbCcdMj+TFlWyk8Br SBttuF0jnBVF2gY7tymgX5/QuiOsp6THv1tbLYqygbE8CyjN6YO2GghdvNROrDPweNDsL98RJHg6 Pd9l1KMoG9Qeugp7ETLLnJoVttxd/q8MVyft71E2Ag9W+9FQscFOIxRWgPSTkWeGcpv8LFFKxl3W 5w2yZRbQQ3qjvE7XbD5oGS2wcGmZd0JJPB7Bjr8PbCZ79ZmiKMamzy6CDHdU6E6mgROwe53SzMam KAKNkHYO9uj0waYIdnlnsxE8c6JJqJkJDNCp2dVcv1VpP+UzelTLBuQdWRopoBw4RwsGZdTWPcfg xOP/ImExoUXPuG0vjfQwxq7CiTz+3ay4KzYaydEQJ+a3cn+Patkq1CbQ6WxwuOiAj+MWiyaRkAgS xMbJs6jJUbuj/Chfwy+JykfUqqldbFA0opaCbJihyFKwFTwfMB1/tY0yJBz6Q+XrIddxULo1816j dVeq5qVQsqJsUGUzDbQQZfcoyrZsTbo1HPxAOudygVArZgLEWbqcU/bgzBJav/vR4JbCbY+i7EJQ LUpbGkxPBYVIAsx/IDmBx4NDO90iG3EBOBLXrUT2iPA4mIoUTZIKbt1mJlGSz2FsY9kk/Qka6XDr 9sGLtXshTKfq2MDyniSNViieDYDDsk503f6sRPaooI0YBPtMthwNE1uU+BN8jKV01iieD2Zp+FLi UkeKO2SHw1kKA22KH2XAJ81H4SYj525rDj2mbZdmKeQ9EsSeYZRugwfa56A41zwrSGfv6c8BF6Kf WULpm7GCH0qUbe0RPnvKDR6VUQw4ohgfw4BINON5fhU8/68N5+2jVm9npEdFbZ7cYDYuq44YB6uQ +wgAF0tIBFeyhNTjUJuSrT3fwUtIIIZ2amGfO7/CX5bkWUisbmr61XmJR4zQ8CVRCUn1NfZrOSir PWuib7Gvx8TjEY7tu6gNuRcqWtscvcfa6B4lgdfYHMI/DioiV6oG0GCRjBH+GVxIUay9ZeENwIwN jtHChQbDYRFEV91UdIVb4c9U42E9jpTU1+xRUbvSxOx8XvbBMFo4uam+cjIfPB5k/vUSj9TwP2f2 nvfgjgDafajMtqaVkFKmCDn+bQgYqlICIGhWCalHuBF5u2x6RrRN/u15VZxJ59efk7pGJ0yYG7US ylOc3GoBi4bSgB/c9AfAl0RANmnTaCGlZyENJDv4x50KHg8W0vzFhkCXovVbH+lhuN2mKBDZbjeL KjfjJDcvOX8SaqicpKiojcInlhDRfhzsSOIHUQoItxvut8oYAESRRRLHIrFGVGMyRXuEHMHtQK5x 9kGT1HEbEKN9bmQ8Hq2k8ZWSUPiqP+iaEcXbqFwBmdW9QLKK1dxOBFBN3Kec7ThN93dEVW2gsFG0 HN0HmyQI/Z0wmAikkc6u3NRFGkgQTgpRCd8UY8TUtkcUb9NECYIHd1Dh/5xswz3MMh4P4u3uu41I nER/Rb/aRhRvd+qtbBFDl5Lcx3Gq2z/C5ddqSCOsaRM1ujerHxzsREI38syE6rX5JDfCcQPb9jPo oNZqvgJj/rp/cNYpsMwhw0Pgh5QR+K0xiEZuO8Ki9vrVrwUSZV4k+wgx2rTlmjNdmW0hI6imOaoF 3KsjgNckRUVtuD1NmEYsDex5oelPadet4v6JAjbwfwikoEZW2Qcet4GEM3TgOwLQaBNlpA0N5vFW yYuk7cj5P3j8X8wjriP8LFDLtimKom2Q6skL9fS/TJ+X1PcF1lasS221KNpepLBRD4VDsikquOcb DzQ01s41zSJKG5ukcUYCz+V/YvKB7/gDxX72WJk+KPuvoywr146Nx/91HHHFIbVtFw85oli7i1E7 jdB//pFET0WR9ITjKqJ9u6YoirWnFKBwv9rgRzZIVOAIU9P+XAmN/GQ4QeDqPZ830yWwodbE1w22 WkfeXymlegZU/7aqkdDAw1eOXDKej9bR9yRBv6XlS4cYYahNHeS17r22JVaH82gus/LGjepI/xGF 2qwfNYaFNnj/CPfHksIRzFVSZ6jdcPChmIRdc2va5PePqKY9C9kQi2piHHStzY1mEgOkE0SOCJ+N AvaT2TKxTVfDZ4T47MEjm9qvZi51SX4ruYjfOuGa5WwjwmfTBQjd/uyDAyIT5Ml2F/b4/ARuVY2K O2BtvXyJHFW9bbCMKN0H2VUfrNC2THIZkvB4POqM1O8YsqCWDsqMzVFU1G7eqr3H0fJG//n9l9Oz GlJPndhRoD0FQkrTBvwqmS22Si6crH7O8QMMKeeIywjFW3BJbI5w7eA7ouiIVUgWSjRYURsIcBZs QagdYZzdvy81qv2jT29TFIbZrLSd2Xc+bdP2mlZNt6QWhGqbojDMZhl21bR9sJsfWgxj8zcbe0BV DLmI+vaTjtyQCrcjezOCHGGYTSW6Obr0+5A8JgH9AfMnQBtZJj4gyP2r4/26NBMopX2nKQ60m/hZ 1QKkk4VmLyNV2ghv6Wn4bgvr2pJkWZRcm+uRXOuohawhSZYG+zU2/RcK6GjrAoeUvPa/pekTkiEr 8WxFeDaIlQp7DnBwpyjd2Lng+WCWps8SW7PAzAPMarM0w8q2hKEotv4fgtuI3wIeAkAzg5KAWW45 24x1+wTSXtuHix3F+WEGG+c3qJmJbe9UDkckOJ4+G0m1M4SPFLohbJkDbi/+Q8S12/VfKh4P5qh9 0yHBesODPkVRpE3B53Ostm5pLUR3La2VSIXS2puxzZAOaV3/uX3wjnZFebswrZ2FcpNYaxln30Sc utJTtMUVju/4s/hPeQANlrCdLDpJELsXPB4dSd/gWvQzYDfgUxTF2SyHo67t+RpIT7dbawzJwjNF R9KMuZCs1S6JHa9H7Dh3OnMzXzvTsirlVqEHCTtAFB5RTHL5R+ogzLCwLe+D/6KwofHCnXKCgIrH /0XzIzzwrICTGt1lFAXa9LdPo8/bZysmvlqKUMSyHoFpjOYoLmuTTtOfwYHsCa0AGZL11s6i2uZR UqHIrOTSnSUJZpsxdoTl7CIISWleQQJTt4kJmTIejxgjvozYkkPWNy+BbUaBNs1Nc9Y1j3Stil9D wJ8gEuiPoBOtI3tGgfZ43JB+myKdQKa2iaAW5psQF6NfWcbCGSQZdspASgKJKO0ZMiHFOO6s2nIQ ORvVKEn6n1gDjwetyPZN8QdVD/mdz1EYZysZ2aabcdVHlIwYouScuWirao4i7AjtRs76oVImBwdF niytAIfE02glqZK0SglenONQuvYAiX6SM4qzazN1+umDFSLhG6RMfgw8HhVrb4BEajbtp8qdowg8 MpI4/tPBI3ltb460fhVHKiAlmqOoor3IpznPJx+8gwSlYztyxgJNl/DJBsh1Q+FxMdAWM5tqkDMK tBNVNMB61JAs7a/TKiPnNm14/H/CRGIu1/ZcZIY8SFVGcvX+0ezNK2xt31ykz2rY2hkK+lVij/BH 2uCnEVBelBitMPsae/NQP4kbIsFM+Zqn5r/1thE5S/oHM/tgYP+eFtfU+ZP5eDBF6U4Rj6Ozb+E5 73MUgUdQAQSgdvlWw3s62r8+ZlGtWYltRoH2IBEEFX4fPNDe0C9esjlC547spBMuUaoBwjnov3s9 m0j2GQXajfHUgi0XraKyZV4gzIGVeyKeE3rh+QiF9N0ZyYsJ252kkAiJakGCHpFda2M1l0Ba20TI INw7TXJkhpIjKHmc9KzcwaOjcxiV3LmQ4FuOKFKa7Bsul1A2fu41oAf4upGyH9NZHAI2GJitT3k8 tJPP4PEogvw+sxtNTbeHRysKslFlpubIZUJKAQlJbUmO1Jo0HOFCWhF8BHg48EXqHfzM3oMeWYyk Uemn/Co22wamGtmSg/2N4L9C+Mgmh20x4eJgZFGa5eHPPqcUHv9fxH3QKQWa3KcohI8wOuq1ulDE XM2T2n4rkie7ZZCd+EnBFFEfcjdVkdpFIaGKv0k0V4MNvp+NIlGQiG0sLN7yEW0R8B2RsB8TEER9 FNMoP6qv1nnWJJuIJ/IqeD5Cs30n/iiL9Hw1EFaokS3Zuuz1I2Tl2+Ej1c1qzhc3X0YhfGSxmz1V G5mMWRsgNimBA8G7HbWRM0t0K50on1RE44isb3R03hjfEVSztzjHCiS3i7Ailmy2jBYf/19ENOEk 3/r2pH+FVEie8Zs/n6qQlBRUV6S4gx2Kipb0rxChTaHjc3ImH7xPW+EfUthdGyDOyLatUeyR/Kh+ l5FgoyuuZgsVUaoPmqI9kgwOTpRU8fi/8H48sAtI3o8my4qi7DlldJRdawwWhZojJGvXS6QMA0Ws kAkpfYhCIXUOjkJaHVI+lIkAXALHK6Lss7ROto9+V2quN5IpwYEvCWpsclyhTnGl44IY5wuHtuR9 zv+I5//VFsH04kYaoNvZJEVhdmJ7TSBGnkdJHRLGR3TF5CRBlscmKdQbkdAIALk2WPXoHEbQQAJU 6wS2yP/l6gc0+wKUGyvWDTUT4WwrQo4sibIUhlYcLF1rFmdD8x6PRyCki66V5Qgu1upt2hWCtBtV 6+hkQYJWvSU2yBFl223ob9gkhXF2kxWUFlT/EhyZvcOznZcXq3WSzV4AIqNYmtsDQuKfii8JJkm8 GtkaIBZSoL2AJSNmD1xDPB5R/L1PKxMyuA3f0siKAm3I4OOkpngPvf2y+0Pi6kdTjXyIScxf5ydF JxIdbCG9ZIMtpJMSglshyigEa5rKkLA8WTAYyFKNFnJkDr1uVD6aVNES9G/39CMEElRleCQNVNhW FGmvy80m6v2syjGvN8YK2ZAsCpOtYDKa1dXpzy5Z7g25cWRJPDsGjnCOstTF86MufpY/RKNIYoP6 FcXLzhxRAxC46wKPOPVpUb/X6wbHduXNJpVxDqpnF+gpyz5k8B2Dfv/4xo7SY3TlO0dRnI2cDoIj 28V9aqVoNh0gAM0yxijudM1RLKDNElt6Br/ZTjIOG1CKsiUo+jfZZ2KqaLhIWxiLkMbQ6/7VPUpZ uJHsuBFUOCbbkeeoqHg8WEeXEUGeMbBpaHTZJO0o0AbcAtp7zU9tVuRN3adZT6mgSWIZ2w7ZkBTH 3KndwUERG5c/fkNEkcSwYz/yvxnI1/GabsXKavaOAu0m0/FEJW1pEHAdpTWkhlTT4OMRseauIzFG C6wzvci23yNtlOZ4IMmop2tNGfnoBDX7ao6sYdia/Q8rmqyFlO9CStBQxm8u3bo6INnNhYR+E+X1 UUH3m63Z60YVJGLYFl1rOajIduZ2qK99dgYeDyCR14xGPXBc/P2uoxCoPSg1mhx/hC7ipWdLL5LU Gvz2mqM40mb8uJ/BD6Q0TuRYBTPODf03nFkNBkANAOBZvA5Z6KqL7wimiOo+BWg5G5Sw0enV5Pw3 Hv8XF5IwbQRlT3Fkh1xIdkVqvTT/vjwqSkU0f+JGevcp+hM38kI8Lh11/iWf2oVzNhNfmoGw5bWS q1g3ovkPvW4kzMLDepjm2Hjq2X2Qi3SSEb5jpBH5qzZCK5x7Zu+/QdpmHsrayOhOrSmi14Jaw/hb cxSCtKfQ2Wn54HN00rBZoMkH3vHe5IzD14+KFsjxc7pFNuxQfMffJP9VfdAyOmfoYsO/gMS2/zfR EbxwB0zbpijW9SPYf5VHdCRbBpIhp/JjoiO4WDVFIWykM6dNI/vgPL+NQzqxgzYTkWpYcB1UnI5v a9Vv/hPjDL1tEB0p7++l+WA7rQ2JtACfiscjBSRfRZ1pP3D0N+3fEWwk8VI7+80qbLS59inqRkkq wHqYMe0OZf1Uo01j+OD5GiR9wIXXYXTiRTJrGslH6I9Rzc762L3rbSORKApoLXmvLE/7C6TqrX+/ +HiUrbWvVYTqwwlI7hSFmiOER9dsWwq1tnsYAdxp/KyWidDq/KQINUJkTRvJB+9i4wRaSEbZxca5 tknzwyuikydJZCpo0gMR3xHQ/Gi5A2yODxdZU+UfNubG41GBzdN+WWOCwvaERmExmwktYPg2RW0Y cRRSYNX0j1C/yjZFYYw9JVXflw9OhQDeGwJj6mIvlCTZfkSFFPeKgDXCZ217278cfFKVtF/10Ohc B7XzN4E5Ih6P8tnvVYTO58zXwmdHITZ4ZBAZyeI8Atq3XQAp01FHFjXlbrQIMQKWEg6hUn24G20D DyEgfz67rjLjla4OgDULZ5EFRizT7r/lRjLgcDYowoYlTlf3cQ48/i/BOmZ1qIjgntIUGULn7Vsn PTBTE5ofme1wvZG9eje8SMuMsLs+Kgof+acr0uZg4WPONWX2WqDoCxMtelB2yCou3EuMGR19tLa9 cGQmJlnoTNE6OZJznqDBxT8TjG1+QIQZ/aXs1/cPiz4+UaG0HymjnWxWoUb2cNRIqRfnT+ckSbGn WNuPpSMBIucvQCRMWAp9WHBHFzazz25pMKgHso+UG5P4af7CESiCFhrUBtDAbzmXQhEH84QWmc8H 6civPiQgS31dBUThUN6b6JO8gOaNSNpGq9AGrIGi7gklbHM+SCFGu3GCRD+aD/2IotNzbskfnz2d Ub2Fp09FQRtB2qQJiVmHZnvhCBnBJaPMNouHrHP4fF4VHRQOqymKt8ct/vMgA2505meiwtI2rvVc ZNbHf1zLRSMKbauphlSmk0fp+Rmkyby6Js2PrpAjEjfAs3YT1LgD448WCQATZ6I2wP7pEdRcZdsL R+BIlttw0tugkBvmbpKLRO8fz/+rvE2FKKiWskrmExVF3Ymt7TMRzXbeRtfMJJGEkCAzYuXpR1RU 4B5m48eSG4dbBThJBG42lkrYepv0yoa1MTPsNVx0vLDgx2/5w02jEnBhg07ykcyb9nxb5vMRp93D SmJc4Rm3ensMx8IaNwPLVi+wLdODjBNVqKNLYBtbiTZRYZFbTbdVlw+enySovgxBs0Br6h35STr/ ABuEaTUlq02mbS8cwf+I21pp+6CJQqVPpuJnCfP594mqxauTVdN6zvJ+o0t5b74ebSd2OYHNNAvo JD8MUrbWdJ/Ic0/ke+dFEfikC9RqZP9xuAsKyHYjtm34JAO6Vc+SOl9NEiYKA24agdYNvyUSkCIG EMq7NggDiAKMMHxnrvl8xCP9ZgA0xmK3hSsQz3tXEyWByejjP4SXSHQcBi0NjRvCSuHT41bZKax1 LzvDiw92QoFKNTprbGc91QaICufphP5wt2Px2CMotDD0whF1myfUKDYsNQQ6U2VSt89DfD7S/vvO 54ATHe3qIucUhuJLB5RXKU/s0ZdDJhRn0hRhuFQCPioiArBosmVFsudNVxp23SoyywZSuVAjqS5R jKmSfCOD7u/7h5XNiSqEc2uOc2sozm/pkbXB54Nt176bAoBQzP21nEIAN7sCa07Xt5ns73Cazvcm K8It+NrYNIUlb9m0ETahwbYdpDcnxbXosDGwi3E8oWB5cnMkAatfihtJt/iWyKxFvO1efFCp8rx3 4SFcByPN0NDmwpNFrAQR8Kr/5tA4EjU+gJJNdHxv1nnkPMqUW4U4IGvNEzFEl3TmK/Kp5+BkSRjk Zcn8IW1Z8PQCAodCThDiz9O91wGxs/eNCNydkRMxXRxUIRgg+kq5dUw+HwSaV8KFmED42SEq9WmK AvJGglKnkZxU7XfySlO6DhILXFOfpwhigoYnqgOt+2ClpplAUkFKDnTpBlOQ1AHkrJUaAf3Sb2RF kmPzSFmH4V+wQdOEOFOFlHPn8flgmn6VLLGaOo4Zn6bQPrIsKW2asL3LlTSqb7AGVWgi4XWCHPpH IpcF6Vaz1dOtfZ8L7ZyvorpDQq/0rIAUYHwEzrBv82kqzd43ajSxXCkoDosPWcWUvYoUJU+Yw+eD PHj/shtnfHmFJXJgIYmGO9ElezSfJuyO69bqtYKKxW6rKYzGyQqoRSJc5VblkKuMszAJxoHjQ6pJ ogEA41RU+9cjBcjWdw48JKF3Iw9Jlp6KDhP8ZSeWqVkIylz5fBASrF/SEo3N3Wv9F7pIom6IsKbf qlNfXnWa9NVl1WnhFLBpCrmTLKYkOGLZYIfT+dUrtFwMkIPuA4uXIPE3ilVD1MSSFkkn5dBIkkCK llEW5pBtOaFhxnkCxJXPB83d+d3craQr9MfXNtLelpFkW7a/qFBq0EmoA9ZbnaOgQtdH/WFLBjvt 4sOtYE7IPi8qti3g6Qd5OAAgdrQDM2xBHbnU/YUjBBwP8S3DpL1d6B7YE3LeEWrw+UgR+Jpu/dB+ CLj553QKtUq2NG7bcnwXK/YkdaXs2i7oghihO4dmkmo7/XeICSQ3JpoxARQ5SqZ8wkLtaIDdT7My HU8lqfwUukkqdto4+20wqkltSlkgGMDno1O8fOV2uKZ6Gs9lF+qVDEJNVEphv5eSNZonQLJVpusM ZjRPkc/NlJOknDWu0TOqT+0kUOhcEnIKtSDiwIBr+hk4+1Fz85igVnvfaDlJ1EWoAQ/QCjD6Q5K3 NVU+HxEFvo0TYCo6en5O8TASBw8JBqtXH0iSE6yprHpBFRvgfU1TjDyh99bSbK3+hc5pNbfE4hOa NANerlPYGqR50Oe/xzg9ovktf6reAxhmgzLgBAMLXumrFT4fmd18zxPwHR1kRZ+nGOTNZm+/apwn LTV01/mDRnM0HNhTNk9/o09IDrfhwnNnhii8EDrn5CpEYFIKH9JcrMdfTa6V7IUjzVKd35ILGHan nlAcFFRtu9n4fJCy1O8YM6PJsdpjmhy6S/atvNdQJ2juLKO+507mHg04CURSChzaSxoNvrL4y8EX VNkpE5mItvhG1Yk5C2R+JiVzCE5ZDyIuh/6SU/rJIlTuPU287KTWa5KADPomn4+0Au91J+wKPu4x 4A4tJqHIkXFSsB+nbNhop7BWY6lXDtzbSiqhxyRJFY0uiTZ4bge99ar7DoJcBXn+BBEh4Rwf4Olc NpwcuEOPyczzu+NascGaLX0nSiKgtcjnIw7z+LrugBWisJpPU6hfQhzKkA8HUbOJAPnNUmbTf1vO YdP9fApMJgV+BNyLxXEOfozjJJrsqJzlRNkuwFAn2owLx7h0lFShyzXZC0cVOiZ1jTCLXAGNYgS9 4DdfBIxfmx8Q4XV+Mb54O39VxwOjScBRTA9PGw8r2g8o2K9bFwFWSj5RIfCb8q1o1Png8Li8TqiM rhVcRs8UIl8/F1Rr8iZoAEiU58bb9sIRlICBAcU6MBgYtcEQa2rn5c7n/8XWIRNl8iS/Nd/QbHJX brw6ryBubU+nfGaHpIzhAXngNikwBCPx7IMH5DAe4PoBqamfM4gcyw4RGwLnTadTvfK57IWDeaId K9REKGYKroYMSE/A31ligRQIP+BfxHiuecQGLT+pS+g4yar6OV4vwAnSPo4lTNcpsEwHOOXQcpJg ZThwNB9uInxCbpjE8Yja54phlHUihCZZOoCoL5eg2PtG9QJFmqv5YCEUjnJ2mnptfD6qPn03hKfI ZM9JHkXkEuygVJvQKefryq3SGaynIKEYPk2hfGAXHUWC7/ORoV5nbZ5p4rUGShLZOlDqBuRiMoS6 Dq/QONX7/gW+KFnW5flal/ckDUtM0+bzUUD+S84cbPtyUeAUwQo6SVlMi+sXWF3v9YSGwxO8hpK1 ErwS1sa3NpzAPF8HFO6EE5/LMBD8bBwuZzWBvDIYaJRCDh333fIXDuapTjkrJB+EwDi7O/M/QH+B z0co529yClwgiO/2eQoFuyt5YJnXnKr5u3siTHSMEuHF5dT1UUFgINKlXKjSlwsVQFObau+VBZYq A2aqgDZYeLR6zXAl/ZZD88m6TfQt+6DQ8Jx/TXWVjK554D4plZov+VdWHxGt3ImKQvJEskZ2hS74 2Dh2t9R83bqGep/cd2FIvoUEn9MHz4TLgMKZOgVnknJt+FdQM4cCO8GiKi0y1Cz2wkGoKZW8xBSP g86ndC5cop1PbJP5fFSBWl8LCjq7DHtsnkIXyilQDxEt5kex/BiHsKo1W85VYvLmObahlOpSFrgn F5+nAkbBRDsKC6oDMSGP4SQBnSktdu+ad3vfvworiU0lDVb2PaHIkkAgquOBESUi8vEdkaMQMHCH +TxFETnrvkmK5GZoWk3ZLK3lMvDnr6zZ5ymKyI0R3vsdPMXb0u0Sdj4DcUjqHGUzsZJRAnso4d1e OIAXMLcrmzgMDloC85yBbCOcDb75fIRX9dSFbwdu4bpacDn2o9RrugUFuSrXHLf35VyVK5efQ0PK zjbc2Kn6YCE5NuEGcI4lg96J7zv3IWLaDZX9wt6tmpxdXanQknISIJakCpdwsKpqNCGAzL9+tJ75 AcFE3Qo5/mVAgecjCZNjV0ramqO+7/GTwkvqnTA8UWAAj16bqNgtR9spLx88FT7TBm6hkGLnfyM7 DHYeDRN1fuCOGpRshK1rHvhSSrGMkZNV7Lq0psuCuKp089bI/IBo6/lEsT2D/l3/WlF/huSo1bnN WaJKHwkZaXtneINVaTWDwJrSV9TqRIpxcAQUCltZ3OeVoKRPWfgF+Y6J8LAkaRDIpWLZC/+lC0/X 9Q7dovMu/NvQhltM28bZhvyACNnjRzlx0BU549M3Dwwq8QMRRycrWP1jsaImrJCm41VQurWJCrVP bKJYheLgtAx4SdUiYM9mL5U8MXPNO99KA3jj96RuLxzEUItOLvkZjAQFyQgCfTeqK4FJ5XeRPAtA j0IhOtQ+U2GZvGYd5u5TWdlGYzo8iMXjIUX1LaXDgVElVGqI7KHNuQaHsqK3APVfgg/Pn9e4PRNF wJHGIyBw19zV7X2j8grP8vNGPhjCgAeh1Sn4fCTw8UvXs1Ih7qZ4gVXl2XmLCNaxllfr5hX4oPCG qnUT1UabpigoH2TvTBWj5pfCR4IVbE0ERa/aWb/DEQXLynO2L6Qet/xLCg2/JZKtVLVAPc/shp6D tmpEGOTV+Xyw8Z4unjRikWau54iKovKVJVeJP/8/FEXh4Woug1XC8VBCWdl3XhiVW52cwBUOvvPO FJ4gTBMFWmLbhNSBMkMXFNpVeF2zN3vhKHspRLEyi+WgBXXWq0BXJ9lefD4INh+vajyYkfJ09BJ9 psJK+ZZd9fTC5iTojIVNOs0U1lcG1KZV2AxNK4eYPInqjN3/hgoZTxROgW+EJFOewNqfmUqdfpk8 divjA3Wo+rI3jlArxP1ShECDbr0MZIxYiEj0At/K84NeEBTr6pj4dHUHc2hcOYjSrPTiFGWjmVE8 BT88Ia7VwfY5cK5EzoiAvGziDDhYA72clLOif4ekN6OOyGN/VxwkKK2JOy5zTxLsc+BcmShAjZSu y+LL63WZekb7x3EGgXUlEr3v9AWhQu2XHpVD60oGX6m3bZyEtGq69TphNAut4lwJPYfelawAn4CE klYcbJrwmRBoZCNvFljgKB+GtTaIV5A0sSxvKIgKvCuxvamjUiU/WF1+MKOqz5LUmXs9H1x5v/g/ lYlBuWXN0L0SXNOcKYFhcAxAd426kc0YHX3h7VWowL7SYiho62QfPHtpA55nQ/1OOPUV6cZSixSp 3l4XKqZiXWhfSdMYavByQNmYf1qtgBYzKE/oC4cGlrOt71gTkvoPITEHDpag20mxod9smCqUKmpK bI8SMvVZTlFQjg1MX8bkg5dXoHoK0DjLv2glUcwSmjtwnmKwd/t4iqACC8vLAxry/HDeIyocqJby wgMIKvSwfCB1wtrjVnhC8sDEErkLLrlEqKMkUkr3Np4pylIiZS0nJcQuliQbTppaabCQHP6Py8Ju RGKjF9rqolR2PhgKXPXqo4227YX/IG+0XKkkw8G33SwMfyAHzeej5fRd/IU2cqvjOZ1C9uagup7U GvSPxdouua1xVVJG8Yg8cLJ0BDnIrD54f2ojyQMeF3EB1FDRoIHILpSacTuMUR7YyrYXDkhTgvoS 0nxOuRP/SZFqFIr6IBs5Fx8/4P0YXzfHG8xzgFV7+p2BnSVqkcL5rmkLandXRwdcyfJi7LviExWT OOnTkIll3fliWRMY+PIdQqRZkOKJk3Be8gRaLN7ehqdc0XPgaCmgDVgbufigAApWiVVyA1vPRzio Xypg2FAgKN2JiurkwJfg9C4G8IHUZfeJqldSpkGN1yYqAq5U6qNmmoBp8P7UPL93HupPAatB76uT q0NqYO76sx5s9BQbKLC1FHkeugRz+mAHFM0gedODDBT4Wv5/lOZJCgbd2myePi/RUz3fKXHrE/Bd +cY+mK6gZtAIT+A0JVTCeEC9xE7ng856LnKOpZJ8ciF8tKYQORa1fledYtCdSWqVxVfgMx3xm+kz /nmJnPiulJQ5cSGwARp0Nq1CIWUKgeHp/5ogvuC2lGWZF9G5jwcED/DfnB8mmh95yG2UlIinA0LS 8QUoMmbOT8EfpWUUzA88jRAG0IRJEiKan74gbtAoJDs3ipoZQVMFhqKTegVpBHNsWDqWXicokY4F 4S2KW08X9lHxR4f3Oe0+LxET/9Sa7gT9eEOqZpufl3iJD3UBC1CytsxXHRdccLUNKz+tc10bsOAl WtL6oSFK2VT9LvtR/d6jNshAk9xTAV9lz26jjVnQtCr7y4mg813f108hJLNK5YKDFXprkuMnFI8+ L4GSJneXr+mBGhKpyJqelzDJthcFZInQkwuBVIhQ5O0ULGExHP64mp6XIIkfRKYdsEzDB5ueDJfg NdSDOpMPk0BypYFj7ljk1JjQ9KAy+HkJkfgVuLbQFM3yIIAChmQ/NwRMiCQ46fPnJULSn9q/56eA K7OIf8b8vMRHtr1YVKqz2fyM4rSVjAzCUASNyQg9Y4PlI4evtVng5eBlk/NvJ57yaPAC8gwc1LkA znrbSIEKDBdte7EH+nmJjXT+CHvZnsEwcwCeE7tzIq/PS2RkT/v2KjJyPvsLfCxN0EtgxKdaF3ig 2QShdzk9zpZ8A8EDgDrJDTWYINP6JgNRg5ff1lmSmSbnE7/EpJlD3UCgUfwVwoS3i8kw+yUo4ssu qLxXCmzbYHc9MM+i1a3yeYmInquI08H56WwNFpufl3hIp3o2cUZzQk27tXYpUHl4cwBtPnlYRPPT mK1t9Xp3vlnIgvr5ThKPmWjYkLJSQGEYSJXYL/WsVt4M0Q4T035OqwBs9WrL2b9JxcWzY/vnJRSy 8+v7gKaOYaN1FQXYowOaFdzSiyNTq+hiPIG6t8RxA1mb6SUK0gFNUEWqUoytj2Is+K9wZSJi6Xxd l5FcAtv8ZHMAYCHVtbia5PGXIMgWKXtwsw0ftIByTVVoyxNGfV5CIHvD9TU/wPRA5Nfm56UiqVuv CRywXDemZ6+LoKCbLpunWCL7Uo/U/i4MpyEtZ8NFMUHcCEqiMB0+Bw8JGpWAzoVCbUGV3XBxZO19 XqqR+gszmXNIiGwwrknfcqQ76SWefp+fvvr3/IBiKyIP5uelDqlFh9YBMAGOXlrZ9fOBg0wODwC6 QloWYQAk+LfEB2e/aJOTylLzgwdQAykTdIJzUJ8PXzCDL5IcFhqOdkwvJUj9BlQcThBhtEEnNLz+ yvSi0UsB0i6jbPND+M75iwdaeRYgRhE0ATnILoqf0Kn6BEE/xitGOCGMXRjN0CIxpcn3rY0nhF4n zegCDC7cBYC9gVwIZCXfepRrfIYMnK8bbTEWQkrZPghve/KVwmSqlQGqarTHTDNGawjVBIpD2RxF UXRSG2km32Spb2dgpua32ATUxdk70RxJMwbRiQ0OnTj/r9E7G/22LlgO3C/PYlqMFQc1B6uy+6HX Dc7pwtosOu82WNG/jcG/EQfS540wpy2zv8+hdQ4Y/Hw+R1EknQpPH+Em+I+OkqcfQ7GDCEeV43CC OdrS+FoMpTn4Vd83dFCk7Y2G+mZ/t9OUE9phsBryTEN5fRRL9ybaTsk+CP3W2qSr3M+ZK6DfomBo fF9lCO4HBG1tiqJoGoLImeqSd6s5EuDskeqXfVnNi7NRON2rRJkpXMHBpqhOOIt32Zqi5EGD9EaA SYfHT0ZH6F5mSa/7vtU6TyMUVHxQvjrPT2CnNQCnYUBt8p6Kh7AYRpl3Gb1H1GJt4TiqJhEPbeNb mKWMtGRilwdEbzw5S+2wjND990FzdALfDINZ0glpV9UowLPQugTE5EfAnCargaq3DU4jSlYu3LMY IHht/IpaRU4d5/f+vLHkLKL6vtIyBDLavEnrG0tOf1rXXrsWOv1G1WA4JU0SpQJtkt7DatTjEA4V GXVxuLWhM0knemShLBWc2vQaQCqIwx1M54vlogDl540jpw1NZ/imwkfzwkeGPK/pesAd7o0ip21j pSGfpHMOUpfXJikMrVn6qA5tA1fHm9pn27n+4NkvxXv/UWxtprmTPjEcPLYGRW7IbACVRWJAUEAj pXuxFXPdKhHq80veTyTE/XBiSNUHP5HIa0HsmPfnjR9n2e/w9OyHYEupG9gcRdE15H7QLqougb56 NRphpoiS0XSmK+m/seNs3/LQzrSH52An0kLlerEzMdBdxE2NEwmd7EFMWM/u6VWAgeKXvM8R7Glh OpvvoDnC/52SngDULQqw+68EpEN2cLGnxjl6j7DPOur0YG5TdUW2/83rLFN52ww9Uf5WCS0KsUcT wRnHjQZrPNYFKrL0q85dC4SYlOQZMiF6nNfXQ85wb8Q4K2MwhJw9+6DAr59znxgD4JU+b7w4y0fv FFFDB/XNsrxM9MaLswOJLf7RnO4lWW6uozaWZbQLL2FzFIfZZH8PnDYabI7OtkILXxZ5Z4J6sk4S GmlIXjajbCvqT71tNEeIr7vANl3oIZxHkLLsoisDFBHF2fmphPBiO4c7neFVaIzi7EZpxkIKnpXS 6vBENvvFNitKFKo0hnE2RaDT4mriYMfRPInYOSyV6u8BVQoso4YXplAIjO9cOgfB2eeND6d1UJXj Kz6aNz6Cm3qTZlXJnzc6nKUC3yFko4lP9zD7jQyniaUvbO/LDT27FDyG/IWbX2s9m2r1GxfO4iNC uJeZ6F2nSkD6UGJRtWjRLIYKaFhXqwHe1Oa+pxHrjW9cOAWBiz2hQgLTgrKJfvyziID7w9qAzskb F85WxHeANMnv2XcdRXE2oE8MIot3rldylCQrFW5+4iXZNyacRexy5+RxlJ7jCNyGLPADIEMNZx8m aaeTkqxdKJB9CfLst3zeiHBPSaRSqoFaMKjM/5COA2ls2nmeA+bzxoPTSxprycqy4A/0fFdSFGlX lvXPLnbc31bWP2nGVEzMEj08w2m9seAs9WPFGiwRG/zu36Umqi9DIu7EfgaQRKXtRALnd0z9knGk cfLGgbO8X5X9lH1Q46NAiEeqJbN+3ihwdp59B0hw64K4vM9RFGmvRiJl99JsAq7gx/VyhgPdJ2r7 zEbeCHCWKPDuL5wjDr7bCnSgNmHJC8U1ldYKrLkGnLIyEM1Wm5Ub0xv/zRZCfROLPfdlFVL2rKf5 eWO/6e5d3/FRI7ag3HUUBdp4NVgwpWvI4B4fMIUv25Na6LRojqL6NRpNSNWk8T3yg6ZZMPEFgKLC Xph7j7TTM6kbrBi6DxBsi1PbXjeIj9KSkWfzQcURnNvNqADr88Z80xQnL45w0QBQ31bzy/+N+WYn Eo8h0d+b9OId4K7mL1O2jlnUifQeaCMrz4qwmw82Sf1kMrUl8t430kMaMDcSuzazkU5hZuui2esG RUhxcuXnna+fN0Dg4t+c33N/3nhv+mtvyobHgAzsuXsV+432ZnNUxY11y6qcXdLz3G0j+xzVZUHk G+vtLuQTwKBjYIMFSDDbQLDI6wsCj4tFgg4TyIHyLKzgPWErQ28bTBGFGAmr6wQ1/8juHmBiKVmf 5bw/b5w3u1l+VWrPwgAs2ucoKmVD5Bo7rN8DSdg1MkoEa6+EtW+Tg3tjvOn9wSRBb/EONkcQboJz ReKBhFRyMULaJBsBBY1w0qpslM7+vDHeLHdiwnbSWB90aI+zpKQ+vTPfMVhH89ccoVM01r39o0C7 Wr/6spNaNqe4k7zX6iJnq1Q/tN8D7WxJbYPQhg1WHilQx8vyPKdbzFbNEiZXDTSiWR/FPApVvlHd vrZagTS4DaognQ+rnKK21/i8Md0sg78dNYaRnZG+X2xvTDcrszEDaW5akdjRMR7JvGHkJjeWTesw 0gYh8NxaNBusEhbhOoJm/iityNITzXwa7FLysVesvkcelhCuzxvPzbpixPp3Cr9w0BzBE8mNGMvn jeZmkXb+Oo6oU5+XN43eSG4WMZD93tzRE95VBps5adA1GC7AO2mKYlwIltGgo4kGTVGHNxXMVNHY P6fGaIl6TDTPK+xBAxLRjWhT9LZ/TNEJgVrywXYaYV52Q3zeCG76Y9P+uvpBlanz9tXe+G0WnRcC 2LcLBBC2pXo21q9d/SMPaxy90dvsNGIum+idy8GhIWiYwQyFq2iVLtnYiXXf4Ic01nNit6G3DfI1 2gwgVPdBu+OcKYLzIcD6vFHbdGDn+bWKyD2o26OjN2abpogiL6bELOj6sIYsuqcXut6aSeS9Edus MsPDKBGExcE22ujwKU5D8BCIJ7N1hFCcMgisFV+MGkU53nhtVqxlPTuzO1spGNiVU8zUWBuZZ1t/ 3mhtmuNfACMofBAqaZMUhdmb4sKDUsASmqD+h4jclB8hcL02P7HfSG36kVgTgfykD7bVKjQgABMh 3523vw4sVPcwKdkdGaD1rpeNsrVN4pEcdMp10MnnwKCmKsDwnzc+m8Um+ztZw1UKBpnN0HuQLVUI ZGhX39TgIpIQXHbvb/QgbYaianZiEbJWLiMOfu9X6j90UvsgeLmGVLyWYH2gtN2uCPOQNy6b7k3R IuERYIMOowXBATYgT/L3eaOy2SIaP9+VEdpk3imKQmyz8yRzU/ns9CsNNQzX4CDrUOd1WMteiol4 bHN4cCLwGqDpGZieay6r04LGBpvQRCW48cCL32hsdr1wi0E70wbHQUjCBxaq/fPGYrPws/yaIzSy 552jKMQGDhMFtnwLbGvfXK1ZloswP/u1H9ayhxD9ctBZ7ONMFthOBgKlO1L9astFBFOgO06EDPB+ Ya7bH2TxG4XNCvZNotSSqIb/KjcIkEvEAZ4L8sSPbxS2epGd91YDMX2gZ2WTFMbYcqke1RPaPLzK f/6a5JO0hovnv/HXLNe0W6374MXsc9vX80BiC/JERyKXFminLaRpJY98A0gUAz9v9DU7somEEKof 2MwfAaYrTdRYPTpJ8+eNvnY7T1/tfrZlnkmKgmyG5lkNPBKR8+N3PmRkMZSsZZukqJoNxCJqtCqx rafin2uDomInqOZEMp0Ge3WhKLInq2T7oWR1e92gc5SlNcXKPwcLj87vSNUp6H993nhr9o71++5H Q2RNh4280da+7v5N+q6EFaWrTMAlVJjYIDmH9kB3DcjHMMiewoRKc7nfPi1sr04kIrHlc16fKJJE QFBqfhZ+o2L/m8qQ9rrvc4SQhse1zHOp1pboC9uY5sEbfH7eSGu2WT0+wvIjmKqLZsRvDXEj0pIA zS5LxEW5K94R/KYzM5l2TOgQYiG9cdbuxQwNiTx98FotBBaqHdv1rLBKnnJGLsiuG+p6XvQHnJdf Eu22rbPoDoZ/PAeLJDfKnJ83yprd/r/iI9BmWrsLKYyzKVhG12iTdhOZnaYCbZm0G+guZr7wRljT J9HNYw3Jt4xHvqXDZXAV3vWstQGBMRFzlx9aPlHdxnWW2YJ8I6xZ88dkuIoPgmidmVnb5Mr5eFRi +46QFo28x52j90Ab0T3LaqO62msfxEfAOzcXnyOEgIbifyOrfW22ksod/EA6f0qr6KLSHKgi6MY6 Qt5BFFue9RFZJs/hjaym87Myly1GZq8Og1xrqG6L+sLnjatm0YNvNspWA2g89t1rYTm78xRSC1J9 kaseUZKplODQntbuf2Oq2fVf2XtEHVaDzdEJI9bKm4cOKgAm1z2Jt8rQjETt1nJalUbeiGrKaYmB RLncBwPzb0NhnJO1fd54alqGN4zEmsFRBFKGz1FUzqbI0vlxp4eRmQk7LzaAXxV0nx9n+ByFkTZD 7CIlIA5++ydkNa2agx4anouqLmgo0lMJFo3mfUZExBtHzaaIRJlOYRsOloxQkYJft8fnjaFmidf6 CpDQR6lPge2NoGY1J+on7u1MvkIjTilGKF1jFAnYpKYojLSV02ayjTnYFFHCrkqCrEMBHi1kSHYi 40b7qO/r5D21iqJadqfsdC1w8tagKYLXeJUDc6ufN3KaHWbfgfYm0Cvf0ygKtOlWcs7TfOv9zSUi 8kTc92UuLNJDDBpBSQQKyj542n8iiJbIPkRjbdOjD7vkfDW4xz/Y234YETD6RkyzhIuVkbKWD9po S9UtKp3NzxsvrTrm/SuCTGz43ymKwmz0n+lPedUl93JU9knilpL+zT6qpiiGZavc35IPPkUnoEYy S94VcuPCEiQqt+ft0H/EOSSrSmDt+bbBvU/PgEWxUg2Gh4BQAItHLWc8HgSQ/RtTS4mvduFZLQyy K0UaxMBm1i+QKPGic7gNBXkcmqKokm1TNIip5eCY2pO7nQuf8c+J/YHzlzEaFg9K6Lmkx8dbOy0K snnE4hRaPminDbB7mKaeixyPR73+/L3TsG3zXUY9CrI7OyJVFtVYRvkKa50Y8IolFpxrYs+8B9ko 8HGnFdKwOFi1/1w254rrPB2RZW9oLoEggaIErrI+b4wtz4Ae8htVySa1WIOW0YK5H9n554TD4xHs +HsZQXUG+p8+RVGIzT/93CvdGyKDEp3ShVjbpmgVunNwiiLMiBprJ1rMPtgUrfOnFFaJYGFwDtSh jCVvBl3NZVyV9VM+o0elbNlPQOPFB87RQjOKiKuTLEw8HjXWvsNHwOEqEjGbozDEpjFNWuNW+1eq DhmZy/pHu92GSI9K2UQT97yIGuXgDdp1fohGh6iBMK9tXvsZMJUFKdJcu6P8qF/DL/mjelQnACkY aIGiGBtUSv3hreD5gOk4v/FZGVZ55I/aLIVcx8qDGkQOBdlAFiiyLrKuI1WWrtsMsnsUZBtXjX0g DTZLrcKhELzAE8IMmFbT3Gyh4bigUFj2I8UtndseBdlyDyo5q/2YqwNkgBNli7Z1vmNwaKfvNjaI hBX9eZujiO+IYJjINReKkrE6o6NbVIK7XfY5CoNsdkPYCLLB7rWzo1bfk6ruZw0s1jTRoj3X/AAU 4kTbNzyiUi2+5I8+doO6sFD+ydRF8Msy3P0ZdU88H0zSqL+22zhv8CykOMpGaSQ3k40EVovkmikd Cp+kUZc5nvaQ9KhJ2rQw4eBRNsxQcFYzyoZ1YRNrFpLuiPygZ32PJEq39gidPeU1MVjzTyRGMDhG 0kx555957gs8/6/txuv/nIfndbwt0sOK9mCNDXmESiNDGJqCCImLk/UjtFZVP+p/VrTPLVbvcGFs QEMuasVlyGRQN/GcQQUWeUj767y0o0UYW48q2ubVJdKi4immtCcuHV3fNyYej/gi39c/461y07X+ HmiLCANHgMwgEdccT1LERed9CaVFSgtj95/KhRSBRlKSJ3xJPjhCq6GUKsvuk0GnCk7BOV2bQSdY dnByH7Vq8SXBHLE/u8voPgihdX7qLTvvc/vh8SDtv/kau96IYOWOxzmK0NkQQUGJrWUrH6HcZvbC 8gWhFiL0oVU+6hFopE+ThVqPLFQlsObM7/kRehGn5ixUWndmqFUvqn6VdeHZFIrAl0QxEim0rSQf tI5mzkS4sIqDxyM05Pdew8YHKuJutjDWFk19ZTfurPAKVI1tsLNM40742NkkRQVtu/nVYBSty2oj 6O83BUIbETbY5jDjgFc1kT1LpCQd21WvG2S17GLnPu9gm+1cnxI7mmXi8X8tJE0S5DmfzH9Ewfbk 3bZ4pfDYXuP6Cq9snTfIg7mA+4gq2sKvcU3a4Bj2AVs9uEHj2B5MbZmzwfEJinOT4IT0CG6PKNjO bPgXFUfKLY7A2EFiB2CN4PEg2P51IDUE+/vC/EcUbFvRn/0Id1NytQxazpmj8GjGOxphPZvBNqbA B4dDQqlpSFNlgbZcKcpdkYoMiru1mq+6GMVhRsiDJJF/YoGjxjZhI/BDvshZVJQRHEhsR1jQ3t+d EYCg+7yE9RECtLmO5kq3EMmWDNdRUjEJ66hDk0WTFBW0AVGAZSJxtWdgEMKMpO9zLVQK93SoRXTK 1qCPBDxAR2Pj+gCcA33gOwLIaLPmUdaQFEaeG7zQbu3nfFzH4/+iHXGK8LMgjrYpiomQlBXZPd9W 7WqetMnlDSi2Or3jP6JQGyriKNIaa4Sbe/DQPgnVTjxvxkxosak8MrjKUU2pz90PKQR8RwSKYIiN Q8sGpf7nL6VsGyTCNh6PjqPvIhvg8q1dMOSIIm0YvlD+ydlrg/A5OXLRtZKrqBDXzCmKIu3J6lqh CJYGL/kDFExSKJV74BhIHb8FUAJjj5kue42sWHxJdByR27cZcDdc9+IK5XL+I5EjJy/PeD5aR99b Deu45ec8iiJt+jOfqKhXu9c2/WV5Hi06yKsvIvWMEyCNKNJe4q4vebis6+EC4Q04b9G/cKIkqXXU EQLi/0fM7Otok9w/onr2lDFJ280Hu/v3ViZdz1fg8ai99j1FzGrT8DLbCMHZw3xufB1tWQNpirYz IU46Ya2jEYGzQQtHp5/JCAdPRk6cPU02E72DztPoBJKw4eGKKF8KR1Vv+1d3DeJYPmirQet8SK62 DzwehEe/FCIIYZ0X5D+ieraYWSfxdJGjmq6S/ejDMRENLCgd2FE9e5KZVZYPbBZzivaE4M4SNhtq Msl8vVmnBY683ClCjI/viIKjKhZt9sHq2bAVumTaEUbZt1gr3e1r88opiqLsQZuyXr2CRB9ty/s3 u4E8jeDyZlMURtmE1KYkuZp0ZbQBzT1/PBQ5IKNRAbSgJDrtXejJvdvF1HLH40uCKLtTtM+0+zrl 0gXyX+eq5Am4+lz4gCDxrx4emUee62hzlsIw2/j95jAJ8m+y3iw8gMwCfleaHXKvhSVtSohvtDZs sOMImukLkTZm6dztQ56cW3QAyEQUnNcq+2+p+YQ0yMbTq7IWiRTqR7DzjRoksXPj/CB4PqqOfFOz MNmUl9AkzbCmLeMtukr9JyucbFKkA6fGcjcUXKtykRlK9iXxaNcdPMyuYN3LhmTCLh076+w28qsg 9T7G02AjnXaGuJFG5BFdVjTozAYOmREk+i54PGqNpK8zG0iytm8mMqMwuxLAXggXkr4/5NOU05Iy bTktiHCaorCmLSnoJD5EeiqRANMA/zjpQAI2G3G1lXBuXHErPeXaam/7V9mf1EMbbIrmSjZFveDx 6ED6tYqAikNb0KYoVBuhIssq6R5Iqm6zTVtNLApEnm5s2hmyICUINagGzcEzkQlcX2KxcYPmuanr QwTyotFgyhdZw7geXxKVtOliA2MlGxTmzAxsDQ/iVfF4NEffTUhcSQNlapujKMrOtNo6ma+r1uye r/GIqJEEjZZmoNEZF7S5jCqjIw42R+f9MxD53E6QPDKfd+iatAXCSLqVEVrB4jui04hF2s6rn4Om CKZCXcCalPF40O3/VRmB9kKfl9s/oyibtaIMh0zL1VqqnqvNOizK3n26TekMBfzYoM20c9VgUwS1 kdFWYs4PpStx+0laHKD15U4BSIkfEZ49Qw5kERGi3kFbLXlnGJUAPB40IdsvylEpSO7uMorL2Ytt tZF9q7VbF8n9brXSigXZMwKN0Bq4E/5pgxMhIDiWobAOV5YpmTUK+5yfcYN1XNYNj+gnOaMguwoF KQUODpZQYOdKgm0MPB4V2HyKKpECaML0eTERM4KNIKyhir8TjgG/tPOoS7EOYiOteWNkRuXsxcpr 3mT3c/AW27k6UtJem/CFkJQ2fErh4Fp/FsNskbIpBDmjMDvxrG6LhIgzNEv6Ye/DGKDn1vD4v5J+ mgJjMmE2YFMUhdlouMDkaEybokkfL66jPj3/Rx/Rj+xQyo9Jf2mW2F7YCAD+KORLjwU9MWRpZ4pU AQLBPvWn4L/1tgH4SEZ2ALrbYDD/MUWLgA86Hg/W0f51HJ0n2px3q0WwkUnO0TfMv2afoj2SY9jS hbDPKMyGPQGAWawgcfAWZDk7xLYasNml0ea1YYoGlb86yTYqZhPCPqMwG3fQyWSTrFtxU0r6KlNf kVH2mXQ8H8GPfgn74HeZ+U5SSIFk8ajRqF6aq3sYzh8ugEY3hoWob7VQa4TExlYofsTBr7VzSJwg gncXGZAC1U7UGzoNzepzr1HKHl8S7TUCj1KfPjilJikpQXsBj/8vLciWxRawOVpRkI3uH8VG/Djq vRlEKyGGtJS2AHzLhbQi4MjoqiwSFcLB+7SUqctZnoiQaaMu9sB0AIX8065LjVH7Vwgc2cxlafba zBEqmaWIQbRqxeORrI+f2Tgr8xat1KcoCrJ7k1z49r22BF1jBNmKYyETji1NURhkdx7VRT2Rcnsi 5eT8DCMZSZ8sZyRK1mN9gYfAQOfCj+bW2/5RGKlwE+aQQenmkb3giUK2/q4Fzwdb7Rf+aIIxAEtx m6NQHZvhUfL7C7mtN4vOJq9XawRFRc1RCBxhfa1Ss5/CffhVBYbExYZQDZWRAdOyKkMkJPsT1N/9 REfnxsF3BKXsrTZ/uoNvD7RYuIwWH/9fBKCRfrZ+5TNXFGT3QussAYwF+jNbNhzZtTpqZCdTGlkh NFvLSJ1XDpbzrwZeJSI1lrJHqbSz7QjhO/CiqNpfc+Stt/1Dr67RotQGTdH5AWS+jhAAj0eH0fcq ostMf9RYVhRlryajX7qwUZStdquLwGvbg6MB7IDmKKZAqoGtLmR68Efw1AXumG2jcgKxRp+2iqL5 GGQ7N1caUacVXxJU2KZs6gpbtQkLkbO0zm4Tfe0s44zno/Do/6NqQX72SYrCbNo6pSxNbGKz0w2z 23DJmhNEZAuz1z+kRvIz2EKCat6k/A2lRhI2CeFHnUq+qNkXL2aXRCDbilAji3haepPZoDgb6pBb cix94PF/qdGTXIdoHXeLzVEIzqaYb+qt2GarMshaVKX0/siXisYKo2xea5Rcs+EupL7XBjEDSiOp lsV6fwEMlWpvuT3wo42bFF8SzdGmg2aWE7lDtFYtLRM0ggIoHg/utRtDksSFdQTPPJujkAZZWIQc OM3+Iy1N9kTIFcnXoq6juc3i0QrR2fKtTYy2OXhPZPUxYAVA0AhMbaiigeTkh8l67vLQkqTf0OtG IlpyoKFg4eJ2xR92bgEQ8H4oD1Xx+PteW+kXVfScJQM1aJujEJ4t79o5rmi2NFmpNLKWg/w3wi2J ZsegERZqKbuuwQts+PjRWUXbdRTIIWCOkJFOiFCURLBk5xzh6MSXBL4Y3GuFJn4aVMyu1QBakH7B 45Hu+q8Q8qznxhaf5igmQRYpjdyuSHcL1tS2i9UCpm++dCsOsysNL6jJxsHBR3Og/2iYkQU3qynl 9bOcoJ6e26MzBsY2XzcKkBhOVd1s1W+2szrb9R+veDy4/C9RlCE5GzjZ99qOwmwUShFbX6TfqtPd DXJ2a9+TKHrnaMfa2azfT6qNcfDyUYMb85bkCqYokXNUcXcP1Bjwmm7Dylr2jsLsRiZEIqhbg5YR UPBN2L3Bx4MKW/u+/CGGwkDWpug9zMZTcvJ1NMRJh4Zjj2ttyUPI6gpaO1Ya0VYTWWZfcn8++zSh IYXttLEeMytsicwj6P6iqnevNQr47xCfTQuaNdU5mtv60XDKktDi+TUHHo+O7F9SI0xm+52jEJ9N ztHKJt4HfLZvtSzTEy6jQXM/ztHfYXY2dA1NXG98BG19evbBwT7JAZJ2JQ3A31m8MlIoiInvCKaI EDYuSRuUraGFx/k6X7TxeCQ1cuv9DCIRks2bru2QAjnl5NtdJGIkj4kSe14GGbn+jzuEjCjAHmL3 j1uFRMmiAeZPtTo0ClHRRE8EJmdQUs0UhrK+Ea+1HQXaEGoDLFueNNma5eAb1y2vlbOc8HhEF/Gr f1hKWyG8bHMUorOrCBC7GBdiZq88nuOxuVpdQ8tBcxRTIFlhq2Id1Yd1BFhN3sDzMaXdrBax108l fbitXDgExTvxHX/mIklybGk4ve/8PoO5WwF3bYdaI7/8jMBr7aD82hSFgn4U8927XtYRq+jSGrmG RrvK1hdTFCJGJitskJq1wegiMApAFYRZf0JswZ4I7YA6MncovTg0ew297V/1/g3pVBtsq+Fs4ted qBCP/0uqjoZ+0DsuFw6xI8RI6lsCIzZFWS4L4q6R3sIpyvLzxRRFiJFBs7CMBpQNXsreJ59Ff5rI owHYGUmiBI9DR3BRzU9N7N71tn+SRGvJPqhOC3FR1Y5OYojHo1ztV50W7WskIzZFYYxNWyzy+k34 iKAC4dfEWQcvq8G9j8JHO0aMSBJaXOPycI1xk0EFsinp72gxoi6CcmLDvyfMNpUzaS6M7wjCxyyF McHYLpwWWnjEUfBHwOP/Us3WpkTIcfFrOy5lEzGSqlPXusiQlD2Svk9heY1o7c5PisJHsh8AC7TB zyJyKavE/E6kB31oirDh7XCvCFQjqvGeetvoLGLK32r3wc6is8wlVHd+azweSWh8ryJyNfNVGNtR hE0UJLqPRl07EaNb9xQZ58qaprsA647gIijn4xBad/AK5IIP3ya7b6LeA32aiVjmXCYQnQYvyiMj Fml3qDJCyDr4Yj4owJ6AWfJGO5+NxyOdum+5GphMN4AnNUWGznn71sWMX6UjmdRPJbbQhrIcF/3D 4jbiKdYZoRh0Za7KQZPEow7NZbQfkpCQlQY+Z/MtoO4YNDryaG174YgnygCykt6vZJihcc/4IXlV nXyEHxDBRX+J1J/FAerqnahQ0a/w7pdGHVVH8nTMSJnLKtsnamlGOiI6IMj7JZ4tiSzmXQq0wZ4u 2ZR9qDWOCPtMzFlUqAMA7nnJotQJ5bf8xfJbMCe1QUS0c6/IjgXFfz4fpSO/MBEAZwPE6fP0Hmsb TiDBQtVqkWisWplNkvwss23+7nQ8SCE8u9F5tlAni4PN0x447Qw5MlFMQk27boj7rAw2DyoP1zM0 2wv/hdIqWeTazHuO53A9P7FA7BPOqikKuMfV92mSPsbWu0kJUbLxc7nmbdaY5x9nuTNlDEAIDXgz kmaf7x81WNNvTN043BUFRXYg4VGR3GcfUo59IPIWKyk9QppsTfNbImSkvNb78kEx9zbpRsg+Dj4f nOLzF0cbydv8WlFR1A0GO7A1c9rO25u+qrw/CMsgCLlOwmy6PioKmFhxm7P64FUAzhVyDiiyn1yZ JqL1xLH5zBNSnjVcbFxcVX5L0CdB56kOeh9psFJJQT6EL9ywiEghjOQC2vF+Z8t3INuuR0SKYu/U 1LitBo9M8G60iTqxlBUpz28170SFJe4p3ggVEfOXSwTyubOkeOGBmpczLQDgmQz3g2klJStNpm0v /EcxoKWtgsn2gglaHlYwOZcHn3+fKJRsvyom524EW+POU1jlPufviVe2+41ARVtzA9ikiZEAzpJ8 mqIAXHjkVIgC5HBBSR1HIHVGNmw5EAhOCvv97E6WDYVbZRUBOAK/5S9+RO48qDhoms5RPiRpdKaa zwe9gAts5/lEg7evfRfKkFQKtM5soUGiCbp8WTrmmZjSNWlYoBsvrHQv2mmV2nxwuC1Ufgarb9AG bSxewQnhnN8bDVQgoT2A4nP8liBVMak/Nn5pA6zIYMNslFYi5yE+HzUov+Fb4GWgtnnnKYrEF2GA uzruhtRhx0uk6RW4DMkbM/iLxEiq0G1Tu2/OR/Wnl3NdD8mxQaeN9cx2FpaU6PHPNzDo0943CgzI 2so8pTgI5IYrcAnk1gafD3bdrzol9WL3VUTWjfl+z+LXXLSbMxX7O00ju4r9WvOZpqjgzby36dbX 4NuOTmyJFaa9gMElue3E/R0ee7hvV7/kNrJt8S2R5K8kyOSEuN0JEby/Yq5aDDRDG5vmhTg5J4EC eO1HcugXibINlX6Vtey9utfhtiRJWIdL18Mu8IvMbGsg6ZW6dnnUtc8hglyFue+Aw1YntORcxvgZ KWYx3XMdWYC97586CX1WH1QgmLmQlv/Tzobk85FQwviaJiC0Rr7Y2xxaRjbxt9JVI+0ley3OvGxQ iyMm2+Ypwpfgmpq42rIPVmkCJgCtdGZ3Y4BZooU3SYHDv3OJN3IgybFnJIsEqYrRVJ1WUuAXzEjq ZGKVzwfh+AWYJFtN/TFnzYFrJB5rzIGnVVJQ+ra2CU4nq6TsRhtgXnahbSRklbGOWJfj4KhA9Lwp p0H52ZO/cNdRM6Yhbh6UGNE0lWbvG7WZqNpqrZSW7Aw/nw5gHc/wOvh81CDoX7FT4bl0FZJz4ByJ TrIO7tV8moof3AgKspctl1sj5NA6cmzT3No+2Gqa7AThEoPMDfSliYuTzx+pE+sRAGTjOwfWkdh0 VEsaRE9y0HEC0ME2BcDK56OQ4JemRGNr99l0oQbgphhPWV4Ab9vNENFSKVaXW7sXn6aQNUnlVij+ +uBY5QVe0SaHG2iKQUfpNkgSQssJt4WnLFJMyqF/JJSOaUhpQ7blBOpQFUIANqShfyRt078iTOlb 33mKFLd7V3FuqQwHGJzZtsI0ei8vztGeUrsujsRFcmepl4Md4v2EdXNgq53DCQ7zTO2oRw/oeQN8 6DZ3p2KCwEES8DU2m4qWVTGzCsg6DmKsftr5ID4fyW5+cydRcSv1KaoEFpJG5c5S8BG4i6hwEbqK Q3NhHu0pcOghucitBRTSBy8WoDtZgOhF9WlDs4wqt4PlelQh6qVPlqTqU2giKeHtpIicg1LgAdyA quFl8fngFL+FTCFTATAbN8QMbCQhmpio5baF6qLPzUN8Q9jNKh2K2D5PkVKJNcIbyaYcHHRy7pPp RFygKRvJcXRZx1L72YSiKiao1d43Wk7MWKRTKn6TYoKzDqcoS6ny+UjO5SZ2rFRtqO6UmwEHVpII xbfS3qsM1FyIKyOpd0wFipM2TyHAWzkdMxcNPk8npM9gllpq17jyKvVwF47Dsvc9x2n7wm+JxO6J gTuhvg9K7SBWJobfaoXPBynLr/MJCGsaUfk8hRBvxuJ1XtJy49ojNndlNweY4NP4PIXgk84J2myw cLjgXEBPptJcFLR4kp3cAngv+n5xm5gW10r2wlEXigKcSeqAyRdUPT9l04LKs/H5IGe5fFNKlqF2 tbCFbKJCU8lOj9vJojSrmVL8JiBuyB4YvpsodVgOHLpKrmkEpjs4ahBwOpBWqQx8jvVE763CpgNU o8AOs9xOgLgc2kpiHgHPKVVD0bo4C7VonuCpy+f/F41AGgp/+W6HxpKTOoqbg3gVTj8lr4LgHFQp +jCRoBw6Sw6Gl7kIaFHWk9udsHuduSHoveaZK9u+DeCgQQvVR9ptyXg7dJaEhieKAn36YL2WBTUl HeOTzwc5S/HzaWl6wXq6FZXYWpLyLmM2L9A1nUmoqKzaTd4FUoVeGw+8JQUPBdiLPQQOtprOX98g mkiq11wdnEFUVCoqvjjGpaCkAh2/nt8SFejY0lwCxhE8pbAauhhNqPi1+QFBnNm+1xOgVPMhe+XA XhL3HZu+7DafDXZy4O1s+CWh14EcuNAROOmT/gBanAliU2o/jnegv69JCiJERmZuEHvEf6ayLIu7 TZ7KuvC2vXAEJKginnYNRvhqUNtjJ+9kp53P/0somDqek+f4jZ9Ci8nNEt1enracf+zeJ4dalCXB gMFaPB54TEr5EoF4WT5YnJmQjpfGM+isJRSdKMrd6DcLGIcWmzrl0184mCfqu1H9DIZlwLdzDZx/ d6nBc+7TyQ/4F12nW2DQ8hV6y6HPJM1/zuxUrxagWWhAwlSmIcB2pY6cJirGpShr28UHT4NhFJCg y4kDCrwLgi7wP/xQEXbM/NAIir1vVC1g/ltYVOFgARTArgyJem18PqKjfFfGQVqgmYZPUxSPN0l0 jmWY1HNOjnFrdMX5cQV4S5umUDWQdhMn0Mg+2HraCV2pTlOuAROKxZb5Bum14k3LvraukMzS+0bI C+Z18P22QWFBPxPM4umZps3no6LKd2WckvFlPtMUMi1Ze6qSLyX1gnG04ClCzBOewsWq6y5W6Gb+ S6qpBltNIL8vsObI/B5oGbHii1x9MMqQwHnjtrN5ChEqTcrjCsvdt4niQSLk17Unn4/UzL6XE5b4 ee6JnkIYOG0Veh8O4+mrmTon+kZuzUUFOZun2A2HgLlCDUEOtpzOkX525GDTaRYeVATMoXzfgDpv 9TrgSvItlygah2AojqV6B8WFY7EqSoxz5vNRg/NbPRiMN6Dlbyc4NJ1k9oyGthfpMg1IWH0CPtmB Ktvh8jlwnTR797Maa/bB02BYIBXqcUP3bZzDCv/m2ddUXc8/TDhQV2SYWeyFI8C8nCd0mhdX6yQ4 i1fsSbsyn4/YKfnruqP4x3rKKqHxJJocqNy4dwCkqYqd4jVda+5RbgvhD+dJ7rvVlg/eaQGrgPgd rCc2cxZhGvR4A4ir7qdh3u19g1O8iw3Ghnne3jAvDUIRrPmyNB54T6q0buupcd9RmfmZp/dwvJy/ jwAVOrSYienwsGBXt1Y8yfjaPk9ROL6k/V7zHTy9A5N9FXEvETxJRnhAhrpt8T/WwwXv9sIRIyxR bMmkl9zPDAohxX2VN58P0uD0CzoP0aV1IXQ5tqBUw3yZ5jvOp2JRAYIau+4WsO8+T38Kd7cFbKUN Xi5AMbPR02RCZ4YSgAAenj9mp0LRQi9nlq6WVOhCOeUgYdx5JMUqGU0INbNOd+7AzA/4l+IiTv1F OMR8JiokYW4pneTu4RNlgLnxWnbrt/M717vxwoB8USZwPYMH5NBuLKRazgSxE3wYyHONE3VW6v8x 9l5ZzuRI0ug715JnDrS4+1/YhZm5I5h/h+dXMw+o7q4gI0EIFybQpTLrYGuZB1aUYDwLUkCKYUKE IQ+Ahf4O9w3sifkB0da7oEzmg+je9aeRELtRDvmZ5WGgnjSH0eZQMTC7ZfiTmxxcDuwoEdzI7p1C FRz8KD8p1IktJY6DsxpR62zqJwD5VBLpLGb96i8cVTQBgR5Upz5RF/jq/NtOjFHIADjJdZr8gEiC 6cYG1LImpqPfWl3gSmmWXwntVEuGT4h8uYa1GCLjpMyQR9BMRbonw4Xgiw9+SKFgg7qoCJmT035m Cr4HZ9efb6Xvu7F7UrcXDi69rbCA9AwOhlYphTkpNIYyn4+8BW7PRep5eKsr5J1Db0qRoKosBfiP arqQSE8GjeTO0ZRWNhyYU8qdEFU6STLt+rAye+9npdKKAug6WuTBUxBwDDgRIiJwp9zV7X2DW082 sKqR11sjb2BlNrkJp8Hn/4v10qjUhru5cGBPiVxYtu/TTAVP9HWBh6UxF0apbm1XY8qxPyU1umHx 44PtvNUL9QOzdt4+4Q6KK9Si3QDyFRCvbeet7S8cyVVOaZ7dweB0a9MO8USbq/P5KNpMX8kL3gQC LXeeQuA49x10fsRfPf/YqxsLgkwq/mpaTqjPsUUlhXMpwGCD8w8mVcJU0pzQrxTxsJ2becHUixYV XtPszV442Hey8MJ726D1BLQs614nzl18Pgg28zeRJSPg7eMKe+bQpRJebRmiidOKmix5qKhpLmfI XmahxkfXR/0BsT9BUho+GDS6FegOIxSvE7IDpMicCStq+DQyUMbtTnV/4+iEIiga0awNuvTOHbGS 0L9I8wKnSsFIv4msUEC9goM5tKpUdFDTTYcL7dYYbvbZvStcL9s3B16VEMTAAdUGfRk4WPM8gWs1 Sa0f5zg4UyQKHluSKBeJNS47TzLrc+BVmbhswWXROVVdnQnSeourQRiDwKzybLz9vfFQMq8I/3ya QicdVn/76u7mvYZJfoHDTSV9ZsPIhGyaIgQ5lE8RQcqHOT8+zFAuaefIYkFuQA+bUIwJ06GGhgKw j5bkDcVQgVtltiTvZIrZBysaIB7mfVeHng/uu1/Cg+cLz0Yt93wK/SprJmKl9uxQjLEdinEOQ0+G O+MHTdPfbM0ixEr5RqwMdMjbYsPg3G+d91ybEMaHGRGu8gsTU6kuNKzEPU64IUt1NLrknwaulOSr zwG2+AH/Emj0cxyC9XeeopgcE0qcyvBkuCePybPcPkn83c88xcooUo1p2wdfTnBQmoClIxk+F87c zG+geHAOQyZtj51Ot/eNWlPE2ae6fVAyDGayICsZAKjQtfKB0xGPAGZ2vWJWObCthKQ+DifENhYW 1N5dUj8n5yZO0lIVFvzhW0kiQmt3sFMcoszkQ6s1tYxDnqkjuCD9tBA+W/jUtr3wHwF5g3SlD77t zvSTaD9m5fMRv+UXRRrd4Dqe0ykkbuKaS5CesCgzdye15E77IMmj1GryKDnwrsRy4gRVyRLUi7JH FNCB2JM9E0UyqxQ/IdAMdsAY5YGsbHvhiC9F8Y9BF6uNCoKkqEYBKhbfeVZc4wcExd8rbaEsBzi1 /uy7MBzn+SSNUWV47IsKi7GS1aNOyt+9JRw4WLrFd0KxyQYvGoBA22h2euLMdtZvYhMP9byFmldh C9c2HkXkcuBhmek/TSP07IPiJxjrsEiOQI3PRxioX/FTLywc3CZeaGOJWj4wKz05uGcnB/ekbej7 c5BDsNgmKgKtVJKA6xBQc6R7QKGAnQZtGQbcvffk2iMjr88TkK8HFz1FBAqMLJWfgQXc7mAHVDeX xpO2Lz4fYXt+qe2NQgaJ77zPa/SUQSyjakNKlzC13fILPXufprSKaYC9xk4ZvHuSya2y2W/HBdSY 3eili+4ReixJtrqNtdf6IzcQY0shdnqNnDJwORTZA3bPBqNx1qHEDHfq5zVuyjCL1wm+ddGdtTwq WZzc6MH0wBcSe4xBEbudNLsRtKALBE1kZjE1wteYKfslR6kAG7zTQoQnlSsHnJjlKNuoStrJuUI1 wmwalk6l1/mRSO1ZzqjV2KAlBFaOjHVOJP95DZjyQ2fZX90oen3gK1/DpfNQp4zMVPWbCBVa+PB+ I72Zy2dB21TL5zVYwvIZCr25fDjYHhtU5KStMFKXgVSPCmrokUM9vuwv+4HOd31fPuDZdCA2ig9W 5m1SvofhcPu8xkmY3Lt89K9OkZA1Pa9RUrbg6gRlV8wSAbaO6NS7+X5S1sJUCF9jpPNBg9Zetezu gx9ByJrnoFjTXHQMmZJohtI2Fvm+fRXumM9rhJTFd2T5m1YfmCwBJDLxm+yUnOT58xog4U818bgt 6DNoMosVS/quRttrsVWwhjvFjOmhYwb+yxEEuPblEhssH6vB/Y/ADtRjVi3cPhNn8Nik1i1YGG7I fLJPbttrU1f3NTTKEiVHVZdgMA5GhFp7E9qNZvvnNTDC08W3l2kVQgjd5+c1LMpeBNhX4xvtAm85 iQKpjnh3m5jXoCijTEr3s7mrD14r6btAnYk1ylxp2XvdzyCcduKvdTuYDLJfQyL8mBSxBM3MB7vp 6RLDr1vl8xoP5cfWaxPUhBLuSNQexPy8RkM409np2Xtc+5PeyyU/1a/OgHt7hPMjIPgz+PHTEnJc 4k82WnVqyDXw4AZvB9SNPaeVI0O0wYjSqZXHUK1gkbJKBp3+VGTGVPvnNRDC8TXH1wKSVWH3CXqN grLpV6bC9FnV29qTH0DL0fOTWRcX0GsMhPOZCwh1NR/8AFrAeKVFK6F+ct4i/CCClgWiAD0DPKom a/w1BMoOH2yVbRMOWkCAa1SRB3f+vAZAfMPv+cE6aHv4/fVajsQGIzKnr3R1LGR+Sh5Pq87jydtt ql+LkdzeBOxOmsJxsPnJBTEjWRYTgMIGOBu9UNYPeQboyjkijtWZz2spEvODXB+0zjuowHZ+Aqnq ApL2eS1EIgJZ9wAi9QCSv5IboTBEMEElsR5CBI14c8WzsRP3XmrKGN57ey1CZjk5AsqsflJ/hM/P rdspXcETCB0jMH1OUn7m5gREhdzAi4SjB9NrBRI7TDaeRR3v4h1vkGE3Vd5YM3qtP/IGq98B4glF T6jfPUCMAmi0rgAFaNOPaMJDVDDSYqpMyJZ3caMIui+FiJL5yI/MB8DdSZK5YwNuQtyAeIWL9+4o 1+2MS+/zzpfDGpKC3mQ3iQP/sBNB1CQKQRlgqUabbPotz7NrUBdq+yJ6p8thd2TilPb0Di4svb3V vR2VM1d7aIXRJEkuRlR6Ds4qPNd7G4yjUSjCmYq2ZMaxuQB4g9eEN3BBpODr/nVSly55r+7yXu2k eEsN2Vz5eHBS7+9IGon1gMmrzdF7KG0CfOcoqr7T+vadBpzf9KMI6abBcII52oyCypCkzphflz30 ANjxH0hTTxREKy+6TFPCPJWbaiivj4Lp3oSF38kHYd/OES0+WG2gNr1H00jlfkXTJ3wZQnpziqJw GtCbrKqyh0OuDV+g9W3XfUPTwoAAwXFtRqdEtWnwViSS3glvE/hUzZoXRb0rSis008tw5r3XWdLr BvkYFavb7tUH5atndSaCTHB5ft5ZcjyPyveFhgpRocmAKlPBMupD51F1tUGo5nthtt6QqMtgmBXH YI46Galj8MQe457YaNViosSfmBXatzzbIave6UlA+fwmj4Gqt42OIzSLoAyCAeyGatQKsKhYGTs/ 8uedIcedWr62WkZxr82btb4z5PCndW6wUS59tzdHmubq6qcLc2eT9B5Y4/hnUcjYqas8UhUTeHfx Ks6RzZ1NkwEsJNKnGRNYVTbb6waZB+81anHbQJ7bhiRtVVG2lM87PS5DGbR/LSQqX4/d74EUBtec JMpIOE3HFWJOytm9JssutCYpiq6NRdjLHWyS1oJtXkksAG3SmAjj2jRC4G7P16Ny56nXfZ+kRsvc qkerU4HOidSH/sM55/bnnRuXZXFpk6TuNy/IWx+KAmyQmIC3acX7/tvsc0FvaNUpOnM7Ny6KsLsY OlsiDPuhpJ5DGRbLAtws+IaJeoJAm35hGRLU5sXAmurnnRqH5crko0P7wAZNEvrZgrpNQN2iGNvF 4raQk+AwrnJv//cgGzcbA8eRXfLknEjTiCfw4v5xH8/lUh5RlD3oU3EmpPhgDdqWIJYMGjNaRZAH quaFjuYR4sd5HT3kCfdOirNjl0In1QeFfjCZbvZ1+fPOicMf+3uzob5Z1p2iKMyWbd7EcWjLqFaj WJ6FmexsgjGwOVW9M+Ky8Aazd0Em+wOZPHFdBrdHtnlIQ8Vs3tQyAxRwM8y2ov7U2/41RVDk80Hn UYf0nCSGgImIAm1BX56L7Sze1v1ieyfDZTeGKTO7YnVRt4ipbCnu4wkXeis1hoH2VHwk05PxmJ60 E3+e5SNyJVi1khfqiL46YE8reSZyIrKit32/2BCDnbWTKQrDwbgB58AU5n2W/HlnwmUznHqqIWd/ j9J9Fb0T4ayEx2K+IZTTechFTvJ2SzgI4Fm38Z0Hl8v/lyUthNTUBjuMBmTJFlgo7MyekJ6SXhud wgUfDjL9/DBiwfGdB5ddHH536Z9ixxaDSYIzRLkFaJy88+B+3WuF4B+WzOc9j96JcFi+ma0hSXp1 tYa855GHU5iAvPJpeo+0nbZEE24bvOnB8gp4gefyOufGUFmW1sOLkkXl0T4fNKx4p8FliYiekyer xU9EF69s6Bl3GgWcWG593llwjLV9mkR2WiTq+SSFsfZkrJ168dJazSZOlaVVy0kqfB+cSO8kOEYv zGstK9m3Nlt7qufqJ4IF2hRwhl9sWuEwAg21pX7JOFI4eafA4UsUa880fFDvo0jMgRdb/bwz4Hii fecjcBXufXo+8k6AQzbMU7tJBY7aFNUN4PnXWHl/dVc/f+e/5eTeealXHzwfAU0NmG6cOwBdwzJs wmmK9vZUO7gMExkxvdPfsntVlSH62zALGsgH9ESAMr7q805+y0LkfFWw8WFYzjZHYQmbHOZFRwyF 2jW7GcMYrje8zoFjFbZ36tttoeVWig++2UD9PX8DDcb2aLQCRAXpfPoG9AKchx9ibXFuL71uECCR bJomb38Oqo8gPNlCEs31eWe+ca1/ryP0mNpqHmm/E9+yecgDD+JX26rT89opV+Ym2LYxc995b0zL ZcTE5cTBy7QnEWubHAYEkdA6R5sRDfCz15COdIoyWx9t6XXfDyRAxM4CgliDDZoj4GrkErTS/rzT 3vKjW72JfJjAyudbh3xnvWWJ4CIbcAtGwBOX57WzjTtHzTqx76S3LFv52dkAtMEjJEj+rEkV+AXk d5OcLiouA+sYQblnbGXobaMpkk5s44CeqFzuSQImbPRkJfvzTnljwew7ioRjRgPW0OYorGYvSZ4W Z5S05aAsgI2MeQOhRANlvRPeWGqmeNfiHNV15witunZCl05dhQpXJvp+DDr7Qhs7A2hoZTZqzXze CW85m0T8if26DzqzZzK0XDmJwued78bU61fB/+TVEPDzOYoi7UrvvFm2yTKnJqoN4aKUjOSZvS/r 5p3sxvqICiM8ljh4fWQAMrpVZjvHDtuXbLtlVA5PSFUfubxkb/vXVquNnEAOKiGd829It2uv8Xln umWzVeCMEB4BvaZ046N3ohviqk7DipW9q9an63Ocg6M7MWkvup0mftJfiT+lwmzwfG1hmnjkQDJo lUp0G6yZzoZBM/cRhqV64ued5pblf3PmZlEsgIOmKEEeVMXaXT7vLLf8KFfbKgL0MS+Pjt45blkq hpCcaNlDyHKJNikVj44gnmxTFBa0aXO6Jhmma16FpXM31gWYA1bROaYQBeBf6bgoIQ8M0iL+PXX2 i942miKDZFcftNFGqY0CjKgyfN75bTx629elBo+BOi9y5p3ehlVEt9PZrkT8yTu8no2qtB1GA+Vi brR3dhs2QeKBLaOB9hgNQBf+TDFKd9C4x81MEfBNMTEovYz1HNht6G2DfI0XfueBrUG7g1ah4gCW 8nlntv3PeQ36ViXkWFMUxdiAzAIeUpZD16e7wMI5z4S6YFtSxFB+57VxFVP2TVyI/nAhGrISAHq7 RATPr7GlgsrcPVHN6cGoUZDjndaWxbUCcoZ894YypOhVUGHt1JmAiurnndXG0kj+WkeY1pbbXUdR kL3pBT/LuhqCNVmyT11mA6431M+0jqKCNoLciWpg98G2Gu7JNgoBj9hnLWseyVLBpGQ3Y8id3OR3 PhumaIp91JIPOozy6oPC3mcjl887m40hthchhfg753ppdxm9h9jC45+pKOZsBsCIs5FLIgNP8oHF of3vVDZmCEOygcUHL3id6PGksGRogcvWd1cflzWjRT7b7YoMe9ugc7SIn6ls9nPQqbLwa4qW3PmK 0SKaX1MEUkfr+U5RFGHDyBzZ7L3S9k7uBL+3EUuRzXY/r8NaNtuzsNL2wQ+jDWzgoEflPrd+JbC2 QQ7nB0XyH2jDXl5kt9cNIqMuy1wZebsFNpAQzTS8F37G9wgbC2J9bTQ0aka6WKN3Chsz/vz/FNj2 8kytk1OsAttymbd3BtstZVepJtTO4tdkxt/nhtM1saAFfpgsizRIFwzkLnSwcPccdiDfCWxZ3i5Y mKyOZCLtuEEqIncyIvvJOj/vBDZGn/vryMYTA19skxSF2K11GZ+4jrCqPgyxaxneyd6wSNQkhbVs do7aog0jB9trJ7w+uSbgm2hB5gzhRUwSDT2we6CGcuNHFAM/7+y1p92/5HOOrqcA03We0Ez9tbOd P+/sNYJmv0u1g9jAZ5KiGBurG+6dMxtI/XyVRUfQnrGS5O7DjfPeqWt4f8JqyhjFB+eG1H7ysgxM xIT/XG1yhTsR6g/po2Xsh5JF5to7cY2Ritr9kpvqHkGWcfLBKarRzp933lp+7GGUz0LepK3l19o7 bQ1fuhRB8hjiNYws0axPCHNBf2Qzhy+EPv5dzq4U4NTgLUgIJs1FzbKzlXdKXEgF1JmFm+qEN9fQ Y9BW4J21ZuhgiLf4MMVaG6gls+zZz+/9eSet/e6uEU2Bv/YHwB+fphA5QrxSHdRRlogLoVh4S249 artNtrIqltI7a82uZuBqDIh90zWQlE7oiG4a6A7QTKhkHwMIv0hbSvJEUWmk6HX/CpFyYxiZnaQD jGjXDwObh887ac1KE0/ZH3cF4iyfozDSXspjr0VFGxRLoqVANtVO8KfcouKdsoZPqpLn7HdwkBYA xYmi09CRmKrCgfLQfvZGOETqmaksswf5TlnjWhAVq0wfDKW1e+omVs7Ho1A7fZ/bUNuAFIXN0Xuo bVpLJye3W58Gg0lzBAS+9yCh9Kzy0Ttd7cK04Mzug53b4PGdUIjGXRtQNRovnoi7dADZMkLJR2KZ TId3uloWjQoJP6vaHBxLO8dUAHAi7Xe2Wn5IfQqSiNVBWcbmKCxnT0JHdtteYst+bEM8tXiJrWRD q79z1W5Si/veBy/5n9fvYn0MYC4WPE5pQ3TWBGTCM2lHympVG3mnqjme/6SzOfugs3bhZ2biX1v7 vDPVskQ6vtYR+v3pxtrvRDUWnRAktV49kBQtWj7eaVrYDat7CyTfeWpcx0xna7+Dx9qwa29mVJlg 7tmk7nJW6TlIzh8NmIwZnxET8c5S4xSJMcNKLQdLR3pZYj32PT7vHDWmXu1risqULaRPUYgbGaZP dhUjpDNJxYhhgROrxRYivTPUsvvAdMit2GBTxNMoKXMdJ5Y5maUsfRuaRqh27OviPZO97fuJ3TU3 gxuOg6aowgOB4TN8Kt/pafnhhWqKtq7HexpFoTY9gs5Pado17Kh6oXYlg5LCWTiZ0t07N+1W2IYM zzl44p9OiJcInzlTBKM41nLPdXDeDgxRNK/8MCJm9J2axpQLf1XXFPU7RRBzkuwPrp7POzMNf2z+ DrShbAz0mE9RGGhvBUVmRAESltNl4VA6lPZvxoSaohiazUB7tOzDBY1k+E8ohT0/AdJamg9X3NPo P+Ickk8l8PZ82wjqRzooytY2GCLirM0pOGTOeDwIIX9h16nx1S5Aq4Vhdk5yGLy6SNc24Mz59Nba Xt3y/haVshPRMkw0bfC20bnpAa7pknnvI8sKHcyZiosiU9PNPLyTvW5wpxFz3MSfaZc/kwfqJEzm z0WOx4Nufx5fdxqoOh3sWiPQRGF2N7HEK0YmrB1LbFRbNHUIVP7FoHkPs3Fec4ttOitwsGV0Dubz nxM9S04AeZYbVxpJ5o123PNG2XIM6DHDUebL+w5aRud4yGYFv/l4BDz2KiS7j6Cn1ea17B6F2IOZ yOIPwikazRHsMClzL88qUWVM0XuIjU9igU36EBysI3JWBgxyiQwZRPELv40jHXLBruKqvJ8CGj0q Zlc5Vcq2q7htV17wcpRwTT2ZSI9C7N88LHDMKvIhm6MwxKYtTaJbrUNGkrdE9nVZas0hIz0qZkvS HUq/PthWOydEAupaIkjwtdsMH6FHtyBFytaLV2qJPupRiC2TpXOmkQkqf0vF2GOrpz3O/YvnA7Lj clgtIoYMIXb4ZfsshXRHCQJ3s4Cnj5oMK08ASZgY2bLUEWeQ3aMgG3IN2EK7+uCzdI7pOcjHOuuk wLmEeT9KtQsShWU/QtzSue1RkC3tjOoyrm7Pwc4WMR8A2+LxiBE6v3YbMmPMuM9RRHkcpKzJnEiK EKk6qrYzOVZ01KrPUYwZ4aFdiPTj4AUkYGpOfsNTp57oUY5V0ND4GVDpz6Xd8IhofXxJ1ICUTM2W ATP0pUQPPr9nY7n1xAMTzwc322y/thvU0uudpDDKRqU6ZdGyWHC7IWR+eiQTlUObpJD4OHT5q8o2 nipbqiyOEBAB4kmXPBJ6zz8DWntAPN0jidKt/T3MxvUtUo10bgn7Z3B8MpoiLiqQcng+2m7fk0Sb 7K9zO6xpD1bZ4E6i0sgAFIOlEdw9+EektMBZqYLU45o28fuJhSQOlvajoZbQ4wdcsZ+dDSrWSfvh MLMpjlFnfWQ2p173rwpSWZK3Xd7KbljqXFPnqpx4PDi3+3cUibJZf1oj/T3QVlsPJ1KlAjlDJJaP KlFsPIbYGqHxCBdSFGhvKm4X40GupzRywux6QjBKJUtWxOr+XVR/BvpO8ONex5cEc1TZFBnPIIQW v4FhZM0Tjwdpf/tu1AJQcaLoO0cRPJug7gRZPCsfJbCoJMmG3E1VEkIcVD7qEWpEikeoO2rgbcxI +6zn1VBNQEkbQsA0DYQ028+i7ldZF59NhwB8SRAj0VSpyN2lXHeXNnEkqW2WCh4P1tH8dbVRSuTE 6D5JYaxNDDv6DHa11cnCGmpssw4rAZy1QJx/5ydF3WyJ/koWal1ZKFR0ygkIJrVaz9VzkiRcbYVG 1ZSdWOTViGpMpmiPcCNdWtI4Km24m21R6u/s54nH/7WQJCUNp6An8x9RsA2BATIgbpctOXAkr+ly YztRBxYn0ohq2pNHEWRZfbBje9SOSnZTcaTtmQkHTPiihgh1Ep6QHsHtEQXbqkNWQrU0qOy/cR4l 1dgyHg+C7fGdj7QEg8XlNbYRBdvomrP7OLzs35oLZuxdutUhkXoZYz2uZ7PJBuUkGxyijT8Au4GU 9XMTAAUB9UC0uBbdX2u++mIov+JLojkigG1qGJQU/yFh5ByBxKEPJLYjLGgvj5HkLHE+Zna/2UYI 0E4yfC3Vo+3uojSQtLXkZMHX1iYpKmhTcbVPndqT9OofoGZQTToxtpjFY7RzZ7PWBG9E/s9obVwf gPM/DXxHABllI7JAnIxDVRgJNgGVCH/Ox3U8HlWQfglD4GdB0mVTFIXajT3/KiINz+/0mACMC2Nr MJrQVotCbaFrOv22OgqRNkUN/fJzCtFYEbLke4oN0Ij9gWhWfe7+kw0NfMcfND+k98kHK7Kh9yKD t7HxeKQN8asLiby2XTTkiCLtjsAoDdgCGRtipeytWse1F7hxWXlkRJH2ZM+oFcq1c/Ag8qR+FYcQ EaMgIRFVi/rAD3xxTuqULn8NwFK+7l/6K6Nxjmj9K7ZQLoMLncYLGc9H6+hSsyWhjAlKfvuPKNQe vP13Wssutl2LCyGv6lw2F4A6EdKIQm1J+EATywdvHp1LJy8Zvi4YkWORUxYSJTZZkvpCIu8N3xHs tS1LkjJ90L12FrFUsetZq3g8Oo6+7zWmtemK+IwQnj3Yqm3LF9Ku2Y+j1Rzxv+G4aFMUwbOl39Mm MSQcfCHBSqwCXAR/hH3u6ClDQepWAuFYvlSOqt42Wkckiy6qaPTlKhqwrGI2fj6vDzwexUffcXZh yHZR/iMqaAvsV1ty8nrNrmV/JnjerHZ6x39EcfYkwb+JedQUAmfx12CkudSKTQlIVCHZIH5KdQko Z9oU4drBd0Q1f+b2i4I+3W0qyonTp76QfNoRhtn9u+ZPvX/4NtkUhWE2iRC8/i6qdtgqSq3n2zla hqodYZjNVZRV889Pzb/Cnurcy5NEiBMSwD6dSpCItrPMbS6sllEaviQqRZKVBXADhV2p2oA/bS7w mfFXnm9a+IAg83+aRzzhEaDty2EbYaBdeOEPKxwB5+/n0dltpPnx74BntzZbWNTO4oswhuRg5xEU +YE0LsKOLFqfnGkyQWmikJIX/rc0fcKitkwm65C3DVBv/Ms2BCn4lWPngueDWRrfwFEA5se4rOMZ VrWruUjJ5g4BJfqMkKUDgb2YIyd6tcpGZijbRxFfAPl88Ko2DNDINgJCG1U3pmyb6RewDGM8LTYy ameIHeFRxDDKBh3avcuMDlrPFY8HU/QrQAJQCqB3n6Io0Eap6mJrKfAv2YjNAGkZvubsdwdFzLCq Tc/EqkoSB0f8oZzfKXRwDu26af0ONTm4mIFWutJTsEWeiu+IklrLZocPmqKRJMKIRkLB4/86keTC Ae236WH2jMLsVJiuDQ+zUU81il+ayXWQzolU7USaIQ+SvUXgE33w/lHHaUHn4glpyYRayASO8cwO 3OJgNHXVH7O97p/E7MXDm4OKIxtprbGOKx7/LwJ1QCgMJm2aoyjOplXkWfPTFfygLGO0ozaddXwu IQf6z7ikzYsttTvYHLW+95JHywlWT/aMtuNZ9BNtCYTHLd3aCM1i8B1/4UaJ1bBBU3Ti0jpE708Z jwf9/vEtXNMINSh3p0VxdhUzS2ZIOLwbFZUVHiVDARRY3hkza4YqflXFtf+xRcoglOdCbO25ezKS e9TYgLMbxK12qkBKAYkQ7RmyIBObIpvKIxy01c4WRBeXrd+Kx4M2ZP9O+1Fx6v3eajMuaFPdsObl l794xsxoWQvlVivii2COIthIZx0STFAfvHx0ko5GxXzER5D2B0T5rNEzKwN3IZQaPD6q9rbBgd3l k1i2D1aGXINSjfAbHXg8IhzfA5u1byiegL5ncxThRkzI/zL8UhFDm52Rlew4wgVtGggzqmevLMFe qWWORy0TkLxM/12uI3A7CK0BTqah7rgYZouVTTHIGYXZKkMOTdWgpbGy/nNiCH18vguP/wsQSTgk 5hKK0DZFIQmSTrdzLz+x6SWtZTT68hN7bieKzlDPj0TRE1o1H3yKNjIddElxqUEiF5k+qrfYNqAO X8OozJoZviPory1pILA7wsGQ/ieIXyqwbT7+XwBaSC0adClsiiLcCACaqFzcKdrNq7N5L/erOTHZ MBT7jMLsQUBtq1xMHHyKTp7fNy8tkNdandSIgvnVz2B/Aa13r2YTxT6jMBsytlA+En5kgYwm1VWY S/BXOelJxfP/RUsjL4b+d5JCEqSq2SM7UXQmJxznLStg4I/IXdZWC2X9mK4NdmE1ONKPwgfCjI0G N26la3A9g+jG+Tufa43Ok/iSaK8xpW3caxycVdOonQCKH98xQtd8k7IbBYJuy39FMTbqaWjUlnKP 7Gzlo1Sczl7gS2B7bUXIEZRiJ8XPffCsv53/vFVhOxE21NEn9xp2DOBO7frUGLd/hcVsHLInklDy v137+aQ9Wf7i6LLh8UjY55dqzSax1JfRCovZQ3oj20/sxdWuAFIhE2+11Cw4WmGMTeRRTexlc/C9 Bgjk2c281SrgL521o8RuIuGN19YW+BJ+RzBFxK9BZYcDNFW41c5h1hc7R2dTFzz/L60xvBtERGDA 63MUKmST25+qKWZkCiA4cmROg7RBQdxq2StEjlAbm9xEDnSYJRoS4DUqE9LCHbql7ImQi4SCPwLr GxydWAXfEdXXFEDmO9j26OYydmIuPh7lId8xNjSEG6qwNkUhDXJyiihAJU+D0r0ECW0Hg40Uulbg NFohNpslkZpZgqz5KUFOHKil6Vg+h+1Je9ntxwnYEytI6XFHtrf9q5ZdOyk1tfeLhjwHdTO2SMXj UcJfv1ZRZkm8X6Hj9R5klxNTiQdRXCDq/KMB+1HvNwDSxH1kcxSyIMWlWcxqi5yZVD2awE33buns CZBI7e9pI9kn4bm51EjebPavCDbSZdEqaM1JAovp+q0CFgL1D84Pi+ej8OieR46rHeT6aZaiMBtk AuxsA2ThH6uH2b1Y/RaohmE2IisUG5FcLe5lG2wlgUCfy1aN7cTcRHoDjXw+CvZu5/AsXs0uxKHj SwJwzZTU2Fo+KM4+d3CSYP/oA49HsJHxtdtQTf6CRKwozF4mormsk52pcmUF/1YtVtrgh9kchWG2 1CEkWlMf0Zp67o8Cqh8z+3NHZxonM1ACEuZsgPYAkAAG4+tGcyQsBINIcPoVZy80FbgOYC+Px/9F 7yfEBOsoTe+urZAKWQT3G2JkYbqyVYxQSJ/mUsdmDYtHK8Rnm/C6tGvmwzoCvGPMpnQNkcBwUd9x Qj7AUDqdyAUbmUOv+0cuAjOapeEkxT9kHdHq94cCURWPB6f2/r7824lnBhq+NkchQHuQCbEfvVpi EiQ2Ulq2+Ghv16ZfYT2bUIg8VKgdt1Bb8XufX3dQRQsIz0lTn0zyLSrTINlYl7Zwx+BLIoQ2KdmV W46DqtnnO1SnPccS3zHq9nu+JmZJR6f2rqMozl6s+U8nrEMC2bX8Uu/bKbW0EdIchXE2uetZXD8O 3u3PUPkrsq8f6BgNstcaRDRQF8vtURqjYyG+JGodJR3XywcxsxZkwPh9eVY8/j5HK13BWk7SUBnH JmlHgfZgGbKLAcEocnqgjRbklRtBtY0R0o6K2XLJKPhOGxw1ekLKM91j2IFU4EcCk5UOYT3UFfPt HclWe0eBdqPCGC0UbNA6OhkB+a7njBl8PCixlZv3iy1apHdic/QeaUPIhafQ6N6CnLlUiyKrbO2J Py4uprFjvREeSNZD6rc2ckIkSDnCQwaZG2B/mwpRkMifSN5oueIXG4X8d4jRRh27MuWzISnSnjtL 4WntgceDiy3d1hHDahqtDY8jd8yDlHZWvtLHKXtWm7eJRkNNfblWfQzSllItKVoc/GaDMnGbPJmh Kn6OV9qtgOTRiOwqXocs9NTFd0RFNjZoM41qOShjAzhSRrXnx8TjEY49f2dsPCNvxrZDGqRqbMMV tE8w2Nwssyh5I2yEUSqnKFT1o6ZPbUw1OHhSO3BvrkWFqA7Dg0L4ETjDA0jZTHUo6xzxYttRrL0l 6jN0aI9bzz6XhFT9kCvj8Uggcvw/WW19Du0dI7Q5Mfw24mql2ElaTSNvU6Y0fZih6A4R2uplC8XW vlBsGYKrvauXDUgk7SMaa0oNi6/ki4gAe4dvG6UjLNJ2aft1L2efUC5JarGAv7ZDxZH93cvGvdLH vEd2LOpH6Jp6ROqKeAP7/OvLtH12rY4+2iFohBRR9e81eP/xvD8q8Yk77URFlV6iZzY2ZEEBkZ4X nr2G3jYIjwrVxaFva4PttME4HhlbWng8kj/yjI2AHAiqsFFmcxShRpJUWbZ7P7G0bcto7+zOGRQc 1RxFqBE3nmNbhMPtrkEplWKszNZmYr9/oVMPSbaTkLTbx+5dbxsxRcl/3K35oFrtmWl5yiIyxeNB vnazWpPcyjgOHaK1wzi7CjZCWg21kJJvNbeCogDSqCaAtEPYCE9syOv5YOsIDZBZ6c98wseT1gxm /lu61ee33NXFfQpNhvEdQQhJ/XWKktpwkTW00qEKFR7/l3g2b3nUQR9Nvx2F2ebb27IT2DoVYCh/ lBVCgp1FoWpN0d9hNoxVfLinEeTcxabdKEIKn0UxNpqcCVkjwvGeettI/0i+oan7oCnaKRMk/QPh aTweFEfS96UGrM/M18NnR1E2+EbQGGlmbXwu+zbsNCo1mezhJvxPOy2CjAjh2NiA1eDVbLjEAifK 3xixMcwR4LKJKYIyNE4ji42GvW0QQOpOyzSk5aAge5a5CGY/8frA41FC6wEklh8EVNu8bWyD6Lx9 6+SJPbin5IzpMBFo1RreH3aYhhjJKdQb4c1P31EbNEmI1TN6O4ggc6dFBH1DQR4CO++niIkm+NHy Fw7cxEDIP6d1LX5oy10GzjhUbGT4zQ+IQKPfdj7Iw7G470SFyn60qjk3g7MhgOPV7CQZ7xHoTy14 KbGn2KtGnlDkOnLwGhKydDhl0asG1uKQ9gOj/RzZwImVXC5llM5C/JY/VDQF8rZBdLR8TnFStdvJ p/h8pBH5XUWCJ11fF15D++mgjU6JKBH8htojDmdrySAlZaXmxqE5hSBtxgBAwvtg87QQEG0CjcD4 x4exRnLuzJ+FyJu9/usdmu2Fg3mSP0Sl/WOlJCIjwLMHh4CkY8JhNUUR97g6P7KAxs57XDRSVN1G UprP6Weqx2g/730nyhHJ5yRxWS2afgYfxZ1X2Czh4AjbgnefLEoiABuFjr0L/8pCEF3To6e57gtH tdt9aWwaHGcDkWV8Ibr/eD6i/OWvjbeRvJ3tcucpCrszQf97jfRzBZGW0ZB2H0aS2ECN+QkVlbgH W7YF+aANt8S9ci1kMSLH7RsudUD+Nciyo8m5hiuOl0xFJHxL5KVBBAlUS2zQQT6QA5Fjs2EUkUIk ye0nMTuBdsUCLsonKixy01S0DRP2gQQZMCmcqEp1GNQpF1COPlFR9D1o3FMbFdo52EShNLAwBcRt TfBJMVEVjnrQD7aqklUnk79wVA0gCynTdISDJupcEQR0goG9+fz7RNX03eOuaEcAwevzFPvX4CRP yUxrKNHaNTkZ+GE7yaFo4fMUReDC/rFUbYMvqLO8ILtRVX0DiY4nOXoA1IDLoKi6ZQQKE/yWKHhi twTOEjZonhJaMPzH87F8PuoHfOdyjYXz1e48hWIklLSD2ZFCg7RaNpgtVFOHcKUw/i5+44XV7s0b b9MNiYMdUCeLPbEY+WsTxkesxaH2en5MqCHi/nAySSEahd8S8bYz+yXq59I6hfO0IZpnWtCNz/8L CSi1KSImnxsvisQXDdp2dy9NyEq49N+urm27Adp0p79IkqQSvVX7rj548QR+dbmJTAq7Liq5Iz5Q hR+FlBsY9GnvG1x4VP2dhN1qENDtJHWrKNBsg88H2+6X+g86AgQe+TSFAO65xPy7YvayjRqU+thu QkLJHpumsOi9qOoDY0IbfNsB8XlubjMdnatQuaXCXIvyVBBcvRQ3cm4Jfw9KskxWgGm2wYqVZ6ZU iBsMNEM7m9ur1OUI6s/jGxkaR04eT+Ksnvxky0RY3hHFq0wniGUPipaIIb6EgVMTQ5mD576Q8Cxz mjn0npO7bg/SghDo5une6ydi6Pa+f+lutERpOw6qEMza5Q2HW4/PR3IJvy02Cqzd7q4LrSMb9RLO N/hy6sPhkufE6lZGOalHu/MUgUwSW97nSNw+aJ762bEFXrWkcXVII4oQj9sORV/8O1fcjj4kOfaO 5DKiw6QNmqbWQTRgOF4qn48aBL/IJbgz05VJzLF7JAVKcllWScFBZTo3MIBPKhPsJrJb10dFlADV UPbwwZPgtVAy4wl0MnXUeRWPLlR8TjwISxGfptLsff8E44jIdYahMxzgi8oAoac6+HxkkPQdE5Rl lESfpqj+vaiZcHK6KybdipcK4M5rpYKz6LKvpjAYp8fGUBN8PBYJJw9aZ/8DIQY9wC6GAMRucKrD oqyvRwaQze8cWEgq9AEVPHcfdJykc1OIx30CWj7/n5QlGtu7N2cJTSQXSYFNZtosOmV310ybGT/r cvu56gIXyUROOGopVNTmYKsJopuDjgsozMHUvqp4idYzYuuMlMlyFukm5dBHchHURXFkDt2WU2bn h8sJdqShj2RZ3zkL+QrjStznwEcSpRBODrsf/4dSZpdkMotzpGSrOFdor931UX9bJTyDHeIo7baF wj7UXE4cnrGczn+zCD9vwBDdBu9UTBA6SWaqb7RKQCUHAy+1KrAXxGP4fORv8wtuCkR/3c/pFDIo ZZJMM1BiThIbP0bqclfJDVNay4FDL8kly1ZIstpgIeaGVwJJmkDAntlHXxmN8ApULpBK9XIoS1r+ whGRgsnvlk3ydpvkAebQkPB4WXw+OMXzd25H7HT6Wk+hXMmucra56gAycKGAUm4mxXHmad55ilxu hBYsRZSKUi+A6eytc28KyrUmzHIJqmhtzR8ssB/IoXtMUKu9b7CcyqvLHSzmBOM4n175/L9EXfgv n6t19PIcTyHGe1GUYo/rTNqX156QvBqsYu1r3xo4SuIeIVZAxFwOXlLBRps44FB7gmkO+wYw5fih b3KBJ7xjmNK0Fw4077dZbm0flNmBpCnnrBNs8vnI6saDAulJQ2gorWffhThv4k8arwpNVGsGGwBk dDseDrGfTVQci5ubdPbBzvEBneBRKZZ07r0FJwbKlSN4R2MlZzkFq1OX7IUjpxIK3+d9B4vFz8FT te9m4/P/JWch02MhEbaJCs0lO12Blqjv40laFts77jO5aBWgHDh0lwQKmQpB0wdH6iwc5OwhQJjz nFTFNt45zwfhOslzO4HicmgvOacWlPhegwB3oFASwnjWcc8e5PORUqBvPEZKiDIB+/dpCovjqJCk TTSKyBWIgsQ7TVl2QFQvIY2p66MixHcSajD74E1N+NFRCVoeAY36nWCCA6uzSNS5dDgZcIcOk5C4 78BFDB8uoMk8gU++zecjDvNlMkn1bIODcnO70GFyCUIA8TRT5BaiGSWVxdAYK+v8z9u4AzmwmJSX A6pxJOhy8AMKE54LrX/3WaMDax/F8fOqsJ7+kZCSSnSUT+O3/FWiO1mdzJOhhcKFsXhDYAmfg3Lz AyLIzlWclo/Sed1HxiQHNpOgHCoLbsk2XkrDGE0nKElGtTx/VvUTKvCZFMEeRO85fbjtlnME0im5 otcyy2B1fG4RF1G3bLwO7c7zN47QBJIvnzbY1mu4JqixhTCdz0eMnfYVaqIvR/dFn6jYBmc9JSfZ 4Pj5DaWcZnnwwCWskDwwm7yCuGdL+WBbbwGImKFooV55pZ9QQ6kJVJTtMp1qls9lLxzxLAmQFz6l Ig2QbiTMLZI0KE/IwQ/4L0h5BActPyleaDhJguY5o+p1w5nDzm84vLmKORWTbKJiRRMdTiqPp6/y OMSIS9eiwT7GsQ1WCoRbkYfifrx0gmLvG51RRDZvGo5zsLoKIkaCKXptfD5aT98gJ/SC4Th4pykK yZGJYW6WQXhg7eDqOKMW91MskDSwaQrlA9kuhzewD5a6wFnpnCad13+G63eXTHcnopc6DldkaU17 3wh8QbGO3KsPigyIZEiaps3nI98gv/G4cNC7gdeMT1NUHFdgUOkBJoTKcCYqso3pCJXJOnnXR0UZ nradfCfbLRgUGeBNExCGGCENKM4EUfMHvSHywBq3nc1TCFJhYYXkaRuEwChIsVkEWHvy+Qjo/J3h ocLT0MH0eQphKtx1Z7YcyTPKtFJvVhqhTHh3rz8F3pMweSfhMlNvueV8M+EFKtuiJM1JVFIm/WqK QNfg1cmCsE4nab/l0HsSWwT0nbx9UGg4MP2cpoyueWA+mb4icinkURmtPZHBe0SODA93DthIjlW5 4MKTcnT36prZZc1z+Zt6mdXD4+CB5kDPd7MAtc/FTKElNO/O0hiUlB9TpUVGmsVeOIg0k9i78w46 nk5OJID1SYkyn/9X2beoDAPfwns8hR6UkMDA5Dj78vyfdxHOnl+uuTQITOY8xSaU7CK0bravFzrP fQbiazIJ2LR50CfDZU4JsXvTvNv7RoUVOXXM7YOmqZ+8pT/V8cCGEgF5+7XtqNCc7zRFATlDmBOx GJyQCZ4fT3tNq47jj/OoIDCizMbmaYnxJge/7GrfJy5Tp+BswrpUgELg1DbL8yQaGiW82wsH4AKu o04XAA1aAQSaExG/5+bzEV51fh3j1F5al4SZ/zCjRAO4l/zgnvNyaHhxMu+imr3NU4gNn1IJYu+O gxdWFpQtQUqinTlqatDIOwn++tlgphX22tTj7GpKhX6UNBSg+TcHgPt4RSHQZ2X/B3RzfkBQMkjf +24Rg/Tcd7ElJWVzZt7XU4CmkNI7IenPoqfq0VPsSbnFQqU1BwePx891Bx8YCJ2eHV4B9ST86eQc G1YEMGFyD2FrmgemlCfMFFml0E4QcL8feQGsDhdeYuROGMcPiNAFN8dT2xwQ7Ccgj30pm2p13Vmr pkw5hTq0zGXjQrHMJTCmFN8BMuep++CcjL7aSVYLw6Xzf3001FbO6QsCFMSdZM1gJrDLXjgCimVm wcD1VEp4KdkYcGrjCXMu+skPiHj0327Csii6zks5sKdEgEvwBbFDUmKCg6jVVggvYjIMbpTVVkJ/ SjXMi1Q9S350hk4IWgacd2kBf7KUTMlzYLzhmXe+lfbvxvBJ3V442ntZdIPhg+FVoNTDL9worgQW lch8viNyunjOK+edQ49KbAiUO8b2Yl1JXqzDMWJVzbEuoi4wqYRLIRfUoNcABy+ubNQxjVHXaC84 WKzDMM6iZcvTHHNXt/eN2GIMxbXzOKg2eWYnmaB3Gnw+SFx+OXki6mPM4tMU1siVtRMGr1LdcJmY cxpmS/U2WmQ+TXFELuChwQzWPaHglXbSFrkMnB96AER/foOT5/2cI6n+CEOmfUdREX5LsO/Yw8tr 3UGMMViRsDpYT37N56NQ81cvAfap5QFohk6VcqraPN7+j6LD0Ng2PB1k28VhPbHo9m0XRuRsdSLX 8sGWU0vAtSalc2gPb3pWFATtC0F1BnnCa5q92QsH2y4RTwf+qQ1aTycwG3RSaudy5fNBpJmvehXu xwy+TR8PEiO0q6xksoLmbVXNKS9h0HC6/BeRu0Br0aqaoV8lq+RtVdILl5vbgkFW8EcsFn9rgZMu hPcq3PSQewM1wWmy9lRf9sbRCTWof0rJYQ6682BgJ1+vhCQvsKyU3MbXkkLsmq7qYA49K3Gjg6Ox PBku3WV0wd80LsKs02k/OTCthCwGjqZRZapTnyTv3JdjonZA8ntD2Y4dB7QGK4pFoo7L15P0+hyY ViaqT4MBwhOKJrPqCjdMFEt1BBkErpX/r3lFQUbQr49uDj0rSRBH8canaTOa5jQtyX3TUCeT+dP1 UVEFSpie0X0wkMEJBhrVK0n9Obd5YQy1UcpvENva3JTSilcIFZhWZuoIAHBIOVQOVjIofZvrwNDz wX33S6CRvuf7ysbl0LYSPzoDqOQVTfK4hMXoyyqa8Jzw3CXwrXRPxpbmHfyAOhMy6ItxpgnSXInU ll1FlUSBbl2cmAp1oW+lsBgUQsZ34DP5p51YGGVr3GEJTeHQuZKuJg+AHNBM6M74PEUheRX3t1Wn tabhlTpIojjukGLPNk9xiTxJO2b44KUV2IeefcjD+pwhWW2Hs9cLpFG4fx5XnW7vG/WmyLQfwtvr 6E5M202uDixaPv9PIWtM06De6G0KB+6VMIxD5pJlx0iFlJW8hZcFuUevE9msxQWxfSU64ufMFu6w XNzhCbE7UmlJVsJEGbEtLD6RhCx0pVdtN35q/sJ/xOONODwbfNulySABByGf/5dJg3gMcBubz3IK uZtdmHFfTjCd8ub5IOpcPWExgRAXBBaWKPwyfsqqQOWnAgWQHhRjGRectDrD42M29WbAucxA11zM ir9wQJhCsn/2HTFQCU0lCVLhgKWa8s9cufED3o/xdfUtGGgSeThubSXwsTSnJiTAxnBB8+Nxs3Id h42ryScqZHBmxePiJYz81ZrqEI4T6T7DkqaKkJDQxAOjlT1c23iUksuBlWW2Ul1mDqzB8OPnSEpS G9h6PgJBeaCJKcmQfIDFxp2oUJWwsMlfrHGHf/Sddw7yXR3dU/OdqAi1UuX7USR1VfbtCsPE8MRN 0kODDDjV5htaL+As1Z/1AKOnmECBn6V3pqAY74MdUCes0m21QQQKDC2/NRzwZBbO6Crtf16jp2Ii vTD1mXZAjdIscSkUpDN3tO6tztfYqcCxgZXx/3GORWUf518ir6wC+SZ5S/DeIAd2dpzDfU/Wgtjp NXIqkvAELJbiBBx0Nq1OoTLqgOHplwkqoJSxc2cLkACsipqvmtDR9Iyma0723qw8jYstaCyH45qb 1bSbXmOmglNJBs3yR1nTT6Vz7UwI+lHg6mR3gwouANfDCIasK/S9zK1h6VR6nZ8TWTYKXHT6onDQ Esrk3TNkWnj6bX4QSRSbHxX12IzqySboNV4q5veVZilGJENdP9kF19y/oSx0dLV+XqMlrB9qEqH7 6oNFAeiOI2kftskQiGMOS2IZAwDiLxeCznd9Xz9lyISA7RUOVuaFw4oZD7fPa6BUVIB51g/EkEhD 1vS8hklYP6Sx1Na+LAisY3D2uHGD0ambtr1eg6SSTfezJxaZODg549yZ8OJk/2lCw5oKu4vOL4CJ o9dhMTe1/T+vIVJxrw/YYmJA2CuAxLmVyyBd7aSd+fMaIfEkGb5+NKs/9EXQ/LzGR9xfxIJhI5rm Zx4OpF/D6OUFECXrqLxGR0XQ60lbah/8MoNR1MJ/Abc4aOywP5ewWTZS3IIU2/YXaQqf19ioyJD2 RYG4Z8rs4VDpJ9R+jYyKCZtzOraOqvPznP2h+XmNiwqIBuQf7u4NcSNLCzcwXDi2MJqgnV4wP5PV kiqFnfoo7FS01fsEifPMDz3QCkl1pLMif0PacxuYjLJfY6KCZk2is2fvPqhWQsk5dkb6Kp/XgKiY T+ddP8DrDehGa35ewyEc6pnQeTKnDfu1t9OfSndcBdAD5l8RzY9sdIRoag+i6UxEgoUWFNxPKHMC X5yrQH6d3YKs5CcTZWhJrXwZog0mIPhigaQhwBWY9Pw3ffK0hi395zUSwvGlxN8maFL3ofsEvYZB OJ/xr5+l113ju1aXikd1xOu3tTfTaHoNgng+8+baUh/aj/rQBokk9USIc4HPHrWZqdCxyJLk5rOw mrzx1xiouFBDX237oAUEdjAvsHaiqM9rBMQ3zF/zg5+Qfs6an9eCJG49ejEOtZaIm19uWQWAgzN5 aAosMbTggJZKLOTtfPBO5Ukl0FlTFwDK3yzHJVDDYY0CJXzXHSw0A/u8FiMZY1F+IBNOs7Np9oJn BEYVY2nI/kUXmJpv7PZyo/5QmkXz81qGxJpN7CL17Qf0KtuhAYPNHZOxGK7xFR3QEl4ra/tg83My snrOf5T4JwrRvcofBrnnglNYkSGacHB0YnqtQOI3WCTNlbx80AENc/Zya0av9cf/ucDO2vkBmcgD xCiA7rSEz8moKEDu5eQFo72dvNMhN2rMwmiGZFT5P1Io53wBc6lURtD7xD/w7wJ+AkR68kbhluFa uk2KA9EWoycMOJw+qO6PyIHEi3Yyps87Xw4rUJLMHiQO0mKgF6NJisJoGpmD1eTwt8zCtzrdgEia 5GCt26k70SRNiaHk5oOf0+c7oAvLZXSOu1Tpelbh7QFRNKbm3r8lA+7zTpcrl0GwKOfMwYr+5kty Ir/Kx4ODWjhm22gQtT93qkdC72S5Yj4X5yTqHiqO4i5euUhepwqsdLFvwRxtVtJaVf2xrqfwCOlz QA+JVVo4tpmvglzX0TDp7FUq1Zj2tu9TJMhEk2IFB0O+pSqL9drAbXoPpi/HwoPp8xuNeu+yd6Ic D+v8eC811UG2Fx2vcuXu6xLlonC60w2+ZqVj+UnHoDW4Nqdo5hOsw3Ub/GaIOHcanqMYcm8ze90g H2MkPWQwOB6DwfMbqoiAnsvnnSZXZC3zdZ+hQlSmJxzvLDmes5wjonNYmC3FRD5oCu8R0QABwSqO 0RzxPFqUN9GgOcKpCO8TlfnPgdKXuiGI+TtB1uTPNTkNVL3tX8dRltBXBiFI4Ks6AX6Sv2BCGS2Y I1HXGhFQ7K4tcAnveRSF1dKI7yv5rUajbTuPgBo05A0qtJqk97gaMmhYSL0rPXPIHpzhcGnKZGis neYCKInmeecvhrcXFAduVZYmQ+8EuSKO8FlBsoYbbg0HovEuEj+BNdw7P67IzuHZbKiJnaSw35UU xtaL2K3UPHQUUVI8He541a4BwtIkRcG15D27JGL6IxHTT16AnmNnbASQN1T7UUDjltlcydeqkijk zzs7Dn9lFR1VWWwx7cdSz0I3C50Tfn3eyXGW/WqSWAkg8Dnf2z8Kr6Fvjcpic8GhXa9KfJVMLPv+ qI5pjqL4WvHRycHu4CiSDdEZcE1x+1doMuNEGkR3EhHWs+syoZah132fo0FvglFVQateQTthI0wG 8X0TQLcowu5PBkLcJEQHnxz/nRlX3O98Tavo06pyO9ZmebkI6JhsRbQoyJYX01jcdGNd7PvJu89O m5SGgRPTyTmnKclD6ATx47y+HjKGe6fFFSFeIFpJSV2V1Pl39RMjkXaOltHnnRWHCGmP782GsLbc NP+dE4cDiVM0KRcl/EirxkXNnYE+qV4DNFtNURhns0006fqmwfcayosLtywNT2EIMBxhAuXPn80w 24r6U28bTRH2GAkeNth5dL6wGxZpfd75cEUEqOfQ7uBatO5T9M6Gw+W/ab80byZSxrqZbL2y1XU4 bfCdDMetpsCI0oOtXrNz4GDONZdVqm6F6kM0ZDi/IrYhVIaubg7qMJ93LhzXPJP8yUOJg8VHmGri kM5q/bxT4coV1ANWk+EmFaH9WntnwmFimeyP1tz6ZIxtUwQxbsNJosdvDPl3IhzWI+sgpQqrVR+s Vj33P+Ba/ANO5FgbCfIZyJETUFbYue97GrHe+E6EYz1fPTQiIRI0tvTjnyA7Fy6qDpGTdyIci2O/ CyLVHL00SVHFmn7waFdfEHcqxvUukq9x7xO7+99ZcEUSrkxXhw9ekz2/84AQM1P+Ce9lTBJchk/K XwjGvOx4mgV93klwjJBsdmgQtAAE4IVdUGWgWBz8wj7vHLgiGf6vsizqfD17VeSdAVeuli5p5WZY 1W2STi69fJJKS0Y9fSfAMXYhoWsILDIuWKRBARXWS5XycCci6mrHgrizUTykmKmDara9brCSKAtH IqYN1jzD/2/da/Xzzn4rpnpz7/4JTRmg8GyOokh7VYqgsrT5f5KmqC7JnEpymDt2i+22sHhNF8ZK IwENno2cXYu10lh8BOSatNM+KQ+VKXZw2SUyY3qnvt3d1iDCZwPnaEAFUnN0YtnPO/ENVy+dEjyp hYx+p2y15igKtCvbi0vY2t+BdoIUoJfXliO332lvxT0rankG7y9OMI5Rf0LUhOKtTiS4PWwQ/YAR /yHSFqf20usG8RElYvMq1QdVRyoWki62uT7vrDdb68/dD6wCzcpsjt7jbHSqs9rT7sewencozWRY poytejLyznljFMEONReJhqdGe94flQNAIlFxARYXmvH77DUkI52izNZEW3rdoAgphEgiV4mD5qjh 9tf5nfbnnfLG47d/7zVgBkGisTkK42zsHaRmntXmmb0PUmraPkeQs9F59B5nw1qeGL8ihZzyKORU ZKHsm1c6wk5SURvop+gU41DKN18rQ28bTZGM89gI2ZMKEixEpiZsFCCg+/NOd2MtuX9n/ihi55uL vLPdeB1m6r7Uex7te/snFt6519J0O4Z3shvrzDRelsfw5cOgR7ABwUHcT6R2Pglhlg8jFgNiFqRa VmQbhCC/k92std6FW7JBZ/aEmo+gjZnvGKyjub7nCJAwxIM2R1Gcbaha+Z0zvd3Ntb37yv3O0fQ5 eo+zs+VrqzK1XfUaMIPGD9JFbepXw9ZFvg0w1EBZZtZHLY8ile80tyKgJZSDFER2DyJzx4mkIvUa n3eWWzEa+42zwQkBds471lGcjcJQxk+QPIhM2b3hSip+HCVImqhlHcbZsmGUmj4Hz9YSFJBalcXg ygR74R4C5hPa2PMRhqXjweed4mZNMbTBmNhy0BQhgSJlpZ0z//POcOPN+x1Conxzjn2/1d4JbsW0 GrOJAsg+zw3hCtyBHPw4HdX3zm9jKtJBceg4sTUYuAD1wo4ID52hc/uc4xuTeQJJhNhotMJdqhvH puhtoykiRs2i7TQcFjIoZfGjLvnnndxWTF7jriIUsuu8bbV3bhsPI4JB+naFeGQKFhx1CeNgo03i +LDR3qlt2AQE8unG0mCHUT+xEXjuU6awqVVCkDLdfXAKApF6YY9Dbxtka7Q4H4O0LQ7aHQ3uy8IP l/J5p7X9z3kNTZBKEqCmKIqxcSAAG+LiCWdvJmvow+hjGHCd3HUist9JbcUpI+iH+OB32rm9Bki2 1BCEdnyWnDUriYkx/oNQ89eNEhFWH6kmcAboX3dlFLsJPDhTLp93Shvm+LYfVfrGVgMBSd8aBdmb Ke2k+bzw2DI9a/Ko7gZb70Bgah1F5exEc+E1qQuw5pXRP6nFOU1BdifTvTVW/1E7Qdw+Veyyukgn L/mdzMYpkntOu4MOo7y7ySyeD/i8U9kYYs/vVA03KcqYNkPvITYuCZ7XXgEBWmTbTkPI5w3ICs9L zVBUy07UAhiTerkcbKct+LVyoYKTfPKcRhSAAmto6MMB3nsizELeaWzWVIDRqaTM0mO/DLlrVmlP 6vd5Z7FxEX1PEc0X0XCwKYoibIjyIpst289rSrTpvM4WL5XNYp/O67CSzZ5In6wgcbApOqc+JFYE E6lYSPDXhdEtTvYGR0xSuscDLn7nsJVsKf9IpflgMAjU7dQvw8/4HmEravieI/Sx1732owgbIFeU 1+p2pFpyju253aq3aM95atX+dwJbcYeBXol47PSY/ZkSDawwwiLUKKUTQrB5cqauIkAGJL2wNdkf XPE7f+2C1Wql8katYIlxg8C0kLDVH4Rzn3f+WpF49pOqnSAEMb6n/O/0NdxqXSF28b1WanHGdt3e xwYaxi/+sJJNnMjo1DPlYEd2RoNn4zdES2SfKCkjDWFPcyFcASD+xo+4vz/v5LVbO6rJODQIbPjz V9g7MOcfM/P5YLfdRjaJuJTgH56HvDPXOLXYYnWZwA1aONP94GcpySHqwCxqkqJa9qR1XpNnHwfb bdBWhhQyu7Rrb5hvocDGMjYgzUjlbu2IvLV32hp+U0onMTy3wcKjk60tGTHt/HlnrfEdHXUkAA74 M8uLkO+kNZxIRT5M1bS4cBJe5xM5xVKIJtMQHrjHMMjmCjonV/LBc/4z23WgHg8VeDT/YUPUGuoi i3jT1K6fx6CtwDtnjdhgKrsttUYWy2UkO0BfkWii8xt/3ilrv3pr0iUB3ABqEjZJIWqkMCpiCGDy Lfhv/o/vaCplCJBoloSF9M5YK7JHBqZmJR+8UgsicgZpBQW2vSbrAiiMzJ9FylKS7oYKI0WvG+y2 LpGb2nzgrw/VL9OiLnN+3glrvP19Iclk9+wzWFDZHIVxNmBFZ8Us911obJNi9aSthHahSTvZFen8 pPcTqUmUM7MwIn1yLSRYYWUKAoLbB8ukJWUE1vbYtMMJ4xrL7EC+89XYoiDwqFA4iYMmqeKoo1H1 iTs+72w1RtrfGD+oBrSnL/JOViNKlOrv9NxgA3L065xb83XxoDc3q0fvXDXebdxtwJrY4JURylZ1 yrmdOGph6+LYhhTegpNHnvURWCbN4Z2rViQzQ4+w7IPu/3PBCPB0LuHyeaeqEXP+fWrDvIOFOZuj sJrdt/gy0ytsPRl6/4R+2dP+CaQ8T+13ohpzWnUgqbTBwWMkQI8WSrAV1t3nDpKRNSB0HVYluaKy pKRWpZF3nlqRIhQaLT35oKN2QXFBbritfd5paoQ1fp9ItHVKN9R+Z6kVl3ht45rCUvPCXHOas9TO pezd/neSWpFe37n3M2VIRr4yJJCYhPNVFmyknLXE2Uyq3qA+Muu1PSMg4p2idqdo4GVssGxkzMQW A1KCzztBjZmXJ7WcDurQb4dovfPT8BSlWqqYaF94Y+pFZLNmLJP6OJqiMNRWUjtYYONgU9SBpilC sU0oFpRKaCmiFVBg0aq9kbZWUVTLHiSnjcSy/0jXGe7kOqplQ5r2885N42H2fRrtJMd0n6Io0iap J+0vn8rikOy85vZadqKjDe/+GDOCEHsZUAvDzfvXiblSsynaVeZxdYIBDQLEovWgDiMCRt95acy4 WBPZxK5z0EY78duktDI47J93WtovgBb+nwpLpXn1qEVxdpc0d3PhNhQsslWPNvtjNA6otFXgFIWw 7MIaZNNGa18bbQO4brH0OumI+McZwhgNdTdMmdlUAmvPtw1WEWWR0PT1weAQwEpzo7Wc8XgQQfbv GiTlvR44RAuj7Kqu0bxpf53J4aLZWP5YRa4o2aJKtp1FhUI/HBwOccKGxGUInvo6uZ+shhhTbnwe jlp38dZOCyEjW8gj3vtXWgGy8bvTuegEpgOPB8FR+8Zmg6bD8oqRZ8IoGx1SnEDJKmxZ9p2osAnu LGmIWq000t+jbMQdRVWj5IMto3n+AkDNWSKC3Zka3XUR8Au/jXmDbPkF9JDeyDotYlIftIxAoJRa W9t8PEIdl6/zupOa1i6/KIqxKZXsoraMseVJTVkIavSwvtao98IpiiAjQ6fQIKqIg9XXxkn70Z+d nCJQOdjDbTRf3Km5gKvSfqpn9KiWLT+cVtcdOEdr3a12fm08/i8OFhNaqMfhqLE5imvZgvg3Lx/l 5K6CwB07GAKmrrrTelTL7ksaB/0OnoekRUN4lkaAWZd2a8cXLcKOUenwQi2hRz0KsQlgOzfA1IA6 Ec/Zk3PuJY3bk8ng+YAnsr4v/jzR6H3a2D2kOlIpao+5bpDNG47MdPyPYhIzZGKQ3aMge4oIsbiS OHjFH21qLCi6KQC/CBNiKLJjlgY50g8xncoZPQqyq8T+EmW1etqOj4HEFCufYIHh8YgN+l2I5A+H E9DmKKI7ysX7VtagnNyscZQo6mPR0WZvDXMUQ0ZYpLXrLZXbxj4/Mwqd0tkswLzShQrL62dAo5/A CL/7WYjsUT17Sfh+MZBsqLar3zNOdKQrfdQ98Xxws43f222YR7UmKYyyWdAmmlwhZO/DcMeZIu5m pAAElyYpJD3yLB6NxmYcbrZGU8cuBHuZaJA7aXawWpyIq9GRRNXW/h5mowDEtH8SglSYuw3l/Qt0 IxQ/zx+N54OVNPfP7+YRUhefpKikjT4YDTyn1UZAelFt5Ewc7bmQ0nZ4+bCA1OM4m0IZi2cSB8v7 O/p0HbEPJLfPBU+R4JZQbN1UxqizPhKbU68b7TZpuDOzrdu2dMG1IjMCAObw+L/oIpKT7j/96Yz0 90AbuYhc4DN7+TycskpJBcrOWD2V5zb+DC6kKNDePLcbRRU0+BxBx3HDjgqAiD63fEsGScYw5YMS 3LWkpLxmj0raVerImdDzkl1z+2ybVpWv1TzxeMSf/Z4jlo7ALrQ5irDZnYbwVPxS/ShhdiXIlma1 UtIckHBi/ahHoBErjQgUeQbOEE+kc/2fc2JVEUQhg6+77dxmC9DaXNYFZ9MeAF8SxUgs+yNGsUHr aEFmgqfuTgWPB+tofDOzkGDAuMFrIz2Mtakj3XRATzLZljmaplW8lQQmRLNJiiraQq43+Uy08aiS o1QL1ftFOwB6RrJaC7jQIrKHPpUqRA6yRHsEG+mkQdYp34TpatttnqPGsccTj/+XhQT6/DnRLrRm RMH2ZLV2Sz6EQSUl4AQZrY6ygSGSJSQjKmlbA3JRV5ODZ/4o1uYhgPFWr4Q5W2f+UAndFFvEtLZH FGxn4rN7oV5odyeiQmIUb6ZzrmU8HgTbvw4khCENi9GmKAq2La0VIWvJccqdlIzNTzdh/Cliq4cF bWLXof3kgx1IJ0k79+eSOzVCJXRtzoGEhtL5Ec+XtpqvuBjwdviSiK9O/BrEgzGA7aH2UVEXgRjU jOejivY3coQq1PNWtEeIzy6EjCaTXcsUQbR1lCUugiIbfUw0SVFFOxPssIUchYs83hg3Da7NE8CR mzWhuFZZHug477DMID97LQBOVjbwHQFilOuoMiNtVGnnj49uC2vMP+fjOh7/L50RFPPrQ18bUagN cQQgR6ZXkGr1ln+a5BkSedyHi6+NKNReTPpPQqGBHfjBCAkF2arLa6FkUyiAXwo9aUGLqM/df07Q ge+IupBMaGe6g1L/lofg4O0ca3j8X7oQ/P2Q17LvrymKIu1ehiSjvQ6JMtjt1BqM9MQehOxyiqJI Wzn/SIol0wNgXwNKo+gFQ5e91CEPvA0zrrYnjODTJa8hp+HrRscRLrS5WCWZ3Ke8jDI0z3iAj1wy no/WUf1aR2yJlOSX/wgj7aTyY9p2rwHJY6yj1c3K+xzZDPYQII0o0pZ6T6up+GDnUa1w9gUaCunI QDNp0b1lU+ODLiO+jjbJ/SOqZ08WsvGOPtjdD6VSxUcnhhwROPtB1zAmR1abrn7PCMHZm+iavr3k v3UETQrULCNB7gRPC01RBM4uWx1/uo1w8HXU4NvXaUhCF72iiBy93AbVql6+BI6q3jbqrmGKUBT3 QVvtHApEd0HMeODxIDy6mT9PHpTR58X4j6iejQuFGkduhltpUi+NI7ZBldSikaUDOwqzb74mKSgi nelzmyHnMGFWCDu3dO7+pkokxOOpH4kFZlOE3xnfEQVHaGVPethqsMbRSmKCkUs7wii7/0KxsQFZ 72kUR9kE0ubkVNqR073T8ryNo0F5w8RP+itbq0MiEeMRiViYla0AqAC/DHoxRCIQRWXZ2lxQLWtl +JIgyqY6RFqE+KPZ8pOF7J8LmpxUNehz4QOCxL9+z1LLVNH2xH/EJW1d956L5CwpDVaQEvH+/DMA ddNe+7ukXQHltcF72SebB7JDYix70gcIWpmU/D2nCJaZl/231HzCkjbtyYro/WgkS+K5bBBO2f0f Oxc8H1VHvtkiQMufZN3P7BnWtGX4DvAMZOhQi8RtQUU6yrRkc7tj4t/5SdHdr/h6ZR88zEYbuDTa IMFVsiF6h/M0DmBUYMd4Gmwk084QOFIFrinbB53ZfRW6Qp+/tlQ8HkxR+66yAX7S9s1EZhRmC+RP ZqJy2vPOzdK1WalZg5x2wv5OUxTSILdo/ekOXok8Of85twf9ABeODGquTtwoEyzllZ5yLcqg+I4o pRWfRqpQyWWzgaldVMLAvOHx6ED67kEyHEXLwaYoCrKpjnxOjOrh0VDHlm1a+mjqQKqeicyYBCmH FvlIpXmnCFkqJMUgtwpMdp0Ug66FVoCogqR8oTUU48OX/MUVqYkqxxxUGjmHSjeDllXxeDRHt8NG 18DzaawG2iRFYTaOa5oCG+MY6r0uN9L9v4XGsZ/aM6xoCy9KaUcNNknnTz+LhqB6mPiC4USTd2QC J5KsTC3dV5I4thmiRhhCtixaTR7O74NDOsl+EHPF4xFZxDPaxkyESAPPaGcUZkPWCs5RyxjH0Gos Nzy6TAio7+vInlGYPajq2BQeta/wCD3CvvKcDLPnIPsBqNFz1g1wa3KnAKTUjwjQniEHkv3+BM1X G7TXUBMXQPucCXg8IBz38nViA7GHGqnPURhl0/MAf4TXsynWxTkqlI7mXgPZ0+YoQo10ivj1RWQN Bz+OJgyrF+UPzhwtFn1OlJ0aVfTmDxR+PD6ileSMouw6iIO0vNbpFhCArBLtAh0ej0cVtm9aFsV/ v5ZRhBoB7xKoke3E9SIcBA6JUc1uqzBstimKqtnLuI+MJDn4FJF8XJWtLVRoqUSWoJDQgMZdjLJF yaYM5Iyi7EQmxJTfwRy7e87P2AQr4/yqeDzK1W5XhKI1k3WGe2SHFEhAltKq1TORpSOIsNrqlOwl fjlPo1DKryqjfQabI5R16sLfxVsNWAaGRUTrAYfc03gK/ltvG4CP5HLQGElysPrauWkMw775eLCM dvvKRKhjPC+GfUawEZYgAcr2nbZH6Y7zL8V9ooj31BRFYbb860aW0Ei+QiP4iUE2WlxGQI8C7zgh 2gtDd+ClOwlJKmZPe90AecyKCKj1HFDnkOAqbLsI1F9n0vF8BD/6xtZkM5n3SQopkJ2o1nnpxjNf +NEWj62xMrL9OIqlRrA6GKXY4LfaHHnnKnX+k/DPojotJqlD6S/X51qjEAO+5C/a+pB15Piyjjzz Jqu/89Z4PIogfxVqqQx4O/4rCrITpY8K4fU6sqd7Z53VdVPaCjIUF9KKgCNwL0BlMU8fPOvPwL/K BGKfpLQnNpcSDWLwyzbJij/M/hUCR3QQVV5u04sv52oBjpC3WqsVj0eqPt9dWhZm9lWsWWEtW3J1 lKDQXiPfydSh+vZbDbmUpigEjkiHZSiCHE8EeUKvQi9KZv1n7ui+iXY0QURUaLrwo7n1tpGiH5Uh O92hCrxZVVytkB6Q68rZf3g+kqy5dVqcZBMwFOR4NkmhOjb2NBCjt5Y9qvVEIA7p4VHLjoZcIQuS MH+oNGrgzyqoXwGrWJN0TiOIlqFQC8f1ikIiQusbHZ2fFd8R1LJVxG5kZHOw/QH4B0v4bfHxKMr+ jo4QR7R+9TNXyIKUwnodZnKPMMBhIynv7LARqMTzOFohNptz0xZ5fk1IFyb9Z5/Rf1S2NEj/Nx2k K/obUC+D28s1Rt5626iWzX5RKnfQFJ10UBweVOLx+H85jTJLB/2KHK8oykbXEMlHd5H+Kl8jsMom rcHNRcRds1ZMgpS+MdWyOfheQy9+ZhUhV8LyWewbQQZ10J2judJIpuUiviQosc1vD5GM2eAsndgM VTZutpnxfBQg5a/LHwDUgfzBJikKsxOlsukR4xYi2eOjkbqZ+Jy4Mvkk/S01UgY72WWUr4W0TyaH 8hOkRjJUfXH5Z/w+i8Jfu3g1G5rXet0AWyNvyEGjbQ6KswEEkp/f6AOPR/gjD5Cw6kD/6OVitFaM zpYn5FLxEaWd6kpsi9rDMsVAZq45CuNsskWaMFrtC6OVkcdu3JEn31yIBJqIkDCNKqAwtwd/tNFu wJcEc0RGDfckh6VAe8FZkefwCd8bHo/I/TcXkbw/VhLgZzZLIRUyEeRXmpzpoKZJ2YNNsRFCjoEb mSz5oX60QoC21Gp6zj54HXJQvneyPwSa/ObVBnHNE/ShvddZfhNuZA69blRAIqW2EKENN6kf4Y/Q /apyMSwVjwfH9v6GH+OVxry2DysKtZGuU+rYFX07vTskNjKqS42lqyu+4oo2J0c1xqtIjCNpnUR5 GV0UvjNLc8R9OSEpCr682rTwMNPrRhBtFkcGCWwcVM/GDWUh0uA7Bu3+WxxZKsj9NDgT2xyF9ezd VD9yRd9WGXSzMdLSZYvSrphz9Ld4dq0U0OTgJxIM/WaVeDbhZ5nCPojiJqun7VEagzsNXzdqHjHl nzyROHCOiGLPsoScFY9H6+iXf4iRNr2XvaNQe5gAe7sK/vTikLIPUEzGhmjD4sgd1bOHlMaqLKPr JbCdQPrsg0wcO/g0Y0ohCiUUyOoBS367R7LU3lGorQQCngA+aB1lKOHpjBl8/F+cmiwRBNqIeRi5 QyJkJVhkj+TNbKY+DCNbc6v28ytVa2bv2IaGBxIM5mzwPu3ZqAj0hD8aI8OSAwsJ5zykWzJUZP1q o4r/DkHaLPpnVbTzrWgDSdIEHz5nBR4Pju3txzbDaggfIX+0OQpB2gSyAajuIO3cTX41o+xgm20y /eQchSBtJiKdjWoNfrVNNB9rtyrbphn6CekWfkHAs2bxQmShoy6+I6qykXhUW/NBKdu51wYBElA4 w+OR0Nj+uv0znXO3a2nskAYprN9envnf7A3QxLkvbGSbt+EOYSNFVlh5+GBTdI6yneDZJzzkztDB Q1/kzMpggkBxKOsd8V7bUaytughgtD54hJQX/UtOjM93jFSPvXfULa2lpKPNUYjQZmOEsjSmW5Oq G9KI7ylDGnY4OUchQlsyCJuBEgefozPrqw9GkQlS4JuZfwYsEpVu3KC3ytbtbaN0RLBa8fz3ozB+ PoYsyAL+2v63pp+iKXhLj2cdherZs6nv6Eqsm9hLKY70akJju9LQlXMUw0YIX68E/HOwFuR5tAJ4 UpnVlpbU0U21UhQUt4pf/ZkGnfiOyBSCNf9B6HFyXgp4GyBcMGVLC4//S69uMfHHlXED7R3BRlBV hsyIa7EBPZp8irIjtNBidtuMUNNv6TSiRCQHO7H3Se4H0hlMUV2Q+Ns8jVCWwNQvSvqpk9273jY4 jeh3MBpvNQ4q1g6As1VjW3z8P4HYkM+u5In/DqPsUcUUdeZRpWMQT6NBr0mSsxowEZQ/2v+AjfA0 1mCrqEDgajVL/GFUz3svgyDaYA9C5QCpZ9JgGN/xl5Y/uSc2OLJm92m+mBuPRzU2B0TIFhMxR76H UVjPbqo9ThM/gmOP0UNPRjJsihba6TZFfwfZ58dsPtgUwTpk5kT3noSfQH7aidqewPEIWSO+8Z56 279qI3WJ4b+uWt3O5tNxYrKBx4PaSLqNbDwHXfF5Ak6foyjIRm0NEiNVVjTU0XSDmqJogMlaaoaF 3BFoZLJUOwpRoxy8LUIkfCel5mTJgyaqKGcDPgadPBTrPTRiqXbHYiOk9it+bDd+PGcRPvaHGhED j/8rNGKzH5sM/AxNkWF04jLkssIaYiPUfKk2ktt0zMhAlVNe4imUG+lii2gjrRtAnj8BkSha1hWJ cWOvbkK3cvwsUv3I8jP80dr2woGTGHOQ3JTZwm1XipCUoiYQDdEYP+BfoFFhcDds8K6/SAqF/QqL 2iMvR45Mn50TNyWrj0zYGpsMe4ojbVaPkH7Z4Kn/WUJQcqcmLfKSSavODPDxghdOyeVSRhcjbeoJ BDVXJv2ZtFoO/JYE6CnpDG3NzOeDhOSX6wEi3772s6BC+Agx2oVYXhYk+3YN7azsmsV/uvzI9iCF IG2G2RCR88HmaXQg5VFjIX6kQpudhj7nQl5gx7DZf41Ds71wNE8mocnKJI4FcfQYZUxjpy1+QBAq /ZIbpQrSvK1/wU/fyySAaLW0zf/5pLneAMgmz4rMbUDwwSYqdIAUP30zO+HgNYBz5iRsOmDHM720 zlzWjX9lUYc0PXKaS7a5KQq6xR0pmzPEwZA2EMbnPYf2P54PTvH9SxIByRviM5+nKOxGzo+QcvsJ lcRsS+zcJgMl796cYZNTVOJW67YVyo9xsHk6SWeGvViiQxSE1ZibVFxOC5OV13DB8UIIK78l6JWg xl13k+VqczAy1ASbio0bLhEphJKU9Z2dnCv8bP5e70RFsXcmUqKtUe2EKvnytSqPVZQpUTXrPlFh mXswpMyStMs3+G5ojSQY7HKiEiSQKUHGE4pldhaVrDiZtr1wVAwgZgvTboNN1EkJt1RI0ubz7xNV f4n8QwN79P2c5GGlmyTSVEs1aHtZzTCA0NqythJOHPccSVEEPrvU2fcd/ITaJzjdCO3oFQVlbaqQ AfC3B50P27x+EYgn+C2RehRPKBnPcNA8wQlBQrRnrvn8f2FtNyLArw6ZAAyv62lLcbyIzwY3ENaT NmPbantwbSwtm6ew2E1/7DIJluRg81QA7Bl0YIFRcEbBEvMEeTWIIeL+cDIJxIbshQNgyTaVLRus JzASIjT1BErj81GX8lIAWWehkv56FlQYiw/R27vXl1JjF4WwCWh3mSPCTm7PliJNkkJiG6VibHBM QAJyu8tk5JwfDREbPKMQB1X07B4/cTYD+SV/3HhIC5sPgroBPiehzdIGnw/23S8INxqXc19lZFlP vleBpR59FRLXw7uB5pOV4aDY59MUFr2ZrJw9On3wg7yBaguDHOy7yeIVpgaVuVFo77H65biRdEsA /PtBYeVuCktwULFyUf+PiR0jzdDM5hIC5MCJEAqYWJuo0DcS5lDwsDFjv40OsmW/myKErMXRVcQc EUOISSNIabJdycFzOyygItnWc7ls6rVCQRqtC3RnM6Dj7qxJ6+wcGEdqPQBFx6LuVT6DYmeS+sAJ QyafjxqW34EBuguEVfk0RRE5uCAQJSnDa5Zg9ZnMjXq9qMc1Hn+apwhnIofWkz5MHzRPowAQ0qEO i0rKTCfFoAYeYhk4tGMur7zd9veNOJNkuIvEXS+JG+RbwZYhNMzng4A8fxO5tJquUmKOzSMz+5R1 eRYMsUTtNfgjJFUK0CHYqhTk0D1Snd0hxNL4QixB4uBkCIMwAHAFjRKI4kFDRDhQrrNpKs3eN+o1 MbPblLhj1zyrnHKe5EY/W2Dw+X8lwoYsECnRpymqgEPQgrW4bdOUulMB4bNl8v8g4DZfTWE4Tizu ki87B1tNHWLUkNqiWPLJL4osS5FbVmK01iMEyP53DhwkXXdzTCkBT1cCTqiyMvQ5l2bl8/8KCria Gvu7N2sJPSSBLqGoZPG6U/W60zmcqJdYCKXoy6cpZE9yfoY6BeOrU7DKmSh+GGpz0N2qZE+iJAcJ 9p+Mo9GyFikn5dBGck0JuA0pJg/TJ83UC6HJWIIbaWgjWdb4FWRKdf/OUyS93YmL6QQl/h/QuaPO 4fU5csqtPgdXG+26MBifYuHk6oMd4mdaiHqfApye05all8TeIVyyWIZy9JKCgtBIUjA4eAr6YPAl hP9sg58P4vNR3+mXkCsUCFp6tl1IoqSJNGyADHaSJFFOYlf1Via0JY1tkkMrSbkBALTngwfj56JP k4JkE6Yb8Lel3C19U4FVqpdGWZLqT6GXpAzuqomVZi9lnmVZ1DMAY5PPR6e4zxNvRuLMrmdCDtwk z2OTtcxZ9q3Tpe7zRH8xEuDAjfR5imxupA/UEi0RONg8nRS7dQJvgDttuLUpEIDYYKCQuak9qZig VnvfaDnR/XfS/YWDLjswWsnvP39w5fMRWeAb6NXok1Of5RSG4pIqz7cdfk4qS+jO/TZdIGiTya9p CuviPMWbweJSe0RvofbRwRBDP/yEabABAo2lwrUd9xpaNw5jStNeOBK93+rPVR8st+tIhniBtcLn I7Hy7+MJIk4UrPJ5CpHei7C9nq+BK8uJhOjuYZQdqEO6WnmOXSWpDgQ4gA92jJ85Ord2b8RW7HPS 0aLzXLAgF6BFkblLTJJr+QtHiqX0biUuU4PF4uSPcSfNxuejnOU7xswAkp6/+OZ2obUkeOgQ6snp x9035zUoleEkXVwv/SSH3pLA2ExuBh8udnCg3yeBYLA0oBM5qYkL65dzVs3keG+SgvglQa1gsosg XfeWfF1McGWYwKKswecjqcBvA04kaMydfJrC6njl2V0YastGgVoumZpT0DVkG6FB8VW1p9Bfcsib HBIcNniQCdHytqRanteSRvCJzxsar+B+Pgpvq/n7/sGGr3Aq8kHbjjR6tTXL5PMRWtdLT0010BM9 zVtSiQ0mN/W4UnL6aSOUmiWVtV3wZZlaUNJHRauJNuVVRpP1QaKWE8tlcnERCJ7wgFKwDXaBP4tF EQopqUSX7wtH7V+mdoW0pnNanPjCUDpnaVHr6oTbmx8QhJnX2kW2wOcwRYnHJyrEo3QV5rqbJ6d6 1fD2dXYnR8snKsR+Dxm5rzv4RJ0DqS3aAJ+U5STdNHfBGVx+dL0CIvFceNveOMASFLHiRGcexYpP 6HyVITPb3Pn8vxg7UsTBQd5vrSB0mtx0CKQpmZvgmJLA2e7sd8tMqTrYMgdWk1cPt0g+sDxK+Cdv yW1VmpScDAbOgywWIOQDgs5VOtUsn8teOOJZKsBsXFHwPxbuCzILjYiAs8cnPyDSxP0G7yAyaPli CXNoN4nGDo6lfkVx13SbwOTWJmVXagxpomJoigxLWfXlYBNVz6Y8HzW5aDaE8CB6cv6cSQw2cE7l YRMUe9+/qgV57OnDLao0wVLPHPL5iJbyHRgQJw0QjU9TFI83ylEQcyx0Si3pKdJdx8nyFFUCy0kn XcDOxQfLW060Db+pKWQEiyqYUIVNU3oUV2NpTXvfAHzBAHMMSVGPZBwwqO+psg3sC5//L65BABN2 SG77NEW1cZQroa00LkJlOFsXIhXFESoMz3Tf/e2JM3K/g6ctCzondNMC6AW7ma6T2OCDYUYhD6xx 29k8hSAVGQe0dAchMM6k65eBSh6fj2DOv1SWAboc5QmfQrXuxhpd2Y6Zg+Kvp8H08VEajMvJ5im0 xcmiNrNQycGWEy7tPgatE/eJXqsg0fC9ogI5wCV+Okn6LYfOk7CzRmzWsw8KDIERoWNLzWiaB9aT Qt19C+SdPwtuoXeeonic6sPo5zQv0o3tuDm42ThUhXrD2nZhPL4VETyDh5m5z4UDmkLCA5znxt7d +R0H03duyame1Cj2wsF6oh9eg2y/DclO8TKELjhTzeej8tMvo3csy/WkwTUKxwm5y9eLO6OBnu0U b5fJc+bpXnc1hKswv0OXzgcvP03YxibF3BP7gv8mWlVE90qH3Xvm3d73r6oK1TZt0DQBH0NJIJXG axyOe9oyuO0o0HyPp9CFcjdKWNL7Ql6mFBmT/3Qv3kLYtd5pisLxJdHOTQ0GDt4KPjODciazlp4y SKus0sGyl7wFBOaXEt7thQNsgQwp0OiwwfYdqoE8nk7Qx+f/peB1tZcks655CpHhCK9R6HVf3PF0 7vIy/fyTMAEvafMUQ8OR3RXZdpTHtuNMcVpb0dOEqkORa1fCYtgo/Bd2kNTi7GpJhW6UwF+zV85W AkxJVTGSTTpV8E6Yzg8IJuouKMzJotXYvGXf2JByUDNvmI8ZUj0PC8pgM0x6J7ibbKKieFxqHqXy viv1ue/yhqCteAawjly0PgW+tJ2JwgKl94kchK1nHlhSAtqriVJUDmyIrADOybGlDQ95Xn5AtPP8 JOc2RXL3CHrk2JRy8PQml9Eczlzq9ETK2+grewIhpMQlcKX0JmedImTMh5AxWs3w+1G9YJ8QARWV pooekE8l8TI0B9hlLxzp59CQGsWBzsT5R7nGiUTQ58JEnW3ID4hS4YdKh0iT3fcnhArMKRHgsss5 XfE0FdWdSDhMJtB04h/8/KqshO6UXfiL1YYPDs/s59gYhbieE2XMhSYkMnwaU4LmQfN34/ekbi8c XXnEX+zRfVDNAB59DIAghMrnowr5tyYDPTzndTnNoUMl6ZwQ2HY9phOXXD2mXU2UYSIw9BUVwVWa tKrbrj54aaWfA4kW6qT44BykRdXG4Q+4Clt75pe7ur1vpFfJo3xQSIeD0AWtSKIVqJ7B5yP/JY80 qZNWKRD3RAaxojflhghgYMVgJ58mxAjG99mQjPVpiiNynlAUpdJwC3XnnksoNWHj4SAHAAHESsie g2agGEEuVXvZC0ealTzDU58+JFXqWipCP50wh88H+27+qpCfjQuM5J2nGKxSKFUJQar/S/pHg/KA vL2NwUpzbdt2YUSuCnlmhNDyLUFBMKme1GVO4VhRNBjadjCq5KVVrgYafly9cAQ7NBxd8cHW08n2 GE9DkoLPB5Hmr4ZLpogGojifqFgMhXQfIKmzmHUIbFnSRCBNFwZUVuZw3GFoVqkUL2XKD3DQRGVY nmYZCpxzs0gpYLLkgtQbiAnOkrWm+rI3joIDds4HcZrap7zy6gn7JfOVkOMFfpUALn4zESDpT5MR m6jYsHJJSG95sFmGMTYLbFyr58JpOF4lcKwEGp2uVRRh0OA5Hgj2IAlgdzVceUscUmRiqBWJOS5T T7Lrc+BY6ec4yA0ahnWEe6qS8BXAILCsxMabXxuvICHoV00/h5aVpM6lMZt3zsFadlhPLd4Rbo3w p66PCgpQKhkMRgYcbJr2+XHrVvvpJAtjZoHxoZDZ0DtEXdUyvKEIKvCslEQ34IasAHOwikGrKkfC woLPB9fd1R1W/H7+GdekT1MUkoPlDYLmuP5e62bC4nPxfOqAxttqii11pKk37+BhQaOblfOjJsys SLMDig1mSWC/OkhMdbrQtbKatRuVUTLqtso2KsDXS1wMNIRD38pHGyXbOU7DcZ+nKCLHbUyQyjWu li4aIvJMSzzpx7QLxIidK4cqdOUOvuuwXHch+mktsvaqEOYURuHCeDx1ur3vn/IoudxBmXBlfZTX HdBP/z9j35UkS44k+R9nSVkBJ/e/2EJVzeCRU275enemUT1SHuGJADGiJLSu1KHxoOxJj36WUyz2 jV130vBsYUGj+AajzKLEhfooa3j09Id5JTO8zbImB01TGSdI6WQ+wywjwYc+0eATsosQ88gg3nn4 1La98B8WTbALyT7YtgMPm184ZuXzwXK64TgjpYaI4OlMhf6VsBGBs872xnlRzY4SKXteiZQnLAgM LAV9IQCj+WDztM45ed5+kN5y4iddiDhaTt6yEc4AXXfxKtteOKJLUfljMcGj+PUy4+GVtWtOtN/4 AVHl93vfDaIOx3OMh4bxm2aoFA6WKJGLEiPB66ZuvXt/JipEjwuIoRZe+2rh1ZNdnGmS9TBww1tp S3UxmcIGrm286S8cxZk0HRZ6vDzo8cR6IL7wfAGfDxLh+Q0Uy2eh/8DF/E5ULEoonWaTjkGpjotr yRptXGSPi8nlwMwSi5MeBMncY8cNNMdqsBysRIot2k+TCwxUFRL9n/Wgoqd4QIGbpTem/uOxU9AQ FJdggwYU2Fn+X3hmpiFQu0L7n9foqbqnxejTBZwG8zIWDM5acwBUuUqyr7FThWazDGPZnBqyBVSh btZJBh5NdtJZVwRKQR5K2COAQS5ZCrHTa+RUUVSheHwllm661gjkXOfmlmtt4emXCaqAMKlnLh8M jINKYCrJR9PTtccgZfz/SFVMNLPKqjVTsIFmVstpd8H0dPYsu/iZHDxZ2SdkSoSiwAy9sFTHaw/9 anCuKs3kVSPQqfQ6PyeyJDYsLa4kDlpC57YrPHnrieQ/rwFTVSSh+ZGTGlpR7ABjfl7DpZrFHk6z mXoMZmqaRlpu0t2fqHrtagr7r8ESlg/b5Kuwfsnhht60RKFH41keTYdRBxEIRQwGY48HQee7vi+f QijmBJTKBivyDpqt4tt2+7zGSfWx1XPYDAi2NGnA9LxGSdVp0jI6kgFBc+kPMMmvrsU1IHiNkWq2 quV5ZPngIMxUQTXRFhonmJSFZWJFG8cRYOEWcrPW9XmNkKq48l+7C4G5ND93G+L09ZM8f14DpCpW h+ZHk4Gfvfn2eg2PKuTj6BG/kmPo5yjJGgX7NgrW+YWsK/4aHOHXISZc4kIa/C7DSTgn2wT1/E9C /DXhGZN+zqIsuImWb69Ndd3X0AjHjzCXcxQfDCsHD1qmrGf3fl4DIzzd69f2akDK9Z1sfl7DIuzJ aWfO9Lr3rMNRA+vKxp4QxqRjXoOimuhcCEZPv4Oj5FAsZvQMiiaaDurK0YoZ7J5zV67bvmSQ/RoS 4cck45BibTaoUoIUhz055Kmf13ioPrxoO5475aH9+HmNhuqFn5R8pT6XSzRh/bhEU+lue/4aC2F+ TO5D26zeNlOH2TwSGjJ6TmKeQEKGSfj58AHeKVLem9PKliHaYDKqrlsDaLtLE5RprwM2eO2f10CI x9f3ATSZ8HX7P3xeoyCcz8blGT5BVUQMHECdXyq52CuG9hoD4XzWypnS1ZkPWv6cRYh5F9HNwOAD MYDaLXijlVRD3vGKqkkafw2B8COQVD/Fo+OgBQQ8LUOgdoKoz2sAxDfMXwuo4+pCkVzz81qOxAYb 8oPNFyBQPCnDrekknoplJSG04IAG7xykXoaKHDzZr20hPsAGK6PCCANtpQKCBRpz0MF31cHCTfN5 LUVifgjGSY3gyuQrAQW2szal2gXJv2B++ppfBzRFNBPtGDA/r1VIrNlC9g7lokWaq141KmM7GWxP yjJQwyKMfwzHnH2wAxpap2ktkunLxF1fwCwE8/xnEdYKhU1HwSW9bBQfMoTecqvcLsh87pGpG5Ml o9fyY30KIXYAQV00bb/g38lyuJhTldl5vSe0mTFTJM5UmfbIszqrMJohyenMXH1wQMD5b9CqZHdk Q11n8oiG3iOxXVBKuUK6zV43WEIEejf6pWhQ1R9aWcIrlQGOarTH1vccIYqFfKbPURREE4vrJpVY RLk1b3MXurpLbbAUZ16GUfQURrA3HxzTVUkjTFpFGchK9iTBzlvIkAsSImveIqjn6wbndJEBI4UG OFjFH6ZMYk1UPh7F0fnrokcMBA8Wn6P3QBqNW/X/i0eKo2czF8hUSdBBVECpNAROMEdbNl7/1dA/ yTesHhqlKfKqQwltAhS8sw9E8yElGsrqo1C6c4t19ChsMNjbyTh5qdUGVtN7LC0/eU2R/CzPVhPK m1MUBdMVXik57+XYQMvoqccoATRc9hPJgIEAgsO6i1QhG8/22HjCGWa1vVhKA98bbQOIxBU08Gl2 DnTuvczUwYmysSTt8518sGy1QWiFpzWApmE8PcrXdd/JFZr3OHoPqHXMYmKql2VLL+XOkcEnIBD7 1BujOQKKbSTgtWzQHA2IdzImxTKCeUVjpa3CargTYU0F/Sabgaq3jS58HEMFMusYUHDSOjrH9WJ8 Pc658Xknx1XZgD7HUYYyRhO8m5MURdVGjtvDbeH6uoKMmbgonkfnUZ+k97Barukne6sEkHKw86gA kJnpcA++/O7ya8h0U0woycEEzWuytBh6p8bx+KRigkQrl4tWonFp5TT6wr0z46q8HL4n6ZyDkPX3 SQpDa3rDNHHk2fcf06pB8PdoXrmelxkXxdY6tAEV8cEmaeJATcaWXxDQWWYxhEQLSCHyRy03y1Ov +z5JrZpCXPdBK+lE6kkEnXN3ft5pcUx+99ckqViZ726LouuJGB4KKcmb/rM2r36M7OycvK7YUBRe o2qCxIzq57096ue1naRrpy7Fk4154ImEaHcQDtazazIBr6bXfZ8jScRNSnJr0BydSG7J+WQC5RYF 2H16gYjREMhbY3VPYd85cVhINK1f28iU6Phvn6SV/R93hpKvSmhRjC2dISqC2OB9x1kAj5A41TrZ W2KZDcQRbI6s/Mzq1YJMvsfYLsdU5aDDQZEfvk/uyx3qOVGQTan1Z7MBtiJUBKcoirI7lYbn3t4l WtKBWXRccZbXYuqoKQrDbHYS12I5ZK3n1E4wS0xVKOUCUwO22wrMcjpggJtRtpX0p942mqLC7UmF 2J3bPY8GoU4EIq3POxWO4dX3VgNCrrbuU/ROhMP+JqITKiZ2ZpeVkieyIzsMqUoNJvGTglIRQYcj SdkzfYkLwSh0V2t7nJCdfOYGTsa5/CGmlzwRyRQV+7zT4KrUlM8xlAmX5GDx0XT7+Vny550FV6UX rSliWels8EGOl6YoCrPptpgGodNCjOQrfH7CYxf1bth+jI/eOXC8IJXMlunDBWotlKbp4Q2ITSkk d1VIFy8c26XJAp2nEcuN7xw4XGtDHSE2ZgsIQvrx12hV/O8OfZN3DhzvtfXzXQ85ic0sd5KigrUQ 3IndGBNjrtMBktKMl+9J83X0Hmc7XwmiuT54vlaBH5jM17BK56K9IOS8fuSBVR7lc2oGft4JcFa3 Q3ufNccM3K2oM6gZS5HubOT1eee/cZK/L3/4uNWevSjyTn9jVWSJTundWCCtrRu76YokRSH0S3ke vbPfbllkVNWt61O3BsJiUMMDk3SiPVALsJLO+tmQW22gSjmkhtIm79w3fAndYSgqb4O1zgCmGLrX 6ued+varck0DHfRd+vJr7Z35hpMeiRhstpzQ1QStEcZ9Z8e4d3c6fye+VVen6l1qZ/05kJAO5kWx XETcsLQfVIdf9LenzMGllsiJ6Z33xpSLBObZ7sA5QhNCdqcnHZyfd9Ybrt5dv+YICvp9PZstLF93 XmZ7PRI5pduhvcp0diCRw5qjqH5tyudqbnDwFuw5BqA/hnVUx4C3PXvVqDBt9PopLUSYLU7tpdcN 4iMWaMvmZuOg4ggcjJeU8+b6vFPeMMXZ54hgWmDp21reAnmnvPFEYke/NtesXuN6ea50a/xjLHPP e2e8MYzAeT0zcZEcbgs20SUxERB58kjhawdkCDazkU5FZmuiLb3u+4m0eCL1xFokB00SGPxDB3ja n3fCG//a7wrSRJglKV3OURhos86ft9VmAbQ1hDtQD07p2nO6UeU73a06aHRuinpy8AAJEiYszpIF LwkntKmRL2GPoVjmCVsZettoiojJapTIof6vfO7Ph+1CeFY/kfznnezGhfTdqYakRss3GXnnuuE+ bCSTjHZ9Tx7qZKbpKjdbBmVGm+09zobOEtdRpYMOB0/YoJ5GkgVa+Wes5gzHchAoVQPYP1XZiAv8 vFPdqgH/UT3sPujQngPqH/jHkxJ83plueHx9V9lQuYIjlM9RFGjTlBUcsStb3cxnuKRBkquqbJAc 0xy9B9oyBzvrHQQOG2wd9clIkuC+BTQoLl2UVyHMioRt1kcpjwqV7yQ3riOCsAbd8ziognSCjbUN ejw+7xw3BKErfUeRUNZJ1Qv+7xQ3LCOe2bvO679cPIospS8nKmcoW6llHRe0mYRIvJqDTVGDWP4C JwvK3hs3mvys0HqGsNR8VGEB+OJ3BD19okRHJ8+Ng6YIsBxZVJ9D//POb7Oa+9dOg+45jk2bohAU MuSdV9xa+JwdhidC18j6R9s8YRM/KchFuHwKyZIaNEWr4l4jba1CN68WSgx3/ixU3QQsnX4faOsX ve1fsIdcCezjoI0Gt9Np0Lj+eae2VVNI+oogcYfctto7s43p2qbB0HRQ9qLFlMwqtkG10divVqt9 J7ZhE7DEthrdczk4MORspDWW/E7PVllik1Xw2xqMkAB/vajHobcN0jXybeei9BsH7Y4GELPgw6V8 3klt1fzd7lkEJ6UK1pVNURRkd1nnkeQrDnd1Ybxz6bCyDdz6kCB65ydFGy1xbRA7s+vFzgyo2LVJ Qe8TwKG0XYWNJCYP8pZUt3Uz+KTXjTIRBtloFGNA0YmnNGRFK3skM+XyeSe0YY5T+krXADhv+eJD 3vlslkgD0LpcXyIXr8/mIqNYoNYHefRcR1E9G+nEOak7jxcOhuMZ0G8YiWHbhINuoe73gjkWJyW7 EwPTML5sNEWE9APiY4NhZ9MicvycwaN83plsVSaXzwyRoAU7Bpuh9xgbl4TA/M4hzYnKngKs+7wV YMwMsP5OY2OKwJ3Wrcp2aWzI0062ijYNXSrbksYZKpP4v4DLtm5ThGnIO4mtiioH2OxcPugwWvRn wRSd3O/zzmHDcriRUfqRZy6w3D5FUYSNyiLS2UcgN3spG5UwZ4+ikW7ndVjKJqR/WqDdmxdGOmlr eVLjDUrqkDxhmRYaQXDuKYn6JePBFr8z2KpDsIHx9kH9x4wysLQS8DO+R9i2IJ4+dkYfezlO5J2/ hshIvsKzX6QaAXKqr00/mM46yNYTeaevMZ0VWpYpP+2VgAUHwuSsnVpKFdLxbFm4YMKg+my0ASg/ gr9rncMG5Dt77YLV2lIfG7tL3R6QHjqZ7X2d8PGdvcYrfH1NEuBzAz+OTVIYYlepKiZvZJ9L1Cts 4IN5iN0unC8sZbPLT2c2GzwNKbQHZYgNMcBWqeECH0ZsM5x7VORQ/FjsdYPziGKKjdk6HNRhVc4y 5Nx9UQtgzMzng922v6OjwbbBA3mMYmz8cqAdd0eon+zgyw3emZAjuxv8O28N72/SnC354AdSXef2 RJOTmJE1mgwYQEHZlPsCz9yLR6StvbPW8Juy299kn8fBwqORtb/PXZ4/76Q1vuP3Qqqggq0L63vn rOFL99IxNH/MVGCSJ8MAi7E95RSZURfiHsMgWySstbMPlvMDKpsS6cDwp+6QNaKq2zlC1gQLNbVr 5jHoKfBOWSOkoYmEtTW4sjnrpIqc6/y8M9bq48Kk+Ah1xZ++hx/b74w11g6ndyCzsFkALQGGDV1t ndUIkCBJWrGQ3glrVap0wNSM6YNXIYHt200VInR7WBeA4lT7WWQspZa85s+i2eedsMYv0STl4YPB Q0/mQ2jM+Uvm552vxsLEd4WNh1EbdyGFcbY06tMWBwS+p9PEOMHUNkL7XIBikyX6zlbj2Ub/xTXv 4AAtJB0ZZtSAH+1SW5YrDADRJwTAx9XLYh9sQb7T1aqrK7fCxggHTRJoTGKDzM3Ho0j717ENnY1y j+13rhpRorI8M9IHKTNLkwTNeZuks9uSiSi+U9VuqZbgaBtuMrJAO97cbedaAggZCwlqpqhtI5J8 tJXJcninqhEJS46abJg5GI52SfERSU35vDPViDnfXzESkToz3YUUBtqZFTbV+SVyw+rRlLKNp/3s ZfDUfuepVaevn9+m+eAYrVWIZBOH7IQAeckPHscKjsKM1MWSWpVG3mlqTGoZR44yfNBRC2PeKn3X 1j7vLDV2DNrXiYQ2acdpaHMUlbOnzMZXc0gEGnp2s7GGYbgRFH80R2GoTTQIUb823DYtPpRqFyep hUgPiyhQYTqviGIH1N3M9IyIiHeGmuGFET+q6d+vL1xeDHVIdxifd34aM6/vIiSK9Wgc+xSFqBEk TSA8XLyxAP1Ui2Brg2Ekyus2RXGojWWEK9AHmyIsRwSqzMo2AB7C/tPYL0Hqbl8X76lVFNWyB5E1 5wovPmiK6khbnmcwqXynplWpeD5TtMXEvadRFGmT03MWYPamyB6PXUfvDmPDLBrnIYy0DaK1qg+e 99NHLet+RwwwJV4Gj7dGZD89KnUYNXvboDRCxdtlFd7smNq051RbGxJnn3dW2n8QWpzv0rx61KI4 21zhRrLSCKt5P+5R2aw0smt3UG2LYdmMszP//pXz5RYvJJpnIxGgdSJKOJ3j4oOeVwPSA3VQ86gE 1p5vG1z8BPrlSp8ODoaHQEeBG63ljMeDCPIX7pjiXg8eooVRtnjqXjKijkZ34Hq1mlLZ1C3VFEWV bE3R3Ln74BHkuTfb4guNXQF3lMI5gtK68XnUNDcHb+20EDPCC3/Sxk2DdtqA8pl2Whl4POj1l+/D CDSdnptnIj2KsjsxI7VNs55IBGKpwtbZZGCFjYL6Ys+8R9mq8KFqBFyEBttpOEohacxCCIR1K8GQ oPeft4MF3rxBtqwCeshu5EE9dO0Pv/ZPxJWG6ATAa+PxgB6yf9EfmKc1X0Y9irGpx5X2ctuJk1UN 22nnXOI/sr6W/TDqEWYE3BeIg4JepcHqa/V8eqY0JuRboWfDpJf1Mbinu3yr0n6KZ/Solq3guk/h jqfhjjNEqzM7IrWeRKRHMXb6xTFCwFnZOdIcxbXsKtNux9RmCgQKMtIuZKSDrsQ7rUe17D7VoGWF beanwgbH4jKR8CAPQR2yMnxEpWFtCJzA/NQLtcQe9SjEpvFi3aBRnKHTXZox9pnsxJ4wREDwfAA+ Wt8xdobVBn0kbJZCqiMqKudaGG5rNlh0N2I6VxKJxNCWYZDdoyBbvHQk+T7YLJ0Vc2LpTUzoCQAR 922m/SdsXBAnLPuR4JbAbY+CbNqdtDF98PbGRnDN+lHrfMfg0P4FqgV1tq582XwR3RFQxIzc1fGi lXpCjI76dr0/ABfN0qzHmBHM0ZRr7nxcc8/htM9hnCUGkSZlDtCihaYtnRRyaTc8olQmviTqP1pX TWVtJDfF8v6qbuQ5vSeeDybpFtkIVJrwwLol/x5G2QwhIY5tIWTffXuUXd2n61wo3YTZekx6ZCE/ U3aMwwUeI9Cm9+S5BuCdwB5tQvQ1OvGqBNboSPLXDW5/KW4vctcqU4mhvH+vShjaLHXj+WC7XVQt z6+ELKTfSQpL2gPNm0p6us7tSuHogqo/8oQsV8pF4iPO7RidzbhnSMh9PCpaZ7FA+QFnzrn6EcfT joOujpvCGHXWR2Bz6nWj3ca0H2gYG5TSAlgzZbU4Jh6PUGw+R0RoAeNdnuv/PdBGV4+hYxY3VpLb mq4TRapES/Y48CeVCykKtPeWCzytJjg4Opvmwp3WwifsSqvRi2PSlK5zt7NrIj9Kimv2qKQtbwla 09sghNY5Vwi5O3dbnng8Svu/ARENEaxc8ThHEThbVIiqkiP+Me1k1UekEOa3OMcoZtrZI9CI7raB C5wDf1WeSGipz2QE0Qk+MAuRaHwuhl5lXXQ2vQHwJRGKjfd/VWmk3tLIWUQ6kM4dV/B4xDu6MdJm JRKn0cg3SoqD7cwqW952t7XhfqaEDel0mnO4vUSPStoyCQI3xAe/2+AvU2c35tE8/5WVSHDiFozr GWFbJXKQJtoj3Aiql9hmpAhzsFlCk4K/y7k+8XjExF6/ZwkLE7+rcbGjcBscVHQiq5u60ObGUKPb bQHOPtiWkoxYS4TpmnwD1+MbOPo50lGmkyd8Ea92goDGDKISvSnCiGltjyjcRnUXs06xDA6q+597 pdOu/JxsGY8H4fb4DrfRS297e5dtROE26dgAaaUft1Ey53MgR7rFSxsgBuOrhyVt1oUG2egaHH0M bDomioSRIUfwCb9OtHBQSydC0dTFgLjDl0SMdaa0QC2dAVjioQbSSQwJLgMMNeP5qKPdvs5tQMP7 vDXtEUK0Kz1vFCUJXtN8HWUW72nhPWuzVuSIatpM+0/ugmbbGUhwBWxmwkBkFRkGLhhUd1p5zkZf Rdz14/aP9jkFB74jAI2y248qpgYLJCsuATUiz//D4/8iHrGYgp9l3hhpRMF2Y9O/ruRYP5nuSf9f jpQAH4/uboEjCrYl27M2T++1ZTjOGKnWBMFLtj9qAbyzi+a35GgIQJff/mfDDHxHhIsgvCarIJmd UJvPjqWlww+INng8Oo++G9oAzLd2z+wRxdrsFZ37ol42BAM59WpXN8IIcEOW2Y4o1p689ucSf209 /LWNqGujcHSmf6KPLSN0iBk3iNTBOtD5a1RAx5cEW63jsN6FR/f5BbsOX+DJ8EPjD88l4/l/9dgU NZzrv9zUdoSxdqYEK1WwFSL1dblZy52mNkBEirVHFGuvKdZxzz54iHRu/3QSH07Sea+inrfsETrL +MnXEfno+I5gq7GIlIVEyleA5WyaXGUicaIIPB512L4TW+a1CCxtikJ4tvA1vIT8VjPwSF67G9Rm Z7iUa4oieLbMlRdFezV4OgK2OjmVkLKHMSdrcZBNwjqqEGJ60NlVbxstI5xGi34rGrTVJggtrLOd OxOPBwHS+gX226SweLl2RBVtFkhOLntrkZWldKkcrencrHZVjkYUaE+ak63MnGQRpfPDE3mhvl+B UMJJB4+prilCRZsWS5DNtCnCjsZ3REX/QrDyM1jr6ES+rAGRTjvCOLt/n0aU+oefpk1RGGdvyayl i6ptzeWh0ZCxO41RrKYojrNZYGxW0b8VbQbUMM4kcmRDoH1leU9PqMUQT3BhtZsR5Ajj7C5ulrT7 Cot0gvmjGsXj6MT0Cx8QpP7lW0yMVFrUgGyW4jhbWIh9w6PxiBvmBxYxGB5hr8VFbYmESSJ6XypE Pa99shnJrWGDbSBSIJbJ+YSdV8F5rcL/lp5PWNSu0s5meFTpBca/7CQ4k2bxP2Pnguej+ohDIgf/ 3XUut+wH0gyr2mqO0Knm/8k0GPuPknRU3s/yuqOSPJKR+bdk3xrtDhZmn+t+AE1DI6mT/M/MrA4u oz+0dhvjabGRTztD6Ag7tOdG6z7ozBYhlXd/qXj8X82RxjXXvsPsGYXZgvnLMp1ZbZXTJPK1lXJ2 VAR9DDlFIROS8JpJG3cN3j9Cdrwo74nZKWfNdrojYcXBaXKlp2CLDYPv+IsICd8RH2yKWm2kRMJH Ao9HULb0tdUgooAuu09RFGTjhs6wxfRkbci4jfT+krsfSGiL80CaMQ+Sddr/yhzv1epeheFRYTub ZNGeKCOKOkjKF1yzqIEw46K2jH7YP+KgMGdPCmmTc1zxeDRH+WsZgbsxqDOuOYqibBq2J7hDObR2 ewhZxiouptFBV9AchSVtqj9OyqtruJiIwVIm5wEII3pp9FK40k/g29KtjSwC2WYIG2lKRHbxQVOE VkATCzJlPB7JY/6qHwlq4AHkjKJsBHNQQFhOhejpGt5uEUcQHS0oSfLEnqGCn7pCVQltvQktjOEh 8VlZ0Z5YKKxDLvQEB3d6pwKk5I+I0J4hC1KtWrmUcjBoLTweuNVKr3g8aEP+uvuhrAL7L5+juKBN XdWRPYJE8dnmqDTrKuHuH1bQnhFsxE6jQRwbB19G+eSb2AuMINGRXLzO0Jg8V2j7WWXd8IhOkjMK sisTkRN23sF2Wqo0vfmBqRwej0pslwTJUm3BMvJ8dkawEfJwTprjLbZUk+n2Qidzux8wjdw0RVE5 Wzbls1AHgcPdaf0scQp8nwhyb/YfT8i0TsbeoAmwGGSLlE0dyBkF2apCrsUNB91fT/nb1oHdT2qL x6NUrX6laiiycmZtiqIgm+yAkyWUW13LN4Jc2ZjaZW3knTqMQik/NtamSpHTy4M0RlzwkJQU7Vkm XTpbGTculhh90m7Bf+ttI14WqWuLLiwcrLpWa5EAwgm38XgwRZcsIk4AkMuXSTsj2AgycYZDHmTv lYvj/B/xIwjU2xRFQTZqwpibOXzwKQIpfm1VGc/V0DSZCTfZoHkxOu9ezCaGfUZBtswQyWSlDLA5 GJ3LF5ky/nGdScfzEfzoGxSBaJ+dUJukmAIpsjpjbEquiohN+NEF+i9kErbVYq0RIrQ6U34O3s3e Z3VUdocQVfQubGnZ9CFFPl2fW429DXxJtNe4yST9zME4NWWJk31mju8Yhdi3TOuMERQ0bZJWFGMn GiWX7Qy/1Cmlwoy2qHpLNkRzhagVIUeGuLRdx1K/qn59FJzZ0Eyt9NyhjzQ2G9r3iN0b3TXXw+1f YSmbyLM1SYbgYPW1nWTz3VqteDzS9Znf62iTV+q32gpL2fT4A+fLN9twuee0s9/8i6oImqIQOTKE B2EcKQU8DyChTsv2HGSjJhG8lFdPYiIAZOv4o7n1tn/yRZKM61BTUW0V5paV5fq+a8HzEY7tmwqB xEK/keYoVscm8ciNVijfe8VGxM6WSYbb3K8QObKEphU3axMP3kRbr2C7ahkBS9WZ1DWg7CqKcgis b3B0Tgd8RxBAsiLSDKKdLwsSrfiqZbT4+P+in0lp9X71M1fIgiycIpbK5WfQpqf8qXosCSSalddW iM2W8jwk52ywZdTHAvIY4JqTL0z4PPNao4BRorNeemyRt942wvhLXmw3H7TTYMu1pTC6Kx6Pstnv plEukFJ4+GsrCrIntSFbGvnHTUSG5bBpNi9Bnr+sWFlkxSRIzlERW6Q8bJGzSgbiaFKyBxYqsBMn rN+ouAv37FIj8OnS6wbtxynaOiW0OqWTOUsL8rQ8chGJ4fkoPvo+j9A4HO0KaK4oyqbfT6IgkTuI ZI+yx7ao6YQlqZrM2Aq1RggbabQ71mCTdCYFlbUk9aN57kt2siuWOX0xMgMDWWlSsRhfEmBrmMnW SW4WB4XZULJkT6OOPvB4pEb/vZKo6FYuRmtFYTaA5qTQKirCDti2kPLOjrIBdMzu/hWG2VW7rBYf /O4/UwS7SLYfwVrDVT9PuIEqJIpiuT34I8LC8CXBHHUGSODgYqAWA9fRCXMIjD1xV8Pjwb12NWu4 M7GOyoVnryjORpWeRIghgzqkDMaogcFKMoO6lby8tkJ4NjdbB8HEBltHGcYKGSUp6PrMDUM3VWpP FoJybe50IBdqZA69bhQfUUJTB1NBnUHoI8S+0/ShKh4PDu39HUPClY+4DJujkAeJcOdkaOmK1dIr TVIjNDGTb61qlFDNjqvZLB4lWWWli2NDXL0zPWXRxj47jDdgA0LpZ04oiiYiSjvnCM/hS4KKPyVY +6ZZNAfVsnsFS5sX2+A7RsLrPketqGIJ/FH3jG2F1Wxqiy/mO64QZUD/Ewfk6XQRQKw0S2GkzRD7 ZZbOD35+wc3dVlDvhEo0xNeR20EI68Q9V2psjaHXjahZMonO0wdRs3AHED90Xrni8Wgl/aof6Qz1 639HgTb1H9Jo6UaR5Wr6VuFtSIZA8Z8R0g5l/VjAHyKxc/AosoBuRClIULMGEEiU9UPTGkchbmD3 YGUxe0eBtnFFK5NbDlpIyMDFzE6Dj0eUmt8SCPQQuwrs74E2CnM8tGvy8tGUBwQdelJ3MbZBcBMl 2EP1bAIhe1alNj9TdDLOMhexVzAfz+B2aBmdfxOncIbBi19s1PDfIUS78kBqzEk4qMSWMrpVPL/3 wOPRof2tpcHzGkUcm6OYBkmNkTE90D7Rq+VrmSVVbbWJZERzFAbabNLi6vLhSWrrObM3S2xwOpAw SYY1CTFWs3gVstBNF98RldjIgmisaXNQFNlXShQxOIHSxuMRCTJ9R5GDlop3GYUkyM3IsabsZzZN OQkZqTIOI2RELqOYohAyIlr2Xne4GLZCgwx5ZeAnTwwiqVXL9IDSUNY34r22o0h7kwQ5RPDnYPER NDHYyF6N7xiJQ46vZZQJgq9+r+0Qn51ZEOndPTLXZUOcOyi5PNQkjIpzFOOzWWObDAE4eNq/oeNK 39CJfnNWE45YpAYfT0StXmNDaI/v+EuRhTrFNmgZrQ0fKW66VvH4v+RGmP6ipjmeZRRK+jXW2Ko7 9uSUluX6CFW68Y5aSr7TQsQILzVejzZY9xFn9Eg6sBOYi2heA02LjH9QvXJdcPYaetsgOmpYPplu Oxp8p41VRbdKC49HYnXfnj0oro1yOTU7Qowk0Y3zY9rTvTx7Ds/s1Cx6z2uKQkG/pcOodh/swD7n 87nLCsn9CTBwyUNlSG411FMoi2RN7N71thFNVNpHNKznoErtOddYrEDNjI9Hydr3YcTSGlBpNkWh 2kgZ6spO22hnPVk1BK6l5cc8e5FYUPtox4gRso07WQ4cbBVlSNVMCC0A488rjfbs4MY1OmZX1/Up pBTiO4L4kTDImSkNPd3aDqCac9yJrT83Hg+utOFXGldMY8ThtaMdFrOJOR65OHntHH1Gn4UhhCsf VSBANEVxjM1Cf1fXqD9dI8D6UN1Rfe18rOLMgkCgIaARqEZk4z31tn+JH/B6tEFTdE4702GrdeDx iE4zv6ZoAV2Y591oUYAtm+pMTTDSREs27HFBHcClj2Zx5+cdwUVmkRwk69QcbIqg43+WDkH8VIdo dlwDhID+MDSDPDBilXaHQiNSGNmUzeag+JoEAlmvzIHH/xf7HphLNBxPmiJD57ymamKspeaQGuom U2mE9QuhRSAyZzbiKZQaocsBwEU+GKYGhtWd+NA6Ybe+M9y0zs+Cugh0LQoZfoY8WtteOHARMxHW LhFW7AJGjRCImBKeP2uTHxDBRX/7QG1Y4F3/lRTVs0kBOz9hcSWNs7GyZie1ngyAvFK5GuwpjLO7 zOjY0W75ivucgKhAy5kx5FmhySaKpoALGxMN4Svuwzgb3/IHzw9U5eIDvyXBr096I2tmPh9kI78x EahHrXv/5xQCRwgZPbOwrRg5dNHh5bXxVWdb3mTLKYRna4ImxRA4eMW2wi+Da31CoaOgk3b2CTAX K0MDZBK6bZ6h/sJ/ymlItb4LQ8pz+Ey7BMgg+8YPCAKl8o0bbdAbmbfrn1NY2UaqCsaFTdT5x+nQ iJEvcJRmtjZRofsjy/7kmNlwOVqLkiubHK3zJP7YCWG1s6Cg4EDrIA8ny7YXjmgjwtik6YN1/nFE sSSJzj+ej3pt/WtBQUCBXUafpyjohkMRYqQ67IQ62+LRQnIO6YmPSa/t+qgIH7GZ/EttPF218XMj Qch3U54FYnMDkEtaZINfi2h+DVcbZz1G3xLAkKf8VdkEkD28DvKCZf9Dcnzm8xGZ/bu5nfkO/bE/ SmGFu1NxPN0TCubAmqgMwWsVKc++W9MnKpbTZrFkq+W2b8uN9iwJfr10q0sQ02L5FhW/c/ZlKyhZ aTJte+G/SgFt9eaDJupEHNKvPTfH5vPvE1XzNxq5nkcg0HznKdQg6RImms5ErqpOktOWnLoNewx3 fk5RAD5VeNO64uCo9pV2ylNU5ATR4gb430nD+g9KMShzz2sWAfgDvyVK5Bg8dR7oHDRP+WxnyYee uebzEWP7NkzY5CZ0Zz8nVKhEQnNIcOc5Oyi+5WwTxbBNsQGg3T5RseifmiXpDhYb7MGjHADPiYOc VqWwg55gkUq9ynkkhaBZfkuQq9CV/hxvOqj4Z+OEgkg3/8qzORqfj1qUd0FxRU162D0rKorFVxF9 tF4ByeGGUWcTGEgA2Jbp5mwpEiQRCWAWOUaVxzFqoTlxLlAWvBPaMew/naAWdqqbDYIbGvRp7/tX aJAyiycpe/EEdBtZC52LY/D5YOPV76SOZNZ9ZZFzigvelAAo1T1alujaqMKN6eI/C2ZYPk1hxXvJ wEehQX9CA3hIZJBtaaGyzyaEFmcjQpntnQwBZ6e3kXFL7Pv7SVHYqUzaf8kpt+d06uwj/sB7ms9H ya+HUHy7SSudu5xCz8hJeHIhEI+p3SPZCgcpd4VuVwEoB6aRiAzIKWn7Dp7+ntUIn0IlLh1pIqN3 hDkn/UW2NN12nXLneuGIvi3mVsk+qEYw59ym2jomn49EEvv3AQUBi/Eo2ufQOLLJF6lde+jRl9H/ TqY33RRx6KziREUgE0AuMUO0IeWgiQKZFFRSASgKnCPH4JpDUwUG2rVf8o18SHLsHCntP2kkc9A8 ncPY5mmVyucjedtfqhJcT5c1kWPvyCLTP5PVzi733yhQQigO5JI6i6IMDELzyFWltt23D54IN6i3 4GqV0M1MBDaJrIiYEPBWn6bS7H3/6jSVQX4yogwd4/ncpBQshk794PP/auxyRhZWdHu2XVQBBzQd gab5juAUr27VmqdXwE/25NXdHBpIgv4NlRvyS9NDwjlxUh2JPCJM09lomcjvAS2ASs2R9cgADn/f SBCAmDdwLW3QgXJ2Qd/CUObK56Oiip/iPMrQp5hXeyuHFpKLvRRCwMwjQZxAtFw3CaIsPCVIDNg0 hcxJwihXEs8kpRtmYseeA0rFuQY7YO7LjDpMA8skE4WqvEW6STl0kVzsfc8h2+ixTZ1UCZeWE8xI QxfJMtevMBPX7LiJcOAiKfgjqnI8kYoYFPMW6OYt0KGob7suDMepeLMkecfBK3QnAgQalOJ7QLM2 EuQKvSALyL24pxy7pKgg9JHMMkloRC8PV9SDzlOXyPSJagufD/pOV/OGuGXgQ9sVlsyBkaQ7bkFp 1UAn5rhFUtfIVjs49941SQydJBfvsCbgKQeLCs47AHlFl/Zazv2C8hoamGB6wCOi1EuhLEkVqNBK 0oRcs1FOvD13EnmSMVHlLovP/+sU17/csQCfyy6UK5kytun5qdRVm6cqdyCQ31Z1V4kcuEkiQ5Xe rYSU5vLWCrVcMS2s+ILojqQMyV2Bl+P5WTaVzBQU1GrvGy0nygIVXnYcdNktBK2slNRU+Xwk6jK/ TnFoZo5en1M8rIsTDFfotSpf0r2aBZm0fTAz6dy9/hT4SV7jrbWbDz5N4OUMygNQG6BCmwV609C6 37jXII3tIKY07YWDcgFFJkkwtMGyu/PR8vJbrfD5iLv0TToByqun/QQFIc672jHuyIpOMgTLT0nb DnU6iizaPP3t3Q5VSR88KDjrh0RBaU0AEYTlNAfocjKRwy4xQa6V7IWD5G7INmE2HywYr3kZeHs2 Ph8kLb98JTNMqRewpDZRobNkF3aAwocy35SUKznwcnOhiSt2o7Lg0Fpy6bKvdfng51NHaTRRlQtV dwbmQMUNuVH8QDTdwN6sF/NLgmqB0Ezi6XT2Y/GXnbC8GyqurMznI6HAbxQK1LX7l/l26C455Qko wxbmwJXNOdBOM/JTqnFj53iZLrSXNF33Qb8SDo6xACp+VTEZYAxcSfXa4JDzom2PvtuS+3ZoL6kg E9JGPmjb7bPrs/qaZfL5IHq6qhOaEvhvrue6iw0mJxWm5TDBPkJ3GaVMc1GurAVUgO27wGESHXK5 3bEQYH5GOp/AFyjwzgWkCfHnpsMkQtsFjUvpKKlKR7FCfkvE0KUEXqc6x+jYwYygF2Qh2OTuJxbk BwTlp+IzxdWHmPgrzgxMJtF/YG1OE4V9l8aVeUlcFNh3IEv6QR64TPoB1RadJzg4sGmggAkax4kL zsaiyN5sYF4wJunESDw33rY3DtAEDKB6WjY0O5Fbz1VSLyV3Ph8Rdr6NJiFL2lHT9YmKPXBodl+q 5y25dEfubAqoMAtePXkWHFhNotbONjnwfDZc6M4GsAyB5AlzUGRWn3OiC1twsqaebrt8LnvhYJ7I +hrLtBURIHARFGhoUqUdZgT8gAgn/y0ciNCAfqw+UVGBnOUCqLxe4EVyu0ngtZz/1a67Ww79Jpdx UriixmPuikv0xBstX04KCd/nd4ScCNR/YFh2yQTF3vevckFpxBVw8LIKrGTwl5/jnc//L9gLan7U K/mWQ8NJm6ZkUtPAynk18+yX7XY4lTaEmqYoIDcbk0mACgcrP0GrA1c3RV/HWTJwKKAzHvFzVHG4 Aktr2vsG8AseUMuZYNb9Am2nSffqTNPm8/8yDdJBfrYdUj6fpqg63imNW8VBIUZlXGncKXoqMSqk 9+rCi6vj9Av4r8Qy4ARzVzm4g7pTCfFl72IwzihkgTVuO5unEKZCOYoOBqwNwmCAdiGRxbNe+Xwk afat2bFBFsLR7vMUi3Vv0pu3z9PY4+bBzefp/GUp+TzFrjgiNksfd1x93AFY4ThpL5OTM2OtTklR 10EBcsBL/HRK932D+44SSyMzLB9CTuAvm5la18Q5Zz4ftTl/0eXS2etjtucYjwJy8Dxxiju4EA4L 1i0vPJIMrQIfIdt2cXWciV2h4R0HX0/57MjK6+zEmAsHIKvjSKwGIXljqrBI9kWxF/4LNt92uYOO J5jhsm9+wqrM5yOOyrdBN7jDEBnxeQoNKAGSRx48k2N5R/ZTvDVH0qlvrnkKHSiHUGGQJLDB25wQ Ii6Q2kB1PCOhIhQhsccCJFfdT9u82/tG1EJZUNLSjIOm6WSNg60SFccDD0oU13+5CAzqM9/jqUbx OERxGVw6PoyK6KqNm1Z1JV6leDWzRvH4oovrnHI1mV+uJjgoK0JCylJXygqiTIfjk2UOkFYvI7zb C0e6S3QSqDQO5mD7DgrqRD3vufn8v8QEVaaDu3K5eXDsRMl4vGuvsYcwPbaEme12FgaLypqnWL57 EX/Rqw8eZoJrM5W34OLsmfj5AnvzjS1Y2ENSk7OrKRWaUcpOoA1Ko8Gj+Uclo4nlz37hiZMzPyCa qP51jssV8/qZ59iPcstqcd7oaWz3oaaXhPlQ12dBxYaU7N5lMejyw6CDRc6ucCZBne7876ZNHtR/ z0Thp4VmvxkIW9s8cKQESZsFuklDanQAf+QEAKEHSaycOzXzA/6186RrsWnQeCcqjMcBnMr8Sc3g bF6ZgTqKFwwgmm+JS2BKqT0BYEHePjhgpcB1YjXuvEkiNCpQwJic7GrQAqtfKH1e9sJBQZP6i6mz wAJRxx/lGoOrliSxsw35AVE87hkeZlWAqivHkANrSst3UmE+JsAKpcNUWSGyganwWRQmDpNDb0qj rgwWgDnYEQUE7kkOWIKqs42B62OCdlp+FtAimd7vRu9J3V442HqsGYzKgJyDagZnPcscpm6UVgJ7 Stn6fPU5aeE5+zNRUUSOSxln+XBtD0rlmRhTc/OlSeibragIsSJVE0pR2OCEug3NfNZ3z4fXTJ1e SFUXtrLO/htXzxOquvqS6MoTWJw7j4PwBQ1NCn1fGnz+X64wYihCHa4/V15YIUekDQjltIoBLLt+ 3H9pWxUYAsN3mqKIfIgl/198QRLasMjIC3roRIpBJvyHsgaguPjGW3vZC0eMeqqeVXUSqncSIK4y KJcBcUw+H+y7K6bDggEC1/JANEObSvlUbTF5kqQZhgHFNvRbRWGFZ6Xvuygin0klcjEP18M83IBV w5OUiLpzey4SxqHfcPYdSslAFBtehV6Q/JYAeciYIKkExcHW0y4mdbDX4vNRBeqGUJgoyAb+0PPI Zyoskg8S6nhjGreOLLpMm+rJgi9KK0iFVdQMzSqlf543YykOmqmCEsEEVQ8YzVVxb5wdB2ArCqpo 8lZOkzWn+rI3joJyFgu2tGO22ayUBbx+koMAkrzAr1JiG19dFxx46YrG5NCwEk0MOFfsatFmmXZY lTRqGZ4MA6Sn4CBwrIRwCCaKmmc2WJKH2yHDUZKe5x18RBl/AtCDYpGY4zL1JLs+B46VPk1TQdQc 03L/3GsS114Qg8CyMv0ff9iCjKA/N15oWcmUJ82SL8QgTQ/KE9UDuZ6gImy5cOhZiVOVSJXmg03T AoGMtQhofSE6J/inMCjHaU6QhlK8oRAq8KwUBwyNVBU2p6szwlp7EEuEHIbPBxde/7XxKvpSuz3z FAXlMPpDYscSFHPh5GooJ78sDsUYkJ635RQTNmXJLCu9fq30+jlvQfeRAjPRqgS9FvTrGgrmhB8Y UEyVutC2shBbgHoGB0DF+aeBTdRFs0/oCYfGlbPP71ATVTqACn2eQp3vRIHPMdx6KNErkDF5Xs25 v8Bk+TzF1pWq0clxeD6Ow2eaF/VEmfmiYjCF7EGzo7NK0h5TnW7v+xcNaKD8b4Ny4RPnT4nqZwCg Qu/Kp6LJCw8Ex/qUoALzSojI0KagWRkT3I3tLTxm+RJIWVD6UWAQu1eyVoByuA/Wc2nAUo3JHA82 Rxm1Jzh84tKCW1cGdsoDqLbthf8CaKIl4YPtO3yDMcoqn4/MPr4rv+IkPileaGBZmeIVJcD2j80C qOliVxA466aRkgMHS6koI3JqywebpxPYoJGnnjBOIKbLEBQ4C29DRRSh+YWsbHvhgDNFyYayphRA TlopPSoIAVbiyc8P0vgBQe03fevHDgIPr2FsDmwsMwMSksg9xUNT2LEYpXqK1xedPjlRMYeTKcum YAoHh5Cj1LKaVEBOaLtJe9NELeDjC3u4tvEoJZcDJ0uXRq+VTpYclLmg0y9p9HPu8fkIA/UNtc/Y UCtdIZAcmlnCbwOVzFod3COcL8E9M2cH96ziWPvAzRLCdNRFnxQA5eDFOhSUT2xAsNhG74X/JvTN QFvqPxRRM6nd7e8btfAoADZm98EQmqULGY3TkM9HvYRfvHIYz86WPCT/vIZPLcslHDY+xUlT09V2 4NVhumkbEHIdUK/BU7vylluWBPs6EZ3Y/PzqyXStU0X1nEgxNAWJbK7r2scs+g+/hk7N5YhBUvdB Z9OCI4fUUhaefpmgBhST4ktpYrJkUFn05QUbTc9iGQU9IlhYga1YXNI6q61Jy/h+t1swPeC9w8aD hHEOnq6Uk7l3dBJRydy7NknJIV3tJF5V8c0Fr+ep9Do/EKqlwEV6Bi2hc/mrY4Ef4vMaMTVEPj4/ ApWhGdWLzc9ruNTg9kVMgfxzhyRAkt1vjRR4zM8aAPhy+bwGS80AKmgEZB8sCGigUFRqkeIg2mz8 gitcCXaizPFjQdD5ru/Lp9A0bhW6WXKwMu/5OXS1nYjt8xontUfX6gJqf9DDt+l5jZKwfBo9mZI5 MEPia1rlMk2KtJm0RTGm3WuM1Lz/tBQDcPAj6ByGpQOcDEmCWuECgd1VqeCGRb5vWwXoFnzF+/RI iHDpAEJP60cAiZMjm13c+eL8eQ2Q2sNgMclZMGVW8+31Gh7hIdy6+ANcrmmlbnf9lyDByVId0fsa HDXEkDQd2AKk7KeuC9RiB90eOe4JICY9iaFrWH42lGOh/Obba2+9bHD8kCbd1e3tt9sLlL7ZoPYT ab8GRu1x+LTjB3Wqvm1BfV7DIuxJMjXTV+V79eJRdnIo/a4sa9BML5ifyWRtZhbfZr7FN1h5nJuH yJCJGTgBU6EH2tksC9NW8kU2lcQg+zUkOi+7oIaGVkn1QbWS3SmRha9b5fMaDzXzVnrm5+wvkic0 P6/REM70TI1GLk+JNO3hgIE9uwMG4Hxu5hXh/DAHYVNSg5OfUESC/SDL3VN+ggB+nW8e+A/AxW9S K1eGaIMRljrNcwj0BEFJT5acVec7G7Z/XgMhHF8rfR1AbCq07gvoNQrC+YxyLOqGLtEEMLIdQH1n m6BZ8QNxAb3GQDifWRQBI9wHv95zAX5eiNSTaFTIPhJ7UH9WJd+Qd7yiajLHX0Mg/AgMptdc2Qcj hzWpAaIRkj+vARDf8HsBgXXeNgNqzM9rQbIBcUKVL9Z6BBHouzqPZwxL91djmEQltOCABruKzN7k g83PicQS1AII9KqWvYK2fN5tAbaL280RcezcfV6LkZgf1kTOCsw+qMIGH+OqUBqqf8H89NW/1g9r 7OACaH5ey5DNLOFP6Nwuca65zRnKh9mhAbW6kEV0QEtycLFwxsGr/yeGHjXRXgxY3ZNSETE4ITmI HmOBIabj4GjD9FqBxG9AE8+qNqV8x3hAF5Tgk9eMXuuPzTS6OR2C0p9QFCmKB4hRAE1lpiwPI7Ni mA6eKGveghHaWUYtjKZImjpV9aL61IsGNhkU0GmPCHAzVRkHWOMLAUUGGsaldJuokNEaEpZZhf/x FP5PBluKCJgDRNVok90gWpYeGy6Vy+coiqJRQkND3tg6uNDGFUEjZVSqjNd/8Z0s16TgyvRi+uCw rgFNNFTDoYB6LrShOYIR80KiWZARWf8WsQpf96+Dmkx8G6zoD01p4b0rHw8O6r2/DiL8bCe795vs nSrXjGSRhuw7hQbwdZRlIcqTCDbiDsIJ5ghrHWiJ3X3wu579f5xNIBQmGjsiVoRaE3CC4IjeTENp fRRLd+YYNMOwQcg31hFY7G9gNr0H08jk0tcU1ZMnDEbUBkCIoiEkG7nQEZNbraTmZGdIKdltv0iK Fg4gOK07VXXGGMMHz8ZwLp+Ug6c1vQtJ14FcOcDG53Mh/HRvs6TXfd9qnTIMq1Leg4Olq+czrfIB rGkYUI/8tdVg6QFDFp+j94hagGMc2LZ2IHR6kaa1JEearnxFUN5DaqR2SMXkaaLBEp9+juEEbJJ4 qSf4Ina3o5LcyQ2nhn6T0UDV2/51GtEPkOY5xQSZIWLQhSg558bnnSCHnforZ83Qx2hE3mmSorCa EroQk77IG14sOo+KYwRP0Ni9XfseV2fr1m7peuxH12O0E9M1aChSSffccEpbCw8ViKJDXvcWZWkx 9E6Pa2II04HxDvxrzwyZ5yN94d7ZcYhxyvd5BJHlkxT2u5LC2Jq5WXuM4dp22WrI6K9bum6Wu76T 45pXrtcSl3Dd2Gh0KMGQJYWLDUebeQyRCIjLIOdrU0nd1887Nw5/JQX04Szjg1YSLCuzUO15f96p ce1xqbQTicqFz8UWhdeIWLHF5vRqLFGWLH8AZed9f0j6aY6i+FrAyVnk61EeXw9QETvtnWB4uDcK RjiRQHcZRIT17MpMBQcXv+R9jgYLaBsO5TZojiCATqgEVunnnRf3K4LkEQ2ZaN6ONknvMTbuIxp6 ZSNUoum/fJIWAXaU0Tmniot5REH2QNA6UlWRqD5FopXrWUjDNAUWbiGaEFeGjviPeW09ZAv3Topr Mi0jqLT6oMgPmnRD0jDQ0ImibC/r22ZDWlT2DSKjMBtJNxBudF8i04v9Ua2j9pTRxnJcRBhnIz0d qffqg03R3KOdU1WSeinV1IR7J/gRCe/mXFlNf+ptoyk6l3+HAKYPdh5NQhsIRlqfdzocw6vv8whX cW3Dp+idDIf9TavHerkBqci4m5nsqo5EaqgEq9IYx9kMHhdlmTl4nI1qe6Pr6zkrYObBgysTkL0h qZc8E8lUY/u8U+G45tk/G2P7YPHRnhJfANTp886Ea4+OgBVDMrjCNxV5J8JhYol3n20YPhmAAqsW 5bayE1C78O4nPnrnwXE9EtS2V/XB46M16X+NHQXW4xCMu5+I/kS6WJ3AQftpxHrjOw+uuYHOiaU0 gMSofPbEY7xfoKk6P+88OKyIGyAtFkQqDL08iHxnwTUT4TtnkLm+03TArjVGSN64xixqHb3H2Uj5 pYG6ug9eEjmHNESY6MZwZntOAtoGYKQnzeI3XW48vdI+7xw4REhUZC7iMuMNf8SeOTcEixKU0V+f dwocI+1bluWyAXkAZ67NUhxqS0x3u2fFVjGE7nnUteMsle0AyXcGXBO5gIyuO3hlDZD0JKXYsy8S 1YCm9Cc3ysANe91RNdQ3eee/4UtYGdmZ4SQH6+5Dm3bqYqufd/pbe4wqtd3QOYSNps9RFGov+i/3 ZjbCDJC6Q2pIHRLOHaUhbbeweq0lVOXIRIFwKx6dcKnOpd4Z3MHgIAaF+AqfyEytg0svkRfTO/eN 241HkmKrG2GUAfOTrc5+mp935hvmKPkcKQVGf2g1jyLfmW+sYPPylxeMhHKqwSEhMukUQSD3bZKi ErZEPsfiruPgERIKJAXNL2i+JBzNm5qM5ybY8J3g7iHYFuf20usGEZIg7nltH1QewdbbRgVYn3fe Gxf7d5OIogFreYD0TntjD3azhNSmF9nmxdIYz5v4dnQwdSS9R9pKy9EfEpSmt6dKezItQGsJilxQ +kS8CRRJPRc/Th/aeXobbel134+kxT7aSOkOmiNEEWrHnzjj805641/rc0SUBLx48rzHdhhpFyaz xQEi5x+3d0JqT8nz2rYNIPJOecNPzB79HrzbOFiEtOHflVVmg13nTix2QxgVrWLwAPLN2MrQ20ZT RHQIEThnOCGGjO5Bv+ico/N1+/NOeGOrqX+HSGhT55uNvPPdGCLxQFrNu2lYwnb/ZzJ+uNfyrtZN e6e74f3pqryzstt85XFOwolSiAxisCNqZ8aWRcWCTQioGVZmGwQhv9PdrPmHVI1hAAcd2nPRzQaI h8x3DNbR/u6HoHTVxrrnURRpVwLaWRE0ctK2BBd8n3rLbMU7au9Ut6c+IltlDlYfqSiQFPK0zpbF l6loSTRrZn350cujUOU70Y3riGU2yTByUAnp/BCiR5yAbHzeeW6IQg0r6j01qHbRG4496zDS7krS uuuYjGF5CSo9LpYHbyCLtN9ZbqzWDmYheftgU4SUGJp/hXIKCaUSwt1xTXQAI+cjDgukLL8j6Orr WoP7qA2aorPTstswls87x41X76+u0XlFdFZ8ikJYiCQniFW0IDI7aiZvxx+fKfQT+53h5gXtc3dx pjhoioDUa2cREVu0oDiBi+QsNKkbAYcI6e9uNBt722iKGDwyrtGgjQZ0ZTMseP+809t489/GCB9j NOXAkHd2G7YHSXiTGv9yrBjLo6NR223tU6waG+2d3ObIIjSD7+D40JrBOhA8BpZJ0mvuyDsa6kFj PQd2G3rbIF8jQxndXR8s64fovwDEpXzeiW08r7/BD9CaqUA02hRFQTbOLKBDpjcfnyL2mbhhmOzJ Nh0x2e+0trvRaLZog200CHICQdkINYa9B2kTrUuDhEH+PYwI3fm8s9pusXZtiuaeHOCcOrzUcExX Vj7RH/m8k9rwO+7vBiTIei03D47eOW32y2Dx1O6A7Nmv20AvTuIm+17rKCpoQ+r9XOsssWnQJIEU UjeLslAShKoCZLwgGnQ+kvmi+zEASqKXjTrYvMvWuoOhZ8GUY9h8PuDzzmZDaHKvNF5fuEnLbfG/ k9nY+NZ57Z5nEDRzswE5i0g9sBTr0b5z2VhOZ30NGgQ2eKG21L3nJtz6JLftZCQq+VfOWfuBA7x3 RZiGvDPZsOiXgLPM2DjoMFpFEn5I+/iKwZX2KzJiibZfmMg7jY39Hp7XLbkZfCL/TP7Lo3v0WAHO 1nkd1rIJv96q0+5nEQ0C7uui5xH0lAquItRpUSBBoxRdk8uLJI7vncV2a5An1No+GBDihHHkVtWF n/E9wkbUMH7N0TkI0V+3OYoibNkvFzdaRq2t3QLbnN2hImv4nRbXsocK/UsDk2qm/GeVblbozrXf EW8xCE+QEh3IVrgr3UCHHch3BtuFq41CHDYbrGr3tHNWJBYATgrfP+8MtmZSt5okkpHPy446PcZ+ Z7CxzTDlLOAxNnQRLMbuzfkhqzRqwid+UtQ64iFDxIiGm4ec3TYEKzqx0El1uyX9kGNfhGTlG0Ci Gvh556+1K+C9TYADlWj+/hDoTCTBjBOAfd75a9Z6epbSWLK+8kmKgmyyA09oMZu1RbI8zonm716T PH/jMqWpd+4a3l+4xySuUXq4RgXmJ6Q5AlYDJ71K3XwgIaAND1msh5NF6to7c605lr+nUX2w+Oik O8RlnS/In3fimr3j970GBs0t+b/z1nj5sw5Zl9ufpL2u/Ukdppm/eyVyFtDHP6PsvmbuPniF7dzM J+tP1jrCcUVo1hJuBBu7XVMP1vc+77Q1nA70gieonYMpTLGqQFbHOYXn55219p/2GvjWP33f1tE7 a41XNnXKBi27CM9aJImSZY6zltJu508BEQ8L6Z20xpuZ1f7/uJ2jCYyCSGNGW6FCyYW0oDS+SFpK +KtU9YdLH7/kz922WETiYAAkesL/0Ehnft45a03imF8LCeC1pzHyzlhrj+Wpu1R41i9TgSTNzpMC UCcEUeQ7Yc3AcOCwU7OMg2O0ToxU2ZJC9WhCEIruMODSQK6JP8flspO78HlnrLFLwdmRBQMHTVKF whvD55PZf975ao4letA1ENsoF4f9Tldj2MAt1i/hf5KnSe9cChaalUeh5uTJht7Zas2lNnCm+OAx UqonGUc1AQzaUqnPOoFjPLsN1ck866OwTKLDO1uNTQoikDah6hw0Rwkqh/y+dSLtd7IaoWy/oH7A GpMzpzmK69lsuFO5SiU2GjRI3mbs6SU2qFDz1H6nqnmpdiTy0DQ4KmLAFkxxJOr/JVP8vEGeTCVg 8C8tq1Vt5J2pRjg/5qhmttg46Khd54hgWNZqa593ohpbBt9zBCRvTw9ePSpnL/ow9uSBZCqqPdJj KDngeLE3qTmKYu1EgY2NDp0Nfv2DZ56Bv4eOW1uoKFABCH0yWBqiUubeZ8REvJPUmnSzgKSt2QdP RzqF1VBnGJ93ipqnXpwRbqvJ5qdnte8MNTwFHO7ZC9sx2VV0UEpGXAtm7D8rH70T1JoR1+EzV33w 8tG58lbNKqOdrKFINnDAafdEHWdBgERrobZWUVTMHrzXVuGG46ApOqFgIkq+warynZ3WHuFEnUab 4JN8T6Mo1CZsCT6txTM2AjckB1iW7zTC20R7CENtaBsMmnPZ4KvoxEqgvFHufRV2PIkswVkJ+2Ip 4OkwImT0nZlGSAP+KixLHzRFCbxlTRFutSjQzr8yNmoOw9PApiiKs6ndhmPaNcmSY9jBDCFFrVAx sRswu4XIbGrebmkj7fWFiIBXACRpRZ1Jq/Mw6iCVoziJZN2tKunvhu8ILn76mZSeiw+GiNh7yaH6 /Bh4PIggx233C6a8iZ/0OQrD7GJ9I89FtjS3UGIr3RjsUGJwrnqLatniPaDD5IOFkDudJZQyEVrn OYAhmmi1qKpB5wyYQrfx1lYLYSPS11rsaHPQOgLQlWWAdm5yPP4vGBtrvDhfOmhhxqAJ4+xMsUTa YTKEzKKIoMjWN5VLqQ+Bw18Umvc4G0U+lGoLAkMbHBAJayr6q+B8xIFdDcmNnxCoyHnDbFkG9H9Q HAXPXg7Pzvs82In5bG3z8Qh6/I0b6YyLul/8PYqyx5JqcvOeyGTEoUY2NU9YYjtBia2jHuFGgLl/ O47WuSgTGgB0njo5+lj0PD3XbsYKVff8Zv6U0OhROdu46VmuCs5lQtxSKNDwAyAhHv9fiFgDXjMg ctochVH2MKyIGy1Bos1hI6Oa6u1J17Ldaj0qZ8Nc5Pzlm4QRDrbX5oKK2pBzF7DNmVQjsv0Wk0Nc 2l6rLfa6f1WQTlBOjWmecUupyLkut/L+VvB8wHact3OE6eVF0teNs3tMeCy82UYxrN+4fNBSGSyL Tkz2JuLsHsXZlrD9BxMBn+0FIBsJI9CqZwsFJxIINdBR2I8Wt4RuexRnVyJr0Q/X0JXVwpk1qU17 Uh08Hpzbv6ojNVFx8HL6ItIjDES421zWvarVhgBp1OGcrL6mtbJ7jBthFVIWZxz8asvtxI5dSH+U 7ApdmKkPMgqP7Zbv9c9iZI9q2msIxp4VISHTLpb6j0xa1qh74vl/XW5adnDDavfYjgJtImthvpy9 CVm3l5A6XKLMTGE7IrL/TX3cm/EPB6/YZjCODMee0TanSH7BqTukHUtwjc4k0uj7e6SdLGHrkmHp CYqbQ/sNGqH4XVBcwPPBflvt53cDqUFFwiYpLGuTHlrx3iqPTCoo47SGkBoutOViNawh9RiijSAJ lmw+XMAfzuhObdZGDCkBf/XElD+bqg911kdoc+p1o4NbG4pN7ZOz7R8Tq4eMu8RqzicXfEAEZitf ORs1zEq7Z9J7tC0sJir+kzAsRtuIpxEbQcsViRrCJBpgVC6lCDrCCACXWfPBcVon2e+QW2fxf8De he50bUsPgh2sa07prxvMUpFTtWpJ2Xv+Z4VAxIrXW554PMj92zdtBNLdwAj4HEUY7U55qJYMdXyi JNzHEmbLOqk2kjZUzVlE6hF0pC9jiBLz0HQhE6MNZag15bdY2qb8ISBIrI/AaX7SmHJKRes8gy+J sGwScJdh0HQs24mNYNCLfzzBAB4P1tG6YZLKkWhDAlBvsxQG3DwUusgPZPaTHIapSbs5jBRKbctm KaprLzpMrDyGD16OBJ1mQngQgq2wT80UQzwf/7PAiGeUbeVIej3iSwICEtuQyHl80CytCu4vK21l 4vFoJXni1jhJsAtCHmSU7CjgZsMU8hUXOgr2tfXaJITUxYmw5HZEhW3wXQliTz5YwH0OobOFQRSF 4hGOq950bk0kEZUQTtFGTHR7RAG3rv4pRwAOAmozTZiqtGU8HgTc3eMkmQ2egH9vP7dHFHB3Cuyl 5eso01JTUVIWiFTVSDeYGHFZm7DawXYsB6/9JxQOk06kgf9h3TJhe4yFui1toExkDLA7fEkwR+YG IDQbYKdDTaTzG6q4h1oDno/a2r+UV1CfmcPDpBECtWnnvVrxcmSmriQjgJINvg2GbbNO24jq2lSo HYwlMDDmGMxKBrDwuSsrgVkrS230CQVoEkK01wvgJD8D3xEARyUs1ukDSzkY/vgwKmFB5wea1Xg8 6o/cE4nHNunQ3aOkEYXbOHOgKdacFAE/GUOzrT4MZDPhamt7LQq3l7qRk93qRJFXztE4W43q41Yl ge2bWv9QAof1H/olfv+feHjgO6L2CJmQXcltd+5xRn+HDZl2fgY8/i+FCBp1DO51b2uPKNqWAsKS dJ90pJ0Qefa+W28sdFptiqJo2wLJl4otMA+Q/UJb+5x7586mTh2aLycCgCl8ujQ2qlDhS6K2Nrk1 uIww4MDfKkievyCJEVkyno8W0ndKAsmbVm52O8JoWxCStrJdbJsFL/KPtuYLB1IGWpfR9oii7TWk 2C6hkfklNAJvhE0GzcxUtSL/psAiGgKPP+TdaB1t0vxHCNImsRaEQR/sXkMQR7DWiSLw+L+OIwYn TG1hJ2RzFKK05SG8mpf+t4wlSIkgcI/3Wu5OiRgRShuQbiDZ0vTBW0j9XP0bdwokfoHTZdLSAO2h w18nh91A2lVvG3XZQMZNbBpo0F6D0S5Xbtt94PEoQipfdz/crRGO+BRFde1G5f/arMgPD6Vtey2N 5XVtuD4Yt2ZEde3JVGyTfIwBPxQMb8e5EzcEyaWCDNtPZi4NgOzWEXWj9G9TBL42vuOP8Kijj+yD QhrIvfO/kFY7wkD7NtkMH4jj8F79YaBNh2UTHGFeO1fxW60vE4Q8i6wYNGKEgfZUQV8d7XI72h3y wCftXJL0SSdoR0O3TfYjsxxuLrqW7r/4kiDQppdbHQTbVNEUhfZHn5fhBjRj8QFB9l+/GRHsjezn 7o8BJNRa05KRIOs2nugJjzlh/DOgfai9Fla25ehGMJYGS2wb0ev0RJowg6EtI9IRCpWAjAa0hJX/ t4R9wsp2leJINf0RNG+4bSpdCHFon1MUz0clkvmdjwA3T+EK0/YJS9t1qG67qEhHD7xp4nTkrjN/ gwbrVjoy/xTvG6mJPEqJlx/Djy76tDPSHkAxMgVuZ+Z/OqqLVPu1ThuJtTNEkBS5u7L8P9zAuZy8 Ky9z5SwVj0dz9Ktfi+LIvvpZM4q00UpGVDS25bWV/RhmbEtwf4IjEuuRnZ8UwP23KBHSiM7tHtoT 1lS9ydlmQ8SEhzZ6Swg3989KT9UW0Rm+46/q/9j1DjZF5wiUM2DtBY//LzQ2KFf0fpk1M4qzQclD 6yh5v3amnbxfO+2cQqRfLBmZISFSbY9ppi1XaLyDblEzkjSa4K4BwPrZa/gtFv6jpHwxNotiCDOu bBOsRc0SDSqP7AUQL0/iVfH4v1Q1smg4INLdGtKMAm06ipzzyEi09DS/FiTbXaj3LC7kN+O6Nvv+ ldwiDraOzvaq52c2J6mz/zsDTTbSAf5jdukWkwS0zRA+IuMf1ds4aI6gWyOlw5kyHo+UMr/XURPk wGPIGQXalRjbsrJzInr1ev85P51ks9FR1Jk9o0B7VFZFZCK1HhOpkTqsXJdENQawQ0x/E0CgA+Wk 3CkGKSGkYa8biWogUyO+2gbttXPGJYmNlV7xeNCN/AVogzoLvMN9juKqNm//OVxTY+Q+/fZ3Nsm5 /SEkojmK4COGQ67jDl5AgnHYpEX9hPDnWkz8qZpz8qz2A8FbD5BoKzmjOFvKNaOs5YNttUrxEqTy Y+DxIIb8tYzQiekgLdkURfARKCHjxN7N4SPVETZpVifYnvgwG1JrRjVteXLvROVVDhc7CiUnyvmj tL1ZKofGH1SazvX3s2jJLXr2trf9i8PO05nDsLQfIa4sb09ujsf/J1jkZKXBs/4ZciFZGdn5UT3s xVfRplWoMpF0T+xQ1a8opS3VB5+iXU6chhoeuJBnRQoUSJZ+ZwM2jafqv/W2AQiJcn7gwfkgdO3J P7aqw2vz8Qin9at4hJR6rruKIvgI2qPA+01fRXu7IQtc1v28LtNlkGYUZkt59SXrR+AFvVvJrqdz nnCjZbC1aW8Dlt6tZxPMPqMwW9iamrcgbWgGSXu1g0DAKLvmiucjGNI34j/LpvjGjyEXEn18yLI5 7xg+RVYa2bQ3kV7EyJbRzlB1ZJELWWb1wfEj58KBGgg1ITbkvxU/EjADX+1zI91bjZOLL4n2GhbS RnPMBkOzAS/PlPZkM3j8nyCbHzFHWILQHK0oxubyg+yI+RwAQmr49VSmif4VQCPs4l8RfGQIMUrD dg2e9aOikyVdl7H3JlOQCv3SDoBZI7JkPRz/FcJHNqtHoLHYYHzR8+8amq1WPB6RIX2vsekPEDtM eWyK4mp2ogb0pWanPL0wkqhkz0ttIAjXFEUx9ujqr9Xlg0MjoD/BfYuLv578lR4kdJBGA+iHeCRD Ic2tt40KI+w+QnYGTUgwT7jVTmLQFHFD6QfP/y/qNdhl8Fj2OQrZkMzt0nBQJIU0HT7SiutpNNSm NEcRfKRvkmnhlsOBabWo2cgES+aRjf7LkNbmpqnBhEHBfmKj86viO6ICG+aoTbOx23Zkn/tkWaG2 LT7+LyVNNpkA8+/PMgrZkIXZbDe1I/zjcGeD1Ptw6MiVHFkhRJs3/lIzez3NbIBfcANVBsAd7Diq 2lJytCe67KXHInnrbf+C+s/NWjYHA0UWsU0A4qt4/H85jChB0x8e2wr1sim33qjcJTuR4r7tJ6x2 E+B54heri6yQDNnVeYRUhgavHkEDGsEDT6MTAydSsxM6uWPQv6u55EgmSRVfElTYpDWSqTcG62iT 91tnocosu++Z8XwUHnl3DdEicKgDr2GTFAXZhISetTouRlvkUbKPdNnhPEKf1SYplhzBCjo3/fDB CRFIUxeiLkqOnNAY1QHMG5hk6GVDEMFsNRPhbCuCjkhOo23ztHUpTcB0atIG6gOPR8iRC4vEeQSG VrmQvxULZ1MmUvc9OpAnvnfBsd1c52+fb942R2GULeP2uu/g8RFT1yb20YkRT+xPKU2EXLOApdse DBJqgnzdYI76VGXNCmzW3VjnXGu8SHtKDY9H95rX17gzsY5K8RhyhYRIntl5URo7iXmcjDNyQqdh ZnVLDcjOT4oOJFZEtJM4XNwICkZrCfIHHjX8ynFGjRPxoSnT6UYu3Mgcet3gYjNLv8KzG/GFEEho Lje5GZaKxyM5je/6WoPN97zc4xXSIdkUwclqIeSgE4ckR/qVHMvDlX1XXM6mro/caMbjRgOLSzTm KVxX4FzLukCjldmES9DZYMu6tDCl1OsGaH8SGIkmtEHF7PMDMSkA4YrvGHT7b522qRj3Q+sCm6Ow mI3iNFzC3QSiS4cVIeQq1cPsCvap5uhvEW2WzWywOTrpDcSmSWKjjx9rRyc9BxiHJhTtURxDi46v G3UgWTwi00SDuEf7xJOS8c+z4vFoHeXvMHuwO+NztKMwG6cPhEamd2m3irOS9q1O0M7G+eMnBfma GpCLFxsHjyHb+be7Stln1jMcWpGvQWVsIBUBbN/tWFnK3lGY3VR8xLFig5ZRqbVmAfgGH49kWW5h hHguFI/qLbDt9zj7PLZ4HpGXp042kWSMIaHvZDEkCESmxR6KjjCZH4sdJA6O9uN1pRiyYmaottUm McsLtXTIMPq9RjH/HcK0a9FhvXxQge1EqZX/pZyLEo8H91r+zvsLJD3AQLI5iuNs7rVlMCMga5qn tCe8dk/tVbLr1Ydxtkjsm9o9S9IESmnzQoTUKRPVRzUT94pF0dgeKV6DLDTWxXcEU2SGRvI9GPY7 wHHjHPsEH51th8f/FypkpvTA5WftkAq5WGATnYZZP4XfiRlpjF2FGaFFMacoxIxUBo/zGW71aK99 wh72sdfEz88YEjzeQctm9tWsbcRrbUeB9u6CaI87WHg08lTysxrfMarT+l6rltLWdYuQ+2+I9tzT TUWX1g4YEVPhEVj+G5K1mqN/QLSBy7XBqZDnWgDJmG0j7EKZZTbkwA3Hd8kXD8FuMb4jUh0RDJKE iOGYC8QT5wflMgCHbceqI/lrihizgwFkUxQK+43qdljGYbua0KCGdSMfNRyImqIQMqIC7aZePQdr PtbU2skckwojBDQldkTQjR6sk66Lz15Dbxt59xC7VtnH5mCFEbSNZCeaFh6PNOvmz3fSv4SitymK ECOIWQA8XtmnaGw3zzDVEUwR4Hk2RaGuHyVrz3qrPthhdO60cxRNI4vWkdWfrHAIh5L9D6JXb2L3 rrf9iyy6RRnhoDotbBOTlcz4eJCrPaIj6vRnnIZ3GYVBNi/+2ku2nVZFhaR97yL2j/SsUU0DaYeQ kcmKCFJpGy486/wJe0gmqsAfk+Bb6Pr+QPDvh1wSaWhSDwvfEbFFCV0bnCMOjqppdUqJfW48HuQh vzoi4GT0fLVYd1jLluSI5CEpgsAvlgISz0DSs1rZZt27Y2y24I39DvcwAjdrb9aOKmD+WbxsYFnZ ZNvX9QD/Fr8jOowIhJTNMQc7jNB5Fy27DjweUWp8p7E+QOfJku6BHQpoU5AtS028Uh6yWuERzinD ttq8Rlk7AoxMUSGnGmvzaawhz4eQJt3CTv68JzPfBuzEWe35JGzzhkYs0+5YcIQSCIPSdRwUYtP2 j/W1s0zx+L/SWUwHhAzavEBIw+e8fetmQFRZpxWopjVTHCnVslz8WfBuowV0CiVHWH6Fo4gPFkAS wL4S7cTaCYkKqhtw8zl3zAIkqZDnZ9ijte2F36dpUbCOgtwYyHb9EYktZTb+QbbmB0SI0V90yLPe x75gyJxCdb9EGETpLhbRRPIHaIQUH8bcsNBwwfoUR9qqwZqednsqSMCuovXISBvbuyRWkDpEfgYb wpc0uhhp41v+EGQ/kY4skVOyoy/BBG0ITzwznw8Skl9If6h69HULtnSgDiJJUmuLZWr4I3xywBi6 hbae3f0ghRDtRlNH+GXYYBdcbeeD5qL9wYmGR6ZXdqNN14JeWUbmc+1Ds71wME+MJcdi78dUNXkQ V0BUiNOaMFlNUcTN5vRTAIBCy3wMEFJU2h60qeuleoekl9uwndQcEDSiuR4SbbfeP0peK0IYc/BS 0tld56Cj6DicztumkV/FvwKbDeSkT8u2bHvhCBopDhJVxzlY5/9sDYawFZ1/PP9Pzh8eRF/8nJOP l1YUd6M0T5PD5EcU+g0mitTWMhwyjFL9iArta4RAXjLVWlc8AgQh/BI0haB6EeB2J8dF43xt2mUP 1x0v1Pnit0SOGkaNyD7YSY51wUrAhltECmEkv71ZQIxc/XFCSmGNu4iJNG2izonLCpvg9M34Wgtc NJ+osMitTsBgbYmDXXhQBge4BnFTHSkXbJGztlC9WhQ1Z1HJipNp2wtH6D+aaRVSSThoouBttvWF afP594mCDNZXT+n8uDwCfJ7COjfqjSlJvm4STVIM/4ddaKcV9PmqH+VRDC7gFhijPlxke0JXmAUB enECLo2I9SwxqjJS2dt9IwCP4rf8pfc/ZOXLQfN0wussCagz13z+n8RtnFCTNIJn48WKJAQB1iJR JFYQp80TnRgVG0AJ0OcpVv/jUS4NhPHItZ4dASxaLRT8P+FqRdw9keD1H0giUsXKIyg0CPTCQbay GUI1EgeowS++w8nUp/TIzkN8/n09rXxPKDHhztn/NOCEUnkvbxJ7s/e4jdziHmTwC7NCZV1uGImP el9QVQpAiWLtHHzjnVO7gyLB8sn5/4WVSkQ9cFbFafUISPdp7xuFBlhPuXI9cVAVrswlI5Jzag0+ H+y7B1nC/hLu6b2fAyoseqNhBLsZk7hBv9sbA2NPt9iiSavNUwwu4UoqFATgYBuPeMbtVe+CaJOC CShojsI7d/XLcbsvHNSZisBuLBRwEBFwo2mo1I6xZuhq84t4g2wQuESfp9A+kjdlKsvqbhnV16u9 kZKZSID56552gX8k0BNdtkjVBwdxo9VRlzKXcS4nuXA27L/GCxbQJbfYpId2DgwkncQN0L8PKhOg DMpCeEtj8vnggPol2468ZeRbJsihhSQZbGluB+Cmyfid83Rew+WkZ3Uj2xx4SAr5iQoBZcY52DyB DwhHNdFKN7I8rjxIH1R4aaOq4iJ3NCTJsYek1hF+QRs0TSf0mErxzrnB5yOd2/qf5TRujhe7SPLX zFB+MLXk4nvthJzFpFwAvduqFeTQRhInC+andR8uput8AMhcFAMGbJl4HTYBG2JCSpjYNJVm7/uX imutzPRqpa0VCyrQBGDSlurg85FRkgfknJH1i4STAyfJ87ct0SXMwBZCU/UWL/e1tYXbvK+mKCA3 yRsY69igaTrnXULPmx42TKoH8ajo86HaCD2VRw6Q7e8cWEn6ptvEqWjQeYINQcwR9Fz5/P8kL9EQ GVwNrhyaSUKB5PLdaZYwp8mToGbXrPKUKPmmaQrJk9lUuO7g6LcKUTio2qO9v89nkcuN8i8SLDhK 5Ju3SD0ph36SS8aB5yrQ4M0jQDHlKJVgSxr6SZbp4biYBLhmrw5XDvwkFUKjLLc1I6wdNK/QqXPA Ch1otbbrwmh8snzZVMx8EmGIQC6qbxLeBQMSpsygVP4wnaQ2kYOXFBWEjpLZ3BIYbHIw6hvCVgqV ng/i81Hn6RdumZYEKd95CimUiTAKmuiK9166UXBQpXN1oDmc/JZDT0nkbzia5x1uNA40FsJuOJSd xQUM8ITtOoICIEwYgS6JA6gCFZpKTqoD9NySD8qDTxo8mswlyuLz0Sn+y9QeCgppPHbJoWIJMO0n 6iuX/sbqhFSUdrLAfO/8zFMkWWJmd9pUHG6rF+TAbiXfcwK1RpVpSCBgpf3gqvWYoFZ730hEgcsJ MbUNFhPsJtekVlPl85Guix9Pct5GLH59SnJgLIlYnOb23b1uEyVEze9uFwef8Gy3aQor41JHFACV g08T1LcTlVvPYZ5QMGCdDt6/Cz/v+RnuMc6fht/yl/b9GOUOltytsSU5etIiPh9plqfv2w66rulJ gkN3yQqFTcgCuyJHJ3mY5afcu3NOM8RMbJ6iWHwUmSbu6sNDzel1TjmVEVrDPGWDtDSrqvPjqnKt ZC8ctaK4kEZbPigWX23JyB3Wg3w+SFrKd7suQ9BpAdFkExV6THZOFIoZVtDcybZgyRIslJ0rtGOV BYcmk0vCHLT70eDn0zmTSjdhDqiXIIQBLE7h1gkRZnK0N3Fb/JIIhKpiQbZh6bqbwLUSgw91Sz4f zFO+1ScpvJ7Pqc99FxpNEnOILBh6gF3inN2JpxDXoSz3HmV7JyF0mhxSdm/kDHJwzuBZXOfYI70C iItRSMCEWuQPuUhtXL/SJSPu0GlS110Gg90GgzQtqpnwGJ98PiIxf4MIgMFv6+kjxFaT9L6blNqV XNB0uaAMGT6trAWpFtt3gdckQKJMfiePKQ5eVDnxU+udkGZI7FXE+2yXDvR+zwtQSklFulyTvXAU ZjIeENpygmYjQuTCKlVVZa/ND4jCcT/ICaQHyxO9aZ+oqD6eqJBVBfLGvktyv+EBdQGFQLO5aXlg N4n4iRA5kS043PuuJODeeZCT3cRqZmWIQReckzi058bb9sYRnkAsZpXpBDIhzmIyl8HOy53PR4Sd u/MYbiGbTlfMNIeekzDpxUk6p+d3V3sSWIwLTIGavyLywHRSkz6pvObDBe6ucx5tEpoTpEASb8U2 iMFS4Tndhvlc9sLRRBluhxLUJIFxFSAwltPCOd4nPyBqJfwqaG6GUbegGfpOgu4Cbnx+4CnuZlLO z+ImZtAI94mKJU2K8rbswz2izr89EbwACVaq0F8wDJwQpgPWqTx0An/f6IiihEDLd1AIdTahXXm9 Nj4f0VLWV2gA8gIU++80RRF5a1uEHfcOqiT/M4SayfFyoCl7Ihx4TxqAd0ASzQcvZ2aIEYkDtss+ 4Y1Kw42wZ+lRXJWlNe19AwBGJges9TsoMhiQR5+aps3no4D8VulUL164I58bL6qPi/hQKRJiVl3D QXMzMQAlTGVOr6sE/pPu9L7pV6LhslOghEytZXrApM4MD/5aEP1Be4hEsMZ9ZxMVIlU6Q6jCK4+D YBgVaTFRvGtPPv8vqDOFzZG0jAuZz6EFpRRCxt7b5ml6BfgEMyQNWyZMoYqujwoiA+oD7Sq/hXrN qE40j6NcNt1pn49d0qSmMU2j4MH1xJX6Ww49KCn+cq7lfgfFhidG4I4F2Dnz+eB0mt/yJmgGQFj3 ljMDG8qzDjfJ8u7ORbfCYgWopuOJeJVdh++7sDyuhlQqyYfbEYYsTNsMyTPOj8QCPkKDQeTimCot koDhLxwh5wl5VurSb+pyQuEq92DkRnw+qkB9H+NgD6PX6PMUelGual6v3m7Jy+2DzxVuBGh4UiQ/ n2IzyqXSEzMYDl6BQoWr4QUh3ZIgSIl91yclO5Eg1/00zru9b1TPpJ0AzunuHCeWfaHszEyY1fHA jhI9ofl1jAMoPuZz2wV+lEX1mHNcF992XYgVhAVZigwIC1BA92mKAnIVVrZ8XTk4/mmgjr0oBFfK gFvaYqEO7rQgwOcvaM9SoS6wpJSLyjneZCnIwfbdREjO2tvcfD4AYlzWE98OEhl4cZ+nKCJvlPEY YvOScUBVTF53lTwtUTHKuPMU4sN5LiHr9cG3XaL0ORX84NPW2Dhu566Cg3AhK9bnqfT7wpGxABEY k0Bx4MMkWwKqwdxk5YzzwfyAf00UWeSmXHsnKiZj4r5b8zpUZEj9uSX19Lhg0g9FExVF5EOmnItU Sw4ekZ8IPJ27n4iVtNtWpa6D2Q0Nrh8SiMxL2PrmgTklBMtYqYP7Fc4ncOq4otaA3i/jzPOP/IBI Ov/y6BhukQ16XfNy7E/JQNM4vCzbJStv4iTfNml7I9JS7hIYVJ6ZarINYBQ5xSQxTibKTqnIfwmV d8SiAG2C3gNNykQvFDODXfbCUUeYUOhMsBhJZco2Rrau+0mv0+QHBHde/6XrUYmFvaFBYFMJfhqT PJkKErKy9/LiyvA68MkUimmf5NCn0oqaLd3Bq1ALmkCbnfNzt578t3OigLtfwItQdNhJPqnbCwdX 3lYVajUfDLKSpmD1daO6ElhVfhfJ+Ry91/utGoRelUOeZ4Txs1oHpb4f02PaRVjykxfMZ0FFkBWx DlbKd/DOeUdjcGepDMNjECoXJ6BuVNw9B9Vwmk+mbS+/JCLUsxO86X3CQQiD89qEJwHZM/h8kLnc K0+NA2iYdVgH+kSFVXKktufPWNWrdTRZE/ywNzvXIVbTfaKimJy1AFgL3MFhmie8aZm+FefIPYEI 0VIN4MEfaMIi9Ui+86jny28Jdl4W7LdMHzhTCGI7gRTnRu18Pgo2vSesBvJZl+WBYoSWlaTjQ7gy iccK46FkoLqEyCobDaEU33hhTL5VH5fQ11fusoBaacQ5gTiWSRk4Gw+otEXQ9SxXBQ0OwnrhCH3Y WafbywetqJ7lLQdF88XnI+rY+JqnTOrQzE+wGdbJgbFACJJU1gSlPqmsmSnUVGQ1DG8glTVD30pN VB0KkUb25KWi1bmADsRhMCEYxiob69o85SFeum5/qi974+iIwtnUpK3TrrbOak2n2w/wMXw+iA6u oL7Jy5Vvh/gcm1cuCX0mpySUZXpNILbMdtPh7eFB4F4Jxh5NvszBul9YHciUm/0oLBtwik6QrmPs HEuoF4k+LoNPUuxz4F7pvN/H3tt8PjJ0zXgqCmYQ2FfKjOQhkGPTwaH9TlNYJ9eCGp4NQ93CaWS5 8FTHgppJhXR9VFSEMtBK8sGzYaIH1D+nRLbgUnJFRuF69+lJ3lAQFfhXZqdH57F8sKIBYg8WDc5p x+eDG699J3nY+7hS7jRFUTnbEDlLFY3JcL7JcBFSutIu9iZ5gYXlZbg0glk5WGRQyExooiSepTUq ya/I+X8a7KeAq3OoWPL3jbCHsrAukiHGw/zTMDtTproJbeHQw3K2XwbNCJ7W1bXIgYklKHfmieYK e0lQlcG2iwvtwHQi+zzFZXJJ68/sg++6dg6OBdleYMWgZy7qArQuSIPoLJKbuU639/2rPbUqrz0O Soah0zRVXAEGKrSx/A2pa1APr08NKvCxhLMuDieKcJtISp0mJAMTQTun5gLvUHFBbGRJ3iqMSX2w uCBvKOxU0jfAQ0WqTLNPqHgsgsjrE0G1bS8cReSskS9B6pZD6s4JsVllxHxXPh/RXG7qoi7ESV2+ 4BihmSUVE1N1iSb8Y/K+MHtHxt+QOCoCg8DNEgiYLRxG98EmCrY9jQLO9LOAbS/aLgM2Jbj+fshn v7CVbS8c8aZ4zyXpEoHTKVGqAUFCQhuQkPIDgvLvg1uhRCrRh1ciNQeelojJCVxp0xrDKJknB2TI +IOG1tn10XNgapk91OztDl43AGdmK4QqG4rUSG/OOd6n+VoREWxbj3pyObC11M0DFRARg1wesiCu Tkz36gn7+XwEhLq+FjT/6AXFg/VEBqE2YWJhM61pEB+aWNpMlW2AexiEJZ+pCLoCd3AeTtuHW4nK sBVrbB+M898Te1h1Y3mAAY8+oSvuihIUmFvK0gc5Y14+2BnVUSfiZQ9GUOBuiYbCN7IOsKzzmyWf p89rANWxZcW8r8vOqJmvScps7pcKvrglea/hU4dvg9oIZLtwsElC0ImGG+UuFkvJ7OLBe7OzZ7Wu j8yiG/Fr8NTdbGdPdqi2y/qeqdp1M4s9mTaefpmgblgmluRJRKvQWwNvSpCiaHom0WGNpF8qp818 qYq81bjfzsHnDLxgejold7b7euSrBrLg97OZuCHShIgArZp4gJN+VUUYFsie59Lr/KBs09izm9sH LaETk9G+7ERNC0+/zQ8qvsXm56sjRU4ZJug1ZDpPIcZGqtKcejd38juus+2ACVpjub7la8DUPa7M yAht8LiyJfAe1WWZ5/xppDIuMLVRzgKK+PEi6HzX9/VTyJHand5oHKzWe243irKeWLp9XmMlzO6e Pj96sZ+WCaHD9LxGSlg/jeXoahVdWKRkV21cIphT4wLy0Zye1zipg/DHeLuO4oNtL/gzQKUjET53 Vk/mGdTk34FVvm9vBXk/vuJ9egSB3lO5CUrhwkmcu2RJ5PJMev68Bkn4Uw07p/kpIMws2uthfl5D JO4v6hDR4cjYGWZrge74vjACeE3JOTZYPmKNTVGh57y9cTAQCwrWuPeRXBWkaCT77J8NAdlzFy3f X/SK/ryGRzh/CA4/p3z1wVFzRRjxc26vz2twhKctONL8oOFGxJXm5zUywqY0R9THoPn2CUAkuSwf EHrliBrMj6Q8F4rcNnilcqC6jsiv/n/GvjNJmhw59n+dpe0ZtLj/xR7cPQJZvczob0iaYXY5WZWN ggjhgnpfsKGn2SfIdQWSzPm6WBbKaXxeo6Lzsout3rTIJuegcskeM4nc1Ff5vIZE/eFI2/yc/TUg Rar5eY2HcKhnzk+r3TMR9cClHLsdXVHTcgOraH6YXey572Dzc/6otQtlPSeo1itxJmHT+DOgZJkr NF9sgzFhe42FuuyfkPVTzXqz/L40QXC0oEhzr/3zGgrh+JrfG2zKw9AX0GsY1N11qMzqRdzauuEq zi/fvIhboWnIBfQaBOF8JnAXR6wPntDu8/vC2IvsTByhSH0BFqk/i5ly4yWvwJoE8tcYqFue1hPC ZhuMI3bSrSF40s6f1wiIb+gLiLgnTM2+F/xrTbKD90pwQHF7bzTinPbU2vCG5QCFSppowQGdqKM/ xSuYX7yCdP6nVxqgn1vy3GSoQE+AGBpovpVZgwPjqBf1ea1HYn622ClSZ67mjo0qGyNPyjTh6ff5 6db51vrp4MoI6YWvfC1FdoPzZAEbdUB3dxgqs4yrZzHcRO+1EMkASMDveQdn8QB3xa7GmZ/zPcwL zvy0k1yRBcyWlsPhaMj0WoXs3nujqpcNOqCZv24vG73WIHEA9e/9BbgCFFo8QIwCaKRRVLC4hgzZ VawK4CFeM4KepvELoxlivWyTwKPBI+hdGKFQogGShGiKIMevgFaSmVKu9RnyWb5utMXkLziXDyr9 r1rN17sM0FWjPWYwE80R6gltUN9buPxgjqCLSFC8u8MBNuPdbkLMTJwxX/pONEdTaij5Dk4tBOq7 IKeoAMVveOpijpDlw/WDQl3ewwWbgq8bnNOkiMNS0Acv+/ciy6pc+XhwTu/9lWiskySc9N732Tth DjdZJ18nXQHLmV24AvR2ixQRHl0gTjBHmzJoG9odNvhJTfHTKVH2miCfyFCR3EBU+LvsdSReLYRu MEWdLoPI4nwQ/A1YGraTagO/6T2WhjLudywE7NOo3WPpd7IcgqHGPF5ulU2wJac8o7Zglz1MvR0L EBzW3RhwNPfi4A3uM+0IdhMvs3Myb660RMEOYAIz5CDvZZb0ukE6RivPXVvzwdLV8zPwaAJN+PNO lev5SlfYdYYKEfAONkfvATV0Xig6lB4cJbEV0q+c0+77TXKp1RyjOWKqQbc1GzRHCx3tjEI2CWAL 8npUuOhYRqj2TyrpN9kN2NtGp1ETnEQDcAVOsAAUCkfMCe4+7zQ5RlTfR3ZmPgZEn01SFFWb91lZ fqmdhNMy1VzYIxP6Bne6Juk9rM7U4Tqx82p38Kw1oSWKlU0j5hNBUru54aTuKJHTucTrsnQaeifJ dWH0Omw87kCM3+5LtHb5w71z5BDipPl1HmVgUoeszzhJ76E1Dm0ZC1+/yl5u+38vM44pcJzyrnYU W2NNQiJ+NR/80D7/d+5FegyDc3syms0DiRWMLWXom5vlqdd9P5Eas/o1yZ7nYCcS6JA8tM93fd4J cv0xQKkkDMhj/jm0o+iaxn7Iw7qVYzFfVv0Q11qd/z58IUXhNY0rTlJqUgzp9osS8Le0JQXvC1aC VCnMKEAM5hC4t42nw4rC550eh9Wq+Bpb1wbN0TkPmtkxAewWBdj9SUB4JEF9cE2vEL2z47B8yZjf 1QyGQNdpRjnJmxrU1NIp22XQ38lxeP9KbnMW0/mRQUfBCI6VTGLPbLW8dbJTnmRQYeeae8gd7p0a 170HMgrRRBwU+QFJLvmFDiGdKMhee31vNkhClZvlvxPjcCDR9nSVtq2KRlCNVdHobMwqGp0TNUVh mD04N/TG1WBTdI7aPiCwT7Ay7E9YRlM1A2jAXfJT1J9622CKOtYP7C988PNoyku3EhYRxdn5V6IP 7Zva7nH0ToljJSRR42t6fFRzu4lssei7EKVuhcYwzlYK2xn8cPA4G38MfR/gMdcrxMpQigVEtwNP RNNYk8/BAfN5J8RxzZMnj1qBDUYPyE0AciChP+98uK7u8VcqkiEMfVORdzZcN0cQMPG9jbZUy6fD 8CoudTIo+4z46J0Mx6rBUhmt+eDV6kRnGK6ik8meg4OkQaiVnUgXRZa2Lmswsdz4TobrbqND1SUM 8Gs0pORKm3ypDqWTdzIc77Xf9ZAKWy8PIt+5cFi86vGncoHc7j1QAKoqzsdBP17r6D3ORjlQIqjE 3659eQGwlDwT1WTKAKzyJlUXiOKT8Rd+02XIs7H6eWfCMUJiyp9k7Ilmnyg0NB4rpqa/Pu9EOJvk r6os+APsoGuSwkgbpAuwq7KtpH3NYUu6+e2GK4fOo3caHKMIJrWrFh+cjANWXKeiCfpM6/zmmyvp fBQ3TEv9snEkcvJOgsOXKDLiXGlQ4+Osw8k1de61+nnnwPE8+4608UQXNJlzFEXaq8pxYHlSS5kd x9RUF4JJiYxU7Laodm1EnDyGD14aOYsTNHu2zs6tCR103P24VqiMmgEltdqsHJne+W+3ecZygQ2c o3F2W1vq7af5eWe/4er9VTwCfIUIapujsHzdqOYlUSHB3Js7DmzoKVt1jaQizlFUv5bJMJVubfCk FjKK6EAR1JpP3kExrxMLpJ+N7jT4GT9E2+LUXnrdID5KxEV2qQ12VxsEFFxSJ/Xsg8879a0bAvve /UDUn4n2GPKd+dbdIS73pwVCNrsQ7pIalKMn5El5IL3H2Ygi1COSnlC9ekJQwyxAOjCGPD8BazYT Qu/5B1hriGbsp4e29LrvB9KSLpzcTzlojuDPI/W7s5M/77w3Hr/fcTbq7D0vr/K/s944R8xqmwss ZDupmdVSqFuZf1rWzH8nveEnxml9bmFKVOUHl4UW3FpVonDn08+UUTC9gd82sMUgeub5Whl622CK 2GeE9YkwDyff4gY5f6lcf6Frvj/vlDfrM30FSKC15Ftke2e8Ya9tZbLZA6ROAL6S2tyL7TXoY9le ew+z8f5cR+wVabA5wpZPRSbsNedFy3saxLHSgXgX4HIV2QZByO+EN8bZbDOmVXzQmb2gv8i7ame+ Y7CO5nc3FoWrhkvC5iiKswU02skai6gBdBf5XrVbNXvV3a3d+E52Y3WEZRGZoHGw6gjx1GUIt7bO r4/Lia4EBXXDczbVRzOPWpXvVLcuvi+KtDRB46ACEjRiWftvJw//vDPd+uOe6w21TXCpN6yjOBuh OJkqrtEx4G59t5ofR/yT1bGO4+xKJSpEiBpuAenEfGMsRsG4ByYN0gZOoq7T6wotgbnM7wha+uw1 nqBq+KApgucTbUtPKlg+7yw3y7o1RcTeYpPl5TvtneRmKSJCyJE8hCQ7TSEkJHYVHYEfalMUlrNp ntc381oOmiLIHwGZlui3OCqkR/BvFiRphQcLspRuPJuit42miHZMmf3Clt2DucCalwJwJyDsn3eC W5es2HcAqWDKpyiKsqEwhPyjupq+Ig4GR2NMD47oNcGN9k5vI66IB/Zu1Qc7jM6GpXQkdYMapGSa zGQgZI9qMETVLvBx6G3/yNZ66oRMcNDu6PBuE4K4lM87tY3n9XciAqjEidruRoti7C6qpFTQMUUt Jcs+0urVMNnnnEumpv9ObOsmNTHg/emDbbR0fm/kCV1Y474T697aaLjys1y+zRI+6XWDRKRbb7Zw gJ4pLzXwNcS1Oru4fN5pbSyMfMOvKiX6LjzkndTGXobUb+aV08/dhd9aJRQCwPVNihbXUVTOprrp aIl3W0vXlWFTJKh0pfYngBRNchSkJhlAkuymDCh662WjXE39fSa0O7uB3pn5tKR+fj7g805nQ2iy /bzmbOAmxe1kM/QeYuOSYIg950WI0ABCCJp5vT3acD3KdzKbpYrn7wbh0QavZUPKGb6eVMZLo2b1 chuBe+dzEdR4T4RZyDuVrYsw16EhWn2wW1/64KAld75icKWl71sf1rkQQ/MpiiLsni2b9egR5GrP ZtfylP8kK6Zi9s5j8xbtAMvEh4sSOYfi+V9man2BoUWUSCVmFAQ5iOE7MZIovnceG68XwR+U1ObL OoL7qDTPF37G9wjbJCUfnCNMoNONsN85bIyMNtkzRutDec1btKmv5fbCLTuQ5p3Cdk+jRH34kai7 +TOZ8Z+ABNcr5whynYPiXRlc4AE0P4oa10aH/cd3BtvFqs2xJMyFhh83SEPkwq7wudr7553BZtHn s5BA1xv1NtfeCWyMjRgQjYvmq3V7gW3wMlX4iE/XJIWV7MT8Ywr0+Jh7gEvb0HQkabujv0Y4AGgE 2GaNDLAbP+LX+rzT1+55ROobBhBg+POfrQqDHtSOzhd83ulrzGe/d5s4OeNOUhRjk+UHjQ3vieRx 2ZBz+nyBUOC7LaplT5nCT+klzHWba4AGQRCP5h75/EewIEHyO3/fpgE2aG9eOyJ17Z25xkjFGO3Z B51IKERt88/Nn3fiGt+x/LrWwKC59f532hq+dNNeoDsFGSHkcHuBsU2ba3dgbQphj3GQLZUbtdja uDn/gAkA1dfYN+qL2PS2we0G3uZ8Wru+HoPuAu+sNUKDSUCuUlekHShrR1C7nmoF1fl5J6396q2x S4tjE6gfn6QQNcKbrS3Wz4jSQi4OGDZeXVYeQK5knChYSO+cNW4Ega/XHe5CGtQjaWpALoAH0O6H T8IivJtQAJX8iXH+vHPWPEBC97n74OCj84YSOJ/z805ZY2Gif6UiRKa3i75+Z6xhIU2p4RbnsuMe +jFbAXpyk8ueqDeKIPKdsNadoj1lfDK/jE/6Qt0MbSJCIs7pRleBk2Dht4RSPmMF11lmB/Kdscbe D8XNm0wYWnEIbQPCwgSm+XgQaadf0BpstHJPpHe6WpeiOpCz7IsstkiW7AXONqc+IRuQAzJxrB69 s9We3bYZS3LwY/skZeg2cpLOjzcqpYCgzvqzYJ6QqdxpIstkObyz1YgZV76/qg9Gmam8BXD/n0D7 naz2C4fNKiRwxsA/2RyF1eyl6Ho7pa9ghynt72wjqsLWXJrznarWjcKOjlzzwbv9u6FyXajwDm5j 5fneUWyhYCodoSypVWnknanW3SOOjik26KjdNRXJZpxN/XknqrFh8N2ChOYAhTBtjqJqNu0nEqp4 P+7nzVCbPP/mVmjwFLfW0TtLjetY5cd6B69CnpzzRKSCaIFPNLiOOs3MwGVCUcX9zwiIeOeo+RT1 BDEZG5zMVyg3jtxnfN4Zap55PXn/ZHrkSe07Pw1P6cyubnt6Ar5qU3TefjjceNZm8KN3ehq3Gitr qRcfLKnN5weejE4rRHPORmtCcaFlQ+QI7gOLtLWKolp235LnFim7LNcmO+u0UlEWRdzPOzeNh9l3 b20TdZq9AflOTMNTiacRc2ZL2FycBcUwR7FRTkKUhzDSxrUGiFrywVYRVCXPXSkVSUzRNHQJVD+g GLnoV6nDiHjRd1qaZVxn+VBRWYOmKIE2wwSj4VaL4mzpi19SEZoysIn0OQoD7a0KW/K0P23vrUEb 3NTbdgOMSXMUw7JJ5x9EsXG49X5gaod6Q20lSiFMmjSeSwWviINIhpXA2vNtA6Af/U7roj4LB+Eh BrCKDAvPgsLjQQjZv8MjanyB32lTFIbZxQwWvLW2FTd2kouLC7fl5BW2FpWyqb85qM9mgye1CywF WtWCFdiINgOrFjER7HAzHJjczFtbLcSMbB1DbfmgdXTmW+3Hdm5yPB70+rOfRvh3EaWBdePkmSjM xoV+ttfqDu4vLMqwxDaI+pQ6xO42R/09zJZ66ETLdfjgtWwajoDt5JptQnBlIuuhXztvlC3TgB7T G7OIV8UHLSP4sjZttc3HI9ix32mkag1ssu5Bdo+C7LEIW5vzFmplnYdEMzeiSCk10t0TvkeYkUG9 zQpmsw1WYEtAjUwK+UAV8KRYQrgDBVbQBJZem+X9FNDoUTG7Ct43VO8fVu/PLACy/lPryUR6FGSn +t0SYUWrpztHYZBdqXVbbX/hH4ejIcpyP+Z9DhO71HpUzLbayFSjdl4JMtAjGwRfSKKBHGKipFRC kXbROwxXqldqiT3qUYwtNC2UbzjQTJ4B5EnjpJ6B9YTnA6LjL55RBvKjr8t07CHVkcqkexu1GqYm 1ZigUFAxPv/mVc4ou0dRNpT/cVtRY1rNOosgUR4ZUv5r0AUBHB+stbGNmb4vV824WD2KsislJPag L84e7HKwnA2tLkXOne8YHNrZ735iAhMLoH5o94juSC95mAwbXS1V1gIZHo0+qoPY1jQoZI8xIzq0 EUJr8B4tILkwGjZXeKqZkJNe5RWNyP5e/qxE9qigLbDInnIxwZ+shs8AgZ5B69noE88HkzTqr+02 fgDX8EkKw+zBU7sNd1MY6AEpzB4y9CZbZC0DH/WQ9Mj2Wp5sr3Gw7bbhPDUoYow+EozzCpv9Cb36 Spmqeo8kSrf2CJ2NHjhE7wUYRYWY4q0nzD7/t4QoqhvPB9vtImv4cjyOnnM7rGl3Io/R5LTiSKes ViHfmxz1JeX7pApSjwNtyrZmEdfyJa6d/z6B+kv0LHDI+NmR+OMvpRpLqbM+OptTrxud29QnhyY8 9Lvxiwmhd76jNmotnVVW8AH/hTECwZcO2wmbpfdYG+kIY210BjNFIKAPJZkxhLA4iEjOKoC/cClF sbYKQxu9NBsuPrsBZgu0K0JKgEg2K/88wFF5SJRvlTklxRh6VNXW7TbGuINhtKCtKNmjPPF4kPm3 71oksC3QJ/M5iuDZvTNPK0RB4gjP1Nygg2de0zxeJoDnKiH1CDeilbQlPr6XOC6EZ9fzMhvUJpCz 0ASgbOQCMH8BklzYNNW5TZsAfMmfOLZckg/qjmyQrHiknC/C4/8FD4lU9QdmkD5JYbRNDHun2eb/ kxfVNPtOGf/JvhOxjk1SVNRmP7sDquSDn0kbRpS0hJqoJSWA1VCwXYDW4rpZ6QplUOYVXxIktqod iXA8c3Yi7eqtKfefZeLxaCG1ryMpg6yHVoRzsaNwm5bpqOtcWSjJikyS+XOyOKlB0IKx5Iiq2pOK K2dVZB883D73NVwnZMZxIpopAxgcWw3lxEmAQnokt0cUbmfWa4nbtUEQbWgECOh/FjIej9jYv+RE zk8DqwKfoijcRrSJaj/lsigtsnqzIAmm7laKhNW08dXDmrb5c/2fwj9A051KBij8g4dN7b9zK1TQ Nc66bCzXSmEMkDt8ScSEXExpuY5Az/0Z6iDVjJMPlzpS2xEWtZdPkiw+aej9LKQQo03hrKU+mwLu ZAAbRC9mkLcWWNuapaiqDV9D9F9pRUlx6PPK7PoP0PsGMdoJMfdcrMXRmxw/RBm3g7TPyTfwHQFq VFzIzSrApKA656hXXA+IJM//4PGoO/KNPm7kid+2/4iibTgO4GLr1dJ/A5IAybapO01hkQWKv/Za HG2TMdI5UydA7DZF9FpMPfGFoLo86Th1Iky6dTYo7j7X//l9Br4j6kQqtU3VB0OyQR1NNm9j4/FI GuI7IwFinnvdpigKtnu2fDY5HaK7Qy7OT6tFnvvaqf0jCrYnReqoAmSD847IWd5sRBZg/CQu3Siv iyOFfmlGYKM+LL7kj5Z2LyAmYMBZytsIVXH25+C9kPF8tI78ZuMtSDnB5Lf/CINtArX2KJecdQ8k 1OuNhLShdqRge0TBNlGjnbI6NtiBBMLrQNuNpJexc5IAVDvRDkifP3RQ0Dra5PePEKC9eRAtqrVz sHutAWHIAGlMPP6v44hhORLb9JzZIUCbZf+9He4HwT2Dj7ClYddank7OGhFAuxAtmg3Stu7dD2Ea JB9VIlDwKFkU+qu8VgCWIXnd8Nn2tlGHrZKFS0gbB221CaglCf67DzwexEerf11rDvX1KYpq2o1b rfaLPa7ynUSXedKRXXltcqXDEcXZwCwgVxPcT3WvrK12FtWUzMj5wSudSzFFm7gqYMnLnSL0ifEd UdkfOy0v8dfWpfidfdzY2SCfdsTYkV9wPzYhb/NoRGH2kG9wWS7EMiUOgVUEWQq70yDFa1MUh9kq j4jmn+ojRweJuEa9RxRK9gbaAigtLKYsf5sLrN3dXjcIs8lah0spGmygU+YkoP8+4RgJH6gJ4gOC 3L/dUptwSFMr2aYpLmurVzu2IyPmrjdAepARQMFos8VlbSRgq8k0qV3FLGJpYJ8kY07av4sNQZxJ qsTGeumf5Sx8SVBrq1IcIQz5XAw4CLhvzrKorGuDpoXnowrJL4jNiR3G2fQ2STOsa3dG152qdIRr 00VetneTwJut9ojZ3s1YtY9YR+qNa3DoKIrasKJme6ShbEe6KBhfHTq5Y9wqkhi1M0SPFMVHc/ug Q3u0saj3ehKCisejhdS/Dm3cTm1vP5FmFGhjRyEoat2y2pa8559gMqMlNXd3Ce0ZciG3ev71Dn5o n4k5x1CXsCFpSHLdwq5DkWSlp2SLRAjf8Vfpf/V8B5siSLoxcj5fg8f/y4lEGUD8cjZFUZSdqpGz nC46qSgkgn/L1kwCO8xOpBkyIausWpTT9ien7RugoUHiEXx5zhwtbjWYlgI1WtBYcfFHqiDMuKyN ZTTOue+DzqOEE9xYxxWPRyS/72UEXMZozRuRMwqzM5Vr8PtZszav5rY/a1x9MQg72BxFYTbLsANS kj44BmmDxbd5959/hpwfW/4IqSEbwtzS/SWJZZv/QI4gX7ZB9aMG0Tul/Snj8Ygw8l0bAf8J6os+ RVGYXdkdqdnSDyDZ2nZ0rTO2YLHRTZJ2hsiRyvrRFlprP8I158LfQA9UaWTiCkIZjmpQtFzOnRqQ EkAiSHuGPEhOTpuMOzkYujbtxH0HtTE8HjQib42NXTYU7PqFss24pC0mzTW+HbW4r2RNq/lWQ9le cxQhR2geNXLiGZMV0VmzFmosfWRF2YPlQ8qsFqiAtx8AST0+oqnkjKLsys7RFBuSg5bR+UVo7HTy +DHweFRi+26yoQ3TZ/X4aEbIETZUTtRRb2tkZJcbmds1xyYPWU1RVNBGtQIntaRZvitsJxeETz1L tRUIfUppAGT10wYgf+W2s6kZgu8IVhES2o44RUP3nD9llWL6uTTxeJCr9Qv1x9uhWVz3RfvNmAZJ 14zW981E2s1E5iUesZah0yhU8xOCvT6Dz9HZaTA1aAbSTotKGsB+4N5vdEy7Nf+ttw0ASJuV2sYO 23JznFITOAT8urX5eDBHl94vbV/oiD8tthlBRzhHkCW6ipDFPaYhpOVU0YrTRHMUxdn4N+AEUYsP 3oY8N81oXQJIJ3VemQ6BgP39DKp/ofvu5WwC2WcUZwt+3KQp2oiprCqNID/AZjs/R8XzEQbpuwyZ l7a/T1LIgyS0tTvh6Fz9VBUSBkl5CZUi0rA+5Az1RkgaqZBAt8Hxx+OEzoulxnNw4HZXSgt9V+oK 5/rca2MVve4f4VGHsIsPBmXjVzK72HzHKIL0DhsxXVTYzn4grSjIBtoOCH8vQqahKAA5bc3OOYZQ oW22FYFHpN2bk8pH6SkfnUwfAL8pY8Q9eqGzCA5dQKpRwfEI0uj9K6xmJ6b9CLVssAob0EBM+8+f i8f/JTjC8wgMJoCvbIrCanYVmnbfvTYc7Qf0lp1H6yTtJn+0QvCI5LE3Qx8pA1gEWZD6JxWPYDQm aZK6WAkiCjRfCNLcetuoMsJrLcn0Hgojqq6C25/ZQjxxWMHzEZbtu1ELHZGeL592hQLZTbLh28Mj pRBGFq3VwSOokmiOQvAIyUeoUWhguZiJyEY/qjWdR2cHpyVbJOCoMSmIrG90dO46fEdUYMM59H+c oU4uzY1L3WI+HgXZv6BsuNHAE7YpCpmQhfNCsKZUtMYyVSgYMSZHjkBUg6fRCvHZAtYU5Wrl5moD fI5zak+RRU9ASZIfVgNE6BdKSOlxSN5626iWXaXnt3wwRGQdkqQH2AWPR4fR/gogc6FmSfZEZEVR NnJJAmuNVZOoK8A5wl2XPDxCD1RzFBIhh+2x7oOXj/JGo6Qyn2Xpv6mFDX6WfIebq41kRuf4kqDE poR2qFnLhchZWnXIkuEH6p14/l/FbIfWorrlkxSF2YnF7Jqu2HGR/y99RGb186hmd/ZbodwIo545 2fWf83LXQe07UYtkIs66hTAOWtkDEeoix3UXr2YX4lfxJQG8hlUjZGc+KM4+/0u9XfSfBh4PgCMX 8IdrfgLEXPqdoxCh7Q4iBtPCPyUv+I9qJcmNM9fmKIyzxfCjs6GGm4qg10/5nnnirAm5AkAiiLBF dzi3B4IE2BZfN4IgkbaOrjUH87c/KX+rrPgDTIrHo3vtoti5NbGQ0LqySYoC7ULZdXgWy6kuZwGz wRhpKGLLqe5E4tbuXyFGm7asS07jHHwhwbFpNOmNbPRkBzER4KMuUqw63ciFG5lDr/unktZiMfIM aOABgXTWuUzmBipsKyxo71s+klBiBVbLs5EVg7QLCUfDg0jAqa2/BnytBZEFrGlJZ8cVbarSj1F9 sJV0smQ4PyFQnPAkhI4zK9qQJoETB+46a9MW5tH4kuDczlI+askH1Y/6tm9sJ/3G40G/f/ySZKv9 126LAm10isBfv3MEPXALIresezBHDWgozVEUaA95Z1JwRIMtpN1OCJlSUo0NigiFRD8srUn/ifao jVG3E18S9SBl1k5aDQdRjxDATxPGrHg8Wkj1KxlBdDb7FYnaUaA9aPGL4oVHSKlboA1f8ukZW3Wf 0R1Vs4e1ICWk1a+Q1jjHB5CwLNVWFNkK7HEAlcw/UPn96fk2j+SsvaNAu9FEjGbYNmgZnd1G/MYP kls8HtFqvutHBHzVK1i73wNte+ocQlYpOuktsgIFkUP0ESqOUBWHKux/+9AsFJps8GV0pmizTUJO LfzGC03awfZD7S5Db88vNsr47xClTXb2iauWD6qxnX9aqpGdmxKPB4f2/t5qhYSM6WD/HaK0h7R9 6gWNzGICkdCVdfXDhf6K5ujvQDuRnq7Bl9FsyEKopwFNBOI7zhIHeRdYtB90QmyK6KuL7/irxrY3 OSMcjA/Rko7sE2JsPB7U2PL+ykXyoLPi1fIPiZBs4zUXzGLab+h1aJ0sB41Az1ZTFIJGyIdIqo2k pzZykv6xO+XdgYiA3DglfQsW6dktIPmtxyGa19qOIu3N+wyYOB8sPrJ+CgwL+Y6RPuQ3g400lnXr kDuGaGt/LSdEbFwv4tWsUgxYs1OqpqexQ4i2VfwlNZYfqTFQBc5lRWDtmaNNljZa2QN5CMLFfAER tCnHd/wlD7nomKFBy2jDx5w7BQy2HUuOzK9lBPwmsNE+RaGq31D7sZvyIdr9V3KkTPeEaGQEcIpC zEg3GYTlg7cfUTDMjWaigBBsNWdlqz5YvFkXn72G3jZy7cHyIabLBttpwLSQa32iQjweCdZ9ryJI qgEr5VMUimdT4TvVR5VleUON5tk2RXQI1xSFon5bOhpt+uAp7ZmiPLTTTs6aemaygpTkB+DhH2BI vIvdu972T6oo7gYbOEUFTYSp7v3i4/9CsLFCkFmoved1qDhC/jjSBdtoVbA1WvfuatI+CGSq9I92 iBkhFJIKKzY4x6/BfBM7j5a0cKmh0Bh02iGn8oN71eQz6TGM7wg4fpUSUQBR2mCwGqxTabDPjccj 6ez5HWKjKdPzFavdYTE7JfIflvn2pnkte3LrzoNcUHi0OYpDbGn6reyDH0YNbnTD0FnnP3aysgeo 5ogDDFcjxvGeetvoMCKUNss3I7u4D0xWdYmev3bg8Sif/ZYYRYA+S7rndRRhN8qNnOQp/ZhCVM1u UFPT2LbT5vIIe0eAkcnCWu7P4B3aDNH1ScDISXPPTmbfaKDpSD+3BZ0Zi4xYpt1/q42MSoVRDgqw ISDMkwlJIR7/l16dzH3PTzSLdx8NoPOaqZHA1vZ0UM2i00oiXqTaP+7ZHS+Cj/qzMFJUYSzr5rMw 2YYsWKMyNOarUM/3REc/a6CaRpafgY/WthcOnMREF8ns1aKHZ56EoLBRxgFl38kPiBCj35kITGHH vmspp9jukTiIXrejRuY2NE3qFJKhDjv1ZqTDnmJpv8kaLNUfpysUIPGHueEahLDDQbagKonJS/Nn 8ReArrMr/DDQxrdEE6V6bZo+iESELjnDSGiY8/kgHfklzdKJz74FW9pPvz8m23VpHyPDHVcfGnrw wwtt4zobpxCh3WjFUkTiL+0R9h0nIV+okCMhgQjJInYE5/ViLR6wl2scmu2FI5yWgbOJjIDTgDh6 wB2rIQMpW35AECn90mRtkGeZ49l5YWmbJhZ9uCR7RgXRJmrSbdDBEdknKnaApMNhpVg0B19QA84A sj4C/B+iERRoWZBIARowPXqaq2x74Yg5Qu5RYl7CwXr/KxVJIaL3j+cjMnv/mieS6cEH8nmKou7U eULldmF/vbggUnkkWuba7hGRovr2IEqdhl/Tfb+EQ05AOFUSROr5IHAAWHE7EwuHyZ+8hiuOF3rO 81siKw2qaiauq+YgzHOQn3CeIU/dsIlIIY6k3JOcGRwQpBCRuzMV1rgXW7fVpaPOzzLNkTZ3xj44 rRZtbOwsD4vc3bgj3QdHtp0VO3KjCxIE7Gsisk0iZKDNqaRktcm07YUj+B9rSp11Ew6aqXHWpqwQ TsjK599nql6PHylwn6O87+coDyNwNEDOexMAyE7ucHpNRianTQh5zeorKgrBp1kfCp6Uv7pK57wq kPvFikKNbCeuqBPs/2xYA2W6aJtjBDyb+C1B9MReycR9boPmKbO7h388c83nIx7pL/TWVLx65ymU I5kSjyi65wB0T4ayPVnksk24y3x2XuxhwxNqtzvYCTVwN0EK2YDJDRby558AWaFSAha0R1Ckj/Fb gvXEcsCYSwLky8XIILPJBLKfh/j8v4CALLOceRr9Zis5RaH46iK3Ne/j5vTItrhsIpLedH3+Ik0S E2hHaGGD77t+dnfrSfy8VugCA2wh9LUBcgQW1iODPu19I7gkLYwmneqLc5/PhQcZNRn9tcHng233 S/+HLwJqk09TiN+WHGnz2sCJdLJX4aYmr5Gi6YlvTiG0hDHmyukOjgk4lxRkyKZKlQvHOpDbmPpR KPa5xmW4ySc+RVTJTZV2OE/6IJTSBs+JNdrBSDN0s3lw7qZfBpntGxmEvpHGck/L8paUmhdRCIOz WlxHEd48EUN8Cd3HChu7GqyKAq2nmWUAnQd+ewalA3qZDcWPDBarW2vSOzsHzpEmAtgB3vJBRYJV y+LV0c6n8/kgMki/UmAA/cGduhMVxeRyaBV+TEXLkQwwmft8pKTRabCJimLyLf4NzaE02ETxfNpV VDeYQ6PKfxYdLtsKqhj67q5wRy+SHLtHinQL1K4NmqeznCUs0U7QzueDkDzXX+cT1tNjQxr7R8qo ldpyzINzddVWQO6KSgV78PpmXBAaSMIqiIWU5YPjcDe0pVKSksvZ2YmuHJMOV9ighOjaNJVm7/sH T6m1TGYgCllW7IeIp/Q3zo3N56MmwXfmAiGQLxZODjwk0U+eguNMm6a0vLxbzoXndq0UqbLVFAbk FLZvUihrj0IZnI/PMQ6E+pmmcRamnfQ00uY2Wo8WINvfOTCRxG0nHledPuhASbtXpmfn1qx8PooK frnWo/U9L+w9hzaSi25bvWxXTuqqQaHwlGgpysJTQtHCpikkTxbBKHfywdFv56av7J2gOoeKOh03 YCSCBAu2tvnmLdJOyqGTJHzo4GknmanhgFyIzw72AfFf8vmIzv1dfKooB48rwpUDJ0mz20TvsnJG cDi14QW6SYyFCnSUd+36qD9oXaOgNGiDVzEziJyVBAEo6EJzhbVwIJDgJJXLuA3eqbAg8JIEo5sN pyz3DfcagqPjUr+0nQ/i85EC5y8tVygQtKsumUMzSRSDstquxnuvVmMpZNwb6mRerkkO3SRJITl3 27yDdzAr3JhMZWLBjBOe7o19YKTIMDr0UxxFeHvhSISbFZVNZxMOyoMnJpvhUy6Lz0en+HcejJYh uXQ+T6FgCdB/cLe5efD25Lc0cU3B7Nqob9k8hU438rcrUlEqd9/BdusccE1RZoLAEvMbIisHSplb 2orSUPD3/UvPdUuOcxd3AzrfBhc3LqdU+XywnMavpAVNwn4xlTmwlDTEyrmBmksEdbG86XTXhvd6 z/JcPk1hYVzz02gsJcazpmliaqC5KppgrrS2bbS5hd7YD8FljmJK0174L937ZeIlyVkn5HkLYHP+ Fj7/XxheiKM7uB8+TyHOexBzwlzBMMyXdFpq8TpdGdnLT7GxZGf2yyK5Bg8KYLY9Jokn1cwOsJyA jgGMgFHJFeVayV74L13XNUUdcNwdKNEmSXzi/cbn/0vWkqFYvfCgTVToLkmc2MmC3cg1IZG7qDh3 md4Voaey4NBeUqaRm54uGrz+BBHuxPvszEstc7JElWW0DBUGcCCF9kaCrPeNigWTVQLhdST8AxQK hBjIm+pn9fP5SCzwFzsXrpq9Xs+7HFpMsq6eKdSbLQtmJ0HM09Kkyb1nJlan66MivUB2NLHmbbgo iwkttCYvhZMWdUIIKqaG0ixtXKfSJQvu0GMy0xW4ZiqXVxe3gupUU3Z3jvHJ5yN+7vcxTq3plZ7l FAqYEGgx3RYImAurrwCdbYc77G/cISgHLpMygSLg6w5+Pg2YvWRJBpYBF1+yvfM5HM4nn2mnkpKK dLkme+GoSGeeJTzOsb9EiQQnbvN4OUfp5gcEcWb6lQYDTzUfDGEOnSaTSXQOd75FzuoUAn4zNt4E VNtnKgZ/L+kATx/uTJ1ogCsM5afdGtqK5wSjgyMtcM7d2p4rb9sbR3gCVgo69QMJoNGR3GspZMdB aofPR4yd7wgK3DNYVt6JCp1wugS6U/Y+pyOdYDf54FIY+jIiD/wmzbh0wOvWBz/Ka+solDK/g51P lTEXwEonDWdKkG7DfC574WCeaDi1UQEFeucsTklHskPEcuQ4Jzw/IGoleEjeLTZo+Qrj5NBzEvIs 0HxzZRygwJ2ym5vrv+4myjwnKpY0IY8gSbUzzQuVh5fipKglCakgIC/qByKbJeNylodOUOx9/4rI 2c23weoqqbIM9AODAj4frKfy7TgNqPU5yW+hLrSdbPTBheOLTVOlu5EV6pZPU8UhYtMUKgh21guK hITKly33OrM2EludibOk2L1IFIcaBVdkaU173wCAQVvWhODbBkUGJ0vrKqv0uvl8EJD/sg5inFGu YWAOnSeJRUzSBRFKBbBjoVQAuzGUSk/bXLpyYD3pEIxcKYzPwROXs9fOJptUo8yAE5Kb0bCDBm8G 7G9uyw0ig71wBFRhpDnIUrlY6nMBnFSdkSZaX3w+SoS/NTs36ELoDfg8RRE5bLTR/a/NkSrypGhs S1XXEYZ8m89T6I1DJSoQE3ywKl1BUpHRn0YifFZ5oZDwufomZciNLDof8bcc2k9Wab4LOLcvcC6h b8o8uGY0zgP/SWFdvnUEF/Z8e7ZdFJGjQ4cEr+17jJstFRK8K9u9Sr3HeGz1zotuyYZ6lVsfT9A5 X5t4lQqheYkNZkQGFFAlKRWVRfIvir1wJG6epNxZfdDxlPbuUu84U83no7LvL4QB+FY4Vm2eQhtK 0XhL69naLSWV60dRTLLi/JWo+2ieYh9KceWlvczB2y0nu21jEWGQ21lMlB2EwBmL4kta7N437/a+ EXKeBRX0fm2wqu+oS+LdrI4HTpSqrts0Ve679M3ByKEX5SYvq+flKDrQ/iwsKLV5dTwPd33NgRml sCQT5830weYJZfaFw5xUFRjlkDzXwV2D0YAJeDspvNsLR8ywxUpmLj5oCZwAbWnj7bn5fKTh9X3d UX1plWeeQnQ4YGJptJS8i0B/ZKHDh9uaLniJ+Dz9CQ8HAiP74ECMdpZRX4QbNnhWAHB1/gls+g3a aWEbSW3Orr5U6Ek5CemBPTcH4CN4R50kaxQew+NsDn5AUDK4FU1cNIvM2utGnWuIWGlUgUvrKp6M 5NTwtYdpw5+bvBafqDAgJ+N7StaDww3IzzW6kC6eidpADxXqeKOjunFy544SlHyErW9ew3hcB9RK 8qWmzS0LKgOdc0J7zj/yA6Jc+HtFQaOy9WuYl2NrysljaV6fs0IZBXIyGpfy+W/ReUESz8wl8KYU +xpSXmJmPg08mFPNNDobeCc2L5WoSBTaJtIrTEh90PR52QtHHWEcUVA35YBKBv+2E1n1zDjh/NPk B/yLuspIk9CX9RzlIWIF85tqKReJkdetrSSXGFxIDKy2ElpUWrGuSGiofEl7IBjvZMyd4xsaSoMk KGy9BdmwTAd4Y/ikbi8cXHlLkrAsAS/XoQGPzdwYEcjy+ahG/qtowHilPwsqisjFg+r5euewE2J6 TJQ3pODA3s6mz4FPJVRqSKefJItx8MQFZadFa5Pz+6VzhRMRnRlJAT5ImwYzzV3d3je68ugISz69 hmSJcCUmCMCewecjgQ+PyLn2ELemBwAVOFUCsripN9ST7buzw5pjD6UyzFLd6t2n6U+ryr7Qy7bB IyiQDmgiDBfGMxMJXZk2QI2EHizyjuT7bu1lLxzsO9ZWwIzxQYyxc3ZUllbAbOTzwba7SsPGeflR i8zmKQSsJE4OGynksCZgDQSpS/S1ZaRZe/GSZuxWuVn7rVIcrI/i4IBx+8jI4M5ygl4AeK4nyd5w q0QIN6+CRUabQS8cQQ/J0Ehk/agpZOupC2IPNXM+H5Sgyjc4GvcWVKufBRUWyRkaTPgnZemg7WVq enk0zQ6KdeBJq6YZOlZKmrEzxdOgiYIrEJUMsaBGBysXdyNiwsHFjMbwWLc51Ze9cRSTE/UrCYJ+ JQgWasmS907I8QLTSoAXvw/yhh5OuiTEHLpWgoqC8lB9GBvJNYdnKsVz4enUqBzYViJdpFlMIcWO g+d4qGkvTABM4uZZTxKVRzYJXaIfUcfl7El6fQ5sKxMb9KgVpK6hWU8YtDQG6IIYBL6V3+KM0kKF i+2+oWboWwk3e/B9ukMMMhUPFJJnAla4ngrZ5V0fFfGjhFTZ0wefJpjMgvvJHl4BuJ3yjFhJUH77 2X16hjcUQAXGlVLFxY1JQAYHqxjAQpSM1nPY8fngurtq8QrfMyRmb2UltK7EMZLdYYDTVJpnwkXO Q5U+sTfQDLwrb4aXVWBhVcYyl3SSg2kke5yFq0qECIofADcAVOdAMdXpQuvKSn838pUwYCvzT6tI HilrNhJawqF55QM8LHaOt1WfeYoC8iqv2GWu3kBJ+yUHSVTPhHvNjn+K7SuXpGN69cHmaZ+ApvPE pNon80UmN9AfVqX7+jNMRU+BfWVi7wOZz6w+KBNuECHQdQf8U+hf+bDJmIGCkUwDSp+nCEIuOYI8 +7BOQmvLYssswTEppEBBVHFBaGHJ4BGbbPhgiQs0xE/QlJgJDxg/sKCZqGJNzAzVdy1+atte+A+f JtQgpg/adzgClQmPWfl8sJ5+2X3gNKGMmM9TzN780mqmXkr3MDNvWcZStmE3D8cDG0vM0y3n2mDz dBbjABeUug2rFph8ozdF9hCI5RnqhBeysu2FA84UDyag7HnbdegZMW85n1sJnp0rN37A+zm+9vfG GwQeXrPvHHhZmlkTmrYuS5TZdBBmZTQrlp+AuWWfqD9JnDDSHT546beshhkiGeic1xvkmfPzo4hD Knv9bp5TSi4Hdpaujg7zJx+UtyRIY/ELy9bzUel3flUMMuK3BZyMT1RUIx9AY5xNXV1SphQX2C0w 0TBwD1J5n6gItFLp+vl/jT9khnqyFHLw1H1iDWowyjt/OMA+LrYrMlDgaemdKRh8+2An1Lk0dQxv cIECU8tvbA8Ptwwbutmu4O7nJX5q50vNIY0a5jqh0Oe2igH0g22eBmrEPKFeoqfzQVBtDsy/znmN 7kEjxOBsyJZJGoLKHJIrHE37oUwhenqJnfiuSXg6zKwNxuVMYMz8UAgMT/+fGeILbltJzQJMyLTS QY6RSDA9WzZ7k2aM1LsgOshYivRtGiyNd09YgulRPypt6RPt+ggTQVBpLAqlndUK9Ss5EcC6g4wi uhPLrmHpWHqdH8m5Q9uCVaeeLso3Q6uO/J8TxX5eQib+qdWK4u2rGUU2GebnJWDiQzhtmadMWz7M SnXBdaqzkZwItzEtn5dwScuHgJPaiIbmYFFlAmUPvn0kuiad4BBSyyxjoO53YdCTcPGXYInvWibX zWKxl4NXeRc7M4TJfl4iJU2u6choeiCG1DLBc5ielzhJu2tSQLYPx4m3Oi1OgiqOVcLhsWiYi5co iR80qGVZSEzU4O3MAonP1kiVBsypCIi50cEFSlxIe5nF44z/vMRI/AoAwTEvQ7OEmLNqey2WPs/5 dJK5z0uIZAfJ9/aC+SiuEZufl/iID0HQKyMLcimik9HeeDuR5koAwWpWAX+JjrS9NoGFTaLf7W4v ODM2WKDSMG6CLo4oEgrC6+fswo6r6G4vkh8/L7GRjh+KNc1JHjAHJ0NVNSZaP7H2S2Skp8v8Wj+N nrNM3DA/L3ERHwJSH7iBubzwnZsXvhmuGG6gOcHnJSri/JAudrbRSD748YPDc9chhx/0LRk4kQuz sGNKvvaVhUaHn5eYSD9mwfwUuaCVLxc06GUNyxE/LwGR1k9d3/NzVhN1JDQ/L+GQznQRoLg8JdOk XJYEKCHnJdNUTAr9JRjS/DR5McsDfaRnf51ADXaVPJ7PvK1NOVTiLAY0LLMQF/uBVbyEQtpgmTjw 2i22Ps8uTVAvncBUlGc+L5HQPb6eCZpZkadN0EsYpPO50mJ41Sew7hYkplG6gVJP1F6sPPISBGmm k6wGevfBJgjNvVo7FRrPOtzMmNHYPXndguHgie3aDatJHX+JgfQjTBIK4BRpgxYQqnnDvLry5yUC 0g3S/f7SVJaT8rOpSwW2YH6gLImY53IKpot94/4yq+9zf1Wnhr2UI+1wsJJQ8uErSGyD4HUon49C N5mTpCUyfBtyBhceLIsGKC/FSM0PkXBtt+2DSmxtStoO+kx4+n1++vIDCP8qJWvT9PjnpQ6pNWsi X2X4AT2m1Y0gg+IIr/NmLvIVxj86mVPywcu1socZbEh0sDcyIYOAQi7QYwtFiQwHRyumlxKkfgPT 9vqf+KcTkTi9aPRSgHwi4ecAOifWuXSLx4dR/IyjgjIuyU/o0py7U1u9EwTdV+MWRjNEhS80pXyw FXReBTwwYnTPPBU275Hg07CCnJRyTc9gt8DXDZaQhGILiZgcVPdfG14Y+LtPzPd548tpj/06g1BM aIPy5+JVBXOUKDjYIbKlRSRQovrcy0/pVbL7wr2R5TRHk3PUWvHBo0S0R8ZksahDLr7TgxFc65+F YxkKpt69ZTnm80aWu2sezaM8fbCafy/s+57Ar/Lx4Jze3+toNVgcFz+H3qhyOl8bD6LWLhaguYRe 7oRP8yDqbCgIgxOd1I0Vx8KAmoMnYn2cBLx0hYobSYg4O3BDQHW7Q2nCEg2l9VEo3YnxXvLOW9c7 j4WVLVIXmE3vsTQYFuk7ll5nq9XusfQbTU5ndaO9MP0luNXK6F50ZNVSlz08zx0FEBzWlBM6Ka2E h9JFSwAfsVF1pb5HA/ZYPpVtCGx8tlp9akTCeb/H0whJdc/nO3i22ohvOqc1oKZhPD18GZEphgJR WR4PvXHk9KU0Fy7VtT2z8JqaI9+ABe1aBwC8R9TI7DA5XV6e/fHyxLqZkDulgNXKJ6dc6nw32k9U wj0FDMwg9fI7otOItNRiA5rXRq1YPbHqcQ5CVNGCOcqmg9ZUHII+RsMBaJMURdVyFx7N4STn8pwO M7WqLM4jniGapPew2mGB4Pn74OfRmSbohMqH6aT0AGuiFwLOjYTY5oUnDZoMvdHjtKE3PeEmZb44 4EtAAZm0sJc13Bs7znIIP7M3J+mcRWPPe2iHoXUT2/I6nkNzykqyaVa3h0nI+zRJUWxNCQb0bbYP diAtKtBNaTKf3TUF7e7SoKZKTb5OlSwxfN64cforCTHdO99BK6mXpF5km3l/3qhxN/n9OpH4Kc+h HUXXqHlhi83mbX/KBbL6MehFy+pQQzFFcxSF17KsSGir23DDo476TRfjC9U5kp0qSMiDli2Il4yh QzT4540Yp9W66AwDkLkNmqMKtzQupAmYWxRg9+2nNosBUB1cN4B8o8VZika3qnG1vVOpXiHaV1P/ xDfN9M/fWHF6/Uo/j0WKM4en8bjXqOwuQkZsD4nt4l+pg5KW19VDvnBvnDgLApnjsz2rQYHfQE9Q hR9I6EQx9k7fOQgATJU8dE1RFGT3JjWB7HyTtbODkUZyb6/FyqymKIyyKVrZwB2ywabopFMbvK9q YgLAloqqWnHtz59d8lPSn3rbaIpwoZWNKFuDHUcItoR/BCQiCrOFHfadhpu4op1mZcYozK5TDaIb QlYQriyPlfUp8tiRm+20Nyqc7TT2rYFyseHWqc//rNmV6Z/9W9lvbLSc2lDUS56HwNBCbxuc2IIf UW5Bg/ECysi20Ur+vBHhbKN9lxrb2d+j3EzkjQZnYStb/LWaGExa3WuNoELaFJ00oloE+caCu+sR WtViV2J4uDgnHEaRvlLLmgArtNBOZARPPpBAL10wsdr4xoKzYj7NUhCwYEC50SCSW2YJ0FSdnzcW nA78fFORxHrIWcgCu3OWooL1pBSLiXp1KRBe65PZvWJED14tpPc4GwU9SeXI3sNFtymlm1Czkx1D Pft3CICEIthCooxvup5e9Kx448BZhIQyDw87mgufl+KFDRQKZRogo78+bxQ4i7TH11ICou7ciHeS wkibCKAka9Mu/SWfJGxCa+/Xvkyn6o3/ZqmfaF39Dn73w6UiyYD5pCB1k/DdUK392QmUKno1GKiG 8iZv7Dd9CRT0OiRqfFDf4/wZk74c516rnzfy20viT2QcFOdsjqJIG4IkkJ2obi/ck4VKhc6DlrCR oaXtFteuefcvhkkcbLv1Ezks2LbyRNrYJdiY2MP0uIfUwSWXyIvpjflm241UJfyyNnCOxtoQo2UQ mebnjfemu/dXUkuPo9U90H6jvWkdMRtJNHsygLv1Gc+vxOWr6hplXzhHUf26E3SUqhRj64OmSYQQ bsaQAwzpZeWj83YbzWm0UH8ItMWxvfS6QXxEh6GZqB8wvQKDOtfa6lWfNft5I73ZWv8VH8GRfm2P s984b1p9ppHTPfFnhfm6eXrGNmEGpAPpPc6WqxOaQ9xy5dGmOkk54P59q4B0LrbGmy2BTr5R+S20 8/Qe2tLrvh9Ia0iailodhgnBHEEiRX/j+TU+b4w3O37XV4A0AT/N6zYZozibyNBcprl34R/vHLUr rbCBy7Lz6D3OVggMcF+7gwVIkMTZVR6DqGb3ZX20E+EObDG0ZT1fK0NvG00RZTqWGaCck1NO9x34 XR5OkKT/vLHdrJT8XWSjk2e+RbY3spv2GoKGk9Tm5Ultytf5hEkj91pFyqq99h5no8xMjSVT8Brt CqDDJensMF5sSBUS+AuwhiOTHUDMAVy5imzkr3zeuG5W3uBBBEVNG3Rmg00nONXOfMdgHd1mPiMF qL2M7RWkN6KbxQwSgstOCBy9Olh0yQgVc9RwU2uO3gPtLDTWYIPcBquO5AxtfoFqT1JZa5NxA8Cd DZXFWR+5PKpUvrHcbkscWH9ij5ebPJ9gDtYRTGlP1vN5I7kpCB2/G2rbAbVsWEeBtqSrobJkV/9U mQRXf0/XqrLNbPHRG8XtK6VF79UHn6JzfGN7TNZGVoFNLLHuwPRJh/BKLFHq/fPGcLOeWH0r1QJT aR2jc+Z/3ghu/9MSkT7Axr/vO+2N3mZLV5WJ5aeRdA85RWbqQRogBD01RWE5m6toDgYAHJJuYrZC cOUTcbyAb8ZCa8iiC7rb0Aap3Sg2RW8bTRGKKb1TZZiDNhr8L+R42s+B+UZt0x+b7ipiSE2l2luH fKO2aRkppS2r207bboBW8ky2/wpMuYwC+MZsszgLc1Rlx1AfO4aJCUZ2xRLbyeNaJtyY/jGkSQB2 fmGPQ28b5GsqXcLmygZtj14nA1/GV583VtvLgV0hRcftrimKgmwgHIENmW7q0aqbnJ7ZaibTNZfp mvCTogIbs/7OlJaDHdgbBwUkO5lwVhDRmnYaci9hC+5pNCnH8UZpe2q1HfoXHLD2eKsVlIOJpYE4 w+eN0fY/pRFpUkJlkR41mqUozKbTArQ7qyGyS3fYAypfNku4inwhRQVtOgeOTtczDbbZwMuulWXG mQpamcxWGiXeGXq4IQNlcfiy0RzJs4IFNg6Gnh3wM+ApPMrnjctmQXb7htDgLkWHzmboPchG35sF tm0mDPhJm5sNSHhT6oGEzHKGomo2LV8G7Kh9sHUE0AAwgI1ARxDsiB3dDK0HGv193a4I85A3HptW /SJwNrMB2fO19VgQ5+UUneTv80Zjs1W0v+JHBDsN9U+boijGhtoa89kLE+nJ7eCRwzlMZLj0zRuJ jd8PoC4kbqgTxMFxImfLot1YyYqEHlui/zLW5USjlB4gzookjO+NxGYXjIQVW/HBcBAAIlD+deFn fI+xETfUX3N0TsJ0Y+w3CpvlahTuZj1PFbbi8SMUuqojRdCJ0hxFtezJZVSamiKNNdTJPAQ12Tpo LQw2UM3EarOqx7p2gVGPO+iwA/lGYLOCvUQSmI5sopy5QeB9IfRgXyeAfCOw3Tl+0BBoYY16k/43 /pp1GQiRTdPxfNB7+HGBknm7tCS8cpLCYjbNqir1EjTYXjsByD7BVaWQcEHdnNLV4KJimzUGgDeC RDXw88Ze+6oerUXBKSoJCC8NzymZno2Z+Xyw2/Z3tjYoT/FgHqMom7pwuZGiIIz6ZCubjqcpTceo o5+qSYrK2ZM6XLmzT8vBdts5p89mrmT/n9NoLZRVQdtuJ6NFKa4Q8WfVIzLX3ohrlhSQ1N7YhByu OA9z3hNJ8Ko6n/F5463ZO/66/MEGWxfX90Zb04mELAwkc9X5ARtZpph/bgp6xEGJBuYTZ44AfIzC 7NGlzclzhoPDRtpJ0To2MRbSOSUHqdwZh+ZCh6mkdj09Bq0F3lhrOhzU6IdDC4dsGOETssgX+6z4 +Xkjrd3N+sxRJjsXXtc2SSFuBOnXOaqXeKHIRYAnw4UPDtWWsNtZ1gg6sJDeOGt2JMntXDY66bHR WY2uBMUcBuGghlwko8yxyPpJ+KtU9Qe5hV/y925jwM3B4EdrWTJyTojPG2VNJ8L8TkZwU7R28ddv jDWt3kkELSm3tF4AelGrJxelbiCy5+sK+0ZYs7ONTFrI7tngLUhwaLsgtFCTyGyvoZ+KABYq+VS2 cY1l9iDfGGvWpaA64KA0iYRpOUmN5MMfYpX5eFRj29/HNnprT2vkja6mcyxzi7EyzElalJun9N3Z 5NZrO2ctrBFRP3pjq311j8ogDJKDh0jAdTZq82C37SkAEvKsnwVgfoY2gQssk+bwxlbTAUoO7Vq2 ngysC9nBMUwu6UTab2S126CzMJKEUnzaLH5sv3HVbJKkAlSeIlu2Yu35e8xMANoRzTjsb1Q1myQm IjqS2nMkobKTYCrNpj48zSUGQK3IDu02ijhaXqvqyBtTrV00fxsl30Fn7Z7Qt/lhFt8+b0Q1rcOU fZIoRYJLIN277Y2oZnUnOZ1MFy8twq/hbqPKinvnJiuPvPHULGlkQlsIReJgk4QSW5uQpKHhAoB+ nCQUAkFb+AEIzs3PCIp4Y6nZHEnXpmcfLB/ZRbKT9RzDnzeO2k2LNUdSeZFkmk9RjMlONKmaZi+U Wnf1xLS9gXveDCV9TVEIHOFma6gS2+AQJIhFtUFM7YnFcp2yiwGz6FwRJ0xh51+xtpZRVM82lBaJ fBo0Ra1l/sjnEG7180ZPaxcfew/tTTpuvqsoirVpqIQWoYNGhcgXrJ9YeeUjbRmS7Y2b9lVk6xRD 0HBT/7kQnSJCSicCdEt0IMEbmAyLglI6jogZfaOm3YPh/IQgPtmgKYLhD0NDRBqfN2aa/tgbIMkf LrlJNacoirQ7oTU8D5yJ7QWkYuo/hQGSS0u2EJnNlK1W9mk5eMm/lpPY8toCHhItNBWQUCVl4o2D SGaVgNvzbYOrnz98LwQhcXCIVkoMKOvJS/B4EEP+gq5T4atdhFYL4+w6BT12GNu+tgFQb3DL00wd YU5RVM1OxIvUzP1W5aqtODvDNyiJXQTAqGRvFx6o3OhQVnQfb+20EDfCncY41wYtowmasXZaGXg8 6PcX767hQTB1EKU5fyaMswtZ19lq+/DK2eYZAGsWM6ukwJERaN7jbBE0UTdqd/DD6JzkjcTUelbJ udIyGydAAJ63Q51j3jBbjgE9JjiquNa6D1pGG9QA3mlt8/EIeezntfRuB2pHN8zuUZiNvA77K/kc oSxrJbYi+T9oQ5DUoDmKgCPqrgHl6IPN0cC0ELKD04hmp9J5hbEwMgiptVnmTwmNHhW0K027thkx e1skL7g08T+glofH/wsPa+Ca7enOURhmd/GuS7qwkZW85k8AsQQP9rTEv0f1bBVri0r/HDxfgycV HGDIk82rV8orQ3gCRBEER/3q1kwCkHoUZU9WR2gogAGTwYO2gyHInTdOzIXnA7Lj/IUZhZEiGzY2 SyHdkTJISQosgEeM3Q0PCXa6k64hTqs4u0dxtgEhV84+eNX/BM/niqdYVIfYCO2DGpfsAqUYdcpH FqLodYPwaLNcuyn7dwbLamFl0Llb0DDB4xEj1FcSV11iCfSeSBHlcRA3UruLSCYVWhkeDVopMzzq cp3AHP0dZld5edfHy3tBjBIQD5b9oUdJZ3Tw0oEk46nd8r38WYvsUUkb9oMs++tIIqKRqf/5ESct A2D9geeDq220X9sNtheXFtrDMFtGVCs7lu2cPV5CGtN8vMoqKCxpkkLiI/dZbbra2nO1oT6POjYb tZgn4FHQdjtTM0DNzYmGKzqSqNzaI4T2JPNxZXVqIWlFzNz5Hc69T0E4BBh4PlhJK//8biDBFNwn KaxqI2HKDTKvVh5hnonDGpI+qJQwq+3E+uPcjgNtgkEh522DI5BOug5/Z5RHBkptRSZ5PBko+lBn fVQ2p173rxrS2HLxRHPbtOpHqigqYJZaLfiACMv2HWtD8qVTYESz9B5rw0ttEZhNjV+xRYvpjBXK oRTG2oPoTi6lkAK5CBmd4ojOyxEd0Lk9uVg1ZZFzSYgjmgghAYAQ9hNuTUmNzR7VtSvz2ZWlf5SX 47QgpiR07YnP8Ph/Y9F2gATuHEUYbYgTnBuY9lisj2TKatG/s/RtpRLorTYVkXqEHTF07ZD4kTra lQBkoGsIAATgHwk77TsT5O5YVyzsm+rcpkkAviQKk+gI0Bl0c1B/ZK9qIsc7FTweraNvBDL6rj/Q AfJJCsPtThbbyskut06FJVba0nRxkQmklk1SVNbWrZbRzLfhlrUBsBVjv6FBWxBtonN3QgAC/la6 WhkEU+JLosQ2aQUVHzRJ50c0MNssE4//l4WEFQHhOkf8jSjenoyTElIT67QJekTQa3HWyEZLUbHk iOrak9LIRD7ZYLFkTRCxQ7QK+Aiw/6yPnPgUFVPoBRPxnx7F7RHF27krhuzVB+G0UeBhfxhKMXg8 iLcvcFSNSyBsbig5onD7Gp+77VTJ49pO5W3/iIDZ6mwjrmo3SbBlHxyBDOhFowPXPMtmjMKTveKe GRDtyo0FW2mMoeCHL/mDtQ79TOJHgHQa6iGdy9R6SMhtR1jWvmB2OnnRzTt7lDRimDZPzdn7E2+7 IFShOg7ZR2s4s2ZEZW1xIcZg3gbsI94Y62i3EwBAH5l520kErLe7WILEXT9uCwm6ugPfEQBHJbRd ZCtRWNAi+WhIwe2ETieQHFFRO/fv67/R8fEiI0YUbDf6TTVNBv9RNt4As20ntJ9De7gH5YiC7cVO basESDQKdHOKVjtxNXoXIiyks35ECaBuDs+m+tz+MDrFd/xBPIapSPdB2f8JkQrBaHA9x+PBcdS+ jyOA5hskhmyKoli7ExqxxA0lJWI/7VoyMhlG7urE4xHF2pNdtipJ2lrKLWlPNFwAOidGu0yQSED0 g2R1A3gU9Q3nsE0k8fiSP46jXht1IgghEmUI6BRT8zurGc9H6+jG2mrPdTiq+u0/omB70L17exoC UdZyGVqTKG0cSEV77URIIwq2l3GOWY3kYBHSznLvJlZrI7IXHTKfr26dqnzJF9Imx39ENW2wEMHu 55bjYJd/rmKq1BNE4PHgOFq/gkjcjulitUYI0h4s2KbenBCxlkH+shl0dXphZ2sgjQikbeqinXIa HLw3cmbpRP1bBgkwfNiGiuRCqlBjejDaVW/7F4GddFQbrNK2y1RrZPeBx4P4aH2zs8uWiZpPUVTT xnbEEVFcykelVuJHJtszymvrPbFD/AgRow80AlOUdRydjWDQiFqo7cObHz3u1lG8RdnfpgjQTnxH EB1BKqLXQmotB+serTRFzgandoRhdv/Vq2Ubst0TOwqz6UeVaLFmWa36/1hFENqzS23SZ5xTFIfZ 4onu5cOlQ4ySSIEB92j00cjxh6wJJGPobnPBtQx48CVBmM3rbGBJAhhBxXSB/TfMuzFL6+Sg+IAg 9/8teZS5kO+hHcbZhWXtNIdDI9Z2u8nz1dWhEY25G/ZaXNYmWwSm0jZoloB96WemhrT+sfnotJjU Ak9IDanM3iQKZa8bnEdVaiNkaHXosFgKAkYzg8hz+hU8HxVIxvehDdj8GJfDPsPCNl3NYB5BVWg6 v08zvavytuEsFZ5InZ/0F8C2JQUB6eGwz3kOJRQlWUZC9lZMkzyD3YJr+FaRRKudIX5EDq+VYpC7 unLfWVzUKKPec8XjwRzd259df+jW7+0LaUaBdlVW25dr/Lfiq+cESMOuOBywyaYorGuL5j/lQT2v C8nJyc47QPOOjIiUoJMKzmghl+usjJWeki1+FHxHsNvkfrvX9MGmaCX6vKAkVPB4dCL9qrM1VO2m X/0zCrNTkRnZ8gBpyoSaJP9scEk4JLk+7YzZkMxFivL/8tTZagKWjz1ryK418samWLELuNGCzorr P1IJYf5d1gbjxAedR+dHLtmoxxWP/4voR1wEUpnW7zKK4uw8aD5S55UdSd0lNehKaAQtNFQ1R2FR mxh2UFx8sGWUGtb/oDJLXujbklhzgk7Ub9DySpfAvohmmzF2hGm/OpHLO5EnbWuNoRyRF3j8X6QR rTmUoebt1s4o0K5FWiPJCKOZ6p4OsDUuSU0JShc8s2cUaKt3xBqIDd4eOT/z2PS9R3x0NpccOOHY MsDvy51CkFJBIk57hmTIRNJxoV4UBwPYAitPddCTMOPxoBXZbgwpb0XEWetKscVFbWpl5ubACGMf YbNVQg252TqyC01ShB0xRQ31IsvTiyTeCP4HXbWRUVTS7ThORqKk37oREj0lZxRnVxZFSHy0wfYa moIMIs/NhcejItv33U+JoVk9QpoRdkR2I20lb440SUOiObIIdqVe9kbVWVMUlbSV05ZpJ/fTQBLG r9DY7pxNtcrM9EzXeV1A3ImZMHI29SBnFGdDKgaEY8K1ofjhaX/pqUsjozU8HqVr39xs+FfVfQF/ M+RCUjJzT89pzzndHMueynD2UWnT7JNnFGcvQY/gGWPD7foXREUERVZ4HRVi2Sdr0xXqfGk8Rf+t tw1abIv4bHDzbLAK20kOBPdbm48Hq2h/T9EJTlAdubFRhB2ZDvdzjj/SKS9CpuYc/waChqYoirN1 8Z+ko/rgbUi4L7ZMF0not3ZyJzoq2+c0QpyP9MXL2YSyzyjObmTjwEyaA/5YCa9Cf45123W+Gc8H 66h9p7SZOnHF87UZhdlAg0E5c7qiBu5mu9aSrABRGxmI/7TVQtGRyciRsvMa/DTKyDYmPf9giFlw TSBfQ+4OnwiIed1JWkWvG+01QtlURhLmRaWR1CRB0k64gscjhM2FsknBTW5KNkkrirKBJUNSmzw+ MtEoJLWVTGkltdcJeEXwkUEjuyL31rLGzfsTGFqAmrM0Apk6kiImrR2wKdt1qzGO/wrhI6BT97Kf wWps6L2zxnYSaDz+XwQiQYSkhqJNURRlq1W66Mph7tvLb7W8zeQdttKMshM/KZiiZv214oOHkBCj z1PK/RX4gql+NjmNvKDyBSHNrbcNSiOF7bWqTi3oo6qvnmOodTbb0KfC85F6zXepdkIROF9S7QpV sqsytHwZo9KK4IG03GFkA09nc/Q3eqQV0UGtcsr+WpsnIR4Ms8v5Y0iLgkgL9eom/An2Ex2tn4bv CKrZnNYh28hxbSNPltABKKF4MR+Pomw/s8WvQ4XvUrNXyIYspGipVCsprZv1o5bk0BHgJngcrRCi TaAfS/Y22DLK5w9o6B8R77fgOFZovNIIrM1wFXkMkrfeNqpm0yaDxBoNDonMqxiGr+Lxf51G1K0r Fkf4HEVR9iTWv9UtCWjwGJJlsWll884o86SLdmSvmAup8HrcwbcaoB4LdR5c/WDWEKENH62T7o+s dqkd2XShw5f80YHsRAOBnI37i7O0UHRhv/6srYzno3vtWwYJ2FrwTXySoiibZFxACr1UiwjPS7U9 eZGNhHTttVBzZEoGqUwfHBVBgblOMa0O1QlcThM6mEhpUSfbxcvZJRHNtiLoCPE1bU62tKcQNcjX CiRxVIjsA49HyJFfotDAe9EBVXMUQrQTA6Q+vQXZuIRV8V+OtDkxv7sarDDMVtq/2TqTLJbFkGDC FzrPgImEtcOFhB7ZxPGV24NBYrKILwnmyMiiTdRRhjtcR3svCkec1dXweHSv3Zz2RzitAY1qm6Mo zkb3AlzCa1VXaO1IzgggvoSQQDOMMPbOT4pY/tSuGYyzOThuBN1ZMFCofwis5aKwLxoyYOghpW0X NzKHXjfCRHAdyX4NDNQfIZAYvMvLsFQ8/r7XVvouH8FSkHYvNkchHZIZ7SDEWZojy0sjGbI5FkNW oiepnh0XtFmqhTKHDd45GiiPlCz3kIb1MzhHaHqDe3c22LI2bQHNla8b+GPsq7xug1Lacf5DUXw0 +I5Bu398ryPohKO54nMUlrPZpt1SzaJOlNC1g1VI74sAEOtzFEtos9pfpRNVH52oXeBRl3n3oxA9 Gr0RM7qSk7XT9giOMfTEl/zRO2qr5juIe7TXbMU8yCseDy7//auVzVD26kTtKMweUmHvXoYEJ9v1 ffoFbG/q5vLM3qHoiLAQVTFkfWLIc1OePLlTJ6qlk39W+rU2HOsDuUjPt3ckX+0dhdmQhT97bEkj crlG5IR8nDxG0+DjQYmt5u/jCMUjLEWbovcwG0/Jzjf5tbbGrbBN5bQUHYFGpITYYyeaIUGa5oMf R1Dj7oW6LKjP4niikj+KkTiDM9SL/Fqjkv8OQdqEi4A66IMqbCfWycpu1x54PLjW0jeFHSa8XzS/ HYK0B7daXlfbT0Z+8tPOrjO+0d7WHP0DpC3tHtEoLT6akHPdW9WjgQuI5n0ne/gBAPSH6kiaIrrq 4juiAlsiK4tOkBzsb4Wlgqn5bzweiY7crJ/4d4Rk66KPdkiF7FWyvjftl/UTDtJ+ZaImII02R3+j Rogxt8Gv/n1W0aBeFi6iAl4kY0jURliDx9nljSNeazsKtF1JU7CRS84+B0beykVOGI/HI+3jXyU2 7Ml1q5A7hGgnWdFkV2aBVKERazbLViLWQDNdcxRCtKkPhUqsD14/KgmstbXVyq5ts0/L3ho7VkSU WIkNfXR8R5SLEA3ZnkHraK9a1D4Eg23HoiPfJzZCwo4epE1RqOzXqezHVpgYbG0buA91q2RT1Ivf ajvEjJCXhpqpD85gK6jxF4GP9mhL3X4QjyENil9xXXz2GnrbyLkHURGvWBtsq53jssgYIi08HonW /U76EUD2expFmJEk4DFiKZsimjJringIyus4T5+iUNjPjiGK1nHwi//czyexopl3aSfzAWxuwtTn hG4ASUFM0tvYvett/5CJ6lxrNnCKymBlQSUzPv4vCBtbA5mF2nsYhZojytVEfqTA77VbTZPmyGJn YR1TAmnHmBGpRNXtg5P8BhKdznJ/gaxZocb4QrHnnE35Z1dX9yl0GcZ3BOFjIoFtSJZluCzLmZes cv/5mI3HgzRk/vJXI+X5uffDWnZi06gO0z+C0KzxQzMqYJqiNYAQ0hT9HWKXOe7gyCMU5q3Pj25t rUxDoB4B0EY1WI0Ix3vqbaOzSHX+egc7i6ZgFOevrQOPR4waR2aTk92oxnqnKFT1a1LQZJxYPWkz C5Yy3YJl0dudGy0CjEx1RKoWU324WQtMAemxneQVRRLZs+OLoDhz0rV5IyMWaXcsN8IMLRMRwUEB NpIZko5QDcbjUTL7q89/1gIFGTVFhs95+9ZJ0LEK2Zwu6vvLxxCFBv7jxh9qfuIpFByhEe1O0olI j07EiUKJ82P8CHYtRAMmzX1+yDorJPkZ9mhte+HATCxLIGJqAOSQ26ZXaPuJnJUnPyACsDuKjXgc sM0HW+k2U6G6H4gzJyqazoXoiaQ/oEYGfmqJseOaMzH2FEfahWZHlDfcTxQJO7Q2pqh+7RzWELJj AencxWBHknh5NX4YaeNbIlCEFtS8A78lwWlhCFA8M5+PWP7ra9N1sucvy1/w5fAxyFq68+MUjH1S CskaJvCm2gZjzymEaGue9tg+OI4dZtIzs2J7TlxoOxDNBhWEE50BXDva4x2a7YUjoBaRNXCEBUgb JC0GgEDelWrstMUPCCKl/EuWHQItczxbL6psA38Jx15X1Mx9ufgYnJmbYyOyC/xSHSBoInHrgc1s gy0ooEYAREWn7YQ0a8MzhGItZ6Kw6mt6NDX5JvyWCBspGWSCtTgYBQkWCIKQjMHnI0Tbd7gEhl1D ruDzFEXdALoC52+AY2RvpfgRNZbDkNdo1TdeVN4eRVUAoiRzfdw0NqAAO1EQEQoVCd1SsJBOEHCi M3RPh6uOIzy0Fw76JNM8oKcPOsnXOcDlUb/hFZFCGEn1oxxblr5hqz8WPymscFfasfRuZ3mCObex tRSs4bA60X/qfpbHstryEzV30fyokEHdt1Rp2J+4CNRkcNnQnFzMn1lSstJk2vbCEfpPUBL61q3r W3f2dzXt8Z42n3+fqJp+FXDP0mZXyucpdrCh+fow6XqASUb6cfP1Yk0B2BnckzyKwM0+c5EFwMHx tqSrVyqRnKNuo64EITLwwc5+BNMGBBNzjcj+wn/IR0I56g6apwK8uSQhi56PWNuX2Ca3ROl23ImK 5Ui2FCQ7lw4gJdxuKHWnVCxOOMeIyyMxkorrb2DBFx9s57WziE4Yv+Uzek4iRJLoA2UwSSdXtHNJ RBTjt0TEbaLbEuGAK3ULqWGuURkCAVzC5yPxv19ECbAzBgC1PlFRMM4aLYqTXqek+afpttDyWuYR o1c3+4tUSQrLb4W2iBps551DI1E4hIQSOC9ATuJMINpoaP2BX+ihQZ/2vsGVx65SJXtAg+oBtZP5 moha5/PBxqtePsHSQUF/PQQ31Tpfp4nA261gk6UUcUtIu7nQkhM6luXTFNa8qYGwhRnl4KFBhSBg 5TS1DV0SVgeK3FJAecprXoobObeEvwdVpswdl5YPmqd0fl/pJA3GmqGnzW/9lkmp7Rtqht6Rs1t/ 0nO7dJltKByY+/G5wdZ2X8QQXkLNEZCPfdA8oVCbkO2RLQmH6EkVwIWmdwMkPiNidHtN+mfnwD0S B7FJuGQfVCRYJ37lmXi+a/L5KL/7LusCpASW0J2nkDFZpEriCrdpUYSI8zTG9Ubc020kcmAgKY+G M0FDLJyxr6sdRMg2bI+Jn0BzaMpDCocIUaf92ozLjiTHBpJUlISyuQ9WS0nToNyrVD4fROS/JSW5 nB4n0j8sJCm2uVzdHnIlVvPGTe6SSSextVJBDj0kVbA8t3PzwSFdiCZ7oTgZBBKymBMLSqENISGC ZJ+m4u8b0d2osAG0Kgc7xSGzKOmYs4kGnw9WU/5upKB32h5piRzYSNrsoiNn1V1crpatFBXXVLpE FdNWUxiPb2lJk/bGQZAXOGug1UH7P4DVskQ6MnKbih4OHJNcgIPN7xz4SJpuQkchzgedJ+eJvgSh zJXPR5vODycJUSEw6M9qiuQAoV+JO7m6gnvfxU5uGCa6KhCtoG2aQvJk5mpqlNXmYHcdoDOTqm1y JOWNMOGZvJBfwW4z37RF2kk5NJMEGRkomlI1GEoA94CcktA05vNBe3d+U0wrxT/m9ZIMzCSRLZHU RWWR/8c25l75Fui6z9PolN/u+qio60Suu84oDj5P40TKu6n8BM5CZTSOxOWHcPFMrrdBlxQUBHaS 1962mwGHO7iA9aliTjsfxOcjCc7vQzwD/gAYks9TSKGUUXIt0zAnudVLey/VyN2bOCalwaGhJPUT ztG8hg/ewewQhEOfoGJ2zhFOjgCULE9QgIYuM5olbQBVoEJHSfrcoXeZfFAaPIExpP3GuQH5/H85 xWFX1tNjuxl4SoKBQQfXubalwYXUFs5TW0PZ3Yly4Vxu8xSZ3UggCCm1D14ugKP6kmlSRWu0UyAI Qsc/A2VXvLvHBLXa+0aISoIq0CGzQZcdSnxqHJwDjM//S9WF//JGKN6eaYpDcWy7moeTKfp0CUUU ihx6QrtRm6awML55NgPeY4PnLBVMA+pJUWEKqAFEwedy+FlI5grKLI5hStNeOBK+J7+r02CSgyd3 KNrxAmuFz0c5y7dqSQHOB6UZn6cQ5t0IW5beLf+xmhMnZECTk04qaL42T2Es3qTtImzFSF9Yr5Pp ZbHgYLiSCyuelWUFLKPMXWKaXCvZCwe5nRTddxk+iDGwxiCtES2JxuejnOXbIQB35M9ql3WaQ4fJ zi4CLyPVMzfxd9x3iKC1tk7EUzwJDi0m2agcZGnb4NUCbEOYoNGIC9pKCAs6GOuEhv1Ap9qw3vS2 4JcExQLmdtS+5mDX3TwvWylP0E98zuf/JRbI6AlBZr0cphy6TLJFkwkZzfK7B2jZeKdAUVGT+0RU cOvjdRfaTA7imfKSVtC6QJSJ1nVLnZ3fWk5oiA0HHFFDbgfU/rhmpUsm3KHNZOY6aoOrqg0XLz2T ff4/Q6f45PNBMbP+IsNDIfhBWeTQZnKpbTd2s9pT592qkgr5r+SeQl7Dtl3gM+mrKYGjboOf4qR4 oWoDyemTqODUhlldh6QSYPnUUVKNLtdkLxzpTsm6ZE1Zl5zfhAH0htkeNxP8dPkBQWPqmpfgOaCp JqjNPlFhNA7JQATL3kbI3QSW0APpwzHN+ZmoGPjNXZTZ4ORwJwoSAVW2patQXOEH3nUU4WfdsrX2 XHjb3vgvMMGCzQ6HZQdy73ZanSO98/l/SQYzY0axLz02yqHf5K7U5BbMQl1Ol508m7xetVcuWMbj geEkrly1ObmiONjGg/faTOKinC2+ddaLHk+yQaYRtXXL57IXjuZJgUHlgP6fdCMLhGRZ2xzn3OIH RH2Eb/cJRAYt92frReVxGK+CYJHWhaaM6UW6Vd0ssBcHpebQdRIuADjBaTzNwdGEGbof5oSXUPBL jeKBSD7Q2mfq51SCYu/7p8DS5AnV57hFlX5yJZkG1cbnI0rKN8YJGPRzkN+iSug72aTVKdkJsnfH tQuezWW6d71KXTkwnnREIcmLNnjeUoDjKNR7PxuCvSe1+BLrB8B0XomlNe19A/QFVaizAGFy8mRc cNYro2JM0+bz/3IO0kF+PgZXoE9TVBvvlL04h4hjwarqK4SoVHp3EaLCGpXuu1iru4ho2Xy4OPCN 9Jf6gWdfj76ZBvcJVxpu44JjF52ps+1snkKUCsXeE7t3GpTetXNeiFCw9uTz/0I5s3vHTLw853io 112U+5rsNATIHpwKPkVp8KQxnOYptsYhzgk/mA2OCNsQ8IRu00mDO2pp2Jgny0EzqFHt4NrhSvkt h/6TIIXgWJJF93CLbkRoiVXB8zWZz//rcJI+3sKeb8+2i+Jx0EHwG48LVhFdDtWnNhx/CQSl18YD D0rXfk/CqnLw+w6m9914BUh/u4QoIXQ7UMDPg1r+akqNYi8crCfmLWOzN8VBx1OGsyVlu89U8/l/ lZ+sBgPvwlulC30oUeqHG4XdcVDJa1+QcKfMbW4PzlNsRLml/E71Dg62nuBfewIoagmXlrFZFr1P WJxDalf30zTv9r5RVUU6udTuyiqDsOZbTvgheUCUxgMrSvWDvrdd+iZg5NCLkiie1Fv1FkLfrtqR y7RFdsJE4vM0TVE4br2DlLIPDn7aYHgg9MVyqt4LnsSqonWSv3A9S1W6wI5Sh2DvRTpw5erAQa+Q rH94LG8+H2Exf/eCyzfQMMd+lCjmnpvCHRfSFKJg0OphOzS8D8eI5dCQUh6KdB6zwVE9dc0E0QVq LzXoDBD/dD7jZ8PzqLCDpBZnV0sqtKQcVF1aWR6w9O6TCUcB0CoxeuqZHxDher8PqMX45Orl59iV MjP3FWyO+Z0qUVQ7qdcqb7VbHY9tKdW7oySnBpuoAV+BIlvKswsrtBep4T1hs4wF2lF/ko+wNc0D X0qolYmNUZYIdAinWU0BRpcQ8XOCZX5AtPN+qVThGvlCYdQwHkeP9+znKkQYzvTsnF6Evfxva8rJ /fJy/VvzBDemD36Qr/P/6gozG0kxFYsuI7mewH2XVB8kfV72wn81g9Eo5QB5CP5t565YauWNc/3x A6Ir7zsVlu7Vek7yKB5nnQR7oVoqXEldEtlQB9eilvc0bZgcGlQCmA9nBRbsODyJC1qaBEKfrG6n Tt0TFAh/FpyYMh3gjd6Tur1wdOMxYymiHrjWI1yFktvAo7ISeFR+F8jV+dVbPWd5FJGPJlyPu1Sm k2c4czXJbgBnFHJAX1ERXgXcStTo5J83viQZTjwOCjTVmKA7tEkVqzJHPasW0bub5q5u7/uHlVfP rLBoSJYIAwHI70uDz0cWTL80PZEnfiXCgVGlC3pX1Qbk65lds2pcoMGmGqpNUxiRo+FyIvy2ffCI /NyrCwgwTFM9pxFPe3jOlR+owf7QO9k2HmH9/JZg46kdXMg+4CC+WAY3ml+YV+fzwb77ZQzXmEin 5yQPkeOLogONDsuJuR5jbwZvaEdQA323lrvvuzAi30yAsyj1+aHUnx9h7smiNVXhF9rl4CACTwfo UZ5XvSKj36AX/kuxulXiWTnYeoIHJmsley0+H/WDPdKkfgUKQH0+8KfQrxI/o2EKsqh1pBijpAlx blKgAS8oFxsdGlay43Iio3UHTRTSw3NgLfbNKYKGCshshaLecOuBbOm6ram+7I2j4IBS+oMYcg66 8uggQj5LQo4XeFae3/NR0jfxvbP12t16sWvlZga86+Vr6ChnMjz6tGR48PMZHAS2lSi300eHN5kG T/LOjK/ONu0JwfeZQx1iMDCsiPbFG5ezJ7n1ObCt9JM8b7bO874IgwG61YMwCHwr0//2XEDt6Fd3 MIe+lVP8MQBVHYjhCUuu+bbOzxljtJYcGleq+FuXYCvraQkDQHfWCYPykyXB3LOqVFdB3z4pBDwC lOKN7O8bAFnVmkIF3gYvGUAS84drU88HF177rYaKtOCSfnNoXVnZEQbC0KepmogMhD5TtlR4PAiD wLsS1BxyEfe8g03TiWQB7l7Ss8gQZliUICJaBGjEvS5KTIW60LqSYrGNhu0YsLv4p1W6M1GeOaEj HJpXzv/R+YZVbH3mKQrJgV8mSmU46lBC+gjJy1W0OGlsvbsudoqns/n/EdWH1meFiQERBifASIXc 353OLwJfa/zFj6tOt/f9gwQE9nnxQanwuaabDCEy4E+hf2X+ZTtIXiMuA5+mCD+O9iSQq8kbeE1W loT7qq3HTsJyLcv8h4ElFWMToygOl+Jy/grAGQnO7LBWo8snigpnTwI/Xp/4qW174SAuMC0rWevM W6mDGQlZhWe+K5//l0UDQwAUn1p+llNI3RxEHa7bEa6rGfrixCbDw0woIllcEHhYWuG3760waq+b uKBqN2slceN85B5Jbh+gAk1sT3gSPICVbS8cMKborjMWlQkGNpHEqGBBJPjKCXUaP+D9GF9XRa4x ywHs8Gl1BkaWdtvlrB4eoXXFddHhoL0s0BzgKNlEhQxOlqAg+OiDp8K4d2E8S5x97hVfgfL72XIb XZ363TqnjlwOvCyz1Qz65DnOQYkLmmpcw/X8Lnw+QkB9t/BodoYD9E5UKEnYCFnp06E9pV9oz8lY jeyy97xA+8DPEmVZqRATFs3BIk1S08qQgnyBCAXFiJEWg7PUf6Ao70q7YgIFhpYyEUYkXpoPdkDB ZFQpG4hAgaMlkD0+T4QYoDw+281cPq/RU8Z+pVJacYkrZPrW6iwmIk/VHfk1nQPqNXbKZz0nKTau O9zbLg9wy5m2oAYMLWswOTMhpICI7YcuhdjpNXLK8sghD7j5oLOJDFDTAMPTLxN0XnAblK6bUkpG difJlPO7BNMjcD0aRqZoTXC98pWKazpzu+20PV8Jpge+WGC41uyDVwnOZ89du2xRam1SeBq82Mkm qvRvVpVAp9Lr/KBkwzOpy9OqJ0PWUz6Jp9M5m/D02/wg8ik2P08vikwyzM9ruHQeQqyHNGW1Z/nY LstdbrsgJhLzxuXzGixh+RB3QZdSGwwDnTfQmFRBmwW10qr+J6h+Bfzdsi8Geja96/vyKQQXklBt g1V5K7X7+G3t8xon5cdYT9OD3wvW7DY9r1ESdtdIko51nZ3WvRaQyKEwYQtsVE7Pa4yUXanxrL3p gzMzThwNsfAh7Q9sWttduMCxyPftqtA6+/MaIWUpdoKZAxDXGdAaluIndMVI04FK9uc1QMoPFEzb C05IZbH1ROf1aHvRlllCkHKLLWZBCA3COR0/QHsgusUGywdVIsIJ7+CXPro1G9kozOJOIsHyU9tQ /YR1LBzM7/YiuOrzGhplx1icCzD5YGC5VKUhe2K79XkNjPJj8an10zjLTNtovhrMT6XeV1LSJmBT dj1UiCxY4bthpuWCGszPlOYHbKBt8OOnQ0ck0+HjzM+5rdluYl66IEgM5OLtXya97Pv8LIZEtbGk xEGlkg2s89RyLZ/XeAjrxwJsm58ObWjqaeArX6MhnOkU1U1SrCLConSHVaTm4jpoEVh4/RoLYX7k V1lpEsPhosHPqQOGJiXjADCpBFUAuzggX8kLwTcYk7XXSAgbjCDw3CmDn6ktaTEyVLAJUDo5xec1 EMpPIKQJAlChSyieJgfR+UwRK/gtelw9hh3KZ1VZP6XA183S2dcYKLsiSjG1+PklE5+h713N3OME PFhLU0qviyTJxuqtomrSxl9DoCzrsI4yYvdBCwiIsiR1750/rwEQ3/D7/gL1B9KjNj+v9UhsMBbE FwDjpmSx63IWT3W/KvpNmRBacEAnklMyeg82eIwIib4kRy/4oUGWmYC4SXZvQ8rgmoOFSnWf11ok 5kcVtsqSJAersEFumIywAsm/YH7ouK3pwPygvaPKNiVYgvkhfyILfmasuenIgDmvnfeJV63z9lqE xLczy3DKyeMLe9KK8x/QAWI/IoNoIzo95GEHREMbcJAGg6MN02sFEr8BJ6Yjc7TBDuiNdNJLRq/1 x2x2Ss8BdJYv0FUeH0bxs4j0mexbh576BFUvtp34mQZ7ohVGM2T6+WX74IiADAwrbFvgwAb9/EXk RK3Q0iEhpVzHMxyjfN1gCcnxDA7TNqjsv89bc7+1k8583rly2GPz+xJDLQERvc9RFEQjzyZBddgi guSa97klHkdYPFqOxtoJo2jiAMpaPniBFqpJSczLQXmvRJIFMAsLxzIwD969hRgwXzc6p4nmYoFJ g5X8gZxl9SdXPh7F0flrn62zBE4Q4hfZO08ONxnpqTwcDAuwXLsqd5VFcBCdX+wi34I52iad35sP NkeAaVKomorVZVEEEZQUOE4AftmhMmGJhrL6KJTujUClLJPq7NW0OtdUBopI4vNOksOC6Ps7ll6Q px83FYuC6UqwaS1WDQIfZXsw1KmdwsueEYyhAILDuuuwXlQY4OCHEaRTp0wGOnslmMzeoIoCqPHZ avUpEQnl/R5Po/OzhLqZPjzZqhjeBUjTOJ4eX/E0XmmU5dfZO0EOG4SecEWq+QRQEunPOWqSRWkk 6qzq9cZojnjTnyS9+WAp0jkD6vmRhxKyk9svNuKgg0LNQKI9hQvMUKPgd0SnEYsdEotZ4NkJeiXm CmESJ2X6vLPjeDvtr62WydCb7Z5HUVTdSXE+V/pViO2eqZ7TexucBDpKlpW9k+OYlmEhtcKUlIN3 Quh10VFOBiATJ1KRlN74oT/gWQ8XnjRoMPTOjcOG3rKF63fgX0s4HjcLbeHeqXFZTg7PZmNL/OSy d5LC0FqN/7Gmc3R4lEhCf/x/xr4zR5Zdae5/r2Xwgd7sf2NiRGSyevQq51wIEI+ebnXXsGnShLlm p3l7Zv/OjLsXG/o2PnjueuLqvOUueBLjefYuc9fBC3zzYr02ldSp/7wT4/BXEtFNKLgNWklQdUjC 0OT9eefF5ae8bycSm+Pl7rYoul7Uh6809lExNjE6QvXjfJ13/aEEbXMUhdcjSXJBanr9suJQd8t1 LWmeJLidgkmA3QIYLkLenr3GWNAY4Ze8zxE7tCewLt0HzVEtLEBgQwPmFgXYffXvABKKg+sGkO+c OK6jJPdOI50AGJidwlTd8OxcrNMraFGIPTg5OJV98KLNSWAaUj8JocHYi93ZSRHmYvUPK1cXe9v3 KZp08dqe6htGpdBioyuHhXxOFGN7Ub+T1pzhHFv2XUZRkI1j4kTWfW8rotEsSctoSKMZRbRFvBmn KIyyydnq1MfXYFNUT/52Ygc5MaBknYlS3rtTl2T97JKfiv7U20ZThLu/VUZJrRY/jmADK4lYIiKi MFvQYe40+VSdwx2kEaszRnF2peHZyXmuk+dy19wE1owlshOVSBUawzhbMWSW2Wm+ZqcTKlwDat6k Lo3WsJknTb3O5X9OImBBXTUHX/5558FlySkzdkw+aKehwsLTBNbFn3caHF7x1holcgmG2fQQ8p0F h5llD23NC9XaydqO54cZ0681ZJmKj95JcFyQssz9H9+8kyRkCDJjS40J0DPO9hN4Z0S60IEHJMxP I5Yb30lwWUirfjL6Rs3BDcqO0tmZzEOkQ+DknQSXDT3LOWG37fw+OC19kqKCNXzY0TSbbgrHTon6 HTU5O2D3dgvW73G2FK9QS2MXjYPH2ejs8r7CzXD+lkJLjwzhmjP79Fe5xHhWxj7vDLgseCN0KAgk 7YmJDP4y2DtJAQNp0OedAJfNK+gp6iPC6sWrsu/8N242ltVmdpx7EqKU3diR3c4LeYIOpHf6G35k ZWpt3sF7+xUnNlzCIA4HfWzZDOCrd4LROBSUHFJDbZN38hvzft5raAzYoL4HaEJEQ5wroX7euW/5 cansEhQq2LPrzlEUaS/aDPTxSOindXVyaFfOhC3hTtZui2rXonrTQN4G220glpyVSuUA0EQBh8Dd j87ZIEgL3WCrzcqG6Z34xoUgDd22feAcDXQFinRi0/y8097yI8hsxRE0hxb1OjhHYfl6lV+stzRn MpAI5mh4dQ3rWnMU1a87I2yql9vgPICMELVRH34gAkIPfCIn7T8b6GLkPT8E2m4RtD7vpLcsR9Vz olHXW4OKIwDKKavdc33eOW9ZBo7POsLKg0Whz9F7nC1vTGSHtXoLhFEcM7a1tpf451iWjLwz3u6p XaUHVx89uHNRjglnYkLbTyYJNAcKSLBh3+g0F1p5eg9t6XXfD6Q1ZOWZqw82RyebUi9+pf15J7zh r83lK6uFIF3P695sUZyNPXHObDoX8zwiNNiz2lw9q0UVXefRe5wNVBnSWSQIPliAdM6Ns5sy1xFU yWgpiECysjiBQynffK0MvW00RZSqVBIxkfjJ5b6XmukmATHz/Xknu7Fctr9uf7QuGiGGmqOoko0o 4Ww1dpaV1Fbr6ReIJ/t51LozTN+pbnh/Ut1aEx6rPXisfaLGDhQW8zUgaaSMU9QRA7cbsHIV2QYB yO9UN2sXogtb76Az+3x8UhywM98xWEfLzyMGkZB6QbRvcxQF2gLV7tEN3JfGcofTtNkv5xyRiq05 eg+0UR3BJpuJWkszuWTXOBflpvYlnfMa6DCDxzpLgCDGzPoo5VGi8p3kxnWk6hrTNWlA/AgoeoI8 qgTsNT7vHDfiHfJ3EAmJj3RrI+8UNyyjWWUF50CslRwEUkZqHh+d88U71nE9OzNPY3WIgxeQUHgm QAl4NTTtBXVH/aeDBTAfWVhQlvkdQUufHaMEWTQbDK2WIcjB2HmXzzu/7SWj3fjvb08/irPRAcGJ faMj5T0KIbe5DQMT4lj/d3bbBYVsGBrboFXEIufZQIv12NLOHUfx2JMBQ9gIyQWMpbpRbIreNpoi KuZmCgdx0EZb8EkgoK+fA/Od2oY/Nn13jdDmqXM5KuSd2YZVpFSkNcdkb1VDEBwB8mobbUPggRvt ndhGWBEPbNlVSVveAsizDdBpywLR7rnlHdMQljSc2us5sNvQ2wbZGqvZNc/ug3ZH76BD8N4v5fNO amPrzxMRBuRshQrzyDmKgmxBZ6CHbXPUrgplkrEbcesL+FcCst85bdbZO4uj0GeAg+20cc7jEzfS 0AN6iADUaqdhTSRy7e9pRPPezzulzWu15wrjXBX8hiJXAX1Ada8fiKZ93hltLI2srwiyIgnBYrRJ iqJs+hLBjfQKTOyh676QMqRJ2tSL1EKK6tkiE02BHjnYiX1SWoCtBLIClIIOVQNM3J9MeJx7MWAb 6mWjKRoCzW4fHDsLzXgewqN83qlsv2Js3l+4Ssvw2OidyWYBGSSC8jU9mfUC1klRceVAI5G+E9mY IvDAhuasDV48ylDOAUCR9bVCZWpy3VAPAVCkr9sUYRryTmPDopeNZ6UxnFSfdBqtmml6etI+vmJw p+VvDARcCxpYmTZFUYjdK6doWEONgBFzgz0/jnVumc6aYe47hS1fqLrObQ5eykbjy3xhECUN2VU0 +sGjT1qgnOekSKL43ilsDI0kqigHb0FnCIM42TCXwfmy/nlnsFnY8D1H5yRMz4kdhdiNNpo13fCx 1uKmwnBNcKDIGiZ5885fu5day02ofv4qk2nIydVAY5LbWUYPiVCailcEKgY+8dc8hw3Id/oa6/VS SmCynoBTVbcHkfJms/6EH/3zTl/L5lp6J2lB00JCU5ykMMau9BTol7Ct8idj7FVuzn+CZrMXeCev Ya+z+thpPKnB9lqqDTIwWRrncBVgsx8lIGyzRgfuG0CiFvh55649zf4iFbwCLWn+/PVkKGRN/4yZ +Xyw224Zkl6N8PFs05P+d+YapxbndPMWP/gtjijGC1v+j26Pibu9E9fw/iRZ1WrKQF8SLieNObl1 dknFtYXkR1V2A4tfiHq04hF5a++0NeZN+rPa8EEnElIDNUXOZ3zeWWt8x2/YI1CF58V8t71z1tiA 5ok0rf1BlpHDilkUoygXJA6Q0AL3GFezl0Dp2wdP+k8WAnENNY4KoAPUv0N4sIDMLaldO49BV4F3 yhrypkz5FpgBcHC5mgZVRKpLnff/vDPWuFnvHOE/zqxm7u0R0jtljVe2bOEAXDKbIYKv8ZKECFPW DaXj8+tgJb0z1rKEUYBBrskHjyJbAT2/a5bOJdTYXgNS/YdHFbiqXvSncvznnbFGDKEsPEk95iD4 EeWt8U981+edsIYj4Re2BlcFnD99jsJIG0+lIVgxjU8F2t9I+6nLJiL7qCZ9905X4+FGgcAkAbzU Lz27wbwjw5AA5SNwzACBRG0L0wOFfArbuMDytPcNDm5KA260aWzQJLVeJDNW5+bjUY3tu54N7aBW Lkjrnax2YaKQnLFJWpXNo8197oD1TTwc60fvXLVnu1XmthxubSSde71TtqWBDsDySadg7gJDJEOb wNWVSXN456plcaggx7m2D5qjhACeKds6kfY7VY0Vxe85goRkn9Wz2nemGqFd5MuIdsWsdl+NjdEN Sosg0LPad6JadkmpAf0VG+4czQRc3mCkvUHuo1wJsJqIl38y8kFLa1UceeepMa3lsT3yHRRrJ8gr MNaurX3eaWpsGfhmQ9gABFBP02ts7yw1Xm0s9Hcr9OOrvDiSAdlz4AhAE5qjMNZmjgFLDh+8lz1z WzRAhU9lhxIT7XUq2TKgDqOHa75nhES8U9SyRLMgZ9OrD5oi+NnVaRni552gls3c9WkdsaKV7hSF sBEi+nspPkVNy0h6EW1ZHLnntj7tOz0ty/EHHlvkZHOwKZpIlcEFQVn/TNaWOlAGFLIlWkWVG2pr FUXVbGh3wDZv3UFTdOL2QXBhg03lOzeNh9l3V2SzoVnuTotCbTq64KB2jBZqjw7qzxZbwtE1GWT0 nZh2E38oa/ng1ew9UANhxnby5JMdyhCV0igJuFHaVOowImL0nZeWzYYCFunLB9toEMUR6Qq3WhRo 51scwWNw3+g4hm2KokAb0S5as9Yioi1886S2LCOrb2r0aIpiXDYLR5m8q54v7woM7gFNEOqRnT+B 00Kb75NUgkUALQ+zqQTYnm8brKIt3tUuPhgi4sQRU2jInPF4EEL2b+Ax5b3axWe1MMwu1LzdZvDC Hq01ZnNtpVveXxhEcYqiWnaqOovEcpSpD89rYGo7pDfJLVqJvUyE22jvcKNDTs8tvLXTQtTIVjar ev9jwgjRJd7brZWBx6Nm/z2MsK1A1AHvxukzcZzNI8gNFBB5NoMbu9gWtSEoTEv+zHucrcADIIpy By/4j5M0b3nBQ2ImCzFZ5FeLquu8YbbcAvrf/MZSGWZz0DraqP5rjjYfDwgiu35ttU7uww0gexRk 467CVuu3VFurWwUY1hZbLa1pCP8eoUYGiyMnSC4+2BRB1wkQeSpuntin7So4AKrZm7oU+OEt8ad8 Ro/K2ShF/K++T4YDSJpyzT2pSI+C7FS+q5DAv0MPyOcoDLLzfI6jLu2M5hX/SvJaZ1Mk2b3fo3J2 J8HoxCbFB9trIFkgGGVxBFUWJB+MHzNoIvCn7le0ZhJ91KMYW7Ba2tZioD+6MpHzoVQgxs+D5wOq 4/yFYptng3f0ImyWQrJj5zFUqlubzTQd6Vcp80kqMR1LGWX3KMrGqQkYpKgQ/aFCjAWuUSNOHb22 BMQOzGfP1btgLFn2ZasZG6tHUXYlvh9OoxpMMhkgILn+nJyE7/if+KCJFVCvZ/eI8Eg5DCi3Jo8g xQ2dKLMxdWJ4BO0Lm6MwymYnuy0a6HKwi+3E8GnxkkRj5MTznE24xG8zoSot38ufpcgeVbSXKLPT 3F4QA3KPAMpDRNnPOcknng+uttG+VhKeMJNqTlIcZvPUzma7jLz/ao5BOdTC7IpCtybpb9pjV2ek P52RBQt4WCQTD1kKFPGo2gqe2QBAFUHZPZIo29ojfPYsytMoZbcBx2VtrUBzuRBfDSFdPB9tt98r iTH2PZPCojYAkbllEtNYHJnViiMwhMf/uhgiUcEO53YcaFPEXXpaHBx7fDbbWdPsjEDTYOIvnZIp 2lR8qLM+GptTrxvttspEjXk/aEw/plOPjt1iyw0VdHxAcHKP73Rk0mSme6zd32NtpCNscsESIwt9 LH+3ylibsGyiayBnU7mUolibpKuz7gUbbQ9sFGIHJ1AaVbIi2HKLKC36AxSR5q4rJRU2e1TWrsId T/ZsORhKq0H1i7dbnng8yvx9JREeAF3yfYuRPUJow/YDN3BfVh3Ji9OlXHFZMXJuFFJZQuoRckT5 SG12vatZIfjxPD99J0n0JMqrQIcdFLbz9wLXjGP3SvnQIABfEiHZiK1FFmWDVUdoOIB/7lTweISI 9ISE/zFq+LB1uispjLcrq2tpJbvdTAhxE8tWDce+EtIGzVJU1lZLu3YJZvSLQTrrZqH92E2rdUnj /SRdC0oiwPutdKUyqNeCL3k/lMzZpebig5pIJ1BaQ1j/MvH4v9jYXVLSkBNAudr42FHAPYu0oKZX kc4/042UijknqPjPaHJEhe3J0gjgRj5YNAk1ibNmlJXAMnBLchosokZdVyL+0yO4PaKAm2ZvPW3i RzkIpp3hVyFZ8pO4jTDg/kVjQz+9pxtMjijgRmufCrZXmKatdcMkN4OFT5EhbEZY1Z4SYCM1uz4+ lHAKhGMSLROQ0BWp3p78HaR15MyNBVspjAFqgC8J5ihLapt2nQNS6kNNpAq9Ml7ryG5HWNb+FSdB yRZGvj5JIUybvg5rp7uOWKZgCFCTa0Sc//9hMO0RlbXpBYgEr3DgcTGIdgVyOk+i2c6FCWdlNXfR xAVFrIzbQ9pnPQ98RwAcbVxAndWk1Cm1Srj/ueOm2OgnlBxRUTt332wsORH1M7zSNqJwu7HSptqh mpFiRHRWQZ26PneZvtWicHuRUQPDLQ7MOwfLSKlQddRCSZQ+aYuTUZ5AFImQw+//lDBFf8NHKORh g/L/DhQIb6tzruHx/6IOgZ54a8VvthFF25064OwPGSNCRxD7tYJr0/MVabqmKIq2p9wUU7vDrdc2 yE5v5W0naGRqR12Wc/kClTLT5bABfsPX/aup3QZV2xtELUQZOqlfShRAAPsCz0d9tm84G8V7APG1 SQqj7Uxj3N1NCtmNlknQyq5Wd66QZODaEUXbi9bmJtsriK6FSOvsVHpOgQ3JcJ46WQD0tk4sSPJ1 RPsvfEeQ2yYeR2yyadC9dj6qiFlzogg8HpxG67tGwsw2XYz2CDHaUzZcM/s62stb2nu6UScw+GbD NSKMdpE5Z1nDBzuyz0UDsyRmtkDZLLSSAdVCxZaHL/nrBtGuetuoxQbcaF3PoK22oLbLPuTuA48H AdL63mqF8kHVK20jxI9kSq9OK69B13c+/KzePLEFWlEHdhRoA0U1qVuvgS1jKUFtpLF0DW6o5I1C iXK6GiOjnqj72xShqoXviKIjlNjQ7vdBAc3ZBaZ+DErtCOPs8b2KqPcP4IBNURhnzy2pNW+NrIsb zf1q1p4YcPsUhXE2NY8hJe/Dk4sM6LqwVbspSzaYhKAll+Vtc7G13PH4kiDObhSkI1sU/Cy8sbD+ G30A/HP1ufABQfL/q9aGGlrDPWqzFMbZzGszFakFjdh7eniUsvW2N7le2mthXZsAdlgi+aBZGmhK nsRZs3RiyAVd1zNLmfRjHHPsdqn2D1gpX/evXi2ABK45IvT5uTVZ5kwQ4Cp4PqqQfKtFoGg8xkVp z7CuXekRPE0SGoBtKmrT8E5AJE4SiJVMRmYs28cKySRKnYP3R1ClX5nJCEwTtdpwIEH6tOASvlUk kWpnCB8hGxLoCh90Zg9o2OjuLxWPB1PUvjN/yP59ZbUzCrPBm0VMlN3sjkr8ytcSlGIsX8P1oykK 69qF/PVOCDaHBxfZT/yYzTnxfD4NLUZi6gKcTXpKtlgL+I4/pQ0bfUs52BSd8KtqinrB4//lQBpU mJp+888oyAYdAAn0eg4kr9ICGGwavgstGh1IM+RCysYmpe6Do5Bw86dOqWPop3T6CIPwAe0a5PjU IzH1R+ogzL/L2mut6oOOo5PR26G9V8Xj/4L8cULAq8Ea90mKwmxaiQCQ7c5t0De2bu2mkJq8R2gS xkkKq9pyUla5rfX14CLGibPAMSNM+8SSxE6enA13CsA2pGeZtSTRbDOEjjTBawiL4KBQEALR3CoQ U8TjEeLvG80GQgK932yKojAbIEhYtW0nRIwynDNinZIO1xGo/vPInqGMHzm1MHHywUsjZ091gGp4 9wNdTSokyNWQ8aygQvargUSY9gypkKnQlWXdwfC15+JkhfhkFhWPB63I9ktnrCDKWleHLYyyB2u0 FBGQnAbKFJbQbreUXMDt2RxF0BFAvQg+7j44KhJ4lLUkBQlXCKpJ9IluERTmfmBH4/ER/SRnFGVX SqwmO7irn9gTYDyys06IMfB4VKv1mx8/IPowHV9oUxRBR7AjAB2ZTj1qJFWzN7KnG9wtWkFqiqKK ti611hgftXbjo9XHgrxBogTSOS02cREQSjjBCYBzbG0bM5tikDOKsnVio9uoYVjOjy8xTGRrePxf mEjW1zCV+zZrZ0yEVPaxvRGZFDeSmL2v6jo5LDqMQj0/nthNZpIcfIrOnbx5K2KnzQJhH4quo8vB tCuNp+a/9bYBAGkTCbkYbHNQeQ2UC55M9VwOeDzCaP2KH0+MxgqHTVEEHZnC+jXP+c+t5qZa6B06 2P8cJX5eR1H2yLKBYD+fg5/XuUIwmQYjdZ5JYIh5QoEzp7j9zmGEw9qq2QSyzyjKllrmJLLrDDgZ pbo6z8VPA8ATL1U8H0GQvsEjmcoMxbO1GRIhKUvfa/Pa0VxmGQW1wuvqM2kQxq0WKo5MEdPY1+bg HbaJUnMiRjtDlWnR/Wgjxe2UoKjPrTZW0ev+uddgXWKDIdlYqWVysfmOEcAm/UpEpO1ic7SiGBv0 PSiOFDdzH+syIlr1OQJixnDsK8KOCDTSrGPb111I67z3TpOkuhMnnoOj2l4DLxrMzWtUY/z+FWJH WMNuUL61wetrU6oLrdWKx/8lDsllBCFFBGY2RWEpWyY1u94qbfdqP+zWk1dpwd7XFIUxtvRXG1E2 HDyAHPscF/gGtHdOIMkGHK4TqoegS58vBGluvW2k68eu2tDvjwqvaqvnwMPSxZG9a8HzkdrYdyWb 8uXw/bE5ChWyK6Fs6ZmjG2TjJPTaUUcLSnMUYkcYFQ2hHTuz4p/GPKTALQESBVxG53or0m+hjcgC vnU/sdF5Y3xHJBHFcwiwABtse4CdSkJtW3w8ktL41hqrA621dJdRyISsPKf3yMYYSWL2LfGzmgNH zkLTabRCeDZVRisKQTZ4RwTYv0TW7DwTdWJtyvgu1PhBSvqhwLh7I2+97Z9EyEJtHw4GiBwQDmYF clc8Hh1G3xS2DIQVPsbnKIqxiaRIjTtDRiItm0YUOFW2jGA/a5iIFRMhm8BX2Qefo4UbJxOCdP4E SBTT4R7szjHID2suN6JyCr4kKLDx6qelKwbsUc4SiHLK3IDjxfNRS+Q7yAayljaDNklRkE0f21RZ zxO6Zs6rW5O3J7Q9Fd9rod4Iz2qwTX1wMgS5EJ05/4kQc0fr91wwOGQXOa67eC27UE8IXxKha6Rz R70IDkrWYPm5pMnSBx6PEEheOqKWBi7Hcju0K4qyFx3Y8ri+GECu/Jjz4R6GswEZ3+prK4yyea3V +Qz3zD5HULakH6i2zlp2h6LPhE1Qbg8CibseXxLMUe/yDTEXkakwG91NeRpAXA+PR/ean9l8N6wj wOJsjqIwG6AHqmgmc6krrVjtqBAnAQAJgGIoMKN2tEKE9pA6VOo+2Do6mSBKOtSeR1jLJjAqtecE W6xdddqQCzUyh173j/CoUSQQA9SB8Id1OLew7TNQX1thNXt/H9oQgBjzpiIr5EIigUmjrhtCZtOv o97Idi5EdWn6FVezpe0j0F+eHh4hosbJTS5kYwtaqBGc1RNmBiVR4a9zjnB04ksijHaVu0H2QRnt ScN53pNshceDVv9FH1kxDnvtiouHtWz6tO8r3w/tLEP6Zwl6yOAXsHzNURxms9SfWULiYHOEm23l QsgDQgNpkXaV4gAhYDHRA6Qx9LpR44iYEdpha9B5lM6t0E0Xs+LxaB1dbR8sOohEoeZnk7SjOHuw wLbyuOBaafmKeNS9wDZQB+WhvUMuJDO1murwwXMRuHFuxdnnDzu/HGvZA3N1/q+zMvLtHMlTe0dx diMcgpglG7SOwNcQfy0NPh6Rar5TEbDQYMrsU/QeZ4ODsXgeFQdELpnXT1KmbwyJ5pWpsMeKIxLQ Yh+75NvH5qI6IR571aRFdjokQ5zrXC04j1pq916jjP8OMdq1yyb6DiqwnUt9WRiwBx4P7rX0XcwG grKVSzveIUa7Z4HXXYj9vGX382jd84iWz5qjOM5mZS0bj/0h+UNX5sSqgkNslDEYIC3cEw1LgabI miLy3vEdwRQJ6i/iUXmIRzOxKo6l0Tce/y80SEAD67rIox3SINkS6Y6ghdnBcPmjUWp2xEhzz74d IkaIpqlbRpD7YR2P84ehBcWdBiu0UhVDbtwqCD1QsPK2Ea+1HQXa23TYzS+j2RwN+ChI3OdkPXg8 0j3+Vq5BSlsh5mVzFAK06cm+S3LmUcrTaTV7zn1pNS7rt0OANvuO/9s2WlCtgYuRDLQpL1dclaUh RYEAiVfYQMvAd0S5iFpqVBxJrvdaSG7ZEkRoFY//F1U/nA1QJvMpiqJsSD9lqos682gsJ2dl1QKk ODJ8p4WAEaZrYFv7YJ21neDolanDjrroWWOUiMLC6oO2MOuis9fQ2wbBUaFbBkACNthO26VLrvZs ZDwe6R997zQUFwdU5myKIsAIzUcBEfXDKIkFySmq2V0hTiJqYmw7FPXbUs1W0b/s6xtG99kG1bcz ReVEwV1eqyy0IzBFvdV72N3e9i+iaM0U06huwImKk6zQUTLj4//K1aS4xTrtXUVRjI27Dwna7j9u 2ztso6Up655CXcE0JX+0w1I2vZ/gwuiDrSL69pYk6zD8LZuRQcIP0OBpCEdok89kCo3viIiiLPOn 3nxwTE2XU8f5mI3Hgyvtlo44HSDm5AsY2WEpuwyVZs2yF+e1ix9BC0tTtKByalMUh9iqQO7qg1cg 6yhSLkUpG5RI2j9tQGsa4CnC1IhuvKfeNnLvkfQ6tSI4aIrOyhcG6aylgcejbPYXsx+s33IrkDuK sKG0Am5ZnnZcF/AvzH5FCCT607TqkVGEFkHRAGV+iSCRuaQp6kX3gViQaKfJMBOnU4P1xYLKjAVG LNLuWGtEksc9+yDo+rkYM8+SE+gMPB4ls9+lI3SZ2nycRVIUYLdJXxrSoYioKYm4kUSwCNJx/HMv IONlJZ7CCHt0Qq0ltTZvS2QiA+lwomNZZKAC2ejic5YTeKQ/2oYGPFrbXjgwEqNy5s7qZWcWUDlP 9RxOzC36WZv8gODQrt+VWuQQrCD7RIXCfkRCrpSnESE6YU5I+8/P73LjCww002FPYZzdJZ29mw82 Ubjc1kn5qMU6wJDLXQy2/LMgCUcZDNf3YZyNb4mk2Blho59pg6ocBR1NHtxrZj4fZCOt/rr/QZ2/ FH86T78/NlULNGV68LOm97IXfTtUZkNDU7YHKURnd1H3ifLn4MVICFDk0oRkG+f/Ex2CDveUn0Xf D1REr21otheOUBFU0pSiVhrM3XgO4+DnfXG+YPEDgkCpfKckkJtvT4kkp7CwjVQ1U+pGqW1/xBCY PAgYgUa3T1RsAEms46aqFgdfUBUzUVhsA9e/QjsK6iznPwErFgnp07At2174L9bIRI3QBuMf7Zqp qlXR9ydtLohHv+V9NwI1ALB8nqKgm+C1LF00nVB0C7cTal6vXoT0tvGi6jbCalKyqg+3ULIpzUrN qIHAiY0kuLiCYIve6XDBcXAB7IWDNsn6RYqYVgVA93yZUjRsIlKIIbl0dvzHGcJK69Eclyxx3JPs 1GjmCUWRFlG1gEczUBvL3naUhxVuA6kJubXLdRQ9n9jWGpSvx86jjzj0o08etNBZygBUeGUybXvh iK7NBKXTjZSD3XizJG72k05vPv8+UfWXeQ2ygNH39RxJf5vXFBFFCP7r3i3JVUEU/ElTcQF7anK8 nx1UsymjDx/8hIJM9FIADoj7pODNCT/OMbwhvZEbVDHMLyI3e+G/dP63FtR+FhRahOoJnbnm8xGH 9Btxw75oL495XSxFUh/KNpmSjTQAFLoTXSgYGiCI9nmKtUioG9WoPs7BOyYNgjmV/MjRYVhHZntG hAAtRAIRPIAqcvVOf0JKGqCCGpLaAbg6hG+DPBmfj4CSv5zHzjwNkKJ8nsJIfDKf2/nWKKdjAUrJ 5l4L1/XU3OUvEiRBUxbU/5p9sGwF7qoVvFFWu094CIWNk9BlnjWATbZHObpPe9/gwptyByXbloMq cHVNauATr87ng213MW4k6UJRaqXHDylF4TjtstOenvemJXoSLUjW9nlCHc3nKax4y0lT5zkHP8jP PQCuBSXb4PVLbwBEhBO5Obpba15yG/m2+JaoxiSN7d180EQBZkDOJASq+fw/faNk6wIOYH8cEaOY HAU0mLGasR9kqywcKJmMIZXiJnWqZIkYoktki6Tcrj+5Hczpz+lEGRtECXvSunZAYB3EIOx8wIrM WbP5C0fMZOq3NGmTNPfWxP1T1D9JY/L5/yKXQEhivqJkObSObJynLVdfLqg2rdydJeLL/C5Bx93m KYKYEHmM+kD1QUQPeINCaauS6DIgSEqELiWHKk6H2q/DuJxIcuwdmbccEZsPVkppAOUwIi+Vz0cC t7+YJVxOt/ad/3CPJMjEi2+kSxrlptROKgX1kmRj2/VRfwEDO7s3Grz6jfIurHAB6CIRpygLhKAD LhLE1T5Npdn7Rp0mKiZRUBKDCUpmNK6qFP3r4PORQdIvptsiAeceT4GD5O19M0vXNKXlZq0U7LHK 5e5314XxONUS0Pf2QasplzM7aU3I885CJNYWJgVadGC5I85z9Q32vnNgIYlNR2Hy/Qw6T2DDwIDt 3JqVz/9r0/Hl0KaY/VlNsRTg4KXcve7Ud7OjOzOJUt0poaxo0xTyJinhCpiMD37bDbhOZ4GVM/Rv KJhMLFnjMkWZ19IW6Sbl0EdyLXlnE2haVSbBcsqsInM5wY409JEsc/2KMnHPfu2692hcjWvU55oq cZCVvNJJQw5TmKfZ6Gvb9VF/6QJB39EHnyeq9mwqudUKGVf8l+d/B3od2jW5jNvfnQoLAidJQ8D1 YjpTaVzRxJJVGD9vWvj8vxxucBDBNBs35Z2nkD6ZuO1adoYpzc+N0uX/67lJ6vXbDL0kVevNmi4O jhXYkCUdFAWEsUuhlXsHMeNEBWjn1uKneEkqQIVmkgCM0sGl+KA0GOAVCbnnsvh8dIr/luDuPywo +jyFYiUs1FX1LZkGt+rUt3MPKTCHBGfJPk+Rz42wgjB788Gj8XPfA9wj9YQBCC0bdCDkgB5/QvJ+ Y4Ja7X2jy25JqKz6YDFBJXQMyylVPh/RBH5JA+wk6R2fpjAYJ5VC7BBzJk0eZI5Z3E6a/QSbphDi TTWXor4AB5smcHsGCUkIMkmlQBKMnfKzOEVfeu47TXvhP0Tve87PYMld4coknKnw+Shp+Z4nKjGm feu+oa2kGHCDkY7mCShbo5y06g6uda+7nP52b6/EumlwZMXeUF6T+M06Gw9BPuxJwQHHMsrcJSbI tZK9cNSHUutgJB/EF1ig/LDwlmfj80HWUr7nKePvP+9xy3ShtyR8N2h3Nx1/2nkoEX9Ks3Aqlg/0 +ZUFh+aSy1CVBmd+bO6hNJHGogJOByKeKrknRpwSX/pBymZQb0i/6X2jTgvr44tlXxifaF1MgNPY bwNGkc9HQoFefWKghCCzrsehPFQK3ETF0e5EVvf8X0Q63aJT4BhfyaSmc2gwaXBvFTU52DSBXjrI PQT7pCXxujuNZnGO/bRxfUqX/Ldjg8mi6HJkHwzOxO6yTvHJ5yPeqQeZTUXQH4jN3mkKpUsI+poS mpzqIjSvqdCshzW6XdypNAcWk+iPE/WVnsFvu35WFNAiki0/Vyv6w/3ETO1nUcySSB7V6HJN9sJ/ pXaooNC2BL8J18XG2UHwDsrW/IAIsON9KapUAl8y51PNDGwmkbXQ9qZOxzRL2FD0gd2aY5rxWTZT MfCbKRsMbm1wXNM8N9veY8ux9ISD6Mw0yitk2t+cX6c9N962N/4LTIB2hAY/kVGVUPmp5M7nI7ZO +/ku0y0Dn9tExS44FORe7luG1ohnwfQaM1AKq1sMyAOzSRd7ZffZBj/JCYuUAAV03YBbpNgrZURo w9PT7ZbPZS8czFNmATJ1Fg1gfy3VyBPHDMoO/ECykB8QNRLqV6RJts7jFpRDw8mmk3ylfqEpT52u mIg5oCm7+kTF2BTTKG0+eD8YGlolLbMJhvkEXctRuQM29Qepw6USFHvf6IhihJnYx+PgEVTq/H+0 E/fx+Uhd2W88CqEArAJBnTtPUUSO/wbF3uXzVLWKZBVswtTQMJieCAeuk/B1ok4Xjw0NlrlUalBD 0ArCuHDRkLPAJKoHpYF9DV4hrqf3DeAXyoBz7j4oMpgnu142T5vPBwH5RfFwGQLvgm/3aYrK45AV RZWuu3xw3UYrBP5rDceoAHOjBC8wnkSuKJ1OlsfbY845J1UtGnWoEXGnJiVKwG8H9zGQKjA/wb6z eQphKmSjZoA4bFCC1yYEnFkw2JPPRzDn7wgKINNzcT7bLpTrLsp+l2N5mKVaIrx5ahGospInwoH5 pKZ8wudu++DVzBPZV/hzMRHGId+69ChglLEIL/HjSbJvOTSfxO7kcXcHhYYTfrsGdM58Pjqdvrst 0HQ5m/4prAT+k6DX8xwn5VzneN6OVmnrVjPXclu8HBhQJlLvTkwg6FypX6B5yFM1ELhh0rmpSY2I nNIiQIWTlIM7l+SLYi8coebZCIb6lg06n2CTwlp5O1PN5/8LRYXAcqr0a55CE8rFtpQk+OVFIRYY jvFOgVLhnes0oGr+w4WS5cyU7mDrCTWnE2CaTC71r9RhH5RY3BJi97a5v29UzmQi3Jm/cLCq7/l2 JcIsjgc+lAjIf/kIjPTNwMihEeWmTi28xmzbDanmUAKeHAHaUZUx7jRFATl472e/TfmazMfXZJ7L O+Wq247O0ShRUa/qXMvUV2vPPA1/4QBeMMVOJTms5e31zJP+6hivJ5vh8xFe9bvsS92lVZ55CqHh 9PGZJFipicCkmbcdaZMqGIxn24V2lFI4TVKlTFeVEnYUE+bdlT7U6FJAiWOe7OxMySaNmk0kdTm7 ulKhISUKZl8GMFjlUxne6DKah7EsP+C/TBTlEPqT4cWWlJnyAs0CJXYU3Gd5a5lR64SmV5qo2JNS AChq5xhqS3iVUilu2WT8PhdV42AJu39gKfGT8RZmImxt88CUEqxnSsIMKnos+siongL5OcWZJyPn B0T4gm8EFNQp2/dEhQE5MuhM8QdVDAqXgrnkLoWc516fzg3PgS9lMoBvkaoHBw8MTqAD0OVWjjeI cjq3DMF3ANoUcIodSp+XvXBU0UTJYDDHawMFBCUb5+qAOxUm6lx//IB/BeT4jyf7N9fBK9coIM/S Ox/JSr/4ZzIgxqYQrQAr8KpWaaXGuiesZU55eM3Hw+tsKpDqafM+FsCIvPFAzf9Z7BTR/t3oPclf +I8br8MzxQfV6uhkSLj4Rm2l/rtELvU8vtRzREUBOSQCUPEyzjyO8mK857POvHF+Djt3GMiBR6V0 QZGxtO7DA2SFVAX7nBCopLAnanVTfbwfOM26Y+7y942kKiWez0CTg/rmHdaqBECdHc3ng8QlfUdQ DFu/EuHApRK4nsJkpQ2v1M1tRKg81a9Cpa5DWsKmKQ7I93snYQwU+uAZCgfG1qgNDijr+WMA0oCu 6y39rr3shf+Sq9wwk7dBdDG4ElJuEhpifD7adr8khoHngMmEz1NYIu+anNyMwAq5oesviCRFjU5M mW27KCCfW7Xxnny4pV8APLXtOlyqxdGAHtfZdigSzqtekXdv9sIR8HCS38vCCgetp5FMDK2dqJjP RwD7b5xYzighQ2DJJyp2hGfmAnf2LGZdBRIMNU24/lDOk3S1bdrnOXSrJI0VPhnbB00UjthzGSUm wgtKKECWTPD30RaDfUjlLFlvqi9747/MhsBm9EE3HjrCW/BfpHiBYeU5aS6TVR0aXJmX0JJjx8pN 0YHSs6fC+J2VCi/JfSMVhtyUhQaBZSXkHmgMR5l8DRaSrwZlyUQoa1ogRfNurPSyQCos2rhcPUmt z4Fl5dk48BkEmWVrMHcPJD8qHwhhEHhWpq+eC2cEzI7+VAxCy0oUfDILzJ7hpVY9JBcOmG46ndTy ro+KWgnmw1x8sGmCBAgw6LKIO4d4t0rdOeob7sVNdLlU4hVABZ6VCBSRAudCoS8OVjAA21FiFkPP B9dd+953FRHBfhLh0LWy0ryq5Muyy82zuvOjFwdizOIsuxzYVqL43FUpWD44tQWE3EkFHWh87pXk Wo0csEGHt+51YWKq04WulZXWbl3SMZ0yIvzT0L1W3xu69PyASBjlJsLLDvITr95MOHCuRKWCrfNc LvCwju4FzWkq+0CsjLvtwohcEtar3MHWE5prc0mmmn2JbgEBuNudofX1ZpjJ3zfCsW7hw+6gTBh+ j/wjz1asfD7ikvl6YnzaBgjS61lQEYIcsSiEq0uzVkLrbTvgN/flrYTVvekS21eyspKnWgnzthIG gIei2VXJgCxKfdN0ty8iyOsTQLVtL/yHmCV047oP2ngVRpKcqDErn/+XIDoX4vm2BiSFz1PI3RR1 QxJEctnZ0xKXLKYrVRumezTlwMISLRcifac6L/NygOGiCgdCAllRjYa3OzU/kQviwsooSFzIyrYX DihTldyWKqYLtAGlRnUi2630GzBHfsD7Qb5us5OAAnDoB5wVfaZCf51NSJ34ibSxrsll0VtKy5vC OxefqZjDycyl9js4GGMB0jPpGo8tzJMFkimAkCPerN/dcwrJ5cDLUjn/ye0AzrdBmQsVp1hcOfcO n49AUL9CKERw4EzciQo1CVWsm+aIhn8297No0/TAKtwJHWsf+FlidfJsmsyF+7y58Nm28EKH1yGK dROdBdIUE/Dy66zpRai9lHZFBgoMLa03hcJM8cGKdWnI8/P8qIvPB72E8k13hdYp+ol+RH1eA6hi IXlac1jqcv5ZTGynJpmBYD2RisIT6jV8KoBAsUa3S/XBJwmdc6LRCTFok96XZyN2utsCJbYfxhTC p9fgqQiYA9uvdQejchaWsqgChqdfJqjAKUkTdNIlRpgZ+d3m/3B+l2B6CJk6dwPSdSlaDzchOtuN zlaYnr1oZ4VVFE0P/T5ak4hDWzf9bTOD7Kp85aQVMA9BpI7ot5NQVOl0pTKBjqXX+UGbxaTRmw9a QuiLsphSTzD/eY2ZygOuH9JJsW5Usgl6DZmK+X25ejzXTzX3+BPUpm7rZ1GvnevnNWDCBPHAHptS MhwMB52oTNCIeUL/ruJEATwDRSzIoJd9cdCTcPHXcKngKGHw3UkF5uB1XmA+Ler4vMZKmN3t81N1 TIqJrOl5DZSKYaROIGOlEip/u/8AVKcNUL9BVuf0vIZJBQQyNjK5rTVYmJQm9LFVj4PqJ6rt3F50 fcEq37evAnEifMX79GR56ealByGOqO54OqkJSZwQ8/28xkjlEdkbuvpREVo92/y8BkgFBf5E0eFp pd20x3Dosyk3E0KwXZHgNTwqgl4DUpizD3aZ5d1QOaHpEDT2RpGcPswrgQiERdfdX5viuq/BEc4f TtA5vooPBpg7geNWu/dE26+hEU8vXz+kX+Cq6IMwVTqLBhPUqBsrTrNq391RhYUVH4MOFGdkvMZF BSGw5GP68uEWTCrIZ5RqOvEwqieUakKZhCk0NvJtYTLQfo2K8GvS2rOJhshBE4TkRipEJ8D/vIZE 3Cv5+4CGvF9iGwXz8xoP4VQvqiOZnDcULR/J2L4sctwoxpp7RTQ/3bDgxQeHOp+j51xi7Mid3AFN J3R4oe7+M3Csn9mrd4cxY3uNhbDDZOq5qdoADXoFfwVeYboScC59XkMhnF/3BpPoh7xAbYJe46Bi ngwJCoq2wyjJoxOIglPSi21oqXABvUZBPKBN4Hv64L24cxwV2KqipgwNGYHjGmraCylqbqzgKrAm d/w1CCou1tCoZqVB9TZQaWTJcI7Kz2sIVKTb8pxASATaHn7Dv9YkscEWL7BpZzGoPI5Bxcu6bExK 3oV7rUji/mRmVgsZdLXUryjx5FGl0Yp5no0nMYdO8thCg7Gw+C1U3KL7yWs9skhBHB1v4r04WJWt KqSFPhOefp8fF2oYymLZWUaJQRP0WovEomWuLyUStSmXQZyRI9Tm6ADWVqllER3RAn+jeWaDU3hQ H8HNzgnaYK3iiM5QsICFJRZAvlg4GjG9liFxyCrbWFLXWT5B/eQz3X2Y8HR0hY2vDQbVaEo6WYgY hdBDNPE9kx/RrV2xjzVc7GPOWwyJYmjNUNNdz8HPoLyQVlB2AHdZrfSraCjAgLqKeP9anhEP8Xmn zNlFcPKWvYYPqv3DxUj40zLAVY022apfpzTqCW1UjxLfCXP4XejD1JeLM6Wi3ht63W0Y3uucsbl7 rzsKpPsSUpAXfc/3oj/ZEdRD2aHFNkO2P3iPnf8FkuHoKg7v4BI+/XnnyxXJl+Og3tsHq/tDSELK 3pWPBwe1ScRrHZ0DBfn99DmKQml0UBBK+1UP3o7DKcd0HbSTaawLwwmOoqLi4//kYjhFO+WqqfF1 TiJ1BzaMfTu0ZDqBlso1lNhHwXRv6kiW5IOh4utgdfcHPuqfd6oco6H6NUXAeQ9602mKonC6EnEq m1up6fEYEQKHMsf/B2WJ9CyjKJ5GHQ42p7X5YIfRSdTT7DIYontlJ98ZBtY/UAA7W60+ZSJhvd8j agWlhDAXHyxhPdEU1TlPaoemSBgQfR9HnSWitXyO3kNqFArJ0eGvzjk6s3XnqBvYpCbSka02G80R 1YbXhrypBkvJxoR20uKNBspGrTyxytDfW4n4FDYQK1dv+9dplGR3TjFkwa/qhikJS2Mnafq8c+QY Uvkc4bEMhQz0snySorAajpGIGotHRef0SHYe1d3GLTh2U/d8p8gxMcPsAHTjgyMDc9ut0f/lpJeY P8aNRC53HOWo4t26LD2G3hly2C3Uq2iqfDSvfECl/yxYFtFgDPdOkEOMk75TM5btqQ5ik/QeW6Pq LXHYcs1hHDBB/fPkTJ3cHU4SBdcKGnHO+HAvtnb+e8HdeqtFSFTEAqyGsdZ5fSq5Aj/v9Dj8lWr+ Z6G7c7fyUO19kQp1Fkben3d2XHmsT+xEothTubstCq+hHIotZpVXvG51SQ+oKXvrf97WfxRf62Jr leFRq094dBIacFqJ4YLuAhVhzsIcAHVT5Q6hjZF0IADJL3mfo0GIKZlRNmiOaOss/2VA3aIIm16B z4kE2cH11NDeQ2yngbPSalaelIQUkSn5dJ05auag806Mw+uzRzspSq3BTqSTZgAnm8nbRWUuZ/mi 0+Oc0O7r6iFbuHdanAWBEIWh5xkHBX4nKGUn4vwkENGJgmwv7GuKMihoZd9lFAXZaD4jsk5W1k9b BtXLesNeRqMOJ6cojLKpFDdlWcXBp+icsdP6RBRqBNEWGhaL1/7+2SU/Rf2pt42mCId2h7qLDTqO IEQj2UHCIqIwWz14P7Ohwo7l54XGKMym03iC47yX0rKXF5MWLxPZuR3c9s6G47XMuEis5vawmtcY G+EirTzPZXSiS6qfL24XRBoocrt0DioEn3cyHNa8tG76XD5ooyF6bxJ5KfnzzoVDsbF8p7LQaBhg g9sURVF23jIWzi7svVu1jtAJ9przJ9CF1NX/zoRjeESaCY1fNHi5utAflkRdaDxn6FTPE/5NBLrQ ggfo3Q+jbK8bRNlsX2/8YRhou8d09uzjyZi7Q+bknQmHFVFumN1ZEKkQi/Xj6J0Jh9Urp/P2pZpj JsN0qXRlb1rMaSFFcXZi9NgK1c842CxBrGrsTL7gPP9VAQtnyiNoQSMBbfLr6EXPinceXHENplGk FAtpfvFnCrh8PFAARfm80+DwkvO7rFbQTOj1LqUw0p4yqXLkX05stcmzYpkoOiSYmkkwvXPgGLuQ iVPo7yHSoSVsaPLmpbT//JZ1ENEGFvDPCWzGT4OgqQNrqHDyzoDjUkoyp94+qPWBjcpeKoq/n3cC 3K/atWRgqM54Wx9RpL0z1RhVsW5PnZ9qOarNImFLV5T5nf6GS4Mg/9YFd++3ur/YOFudHaB+knyS ukGmQDLAExGHmRVn5cX0zn7DDyE5isKklgPnCO6XeyqITPPzzn1j6HDniNUR9IcABrJJigvY5L7t R3spXbPz8/sPm6QFGRFNUlTBVoBUIfphgyNIISwMCCnPpJP4oz1NUcb5Q9EnFH9+iLbFub30ukGA RCH9zWxPg6ojbOpKQm+uzzvzrZhkIKdEFTaUINO92qJAe4r1ubJXR5AQOMDdK7cFoiHeRHsPtHFu szrCEr4Gz/xrR/bHLtqAWDxEx4ESOWt4A9Rb6ObpbbSl1w2qkKQuL8qbaLA5Wuds4IGy0v68s96K 6ZlqIRG0DdpFSXe3RZE2KiDAJbpVZa7C941HhoK5/3I1xnfSmwXBgPjNO1iIVAA67pXqL1BemjRF PScVZIRwp+G39Iyt2NtGcyTzkyopVCq6sRJZzqXJmhs0zT/vlDeemt8lJLjCtnybIe+MNyZ61GOm j4jSWtXWWGYDS1ibDT+7bbb3SPvcOhQSGllpbX7S2rNTIcmsjO3EjT2tLq9K3uLn+8lScrPKpdcN 4kg29OsgLIuDTm24asgp6Kz4zzvfDY/fbIQ1A9Su2nyutijWFthoy5dS82W4I1gwN6v4r4H/VZP0 Hmtnw64t0bvXpXejCjDRaGGdDblVl8ZZg/NSA/lg1kc0j1qV71w332ySJbRBNaSxGrW2AUEen3eq G+PQ+bWOGjBhqXnJ/53phnU0JKRg1p12BZmzRx5u6Dn3tKz2nejGdAR/OJQBfPAa0sBNhw4dNKhO 2jCY+Ga6+4L/Mh99WKDE+R1BX1+siCXQqKvKQLEYKuWMn3f5vNPceK94W21IJ2DTatfnKAq2AcED kDZ3O4/AlbQ5arAENjYgDAk0R2FJG61ZUDy3D5qjszRgE9vUnz4LaS2CABeAYoUYaUA+u1Ftit42 mCNKU7KbYoNttfMVSVntOTLfKW48sz2IVBuEThrbz6N3ihsjdJa0ZbZMw+rasjfXRvXzaE/XQX9n uBE/w3VUmLNxsPOIkKJK0vaEku6aTGsLbtDGH3U9Z3aztw1yNrlUdqKOOWh/IKlQ5n9uys87u43w gP1190NTrYr0zi+NAm0gKxA5Fj+N2nS84zmNsql1nUuymJr+O7etuM/QXGSQcLCtdt7gHBco+EFJ 8Pz2TZl/pRNOYnx2jyMymD7v1DZ2aCVmVnlkQ8NPJKsCbVmGZPPkhJ93ZhvLI9+RNgDXxCLYJIWR 9qAlfLGYmkU2S/hP+rRN+m0jPLZ1FNW0E9v8W5JB+0oGnQk6KexJ0yjEfDbTmT9qUTAEyIw93JQh d9KT30ltJfmtlvMdDEOb9pBe0PmAzzulDdHJTl8zRKqWPL04Q+9hNq4J1tgI0jVrj+JNyLNbvI09 6IHNGYoK2olh0SztDraMMpSoSqGW2QnDIOTJqj99qyEZCm0Kb4wwFXmns1lnAUaeY/pgpxG0rdhf S52vGFxqN8omUuS8RkMVyaYoirI7o+w057VgnskvtdxcSnC37Hpv71y24jaMoz6DHUY4E8ERUbp2 cpQlR69Z1ZA7n/bocwlj/M5lY3CkUi3Rau0aV0AilyfnmWr8jO9RNhbErUOqYYlmdrq3WhRlV8oE 1dw8p62tLYeLuHFMAQm02iT9Xc/ui7ijLvuoqeIIPrPQF7ZN5Mc0GponYILSWqN72LXRYRfyncjG UqK62PJkokwpd8gJspopL68TQr4T2cqjYTbogIIfbtSb+L/z2Iqbeskpw1B9DjoCN3Z6p3Z2n6Sw oM1rbWzqc3Hw7hH4cI2MhHMEnbgatuNA2mRqsrMnnG8IiYrg553F5hWkTm4S5TfOmcufHwpmjff5 OOHq553FxjLXd9V/kP79IB+jMBu/HGSQqyPVT9TiMkpLPujojKDZY5MUlbQna/kdBSgb/O7vDZcC t9uYVHZp4vr1k/ijK0Xwo1WQyGB7J7CxTjFpCydEf76aN8gPVR05n/F556/xHft3gAQdp5P5++3/ zl/j7c9je1FHQg7xMzkjslIXb+PYroSvAf8YY0e0z1Rte3h+qB+cC79QeQMCpxWCQ0jdzsW/QKYp qV1rD9ayPu/0NUIUGGKjXc6h6o6C2Tj7FbCenp939hp266+mP24rtNQ9G3lnr3H9UY0dmUFWwgap kowrP3WotGVM0klGsFuwkt7Ja4YvI7Km+nAP7gQVP4pSn1OuLihZnUlCPLBIykn4q1T6h28HvyTY bkiypFhmg2GQUOpmFlbm/Lxz18rjfqbSP+6K1u79/05dw/KVvBvVCclon1SbwcRAbvuxYKC8W+cn vR9JTZYCk3h+Dg7TArMlZ5b+zyl5YmrCtBJdpKAS/0OFG9daZiPynbpWnKkNjycfNEltQsaLBdvN x4MjKX+HkUj5WrlIrXfaGsGihPDXqekA1q/ZJJ0/tJn4K+obVtR+Z61Zzoi6SG8+eHkkgZ2SFQGs E7/LcQjOuj9rYNIhUuBCy9te989OZBU2otqWLhClMDb7OqH2O2kNjz8NbcwuWjq06LBJimJtSGOh zlbdHYb9S9XZZlpeZ8uqsyV+0vskDRY9pjbdFEBRk3Si62wRQN7nNp0itxGgD1QEdGI8sVV95J2x xoUvV6Z+B0XbsMRK4hK39nknrFnj4GshZXJS7rEdFbWXKv/r1kdq9VAyj2kgNwjjTUMdv9PVWDBm nU30EA6etEGi+2ytwfpIQaGNxLbMEg6AIMjnzAKNwIh3slqRjg+OMjX9izf9oUDYSGlHM+bzTlVj 8vUrIaFM03OzhcBsuXrK3ovNkdYcPGJsUUwR9fw0RXG0jZNoVa4mDj5FDQKcrFvPc1tCVlvMEHQl Em2jyg22tYqikjZMRcApklTSdKkkWMNS4+mcwa1+3klqxAt6GIkVs9nXvNXad4oa1x6zkN2mA0dL TY7tH6N4QrLd+PSdoVZcNYIyEDb4FJ3wGrAqkmhJyqb+RoPqdENbd9V1TyPiRt8JapZ0neWjEICD pigTc88pwrUWRdoPUAsPQm6llwv4a1GkTevgnKEFbIzsXR5GNmsASPzncMmtFkXaibjsIaW7cZXu RjqXOkhpnZbnJwCCsScLSJV1wPnDqECOlcDc820DAtaWkR5VIzgYLuJ8hWQ1Ws54PIgh+3etlkJf bd7o6D3OLjLdzSW7fW6mfZBx++dDoSHEhFMUlbMTt89QrXrMi4nc5yo+iRg8naAtefK1YSolMOqA 9kaGMa27eWunRXH2kmXllqvndtwoSixCSZzDbuDxoOf/NI/o5YWab7lVth7H2YultXUlEyVE1gAe qd0KSHNO5xn19zhbPE1UjpiMcLB1BBL32WqyYT7HOiG74PrRFxbX07xhtpwDekh0hIrGiRd78sHg fmxRcY42H4/gx9/BUVfwOHyKoiib/LbzQ06XbYONlNXYGr2aVGNLxRq1PQKPjKVjiFG20CiqsSG2 RoGn0YQCAIsqHwZI9iNbkmqbZf7JXjdIRcgRKV2Umm7BUV77LCrL104q0qMom9zNJ6fFQu7Jo+we RtmNAeQc3oPMM3vN/2RrVto++73YpdajerYczykRb4NF2Wc1trODKSjZz6cmJnaYrAW2yIKA+NWv oTAxviQqIcG3q8Ea/AwN87p+jA4xkv7wVvB8gEK6hBHma8i68II+SyHpsfEYknEucxGKy4t6TdEb Giu05Hi/HoXZkycSSl4+eOY/N/2WmIsg+QRdDLZ5BZwaAHf2Ja0ZKatHYXZN2mbSZGmuyQKdNJ73 ZFnh8YgXOr/ztcQaqIOQekR8BM4A2MeZPYJc2ZS10hiurIU437rZPWQ+MvEfJF1psDnaQBGsJEPv BOBxYjcbJWA5UpWW7+XPYmSPatprSCKxEmaDWpR6PgMrlvnauTcnng+utl9QdgB9xtNi61GYPSUL 0baH2XPN4SUkiUoSpT0gHMdJitmP473OVoFmYw2KwFE2q2Tp3WX7kBN9V3QkUcC1v8fZ50u4gnC3 IF/DKcQ22zmcuuzAf2apG88HK+lXr7bKwuSeSVFZe/BMasidrDqy2CoC7KXgl8pKasXAxrkdo7SZ 8oujXr446uj3n3SE9KMFNi6PLbg9jJ9N6Yc666O2OfW60blNYERlB2AVtC2E1ANbnEC8n3nCU3xA hGf7PrmRiWB+fZbeY22XRjwBNqFYDLv5z0r1bwS9BrEB1JxLKYq1t6Rb8xw+eH2kQwRpEYN8Xokr i1gtxJNsPiSikeVQSa3NHtW1dbvBtckHw2rBokH28Hni8X/h2dRvOvHRvt3aHuG0yRxJJP2bjSes rmXjafaUG9ieznO785OiM8nmqGrgDAmEnKFMw5QNvaWKw4hiNeVnkaPLvqnObZoF4EuiKEnJmti0 0zR0UR6pUwXXnQoe/xcuUsXIk522cTOSHpa1sTDOV9xCW9/UTUahDYwqK7RldDU1SVFZm/qnA1av PtiZ1CBua5IQ9KNS7b+jfr5oPbfSlcwYJIz2CD4CSiEKbGP4oC7Spm0Q62xl4vFoIXnuPzhJUDpH JGKk7CjcBsQegLbmrbZ8b7eThWZXSoaYmmLJEZW1BdKeqrdx8HC709GHk3RiG0BrdHqdvQLQBHza jThiwtsjCrdRvkP3aG4fhNU+6zYzFDwnW8bjES3b7zZpKqM+k30djSjc7l3h9t5GiijLnNAxRcOM XjYUi4y4Hhe1pcg2hg+OQkaagGBSlf+UEeKjvN3A2wD9q7FeK60x5NX4koi6TvwIWRHt3Li4xDlJ 5zhSHR1wVDwfLKRbZyOgHVONYpdNUgjVlvJvGuWuI6od0SuoWhRezh96JymqapMvOuX/PpUh/6DJ CPxshb+EdkI7n0+p99HAhAReqYzbQsKVPvAdAXZUzNq1ZVyyrWB2NmpqWZT0E0iOqKad+/fF1qht Pa7+QRRsN9pOnc3gvUjsckezSe+eCiM7mbfEiILtxXwNNUEN2aYoQS9JtAVAbOAcaP5UyCwRQ0LV 0G//8zsPfEcEH6H2CpopNlidbealOtuZLTweHUeekDDkxPcDBmVTFMXanf2jPTxrS5S/NlrEmg6v zdAJ1BRFsbZEIsaa0wcvIgH/eNYPmSOo8kz24RIkruCF9JMlZCd4LYro+JLoOMKRjT45Bzy8Va89 X1lFiSwZz0ddtu/0v9AopXhPe0SxNhQ0gPIv2c6jdIV8zlU0nKQFKX/F2iOKtaUQ2Va6g3NHTkae Ae3kkQ0HnERGJDrKDdURoJdtHW3S/EcI0ybPf0rxiINdazAd4Ned0wGPR1j2S69he46ZbX3ERkKg 9jQckvdGUpp+Hu3tpp2otZmo2IiA2lShGSdoaT44KwJU40nzn7PpcOAyscuY90YjbrLYDadd9bYR i500Lfkq9sdXcdWTC/Cu2n3g8SBAWt/nUdli+/oURUVttcDr6k7TqsNIbSToOU1rJG+NjCjQVs42 i5hstOA+/yUT23ORlS0vCYj0D6K5zl4uP3LmQt3fpgjXHL4jqvuj0tZRX7bBukdpNc4XibUjho/8 YrHva/jKKYri7EGU9prFc/+leWH3SIElbzWET5qiOM4WZ7QsHzxjW+cCGmVQMGJCU7sQ7o/uzaRP MAoLvtcYQo4wzqaczy6S/c/AwyUB/s/EN5aRVp8LHxAk//W7gYTwDwJ3PktxoM16fy3XdjItt52s kiHfQrRZFWmEdW0eSHVQYYyDZumc5gjeccJWcLfRQ0LXv8LbfgLbWNjtUu0fUmN83aDW1iRlT9w/ LSsFQN/QYmWSiDoVno8qJN/JP21RxgVqz7CujTImaFpXny4T2k/zO0pUc5I6wTDIRubf+n2qo2nw FhI8hXrnbjszU9pg0TajdQhd5J8xbhVJ1NoZwkdk9Fpk2l0cGdkGrHN0+5eKx6P+yK9ebQfEZvs6 mlGgXZmwte2B9rnMlqWyDJY8YUv05ez8pADuT/efIbEDDs4bmQ3EosyaLS2pobLVWa3i5bTSU7LF DY7v+Kv0D+yYD5qiEz/3LuHCXvD4fzmQ4Lbd+/K7f0ZhNv20gPe/BxI3iXq127tJa9ViKnUzZESS EdEARrfBrjVeCTAd5lYD4JhqCDA5P4k/0lc0VlwGkmoI88+yNoSyuw86jlBy6/JrWRWP/xeyH6g1 o191lhnF2ZlCmZuW2pIeqc2FxvZM1YLIhXaP5iguajN6bFSw4eCwCLDyiOuHlB+CACq0QpzirHRc vemS2ImnxHf8AR1p7DraoPoRPHS4jE6UlPF40PK/JHaZww9k/VdpLIqzsTxgC7G8OwKouWX9hV/7 f3CoBURCJ/aMkSMEsxVBSIuLQQK+dnbFQHmnQrIsF2a9nWbIA0XITMENE0IiTnuGfEjRjxulpDlo q1Wsf2apJ47D40EnsvWvOQIh82w1r4zMsKSNWyrNkVzpeKihja3WqtNHFyH6mqMIOdKXjiFebqOO Wz5CxAQMAKGjCekIjUc2eulU1AMo2cMjOkvOKMyu3Zg12QftNLRSs/TYxsDj/yqxUREZE/1os8wI OSLTEZohmhi07H4mM9pqVlIr43TVFEUF7WXdNeu13VLtyWKgSZcoSA9R6ZRZsEzQD22IV1cZD4et 6G2jA3tLq25pmJ70Q4JdkMjW8HiU9F9NP/XYUGi4wdEMyZDFEhHnsIMp9GDZnZ59Xt847DOU9Stq HwnQdgtsJNYU+BcWLiPoUbDOlOhCXgsFo56a/9bbRgAkOdrVO1iBDchl6T9tPh7M0e35S30dhFq4 29scRdiRWSWV7YbcAEn4iV3l7tqIrR0GQJ5RmD2yRGnT8MFPbNrfopWKdQQ9YWpnbiCmB/qKGd13 L2cTyj6jMJuFw7YIGz0DKl9V6dpZqFxJq+aK5yNVDV9JmBCo9oGm4ZMUkiGJbUV2+OP07OTeWkBs W4tN0TA3Wyw8QhZkYeGDg2+2itS6ti4M0knWmK5kHPIdlu9gxtxJWkWv+1d41OpcPhiUrdOPjFQ/ vmMUQa5fmchyuygqREZBNmTpoTzSbhsyd8NpgbhlbUhoGJgL0orAI3KWHqXewbP+85HN/IDPpkOd DdsS1tzAVNPr1lU1RPNfIXiENnZdOK3+4LTOXdxoJ9RarXg8kvj5pV8DauVTzV4heIT4ml1vYaSk axRV/SSH8Gk1BvsKwSNDkJCUfLg4dtizSqDunEewo2SnNouPgDZ9vhikufW2UTGb3TWUIDDkLOYn 7cK1jNAAxvMRlu0e2uzqoqWJJoJNUqiVTZuoTLSwuWZYtQ0Hkmv97b69mr1C9IgEkKRIP6UH1sTR SiekHOqKnB9OJbZzFAGQDD4yJu2GRydawXdEJTZSRaEjZ4P2x9msmwXJdg5hPB5F2T5HSpLQXbsk /xWyIav8r+e1N0jDoSMSoRZ0pLgx2woR2sz2gXf1wbsiaAfxAgOYDdpZvAETiVZ0+wKwyo2St972 T6ZflalPrQ6sPYdopTZVrbvi8eg0+tbzzYU0neKoiBWF2TBmACoim+TY+WexOUrLw+xyrr1khZEV kyEldmzR9iPLBqU3yPYPhdmNZrRw/z2n+hhD/uh+ZG82/FcEHemSHclVsuJo73KWzrVWt0gje2Y8 H0VI3+01oFAHg25NUhRn07IxtWTVNPyTlhnUr1E82WlP5c4rK5QdYUpbhC0uwpZZv7+dvFEIbZjQ JmX9VJ6Dsc85PIvXs0tK9roBvoYXWhrsRHJQvnYSSjnawu0Zj0dALY+QsDGhLYk38zkKIdqJO4xe Miz5Q+7MS/7Z0dobGqq2kMJAW7L0jR0kDp727xMSEUxDK5GzSVhaG6iCsKSb24NBIiwHXxLMUacC UsdKwGBehgscMB5I53xoeDyi+c+v+Ag4rXFuE5+jkA9JfXFIUpllXVGgvak6QhpSBRuiEKfV+Ul/ HUgtqy2Sv9oi5yCF5x1xI2AcLVkjQ1ZxgeecOy3JhRuZ9rpRfPRLon6pb196RiOGJSFU2FYUaa8r FMkD/iyMc7vcey2kQ5J7JJsSxpB4+R9XHTHGCNgQ1fprK6xnq2DG+qsGnyMgpyBWwP4a+JAE1TRI 01P2ryCbV5sWhg563QClvehz0PMdlNROSCEI6z/4jlG735NaVSz7r70WxdlLDmwSHaEyS+sug6T9 LrNfoNo0R2GcTSmNSttVDXeOElQ9q6rZ55tInDihUYa8BFDf7REdo4QXviTI2MgVTWgJ26DziE7w pGflWfF4sI5+0bMaMT7TS2w7irMHz+w1rAqCgmTzXERkHsNouZbmjhX+CBhdtfpwg0hIRQnJ1qmN XKTw14FIhPkV5EbNk5XF7B3F2XI02igZ2KBlVKH5LQWIwceDEtuvpghKZ+gb+xS9x9mQqOAZtK0K Apb/clk2UWNYYoPak4mxx6IjktEiCImDt454VTbR/KDYjWMATu1o9KNSm7FL/FqjnP8OQdpVk2Pe Rtna/aBaLuXtaw88Hlxr6UK0GAwBSV+W3/07RGkv+UUbwh95/2p+HuXlOe1eDvbfcZzNqkgiI4SD B5HnEDmZI02NzgY7KRWFtxKas41aJcXLkCX720Y1NmmxluKDESKAYuJVVfrG4xET8rtvhNJqXRfI vkMm5CallhIESvuL8f2QQFxhloQSmaYoRI1QZLxPKkVy8PpRP4sF/AfCIblrFUOew3LAyj3X9RhF T3vdSJmFlaMhUc1hTMICqbxCwv9JRfiOkVDk/P9y2gpvAZujGKI9if69pplgsxn3KHVeayDWVAJS OUchRFvoY7EdRn7SfkDgT46RuIzOBT1URMloLdDxGwBMr7Gh5oDviHIR8iGySm3ZRZDg726GvGCw 7Vh15AohMJRCc29exdEdqvtR/hjW9U4+WsPNIUpZTj4aYCdpjmLUCFmiWd3+/OjWnjuH0EfC2Knu x6WWWXiFRwIBJQbQXva2kYw2SwXwUbHBARGZnuk/oHHi8SClrdchi1k/I0jviuwIMwIxQqSx9zRi p1FTlNucvozG8ikKxf0Wy9mJLhHyc7cje49z65AXMivw/X3aaQR3hrHRYbtt7N71tn9pabW2lg9a RhNoXCua8fEIe5S+gyMUjnD72hTFQTYpWTsnox5V8lJ5Gk21IovIEFkaSDvEjIhrXDhFs/gUAXgM vewl9eOzjrrotAWWPA1uPyBZmo4mrU7wHUH8mDlFEohuVyD63DFZUjDnYzYejwpsPkU8nHGyAcVq UxQWsxMFotl0Npua4QpIgsW6I3SxKYpjbKnW7OWD1yDPZQ6j3iHkUU7JGv34AQnl3tf4YO+pt40O I7KyKtNZDlpFUAlYImXXgccjSs1v/wwQJ24NckchNmgiIIhW4/hBmtjPoqqgkkY100HHOwKMgAUI fJ86bGs95/X5pAlOGzcaUP7s5Z7vhI4V4NlQmrHQiGXaHeqNVJXXZCS6q11pEJseXd3HOfB4lM3+ avRDV3A+FiMpxIt0pfxrO6iGTs/UG6n0GsM/96qs03Z9VLCOiBMlj8wGQ9WcCaq5DcZG0BpVLbdT tn6hUoGq+cUerW0vHNBFCRlJNIvsYHyrT4Z+QmF3GWXfyQ+IVBC+6VlIwiHyfScqVPcjfX0RHkgu RG/D0DSJ2GkJsuNYMUH2FEfazNQytetrrpfqBys3UVLg6oMrjcUR9Eh+VkcDGO7irvHDSBvf8ico IqsAkF0gL4HMPgQonpnPB/nIA4nk/Q/2fBp3nt5jbURmm8Raa4iwf9SdorW98L9QuTf/gxS61nC7 1U41bQ4ebNMsdvDsBrsdWDRgR84d+LMy9IVhW3wtRLO9cISMoLWP1NkKld2boiPTcwA7bfEDgkjp BtyygsbOu6LjKuu8J7c4nUZu3rEdabsewloGcAdv+DqOpNALkhitQv9iDTd1g6NG5oLC6gKJBEA2 /CcLOeM53J+ebdn2wlHdlkpIiEhtMArSXikJQjIGn4+q2981AMg7Q1bpzlMUdaNMSxpy8hNKavVJ blrCScLi59l4UXnbfLS2ia/d8vY50ClIPZJ0o3SJg4VEeXbEYWu48jiUVu2Fgz7JJCUC7F8b7CA/ KQnbQ3XDLyKFOJLyXQjAn3s2/9UgyymscA92bvesdkLVUp2thbqQ4dpadSIS5Sb+qAX0SW9IDhdK AgEJCIRiokqqVSpkqJ4upKoZVRAvTaZtLxwkuk0ibf+f8dg5BxsVecDA3nz+faLoj/UFtj0nOXIz n6dYiARpXOlmawzMX3OH2raWHVbnJa5jdooCcE0QxOt88AV1/hqI8fCEgjbSZq6LO+pn4ybMjU7Q co5AMYPfEulHcZ5Saj5ons4R1YUpPnPN56NmgJ/klAqYar/ceQrVSDJzXL/m0ISjecSmBzvQ/gwN UDLzeQpL3Sy/0UnWBm+ZnCBjriRfBGQqk4bZZXcQSSdrEk4lKUR881uiVIUxQRk2ON8hb7RjfigI 3fj8v7CSfDtKdNfH7S+KxJdwyeXqkBc1majaIl92VilxppjdX6RJUrbko1LxwbIVQHjyRD0StkgF RzuZEpuwPkRc7dGP7tPe9w+R7TblL85BNbhzOhEPA8j64PPBtrsBFJ5DHfns/CeACuHbi4iA3dzN bmcXkjxXj+v/oMXqVm3pb+PIWig6wuF2TmBwUqkjdX723oBkBwL3ZAwnNUe3fc1LcCPjFt8S1Jgk ateYuuTmbIkGfR72COpgoBnVvEv6pduGACpdinsO3SPJjD9HbJqW2GVqipC/BbFPZS1n02RfToF9 pMcFs9K7hYMtp3Ngg0NMg4RzzMGRnVrbWE6twP8N8aIbbNJDOwf+kTiGB/smEm3vLtqOsrF8YtrZ 1nw+Su7G1/GEZIwVMZ+nKCCHQCvwt4874jLP3ysniewuU+NN8xRBTKS5NbWe5l1PKFKhnFjpOIaS bu0EM1XgMukRCEVG17ejIUmOLSRp2ZYb9ZI5KAUeYHBz261S+XwQj+df1BIup8eyLTCRhMSdpDZn sSQYOtvaa6WySUEVl0GEL6OCwEXSkYGzjOKDN3XBxFhABdcJwMyUkxT64ScLPvfVeJoobIXwSyId QISXE0RUDkmHONXBu5q6dfD5IA/e3904lPTaowaQAyNJczEFnbT6NGUTmYCuVPOiHPQPfTWF0TjT lnPlNx9sNW2gb7CfKS1xMrFCITNkZyg0ntNmPUqAbH3nwEnSN12HsacNOk9y24PV3XNnVj7/L4AA D6eGuOAClnLoJYkmDa7k7odT391kyVDRNPmthW3p0xRSJ+WTuOcdnDwxcE5aKyUT7r0IfQObatDb Nt+kRcJJObSThAcDuG4s0VHiVMupoNBAg+0EV9LQTrLc5aTIHbfsfA6nSH170Jts8Xf5v8ImphuS wQPD52nRn0O7Lna5EdOdTFMOtut2Ajmsm5zLOTLRLkTRAEU2wC1zGbe9OxUUhIaSQsBVyW/WK7+J IqxIuedeLXz+X5LA+I8BOiotPesp5E8yxjyLNhnihL+tcbr6dmWgNVxbMoeWkqKX1srkjoMFBR3R BaiGiDFPBrYyY0yYP56gAO3cehmUJan8FHpKikfB9rANSoInWrDCm5bF54NTvHxLJ8MOoKcr6ZYD V0lILpJJUUwGAKXM4dy3LrwOjFIzNN1sniKzG3SRSMXpPngsPs5nslGEFiZMY3Af9gHhJPLX4Dbk MUGt9r7RcqIrwJS11HRrKQD1pDJxDvbK54PldMlv/I93khC4T1NYFEcXMVXplJFM0dw3CS7YVx+o gI2naQqr4qTatMFTnINVM+nPdkIoU70tABpTY3qiVpBZs0kXwZSmvXCge78lWTLvYKkd+JrcNOdl +XzkeNO+th3awxDhufP0N8h7yI5UpJPstae6TYIKOkrrbrs4FifSK8nQNc3b7j0vA7V7Krt0pC4F pNxzXgOnUqkFjl1iilwr2QsHqR2RuWgh+qAYE/RDsjiAIODzQc7ya9tllEBWu6yKHHpMIpoFLLc9 8NPu8NOylrEHNixYLQcOTSaXiillNh88aUHXnZHHWVBnY9HBbcIgWNce9Ckc6g3lab3vX3IKsIbR UHTdoWyT2AM4l2nm89F1d8HeevDs37Mg7jyF1fHMQ6lSUpIIC8TUop22TswAaKd7uNp0Dp0mB8NL fqsNNk/z3N7Y24JYLKA2AWcCNUrneBvXr3TJhzt2mqzMgUE+skHH+DlXs4inuUw+H9QyrxtXUw0U tcwbjMdOk2t+V8QRSfHmY0klZTvR0bTzYzywmsRyYiYieff6yLufv3yeQ3qJ7QXDCSoLAGjZf07A caadMkoq0eWa7IUjgi6RzMWwumhcMILeac/NjLifOIofEIXj/esg76CBzivwnkO3ySTtR/o2souQ 9xULrq1ZngdNkjtRsTI3cU1DSMLxyHORKcBWKdpSA4LUOMhTlh0oypZUXfAbb9sbB1ACpr9Junhp efGpdbTi+befTJLPR4rB3zSLhq5NWs9EhUY4PKBqMYQOjHCcN5CpVmNgAuBpFJAHnpO4cwlqQmHe hsvXyRD3pzNXhYp5pwkc+TqNGE7276xXPpe9cDBPFDKr0jNjQCPZyIKbTv7ubU1+QNRG+BVB7fRt GJRj28kibeV+VXGnN+1OCOV4yz2ILtdE/a1n0sVa6qU83eBZwc1idfz83JAOGtQOBJMAdXyoEl8m QbH3DW48GQX23HywCOo8SOWL1mvj88FJXj1xwQE6ySUrz3qKAnKSWJHW+TRVUvkUQa3qHLmGmohN U6ge2Im5aFI0aX7hUVbh/BWJuFS037P0YWujkxAqA/vavJI7zC+JsBciflE9mIMFBlCmqZqmzeej ePy7SAcvIzjP3WmKauMEIuoyMpOuK7IEuUXL7yRqovwuMJ/082nIYJmDn08VVV/IvJxpOucK6jU/ 1O1ChQzbGHFQRU0YUgPTXjiYpy4ZAcWbl7ibuxmLg3E5+XywnB6jd7zgBlUIfvM+UaFe95KP+ba6 Csyot8NUCHJg+SmxOKeJiq1xRJMXdq65rNlZT0TLN5J3KxC9ohxmKKo1GYlfS1wpv+XQgbJSZKmS e6lBoSHeNRvOOfP54HSa3+UnlADOpu/Pgooi8sQED7a3jlWp2W650mVHiXN8ZzfqzIEJpVfHW0nD B1tQ5zYFJJycwj4gKaRAkyQe8FHgLM/KIrkXxV44As2LnZqaDwYv7DQDR9W3Zj4fFaD21/kEumlf T0AeGlHKGbdIxrxLdcHNKKA8+2Mmi6OaxXKOnSjl1rXqHbywcjZwJZ2KtMuUVpf1CdWFU5ISuzfN u71vFGhyIS1RDNaFz0FnecjYDMXxwItSBjtfZCfK7M3bCw69KDcpYYS3adsN6vJYWLBsOS2a59o0 RfE4JBmx38SZb1+ceWB495DEGXpshe11SqiwKSjxbieEd3vhAFzAsnjnctKgFbDQBeMXni/j8/8y yZPeRwHO8Jmn2I+Sxl0Xi3l+avcQpgGgFQyWshnO09/Q8DKo48XBth3w05MuVUCrnH3Gsi98t8bP huVRYQ9JPc6uplRoSTm2JIXVTEAvWDUjdC2kB3NO5cwP+C9ugpQcRWTnExXyMFn43WqaK8GrRlc5 V/h2a4q13XAxh7aUVJ0GGb754AWodh6fi4TeCQO/Aig5AidMFD6PxU4kyrdpHvhSAjaSKVI1KVKF G0FeACd466xw/IyztvgBUSq8vyID1MLaI+eRY2fKyUodIXusGFQC0knIGHKGhz1xAUFamUtgTZlM XqjVfIcbGcwKqU7uvLXwN5HYA82RCZGTkuqDpM/LXjhqBzPQBDSXMsxTogplAHZGUyBsBH7Av3A9 REFTgW/fXDgwqAS8gGJwOF6UC9dVk5VWEgM5GVRtJ9Hn0KGSJaXzrnP5cBtTre4NgiBKvxulI1JX kIcupqY0gTd6T+r2wtGNR3JvIqCeg2p1IDFKtGKjthKYVCJD/Aax0sdzjufGiyJyiIji/N7Da3Xj SgyW2rymCaqgz1MEV2lWgqJ6fv9Sz4eIZxOFF43is3fQEe1jkON6/r2HM3wyb3d+SdTAI74EJTMb kiXCU45V9Vz2fD4yYPJ9R400FGrS1wEVVsixOs5313Urdcs19GAhZpW6DqCyTVOMViE+s6piUJ+K wbkm9rnCaXsygLJugHD2DIlvmuyUOm7pd+1lLxzsOwIOIfPvg+hi56pkfehEmqvz+SjSvAc5+zPQ T8eR4BMV1sh3FudgGYEV2obuMLi6CKzsdJrgUA7NKieVcWG65IPXfqHlvRADUEcPcSUmCrLMZ9+h RDqvfAVgGvbCkSQji3RFjeFiMNCzeosbep0fhc8HkeZDiGJMDkpCR+nbZyrEj2fh6hlyy/Qc4GcU NTMYA5ge5i7bqL45dKwUjzWpqaDsmjM1znWx0wA778TdpUEsfwPMswnCgPxg5TRZd6ove+MoOii6 9IYPdufBMkosZ2R5gWklWtcXoqkmTUFkfs+o0LVykIzQiPm2dNhtvdMip5/p8AK7RdFBYFsJwQc6 mo+SfbAsD/COM7C4kmHUvMh+obpxRTos4risPZO/719HeeM8YegOMji3KkN0gQwC38r/2XsAnkEY 8c7Tn2XytNu+jIQ2DRGd28ruXkVlIGXDoXMlCo04q8sdHmwPdPSpYXne52Rg5Adn4I0bBIA2E2Vp xSuICpwrs5K8c1Gs4oNXDZplw+cL+Xxw5fVvDDnklNt+UAahdyW7NRmqng7GGMmT4ZqnFzUnTNps OcVRuYoGZGNysCNqnckB8JCKX7uhbM7uOfBsDSVzVAIcKaZaXehdWZM0PkndOBvYzVDO7Tylk4Ii BT/gX86M247ytq6ofg7sK6EQxfO7PKr6zYt1J+Ddrj48GMpqnsIqORE7U9tufm07ctRPzsLiyokE S5HuBeWHO6Xyrj/DVAQV+Fc6C6hqVXEwLhn0sfl9GRCo0MBSr39Dcvhhg2Dl0xTKfROMIXy79FG6 2eueZDiPq49C1UmGBqGDpawHqzE4+rWNmSzd1SGXpnNxsCJP5OGERRL0t+oTQrVtLxyF5DyXFpsv HLTtcBVsmcDOyuej5eS9BN2UG2fjjchDE0s6SZzJacsizdZbstRFt7RkG6A1p8ggcLGUcwgqupIm aN1BBnNB1wI+DbSyQAMM5WEIZ0ClGcRZ9P8uaGXbCweUKXozb86xRC2lRzXOQpUk0Vy58QPez/H1 GKMp0Sk/4zGPy4GXJe47CjetPTzYrPt6NbCBKCtryILZTIUcTikTiMPZvjicJ0wCqoeKzaj5DygD otCCIxzJd/3un1NKLgd2lmILAvGSsg/KXYACJQLkHLl6PtK4+KVMhBCOOjQ+UaEsIWLHDNKR4XsK u4bCreR1yRu73SUV4VaqGX+ID9wfbaIBzO8w3AoYYeiJnSiL3q3QaVmE2ktsV2SgwNNS5Hn468w7 2AmVuxoY5/0Xn/8XSBPbNMP2bbZ7RH1e46eqXACA6GJFg7SvOBGkqawitU+eZsqEr9FTTdRrgVXj uoNfd7CGo9IiqGVnOW06FUOKGggk1J+ugwyX9ec1dqqC5uD0znfQ4YRfQXKMbeHplwmqKI9ox00d 4RVKa0v/w/ldoumZrPQiG5WoNZ3jlbKgQ3L+OUiVKqZJ+Bo0VaQSrPXKiHCUR5aooa82mph3Zzl2 Uqs7qlE/nTwZxM9m17B0LL3ODzot0rZgYXw/hXFYEqpMcOKOz2vEVBFKNJsfnWTsR/n8vMZL56FO yLh5V/Of3X2Hzl1TrD6+FoRMuXxeo6XqnPs1ZbA71xN8n9ACLUs6NVRYyJC8iCQD6xKlvwuEJqj4 8xornXctuts2hWQ4WKF3qiXKX+PzGijVp2ug5UMztEx3ZkzPa5iE5dPZom6PI0oeLv+R8rJwckF6 QdPzGiRVqDUyOhLEcD4Qw30yN5SvJqdnnLNoiSmd+Vdike/bWKFO7uc1RKoS7UQjk/TWBiaDMBJn 657QmYCL1fPnNUKqj5S1bUpQZVb35fMaH+GhRZe4bJrViPimh9vnTRxJz+hGhrF/bq8mgTQOXgI/ u/SEwpS1WFAMpB5BLygWnvusw6Trbq9Nfd3X2AjHTxF+vmYfDDF3bv2khu8JtV8jIzxd29f8NODl lLfRoi6YnzpVMcnWJDjBas8eZi8TSkWYnSzMfo2KKuJfYr8WY20Otn7S+aHXWuyIT/YKKBt7FkD7 WQi4S77ulYXVoc9rTHRedpFGPoAyt0Hzk6Dm1vRzlM9rQFTtvtbxw0zkLEPYatsEvYZDONQz/WHY C5P4cHM/HbjpTgcNFK+9vQZDVdgOuJ+wTsnBW7zEuGdJWZVxkirKfS2o/tIkPVc6Nu4HWvEaCmGH GVRgaEDmKDUUkNzZkgMA+vMaCeH8uhcY3QZJomJ/FxP0GgZVU7GEVFa3HdZu9wTasS4Y22HwwRX0 GgThgGYnkp4gNvgFBuTAousiyiY4FeU1eGKsBXYm9K1vXN30su8X2JwypiLrkIOyCMazAift/HmN gOwNn/lhC36zYUlNyHCHiRA2rfudlniY4vKUy+XJ0wXjghM6ESNAb0MbfH42QqDB+OccQWYh21nd BVnzh9oQhopb9D95rUcyxmKRjSe1BhXZzkyRtQF9Jjz9Pj99l68TCL5AE+UFzc9rJbKKO4SCSL/c OUEIcUKvPLwgsla17ttrHbJef5ipltK8LaXN/kddLA0t2FVU2p5WWI6sAQo6rk/HwtGL6bUIWWUT hNOe6owcdALVk1/ySmDR6LUEWfP1PJ8G7zr7+7nh30lzVU4cNM9rfkR3L6wVMpBsgh74RBRBC9s2 GtkEHOwIgqrqpgULnCrPhDHWhjnTyTAWaSnlup4RUfp5p8zxIhCskoRVDqr9EyDLg/es0M87Yw4r cH7vMVQT2qg3iI6iaBIGz5m6XeKrjO0EzLaz97or0HTG3YnmaEoHhdVsK0lImgm5Xk/EccFApU1U c88Zcv7ehWO5sJCkDi5OKL5udE4zQmwELjVR5Fj2b5M85B+YD3/e6XIMpL/nCECIAaSezdF7JA04 JQG6Y1xS4WzFDiJ54DBUXOtW1N5DaVkdIAXjVTa+KPTwkaoJmr0oPJ7rPpOJAV8VFNtBCCk301Ba H8XSUNVEKzLfwcBv44RGLM82kJveg2nZID1TVKENCos3m6Iomq5K6Xtyha/zxV50BMSF/ysUB29x Ngqne5Wk924+WLiI0yhRCf5sNVhS8Dzvk3JvCA8zD3K/zJJe932rISZF7ZoyKKVdgfjdqX2B0xpw 0zCgnt9z1Fkggjud5ug9okb5q6nz7yjKJilGzBGcSm2OqLhthdlojgZtKgfUljQYmfBc93nAfZEZ 2dlMSZ5frTMwroR8ChyY+djnnSNnYSkWjNTPYdYu+NU5/KAsgCPmHKGfd45cFdnnudIy9DGabD04 SVFYDXTySTvctvv8M817HrWrytjHBQC8x9XIy0iRW0xbOXgvpDUIV0ly8MREbKihFwL6VqJ20LwQ JYLNP+8MOewW6uefM374wL92o7zORhud4d4JchW1ep+k+SPZYHh6eWD0TpCrMpeGHmxyJ6ZJwIwZ njqmCygJk61+58dVb0FO6TLOq8s4IA109jRUAVAaOplGZ/0M65ddRJY3b3KW7XXfJ6nRgqlSUE+D TiT8CEuxY96fd3Ycs1+fJDoRo8TUnostiq4XZatbJcZUclbFqBQZWBmvxqLeozmKwmu7/BPnaKRH uzLxPKJFHvyr0RJi/oGUbNCnFQeukXQoVv9558ZhtcpbUIJDPXsB7URFlf6NOPT2550aVx8/Bi0k qBWPtTwBeWfGVYclpVm9EAuhLyvEZlpl081zXDfPd2IcXp+rYxfyc7bHLmcd7XH+DzW7AZs84eSs UpMnabZYemb1asEm30NsNEAIU04kpXKwckaW4s/5SSChE8XYO3mViAFjhs4BciCboyjKBl09o199 q7BimmAdTWk0sowmOwbMURhmU3VwNx7JHOzURrkaKAgKCgzcnNKwEN/jJDq75Kemb28bzBFLRJB1 9kHnEeBBRKdVwiKiODuX/etiO0dkW375v/PhqhMHW38SWXkLDVbwqpVCJrFVqjSGcbZ43+o7crCt hj9mnotWCvGoH8gUlmrvgEVAt9yVc1Aw/7yz4arItqCfpu6DdtpZ5QoE6jkTPu9kOJ4GfveL2Ntw 9/uJ/U6Fw8Qy19+jFc/VVrGlA9iCscDPHzIthHxnwnE98kZfZH5zuIQcGIQOwtrWOLHkpplnhYjB akTwrUsZTKw3vjPhqhvoJFx+8IMH8Uzp7Ky4PrE2IHPyzoSr1jT8qodUyMTeSYoq1pRcPIFvv51r CaHT+UQNDyup2ZH9zoNjPVDip7X4cNtCNGGmufAEHaeK9g0LxQXBOKjzXE+vYa8bRUjUyukUh5P9 AS/sk7GdxYRldQLS9XlnwTHSHl+ThDykgqltkxRG2ogOYaLjk5SYP6sfm4zjXGDqbmf2OweuinSF 6LlVH+zMRmhdyySGe9Gbgdre5yqtPxvImgY1AUfVUOHknQGHL2E2C5ScD2p8nO8gQ50ST593Apyd +V8LiVW6p/MRRdoUX0p95qe2f/lvuQ0HuidEwtptfxevh8CR4wFHYqshvqLOwvl/TTTScPeDRD64 /GXHMB8vpnf2G3cbq45gEtjAOTonXt5JmkJpft65b7h79/ccgS8F+qvPUVi/7vIWck3ms3SKWw0U 2nGzOJKy61a/M99u+Qje7D444I8wuMUi/jr3/IR6CspH5wjdSCzQ7v0h2Ban9tLrBvFRpdyZKF35 Urrg5yyntZNZrs878Y1x+ncHDXj6tm+B7Z33Vp1Xcn6E2wMp3Xklu7pL9T57xGDb77Q3S8rRoGYB iYMn/usEiok3LbgS51dpLPIjyd/wLy7/j7Evy3JkV5L751ry9ME8aP8LE8zMHcHsDs+60gdKTzfI SBCDDzbQztObaEuvG9QgB2PzIaCfk1qpiCzfShDvP++sN/abfxWxYewEtSqboyjOBmYTBNPkQmcn GnD/vO4lk5pIkdd59B5nIwRuRPf17IPFR1CfPXcIhakGBXvZS8o4pgfOQ9jAe75Wht42miLiazPd htD9+JHVPUxnO6GqJ9DYn3fGm52ZX+sI2wxJm81RWMmm9cks23gSaWwPkDJSUTuP+nZ+0jvdjWVm NkE2zyMOXmRD+WiqHz3gBUASB3wYm5D3GSSRa1a59LpBEMmcv6P1Z4MipHTOfuGEduY7ButofV/+ KFy1+dxrUZxtVFx5VbL12NxSmFZ6NkcQLbA5eo+zs2BGaNwXHzRH5WyzegI2acTDik2kkw4kQUNi O+ujl0eZyneiG9cRc5EuOf3uzrlnmU4557a9xued54YYdLTvXKR1ysD5efTOc2MizSRtuzpsWiSo 8e6f9Prl3b9uS+2d5nbz/i1L+F2fXAQNo5PMUMiE/MlBLClW1Dk2Vf++ew050eed5WZNMfgw7Dto joqKL3RhLJ93kluVhsjXMspAYHa/1t45blx8rKMgsTMq4HTBoNKpGycBXQBENEVhPRtJCDi2ywdb RhNaA7VTdhEg9rw5RRuxOH2Z8eY0akJfv+htoymik1cmLSJlp0UgfJvKRc7i+rzz2/DHpv4rgkQ0 tf00eqe3VZOSPUsneV9tw07Oytlq8vPmr86+fWe3VXfO3YkICA5+GgFxDMAJXRg7lCkJ/YOBTCOL Yz0ndht62yBdo4TCSNQw4aDdAUkrs/Eu5fPObPt1YDNIgL4uelY2RVGQDbY+dTgMa3wury9FM1Q3 pGim1mznJ/250QZ5gBxso4GRtOjLg6QfZJssOVQKcaITRY1bt4NPet0oE1HkyGJkQ9oighUk2nnW /8yUy+ed1Ybfcfsk8eVgdiD1BE5SFGVDIB2UEV9HsPD0SZp12SSdP9Fr/u+cNvxI6IdM8hdtsK3W z2FxDr1Btvtg4Y4HFjQhMmDr2e0YMoEln3dCG/vXbBxVZv0cDD1bZpNE1/mAzzudjTH2d8WfNK0y 7gy9x9hS98HPaPk9XT2XQ9bLdP72gDeiZigqZifWjtYWAWLfGcIn1jQmY2z4nFHLAsRAltUWmDXr NkWYhrxT2bDoF0MFiZ1zsMOoo7WJKTo77vPOZCPeI32nIbBcOYeXT1EUYvds6WzydHZ1Z0bmu57O jQcHUp7XYSmbmlPof/jgMBFg+JpwNPAampM63qub8PdP+dLmErr4ncfG0Ei2ueUOBoOAtjBPo4Wf 8T3ElkjI9xwNNlF8jqIQu7LcX+vw8JF8cwOKpGbKCZMKB5qjsJTN+toc0jTl/f0zmYb0BXhaZk9k QAyIJgML1C+KrBXQYtw/hw3Idw4b6/WktScqeFe6u3ODNPBoVDw6Sc/nncP2q7uG1wM7fpC6rUmK Ymyw7sjL8knqZDoJbiQ8v5q0y3K1dwIb9joj56V8dt18Fo2jceYIGgggsJ2kvxAOMQFlWcgeSqbY lAJI7NHPO3/tNvtxy1J6A0UV/vz1TDnstEDpz3z+XwktJkm6Cw/mMQqyKbec2+gOUS+MOWQvMJzk vicqPZqkqJg9VWHbjABuoRnFowS6DAURJvzA62CbtiF23UCwFcIerXhE6to7c63KkQvNpnQHnUjn I7sA6uczPu/ENb5j+XWtNST9PkfvtDV86ebdu81RmGe2WwuUzjYtVMtI2y4EPv4dZE+pBc5HLXCD M3py0MmFNPPGkU5xtwlExIT3SbuWHqSHf95Za4Q0aAWxXVt0wKB41E8sRyQYnLA+76S1+vjBax1l 9IvpLG2TFMJG6MXUOxJbZWvUysWFfy5zpGaYJESTZ5djIb1T1qroP1TDHz54B/Ika2d9FJJCGo0q cSRN9M+ZQAAw5zV/AjI+75Q1gxDiuKbTEAdDH0GUmGzRMufnnbGGE2Gu74UE7iOWs81RGGdTdxJG 7equnX8mM4fJbTsWe9EVhUzRd74aPqkJQqvS/6MGBOHMuuiAis12XmyDpQwSN34TVGmpbeMqy2xB vhPW2KOQ50lZPmiS2lnrZuWx+Xh0Iu2vSQL+p5V5F9J7pI2ogVuMnRdOkqHUIX43Kf3FFuREq5Dl o3ey2nO3bTbzOfixPREwGYwfUngwGDGb6oXyAMAkV2KZNId3shoOUPCNu9R0NRiQFhq8prBcPu9c tV9IbNrn4KKc3fsi71S1Kl9D1NWyy9vU3qtdbaQ7qsRGeidP7Xem2lcLct/BEzbc9Rm8+hNoI1Eb 7ORCWwjdZNgworGqpFa1kXeiGsH86hr17oNCbTj+UvP1RGPt885TwzJ8MFpYNCTspOWx9jtPrbrK 65AqEmojQJjb1QY6tQFHBlD+mqQw1tb9P+i3wMHrkAlM80Ig20mZ6TjISQLoE3IE6JO49Vm2t43m SP0QAvs5aI6AtBBctO/xeaeoVTN45YzwpqdAU757LYSNEFszrg0jTmqfokJZM05RBupDUxTDRlhi Q1fThjtFu8MwgGrm5wjfhXqlHQdSoxcjGFkWamsZRdVsNFopE7x80BSdPK2RJIoqzOednMbTzC82 vBz6KDDN9imKQm26cLCG7RnbSC4IiBzCW5DVFd/fmWnPFEFZwgafIphqbLmbVPB/hiQWwfNqQJes uu5pRMToOzGNhydBI+BK2GA7DQJVmiJca1GgLZW+ixhN6PhfqF8LA+1FVC1zH9HVdUzLQI9a1Ej7 pejAKQpx2bQOeNtokA5DIEwRqXQuTtYpK8j9DZYqANebUyXtgvEdwc2fyAaVXAQHA0Ts0gSIOMcq Hg9CyF8HNiW+2nOphWF2LpqXaasoNaeqw+o8Wd5PEr6mKKpl2xR1elFw8EvtpCLn/mAu0vZGW5t1 2oqOGoILGM5cG2/ttCjMXtLXKlpG5S6jczVteXWcQw+PR83+7+oRkJhddFnSZ0Jwtkps6aL7z8Ra tp8WOXFSh6g+R/09zEaJj+7u0Hm2wZYRqf2QUcZOO3/kXMx7R+ZtAqTMvFG2LAN6yG9Ui7YTF8HB cH4rydqzncsUjwf8kNui5b3P2PGWj3oUZENom85m5uYJMWAvsIHXYTuNFhiaogg0Qi3NcwrhpNFg BbYzuxBr406rZCaSnE0DIGiQmFyb5f0U0OhRMbtmAWv68oFztDbteLh9TibSoyA7lW+MP0JuOg7Y HIVBNn1zs5TK2dGWtTAxI6ua/OaedKrBndajYrbw63OLbSRanXh8sLiqutMG8ME0FehoPYMlsqAc fnVrJsFHPYqxEaWc9QPlSww0ilEmcs5T4j2hAYjnI6rjd28to9UGvpPPUkh2pHZ3ahYRoRfJRJbU 9Opx0qbWLqPsHkXZkwj2LoQah5v3V+jqDwknn5NpC1mD2u5CMl32ZasZGatHUXZVIXtSDripL80G ZBms72Du+I7BJG1fSQSYoDa6qt9rPSI8jkJ7vGG9EEiPTQPWwJbZUWwDZSXNURxlY3JW4YJaZd4C 0tkTGfVUHtqL3p6S0gLKHliIs9nzvftZiexRQVud7C4J1xMTJZMXOaEJdD6wkuqeeD642Ub7td34 BnchxVE2NX12St6BrHlaCLmZMgqevbcvpL9pj0vFkXWLIxACLhC5pbZIy+A48vJHtIpSZGbD6h5J VG7t72H2+ZLapVHDNi18g+jNAZs/SOCg+lnqxvPRdvuG1lSWam9jpEc1bTCqAT2m3Q2LI5uxUJHd Oe4z5rSVkhk4t+M4m8w1irVrcGjNWZwbmttIyjNENnOW30T92VR8AHvuymza60a7TeW1whApgcQi iB76eBKxO2lvwQdEQLbvOhsjrnL5xf091EYOQwItGqZZ4GO6CCMyKkBjnKkhuAbSwJVLKQq195I4 eZ8+OEC7nwlslW4T+GMWA4C+Kml+RVSea0xJkc0eVbV1uxViGTUYSGvPpVbt2Ql4PMj82y8GGwzh 923V9gigDSgDymzNjJgTpaHk3nkiDqsmLWDdVELqEXBEtcixyYY4ByTfmA2knk7o1aliuxIYfoSN cm0BnETPNj+3aRKAL4miJHbXisi0xUFaUL5Xze2EFAWPR+tofV9uQCS2cROSHkbbSmvn1YwcNVsJ 6WQ4yaKBVSrbI52fFPWzmyZp+uA0v90QBzTWIvdZP50SqYPqMkT70dJEtchBomiPoCNss0HmJPug FhIgm4zvUYHB4/9aSJWThKAdl7KRsaNwW55K8FZ3XAQFyQwX4dnJ3jL6PmfSiKrak9Ltm/6SGizc nmdaUJpieQRSyYDo49jCYgfqZrKWmx7F7RGF2zjBUM3OzQchkPL5FcUWPecdHg/C7eFzxEYpdbvT jQBGFG9jQyGz3eYBm2u+dAhLVBawIzBoEmM9LGpPaULk6YPj2GECm2q2WiQA39A8WBs+tTAMp3iB K4wVe91gkoTOhoJoJ5P5Z6iFBHsPNrgHctsRVrV/kY6BPO8gzdskhSDtLMH26ni/vB1gk+vlRZ4A YVnAPaKqNtA4Z6I2jBPOoKYXu/4dsWpGw3FWUME6mcmLknKoJ5ZxO0iQjhn4jgA22ljxr0SPVFZt OEWT8NQfcdrxeNQd+dWvXcXVWTlFUbTdFi1q+0xeZ6tuG3gWVHH4MTlx2mtRtL2ErBksom22wzlF 51GQjruIflhTK5mB14JTKxxZLu14nT0/8B1/dSJbVmqb55Xz21t8NgQyeDwShxhf5xGwJwBH+RRF wTa1D859n4u3/ZMRtUDCTF5nK7Q35xRFwfY0uGhKPtw6WwWqc2XZviLBwhRldBwaEpeThVwGG9RO +LrReYT1MxOD7UnVad5GEJiYxNkCpYrno3X0jY2Ar20rF4U0wmBbChqenxFrY12jkradR4T6W7A9 omB7qcRoFO3+aCHXggSN2QOoIs3sc6kL0VAegdibraNNgv8IEdqblbZEUbGd3CThnExZKtX1BBF4 PDiN1nc+wsS2XmTtCBHahPsldf25jvL29P/kDX6t1eRSYiNCaCvGhm62D15pSyj7UwgCItHQJJIE MKrJEKfr1ygwk6qH74g6bGSLQqHRBm21NadU+9vuA48H8dFKX1utqK7lbcgRlbQlWHtmyKv+52c2 EDvU0Lrntbv5rRbF2WrVbhnjQv8RAQi22okgu0TbUfY5Ka9S33NEYxVBlgRVf5siXHL4jig6Qlo7 NVMzVz+NzjeQmyhC7QjD7PWreUTcyIkBfY7COFtSI6W4ReDObvkO7J0DaxMuWc1RGGcvUY6o0s7h 7rSxqc1N5lGBpjp9NmAzP2kQTCKpbTWGkCOMs4k+TrK5SYRQJUH9NyIvahucTY4PCJL/+l1GgsQV RAd8lsJAe1BZtJlOJsPJaudRlcgokRFQjdNmi8varCIphuw3hjzxx0Q6hQiMehr4g0Cr6dTMQ9Bd 2OxS6R84Bb5u1KuV1shKkhzBFHPfNDTxWCHZueD5qELynY7gDj53brVJmmFduwrQ1pLJ0pFTK9M7 KFD6JCU3vZuxbB8D7UzVTA7OqT1/EwU0cfkDIzHILqmw++mgxoxxq0ji1M4QPVIYH/VxBx3akK3N uvxLxePBFD1ZLbFaaIunW7SdUaANfR1gaq10lFGaN1wNCf+GjADu3+YoZENS02cp01jOCcYcwUV3 QNKVRVsQj0kYlb9LhT39U7NFDRbf8Ze2IWy+fdAcTQgSaI56weP/OpLYb2JEOm6zdkZxdhI/q897 JKXi0vWFOGJy/NHG0pE0QzIkMbPwivDByZBrrVELs7KTm0GHM0uYpfxI9xZtANd/pBDCjAvbBEas egcdSFBnUlZ70mY8HvH8fnFGzjqEw7PPURRo0+/qbId+/Ueaa/idVLY4YXSNbrnIDMvaQxkGiUUc HBhxfucTAjDzbzDP7Ay0CzOlfX5R0rPMX5JothljR3hcN245DqogtQVpdyb+KePxoOf/qxbJkuaT i8wo0K60bmtlOHZkrGYF23KWUTU+RMHL8cyeUaCtQ2am1X3wgi0gkM0CpJPGQQb6h+6lE04NkEGg DqQ0kAjTniEVkmi2tHbyQXvtxKWDHemT9FQ8HrQi+/8WZqFmp81RGGcPCo1cDPI5drZvNVTHbKtN bH3NUQQdMQxyojc5Bw8izzIqS/7AHZIRmTI3GYZ+ELL7QcDpERJNJWcUZ1fiamqT40hzxxHESpTa P5n8GHg8KrJ9149IGZhXy29G0JEuxZHky+j8QR5ns9JtU1S6W97OqKQtMVr6hNrgNTbeZZAwg2vJ yfkng4SCC7WhpL7KeAhsRW8bndhkrjXaIkEL2rJ+SilTLvMc3Xj8X5hIngNUGsMhanMUMiHRwIGF hgseIvH3rQbnRQWRvbp/8gwF/UgogvWlDzZH7SyVhM3KrYY7jXaKsJtWutTTeKr+W28bIJCW9KHq HazEdqJuOY2c3YfHg2W0v6lHiOAaDhabogg7MmVoR40lTZE0bHBgN9dpgyhLsjh7RnE2blCgs2nq t2a5y2hC73lISauDh6S2AErQP8hRzmmE09rq2USyzyjOtqO6UHTsxKxJWQWw0hIg/Fk1VzwfYZC8 NsJ1xFJEvRFkFGZLTqMPR4+cLG35rZZ7cQmkuVxxZMaKIxSIov6hhttjo3Qd91o9xxpCCoRFDX8p O1T1udYYbOBL/gqPTkiTfDBmDX4VQiNOOoPHoxBy/0pFluSFNEcrirK5/CDZ72i/2VwQG4U3p0Qs OqlhIa0IPYLaB658Hksrp3utAR+JDlLmeXSCiDJ0HkFYPsvl1uU0RPBfYTmbt9ronCkOVmI7e1Sq da1WPB4xIf3mx5LJm6Taq54ZBdlErZ/gaLhQRBGhdpJ07HoIm9JemqIQPdIF8pdq3f5SrcOthkK/ 8n40I0g63tTeB2Sm5YtBmltvG5VGpqTY1Kk9+0zl1ZMK8vCHxlsteD7CsqXvTAQilj3f8GiFItlV Kdq6B1KbHh5VrmWZZSDn1SSF8JGlGi3BbLsTrtiYiZwDZ4NZyxIb1N3ImYCt3ZmbBYTrfqKjc8vg O6IS2+BBxLnioP1Ra5E0xUlI+Pi/VDRlF4H22hVBWCEXkgT/nJfpiENJ6xGJqsuxI21ZdLRChDbh srPl5oOvo5OtoWpNhhboOpX2m5DYALA2w1PksUjeetu/ZOvaoCA0B4NE5tqmqpC74vH/chqhfcjl 6HMUi2Vnyh4Zg4b/NOh6WrTSlZlIJzEbcxRSIaU0wrKHBpsjKB8MBqa4185WrknGv2eRj0G8f3ND 27yHvW5QZFOfVvI+QCOZtt+CQjBXLtwQ8XwUIH2nIsDWjnYLkSsKs0nFxcz4md0IQBfVb7pLq24N 7bVQcWRKqZZndnMJJ2hEQiKyCeq/sEMyynHQQJo/6JKcw7N4PbuwgIkviQA2xI4kHUwu+1aAiKCL GzpQA49H0JFfahogaIHxaXMUQrTNQ2R2K/l3EbTkf+iZ256r+EKK6tmJ9LUTSRUfPM4+F0hqRj5a CUZBbEF2dmjpevKAkMiCwpcEc4QyfaOFHwcLtM86EmIK1aCGx/9F8XegFsJ9n6MozkbmAYFRTC29 6kDENspIn+xfVyiOAALN6tEKMdpdmuvERnCwzZYhw7bXMGXxBM0CyvqejHJBYNvUfQQcmUOvG4Ei aM7qeppAMeEPAz8ry3IUJbYVBdorfUNHAf8Yc957LQRp0+qXcA+T0VzJ3Q2aqpBy7ZnWglxxQZu+ 9iJFcvA5giXkMNOefPYa7OgBHCHWFGKQqASqTVsoTIUvCewxmO/DX80H5bQnNkksJSE1xOOR/Hr7 vvyx/08UexdSFGivKcmR5YH2mNcCIperNTprMyT7CgNt3mxd/bX+1V9LKLCZAvuE7+UCWFm9n8mE sT16Y2sMve5f3SOo6vigAwm+CfwbT3BR8Xi0kH4F2qgq40U1RzvEjSS2+1P1+lHerg/N68JLbHSV wKG9o3L2EPQIOFob/EBa1PfZYkMiIkYPDjYr/ZwHOIWhNGp2rCxn7yjQbkxCUJzwQesI1A3p+qbB x4MSW/1u01aUj2AFYFP0HmiDVkPtY+mws1IrL23ca2ubbxaEmB1as2PJEWpjqtLG4TmPwIkgPQt6 BQu1NSjPYcGhUptBMPB7rdnrRnNE4FEhzY+DSmxAYAhjtfbA48G9dlWiFFido6hcpdEdwrQHcZuE RqlSW01QE8lIMXYWEFZ2Hu04zpaeb7vDDSIBgqnK1054CeDfD0nxZ5GDRTaL10YKjXXxHVGJjbya JrOD5mYHGSpvpINDDgePR5ojlzSCyARSBF8A5B1SIQdrbGzlme34EsjmbK7SXQEpFz+zdwgbkbJf oxfmbOsBaZ+/ZVRS+XBmTzWXkFnhWiHNbz0e0bzXdhRpQ/UG0t4kj3CwcvamlzkdC/mOkfTxbR1l y2rZmLZJClHalTts1+yciJ2t+ghlIZfUgASmTVKI0tZCmpqk+TVJ8JkobqSdJqDa4kJWuH3TIOgW 2cBvwXdE2QgpEVW12uq1WvD8uuo/4LDtKM6e+ZvDBghnn1eOdUdxNg3ZsjTyTHXkGmWyzm9GmamY ktYOYSPC1ux5B8fWVIA6skr+53pc7BzBX4/NLVTc/erP+CX4tkF4BJB/W8xFNBgmAvgm4WrTwuPR FN1ytqRGEUM+51GEG0GnEFoj+fL8oLRvy2g210Bq8OrTHIXKfiTKztWLDw7RTifC62b/NFGo53+i 8+ikdmix3U5273rbv9mizNc4aBkBPiBllrz4+L/QR6wRZNRqryDbDlVH6AzRcpEUJKgRnqPR+Uvs owkemjSQdljOlgZSat0HW0Z5nCVE/CA0kKATxXYuNiAAUu1nV09pC32G8R1BBJlUhVx38GWUKQCG j9l4PFL1/baAgsbciWbvFIXl7E5fNfoHyqFmO4s2r0b5ERC04BdhU/Q3PHtleazlL7F6uBh3qx6h NNs5i5UMOxRiBK0R53jb20aHEYlZQ1jI4UpacN4axA23WgceD0ojV2yMrX5455bsMfYOZf0mOWyM ScQWnd4tOofINfE5AabR/HaEGZlVNnS82zj4FJ0YazfrrVEoEmXvIaQmVOphNuWxEQu1OxQcIePo RKTFBwHYV62s4kDSYODxKJ/9zvnhN42un02RQXTiCts2MA09fQzlf/JxSLDgnycdpbt410dFiYjU n1iq5WCVkXE2EIKIpsoITpxJLdYMEQTkHKk/SL+17YUDwqgMMbWS8iAsiPNU+yykMwJIyg/4l6MY L7YTdo79eEOkUNyP3trnxzBpFpT6mzL/RIaftNgpzSUt9hSG2p142V3u4CWkczWgqESbkXM9bxQ3 Zm/lHEULmoAFqAMX+Wn+wpFcFOVqR70DvyXBiFZb7ixkPh8kJP0b8dfJn0/XaCSFhjVosyWqJpj1 Y8sOZ9twqlKlbSWX+cFHBSBt8f2KMpNy3fsg33yCsEqrbCggNRBFJ5n6PwvQdFY8rnlotheOZOvJ 9ZeleAXbW3SRdrISOqCDoLb4AQF6tH7L/DXs/PllEBXVtoHnytCM9fL/KGn4RHmzG060LhpFPHL4 URBnKc0Hz93AYaubWGNwYROW7+wF/8kCgoSWMx5Plm0vHIkiiKtFaBsHJbgpqapDfDqfDw7x+csE AbFzW+WZqCjsZkpzQoE6/Yiia73MVh2gDLNV7//nFJrXbJkfmSntLd5uyON03KFkkEJ9fRkTqcuN DTGhF5QIh+O3BK0SKdjnPn3QSX62jwDbEFzk8xGp/Xcj4Ny661EeV5vwvX7PJI6nII+oisUoxtbY 0+CSyC+Wn+WxrjazOMtT+reBzYL2CGmkJwTZkLdDBReYkkVlJuwgr06mbS8cIQC553pOPtiV16o6 NLWnzecjS61v3DaIDgModZ+nWIsEBQGZ3/6PiW26rUanBIpIW2DI2oKKInBz0ypl+HCJ24NtJkJu xznlsww0oQa30dyDCOd1jcAfy2+Joif2AXKrPmiezpG4JJF55prPR5DbbzQpm6P91gQEkXs/fwlw X1w5WxKSBpUspM4oNhigldg8RWG4dEbaJuiWg115q9J3dFGnHXAuYtwgvFl+0JPkr+MRFBDMeuEg V2GPMsurNtc+jPIAqwWGI/08xOejJuX6uvJAzxj99pZyCkPxbWotV/e3uuN6kdW86pT1mmulSJZE TIBzuxUfPF0BVm8n+f00ZF+8HJnhCsvcHv3o7u8b4SXBllhU69egxKMBNcYVUtrg88G2++VZi7Yl frk7TTFXkjwS/gdStFdTaShV8jpc4QWuaQpr3nJoy4zHOfiFtxBoZspsk7s0KfuPIgv6O2hwrXk5 bmTd4luCKlMlBXCwicLBqpV5UvmFxEM+/0/mDc8nfE667gg59I6kiHlqkmxHapdHs2IcvO2siHJ+ +eETFZhHnquIvqw7zemDV1FOPld2YwjVYJBAEjcU7QEuAWo8o3pg9po00M6Be6SxuAG6yD4Iz5XG WhIZT2Py+X+JJjDRmTS1e+yRQgPJxvdMPMF8RVmZIG9520rrZnafqAhn4jrS1LjnYBO168xYPyw3 lQFXw6JFR8+UDlbgFbmjH0n+w0GSLf3Ztg9WSwGMgq2mVSqfj2Ruv9VJtZ6eSDP2kFQAVZLXLfNa ppZQWiJtEqWC2aaJbufARBLoQGLeyJvU4BVw0EpGoY9UPVFZrxIMBDaEfqfjaaSM0ux9o2ZTYz1u qyznEEoQ5ZQJo0vH56OCyjegG55vrVyB+xz4SKJURIoJbR81TbV4Xa7mW7sccBWw1RQG5Ju8UhTs bdBqOu9youCCsEySyVRPxurCiYVwhoAIE+Fg/zsHRpKuvsl00QYdKHBbqEZUrnw+Qgn8ip4aIoP+ rKbQ4Ibm7LCCt9NpJLdHOlcGN11hq2A1n6aQP2n1FAJOONimAx43WYIH0Ok5YHDgF1hJsX6ZIVhj eYvkk3LoJrkYjg/Zt4zK4BrLqVRQyLmcYEoaukmWq8DJHVapALJvmBnYSarDz0bK5pSgk7m8QjeJ kOVE7Vm2b7sYdCLLBLHf6iPFdXbdwh5jFXOdmVAVE006QNAhpzFuj3d2f+HoeCImZ7M7x8H4b32S u4/KeuHzUe/pGwEPLwowPO88hTxKUk1zX6ZVCrdEB3lVShkTd0KLWyXCoaXkojJwz+0Odjx1WNrn KlupDug7QiYo2CAuQE+3XiZlSSpBhZ6SNLqDV8Ud1H0C/HfKma0sPh8c49fpTq7BcNh9jDcDV0mT UEQkWzwPllw55mnw9P8fgKkyGgs2T5HdjfK60eicyMExA+Wkb9uA8KjU5029chxoAw1P3CUeFdRq 7xstJ3YOSus+6LZDr5eBSDsnGJ+P2AL1K8wEqoRq4D5NYTQOOAnQ6haNQ53DXVxXci2l1aZzTnPg LPllvd188IrmuWI6jij6AXcaM1JoGub2KGzUL1l3KmvwWyLte247E56qyQu/KGlKDOdscT4fkbzu tpPW+Zl2xBA+UWF5HCdhmmk5r2IKLUiQ7nA1hXN+Lbe7De0lcbnTeHv44PiKs/HQf6KVK0zct1Rw QJqGvgKDkYfD5C8cpXfs+gpfWS++soLTQrQ2mhJ8PspbPC7AksqoUK92oTo59JgE6prI0+Qo1GYl FtTIk2EJ9oJUhPLg0GRyUXlqpl59sI2H7QbRI1bRyKQtRMYNnvyJIHpHfNPBhl8S+U2w5CuWDpzU deGhPK4oAbImfD5SDExfGw+s/I6r2KcprJDTQrEMQk2aRCi3sU+7PNw3JUxgIsb7LjSaHORNzBMB +ODneAJdfdCUA0zGLDsuKlDyHIfDni8n+XCHRpO87s4kAf+lQcd4Bohf5IEy+Xwk8f4NaQYMv62r PZljp0lE2enca7f61Nv0qkrdtrDOdZX8GA+sJrGaCP1Sz6lfL+5zOE2gEWU1OVbNLB+ih1eARQXF aKSLIaQ/Ib/lL/UpxoYA7gLVahoAJU2tp702PyAINNO3zntH/jSvzHsO3CatAZGa3CZRCCqCOfF8 4rHG8ylBpskmKkR/k/HVK689DjZRUA5CqY6tqZnOWVVRfspL2HxU5Fprz4237Y0DPAHrmZms704n Xe6VhiVFIhXESfl8xNnxSLMLcImTvD4zFYt0bylzpx93wxl2fOdGIRUhCoBKVUgemE7iT5Am3ug+ OP2rwcs0KXPp0MUhgLUCRdDAMMts4lnDfC574Qh4QaQ8+A4YQHXiKoDel3QdwUzlB0T8+IvgGRYc sCPtMxXiUwbP7+IVA+qaeKmOkuoigeF/tZmKASoio7biw8XwAC83qCMwYMO9iYMGCQa2xuMHtc3L KCj2vn9UDNperNhxsMoK6o00Dzg3BZ+PVtQvJDj1Ucpz40UhOW26oJluEN7cSOCyGOpKUdMv1KYp lBHkVbepC6TBczwY4wywZqGQW+qW4FAvRNCjOLCv0Svl0PglAQKDynh9sQDFwSKDc5FI9v3cYHw+ iMjTTfEYfaMyWvMzT1GFHEzAnPDqlgu34mpLwiUZTmVvw6nkwIHSJWBXkYVQcQshkCHOaZkSld/R pUqTKV6CEdPgRob9K+xksfGWv3AwUQzGWyIcg4Oxwc7GNXGzPfn8v9TN2MIDZQgf6fMUxeS9Eclb l4NV9nDCpXmosgJ1/prp8xS7vQumMpIPtu026gRDmkvn58a5IrQKKlDww2gPoEcScDl0oayE8kCJ wgfFhqsAtvBDuHPm8/+S75BQHsxCAXD1eYpC8kQKRhHMmYiVdktQXcqCRKwgrbZ997fj+1Bdc1xf sw79+L43y3E0gKF5BIUpG3TYxw+xFfTwAlKg2AsH64nth02KiQYDGS7UDLDxzlTz+agG9Yh4iLMx 8BK3WBfaUU6WftH38p5Luwf5kJ4XNQWvvVmO/SglA6ve8Or7kcxtJ6SfSTrnIHmi0YUGO6VPU5Im uzfPu71vVNNkFpxlupDddAEanlmlX5bIA0fKbxVv0p4GUAlXeTGHlpRbfN7duhfr2nY1+CrhE+B6 q8JzTlMUky+RVQsrcRw8MkjlLCMxMUdZm1VxmKbgZwRrJX/Be5aKdYErpSpdkDlb2QetAOh/Ckt3 4gM+HwkLjq8cjxpM62nhxb6UldtUC4f4570dIz6lFNfo4LXvPMVa3iSINYDUNFx0zxrQdWTrHBsO GkrnPEEdlwIrha0ktTq7elOhNeWgNQUcCjngGJhK8iCLSTm8E6LxA/7lKkhIHTVFr1xFjt0pCYOC zpKD6XO16gqCErel3oAs2URFQfkwCt0YPngunFDqX9gLALWeZxNtvFBH3xTAgwNXBZHy9s4Df0qw tQlXQS7ECOoMXFEn9Eg8PX7OGZb5AdHO218rCkROKtb6RMUxOTKISvQRiwa1TVdkmMWUBypCapMb yIFF5TWCT+JlpoeXebYtoYKkQZ0LBiwBVDW5R6GtWFJ9IPV52QsHVc0xNEM08oaejPINSKpQwgU9 uckPiC697yMKSiK9PWleYFQJKc75aMTpn9TUIe1QjSpaVaG1YBMVSaAMtuZGEbynPPCes59mHpll KGjmbiBPMFEQY8KvmekEbzyf1O2F/9p6rROByEH1ujEl+g+5oczn/1Um53NEIF+2eA7dKgdzLFxQ Xq5L/YqfEhSkuiaQDjZPEWqlCTAOvyIb7CiHYtKJqdhOwJVHDQPyM3GYnTgB5tHunbu6ve9fll4U 37UhWTJM6wCCewafD1KXnL9iKNhDnfT1VslrWCWnzEeDtLcX65a1EE5wnE3oc8/mXky5hiE59bp6 EgzR7X9RhWr55POLKAO4xbDcCsvqMwD1BLmXW/4lYZffEuy7ZGiV4oOqbnAGHaLWrc7nI1jdL+9T KDyDZOPzFJbJVdRkEZhM1szyqIC/vZka+qaluIqasWkl19FgS0WDLSe0nJGTdIHFzmJCjHW2HSqv 9OiZV8fiBKLNXvgPT6ZGWXAbbD2B9slyyTm7+HxEHvt2HAJcB55Zz74LJVF2ljUcbn7aDoxuZU1k ZuRCo16HZoHKmqFxpST1i+xyOGhBTUCDOvWEK1jRI1HousEvhVLQCDXHuv2pvuyNI98hMRH79sFu vBPDGhMRSV7gXQn84q8VBepnupaDOTSv7Fv1unyT4Wq0RDpzmWUM3PG8thK4VyrKOH88T2kNVjRY 4A33RD/dE46dWNOgnBC/RzIs/rgMPsmxz4F7peUuaCTIyGokhxlAQZbYcMIMAvvK/63RiOYE8JF3 mqKQnHiGE8vm7jkeyd8MyXuf7qyDdqLlwqF/5WLxmzA5G2ya6okn0RUuBK0AIcNoFAUX0LhPvIn5 Uoo3FEAF/pXZpgkkGR+sZHDSBp7CZ2nq+eC6a9/7Drup7QdmEDpYEmSGRq1bfeVpgjsE1XlNc8Gt 1FZTGJFvCewpwctPgjdQHAXeF9M020lO2GCnzh4dLyp1UgQWU6UudLCsqD2dq2YRVJdhWME/rQL6 SVI2zj9+wD9dGrYd5A2tN5+oUPFbB3kd14WoX/PhWpyguEfvHpHHNpYkGyL+9sFrK+firOzrQfUT Sv0EKEpeH3iY3q5Pw1T4FNhYenfqzMr2wUBQYzQmlWcfVz7/L4U01j8HiNJP4hIYWUKVgEi6ZWnd 2REyj180Yne15gmxSYsLYifLbbyE6YNleNChQUmT3U6w1fOmbSymntoEGXBdj5/athf+w66pgzvj g/YdKnWC95wTgs//F9ePAkZZu9KfOfSypE59EvpDSinQBjYlOd66EiZIw8HRgZklSr+aoFp9cNAv PT9NmeDE2xXCuhD/xA4FUD2jf3FRK9teOBJKMzI5sb/47yRLNYCIYYJ3ZrLxA/5Z/GWSA/BhvnFB 4GiZFU/kktITaG7PW4yWoECz3omK4vEhLWJRpzl4ggd8XqKS1TxBwiZplGa7DZnw2Y5EmdjGo6Bc DkwtTcHp5K+1+aC8BRZiTczyref/qXQhfE+BftTXjRdVyQEIRRRzAT68qc3boudmBI6zYe5MRcAV dfBw7fvgRah9ohtU+QmEGpXGdNBPQfRy4v6fRbi9NHfFCAqsLd3cokzW6jjYCdVkgofTdPH5CKZ5 8Yfi4IMdAEFITdTnNYBq2LFEidVqOIOTmC1reNa8TQOroKRpDc/X8Kkl6rZQvLH74OyNvQCzp03K STD2go4XuNNANEEspq5rJUMUx+c1eGpXmFiu1u26WsN/dSzJyi08/TJD5wW3LaVlEWY++R3J5Qxs w+mh+SfPB6pbl1qdqtjVORjS3jG9/dewqT1uzcJi7HErmWdiTu4kNdlxgsq6WezMUGrrJMtAZshs G5bOpdf5AeFBShdp+KA1VM4uJUuxniPi8xozNZnQPfPDdlTZPj+vEdN5CMpayE7KZd5Jfw833FjW RYdqsxdSXuMlLB+IDcJwovjgYPdFdlRiWHmmYgzRhHC0oysBhdHHjqDzXd+Xj3yaYRTvgzVYkC5W HX7t8xoqYXK3Tw8L3+B7NEyx5uc1UGomIQ/fYa/x9n59P6wNTI2L4QWU1zCp4eQnDDMTbLgFYFA8 uVA0SYonG+BzVdIE7Etile/bWSGJ+PMaJDUFOZD/qKSU494WTAJM2cxs9yzV/HmNkfCndp8gLRbQ mShuhfl5jZA4P/SLQyXMnGMfc92GVp+hCCBLI+fYYP0MylRDxcoHv/dHb9xgtHOvKMdMwebGD4qZ tOvy/cUC/Oc1OsL5Q+1Y/vc2GGjuXJISaz75yec1NrKnNT9TRxWUI7LNz2tkhE25uvDh20vfqT40 H4+MTqhcLNB+jYuaC37jpvfBW70nGTlrhpfYgnxZpTwaTBV/Fr0f8/WxLIlx9mtUdF6Wcvht0vBL g+Yn1TSXqHWrfF5DIiwFgw3ozRDQAgBi8/MaEOFQL9Qg3stpUIkZuLRjmegZaMA1iF/DIZw/5oNC 9Ndajv4a53RAu2Sw/XbOgLMFKAieBlC8kKaAJ87dYEzYXoMhbLBiFpZsy6G6KEApOwWUNwEj8fMa C+H8mtMmCC8HNlBvcNaRmn90QKPeAZdPp6u02lw4dk7bdgV8S9OPeY2CMEGs31L3ygaPgtAKmrzI J/hBSG8Jtzy/54LGHc11PLAmgfw1CGouHzNYd9WgctsJrOi8gU5I/ryGQPaGzwUGumjb0zfYa0my SUELClZ7Okqg1u50nmL/67kHgX+XJlpwQJvrgOLpsR65hvP9Zy4Yuc01J7yrE1mZJ9ZZAJnIa0e4 uEUjlNdyJOZnU5+584LnoCJbR1zKsm2B/F8wP3337/nB+4I4rfl5rUTyUpD7uTEKIT/kvLCy6vaS yAZXRXoWUQDEA2ioUzn6Y6QL6UGU1HAIb8CzEIggMTvDObyBhgMc0tBw9GR6LULiZVkV2Gjr26AD qMIXs3jZ6LUE2Uzd5AkQz9828vQL/p04h4s5mTOMNyfl0K4brDpxbi/z/QTDMJqhZa5v1YdbMpoV /Dg2cXeGtE4mwfCkImuRmVJuig9BSL5utMWI+EZlwgYtoYSzi8WNMkBXjfbYml+HNMoJDT0im6Mo ik5EffftDVwoultmcdbXNoLKNyIgDqPlfkbQNwevPp4/ATRM9m9XXXCHwRzhMFo4lsug8GBVej/0 utE5jQsenSkfrOqP/4N7rOXKx4Nzei+PFH8gHFNPbv/M0Xsk7QTxTVdW22jmzAyzW4+EFhi9DsMJ 5mh34SjJ8uLgVxnEPUYWQjdBCJJBZUWhtgNl2RllK9NQYh/F0n2ow0YWPQeB3zYEu3gSNfCb3oNp ZHLfuRgMAgblmTVFUTANhjMgp9vtT6qa/4NCqCZtVVMad6tF0XSvwsIzWpzpRovQYDxHEeUEoCB6 bmVsSqzc8/ciOsz48HuZJb1ukI5JaaCk7YOlq9O8hs6aRUskOo5G/j6uWSJiL5Jz9B5Q45glFpcQ EKEopRlPjBIgcZqjUp/KbDRHKPRP8gZtMD7hOaNPDF2lgo5yr0q4gyId6LlMauk3GQ5UvW10GlEH pRJKCeFQE2ZuCZri7MGeiOXzTpPjTv2eIxpMttluUh9F1Z3qjLMXZ4VPetPxPOrshvM8GnAaU132 PaxGWsba0JQK+ryqwyfmWfCLF7ei4r4bchwA/JjtiTwvkIsqcJ93jhx2C1O5KTX06YdegTEr1cHl EfdOkUOIk/qvSTrXIoVybZLC0HoSuzW3F2Un6GrW+5dgM6Dwbbgh0ztDjmuSQmhtZx8cjnvy90L1 M6jFoklPAb5i0GMyddrNzfLU675PUquCd7NzZEZVaopPIfrOqt2fd34c45zvSYIWXG/1LqQoupa/ R5MkI0uzxeuxebs6OpSs3Bz2nR3XnG2JDq0PdiKBCwXWPfvZABcXlJDOWXS2B6pEACZ5lbGAmc0v eZ+jgTMemsZ30BzBukJN2gmgWxRg93VPbTyH3cH+jE3Se4iN+4ittNS2lWIheGKl2LPTi5FPJkwQ VEOLYmzicE94hf6rBltIo61zX6Jni2YhWGVFnCeanhXLz6xkLdTke4wtd236DC0ftNnO6pd2bO0Q 0omCbC/s6zGWHSpVBThFUZSNGjJv/OxV2JFtXkAWWV5GQydTUxSH2ZwbFLttsCk6YRaOI/nEINZq S0IWMD2FquJmlG1V/am3jaaIPlOFxt4c+Lfusxi6AN1ERURxdr6FEIp8IXSFg7AVGqM4uy4Z501P RE5QbDEkataeyO7izJx3PlyTyfyk4Z8PTqJoPTcwyIhSRn2X1RLYlvxAk/gHNW6Xz0GF8vNOh8My EOZ95+WDnUYwVOPXzZI/72w4SwWsliYJywYvH99p72w4RJ5FLsPDq0Wp2IoCKqbYvbbL5eS8k+F4 QxL+N1gV4XDhNZAbXVRBPyHrifZQ8Ee2jlAXsmFtXdIgw5zPOxkO95o4g5uxZKYfq5KR2br0sjvE Tt7JcDjyy0345YFSXS+WsxTVrGexNM1F0EoajpKsa/ssjXtov3Ph8AeYKDO5utMlgXHlrPMrABXL bAToEaoPQpPp5PyFJ9+lyA+aV7xT4RgjUTB2EUy6FkJyXtkF0ldFOLWyPu9MOMbantYWPcYTzCfp 71g7k2+twlp1IlzJKLmrw9+AZuKJ9M6Da7K4o8Nw8sFDJKjBVQB/zlJihrzYkUX+vIHHRBfyAmso c/LOgsOXbIZIhXzm6/5Aky2TPzuX5+edBMcT7TsfmVRteHofUawNUwoU911UN1HlzHzPh2szr0Sp GW63qHrdFycHqnM2eDMW8RK9U8FlbhBuxJJLSFnObVjcmGE+rkzvBLgmO2Vc+yTADdflgZYeAhRb uJ93+hsu3+3HtiQHF3qcno+8k9+4jsS3bl5/xB1hoXad2fVQ4fthcxRVsEeVjc4aPjjQdgEcOSg8 jIyjQKGXlnrzZ8PwAVTgH4JtcW7b6wYRElP/MuiAVjwMgxljGmz81pMift6pb1zr6VeJDSXIW2J7 Z77xQMoyGhie+tduTSLY5znzbeGW1IH0Hmmj2ivGG09vDn4gwUIFAnE4kGCPyTplh4nuD22gC309 vY1mr/t+IC0SuRKlcjTYHCHSHqom7c877609It+6UiaSGuDRbY7CSLvQdECWXl3M0+65/7L2CHSS vJ//TnrDTzxJbIcinQ2W18J4HqbvvP437BQkT4W3g0jVD1pqnrGVobeNpoj4WmCwMMDdq6sSmXJL utn2/rxT3ppZB90zG5cx5D18jqJatlCjU7BHprW5bU9rkzO5FrU3tNfeA21v5u/BVgcHP7ORmoOj RBTyKjnxvyysJxLuNC58LY9trxuEkUMucWX6oBgpo4DDdXCOhc873w2P33YI9xqIPyjI2BxFkTbq Ete0kr3H5azuk5eYvhAEbqaV2d7JblYCmxMcUh9sHUHo7HwqKaUn0joHj8IENGMbyDGzPrJ5VKt8 p7rhd5g0G0B7ywaVkFAfLqpSr/F5Z7ohCjU1E6toA5ubbkv2neaGsIqaecXr/HRi9qt/FadyncR0 G5r9neXm1dpJIxAbvISUqPJCc6+BRmbJBnsHso4Bw5VaAvKE3xF09WmlUxvxMxw0RcCyLmnF7/J5 57j9aopIJ2BDt+t29UNYyGBXpG+PjmoaboHSWZORBQrKSpqisKANVbPZ0A62QVNUUf84wQvNqtFC 5WV0ZhGssUJ8NGymutFsit42mCLy2zJagTYoOIJKilB9/RyY7/Q2Htjfl1opjNemT1EUZTM4x9Jx ya7t8wK0BVRXBGFH7YSQ43dyWzN3DzQYkw+3xtbO0VhYIgLAr1UWmihT2RiorOfAbkNvG+RrdEBR 67o/retzElWCphlefd6Jbe1xQLHqEXQu8PfbFEUxNlpMiB+T9x7pky6Gcu7dUNnotZpY1zutrZlo 0MxyWeJgGw3n266rCT1zsv3KO62pwpbJuL+H0aQoxzur7baOupD+nXooXetot8oZA2vx805qw++4 v0sjkBlvaPjYJEVB9ib2j8xzYbJbymbnBWklh64DSaUD+53SxmwNNRG0qn2wrXaOo5Wokgl3WNh5 gu0+E4/PiXV59V1Y3/i889ma44x7fQbDz7ZFXMs5g0f5vLPZGGKn71QNN2m5IfY7ma1J3weSuc3b IlmsP6LWV/MQexIMzBmKqtkA2J0/AQRpGzw0mgAAInuF5cDJy7ZUl4gExl0K6p53RZiFvDPZmitP pV6HD3YYLVC/MEWp8xWj+tr3rY9wsJ043acoirBZX6O4ouPVWZoQwJjYHUbYHVxGnddhLVtFbHnD 7usNO4ARxK1MHA04xOcWI39NiKQGyf5Hnkv44ncaW5MmMHZY7j6oK1KAbJJXNX7G9whbAfpTYIPH A0qiPkdRhI3C1jU9YYGNBVYhRdzIqkAKya/9sJZN7uhuih7pDPpDogrUYk7qRlMGyEhUtXMT4EjU WQMi//rosAP5TmG7aLWWJeeSUYiXElnuEjA4F+QJH98pbLzCv+FG6EGMelP+dwYbjmzAHNJa/ab8 s3p7Leer/npmzqqQ7wQ24gYaeUZU7eTgC4n2cIUKALPVin+j4D8oyQ68SAbYz+JH/KWfd/7a0+0v 4kNCIUeAaciXUT3g59yVfD7KZ7/7a4OKCxcS8c5ec9DjOYTGvl2R4XYMy3sl8NBzk+F38hren+0Q uI/74K3sATp4ppDLOqd3bsxnB7ReNurThbBHqx11e92gc1Rw96dG7igHg16nEywJNbLz5525xnf8 1csGH2zfUu07cQ0nUlJ9bZlufs6Dei3C624T5zoxE30GAXyMYSOEhMIFywYHXiN0zoPqSScWzo0Q HPhWn4WE7Kmkdp09xrDXfZ8j6b4233TN5N3OsVC5F6AZNT/vtDVu1vU1R0AeoJvuNf932hqWnwps w5ihMHCjbinekUk/1N0wWwBXYSG9s9Z4McvuvA0fnGUEJHGDtBMmqZ0jHafW+fCzcxbpOEBHWNGf sPzPO2uNu41oCGjX22Dwo2UaRO0cn5930hoLE/vr2MZN0dq9/d85a80MhxJkitVdS+SWcfWcaKVZ o211VJlJFX2nrLGDKuwsBUfGQ4A8QV3GHKt4hKYIaNa0iMH3oUhLcRtXWmYP8p2z1kxoGQTI6oMm iU5GBImeCP/zzlhjpP0N8gOzCsL0PknvkTbYEUN9R2ODnPODM7PJsCBvhi3I5QSjd7raxfHvWYsP 3oJMZ5Zyo3HVBO0f2DogR05mAW83RJKPzDJ5Du90tSbfnzMhizQsDgakXcb8Oru5fN7Zau3xPbfK CJDGaKzbHIXVbLrDVpd9P//UMUTnU/L1hRyBWRJP7XeumjfYTr6/mw/eg6wQHimEQsLodlZWRhqO PvydP5lWjUpqVRp5p6pdND+Z7jYo1M6AETDuqa193plqbBjUr1CbBk/pomveiWpeczpJ4Xb50ioe LW62uR1uDKKaAUfeeWq8WZliULFcg88REFogriPUBhW+ds0RVUSgEQUKmxmgERLxzlIzwDAco8hS 46Apan1LwwpQqs87R409zPYVIRUKNGUHZL9T1PAUi5Cjbu/TjpxdC6GoZYspon60pigMtQGJmBlS OjbcKaJ6ORrME2kt1BRRYMs4Mmk/DRKyRdpaRVEteyQRQxUgbReMOHlplgJbg2HlOz2tPVJ32mno /UNM16coirRpnQBBfBcELPT54E5rEt9qvxK2d2qag2pnIXNfg+f9k0Ah5v0n/DsRNltLE5dmg6/u quseRkSMvjPTmHERlO1S5iXdjVaI/8UtNT/vxDRCtL4PbM53uVC/FsXZFGrIZVTXxS8U8BeqVmwI ZP1bvJDOT4pw2YyzG9FsHGyKzr5CSssQcoAkWykpAQ1T1AAncnX3qySkF98RrCK2QrKakBwEiJjg zrD72HLG40EE2b83GqkYbd6NFkbZ7IicBeNpPzmbJsApMxikIpUVb66iqJKdWFlMMOGzwTYapNjg pzGofNtOECkx0wQ0EgGr4AG4m7d2Wgga2cppWa7lYKXsKdbNWUZl4PGo1/9dhMQTXYRZsmfCKLsQ eFyvbwAKPNpeaTevsJ1dMUzbrr9H2Yo7zhZDsGuDLyMoZK5M/nVDRFOnKH6UvATHcd4gW64B/W9+ Y2dLTIOW0cbPwFL2ySzweIQ7/sV/oHrG2D5FUYxNNTwYJ9gUgaJm9RDgtHynNQjUa4oizAjwEpgb 3mwcrL6WextVEuUnoZ2wGCb0BmbCBWc3sWGe9lNBo0e17ErHyrbHHThHCxpSzKHPbz3xeETCSl9b jT3jfuFZPYyxF6mfuFrcFH6ZDBLqDQ6GwJmrO61HtWypu285cHC4GP8KKCTNAiZSHoRjCB+BX0fj B4zpW6gl+KhHITZKGx1OnIUDYqClROR8yVDW3wqeD6iOt7PGXJjSSR2xhk1TSHYkVyQJ3TfF63Oz gJM1LM3Y2Xfu3dWjKHtKJUqeeXM+QL8TIJ6ETcWRdXZDQTcDmJEFc8GT+JR92WrGxupRlC1p95FU ZUsEQqM2AnFBAkj62c94PCKE3pyWpVnA/Ooed5YiyuPgmVSFV++ScrXqSJqpJquzDbXXMEt/okZm Ss/wIGsyfBcZQ5656YuluILfgFZ9uVCyRtc/a5E9KmkvorPgasUBxkOSHicWVfCjPfF8cLmNb1oo pOXH02DrYaAt3mw1lRryim39lERbDwXadfgkxcRH3f/lDr6UChR8+mRrJNHJlex9eDkMXKWZ15yd ShRv7e+RduJdjHp/NavhM2WMj0+osydvN3SI8fy/dhxfLuHyv62RHla1VWdrvOBpx0ybb5zXYM8C dMSsFlrorCH1ONSWcCurJBzsWDp7IZ+DmqI+5x5C14SmEzDWJROMtnNXZ3PqdaOjm+w1I2lBsNwE 6wckJkgwPtdDwQcEh/f0nA3vh1Ohfy2l92gbaQwRSL0ymJYStyaMFkto0Iqghf4Pl1IUbW9qaK2p auT0aiTcJs79f2JRckQnBA94zCckohMAV6xfp/iR4YMviWaJGjW5Th8MpQXALlFaNU88HrFovytt MAIkwcrmKIJokzWSoAmmaiQ8gb2I1ATY2qCpd3dk7hFyxIy9B13iFxWXfyp5/MAv4IDDyT3QMqTP MP7vD5XVCtumOrkH/jN8yV/A0U65Rw2qj/CYEHIkFTweISL3z3c18oQ+bdycpIcBN6m0wjjxehvT DHBKrnLzBOe9Qq5TkxSVtXdXWZu7joOXtReg0GBQQa4VwhCYTor8/Czg4xllWzWSPRl8yV+pbS0M KqsrBp1zAQBLgyBPPP5fFlLmLQkSpfGxo4jbs5IvX8rmB3eXWA01ki8GaUR1bSgFE8KefHB8TUdc xN0ErggAlrSkAGEFScSPQBPpEd0eUcSdSVmCFo0PgiAVKFBUFdoyHg8i7nu3kTmJRDlV32wjirjB KcDtYxKREEFcHiadDSaVmitNk/hJf1W1iZW1wdbROcvO6bOY21Kapi9alkyCIhDxNxZspTKGQge+ JGJDsgo52AGAaPuPtlg9yVVmxWEgux3/ho+QOonyzCq+2UYI0mZacJaJI2wKS+hmxLFMle0kD8vY RyMqa6PaMhGJdg7Mp9FkrPD7ha8Z5XvOH7Otb7mBYAC6sIzbQoJM4sB3BLBRrqMm1zd4nFsoeSZ/ N7HRTyg5oqK21NHuoY2fZd5AcoTwEbme7+7Q2jOvLuqHm82gbKzSaKtF4fai6orDR3iUcYoyBI4S 4KgUq0ubpGyIphUatp4srtZ7+5+nB77jT/SIGkkclP+PIqQZk1U8Hh1H3zVtNDNaq373jyjWBgIX 0PWr2J7qcmRtkpQtCRHLte1HFGujp4hCba0+ODQiD3hF0CT3BOFAR8rUFBV32rHozhOyFt+OL/nr OFqZFgAr42W2KraABmF3j1wynv8XiY0XPcQh4MdnkxTF2lS2ASTS7jUH2+A8ypeHtDtiZ8baI4q1 FyPoReq1BmfWLFVhGCC1kzAsktwrIrGGAgnSOFtHNGrGdwTJLRcQECg+WG8kjyr/7hND4PGgyba+ txoz21ruVgsR2kOVkfmso8uGSCOZIMLZJygRcooihHZRvx9JoA3e9kfliM0z3GrpHCJDnq+oJ+Nq 69cs8Jy6VW8btdgwRROZjw3aamdms3RqTkiHx4PwaH03/Qvlg6onIyMqaqNujVBqOIgdkHyDj4Be 6PAR6ObqwI7C7CkU0szC+7HylRlCnskDAJDwEWCRKy++XlWsBZi83CnCJYfviIKjTu4aYX8cNEVn leZuO7vg8ajD5ncagwR2IW8AOcIomy5ce0xn1G6RRIiLyI7ZkhqVpiiOslUfoQkXB6dnLeQhiVyI cw2d9HNRzWei/J9lcHORtWRY40uCKLtTRSUxOMrQ7lPcWE4miDbb+ec6KQM+IEj963dBsqGAtOdd SGGYXfs3RxQRZLEz6FyoySLuzeBbey2sa2dZuck0iXU65WuplHWyImpCndTjxEKZs1Q5WYk4pOy1 f2iM8XWjXi21/vOiaVJG4ZJ/2YYSMe9+yHXh+ag+8l3YRlEJ5pg2STMsbDd5hVGBLrtvsHzvoHHl k9SY+nd+UgT158VGSREN3kKq0CWjRSmANSAc010ZrDbIGfyMcWtI4tTOED2i9tqSZfdKnooMS2LO X1sqHo/6I99nNlWmwH+yKYrCbBRQMiA809K1tqrntImHIoERyOhsisLCNgHp5hXFwets50xOlbk9 emuFrj0T/VvalMGj/qnZ+tv+WftfPfugKZrg1WiKesHj/zqQuIoQR4x8taGiIBuiOyhml4v0b/VS /MlTUZCdXfxxhkxIyT5mOUlll6fFgdROYtZFGFmQasQUnfPrLPSFeKGAmOLyj9RBmHFdm+W1Sitz DtaYrlJKBu+44vF/kfx4z4NVwyKrTVIUZufEU3uZ4TRg/wwD5D6SHDu6lov4zrCqLcpRyne466gD 7C+HyZOGokbOnj/CXBy8JGe5Yam9bXQcsRWZRUDyHwLQ3VF0sc2U8XgkkulbjVBcEJ/lE8EvjcJs 6WY3MpsZHs20HF5baRREOkStfmTPUMaPNj4nQ90+2BRBlOUkzgxGQKUBahsZ7c70aWggQvargUSU 9gyJkNTTKHXdQXsNrSByeFvpFY8HrchfuIhOlZd1j6MYOsIKbbFdRfkRB0X24Ykb+LFG8Z8RdARV fJxDUtVY1y4R/poFfUOmj3shCSnU9znTPrBDIXLn8RFtJWcUZVdi2E62l3ywrTYknvQD7xw8/i/O MaNsVHxnu8dRBB0ZReiaaw7cJctG6Ejqbr5ZyzR7iBmr+QmeVZIPDvc7q3C03hhln3t/SvAPBtQ/ DUHhYpRt9LWit41ObMpDTdZrx8wWLoPQXLfIRK3h8X/l/GxgAsOWbpQ9QxpkopaGjFwVJzVPRGoZ fmKPNQ1dM0M9PzVEshKR/CQigMZXiCRzp0GihXoJDcomqCNCtPep+G+9bdBhY9OIDBobjOEHnTfm amvz8X9htBg/giS62p2iCDoyq3R6ryRkXtkh2k1JbpOIvzVrZxRlDx3UTflIu5caimBgFxcmIm2e 5J90iIKS69lu0KnGYW21bOLYZxRltyT1A4n2LvzJVdkaskxslRO2VDwfQZC+JynTl6neSy2kQXYW /FdzHPuqD459mS4STqNl9P4ZCo5MlmnRbrLB19EYDC0qu/7QVaRhN5q2MIM+W7c+txocfvm6f0VH YzGj5WBItpMCTilkbL7jf4mxQRohV1VztKIYG6x7Ko5cAaSxjE6boAtqGS1korSQVgQeoenaOZEF Gl0PaBS4iIZjmypR55MWe0sNsufQSIfLrYtpiN2/QvAInbfn4jriYPU1eHeyPN1qxeMBD3J+8yDz JqPWq/0rirFRJcWBsZxXI9iHLjUGaMpo0VPVFIUxtkTrqDWvwU7sCYmp3Yp6a2iOsAQJ9QugG2CA kS8EaW697V+6ftVExyY3K88jUOv5o0D9Hs9HULbvI5sHf77B0QolsmXr3PrlHg2Ks/HIdsuDc7ju YkH2isEj3GoUogdYmguf5xGEWMhbJWYUsrCEcgPLW4EiRGB9Y6NzguI7gkq2MGydO42DtgeuNR7Z 7ZzBePxfItAkQ6AmMq4AwopC7M6mURZLRGbJ81Ygt8/WpuIPT6MVwrPN+VdkiPKQIcaJPcegsTq4 NBuQLcRG7CKj4tXoF1kezOiKK9k8hVLqPhggEimTIfgqHo+y2cvO4s1fyBrofmSvUCp7sVepuggx U/JcWSiwtWnQv0lrW01SSISkk8Ga8w4+SSeGLHD0o9oI/jbcgAMOnidnGvJX8iN7s9u/ItxIX8pk t/JaPMNpghBqGdpsM+P5KD76ptUAWjvajY9WFGWDEEr/dgfXSHtJRD/SNxRlo5quzRbVslViM9ws h8uGmA1yCjQzGuc2Qv4PBSTo+ZKUAotHs9RMRLOtCDcicM3/Ia+f+Kta6/x8AR6PIEh+IGFn0RD3 IUOsEKFNG1ugU63e34tr9hc5d3G3LRTFtJDCMJs+5GtT2peD97FPdItudhYZotPDADgUpC4QyYFZ nhePANvi6wZz1Cl8BKtgDlVx9gIbkqAPiJbj8X9JaYmaTQTU3WwhGTJTbgSCknKqKxKvBWEEKqHE j8AzFDUvFI9WCNHmZkPO6oNDa/ZJP9qgNM0851xlJIUeO0I+9Hwl7SPQyBx63Sg+4gLKPtQfwY8y aioE/KHAtqJAe6XvXj/Ywygq+xz9zYWU2JJiyMewvbsG2Qn0cJZLOjsuZ7OOLYeVeR1WKHK4Fzsh lQuMxjaAWRZSAxCJAXXHHi1OQb1uBNIeb3Ijk0UE3WyD7xipr/9Sh4a1MpTvbI7CYvbsj9yIJFlc jhVS/o5jkzEh5yiMs21yWvPBz6ME+efKrH/VCfwl/TMzgW+I89ujNbbG0OtGnSNK1s68fNB5lOBu TvBRnhWPB7f//mWxwveYXhnZUZwtk9Fdiue0heryIh4R86SErSdri+yQCknY1aoS0q7rnkd7FxjR UB8SBYE9KVsDrtAPACUItq8VK2vZO4qzm0hZpSYftIzqOTlZy65p8PGgwFa/UxF0NoDK9Sl6j7Nd BKHyN1Chdg2PIXe3tL9CvchUfXesN6JltO9wl9FONFghsvac0SjhYRmdxTNRqM0ttXutUcZ/hyBt Go+XWe6gAttJwKdkDM5exuORJsv6ykUI88fFYXMUEyGnJsatRJiOu8j4MmGNnSlGzjkK42yTPeKd tb/EDwe0kEqmJd2AUr2ig5YgX0kx7eLNtUKYGL4jmCImIfCZ9EFB5MpId7g0+sbj/4UGiTJvPRm8 T1FIg8RNDjv2ZXSInaq7Za7U3Jm9EO/OKQoRI9I9Lvz7OXidNoGSUqXsc9K+3WmIQIT/mKT4rcce mrfajgLtTRkE1nxs0GkEio77FfIdgzrtL+ARUtoKiwiboxieXSWcaY6iZGcZ80hO8nKkgfuC5iim QTIX6exCcrA5Ool+qnnLUgQWGZBIwIICLAqyPvhGr7DhMsR3/Ek5biy0ceAUgYo6lJGCv7ajIHte NQ26j0AnaC6/+Hcsn80c7fFjh2qqTdHJfdwzg5LXmqIQMLLYdURpzwZH1QDZS+tekrPOWUSNzVbR fBwGH3Ns9hp628i1h4ARNmg1GBoin10qwEhaeDyCr33Hj7gSR3mmKAKMEIgNzaOryVKHT1G5vk+b 5gmaolDST6A0O5P6evIQbjP02NGf3ScKZn+yAfLYQNwB6N972L3rbf/i0s5MuToOWkVnv2aWotuZ Njz+T/gaiVxwNVqXcLzjGLswodzbTqO6vYB9fm82AUDOOgGxyR/tfyBGhjh+43L88pq4HxN3GvFH 0q2BzMMPVTGI9ZN6Jk2G8R0RYoRxoyxpx2NJe/Lnvswac+Px4E67mixNV+EPsJQ+RWEtuyRZ8iU/ sLWMQM7a0oUGpKMw7eUUxSE2WyEi8HG4uKN2FnTbwh1Rh3eyTAuqL9oSAtWIb7yn3jY4jGRunGiw xkHLKPUqx/pW68DjQWHk6tTjsQViz7lFfYqiCBtnJ87oYasIVDarO5Ym+0ca1ACwpZ0WwUVmVZ1/ FR/ueQ2Xx8ESJJK/ofZSp77n2dk/4CZ7ZMQq7Y61RhQ9kpnNQei1RUPDH0pEDDz+Xyx8YHXV5gX4 GTrn7Vu3+GtMzwSpWe5iSM4Z/wljIkOL0C47WMc0XM+ss3GwVA00lAmrbJRFYJa8KXlMH7mFwjls 5C7yaG174fdpWnJaW5JhRf9G3jLnV1hLxaOzNvkBEVz0+9RGUQM15DtRoa4fjWpWXcV4EBCgVtqf 5sWzrVqG4fwIDng/LwgDHeoMjetU06GSDiWkOmQxAhM4GtSjK72wTwoQ167vw0Ab3xIpRbHDlmU1 kl2zA6pTKo4AjMznI47/txhrJ3c+PQsqNKuhYW9vw/Xq17xtyESBQZXZqOlN34MUwrN53MxM10IO HmxPkCpSZkIyQKtQPlnAhYBdakYh9hqHZnvhYJ6aeP4s3bZN7zSLjkrf4oLCXzVFAfe4YQBnFWX/ R2o8p6iyjQQTkdIt/o+aTWscSg/DEzewDWyiQgdIJGJjVCZwHLxIAkXXBYOys/POjdcgQjZ7O/9f P4vY7fSoabK3x2+JyrZiZ9EAg4PTj1JTcRuNfzwftdrGV0QJzi9e7c5TFHXj5KGg5gX97euz2thl ll1vXW6AkKLqtvzUV5ehX3+yt4ajbkgnekGJBLcwiklzGMV2DdcbL3Rc4rf8ZaVBx1EbdJCfH3Wp crthE5FCEMkvWYSz4yHutZ8FFRa4AfFOQ+qQi0RSHO6YKGg+TEO1QYPWj/Kwwt0l08YNyMG7krWg M7npWAdxFuwuWI6c22cl0NlokGSVybTthSPsX2M0sO5gNx6iMK3gtPn8+0TV9Ete61yNY1y56JzC ADzR9zDvZeg/ClaaMa0ApSBrnZly05EUReBU14Aqe/bBc91MmXdWcBeco4ipwM1XfmjgkRsNoGUY gRojv+WvTK42mhtz0DyVuZOoRGeu+XzUC/gODRoZcrcikFOoRcIObq5TuNrswvUodFcAUhQawFfD 5ymKwoe4IWPfwQ4o5O4NqA/OU4ciKQ4oEAF+0JAk09cDqFKbvfBfLFLkJhrMan4g9SQyqZ+H+Px/ kfoHL2P021cinC/ovkmjvbkEQJle7D4HbnImyUbqbjZ/kSJJUUY3pCQ5Hjukk1wC80S6yAlAK3Px CSlg8PmRI7dHOLpPe9+/bGySAFzpArjayQPk3Xki0cHng21XfyG44FuRyjNNMUeSeW8Z18WOdk8s wpn0nxxI8nVDDAveW4aaoiavp0sJMfsTqpIGcNbS3NTk6sQIsLdzAqp5yW1k2+JbghpTEUSJdBIO micC3bL9Lnw+yn2/BSUA/KWQrM1T6BrJ5ZQIRFNil9dy7lZtlhHDzWb7cgpsI8U9g+LorD54DQW2 GgA6Sb2lVuNJorpH1eSMTN+NNWmdnQPfSGw7dqkXsbccBOUCqZOHcDsBG5+Pjqe77agUTDu7CzDJ oXUkPS6A3XZVUqo7Kr1LOXmtqfFa0kRFEJOURCihZhIHmyikLSfrzZIlrWWWYUvvTE1HANWvxbh8 SHLsHalW7mb3m4OVUvxMP3ll5fNBQF5+MUu4ni5nIsfukVmgN19PubiRJFxIqxcK5ma9qeujImAg lcmmlMnmVSZLG9jUokrBSVtOisHjabDghEhnPE0UqjXxS6JGEx3ekCNyGDrFQSLsXCDnFBx8PkqE v6UAoHQiySabpqgAvhYrutXrKZk8WK9cNi/LDVK9tZrCcJwiyVD99UHTVAHwOgeJ6nLnFCdQAvRc lOIQzaAj7dobbH3nwELSZTfp02yDDhQoqDPXO5dm5fPRrvsFx4E9wryKCTk0kYTtAG6i4pW5cc2j YC+VtJoWfQttmkLeZOEy6iqq9KeosvJZi3uTg4OGbk2MGxpUWVi+zMBoWNYi4aQc+khCLAfWiLzt pnI1LKcTjxP8iUN58/moubu/T3Hqfjxem4GPJCJokpRppPc/lLvv5nNzVvJiXxy7juR123Ux3ETW dnTb5HAhgieuqnuLhpMg3MtKOAp6HexO6Jhc5JKigtBJ0pxtC4NMDipipsnkg39x4fP/0gNmSwX0 jfaUCwIrSZRVhHvzYPxc0JfS1dSCmgyevMObQy9JhtjnaN7bByeabEBLt2A5BQZBKMDAns6MU0tl G1yyAKo/hWaSdLhrZyM3H9R5OueeiUuemeTzwSmefzPfHGNm8xRKlZCPU7sJkiILdp5SsRrChgQn hFBsniKXmy6H5MxNxcGD8ZN5wZSMRIpzdCcZKiHc/BkA9ACm7UFBrfa+f0kDjGFy5d37BjBCXeJ0 p8rnI5rAL1zuRix+aQI5MJTMOsUh5WN0k7O33dM+r5bdTrrjRrBpCsvijMUX7RI1eJUOhgBw2iZF ED1AVp8GfL4lZfYl5w5Ren1LoHlPUGWXvX33yMPUgXSBtcLnI63yXxZu6IfmWxnPoaskKiHwth39 ApjdpST3uoy1fCLrfpdTjD6RAndLPlxkxZkecJcQjINspzQ5gUU73fzr4S5Ne+HouuMEIZawQUHm ho+IYN6z8fkgaSn9K3jCRoIn4b3vQm9J+gF7cqfeptVXaFBqKIK9gJNSEhyaSy4aA8DB0Ac/n4CN XtQBmKQKNrQbBgT3qcyB69Gh3sA+6n3/splAJ5GDX3ez9BN6EBJ3Lmc+HykFfnMGcPkhVL3TFEoF qo2wGRyJCQf4JEmn5PdkHk9nIqvVnkJ/ycVi5mJhScNFxTVkXOYMlGHGgiVXUNOU//W4ZhxL/tuh v2Qm/gS2qj7oFD/pYV92ik8+Hym7f8Pi0CRtKz+rKZQuGfQIqNuJp31yNW0iCJod6CcedLOJHBhM Ah9KmA7dgTT4NOVzQE3wi7HtTgRCZCW8WcrPwikOMpiX6Oh/zG+JcjtFBZSdgGfMj8iQ6JB3bpoT Rm1+QBSNfxd9O6Uy9w0LAo9Ju+7O4dOSdREKuTLSeNnbuwh5uRBODkwmcT5xhrIxvi/WAuJ6A1cn 21InHN+CNdVOByeW41prz4W37Y0DLIF23KahEgQftTIaJO2W3Gxz5/P/0gtmsIUaVq7Pigo9cGgQ SPSK0pa6XXQyN+qEMm3ppXraEjhN4i+QjslYPngfOEMAO7Po2+kRu2TJBbBDIUSvp9srn/7C0Tzx wlMxk6UriUbCsDRTOwUCl/yAYOvNu/W2hQYtX8pODu0mKRMLR+52oSnJ6k+lEhEjaeU9vVwQ+k3a SS6lEg4+UwgGdllSfAHvlAleoYj0WQs/cCy7VIJi7/tXQJ4l0Z2vRHel3TT7wWd78PmIktK/AnIQ F3q7ciY5dJxsvJgTTYw5TS3vcSMop3+dBQU8gaYplA6k+uT/TVxAyU4Am7FcsM6EU11hIAmUkHjZ 190VlQS9bwC+KAKo8ECf2QGF9cT/FmieC4zPR65B42uaUN6BtNqdpqg4DkIo+15epWtX6+VkELk4 QoVSyLrw/lTqhuxd8cEOKKhPANtMpW5IKirDYStscB+jTAadS+w7m6cQpIIjGDTC4oPyO0i+ybz8 /BB8PsqDv6VfNqhCMErweYoC8t4FvWx+PjH8M5jKyhem0ii82PVREVVOrOaVfLBdN+DvNrNDeaA/ mohTgcpRYyv2Qnmk+pZD58kqKHhq3QdFhgsCs9RyzeiaB9aTwhtpmqhNk5Bikldl8xQF5ImmLzXn i1XpzfhfBZZqjlWhcIq2XezzzgtPefD8yoMnDboY+51D9CwpOb7RCRy1c4BFad4t6kWxF44w85Oh 0+w+KMFDBjpEyq2Zz/+rKdVVhNEr2DyFDpSMoOCg1b3ZopR4MA+uDndO2MOap9iCckv3tQ8ffD2d /0eiKijqKhmQehZ9GysIKUmH3Zvm3d43OsWJDau89ThY0RdeJry/WBwPTCgRj9+woHHfAY5w7XFy aENJ9Ebq9AVVnU6aAuwikCVLPG+FzZ7NUxiQV1Z9adatweYJ9b5zncsg54TnE/xmwgxxLZdqcYHz wbu9cIAuYNd89XQHLYF97gGB6M7VzOcDFMY1yGOticJL68LocmxG2Si+0Iy0CxwdBfIHBehHt4rB Xg7qzaEb5eCfz9qZDVYxmBWVZWAhK6ppZ54olQsRjp+N07fkC+stXW2p0I9SjgItsfBLH3QVjc6X JPpEnwCqZ37Av2aK/iZAz6IK4RMVMjFV0ZzV46dSinGeCSryNif6yzZRUUhuE9Xz9MGBq+cQz0sr Ck7X50qi7zv4YxslpwxYPRBqT9s8MKWEOguPppElB4cKC5cUDH5k5zHOqc4PiPbeb+7Thk/uvfJi W0qW6prq4oNuOcX0KQu63/xfq9AZyl0CX0rIDNC9RCkxB0/yOpxmEjmroNAXSn6co5CaDQAupPpg 6bO/cFTStBCTMp7AUSjdAD1LUE3YPPIDIt7qd2xAnZn2dUaFgBXgLVFdNLVT3OJeW8l9GM139Svk mUN7SqP3gEpgg00U0MIdOBKuKJRB6AaHegtQNefz6P5u/J7U7YWD4gqrT0PGgiNfiObZURT9g8pQ 5vNRjfybJU4Tz0dCJ4cWlYP6eZOKqirW1e5U+jp29aImakM2TxFgReWCNYn15eCxAX5sK9bBoHpW 0u1ToUdlOuHMuGLepKzxSyKtSrlUkOrTRethKlxPnsbD+exKPh9kLml/hQaj0oDpWU5hiZxs+iZg /ZAVQ3NAHTmzKtXBIcSmKQzJqYk7qHymwYFiCdGSTvK5gVulJxzcyn4AeILIy6390nmK3xLpVQqo sroPAtSdOS8CiuXV+XyUCV9cj/CcZ3WCX+UTFQJWGjPhSXH4JL5Gc0QdqtjZSBrda5o1jMmXxL32 HRwABfJKQnsEQDFAl3nPTBRSFxqUeT5Q1t2bvXAEsMdEpUKkJgdbUOcmVef8xDl8Pog1rw8jg3I4 XH2JDuXQrbJKmAmIhCyrgZWlqgc2lmBPNKreDo4O7Sq7eDyZ1HEOig0A6x2JCF/w6lD/hQpa26hT IGhAtDnW7U51f+MoOCiSjMk+2JW3q6Qgz0U9+XwQG/yyZKCXeLpGMTm2rNxJgnpORmiuh3py/dSc r7HhVKbYIPCszJJpmKjy+WBFg3Xi0FYKy3UnS4MGk2CcaJ4jG2bJ02w9ya3PgWflmSY6DaOCpcGQ YudEB3/jxzEGgWnld5ang5wWtnc9haaV9AXJkAX0Yl2ZhljJQ/QNrKdKXWyup9C1knq6MwljkB6M ATIL3HdmpIslQOxdJzMJmsybfkSSiVcEFbhWKlIEJlpGuv4lBb4gnRoCsMTm88F990u8GtZ4dAzw aYpCcmAwkdjl4VCMfXl2lUgHs2W4JajAuPKa6mxW6jhcjMHqNGOkIFqFzitrmoBsNjRBEAY5UEyV utC3smK3nb9M7hWIf5VtVDBm5IOS0BMOnStn8+Xk53hbV30wB9aVOJ5U0XQnFGj6uYR1bZ7unU1X PXWJvSvJj4JDqA82T2fKJir9hKw0KDUJw0lkj/7ia80wFT4F3pXemxpp30G58DrTM0UhBwAqNK/M 7dfhBNXw+iQugXslKC6ILfN2z+EE4yPD+9bmvQTcTCb8kWP7yqk6JRmHHCzDy6MAC018JpDlFFw7 aRXaUp1mfbwKLX5q2174L4DmlPnwbF4zOPfbLEShnvmufD5aTlf7Qz2IDa/C53iK4vFKmnSXMxNF 9oSGmtp3HmculJEVFwQelmi6ZM3Q8sExBig+EBnOAOosqE6kPfrBKr3jCrqYlW0vHFCmRCbvlEop 2DeSoxpnprS8Tizb+AHv5/j6pUowiDx8ir+BkaUVfxEtL480+3YwRutujbpJT7SJijmcnChTbe7z Fn8LfHs7eL+AZJxLeNDvo6ONsSEPUYkxsZ1HIbkceFmaMvo5U7CiNChxyc28iOtZqHw+Kv5+S+xn dP5WTs9JHmsSsjtVczJ0T6UqgvwsZClD7kZrDiEP/CwVi+Fo6suHi4ICBHSycnligUphOucDQ+94 EWkvpV1xgQJDS3HnWVTpPli1bkLOmlc9qECBoyXAPfvrhMrUIumXkvB5DZ96pmwU8NDD1tP5Z7GN Vwln13oiJpIn1Gvw1MG9Z40OKi82+CSdJUP/GWFWFlQKf9xelz4X65rHLDoQv4ZOHcAcUu6FYm0X xQooY17y/Fx4+mWCOmQGdDRtVekAsRzCF3CnB/PDqBvBUjZJ6yJyNH4aOltkVlTOTeIUoGB+VBpH w8kHL2WWhdYtWy0TxmiVEqrgf/908hokf6EygY6l1wkCuw9rqAxldcOzurPw+5Ky5TlVP68hUzd1 IU4Hb31MdmHui698DZh6ZgcJyYnpx5x/7uVoHpr8iZu4kTBy/byGS1g/i1E3dMdssKiyN8VMhIYB GFbkmg62H/S/0RF8LAg63/V9/RBS0lcijZOD1XmzbEJJGP28Rkr9Qa1u2lhQ3b/QeBjT8xondXeM g8edlXiH5HVQ4jWtK2pbrG4BwGuU1CFHIyA98b0cbPl0kAnKlto31IwbS5ttSMQrQ+jdg25oE+Er 3qcnN2UmXYpEKG6qPQ6ZOoKXoQD+eQ2RunSwbX9xMkBk6j4/rwEStxfdq4YZDNIu9uk9TedJ99Tc LjbaXsLspD598AL4xEFG8bO5wXElIQhqDe1nV2BSkYrZ9qLn6+c1OML5Y75Mu/pggLlGQVH0e0+s /Roa9cfkc//YUfVDGq/m5zUuwp4UNHyUa8pcXakJblaOHFip2vy8RkU9/T+V3XaTBHEr97KHtB7M boeqlO38kZifBVWWBXkpiHXeFibD7NeYCD8mVk7b7Idr0Pyc7FwgcRg+fV4Dom4OC5wOtc7nSV16 tvl5DYdwqGfGQNTP0PqZy0CXSODzhQy49flrMIT5aWzFYSHacDtyVJpmMHS21TLAAFj8Pyg7neMZ KZttMKZrr6EQNhjxAmMxdBy8WZYmKLlo3FlWn9dIiMfX+tpgk2xhlnCpdhyez2QcCplD5djhmIq0 muPCYdZhoPnXIAjn81Qey+iag9/vFXQCOVbDdHiuYjaD62ehOZPpYWhhNZnjrzEQfoRp3jDTBxXb kJxVa4Tkz2sExBtk2PwQWw9RHZqvcX5eC5LcYEhkk5q61LIQCFxMnrrsAKIKoqTQggM6yWVIdEMO fr/D+kfOZkj04C40yMc8O5IEXzSPHRS3aH7yWozE/NCtGl0qH1Ri63uQ9A55Jjz9Pj9976/1Q9+M nPyAfq1DYs126gy26etn04mb87Po0S5wALE7FLKIDmgunLMghg8+PxPiGAjzK6T6CgFsSEfOblkD 9yLSUIfC0YbptQSJ34CCQ6kSCMdBB1CdJ/yeXjR6LUB25b523mCpEekyfX+9c+a6bDhwLN8bLC8D CCAh604FW9P1Bt8pc/b7YGvN6YNf8R1iQ5DqxQ5DCZJHNDkXa5GUUm6GD6Mvvm60xao6I8kHLSHo 86meXQaIqtEem+1rDaGa0Ab0RjRHURBNcTN4wtkcnQyzOzReiizM7r8QAWEULbOKk2H5cHFdaOE1 EndgKgGJDzaXoZ6PYxktRW/f0izg886Wu+f0uQqHD17zX1KLb7ny8SCOLt+BECB6J9i4c/QeSMvG 9WZfyslugXbt5AcRnNwchRPMESuOkPufPvhVlqFwN4ZU0M7ywaGDO62QHwoN/XITDaX1UShNdzRQ y5MPhonfAqP91AZq03ssDavUu4wIbDonN+gfPkdRNA2RNmhWOWACFiuWoULjQDNX0wmPL7IkOK27 4SdT98G7bOc6m5DPJSsc9UG6VUCu/6cjPARP/7nNkl43SMe4gKpwuRwsXz3vR0TiSezQEYnOo+nr iG1MdK4GuAQ2Se8htRAOmKR9UZRzeGl2MoDjJJV9N9t7TK3Y4Sy3Mu+gSTqzfo6nMthhQ58Worpq fvN+qoR8ChyouvDnnSPXXbYadDIOACNpsyVIaxIAcC6pzztHjnu1fF36GQIZbTa/1d45cly/zDtW c184nLh+Is3b10Y4bIXZ98Aa0EAcRYAp++DdkA1nO6ixUkk3ZYnJU5+1JzLS58VyjWyvG1xsZFzu QiAlB11HZ6nuvuz7Pu8EuQ43B4+MyEBB+3DsdSfpPbjGdQogF8zuvPnf3U84Nx6LRMJ3sDs0SVF0 zRrjhISqD3YknWv5nG7FZIfgxCztSsxqb7SAJAFa2Vmeet33SaLsPljgywedSbCa4aI6/8H+vLPj GOn4JGXTlD1v0JNPUhRgb0owdApzsSBbKNpvokOjGeQmAUKhSYoibHX+N1eShguQWAW+BzyT6vn1 qTd8YoKzDk50AJeh7HXGQpv0zzs5DsuVamjQC/VBk9RSJ+WRtfHPOzeuS4RUkyROxXmBnTxJe6fG 4UZiEJndcxkx0rrmecnNvU7kPbyIFkXZAG5PuI8tH7xFewKbktk9g69XQUhAnAni6lEsQ7OStYCT 71G2cJ5UZdo+aLPhcwlRqx0iOlGYvVP5uv5RCK2VbFROURRnIzxGcD2ddQJ7MusUzVmW19FWMrvT d1JcF5oRT4gJ/lSKoBUO1CQRbmdGZtukGaI/j5t//2zG2VbVn3rbYIrARKVomA/4jnw2cyI+CGik 9XlnxLHStL4ibVjRw2DcK41RpE29uLNMi4suNBXQkMpu+aEgld3orKjUGEbaU0nsnj44dGQCiVXZ 6D+p6gln5McAum4H6G8lT0UyaQqfdzYclgGlYEaezQc7jVbvuvxnyZ93Mhxu8ewbjUjd89Wj5jtF UaANIDp0KXyK0KywbB9wVrvWdu3FFJnfqXBcj7zRG6leHOwwOtFlQ/matq8FTmXgmUD8toDWCLDw upTBxILjOxWuS0UJNUraC9KSTT/+QqGX4HHM3OedCtelW/hdEak/7OzYJEUla2x/HEG3dw3HEzux m2R1ycbJ3vJ4J8KxpCexHPJP98M/PUHPLlg7hP4xCK+kfWOVL4BH4PtwHb1oWfHOg2OERCxpocIQ FFl+RKCBAKGwxVifn3caHGNt73tMPfZDQ2CbpDDUniw8ClZDtfjmLF3mbNbgx1LQefROgmPyJ29h WVVTx0nnUS2tnWkQ/z2zkYbCyOyge8M2nghjh9VQ4eSdAoeFn+h3J8Xh9SgON2zTrmutft4ZcP+n dj2Z1ey7kKJIGwB8pLXF7apg32MpW14u8rkghW+7LaxeL3l5puKDo5LPGX2CJ+JmznFUxiYGIoNe N6hCIzuG+XgxvdPf8EOQNjHlLjyvu/AEE7R7qPF5J7/1/NX/cBl9tjhtjqJAm+SazEPC09rqgXab JmcNr/NqXufvzDe/1+CeU3zwd27nFx+NeJq9z5kNlicKSCdo5r1QJIYmjU9cpZ935hurOUK4t+yD 5misyj8BVID1eSe+8UAoX3uNSqzAD9kcRXG2QJGFih42Ry7qyc6gF/mvX9U77Y2ntrA0dfngJaR6 sppFgR/In5/kbauEdH4e7hAqZtwu2tLrvh9Ii5vs7Nbtg80ROjZyQUn7885666YefC9/LORebgj5 TnrjU5siFNmMKk+CO/1mG9kYgyfQh1q4zqP3MBufVNVhXD7YeQQO4Fk6jYfFgN4FebsN8lsDF9om UlL5Whl622CKeOsD+8gBKkRdlchshjT97OTPO+Otm1D3U6qFdFcuHkO+E96w1zLB2vOWkGZ1T9jM VEbn0dheHnnnu+H9PZvtPviZDfHiKX2cc0xRI5SIB9y9cK/L44LXpKzyeee7ddnXwxh0dx8UIYGu IluOc4N+3uluLI/ccra0dApkhLzO9s524yQxAcnDWIEnye3GnswFcZYmibe5Juk90FYN7ESJ8By3 wcojPcPREXcKyiNgjCneBLK64cqe9VHMS/a2QRQp1erW76AS0tytCfKw1/i8M90YhP46szvqfLcn +050wxSR6IamsuX96Bzb3b+6OVhCeMLtPN95bh5oIwlJPtgU5QVXcnQVwN+qtbYlcbMMUjadra/M EojL/I6/uvotE3fcsuOOmYcnVWt3+byz3PrjxGRb7Wx50+ziFEWBNmtzGRY5fhxVFwxCO0f/K2Ah bp/3TnG76dqQ2cB4zAZag8L36Exdazv/RpiMLBeQB8CgENpDXJKN/aK3/WuK0KXxQTstpT2G0Azn xHznt+GPTd9TpDrEBc68s9u6s9t2acM7ayxVqaAteQDKUMmyovOTImgRM9rO3iwHL7GdEwfSEKxD oq+KqBGSFJnGkmjQlgf5OPS2wUYj63YncnA5aHcMCKeKX1PK553YRmjA/rrUkNfUVvwseue14QTB 2ZJGmX4WDQIXyFAuQtFC0QwWATZF70G2gY3Bzi8+eF2kVmjXzSL0TGb5EXrDMA6gFwlFbt0NPul1 /8pExjR9pWWFaayjJeVnWPZ+3kltvyojnFBcaDiGbZKiKHszp80lOSS7Fe/r5yXFYayjhiokD+x3 Sht/JFz5Da1YG2yrFfglt0EjJjg/LIkyFqjpZdKer7pLJzf5nc/WL84YKjo2GH4W7f7FM3iUzzub jTF2+1pGZGmhImQz9B5jA0qqXG1lB62zfGMtyOogmgVVYc1QVMxOLPUXSIPZ4LHRCQrPjUPZc3h5 MxoGLxALC0Az6FJ4V2TY2watI8pOAf/sgx1GJ+CSrUfqfMVgEd3w0V1zWy/3vI5CbPR7SIS9dqd5 Go8NEhzdcSLDcSLvNDZP1WYeZHDnsW8HEtCrNWluSioNmoQAiiSKLjWI9T/aXIIXv9PYbn1tqpQ9 bykbXfIkd5iFn/E9xMaCyL/mCJ3sdA/sKMQGGPKk+YJCsL6mi4xQkerCnSfs21YXeeew8drn5GTK K2qmf/4/Y9+VJUuOHPufa6nDAy3e/hf2YGbuiCwyvG4PP9Cc6ciMQkK4MDHZ69/lxA/YqaA+nDBI UkIFFEaKrIGm6im/kMXvFDbWutjJ1t3WC3Cs3CCIxFhS+YGb6uedwsbwc37tNbQMB5nbmqQwxsak ok7rnO2Rb3ft/HUmkbshemqTFJayk9GMkg9+q1VAaMDFAn8N5HZaVMMYCNsM3ReElhY/otT3eaev 3fYacYCdblU/AkzXfW4sctvP0cfng3utfweQrPe2eXdbFGMT9ZjbWs17InVahS1vKnmJDgn9BE1S VMxGnECFhOyDV9jOvsp9E7p3vkfKTCDXgi+K7VOIe7TiEalr78w1/qakrNE6WYNBr7OpcJz7N3/e iWt4x18nEpTl204+R++8NZxIBPKfyTBTj4yQ2N1PwF6iNNfZI7iOiXyMcSPaZrQb4uBJP3RuBlY2 +kbnqB7seid4NC9cESW1S4RkIPh5p62xVMuuWmXFv9fl6mMA0jZFznV+3llrtlmfzUbZF/ho+iSF wJEmkXz0jxggkcSZceGnTtNCTBLIG2eXYyG9k9YYQ7LWv3r3wSZpFTSEMuV/4KJXFzVxEvRIFuk4 CX+Vav706v28k9Z4+xdKbQhBW7vjj1oqneVsHOCfd84aToQ5vxcSsH3tVtjeKWtcSJijla+w26KQ AiYmE1Rh3gtIdMgUfWesMWJny4xGHRocI4q2Ii41AZCY4dIcBr9qAleB4jausswO5DtlrctaBUcR QeocNEnnjJLVMORJPu+EtV+lER7bOLHlLsxJeo+0ga4hNGuM6pNEryyq300ufarfLYoeo3z0Tlfr sqRHTaTfwY7tQQHZLZ7DyTbWpkZghjTrws7LwFi6xDKJDu90NWJhKeU2qMNV3Oux9LSrUpOT1JTP O1vtF5KNOxNRxFMZeSerMWoo1HNbXvI3EVw6nvbqwJHal4lHvHPVunkMTp5iNngYuaEgtdsyWak2 tnQ46DwGrDNICJbUqjTyTlVDUstydpVMIAeF2nmRl4uoubXPO1ONHQOPkfAL4ngwpj+/NCpnT0Ii BtQYzMkbVWbdbGu4C9pJb/1me6epsS3CGDsz7y/5MRmErVAH95F8vpN/DpMAAqkIQBvA4t36jIiI d5Iap4hMh0VmNgdNUTsfy1AbkrKfd4oac2JPannRk+ZUvCvyzlDzmlM6e8q7IqNOZ4SweOFgv2HV o3eC2t1qFRVFGzypBfG9N3IeGuqTmf7DCxSfRvlfsGks0k72thFGi23amocPmiIAv4n5bLCqfGen 2WFmq4gaSYmu4Jf1EIXaVGpFir8c1j+qw/o75aBM0CYZaPSdm3Yv/6YqUuuP0B1khaB8VLnV0jCz czp7nI09f1Zd9zQiZvSdmnZb2TPxxObgO83Qheeamp93ZlqXHvNXDJnQ8R93iqJAu8t7aT/eAbvf pHZX8fqBqxldtZEWIrO94P+/mEUV2hBno04SQs9eWXWLCtmmFQFxEMmrEnB7fkdw88v/pRO5zsEA ESd+F6ym5YzHgxDyV2+NGl/tudTCMFt9o5FcFin5uQSnpOHCbZViHVxFUSk7FYJFUBu2wZNa2OdV Ymfg0QHF6c46LfgiFBgE0cdtvJO9bkAPYYV2FeZtHLSMTgApke7zeww8HjX7favhGEMftAP9afyZ MMwe7NFSR97sPJchRdLebkFx0oxk+PX+HmZbsx9bbPvgteyTJEGpnFr4kLKZsrAaNPBB5X7eKFuW AT1kOLJ8NNEyt8FwfoArkHl+tjIeDxgidxkRVzOQhAxvG/UoyKYyxjkeerWdlvYwEMQ5GdxX4fzY zSgQPQKNDNb5oTvrwy2wdTgrSMb1pGpjCaM8yOFLZMbvm/dTQaOHoBG6Co5MA90h0TAaKRvL5Wyf k4n0KMh+aFjKfhFu3BZtD4vZnWmsitnEjFBDQpgR8YzYEknFLrUeFbMtfkSoa4Nna7OdUwZIG7TW EpSWEIcDpWpGcBWHuVVqCT7qUYyNFXjuoZF5HSHNVkHjRHFtsGE7TiqD5wP00cOVxdxOwZ/uZgvZ jpM+Syy1/4+pvF9y+vQo4ITIIynK7lGUzZI/AsXsg6draECVRaA6ikkF2RlAIwPJAPCD+9LVjI7V oyi7UnVsNp7dZ6jKaevZz012L63zHYNDO/8ihKIUse+h3SPGIwWBEto5HkFmjyDTLF7+hzNLtzmK QSNE06o7ctlARB6fP3xUAf1w3hXilBpKE6Pw0KZeje7+bq8bNfspipglkUgdNu4RGPHxF0XVbOL5 YJLGd+KPnj1UqX2SwjCbYuVox3sHspTl6KN8lRHXzAYY7SHvcTDMLnn7YAtpd2ikdPoudgK9ueQa 6ru46eibco8kSrf29zjbGyMdAA64CaLNyui4mykrIEV14/lgu83vdn8lKavfczusaaM7kRtgwyqO LBq54LAG0h+RI3JakFZUQephoL2l9SdBiC/d+4UKQoOKx8nt8T8A7Xz2GnAFm1oGUKy8MptTr/tX BSkXqpKy62Ri9SPBlpDto7NE8QERkO3W2YjSgsZiGZdB+x5ruyYiaRtZ4ONKX+pKdyVi/ETQAsyQ aylCjiT5IXQKHXKwaeoZ4kdJsFFI9y5S1POmhy43PHIVs6akyGaPytqSa4VWiQ+G0irUzMX1lice D1L/9gs3CjWJlO5+iwDakkru241OEyT9rIbU67BKyUIfQDWkHiFHVPpPPalgSw/xSho/uvG7ZKNB njlDPtI3Ysu1wHxg11QHN0h1FV8ShUlEsmUhR1z6DfZ9ozE7OTFFweP/Yh+RDoH6BMNlm6Q43Cam RorIvN2o0c1JaredBCiaSbL1qKq9JHff5JHXmofbUDdJkDroLP2fH18IU+AIfhYvqJWuWAbdQPAl 74dSp3FCU01Sty/+sLbBipONW5l4/F8LSRVbnG34EONjR/G2rBZLr8sDpTbteiuAoFmgtEFTZDA5 orL2dAD78MHTtnP+nKh7stGWAUIiXmROxNvIEriTSRkxze0RxduSi2xSPmj58Q9EoC5m2knbRhhv /2JDAkHXU/UoaUTxtir/ZqI0RWgzwdEyyO05/1hTvpIrIypqA9wICTZZxO/6MP3gC44GDGuR2CNQ 10CNcv8M4PfERzaNMaxifEnEhiQvPbGWBHvin6EW0jnoBHkeyG1HWNVe31VtSNnSkdYmKQRpI0xP ezzOphd/jBjZA+4MUrwmKapqZ2YjXVi2ThfLH/QYz8+F1po8p6B0Qq979HTReUNht4zbQUJgN/Ad AWxUbf86NHRDypzVIxls9uDweFRF8r1mXoxc2T5FIXqEPgCjuTZEglChpf+VKvvS2R7OPB5xtI0F VNWvhfC/TdEJHgoN0AiwmRWVfxbaCsB2CCKh6ufX/8kUB74jipGYrzWKtnOwQttJn8zkbWw8/i91 CIra4drnfGmKomAbWCGSIC6KfQwvaaduoizffIgRBdvgBKJOKwxSvhikE0u0hl+caqOwLpHvRofA xzlrYQhPaTYhawEKx5cEW43lo61IaRcg07YKtnk3ViPhiILn/7WOGDPCg6BUz0hGGGzLnYRcDQVI xalHhf149UYG0gcG2yMKtjfXUcosI3Gw8+icZfDflWb0OUMATcUkIXlr5BK35OuIyqH4jiC1ZRWp FDIiOVhr5ASxnCJAU/B4hLC5QSSeZGZLB0rNUQjRpt5hmu0uJCwZY/ntup0OgeNfcxRBtKtWUNW1 Vu+1lhEEdwa0wEZApkNGpjiuKWTRy3gQ2lVvG11rpGfRzlSD9hr4A0WitX3g8SA++lVGKtQPeq61 qKbdirxItqOPG9v1ome1Xh0+IruyxE+KrjXuNZRVODAAYQxZ6ElCL4QJqcwpCyrodDSylVH3tynC tYPv+Cs62jRB1KApOvGd7NvJqB1hmN2/ETbEjTw17RGF2aJmp7QdhZRoMSxcRMq+iqB0aVMUhtnG Fa3DB1tFFZKHRJMAowl54C0baoQKdL7d7SJrNyPIEYbZRCFVmSxXeogn8bPSeVle/dCmwwcEyf9t IHHRQe1orxtChnF2laDo4zfZmmuz190vMiK5FuSIy9oUY9mMDzlYwnbOaRxIif5bcD9DyxFxMXMS UCzZ7VLpn3hZfEnUq6XoCCWwz4C4gX/ZhpMHu5LjfC6eDybpF0YbmPkxmh/aM6xr9ywU23YhbUok 0vXO9P83PYN5aHd+0l9YfxYMbPCbDZf/2sxqR4dkC7VbBulRJ5v7GeNWkUSpnVGc3aR8lIhmHym7 sA/QbGxun8S/4vFoHd1+NiU1UN2E6ZnNURRoVzm55bEtYWv05mPCluZyZAQSOZujkA1JGBK0oHxw PgR8sIkaAGcEFW7iTCplEAdKg+mp2VKJcUZxdlKXjbxzDTZH53QRdPSEKXg8grN9l2xhvw7/GJ+i KMxO3aD+3s/eq00Ps/2cOjdAmqZPN//BhVy7+2AnEoRXTqTdhNRCIUbUIwA5FvQMYch1BSCzvW5U 1yZoRCR2DiqOZBhIs9SwV8Xj/4XmB1oN5KR9jqI4m7ZQOEmv+8joRj2C+4VrsO3sWP8ZxdlzERcJ RyobfBnVDHAHsI+QFoMkIZ2Eka20XRtzy2tXuvS2f5T+oZqcfEiKBc/2zSL4p4zHI5XMX9kaJnre GtuM4mx4uUNopNoyylOpCOV76aFDOkRdy+A1M4qzhzeGlg82RRBRTmwYQaEAuEueRgX9fRQpwYTs VwSJKO0ZMiHJ8qsCa3PQVoO9NhloJxOseDzoRPb0lYt09qMucnSGYTZxEas7BDkB6GFbDfYU2mrn lpoWQs4IOkKtw3MMcY7y1xyhSoh1tHgaDapISOCnQwe8/6yybnzkbxuUIck4Gp3QkdEdOsJ8mdCP dr4Ajwch5PheRujD9NnuaRRBRwZDjDGGRdkmW8opKt3lkFbFYaIpiiraRmCr6w52Gp07rS4IQrLn f2K9qkwEVeKGg30xyjb+WtHbRgc2YTWKklZiRIikH8Bng0S2hsf/BYmkazk6R6ndVRTyIAmLyNOn KJe0nJvVqu2/c23jT9BhFAr6UVEUDTUfvMV2QlHYu1O4poOhM3kYUd0H6Utny99q/va2UTGbsj5C 1raLrK2wGSnCsW0+/i+MluprGQL1nvTPCDkyC0uQMz29WoccF3LLjE4LOoqmKIqyRxY4ixibcu3K z0YrbUF5nVMENtMCxsQ6bNDkz6gCeDGbOPYZRdlSEq+NWL+KTET6Vxmm6OzVrporno+Sfo8f8QtK J/dWRmYUZMM1BhX/6cK01CbQJJV8gWxrbAuyZ6g3Qm2f2ni3cfBLLZ0dQtip9PtPlkJeH2VCK5Uz n1uNLqj4kr+Co8XVp8GQbG3rG9uZLjweBJD9V6GWbfHt6dqKYmxI6UBwpA4/sQUYQUY76NUswZHi blorwo4MtowygJw2+K127jLIZ6mWDRhyIb6GQBAk7siqTUxD9P4V1rIT52aTM8LBCmy44ITSqhWP BzzIXz3IvMmoveqZIXYEZXx4SU/bazW7bn8eOQ/PaFe3pH+F2BHiIlIX0r9/If1bhmFDkz42xSfo Z9MJuoPmU8sXgTTtbYMpIqwWBjeCHkN1jhf/yQQpBfbTdy14PkKy+a3Go4DHGmplNkkhQpuJC3wa nHw0nQ5RCF7WJCHQt0kKwSOi+WX6Rp6BdVOso5ZqBSKS0n4nhE+V3exFWVYgehFY3+DovDG+I6hl i5+1V/NB+6OiSydE5OLjUWXkF5RtoLd2VUZXWMsWyY/2nbI1qG7CBr2R6ciRvczWYMX4bPbW9riD 5yENhrMQ4qncYCCysVDLGw1lCTpGlgc0uuJathAjbGVzMEAkaOw0jKy74vHoNLpINu61wrbBhY6s UCw7ScB3ShwC/2g1khMEzGS1/3MeNZ+kkAm5TZMl+XA320CjhTw/aNGD+40oG5qSYwyxwvzM3mz4 rwg60qnTDzFlDugUqHrUAA0jZ/+ky3g+uti+TyRAa8dT8l9RmM3mburVa2wJMh6eiszqonV7d99s fwuOrCpWRG2PYd1utDBjOfZc3JsodkDsYXMPlV3KjctTMxHNtiLoyGI/BCBmH5Su1TqIykUDauDx CIP0nfVPsHPK1axdUZwNrf2vyPGs27ocp5Vmv3psjRESFlIYZ8ugdUprdD5KWm2cM79Jdn0VWAFT JAnoHYBhTsTVmqseo/St143miO5GoHlyGAq0VzupLK+5nlLD4//SPea7YR2VK4KwQjakGz+4U12l nAoZI5TzAoKkwk3cikcrhGiTlH7uwOmDraNzpaMoNCWmAat2oms2erMLnlwm7iPYyBx63ShA4tXW qE0Pa5UfQyCllpj9DFTYVhRpr1/UbLTsBxTjbY5CMmSnimZ1qdFziiYX92HDVUHkuAa2K460KaGV adrDwedowq+Y3vUw7Vko8BA2olIKQjEA79ilhaK5XjcCaUtwZHYflNPiPywsnoOJ7xh0+3+XRhC2 l6tat8Jq9uSNn1yh/uwvF67JNEgwk18c5ZqjWEFbkzOyD47Sglt77eyugVTTCHrrEEJi5/vstUdt jMqz+JIoRJJX+yg+6DxK6JGYLmbF48Htv3/NETR20HzVHO0o0AZOFe7Hw8tHJASbABLb0S6AZIiI HRWzh3BHWUitnB4zsQRi/2B2tKCFODmbFSoEA51SKAu5FyuL2TssZjNho5+oDVpGJ9ZZLP2jUo7H gwpbvUkt3w3lo9o90t7vkTYKc/TzXdUFkM7BY2FRpda+KY6gNS4h9lhDu2iTTR+8S9sWQtNKbG1b AAKwd0SwNpjaGVep32tU8t8hSrsz8xdEq12IVqNzJo+ms+TxeICITN8JW0EhG+1wm6MQpT04MWlc Wo3E/Hke8eDlOsojmQDS/jvQzi3dwetHUMLLYNAyQDonJWk1MNP8acRRFG+vFdrq4juiKSIRgiBt DcaHgGaY5KH7xuP/ArJLZ5Tq5lfOPyRCypBmO9gfWmMGpkmsiBhoBIQWTVEIGklmA9l98CmqHboQ hGifxBvqNYwh0fjlrQIX+Ns44rW2o0gbcWcnFsIHHUdnn6smeXIRvuO/hI+J5kJWWx9u9g4x2lWK h9vNMnPzLA0UgOtKk7cha/Y/MNqFWDYOTqyBYnnXeTRP/HI+a6jiD0QESf4XEbEQn+M7gmtNnGy5 2HengAHrfAIthjegsO1Yc+Qb6IfLCT+fT1FoTEOKf97LVcbz9HV0ktHLPVoEm3GKojB7CVlD0ScN zmAD5QRudNSIOgH7pgXiQPbbZ5I1rAO019DbRs49pPbLGCtfY6xzLO0iWb8TFuLx4FbL31sNnuaE WtkUhfLZQ0IjLn14wuxc7ypypTEIPftWC2X9OEWZ3hkaPDoCnH+BCI8WLVmRWEVi+44BZG2/beze 9bYBV5TYo9W50zhoFa1EA0dWzfj4v5I17sqMUu1zqYVBdkkyxXT3lZOMW9SYUDAU9ej8uJyizk+K VlGRZM3wwVbRmLz6i0xpQapiqXaybgPlw109oZUIB74j4IpSsmaKTjsfOu2CPQzT/vNleDxSGvOL XwE1QDrA0NkcheVsBUdjZz+xVzLgWpF5qZx7qVXAOYqx2UWaNduHW4WEmd0kPWuey3Z0SgAM0B4a 4i/hakQ53lNv+5e/yBA5m4OW0UkNahIruw48HuSz6XunoV0/H5O1HcXY8GphF9sFkIocV3kYtSsy Sh9L7bQIMXLFIYcPt/24YJIt0951wvlBydaJOltD/3/VeUOjYW8bgSGGSKJ3EIJtrbPD1H2cA4// axkV8WkHdA+vTn0KESM0xCxS9F1yOXbBkQYaHf9xn+0wzFE8hYojnTzZTVAxBzuPzmxjRrZ5+Zz7 tpCdVU6wuIAMK+iWOfpo+QsHfFE1RhYl2eqi9wcn6nx2Y2PjBJaTHxBhRsd3pI0a49hXB0GU+/dF kNkQSdnYECC8KPVPM7t9lqg90mJPoexIUxm2LR+cMgL3moT++slHIFoLzYIzU/McejBmpwLcFflh rI1v+RMWgUKHDap0nAByVUGKZ+bzQUpyu7VsaAOivfMzT6FljdRZPMbOBFRbqQ3kdi+1oX4j64MU grSFK16EtXFwRgRqnKWypb073Vc37enOH3myFcBr0YVz+9BsLxzME6VHBtRrMOA4b4qPWh/8bc4J sfgBQaz0i8pO97VHcJw8vvfHUNDPo3XH/QF87ri/dtUiM+opNlGxDyQnSrKrK3/5+K3RM3pR2HrQ qgc9a3YyqxaS1JoeSU3mqfyWv9CRacpIa7pzzYSVNYFJKKHz+Yj1990EgEkf0sk7T1HcndgoKSwj 8oiqaGea2WotYtiAGTHcszdFFe7BzC1VtZPq007qkPjDdzDJhfuUKSFjQZFmu4arjpdMUSR8S9Ar 4RleszRIsqdw8Jo2MPKGVUQKkSTlu1xy9gyE9PazoMIiN1ntg26wPKHOFZQ0Uee3TdOQbRM6S3aW h1XuLoiEbGxqfqj/+ywW+B2qqnROYyyok6aeOH8BHQAhxludTP7CQa5Lia3Bo0WD33ldMKh6wmA+ H3lq/dLYgiIzGPs+T6EWCd1pazMTBDS8qScBVw0al4iydSJNtxVPUQw+VcWdJGVz8JYJcEnwU9KC OvcftuhJ6M9Lnt1SUemefkLBQ0IvHHWWJI5Uuw+apxPQdOk/nrnm80Hd5Na66UcqgNPjYRfqkeQt SwSR/hAmsBa3GRuQVcrY4DHLTlEgPqrdeMkHO6DAF1oUOMVJvuD5igOKJWMkcOzaeAgF+Ty9cKRs QwSXRCQroxfWBUqt/IXRvG58PkICfiskgZ3BmNfnKYrFcZvSL8LZbTU1K3gXwVtkQJbcLRIfFfAA zDeKAScHT1g671Gpkmz87vSDPksUtqrIktujHt2nve9fYMCspC7fpK7Rupwr5Pwznw+23dWz57kA PPd6QEo5RQE5akP0IalW9N70N2UhzgwkUYg7/zd9nsKqt4x9O3GlHLxamTdhSqzonggGwDTqbfWm Bg/W8+W4kXSLb/lL4qZLt7Vf3daWN8TlrK7F5/9lHsUCMI65dL1Hc2geKZ5704WH3E5CUKJwiSBY ZWnj6ylwj8RVRKwSw0gNtp5AqkZhl5c2dKVJrITibYaDNdGa68f9NWmgnQP7SGcnDylJj0dJuixr 6bY0Jp//1/mE56BiATDVnacoIif1NUNl2EopSVUVurRKJcBKKcXnKcKZSMaF5nU2GNy9Q5AUW4/8 G8wVodwLtN+KwxfORi5xRzeS/IeDpAQBSC/tAkwiiMEFUQSsLZXPRz2Cb48EW07XHjH2kASw4Hy3 l1NyUbaCUkEbhFIWggNx2jIsCE0kFy0kToo4fPBEGGa4i9VJJHaQKKNqAEw3GiKd8TRSEC7rSyKe EstyKJ5wMAQlwtMt+59UB5+POrsePvHAXmThXIPEwEYSEsL5qemyvNuLlwtqv56/gy0XraYwHGfj u02iljlomtpJW09WV2iR2M//AoteRKKo5Vb6Hq1HDJDt7xz4SPqmo5WpDTpP8pkk3hvn0qx8/l9C JbzswHabV+I+h0aSMEii+KYX57hVpQuUS5ECV4GujzvbBk6SkkilEXvzwQ8nOCWXIeD7mb5zNpH0 hkyABUwIsXnWIvGkHHpJLsoCrMVVtVYzjdKMDjLFvnuCKWnoJVmu6o0szHDN7mc5RfLbEk/Y5Kn9 T2EDqtiug16GzdMujY4SXR8VVehYfhKvm4PNU4OF8cgiBwK1KbWSQWMyoJkziYOGXlJUELpJoszZ BVexwbhvZ8kO9bZX4fNB5+mXHwBcrkq7Eko5tJOcSfbI1rbEBd4MvgSxe9NP2OcU9yw49JNk7+mc PKP54LCTc5lO3kuA5pyPqrRUSvDbHMRpVvlNCS7gLxxFT2R2bWoDclDzacJ8W2Xusvj8Pzu9/Je7 4cxsnkLBktlk4Fo9C07d52n0KQbT+buqS0znwFISFiXmJZ19uOUnaAJuweDToBcTenSQI6Y4F/5a jwlqtfeNLjvqukFL2AZddtB3FmCwpsrn/wtXANto9MsVyIGpZKZEFEimZTo6Nzs6N691NYI6KtI2 TWFhfBN9gpqXDTZNoHafj6VIEIx7UpbaFKSLF9jO9UvTHY1FfUskfE9baYINNFhut1qSqNJJhfl8 lLN8y5YU4HxzfuYpRnpvkk2ulpJk9gXRLdn6mid6HtnnKUagSLeEStMcHF3RsZt3lmT5QtdvUQAH vQOzklsPgWnaC0dCQVRR6Gv6oBhzw6OKSUuejc9HtYJfSGawAOkIZxMV+ktCfx4hU5qOQWVXUedT pQ7eIM/LlblyaDAp0ZIkrwAODtUBDqHwN5ygnSIe5L5LOMnUUXe8Nxo6et+o1cIGwmZRk7ReXndn ZU1JypyVlfl8pBb4XVOhjj4KKz5NIeR7Ew1XKOAikAU4JmSennB6UpObRTrTCsqhySRkH3H8kGbB 4SJRQMAtFE6Y545bmP4JSCHQOmiRj+vIsWTCHZpMmtFEFay5mrhVQYGLMF+c4pPPB9FT/S4VAIRP nIdPUyhgQqDFEuh7PqWnTVWFblWoDaCkbbvAZhJxDd0BS7uD13zHQpF/U5cbnaQC1LM6eQt1NQjY e4mOmhX8lj/V8KYGQoN5zpy46yQUjMZPHsoPiDA7v7oIOEzXU6MLnCZRowMvDi4Xdj7VdCkEfZvg AvWCPSwIrCYdIQdZOB9sPZ09B9BJY2ZygoIqVjxZzADnTfYAngvP3zhAExD33RJN3pr8NVlVwWKl 8cR5cz7/L9FgFelwkD/Fp9BucnPjNXb4zAin2el9TpVyUSmgfigeD/wmjRiPzur24eGjnO2Gsncl YqfJoAMxFqgo/KXcJLgQdcZv+Wue2PZAuwXXHxcB3KbpxPIzzrnMD4hkcb9vPEJjkND6RIWek7Tk PiHaBV7M4l6BD8AA2JTqExWCU6YQ8ukOtzoOUXRzdwfoUrYBbTAgR7Q5y8MmKPa+UQAle66efdCF hySLV0frtfH5YD1VnyayUkBubeVZT1E83siTy2LpYJrg7OsB1BwujtOvfHAOfCfT/xNrBwe1DzZN G466k05lJ2yqhURZ7E020jM1U67G0pr2vgH8gnH4qpTrXN6pOCf6WRiEyoMSxOcjOfNfsiYwMK1f B3lUGxcptYFRbxiVbTjVswg6OzDEqCz6T3V9VMQoIP9rcttxuOcT0E2TNLBzEg469E5IvldI/jRI JeLCa9x2Nk8hTKUJgjGzD0YES6Xzjz/fNvl8FBfUr123wRYa7VlOoWA3pQRwetvxlHrz4lMa1f3e IDnv8xRb4xAuZ3iVPi/vEhUWMCNYLjjnH0yjcDyhutwac6sL5pH0Ww7tJytxBbOydz5r96oKbk9e d2dxZD7/L90OPAfsO7vHd56ieDyx5FrlOc0i3bhglfPbq9iCvKIn33Yx9ZJ5Cy4dG7zJCXPoMqUj eH68c1M0eVFtCLBPwEWJFhf7otgLB3Em5aiKhCnKFaY4/1k1qeZbM5+PMD3fpmaw++Mr2DyFLpSi 8ZLrZqDw4dCLQtkGNc2pNqx5im0oBQ5DcmvDve7On9Pmku5COv9LYdsqk5ORkqTYvWfe7X2jOJML iVYWGqzme7LLJl8zlMYDI0qkPd84TOB0x3yqT6ETJe3xcDNdD0HXXkTIs92EugK9b9MUheOrSFA4 VR/8soOXWZpcMhO6VGkRZnh+/3MrU/epP2hVVekCM0qnhTFZtEErAGepvM323Hz+v+BVKb30IA1z bEfJ4GWt62621a/jbdezcwwIdrB5CsHhQqmA+WPDU1U5iQ/0ia0VnOSTgwB+sxefL6oXgAZ74Wjb ybOLWR5QPT+qGJ1btLHFf6KnnvkBQb3gcnowJyAPzi9sQWxK2QUyLNlB9NUnSoAlkzsBZcYmKozH iZGDW4cPzsWEtlCGOh+wXGCEo713Io0Goh8Sro6zCwzK2zMPbClBZkxsH/DaO4H0GcQzRM+UBQP0 KPgBwc7Lt05HnZ2J2vNFHeZ/OFPiRrKCQd3XBHalLSprJfHEMpfAmhIzRcsABgganGsIuy4ceDjJ T6Det5SqJm0IkDuCnu5g+rzshYOCpuwp1jJ3aiQtyoVXEVT87PXJD4hwPd/4p0kG9hOQBwaVCHDF Vu3LcuFGCLYpDHaHq4zevaIZOlQOFkr2FAlqXhLUOZ6hdI5KHkmZHcaynKhNTA1UFea1qFqp2wsH e4+6FUyCbVCpDkWvpDMKpZXApPK7Qs7jaAs1eucpisgHgYfTGRlQXWqOE2vFNiQsmPOdpwiu0oZK TyJm9oeYCcVcOJ3w+geqHs1uXn5osMM9b1xFb/5K/JK/rLwmBc81GLzgzJ9cT85tz+cjfY/vSh1A lj19LacoIgfjL8N6a9u+K31YxQA0yW37LiFhtGmK7XPYSJj1DhddkHujMY7cvDKZZX1CcA1wp3MY jlv5XXvZCwf7TqHT3sMHwekQyrPOVs8VxOejUPM7IqfSXK3PcgqR400033E14sj+EeJ3sWsn5fPh 6KfQrHImlcbL9sErv+ffTqkW+TFAj7eRewh49EJrKc8Hx0onZX5LFBqQn6GAk4PWEzDpPFza2eJ8 PqhA5e/jKaNi9CU7JEO7181CJd21xDLAP27iDjPZWIUeA6zVOdc31zAkZ2zASMYGTtT5p3qSr5Ox EOJEZRdUezt0jRnmItYc67am+rI3DnK8LWnGln3QlQfMOusiNSHHq1GNnJrAt9WJ2KijnGUTFZpW YhMgr8vJc2GBfxFsnhDQcuEFQ3eLDQLXSlXbJ6jD2wfDYSyE9HSrgrznPvmYtMCY/SIXbu0x9iS5 PgeulR5D4aLQMBxggOyKJXICDALbyv+tzYiiYR1XTT+HtpUMjcCys5gcqpxeqZt8TJbVItl1fVRQ gKKOhXaVBpsm4Homkx8ox0AlkHzOCfMmCrFtIe04TYqgAt9K4JNNnrH7YBUDeD4yFT5/Np8Prrv/ pYaaITB7M7zQubI2S3/deKikNZy2kQ12AKEmd/bOgXVlohcGSioUtODgJLIKYy+JWALKtRtRYhNO 8Q1udAjOHCSmOl3oXFk5P2mzAJUAUle2AWRj4aV+xsUP+Jc2yrZzvEGU1OcpishbYre8DxfZkTUy M+FKPDYj8pnWnacwIicK5f+K6hdwENZYAmJAAWQzE54LhekOseV23RmmoqfAvRJlW+66JkHLNi+V bEM5m9cd0E+hfWUmcoPLieUFcNHb1zyFat88xkvaydC+DQmq0L4sNpo+Cto3igv+cLAk3HAqgZlP Aw+H34AOIdWIztLtDEix2X86hHYyMKIePzV/4b/gmauz8Ltc8wg0tLokZzlm5fORR8Mt/KoDsSFh 8JxPIXlz1t/2Ol1WzRQkAp3UNAmmSxHmwMbSqUBrCe67HrjvmW9orGTBx8s5vyfFLdjpJMQBdpkX seIvHFCmhLOfW4KNCdwPJi5n0cpeFMkRPyCo/ZbvYt0g7vCp/QZelqj98lgq2Y2sM+M1M7TaBmnd FP+ziYo5nE3yH8sHh6xMNCABRsPVh9RkMhfGibKZ3BFhYluPWnI5sLMUxuacTWpNcVDikjso3fjC k4Xz+cjRYn1FmvAjOTOdbvE3NLScPKJqy+ave5Lt7prNEJ925gZALTZREWalTh1RUv9+zIggJArq I/XkBnrmhhXLkIcBx2gRZy+tXTGBAktLtKbkslPvYEdUaklNqg0iUOBp+d1LwAmVR0FSfo+oz0v8 1P9fulxO+RGQMdWrkRBq6e1mLugP84R6iZ7OBwEAZaqN1QebpFLp5EeZ1AULYnryQUsIZREcS+sa yCyaEL/ETnxXnmigkxcfdDgBPioF0Lbw9P+ZIL4gmTQ/gLwVmTvkn9Eg2axufTQ/hG2f+1TRN7AF gHgyYwES5/wj5qdCMVjLKJgfax00Eafb9vmBYGXrhixAflIzOy0dvIROVkMlKEplAh1LrxN0YnCe 3q0wFOegNQQDgi2npoWn3yYI5d7xPUFPO4oT9BIy8alOEdmd5vYFlLf7D08yenkg0eKdC+glYNIC mnSzTCyJc/C4cgMFOLqU5GBGlCTxBOAAcE8MmtyGoPNd3xdQIRV492ewQu/oKjbBNePzEitpdoVO YWiPfxWWrEA7cXpeIiWtH1o0UaHcLFHkPiSxnZ2sGN7gdcHpeYmT+EFDDfIuIGb/ltjtc1I7iLKK J7nl/W+e71jl+zZWIE+Er3ifnqyqyZSIHA5uQSQK2Fw8g05IkD8vQZJtlW3zo80EHtM5NTQ/LxES H4L0JmQsswukpFVd2IJ9RoMQzGo4+pf4SL9OVv1Nmlbz0bQ6x83J2qaQFiehPstSiLkTeG4E0KWD baL9RbG8z0t0pAOocH/JoZmD4eVQpFS/90TbL7GRnmZVmvOzdVj9wKDHJuglMuJTUGGlrEX34vdY 8xJ8pofaa3s35SUu4gTJdzCzUaLBW70FQDkU4nHdw5GDsB6K8C1ot6FRe3uYjLRfoiL9mqR3JZ3Q 6Z7QJ5PPyzbYKp+XkEgLqPoBJIjBPNkLckfOz0tApGO9NIkzOrQiyYoZ8yMklmEGqlF+X8IhzU+j u1djwsbBW7yrjb6htYYDuhWKvpyUBJyrsagau+vdYczYXoIh7bBsppXEgxOGJhdU6CURM3x2bP+8 xEI6v9b3CQQNEJCRbYJeAiEd0JVlEQKmeAJ1oonkgiJyD11QUOriAnoJg+yA5sqZoonNb15mAkGi cBKgqasuMMHUC3Wk3FjBVWBN5vhLFKQfQaq6W/7w228wEIbylBDczp+XGEhvqKzfTiAkAg1xvebn pSapa6+IGbau2XCZLoqStgk4AMxYXDIuOKGTvIZabT74DZ9RtSiJTjBnJy8IQEyoKxQQfDfFFR0U t+iA8lKP1F+YxTJMzQdrLKEywmupQPgvmJ++/ITmUgOhBkw7zc9LKVJrdjHj6NZnoyqaz89ay+dn zysaE0VABJlQJMyGGwGBJLezn9AVE8Mm9Vk/cDYAZOdC4WjG9FKF1MvShCnL/pSDDiB4w8prAHWj lxqkDiDKk/IAYtttA6KffQG9ceZ0NWe6nstcqKmqvbxqtK6sziZpR9zCaIoUHaLpaIPDAtBpm0uC eichpgDDxAUJXCVZKeUm+XAy5OsGe2xIBVWWlYRecw2l2VSmgnHQ540wd48BTRITV2T4cDK1OYri 6MRKCEXttYrKNGVd6H9UV/f+AgXEgbSMc1fxwZFdDcbFiCTQwl3QQBGEGQIWOJfh++EtXGqKft7o clpHVERZU/yd6XPUBhj4TDVy5eNBKE0+jR9EYC+AB+xz9B5KuxLanvNyVFf2WvamRDfXEf0VDIcT zNHuglEK/ZYf9BukE8qmyjkkJkHboQI6Ole4sFF+vrnGtLd9n6LepKIr7aF0j+pUuwAIJ+IH+C2K hiTIYFNUqXyNNremKAqnK+3zqqC5zMxoQSsIjrQ+QHfO2cXi3nhydi1K3TstH+w0SieI69RbRq8e Unvkj5dFERK0bUf9us3sdd+3mumfbNJ2OCghO9F+53VfC+CmYUQ97xzxQkORqN6I8Y0mp28d5Ohs 5zqfo2P7JE3eS5yk2ptP0ntQnVV0hG5n9kGTdNKVTdpcl7r3uROSxAiYop8/iPNHdOBZrlVvGx1H ZO6mrlI/PlILCVxRwuHxm3zeOHLaqjV/nUdw6TpBQruHdhRXo7MPg2o/tBNEXexAOjmaxdV0drfS 7HtgjRYUllAtpKJUkY6ZuCa4dlO3Bmq6ENMl1JS2zLDn+qFZlldm6TP0xpCz8/PsNiQzywfdRvAw XMUywc8bQU5ZRE6/JukcbwNC6jZJ78E1VOoq5XTdRQekHbc/aYqzgYQfMhlM/KTo1CaPEGexDbeG 1qDwRHGBRfUq6lmcPdZkiZBJ+7P0LE+97vskqSg7Vf+Yt/5xIqsqcVooInze2HGW/9av/IPoQqjV 2xxF8fWmAsNIrTjmpl6STqaGDytEwBzbHEUBNvRDoMkoM51yd9u5xzbgRz2ZNFNBGRXUeZjnQl3g /K/LSTrwiOCXvM/RoJDO7Ma4tBVRKhRRWfdBV+rzRo2zNNtDSLaX8L2gpvskvQfZuJBYq1b/kZTw fCepDvMdPiFSujo6UZQNC7N59jeq1hpst0HfBLYD7Bd1UJwJSJKi1SiWoVnRWsjJ9yhbcSBoArv6 oM2GXawuSIeIThRm75S/0jQYr/GWsimK4mx6MqTd7BSiv5dV9vNK7hezE/xTNUVhoM0abBuqpI2n ktahuzBad0+GwikClQLd9gIcSb5TNKfeNpgiUCgGSdc28GDZ8yx+QboJjIgibXXhb6aG2BW/k5Ua o0AbBGj6nDZPZUuxtuM5B4ef2akXIw6+0eHsOBLufXcfPJeFwdNSr//MEPQ9NquxkiDrPyt5KpLR wuF3vF9sm6V8KDr4oJ02UxIltZ7Q7PNGhrPToH5NEZrEo95y4xsXThO7KRQrzJHKIVe4OrseDJwg Xdz7jQpn8R05cIXusBzsNIKvOZACxI0k6FNs9dEGQl2ks6C7+GnEiuMbFe6rpN8KVU5QmvspBhuZ 8tTG6pqfNyqcTvzUviaJcurzXmtvTDgrNC3BkLoDuYUfYbeRgZna18M9Bt+IcLciSDnm7oMH2udc XpNH9krQraS5FcIbiJ8V4gwvPX7QtuKNB/cVIfHQglYssJu8sM/F3wvvpZOArc8bDc4m2TO2pccg HHJXUhhqcyURDeQGw35OQ6rK7v5zaVRroL2R4Cx2uRKov5RQ0RiifBdtK/ZEF0VS+gmNRrwi6n+O rNn2usFKogDMhrC/DQq1odLNb4TP8+eNAWfn2fxeSERb7ruQokh70dpjUKNGB1Iz3yE4fNVp1f1z gVvG9sZ/s0xB4kukLeUvs6HakM2gmI/qY2/AWuLuR/DOHppZMszHkOmN/qY5IgFngTVng1B/JwyW SAK65J838puFDr6OmAGjP4SGp81RXMBmwLn8RMK95od2H8tJgvDCsTmKKthupMOrP+2nYX1e7Pwf AfpnHaWK76Ak4wklBRko4AhOiXwiG/68Ud9uNI8GLOMjDpojwJiSLra5Pm/MN1vrv0qQKIzse/e/ Ed/sQMpS8bpz1N2pGrwbP7V32kbNfeO9fZ3aRcQJDl7Fhv8Javu0YQbRHp6fIyMO21AdLnT09Dba 0usGVcgpXmDbPmiOBnM2FZP25432Zj3n+hUfTWBQ0f60OYribGqgZqkYSumMUHql/tV0YM4Jstzw /I30pp+YAvEtEejHwc6j0WCBAlY09tpGmiXVYZC8cekjsvN8rQy9bTRFchsgp6Qh2ZPbPewKl5SE zkH+eaO8WTG5f+UiOHmBQPQ5imrZsMdBkra9yja7e+id66gZfu2cWsMy/zfCm7XzUaWFiYcP3o09 S2jShAu5yETdl+1q4A+Ur42LX8uDMOQ3wttXnL2VtnFQhHTelWp/pHp/3vhu6jalX0EkmN3z5mtv bDfda1OpSEmGGF33MsN95OdRWo4YfSO7Wetb1ZF0B6uOZDACE3nJqK3BTJWne0KiBNYHGPwumEed yjeq271hoGvGdTQuNwkAi8b2WttrfN6YbopBp281FnZZ5bsttTeim5YRaSRgJlvav+t1rFpSy+ks aE+Drb3x3L7i7Ab/VBu8gHTCfdBt5KKTJy9phtvnaKHy/qMNC+IyvyPq6tNoaJU7aIpqAp+esfMu nzeWm2VLfqtRpfGcXw2iBTZFIS5kCCTtGgG5jjtFgyei/IVRt9EUxfVsTNHKFPhe+Qp8d/zIJ4tF 4Wu20s7BRHEK2CbTRumHJOZuPJuitw2maPE06qn7oI0GCPNSQnsOzDeCm/7YiwzJKl/iDvFa7Ru/ 7bn44eaRvLGWnVYKLKfTb0t2zPEbve3rMIKtmQ92GNU214my2RaZgFEOsQKgEN8a+7PlgT4OvW2Q raH+CMLc8kG74xz+UiNAePV5o7ZZIpC/VhG2S4UOkE1RFGOjtAB0SLtUyWauTGf5Nmcon4ObugCd n/RXVwSHgQ82RRA4hYd0ESq7IcelDqp8KhMD+wtSq/a6f3WOFrBOZlclghUkP4hw+YGZweeN1qbf ce+v0wiN15afrRYF2QRbo4g9bB01qvVKzYyaelxHHbZ+PLDfOG36kViiPRnH8sG2Gj6S1Vqw3QtS j6zyEirkhJ5ccZdObvIboU0vu0XeZmjEwQ4jNNh5Xp8P+LzR2RSa7PZ17ZOmhSvJZug9xAYk38w8 rttA9sbROSiqW59semFxhqJadqKQUsvU/WmSU1XpCPrR8JbildZalwXzhllABSsLfqreFGEW8kZl 07VJbD9TUBvsMDp3XRctufMVgystf/cf4Zzb+rPTogi7S09Yi4iJreCgk4m4oyD2wBGl8zqqZA9G 2OeXnT5YhD0X1MiLqrSdfl6bEkFSToBW/yPNJXzxG4+tO5YKyopCqmVHqjU0JBgh14Wf8T3Clofj d+1ooIdyD+wowm7EgqL9btFjY2GCSBGEeo4Ukdh54if9daeVtQ3bj2+cJGeNM0sMEwlWT8AwYHJQ ohgg4BTc5m6hM+11I7gaVbnEsh3ESXGDtHMbduqinDCyf944bBZ9fsdG65wGg9xtTVIYYlfWZqch i8BuHybyBv0VF34taAJoksJKdjK+UfPByyJQiJuNkje7wtQB/ybUXwe2mZyMb/yIUuDnjcCmI5vl fkgPcaDSJncbEF+ErI8Tl37eCGxP3/6uJNJyQJ+wSYpibLJy8SN4T6Ro49Fe4Gq67LW9UPvGXtP7 1ymFhOWDF9jOVkO4T5rfObTP2UGa3zm2Ts5/9nYh7tFqRySvvXHXLFIhaS2xCslBtaNz8ovXXs9n fN6oa3rHe/dL+xSMMOjlGvIxjLI377VKcQQD+TWDFtfCOGCrColJAvTxb9gITi8fvLk2gPtCaAMu 5DkD8GXwPEUtEBX+ktrlQg5aC7wx1wzTQPWWQeboGNmYawNqPISN9HPJfd6Ia1bx91421nmG9kDf N4h8I65p/SG1gOTrJjcUUFG0knDjA02SqO0Gfwb0mrGS3nhrthMIX0NCbIOf20AbT2mW8dDZJB4X uK0sEnIS213C97Wi1/0TWzNENBoeRXakFJJZPl/3eaOtWWXiO9DGVdEgg2lzFAbaJM8spxzDKMZk 84F15blN7wXA8kgWfSOt2eEmNi09YjhYhQ2y75V2y4j8B2J5VGrlwZjQu6e6jassswX5xlq7zZ+z hDJ1SUZ2XRIoS8gZFrSazxtnzUojHiNhdtFTb9DJtUl6D7WBExVPrTnjf61qk0Q7L03SXnVYOfuN sWa7jY3+mu9g53ZbcMNcmqRexmDeAmtP4NjweaBzu8QyqQ5vjDWrCPIsGtx01YUIC1QtpbwCkNzn jbBm8UP+iiOh3dTng8WOy9k4q1uabibQKO0n11OCelhia2QBc7OF5WyKvre5qw9eYks4ZwpPJCS3 vIQoxVHQTD5zVNFXVVar2sgbWc0Sf6azQzW2cWsjMD4QHLu29nnjqt2OwRdIKzvXX3MUlbPp05Cm BJXxjyBv3KvtAtlQn7E5CmNtikzWRcBxXRdwjJC4ozxCrUmEFzvJWwe3bwICBGJAZn5GRMQbTa1f xsNIyF1s0BSdd14y8+57fN5IapZ6fU9RodxO8fLRG0fNUuklR09v045Rrb12NohDsrFTDJL9RlH7 ymp7U+Gj9dvshy88ZExwVsBH4qwk+X3DCgK0AWxSD7W1iqJi9lA2S2SNBk0RgkGpccKs8o2fZvCj bxwbPOHRo/EpikJtuktkHDeOY6MhrUlJtWo7LS1viryR0zRFrBvR184GX0WznHWEyvOZogE/5qRe JdwNEOMsmlXqMCJi9I2bdlMu+AtSBpeDpui8c+KuO7fU/LxR02yK2tfVD8nCXi5svYWBNpwTUJG9 lPWy3WWwd5M2B+8KySxvtRCYXUQpWt0HK0IClgVJJEpqlHbWELUSTuqGOwUEH5xDMqsE2p7fEVz8 qajen30wPAQ4Xfy681/i8SCEHN9tI2p8tXnPojDMLnKnmteEYjl0/WS6y10GG2BmWkVRKVtTVNdK PngukulNTQoR5N536xImQbcZwpBQTrpO3tppUZi9ZBeglGQ7hRksDQXo588tA48Hvf52UxGsI3IE yu2J9CjM7pmN2VW9xtYot8byUarbykcrlWlbrb+H2TiwExWO1vbhFrPPFT83I0iyQok8PlsNjp4g ip3zyaNsWQb0mONIxHFibstBf1eCUKi22ubjEYfvd9sIhOIbQPYoyB5LkhDFK2zgBNkUTQqYscI2 5LuEKYpAI+R2vkzRSQXPViMGDlME2gpBSgVw1BNbkBu/b+JPEY0eVbOrjqNMFVcO2mvwqhGqtp5M pEdBdirfrX7E6LXfFm2Pq9mFeWzx9qN0B4UZIdyAPZEFJDEvtR5Vs1UcqZlQyJofKCS61MRAEgqJ hiMLSMV4IuuHNSsv1RJ81KMYWwaM0LzmwOIcA8jzuAw2UHXG8wH6aH0fSDwY+86ervWQ7lhpN0HZ IkbZk/U90dNVQpqs1QJWjii7R1H2FNuoCFlTHmQN8mFsMLFpIDhP166NHGBBObLsS1czOlaPouya BPMnneYMppt8/utG+WIAQPmOwamdfmHYQSPc99TuEeVxZGpK71Y9giy+ktJk4YplNjRZbY7iKJsr qfNc4nCBNVCOpJwB9hhuiE4TExpF89SmZI0uf5Yie1TRXmyvIdLk0LdpjODY69JMPn81no+utu9u PzLcQadjTVIcZqOTPSiCqhbkvEp20O+1em1C/KFJ+pv3WDfPGw6+kHqBTm9XSfvE65gYIo/nD3sB gGHcI4narf09zgZ5kMWR1qUvDZEfRscdlmIMOGapG8//l+2GSK1BzcsmKSxqd7ZDxs5WHFk0XsFh XYpMcJjTInFgBan/GWiP7aJ/16srD+gmpCSRqHFSPxjunWMc9A14MALPeIlHizi2HhW1Ya8H5Xby iwslroxpDjAHQyZYg+EDIiDbnSX+y4gnyq1G9vdgG1mMhDLkCsTrjVy1SnelATitVH1OBFC5lqJg mwfyBC/QB5umEyyeXVd4vUEIGiAhorQAjgC8FaGZU/xId8KX/HW9wfLaB0Npdbr44XrLE48HqX/b XyEAqqI93dp/jwDapAamQXtR+neeH8sqkCe7Yll7w88KTSzWkHqEHHF+FpEjRSCzKuTISQOpkVfB tVjMk4BAglf1AvOBbVMd3EC/VnxJhGRjfAR8iQ1WHml5GXIkFTweLSTXgmDkAJpDG+Oe3GG8TXth 6ava7Ta7T1LKqizB4GVZoa1HZe1lcvfyxsuPNx6avXCKpFJWQQSJMGFQEnkR7rfSlcvg2sCXROwj UmpwkNigJhIMQ1iZPKHhxOMRHfv3JEFrat5gckTx9tySRp6OjCijZEdGJFMCBqqtWjA5orI2CtRA jRiG5EoenDShnZ+iCoOESt6QT85JK9H2l42fKCMmuj2ieDuze9Q2OwAc1IxEq00g7RMu4fGAkX0X EmMqQOg6usw2R1HADTttBNnDipGwViwWJg1WgihOU6aLZowo4E5JxlxirX/JjJ2DO2V+VoVAMq7P SQH3c0OgonRemAVb6Yyhp4gvidiQFDzoS8OZpKEmEtTMpGeL7Hb8N/wI1Gw7tKttkkKUdiIhYqdy F1L3SltP3SPuDManJikqa4tyPAvxo7MQYoMu49kJaEjCkwpZybkmOxmTFez/E3p0XE0eAQDuMPAd AW5UyqNzy7MExQtO0Xn9zQv8fFzH41F75LvxD+H4Oi91fYT4EZLYxtrFK21zOGO00TBH6iJobmqv ReG25HvaJvO4UTWYU4T4Eac2+TPQgGqmCEzbeuh7zVrv/X+C14Hv+AvHPrvE2Lr73sCvmCUvxDwb j//rPGIgidwWwos2RVG03UXvXz07jP2LEJGrB5LgPNsURdH29ELt9MEhNic/GRTho8LRWaaVPD+E qUx68nxYtSx74Ev+OI9GYmkbbIEhsZCC3dqK2JAl4/l/tdmsOweTFL/YRhhtywiPNoiKkGjGKzlk kjAZSE6El4y2RxRtq4JEB0Yb/GKDow7cgRghoWQinh+di1AfARXN1hF9sPEdwVbjvVaHACTDASSd ctcMN04QgccjGLtP0ZJOG4CrxRtII8RoL6a2O9+FNN2um1hDO44ayn2aowijLTQobXNsuAgS3NO6 1+YETYSi0gtMmAYoJy64C9GuettgHVWuI5CqbNBe222KVHvmf+DxIECaHkTyoGf5r90TOwSQEPEn 9JkAJCpNkp9F/wlmtvSF0IkdBdqTLjfw3NKA18/ssdH1LlNJFBT/VOkjsVD4bFDpwsnrUwT1SXxH EB4ZlxZEUw2aooTrstqqxeNRi61/hUdEjuAMsSmK4+wmIxJvsaWeXS7rXHXprqJqemvj7zg713oH W0UJcmsNrSzoIOzaketDKwJbk56uaOz6VmMIOcI4m37BZ39yaPRf5SydIBiOAecfEe7iA4Ls/55H LPBis8M1ymYpDLQFjZjXcBLNYS8jyUGY0Ig6TOVwhIXtLp+kPnywjO3881lIVkY68V7NbHonKJpM SGgWtrtU/KetNL4kKLZR7yhvuQajsin0+ZnnJdnxs2QLnv9XiYQH/Mlmxrgo7RkWtimmnStVRSim 3Wk8kmkqxc4SJwm9HmYjM9buy0I7Fh8s0D5RCXw4SYiAqU0Dug3gUcAcYFU2xi0jiVM7Q/hIETBS 3Ox65SLmCVJp2o2KGx6P1tH6utcWhO4AubUpiuLsymZtz2YvCZWf7sgIEP4NGYFEzqYoZEMK7kfJ UA12+UNcDIoH5Iw0cCvQ8x5NwuQwgk5PzRbZD77jLzLkUj973X52AyGVtb129jIe/9eBxLo2j7Ur 3zejKBtocoTWzbmQqTiQPTc6rjA+ojIaD6QZcyHJqR3M2jg4CumsjXomigAbGKEiVcZWSxSvwXvl C7BZ1EGYYV2b1KO+SYvgoOJIhkCHTJJXxePBHI1fuIhzXg+UaGyOojCbbhHn4EveZyuzWQxZzx1n Fdu90erRHP1d1a5q2nLwim06s903KSMz0aNMTiUITBCOk53ldqVLbxtMUVuiZXUfkkLBPCVZdyLR jMf/RRkhXBkBBAC9NkVRmI37B/Da5orQZx9sb488WX8D84gn9gyF/DoLR7T/1fBV1J6wi2CYDWk4 UrOpBT1QhcydQpDSQCJMe4ZMSLZre2ZG0rPZNePYTJX0gnPSVTwetSK/o2zZVm+PIGdc0xbuyFOR tLJ3RDKKF7r70enxOYqgI0QWI6MvPvhpRKil297CoZaG1INKEdAdgROeh0dUhp1RlF1Zf1zekHTo CMwZqUB48vgx8Ph/KbGxPU7jA01RBB0BOIAy9RYepUG3QEFHkvO0cP9Yb2RGFW0xIAmrsMFOo4aL o06SWBaM0RsNJSey0wZM7mKQbfy1orf968DenQXb3UnZQ84/+yCi4gceQHj8X7kadSKQLaYrXDOj IBuXNbqP5assYpXH8tCP9wa8TYdRKOjH0BGrxoebhwD4VZbutNZ6VkLL4gYkmDp7/lbz33rbAIDE ekivxPpxsPoa3LzM+o+PB1N0+f3clMiDHk3RGUFHIHMKk/JLXS/N1FnOFBGNboZRxYLsGQXZKF0S H7p9sCnaQHdWiYmfaPVEEBSFHOAKYRuew2jcA3tMe90AfswuJHpRJLChm1OVrC3226iiWPH8f8n5 82Ky5jH2jHmQ3Gr5co7XcGD2ObKHo7R29px/hnojLNB2mmpp8BO7KP8gLgJIHtNmp95IpXLmc6sh Lefr/rnXdso+KFtrq9AJGyw/vmMUP36L04LpAD0um6MVhdhpSWVkpXtim5R/Odm/F4/mvjj2FWFH RlH8uO9wT2wE1eoc0edR3mS0OWBYidKEiWmI3r9C7AjrInvTXnrv6gktAGVstLZWKx4PiJDzO8TG H4pGhE9RXMqeEl31hLaKWctLbQ2XiWhrWGy0QuwIC2twpfHBT+yEOu2QRfk5ZRMA92jU0u0LZZGW LwRpbr1tVMmmhPjeGipU53jxw/5Xika7FjwfbLXs2BFMLvRU+kMWXaFGNjuWGQqZRj7a/QZHlMvh HE0kQpqjEDuyRPPLqtayDdq41dZJ13rtTZjRBBQvdVvAXENRjv62HhudiBffEZXXeA4x8tOg7XHW aSc14vx3fDxKQ74xo4BT81a1KYpC7N5F8mvX6r717RVIXhsCjpRmF/8K4dliQcyxfPC6SINOfKFa /wTUrLKSTVuDDrNUVv3dIHnrbSOZWsZGc08fDBAJiU6G2HVXPB4cRhedTS5EGeJT+hzFWtlEi6xk c3RSj23gmrS2N0TQ7bGqyAqJkFKJINxfg6dq5yzLJyGm2khNCzUSxNjonRP+n9PjurLZ7l8RcAR/ ESLHbXFkNWG/E73BRJB7bWY8/1/CIyBrx1PvX1GQDa4G4H7dj+y+VvG9NnvzrlFxbZ8Vy41QACmR dczBSkfnrzm7DeIFaA4W6DktIpDAz0INj1Y1ZqrJpBFfEqFrkKzRftYGJWv1hI7ke6K+jccjTfrv qx9GKb1cSZYVRdmL0Nri2Qec65JZHhYAbWyzURhBCymMsqtU6Xm7cfAz+2S36I6TC3EuuV4lWQcR CkBhfuiOaaUjMpbwJcEcUc03TRL80ywWZp/IVM1BABwaHg/mqH0LRAKlBQCiz1EUZpcledExrbpW 8+WLQGyO+JEKWKaVjlaI0CZ6HZPsg68jEq5BA6vQVTzzRO/oczvsE/GhmylpH4FG5tDrRoAILKA+ eZRw3g1/BOAyq4oor60ozl6XVCuR7Qpc5D20ozi703wNPA4PIVnXk9zIrmbMuiawdJLODovZRGcl 3WscbI5WQRtp0w/5HLSwBbI5YoMJgRhgd2zRFoRrfN0A6b+l6iS737YshDxhV5PASWuD7xj0+i85 S/4hAB+tu9eiMBuOVuRme5g9WUzmHNWZ3dMedCSbozDMVimbHjMabI7On3ImfhPjiZZh3tJfH6Tf 4tJo5ZKzobbF1/2jb4T0tfug84gleEpEnTWLxyNJlu/zCBTWCb0qzdGOwmyaGqXNOobla8N7tBsy Ci5/NK0GuaNK9mgyjJ7dBzuPekadZJIwsoFiYuv6BEpn9w20Sbvkxx9f7R2F2Y0Y/4J82QYtI7hA ET5c0+DjEafmW7UGaTzDNpui9zAb2tDkY+W8vUzbil1rNc3l9bUKvJdU2P82oklTO+4xEoPz54CQ EucBWOTNwgiXroh+UNvza40y/juEaNN6vEuHvV8d9gZYikUBe+DxIBX5JRRBlxXEbTZHIUQbpzKY pV6mxeHqxxFNwLmM0K61OYoh2qyMoPZkw11GSI4rBUcWqEco+Z4YEudXQyt7Fm+tFbrq4juCKRps zcJX0wbv0NKsBEujbzweEbO/E9o8qGzuJ/YOWZCE+c06t5Eh5JyKkOjsBFPYOFffdonx/TdgpMya fPApShCxyRLRqu2ccQoh++ClguLGevyheavtKM7eTNdQcvRBp9FJ/SpZNScT4TtGqsffhiLIaM9X emFkh/jsRFGU6XN0onoPGwsOIWPVdDq4cY5ifDYra0lNo/TVNDoJxgmAujyf8jm/2Xxc1PpAX7pc V/bMSBbf8RfjeHSSIThwighuUbYF+tqOBUe+q/3s885np0VBdu1FNUh37SnZARAFZeEfFxxx3NEO 8SJSzd4q0+5bpgWBbcMDi0AoAPxYpkTUDToNfsXq9z5IE3rbyLaHJNrMSjYHTVFCt07ZWlp4PEKv fe+0SQj9M0WhdLZAxzW7b4900LWK5rqrqDs3a4eSfir2Z9L3rucHsdmpIUOX4eoGq6LzMKInw9l1 iD28g9273vZPZyzBIPeFQZ6ToFqRIS8+HqVqvooYRmWWaa+xSBRiV3H7k7utwsrchX3AFxLt6JxK 3GidnxTgsrdka9rwwVZRq+dkHUY7wr2fyfAjm6ZB9XDTeYXimdnfNogemaLtnLcPfl7vuvR1c+Px qLp2WUfalWCvPfd+WMrWYZRdrS4DOa05Ojt8uIhWgzqD5ijGiwjaOLYPXqU9OSjusiUW5O6TuOwF KkpD61yQGtGN99TbRmojsjVmd42DltF5a+mMtZNQ4fGIT3MPIzxHC0+IPdscRSE2YSmZvmfGFCXH U6cRa+86jbZrHu8ILTKryv21+uBz1DOkMlXux4rc1K2BSuAPRR5hb+WhEau0OxYbwVarSwaiy0UP ITcpLYET8A48HoVG37Ujej/P/ljURCG2lPRLmdMRNaO52Eij+yr+EXDYZobiKVQbYQa25zPYeVSA fenYraiLbPSuE318zksuJF8F0Og65by+tr1wQBblNPXMKmRHc0zeMr1uI4L3szj5AREP4ls/G7SD sR81/xQK+/FQOkvPiRDgTivvP+lHMpL2gg6mybCnMNKmC0sS75qDJ/4NKYJZjOyTUa3MAhJ+TUj+ UcPgCvww0sa3ROAjISJ4PHFQmQM4wiVX55n5fJCP/BJm6dI5vx4jKTSroTXEYH9KcvV8QnDIPZLV 2dKk6EjSRwXw7CZw1kg+WCiJLubZtGpZn7+nE6h0Zu6sYqywn0zZF/cOzfbCkaKGEfxJHoVlkRh6 JwyDjOkPuWmLHxCESr9Mfehq/GiNw3gqCicRK43evRmJ6rwj/iQa2WlEO90fIoUekAyB9mbOsfdF IGfIy0NWGRMFEAakWelxeG79hUJMTY+cJg3U+S0RbQQLKk9qRnJQfpvgVyl5ljH4/H/hsnMtrccg KkVhd1LBjXwNnlC1wd+IJ1Sf5gZd0SlzZ+MUlbcHCZGMSW3wBTVPzD27pbgJ1tJMcQvnCcEq1VtU TSIXit8S9EmIQWo93cEOcmA5mMBtuESkEERS9lfoDf+wHyCA7kSFFW4qkJ2Ar9gJ1ciMx0TltbaD 2mBe5Ed5WOJmTRIFBB+8vY2aGEpJ9ITs0KOedBwBhARdQYLRvTSZtr1wBP2TbCRTFA5+45l8Xj1f wOcjUvu34t9JEn4GNpXPUyhDQgppnW7MkoZER5FdzeacpHX+6S6oKASfzFKgV+uDl7kX3KD6Eop0 wfkQsVNCeLUHlAcaLaDlF4H8ld8SpXLM4QpPKA6aJ1SeBQc5c83nIxJp+1pQjRS5uu48hVokshRv RbBadDRYWtpUjyxaWieO3t56oylxcHSwqJSZ93KwAwoklQQfO57kEBGZvPGglIv8Dfes00gK/T34 LRGm5Jf3OgumqAqUdsI0/JXoW/P5CAT4qwJ35mn0i5fIKQrFVxMSuTgBoNbhgAmcKj+u2eJJLz7q fT0VpbtF9aVy60u15j3RRFRLAOg3YrcnClknajirsD3K0X3a+0aBgXCAXAccVIODnKCC8fPPfD7Y dr/EfwCgoLO9T1NY8G5MU778Iqe7QJ8s1HElJ+9ywg21cN4XNLtLqaY7eKkScjbwUKP4H2iSNF6n /uIgaSYvVyUpFLDgt0TKv9x2e9zBapVdNVbqn/H5IPl9lpN8JqjSdK3sQttIlAuZ/i5LfwvdBkTe YujGWhz8btwSMUSXNFIkq0SAWd3TesImXPBApP0FPFRJJ11oqJyDj0DN9ePWmjTPzoFxJM5hdXJr 9oF/2knjTwTFIyeNyeejZuUv+1q42ZULMMmhdWSTchtOIlO5Ad5D6R2s2iy9Y8nR5ilmS7Z3oHs7 MRSQgYTfrvOPBp+ADUPtCKD69RiXEUmOvSOlkFiIVOJgtZS0kyTbT27D5/8lcJvvcnqcEWP3yMTj idopEgGuw3RuAAldVilYiz62XR8VYSe5jkqfPnhYAIlTFMHpsdV2kg3p5vGJSGc8XRQYkupLok4T 8cmE8nfa3nIB5EUxV57idfD5qK37y258kX9z85bAQVIENfTjRvfq7sheLKgUeeJqmsXtfnNoITlJ 4Bqy3OCgaVKIWRsBS4Oy96QzFWzTijwQJT1X32DvOwcWklL0AYMLiCUNdp4AFmVCgJXPR0HBrakQ ToBfClQ2n6dQC3DxUoYvjkmT1+aaQFntOcgmFZBqbZ5C4qTEtDO9bTjY6XSipdTQESW39CystdlN Qa+GhZsMmVFLWySclEMfycUO+N5NlcxmBNxc1nKUAPxIQx/Jck8nhqSbpoPPPEXa2/KR3NK2IUOw Oy6wQCrAtl2lbqC23d/+Ns08E/qNxis2cG5QT68SK0Wue05xgJY6JT/KuCJcU2FB6CSZ5f6zGERx MOwSeq1i4a7C56PG03dYgPy/tMfdLrSShOUFgG9tGOZELURRutoyAZyNqpGlwaGX5KKf1Imxuw+e 3gFiCn4g1TFPXF7lcYPuCg4v4I6nnU8lqQAVmklOarkUOQEX7wEWFAmSpFzP0uLz0TH+W4MbOhxX pjQHdpImnghvm5sG5+bUN7bPEJgjDXbvjRz4SWYDVYCJ4YOFTydCQFV8CrxMIU6qlaAmNyB7gCvI g4Ja7X3/0gbYleW6LdUk3HZbcEYsp1T5/D81XfAvbwbj108yMJQEQ1ANg3KdSRU8McosV4YT4lk+ TWFhfIuw1LIPnrRUOJ8WmtzuAa3pTXuyWaA0BZnSL0F3Ft74LYHqPasqC2ehDUruyqboAPFMhc// S62cxxo4Pjk/8xSivFmmW7W62BSCG6s+zWS4Zgi1u09Jjm0lhaiEVZMNtu0mHDcGLgxgvYDLoppQ hSnJZG2Zf5aTl6a9cJDcDVUJZFXmPdNSN8wG1V2ajc8HWUvpX0FmBqSKdVCbqNBbEocvzsCyHIC6 LwW+9W5133OvIDxmFhyaSy5Rl4gw0WALClfbBruFLu7n0mjEw9fFDjmLVsmx3tz1/JIIgkoXhcGY vLLIyCpdcbnds6oyn4+uO0d782bDp6GB4tMUlsfpo1BxYGcXLnXS6cmdGvW4qVySrPgUGkyC4gqg N6u/HBzPdP56NFnYkMptZhotwaO86BiH85Evp/u+f+GZqqICaa6pVlCWaFPnFJ98Pihm1m9gHIr+ bV3eaY4dJoHgBqZLJXHyLFwHj7psElQoqOVp2wUWk0A/yvVOaNR9QQQnIMjnE7qS4LPFwR9E7Qkb fDELH+nCB3P1F45qdCQNFDlyoSQmNiQVz1ko7+d24AcEcWb6BSTA1l9f6ykEpBBTTEU4nU+VVuxO H/DzqYFSYxP1N/A7DdbqONj5dO6GsdlIRFgA7DHeDiACpgIooLTWngtv2xsHaIIsg8BuBoFWfWoo 6zPxPsFC5/NRr/wXEwX43/xUn0KrSYmYtZY9b2k9u5sSvBw9Cx7DZExz4DV5xV43vV44eJx5Pv/k 8kjgQVKhOSf5Oohb4POZ6Wlm7fK57IWjeaISTuVBPqHqLdVISK6x5vFz0qHJDwi23u2YF4sMWr5c 3Rz7TcrcVc5cbHryEmGZrm2PDE54Mr2sEhpOwnwNRxPZXxwcv1PPh+4lVOqaHbaEYKRQ+A+OqbAs u1SCYu8blQuMZ1F90IWXodbI/t1ZZHw+Ulf2jYcDFE1F0H3uNEXxeKPlZHZNZaynmj2A4jKUIQ5y apumUDyQbOb/a/JWITqV0iaGZ0yYsibbm3TjJC/oyiutae8bpHc6mYbCzVGWxwUnYRD/6xzvfD4K x39JmaM2ijXp0xQVxwchzldMGdlddrfAVFp2jAqKrUrvAtvJC8Do6Q52Pp2Tu0EKnCCVORrr5OAS npN/cBsXHLvoTJ1tZ/MUwlSIcvo/OguQM+9qtpwkm89HimbzKy7AJdweLYocOk92Hk+JSYZwKjtf nErbLiHcZvfqU2A9ie6dNBZa88GPJwgKQ6OLYJ4O3+lJNQoYiUEyqz1gHsm+5dB6EpvzxJedvRYO CgwBViAYp2Z0zQPvyf99OEF58+z5+RzjUTyeWKWr9ZafytzLyk+QfeJ/C9fA7k2EwH5SxoXoRslb sudHQxDBBj3jEGZm4Z8QZoLuBopJHiTRqyk1ir1wsJ4o+oZSsg+GL4ShBG+wM9V8Pir6/ooLEl/h huOhBeVUGlyG452LailDCnlXRXDf8lPsQUmV3CalPA7ebGnQfN1Kg8/S2szvxmYBAcU96rB707zb +0ZpsMopDDc5aJrO9VAoyqfaeOBCiXD8l43AABrhmlHk0IZyV5Lkkzu/wcTOowIh99hDqNnxvDnw ocxOmPdT6ukFr11RjNoMx0G9B5QLBxVYfbvwUHzQqqrSBU6UgsGdQA0ocxts350QhqWoc2lsPv9f 8KpUXXoI4Tn2ouQ8bZkssIeQljNVtuSXqXICJzubp5iCSRAG7YQ0OAjjfOhZ20u6S+hXVLpQo0UB FUDU2C4trKspFdpRyk9gCEA/qH7DK+ociBDwZvTUMz8gmqhvlXOE4vMxNM+hI2Un/GlTAEvRU6s2 UTXRiFXR024eFsSWlMStJqQ4Nnh+l3Bm4yuAEzvJL4Qx8HawoqYsKKQUgF5+muaBJyVIz6zPNZYN CiAjcgIAybwwmAILmR/wr0TYxSnRDLoTFcbjTUF4dYuzlq+hID3a8d+S3eV1usCWEhPFAlSlChMH m6gxICckW0qQX1oiYxVasz/Uq2D+6FD6vOyF/xKpapI8aUg5lGsMkLMpyIFMiR8QsVZ/4aBJvt63 AhWYU+JkbIqgXIPJlHKlLViqw1XaM1Gh7MkW/sLQGE+Gd3ZaAqea7tyJGvos/OKKJKYm0/zd6D2p 2wtHN54QvsqIc3FcDzjpQ7geVFYCg8r/bZlHD89HQCeHDpVoDoF1cCt1U5x6CjFNZ69ApMFLBoFF JeRpmLc0mcC3xwSe+u1lkAqeUsLmU2TANl4CZuWKeeMo1JcEN56EYbpuvO43XgPM2qyF0uDzkbTH N52O8UV60rvApFI+vejZjWT7rrKHJdzhmr7vSup3OYUB+WLBoLONl/qjwwjHIuTU3HeoO4EZDjvP 826AO0H59hZ+abbObwn2XRFMJXcfBKeDvSDFPU4a0vn8f0qDkQbVp14Q+lROo2iwOpcc+2twOuoX CRQNtovqmbFRJdEqdCyzwZfTQhlzDeFYzxROFuoypmtB9iPPB8dK2VV+SwQ7ZKApm0EOWk+z0tyP TueLz7/P07rzhP+Dgum34lAOvSornkNrngB6RgkuowdKHNi9yFzyouRQ10f9paIPwzMfNFFI5PvJ HImMPrOfFhHm564drKYiLOAsWWeqL3vjiMdaJBTTfNCNd/7awmJOTUjxAr/K83uOX3LM6AdCAM8m qoUVcnWE5QmrqG+62HDa3QAGK+0bQ7UIr9IZE3TZw/XHHg4lzXNiqAKFbhK8XFlERyKWCRZ5TD3J rOdFFsJNzsm0uaDWzskBBiUTw2UAgxbBVZ6Np2gJ1A6Idfg8hSVyenvlZBwfGOoNI0flKYlPLKiO PFOpcOhZudjibL3cwebppENwh2kSsQKpd5LXAte5BqeMTYVUqcQrggo8K11OF7AzH6xisLvc0M7a 1PPBffcLaA+rqLYv+SeHrpUomSGtKzcT9iIwGsK7OWujkdnC5RSG5CSDV8j52WAZHjSwp8JuyHwj XO9SiwX6DS1+3M4GE1OdLnStrFkRJut0paGExT8NDno6hk/+vvgB/9JnHHaQt/1kwoFx5fneTW/Y MVxZTxo3zITrdEu0DSdKn6coIh+SjdG9x8GBGAAXnLBVTDJsQDLIN251AZwf1Y+p8Cl0rkx0iVuL Lh8crCEMEUmeThnwp9C6MnfPhAlGQNQKgSyfpgg+TjfdVOTtJTspxkyLmfBtJCSU3xUXxOaVTIHP ed18sGP8xH3tJCTSsJ6kY2fCDnHFE1i06hM/tW0vHOELqBon+gYHbbuG7hnjghPF8vmI31K+7jt0 GVt78E+hf6UkUQFatjBz1O0y1hR6tEyYHVrGBYGBpQtbJKO59H77nB2OTXkIa5FSI9QD8eWJ9ic0 OzOtiRyvsu2FA74UefYk6mPIJ6JXBbfh3bHv0CTkBwSV3/St/zEIO3w654GLpUgECKB69obwqrag INhlvqh71XonKmRwbiqAlNx98AAKzAaUi5i3rJNCLjGmzmrY0O2tBE7Yxpv+wtE5jgWVhdLkoLwl uzBLLVvPB4nwb8sv6JivfOnSOfSyhC4+lPST9TpPBtl8oqztCeIGA0CbqAixUs3xg8AVDl76XdDa g78A+Hdn9nHaoaeAbBb99kWYvVR2RQQK3CzFnKdvfPPB8JkVDVne9OABBXaWIKX/mie45lEwWvP0 eQ2fMjrnDC7HuoSpcRUcIHJiiQsAwTqgXoOnnKixgWtOtOB+PfYqqUWdmcQk+24pKCBlNeFUWtc6 hrivz2volKEmQwpCY7OFg6YonbuUKmetLTz9MkEZCrJqtWQTSsk/g6ajNBl9jZowPZOraKFa8D90 R8nDCYpj0Rgd04OqiK2iYHq6CK4krk4jTnCzjbNBzs1WWRY/V94kCv/cq+h1EKtfy3abhqVT6XV+ hD3tqMslHzQ/uD257+pZq5/XgCkjklg2P0ze2IqiHQrm5zVcylnC8WnXnnz5tO7KqGiB2XGUQQ/m 8nkNlrB8ZKYrhX0OHlPCfmORD3D2GMRfqlTCFqsY6NZdDPQkVPw1VMroIQGsiizdByvyniCD9Y8T M7XPa5yUH02rrHgSNqw6rzE9r1ESlg9xBJMqXXJCoZC0ZHYo+y5hi+n2jK8xUsaxL+zzSj7cMvjI UPfS9MAUhRZfiwIe6J2OfZsqECbCV7xPT+bJsyVLsADNFjwCtsyUcjlHWs+f1wApPwJ72l6wX4Xl lM3Pa3iUpZuNLtW6cgRluKgF9KYd9py6sTJeg6PssXYWc4yDw1E2sQObJW5IXNJLBmi5/bOx5KEM 4ttrU1j3NTTC8UME/Qk+hw+GlTu/noQs+4m0XwOj/Ph7ZgWQQMopbcP8vIZF2JPzS1VXRERDgqFc 0h/UQDe5htegKKtKepbHEgVxXU/GAn3Hc9jsprseynFYPwUAOahcIeNKt33JIPs1JMqZ+PwOalby QfNzAiyhOc6tUD6v8RCWQvX1o6mcJ3HpPj+v0RDO9CLYvJnAM791w2oxJQUXID6bvhXR/Iiqcp09 LvgEjGWmHjyeYe5Br4EBUQtuZri73A3GZO01EsIGywIJDGJSIWIlHOlJ+OtU6t9r/7wGQji+pi8g vBo4AfCrtQl6jYJwPqNhlFpvtsFO3uCNkwSjiR+TikVTnwvoNQbi+ay+N2vdHBxmeQ7NtgF4oz7T eZbtSt6INAggLN+jarLGX0OgbPqV6FV1H5RDQO5O9/t50c9rAMQ3rF8HNMrwbU+/v17rkdhg+NfP otnLAQLD0afnAqvO4ul0wqQOWnBAJ2kNZsbUHDyYRq+qaw4SqCkdyLkBDsPPgm5PGdUlB8k3wcsG 80PNyibxAQ7WU0ICSRpFgeJfMD99/5qfcyFTg0/z81qGzNcRfpZLmxMoHvNzFk11YEDxA/q1CIlv V3dkEd0lS05bPzBRqY2NEEJROyXQEkQ71iCOHzBIQ8ElvWxwQBM9QZkNG3QAnZRMITRLRq/1R15g 9Ts+3ADnU3FABP5ggtBupgrd1RxKV1CnO3KCJgyXfhnN0FIA3aoPNkNQv2gnlhebd+5eqfJR0d9e KHOT1OQquv66wRISjrkK9l3d7Gydk38a+3KApBrtsdm/1tCgog+KMfrSKIimKBjgANMWEaVcTQEt u3DMqu2CusIoWplYUqkoPaWiCW1wWNwSTHlSb/RpJ910fxaO5aK8vyq3H3rd4JwuouzIF34nP4ag tsqOZDvX8OedKIcjJd1AET8hIK+jtLuQ3iNpiAVRJo6mD8IClCteReK2FhIuM4fgBJMEhj0RlMUH r6eVc7+spPLsmRzyHOF+hso9HRUeQ7iltD6KpSXstZSKrZuKtfMrb2r4QMrn886Ss0zuucugvDbq 9LPonSSHmaVpXl3TUQCV4Ayhb6SGDqpzZm1SKIDgtFZxCE/54CAAkPBPisEaUYEHENUGG4U5NrpW o37dZurhBHutb6nnUpppuEo/KAqmqFsLkKZhQD3K13mEvhVM2HyO3iNq7BCiJlk25hx1AQAwR1O+ Z5gjlmitLhvNETNWmFH4cLshGyIusvEevZVeBPliqf78PZSQIS5Q6mKfd3Zcvt6LVSIotCrXOspn UckP/kQvn3d2nIVUz3mUEfE1OcJxkqKwGj8/ZWFtIaUlBaJB+knypvaCA5aqsu9xtWt69MT1xMEm KUFqtjc55qKpnaiSshb7WuTszwvjGnQXeufG2dHQYQQ6fNBtBFMVHki0hHunxmXoDdZfk3R+rbHX naQwtu7s/A9DbtEXxjv/vXtTBC6T3tKOgmtD4s5cffDS0JgnUqo8kGBUsgmBP7EWioWNjr253+Qs T73u+4nU6Am3JrOP5ZazJ7HfuFkYPOb9eefF5UfWW7utItVr/c5RFF4DDHdWXfXq2cOQgz58n7ft v7y8H8XXnW1sHF4+2O2fzlrCvIjutYBdxqHdEblCswmwpOX0HDRM+SXvczSkfV7IIVzOoC4V/key FZ6AuUURdrdSrEWQkBzcz4n0HmIDksQcNpm0Ca2AnHRCbrRTLG8hNoqxB4Xhh2po46mhndeH+M8m tBQFWjRsGYbDKmkUI39bvVqQyfcYW+0wqB/W7YP22iqJZn8niYV8ThRk79S+LjYEyMQM2BRFUTbS M1ReXUI/p7S9S7Ra8qI+ibuaojDMpsQwUNU+2BSh+Q64NaUEzv8LeRjyVCGpDBjgLvkp6U+9bTRF 8HArsCS0gX/rnudskxITIRFRnJ1NaThL2QuhK1kUqjNGcXYjDgnKBZ7IyuScJp67eAyZgdBVoTGO sxkeKQSoT3+o7AbrHRjxINVfKM8MesGCpQXeLKbfRXNQkfm80+CyaDGIr7XR6t1oE21+ft35MT7v LLhstvd3o+EiHPXWGt9JcFnSBRn/SV4MqcmLRaUOR5N2Kqjg6n/nwOVs2GR6S9vgyWxjJJCYrNES jAd2xp1JPiOkh+5hxHLjOweOMSAZSyw0dzloGGDkzDXlk2AU+HnnwP261ibrIRUqcb7V3ilw7Hcs VdRcLqcMdzsrhhzBJK3uJ/Y7A44ZPysipd7htvcbFHIapRchxTzIiwc35wfuuZRavV5edKt4J8Ax QGJVqLJmVCvgXLyvyzkWMhNcdKE+7/w3TvJN2Kyd9EN/P5ulMNIWPbZO7+6n+cDZ3E2PYJGuA+md /sbcj5d+FmQkX1b8ifknpQiopABR9C0/2LOxfzZ81BuKvg6qobjJO/kNX7LpKpnGHfTHNujEyltw 1s879+1X6ZoQUiLj9u18RJE2XITAEVz3RBrTatfntm5GfQOsxxhd78y37FQAiEf74Ii/EwRh1/mJ xFgLd//5KHRG3IphPjZM78S3fJ2qgBCxQYC/M3eE4gBJMD/vtDfcvfv77kfhsUMsy+YorF/z7q/V 3bxOMJ/91B60fVR5rbpIzjvpjTEkwaOE8mnwBkiDSCxv2nNHg/fGBkgCyG7jn6EZ/0OgLY7tpdcN 4iNano3BI4mD5miWQVkI0ADW553zlh+IrW021F7bLvdIeg+0Je+KI6l5eWQPpwZW9JS9yC+Od+In Bee2qtjAH9jggTZY1C1RKP9sK9SjSPHGf7MhJVBo5OldtKXXfT+SJBF7Uv7lgyZpIIUfqibtzzvj jQdw/YqQ8EQHad/mKAy0EZ5D+cDlKutO7sUw5MKMtLYAy6MD6T3QRgyMFdQXy2wcLEI6Z9lEmc3g taivUpSqw3YE1/7WtScVoaG3DaZoyl6QrbQO5S953EP5o8tjaOz9eWe7sdPUv+YIS7/l6q3qd7Ib NhuRkMvzV+Ru1/dkUN+QB9Jswzfbe6DtvfyeqDbV01WqbPAMPL/BErQ2A6U/KI0DFFpjeeRC1zIZ a593rpv1Rs9k7Lx9UIx0rjX95eXEAZ93qhseX35oU1YAmjio69kcRZE2LlKKvBgK8nyvV9nOkto2 R5uyJJqj90g7W1LL9NgGm6N9VmaGMDnlg0pl8wlnFhxH0OeH5oyL5VGj8p3lxq2mRM3StnnFvVMR sQ6m9p93khui0PGrot2Bt7hT9M5xQ1wl62VRSJiXtOxQrJ1cCw5SRmZT+U5xy45YG418ZQ5eQRp9 plWX9AQ7mKYSzUHJFRCh+ejCkt3zeWe4oSu25OVRkg+aIjiGMHlr59D/vBPcshnff6W0GwVwP43e +W0MGCQ38bgLyscDUzTbpQECYm1T9DcsRMexBk0RxA5K7fTJO2vxzNagzsmATlrpRPZB/s04NkVv G0yRAu3d7qCNltaecoY5R9TnnduGPzbNrykq9BBLnq+9U9uwigrRINOOHdz8RiHBGd1cIb6gWky4 8TuzDZuA22dIjnk8KvrnPsOWKMI9roWbjXXIRNRKhWhQeWCPQ28b5GvQvT8ROoTiNWh3UG5A5JpS Pu+sNkMGPKkIpNRA4vQpioJsqKYhsK5O4h6E91DLjOeHkOsdRnyaovcg21tHY4mAtS5Vq+0zDzAb LBLRPyd/l/8iSomNUMJ0DyO6pXzeKW0GIfgKIFFJ61pHCI6IeEy5fN4ZbSyNfJchUctv+dlqUZQN KVaq3jgeuydP9lE67LaORneBiXc+G34k0o+mPD3m4+kBv7OVKhc24sYT02QuNcSNGZStfHVdWH74 vJPZmK11ygOV6oMdRlilPK/PB3zeqWwITX6l/aRonePEZ+g9xtYlARhod457aZ6glb7NoeFEeqOb z8A7kY0pAu97Fc84+LW/oQxQjJJcIObGNm6hmfCCQvR18iJY9/NOY2NpAVME/10f7NYH41JqnZ2v GCyi/H1ewzAXoBufoijC7llg9V4drN6zm1PXlB1dPFAa0nkdlrLJbn8xFR6Ac7GKAXZ7P0uTkVGn fheig/KlyiVw8TuHjdcLKmuJvVoNhoNIu3PZnpuzf94pbFwQ69ccnehTgFnOURRhNwJB27hIkba9 cZQ20eZCigBGoDkKS9lTwhtNcFn+KpOpGmSvU5YN/Mn+UJnHHOGEPwE3W1TDU37hit/5a1l6NRDM J39t0hyJG+QsiSY9iPOx/fPOX3Ng550kqIQNtINskqIQG7cKmrR3ksadJBT3vNtfm/dE3tlrlmoC 6JiqD944grhbLzTsQj6TxYJsFM3nAgVy0ePHYq8bnUcsQwoQcWYf3THutl1z5ZF7Mk0+H+y2vb7y EDJQ203636lrDOx5TudrmlsupDhvSjVIswwdX01SVM2ePIjrXndwVBZqO5TNwa0/UZ0aZNaeN9so 5xQitqx4ROLaO2+NkQoutFaFqqneXSsn8akKj85nfN5pa1kyot/XGvgz1XP+d9YaTqRCnRtUtc34 hEYDxBVLfh5C5xvhzZkjAB/jIJuQiCVL+FUuJCJBgpX+E8jVTnJUJOsGl2kWSYpMc5fKkEuv+z5H NUn+Lkn+LrlcDZQ6mR0C3/1556xhs/b0FR8B/wG5Dc9E3ilrvI/oxET/HQZI4JSdf8Q7AkBFVbdz qmDqKhbSO2ONG0EuQ+qutacD2cCfHpV3/16wq2JBu0G+dJGLQ7iEiv7U7P+8M9YYIC1xi5IPBj+q rTEUOKfC/LwT1liYcEyEleMwP+tOUhhoT/ayHyL7WgwdIewwr8nwwn9EFH3nq7HFImFOIbXmw2Mn OaQk8kHw8YVZP5CMiPFRsKWwjSssswf5TljjwU3lrUYsGwfNErK3LB+PzcejUPs7AICvRCvLY6R3 ttqDE5VyGydpF9tjZ/0Ma0du1KRVPnonq91QuyfFAekeSSe76avSew5eAhsyTIMe1SfwW7gLM7QJ XF6ZPId3shorgrjcGikAGjRHsIdmxgWQ0+edq/YLycakFnnfUxp5p6plKfqdE6k0r/m3ulzbZkvG FCW21rIxQd6Zakxq2aAl0FGDZ2yNIo2IpSdS3EEr1plALDoR2XlrILItq1Vt5J2oxqyWGT+ImjYo 1oYc0xCDuLXPO0+NyJHb78dzUL3v+cL93nlqWe4FJ2cr29pHyHym323LbQRgbmPFkXeamhWLwWfg FcfBJqkjG4H/O6OkPeZa5q/TwJfBj3kRSMJEvJPUOEdA0vLfskFz1M9iFUrrbOLPO0WNudd3jFSo znQZIe8MNeJGEoVvL2R07NupbTQp4RSRrKYpioNtLCAIlPtgU4TrviLEoOkP3C8JCCxY6o0KGRAz tVhbyyiqZneeQ4AF+KApGkOyDeevbfXzzk6z0+xpZkPsvkvWlVMUxdqELaEt4qBRaI47SKsUB2mR oyLaQxhrc6sRMGODb7VzgjNv5lZDL9vNPSa0sdYPhd3sNPK3DYoj/5+x90xyZWeSBf9zLWXPoMX+ N/bg7hFI1tcZdW7P2OBM900yC4QI4SLzQusMtTnYTku9yTmg4V6LIu0Ho0U1F/Bwn6y2hZH2zpoX rx/V68JY4CHNvP9MEaJjFkfa38hsuofZYHn/ubRQ7ClNSqXnztMFyHYEmm6gMplRJSX48R3B1S/1 zUHkKAdBIhb8s1gTOicfHg9iyF/QY+p7PXF2C+NswiHRhb3khzGsxNbdSxfids0S/xbVsolNm134 Gg4WHp1T+Bymm7VsCigP1gYSu/wb9RGAVN3DWzstirNhU3hOoarDqN7DCGRsSSi3MvB40O4v9zDC c6jVd9hVGoEmDLSzeSy5tXDr244gdLVNAfCkism6tP090EbkMbjHWI5c+QrbNSgYQgWBOvgAYLcu kh+1/7od2IqzZRfQQ4bjkJsniY4c9HcB7cLq/0l6+HhAEblNWvWNzhI+J7ZPURRm04sh122WlGT1 +xQZZJQltukKGj3CjQzS05aMu9Zj3HUSdKCXUMSrqD52ELOIB8AdsJOI8Tfzp4JGj8rZ9CaHDk71 QXstwU6IkXM9uUiPwux0eUZEBsDf7qR5l4gVhtmsspWLhoQN2cWN1DxuV6TZxd+jerZuNSaJNlyc /7lwJ60gAIbYHbhFWFTvZDZwFW5UVqwlAKlHUTYa5P2sfUaQeZA7wBCyAu2tzL8VPB+wHed35g/v J0Cg7iyFfEfpbXragRYkoZHswiuyBNavA+nPOLtHcfaUwsped3AQO2zsaq3i02z0fJtwI0DXDK7U B+xHQlaP4mymtedGSlvDUqBTE+yKiEBqne8YHNvpOxchehm6ajZHEedx0GCpUZVZISQ7Y5iYNGVE gULbyR8ND9n/Jj32YjXJJxc5fwqmSbamMHtGpAHtn7M/VNaGRqzf/ixG9qimvciaTZkY5ARvX/V8 To4sMVUUziaeDyZpfCcjSHIh+OWTFMfZjBzl/U4AUvY4u1SGOaYHwWokJilkPjJ67FQ/0uAV24xe M1o3tHkB+HiKl06zB/DmwCy2M6na6wb3v+Cis8nME3fT0HY7l0GRr1TdeD7Ybmv+WkknPIIQjU1S WNamOUATlN8MFbbqIxRqPv9kVouQjUWkHkfaRPrVeQcH/JVSUP7PlIgq5x+QlO4bRDWYvwDTeLlH ZHXhS4LdRuntKsx/hZuSKdVDTVgeVGfbFXzAv8Bs/G/RLAbrw2bpPdhGPrIlk0FyEYv/kN5AbHRe fmH9kKEFJEvlUoqC7S1tgyW41lrXYhiJGAQRqUUKAW78nifsBqtgdioNotdtvpTU2OxRYbtmIY9z 88FwWuciYJhUT+iExyMa7a/iP9DM6Rb/e4TRll0QkWYy76yEqbKI1CWihUobzDFVROoRdMSK/0ju OHCGdCadXZaNJ4pzG/AQxgX5Zy2sUPZNdW5Dtr/iSyLoKImiEBWwweojkE/gktqp4PF/raPKciTs A8e4Z1Jc1y5ijWy/3HRac5Lm9kobcI02SVFde0lse4/hg51J5z+GElshFuscHeBE0LOktZ9FwOtK VyyD7Sx8SZDZUhIKLig+aJISMGWGQZ54PFpI+2uzZUCScMA5ITuKt3knok6VPE4i34MHNw9+U0hG +YbB5IgK2zQLPofFM1gwia0MYamuvj9EgFmxBDq2QZcHP4BIIya4PaJ4O9OAo0+a5XJQN7LgYNkq tGU8HsTb/TtKAoSuA2dlUxTF2zx+shiePJAapeIZJAFc9SNlGsY4Iq2HZW3ODcyDffAgCfcZVA6w jgZCm0JRkULWOrKExoKtJMaQruJL/qKtdxlR9nXuxKEmEowSmNwOJLcjrGvv9LXbUK4+EbAntyPG aZOYzRRI62h7yzZ3eGoo3i7LITYjKmtn+krsJIhNIgxzGMQmAenHrnaG6X1VPAX6KyQkyrg9JJSw Br4jAI5KO6NOG8w1sJ5jTv6g+EHweFRF8r0mZ3TGbd6MHCF+hADkWZqz2GY2VPsJidd0vF8f2ah+ Iwq2JQtxDq6lodgUNdxmCufZsUWpjcwAlBQanYRqvbf/WcQD3/En83gLIrGHV5FO0iMRLOi84PF/ HUcUT0ZqC8qSTVEUa4Mjkuk56Y3/68dV8nbm8Ybjn01RFGtP2rh04/uNK6ABY4kz8YO2QJvoSNb9 CfRv8N/L82HV4lfl60bH0SKAnddbpugJL6OMyjlreydUynj+X9VIa8/BH8UTkhHF2lQXd3QEA6Rd /TyCKbI3R9I0SbERxdpyJqlD99p47rUEB4PcCSBhxkGP4fPFOC1RHulibsuyzN422GqUGe1ZgL98 u2xnIZVmupATj0cYm9tlI/4YiW27FPYRYrSHCmzTEX9pNUeQZLW36Ruyq7lRjwijzd7Z7NDit8Hm iJJGucm9Bd010WqBB8BCOktCmAB1IqveNlhHlUpHCCxsMNzonpJ+absPPB7FR98xZGEH+RLYRwgg QUv7XH9rOx8iOTYCp5R73yU0BnRiR3H25PoZiZnbGRilsceW0VrD2XGWEV0+mdUlpAKNDAHq2NhW Ox+P74iio0J+0Wo+aIrySf55j5JTO8Iwu3+j2Cn4j/6ETVEUZoPgBw+Se6klKY1jFZ0purQa+FRp iuIwWyVGrqKa7yqC0xpa2nPKVWr1pggSZwprSwVBq281RpAjDLOpBUkbJ2JrkWKKogWcEMsAiL7w AUHuX34jkc5RtC9Fa4RxdpMnZ92OjRjzenJKz4/YiJUM6z/CurZEWcSGLA8b8iyjthu0+5SMJKgg /1ARIUk1r7Dbpdr/RlURXxI1a6k6Ih5bLcCZ8C87h0FqnK+xc8HzUYHEO5GMTM4FO1B/1STNsK4t oyRWxSmknSkoRMe7NsiN4CShOc5kZMbCfZVox3EHj7MRBvfBeiRS2rxYHkCKAm4LbuFbRBKrdob4 EarWr7mXD0K00fxbcnyl4vFgitr4Wkf0Zk5Xum9GcTat3OFTbvfa+c2z52uJBE5K/ENQwqYorGvT oLsXJrXd7UHQHxkbHm5bHpPn/7exGElHVEBtfjC5F1679LZR6Z/qUFPiI9MFahsKwMzbW+0Fj0cH 0vfVz3h05KsOFUXZQADxyLvXGpktirKHqWqVDU8aHUgzZENWthfrLD741Q/WToY5CKBaQBLSvQ3i OFCvwXvli7ChOh6+JKKMMJctlBifxSXGJ6AVUwfxqnj8Xzw/UkbO8oCOl89RFGbTyfZkIsk5bNS1 c++RcelZ485RXNPm1V+F+avpufo3JMXXMBG/839sZvmDqxr3P7N+cypdettgigivaTIqbdeoFMFE L4LXpIzHI5FMP4zYkQMm7kn6ZxRmS5ilp1utncOQNgVGLc2SfpM5PCf2jMLsQTbt/9U5bBnCN5Tl JlALVVXqsIFdj/oIqJD9iiARpj1DKqRcbFpdPhi+dufOhLaVXvF40IlsvoxEYCdywKPsGUXZ8Pcg 3eha3rbiyJHZHdOOK8MgSDNCjkhitRfLVm8D6ZxjaD5mo4umRtwl9H0m8HZn6lZZNzyin+SMouxK eC10z3zQTkPrhVH2OZcGHv8n7ZiV2vLDyrtNUYQcgQwKQsVxRaBb89Oo8oWpONIRfGmKonq2ouu2 S/HBC2xnIZ0bbfJOW7l3lgVODoqwBFpei0G28deK3jY6sInSRorHwZtHJ8dLRCP1k7bh8X9hIrEr M5TqEC/bFIU8SAlCjhtBVpZYVV6jCK7Ka9mR7DMU9BMsorEs0ttlHqGscw5TCN7xTgMNklOE/dVR Oexs+VvFf+ttI3IWd1gqd7Dy2jnuVYJcm48HU5TWd64GG0tQx3yOIugI3D1oZndbtXM4SPtcptXQ Nalnwx/PKMoG5IQk0e6Dz9EJk87j6FmfY7vDXIbmGiwTwSop93FPbArx4ksC/DGdIEZjlD1IypHu aipZyhHrBPh4/l9JPyYk83dr9+YPiZCdjKOanHS8luG1C52iHMiGuEJ7LZYcIUorszLCwYOjhqo/ dEBRX0M3pBp4BPEjpTOfaw0cJb7uH9HR2bhp+mBItjLXVnKx+Y5RAPlbUGOJrao5WlGMnZNo/dnP o9V9juCIZIIaC9edFtKKsCODVhmoUfhwj+w+YGEsFzt012T2h9irQ9ADy8L0NETwX2EtO0n9QPia dPE1g6w5/NmtVjz+X8R9ABzr6YL9VljL7tSgv0bJUEPtfqs1CyuR0W6rZa8wxpZPa5p38AByAWND 5YoJo9G1JBIF3DHAsT90IjMI0tx62z+xx4kxNn3BVVylbB1RT3B3wfORdI3PERuQ6NQ9Wf8KNbJJ Gimp3paImmuEjuQrpnHe3fj9K4aOMEcTd2QMVpYbt1pCB7uJUIvmWyYdgGbxFfjRlfcTHJ3DAd8R 1dd4HCUiRzhoe8CYo5h0MR//T4UREPzoyKgpimLsTkE0SKYZZST3qxFViI0VbkSttXMarRCfzTS2 gd9lg70HpBXnhuUATFfyIGsAdVrEuFAObbSLLA9mdMWlbDLXYW9pgwEikSYYgq/i8f9yGOVCiZfb x16hVjbN23uq0vTBP1nuB5Fj12mVf9Bh7MReMRNS0bXmqDxzBFGWcyBNS2cLTH8RZHdAIgbT6cdx hZrO+JKowGae7Ywg83Jpv3PNdNH+TtCc8fy/eiKYJSBrR7twvxVF2fgxcK314SGk0g/5iBSHaRW0 WLXXQsGRRQNbeR3JQPTHBNnGuhW2cX44Cm0OTs2iq8cuXswuiVi2FeFGlhLaOosPytbOpy+mJWAV 4vFIk/4boI1uIO5Dn6MozF57ynjNQFonViG9D5st44cyQTYBtLGQ4jCbqj4138EX0kCBDQE0SGzp pAxo0Z6/BXE5SmQZZBOrHUHRlK8bzBEV2UoqNjTF2auNtcwwKzU8Ht1r6+vMBkhrlEuGWFGcXZMs Q6jdQwuI4r3+k56AQUtSbQFum7WjFSK0eyWCjb01DraOUDLI6PIS7jchXssaJMytF6bGxH0EGplD rxv1aHloA6iL4VyKgh8VcJ1YVkR9bUWB9irlV6ANxZN5FZBWiNHGwgDE78podhdlcStExEcn7DNu 9grL2YL5TYppcPBydispQ+GH3bWT0GbyaWui+BaEDml9wCYtKXR83cAeg7CsRIM6DcppFxgKAtcO vmPQ7B+/YshK9NHdbDFohDfb7snnqG6XGrViNoFsqLJojuI4mytokMPGweZoLCBGIK0FCf+zUjNv ttq45BCgCVCiCGkMve5fJhCosPigAylj1csma1Y8Htz+vw4ksnvQaNEc7SjOHigfgdv8YGuX5yJ7 OPUYmCHT9tshGVLy9L3fwQ8k6BSiPELxioWEXS4rE75L6Ennep1YWcveUZzdSIeojdbaHLSMULrj nwhBBDweVNjqr/MI1aN6z6P9Hme7iGZr6UuQzeLsmon8VIXtGmXsWHGE0pidECQOvtU6iNiTHsdE OrNtgvvzPEByWEvt3msU8t8hRpu+7DOz589BFbYzS00ArbUHHo+k6n81IEHLKlcicscQbUJG+nA+ RK3JzVZGu1sNhkQ2R3GczQtNnjQcHFdToZUyu85sOBN0+m3j6mvgkM3izbXCgxffEUzRYJMfxTwb rEd7Tj9mpLX0jccjHmT5irPheltxG9sUhTxIZv2TdHOpstTSDTKSuhEAABlxqP8OISPyre9p++AV NtBCy5ZE1FlPJ3LKLNSeZcS+CMRybtuI19qOAm2pRCxxtDjoNBr0L/+hXSHf8Z+UEUtpaT5vcxTi s9kTIXXK9DRydQdIwDuNVjOrm9fvEJ89qzjZxQev9599OonwRUrboQlKs1/cag0BS7mW7HnhEMN3 RJ4ZFECoPJQ4cIoKbCsFHgF/bceyfneKCFMC8WTdnsiOwmxJx5Thtxo8Lty3p7eumYPmiEuN7hAy MuT7xFOpz4crehbS2WewZEW+NnanbisqI+eGAh2rVb/4M+3u8R2RcQ/FRoZ6IsN7IgXGTMxvz0Gx 8HgkFfGNYAfVa3ydRhFiJNHpOMsugytKiBEuo7RNHvJcesOXUajqJ5Tflnz2/rr4z2kzKTQCdZ/z /wCaitMI3DVUHde6BDbIR/Bt//TGYtlfg6ZosSqlmhkfj5K17ylCRbOtC/LfYZBNJkSvrhF1coar 7WOdNXCzUummEbVjxIgka9r24a4isEUJJz4p1Vmkg4FjQpWjQfhw03yFApr0GMZ3BOFjHkQbK+sv N+s/sSOvHHzMxuPBnfYbVAPBqXIFxndYy84k1LTl8kdpLIuHipDkcu2tOLQ4RX8jRuQdosHJIjOd m9NoR1A4yoY7QoOLWtv7umLuPfW2fxj4nH3amg9aRecqpNnZD6x88Pi/+DT4bwEVn6XdjRaKZ7M/ exVXkao5HQtK49nPonav/QguMqvq/M/gU3SihnOt8U5b0MwuBLqlBRV96NmsOm9kxCrtDtVG5Bta 2lU9Fnzt7M/E+TqfPfD4f9GrQyGwzUemPkURNk4hwCDXhdSwF065kUZjIPxzg0htbuLpb72RrFIk B89n4fdHiMVZLCewbML4wT/0Z+GeKUmEJC6kte2FI7IoS7SdyoejM4niPJ1zSHYd51+THxDhRb87 kFD5HiDQ+0SFXEj69a52iRC8apj3g/VvWclZGtvtVVMcaGMbQTbeB5uoioLL7AQYnQ+FzSZa2Rmx JJqbqCRewii7MvyWSCtqqKS9fVAKct6wipx9zm8+H3H8fwkgYr73lRqni8j7YwDvJVNepdIfTRZY Zyu8xVVnQ2FVxgcpxGdT2K/U0X2weTr5Ho67wnnaZyIALTyfjf4R3UMzCrHXOdRfOJontulV1lbR tyk6OuuTgeWY8FdNUcA9yrf/Iz2N52OikcLKNl0sxrh8iNH3FYwgHJiJG6upNlGhByRZ2lTnt8EX VAK0phOKjf1MLBrQ//DwQ74JnMqNJ8u2F47qtth5sITzQeltghk32Wno/OP5iMv+TWXDcdDWYxGV oqgbeC4c3clPqIpc3oxWhb0BKYKtSdt4UXl7UFkDctk+eAugVsiLJxVKQLxJXSrI3Qi2a7jkuNwD +S1Bn0S69dRq02AH+Tm6WBOoG0YRKUSRVF9P1EQ6P9s5JdP1o01hhVu2LM1sxCHZtu0oz7stK+Su 1fb0ozzW1FZEyflqbnSlzi1qJNArgKbE+ShithjZwxbJKkpWmkzbXjjC/lFhAxJyNthEFfiZ4AtP 9sjnAz+t3wJb5+AdIz0LKpYhYUQp3WNqALCAJU+N1K3KvTosm21BRQG4FJFqlXFEXXdBNUTKOM0J tgUdlaCkAogzE5VMFwCzjEATlt/ypxfSnHfQPFXIIzCEPnPN5yMOqdcn5XZD7aBnPYVaJIMSbSuJ 5Ec0YLdKN44QLq1zQBVWujlPYambROS82cLN+7ZwaU6/ShJuJM89wUuHg8v5RUAcYRbgARSdFPgt f9FIWwMKFINxbeCxJlZJPw/x+chXa3xFmSBmUHvQ5ymKxDFBmKdyO90C4QzNUzFUSZ4uJCH0yntz QbAkUSX3vLztjCYAWCT0Q4LkP70Q19xQ3gN6oj3S0X3a+0ZYSWa7nW0TDg65hSErA802+Hyw7a5N C3kSDVjW9my7sN7dKfNPuqHE7FlbZxFuQ+nBinC732kKC95LARSxXBzsvlsneoU8FbtLE2x95r0N iTI5rBnwJ2e3kW6LbwlqTJKT6JLa7sNxt+ikJlu/k89Hqe+3VjtkV3q6CkA59I3kPKXeri5JGeuK t+TSrRKXCfiSJ2IILmkSSGZ9gIMnv6g51CXPH7hIDh74CSrZDVTADEcvt9akd3YOnCOdl7wLu3Ec hOWqha0iwLPG5PNRr/K77o1G/kCC4PMUBeSNcQH8C6yMwnxC2V2RgBLKKGOV5PMUIUySYBOFYG4O BnQH9aYQ5oP07iymyXkqOGlUgerXYlxOJDk2j8yqfIt8my09YnLU2JE90Wvl85HA7S+VZC2ndKcp jMczK5V7Zi9a9m2Mm5MzUa2s0Cja6wQ5tI9cxJUObbvxbDuyac9tSfYNYEtpDfFuEeMh0BlPDwVl Fn3JH0y3c3ozbWmtGHgyAwjGCKGnOvh81CH4NpAEI4FWYj5NUf0bliWgSqxp05Rn97olXKu8tgtC ka2mMBpnj2BVbj4OmqYTZp48eo8iMHdaNREg1yCcXcFxxzHl2hvD3zfadIS9oUxug50nyCCpS75y 5fNRTHCJEzLlxPq75d0cukjS5xVo/WGn0+hm0V4yQUWqzFW65mmeQuKk6W7Jb2M/fhuopecmY7t1 bjpg91ng9eolesietUg3KYdGkkszRKQphmnrqYKqynJBgh9paCRZ5v4+xTddB2/wFBhJutsm2FCc ETAETb2tMMq0bdd23b7twmCcYi5g2Prg2w4BwGKdcoKDk3AsTfT6QQlE67SMq8E1FRUEVpLmbQsZ l+WDiphw3CLl5NwXhc9HFjd+PJGUR3vmfMsqgZckVAFku8HjiaUoiPcZpcs7mYAtN0NS5tBMcjFb odGLDV4ugD0ZzG2wZsDpMo8bFF7P/7UAdzztfKIho77lr3JBlb9tvf6256rLYi9BZJrPR8f4r2oB GhBpPfMUa5VMedtkz4JdkgPoTwZSG1kw2sE2T6HPDYPM2trywfFLCYowSZzuzr6+lMrPoTiAcWYJ z4KCWu19g+XUadUOLK0NCgpS3ZXQynb+yeeD5TS+RSZgAzhg/+3TFFbFJxNfCOAJ5TXVc2KQ2dxE Ab7o1acpRp5IFpGEk/oQTs7WWEDCV+lwN7AgN6t058he0CKotMEzCFOa9sKB6v3mPJU0fPDcLkmV s6xW+HzUgipfuR2Lx7k8x3gI8uYxDocVBzDvS++a6yJPU+13nuJgnNTuvacPDoVPZ7fR0hOSJfg/ ddYKYOE3zUduPeSlaS/8l1XJbjP7oPV0kml60aEh0fh8kLSU/ZXbZYjvrXaBTDl0l8T1QgsuK2ee /5KqJTqfhq2tc6vfJDi0lxQH/qRxd7hZy/kzKM58JqoBOkh8RUf5ZkpXIDnUGzAcvW9UK9gSK28a LAeeqEwT9NJP6sDnI6HAb5Qu/YUA7vBpCqvji2fSpGdLE0BuGel06p+byiXJFHByaDE5hizced1x 8GlK6F8C6IIA4Ry2qqngCMMxDjzxteNYMuAOLSazxIEbGbqX44JSwWzKWc59yOeDWmb1WiYDAEgE rSdniT0miZTd2e41gFC3sU1PTrFdUAEG07btApNJma0BEVe3DzZNJ38D10KObqj2d6q7F1x0C65m eaSLHsw12Qv/Iak40qT9Da0exYYEbHcSaAGOAj/gX+E4uwgA6K/0bLsQjrII0R3Vz/G6HvaAzMtI +GK1WhMV4745Q01AwvboBSZACKmeieI4ozJwvM9JSFkw1E9aa8+Ft+2NAyiBWDorDw3durkDxjHa eLnz+YhB8EsxCMddvi3OHHpNSr9cSCTmLW0X95ocfbnvxEkGDG+RA7NJEHUl8irtwLHvRO2zCVEn IhUFJJ2uJmdDkxFFuZMQuEVwoRU4vyWCXLCqkrt8A1C/EqnpPC+5F6gH8QOiNsIv6YBNhs+NDELD SRl0lF77Baa4fXLpfbmyciLVUBMVIlO6JErz9sGrdLBipD0Ott4Jm8k2RAUiQ5J2/EBF/jIJir3v 3+JK+w668IDTVDx+ok8+H1WffiHAE+uEzwkVxeNtC5wyXIAaYOongHKo3OCBr2mKzd1ZJ2jkyHGw qsqJC4Cckr4i2gRSPC+NkJ5MuZQrr7SmvW+EvaBaR2rDBxXpkpmuYpo2n4+8p75r45RqqFdAIIeu k9TrgKSJlQsSxDAcLic4DwEqdZtDVw5sJ10Xr9d0B8fLoWJJ60IYc++e4TQNKuGg2k+DSCIuvMZt Z/MUYlRIaV4U2dag9O4sJpqKgHA5+XyUBn+TwNCegWXYnacoHu90fGE10coq5VafikyoiVJhGKt5 Cn1xVC5IZINxcAwGpLoXxUsm/od9g3M8ZapAQS3rQfJI9S2H1pN1SWuhVx8UGG78x6y7ZTTNA+/J 9D+IMOZSA4Van6f3eBx+OoNBE7t0Kth1W09lSDCfUJX1nE5hPM77DhhRH/y+6zuh0EemdwEkcJJ6 AYbRoMTtoJC/elKj2AtHkHmiVbBzbTB04do0ioKUfObzUdF3fuV3SAlGugjMHFpQTjWD62gOCFfn gPr4bI2qaQ7vP81T7EG5hC+knDAHv+4GKCv4KXDdVeTCaq9XigcmybB7z7zb+0bVzCKN3OmDFX0z NJ1/vDYeuFB+63Zr2wGMcBUFcmhDCQ71CZ/S6F6lk2QHBeBEN0QPgX+kTVMUju8lWFgdPniLEyWn WUeSrxn0ZIQxBLNvUxKoP1hVVekCJ0pRhfsgxsMGHU/AmhEAXU/Az+cj8a4rAic35nOE0wLGJio2 o0wiGDgWM7Vt1x26kg7phSylT1QMDSf6ommxtMswOH8Noq/E9C6jwUXFpZEgUIEdSb1573F2NaVC P0pq28JoSVqwuK15R01YgnLjne2X+QH/mil2oBZ6HuPJ8EJPyg4ZmROuuak5Qilr351z/ImfyOHS TIUROSPMLC9hDjfDS22yF1iBfM7I9pABQ5h6J8j0dpIQ6lfXPHClNOv3DjAsBuKX1RE4P4cMGMca mR/wL3gBS1BnMbTxAKBiX0r2Oenuo4pBk8k5AFDyxDr/23MYg7es1CUwprz274lEMQ42UX2Bq7CX cjxg6wD9ORdFV8h1Pq0+UPq87IX/Aoqd6JAWFdCSVbZxboydpONd0uQHRBH5t4mXFK+/VlQUkRNW ceZhDkuGe5le+q3dhU+hCZV8omLhE04UigA2OLunw5UyC4QJJhT9vsD6mT+LCKw6rz8Vy/L8luDO IyMjdYacHFSrQ42RiLq6UVsJLCp/ucAzh6YtxE3xQo9KAGQzY2av1ZV9BU/rdrEBqEr7PEV4FfBk QeztyQefpwZsD/DWaJzPEww2laBYAc64eq6YN/pb+pLozpNoPs9yDoYvSOShE9Yz+HxkC/NdMoAt YE9PghfYVCITHgTR1W77rspSiMDD0artO2YZNk1xSM4WQiFVnoMfUJDwbi2rUjdYXsbxiZoVEhlo 3ybfd2sve+Fg34l8UAmraw4egpJOKSIf5NX5fCQP94t2iIofkdk2T2GJvEo5Dw3A/ydDb/DHhacj hoz+OQllVVU0Y6tKlchbqT7c2AAJ35C014K/MIGsFcfUgi5Sng+QFQemXjjCHXKeFmNyDraeehEv +lzZi88HoWb+1mbIoJ/2Rwclh26VLVPpH1iELLtzANZY0wRuiWhDFOvq8ppmaFcJ9Cy1hLoPmqh0 rtN6Qmm2ps5hddIx1qDIGDsrGAuNs2S9qb7sjaOgfAgUXXzQjYdeF+uo50SffD6IDcZ3tMniKOjF NlGxZSVCCng9V0+GmyMxMnMKJcMFTReFBoFnJRQxEGZOSXvPL2nvcwycT5qyYsYcTvLLaFlSkQwz 9jNbT1Lrc+BZmVisxsk0JdbgmszQMyLTzSAGgWnl/yZ5wH4jC73TFCPICTFo1eljrXUH9iy2seWm IzpC10dFFSjrBXcfLBfe5/jLtKiCaswYiKbIasF9fLboz5YGGKdJAVSLauSDvqcLea8Niskn+pDM hc8C5vPBddf9HKc5AXg1+9p659C2EoqCwBpuJ7aUbt08cAfyTYVFbOFqCkPyTXXG2pIPl9lyLs6T KJOteU49CgRjmhoJpZ1gUoeJqVAX2lYKxUpDgxNnAiqibAPH4GwiY6AjHBpXfql+JDvI2+OokwPr ynM+JdapZTPIXDglJ0cburURsrIdKBZ7V8qXsZG6ycEmqjVAWdP20u+sxE4Dg/1D14n+yH5MhU+B d2ViqxKVTB5SHJQLn/Nw6HTKAECF5pW/K5po9kDG8E5TqPRNn6/SihMSel7d4L68ytVKoKi24oLY vpKtzkJJLw0OY4Xdc2diAt34TSwUcIdwEUBjL6/6xE9t2wv/gc9E8zT5oH3XoGypL5yVz0fr6Zc5 I7vm45mnKByvNLAcy2aEfLJs8dNA50fLqZTpvanAwfIqW1TpEtTr9oWyLHpbAs3BNm5RDhViDj8T 4hSoRz6IlW0vHBGmJNZI+CEkan4kRkU1aN7qc+XGD4hqv78MiAg8vMqoObCxBN+DpxIL2pIk2o4f dyurQctYt7LKgY9lNiArkkEfvPabRgWXmXUBWK0tQqDg5otM+Ow3Qids41FGLgdOlrh4KJACiTIb lLecWVqEIZ6MSc9HtV+v1eE5GP7+rMc3Podmlrrw6vBuJ3hMLicDeIAzN8rwSDNwszyLUxio1rYP FxjdZi5LUsQocEI0aVJ69+w80FyIs5fO7vb3jVp4ZExN1VZmulTXDnkCXvUgAgV+lshAfD2R0phR ejjJtU/U5zV+KiZrDbnI4ZSp6bboFTxgy1yYbHDnvUZPJdFIERedmNN1XHUCbLUGb0JK7UKvn1AM ysuhHYPq/8OXQvT0Gjudd01LdPJ0B81RgtyVtOQWnn6ZofKAxMqPEGX5ZzQ67PGKjaaHSnIsoCH4 Rpm8GC8RrijAY2J6Wr7LKJiePs1Yb/rg2e85shuqM0SqFNA6qQAGiPHZJefrAR8xp4alY+l1fk5s SRrZTPUOmp9WiTlC0LTw9Pv81Ds/OsnYjRo2P68BU8ks+J81Q6N2Wz5XHPUctg5RKdm141/DpeIA FdjB+2DBN0gYlYKogEGfvE5Cxez9Avvyw5DJDQg63/V9+WCrd7j93MHqvCA5Mkw8v8TnNVIqT9NA 00Myuw5sTM9rmMTdVYgDu3qWUqKUzg4pJVK2qC6y9xokFZz79ELJCpLyDZLq5v9bpPm58jmFErU/ FgNoLPJ9+yoAveIr3qcnd2YmaFphgAa2muN50cToLKrV8+c1QiqPxJ4tn0Qak8/Pa3yEh7agYI8c wVVDg4dOdgQBCjEyiw2Wj1iIUGfw4UGknGBiEQh21jQExHCZoUb6s8EeoNiMba+99bLB8UO5+GFg i1TcIx7gIN6e7fzz8xoZ4el610/WUfUDtLpN0GtghE25iPsajydzLVb5Luduaxc50K0l/hoWFQeo tj7vcDu953DeOp7Pr1YhbYXwEUWGxXgrX+fKwl7b5zUoOi+7aH5aF2tLHOyuL1Mhcj2p3Oc1IuJe aV8LCFznkYbPz2s8VHDtbbkM+PzAAdXmR3gbQQauqu5rNFQkfwhigdgY6XbCTzIDsc8tZ08ox1Ci 2Fwr2RKtSuUeYMVrLFSMhTFOqkTYAPSwhCU9uUwyPPhJoT+voVCRb7omCCsPDHDEHzZBr3EQDuhK j4G5r/+JlIjof5J9guaWXeNZQK9REBaQenEq3/av8i2EqlKB9wtodO3MEaojYK/lnwUhn9zGtYan 0+XnNQjCjzA5QWgg2aBqW8VLWyMkf15DIF4h3ycQTXz29AvstSKJDTZpU1EekADcjo3J06djmAbN HqiEFpzQUMBETYiIEw5+wS/oASXKVcAp5kz7Fh2z/CyUSMqorjpYWGH8vFYjMT+bbZLCoiQH6yqd G57Gbme68fT7/PTl64dLDe+bs6+f10Ik1uxgmZZEdjHnhiN0z8WbuldELjfltQyJbye0sg7Nz3jm B1f6biD20czz5OGiq6IqtQax/IBCGhKONkyvNUgGiASgTrLBOOgAgjKGmiSoGr1WIIvQ9V8H0IYn LHtuouoFEzREIhh2FoNE4EIDUDo0ytxmm9eohdEMsaLdq0miXCb9mZaV4JNA3gnaLghXYD54TpAF sU4Sm1xI16iQ0RKSl/es1QctITAiJaR7LoTPO1+Oe+w7CkI1oUFNyeYoiqKT7M7JMOAiOhGhyw1C Tcm6SJXuSCLuhGG0tHSY3XOwY7qjdITqB9u3MIUnz6IBuL9wLJdBnkVVdj/0usE5Xdg92vIY3u5R 2WDpRBIXylKfd7IcA+n8NUdAvQLa63MURdKJhrAJmDJDAyioxjrK8mLGOmoESQuFE8zR7jIVFlhp foGVQLIclF6AkO5CiE6wErYNtcwfT7ilvD6KpYHnJkhp+mDQt9UIRvs52xrQtygYGn4Uke18gpyz yrtPURRNV+ox1+1eXidsT92DIVetrClfnbh3mlwRsh4A7zR9cLoOavuqb8CiGkw5GXpA0AxAyDzq 12WW9LpBOkaazqQfrAZPV5sM1moB2DQMqMe9znheo0RUb8D4TpKzRBMOTDdiNKAgUUrbjnFoMo7b EAknCbHQJmVCg6VkyNpPfqC6x4npOkko4KkgQEYdgAr6TTYDVW8b3fjiObP6scjX0EI6ma8k9AGs /Lwz5CykevYaOJQnRmh+6b8z5Lh8KXo63D0HGrGel53H/UDaJGix3vgeV2c2+FAioyjjeEQZT2R2 VhCB+dCIzZX7DmX+k5OdcA8reV4o16DD0Ds/rogjfMJQ4t406DbKmLqqlVs+7/S4gmJ9/15JuK1O WrjvkfQeXEORm9lZup7wi8JKTO6pnKjq9ULZS7MURddyYxhtbB8uvBtaFVnWwrjmkrqVKHT0RpUa EqCVnuWp132fJYpLYFkuH4RahuVVF7A97887Oa48anGFaFyowNGlzuYoiq9XVud/eUG2MXeQRryi bnb+Eb9ojqIAu8tjYEm2el3ZamjwA2S/2YodMJekku7ECzMHzJ1cpiVJ5q7XfZ8j9dW2oJP7Qicr nKbNiAlYtyjEdrm4IjcQfC/AwT5J70E25JwYI9VyiZZz+CS1NZsVYx+R+HdiHN6fm2gualhysDPp RFonitzyGgARWUZEdU3h4FQispq1gJPvUTbiQE5OI1mAg3YbNOLl5dmhoROF2TuN7yMJMiw1F5+i KM7ubMHtZYjSzBjJOkUAMVghLavhjymKA21sMpIubLiVNJiS03xhMiPJzOUozNyBBdwlP2X9qbeN pghFIpLHbWB1/mzbStMNoJHW550QxwDLlxHLSghe2z2O3ulw2N9F9O8r7N339lRWPnY8tBFrW60x irRVLeq1NB880sb9P6j6czK1PmtDveRsGuwX0CtX8lQk07/p806GYxTG5dPFHezznkYtCaxSz8x/ 3rlweMWnGkt9hgxXECpW8VujSDsL8+66wjg9n3rI3t3rIQQ04vZ/p8Lx9mc+i3TChpuvDQSPi72y BSM1FkQKUMwLqAnWXfw4YsnxnQrHOJCCekWgEchP6tdfKy2hiCAw8HmnwmFJ5PW11+DpTst7m6Qo 1JZ8ZbkErxPNZ+82qtAgZe/mt/87Ea5IHwzlfPb6OXhrCMXvs+VYVcOeqFI/R2+QJsy4Ha6lFz0r 3nlwiJHIC2AJBwNM9nhjl0Vd4x/q6K/POw3uV7BtLaVzgI1b2A+DbRVG+jWpThRpF6SNKEeydAfI 1jyQ3klwRawriCzwYuPgOdvoBOkR7tDQnK00YUStcgPBQeFwB9ZQ4uSdAocvgTLcANjLB+t9nKXO n+VcbPXzzoArjwmjLSTiKfadoyjWBrIcKhS3ONK3xUrQeMjudV6B0NRui+rXnejRLrRt/0Lb7o7q 49CJdJ6cAkdOxGb09TQ/hvl4Mb3T3zBHk839QZNhDgL9Ubed5aMTfn3eyW/lMalWgASWVl/rbraw gk0S81n4N6/Vhd8Zaq/hBbbmJMF36hsvNu6yLv3z/uifj3P9tyKsGnpN1U4k+BcCgkJHox+CbXFs L71uECCpebbJgOOgOUIBaQlLNNfnnfnGSP3mIwRzVRQhqwdI78w3LL+S1dZfXmWbqzjAvWc/tmGc ayfSe6QtzyJ2qYcPt45d2k5LBjFn9jLNmE4qepbphuZwoZ2nN9KWXvf9RFpUg4NHmA+apLGa+IGo J33eeW88f39tNmBySvIi0jvtDU/RW7iua+rV2IJR9r+SG+gV2VTjQHqPtCUvPjk7Pnhie67PE0wz +z8p2kJmi5wNggHIu0CZuClbGXrbv6YIVyWH87vJ6R4YucJu0YnT9ued88ZDc38d2lj6DfVwm6Ow mr1J/KJqlvqNMoST94n30xZ1GrXZ3gNtb+ePSbopB0f5FSyiSeeuhMho0o0JZmqyr8vj4tdAE9Dr BmGkKDcF4ukaFCOxo8+9cm6LzzvhDY/P70gb1r0NlSiboyjSpi1rLi27vvduw90GTPUFBxL6YjZH 75H2LZDAQdwGW0cQjC1zUUl/4XwdXW031Evpjl4fxTwKVb5z3aypgauo3kFN630iSR5OJ+cZn3eq G6LQ8T1FyGd7ulP0znRDWDVU086u0LEposK7Hy5Vdvc/5sLvRLfiBNOVqbO08tVZgrrWmZVM7eV9 DmxImyIZITCWLf5HHBbMZX5H0NefRB4Peg5w0BTBOEzi1We2Pu80t/JYDNpOA/ixDA+031luXp2D 2mK6p9Ey3AzkaRUFABhyO/txSbsBLIZSvA2aogFAPAxzUGY7fxcULLDQFo7YAiAUEFyQZ2Zr3942 mCIyJmeRLDNbpNxosADSzd/PgfnOcMMfm76rbIVGYskvtXd+G/M1SsMnl+uCuK1Bs0si4oKY47pc SP+d3sbDCEkIatY+eEoLXvnExUzsY0uZRe+ymHCfWHus58BuQ28b5GuMHCttQDVod5xUeQn5eG7J zzu1jef1950GQbXaqle034ltOEHQKjhLvjpXcnpPH2fRdEUzIIRsit6DbKxi/OFL/REODl5fFaLL BDlsaHIsXnuoQkOtPNGc5qLUKMnxTmvz5tFI0GMHOhR+3bzUKs5QFtHnCfY/76w2zHFO35ME9FUe fvG/k9r4yxR5efg66j0rvT3rSAUTrKNzkBrv9p3TVmQsP+EftX2wrXambNVaKO+CglaSrcUi6wvc 23zVXchs+LwT2ljQJmJ9Mn5c0+NHmMNuYdTOB3ze6Wwlf6GMeMXjJi03xn5ns3FepV52m9jl+lJD SatZk5boSc1QVM5OzPpnfwa79k9O1oANKawQnhVWGRkUYs4BGURc5n0RpiHvVDYsepKOBlM1DXYY nSiYF8SJVfmK0SL61aYFBqtfHN87kQ1fWlhLXmYsRLlFz/krDY1VGIH4i87rsJYtfoh6R/PpHQGu PnsZSRz3E2RuAiFmJd6xQaw/XYKWAMbvPDbmo0xn0RmzwZAQtRn/YeFnfI+wH2UJtfpB6hj51iDf WWzeJ0jkT1s6WzzChqaPHUznb8t+p8W1bJJDqlQ46Hv5AyhsRa3nHPhZNt5AQ5ATCXI3RNZ4ew5P +YUtfuewEbDGptEUVYSStNwgZ5WmSv0NSL183jlsxUzwNEmsZp94/4cutjZNUZCNpIqOXt48mmSm i/uAP8Q6tc31y945bGwe8UIDFccGW0ojzySECLDq2BjUEh44xhf2D8L5G0GiGvh5p7A9Hf9M6fxN YQouAHIuSfwbM/P5KKUtX/EReahtXuBjFGVP4kYgJWf17DKXiyghcLR69kaDTJMU1bNnNp/z/3XR KeeyGXlT1gbfBA9rOgyey3cjYynEPlr5iPS1d/YaC4lMZTd5fhxUPoKyOv/G8//Nn3fyGt/Rj21r 7IJGc2+2d/IavnURvdaHCyvyCBe6uBIDDsHzDVW2M0mAP/6NHRlUbdPgaX8CnXkrpYUiHoFuUGc6 RzYUC4vMc5cKkUuv+z5JCMvP5FSKuO9KCijgS32vRq+hnuv8vFPXiiw1nvoRVVFHyt7QfqeuEVhF h+GFGJQx0qJcKe78s0fQNsEknZwXnXSspHfmmjWbsUgkvkFP2R+v1jYc2ZykkaE3xe0GIbNFSg4c OqzuP/11o+3Ggv9SCVGhIzFIDdprP7TSmZ934hqOhF85bWWddnnC9s5bY+tzKJGViQfooqxJbnaP mm28jVtJdNF32hoPN5lUMmHj4K2Rs5nOYqK8eUGhU/SZDKAmgvyzQiFw40rLbEO+89awFMj3X3NV HzRJqAhPCeBvPh4F298pG7U2yrq77T3YRuDA3bZd7jVRAEOeArLvoQDeAlGQBaR3ylpxjvZQF3J8 dSGhQnjio8YiWwEtoNDQE1rXQOkhmHxklkl2eKesFXkF4SzKdzA0Lfi0/L7zFZ93xlp5mH2G9kNT fD547LCivUkOrcOr/q1nv9t2N5j2yW7GtHb2O1/tzhFasT54p/YE3rTMRSR5brpVtlxzYZ1AUCzE LyyvVXXkna7mkP4+MzfbzL7ZoMyzpMx50ufPO1uNTQM/tmnthZZ/Lh4mvZPVeLVh8UyalLI60lNJ Fkpyhxl4ZHn76J2rdjsjU5a4s10X5g60SGlNSVtPjcYbmKNBW3j8lheFJFTEO1ON1RGCRgCvskFT RCUubrW+x+edp8bk61fziCJNxfPad5paMR0SeDA/IdJ2D+YuSRJK4SL70xTFyBHW2NQY2U9jBAUR YkSl+w7NiMpeHIokDaRsSM17sK1VFJWz6eQ5UpdecHdy6Nmgk0akP6Cift4pajzMvo9sJsdUBtQU RcE2nYLOXi7eF6mkiAmn1ZeXszN6AqI+xME2pwg8NBtsik6Kf44iGpuetQMYu3nqYIqguLhoVqnD iLDRd3qanZ0wWKDCJAfbaJkMOvy1uNWiUNtlNQw2CgIX0Ow2RVGgDUwWKv7XZrCyH8PEf0xTN69n yxHK1vlJf/VpgTzywRL/NEDXwzlwpn/DIW4orUWrHSRbBOVmVknPNXxHcPHLY4GNSA2Oidhi69aW Mx4PQsgL9+MxQJ2vfpORFsbZlJasSv1FgLjVbHi1WDJC8Swto6iabXPEbFWDJyMnckGTk0i2vHYy VVNojlSgfzILJ+bkra0WxdkLP/2gXJcNVs4+saVy/1YGHv9nvx/Pga/T0U0xEk0UZ/ckRVfSPiUO lqzLnzO79hKJWMUmqb/H2TJtxSZjYsvB9tqCPHpz4baZF2/+qeYfJpMntsJs2Qb0kOY4eA5VUbHr MO2DBJN2m6PNxyP48TdNBOx6OtXbFEVRNjGzgI1eD4ptjtUngqysZ6PINm8JqUfAEQmzbLX799Pu R8K2UJ9nCWkCjcTGSYeyHKX0UHu4uT91NHpU0K52EKXigzZbIsGC++ekIj2KsmXFcM8jyBJCsMfm KIyyO3M0Rl8SPVhrOW5kt2Y1/wRoJG+1HhW0dWSPsZoPFmV3XHGQA6a41kkMG/XdibZERAH18Hqr tcVeN+quZVIAycCuGeIbohuhTD5kLtgKng8gSPMbXJPRkcZU+yyFnMcqywnTvj93/7quCr1bhkKL YaOo9yjMnrKimlKMmpdydNZzg6GzKEdzjcGeGi2YfhS+78tZM05Wj8LsKjZWFfWoMv1iNfL8jDTk PF/Ed4wyf99tPOIRse2bivSI94jm8VntbbisVmM9EBOTVs+GP54QP7Y5CsPsVVSGzD745X8mG+qY DCEz+MRLfdpEOyqc2u06BbCFjy+Jbv8kA+bOAYk298iAL4dkRuqeeD6623y7Na46MGtui63HcTaq BKh9OQKJdr3cboSvmygEbO41SRFyROaU4NT44CWkhWpmloUuqquT3CP4dKAcSQ2mfo8k6rf290D7 fAldgzbETOHlCfgfw2N4+U3e6bPUjeeD7farD4kOEr3YbZLCurYI6vupjrRu1ZFS4RiTldTiqmUJ qYeRtriz0H624QL+2m6z0AuvtnVuNszjyIgINmDWADU+YptTrxvtNmm24iwBWgAek1pKGShdzlKr BR8QnNyX5EcSJD4O3HabpSjYlo9n3oI9sPpfNGFcSkAdk6RFfyQupQg7kuRUPYiu5WBALVoIdqyt s9/AFwXQCEAtQEgAcQXwyWl+9MjGl/x9u8mcsrg55UyolDNnO0sKj0ep//fthvXQ0yX69Qik3aXB Ug2+fs6/Qir/JgOYHRLU2UpnR7vzkwJ4bZHvOROSvtRgZhNpZ8BQBKJZaxbKcIIPAHsAbGM2TnVu 0x4dX/IXmI3wVhusPHKOIhHRdip4PAJF/rrcaCI45r3cwnC7J3mXbKshrZqXT1J3FCn0EJtNUlTW XpKUnoIiz+qXG8RmaWtGyDq742T0n01zLjci/la6ghmDfNEeoUfo8NKJpbRBk5RQIeSFNcvE49FC Kr8mCaWoeWPJEYXbsyg4coMX+Hgab6RIi11xUi9GQRpRWXvSz3vJB2c9Pjjnb9kwpV6sFIKB3Gmx UIEsb1A5Q2wk2ojpbo8o3M7ksI3BUJKDgZCm9ABIusTjASt7eOOfOO1EhI3X2UYUbvcqzxLjPkCP rbk8jXnggBFRt7dHRlTURkY1CZr1wduRJ5o/MUAbao+cP4JUNsqvkFuVG+u1EhoD7A5fEjHXCYes 8g/E8TTUQzoxolw3B5LbEVa19/7O26BnC0i0z1IM1Oa135yAlKFW4ASkbEDAgoaElZFGVNbGLYO8 DMktBnwjRWqJYUP5g3lbn3CrwURuGSx23EweAJzs52fgOwLoqLRHlwQ0AI1VJLmhZMr2yPkfPP4v /hFLTnLCulMURduNri6zW3dWBtKe/2uvAfE3qhvAjija1oG0GuXYFkNTThHyEcQXjXsNJ+2QvDtV iaE8Mmu91z8CJ3zHXwT/VKm4naSgifR/QuVLuo9j4/HgPKrtK0QaFBZrHmyPKNgGAALKIi4uBuUF r7QVAbapVZedoT2iYHsyX6Ociw2OZjuhxWJoTeAoMEOUPmSpFF9BA16jsbHdgS8J9hp02wb/Kwx4 Gd5GuY0iwdCRS8bz0Tr6BtmgGkQyqE1SFGwTeEI4mB1IFLMyipaqS4ojtzmdj1BphKXq3ihgy8Fh 2jyEktYRbrJKWFdCxEFFEtip2jqi1xy+I9hqEhsdvfuge+1s1ia0/zmZ8Pi/kOwEICMYbZfFPkKQ 9uAUYcXbOkoulXVylG6AG6hgWmI7IpC2JIzm0DoaD4SEXGrQzHStJdaEQdGC1iA06kCUvRjtqreN WmxW8B8+qKONgioXVDvnEh4P4qM7Rea5dN6oOcpmhAiSLImRkhxBIp8NUrRKHY4g2c1w7CMqak8e Q3CY1EDiKk8jSKlVZRyJnahKAxfs8sbq7W53iqAngO8IoiP2RE6ccgedRtkFukirHWGY3X+h2diF HDc4isNsrqI6s6+i6u536I0k59WAVKIpisLsbk7dBJFwsI1WgTZK1B6ETwKI/wyzF6T+sjxuLriW WAd8SRBmtyZPKQodAvadkyhaCZEA+2Z9LnxAkPuX/X2tQVBjX2bNiOJs2F4ADpGLIyNW9/iorTr8 OCrN99rfZe2WhcDO/dJqp1x/VETacFNkfNSwdiY05Au7Xar9s0iMLwlKbdSpJZcCFC0YPPIv250u Xzizdy54PiqQ+CQRcAzY/AB4xbR9wrp2FUcL6BPKaVMBTc53bbGMtFm0rZayzVi9j5d/YjWJgwfa E1DdIRp7p1Fc04mUf4juGeNWkcSrnSF8pLCuzXhbgzBts+fOGPIk/hWPB3N0KVqFi46kVT+0ZxRo VxaRxPGU0H8ixF/OF+RnQ+g/D263zk8K0P6sjwyC+jRcGluCKpRUoHaDPemigt+gLwIs6p+SLUoc +I4/S/9TFPbpFPZGYyE5l/aCx/91IjG+baRVeE47Y+WR9ijW90cCiVG2B5Y4MpYd2vNvOuT5OasP diIB1rwzHaEhq5EXquUT9bcKBRu8V74AG15P+JJgrwlhO0iwWWN6ceRMEFHwKMBWPB7N0f46tXFh MOezOYrCbOr1n/s+XZWf7eKG4OddyigslTVHYVHb4KMsanO4mD/WkAiNXMBXLV6BefLux+3GtN8c S5feNjqOqBMFCQ4bkkLBlqV9fSLRjMeDnv/8hbBFBPFk/TMKsyFxjbNoOz8L54iXa7uJalXY8Ro/ a4ZifoRpoxPvg4OQifIGHgpSohuyabjYiPwe5PV0ikFKCGnY60aaGmzXKu2fN+1vdVsLvZ2QAo8H nciLi+AyKuxDeZQ9w5L2JMu/NoPXJMi+21abrmtTNk2iNUcRdETqvf+37g/ho2yOZOdOg+Inj3bq YZy/sv+ssm58RF/JGUXZlSBk0Ml90E4rnVcO8vgx8Pi/Smwq1RYgkO5pFEFHMIuAjkxTFocUtEXZ J6JpFh9JHUZTFBW0xRoZah+Np31EwczEzjXuNPz6/C8z2pwNVFuqtjiDzd42OrBFO+a5XUirY86/ wMIjfz23hseDXO2RHMOSydhlmDabo5AK2UgXpfyV1UWyb7UxDJdUU2rDEMgzVPUjqnYWKiFw8OoR slggSEj1ARGJ/exMHFGVO8NT899626jmnxk7Els7rq/duZIhFsUC2+bj/0XiBz25ti59fUbYETNr G/3h+C8XzpyiJBFeUzzMnmE1O4stSk/gOa4ncGuz1i6jkbOMzpmMHwHaNSf2GxQBA1DCq9nEss8o zG7si8xdZa2F9qDEV1MzEOaqueL5f2GQmCjhd/naayEVkjCtIXIfacep+yTJyUjHUQXdhXstFB2h vPGS5tj60hwbG63nOsQbARee91+hxmOlfOZzrZGSji/5Qy0CbsvZB4eypV5EBtl8xyiA/I6OACXp 7R7ZK4qxgbajnd3jVu6mpGkRrCrVkep7bUXYkUH6GnV2bPAju58dkIdaRwSz0d2VOSLgnijguKCG KP4rxI5IBgEb2QYvsEFoCX92qxWPR/o+39gRcBd7KneK4mI2U1o/nDMLz3ZkLzdGPCltmbbXVogd 6WqrzeSDB5AJBk55MA1Z5zajzNjYrABBHQ/6OQ5Bmltv+xf2eG6W/U80BmEV3vxgaJFidWKvguf/ y3mEjLg/af+KguxuEkj3PCq9Xs2RlbyUvS+wdoXYEeJrIL3y9ESatlo5B05pJENChTLT5K52avsu AFz3ExydXxXfEdXXGBXRbUyDtsf5V51CRC4+/l+UNGnK+PBqVkiGFEXLlQ/wz2mgbICPbbZQFjOt 9RXis4eaISrll4urballdIeoEgVcbYMUGkTZ0CdL6CPTNrI8oNH1NxeSytg2GCKy66YBgq/i8egw upAI/McZ1VYcaj5JoWL2oo1IrdnQNb24dfvJZt2sBspzySYpJEMqS9tcRxz8XoMtUWlSPK48wDed pIHbGOw3PMYrm/3+FSFHunyOGru0J1p3fb8Td6zGlXDS6ozno2L294EEbC0biDZJUZidIKcBO5Hm MeSF1xRVi9U3ghafNlusOSL5I4Ei5gOKQAZ4rmQIgZyJoZGMIEgbECRc/rt4NbtQzABfEnH8N0UQ x/BB6Rpdt4bqMAOPR8r03wQt5Je9XILWipWzGUNWY62f43teB0QwYGy3bYHZsJDCOJuR4ZAAMgdH 10BmZCDEVOF/Y75JiDxLjsyd1lz5+CykrNcN5oiIEeodcbBA+yyitbkO+gl38XhE8p9fc4SiNMDj PkdRnF1oSF47CerEIqtsuwlApn8teLUF+47FoxVitEmDaGPcwdZRGRBbAfgXnaMOOzGyRYF/XJga 0/cRbGQOvW4kf0w6TeHNtoDxEgDp/KWLKBuo4uHx4NTe35CIBs2TeSu1K2RDMj5CtPLjUpqP5Ejp JjC+Zi3WXVtxPZue9t20Mm6lFjVaQNepf5wg5ggaJOrZVd7IP8DGWpOW4oh83UCUdcvlt0wflNMC j2IeIoPvGGmw+15rqsadvXYFx1ZYzc5N+oeuf7xmz06HKNtV6gt1FjhHsbifSA5M+zk4SKuuCQmE KUgkSlHk+WXBTM46auXKslDJC18SRUiksJVyB51HCEhFPs6z4vH3OVq/EzYQfPuFaO0ozqbcStrE wipAYuot5hEprqqwda+w7ZALSWe6XljL5uA0vw2Zw0n4UcLYqBG9UOwHhgTB9vVjZS17R3G2xCHJ k7JBy6iiMEGZqDT4eFBhq/vrWgPPt9erNbrf42yIueAMaqM5hz0BkSCvnjzNsx0tMZfS2qHmCP2i GzTobLhYP6RhxBifHYGiLVl+ROpBeeQso9TvtUYx/x1itOmRtUBytkEVNuhZCIm89sDjwbVWvumi oJw0MMVsjkKM9v7SGGNde3izH0hgL2bXvQzqv+M4m/V+3lkaHH1cV0PUQoz2Oa6tUEl8H4zJf2bx 7lqhsy6+I6qwoclfE61XOKhJSwdULY2+8XjEg1xfGW0mf7U6WXSHPEgWIZd0aqnLoiIkLTPzcFMa FVQ5RSFkhA3sUQiGHOWCISHg0GDPTvDxBoIAwSbANh1o+/N5ZCVZ24i32o4C7d1klZm6DzqNJih+ TGnPz4HH/0UZ2ZbS1gfEvkOAdpWvyLqKGqO5oobUu2VMQ3gG5+hvHuToPLE5eNuowsaeJaLzW0wQ j3WdocJFkOV1Zs9oh/JtI1gNwEUrkYHEQcsIwFzh9EFg27HoyPgKjuhW/sj77CjIbqTTln2tV8qs JuxX0Mv4MdERyDJriqIge/OOmqIVcXA67VlSk7JHVIvI59cjDRKgko4N2Krf+6AB6G2D2EgN7CLR kXL1IU/cu4sQI2nh8UiyLn0dRsgDxtdhFApoiwoxDKsOl8zs5hm1Vbc3GvVOUSjrR876oKubhntg z43y1CY4K4OrtXgY4ToBpBxGUN7E7l1vGzFFgcoqEFC1QVME9y6Gf2cr8fGolv2t6d8y67Sequ0o xK60hugUQBc1K89LzRLuCNQseESJ47dDxAj/8DlUyh63lN3B8evE9dUJvTqQs1CChCUInNd+aO4j BU0aDeM7gugxyaBG2KzsvHWIKUkI5nzMxuP/Kq+p0QhQRL31tR2F2OhN806zOcL/WN3xvD5XVOEy YkbS+UnRnUY4TaL9wXR7dMxRhtVjpZZGQv2ubalEYZFDqH7u640J5Xh+RyRXK/HUVX2wwwhMC0XN deDxfxFqWPWGHEFpN3qMImxTrJv3ThPiyVxYZrLDCP0222kRXmQWkUQ0U315D/tMSEMlu8pntVEo ElOEsm5DaxtXr0dGrNLuUG2EfPUBvIQNutJSJlCfGhEDjwfLaH8b+bCy9ugeGjzn7Vsnw2pKmzmm xr0M25xCRVRYKRp2HR/1l1Z9rSTLVm8wA3pUTmYD/Qx6imc0VlkWASVgQXezJEr+yXx9bXvhgCzK MxvJDAdc5AyNO0Sn2UDsZ23yAyL8+m9HqA21+mszkkJtv6w8dnRjQnTh16HgBEK1wsmFS8e02FMY aHOnVRGvOfhEtc59VmSymvdg3l9RLmdboeTHpZ6AcH5LpBbFyhHkSmxQlaOe30bl2jUzn49UIr+h NcjDu0ygNU/vsTYCSdTWZipfmvUGHEGZ+JbZsCnkfZBCfHYrykSyD174RwEbQrxKSGC2K5QWLXcR giAEu/ah2V44ktQg9KgRYZsqpYx4DnfARo2btvgBQaT0C1uLrm9D3OETFVW2B7n+Q3us85/eIIGj VbLEreAGtomKjSBZiezsRnK4hSS0LQgbXxUC3VB7J0vrLCh6/qRHURNbSt8SISMRJjWB/zgYAWn0 xtStovOP5/9F+KMkAnK39dhEpSjqxuLH0V2XnVCV5E3V2+QTRVYE6Ai28aLq9kjqJBldK99O0pkL VJAq5wLWbGLY8gQVw3YNFx0vmYJI+JagTyL9+k1IEgfhkE/OI3ntumEVkUIUyTVCoCQSejfr6ZSw dfYeWDbT17CJAuZ86CgHVNHQ2qhDbz/K4wo3u5LDGMnzapCdtTPGQhqKiTrhrowhJzYnxJKsomSV ybTthaNagAKnUn2wiWpVnYfa0+bz/yK18z8+y36M9Fx5YZG7cXIe//Wxi6HaM53TRNcaEMa1BRVF 4BQXm10nVH9OKPhlnscrATfA/rEIBwPN85mQx0eVe/oJRQ1Ifsuf6lEsRmnQPNUm+DSqhHo+IpF+ 0yPpiNPbc+PFYiSU2BQCiYIS8hK3jde4tCAg+cxTWOnebDpOWUIRYfQjvC2w7ZWiyGee2lldkzce qm84kdjW8gCKtQR+SyRoix3XM+tvPTvuZhQgPdUOKI3PRx3Kb/gW8i+qD/o8RZE45LygtpH9IK97 mq5NuTYA5yCHw5GZ/UWSJHVLYJsFXQ4eZwJs3WUdAVGzIdXSRLQ6K5bo8DoOcNr7RhIAsqzlquJg eNIB1yYGmm3w+WDb9W9YCQgA1Bb3aYqicfa/UMx1bRvq8mqazul9EaVwkbNpigrejB9P5MQSAYcL Kc1ApEIqamWiJ4eUEs4dOtACOZeEq5IQbaIXjsxa2J9MtflgYECEfiznDgaaoaHNlbYT2RURFMJS m6jQPJKlsPMdNVn2W5OJS5TcyT40A4k83BgxRJd0MSXYPZnrdk/62Vo4nzYZbrhdGuXvC82tCpVj p/NJC5Xj+S0RlstMoosPwnKhDkFAS0tj8vmoV+mBAdWC4WlXrqtNDg0kWxZv65ojZrrDyKVVyhtV QPfi8xRBTBLx23MTRTH3uvIkZwOf5H0naUmfUED2SA0pcO2iA155O5qR5NhBUpU4aW6ubFpAJ1mv Q0XeM3OVz0cat79UALGc0ro2W4GHJB5j0FRm8arlTlcwKS3JScMtmnb2XR8VlHa1nKYqBfNWCtB0 SwCWMouDNNkm6LvC0q4h0hlPE4VFGH7JHxylToIzBzvFz/G3FD71VAefj1oE9et4olByuejJHPhI opdMdMm6wuR5Zy8WNB1PLO7meXddGI6jlLRAp/ZB04QaJSpZU9PURipDRXLY2VEFYT1CgGx958BI EsETuwSkXGiw82TXIUOylSufjzbdL2WJhuWXntUUagEuogNLtdV0gidfTSdSna6a1LCwbJpC4qSK KlObbq7ncCon29uLhxNFWhOVg086tsXHzyPfrEW6STk0kyRGAD4SW8Oy5QQldsJOeoIpaWgmWX4J 3kA8o4K26fMUqW93XjbEbGNGSMipXp5TP5PlORiu2K4Lg3H62i5hJJdwRAoKQN9enTTlc5311KnT mSldV0CxknqpkEuKCkI7SfnbQk3NB4MuJRDGWaFbhc//S36TcXymR/PtO+XQT3KpSJfXwzHthl0q bfdl6d2JDZOlwaGhpLQlWiLCjYNju9smc5/VypMKtcQos4O6PmjnWWm8pTaQClChoyRVuM/mbcUH 1enApx+qiJfF54NjvHzXn3CJnWP8hk+Bp6TVVeCk7oW6WqcRlQrTLxXqarl1lcBUEgkq0+DSsg8W jS8YUsOuBwsKTFwoyJw4FNLOA/UmHIkeFNRq7xutp02qcr6DgoIECwtVfFPl8xFR4DvKRHgCK547 TSHEe8ja3vTu4KOwXK589+sHOEAysmkKMd5JHErZAu972xVo9kkMB5CBvJq0b9Bk/1m4blEvvRCm NO2FI+F7BE80c7bBk7uap8GZCp+PBMt/aXGCJIYzxecpRHlTdmEnZwukXUZ2eO5IZsmxYYjn8xTC TzoxFbNOH24SfBJ5dnYr/GIzZYFRLEDuUqeit//JWkJ3ySLlO6hT26D1BH1G6Ufk2fh8kLXU73Jm hvreevwlc2gw2WeV0cS0emahiqOdT9eD81xXngWHDpNrE3aDC9MGT1tOJnF+5ca05RxOJ4fhRHWq BbFalRzrjUK83vcvr4kOwTsMyfS4TyB+PpnYynNC8PmoqPJtVF4QZQLd4dMUlsepp1BBic0GseA/ M61viD3h8YQwS8Wn0GRydM2PCQFeB6UKusmQCAc4lROyzcB7Q/ZenddxHTmWbLhDk0keT30oyuSg Uxz9FvYlzyk++XxQzKzfRTqkmUSe+TSF4iUgS5zkgbndJAj1OgPXeRUVoBht2y6wmZQJFP0Btg82 TSdO3hWGPhSDzi1TAH40fNSC83we6aIHc032wn/xc4tU3liLVpcSF0DjJuxn6fMDgjgzta+JAmp8 QqrIJyrEo3QyLHYrdj7RIsvOp7Id0sxM3Cbqb+B3KyP74FVf2JSf8GYoLJhbzMEEkDcIrwsQifZc eNve+C8wAXwZNGRtoBOw5klIST8hGp+P2DrfZkGY235+uztRsRGOPBVWs7wFMYlVCyZZQqZiNrys EvhNAvxNUlOVemB9MHJwnzzrB4cQxBdITVEjGPirSrlrdwmW4Qy/JZon5HdrDQ3AzInVBOdYFiNP /jL5ARFK/ptCSFXlcsm6OfScbG1LnTM/0BRXfBmQQXJt5ellutB0cglMuERMWdcHr4ML0WalhzsZ nbSmHnsTE9qgkFQfKoG/71/lggljERuMIXe2rGTMT4zA5//F/pIHEw7y2zPPoesk6tKUwjO3QNjV bw+gKC9iwjiI9zVNoXggD/Ila4X1WCuAW1mhSURWM60VmOIQUwBaOMqAV19pTXvfAH1BGUr8gj4o Djq5NbXGME2bz/8X5yBUCnotzzRFxXEAjyFq0rJDVNxFCGIqo1genBETKr8LnCfdF2cmE+38gqa2 s9nKoDbOOpF5AQ5vQoAc1j3YxmwVI/87287mKUSpUI2C7nw2KL87syQY49nTk8//C+TM7h0qKuOC 5XNoPjnkr9C3H095XrnuKvNJ5sFYZDZPUXFcfIuxmLZw8F1XG/SUBucJGlSDnh4n30PcApQx8Bk6 naT7lkP3ySqhrimKyvRw/FzZiPh/CHPOfD44nOZNg/Fgxs8JNNadqCggx1lKYGpysErOFzlHNSAh 58qavu9i3iUDKNHA+0MDr/ipG3VzgJsDaJgG1BMpMDkaeVDLX12p4S/8B2j+fCAdqDmonHkyCwpG Q00+8/moAPV930HVfKRrR5VDG0rpCAIp4ZBwlmvF4a1mTolj/BagYh9KHuMnuy0+OD91Af9diFSF EcmklPBZWMT5pCQhdu+ad3vfP+sqnV0EDlb1nexFeHE8cKL833gci3zMS1DNoRXlxl+d7F6rCjQ9 LKD0lzURIAhj0xTF46sIHzaTD/d8agWqHSydIPY3JCaU82kYRr3nywfv9sIRuoAei53HOQedT+n8 FDzUoYHJ5yO86ndZhcJL0InyeYoC8kF0QUrLAatpL/c2yckAiOc6AlHW5inW72Z3U/j5+oWfP4nd hrotqRb5xAKJ6V3Bl9JupuSL6kWv0l44yO/ktySjPCYSKhmhrZokYdh65gdEE3VhGJiphd8MHWmf qRCvIltItioUP41u2W/NrD8zfkowS7WZiiLykeQnROJT7Q/xqVPCMVM6sKxGqXhWVjLM3xHQwPca Z/TTNg+MKcF82tQTzsSrAMAhL4A1UU3kTJ3ggx/wr63HEhSUDceDgIqtKXtX2FStYtB0WNEo13ue 57dq21OXwJvSHeDbUkVzPRVNQFdPQpLIfsJtTk/m0VX7hIwgZsux9HnZC//ZEFZFcyCEUrYxQPcn KBr0Wn5AcOldWyEWQKcEC56zPArJiWjCRFwdpra6Z8PbBeLhwzV9pkLpE/YveyZJvud8i+SQyqlj sWFQoOGGuHLKZXgxiqMHvBF8UrcXju48MukTdbyLthuKdShCbB1SKK4ENpUSiv4q1gHMOa++cA59 KpFFAHtoTF4oxEyDqZxA3XT14DuQ74qKECtNLGiKv2nwYl0/OWJqtOmGMCW6bKz9bqtB7XH1vOHm oC/5U62ySSOmuUZMO5kwy1c0MeHzQepSvjM8aCD0/GR4gVWlU6Gkhs2NV1l2FvQw9W4br8zqiLrY q5I5S92k+3Bw2uFcJ6QE2hnFFSTCFIgr7Rz3QDxB/jb5xlt72QsHG4+y8D0/gxB1pZItjGBzdT4f BZvfslUI5jrquj5PIWCFSjE4qo3BSssKYX6pFJPZEc5I+FXSjM0qt2zzCAznYMupAbYAZwECxfJU Dw+AaZhVoqg1HygrnKH0wsG2Kzygqjxi67oicaAyspew1+LzQah5yYes/eIM/lIdyqFfJU4FVOsy jZap+19MSg9oHDrCoRS2pxc1Q8NKQcfR2fRBE5VxIA2QGUHIqCfRKNSGH8RzZ5RxaxUdiOlLX/bG 0QFFo4HMsubKXtY8Qazh7GtClhd4Vgq7+LWiJOlzi+ShZyX61tSMqTcbHi44XHoyV+9VuzOjcmBa Kd0QisI0HwyKAULMSeWRoKJbnLo0LzpuN3LuW3uMPcmtz4Fppdjx52Q6r8ahWZE8r5MDDzWFgTEI XCu/szxebTgNxgOBCl0rEbsxA3Y/nUa3Fk7TJqxUfjoMCLieQtvKxa7UyiS2rPwQWzbU4UfJRSw7 OFktInvOb9kgiwqNE0vxhiKowLYyW4q3ATK0QTH5NCNSLE09H1x3zc9x0mCQBe/numtRTF7ppVvb nSbT+SRvY8x6U+ELqGthTC6p2Cx8zwPFOBHF+SNGEe03ITKgNVHBZdUgKFC3M6MpmaL3/QP/hAOc ynpEW/NPw6IVvHIktIRbKI3y25sBVjEPHTEH3pU4nhZxKl4jzycasF4n+lUuHLeKHC76J8fmlRL4 TlJsSvPWVmoaKI2rtoIe4ZZ+DFKjBrmv/gAPp6KnwLzShb4zTN5sMC4ZeI78vgwAVOheWVL9zlwQ w3dafds8RQjyRvW4ws6kvBnF1wDgt6crj1IEjE76qOgYZ7cliSOdbo53/pgBq9UtFcLzv2gMyEdF fRs157zqEz+1bS8cQQw4UZvegxy07xqahDzFzy7g8/+yaGBESYnmeXPh0MOySjaeAlHqnRenkpc5 LkKTtRebpxhAzp5LJgm45UsCBk6/NtSw2epEQZkk4Aa5sYl7NI9xSWXyQ8+hjSXqMSfRsHwDfUPJ UZ2PWyqunKOx8QOC4m/6ppMPIg+v12cOnCwFq4RY01i3J9y8i9dhUKie8FJcgAAqsLJEVm2pXfPB a3UFoBc6Da3SYEIAutAg5XijVleJnbCdRyG5HJhZZgsLKhyMbFDecs4O2gGcA2vr+X+BoBhAQcp7 Pd7xOfSzhHApwvDiejKIn22irKgJcE/BtrKJikArlRnLkozsemRkG8TREMuyK9zKwCFOtBgWB5qk i0h7Ke2KCxQ4WgrEBJ+munzwYl0tqkhuUIECS0tge76pQJnM3H79mj6v4VO18z/ttV2/aS9vdtbK zcPEJW2vGLwGTxWqO+S5pjJ88IpmqjjEpT50TvJKqbSZgd0g/Kiuax+zaEH8GjpV1KnZt6uaKdXE yXbdAOr9UAUMT79M0HnBvXQyVTvCa4Z2ExXo8MNE8wPR0PODJiEKsIzGtIQF5dnzT8xPm80kCV+j pupKKQOxmg02P+fy7nSO5/ycvFGUqoS6bAc1OFeU7+TVsHQsvU5QokoAZoaNllW90YK+IEUCfyC/ +nkNmSr67dknSMQMNKQa0dCYodeQ6TwGFCSKc+my7pjas0JACwqdSHS24Ap6DZiwgrjNGOvZ4HFl AUUnVSKP+0b5T2iWJok66Jo/LgSd7/q+gnT/N9p9abBKL1hYPIzOh35eY6X6tA3qj/7TCbq2T89r pIQF1E0/1pqaadbpekRN2nJUt0guRvgaJ1Uc1+STrzx98BZ5PSHRJPltbkR9hRWE3M1oEVrvHnZP gCE/r1FSBWU+6dYncI5XiPrjJ6ankdo51Xr+vAZJNV8NuWp3IdgyUJbRBL2GSOepPWUQn7YXd8v2 kHuzMCWqdHb5j9cAqbowatuMJznYfUbd1VbYOIGJYqep/Pnfn2FDTK7IljCJTV74ssERJIr04BHE QbfZucOoTH4C0xNuvwZH1WhymiCdVmea6c1E06xgfirR4eUhryB3cPCAFhDBAxTfp6NeMD8yrxqV YJRRLxgFzZQMQUQyoU7SNoS9RNr1s3D/wnDtdjEZab+GRRX1HSLogem2wW57GM9q16zyeY2Jqll1 6gQid+Osp7MvfAG9hkQ42Ivg82k6GaNln6DCsipjR0hRmoNFNEFNqPBRffB7Hr5nhQ2gBe7Q2XOZ 3pWQNFsUjZXa/gOueA2HqgQEoWZRiBmgjIdMUBOsq0QLr/3zGg3ZAfYcQXi8g3muCXoNhXBCN7nC V5dE6yKu4AhK0ycITCrbYa+BEE7obure2we/w+pukL8Rl26ilaXuLqI1eJaj4XtDa7LHX+MgrlLB vWrywahPkycAmyH58xoF4Q3HvcM4lZCzZBEJ8/NalKzAnUgWZWUHCjT3rC6QVHY2z3T+xWtJEvub 2kxUgrHBK9yQ+UqNxbSCy390OqAg/Fk4cajaYLg4Ykc+rwXJKlkRVCLn8EFltnPCLRVGCoT/gvlx 3UEtbYCSiabR/LzWIrHoMmUHKSIhWWY5nvCEljkciyL5iln8GQP1KjBq3TdGPNFxQk96kCx+Lq7J DUbyC+x0IU2SLxqOXkyvZUhsMKJPIa3qg04gkG7diglPv++vZRrx1QBecIYtN0aMguhBfqFl+brC zGvw/IOOUwLCARlq/MJohthLgqy5D77D4G/WumjiG309YHYGA0kyh0lucjXdJt2BaAkNIkxAdLZB SwgMSZKUTioDsmq0x+b62mNIChsEu2yOokAaXtpg7zSPg2C9YIdQ78N1GRsbMGLvRHMk99xB5jMH LxgNpPg8Zc491iCBzUIIMCYLx3IZ5FpUJfhDr/tXKFSAJ7DB+0it63I6G+LzTpirctR69hmQr9R4 sjmKQumUxCVMjhPMAlGQVkhteq4jRB4OxAnmaMtamGKHGvwqY+LUXSyOsl8IFhcVhyfLzzfZUGof BdOwcsT6oV0VB00R6Gs8qWsDvek9moZh6vxaRohyRl1+lb1z5aoL67QHNAEG442GLhQgg0FrUIDg sO5khPep7u3cflhTjSFTLwSVkM6bH2JxMHjsGwW9Ub8us6TXfd9qMOlBt2DewVPWkkxQD4DTKKJe 5sOEu+FHth7fk/QeUguFhaS1JZuk87dazlFWydMmqZXl59F7TJ0lrIMaf/XBWiLnSksnzpos6IMR XKRHAKnjzgyfMvpNXgP2tn8cR/3cKfSrppmsFtKJ2MXXOZE9SmnBJOXcv/Ya7vETI7Q7SVFcDUHX k/itfEVQFQBQmXENKzmuPbfZDL2z5JiZ0axqsDLLwQ6kAR1UuAFQKBbriMqMpaEYxg4FYadWmaXN 0DtJjpkHDqSTXWwfdBtBXHVI1ryUzztHDvvGGLxKPTJ1xlO6p3YYW0/OTBtuELPFAqNBTCnuNrCR HGiSouBaUNNVyNjh4PUh3JYU+kBwDVRow3RmYkIaW5G53+wsT73u+yS1OtT27z4IuHyOuk7GwDm/ 9+edH4eVNPzUplYKUNBteHT0To9DAgt4LSR0soNucnN63Mlqq/f+wX3THEXxtdCTQ+LMwz2kGT9C mDcLBnGS4gREOUQTFvjNCNs76UxLqsxdr/s+RzKq2sAI2aA5AkxJaroTaLcowu5rfEfYUB6Et7zN 0XuIDaoA+ZUjd6/GpuufxzlWNTbXYS4679S4KiVMKAsTQMnBTqTUNyiplRqfGR4hNEjrrH4oOEq3 aC3k5HuMnclv4ZWWfdBeW1BS46ndIaMTBdn71+WPRVVrvlMURdm9qXiWHbuVRNtF+r3LMGQgwLFe sA7DbG61vclJ5WBTBHX+WnAXoF49gbshuHQToFb2zy75TtGcetso0ec5tLnTOPBv3WeK1pDSEHAR UZwt+MtdRfh15H3CSmMUZ6ONi15/9hgSpjd2ZJfhBAGQIg1l886H471sXdnhg8dH57AZIIGzGrvT pNjgTPQZQo19JU9EMpQG+B3v99puWj7QH9SgjQboCMFP9RwZn3c2XBUH9DmM0Bwe9YbZ72Q45GpM ReRcoxByJaea1N6mF0NmMQ7FOxeO65GBUWcfrfdHTLecrXvyFJTMoB9xUoZFxSooNy1wYuD1eA8j FhzfuXAMAllPwzqkYBWmgrnIQoBO6hKUTt65cLzWfB11FUTQeLmB9jsXrkqgjjW168ZE1rkMGVwW HU2wbO3Gdyoc/gDqm0CmzQevysodIKuodhIIwA/OT48MC5sEIf1lyA+6Vrwz4RAhaZbKrC4WKw7N maa0yTo6L70+70Q4zrJnbFOPoXh96/phqJ3lU528x5+T2zDQtuLHbHRwUfNAeqfBMa+RvzA1veaj 6QXJ4RPKIPZG3n8CAdQPuZTGz0ZfreGacGQNRU7eSXDsDhHFrQY2B29+7CoJ9HPSfd45cDzzy9eh PYtEQ32OokgbNwWFKNzufNTiWHdJZgvrvpdvt7B6zTbskM6J8YeMOQFmV1H/LMPkia4VC1M1+BvJ kWE+dkzvBLgqF+U+WCq1Qai/jLflxTbS/LzT3+ojzFypXY2sEt5QPkdRoN0YH9Fd244k1foxRycZ 9awWgE+bo6iCLYfhUYQgLQ+CFPrnJ5+i59L5QzL8KujneZbmBlSxFLrryWEYjKHPO/mtijZ0fvos sLtEFDFHYI6RQnPuz/V5575VcTG/bjZAn6DEYXP0Hmej8i0PJquDwAk2W1pbk1PfzoGUmt9s73E2 jm0F2FLuKPMeSGuuBV97VkfKuf7RGmcFqZ17H9PR0n7aaEuv+34gLeoMzsym/sze1CdBTI4K52D6 vBPfqpnh3TmCqQd8iX2Oojh71iqFM8PSnDjb6YFQgvQ5Kss9mN9pbwyB2WKsTNh2vQnbwOEvvD4h tmg6EcGGo2jg1t9EbSlfK0Nv+9cUrSSxWERY8rqHsUJjoRbX3ued9PZ/19GA7vHTiw1L2Yn5Wrrr aD7OHoNoU55Hszku653yhvcnhWvJcIiDndnoXqMlS8UAyJYmxpmZ3hOomOZx8Wt5EIb8Tnm7gTY0 Cn1QiES9UJ65Zxt83hlvfDx9zxFw2vP2097pbmyoMReZTndLm9pzEjlr3XmBlchSztF7oJ0FXYOV UPLB1hGQeCjTVamgn0tOcvsITPkzYr+7mC6lKt+5br6OTvhoKcl08iSsvOWgt9f4vFPdEITegjap LhBZg4y9N62jSJuCgRlwcM/7hTgikaS5PcPeyz2Y35luPLPZ1Z9sjXDwChLst6YAIKkWGGET3gcQ Vq+Uab5KSzi1+B1RW38RjEWeKQfNEawqm9Tfd/m889yYDPxOaTcL4D5FITKkU5hyLY8hWzEySeGF /2PuJ/Bl0hTF0BBU1ypqHTZoimApBP0alP/O7XhCMdxNc1EpuAAJhWQcmojs7Be9bTRFMvNqd9BO Q/1UHLd+Tsx3ils1NNWdIgUjyW+1d4YbyzFoHKU6qjfWUvF6dpKcIHYaq3UEHb8T3HgaMZftjI44 XGwIYmzsZahTnu2PstpZAk137v4Z6zmx29Db/pWvtUVVHA6W9aclSXTEV593chubf+krgARgCMU/ n6IoyAb5FKc02yJE3jRXCnIrJsDXeUtrit6DbBVmsDbQOdPg6PXzp+ykTCSlcg4RbTTozfUmat09 jSZFOd6JbazVVhkLa2AmoXV00kLe/PNs4887r+3/lEYQT7X8bLUoyt5VjrnJG7RDjvBgcue1q62j DVg+T+x3VluVt/w5qqGCZoNtNcjEwxy2sfxVQUxfrJ6gZUdcwtV36WQnv1Pa2MAmZJ0QYQ2GoAXi V7CGUT7vhDbstNS/dxqu0rLuef0eY1sektXhFIU73RY2zO6tRQv/bZuhqJidptgPo/jg9/6uJ8ZG 3gc4NtI18iQaNxgiOpjAe1eEacg7lw2LfsnytG0f7No/6SANAmHY83mnsmE55Po1RYRh9+pN2ncm G75UMBEdOxKAcq/zgnzdKiMTUA6d12EpW3J48hnkYFN01mKB+wDDx4RyCw29MB8kIJ1PS5ehJYTx O5HNShToX1NcoqUrLnEy98wE+oQx/fPOY+OCyL/mCLIN+S6jECkCElHq+Xb6oRTpSJGevUmbUOLT HIWlbNnB5iEBDoaPoqrAWLiZzRAuOzK3B1T5pXkMwLen/AIXv5PY2KTlVpNf5UbYrm5Pg3YgI6V+ QtTPO4mN7TXHY+EcwImPGqlPUhhjV1Znd78x9nCdN5joTu/SNgc8vlPYiBsQ4agMH3yvQbKkmtQk JFwgBgThiQkK2yL5Mt8AEsXAzzuDjYARauOiMoABGHP+/PUcl5NfeUILPh/ca8kjSPGWIeay7iRF QfYiE/nkA8Vx6iK3T0kuT8cVX7/zd/oa3l9uTJLm4mCTVCDj0jtdTpC1QeELFTa0azdIyUWlfxWP yF57J6+xRsHQsfPsnjqECPHdiwxByC7kzzt3rUpP9KtxVMCiGV48eqeu8URSrdZ9PTLKaoYtrruY xcdejb4eQD7+DRtZlVXtVR9PeKCJRjLYyBppUQhuTHSiF0S/irxzl6qQS6/7Pkfkk5wPm1lD0g0F 2mYnvb3nOj/vxDVu1m/oUYaP90h3t70T14gbITub9rAMkM5vLZpokXQ01N2g3oSYAwvpnbdW5UoG o/NxB6+M9JOQp0Jb6oyMkzoKg3ivRUJOwl+lov8knv6dt3YDpP/TOjobeNI0DVY68/NOW8OJsNr3 QuIaWhdBG8bZgtBuM/HAP5OU80+eSLUaeueSm0iy6DtpjVA4dvGrXDrrlTdvHZYwY0rUFZksZZZH pdYrKRDUt3GhZbYg31lrBEFydgTDTheGjZ2nnu3Jmvl4VBppX3cbNGXg4eiT9B5pX4NhiN3YJKl8 hOLgEn0U+ncbBFmWj94Za7zbWBMZCgPGVcU95+kYyKfI0N7EI7FNW885v9CfyuALucoyuQ7vjDVW u6RkQKQxB0fSQpyJ9/8JtN8JayyNfM9RB9R49ruQwnI2HDXhD+F+Ao2qVjI9nXs4cATwIp7a72w1 z2lP+Kxocl/S8UiQuCm49CuYwWfNsttdoYHTEVJmRN6W1Ko28k5We5LaQgAJB8v7s/RTfk763z7v XDUsw5S/TiQAQXountS+U9XICNnM2IpDIk4ifm+2MZLFkak53PidqUZIh2JsinJysDmqLANs1j8S GEbF5EqQvQP6BBtzdz8jIuKdp+ZTNKgIYoOm6MRnBofse3zeWWrMiT3U1gFNjabnZgthIx0Srys7 pj9NSZTJhPkijmfpFmu/k9R8r52AE4giDV4+2tDgbHLvOtk+NiLOdSoAgZQM4w8PtbWMomp239TB ZTqiQXMEDApLkg12le8MtSrV+GerQVsVyi8+RVGoTScOF92SgKn7VJ+/pV9YPxTXRHuIQ20IW2bl /9ljFx5HYOCiFIWc9kTYrcrjAyKYOJoW7Sp1GhEy+s5OY8olJwoaw6ZrDEugq7wYG661KNCW8KPv NGjwdCDZbYqiQLtzihrplOKsl+szOKtx1utJIoqVj1oIzGaqxmTcBltF53KkjDl32tl1kHRDUgtZ mQY18NavXSXx3PiO4OZPwviN5IMjIkgLBBwyZzwehJDju/9Ila+e7kaLwmzS94B5dThklp1e10Yr nots19pqUS3bpigTn8XBVxHOmAXzZFTYkLxVmsdBb5dKOci1rpO3dlqMGiH/qtE5gIMVs8+dIOnb 81vi8aDbf5cRodhI5TsqFEagCeNsmAOlmcsVTGyW4ILXRIMTGQc4vr+/x9mKPJDNopqvwdYRKiPw 4Z0sjnRwgOVfBXVeCD7zxFaYLduAHrIcl0APvflgQD9oWBOdfaJePB4wRPavi/8EbK1ehkiPouxB Pn9r2y09S7Ej6BpTFfL5m/VoewQbgZcH2Gk8sDlYha0WSBHgIKkESZYk8A06NoVsaPQzPPGnikaP qtkIETqwuMkH7TVGoiI6nlSkR1H271IteMH1KSD1v6vZ55Ozg0aKYyEL6wYm/3dT2h5Vs4VgXzqO OTgW8szQydCaiEbn7gduDj7V5yNlBkcrdCvVEn7UoyBbDcg2baAEnFIR2BYv/uGt4PkAfzS/rzXA TH+YhdkshXxHEmxzqWrNnn1HEQEh/SgtxFxkNMpMnzC7R2G25Wu9LR+8zNYBGRmSaoPcCwCEZ/9B OPbMHg68y1czOlaPwmxAETriURvMowMGBItQpN463zE4tdN3KRJqHHXfVKRHlEeKAsGq5oaQiTj/ MzEQ4ZleZkNHTHMUhtnk9J3p2D54mJ1Qk01DBrqQFuDBjrow7KhQz27XKGCwFNmjivZixo/ONQcQ BLhHzow3CYqNuieej66270lC8308/bUex9mFtTVvQUIV21uQPSWPs2tz19MeEh+Htfvv4BWkvvu5 0qZcTAo07NjKRkoHxij7nvdIonxrfw+0QR4kzA/Wbxi4nv4/Y++V5crOHI2+91i4vgVv7vwHdhER mSi2/sreRy/Ykk6R1SBMmjDcbhX8Svxznj2B54PttvqvlXTmpz5XW1jURsFISiJWHWFdBoc16C/4 J5PaTDkInNsxPpt9s634Z/dbHck4fyhCeeIg9p0LktqK5s9GKgpX90dqc+p1o3ObrLVm5ueQgxRG b1DrmbIZ55jFB/wX0gh6JR06TTZL77E2shh2s1FoyEQf50mnt0q1GjS7xM8CRK9yKUWxtlTZZm3F B0NpwX0CCvcMJFeHUQ8rtux7A98Kv2xn+CUKbfaorE3gOaxKhg9C16APuRUd5onHgw5S++ZDNNBz 0uX49QihzZV0DtUs6Ho+7ziy1ZCGiiaos+EMVw2pR8gR52cZ6I+oiMpI8hxKtcrqFBU7kuKhhUBb eDAf2DXVuY3HK74kAo5KdJtzxMHKIyeuoEzsmamCx/+FiOTllpDgQoDYJikMtyclMnL3Qhs9pDVJ 80YDC117m6Soqr0U/LS5fPCK7QkXB6oigvrPDNFfUGnP/2UR7keGjIqRo9vrBomtFKWr+H5ui11A 0lHsfe6IicejhZR/TRKw3vPGkiMKt1eReWczLR9p/N04aVicBGiSYskRlbXJzcKquYOH2yfxSCPJ 2e3ENnObTOkk+kdOfqKMmOz2iMLtTC9K0ghtsF7kQoVBdbaMx4Nwezh2RH1LOOPdu21E4XbPQ45K 2egQbVQXp1k1NaNDNHQIRFkPi9rMM1aioTcHv9sQTvbUmZoBRIr+C8reMJ4CahOIzCszhpgDXxL1 2SgoMkRmw7Uy1EKaRlIAEDXj+Qg3+gvKjnbdusorIwRpF4oiL1sxKJZkA9jksVw7azfAXzVJUVUb pHtyjgoHVrUHc5IONjpEVegGcP5CafxQaBsEsTJuBwkuWAPfEcBGqeZQKuFa0F+zQBLgMtYiIdOG x6PuyP4+tNlTXw5lGzEb8qu4plbkuOl/Kt3gfmMPU24fUbDN8+hEigRGEPaoKRoZtIFdRfTbZ19t kbhPIIAKCSR36739z7QNfEcEYxf6uBUfrM52wlNG9+f63Hg8Oo5uZss4EqktbjeboyjY7mV+i4og NRmmfgBxK48jFxMXzlEUbE+rjZARwsF5NdAQgxsHi/KwgiXCpqB62JCdQtXWKWyQ4eDrRr0Rg9Yw ISE8h7cRzEEab38Y9+H5YCG172Ab+ntUPrFJCoPtRFJgmckOpNKn87PO9Zy8OQJUAoPtEQXbi/Ir ABr74Bjts8FQtVWpLRXwIzFJKDU1UJY6QVyCaJPiP0KINukiK8nvxpWE0XzPMpqtZxvi8ajJ9j1F TGwfpNYIIdqT+BH5JzEfycPr/tAZseMIYnA2RRFEu4i7hpKaDXZmNxQ7YU7Ba21jVVHXfRFFDt4e DYMMoW1vGy0j3PkNCbsNamhn+G9Lt7YPPB7FR9/HUaGAULurKKppQ1fn3AhzOBuiU5eLZX8WspXX ZsSaOrGjONtSNjX96WCOGAHYUHzmboWgPvDyu1TsAJRqQEnD8MinCEBffMdf0VFLTNw4WE07A6n1 MUbtCMPs7tGRSAHoQs5784dh9hKppnmHTQxyrSIuBa4imI/ZFIVhNoWPx6Ts+JiP7DhsWLtQoyfM Bi57E0xLH3iaS+52kbW72+sGYTayhw4+JQYcBEI5F/CZKQjyORnnwgcEuf89juRPeW5HJNk2S3Gc zeNIlJEluqirs58r2/55zmwYZXGvhWVtsfy3DEn2Y0gyEtIGAmjPLAEHO+nXTWY0POALm10q/aPW zdcNerWNmiwMbM6A0i7/so0C7maBZOeC5/9TgWQDh7Q9959hWZv2WzSklZZ2ph08fe96cgDARhVa ycgMtfuEQ1pq1q6nWQs2Pry6WUUCT1enewXmsteCS/gWkcSpnSF6pNLdtbXug/Bs4DmzK3ny/orH gynq5SuEhChvh8agTVEUZyN0Q7m/LcvXOu04mK9lFXKX5FebTVHIhqTa+BrsfXDwYuTJwTp2A6cI pnDUJUmZmw5NtvRUbBHk4zuinNYakMUHm6Kd7cyuveDxKD76ZowMXgC3XjtDzRFG2S1lZ9XkPqZF 2bOZ6Bg6SA5jn2GUzXb2SRKyD17Vhlwt7fLAPFrnb0GrFiVz5P2DzY2Lr1mUQZhRmK22SMJb26Da yJluue6egLvi8QgW8V0bwX0xxsWxzyjMZnAOxRpnsIGT9XH/key92gRmpeYorGkrXROcfT2aq+gY L8ockpp9VlXhgqvAXzbgn0nOcr/SpbeNTiNq1Q+SIjgkRYInviBeD1JaePyfOpnMRMZ30j+jKLtS zYK8DS2jubMzatu8bIgOF2ye2DMkQlL/8URlywebonGu+VpRioJ+by88oM9CQ/qGtuSHiocugUSQ 9ox1/Bg6srKtQVsN9swsop/UpuLxoBHZfI4oilgEjPM5ioJsUkzPgTpd5JiL34wlWzV07dnsw4Ls GSFHDO83Z/XBl9ECTHupWQuLnkWl+gzmOhNCzKeHR3SVnFGQDdVbKtUNH7TTUMMxLY0x8Ph/q9QW AJDuaRQBR0YlcIQq4P9HBxoe166XDaynTVFUz16qiDAk1GCn0YQZLao74h2dDcaSdyW7Dr/ikiun MFpFb/vXgV0XxcXrmp7znyNOUjlAa+HxKOdPX3cawpqWppdFZkiDZKpWVTsSd93tk8tYluWivNYM fjxDOb/G5SNvYA43DzmXz55k+J+7YQ+0p6C8Dm51lTPDU/HfetsAf0QR0gk4mA1WXkM9UuW1zccj iNavssg5gNu68KMZAUdAYgGgZjxTtC5TlLViMUWTq4nPKMgeytEalSE5+LV/dhryPm60cgLExDg8 o/g96N5EiLvVsgljn1GQ3SgquitNo2DA+5H8VUljElD2QXyK56OU/5sGCTv7+rXVQhrkzPJmM6WI ROSjKUXM5rKZiVoF3Gqx3ggxWrihbLBJOicdUKNbxaxSuWjPOjqJxFlH1M18bjVKVuBLIlgEs7Um kla7HL8OVxy5Jm++YxA//sJDgjPSAXbQHK0oxE4yF6Him2iQLMFgjtJu3fkQdfheWxFyhMw9FBar Dz5HCH9Zakb8uBc0kHgcIX6D/G0rHj8au3+FyBGK1VXCqzR4fY2tQJ4sFY9HNEhfRlVoClBqL512 hbVsWUEsl+6np6rfasO2IPUvLThaIXSEkeMUyW8+JD/4Ru5Om23AIpL6ndhssGdqLB15KTuDDMLv COoilTXs6RVt9K5582eID7FOu2vB8xGSzQNIPIasu4MKbXMUamQ3aW4trx1JTllnduvFz+xRfB2F 0BEr0BKBlKRLSIWMAdX+MhevtbOiZLAJlU2c4gv41v0ER+eN8R1ReU1R0W4+aH/02hobDCef4uP/ gh5LUYLX6t1qIRGyEDdC2eb/ianFeaGKViHBhriR6QHkCuHZFFmDKp0PjvMv9LuX59oJjZCNoAJZ qX0Ikh09I8sDGV0xD5LlWcFqywOrhV0Mj6Zad8XjwWm0vP2IFXcWBnfsXUahVHZnfW1Y/Rr/dAt3 ZLnVoqPSPDpaIQ+S4j5o9Ptw20bnL4AdMutr8FpnHgIpnA+0ItArvT1a/lj4kghXq5R/ypLND5Yz R2fdciH1kyXi+X+VshGZAJsD+phPUhRls7WbpiuwAvg3fa/BPtzbRpKJSPykaK9RAYlhvgaHRJwb F7Z19IOcDW3HIgASYFrn/Ga8ba6aFMbHl0TgGvJE57iDsrXzDYR2c2/j8UiTvn6fRwghQGSxOYrC bLrCZHXCWO7vatFis0lnh5uN9VctpDDMJoYNgD4fbCGl2YHFU6XxLFwoKYoLeQbosmhBqHbESA1f EmkgdGLYmqBszeLss45aZyEJNUU8/q+LzUFag9BezVEUZ1cDaKNVyvJabcsAEeXsh0n8SAVMwmpH KwRos4ptBzIHW0cJpTSc4txsJ2sDXgsU23OXLrYQJe0j0Mgcet3g8h+MHqVMv5HHCH4ELTNG9gP1 tRVSIds38Qhb1rx+OUchFRJ9aedCEOPSuyuLj7I8PpqQWJFydljNXhLI0Bw93muwxzjXx1LWj/MO Ds+YI3aPMjm1DhstCc/hSwJ/DB5ItbN4xEEpLcQ6WAJobfAdI/H162+gYhx0KjxfWyETcm3d/d6j 3a4JeYLXfQtsdRRXF4/jbJb6M3OSkW/fqJ1dcJYhTe1R8QcoksCaTSVNQL7QtfIAaQy9bhQgCXXE Kyk5jJ0ArVlNFrPi8X+tI84nCn39ArR2FGcPsshkgKf4aLoVMlj/bv8EJL3O7B0zIdl3TGP74Lga rJ1qahDnCMmShyQBdaBN2oUmeYy1dxRnN4qDdZpeatAyggi+XETS4ONBga1+F9gqikcw7rQpeg+z jYmDH8HD7EyRZRr1SCbfCmzFNH13LDciH1Yto/S1jGA1v6R9eJbRWVCkrw90NHm055b6vdao4r9D hDbj7L1q8kEFNsh1qLRxtjQej8QP09e1BtoHChI+RyFCexWJZt867XZmdmZHTcuIJQTNURhmG6F2 3MGvtQwyVqV039nH2/YYpusD1P9nFu+tFZqX4DuCKRqkZsFh2Aa1aHFVEqYHJXw8HhTYfoFqMpmZ t9G/ozA7NXFo1zCgf9qGXEeL1qXq0VgrNkUhYkRmEFtQyH2hkPA5RtlImciZlyIx/z15qSBsh4S1 d414q+0ozpYmS4YugA06jc4ilVvm2X18x4gw8t1YQ0ZbHwj7DuHZSQwI12TJEKb4mJy/WFp0pKl3 Gf3NglyCi64HLorz+qQfQ7I1oEdmuf4CdNwIsby27Jm8YXzHX/LZCyebDVpG5Ry+QvyDvbZDTb+b ijDahMReX9lv/h260kyqH5VxddhTs1S/SAbTBEeKr6MQMEKY/66t+eAQdljZn5uhmlIEIiVWRpCu QZCokb4mcPYaets/xLM7JThsMDTEObKlfnSiPTweabJ8VyGRgbIYYVMUAUaAA6TKSLIpKnldWxGK VBF1TA8fTVGo6UfU8aoS06iPyCgUa1aH9zNQ/udemmzhgjrwoTDLWpe+RoF+fEdQqF0srBXWsjlo itACrlYz4+NRrub3/pBcLQxXyz2xwyCbF39fzXhHCbVn4x2Jk0hqViaFC0H2DhEjO2v9bB98GS1o jKCgCXss0DmEFQWtsKFjt+uVz6TNML4jiB8zs/5ChSgOtozO2i/SYJ8bjwd5yC2MNN2FKqbbFIXF bPqrZSGM5E7jN1mp/YofzUqJ0c5P+kuKbcnfaD3+RtADY6FHEuwJXkTEHWFO8bN/5r7GmPAf53dE GD/GjTPdwU6jQgUShM114PGIT/MtpAGQ6CzNG2s7CrEbaevnTnOdsdpn8gN75GKHUWZKx50WwUUm C4tb6pn7Uc/sSMrg98ydVlffnVUBnONY7CclqPOGRizT7lBrhOS1JS7Eco2FgtlqrAvjbsPjkQD7 L7AIOKKP6KGhc15jIyqMIBg3sREcCBIbIUYRmS0utWX4/pzCGJt7rMthtT8OqyAa9kFcBgzFFyEx tPGBAQvKCiWJr82FtLa9cMQVZQRJoP8ZABVjbNwbeuXsrrU8+QHBqV2/U1pUBce+aT89Vt4fA54G wH7DHqWzxwzjn+Y0l8MiO1zpsKco0lZVpPfWfPACEvRRyasEgw2finMbpYFCPBUqiZcvSoclfsv7 RG1a1OOI84HfAjmczKz0hJaZz0cCP9/MIySZfX95Hr0H2wjNCDiWKhQVkeZ2Pa0m6WPW2WCkItuD FCJHhtBZJLNx8PutArxK9znAt8FiF0gr0QX27GJEP9c8NNsL/4HSGhR9w4DMROcwdE6YBSFw4QcE odI1GiEYB31IMBR8oqKQG8VvKLLf4v+QfRYFI/JyaEQBBtYmKvSAZE27N6HZ2kWzNcjfI6fFMX2O o9TAzpqSVF3wYDwp6cX8ETvPb4mAkZPufchPNDj/qGXlt+j803AyiEhvgos52UyNHvejFMXdYGqg zNa3o/5WMhGkk6BXt+xFduE7L6pvD9Frqjyi6vWIottqRcjEFXUOoUTRKICU4MSG9ulwxXHQDOyF g0YJa24rS1Qzt3uSJ3jW4ws3fCJSCCPJHntjVjNuujUuVSunsMRNWNtcNPXhEQVUjM7yVOs0WNvu /Z7lYY1b+r6NeFv5vFiGcoILgFWK1LXg8UItO0C1FtzHoXd6a5Np2wv/UQ0YRZ3JcjuT5xZdm6lq PX8Bn3+fqJq+6VrQt0Jcd+cpjMCRfKQmR+Mh71XT+cmbogw8yufwfklOUQg+6akxtmlITS8IAFpN VBLBbZPYmE7zzPN+mydGowm0HCNQyuK3RLkc9TVItNFgwPZe3AS66Pn/QiKFCHrvjxNSirVIqCJB 0Yf/7QcvwY03mpbWiZ8Au7R5isLwkdQzkcVfu5gS1CZOPCVMbUUIC6UnqLUNnBCLsb8TSQrzbX5L hCpBlbtvokpYRBXZoYwqqcEThDQ+H4QG41dH4MwT+eU+T1EoLqfR2qozkprT3IFF28lwJRW9J/P5 ixRJqvAAKkJycC7JuR3QHWavG961AgIWoG1RNvgwk3Eg4LT3DUIo1gRmlwZ5L5b2Nuh68o88Qczg 88G2q9/CyOgSQgHkTlOI3h5Z9UmvDSQhtzBNaTZn3PTsYhJC+bwvJ4YEkMywwTGl57JIaDLxeAL8 nZptC3kYuzuwk7z0NvJt8S1BlakScdvlHtXNebqc6OP8NCwPDEaaoZvNpQKYeNmn58dbK7SNnLKz KXVZ8ntOXCsQZNYVVYtrxcXac+Ab6dCJbWLb/TojDOjjbvi0kL4FTD0PMrC6z68PHQJakJmzJs2z c2Ac6WIJEATxQWCu85l7C6A1Jp//V7OS6wludtjpPk9RRA4ZZ1RPTDeKBr/bCyk9uz/rI06SA+9I CLiQVzJpj8hB8zTBRt010yMaAqiZatzoXUBhG9PXr8e4jEhy7B1JE+SF08IG5cDns4itBr2v8vlI 39bLTYyEtZ7GM09hRJ55dhPr43VLrxRYTa7QKdorBTn0j1wmmMRjfD/HODyvT9RUhFMG2eR8B9YT tCQbfqHxtFFguqUv+QuLQw0vDvlj4uIndZF66zk4+Pw/ddsZey8KAd7wKfCQRMWRgqSpuZy0pKu5 nhqteLSegN639RQG5LjsIfZzB83TKrzsASqpcP7ZoL+xTo4LsEK3YD1KgOx+58BE0oU30eTzQSdK PUGGlKVWrnw+2na/Gk5g3j7i7Tl0kUTCAvCb2yKneeW3PFagbBIqQjZNIXNS266XO3hxLp1PrXNI m3yikkJHsgLEOxVoTAT3EU7KoZHkoiZAhXMEB6NAQllNJrc9wZA0NJL8kk5SmImSYX72XSS+3elJ miuru+xA7bpvha50r9AV1ynLgZXkdUtYo/lg++6cTevE3rzvwNIFXBQThRoBFTlyGVeFayouCL0k 1eMtjfqbHAy9VNZiItzOB/H5qGLw3QgHO6K09tx3IYOy8ByvyU0AC442Z3WZAVdNCdVrJcKhm+Si dUuHZqMNDhVERxdgQYSZC8IcmfIJebNoX9AtnXZAwdDPXjgim9BwK7GJOVx/oIzVEkn6EBpcfD46 yL/TFrpSpse9NTSUBOgSd9zVBa7diHAnzGzdS3VVKhNJHxX5txJ6svcdLByHxB1OQMPC5TYJPdnY eNCZZtbuYUH19/1DHmAIHa5BYUFa2rJnOaXK5yOqwO1ksvwJUGafz76LwnFAPSGjtC5ZQJXxQXuU 6SqTA+hBm6cYfkK5timExbzU7nrOWIQe4rhv6DRVVeoGlGehVJrSpcDtNO2FI9179g/owKnB07uh UjOCPT4fgCsuCU7x6YJg6XPfhUjvJuiyNXph812cVLFrcnRFKY7QzbG1JCnws5F7Otu+51NiPJ+Z 3qGa1o2cg9mZiDkz/6xfeUtoLklBV2hWVR+0oM5Nw94+eKSNz0d5yy+paejvMcWyiQr9JUEFQ428 JitpWsdOB1TW/xU/ePE8ODSYlBrpRA/OBk9cMGtl0kcKSgRAE1L/Hl0pqMihg2J4byRIet8/mi1Q r5TX/bALbyLGY68ZWEA+H114Xi7gUYQ4sz1GpaHHJKxmmPsiLRHMAjc/iaerUmoC59O5EkwFJ4cm k0NaQVPi7vOKu58LCNqJNZPM3dcElAN4L5D81Hwd15BjyYM7NJmEpTzqc2P4oGP8LFGt4PNHTz4f cZi/vZPRxmrrgnVzaDK5WNTYswmmk9ENcyW8BrljkZiBbrNtF7hMCgB5VpFkuceXLDf9E8aUqVs+ oV3j8QRk+wdyVCd+ShdBmGuyFw7iTOHiQBnDAGJ9Vc2JVkpYT3ttfkCE2vHEhYsvU5f2nk+B0SQ8 qhhd5iuHx4aRMQiWh+YLnpo+USH2m+XMkdhJ4OB1X+ySLlBKnWcxTRbIudchLccQpj033rY3DvAE 8iqHYTgHk8JHy33zIO8ldz4fFQx847E/g7gVZDCfqNgHB4FmF69JPjjZ+ixZnGT1OXPziDywm3Re /MZ/YIMd5OfwONENZW/pHVw2/xPMGqgoRJ27SXBhiYffEsxTJrOpUa9jQx5CupGwNxDpEr6E/IAI KO8TRRg/QoNW6jNToedko1ZnZrlX8BQv9557d2+r1NFrxGYqxKcQBT4lDMzh5i4nkZwwkaFSV24C jVEosJ+18IHv/aUTFHvfKCJn8w65rg268UqjtQ5k3Grj85EG9TfsEngVWob4NEUROQV1T2A2Taf7 nIceQQG3bkZUe/abCQe+k866gEKQDzZN+Asq8AWsGKRGNQ9UW+iOl9kjvBpLa9r7RgAMQuYKXOw0 KBACYKHbNG0+HwXk+euAAnipn1DlTlNoi7OJUiFWjZnwSMNdXrOwK0SpDHbUuz7qL5nFJdQgBw+g ztqG/BT33Tk6k5yFM757cHWSbIjm1Nl3Nk8hUIUqC+cHHD4YE+ycDdtYl5PPR0jnb71ueAiidHXn KRTs1mG6twPnyl1DZ8cn93ubDNw1T7E1jui7Qj71dYmXazbIClOR5iyhnmXUVaXeBxIPYnUdT5J+ y6H9ZF3ywd3VB0WG6ApScvMcIJnPR6TCX+r4wFV+xqpPBBVF5GxM5SrfSVXqhlegxq5u15WJ3da+ i0Hh8g1mOcAQf2I75Z4RlZPMnPbZ2AwNdqbxCjY0mVBTjalR7IWDBUVu6lztDippwkSOCIFzZ2Q+ HzWmfrmZQoQ2XTuqHNpQThaoq1ObcIwv1+6avWRrnVNDR/MU+1BuIXt4mnOwBQXVJYBYivBhPQnZ 06mPSyG4up/Oebf3/bOwguqaDQaig/q4pgkF8sCJ8rsCVbjv4Ld0GQY5tKLcEF9Io5re+/nncp/F k0JcGbiW+/JpigJyGnDPBdCiDfd8Or8wmJPEiNH/ZRNqCEgNzIrQjnlI4d1eOEAYUFug6ZjioPMp nRNxqvY2N5//J2j1Y9pL6wIyc+xHycQlsSihRkJ3PA+gGI7I7DgwbZ5igDgOprYZb3JwTs+5Uzsn 7oRM5w7tieLU2IOfjQOp5AvtLV2NqdCSEtcjYHRyEyKgSZkabEeJzUVQyw+IlBf714Ki7AiqRj5R MRkTHbwEyyaDrs5uQPqaq6kNolJXPC74w5aS4KdCHQoOnuGlDAUpTRQKKmA4zBPkn7/yTBTIT5kE u6/GeeBLCaI2NU/kBDMK3Cq4ohbEnIllPb9p5gcEJYP8rS8EJaf2iOfk2JmyUchzZ68YNCbe8sn1 ridKYs1zvMCaMhlkZQgyPvp4Uhf8EGlSuAMHtVThQMsggaOjE/Dg6fOyFw5awpNCDFgJsMoF2I9/ 2wBjWLqKJU1+QATu+RaFNZei5ySPAvJM15w+1lVioraGkmEUaARZIQTHJioUP6Hv0liaqPVM1Jpg 324xIdo8WeQmDQrA1sVmUZ3Xogr6IPqWiCtGTi6UwGxQre58x2Th7uSQmc9HNfJfOoyAc875LKgo Ih+ErKxdptfqkrsKwxTB2GJnmp55iiArrclfYRcf7ChHp6JW3AvonZ9gh3WFgbBSJag9rqA3aWv8 kr+svMpk6aBMp9TB+VIFSbiY8PkocfnuTQ10BAEs82mKFb0JpNvJkD34k1wEBeKPtu/OjZh9mmL7 HKYjU0JxFMWxAwrcqN3MhLGXTro4BNH3B5CncxbSZkBOVXvZCwetBGZ2ZxF2H4SpO5dFFlQsr87n o1Dz27KC1DOELD5PIXhceTCV1kVizchliBSbAL9ntYRxgauiGZtVLiGguKrGV+YCOXNgSpjswuJ8 cTkN2MAv0vrnA2almyK/JeKMsyc8WavjYOvpTAAPF/BA+HwQaJYbGWApokTyoSClz1RYJB/0hAPn IGvjTdY3cWGjoYTpoSv8NLpvDh0rleNBvtkHzdTZa5CsGMQ59QTs5yQ/kjJ1cC2DcOm+3am+7I2j E4o1g9GyD7ryzn7uEl1PSPIC00rUXr/lh5pkfZxAlmPXSqFWSFFTsFlNoRltEvLK2BZexYPNwLYS shgUHQYQ3QZDY6CO2Tfo6ZXaTXCxJpAT3NxM3b/H2ZP8+hzYVgp0gshAwszDhZnPkif/ylEGgW+l pB6+D3K62N5cOPSthIojEmA3HcoGsu+yO1o3F8YByPUUGleql7DXLj5YaQXK1RlVE0rq1grwEsJR HE4Nyxd4d8vwhiKowLgS25twexpXarCSAZjFckAdej647/p3yQBc5LavmFUOrSvZmzrHnq8m1OyG Z8J1OXWDfre2mv7B2eTpo8ExKydvW3tqmqAWlNk9bmiMkFYKQKlDxVSoC60ricXoE4H8CTQ37blZ qUPfmcVNePjwAyKVxm9dNBzk7aEk5hZF5OLblfSlYl0cU9dYIjQV6+EYqBbXyJkCq67JwTEG+5xP qWvNnE3XG4sw3MkNDKz+QA+nwqcWCqSwiVBgcWOD9YSB7SGkLgMD1cIKeft130Edo11WYg4MLFGB QvhUU/eWcBeEfFEpyjQuy0lbbvgUO1iyktkF/OVgLeETokGwPLHVeaKotdnBWzTHY9Nn1Sd+atte OIrH2TTPZJbVnN17sCcY9uk0rHw+Wk7j6xAv1Gi+zno5NLGUMOq5im7rfLAGjPhp9Sv+RUVlm6cY QU7Er4RAODjiF2bYqD+w01lxPIm8gah8o/A+xqWVyQ09hz6WqOqBo8Z9t2DfIkGqkx6Z/9c8PwY/ ICj93nicTA9iD8sNCwIny8x4BOlvcpYLhC28JUzdHGzBvdFKVQAVWFk6i9OEKzh4Sxh+5SibIm+B dQAQcVP+eBtKh5XYCdt41JLLgZmlMn6wNp5BeQuEs5vcqbeej0q/v2y/wJRYj3N8Dv0sByequq4V yRsuKTPWLAbuofa0TVQEWqmMNDfQ5jZ4qQ7a6IVCVvP86udG7dJKQ/cEme0i1F5au2IDBY6W8oBD 7ZLYFQ5WqssSnAAzd/H5f2F7iIEalI0eHj79vEZPDZAi+TPPYZSEs82NDARnOYcY0J2LG+81dmpA QOmao4QVh0vcQCRVhQYrkEgn1LxCobUnehRc/xhqPv68Rk7nXbO6d10MvO5Csugzd4K/W1t4+mWC zgtuk5BvckY5GxcUJf4fzu8STQ9XEYsoCL2xisiOJny2ELfKegp4HFpFwfRIHX3VuX2w6Tl/OTzj 5X0CxezOS460nw7XRmRL7tWwdCq9zk8i6BoTI+WdPrwoDrEjssFOOIyn3+YHkUSy+dFBBkVCgqEx P6/hUoPf1yKe3u5+/POKW6XcrZi5qQTB5fMaLDVDFEB6YPlgMSVaA2cHZAZLqIWmLamwJEUo1Ecf D4LOd31fPoXwQjjG+aCrDSYN7EieEL/9vMZJmFyrXGp6aidZ26fnNUri7kp0HOyuaTmHm+pmlDys ED4geM7peY2RGqh+DLlV5eXgR1CG6BTCY1g0VBjfEbAKw+oOvtXYt6kC1Cu+IthdhPPWzho4CD5C v0G4YTe22Prq+ec1QGoSwv5aPvx16KmD+XkNj1pmJnGOnOsXk4u6l7TewmdY3wnIbdnFBstnkEOP 1eKDExHPyj8fxQLSOR4Woz16o5bPBoelwNXXttemvO5raNTQJJP1uRRky73JTgy52dE81+T6eQ2M +PSw+ZE9MaDBw4+f17CoPaoW/apa0AhP/aZWneCDIrjZoAbzo9osLGJ98NrbmeidADvAHh0g+oC3 MhHCr0Lm7/WuLLRz+nkNifBjCp26ye7hoPnBthQsvK/y8xoPYf3U7/0FqBZVfjU/r9EQznThBXYf t4Ey3LS6pn3xAtX94V9jIcyPjD16zT54e/ccRZV2LOiYnNQmES2AtPAzFtoSwHX7BmOy9hoJ8foS WEBNJthqCkl67q4ql+xzEfSf10DIji9NECdz0gIj+Qy9hkE8oGUJP61zAqiAK6JBUsbqt6h/awW9 BkE4oNn+X1P32HyQlsj2Thjc1WCiwVQS0hKicVj1bVx3+Enu+GsMhF9hWY17+WC8J+j/MO86UdTP awTEK6R+rSAgQ9um/xo12KIdRhPdkqZLNUiPTNwLIjDEvUCtXGpowQktveXZpBnbrtsAlLfHgg0g +0pgukEZZJDJs3DiFDrHChK3aH/yWozEX5jYLKnEf3NQhW0MAqSo0ISn3+eHqJFnftCgz0RXYn5e y5DNzOThLGwWOohGl5/Q2agY5PAYxOu1CIlvl4pu0vykx41hwtVhEo+D0/lEuGDwDED7PtTrLQ1I SAPC0YjptQKJl01Z7jB30AkEGXUVtVEzeq0/4gQad35kSDScDibyZzBDQIbg4qou8oXA+eNiH2nb DCVyecUujKbIzBh298FjxBNu7CESQSGGudHMsyUq6gA6UW6GTyWfn3fOHG8CFkLke1Ku7wmIiqqh t3NZ/rxT5prkQTVJeAzVhDboVSVqVTBHJGikUR3XlarAlYyDVvYuUsPrGHUnmqMp09z/R1bnZHrA Zg62aCHwBgwlqiBIGHEwA1/r7VvgWPi6wToqLILUeQcr+gP/SUpArnw8OKn3+tpoixpEzQ+id7Zc c1NYExniXXa984BXK3YSnZ/f5yiKpbdhKeH4osELjxPk8KLC414wOGdLYIDLC6n//tjCLeX1UTAt 8lfJqmLn4VOEliaLa7WB2/QeTaM/fqdI8K9Bz2afoyieZlMEbEu/74HlcxjlTg+Msl0cQHBc9yLG wMo+eNP23AXg6nIdFVhoSWcAxFtUJM9eq1/XWdLrBgmZhPQXCYUcLGHNvZFKVQvQpmFIPcr3gY0S ERBiNkfvMbUZ554DovkcdbF4Bxmfyc1hyNuzymw0R8zJTna7fbB2yFnNEMbqbA3h4ChsBYxFAuX5 eyYlUJu8BlSnCa98Cupl2TCR4qWFVAAfouvjWaY/7xw5BlXz6zyClsmHgrw2SVFg3buUT5Phcc96 XIbHhe+Nl68ZGKve+B5ZQ3NjImPF4rXBcaa9oIzDScoNcWQxpjP2Ge1p5gVy0ZLz550gx/MzM11A UqdBtxE6gVTloDXcOz+uAWeUfk0SSjUp3VP7Pbo2yEBiU9DdBubFwadp1PCdoLqrSYrCa8hf0Q22 ++AnEualD2pVFbOqxImEN6Nag5aEpWd56nXfJ6lVc4ZJPqjEf+JCWrSdhZH3zzs5jvnv926j53Ub Hh69c+OQ9FAjZpCWL8BN6V4AqdU2HsqMbjH4To37qhDJ/aQ+FOcTWjTYqmUhuE4SRZIKiKpncwDi 20llWlJl7nrd9zlC1blDgi75oDnquG34fRNAtyjE7vvmIDiRIDyIBrrN0XuMnQQHhBxa8lJs7dNL sam4jg6DcBXRoiAblyPq6sBOarDNduLmdu6x1MUSIJdGjDB0iIpZMFrFWqDJ9yAbhQxGRrVMHwyE e84Cnk0nHcw/75w4BEjb83z2+wEqRz/apigKszunKJXsyyhJDkbKMNnraJD7tCkK42yeR5lEJg02 RVCPg58HHTuhLNAomNon/lAYWOySn6L+1NtGU4SdNqkYp4HnCkrHQ00igiKiQFvg4edeO7+OvE9Y aYzibMR66PNnr6UNKhnwyK7d8tuTQPVbaozibKlWkcljg3NNCnieQxBl+HkVFtOou9QxPSt5JnLu vKK3fb/XNu0FYSrrgzbahIAc+43nY3/emXB8xfq1imCzDgK5T1EUZhfzrGheLioyrmJTNie/1WZz +sQ7Dw7rUaZnReFRecKj88O2lhdTEbhv0DRvnp/93PhwKAcLNN/DiAXHdx4c726poKqgBnZ9McRI wUrF2oDOyTsPjtfa3WqTFZEKFYNbso5q1lOyFMVM4BBEVu81zmSeelBnbCbu/U6DY02PypVdJoz9 MWGE72JJUHokuyslbsezVeB7QgOjUi47ngoPP+8sOCZs8jqlIQPUVj+iz5yLl1ZhVNJfP+8kuPaw mQ0JB9imAJKcpDDSnnLwnNcXVvLDvNeSy51AScKkqt4pcBZFQLOD9REOdiCVdJLJLpdqXHBoU/hS 2qhHNWA4HFVDjZN3Ahy+ZLOoX1lA4uCtjyl67bnX6s87/+1X8RqLhhFE356xvdPfrCR2nT14Io3u ejmtzauXs5PVRt7Zb01ERayg3nxwrO25TvBDE/MHO/gl+xNU6QeOBXkMqjwrO6Z38hvnSGSA3HwQ 5O/ksnZqjzR/3qlv3Dbpa46gpN/BULE5CivY6IBkkPs9q53JKYJbGguqr23Lat95b+3CaZKYSukL 7we3qgaDOxjdVdTXUB0ZOH02qGQgS38ItcWxvfS673MEMPoJjND7tkFzdO5JkmVBBFg/77Q3i9O/ 4iPaZD89tCjOnkS3P44MOfGvE7o93awW+ip2IL3H2QgjZMPUpg9+s6UKaQ5iH4CYh0IcK0jnzDwL p4Oztp822tLrBlVIYkZXZzuEg81R3kkKlivtn3fOG9eRn0c8tLEoyy1DvlPe8BRLBY2acS51trwT ovYjpc7yMCr8O+OtyW0dztHQWdTgWe35+BPuJWb3KJR0kuVhz3CO2IFDqdx8rQy9bTBFalFXKi6d MCV/5HSPNviSINzY++ed79bEU/2KkAAXzc86imrZVJdPssFWt5EoFrmf5O60m/Pz+l57j7PP+2e2 YQvjbA52ZnegTOCGQQxNQRlhEPGQPF8bF7uWBzHI72w3/KSS9m5qizTXHYYFpqlP7Mx3DNbR8nUk aaYCA9DscxQF2nWIiJsdBpmyW8KdfySHQTYCtzhH74F2Fh5rgcXkg60jVAw76iXsWGfScT6UWgIv D7AkdJNMS5dCle80N241ntVVmW11Ibi8B6BxH6KPx887yw1B6Jif754adLuennUUaLeugnYpnvaP m9LuYVc/CtrV0v53jpsXa1dG5coG32oVvq2TxdpzI4ze6EjQKb0Fz945rqHnQM3h553i1oQPgsxw uYOmCDINVR7vu/y8M9x4q/xqGp2/jvJ2NkUhLIQKS7IvNo/B2hyutqpPUa9u6PnOb2O6hp3WC0tt HDRFAKudMB1HcYVyQCMk/iw0qhsBBUWr+G4km6K3/QP40Lfjs90/D5pZRR7V/RyY7+S2Xwe2yaEx gfQpiqLshgM4U0jaGmu0m2A5O5O1zo2GnS+88Tu1jYcRl08ZwweHG7eFXj8lYTOAUkWO31naT/tj 7jrCPQ69bZSusR+vjPYKWpwIFbR6KeeW8vNOa/t1Xgvnjoz2dkXeWW2Yoq3z2iHZaZItRUGzvrig Ct2qUA/hFL3H2BYbLTL5bHDgej3baVbaUSYI5E2yugAPAoA1kX54IWrU43jntDUxrfqoW+pK1Brq WkeDQubg+efy805pY2Xkuy2CO5uSUjZJUZAtZk3tw6nJY5i7VymsqpmIYNp2YL8T2vAjEd1fqUii wbZarTAWBOUY6H4kI4v1JZKEJyb9Srt08pLf2WycIhLcS8k+6DCCEn0SRGSUn3cuG3Za+pWqEcy9 7k57D7F1SfC8vm4Ddbk9zJS2BI3hsrew35lszBAaY6I6ffCdRjt7gMNRXqvgyMpCjthsQEXYvlVP hFnIO4+tZRPz3qR3aLBbH/7FLHakzlcMrrTyXcomBLtXB0G8s9hYzpDfSfPoUWr/ymb3qF4YWU7P eiexcacxMuqqZPdbyT65/omMUD0lOwv1C9aO0saGox9vSpedJXTxO4mN6SjSjw6XVhsMBzElm3m+ Aj/je4T9f4EQCKzQYPE5iiJsgOvpd2LFo5OBT6+vpb0vUgRlDs1RWMlm1Whv+jFsUgc/U5Vs9ApA r8a1X8Fco4gSGHYUPKb+iVvosAH5TmBrkqxBxyhRMoG7nxsEcizsXcI2qP+8E9h+d/vPfwu7ySGp c05SGGLjj8YOS943Ip1KDUgBjtikRctNkxSWspMAj2X44I3stKA+Pkhf62hBcq8l2JQtmBuTe+Lx I46Yn3f22j2yc2GzFma2qpSdNT+LvD3O1ubzwW57ICOkQ0J1AUU+m6UoyKacNaBY5gt7IsWxHBUK Yo/plq07S1E1m1iQuVsdPvgsNRjyYDqAqoFrEfRwwPHLJ+mHLjiBj1Y8InPtnbjGOiKPJET/Nlil 7EyYCiPnM37eeWt4x3yRj3w5RNjAUxv0MQqz0T2h1s01QKlQOZEBCjVNoHd+4nuqTAH7GIbZvP1T bsuHm/WPdiaZjNECIzRc9tB2O6ttQSG3yDx3qQy59Lrvk0SN2C+t5W4wYQjMJ3WD6vx5Z6397q6x V5Co+lEuQDREjjSKviYS+xkugXMHluhZSRSSxSxRAeJTsZTeWWtNWvZwhBW6Zjzomok4HVB+9iAB yMbJff6Ds+YX+Th4CSv7s5D1885aw5dMZmpJjkxeXCgnsVlVEPVzJf+8k9ZwKFyMutk3nVXU852k MNamgHCSoOKUwPnU+ilSjqF9LvJpcUXfOWv4pCaU1riD40RhC59l533y2wrHSt5roGckgCKpbuNK y+xCvpPWCCqj80krxQfNEny3mLmjTfLzTlljlW1/xQDgHzboONgkvUfbjhUtKhuR9FAYSGKSlhhr kL8jbp4VpHfCGu83JCQJ3WEbvDpyZgVzQbAoIEmT/EcoyH5OlAbmcX1Ulsl1eCesGR6W5bXtg6Fp e09s6iHn+Xnnqzny/M4R7taOqMLmKKxoUym/Ua9dea04arjepKHFKltHYZQH9ztb7ea1eRIWwcE7 tStBJamwU5vgFVskb4OMJMMgvDKzGE955J2shsTWmA70O+FguT9WqkjErf28c9Wa2Uw86wi3AFRx bI6iijYqlsD0X/XSLpLxpPHadFNPgEtsjsJw20KAvnzw8sgJAir5dmT07ZklUQbj5M6zZV4IkkAR 7zw1lkeIHMnlDpqiAWVGgbL3+HlnqRmU7CsjoUDTRa2/k9TwFGkPqzcvRK7iLew8U7Nw+9wh2YRw 3zlqTeZic9GKyAabIojVnLugktxQ2ySxAo7fWOQocgGq4NG2VlFUz2YuPKpEXjloiib8FphlwLDy naDWHsUIBZKwWTl/911FUbRNye3MyTSlu3SR64s60EKyJWetv7PTmvFCMRPVB0/a0BCiqu9Ja88t MBKluRaiqAbBrUW/Sh1GBI2+k9N4dvLyFwyJg6aotCbNw9ZwrUWxdr7lESaxtN2pz7UWBduKkBrN SERZpyktU3+os1vq36jlwmstBGezdobqvg+2jBZ0u0A6xk5Dc3UTWzPgGgAq7YfCkzKsRAOM3xFc /dJK3OsOBoo4y1bg45YzHg+iyHHpV0Iqn4uiZ+9BtjDUNo+F7OWRzMhG9exhJQEkJI4abVE9G2Wo cwxR6l2DrSMQsYvVkDLk4EqSNAlsf8A8AszAjyM7saNQW0pkfRGjxUGhdrJ68/lzy8DjUcf/uy8C 8Hw/Z6WTaMJIm+jjKW9KCoPtcY0DSAVnne2kp9an7e+RNup8iZus3cHWEXw1xqKr+VlN565U9r8a XKEgJ8QTW4G2bAN6FGgn1pCq0bHydVc4P2yWpmTbfDwCH3/jj4AGavXyaHpY0KawXSOrXEqJvfkU bT7Lau0GvExTFCFHJvdYbUxGOFiVLUO0bfZMNn87G62IbEPQCI5NwJFv8k8RjR5VtGk+NNIYd9Be y1hJ/L4To+LxiIv13RcZ6GaB8mNzFEbZmcU1EdNVlKyu8QMggxX9ARzSrdajijYkS5A1KfcvN/fv 8O9bLU+pu58IsbCVDdDNiXNRjqwQa7FyLRFIPQqyQWnq57Sm1i3bf6pq9AbLWSJrTzKD5wMI0spf Vz8Dqi5lCM5SSHmkApk54gzd/RfsV1w7Cn+bhdk9CrOXQBHmTFUf4EgCcr3BfPvkIh0EM0SZA6Xp M0toAO/LWTNOVo/CbKW1MC7WsJTWVgCoSdKFFS0eD07t9As0CirhXpfVF9EeR5Zscb0hZHPSdZLJ BuOjBAMdzVEYZjM+Sl2Hdr+HNlAvC7SjZL0R0JWp/jPpR1U+ZHT77c9yZI+q2tRoGxVKXKBlYbtI rhbGXfxZgN3H88EkrfRru4FXcwv/PYyzp+pry83Nck++3TqdDSQJAeyMJikkP66sVn/zwRcSjo5a UxJ//xyWJClVOOQNcr7po2dHEsVb+3ugnWgmglKbhswp43Y7O7YxtD8H3sbzwXab49dKOud3fa62 sLBNJwN4SHp5ZNB5sVALlQV/JbXJikg9jrSpVE4Zcg12Jp3FCb46z6SOH4FrDjJb47NRbGLd6gpt Tr1usNuy1P5kAEdzZcH0zvm0pYsIvgU+4F9gNulK909/Uv/+HmyD+s/6WlEMJFxb4oSV0kAULKJo UfGKSykKtjc1M05ydwcDap186iSyIF0gkMxgpmM+E7AW0G4lkN5Zfokymz0qbdfKaHszIeFgyRdw QSyPnPwWj/8XJja6+WfjeELSI5A2lOpB0SpuM6xMCOURYM/N9xT6fEk1pB6BR7p0oSCJxZotGxZM SNRUomc1wqRZqYfIo32xFszOqc5tOO1VfEkQJbHCVqeStulzhLtATXSQN/D4f1lHUPiHvdOdpDDa ZjVyd7MrAYOk+iTN5XbD5x/EIHd+UnS5sTliMVB5CMdnS0HpLzOiBom2kQ55/gXTEJSaV7p6GYN0 0R7BR5TZZlH+OGiSIMjGNno90RoeDxZSa78mCfIbAO0YJzsKtwkUzPB7toOb6kY6uMfc7i+cU7bU dkSFbSwV2Jil7YO3tZHagG7P2w3lRiP+y09bXn5ijZjo9ojCbTRTEL2To7W2c7TOFiPnl/dnxuNB uD1uRsI8GEr3Uq3jHEXxdqcHM3xXrGLbpBe5WIycwygRPbul0gjL2lPWgSKwP44l50SF7D3P5ZOq wIWBKmMZocaAN3VuEh2hzBhSYnxJRIgUkW2yUXJ28WeojzTrpFcdwKgZzwd17XUDbp5JcHbBH2yz FCO1mdHmu5IAiDEC0mzO094nRDNVqBHVtVGkmWjsNw6Elw3LSs5Jg0MKWQmA7XTQS8CJVbR3y7ht JNS6B74jwI42nUWUGalzmX1gQ0WYm+V8XMfjUYfkG88GE7Q6b6tthBgSHttnazgGeW7DbQFlczHI 0DG2zRaH2wyPQFzAwLLOEHEk0clWqn4LuFKZDkPvs6DtVuu9/08sNfAdf5GPa9l3sFLbWQxMdM/i 3Hg8OpB+kY+R2+J6symKou0ue0UCnu08qi7a3rNxbM/tD46upiiKtnUevfRsIUtzFrSgo+nMTKMv M0HJ1Fkk6tlobAAu8nWjxrYSf/ZIqMAm1hDC37UYbZ8jD8//ax0psupw1b3rKIq2KTGey0jNDiRo +tqBBAkn/pPsMyMgjSjaXvzTd1IUkB6Z34ZOT0eKBUuuDtELcv1QcmsIZAF0sHW0yfMfUVV7JpOA yj7oYqP+DZsj55LA49Fx1L7WETfsA9caIUx70ka4mwEQzqXsoL8yXOAeCMliUxTBtIu6IlRi1+Bn 9tlgUH5iFFkQE5HGhvwdPeWGcteD0q5626jHxop/Y6WEgypt0DsSG/IsWjweEbS/4RGFIkL9yrGE GBJw0lPfywv/VLlQ4b+U7hwtlCbtwI4CbcvZIArNgWQ6XP0JEO2d6IGAFnAmPRnwKvRKkQfvdqcI 1w6+I6Bnd1YhofFgg1e1y6Q3OVm1I0aQeIGE1Vmq/sN91uboz0AbFn6ORSq01xEWiSbWXEZLeW3i J/2lhbDaaj54s7ado4bENoAjQKxVoI1O66S95G7XxZQqofiSINCmxcYC14VCh2z3fgjyP3cBN8s6 GR0+IMj+m0+T0LjzOx0ZYaQtXZa2rudkKfmeR2t6tzZPw/uPsK4tYZYh9fFxeSMnfGQTltJZoAfB YQjAY6TNE2d5kTt8kzSUvW7UreUsJRMgmSiWct+cmcv8YUBcxPNRieS7jgTgPNzmbJJmWNiuQ4ya ZGramZbLNL7rhQ1KQkgSK5KdnxRd/kWIxztYpH0WObpgAtLCwzaxRNInezgwNx+3jCRi7QwRJGLU zn4HodomtKe5Ns7uweNRgyR/TRFUGDvquDZFUaBNvH+iEprp/BeHRmSertL5L9UzthkVtlG0Q2DI EJKDV5EAW++tS38VGhEMyTPiownPZqClL8R26W2DzUYnTprK2KApAnZ3Kj7qBY//q+kvKzO5VvoU RVE2a/rQOLzxUSpWjcywCHdwZE12aM+QD1klDqWK7bwV27NhkZkQbHSyfVSJJl1wofa2wAtn2d5F IKmFMMO6NmkjCFV8UHXkJHqCYkD9BY9Hp/YvwP+5MJAA+BxFYTZl6HNSINQeRJsZkCRr16bu+dqM wmwKaK00yIngYMvohL4ZTgu84FtCn5JQbbD922bPdntxZBHQNkPsCCXYFwhwNiSFgkCoiA2ZMh6P aCP37mf7G5CveavaM4qzKwE2vSXP1pYsbHCxNfZDRYpofmTPkA45JcNG10QODrA5Z/balQDSc1/X qTmimPggfI50SBNCIlZ7hnRItiKTLJJSdm5NOzeUDMpO7FHxeNCKbN9Y7U4o05XUmGFRe1F3ZI7q 3JpUtu+1lR08koH90BxF4JEubpYoNhwcGdmhIwYTT1BGgSUk/YhKORCW/SyWTRQg0VdyRmF2ZYDU UM+wwbaa61O0OQYej4ps35caWiUnyr/HUQQeAVAe4JFLP4Lank0RBKsUH62ToPoyikrai13/BKtQ G/w4OhlnrmwSQfnu3NFL7kk4LPH9i2G2kdiK3jaqQyLM7tJB6tnV/Os69z2VWU4w1vD4v5I1ltig lJ2mJ2szFB3Zss4o3hqpMJqzTmQ2qxZU2Lrha2ao6le5isa4g62iUlHooX4x4eyThM3ZYCTVq7wZ nqL/1ttGLTYeQwhWbDDE/97L0Oybj0fgEW+MyLAs40ByXMSMsCNLDW1Soo3kn1wpCs60jq+Z7uE6 oyh7KAUp/Q63UntuON6KWEVnGeUm6dUTs57L+8QRgBJ6OZto9hlF2Y22PmnqMMJWlQZWAZmZKTRo u3g+AiF9d7Shy1e/tlpIhsQGTZLqZLq22akyvYh+TyMYX2irhaojPIaQv/hwb7WKM5kSxnsCPktI ZEeI2VH4z/W51hh34kv+io5QZ/HBsWy5Cu53khk8/k+EzUfUkS/9zBXF2PRoR0nHlek3xV5Fi6hu dCCSPxfSisAjlB2BRFTx4Wb9kKybokXAqXwxxm7Qru+4mNACMEkNcfxXCB7ZqBr1xLYIB03RyhS+ p/9FxeP/YkNik+ZNa0RnjqywmE02pGhnhhpdXqY1kC21ImazAHKFMbaq2JUbiYPtNZiLoS2i4yif Q5I1yMqcorF25LXsDDdPfkdUGKG6g0zKN84TFVcbhFO4cEHcxvORes03ahRBbi/3PFqhUHZVU6S7 nkaljbwd2TP5kY3/TnMUg0eqILVDA39VbrVzjOI4MkfE1LdJbtIJCRDkvJ/Y6LwxviMoZW/KIDTx 2JqjRhNuyiFI5OLjUR7yCxWBNva4cL8VxdidjNGic0fWBsUV+yuJGESOnNmy+toKAdoEOu5Jk549 L2O0g5tdCMvHTpugMKtOi50Gd+5GGdvyoEZXWMpmA/L/Ya/DOZWyX0DwVTweVUU8xsZ/a9lM8zxk RTH2pCvUif3MZyxhBWuOOF8WHFUwPDRHMRuS9dme7uDX2khgH5mN9AnFRZzISFzGGKRD3C7tZr9/ RciRzhO7kNZ9BjTlVDs6v7Wo2v38nng+Co++9TQAEhut30mKgmzp1qHV5RHkuHttteSFWoA0ba+F miP80yf8i2yw2tE6lwC2A7VrTlaSJOqLts9nQSci7+LFbNaV+CV/6GnAG774oGwNnd/i5x8ej3Ba 38ARMDQ6sD42RyFEm0l/oaIZ6/2DwDlutryzsbU2vUy0kMIomzJie8hoezxG27CS6LQFRSf75CR5 kciG+3MWOqI1Fz7OdCzFlwRzJBRbQQ6BwXprC4UXbr1zkzc8HszR1a5hvIl19CCQVhRmV2G0gUww szrV/jcBfzQ2IrEWVo6sHa0Qo817DQB2H2wdQbB2DTnVokwLfDwqtegQLExN7nQjF2xkDr3uX/o+ iOE4gP+OPwwp83CdqIrH3/faSuPr7m+zwuv33v0hRhs7NKF66lD/7iFkhimvhUcTF7X0s+NqNicn Ezay8tXTwE+cpEANXZZz4bHZL+0alNk+2H3Woy1Ed+FLglObcXbrRNg0V+xiPtsZ37Q2+I5Rt9/v fpZR0DTq9bocrJgPSdVD7TDF2cVF2IccIeRu1IzDvmIVbZ5EyLhscJTW2Yao9FLHH/CIQhX2RbUb 2nYTnGwR0hh63ShCkv84HWmyey6XAdKI1EbzrHj8bZLK/5fKtxgCmeIw3dUc7SjOpuLdORuq57Ro WluFLWWT8q8JOto6tHdMhzTL6OnD14FUsOEErSGGBPJ+6CDxPAAfxe1YWcveUZxNnAf6asMHraMG aA0po2nw8YhW81sKAbnQ1a7ZIReSwJpOG3drQHqcjabYLbCh0y0t9lh1hOtn9uGDLaMO9HKfEmPf 6K7j54O8KXIXxPkt9XuvUcx/hyBtXmiZNmQaVGA7U7Okp7r2wOPRme0RUlOW94FFs89RTIUkZKTn y6upvPAl7Gs+EQUtY5NA3HGcLTptTz54EHnu5XOdVbZEkBii4onkBmVkELJn8eZaYVkR3xEV2Jiu 7XEHo0Oca5Rop1r6xuP/hQiZZTTs2KMdEiGB3AMt9FoeSIets0nbmkNGaPHKKQohI0VxtpB+8zmy AaHdq6nABue1zVY/Gy6DNVBw5rxtxGttR4H2ZnuWDAEbdBrBgJVX/0lF+I4RZcRDSEK7kNPSI8cm KYRoS5NtXbtMo2pRVCPt60yzqhEidgjRlvYxyIY2eIktn2OxIFhFoRZBPfsmEGyBVhPW/MVDsNiB 74hwNVLTWNsHa/b3LTp4AYVtR2H2b28j1HI6ckWbolBDu3Je+nJT9lqXGx+cg9CnqObl6yiEjBC+ Dsi6D45iPxuhgsXO7uOGjCDd23Fin7WUPwRuGT57Db1tEB1lzk2W7kj2KBvgwUQz7XrCQjwepLTl uwoJnR6IDvgUhQraVV3Z7W2jUq2OBMPGJmkWpLR3ikJlPwH9mbVp8F4/IB2TWjEroTZSyd1u6LI0 FNSk+Ksmdu9624grStmRKS3N6eismlD1VfN+8fEoWfPTiER3/DpsW2mKwiCbFlCGMK6SHTGCKBLa JObRYi2AJL/9D8hIassHR2edS4DVZkxRTyehpRtSx8w0CKLiiDENTfoM4zsCyIiZZAnA1n2KoCwj xh/oQXg8qq/9MslquPfrPYuiGHt2Ao8kvSaPmq4FRa968SBP8AeTW03RnzE2hNGaD16mbUBhJ9pA ZRhDd9bXBDxCORl1Jmcc76m3jSQQeN+r+8zBzqI6pcl+foyBxyM1jfKVhiy8RrlI0R1F2G2pvJbn Pa6rq431PZcf16xMcaNFcBHAqrB8SvLhTtEJT2sRDRJFxiaqBOQWG/R1Vp03MmKVdoeCI3QNhQ6z DxZgn2XK3LCVOfD4P1cR07oEWZdbgjR4zuskNemMJsfUJPJGEoGQVWIIECum+XrXR/2Vh4xFxxoO dhwBUnuiRjJC+gmTztFWaLIKY0pIspxomzpbXElr2wsHbFGpsQLnjYEtHaa0baJGhbuq5ckPiEzF 5tep3RHn76uCkFPIhiQbcblhKE2zthL/NFmpQDx5wg70vKjGnsJIWwlbZbWNw60g0UyGWW3F/448 C3J2TYAq4tCuzA8jbXxL0PCX7hguFxv4LVAEkV1pWzPz+SAfuUh/zhPugX2BIxQODyLJSfCxKfpm okat0NbncoMINLTM/CCFAG0Jj0Dv0AbP/je6eDqZTqp9Ym2AAyCj2mEEC4U2hAfuH5rthSOYloJI 2h8U3E7i6EGWrTNRhMAWPyCIlB6yPzGUAB2ux0kjRbXtQVbk2NO6SNkaSlREYlIt7ujq02cqtIKk 0erM9GPj4LUkdPppiXmu+93PtAHRDekWiKQAMGk60spvt71wRB1hwQ3MQBuU4KLnJdtetP7xfHCM z/W1ojayt9WenReF3UCqIabsRrA5ecI2gs2J+K0HcGLKQe1R7rzQvWZTcDylO/gRlcD7R6ESDj9A NqpUgqlcWBr0XrV6EmE+/JagUzKoPYJ9aoOO8pTBUsEXbrhFpBBGUr5bJRRZXyM/Wy+scVdKbPA/ 4BFFXJvO8sRMjPhIHOZ+lodFbmnZsWCkwROUhgMEUmbS/MmdN17ChlvkULeyb3EybXvhCP1XJBuZ fBBkG/0cRU49bT7/PlE1fR9R52YB8jDdeQrr3Fugv96tYTIfG+h0zSLXQsnDFlQUgs8ua+PWfbAF tSCzebZCldr/OQPpiQDS8ufcFh2F7ulHFMTJ9cJB+MSSQBH8n4ND21tR1eTMNZ+PeKTfVx54CL0/ 5mMp1CMpakrO6rGBSihbdtmbS+scUPnaZacoDpe9xiwSZCm3/taA3d400qowojpHFA+oCWHEcyIx +HcmaSEcm98SoUoybUab3EabEbcHsnO2vft5iM9HOMBffNszT6N/HVAhroR69udXdrBk6+Z9fJJe LS26awHRam5/kSgJ/gIAAdrwwbLeMx8TeTspgI01AsKTCDKifGlzzf+MC0PvG0UG9Knf8qnfxjOE SUenDgXIIYPPB9vuufDYXgJgM/VrQpaigBxOKShQboOWnJ92Ov6G1vJeh/O+QE5hzZv4dnaMbPCD HApSqHfyfEJPptF5FJqnA4E0wvTLcCPnFt/yl8RNUSmu3FJcq/hUkQEYaoamNu3bHgFOhx2Lz+Yp dI+c1E/TXcH0t3qMAFYUI/XqrjbmjBjiS7oEkpUGP54tE1hS2IUOEbjgidGV/4IbxKh6OqW0UM+S 3xLtO5ki0kWCg4oEDdgFIbTG5PPB+XRrTWR7w9TuoSfl0EESyRb4AIbcos7NU0np10eCVG/NUwQy oSEJ3KOaD5on9JwqlDGJkgB6AqADcAYQ5QC3Vvu1GpcfSY4tJKUpOeUAMI0qVs4vuco0gl/l80FE ntuv4wnLaTw+m2FEzmmqe908WHccyQyNBSf04CkgzlJBDl0kV1E1rk8fHGBKpV4w0eH+l2EESNlt LFHEC5/xtFFgvaUviVpNTeeSDfVjGuPogBKLc2I9Ph+lwt+NFPa9n+Z3DnwkwVGTFmDyigoEpbxc kJLX5QjhtNUUhuPki4KT7IOmCV22cwlQhgw47wbTAZbJF2uNHfYpV4CD3e8cGEm6SslI7Khw0HkC DyauX5RH+Xy06X5dduhYPhLuObSSBN8X2Le67HCa/RFOYg9HwknIZWyaQuok2yhQ5vPBi3MQyYdX HlFLre9Mm8QOkmmDjmQe+WYtkk7KoZskfTdG6+yEt75NpBSpkIQmeoIraegmWdY3xwTyBBVnpc9T pMBNN0lU5TpnBGVe9x4/G6VsL9Cha2i7LgzGZZkAiTQbbJ4WLOdTEboLzdEkegCdN6A6kvX/E3ZJ UUHoJ8kq+KgoKtsg8BIgUTyq2vkgPh81nn5lLRAgaI/pZmgoiYo9DnGjl5DfNT6XZNotu0MnwbLg 0FGSDiXwN8k+XKpJprIwmVvwl0TwerK7TeEbdJkqrbekDaACVGgpicpr7ySD2aDsDmKeBLBDqJfP R6f475I4pDj2s55CvRLZ3JY9PRiHx7LmadJVhYW6k1reeYrcbsShWDylNFgwXsEGbJUtpvNOc8vr Daqon4F6E3J2jwlqtfeN5AGknsTiOAdrHOA+lg5nqnw+WE4Xmcv68EYsPq+tZOArmUmlOct/ruk4 L0kFote7uSSIPRkoStk0xZolRJ2wEqDBpmkASNmqdKYLf5PKMt2Jbxc9EFK6BDiqEvFbgmKBYqfR ig+e21EuBhdYK3z+XzmLBfEQLH1ip9jzhrhl+g46hNlJJ3tv74mTfGrzFMfirKaUcQfPgc9v3bNU gs6yqtT4Qa0A51kl2Iwmpd9JS+gvCSE+TBA7vxz09wIHUgXeno3PB0nLNZgkCxM9yg/MVXymQo9J YJdQIS8uXA7AoB9QZbmbqzlxIQsOTSYF0dkUCdbwZC0NphMsaGIPk3wxIZR2FhbxqMnR3vm+b9Rr mbROJAwFdgy672bNUvQAFDDz+Ugs8Hvjsf/XnuJT6DNJwinUOBFry5cLgBbyThda5pnnE0BJVnwK jSbHkErQuoPXfWvF5lNBAKgsnU9Q2vtQRw5T6utJNtyx0WRZ6osPH3SMF9T/RBwok88HxczyXczE qm/ror5yaDS5ErW4aZxN7umsqfpqYtTFGl0HTkL7LnCaBMiSlEq6R2lwYOjZt7U34b7O35NwKtAm on9g1fkBaMtrdBSc47dENTriUMDIICEeW1cVp8r/DXDdtfkB/wrHpQhPNvGNMwOzSahqUbmkDT/I 23WcykDFGap5ytwt6aP+sL+lh6IPjmxCG3B3xpINhu8wjjpbpiKUyTz6qL3iN962N47QBAI1TULk EgmTOKFOrFxpi9BL7nz+v4gGN4St+XY5c+g4CaYObA+mQ3dG3zY7gGI3a3MWBloMyAPLSVXaqTp9 Bws0OwQ/QYxitaCcqy8z0AT0u6Gah5LwbZfPZS8czRMVOkuVnyI2oHhNLdXGrTfamvyAf7G+i4UG QEXfiYrK462xTNe2207Wmt0Jd7bqHq8Vjjc2UTE4ZeoEv4NH5CcW2GPIyBWOb0T6zEqx9bMUPkO3 4aNCmUPfSYTaaHFSrTtdQZMKuV1GnTAY4/MRKeUXCBwbuLUbaIbOk03IAnEIyN7NzaVx0GSxyABU HJ+mUD+wM7Obafpg1Sd4c5xkjhgemJiQCoeqCiAAyK7Kvi6vrFvwSwL4RSGvaebugwUGJ9yTDnWv m8//l6oKaDu9foUFUXFclNSRHICRRk0uslRq7Y5RqcmrKoH7pOd3aRBPycFpF9Abg9mP6N8l9SyG 07lZB8Ev0JWtmEZ0jqe9cARTkYIgpYSk8MH8DikkwZ71BOZ8PsqDv2mpKIa28RR9QwPKTv/pUtdV Eb7yAedfK3kejNTC5ukf9jjw1rXBdt2ANlCDuD7nqQrMMhFWgZVUAS/x00nSbzl0oKzMf4uqBhwU GEK9W1bvGW3zwIIyCdd9aQWM4MZ3YPAekKMpxfhJfXNW6dr1xpvFZg9Kwrf8FLhQYj0xcRm5+3CR vFSMFi1unblKcg7GzEH8/0NjRRQWyb4o9sLBetpJ7c3qg6qZJx0qQ0Xfmvl8VH/6JbpwttPZTDfB C50oefhDN9rtKGpe1/R1Zgc85+3mwTm2ojRrszl9cFgPIijAwQkO221rRmeiJFxKUmL3pnm39/0j zhwNXCAbNE0nxJMslWrjgRklwvFfTfMBx6V0L7vQjRIOW+dMarX78UQ7PvlQs3UvH+qrAZ8DO8oT slY2gZnmafA4s52/HywhgZ5zT+KDozjXNrH7/UGrqkwXGFImqtaCwUu4SnfrVDDYmlxy6rly+Hzk k/fNdqbw0nrKKn9YUirUm44NNxe4QSvBPRyNiT/N5ikEh7OsMtQS5uA9znN0D0qAoRd8Tr1JnxxI An82ziPSZK3H2dWTCl0paSkwkKNzACWUV9RsuatfeA76zA+IJuo7LKDC37ieAjk0puw0FUil+USV Vc3lrGaEN4qeMo8lTVTsTMlAXGzD+Y1bhWn3rJR8ayfvhnseCiuQg9+obWYDHnw1zQNrSoRPrNOh JcQ8+AxcUfDOpF3k2Ugj8wOinffLKRcCno9yTo7NKQEnPHuhJqsXtFEc1rPpVsJ6AT1ElbgE7pSQ vSBFjAVyDX6Q1xNa1SyZKnSG4eKE/I4FFgipYbYcS5+XvXBU0KSQJ0IJoKAB4uTfBvEU2n+e3DpN fkBw5fVvXTjJXj2lusCjElY7JKtS4lkyTGuZ4xIEoKwIrPzMJioSPkHlDVdd7z54CersiXLeiCuq gXGWjQKF6g86IPSAN35P6vbCEVGMDLHNPI+DSnV0USUHaqOyEvhU/t8KOeHZcz4LKgrIsegAM7Vu FLSGbyY8afUjRQZ8gs1TBFeRlde5RIoPToPKKKpNo4qhlUkaUAaiZ/KcGlfPmwVjfslfTl4NAD8b FJDP80sQMwalYT4fiXv8UqyqsGBKz3IKC+REYZw/Ydm+q3u7wWCVFCP2XQV/1qYpNqus6iAUH26d LmVE38Q9o8jS6UvN7hvgTucspMuAjKr2sheOBCsnYSqgeWtQwa10oGUZaa7O5yM43a8K+WrgHT7L KUaOi7YKnY//3YxYKLG52ANmO1geg6hnxn6VhNOtUe7gKDGQ3vaWzvDZNsB8U9Ub3ANmBPMBsu7u LxzBDsnSKOT5crD1tLYIUW2vxef/RfQl2QfxSn8Mq3LoWIluDtiHqKBaiRw9PVY0U6NNFTKXBoyg KpqhZaVUGdt8Bk1UPSuqyWIFhKizWwoawmTbM7TNUC3dtzXVl71xFJLTiDGn7YNuvAW0kCwEkOIF rpUovH5zfmjHm65PTI5dK7F+0qw7e6w5nfQDqp2nwgNdEYUGgW2l1Asn0BDNB8NhQGAqERUGTeae yuZcbhLcMvP1x9qT3Poc2FYmKk+fk6mwcNBKMYBB3m3qFBbAIPCt/E7xOCNgdoyn0xnaVtIXBLWB Yhlev8pV+M+zZ8IofysTDn0rF63hCTixwQorcxOoJP2hSQcFhBCUNWiwD9x0Z5ZQfPb3DTpTVLMA 7dAHKxg0kN7xfeew4/PBddf6r4iccrW3nhkaV8LNBonw8mk659PyRDitaolwTTfBC5wrLdBcadAG jYPDVcY+B1Th+XTmoWdqXqFlPD9ssVZypwQSU5kuNK6k3MxJWBZBdZR85J9WEZEzRjj37OIHRArW 3wE5zvH2BbQPvCtxPAmvMiwsQOPcM5fu+Qw0Fm7mEptXims36h0ciHHS7LYGQQQJrRE0gVGSAp4G al/9QR1ORU+BeaX1pQahDzZYQxiaz6w/ZaCfQvfK3L7rdOj09HYJiWyKvYc8tGYEhsuipyFWCz2T qSEsdZSdvG/eYtYm+wiozNtgCd75n3XiM5LqoP04UhXoEHhUNOTP+nrCp7bthaNwnBOU5x207cAG J18aTod8PlpOvxpTxK9eg4YcWlhW9lsWAS2cJ3oEW9rS6gPO7B4+BR6Wgr5QVrf44I3z80PgtkuU /ExQTEX4RLLIRDUE9nQPXmXbC0eEKYo1USkFfZz2kRjVybd7Yw0VSD5+wPsxvm5lpTHHAeqw3EQ4 MLKE5mtV9luffrCnLYOyLtiCGz5dFj8FTpYAYii/I7qJg+d3J1WYZdBZt8HH2NysoASyWYUicMI2 HmXkcuBlCZqqAkxkLxqUtgAQQQRfLVvP/wsAhecygBBwar8TFVXIydpDRbM4b4Pq+EKssJ8l3kbZ 3jgP/Cy1OCfxlz5c3CF8LGRkBov3Rhu+swVQTYJHFdUKTWdXRKDA0NL7UhmIbxusUndCF0lUbPCA AkfLb2AP40xycvq98H5eo6cuczx0hJevp5RcmegkGDdvyd0t5F5jpw69Zvl+teaDT9I5bGGDQrzK OX8omw04HTqViXpF1z1m0YH4NXLqAOWwZ7ckJ+N0BxCmB+0TUW3H0y8T1KEvpIuuW3iZIUdMvwb8 LtH0DBp+Mnj6H52I0TtXurIGME4SuEA0o1UUTA+YfiDbr+GD574FGgeDCe75yDWU1oBqDvdh1Ago VagagU6l1/nxHkuiJ4oGzU+vsxDGfHJHPP02P0J0an4EKYBrLMnSmJ/XcKlDaI9rphsQJaPoO51F Ro0fHketVuuTvwZLWD6Dtrqo6tlgMeVZeOuES2as06EvRjeHTiwNmOP7QqCnvev78pHx8EQl1Aar 8bYs4NuJK9vPa5yEyd3la/mA4wzvCJue1yipW3B1YsmlAmU20WzJ7CSrjpeFCpqm5zVG6jj2l3xi sw8P9Hk3sJekhg7NQ8rrIHOixvigUYKc4nGl/rxGSB0RDismu07Vl870qjF+7oIs/srq+ec1QOr5 luEM8AOWzG7Z5uc1POqg0yIlTvkRbCjdUM+IsLO3nVI1TsZrcITttWU8MJoPTkFE1WR3ag93SD2j nA+03Fnam8UY/v+0vTaVdV9DIxw/hZBwlERsMKzcycclvtRPpP0aGPUnH+ky00FHHjArzc9rWIQ9 OUV8IuSLsCZKUZgnc+oOGshuFPMaFHVxuM6m2VJG48xq/ZzJbzQsJkUTvUKAmsaG8NBJexmnptu9 THrZ9/mhOhN6J9kHu+nPf8xM5Pwd5ec1HsL6qb+O57O/4Kpp8/MaDeFMpx+zNG3UP5nVPatpfWdo geXu8K+xEOan8W6XjW5+bHTHCbRRI+Y1BRrdnqyKM+kfBJZVCL3YBmOy9hoJdckGo7lECjmssD7C kXZwlPlPVNZ/XgMhHl/fE4RKcu/JJ+g1CsL53GjmKVG9xp5cs0M5Z6HoaX+CjJML6DUGuudzQh/G hpvMVuhxsIYE+O9ERQMwy3NiL2qstXGt4SdZ468hUFdJ8Rw9iwWk5uZqJ4M8MacMYk4Q9fMaAOEN u88PWRrQGgb1RvPzWo7EBmvCf1fve9Mr10g8qxenXVBZhjpowQGdCEnahXA4Dn6/JxCD0KBGD4C/ O40GACegFGEZ1TUHy6LzyWspEvPDZhLMHH1QgW2c5LeZNhOefp+fvvrX+unnt5+Ko6nAEswPmx5n P/j6yamM7Ac0XY51QAMwKBWLMP4RVZWVDg6WbJyJwG1FRdgTOKMGSc8TSIAtJGQFYq8OgqMJ02sB spsD4wnPRYDuJrIKc5a00y0ZvZYfe751Na0fJO5UY7P4MIqfwcRC13E4C6zQ9Ua8nbVc5iN1t6l+ J8vZ70PH3Dv4EQ3D07mpclZhJ1mp4JDQ21gA9ZLT5DK6TXoD0RKSlTer/hq0hHgJENV1Mtifd6oc 9tjMNkesLCG7l0SsSDDBHCWBldj25CFUqWpsiozDDyECn4y0E84Rz+csAfR8U9aZF0xzE0tqDb0l 1M1IvDyrCMdyESquKrcfet3gnC4kDXQVaHtxrDckjbZYE5WPR3F0+tpn60zPUBmEc/QeSINgIQ+m 5ButsFiig0iAU7IJJTpEAE4wR6CsAT857+BXWTnzNCpVYFHML4MAwg5mUGe/7HGE48r4eSfJdelr wy6PHgMcNEX5xFsSiG1gNb3H0pBN96Na//HJclBr8jmKomkkEZDPu0p6leelwDeswUlBH+1fAwEE p3VnzWOXnX1wUMnJNRqk4lj6qGCj4L/MkGiEj86H9cl7myW97vteM6/TPO5g6WqVy+Y5rgE0DQPq 6QmH0LsFntaej70T5PClg0AudfqJnxwXZrpGvmzwdVmp7yE1fmNssjZZnW1zPM2QjrwUxtTgfSEh 5o2/INDUAZGYFD9tMhmoetvoOJIaMxxPIaeeTY25ARLLVXUCVFTRgjkSa81z1gxpDCCpfZKisLp3 GZ14WH2uH/6Tkowy+Gq0650mx/xOjuPxj7ysZDoxlZwfxnyHo8dSIV+OHkkMZ9ixIlwnw9mKsvQX eufGYUcTbYMepw92G0GYTnrmpfy8U+M6lEG/Mw+QSc4vlO4khbE1c9ctz2XO11qGJDl/l1nqQAtn ukBMFFxT2xMNoerDRbqdd8g1yVm45prZ8ybwHAA16mbeIylPve77kdSUtyYCuTiowL9A4RCEJu+f d14cVlIvX7d/RYyOIr/NURReswkOyzYXiG+1e9m6UsxMXX/01zRHUXzdZeJVSFDg4M3sc1uSr634 +hxPLJ+VThQuFjKCcWPn8M/+eWfFYbWKHLAJCuSgOTppR2OyXydQblGE3c2kshuXYn0I1LA5eg+x sY5kDZOWF2JHdd+8IejWZMd/eMc/irFh+AogEkzxNNhmA5h0QGyYzEFwdodcG6CapOAo3Xp1sbd9 n6LJIlHtLDVyMADuudcUY3eo50RB9k756/LPiPrrc7FFUXY3L6/t4BE6W5skjDYg6lyVFoCcojDM HpwbuHLbYIf2WUXQiutT5KUKsiX7SIOudfuzS35K+lNvG0wRtfOXnGE4sDJ/TinYR38IRFo/71S4 LmqmrSLqCyLAghOLVxqjSLtSXW6Kgmr/tI5jNs1BcSudqvtOhLvnUSJwRMNFcoMZkrTXckUJAbd/ BYuyY4JW8lQk09T4550H1yV7QM/lO9hxBBI+4TWz5J93GlwX6uQrGaGZWPUg8p0Fx2xts8ff/fKH jJhd/u3Baq3tsNt3ElyXiDo0qojDMoS+SAEnFT/xCwLpE3wtEH8Gm2jogAEpCSGXexyx4PhOgmM9 n5W0qrQWULhiiJG6dfvDI/7nnQTXZVFkm62zJHKCUiR6NktRzZpCy05g7k+3n8Yno3uIREqDFtJ7 qI2KoOy8zIfx3v7n/qEHZmdV7RzetRPJhpv+JP1A/5dyqfGDjhXvFLgufCOQR53yHQWAXV7ZyDm3 FOJOIPnzzoDjLH/XjdBjrMJHcpLCWFtuDOlaVINc6TdbbX77467WkfTOf+tX3IQq+ho8RGoJ9w2Q CSg9VngWcylVtBlRYKf4kqFqqG7yzn7DlzDWPv9R88FbH1Imxc1Wf97Jb97m4ZRwIdGhOHle+859 Y/XRFCjc6Xzs4dy3noYVj2A/YBKf79S3biRmWLxnH7wVm8GQV/m6IHYSxvZscbSvEJbSXlDlWTkx vTPfWB5RaNS2D0L8ndNzNLX20/x55731fF09rECL/hCU8GyOwgo2ZQY7WXmW17onTDbSNwtsrGNw jqISthwr9pzVB4+Q4N1SCRBecs7rEjs7qff57za5rR8ibbeCnp931lt3PnwuLNVy0BzNta1HtOf6 eSe9ca2nr2MbYPq2bw3pnfN2e7Cm7N3UELng9mLO1ZCQKH4gvUfaKveeTbYo/cLh3mxg8YA6DqGz cwOxTzsT9Kk2+liFXp7eRlt63fcDaUnae0vae7u0N3iG0zQD0v55Z7zx+F1f6wgFml5uHfKd8MY5 Uvsju5UnW4qW+3crT1YhbXQevUfaCIKZq8E1ygYPkUjBpZ7WqtB/TjSQgQrQB5itD1x0PGMrQ28b TRE71ThDQJ5EqM4N0uuU5tH5P+/98852YzX5V6QNnmt+erFhMRucprTydT6ZhDZ5nS17t/G6Mbxz 3fD+wIGiSDR8sDMbl85CjM0I6dycwBMC8TCYsZ11OS52LVMX5Oed62YFjn6OONYiOShEgtuDsbwz 3zGqj3w3HGEX1ebN2N6JbpwjyXkO11lKgCLrPBpruzAOyQCao/dQ++w1rI5zu+PS0mDrqHUgXpm1 Qg3zvJUB3pEroaAOJKTL6FKj8p3l1sX0pS7H8kEt672aelQnZRg/7yS3/tjmek8Nkl1PzzoKtFnL z5h8T/xnvxSSVV0ZNoHdpaZ1GGiT2wYciQ++1VD3Z7cDpcgTpaI6Bik9eJdWaTDerYbf5+ed4Ya2 2BKfe9xBU1QBiWOr9Zz5P+8EN9683wkbUulWhu+0d34bU6D5VCJ5Go3tsIdN0KRgIcAma4rCijbL a1Pcdw6aoo1zuiei1dDQRt0RBxYm5EOxtA7AXzeOTdHbRlMkXMjaPmijnc+cykX6OTDfuW08sNOv AFI8V5+iKMpubM3S+8kk4ruBIGEPQH4NNhqx+cQbvzPbiCxiMpt4t3GwwwgY/7Me5VJJsRdqwaD9 BtgTKFbPgd2G3jZI1ygUNGUwNK/BUNqpqjWL8OrnndX267zmq0HfArvDpiiKsQUOXXU4S5I6lhIz g36NIdfRFrMpeo+xsYq5w7ZmysNfaLpMODt13mnoDWEzU/Z7UViF6It7GJFu9fNOafNEZHSEnhhQ SutaR9A7waKCVtfPO6MNv+P2ANJgfxDN8QP7ndDGdkYWeC/ZOhpyO20k1nTXDzxXkR3Y73w2IjBQ PjoJS/PBtto5sDeAjqxDDFb7eW5RHA0Sz/nqunTSkt/JbLe9BpSvDzqMGsRLdAaP8vNOZUNoYqRk pSGkaAE6ZDP0HmLjksgqsA2HrI+1baetNotD1nezrP+dyGYJOYgPrfngBTY4N8/NJj/ad+eIJHYU mxcd/8+ShZWMPLfeNmgdkeGeUCizQYfR+Z+RxUjufMWowOZdWomdLQgs3PM6irA729ilXo1cCA94 YaSmWxipjhN557BxpzEkKvsODlY/O35RPYKZGnQTmKnh4JnolJYvWS6hi985bLfCBkcBHwwIcVYS e1XAQ/y8U9gMq/F17Z+NOnK+p1EUYSNgwa/cPXo8t2l2qAhh1IKKrGRQvncCWzePSkyBhAN1NBAL gW4v/gwe2CdWL8S0F7DYWQpGAcYzfgGL3/lrhKtRIqFyxzEfVrvnrM0u8e1ztfefd/6aFXq/2rRn mw2pnHOSohAbiEiQzs2Jmqe30x7GMoehsmdxvOM7e42IPobYQ+tprF8LKdXOSi3UHgAoRwsS3gAL uUhBFcnjR/xEP+/ktXtkl6S5wgIUXhpUUUqefeBo9PNOXuumVX4nafDS2HeSohgbXT1c99k1y6oU JyeVlld2TDEgQpqkqJw9WVnLqOPb4HkIdGjakHB37ydgRx4yFv6ojQkrxD1a7YjEtXfemkUqSNbY 9ueg2tG5Ixp7VWeh5p932hrf8Xu31QICzfRq9jtrjXc/mf+7SnMLWIbkavlScoTQ+cZxeuYIyMcY N8Ldtnloc/Ai5AaerCifhbNkIfMdGKcPIG2fItvcpSLk0uu+zxGaLRBXHtJYHkZaGwB/Merpuc6f d85af4yYrE8LNC+Azz5JIXCk8hwCRAS00LPbBvVK8Y6TijaYpEWr1oqF9E5Z6/JGPrdYI8GPg09S o1ALVSRg5ZZKIvX4hNifRTIOZF+t5j9b0ev+tdsqPP1suPijtKVsPufPO2PNChOapKZ3O1db9jj7 nbCGhTQJ4Jcphf5ZXCY/r2TdyN2u7cI7X41nm7BZs/vgBTY4PU0UuwFha2xHAkMLmUuIyZ3Ph46E CyxPe9/g3KaVJ5yFfNAkdch98g+fm49HFbbv6tHalFf2+/+drfbgRKvlseCzEyeKSVpKURa9hbN5 LryT1S4CCYe9D3YiQU0I3hbksJ8bcDXi1DN4WRBnRST5qCuT5/BOVusyCUJpTeI228VtkCXLIghQ +J93rlo3d66neoTDf44LxY4CbSlQt7FuhU3Op7I7XS5Q1rtrcr4z1Xj/o+JfGQBpsDkCmj83khQn mKYj0zxvwrazZ5oworOqpFalkXeiWhcviNnsHSzvb1vsPsB4ft55atYweDI2YnLzcyBF1Wz2CXBI WN6fuuSkeLNdb+GdtqtrvLPUuI5JdJi0OCnzyrvCQTdLK5YorXMtyBKVpqVEOM4LQBIk4p2jdqdo 0pdCg6bo5NwiWMAn+uedocacOH/la2BPoIniUxTDRjYBNbcpsmTHOGgf4HanhFnZFMWhNgFIjZc/ B68egQp/wlq6BySAtnhkbUhDNBS4KHFukbZWUVTLhghTh+LS9EFTdEIIUdWgHfzzTk5zeOw9smkI 2i5G652ahqeIGa1reJuWf4thtGp2VP85XQx0/M5M66YtCZ/l5oOvogYIVSFzaO+ztRdBE+cj0FY7 B9GiTaUOI0JG34lpzLgUPBIYUZKjjqG/ry8EwfPnnZdmqPevEDKhLZLvYRTF2Z1Zv7QKmNO22YwE ipx2WdbPii9LIy0EZrNHWzMPbA62iggLHp1lWliSA3JO8kiHqmRHYnltKlEC43cEFz+jo1wJQeJg iIgTrrOiV1vOeDyIIHv9Oq+p7oUCn01RGGWXpFW0vMC23Q/2vG9NnopUR0O2qJKtKQIYx4dbYOuA +Mmm+wRtRanIxBqvIJHkkm4b287rKMpeydhXwwdF2amVJkXuk7nh8aDXn78zERB1OoAxRp+JouxO 5PFkm9xkEptX2EAW/LhdQLHiUX+PskXPhFEJO7Q93Q4tjPFOpD2IXz/x6ZZP5flrUd+im/K8QbbM Avrf/MZmZ1I3G5CSYKGq+PEcSng8IIjsX6XsczS0ehk0PYqxx5LK5srOgNjudnr+c1OSRLXfHRV6 hBkZDI06pLJtsPoa5ho+uSwcI1kHJIo0ts3LEjH2vmk/5TN6VMuumfxY7EsbtNXgtCl81tl+eDy4 9stdRsp+EW5cwGiPi9lbZGKv9yMQdsjINh0NqEJ0S/t7VMyWa24RKIKDJyK4z+tWp3+fk29RVYpG HAuF7QxpCq/UEnzUoxh7Ug1iUjEKYjPYo8pEJrim/MNbwfMB13F9V2rphobw3GcpZDvS6iVLAFil 7ela5UOgv8kTyZF+PYqyp8DriWg2Do4ZQQ7YEcrgF1iQt6OXAsiLC+gLyI88mhBFrxvltDiRTh4q J5Niwlj1ZICSOugn08Hjwal9YbXStsEPszzv7xHjEVJQCBubN9f6dL712QXWxy7wwrI+do8xIwwd KztHHGyOzoE0kRSpLTLOgTQpjAj9+4G8NtMy1i5/ViJ7VNCmvsGZZMrbntygmrwIjjxJ8oy6J54P JumWItm1RopLywubpTDOlhxETy6zmfdVsTt79pGDyD5LIfGR/bUi6yAOvpJOTtMg8U3M6AbYg2wI OM+fK5CiZ/0eSpRt7RFAe7JtVOSJA6jdh7k/2v2Nwpef8yNvPB/st/kNY0f7qNXhBdseFrWhl5RP pIS7i+0jJGysjpwfGFvYkloCtHFyh5H2ZObfdvXByVlw58qb/lMnHN/nrEQ1m66h9HGHzsyjsTn1 utHJLcl7+U/RPFEQvQFbMW6Y2WrBB0RAtvQ1SzzcyrPh3oPtS6zh97E+csKyxgmDzh+iXiNoIZ3m UoqC7S2C1noGmyU02c7kKJJs5ypaKmvDVmsC3oqfxil+tErClwSzROxZxbq0wUBaCIHF4c8Tj0cs 2vV1ckPIrad8WcYRRBtdLcRGpiQCwRoki/9jrZlYdNbZCuIo1pB6BByBzMOkGbUGFnSU+sNZD/6v IGhBzSzTjSPBNHdl8gnd8jzT3gxfEsRJsztF3QYrj6yVlJee/BuPR+yjbyY/Tl3YOt0zKYy3WQzc 23HsaSv3xyStaVZBKCFiW3CSoqq2GtpZJQAOFgPArmQgAjem35LyL/bgZxHtt9LVyhjkivYIOtLJ FoHSpw+aJHDVHYA88fh/oWMTj97npdOOKOCecsulMrDpIycHRoy9HDtCrD6jyRGVtdGfQfWo3MGz f5RlIYiNcwf9zSL5zUo2fZWDnzgjJrc9ooAbaio0AbiD9yIhNqU6W8bjQcA9vuts6Bb3L8p6FHAD xA80bTYPjtxRDleUtLPJ1UBEfCfjrMdFbe4y0AZs8BZSOuu5AeAMFMkeRZIrFc2EAUfqTKqVKYyh 6IEv+Yu1DuIvW9rnM4daSLOnzfx/ILkdYVV7f6NHEK518KptkmKMNtn87kMN5J/Dj88ecKn73SEE r0mKqtqiZg0lbgA+4o0/dMODl0ujjxIsm0i0QE2X+Tfu+nE7SOh8D3xHgBplv7YXdpB6IV4crcgE fxO2Is//4PF/dUcI6VoUQb/rKESPdF4srbm2yCrz5v8P2m+huaqtFkXbLJ/BJ3hqyDZFANIsVLXJ 9FvwBJV3Fyl2UOqYMslT+p8wRSF4hOcQxYhtsELbhjAXy7Vj4/F/HUdccYPXvt9rIwq2pRpd87xq 7ZdMU875OBzJRhI3pygKtimrDYvu5IMH20CJkquLtn8HfpTqRkwF9kL29LBqKUyJL/lLgaVuokcq gPFiDAE+LewfolQ8H62j7wIArpFW2t1qUazNZA9wvyuUlbeTj05QowQOyrPEsp0AaUSx9hKvZkkC aj2dSMhzYkpYuAbmv9JJAv6BH1JVO2sDAmiT4j9CgPamgUTi3c/Bemxn+RMAUM+PgscjEPt3s5aJ 7SNyNEKA9v/P2HclubIjS/5zLWVt0GL2v7CBu0cgWa8z6rTNB87ruUlmgRAhXJDmJ9640pGenDFS BEfCaYQox6YoAmgbboRWZBpu1z8l+EAtlf1PgL1Y9idMCr9CZ2fJ8NlVb/tXh23ufAdV2mhXT0Tk 7gOPR+HR/tpqhfJB3SttI6ppU8n+hJDdKbUjs7yGsn/ZFz1Ssdl1YEdh9mRNW8RrDHiLrLz2nPdt kjaLrYZeFaYIIkuNOMrd7hShNYnviMr+TP07UX8crKbNb/kxRu0Io+z+fadR7Z99f01RGGVPGZBs v9NKm948qow3uIp2dfOtEUfZ85Us2tcEeDETpwUee60U/GFpbNItEaxe32kMIEcYZYtwBNIl1DSQ WCTRsxJUuDFlKH7iA4Lc/xL9BMOdyEU8qx1xWZscNmpVyWlSHDYdR+Y/CYtDSSD3z/izrD2hPeaD zVKB82DJzNdQRKLm7YTc6FlP5Fiy2aXSP7JFvm5QamskQzSpRMPcXtDzPWh99kMBroLnowJJ+Yoh gZiHAa1N0gzr2myPZFgPSkW7pCsO3Ru1/DbtXVdSLjJj2T4iRxvNcDlYmD1AytqNumtAWs40VPuX RVEGXOo22Yq9bZTT0vwWzTIbBGdbSKEY8tRS8XgwRa197TZUChgx2xRFYTbCZ1TXHn3/5qiaM1tE k0LfvxbXz54hF1JndibeX8BBndnQa4YVN6con1NSZ3ad1F9Fky09FVvsb3zHn6X/ItX6clXrV2md 3NF2UiA8/q8DiVXtRlUFD49mFGQn1mvPpqx+IPXqtch9/YI3VYV5IM1/ECGb6rVtPPCajrbapFVE o+EnWpUDgTu0a9jduPCaRRmEGVa1O8trNScfVBpBu4uX3Hnlisf/xfEjN+us5vFw/GYUZYO2Dox0 eRTqjKUN4xFrZ4ObVY2bNeOaNkuQgJja4F22UcCkq5LwO7tCnooQdQJ9bDCzvD6lS28bnUZUpW06 s1s1vgjA5EUJ7bkL8HgkkfldGaE55bwVthlF2aAAnWXUb64mbTzea+esvWSIuU2SdkZR9qiC+0lY vD7C4kA0g9RvmMg2NtnrFVoIgw1O0iBNAokY7RnSIJXJdjH9enLtGmi98O4+mU3F40Ej8tdpBFkW gAZ9jsKCNpLutAmqEqeGabe2GlWt5KKxq91qMwKOSCgCi88HL2gX0E8bbaaB+C+TpxFlLNjkWDzL FR7RTHJGQbZB/Msz2E7DF3CK5hh4PCrUftOO0IXpaHHbFEXAEWKk0trF9UaWS0bgqu4eZKfM8AhT FJWzVV9DP8UHz0OQ5tfdVTo60z6qHGtAQ8CvuBhkG3mt6G2jA5vG940p/2ie8sObUlXPnlvD4/8E RLJ/eeaS7lw2RyELknblteSb0Ep5lX1IJJm3vOanUajnp4ZI02nUntMI7fKRh/BH66xbugCfOQK4 EflDT5eatQj1n1EtG40eRJCq+A9jyKH9i5uA5bXNxyOI1nfLvwG8vK5i5oyQI7MQOdIvu7/u6lD/ NZPD2Ihh1RRFUTadjxcwuD74vT8Anh5yYVujEzOM1hFPIyiAgF7otWzC2GcUZQt8zK4ABhQspLqa IcrJgKfmiuf/l5wfXfz6tddCFiTgMgmqaZatbZI9xIcY2/kQBTGw9looOMIybUsSi0pXtW6eY+38 92LTprb6qHT/ga4T4kd4YD/XGohafN1osy0i2IivnbJ/EJBtZ+f48R2jAPIXDZJ9qKucuaIYG3gF FC6uAkKqN6NFH8AyWoBYtZBWhB2R0EhhZUiDJ/0oiaMPyaR/nC8DKXmy/dhJ+C0eQBq5f4WlbBqz Dd1q495q57Ob0jZovuDxSG/Eb376RsJcMV1u1gpL2Y2M/p692n+S8uS32na6H1o9ltGuEDvS1RIZ d7Apgnrv7Fv47POW/GugbwxUa2PpyCvZec2tt43qIqRBSgYhJQqq8ebP4FswKDxHBZ6PhGu+y/1Q EenQcbM5ChWyxV+rq94j+7JppxC2OLJLnb6MQugI9edrS0ZO48JndHSS87VoWgsCwKAGN8q0LNyi d5T3ExydzAnfEZXXyFxT7Sjf2lEa5np9/trFx6M85JdI9kBn7YL9VhRjdyLZCo0W5WlQk+VnJ052 Qa2zDIZJ9a8QnS3L3zbvYMtoQclkZxb7C8QJ5fIOORs0bSr8RC6BjUC2FVey6fi72TviYMBjkiJZ gdwVj0eH0fpaRVm85eYZ/4qCbARzoEKYyQr+eY1E8nQK+6q7W3S0YhokJ6ewlJ3LLWXDQhMw/Cw1 toa0rdI9eiDZH3RBvy3azWb/inAjndDjCg03DHhGtSMIjUnreM+M56Nr7bvej57raN0zkRVF2bAB pwCCu61M2jeLln3tjmCn6XstFBuRie0mc5+D1Y7Oad9Z8Kf+EWvl9D5E1ZT/HZEq5qZJvBi+JADX MNvPIh3nSzpG0lzZGcP34fF/obRUy8Z5tPzqX1GYjUMS9bXlknVkYGuzsT+v9mMSPhsLKQyzqXyQ pTWWH60xiGbDJoMimidABaUW+GwYCf/MgjAfH261I2rn4UsiABKhbEAIcDCQ1uq9qZfXz6vi8Yje /00XAUhrPPT+FYXZIMyTc9zdpG5mw0OUiTJSFqN2NcNDrBCgTegVENg+2DqCTfsJg+SKAZ55Jvgm gVqwsMcIo3PMyBx63QgPQWh2EaUGkFxDHxUcZj8UiKp4PDi0LxWCbexZ4fF75yhEaCeGR3NdJuRI 19qgFQeyzVFN1HeF1WyJ9S35Oqyb9Y+znQcKK4RDnCmiiwWq2Ty7Ib2WkuNGC8U88SWBNwaF2Lr0 fTgopV1tlyxF/sF3jMTXv9cRuP29XneDFdayuddwH3iYvVyeHlwyN7PvquNijmJdv+QSIjZ4StuB IAGqmUTIvfCnkVHDMGmg1X9vNrY88SV/BUg1S3Qku6rvyNNohTXPisejdfSreoRfFdmp5mhHYTb+ FoTT5XZFtnnRwJzLUpFzHiGv4pm9QyLkloN9mT7cfO2El0M2PRUX3GZ8hAI3joOOWNsrIzLU3lGY 3UCG6HPTCmJu14aeDRR/1iDT4ONBge1B1fDdUD2q09fRfo+zYS5KEa2ynZqVbwxZKwFljCEHfRup wh7b0HABNYrRcnCO36i9QKee+Kx0Lgj+l9ZjAnSgpX7vNcr47xCj3VSh3csHVdjOxS+M9oliBh7/ l/ZhVpZ39lvyXGSHEO3OiVlXHLqN5PKQay5PaUdxFYQdQ7SJy9oyZt+PMXuHtNy2dA0sFrC8YTFW ido4h1Lx5posKfAdUYUNQeRs8w7Ghlhb1Ih6kh08HumNpK/wiC6U6+qw75AFyRhO0YxJsnQTisjE 4TtiZBk4a4eIEUHXmuTYv5bROfj7WZFqY9czZtlloFo/iOqF2Le3jXit7SjQhvIHmiG7+KDjaO7E whPMCvmOkTrkd/kIKWjdV/dwh/jsKrXa6fpQLbsjTZlzuJ5/kWwN5ijEZ7NfdFZL8sErI+c6PlEi f7TzmZh71fsh8InOdLl+7BIvxHf8hapJlZ7HHLzVv2RJg7I2Ho/QEN+3GmxiIV3lUxRF2XWWR3ud LZGx3fJgpfrojXS71XYIGJHOSmLWxsExfgC89FJlaQhuJfbkHJu1ZGSUpK8Jm72G3jZy7WGFvo87 aIpg/NvE7k8LjweXWvpVy4bmcblatTsCjCTpjdz6Gjprvopae2QiprtC7FDRb3MVTRk/zcf46QSM iHmU9KMm1ihmf645JN2L1hC3h93tbYM6rcprhSRIDqrTnoCr8fRrefHx/6UEiQYETaRsikK5kUw7 zOu8Akt66ziey4fwNVCz4HItht+OASOyDnsG22gdV0AB7AI4zt764mE00WVHGvoD9QXTziSZAd8R hI/mSTPvYBstDQuNzrGEx4Mr7XZEOB0o7+GStCkKS9nJNCKMvZaLymuNHqtdJMiyNxE7nKK/Ydml qGlUnqbRmZVFlD832vlXp7fIJG4M1WQEdc423lNvG51F1BrB32SDTVHPojmdn3rg8f+FTsNTs1yc 6I4ibFw9aIhMJ0HWS4KE8o7b9RagWLTRIrTILCJkmwTSmHeKMvTVJsuMKDGmzo3GlLRBVwcxvQdG LNLuv5VGsnCiHDzAXiXLfWUOPP4vrTqCWJGjPYRsA+e8ZmqSF80uNVJJtafUSG+G7j/hddqmEJFT GGITTgTzBx88fGypdajTnplAC6Q16rFM9F7AaP8BlR1KdlxIa9sLB1TRLNWaYj0RFFCZ0J5zhRgB FH0nPyBCi/6SzzxBDiX6faKicvainu9mdEsaxCjLICNIQ42ivcCiMxn2FMfZlHzM7HlIEdDqOefK BrqJEdvJzmXHoOt6gYdT8uNNvxhn41siBU1aizTuPA78FvDIEgVDzkmV+XzE8PeMjSBlHG4PzY9Q 9CCOFPJ43HREgZGxs642dPHOCD4qQGdbPjKTDzf3P585IGWKeVq7py3gCOQ0MgrGqMNe29BsL/yH ngYcZgRAAgaZ8d+5EaYsjs/PsvgBQaB0NX54kKGCtB4HjRQVtgeX7qRJBydqpi93zLwtbzvRplto pNAAknq9ixwkDQ75y5Cb6FRl7GDMAaAAitaCPAp6lGlcyB8Bp/yWP0gjuCaLD8Y+ghkavxCNfzwf Mdm/dX4gVXmeezZeFHTTGzFD7togyC3xottkjfTr1Yuz3TZeVN1W0zZNFrk5+IKC3tgSX70hzeqZ kuzrpIYLnmx5DVcbL5QJ4Lf8ZaQBloUPOshTAUYVX7jhEpFCEMlls+N3hEz/D91kfaLiAreA/lQY xQnVK6uRTB118y1iJNLyozyW025iIE8f/L4703QCI0ZNDWILwADCcKTD/4CGfmXfymTa9sJRnktK RGaCwkFw7b0soatnY/P5yEzru8YN0NR4GkqSeXvPjzNtD82AFlPmiL+SmSbxJKeZoy2oKAAX8h/R qQ9eDZiEaRdRkaG1MWg5BqTsuW06qtzTTyiS1PgtkXQUFX7rM2ieKvTxGTOeuebzUS/gO3rCOUr9 QZ+nUImkqDk5i4cG1ElVoZvQt/8kNieXm2SnsNItLy0g8m3wGw8HkJr9C0j2ibbHOW6BzGT7PlPm XwEUKip64T8420CzLQ3TqA4FZB5eYuchPv8vU61MOAowMwNxjM9UFIujIo7ocjoaoC03WwfQ18uU Dfx+8/mLFEmMA/BfcIDJKlwTl6RSsZvmY3Qe6ag5w6X0AgGnvW9w5bGIe3654oMBSuEmxEustMHn g41XvxcUEBQLGYdPUxSPL1q05XEdSNLyKhxEdTzxHbxQNU1hyXvJe0RtgfS0BQp6Sp3ePucUaRQn o1JCA7mcyszLVUkKxdD5LZFPC83+mhK75oldw6M6oAZDzdDNpn1LtsIHtucrI5lD20hEDwDg3Oy3 eXEX2sjZS3F0oDRLxBBd0iRww0ItB1tO58Ze5wKk0Ug+pxXkMsiXQOJSsluQmbPmfeFo43GCtkwR b+G7NgInsZ7ONcjngwNqf2vcgKIJv7c7T1FI3goLKWu4NQJsa72Qssq0/C41N4jOgXekvBkmHGmL D1ZsOtfdADWGiW4DNV1yUjySIC5b+3UYlw1Jjr0jyZZMlZEmByXBJ7YoLEycl658PojIr1rSs5wu xiTH7pE0lGs1e9WyMlyTXJLa4YWgNy+m5NA+chXV5FrzwZYTNJIEQIAGMM1bGItWKMrjZhpPFwWe W/qSvyhKtJ3m4NLi6JARENlTHXw+ahH4ahKqgCqAz66LCuCLlctSr6oUg2Uza61m1gq33+zmiKGD 5GCToHcuKg4Kj1PJHcyGxqLTOAdnZkSaka5XKkSsRwaQve8cOEhi05HC1eX/17ebkZ1dkSmYDcA4 n482nYeZkg5ozAivp21oIgmYMniNZlAPIwlXJoHVlpkkrHF5kzlwkYQ6qlTbR/bBL7uzERLuI9Yv N6IEnOIDXLtGQYyRb94i4aQc+khCcAFtXU5UH6yDJWo8ObIrwY809JEsv7wkILZUQUDyeXoPxxVD oyo3pWYPWMUsXqArbLOwQAeBK9t2MeBEBByj4zxKt2zsL6Bv6xLslOE4ON5An28EMleDayoqCJwk Ee8N0W+bD4ZdOpuZ2IR2PojPR+qb3y6JGeIDcFn3eQr5k5on2dizGEWVXXG6ZnbKe0bnTXlw6CVJ bPc5m0E11eBAQRjN90IyDmjdRU3eBImPAWxcqRf/jjabvXBENSGPAjQhG5Tf7VwlCXyu1cXno2Pc N55MlTN7zDceD/wk0eilzpS4N4zH6THLiZrCMSOhbxdQmQNDSecJIGf2weLxQmX3bvoJs0Ink0Ll FcCPNpm2e1RQq71vtJ7kZU9KBQdFBedgVf8dUtZ8/p/eZMSonj9r4MV9nsLKOPWB2jKnDZhwdTdw 3TM7NhdZv89TWBonAj7ldQebJ/DCN2QBEY1veN4BE3aOrYnCShYJ8aKY0rQXDlTvKXvXpqKo6Yru vaHcyOvqhLJ8PiIvfRdWoIXQc3nCp7A8PmhxU66OUtLSYgFKCQya4q01N7qNnSXpngBNLx+85Utp 4M5wvMIMGBJXqBcgcJeNHP6sX2lL6C1ZWFFpm5A4DgrHESqb9/ZsfD5KW34dUEikF5jTNlGhueRg 3lJmcVTc+UrfeFS8lWR5GsvglTl0l1QfiqeyDQ752ic8343aZTAKgAY71e8BDmPdaiZHe9PNml8S 1AuY4a8NxA4Gg8VNeHGz/3+2eebz/9IK5Jk96QZy07vQYHJKu4SYHYEsWKAh7xRnIwW5oV1SjVSZ Q4dJ3GiYn3YHRzRNWHEUthJAk1tsQ8E2q+ogB6HJl5McuEOHSYN8T2Z3HHSO467p6laWyeeDemb9 hnwDgt/WVVTIscMkNadSdYmXczyte9/Js2RRvaR7gTywmJTZGlFx2Qe/70DR3SvL0a3Wc94CiEpd 3QXthRNxXgAh5UD5LUGgSZwuBD84QJpJBlwI0EhoPqt18wOigNw7Ll3kJ6KGbkEztJnEEQoU4bAK eersFeqAysYrKAC3+wEV+EwiGGNIIBXX7V5ZgKMC7LoS4Ut94cOQMeNu4NmUGMS058rb9sYRnoAo y0bJFzhXaeedM33sJj/b3Pl8UDD4pR0AKdue+7OkQhucIqfp7qnL2NfkJbXsiXBN2wsGgdnklXud 0g+cF7xzTqKTQXb1pkALbojvKfeK/KqSRO0mwYWOjvyWv+Ypi7oj/SgRm87uljEh+uj8gKiX8Iv+ tVGyqvfKCw0nm/gW073d89kZywt1TGfF/8JNZBMV65noJDfA/ONcCsopDJUFKFxzd9JRO/F8BWUo Vs/LI0KZQ8dJBEe06Cg+KIQ6P4b5l/Xa+HzESvmFAkeA8RWSh56TVljJ2XnN/fFTTMPZTTDV6j5N sb27aQdMH2yazprdFcYllMbFl7GeCWrBD51ySFkxhaU17X0DAAZTllmeQYEBfWm3pmnz+f+lsELE S623kRDaTo5ClArdiIVScdtgnKn5olTQ71WGF/hOuisOUJA+2DTBODib8us5CnDMk5tyAjQI/iDD w7mL7tSmKbG+JZgnwi/gpeSDMrxJnwuWDPbk81Em/EtnGWyh8dR9Q+vJXpX+tltZmbt5JkyHEGXC Y9x5io1xTBp3+WDz1ArJW3RYgpeTKugnEqIjDZgPtfrpJOG3HHpPYnPCUVFWHY6nPp+IThEbeBmd 88B8UkZf34Ym5+PGd2TwHpDDUKdQzKx3L9Q92DlwtZ9jfPu2iyHhhDvXmn3wTueEA+VkBao2oA15 jBfUUwayDVoqorZI+kWxF45w8yzRLdqZJ9dPBUt0ZkbZ5yDMfP5fdV8rw5xXuKYmOfSghCguqpgp WeJS+QfK7pXcI7HmWjfEc45NKOWwtCS8vG7iMtGXOhMlQZyTECemgpPBU50pSYndG+f+vlGgyQZn J9CAg6Zp70yglpXHAxvK73g8cdvBbOlinnPoQylE76DFlgp1+XGgZg4hB+o6vKAZGFHm/0dhDiz+ 6oP3OROYeZ1igqjWZJYUJggC51YujAoevOrwFw4ABmycj6V4c20nzwEw1FV7m5vPR2qC3+oLVF5a 13Ah/2FGSb2c3hwcDjyDLadKCh8Lv6vcgmboRkntJdBGug+W3vUGs/HE2671Bm/KBQgUoN4b51HJ F9cLbo698F+OAjWTtYKzSHrl2NVVAPFz0Gd+wL+gvTz1WTYbDxQj9KQUXTXV7nFB2c4MByi6W/iU rxx8/sOUcpCFKsjK/IKsbP4ZhKX0s0UmbatREOZMnR2tQ75+dc4DV0q4mlPrBLkCSnW499QTmOdO Jh0dOCJ+QFAyyN82J+gAtkc7J8e+lIj8scmWVQwaRTXENZy7WMVgVBeGyYExpRMOAMDz4dY0E0j4 ItKtc91V4qRSJccHYBjMlqPp87IXjjrCTIKJ8oYO5vmv+LeNlpSp/sDdgx8Q3HnzG36IvxYUmDtR UUBOTFPqSlem/umEQ3Tr9c+1e6k+UbH2iYqZrfvgZxSoblCrworK57zbKqcAcLhQe8u0fzeKT/IX Dq48+i8MLiwNqtUtlOsIGtiorQQWlchpvyNyunh+wTRDj0rYsNBr2Wp1JwRopu0BJ3ev1RFIafMU YVbgsEh/heaDz9PJuYD0pPIZpOep+XkGVGEArAG0zx1zl7/vX7UVGsnboIgccabYTee25/NB4vIL 8Dtwc+J/8mkKS+TUhzuLvfu+y1eLscqdGvuuPr2E2KdysZfQhNVs1556FMicbXRYsO82FGN4lKP8 siE3XCpdBuRTtZe9cKTJxBodQiQbhKk78Ziw6ueU73w+CjW/S78Nxheo2Ps8hSXyRu4hSLrOYcX/ QqjYwqtntYSLGw3k0KpyCvvUyEHgcAsGGWLDi8tpAglQSBo72QbKrvA8e8CsxPHxW/4SrE6NokMc bD1t7QnIqS4+H0Sav2yqM2SC+nxKUKFbJeWjcLbiQusql7uWXtqUPkPq0tNwTF1oV8km3rlmiZIe /fJZQGU5PwQwnHVBPD8B7Q4ZmSnr3gzZ0n27U33ZG/91QJUuwH23HhhC27mZyUIzg88HscH4VVkB ROjRrc6hZSUa16zV3a5wntWDTZJ3rCsMTD5Dg8CzEsIh8vRiyaA9JYOFgw7bj3ORzoU0XG3//OzI Wmkea7aeZNfnwLMSqYuaUzqnptfIT/hkeYxABoFppYRIv89xgPnaXU+haSWKJ8h/u7PsulSHOvEN 7HvSUCfT3avro/4S1K1t3cGmCWpMCTL6iMkHsMjkB595myBw9x+4TliGNxRABa6VEuiGlc5oPtyK Af3tsTT1fHDdtW8sa4WI1Z43JA99K+EBhP7B8ml6JFCRCTtzg/brtppiwiYzvP92QklwvayNaRz8 BU4+Tw1LSPY0/qB7X6CY6nShb2VNEomn8DDKBOaEUic6YBRnTugJh86V886Tn+OEUfk8RQF5lV6D HHRZsxuOXz3xiLtZwSSy+DzFFXLMU62UjefgSDHg7XsWjwVSyvC/AbQHHvaUFHqAhzP5+0Y0IIVN lEfhYGQy4GUJqMtAQIXmlf+HTQbaXrucxBy4V8JPl74VxGf9R8yy7PooZFaqkdDa8OgptK8cBjHI 1QfLW85s5wVrQIQFQEgnqoTkcx//dKRJedWrQsicnN/yB8RgdAE0+wVowmE+UbwDFQo+/6/lpGsS gfh8TqeQvCkOMHQLTWWvG+oedPviDpZDYo1JH/UHgvxMUO8++DwNShvRLxb5/Mm9IIi6iQWC8ltG GfBCVra9cMCZUgtvs0wOu+0fyVGd+U2FqR4YyPyA92N8XRCUhO0JPbza8TkwshTzEunvuKpErU7L W4b8UdgSTtMx5IGT5Tlik+ys6h08wcsNYDPJo8L4mxcjjHUnMuFzOBE7YTuPSnI58LLEQc5AsxG0 wkF5S0GMJ0751vNBDWp916AyKCUw/7sTFYoSkl/WZDko9kbdDlqpzdE9tffmExWBVoDMw7Gzpw9e q2sNVxMjcnR9y2CkeSKZsyzWCUMWsfaS2hUbKDC0FHn+7LJFagIHq9W1YpC4DTJQ4GiJVsJ34pL5 Jv2KpX1ewqdxvpNIOMSZe9p6UqYvEYdpVrt02rVW50vwdD4o0UkRwHFGRhz8uoOOLNSWmLacOITu shMURkR4OJque8yiA/FL6MR3JQEIu7j5YFzOMib3HMwTPy+BE19wm+UHszNGmLDUGvqfzi8TTNAS uGDR4psijnncjGWxgkkdWXQPtY6CCZImYUmp+WDbjQ57u5ErBcBbEvO+I7KieDMtCc2sYelgep0h SNUy+JYdYVH9hITK2aWNfu5XPP02Qwgmls2QcAXQsqvL5uclYuJDfXDVeI6Lfy6vOOEcV1CwiVDg AnqJl7SACL1og8JNHCysBG7jxBGFpd5CG6eqCgJhsfj0i4SeXO0v0RLftbDOu6AMaIPVeSfl7VEc 2O3zEippcq0aPlTlhfBXIYgH0/MSKGl/NakQzWs8XIcLgIzlfqgLggGanpcwiR8E+D3lCKYPzoxq WEGqnhQI0xNSDvdBsOY6pd496qZ+/eclSOJXZHbpQAFmkATCsJrjFYgaijGcSfu8xEj6U01mb5hY KOgymx4NtBWPJkhO8a6pgz+gKVo6X0uourjSEHeXYWy0vyhEmEAhsMERTrC86cKBj3OK1oH/5Ez+ yd42gotzYS/fX5sCuy/hkU4gicamXn0wQlRLbLueuPRE2y/BkZ42nR3tL/Sb4Tlr8/MSGvGhJukP YmwEbnqaBGsY8x4ZSTPkwEtgxPmBUDnEGlLxwc8fWA8U+gquDmZdI4w1w5UGSAys1HRbmAy0X8Ii HZZS+l6apuXaukAfdDUw+yqfl5hIS8EI0jY/Z4kPiiByfl4CIh3rcmaoLdn8ZHVO2ERxM2vIxV9P pnB+hsDgxQe/5dEuG5vtpAq5b1Q64Fx5fsABgR2I+d4dxoTtJRjSDiO1YFYaV07kegKT9gy8HHuR vfbPSyxk51e3CWKEiYhBkrGYoJdASAd0Z+JB9VJ5oGzniKEffj1QRrGm5UsYZAd0VhOu+3DDoPPr 5tLFpeuwrNrEWp47DpyWn3NEXXf4Sfb4SxSkH4GicVTFskF5BGp4bJu0E0d9XmKg+4bPAkIzgWZH mp+XkqTmh2INhea1Rr9w0lMhaMXIPMUxTC8FSdveDKT33D7YBgMMGNR3brDCJUSSL0gAixfYqK48 qMjv81KO1PxQvHqtWnxQkQ1VYOWxBcJ/wfx0SzpsfkC8yvQ+oVZNMD+E2KDHs22DpW6dbiCql88P S4USs4gO6C6yKvdZ+lKLA6mp0zCvwvQasvBi1U/INEARG9VdR8LRiemlCKnfgEXILN3h7LQzgGXJ 57Sy0UsJ8v/cYAwQN5h7NwB6Y83pZk4sFLGHoubkcF14oI5dnCkTVi52YTRDQgPUZ3hKRgWQbMIq QW6DINTZKzgzFupx5Da5mG6T7kC0hKg51FWn7U+dNqOMxIP37N/PG2VOe2x+R0HY6W00v+TfGHN2 cdKIaXurGzWRq8u47GgCs7B5lTYOo1lP24IL7nLnaMF/AWLVpFpA9psAEypWIqgGH3d6/5amWZ83 wpytebWPxh2s6n8CEhZpkVd+3vhyFkjXrzkCH2CgKGBz9B5JmxDaOUZH8XXEH8d4YLkYHGDXW3uM QmkILABVUocPDhE866RA25tiugMdSWIpCxvUEH59bOEA9+Dbvk8R1cAhlJR8MOgbepPM6xvITe/B tJwKnqMI8muj0RKWUxRF0w1b50RAxbdapWS/ADh1OIyysLoiHEBwWHd1IUUozA+h8Oy1c+HTQxJN W4h7EsFbYXcyCAwe9esyS3rdIB2T9bJlZaN6OpYhbsTvKwCbhgH19GUksDNqRLhFbJLeI2pAsKgQ 9xzYXTqxjBjl9YFJ6iXdGnY0SbKn7AwZOWiSIB0z+2zU8iqshgvvhWixAyYxKYHaZDVQ9bbRcURP YfjQYAAIUwsJaro8sk+Qi0paMEk596+9lqGR0Wa7KykKq6laBL8T22xpp2KGDCfHHm59wr9IFcf3 uBrHPxKOanaV66oPnRQsnWNnkYICj+9MSsWkaSKV4sV0tsIsXYbeKHJ2fmIlrUWmDge7jeC1IqWv Uj5vDDntm5vZa5JQHIJIhk1SGFvDURlOra6CmoqXZSG1fZv/1z7vjSBnpzaJu30VHzx2BA5wN0oz guiM3UciIVJ1CHVn8v7sSMpTr/s+SY38XXLwbVCRHwUWZWcz788bPc7S3+9TG52gDqaSzVEUXkMu EhI6tyDb2kXc1GlSH+dCaJccF8XXmpySJIeWvuTQUJKd6tNCxG6j2QnPiobN0YlM2s7RAU2cX/I+ R8NkdFlm5KA56jMtks9hhPl5o8bZO/avCAmM5IF7wuboPcTGzcYcthYzqYbcppsLT9xGVovFT6Ya WhRjo8sECYFn8CLRudFhnMNOB2tq8vxOFNMrZsFoJWvBJt9j7MxEEHQ4CsVy0F47qcSkZkntkNGJ guy1v5M09FNhju5TFEXZnQoRaWWvw2aZVi5y4nrxMlrdDowIw2zgtM/VRcgkBzu0z2kHTLcsBTpK D4QjbQjvdRSUqBHvVf2pt42m6MQL53A756wNmiKgqLhTKlERUZwtdJ5PEa7iyh6xCo1RnN1QiU1z VC+lsWOqI7tR4EgxJBipqjT+K86mqAAHj48Gz+nCTBYMllUkWI2LHNOzkicieeO++Lxx4W4MRpuq 5YM22oKDgUReSv68UeEUOtxrjVnvuf5GvWH2GxNOE7sYNz4iqGV3L4b0nbxYlEAS4dX/RoSz8I4X +qb+IAePj/KJhTYBL5AEYcyNZA2YFJxOoIHmexhle93gVhs088SRxXKa+U6dKAUmKgRDQOfkjQhn K+L7NJpQmt/ZT+w3HpwWr1Dcj7Z3kw3KlGjOrajhztU6eo+zldVQJPEODkECkSQpzu7t/D8JEMFq 7WT8ha4Glx4/aFrxxoKzAImqXmIzJ9y6os9QAIn4RpDvP28kOL3k/L7WCsgDfXoQ+UaBUwojUQop DpORhhVp19pYbqIzUX7jefTGgNP3JyUjZOpy8IQN5Hj08zFJc6wNjApu/oo2I8rrlGAyWA0lTt74 b5b3q6TPSVoeqYIlC1NeXWv180Z/s/Ps10IqmnGfoyjQRncPKhRmK0BtiuzQo+7CHQu+XLbb4tq1 mmdt+OCt2AZ2bqeQPlHvADedqx/FhkGFEloMqjYrM6Y37pu1zxQesTnUb3MI4Pw5FUOm+XljvmmO nhiSGTDaQ5uiQpyksH6daMHUx62O9OLVkcSmqMpraOpokqICdidC5MQ6wwevjpwNNijUC5nPCSkB ap4hP9lAbUHt9YdYWxzbS68bxEeEuBfYcdigSTphvNTokHF+3nhvmuNbgWR8VFGBfFpo73E2TiQG 5dtdK1AeKQ5vf2r81JvWifQeZ/uxXbmTNDhNqbYOIhSFBtfONOODXcXZXhu9tdKSQ/0ysjB+yfuJ tEjjyvguGzRHZxeZqNu5MT5vpDdrOX+n/hMaBOVWId84bzZHEqG4xmetFe+DzJkvgxJhsg6k9zgb MomLHcZ6B4shN8QKW6IzRd0nS2Gz7RwcZ9YGbv3NXE75WrG3jaaIBNNNGDItwOR038miJxX+/Dif N8Kb1lEe3xHSyZG55W2OolJ2pZ+nLOd1IFEBwtxPxrADaRHLzr32Hmejzsw242ZJm4PNUe5A4EG3 FnO0UbCm2wCchDsqpnlc9BrYwHrdIIqcInbzXOKgEKl4b7bszHeMyiPfmT/O/DZvvvbGddMcqTqS 5rUbcCFUkIcdL7rlCMQ5eg+0b3Wk0HWAw62OjHzyD85Rnr1ikbFhDeAa5h5YSBfTpVTlG9Hta6u1 RTRkcxca+NjNRknPttf4vPHcFISu9DVFeKLnp2UdBtoUCqipuGHVVgJCNBbNFAl5gBC79azDQJvF 2p7Zk+359mTXou5el0n13B1OKBTUA0CvkhZ0/TzBC+R3RE19UroHm/ocNEUN5WbpxO/yeeO43XTJ u9bKaVEWSZ7TvpHctPq0jlr1AKmtdF296lw2SX05tO+N42b1OtRGllANHDhJMyGQTCxdQ3DzxJOD nGbix6Hk9AOIae3Gsyl622iSeJ/RQlGDtlo+n8UaQD0pyueN4GZ3//gVQ+KETH5kv/HbtI54rWX5 DXCrrYs5RpfHthrA+sIcv9HbDFxEUMikl85NyXEctdphNMiK7DznD5VjJ4tTDUACeA5c7KO9bZSw 4cjeaj3u23pMJ52cYkSce/LzRm2zE/s77ccSBnDOpygsaKPCdX7jIdl86Fc7pwbm7K5phr/Lpug9 zMZWo/TbZLTd56Vrbch2nexY1rmzwjVc8t9olamgnu5xNCnJ8UZrs1yE7VkJDZN0LoJVBb6ZCxcm PZ83Vtv/qY2wxAZCW55+ZL+R2uyXYdWfHACuo1lM2rRA4X0Zdh14Gh3Zb5y2G56ds3qnO9hWKw39 2E2383MGbbiq8MhCYkBcwlV3YX/m80ZosymSda4CyOQBJEyeJcJ8YtXyeaOzKTi5ICMGi7hLqS6j GXoPsoWdoqXXl+GAt452uj3sc3P7DEXVbOrdnUWo4Kg9wdFE7LK37JY2DLEFSIb3e0XznP+wtggT kTcqm9XeieOrlOm65ZdSKwRU2XlNna8YXGppfl9qEAjq1ZO1NyKbvjQTJ9JdnCuXG2Ojr9ccJ7KX 1WnfeGxf1aOW2UFq+fLYGExCZJG17AmzZ8aPrTEdAWfnS5tLCOM3HttTYUM1avtgQIhWaGn/g07D 543GZnFD/TVH0MHM9+KPYmwm/WnkC1YzIxRCRdpwqAg4ejZHYS2bl1ltRQNztUmwEWDYJVlTpJ5P bdRR6hRZg00orio30SG2+I3DZgV7tY6qWkeoQHCDQBRNdszncu+fNw7bjT+fIxtkHoDffJKiIJui rie+K9MRfbcM6W7nVZURv/jDYrZuNeDQbLhlyLN/O+AzCLLzua/pdl6RqywQnmAyfSNIVAM/bwS2 r/IRLAU5YKPw58dm7qxYwV3980Zgs/JN+VpJA+sRVT6bpCjKVnQ06NrzH+FHenJU6ErNuiJlFJPC e2Ov6f2Fe8xEr9V88fwT1u3ghBBVA+73XJJWPFcayNsURrzlI5LX3rhr1ldmh7awy8ZB5aMTjaUt 3OzOnzfqmr3jr84R+DNoPxjwMQyzcdSfH9c04NGVA7eLyGIIN/1Q8XxvnhxEPsZhNqFrMovh8EDX YFhB7e4G9YhECs25E+rPQsu1yDx3qQ659Lrvc4SQBjkIjarPYMQ1SjHI8/T8yJ833ppt1u91lKGV DgVwn6QQOIKFkYgezAqQFm95vONEtAJtt7L4F1UspDfamn4ktiALWl42PMVaiP1qt0G3pTGlJcdi kZCTWvKq/2xFrxvsNprBNySZNgh/1M4tXCRwPufnjbVmpQmPs7vqf+dqu6iIN9KaFpLkDGXoycMJ ir7Syz+/j3UjN5wUxRV946zZ2SazSsaS6XHSmYAVZxrBY7PBZxBzdPJ0eMwm3gepXi47H/y8kdZu ++cc2IvkmaqGESZpJEnqIt3h48GJVL4j7UUZsOV32xtjTVFDFltmqop9kto23D0XCiHcg4D5ucPw G2Hta7ed8Gf7YCHSifbOdoJWEXZbORNGLWo0TX4WBNEzmtuusrztdYP7vyJ+zLKq5qA5gtqF3f8n 0H7jq93w4as2AsQBcF82R2FBWzmt3CqZ09KjzV1PtyNHVrd+/xtbzXJaJv4QvrTB5qgVALKIwoIb aoYhFuVtKtvJsGJE10RJrYojb2Q1JbWiGmdF3HRHYahd4SwsEnFrnzeumvUMvk8krsb8HEhRPZsC cOlkv5b3J8ls62Yji0DAkZ3s+n9jqtnRxuufDnkavDgCzsycXdqlUL/l9Q+9QTBm8FteBJIgEW88 Ncv7GUY2BgEcNEUnEkjdGA/j88ZSuznxN6QfJfT57LUYN0LLqlq9MbL2eMA1FzfCJaU5imNt1dhy 98Gb2Wf3AsnGGltCO42hZgZ2t0GXDkQ5D7W1jKJyNmTboX9JOc7uuN2Tf8+MmwCVoFY/bwQ1O83y 15m9acV7Qdlv9DQ9RaIxC6kG6y++1QrbqIZka45ke2OnfYXa1GC04WZsE0TBIaG7s5fWEgMJ8ii4 VxftKnUaETP6Rk6zlEsOMFRP5KApKpDH4U5ruNaiQFtC7DeGTOiM3EC7hYE246OekhsNnrlwHfOl ZnahrCs1Ezs/KerTcqepmd2eZvausHKELzW5sxVYlCr2yHld9Oxbv3aViCv5HQHWb0v3gFrm6nHx 70pnvtmKPllQxuNBCNm/p4gaX73cVRSG2UWVkfl4MV7xg/kYm5DFoVUUFbMlv9kEPW4P9Bg+umDy swNZzrGXshxQyMei3JDcq8rXgR3CRnibMYOxwfCQDdk4l1EZeDxo9+dvFBuYOtRSMv5MFGZjGtEU 8YI/9NUM3ncW1zS/yjXALxCB5j3MhjaM9GOZr8lSTsuon7t+0eMcPFB0CBkiAEgIV3Y7sBVlyzSg hxxHkhtHJq9/ZHeEB/+Jfj7nr918/H9BHgOoTy0Dm6IoyGbhDDDRWz5q1q4tcDbJttOYqmiKItjI IPlhSjRqXtGok3kMuEgaSv3MSWpqLWWEEnB5zgikPO9P9rpBJlKstrZ8INJqw1iB/we2Mh7/Fw2r MvtFuNEvDSsuZktZurtrXqNruFAj8hCga95OBhjtUTFbldqqSm19KrUIrzP8Cakydm77gQsfNtWI H6FUlWFc5pVawo96FGMTxNY3RHypQ4Z5/zEmRONegXQlng/wR7e5hsdgBXpu1NuB7CHdsdMcdjob FMqYrjE9tsNsNlEfjLJ7FGVP0mUNWMzB835og80lVa2WYc1BiWmUBReUosq+dDWjY/UoylZOOzs9 vWdnH4vl7Hb+a0XOne8YMUK/DyTQZ4F78zmKGI+gtmYITySPIPe0iUFW4lW2kp2Z3sMom2LJjQK2 GuzQrnBJTluG570AVMMuLcpbuJx+qBvsdz8rkT0qaINDR3iFhUfTJEYAIekkM4xz4uH54GYb7Ss+ QoY7oJttkxSG2YOn9hre7kcGYttt9uW0PpCHbJJC3uOwPn/2wRfSCetgX8EQco9zJuFIwkpqPwOl sUxOpB1JlG7t73F2IjoBJOXOlBZUJsZh0GZLgmCe+HTj+Wi7zV8rqZ1ze9zdFtW0B6//3micWxln dxeJavhtsnLaRstTnNsxPpt+gvDWscHhfrg/5qD69oBFJcqf8Mk723pDZrDUeZlHi0i2HtW0tdvg 0kTRjIVlqKUEtC7PlPN1BR8QkUb2NwQJG7/X24fsUawNQjS9E8ggZs2N/gAV04RcpQiChBpp5VqK oCOJEUAZ7PxzsGlCOXW0Lu1tkISYjkwVCQBwxVp2ih/d6PElwTQ14o+bmDXNmTUg5A6mpcAT4/GI ReuHkrpNAPeWu98iiDZJI2nQao4enrW6y/BJDkglQZ3tXL1JNaQeQUd0vVGgkwMXtCDaFYBzwtjR qZc3wAk60DyCb3Vh11QHN0h1FV/ylxTE+ZDpg8ojKLoIr3fybzweYSK/IUgZ8sNtXFBkD8PtlsRV T15D2vQ73zR46eZhsu3k7vykvxraZQoUOR9QZMUxvhMxNAiTloARsBH6Weij5JVvMXKQK9oj8Ejf RtFqPmiSEoA6/FlOiojHo4X0fSZJLH9Or0aOKN6mZTrkHb2IVEt2d5dZcrFAKWOdMJgcUVnberCF 6Jlexs1J9pmXwu4FW9qAERKtnGnCWuXkJ86IaW6PKN5WDym3MXywXiQUpobqbBmPB4zscRvaDM5x SaZ7u40o4KYu1InDSBmdVGx1/w2AR7IRIs6vZkWkEVe1CdQqpfjg7CP4nJc2JeKzILpO0ggS5wE1 AWDprswY+jT4koi2rtw2y2QCzWn1kM53FPY3BpLbEZa1b5yE/5aulqt7VjJCnDYApzmPequRbRjC Ji/qPRKCPJpTtEZU1s4Ub59yTph0+/kZzEpqQ2FWtngwU8pCmCRERyDOl3FbSGeD/wx8RwAc5UJi CxMDE230Is9B2pbo6CeUHFFR+zf5CE9ANtGnKISP8NSGxOLtRbpwe16MYKUusp16PKJwWwdSbwRI dMktDRZsd4N5HatIpFJthQpoB6MX/QNMgd//WFv4jr9akX3nO6iKBH8B8+UaG49H59GvTiRFNC/3 aETRdhfan0JgOo7adEuJUZZrtqfl4JERRdvyXG5ijnLwem2DxiXD/wWP8LmpuIl1BHweVLIfWi1h jviSv86jtqls35gY8zY6V0pR7Hpi+Izn/7WOqoJ0WOr6xTaiaJt6+BDUMTXkDNy5p21UtGQgWaD8 ra0WRdtLoRGuHhssQsI+hXgPVaNhukcc9zmQIA+Hu6C35OuIwBx8R7DVyMwqlfhjDt5kU9sUJP+J x4PTaH33j5jZtpvZjhCjPYlg23cd5Xnr/rUZl+RktpUB0gkiR4TRruyNQMfSB8ePQJx1GhcSeHN1 azdKrPCoRrnrgWhXvW3UYsPVv6Uxw0GVtvMTVzO16wOPB/HRKt+riAJC3SE2I4qzoQaZ0TVcDh8Z yf3vKkF7go+gbatVFNW0p1BIu4ynYJtV0y4nPytdJZJzbQ3h/VjpIpBytztF6E3iO4LoSFXIxOr/ Sttr2hV5M6tIoNSOMMzu39Baav7Xy80eYZitnv+0c4f/9LS2NZONoHyfdUZGHGZTx0dTxMEzNohF JKn693PAof/IMBvLjl6AUGHwncYIcoRhtmSP0ZKDXzfqr0kMLeTGiRr+fS58QJD8l+8KCRyhero4 pBHG2XJLki8Sj6M5rsjhyH4ckbavvRaXtTlLhZX/XB4aW9rQ32y8+ZGkdxzf6PjgRgMWoBSFThKF anrdqFfLhJaU5TMAHMC/DJ6P8gccOxc8H1VIvncbTrcxbgw5w7p2J7oWqraS0i6FGT+SfxiIGHxk 4bWYjMy/pfvOedx9cCD72WkT4lmq/desZi3o/uC2ZOClbpONpNoZokeK9I6Z23IQng1crWWCzxWP B1PU9tc6WlApTcVTkRmF2TgIIH3kDq+pz+lJbenW84fHJXgcnKKQDknD0rqewXk1BZ21Jmcttt/o xAHS38+E4sNKT8kWJVh8x1+lf1gk+mBTBN8A5vK1Fzz+r5Y/MRCKR6eHkDOKsmkmndmytBNpr2vG WS4uktZ1PJFmzIYke62IDVkeNuTZBaBOE+yPHzwT8TYz4IZom5xPe3LaRSGEGda1JRfRqFHHQcUR SKQQjVZProPHo0nydUSs//mw8RD9ZhRmZ3ryAQ354xp1BG2Z/cjlZ2V3TpxxVZugEREi2hchIldw uztP5gK5NwD6YVACeDQL71TUMLvSpbcNpqjRxnyX7gOnCHGcED3oc+LxCMj+izMC/ft5i9ozCrPR 5cLffwWhVxlugNBb8YttUPEbR/aMwmx4LiCVZULCwYva5+Y4UTa3GhqGabM00uG/N6DRKi6kiSAR pT1DLiQFosqiEBuHC69d5IueiK7i8aAT+atb27kYn2UU17Qpp7HTcl5NHsMS2p2mb7UMupXmKIKO yEa5Ja2mdKXGUAg5d3ajR3ltaVRQhiDwc1bBwAZd126aYCS+bXBiM1sbdd9BOw3lFkYbbY6Bx6MS 2/cyInt5XjHIGSFH2IQ9sdjtjcDBwaZoysqGU4RKj6Yoqmgv4UbnGj54InJy+kZkMnojJ9YDrx8N qY4iIJpIjLKNwFb0thF/HSHkFOhvzm4G7xXNOtbH4HaFx/8nSCTqj+nm/DOKsgdFUSoVwJXQjnnr a/2GkLk7tHaGgn7CQxTm/Bxsp6V6khBcbSbKsnim88CCQWGFVtRT899626jmzwhyUQ2Bg9XXilJM qP/y8Qij9St+BK5mTc/5Z4QcmVnWR2P97tUOYv32+LmamX6nRVG2bP/aXNkHh2ijTke7URKPBtcp mkdniqjDnSHe5cVs4thnFGWzajhqYVpbQfIV2qqg+MUZW+fXxvMRAun7NIIxcf3aajERksYZ7QqL JhFFqBVRTWSjACJjCe2MFUdIS1tsHvV1m0eLQfbcDKQzaEgjCzsCknVF86o+txqYWnzdqOJPJBtK TDYYkg0IIGa055bG4xG+Jn1d/ECS9H47RyuKsUGZh85I81sNjt+W0epXNdm6ZUzIFWFHTL53rOzD hbHXc+lIvvfkIPANopUb7J9pfsCTSnIaIvivEDtCEQTOtw1WX0NLj5z9ViseD5iQs32lIaQKptsT WVGM3VkXoQ6zpqjPnh0PKWMRkUWrcddXiB3pEoogHZKDA7QL3EilNlb7ycZk6DOgJQGhENDYLgJp br1tJOwn6225jqFapNrqOSCG7oh+Ph3PB1utfG81cBN6uRK+K9TIJmWk8jbRkU2JPGFHVI4kdgT7 QnMUYkfIy+4yFYGzH35VYY/n3hlwbPJq+t6UAEiDGiTn71l5P7HRCefwHX+V12qbxQdtjwHtQFMu 5uNRiP0LyQZG9sOqWVGIrTJtIanZfA0IjUTK38rw1tpUNntOoxXCsykzWosu/nIv/nli4XNUEIK9 IKuwtpxaQYDtFBqWNNIDGV1xJRt9x8n7UIPVjk7ew8PoHNsVj/8vYL9cGGk1L4usKMYm5yKNbvrG +CfbaVBoLmyPyU0El5bmKORBmshxXz5416jXDVQ2TTLW+f/I1DrucPsTQk6EkSmFyKnXjQpsJEFM RgBwZjVlvwU9QiZuZzVnPB+FRz5LLMoibIYlic9SFGUnOvvdbQXFdSNGgL1uJ3mBmJeB2FcoOEK6 dZqSQprXaXt0OBtNwowW6EIThxuAI2ezLQC/8xaqRIK19rp/wWvANPJB2VrDrLOYPfrA4wFwZH0X RiZqmriMbI5CgHYh32ibuTbijOL1/tKNx35mC81CraQwzBbnqEgEsTy+yJSuqWoONWySRln6hmRJ 4gosvql4xHY0viSYo06dqLqbhqo4+8Qao0pM4/yweDyi+H+z11HoG2V4traiOBsnB4Qi8r5Wddtg EGWSqCNOLXXyUTxaIUKbmv1ZBf/8FPzH+bNhqU5qduPMU5SFvMeFTUbxWkeNTHvdqHqEQ6R26o3R +VsAJPgd8vLHT4zHg1P7YWbziD+3+oDnh01SiNGelBlZFlODyu5aowB4eIA0t4tDr7ieTSVWaAHY cPM1CAcatGZPNHxYz940Fytk1TpytLDUhy8JQNqs+Z/AdPignHYJlcTEgu8Ydft/ZSPwAKrtXm0x F3IR6p/c4QAXvbMhyPpkoI2f3eYoDLRJOYJnog+22RKgFauY9nE7pxKvNvqyEwcOA4cbIg173agD yWO7jTvoQMpnGy8zIa94/J8L6UcqUbNfxsiOAm0ExMhAyk1q2WgQ82gkp0Ok7oH2DrmQXECo5Pjg 3bVazkYs7DI2oEbZh5vQMcB50BFte2lExto7CrRbpv9DoYcmBy2jM8mszaHQxccjVo3nIhSUQvWo Xrrofg+0/cymYoMrsk3LRSokKrzCVt0IYscS2txjZd/BC7VnySBkJLT2fNBmZjeLuijADrTU771G If8dgrRFzh5s9nNQhY3IGkY459DA48G9lr5DpIKeEQCgNkchSFvtNWY7YtWsq+q7qdBjPmLd5ygM tJnT1imvwzkekPY+QRdEfIn0W+eCqzSULmBrLF5u3l0rlITHdwRTRKHRtUT1X+4tChRwIjD2rNiN x//FgySITdJeV80/5EECA5rOYrr6R3s6ZKSSlEjKyImifIpiyIju/NJ88J4I4MLw07YpGo1mbB1+ KWOyvLEeg+hprxupshAsMnrxQacRer+sbJ9chO8YUUYurKZZUvsF0d4hRLuTs96SEyJardbhhz6o fO3BznLOyI6JkMr7qTmmSp3VRib8oauutXmuUB5ccy3iIbCtri97XgDs4zv+khzJtScftI7Ovba0 DkBg27HkyC+SH624z+/mcxTF2VCnoRtW972W8vQ50tUvzZFm3KMdYkaYsbVFcC2HC6whQatZxpZQ 4sEcAfdIUfNGBpsA2sveNhLQpkoU4Os22BzhOhTlKi08HmnWfVvTgCgzoM1iUxRhRgAoB3J9+XFE WLCmqM2711CptykKdf3MbJX87PrIstAsbNJuDXXIiVZRIfZo8vJlg+22sXvX2wbHEeF9axJ6xEGl WrR15L2SFx+P0rX9NUXIiRs0cG2KQskR8CDBcb3sLGFpwM6q6OeInXXu8S2S3w4xIwRENEDVbfC+ EXRq05bgKtzqIRBMa22Q/E40vuuV0KTGEL4j8lcj7FjIo3GRR+fXHFIYR0EPj/9La0y7Ehd/uxst rGYTUFt0fXFBdZM7PBnDMOWosxoJ/OYUhTG2LjXAGWzw2GghtC5E8p9lkCebcHMj+WnkRO5rjbn3 1NtGh5GK2WywcbApGrWy7XOO0oHH/0WpwYNA0M1ya9k7CrEbCWy2Xnhel3ltewntkUcNGT7caBFg ZJKWdi6s7cOlHU1YHLGzdrbxXokh9mbfDxr1q84bGrFOu0O1kSKrx1F90J124ndpQ4Iqh8ejVfSb TXtCI2hbaIoMn/MaGynnn5IVRwsJyGvKjfRJBOlm34jUrK6P+isPSYSma/DTCGribdEJ6kSTcKXN 8lhdPwtyLAVuuwh2sZDWthcOzMSIOsZVyQEZJGPBfpLbRnbV+fTJD/iXnxi7tOetxr4iCDmFyn6J 0H55zy2W2RgsnZ8zrSuoeX4257Dho4L2kTzqF5MRDpbUQn9y1kT4UTsLpnRWRxLa46tPAlQuZXQx 0sa3/CVYX5p8MZunheeHSWpDtvNj8PkgH2leHyHRGF9PnpdPVGhYs1heW/uC2fK1fpzy9+m63twe IoUI7XYBWr9xWmCKbNj2ISWBAqKwtRmfuchHZSju9qHZXjhCRhCEVMT0p9oPI8AzUb11aSHBZDVF Iffo30gtsAXaytdBNEXFbRCOqVrn2S12hmNslkEm6BHRfaJCG0iKRpzgcfjgNckzJzBBQCAwBoyn YAAAt7ozUbiIK7ToPKAs2174j9LtOU1FIu3d6yQZ0C3WAND7x/MRou27+Y9Dsz2A/5yisBuFHNTZ HK8FXnjykpuctFCWbGVn33lRhXvIAqnNO/gRBRjPzBSr6zjtzCYKJieLHrFruOq4NEX4LUGrhGdT AdLeBp3kCZUA4UJhFZFCHElxig0ZzKgkrPGYRaSwxo17LEkWjkdUZ6LzH2WP1EkEzjNlkpG7Puqv 4mSdRkp+JH92BeKiTeJIT/iET6brCM5yZNgZeF8vTqZtL/xXNWDknX0QZBu9PPY7Ttay+XzAa/+l 9QtQ5ZdUW05hnbuyTZKSd0xW78nr3GlbhWmnKxpNYmBwyJLW1gRyaxdvOzMKlHkw2+3ppLPZ0G1n GW3aODS6QMs0ApEbvyXSj5L3SNs+aJ7gViCY45lrPv8vHikPqEnayTNPoRoJDuYH9I89aAEBNt4s FhsU8ZE4T7GLDSmkYKnZ4AfUqmma4XM/V07CDgF5e4JJykZ7dyZpIfCQ3xLo2tBVHDGEhqky0bkn ppw7+3mIzwehwfjVpJzkk4w7T1EovsxU/MK3e7rAEuqpubVWrm71F2mSVOHbEE/YYOkK1DwmKAWs d4NPwhYcOIAgKpz/vHm6kkll4pdECtsURW5p+HBRt5nlpnbuPj4fbLv2C8TVAGft1zYqReH4KlZY cic7/g2apiIdKUokIo+xaYpK3mZSD8SvDQ4KgEUrEhXC3M/mgMLtJH8SFHf8Tst1SUom4xbf8pdZ yzm2iw8GB0SvnEXawUgzNLS5EZQuR+ps37ggdI4EDBoQnGECyYjYLG05p4qlxEAV33kKrCNBP2Pe siUpuR/UZJdCDoWSYJReEwU3N7p8jYh+upCZuSbts3PgHSnqChJfiklyUImgtUVhvJ+zxCafj7K7 b1kysDRBJ7zzFNIls0RJsjvaldG9HNdlYUuHTeQ2Nk8RyEQgZfBqfUg6ADJim5klBTTALVDXDuz9 ik5s7ddlXGYkObaPpM1vThQF4KAc+IThg+Kr7ewQPh8E5NlP8XaX05PhxQaSmWU4ajkpC85uZHe+ mkEVZFwarl8WCnLoILlEclvk1XLwsuXZD9gM2aRuziLANCUCF3EvjaeLArUXfclfOoB5kabM4F3q 4oCxyPEw1cHng0R4+66T6QSFAO/pFJhIwsE0SQnYi7uFovG2mlhawem0txsi59BFchBkMgsVgTho NaHHZ45GBJnC84nHPVrYFUVfFPRcf4PN7xy4SKJEJkVylue2/i78Zag5DZMCrHw+ggh8s29xpGO3 3mkKxQCX8IHeKEiwgbLKXCPMipW5id6MTVPInBTcXTwTDl6aO9FSz502iAXIrkRI9+o5iZCfR75J i5STcugkuWgEMJZCzdUMJcANwXpvT3AkDZ0ky8q/YkzYDj6xeOAkiQCaa2gm7S+0Lperk/fNfxaK cLTpuy6MxaeY7ixhcvCY4JywAOOI8I4ZKyyEo/198tgNDNEV4ZoKCkIvSTO3Hc9g4KWS6PFEySg+ HykC/9IFgPwA/jafp5BAWSi9MS/HtBBOK1JXqs2Su5IclJtDN0kTBcJPaYMHBQN5V2a1AL86QRMn cmpnLSM2hLSP499RzLEXjsoqxAp21nw5KLmDfGURna0sPv+vU1z/MTrM7XolBoaS57Epj9udPRZf 1wlwya8UsXhrFC5J+qiItSRbKVkm53nJuCe22Cdl7EILniC20Ae4wcsNlG+m7B4T1GrvGy0nzA+c Tn2wtsH5Gv4yaNzy+UjU5XvbNeqAr2tyG3hKIhSXZlg1TCX6aU423Wt3h+Zul1DIgamkpyznVryD TROsYTZpdSfUmR3GOYM4r41aAQCVX4LuDOH5LYHq/WbxSUj4fpHwJ6Q/X8C/crXC5yO18vx1jBdg Xx+50hwaS0JNAtyl4fwu5MCOz52u6b4ZRtk8/Q0/gVSeD94TP0kmDCC2CPA8rlgqwMIjM4d/1q+c JfSWLCyLDyF1OejvTfvcfmpDzcbno5zlturwghnMpPWVBIf+knAbhnmiIQYQIiQvFnRKtFCzPCHK VBIcGkwuIgfpoGODlzMLBLQTGy2td3BQcUCdKwqqSiywJEd7A/+o9w1qBeKbqm0Hcqbuu5MCQWcF 9905L/h8pBX4y9QFUeaXBXfoMYn0E+QK+rUx4GQVi7zTTVIBz6eOZpdqT6HJ5Bjycq/dBy/SjfM6 bbP2VNlnZz9qIFUZ9MMY149jyYM7NJnMRTKBz6BjvJrKIY7xyef/RWFeOv5Ry3zM3CMoCsIQkLGa wJTnfFKiwusuuygeaJV+jAc2k3L3ACaOPB0OXnrKBFeIETc31BRYekKhefEFRrr4wVyTvXAQZhJp sTJzO9yqP+JDgi9Vt+C6a/MDonD8Gx0HaPKEDZpPVFQcN7xu9gPq3LSXEp+2ib+cuP5romLoNyeq 6SBvj4PyCcnSmWkWfQcwe8CZTyD+i6oTpP49N962N/4LSwA5FA228RqAUgRTwc+Oz/9Lo4PXI5zP wRz1iQptcOiAN/NqlrfMvB1wkeSsxCYnXTwYkAd+k54Ft96bDxZoFol2JOUtAEU2mgXBCLGVSg61 mwST/aQXjuaJ0OakPid4pdKNPNEHQs0fkJTX5AdEOHmPoIqFBq1cT6UcW05WIXdXsnJBpQqZqnT0 +2OVjoeuTVQMTWFZPNU7eFkFbWwIBfEgp5M8IvLJ1B/aV0OU8EeEMoeek5kJXq3UoOJgHLkEpTr8 5WfJ8vmIlPJd9AV1oQMu4dMUBeSNJ1QRsqmRBF69SpezOyztnaWb1/lR0cajgkCp1QdH8KCmVWSJ k8H4zmT35EKBLsSU+1q8MlfilwTgC9Lk1qCEAAcFBnC1ZuZ9pmnz+cg36BsqDywvhel9mkK+JdvB w61Kz8S49jv4HjzIiVABiVj5XeA86dJ4rcg5oFzngLnoYJKojlvqhmXQJsMJWhCZ+R0mDI2pTVCv viWYp6Yewqw+KL+DBRFxjGCX8/n/BeUMEdE2nqJvaD5pQkuaHP2zrZsHt+p5MLJ5m6fYGEfNKF4h HLz6BHdv2pNCshOYGMYFwJeDlVQBLvHTScJvOXSfrCQUnhh1+qBpOmejDqfzRZnPRz3Ob6QKunE/ rD34PEUBeRqq0qXkVbov3BydEoxX4KCwHDhQOpC3SP+1PPqvJ07u0CvfxDoDmgmOEwJy5MGJgcGk wpjIF8Ve+C/QPERxfVA1s5/PFwHtTDWf/1f9SWIoPdG7+KYuoQ8lolgc3tNTl0ofDJ3jwtCjbV52 8XM8NKLUimqT3RYOfuGN3OFNRB7vuThaEagFuCoIxEmK3bvm3d43qmd2pcDFB53j59poKvuyOh5Y UX6Ld1duPAj1XY5qDpwoiwVQg8YFOqBadxH4Psv0LgJ+OZumKCBfQj9JvrvlR5oaCVzJKhigTlRp VQ0SAmB+xeMCp4R3e+EAXUBmIfiKPmjnnYuyk4p7fvLN5/8FWKWuPirP6+rl5NiOkl0EIAC9i7CL L6e2WvW670Vj5tCPUhYwZ9/ewXE9Yw+QpyUrtM+VtmhFjSLKhu5ryfkyw7q6UqEjpSwFWqZqPsCX EpksE9qUXF0n+M/8gGiiLvoZ70eNPxQCfKZiMibC4tTW8AAqdZupSgSX6Z0Q5qGZCkNytQc6hU45 2EyxVDTQIgRbBYqegBmitgL3d0RwPRMb/dU2D3wpEUFRZaBLbADATtkBYPrFWT0BQ+YHBFvvV+0X t+9JOW+SFztTMoTqbt0BvoGLwuOmS1YyGCCQK3cJrCmvu/kiXlyqCrb1zryhqCveyppEVtG5myTo 85vgEnQ0fV72wkFNk5ce1K45wBCNf9tASM7o/ERrkx8QheTfmhUo5nbsWZ+oELCyKQ3HWiKz4cHj UbWVtEzca20EETZRsfYJbzvctzY47QAqYZSeB+K3ALRPszOEsieFhnz+vPp5OFj1LdGlx3JmYczJ QdU6cBldZyjz+UhF51e1DmDOOZ8rL4rJATA+O4+YO1XrFBFQIqa5hN6G8LnPUwRYYV8MkgLVB0+G G0BQAls0yLeVKWZmQnETut7jKnpDK1Ff8pfOIEALPigmP7HmlhTj+VQ+H6QutwYlIXl4MF0hnRwY VaJf3wiom84Wb/yFJKOXjIF4rtOF4pmmKY7JKaBXtO/K175DbRlCLiyugLxxPgxY1hMrbCi8F9qh ad+tveyFg32HdQTq6vBBgDoQAgQUy6vz+WDb/VLTgeD7ydWe0CCEjk9yNBCTG4eVji3EiS24k2TG 5Mj1raQZmlXOoibC6D44TuwsokKhfnIPoUOwyc8EToxJwXygrNCn1wtHwEN2zgetYTlYsTtTpJt6 qovPB7HmLyfGk4yTz/LEBiGAXD7IUBXO2ncd2SKLmrlTPh8Y+84Tk8lLaFjJJI/FAh80Ub2emDzN QXoYjnjg96ARN5Q4IS5gAcq6U33ZG0fBAcW90x4+6MZbnX1C4H+R5QWelVlKS19c1gINhJsNh6aV gJvfVrCCzes4BLqod4Vr9yJU4FoJVQw6VlW56tRL+9nAW5/giBiDtNCj3PRsJJkceWsDOMqMPZO/ 79/nOBGasxRz+IBsYl1qCgNjENhWSunhWwkVN+1T/Q1tKyctB6pjeGCF6voMcnN1y+ruRYPQt1LV 314FXKkXeHhO6YL/WwwyeHBAnn5SUAb87f6zEa4rxxsKoALfSkSKKtX15oPVDHrVNIEswOeD667/ 7p1TsPae46FzZeW2azO5Qzx0WDwXbsVLmm0uT10C60q5uEELbW0fvGaQkLlVqcdAOmw3cluYN0Bz qVJsXzgxVepC50rlwCzBY0APin9aBTaNv8yJaxY/IGjiPVB7O8cp9ezzFAXk4tqVvq5I43IeQune xINs7E1d/nCvpFhTlkFjHre2Mk7YAQ1aAsVAtZ7EklFJFgkHvHC85zIVPQXulU4CmiPfwTJh8kN4 3QEAFdpXPtIfwtlDovnpdQb+ledEYU/Yqr2dAsTLWudnJY9krYSeLyEhNrBUWJDIceVgeQsgmb0D bITSylkQ0BE5oR1QGJ35wKpXhZDCUfyWv5gbowlP1xxPlyGOTsg6wjU+HzFcvjl3hUXf69CQQw/L 1mUWk03L+vyzeNqC7WhRJrAVPk8xfJwCu4kMFw6eCZ8AKp1soYu4sReMbCGwi1UFd2TgAx7IyrYX DhhTtPjOi0J7GUBb6VFRiYW1X/R7+AHvx/i6ULGsJAfIw/lcd1E83rfECEr2nvC4ZhZDrl/sCXeX bBQSNM5bspCs+QvJ2joQ6IPx+EDHC7DYs4NQ5oBH5tksAE/YzqOUXG4haCURrZIkSZyu58dZG6Lf nSNQz/8vBnIn9IEzar5VzdDPUqqErVxHi8ZKMRM8rgKheypgGzZREWqlTukQ5+GD1+oaFApY7oAn 0Zl0FaEYVQP9RZdC09oVFShwtFR+BnuNcgcVNTc+iKthgwkUWFoC3POr+ouobvaLqvu8hk8ZPGI5 yCRfT6lk33lV3l/0RSdoEjvvNXjKIN+Lyin2xu5e0JwwjkfFoCu7w7OMRxuoKsi0oeR5+VJ42dfQ KaNQTTOrkZoPzuTcgme285mf18ApA8akhTR1hNf8MyC328Rli6ZnyoAYdav/ZC4oKDQwX7E+1G8V 2degKSOPIFF6sRNc19MJhjBxy32oZQ4HU9qiTIovgrlxltd2r4alY+l1fhIpRpiYOXzQ/ECLtsqn aeHpt/nBRis2P7cb1UiXxvy8xksZYnuZ/epWbflk0owE5hFiDHyWLhOChA8Klg+DykH0iQYLKjuM Beeiin4Fp6GxcldJ7YHIX9kXBj2JFn+NlTKsh4lXnbSO5aC7rdC4iKWB3T6vgVJWemPTw4iyT/Wf NT+vcVI2Uf60NSmSI3LiAclMVuJNtVmZ6TVKyi7X2JYBV790mtGAInAETOnzeySCdlZHGwnkokGz BHnF4+j6vMZIWeqv0MWi0fdEcVoQiVKh3k4lhnP6fV5DpJxvIW7q6k90eB82P68BEh4aym3dLwbg KIu3a+aila7FyJa9vYZH2aPtvOcd7NqHAvHJmVgAn+UkOJS6BrG1/mxI3RT2WrS/NuV1X4OjLJKb POF9MC7U+RKed+fiXJ/X0IhPd5sfO6rQNE42P6+BETblHuL3eOG78b414VhbVchHimlavIZF2F+E ptauU7qndM8fTELebAJABKRmhkUgs/zQprfk615ZEsPs16Aog2UjegGt0LIXQmE9DN8nbppVPq8R UX7cdOaPWufzpC472/y8hkM41LPykO7rp+Tu/Cdkow4ZAPBd9hXB/EwWJ5v09Nujpw9Vgo5ujnAV C+oNcq5kexXlGci/+QZjuvYaCmVnYaypnx6mIwKT9iyXFnDjav+8RkI8v4ZNEF4OF3cnZ4YT9BoG 4YCukmhMBj1JhD+aBcq4qNQT6Rto/jUIyo4gbGlsH/wAgvj5GExnT5LC9jGqt1g758SG1Ne47vDT Xvb9AvMsjYJfHIz11AEO/mEfJH9eIyC7Qp4LjABLeB1pfl4LkthgVY235SCBQstoJvwg3dgBdNIF m5/XciS2d6FznvA5HGyDnfMZVnH0NhvQYczi9xaIn/MCG9V1BwtNJz+vxUjMz6Z5bqelOweV2GBl uBVLQ/YvmJ++vy/4jl5Ezn5Av9Yhs5zW8JOmK8q8jTR+DujdLziAhRMKWUQHNPMylj1s8ANo0gOI F/yErTT6Y4iqz15asPkqqLs4Eo5GTK8lSLwstZjLeAYdQCeGINZRRaPXAuSvC0y4b6iw3QDonTKH izkRE1CLT1ChqoIWUL8TlLvLV70z5rI47kThZB+8YARfhkL5bha0O1SezhGNzbRIHjIba+0wSQ6E W4yXvECVgu5xCSHj5Xy1MsBTjfbYDaLx36Ka0Ea7QXQURaNagZPHVGJACL+q1Sjo2SE0UTo05k40 R9NgE8kHmyMIe8A9vZJoAZwa2gPn2j8BKpYVqLjTu7eocvB1g2VEHOWYcs6bLl/Vzh2hEsaJjfh4 FEhnmyNMLvr4Q4oxQklFN1mT10l3rlxNTr2EdsLwg6jfOYpCaaoJ4a5qPnhBDcdSUwMJ15osLebG F3Hq+uMKR52OzztTDteK5M8qlZk5GPRt6i/4OREpoG9RLPTrqMZhMKBBY1MUBdOy9Gj94gPb1WQs s1uIBNXqvh0FEBzW6AKhfk9XLw5e+sgwzZ0UQD0bAvK3iBY7cvyBwyejmH0vs6TXfd9qkEolTKL6 oHQMFF7ijpDOfN5Zch4aP8c1CkQg+dscvQfUkHfLUhl0ZmpnrmkBY3Xdyt4v9uY9oj5zxILsqmRc rnoZl+fKOoc9OUDg6py9NTWbyOU7MBKT8qdNRgNVbxudRqR8JeJvwPoxReYGehrZQSeORBktmKNs KmjTu2vrhAj9LqQoqiZD7gSX1X3hdjJ/eAiXOVnu/Pxu5PlOkMPpL93zwTObw4XjQodTYud1oHaT pPGV4RTVnOVsVVlaDL3z47Chucv2JuyNg11GlbV6fF8pn3d6XIabQ/81SagMIbKxSQpD6yFD4Xqt BkZxA530qMTWih4zJymKrXVo9yr33HrBSQs5wt7NFFBL46oEehLLorGAh7/KTqRsr/t+IjVWPUDx 8kEV/t22eNxt5v1558ZhJT2XPzMVGMO1m569c+NYHyrEvQ/XrUYKaJNU6Uqjvv81G3inxmWXG65T QujzaYOclG8lCmHiSDrHUyU6YqCmgI40cEnbKTrwR+CXvE/SoDBj69T74qBJOkGMbJnqBNAtirD7 9JVE9gVEB6VfxTl6D7Fxs7H1qHaHKrHJeZbG5lUl1pX033lxeH2qDQ6BAjnYkXRO/Nkqfi+0iqA7 vMQMoyBMMQdGK1gLNPkeY8stAJILaftgKFz07lX5gYJOFGTvdKuMeDKjrldvGeSdFIcTiXWlTEyl 6mgzd5sjtvutjlaqz1EYZw/O0aaEJQebIzSHNu5JUpjOwa2IPAHx1gGno0S8F/XtbaM5gnkS2x42 6EACeJ/LoBIUEQXawg8/N1v7oRmnVRqjQBslMjSh8s1koUyqQ7v3ljyI7M4bfCfDcRnL7ExBZH6C yAbztM2tBItqRkuoxUIfrmN6VvJMBDRMvW1wZhMwAklGH7TTTpDbVWycJX/eqXDZbDm104rUKxvK 1b6O3rlwWdwy6sQ+xnDb6yGDWBcBJbdTKN6pcNnBENS1sMFDpHN5rEFD6rO+TuS96aGTUcyCOTPB Mfc8YsXxnQrnFf2+t+T0N24SA40AjsnVAZ2TdypcNpTMXUmwwuk7e6j9zoTD8kXPPjfiMOXGJJNq up/s7EU11MNsJb2H2iJeoZyvs3td/fNzYM+Z1qBQ7Ln9O5hqgP5hV6PiRjFcjyPHsNeNgiSmsxKn IHhQDBpEcXwUSvrr806D4yR73UhJLAgEIjTza8NomyrxhX4G5i/cx4W0GdgdYnrDOo3vLLgsQh0U UNmS5eBJW0KsvendhTsb5mdYSp3gZFTYCQo0YA01Tt45cPgSwo/2ZhjAwUgB6PYPXW31806By49J jBXXipSLfY6iaBsacgAfNU9sZ3OZzww3H0e6Ly9fvxPgbv2a3D0bbLtRPo2oUtQfT4iELiys3TJN 7qeZDKo+Kz+md/5bdkuGMcnrGq7VdxblIgYF35fm5539liUu8lUgQSyxnzkKS9gQyj0vub5Q7hd5 pMKttHKylbDfuW/Z2ZS1aLeVr922ocu4SGKGHlwixnQCPfKzATks8JIjfgsH99LrBiESyRJA3fug OVqgD/Hg3nN93qlvXOvfJxIA9SY6zDl6j7UvscTVcdARsQY/qmzTs7aMjpFOpPdYG4EEMxGyJDV4 rzqNE+81gv3gDXgCMsJEUO7cUJ4sNPT0RtrS676fSItFJDhg+aA5gtyzpMrOp37eeW/sOX93ilC5 pLSIzVEYahce1S2537niV2X/TKjt1F7W0H9nveEnZiICByAfPLPNyG5Rh8P9zyYhkVk4QAbufVjp eM5Wht42miI2YRvTEUaA8ro/gYouHp5Sn3fOG9ZR/pX9o1Gdb7fxnfLGdhGJX26Wh6Ppy/1kmb73 WjCW0l57D7VRamayNmTvNfado7M0T2rW5Z17Lm6U7dhvBM4DRVPM4b3ZiEJ+Z7xl986di7om02vm kEQp8mcoO/Mdg3U0v88jvECbt6P/TndjFUmLZznET5BNntlLyiZA1i4IImmO3kNtVEiwyeAk7APn aMItndovTEcGDOInXfZKYu3wRFQU+JaWLoUq37lud6t1Wej1a6EHEyc1gU+cOj7vVDcm8d8FEpDM u/CqbFpHoXajWl2Vtgv9T3dbziKpRgUAP9ThWO80t+yoNTS7fPCtls6xUa2tf7ZsES0goUzSKUU4 rqMnqIH8jqivTzyIyN0clLFBQ0a+sOfM/7yT3PKjgW6riIITydtG7xw3xgtftUguqG0uVYDs7PXj 9sLJqiPvFDdP2HZCL84GrSK26kjhx6kMezjZf00szMIUHj23bjSborf9c4pQabFBGw1Soczeaj8H 5ju/DX9s+t5owCxXWBXZFEVhdqPpKSzofKPR5YAXP8hIttEgyy3I8Tu7jdgiLh95Dox+obTwKG57 drEAZ2q0lwDyjpnSuQrHeg5sAqHeuW1ZDA7In4D6rkG7Y49Jag7Dq887s+3XeS2o+1nX7UGHRDE2 a1yJ5A1KdaW1ukuaLf9fy/kziT/q/KS/amyz0mRxiteqs+hc+bt2endAFjFVFggSvPu6sQzuYTQp yfHOamMmYgRJHtsbqurdDuwq6PlJ2srnndSG39F4klb2L5vSOT5JUZAtbg2R0SYzUburCDZddUVi i5bTvlPa8CMxA5GxiQabJGhbTiTIWCyYhWmVJsBnqdp+1V06ycnvfLbsRow0zrTBALTpYkRG+byz 2Rhir69lRJZW2XenvYfY6s3DznN4E7uV7jsNgi4WYkMTyWYoqmcnxUSFFRIODsPq0FFCeZF2nmeu yZLgDV9BxZGWifoizELemWy4NkmpgUSTDzqMGvHumKLzpp93IhsrbN+LCMa5DdGITVEUYSN3gMKb 2VETM+KFEbraGlAE0iM6r8NqNo8YVPd98JI/KrXUGzuREToYhLRPwnohdfBTvsS5BDB+p7F5hW1s Kb5xMCTEidwZZ5xEp3/eWWwWNXzPEVQwb4T9TmLLpswF4K+p5Ca0DB0rUk07F2j1bGC+dw5bFqGa UNmlgUeDwKDnFkidmsuor2zWKSf9igfQavCu9Ixf0OJ3ChtL9kjRelEPCVhzNXxQ56zSXF4nfHyn sDH6/L7V1tl540n53xls2bVckLp6iL1m8TRkm9LpOasA49QkhdVsSgDAcdEH79MmWM1MIkbaue96 J6QPVrE/DEsLEkWPH5Eoft75a7d4VLsUXRobZJykBP0nQs/PVv6889fYe5pfBxKVF2AKYJMUxdiT u23A+9HaIg8stDRL2yBD0D06isrZU7jHzTyEg9eOzk6DHPISuRbEPuRqBVXtjWpOIfDRakfkrr1T 1xipMJGdrNlyMOw1OLUiG+38eWeu8R3rr2sNHJrpOf87cY13vxbSclnFsggRYdEq0RRFen6UVQT0 8e8gm7+LDd46mg2tJxKyzgro2MUUd8NCQtm+yDx3qQq59LrvcwQcPighhKkPFV4BEx40nmfkXOfn nbbGzfpdF8k4Jc8y97v/nbbGAmvROVTJDEWsREYk3nG5tlsBoPp8MRbSO2stSx2FuubJhwviP9up VdMsK2M28CJO5H1OzUU+DkhMVvWnqPTnnbV2A6T/gkV0JGlKRc7x9nknrVlh4mshIYjtFxbxzllj 55O9o+XCbllm0dTKP+mBZSUnDqB3bucnvZ9ITR4VUlAudV1YxIkaE5xIuNmAJiydINpCFj0qtVS3 cZllNiHfOWvZVZZPrF580CSBakLsRz150OedseZgogeIvcSa88vtnbFGpKjYMsm6kFBv7DZLbEFj EwJfQyL7yYbeCWvPdrMKyXyg6nBROQlIkgI8skAUCIBMaj8LaMZMr28TWSbV4Z2wxh6FktpxB01S ts4TAtXyeeer/ReWDW2sDmV6m6OwnE1Hprabt/ylR26mp9VZfUhFdWy/s9VuF3IqnOTgnVr07RJp LBDEO5NDpa7U6XcKViU6iJbVqjbyTlbL0oXC3ZamD4q1Kxot0g1u7fPOVWPH4BvLhoPe9Es5R1E5 mxJwCUBpq43gWHXGQ13bsSMoFWqOwlg7KdZed7A5OjvtXPaFIKTziZUuk5AAQoUjgT88LwZJqIh3 nhqniJgRhdwcNEUDtvPGeRifd5YaU6/5tYwKCujn5/QpCpEjgLecxHl7V2RXUx4pedfkyBFceDZF fyNH5n4Gb2ZXcCZF5kMI1LbZfp9zqVGpFf5wFmprFUXF7M5KP1NmGzRFpKFwFcGs8p2fxtPsu3y0 Cc1Njol4Z6cRqZqlg3ChbPVK3S05VWGnldmsCPlOTrs7bU066XG4xJl6QodM3EhGdVXiQBUIhQYW 36JXpQ4jgkbfuWmWcp2jWrjadnG1gLrSbgR/7fy8U9P+C6XFT6k30G5RoN1ZmzApm8p/VncZ3HQ6 ZNoviiuvtRiaTUI/wjQbLO3PmR3qwqsf9cgiHW8IRjQupX69KoG353cE3CsunzpV758OP0ro0apt dCInPB6EkL/Oa0p8AfFnUxSG2TlLmfsxDnDwel51dMtFNtcoV1FUyoZTFJIQJmwjP/SiffJM2OUK x3a2IIVKF33cpN1R0m1k23kdwkbQ6QF6IPlggMjdetIyKgOPB83+8n0YgarTy0VE9CjMJl42LZoV yc9zGzgC+hBs0UofYtpO6+9hNkp8KhsRXrtcfr0i44ecXaPZOYWLJGNaIfHTKmru80bZ8gzof1Mc Z6N8DQf7uzrQx5yizccDishviP/5sAbIs01RFGRDUweCEI9Q4h42RUjXku20eklYPQKNwDUd3p37 DjZFZ7rQWRPBuqCtL6XXXUl/k3L6zfspodGjYnaVudlSh3ZZhxYy6bJq/QEkEY8H1/5dRlXpL+EQ non0uJrNwtp4QCPtypbvUrMV/MnE4qXWo2q2RPC7ADYcHH6EhbQ2nU0b3E+JmJwd3IgFAEmuvd5S LfFHPQqyEaZAgIzHUgIqWBWNkx5n2vucP7wVPB/QHW8qQjoxVOPORellth7yHVHtOMfHEjLrbDw2 b4T1q93woxt3tqLsHkXZk101gvds8BMJCgcUewfSv7a1Sehj23GdNYZU5wH7kZDVoyi7Up9tbc7S 2ixPs549iuoxAFzg8YgT6qkIJSQSpTv81O4R55GSWonQCROFKNVWUn4iSHDvDOvXwyibl36Xm2d/ 3Dw3crJM2gOwfnB5pv49oiaQEQqc/W4pcrAU2aOK9lKTtlqYhJXEPTKA9ebtDakXPB9cbb9qkVhX 5lTNSYrD7O3ZvtVrx/Aw+0Qd7hLAnFSTFKFGJgV+h9THxnWdgREVXM02JdjArims7KKTu39Gb6w2 9HsmUby1RxDtSWpog4w15NiIs1HmDzoc7rbzXRvPR9vtO0RC+6hhBdskhUVtQrSQRJ9zWZB2WgRl CkjS8o057WK+hoM7DrQV+DBf42CZPzg5J3VkKxtG34nGABNuHz8byI9S5+UerWGv+1cJqXSanhbo p5haPcBt5G3+zFYLPiBCsnmTDW8HzReYifksvcfalo7AO4EWeCrebk4YSv9wixEAiRUMLqUo1t5M 1Vrdd7BZynCDz4hEqcGSGuA75+SGTBDFJlAmdo5fospmj8raldizvuUJu80otECoRFnt2dATj0c0 2m9wLe6oDr8vm6MIo832yJle93iB9WtSdSSPMVSMPPkaWOWsIfUIOKLqyIml5dfFBjourQ1LtlyT 9DXPj9F4ek2qKS+QVAq7pjq34bJX8SURkI2IkUptkelKXedgQ07Jc/ek33g8WkffLSSqFzS5LXKS wnBbDgprFyu00ZJNJaQ0HSFx8nU3Oe1RVRvdc3Cz6h28GAmCaN1ss518Z3eYzNLjFOBalLBY6VQx cpAs2iPoCD1zR5fmUb+aRz2NSnVA9O0mHo8W0vrabDmxMX7L2iOKtwXTqrUvO7hrLR4ngRTs2BFc 4AwmR1TWnmwizUzzqZmv+dSJhCBOt9TSHucHH+TeZlz+aLzRx0+sERPdHlG8nZtiyV59UC+yQlhv qsyW8XgQb/fvdi0AdLAo8CmK4m2p2TaKCXId9TJMcBRVw2mEiLMZrYQ0wqK2kOybEtEcvDuC0wkC bCKNjIRONFjr53QYNLZrrNdKZKzY6/5FW69LnW3ovPB6AHLkBAHEHyO3HWFV+1dSAjmojom2SQpB 2kz/AXq5IO1uwjR5+f9aoElkDdsRVbXpHLK2xNs3k4ufQYANQqxCydR1IgGwYRmSLwjSoC9dxu0g YUsOfEeAGqUmxBw1a7DuSEsQMcUXno/rePx/6Y6AE1PRnLMpCtEjYmgVt5VwRpuQbDf9z5SG5FaL gm0BbCbUATFUmyJ4VXVo/9BLuMIJorDJBDVEVEgguFvv7Y+Vge/4k3lcevZBRaRFDDmrtWPj8X8d RwwjGRyNKw8RxdqUPwAJ4p5G49bZaNhsp1F2sN+IYu3JOjWojz54nW2fcPYERFOyrIDukumHhg8F CPJ8aLUTqEN8SXQcEaAt++6RoMjDywiVCjkXQ0cYzwfrqH2vo4LzENYfNklRrD0oylopscDzyMyW mbRlE6yDrP00uN8IVUYkElEJjOTgCG3y/lDCQIC0YX9FaUi4hjVUeTtJgAJok+M/QoA2gf61jzvo WjshbGfOdpbpxOMRwmZ/x5DIa1G5sSkKAdrUqTm/Q3MyxM5OhqzaajiNJlJkpiMjAmjDXwR5yLqD pyN4eCIWAZbtJP2VIVTa1GQEgK9csejZqt426rCBn5WIHtGgQpubU/0A3IzHg/BofYfZ7EOgnGBT FJW0Gc+f8C45qXamW/Vv85L8O0s+XEVRmD3p5zYGEaEUWsGqPlvtRHqweWODrZ01BA8fXPxsuQMj PXe7U3Ri9Yzv+Cs42k1Wpu7MhMsArN0f49SOMMru+evip3Jnvbja8WeUnSuVcXQasbHHVdST1QNO vgYAlaYojrLZzabAqgbLRHrqrVGXE7AIbGrK1VANbNILcLdrYLoZQI4wym4UPU6ERSSZKoielUtS uw1q3fiAIPWv6Ts8QocNBh02SzF4hGc2VPeML3r12MsEGk7HUd7OFx1xVVs+btTMLPtqZp48ZC1K 1LCGNABV4SyhNX2msPHg88o/4I583aDSRtU1QLM40EKI22aOJK3Acc4KPB/VR3ySWFdBTQkpjc3S DOvapNUUEoCppF2YHjD3H3la8nbeneaAnZ8UXf7EIa1efLh0COjcdqr3YCHVMoXRnmS2ZOClbpON rNoZokcIzp64kWwQnu3kUr3r8i8Vjwdz1L7ZEADp9/RMURRno1aVme38uMb/tJb/OTKyrSl4+3ab orCuzfiojzR88HwN9AeUti0VOWn+oOsWDiME24isLrh26W2D3bZkZU7hY2s9cor6Fsu/nbMJj/9L LoJLrolZ4VMURdmJUt99Xx3f2qqfSDkbqRYVpGRX//wHFXKPO/jVj2s+LVok9dmgnCWBsQ1PwEHe 8E1pF5UQZlzVxuTA7tYH1UYgFieBnxNL4vHo1P4GamFhD/jb2xxFYTbpyqD2uaRGlYeizEeKzxE2 i81RWNPmxYaA0QfHsW8wtWkwA2VDUCITURG8+xGeUFLD7EqX3vYv4Ejp1GLjwCk6WxXIkR/1PfF4 pJLpdX+ClYEbmBfvN6MwuzKj7dRf5DJa9TJqITPkbAiINPDInlGYPZiCtN3v8ED9kdQw6W8bwr7U qRtYuoOWrn1fmWPKJ+BLgq3Gsj/d4W0wdC0EXJmBnfwGjweNyOZzhD3aSRjMXvafYUV7iElj3lrI 1pbNUcbf46iI7cq9MwKOyJmlqz7CwUPIExAlcuFge3sS3p7pXo4OyUBtel2zaUKR+LbBgU3xo9lp 9cNBO61CYoK92rOY8XhUYfvu1VIDGHvXpigCjkAuBcCReWWgl/mznDRRShE0G/n/jH1nkis9kuR/ nqVsDFrc/2ILd49Asnoz6n1rY4O3PZ1kFggRwgUBZ5yiqJ5NZyGIsCwfvJ59ttfAZUM6BIXHiK1B qNDgrLcYZRt7rehto14tQTVJEBvVFFEWQVl8CxHZGh7/p+IIq5Dnj26QhrM5CmmQScf0pUHWOZ2W DZMLz2hBRNBpFCr6CXicCPrjcHv+J6/a7BDBxbXKjPtcAWiTgXDR0+Vm0RcI3xHgj2TVlmfywepr FduZ9bXNx/8F0eKuBC1rTU/6Z4QcgY0jYGxr3lbt1Ynaw7D+KNInKx3NKMymFu9J71r1waboBKct Qf2ZoRGq5ZW9I3Q76RyRKddmxWzi2GcUZuOnJMaCpxG+RApYxNewoX3uhIrnIwTS9yRBFLR+7bWQ Bkk9AKx8u9USmWAiROxtVFFkRqZsPEPFERofnSN3+3DxkB3Nj8JCI0zZOotHOREBBHmO+lxrTPPw JRG9n6ARcClsMCBbGyYNebIZPB7ha34V2DJRWp71ryjGRriYjbijrD9lJ0QUGfxQcgQdPi6kFWFH JN/bBzMRDl6oRTg0J89l6G1PNHzwqyAxxX5BWm1iGmL3rxA7Qvu63QiH5KApOgnfNCBbrXg8EvfZ X7da3lTn9hh7hbXsVRgRVVeJkG+KkP7sdMkSMXcLjlYYY1OxpnU11/qD9E+49XeVAsLZLHCqIeMY 1mGNtSMvZec1t972j8LI2dSrc4CmGrdaA0BuylK6FjwfIdn8WqPEPzor5bZEViiSXZM0NB1W2/J0 c6gt4xpCR1L1OQqhIyyJzMSW9hlYy2F0VHCQNhnUDWiPZOlssnF7/h4E1jc4OiEvviOqr3XhspsP 2h5nnapR1dri4/8lxkYJsD2smhXF2CgHosff0s+1NdiW87fuswXYp938K0Rny/k3sUDCwdE1ZycP WiRgpwG5uFinZTsa7ONGR7vyQEZXXMquxIswAOBgjOMTW/H4AwMJj0eHkRePMB0A7WGm7zKKguzV CBaZF1sz5hBsHR0ccysHEabYib1iHqTkM9WqnY8gG0SPWtmkXp/Ef5xVRTF6hDpjoKYpwsiURKS9 blBhg2jSoFAQBshlqnjUd5tyOtoz4/lgrz1NWvyXUb0ZqBLbLEVhdppTgaNz19ecRj2qabkP6Qbz zDZbKDfCEu2JoacPFkMWSF5Q8ZUKSOrOEoEEnBaL9uV6aiaC2VYEHFlcSsQ32aB0DVRmWY+OPvB4 gBv5JckyEW2VK+2zQoD2VPFxuUuk6tDcbWjyWwMyw9FNKymMsyWbvXP24YJrNrBwQ76jgJGSejQK 8FxAI2Vok1jxiI1LfEmkpUXvkM0Flfa07gYodqThne2bGh6PtLT613YDSmvAYNDmKIqzCx2OaBpi TnUM6tnsRxuRABIIHzdr9q8YoS3NdTb769PsB4h01tqJGunnN0iczQnq34/ITZ1m5EKNzKHXjZIR Uo4UA3T8ssIfsZ7AHi0KbCusZ/8OtM8LjJXviRRyIZvkj5Kp059tl67cyDJ1zXL2YjKx0RXWsykr fjKN4oPPEYSTM34vlPznxv+f9WykbYBZl5QcOFrIVsGXBBhtWa9t1ca2weUKwAWJKNKT2PMdI/31 X81+eCvXq328wmq2ODXNsOvAjxR3gNi1Ol9kgcOsOYqV/WScyYSVg8/RiYqg0Eqf0ZMz1C5pn02h cfQcAIrwCGkMve5fHchObJUGnUfQ+iZ0ruZZ8fj7HK1fWqOgm85+CSM7irMHE7bkVmvwqXM3scpI xquQThjZIRWS3bWWiUPm4Jzas8HoZoS9Bg+fxb7IBJRwsCydb+tItto7irMby0Zp8NrmoGV0dsNi 66emwccjTs03YQSVs15vDLlDImQmH6tfPGQm1YNTBK1lr7CNZBjtHeqNdNmwMk7iYMtob6gftyVg LWDyhPrVqT7cOSBa6vda89cNykfi+G2lttuX0dkF56phEHjCJjweXGvpwiGH0jwY9txJCgNtdWlL veLHOBZtr43sbhDnWvJJijHarB8p3ubgBf+ZUhHCeDFb6zQ8orFVY0WleHut0FUX3xGV2MAMSEAo 2WB0COiy8OtOIo7HI8WRu46w6DKDtsvx21GkTRsS1Niu4UF1VZbcXI4N5CwXiN4xaMQ42dUHL46c wxlmbZyjEyv1RANWdEsAtz/fT/NDaxzxXttRqL0JrEXu4YPOI2q7CizT+I6RQOQv7ePNDsFdRyFC m+cR72HT0xAaUi6QxiQhO8s0/XdYzjZONlnHHGyOTmpCqy3W2DoAKIQnJUgHNUqY5guIWCC34Dui bGSx85juoHVUQCWQIkKrePxfkiNsikA/ERhKm6JQ1W+KetSt2w8Cm2UgZZfyJTliML8dYka6imtq +vdx6bRA1SbWJVHNPsFkI+M2sY8M6lMjgU3w7DX0tkF0lIldqySwcbApwuoUwT8tPB7pH/3CjKCa /kDYd4QZSUU6I06DhLi/q7L00q9SxC5unRGK+mkVFcHTSnsiyI1TetGADvIv524ZxB7B6hQVNRAx vI3du942kohigc3qbGl7pfacoY3kqnOb8vH/gjyCSkDbN1nboeIIodGT7kAiZylDazyMklxpT0KD KiU5fvsfmJFKczAODs/qkKYFtQP+Ybk0Idiw4VBbKz+7XvlMNjzxHUH8yMoazjofbBVBIrjYJYrH owLbLxUtuG098eMOi9lJzf1vgxprhAA3v39EzkqAuGmK/kZmn7Q8++CxUUUNIstC7KQ2qNSzzw9s PZpUc19jTCpw4Dv+cvCpmw4+HPwsSq3Ld68OPB4RanyjkUAJsBKp3DZHUYzdlM9KV5Tndb/nNfhT dhg1GNJpp0WIEVkZDO20Ub7IIsCKji101rm5KSkCf3ZYVAFXA/EOj41Yp92h2ghbs62W5YOutGKk LohEDDz+L706srhQxZ7TQ2wD6Lx9K7ki1U0wWbMdJjcCUZ4fyY2URNZR10f9aeVTiE/jcBMRtPrp Xb0AgEwkccG4/vyWyNhKAuFsynl9bXvhwE2Moiy9kDTS0aaTv0w/tyO1CFD3nfyAfyJG+d8+4dbY V26MGvThGkCEtLpxIRR2IPNPu3dLcOHfZVg/krmDlE0lJKqycfASUkYHSd7GHaCtQu4RDTCBBERJ 73JG133hSEaTUMhBaRYO/JbUkAGx8H8yXD4fZCTtJv+spgCh/fDYaEH9/hxWYuKd4xwt9w5FccYh 2rsta4/kFGK0taS2BEj27UVOePlIDL+i4H+OOdpjVghDL7jgZvC7rn1otheOsBEUPi5bA05ghoAN 9lwMPCBywg8IYqVfTHaqDK78TFQUczMpO3lz8QbALI9LZuouFolajU9U6APJunahUYYGm6hWYEOR mOjPEykRIHX2HwQtFyYUyeGNKMu2F47QkbLwY+2Wg3GQYOZKriO6/3g+wrT5OY5JhfRKA2zF5ymK uxOb4WgGOvKPvpOqubWerS7ZAK22nReVuHWFNWqvabgAAGhFqHN7dh6oRlxQQM+Re5vXcM1xVLHs hf/y0/j/4soBURCGB7D+5vNRejJ/vjsBrZ9z8jFCSmGRu5NbW5MfUR31JR3mmbEbarn7pBjLD/NY VFuZLtNYDp6hoLbdcFmhbtJhzo2q0sCBu6DflPl/s+pk2vbCEf5P7FECbzjYRCVKU4JvkTafDzy1 fstrnoN0jPwc5WEITn32Ew5aWwlibd5WKmoRDIoMr+kLKorBZ5c7W88+eHvyHOON2nWg2rSzegrL Jvl85sYpmOH16a4RMG7it0QOf7SNSPJfT958O5ljraaIXPR81A/w4EAYHVx7lyWhQnDY14T3avHg YNX5bLzkwQF6pDZPYbWbSVrpZflgGw+w/BOk8oACYxKiJCjhnmX6QyhUpti/QigGFfyWIFvZLL41 cpNTI7EF66magipIj43PR13K8bXxQM6AU+ydpzAYH2wK1Esn6eWK/+1SHFnSr95/TpEoSZGMpERJ +hUlGQDsQpeCHaSeUMJqpEqgi9yR8gJld7GA/r5/qQAUSW2WK7WJRcoWNOkhfD7Ydg+AG0sHEEi0 4+88xUxJadvd1De37GqbNRFywtQXGG+bp7/RJWWM7oPtO1AZWm5VVe+TSSGTP9E+5IkHiXl5uTIJ 8L72wlGLSXA3ymxzMETgOWp0kA/GmqGlza/0F/Xcnq9+Sw7NIzlPaYpug6izresfsZlgUq99InI0 Y8QQYUIRgDHYbBpelQYKp56wjLblUG6F6BaD0oze3Aka3InM/DXpoJ0D+0iZhMM9gMr/HFQlaPgF CA5IY/L5IDC43oiy2UDx/fFrCw0kWy5K8C4EtxTj3JQh/U0keHgNn6cIZpJYihvEaWtIOgE2nFrT YqR53ufcc3LAQaOYuRKE413hjl4kOXaQJK0kL3YsOSgNXlDlYTFllcrng4j8Kkqmu5yezCX2kEw8 nvYVcmltD6sV7FauZBLKYawV5NBEchUtJ6r8cvDldOYYYjckTUIgs0ozCb0ZQmmB9vBpKs3eN4Lj UJVUImW1OIIS4qrSJj1B7ODzUWf3l2X9ohLgs5oiKUC8KrSAswuTlznuaqJag6w267VsDY0kB6G4 OxPXtbPjus71diLXsdRMqbVB+4QIsII2E+I26Li6AAfb3zkwkkTww5pck3xSM8FBUHAIrmOduPL5 KCj4JS7RmBD2O02hGuDU4ZRsNSHetHYlHHqGFefQr/VpCsmTxFBAq9cHW01QOsUtngXH7RU2uWDl VlLy0f/JN2uRdlIO3SQXVe5XYQtzFQohkDx5Dj/W185duvl81OCd37sO+35e1eQcuEkmU+GoPL3+ T2i42b1CN2mUSH3yRo5p10f9ReuaqTYfPCiAPkET0A0SfBnBBtoFZ7poCpfLuDJcs/sLB9uOZJzR dvXBuG8wrVKRbhU+HylwfuPfqaDR+nPZxSY3DAqomC0djj0cdTIUUiG7KxeWm0NHycXsruwyfPBg HIkv7gRkweUsrcxgvCHjGFAJhVa9HU8lqQIVWkpa9JSeQVlwQtNKZe6y+Py/TnFOKhGdrd55ioLx xFJX63N6MC5BTszTqjy0NpWm3FoiB6aSQGcSVrFz8sGC8YRSCkM5GidAuJr6APA3BgyMObvHBLXa +0aXHf3sG3lwHKxzAGi8oBKp8vlgOc1vOyDUCseDGciBraTzKXpyf9I0p6uVl6Qyp0yl911OYWWc 9bmz2ZsPPk3wDqdyDEyTNlp+WE55EumVpc98UUzJXzjQvacq8ExknnKw3K5bfQJwbz4fMby+j3Ew p3suT1AQFscJrQSM2ZEDNU9H6E5Xdd/QZvF5ij3c5b7NIjkH74rvDs8BdTP33GacNNAInNX0if8n aQndJWmRO2YpxQfF4vDHtE7UbHw+Slp829GBE0ok6ysJDg0me5cDd3XR8iIfl0VEfHMvV5MtRRIc OkwuEeClYdbmvPsO2V0iJ5cLKtPD8kwUOIpzkK2THO4N7Wm971+9liyb8kylUB5P5w61HLiszOej msoFEPBqQ5TZ6mNUHssFsjTHKEqtX3nbZ8qXdGlyIwl2ZFwOTSYHxUtfMN/n1x2jTrZboAsxO0Xe gOnBOX5eclxHjiUb7tBkUtddlXI5B9WeyP2ZOsYnn49YzOMrLECw2taTs8Quk+yQo7mp6y7NPZ3G PLq1YSBgst1kOrCZlAQbwiwhCNuDIDzJaYIKm5bT2h01rbOn9znYF9CEeaSLIMw12QtHNToSmSp1 XjZ1CqoqTmd9quGy1+YH/AtoyRO0E9GwbmAQOE2aSVXiHjVJZTruqDy+jC93AntU/GymYvS3LAJZ VeHgJxRckqE6yvJ4O5OGC29AGoenI2KY1p4rb9sbR3gC9aZyUovKtt4J83FFc+vlzucjys5vSRwc 5VdhIYd2k5vurrMW0zI9/6ze58T952kwrFgVkQd+k1cTt0n3tRUvZ27wofdOLGdW6AdX4g2RcqNf zmKz+wRDJNleOCJayiGQ5cwKfquUIwvQXKyrjJOK8AMiqPz6n9iglfpMVFQfh7gR6nTDPSdVsFWd Ll95ZSp/2ETF8BSeTavcwSbqRPmwqYVO/ToJ8Zl/1p8WxZLROR60FCiPDGUOTSdVL2hjLx+MJndi cqZ7YKby+WA9lV8wcOhQta8jKorIW5YyXnUOGEXiNU25Vw8NNghsNk1/u+KMzdka+7YRTuYywSmg p2I5Pwg05Rm0t6H0quxr8UrGHr8kAGDA4HcmSaBzsMigDZkHnmnafD6yDvolZ47mDYIFn6YoIOe5 dg7yaTLLCVYsDpkjRJaJ8ED9WgleYD2ZHMmzWdTk4PiChMCzsOqLQlbHkTSpNATNnw2pRNx4jdtu +QtHYtQEzWG32qAEDxA0LgagPPh8lAj/at+BLzTGLRiE7pPoRlLv7eoI1+xGXUOLjIkwtPNtnv72 xulspWi4fDkIf1BNaaHPBm4KE+EsYhIAJn46Sfsth/aTlcdSl3Nwd0A1DXe3rN4z+uaB/6S8vr5l BM+vOUDS8Xl6j8jhqSPDwObHOBDbjp2TMR7hKrt71TewoLw6MJLwqCvd6vi5oWCqUBgZoCgL8uwJ NJHzDpB46KqIyiL5F8VeOALOS9Y0bx9UzqRDKnPbM9V8PoL1fGd4IF4OZMM2T6ENJeGVuX3BwqVE KZH8ctvm9VbHYx/KpeuuNx9uOXMjZyUQo55hVRroFlLpgL2iFrt3zbu9bxRokg8GsXQbdIqnk6p+ FccDJ8pv9e7CbQe/pXQvu8CKslC7HXsqdT+exAinCXUd2ZsIJXmzJfCizFZX6YvNOw4eaMJooriy QB1QVUFTCqWCxrCEUYFzwru9cIAuMMY8aT0ctO/y+fxqFsubz0eCgr8ohkCqrAvHzLEfJavjpRWH rKIjacupewsGSLpafZ5iHqbkclkwUFNX84RU4oSvYwtDf85rCBFMAqE2CimFRX81ObuaUqEl5TAb IWLpO2A2qhnNNrrMu89KzvyA/6K8SE2Ecx3ciYrJmMh+00h3ovK8gidEXcucIt2wILalpNZ5qdTM L7VeGAY2XW3yV5jnL6hJUDHAEjc6L7mLzPrVNQ98KSVYhgodharoMio7gHXC1iL20xqZHxDtvG+A LyQq27j6Qjl2puy0qKjWA8aZ7nZLkMvLVjEYVCVn4hJYU3rbHC0DHzwT3iePytXkcwqXKn27F8/z 85skIA8NTJ+XvXBU0ZQtZVXDBRVi/m1nHUG2FhN15osf8C+xKgaa+BzsBZ+oEK9Cn6iRTDEf/2ye C3dW1qnEtCHgZxMVi5/oqqNSNQdnHRTwxgb7nCjcnUVTOFEb5pR4gTqvgB5vSn5LdONhKUFZzQfV 6sAgcqGhzOejEvn3UU4fz/m0XEKXysEED0BVu/HS6C7ukYpJNaBCtR0oFthUgpunhKUPH/woJ6u3 Eq4KZiZsqmRTyRJU/dnjCnoTA8kv+YtPv2TByEEBOSDoZi+UBp8P8pZfdDFIc/R8BZlyYFQJUojw dDP5vivLgYctb6/Unev2LqfYqVIScQJq7nFP8jMh8CYbXQEUSBiZWNYT8NCwV9x67TvqKfNbgn2X RRljpGlVcRzkJy1KjG1Pitz5fLDtfpfIEbeCnOXzFJbIG4UHClEW/CfwoIKJbfhsZQbkrSGBZ0Uz NKucbJs3lQ04eEA+UXfa8mEsDeY3i6yx86WQsD531oNk3b3ZC0deTBSvWoRGF48/zg8BTxUezXst Ph8Emrfjgt8Rzu4/1EfyiQrFUBiRJzr9at8h0VdJk6KfhT7MA5r6KmmGhpWDkpQpMTTgoIkaiMU6 wgsIMowT3MP3euJ6z7xi0Rdm3KDeVF/2xkHxl7Fmh26hDXbjrUJrsvOzIsULPCtReW3fKwr7OF0T lByaVsK8CY3gUW+s2RywMrL1Os9bwL9SoUHgWglVDJxMS5ZD61oOnVipnLwYVF+cQht0TRHNoHhY 5V5fvGJAKT5+yV+ZyxpESK9BIW2EmiicUvZACIPAtjL9j0csGogVTr4+TWGJfEtG1+h0oJsmL9RV FeroqJOHt4RD30pJ6gJD74NNE0SNz/9Wz6XC6oWQFhKagDf4QRHGEryhACrwrcx23QG07YMVDJBh s2BwvoDPB9dd+x2Rg/1zxQdz6FyJRY+eTh8XrzLKTYSrJ8II7Xw1xRG5NNHYyeNwzyfwG7hkzrnF 8yqxnpmIFqFly0WJqUwXOlcKvsqyMquaboVyZnlWiWYndIRD78ovcZRpB3nbT8UgMK+EkiULdbO6 EFHZ1716UONEBGn4WNpExRVyYjDAq7Th4g7PKUjlUeDQ0Qqm+BXRS40eiw/ucCp8CtwrvTVFVTsb LBPebUv2KwP/FNpXPnA6HvrYve1aM+bAvxKWukhEW+7XWUdgAyikrOSUIMC+h8UF/zCwLFPow3kL dRMiF42bDHFBRxEEYWZH3UZedKteHUKouuhb/tKznPKL4aB9N8Ddb/rCyuf/i9lHoYbM1WzKoYcl nF0hHN9dZ29XV7OGYaAbNC7QBGyeYvg4J2jxFOdw5wkXXOG+O6fIOeqqJHYRHuBwyGNcVpnc0HNo Y8n7aUDXljjWCmde5i0nwKhEf+Pg4we8n+Nrf+PHB3GHD6AucLJUyx6dj3lbwtM1GwuEf7wlnOiI jgAqsLKU6z2lmosPdkA1WM5OkG6Qt6D1Bc7CzHhgk/1K6IRtPErJ5cDMMhNfCWUrQqA4KG8po9KO 8xxYW8//CwJF0W3IMi0AXHyiohL5ZCehQc3AmRv1+n4RUCHmRurO3GgRZqVSZHhA+dEGL9V1UBMB N6LWbusi6ScA387xX3/Ak3KtXTGBWiiXkvZb+FTA55cc3AYRqEUB+QPtwdLJIKTMfnfe5zV8KmYe y/qyrad0zVEqvcy1noqsms/Gew2eCrj3LNQJfsjBr7sOC5lC5HOHZJMC94QeJb1j604PXQrB02vo VNyKsMuBuF8HYjgBZ7nrnqPm8xo4FXDDtOEE6UQ/DtPM/+D8LtH0DJkO06lJHTzwGTxfKQzO4UTs pl+vQVNx3l3vBI1z8OlJuDk3MWBIVdLcBNcnMMNoAoGOqpk1LJ1Kr/NzQksWUTrlGzRofsZY8iCq J5T/vEZMRSaGz/ywFdXIl8b8vMZL5yGcDBmKDV5vyvL+UKPc0U+7L9e2eo2WigeVq7BtwMGCylZG QZWdRlbnHtrAL1LZCXh94Of2BUFT7ffzGisVOA8TrYqUygZdbecQr1xL9Wzfz2ugVKSb8EwPVN/Y e9b0vEZJWD6NxM22zVQ3MU+X/Me59J11kHs3HMFrjFRQhqEkKg9iDc6JAuIqd2NK47pnWJ7I5QU1 FKVpi7mR8+Mr3qcHMSL2bWOdCSmy4BEFXVLyyPr5ss9rgOQHybN8En+YafPzGh6dh2CzgpLS7YrD mFMxUc3uFwOWzzIpotfgqHhVF0LSPjjHp52pyKK1QgWdoHPQWk+qu3E5FHR/bXuxkPZ5DY2K9MQh qyypxrKMutLriZeber0n1H4NjMpj8mnHD+kKK9v8vIZFxaPs1uZthzNHFGpg2n8KTYtkNPLXoKgk hnXAeisZKU8ysgmW2olVb6jtDfw3Twp9Mi5EmQj90m1fMsh+DYn4Y1JZl4KoGuym39tC7L7K5zUe wvqp7Xt+ztKBwYPNz2s0hDM9KwS681Nq9wZKE11acIHuDh/h/DD5mDyeOVw4+Dm8utmfQdm1ku2L EsAP5WNy/dpgzNZeI6FiqNQJPjvNhqEYJQNUgLKJC+q99s9rIITj695f2E0wo4JqlE3QaxSE85nw mLFNwwLyus3StBNyDeuFn8N3GU3lNQYqronSAWi3wRfQiXHgYEyNJgCaG2IgtHUhGYdF38b1hp8M Rl5DIPwIkw4oBOVoMMrTuQ2XOXXlz2sAZG/4LCBkAW0vX0Cv9chidDK4tbild2WtSsSLbrSwE07z 9KcWWnBAJ/IJ2qD/KQeHUZxTt4JWymAa0HCaMYHzAnbvJOzPAXHUGvm81iIxP6IYFqrFcVCFDW4x JPSc0A1Pv89P38Pmh8QDdEsF1sX8vJYhuWaJ/HaaBe73Yknr+aPmrYcQ8E8di+iAlpZupqoeB082 NtxyMuuMcIM7K4UmjOigLVwMBSe2o+BoxPRagcRvsEw9v/ugAwhYKLk+omb0Wn8synw1P8QPbLjC Nl8/73w5XMyJdbV7w2cInfsCWttVh6CC77zCaIbkvwT7Bhs8QgTF/eR9lfVsCA4ov0dTdMH5JAtU ISndJsGBaAlZ2ZEwQQ5aQidCb3I9KQMs1WiPzfp1BlEhFlavNkdREA2Jakrd+iHUhPdmFJSHgbrg cuvYtzCKniZ73nywY3qkAUFn0nXnmXhwL0m9PKc1XYfLoLVOVW4/9LrBOU0UwJIlAwdrIZ3zdgud W/l4cE6bBbPW0ToR4Cg3EHonyjE7YV5PnUkdRM1oBOcmTaPcg2g6nzCKpHeTLVwaPvhVthNmgeW0 dj4HNosIFekUjGOvP65wgHrwbd+nqEv8jPaSGgz2tozSCwHAzztLDguir+9YegF9U/wqeyfJ4axu TMBGvQJf8mIe1D+9VOfab6U/iqa7oJNdGrH90YiFiDpEE7oY4TslujAB5HXCEQRZqBndyyzpdd+3 mozO0SD3QdnYWUNNWK4CoGkYT5tJtcpXFAYHUs7m6D2gRpqZxbf0gLrvemGmLTtQom8XZXwnyGGO kJCdCUDVUYMlZFBzPvE4u0a1ndyDuOWF7OxH/6Z5TpPPQNXbRqcRRQVa0zIie4XrCJhMlh4h9fF5 p8dxp36nrOhpnBCh34UURdWdGgybVVtlZalVP4/G9T1BM8SKje9hNU5/suPAPbXBobiQIxmbLpWt VQh+sSLI4C81pzhbTZYWQ+/kOGxogG4mVr4Pfhn1YiXZUj7v3LjyKHroB4Qkzs9M2RP7d24cjxGm ZmW6jD4cgTyzJyCck9RIYeMkRbG1lHRn2tUHm6R0tsVikQqlj4zDjeUzFIw7K+dZmDchbqZe9/1E akSWzkUhHQ6q75/wNBP62852/rwT45j8zq/gCNdTb+tebFF0DTdbSOc8aJtxiUzNM7VzIl2bynda HC82yTFyqjh4eF3Q8qdWOfKSs7or7bxQBRm0WoOcjvFzaCb2eafFYbVO1s82NYfEH+IcnZ9hM+Op Eyi3KMDu9/JnAAnNwT3vOnqPsIGMoMPgSrcOW5NzmKbo86zDtu4cpijEpiPewpXmg51I5yc4R+qk yBekBcom2QK0cOwNKw9ZuVqIyfcQG78olfTgN2uDAXCrbEd/4En6eSfEIT4y1JYdSNAar8/dHwXZ PI/OxNiCAUKMQFxqwhQjf5U92AbkFIVRNjUHoc7gg03Rgn5xIYVyQfJ2pGTdpBPkgEdKfXiv6E+9 bTBFQGydmIGOnhx0HJ2FWCQSS0REFGYLOfzcaw3Js++0dyYc734ScoT6s38mO7JHmjeErN4feifC cYpYoN7UCNDtaOHRuYH6FMHrxOKIxWQIiyAH07OS5yGoZ+ttgxObvKUJ5zIbtNHWrm2Yz3n+vNPg mAn4FEl879x/o9/T6J0FV6xFmauc8xgfpUsxWal7saiSioK7/50EZ1UDuOWuO9zOEEQ6UBuCnB72 7zSpqvIDbzQAY/I9jVhufCfBFTergjoOBwASHTBCmxdxCD/vJDic+OkW1KgEg54Lfk6bpahibVZM jz1MZ4Qv6xN0uMyK6XKZ3zlwxf0FEdv54Gc2wr3dKc4BJdEqHZiGK20BKQaaq0eRg54V7xS4m7DV zjO7ovsl7gw8+RphVuPEkZ93BlyRCv9XWRZthr48jHznv7HuSDZ8T45zF55MF1ttshw+iQ4qNjyR 3ulvRW0tpCF7+eDNfRFPK3nx8AOa5FMiKP7ZOJEaxZcMVEN1k3fyG74EIK3JFrgN1vhIQwirc7HV zzv3zZs8d44mhXeyV0beqW846yttPQBas9Iasa4CHnXL4wqofZaOvDPfimO3T8DRfXBgZD+ZI2oB JHQlaOFkSsTD04tUKToMqjgrL6Z34hsXAsnwdEzTIMDfSUeyuh/nHP28097KY1Jt5Vl0h/YzR2H9 ejEsr/vW9+tVyUEF+9b3h1VH3klvPLY5OUk8gHR5AOcYyh0S07j8F4QJoAMNwukJATeuN+B5wevS uW2vGwRIgtEMSp5x0BxBUrQYDWB93jlvtta/KpCgJ+6ng/YeaKPwXTQxPkdprIttr6bbAb8qVw14 Z7zh2KaJzizyYioXObpB50HCsa1GWxZrtB0x1IZuUaGbpzfR7HXfD6TFAwl71wfN0dxV+LVz1+zP O+GtCHf6XP8T4XrJHiG98904RzLN235oN8Ls7GrbnvqfVMea+e90N65jYfz2HSxCGg3tP9x15MEP 1KOQjECwHGvhZ3MbKmErQ28bTBE1u0hCgV/ViQJldH9Cx8EEAeXt/Xknu7Fe5nNE8dPzO7Z8K5Hv XDfstU05weZWAwiRrvXJNugabtfse+090PZW/pQh/HwM4Tfc4E5GQv4RpE+TyTLjzFbCNi50LZMz +nmnujHSZglSodJy59mz5HeXujfaop93phseX/U7jAR0DtUEm6Mo0m6Z9AgqDElniQg3UzdLkstB v6pYeeSd5ublETQ/sg+2jjbKs7Oxnn2u2nNCC6CMHLABnj+p7i0ZXWpUvpPcuNUkz1GbD7rW0Dui GyfadZ93jhui0HkDJHUnodl119E7xw1zNFh+LDdASmJGkELSs3vD5eIqAe8UNyaMmZkanQY5aI4A N8HnF95rZ9eO2iTRiCVfSQq6dp4oePI7gqa+jJc7e0YclLA1MCYJ1TuH/ued4FYe6WoVazsNPZIv o3d+WzHdE69EWgzpFoN7bgc/Al5tU/QnLATGptUHmyKIMMCxgvyQc7auTAEPHLLoGKIZD/0349gU vW0wRZP9EBTGbLCdBgEIgRnOifnObeOJnX5FkIlv5FMUhdkSQM99zrvTXEfw/PLTd9qE+Bzxxu/M tuLGuQuaLTbYTqP7DqSPWM8Gok/U07OMzttBlmM9J3YbetsgYausrYH0aoOl/Se+Y1sE8dXnndXG TGB8rSIs4PpAZ95JbThCIFqVNkzsqNKVpAlGNbMtm5hC+2XIdnCK3oNsrGIeRrnewdP+KQ1npv2Q xNlJopUIdCRhmO5pNKnG8U5pu5nIlkj8hlioyFVwzs0ULTy/Rfm8M9p+1UY4SefYannedRRF2SLW tOaSbwhPnMHdiAQnbh2xvk7sdz5bkZTzBIi1+mBbDc2vNK1Ljb1WtOIg/pIJS7jCLp205HcyG6eI Ow2lLBu0jPrZYbTjaucDPu9UtpK/IEa6/zZmyXO1dyYbbwlZDbgWwMl/lpFtMS/egUSaaDMUVbOT qkeJCGMODuyH9EKDfAxwjkB08EivgJ1UdDZWv9f+ZBryTmPDoqfWFNSJfdBh1MAx5HmdOl8xuNNu 0q/KCIDgz06LQmwoHQKhvq4s/JyuKkG2oIHVCffleR3WspmjTelNzUdvCvVpyPwlOeedI4hHOrpr pNTQFe7qcglc/M5hK8Lkd3ilLx8MB7ERE/0QSdA/7xQ2Loh7GukChAJmuzstirEBwzw3gowXmvjb 3hVpNU2HijQvjLwz2G7Bf7BNDeUNvDJxsLstBBPEQkBXltbSE20ROGn2RGjuNdAhsPidwHbhaiDt cigW5UDkckgeHsHX553AxgDS+2vsyQJhNlArtlmKomx4RNL8xAuRm1gGZSLN9uDZus/VH5az2X1l q8IGr4yA8wBgKPm19fx0dPKe6FctAN1AbLohJMqBn3f62j200ZflcPaVANOgD0piZ5z7/fNOX2Pv 6bt5hCJAR5nPJikKsxUfmUkF+yKtenxU07psyAYDDk5SVNCeWaK4BDUON7hhw39XGOmQ5LdRyIWX zGwkQwLKUQZ4SFY+6va6Qe/ItJOEmy0XN7sH5Bd+qLiQP+/ENb6jpyKMFICp2cvn6J23xts/cyFV E8wHcGQbsrgzEKRmGTnvhdDHGDjCM6kQG8HB65DnVEVzhBXtjc8i9Gggv1rodBR55y7VIe113+eo UqtsbtKy5qb9KmqRsFjhhPVc5+edtcbdmr/mKKN+Q2cIm6QQOcKy/6TxrkIkaFjgn5DKJFxkQ6QQ B3HFQnonrRV3Y2Ib3gZvQqbzOYPMKYD64DqE3TZQmVxk48Cb2Or+JEl83klrF8A2ZJ07rnXu+djd lYOd8+3zzlljf84jJBJw4eXRy4XQhpE2a2xQxbcGWyFzkUr5rdl/ChoBL7fOT3o/kYiZWYzKbPCy P9RC8jSh/AYXHdbYIN1yYmQ4YANt7hrL7EK+U9awFJjOsnpogybp/AZsw6MfxMejWPs7SAKVni1o m6T3WNt1ylDt1CShmZ1skvZgWWnJz9MKSO9stVusneq0zWsOB7vE88eUrUlC/oYpwbG9zrE98Hng RrnAMokO72w11rvGW1Z78r4mXMRJa8rnnazGAKJ8Z/5Mq+f0BtI7WY2BQ2Yua9LT8BLwKts5ta3J diLJng1N+85Vu9VaUH998EkCs3shMj7h4onZIaGHhARLp9NyAfV0y2tVHnmnqjGv5aW2NEnrpv4n kJFgHToVn3emGpsGfmxjjlAV64hDbI6iijbkyGAwvISCzPBV8ZZ/bmY7XHbaxbAj7zw1q/IhzFZ1 JN3qSAJsn6cSoiRqA01plaC9IaWxi0ESKuKdpUbKAw09eW9r0BRB0U04rb7H552jRgzIr9Qf8f+8 fch3ihqe4jJK4tAyRBoOyoZZmwWSqDsbpeidoXb32haVb6+HkA1M+QlztYw6nK84RR2OUo3qmhDd sGBbqyiqZ3dqTkPFywdNEYxUZVUFr8p3ehpPs28IEpX2AKOzKYpi7SxymmMfESBdKNta80LZxDvC 5R/H2sxq+/mbbXBQBLSBKxWwoHJ34sumdiXwmiDxLXpV6jQiaPSdmsaki/HRrncw4gz7U5wiXGtR pC313htDwpmo5jtFUaDdiczuusAq/+lwdZSNPPGnWDirIy2EZhceRtIqXVerFBJIK0MJn9SiBQdX Sd7AxBvawT8SA2qqsSW9bVCqpcJWk5F3u0beKZ1FRMATVF4/LQqz3fzFaiO4J/rNRVocZhPsty5m lHm5VbNTcZPBxL4UV1FUzdYUEY5jg0VHAGJP4P2IY4O4pApIrAWcoOaHakZu5K2dFoXZK1W1Z5MP CrMLxIREiikDj/8Lx0YtMgiXgKRmBJowzM5JJkuC8iOYvH6eQ/o1lIfIrrvZ38NsQ/hDA6D7YPWj AoW21YaqIwM8fGkEoikhS+UbZcswoMcMR4pDTAmXTxcuT2xBaoo2H/8X9JgR+TkaWl1eze5RkE0z BgAUfRlR1V87rbqrAsT/lpOMItwILhicQmv6YFOEVZSqqvoQXXWUO9SFyuZPhN/dEn8qaPSonA2e 4pnjRWmt6vKnuAmzYY/ryUR6FGSn+iujnQRE3DkKg+wiHxMXBD5J+boVfyBf1RTpfdq136NytsWP hYCIWR5ARDkxQx1J+KN5wlP4Jp9EZHfYwJEIivvOarUEIPUoxpZPAFpaHEh5VybSemc/D2E8ng/I jvObS5Pxt3YA+WyWYrpjEXrN8JBJvFNh/cY0mM1Jjp0t26Moe1IEqVfKcHPwvD+jCSB1xHOIrApC PBBIJwBYVDXbl69mfKweRdmosHb4ES4NpllQYQjB0hlmC49HjNDbX1PZHxdr8lO7R5xHNI/zCXuv 1MEQeWRSb9DA7ThTkmFr+t+4kTlI4Ofgd39vJ9AqVGhrII0UdisTtFpQvoWv3y1GDhYje1TTVjN7 i/x4cm5XGBl9we0XS+n8PHg+uNrG91IaANKDTWqTFIfZ8oRPvt+MVsP9BpFr04MgQYiTFDIfWYxc 1P3X4GW2eu7pSvvXM9noiTAVATb+Bzw/VhvumUTh1h5BtKcMdIUeZTWCXaMCndhJrZHzn208H+23 9vO7gdTqhdb2sKyNAkjui3i+qgZSMo2oDvfQzKQ2owfGElIPA+0p3qzk3OeVc0cLEsAi1JqhmXzO BSKQkOv8nNR9QWnmco8ofYcviUpIrPlDAo1OzAgetJTO6VApzjZbLfiAf9FG8BgUX3q9ncj+Hmuj Dc7QiM5Mqo9UcrEqZgk8hSJmDTrJlUspirU31R0Iw7XBZiktyHkvCW+fH3pg5UzQj87a63QUXx5s FyJm8SXR9cYKm3wEORhOq5uRST3hBR4PUv/mc4SF3tBmSfXOUQTSlp70oAwc3Tvl2YvyCLB3Vk5a dbP23/lJf7Uix+BeGiy8/pBVdKZkg9VBUGQecGNIZLEhFYHHWWHfVAf3ABMJXxKESTyTVpXWn2t4 gkibTXrunFd4/F+YSHqc4spt8lrkJIXh9iQjoVUvtJ3zyb1g82QoSe1wIFo1SVFVWy3tAQlyG7wY Sde6xB47VJQK0o5JzasfsCZ/8sq3GDlIFu0RegSXLKqQ9Me7fmmwt6p5CRRZJh6PFlL+2mwnsUZr /BLWRxRvA18P8prXR5zHNhkC1GGBElsYDCZHVNaeQmpJ+Xdd5V8gtfa57RZDgIYK6WYnBRKu5+2q TPzEGjHB7RHF21kotkrcHwd1I+s0MbZzsmU8HsTb4/tAIhuOIl6aoije7rLsnHahUa61WpS0l60j aJp0w7KPsKg92R0ZkpIeVxJqjxPAICshjw1UiU0XDrZYwfn/yY31WmmMIYTGl0R0SLZF5CgMdaMf KpyiZEcxJfBAMUlhVXt/F5GgZEuepk1SCNMm4g/1Tg+4FRohStplugPHxAmnSYqq2pl5G57nwKo2 hf43Cr9cNRDaLrBAnwyk8Kd0tpBuBwkCAAPfEQBHmffDlZBDd/eNdDIpciLOx3U8HnVHynck2Yhb Sd75HzGApD6VbBbaern5P+QY1YssxdXIRxRu60A6WW3nwPx/sPOP0CjjnEMkCRFK1r0b1GkaCnjo Tfr1f1bIwHf8ST2mbpsGVZHgslPk8TY2Ho9q2unrYgNqvgFkZ1MUBdtAdEGlvVzB9tnckguePg5m a8v4/SMKtmc3ccjpg9ciz0ldIVLDvG0VwPRB9YNot3Lm+fBqAVvk60bnkehHhGpNqOqINHSOgrO9 MUnjbEc8Hy2k+nUgQSoW5XafpDDYzjJYJhSS7AgwFF0qaxRrjjB/116Lgu2la/8cuz54cpvXPMFw Updt9q0EDx470PqA1lDydUSnA3xHkNsm9kbmuIP1j058Rc0R7Gk8HgHZvdRG2i9T23bRESNEaRPP Vuq8EBJ12cSISJcR0elZdqLIEaG0pcGyCkG2HJwzeoKhjrQKe63DsYRdb7j7Qbyyod71gLSr3jbq sYGcVehyo0GltnbyEdYmT8o58HgQIM1vIDtkwytsb2yKoqI2rl7Q/B+tkZ5sr2XcrpbYTvjGahlF gbZkWNDW1cAiBMWgBhRACRJZHd0j+VJWCK41YSnbnSJICuI7ovCIMlBrJx+se3RiDWIxyKodYZzd 99dxRMV/lARtisI4m1d/lTw7j6NanXx0fvvqxxHoI5qiMM4WYbTX5oNnbCcD2G1I7/9MENwAEGdD Q2jSCpA+N7bVGEKOMM6mFhRltc4w0MpK4mjlyq2bfnDk4QOC5L+W77sfPbaU76H9d127zpL8PGo0 3BBldPl5VBapx9hrcV3bVFm2DzZLICsC7k9p9raR3KLr3+ifQLtPdrtU+0fex9d9nyVpZdZNqdpK fhf/sg2PClVIToSK56MKyS8kEnDzqM7ZLM2wst10IoF6RSntQsAis//BRhAyk70322ydn/QX3n9J 6X9dpX9E2u3sZjFo4dcNsRadSAX0lvzDUMnabCTWzhA/Ipz/lHz9dPl6yLilqWZtqXg8mKM2vhYS 4kfq29kURZE2wt2T1j6Gd1CFsbQWP7nW1Lm/s09RyIjkoY0yow+O+ysJwtB0SWodbtqwqUYQdY4w CPKh63EBtva2f8kbzpmWD5oi0FTIHmq1Fzwesdi+EzaLSL2GNKM4O7FkO5TU8kRay++1AgaeOpH5 GkbMf9AhJ0PJPtOjEHV+2lU7C9tDGvaZEmPnOxd0/0p6ktpFLYQZFraHnEco48tB1ZHSsomM71Xx eHRqe8Imfmk7Kzj5xTajODsTzpbWdOGRSnlOcx/p2+doLsNFzL/L2iyF2+DMmnVy8lnVb0xYpzIS rpD8QPmNBC13K7W3DaaIwMiGwqYNnCJIK8mSFQViPB6xRr5DSNiF93nxNTOKs2tRKXI5dGT14v2R E94nu9gGCz04smcUZ1OUF1IHxQdvRQKyUKSEAP3tYX5k4DAMxGUiQ5oMEoHaMyRDav00mo/0ZlYU Jzw6E6amf+kVjwetyPabw14oCOhzFIbZk+naLm4PbL5/TGnpHMiaNvRhbI4i6IjUDnlP2eBhNoTk muKjRrYIs5YElsUAS2Fdr2mikfi2wYHddGD34oN2WoVnALHsJ/TG41EIeXERLOzixpi3pD0j7MgY YhyV+sxRunPUHV5T0dLWHEUl7ZWlUi8yZL5kyJN5Dp7YyQRFa6Y2Ikv8DXJei2G2cdiK3jY6sTMV /RRDlmVp/4kGGy8a8K0aHo+ytfV98UPDtuXsQeQMyZBZkmPrObJn9RLb2t6L5FbRcRSK+jE8giCk D7aOcBbtLVE2gCVTo/wfXKxlUNjTJWgtAv5nVM+WXGbttfhgJTbG7yyxbT4egUfS93GEVAh/rU1R BB4BggM8vwv4b/QbEJItjSuDBNyDpiiKs3mdL1JobLjZGsivUwo/MG+VNhmETNDhgjDLuEf2IJZ9 RnF2oxlLn+RGcJtIBKvARoY4K3TN8XwEQvrWFiWWFeUKm6QozK6M5xebMCIez9y9NjKuOG1PxXps M5Qd4X22aRmt4V5rG75abESib5H3Uko7yI/G3/nca6xf4kuizUY6BCADNhiWbUDBl+nF5jtGEeQv AnsmUMvvtRUF2TTUTitf4nEuyxZSLiolMacdjmRfEXxEC2nWeQebI8iLnh8925md6eKA8wjSLFA5 gc+ASWqI47/CcjZP2UREpAZN0YmJGjd3O0kqHv8XGZJeNTjV0oVGrLCcTZPy5mYGQJJ0z2nhNGbH Ef5Sm6IQPiIR1kJHUg52ZMs9C/a86K9lRRTgHdMgHPTG5tXsvObW2/5V8S/S0ygoCqu8Cpro1pl9 Mjg8/0/JMaxyAIp7ufo1K9TJpgpSI5lQBxIK4c4YvcaR52zzSYrxI6yNTHogr0ltFrpEn6vzHM2F Nf/zM09WVVGqJXjr/EEIrW94dN4Y3xGUsymAMAr1azhof8yz0Qj+OH8tH/8vUtBw5mmPnsYKq9k8 s89P6qQRihMp66eOgoFHprf8VwjR7qxCToJHOHh4BN+E1qlV2wAcHRSKynQLg2Bto8l0eWCjK65m s3zUyNHioK3WTs6n8lHdFY//l9MoFzYOuodHKwqz52KJTeXZwX9mq9TCE8KqkIutaM1RyIZUz6ib rviD+Ct76Gaj5si5z2iwRa2EH6j3o196+7Q0F8SXBDU29mkn6z5jwuNDrPMFG0o5su+Z8fy/+iKE /CWwxG5hZEVxNqYEWIj8xJDFUtoqIjD3WspuabBC0RGma61KJ7I+OpGI0c9FR4uxs2A3bbuBQjp/ ItooPyz8mKlmIp5tRdgRbE2UR9n356B8rZ+EkmfTCbgHHg+gI+u7MjKB9C5X4GfFwtlTusfVNtsY t8QG5zfrQWaYi2ghhXG2tFgHp4rDpbFBg6XyZB4Nv3mFChIpRBOCy2CFefWIwDp8SYBApi8ydCE1 JAXa9M2tcvBNDY9HF9v4DrSB1IKXm09SyIjMREMWCmZIW2s7s2YlGmXRFRkQcpaPVojS3qzWVlVr 61OtnfCtTZs0f7h1029yws37nNcoieROM3IhR+bQ6/6l8DM6VSMGqMqGQYKbMmtCKLGtsKK9HYPE BPhEsycgu6d2yIfsCpDMmj2jku4C4/CysABpjWoqSCuuaLN+lAX5y/thHwHLxrIke0eoSA5WtAF9 R6RfUnLwaEHAwtcNLDIIiliU1NSgrBaYwqabbfAdo4b/LxH/CgRS84RtRYH2oupI28v6tNh4jvZP MJs3zigc4zVHsb4fFhDAaj54hJQG3GdYHIHyz0bAQYUfzBF6Fa3eqw0EYb5u1DzKpNQShMxBB1JJ fShjO7kyHn+fo5X80OZ8Qj8Wy19ztKNAe9BZ+/wfbqO2uvBhJTjYamx5WfNoh3RIorT6ZjDJwQ+k 8zljDtUhYdeNJXPOI8jkDPRKe77NIxlr7yjQbkT4FSmNl6s0DjTClBlrGnw8qLHV79sfOii4aX2K 3gNtVOaY1I78iLItI2ij5dlujc2lEHYso81+yGR/jYNXszN6tGSq42jqmaHU7Ko0AT7QUr/3GsX8 d4jT1jKS4Ni4gmNn06yqxH3tgccjufpvkFaB4xMmyuYoxGl38vz435L+cenO9E/JqO2wznCN6P0P nHYtd/A5GhOIRQpmnWOqc80iX4Or58LxXby/Vuiri+8IpqhL0ld8/+x6EeRDSNn3pDp4/L/ojWWi 668G0g6pkCgv5ESVELFGVncNpN5addRIc72IHaNG5JYhLP+8ivWbNrIAxQkPedY2DX0X/DLHRLgF zW9vHPFW21GgvQ3kx64IB51G5/ir6oqciB6P/yf1480OgXcgd4jRrirzL1cdaSO7LcRia4M3P8TG bY5ijDZra5IU4eAQrY3qNjWvz/yDhS/fX7JGAFYFytlLbDjE8B1RLkJxSKGPOGgZneNSbtQFFLYd S/t9X2pgMPR1W9n7b2m/Rk8hUdjoJiCnzLl9ikZ144P9N2hkkcCvwduPAHW0LH7WPpfcFiCiFG4b tNzXBWivobeNvHukzEJCBAfjHkHuSdYQaeHx/6KBBLzMF4h9R5iRJI/Mlaf3jfJwDaROQpnufTRZ NEWhst+WN6bwfi4oS/Y6qMQ4epDRpr424e5kojYU1JaoW2r2d71tRKflKQTFJhtUqM1ri4Dc8uLj /2L4M7GD1nzbF+e3wxi7Fnn2muEq0KlGPjqnEbuS4GdN2ichxt4xaKQSmVWGDw7QSufdCGqvYLVD nFXW2oC0AM+46xXRpM8wviMIHwle20VmosVkupEyDcnlQLQTj0cK2t8tWoAnO5TPbIrCYnZWYaS4 J231viyMg7p0ogBgr6YTteMQWw2jcYd7GFGBfRPmBxPfzMJIAYWLDt1zX2dMSILwO/7SQGiD0BEO ttOg66dGfx14PCLVfFPYFhQty7xTFOr6USy+lXbP653ueV2vC0sHIlY7LUKMTLZEFrn7Gi6CLbP/ KNdeVLKpoE3RywZczarzRkYs0+5Qb0QKCFM67NN12OF9M0n2bOdPxuNRNvtNhIQQJoknmiID6Lx9 KzV9K1sYEhwZdFXDjzOkXAPBkdKHwdfxUcE6ahSGTjv54KkaMMYzU7oG+L8OeMFZEVjlizVy8m2m rNfXthcO+KLsilCUBwOdmZnQgi8igeiznfkB70fS/rXdTnr0w6PTJypU90uLPchqE5VmmU6GMD+E gZS2ZOtB4qOiSiQnqvH25+AFJGizndxZRiMVAjMU0gaNAGbRaC88MpGyOk1RpI38DrxuwrQ48FvQ 3mryxVwz8/kgHeme+bMkh6L63s88hZY1k8U1ysYZR8vVRk5Se/uQuMbN/SCFGO0mgNaePviCOluw wsWB2BGRtogdyahnQ6UThdjrHprthaN5EqyWRvVnlQL5yXMYk0ZdrBORLX5AECld7XqltmeO1u3X 5hRVtgcr27MNT9xmvRgb+Qiq+J978YkKfSCJLcb54INTkCqKkAUkfJCZT1xJG1HC2BbyzZrGhf3B RVnfEmEj6Wo8KGfHwVA2JxgokmgZg89HdPZvqzq4DjTIBvs8RVF34sYz8LGsIgi33cQhp2VFyYYM xjZeVN6WMQsaaz549x/FIvCEsKA6RDE2zbQAJlkglec1XHa8MFzjt0SGGizdDqm1DHeLGHT5JtRm wy0ihTiS/Ktvi6IoHL7uRIUVbtz06O9VO6GYt+kozzzYUMjdJ5NffpSHJe5u/cjhg8Nt0j4LabEP ACWvBVgKyrcZmDbkhuBEe2UybXvhCP3HJI7Ufw02UdmAYrWnzeffJ6r+ir/rRivia+OFAXg2Wpu1 lMCwdg/o2l1lexfAZ21BRRH4JACCynI2eAU3UzSKOIAxKlQpE5GkZyrBVkaZe/lJTrUjfkuUyVU2 TGTC3lwdEcLRXaDiM9d8/p/NADwIzC9E0u5EhXok+LtPQDCLxwbbAaTnjCF0AidIzWn6REVhOP7b aJbU7YNfeewAbPYnT9Q6WAg4E4X1uin9Q8F/RVA0NuK3BAuKAK6MmIuDy5FVFCkp5Xse4vMRWPJb /AfkDEgJ3nmKQvFFt+w2LlpSav2y1xrVq5R0MzO3v0iVRDSASVqSBktXKoiQ08rdILoVrrlMtjrI dIBjXyjgtPeN4JKbIglt+mCQUsS2ijTb4PPBvqvfnogITldaT6gZArhZzIUTjxe853UiqeSEKvGF Uq9NU1jxXmrB9eyDo0oTPhQaCcC5g7vFCw8cSlRzUZxYrkxS2J7gt0SGLWS3r3EHwwOeY73oCxlp hqY2v4SS4AbbTzrm8xSaRwJ4DTGpZO6jKLgWS1tO5CzpZLjaNF9OgXsk6udklVRiJ1a92IlNN6nF nXC2SYa+3WT2C9BCye5EZv6aNNDOgX2kk5N3LtUH1Qigvc66dUtj8vkou/vmuYOnOVCf9XmKInI2 /HLr5ZpszjtPk3pEasTtcecpwpgk2iKuIRTFcK+NmcdI9ewJsm86bDSLglEySjqKB/36jMuOJP/h IMk+pZZTucupnEBssGaAOjGfDwLy7NOU7nKa93SKPSQp6EympmXBXnoC6o04ZRQK+nLN7RyaSC6D vamYUm+jaXNtjUEIBQBeZ3owTTAPOWlwgtRsvdNUmr1v1GhSqWAoihrW1S3QleBq6icH4/NRi+C7 08SGLmSpfZqiAvhiH6UIqyzZpHQtW6sVoiqkm/x0Co0ksZ5hToyGpAb9YIKWnOW4VC2Ajk5X6Y6l xrOL1qMFyN53DowkcdexMAcdKRt0nvTaKXCCO7Py+Sgo8NVEXArkktclcufQSnIJa7LdKyGt3pdX 5haRglROAuDRpinkToo0WelPwsHuugQloN2TYXHTrpSgZGupwa4uj3yzFmkn5dBNclHkdg82nLYK SeROji4rgBOobT4fNHfvPCnI7BQHv/MU6W9TkTTXXkzGHVLcXp6DZaztOqgT+K6L8SasOqGDaoPj KDeIb50S5ZCUWlP1uYoGJL2Xy7gyXFNBQeAnidOJ0WUm7oSDMd/alnVSOx/E56O203eJjiz19nWI hwzKRO4bT3lmdzUXx3cN53qdedrDOrw5dJRcSf5+amOO+bglnqmBEldnFnx+dmV38AY4Nw1qlvUC 4Kleqm+JgidClkepPigLhmkVv/LcEYvP/+sUV0GcDeZrBhiYSkI+kYr3RNL8nxLim7SgDWKxOCFx Nk+R2U2nIQJE+33QPEExobdivQEQDzvNt+Dn9gOEPHN2jwlqtfcNllOmihIpFRoUE6BFOkVbSpXP R6ouv4oqVN+5eIEc+EqaGgcCJiuqJIO+s9Or+oqQuS5XngNjSY8xu2Kn/sROIJb2swqSigUQFu2C eZ3fZm3a1T6i7rxE+C2B8v1mDpxFzMouEQgYi5q959ctfD5KWfbXcgKt+0uvNIfmkpUEr8xiv6EG qFJKeO5KNk8bx4rPUwzzlvt2Sj54F+p8SYfyuhTLJxYVxe/RIIZjR+af9StnCf0licQYONt8UCye 6TvAnTQbnw9ylvJdHj8H8/pZyAltokKLyd6tt7Iv/pSVTZ5PeSjNAzd3GYUphx6Ta2iGaKPIwatP 569IXb4k6CBCQosC+FhXFISfybHeXCH8kr8aLVUiOOQRS6SVuRFNpsrKfD4SC/zlMiks9WUN5NBm kl2D3Fj9tcYvi0+ZjV9qBLLayBOD913oMzmoND2gjW2DL6i2KzL4zuZ4Lm1TAn+j0j2AmGrjenIs 2XCHPpO67trY1QfrtrQmn93zm0w+H3GYvxVe0fNr6/GYjn0m2fxN28wlgZHr3ZfTTvbPjRzW9l1g NInlRFfgIgHX4s4lYFaiMJSMWdnPlYDO3sm+zx+Je/CET+miBwn44Lf8oavIbikRzZDdqao4Yamy prLX5gcEcWa6Sm/sI1CV5+kjBGaTuPAIIZRvKQt2QoGRgiruLqQV0Ln1mQqR3+xsnpMp+XBBO2dP DgpxnIk5xxH0rWipwMMJhYHW2nPlbXvjCE3QBLHsGpqWRkNHh0uqn6iTz0d8nV+0eMhf5KuvkEPH ya1svTX3VJqspDJxOblUclTKHoYnyIHlpJN1/z8RCtzfm74sisjHSlMC3cgtG7yIcefebvn0Fw7m KUteiTcfa4GSjgQeJTHbH21NfkBEjfe9lyw2OO9wQ6jQdrLJLhDgR8OmiI3KMp2gBizTAWJjExWC U1gfH11cgv5wCcD8hrM78TsbQT+tBRIURTsW8KDvQnl0KHPoO5mLHLk5XV00FHLkYELI4LHXxuej 9fTN2UWg3cH38WmKInK4ieKaGz5NXRBVTFPezVWoE25km6ZQQJDl8TVb8cHWUzsnEOijFMdFUL7V wcKpik4x5CiuyNKa9r4B+iILoVLvYJHBqIU1ljNNm8//yzxIJznM5uotq4Tmk4j8AVHpF6LiMrDI LbntCFEp08sqgfukq3ZMmVFM166hGOWZ+qoICv9MrCws9AQh+bMhlYgbr3Hb2TyFKJUqBYHefFCC t1LWfVXXnnw+iAx+UcA3uELSW9I8RRE5SFhIhLcrdluLhYlwWp4IZ8CWbZ5ibxxWxXO6g88THONM j7qenOxsOkLCsigP0M2sfjpJ+y2HDpTAIFJvZ/mgaTr5nXAfICzy+ehw+hYTgDbWD3pbd57eI3LI SBLHW5bjedpMborL6oWBVZg/atvFeHCC5amBq8Hx4L2llpBKAut85gyEngkaDxAjjAyAGZtyMx/F XjgCzXfS5HVKNSsGskxHFWToyWc+H1V9f+EwUCRs1/M1h0aUkBZDD/hLmqJd01dqsohYuLaZdebY iVJGFGbsdlGYwDmVc2ZUojBbbd0OeoRVvA2lxe5d827v+8cpfp5B81yDAejSWqyKqDgeeFH+r3r3 plTfFafIoRnlplQtPcasTpcdiHkuHsfzot+zfJqieHzxbJ5oNdrgy2nNDQ8+muOmivYwiXOoYtLn VlGBE8K7vXDECquky5fkg/YdeKpmtjg3n4/AmL/ohZBe2heMmWNHSrIwypeKBw0lRHfuNk/I78Zd TjE2PLHmTeoTB0dhZNiZKm8513CmTsGcBbkyNOMh8Xx5YV1NqdCUcpCkMgrlhdgnUc1otlXkhDXO Dc4PiJQXb2UF/22K/FFMzGYqZmISIT7rnamaLXyCuaZ3OWF35zMVu+XIUogQeQ6eCdO+oxBOB32K lVgyqNDw2IBegVGPQ/6rbR5YU8rKBVQX4aABSZYfAJoVUq444X/mBwQ1g/RNNAQ19wSPN4D6w5xy ffeA4Z3jih4Qli5WMpgQiFDmErhTSo4MRzj7nBx86+0FCQaWfgdSVhrGzY4e66QiH/R9HUuf/YX/ 6gfDYI4D/If4t8GyYmiiSpr8gP/CW6VPQV/PREUBOYE6aaRuyB7802u/XQIEEGIi+80mKtY+oZHX YFOcg9cMzhoqTVDoSf3FxokCZ3VBvynXefXzQF3Qt0RXHhudnU6eHFSsW4tyymQRZD4f1ci/Mzxa ec4HehgaVQ4uqLSKQ+qyUIhIhRP7iCzWNSgo2TxFgJUmEjRUgG2wBXXO7laLtD1OaHvSPXJ8EByi BlV/9riK3uyP8kv+8vLavd9BEfncorgB1zP4fKTu8e10jnyt52sNkwOrSrXrCai7/oLUnDAxxrVt 37WavWIQe1XyKKePvQ1+QKHfNRB80M6rjsxpquiWbyTMMGvwfbe2v3Cw79QQVt2AgwHq9jmhiH/K q/P5YNvNXwUDsGFre0KDKCLfs7jUgAisDd8mnNgmBMacPVxIL8dulWKwyoixfxkxtkRlJjFY4ePe pOsNwWmQf86lVa79CZCmeuEIeEgkXdYxlR1f38AY45HYzmnI54NIM/ev9XRWF0KM+YSaYZGcFig5 MdHlvsuMAlDTLIuyp4Vu1cB0M3UJHSvVw8vSZ8hiA7KZcCIdCGTx/j8H3YA3LIzOs/XFGoRL921O dX/jKDigrPfmlcfBbrxNLRLAf5HjBaaV+ctxQEzWAgGEm7vErpWbEeZXsEnon+x05rhNYSwQhQaB bSUkMejqtdMdDInRT0LS0EdA+QSQRJMEYyieaYhYvGQwyK3PgW2lpy7UQMTQTKEJnHypHAtiEPhW fm88hpWb7rT3gAp9KydlhxslTFSpq91TPEVB2nid09T1UUEBipH20jStr2k6GSQgGNRf7qS1UBWs kioJ+ewNkwBleEMBVGBcqUgRADH2XDgoJJ/Dz6dz2PH54Lq78oxcehvcn0tozaF1ZSWmv7cHsDKt CIxM2MqcgNPdkDzwrrwmqIJBcbDz6ayjlROr1qstwA4p5QQzdMBFNtGkDhNTnS60riQS47wNab+N K5R/GtwYJ/EmZ1UtfkCkjHJ7CckO8gZalk9UFJFXqQ/v5PJ61kZn7oLptkrdugCo2L9S2rqTEfly 8gkqdQkkYsIjUCE/+SIJiTjioYsyUVN8jHW6ve8fvSlY6hQfLBVmDYr3HQBQoYHlF5kM04RGfLta BDkwsDRP3XPtj+k94bxdHmV1YyRQfcR7wn84WJK50WWJ2p1TMU7khQrtJDwTBmLMghCI4ySG8VtG Fu3xU9v2wn9BDHYlFIOD9h06FQymz5tWPh+ZNHgqrFipwbPuKa2ELpaVxq17OHXj/HN44lKepvAG at8mKgaQk0QuhiIHnyjIBTSw+EFxwY1HSYJGYj7c6vMYl1QmR/QcGlk2IVgLBRsrlPKkRzVAoOOf iYifH/B+kK/dv1bUIPJwPhsvtthJP9fOgk3hPZzjMoupOEA/fntTODCz1NXJIl3xwU6ok/MWgMiV CqMpL9IUqsE78dIDeMJ2HpXkcmBnma1oUMV35aDEBQ5yDKfqOUX4fASC+u64wAH2zHS+mUvoaDkt 1HSpXRhM2NYrc19Ti4Zyv01UhFqphPWszmIUB0dGdwjJzCn5phNoqt2QAUbruCcBcHatXXGBAk9L ufkB/aRSeXZPS7Bxq+6rDSpQYGqJXtwvhzQYDMx+UXWf1/ipIhGg0B7dD7ieUjNL4lLFD+N6KjOZ 98dr9FRds3k2bjsODtA88dPorO4uKTnRR0XSjdQC2+khTCF6eo2dKiSiWHhC5G+Dpuj8DGVKTW7h 6ZcJqpDs0ULaKqnU/DNoSKgTMZqeNVVFGQy+DfNrCUsXBRgBARpqWkXB9PROZUs57Mwvh50Ck4sq eSsoCY3K2nminlemy15N7tawdCq9zs+JLRvdvguVrTlYWRylv8WgaeHpt/lBLNG/5ofNqEZtK3zl a8BUM0unmZ46tnxyvdKfJQ1TJtwdIHgun9dwqRqkYCc2yjVYVAkqz0Lqy0ovFN9k6AT6VWGeuC8M ehIt/hosVeB4yNIHktgGK/POamXec11+XiMlTK65xlgcd35Odp81Pa9hUjXzq/P+W/hLGKI4PSPv Wq60Rfe6wGuQVMXYA1JVYPGabp8OJ9BA9QpH0DgHo3guBac3q3bAl1jQTWmEz2uIVBHisFtQhJ7L oOVbb/wE0zyBzrvnz2uEVMVH0vxoL/GH8fl5jY/w0OCRw9/NSuDFkM9VcEgBCIgLp2FstL2YavQm ye9WLiIFagckguIu67C1huAnyrqg2QN70sHY0PbaVNd9jY1w/GBmoMuTfDC8XFliy5yzYX1eIyM8 XZbNz9ZJ9UPxIs3Pa1hUdfMgCbmFb1ZZTDa27Oq4Adi7yQk1mJ9J3i8ULHx4UAMnBOoUh6N6Y0cP YUIW/ef8FghUr31lIS/k8xoSnZc9QTqu+EZBSw6an0rVPm6aVT6v8RCWgkFQtH6A+x2J2HnMz2s0 hDM9D0HkXPOjjGwkOnjTJ09DenajmHB+iARXcXLd4iS6ZpDjRoEMADlS69gHh2MGwIDneP7aYEzX XiMhbDCcy5NustCLBWptWSg02AQ6G7b2z2sgVJ8muCYIah29Zz+fX6MgnM+oM6ZZjQmGSq7rDiPN Nfnqc5q6le5rDITzmROE0ocPtoAmtNC6aJnndmf3lo3dCZFg0jJRGbGomsTx1xCoyhmzk2/mg4pt J1KXOCPKuJ/XAIhvmL/mB1nASfl9Ab0WJLHBmoQHzJsaN1lvTuQRtV4HUDPRmNdypG3vibO4+3DL kSfSWZPO8HNXiFl1mQ0Asov7ixUTQeIofPR5LUZifthVykleuqlbP3fBOJzqKCcdwdPv89PX/rrA WOzKTGEpDRHMTzbgkul7ALfr2ky1PgivQWUZ6liE8Q8P6GVV2yc8PBFPGnmBfbLWgKb6pvEJQMFy UwV30XFwdGJ6LUFWFe/76J2RNAcdQH2kWYsXjV4LkLyLmq8fShScZTzgJm8BYhRA4zzACjINb2rq jLuCuq8gaUSKWhhNEeNiECt98Ds+nZscOBUyC+EMB4Erlv5/FslDo9wOCWwS+brRHmMFBE1/G7SG AGExqY8Bnmq0yeb62mSoJjT+L81RFEWnxFNoWx4P2btkuK68CDoyTcaLCAjDaBFSJDewyiPNdJZj wl7TNoMIBKpq50SB0AdglmfSprdviZz6vLPlqgN0N8XQNFgTCYqxok5UPh4E0jl9zRF8fM468kjo nStXzQYcZideekQ64OtIGAGuI8QCBsIJ5mjTPmAl2Xol07kDtgQUrbSnPM/OsdRYxyYRqEMqoz++ cEB78G3fp4iiXAMG5z4Y8g0/IgUZGqhN78G0bOVtinhuLSBwbjT9TpT7CofWhVEKi0MADnsEvO5b Ko43jeJpuKLR6bT54AhmZGQNgBxq35yEftDUY4CtxibqYAfOrrOk133fa33LPC/fQfkYUHpZanoA m4YR9fCIiFhnVIigcGBz9B5So06YmLF2DxkHObTGCLdAm2aet4QdzRGAttAvbz5YSgZ047n4VZg9 38caCnvf0LDHvqN9TpPRQNXbRscRIYFLHsM0L9BCOrf/YKH/XHQoowVzJG6I7zVIvZ8goXtU9E6R 458mRWaLEIGK3/UeSDVZufEksI6TeA+sXddjSyJ/O3eNQK400dQhBeUkUasbzRkdfOiHiuZsZdls rxtkHpTRL3Su0GC3Ua+io9Aa7p0fdx5vaXzd/JmCZEBC2ySFwfWi9Ql/QfUg6/JSY0ZhWZPUe/Oa bBRdS7pycSVp8NrQiRdHl5v3aK12qg0v2sPDMp4Bxz2S8tTrvk8Sm9Bjq47GQSspZfHZz8LI+/NO jsNKMiXd/WP9gKk3sEmKAmx5ME/v8VOA0KV0WpnJO//lFq6jCFuYSbjA+nAnaYJFSMvTExghKQHS ZiPAGWwLoyhqJB2INvJL3idpsD17Ivbpg/ogqI4TBFInoG5RjN3Xdw4Cjh7OAZ+j9yA7CRB48qTm /sKtrUsgZBFYpVhe0yyiRVE2Tphzu9PXQ4PtNsrjr021IdCb0yYqZ1BpUH5x6VasBZt8j7JlyUXr 3O7DReGKMlI7NHSiMHvt/XVqZ/xqwGXYFEVxdhcpbhqelJ7wTs4Bvs7qaOB22BSFgbao32Dx2GCn 9gkM0XEuOpDmSWAFfIeUQEcyQYV4L+pPvW00RaDkNIgW2aDzKKPSyK8jKiKKtAU6u6sIseu8O+2d DlcNEpGWLDztn9ZyRHvK3KpAQRpWaowDbSax5n02563nAwy5QbMkdATEE5It8NP/oCfys5KnInkD JPZ5J8NhzbNzvUubPmij7a77GJz8/Hnnwv1K1sh/Pr/iABDRpiiKsy1boyiJ4iOl+IyPVnPvvMpU B3f/OxGO65HMyvwMnq5BI3YXgpA32gZAT0OuaqDbATRKw5VmhxELju9EONbzqZmz2XM8Nwr+W0xG dgFTGmsDKifvRDjea56MFJVE8Cvdy/+dCIfVy6IRIDx2r/Vi/gIoqlmp7Ww//GVaSO+RtmhXUzwL G2yW0JEFV5dR5B6Q+yTtG0DoBeogdrVHkYOeFe80uCrKEUIrgt0bPK3Fn0FNIitEOnHk550Fh5f8 lbIVsAeooqlJCkNtGsOWaY7UcD6f914bZq1T9q7d+ozvFDhL/qB/uoYP3j07ZxHk29hmhDZFkTEs rrCNA6lRf8lwNVQ4eSfAYeWTJMheqA3W+qiu53WSt887/60+VpVWWytceXe7RaE2/CKJPXJ/4SXz EwLd8+wOdAekT9stLF9L27uU6sPFRm74mk7ZMUC1HL3ICWQIOAvLPAZVnpUZ0zv5jduN2NGR7mCY P4RbbA+NND/v1Lf6qDJbgRb9of3MUVjBBqgP5/P28shotnhOPlK7F9hws2uOohK2ABBjMbvl4BVI cATRpeCRBEfHIknGsyU2+CCA9OIG1LG99LpBfJQbmYGr+KA5Oj/1lFfl+cTPO++tSszn62YDYns3 j4/eaW9e+j5n97y+Z7tOh7ezJapjO7ty9TvrjXkNHVcSdfQ5eDZysnxsOR5I8MNC/xOi8+e4Owun I052qF9mvPF5Z71Zqw79xdp9sDmCF5IO8LQ/76Q3Hr+/6tjnzwN/yucojLNL+y10RsKeXW11eCeE vDCdR+9xNtYx52i3O1iAVE/kUOmajGQkw5Ya04hc9xyx539tkgOUsJWht42miH5VshsaJBhxg0BA mhJIBP993glv1mr6Sv2hoZ/b3WthMXv/73lUh13/MNccdh7t6eWRd7obK804s3ehWScHW0cTKLhu apUDnQDWdQtECjpFj8dFr+VBEPI73a0KodGZKPugEAnZknyCzvnyeWe78fHv1B9hYQPe0+YoCrTp ywpKjXPditQEqXA2rzgOtqDN0XugnS3QzlCPs8ED7RNEnv9HzWGYS8Dwnh1ralfDl6k+SrrJ3jZY R5RUmOCW2aBrDe5z6ojsNT7vPDcEoTenJbgPv1G+Lf13mhvCqi5d5u6Y/5QdYlSTdh094Yf39N9Z btWw7MA3TB98ioAnO88n6nMsVEfIcmOFo9Kp+tp5UgPy805yY1uM2tWbW42D0rUG1C5JbufM/7xz 3OpjMegZLdKQ5KvoneJWTa3xHEHtyi6Sraspoj6NimzT+47vDLdqAgHnjmh30BSdK+ucR3WInTXY xmYdLgGrjoSfCPduLJuit42miCd1kvGJF4QhiJNpPXo+5hyY7/S2ajpJdxUV+tQmDyDf2W1YRaxn 52GVaxB9zXqRgJ0qYDbYs0ZSfie3VXP12AlVeRs86YcY4paAJywUCwzdKLOA121s0D7Ax6G3jdI1 ltYaM1oOlvTDyYax0bklP+/Etmq6rpwR1lAQijTq6HOKohibYOuceE1DqCttWt0Tuw79G5siCsNp it5jbAnPob2i8si6On0ZR/W5lPuUY0VqmRFCoxdOozxfuocRC5+fd1JbvQ6D5FhNeP79iF51Toqt hYsWw+ed01YfxKNNEkrZ+TZo3ylt/GWmYFd+YM9uuIdSKDNvamasFuLAfme0MUfA7BRUBm3wrQaP JN725+JaWJOF8wip6jMpDSZtVhjpZCa/09mqG5/QrcQGLaPeKSqIM3iUzzuZDaHJ/oaoEQsuK0/O 0HuILbsSYPvrpW+zk8cebdIMsUe7/dp/p7IxVUT+AXNWHzw0OqnNSaXod4ogCSq52Gnob1boTACY 5k2RYW8bdI5gCHgOalOYcO72uW1qknfoybw/7zw2LIf0nc0iA2r9RtjvNDa2e6gEIHdBKcOX5HB1 Hd0080rJ6H7vLLbqsH654mlwoEiGRq5Zwm507LDTJkx1fkB3/wE0+9Iiu73uHyVIwA+mDwaEGHpZ ChZ/3klsjBoulIawpAwRzFuDfCex4cRGqJMk8szwcaqpTaxIqnYyQenP6iLvHDY/jhZrgdMbgpOl bBAFqTsPKeEz2+g4cpIQIfdEXfXroENk8TuFDdtZRvCFraPzsUV9+zNLuGoYY59U8PNOYatmXfq0 ac/aG4gKbZKiGLsRf112dkDNHrfEtmtxQAQcmm2Swlo2TTz30Eoaz0oiuwxXPkXeGlDm5EOi0w9l F9Q78w0gUfr6vPPXeCAxfdhM/RsaLQJMw2SI4fkP+F6fd/4aL7ZvVBbsp3rbd5KiIJuG4aDZOSq0 zds5gkK5NUXQvbJJiqrZ0rBZyKRtuJN0/meUqYS2nQ2ufj/AxRty/wWHqRePyF17p65x4ZO6NpTQ Dj+1T8bdpNMJN4zPO3ON79i+Tu0KTM2+BbZ34hoXUvm5ktQEIeXrfkKKoFQVCRcqhD7GUbayfTKN OHhh5LwLXU+EvIaMC13P4DexcDEUOecuVSGXXvd9jmCCBPqEiLWNYE9UIk8g0LXZcp2fd9oawaXr a44y0FIjVU9F3mlrBEVQSkJ0K0ZIioXwjjC/Of8ETburColJiuLsSeDIlFTgvFKBVHwFLIILaZwo g2wTuMac9URRxMw0RUV/6gh93llrt1Q7IcZpgwGQylJ+CLLA5520ZpWJL4gx3Dx6uQspDLR3fSq1 lDnvRfr4ZyFVdktoU93J+e/8pPcTqVEzCZeXD45Rn+Vc+UAx4+KBoTRNYVFu+8ECJec/X5VltiDf OWvVKdpjEjrCQZMEsNXSj7L5eFRi+85GILXSwIK0SXoPtUHQ5m6jbx35/opuOEknrVar7ey2Wq2c /U5XuyitLeTIvsgR+nbgU+UMs3C/yWMQVaNFRUJEzi6xTKLDO12NFUGLjrIPmiPUTIX0WyfSfmer EXb+qzQCWIYMmThHYTmbWH4Ke1tSW12krFKRzpNab2W/c9VuUptUGklPaQSSgyfTKlKVgrx55n5E ZxLd5PN5IOlYVqvayDtVrcr6FgHR2j5Y4g+NKnGIW/u8M9Wwjm42wusf7f580TXvPLVqTvJpZQ8k T6w6i2MiejGjuJ1hkaA5CmPtvEUznj7cMuTJ/bd6R3DQbZ0QkwYRF/Jl+rwAJEEi3klqnCIhjvf0 QVN0pqs2IbL3+LxT1Ni3/66NIBuqc90pCmEjlC1NavE3JbjbIqS0erEpqsNj7XeCGigXoDIAEJp9 cE7IOnFPwWdVQIw3pLrZFIAmPdF7+wm1k71twJlJvNc2q0gcNEXnqkxT/sutft7ZafURTtQUITmh Na1NURRpU5j8ZGzz9mn79V/edC9Twb8sy2nfqWnVjHN3IQBLw1MbObdOIme90ohOfGTqXIHDt2hV qcOIkNF3ZhpB9gT5VTHTqjPTALtPLCK2hlstirN/Q7QA/OygWdsURXE2xclzv7x+CNsZThQaRp72 D0A5WRtpMTK7Mpnv3QeHscGuCsL4LELihJvSuoEgfelgrpLYpwpb0tsGF38iJa1SuY2Dwr7zMwhj U1vOnxZhRoBYe6aIsgL9piItjLLZNuryOCPCJntfNgNm4KnIrYy0qJSdilIRUrA5eLmfkiWJEWRH 0SgzRGiNtD0A7ku6fWw7r6MoG2U86Aah4aPB0JAVcktcRmV8WgQaafW7bQSmTqf0rfgzUZTNZQSg n6og8LQdVx6CupaUh9i1Wbm/v0fZmCOU2EC29sGqR8RTwDcNa4Wc0CWqH8Lbipr7vEG2HAN6SHCk 1WlSMzvl5LgaFDkFPD4JCR4PGCK3fESEP8iNdflO61GMLfnk+dgvt+1gmlL3cDfPXqYtox6BRga6 2BviAz7YFDX8D1RBcBgtWJ4JDIDEq+BXoKG6p/1U0OhRMRsBAlJZFrM5ENRI2WViRmo9iUiPYuxU PcYmcmISDeElth7G2IVdo9K3h0YXDcFulbVEUBnTndajYnY3DCSBNRw8D6FoebXOGspH7PQv5IkL 3ji5othmlVqCj3oUYoNrDFY6KyRVCgpKRJCuKetvBc8HXMfbWqNqDXroVBKwWQrZjp3ItVKMS3z+ pFQd5yeLWGQiyBAUZPcoyLZsLcurOq8n7e8Znts0N6NnEGVJz/l5zlYawpV96WpGx+pRkI3SKoig lEcEcF1hToVXIcP63jrfMTi0kx/aOuGhjJi2p7Q9ojwOihMOpxmdf65qjNmMv86qbG0m46X3GDTC 47qlO3iUfbbb8u4aCubyisugiOPC+2F46pd/t9f9o9k/E3XHzgAslujBaJUzwT1R2sTzwSyN72P7 5A4wqvZ6bQ/DbCpwb6pYqV57eY/AHDk+e9dmcMgeEh+535SwanBEBIDlwJlRVxoQQloLEV1N9UWQ de+ZROXW/h5nJ0/XuuSSVSPhfmsdaxTVz3OU4vlov31Da9A+avXCantU1IbMI+D9SML/T++L3Jga UUAdsDgCRBu0wXhwx0VtsrII+NfgiX8hW2Ghw7YrjPdoy9FQDd6IBYoKJyazOfW6wcGdNEnNtFvr j2nVjwIDTfyV0IHDBwRHd/8+lYD4BCLXZ+k91oYpLHmhU6ZA+Gdj3ahyloDgkMomGJCVSykCjsip C+w1HwykNXfbrUjhoKOHt7tAWtBqoV4AZQ7MmZIimz2qaleS94Gs9EHgGnjuCjda88Tj/yXzp21j uryaHgG0O1bGOYhMmwY9ZlayN4EjbrwIM2VzYu4RcKTTQGGJh73Mqg7BNpEj0DGmEQ74guTVTCxO OOPwIvWDG6lhxZdEYRLnqDPo3l7LQ/+3LyGsT5yPxyNAZP8KkyDP80PLHpukMNzu5D+u7Sh2AG2t hFRGt/Y/2gFmM9GjojYb2mvRu0WDI/0TzlTEXCghtU3hK3BpK3hkgK2ufGuRhPngSwLyEesiE6vS Bk1SWtROYlw28Xi0kG53hMwadLjRJnVCdhRwTzrjVfH7eHJ3g/2DxmY6SGjXejQ5orI2T+6zA/rw wfK2jLom2kPsaZ8DyEwWGhPvKhs/cUZMcntEAXfmUQ1ZAB8MgoRPMyezjMeDgPueSFVegwDY1Eta jyJuqD1cAPJ8BFsXc1uzmoDK2LJC24ir2owmm+266UWks45qS8xa0R7pqFhRVQR/8IAtdW7VtY4K e4r4kr/okOdtWGhDzM6CPwL8VHgmDWS3Iy5r/2rZYvOu7hCbEaO0kZaU/AD+9o2TdtsmXrfZrtQk RWVtiEdMSGo3DmwyDyz/kU9KUhNpWADmt0XuzQa3v3b2kG4LCdpjA98R4EZ5q23J2W4JsbPNhuad zN5PLDmionZuv7C1hK1ciM2I4u1G38CU2lUXeXwDU69KedmVNPzxiOJtKjGfhxdLJSKTDxYjzyVW 9yKmr8P+ocnHGiaUJ3qC4m699//5moHv+IN5DDmg5YNV2jptF1CvHRuPR/oQ3/Vayf9P72mPKNru ZETUWd3pXJ6zDCRnqi7ZfhIqgx+PKNoWW+TMcPXBITaYo7KkhwwihKw8K9DsjaJD86HVYob5un/2 tDs7kQvZgO4zkE43MY1AyeP5YB1NrwBIRbnjR7pndhRtE3YC59r6c6WyhudtMu9cVK+dfh5F0baM gVaiBSeHiz+umdKi7LKNs/Oy2JAoucMVrjNbEUSbJP8RFbWn4kgQvGyw/lE/95rqZ2Pi8Qhjk76j SKS27ZmiEKK9SIcYzQsApeXudIg1ltMhWrWEZEQQbfLSzwLq0wefoll2o2kwttoqc7JD0ADHbKxP l6sWPVvV20ZSPoDUVqgn2KBSWzt3HE+jc34OPB6sonTvfkVTKPzPexyF+JEs8+Dh8ONJJywRtOpy ghZYebaMoqr2FIcNLFCWbPEWRPBDLQAq9hTLAmqxccE1LJlmUMo7R+Ar4Dv+iI9mITZSg7WPzo0z FI+Vgsf/JXdEPCJF/x+e34gi7SEqpOAQOpouXXTUayFR0I3XHIWRttQi1IecD4MdNpKgR1Re/Tip adc9yT+fdLmDJoLvNQaRI460qXXUeSwpcUpC+J9jjkpfP8Dv4gOC9L/6xcZlhy4bis02S2GoLUQb 6WM6kKTkywNJdt2LPLZmhaQRVrbleMe0VYPNEhD84yxG6UJB0JD5yMAPNNHCKex3qfpP4DW+JOrW YnpYjsYADIX2DcSVuJZgTY3ng0malzXCouRJw9jXMGmfsLatclsD2oti2oVxB/P/QUUzIkgSEk8m JPNP7T563Pngd1tKBIuyRYISySJoqXWgQQBLGuMWkkSrnSGARIojtU0fhGnbcyZz5SwVjwdzdAEk i4uOYhWes80o0qZMbUJfz9r+Y2Zr+2cerJL5H4Pt2s5PCtD+YkSkWnzwuu3JXuG7yinqE6qimKKE 82WC6wRv2AuwXXrbaLfhSlub1VsONkWoTrBDco48PB4dSd9o/yFbTz+RZhRnU5g3D2KGFWfLW4MK ftQbVC8yORtyxmxIsteaCOzti8DezhUJbx7CRxqaGEUaY+fXBEyypCetZQaPLwn2GiE2uAR8MBJb z6uYD0LF4/+F6IcbAx/icxQF2rQZAw/iktimy0XAf8R5teV2/WdY2B4q1oqg1R6C1sR7p0IV3wZO shuVAABE3B74WW5YuvS2wRRRgI3ABBuSKrbnyijDgg08Hulktl+5CNp1F4Q8o0AbVSL4td2K7aJm oC42YvZ5seEP1ZE9o0B7NJNiqz7YFCEixtFDXCTM+/aQwd35TwZ5PeRCmgwSgdoz5EIyiByL9GwO 2mptQcXjhw3giseDZuRvgA3xg9nz/hlWtXG6nCRtOXhkFy8b5V0MdntCYrSMNUcReEQ6dbsI8lcc MQZYZINiL3l+wPxY3l8QWox01tK6dtOEI/FtgwNbViPq/nPQToNaKe+3cykPPB5J/HxP0aSCya1p zwg8QoEJlOS6T5GYWvQbScU1R1rlTsMURTVtdKOhT89wm4OdRtDOhgEgWSNIWsEwAMETXASYMy/G 2cZgK3rb6MBGoxY3iQaz3awbsH1ZjraGxyPJkfF1p4Ew3KAwYVMUMiEz6Vl93wobtZo4RYsZkEJI lf0TPymYoiIse7uDraLzyyIupW7fuc4Q02CnVdXc4XaYLj1rDXvboMm2xF5nINnWvBU2uL4wcj63 KR6PUFq/6tkI8wny0RRF4BFNEYQn/U6jyINkM1tyelYvXmCbUZRNC+Rz/9bpw83WYGRI4w/Aks/9 Qwp3gcjsoHsTgAZezyaSfUZRdktS0cok+zH7kvIqEGU8cU/2XPH8v0BIBNfid5lXcGyGTMhOj8A6 /VJLezmSfZa8rHoE8KpttVByhA1/SAP64I1IeCYXWv6em2yef1WFjyB/ACyf63OrgazF1w322hAn og8fHMu2Gk/cdpIZPP6v+NF5I70nn6MVhdh5cY76Y380ivUhc63bKREL2pNcSCuCj+hWA8zaB7/V gD/enTX/k6yfRxE4npkD9TNPGsy6noYI/iusZksmKrftgxH2UlY1u51bE48HVMhfhFpgicyBhV8a FrNJqIXxrE3RzNsv/qpt16nJ1qxOu0L4iDRYkyD/qVz9w/MpFVBmtkXwp7QuBXFc4vjxwQByENK0 t43Ax9TrR0kawzkAVV1tsCbs8pSuBc//FzAbKjS9XOmaFatkc46oJaTzaKVLF1W4jTkCm97mKISP LKLYRmZlhNSTn8b4EYoTO1EH8kwHyr/kQm4KkJ2/Z+X9xEZn4eM7glr25nHUaOjDQdtjno9l/Ahf Uzz+X4SgQc1vj5bGCrmQlW3afXW0CtEFMmSrXmuD3ojFRisEaJORjrKED35kp3zu+0UuJPB+lTKa C643WOkVpiKXxJbsbf+iQmZA5G2w4hEEKKQ0uise/9dhxA4k1c6w+m2OQrVsxo9TlcdBXV+fIwQB 1hI5F3I3MfoVUSFVFlmFknRLbCnFjwNqtV5gA8KfSpuAOZ9MCfGKKCNTGpFTrxtU2EjJXpO40QW9 dlHOgY5X3RN0bTwfNUV8r2HRAVs7ertHdhRkpywoRHPLlc3rQU4iz15Lq1s+u0LBEXTxT3qa7mD9 /v/H2HdlOZMzx75zLX104I32v7CLiMhEsXUr+5sXYTT/FFkNwqQJA8IApHewWsCjYfcX0cD5wSGK dM7Ock01CUrDl0T4GgqOyDarXdusE3LVyii7jj7weIAcWd9dEVSpCTi1OQoh2okhZJvZNtvY1xsD njvWgCyA62ghhVG2zHuqtEbrozVaIapZGnXp5/kbJilcc6MFMOFGjivDS0e0r8aXRCoImCO0NDUY 9wgxPLF3Z/emhseDOUo355cP2VlIQErbJEVxdpVMFA4c86rLzP83uyKGIQEGepgF2wpB2l1oNmqO TtfclUwUypCYCCj9IHljqRbhwaICk9R9BByZQ68bqftwmxE7Su2yH4MgjSL9joH62grr2fubxNZO 8DHWhSCvkA1Jf4NVq2uy7Xzjoyl5Nmqy9W4dyBUDR6jEClULG7xQC22IRHAI3SEBF+IcofANZQ2g rK1LW6AoxtcNwP5kT+Aa8EEpLfkXwtcOvmOkwJ6+5whNOtQxbY5iMiRh2s1VWXK+en7lpHvuKQa5 UJujWEOborVDczSeOWrnqN5nK7Dkvxsgf5yjhgQPXOPc6r3awLXl60YR0pQia/NBBxIE9ZspY1Y8 Hq2jm4uQPQHRpqc0sqNAG/1ceIterdHauhsdABZpGVvuntTuqJY9xPCbvfvgVxusCGul7xogpUOq tQmttUFvkHyNROSsvaNAuxHoV+W3wkHrqM3deXtDER2PBxW22xQxFeATaNV7IO1YRZsOELN4iS3v 5TJRdRvgpiYqj0mLPTajoThmZ4ORgy0kiI2xMY6bDeoKdBOhXzT7J+eFU783G8X8dwjUrhY/Zh9U YjvLsKuMuPbA40E2cjP/pjwPDQI/kHYI1B4MI/vdbJ2qMyIfabOhfgRctc3Rn5H2ydRy9cEL/hXC 4+jNQ5JtNRORrlRpgiPELN5eKzTWxXdEJbbE5mNZPihhyycd4VV60p6Nx/9FhZTlE4M2j7R3SIVk 2yghZzLaSPH+dcYB66gRUBc0RTFqRIZYufjgl/+q8GbPMs3oA0rK2Gqo2g20MiBufvtGvNd2FGrv SoLWyNUHnUdYtwRhnGSE7xhUakv+P0ntCVI80t4hSLsXtWmrcyLmVYlaa1/VOiqEcI5iKqTcMgXU cn4ZEVoL7CYq1g6UYWD/iYI/iqSQOCn5IiJIW8V3RNmIsfu7D1pG0KuVwCM4bDsW9vtVzEYTatW7 02JrGoZH/IvEYVvTnSESNfOlOrKWO0OEoBEh/KDdbcPtPjaI1wgRcVLZ1SiRDEVnpF3ouK+L0F5D bxt599ATYrB71IchnAtyy2Kw2rTw+L/AR4Vp/1KNz6YoAo0kctjOBzsTsrbs+CxpzoughUNWUxTq +okvM4hB4vAgRk92DeKNJJDW5Cqq2Brn7j35CI011MXuXW/7F1kU5vA+qFILESR26s+Pwcf/xfBX gS27kSinKAyyKzEj9DkVP2ts64OgLibyEdr0yVSidowZkXYNq2ccnE8LWwmapMNAbJ69RZWbhtix nZvzZ9eroEkPAXxHED/WJjLE8sE22gnAuArOx2w8HlxptyViNSfEj1482mExu0gdIruQViXOk/ys qS4ABZCgWqop+jvGpu+YDV7vR+ZG1yNURkCnNZUoaFhllpyuMSZ76fiOPzx8wIGYPtgUJWpNImyu A49HpJpfrGwUTctFHu0oxO6VZ7QMVUkWLdnOonP1zHsWlRsZRXiRqblR9LjnIzIKgZlzrdFJtUFQ q7GxRD1emNP+rDpvYDTsbYPosco7I20fdKPVk7axPwJeKh6P0tnLO0JWlyDpsh6bmijClkzEWW/t x/RGZImdiBYp29AiZbNB2/VRf3r5QP3OBpskhL2wtsA62pglULSh6Hs+aqGXVhI4Z1PW68tfOOCL 0s2H7VgMqKwzMgYtQiTkE8JMfkB0aPvVz1rTCWfGYwglcmXcPBJChWSIyc4YMSNJEzVY889WZcsp FvejVi1uchtsohIazbkwYpvDGLBIVmB6hDo8uGBX4odxNr4lgkSQfFxG9YHfkjokHNXDn5nPRzT/ X3LswGfv/Syo91Ab4pJEH+cvpH/Kt6hdqkNrQTCT80EKEdrsY58ztPvg83SSDKBmEFCzfQRQzJxU VF2YooxK7DUPzfbCf+EiVsoS06TFBM/hATdgo6ctfkAQKN0FRWU0yFhRas1nKqptIyVFTn5Bf7Nz GUk0Yjh7tKH5bTMV+kCyjXQOuu6Dc5BwFcBBDJkbznZki5RoyT+LjJI0LuqPzkL8lggbyYBSQVO7 VhqTbRxaIKD1j+cj0t83xha997Yeq9UURd1gO6HMZtRadJW2i7RMkbUn27Z5+s6LCtxDJlqC0M75 ZLgnRWfjRFik8wdRefT87tCvB6s8E8mtchJjUX7LX24aFPS3QSc5MGE81k9Wn/n8v5ITekUgEoUH 7J2osMbdpO7bna9FUS6d5eea0gl/br5GV9quj/rDLmIRUmuD9yVBrGpDmj9n0lrmRG1AyhbNLIEC 8dpk8heOWG3M3mSIxMEm6gQDhKWfs2Pz+cBS61dYgDIzIOR3nsIAPNNzXYjkIYNxNx5pwgHAVaNC RM0WVBSBs6K24D7vgy2oE3LDa50BEnjIiR4l/Fc/G74+mW7oOspZ1+S3/MWRWJ1pynIiJmSj6ZAB TGnR8/+FSAp+BPFfPk+hHkkVqG14bNCkYcuNR1kHxgaAXPk8xdJ/PMMBW7PhXnl1Vma3KC2d1GRI 7R+R+qb0D9X+FUFxDfNbIi4p+7dAXXLo6gic+Dw1/jRw7OTzkXb0XU9Fy/0sqP0sqDAWX01okqsD MLxMWfa+YMlBjXOZ/UWyJGWbtvb0wfOVc+VlXoIgAZ45m4RwQXr5XFioxzXPVzIOL71vFBpUQpLn 9kE1OLiksEDUzu3H54N9dw9yyrsi5nxMWXXEvd+0ZEuI7seCXMrGBDhZTzIh8hNkrO7TFGNLhhbS HW7me34GorYBcz83HppVU7IJo9AEbbk0Scm12AsHRaYiFaCdfDA44AkNeNfXwVAzdLT5lfyiK9sf b80cekfOLQjOMAWXswNmsrwl56ECHdon188uMI9EYKAiipDcLh2C3K6jKc01A577iZq4Nakv0ApJ dgDNmL8mDbRz4B6Jnhgl23EO2KAaQaMvJdbTWft8PkrvHPFGZjCImgMuqz5RUUzesnRth/v8tnQn arl5KzVKpk9UhDJJTbTSdAdN1PkjCgj7NGo9h3rKougMCnHCR7f22x+QG0mOHSRp+Vc3i5YclAWf gFZVXhSK+XwQkv/ySNB6mv1OUxiSZ4nbJy8V9FytB0ePeisVDOAxWCrIoYnkagS+JakmpaeNgqzu HCWUBGrQTZzEMiPZOIlwgiVZvdNUmr1vxFNilWAJ/7C6W9TAkjqpsVsHn496BOsrzgSarz16yTmw kTx/G0GmiP28opLmrctNK0VBDNA9SXLoI4mOIgAAtfpgqwkyAIhtKqepnL9HVXIQYirsyMEPcAkO tr9z4CMpQ9EOD+fhgw6UfoJzGdevXPl8BBL4TvAq5K3WulFB6CSJtjsyXjuHIGKwbm2uF6/N7enm 7Dmwkjz7Pku7XZsu302XTiSZUPzmpjv/PChRukC7Bin/7OaRb9oi9aQcmklSd/O8DXQBaMKg5ZQ7 ZM+0nGBKGppJlvm9nHgDz/IcTpH+NjQPUE6hoksRg2JZgW4VAr0KmwUt+a4Lo3EiKc81MHzwXQcZ hzEF7zqZ9pgM2BvWcIcHZC5EEAq8pKggtJMUoXsKT8FB6KWK0ihP8fNBfD7qO/0SUEB+j+62z1PI oWzylZjJ0rvqCQwBp9vSu7pdFTiHhpLkK59ELSUfHCoIUEun0jb6NCgb0Y18ssmLqmW9APiSlr9w JMPNsClRqbT7GVjmSSPIcwBce/H56BT/xaQAkD6353gKFUu62ilpezSehzGVgHlZFo1Tvt/mKZIs MZJg69sHKxcsWFOPnmUFTO5sZ3a34ZrQM5N2DwpqtfeNLjsKKAETboM1DkBcYuPg/Kh8/l9MAa69 c7XCEvROUxSLQ/oVOMfLpiD2xXUmW3VsLlR5bJpilDeTOwRCNvg0lUKnv61qAXpQrNOBkrqgAV5T ugQ4ku/5LX95S20Jlu4rWNohXiUG27l0+XzEXfredlC86kDb+DyFOO9BOGUqXn0qNbsiR5tENlNL qe/h8xQG402wQXWjynqC8UEMDQOk8+PhYxfRXujGyLUZf9avpCV0lyzMfmnOa4P+XrjkLtkhzsbn g6Slfa+njENmocxhExUaTCJ1pX27q5aT1K99B5GrH6mWM4lXFhw6TC4yk+htZ4OXn076dAKwbiCU c8VJKggd3smi1UyO9qanBr8kKBaIU0HQHwbTnEC5lLIngAJmPv8vuUAFlLg9gdfxeQoL5MhpMxGz WcE4DiXyTs92QITP8wl+vlZ9Cm0mh6zKCzfeKnfjnQXVCSszyPf5sdmYyTBcEHhvXE+OJRfu0GYy VzlOMLnrN7kbFW13OQSVyecjDrPvO4kqnPHRL8mhzSRItSQN2nV3IqnmaniTNSsW6SbIBdp3gc8k OuQ8mCSrMK+sAopPCTU0QrsnTL3pXDKBK/wBgOeHJk1WpCPwkN8SAXYgF5ABisc5jtZNVclpb2XB 5/jb/ICoM/XbHZgcx1v2Dawm0UggcrBOR+xSQcPdApIQu+ct0Ha3iQqx31MegVTg5OCgnVYL0GPs TFUYZxNpWSsrXywMtNaeG8/fOEIT7F8BlAFS24RtnHZe7nw+Khf8CgyAIkcU5RMVW+EMNg/6/HEr HKN7oY9Qvc3Z4B+pgDwwnAQ0QerTvfjgAfko1MVNCjSh8YL/pEFEosEc9Vy5bhNcWKnnt/w1TwB/ c8B0idjUkEASlHquPn5AJIz7i/61k97BJyqqjzf54O509ZWno8Dw2zuakI5sNlH/UDRRa2rd1hQ6 wOXs8DHk0rGxu8m0bMDPAOA8mNSUR4gyh66TmR4mMxPqxMFIchUrFH95r43P/5fyE7gL/SsgD30n pWpa07ULHkQKM4Iqrt99Lrzcsk9TqCBIWVzKRNrg1cxzmfWZKCDEdSWeHAQAaQQHMufVWFrT3jeA X2QSm8BmscECA5BUhqZp8/n/Eo8jYVLVwqYpqo4TiXiiy7w9D65GT6WuSXaMyuYt2PVRf0p2CsjL 4cLl2wC9UJpmmQkfVlPeLKhAaBnHLlpTZ9vZPIUwlWbe08MH5XcLiaPqBXvy+SAwuLxUSi0jJBhf cUEo2a1dl7qjMFrKzYEq6wJVIM/j8xR74zBwWmzfreXtuzNPJ7A8AWRhWQUnukLSuonGgtgx9Kh0 Okn7LYf+k5VdztHZc+GgaTrX6EyGdM58Pupyfust4H4/qVN71tN7QH6W4Z7SM09epdOUCTk3vJg5 KMGnbRcG5IQyncu5++CBwTgZ726KMxM0qgiX3xC8HJCYo60iInPSL4q98B+weWgSFx9UzRxNQkQQ lM98Pqo/fR9PdENt1/E1hz6U5tPNEq1Yc1pPZrXkUoINyiKap9iIclPgfBM/uJW8cz3t3faJ+AkK P6c2TqrBzjrUI7EKKMZuXXOCwnPoREljkxM4gTenQac4AFtF9GUUxwMrSsTj3/54wCeMdYXyc+BF WU76TK2l3W6Zru7rQj3s0DqnJZvymqYoHGfRF/7k3Yen2XKW0BaGbo+dNpljFSVxCpMpKnB0QbcX jnhhNk/JB+27UkGoZuVtbj7/X/QEqby0r6Rw/sOQksqUyHosKCjN7E0gauCY3jKe5RRjw4l8Kjv5 YPMEiUtMvyzNT4YHtUSY5Z0dB9TS+SvzZYZ1NaVCT8pJo+6ZCDKYUEhXyYh4qqLoqWd+wL+kzgim IyDkolZzbEvJMDPt5M2W2tzA4yRk0+2oC8pENlGxWQ4O8DNdzQfvBp/VOKmRA/mlCaGkxMIKusFQ rs5iY9SvrnlgTAmRgCThJdbpMuirIhouFnAwUSeJ5AdEO29+7Tz8tW08YWZsTUkkfQduUgWDk8pV R0DNXqxgMKFtp8Ql8KbEAUXWShHKoPSH2nOihMwTGwc5Jg6a6GdJNcpVnbuNyFaD0udlL/wnUiyR mTES2samE1iB1sNElTT5Af8irrIfjMQaIY1PVBSPs/J2rlUTFsI/Jq+sdBqIU4bp3FHZJypWPmFF s3JhcXDqSj6nUtvEEKwx5dEzuffgmgcvxHn188Bc0LcENx7W0JnuPn1QqW6f05VGXGePZz4fVch9 QQm0sQF6vJFBaFM5CBg/oV62Gy+35epweZr6UNkju4JeDnwqQYQhtbda+jLuPJ390eB3kbmgJsWG WKqDZyN6d3tcRW/m4fyS6MbjNCEIsMEqkwlX3Q9hPYPPR0KDF67CxVfnr5Jm4FQJxOJSIpxs47W2 XSWmUSlYkQEAezZPcUQuZTiixzn4UQ4gFe9PwDAQHMCpafKqObHlmZBKpwFZVe1lLxxpVpK6iiKi DQaog+ijQs3V+XwUav7Kg1f7Ro7n0KxyUturwX3UKKzIzIgTg4mOTPXQNkdMw4pm7FbJeZpd4l79 IfqesPecv4US8SfLXog6Kezd4QqLSgS7VoZ/6s1eOAgNaHTeu3zPuvuetXVmTdDovRafDyLNcjMX TDHU8X+kVWszFRfJqeitUFI7D5catfRq4zLKMNEDsEQ1zdCyUkpxVfaeHLSiYN++iKE8M3HCpXNi YUUNmMix7tygXLpvc6ove+PoiKJYHMJJG3TnQapYpt4JSV7gWgnsoifDUpY7V0K6xKgc2lZ2QVb2 KjfaNPIvpGrIHoOnS0JfRMFB4FsJ+2uIWNB12gbrnbdxZrwORptkSdOSEbLx51SiIBqKB2btSXp9 DnwrE8lN6AmrtlkY0iF3SdnE0AQxCIwrv5M8Xm6b7rT3hAqNK8lXyaCFeKmO9ytLdY1VZ+68tprX DELnShZ/TzAmTdRxlWNQLsGM8yQfqXQ6n2EDQiAJRWsAWCzFGwqhAufKbCleTww5OSgmx4FHltxZ mno+uPDaL8DKzpI+9mmKYnLY3YDSmr2i2dOangrLRwYxObiRvprimDxwQzmrBzgfkhH7ZqNe/B/I //Bq3u5dXbIKdaF3pVxQ+6LgW6dWL/+08ziU9XGHJbSEQ/fK2b5rBixYPCypHNhXgmwnmMq+Mtbl ajWN2a5/dbuIldi/sk+V6LIPtpzK+ecT4SyWViBGOGhN2EG6owdhf3CHU/FT4F+JXUNGK4HRGjwV zpRQxjaufD4qaPo5LhI5RJqvE2oOHCwhrI8TqQ3DhpG6kRVc5n1Zruihe6sztrDc4myU5oPFBSc6 SwgzybU/E7ZAXZ/UaZZJUl71qhCO+8JRQE4m2VIA5W5QsCartFHHfFc+H1FcvuMC6ACejPA5nWLu poQI7zxtie1Muh9Nr48nBEc2T7FIijgbtI7j4BlequjONxk050ruDXDRABrg66x2Xh4/9BwaWYoy VRfx4xXa8dKjOjECddbxW+XGD3g/xtcvt88h3OFNXAIvyyzpkEyHewWaiNMs0JzT4AbQ7LpcoMDM 0gVkJ6NIDXY+oYo5SUmtSFhRbl/CGGTUDOAtAeiEbTwqyeXAztIDzdZoH8NBicv5McXTqudu5vMR BOr7HEdCe2Y6PzsvFCXUfde/qBvNkfYrz2rYnrZS94mKMCtVvh9VyMP6+DUiPNskv4LrCjoVq8SV vt0gH6Ph6Uq74gIFnpboTBFhP1gC5qADCnQm8Xg2qECBqeV3K4G1X4QSs98L7/MaPbX8vyAa5JP+ lmbrSRgvbryG/aD1VAFS5cZ7jZ2aq1vu0pcPtprAGgBZXcyyWmVCtCqslekeW3d6CFOInV4jpwZB GZoQEGeiQVXfczuIHAyp0c9r3NSgIkuWYk/aqQihYSHCf3N+mGB+qB0m9iZib0RQrRmxZYOekE1F tpmK7GvQ1FBjZIclydEyPUA6AIbOD97YMU/nRtok5xUYbXdgcpgDmFvD0rH0OkFos7Brp24LB00Q qspLnPKFp98mCBSGZhMkePhYZkTACXoNmFpmQS3T0MIWEMBhdiCpwU9Gy7m9bAG9hkvNhJtwkTcf LPg+gXFrXWLNfcEKj+agi0ccWhdlXxg0FTw/r8FSE5wSTPIxfLA6725SRa/nvvy8RkqYXRUv6ceJ /3Si9bttel7jJKwfFgbEVzdHlNodE5bMErXs2rx0+RolNTSgKEaQBMT8Wj/Iu3MTfwxy370RcnAm pMDj5axySFEo6EYDA+/6Pj0EPz9lcBgVWHP8nPKUEuyr589riORb5eee8Ik/jO+v1wAJ54/mZ9Xu pV0K4zLeBkTc4u16kc+v4VHzJBctcB+8bHKu/cz+GZqMZ9bh0DlBoU0/G0o3jJNtf23K674GR03y CaAf9uyD7rKGMo264ifWfg2N8LREdui1yrOKvVCbn9fAqKFqJuDAXDY/nfmlgANzrwscSJaPvIZF TQKBUGogQJXDPZ/PctqDDcw9ANWB7MWJXs7hv7BjSr4GliUxzH4NivhjCv+Vtg82P6lK8aueROXz GhG1iyaE6qCs4thobjZBrwFRc4YBtWGM2bOb0ehKlyg6E5HqkeNrOIQJasxq6yg+3I7ciXwZnIHy C68yLrWCmGVAXues2WeHMV97DYaaZNs7ofgccJTIBvUs70760wkf++c1FuL55SuITjEA4XeEQpyg 10CoWfME3Q33ZFoUwpcJSkpWKgEg3TA6r2EQDmgRw1TH5eBQcBh67SaIc6NgPpX1oNsHN/QfFPxu YE3u+GsUhB9hyhi+bR9UbjtJLOW30ArJn9cYiFdItvmpcrhCCQlKn5yg15ok7r0mku819j7r3MkX qyzvfrfhjkyvFUkeDwKjSuC75HtEg+F05j+rEzCUwWKnnaxv8QYb1aUHy6IByms9En8iVtAoRNlr UJENNSpips5Ow9PvE9Qpm8gthvmB5iar21LziY4gphxtNz+C8hoG8kL4e9EBQNZJyyI6olnSnlOu lfMpiMD5FLZOxIHU0RCgy47hHEHAeUEN90LhaMb0WoRs3nmDIIMPOoLO/VjF60XZ6LUEySPoxohY aycaHbSsUogYxdDQvkUVpzi5sIpu0amH0pf3cUEWM3JhNEO0GNjdZNDLxXYVaKgx3AD3mYqoRMfD PHoBq01mk6vpNskORHts2DXffdASOgtHWnGtDFBVo002+1cYhLi1USlWcxTF0fDYAWSpuy5jY++V p9Cu2TDfqDxvp+6EgbQE0Mv2wbIxWHqdfJ8C6FAdmuZXDZ7yQuu6AGtiHVzKdX/e6XI8qKmrMymn z0HnEDpXSjVOaPt5Z8s1GTk/c4QqygBA3+boPZT2JlIrrTlcqYziB9FM1WOhdSvZUSwNACg0h5SM XWNYmp7WDZQMKrQNLvSbYEqK4kNxsj/OcBTx/7xT5XCvNJNlTD4Y9u18A6fo5I/AvkXR0N1q0twH BKdVn6IonMa1jLOoXNql+my47VcbXnZs7dIuo3i6myNcHT74Vhtl59rJGVwL8QSJTQmcHt6XsL57 bjN73SAhIyscKE0flJBB9N9Oa6BNw4h65u+MDK4eo92U450m14TBAtPySg4OeVYSprTajRmhN2C1 2WiSkLRu+I754A0RgnrJNzn3FQ7apvY3ekBQIqSxHsGBGbBTvm105TMQroTkUk1b+KsG23NBcs9p 8XnnyHGrlq+9BuOgEyT0u5KiwJoK6GnXGxYB7WkH0pJrJTrbYFZZxfE9ssbxz7oQfRg1XOQy9DfV iIQtII0iJikWZ5+RkT4vlou4qM87Qw47mgTnKrozB7uNRi5K7WEO906Q4x3uBxKNTjLZ6wlACc1S GF1TAIX/ufX/17X2KGvc/r+qaImfFB3b6hal4oPNUjshIqQ8mtr/53ymptyJLFB/2Kwu9Hsm5anX fT+TaC89E03iNGgpnRRQ4XWbeX/e6XFYSmpqY5Z+CLb8of6RzVEUYC+WiCRLr94/NWrV+5cv7KCY jut7v5PjmvTJURyiiiUHX0mNPYRlVxsyB/yXGSomoFioLmosHbhJ80ve5wjAWQDgSdLhoEIjHESk /joBdoti7D69SsReJYQH9/Qc5J0ax6ttiiOfvRo7zMUTll7G/jpztN2M6Z0Zh9eH3sRGsdsHbz6e YwhtKPEEwGgixutEZWjNFisRWdFawMn3IBuVDDkM7eqD4XBR5WUTpENFJ4qy1/Y0TaXYyqDTpygK s9HMgoLudOwWDMRsimpxnOkmkU1TFMfZmiL0HzX4qQ0t+AVICRlZ54StapLgmkrn5KVKvNf1p942 mCLW0GgHYoPOI8heqj1LXEQUaAvx6Yd2Z+yafIre+XC4/fcU6dv9PNd2FY8T0FW3q6I3gGqNcaBt ePftgwdIG7Y3MlxCkWgutkcKtd/Q2UZZzarVG/fh550N16RiBqwWufIc7DBCU3nrF8mfdzJcE0L4 WUVIHgdidZuiKM6mP0yGxIYHSMAN2t1fhhvDwQZad/87Ew7rkXk+OrI++IF9/jA0Y1m5x4rdlGQG rv9n9UR0TL6HESuO70y4JmPXkxYKM1Ip82GgkSl98vOv8/y8M+F+3WtsuUHdAipwNklRzRr4H0pR uG517+vCJNftNlKdUevoPdBGWkMByyypzzzu3X9+gRNEFi7sE16uInoXIDcn5Uc7vxQXg8mDthXv NLiWnPW9RVrC3ycCzckyYQr3QyX99XlnwbFv4oVrTgjoA6ja2yRFoXajJUPZ9+rXScJrrauwT4Ph 3UyC6Z0Dh+8nmfncEtUHb/C3BndeZiPne/YC+Q15/7nRNs6jRgUmw9Vse91gJTEyaqSuafDeR1cz 7Vxr9fNOgLPzzNIRCuoyqxl+r70T4HjUb4aOqfuJRACooO57ONR9z7vdwvo11b0xPT5Y/fr8NUvO YdCNHQhmkNWhZHuygZLckmE+fkzv9Df8EpTSzSZXnNzx/OyHMiQ6PNL8vJPfcPfu71AbzNxOKT3N URRpV8ZHgzRXL/HbHJVzkXpaSzF1zVFUw5Z65Zrq/8xyJYUgTgXvIeymk6ItSBBzIZ2IaaN1gSWL Fadje+l1g/iIdgMdhQUbNEdrqGgAMsD6vDPfMMXp3mybNTaQAe7t/858w/rDbQ5lYE9sc6le56+S +9S5nU2g6p34hnO704lpqVu9vrzPcOOD7k0qQAXTBEoUG3AFRFK4mvbTSFt63aAMSZOYOejIyMEn aQypKZ4F+nnnvfGv/XVsI2nEC9gcRYH2lKjuStdEby3nS4Dvb3PUiXrlifQeaGeq0hHhl32wCCmP Ns8n7Kxa/0JcgZQNBtwD1/5mlVsZWxl622CKptmfEBWZIDTPHYJuUeKqQmz5eee8WbPpSUao5Z+f bmxYzN5daMhb7R/j+p9sI8JB/b5av+id8cZKM1GQiQTKnB4C5URrsXdGkedc63NTbwkQiB9WtrHX 79VGHPI7443HLpk3KIbYoGSknt9WYOud+Y7BOlq+2VjPBpUEKCWboyjSruypjXTrbFSyM9byKC6P Q/NczdF7pI0YCU3ZCiSYDbaOUMmALxxTf5zKs8hDFtA6SlvWR02XUpXvXDfri9N8efmgiw1a/wwO z5SPzzvVDVHo8K4sS9oIJfIt174z3XD5z/TQbDo72NezKsnds0t5wiLtd6Jbk4fflN6dDTZFY3TU 6diXPVkVij0EuKEp3iGvOce19AQ1kN/xR19/nCMr+aB8DWhXElfaOfQ/7zQ3Xr2+07QtM3Dst/H4 TnNjdc4k4G4UCT0lm6MyvRaJCofNUVzSRqbWF0hcGjRHPKRPXkTvrrMaG9xbMY2omRWk/GjowNqA vf2it/1zjiot0Dhop8HVq4kZcU7Md4ob/tg0fsWQyLLTBT9EgTYEWdF8z+5acf3OIKRg8lSo1HcT ononuBFexBN7r+SDo0PSBDuSUsIDOQhuSJDeaFffwIB9Tuw29LZBwka6ZKXfhAZL+xttsAmCL593 cpu3OG7CRlR9ax4dvXPbcIRI3LtRnhrF7ZSGc5QT34FTBI8am6L3MNtXUYF7uA1PsRaunqywdZrr yW0HfAtqNmXq3LojvL1ulIvIYJCFtrOrsG50Yk9diD9od37eiW3twh4dRHPWR4PUqk1SFGaLkNBG 8nX0mDKUto3wTscBM9F5p7XhR2o8sXW5Ve9aYKth40EtgxB/hNeZ84iSVYa2Wb7yLiRmf945bU2O 6hBNIIubg5YRGNCSWjwf8HlntLVLJvWdhqu03tLIO6EN86q8v+0Ht769SZvLDbIntKc0Q1E5O7Hm nwcPIw4eGwHkQltVKMvBBk2NXKpuA5AKcJr3RZiHvLPZ8DeyLzKz5Eqy671V0BUl0JU6XzG409L8 zkOAL4Z6g01RFGLzmIfggN9pULO4kPXq4WPG+avzOqxlL8ZEqkHmpwaZoAxEOBkpWgPGMBQJwsKd uMQKheCMG0ks3zuVrUnfGaYOiB+ndUIEhdg0bSG76fPOZLMI3eZIkzR+hpx8OElRjE0TnTSZpP+P aNw3fjwxqPvnzelwvnceG48jJiBN6UgjknyyNFLoUsViNpTySqG/bkYfZQCwxqquu+hMe90Issbm EQQPMbASzlkCTIqUsH6S5887je1X2o//Fs5AA+Bdm6QoyG7sQtZ2u5BJUhxDTkO+2Upxo4F3Ehs3 AkGPlA3UYEF2xlE0ByERaC0Qjn2yfiBWQRoBeCffCBKZ8Oedw8YDiTTkxSJbh4WUUNMtLW3UH5h+ fN45bExp11cmQv4S2sQ2SVGUTXY/BIG8LdLT3t4WqRepPpBZaJKiejZQi3AS5t2fLrRvwhPDsMvg bEPzl2n/+QvbD61STuCdb/2I9LV39hp+0yYJSobZ84bZODIn6fTnhsifd/Ia37H+utcaiYEOfgzD 7E3EmpjZSzi27hYoabiwYpbMOdCPcUGbJBp0nmzw0kg5WxrtL2ZrJ8arzNZIh4OM/vm0dtmQzBU/ 79Q1QwhDkAIyLhhc5Pxca51xNlLvzztzrV0OjXdqAZeCVphPUggdEYCN5imKkEj+QFh0giXQr8jV po6aJimKsyeT+V04Axxufy0XkKpZ9a8nBUwklyYMi5QcKABY2X+2oteNdhsz+8rrfzuOEAnzaGy2 nTRxft55a+16n2GSCBCATGC/Pf933hpRfqwfUQH9f1S4ze5UMdK+btU4ZEkXfaetMaeVT6X7VdxJ OpvtxEVVTchz+AF3DCAtoKMZ1JwfCty40jK7kO+8tXZNPf9vOgIuci3qQm4+Hh1J+2spQXhTOsua pPdY+2JFk09SkvAKJgnBowTwznYD85wFpHfO2o21M00FNNiR1OHzXOsSUKt3yLRjJU06aeDzwJBy mWXSHd45a8SOc3IoY6pBc1R6liTbSWvK552y1kzz/umx4aYE58LmKKxoDxEerjN8F55fvqfTG0gz ufbdO2HNYChnJuG3boPN0Vkw8HfmsQ34CE1WocYBmU0aLwA/Z2mtqiPvfDWktUuusDQ+nQ9fraGS J1Pc1j7vdDX2n777kIAdsrVhcxRVtFnNR0/H5ih1eoa4KoJjRzK6LJqjMNjGLhNtwQZPaxcS5KW0 9txsLVMwCAKRYs0IMFEeUMQ7VY1TRM4jy3MaNEVjpqpA8qTPn3eiGtuYnrGpxIs6+u0evfPUCBzh Sb2bYyJTd2tYcHhNjXrTG1JTFANHMDeVilIabIqwikYR+aNB2pfVuJPEAdFKRen9xNpaRVE5G+AQ CHQz5OagKVqAclKBBX6V7xw1HmbfFxt84alebFMUhdpZFgJSn1artng5GwqXttNqd2fYd4Ia+yI4 hhoKEDb4aQQFiTIJ06pzoi2sRuXZEA1MvkW/Sh1GRI2+89OYcwnKRslgDpoi8GfZ+gHm7vNOT/uF 0sKmRFzb620dtSjQRokLbOx5bfSoJCD7AC4F5v2T+t+81UJsdqUsfiJIq6QL0ion7z93J+RJkY0s XGHGIAEZm6BN/E9NJTZ72wDtRzIo3NN8MEwENgIb/i1nPB6EkP2W2LLiTeBMvCvSwji7ULxNGFo5 6lmDBJa92xk0aW9rr7Womp0K09qqzL/ezJ+1BFBq5Hh+znVUykhCRmSNnV7SbWbbgR3F2VxA58In cISDytkFCqWsjpy06tMi4Ehr38haoFc7hJqMRBPF2SyyoW59TT23Jbg4jKz0BuCIIyL7e5xtvexN aX0brH5U6NstW0qIaddc5bMLU0+wU3hgK8yWbUAPeY5EHZ9ssPmgv+tE8fQABKeTj0fgY19HVV0m ylN4mN2jMHsQajdruRUkCuSqxsYwVtIs3e1ze4QcQace6m8sRLZ8C5GVIqukDJzFtcDkr/KIYekd lSmgIj3zp45Gj+rZlZjaUXb1gWArCOGMqv1zcpEehdmpfJOx0Dys4L3ZHMX1bKpDSNmWwVG9bZGc kgsAzrRNCL9H9WwUo85fPusdnsT/RFydbREoTZ55YSoCr0aafmd2aa1YSwRSj4LsSX3EJh/Gxt26 LMpG2MU/vBU8HzAeL9aPCRsa6R3hgM1SyHlEFR/gDkEfCa3NDvZrRr0Gc9ORIz0Ks6dkuLdEufcX 87oBJAK3cgAizzUBmviEmByygSlNqkcbouh1o6xWLiZDnibu+QJV0CxOVut8x4gW6itJMBOIeNAa R5MUER8HlYuHPDqoDFGWxMiK21Cg0NZRvNEkRXH2oMMSLhkf/NiGgGtuQkSu8/d1SpYzJTnHOI7t dr0CBquRPSpqLzVqNwlseaHCWSz3b9LAhyA9ng8ut/l7vw34Vd8zKQ605eg5XH6sDif3ASzqwn8J MCFNUkh/tI5/Lz74SsKWQzGDndoBWh5B2pmAOyRsifAanUkUcO0RSHsSMzK4oM7ypOQB91vbJ6/B 1kO8gef/y35DtaWhWmOTFNa1OUmjERnC90WzTEpRQ/pRyGqrNNpwcMehNkMfbCsbPPUHAQvcGkL+ 9uwQFYdV3llQGzyDUuelH9FkDV/yRxHpzDgDyUHPDAH1RkGtmeirVgs+IIKzfTNHoN7R62VB9vdo +8Svkxg26KJlFUiGTRjKtAT6Wc32nBlcSlG0vblA9hDmbzwCbROrs0DYCUitgrIbcv8MF1GoZxC7 eg0qqbXZo8I2SIlEjW4fDKmFFs7i9ZYnHo9yf89rpUJNwoG32XqE0qZv0DmIkvQPz22czdwF4BEW /zfPJIA8EW73CDxCNNtZKvLskspZJXhko4rQCRBBcJTgYwYiGw5ukNoKG6c6uNE2qfiSSA+Ck0O6 sQavj8CxEP94PhaPB+vosms6y5GNBvV3ksJ4G3EPpPiGVdqymGybcDa30d3AEtkkRXXtlcXSki3B eiptC602nTuIAVKbVI7c5IuiHHMS01uOJPgKXxKltjLwpI4dB00SyreMBs4JM/H4vxaSarawDAKr 2kjZUcA9qRtZp3X7cXB3w0YgUHaD4YKPYjA5osK2Du4CeUgb7OBeFFzpYteMDYiW2pGL8A15+Yk2 YsLbIwq4sUwBHKnLB0MhnUiC9Vu4f+HxiJbtOQnhOFiO+Za1RxRvdyL+qA+qdWQueUvFyGSUiLG8 0DbCsrZq/4V3GwebopPDJspGsj8yciFHCfTsjTbO+Tyo7LnSGAJ/fElUsJXr+ZQH+vkjSQCn0Nhm qjuQ3Y6wrn0b/0bjBnblTlKI1CY6AkU1h/ztYSDkvPuyDvf5mbqVkUZU1kboPDfp4Bh4WAwmJVD0 24UW8eAirTlU1AVQsrOJdHtIuCcGviNoa5O2njbRbGkzQWKf7RwGXZbvJ5IcUVH7d3+kEbeSvKg9 Qpz2aAKQ3GYk5Z+FZvPO/wlqspvijSjaXkKO0HjrTMj2Kcp7nC/paLVQsO4c06TWTBD94MfxM3nn 6fYHTRLfEXVHBD1e0wertMELTU5vY+Px6DgqX1uNAO120/8RxdoqI1Xa8ug02t01ImZp+aLZloEj RhRr0wQBhfvigxcjIaTJFY22fIbgBQ3R0TslQiXPh1mLFixfNzqOaJOwqIC4wbITaQhH/aR40dnK Gc9H68hjbRXWwGB/ICQjDLa519hHMY4WLwhzeWvrxzlazq4ZUbC9KFW3Fym2HOxAAl2xwxiXXe1y 5o/8iYbAmVJdUrETTJs8/xFVtQEcPAdRyd0HayDhCGQidoIIPB4dR+PrOGJm2y5Ke4QobdQ+gO+4 nAi1bsmJ6Df/T8gAmI+MCKUN3c4peIMNno/sRAtv1SOB2TXdPyxzZLa9XNFo6hvjO6IjWxwtupNw UKkNdh40tjo/5cDj/6KNKJZC4X/e4yiqajM8gY2VE/1X7lduZK/x4yZ403ojI4qz8WWo1TKGLIro siB/ddPghoKHC/1DThF4Hg1A6clszrba+Xh8RxAdLfJpEdPZYO2jJpSraLUjDLP7LzQb2pD10o9G GGZvYrWKCYuS5++E0VnXYyMxfKOFYTYJo2vvO1gqsntfqUiZbkF0jTU5NL1BzQarb7frYsrDEF8S hNnE2NROSQ0WlzNxKuUEElU63ufXXPiAIPdv37ME8FIHuN1mKYyzyYdsjPgUHwk/smi2YSkKZFno poi9Fte1yamhKo2Gm9YOcES4oXZjmVPKmQCc4BosbHep+E/VWXzJX83a0aU/gpy8mNL6Kk0gm50L ng8maXxPEmDzAxZRpu0TFrYrD6RRXE+7UPCTuf+UyiEtISdZ7J2f9BfAFvJYPniDpLeTdBY5KSak ucuK/xPsFqjo3SKSeLUzxI9UKost+XKu29BOqE8xUa2l4vFoHX3zalE56+kiI2YUZ5PHhj/A1hG6 RZbJZkkJEz4y0dvWFIV1bZkFjz588HxtAySC5jrP7FomoeyIv37gwPYDysrF1y697V+1/9RoeZea KcAUqO/MoX52L3j8XxpsEmdh0ucH0oyi7ER4LTNWO5Bm8mytokzi5CNHss+YD0kGe2WewcGm6PzZ XjdccOkeGexkNOzrD+TI0Ca7KS3tlfAlUVl7snQ07mC1ERCZjXtc8Xg0R7c/8iNiDR3VbY6iMJtO Y8CyO7G2it4nD5Ltc1Qw3ZqjuKi9CBuFDJ0Gx0VAPBtSKgizJ0IleuJ15BMNKiQtXwY7NV3wHdFp pCLbvENStRb8VnGPU8bjQc//FiK55M5P1R969ozCbKgRgFezHDqyCJXiMgK517sj1SVXZ1jSZrc2 VQmN14eenQu7vdI6PnHAkKZUASppELtKMqTpIBGnPUMyZGZlpLKDxEFb7URKVeFR6RWPR53I72yt Uxowe/Vo/gkdOfenpa5QIGnbE9o2nMJe+rZbbUbQka4cBGUrG3yO1jmsexJ0ZNZSqPN1LjXMEe7C dQ2nCUbi2wagSBqXp01SLQfttIoUkMWOc3/i8ajC9l3PRhuGrXybogg6gi5tJofNuUeSYMcySvQG 5xQh7LMpiurZdGtDPF18sNPoRIl7kN0EM1doTRP01iFb21CGUUxgDLaitw1WkbT8+rJhWvdoC3cB TGRrePw/YSLRNwIwyqYoCrLVq8Vd7Ad2ugCkVU3XH4dRsj7kDEX9imHYhw+2is4VcKJ0fAMgkZBh l2USha9pzJouPYtCMPiOCIDEfkglPYuDldfAaWLgfBJGPB5htHwVkf0AObq2L/doRtgRmbWhd3dL R+n2jkZ1IFvvtBpJ/KTgNFJnbVFPg8Ot0w6YcDOS7lhEOJjmIgXp3EUAo+NCs2L2tNeNthr1WBpP 7AX+skSwQEmtzONXzRXPRwupft1qSPbqvKnIjKmQixzR5FJRqLV5ZYTcDxaP+Idpr4WiI4RplU1i DQe/1dD+rZ0AZNQOAKhm/HiylQ65FrgI3ElaRa8bRUcU8J0r++BQtt6GkovNd4wCyP0VQII30vst sK0oxob8EI6j5DXIkpJTIk5obAKa0OQ1SsSKwCNQoQE6mwspPQspQzY+DxX8B0rCmf4inXOUcWQW DyCN4r/CWja7IbXRKIqDkn7ai0h4tlY8Hsn79O9ltE2j26YorGV3k8b2KZrc2ML6Zyu70RjRsP4r jLGJ4dtT+izzYv3ZvjzLVHUR9PkbrcwrGcQNilq0HREEaW69bVQXISxC8SOsoX5UXAWkOZGo13ct eD6C+32rROKUP2eIp2orRmhPKfm6eWSXaoSJjvgcjeakkRVjRwhiayKoNZa+Grca8FRrEHwM54NK 1i1A3KwDnDwk7yc4Or8qviMoZW/J0bMUyUHbA5DmKgzS4uP/4tXwfEehf1y43wq5kGaImFyMvj7A kU5xKgFHtp9GK8Rny1OkEMpm7E3lIR0CEYktEaBgZmLtqLEClJYaEtckeett/9LRrNDNtUGHUU9U eCOCr+LxCO73narlQtpA9xN7hYLZmawsZj0E18zldnXgIhvyb61SLTpaMRWSfJrExJ+DX2sDvOlB xf4TaSyWrpHOwvoXdj9ZlJEplcip1w0KbJMtEdwqHZhxV/c7MUWWSMu5ODOe/1ctm9krin5jFE9F VhRmUyYj7VWqh9lz2vKpANd6xb+7ws+KNUcIhgBixQYrsUF9TQps0M2GHuKmncjCuQC5pEw1LTlr JoLZVgQcWdRk63LQ4qB0rbc11Ts//xaPB7iRK+tLRATa4uUqi68oziYVFx6ETtCyVsgi4M+cEeH/ W3y3hXE2gaNJgL/0AP4y3Wl2YWHkJCAV2v00RkGUABvoDF6gFY+A2OLrBnPUqcvSytJggfZa5wfd svFNDY9HclpezSZBFDAtgmNskqJIu7Di32DGYIZ1xapq0BxB9ETYSAf/lNWjFYK0iapZW0bS++n2 n9Oi0P2O3f4C/8rGWi2ofqiImMCPYCNz6HUjSARbR4NN/411IQBSBwOMblCosK0o1F6XVsNVB6ze WFcAeYV8yE4LNt4S0tJUN3IIQj88QuouXLvigrZU6omM4ODYGohypqkICbL0uCbnpBbchBJQ4QSy TVtYBcKXRDhtliGrtMbr9PrRPkf30N02+I5Ruz99Xf8NXi90HdYcheXsSc0xig9Jp16hI63tpT9C /FHe1qZdsbwfV1BN2wdfSAkdDKzPs9vObMNAAbCRXOhku9Huv5cblYbwJX/xs9aSes1y9jHYhGaV kWfF48H9v78po1CKms+pvaNIGxhEMEXSRY10F/jBbeE1NqJfeGrvkA6pDiSFazV4pJ0g/AKsMQKi c/4X2rUXECEGQmu5/KbHXntHkXaTJ2SmsAYHLaNz9k6Dgg4+HtTY6i87EdSP6tX32++Rtish9NX6 TUZczf+EINdodCKvkRh7KDpC7cNV+vDBQyRo1dVKL6xdWPbJPI/oZ4c2RUv9XmyU898hSpsCUYhG fFCNrYHtzaPp/Dp4PLjYftH8UJ9u9epE7RClTX2fMlyUDbUq32onvjcCyUb12eYojrQZIm0ha/aD rEF3sO1FLa0TXaMsUlgdAaaV12Hx9lrhAYjvCKZIbjSNJu0clLBlCCLKIKtvPB7U2LIvIzKjsqKy u9VCKmRnZY05qFgjw93oQEucjhopzY6jHaJGCKxJhM1q8K12EvsincgFb0jw2+mbAdFamA9D7ux2 jniv7SjWxrL/RtbYJI0FP2VeVWdH4PF/yUQmy2rrvmj/HUK0yawBnsPmqK3syJqdkxsf1LZ9r8Xi flxHU3HSLLdWe7ZZTgCas5599lVi4guQzXm7jV/jAiKWv+1fOtoDG9kGraNKoyrtuorHI0DEjY8Q SwHmtW5zbcfafoVs0Qs+Aq7wx+wy57p2mQj1NUUhZoS+K/TjtcH6jw3uY2PxWB7nXlk9iS0qegxa 7uvis9fQ2/7l3wPLch80RUUEeWRsaeHxSAPp+zSCht0Xhn1HmBHUrEDsn9cdYphpZsH69Ys/wxde UxRhRqz/KLGI1L4sfJCQdXnXj5OYm8sPzH0FaV38n9TG7l1v+xdZFDUiH1SrZRdhqWrGx4N0rf9S rEcVqO0bQO5QdaSSUNuSmdOeXZDsmAbn3ESQIKBvOlE7BI0sA2hVH2wZQT8UvGKRIWAByhIbFBFQ Xas/u14VTVas8B1/qPoDeFJ9sJ02hgrosFbG45Hc2DekFgdsr/0eRlGMDUQA1s5D86PLAulZqy+n QjbIRmqK4hhbwn5qQ6Z5zSEKUDswp6WUVl41S0oLcI+WpSZ9Ocd76m3/8PEZ8MjywaYoo2/DsLkO PB6paaSvhBa4PbJjbIpCo5qxVOa/bNFe3YdlTzM+JjvLQMc7QoxMmovAnNwH22kdYse7ahWddKbK PwIGxVjsSNjmDY1YqN2x4MgUIrv4oCsNJd5tOhEDj/9rFRF7C5n6ea80A+i8fevUEcRCEadLvtiJ gBGD+MNvjdijro+KAkgWFwf3EQebpAxUVtrEOiZ2tBsFopHXghhxPg2UsykD9rXthQO+aKEWOzqy lNNY0jMqHaVO2Ty1PPkBwaldv2XZEPXS+NUnKlT34+WfxDcibISXC2EjrPownjxJenc59hRH2lLS BoRdgye1bZzjuJsia0c+QhYb9jAuOFgTPjKRjLTxLX8oso85Wdyel1GIJSsv9nZ+Fj4f8fy/mbWs 2+0rqUEb6iCNsZztUrSWy0SDwlBvK3JYKxIfFUC0m0zV8/Thmac0qpL/BSs3kBBhSYhmNqYoAz11 PUSzvXAEjTCHVVW2MV0MAM9vQWM2stMWPyCIlPL4WlANAi2rXVOWFBa3qfUzqdmpHsm4zr15TadE dOwNm6jQC5K4kDny8MEmajRq2tECCbhbKKsg9UeZE5LqP1WwAOvaFnvhP7CRo+OgsUH5bStml1PR /Kf6W1DgXl8nFIWi13xOqCjqTuJFlOVKNq1ThXSLOjKsLNlh8WMbL6pwD/Idd2PBZLfH0q/mk7Dz LkVdEogUldyQMi74PWScVVZNyhRFwrcErZJJCHLv2Qcd5GjoMcSsG24RKQaSeH+bGI5cKBVztcfZ JQoK+JtRk6tHpQ5elc5yMjtYy0W+UfwsD6vcnYAbqo9rsJigQxykgIVIou3EZY4KLn2YiRuDxqcX J9O2Fw5S3UJwGwwibNBMJfjcsmJy0gc+/z5Tj0MtiUvnjx3jgiWkkPee6yY6r5uODQElbgXdq8ts 75690J1TFIKjaUPDiOWDBwbtbOLzWwyuKJRNJosm0EiilGSGcoEd5ZRm4rf8KSHVKbLNgfPUG1wi +Y9nrvl81A/wK48NX0gtoLDq8xQLkmSd39NjAxL3bOdRm4SxAUAhNk9hsZtY27lH9sFjg34y2jEp sL0HrDBwluHOKz9IcbGKnUl6wsVmLxwkK7Cwg2L31DAUUZ+rokoauZ+H+HyEBPzGA4CcMZCb+TyF yBJpba7tQpIdvABDlpR07SOQ+JjfXyRLArIF1bW3D56uFJBaJ0/yiYi1s/rWFjvdMNxo/cECTnvf 4MbjQT5oh6lBRbhzMswhw782+Hyw7eo3URL1FlL4fJrCijdbJzXt4hVv0ulZhpNfroRJ4D1n0xSW vPeQCUnywWuVJ+jetMkDnRRLgEc+3dVGITF6uTKJxKf5LVGRqbK6tJcPVqw88YfKA4ORZmhq079R k4xYgRq1eQrtIxdqKGnxp2Dy23dykeS81vpxyfbp8xT4RwI9wYSFTq22Ln5MVeIkQC0xfwPrIw16 2A5yXkom/Hdfh02aaOfAQPKsB1ptZJkj5muOWNuJMPj/tPOufD5STPguNWHnM2/2eQqB3BIDkixi UyvOfSTQdbT1BKE9n6cIZZIM5S7F5Af1Vs9SP5cfSjtoM53ffREesFg8AYKj9tsekCFJ/ttDcvBW sUE5MEqHkiZbpfL5ICC/kpLpLqerK5FjF0kKOnfRtauq34aZBPU6WaFg0imAUUFoIwmg6CtJaY4C LLTS4AE5Hd6L8Ab6IXZp0D5R01Save+fSoBJSoBpWlnkzFPrFJTu58bh81GP4Lu2yzLBIwWYAydJ 2DMQYMJ6teopZV3TVmknsywHwKmtpjAcZ+m7NVrbcbDVdG6TDOFWuQAAMM79mWAqVwEZYsnO9DfY /c6BlSRiHxbmgPCwQefJCckKlxaaNnw+ign69+HUsPwu2T2HXpKLV/IaVqdERuccrjxrlyoQbBJX 8WkKuZPNSrvJB19NCw7aiZWTATGgJDTuAP8FVh0ZKY5lLdJOyqGdJC/IWQhcmnS1sdZR6kTpn+UE W9LQTrLceZLMApJOcHt8oiIB7k6XG5g+/UjHvY1063OzDdt2ANb4tguD8Umuu/jc5YvP3WEAcIKp Rbxpg70B4qtOqWg0e891sy56SVFB4CiJ42kK2pV8EHypji7YXTsfxOf/JcEpZh5ga9Cz9YkKOZSD XZVhjlushxsJp8hGVjRTdPaVB4eekmgBoHfZig8ejaOEdqIkRJLnPD9Jfs50JIeoC6prQAHZAVWS KlChqaTkk6kLbYPy4HxSYhVWcll8PjrHf7kmgbCan3pBaCtJCBP0AZJH47U4HXezastovK/tBqWB ryS8TpmuFIEqHwHlBNQXSMVVVAHQuxazuwzgx8lkkbV7VFCrvW90jleZ2g8fFBXAsJgrBI0cPh/B vL/xcCc4hd/ds5ziYJwh02iGGTg5WLGGeMnNLHBRp6t3mmLREi4nACVtsLJKq7Wc/0vC6Tobi/LX cLw7a54CbxVFVIcxpWkv/D5PnU6uie4dGpTcwZhRrNrzF/D5IGmZ38sJ8AWaVPk8hUjvzhC8bTdP gjX5j1OXHykl8KptnsJonJZAKdXmg0fjZ+UAiF1Igq/QEqAADjVeEMBk/lm/spbQYRJBPZRmKDwl Uwz+vaD/qoWQZ+PzQdZSPC6g4y0ktcCq8okKTSZh08powNTLstqn3HeQHPmRbjkaq5YFhy6TS9d9 WnewiSqgelVaSa6VEFsxHM+Y/IlDCrGW4b2h1an3DYoFrM8N8I452LqYjQxiXHgnweLzkVzgt0EQ Qurenmg8NJqUYVnP7H0zGqdQAZinZ3IA7ePxNCgxzvsudJocKj5lAVFzerIWRBhn97E7fiKBJjeu Ws/JNBC5oaTny6n5+/513cF2yAdrt6zWSfqD3j6fD6qZVzCQoRJcptaXpXsoYMLb7hxQzj5dUufg bVdStRodJOxs2wVekwjFWBefhFBy8NtuSTtYwtyVRRXUnpC3Iyn+AeTJa3S8svgtfwlPFXF0y8Qe Zwi9Meusbfa9Nj8gAlp6ACX2k7hXd6JiQAqVAudF7M7kWkpnzxul8LzFcA5qDvwmvd+yBNldF7I7 zxkyRoMhJMICuCg1QnYpdEmRViT77bnwtr3xX2iCjYufg0WaDdjsIU5g7nz+XzQCBhGAb+SvFRV7 4cgApzsqZRJtrnIBPRFlpwjwpiLywHPyCr5OuU/Mx33ihDkdS0mCL+e2K7TwHOznFyoX9ny75XPZ C0c8S2V2hrpArCpm09m90qGAMzo/IKLGj/8TGZx3eCYqKo83QeVyvfWCfaFyEDmzG29Cus4mKgSn 6ISC9bINjt85twCEuWheXs9Bgu7FXOxedJyCg7FVeWQoc2g8idAI1C+aTy9vOp8ACix1lul6bXw+ Wk+/yirgAUG72KcpiscbjejrI0M9ul19hRgemyYZIWmaQv3ALpGuvHywaiasUpr1pQBNL0h8CV6d TAB/aJljGktr2vsG6Av6KUOTwAfFBSegUHYGuwQ+H5kHffc5kTCxB+DTFFIuc1FwOR2iMpxsAb/D C1FBn1P5XeA+6f7cAMb74ACMAhxQz9SihPAbqDYT1OSNikqGUiIuvMZtZ/MUolSkIOjhptHMz2Mg XZKeclIjPh8lwt9xJjqjbYxn14WS3QQYNDVb6qMixDx41+o4FRYWNE+hOQ55l6nT8Z2DzRN84iEC QmHufQLOyvCpA+cLXhLQJX46Sfothw6Uon9PlJps0DSl2VhTBNA58/lI2PSmLfivccEjdbp1usCF EjKS5KcyXVCdri5bUK4lLMcuFEC072LuJW3ME5suHDzOhCRllzj3ue1gAYvcJiPjG4mRATp7U3bm 6geHRpRGCSuk8S7PIk9QjMKKTLtq5vNRBeoXwODEBAMUNpun0IrSZKnGvFxnus1LJn9MVxVolBzk PMVelPLtAhTfBjuf0jmQzn4i9WtATg6CPCDOVWp2FYmxW9ucqPAcmlGSXYgEuPmgYzz3lWRGyep4 4EaJQt93PA4VojPZN20J3Si39EVLes4ns6dAY3IrWMAOdBn4HNhR5v8l3gpa090Hz+8AahpIfkEM m93w9SdsOcsdrCqFBQ4v6PbCAbyAxPmzwKcP2njnRJbJw9nUm89HgNXydd1Re2mnWy+ILSnzfHSE 5brQnPG8RFUlqJeVQ81TiA4XJ0yxEQffdgsqaSgnAKpCbwoE5LQ23VBqKKz6q8nZ1ZUKXSmHHKkW AVDgTf+oZHTC1s0C7NlGPfMDoolqXxPFmtm87hQ5Nqak/lImis1wq9W7nFWdPNpTVNfMz7EzJW/7 SUMYDT5RZ0ZOWsGu+cYioNL3eb3zJ24ofmXRMepX1zywpkQBif3NRTHPAaxiV0dgQ7yYceYamR8Q 7TyPM1leOBMO2cM7UWFA3thwGTtbwaA7dgVeuW1ZwWBOF4XPgTulV6A221MavG0OcF+XNMw5t/L5 2E7vbv6ag9ZL82Lp87IX/hMpNqh/MqFcoWRjDFzW+MdxjhF+QITsSV+5MJCV/RHPz4FHJfqjOCGg t2G58JDYAHEYrW7Hq+ThuXBoUgnMHHxMKFjNwSZqn7NDoSdW1NnPi5C6s3qAPQSWURRyEXxStxeO th4h5pORFAeV6nZXGwJCQ5nPR9yMq8IsL+vN+PdOVBSSD66oTLdQXnlZPjrIhcus1QksiFZsoiLA SmNInvLePthENTABu+yXF6hjxB6ujAoXS1B7XEVvAtX4JX+08M6qg/y5BoXksBeUF8O57fn8fzKH qb9cmHLgVSm/XmbCjutp3eBhBVl48chg39LvH2aVhB6Wcgcr/W6aLFQpVgLaCiuRs+NAg4bXxNnj 0AXWxqNkDb8l0qwkvzfxoOKgTgLM1HgOn3Xb+XwUa36bMCPQ7fUyxnNoVwntTmh6TReJa9AiIU4M 3IpJLz0g6pZRxnPsV8mTHGgUHzwkR8F0NpMabrVTJY1+BD/off6AXXzxT73ZC0fIQ1JZ1ryDrSd4 qEq4ci0+H0SavzoukKQCnvU5ycMaORErLFdn7TvEUFLTq2jjFPzjSVBx4DJ3CT0rO5sI0Gv1QQvq HFYJugCbZIyT5NNjYgINR/Ascj/Wn6w31Ze9cXRCsQZVBJIuLhd3fpoljMG5gSafj9wGv3ceMIM9 XeZ4Dn0rSaYGyzd5sHl9mc72N4xB2XTSUmwQGFcizKATc2OFhYNDMaChvhJVPRrggl3acuSyIG2F ubd7e5JenwPjSmf8nlCra2iGMUgneZHFBzEGgXPl98aTFCrtaW/qEjpX4nRFYdyiSmBsslN/TvQ2 LRfunB2up9C6cslMr7fhg6Uuu+2NNZSkiIZtjpicxiJtnj8Ycr2W4Q1FUIF1pYt+DNXIx62Rw/jF 8JnnsOPzwX3Xv1cTmtPtC2ofeldWdjppBeqZsBl+QBmlFAvJV3Lv6hyYV7rvAFQNfPBCHfA8BNiB 28KiJuk/CDsaL+bt5tUlq1AXeleag0UmuQXShuaFUlF8J3N3JHSEQ/fK2b4hKyxY7KdiENhXolRR 1JlymcbaHbyKbrTjDilPZPMUl8itRJd8sHlaDejYQYIvNGTrkB1okRYpFJov7nAqfAr8K+Xec4L9 zkYeB8uEG4p1vO4AgAoNLB/tDx5O+Jj2dDoDB8tzolDwsycjQxOd5HDfxNKXFFJ0iid91J/6ukmM hOSMhLPPsBhZR0NH+MS0g5FoKohdKMG66oWsQBZe3xLhMwGkQ1ffB9t22Tp4Z74rn4+W03fnvBBb 8BRWQhdLKA1DLX5cnb3SzZupUoTO2PZgXNs8xSIp1GtwAkd7qEAoaXakqYSPo+CP22519vrQER7j cspkiJ5DI8tGAGsTIaGBciVBqgEaKGcN0iL8gPdjfN3WFDepgIcXZx94WaIOSUZCyleWSAoFUtur xRK8Wl2WKAdmlpmEdkAw6h1sohbIg7sRvrM7zhGS7ifKiSBRnb3ytM5lip4DO8tsRoRnHVYflLcQ 3chz/EwXn49Kv99xprD++WtFRTVyEmTP/1zc0qKJrkgF+Z6qUzeK++vmwNISi5P3HZyfbPBS3Ymh wFJkDarvuYRq6Q1JGm7J9eDsp6hAgacl+l8SJ2J8yEEHFCzpxePZYAIFppZoxV0JBzI3BtS1H9DK 5zV86tjw9GmYVk9BkaW7OlHbad0F5VSg1+CpnwWt0vgiQFMiYjrGB4zdx6SpxbnsTuJLRZVeWRWB tMlOD2EKwdNr6NSvogyELW1Q1fecxE0qqW3h6ZcZOi+4bSVlQsfRyR/wq8G/OD9MND1bjpZFeAKm LtXzFV1u0pGFijKXUTA9AtKlpT7CurdcmkBmssiOrO7EAoXT0+BM0PHPGdJA5tawdCy9zs8JLUn7 2YkxEwfND9ZBlw/hwtNv84PQp9r8CB6OmiguOk3Qa8DUM+v9OWchMSlLDGEXqw/c6jicA0yR8DVc wvoh7wDppw+2y0D3gK8rcdDj/NM2iSeUc8H9le1ukgtB57u+rx9qqqBP133Q5XaSoM57op6J+rxG SucFZyo2P3wxBCFq/WJ6XuOkLvFj7KniHc1UrDZHpR0XtWxopDFOeo2Sug5+hOy1+uDRZIJRWKI4 6jiHzapkZ3T4fnd0QUE8t6AbOqR41/fpofUQoivJx8JuxpvjoxG5cELa/HkNkfpjQGBOM4k/jM/P a4B0HqKGC10ZvQSeXNeilra6l8C7N8ZfwyOufQEv1/DhhkfQLQX05JwzcGsUsXWA0HMuufOW9G3S /toU2H0NjnD+0OezF3KhOBhcbgiwff7WE2u/hkZ4uvn+klwxTdCr76/XyKiLmo0ybnPkQGfTxzzQ m7fEJ8qMskINJmjuIbx38sEWEOS0T1y6eRCffCQRNzBRbvpZUIQGYPm2MBlnv0ZF+DUp0diRaNmg CWo7Mzb5gYjS5zUkwgKavoB4lp8NNhCQa35eA6LuFW9TjGmC0Vtr4PxABnvGLbrdlSmaH17vebXh g99f6bw0/gIc0PhDlllXnhUOddwfIMbvDmO+9hoMdTOuwskDXCpFsIUl7Q06oWxFngD18xoL9cfc 60wQrQmBPhjJt9hrJIQTepByuKaSMhZIXDN29ItKXUTLYwW9xkE8oTk1WUid/CjHdJSPAIpDwFhB ICZWAGhFqMbB2wu1EYusyR1/DYPwK5DIU0H7sUHltnHSjm2tkPx5DYL4hvcIIhsfQXqiSBPl/IIJ IvtEhUiDCUj8nGSees+gkb2r+1qR5PlAk6GtFvieN6I+++ocO51L6Fw1U5qyiBfRWwJafVTXHiwU 8fi81iO5jemAAqSrDaqyTQYPjKeh/BdMUL8xEOcHYuyqbmN+XkuR3SzlcQR9keemndHWsSQ6gKUE almEMRAnBsgtG2wBodcD779OlYZ1NkYWahCkemBb4Pl5sXBJLxuc0dStBCnYBx1Bs7A6YnWj1xpk z9ca9iwgeoCcDxtlZQ8SoyB6ZJOvcJWv2uYl8Kx9b7HignrvrDlMkcRiqnzf6njwpyeIqPDBRhQ9 6MyE/lwC3X/By4LkJhfUbfa6wRpSu1so+ZpdWAcCxuzo/ABz8HnnzHXV/+wik9cempP7RtJRKA1b TbgxLVdm7Om6Me25tqPjmRmLvhOuI7F32vbBEzKAfXpiQ3vPEyqiEnUmCb8PGGE0dvUeLrw3+LrB WU0GJpURbbDCP6wexZ6ofDyIptP4uszWmamBiNrmKIqmKXEGQ+kr8zW8/IiM3HmqDXBtA+IEc4Rm LwJFaeqPMu8c9QwHJjoxnx2L+Wb1EXy5nsBYeszh6Dv1eafLcdkLHki6HAdN0ZkgQQbP8QD4WxQQ rfoVMGJdjEZjWE5RFFGjskHB6nZBE90V9dZcTh5o2wOid64cL0Z2jla6g+21dlL6vrHKKjgFm/Kn UIs7f+FJX8/nQbP/3mdq5EQ5GbGBSXZDHJSTlZN7cnfD5fHzTpXr+VoxZeIA4OwxYPZhc/QeVSez YSbo03CUpbp4ZeKlKlb4aLcnEs4R9hhbezZ4S6Q2GLfLiumE2zWrHQdMKATkGZ0IHpjJ2Pu80+Tu cXRWA9kVAIUIgHW+IBNt/QNRsc87Ta4/LVtLXDNEMtoc90CKQmvqyydyaUwqtuZ7IOXs/id0olDR 8T22hrgHS0MiX5bx6A7Os61KS0TfDCjmqiw5EGB0eDGL62y1WVoNvZPksF2SdNBI1uFg19FJCAjA pz/cO0cOiYTpWOnqhyblz5ScPicpjK8pMJD7ulydOpbl93VdB5QF5pomKQqwtZQKJEVs8O22zhaj KgQL2OfWpxMjwhuYIuj775GUp173fZIogYuAovqgpZRQ4mTdZ+b9eefHWQr8leMDBd3T3W5RhL1o 7zH7nt7853dZ8z9Nq6E1CLtqjqIQm7Ak+HktH26SBg9O2ggvQD5Q1MGRBAn/kVE8QGXUaDo4xfkl wbFNlc9UZVldl0EkoKYrdfrzT/vzTo7rEpC07Yb/GBbgA7miTdJ7lI0LaQgwOW9B9nroLUpEWUG2 eOc/CrPlyNQSr38OdrXNsoHNpYIlSs3sZE25YUBOTlUiq1sLOvkeZqOYIUVHJmwcVCo6uU6zTBZC OlGcvfa4RxLxqByaMKacpijYFuXrHEBeTysyG1gU9XMp6z0hNKJpCqNtxpAtUz+u5eLTVFsBDWtN VhzPe80msiHq+1SJII3Qy/tTbxtNE47ugYDIBj+TtugUleiIKNx2moClIwxg0604RtF2RUkknUSp Pud2s3Obsox2bjc/t99JcYy2mfNXsgc5eJC06cK1ZA2fNkw4UJQFepBNDPLlVLPe6Ap/3jlxWPd0 Ps1bQo07OYAEB2nSbiv5806J4yvO78utgTU8CJnkHEXBdu7KafeNkmb3/uzu28siDRc4I4B3Rpwt SOQwstHr6Sk8nmu+NwBPK6A1vUGYAknb+U8WqZuwbfYjiZXHd0YcszZU1hq0JzEA0MxZ2pBKUi4P vZN3Rtyvy002KOf038P32jshDqtXKh6tXhuUnbz3QY0kF8/Jdre98+G6+FcQq6JdNQefJLjL5kav k71OngMfsrM1YGNBFTSg6DyUJOf2806H6y7FRGcEsxkWkaYWLtgfKuqvzzsbDi855le8DWBZFVSS kxTG2xKnYCatAKDXh6xrDjKIKsn9PgfSOxeOAQzrR11in/0R+zzZ39hiLp3DeuRasrxPUaJNeEUK MRm+hlIn70w4riQc3AOxlQ3WA9l7CMp9js7POxGumyeeFhJLbAq27kqKAm5ItFGI+zoNr+YS1msZ Pe6sZhrdc7tFdWyCtei77IPjk09cufam8fmECTo7fKuMc84i03VrhvkYM73T4Bgxs8sPtpkNBv7L e6uIdNKWzzsJDpOUbpmN1QLE77vcSQpL2YS7z7JurV+YW2yClm6V5BwxfiZFtWwLk+ozeCh57oaW UDGq6EFWrDPRT89KgggUwE4/jBBwcC+9bhAmJYrpLwp7mWAHJgl+BlPWnnN93ilwSOXLr3YaoPVt z7vd3uNtSIQqTFou0ZwlOUhR/TKu/+n2hsg7A44Ht2Baa/vgseRmmaSyGgm/ssWVlADs3fTqaWk/ HbWl130/k6gBcw5IClLsfAUp9syKXqGT+HknwOGvzR5vE1yCHkUvN3N7J8Dx4DY9intwp1v036k6 H4Ay1DqT3gNuweLmrqIGc7AgCToO9CpBBABMOM/2k+qe03fg5t9kzCtxK0NvG82R+tVUQ99Q4ZPv /fkXm6V4/Ov9eWe/dRnZPo1rSPC13L2o/U5+6wYgPaFRmX4k7Z08SkIMryMp0y+NC+k93j7vT7Ec 9GF9sCipn0MuQZ6Mnf2UMj2uTuKGBiuV6MdFssFwXa8bRJLSypm7+qCkBBVmqQSeV/28U9/Yp29f dxswMW0+my0KtulokwfVOCW81LbXbUtPVyineS3pnfaGzUaMSIecvw2+jgZqo5tqZ1Cx70zcziKF qj6xgfWR1aVm5TvprUuWvsPsqfmgqw0pD2+r8/Lj885560byuzESPGvOIeD5/zvlDYc2tYRqX77V UA/zGKlkd6+Ct55ipHfGW3dXxjopM8jBpmgUgFxIXSCqGs0SMt4gWgZM+BzX3hMcQX5H0OFn5xG9 Fx+UtrUTzxfFz7t83vlu/RGytlWEbA0FBZuiECNCq5jGm1OnEVtVIt0AwO0t2m1ckne2203ZpspJ HGyKNo6Ps3iAgQAOdW+eWzjGzzmCIAX2Fd0oN0VvG0wRkeywqvJBG42+jyJInAPznerWxRuww0jg APEUfY6iUFsEeKORVJaRTF0Bae0yyvL5m7vBj9+ZbsQZcf0M2ulxcJwIJmng/bCMTr5beWLjODo/ YwMV9jmx29DbBjkbkRBtsBnJQcsIaNRsFL/yeWe5/TqwOZ8oaKKNb1MUBdqNRrqJlkZU7Uru0wDz 9tZtipjIaYreA21jkEBlKPngmT/Yf0VKnshqaKw30TuskC7nl97TaFKd453i1q/lYLIBk8Fb7RyW uRAjB8jl553h1h8ApAWRQFg19BVtlqJIm1ZFcAR2TvdcyWeJmoQ6sgt0XgWoiUrbiYXI3ssdNEut oq96jlKi/U8sCTMCHlmoF2Py8lV6IZD4885u68nYbRBF80Hr6KS4pqt/PuDzzm3jXvsujxAZXm95 5J3axmsi/54hWiQbmdv/LSDsw0w+3pltmCFi+eAL44NvtQ7HoVlU/EfyWauEYPg/l5/V770/mYq8 89p4ccprWK5MDvsqJzPdCllP7sdXDC61tL6mqNGq5vaQ3klt+NLMJu364pN6ZY00dQeNABOiEzsq atPd5OQ+irV3v5nIBDgnL3EhAGFLNG8Eq4qcrfNpLCGNB238zmnjBYPjqGfKJ3QnzkEl/lwRLEYu /IzvQbZidNtp6luOn5HXXUdRkI3fD+4nfXsAWZq3RyDD7biROk0c753R9lT+kw/MrE2yBOTPQWjN mRrxvM+ddLYEriP8HPMa6hBp/E5oY+le7aPN4jYU09T4aRCVo0Tlud37553Q1s0U74ZH0Lod46KP 3vls1m+A/0nxlZSG+3vVLONKdf6XAdff6WzdFSYqVRE0OA0Cdp4J6rjIRDpCJ9QiadRHnYACERgP IYu97l8lpAHPArDZoE3Kn7+dqID8rh9wST7vbDYr4Tw32yDEMN3tFoXZLKpkQD6spt2bwbJP2LhM iBl1tuYBUlTTnoaCzNUH327wdd1DckplQm+PKovpfPWGZE4BPc1LSGSyvRPZGKtIRYlBJAfjipSl RkA9n/F557HxHf1I4rtBFH7fPts7jY1HEpty1JOmxGJtVCXBzzvqlVgkT7gQCBnH2eTTdAkK9/kA 2U7wsscgrn9BVHrSUydDSH5RG02NkqVa5NLrvs9RZTm7NBpflUadCGKGE/yZGDzX+XknsfXHIV5z lCHeT86VTVIIIUFUmCaAhdlCJLoMFMRmPNTRJ1kDoDhNUhRpT8lvSHw5P+z2k2CcH3wviryevL/t TkkFSm0ssnPgVWyl/9mKXvevEInGdjYIiwQ18Gq2OvPzTmHDiXDhfjrk4e7Ruzfa3ilsWL4q2Epd cYql5dL5wwvcNSWQA8gcfWewdZFcJlUjfHCGSJsVVgnabdgXmWYxFT0+SuZS68ZVl9mOfKewsQnE 6CgJsZUuYgvO6kpIzjb+vBPYfgXbeHCBMVqTZ/7v/DXEDfmrqk3uUWbcDTnWJD2zxbR2GI/mnb7G 7TZ59ZfmgwVJDWVYipsDsYVchZoTBfa8IPshlnwkl0l8eKevWVUQkH4aDnMwFg3E1Pl968Ta7+w1 3m1+bBNFQuTx8lD7nbx256jX5Wo3wPH63QakjuW1KNjx2H7nrl3yQ8Out+FWkNDgwc6osIgre0ld HxWA8+/P51E+QYmtyiPv1DVH9w9oA/pguf/5MxX4nPzn885cY+PAjyTKxS70/mE0ZpMUFbXnEKqt WX0kdTY+ZaWzh+MjMiZTkxRG22yP1K4AoN8AoGzaVCyqBE80XcCWQtaGPxgQDkEnygOPeKetdfkF n/9a3ZF2uyMwpytiiPQ9Pu+ktW4qB08kWdhpfzZbiCEhyB8MLK9pp6tzU9SXxByh3mpzFGJIuNl6 ppgpB8tsE+hdDK4rCH3w2GNvAF28RkzSfqJtraOopI02yrnTsGZs0ByBFseMosG88p2wxuPsuxLJ tu8DIXmnq+Epwlxau86MbTrM/2QVxVuRyCWMBxEH27j++1R2Oy/M5vxYZ8Z4pEKp7Gy1LC1YGL43 UBsWzSt1HDV726A+wrlJTbK4bmZ0LgE4PIrcgIstCrUl58uqvxjKaI/cAkmLYm1qIeS+hteQOnV7 6SaQ+vJq7Zk4qyG1EKjduMcWmZ8cbBmV0RZU39lkq+fK265jBrFJsllwnDfV2ZLeNgD+bdXZpFRG JzD+XTCcp14ZCiV4PIgix68oEjdFf6YojLRluNDzLWjTC49ltlQfJ4HuUPYWFbSTymzAfttgywg5 2gmg0Yo/v8SajU6ya8KoCeXtE6yk29G2IzuEjzBZI0TKBgNHQm+ICf2JxfF40PUv/Stlw0/VUd00 Qk0caeP4Sukx+KyGJGFjxG0EWCMUo+Y90pbfMUpHEGjTYBWkBC9LqhmRGApNQAK6OsT7IXLJE1uB tkwEesh5JGetzGfQ3wVfTyndtc3Hgy7k/sbYor4MDTCfoijOHiSFTtFkudNKNzgk8ukrFkHcOaco Qo8MeqD22ZcPNkWAZsP+ctC2Y569J7mECRgBTKOhrHhTf2pq9KikjRiBIlLDB2YVJ/lJ1XiPJxnp UZydyvpOaidxER5m97iizehoVVdAQF7tjZFuvYAq3g0vtR5VtEFkPaFjY1LL4eIioINflhjrUCjk xQ9Py59NiTM2aq1cSxhSj6JsAtoGVVgxUJSKIeRZrZW1z3F+FDz/fh6N+c0cyfRx2vdA6jH/UVjT ZgrmiXIzhvpjA17JCOryjLN7FGdPmr6SSWmDzxI84EdWO/vEEGkRYrNwa26RRMeDZyM/q0dxdmGJ rS3C2hqul6xqJKDlrCGdDAyPB5O019eBhBz5TLRntT3iQI5MT8/K1J8iETKInQheYYikQhuaxTZH MXiE1cipBTXXBf0DNJpSZup/8qpz2xYy1UFIOVPW4PR3XQNoMIUvidqQ5GZVwpErY8Ci3P9cbLy8 xznv8Px/udmwrsy6mpMUx9k8tZvH2SDdDU9G0kg/7hmwLGHrIRGSwWMt1GXh4AspZUBseflTx1UY 2w7q4hAuYzxHUrXXDVJ/WZ/CSAKJLP11ud06LJ3kNVU3no+2m19thOOgxlbn3W1hXXsQ/j5JM6rM aouLRs1UVR+pUFirqo/0ONBW3EPTPA42SfBYaAmFD6hQ4XhDBfxcdrCthsYS4URXd3PqdaNzu7Ho 3xgpVRyowuqN2ntnFWi2WvAB/wXRBlhSZ19ds/Qea7tWWwUqPxvEli7MlaU2MDkYJBXI7FcupSjW RoMZsslr+WAFEpRYV4a8ACL2E2prPuG18EOpvEzhA7OqpOpmjwrblRg08HZ8EMSmDIhf8nbLE48H uX+7TSRG5pD0hoOFTVIE1yaF5CTnVX4T2HlkQm5GSUzfNg4l/COrSD2Cj5D1h8xUXkuyIdWhBMh8 ljQpEDylkdIGnNZamZJLzQ/uAVYSviQIkyatXtJIPliB5ERMMk/cqeDxaCHtr+0GrRug2hzR1sNw m+TsE5V5FYmwfJXaGi9yHOIQBqk2SVFhW+oZWXLl+ZErP3d9KjyOEAOAiTSo14KfBqyys9FXdmok Fxy/JMhs2WvbEC6yQZN07uekn+UseTweraQbbjfOEuhf655KIwq44SaIbtt1G67TJUbKqn1apFRp 4YlTaUSl7U2YFtFPNnhDEnjwWtiyHWdNnpheBxcuFswSmRNkkJgK94gCbvaRBrG5Nqj63wBRVLPt 5G0jDLi7326ERkL5PldP/0cUcKv339NdSErcESaBEuFGw5NCqmSxh4VtlSOTdO/To3sP+awT/S2R tCGxySOpAmI6iEtt1eWPCrXn8SV/cCPhUX0V/yQqCr/ZPEQKxSSFle39nbhBu6UjprVJiuHahdSI 5V6nBEEqTtojGZT0/DsvI42osJ0ZRA6B/4ZAtgNZCWgSAN0yKwGhuSmiguJxpSIGQ0mZA5ylMfAd AXyU/cgiJlIRsYi4/1QkbHs+ruPxqEPSvqKkxhwg+dU2QgwJS3NJ+6s+aj405NjZ/Ts7tSi41aJw WzCbVki1bUVm5Or9QzKrJ9lSQv2Q8pLgraNEAg3eeu//ExsMfMdf/ZGSdXbn6Rp/xOxwZZzViceD 86iW77yNue2ziqJoW+k/TP58FaXqxcjZ7N9SdsngESOKtpXUVu4jDV6M3NDTysRAgEmaGl1gBlTn G8S08nw4tswr8CXRcSQGUhKvDfx93kYgMDXlbWdL4PloHaWvdQQA8Vd7ZITRdpZzQrlkrTmv4Vsp l6wF8pe2WhRtS9Yni7PFwc8jeAWlzRrJAp2RcKUTUBRqf0B8KPk62sneNshtkzAkLANwSJbadt2k 9QQReDyC2dyKLUHISG1lO8VvDZHaBI/WMhz2VykwwGttZPM2gwOSp7YjQmoXkv4rVqYNnpGc/3o0 +baATNphZEv1P8hZAr1froA0lPf4tn902eagXqQGldrORpN1OKx48XjE1c7fywie2fOW2kZU1Sbq L61RnKl1fmjrIOWpIJKUf3yellEUac8h1B9vtyofpcx45eQfwEYS9beJWqNAovIAVubanSKoDOI7 ovAIWT/qBz5YA2lWq9iCYDvCQLv/orOzEXmBkSOMsymkCUkQP45WcX1tXKa2iohE1xSFcfaSvVXt PlgyQgctUixhAdATBEkQZ7NTQDM3FHJ8qzGEHGGcLYaWLEoaa2BUEhIJmbQIXBD4gCD7r4/CBvm4 6rPdIDLGkIjQhuBNEZLEfXgibaNIQjg6GS9yxJVtCrXvNH3wrjaYERkc8HMUQbeDAhNQ1zwPYIUV NbyalKKaXjdq2DLxXzRchvb0D6NteOpk1lJ/xglm8fy/iiS8ePdCocSRyDMsbZOsXYARkbo2lRot /2+GAuCRNJSPzFjNj/ttjzv43QY629hU0Tx/2AT0huV/gBxqgajeLSSJYztDDEmZ0h9pPlg6Aglh Xf+l4vFoih4AKTu2qHDKlZqTFMXaUApHiMRyGytvxdPZ3HsyTjKs3Q0fMcPiNju2BTaqNjiI5Bym Ky/h2so5ADeJEYBx/8xB+mh9YLZLbxsltrz4cQfYoEmCJzyvplZ7wePRofQrRlJu44fSjCJtpEhQ s69O+u/JbSQKKaPGr0nTiJHzb2JkTiRic3CmFvLa2Sjsj9QtQcwXm+283cLMlZQvzmZRGWGGtW2S kGZbd7AKCcJSYyFXPP4vjQ26BA4wbIvHkTMKtdnEhFmZa/40Jk3yJCnJ0RGV9tCco7CyTVwkowcb HB0xYJDVeDgPGCy3VkWMOMHJPgdpy5fPvohpmyGAhBVIOgbYoHAQZWKCnyCQi8cj7Uyvj2TJ/Q+g Ke86imJtlvSga+GXm9EiGSKJX8MeSe/G05qhvF8j+UjaY+XRHjv/yEKAOEioTRKIc27Os/AHuauk RZouEuHaM9T3oy3gXusOwtn2s3RlG3xSNzwetCP7dzWy05Ix3+MoDLUXUzYR/iVIMp6s9gJIymao jTmKACQSHC9D+L/xxa+FRdYAcRYlN5CSEx0BmJ7hRl/gVVmMRKfJGYXaZGhPGjDboK3W4I1AR6k5 Bh4Pwsj5HSNNaitNr/3PCD8ymLJlAbPJQerVRY9hwev4EYqBcoqisjZ8pHlUNx+cp33iiRPOmHT9 CQqEjRxo3DYko5oiY7IVve1fHdtK6xAMw3pIu++ZpJjRGh6PEjZfRfIyx4WWn6s/pkSy3U8bGbMm WzeO7NW3WlnLMLYzlPmjrgasJXzwrXYStgb4kWxaYHOLSAp6SfAtRF35hpGE1uM7AtS/fDg3o0kO VmSD0QLD57X5eDBHKX9FRy0hG8oeQs4IP6L6kfr0Vj9aphtx4o3qLSTUfWyKolBb+OM6SLDh4NRa uGKNXtRn64U1I+y0c1kMcDfgzXRL2gS0zyjUptDPoAsthmzKzoSViaV9kpyK5/8FRGLHFr/LI4o0 Q0ok3QPX3C4dlVu1lK0sWr6xgjR68yM71B9h6awNriMODoyEVF0HdBhFNpho0LqNAPSObsfJpJ9J WkWvG202dmo31bPb9pI2DGTVsG0no8HjUQyZvs4jFhD6FdRcUZidCU/YNXsJqVDujGltT+MRjkoW Hq0IQeLCUaKPjIc+suHschYlOZFgbEn+n4bB5/ZuP7S3kbaGyP4rLGgnzs0Up20aiheEy7zFsGm1 4vGIE3kjSFb94QyQLwtphRASWrY1qppyjmZ3Rf/SmrlJAbbnFe0VRtmd6iwUINFgc0R/vhNHLhL+ TgwhsFanfTEdiWjYIiDS3HrbqKBNm5HMov+A4ohKrO0kS43fCAUYPB9JkH2HkGApAJrrcxRqZ1ce SGXfMPshjgK26uGR7N0TP+mvA4koPg7MrBlC0iCMNikLyVvrVBcnPBZqWz8r7yc6OncdviMoaKva v0U/3k4/PmkzoAZcR4uPR5nIL0AbTqLxTFEUZXfRj1txOaQq/cjFIlt7wOzNoqMV4rRlM5K01dKz 1Tp81+tk1/pcPmdFMcpmIbIn84y5vslbb/sH3w8JyPRBp9FZPCR2AMdX8XhwGt0Akic2vQAeifoV Rdlw2QGKLWfD2KxSrViLvrObjACxaHMUUyLFq5nTh5vQnsB9Fq6VE1xvAj3OAUua1BQr4nqxbHb9 V4Qf6bRiyWgwYwBPQBWkhXyNAPVz2+H5f3FHHGD7la6tKMxOhEakdM+jROEw7rXathu0gfZvey0W H2H9CLU9G7zOVhtcC1U/6uc+m4BrTUZfC/IRmcJastpMhLStCD9CkM0YPMU0KF874XqWRtvoA49H WvXtO1+Dumqvl6i1okB7LWqO5uy7bYr3j93W2ArlbgND1lZSGGgTYUu6vg1+sfWdamms10IFAcpB FLJD9RKFGCa5VkACcIuvG0xSpykbZpWDmc4sFF+Y1Pbz4Xg8ktbyhcSNiprTwBK2SYoibbBpUInE hSULOzqFsem/E7tGlLEBIJb1oxWr/TWWalv3wY+k819P+sKj6Q9J8yYdWxzYFK2Q1o/gI3PodaMC UvLOEQe08UivgXS4iUZVPB4Ja3wz/hrMmte4x3ZIi6RE29pu5J62WpIUH5mmbQOJNreHWjF6hHg/ VBJs8N02WoGNbRHEpkATj1VtMEiQRJSUHK0FYJpeN8BqUwoR7tE+6ESixyL/7jb4jkHPf3332ChB WbtnbOvvivao3d1/Ff+JFbEZW5I6Wl0OaYWRNvEQSXRtDraOwBvp6JAQGgmpRGZsaVF+HCdiq/du YzkRX/IXTWsP+kZy0Il0FirVFKCWWfH4vwRaqOKOuvK4yuw7irRxsGf6tF7oCMu2RP3N4kI/JTfr Q+5Y6k+K2r344H3InhpV5rjXziJqxLwBKgHJZqqkeHFEfts7irTZy4CpzPZBxZGT+WV51qXBxyN2 zbeBBmiUHQ7ZNkXvgfZ5qjOI3PPqsxXnsgOz8uCQmwki7H9Y1CQBbdO10ADO4lzOBGMBmE9rNi4j yPzSwaOlfi826vvvEKtNRc0E9TkbVGODyoZoDWel4vHozL59EYZDiCAhJG+TFIK1KT5aRnYJeyKg zYaldi+yTbohcZJisLZSNVpFcrjEUWgjYWfBx2dAUYBe3KSO0DKiuAtbYcMC3/FXkQ30fh8sY9vZ zOpK33g8KLJdMUTeKJlR2T2PdkiJnFTaldIIo+69te0AzdgXO0LRTs5RjB1hBSmn6sMtRE6UClVl g8k2o3EobQJhi4gL0b13j3iv7SjWVsr//8Eixzk58zJPWr5jpBj5rYaErLbCAsXmKIRqF0GPR7Y5 aoiA3Soi/T/GvizJld1I9p9rKZNhHt7+F/bg7hFIHnVGlWSmxm3ZTTILxBCDD67PXokW5hyFBW1i RktTXfvh1mY4sZ1NJgrSSUKQPZASiXo/rv2SLy6CkDp8R5COEO83Sa/RoHUEbrDow2Cy7SjQHsv3 GnXHgNJZV1tjR4F2lQV5s3oazbNUJwGDvj7yI93Poxg6op7/MzhLK8HhYJNhfCLUSZUIuLlLYxWN 93Vx2mvobYPoiLHjKqv5YFOUIFjAjC0tPB7p130r/YD5P54we0fIkUQseaUbk+RHtqUlwI9e0YgC jLCmKNT4W3JCEI19PzT2DlNXOg4g78dCorLGqMAigt5D61prZfeut/2NM9o7c1sOqtVSma2pbMbH /5LUVokNtdobQO5QfCQzkaX0kkhaxTD+5zAiqQckLSgxTnH9dowcIdy/Ugax1iuDeA4f8BSSSFrz HP64B+i4jUYPBCPr1dOk/TC+IxL5l6GGXGuyu9acNAdgPGZrc+Px4FK70iO8AFGoq9c5a0cxNiw3 aGflOj9ggmmKytbEkaSF5pqmKI6xeRYt4o84XMzoeXrx4l+QnG91qwEJdFRhseA6ZlJsDd8RGfuw 1I/ykw220WDtJnZ2HXg8SGjLdzEbJNOJzWpTFIXYjUl/L83hkHBysrMo1dVso4HgaBstAo1M2dJh EdrwVEYg+UJwzcnO4Q1CiBb8plo+4Q2qQB4asVC7Q92RqgIbvXy3iwiDkrorY3okPHj8L/E6AnDB OZ6PK0uKQuwmGJtcxdaPGaQpnZ18mJgRyOaa0XgKY+wuK1EC+Xa5bZGzSc/yqXjwLJQESVCjaJ2w DL4I59MAKJlyZF/bXjgwGctS1WDzMKHALDI6Eu7FP/MEd5MfEOFG76FN8U28NUyFfKaikjZbMCBu LAOOLDI3CBzJc5vsDxIwa42oTfU+Uzhq9mDjg4PP1DnDdxOPfTc0UzsFbKFjS3Iq4ENX7IehNr4l wkU0qbIWH/gtCdeDmiNrZj4fJCT9ESBhi47DhOucz9V7wO22EWldQ18iia20LSEgYv6Hi0flFEFI qlbVmndwvNZJyk/yxgMcGJEMY2gY1y1ItaAQg7P/Oov6C0cACXpnVmm1ggUkvh6Ar4t5xZgwYE1R 1D2uDgm3Kq64dYWjFQO/3uBbZuzFIRJzXX8NIKEv/q80n6jQIhJKdgtoLR9uYfIsKfrUY/uBUkjn YxLlN6CNsIJ5OrfFXjhCSTKaTLv7oCT35KprSmB7DD7/V5Ebz0EWo62r1EpXnyCpoaqdlJDMPsKp NgsWXYZIRt/eNl9U5ebtIVsXG7xc0k/Y3aZhks7plKn9kyDfvjZ+KOh8W0kpUyAJ3xK0S6bMWNv2 weolaJ1ynjYcJFKIJik+T8S6Ii1bYz7neVjoxoGYlrrci4Ik5NUwgRw8zxcZ1mzgdn1UdEp18UiL D04nPYccKj+cqAEBfba5K4jEi3RqkIu8Ppm2vXAEA8RBzmqODZoousryC3vafD5y2lpf0RMEocao zzyFoiRTfuzjGh/34X4kg1YQPM0HjLxsQUVRuIgAudbsgxdOGqoZOHpwmp9XSzJtg2LOppV3a5fA zY4avyWIoFidTDQ11CAkYIMSDP/KM9d8PiInfwslNcRj/aLcFaaGWAuwYavHB+ouaeMx+GR8sK+R dopCcYolri3BiD0fA8l0pgHS8yww9RN7c4vOjb4HslysYieVIn21F4443JVN3K1eLjNwhlEtVd7t /TzE56NG5TfzBpHXGPuZpygcB2ERQMl8NcnXcqPWVLf7ktG3wTwAI3mSQqvWsoQrXRdXCg/KBC4g +wJjnEuGMwqN0nNdoerydOGojcIvCcIoFnIZMdmgQhx0vtgPOQH+4PPBtqvfywkeCSun5xyPQvKl 5cSOsareLXklrrOKw5A8sRqkaQrL3jSuO9HTHTz5reDqzSlFgAHgzZZ/20ZJF/WJ5RIlhWERvyXS Ak5iJ1UfrGB5luuQLhujzdDj5rIms9xeUFFud6JCT0lqdqWdvdSUYO5hRYIyzasUqMDsVoCBqWSW N/LJZmkJWGV1bbXvvXvhu5/gcnb4IyN5gW0ilQdkT2a+m/TWzoGrJBaElIDbHVQn6LXS0vSnpTH5 fHQ+3bIlFhSs7tBY8XkKqZNTXnfl4nDbVZbe9crddsDDbZ4ipElK0icTYilfxFKDQvVZJ011S2j3 cioTncchSyQfvPT4k+TYVzKzO1DLHZQIw4tamjerVD4fBOX5ZsLlrqerm5wDa0nUS80I0EuX6Aaq XHAi8ubCyStTYarro4LCnJdUhg+2ns7f084EECEIQE6pvO/AEkfl5+w+8pg1T6XZ+0b9JkKVdZov OqeqYFAnC1bwqRx8PuoUfNedIKLSoO7h0xRVwWlummvP26vgPTmDkl0iq80BAm3LKYzHWeIdmcW5 kW9xDhScPg0ANwj93bLGw+yAvwSitotxDH/f34Q4zzV3Bx0oJ6ekHg1uzcrng123vmVvYa7ZqKFo 0xQa3kx2dXP302kvswc+x0ahGi5ElOC749MU0iiLUJSiUT5QgXIu0JPhLinMny/MwNKCyITciqfF yDdtkYxSDk0mKXEOybupwVC5eZxfoykqgFdpaDJZrlmprF86f8g7T5EYd+dtI7dGKpYy2bEiXWFM VQiDW8l3XYw74a7b5Q6Op+znWzLbPFTkSouJ4EQG0QFpzqoDC8GksCB0maRW3thogtogCBMDfaIF zwfx+b+aTywYANh/Qoo7TyGZMnFykvkm0kzZcV7LpUxFPrI0OPSZJHUJ5K3mg2O8aXuHs7LCwWc1 kgpQN5g/o4FdUpsf4yWpChUaTaq2AmFvH5QGVxyxIraVxef/OsbJ5wV5qOfHIDjwmkQ4QqUAVqkU jnf7R1wh2Sle5yB2P87AbBKhGNOW1IoPFvBCEg9/Bsu+Z7MU3MOQ5aaJAmPycaOCWu19o/Uku1Lt u9Y9KmgQa+Y8neiYz0fE02/pUlS4IWN8pynEeuOxE944gymBNePgCorvsuELPLxPU1gd5+FMIw8b bJpOMneOpM2oYIGfC1T2PN98flpimiuqig5lStNeONLBxwRl9I9tUHaHWZJo9Dlg+fxfxmUKTxes HJ/rLoR7s1tXdvE6XS3JqRVne7hPYAam1uYp9ptkWaWL8N3704uiGk6jqMIinEBsOAgSwa0LXef9 X2lL6DiJrnonQ8MH/b24kNVHyLPx+SBtKd/rCcY2IKzeiQpNJzvNemsz+An1g3zfQcLoRxrmpVcD oubQdVJ9TXqh2OAFTRxG5zlO1ETWwjOsomk3WSsGGVKgb2Jf+SW/9lskYzLpFsbzCdhNVgtwGPL5 /0U4ENd4b1fqJYe+k+C9ouVL2imj8U2F7kwxH/QxeTxNloN434XGkxBzP/NjyjirXptXbtu6SbJg NqyuFLG6o+CyGdegY8mdOzSe1HVH4wobvOcChyUd45PPR3Tm+p3dAS/U1lOli60nJyVxk0kGAr57 77vJC4RVugkQsvZd4D3pCguJntsabN/NDDWrTBbqickX5BpQfYKa11pkYFNfaSgNTvbCkVYXWU2L uJ06Ue9jCI3KMllqPycd3/yAKB7/7uDJcO0KmuTAfhLawazNpWW8JqBs/YBq25Dz5y1QvLOJ+h0B npqghK08+KYOXPYsBO5CtpMLao7F1JKpXKMyvt142944gBTQ8DWVKaDTNFTqWVEIX7jzcufzEW/n wlJZp8NJfnUEcmhBuQlNmZNcZvYUCKBx6Rd3V2yELDAiDzwo8RewV97ojsvBI00wcym0j5b52rOq XlBwIxe4NqCC4z3zueyFg3nK3HNIETG06yh1jom8RFdekx8QdRLWf4UGKFndiQptKOkg1Gt39EWn iYowhXndQh2UEGyiYoQKj6jN0ICDH1GpL1DNGBqUSQlyFOqgx9TxktL0KI8kZQ59KGnWCSJi98Hw F3PTWfT8wbXx+Yib8o10olZKu/pvOTSilFtnHZcIRoC1IqjqvsK4o+pdT6GYYBc7hbQCDg7kgffL qAQ0DYDCm6DQbEdNxJT7+r6SiMgvCTAYmTCVOu9ggcGJn4QH63Xz+Sgg/6aCIWPqtT4XXuj9XiVx sroDVbgMhJrr4wJVavWySuBF6TY5lEGzwVYTRE1WboQWjtnBwEcijD8TFRW0Z8kGa9x2Nk8hVoWA OYjj+qAED5YExL2dy2Ly+SAwuFJ5FF1GyjK+AoNYvLtIR+BKCqdpBYMTJqdiifCouBg1T1F5fBXB C9lu4XDLmQVoeBLCAIg9H0sIJuoqlC9skKbS6SQVuBz6UaJif44lIO5t0DRlgGDYvsvonQeGlIi/ 1le9APilkzr1J86MAvLE+vgJ9i5kZXr9CV6SfowvWq5o24UBuegF6k6lR3s5Q5IIDC61EU7EnzKl 4BISYfg9kbCByiI5GMVe+Bfs/NgUz9GgeuZEwZrH05lqPh8hey7BwMow59yvt+4bGlNCRZVqOZfw XNtVzN/7CufkaiC6HDtTst9Sm117T6TZIZU2AKxBZeXED+S0ore+aMksXXbrmxMbnkNrSkaaE2od PugYPydUkpAHy+OBN+V/B+R7wH6p3EAzNKeEWT10l24bgeIa5kzdZ7E2Qkp3PQXulOhwcd8tIunL 2g877FzY2M+T5XFoOZNkOBB1NBr2Ed7j+IJuLxzgC8ToBereBitAlWbU8D03n//LNI9vh1rmvpDM HBtUUqi6JZeqAtHU3M5AnXNMZhvuK5hDh8pBeaW1BQQjk8P2HbBiGTd4xSyh+LuBw0Atc7MDxbK/ 2pxdfanQo3IKuQorbAwoaPKOmgOOtpvhU8/8gD/dBTFXCzwsKovYTEWIFSgtEifeHL3KDqWET6ja K+ETqPvZTIUR+e4uHWiDN4R3IoaBPt648iDrBBTZWVgbNdwsVkb9apwHTpVmCT9IijwDMDXyBjhp ZF/s56K9ww/4C2HgcpXtoWXmwKry7HjmeJ24if+YlU51DBQzHpYMFvQHlboEXpVSbsUZ3qYPlwV1 vgUmfKytbAiGTHl5U5UZL4b2lCHq87IX/h0vJhkUXNXKNgZTJHznOPcrP+B/IbBCSgRNoDtRUURO iAGuC3OsSmqLMRnug7hfJMMJcACbqFgDhcndJlyTg60oKFSdPScOC1QVGvFkND1faKNniMU4zyd1 e+HozltyqR4+qGqQMoSNeOyguBK4Vn7b6CmHZv/1NhNC20pURMDvaW45UMQ8RC5cqSPFouaCn7vN UwRZaapBAXJhgxfJN7JtFpkgn7voyTqRnp1/E/2WPa68N4NefslvmoMFNHMbrDYJrBj5UOd25fN/ +cQwG0RDEOe+T1NYIqcf85zd912b6atkcCOD8kxTzNAU6LDewUvk60x+MZu4s7rgDosDCvrPaJWf LQ6JYO27tZe9cLDvaFwxOjXjOFgvYW2W+X5gbMTnIxWLO08MuEBmqOM5ycMieSWTdTHRla2uew7C uLrTWY9NF69pxu6VlLDMmUWonJ8i1IJM2TIKYmsgwg9yx847r45aDaDdDoHqzV44wh4yKE+7+GCY lUpLVTqgLz4fNDv/yfFyplr4g+0JDSwrDSwztQu58TKgndLVawABFPzjhlqOVTVDB0sJwU86WGng RA3ofZzIky5fu0ByEq1teKBD9CFDKr+yAGXtqb7sjSP3oS1+XfbBrzxoruMbE7K8wMTy/J7jO9ok Mj09YPLYxXIP9YKXt4Wp6su2MO8VawuT3sHYILCxzFY06EVWX+VafbV2/gJkrpXyMfChUBUGeFB4 dMLh5jp9kmWfAxtLJ/7WTVVUBGUGMkhgzxAcTpBB4GP5neVpemlWe5O80MeSPiHog7hN03IHi3Ph teFYjN6KUTdyaGS52HVpABPYYLWVCRvLOWiwA5LmUtdlof7XwE/bsAxQjjcUQQVGlkAyEPMLnrEN VjOAxwiT4XPa8fngvnskG4hZASUSzTKfpygor3SNH7V4TbNL/5PJcN1Ot6M3iy2nOCiXkPcuPnhx BRwyanpVMsgSSoDAQGG5EzGSnCJdskp1oZUl7WMGNe1paNHNGoXyfYo0E7rCoZnlv108HOTtYW7m wM3yzNNkra5dIRkI41ryYhIOrNWRIqJ5+r1I3tLcPjj2MJ/tkXa12i+YCsyFE+2kVFV8XHa6vW/U nCIYA4x9G5QLQzCcCBYwBfl8EBj8I7VLG7qvkkFgaAmVfTaFm1kOIC2uFlzCYlEE6rM29sXax46W gyAD6HjaYPcduFfQZybkt+C6y3JrBrwZ/Tz4CN4Aqm174ci0iYSyxo4CB+27s61LlwrIrHz+f4Gy FtERnuMppHBKK0W5nPT2DJdRQPpz+c8T5ngAFZhaXqILe+gabq+zVHAIp6QJQNtE8xyBOTSJzueN daUJ5I+eQ1vLRvJGhxAcBHMK7DsZkI8BlB5ykZUbPyAo/iZfUMpyiD28RYPA2BLOTSo8jdsUXtVF rhZDXGZ41O9TABU4WyKr7spYmg/ePd8DWAMUNVE0QIN5EWUA8gbIf9T49Y1HSbkceFsi5yfot1AH loNhyE+QR1ehk3jp+QgF9b2goFNyZvprRUVV8kF/CzjiOrxnXhmnRRqTwXvG9ImKUCvYOsA/5e6D NxPA8WmmcnkCzNQomsJIoaMnux6s/dz+vhEtmGQEyjdr0AEFWJ3S2A0yUOBwCSmHf6yb80DPcVwb 0M9L/DT/X3L/xrRMCATsUaO2nK8FmEoLqg7vdr5ET+eDTlggodTBloIhAUXdAMOiLcLpz2G+ivI7 dIuh68ZV9nCmED29xE58V0nvnSsx+aDC7wBRirzgtvD0/5khvuCeKhUUkfAgMjjG1P9yfploftDy y73R4TMLTjc9YylF4XlNE+tN6yiYH0mmFJXIOXjTHAaHw2wJkPcW9loG+gUdjYRca3LvhqVz6XWC TshRGYODuWWDJgjgKlUJTjD/eYmZ+KdWk3AqSurYkBICAxP0EjHxKWRIIM5sz30zCpuXTmZ1Oogw 2dH9Ei9pATGuRGDkg8eVsLFYIv5O2D/BzQQADQiiQkKBoGj3JOh81/cFxD/pZOlwSNVwoYYKdeq5 MD8voZJm1+gswgUj5GqFsHpMz0ugpPVDw6a0b+0yPZo7oChaNbyXbfnJS5jEDyIaZ1ed2hx8fzUU QUuRNsHZtY0hAqWtoOT1g9aphd0UAPi8BEn8CpzChFrQZ2+isaD++DhRNzdYXz1/XmIk2yp3g+n6 ohNVtwl6CZH01JA/c8mOplfHDhF3kS86BS6Ws1heAiRtsC2UBeNIDh4gnXk+M10khAKdXZERAfTA umR66Btsb71scAJJb2cQ6sRBt9lqSUie87euz0twpKftjAanNuu4QoRWbYZegiPty034QJsuJTNS Sw4fIETW4APOy3gJjThDk2lrKVKSKVdJBqKT6fw1Emc4Bw+tvydadg0ezdDQYm1JfUyG2i+BEV92 kWUwxYXiYDOUNoHlZ9+s8nmJirQYzGCnqO59FtQgM4Tz8xIT6WAvzEX28kZKJdJfuQgSQddF38b8 fYmIbH5kh2K26Gk8W2zQ2YCKTWcBtTqJ/wJQAq0osA+fPZb0ssEeyzqCZPnB1rZcUeGGwrJu77V/ XsIhnWCzfZ1Bk4CW7GfQSyykI7pS2iL7GZR2cifLcyKYQtGJitBu4wJ6iYR0RFMYtWwafnBw9AkU QKGNIb0msJkz+7tcQOAVocbgsTVJ5C+BkH4EopjoPWmDlXAhwk7g8lnon5cwyN7Qz2hivtD6TASl Yn5eqpLaYDyjT2h5bb5T9qQ/OS8DovHVkv6XmqQdD3IUpjZqno+v7kk5IWFLzZ/UqGzIpglOvY3+ NwigDoxjLPZ5qUhqftj9boV61q24Wfxaw7DOtUABMJifvrrND49zANfRXNH8vBQjtWYLEeD9CuwU 6X50cq3S9KIItYooahHGQAJ+zzs4jgKcrUEfz51RiMxMOTaiIlQygKrJFw5Hb6aXOqRtsCE+/fJB BxAO/LK8cvRShbQ77O4vBpRnRw4KayhIjKLoQUxxy33dI6jZDJVV0r3EpkuivTHn7AeSJ4MIdOmq xtGLqdMZBmF0gnI8ziBauy3aYuPUc2HdJk5ktIZGETb+Dob33ih24u8uA4TVaJNN32R4DCWFNrbf Ym+0OdscVdZM004hegGbIhrgvoYL6OnSC3+fI4qdarBldA6ek88nZlwJunqs5S4apSygWhDJeBOX 6efnjTVni55RUCcHunZXaGwbeEYRKCofDw7qvb5ustUAFCb3WdiW6CqbcmWs/Z5E9crHlAup7ABU GxYnmCPwEAAtUdL6OMWU3c6uaoJ2ndcCjJ2QJaBJ5ET1OMUB88G3fZ+iLgULNjU0GACuFvrw/px7 EwC4KBzq2/caY+8FHE73fOONL6fTekjD+oZDbd3rfufmBAIC8gwNEBzXvUjnm5ZDHC78LaWzw0rS OhpYtVKNO/c9cSuDVUm7zpJe932vAXEAlQGJD0+nN6FqN1irPZOFvkh0II3yPUnw+DiT5DfaG1vO TloupNqcozqqi+qDSphsksbat44dTRL+dJjmLh+sK5IrVD4ou7CQjcMvnJUk/KXEhtMmVjHlrHrb 6Dxi27bKnREgSIGwgGJtU5aDCdW0YJIEVfdbP5PVJPMqTlIUWNO8Ku1u08EszSYpo1Jh3W3MnVUd 3yNrlOVoE8uwUIOtpFnxInQXWLQkLoysGYvB09L4zlacpenQG1FOW5qMy64OEgddR3AjFzMXbnFv PDm+YzMIQLFWJApEad2VFEbXErJM0/k6UDv23vbK1kQ6J+8tzUbhtbzxKpWYNNz0A3CbIdEKkDkX SbyTOGS2QMlqszMpT73u+5nU6O1VkEPaoJUEIRJxwk9S8nkjyWkljTtJBrlEEHmLRFGErSQW1mQO vEnNDYchNGFVNIIJNElRiC31YdTefHBk4AT2HUcWFCtOWqT7vyE6GljxrI0aVwceXvyS90kaVNY7 KWXxQcXGNWg/i+8D4i0KsvtNQtiwhAbh3nchvUfZWEgsw7pyDrFpLvVteDdWZAEUUSUtCrNl7UnD BRusUlQJEqTVGcQqYGxJnDyqWFVXf7qFa6En38Nsb4SUziiAg0pFBYEwGyEdYjpRnL32+D6RcNW1 9qyjKNKGUgIFKrKtI2FYOEeVeHQW01YmLglzFIbaA8ERDE998GMb6WuHnhyK1gW0LzWW8JfiUKBk vBf3p942mqNMNyUe2xx0ILU0azcXtPV5I8Y9aQVnhIkAlzZY3lZvjGJtiCZC3jvdU3uW7ae2Co60 rxp+IL3R4myOpoLs5YPttXXmvZZK/iBUcxLai3NRymAkSQ55yZodhs8bK+4WJ6DQ2IcPFiOda250 Czc+b6Q4ix6Sr6Mpclj7GesGkm+sOM1tlbNnmjdIWl523Kt7TaQNDyTfSHF3SSJZW8UHS0ggrXtm hzkbyMsLEDokWWdpLYCeClBhfiCx8PhGilMoSExph1EhBjCdBG84MbMqeR2aJ2+kOLvb5teJhMSb Yvg2SVHp2uyZZvau48jTex9FDAEW1oY7WL0x4qyyx65H5abj4JFkQS+N7fedxjjzQNNBNFx+KN1f Sr+CloOn9hshzqKkJCs94m8b9Pd5aZ/oHsJFPxTWX583PtxtnzxnEoSwq7CSnKQw3CYlTWbTuv+n 21iUvq9cXE6uxvTGhtP3J1O0HD54SoKSLAWVgQUsgyU0VEdI/IZmO2wcHWBDtZM3Lpy+hLX9CfUN G6wFAv2LrKutft6ocHak1e/6GjtN3etrb0w4630tUgabuw7vYoKDaHZNL/KXkg2g/EaEs9SW138T 8J3seu028L6hFd9FQT13CRvckJj6QRnIDRrm48/0xoN7dhtELe4g9F/LZH/iikzz88aC0/W7vgNJ COv3Xe8chVXsJk+m+ajmOJey0JfHUlsgNTRHURkbHCcY6lDFo3gbEGXaDkldpDT0XzK1S5Dk8w/u TKxYWG/IdhjFoM8bB84iepb4QcmzQXO0xawjKWB93ihwttbTP2lbBSlgeY3kjQJnJxK71t0XEoxp 3TAODq+e2y7XgnljwN3cHKkI6W0iqtgkETMA+4JK3EnCxwJGehbSObpQakv7aactve77ibRYR0rI R21QHQmKavINXGl/3ghw1nueXwcSsc3lZm1v/DfrEbAdkqvrV3bqvupqq9OxIgOkYx1I77E2BBPZ aqxM3jhYHLlWQwBB6aB9wpmiAwm6jDBs2T8SS1fSVobeNpiiySnaS8P5i3nrQ2ZhqSoD5d3PG/nt lpSfWhtSKJiP+hxFFW2ECRkdlxsj1Vo8RpIIDA8kuhRwHb3H2uf9ldmqcttcAxCxdgFgf3STroR6 Odv6CM96A/piEGVuBpZLrxsEkpRYoAitDcpH0Ewg0/sk4XzHYB2t9HUgoX7Y5m3sv/HerI5E3puc vOU+cGu2VZbDEsrxttob7c1KJLjYxmYQwMHmaEg2h5jtAcDIEAggwfMHC3jWR1qXopVvpDfball7 bPmge428PLGR1/i8cd4Uho57r7F2iR2bb4T0RnnTOurSjb+UN2uHyC+uX4/Pvi2vfWO8Wc5IcK0U qjg4uBa5cllU8Frw0gU3DWc3XN/QXJjjenxSqunzRniz5ljl5NhUXSUh+MYzdT/3afm88d3s7v3u 7xO2BgCcTVEUaa9M7la+U9Sl2YUpggy1B5GCtCd+0m/1kQ2ypw02RefOOftoUPEbdRICjCb0B89q oWkcPCy6MW7sbaMp6oRnUxyHg+20EwbI5aufE/ON6qaddmtIcmBmhfSZoyjQpvUF0OwuHI8v9K0m tilA2pMYcKCP35hu2gaEP3SxtjnYVuuQ1j8nEpdRhd5worcMDOLhswvu4gOCHHrbIGUjiYSu5TZo GcE+VZC1E2F93lhu/3Vic6tRy57izJqjKM5u7PLnbLMBIFZzhLZI05wj09bt/KRoq2FyIB/ow039 ETl0Ki/PQSStbbUOBXN+6T2PJuU53ihuloywTZto8tUYu3clI+fHZp/spD3l88ZwswJJ/7r7kTq2 fGtIbwQ3+2mkaJZ8IU2K1gvG7iYNNdENXWiaqKyd6DmMDNYHTRLYu8BnCewPufLBOLMDDoAKH/B1 Vh7pw1426mTzzk8MszkYljZNATzPAVo+b9Q2C7PX13FEyhZKfjZD72G2UFTEPV4Aezchk5PT5v70 aqeRlN+IbZYuTgKxWB3h4NERdClHV+EfdGJgGvBDoMqGnBogNW+OMBN5o7VNB48B+ybH4eVVtgpD Y6b9yPw+b6w2LYeb9kv/DOIu7S6iKMjuRc3a5Q2kSuEdEW/TbSDV4Uo4b5w2uzEGoyKZoPaHxn3O 6rZHU7a2zqG8WUAiVnQCfcrygpMkiel747TdlLTPUaS3WFxvsSE/JNIYsK/PG6XNFoQf2TKUz+ho l7uOoigbTVJoBJVtEeTqzrmFTaf56cFk0q+1uKLNtH8zs5Wq8w8XSh49VRRdKFmC/xIYyqLtAA+O LjvuqkOc8RuhTfUulrILtJepmbsNw9jOvbmYSpzbvX/eCG0Wgd6cFlNKl9HRPRd547NZt4H52qPs ciJtq0VWJptWZuveQXqjsxmCgHSawbYtB19K+Gs67kvE2aggTtEjz8VGzbdCWIkFkSgIft7YbE+f bSxI5YPN1mHYwVmC9iG/cszM54P9dpvaKjehE4WUwGYpirQnI+0lkSl2R+YFrXfSfNkdOZm6b7io qj2zdBP2HbyFhC7dQE+YmPU0CrnwiSIoPHtr2k8NiVy2NyqbflWWfVJl5zZ5IHbmfs/GdOIkJvnz xmSzl/yuIVWwVfbts70R2b7u/0qVEMos1kHbMwGceUBt2sUC1UEcZAwhEUGLpzIHX0qwQRlkP67z D4DkUkQflaM1seFSu8zIQbeBNx7bdLAw1LmIqAU/R7fUgOA8ZYyRoH/eaGy2X2+QxCgJHi3A+vla eiOy6VYq1f2Gs8IkJLn4R6hKE5p9Vj8czLtNUxRuT/PVEz9rPPwsVAiRssqf8YTFPXHHweyLjdsM /KWV/+lu/nnjsT01W3S2qw9CI6FhxqyrnTPu80ZjswrFP8eSKLbDD+83FpvWEr1Q0Ja3VlsdU7L5 50gdc1urLQOwRfboG4nNDjhW/wvDbg5Wj1zokaAAjA1XYBFLF1SKSmDDdTamr/QyK9tvLDbrVkyJ 4XUfDLOFBIqYrXM0fN44bBZxe+JGrA1q2pVCb5yk94AbnRm5e5dkk5RqlZjpSdxElFzYcMioWEd6 Y7DZhmNxZBBHwsEipbxOhpKWKEeo0svCGenuD/B6J6Csj+4yuQ9vDDYre/W35PaEqLmTe3SSm/J5 I7Dp8ebNNtaRgDyGK67NUVjYJg25t+kdkj6S1yMJi7DkFj0Jntxv9DVLbpn/k8KnwefoLDEo6RTG 2+c3ED2Eijd0ns7A8Fl2qyLJG3vNsltOzprLB0Xc52zlFX3WRWufN/Ka9Q68r41/l65P+TZI3rhr T+8fP7ILv/adrp9OMXUXClN3m6Mw4mYM0Mu8gzMgwA2mN09lERLIYSVuwLdSGuLCkYSPeCOufU3R CYGnD5aUnDOpqACwx+eNtmZNfEfa8LKf3OH3bgsxJAS6WBbLMKlnL9kCamBTNPvwZRRG3Mptd6o+ 2BSdf2zrLJ5OJa4OpVyJdZ0QvVEJaT8Bt1ZRVNUWYguSoT5oihaofUxKYGL5xlizw+ye2ZhPLIaO Io3NURRwy/WkkT9uHdvuWcmeV+Smgd4uHkQYcDNxAxXEB6+RVGDYGmHsJ5VobRJBwU55Qwtz0cVS pxERpG90Ncu8lsTcqg/GpNm5Ks9ouNeicDvfGgnZj3AEQd3YpiiKtjsFAs/6vOjIbfg2ltqmZf+z J5M2byFQm3Nzfpbpg5VIUkU4XQkVASkUeH/ktrAobIW4u+kulkDf8zuCqz8x7xfZKF2yEb6hsZ10 Jirj8SCK7P9e/bgo+gX+tTDWVjlyJs//IdpopbY0TZMLTFpvRbaoqq05aouiwRy8XTt4+XPvT9Bc obuJ04iBIxqooP64x7f2Wggh2TiHBjqnNqis3dAQFqWvDDwe9P3z+Lr5wd3pZXmprUehdqeelIro plBmYBIkkMT9FWEPDEHa30NtKYqifMTKLQdbR2ucO76bp+7JJ3JnYlegstca4Vc30paRQP+d9Qit Nh8MZQPZXoaQ53rD438BkQmyQeehlTtFUZiNYOTk4aPcrVZHtkJbk80QxROLg7V7hB8Z1HSdg5w9 DlZoA3GtEFmD9OEsKqR/4N2gOQ9uPA3DPP2nrEaPytrE6Z10tA0f8CVoda1lpronG+lRmJ3K/MrY UBr7Qkb0MMpuwow8jcg93HEQ5D5rjiyYSPBW61FVu5v2QZk+XPhogkA6g6MNiWigIaCLfw6Cjcwy tydjm8Ve9xdE2xhgcWNAGVPMow06+eAf3gqeD+iPq3xNUobIWN/Nw+weEiCJjSjLXc/SHld3+qTw zXKRtKu1a3sUZk/e/aXKHK4+5nBorJ4YGH1mJv9pSvHnRE0/GzY9BZjkqxRhrxvER5SxGcTnYjB9 lgqRpKLQufMdg1M7lX9ObaQh+fJHekSCHDQfBtLcYkiKgXApAdZpO2+BUGWTFMbZWkqZHL+W2xNn A1u/QdZAvbCeyG9QIBgPQJEThn/XO4CsQnxJ1NSmmgZK4uCP4Cgrlv1XScWhG4Png1m6oPbGZTdg Yu3JSI8DbYpEsDFhDp/lSUayR0gncPKVFBIhlYwk8iF76reMBEQEbNRYVC3oKhXpSgL4JyT0fM4k Crr2CK49yYRcmea6S66Mymr7Eq8HtoZ4Pthv8xtmQ5NveFHaJIXF7UWYDfAdmQd3QsQi4ajJ9h23 W9uUIsPBHYfaVLSTfk360q85D5+tAT4BGmEnCoez30RyC7gWFg2JXC6+OfW60cGtBkCiDiCPGMH1 gGmqFO+Y55rAB0SgNs/ZuARxnZxQ0qPt/h5tI4+RKRwgcWauQH5xZbUNp4MIW/Ru4VqKou2tWyup JJluSRJS+PDFoU/X2mfucUmdiLsiv8VyzXQCN8vKaq/7yzRNXko2CI10QvpWJYeUJx7/K/knGgne mRRPtUmKENuU+IQvnpUkIYfYVCFByG+ejPDptjJSj1Ak5iTU7YZngbBSQgMk4RMvUgi/QSxexnlA lcEVjiYOfnQPALvxJb/C2ppJ2HSvIjWoEElCIxU8/hc8MjeVJMHMRkpk0xSG3FRAqIIeTyIkGU4K 3GnlpROG7WVabT0qb6u7XTOxW1VqXgq5E2YG5pmU9xnTyH+gkVKQFWbEXpKkwAC+JEhvSY1ImYd3 ysZyLj03laAYd+DxaC2lr9QtY9v0tTx3G1HMTWY3TD2v7csaNjMnUh7TgiVKDjGgHFF5e6o8sgTe etyZz16QyD6qJLPugSMaRxfQKw2CcgiQxCIxMe4RxdyYeihoqIPbpxMk4KWVJFl+crcRxtzdl1KV DSHQNsNztxEF3T3LWDBNW0hdyslL+W2yUGliaYvIHta2xbEhy1iDB5RnZxVS1oCtgs2DhN1Bm6Ft bG7V8ciFGvT4kt+o7GluDbgiedzWjW2NLxzIcEdY3F436mbFAI4va3nYPWLUNlHIOJktDNgucptl bE5/Dqh82SxFxW2xQ5YEyJawbeAZddBtz1HH+vWYULZk9kIRu4oTvTCelEvAOdoGviPAkbLSCp0G DeaK02Day17S+biOx6M+yf4KAhoxLLfeNkIsySJnKt/sLafiloJFihEUHcHdpc0Wxdw6kQb6QhiY MQxC2yAaUbaIbUBsEyK5M5Dajabt7FgqBjjH4cB3/AYlqVmaY/lqjqGVJHnRc7Dh8b80I5i8McGd dxVFEXeXkFZKbp7bXB8ZLZJUHNmGXFlTFEXc02QjabrIwUuSA8c2cMdgbJ8fQ8nbIkUN5Pc8H6ot ulF83ehAkv4v2STnflkCF0Fgp5lZ/NkSeD5aR9+nNlG2T8Q9oogbDV4oZ1W3QO/pytfBhdsOpAEi o7ZaFHEvcf+q+Xhebc2CCi0gtp2TtHmC0+Cl4e1QyKfbmSDbJP6PqLQ9aSy8F+1OOehiQz1AlK0T R+Dx6Djyti2rKsxve/JKyQgh29TcrkBV2cUGsUnzMyP0QPyIVc3Ve0SQ7bIlPMr8tpcnv6WDblMV AG2ASug7mG5QtQSir1wh6dmq3jbqtA3yIqgQxUH1tnFyzyo92z7weBAj3RrAlo40a4BXoCWqbQuO vESE5D9Ot2CG8vV2MMnurtASRdtTMNKmOWpsfGWeRyfTmnNqjuCWi9eCGyOMjCYdLNudIxyP+I7f AqRRyvJB59EoBrUlz3aEwfY/FBLaAdTbsh1hrD2kQdJdUNOENSbLJAYuBWE7FZuiONauqo/cwVu2 J7NJA8cluEiAHWFTgm4Lrja28G4XakvGA74kiLU7hQ8h83YG+MjkJOx/ReaGfzxx6cIHBCWA59Tm pQKAbsffafMUBtuNJhy7VT+SRLpdsntb1rUd9Fnhdgvr28YN5dXGweYJUn50cpYYCRCTUkOCXADw ZUV9rya9qKbXjbq2FI7GRYsBsZRAoQUFLJ7bOxc8H1VKLk6CNPjzB0IK1WZphhXuKpPF4TrbtVCI lVWAlRxMggaKUpIZyvoRRouuvA+OAuyIT6scqsfIq7FUstEM7wAnAU/sDTdybWeIJZFRSacTJQcd 3OCICdteS8XjUafE77bGZdcAbfcYaUbBNkILlNz8boPHQbHkdjAdJ5AEf6ZNUciQZOrf4IRsg9cl z2JpspYCCPDcgSy5qXsLriOZyg63XXrbKLfd4o8WHyy3zVIs+wGnF49HZ9I/MVKTAZpPURRpQyUK StH5Frib8yNPhGeuwthr2fKRGfIjJQ65iJBQLVhTBKLGOXokjAQWgEwSMrSa6RtT0GJxdUjqI8y4 wM0mAI2pNViRJKllCDpyxePRHHnS5kybMS5je0ahdtoMtdu+RNuaXWjDggKCSZEQaY7i8rb6bRTX 4mA7DasfpuCdlVvYTKnf1qA8vmHEni+rfRHZNmMQCZlakOKxgVM0YMVlxP+U8fifEppcc0DvruK5 /4xibdhswGFqe+F2+fGNwKNku9tw7urMnlGsPagRWaeKbfPB/50/AK4sSYDbE19QI+jMxjlaBgXI SI80daRhrxvstURZhEZfNw4GuE1bPPqT31Q8HvQkR/4KtTvtmovnIzMsbpNmm6q1jSBLsptntbs5 iqTKPhhzFKFIOo/qJuWf9qX8A13uEz8WMW0AbCJGEunuD0P4RYNThUi0nJxRqI0Ka4cAbvdBW+0E ooXkxnauBDweldq++22T832J/zNCkQz6b2WVI2Vmeo05GbqYGQmWm6YoKm0rq4WAkw9e2u6IGAdx 2+D7D3iZnmyE8lZwWdIUGafN3jY6sXmbQXeVg9m/1xOvIxoAQLI1PB4lbJeMRDs36Gh+3WoxOZK1 SLoUm0fZdSKhaL2V2aBzouMo1PrT5NipNK+kTYV84IkESFsHg2RAxA62U9CrrZWl5af6v/W2QbNN Tm6N7hocrMw2uJUTvwGP/4XXklgbPEF3vUd2BCOZZCN1ahKZ8ZYZJp1zNmXXrodwvs1RFGoPtv87 zVs12Do61yMkhChEcuIKCHZT0YbyTfhFMmJKL2sT2j6jULvReBr0cqoeI3eRKivECfmzrHM94PkI j/Sdj8DntM5xN1vIjkTh6aRs22VZs84jkiS6EbnKRgHZNluoRMIVNNj20GALyTT5aFk6Rt9Frsp7 0gkTHUnqJtkkLXvd30ASPMVsMFjbWUtVam2b7xiEkP+W2VDY7FdWc0VRNlFMJwAgbFTSSMuVSPru V4kEXGgupBXhSEYzM43ig5/Zo2Ihydb1RJKUZJu44jqi3AYTXNfYEOt/hTXtROQfKFQ2KPU/0W7S tdZqxeOR6I9nImyOIKGBIKpNUVjSnqrQZq+OTC8bFcpe23mEONmmKMSR0HS6FgracLB0bZ+7v3Oh 4lobSJZN/xisNjgToa9taKS59bZRdSTTboR2ZBMpoUqsDVt6UZz1fDyej2Bt914jywWVqPrIj0Zx dpeFed8PyvbatpWUfJLgr2KTFAJJmPR3ytXtzmDwp7E8UqE9RkOnM0lnK0PsFL5JVXpScEB4wqMT V+I7gor25kFERX8N2h8bQilsd5xJx+NRmJ2/54ilkfHMURRnd1b94ddrHJLaLdlHwzYl45AANqnz aIVobS2kaSKStz6CRiEEsLLKbFCVZ5lt4YSijWzjNiwPhHSFJW15Au9xByshneTWhNh3xeP/y3GU C4VfLj5yxVLaPLPF1qbkL3H3mKM8mtMA0fcx9agVsiMJ+6+V5xEHz9cgGQDdN3MhYyMb/aPzxgPx O/AGfmZv9v5XhCKhkeSk2FtnBG+qf2cvL4boEPHJeD4Kkfz6R6gAROoYF9m2okCbZIETaDePkJK7 AJ64I83rXLOc0r5+1yE5e774YCdSQ0RMJg8KSAPVBOrZwFwIHC4A067rJvc3viTi/VNIu9EVeDZ3 tBvnzBuS+z2nKB6PQFv/6NlRPLjmu9uiUBsNXSi0OGgL9hW+2/qo3Qr/neAqrqQw1C4S05YOaf/K RhZKd/zVgbpJ0CQFPAIZG7Xrz9e7JHJG4YyvG0ySMn/0Mjl0o8zSuZBCxyk1PB4R/327mceNltK9 3EKOpBxc1xQoAujtnq3xz9ZVJohk4CJhCWmFkO1OaFuTMnv7UmY/6UyDJKZm6eQkyO7QHRk/IN24 7I9AJHPodaMYic0jmv5B0m7+CI+EgtQSaKtUPB4c3Dfalgp3hRCZ12tXyJAckh+5QmQpLYP+5936 ujGSC5GtuK5NcS1pbHPwuvaJ5CfCMKm1rESWIOra9JfFZCQHbWGd6XUDBw0ibFpK0wcdSedYkz36 2RN8x0ie/cq1qSYHMJK32VYMIKFC61xOjyBX0ekReFZOwM1Nx1YssC1nDHltrkfRDhlfXvS9h954 Jyt8oiMAnW1gLWBM5VHSGHrdX6KkmSj0okFHEvRkBCA5YTcef5+jler3kYQz5uQjXiDZUbA9GCSl eYuRdXnDFigtd0EorVnWtkN+pFBImcVIDrdAcsLQhVol+JEtjUzZn4FS/0B0LQvg9HPNt3cUbDdD /e3sgwok0F9aQoYOPh4U2uoNAMQhRU399mv3e7QNng2KkSM9Wm31OkU0eWkQkUyfYAq1x1okdDic EBnT4JktCuI7ySf5HMyg2FKGnLgtdCtUhNPdRqX/HaK2uZAyRGRtUKGtAyNXFAoMPB5pI/4jkHA2 fUPwZnMUorY7FexHSZ79N5ePLHShNS4SNyXnKA62xbMtzYdb94dAN117FjPbKiNEHpf0SSpuyFYI d8B3/FZow3Htg5I2BHTkJQMKjscjZuS3MlJmWNY9RNphTTuRroUSheAjUjSWg7tUNpD7j+QaEvt3 +Ei1IGCVR/IPShspUyFqQVY6UfKXUe4goA5y4N4+4r22o2B7F0Fs2D7ioPMIuHZxkU7Gg8f/0kVe ltiiZ+NzFCO2KWFL7LDmSPKsgNhsSKib4v9evtViZqSKkURIcPDj6HyOZBfOHJ1MdlQWIwGjPG8H D9h8oRELFQ98xy+C/9Dryj5oGVWkbCYBUPF4BI34hzw6UfZv1zUiVPwbVmdznY1OpWF5aY7xSJE0 u9Z2iB7pUvpVWtuGR9oVBuQgjyhla50Y9km0DZPTn0ZGm+Daa+htg+gIW2zsLjH77ikbBG0qL6Wz nxcej5SRfIoGM/8TQdZbQdoReCTxUmvpyrTDy8lW0crLVxGkkWyKQr0/Vv1rk9hGexTIzz7qdSS6 sp5YEqUS6rShvttgd4GalTeze9fbRtwInNegkPigci1MhFkGaGfz4fG/MEisNqF4tK8u0o6DbGay otbUR4gEZC34PP2QrCUwDEl/O8SOuLPY8uGuIopFVwJHBxsj3GgUtT4L4GfXK61JRgW+I4gfFThK QYKDVtG5EOi9hY/ZeDwqsnmfVpuyuK02pyiKsc3rUDq2co2wxiNwo+vyIiG1oikKY2wWRVrvw4cL QAZkh+aPZxWtNMiLPKk72HbsR+4rY4uWJL8jqo1QfWTm7oNR/uDdoW5/HXj8L3UNgkyQMZULP95R iM3Q+RxAvorOVFjxETX/svwsmm4asSPYyBR1NEmBLH0Ja56rsSvVAPwYyAIabCZqNjYaId3AiKXa HQuQkFab9vJBN1o/IQ9h6GfKBx7/S8iOmBFcZgDpaooMp/M6RxJDGq5A0moxuP8JXLuDRs4mn+Y5 nsIQmzW2pF52enrZJ0NGBRvHY6V0Kw2C51lroGrhNITj5Q94LlhIa9sL/+I1BtlXav9UUjo4T2kC 24yrquXJD4igo/0rPOJLo/nrExV6QhZJtZnPIWgewwD/mTR/qbSfCN+V7FPsCsmETRajHHyiNnyz iOrfCfSzhDBzUaZnUSAb6F6X/WGcjW+J9DWrcOzLB37LyZkz+Qk/0Dnj8xHrv36HSIT3lhtH0qP6 /XpjrH0uZa/+N/qCqrA9k3cj29iWs+UUYrXxnXBpHD44zeZc/Olc0aRGJNiabypso6u9UN5Gy+Hx F832wtFESbJNXvaJHQQexCeQbdw4sBrlBwSRUvnWkKTs37pspJyiiBsm40DabO8kkS9mrW0y+DlR /QIkc4p9IrXnmORyuHwkktE6tx6uUWpxAOcOLinK/6Be3catv3CEkSSurdc7WH5by5YXCQAAeP4v djt+SLSH2qNqTw3EIDMZqkteDwkVTXBELeoPqy65m7uxpqjELaUtvq0NXipBIYMEG+y8RiEm+ml3 HFFYoCxaqpzEKILfEjRLJlk2fdxBJ3k1W+sf6DHw+b8I7uoENAjHXKhETmGVuzbV/1W1Pf/iAluZ alJy2UUt95w4AOXpLA/L3Dyi/m9McAIKoC52F3cLfl7g2JzlPoBsQ92P5kBWm0zbXjjCACK2LFs9 gT0dmZShw8zAqafN598n6jGvJd37bKSB5M/nKQzAi1zZu0uT5eSUG7DrrHiCasDwBRVF4HPIsq1N H2xBnVwHEj2SSkLpH0ETMG7zbDx4/kAK3Y9yyhHzWyL7P9pJieSWH5LbCYqbRCHOXPP5iFX6XTQh xqlfJqBKnO/HrxKVlZ7YYNyNt7bHBmUnn6ew1k1BAP7NNlzc7aA2MutrwK7aSY40l8YeMIj3CAph uV44ylWAApxswQ6K7yo06LmqUoMLlc8HocH8jqGwTcZMz3oKsSWD/dtcHOrWt5dyIdvuHOXZxnQj wEijRHQAOnTY4OkKzCQRjVNV6uR0mWRAtE5+UDr7mU8LDp0Rve9vmMn/a+F2Qq8qPsC5vPl8sO3q d4EJfcuVv6YprHhPsgHbdLEbyBxaEa636mYAuXj1hLJiv6QsmcpaGjweh7cBGfAoeaO8yHbumZT0 Q2PAjEDWqW7VXziqMZFbWniOX+8KCLmMwXJsHYw0Q6+bdpUTZUKBYvK6ExUaS7JbDskEK8WlMctV Tu7bmVxPgSAHzpIAUEhWioGBNPMttzu5tUjckPMDc25SXQpGCq1g54j0LvNNGmznwFrSmcoN8YQN qhFA113WkudP4PN/CyiwY0nDu3S93EJ7yUYX4K5jiYdVv6WUrRCKzTj4CdtMRUH5ZtW7b5L+OGim OukXa2cVdte572TTRTFXyt/22yCQT0mO/SXJfJ9D/d1bTMH0D1lJn8/n80FM/q/KJBfUk+TFDpNs f0PNwmsFexv/5mR7tVmtYAGQw1pBDi0mFyOoDs8cG+yA6hnSEJPTBHL40tWIKB1ln3M101lB01Sa ve8vxLcBaW8Ndo4XYPaYd/RUB5+PmgQeF8iPgtqAN9AMTCYhTy3tpH7NAWb18u7c3esFlTQ+raYw IGcZYEr5bj7Kd70sIA8zjZEHxRWb9F8QDaJhir60C3KwAZ4Dl0mX45y93EEnCryiWOg612bl8xFO 4EIp+Xbwtd9PKhz6TKKlAawy81826GqyFoqbcUFKqSJEsHkKeZTS2a5aTvVZTpDIrBSWht4UCneU LaV6KRWV88jeTzExpRxaTcqPg8cEB4MKwAc7cw+elbv5fNDhXd/lJ2jDVly3Pk+RLDfSLqS/BJrS dSpX11Pai6TKQtNSL9HlwGvyy0kh+3B5AhXGQJvbDqRHAeE26sgdUlG5jItengoMArNJNHmpgdsk YtaSC7wBIzUkpbgKn48McP5RC4IaQXt8lAO3SWBzBVpe2xO8Wt0k8Jx4nuCNvgxSmUO7yZVUWhFK p45bWoEjE8w2WfJuE+0C8uBwg5wPXmyW2vkEYzN74SiAks/0nD4oEW5d+s8QH1x8PjrG7zypgg5c 53o2XihgwtJKL314QD6mOwXuOZoF5ANryyYqssERf4n1GBs8IAcvNxXJTvYOjRFaTuIMYwEYebvH BbXa+wbrSVZau2QfFBfgc+Rsd05TPh+sp2sWLLMTML3Tc93F8TiDJmppilZBfRkGmmX6zQfXMa9A Ba6TDhsAsssHI8xshBpTewt6i2vzfEpgQizo7FQ4ljuSKU174UgRn36BVfFmdWHFE+UqCIRLd+Hz kZD5N0qn4PbM9ZmnX+HeGSppjq6gUhsLUDL9NXTFvPMUBuRKgKHoaoO3xaEamIbgFScAXYVK5o0u XZ0XLnQX/0lcQu/JsmRh+gwKyGEYaPtuNj4fJC7lFlYYCed6NvKaV6Qjh/6TJLuef/16vdZM9AlL Bmm512tZ01Ph0IByCYqKMokNvvMo874FjgN+EHBFlFbgGSRXs+Swb7QW9L5Rv6WJXTE02I1HFSoW 5hGI8PlIQNB3HoMABJrtyVxCB8qxBa5Ah/M/ZpFTREFl/nv+cTMThuURb7zQgnIIqju0osZdUfVk kA3egZYJnzOKmcuAPdqABR9axb6gZNMdWlA6qkkyy9VaFidPPLHyVsOyTD4fEZr/4cYBLkShRJ+n UM5kS6BjTm8miAPG5VR6skodnfm08wIXSmBF5UKZkg+OIgTxC60dCuShgUPPN84J6M0ngkpO1i2k Q/Fbokodxd+UEbO6LXIkdN8bEbz9pI78gCgk/7Z961m6IXeiQr3uTuBuK9ZMSHOYJA4wqcu6eTAF aj5RMQScDcvCr+bgpboGpQbKcmxYpp6cBarma9AHi1mKBBftztv2xgGkIJO5I5ZcLtm1XzY2ntzc c+fzfzXMieNH9+bcyXeiQpMcQlPmKg7fmcv0u4EbysnhhEhjFJMHdpQoj6pmIKG88tR+T7JyEnrC wMBqanKsJHkOLXPg0Hu+PfO57IWjeSIsZQuBwQhdDKc+shC546wtfsBfeoLFgoNWrjJlDi0pqTWV +2NJ2duXoKABxE4+0x2gkkNPykUAbx3SXRqPt/m56c4vP00FbqMDRvgF1TjRGBvErZZHmjKHnpQ6 ojJoPzYohjobOvO8OnFI4/PBerqGyyztAbLSoZXs8xQF5c20cqoDeaZkKga79uVynJe7LuXAltKB zl1E8P4Qwc8tsHdloQDKi0BQqUyMOAAXIs6XK7m0pr1vAMLghhuN7eHh1yoEiyhZyXnafD6Kydu/ Rzn4aO2JDKIi+TCZk+JQlV1MSAgyJ0TzFPIv0HbWlReb5kh1mdwCDr6eYFwEKy/WVs4ObOxOTbqw Y4HkQkYYXZmzTVSIViEdvBYyMDgoyVvbQgMEtHw+gjt/dzs3eENjPvsu1PFmp75/iQuPYuzdCoaY 41VYXNA8hbY5PMLB+fbhzlMfNReSeQZ1qUSc23RiP7EQAhM7nyQGl0N7Smio4Hyq3QdNU4ZCLElM Ge3zwJ9SRmBPBEUP7bGuJEwOHSpx+rJWtxy0ktL18iq3CLUh42P7LraDp/FkJ2qFg3eFez6RPhTU YC9UgOpiUA6UziDAA/g9lBdJw/AX/g09nyarUBxU05zQwOYddqaaz0dVqPmV5GGvjlZvcyp0qZzF AJgOez4/sGswJE/9aup9eOk3tqlcJuY1fPALb8CRAXc4TqmTxe0sX/TJ4uuUQLs1z4kNz6FPZebB 1ClUpcFwdGfbTjGZUSMPjCq/e51sng9k45dBl0Onyk1N7XVhYidxzcmOJ2FC2UtIaBTYNEUROXym 0JSS8sl4dBhOrAT/y8lcGKFUE4eOWEy696X+BTLo9sK/EcQo8m+D9h1SABbg6p6bz/8FW71KTPvp JMRulU1rKD0I8WxqVWWP6bhV+nzaPMXC3lSglBlz6lfQ89zdeXcE5piHtGAYPmmfc85gkGFwulyK WK/+wpHTADM8nGYYIIfDK+oEyI3toh/kxPyAv6wGaXyCwhmEi3yiYk5mofZJXo5eTS7rBRE0d2Im hNImKrbQocEQFEls8Igc1O/JghwWzLkfQFxdCZW2zZRRAOn61TwPTCvNHP6s1sluJyI8eQTAtILY G5zLmR8Q5cI3yZPKxf4hpMlnKgzJUb3Kfc3rgNbm9dEtu1rNADVIy10C40plT+CI9Ts4YgzXHRSr sfWgksF/ZdEglm2HguqBQ+rzshf+RSyedqHCrZx/rykU2uRqo4WaJj/gTwor23iINEZ6zvIoJmf9 7UQ13bF1ozWXi++EbzEdprOuzdTvQihl1uHD5fkM5JFbPmcFQlZbarr7Zw1g9aAY40Sf1O2FgztP 9jsp3cGAK+enoJ0F3CX5/F+Fci1F3CL9OaSimFx0qDKv7GDZ0/XimkhSjYXHPXyeIuCKFNAA6vXB 917vOGqH+FB7ScNhdRQ2J3ouKlDJU3d1e9+oj0d2xpIc6mruYrk8uj3H1uDzQe6SvqtQkAXrmFOf prBMTiG0uRhUEju2itc1OxN/Cw3KnaY4JOcRRfEuDd5POIcrOpNT9d/z/y96D0K79exQYFqBadHG W3vZCwcbL1MpLrHfyUH9hH5ekmsLOtN8Poo1PSQnThjyB3U+8xTWyUVl3div/zFW6xZe7ATirOGx PwWcgYqasZOlDPWkz1wefWas1YRfnsC6itQeUylj64UOfaY1oeGgerMXjkyaeJTTo3Fcs+zSE2zc zA998fkg1LyecfgdMwEwXyDp0MsS0GRYWfFKI3IlTdfXb5M2aND424sLquujfmnknZRlNB+soQAx xjXpfrLhRL/QP5iTPjsoN5+FRNVY61D1ZW8cBeUEkwslzcHuvJMjSc4yIckL7CzP7zm+DyjIQ/e0 b/IS+lniB4Lu8E4ebQ73Qst7D0+GqV+v4CAwtIQ+Bk6mmdVBz08H/Ww+dJDIShjjBHeDaXPtCJ2R j8H42z0/ybPPgaFlok/N2eJZyg2ZspHIXWAF2JWOAGgQOFpK8sGKK5xeXrU3hgr9LCe5dj1tL9at vI27UTodprTxJhdc10f91k0Y8mocj1djg+70iTYlIgNXqy1cPkqv1LTeLLJIPF4hVGBomSkoACXL dAcrGZylxVDzJDF6Prju2vyOC1DlwZ698xQF5ZUH+RiPr/V2eE+VPIBy4V49KA88LRFrymp3dR9u XLBxFTT2OzPgNCyTb3D4UKCiwpQDxpK/b4SDIuNOUos06lO+gbryJvMS+lL8gEhn/1sjDQd5e6ib OXC1PN8rG1mB68XnKOPHza2r1zTrpQDn0NbSjM83+3kcHIB4EpUFJBVzYchRdsOc44VxgSFcd7ud bu8bdafIKavM8TgoFy6wW+XplAGDCn0tH2AdT6dBCOSznCIgOQoEcGp0L5kE6SALM7ObFBTJmSsu iJ0tpf9h4QGLyRYXnMw8Idgk7vdk9l12u4gYB8rj1IT2+Klte+EoIB9qt2wfvFS3hVs58135/F+2 DeoLM2e5111obknlZtoRWZgJfrwlLp0ObeoLz3bnKZZL4Tw1Wcm2x0r2HOIDEqCcpzNllQ2slbHb Fu4P2Nk8uJVtLxxQp2glOyqlQAbIONKmGgQMYTngk/kB7+f4uu3OrDSnWCvRZio03mG/k1QWizRz vwLOqhogxevgnSmCCkwub+LyNdgBBUnR8+MnIldObFMhvnAiTdwekIY8m4WRpnYeZeVyYHOpBi36 ZlRy5qAICsbyDNrqmXs+HxV/69eKgm3Fz8pfSyqUKEzqBqcL8dmkBRO5Iq8rQnzgsWATFSFXKrce 4JM+2I0HKaoGcV8BNdPJjauqv+dvhnvVehD3U5ygwOoSCZqK5O0OOqEg6C+iygYlKPC6/Eb48IfM g9nTjaA+rwFURiaAaC9tK2XiH7MLFfXWsi2oRq4Att5r+JTPis6876oIHPVp4qlEvhslwChZNCUu B0wTMd90ljfmFMKn1+ApQ+yS7A1UHGzQHKVCa3BqguHplxk6L0iOPJoyzO1gu0EJTrpkfl4DJ0zP TGJ0dkbfrP/ejIWqMyaadvdbMD1qBrcqvdT6KBRhUyC4KEp/zyt1a90tyLpCh7Em929YOpde5+dc pTAmnEVLSVwYBpdQG9PpfYL5z2vMlFHv9flZP9aOasvn5zVgytkE5c+F4DCV3K4vQanJ+ncwTDFK 2Wu4lD2snIl+uhxsk/WR4GAh8j2upMGZtG4dyK37oqEnYeOvwdJ5V7kRD7mkj+uSDk+Ozrmq53T9 vEZKmNydbHpY5oXeTmnZpuc1TsLyaczjpI9CliLzKAHDyrwaF+zpIU56jZKyGH/Aq7IdwME7BicC rxNmTJieBj8wOltQFG5AXhodTUXd5IZ/XmOk7OYfbRWFACDlqT8+gOdhbrJ6/ryGSPkxJLBZBWVm 3+31GiBl05LDf25tN6/tvSfFSlWnjwGgX8Oj7HQfopRscJ7dSbLgWYlrHThqiMijBA4uJBzCqQrl 24si55/X4AjHT5bkd68+qAYHmzth6U+s83kNjfKTkmj9QD+ob6pb0EYrmB80atC4zB5nwxDHat/n 33c5ooWCvgxSg/mZbBFUyp5puOunZ7TC2ck9yWfJtGqqmeUSAAgRw3sLk2H2a1B0XhYmZmdiFBtx 0FWPMoWStr7K5zUiwlKo+ev4weE+MiVI6BDxOj8gzFCToDRfP8D82f6akm5kHjKLaVq+BkOYH1Is eqOEDIc7PxNNuarjGWU4gC/WQL4zIYWGb78bjOnaayiEDUZU6mhZ5w8gcrJGBWtSbLFe++c1EsLx NZsvIHKBWMNbyY+g10AIJ3SdMo63KUpQo9QUZfgq/1xXFMPpvIZBPKHZjaOAngZn9sCGNvEY3vSx QP+SjN8TFjc0fRrZB4qsSSJ/jYLwMxBoiZKkD1ZvO7c9Q/kTNubPawxkb/hsMdT96CSk+XmtSeLa GyaL6hyMDpkSS/nL6nYELRBzJYsWHNEps7LdSKirbd8bvo1zfQF4wngaWnGb7gMABlPjCkRdx8Ut e9lgfliPZIvZBs7PIrWYddsCAcBgflgs43TIEwzym5kIAXznay0SyzaRYajTuKkdYOSwc2Hmiw+A rrJELX4NgYBV8sFRFOeimqJ/wUgXh5CsUEDxhThBgdOHw+Foz/RahuTLiklQmw8GbD43TZ5eOHot QubHwKIaTH7TMtYPoXf6XDZEDtQCrrKeOzJByMxqbhVv4zSeKIg2ZZRFgJckHy1KPIfzpqgbqtqp wJyZNp/17DE0QUlxcmndJv2BaBFRxbpT81ODFtFESsO/uwyQVqNdNr8vMlQUwOvzOYoC6ZSl9D0s EkpdTAIKou2r0Eh7aaPwRHMk6g6E6mzwdAzWlZBCY6Rc96IgGDK08YNCN8QDpzdxUeng60ZntXCn c/igdQSLO5I/oef/eafNMZbOX8HQ+ZlOdk/hAc7RezANRqDOn6+jaHr18Rysl1w4ktMwo2h6Mw7q WV5f+W61cw5BEaB3Ypnhg8IGCn4WKOqDFfCYxfG3+rxz5rLwVZCvkHtlcvfKBni63CsbOE7v8TR0 Qj1eFAQMKBxWsjlFUUANng5O6+yC8V2IN6Emil/446H0RhF1p/NAbekONkXoqJ3QIVcx4s7VKdE4 nHqoG/0AyvRcZ0mvG2RkSQ4W/Q7KyM73qX90olx0RaLzaJSvmIiy/qB02xy9B9UogQlOMm7Q6Hl8 YWXI5mgiabLibDRHZDWtDSUBDbbVVobCJNARLNOC1Mn4G3Wz8+PA6SsbPDDTAeHzTpW7p1GFwR0G VMy0jnDpm+dgQiktmCNRRHyrZUhlNPDjbZKiyBp6E1DVNwkrNI6GVbAhmVDsPEJ3xEqO76H1Of2p cT7Q5bLBFhLp86BTUMX6zA0SCBT60cSHeYCuPKvM0nXonSiH3cJ7beZn0GVUT0bM5hjd4t55cllC oXbzc5IYvG4PHd95cjhG1P6nE7T4Oi1da6bZfbcl3D2apCi+7rLTlcMpBw8eE+qne4qDAikU0gnX lM4iqwvznkh56nXfJ6nxRCqLgHgOWkkQ5JmKHvP+vJPk8qP0bRk+PqWXeyJF8TUNnWDk6gW0/lRk Owu5qsg2t2d4Z8hlb/3Tbt4GW0itn6i6UjgJEIhzBrCZOwDeGji5MlrCRtRJs+t13+doSFuAGCUM ydgCA6BJhs2p8/EghFz38ue/jBWtxhon6T3IBjJJAp/LLT7bvvSTrUmios4jgBJF2YOa8bPTB3X2 64PaMhiurPeSK4CyGgPxUgncGibSqKK1oJPvUbbDkhpNpzUYIjBJl/QkspDTicLstfvXOkL/Bx3i u5CiOBvtLGy25KX9sh2IVGCabaU08GlsjsJAm5sNmaYP1skGmvDkGdxsi4QT+TMQAomfAQJFt7A/ 9bbRHGEBrcYgkoMOpEbP4R8CktbnnRiHvVbLd6rG2PVWi95Jcbj9WY1NuvLZMqJ8mg7t7R1tJLiW 7r9z4nhHbrZliQRs4yIB6yTcmvbeG4i0CfEWlGNPQDESuJZQYVC1mnJOn3dKnJ2e56coNEPl4AHS otItwU+fd0ZcfvTidWajRTYWYe+coijOZqfybK+0vaImxVhe/pQlF1qS2s+4/N/5cFyPRNhMyS7O efN9gonpf3WOmw2uH90ZEuo/m+ImC2BZO45Yc3znwzEKZAdtsz00YKukHx8StYM6MR2SJ+98uCzb oq/zCOfgid3uLEVl65klYZ3cC4UIcJOM68UFdJaEqxI/Kcj6KU9RN3WGOHhSCynO3XVon+uoAGkz V8FHbvhkFkIDzeWLh/Y7GS5LUxS6FCJXoichFk2FuSp7heMEkp93Lhxn+ftEQqWg9u15/zsVjpUR UlBHS377q3hNQz2C+81zeJgA4TsTzqIXyFiO7oPtNsBjO7IqbqcGpgBFq3B3n//hvCJa446todbJ Ow8uy6cLRxF1mldzQ11IPHVBJOesn3caHA80v/1x008RyT3WfmfBseTE9lmrt7o2vHqEwnyyAn8l hpjbLaxgU2mhASFlw61gn1c7944IzSe2TYP0U3zkgIanmTOwQit3pncOXL52cWxQahDur0FwkC2Q kebnnQGXDUbEKSHsD5E7zhGboyjUprZuZovMjqS1fY56zZ7WzuQm6O/8t1tBqlIdrI/q4ImNWC7p rGID2NaZ+mfggzf+PcokQO2Dx/bS6wYRkkBHSY3r5HSlk9OOLuOBPdfnnf6WTdZce43EUyDc975X 23uoDXYJu4ur+bldSndJr/5Ieg2eXJyk91Dbz+1BqXMNntimts7/z3P7nLuDynOo06K5DvOP0hg9 WStt6XXfT6Q1SBJUoTbfQu2i9qZE9dP+vHPfeP5+X/8818rw+sg7841zJDUK8xhijps8+XcsEpxQ hukyvhPf8BsTNjo2wchjXzAyQGxol1KZY0PQCUBt1EfO9AysH8jVeMpWht42miK1qWU8BH4gz70C SiupsqR5fd5pb1m83q8Qifq+/d5sYTkbRgLp3Mr5HkjZ3eI2Vdh1IKVp1dp30lt2Vf3BYrUGJ1EO KASgyEjpylZxewH4ssn0POt4EF9u/pVLrxuEkYPsJO45DWrpny/Z1RCkfMdgHc3vGKlDSghwDJuj KNIGUPocSO4xQOcBw2KVRoKq0JAo3WqO3iPtWyCRQyMHm6MTY2MDL4lTpc6yNbrWUMlgqaA+uroU rXynu3EdVRFxuw+613Ji25gY5PF5Z7shCp3Dp4hxZKeElx/a72w3BFZsi9TV3Ck+UTxMXJJ5tWIh JqQI6Z3s9mQjgPTaYNkI0uPZhbraFVI2EmuERgZ96ee4Fp+U9/+8c92sN3Y+aVNYVwRCZmxni2qr tXPqf96pbvmRsr5ZLTK25pf/O9XNy3PnPLpyJn3Uy7dJ1csjOGFsjsKa9vk3VgLi2webI/jOAxaC y78BwrZ5ui9wl8i5gSZJ7ca2KXrbYI50Vk/29zkYHxBlANYizy/yeae58e4f/8SQuCXqnaIo0EYY hgrOdOAxoDq21cxaB/hsarITePxOcstu8TUL9ZY42FZrQH+OxZrsJpq2yrsJBvaAZkMO6+Ifh942 yNjoNjjkX81Byygv4L549ZfyeSe4eY/jKSABQPeUa9/5bZiiTZfhnly0CwBkw2ajlu5ThOqhpug9 zHYeIJoiPtgqOvnHWTBVfnqDWl0mcD0hXo4vTfc4mlTmeGe3MRchgj3Rn7EzPO86skcSu+B8V/m8 k9tYHXna2D+E/0n+0WYpCrRVijSLT8pN7AfB3nu1Mxt4XkPSREVtSecCb+2DzRL0WyEGSjJ3huPd YpEJyhqZjJdbHOmkKL/z2jxfg17Q9EHrCGimLXjDKJ93Vlt+iJK21XCZYjHZDL2H2fLwI8b/ai7K 1ItYGonnVmkuWiryTmmzLAGHFkttHDw6yhAUTpLqyg0Cadxqlcj+AsTIvfgnM5F3QhtuziXzgdF8 0Gk0zhY2dnLnKwa32j+nUeP66V6GfKezMTSW4eCFY7UypsPW+/YAssFlUQd2WM9mCjIk+zYe2Tfg Z7B0Nk8jWMWAC8xKGx0sTxxEGU/jRxLO985mY0qKPTYrqTXTjZ+h7JKNzbbwM74H2VgQ5Z85glpR ucsoCrLZxoemtzdGltPasH6H4UXOKySfo7iezWL/ZI2tT8Z2U1KwqCoAuyMOaUI1+szROCfhAA0M XP5rqEOA8TuTjfUuKpkBy4CB6bAmCUKjLCCtE0G+M9l4h98om6xkoObRmbZZisJs+Xu12dxwMFdf SRDV9HTtZDXWGXknsjHdVJhNYyYOtpLOD9HQTGC6xlRHmgmgTp4TqJiJhcWQqFl93nlsPJCkzpXF Y2OPjLPUq6nkQUj1885jY2d6fsXZOBd773cpRXH2JB959eG0yJGs1F/KyCZ7Dmum6pMUVbTptbh7 Uus/PaqduHagI8HtlDe6wSogQQwjUfE+7aeCRBLbO4eNwYpls9sHY4mgvs39dj7j805h40t+V9ng anISfz+T3hlsOJMyqTTs0VNiEYB709Cf8ozZ5D7gxyUEMg60mc0iebXhNiLBBhmCWp8NRnkSMGw7 hbkKy/a+kijk+nknsBHZIElTOhD1ymMYFaS0WPVF8Fzn552/Ztv1uzdSoCfTPWN7569l0yiBmUQn RRQ42qp/PMEZaLoZbRJghYZNUhRpT95tTWqUrV9NifMjY4amJEqgDMvFtqC5+MOey1lMF6c+W9Hr RtsNeQjsVHwwFNKJYngmQWz2885ey9Ly/UpH5M00fbu9k9cYSLKzVrJTHuo2yfxzu7EpwyZbwZ1H 0ug7d42NVJLZV10+eOkfuSaV6iCef4L5TsaDuLsJvsaUuXHVZVa138lr7AENCSRUHzRL50heS3r4 m49HhbbvaHttai57XvvOXMsuW1a7+cMj8J3aYydna0vsrBNHtm1F7Xfi2rPdCpHZHLwTeUJqKt5g u7VW6aiKu/8ccydCPnscmYVLLpPx8E5cIyrWsrXug+aoD5O1OkF9+bzz1hx//tSQiPC+3ZF32hoR XgLZPHU2rnczQU3O7pvbzavfWWvZVYQn1cg0OLnvxJgotZFTixvABPrAMuvcbcC4W2KrAsk7aY24 fhk0gaStQdE2DOm4DvATfN45a+wb+G7DgwQPnBDU5ygqakMKhzI3Lvk6qLAk4sO0ABOF/2UdpHfG Gs+2JMpD88FrSBCztHwEFUiWSFkfAZuVl9TFIQkZ8c5X4xQtZrSp+GAJyYB8PnP/PT7vbLX8GMUa NYRKmPlOUYgeYZmteMyIsoYjj0ubrsW1QXWxKfodPYLitQ8PDqmfRAHrH57VcC7aag3AAgGbZj/B tlZRVNHujLIrSqQ2OPWxbx5NDeaV7zw1O8yeKSLER8xHTlEUbNNgCZ5615lxOwqpkBBsCQlR1LzX fgePbKBWbPBq7TqPN+i5wKMRQFIzlG0Uyto/i7gIHUYEjr5z1Jh0KdZexQcj0LRRpdbdcK1FobYE IDEjIiYnt63mFEWRNppodBt+5MmKWwlIwsdk3IrJuLUQoE0TplkJ/Ju6iK3ovwGkJ00W6XGSEiXU VYCFFRvBzCtJlsd3BDc/d1imIYwGw2lBqYOX+bkb8HgQQvbvs4hiXyz8a4rCODtL9ydfG4HtCm7A 1jqLprA+xVUU1bM1RWgN+eC40QpFfNb8kQ+eFEICJWxLEt0Dxo87e2unRWE2OAsstlQfVNCG9IGK tWfS8XjQ8i83OsICBGcHMs/OpInjbHGMu1H7E9S1rYCUSr5KEcO9Fvp7nO1Af3hV+mAFJFhVn5RP B3YnToWILriZNHZL5w2z5SDQQ6qj+iJg+9hg8Br4PIvquPl4wBW5RTZBKCbn1KcoirIZd56Eu16H TzlWscamulJhf60ZcLRH2BHZBmx6mmnQFMGiCgp3FD6aOL+TXFDYniUkmIIklvpTTKNHBe2aJaLM qeJABOiupC1z/5xUpEdRdqrfJSRoenyBInoYZOs4ooeikCOlXeTIrMv6Ihuiq7zUelTQ1ond576D w/0GkHlJfdpGvAQT/432I0G77UnXJjFIPYqxCWUbdEui0A8uyh+S1k6eZ4l/K58esh6XLySKSk4c /ZDvsVkKeY/Sh6A4JzL/tFe/7Gv27ZmKZPkGnCi7R1H2FBUiiVacHlpxy6jRQjIAqcjZdexXIvMH vgbdfeh1XH2IoteNklqc2lO+S5OuTUz8JwUiGDl3vmNwaqdbaCOMHOYkrdyef4/Yj9C2gOpBsvoI NIANYAPhEadmgXdmsxTH2fu95w/2QwMmlY66Y3f+m2uVswwGbvBcZrvXP8uRPapqO2EkN0JjlouN IGZd1D+AdBeeD6bpltp49aLCOOptIfUo0lYVKeeL1WrCI0/pk15xCJD6NUshCZJ6wEMlAA4O+oP7 wK46uNG9brRBXWDdjk0YFDJaO5Wo49rfQ20otLPUloki2YB5c82e5B96t9h8yFHwfLDj5jcOiXX/ emnYPapsD2FsOrWR6ZAz6dWVlfsTM0JlLRRSeXT/HmuXQR0NDp77bzBFAa470QDcLpYMBqHOd+4z xGPzUpDIx8eXRBtOZX8puW7AOoXVAyJVaEx0ivEB/wuiDfIvHWmRzVIUbifOUm2cD5kqwGgHiIgT GC8UtOm3hDZu5VKKACRCtLXVig82Syhun+SGGcnGwYtECWit879MyoGxfWJ2lZTc7FFtuzb12Grx QWgtGG83kdnyxONR8n+RSJLtBsVn37M7wmpTv/a8dtGBDYl12nhtIkiKVZQW+IsqI/UIQWINgLpt YPwr4QxoBorwl2EfgzopMHsTBUlg19k81dk9wEjCl/wWKTUdTu2y2SCwtGUZfAY8Hi2k7yoSkHw/ bV1MWw9DbomkDdPKADZye61tSMIc+y1d59MelbZXkgb3SD74odRpLzjIQzqfvtQladCoWoSB0bdZ Bcnhrxslt/RZmpwkDkZnOyvTsJFl4vFoJfXvlZSJjF8XRDKimHvSLa+pMiJ195SsSLLIdBWIBIkl A8oR1bYn5f7AVvTB01u415REpMhZs+cwkQY1cCaNmBOgYkkfMQXuEcXcmbD/ktgF4KDy/1lJYm6d sy3j8SDmHv+0JCHCIR8FTlEUc3flbrUkW0gUP2SkBFjGsoU0MZVisIeVbU5Rb8QicbiV7XNMDOig o/x/EgfUgucCOQmtnPN5jfR2KY6hLoMviXiRi0U2zREE+lnTOzcaBQVxrSPBHXFpe37dbpCnxb3o kxTDtQ0RubwgmbqBkfKe1brcmzUATVJU2RbCJoFBj4Fl5sHEpFbwR6foIxSlbWrtAuCA02dckT/o Wgx8RwAgZUuyVU7VGaZRbPJJqBnl9/MfPP4XDYlIAYFXPEoaIYoE64JSEZa75TYcZFtbcSDyhN+q tloUcQv3NxepWmdKl00RehaoICWWSU6mvKppcCK9pVs7QB92/8O8C98RNUg4RYWKCM1r5+fHbI16 /SfmGRuPR1oRHnCzHcn09vZHRhRudwJdGm3hdBqVYtBI/ml2GpHqoCmKwu1JWeRpBaV0Xb0bfITP dIumfaJuQrkmUJJg1qKyMB9+LXpNfN2osy1z06rVBPTP1iRRrgB/eC4Zz/+1jpIiK/RH7joKo22T aM3eH6Hfp2VulBSUbhZyem21KNqWos+Lcee5cpCn0SL+xFqjbfa+U2IfEP4zLfk62mT7j6iuPZO8 SnrzQffahqcmv+4EEXg8Oo3+wUYyu32qtiPEahNpc97QjyNghexam7VNX0jd/eFHhNWW+/JMAm6l efsjwCGfvwJnNuyBpjy5JPzXcEMA4H6h2va2v7TZzlpjyL3ATOcZAirn4hcyuR1hpL2/91rZyCQv xHZEkTZ5CefRR3UkmSo5RDwNaQNHPEfajKiwrWxt2kzJPQRQfvhQDkZ5Yo6ihktZd7QT2qTQTbtT hGsH3xGERypFNkZJZxd3A5EUiGjyyIbQKZ7/i6ctvwC0Ii8FeYSB9pRByXCIrXmVMPzN05fRxDmh OYoDbVVHlI2kJxsZ3MpZUn4d1WBal1Va5SKQhJ/W3Wv+ukGg3UTVwrE0BogKqnbAmf2kbVgJ6yww fECQ/xdvRrKdgkZbfTZbHGlzluQFJNYWaTWLNi4lWcN2wEtXm+334nbNUu/ND6GtwwQGJXoGQH13 YDHPLBWoKODnKWp5NWlENb1u1LCl8ghFogCEbNJaQZBrXRmY8OL5qEhyNVoIcQVe8PzXL7cZlrdJ la64NCStXVFwtgJAYdRNGIkQEp2f9FtCMgVImg8gqbWTi6BuyCpJhfAoHQXx45wzBEiOdUtJYtjO EEXCfvZQ/s9B53ZDPkKAxInq8XjUJvkW+lk4/VGgsSmKQm3Yy0JZdOiszugZJUtsx6hWn5STraYo LG8TzN/lhMfhIm0SXT6pFlVwdNMPCMWTn4lS5srjwdkuvW1E1SJ7dFDMr3nPs3TJjXGKesHjEZ3t W65OAsHPKooC7UT26Hqq231uv9kI5DOGzQ20Z8yLZFo7UvHBqVondoEhNY+chDIVaMqLEl0LXIcC PrnrQVIVYcbVbUqN7Vl9UIEEcksm/LwqHo/myNNawo7RacOV4pMUhdr0uM2qA6pp213U71yqs9ym bbcWwIyL2yzb9n4Hz2pBUqtDoodAI3fqRnKrNYDxGufIPEyX3jaYI4I+6Exsg8JBqLIRa3Ci0YzH I/pI+k7YoM8FnJdNURRqV3lKteSJ/07XN2Ls7dTRBSoXD+0ZhdqDSPU+uY76fNYR2MJn7Wxd/6Oe S45ZLX6WAWcN0SJNEYl47RnSIln/L5uJW3ENatjQLjIGkN5UPB50JFv92mvQjOhAM9kchZE268pG EqVp8MourpEoa8QQ6aSNVv2fEYJEVZGRevHBIaTnrmw9iWGTIIJF6VWcPdKGRnbnIRJtJmcUaVdl I50HNwdttQGhYLJBz02Jx6My23dxRF3yy/efEYKks41UeG/+RyyJ7L4alakBpwgFWJuiqKoNqgmO 6nUHJ0Xmc5phKVG1vsL8lskI7JkaCF2aIuOyFb1tVIkkM6Kxi9Tb7SKdw4g4TkgsNDz+V76mVlsH rP2uopATmbbqarfE1i4OCThxL7E1DyJnqO9HXMToxCFx8FxkAayNBQAJxLMrNptxadBCE3Z1aT51 /623jThI1Izaio6256a1JxKJE9cpHg+mKH2DbKBF0x7+8YwQJOBy4yZbzj/u2XFIJ8tql1pbp9G0 ZhRnS4V1FmmQlIuLPHHVAJedwv2rnECXseaiWdZAdzGD4eUFbSLaZxRn42g/pxAT4TNgGfEmKrBF 4hUBdU48/xcSiVo/+F3m5dbOkBPJ7uV+pDWyzG5JjZjmAliET9NW+119BAm+D46LRE27wTECgv6Q hK7CkOCGQiaAIvqdpFX0ur8R2WeiLRIHB7QtrSo4n+HxKID8PrFBH6GkmOZoRTE25T5zos2G8n6x 15HUjjk8qa3FF9KKICSjqFGrLZcuFAl4Wggem4lG7ZuqEef/QksEXqytXKUfcf1XCCERbSSxxsZB MBukAPInPR+KxwNO5Pw+sWFf3uFMbFMUQkhIY+/pKvnPeTUjJKMnJyQsS01RGGNPg/wXH3yvUbwE jRIavCcajk2ZKFOxujf3z8j0BcJ3RNVsxo+LKe3CAaH66jlD2yBhDzUYPB8h2v6BIhH1Va8o9ApF syVix66houztvAhoxCWPjrrX2FaIISEN6Sy9roGrmarZiCB7pjQ9YBOccNRqFxuP44fACQ+OThKO 7wjK2cLVtpJ90P7Y0KYw1XU+/pempvwjzo0GvodNURRjI8AAjq26lZ3UHuRXTvduUkfydpj2CmHa 1IzokmXtjywrvDbBhWjyTEY3oJm5NOG1eMX0eCZvvW1Uzu7aY1T25TupftSBd+I6Oucdnv/zOOJm KxLv9vhohfLZm9gausQIZpOGzVKeainRX6TsYrMUkyLFrJEZi/e+CIAZQFJzS+3RII602Tw6v8wg Ljg9NiybXf8VAUg6WdqtUBQRtN0fp/ijF8wUbM+M54Pt1r+b/sDY0qnHJimKs6lxdpL9W/b/YrI3 l7JFfWb4kRTKj/AsKp39bA7e9D9RxAl9iPgHrzlPWthldGlBHT7HZ7k+m4mothXhRxZtI1fX0dSL 5SIw2RTvCcVmPB7J1HuRDTc9hLC+imwr1tGWa91tZ6vjye02pFPPqv/VHltRoL2JG4E9nQ9+s52N eG7GxtbIgk894SODIiTYZrmNi9Wm1RK+JEIi0fYIARuHZUTZk6Uxbjj7NzU8HsxR+qdcq3XkkfaK Iu2ytjqPgzUjTFfylv+WeR3VWfFyLB+tEKtNWGRdvfng+VpDpI5kAXO0VwcZE7WRE3RxKQDzdsEj c+h1f6sfnYuSHCRwavnjj7RHLXI3LBWPv++19cwR63HIGNeFtK0QrZ0pP9KXC7KnOs3sHgvfZX4h smpq2nFNm/J96MnY4DgkhA9J2qOQsy2Qe4HML1ynUWOm84VatYWKZ/iSQJ9VdmxD3r/DfaEWMG1M o9tJ4/B41PL/hzwKk7leb3NkhSVt4WxlDEm96O4aLaV1s7KD/kgyjZYVxtpEtFVxSDn4JJ0wmxo/ RNg0IPSoFw2y/8ShlwFk9ShpDL1u1IgkLTIR/c9BJ1Itpi148vOKx4MA4KqOu2jUHBeqtaNYG4cp 4NrNT+26uxNsQYx01N9yWc0d0iK3kDV0tOVwY20Y3MBmtNI1eQgXAUTbzyCpKF9nEZlt7yjWRrmG lr/VB1VHNtg2qv8PPh7xa26sLVFgFtQ9SNrvwTYcbUiqzdN5WkXMdhYi194eRzZ3Rt6xOw1pM5Wo Ng62jiChNUs1FTtsiqrNVhZdEH6gAnBvNmr77xCvXak5Kv+Vev1XCHcWkvhEGHg8Uq//RxXhrA9o TfochXhttApOQLWSJ//0MtGB1Kbr/AKoZ3MUx9osr2URtfMXURvXFbEEpPzXVckMSKSP0HGj3Cmi 1S6+I5giMkaHZMfHlR2Hi2wRJfKEHXg8EiDxYi1LSNz9w/siO6REssOW5ZZZdbGZfH0GtNpTf1Rz NUUhdIRd1w53RBu85X8Wzrmd5fG7NkDgqI+c330DeX+WMWRhvHfEi21HwfZuFj4uH6ygPfciTOuk I3zHoFibv31GkNfidPQ5+hWqDbO17tSIdCWj9viS+L/LKIRqW9NoZR8c0D5no54je0f9vBUrkTlR OH7j17iwCP6h+I7f+Mdp9DtoGZ3Quyl1B5dt/60/wpgcixFWyjZFoVdN5ryscstsrNZLf4RBr+mP ZPeJiJEjKrPl5YMzIs+xkwf4N0gHoR1NLltOhBNhu9VHN2INve0vZj5Qqt8+aIoqOZj4uvOqeDwS sPOMjWqR58NGvSCtHQFHUKeC2ljxi7/1nW0V0aFAU1S7R0c7FPlbSmfn9MHba+dSKLsrOirQswWO bYGgDGtPpE39trJ719tGolqssUkKk4OKtfC9kpx2Xnz8L6q/9GtRrB13FYXyI1UeNe7AmmRZTEPf JXQbUAtp0W2k85MitL/E/Vr1wVfROfZP1LhkmYUchaEBlUYairq7Xj1NOg/jOyJ9f8ogDCG0hyO0 z8cnGeScj9l4PKqxra9VdLbnd/i4w3q2mfn25GdRczJtxYr6MUZku1MUx9jUrK85+eChUQV5PxP1 AL+RYbaauP1bkef2pR7vqbcNziKc06BUNB/sLBp0ckPUXAcej7g1+WsVncDjB9K6PkVRhN2ort+Z Wok02rrJjtUsQVv5+Los645AI5ONI5g7+uCFEQQBGWAJoiFWki8JlVgoILnqvIERK7U71h0hgV3+ dBwMsbcmxRww5QOP/6leh7TunLRt7se0JoywkwzXukTG0TliY5ZyEWL7ATECiT7zGE9hiN0lAyXq SH1sa6CBe7KSSueedRb4kC4rkNgbdVtp2EyZsa9tLxzwRgt5x3PLKQK1LN5lwGbRzhqV38kPiGCj +2u7MeZNX+4+ocxflbGhFbKpQ+K4Efk1UZg9o0YvYfYU6/xxhjbxIxx8os5dCVVosdnqgqCdKkhb /j5cFi72wzgb3xKJajJh2wQgS+6WWVoGXoqJ6pqZzwfpSL+cCML9ie0tVxQppxA+Mg2E3C5O2/H+ 51y/opGo0pgXQgqB2k3q/SxIcrCZahAvXxTYAt+21kn18QoQ8Sq4PVCNvX6i2V44QmspCmAZIJH7 zwiwUZSKwL8J29UURdzjxgHULEOfbV1hJNl0vF7fdPpZ9UL/zvt77796LxdOP9c0IsXOkNx7je0S DjZRIEaw+0+ZdkQdoNqCwHUycbxpzeWrb1vshSOEJBNc6CrYYAkukG7MWdH+x/NRhfsfnXbI+LW1 rpVNiuLulHgy9eWFybYNy4aIyZi36N1uN0VIoZnNluR/vYPXSlZHtUR6LZv/aay6nat4AxqSEYJY QSlTHgnf8pu/xvm85oPOcgj4SPR/wzsihViSUr5TuHK2wRpX9j+nuMrNk2kt2WqckBhwBMlIQbVF xVxWp/00j1W2JUX2/xl7ryxXduZo9J1j6aUFb+Y/MSEiMlFsqbL30cPFvt9/iqwGYdKEWdkHBwJQ QbirqJQWoPSsAkBSZkHCL+Mm8/Jk2vbCEQSwsBlQmw+aKNw95saaNp9/nyhmWg9P8vy4Y7RnnsJK dyfRdlsLiadVt0r3EpuULhtwTbAFFcXg8oykU6oN3qEcCJfRAwATHXpAAErC9KH/UI4ZV60f5kTa 81v+0tuERoEPxm/Dnce/8sw1n4/4pL98RxHT96/1FCqTEJ3UMoslig44Odp4eXh0AIiMzVMUiLNj tOkGa4Pjbscm/U8bq8O4A9EBiq+gcNM7xRmlhSuE3xJkK7RGpKk4B+sJDNRR+FfCT4rPRxjuq0uq 16Mm3nPnhdE4mYBdE0VBgOzFXKiSOLpksgwq879IoKQq1qwj+WAZC8AJiz5kUNxOqPQiHE8ZqXnD gdb6Awec9r5/mdukyWIcB60nBHqEqqP3zeeDfffLuQUC+wvXo09TrAWYWei+dbhCiDDrcH10j8ih l+nTFBW9B2PxE84kHzz3Pb90LVRE3tQGQLHjnO7IMwZZ/Xm5SEnJtdgLB2UmnU/oM9igamVH1K8+ HIPN0OGm3XkSwZK6249PYhSWA+0PjxsXuk3k6Zk4ObvvEnDfeblRYogyaaKV9OSDH+Rtn/MNnUYg cdL5exZ9gFApon6cuLlmuElT7RzYSco3/CS/nVQcDioTjIQSChbUOQ/5fNSx/AZ1TZrc3bpuDg0l KQOTzaKeVUs3KkE7rngtZVQXcc+Bo6Tgn1CgZgjFQfPU0WSrC47RgJqMsSWwUBeKdKyx9NshkDtJ /sNRkhEUokobNE0po4PPoLxUPh8E5TcwSM9yuud47Ckppxu1KpkJg8ioYkFhv57FAjocs1iQQ1NJ AwguurgMZ1oD2IUK6HmiSz7hHFbmxn0SoobTkF1Lm6bS7H0jtpLU7qWjpEyKSIqT5THdh0oQn4+6 BPe649W2CrkEd57CIjj7TS1lL4LXvVxDaclmoorF4d6bobPkYG1uE2urQfM0zjrDpBMtCeDsyIZq BrKYiprr0QVkBzwHzpIQv0GOtyDZYYMOlFlbZVPtXJuVz0fCLrc6x/8aamD7MSkLzSWpDXh+ierF p0SdVNbnzHWCrKJS3YAzcJdMNGE6+y3Lpixfm7KTxA/I0lJI8sTmg72wuSmn1LDPMqEWylwkpJRD f8lFf9IhBvyQqVaSFveWC0eCTWnoL1nmd9sJbDCUEO88RWrcXd4A6hLwn/3KlqX+aCnhKLF9F8NO ZKBAHWUONk+p9rbZruQ8LUg3YZ5QL+ilEkh0wctTcUHoMJklMdkIG8jNW5j415Ti1PkgPh/Jcfr5 hCdh3V7a1/kUlcSXJAKEw+E/uWFMKag63xQXi2XCocfkoiMwrLp98FIdXKbg4stMGIblRAzCmeNn gJFTqGi6JBKgKlRoMkmL05lGKj4oEz4BuSzeWi6Lzwfn+O0/SZUDv9WjN5lDn8nU1b00XA69guqP CQWQtc+AfErdNemjouYBSTlNGrjtauCWMVDKzEJ6o7lWmwlzA/2xJvN2Dwuqv2+0niSmRC8FDrrv 1vmPGdkC68Ln/6XwwsW3wfPO19Y1cJpE+AT1kmtPhlbC7ffWOm0LojI0fJr+RntXmXHXx4w7w/Hy zCGrmvyqTYEuhFGoag5q7V0oU5r2wpEQPu0BJ2vAHBSPQ/1bQeJqhc9HeYuHT/IpAZgayZtPVAz4 prvbrA4eoBikaXOkdmFx2FU2UbGxO1uaII/Z4J3xk7QsVsSpZAbHUorhwBITlagfRgq/E5fQcVLt qCKRLg4KyCsJjtxKs/H5IHEp3wUDusys+WXKHSNRSKcczX05ay2uZTa1ovCLM9hVJhy6Tjolngke B6/VnYDqnK+yVIIrayMDdaCUOVnhQ6wu2Dd4InrfoGBgokp5aDAayjlhz1YXQWllPh9pB34zvphP t6sZnEPjSVkqdpzTWe3fQpmlLCETRAM8nwClVQEqdJ6k3h0kuLcPtp6gj1M7gHiYpjanClBnXSHB Q89jXIuOJWfu0HnS7rslzffl3U0E+e7wXiafj9jMN4CSctXGIXXP8dB6UhceXY2tm1DvhUc8hAp1 wGrZvgu8J305tUQTCg6+79D5rUv6SmiTwx7hXHjQXD2RwlnEg34vQ4lwsheOIk264SSup852KoNo cIQSb7y+1+YHRCH5N5PAhZ7vRIURuawVee7yJF9pXA5hH9vAzWPcyCDwn3TgbpVaV33UuuDUQDEt VqAA1k4EpSbIEmRCw4q0Fu3K2/bGAaagqEZHT5MzGFLpHHzQceLOy53PR8ydb5fuhsYpXsQnKrTG KfJXrN7snNLp6Cz98qwinLB0z4QDE8orkZtT9sFDzQQccKPAy4LAiap5lKBqhTDwnm/TfC574Qh7 wT3XiLrMkEsQ/AvKWYQg/5zDdvIDIpK8d12WxQYNkr0+USFChVuv03VFR9RIVvCtMg2T6+u8JYPQ iXKx48lGlg23c94TcGyipfZC7665NuzEOi7nQU5GeVQpc+hEqSPqPJR8MABG653x1PmDG5+P1tMt acqXCbYdF+uUQy9K4FgBU8leq5v8OuFTKQgkgnPZ0+cpVBMkJ/XNivqcSeey4Dwt4G/EhaoD4Gd0 PaH04XpLa9r7BiiMIjqpIs5ebmQAXaehedp8PgjJ03eJnFrp9ZoJ5dCOsot9oXoK2XPdGD3QOGGc Xsi+AA1MN97f6t1jqMIyHj7YOXZZQyDKoIAcJgZvgXhmhp9cISGMxqfZ5ilEq9DerIEfZYNSPIiB F2NfTj7/L7gzJpVgnkdTIIeWlFg81DW72LntJYOaKXjHVBim0j5PsVkOI4PB5vDFa2OeUCTHCc3S CmqdpP2Q9cEMh0eXjifJwOXQkxLoqA6S0fJB01QSboYf4p0zn/+XzikvmnNxlR8ogd6JikLyRATd kFQu/0kkrhB0bPoLtQLJa5uov0mYZwsPH7x9niHVNqjimRIOQ1TT14A11iDCA7TeqtBhqyscWlOC bILgieuKERfvqBOiNYq0kx7M56PS7/w6x8+ZAKGKO0+hN+VkrU7xs1IX6oar5aI4nd1zllR4PsXm lKxUwlPbB7vwQLw/F2aW4HkDzoNdLMbobO3VS6ATODyH7pSeCgtPV+Y9x89OYBVVFfLAnlKtrS/e 04ADU73nU2hPuSu5GMlBUGk30xqAal52Sm8GBtWmKYrIF5VeRuOtx8FBqxsisZ1lpjN7wC6IPg8s HT37IEdwQQbdXvgvftisO/lgJSh4EhJNd76NzwdgjP3tBksZpq+IPPaoVOnX65i0O6m+nOoFiw3I 8No8xZreUwio4YNXVmY9KWQxHUqYDWwBoc7S2QVuS6z8q9XZ1ZoKbSqhIUeNIbbweMsrVZsnc2Ei c36izA/4F8AXwRaxbagD+ETFpEw2zElvMPu8dYVPWnK3ip6W9/Biq0rSMWFn6oNH5Bu/diUpo5z/ F9gNEQiF6hN/Aehr1FW/eueBV6VbwqPKQeYKMCxcUeen2U3ajyd35AdEIIPfxbq5f115sVsldXSG mnhDkabhe2ruzemrC90u5S6BXeWlr2RqfHCwKtRGySQncgvO1jvftknxkYsuUHQA2DmmPi974ciT gWVf9DxooHvehX/bWWrnmKLY4Ln++AHRpedJHiaKDqrj0sVzYFoJmAHOqEHTMWbDkAO0bHjUasqD 53fu1ScqVkGh5FsTHKM9cIxzr6QTIWzmcKg6ziHf8wJsDcrWdV4xPahf6luCK2/J+IxtKg4GWznR chG+B9WVwLgSZfLfZQPq18znkAoFvklAqKU7Z7y2bCrohcpO2nuZom6aqAi3IncvSOf54ECoc3pA 7y6xXHfOEkgLgeVDztZZyxBqdCfd1e19oz4e++aDsRQHxeQwC+W2wc/C54Pc5QLrJCwPV6Z6U7zA vRIbrysBNkZ0pkCITVOrzRGIkHi2aQpjchrEAl/og0/T+YULNJlY/gWyq9N5ALcGTiz2vn3jrb3s hSMFS7aFYVtrg9oJZ7opKnmCzdX5fLDvptcMmuRiG6hjt98ZGliu3Z3NKr24hqCceDF0gxPN9XDp Jcf3xA6WtvEI82ntmlcDuLKA1uaCgV8DbFpAHjvbbREHhT6946B6sxeOEIjsu+iE4qA6OaS3m1oE a/H5INYs6euAwuH803Hq+UTFZvHIXgr0zFTXhCWUCeu1TYmvjG2MbozqmqGLpbI8GDL4YEf5GhVR DmUa20nrkMKcK7kT48Gzr1Iz1jpUfdkb/xUeQEzPB7v0Tma3xXhGmhf4WALCeLcecZmQafluUcVW lqS3JHHthGIxdZ0TlQjuw95wpUhh10cFhzmA/ptexTZYD/0k06uBVkmd5lX7FNkM+5N+I3D8drtP Mu1z4GWZTNliFDLJzmBCzWeeep3KSIA1CMwsvxM9dVk2Edq3shm6WTJOPXFM9nlaNV0vawrXce91 kLZtniJAuUrAU9W4+WDrzq8O8ESxVt5iPRihFgERQLRvejZLPF5hVGBnKZlcOOyQ5cLB6gYZqCJ8 3znw+Hxw5135eJZmYASAmb3zFAXm1DyG7ZQXDkY2YUI4WjtP+vyZFwoVOFqK8o/MhdqfHDw4AP00 K4GByV6RpXMGrK+xpZBcTaZk1etCQ0vZyECqnwMuAP5pJ9Y/C5Wc+4TecGhp+dg1FjvLG6rgPk9R XF6XACtGlAJsc5pf47nCp8cGDYUPm6cwLh8SlJl3sHx4oPUMKjBJUwVilkx1BuuJKOg+MiBTMVRg ailPHxTq6NzAQflwASKDsUEGFCp0tfytbI1uTW9PPhzYWkKbgK3hslXLROmuOvS30BNBaim7ekPh D19LdhKa2AntYSeA03qSFxJa4GtCB8q5OlI6KvMB9H9jqLbthSOoAWnAyAxtsH1X6C5Kdlnl89Fy +uZO4Sxo/Wueopi8klmTXYEY/1zO4hiUhuFygj+1z1MMJeehs9sdvAzVBvB1rFvmBgsYzhN8eH4W tmeevTzQlW0vHPCnEIwPuqucAX+iSK5jox9JVOHKjR/wfpCva5em7BkYxPoUygOHy8wsFkGU21yd n8IcG1g58NZwh1u9gqjA4vJmL1XshPrFTgAstwlsgHiJApfUT08nIUZpLTPa1M6jtFwOTC6zCnYD SsQ+OJi8JdnJlq3nIzDUnSi84LnDMNf9CQ2iWjllac5/vh5Z+eR7b6P2aDwO7BybqQi9UnmGn+Mn +2BH1EndYEjYSH1Fc2IRCZSogIo24npQ91PEoMDqUubCZGxMH3REAcY1rP25+HyE1vzNxAftbdwQ 6vMaQRWxDbHf2nR2AqmDXFAo2duCalcZ7DV+Ks4K6tp4/dl4zZw6NAs4oypreme1wkaRbo71YU8h fnqNnookPeGU2qoPBmjtTTYX7fwin9fYqUB0QCup6aqDaOtgGsxQJJqeIcV0pidZq6h50gJVpyzB i+yGaa9hU3EeHiVQbfB6JkASpG9CE4RWOYvtO1SSCvo38A01A4elc+l1fk7IQaB9Vd7CgfNTYJ/J wuCJyPD02/zI3FDzI2gvmlJt+wS9RkznKfgtg9JS7/rpfiBRzN7YLYOGJlg/r/FSgcIS42/mIRos rqwEkqwplQIE3pIMA2AQBhE/ZV9INBfs5zVaKvLLOdf/YDOYg1V7z3t2VQh2+7yGSphdsyVokpXD EVQIy8T0vAZKxbXkpSotkcvOGJzgMMnxUOmCoGkESq9hErYXa2xj7+HD7ZOf04bhvEQczrnHZG+g mTQgMI22psJusmg+r0FSEX8ANntsGuAM+inWJN91sQp4roz8eY2R8Kf25euHkzHxd/n8vEZI56FF h5RcbuPXpAoQcIO2+eMqF85leY2Pipd3K8ixNthtdjZSPZk4730owFEKaC70tH42xdGRaNv+YhXn 8xodFflmd2yl7oNV4lD3VHf8BNuvsRGfnjY/ksJc8LVMNj+vkRE25RCAoE6bnyHAjkSJxnYAQesG En+Ni0oiiJSbJvng0Gc02BssFqF9eDZClfdno+mXCNMXZ5EYZ79GRfgxqzSJWvVB84O2ltzjTlr4 eQ2JinwMbP2QnHBeY8jOivYHrxMEcCtZYz3dBSSxVGYiUk4TcsAxO6/hECaoSfuD4g2zXPGGs5Yg Fd+9RgnaHRopi/EjBVoBFvcdxoTtNRjCDqNc4+y86iewcUKVipbGHXam//MaC+H8mv1rh8EXui8S oTBBr3EQDug2RNO0FkraLDjLF2Vk10fLRJ5gBb1GQTigu6RjudFGemQtB+qQbS1NACxkKADSgVdb C8if3q5tPBm0n9cgCD/CZOKxCU3lYCU3+BzgFzkXff68hkBFKi7P/AAI0lPNNj+vZckijSgIN9SL FejLbKxA7/ITaMFlR9JowQktk+ZepPtdyl1A59rJLEdxBxVEjFhAtRewfQGeHvVq7C0JuUXzg6Bg DJ1A455A59cb0tyBmuvntSBZVNDUdLDUhPJMnj4/r9VIrNksya/lJxDSepufc5ROL4lAY1PCFn9G QA0Wyzbcom2u5yYFCOTkBwuEIVS3K7SyFtBZlIB1RBztmV4LkUXu9nBBZ5+Sg0GbUSwvXjd6LUMW Zb/fJ9CGOm/xE+idP4erOZEM1p9iCPEe4oWlyws72/0SDcNLjEHiEN9pjKfpDTPXihY8edCtA1IJ j0/o6hJwC5aTq+s2SRBEa0jWQ0UiO65zANPfLensVgZoq9Emm9UnidgFBCZQd/BZiiJptqEg4Wvr KA0pfuCglgAXzyHUtZ3G8+csTXrlaLCrbJ6weRE2DcHhk5wPtrzhQvBDJ/nCRaZOLn5svm50VLNE K4dGDnYSQQWHfY+zET7v3LnytHGbBGeRqwzEaDZJ79E09PVYpx3b67SdNps6jFpz0a9ZXV/vnTqH T6rmFLd98Jx1oZSGQjWuswJPF2oRwaK2g4rSH784AD/4tu9z1AUH8Orjtk4SevSyH6gNRKf3gBr8 kv11XEOObTSINVtbJjqvBalc/Yp+NffUq4mLQeznfGlzUUgtfE3PQlTmB1E5CPSC4WPd1NbN1JCZ 4FMNhEAZetL3Qkt63ffd1re1I5MPysmqVJtxYgN4GgbVY30fSZ1cVZLpVUAP1lFX2EjPXoWNQgNw kqQCzkkC8Mvqs9EknUNonb1bsg+Wlp2M7pyvRWLWAHMtpmUw+wTm86wpesWy3Eb8xuedMMdbn4lH YZG24zcUEKudrJLrHzgxVNOCScpW+tBCQv2e3iA+SVFsrUlKyc4ecAzHI9SYqp1IFaG6qo7vwbWD BOdiY4iDbTYQwRLibdF5AS+hgRW5XImSUfOCugath97pctjR3GarM4bkoAsJME1T/irl886WKxAM 9d3G+hCXdKK8LicpjK+JwKm6xsyE0FEAhpwgCgAVdk1SFGBLgximnj74/T/TiXpap05zh7Y4Q/HU uSzQBSVny46kPPW670cSC7MgNSwf7Eg6KWSWAWzen3eqHFbSSF+ThCdQffM5ikJsqeuulFzh4zzj 2jonyrpFWUDXNUdRjC1fnfPa1Ye7kNCFJBf0JPmr85KfYtSPhtBr5mvTQOPDzztPDqtV/tUsXk/K aYg0MFlqxCmTOh//T1Ek+DCQNrQ5eg+zAZMoj+DXVA27eTm22v9KBGW2QloUZ0tOB5GgDw7whnjs Bg8U5NQTKBYWGtsmYAndP2o1qmwtAOV7nO16Vl3wQA6qFJ2YdEtdt0NTJwq01x7fBxIuuiaIBOco CrU7j/mTDTqGqyaX0Tkr2Er+UI51TfR3ftwNkWDM6IPN0dlV4FLQfRl0mgnzUYBNYNmJIiQY2be0 P/W2wRyxV7QlSMhB51EDFdZwSevzTo7joe3LqPJiwxF5L7Z3ahxuf6JtkpTRqPbdHrVvFg1lYdXd 7eOdGXcLRqMzHeFgW+1cCy1luIfRwXIBAk89y4yG2j45CbS5VK/GbPE7giO7ELolmb1+ZfZg1bm5 Cuq5UD/vvLhiZlQ6jaSTgkil3prjOzGOp5gpobnoJ9zvboi0vCbSAe3j7f/Oi+OKFG+X66i1Ly3C DcYX5Rr3ueQrvKzRTQOLAco5P7AAv+cRy47vvDgv64+FMxMD4nRltR2WtDyQIHzyzovjzXYLR5V1 EViNJU9I3mlx7HwwsS3XW2eIB05PlD19lhacUrSU3mNtVPZ4XLc6fbhpGwRjqWyzCVCX3ndFiW0j /y7gb7jXF0/td1JccYVddGqELF2CNiAJZxhHef31eefElYfd3NzcCWfhzUjeOXHYcJNc1N0c+V4h fGbOw3m4bhxIJzqT3ilxTAC54SYPHA624c6OK1BBJRJ51ZP4ErRVcXyjJAlFj8vMkejJOyGOBQAc 3LuxjrS9VQddpEID9x9orH3e+XAlX9E4HUrSoR1eAHinw+G4ZxH73LrtBpLbitgFqYoV+Ss9gbnf 4io2TSzcO+6pIZ0btp24PZGHCsFvCp6cA/Os/dFYY9tXQlY2Te9kOK6ESfTRKj4IAIiK25RlTpqf dyoc7t99q2zNDqUOByybpLCSzZN7tdb9UHItQojXtu51tqtE/M6Eu4WkPrTdxrPd0EWB6AW227mp 88J3opB0Fu/mNi6gDE55D2MBft6ZcIwyJO7Vkw+qkuSSLW87gf7nnQhni/271IZwP905eg+2s/yK c8/LK0mlT89tzRSFaVv3Wu07C45VX6Yik10jDl7NhvBdWyxG0v5ZR9IAVB08gHM7YZF5O23pdd+P JJqizELxDw2aowK/BwaCK+3POwkOf2323JZWVpsW4zcCCIPtKgHi6QdSH2X45ZaKUwJgsWgH0nuw 7SjSNektyMGRbORmJOpYpnPg1Ep2aobo9UABa6fLMOGXf94JcJwitqw37ZrAf/phUa+A99t5EKP2 /3knwLGo7OtI9+AJBeADYHMU1bR5I6Ike73QVS5hlFTHvAdS8QPpPdiGwgm7skld2fR0ZWHvMRr9 YqE4uJbSu7RwuECSKxP+7jaWS68bRdtEZ6m9z8HYSitVHtpYW5939ht7rON7jtARgc2tzVEUbNPD J1McwNS8ZvOqbdtERxKOPJzS9c58Yx0BjJaEm9IGX0cbHn0SPVvQmZSwh7rqwA1Pyn5LYZfile+8 t7vVsmoA+ZbaChjjzICgdfF5p70hEB3z15kNRZmS/Tx6p71hHXVZfRb31kvu1wSB/emmuiAgK0R6 Z70xaaRCVbHc7YKNBhTz9oZjFoQGoZ1GhAQ0s8+xCWPwcSVjwRPkdwTt/clNVqnvyUFJ24AptlwX d/m8c95493phOzGvRc7WHP7wTnnj2uVxVO8UQVrUeTelXoY3tKU0RWFZe2H9FJwRNjgA+SSQJ8Sm NxwuzZWl84VmUAEeivqD3Vg3RW8bTRGu/io/tOq5M3iBU9JU9Vwtn3e6WxF14JkitA4qfGxtiqJA uxFUC8i57TRwMnynaW0BpL0qMRCdnxScRlngbOb1HPw0OlcicDQsIcGuUdYpFdIXDeyTsZ4Tuw29 bZCzVZ5CdPjWoFV0XroRN4oA6/NOdPt1YJOoU4GwR3Pa5igKsxvRallpR/nmvBVE+OPOEUhPnKP3 MBvLiDl/JyGQg6f+58pMmWD1BcO93YfUq3AYNIWw9zialOh4p7mxYkvfwUQa7qD7VddCOhEkL9KZ cvm8s9wwyTc8qvKY2TS89lmKAm0gyOn1eWHs5AsIxi7bzyIFITuz3zlu+JnOmy96wftgmw1FMKi8 0DIWwLhERMmCwEUmmufWRzq5yu8ENyZshGGlPXzQQoLih2kpjPJ5p7cxzL5wNV7zuE0BhLcpeg+z 0QMX7DFN7yBRaFsIdjZExeguyQA17+w2S8sRF6Xpg1f+QSwdbKMtnNxFHo6NcgfgF65+b/7JVOSd 21akCwahiTR80HkEf2OVa0/W9nmnthH84ZAsN9WFcK1PURRl9/rF4Ga/tnl5pGxhjggZgQaZjuyw pM1TBkexDw7KAle2wRoTskH0v6PRV5oq/59PS9d6UGDjd16b4aqQ4hMYuroDQ09wsanTSlrK553W xsjBz2yym0E9NqQxJykKsyl2Cnfm7iGkVCcIG6m5O2wEZAVNUljTlovVsoEt5slUZMAkrqgTCcX1 Rm0l7D45/5Uy3VbPcMbvpDaWvCqL2eRDgAQm+ixEhs+VSYPGdWLId1Lbrz4b7a4XDBrXPbXDOLuJ 8Ndd0Sz37oW2Ku4fC20oo2mSwqI2iyNI6H3wwv+GpHPTbgIUa9IObcC/bEM4V34WFkSiJPh5J7Tx RMK1n6bINfggoaeBjDfK7cx8Pthu+7s6Avb6+cvu9R8F2pS5zmvdqvZAsdk6SGwosjuyq7uhvrPZ 8P7ysF7kFXG4GLa1QHhW9QPUDJC5VoVVfE74ukprMishkc32TmbjyieZbbHzz0FnUmXZBV94PuPz zmXDS+b9lY3A+LIBrWkwyDDSpj1DrSzOkoKHXEti+lO57qbkG61RgIOMI22eSatPHxyHjT5RW8rY Wke/qohrS4ku/DXtciNJj/6889gIbyBPpLPbNqjkyxLSuXh44oM6Oz/vNDYiTevPd3/k3HBg+/gk hRCSIiV9sEUUJKHAh38iPEN5Fq2StWnqjpX0TmKz+AVOA+oBlItlgzFbE6EDG+/sYcZRi0a47Ltk LC2r/jPS/7yT2Ljd6BeH2pgNgkOmNAqr0iA1fd45bEV25F+t/8qG9vKG7TuFDStpCo10jXVbvaax QJVbow26eGKPvjPYiiyN4FuBJEyD4yNKBr0IsH3YU2QhslfhcZiotpEopZueuvY7ha24/HISdzRd 7ijoE80qtpuPR4W277Y2VnerN7F9569d1GhdRnVAYuteA0BlJ25CsB6y0Wje6WvFxRJWptDbylfo 7YQU59RvW8VGqJ9UVrUnKKBnPYFvVB/tZfIe3ulrOEIbY8jCIgAHzdFAj4dpxjrR9jt7jY/7HHXp D5//L3HENkthXVvkB4GP5RqfHkfUq75B8DFP7nf2WnH22kYv3AYn+SEfOyEqyJBwedkqfaNU8sM6 aAYl05Jb1UjeyWtFYlF42VZ8UMB9kmehMUG1+Lxz19g6mF+H0kLvX3LnnKOorE1GbtpyHcJtM1r2 263W7uqvLd05CuPtZPH2HSzexvaCBmWn/fDJqxZtGoGKN8TWvGgkwSPeeWs3/1/UJdGgKYJ0zDIG xPi8s9aYG1/EVtd5j8a65yTvpDWmbQScUDtFZW3FRnJisP+10CDA5uivgBs1tvPiNnhOArfAzUR0 Ed02CHyDgv4PExM86fG2llFU1TbEFozabNAcnfBrFLGMWv28E9Z4nn2DkGEZTx1um6Io3KbsPASW HcbeFXmrZXvLSO16eryT1ayvvRKkl3y4NRIo0CKtgrIwZA/FJcH/QiXeRXiEziNCSN+5ajw+qUWS 2GXrybtsGUQm5omt4WaLgu3feC3UL3vtd6eFwTa5/oNFK+NmGwYQlTa2TCTq1q1E0kKkNqlq8Dn1 4RZsFyR9p7SlTj5aCVaivn0rQ6QEs7IE/J7fEXCxNrN/CSpWKUQSHQGCKMtILWc8HkSRF4qcFHHi DLwBUhhqlyJs5PpxSwHX3ypSTmXyXwAr0SqKatqJofaiebcGR0cgEpiF+Md9puPsbVaRCuFbECYo yR1P/MCOIu1FH4+Vyedb2fkiBQL8QvufScfjQd+/3CgS07Sp6pn9NOpRqI3gjr50ZuORwFa0IhJ0 kK3Uhr/NKDUR5RF1qHMMIXa2wYpIsDgBT32o1DZzk74wtUubwkKPtOUm0GPOI6kQlV4nHGwd7apu TGubjweUkQshIUQAJb/24Ed7FGkP8vvn6l6y7b3dQlsZrhfBHFlzFCFIBqvaOes4yvc4GguejIkm LUBrLeqeAaqM3cfkJ7Un+6euRo/K2gTqncSrFh/wJRm0NTNFrScd6VGk/TCzeB7hBfr2zdbDQJvr SNKZwo+05c2RqtYbmiMbDBjeaj0qawv1B+SRD56y4T4f2loZeBjc31DHr2Binz2W25OyTWKRehRn 04VpbLwjBuJ2mY7ggpkyHmwFzwf0x/mN1zpro6Dt7+lIDwmQjZwRt4mHREiTwVchJcLSEcjVKdLu UaQ9Sb8mtNIGn6U6GgiC0paGbwMF3KCrcGZpUNu6PUoRRa8bBEgC2WpBrUowK5L/E3JtfmM/Scmn R3XtcSskPOLPIkAtwucoIkEOOhAvGBZLJmLRbRsrKY9uaO2TvM1muL8e40e4h2hQqcHjbPBEU+Ll vyGwBpDhJCb5Z9BhBWvAb38WJHtU2F5q12ZaWE7iLblHztbtOqBG3fPT3wNtwb2/okjkudBY91mK I20xjctt/KfSfL/l7MW2OqrlbD3kQrJ/tqQLzsGRSFAGBvKf0iwVaRtdz5B/kEJNXQo/kyjq2iO4 tuwVUmVTMlHSfCj9h046WySlbjwf7TePANQiwTJql4zUw+I2W7YT7umZJzdSWxOPWlvyyTxfUZPn yR3H2gT9FWa2HC5eewHSCprIifcqyidFShr1B/oXP/TjvQqcU68bFZJYbKtVRsRonpm4/YkyxCAF +Bkf8F9IJNCB6V9r6T3c9oykwuQzm8MCXXGgkTcL6/6kbLGCz7UUhdubKe0wX+t+5VxPOjMyRJDo oIf+qnj9KvEOCsMsj7dPflf0usEsqc4m1WkOhtg6i1eyLOfAwuNR/n+hf5Jsw1UACVCbpQizTTxy WrcombokNzdhJNWqSidVpxVq5yf9SWzbpn27eCdjx8Em/UxGYSkJPD/ylE8Ae4YFldvCDqrObhDt Kr4kCJVIXD9ZwR2sSnL+Xpkp7lTweASQvFEAbzik1209aykMupv5eDmyvXayajFLpiSFHZfbsoJb j+rbS1hkIJxs8NO7LyC3EtH/MJgj9m3Bhvpn0QZjZWdIZjJm8CVBersIIWnPoFlCF48rAaggPP7P tcRJAvZr3/72iIJuobaAh7XDuylCQpkEpFgLlhpRgjiWRlTfnlZKYhjA4YH/o9lGIDnMVHuTFDXy EbLZESBV08Pl2T2ioFtKCBU3gw1qAXSwC7vqbRmPB0H3LyUE4On6w7MdUcxN0FTubMRwHUFD1CKl nJcXbgHDMiZ7WN2mt+LMYtrkh2lzzqQCJjMTWLQNgXM6/zVRpLCkhvzC1R7Dc/iSiCCZpcLCPBfh INOR8xvsLVvPgQx3hOXtK6fBIwmmL+uqjYwQtJ1ZP6r9BgHZStrAZRII2EmR6Ka2OaLqNooQJyPZ yk82KR6DCIB2ztWcCnW480a1nqiTzKsYp8+4en/Ayw58RwAihcjD5OnDweT+zk8MFj6iyfN/ePyf bRKza8Rv48nbiLEkWD3nnHBR97wvHxkOBYb+W6MZ03ZEIfcSf6SzESDRJM5RzxXSWrC/POEkFGwz KcuwKv2h1MKkb4ciAIAe8R1/dUm6okkOVm47tz+RgGfYePyfqhFcfwMZLspXNklRzI2aHE6h4uq2 IML+uG5EumZUyS1MRhRzT3IkQHn14VaTwJko7ILA/xmQGNS2kW7itDpJ1EO1BSubrxudSFXaLCQk IZcTiwhOCkNh9NmQeD5aSt9ICWTwjdmJJikKuQn1zvLXEmmrun4dGIHjxzW0su+2KORekj/aAv/v L/A/7DpXkmHXSVlSZf8SyB5U26BBlHwlbXL/RwjZ3lIhZamkXFvYfgJ38889cQQeDw6k9Q3ZZn7b qzduRwjZputiS3b0oKnk0nUUUvN1lJPxI0YE2UbYiQWkytsql9aOBgDwBKwmrQ0DR4rcQCmnISvp 5YpJU0ce3xE12wp5EWy2bRYPeGa3kxaTdMP0doTB9j2zBRndcg/zOQqxJCg3JvmSCkuCo0xYkt2v Qx76JbaMomh7kj6LhEwDqayMIydMW0C9wQv1k/6Q1X3u7rPSJ+u97c4R0CH4jqj+z6qk+DYLeiVq dkCiVeV0xF14PuKQ+Kl9PQEQv9ochbE2OaSdyA9dbKs4jjT17QESjGtsjuJYm0JsIvZxsNsfsK0T q9OsuhT6lVEegdgkhPOUS/S9xihyhLE2xFUGcj4MiG5yEvh/EMlz/rnO7OEDgiJAWd/RdkPBpT7H dhxsJ1Yjq3e3zbCEQdKswxq3E3GCtltc4aZq+x53sGnq53wGk4Dg1oIrgmLb1FycENQq6ns1CUbZ 6wZFtyrDKQZJGW1yud7A8E0H1DliC54PZmnc7JaXG1rID7VthiXutpXTutR2ZTVBRQA5UAFNUkCu Zkoyo2h7kfkADJsPF/9/pmVlFZQA8J9L/go4N9F1Gze7NbLtDMEkUkUi1UaDzu3zG1bzgS0Vjwdz 1H71bVHqzutOURRtI4BDza0my20XQw9kbWjMGzUZgk/FpijkSLKNxmds8KsNymAto1h4Es/zUqS+ rQUKG4LuH6qPOd526W2jLgAdzzfXEYc7RVL2Q/SCx/91JHHJAbU7LpJkRrE2LmXAtrcfSRJ9EnCr 7CsiAQERHkkzJkgS+1f68METEuYeq2ovnfMVjEK0HSDKiiihoMfi4pDUR5hhgXuITDOqDyqSwJgh Cbi9Kh6PEIDfERKabZTDtzmKYm0abJ1Y25k2yPKdjdRmno4ArMMipBmWtykduhg8a/C8NoMJM3nm 0B4+EyRYoCnTNqkk6XE0XXrbv3Ak0H/xQVOUVpG8PX4BPB4paKbvlA0wQrga2BRFkTYy8rN2+qy2 jEBQ9AiJ0t662Wa3DsCMIm0x/maTZWArT902nbNpTpLaYBJXWLdFfxJAFxx9ZEeaPBJh2zNkR2ZB SN25zLgObecm1WUA1fF40JS8WBspJhYJdPskxcVto9dcpk1aPklpT2sBoJfYbZIiGImYNlP+UvPL XyrtMwENGqEII3tC2I5IG1800tkuSC09RKLv5Iwi7UrhH5KsbdA6ouib0vkx8HhUafuuj0xidq9S 5IxQJJ2ErSKWLcG2lCfiFLXVXYkkjW5SrDOqbCO3eLMIpHnfmpmeIxvUpMGCJaqdPxTK0BQZp63o baMTWxLaJCMN2RJhGZ1EQeytc4M2PP7PfI3mEWcu24NGmlGkPSgP3WiopKy2XzMSOvi5xXIxaPsM 1f6Uhgghub4QkmcBbSiryIvk7KbK6LrQ5wIRfE/zKf5vvW3QbFtZsP/ug5XZcFiwrL02H4+yke9m G4DoDQGsTVEEIzHX4J2u91a54gj4xW0ZDbBTNUVRoD2yHCPW9OGW2YCunsSKQDmdlDA40BJ7D/sf ulBaUZvA9hkF2k0qLZlKEiTCG32/wiGVYPUT1+P5CI50r35WHrNo9p6PzJAe2Zmzsb0g4Vr2l1Uf WQYlOeds8kB7hlokov5tCrNz8IsN0kvQDaPAP+TR5HuOMJmEukwgr03TKnrdv6ShFz1WNDiwbYsm dnYP3zGKIb+7SOAh9H6RJCsKs8HmAEEoeYBUp9lvFaCInCOBr9ZSWrF4NoPHlZYPDv9fAGvDAhmI JAiHsCQHXQEUlRuscF1mQ7T/FRa1ec7CCM4HoW3QPVWYfcJtPB7QI+f3FGU45uULtF1hUbsRPZKT l0cmAfWSRkpWxYWAd7YYcoVAEokiLppAcLDdthqouxA1Q+q/UEyiISDIEB2ea+DCOyBpbr1tVNNm zxZ6CujZDkQPPLXpcodIoJ+UEM9HwLb8fWqDCtDrDSJXqKENnLpH1/0BubGznW5zBGo0NkkhkoT3 1W4CuDViFxtFtM9pkVgHRi7SaqvUB2zICyGW+IPI4wZI5xDFd0RlNuFrxx20P/YaRTI158fA41Eu 0r7WETQgztb3XGRFcbaMABudLOV7kJdZtUFtwGXqYTmk42iFgG3yiydQjzY4iWTBSofQ/7U3knyG 4tSAPVscKI70+Chvve1fgnZLy4kcxWIlpDHUcYNVHZ6PjqPvACkXZjZX0G5FkfZcPLOb65BBbVPp LQ7CPn/cbmT5JMX0SAoyCEcyHhwJ1UeRzDZtNmJvKIYMYUJqfMEay85syunhS4JCm+CjTbLjwBh6 VRE4esLV9sx4Ptht3WMkBumA2o5x+2wrCrUTQZIZ0YObjbiiHdo72Y8kmF1qlmIhEtaOuuiP/Xqy 1JMQ9kaXJ5SQTnpbaPJecbFsCl/t6f2RkohtWxGOBOXkDlH34oNYJCcULVmyf33g8QiRdLcbnsMS 6TBmskmKgm3g73Fud29qL9oJyCVxPc3InE1lY4XBtoxcsYFt8KutwlwQVh5qWgM2SYIEwnJiO9u4 mG2Cr/AlwSSR2wBdVA3GR9qoDpKzdYKNhsf/Rf3nmcSFVBy2taJgu6hkW3i3sZu0hzX+z6lNuWiK tWK3sIS0Qsz2lN5Pnj54znbuyAIiqQ6dk0pP1iI7jSSR4AzWkAQimUOv+1eI1BuFbTs2mPBIBX1t 04+qeDw4t/d34x8so7Eur22FoG2Fkat6tJ1G9jAyabNRY/OqbKy4rI0Lq6ObboPXa6HslKBPDcrW gNYty9ooQtK6ppAWMASeWPa6EWqbNcjEoJuDitoV7DkqZ5z8B48Hjf+b2DbV5M5euxYjK6xpL+qA juzKSFXyI0M9B0dtM/TTHIWhNluRvfbsgx/bDXZgwG5APnKDRZyoH42gnMC6Vu/lRqYmviTqIZFH hLanDTqQKlyU5B85Kx5/nyOAXL4L/8Oq6pqkHcXagzLkdG1wnX/nkEBw3qWRau3GIt1RSXtQ0mZI /YCD99kGmtXQwMaBBBNukHIXtMN/aKQMNpibtrKkvaNYWyntuQuLD1pHZ/UT2omfk48Hhbb2zSFB n68jpLMpeo+1wc5hQ4Qyg7zYSr/KSAxgrNA23H17/21W04sp2l8v94rzG/r4JNo2+JVXmo3hR10J 65NnlV1slPrfIWi7MrNdTNk4qNA2UVtmqHzOFzwendm/7EbOO573ucsoBG3TaUwkVWX/Izv3H15Q dh6t5gLbOw61VWdjkMjB4cgn0u518LyBfhG9NUCwgrUf1s0sd4po+oDviOpsSmcJI1m5ueoP0GTc PTCww+P/4kayYASAREtXr2WH5EikFyDUNgf/E9VL/Aj0jRw/Ahit5ijEj2SZaVD1gMPt+teOqjNN 6zrkI7EbF7k4A6cIuJm3fcR7bUfB9maPrSceSz257M88r1oEIFmN7/gvFsm2vLalK9W6Q8h2mspH hs+Rm9eVsulbIQApgI+ao5gayTnq4mv3L742r7ZNg6wTI64tEmWZhCSipJAvMoKHCr7jL4xNyQJI 5O2pfxuUcGGGW/F4hIz4JdUKxMG6Mhs7Fvyjlv1+KG3LCiVgj9ZlU7Szw5B2CB7pakJyNW2vXCCr TVAt5tV/jukTS67GWqSpZVVT/BVcew29bVT2Z2tEykjlKiOVls2G5GTiC49HWnbpa4pQO/xyjtgR eARENNRomxfZoHjmqygPv/kpkqQpCvX+lpkhLB88gizw8kHiQZEN+MmxFgm/mgY3xE20uxpwvett o3ItdhjE3n1QubZtypuwbsbH/6WtLWd7cKGgcG1zFEXZ0CpBsub+LOlcPHZMo54hzR9gSKepR+0Q PEICyRr0jVjj+kYAn4dYSII2FTYbMuKGugCESaFC6tqa9CLGdwQBJKF+YDX7oGUEeVuJR4y58Xh0 qXm2xoAa4UO9vewdBdluddgd8NdHM18feHmXH7G1JhxmNUVhkE3k6EoUj+LgsRFlBObSYXSyKSJH N6tTrRCydYVsqYOD7/hLOyqLiszBdtoeQrKd62Dg8Sih/Y6xQZidQBXbFEUxNi2TkSw5oW1ctT8c RnZeZxI+tdMi3Mg0ZiRd1jj4TgOYHc15IiIySqxUsoO0F/39YI/lhtos1e5YgkTy9Xn7oBB7wMuM zY5z8eDxf+lGi197QqN1IdqG03mNjchBrsbPgrrGhfsDJWSokU6oEE2iUyhCIjioKrEcjBVxluDC DUZwTR8n1QC4dlF0FOEQO2Q/dcqgfW174YA/Sn1eCqtCYAOhmtCN8OjmJYe6Mj8gArPfU1tVApAP anumKlT9kyvBMnl2ipIUQ4/UvizqFqleSu0pjLWlsoErwwaLteFYUKpKtidvJQuAtlGVOheZ8jlX +YexNr7lL4nNiiK2DfwW6GtX5WFrZj4fZCSXj8wqVyZMAfg/n6nQ0YZRgArvwrVVakig2tZSnpaU jOT+bPioALHdBNUSIWk/hCRYTp9NUpSZUXETWUmDX5J8u1Ahvhaj2V74L4wEld3PsOiCybN4o5wk giiMWFMUdY8rAETKSEPddt9OiWzM3nFEhXCba4IMVxVrldRx+aQTH2gzFZpFCvDo7PWHAHDWFJpI zN8qwk3oRTKzOJccjBEryuq3e1vshSMmCVFtpUwfLMk9G5wNpQoUAJ7/F8e98GrjpZkvLonSfkHY pNOpJYclpzbsoNrJSLiUAC6++6JC9xAyiTmSBq8qQRlggsTH8Prs8ClbbcwUbr0MSLNVlegdxG8J WiaT53nTed7ued7hrcyZ2rCTSCGopPzyk4Ba9hpX4pa+xmHJDwETS244pgZJw1SVkjc2QW6VpD2d 6H/XuoketeFyJgtMI5cUWqBuxBN9NUp1ANYCfyYvUaZtL/wXFLAPcQKGWTIV+L9mhU89bT4f2G71 bww3Mu4vEBebkMHpxsCympEUAF21WLUbdVc7zjfCVVtQUSA+h9W5mw/epoRLn6FvT+C6T5KJlLeD 7r15ZrTHLJpSKfyWv5TcChQ7bBAesFP/HP88c83nI3KpB1GMoH+gMNafcq4kMd6byNx6FNdVjNDH oy5Vi8UITU7tnKm/hEpw44lmOi9usqIXN8bgIVTzOT8gonSOc4i3k7+eSctUBQVKFHrhiNBNANbq NmQjQCDzZakALGI+H4lLP3Z3fD2c/5eDk1MIM5mqnOSrDlBuMS5L9JbaSdd2kzMb/AkKytv0wdNf 3AYZQAX05WBCzZomlXZ7A2S39QcZOO19/1KZLAVisBq0okBcEaSrtMHng5137VzY+T5LeWFSfZrC 2ncnbmKUKw00x/LaN71CmQFn6lhommLPG4YHS+tpPevp5L3nOCIjCUfh2ceoAENfrPyMQuDdcsmS kmuxF44sXaxp2XxQ1bKfQ1F1gsGQM7S9eYBdej0ocV+JgByaTIpnkhj+Mw0GLdpKBYCSWVHubI/q 9okh2ESC91KR4nCJJidHJ2QCgJzzqLQEawMNplAyjYKcMuKk1XYObCaRt0sikFiKexDCx6AttVLS mHw+yvN+IeDYYG7Pxgt9JlFXIEPABXAbXQysMud+pedmdKZpDowmUT8l722QScFBEwWxqRMsUcKV CPGdpe8CGbcKDnjt15JchiX5D6NJmih2yk5z0DylliTeCLsmPh9p4F66yboL6vEsi60mMyVe0q3y nuQyWdGgtmZFg5MR4L9jbBB6TRpW0IoHfV2E1wm2E7QmqKeUQdHhGVbhRgDe189AddPmqfj7Rgw4 XnmNQq+wi9VBXqATYC3eOvh8lBR/9y9Rsmi1PPsuKoZTPhcXXvLlVNYt0QnAhNrKCaDdkTP0mwTk fiW4w/pgy4l2bpIpXeff+9yAqgnjVkK6hEjbtTnYCM+B3yS2HZ1vJqvhHHSiwPZ8CFOZK58Ptt36 hRdgMryvxFsOHSdBdIflRHXpqbSNIVAIhrAy3Unb7jyFhMq8BahsPvhyKpuOk1mySgB9b3JOIGiE faZuuLIX6Srl0HQSOH3g4CgasBKJNkQMQryJEqYJ5qWh6WT5hYejM9+8dgE5MJ1Eus+EpRN9Ugj7 6s1rdboGqWKG39G2XRiSC1gpmNLOTwdqAfxG5yRodKWRdZAVWET3UokoujjmqcAgsJ10I1xWL20Q shKeYsTrtPNBfD5qQl0dc+Qu4GU/evg58J28NU1R4LkFiRwV9RSME+V4C1qSyoZD40mxmJsccZur Q7FTh3igZxajYJKb1c0cA+AGYE0h/OYlOxWjQudJBVB5yYF6JaefIMJooriVxeejc/w7x2vQVXgA 3zn0nqyyDOq7W0Dek8mX4grpXrSj7KjNU+SH08krGSpbjvSAvs4SOjGDXXdwiAANcoIcPwECWczc PSyo1d43WE6SnpTw++puPoUNm4hXaDVVPh9xB248zurKBuW7Pud4FI8v2k8P76+Ajlrdfrquh4Pa HfadAwPKS7AcQuuMi9YBsALyPqYynUCM2KzYnUUPtByl9y6iKU174UgaX87ThBAMF5Y9nw97JZkr tcLn/+WKo/LVAl74VqFCD0oUQgGuKI5ppgmKqlDLrQRw37W778KAnOZTY7BtycGRX5DYHanIRQH2 QYnSOBJAQ1UIiMffiUtoQ1kUEGyimzgoID9rcVejljY+HyUuv7CWEKJc84J2cuhEibwXbO/mpq9Q CLuIVMPKn7WMLrky4dCKci1J4/MgH+se5LUAkbK3FlSBhwfKVSfUACOVRT6wIoX+Zg7ALwnuO8K/ S1HloHS77yaAoU0WnCvz+X8pCXLpIMxs+5mmsFKeKEkJOEQ22zeg80BFhb4WYkueT5tVza6PihDg hFqQIqjB1hPouyDFV8mawLWABsLAolAyUUBCLSfZdYdmlJKjJrfeBoNbQiNXfcsy+XxQ1Kw3bZGO Fdqs+ZbqYjdK5nfnRxbrC9TU0Xw5dReCgferAeVzYEeJkBXz1Ge7g9936JdOTgbwzSVnoQlBb2Lx BsGIl+p4ufNboh4VVeAKJwo81B+RJEEJoULkzzkONz/gvwTkEmO7nIscGFK6dFeu3Q/y1ZvTwBD6 GMh5LnfvyIEjJWqaxMl1Mi85eK0OJjZgpCAwOCcCBGdQJt+ZQsYwxJPwol152944gBYUuS01eS81 0xTsSLWnSIK58/mIwlO+dh7UO78Izjl0pdykyi9aX7lZjsN4Sq/NEuFOlS9G5IEtpfN31xLTaT2y y2mwNMssbqNz2Lg5K8LbhrZqxqXurfO57IWDeUqsPS0qwai2KaoTtOD4Z44zYfyAiC0/vvdek2rv jaFCa8quksG6tot9luTYQmU0qNVtVrM1UzFUhfXxnLcPfuc1aC8jvUfJ4ISblZV0iJ9Ai239DCLC BcDM1d73T+mlSdAzB+PNQXxEzkK18fmIpuJXHpVOMO3gqfo0RSG5BE9br24rTMat1erkDkON6uu+ lAN7SidhnFm4g6XCqWasIZlUnQSsyy6+syOMxLDsawVLxh2/JMBiZKZ2MFuywSKDE9Eu6eDVzeej iPxbWAALiZBan6YoIue5dsLLthywQms17DuonSQHrDTQ5XXjxSVyggyqSJj1IWGeiwnY8Cmts4G/ iZXf1DJqKnCpIi+scd/ZPIWYFXYR+tzVB2V4wGNsGQztyecj1PP3PAED3x51gRyaVOIIAiRjeqFu uF8ldl3fngm3NX2eYvccwp4qoU0cLmylrK4e+kKrpTeWqgrN2BGvMWjQ8SRNuBy6VFZmLoXwNw2a phOAKkw4Szfz+Uj29Js9f+4tMGaevnBoVMlmI8Tb/RwfTlKhyVBx6AojCM3T37bwI807OGFlQOt2 ZklV4Z+NwnAFGR67pzyepkzP1RcOvSoHBXQq2CkcLAM5aVAfoj6fO5XPRyWo9X2Mw5p9tOszlEO3 ylnUcuk3dRHJVy2X5FbVA9LsOp9iu0rtOFC/bPBQ86Tzo2eW6s6FhzxfHCCoM02Alurl0QkjnkO/ yixHrzbvYHg66F49FfLAsBIRuaPEO/cdLJkuky6HjpWowZIlVvx8Ws07CanbKkOoiHWnaYoi8sXD eWU1qPK+qEPIdgDaQww0RAsqLdIKPUeRlmUICF2cQbcXjgSZMmsFTPQ4aOOdxLQSzHQ+fPP5f8FX VamDatbVPsuxbWUnInNvx68O6aDRkmGnavETCcw2TzFWXAZ5EqTMD57+RJkJrjwMNNPJINH4hZRu oxsziHso/KvV2dWaCp0rh8xhk5ArdKCeSvGWIMnYRpkf8K+JwnMsm4G57hP1NzdTx4JQrOOLdzA9 fBpp3AUVm1cibkJDxQcnHtSawaNuxI2VRQ0mOHwN9ITROkUEQ0/C2z0P3CshHMAcmNapJ7BEBVjE Q2TzXA9jjcwPiHbeNUJjBRRQjHnJBznwrwStUgwNJ2hmKvmZWeya02oG0JW03CUwsPQl1bdM0Pc1 QYdh2bneknSHxzlIEoErQzVgYOkA4XdofV72whFsjNmwvFBg7vmjdAMaGY3dqfPHTH7Av4j1VLxG KDbys/eiiJzIwiQ7embDYzdLgU9GPQ2bce7x7ICM0MZSyOhRKOLJ4Yt/mOjsjiQP/q4UjUEw9bNg JJjBvXK+T+r2wtHeY5luEl/HQWWDxqSIxw6qK4GT5bdfvCoEFEXYt2wQelmC7wrdgXolCFbPlg2P hxZVWnXQWGBmCeUaElqodqbBy78DmuXdXAgylT+pY3U+akJPZo8r943AT1/yV3mlS/abg2LyDZVD ts/Pdc/ng9QlezeB+SBu0PzgNQM3S/hfU8pKKvHceGm4/HCnIbBCg+zssRzbWZLM0mUJ392QA0fU iWUBWlD3CVw4dhMI3dtQ8AaKzzfe2steONh47J7nxK4LB3UToASyRbJbnc9HwWb+lQoDut++goMQ tjI4OwC0STmOAuNEjEmEOJvxh4vs59jSUsrold0XDr7x4PS5YTJA0momI/pc7PiRFpFQyPYdCXVf +C8QYpFUc7lSzecMrGysgWOw+HwQbF6OFLsPmQoioBP7TMX+8bSypgaIkCvFC5vnTEHZDP/coJJZ YTP0tRxcSzCZ8MHO8gYQADlBJ/dDnQmU3wlZUcvET7g5nw5VX/bGf8blQ2WD4WWDDZ1SIjKA8Ofz kSHhN6wcmcd3hyq0thRVCo4B3hlmvs/O8HnK82EanCl/CbwtIZRBw50h+69x61BQ/p4nXrEOOkCa XoeZADmvH9pe38aMvW8wTbRHnWqkz0oYDapQsDnkOSygQWBu+W1v0VQPxVK+Oy80t6R1CABjPk2r brO3KoMAKu28Uu40RZByFYC3nJv349xM8ilcA8niqCjIUdcRrpdgdI8fiERYljeyv+9farssidpg VYOzlihMeWIzPR/ceI+4NZmMCPLh+OPzFIXlWPDArezL5Giubl0LcamWDjujPAcGl5ftUqgEuuQR aPTE0tAFzqa4uwsLoGRiNAg4kaRggDEayuTQ37Kqqilka6FkLv+0BtFx7sETayx+QERS8GDTT/K2 x73yAotLx0EZQ1Eu19uyYXDLHIDY0Ie0eYrr5JQBb0QXcrDy7xj0tE5VgAyZQkJXGNwu9NWZFrvx Trf3jWhBTIMnnWWv/SF+U7e5zsBBhSaXuY2vbBhNju8LL/C4hFg6INJjWKUAbmDV23jm34h2QhnF I4M/TC7lTETDeA4eGaDcS0WJSghUgivb+RtxJw7CqkQNUgTVtr3wXziD2bmsOPi+G2q7nPmufP5f Bg4WeaEM+iynkM3JQDP3Sy6XzwRTl+GV8wq9ChMEyYHRpZuBUtTKBgf+ns/siUENst1RG+epwcNr 0SQJjqQXt7LthYP+FGjBg/rN5BlB4ogh1In5N69Y8B74AUH594bk0t4FABHdcZ+pMCRPppTuYPI2 p4XkcLl2v+uhwIAzFYXkQ3oOi2yq7oxLxppAtLILeZZmgwob02FQNCn7VPPTQZd1eg4ML7PXDTAT NiiEGkClsbBZtp6PkFDr64CiQRP9F3yiYrFCEoRVwpxiMHZH3RMjKo0ZQD5soiLoigBzW7HmfmJN qCadBL+KRF0h9UNQAhUjYDH8sx7Q/RQzKHC9BEWYyV3N3QedUPmcUHIa2iAGBbaX6Cf8QkKhSDLH zfE+r/FTVSqAhmeZtp4y2bbaeak70OAcv8ageo2equOgpuzT55d9+qY9ARY4VeTATGAkit4d1zE2 4KVPIXp6jZ0qFPGY1xV1FIrzEiiI1OXouPD0ywRV6Mtqx3Xx+HCvDxSD6QP9eQ2cMD9LLTv0hf8n S5GnWcqSFv9Xyl606kCMYH6kCDLnvsNXpWAUqFhTjA/Ylc5mdaPA61mUgIeakcPSufQ6QYnYa3IV qw8iv26UNeRUuPD02wQhllg+QbzPBnUKs03Qa8RUM8mYOK9b9wU0ro5zoU0y2S20geMCeo2XsIBg ypTAS/TBu3bnYOz8qShVMKnTxvA70dsP4f3jUKB3fV9ARTqOO93B0IYkzLA+sNvnNVSqku+x2eB/ OslasOl5DZSqp7xFzhZM5KTmjECJ5kGqh+/qpqmvYVJ1Ltma6h3M263rdDnOIrScPXVCDELs0LWD rluDcpqH3VSQ/LwGSdWNiU6IwJMI/HThJM7iZN+cuWv+vMZIVbGDrR9OxkR6Mm1+XiMkPMTEJNfb 9oWnifefBIamSAEB+zSVDZaP9HY7tdA02G0G/Z9z1bH7dDJ4AlVQBc+AsCWIJGAj+wYjrvjzGh7h BGLXKRdZgZZ61YkgFMFT5Xzz5zU4wtP1ewHh2OvAm2iCXkMj7EoKE/dH42q04fVvEEOc6nMzktfA qIIQyZMnPYMDeqElt7hKNgl3KDCiYgKZdBzkUIS5fcykl32fn9VYUcqkIuZ8qYgng15FDLtVPq8x EdaCQVG6oCgd3UHyEPGVrwFRtT46yOTVF1AdBq+AiLkr7jaQ2mRtEc1PI3EFjQYbbH4m6NGVUKOd oU1cWfQl/nWCEAq3grvDmLC9BkPYYVWgARmAdoQorJV1GiAR1tRr/7zGQji/ZvvaYWio9kWDFDog RAc0gTK7OjYVLRwTJcrQFXRsKh3qsIBewyAe0BK2Zk+Sgye046SuCcRTxIsn/KW00wKP4iwgJH6o AHlkTS75axRU1cYGYJ7riIOiRZQAeCvBo/zzGgNVSbnY/CTOZUHW7zfYa1USO0xXvGf3uO2bkTDO qbxcSmYhY5dCWnBEJ3bgZiLZnsPTsByzoD8B3CiyejrtdhjBbtSK0eV3aBxtkT+vFclqYSLwgsMH by4lgSFqgRZgMEEuRagd1hGrwXNU8/Nai8SiZSQ9+B9JQQ6i3JqfmZ6ayF6ubhGFQITCwSzWBw+B xgZlEiIfoO9kyumc+TlR7FlAQHsz6zBAHH2aXsuQmB8Cm9GT8MFO6DWYCqhw9FqErHJ5sAVECM8G xLPeGDEKoqE6iDNoeTOXLmVG4xFwl2C4NrLzDMMpYrVIHvIc7hIqSx40JPgieWrM8s8tvSk6Ourt eVN95PNOnsMmo1wDZAd90Bo6MysjVugRft65c9hl0xcRU0aUFKAc4pMURdLw2sAiyWZmkUBQt4O6 uJnFmS6gIY3DE02SpHY2GSpL3hKS2oHODlz12MdNwI1xknDeLkzKuYmm93Fpn/V5Z85Vx0/MRmov B51EqHkJ33UO8887ca6KVvsc1TgER00eDL3T5qp3kvp+tHZ2/TqKkh9FKGUaCC6Yo00M3Fq1+mBz BPtBtLuZvJ+dzPLKhMgSas+bBegbDA2hdIMp6jylS+53MAgc1imLRQ0kp/d4GmSL9DVFQCyORqlG NfnC05p9lHxPI4SqFzhRvFS0CIgXHiA4rjtLaWNRjmisLzmivtMs6JtQYDfRcvXkC+DUD/ZSUS66 91nS6wYpGSW/zi+6fVBK1s4dYOUPoE7DmNpAp3Zgk6a67jJ6D6qRaxbFjA45HbM7uMTMPjlHALhY dTaaI5zACQgnHywpA/3k/LyZUMoBqxXxMRKd7UBeoWcsi5JAd/Fto+Nosq3NQhHkT0ypubFBQq/P nFBLC+ZIHDZfR0iF4BByJykKrNXmSu0KoyYJEeI8Qs3WzyOcsKrNvkfWOP5ZH2pz+nAphZ226KoP AStINyeBtVH/EOXZSrM0IHpnymFDY3pOYozcVYNuo5MuEeZxJruUzztRrkozVJPE8hBwqTPtO0lh dE37YfMclrPu9Ox+9ovKrRBN0SRF4bUkPnaW11e+Xl8Qvz/ZEwhwqH+glUtN51JI4iLMHH02O5Hy 1Ou+n0iNxFRiw23QSion1JlSFs77886Sq48lameVH9FZ79XDx3eSHJIeNHmBf1/e/q/XpLGPPrwk m92F4J0jdy+2aZna7A8Sfu/aaDMMNAnmZvNEgswcAykgOJ2rAxcffsn7HA0WQYZ4AyNlo+qcZTtz l2ZF5+NBCHnLaIRy82iEzKNN0nuQ7XwBuYmqHJvHFUFxKaIzSe2q6kRRNhL9swEQ69vg/UcQT+ms gn7RgA+JtHgn7/xheo2qWhd72/c5mlNCe9QkHE4SLmd9rCaF3Q5JnSjMXttPbYFxUa5uz0KKAu1O GbnSp9dioahkc9RKs/4aIiiXPwkjbTQkTjSHDF6DH9uQVAa6SidSha4H6iW0NUcRHhywW9mfettg jhAZgQCyfdCB1KFVx/1DbEQUaufavg6kLmNtT0XeeXG4/Sljlda1IEqsNOvQbrPaoQ0wsFUbw0h7 CFY6uw83iARBsTUmYx1sPYruZBj5MEcB183q1ZQS/ryz4iycQzGfmMlx6V4NJRcJDp2w6fNOimO2 Nv04otIs3hg9E5ujKNDOIu3m6xonbr4iJE/4kY247uc7J64KEYE7XZbx6QaRZJGcuWGrHxg0gXTh JlPOCoJw9UJ7284jFh3fOXFe1T97rMuCAABUpSPAQ/NnQa/o886J4812iyKFVZETaqfsVZF3Shwb H+spplFCZ7tn/IllsmPbAMKylfQearvXJ5lvNnjOBghxk3b8yTpPuESLRtqJbZCA4HV0Hb+mvW4U JDHIriKiQjhBTBocddKJOetrfd75cNXEupS0Mf7ESZjvUgqD7cnEtlTvyxoWkNf/atfHGp7wPJHe 2XD4/sREBCgBG3y7naPnxNNQUN5nMQ1Uk2SI2n6g3P9DWypH11Du5J0Lh5WfeBZ1+FhrsAZRNlu0 eiLKzzsVjsG2l4+4AmdRLconKYq2IRT5Szw+uUj6OZNm8RJ/w9bTfotq2OauN1RHGg+Sew2A2lri uXwSgJOG8v4HdHZA8EAWhCrRyqnpnQh3u2izt+WDgf+SaTefpTo/7zQ4XL/rO7HFmdR39+v/nQSH TUpu49qGzWJia1lbmVeuOSOpsDmKythdzZ9ahg9exu5wCESYeZbRmUkylVBEOscccCWUMgLNSwf3 0usGMRKbseQr2MA5WgVoMEnqzfV5p8Bxsa+v+x/I+p6eRtp7rA3shLSF0lWrWstEBM51k29mW7fP 0XusjXO7sFMtSE19TAhgOQm8NZlbg2QVVmrh47zZwoRU6u2lLb3u+4kkGeyW9h00R+e0qFLKPYHx 553/xvPXj21eK+d++SHe1SYpCranrGPGhST3aeHSudyqFQVgizINKfJOf8NvvBsCSIA6bbATCRcP tgNLbShILUntnr8LmGCUbG+ljVyEzzv5zUplEPKibnOvqAJxh/Q1wGb9kafs5538xprZd2oLpFTL o/scxQVtOse1q42e0vYgKS2TukZm6yJV79Q3NvWZtG35NO8LRx44ztA25UKCtEyRc9yEaSe8p3Hi PmaWS68bBJIqRzbaD3NwwikEEBgKZL5jsJCWJ22s+SM+mrdr9M57q444WpREM4n96iXbPgipoao1 Fprm6D3WzuR5n5AQuaMNTz4Ce29m+At2sU0MQqQlDZjhSeFvKexSuvKd9Ma9xtv//1rrwj9cRIm2 1/i8c94IfZhf5xGahh09IOtcR7F2G7L7vKbxrPArQiqN5xEv/+3o/3fCWxUma4G01X0wZO1ZmIVE SswB/DEnq0ikRXXgEVDH8a0GYsbnne9mvTGAHlhv46CUDVaJFAc5F2j5vNPdePXm750GKGZrXvh/ Z7t5v+BkzddYt0sQnZSbVu+R3ZbVkN7JblUoyJUa+kA22CraFAvINGg+EdtJJgeTOvpik2QE0c9u hJuit42miPAQFQA4GCUQvFxCtPs5MN+Zbvhj0/zaaEUq215Beie68eanRPOejqgtsojvSmqLAbQX 0noij995bjyMaAODGNAGB4hAWxCAIiS1YCTvLM0FeFlA9gX2nhcAOfS2QcZGu4/zZzUftIpAoBIz 4sRXn3eOG8/r+bWKgBSr/d5p7xQ3TNGWJcqS3UBGwm6ZLPTIpk0R8fmaovcoW8UZqL+14YNN0UIa AntvCgSgHSYhSzD3aI6XKXvrdvFJrxukIqxlD97YqNeeee06sOuQKSREOj7v/LZf1ZFs+D80nPxW e6e3MZk2xsiFsJeSbJb6NuY78i0XCHhnt+FXgsJxAoPJBzuOBqS0B/Xj11lt2LeLRSb03Ym8v8UR 1j4/79S2W9Nug9Q2DlpH61xwTBDPsiyfd2Lb/wuyydcCx8dm6D3IRvubiT/96K17dLncRXbW9ERp TpV8p7UxWyQHAlAcG2wdnZChjoKMF9j1Qm90okfRT4Iu0er32qcN0ued1IZ7k0yIShSDBp1GS1wX EJQ7XzG404xaY3kIaX/dE9p3RptFxqRuX7TIcD39E9IMDx87et46sMN6NttmqC764EX/BA25Th0c IJop2YVcbeJoh111Sg9Fkni+d0Iba2zUW4TZnA0Ghxhz8d6GmMznnc/GsKH+miN0s/PdaFGIjVMP MDLbXVCbcGx/3pR7MDGz4ZdaXM8mVSRlDeTjTWkIguieRPpDOLmoZbYJqYFIfEHm7946RBi/k9lY tKdY7lCojd2slk8/X7ElFbRO/PhOZmOt9978eL8Tqv0M0E9slqIgGwhwMP2urW4e2xOROm/9CImo zVJY0Ka+BFRIfHBkH5Rfz1JkkF3WOaAQHa0T3Z6MFm37AhKPR5A4Yz7vTLZ6Nb0Tm2zE3go5DZ17 akH9QKDh885kY/vp+2YD+w5EKJ+kKMoW+hHldqtoD+rcqn4kTGSnDOwNIaOKtsl2SlSJg8OLy0n7 zmktPe91wgJ0mBYtm89VgCWW6WShAhJZbO8kNvyoBdd/Qx5qg6UiEH9ihHQ+4/POYeNL+n7TxQYy zfZJeqewsexPlm2nFIAE0OG9QB19ilbhf2WcDaQ6IJBxnD3YgeRc7fboA60Tyqxq7uyQZ6hS4EBD eaGMW1K7pEgK43/eGWyECat+lKYGE5wCgTvxbzyZ1Py8E9jqI1FtjUg0Dkfujh55J7ARO1moKwH9 Pt5tedNMpyA4q8Q+Qr4HVik/FSvpnb/GnUD1pE2Bag4+SZBYnMnsUCv+f3BwL/yOS3oN3ZUoM5HN n3f+Gr+EJX/QdGwQDAnQHF1uJ4v7vNPXcCTMGyKptXvmZySvRL6z1xhINjFCTOYtt97NM7ZsknnY ZKvA6pM1+k5ewyfJCL2SRcPBoVoZAraDRgMouIxBb70KlFZOqB4VtmtNdnna+0ZHN+G0g+KBHHS9 bWMCAFTJx6My2w0BKCkB7Y1a7yy9h9sXMVqStyJhhqJZqmWaDiz6I82K2u/UtWe/jbx8cGLIWagg oipfOEETWnZnKeFyQdUW0eS6osskPbxT14iKZbrWVGdrXmejO6qoaydi+rwz11hV/I6T0GEeddw5 CmvazP37tiwfxOWVHXXcb5lt6UxK/KS47w+zqrR9cCDShJdRYWK7Ek2aqWMChhPnC0mXZ7aqj7zT 1qpEonBVMsHtFxWZTx695RpfW/u8s9awkPb3HGEpMwC0OYpq2ijDAFibLQI4QXNpdrm1VBxY27YD SN45a1zICrd39cHC7Y7koW356+123oxBAr2HYJ6AFXGN0QiNeGesMflnKAlWqg2WkUAvlcuo7/F5 56sRT+bHNgMi/mrtLqMYPrKIFzXrU5a0nSaKv8uWUYbDjqYoDLeZ2YKI6MPtaA/ERsrZziVUkqps bQ6qaf7A+cijba2iqKI96KtL0KANRn6EUiojaBhZvjPVDDH43GzoJn6hR955auxZSUxqXHnAktPT rV03I/Fu7TtNzRhGZ25QpbXBi7VAZ4E0Iv46dCG34KUNlq1nY9bnMCJ09J2lZlnXOfUk6sbBGDQQ rmchsuFei2LtB6qFJU5sHFt8mqIo1O40Exi1OipyCIREVXOvj6DKRq2kzk+KWrVMaHfdPtgUQcem Tpl8cR9PsiIHqNmtDLERzMiSqSq+I7j6eZs14iI0GFDrBFvMgNBdxuNBDNnH11lEwa9+iyMtDLRN ZHK4QH7dbXsPklwPpv4lOc2oReXsxApkwtVsg53XDd7MZ40LOgKlKQpzNa6rTS2Q5EYnfl6H2JHN hFah5L5CLecYl08EFBUGHg9a/uUXlQ+cHcgnOJMmDLQT+WmiYJt2oklzn6siJ6uzdcBXRKV5D7SF ZUbpiHcbB6sgbWjWJrNnHsiXxYdEBaixWTpvnC0PgR6yHXmnzUpNGw6Gr+mLHpiQGOTjEQD5V0Eb eMh22yI9CrNp0JCn1LZk9ll9inrr3hYZ27kQPcKOoOa6EmTHfPAim+hwKOwsAGzA9SOOG7ccSSiJ rFnl/pTT6FFFWzYwtT0DviSjZ7eb9s/JRXoUZqfiJ7YSYIQbN1/rYZRd6KywDOKPpHZf5AgLQUpq gcvjpdajinYn+Ajq2j54UkvS7DITqgQEMqW5wajZwErl9uRrlAPHl0RYNiygNIpa2ojcedAOsS74 h7eC5wPa4+2McG4nYJnw8rVZComPVdpRy4nqicg5WgdkdsmUiwD0xii7R1G2nHWnDBrn02CDCk+Z aVKMDJFAIcb0XGbnFWlOgrzdC7aMA/ElUVbL8KixfbTrdlQkpl5kovP34vHg1E4eHklvBAqAwI/a JEXsRxwLWdw904egLyGW0ln6JrBVgNQzxF+PoSMSu03FB5ukCn49EZYguZazapnaJYJMgdnP7OLa 7c9yZI+q2mbO3DlXC2rMavtM1LXZlDynxcTzwSyNb1wkktyvXKTHcTbFIWh3rCZbmy4OgaDVIqQG JUdNUkiBVFmbDocafCXVxjYSJVkAislEB9KWY2wk46BJ+ZlEKdceAbXh6njSrM6WLXwnhN47QcRe aihBUOjTo0j7935DD+lchneSwsL2lAg30Lmqj1T0ECgYtYdQNexngxXEgzuOtJnzZ2H/8iPwjrSh obcKgM2ZpZVJQWLCtmm9UueVaoOzEV/3ryIS1esghI8TUkg96J9Orio4OeAD/gt5BPIvHaLtNkvv wTayGOYjFL0RwhZVEUxYgZcKpoZsYy5tLqUo2BaSHwgkH2yWEoTaYKSn/VRGJwxpLbL6+YfSz0SO lRTd7FFpuxoNkpEAB0G1zt0q+bF6zhg8HqX+N0xSy+lMUrk9kh5BtYGdPYeSRGvg69kHQWxb8BEz ZMQFm1RF6hF8RPfbWqrdLl7PVbk/6j2FHh1kDpKVfPKSdQKqBRgEe6c6uQcISfiSCM9GRa1etg9W H6ENwQ+JbQWPRwvptto6C5LnfgEQwWcpjLjVSWq3ioR6mM3SHHPZ/VYaT6XOT/oLZEPCkg1+dA8m /I31fyqyLM4S4rhF1gHQslaQpGAOviTKbeXA2LYPmiV6OBkUeeLxiJr9HSll4JL6Tg77G1HEPVVq a8NDpdYcZEtNNoOQtLksLRlRaXsKQgIfAxscZZPgWs70bCdcAvKOP/cCQfeF3n5ij5gI94gi7qz4 fJvjt2clZ6+C/q1KW8bjQcQ9vhHtKIWaJw6nKIq4Oxk2vedm62jk0T1OIv5JVlTFSyQjLGxT+WgN U5d+9NxXX+eALF3o0An2GoKFhPhxAAiQKVRuimPYM/iSYI6yoGxs/nf07of6SKjNMzQbSG9HWNle 39BIrOQOKSSbpBCsTYgtxXQtBCjd11GiIi51tFab1rYdUWEbqkZnw0HiYMHvkoEI0pK2WM3ORGuB tNs4nSe7RVaA02dckT9IyQx8R4Ae7WKPUCVCqRKnqEBBibHk+T88/i8WEgPPRvDKJSGPEEVC0l/p lzsK9Jkj2qoJ2sFmePpeiwLuJQ/Bgr6Hs0cGkZHjPN5R1ONemz1Xyd9CCo2m7VxHCgBOJD3wHX81 SIjbsMFqbasoVwQpHI9HV9t3kIQeRBuX6D+icLsTi9Rqe+ynhlP+VkkX0Vadpz2icBvtVKBGVbHt T8W2wcCkATBClDGQl6TXsqicACHB0jM2G6CLfN2/9FhOlEQvHJDuRR06l3/KhNgCEIbno4X0PUmE 2DAx0SRF4TYs4oGz6X4g0ezXPd+qN5EG2Draa1G4LWUxes7b4Bcb+hgN7FOS2XEFydiFHWWaPyVf R6xj4juCvSato6RqW7qKI/Arpg4bis94PDqO7l5jHEn2avPLf4RQ7SGWf3HcX6NQo3zM5JfLhTSK JbcjgmojUwOaLVcfvNy2OnWSaYsH6mgmOYDZKKLvHwijX6R21dtGVHZyRgfFZ9NgvCiAbe2sBTC3 HWGovdrX3U+NyX2p7COqa8NaBchjw4sgyLsKkalVZ2qBPGTLKAq1LWtD0ZoDOXWMIivqk5WgNvwE qzWJ/0CRYFJCod0pAm4c3/F+HFGxbW6VtzfvxK6KZGX2RSnyjucj7aNfXHZ2IsfdamGkTbr/SOa1 jIbbdvjoST2tkgTItl9rcaTNpjYUBG1wDhIutYT1AkkEBE7oWS4YDP9M3nblQbNvxpAjjLQhf4mi EYSldmepTph/aHKx3rZOnoIPCPL/sr8jJByJaC3bLIWRtszOlzGPaFFi5mW1FM7SooZTsn7tCGvb IoRO8rU5+CydVCnRLanCQWG1TczW6BJtp01a9fr/BlYWXxL1a6nFOiYj7YH6LqXH03lrlfjGzgXP R0WS9H0kIUQBntJmaYbFbRreVIaT1NaGxZEXAGi3SSu8CuVh5iMzVvIjsxZZuA0eapfayrne2CQp 56yqtOxqhSg1FKBSuq22Ym8bZLaFKW1lbZKDdf4zPd8oAF3xeDBHT2bLRQd97XWnKAq1K1fsInKO ie0CGolAG9ipVGVvgFIt1UhmWNym+PHaufhwC7dUnaI1CXjroMaRqH1mZwFmgDLog7Ndet0/GwCF ypmzXOXMsyy3xMdrL3j8n0eS3DlY//WUbUahNr2Kcevezn+9MRJ8fLzzX7rp+c2YF0msVkrbB2ch 1c6CLQ9KlAIoFH0ylo22KSAk6K+4JCRlEWZY3WYcmTbzWg5WIsmTLDywkCse/5fgD/5bgPAHujk2 R1GoTQMJ2PY5irQXF/WrYmPKk6S4rO8Ma9uLew1W3zY4ggROG2jj0qkhbTKegI6AZQpBZZwiMzFd ettgihoBJKlXHzRFwDARTXKi0YzHg87/8r1GVTagdtdlRswo1K4lS3ck2zLaEmOfT3kEVxtkCnVo z1DYT25uhSRkDs4cheZV78lANNhZsimDTAuuiCx5EkkiEa89Q1IkS9rIk33QXktA87L1f84HPB40 JH+DbMwjx+coLGwPoY+u6nGC44jmKDMUZIg0oDGnOYoAJGbWDfCSDZ76A0w4ETqiH5k6xP1Q2F7n lB4JnBPwqixEotPkjCLt2pWGrOSDltFGxVbaGmPg8ajO9g0gVZP8qkPOCEAymPhXZjucosImn7Q1 5uWy5+WyETMqa68kbGRpPlxOJJBHpar1X8GvwmRW6ME1lMs1RcZkK3rbAGOTpWSzRJBgqUNNpJ3Y keknN8DjQb72wLVZZZvIqp+bP4q0x5YWe/coslHl24psKXmRLXfTH5mhwl/VMSSFDVff41Y7K2lD ihl9a6AIeKg3/C7wW0Nq+1T+t942mCMCkPDf+WAQ2xO3S4P1xC14PIJqfbeQULps+6JHZ4Qgkcrx kJwGD+yWXDQqUydfrNGrcjyjQHuILjqVr32FkAvYiEZg1Lk8F1o/lDkGDnkgy8vwdPaSNiHtMwq0 WxKkfRmyPaurCLfQ3tgCOhdxxfMREql/3WqC/dZ784eUyKEO5FWNUiNG1ZHVnccOOXDba6H8iIq1 QzSkcWlI/UwSa538lSt4bWQqdxCmWUyEadKdpFX0ulHdnxytQoE2Dg5om60Z4Y/vGIXZ+ftaw3Ls lxO5oiibboXo2N7EP11uxHJlrbPrUMPlQloRhER6vrutOzy9yPNjwaQL51E/qTJ7TBW3PLYerG9d WkNU/xVCSIgZ3YmpCAfBbM7PyXoFCuQVj/9L6oeUI+jq9Xyv/hVWtLuIWtuLtXM3v9bOFLtTYmdq xDkKw2zQQTZde2ywzTZgEnT+BlG0M6IC0o+B9esMUdqV8udvhe/4q8jGoiOcRoiP5aHdWczDob1r wfMRpO3ea5IfwYl4U/8VymY36rLVdMPsVp1cW1vLHh9RJoSTFIJIlloikvzJYpeRqwXFlpUIHkVL Ep5dFN7MbATAsmA/4dF5Y3xHVGVjeJQFkMSgvQb4RjbldT7+L2EN/n4IsEf1ov+KouxOHRvYVRqC hKYfkkNa1XAlQLN7kW2FOO0upUg613DwdC2DYEmn27M9oCbF/+SkuQP4WhyZ6dLZCGlbcUGbwNos ZFtGpGkVJJSsWPU/dweej3B/v1TsgDns47YhV6yfncnQMhYb/tlNVytDQPXHHUaGFUdWzIpkutbG HXyznZ+gnrOta7NlEr7ZPipovIOX0b5gNlOvG9TZiEIG4IgD4nOupH3S7sIMsZ8tiOejkvZ3oA2M 7QAv3CYpCrSTgH/tMtlzc436k9x2l7EZZRiCZMXqI2p48NoapfmpXc8dNk7oQxW7BuB8orsI/eNo QUYGtHltUr0PX/IXzCZTk0uDatrQzCXToY4+8HgEIPm+/RmMQunB5iiEahuVfW2rsq2drex/AuKS rOw/od+qOQojbRYheRva4GX/hIwzF+X9J7mF4xV0+BEpwdE648C1AhK0s/i6wRwxm82Lbe0zTGPK gobEpXtiiobHI7q/zxH5NVxHxdGRK4q0i5SiaxddhKIRzhyh+Eg20QjUmVFjWyFWm0ktFK58uEyt hCREPf8xccs11UaAjFBSuy98ZA697l9KPydn0XCWjoBIN4ocKLKtsKb9ixUBzNVYVzFyhbzIvlX3 v2pIqzvDNqtLIplfV0NacU2b0FkptM1HoQ3ee7me58XoW6ktFtlmntqV59Oas0cLTnG+boCLYK62 KgX/OKiiffKdzj4i9KjweKTI/l33pysQPsPmKKxoU8Rm0XPC9KKdO3qOoOKK45ORFOcojLQZIc0k d810EW0NeO2TNxOsBRjkgiobQHrccojcIffjIdIYet0oRJKj7Sg+6DyCFo1sRU6mgMejdfStYUsV u3FxETuKtKm2TlatzxFBjWIgCWrTxGWzGtuONbV5EBWqj3O4iPZUobrA8wjgz0Hb2wzqyGCemh+L 1mJvG2RsZLGzImiDLaPzkWxswKgTjwc1tvYN1qZeIEIQm6L3QNvRbOOxJC9i17IMWbYH2ktMSQiz h+ojLByNtYcPtozOOXE2cJYyewO6pnD9QDp2QcY292u1XRKl/XcI1qai9sjSH80uP9LPKUZzScB1 Bx4PrrXkc8Sj5/w0DQAHm6MQrM1uNvJXz/zZmTAtpJnsOFosBHOO4jhbNbb/63vYoaMJL4es+Oj8 0HS0a2S1Yt1MdmrFvcV9ge+IamxiZ0mFpFeXWgH+W+r1J8XB4/8kRCKGRPSYLnBkh3xIivzBeNcx /9NEEcEdYasNp1FKDhzZIXAkC8m/iw83pz35WrZObYXrE6XJsLIAu4fH0Rq3dcRbbUeB9q4q1coF yo2mzkFckBH+0MSQ7xjJRX4DkJCktnRZWjsGaouYvS4vgucIpTVSLs6vaUAraI7+4kOijk3dDA4+ R6NQLZJzAEOpzYo3kBLn7U68Sgsbq7EhJsR3/CUWWZG/26Bl1IEvZwwAItuO1Ue+27QguVLq06Yo CrJrU41tXNnRbbk+5KJa/3H1kTtFIW7EpH1JsknrER2HHM7J0CYxEQP4GqOMUpEGmp8ksgmlvYbe 9i8nnyrHLA42RWejqSlScWCHVjX5F/z4fNiot3q0I9gIUK5IQ+p1zaQspXwi+t6+inq12GiHCn80 F0UX1ge/9zfCrMIDG3ONSBsZLQ8jdLWBtvNGtr9txBglF2IzQuJglVo0J0x0hY9HqdqvPjZdO/f2 KuQO1UdYqk3ZGUiJskdiIKVyDX1raUb22zFuhBS2qnb246V9VgvlWGgRfe6sjFCP0BpY+UKMctcr pkn8O74jCB+F0MaTNmgZzZJNBHnMjceDNGTsr9AI6SSsyHyK4mq20pD5HNjmPHJ2mvSRyIcEXkNT FIfYVGZZrJ5x8NAIGmwoGvEwQhuv8U7DNdkKa5buUZvJRsN3BIcRG0ZFPpBFiEvutJMXsF3bah14 PGLWfIdGwE9OQJBsiqIIuy151pBCgyliad8Oo9W0tuDYCNQEd1qEGZlEQSagEmzw2lFBMxU9fHR3 aMgiBzJYGkJKW7arAh6zTrtD2RHe923X5YMC7AnUN/scZQ48HiWz3zI/cKBu66qOGUQnRIy0XgQ3 xmnEpj8Q7GuXZIiRjnBQHuMpDLHZeT1pRvHByiJ5rQIpyCxgDcJ1pCEVxc4N7HxhGqLGEo8Yfkvg LsYze8C7AEO2k6VAxJlq1yj7Tn5AhGL3TASxUD8HwHzwRzlFBW1KyQAHKxYEEedmW59pD8FK0mah XrrsKQ60qavRWPznYBN1AkjQ4mhNg48qhZiIjrBvQWYc6Iur9cNAG98SdPyXZGxYi2zVY4xcNwSG GCLNzOejdOTbiC0L2XvlR9Q6eH2OnUgFucKzjeRmotAw9DrbgqUMnRBSiNNWhU25GwfbdmfG4R5B hE0B7WzQ42cgOlpkQaHTe/1Es71whNWioCYozBiA3GrKSc7/VXEj4bqaooh7/FL8gZh421dhK6eo tD3YRtqpuniU+sOSjnD1aOpGdp+o2BdS9r2UIumPiw2StxNJjC095I2aLsBsKLX+bLD/Ko2SvGlb 7IUjfKRyfzYmOSi/hQyPGI9o/uP5f1W32XgHl6+j3usTFcXdydQRUnUwcvGKGyRoh1cl4TRjWy+q bw+K2K7yDJ7jLmxE9IaxovL5U1UjAaOcOKiMhM7KSZk0UnxL0CkhkJQKtDboKIeFjfDIG84RKUSS lG+PyEyq4pjPRIUl7kF3rd6GYdvGoB2bskfHS54jd93DPKxxdynbUYp1j3YzFHAwARuSg/Y5gSVo mzLRS6iEwVLAa5Np2wtH1QDStmQeNa951GgnSRmyikybz79PVL25LksH54wb43GPSmGZG+XGBIis NZUKfxah2+mcwqYSWgS+oKIYfHYVlERyK0998lz8SFSyJMkYlBNNCjm+vcg0w/bTWc47l98SqW0y QwEo3QZVTUZaXeS0M9d8PuoG+IJSORNB/R7PWR7F4VCKu9Qt/lMWm9x5wuMwOiisdXOiwmI3k5XR den1J4SCnv+5PzkRldguSOHBeRQ0d+STWNZWOim12QsH6Qp7lEikNDR1BMDTl5MOLAX4fNSkLF9R FBgaY5Zn40XB+FIw/kgAj9q3Q0vKuF5bUi6j91+kTkJj2r1RqLfBgbeDEChD+42xCy2SKqHGoGTM 1h8w4LT3jQCTLAos0iU5aD3lc0qQoAlTYz4f7LtrYov1DjbwuQTKnaYoIF8UKKnj8UGey+twEO63 1DeX7ZZkKbaRJHyb974G33cDWoaw1MW+Q4E9UVXy5EQ/o1DRaq3Lc6vFXjgoM1G6dXC/aOA8NSho Mr6tg7Fm6G/Tfrlpwx62l3ytJEMvSahdnv/X2U0vOc1prDekTFaNK1AT9fUUmEkCPiGlZPEnxrUB 6B0mQo2utWs3ExdC4A7OZCFJCrgZ89ts/sJ/6gGKYMrBWJPorjPzP/kjnw8OqP0tCDhpcXdF3HJo Jyl+SR/FxW6bqMmqyLFOUEVTunaSEcwkkQyYq4AUdV01lwblhNJkTZYX4iiaT1AogLZS/TqPy5ok x36SxAYWVQrKrRQgTZcDZztxB58PYvIbafa7nB5LqdhRkjjcWS4rkE131QpouMRawTlncdwzLggt JZdUkwqBJhxsOZ2TuyU6ITMTBoyGeSASzIZ6BKJkn6bS7H2jXhPPJWGWq3D+RFGAU0d8wInL+Xzk mfQNo0ClooE459MU1cDXIsAEnhC2moS/7bInW1ZS6eSiaDWFAfnCNNVCEmUt6a6mflZQhqEkhW+A Dl8m1jFRbTzLY+0rxMHudw5sJW3TrbTAw9ag8+Qc4cWEgXPl89Gm+26mwE6o7fZsulAWcBOmLIsS qkyUaXrA3iqggtKo06cpZFBm0bmoD8ThYgTPWtrdWCbg5RYi36FCzQ4dGNKet0hDKYfekov0wC2B ILRktCzAKhhLMAFYlIbekmV+d1Qq6sGzPYf4ezSOEJoSQYyutOv6Gl6ho4wzl5NiHO26GHGiYjiN xzjYPM0ywHhT/en8O5Nqco7zs4bRcAGG6AKXp6KCwF3SKIIT8nA+COB1FvyWwcT5ID4fdZ6+te8o BtKvU1kO7CVdRKHXCzrpxeJNiAR1T++IPFYeHPpLLiKSz0XdffCo4H8Z+64kWWIjyf86SxsNWuz9 D7Zw9whkPU5GN4djhrezzKpsFEQIF20uUCiQvrVxLlMU6okWmD/0/CqVebAKdSpBhQaT0nWvqdxB zad55mcISFoWn49O8ZsHs1WF/fsdFcTCJepd1mnReJctB9MWHoyMxhfjGU1U6HtDpxaYq/vgJLiz x04QSYG3fBJFuEGTuwT9JdCB91dQUKu97y8yAdCPGz4oekr4ISTImSqf/0veRT7c4Hi3Zz2FlfHF iCm5TsBJYpZDmEnINNIpfnubprA0Li+uQl0lDlZXaZRfL+zTlQbrQHoo9XOio7nSKbN3UUxp2gsH IvhE6MIp1gdlwRVcYN1grfD5KGnxKFNSJ40Y4ecgj7HetOPs6XIG5p7OGRguzJ1AF/aJCsNx6kkt sABsuA1N2N7LaxLnE6yOBPeSp7BAjv+mLaHbZFFLc0k3aLk2B0zVukqaeTY+H6Utt41ATDw67Wve dlQOHScR0ALmbaKcyGGG4+IWXa9YMIARj+XBoeXkEv60m5T5A2eG+PfJXjaXVO6JKikorGADssCH 21CQb7LL+SVR73er6Tu892uBEKpcmKh+1jKfj3QD/3F5IRn/8QkOTSel9NJxz2RjWNDHPVPGBG0u kXQLarG88ULXyTHlWS579/XYu090sCf+MpQDzm8Cxf0piBeUfn/auP4cS7bcoeukmgiNnHgNhrE8 L1vsIJ98PihoVg/Hmz0IIsotF8S2k5ucL9UISEe9xTmAtOxMhxum0Stz4Dvpy2mqlzDbV2cqEadb mOduiOuIzQw9QdAuTgRFsxctt5rshSOmLlubjcDdkwLAtopVp3OysiL00/fa/IAoIr8zxfidnNkr +poD70nAUojUXRaHwyHaWy1QsTNpk/Pdyc/ywHwy/T+humeRQ2d5HDpHS5CPZ4zUQBcs9KGoXY48 8L6T0KJdetveOMIUcOttVVj2sAoU3JsTSQXnqu98/i/aDvNBQBJhBOQTFRrj0MkUhesfN8YxSeoC 2rqXVjqsWRSUBwaUoOxKjaJXHzwoPwd570n6uCg+DiLGYAaDghFNjvPtmc9lLxzNE2vk1vXE7EtF 8qwn+H/+JJrc8wOibsL6yoURHbQyn4mKauSy7Bjpmr908ZmHECpOJmSKZhMVQ1SGDqfmg9fIERok Xmwbwtd5sJGFSxUmx+tnzGv5yqXNL/mtZHCeyj4YAKMX2Xa2k2Hz+b+sFrDxwF/4tjMPfSih/Y+A IDsPDH+hl+pE3aUidc3Npyk2fTdSSvLBcmEomlCdXWw56NJwb7J3C/hZ2df0la5z/JIAg0Hs5UYE YYOFBoMYD07T5vN/OQlxFYLHUfsTGIS0S0LCUrolg1S3Sy4nkXkIVMH9rRwvsKJM5DmhpCIZ+PJA CxuE/rYK5AmOy5VaOgUIKegvQDURZDCixbPNU4hVkRmFLM44CIhxQrHFXQftSz4fhFCX6cRHIaja 5lWmzKEdJURwSHVyLMaojrsEittrK4t6+pqo0CpHpXHJTompqImCBUzdBBEukHuJB4A0BbTFAK+n wpmOJ6nA5dCPEgVAxJjjDsqFKyyvWAbI6J4HhpTSq/lCYWJl077V5ymKydECzjAIuUUDaqqyotlS vZiVjvnUPMX+75gncHR88ByvQX559G5yL8g0PNIcbAkOkKGm3M3VFA5dKYcsKYYsF6HE2lT5PcE2 lwiowXw+ak35MU7hODD5aWZsExUaU84io9zh3DkzhRtyXXQ6D+SB7ICKnSlZrEsIHG3wC6+AwJgo UZVQtpPWyUAx8UzPkjK7Nc+Lv29U05T1gtiY3R086rlPST6yCnngTYmQ/FtYEM27sa7xYg7NKfdk q3OVdQ8oj5pKlkqFbGCnF+sCd0ogYIgz3NSg2A5QAvYZ7YFR2BI+23HPRH59hZJQA54ip4sPz2v4 CwcgA03QKnewIhTAvfzCs5b5/F8Gle2qMEERxCcqtqj8Kj2JlnnjgizFM9Ix5jNRsaI3YRgSYuhf QgwNJ1RBqHIizQTfxUmbKpQIN1JBNqSs1dnVmwpdKiftqUvWACy+CkcgdnAxngCqZ37AXwhfgupQ CpoPECo0quzkrZRydU/arsMJB9Mg9lCHT3eiwpB8kWogUe+RvwyGKoDDnVUoaFecnajyyrnlASH7 yWggVzYbvHkeWFVCuox1uqXqJiDBMgc4SVGe3IdjjcwPiFAGXjZgEXRSWOyGUL94VYqZMYyVef6k dq1ziSFk0WAPF/bKgVnlOSSJAp+ipszH9RSGXoAUNOPKb/K8wPCh7gBAdBBCcUx9XvbCUV2TIfnm igIJTTidAnTMZKQ4TljCD/iLTo/Xg5RIH09dM7CslHlfhlu3OVbhn9nT4dE8HabDl6oroWelVtTU UcXh0g6hmAw+EVbUyTFAEJ9w4jzp8KDowHx4PslfOLrzqFiV+x2U/oMz0YXvQXklsK1UhmWpi7SJ cdNeAYscGleSHgkz5uoFO5YkpafTrgh6Hb36iopwK2DoIaQXfGVdzaEGQUPEAsKljJOeDAUHaLgj 10dK6z66y983aiiQ7JOeQUH5hriqyD5p8Pkgd7lBORciGkont7pBVGBeCeiiMmA7wBEbLFeK7dSS FASxufhA/sW90kh1ywevGpyp2nWSf1hQtJUuc09n6RLGX+rj7rX2shcOdh599EoTc6xddaYF/UcK ZufV+Xy08b5xUHAp7O3rKA8r5RTV7wwtKBfXC8mGSXeeU1nHXsU3XhiUq1CX6GLBwYODVeE+QEmU Al39TH8GHDOovZ7P4xwaDqo3e+EIgSgVq918EG4F1kaSNz9Li88HwWb2A4plKCajHZGxz1QIJSdy pVB4RsgV8t4orEcL04J/7r2oZNX1UbEuCpISsjXb4z9QzxEFQW+Wys9leFJGADKYv7BMf/7vlIy1 JlVf9saRAxHnSAbNbRqbD5wJk6qDrgSf/9N98IdKfN92aDn2sURvFqVyhxokakKyOVzYK2VCPDIh Pl0fFZzlhBoAbOODJmphok6+uolcOYdCLk2nGGCu5G+0q9I8yLLPgZHlQ/0d0iAk/Av5MFCOnDNB DQIny/Tde+H0EtJ3w/LQyZJWIQCMJS/XtWmIDPA3vTdMyJFNU4QmX3SwJurSBiuv0OMAGChSEifF UhiQnvOW9CM0dS3LG4qhAitLbG+Z64hM5o3VM0tbdlNQ2NXzwY3X69cBVYHSAw/KpykKyqkGBAWi mw2PbjrfEEjpng1XJ7fmwMzyMjeBTrfBO1RwEvMq1EB32HgM+BmRLLVULl4sFXvf3wxkalfVAHU2 5RstwdNKdmdoDYdulrP9s+sgPvJVJg/cLFGt4BEx3K05N4YwcrRet6jZEeTbPMUyKVxOkOSzwXl3 FdHyHKrWnTR5keoyMpc7ym8wxVPjZSZ/3+Bwmsru8vRByTCuiqnqCnBQoZ/lI2rN2i846e1BrgSG lpnCHTmdq8qciOE5YUiDUmVK2BloVkcaxI6WrARM1AZseOICNofVdxkQYLeGJ6p1wFWJEqQAqm17 4SAuIBV4icrBwbfdEvf4zHfl89Fyal/LiZLIX8Xf0NQS0DuY7TSncBTajjBzmXX4cgIk1eKCwNUS RShCMb6GB2gw4XIpTeKzrKDAdpKzhGoxoUOgI1zkyrYXDrhT7OAlhGdoMAPrKD9iWBkOKaDkxg+I yr+Xp8hIEwDERy8lB96W4hOgqd3c4KonKl5NuhJOz/Eo/mMzFUXkIxmscPrgyfCATspMBEgDLw3l zZO4AN6zqbb75XAln/Qc2FuibDYoTkA9WQ6GJD/nnVKXsvV8UIZa3wc5ZADPVI/nJI8K5ZP9qd7X 5XDINIXYldzvRDUmw5yoCLvSVK47Z7gPdkLh6K5t0AUcqHX64yB+Inl5wsB5X9ldkYICj0uZ+wGS zC4VB51QpXcxE87eXnw+wviMr7jgHAcD2ZNvvc9r+NSyjB9xfE9bT3RwMpGiZnyFc5B3t5V7DZ6a +8lsq5WPLxh5g9QO3gdiDtBQo5CTsODEAMLZwZlTCJ5eQ6eGugpR0Z1oKA6aIpSUCQE/n4SnXyao gYOhI3wItNLOsTQmWVMM2KL5kS8BwmwE38hY5vKMJbG3Sc7UuFixYH7I+zvRS04+eO8Op3/q0icC wbgz9YNTDTRdz5fVmty7Yelcep0gVG0oAdoYgg/X9wG2Zmwzkl94+m2CZAqgCRKAZ9BKNtkEvUZM LavRnnUMaAGt6lqpVQrg6KtNqJRygl7jJSwgVsPhxeGDLaATZeM6EDQTR3evaoOifgIwXNkXDz0J G3+NlhrgPBvAMMynDVbqXVjrLA/s9nkNlTC7O/n86L87oc+7bX5eI6VmdliZ0rdGQZCs1ZAu4dW5 ALyUkdJrnNTQ0CICGorMNnicBABeGVxAUIhA6M1TaFHMrf0AX2xhNyRJ8a7v8yMY9CwEX0x0sYq1 yCELQVmG1fPnNUjiWdJ8gjgZ+GUoS0Sn8XCDUfSzNRdwaLDh8Ih7PRoX2yLu1wCpqY5Pxabpg11n k7m6oL0Dbq+ZTDtUB+ApNUiU6b7DqB/yeY2Pmgs4lElbSw7OH+uUfjh/7Am3X6Oj9jh/aoLwJ/dz EtgEvcZGzbh5mf9F6xP0Ph0/MNp0qg+RK/TYCyZoEoiy1jM4MeMkIyCW44TuBeZ7ZI7B0lxUxILO hPcxGWm/xkUNLRv6DwgHxsHmJ4Enw12zyuc1KGqPv86QDwZCkpO3N5ug15AIB3udD43cVpDJ7Bba ulkuglxZfhbRBFE5FoqMPvgEddIyChvesAvopHMWMg7AQMhNDTqlIoUvG2wxyqLtwcr3xqkjWOm5 YbJoUefL+uc1GsIJZuKoWkHQA2GKpQl6DYWaqX6mTVdbKX4z+5YaUbEGCkxRqlVxXwMhHNEs3gJW 6YPfYXue27AoYoQmMZ0szz/OAtjkpeP+8diaPPLXOAg/gvQ/0riD4sUTBxn85ERSn9coqEnExS75 JbY9TZp9hl7rkrj66hJy3lEVfQ0/hFBFskNoEUhNabTgkMb5AM9zSchS49mrkh3HaCYvGlfPpvfg AIB8ozdzDpPq6DgGxp/XmiRmCBWksdkT0GDdpYXGOiNqiAAGM9S33/I8z9FblYkFhWuC+WEaCRKP bzFi1TQ/c7hYE2TTXKwpjIJ4+KCwbIMXRcDtYaWoAjtYVpnMzoBWWiiYnrQ2X0gc3ZleC5EME2k5 IBtLDnYGLQDNvXT0WoZsj2rsMB+0DbvY5rfYO4GuOdykU2NTh5Dqa6TyAIblcLjLUYkCaRQ0oYMu re/0aH1vaMPOtMUYh+owPT43WtOboDHy601at0mDIFpDVNXbjfxeDlpDaQsxA/t50FajbTbL1zGE ZJSJtc1RFEsnZvmpmP05IPPZRRrr2Ebp2XTnMR5PdFJPxUKz+uCxEGoWdMg6y+gcUBQQOnMExWP4 BcFPb3ofd/rrRkf1YBFETbfpPZJ+sj7JErVc+XhwVJuQ5SDACwnEqNmj6XfmHC4z5mODYiYqQF5Y Jbb18JMIhFqDwQVzBKgVzb2mD561loq+gRokaYDMQ8mvTaVtRDYQVLBoaAinG0xRF2GuyFC3TAuH ADuoCpIbiE7vEfXjzjBUtQSQilmLzVEUUlMH5YRBJuuJg7t4RIT5ckRluuTnKKbuTVLf7HZzsONo gD6S1Gw7E9RqoUhhgiDqoLDvqF8XWtLrBlkZa7QEANugrKxBc0fnNXCnYVQ9b9BIPjkqRf3e+e+k uSYwFtpN2ePqQQCNJqk/jjrrbrb3wDrTL+wEGSfL9sHq/e1EKqk3VtQS+qSSAyHOugMvNrE5hjyJ Z9XbRucRVhA1MDBAV0ArCbo7JD7jcv68M+Z4QY2vzQYQ24kT5t1tUWwtxlyaw+ziTmRUPTIyHwLS ntEgUXb/HlxnNvpQvmYLiYPTVJHlLaRUaIokEFSx5jpY4h01WrGerTxL26F3vhy29AZdE3a2Pug6 AgmZPfx68pvPO12OmcT3qQ1EHuC592oL42u0UqDi46Y6BKMYJN499cBFyU4eiAJsAXMTEFk2OOJ0 TOiUC4dUYT1IWEWjQwO2VyZpy86kPPW672dSYxFEZoUa7ExKKP8ygMz7886Vaw+DXpME9GkHMMrm KAqx2ecAAMCrIHBSdAAA9YBYRhu4aTVHUYwtdsmurF9zcB7KQC+1L0WQCyRM6sbCB21Q3hBahMbX oabI550o10R2+SaAuVcshOmIeTsrk48HMeS8c8QOQUfUW4qXQt6Jcs0EZ8/yaQ/xcjnuZu/sxMsx 3XronSeHPwAKNQkBtQ92uYEVDhfGpjOJ0pA42xsiS6C0Jdao0rUQlO9xNsoZdIvrVI3noGoRWv5W AYKoThRor+3ntsyrO52hb70xCrU7oSTQqbOVVJMDkiDSZPHSXuCMaI7CWJvKAkVl6/KUraGPVnB+ yMESQrKJ7BjoG3dUmKH8dcv7U2/7yxxBbf0OOpFGNtx9JT4iCrZz8RiJiw4JNtQBrOIYxdqdYo25 JNexynl7HFnybhZHosNgJccw1p5CmFKkmYMto55xCG0qxFUUvZosGtJZr4MyxOl6oGO2+B3Boe3V tOSDzqMOIJ2+ruTPOzOuPUSm88exvoTEmqR0zVEUaxM4k6l25WZxLojOY8iLIsPldd+JcbwjCUhq 7Q6Wj/Ra4bLD7scJSE6aT9H4DgdnguJPCt7vgZTtdYOcjVk/TB44QNJOCQlcMdhe7RA+eSfG4dDP Xhch6SQjSit+ar/T4lhvol7jGF4YmZS6kh3KMiu0E7SjCqGF9B5sI7WR+LBUmfblgXfQTM7i4WZq DfFYYd6P7QWn3TNJ61JzBk/td1Jck0cnAKXs0VaEJ2LTNBD0xWA6oeTnnRPXzGSRc8JrDCEJAg6b pDDanmaI8pgPjef6707apVATD6R3QlxzxZOUFAWkK+UxTpiXUKJl1nb2yAIsGEao54GNMmmjVoIB bCh58k6Ha+Y+tDIldjVYHw1Gj34Aft7ZcP/WsLmSitRofZKiaHtJN951KaBW4f7wpZR2A0kWUrjd wjI2+/xQOvPB+/wFoFJBlNFHPb+DjAfPDzOglJfBhbAqrSya3rlwthI66nPJB8H/oB0nIY/zg3ze mXAtXx0Pq2MP1rH9ZnsnwmGPQk3wpA/J52gQO2Q+6NYfoRylH0lRJVu9tLVlGrOfOuRgSLEo03gu 7BOT4the7PPBRJ5Syz91yncYFIXPOw+OET1NPhQFSMoCf9iqEHFgsH2uh887Da6JlvlVR0IMCeaF T9J7sI12Oc9t0hBUaStXXf+sTQe77+KIkXcWXJMhFlIRkeG+hHPOSgI1IEtd/0RbRYCRxt0GJC+M 0W9Dbel1348k0ZV6ozIFB01SZ3rLf6b9eSfBNXPIu8VIQgcrwZGcoyjYnhJhmqaFjubITW0T66Hy 1Rsuz/hOgWvCHMIRhn6o5ZFbgFUQELZVzNITIjHE3ZSShpPJz378q9GY49sGU8ReyBjMRwac/BjT n9Pu5E48noAg+bwT4LiQvkv+oB81uBjYHEUV7ZaVzi6v2Ca2yJXZ1jmchrObAbTe2W9s7CPayfIe zI/34MwQic9JLg0o8E82rhu8uTo6JCwHXw/LpdcN4kjaM8CTxgcjK0ECgXtlZ75jVCP5Tv+pATNv Ufud+tbke5DhV9W96q+6SKfyExNbUgSBbtccvYfaKJGgd03+kQ0+RxuACmosLGAAhwRjNqAMDTHa vCFSXtSufOe9cas1F6eyQWUkqgoR0bDX+LzT3hCG3lqb9PY6pby8ZvvOesM6GtSlqr3eWLt7iATp AL/9sdt5Hr2T3izWhqpSuoPN0ViQf3lchcGIw1mArK5Tm3E8+DXUgj7vnLcm4aTzPZX3GgelbBBJ 3+bOWD7vlLf2SFpbbwSxERD7NkUhRGRo7eR8j6NeHJI1cvJK22zWXnsnvLE+gt7+OGe0DzZFEF0s cCL4we1TzpLMnTpf8IMHngA4dRwmbPEXve2vU5Tz8MF2GoSw+XUnU/i8s914YvtpxJOHoIPmV/87 1605ubtIuIT57bxiAU1y6YWqCtuUqd6pbk2CS8Bir+mDJ/4V8oJJHugwiam0vJjEIOL1YOx5UZD2 tkHGVqVvxnnloFVUT6AqWcUTYH3eaW48sMdX4o/OA8qkPkVRmN0oclb28hZkGdYnOTFL280OI2RZ NkXvYTYyRtxmNVO7i4OtorMC0ebXMmkQo8oESSLt6I0tvvRlE5/0ukEuQvOLKaHKibqG2FYV2ohL zP9cPu8Ut3+qI8Rno3YkMQVOUhRmq9XPDNNQ7LUlR7GrCFB4GiULId8JbviR2OunGqUNjvWfEISd pEUuVCnRl4W2AmpXgBHnWxuhJ9Xnnd3GdE1bbG8fDEsLhD8D5/MBn3duG2KT9Q0WIWWrVi/7v1Pb 2AA3rKMLVBLfanCafFml6NfbDEUV7TQExGKxlsOF+a9zWlNMesO9EIrM2GmQlKlQ0gRIzVsjzEPe eW24N9mqPbdu8UGHEWgUEoBLna8Y3Gnpu8TWxPzz0Oid1IYvtVbtckBNkyKlsOvVSW19usfXO6et SQMCFAgWI/NTGhnA6JyUoTN8nMDfsdDEWu0Cfr1Aus5ZksT0vXPaLCM9xxDZtxoMENE65aFwJfTP O6XNwoanxAZJQmjJ+hxFITaaOie029fkK90WGxBA7jhcUdHXHEX1bNQNzjbqamezg/kzmasB0FES 3ZlgxA3CCFpsNCvAe4JldX11iDJ+p7OxaE91CbNqABRVLR9YUBaqcfSTFH7e6WyMHy8ogtTkBcWU fDdbGGTTfKhDsceCo8uCgNnUA1t3euQ7mw2bPXVplTQfbCVVsJQTsSEnWUMrgWw2SMb/APGBZC3d CBJv/3kns90mW+1kupNT00y+rVbiBfBH8/lgu90zm2RvwFBAPLFJiqJsKlxnpE5W0B67GWIdfEPT TTiLzRWV3olseH+1sotkEx5BJbR5BvpsElQ6NzZutJP2N4l5A4QNyLgXkEhke+exNUn8dpjPdx90 Jg0oEDHvP5/xeaexNbHk7diWqx766ckLSO80Nl7/yEXq3FJ5M5U8KemvWtUBwM1G6h9gkFGcPRg9 UtLahjtLacFFh0sJtL9F/WWc7T8LdmxkG9lSGnQceGexIXUiZRvBOgfqlaKA1E74SZTW2e/z805i w37t4+tQytBaHfme3O8kNuInxaEBhEkxUiXgCK/eMivZG5kiKgMVS+mdw9bEooMB+iw++CSdZYW2 URHLtvet4ghBgcTo4j2t8g9ACb/k1xipq/LfvfI/sI4ogHmW2vy8U9jaQ4gcpuWNpH/cQ+mdwoaV NOkRm3qyJlsj9UB2sU6rOSsJ2S+Zo+8MtnYp20mU7XQp272gQXLW5xaYbQ0oCpxlgAbOiQugBsp+ rYkvs6r9TmG7oOMi3mi5vNFzIqbF++lsCj4eRNtpf4VJWBoQc/BJeo+2jS2R0cKwSQIp2SapVtPG +yYcvdPXbloLHQ8fvIXUzn1EtxCURwBjp6JwQlFkoxOd58PXHtteN4gBZDs4WLbloBhgUqGYMcAJ tt/Za97neEpIdJsfd47CmnY3UKTntYPwQgGPSTBXKbJls4t5567dvBbtax98jhLCHzgQINhuUMlj GbCxEwnf4Yr+qjIW1UfeqWsO7leBzQaF22MBR47dVlv7vDPX2DdoX7k/iYIl3TmKatoE5yTieCUX OKnOK0udmbJD2pZLv77z1pr4P6hBzumDhdvQbDmbtascix9E1DYcBT2RTXyRSIJGvLPWmPuzTQuy lQ2aIpg7VKGz9/i8c9bYvv8n90cVfV1k5Dtj7YGP0ObBKtrThd0mEyEuo5KHwUfeCWu42VpieY14 pPqU/c+vvU+4Tfz1ghYAxGLYPYF4LwJXoFU92tYqigranUx/QLx9sKStLtVjGkws39lq7XGK106D zvMXeuSdq4anRM7+MrGsV+Emsd+ljAS8U3EgwmibO61NhpMcfBVB+n1Tjm7B4pUlEbjvoZUMiclV n8OI2NF3phqzLtkO1jsYi2bTvhhThGstirXzEyAhisTlSD1HTVEUarM0d97scRRg7YdybnLdVK0W 3q4sj7QQol2m8vnqg1eQek4109Nmw6BnJklxwHyYjaRGeG3TPkx62wDyp5w/sZ3NQXEfXIdZB6gt ZzwexJDdN1oV1R0swtsXaWGkTXwtiYeao7q7awPmNB07SoUeLaOono1G1dlHKLfY4IntPGnz7GI6 nEgba4y1WpFHzi8L2o8rTWqrRYE2MFMngOwS7e75uljWIi+/c7UNPP4Xmg0PgrbTn4u//4EdoSKF y5NVqyBRUcXkIpo7M/f3ONsP7NY4VRysgnT21uq42riO8klotdVo0d6GHdgKs+Uk0EPGI6mOdA+3 wdYRlFFZxm+bj0cQ5O8+LRChYEj6FEVR9uBWWwTLmdFnc6mIPqpLRUzERpqiCDoCfMv5nMUdx8Gm CJurUPAf1P4Gm3hxbgAYJSo4kTmr3D/Z6wapCBk1KGz6wJyCxqo8sU9AN/H4X5wsJrUMye5W62GQ LbuatKfHRtRcl+dgNqINktptyJEeFbRRuWeZsftgQfY6EfCelcuoZqh7dmb+69xQ5wQ/by2RYFVr iUHqUYwtyMjZmhqQl/GghVXp4F5BxxPPB9TH2xhhoyij8dP38jJSD8mPMrDO1sIm1Db9mNPCuoC/ huyXYXaPwmwoB4G1VpMPjh0BVI6m5SSOwHS4MBc5E7PZXfsiq29mtT0Ks6mmsaB9qiEZLPLkmVXc rNb5jhFB9FZsCQ8892Srl0DbIwYkoPlXZ5oJbnVK/0kbXMwG4GHLRXqMHWkC1i4f/Nju4LAUmcWf 028S+7vZ8Z4ZCLNK5Vtd/6xH9qisrT4kLQ4ofJtMcuQs1SqEzTkjJp4PLrfhl9vkukNBcngfsoeB NqjWWfoB3vMfvuFGStaHHHCM0CTFNEjW2Db9ADj4JFUgeIfEN6F010gLqHCKH1jsOBTvoUQ51x4h tacMlxQqAQ7xw1oHzFOWgBGz1P3pUag9LsJWykkNl6EHST2qbA/2cBZ4m6qPECwlOXzoF+G4pisc S204ucNQ26Rchf3b/Z5KkDNMU/7DHcDRlIlnQ/GdtUlgSx7pzanXjYpIlHCV61KDR5Mp2A+QnRiY zVbLp0fRtvt1WNkW4W7H19s0vYfbyEgSgyMyrmWuwNpRRfCB5l8R5RiBVOVaisJt0EFI0io+OFer wLvahDXRDJBuCy+4BbZxRtXNTSspvNmj4nYVBg1lFRsMrFXYucMFlycej5L/G28zqIKGTRl3kiKs NjBW51RarG2DKH0Ol64KSck12z/PhkchFQF3jwAknSltEh4pyUuykoh0jmfqDZM1OhBYJgKR0CvA EV/YPNXRjUu54ksiACkVa5S3rZu39RM0qaR0XrXg8Wglfe+3DFtWWGP4JIURN8n9jU5YqrURZiAz 6zb8fqtSz+j8pKivLZFbWaPvee83SH2fu6zQ1nMCiEazAPhVgfVH+kf1giTFIPElQXIrjenCo5uD JqnNXLNKbWXi8b/o2STZoNfeEfYYPTsKuYFyvVpR/GfvLo645S1I1xdA2hhPjqi0PVUcAUfOBstu T0az60nSuVLSCemmmEg0JOcxjt4A6SMmxD2ikDuTpjXyXj6o/D9BoRsqtWU8HhG0vzk23LP5nkgj CrnJwMhdZtVTpbbscVK/NVvEzUZhj5mRcl9UJDAei4CNDXc29RLqr1Dab4JtMyUUTg1rlx1DFI0v +Y3EPuB2cga40w01kgBGYiNoIL8dYWX7trbFxzmTtG9he4Robaa3asIoBKjL7atTXYbcgraoddtG VNjOTN1OnpY5sMUz1PxvZdKDG4Wk8wdKIz8DblOxasu4Qn8AeQ98RwAf7czZmtgjrTnF5oRcjYvq pIUdj/8vNKRG7Er182iEIBLajdZ8Uf9lX5yNfN/d79TwESOKtxex2qjucmBdZ7D7f4KMc29VifzB DkCUd0BHmjzb673/z7E38B2/AiRQgrFBBYATlVGr6UTPY+PxCKp99Vi45BBEjuHh9ojC7U4/jtb6 xbP3WR2G3MxEHpq2zrAdUbg9O2GjvK40+HF0kjSI8ZGs3tEWyRTopp5swgkBsUyjs4HsxNcN9toU RpsU20UfZ95GJcOXj3/4iSXwfLSQvmsA8KNt9bYjRxhuJ1NnXXYgUQnI1LMwM+aAnh3TNqJwezHc TpWgJA4ebuOsGEt0dohFbrODnYhz6QCVfCFRQhffEaS3ZNh2FAdtUAsJYi5JLdsx8Xh0HHlGQnw/ k1s0SWyOQqj2JDSyDa8BtOKidbAK2g6NTNtwJCOCaoNcDDSbFJDqbWyDLphOsqYDZ9UGYDLKbUDY iOFYxoPUrnrbYB2hXLsyu/YYdIkDYTvbuLntCEPt5ecR95Vlkj5FMYyEJbY2HWqTKCNkKOTsyiMN ZmqaoijSnoSxQ/pWAzl1YrM35LFVLaIBWAzbI6xOTPYB2p0i3Dv4jig8YkESRVMMiJu5P8o4pyH5 MSeG6Xg+OpC+i/80Aqg3hhxhoE3g38jDTfEGTZcEH83dl9EajrAdcaDNOmOiAhIHJyHBPa5DfoFa 5Ii5wdRaBUBbaJGfFOOCI4lexpcEgTbvNSIFO4xz0PQS5n9pWZ2r9RxU+IAg/y//VElgg9Dr7f2P MNSmdPSgC6NCJEn74EQqO2fr2GItqAAwwuI2JeoIWrDBpgkafefkaSS05XMFgLECiApX0cqsTXsH AEwAvm7UsWWovdnVrgBfs4BUYW+1ef+foKvg+ahK4lmb/rvnjk3F77YZVreJj4SEo+lrNwlIYxFv +ozQsLOubn548zc5P2oeLx/sSEKFrU0aa2+ynCS41TelVVFPSun22kiwnSGIpFIEqa3hg9IR6Ojz JD7Jf8XjUZfkgv7ZsgVOrtwwckaxNnTbwFpY6vcTeGRzlDdtyKmsDWlIFUlmWN7OrLPNlnzwnO0k JCdCWqJiVUgrYQVBqxPwP9T42hfQdul1f20BSKl9XaX2c2qMoW5b7QWP/y+abCB+9nnByDMKtRPp bLvMW96WDPJUz9bZowv1DylGhcRI+gTuwc3GwalaKN3uzTMHzpoFqQkCnBPvbVTQCxosrgtJXYQZ lrcZI8F3zgdVSMZJzCU9vlfF49EcfcNIwbAZ41YkZxRrSxOpjKsdAZUwa9qiT+YspNwtZ5txbVv4 0VV8uCzkXKESRiOuOU+oUaiADGGTDph54xQ5cUJvG0wRg+xeWEvioClCtCFh3xNE4fEI+vd9HHHH rntmzyjUrkWtkerkkd2vLcKSMkknGLkYl31Gofbo7EQm7jgOHiGd10YpY6nQhn4JpdkWZVKAIEFR 1EWRCNieISuSNq8TaBEbtNVgG8cj5uQ3FY8HDcl+U38y/gizfM7sMNSmmo0WqqC2c/gklZocaju2 V7ZnBCGBZgAWUF0+OONPNVve/yfsOvcDbZgb5HtGQoBOe3jFSLSbnFGoXSUQjVjdBl3+sKEjkujE qAOPB2Hk9EZSZ8GWybHXtWcEIeHveJKF5kik8miMDljlGoRkg3TOKYrq2ksyLUncyC9IW28nI4Tt D7kRfaHtz5Tt/CyNOO+yHi5b0dtGJzb1fthFwuBdJIATtDJO0oDHI2ykLyM6RgClWy5vdIacSOpC t7rcnaxJkZVFttkvT6vTCTfxk4IpKuL7EbfNwbfaqr1DUfmHFtQQC+MUAR4AzzWktk/lf+ttAyAS 9cV7o8IWB8PYnumawrNtPh5hter3ToP4SduXEzkjDIkc3M7ZnO+JbaZI0BC+gLZZHdQ+o0hbolql szrEwatseTeYogpDcqYGl9HZaWigDgrsdtxoVtImqH1GkXaTInSmB+6E0aoUsQD8p0zRD0S28Hy0 kDytZc9WN+0Nj0JSJDHTOzcnjkpKztQ0uaZAHJ3wHNdeC/VHJmtHaJXbYAvpZAnprETcxxu+kycm pv4IYLsdp1Rm19smaRW9blT3L0KPdB8M0ta3NhsEq/B4EEO2bwESNLHOmvDNtqIwO8lOuVy5+taW lZDyORO9Yzuy+5ivCEQCI0SgtPsdvDqS0OqvS0QjGL8ThdtBNhYwsVyxH5H9VwgiQQ7CBowPKrNV kH95ZJ80HY9HYj/fHO1MkbhLilxhRZtVtl6uagQkru08gpqqF/2XK2utMMpmozZVuZXWZ6/NRisN GR/AAiNRgvwkDefvRaW8I5MzKNLcetuooE1SLaFI5+I/U6QS69m3gEvhzD5bGc9HOjb36ieRHZbM 9SJtVqidLf2R/iBsR3cUCYiejiIpbhu1QhQJG5EFjqocuJqZrq2Tf2QiPmBP3lC9I5p7U8wWvgX7 CY/WT8N3BBVtgdpavYO2GqqAXLhnF/DxvzIRHvAgjozLP15RlN1ZQTq3TTIESVtP8yhdTztkpDqO VgjUZrU2jd198HUErg574thqZ02patTp1ZQIckmXz0ZI2wor2pOlo0wNkgTOSbEK0rk2WWxFQIHn o5TWi7XkQOQimwnH2K5QRHvQdHOX7hCStc3s4GzY7q7l1IfVLP3Oi0yJoSQHx/wvZCOzyzaLRXoc 2pkdS7ZPU/vC2Uy9bgSyxb2PTi8HKnCzqggXc5JHTxiQ8Xx0s/k0MR0GymLgR7NZiiLtRJG2vK9I 25mbZiWkKSl2OriCkKxZCgVIhpgRqiSlq60J+Bl0RZqktNte0ESGjO25eCEk8QOlZDfcpFgsvuQ3 oA2OaR+UskHuj9XIOvrA4xFma3ylbBQNBovf5igKtQHrAeJvO3tkS38E8mMnfK3eiUQFUXMUhtqk 1kIL0QdHbLWUdicde6PNthOrI7CLwmueI7F3x0ZkorzxJcEcsSxSM+3sKu5bkWVh98djt58f/bMi cmS/c+SArTEuXHtFsXZZ4thugSCoOePkkTT6Nhc7RIaqsq0Qrk3XTETTPviZNOFqUag/WkdGgQSa 9YsS5Utp7b74kWmvG4VIshoTbBtBqKBIDSpwrC6izLbCqvYe/wTb6PY+Xf8VcyPLN7r2bDax/1n6 71d0vFGxm5LaYVlbm23L6W8/Tn9FDcgplm09/9sFjVAPHH8OIgB2T84+bHrdALG9pDxCEzsOymtH VZ0ZyF2+Y9D2v+oaSSU5AJHusR3WtEn6W3U7NaKN7fKjQ44RFPtFaUxzFOtqs0RN3SwNXmY7GciA CQJK/w1Wj7C8h1u51hPa/vd241fiS35jatU+7qA228kpGQpDLbPi8fc5Wil/B0lUshsXQ7qjYHvQ yCfXm7W15iqIQGU6f4TGhgySdqyszQggM0hKcu0yuvY4lzXMTuFzcaYIO2zClmv8DCB6gBp3q1bW tHcUbKMLDHkWspDqdBbS+QkHgSdAFy88HtTZ6j/qoyghgY9qU/QebOMpI0d48l/m5SGfY8qTf4TB Js8eu9RwHZ1d5YPvtXQW6pzSsSsg6tXOBQQtRMDJEDndi40K/ztEbLPuPyk7oUF1NohNynG7l4HH I0XE7zkCjq4hGbI5ChHbnTUk2lco+adZr86jbCotRco8mqM41madtquU1PNVH1tQjF6ZBFvgIpHY skAyiOA4/2a3llNEz118R1RmAwQ5I7KwQTlbAx5Iihl94/E/SZFyg5pQUvB8ZIesyEFgZKtOIBll XBW7tP08ys3FI3YIH+HkJOUjHLw1AmzWpHXPmYQFzzIikcFpHBN3AHNe6x9Ne91fdFrm6PT24+AB kiivsDPkOwb12jtJ0xJbcFl9jkK0dtMZtNzfnohTCWyk2t0qokMZV3P0OysypzV98AAJEovTvQ4m 3OTpV15YKUWrPF9kBI2U8R0RxIZl/5zuoHWE804xIOhs+2+hP6pp0NYFGbvNURRo09UUhTbfayNl t4soSnEpQjKmr6MQPSKIDRJlG5ysdSJr8Km2tGwSVQPPHFWmFhC4IJ9NWO1lb/ubp0/P/Q6aIyIj +HUVR3ZoWpPnV14L2znEUz5FEXgkSVdr11uLzMYlAUs7+xTR6ldTFOr8CepnlghzOiiyU2Q8eVf/ nHZQkYCGXaLJJ7x9+u1m0yVuRyVtOEoBEoFepAbVazvUFqcqZ3z8LzAbexLwNu7pxtk7irOr/CJ6 dyJSkoBNI/ppiPN3QshKvHvnJ0XoEZZHEknaHAypBRG+1UvWMkIpjZw/OnbCJ+FnU59NMoCU+d8R ekQwtl1Ejygm4QGfdBnN42M2Ho9utRsdqfSEq/8SkXYUZo8t8bGr99OXG2lUqKr8GC+yIkTgHMXo EUEdya7lYFsNuGOQ15PW0Qm6ScIZSJ6gJ4FI6RKQ99Tb/mbu03LJPthxBJ8INbPrwOMRwWZ9pWuo cs1y7aF2FGU3grTH7MmmaDKXliRSXdfTd13zmgg5MmV5vMcdvOxPDnWlFCK6SlM+QAIhQVFbFqzC H7Nau2P5EV5qmctouBLMSQdgN6gm5Bx4PMpovehPPeCTENOZV1NkSJ3X6IhErVlVD8FxVB3tDwlm A44MAZC7PioW/IEBGzW1OVhthCJSjcpaYHeco5beLA0yWnAopBzlD03suc7shQPyKJpOoDWzZVvY i1cyAqV2VmxbnvyA4Niu/0hGInNE68MnKiRHsvRfaMMKhE3a0x3sgestKpVsKopJnj39pvZHt4zi g03U2Q1nO+VKoZaTzA7oYUMOmX5s6BfxRDLNH8ba+JbfqH/d+v83M0R/cEoScc3M5yPS/3cZKQvh mx4/pNDIZhB/3JL3/rv8jie1Bkf1YhsWrBwRUgjX7uT+NVpncrhJCR6fpvvTEvIHGNdBaxU8q5+M 77zeotle+DeNjcaq7ySHU4y9c7QOVlPBVVv8gCBY+i9gGxqSuzwzFZa4kdVnoKqsLIkc1ErcbSef KUaBNlO/eUSetYTszwZbUtDaoq0v996qIkUsOnlsIiQR/97mbbEXjnCSrLZhK9igHPd8hNoz6PHx +b/o7Vg8oGH1crGk9GB7D5kq46R0S5Odsn06o+Z2kgROFN96UZUbbi0QP6BgLQdHStBGrdGmDVTu k7QTAogqN60QM6vVKillsknxLUHDRIoklcE3Bx3lJ7pYrBRh1fL5iOH+LSSZUeBAJflOVFjndpkk FW4zJGe6oQBbqcPPqE3Fja6Pit1IgCV5Br/xQGundzqayg2+vovtAHTroCupupIVKNO2F44YbrLY KNMHm6gythztzq/O598nqub0dUZBE2qMeT1bUqhMMqVs19xqo6jNRJC78ABYUIDh+IKKgvApcluq d3BcSQH2phvMbQNwajC39bMRgGeqBOosZ22T3xIJSpEpKZxbuzg3+HdKVAd/AJ//i1XK7BjB2B7P xovlSYwmkSw4aIs9AW68lrMFB717TyCnsOBNX5+lCFJ2OTqgYDx6/t+dR/maJ0rixpsgB22moSB7 WvGk1GYvHKQrWw4JxYapShE0BzphCP08xOf/AgSyVTlx9NdnnqJYHJQ8xOLDiW6jre34kl4uanKl 4h6AkUhJYT83j5p9sGAcZW7IlvAAOind2EzqOp380JOdrT+QwGnv+5voNrVmbLA63N6VkWI738Dn g21Xb8rCFhOS9Hz57iQhBd+bCeeql6U0t0NM+nzKTGUnn6eo7j3Y8l9yZ1lt3H0HZawNuUyspxO3 jkLIW4bu5iikSa116W612AtHFi5sVE6SSzlwouBapZIEAJ98/k8Ojl5vy9nbJio0lZxsxuVrS0qj Z6vHjZGqYXHGNXHJgaukylavFJNCGXoYrwOMgyBqiz+BBn2h9/D0GAoaFPbCEaNbB7lYuBeNc9Zj rbL0TWPy+SjBy183HgK70S5kKYfGkhK7727YRrxAvjW5S3ubObv5ZuAsicqpVJNn9kHztBqSxT0E NEWRUjXyjSJBBcW59utCLouSHDtLUny74sNs0DTlSsIhq8WVzwcx+T8SSlpOj0dZ7C2Z6XHTk5cu TbQEtQIWUVgroPmUagU5NJdUc7dAfswGK6hkuHednc3CGxxjN3FLk27pJ7T9wbT5NJVm7xtRliTp wpJeYwxjFZXUBMpJdfD5v6yT+Byqp8AG+TRFVXBKU2fSZe5qqnc1cfJQUhnDJYJy6C85CKNom1VM DraaoHN45hnFJfgCJKT6QqR2lBtRZvP2rkox/JJItaSiSQDejg06T05e19UrOKcyn/8LKCCdyQYr gf7sulCMW4rhRIhKbaK34eW5UZaV50b1Dm8OLCZd2bU0ljI5OFRwE0CfRDc7v7o8JiQWiu4aiFOe t0hMKYcmk2tlSSjbYMDccxHUykikJ7iVhiaTZV5HVyLmscjnbWDmwGUSTTXWwCXkxgJUNjN3sK9n e/Zd9X0XAk9YpCuS/+fgVIETKqG/J083XNyiCmQKGVZiiS6AeSowCHwm3fm2g3dog5BeaGES9oX6 BJ+P2k/fnFNw2Eq/pcwcGE0CwyzsSSme4FFhXSz4afBB2HE5oTKHTpNLtYIqh6D6OASdi6gjFsM8 dXSKEmsrC+isCd/7AjSxl+pUhAqtJmUN3Cqvu1b9upvrTE+R3URZfD44xy9sUP9lKHNcwkAOzSY5 vWdWU/ZiXXWMTs0S596EDaTk8xQZ4HSyJXYXZbB/UQbRMShQa0K8DQbkYPwEaaIJUNP+igpqtfeN lhN4lZkCnRoUPlXYC/MvP0cYn4/EOdr3AdU2yN79OchDvPeiyXRZXoJK2Rk6xWCEFDGH2KfNU1gc J9htQ+zEBstbGqTvxlCtDrV39nkXwycaEEMi54KZ0rQXjuTwmQBLxpyD8uCznYuabec34fNB3nKl ldTaW4AKP/MUQr5ZWLGYSYrmyQ1MUjNbk3PhoS5k8xTjUBgULQK6OXjfF4WbzZAG9eQTai6q4XbG pegPAev4b+ISuk4WMizaSnfQguoNuuDcSrPx+SBxKbcARSUTkFoWVoHNVOw8SVBT44ko5aBVu6Pj qMRraFT3wsuh9SQo3ECh9O6D7zxYoaZOe4WzicGLw5zSJmCywAdGpJDfxLDwS6J+C2doU6ij7Woy 3bALpqbfDwxY+XwkIOiFFa4+BJo0V7JpihUEuYroReFEi246VJnCQuTqLsT2KkCF7pMifiUDgY9n QZ2EZqOyQvGgc7nORDs8OHX9oB7y08Y16lgy6A7dJ3XfnRyu+qB9B5GzrPZmmXw+ojTfuoqaEGTn P17voaKJ8ju5u1AEbubhyykNW1mbqg/aeIEBpYzYzo7L1Afg4ACwdiZhdWpN1Fmxnsj9HlR9R3cZ TSIr1OWa7IV/laLa7N+pfMooGjqy04C7a/MDopD8n6McpDc4h9yZCpEptDUplCwSwLm1CwNnR4Ab L0GlwmYqxoHzDM/pDpclt85loJnoJ6xdwHhAzpNWqqi2FSku2qW37Y0DVIGKdHPYYEkISOaTWWUv ufP5oGZQfabU9YN0Y3nsg0Mnyk243Pk53NQMJCHH8FDJlbnwyG78lgMrSrGAabJUfLgEHiCdyyR0 IGEbsv4yEZidu4pux/m2zeeyF45YlxQ134KoAM8iOUkgOqXOh64jPyBqJ3xrdyE6aOVrSYV2lEUg leREp76v02J5HHI3McKaqBilokNKVicPyRmupuc/ie0E0HRojzN3Y1MO9/OYt3VOv1l+ya+iS8xe NFhtBZoashOqjc9HC+qmeeJe4jB/Op6hI2WThqckFuhynndykKq8qSlODYkym6fQ/11oHsDMbLCq wQLMicn5iS+hBbclKgQ9Saw3dCuu7tKa9r4BDkNGOZDTtEHBAWy7WTU487T5fFRc+QdeCHPT2p/l FAXlPNnQonfsXFrZsXOQPHewynSLsxy4UgrwDHzBvIMtp1ZAXszi8SYQDFsmuxCFMh4YhaywJnDd tBeO8CqsZu51B2ExYJrKLjHaFXw+gjx/gzFAUm/z4p5yaEypEGpQL1lFKBXJCViZw4pQEGVIPk+h aY6BDGf1wc+nNMm+IcHwxGJZKtUdDGWEDT+UOtPxJDm4HDpTypK6V155vTpkhQhATVNG/zywpgT0 yIsGJGXBneZnfJVXQnfKxPLKHLe8MvMyLa/ahkE0ay5sfmmiYid4qS9wU3G4dBXgAGnTDfb8iSq5 oABJPwsKbR8IDlXJfW+1hUODysHs5Uzy0tAkFQQAPVG3JAnz+aj4e8t1Ym6cNAYBgk1UaFF57WAf Xbji6GfJIghpgMNEB1TsUbllwkBDLw73xivnBrY0rkDLemWpni1Mz5JGu7XPiRDPoUklo81FoogN BqaDgDGrKyySBy6V36renRsPVkztmaYoKCe0HISMq3w+h2vDlZamNRPQ1vVpioLyVdSdksXQuBZD J5vPG6LzDCbPZ66sjTcJOcQBlS5KPC+V6wKnShyDcqtIywftvI4Tg12XczTy+Qi8+p0NU47pcanO sVeltBj7ve9wnPxclrgHUMBO+DzFSHFE47PRy5uD53hnt22AMn74m1PuFqEmNGQ2ETG5XjR9V3cq tKucJPbUwkizAqCjypF0CxMDqJ75AdFEpa8bD8p/0Bq5ExXiVooEULJbVXe6lUsApbVrw6wbjxMV xuSLgJXGKgsHR/ggYB447cCnO6fKwL6DzNeEMzyKiwh4Vv1qnweeldAwY1kzJWXDiDRFOzzp6ejy NBuZHxDUDW5dk0nh2axtPm282LWyUXdAjvB0QMutWn2l0AkKyQtak8aFzoFtpffP4Snog2PG0jkG T7TDq3+0RPVaCOES8grMGDiajqvPy144agwXIVYI+QZ6VenGhJIhIyCIxvAD/kL4UJcZjGHw1Xyi QuAKVgqSCZNBTbOPW16R7BCBKyWZXkwO3SuFhNpNBbtWH6hmHyeumSREdxitwJMR+NZzhMo9hAhE I/ukbi8cXXnYerszNOegwsH5LYqAKxv1lcDAUt3G//L4RKbr8xSF5INt2TayuzO2scyUGbWdS4nC VrYFFQFXmotXZR9sQZ1YB/ZXOsphF4VNODeXERQuf4Aecj/d1e19fyOOjZW6DwIaJHDHCbE4lz2f jyxj7gFFFSv0BfOT4gUuliCIJCbAF+DTRUekbgzxLipsznnnKbaxJDEKC8QGrxoMILzNVQ9dgILj YfFKPjchOKGPzRchWfyWYOOJPbaJ2eSghgK4H0LWnYCGz/8VbEqmqUHV8QJXQh9LGJGhe1e7ycZ1 RL0itGb25OX4gfa+7bsoJp/acJqn/TVPJz1E1UasXxjGIU6kgtB5WRIhweB2IFRv9sIRApEneS93 0IJq538Y3SLZ4/NBqPk00FkvBkMFVkTPkoo941mIIgRT2JXC9ibEAWngVKgetxeFUbs+6jegdFeq y8G2HkqnFVcRIpzzYEOr8sRSQD4Ch4VoE/U8a1L1ZW8ceRHJhKg2H3TpQQZ2yKEaeV5gaAkU4/g6 o876RlPh1jZDQ0uhWvPcXofKaRvpF+5mxfLhmZo3PQNHS2hAIBGGdIUP1kU/f/zuSPGIXZln9RO9 AQUfZEaw27lyzSP5+0bTRBVCAFg5VAMbICHmnAlsEFhaYuv9a9dMUN+NDUJLS1IaEG0OL9eRVytH 63Z9rca8WV7oablIcoGvig9eXQHafkNxgxCedq4LWqOjvgmf9B/cOZbkDcVQgaelEA3ouczhg1UN ylzsstY69Hxw4/V/oZpgAo277UJTy0rppknzAFUNiKAVh+MypcHh8KA8cLUUepnVgeWDJy8Qnuxl y5gJ5y836IAiVaMFB0VShRhLxd43QiDS5mkJU76SaVSe8Mk9Ik+utPgBkdb+v/rfpGzdJC/wtTzz NOlCsNsVJcw3F05tTosMxrgxeWxsyQZVlc1VTRd1P9APhhw+i3UrocJb5RKCnAGiVZC/UOdlKoAK jC11YaFKt7oPyoXP4wxSWeDg8/+L3x5aPlR192mKNcBRiZm8EeVLlBxpcI7bbES8DU07Cwxib0tS xdcki4ODBwa1nhVVaJIKsgtFLeeZsXPHDyKrRApSBKWOZ2huSR4n3TN88G1nRU1A7Pj8XyYgKoYi Fn8QraG9ZWXIVVxcHxCVZgF5XbNdxZR5A4PA3xLLiRN0YncfvEEFGQdGVGi7FIBYkblM6tCBcSXI vSNXtr1wwJ4itTxX7ruMfFQKVSe2KPJvPPdo4we8H+PrejhRGQOF1Uc1JQcml9lEnPtenuL1dv3A irrpQwYOnrkELpcCAbDF1n3wosF5EbAVF6UckIo1WO+cLwUaI6V/nK5kmJ4Dn8ts110dknQYLi5D Ox5pOZSt54Mi1PrOXIAY+IH8852oUKcwM76cX8LyYzjmvhkFBiQOqqZyoiLsSuVFV1a5g913ZW1Q cIuJ7Ky0qmRTEQlBCWzRXE7qu2IFBWaXqMLivgOkygc7oKCAyNWwQQoK3C6/IT5EGlj/3osrn9fo qaOmScmU4f1O+l3aeqJbh6ZpoBnJA+o1durQcWYTodDHgYOf4ieqOdubpziQOB1FApzhNFLEUQ45 DKdO4WVfI6cOfRnKOUzmwhw0RThJlyxTF55+maDzgtsIi6qNYf8O0rd1IAbTs6QuB81HhN7Ybojo /0MQbVGHhYIXzZE9wfQY6RUQIRtuMNBgJM70twJ209RqwQ/TcahnyqnKwmHpVHqdH2jXcmI2I0sO mp/UyCpAyLTw9Nv8oOa0bH7y7Uf1ZjP2eY2XzlNdorJ7+gVX3A8c5IllZbqTn1VDFrxGS91hKtD8 8MGDyrGBNk3K1xBgSu+JukQwdAdi6VoTdL7r+/qBqPr5KBg+2WBoQ5TExP7Z7fMaKGF2rXgpjgdh xu7Vmj6vYRK2V6NOer3s+5rS7WnmXZ2BMIZR7l6DpI5KjCxAZEvQLuHuhP7Qfs0SSz3HRRtdZxB4 GgMS0/s2Vgj6+LyGSB0hTqFKmvp0xGBZfxyKNUQSrJ4/rxFSV+TwzA+0nkGWs/l5jY/wEERQWBH4 cWGi4RDoSudRqRNAp1NOstH+2uT7LEVH64mOzvUySqOhHuiVu5DHsjp9fmEAQwCjb7BNxd3X4Ki7 gENLYm4mayvDRW5WCVz2E2u/hkb9MQCdPKFx6nVFsvSuCyaoyo74cWweo2cHD6zlFbhds3ukBhM0 BR2odCPmYAvoLBl0e5m0wdWwgeEFwR3c9kCXgo9wm5gMs1+DIpyWVH0/k119cHpdlldK7at8XiMi 7hXfYCysdPBniAGjRdTr/Hjlraey7gJqrmy1U72ggULjT5haRPNDPUKCcm1w3srZSoWNSsDkoEBJ XE+FocxM+HnADvUdxnTtNRbCDsuStUjsyy2I0LBS1s8HdwaN/dyIn9dQiOdXswnCbCDAgWSPTdBr HNQtAzkLPTtXLEsixeSIXEIWpF3TIg4PaBZwFzEDHGyCYCw0Jg1tQR3OuG1QcEPXj8ZxQPjeuLrp Zd9vsCksBSpNNihYhDCK+ConNPq8hkBdGi7PCYQ8gA1azc9rSbIjkOeuyuvqWtATTxIyj5MVsH0m jRac0JDJp/FQ8+EyWKEcL7WmticCdjIzgXKk5EGBwY8B4xZNUV7LkZgfSn3DeMsHldjg36NOZYEK YDA//UZAVIvpZ3fMQvE4amkEE0TSSR6SHOAVtppr7KySXNWi1KtDFB3REh/EIWGDLyBc5k3MMBQx 4AZJ6iqsQTbAufgdHA5Hh6bXGiR+hE0mQafxIAedQBBroAwRq0avFcj++OpM2cVtOMaySyJ6Y7TD VFkrw1mGbU7HT6Se/A4b8JE1lmE0Q4oOFz2qOXhN+9xh6G5LqOGsoEzl2LQK1T73D5kWrq7bpD8Q raEhIes6fNAaQuDPhhlgOZ935hw22Vxfmwz5XNvV19A7cQ6/i0QaCav9D8HydbkoGtQC7RQaYJcZ hScMpIUVJFGcg+cZZ4U2fBrTe1J7OUcAIy8kZgW6CdbBnYZUjw5q9dmk+8VB59BJ6sRobCeQ+7zT 5hhK9685QoV+1OyR9DtrDlfZZH9gFC9kj35PIkjs+EkExL/h34I5gkwfJqdlH27Cej4e3VXspBNW n8ueweJE1kn2BLQULBQagugGU9SJ6mqd1F4Ohn47ARdr9VDa+bxT5rAg7lbjrlyA4NzL/p0x100z Hsmqh4ujDa86ljJvNPSFwAlOa8DncEzLDb1eN/QTXZ2/pUPQ6CwjXMuLy4gyhANCuuxP3tss6XXf t1rfoqfSoomDimndFQ5OCIeOyN8RtSD0cBqBCqHV8IJ11M3AantINLPhlgFTmsMnSRZELM1Gk0Su YN/7DtYPgUX82WwU+0R6g+4IDnWcQh3daaBGBQ/MiAb4ttFxJCFUThVjhmqcZ1gBsTaWEwppwSTl 3G7aysoHi0OL6hWcpiiy7iw55tS9gp1k9D1oh1Kzw5Qgj67U/j20PrlZWqxc1+6DbbdEeV0iZU/W cbKSSraY+tNALovybJVZWg+9U+WwYYhOOutl+qD7qI/epWV5dvbnnSmHMCeXryMp05b97BOfpDC8 JuTtJN3uGdNJxhAcvqyv8NonKYqvuwjhg+EjB8eaJiZphTSUmun1iPrQytLuYnPvHkrZXvd9khp7 j93ECOewDdfQLyesq828P+80OWbA99xWD3w6cpnfGoXYi5eb4SFYkx316f4v5wyM5TnIO0uuC4l2 bv5Mo8+c8wM0HbCZQAyMy22ApYNgihk2Ia4ZHX0j68Ciml/yPklDRl9bRl9kZnCSNsBOjJxT5+NB FHmzEJrLoqlc6g2S3sNsQJPYUl7jlmMlRsxyrMwHqamDlE3l2CjOHrzVWqM4ansgXAsAZqBSVJZG yVGwXbo1o8wrpUb9fws6+R5n4+SlDCGkMm0wRGCZhWdS7RDUiQLtnXyzyb8aJprtCZKiSFsuDWDh 2Tqq0kFns6i6qPVe/Zasw1B7kA6+iMni4HOEK79C9pHFDiHp0E7CwY0i5i7lqezb2wZzROVYKv3b oAPpnHrbvdDW550axxBrfydr2P4oZ1i1MYq121SN+lp8g1fhh/a+TEtY1lu5MYy16fOZS6o+2KF9 foAp1WFUIU+gCPHQef55lszIuBExe6pXY7b4He9326YuDCXVbbCrDYR5FhxnyZ93UlwXmvqZIspY t+JH9jsnDhNLjeZe9tUfrt2vf2HXdWTvbtf/OyWuCw6BztDqPlg6grI9/xclI4TVOIHmQh3sB+bD +AX6PY1YdHynxOFeQ50ZwpWDA/pI/BaUGDojV7iVf94pcVgRqX7lbDgFqX9tkxSVrSfJS2Nl7zfO YmpfCJebBZKZKgxaR++xNqp6LKht5mwcPGcDSHLvoUCSon1UQodN3/kncLPrcnKoTf15p8MxSJKb Ho2aOkoRagmdRVk7T6dxQsnPOxuuy9tRc8I7DByCUfxee+fCIdoevPzXVftuj14crw+z1sEBy/Po nQrH4IWGcVNCxLSds4TkBDAtFXbQOmiafaPViOIYVlKWlIcja6h28k6EY+6vCKndwTpo8FYZ+r76 eefB8TwbNkeU1y28Ae5CioLtRQVigX4URWqLEeyu+iyVc7IH2+8kuH7hImBx2+DQ5BMwjj7oFgvn iZWXMpLz89DHJxMHrwKtLJreOXB3t+1NfS8Ohvs7t2SVXU6an3cGXM9XwWOaRQM+bQ8vsb0z4O6p vd2wChsvPUXa0W23nWVg9gPvBDhMUqcT6pJH07oeTSeyJiiSVdhBbsBgFRvrKSfkbcTP1SmRYpSL P+8MOPyZQkcm6sZz4CwtFBWaLJfn+rwT4Ljax3eEBCBbes7t91Bbmq/0ZPa0jS5ROreX9AR4JIHk pyPpPdRGHCH2W2o+eKFtnL9/NRbaGuwysXNRItn1Z9OwD1Llt5e29LrvR9LigT0GGfLDVXwLu3Xy MzsH4Oed/MYDuH+FSGh/AgbscxRF2sbA2a3/uIX1tULNqXlqu6790Dv1jSuZfUaZxnOwE+ncbedU GmQIIpdDeCq973OzdEZIDxy5DL3tb1M0Fy0sJxJdCpyUky9WObD1sffnnfjWBQn4CpHO840C+5qj sKBN0Y4zG16OFPNHIVKa7Z5I3Wok77Q3VpuZ1yrSrv9E2lg+qVlLH2ScJN148vZhGgOEuZtYLr1u FGpTs0P2ehyMpLSqaG8nteQ7Butoju85Qglp3tz/nfJ2b7a16tXWX67UTHKDgSF3vTHSe6R99hot YPrg4c3B5uhsWqgGKJQ+xy4tq3Fmne3bAIJlhGnSupStfOe7cR1JfoK3PweVkQrYjThOEFp83ulu iEKfMpJYqVDx6rdzHZa1SQtsI7k1cxZWm55xlO3T7V8cuvZOdrsl29bTHWyOKlhpDbUWQP8TkzaC tlDrAEmXNiC217AyPu9cN+uNweODRq9Tai/I2HDaETvazqH/eae69cefyS42iDe29nT3o1gbklRI 91u951Fy9ExeTz0SF5vmKCxrMzQC8sEHmyM4RKMpjiLpPLFFV+W7ocZbOqOUsw270W2K3jaaI3b2 VY6ctxx5Fjlg4cxqz5H5TnPDH/vPtQb9IAS2PkVRpC32e6FmEbdakRVBp+jwcm39jWuKuON3khsR RkxqM4/slp8j+yRnZ8qmKEnzBBfQ54N6DkJc0vLW5QKONvS2QcaGij/3rg9aRq2iR/UjkaLPO8Ht /5zYgPKCcOJTFMXZjTpwVa46lSCR1RyarTIJTiNauWiK3uNskUewNsi1afsaxZ/7DUcQGoCMEaEK 2wWPrNAvZ3Pmyyg+6XV/S0ZQSuAAiFu3IxtAH1znJ6H+vJPbWB3xPn+R99DZa1LX5yxFkbYqSN0r s+f4lj2TzZKr5UmsDWf2O7eNzSisoFGeQbO0qey4yY5aEJuo+m/yJMigEuRbHKFg2Oed2MY5IhQL Hm82GIz2xPVslUCM/vNOa2N0sr5yEb4QVFNtht7DbEGoCPH3VvYJ+LxTC6CzdWpT8lvtndRm+SIO IULXOdhW22vByII0SdCfN/6b6JChxgbNCbyDN0eYirxT2nC/EMY3VOZVJs5VtGeWRkRLna8YraL5 tdVAxmn99mrfCW281BhA5unpWk/Jg+xE0VEVR6Yb6r7z2W6vtmbNVB5+8U/Q1xHzSYi5l1XolaZw vMBbPaUruSiY8TufrZumPrjN2QfDQ8xqTbaFn/E9yEbgsL/S/nNkokzgTbZ3MhtPbJK266Nm1ovX 2JKMmWWo52pm71y2fvUlluziF5fRZDl7dihaNXK0oKY1SBShLxyTOEA+rqsOEcbvVLYv2BoVg9it U8sHcjqDjY0zdf3zTmXrxkl7umxA5Yx5iyPvVDbMUpMgXvVUhH+mVlJzQyIYWWQPj8KCtrWPcvPB VxJ0bjLqZwizz60GECU72u2HgnaQCrgxJA7TzzuR7akgJU4WJU6FnD7r37TxwPz5vBPZsN22X/6V L0gbQ3ShbJqiSHtS+nzP5nh1KG57DQnVTFGSgau1aYpq2jObSknzwRtIYONkKS2CAdPTU2hD8oNG Dm0sVEQii+2dxMY+GdPaScdYDjqVJjT3GCOdz/i8c9j4kt/pSGVH/UK03ilsDACQsjWB/OSPAs0T 6uivZbB1oCAbQNhAQcYIEq6lnLMPtz7ScHXy4IZbYKq0+jrjOb9APCLTyNbSoN/AO4OtSwUf8tnS 6BrZxN7mOTVrU1Oozs87gY0b9hsomgHdG/ke3e8ENhZamcwWyrUwkKR6OqLHE4NwvuDsgrbkT0Xu /85fs8sZJbacfPAuG5QpaT6Lo/ucdVL3zBRpHmZY67V/6tp93vlrPZkdCkxVfBASCb5qxLW1Mufn nb6GQ+E5ldiKhMfHKN5CeqevsdK2VfHv3mZjnGyOsdWdBjptXBBKvrPXeL6xo2+grccQ7SQLhd4X hPuBK5qJ1hqQS8oJHkiFDVuTXmZh+52+xmYF6WuZct4tu4sljGilPHaiMj7+Z7xNoyZIb9TmoeQ7 ee2CRtuq2Wbp3E0+S0glbL9N6SRgKcUBN1GjNKNIpiKmwvZATYwdxxMcnXtfZaQCJ/HN0gugzy68 TNrDO3ety0EIIdJOPigKWOciUFJyJuvzTl2zRp0Fk9ycE7qF6yKz48o2E1qJmgprU91koJZyc9uV 7eR+Z64xDECo1AZx+xx8kk66NQhYR7x9tkahPCydLdnlyBU9ViW3KpK8E9eY3FIRHqgKG5S5TUSt khJu7fPOW+umCPFAtihmfPFI77Q1dP5lgCKj705YW/bOfy/V25ED97TmKIy4dftvhkp1317bOlNw liSha/v85EhXpDgMK5NEM+ELRxI64p2z5lMEfaHsg6YIJgw8n2rf4/POWOOu+aeJhEr66l77fyes 4anOMlIp3o6sXO2MJpcYEFhG9RxaNkVhxL0xN30yAOBgU9ROFpIWupz1/AudcpJIqHFOGRGI6nrA rVUUVbW7OOxjZx9sigaSHawi2Fi+k9W6hORtq2E+QFrpOO1tjqKIm6qkLobLrKQZvw/+MIYrJcnT MMjvVLULIBnSNx+PvvkCFRsVciqVdSDemlz4UJ5IqBfUKx4xCCB9Z6ox86KDdSPKn4PvtPNpomHh Zovi7Zy/eVgD0i913J0Whdsoo1Da5ua2zdBHEEtaj5rbMJZ/C3HaFJtslZpSHOw0GiByJmo50MYS EQBlzGZ9qD5mYwnwPb8jYGKRlT0Re9rgYC20pvB1567D40EU2b8jJCp+jXRvtTDUzoKvM1mjnQD9 JyUMmJfpuAEPakDtFhW15S5Uce/Y4Ac26tp1bZGNOsyyWbBF3Ql+XyeFgkaC6Uxqq4X4EbZrM1eT BpVI5qwsxkNTYeDxCND2XY3cJBNWv9R6WNSmc06pZmkCbbLiYhGVrFuJRbDRRDrNe6QtNVFUj4j6 52BFJDjkQEReUpyVRA+iubCM2rADW4G2jAR6SHgkUmsbGjm76s857YR1gcYgHw/4ItsvfnpYoHXU bm+kR3E2KoPQ3rzVyE5VY05Rp9C2NFratMOoR/iRsbCMxiCabTwe37sl0EVGkYslPOIFWEYhtBKr kNrt+jN0xpcEyUiR95lO7GonNg7skixhO8lIj+LsVPPXpUYSy7gJW4+L2rSrKdORETMtFzJvzerb QH4OKyP1qKhtAaQJlvZr3EGpd7SLGGYn5LSE/NPtbMN3MEsjWBVb4pB6FGUrF6nsFsxKaRyes2NA AIE4/pPN4PmA+nghf/SHg5o05Jd9lkLyYyV+pCVXRTLq0aJlrJkvgCJRDT/SozB7soOU2riDI2zP QQpKDUE246yflWnMiOOOhpnfVPXNtLZHYTa4QqiyZRXb3LUDxZxhRp+d7xjxQ29BEpcgrStx1Nok RQxIps/i75ngtGolqI6vWp0ZQXEnTVIMIKGm5Ex3cGZEIoYxGWQNORoutoaS/yxoF1eq3uryZ0Wy R4XtNSR6K2sTcNzV+ZngsDHhOntw4vngahvt62pDa27U4QFSj+JslkNOJEdYLQMB4jDk8clwRfpa o5sjYw9pkCxJVoqSa/CVNM9sE3JEsv4JYTKuto4MZNL/I6+r8UM6Nb4kyP1Z9m9FxbaMZhLD4wEW kKqgpW48H+23G0YSJYi7vz1LKSxu96SudrUKCfr0poZPaYLM/YaNoDJSD0NtQh63OU89J/eA5eA5 1tlLg03sWhS1W2iOwf8clbILj1jDXjfYbybEzZZtx2VhAvYD6TL/cqicfXoUbafb2MbbQQGm49tt lt6DbSQky4tt2awVYBMHUMTJdRP1RXAqkXPGtRQF29sEfv7bO+/c1eeKprExJMgwZxQjQcID47rz 1oUYUnlWUnWzR+VtQO7OHOG2tUGILaCABbOteX56WN1u5ftUOifYSWwvLbtHcG06CSXU3n/M2VNW FJsgEkICUGzLtPZBuN0jEIkZCYErwoFFHcaSCGNm4VI5ycnZfAQj4ZYAX0vCBX50w4Ou4ksiZQih 9VbxQWUkhhQ8VHYqeDxaSN+ctoxzrK15o4Ao4AaIDRW2Xq2M1EY3NbtC4qvuN1aUNElRbXsRqpWb 8tZ2xQ8gSApReiFt0JUSrG1DXxZiP6i1OTUy89vxJUFuK1p2ISiZg5HayuzC/p2ABo9HK8kjpcFJ gl7Jzh5OjijinnIyWcv7JG05g6TiLPGjO3tuO6La9pTH2Wx3cDbSWUTnP8zMznW2ah8yG4JCP/2H sZVJIDEV7hFF3EgBUFqgWg0HK/9DhLuo1JbxeBBxP1AbhufIbfK+HPYo5JbAbZ/VyRFDUBv6LBG9 z4W0tjdJRlTaBvL+jfk30GEDlpGo9gr/Gp7tFJ6izkumVLmJjhV73YjGzpq2FOzARfph9/NcdwPy b7jXkd6OsLa9bqTk8rZ9X8z2CDHbdIctqzpmu/ftYqRpbq0kKCK6G9WIatuZtz/6axyIJIHXGkS0 cP7QjCrBx6Yzy6uQS6jQKSvjxgA4WAa+I8CQNlFI2Ujae5vMX4PYPQtB/fwPHo+aJN98LYXszU+k ESJJOuEjfXl7u9bpYJtJzQeVAJqXAEYUcUvOpy06KAgCzikCaOscP7Q7xxwM+OOQzz1hyUHT9noD gPNLDHxH1JOknk9VA7e2C5I4m21KA3JsPB4cSPW7SgJWUxvjrqIo3jZnJRwRV9K2ej1Sznk4jwBD sCmK4m1ZMTf01GxwkASkxlgvpGX1ibcp3YYW4k8HwjFDmMkYbUAr8nWjA4l6bGjGY8DL8DoqJy2X bu85OTOej9bRt2oN/GgB2PRJCuPtJhFb42UR4pZ+3LA6ZzuQZtsGARhRvL0I2C6ZFhMcPN6mS9em GhsM49OZM1q7gCyFVKa35OuIBnT4jmCrbapIQxfIBt3+lH0hW+tEEXg8OI5umYStNma3fXm0PUK8 9mhaSE6NbEyl1dmeydkR7ZoIjgivLQ/mJihJ+4KS1E69VtJsIWWKVBnlNqAlkOv+QOHxwrWr3jZq tLHoj9ySQ2ZNqCADktA2k9sRhtrrn2VEcEu+91qIJMnyJ7nqPmma8BqiyOW57YlATFxsRKH2JFur FdVuZeedrQAA3ffNalsHhbeJ0Aa+/WTJpN0pwr2D7/glPlr0kcVQHUhSYEPIbxznF8DzUZ/tn2uN PgBAENokhaG2aP+lu6vEKDdAyvRC4jrCqWuT9HuozSK/DU5FOpNyThAqtHR4C6CVc6JI6HRO9iGh leCbjVHkCEPtLqIWbUoKZKlyEtwfn0rzQGCd8QFBBeA5tlnzhQpmb5dEMsLyNmFJo07TbM8G1MaZ VNsFSWxknawBjLC8LaWWQiAtB29tQx630Uxxg+s/q7TtMV0LqBJZUakFgJudrxs1beVpyrpkBxCP /SNEcXlIXfzsIDwfFUq+69sA0c90+/8zirYHnU2ZSklgu1FHmnp1J21T3gaQ5DJDvBnr+fFaA3LZ BkfbniSOaoqchNaJvYDXGE2U4ZqQbmNbNNsZAkmkQYJCsA2WtjW2AykCXfF4MEXtG22LGAVWZz5F UbCN8A1eYlX2pdAKHybpdzLbZPaBmxJ0rJLMkB+5zb1l+ODB9j7Lqqg2i1tyUWoHvNvzAMpBGVDD i7Zdet1fewA4OG2wy+0c2wxyzi9c8PhfGhJcRhTouknbjEJtiifnPW6oPVml0N0mgIR0Npbd/zOk RxLQnqVbl79068b5DvAlhNqqjZq84KGdfXNOckxGfnQhqY0ww/o2+f7gZPugGgkQhU1n8ap4PJqj 78sNPJsxbk1yRrE2CczQ87tQ0rWdizTWbdqu5MqHM6xuq+nfnsHbbeeqHlMgEgjDbKzJswhYhEXh ttHy1axMl942mCIm/8RJ26ApOv8aRkVOGY8Hvf95p4jyN8THP3MUBdu16KC+sr4bc+3+EcNkfdEW SDqzZxRsD8WRi70kDhdoczZ0QR8SwfZZkZXg/zbo6gS1XPjGuDQSUdszZEfSN2IpL+GgCgmIKawn ngSn4vGgJfnPeYSkvuOatDmKa9tEj87tcWROwx0nyzIWFwjVy+cowpCAL34WUBGUpFwgKSR/YBBd TFH87AkyuwfKkgN49FWuE8mk3eSMYu1K8/eUJWGXHdZ2NmgRoQQOlHj8f6m0TZZ+b0tyRhgSCpcB BuM1pFrqtClCidi2WsOpqimKCtu2fsTF4uDUyHN89zaTCWislgn9Y9Lc0BHUFBmjrehtoxObq6hV G5r3kRC/M9TOreHxvzJ/7FG6j5TLHp1RqC15/9bL9myEHXWV2dLYno1AgV+nUajzp+Z/E5K0rXvx n6wMZtabVW34lgIxCske1MyAg+5pPqX/rbcNkEg6jSbhS0OGrkLZriShyHOF4vEIrLW+pgiEx7Zv 4X9GEBJicwFoS35gj2q49rNZtvtwnhPKAu0ZBdrqaDcFkO0JICGuddIZeHYQQnIufFbZSNMb6C1k QOK9pk1c+4wC7UYl6DXoQLIAQpEs1jmOKhPzHxiu4vkIi+Q3P0VI+LtcnZYZMiMbQ6KSnIVMaWIr j+zl7FGkE7bVQg0Skkd6ZnLLwXE2FVpHnesImja1UDxqoecApcfzd6ZnklbR6/4SHfHw8cHwWjk1 eZWeb8LjUQTpBAlWw8Ei6b35ZltRlJ2I16ZloW+2YpOUWX60I7sVw/6vCEUyijjaM/nwhJC9kq1B 6ShqJOk8Gn71X70fcf5XiCLZtD6wzq1TnQu905pQJLXi8b/0friOAELNl/a3wpJ2lfTI8ltt5e64 /97NDxBl/2WwvxWiSNj0LzltHy5a+5zTC8c/hKPOIgJ1HsrH56M6pKcRCDoWaW697W8w5J4I1m6b gn08s1Ec5s3fdy14PsK0ze/wCFSFXm/df4Xi2VWKf7cWOVbxQ7tJkZThEUTfNEkhjGQZxHY/ENtG Ojt7L5PqWmiGlcUu22bnHupe9EPw6OgEdPiOqMxWpQ+dfNBeQ3OOQEPUOfF4VB75B9SGEta4mkgr irL7lOrYus5aOV8Bsj7E3QILGW1mnEcrhGoLYtNkudm+LDfbwpqUgvZZmymRjDQQmIH+f14xXU4b MW0rrmizhFQpQYIo5UeaGDBhTFMorJP0ryjMfs4jSvad1TSkVe7TFOpoT5EjcrLiSGL4h+LISeNM xh9JbTFE+4rJkWRFTCKyOHiEdO6zc3gXTtNCx43wSGIoJk10ITl+oTb2ulGpjfojEvTfCfuUawlW lUko9T0zng/22/BIG1sLMFs44fkkRZF2YgAAdT9bSzBMtgrSfCq2CI9tkmIZEvncUhpyj5vVdsj5 I+OjlO2GHRLcNdA7LBBqxfk5vT1SmDriS36B2sxKbU4NStnKuWK2SCF94PEARHLVflm7YayFbpBN UhRrryXjSGNpnZRNrRJaI07T/zkXNNBnmqQw1i5iaqU7OK8NkohQz0Dqf/6DuA/wCIjYLxrWUGJT JaSNb8eXBJPEJmRr2wa12QBoJ4AHkhCp4fGI97++LjdgttAn9TmKgu0iE5bBSJKEmzYMEgE1jG42 dgvYLlbZ1u947ST+SHr4I7A1hXhNJzqinqPX1GzBblnA3lEv0iEkc+h1fy0hATmI4fwsQiOdAEP2 2TAxwePBwb0vZEsS3Od23DevXTFBksX/UW8k2dz2/vxPH178Z2mBwtphXVuS4+eQ8cHrtXuffTuo ZleRArSEpC0NUwk6n4ajhf3aQk49viSAbK8lG/c7KLGdkF1gJHkSRDweabN/15CY+9ULs1kxOxJZ 25rrarXRiOI/qjRvh2zv6uCI9Zu4NoRstZ7q7SGNs7eA2CYc+YRIUPcXiVRtW8jX13u9kWuIL/mV rSVZWw6qRUJuS6DHc2Hg8WgheUmbtRSUjccNknZY0iY4IvftaVsb24m2lRhzkSMAWGaQtENypLxp pCOR1z21ZwYbYtUtcATUgzobR9DHAjLpBwGYO7WypL2jYLux7X/W4vDBlhEyHhPYWng84th8S/6R 49Nu1X+/B9t4ilb3gkMYSMJLkfCSt4tt41iVRHsoQ9LZGlErMj2tSGjworqWKNRW8rmNeGYnIFwX F3+/htslNXvdqOzP8yhJXTtdde2CO4Dl2l4GHg/O7P1dQwLyvuFMszkKIduV5dq8rpFGWs5qz3JM btQhWdb333GszTqtyv71q+x/UrKewdjFHKAEPNiunWgww8gdavs+Rezd4zuiMhv7tJBysEE5W4N6 MK+qc4Pi8YgX+Q/FFtCI1P3E3iEtkp5+pHcYx0ZULWrZUf1Z6JFeTYB8h+iRIpVfcow43LQW0KYt Iftz3yV0Nc9OAy9iwHHkfP283SNeazsKtjddECjzboPiozSp2UUzQ75jRCC5INtpiW1Ltw25Q7w2 FYkbpb9Ej2hW3Ybaf182Sec8tmttx3htZiJb7aP9rKM9zkbrg5NUFvJZ2oqtTAAQwLv5QiPgysG3 /U1d+//w2Qq4TuIAgM+2/zcdEnAV+tp3HYWeNUxG4FjgWy2bXis8a7qhkHICPUlTFKNH1O/f2wc7 sc/mAtiyX2VtCUgswmUg7imtX4G119DbBtER6/Rj1uqDTREUrnkaVZzYoWtNdvQIwSPnq0e9qciO wCNJ6hEY3NJ+31VUfIpQLzYe0g7F/kj1O4dn9sFWEfgvdZSkPP9cO1XmdLJsOEfCZs9fveze9bZB uXZQUhPldRtUru1EgKlwxsf/xCCxLgHuAK4Im6Moyq6kjxj/kbGklG1ARMq0qiBXqwOTR8rfjtEj krPZwwdDjp7rDqcRCf+lQYFUBGREMw0n+6ZIG/E3dCDGdwTxI5WjKBhrg9PZhhyXz8dsPP5XlU0V g/bvzR/XtCVAdiV/+jZK7dlqnfVJZiIso3COYvCIyv7U+ufgICRYjK5Nvj+ozNDJoXTUyQbOG+Lf V8YWuAN+R2TvQxGSSXQtB5ujxL8VcXMdeDwS1/jeaoBDIhf2KYpi7Ea8/6SuCqdoelu7QMvDbrVc mDtyq0W4kUnV0ToZP3KwrTYhXV1z1Yl8thiwKgAhIUsCfEsGrAIgs1i7Y/2R9QZAPgfxuRTxz1bm wONRPvsNGyW5d10BMgPqvH0rZSPYRJEASa+Mk4hkl+TPpi97N9hITrHY3+IOklvtugxkXMZn8RD5 eIKAMaGsDd0IJBUbqcG5AvePQEoZGa6+JXAaYy6L7jgHWjlonlDqZd5/7nd+QAQe/eYhsZ5T22P1 Ewr+sYRUk1mxg9GGGi7AI2fr0T8CvIg+nNKGj4pCbRaPer6DZbUnid2tJ5VHzlt1VILn+bM6ODab zpzzyv40f+GI+ocZmokG9hz4LXDc29Q8byc14fNBQtI8Z9usRxLgm5+JChEk1JQr7CYbqm26MmJf 3ev/a2SzHcspRGuTFQE9cB8c1ga8VmHAyFwtAU80F2Tcfk5ciZiO1GmzFs32wsFEEYUMM04MoFaI sgcPzmICSTBgTVHMTSjcQ9oCIq3tG3TnFFW4BzESe2ZX/d8zO0aC7hNSSEr1Wmyk2CRSSu3tDr6k GilVlJAeOLQRs4KBe3bcpmk4wt/buy32wr8Vb5vMkdo1R4K2WTHNljH4fMRuv80SzMkGm6DcBC6n KPJOYkmU5jXuzsKrtG3yw5K4rQB8VCS5JeaWat3j6iSOcwadU6uwXXLmCEYwyE8SLKo2/fcWqZKM OzP5pPiW34w2gC3wQWf5bkWZNVSY+XyUoXgFl2C2jB90zf7MVFjoXuwG5O3srYmESBC3JkgJbVNR WrHjPK50M3SSKnl9VMkHADInTEpWoEybSwpSIrA9Rv6JPN0LlGnbCwfpLjffJoVPg916eF9+YU+b zweGW/WqtxBkee5HJjs+UaE4CbE3iWZM/5FTa86XeNOdwVVbrr6kojhcbIAszjsHX1LwxkuJIK6G jLpTMjHhUKEkesYc23FOx2V+S6QqNdioLM0HlU6AglEEdCabz0dNgW9JgIZ4bI9nQYUCJcQot1m2 xQcsjVl8MFOz+IDOCzZPYcWbeICUKAbMwU9zKNvnxq0HHCkAgjjNeUYRow+SqZVPSm32wr9xS9Fc 0LBUK5qUnmcuex7i8xEk0M8oljUnTv/6xFFROL4lL6nGAG+9bsbaZxXMfnGTyfk3OUUyJZUTVOWn XR8/bSC5QLsFO2JX8N6gHjNxaZy/leJArT+gQH/fKDogAGf14YNX4taa8gJsg89H++46khLfjpB+ zRuTq1n/OlFlPFJAjRVLt7Utna0i80XOzScqrHwv1Srr8sHLcfDZJrgIcRRkpgsgFIgRfwYwE+BK X8pbLfbCkZWLWpX9Dpyp87liXkA2YvL5IAfuNzA3WTN43lzDu9BcUo3d3BkSwLF49YfR1fIyPA7O ZjdODLEmrO22JKhAuhbkJ/JA9DFULUmwTBAgB5XGVorblJn/Ji22c2Av6TtvV2rfczBoV8mG6U5j 8vnohPqugIO5OdrtyOXQYLJp561rntiV8KksV3xBzXYXVOAwCRQotdwTQV4cNE8b5iRno3VZtSPR I/Urkw7fgVbr14lcXiX5F4fJJnpA90HThP+u5MpWqXw+iMuvmXS+y6lez7vYYzLz1xTihMlw6qZ/ Aw6FSU2TWql6QQ5NJhfl3OqimCIHr82tASWTogttnCRQPkIoedPOBfA5n6bS7H2jjhOrcqSITsqo cQEUpI2E6PRUB5+PegW+7aZiVJQYnmmKKuFLqJOaiq+mkr2CmURApQbONSzJodHkYNoyRkk+WO0J J/e5WDa5pieRAbWFoFTcgOezUWq9ohxsgufAaBKbDgyKSuSTBp0nVLQ2hcDK5//ECvB0anS/fVxd Q41A2XGla56crz4wrugrp4TbyuYpZFOSlNNyuYMtp061D1ZQ6M++1QkH6wt2fjCbYHeOuYsElXLo Ngm+AYCC2wbzvjvZz9gWF8C1NHSbLNNPJ74dqsJzPadTpMzN7eR7jduum4Ai9ILm3Xa4D23bhQE5 BYPOPV988LjghFCAxwnBDBoTVv1G8iIYU0YZ13FM94Wj44lcys7rbvSrpjimYwbPB/H5yALHG1CM KWDwV3q/dpOB36TXMxlHWo63iBWUZJBRv04yvIpxvHNoOAkNRwQEVHmV/7nFBdC7pcgCNEzO3VDZ psMePIkWNCaAKPZynQpRoePkpIti79Tm5KBkOEGskXCJE4Tw+eAc/6dTh4yvl4utyIHlJERMplqY 1Qt2Pbm0EhSXLCDfyZmVOfCczIbTRdTqg6MrcATo6gdo4FwvcsJFJQVOwqja3aigVnvfSDJgyVAh +aDwqS7cqFxPqfL5YD1du2DxT3b65ujkwHbS7GEE6XYt8+xw5t6LM1AbFHtsnsICueLMyTufg80T 4rBGsbETZ54TaaFCQeupk7hwi6RyGXGQrde3BKr4m20E6nRrUCZ8ggLVy6Gazef/UjOXJAM4wvm5 8GJDHN1yBqjEenK47tlt01U6QCX1eQrjcYJveJTZ4DhLAP6Q+7BigDYXFZYyrfPInamAO/2TuYTu k2j6UDwo+6AFNeCUqZbUbHw+ylwu6Zu1FXDz17oNlxw6UKJsC1EzW0akpSzHx237v6L/e0sGoQWl uPGwLfXBd9753RB+c6YSQMFQfdmVNELaUYITKfB3bs3e97eey8jiyGciP7CKTt5PF4SzPlbm85GI YPpeUJMOT/cgD10oTUGAsvFGtsD/hVpUwIKffy6ymqF6zBsvtKEcLEEVUQjLQyE8UcUEu55u5ueY PRuUAPCOFvlgNX9cv44lp+7QhlIX3kQZ3gYDE6DJLMf3Mvl8UNQsF5QiaT00WdeznKI6+WLeIssV XHgQeXHP13XPdGjZuHdw4EQp+w+cTKqTz1snPxdeAsq5KBFO0s45mxEGXhtFlXOtXyxhrsleOFLJ o1EXRc0WLYqnoJMn6uzcS5AG5AcEseYNDYh46FKsuVde4EaJK491gml+r4h06mU45WFOAgmSJz5T MRR8aYqyD45yWsRpD9lR5oZwk3KCic68MDGT6qJdetveOEIW8HCqQy2FYckL4FO8oklX5vMRg+cf vBz1Hp6SQWhJuWkASyNkN8opbm4GiV5LhUcbnrwEnpQuBNsSr76WrrsZCO2N9uZCD6w2pErNoBz3 Hy7a2zmfy144ol2y51krB/jMSlIStpTUj/+BBhY/IOLKXzh4teigPZ3hHPtSmsR5vRLnyXk8tazp vMKNLWkzFSNV7HTaPjiYJ4GOv8RSyegzV3rlgVjXcT8T52Pwy1ztff8/Y9+V5MqOJPvPtZS1QYu3 /4U9uHsEkjWdUad7zAZ3pm+SWSBECBd/Ci9lOudwUNFgQHRW8m618floQV0gBtX1UDH8ispDa8pW raLi+tSrumsOnBKva85ymGoOvCmx9Zi3DGUxYz5QDAgXZvF4M2IFYcgSkzuIIZTbeJHeM78kwGJk oudwM9ig4ABC01zD5582n4+C8u/mMNEvgPT7NIUczCbASnWkQZbXCfFzPFcFWKFQs+68sEo+6bk4 y/DBNt55f6iuTYNbjIZaP6i8548cGW3pQmIY+T55+QtHmBU5wRMdxkF4jDSnWBgQq+LzUTb8nbyw YDCfRl7oUNmXaXk/OEOD8Z5tR+S1uS+W4vP0t3cOOOA+OMmwQ9lUpjAZZnebfifQ+YRq8vih3JnO J2nC5dCisoqtuskO46BkGKK8VFCsGT30wKMyfTUTJC94NvvYVzk/By6V8Nyh9MJ+inWtehWqZ3Py BOsNKD3NU2wIz4ZnVcRZuxfroGx7klUK5J17Y6GKPRlqTtTp0BYEy7FOyX2pMxwaVYqrOlXUnIg1 m9V+dxX7qdbO56My1Po+nzop/OVOVGhVOSlXMRL+tW9lOJ7jrUwHGyAm0vkUe1W6AUPxwW+8s5bO TcoFBYI4DQtBpGucni2ZduugEyOeQ7NKNCkgMUw8pWCuOsfh8ffUyAO3ym9hb+072DG1m+SFbpWs GZ9s7loxZl6NFhisar2EAeMKm6YoJl8UGKyVzTwOft2hjJkaq5q1ltkBQoNuCfYdTka4iT1Ig24v HCANhDtslInhYFUoOM5y452kic//C77Kqia4Bvu57mLLStJ7u7BhTeCx6stp9n7DglF8nv6S9wYU A61MDd7tnGcz40t+KFzdEyq+J9IEtnpjEbIjZd3OruZU6Fopk6FW6TqAypwUqFCFGvQgPwFUz/yA SALtG1IP6R/Q/e9EheRMenuItmxJnvt610kQlSRQpsug59i5knixLYEzDp4Ln5v3hOQ8oGBgnQGx nUjVC1b+QPkXXZf61T4PrCtBhWYWXIiGYv4rpwCseVXNzxGW+QFB2SCVXyH5Bh3qmajYu5I3Htmj 0q+u2fXiyzZ6Joi+y8tQgXmlH1BpM9BM+waavUFIO7G1f+asTdreoORCOTAw6CG+4dD6vOyF/1KM nzyhJg6/H6UbsESbrGuOcwjyAyKQzzcfCjCwjt6HT1QUkbMrl5CbWjo85Tig6oo5M5VNizdVV0IP y07bajh6+OB1KPSmRueVdnLVAUs6TBQsrRZUPTNBiEb3Sd1eOLryJvlipfpgGJ9lDapzh2Q+HxXK fUGJcX5+s5a+JioKyUeT7sBafuWl7aoxEHn3kBzgXFtREXKliYDQqPTFwWODs7HXkGbsWVtrg/SA 2IDWYGdC96P1jQ6SvuQv6tgcLJdPSQ4hF4YK1BLnJw0+HzHsv9cTA1dsX5+msE7OK2+xpaq6ZrvK MaNOhyGe+OduvDgkZ+G38+ZL/ZHWhYpx613VunN3VoCiwPk9uTqx5fQRto1Hcg2/Jdh4WTWDPX2w fsI53bugm6vz+SjW9EI5uzTw6G1fV15YJ2cEBSlo043rmjIyWsGvFqMVBGffd2FITgQ5wEI+PPQo 2FnTqwHOCBXcBOw75IIQfKVcnAOherMXjkCIbFCtfQetJ4jSE56DrcHng1DzUSGkzA7qIieseIKo sFJOKBQYcFbYpEw5C5uFZnvlP2CzA7pihc3Q0RJHEOlhd3Co9Ln10J3cDHBg2YPC74IwnhKnn8r2 lbWo+rI3jsyIiD9sZfmgO6/nvNUcTsjyAk9LwRifKAp6G71cN6IcmlpiW6NmlpwolXsxasKJdC99 YwG/qygqcLWETgZ76JTP02CIDDgFFLK+gVwBKVH6TqiGUA6E02TGn2Tb58DVEtMkDfmWNZj9B37V PtUaBtQgsLX8zvLk2UyjyHuQh7aWEm7qdKJQtW64sVUZqA0Z1AAQSiV5oa+lxJq7nIk4WG1lnjev qymYxHpCcQ7kX3AngWtDO9FyvKEQKvC1zJbjjZaqD6oZUFiBYLmTxvD54MK77p8K4MEFmnfbxcaW pN1BO+ACV7LVVmqTjANzYbCAbTXF/E1C6prMrds1tz5XGEAFnXXyct6sAQeAouYEbARLHuBvw4ul Yu8blcnZdqm0bhoUzOWf1mA7ySjhnDaLHxA08h5Ihh3kbY9nnkIF8GRWctND8paSIxBJtZXIFWFd mqe4Ss4a3ZRR2vwySmsnvMy8qwEwaSOxtrInNdwzHOWH912m4qfA2zLRHxicMtoJq9vOVPjki6pH AT3L5yNumdfIi0jl4E09jeHA3RI5HnHRbXhgkOoyXPQJSkzYCeSy5H2XP+wt2U3o6ib0p5tQYbwD pxEBECfYeAQgnnkaRAyJF6QA6r7wHxH5yiXdwfZdYfeE/LLK5/8lvyNlo81i8Z2nsETO9VTmDaDK MpJQQfTszjurD0f+BhaXIrwAiTGHDx5AtZMfTcLFdz+hPSw7gfxlxAV51AwplItc2fbCAYGKBI4y aFJYgEKTRhWUs1iX+YEZIj/gn4gMsj6AQKyXF5wDo0vkG5KX29lDzXl1nMtqrlR0Dt3tMxVyOiks mxYh5RwsIj9n1MzytdpoHuB//VCpsv6QNvJtdyXf9Bx4XWavGmy6OXNQCAVhziT5ga3no+rvd5Uc Z86Z6nFjzdDtEoEOKh/NbVPg/mNLKrGTz4la3NSaqAi7Ulmma3K+4mA3HhyhMyNLUKhPHrOp2MNs skP7atFgTgK8YgYFfpci03eqs/mgI+rcqS1b+WDx+WhBfZ/kmUkUjkXN0+clflrnOykgnckGsvWU 94VkzF58PU1gsHlCvURP54Og5MySZiZirOYHMTYalM0nExOmYYu0xQlSZ0ecC6jXpU8henqJnfiu 9N+BoHjxwdCsoycyXc4n4en/miC+4DZxefVHYZ83gAZsimuj6dnsHDAPIROP4CspXWdhDaR50RwB FUwPIIOAT1NmjoOHA1Rm26wsnevoXCub+nLoyoMV9pMhVmg2DkvH0uv8INuQZaqM05tvNaiVkyX/ AyWTz0vIxD+1pm7zw6N7UKSw2fy8BEx8CDErYrLptDL0DCzzbcRtUBEMHVbNz0u4pOWjqHL27oNH lZDrkiva2WPnVltdhQSUGdE/gkzSdSfofNf35YMW4jl26I+hweq8C70JFgd2+7xESppcq4Zr+UDv vJWRbXpe4iQtH9qAnLfz1i+uB2tpTpaGpXWxvTDwEiXxg0aRREGqPtjumsDJTHYtz5kP4/elIwgs +gGR6X0bK5Sq+rzESPyKrG2lK20RguX98aL1erLh/HkJkfSnju/thcgQfAGbn5f4iA8ZLmUMVyZq c02Pt8nakUABVrbMZIPlM8TehB2ODX7rg23VgXg8Se4ADmzwLisgACQAaBBW+P7a1Nx9CY50/lDD gZg1G1SFQ1ptvmcn2H4JjfR0+3X+ADOn9hztIoMJQriI8+fKEg1JW1OWiNQ8NQlGtSbBS1jECZra NYUm6RxsASUgxGGKjglCJWVRsgkKc9AlKGgYPT1MxtkvQZF+TelbDqqkcbD5OeGVpORO8P15iYjs Jmo+P2xOoVgpoX1M0Es8pFOdVGkmd67btEyUCO5o0yuUxZFNL9GQTRANv4ru+vK05BL+kpR4f0G1 BpIywH+BSjsZhzYUlGyLMWF7iYW0xQrtUei0CxR1NxjXCUqToBW91/55CYV0gE2fIbKg8hVtpCZ7 dEJTtel8vHctmSqYIhGVuCSQhltGesTRCd0lai2By3k9ZJGjoXafpEaYIa+MvJ+K6CcqOd8JRV8P rMkkfwmC9CPIYQ//vg0KFusyC+2TIObPSwh03/DZYWgbnT/eb/iXmqR2GM2sRtnJYQLJCrYILLIf 0btVS2VfKpJ2OkhBthYf/IaHoC46JJyfDbh0ITDuHH4bnFSYUTswjsI1n5d6pOZn0xalc4dxUGR4 FlNj1bUW6ABGV1j6NT+VUlHb5uelEqk1S4r9BCTMNljqDg9YzLtVEsGPJWGLKAISAhzGBzZ4M7e1 ev4YUi8GJCiKRL9gvEIOY6FJpqHhaNL0UoTUb7AoRLRVFtlGgzknUIajnpeNXkqQOoGmn9CkRhHf OW6EGEXQKpd2EeZk1WginydEzJdkOB/YUhRCS163droQcfBeEmq1BREhyL2tAzuKFB+x6C7ASINp 4fq6TRIE0RIaRFbSR0WDltA5FdoWGXOAsxrtsVm+1pBUfqqvoTfenH4XLqK0rasNrPwuDpB3p4+T 4CCmNwZPuIosAho+PGkGmmtyH4IxOi/6XSCSshAzlkFdNEF1+tDrRuc0iTslLx90DJ3DbVe5CVU+ HpzTe39F0os6z9kj6TfSnOaIXNGZq8dCM7UbC0kpvZI0t72mFsXSmyTVlp7B5uhkeXCxaE2ttnPF Jkp+Ae3cAQRBR9xDoSGEbjBFnR4vHUQDGwwZD3Uy5hoNFKf3aBp/7LAp4qstQHBS8SmKwulKBZaZ h2+14Yk8ih/boqFMrK1BJoLDupNfUfIsPvhltoW+wGED51igciEdh2sUms2mGuuXWdLrBvlYkt7A uoOKaf3kLhKyLACcxhF1/rruQSMZvdxl9B5SI9HkxNQbUs+yLqwkP6Y648Jv3mPqbBwwUL58sH7I mfbVE8vUmK0TJFb57qC82uGMhq1BcGBmb+HzxpT7uvBBmMGAm1fwqzYp4oYj5hyhnzemnN1Oy+aI BDBoZbS17nkUhdWiV+RqgoyAKGWDcpUidXRilHp2lPd7XH1Of2GXlwiF64FQQtbjHBPZCPSF6nTw H8AVTmUfcp6sLEvnoTeenHZLwizRl84GXUYDlqGqypbyeaPJ8fGW09dmy0CkThCJbJLC0JrKaG3d yLH30h0Kny5uedzQ+o0kZ2uSh7aMh9tjPDxRgE+IqNnUhnhJIpvQTYRJWrgnUp563fdJYkZ1Urx+ ByN/zapAqZ3N/XmjyFn6275PJNjFocFgcxRF1yLOr25JGaqMq3rnn64mVj4bLqsThdfdzBlG98Hn aJx0H26KYgsMaBPjRMIyRbf7J1NZbpinTNfrvs/RINQNMpAazC4WLPaq/CN1Pv5++fd1ayBsVQIj pRo/J+k9xAangmKWxHWqFNscYwNzZq0pdIsgm8xSbBRjD8rqDxk0jnK90GFVDSUVlqzP+bpwu5Hu hPYRWF5SalTFWrjJ9xjbtKxW7lRq5mA4XLg+q0wEPZ0oyF57fgVImUaj7YmQojCb3OWTr3ZfSJVh BztFbdxC2oLDteYojLMZPg71H0e/0mhw8DArZqSwJ08WjSCRjYTC8i7lqepPvW0wR3T5aIsmls1b B2f1tZ5kQEhcRBRoC0F8ExEwLtby2/+NE6fbn2CkIjaq/rF5Ma2KE0dt3VK81hgG2pNV2Cz4bX5y /QX87Z5K1Robd41cLwpugslSoD+pYjWmi1/yfrVtIiEWt5wGu9nyUocIYhWfN0ac7TWfI/LCIGPd qtcb3whxt4jHbqyXQ7r3HtGYXfWe2cOo8m98OC1IYbkz9RcE4VUyAtGJ2QQbGXvDh5hEVMAUN2iD YOLf84glxzc+nIWBk+c8YTYNBVr++rAwRHPlh04W8/PGh9OZX/z6LyqJnFDbrH3xtVGoTRrd+Tuq 32yzusf3uVB39jgS60Qr6T3URtJfRQm4g81SRyTZEmVhxrkhNupL55xCcAI04HnhdRk5pB993shw lrIxnZUlyoAXgTg07Vz/wo6PEyx93rhw/yfYNlkLxAvTJykMtqfEY/v1+urTQW1qLrD1wRyCJ9Ib Ec4qn9xug/kIB89H0LlJ1Ec6mX9HwlMxSSBrA1MMpnq9yBpqnbzR4PQlFFuom6ESB2vxN0HReZV+ 3lhwdpF/57XAauKC9DmKgu1F94GSqpdnc03Tse7C4dLHqnSrX79x4L5y/zJl9jn33W5gycjbELln QvQ9mZCcSR0ghuS+HQdhHk1vFLibYiLMHsMHzhG00JaStvN1nzcCnG7ftb7yWhxJXfIdnKMo1qY1 Ha5Oj7XHvrk/5JVtsxWYW2iOohq2CJUF5TMbPGlLJ0EByZ81bAQAqGGvk8AB50umG8/tKevhYu8b xEiZ+HZUxG0QNhJQZHY1z3eszxv7zWJ1nyRWjJA39nTv/zfym1W/ESONll3NutKvjgf3mvVJbaeB 3N+4bxZJkEYpRRgOXshuMHVLvLzgbzTaIFAEx8tmpoOA4LbS7HXfj6Ql80qzskwupXfCk12FJl5p f96ob3YAt+/dhpQIG8fmKAy2ZWRFQUTpnlUPAGpujxfqctOYN+KbrWRMTh+U2uVgcWQ9O+jc+vSx qAt8712o+A01L7hf7PKAkcvQ20ZTRNrbIt90ocTLmL6ACJq37ra9P2+0N7tavvtFSOtbfnZbVM4W kia3q4qeKWWqzJZZhU4kCIdqt73H2mjoY5v1TGAWB+/INrgwd/qhnJR/W70fEDaw9iGVg+DAXSzt df8KJAudTDQYR+nE60IL7cx3DNbR8p61ufAUdAjuZouCbVR1c945X2ogK0CSOxsMwQul47dP0nuw na2tPzvh/xy8RkLWRN/uUzUBnEeIgIsXKNhZLwqZ9iKfN77bbY2fez8J5Jcc/A8LiJQNhDw+b3Q3 xaGjf60jwLRPGn8b11GwzfVxQrB820Yz2+1fqwdO5zABVUWd6zjYrkzWuJw4+BTB7nZYPnISgBMs sYlLiUE0boB19b2G3+fzRnWzzhjPocUSAAflbChVVXku7vJ5Y7r937yWaS1Stqe3H2JDBmPtud2f cZTuU1S8YwvU852iv4va+ywUHy7yeEKvCa21c7Ki9wIvPUhYwvONQcr5r7qRbYreNpoizs2mGSoH 7bSzDtnbAairf95Ibvpjc/kVQxIb5sXIN46b3f2Eh4ziyl21NOuNFFpgCnacQPQj7PiN4qZNkLHD 6Atrg51GJ0DtgPnLnQlXLRdaQhp/bu/xA29PX0Vt6G2DjI2nEHBdPmgVtZ2EzUaE9Xmjt70c2EDy wr/WpygKs3FmnXkRF4lT1IulsmXK3AJTVOkGxil6D7OdOjImWyMcbBWdax1GIdSboBnmqhKtQomD IrrUvHWj+KTXDXKRrvWzhcFC24nHNFwalvH9c/m8Uds0x8nXEScUlsMIzWySojh7W1rb/MBefbpJ w+D1zUnqqVnh/43Yph+JygBLvZF1eyMAjQxAylhmS2dFweqLBxag3swObnGkk6D8xmqzmrbUO/cd dF6nInnw88eO8nnjtP2fKJs7jZzfepfRe5QtlR8A/Ev1K61MR67X4cqUOfHn5gxFFe3ExB8+Lj74 vY9bmZ4T2AcQXJTFVSZ+BkF5v9f+ZCLyxmfToiehZkmLg4NqIykVYu9hJMRXjBbRd42tEQPRPTR6 I7PpS4tA6/nWRlJzHY6UrX7EhNZC7Dcum90XDI1kYsnBpijVSuS1ieqfqadWEGiSP1Au/6FGtbMj CeZ747J9h0bnYR/UhDxRvBQITl7QP29UNosavNlfNUnoZtNRl5MUxdjkyUDK24tsJ5x2PI0YKoKL LC+yvTHZvju1iQWkpkhlCrJ2lnjRcQRtjtSJeAQSAFZtDRp+/drqEF78RmS7VXvc+MzZFijF6vn0 BkohjhPUcD9vRDabZC+N4DFIHIx5q2xvPDYLjmTJVNx2GGhpW0kN7VWrsl1U6BuNzZJNtkQmvQc5 OLQ4wTJhirld4RpHNaUyOgjJYGGx1W0BJOqBnzcW23f9qEtZgkQ9/vxwiG+E+I+TQn/eWGzabr9K 2oMkinm3WxRks315Ep3lFW0U+Kx+xDK2bIcL5EQ0SVFFe2ZZoQgX8ZxIE2YYkK1ma2SAWdNYPwLe EqlyNccBKyD5+wb9o8x8LT+DBUh7Dk4SfFw+b/w1e8nvAAlWiicb8JX0Rl+zM4mWKPVRWKTUJDX0 xU+ngBldrgoBkDF4pLEDOYYPtzgCh6lV2WProEdyJbG+QCIyWUa2klim/ryx13Q6yHdoEXqWFtWy ydcGO0o6W3V+3shrtlu/AUgZ6N2R78H9Rl6zwIpnEqs5CpFARgRXtACPDcgI7FB4zVXk/W/ctdtu RnmNWngcHD1SwYbMXCgdzoyFAiUFTHHUkX7I97TCPxqTfN0/QiSozg8fDIQEph4rZ+fDP2/UNbv/ b4TElwMCabQ7SWGovRkiDfM/QbeWCZvcYq/JwKDbDeLIN+aaNVJpylwJ9ONgpciZ0RFfFElA2XeB rQUJykHZfGBFSYU10WUWtd+oa1oLdUivrPugWTo5W2Ll/2w8Ph6V2b5bSMgc2cG0SXoPtp2oTWd5 TdIJyZpNUhORhnqBqRoB+Y22ZrebymvUfeXgIQD689C6wXY70d8GOGKiKjZ+EF/+aAJNcZl8hzfa 2nJyBs6iNnwwHNK5NpdCgBNrv7HWrJP5XWVDMwNkMZ+jsKbNuv8QYFRM4H0hx90vtwwQsc7tN8ra d0KiNuN41MpWOVk5KiSYg7NuOovZc2+iifCLwEHT81pVR94Ya8pr2V+D1KcPStpohy0N4dY+b4Q1 LcN0kzY8B0D6iRC98P9GWLPCEwFtrGYgAkjAg9vlppiZYdKkGQknKQy3GUR3wK1tsElaEJCY7Fuf 1XMWDCJjSAGhWUYm47xAJEEj3thqlvuzNzLZ/edg5RFSdpn77/F546pZXty+gqSCKjpqUjZFIXyE QVIbzev+9L1UJLkI7WWQ1IqLB78R1WwdqcjGq20+uEh4MlacFzyVAQaErNQ52BeAGyBm43LwaFvL KCpoC1I75Q0zrzfMiV8yYNcA7Lf6eWOp2Wn2fftDysAk7zhFUbBNn5dzGPVLox3tdmtrcvD6wIkq +kMYbHNuliQU1yOhCGu0xZ445oAaXPxXKpCTDcK/qz7670SOvjHULOvS5Z+qD5qidRJAoSIb7rUo 1M7Zo0jxktEbGffEjkLtTjumwYxHea1bNBPOdlXciquTtRCfTWD2yP0ONkXnE3ODrCjLI7kSqQU4 GyR3yhAVwSwsqQuK7wgQf9RGwn70QYFfZ5kKX9dyxuNBDNl/YZD4yyQvsrUw0GZea6KS3HNiFqHI ll2fs57/epodTIuq2ef/hUOoEczGwS81UH8srwV0pBvfpihgYoNvXn1J7bQQO4I5WrXQ5rO6fViB 4vSSsOb5Yjwegdk8Y8MPyDrUmVSn0YRxNolGoDL9XNHEaQWkluieU8hTc5v4/h5nA8qMxQFIvw9e QKKlySJf/XwRKp08jEAXofMwz2uF2TIQ6CHRUXeaRJU5GN4vS+UU2oJ8PMIf/ypnw5eZzH5NURRl DwaQq0zXPui7upLGSMOaIierWk41iqAjgy2jBe6SDTZFGc3XVGk5nGngQd+hhvupou7E0M8zfypp 9KieXZnyzy2pn21SPxnG1dWg/icV6VGUnep3bDSYS990rYdBNqVcZzNRCDZFXB24uR9TlZEO77Qe 1bMVG7VKVSQODveDhQ3ZQOiujQRnYcSP6GJv1JGytIFVrCUEqUcxtnyZacOLAS0inrNnjk52QH2t k8vg+YAwMr8nKRPIvG8E2UPWo4CjqkWSU0yknuwVuJqZinTUURll9yjKtnytSn/T7W4B1IIBN/zP gRzphIQj81/wVT/p/2+K+h72ulFSy0LbbDYYKLLRXIQ7qHW+Y3Bo3wiSFe0EV+jm9doeMR9BGMxJ JnRShtChPdW1suI2ZOmsl91j5AhTkFaHDzZHE6Zr9OTQgUQ/ngkZZTgrIJmuFLvV3c9iZI9q2ovc 2czddobiQiNSQMVXntRi4vlgksb+utkgyEs4nU1SGGVzuxWvQBIf0Wy7ncO2eBNy34UU0h95XOOH 9MEhSGf1ZHRLOEllsbcJogT9EEkuXFfchy43+JIg8Zer56RjfMd1S4ooBGOXrBhnqRvP/y/bjVIw 7UKQe1jWJpZ9yyFWbGxMtjTwm+58NrN5JuHcjuNsmim0XHxwBhs8GWvnmXT2R6ccKXBaMNUl/Bsi Z1duc+p1o91GsT91bCcycZOtnyeMhLAK/N1qwQdEaLabsdELHRF7XXctvcfa3kJqBSeyOSpwmipC D1R0Cq+3AYIZi0g9irWhhocIumYfbJoqhMn3oCrpiYX7iSd4dINFuxpq043ISJlVUm2zR4XtKgoS BM9tMKgWNAHIpa15fnpY127e9Gdg3mH48sxRBNSmfzdQC2bQnIYQ/zBgRJFP/yh7EdaQegQeEdmv JfJrONMIVlge6cDLcan0Tv8X1rWhEbYWempsnOrgBmGxfnoEHgGeFThRFqQ5WAmp050VzbNUPj0C j6RxG22V5UiI2wKVabMUxttVGPbhdp5t45r4tqnE9dZQlNIsRYXtRSQbiNw+eBCwAfun/8qJrgcE FhIptSdA3wgeCz0iVI6kzCG+JMhsWSqg0p4NKiKtzDVJHPLE4xEt+xs8miGLhnzJadlRwE2EV+75 UY7M10chp9rs6Ia6v6LJERW25xSenRBbDpb9pxM2ztpozHw2bsf/zYolhL4QfIP6J+6IiW+PKOC2 NtJgo2TJAl21f65PFtoyHg8C7nFTWxLhGk0yLw5pRCE3dhfMp3azlTR6rx4o5Vuz3ZAlE3k9LGxv 6UO07oNnbidJQyuMfaQT9O1FOcQOy/TBcmi7fLZCvjy+JCrZMq1dNPuG5dfPkP1PRT7Cix3p7Qgr 2/suJVoNN6l/e7FthHBtskdrrg60QdvHim1SGedaSkIiJ37Se2bCcn5am96LaxOuNZiZtEVFDtPJ OB+qgIFdShxmZdwoAD2Tge8I8KONxxFlkjFYH7+BGiEvkvMfPP4vHpIU2QBeufntiEJuQDlxpVWv JNVlswU+W3EWO8SEbLtFIffi3IxOPd8hkYvBShKJf5Uhd4WOWRoidGO7ybG93hjgrIaB74gakkKP UuRvudc6HAEG8UEngh4bj0eXW/663CAc2RDA2RRFETcvN8BEu+PZp7vmlkWJSq6iRvM9TlEUcU+W kDqowDZ4JanCmHqqs40EKFOWHGrl0B+EYDYuN9HZSBTDl/whx7IySkLkaXeZTp8Vv7uk2FANwPPR OvoG2qBQ0+qta48w4k5d/Cw/kayYTce33JadSCSza6tFEfca0rbnJNVnks5/zssw20eUBKYni//s 4TckMzQd1jriDsV3BFuNd9pqvfmgAOAkuIok6wkk8Pi/ziNiE5DdwjfapihEam9utf6so3o1kRdb ilpHAP5oiiKkdqGuPYBTPtg6Oscp3JEzy21gCylvSch+2qZDz3iA2lVvG53Z9OzcS+wIPMObjRTe 7cntCIPt9Qv2t/mr3CmK6trUyqAqq4OQKblp9Npm9dsNkK9NURRrqwBw5lo7jsWrbHnb3sZCqg1S fCw6JSAOGxh+gGX6FAHTgu+IAiTKsaBOhwGJObdHgaImv3GU1PF81Gb77mpT/x8oGpujKNYexN0N OlYYenRfL7w+PUDa1GrkHIWx9pKkNusA5mQv2QhgwHqmJAIEtqFQjSgyS1waBrD1pm2soOFLglhb dIjCFLeRwMcb/4TYsAnHzjtxwcIHBBWAy/kTHvfEEG3elRTH2mxGSrd2kqll7vCAIXcxJAFr6+aD N8LaNo06YVnqg83SiVwWjGuL+GxwxqVhII5q3k2wwPb6P9DKfN2g4EYNrdEpFDWgGCw2JHqdsnge Oxc8H5VJvin/VIJL1SsAMyxuq95WDTlCDaRtInWokllXuw9yRzo/Kbr8td329uGeSLWjEKPidoGD tCwUMnGgJ9ZO6XbayK+dIYakyo8kbx9u1jaJsj1buOLxqEdydxsbtjj7S/E+0oxC7UqLTjqtIVND 6Q22QgTaJPQoCSfZLFqySjJDYiSB/ACU+2AXW0dBpNdCIXuGiXQrawPs94amC5ROLszWXvcPYuQJ 1SkkycFutg6FV05SL3j8X2JsZAcyu7lSWjNUIEHTo5CPZ9VtlHyM8cd6BTv/8M0yqaiYFimCLQGS NbcnsT3RKFQemdgO0Eo3ym30aNmAzxcABV0NkqoIM6xu0zaiCfTfLuh/rl7pMQ4ScsXj0Rx50sag HFJ5KMDZHEWBdqKsKOI8R0eU5C3b2W/LFsGyzVFc2+adVojo5+DoCHQw1kzaaycnKywj7YFKFxAH jUavZmBqbxtx2RONJhkgcdAUnQBvck2dWDTj8Yg68uvMphnjvMdRFGhXsmtnMRcpYAAf4N9wl1c4 9FjVdoaqfgweAer3wacoTWw0QpFbh7ceVQ9JwBjMcQCsdEEkwrVnSIpM5oU7fVB95NykjSDAk9xU PB70I2+c7SotHVedzdHf+JETVnhKm9m04hzV2q3vts8OsPL/jPAjfRvuL/vgdD9k6GNJtw4Iwkku +4QWEk1pVnnYfvSYnFGcXZXSdply9uKUyA6UGZfGCTbw+P/CP55Uxbn9yBnhR6hWdqKf4VMk5rCW UfHT6JxZ1RQRZlTXXgJpdRZsOfjVD3fKTVtSVCBx2zNfA5dY0K6yHiJb0dv+pU0LZw4NZvneTswl MVOAbfH4v5CRmNtz8P5Q5sWmKCREsvPfhglkA9E+vD+SaGErijbqfDqMIkKkdGlPWnYHv/g3Vkcj OKIhPN6sH22igXiVp/lU/rfeNkAhLWb9mWcSBxXZVh4S90NNAY9HSK1f4iMgOoL45nMUAUjgQcQ4 22FIfZarhyQ1dkrVL8dqzyjOHiwejcS29nAReVxq6fy2fbMQefKQdnYtIkjcdD8kyOWOC81q2gS0 zyjOZmAMyyVibSBNKjWs0hEsMcyuueL5CIj0C2Ir2vjViAwJkYxOds1XD2mZwDhUNM0ZsJyQqRjX f8Yqf6zWbkJorgIfmLXnFoBAknxXUMOQoH0BGZ3SHixS2iStotf9Ax9xbkk2bqtw/gRr9U4BFdD9 +I5RCFl+pSIEa3nCtqIoGwbcAIwWT9h6c1mWE0E6KOmsgmWbbUUQkiGxqNm2D14aOWfchP0EfjQI CKH8hvoR0OS6+a/Sj6j+K4SQUOIHojs+qMRWafmNP7vV+lkxI9L7Rzh7AKLv+UL+VljP7uJFTOdF oE1/UZHbC7WQM7QpCiEkFKWvQrPXLzT7OFujzU1djXMxnyOH8IhJmjV6AYCSOhBpbr1tVBohoVZK dgO+JSqv4jIjsOKnn18Bz0db7TvIZj6DhrrNUVjMHjJpGy6rQdFDg5Covd1/Ef1XCCHZ3GpNW42Y lJ/GMzuPPlbm1V5B8UhCdHuX+weB9Q2OzuGA74gqbKz1VymLV6viFZpdKQ85URIe/5eqBoNNOIug lWlTFFIircGWHT/Sa2meh+ztHtzgH+o0WiFKu6stQm93DraMWgfSvCg4OrdPGyyNwJvlh5CJBnSV cdmIZ1thMZsSdtc+EqKSVj5qspmFhk3B8/86jXCMZQAOOxqDNklRlD2pDJ26CdcYP0JdyKSgCYJR Hb0oTVJIiWzSG0138PCoDfb72WCb5/oudGCBRtLPuQmoblUfkM3U6wZFtslMlqatWIou9HeObOjk cbPNjOf/SR3Bjwgs6phXnn5FcXZi/QiWWbaUSituLAJPPltKC2RfzVIoPjJkOFaTD971P6uoUN+h 0gdzkakFoWj0aoH/RiXYPDbJBsGXBDAbUke7rLf7td6eqkxxC/WBxwMAyS/qKFZIh4ezzVEUaC8Z Jbcrz3busK45Oj+4pW6osSULtFcYaAsSIa1xDpfNdkKLstT1x2GdaVXaCKakwjBVNVU/ohMxviSC IlGFHUIcHKqhZTIcrKVhlxoej0SQfx1JWEfj0mtXFGkXM2tNotQAqU20H9k1ENTOBkNmMtL5SdGp Le5Rzj7YkXT+5ROkJvK0TrJwcjYatxWoCZOvT5VIR4/ModeNshGGj+ymgMTbfgREOtd6Y4callF4 PDi2d/+aIxScxi5eq10hK5LrCBTjG0R2D5BqHp7TghZrStoxesT87bMPntOez4Q1gfL+2SEnhTlC yQFYnPNpuP7Zpy0Qg+brBlhtmUEV6vxxUFK7z27uchMZfMd/9fxZh6SCSb3KoyuKtJGLZngdDk9H HpP7RXNY8bTRydUkhZF2l3Ytr38OvpBmwj2mAwmRN7IIkiXaSWjRsGvziZHG0Ov+FSP1+QzGZctZ rqH1ZId4/H9aSBCxGzdE2iF2JMmIhvIsImpX1/kRYVW0iOTqrDuqZ6NvgDVCsC0Hh/3hMpOu+O4A t6FlBb2Nk0uMinJZvh02+WzvKNKWFdS5S5IPWkfnscqWXj25Ih6PyDW/ukcosbRbP9ohJTIlRZFe qi17+RSN5IZZJ2VOhmffsTENLzQ1IfPThBwbTMgy2Mwe5WyGQi57huTpkszPbdQWls3wJVEBiQdR 23dQjQ2rs8sZogw8HpzZ+XuOwDRt7Zb8dwjWRnYBOQ3n+4/qklqlUF2Qy+jMlgHadxhpkzNDV28b /DwasLDvFB4D9b/BGBDVkYRy6TmPZvHqSOF+wXcEUyRJTcAPbVDCdqKtLPpx6RuPBzW2/E1kB9r0 XNdeHNkhH5IJW6tsydLvMI+rX7dNv66mql4JpiiEjajG1kr1wZHaueI8SgRFgqFVmLAR6DHAx8gA b3rriNfajkLtXekxCjywDQqP0h5S8jjJCN8xUovMX6cRktqGPovNUYjUpickDSyNFzGuJ2RaboAA U23faiFSm+I1baw7eDkb93kfNNWGdWMCnwpUP7oznDQPwbYX2VDgwHdE2Uh5K7KdEDIJfVTAY9tR mD3TdwSJYk4nt1ZTFLrUdG2vfSmjpV7xkWTOGjSGNM3xHaJGmLCNzAoJB5uiDs+AuZLAfg10f1FG eexCAYQCv4Jpr6G3DYKjSoZ/oZcGB00RjPuSvEVxYIc+NVdyPDHtR43vqmnuCDUiDnsX1Uj3fku+ iiQZwVWUpt37O0KNjCLOzH8Z1RSKjBYGkLXD62sUqfsvmFnskzT128fuXW/7J2FUIORaXXLs7NJc ihXN+Pi/kjUyJ2E2na7k2A6lR7CBIBHlYlFpXY9aeZ2wRTsK+9ydnxS11shjk25En4+T75lrIJnF Y0MyVs1OswLDBglkqrKxkMuuJb4jCB/xV61MHLsG22h7MTjHx2w8HhTYZv46r6HA1cFdtimKQuzN KaL1kJvV2IICs4aBd6ECIvoPnKI4xFb3cU0f/Cw6q/ncZew+4gqANijrtBmdsIXr7erWQhiE3/HX WTQrWewcbIpOhFLk8lwHHo8c137baABhmO4qigJso2iVNv1KW6YXiSBvuRBSTctgfjtCjEzy9yig bIMXj/Y8EXAiWPScGiB10HYMYM2GI1eGqwIes0y7Q9GRIv0aEkbXcsLo+dPrNDeWOfB4lMzezhrZ XCD14h7SHBlC563CliWFtJTnY0klQ/efw3WqjnSmCFeG7MVTqDvCExtaNz5olpCdtbOvKO8H+8gC Sb25IMv6g8Ym0BYbK44raW174cBajGy/3ZMcDulmzYlaE7YEuKtanvyACMZevk5tcLPnw9LKKdT4 y+w84geW/2Niv/c/jEI7HetRxBrVBSPUpfoj0G5CzbZxA22IrqCgxFwERsf7/IxUsSG7hg5s80r9 MNDGt/wFiljs2Grgt2RkbKwAQVaIzwfpyAMbZSApYG+71iwpBI8YSNv8DZGcNO9FjjkcXruxN+SE kEKUNp3G8ip3cB4S1MbOxyaWkc4ZAul62P6cL90JRia7XVrEkuFqCuEjaPifmELDgHw0Q0ACL5tY oXBcTVHIPX4xkSGY2fYV2KIHVHB/o4OZkqX9+MeLshlSSR5A2WDmbaJiV0gStjLB/9np5hWG6bjb 0MRBARcNDXiML2qqb7jTShfJ27bFXjiSRlBmW+6gBBcWmkMEkjH4/L9AbXgOQv0o+d55iuJu/p5u LG5Q5HLPqLENiryA9bGtF1W4B7F/UIf0wU9y5D6wDkKOm3BYVZpGQSMOmqQ/ZxU5IblwafNbgl4J gqYzyWX7YNnJMlQ7gns+H6UnHjVt7jxwOeZ4FlRY4y7kjzYXtYFEhnnUngx7CCOJuInQtq6Pig5z piarJR88tmwLwnk6o9CprYwtG0FMDXhCGmtbcTJte+E/uW1yY8/XjR1iKaKv1nPO8/nIqbZ9BQYg WoynqpRTGILLhGxtV0iqw+vctYxLS24oBdmCimLwKRtfwbLrQyc99/b51LONZTIO8Y1MfBuZrAkg WlQh/DAHj0pvHClJDblrLR9UNjnB1JIS5JlsPh9FUF5+48k/2WR5ooNQloS017ZW+7miZNl3Xuoe HQxAjW2iokhcBbgsDpfSezuh6qToBgnc8G2GdwNkk8/a2JQiIPVGpZNSm71wkK5sKdtRum1IIYJt k4Yy+g+FoRufj6CA9euEAj8DYl13nqJoHNkojyXnuA3iDiXfsq0MjqbAnu78F4mTFJUG9pg+eOfk XEb4XIFKTyxLaXugDJAxICZu/QEDTnvfKDaQ6qYkgF2X4ZwRKO6RJ3piND4f7LtfMRThC2jq+zSF GO7JzTa3O5KB1m51uCFPEqS++TH/S2HNm4E259YGh+Cc/HAyGaL7H+Qf2WAixazIpGldmlst9sKR cwtSu1TVPakGqD9HYF52kA/GmqG9zY2hsgmZgbDhmUsOnSQhWYy6tyUp5wuIGReJq85kKJyzDoa7 JIYQE2JLuhS1OXg57qQHqcoo6dz8oEvSBAjyca0UtyUzs036aefAS1KW4UAp1emD0SYXyKs/qq/x +eh8Sl9JMDAXo114QA7dJJtok7t4igdoutdSZr+Om0Dw2zxFOJPEFtNYFE/gICBaAv0WkCWjcp3E V46Bm7LOKPj2azsuZ5Ic20lmWpKN0n3QNCHQtLJlqXw+iMlvxanc5VT7nab3iByPMROWo7YVdw2l dPKCZMWC83IItBgXhI6SS8JAMrvj4Iy3hK23pAmMC1zoOF6y7SzlH5TufZpKs/eNGG+sWZJhjKH9 mF3NSbLlpXF+FT4flFTubcfQG8l4fU7xwFRSPg3AsaZb320922rKhXBl6d7Uu5rCeJy2EqtI/6Zc ywSkcgvm45KPPhMmqnJjdgzOMEjarsTB9ncOXCV907WiRkHxRkGCgR4bXCCz8PkIJHB7cvy3seH2 rfHm0Fhy8RTNPbk+EDSgrTx3/gQvzy2CUjVPIYGSUQGc7HxwkODKAwbDPKZ3Kh3OZ4C+zwxWFxob 7Msxb5GIUg69JZeKmATPYTBdl4wGr/zrEixKQ2/JMn3bsf2yafJ9w/HAW1KVNbpuehlzUuXRth1b B9x22xXLcmAuea0TMsXKOVyKwDgnwGSYWWR+NzlP2EKAL2WgKx2/pLAgdJek8esE2dcHYbzmCTSt TLcKn4+kOL+jpwwhgkf7Lgf2kiitsIVZkwOYhhjvpHbR3IewkzxcSymH/pKLVK0syqnyWd12GWbS u/P8gZPCRNaN/A4AXYCQCC7wUp1qUKHBpNSUziWRfFAenCFkRcDAuYb4fNTp/ZblajjmSnn2XShc MjlPOolUq8sOYTohX7doHPmrz1Noe0N6V5UqBweLxlEjmBR8w21H1TiaTE0WXU9iub+CglrtfYPl RFuK2rT7mgmkoe1UJN0MEXk+/y99F9Y/Nxnez7YLg3Hi0eSIIuHyh3UyWnV4rmXBSR8VJC2F8BNV dTl4pa6c0DwlyAxvkIw6JKUhRHF22OYPn8qlwRErxG+JNPDpM4kCnw0q/aKzJRzSOd35/L+wleog gBWcbzQeGk02+k30PrKDK9fFn+axnHcKIqzPUxyNE3XTiEOtjjEGEw4O5YiaME+AjSf6TFdKVeHY pqrw76wl9JpE9A6fGNJGOGg9nc1dZKeQZ+PzQdbyeATSIxhNSFBWfaZCv8lB5kCjDp0Ug6oJ40Cb uwzDDo7SvV4QGk6KnVMHszwOd+NNyFYA/LZPCF6RihFiCRwBbj2gSw3xDSaw3vfvdkuxIeu+W+jv NJGTVubzkWzgt38JZBA6dOx8msISuaAoQD5kdTapC079qZIptwT26QYDQ+Wn0HMS2wmcylR88Lig jQkvNgq9n8U0C8tPpOoOgq7HdedYMuUOPSftutt5+WDVJyDuh47xyecjIrMDv0SngLLjAyPIgelk +X+ZzMEieBzKdJsyLVpOzJ45T/RA1MYLXCelxgZgnNRu23xgzRvhDbAgSIMHqkTUDUIriwYwmWQn lelyTfbCEWiHplwsQlGy+YdneaW6vJRM9tr8gCgg9zodS/hdXgfPxgs5lomgXUd6Qxtv+BF1so9l G69Aj8JmKgSAD5Hi2/bBWwkjb9iv03qyw9m9Ust8NqKEGR2zsmJ33rY3DiAFqBjAq1EWXdPYX/2s W7nLnqO58/mgYtC/t16jwkO7d15gPyk/R6Is14/b4jio4Bwly+E7g1cFQ/LAf1JFZPTKRQCf6WZ4 OGiBIu9ifoONIvUAtGJxruR0z3Kpk/JbIuiF2p3suSwQEiUiyfSaAdVoa/ID/tVLUH1hM5y4wWZo QokGP4LNfk0otaQoas72n4ma31Jd6EK5ZKyYRUrNl5QKvj/qHlaqA/6ZZzmJGB3dHIQxDrvM1d43 CqIktcTKLwerrJw4UH4uZ23x+Yib4kmeNPJwlj+thNCFUhDenq5m97oOizRFsIomeA4+TaGYIGvj Q6yC8bAKaOlyTlgCwgrQeWUI5gtxbUSIhaqUklpa0943AmEw1iRgU4NiA9JfbJo2n4+MhH55LYFW VvtzlIe8S8ZQptBNNeFRHDYHuSVHqoxqtmY5cKJ0HdjOLFOD77udAY/vBnhCdY2rCQVTqlfnQjJY 476zeQrBKlXrSPLUyzXgwOORd+c5LCafj2Bhvu2o9EHX13n1O3PoRmnbjgUj4VWG1wzOtutubrYx CzZRoVMOXQTg5uuDTdS5/kY/+6sSjYHd3ITBhEUNglLgaux8kgxcDu0oqzBhiE5tUCp8UiN9Y83o ngd+lN8ypxLLO5v9BHu3BhUaUpIhncn29prB8opmV1OBoBXKfGmeYgIme52pJB/sxoMrLmwKaEwB TjB2KNk8GSpsHbFBY3WRHIxiLxwJnUswj3yVRQkuq/yeK4C1urMb+XxU+f2GP+F0gCaUz1PoSom5 JJnXtZc6zXx1jKfihOc93N48x7aU5M13VX77rfxCWRi6JlsXP9icAkBlUOEqpYXrZc8JGp5DX0qU bKgpdAfD0aG4RdoAC+SBMSVC8ttJWNx3sF96OgmhNeWmJizg335AyVhYvtTT+bznV7zzFMXkiwuJ rmk2eCcBVi2T9fDdyl5QS8S+gy9IQ4cns47nGINuLxxhDORQIbWqWS3JO+cqdZlZv9l8Pjqgxtd9 Rw2m/dx3sT8lPapGvajMSXUArSdyQKV4Ah9Jm6cYI84aVGLuwsH23TgxwTkIsjqd5yRlJL02sHQb /p3sR1mns6s1FVpUSvGsL2l6LkrKqQh14gzmAaP1zA+INM++XRhQOJvzmgvl2KWSGAMgAx2+SgtO yZ7Mi6XfwIooJI9tKlkuIGLSBs+F4SdEhSlz8a4sQiUUDyD3heIvei71q3Ue+FSCrU15+E7Jygkq gLwB9kAjnao4ZwHzA/4FMmBpD0js+cCgAqdKgAx4za3eHAZFpJd8c4u1h88dBZKbTVRYJRezZ7L6 y8FP8k1zp8zeVKtgHdOsStx7QMNBkHdIffYX/qspvDP1vTavRv5tkDXopK/C+JwfEPFXb+6C6w1S In1cw/McOFZKTfRsDJc9p4f7uuUVsz4rm7mIyiuhZaU4dTBx9MHzYUhMn3ns5GZWXOJZLnoT3mfn 9yH+0Hg+qdsLR4SxKaZY9sHwPeeGln7FRn0lcK1EWvttowdjz4a80ecpiskBqaRJTPI7j/wZZcOp XLPBdQubgW8ltGoYQ2UJoeRHCGVkghBJGWs4QZDFsAyFmBP7bz6256vb+/6ppduFQOzNUQbQ3ibk ABRjPh/pfKyvEwrczJ7ns5yimDwxdTn3jFfrIC/l9d9rzZBQQfRpiimaPKEWBfU4OLrnbA90g0nR hJkOJNfOUQ7E7Ea7CXgr33jEGfFbgo1HLOsYAq0M9xtc8LQTJ+qk83w+2He3usLSMSQ7IfB7JyrE kE+RWEoxtbg+kol818K4Uv1OSXxy30Ux+SRC8xxrzQfvu1DMQjh7wMUmTnXsOwTxgORQJM5RUL3Z C0exASt1ZU0ftKA2asuqAqzF5yP22AVJF9Y1OEDE7s5VbBRPbl0hb4XQlYplhtrm+amJZM2s2bnU dw5NLHHTn+ioEubEwXDSHedHgrrIOT9OJrNwzkDEoctg5CwmYI69SXXfOAoQmMHMcod77Q22dmFI zueD+OBCoTiziMdwfNpExT6WmxM1bgJTFHvSX4fbXv46TyUqMLI8H9WwmiCm64NBMhpES8+kK6Cc 0IdIToGp+Is4Teb1SZ59Dowsnfyb1pSR5TAjS2p+chkLaxA4WUr04au0ibJRnVcYNYdWloSkQILI KwdrJZ+nIcAdNx9a60r0Qi9L9RTGXM0HK7D0s7J7AgAK0j9n0pJILnAjgBnYD127lecNhVGBl2XW nQd7neKDCgeFjnf4vjr0fHDnPcGBxFERh13trxy6WWrjQXfyYldacxYHH2ZCDG6kr6c4MGfmksm6 42CH1ITwxNoy2EMJfjDSSoz16L4BuKtBxlKx941AGbQhWizbTSgvKOeALSrluX5GQnM49LP8LSJL u6aTLdx5CnW/s4zRrb95HcCHzD/c03pSP1rzFJfKuZ7EvedgCXEHkx7WOkxQEjNIXIydZBycEKjc qfkyFUMFjpZn30iH2FSJs+d5OOEafxngNPh8VCj/Fm1E16e3+UxTyOFEfekcJtWDgyQ0ImLNTpEq rKyTMQ6Pof4wtVTvpSwfbDmdCAw14CrPhg4YcBEEcf4MYmFEC1IQpaZn6GpJeCeCzOqDFexgdM5C 1JiVz/9LAFQydIifHshYaGvZiUA0NA8zvrQcIr13dcMdaEdbbBD4Wl66C0r9Nniad+ZlURwEvPLZ +G1QJWZflgapYz7YlW0vHPCn2EloifVN9Lx+lkF/lxVT4ZvKD4gqwN8C1wiKBh13baLioJy5nTuj gojn9k21tnXTvDSdnBC4WwoHcFbSYD1KN6gF5ekk9dzaZ6LyCfqRhCPaPCHBnmi6fHlcySc9B/6W unjA3qAV2MjjBlFw9eKCKlvPB5WoKy3DJnov9Ep9VlRYKoeyHK6Z7SwOhntCr5RcrJe3IVFlExWh V8TVHLXcwS68cx2VkUnU2SeG6mMxH96d0ApE8XSVk+6uWEGBySXyd9I6EZ/ZYAdUal3yYBukoMDl EqDL9n3hZVB0JoI4TdTnNYDKQENlQepc86qU2/NceV2houIyha/hUwYRX0Kg6lKtdeuaDdIvkJnH +bRoldYpdoklgaiqtUd5l77Er8FTNq1LliR8MDzrLtLiA57/8xo6ZeCZFI5vizAz3Ocn/x/nh4mm Z3IZSeI6C3VvHJeKXYJgiXoX1ZTlXqOm7McS4eE2eDQgU9TG1jlcHRq1QBcop/Bc+oHor3s3LHvZ t/k5wWWj8/fi8ksOviaadBAlD2725zVkyjA2LDY/0uyhOmGy+XmNl85DXYnKk/0Wut0z+22ruBrY hmAm5+c1Wspu2zA7Ab8cPKpshX477EehY26+cwCgVji5g8JwPQk63/V9+RR6yTUJgLcrAJ7Hebks +s9un9dAKT+YcS0fduuwUzQ9r2FS9lOIZq5mj7KvIQF+Y2uUt+TYi9cgKYNxVwVZbT54kDQzHJ+4 PLC/OqiARGSetYASDg52C7pxHOAr3qcnNxlaE5+Cz7IUo+Aapb45zFg/rxES/tRevuYHLqeQEbb5 eY2P8BC1LWVJIq9m9ggVbpdy1QlQaJSFbLS9tsIidn05XLwFWk/oS+CEnsBMIsvdpETh2vthqGH7 i2Jnn9fgKEvKvwNUlnwQcg7qeyzEtX5i7dfQKJsSA+fDjqqfvglXpQFiMEF1Cj9wBVKRinqjoPbu 9W8I/skcNZigKSnCJIZdehh2KLOdW5VtAlTUGyx2KW3VoUpAFvDTx2SY/RoUZbRsWPvOjI1mdkVr OKMmMVJOTPl5jYiwFgymuk3YCpLaEtjHBL0GRDjVxclI67p9z/QoyDbXRe90C6SdRTRBTdjwZ/B+ 0/mlYYZGY9QTRK6TsQEDhixnMg4FL8S3GPO112Aoi+DWgY0SUhUKm6yWnRwNHS1ssRMtf15joSxE omZIwp8UbPQT+jUQyh5ap7ld91OnpNSIem0Xnzpdhzg6obvU0KlOwMGOoNQA2GVzemO/rQKWO+Qt 189mNQnMIg+sySN/jYKya1oym7PBmGK7q2FzcuT8eY2B+IZ+BGkqYdGMpiDn57UsyR1mrTjHMo08 nIaBUqsdQVTrljBacEQn1o+qlGQ4+A5rJ1tgEE2hxkp7T4LjTjwNinuhZprAcYt2KK8lSQZZjfkG tIs18DsSkJxFOl7QAAzmpz9XGHFxJ3mZZfsd9lqL5KLFHcZWlu0w4QcxQVtoJmIE0IiUsEV0Rou1 WtgM4OATNKHzCWjSOYJO9tErQyC6DG/ynqU/LEgcrZley5D4ERbJBI2ccQ46gsgf3143ei1C5id7 1QYDhsPcYsUrDiYI+qfIIh+JnWZOFgWwDRdqWgRwimcYzRBraj3P7oNDvKDxBSkLBIkDmsGk9zZg OwG9/CHZwqV1mwQIoj022EcCgt8GVWoRExGue8IVkFajTTZ9jqo8Pjasofyif+fOZdmXZ8qgapJQ CPFjqI97DAHM5iyeaJImteKXFGTWoyAD9w14spNU39G+HYOFEARNcB8og6poVQn+0OsGJzWp4qhn +mBpKwz6JERU+XhwUu/1FQwtSI7V7FfZO3EOdxkaaPkk4K4/OFlOFhqn7Ok7DcGGoeCCOdo0rYSx jQ82R2c2kHMVKn6NczpnlrsTfA06rd9SvcHQEE43mKLequR1kg/CwIFZw/jrZDLAwEXh0Og+RTy4 FqA4rGRzjqKIulEVbbYLCJjJaiHwrzDjr8oD3ZETwXltGs0KqdtXSA18/4CsHvEArW5EPVNFOpLG JBrr91nS675vti43xsQ9x0H1tI6/Vep6wJ2GQfX4DqphgEFnXJuj96g6WVJGNyibI2k00HqgbZ+j RJM1FWejOWJtFpV9HywrOydSO0EdRXTOFQKzoeS9uN4bPawNJJgBP+XbRucRcd7mhk7PKyNanA9l H2mcO+DzTpfj/TS/Dm1EyD8NGiw2SVFk3VkqydL3IsOwNZskICamQZUmEQzM7N9Da5z/pMmhm2mD LaSTnXXQBcVuOgu1MrsFQlfCZOI8W2U22+sGFxsK/TCqvoOuowG1ZnHDS/m8c+WwbVL/WkhI0xE/ euz4zpW7J1Iv5Rp9XAdUpE3Vy/w1WfD4TpXjmiTnEvxhGzx6PMHh2QNM0M6kFFqFolMplyUCXuc9 kvLU675PUiPMm707G7SSTnCnrYes//NOlMvS//lK8ZHt9XYrRFGATa3ZkyGbtgArjRcAIJ3Gwb52 ctZAFGF3Kn41sQo5ODQQGpwjEXgzIP652c2l7MNAVIA2ldN10ux63fc5GtxueVCp+QzTKAMwjjQZ 687Hgxjy5iA8kTJCyFsleifJYR01EgjTzF6NJYmW1VhInP2YvSdoBKrGRlG2emVwN/PBTqQNNfTR yAivvWYKNYL0hII1uF4SalTRWvDJ9yhbYeDJzyAnY4MVis7MN+WxENSJwuydvqcIUvk/TfICnKMo zu6SjOdlrR4IS83sFbXlyhXAOU6bozDQ5jpCPOSDz9H5DthXkikAFC18oFaCfThu/3liyfIU9qfe 9q85GlB9tEHn0QngSpc8DsARUaSdzZVRhzbKfXWxPctiYxRpV1KcS7/m3qXfM7sJkUtl3b4Ma/NO jMveTYOcpw+21U6geI5pqNIBNTlOwp/p84E8iclABofXytWYLn7J+82GN0IpjccRB7vYABXjHJ1P /7zz4rKwqbaO+pWx7stDpHdiHOa2NgkLeBgJVqT3ZlkoF3AL+Riv/3de3A2RypLi9+oPvxlSwfAf wM+MZpMcGlIhZBK9J5hV+4HEsuM7Ly6LTARMaaXm0MLhrqS2nIw6yyMvz887Lw6HfvZpqqyKIEi7 hdl3Vhz7HnT1TM0tY6a6Z7RDGal6jLSyndrvpDjW9XhcV04SB5ukk6+dP2qL6rUTpodSQ4gXUHIG RfIScygJ9XnnxLF8J8fhIiUd6DpKVPd8wWb7eZxI8vNOictyLtIkySMER2G9kxQF21USFeoImXX1 NURZlpuAiZqs2/jOh7PwhWKWyQfbb+CpFWAiyJQ/KTLoHVPhEKol4KvXi66h4Mk7Gw5fwsZHT0S9 c7AeWh/afPhRPu9kOFaw+9eZNIs0w32OomB7NR5EwoYwjmTvmJD31pvHkatnK4+8U+GY/bM2OygN w8E71yjry6ASRqGo2U4mJGChEqDctyMhzJ/pnQnHHhqz/5zuIPRfOmkOi/x1pPl558Fl4XK+mmho EoGxYHMUVrEXwW/kmishyVeJ6ewPO7dPVupV7HcS3NNGS5L7To/c98YyWoteaOid0coY3X2whBM0 YHltGIqLd8XnnQWHvxKl1fMz5uaDJmmCNsGm9Z7r806Cy48whTYbkSqin3KS3mNtN9Ub4yYkTRgI HNvbrRkrtqP1it4pcJaZo1NNORjzuLNe48C9PogjPRluy7RmZIWEavIFrj23mbb0ukEpksf2CRm7 DyojoWqqZkjCHEWx9rzdRmZ7E/DBWj3YfmfAcZKk+N09IRndG2o1b0//E/UUdSK9B9uA5iAhmRKO n49wPDhKZ3UCZFTpFlcAY4Pi95mPgRtxlweTXIbeNpgjmTNuY1OiDMQtgmL7ImDkhGr7805/yyZF +QTbwGXlZ7dFFe2WJOd1y0i5Z99tVUlblWCOldreuW+4dQhInonpPwebo1HgbiqnL9pOAtgB4Xh6 2pHTBf1Kd7Bcet0oktzi3jQfjKvUDcQN2sHnnfrm6dcTScKsbiXP/t+Jb9lxfuxyWtUf/SaTPCP8 hmI5bJtojt6D7WzlyC0s8n6wyMCcZmi3FZX1B6SIGSFsVg8hBHyhyCvZ20Z7TdIKc/ugKhIgTJJF P/HE5530hjh0fOe1PJdKv63rKNgGyI2njeP/S+IUMULqD7AdvCv1ruNgG1OEirYPXkXCLHW4nooa OAsxSRl4qo4azBwPcg2qMZ93xhsqrotB9t7dB+VsJ+xW+ROO2Z93whvv3vwrrUXK9nT3Q3TIkDvT uqdRu9rDt7cGdIj31t7ZbpZWr0ynPBsMfAzYWkrAh9UFzEwdlNZbOAxKZ4xyjthulJuit/1jinhr +qCNRsN4YiDPsvi8U93yQ3VzyDL3vtci35luLMkQlb25XvCPFeJS2mgjd9toiX1BAo/fiW7ZxZZQ ePThwrAA797EiRJYm7agkuDggkEHV09fRW3obYOMjYqCPfMs6tnPogwTXbP2LuXzTnL7dV7L46tR UdanKIqyRVZu9ZmibaaxOIuYuhXqwwItzil6j7Kdg7t0p62vO22nk3xs82RG57CJLghnBvodZqre ukV80utG9VpaM7KNhMQYy4/rqO8t5zjw7D/vBDeWR/w04oQWgp7vVovCbJI34PpcHcA+k0/SINSJ k9Rrt5r2O73t9mnPlCQftNUyUGqL8TPF8jKUBzCP1P8igeAWRzppyu/cNraxtdNogpoeE1RYQbJY e1Zl+bwz2xhkf3ePQNiqtXob8p3Xxu63RLr6uFda8j4tC2BmhjK7JSLvtDbLyBeTeh/82p/M+wh1 QCdhVnk3JiD40K0FPs0bI8PeNuge6bxec/mg2kg6oRfnCzzxzzunDcshfzdGGvWnLpjmndKGL83K Z8utjKRuzkzwUnY0TZ/Jr7SwnE1w/5iEHXG49aMTDNU8Jap/LjKcumfmgIJeUFeiSrVzJP11g8gI 1+D5vNl9UBOySe0DixY/43uIjejz5mpcc3k4xpjfGoXYAkRkCqQLMFKcmwyeSnbAyPQi2zuf7dYh u3yH+uBvPNmpPfFvgiM8+Wwnwj5b7YdQvy2jtoLalLvqEGH8TmfLEr2FQ4PSETDY1PI5sWOX7jOs 4z/vdLZsKsFWZTv/MqxfBrBANkthkN10HuV9oyP3Pq2wn/Ai27rIvrCibUolNELj4EsJEXcG9A04 bAiwLAH7WWQDEwtSEx5Bohz4eeeyPfWjxIrkJDCPvz9ABpVx/ZiZz0cp7Xd8JBbTvPstirKJnj3/ RfHWCKrDXj+qZmiNvL9bke2dxYb3z1xKXX3tXh81AGhxIcLCJAmEjWwNxiIAGQBYQ8sBKyARDP1O YsOPSgmzPdIdFCGtgk7wDyUY8uedw8aX9FSE/y70P9GzMQhkGGdvXv8CivAIp5A4IcZJuxD6XBNF 4EIMZBxnT3Ugmw8XoUVpZNb9O0x3gMbGZgFme0NwjyB2rSTCDz7vDDYcD4n9o02Fibyr9Y/OVpuD a/eETfPzTmDjdv0uIGUsyJHvyf3OX8vup5sAqs+KkSoqUSD35UFxhP/Q2AXAi4qV9E5fY/hCrTfN FQevRLYK8DIXyknWRpN9JRRqwNmG4kG6QHU0Jvklf2y3haPTB4MhnWyH3RFo5n7e2WusTXyH2jyz ibPRHIWhNtr5ZzZWtYU0KDsjgq2kJriQJiUAOj/p/UhqbLLVzO4QBy8gJaQ4k23/Ewx0qoOfsxzl 1XwOrwpRiUtsV037nbuWrw/6kIGl5zznOmhqPiHi4ONRsP2d1dItrt5z+524xp6MEdecF4LGkU1S J26Yk7SW21e/89aeEGBQXY6Dn9tjn1skia19/o9eWa7t0NbakJI4setla1Oj9/POWyMotut2yz5o jtCNIcXixK3l805b+wVoE7n03N6jdS9FvtPWsnT+yDJyyB9K0A6yWfOqlqGFwHP7nbX2ZCSlDB98 kjbAWpVH0kkPW8vEc8FAC13l83kwMbXEVuWRd9JaliUuXYebD8raUMjpUn5t7fPOWWPboH5lbTDO 6CXfOYpq2kwIoPlkTqgJbtPe9i8WSxZCqm2OwnCbwJGJy9yGG27TI3NL6eZsssFCHJWOOuWl58Uh CRnxzlfjFLEnAo0DG6w8AnsZ4z6MzztbjdnXdyGy0MZ33JstRI9Y1z+5PWNry9kPm8Apw2YPX0Zh uM127VaPbd8eG3RcUkXliCqB9eymJoA7m1uAJIOL5dF2sreNoFpV4oDFB0vaIJoiX+ZWP+9EtWyy IneKWPJFs8KmKAq2qX+FXu3VtyFRTPVsCXApjGwmbv7OUmOwfZZPTlxMGnwVJZAVyBzb6MGcbxMx GV5I6H+u+mjAEzr6TlJj1kVUZGZey8E2Gr1nOEW41qJQO+dvEBJEofuTj7Qw0t46gYqXR+iZZd6D dTt1ncq4LI+0EKFNywnMiA/OMTohMAzQTEERvGMpS0ALswyREczBErB7fkdw8xPbn6DIb4PhtBI1 5YGKzBmPByFk/95oVPwayYtsLYyzs6Qlu7PWG/sxLLJl+j4QgQwdBFtFUTVb8m0zM0jiYFMEO8vz EyyVas8vQNpsgpel6oAZlB9XmUz2usGltsXAglaEBu20Ncywqp1Jx+NBw7/82mnYrzKKI5EmCrN7 VtiYvOKfXWfr/PbZ5fET+qDGpHkPsxV4nL9Qosr7iiqDcUd6Gj1O6olKdzbHXRTbGxNUR2mZiUAP qY48r+nsaIMto7Pp7DDafDzCH/9iQkAmr114TY+CbAiEQXpz3AoS9WdVYytXNXFR8oZTFAFHoOe9 VPe2wWpsFQ7TCZ9FdcnV3YthSDD23KNt38yfYho9qmeDlInm01o+4EvyydSqabfVk4n0KMh++FjU +2Eqfa/9HgbZYkMIXCPHz3lxI2x8MqXN03W2elTP7lwcVEC0wdF+52jNWa7MUIruUEPhH9h+NssV 6DJ4sZYQpB7F2JPt2ZM8aOAxxgASEWqnbttJZfB8wHr8RTnKlAfYlzLSQ94jMjIYSjurOLfseL9K IgbxfgMpFqPsHkXZstRtud3BwbXQ9Z+QiZXVIIya6cl4AqFNucQvljp7ofiSIDqC0g+0AdSC7ObY AWdegUhPgMF3jKihDmaT0maF8THoYTZLEftxsBxphUeqQ/ThIWRSZRIhZJsMszFLMXREhh3/ZV99 wqGzGTCJZ7+d9Ym4C3A2iF5OoLUy/UXs9vfX/aPjD2wFiSNgr6rrczJ/nJtYS+enwPPB3TZ+Xf+T 5chLgAzj7MFzWzIHEj9oDkEe3pxEG3Iakb+HFEgp+0ASwwbP/KE0PToTtgpvz0kC2wIWbcqUbl2F H8og40uC+18cyCIxfMTWXXTqlilmjWmvG8//a8ORHQD80ZOw9bCwTR7tZifnP8JIov5lWviJtz5r /5SFwMkdR9pJOf/0wSlI+UQiCCcBsCkL9Ug6DLazDjZ6FAWw9iu7OfW6UQ2JMDZQcQFqA41eQL0J 4VPJ+Z3tjQ8Izu5LQmI1hHzBVj3a7u/RNhrhWVkI5obOCoUUiEqyaCeIjchIoM25lqJoGyY66OzU 7oNNU0HOv3EnwKpjnf8hnL2TeAs+2gnEfcMVLmp8SXTBbSrg1+6DYbXKFL2w1jzxeJD8t28YEswi e9mOHu0RVFu8iJR4EoGLC3q8uXpWeufQeRg+Zaoi9Qg9oqQNQHwN7FgwlsR/cqJizYAePhAiKGwX uAUU+mImP7qBxayfHqFHDM82WZHmYEUkCHNwHZxP/PSwrj2+W20ZRZv2AJF7GHCTttRnuXh2WkXK qbKYAsJZ5aD/aJKiwvZi+ahnYrV7vljthbj/bCSpsDSKJILNVrF4cVqdtKtcz+FB1miP8CNqtp0Q J/ugRhJghV2VtjI/PaRF3oUks8WMK2Ck4mtpRDE3kuib3ZqE5PV8oda/zu7tKJsRlbbp95qWJNtX GRdCshfQfGlPQUhqbxKgBrLx5OsTx62xR0yFe0QxN4CmFL2/g1f/u2R1z+GW8XgQc3dfSpIKbNRZ XB4FjCjq7lKqIUFFflTTTDnA1rr2sBswRDHYw9I2z+6R9h0uEamcA6NT+h5y+nvxggNq4mfAzhBl pis5BvAdviRiRiYp1MhFiPYCP0aymZRkGchwR1jbvghSggThk5lv+3/EcG2c3LU1ByNJLo+hUkkW jCM02dZuG1FtG3aH7PUXDCy//tDaDRkueGichIFGNCWkBpijFYq0ZdwgAOj2ge8I8KONXLZJun9R WwhT1M7UMGbq5z94POqRePmfShFEr7QrhBAyI0n0P99xq23C26IIsNNyLQ0Ybtlmi2JuIW2AxRN/ ZGqKoHmwIbVDyXuA2k5OyUYBSiNNju31hgBntQ18R4QiYQgAy3kbrNq2tijJQLbj8Ugs4vb/2bxE hguYj81RFHF3Atrbutkb1K28IpmH64w1SLhqjqKIe9LTG7xXHxyNdP4AuEXxQAKXdJD2nohpS2oj NeezUfgEXxL1thlxZ+r6VJo58EaiDiKh2yfWz3g+WkjfLRLo37R6KbYjirjRQaWM7fDLbc1hB1J2 W/ST4+ThB1IUcUvUpw+i+jjYgXSStRM1bW6mns8xMhonqTNpwjXVki8kOobiO4K9RkeArYW070Ia ZW+zmDgLGI9Hx9F3kMT8tm/H2owQqz1o0TXuxdYIp+NxBLd7X0fJzcxGhNWuhmpjNY2DrSNIiVSK EwL0fyJq2Z3BEwKEezj1jAeqXfW2UaONvAiSRzAUGZWeaG4C6mPp7YiD7f29iijkdQslI6pstyro 8b4o5EaAG1HIzd04wPlwYZYo1tbdDxqEBmzurB7SmZ49tvTIE7pJW1PU4dqJ7m27U4RrB98RRUhd 6OPMATmfiDKM6HkAltTxfMQd+dWxZTNy3WUUxto8job0MvsD2VJ8VP1W2+h1a47iWJvt7CKrq3Kb kchsCwJI8tnOyTrRsccpxUkF6A/cCN9qDCNHGGtTjZUi6/C6BlgjCfSfUWemsP85zPEBQQWg/rr7 EUK0ec+jMNhuEj4qyc4jfLedRzJkQcuWGjeqAIy4uk1K6NStJbULS27XWQFMYBkVddCPIGHDpiRm n7x0dQA2eg74kqhlyz5SYo4LnOSPikIZCvH8I6HnjeejMonnthTBR2r0sEdmWN6uvNlGSa6unWpx obq6t/W1Rx+mGD1DIb8kAWSy2qdbQOFmOzckCP68/ftqdG2EkTfFZyEendLttZFhO0MQCb1xyyKJ tLga3gnr5xhN1q+l4vFgim6AxI4tynZgxdoURYE2RICh1piVqdGmxKaowBZXcJKzB0hCPpf/DHmR 1P3vW0oke3o2sgos6qow/+dtTmBKYNuAQvSCCj7cBh6c7dLrRlI2nJxMKAkHzVFNaTI3AdcQj0cn 0ncniRGp5CA5R1Gcnejhm9hj4Im03DeQov9e3oZqsalF/YMWKTntduW00SUnJoLJxllMsJ40k87x s2mAB7EwF4TM9rrBXpPxHb1MNXCOoPguYXuQwfB4NEcXjIR/GZh6qibbJEWRNo0kIXjkTVvZjLFp C1deJyEN1xybYXV7iGBT7+C3P2pKGUB2tJISgNVYa7mBklaQLz0VkkVQ2wwhJJ14bdmbc9AcjTFW EysyZTwekUe+b//Gvua8ey0KtCthNrzcuY6AenVX3LXd7dXtSM6ZPaNAe3BuRqnTB+9InrumkHgG 3WP40CTsNRgFnl8R0QcwOa6JRMD2DFmRVNfIhZW27OoUcMWZtBZEdlPxeNCRbOXrPOqs/da7jOLK Ngn/kl4l0FZs9kmeloEmTq6+hpX/Z4Qg6eSEDBW4OfheA98PzhFSIIGsHd0AcPScK+4EVDXdCKna 2wZHtuw457qDipHw4iRL+0QxA49HQeR3gDSJsFye084IQTIof0jxeIuz6QItAGm66n4oldkURXVt 2UmN0qcPDiBN4ItCLAKq9WhNsiGXcPIAWfqzynqYbEVvGzVtm7q1W4OLYBe0eLgyTp6Ax4Ns7UEi s5EJlWOYpNkcRYH22BLOrLfIVso9sqf9f+GdWC2InKHCn7Q1MiV8OdhWq+3sVOjY/UhifGcGUhCd A2tzQFv/Kf3b20YkJDVtKR7FwYpsdTSZ2azNxyOslt9q4gicf37akTOCkIjsT+Sbu0gVR9nQG0TL CK1qm6IozuaCgEfJ9sEhtie2bqlZcJRZWyVrtEP7Cx0YINS9pk1I+4zi7Cb5w851BMVhAfhhB7KI yfuBhD2ej6BI9SvMzktL2ycppkQO1HcpuaCEbZv8M44oIyeB/tGsZztD/RHx/YASt+FrHcEkT6pR 0Pph2l8Szl1gAXNNzyStotf9CyHRICJugyHazvG3xXfYfMcohPx1rZG32n2OVhRlJxa0wcjzvbaT C5DkUf3mTzQAwUJaEYhkqLjYpevbL1VrQWC6QomYRzbckkgbRV3Mb/7qyhri+q8QRLLlGCWh0JIt yi7we9EUnfALj0dSPzfKxhUGGD1tLGyOwnq2fKNkvsIQsjpvFN45ntRCJ9TmKESRkFPbTRWxX6cR 6F8gpGY6do6etKF5BHkb3FEN1iGAVhsWadrbRuVs1iGT/NqAE1CBtUGemU1J6MHg+QjT9i1kQ21p mHnaHIWy2czWBt0JhSJJJlQP9ZHsxIgMyrXmKESRMBVZmUI2y4RimK3BSqMAHnLWEdoHm2yc2gjY KjAs2E90dFY+viMqsWEdQb7MB221gs4nM/m2+Pj/ogwNqDjq4D5FISuyWRVyW9+fBQe71mTEOag9 sozpv0KgNnnHQ9LH45E+hizxuZczVWzOauongpE+G1KuwgAuXTpbsrf9o5y9aiM2ogJDVqx+BKcD gbBO0r+iKHs2bx5hPnDCYMvevRbqZ29S/dMtjZzfuaoPWc7BYcf3BsbHJilmRTKXraygcfD46MTY bdNfbLdzxJVGe5GJeH7iTMnsBzjOZup1gyqbRMeK2BF0XFT9qMN3kUCbk0zj+WCzXWIkliAwtl9U rRXF2ZTjyGVcFlLpw60gFkWNzApi+STF6iMsHJW6ffAq26ItW6OKzZyFlxlUbBqatRB+w1lsXpuJ sLYVIUgkrDFmqz4oXwMQlZVEqODj8QBAssZ3TsvGOCzZbZJCrHYiY4TyzFhJBT47P+aKuLZ6bRU5 it3+K4602e/vWlC93eLI+Q/kcMn2HwBWaZJQkgTpvZmupgpISAz5usEk4aTHvC8NYiFVhKTiRfSU Gh6P6P6/yrVYSONGSCuKtE/mSpgtclAWkM4dZ7utQjTUHOz2Yqe285OiI0nsI7KwOXwVRxphYGeO 4EIGLUGSkM7JtBe9SPeFj8yh140KSBQdlbBGnmWZscPJlgp/lYEi2wpL2vuX1M/JxAaUT2yOYl6k yv7Nu5BYPhYhtbSdFrHATpOcdhhqC1uTpTeeb+I/oc82UjMBgor/BRJSS2YHeD4N1z+bS4WNT3xJ YJmxCfnD1WKDDqR0UnHD2Q6+Y6TInr8i7cYw9tlrYUF7SXykPgJtd47WqK6onW6ndv2t9FdXu4PP Ue5UN0GENCHKBy8OVALQ/QZfBoTDGyKNodeNupAkaG1SSDmoEIlkWbL+Z43h8Wgdje+UDfJe8yqP 7ijSHkW+NMMpSDC2spSt52wUpARCmiKkHdWzlbL12rYPDvuDYPScjCKh68uPhUzPRBAIuFS+HTYZ bu8o0hZxdDJw0GB9kZwqEQZ1pIXHI3rNL2F2xJ29XUj7fo+0z2OUkB10x2MUWRlqcI4s2WWR7e61 HbvTEO4nr/s60l1H2GfnfKokRQJgM2hxVCFAu1D15BXnFxu1/XeI1646j6jSli/Bpp8fgeLyQOwO PB7JIT4oW4afQwAfm6QQsN2opalQ27hsxvmHYphZbcMpzDBIOwq1BznIY4movS5RG+i+dO4PArZP qF1pqAhuEjibC3YaxWVsCv128R3BHImndVu1Vogs55xrLLZCCRaPR5zI8h1GAhVB+VFNUciJ7GIg mzI0dcddDKnNfZEjqIxpimLkCOcGv40NF619tgjwIszYMshagPwtrKyBACCjXerNI95rO4q1Jau5 UB+wQQESo1+s3PM1fMdIL/Ib94+sFv5UPkdhpE0YcGPFnNyIPg2LVLDDhs3RyG54uEOsNoPI0aSE 3C50dOeGMxuS/pgjQLUr6X4Q4GgQxUC07VU2BGf4jj81WrqUR7srj55sJKt/XsBl21GcjfP5S5sd hQAWOmyOQpk/NthUzNBWq9dKo9brFAFIlc1RCBzp8mIVJXI9lEig5VeuFGcDH3I3zmae7EGiZEuV XyG119Db/tXNBg3VB5ujE8cTOXrSw4XHIwE7v/pl95dxadzqyI6QI2SdnMs5PfeaHd9Ia4fbjfQ0 jaq1Q5W/ZU410wePIQtr0FWZPwptixUkiJI06BhgH3svu3e9bVCtncbUuoOqtYPOryqc8fF/AdlU ZIMJ6xUe26EAyaBVBLlzYmq5a0SB15toSFBmR6EJYfYOC9pis3V1tHu6lr4whAJEdCjzB6Kdnvdo ZYP1AecjF9Sk+TC+I4ggM1vZsvbhYGS2kwfIWfScb3g8KrJ5rZbRYvt984cFbakhycNXpjXG1cYM URyRmQh8xTRFIXBEWj9p3eGrvYYznKpaE0kWS5UFVwxLbzi1nXy8p972Dzmkk/+1O9hOO3Nk3f46 8Pg/6TU/ANEDZngRozsKsls3VV/n+0F6zjaatNVlpkFHTW60CDUy5UlXKM/KwetHq9YTELHbf2I+ 4PRoqUlPnYyqE41XhT0e9rZRAEm+HwpHNuhOOx82CC9oJ+fB41E+exuQotYOXILXAyFFUXYbZsQu EA1gyMlx7JmInv+gRrMB+pXPeArFRxhfF2CZbNAsjVURNVYWtFdruCAyyhIgbUC1FQynjenkSlr+ wpHFGOdpkEGaKJQlaCP8lIkb7ed+5wf8y2VMOFzIMF5pDcnhvtcw2fLHbyEfSJPRQhw6CZogK2Ki vS5x9hTG2kSO1srcn4OFkVCXP+FMo39NAx2CuX+GZs5Gl7jwRDK9H8babFgFzWUqRrRdfeC3QIxz N3XyZ+bzQUryq6WdBe69MCTaUr8/R8PMOrPTtSZ1q1i2hcaKim0ZbWlzQ0ghUpts/wqugw03Kel1 ol7LQtL5rkWCJDt5BDiACfVYimZ74WCiGmH6WUBkBgUMkHp1hNiYcF5NUcw9fon+ocHeHl3EnKL6 NpFaUC+w+rb/42/biFygFG8TFZtDkoq9iZTg4GSkk1tBjodbr8LkDQJbqCOdFYXKJzRzn8ZtsReO IJKstXW5+3V39yNRherYsA7m8xG1/QYDmFWABnq5Vx2FjoLyrar/czocebfkXJs5uvMjwHewrRcV uQc1/3qh4haH2wkoa/GMRrnkxN6NrC06rG3gwfJOLiJVyIrnt0QOGwy+UdC2wfKTKhYsG3t8PkpQ xq+thx4p/k6fqCj8ztx6ZDZwok4IyUDbOsc64mEj3O9hHha6xSQxWmlftztZ8rm/oZeArXcCTchI U94OpEqUlAj49fpk8heOUIA0G0e8Y4NN1O5GSzppEJ8PHGvTJW+xJACYIyLTO1OxMAk5yWV1W1It DUeUgiX74/StvH1JRVH4lJjGfy2pCR7jWZNVpzmA3JVyUtSBT+iZ5FGvPTQ1xvk1UUJnnqPDB2Nw Mchjrano+X91BYqquWi1PCZJKdQnqbLXnM0ChF723Xx1TgsQxr422imseVMrkX+/DTZTZ1OUCYMc bL5+YqiTLOI4R2i6oZ+XQZuw+gl5dvyWiMpN758hq9bBrYQ11acFUv08xOf/ZQLAst0UQf7OUxSQ L/MAdDUgVJkuwoSsdUECqfUqD8BIpaTykBq4IG2wvXfC8BO3Qv0CEfmCOSrblRSuIYiy9QcTOO19 /9IFAJjDBwO8Qcybde/SBp8Ptl77dkrEHbrWYyeVwsr3ZjFuX2uSKngpinGj5mTZL1IDn6aw9E35 BOLkbPD09+R56CZSERCNRTDr6JbQfwYtVNE1crJbLfbCUa2JrUrQr2zgPAHPWlWPGww3Q5+bB6ls edW59K4tcg49JRcvvdKWCgLA5WxXdDlniJfkErUKZJcYIk26ZFxb98GRJptSUMzwSt8oWzMJxoXT SnF7MrPdpLV2DlwlsWqpwTUlLj0fcenzGxgdckw+H0QH6buTAtjC+PJMCm0lAdyhTonXCjo1xlRO 2becAmKQz1OENpESCWbFBxULztwD1zUYbra10FohtHmAnYawBL0Cl7yjQ0mOfSWzok2x37IXnSpa BYzb2iqVz0e6t7/0gbic6r3wYmdJckxVSOM0wWjF6gWdMSrl7guMc1gvyKG15JKIUtVyqnc5QXr7 BIOlmQEXxCEJ7gKcpeHvxj/4NJVm7/uXNOC5N5cGE5mEo7PEv84eH3z+X9ZJnBEQAx8F5RyYS0Ix V7RuIgK5mka7Tq6kikoAB9mQraYwJt+kmSbgcjRY6elsjQGUKSMkmGU2Ru/npmLFEbvokeNiEzwH 7pLYdHQDpH2XBp0nCNB4v0Jxh89HUcFdTYxLG/vm1/EuNJjUPOV9i+FFtx0rdHtWq9Cdy7v5PIU8 ysx1NOXGMa8c5zk2zp69OLizBjL3Z8ZF1yAGBqNmz12kppRDj0kQGMFYYbf3DCbwkjfECIg7SfAq DT0my0rf227T7/smw4HHJFJ9ZsCt3m3HJEvbDsZitu0o16RtF0fkLEJthpscnJWTYTQh8+QKC1+s fcwT9R5I5xgXwDwVFoQuk0LD7T6rD0J6oX0jb+DzQXz+X843+JczoYPjWU8hnbJJ2GVZ5pKHiN6M x0fOBj6hwohy4dBnUtzlOgTRGQ9EZ0/oNcgfcJG8wSizIbakTksBmtirdctf+K/qCixRfVAuXHJf cq8/yQWf/1+OcaLGypVTyIHVJBp1vO3a8nJd38XSupNL8XzaaLAUb4rnwGsyW/jUdruDF1dOCA7X UqqXQtSytUWvSVhOwoZofwUFtdr7/nHbnaAJRRUNip7aWNJTRreUz0fqHOMrKAA+fIz5TFNYHQcp KS2PmDK4OlaDOomGWQdBCcnZuTmwm/QoE+wSH7xYB+ps7wRTDshCY8bm5g26+cOnctlwTNH4LYEY Ps8lGlTZIIQFUAn6K1crfD6Sef22wMVO7fDn8HkKEd/Uezu/zLBa3eBRJvsSN3lHglmmz1Ps7U6m d+nJBwsyz4GUID/AaPyEKhmgJZxfIM7DGjfjB/mdtYSmk4DbIGwih2A5QQFOwXMMYb5n4/NB1tKv ciB7vxWs6/UcUKHxpA5yyYBIOIi/i/xLi3EJ4MZza1Ch8+TiQU6QsA2+8SBxee5utn8X/DomNx6K iRO5K+Q9DPjNjJJfEnVckvsEcjAmCiFjMnk9SQifj/QDfaIYAiDM7JfynUPnSeNZALGeTUAwZ5eh Ai0qk4RK+RFVoELrScN+LxaiOHhfqq2T7NJecjM27Nx4CxK1AxiJNq5Nx5I5d2g9malAmVSHSrV8 FaDIUcMxPvl8kLRcCUEpBQC5idDV5ylUMyHvu+AUVaVud5PnppeNSlFgC1c/xwP3SZdYoPK1DV7S hOUkLDSx8QbsOklIoZzoZpw/5kUS5prshaNKneIn0nZhkPbD0AC8qTJ5d4AGxg+IAvJvfHMXTf3Z dyEyhXyL2oqDwJOsFShFQSQD9129IgI5cKD0AKoVxpsc7r5rsMWjfdBEyQAeEhA8zTTKxlIFhuhe ef7GAaqAVd+x6UQNF3ntvF7n6EwCTtzX+XxQMPglkteo8/C0XUIXyk1fvDVuHrzG9TOjo7blwWkZ rCAHNpT4C0j/GvMOvvPKmZkTmZGTev6xNZaHEaKhIzy/keCFtEl+SzBPlBJOhRYnsHr9kZgk/I8n M2GEOfyAqJ3wvaJw8Laab6EutKIEQ4shlFegBkk0kjavza0o2fi2iYpBKtQ3WwSocvAiOfhgIIOT BZ6huEN6CuWT0dRDEOPYy1ztfaMjiszUkxn6YHw58O4Jduq18flgPZVvFC8y204fQZumKCIH8oz2 OM6YWxd+WeQoKelu/JE2TaGiIOtPq1C+Y7mLzfkDTjy1zhJachOCUXc2EYtG11M0hq/g0pr2vhEK A9PUJLzUhsmEnhgG1OCiadp8PgrIfyvlnG1XLx0sh3aUg6h5FvINquIEp4IM70JV6O2tCy8sjysD LqqrlKeucg46WpsSzpMWuKv0o0TcQsnnc+pinhq3nc1TiFZpkqdmxSC5Ig/IInNwAcPugs//KxEm AwO8oXnBczm0pOzEqwwCiBQYCLrSuOuKW5ztVarPU2yXQ9xcJVSVgyfCZ2mdHIjQecgM7y1oGFK6 Ri+4ek8nKcHl0JOyyp3qWp05ubAP882rGd3zwJQyfZkKsYUHZsnYX+spisgT5eBXq15YmTvbeqqS CCZopT11usCX8t530jppX1onUFhe1Hc86+nkj+h9Ms4clGKDd+ckg0w0jGIvHKynxYWU29LQFDBW EM0YJp77uvP5qAJ1W3hbZZif0R88RmhOOSnDPOYVYBqluf0S5SQN+gzoo86n2J1yS16IODoOvqAg wDgmmU9nQZzffqt1RbIz+sH1MuiED8+hPSULKydyyncwIB1svXiMszwe+FN+B+SJ+w4eTNeAIYcG lYDKIv1NF0o3riacacSD0zuBnLBpigLyRco31QJt8Ayv0bGFjc5zDaNDR7ghWp9URlVY4CCDbi8c gAxo5XGWZfZBGw9Yffmd7Ln5fCTn9Y0Vow7Tfq672KWSqMzhvosAi033Xm7LWCsVKsRe+A1tKjsx qwXCCjZ4nIk/KHXuq7MdIcVBO3gU+zd6JGxGWZuzqy8VGlViiUNm2AZQaKcqUEWi7fB5yfyAf3kM UkLnxF/wJroTFbIyBxt48vBU+FSMAH22yHXyTs0pBzk2qyQqtcpcuD7mwpSrhs4c9h0giLVTsooW oTzf4XTwU2lF4I3zwK0SHGjpDFYmxPCPkkMA4GeJ4TQ2Ej8gAhmkXwH5WdTg5PlEhQE5kXUz5eS1 FfoosrZS6eMjHBQuJGUugWFlMqBva8TWc7iAMZguJHkNng3d5AvfCv2/gVgFYdBB9XnZC0cdYeXC CshRnlGygWUh4O84X8YPiALy9BWQ4zzo4+mcB7aVcEDhBVmdeHj+sSzPhbcJV517HUJ5Kq2EvpU6 yZtICO1RrgIUF7UeQJPgN1yzsBhU1l6b+grzulYRe8Vv+evKqxDSssGgmsDo6dRBbSWwrvz2rRJu 4ywo9GB9nqKIfAg4PpsXNeVLbzIfpqcHFMXwVDgwr0QORGrm4rriYAuqn1n7/4xdV5IrOZL851nK 1qDF/S+2cPcIJN9YRlXvfKBnp5PMAiFCuKiEM4JUB1ELhgZcxcj/fvZ8rM9Xt/eNWniyrFrFByEM AMjTSX4uez4fJC7/2A0jOO3wG/dpCkvkTFzWqk727XM5rG5yw7Omudib0DT9HpHXRRlUDg7tgbkz vQRR0ywnMCAcOkOCfgOcVhiFat+tveyFg31HCAYm2Qe1EtokOA+h5up8Pgo1L1CTDRqYYPX5RFBh kVz8+lmvYNzY1y2O4YaZfQxHtMYOlsQN9MRyAAdPhNtZOrUKqFkWBIKThL7PDiXpDTpxDoHqzV44 8mdKbAYLVjccVjdwdnCiTli7+HwQav6z76BIBW3oZ0XFuijU/JDfIBmtCABZ1IRNKSp0GVCMRdPY ro/6LSZnOmCDYaTryUhmZYKyobDTcBzOBb2XH+od/lTA47w71Ze9cVD9peBHIqRVg115AEew0ZuQ 5AU+lqi9/iMcD9kHUI9sokIjS5kQ1OyYlTNR2bAYZ4/Ua/Wdbvc8cLKE+APNmQcLAltSbuxmlxOj 9QG0DzQs8vnpFY8CAAKdwx9Ok5l9kmefAydLP8gzm50YioEMyuq0dzSQQWBlmb66Liquw9r2KZKH VpbToGLVp2mtva15PtP1s5p0CuR6Cr0sVR3HMvHBSittnwSJlkOArADbyj4WrAN+qKYFNWJL8YYi qMDLMhM6CAh5Sj6oZEBOl1wIhp4P7rvWvlIXcMR6Ws80RSE5NZMyqO8XstIvf0OlX6TCBfAmW02x RgoRdfJs4GDn0zg7QnR90IBOpqfaZxFgBN4bQH0bUiwVe98Ii8H5qTK0RiFe2QbSLNrPQDF/8QMi lf174VU7yM/PeGvkgaMlzicGBqNeC7mVnCmdd3KC64KgiU1UrJRCCFRl7rLqzV32rBSEqKTdTWBw thmk4aIB7Z0V8imllG7v+0tvalGj1galwu1sc6OTAwIVelr+6yCLbk+HpYZPUyj9XVSImdMCA0Dr Lc4cy+oIOCOX4+1/MbXMqlNuHxxjAHXOTXtENIUr6sgorRSW6tDzX/UKpQ01O0NXS0I0gVLIPlip rm8lS2e+K5//y7VBpVD7pXye4gI5Wy4yaWKyRxov5unsBuMFgxKEi5pxQWBsmQxuDyddH26Gd+66 3WnacJbLBlsRzfON9jFrgChuXsyKv/Av1KlzKVDmsoOrKmmqCUtRzhpADPyAoPab+lfiAo/NL1+i HLhbohBJtqu00Yf6nt49bzRfYIZ3zmWHRgf2lur/QwekTR98ogawXDixMFEnPmg0uSKkB9f5PyZX MkrPgcGlK6VP8I9ssAAKMQe/sGw9H9V+v333MjbUytflKocel+Tv5VGHr6iRx9PEK8uaeGfHZJ+o CLVSZQOC3MIG7yXAZj6NQkLnpIkjQy1gejq1U9vF2k8xggKXSyRoRGMw7tFgB9Q5y7W0NghBgc3l dy+Bsml4qQl+subp8xo+FezXJJmiZtNkMnNYT2u3K1OU3VnuNXgqidhhOBKLwzGe1nkr6YTgZgOy UPCn/GyCmVA/sQt0vB7aFIKn19CpQM2TGg69dB80RRU5FmGsJ/3+vAZOBeZJXEiw4WR8iYYYtK4G 128wPStJIJ2GTdmxTxK4hi8BYiVWfC+oJ5iePmSPnqcPHg3AfbIXNlI6/qNoICHc7mBOsf1mzg1L i/51fs7J16W8Jwlnp4ye1+yqqJ+YaeHpt/mRPZbmp5lZn5kScIJeA6bzFOyWUOotfh4BQGCZ79ns 3itPa5ltw2u4hPXDhh36Tj7YJjs3f4akSVZfs5glQYfmWKVv075AaNYpPq/BUoEdMRbOYI1Ag+EM z/oh8ALAz89rpITZpdYLuav8VydMWqtNz2uYxO1F/djRtoVJrS6XboQylXXIO5JuTs9rkFTk2odG wWo+eKMOagTZ7JrPzXPu+sEGFMATwLPgALagG3IS+Ir36clNgJTFHjn48MWa47my7kIT589rhFQu h8XmB3d22YhoOT+v8dF5iKdWFlRNYWQyHUKo7K9t80MfUHnIRvtLl5m8CNvjRQgpuRNztUyiVseF UHDrJ8H10Iav8IO0DbaptfsaHBWHWVCh0QbdZQsMY4IF+wm2X0Ojcq0/0UXRWfXT4UWiCXoNjAri DNa72/AFdBK5eVtONm1Q+fc4+zUsKuJyoe6Wpw92AM0MAnCmf+z5LxMWOISoniNtQzcRUI7bwmSY /RoU4bSs0khJd7D52aMl7ZpVPq8RUbnuOuc9VVg5O2zA8EkT9BoQ4VhnT66nKyPTZnJZK6x4xwzQ LJBuFtEEEcw0NUHza4JAqtrn9iD2qyPlGQiHgKP/mYxDWxl3izFhew2Giryo0ZDpVJFF0U5AyzNV m7zFc+TW/nmNhXCAyaaRZmAQ/+A570fQayCEE5pkuiyRJvyjcMayRHGlKwhbTFdq/vWEHlJhHrlc ib1xLtNzL5KeOU/2iNnGCsL2h/H3TwauzQNrUshfo6DiaVpBFdMG1W9bhhP0D1sh+fMaA/ENl81P 4lRCJ/r8NJqf15Ik7r2mK35e/+EynMxT9vYjelOrkLpowRGdipwHeQT1+dih1gUdC7L/UEc6xzR7 cMSYb/QtYSnroLhFN5TXcmQR0Pxcue0Z+B3UNy5S8YICYDA/fX0f0YCHTHozcn5eK5FcsyiwTdoi a4O15vOz5UVEdACCcklaREe0ElgtIw5OCYM4Ayoi1GpAD5zSeicb7OcoQHwu9WFB4WjM9FqExMua jd6+g51A0Aa4ZaPXEmQxg0XNTxW+C8CAlD1IjIJoSp1R9d4ZPNNq/jBTcgAFzLi6EwzDa0yKKDKN TY+m/kQvt0HkCOoDZ7fiwIebPIwZwT4jy8KVdZvUB6JNxt1VARO0QZXakxWlKhrmAFs12mUrfd1j CG1UMdIcRZF00k3fTVQHRZHi57TNHCUa67wsp1/XEZMbGzwUOiHIiXtIVYXX8EhsuA2ofi1od5ZB SbSqBH/odYOFRARcJTlJg6WtkNwU6Lvy8SiY9mSD/RGoz5xE3bfaO2MOlxnbI0u2nkQESGGHSJza l+81tFoMABdM0pZF3HAXKy/6w/zkJPu6rc6xeuJq+qQVRCedIWCqNxryt32fo056+ELZ2gbVfMbY k+zL2sBveg+owbOo3wH1wl5DJVtfGkXUleDcObdLWU35DBE0wXolAyJYWTkWIDivuyRjJ8+jPp/z aJ9fD/JlXEeQCECAeE4TIAUHbB8lGev3mb1ukJMlpvUoANqgetoJ2UUeqAWA0zCoHn6jZTf5GAAm 2CS9h9XJsrIxhq8jeE3ZJJVlJzkAExDJVnU2miR4n0K0YPtgaRnhgYmap9Dph6krpxN93A6zbEiV CR8IOJPe9tfzqFa2uFGCr8awgAeVDAcTamnBJImX5QsJ1bEfmI77JEWhtegVeVUPrfNoNzACqMFg SrN7bfY9tsb5rwo2UZTri516bmhAbkTiPXkaymnYbIwDU3eys5Vm6Tr0zpIrjl0emiwOuo6AH2rS OC/l806SK7J3eGJH1HkQQN4TKQyv65YOiqt9osJs9aHcrYWEOv+wBPadI8fgg+f1fgbfbhPWpnTM Rf2jU2jvZLCZ6npEToOrZUdSnnrd90mCNCd67+bz6UznBuxik19M3p93hly5xHk/kiDngKVscxRF 2Oh8nHW0bw1kZGMPnj2S7f+LOZo+R1GILXTSEHiZgy0kiK2fv41wt3NSnbS2T6rGnjkazBHRBDSe DlFkn3d+HFYr1T7LJruiELrAGDuNxY2KlcnHoyCyfF3/PSOI7B5kv7PjuI6kQLhUXETrqBfnMk0r GQFIUrzAH4XZg2yvPQ1u1K683oSbcSm82VqltCVTXtYXQfKSSqOq1kJOvofZmTB/cAfTHQwQ2AvP 1JPIQkwnirPX9mUkIC4YTdTX0xxFkXZvqqUlr8XSWlLNIgEcqKsPFwqbo19D7XO11zvYHG1of5ZE 3m6b569JCsoB7QVnALHkU9mfetvf5uj8S9kHnUdYlVWCQ0BGRLG2MMQ3FwGYHLgDqzZGkXajqn51 UT1KebiVbhdqWbq6w7L9d0Ycp0juVesO3nUECyttOnzNc4HRGxD+XtTaxH0MzqsVrDFd/JL3m00h NqqfPtjFNhjn4vtK/rwT4opAwnZmMyyHijUUvGySolA7m75e8YytT/eLqZSRMP8qaPXx9n/nw7F2 QFCb5oqDVdXWucsG4O4sGp0fHRBFnOPno/ag++Ts9zxi2fGdD1fMUwdwUlpXokgr1ZIE2ixLQR1y J+98OF8T2m0qi5xYOz1LKapcT1bWprpCArwst4lvy5dSpgyQltJ7rC37QlREyEYduT+6+ic4HWdv UA/9fFMH+ght+nOgbCCpy1qXkzN4ar+T4YprMW0U8jEAATd0tUFjkkjJE9p93rlwnGWvPprHLM7C 5pHkOxcO4fbkWmrzeg4LrkwAYEn5x311qolWvVPhLH4Bx+QZvEE0NgC25H6j83VipEIb1DPxG4jh Rl64wWu2vW6wlgBNBlsl+6AWSM9T0tln7dbPOw+O4fZNSdjClev8XUpRuA0sB4Lja4JespkNQi0p FYskz8awnOSdBsd0gf2hKt+4Wm7zGuJkDXAK8nRBMOIkESg3iEahuIeqtHJoemfBcZKY1Ca1+lN3 HYETqwoxicLy550DV7Iro6KevO1UGuhW2STFlewC/BvVxZWTlO6JW0q5XLS7kyfeKXCMQMjSVR+x eyQMr4+MZrVEPYEVZdcStsMIIuFv9UOae53yHUZq/nnnwOHPrDyPqgQIq5/da+HSlMvnXJ93ClyR 66+d3WydoK8Lr2Cbpfd4G6dSISdgeeaGqM9mae/lnIAnvX1nwBVZGUF9lpO10uNj1ZE9F+iuk6M7 gehgKensnQ34KSwzno7a0usG9chBkyaKgWlQKekcRZUt7JYwSVG8PbPvN7wddLp6bV5ue+e/3ZN7 9Omg5DEvb6JUa7Wd7BblH51J7/H2+Y0Jtj0bpvjgUDZY+45BcX1MTNr0ZGb1esDaaZcHk1yG3jaY Ipa0c0pmZ4UyB0tJgFV0OcDu/Xknv/Hc9MSNRW3wSmDobHMUVrXpz1jq9t2WtzNOCwI/P5J2t6rt O/UNFw/rQ0DR+nAbs2UuIJIxR/gbKsm7zPZ7J6ULsgJuYrn0ukEwOUW9YXLLQef2eawLXLUz3zFY R/M7b+vEGyaPt995b0XmopiXcfmBc7jc2RKdC0I5NEjVHL3H26iSdATaxPppsDk6sRFazewdgTsB wC2DBBS6gByd9UKRF4Ur30lvvtXmmvIhmMXOI1S91KxqJ6T4vHPeynXU9UoSgSJAHVj/Ogy4p3RQ jdxGSLL19yuuJEe2p21FknfG281tt9bR/lpH5whKqCSJGjgBjqCMzkQjGjTNOW5lm4XMzzvhzRpk X1ZfjkKDr1OV0Nk59T/vfDe7WL62GoT9gfSzKYrC7Umo9sgm8YK62yNosqzBBlBeN12Fd7YbQSJY RpXuAho0RRmVozkgO1f3ydhApuaCA0q8dEYp54jtRrkpettgiogOQRvZB+00MMOk3nV+1s871Q1/ bPreaUV2SJ79vzPdijPdqsSCmMHt5CDtIX1moI/LKMYwfSe6FVda2hIy2VfIhPDZDR1V1rXhJV5J iWvw9m7tvCIsO3wVtaG3jbI2rKIB9zkbtIo67l1JvpbyeSe58cD+p4gExV2oOtgURYF2M0Pd1myK mp/dMB2mPROmqI9s/gPvFDcr/iLrP59kgxdIam+EKmCjNeqCCSOJSlBjfybd02hWe90oHem68Yn2 R5VYXCtYDk3miWdplc87wY0lEr/VGJXDbKQ+kxQF2sBlI53NDs9estQlil0yQpqkYU2kd3obfiRU kUi+9MG22oaHaFKl7SRXJySm4AJlFDJBI7dAQhbW553bdsva5ObboAP7RPFkgp8zeJTPO7ONYfb6 3mm4SkH9tRl6j7LVpKdBbPI7rVfncrfsWqfAA9id9s5rs4QRImO0sdhfNhZAoKyird8KiH9kQzSW jhC/4h28O8JM5J3VVsSdg8BEuoPqI2kQGYjwsfMVgzstfff7cTw21ExtiqIQG01USHbWcjFHY3gi kruXtPt0PbN3ShvrMwyxe50+eJPtHG8IAxhiA4tvHSRwtRYY0FSpdo4kQX3vlLbiFMkOp0AbBIno oI3rJ8HP+B5iI2zwENsuwHMSthsbvTPamPez7i5UhGziTfjm/PK7L0eNQG5CkxTWtIkInU2CsLIk Jw2E/dmyKIEz6FtFiYmTdJ90BKg1EJ2urc601w3atZ0m6IWKi1D/tCoHtNIoj8w8/vPOZytXy8yO o7Xg8dlvcBQF2UKtn9/kQmty8zZbm57SngvbHb7fyWyE99FJVwQHDk9xBJCXZvaVrTbqBLFjvqEA UqDW4xEkDsPPO5ftKSH1RH0JZl38+cGz6ix4jPOqn3cuW7k+X3YiDRqFr3tmR1E27G2R9w+vas+1 rIMENTxNXc30pNAkRVXtSQ+0ie64DZ7RrpPsL2UiE24iuCQBIIEAbYJmZkUf3UtIJLK989isToF8 TaEkNch5scHAQDy28xmfdxobX/KWkNTebRT/dhxkGGeTxtZ5MUhkkea8BBonFtcpzjWRWxQCIeM4 W13IdIenrQ1vCfKRTwS04LCK/Qbq3KajIe0mtJQG7QbeWWwGFkbtSC3bVF3wfMEmkTi2XOfnncRW ZLLx1bEFipdStDZJIYaEJzehbFJ6gyktKaMFTHf846axC24MLKV3DhtxZtJzYYzIwTu2A0rXnUJv MBGBbxyUA3Ebr43rH/BCq/4T8vB557DhS5iGFDhG2WBgpGLFyHNxzs87ha3kb8CxinIIs4dXR94p bFxJZIiMed11aKnOlVRlik53ncSKLVZSVNcWY7ttiZftK14GpmJPDaS6M0kwk7M6G8lGCL2hKnGp 7Spsv1PYsBYqdRULy20cNEu1yCGIV8XnncBWLrEds4R1x75/vbDad/4aQoeStMma9SMLmfskIg9C MSUaeHtt7/S14oTtVRQLlH3hSCcUQfDIaiR0hReEPCdNiM/RjVoCWDwuukzmwzt9jThyYrNXmT4Y HGnOxkoAWKqfd/baP9jsIuHhc6CwumWzFBa2F9vZLFNJeGMnF7w54ZZXI8/1YdjRd/ba5T/szfr2 3lc4H6iaBUfjyqwqn2VJIYUKvEJHGR3cXs9tVSJ5J68R4M+8f0i2bLhsGWTEJkmj5/psn3fuGuvi 3+k/iiq9XEDSO3Xtpv9pGm49J9pLmqXONCkzANuc4vfOXCvynEEZkvAHDl6NhGw+tVGhjoseXjFN oPrDf+7z4pEEkHjnrXGK2CDBv2yDJSXQXuVCOind5521ZqmxpoiRA918h5f+30lrhLWx41+XL6O2 yo246dWthmRxe6Z3ztrNbTN4vzZ4Y/tkzquTl7grwAWJzmADAAnIIv8g1fCAW6soqmlTFxdSZ8kH m6LRMyt7DTaW74S1IgmKBx+BFKX34RWSd7oagavMRMZtIfV9XXWBjvFQsrilwDtb7fb+M+HsGrxC cqItAEnZkz0n+KyZSG5kCA186VUfDXgiSN/JagTdE7HVevXBNlq33khruNmiaPtfwBYIZx0ptU1R GG3LCF2qIyrXTtc1L93LtQmQPVVIWozUZilyMNrm4ButgcSuKWonrlmV9oPCt5YhUoKZWAJ+z+8I Lv8kMta4g8G1wGRmYeik43g8CCP7N4SEml+4DW2Kwlg7m7ikZ/+tTlfeymtZ9p9qXYb7a1FFW1ME 1RQf7LzeCYJ0vapaS9BwZrWWJzTuGVB/XGdSOy2EkGwRsqifOLxShUpeL+aDXgYeDxr/9Tb+qQuI YkK9Je0ehdr0QspVxsNUjCjDpRAGdSukGDGzobX7e6itHt/CadZ9sCLSWuewq1lQpJH7mnYaAQQE Lg8PbEXachHoMemRhdpCWY3sVk9Q/J7kckNlkI8HlJEHiqRWE6j92YPIHta0BWkDqsOtPvvwOWq3 YLsgzKA5iiAkQ5PDhaRBc4SIfXaS1AEg3UmE2TRhagRwyg8kA2/6T1WNHhW1ESIgWSO9JrvQXYa7 TBXKtp50pEeRdirra7MNJtTZj+weBto0Zz6BhUMjZ523NZIMt00JA7vVelTU5q0Gx+Hig202rEx4 C9H47Jx251gtlMVHB5Jyj63dhTSJRepRnM06EpTNZCIAJIG4Rxu6ZyShn1gSzwf8xwv7Z86GU5Yc TJulmAG5iBrZy9L/TPKruSwUc1lAc8SAfz0KtOeUgBS5ERy8RgLX0ZTMZOHks53gyILK/aZk4hdf naoY+JIosWW1LVFasif3gGkV7WDuoNb5jhFJ1FcSNSUSeg8XitQjFiSg5ieEdIw2LMWHl0hgMWgh JAwSbI7CMJtNNuBFfbA5qibhQKp6ReRHbsSJlSpgLmdl0l/Ebn9WJHtU2F6s/VdAgzHguOcemRMW x1S3O3khng/utnGhyJ3LjiXJe27HgTZT/vwYByhamlS0HKa+mSDKYLMUcyFZbZuq286nbjuhuzgn m0jQrSiZIQJyXeDZB8kZ90yinGt/j7TPl1CMe00qtCxqBEuuHfwpTtgsdeP5YCnN+ZWNUBem3ZJk D4vb2KXn4MuS0kJeC78FieFTBIimJnAMUfbfY7w2eVrbigAeaiP7n9QJwnbaNYEpLLoWbSsTm4n9 kd6cet1fDu6zDGW5hKzA9OvPyV0SE7gTLhV8QHB0Tz+ViP1D0oiwwGbpPdpGQiKmKH5ROSvAqxY1 JRS3oWEoNSRKN3MpRdH25iyNwUNpjIewhXAAnqF0YsTUn6yE2T/aKshKcpu+4Qr7e/iSaJZYZlPP jYOgSOfQa0ustjzxeJD9t+9YUhY9+x5KEWIbgvTI7IesmVEJrSZ9UBpCD5kPt0F8ZOcnRdcbS/+d ee3ZXEwz2SaBVMYsRP6dy62yN4nidkfiVGgwk/zgBtWw4kuCOGkRQZLpZdVy8grJmfYlR/PziXj8 r3WkiiS4Wg8euYcBNydpkJQoWHsvrmeHYpDfbrQj5SRFxe3FKttQDDC+YoAKwLcF3BMOJoJHdugZ bp51tIpQRXKQPdojDIl0EZHz+KBeEhI+FvCB6fn0kB/Z2tftxjhrYOkaQzsKuKd6knKhoojkqh4o FZYghCHZzmEfUW2bhl1n1aOlpMExJJtxqTS15mpUHMaxlYDhINHVWW0mwz2igBtFBQSRa/hg9X+U BSVWfhK3EQbcl0NKlmCiF4r3JEcUb3dygUZhJ0mqwNnpEUUMpSmFW+tJjrCyrQgA17sN3pPsG5rL wrWfyUqANOLonfVnULK5XVZbofg8viRiRzKrnRIcgRoFY6MTnyLy5aWO7HbEpe1b/+csTYiAX5zt CCHbmSpRc3i/bfAiZZxUZrJW0nlwWqltRKVtEHQW4HFLA+N+/LKzZLBsZWyGK61yIa1O2SMYfowb AADiPvAdAYC0J/mWbA1mh9P6yb9Zlenn//B4xEX6pkc2oleaJyUjhJEQr93WU2pjnUIWng+bvVM2 gXstCrchfYNcCoWAM5AhNlgkyUAjL5baIJ82FiXv5UEjy/ZHefv8KAPf8QuK5Nz78w4qAEBqithn iFHg8Ugxon7nbSwhLQ+RRhRtd9pSNlpk6zhS142KEaTUs9TWWOvkFEXRtjQ1dpt38Djy/PajsRCy e2ULkqYlWPe0Nc4gAhqlDTg3vu5v51Hr7CI1coR4G8n0kL/K2Y54PlpH31Vt0CsalrdNUhhsF2tC 3gOJ0ZjJZ/VqF9uS91TiJwXriJX/c3VMH2ySAOYDecEh7XlvObugW8qbCq0xraNN1v+Iqtp0GFmZ zlMa7PIHy4zhxgki8PhfxxEeZGb7FNtGCNXWVnvy/0bTD55Gi9L8PI1avmd2BNUuNHoDIcsHb45A LosoN6yjs6shrX5mDLXhtunDOh6kdtXbRm02Vvwnu/bsQfEIqVDmYsjNxHaEcfb6rmoXtv4uE2lE VW0Z5WbHi4Bt2x1F0ijIbL2RZOpQI4qzlbKhUKSBTo+61k4M2ch+PDERNp5ZNAMjDZof2/82RVDT xXdE0RHtXLBEMQCDKKrMJNHih2LkHc9HXbZ/arbsRO47R2GcTSVNNmntUmvb09osp0VKH8JCTXMU x9mqjEgYGYOWEQLtWnahpwS8JvqJwDAvnVVJSN6DG+FbjSHkCOPsRjN4em+An406XdKSgHUq4TPn nsQHBNl//Qcg0ZDbtHUvtjjSZrUtEWAzJQFg5MizMZo1bDGR1rAdYWmbOn7d6P+9XGHWs8Mq0jSS 2sY4yzJvRtpDdQKshObl/42uAb4kOJGq9Guz9FmRbUtlFPUcwrUR4eH5qEbi+Yj+3YXc1su2Myxt s5DEk8HktZFgmlydH+BwDETbAunIjOX8hP4r1QdvkZycAxZ3BJESmdxZ/08qd+A98220kWU7QxBJ ZT67mLlx0KldpPNJEeiKx4MpalfNhtkejpaTH/n9P6NYGy2Ck9g2dkYQCiQYdSqxZRGVgJKzZ7qV SGZIjyQKec6effCrDaFjXQIjNxbfSNcm0aQRlYQTyZG2S6/7WwOgFtL/q/PmzsefKWcZCPKBeDw6 lL4xkixDzVvbnlGkTW2CIrgzD6XVH9p/Mn2keoLLaofS/IMc2XnFcbDdhtLYAA+WoK1z+XTq2Xek /JsGeCicuzAktRHm77XtiSvQBhVIUjNhtrOhKx7/a46ymiTIZ5K3tWcUa9Nu86zUdW1JVnUN5EVH XgH/u5/cMyxtW0FSytrzyWvTyGeXDRqZQvWlbjkNIyrv4HC2p0CyiGubMYREHhvUGeWQVLSlryx/ k5TxeND5v+VI8UeYHt/EdkbBNvS3QK21e+xMWZnL0X+1Ovov48TgsT1DamTlHLHnpsGD7fP+Jy4Q V+tkh2WwigThjpMTIIhHiObSSMRsz5AaSX3oIgJJuQSSXvuUpvFJcCoeDzqS7R9tBOoEXrWWGcba RNkU7TBibadzbEt7FNpODuFzFCFI5EWymiBJ7fE1Rx32vEox0xZUkmhTBjjuWWInYqrpRkk0m5xR rC2vlpZT80F7bew6GHjDFhePRzzkb94/+oyojvsURQiSQV32XtITa19z5a06G+ERKGlpq0Vl7UWV NpzFPth5BOL2pH8U0traKB4Ir0jYiw00VMp1NKefFb4jYrQTO0o3msXzXZl/OUGeNP7P++PxKGPL P9+dtpOxlaseMWNWpBCkyZlanVGyHdnrMrXLtIxthkJ/RU1//v0c7DQ6YTpplUS0nbXOPISn0cTN O35kUmaF/623jWhIZIyi12qDVdlOyjPNEpCPR1CtexrJxwz6/retPSMIiRm49eH5COsgxhyddo4D 9uFqtTOKtVFqRK5GQ0AOtowwHSknLaNyfpTNcDxjdw/aC3RcaVbTnva6ARQ5SaJFDt0oN0kW65wJ o7PRdkLUiucjKNK33l/mD3MpJDOkRTaZA19dpJKza9ZuUg5IHaXBs46jWIaEdVrkVTb4QmKtvzLS xiJaXaLtKEN2FMdyTc8kraLXjeIj0t9qqj4YXEvoBHL++I4R0MbLI1ney8BrTd9tK4q0E+XFSVZ3 XaR1+RF9exEpN/cIXhGIxO61IheE0u+ZjaZ4pmwhIu2ztcjoSmhp/KA59iNVxPUw/lcIIiE/u+w6 fNAcQSiXqJXzY1Q8/hcvkmn3JjfSS0grrmnLOypPjyFb92ttkGutfm3yau0KMSSdJaRK5R8Ofq3l kzpm0OJRQjrZcpPJDRV6G5xWWEISFmluvW1U0mYLUnf2prs291pbtWsd9RPH4/kI0/bd+cdB0wFh tTkK5bMrwTXiZhNDIpyNREiSx9kZ3iCaoxBDQh+kvbsAtsRjsPCBJu0ivh+5yEAhiYlvMTubH8TW Nzo6ESW+I6hob9b7m4Rnm6ELkCaQT0ZRYz7+FwqZPx/Fsy/bf0VRNh3cYS/iFhp93u4Ruok/Utei IhqPoxUitZWnLcpCcfBldELr2aSKBD/gbjstkyRdIaKfLqONkLYVV7TJYB8q2gJFVLyGNPtUdnHy /hWF2bPP7yMbSD50WHySojB7kj6SW3E4e27N4Oxg6pk6wn7ktVZIjGS/fyYWGjnc6giQ/r2rpn2C GigRsUS5fyacmr6MuPNm239FCJI+RYlA3X8VmmSohtRR/eQsnbADz/8VIeE/wNiOeXsjK4qzE4PI QnVU8zog3x8lpJ2ur/uu3VdSqECijkcqyQebpAJxqFapxg6lkL5YgaznDvrZYAGjtuF2m4mgthUB SBbtgBeXgAYlbB1QTjK1TyCDxyO81v46tFn3PVetz1EUaMPxGWU2azmCuNWuTlubBteCYruBbNYf gfaZWh9st6GKkc5JxEbkmTy2ESeUo0nJ7SavqRLSRvqDLwnmqFMNAQ6+HLpxrjMI4VxHKbXPisiR /YaRMig762hcldYVciOzjFe4eBhQyiRZJnbU+CnUZCeQpPOTftNENItfDl4caZixzgbRydzOtlAp suGUB9CVapGOHplDrxtlI0xmp/YcjnjhkECR7yYcVfF4cGr/w4qwbrEX2VYI1mbPv6TqGmSFMCsJ taXqkLaNGplUteOqtmB//Q5+IOFuq0gJ4fMH7iV9I88pSwIJJqM56a9Q/wZfEsi0MsQGcsAHq2ln Nm5xsw2+YyTM7tVa61oChpQ80l4xNZICJP02a8+f5373ALz8GFmbyHdOUhxp47imHZcNntUCGzer TEih9ojKEfYglhzIIGgQ3xBpDL3uLy0kXCF3UCUSRm+qsp1cF49HC+lCtRhpQ81u3nLtjiLtwXZt 6TP5JI3p5JHuhu5VsFmGSDuqaQ9Jj2XdcJc8AkYscnMcaWclbZyuRL/THQI9xB9YCrpRK2vaO4q0 G0VLV0/LB1tIay4RQkdaeDyosvWrrc12AaLRB66930Nt4NkUOxbP/StLw5yjISlbhpHJ2SM7lCAh jQ09ax9sITW6am7utvOnlDYMhgTkGyjFGamh32wU+d8hXJu9yGqV7eTSkR0QMU1SLwOPBzfbpfzx pkc1+1HX3CFcmzytkzN5tdZ6SZT7bd0ztgKkkOYoDLWZ0a+hMsl4GkgAwJZeNqtsdH1RWkt1NIiQ zeJKNoWeu/iOqMpGntYg0YaDMrYzR1Quh9D/xuMRK9IPbWw1NLBaumY1OyRFKmPLd6sB+PLjXprF pP4T1d40Rb+CRxJvcxschZSgszjoNgLaHxwjUawF2OKs1PN5qFp5/4gX245i7a2UDTehDQqQ0Ewo As00vmNQrL0Z27SstqWrY79/x2rT3920/td1iMy1OcBmkJzCOfqdErmKpqo4UZvWWIiPhoQRQB+h 518FrqGh54Ro28ts3d42SkeoOrZItOGQLBtZw/UjKx7/k4KMWArqCvsCtXcUZ6vBxkjK+iK0yuUU VcElKjuh9zSKsSNMamXpx8GBEaAubupC7rP5O65LskbZAYJwE7V+hdNeQ28blfyXmDXJB5uik+rI tKbixA5da/L3FE2KxN569o6wI4mrqOfH96h222kg1Q+HaSVXjNwRdkSKkUAo+GCH0QmNzp9Q6bsG HuvelCenZC2lNnjfWTO7d71tJKtF0Eim2A8HFWuhZ8atDRgPHo+yte8pahm12n4Po1iBhPD11F0z CvwFoyA1F0Qqe9GyG1H2/gs7woSEgzbaeXImGB0y7z9hcscdD4AbcoACNWSqs7FOQgdifEek888a 7ZL32rqk0bN1a1eyNjceDxKRB35s/q3A49ydFtazzdV3d6dpFStin52mqi0pkZV0gM5P+i3KPiln 88G7a2d/gXHMZYQyZGK9sk4aoLFleyVs95562+gw2mw9juaDz1GWj+M5Mwcej7g1350jlG8Y19oU RTF2I/cYIEWbovPruH1Np9qALDXwc2unRbiRyfWzhFLjYFN0PqWDjUdAZIPBIT2kGoS8G1WB0eQ2 F20WancsPkJbaEIZNOhKOzt7SU/zfBEe/0ubnRRReFG3dSFIBtR5+1rutb6v+gi0hC2jxYTxH9n6 SeY2nmJdbapFFHJrODgIaU0eSVgpewCetJH2s2a1ARKEcMePxFszaBX6lsBpjIwImm9ggJgCY+Ox W2IUjMrv5AdE0NHvHhtUo1hX9omKeZHkjoJYJT/IDDQ+sSMnrklOipgIliTRnmIbG6ZsibVtDnZw Z7CGoB5BtNaJKVDkhB7yuaY3zGcKjyST/GGkTYGhGBkBHET1wRK1Qj8axEgz8/kgIWleISkqSBLf e/U16E/9/iCO4/Pjdi+2TZWzJ4ttRRXJEzHlbdIR+KgArN04U2XewattwEdQHYdQ2J0qdUhwXknR Ju92qRFLBqwpxJCgZAu3ILL/cSCLrwfvMbZXQFRb/IAgWLpCrboTQfonUNNmKipwj7RRZxvWP8I/ DrfQnFLbxEyV3ZbPVOgSydJ2G7Sy4+BrqtUBvxbcdPscdanQww7MlR9IrEIgqTyt22IvHOEkWW1b qgMsrwOcE3km6UcDAYDn/+L/KTGgmkC9aiSCFLxXsiTaUh0DOPh9OqbIZiEoGbx0332hrw2NtsYW b2s/ZNJ0Tu2OmBtr6swTPIxYVTo7GsrtSBSSV5UyyaT4lshqYyjuTj5YhrKpnEgOfebzQYpSbl2J mw8R3BzPRIWVbuokpm14ZKDN/GwCKNqK3ptqbHaeh6Vu6ratsocPPlGTZgJJLtFAiI0qjBsIbsDM AezlJcq07YWjigAZboOoAA6aqLKkX/gDXgmfj/y2vjvdSJTGSs88xe42hNsM67mha1Kyg0rp82Xk 5GsSnaI4fLI5OSCGaoMXBZCW7E3m7ZlwdEFZpoT47rmfQHce9dpEb3Sh+TWRppRkkug7frvFEBme SXZQZ7L5/F8sbp5ROOXObXwnKpYnYaApPCBxJkSpi+c2iaGAqNQEPtvOqLjmPXSMTx9souqaMGgj 1m2hP4BQAqf5+dITPEDCffoZVaj+xm/5RaBs0pOHg5ncTPB5uIZR8+Pzf0ECmS6AqjG+d14Uka8u l+h2xYBXuwiT2l0SECU0twKMVEpMwa2zF2ky2MbkOgsK0TgFNzIstheJE6gZkULZ+gMKnPa+v8UH 52SpPmg9gWgin7vSBp8PNt4DC8SsUudi5WdBRWH5Inaypew696gdWzVOxkdMgCED5vMU1b7HEp47 FR88A24szjDFhYD/wNIDlL8ByT9Z4HJIV8m12AtHxSYWK+szcKLaCTpYyoNkxOTzf9FwBARHSv0o 3ubQWxIVnJMGb1e9SXsPF3QlL90mSmkwfRNDpMmgsFQVzaQ+NBNcvtAhoRQHugdzCY5z5qjBTxGV wGvASY/tHLhLujRgxlq3wZBdBUsVK+qk3Xw+SvPK150HPPb48k4K/SVblgp3uR6cji4tbFfaPLFt YfMUwU3oVJLxu/pg9QLYI59MmDLcfZyI/ERlOO15JqG7j6vVVe+2v2/QTMnkvIE9bYOmqRICx8C8 VD4fBOa3wlt8OcHn0qcpdpjEvpvsz3OaZjWwEk6nq3kP9rIqBjm0mBTFFNbsPthygnBipmMGwhuI vkggGLldgzwkCvg+TaXZ+0Y9J1XnihTdi0EpwTuvXWL/dfD5YDU95kCqd8KSvF+RoBz4TMq2AaWV 5WpTfc1rC8xOrRRwKP+m9RRG5RKZXhItW1e0DJw6SIyQl1NZNugCp+L8rmSyP4pcbITnwGgS2078 rrJ90Ily9rAi9PN9lc9HgcH4CgzoewowtE9TqBA4iamQzJT0Oeat0mnGKKaU3GsqB1aTUE4d4pok H6wefg6Os5nXJqQSPeDE/KZCHbDBoycP9ueYvEhOKYdmkwgk0EWm+U3PRP8hud0oL9OpJcG0NDSb LOsfWQ7UhdEh93mKdLkhZQxk0fTlNGk1r30nd3fuO+p3aN+FETlLdeBM+GDzdO6hE05CN0EkeNhX sxOOowYwJqD9Lo5JgUFoN3leBTuO0F4NwnqhMqFj/HwQn/9LLBj/AZKq9Cd+CvwmjdILNd/kKd6k GS4j8jy74U9qbobQzaHh5KJyeW+05ertseU6S4YwL8zD2oj/leIBVTPRKyukN1nBTpWo0HGSNniT +AwblAyXkzvKliOXxeejc/wfmjd/qvKsp1DBRA6vM1+V10ySJQUDqEyjit1cXjQITCeztcVpc2eD t3zPwmlNAqbnTFkUjZlga7JaNn7AM/OooFZ732g5FcqXs+XLQeET1qWU3muqfP4vfQ4CLDbp3te9 NLCdlK9MhrKE8wbyuuKcglThQD/ZRXOP18B30nWV+6bJDQebpnRC5NpU/j3LF1RRJHgVsg2bf1Ei SUeJcG/2wkHFgPQcbGYfhLEAj7lYmaXw+b+EzCXIsLCcnm0XW+EoejKgNwrA3fleZRo6FWlLvfMU QlGGJcLNBy+TA7a+WT3ZExqECsfPPmmgjJ7Pw43+b94Suk8WKQc0iXQ277c0dPAE2Mmz8fkgb2n7 K3zKSJ3WurZKOTSglGlwr4bTueYBxMft7oIvqyX36AwdKJcU8Yupml+JxdUBra3aWAOwEfSGUT+f 9MI5e3A6ebBQNJFfEtQLlprj7IvCi0DX3cmLXai7rMznI/3ApzHFMxuBJsqMPlFRPE4ltDw60jZT EATES0JUUGnO5OsmzLxKUKEL5RgyMX+G25tKMMKjocno579AUBKlrnN3geb808Y161jN3zc6oJgI z9x8sArUFDob5/jk80FRs9xEmDsPva78dBRCG0p6052P7nbhpXMluT7eSbVc+L307gd54EMphyjo +7HPy8F33rmKgPxmCarDUY7V3w7Zv02/vTEvmjBXf+Eg0GSLqjdOFO3aSJBE8ce0nfr5E/gBUUh+ XU3lIEzO2j3LAytKOFhV0S33j6vkFd96YOjZ1mvYJjZTsWY34U2bTSoOvvWI2WFLcyPLJ1cWR1dl MxpRTGvjufS2vXEALWD1CVALDVmbrzcYOjDWPDcsn/9LSlgdBZClSn8OqdAmp5BuMVf2nudcVjM4 OeL0msHEIaWgPDCkvFK5nYcUB0/yYOG0Ub5D0xPOJlQfOMcuSKkIkh84eMH/Uy8cYTCqFLsZbIJu Ij3Jc/qY8tw4yQQ/IILNe7muWnjAJpBPVOxJKaTK9aQcj9tiHflad+1bNAhNKQVVmUOn+XgmKtOO CBFI5Y47cQGplwOcnAL+5bwNdEIE+SW/HVJFurkcrLZSabgHcbfa+HywoMr4iqKomvKVC4emlK3Y TZcdF1bdMQdCUtcxB7r0Nk2hqmCnJTUAgjZY8jIKEFybRicVAl6m87kB3kZUA1MUF15a0943AGOw OzwS3WA4KDgYEB1pmqbN56OY/NuegpLp9WvbRUXyvqV2sjwXtrSYuXAxd6oTbDaK53V91G9d9J2p hb9zuoaCkLcETbUwFx5jEeCfaNc1mM0iewC2AtvO5ikErWi/oYZrgwAZJ4lORIafpHvy+SgX/m6i oy7ZEHD7PEVBuRg9YxWfp6EqC1ErzUsrZ3UMr0EF5pQIl5cAhsUHz4VRnRuwL5Le6VyCYoIE2XBN AZ9np5ME4XJoTlmXiuN9+aBUGMKCJAkgheHzUb/zyp3jdMpoWo79NVHvUTl66FhQixGPznH+u6xB wXHTsSuyO+v6qF/tzhvdYDlcWEY/11TfotAVoIVF6znnCXkNJzZorC+SjVHshSMYvQwY5DEInHaz 84nBPnTea+fzUe03fwdRoHWMRzw/hy6Vcs2dKTnVcPSLf27VvJgBpB9+4f1iU0kCXaNIzJZUu6Ey W++1ZFahZj0zlYVHYOMcWU29PDqBxHPoU4mLna2J5IPO8bOf6YZoNfLAqBLFPp+nxo0HN6YHkxE6 VW5C6ekUbZC6PW09lTa3EK1g9g4v1gVWlejfkK46aFnB4V5351MrgyRUiiAHKOkzNIUQaSkucKRB txeOeGKcp004VPdcsiCt6Ns8mDefDyAZlwOlZnv5B5ER21XSC3H2fO87QQ8Jp09tWFjQ6NmoeYql vQnFMDHdvi5PHPYCiaxGRJonmgbEDl7V5/3ICGFHyrqdXc2p0LFyinWwRBVjSsFLCvRwWQ+M8/n8 gL8miti6ExdSzsYnKuRmkk8PaUyPnyRiQbdq6Q3RH+aC63LsWsm2cFPRjoODfE5kcD6A0hVL/4zk BRSBs/IHqVDoutSv9nlgWymLFxjE8ZxKIKXJJgDss8Ec7WykzA+Idt7tTrlyJfQu7kyFITnq3+ea mJ68DEZvLLDUNR3kc/53Y7HkwLrST6hRGBtw8EJU5d9ENvQASLawXjdSk/PuD0BGF1ufl71wUNck 8zRXAsgz8BlKNyavDC6pkiY/4C9iPWN5imGM/RxSUUxOqEFa3bT08Y+3sglBV8OuIPewCktoYTnU nutKiPtNiE+40RDC0uVrQTw5a6bgErdQ2svEIRrjJ3V74ejSoyDKks7ncs2YmcHVEBwFJZbAxdJo qU+0CZB/KjfaDG0sEeSiqlIv0kDtO+p9yIGYWhZz3RUVYVdk9MXSkg1+SJ0kCsQoSut0iH3uzeBg oyB1tsKejw366va+v/h8odA1fRDSAJJrXAz1XPd8PshdrvOwOIsT9lDPNIWFclYNdsq+8c414iqN s1ZXaVwAhNk0xcgVlgusENzXXU7w1UGmQsRmgVZnph/aPpndhj5aQffONh7VqPktkZYlK5uU2NWg si8KXczQTgzb+Xyw726lnGVQ5NJ4cZ+nELgihZ1lWB7A7MY2hM85cZtIrUCAdd92UVAun8axRvHB IWOwBSqFWo0zQ+ly084CriawJ/wBLPUioVQpD90sEQBAoFk4g+Q4gwGaQFaTYC0+H8Sat7hCMWsQ P8hS9okKS+WLHc9OdxgyWwd10TPZWbRBh4FJZgWNQXloaAkaPExLydrkoIk6Z9uAmd45jVpKJ43b IEGedYaIhEjanwpMhLeo+rI3Dg4olgsyQN422J13Vqy4ZAlpXmBpeU6ah5xQ1fmD0U67UxWaWo5E LuKoHm+ew835ZGzfmO03zS8ZHgSulhDKYA+9yk2mXqudM+e14cii8ddEpYkRBNoy6BNC/vsKNjNM 5Zf8dpIXgQ3KAzaoiYL5DjYIbC2x9b710QvYHoCN+jSFoPIszNjtoWsBy9W6XutvlCEtzQt9LdlT gC9898GgBtCkOfPEBdNZjiLnHJpyQJpAnWB6ljcURAW+lirtA85a76CywflNlzmkDj0fXHjtX7Am +EBXaj+HxpaV7p/Ayl/oSs+WDbdlgBbgpEvy1fQXg1NUjvFF5QCJpQ+e1Gf7nXSPDMaKilOjBwfg 34YYS8Xe9zdERpLoTgJvTglHQ0pKDOJIaA2H1paPb8OofpSnp/USeFsCk2ci+9fgert3cy0USLLI 4EblsbnlKFLav4Nlw2ceRuGfgfJvmWtv+YTgzOrkvw9vvUwFUIG5pbYNOgrrDsqGYelHyjPwSHz+ L34ZGTKotrdL5MyBueU5UhKjS+nGy5nIkdEw+6neG8bZq8ggdrek7FDfChBYnlRkMGgno+Rlov6A sBoQxArdPURwq17JtKGeZ2hvOemW3iqpZhysXDdly4n5rnw+Wk/lq2oAc2TUQe88hXTOySRPsdLQ P5okCGwDPYLajeQgRAaBwyWqv6zpVs1TfebphEwU+qL0bjozDk0Xul+ff3Nh42ODX+jKthcOSFSI DSd8oDhAMVPg33OJJGZoIETwA97P8bX7VwiFvHDUrwUVeu9ssfCH94YHJHJMzImu08rx+g2hAp/L bDT8Uan2ycEm6vzWEyuMpY+zvuZg5oIG4c8muerL60qm6TlwurSD/GRDPfmgECoBf82DvGw9HzWH /1Hhy4Ru5SfHC90uaSQCm6k7U3W4mHNJ1oKpGQpMPlMResXQrIsglr0uWDMhFatVNgVo68HzkmR8 VnOBGW4XdT/FDQr8LtGfQjJ8rpvpg51QvWcJe29QgwLDSzQU5ncuDIfGH+jU2kR9XgOoamiOc6Mt P6KgmWorao/k2ldkz/OIeg2fKrBQpHe2VnzwOvlJq/BHMCQfyGqSlevQg0Nw0B4RXnoUvwZPFeSc q1Jog+YIcX+VUeHC0y8zVIFnEgwqq4F3YvMBQcsm1GM0PVS9AjbG2HhDAhf4WkjMIVpi++52hIPp 4TUH0Mb0weIB7DTYgLkyCAIytFtAu+twa4FvvRs5LJ1Lr/OTzA6kNiF7miN7amaDh1HTwtNv8/PU nVAb+bGe1Eg+Qa8h03lK2vLnuPbkt5aVLfk17hTWT+7ZYD2vAVP1uBLeKz54XFmIDSM28xzoGY4g DL8zxTRQqbmQ6Enk+Gu4VIHoQZzEnrQNBjgcZyMzSzkh7Oc1VqpP50AtdpLJCjubmJ7XQAnrh/Xw rohSZinrOoHI0JlaDgUZA6bnNUw6HzTUJW+SK2jjHkKpQWaJQSPY0+ds4/qBKPT5/aAzvW9zBVAD fMX79GQ6gPOIRRIH1qRa5Ge5Dh60gLN+XmOk+vBZ3IYW/VRAoTk/rxFSBct2/CviRESLRdzeL4D0 XrVA8jU+qldVZhuE7qtyAluRDFjSSXQJ5SF2rqAjf47/qdKMbzBCZj+v4REOoEKME2J7G7RTNrhK LAj0E26/BkdVWI1nAUE9r2/WdWnyF0xQawI5bWexTIZRwg+wSmoK4NvIGa+BURUlEhZpskDt60oT LZhWsDVbN5py9NYDVvWceZui2dBR9EYmA+3XsAi/ZmG+ltIdfH6q8Lj1nNuf15ioytBA88Oy0tlg A0oKmp/XiKjavZd7vv4fjT7s2mDlqqR2xEnytojmh2jC3Z/B5geBIxDUrFBWNC0oXJhw/0zog2QU zXyHMWN7jYaq5NzPUdayhGQRzMkgFdmNZJtPYvN5DYZwflnynxldT2lkTpug10CoqqyBxKM0K+KW bre6xFl/zCClFxckDg9oZrSJxvAcfIJAYN1Af6PUXRoKTai5wdxuAwWf97rm8ZNs8tcoqKqyCL0m uuxWd9kFVyxlAyjt/HmNgfiG7WuDwU+r1zs/r1VJXHvyReMPLqhAHdNOoJq3ndAIFK2C9FqT5PaW C1EZPtj8VPwlrSRrL6UOw1rQMzf4vgB8zelqhGXRG+W1Ion5QZ3tBOSMqsdyKZYENUwm62fD4On3 +enLT2iWCUB7nc8Oe61GYtESLz/5ZdphpO1xgkBpcIhAu5JNYQhEFPj5u33wou05N84RT3FUdHcH rq1JgsEPnC0gVlIvIi7pZYMTequnpEJk9UIkd/CuXjh6LUPyCrshEI/oDXBA9jvsnUCHuzlJgmh4 EbIjHDQijyunAG3iInvv/LnqErtrihE9+6NoeX6oc2Ioyx/gp0NPAsYE4wew5x+yLVxjt9nrBoto ECgv17ievJt0ok/gNPB3n7jm886ewy67pxAPL9Qu2t6+it7Zc9weieB4t7IA39SVCMdypsqmhKnR eMJJYihUe/bBQqECRNc5XtlzO/dAyTC12RCWPSk+7E8G1dGqUvyh142Oajo1aSG1ZyEl/MyS8a58 PDiqTUlGyQba5aM2v+vfqXO4zHgWrVEfVICjJ+pUGw4LqWc/i96Zc/ikamZWd7A5qphs1Ixwm+He 7ENiO+x2wXkp1RsMGXgomKIuxY/MrCwpS0XRB+4oZI3jXvi88+awIPr+jqcX99pdRlFAXYtU46af RnOWi8OZy4ETtXTHU0YRtYyHprL7ebmqgOEkwHBoY7lPwNNxLIBzCH19BDVSj/X7TL2cYK91NkZY MbFBBbUB9gP/7gLUaRhTG5c+C54LWNvAvWaT9B5V6xgB5u2aEEDyxYPGPLaDJuitpfJsNEks8xfm FBosK+vwq6SPDLBKO4N6wWMdsU3HBYcfnkl/Zh/m886X43kkUzRaETRIkwmFdQKJLIndcd70886X w1bN33sNfzckie5KiiJrVArhPLA89aDKuwVG3VjjZx+n7jyw99A6U5mLBpbbBz+Q4HtTEVlBaTfT 3AKbDQDzTgMkoDW8NksDone2HLYLs/qVqUe0skmLQ5l3UCnwTHYpn3eyHPKI5JM0OEkoD2Xqx3KS 3sNrnNpbYgPXhEBVRrJ2yvLwGhR1m6QovpZizJ60qdxuoAStAZAHklEsxtlwQi8Xib+wjAeGkx1J eep13yepqWFU2GXjoJUEvWxxdmben3emnGXAX0cSanG93TmKIuxFLNfOu91S42WATepqqoRW3KXx nSdXHUIJ+wQfLib3BAOri08I4fJKJmtCdD0IMWd7UoSdNLte932OBmNsqHxpMN2Kc+/SuAUtyM7H gyDS5Bgy6V+A60PoyefoPcp2UnhXa0imDVcYPW3zIkLhNJl6/DtJDq/f2J+Fm7oNfiIB5AGnXWy2 k+WMQU3LiSCgguwlwUaVrYWefA+zs0dIm8XYvr0YC/etyqT/pIP5886QQ4S019cyQm4O+dg7R1Gg 3SmpA6KJl9LGMp7OuYyKr6PUp8OS4kibRTRYU9tgczSAuobQLqGTpWb5y8E8GLf/RCz5lPan3jaY I6IiNq4QG3QeQf+bd2klOCIKtZ14Yrkajsi1PEB658bh9qekBmbfjiOw228QaRjBs8jSMD+0d2rc 11YjFZyDbbUBcCksYvEHFLqgo2SbEJuQiQD9fa9XY7r4Je8326YKcVomkeqlsQZPbObPgBN83plx vMb9zObRhbrVuBXrd1ocZ/ZLwqo9iBtc/nl6xejsi2kR0jsrjguSqLYiuqXbAxCNhB9ZQGVoxZxp oAcB+gUbmGwW5/04YtHxnRVXzXzwBG6dNubQKSrKaSsCVLOzmJ93VhyO/PxPiIQsckCUz2YpqltP +sbOspy2O8c26xisqeIhUnXxuHdOnBUFEY/O7YNDAJHjFrZsAIGfAJJQz/pM68ZiKEiG3O+Lh/Y7 Je7GSBlwC2IlzyyZti7AkszDTiT5eWfE1YfcbCsQRAKUdG2SwmB7Mpsd6fGxdt248/ss78sOtH15 Ir3T4Ri9MGdLFP/cycU/odEJzhcCS+T+OHVorUeFaPSzwVevF11DxZN3MhyTf2y3SaiyBvU/+tnD Jokz6+edC4clMb9v/6ky+D2Solh7iTPoJnrUkZ+OQCrddXZZQdd2C0vYS76DUrT24he2G3g4KcuG YC7oX8h+8PwuAzV9HuNWoZVP0zsPzrfbqlK35CDsH3xcCb06P8j8vLPgav6fJgiOJPJ4bI6iULuS NZR6dS3iea2soCvk+Uitnvu/c+B4s7F83djl5+BRJOw0IYuLMj/Er/CdKN7idINqMxTMzqqfciAG c+jzToJjQE9NL1Yj5zXLLBB8TJQ9hUD9550DV9XL/QqRcAmk526LQu1JSuUs7dbZiJLCJLXUh4Xa 5/bpRgl4Z8AxMWdlZPQ7OPYIZigkh4GlC4ctylJMvOIGV4+OS7eXtvS67yeSLrXCNpMGzVE7p7bA kQlzFIXaM5evpG1C97k276a9898YdLBY63roIOQY6KEASNC9G7K2hUjv9DdGwcjZclKIlJ4Q6Wxk FtgS+7EnJivK2Trk48Et3OUBJJehtw2myM2H6PgJoW1i18pJhYpka/vY+/NOfuM68lBbOkSDWln3 agsr2gSJFBlX8USq112vK17SiZT8RHqPtc8fkOmuB6lZG3yS2jmR2qA4+oSPZZVeDmQRO8xoM/u1 bmW59LpRsC0qZc8+6NRG6qT52pnvGJVI+ncgeQ5JUjtsjqJYGwUqAHLHFcvJw5B+Z4MRr1WkiGpt tXfaGyski6WR3n2wOTrXyQngJmU+29iMixkhgB8I2OisHiOhQa+3/W2vJZG50iVzFWwxKQeceOLz znlDGDrWdxxJy7HpRaR3xlt1N4u+620cjev22XdKDmvHFKl1Hcfa3GujbB88ZevowKYsZuC5+ae6 uET3oXUzh8taZ+qXfd75bii4Lm6yIT9LZ4uVApA04VTtHPqfd7rbP60RmaciY3ua+yE6hBZEo5bh 1ZG5ilNuXKUKdbZqQeQ72a2aU4PamDY4+LhBR2+zO5sh1l9AocBleuZBrfpzxHYj3BS9bTBFJOGW RXH0slwcHV1kgqYB7Oqfd6YbT+z6tdFwDqGo5VMUxdmN/dnaTRLg3Gp5OyHwnBymSpWsXtv5SRHA aBAZolWUn1VED7ktEcZzpiWJ2J6wBEDRdqYG9p5+Yreht40SNiJE0DCwQYk/DIFEVz4B1ued48YD u39F2cDU1Z79vH6nuGGKNjdaqZLGgxmTIWjhPDztLEpDJIDOT4oiyEb9N+23NX0VwSkDGHOWY0+g BzzyJj5yUMYcv0u6h9GkPMc7we22j/KSjjwAUiJaIaGgwuYPfoLPO7+N1RHPapXogYgEiJPNUhRn oz+OXLb5Qlq79QthX91niYRinNjv7Db8SpChQq1o+aBZqhD3Psk9nWPOfb8rMXyIVsF2RC50iyOd NOV3apuBLYCOpvFHdeGdcxoVmhjjEB7l805s+zfKVlB1TvZ6C5HvvDbvzEFw+7ayR/U+ZCvbydz5 km/faW1Vhm6LFBQfbCGd9x6VBCP6WfTRTdNrsphxkrx+732yez7vpDZcnKJC7FR9UHEEgnNsbJ+o l68YXGrpG24Ep53WbybyTmlj00cqw96FzOx5eSayHbjOMEQndlTPxo1xzh6Az2ywjBa8jsWaKMS6 GtX2UUACWXUhIaRUtXMkCeh7Z7RZvHvmKelYSu6q2895NJWtLfyM70H2P61aztFABctjo3c+W3Vb FDlAStFsX+PhuizIPicT6oqao7ieTe9qFdmg+4PDQZij81vNRN23AddhRNcgC6Hxj0JMgZqhu+sQ Y/zOZruwNUB2ODSTssEnlMXyMEBtn3c22z9pPxYddCIGjjibpCjIbtT17iwyKDoqw1tsbRus5luu +p3LVs1e52QgqftwgbPneaog0cWyJhSi2c5eoCUvFhJvBFnsdX+tHyVKKiVoswo53eFLwpL2mJnP BzdbSl8rSSIMK/skRVE2lYVLGhcculj5Uf2IVA+iZ2t3w/h3Hlt15c619x0cXANLZjZ+QdQ/kTsj 65OFonaaUL+poNx6AYk8tncamwUrL0EkaM6SfDsJTv68s9j4khdhLCwO2DQ3RHrnsPH+X+qNuPL5 wA0ljDHcK36kzwVC8ZklYCB/D7STosh0o0hI5q81Tn7NpXT+nJNDYCltcH1oY0GqkS2lQcuBdwob ccJs10pusUlTDdSHfb6lK3qu8/POYPunxyalkpPYjXwbSO8MtipafWYB0sTeGjvxkLtBNwcX2gaG hfKzWErvBDZizFj5TxI9T+2WIlnIhn814SNA0XSqByJIEmV7QqRclX+UPPkl0X4bvPnL8oG//jxh u66KdqLxzzt/DWfCugEAhXUJE513ksJge0qkZDRfSeui1Vtioxsa+htaIlpJUVG7VYG0uO04eAmJ YrJDYL9GPREaNAEuDKrT+XvI5vCkret9g6O7Ek8L0KQNAtl0CNiYhjkfjw6l9R1JAkTU6vLG/zt5 jZDRrU3mHh9wiP8RD3mI1k7dwF6MbfTOXfP9Bk3A6YNXtRFbd2kDQZG3IUkGKQvETdKnNw12pLtM 0sM7d40Qctb8cdvaoEnKIAlUq8d83qlrfPw2IynGeNLHQdkcTVJc1maljYVS87Kc3kVCEOipLYrW PLrfmWtPMxKNcBtskgDQKkvZf4XAHu4wGhVlNATPL1PRYlVuqwrJO3GN0H6e3PIaKNO8MQrIAlMm A+f0+7zz1ni6fOe2LI6Xi0V6p61Vc5sHisYCJeN1qPHfhkNrgfS0OQrjbV3+kLq2weLtCgGzlghZ Q+25D9Z2wbM9iRvgQOuS1oSNeOescYo22yLKbafntvBGp8UT2A/j885YY2p8Y0md99Avnh5wvzPW CGlj2kaYlAXc7tIMzmV3gD/CN81RGHDzciuao/I1R+fXPgFxpX8cmkgj0fiDcTekkX9AAvN4W8so KmoDIwotatHZ8zWzhKY6twr6+J93tto/TW28HDKU3p9TO4q3zfmEBEtJ3JRyDT1cIejc/9lrke9M tWqm8blSgkuDTdG5IhN4Upyis2S2dEsWAq8GvMiqjwx8s7cNSiQ8h5p8dNr10Tk7jTZZmCLcbFG0 /YC1GEjCEhNhh01RGG2zpA2Aqme2O9n2qoXcHem5IetiiaTFKG3BR5TZtiezLQD3j01pmzMtg/pe gCXDPxq9b7ZNZGYJ6D2/I+BhoQq+4Dfjg0K/sYqIO7XljMeDMLLXr1VEzS90gmyKwlibCoqjXTPL pqsMhbZSr4tHoyM3V1FU0dYUJRqtaPAACYA8SFFzilDKqDJEWcKTINa/p5Ed2CF8hAz/SbigBu20 3ajehWVUBh4Puv7lnkY4eUDbobWmkWmiUFvpP50YTS5CHCwWkVJxHYSyLpvmPdRGEQmzA3i3D1aw hanQSc4rpwQqy0r/8dOjpgyUgyO1zEmgh3xHIrXyfgZbRyfOowl1a5uPRxhkX0dFvaYJNrbvtR4W tSmpIfNec/w0HCklNfKP21JkK7T1CD8yWCKpCAJtsEIbMAUnJqIGLuSMztx0sW6Q6GCBJnJClf5T UqNHVe1K/+oi15zirjmQnWtTBmjnt8Dj/4WUha4gXHZ8jn6vak/ZUrEP2db03kj13gjuN4uOelTV ltTP7urV9gfMfvJarCJZC0HMDYR+SONDlIzeTkznrGRLHFKP4myWbEHp0oDnxDs6GeDe+sNbwfMB 9fGfOlJGk6Pv7UdSD8mPVRd+dg42gkgD/dVV5o97n03zPutRoD1dN2r78MBHzgcVgkdhEEP3U1CS QF6jZOIXWZ22RviSaCWxAyk9slFdLet8VtOx3VvnO0b80Pl9JAHJCNqST1LEgBzMRnK6uvi5Nvdm hIG8BZFjuuNgj/EjhNb23Xxw9OgAZnBwEsAmT3K7TjDQRGAA0Z1+r39WJHtU2VZPu6kJ0Ngm5yY5 WbXZeY2zXvF8cLkNT/87l90QqM4mKYy0GXbW0h5tu+m9yDFMo6WCE+yTFNMgG3vZ7KKlK0SGix4Q 2FYMGgk+FaWTTrbzM6nLkdeV+aH9Bb4kyP4p73MmmCXJRF067reBggO2HnSg8Pxf+614I6m1efdb WNwmon3L9Bxhd82uGwWCNt3icSplAkhxcv8aa6O833y45bZ1QiQgAQizgd4k/VCBaN84+Mgevdqb U6/7WyHJ+cYVVTDB9U7Gm9RuOz9CwQcEZ/f4h65FWbN2QST9Pd52S8a2qdQ6RBmtrCqVmqggbmJI aIlxMUXxttRYVlVFqV7b2J3ymZHapXkAywh4gkyQks5pDwPIzNqAGXZQerNH9W1UALHVJM0yt3uh Mk3QBZcnHo/yf19L6juhs/6cShFeu3NSExq81GpLUMk0e090xrSqTjrqds09wpBYjaTQI2cXwafY J0nYsPgNoV64ilgjcFyAcXyRUIcf3YAgVnzJb5FSLwQjcVCNpOXe1As6n4jH/ws68pwCZ3mvW0jq YcjdSTcRlo2gdgn/0Sy2m91CBfDTym09Km8vqrCAhu2D32+zQfaeNccTkp0TNgFDSjUecD6h4dq9 JjnIHe0RioSi9zBXGj6omXQuzqoy4ywTj0f0bL/f6GeCus1AOd/42VHITXwiyC0eKo08vAdQGeqb 78uqCidHVN0mOASiucsH7243WHgOpW5QHMsStcGf2NAjgUSakl9T4h5RyA1JsRMmwerPBmEkwI+e 5nGWPyMMufs38h8X25lpz0pGFHELADAgU6Z1NEVvWw+MZBLVls2gY/xe2z7ZffLB1lGDcm8v4iCj 19Do7QX9+B+CAXK7nLZC/fnPiKmRJGkvUdvA+R9yAjppyWQ0MJDfjrC4/RTbOEsTdn3FK5Ljd8R2 S+UqcF+lGhYBbCFVOkRxlqLiNiwP18kCbeBpgZI10FsD6vcU4MZ/3zTUo8pdxUFWxg0BgHAf+I4A QkpeRK9ksqPLZsEkrKnEvj7/h8ejLsk/raRGBMutto0QSjKzWkkX+592MgRAkju6qm3NMKQjirhB GFxExWuoNkdQiD+JW26k2bQ0c+FKgvz9T5N3+yO+fQKCge/4DUmCre+DVdvmUi/gnN0bj0cHUvk6 kAat3y7MdkTxdk9Liix+HvU8nYcMwKdV2zrcqTRFUbyNKjOQSJoi0iq12eALem75rqLtJt0DRVvp ECK2Q1xvjDaWh/Alvx1IXf7eHSRm8YdKgUsv/sizdzKejxZS+pok9KjNtoSTFMbbRX6U1dy9s7x+ eCIJVyS61vTK9ojibVlQASrrg7ckG6uxjaB2lICmLOVxQDcqrCCp0zrayd422GustxXUMG3w2z9L h+pcDhOPR8227+I/k9tH92iEcO2pItvw46i1dX3fdnd4ZJNmXeInvR9H1MmkyKsPvo5GbWsPgm3g u9g744OOq69t+rHeThsJlfiOqNOGrbaU267KSIjYv5Mc8jdhbjvCSHt+V23LpmS8B5EjKmw3ifuQ Micg8sVIFHhgen9kOIJ0RIH2VA8pSZs1sbBN/8RzeiPS4iqCmg7oWyxJYqFPspLanSLI6uI7ovCI O81tOy+OBBtNLnnQ7sDzkXjEN0SCTgDY8DZHYaBtAmPmuIx/TB4eMbaz8OiCbUYcaO9XgR94IQJ8 09myPdvj/P6UIi/s8cLHHZg032qMIUcYaLdGmlahrF8HcjwJolbh6kAEzfkf8AFB/n8PbcG25ll5 z6H9K5AETQxTbMfNYgKRtec+rWWbgSJj/j/i4rZY/y35YOcR8tA+YKtRcb91iish0p7S4mBPzxsA OND5ukG9TZbCqUo4Gi/FtCcDAMhy2di54PmoSOI5G5ldULF4WDYzLm6Tq10efW3k6aZVJ32bxbx2 mGz0/FXLDzu3+eBNkoLWow5tBDAk+6EdiR41oKBgJnmrjRzbGcJIWCM5kfv0QYd26VlEyZP6Vzwe TFH7htpC+efcup6MzCjSRux2zo9Jl1dMUSLUkmltoQcV09oJRRVmbDOsbSepj9Q73GJbXuj60Vrx vA4NdQCOQc0N/aac6HRuUNul1/0trW34w2zQHNVJMXHMUS94/C9FNp5e3Lq3tj2jODvhRCpZwqJs Bex0Vf/pccjaNrncEoyKmZG0Tqq9+OBkrQztY7ZlMS+zbZ7UE7HRpgUexPRdE5K6CPOP2jZ0jGxQ eeS8oe4JiFLh8WCOxnd8hIoNgHA+R1GcnQjZao/q2JRsHV1JyEoz9mi2U3uGlW3Ir+REi0INjmoH lK1C/o1bbaepzj/4hgARnWD7VkcWYW0zRpDwOBrslFwERgFHLgmpdrYtHo/oI375U2Nz4VO2H9kz irOrDJOmm0cU5KAWH+2xHPuX4Z7AI3tGcfaQC/yUbdJcF2Vzwh8YkixRtc5luSlRlhE5QhMRvi0X +T+J2J4hL5JS2lVGXBxUHGngqzAXOfE8Hg/6kf8oR0CnhQRsm6MwzJ5YRuw2GoiUZgyco0Y4B3OR BEi65igCkNA4Ip/LbfhwkX8dEpFTAVIFjRTTWHF+yBWhPow/mk3OKMyudCejlbgN2mlnHW32P2FT g8ejau13DCnM17qnUYQf6SJH9OrpWluOsampO+MvTUHaEj8pymhlMFmLDzZFHbYgq0hgtJ+8fpBk CuIN3u78c7me5oP6kDMKsxMtbcruEqpl4ZgtpEQEGEUWGh6PkjW/1Hgdwoy8lXUv/ijOlhGugdqZ 0o7qNCSwt707Ujxbm6HGH2/8xDqkhsuxAclCqcgEILZSxa0tOhdCOyqtp+y/9bYRU0t4tp58sBJb ZXqCr9t8PIJqza/YiFq3uCxsiiIAyRTmb2wXrB8PcbR6KnIS2uVI5BmF2VQVAXBg+eDLCI3ORYFs 6I8g5CJxFLirc/cincCFZvVsYtpnFGY3gWwAHsLgvn4IQdsQ2LHmiuejhXT3Gou1/GG6JyMzZEWi LAQtY5dEgjW3syNUSUIou6vz/WeoQCKwFn5QG3wh1TxOENHlcZdg/EIFElREegVsp6ZnllbR60bh EYV8B5WRODhai8w0cv74jhHOZnzfa5loLV9JK4qyBUSucv9jwrbacnbEg9aCxLlW0oogJCbpu9lk 23vcfi1ohOdaFC3y/OJ10gMPNe4fNjckh7geuv8KISRbGCSK/XAw5midowlCUisej8R+vkk2eZMa 6YC2FUXZ3ala3SPI3r00MjDPdh41jyBXGGV3ntmJsH8ONkUnT1iVkku4+vca0BWF9DpxkWAWsXok JNLcetuonC3iSJdWyzluVV5t8KBiW+PcmwXPB3utpK8DCQcNGXU2R6FuNntHBqoV8X9sR5Ckcv0k h1ezV4wgKQTWSj+bBhM/ZKhDLeS8HO+1s9YQyFCAE6WECm8zRNY3OjrBCr4jqrBJNaLeQaA/eCGp iN8WH/9LV1PlOML9152jKMqWi2vf3FQ01lrlyo9sk0mC/Mgwtv8KgdqqQvZdffDwCHYZbloDG1TY j8GNBQ2gwlJkunw2ItpWXM2ma/kmBPl8xXkT1Y9AC6cUcj/hKJ7/6zjCY7kwlKh+Zq9QQbtLVzN7 qTYvpvqbrkI2dWUv9DM1STEvkunalu/mLleivjFEqtRoGZDSqvR4BSP1Z6KL8mXETWA7Xzeosk0W aTddEgsOPRHQT044iGL6gbwZno9utvZ9syE1HayHapaiSDtRorWs7lCkMrsXkEwmmhpt1zhjxQok U7DQOzgzYqJmtNkdOqd5IeMSlKRzam1s97MVvaIN5QG9boSyYeeQ9D8NythGyvLwgjkvHg94fw+o Dc9N0LUATbdJCrHaVVU2M/kDmpPQGhNp8z5kAYpSkxTG2qWLp7V9uHitk4PMXWSLCMY6m/4bjaMF iWdpa6qCtP11f1FFgAyODWb2lFA0V7B9fnU8/pe6lh47C2lc8MiKYm0akoBPPayCNGiBJRM7/Nk0 scP6siLbCsHavNvmkjPiavlutxOmnmCNePazSiG1DYWUCRbRwsahVKSDR+bQ6/4WItVF8AgV1gRE gs8b84mBKtsKa9pXyW5Jffts+HThWiuEa9OKtLSr6g+HDC/8uy4JlOya9dhWWNRWNXvwwOFwkzbY hI4mQ8QK+fFMKZulcPJ8WnPaX2EhCF8S4bXNA7j4oCOpgGIuX5HBdwya/mN+LaRGZ6pbQlphSZuQ 9k0nJ0f93z6kA90p92Oi0SvkRkpXpFLIl4OfSBD2U9kaon89Ac9w5ggqyIs48DafKGkMve6vVC30 7m1QKfJ88CK9/WzmisejhfSPtj+k7MCg0xztKNYGgp1J1XWHcJ8aqA24S3KiOQiDpB0yI9mBXZvd IQ4ea8MroguFdCbmLFRZJZKXiCsN3De3aWVFe0exNkEjADDdwZdRLuyRAayKxyOCjceRIo+eT+kX 9r/fY+3zFGH/syzvH1VgTjVF8/aP0PB2dfZYgoSdkUSyNgdfRrgVoYiMZTTPLEByB00xBFVE1I+W 7sVGff8dorXZEmklDx9UZoPzOY8TmC7j8QAYmfJXPoLHYVnqcxSitRtbtUrZJD/mHKRztFYvs1WK AnGO4libgkhFHojlS58dx0bNokZAW6sRgtPAlG6Q/57F5dkLDXfxHVGZLVF8hE1tDkrZTr62ZPZ7 vheP/yU+xswfqIg0rsZ/yIkc3F79iiHDDNbYI6P1YsCRiUaYpigEjojsv8w065HVRlbUCqFYwK/B eQvnFqC8PwNKU7muxzua19qOYu1tFr/MRzgoPsro37IUeRYUHo/EkL8FkZDWtnThfjtEaqciOHt1 YoSUNjrtkB8q23KGzY4pkcxnobpjg/O0akds0Xj1Q1t8SBAJGogNRb2S0y2z4Y7Ad/yWjpQslY3s UsgnBFaBjqrIePxPkBYiKdQPgIe1KYqF/tjNJlxIlxpJ9DLTWD5FqCvZxb9j3AhbkHVOHy5LG25N nSL//SRW9MNBL6CQ3LpN5lcw7TX0tkFwJOpIp6wWB5uihZ8WX1dxYId+Nf8IIgGeNuotaO9QUVvy rK7CnjOPCoNoNaux1bNbklGQdijz50D/6YMntXDU7knFkQzKKDsoFZKWDeEyyHPeye5db/sbr3ay d6hBxdrZVhHbLy8+/hf6SCU2FGuHh0Y7FCChIBH0322jlZSSMZCIywUDCWVIdBQQY+8QNzLZwU4m 9vcIIiG+3lSbJm5kUcsOIg9obIEetgmrpaImtdbxHUH0SKwowd422Co6e3mr3DE3Hv+rxKZNCWvR K4eww3p2ki77ckPfUU1u7GwtqWwX5bPLpiiGjbBlZBr2I/kUJYghrDXJYR+o2yYXZ0MZR6z/Sz3e U28bnUXkrrPGq8GnCKwRBs114PE/mTU/aKIDY5huZBQF2LR8PkdA8yla7VqL0T7dfGu689h2hBlB Jw8tkFF9sI2GFja4Dyz5A9tBM1GQbRp0a8vPqq5fR2IS3zZSrpdpH3MQDnajTRGDIRgx8HiUzOav Wx+HbqMcAqfIIDqvc5SVeQjch/LhdAQ7wGH8R0ghTIP55xRG2NpAmxaYsgPmJNG6fhToZ7QEbDbD aDBJsOShQgfNUPyLXEhr2wtHDmOsG80tuj8KEFl5yIm0qkhaefIDIjrEtwsk6oKz9ivNnmJS5CRx lMlYJkvLgSOQ3ln6x72Wd0ZI6v4l7x+LPlEcbKJ2ovoxzuZzNMHTcgxKIQ94/CAW4oFkej+Ms/Et Qc9/mmB08iEpSTvxNRlI7QSTfD7IRtq3KlIWsHc+ExVa2AzBIh/Jnzyun+jsVmjLhI3LDCGFMG1a icKp3gefqJkACSXFdqEIjbYe/fg6CoKI6Jj7m6Wov3CE1Zrk+k9R/kEjZQB4TuE+s7iRcF5NUcA9 njCAUG1otez1zFRU3ab8asl0ycNMlbQvgHSy9KWZAkLEZio2h+QUNbIkOTgPqZ3MEK4F2HsZzcku tRZ4+aJODPmkp29b7IUjgCSD7tzuoP7/ScSH7DPR/8fzEartH1GbjegLP5JPVBR3A0ZHfQTXaxll NqfZ8GHRI1CEtr0X2tmoIDnzHRyRNAHc2spxGz6WgmQT5IQNlGCG4qmVk2h1xG8JuiW67ojQ1aCz vJyfnAd73bCPSCGYJH9zkiVnOa9IgqSW3yeKJ1MhRgvITFRYDd2GJplKuWdtItzTaR5WubsyFHbV tqPzKh15zuQ0gUkJxZ00R6LmJOkHCDm8OJm2vXBUDcgE/zEA56CJOsdVESPpRLF8PrKs/acZAPXh sfKzosJCN001M+vSXFHksxqcNJlHCQATgKJpRUVBuFu1lTvYipptgItShopvqyNMAMYNNCquVTB+ /DjfkPrm1wQRlJG2yh2MuwXkfFWZSc8HIdS6jFLZ4CBIKO3O1B/aJM0gtlByScP3XmnahhXqBsln KorFhZgcW9TS/QAnyjgBK91Uzw/YUDSgbdsA02ejEwL0iRdPCEblt0SkUircFfJuUnHeDaqNvAmg EN34fIQH/N57CKrH99aL4vGVZQ49Lipgm8c4faIdEAi1Y7cAjBRK2KWFlEn2wTlckHA5ARMLKOff mrJ0pX5LR8kdmNiLCJz2vr+JbpdBCzcOWk/n1mtD+mRt8Plg59VvsDulQtaFlrLYH89uPjmjJ7+1 bpcmH9sVShOYDj5NIcKEhX7JIWu4Ld16th5idoRRY9fE9skGPHkUVt2X47lKrsVeOCo08YSalClp DogqJ4suonFBLYLP/5UAZ9Mzg7D1PaFCS0kJ3VeaVjF5Se2pNdXpGu61bJ+owFMSGArmwLSx0eAJ HgyRaTIJttsGf38xewEev1AphUhl2W7SWTsHppK+70rWusrD/evh8ihv9jQmn48OKO/GsXWHVvBA BucTFXInyQocriSFs6q5ZWLWsVXZInCx+xz4SsphbWXK9dugiTorE06G5LXtATcUugacQw8/O9w+ qFFmine0KMm/+EoyIC+EdF9o/RnPH8Igqq1S+XwQl+fyz/kEElB91lMYlpMbYLaJ1LvvxesFnahj 6d0DXMZ6QQ6tJRFNQqSMzgkcvDKXG0t1gnad/0vyD4IcV4PuEyJln6bS7H1/aTfhYOoa7BgHP182 Jf1kR3w+yIdvv0krB0bkfT4mpVEdfPGA6hQYNStXY5YiIc5eV1k4em05hUE5yUq1czlxsOV0IqYT f2fCKeC/tgXv2jQuPVHHD69CU+O47/ubhsI5erMPaowktGmGal2Vz0fbbn6lwwh0e3pMOEODycW6 gWEo6H9DQWxW6DqrhJymTOi4pilkUUoXsIjaVdathZcEYcZB+T9IKi35AjZUCxpKmUSyW+4iJaUc ekyuKSs3QZgvMBc4jC1tlwSv0tBjslwjTr4dasLzCuDkwGNSYTRJtNlrUNkQgqhBzerbju0Pbbsw IlcNs9Xqg/cMzmrcyXzv5qICNecJRwwgTDBuuBgmxQWhy6TAcIjIfNB6WrgrValbhc9Hkpzf9C70 Ygp1p2yeQjIlw6ezFPqPM+Cr803BKrcUr+IMVi4c+kwuoiqnTNo5WFxw7soNCA7K3ru3Ez6xagC+ 989EOb4ATOzVOtWhQqNJCXOnKYWX6UKBiDfSVq27LD4fHeP/KnN3cAaefReF48hNEFuu5PW6Vhyh U0sxgVc08qbPU2SAIxfl1YiK5+CtzLMTQBFkDyrB5qtJvxzgDxxLe+4bFdRq7xstJ1xzg6BIDQqf RloiKLZzgPH5iDPgtRW5nIDmvW5ZM3CbNG5u2uWSKktJBq48WcA2TfyTbDg9Jwd2kzfMHFpO4y6n tVotKBspEZ7wLoWkcodU4eZflEq6iXBv9sKRFv5QBtx9ELoCUUe2Kkvh8//FugyYql7KEzyFeO8q 4/LlqLgx29XmaNtBqAT62TyF4TgLBktyZhw8D4a5exEBZY4MZSVkdwtAVdpbo+f5P2lLaDpZhpwU DavrVXLQEKRRDNlmPh+kLeX7ustoV651rXBy6DuJdiNF66YjUetV6EBlygoGG9qISoND48m1hERZ 2wffd+OEZFCSw0TtBINobM0Ex6YJsT2aLQv1DRSk3jcoF0gyMGXxULNdd7vWKuJLP2uXz0fSgTdt 0SYjHvHCLHNoPjkkZQIJ/2x9KZAXTIKK1hzUVp6s1XV9VBA/kYVDOqANN29pvaIJTOgXZZCo1IXF Mc7/8tPG9elYsucO3SczWahFUp3lSnWee2a3qqO5TD4f1DTvgirymkLpr14WQY79Jzm3lfA93nhJ MhRcUVIUXlQzaX5EBQaUciCk8+QdLrYJ9QbdeIDgV8Q3Z0fij94AheQxL5Aw12Qv/JsM1ZhEXgwk lfwi1H42hb5O2Lo2PyDC7nyXDLoEaG8IFXhQnpsSR8SJd/J0fHO9oUGthgevqYObZBMVosBZL5kq AnPwChTlmgp9umFljW2IMvnaMnREbNDGc+lte+MAVgBnnLk21RbPYIcy1Bw6Fxi0pfl8pCL8T1Wz QR4F9Q2fqdAhJ4utW26wuYsrwDaFVsTwIM9UUB5YURpLHn958cGW1CSA11TyoAS/OJloFENYpHxD waHjYi8c4S8YZS7qvxA8q1Im8I+Lpbazyyc/4C8xwWThwZe4SQ7tKBshGGMWBzuNtg16WevqV9uc 1UNNVAxTIUGlzjvcvTdRIWX2cj4eXBISVNjgxYSO6XpLGQbiet/fagb06bDBaitryLgY9il8/i9Z ajmwgFyGsoXPUxSVS+W0M70RKKw5HayMXpx7CTa3z1MoKMhqJgoQPlj20sAgoaUdXfJw8REWDTWY SmIHYCwmubSmvW+ExEgighUfLNo8ZyKbMGeeNp+PgnI/oSRETS5ReuYpisrFUS3tbjyI83k2TNMe 4VXQtVaWF9hSWpH8ZHSj+GDraQGmUtjXxuYYTBfguAgZNvqmosxWqTgotBe/JZgo6lMQIW2DEedg wUOk6hn4fIR4/qaqQFa1zWspkENjyiGJappmm4q3+TCdfUcXBKl41+nZcOBMieap4IVkYXBwfuH5 Z2gqEYhZTlAuVdRG8Br85pA52/kkLbgcOlNWeQqicGyDoU6H2o5op2c+Hx1Pl2HA5iZcLc7ue8pQ oT1lItxg0YBOR3lx17w6aGok7AqtLDRTv3rBwwhn+eC4DDDqQOvBCTV6pg8F1ErBgGNnkEfUlNG5 esOhQ+VY8juR8jmq7M2OKPMY7ufW5vMRxOcGB2JujBPKPZleaFI5JcSMdoSzMYv3Xc7sVIc/722A wxy7VKoClU3Vq1+uIUzuOo1ToXiGRp4hpTPBPUkS7dZDJ0Q8hzaVtCNY8Jj3QUf5gKCcCM0okwc+ lQjM/Sin7PmAF9MTGoRGlRCrQ8OzuTNcqX1ZaNBbVVGYtIPl0xSF5UtmsOgh2+A33mq5ESnEXBiy p6xDAcjYNrue/VGGU70u8KpEtYuAQwmgcLCtBz8eleDm5vN/OeipMVwAfHyWU4gUL2Rlru1WDGtX t4c78cKyyABNSp+nECquPmchvYeD03tqqaJQYyjnB5BMFZD0GyB4NqWs3dnVnwoNKwdl9JNgY4mm ZFPlglzFy0Cvnh/wJ84Xk7KYbF78YQ5NKzt9PRrk6SyGWsUJ9Xskj6FKKl4o/921MrmTYHdDphMK gOUDJqIaw+d86iyxAJS6IVuWQRCpNEfxDnpgWylZG6oNs/ECNWW5BGwaiJIKdTYkPyDaevc0l9gF ytAXNZ5/Ma7UEWXSZ2wNu54OXKAM5wOBRktgAufKW4xidKDBEVEohtTKFvpJiVH5YqY31EvGp40H W5+XvXAEHZP4KVtUdARXyjGhHcPjeZQ0+QHBvffIxZN+CF2+mZ6ZiuJyhtlg51hODDL28JyYZizC r/BHZpUlNLDsUmYuAvqUB+gzezt/UqXE54bJNNR6UKXCmY4fJhOJaISf1O2FgzIL28JDDoTDO4Zl glYyZBeLMkvgYfldLZfP9VlRj6JuDk0swZhA0vKlGVccY1eKaRKRIe1V4MDFUkw9JC5URePgKXHH H9GTHL4TzD6oGd8atmCCX/xjg766ve+vzbwkfcbk+oy4UjPZFhBg4fNB/pI83JS+PEhZD7g18LFE zxNH1C7zVjefKvBc5tlckbU5wC42slySi1MBgVtZ0zQgPFQ2L70FpMEkyqfB+uIE6+gfoI5lO2/t ZW8c7DzZM0poh4Nqv2eCG//Mmlfn89HG+wdhBwOgjjKHz1RYL2/kJLh69TUjIgwY4I5sXc8+feOF gbmIdl2aX/3R/DqH0VmxaaheXtsYZJFNhIebTm6kSBkcSvXy0M6yKyRvDKM4qF4OV1IJIOy1+HwQ bj5lO8TlEEOiiYRPVFgwHxRsohT2/11GOcub58sp1ZDZ98xe3gwdLaVF0DrZKxw0UROu2JU63y1B oA7uVqBwFxajEHlUcN68UdWXvXF0RCmHYaOq3EYVbLLIN6X0Np8P4oPxxAfyUQNB4QmlQlfLvmm1 Q/yrWsR+XhX0vC3Zg4GHF1kCW8vzUVS1qomSFRysk74buvIAUdQT2p79LnGr3Wj7CWhpu3rNjEn4 JVEGQ6vGxMvvDG5zfjLTUgUcA+Ig8LUUC/ehuoAmXud1tc6hr+UQnzwtx69sL7dgmor7o9M4WJle aGxJPiac4acPTnZZ51csnYc1JPEHsiYEpecYbziTUJuyRG8ojAqMLbW/AfDRzrsJzNk8TfiVM196 PrjyLv6ebE8qIl9FmRw6W1bVNpm+Cr/S2nIyR9pO5qisTGg1hYH5plQaDlQbLIE5d9vJqFtSIz3B S4bMMv6MFfELMOCGG0vF3jfCZUhHXnQF5B/KOfAVxMYDfrn4ARFP4RuHyJM8PQdU4G0J7+auk/yG 5WxHm5dc8tCAqC+bp1gzRTr7NEzh4CWWfsLKTJw3aFSVoHJ4gAP03kl/H95/mYqgAnNLb1SR/mmD 8uHzF9SmEgvgUKG75Ze8NWHRaP30rxZx4G8JGXAEmFuiVhQEYVyCAPMkT+u2iBFIKjiIDS4lvDtJ HJ+z3hhqoHmXJkXT4HcxkC3jJoR0PFup66kcTLXSQ4dLiaX3JARL8tjghLZ1sYl4Zrzy+b98QNQi Podjb8/5FDI6UcM6Z7elwfxH61OdGNegdhWO0g4ADkwuUYkSs5woQw42T5AcQRRJkwuIzILJA3EH nOZg/NBJ5iJYtr3w/zP2nUmy9Ehy/+ssbWPQgvc/GOHuEch6sxndQzMSj7tfVmWjIEK4CIhUkqKd JlRMzhaD8nPRTMoxnZCj8QMC8ZSHbcb8GUhEAH58psKovOhcKunmecmj8p5dYgbuOw4qD6wus7zl 08pSUcnlhpswzca9TfmUcVLQxTwPbOcTkKO88mV3Jd/0HJhdyuiNYWbywYKoNGUOcrIkPR8kxI9L EYIoAArBdrgTFZXLZ2L7Za07UZRuY5pXZFqIicqJNAVOVARiASOJprLJBzujoFF0zsvM4mYD5oBY xLZINYCoSLvY+7n9faM2FTHA1LzWYGcUqhs8XzYIQoHl5TfWB9sUdno/c16C0Oc1gGrmtwJrwew7 r3QDZ8AEY9s0pdUMnPEaPrXkFTuYBdtwewoLSd+W4TU04RIrdpUmQahvtEeFlybFr8FTu0LFQCDZ YKhWKSpSHwxPv0xQg42SKitFd92JtsYkRpp3bDA9K6vqlIYx8gYtg6V2zXKjKr91+wEeTI/8iSGs 5INNz1k1JzESTnOiIt4pGl4BY+0FdWTkxGbjsHQsvc7PCS6Js29NrmltuK0M4iaG5PV83+c1ZmoI fprPj0wczkIEUFcT9Boynac6vUDqSI5Zqd3BPSdKsvO8gilRNEGvAVO7ceUiUFOtLW2yhT+bdVWU /FYBFZLhN+pQaK6gD3D9CTrf9X39wBfgbMtFmjkHgx2ee4fr5wQE7fMaK50XnKna/Og/nTBsbTY9 r5EStlcX+f5GSj3fSCl19hPYLS/TABivcVLTuf9qA7Kgjp6L7BsqVOpIkQVI+vx+UJret78CFCy+ 4n16smga0wbAftQoR4eImkhwLPi8BknNyAR3f8GjtYigSAv7cH/hlD7hxZ2fehkIPa07P3lZgfc1 PGrO+5nyHJwSVhDgiQA6lH3OLjtZVka1YCKnQ+0EldGKtMg22N562+AAItIprVp80F22d+sEp7R+ wu3X2AhPWzmgWGkX+LnN0i6d2YIZak1SYNfeggpd1ntK1ZsFaQ3rPb0GRk0wBorIbx8cbQHS4wQI sqXzj0qKDGqYc/zA6poySLebyVD7NSzCz0mgU1ZRl4NKlSe4yGr5npX1eY2J2sOaLmrPgVcxarM9 93mNiHCuZ+kSN8cPtNVcBmy37TJgRGXL2yKaIHNrJuWAg0PB4CvWJ0l2szJMxRwWiFpPiKZkiPr7 Hkt62WCPZSpdyERmwopeyLcBhfEusnjtn9dgCCfY7F97bBqyxSboNRJq7tgg6JVwqns+Bin9GqSA 7C1V4uiIFlZuru6DT9C5y4GTJLKpbMiv0kUW/XN0dc+CXxeHMskpfw2DWrK2ACHYNqiK20Aplzrc zp/XIMguEc1PkRsxOlYwpdAMvVYmcfVRSc7sUQgZILtZgjLQdVLenyhDRZW04JROUv+2GyqvC6xA j7ptSqGi/5m73FEmHIh3BfRrTlckLFwIn9eqZJPC+Mk5ClUbOfA7EsSFSKVACv55rUm2Bx93VhBP 9HOAAIZoE/RakcSypecnlG99j00zbqBK93akwHVrfq1HIgxSxVb9yvXVr6QwQSdZHFJFjcqkMBE5 i2rTFK3VBxBOp6bXYiR+BBk1od1mgw6hc4Uu8VJP+P95LUW2x84CKkj4bzcwAtXvsXcqHe5npRtf S6jk6UuolnyX0PZOZRRId5p9J+LhNfguO0fzmjT1gvgO5PFIioYmBppMP+RdeKLfxJGMthnJ4wMg RxusTdkWxbgZ23zeeXRN/rzPOYQEve09fI6iYDoxdy3i1Tc14VyMcDpODkYE2/EBYTRNFEUyP4L+ sA03cnxycHESTZgeIMlfQAJsYGLOnzW9mQu6M183OKuZZxDiboNu+/M7tCEYeOXjQTyd7kLCc0ig Bja4TdJ7QH2uM8K8Fv1IBA6Yw0E5U/46WEjYIQ6HCyZpkxNGmK8NFlHXkmQhzz7u2bRzStwKHUZE kv0xjgP4g2/7PkedTEwSyGzQcV1hbyAmz+bjQUg0POdQ4wnKoTgibI6iqBq/X0ZZy41Rlhtbwxjd 1PcrXBwvLCA4sHUe7SyEV37KtANqE5Qhr3DVmfB4hY4chKUGpKUz2mj3Skt63SAvk7cuQDw2KC9L qWypWhYAUMPAevTvxAx61TRLtkl6D62VcYLiNOadpKvZWEmdEP70qzUSTRJhldDf8ME5hmc2yJpj LbtCL02d8EaVtLPz8MsTLZjJQ/u8U+dwIMkXbVQN4EgZ6eIEpVUOhAkFtWCShMfmbvtR4QdNh+mT FEXXPI4zGaDuReAg3bOIV/IjCah/5ffv4TUuAHlYtu6DMwzPvQ9mCnm95zaCaRAVrkDHSmRgz1uf HbQheifO8XDAWbTlH7Ovfwy4ebS+PZNdyuedN4dcwlrcCksoGzFzujWQMMIept/0yA5cc90inCCR ALiaNElRiK27DYwBH2y7Ib6DBQ6dP+DxJWXHNriSyItBlc3OpDz1uu9nEoQNcRil7INWUttminpO vP15J801CQJ9bTdQAnofnoW8k+awS1GnzNDnvPXGahYyZUkshYU0ch84SVGYLXYTFadt8ETtXPgj SVJvY/t16oFQVn80lLd4Jom8g8uOX/I+Sed8w0brPJrOsIw+kMF7oYx86nz8PQBw5nMR+AbPw7vF J+k90D4riTLyXT1aeVlfmkV28gUaR9sFrqJIe1AtjURmG+xMQl2uUKcREOaTQzahThJNmlC1oXKj atfCUb5H2pkGeTBDpXAzB9WLJqaXu61DYScKtdf+Z7fRWw/JhM1RFGtTrhpUZ9e2wiVtPaNBiC8r aifYdphEGGyjZZTP39p9sDmqvCUVbA8YoA/iAya61x0lZoBabn1/6m2DOTrBZ98JEa4NdiINyO3+ ELGyPu9UuSZm1DNHzCDX8hjpnSiHHY51l+te7opat2l+IZAcThmg6bKKjmGwPZbK1cMHj5FOsI3G KnX20bBdjBQg93BuX9g/le1cnUys+eedJ4dVL4fGJbrccpjpCbVVLIUlev680+TaIyFffqT8dbba qH4evXPkmrxYaY9yTZr3NY8TCliWVrS/wPX/TpHDgqzqEylny0/ONrAG62Bp7dxnDL1JTj0vvAEf Y6HBzyPWHt8pcqztS1+ffTUo9StqrvCjaaz0d2igvFPksCRu9VH+KBXxgldG3vlxzd2+Z8vZFtJJ qN33q1eTA620TbGF9B5su+PnBpTNhgsF7OYeisz//BFVjp9ocJ4VB3zkrrfvyE36eafHNfn4sTbL hj/JaLy0G8pfXErnhlufd3Zce9zRigF4C+GaHkq+s+MQb9NBro9rit7ztY9Nbbp97JiuS/hOjsML JCZuqvKnryp/Q/ejAlqGeC+fZUui8wDGAFxCsNfrRdlQ/uSdGscCAPbbTixkc1C8fV5PZtbne+rn nRn3TwWARTZJqXgk+c6Lw2nP/ca2jUWSkEYwbet9JWIy9EG036JStpDKW53+/dXph+DfmW0ksFhW aGgCqZvPtQZ7kgIlBMdEmGXTOyuO+02mXxTU4SAQYMYmlvdHmp93Thyu33Xv/26H0oALhE1SXMym BKFLVWHrjdsOqds00tFD8kmKqtnCSq7d7uBVko2eLLVRUzq3WwLHAry4QW4AapyD1VoZESOr/7xz 4ppUFyHwxRJAuiWATR0EztI5ST/vlDiudl9JU8W2AqH/21J7j7fdF31KEN0q2sYPaCbfqFmqPkvv 8TZiCaJruppH/QHeQhh1rMmKLRQ4NtQE8T9uUF6AB3rL5emqLb1uUJBk8n+O6emDTu6T3NI9Aatj f975cDyDx/d229BrbN4VeWfDPXPE/r9Oboe+F7tV7eROhoN4J8PhN2aUVAqr/+XWU6G71FEHriyT gMDWyH3GDkQIgKLbA04uQ28bTRHnBjcSYH8oBXGLzAQ/UZb9x96fdyoc68r9KwRg8o/1Z3MUlrUX UTVpeAhQ6MoqZqUEU3kk1WxwtnciHN4f1xr01O/gc0RJdODBgExG3adSmRfR9rkvzp0MHMa1tVx6 3d/DbdZtZ/a6LXAWVaHgznzHYB0tjwDE7QLq8Oa27xw4Xm08kVg5F+RvNC/9n/98OORv3xPpPdrO crY4K37fwaske8IriKqfZ2WeW5KWjRu5fgMmdlaPkgi85dtG64j6sV39te79tQLUEa2WzxUxPu/8 NwSi04v/qoN3yAgkP4/e6W+MreQcU6/7R7qYo6GSJNFZuL3VxA7DbcIfauGxxMGztnmy9oZiO2ld J2KWdTrwf1TBmMM1rkGp1tsGXX6Z6yIjsEFzVPqWXnQ7p/7nnfvW5OHyHEfIj+HL41MURdus0YEs 0W6JpPkUgSLoxxG4U5qisLQ9URsZpHxr0BSBh3nyWp4Bu/BcIn23AsNZKNkJE6pu5Bt722CKzK9p LB9sp60t5BnQlp932htP7O/qv6faPkVRrN14YlcxJynf3LywDT+85FBtWs0Bg/xOeuNpROzxYu5W /PUBQYYWLFYTVtFuA1arQkui3X6mBphNP7Hb0NtGORt22hYmYmePIeET2HjDIcT6vBPe/jmws7KY H7p22hRFcXZnEwl1Z04GbuziQl5J5SRM0VlsxaboPc7GKk7M+Vv1wQ8jVjKm3Kzz2WgyK5qU5Gq0 REj3NCIJ8vNOdrtNpCpUBMEeIl2dp5u6SOd+K593rhsLJP8gjqhYWZtX/9+pbvhpCjWpQGsyMHuy DYbsP5nKIDh+dmS/M93wK7FY22W00x/xF2QKexJkCfE8OHPSkqeC0Jep+3TrI91fNupns+jfhO5r +ZLgtThxCI/yeSe5Mc7+zkXoHg0Ipc3Qe5itcIOgbNcXHDM7qqbJIJ0GKTzrOENRVRuQuwV0UffB D+xx8o8JlwbsfXRo5evY2DqCOhoUP609wlTknd+GVb/YHmnEHFv1ETkt4k7B+lLnKwaXWro9f0np nJMI2YHNURRks5cPhSWv/Pdy6yOpeQ8pwYnSTuywqL1N0XP64DWkQbX4TGQ2QISMw892ATwAVAtK VztfktC+d3ZbkwJ0X6sxRuKgRts45x8Lk3Xhd3wPsqXe8wV+PAHfaOmC16IguxLcV2p1i136KJul 1Vz5dkeWlUfeqW33Vktbzt9y/eSFfiLsk80DnogCwFlUKjMl6ncBulbg6utOO8QavxPbWLkn+Zam 75jvqeT0fMJibMJEvn/eiW0tX3KNJbULUr5zeub/zmvzpDZ3MZM5S9Vn6Rz6zWdpju2zFBa1JVwi 0kj+Io3Mk3T0NMnmXoAWJLC1gLUBPX8AHTEv0hioIn5JdGoTNdSMfws9QP7+oEIUIlbOacHng/22 59dSGty86x7aUZxN0iB8JB2O/eXYcNaPsjg4NjRrj7xT2vD+hUtJjbb0NNpSgQh+T2y0LeA7Zdgw EF6j1gTNwp1vDYmUtndGm0UrfZF5boMdSmczsAZUz2d83gltLX0ltXw51OtAlTIwZBhok81mSrlS HL5GKRLVpFwXRZ8K0ZBhoL1NCaf44H1taKDsTt3FBe6XpOUTOmNUxSHpyFYS0SyfdzJbc1lYfIQG k89d52IwvOiJTj/vXDaCinwhNemWwAyxpDtLMYykUGaiygYVgST1hHEBLCIKSOCeYJ9ULKV3Lhsv Z5bYlryt1r4nNzAeA767gmuRIYn9BoDAOdFRPoVouar/2Jb8kmC/8eSG8LIPhomEvRGBoCdq+bxT 2Vie8AiASAECSJZHku9MNq6kLaioXGNRKWGbn3B55nP/SexGoiHPlRQVtpsSsdK7D7aS4HVYEtVx Yd1A7SDImTHJpBpgkSRsegrb70y2JjWyzhKVD8LazNQHKVegpXzeeWwstN0YAAc3aNsySeMkvYfb CCSJFKjVRd8qHWy43abgW4sKXd6NfGexeTUSJbvtg4cAqL4UyS0ukPEKZTg2JHU2L+VNtx3JMJP9 8M5iayLrocC2sg+GR2pL8p0AG3zeSWztsUe3xPacx9BP8TkKy9psa89cHvjx9jCp0VNQChzZCRDv BLbbaqtF/Npy+bVIqc6ahArwCbYTbKnYjRzQ3uikW1SEUMpsVSB55681d5Krm5EAB4Xb557YSbyP 1j7v9DV2Dr7DJFT9O+0/NUdRVXvSjSg3MyGEVPK4QrB1eSgJeq3NURhusz1SKgMADp7ZAuA3F0v/ 42TIUwJmtILoEJ8a123A4BHv1DXDEJ8be7MWyUFTVNfo3Cq17/F5J64xMb5J29Y5Bh0vz/7feWvs /NPGmsatZkhYvF7LjMqA/tAS0RyF4TbrtfA98cFSEkjAQ2YIk9B2Q5gpvDJI7lI8rzfa1jKKStoS dyuySyut3qyNBvJYRrC1fOestUcKT6VIznSfXop8Z6wRG07YSL6ottHLBWyRtCyYDT+dZ3YYbXOr nYSm+uDlWkoGwpoLOnjkBRFBSQQwECOkitppRATpO1+NaZdEzmf2wXYarBhExsK1FsXa+QZILO0C PtvrussojLW3jqM0vVybhldIajbTRii/VnMkbCFUm2FkFaGmPoSaAktIwB5ZIRkJVkfKbBEpI1Jt RNk21dmS3jZYRkQi99SLD4r80LZgseGE3BmPB0HkSF+3GvW/xnMahZE2NZPG3I5EbstV3eDL6pSa Lp1cLKOoop0KE9uuqn9/xOBPPlXmpsHJmaJzTiMxg2QJm2onRECkf3UntdVCAAkhbaCd+KB1tKHR xN5iKwOPB33/8s86Anq2t1vS7lGk3Xn1Nx4D0o3I7QoibKu/SWnFWDXvkbbkRc+MJFbaerqVttor BIqTtDlJ0NlMfuEyytJnnw7WMmOBHhIfWWgrm0Wk4r7iJ/iCWhhvtXO74fGANbLL95FNqfx+s5Ee xdkolgJ+3HyOBg1yOUdjXW2NTVg35yhCkAyGR53gCA2ao0YdQEgrE0A6oBfNCApAYPatciI5VNk/ tTV6VNSu9NmtYrBzIOzqRFmbpYqzgU420qM4OxWPsyn/A3mmh/3Ywzi7CTVSHRo5h6v/1C4sYKfj ifP7elTUZtcfxibJB9tsZ5WeNxlsjcCpm0h5YHkbkBHgxbV2F9Is9rrBZjPFbgIk0A3/UVVjZqjA df7hreD5gD0yv+FaQMucS3V7HamHLEgGSGx9K/nPs7qwedVKmqzYsoF0Au0eBdpToMhG/08OHvhC YhACxGj7JzD9Ntn92NYb+e83Z30zr+1RoE0I/JqTVxscZpTXAqKyZAtztg8eDybpFkjYJwAKqV8o Uo+YkDCcAU/xir+ricMgsjQ/nM6vX62h3WP8CJv+VWK49bH2Uk/EqKKZCELcbAWN11mgEkbLEbv+ WY/sUV1bHe1edcNxXrlH4C1KRUzIGUw8H9xt6/tuGxMFydsg6WGkPXlW135BtsukzdF0GLbdEuiv NkkhG1KF7UrUNge/28rJs0oiOWI0EM9YtEW15Icu4JBJvUcStV17BNeWc3zuYkd0KCEwPh6I7JbQ RXXj+b+2G1NgFGzbjSN7WNkevIDRvFZ9pIKsbOr44AVl2pwUtWtxbseVbbK18s4+eO6PQ3uhLwv1 qJ0A9qSZHuqR6IicaOFRK0XEjC/5rYoE0C7JjGBZC683O7o5nKVWCz4gOLkfvBbFTak4AUENm6f3 eBspSXHiaJbdgopHsMA9sQxzfiwmNBgrF1MUb6N7CU6tgFuqfQmMBEbcKMPS/8Z0iyjHs+xYN26P Nn6q9rrBPImHNGma06eb5kDfdTWTNJh4PEj/63cdqVF84cIjegTY7kTaZt4NqCNBJ6Sa2WenaD6W 1YlvAH1BvN0jCIlKJInlJBdEolDr+f0rGHakRp4ZG5nusTud5b7pqAsDTzu5ke5VfEmE/COfrfTp g0okHX4ngpCkgsf/hEeyIAmw9gNH7n9E3Gu6r9BorPpT2K7n7GVbqddgw0W1bfQQYMdYmg92KkFa GsJqhP5D3WlSvAbNVwUBhTJ0KkgO8kd7BCKRrF3aFHrnoEmCtVo2OPLE4xFL+9b/iSjP6HVT9NB4 2lHMTdgAVPAdsjUgOGUYCbKKzAfGBfFHVN2e7CbBv9UHLwEUYHkKy20nfD2RpoQCEU8SkkMaDlkk pss9opg7UyWiToqYc/AGwJBvOkIzPB7E3JfZxhsfbHI0N32OophbHYDRrTeCctvjQyEcyWRJEmBz ktnj2jYDyUKpdw4eT3YI4dOVBR6oZ5bYuUX/B4aI6YcAB1cgQ5nuM0KKJOnsNEsD0nzBcICzBFaB bK2R4Y6wuH0zE/VuJ0TBm2cmI4RtU0myleF9SavWTpaxigOSKu5fzVJU3YZnHSqQDL67xLFh91ZB ay6AbSEzOQf3UPambUY+8rhRAFDu4zOioHtwJQ2BtsglVjg50DMnVu38n8+Iatv5qiKwqkIEyw0C RhRyo0gBKMktJnX1/elYKfkj2XR4gjuikPvMC3ZZp0Jb7d2nqBQAClOhHDBKh7my3raQkjcEhvSy tBjgJL0D3xH1JFlvK0pwy0VJNLO1/YHkAh6PjqT2NUWDVnD32B5RxN0JSDKIDVHt9bJI9i1tJzZ2 NUVRxC3liIJ6sQ1PVjKB1SYgaQFFwlYTZu2nw5cmz4dqS18gfEl0ICG/ZUSEIXkBFnaVVEs7W6dk PB+to/aV4GLvNNTVbZLCiDsR+r+Lm1iDFWMHkmRBxdhSSzLxkyL1CN78qxUfbksSYt7g+0NeY6tk DkVEZk7n0O5ojmkdsYiP7wjyW3L+YMLsg6621uvMUkAaE49HaJv5FSMxu30KbiOKtxfVI5qK2FhH jYR0nkab2uY8jfgfaIoiuDaUjBAQsW7LwdkRE7f/YnltbEdQpomju9EBvlxvWKio8DuiZURyxCT+ f9PtC39XheobUwomtyMMtud3iFS27LJ9iqLSdiM8kh48hkQWIIlI5PQw/1FL0BRFoTZ+FOyxoq1G DD1XB/oXcA9UhySj1S8ZZTSUp8Tv7xThd8Z3BAESI6O+hgbkB9weBecfNzcSRDz/F4FEFAF0I2+R ZIShNjttYy6/1IYOb7Jsa98eHl1S24hDbRJIafGtwVD/GUq28Ani1Z8BSqYs+e7C3KBEds1ON6PI EYbaNM1N8GYEYQu3WlKE3cZm/ALjvYUPCCoA1TebWeqdlbfvSopxJKz61+Kx9lJrcpGxNc3RDPe0 yfqNuLot6n+6g51HCeJQE3w/1CchbkMpEtamMZ8/VLCwDgBWLF83gm1RhKRJGBmyEUSiQyqlmPnU zgXPB5M0LzmC7C541qfLs51heZvFpN4ISeI/harFRide0zIS7EumbTOU9csCAObmg7eS5syFhsuo k9R6fnd6UKBNAgI3sJ+320ae7QxxJHJ7y7X4YI3tNOmFCDno+pkRYvtpk7BpC9w3EGs2RVGkXZXZ UomPU5SxBJTZYr9ZZrsqp+jc/jOsbnNu4GrtgydtIFPltqVuv2CgS/wfy+EgKGQ6UjnWdul1f9M+ JEbVBpujvcVabbUXPB4dSd9zxIh03st/RnE29TNgy9us3LbzpUcWthV5JPXuGMkZ0yOzLJSWD14h OdHzud0EkFjgbC7QI1EP+tmQz6VirstDUh1hhtVtTk6Sk1K6Tkqrpa66LTgqePxP3h/BS9DOA0PK JimKtDNdlAgvd05bv2ytNJ2JVNKdpLC4LUB7Ftg2X7BtBox6rUbp2lFpFE8tZKzNDhWU9vC1yRjA d0QgEim15+qDzdFmKAo0EvS1otr2njdhUzZyYtJ9Q6QZhdqVFRK4j+puK2ncum2i24faJH2Y58YM df6EjTTrwFRuiIRgtFUKjgDsCZlRirQB48xLFVWZq47krxtsNmrWEkVsg8C2QBeIZnMWPx4PepLt HtqE2hRYNDZP2WZc3BYGibRjxkuA6SmO7LPaQjqndzc40oxgJIb+gymkDR5qt9bAKFU+kuCQmn/M xZuCVZC08yCJBpQzCrUrvanHoK0iB6uvnTCjSGVjDDwexZG+kBpLtpii7fX/GaFIOnXs+/oiIheb oprTlWtZzdFIMyptL5bYctMUta8pKthErPnuAWlEYKuQsmU0A9HlLRdpM7a9bdS2JYa0TCUlTx/p 3GpEgfRzbODxvxI2wiNh6Fb2vfqjUHsQ+deJi9EU7TtFJT8tEgVIiZ/0WzESxHIf/DhqEInY1EaQ xzLvNSo3dUS6aHfd4v/W20ZkLVm5kULCwapsaJSbRyAfj/Ba/zBIYK3e09VGmBGKBHUhFGyHE2xH eZA2FH4U0qY6oW1GofYoCo/Y/efgYOSz/FM1h0AcTITi2k5DERy1mVvVJq59RqE2TnckIlsD3ayV j1Tan51XqLni+QiOdNGRLNjyl5n30A6pkQCGnJ/h0UYa1SFbaSyTtEuJzrU8j2IhEmK10riDw9rG GGeJEEjS+W9qQ09A6XoFdqemZ5aWve5vjPazc4cPhtkCD5U1pJPS4PEgirxo5CJUrlhNHiOtKNTG qQPsX7sIAJg4GEeiDhdHqkiuuZZWhCQBh3ipNW6DraUFM8ZMet8JteGoSD/YkaH/iXQQYHWT2RDt f4VIks2C7WQtkoMV2uDgwOv/hPJ4PJIiad83W958gSuvGcXavUrrpztDYo3pFRKTScKZNK/Mxgpj 7a4i0io+eFV7Qh+Bfq7nTGqNTizoAyMdgNOCikhCJM2tt42wyIyNFGoD8vejKmtDkYrpOwhheD6C tv1TRYLCEkxbbY6iWBsqKyhk39t/CjlKJAmTCvOY9HN7xUgSQtpaFmUtMTugkvaJXlBXmkaPPAEN 5doGS2+sqDxGERBQw3cENe1N6H8liYSD6JEFvs2mbszH/8Ii8+ejE+DVil4hPbIOLSNXRuprrHv7 V1dGGjT0xYG0Qry2pH4rMYAcnGizzpwA264AqRNMe0aAITscelq/dHZhSFdY0+bcTNQCMfjpW8o+ QQsLSn2c1H9Fofa/KRv1UHFF+iRFofYkaqvIiRvbTuV0NCNhAGrYbRhlWRS5YnYkXYIHq5EcvEDS FxWXmNdCrQVBGLSRJjtI49uem/h2vm7UjGSbbYiRzBBdceQYpHVgs82M56Mg6Vv3B+31Ma/I5opC bYK9sFiXraSymrfZtrR/sZLQX7VJCnVI5HhLWwoNDpFYsJeZqiKh8tbFRsLhszugfmCtmgFnIrRt RTgSo/0X6UgVL5BM8OQlsjX6wON/kZFU1saBlL1AsqJYezEdOQmCtSLP+7o5ItDI1VqRVXkt5iiM tcX6o4KWBj+0z3m0Ng5HlDFOErCp+kfXHzQqT85WXRUZ0Gu9bjBHrLRlUO84dGden/iF39hPJIPH I13km9j+CLQFIzmfoyjYLltcfyogE+BOWhk7/xXFVVrand2YDR6xQsh2J4QE1C8bbB31BKkPFtP2 mtD1b4Pa2ud43wUpAr7SISRz6HWjhITOGZugLRjA/QiONM/PUE09quLx4NTe36R2qKFMZUWco5ge qYJ/82C7ohtvtf86blqbXfV3hXXtiSt9LaLWOHgRqQCAQuI6jFZ3r6QirUERBcTV6Vq0FbTd+LrB sU1FxJlS9kHnEX7PLTzy4DtGCu23y7ZUlTubrd0oMixrL9Ij+xXYJsDDYu119SNao2k5JimMtYcU kfrwwU/tM0PnCuNdDyHJjvARnDZ4LCMPg3z3DZHG0OtGIdIWT6v5oAMp9a4mUj3hCx6PFlL+DiMp aQchQU3SjkLtQfTd+eg7SeUSbaer3MCR1L1G9u/0yLOZqg8ealdgeRcrIDSrAeUeAvcnrB6oPvR8 dUjkwr2jUFtZWxbvL1/e3wbJjFXpMy48HvFsbqNNFFIo2d9q5H4PtfEYvaXbTUeg0OJz5G52CCOL gWx27FjDhSSOJAffbcD5t0qCxJkkUEqbn0iLTXWotvrNRrX/HYK2SdTqlY1/Dqq00ZNahhFl4PHo 1J5fpzapWu2CbHYI2par9Lon0lS1hCmbyLeUj7zA9h2H2szVWrnDTf9PREOHN1SRcEdu9bQhTADR 51nvFNGCF98RFdrYqZVmCwelbCe+XOJrnenC439RIxlGAhuRboC0Q2rkJjbCURCwKN8miwRnGIeP rNyNi7Rj+AgLbbhMbXBqJGDt5PehYNtxviLUhkDFDz36MvhA3kHixbajWHszZaOspQ06jwDfpUoG vMvweKSL7Bfbtqy2pduw3SFiuwg/uq7KRrb6NhQ2zQgASFJX/NsxNVKZf28+WJMNMeJG8kC29olM dyI1EkKbgHKDrX0rbSC74DuidIQRdqVnSK3ugXgimETHRggkVzweIbUuM5KRAu7ikR7jiCjSrupq r+fMntfgp6XscvYZuCZNUowfyWRq7eWDI7bPEbdQJySsHS6/XRaAyNlwnUvxV4DtNfS2QYBEcG1L jCWbm5vCKnAmKUdWnNmhgc1V/SnM/M9ChgabTVGEH0n0Z+ll+XHUrzNkTSS9iLBFjSlOUSj3t4X8 57WW63OtAacPCxw2kMDoGTIdBSSd1lobzX/raPeut/1NOwr0QB84RefenLyNUTjj43/la/pvUbB9 jqNQiCQzqd2XimRCJKAiQYHIqEjQCxPtb4dFbTWy4SJrg0NHUb+FBDlPbFwJ9NGaA5KkhTYtV1uT fsT4jkjvn0o2ArZz0CpCz5eUtvMxG48HRbbL+Ge02K4VMqcormlLFWm5kN1oJoRMQURjRkLlwCx+ dwwfUcHfyv/3UgMKqfWh+tEs6Gw3ThFaQRAzAPv/kpD31NtGcv86hsiz5eCsv/OG7CyeMAmPRxSb bx7S2am4T++BHSprF3Uhr0cLfOVso3U29szFxm1rd4QdATsAc8NKGwePHyegbShU4SzqddEHOE0o ezXIGqzq8WNJLNXuWIGENVoA62ywOw1YLYbNZ7/h8ahUu77OIlRDiWbVFBlU5+1bJ9NYdnskQTL3 diy7tNugo5Ll59f1UcE6anKsZSYyp2+1s0jgFJEAQm2JCi5oBqJ8e5YTDEd/uNHBLsFCWtte+H2a SNJf1ALHgIafnFiR7xSxtfLkB0TEiJvVyjIKwNWZ7kyFkn88kxoq2bKGzKj4EUCCaMlYEgCSWg0J HxUl/4yx5fzIwWZqLcA0KsNIWGjWkVVEWkj+EQ7xRDLdH4ba+JZIX5NYJIIGNPBbMqw0laye1cvn g4xk/NP7z9DLmnU/1kjv8baMaHDD32rbqsvofy3JbBvWCC27PgKROMH1wZki5EaDzdQg2GIymjxR MvCFMrE7WcwGyjsDn3RtRrO9cASS4EwNzdTAJcUgsAOTOkSThBlrioLukb/dSAD7ao/TH83qg8cW 8DYyQhj8Z7IC97k8yvCJ6m7GmlPoF1m5lrJqJdlrJVg78HMEsgZuf+euQYcNCMCaz+YDFS3vK5K4 7gtH1VvWASDRZYPQJBD+6ZJtGYPP/wndInsbFN56we0UGQ9CJjJucr2oZIklUyhpmTkJqCTl7r2o yj1Y4N86rDg4EOBccScklgNAhyVchSL5QiZP/3ZGSlZU4irmtwT9kimNRBZMyhUlnZhjUaE2rCRS CCkpPlFMoNCO/1mIZXym4ko3vVOGiUdBmwSvTpjblBrAovkf25NdHxVlKVViUtkHv/TAnDPIxII8 EgU6z86GGzIK5CBY3BJl2vbCERiwkrtFttu4ktuzgX7OsklPm89Htlu3RUnBpHN7DUyxT1QoUNLY EhCqje3K1NwvuvfdDeh+jpTqSyoKwympdX7LXHzwqgDc2au8fteeNJYishS/bkLAmUe9Om4EW/Jr fpPfBNnVB+NxQVaaf+aZbT4fBFFXMJkB10SUUJ4V9btMSZf8n2LOtp+9p39CpmS5A3mKnW54OkFD zgYvMZ0TDg1dNk9GQ7kSRe+J+H5vfB5CBquflNrshSM6NzsDdxiC4eBgbySrISzn85Es4E19xY6j PMA1KUthRD7ZHCiPuUTujjIp21EmCIDcgCtFYiVVsggs6mq4EFOoxCQhOk4i2UmvOIkdoDgDyvdt PcjAae/7m/42QlYfVIsb52dV5bu0weeDnde+WyjUwkJD2acpLH1THABBoPcHCCaTmcswkdcKcWg3 vEtR7XtkNQgYc69Hzw0n1Dgx+JJWGbQPl5wTzscPhFeAZ17OW/UXDopNOpoSLz0OmqcEJqIKuww4 Q8+bdi+9ojsZWJdrMBVaTDItOVdeLpa+pFW9ToBy4J2oXt0+MYSaEEZRsCJs8BTvzFHr9JE8t9ss 5+Bm9I75ajjB0aS4Npx0286ByaRWLbJzkrs4qFSwDS6DSuTk81Hf8p9yCnzvwOL1eQo5lPJsryZ1 A0HF6SUnyJd57ZLGwZqnCG4CrZaV6xIvR7vAxF0yqSHkLDF+3iQHIEauHXi1fgk5civJv/hMSpBz Zx80TaMVeTa1E63z+SAuv7FB9+VUbhE8x0aTWVoGVsxFFbxWKxh01iDVu6T7GyOD0GlymULQyj54 ae6czTAJIApuJohxMieE8CG5AwiUfZpKs/f9DZbT0laJjg2wRCgamFE8xuvg85Gw+7f+Haptrc/H szSqhLuWcr0qOCQOa9e1qza9bnUuh2aTqFqgXFmTD7aawDeFGTB3HT0VZN2JDnKVclm6uhxsg+fA bNLlFFBH8UHInLPjSjHecuXzUVQwvldT4/X4bLrfdQIh4GrTVNUUp1hQ5aYr9AS5l11gN+kCrzXn 5YNTTqh0ADUNyE4VbCxWw3kZM4aiGqVSF0kq5dBwEvAGAJclnD+K8AIFfjGbkMgTq20+HzR617dU IIVT0B/3eYqkuTt5uXNeae5VLBJgDcoFzGqiKkXXR/2mqQwzVx9snlDBSjB4YbW3N9L6MU+IFAFi yhLmFIpJUUFgOekuuC3X7IPW0wlClgTMzwfx+aj/9O2nkIEVRUrg8xR64FAKf8qNU0T4MT0cJ3yK GR6YQZYKh6aTi5gKAE188HAcycrIguicf+wsdQ54vE2YcqI8cat1qkOFrpOTIi9FsHgORqyoYob+ QF2ZzweneM5flx3wOR0amT5PoYiJ+HDSLp8PcEDSSt20J2BCOn2eIiMc1X1TntmHm7ZA6Y5I3A0R yg7pcCCc4B+KY2nPx5q7VnvfSDFA2krPIMD3WbFb7YNzgPH5SKLDT3GuvQ2691dMEMXii2yrzdKa KZlv055A1T8ZAjXlcqcpLI9vQXTK9sEqK5s1mkkvnI1rE/+cpDSjBIXssaSbBvdmLxwUDKStvOll 2t3fCj6yqcmD7ET6fP5P02CRDha2/o3GQ/dJWhsD0eQKS1OqipPCwQ7VzfA09YmKvd6J1S0S6i6P UHcb44QArJ5tqODABQz8U6DyFtTLz+Ux/itrCQ0oiyzx5D5V3H3q/LwnKmjGLG18PspaLlmHlZUK zNC6SpQ5NKGUTyelIwyNOpPj40pZyWtQcIxWHhy6UMrsJYFxY4PvPDQRzrSz/3vy9Qk9cBiRQSIH 4TH520J+gy6i941aLtJYYPxUisdPG4hv6QKWlfl8pCL43bkrCDPn40Id+lDSzD0PsqgVP/XUXY2q oyQukeVM6lfXR0VZC72Vk0yE0y0XZBSzIY1J1A6a5ovVPJK1aIyHVpivJ3l1h0aU6Bkg/c3FB9Wf 1jmilh3Nk88HSct1NOVZhBrNI0WdQyfKVUX+Kq5FnVrx8hN9OsTYxZqwfRdYUdpqgnlZ8sGLBR2L pEnVfEDmNJFrCfMrOr/JrEsHVK7JXjiq0+GiQ92JAwpZBKOkXUZhr/tsjc0PiOLx7zanAR9vMyHw ojwX3qLEwsyOb84z+YXXaODOiaIwnE1UBE1RjfwcdcuHC3DuEKIuQjhtwAtwknckSKDlITJo47ny tr1xACpgGgybRg1TG2+c22bI++3EM3w+qheM78gAleQvq+XAkFLwbWizTY/Id3JYAd7eywUwAbCI PHCkFFwaxAG6dtb0uHZOyL7nTunu0Waj0iaa5kRdINR/kOCFTQh+S8S6JCw1VRl3QeZc0hQNqxbf Odqa/IC/ugkeGzA89IkKTSkL46aVs0NU6BkuifNkwueAqDSvF4SulEsc8EzH5Zyv43I6AQE625mU OXhgJSpYdgALeuk4ptrFXuZq7/ub6FKfihCmwwvOxPUt5eVeG5+PPJf/gYNj1vvTnApdKSHWhbZv upCw7uVMhiWGmhttep0usKVMbJ1CSyMtHyxzOT91R3tLfLA+G9SBsTfRaUFFsgCgZKpLa9r7BigM ouV3Tt0HizQh9LQ1TZvP/xWQc+HAK63lW/UNjSkH0Txl37JKLbvbtut7eyJ8glFTqM6BMyUSPKnB q6xSv8oqEFgohXYwA4zLzNyGHoADZBxY0PxUyg6WbPMUolUo5FGcGN4cinFetzMuqGtPPh8hnsdX XABwRfuqjofmlGhn8uz2Kt2kH5bwKjsbpCdDUMLnKbTNoe5SxhaywebpBB0D5CQWDCBYQU1U+RQ3 WGSwwKLTSXJwOXSnREiK6nquPigPHvtkP2qko3se2FOKDvSc4id6KVDyeAKDKCAnfhMF+AtaaTlZ /WnKXQiglY503+YphoYzZVkr+2CZC5xyOvVucTqN3VRYoRXMYEOQx9OU2fl94QhAT/Dc4rJqEEdq qvoW665CnYPP/yWfu1SFAfD6ZnihSeWUEjMtDNRtSc0khqqAxSYxkA1Bn2OXSoril93vYOsJuo6g BZMbXmuFhydrCpk+J0ka7dY6Jzo8hzaVijMx2z4Yjg5qWIT3sDge+FR+i3pvbjtYMa1nmqJwfBMm hlzOkXRMN2RTna8PQxrV60+BU+UJWcV9Lir+lkceHmqDrQu1OlH8Q0gJZj22HczOTXnaIAbdXjiA GMiuItXpg/bdCQCVtoDvyecj4Oo3jY5iTPuJnmK3Sm27nBy3isqr4+iZPjMoQNXCl9OvIPG0Mjsp S2ot6nJW2KaggtBO7jtgGE50D9Q94Bz3w1aUdTl79ReOvAaIfV4kZmZEv6oZLbyirOFbz/yAv7QY KaOTkGE++V3sWclzvElqSOYMJvON6nj26Kmscvdd7KLDiaqCQdUHBgUM1WIT8kxUo5gR28HwfgBR 8IeKhsC7Pm3zwLUShG1BMVj/LaC9yiUAUpgUKjobaWR+QFAxuIGBRAeAVzkvc2cq9K1kQD7ZHvk/ pRV2eojuOaGDiaDlwLgyEV9EZ5Pkg2cuAEnAU49aN+1MVCPJp5NbDigBeDIOqs/LXjgqae5vbiZw bE258Ik5WB6EXRc/4C9OPVWcQRoCntknKgrIwSeiV6CleOefyWu/JbEiTMxKplocKiuhf2VnYLQl cMbBO8Ilsf5bKfIF2amiiUKrDwSCTPyhMX1StxcOrjxiVuZ4BkP3QGvVhIcyn49q5P/QWBCYpPrM UxSRK9Qc89Lqx86+oMpqywEGxYUHc+BhCUqMSiv1Dr6g2oQCRCZkBe53iWLgKtlldaQvBWGsbi8c tfDEQWBIzsEQBudelanu2dh8PmLW+1k+xVaEP9N4JioskvPS26Mm23kDUGDD1VEYWtJ6LW+fqBiy QrhY0oJKz4LC3ICzTKjm+RMKoPE0IMAKLpiT8Wy9tZe9cbD1SNNgT9QGdRNWq1peMLvh81G0mb+i A6RufVwPghxaWZqNNat54rOm7tgeqLGJz3q2YCu+86KgfDI6ACTfB995Z+sVQLs4UQ29zyYe4vqh wV6eRNoLA6UyeWhmKaMmyj7ZoDL5PrtbHufnWOfzQbD5YDHIlsjQX5jtgUGFhpaVDKkKo/v8H+t9 msr+SYchCHj+CWvL5cC60NGSffMTHu076N47IXQ/UwVVnJYAWp2oJJ5sY1OZZSPgbEgCrUPVl71x dEoRSZ7a9EH33gZUYqh2N/l8ECCMfxTkkV63J+IMTS2hW0JNVE/0Kj3HGHFCgsQSYmp0KkAIXC0l ALEAJE4+WAMdtV7kdWygN/hBdxnNopIg8Y3H+JNc+xy4WiaKwJL8MzR4Y/hsPDU8hTMIbC2/t57q 6+ed5pUkyqGrJRjeQIsln6YtTWu5Wo+LM6AEC9dTaGu5iD+sopPVLzrZSLAZrhIkhMcM3a8SkHag x9cf5DqW5g2FUYGtpcLFs+WaXHdadrmdk2dLAQQ8Vz4f3HntH19LgF9QjvZpiuJyaJehab7cRX52 07RCmleMmIgujhfrAmdLaDexbABZJRvshJqtommuskFDeY7aTSAFQTMZIYPzXJgi630j8CFjqJ2E rQNqkX9a62heUwA0oS8cWlvO/q/8N36pBywWeFueeVqS3NnVi5rMCQU+7NsVd9fluuTQ3HJSI50m IjZ4eaWegBkYH+w6NF7KFOi8oZqIvly7bbypECowt3S1lFW4+ThYOgz/T2ZuGSCo0N3yUUlvLCey HpWeukFgcIk2XkaESQVtSYE0c2wowM927wx3193JscPlVMeFiR4Hp9+d5Qq/d155oCmAigGA9FmB DDzzegoHUx300OJysuHZJeHUHwmnExn3aeyyyuejzvA/5xOQWP1rQYVV8syzu1cX36kSKyCJYxnx taLQbdY7OXC5RGOYgAwAP23wPK+d+7RTYgcNqkph4gmUdEFj+Mw70FgXuLLthQP+FDUK0Ctl26Xh MGRUPsDpoAH5Wan8gPeDfO3vE2oQfphu5SBwulTnHmC68aR53bUuuwznmOZBBMEmKorKh+V3JHFw cF7Qpi1lo3DKCZ8JrkTlADEUToxvtyu5pufA7NJF0/OU9+683ruVHlT4wpOS8vk/kVCUl6MWTnlK 5aHhJZkIqBd4B31I6YLYlVKnzVRG1dxmKsKu1GmaV9kHu/KgdHTyXkZIM0OOlyIiY9BFF4FXu4D7 KVpQYHnpDSpaC9lgZ1SGBwQve7CCAs9LdBS+KywZ0DPAaWyePq8BVL+mV+w+284bMgI5O2/b5NVM t26eUK/hU3cnB4rE2PC08U7EPKiduk+gX9UZHUSNkI/THg1emhS/Bk9danhnbvq8g6aozaVeZzsh 4+c1dOoAMwkrVpW1nAsLTuL8H5zfJZqepdQXq5A8vAEtcdO6hhVpluIFnKV0gAfTQzU0JADLB2/e EVFQlrPwCxGKqSPZE54OWE5zcVg6ll7nB/mGlpB004brpuFKblsGBQtPv80PKk/V5qdIIJ0FGjLM MUOvMVPPQr6eHKj5iVR5lDD9NStVqF0V3AycodeIqQsLi9u9dB88sGwQuACgGohDOF3IfSaDnorO Cnpg15+g813fFxAe7BuOFj6o3LtObs1CSD0z9XkNljC9VsOsst6DxyXUVzU9r6FS985BX1fnkma9 Zk1c58WLo5HG6XkNlDrqMWzU7Vl98ECpo5FZBKCrEKIiPmWgatlRv4S5jMXdEOvCV7xPD+flZCVF OQoqhZICPTnV5mo6yyp/XqMk/Kk92/xoN02UPZvNz2uE1J3HYi0D6RNM70D1Vk3CIRE+LkPZYPnY dQZlTRu8EJ4pKQP0ByolG6AL4C3Qls6SLQDJx3bYpurua3jUXcLhzPXwQbU4HHj8/5x1uz6vwRGf 9h2mhjHFSBRG0lMrmKJWy0OVZhFckGdCCIpLN2cgEM0qNZiiSSRYpk2MBm/5Sk0uU+R2nJ+6LhrJ Q3tng+RW2O61XiaD7dfAqANqxE6vOgUcNEMnlxmMJnHVfF6joi4BcTuD2KU6e2xU8hJpF/E6QajA MR2py9spVJ0wr51ZXCV1lGsAEk1Qk328oCjlgaKALDQ6UGQ4pDPUUSi4iyIhekU/8Iu6m4xZ22tA hE3GXhOLXBgYd/K0PUe0roV+fo7PazzUZbBuM4QgU4IrvoJeYyGc0c0Udp0sVtt6HFKqmxHRfFKS xNEZLY4Ye70avCs3FsQcJrtN+aylzTAcDrw/FAHLOAo8uCaX/DUQ6slsZJHC+qA6bk/Lqm4TIvLB Ib16/zqEyFQDc1jz81qZxNVXBW6+2g2jm/sXzeNcuwFwJhNICw5plbjlDa/B0XEn668NCBqcq9DF GSRnQvN7Q+G6ENordNzqetn3+VkoisAOs/nA70htl8FE9Nz3eDq4xFLy+aFKaIVaVPdT+rUe2U0t HNghV5Fpq1hjF2yrK26xr4pMGAbxlN5t+mCn9Fn+J+Jhye9EwqmtySOI5seQTAEoLl1QHI2aXkuR eFmVInNPPugImijsUIb4XI2f10JkVwasCVKFFwiB5rf8O30Ot3MSzGs4fW604vS5yhxJSkSkq4pn GM2QZD+gj22Db7F+Dpm2puQaeiNrCJC/s7x3YWmb3vHK85tECKI9NiQfSw0CDlpDqSQy205kM8Ba jTbZ8pse/y3CznZSap+jKJYGLY/mTAaFS9CdtlNo9ekYrzz3JTtFcyTs0hQ4YD7ggIkObYXeELbZ ycbgiog+U4fEDiMS5vhVOf7Q60YHNT0IUl4+KHMFyJUtE8A1Pu/EOZwpJo2mgwhiaqPSO074u+gk onX8Eu5b0mhsJhGQQzSDADmYRMPBBUdRJsurqL52vThA4EkIfpoI9UBTThJbx6bgNyqKj2sckB98 2/cp6ixj18zknoOOaojcyKahbj4exEPzBozsOi3steGH9Ttpjqc10RPsB2qOiNUQGGffzmRb1eco iqmtcb1EIliXRJBBVq1sqYG8MxtAcMAsoSwkDBwsxu51lvS673uts0g7MhGVIzuiEo7kVOU65zWA p2FUPaZPEoMDVIr68pDonTLXpacA6s7yEq1RwYQ7tXMc4rFooKhEG00SlRlGo8PeaBfxfW7G8//M aaJWBYxdMg1wCXYsOPqlECcI4pDeNjqPaF+pKhE+y4Sa21n+lUnImTiU04JJclV0zS0OYggS3d0W RdaQxkGbbTo7nOISFheV7VqN8ASx7P49tAZKUKBT5rAcbLclyLPXTJGdeXZdS+TQT3ih9WTONbfF TQuid7ZcN+eYDYaLD7qOEDEwVj2hcvm8k+WQRySfJDYjAU6dOd+V9B5dC2GOiCg7u2mW4kCA2np1 IEBzff13rly3EjbU9YYPvt0wQ5Wg4o0lNSqlmgf6MZ2WwGQ42ZmUp173fZIaoYE1qSeSXLii9ZMU ZIWPeX/emXL9kZBTfA2xnN6pIM85igJsMsAAGr4YgG0mu+DC5FtFwxWoOYoi7C6c6aRNEwe/2s5i WpSfAaZkVgGcgS7hDZztSBJdJ82u132fI3Uhh8QYxqI1Fc7tk/3WKbZz5+Pv178bNdi53aE4Sg6/ Juk9yoYlOt0+R3bWJT0mjIUymrt9bhQGVZGNwmw65VAXxgc7khLwaGnJN24s0KknWU+gpYDsJbVG Va6FoXwPs1HNIDRQwh4cDBq4ibdAIgtRnSjOphLevf5RZ3D1WM5RFGjLp7Gu6WpWrbIngobRWCl5 NQ0aV1pIYaStyZEZAQebI0jCntMtEUCJRmQZKpmAwoX68n78vuAaxe+I5qjRoQulSg06kNZOZrBD gEQUaueb7cvJCjfq8kP7nRqHDV6yMIHNzqPW/GY7i7D7oU3TdxUcw1B7SnNo38Hr+v1c6rBFYTYL djAJRPWE3T9jEJu3naGTGel83plxXPV0+pCEHAedR5ARk17jLPnzTozrZkp1zyOKWaMUZnMUhdrE axvXXA1aCmSoQUsyN+doyrjx3P7vtDgrHQDPzRiRg0OSAMPFV6BmVGdGIwQJGxouG2AJ6u37ecS6 4zstrrv/ILqjHFB4tJz2RBkys4LqyTstrpsohxbSYFWkovPpm+2dFoflW2RCYKksPeSqN9P6vDES 6DRaSVGwnZiJ5MLrP5fxpLVzJCKWGUiC3dWl84XjIREduevtOhKn+XlnxbF+Jw6TEtsErtPQWmpW zB4nlvy8k+L+jbfxWCFgzMPtd04cwm0ke+fYbm4d2+WpR3u9ts06VrwHHtvvlDirnlLCo/hw+2it QaiKmo3kIJdF6apzBW1EkS2T6dUfxZN3Qhy+BGCPjf6JDwq3wWCh6tC54ernnQ9nR5rmiCtJ6il3 v0XRNlONXPf0+kglS1GK1qO5zBANtbTfojK2Jidn2qNkIXqsjN1x2FTxmk9YDUwZUpJziLFylQf5 lazRyqjpnQzX5Y8Ho09qDfUrg0ZRwqJAMs3POxUO1+/2GhszbxxKA4UNm6Swjt2o8DOLT9Ls89oQ iIWK7cb+kSYpKmR3JRo938HrtB2dCspGnrD13Cab+oOTnTq47/1Qd7FOmRDjD/+8U+G6rFfRs6aK PAdB2lofCjnO770+70w4rvb1VUgCwr4zL9EkRdE2gWp5ju2Gnz25DUHLzW+33LL3Q955cF3Yk0VM rQ9+Jg0Y7IrgBZDp+V8SdYvq3gZIs4CKdhtqS68bFCOHiDjpDjq4Bwh8tjj2550Gh7/29hyJ4d40 ZvNA8p0F191hb7YLTZ5j39w2m1VqRb3bbJrfSXAMhAn2AxrbBjuRFgA0PPOo+NnIDUHTGrsNxRxa 8XraVoZeN5ijKWiknBoTOUcsSALAXeXVtPfnnQPXTcJbIQDDbaQA5ZmlsKi95YdSvQJQ0Mx1SmV1 Mg65aVpJ7+G2Ks4wZWLVjYPN0tkKZ89RZgnCJpApYmt/QKcEuGJxvt3Pcul1g1hSDnsSYlq5eplk oZXNu2lnvmOwklb6jiVPPgYGus9RFG5jkcN7qHvZdl0aM2RNvGzbe/U46T3cRpUEV/8oRCRz8CpJ A3aiyDq+NsRCDBJ4SOHWhkSwi+xSvvKd/HY3W82r+CBw1jyXzTY88vi8c98QiY672cRQhXpA9v7+ O/cNxzbTNhbBrXlUs6mbEJzi+Pa+LLd9p74x3sbktE1MJAebI+CVIBUnDZhcoKMnx6uKdZSEozEl L9yxn3fmW3cFeagW+qA5ovWmFDt2+bwT3/5NbZnZImsbvtXeeW+s0bFD+/TXJst8KpEsD7dJarYp CivbPJAWiSIaNEUwQoGDIWK7XWk7xVZch71M6dKZki4cuvxFbxtM0SK3W6S3fklvyNU2O3q1nzPz nfOGPzZ5ZqsDmkIGy8PId87bk7al5VutjeUh0pKaPJHaqGQRgvxOeesmuXQep8luc/rCOWUm1BRA 6OfN3OD/NJTZnrdjL3k9Z3Ybetsga4OeAtRmpw/K/gfU+lmsPkHW553u1s0C7i4jqMbWfitt72w3 nCFqIqUmPDZrJS6al6lPK5Q2Beo5Re+RtjdIaJ1ow63Z0nSty10PQmcy4pGTJVGY6R5Hkyod71y3 20RigYJOBPgjfwyyRgdXOHiVzzvVDXOc01eABExDQ/PJJikKteVBNITrE5Tdom7o4iAU5CQB56Ej +53nhh+JEkLza7C9do7pkyqAKlyhzXzWKT3mzi47e5uAuVsg6UTXvZPcukzXieZrPug0yuADMjw6 /8PPO8WtP74oVmhDc6bWW418p7jxnpBhzL5uDXO7FUEb49qiQNNdUxSVtRPVAdqiQiwHX0dQwumT gIeZ6slr5OcIrfdKBFvftz/CZOSd30YAGSHHAo5wUIEEvuQsuIIm8Xmnt2E9lG9ABHA/7WmPvLPb 8KVcRb1dC6JepyPY07yIiIE4XCd2WNSmHwodYmzwpPYcGyrpI8w+6yUzzIYv0c8qUMROtB8eD974 ndvGrBRzBLSpD8ZtOycekZZ14Wd8D7OxIMY/czQANr63WhRmA+uOqPEW/st2lP/5afrt1/ZsyL53 XtutRSIT5kCQ/5QiLJwU4GOHpHagf0ezT9S2J3BrpV8ZdIMav7Pauoid55cn5OMMeJmuSTohsLwa zh7+vLPaGIDeaiRmCXScMS/88Z3VRnSWuBDNk5HS3Ae19lrd7hvsWZulsKqdxIHY1QfLak9sDZnk LrH4iuIqBYYhZrNRKoba0o0hURT8vHPaeCJVckWM2gZoDH//c/iv1uQ+kPl8lNWW72QEstq4IH2W okCbBYoCPL7VtRdDZBWRenKSZKNmHWcpqmvPLOeY0X24+IjzJUDWUFfpRCnAEZy4EuzTrJ4AolWv IpHP9k5n65LEx4mdtw86lDKcsVnZPp/xeWez9fRfeW3F8Q8CnUEhw0ibhp9dBRIG3Y3K+rRHkXzC ogVhQ2UbWMg40mbGJidCDt5pO8Ffn5UhEnYUTOOx4VDi3Lh9S348P+hH/XnnsnX5Jp2osxPqN6SA iyrS2Uh5SXarzs87le2f6j97tgiZwPj2SQpRJPRpRhPbJN8ar7v/wK7s7FlAR6Dks3hBYyW9M9kI NFOPzZzRy3VraonKN4XSnWfGkkS8EtbWRukHcjJe/qemzeedycb9RjDtzncwPOQJSnk7tTLn553I xgKF5yPqOSEXwa1okxQG21Mm31SVIA9CWHWh5RGc/iepZ+srKapsN+mXwabEBsetw0qmNEEk2OCf hNU29dQBhwCg4mZtXe/7G/IY9D4fhLU5+ayc48+H8/G/gLU8uSHDUe/J/c5iY+TA2GhYNgtteNa4 sd3oFm/qpmkaQ+SdxPZst8r7jYOf3O2sElqGQ7XzJLqZhpcZpk4bTFU6WLv4MskP7yS2Lg8uat4k HzRHABiwO4n65+edw8YQwhtJ3Jrn9EVg63MUFraZ2U6/x1B1W9Nvt9a7l9rmMv7DO3+tuw1hT0ze ONhuqxUa5wV2jOcSRdGfslwomEE18rx1RZdVqa0qJO/0NaS23G09s/nfc7rxdjXgDXTjPu/sNSzD 5GkbqyF0f3oqbe/stW6kNyCSTB0obVfMBctvu3Vs3m4d+05eI9aCqW3hkcTBKySJaRxJNDAA3dSp TBNRSkfVbawruiyAxDt1jRUSNkiSMtxUvUICYbctD5Q9Pu/ENeZfF2ArxhvS6XQ3W4jR7kzbar51 7XlB7MhunQcB/IHmKA64O4FILEqOdjuSiLbOTAASDcYoFV95wKOi0CR0Xm+8rXUUlbXRjUB4RMxW vSp4BfKcvJka/CzfSWuEDnpmW9w4vo/naosC7iygfy+XVkuRWc4RgmVH2qRqAMl3xho3G6uRm6Qj Dl6xnSelWZ2biSBABZ0FclaN3K16c5JBDOk7YY3HZ5O4VPfB91pS2a013GxRuO2cWlT4EEgmeKld gEQLw+2t62y5Yv4sVvCH4qtLwcNrp6pG0mKsdmUZkrk9BweRDKr7UuYGQMbzRuwz0boVB1Ijzrap 1Jb0tsHtj7/qnAuUM+Wg2G82Cn1CYiFnPB6EkeP7XqP+F1y8bIrCWDuzrU11PFlUlGwYUuT/bh07 she1W1TUTsSxN4lwtUeEq8B3oS7JbEz8+lDwg2QJTwME3IjkXHJSey0KtZcsCIja1mD4SHTMWahu ZeDxqPe/v9M2VJVNSpGcmijW7pyknle2STIbS5aR+MYsI529aIKT/T3W9jN7LPLWOFgZiUrUk8I8 e5ZJloRAXRAIBLtuOmDLDAV6yHxk4l8lK8VBf9fZy3IDaOdGwOMRFvm7HInDofWbjvSwqp2YqCln E6Z9+xRNKlFJr6XeKYpAJIPFkVlJk+ZgUzSBgpEQ/om4z/9G6htwnKHM7rlLSQ5V/k9tjR6Vtau6 2cgPbGBesaFoIl7EiTTweBRDfhNH4BIEmR2fo7iqLdzInF75pxya8bEtIIDjQrbKf4+q2irZAtrt g/P7cBRNwBpoFQfQMAXy0b3e5Kfiz/OSLcFIPYqzBWorEraBNNKP6hqTIFKewycYw/MBe2T5gcQG OJcyoUU2TSELktrGPVu/P5sPtcjYuySD/g2EpQy1exRqT+EjC9N/Dh5G8kBC2ecsJ9AMzu2D1j+c dREy/cNap0srviRYSuwebR3bm5h8Zv9tDmkFI/TF4xFRdH+F2viw1m/O1iMmJG0dcW67BkJRrwRR ZM1dt/+JJgdem3MUY0hYQRL2sXqDBxjSsU+wzXtkD7JByZZsoNacJQD77d3v9c+SZI9K24uh9oDt FY2qmmmPQO9FlrvjHFR4/q+7TfsNNcnn2A5DbYmCteZ09ZMfJm9GpmHNyBNGZp+kkAxJWHvLLE1y 8LttAowi2emzmVElRu5LP6ZJOQV0Xv1MorBrf4+1pdeOVi2PpkrYDQNkeEBnXm4nMd94/q/9xp4T XIjbLW73sLjd6TmGImpmH4mmUVLFb5klEpgH1EpLVBzcYaxNol+SUxWHy2fb4FpQEaWw8zkTPfTO C9P/m3XYq8I59brRbmMfqTFWagXon2JL6WxpKmedRVvwAcHRPfZXmAQxGLZabJbeo220wxkBkBsp iwUk7qgpIR+howmvt4E6D5dSFG3TxoyNOx+8JAmFn15UuN1nJsjqgjPqWVHI2M4Nfll/JGXgS4JZ IvUYSAIfhNk6q94M9M5vgMcjZu34OpOQufd6Q8keQbYHcaSg1qqOhPxsmMHn6MUWFcwBzV+hRxgS 6tZksF40cD2zRDIaEbekbAGOLFfZBHHUjc5AmeNitiF/UvElv+FIiUW3QSWSE4dRqPq8aip4/H/B R4Kz+dMeQHL/tbb9WAjhTErL6ki1tFu21cGN7RbVthdX0FkU1QfnbI2KMvRmRRLWscB7wOLzLKQN QE6RJrfEtbq9bpDbyqqjmTFc8ToSBNq2am1l4vFoIXlFkhEAAptR7u02onh7sv0/5nLUNlJPO7hP UuUokgzNNgaTI6ptTxbbelchqd9CEiztyLZh3rbAtmnyiIG0Kxx5QAUXicQEuUcUb2eSSLrcAjhY TxIMz2qbG48HJO1+60hMhCntcs/tEQXcaKRhjkq1guSUBZzslqpFSWwLGI/999J22TP74AXJVRvy ByK2FsCTi3K8uFpA4UIw6YlboQ49viSaJM7Osv7tueqIe6YBZmLHZCC7HXFte36tJCjdjnyp2iME bWdh/nf1iLunbWFSq1dSq5Vl8P8RlbYLFWsmfT0xkMuKrATSrYCyFRaScIRzIZHHe67S83OMGwBg 843PiAJuwEzOUbTI058rmS9OO/dk44ECdjoej4ok8zu5bcSvbM9KRhRuqwY/qIupYttyrE2llIOb VC7zyxtRuC2sDfXfOfgcwXq+IOBilHRuMDjsMkY4oU6DZARCfr//z1E+PiPGkbDK1tWS7MlhbRA2 I78RRfTPCAvb1eeI3UtybJ8piqJty//rvqj2fvmR7PdczQhLbkcUbU/iR9qkOweH27aFe+fgXkPi QEnkBaGQ86oU65gP0xaBE183am5jHa0qdCSmRRQiCKd0BvjQVcXz0UJqXyES0qZWi2NtRhRtm71S Kt4hGbV42obf5zK2qhVtRxRtS9wHzQofbJJOtHTCUKgxgfoHIkPGgTSh89XYWkRvTOtok/Y/osI2 6gMUrbuDNUjQ2VbPdkw8HoFtbtGW2CVck6Peiy0EbFOqte2LkmgzZS/+V9OyqYRZ2xxFgO2atJBo 5MnBkSS1JahosZCEHA6EVShHAUK6IYpVrh/sbPa2v5DaN6QcOKDKwWLbbiCnemY7wkh7fpPaC2sS lx0xQiBJE2x0el1b3E+ZMKfkjC2gP2yKokh78hxqBiSVAlhmgFQA+chSYpvwypODHuQdKf6K0Man CPcOviOKj8iKHDyVBniJwpGgc07PQ7SpO57/X2SQ6AlQ973WokgbTlrUqPPz6IRKJqN19ocrIJ6Y 9F5rYaTNyckpLx+sY3sOiBNqkQGJbKQl9P/OMhsUrQMfEKRk32vdXjeItHmvkTrYqf7yQzMDSHH1 WdiyPVtw4QOC9L98rySIqnVKNGmWwlB70bizGVkUmXj2A6n3cVu2LRkXaYSlbZb901rDB5ulNTdA R0D+JoqZwQ4Prl2FyiUFa7Z5+R84Zb5uVG7jlbbVRoKLLXFIFeXewiPp3FQFz0c1ku9YmzE/EHma pBmWtrcIW3X+uM52Xa5ZR50z5iNrUw6p85OifIQ1kkl9hDbbA/zPNIo3zbGzshpPJMLaYB8E5Odt tZFnO0MUSZUGCXcdB29rw9D3RzZbeDyYovbNsyWMtVbv2M4o0kZ6j7x2Oz4CLGLLaxvNg5nXbmwW TVFY2lb/bBNpW/ZF2oIMcZIdkvvBaT/Th6buCS1QC+90DMVx5EjbpdeN8loeSWnfQXPU8pI09smT Cx7/X04kiAT1eXH/Mwq0E4LDQoCCSm277HRPpGa8vwz7KxONCtmRdHjLdjD1JxuB4PS5P5mxnRAJ /W2mtVhBNJ4oCVItpg1JcYQZl7aJHSl0dOGg+kg3/R3+DHg8mqN/dKMgm0c+ouYoCrQzbofc3KCM 5f9lbK00TOiG/iQGa5txXZs0LcFopaZode0BuV/60oHanuBGia0G77iOQLs9dO1FUNsMESScopZZ Qmr5lpBODLblZlqgrBXitW+Zjfkaiiz7ltlmFGgjYuQ5bflaSQqVhPyrzTskVEbFkT1DhT/OTUHp ywYPtE96ck7sSnW2k67txrMa1mKyWqbimCsjEbE9Q2pkMv+77IMhbc+PwtC+nTgDjwfdyBtnk6qF nqYsQjlHYVUbJzDE1B+krRORwVf0ZKSiIqQ5igAkAkfUIZH2cRv/UFw/LzEVIKEIQO0bdFzmiWcg 1kanDQVI9JycUZxduyWzzQfd/LBxpl7FSYIGHv+ryiaHQEzRvqdRhB+BaiYw7cm52r1dO5I8isNs oZluOy2qactZqhZtuDKfKYIYUh9TMSRoBXAESOcvxOsiyysXYzMoEzmjMFuFyPNZJshqAhutp1ro htDPz4HHg2ztHkaIEeD02JAc2BTFtEh5BifvRY7SvxxbmvdG9rAQcoYyf7JCKKSOcrDD6Czmeu40 eeHuAgwY730A0Dp0o9BzuFX/rbeNWEi0QJCEFAcrsc216YQALD0e/wuqpQpbhnPCXUURemRSy2a6 5CFKackpyJWyhFpFa/gqiqJs2QK2QcE/DhcXeU7sNVk8GkMIRm40+HDCDJeyiV7PJqR9RlF2I612 JkL+gJQ3Hgh4BcTh/aDR9ZlRQTvf0giLW5k/zAX9zZgViabRuWn8VisU6nPN2mHlIxKGdRyFIiQi IC2aIXCwhXTyKnT7eatBUvnsWwJMM9BEoCfJEd5maRW9brTZBCARFD17BAnmfReB5MSqeDyKIP1a 49lFubersLGiIDtxjlpPT+N/VydHFCu8QcDCnYJXhB8ZvM9qF/q/p6fxv+AruQ2HhNIzOcgTKpt0 Sm2oZ0tgQ4T/FeJHtkq1vPn7bR6Vjha68Gy14vGAFTm/WZHAQen7NUVhObuzQStPLUzR0hTxVsPd bOeRbrXET4rwI9L763fwvgiMj1MhnW3BWSsz7R9Q9ujYIaoeCYY0t942qmYTWwP1c1iOnFxL5dWe aFSEM3vXguf/kiDj7OKk+cKzrVA+W86SqjiqnF2nA0hcc6tCgNai7BUCSNj1xy/KQRA8HtrIaqGH wzrkwBFOUaRK+Ba35uMPATw3viMqsbEoAtSJDaJFVqj6slTbFh+PgmxvZ/P3I5Nt3a0W0iIZQXZh bNioXdPZtTmZEwIESIZFkCvEaVMMqUqHhINtNZSSFlIrbrXUGiv+aVY6KEMHqF8eu6CjK65mY6vt xErthqKvBDGQTRbWz6GAhef/Oo5keIBnOph6NkuhkDZlWkq34Br/ZKF/sy+yl81S6stiyBXyIkXT Ql5hg19tZ3cQh4xZ2ijc8kASix1g5S9DbuWN+JKgyiZRhEq/kcbCk6LIsWuVKMKeGc9HIdItaOM/ Rsd1zFv2X1GkzUpIVmVBIJvt+lpAoNks5ZSGSUesWIOE0Iiy7nBnCUQRgo4TKvx0C6LU7/jZiAIK sVwy3kzEtK0IP7LUqx1M/DmIPYIiJvskJ8QfeDwCInmoTagJLsh2M7YVhdrgMOI+Mxe7fLLyW/XP 0vknfGS4c8YKQ23GkUWJf3kS/4LyIsDHk+akJy6WwFZZBJyCAQ7KrRWQ4IPL1w3mSJi2LWn2XbZx rgHn0H5LqeHxSGDrmxsJsBZrvjZHUaxdDIbcr5cdFfnZ86fJPL3sMnw/VUBaIVS7Uzoq7+yDnUkQ yj2rRtyRDpOISUltLLWTd8OXtK8LHplDrxvd/0RpZeLaBp2XWUBaIDizU4si24qCbWRAltWyInf+ 1Algpk1SiNVulJl8VMjqcJvbs5avqfu5mU2BZMXoEdW0qY7AwRE2s2KHpSQ+WwZzm4K/uNYgnH/C QOf8FVS0+LoRWAtXP8pPPuhEagAoCGQ7+I5B0/8RalVFDvf/naOwoo08FUZf3huZxbT/sNn6tQLG ztAcxdLaOK/TXHfwKhsotowjKYp8vkoLaeGApyIVVpZHSWPodaMoydi1ywcdSCB4NVPMrHg8CADu ZiNPmnJ2UNPRJO0o2B5URa79+iUPRKTmlzy9WYuIxiLJHRIjqT0CdVcfHBoJjOjYLIDsAUgTmcgN IAUYmP+Mfusjct/eUbDduMuoE2GDraOWO5FWJ7FaeDwi2PzTP0IJqd9K5H4PtsGvIWBk3PqIEUY0 Rdv7R6W6U/KOnWrYEumSsu83swXJa5+/bfHQhu8Y4AjQ+Edvt4NUCMNLv9io8b9DsDaRNUMi/+OK /OOEkuEw6lN4PFKxfwT/ePrgth0XPrpDuDa9LTsx89pty+78gh/KC22QDrFZiqNtUWtT98Fn6VxB 0GNjiQSXUEnIbCcwQR0mf5kkCc0S7XfxJb9U2naCuaoNSts2KjHkg5a+8fhfKiTM/nnbXjGbHdIi x1dhhObkzQzuTxKyh2f/SKhsjkLwiFJansUabk27AgxBO9sFw7HKFmRHEWCgypahb+r9I15tO4q3 t7C1pWUfdCLBV4zX/8lI+I6RKPJ3HYkUH1yPNkchVpu+5L09cv+1LAfYkNkj1xowYDVHIVZbmsit 38FbbL2yG0nYP5p1iHOgibQRnIBJm9MtteExfMevQi1qsbXbYoMeYVPWBTbbjkJt1LK+hOyA1E43 H9lRpF2boJDDtbUHK8USIVHXliIk09kjO8SO8EBqkywsDpc5CshfVWILnx8atyCRw2sCQEK1XwG1 19DbRsY+BPw1lra7/ww0eGMf9adWnNmhb82VsmE2AqDPgA6RzVEorV2FO14Xp9W3y4+BtWLLaCB8 0xyFYn+q+ycVtdNT1O4NciHwC0TOBlMbEronTiXa5MAswbvZvettI+IoGVr4u2zQMoLZdpIhwuLj /4vaL84UmqDYFEWBdqVGa5XWX1U4mYyENJgDEaVdQEIh32+H2JEl2Sj5+47H37e2eiYIdlloZgNz 3CWIDKdRWFju6k6jhe1WfEfgwEacX0+9+6BlBHkEHdgnScXjf9XZzMe1qKpucxRWtZN6I/uxr5mX E0lAEJORE2gvm6PfsSOtsEXCwSFIZzbSCYGybrUTMJKkDYttcCLhse2utZmRM74j8vdhF1LRNgff aoWlwh9UA/B4UB9J/3D9QcqoV8tmR2F2Yy1ypem00fOLOCJypOvP0lP2Sy0CjqDBi7nZd7ApwjY9 EyNJpDpgqkX1MfJ/oF+7KPQr+DHLtTtUH6FaBHzvfPA7rYyqJuQceDwSsfun34/ZWReBZDidt29d 0kPo1XDsM9UvHLsyXcKPDeyfUxhms0fNHWSDFUdOnjonESIwHT9vhfr13HS0gGE7wuQNd3IupLXt hQPmqMrZqNVioCkx5ynBEZd+dWcm+QF/kSKy3KIAW70Am5xCZmQTeZTKmSy7ZRpEIhDdKwt3A1gM CTZQsk+xlQ3rRwyANNhMQYHibI5JDfKzMmUeD3mEdtL/zYV7lVoWo218y2/6UVDX84HfcsKdPljj OJOX+XyQk/RvqTbI7P7Mlp6Jeo+3nfXfntLtUsefvr5tDSu3ITMyOfv0u59NAtnUBpuojt48jQ4B ad8oD2OiNvLoDdQlAtjHYDTbCwcTJQvEnOXPjsCJMSDQVAKRIrbnBwQo0qv8w2lFuA1Ksk9UVONG 7nJW0TCFf/yzeK9kkwbNier1mmyk2CiS4NBFpREONlHn6oG5Es2RYFY7oSZ59h5Q6Zvm4Xl/cbaK vXCEkqQF4t7dB4PbNE4eWCRj8PngGO/f+L+N+cbZ6PMUBd6JRmSjtuGI5DGvRFKZwykSYLnZzovK 3JS0wX84fXAcQO/93Gk6oxL8jxeMojeatxtYduCVvabE/JPfEhAApPor/LbEiXlEnW8Q4+AEHJnP R/T2f3wkCk5UWO36RIWFblIAoPOsw/xc1dWOKNj0LT+iAHazwzyudDO2bPMOPlFjo4XI2BJ97jNP VLgDSXJRXB9EY69Qpm0vHNG3WXajOokGTVQD7luqpGnz+YDjfvtv/I/P5Uj1DJ+nMARP6pVU59wA L2bF7kFRfULcKQFpCyqKwackydKuPnhHIKEOvNnsZmgAvhMruRMEKtQNR71G0bSL4ddELgAEumXe ehysdAJ+Ms+XM9l8/i9OqZrjEyHCl8NdqE5SJLxJbhtzO9nXcut1v/TO35Oyz1QUio9kwu3dh6d4 AhuuSRL3OQzJ4+TW61B2wHRNp5UUWp/yWyJ9siyWe3eyu/TJGogEcrkpjc8H0cE/BV1A+ce83Ur1 V1+jkvY1OWruFq/E1XLtyXLxGpOgLFGXATAu8gE5eLUSSnrg3xCqNBMLAiwyTcgBzZ/Z9gMKnPa+ v2kCNCDObDDEG4zsFWy2weeDjXfFbnBo44vX45hAvFZc+IZJmzvd1W3uSeeAKh6Ro/54HRPDyjen KckOMH3ZAZ5pGfBKFLcUi4v8W4BYfgZKTODYX7ZbLfbCUaGJB5RU7zhons4hQaIctFkmn/9L8CbL 9gXy2/1xTYzCctw4mXZ0lrqgk2apC1GTNlHQLDLbxBBqQuethlPZhtuPg6AYSt6A46SCfI/0iUr2 lejk132THts5MJe8fG4Z3XJQneAEZ20JrTUmn4+6ll4oYC0FhnftSV9Ce8nGYsp4KgXE3ZmW+95e TNnF1ZNy4C+JSwH5b5/UK+GgeWqtoSKEAh9B3QuiuIweYAOJY4syZSZ5R5+SHPtLMtSs8uKqw42B 6rkgzIPzbCM+H8knX9b7yr6gnk5BDjwmXUF5VVs6aPVeCaVBYTaWCyqWOMsFOTCZBJaS8Lf6DF6a S1DNKlpQcJVSX253qt5nUD3rnajS7H0jzpLyu63a3DIOJbqrW44IqQ4+H3V4v+UB4LnYQOL0aYrq 4DQ5BfSnelUltUuibPWqcc9x11MYkrM6N0nb0mDriY5wm0SADTmF3HTUk8gkybJ09TjYBs+Bz6Rt u53JFdAgbE6Ce5kdiJXPR3HB9zRBX/PcjzdzCY0moT+LAt12ISWEzlagm4loFExTvaXwHDhNogHL stMuxQdbTavTyRUygIDnwDuhqtALOUzEJSDxWuYiKaUcek0uVlVm2TZYWMBCMtvbPY3N54M+7/qO yCmYMr/MbyNRbqHh5rrCZbDPuLuudt91hZzcro/6rWfQtnbdvruuIqIUBIIIZjQ1OU9wViUokYQt xzEpLgjdJjNNBTqObBtUp4MxSlKdbhU+H3Wf/vHkBLevj2fbhXxKXncnunGK19TSIsVrXhI8K1rK hEO/SbCuz/8dBMZx8DDzvE2H4xvCTCiWonEMQWUSBhZdrfOt1akKFRpO0gTvTPHKPlgmnAFHxDyd eJ/PRwLmvp5kT9GBOLvxU2A56fIls0/PhCfvZc4TBX1EhasIz2yeIhccQ1dsyZPtx/aePsOpygVn AqaLg+ws1oFC2XkB9sstKqj+vr9dd0u7j4Pw3hPHnThMqfL5v0RemLVsUL0fh9fAdPJET5lO0/OC UMs03nKB1fQyEGrCT2XTFEO+iT4prfpg+R2QWbiLqM6BRGOIxoRkFVxb9iduHtybvXBQMJBk4Bb9 dNv2AU82ZZGQVyt8Pspaxte2Q75xltO+8xRivqvUXVuzSt10GQqA/Jc7mAJO6vMUhuO0LmfIZcMF 65w1lAg22WRzJwII2OFdQKOcg338V9oSek8WAXUn02AO+ns3jg6hvmfj80HaUv4prFT0fNYVDc6h /WSnZ++oXqlDjaPavivbmPJnRaP+oTQ49J9cvOxLI+iNg59Pm0XaXcWLw9RlEZrOugKIlkoUQn6D 2a/3DcoFii8bTV8Audd1txEdcz31Ez7y+Ug78GK/uA4hW/jlYx5F4wh5cCYRtqS+Aort1KCi+nzm 8ZQ7mRZdHxVlLZyfobrKeGw6E7pQeyetp/PoZr+hnzjqZ+gl+11OMukOLSgzlbraTncwpOX5FdhV gvQrnw/qmVcXX3E35B3rfpZTKGdC8aDaV7ZjPHn/BVCdKWwqMG7OssyBCaVcHnAwrTs4kQDyARtc NgDAYCDQVH+q6G2il0GbLp1PuSZ74ahOJ1E8br+NWI9Fp7PiIU0n4O7a/IC/sDv8jwn83DcuCG0o Vajrafl9V5JRmc99t/KyiQLnwycqhIET4Ax+lA+OlINZ86TAykmGIa65aR8IUWIIGGwgJcZz4217 4wBTQGRKbuTJ5ZZMNGGkRTMi7Lzc+XxUMLgnVGWhDkf5Y7McmlHS+SZvUkLcIceQFwXUPEuEcaFb RB64UbpjZxM5lYMTwcqqtXcKQEwwMgS92IgtobH9DQUvvGb5LdFEkSZXRFIhkkeqFOcbBwNqsJ34 AX/x5CWpv4m/fDZf6EhZBN1N7kg5xvZSXSt5eqlu3NJKaEkpkEpZws6vRwJ+1nMKyVVp08WbHb8E Jcbzc3aYd7YLvsz+vlEmzBJULnfQnXcW15YVZ6+Nz/9VgiIeHGcdqkY+TVFI3iiU3+tlzZ2Txkt1 Y1sBD3KtOfk0hYKCBKl2uk5psNQFh1RetZMQ1rE6WavKLM8CB4vV4ZpLa9r7BigMZC7nkGvZBwuF cP1NTdPm81Fl5RupQo0LWGP5NEUF8kEYRq0XFFYv4gnI0upQFaBeleEFvpQ3w8O32uA4DGQ+Z0+Q qAKZLehUorV3FhEKd+f2oRBc476zeQrRKkQ7VZRibHDiHIJNfuGefD7IhB/HHCx3iKq2r1Q4dKbs pDtPBqOm4Z0N0wP3Ni9AJVAzbKJCz5zchC1cPvgBBeBlYzy5Ubbf1LI+Ry/eDyLird7zSWJwObSm rDqYBjMYDqqsnPCDQjYAPWc+Hx1Pngljmhj1ful45NCdMlEPjkVrKxmozdJZJezTQSutDJ+n2A1e EpW9+eDd83Z24ZxgW+yFpQX6MELN89uy15N5Pk1ZnaspHBpUonJ7kpZCBP2gPJEqv+dtVVo5Zwif jyq/t0QuF89xkrwHZhBaVEoTblLySS0XwVXoUkH2qKkwF+8lhB6VgzXfJpFBDr6gTugE+o52XuHl xLKCUD1J8uzWPCdAPIcmldKDXZMCemtej+qJyFOWZ6iQBy6V/63nvQftH55pimJyWjdAGdl94Sq7 10L2putSzWq21lPgUwnoDWt08s5rj3ceLNT3WZEkrZ4QAYBDGgwCw9Bw52VKBTvIoNsLByADagyv TZQ4B9t43XJhYBr5/F+SXleKCXYyPk+xqLekha7piZl4EEtfr1F1r64Nl0Ovyi6lLljS2OApXoe8 RGZMfs7ss/HapjH8ORvhXvHDfpR1OrtaU6Fb5ZR0XmGFvMKjWGWjswdpzoUAqmd+wJ8TRTowLi7o IN2pCrmZ3KtC8Zk/zCOAQjtoeXrjl7SpCqNySTFl8Vfy5a/gzIZYyaSpN1teKNOhow6tYUAmid0E o/J2zwPTSugGEI/RNTQYFYudsdHvwEYc57fgBwSX3va9p+75WdYrPWsqBK5Ukep29vqKtD1ZXyGA SvWV5tqeOfCtdA4LEwobLvMwDxSy5DbUxsw09wR5mI3RH+IOHVafl73wb5Cxho0OmCbgnE0ZcSPA gzJokx/wF5GVqsxwCYVtlk9UFJMzv0XBTtIV+Oe6IqhUoGael2p2mEHoXjnYxoNZiA+eEEOQc+N2 OyvqXICiKJzFBWLURkODCERj+6RuLxxceoTVQ5vRBwOunHtOfeGNAktgYPlt720L8bzUVbDKoYPl oFnMJIHU7rzsBTt4HXvuklx3MAcWlmDFkA01xdZ04UQixhDjdMIM9gnJJx0sUkXeBF8Zxrh+mKPi o2/5jTrWDBA1roclRFi4Gur5Ij4fKX7cdJh3JC7ezlPBZiqKyxP543svL22SeGrYOt4jkh6uhEIl fdRvJg1pGuDgSjSibLPBat2CQnXoZqFysJA5JupjjnEpLWsve+Ng7zEhJnnUBi0NuDUx1AY1kM8H W+/KNLJydf7wPq5Ecw6tLLljYV5uat8QkMkOAIY8e5YiOhTWbeuFcbmsl6DyYINvPSjFzyHbOORF qJVTEn397II4n710g0KpVh6aWXYGmm2J37KskINYZnfC1NqZOz4fxJv5u7YphC2OAp+osFjOW88a 5MSuSLY6UxyQB1NGz3PSOa7ro35RSIE76PSBE3Uu3xOI4UbHrYdG6kAP4fyPIa6PpAAtWhjiWZOq L3vjiNtKWatGr2YO/Brw3Ie0URNSvcDQEjDGb7cGEGt7eyLO0NES1HcU7bJHnGT6K+IET95S4g5a mcKDwNISjr301+msHHCwHnpPCwE5iVIDRpmtSmQWR3ijhcvj+km6fQ4sLf0s71kSsrpZkBGfn4BF IoMaBJ6WyPQe8QZGl6B6rAc0Frpajv0lr8uaXXXHjzJZ7xDYYG1P9UJby0Vhgl4l2UwLSJVYzikE i0+WyyFFXZGgAZ4PlSEweMAhtUxvKIwKbC2l3Y2wgJK7HFQ6mKOOIrOqoeeDS+9WokiIPVFRz9dE Loe+lmSvolTkGJ/Je4QZce/daRyVHFqtpygyN7fdKUmQ2W/zpYPRWlC8RaIHmwsqpS0QE6hsjYjL MWOp2Pv+ZpHawYXDgB6wiD0d9h84dM62XvyAvxRTup/k6UG1BtaWOKCk+5k8gxm5eWGz8AeSKTFR 2JqnuFgulEEvPjgoAzFUhwHSmacMPdOq5YRiPrKO/mAQp2KowNsyUQAPQvKz+mDUstyEhQJzmc9H aiD5e5oGXIkeyFjgbgkzOcWaw3t5ZU6jJ0CuxSQugTfxHvof9pYwtPbBl1NGSwI9wnPhgbdAVbUT Q501MdgbXE/hYFZ/4d+wBqO17oNV7MpoDEbOfFc+HxFevjEZ4La1/kB/Q4NLYjDPoVjcvbnSEZk0 jpxMuogelR6TBw6XiQkjML/cdhwu3wV82cKYHNzyk1MS+stYawEUs76xK9teOLJvxjlOL6UzyL+P kcE0s8mfkwE0fkBQAk4X+8tYkyDEK5ieA5vLzIiEKB/3J5p1mTsB4OTd07zqKjw58LnERzF7GRTf 5eD5cFoAMaidB5PTNjFTMMD42TgwKsj4vvOoMJcDp8v8/yQtU2FzZ4PQ5B3oCFHMt56PasA+UfiP M1WSyzWWyaHXJWhM9G7wrTcfJee6TEoNyqmEr3CiIviKuFNUYLfBLzxKU0/aXZ08dnUUIaFWCKkv FApWu6j7KWJQ4HaJ9iO1d83QcZoTEsivY6nYssELCuwu0VP4lpnJ2Epz3mbe5yWA2v8vuWAhLN99 58lbZmLn0Ylc0wTdRZ5QL+HT+SBgoYbuueaD1zVPqAGgTydGGuWDLpcCNFEQDTROkrGnED69BE98 V3iIE7JyB01RR8xKhE9bePr/TBBfcC+VoJpgUBUtfMqnMRCJpof+RJNtORLxBuJpk7om4t/U0y5t KpgeHd9VXuD18QLP8G1YoOMzBZ4nKGQpb6JrjMLBTwbAykwclo6l1/lBaVkOTj37oPkpiPXFMV94 +m1+nppvY92J+ILFXgvm5yVe4kOd89O8voSLyYsEJ1TzqtO5laZdcC/RkpYP5+ek3N0H22N9nqts gqtOXVkgobjCEkxNYWkFnOX1Juh81/flUyAFttUu16Bi7wagiklv3+3zEihpcne36eGLnQurISXQ 9LyESVo+YpPJo0kic3ZwI4+gSIgg48MwBS9BEj9oCIo5aJfGwYOklvecUm/GZFXd/ovcFHAW0Vq2 mHsCePd5CZH4FSqfdEI5YR1vOoNA6k+RUZCxfF4iJP2pZv/dfnTJT1gJbpufl/jIthcFnJpZWAOt 0xxSf04Md5JFLmFOstH2Usmy8CLm4IgLuBE1KI02kFzbCZwAnZv4+XNCAa9ik9n+2lTdfQmONEMk 35+1nH1QNQCIRv5/2rkXPy+hkU6v6vtLfSbGZ4VXPqboJTTSDksys1pOY5mIiA1C0G4BPANPLZPU YIomr3vAKnxwKH2lTBcwDVC6HE0mchmsdWKT6OjjrUwG2i9hEV92CeRUlLUVz9rgplyk3tRX+bzE RP+fsS9LdiQ2kvznWWgy7Mvc/2ADd49AstQZVeqxNlRrlGQ+EEssvmg1GMSpmRlRB4lm+hH9EhHp XK90I2jLU5FzVZmY7Imxx3DwwHBz9Jd4SBOkvDZJSzY9ajKJ/eotGmJqJ/gCvyUN/IITxPuMBqlv MmZsL9GQLSEq7XQeRamDybUsHBqqzZwYon9egiEdYdMPIT42uSApJocZegmFtIRIkq5sski6iRU/ g1dsnyGE2iZKHB3S3ZStqw+3KXcOn5KlALawG3CcJ3IU2cLOKI56bE0u+UscpF9BcPAu6n13Jdl+ 7gEWWNERyZ+XKMjesP6c0gCDwP/K5uelLKmbrxBxueaVtxjXzeqEiia2A16UteNeipLa4rTxgpa7 Dz4/YFclitnDyyJTZAcehGfGoMGLxOFK7S06o7yUJG0bY2LQ6vNBhbZxFlWVoBfUAIP5cTHC9lW3 HOA6wAY4Py/VSK26rHZl81NaZub/IYydrX7BBGSJDm2L6JQ2gwYqyObHWS830Lh6JsA5I/NPZI5v nEQn969UNr+wOLo0vRQi9RuwcMRajQ2Os8zmZD0y3vBft1gW0Gt8B9xOLUqMomhgCAiQn3cFlatF dCl0FHRyrmE0RbLWRbXahlvX7mtOKDIyjO6UaICi5VnjG4k2+Rae5jepEERryCRkS/VBawjqvHR9 P+cZiKvRJjOB/XNPsk0HDVsxeYQ8DyYJXB0cQ8VQXglVHT+omysRksrsRJ5wHTETUye3PZ3cs0LR VC+0sYQ56gI4eUEIJJ+rDDE67PSsk0tS2ueNPqejusqmifhvDkpcwYehPuRZsnw8OKr3ve6/kJE5 +WsdflK/Uedsjlh8z1etcSfzbChkL9tCGtgDhoQL5mi3YI6A5IDJN5V2AIwC/QURIxHFyEIf0zgg P/i271PU6c7UZIjWriFah6chF9UJvvh4EBGZGlETvAuR5wB40eYoCqqBf0PLZ/gcrVEcjHPywOro CfT7DRIQnNfYjjioKfkhEITmqCCinmhygr+zYRpLH2s42g6UFDLKC/c+S3rdICtjRn82Z/VBWdn5 C5qIugXY0zCunus3KILjxxCmUgX0ICrC5YPyRx4+SdRZtrDRo6Jc5sVNvIfW+f/pNjuHcvXBuYUn EN0V5w9IcxU+VlfpomN+Jn55IgUzK66fN8qcHUg0Z5qE40wYLlarH/aUeYSPs04/b5Q5C6v82CYU l35h5PfZLIXBtVrMfVwBWaddYAlsl2ssKKQovX+ProETVPA4pg+OOz2zAoIMEzSoNQPed7bbAuYo SVTzlmdZ9fy8Mea0YZS6Qq/cBl1I6LZUqX+V8nkjzPHxln+PJCjzn58o++3/RpizG1WusWZ+jgxk eMmxpXL1BuhdxkmKImyClU70sO7gKQgyBGjsIMI+AfY5yAnqQvm6q2sMPpIdSnnqdd8nSRJ7rdXs g5bSgPI9z+3zy37e2HI3DX7KRBD96FgeNkdRiD1FYS/JdYgA3XQIQL/VRhznNkdRjO16jcRKyE3N zqQz1SegSSSBQQzl/A7UtEQlC2e4ziSRdtLset33ORpshyzWmDBktSHPiqq0usHK5ONBFPmcSQyz z29z/l6vFb1R5XQlcbX3i7wBycmZlyWZ2w7b/16SjQJtgSiJSbTBdttGHDmAS4YVQYKZ5eLZDnlu HExSbFTlWiDK90DbZSs2We8aVC6CKJ3ozh3COlGkvW6kLa9vwBMp+Kc5imJtnUgn/qpeUCMtmx2j 2W15oe7ljqhvLLmfiuOsbGvPemV2wTzJm4ZcQDcCuCcnR9bHEKzux/ALWnr8jmiOQAkn7NUGO5Bg JULWDvERUbSdDZmkOcJ5VqmKpJJjFGxXGaLKr7rrn676vfu8zrqtG9zmjR9nU8QW7ajVB1tGqaKo M7XX9jj5LPlxHWLpA9gSMOi8aE0e+eeNH6dVT6JlKwqSigdJ5/gr7FrCDj1/3uhxlrHdsjWLIpCz G9vX0Rs9TlNrDdrtQRJlgq3ySHaQGrTZpdHf2HFWPSCku5MdZ01lI++2k6EBenFuATiLViFMgarf cKZSsG0HEmuPb+w4CwWJRKrSHAI4rigl6S1NAq8AMPq8sePsavOURHWRCv0Hv/7fuHFavvSMn2O4 Z/yigrswkyv5zXZCb9tsb9Q4K+7JCL1PHzyzBbg3IwpC5n/uaUSLKJcgOE1ER+7qhugQOdf7/i1M 6siVCLKpSsCwlnDYfSmzvz5vzDibZa+OCCdSeKfeGn8ccE+VZh0JSLdAd9gz3z34o3h39o0YZ+kN s5FKEhMHu9xQg58bJVIUAHADoCsLRDwLAEUClw6yofDJGy1OX7LZfaPk275s8/MjdnZssOFm/byx 4uxM+yMrgfoD+L8+SVHAveTXVLsDlXWTEol0cncv9Ve2fLnh4lo2c/+sYlt+oO9oo2Qo4BF+O88U IXFJDXpMAzpHGX58VqiVYdMbJe5nw3WZWnDgJIHoPEmohmrp540Qpwt43dtNtjwDxezq/ZA3QpyW UpMm0sWNzNHd+SspMMCOa6n6LEXlbGroopbWffBq7U4w2AVIJMEvdeBKA8SJ0uioXPHcrVM2xIhf P2+EOAvrSV0yKZ3szJxzJZwPYkH7xN6fNz6crfc/AyU0wfO8N1wUcdu5tPMtacsZFaB3FAd8lqjL y1l6j7j98D67aPngbcdZYPTNcylBZhZFPjgULAihJZL+roAOPUc/b3S4Ww8CHadPH3R2nxN0qJx0 LofPGxvOWtAecRPHDSeRemvab2Q4myPZoa5xz+5ePcGdl0NROx12cSi9R9wIhnFoQ97OB4sCBqQ4 1yIXjtGkqrYrZWr/gYk9rp4lsSufNyqc9TeFj9S2w9wO7pF53rZWWcLu/XmjwmklFb/gKEiE343o Gk1SVNlurGxXgu3MGL165X+yfs9DCYK2tpDeI26UnZnYTjYgOXh/ljIXNEHZe8M0k5oLyLzOa2Jh kvvtrpZLrxuEk5wkKIf6oJN7g14uuGPmO/6rVMLNhugNjFGboyjiJvao5NZdX2j1cs0I17glt+UN tjcO3E+lRJo4GiziBlWlNrkjnki8bTlg0SCsAcwyqwdKmUfj540Bd/vkBEROHwTQWlnOKkAkj88b Ae4Go3+02FDzu8f2G//NcmrB2q/kYB3VI4C5iuOzzrltdZI3+ttPC4DlcRtsHS2Q+yH+g5wBKFgx nROsSjv8WYilMUEvyAZ+3thv1iXjQTRkAcI35RzVPUSnPIdo+byR36wEX36XEYysKbOrKYqRIqqS rOuzV64OTMvlOjUXd/58o75ZVnL+8AIzXh9sGe1zV8JKDllHq2cU23ICMQLC/JdZbjf2TdHbRlPE uSl1+qCkJKFGQqhIP0fmG+9Nf2z6TW4LOYvrTlEUbqNxTaHBq+RFxp1CpN1c8KyjWE0M8hvrTbuA +p4DjEgbbBW1UaZsM7CKKjUomNvC3ZYN5fWc2G3obYO8rTJ+JMRPg/L/c0NSO4pB1ueN8fZfB7aU Fyuq6uOWJN8Ib9bvZ+LG+vl/ikC2ytYgQJwcqL2pSsZJeg+2Jfa/6Jnsgxduz/8CXiFIzQlkMtdR wi7j1SQ1AXeOT3rdICVRLoJKOgbKFPLMPsGc5BzmCfo/b2w3zXK6BxLntAADffskb2w3+3EkknO1 8+B9aHD2VS+cnZLaPLTfyG76ndj1X5JiXI8UI4Dt8JCr9NlFx22QlDNQ88hgeeZbSeqkLb8x3Sxt 49Zc7AAMF8wGZuzkf8KNjPJ547lZrO3XWlVSTK2n5XXbN6Kb9cJFsdm3KUlakJqSy667imjcLv83 nttt1ACpxtIkBy8lnWk6s5wZB5/bO01rAcCgC8KaeAdvkzAheWO5ad3TiXBCFs8GnUi1yH37xKmd rxito/lzIqHS3fozRVGgDWwyMtvbbuuOF4U/yvVFn4jjdWiHpW2Zo3YayXJwgNaEexMCWmozA6uI +Ajz9oVo8JfC1c6ZJL7vjeH2U27LcDGyQf22k0Q1ZSMLP+N7oK3Y4XeOBhQdPLF9Y7fZqU0BIcnD 8u6nX4TQI7M51L/gLNcchaVtoddkadUI+vpOiXmCqkxJ4ZPTnlt6kgbICHcCwFYA4nCjHQKO36ht Vr+nXpfM0SribXV/zpfDegI3+vmBPm/UNgsi62/6j3rkWOmupDDSbibm6XbNhWtACgG9uYQJNRk1 S2Ftm3dbz7X44EVJXG2oICBlg2yopI2AOYe8PrDy6zLbYOfHL4mOJIL9Cp3kqLAuIHWHJiwrJuPs 6s8bsc06Ub84myFnbC9KvtHabG4Rd6ZtZHdoxF6j3eRVOGTZw8PIqLhtSp5AmNngSJuzp2D7QSQS 4EOTWK2UycSAbnSFBaZXkshqeyO13YYZfNE5WRx0JqE9Jf2S8xmfN06bvWT/SWxBvepwDDNIZBhr b55Ju4gAQcMUrCTJoA9h2OEgizSKmMg41uZhVKUyXO/VBosmsNHpIHtm6QQYpslxFtTG1JT8WH8M GhC8MdqUPtGxeQKbxcE0bU76BgNWBtB1ft4IbbZd909eC4Gs7yg3aXujs1m9VUoTqOlIixnxFeij 5dzNCMUxXycfRZCDlfTGZtOPNKXsQvoRB99unfZqm6oA9LOgYWOBSvpmTxRZs/UAeA5+3thstt2k 7NKLDwIlncnr0hI8f8rnjcxmEYBnJJwQbI8GdKxNUhhvbzK4GyHHtJOl8T3B60gT+U8qCQ5bSVF5 u4kjIhO5/JjInWMmnU+iun+C+xFgloDXUiIClwbUJPuTt3W9b4S4wRpqSRYpyYttE8yKYpLmfPxf ETcr2dDCOKeyB9xvVDZFDhSfGq6sCHRkcVeiRXIY9xuQ1iokvTHZbL+REZkoJ8jBITegok4Soc5+ m/D/JM290HUAAf/mfpMMMzkQb0w2naHsI42a7yBYEnj0wv+dXfx5I7JZCPFHHelk42M8MO2wuC2c Qr9ee7AE8NuNljcqthU6Nid+0l+S27MQlMDN5EnJOY5OMLIaJSlRj4ZuApISaFd3CLhCtNqzWxVJ 3lhs+yL9F5tfNijgzutMNDGA5zD8vJHYrIHglxsDT9BvOpC3NklRbdvA7CrVduLbavbbLa+LbwOe UJMUxtsUnRqJVDYOT3t7VHijsbEKPInUDXHTdHBgkCu4SxphEm8MtqdIsqmiZ4PmqEFfh+uo7/F5 469ZAuZdEkZEKqZ7LPnGXjMEQBY48tJGpW3KruTK1/ozeSz5Rl6zeBs/zFJmwsFSkrNK4BXLdTQA 8y+kBgAz92XpgaqnFm5rGUV17c7107NKt3l4rQ0Ac15tDbaWb8w1O87Kz1YDraqP52aLwu3chV9q 10E2t+117X7RNgmC5KJExEgSArfkR7h+/AjPGbCgk8vMFilKEtgE10wDS5qEUTuNiCR9Y63pB2Wp Fm4VPlhqCxIcK4wNF1sUbOenSMI4ElA5tO1tjsJgey/BkC5LuzmZvaKQqOwfC83I7C1EbBc7jrIP vtMSXK3pw3RCm470h6DlTeIPLIqJtm2qtSW9bQAAJANyqrfNQaHfgl6+pPBzxuNBFHn7kXMp5ETQ 4cW2FsbaWROzXSywd4cko1tm2X+CiYKto6isbXOUaOrBwebopHyt92HSbidYblSuTqiUV3h9wh7q Sk9qr4VIEjVtAc21QaX/NKdBklsZeDzq/3tqi30FGs+5bf1a61GoDeEZpv+uf4f006pIe2avIg2E L+LWvIfamKPCTZazD1ZFKii1JSqEbKq4FLlcUakeODpU6SzSlrFA/zv98ZxD1Qf9XbM0OTeflJqP R4DkP6gRUC/uN4bsUaBN0waogTzcCGP3Fwj5uMDGHt7W7hGORCr5sM/zwaZop3NKD6jio4iUTk6m AgHSRIh+fgFRvtk/BTZ6VNe2sKiU4gO+JMPgiRHL2T4nG+lRoE33t3tkU+ADLQqbo7iujVtt5Ucp aU3T/6kjGQoA9JtmAMke1bVVsv2/xgsF2PGJ1IZmjbVPXCc4t/eJINEDzRBW95ItAUk9CrPNj8nD bJR6dYej+cszF2UdPB8wIR/YNuYW6lmMUWyWYi4kTyGSvpn85zkuIVvW6ED/DVBUGGf3KM6e3EJl M9wu+0ooVtD6z/kkzbsT//eziQG2gQLNBmmrorVnRdvNvLZHcTYAXh2EXDqkS/CIMNKCisuXFxXf MTi104W2Sf1mUTvXJykiRILCi27F8kkqzRwpCgAsigmQ16JmwUmKUSR02l3USWpuh4ClNM6tC+Y6 q/8NXTvgSAeVtkuiSH6/1z8Lkj2qbKurPVYVaR0blptkLjgi4dge50vwfDBLtx1ZuOyGu1pzksJA e5FI0o0HCeLN8FbblEcj8EhL0u+YpJATOVhrWyrertuxPVHErBBgpKgyWpydtNqJOuSkpAKaVH4m Ud61v0faKAORJdFZIWEIR5PGs9vQtiXmodSN5/+X/YY6B13VbZLC0jbb2lCwZ1kEQmrZ9fHpH5Fp I8Bkl8l/j1HbbKHJ7ISDJ/8nLTxrcZFbm6iPNWimh4IkgCQFBLwrxTn1ulEZiaWRTbRtZ75ZbCm1 QieZL0h0+IDg6O77JwIAwod8OJul92jb+uEZvIwzHwwGhKmpgiTzJEK0zaSBSymKtsFaWhJntsFx 22VAEK5O1ZFgsbxYR8KKQr5W0vD9VhKFOHtU3K6Z5iaoItog1BZEUZmenO088XhEsF0/SVvDPYCy vs1RBNtGHoHTeqkKmeFVmc3pc0JslYvqHMPbxMh6BCKx6y0zr22Zr0+pIyCFTqyHCvKZo4b9Rwoy QMobivhljtttG5BHwpf8Ddm2CiVsOKhAMvpoupx2Knj8XxhJ4bbhP/6Akntc2jZPk25V23N+VKsi NTmhbXkYdNtuUWmbHqfwQUk+3NsNesFzy7vyXP4Uz09YvSfixa0paW7pa5FE2iMYSZcqy6Z1AAcj t1XwFVlqKxOPRwtp/jFJZ1kOibKQqR3F25NcdtPl1j/HcIwEWroKlEp1ONKISttTTmeV+uWzXv3y hqZkwylLRMKkPP6XbqmU5W44a41IYrLcI4q31UkikL07np0dybMO2d8DBhqPB/H2+D22G+Vd7rE9 ongb5DsibdyuY/bpdrGN8m48tkuq1pEcYWGbiK02ZK0wHmuFdfbZTGAYnGDpJNFNLRI6801gOTK6 5i5ABiwUviSYI5p1zMxje8Iij8dQAaGXJGFc6BnPR5Xt+XsiTfj23VByhLhtWnU0yWpJmbu44Gab ywHJHXeCJikqbGdeaiecmhroZ4+kJEObNDFcPFdZ7cCSIkYYhNhAXGnc+x8w94HvCDCkTWxbLifo B1gkCX0zeqiAoo7How7JL2urEb+yvao9omibPjx5tOWcbRMhkamnw5EzPJ9sq0XR9iI7hEY7GGSX YcXIiV6NvCrh9pEVKECKrNHqvt7bPyVMUYgiIbC9LRrqcrBKGxhPzP7PsfYZYVH7EY7Ay9GY55mi KNYGMhRlkeGIrT5cFgFg9ssjma71N6JYezJCGp0SSBxsq50Viq1sVSRo/bHwv9Hf7jiSmJUar40L 9zNC9ZEmRR665lE0QCQiwCOJCYbaW/6MkCP5xzoqZ+myHGqTFMXagNpB3S/7eUTIgHG2WvKsbaMW p60WxdqL5cgmzFZ7MFsFuNGdyBWB32YjMfFMEhxh2X9D48TW0Sb3f4RwbXouwpLXB11rwG7z/8DZ jceD0+hybUzCraiqbnMUwrXJtempub7G2Xx2rRVAh/w4gluU5iiCa1MwE3d388FZpJTboNQRjdCh jIi9hn4pGnBfLKyL1q5626jLhhp46VQiKZ1sUUL/zv8xbmI7wjj7mSP5fOF3uYntiFEkmfW1dJHI wyv/ZbIpw81WIW2kOYoCbeVsg/gZCJpiHWVySM4KOyejnAFGPid2UjkSRXcEZkCF+xzh3sF3BOHR MrI2LTyRRwpEUs7nLuqhj7M68fw/u2yGFDwnYr33Whhpb7Uit4NIxtqW/Z+f3/pKaNgun6Qo0tat X6YszeaPVXyFgjCSMVz+q1PEaiW1doE0rBDQ9d3GIHKEkTZBf2VQRqJAqiUno0egpIPtchK5hQ+I ABJ/grYmJD3vUgpDbZ7bs1enka5qlvEQjZrDG7bD6UgjrGwT9wjQiw+W2XJazs/AUBtB5aQvZaGa AnnOhaZKTaJR9rpRw1ZKNjYk6ypCphpiplhL5/jG81GN5FaSeMjDog8dStP8CWvbjVfaKvXrWtv0 pU7skRRra59jJFnWNkNlP0qOnlBi+OD8iLOuKuUjzoY7f8oaMvXG+u0AQqOf4q02km1niCKh2Mba PL85WNIGkpM6tqXi8ahHkn8WEhhSZ7q9GzmjWJtl/RNKswxJjvmuho8oPVF7O1PCOhWbopAjuU2X bfrgsfbJQEdHWxzE9oS6HfZZB89wgaGA4+mB2i697l/lD+dIPnjOtqZ6bSe8wOPRmeRoJJ5fCErn hSPPUJAE6TAkZR2ytVt6jqTl2vYs30s7KqZIJlVGug9O2EK5E6kGj6ReTwbQmNdOyI1CdzJBsMUU IqmQMMPa9pRbJ08mDiqQDFjeytBlVTwezZG3kai1AdLtLHcdRbE2jZShGOZ326JXreha2QPJc+M5 9H/+vbJNk3UbnB8JZ9xNLb9zKkGNnMbLsD7HfX1+xoezTdEgfEcwRU2I7ZV8sBpSMhDpiVMzHg8a //NKbfC/DLnA3byINKNgG7MPERIe2ugMZlUBppC21XskSNd5aM8o2B51v+e1A0yUIRPv3c++RvID 0jZ07dn9gpqnKyQRsj1DeiR1tcdkvY2DkLYDyqxUtim94vGgHdn6z3kEzZYO3Vybo7CuTduyVlJ3 pC1VB1xM062EW13WIZkRgKQvJquNaS0Hm6PWM7YUperWhFQxKaTAy56QBpTKmm6MRPvJGcXaVeIR a91Bd385y1BTdKYbjwdx5L3WOuu1+JTkd/+MACSDHVsY1t6cbS4/jnJxjM35/X2rRVVtM5has/vg 6chZMWeSuIwAbz9JLy2WKiOT8yuC73T5bEVv+7cTG3UEDe32kMADw8rIreHxKGPrv+lIBgSpXr2W GYXaQ1Gk3KUYRXZT3IBmbfHqCNnDmqNQ74+EtpHYj+XgnX+g/gZ4kNhqaLDtoZv/BDkIcSAXfAv/ W28b9NmkN7rq8sGqbHMupWwnBsbjUTqyf+cItuo99XutRQiSSUm7mbcD2oaQtUTZKNklyua2bGcY abPoMSoFAEatV/Nvp7PXiBeBFEkdFPKAtDZ0Pzvklvq4+vWDoPYZRdpNdf9MuXE2mqWOdYITyRSd fZsrno+gSH8UtU37yycpZEY28wv2SaIhiaG1pMpO9ii8QTRJfxf+OxFI9eFCSMBEgn7HmSTQdRYJ JAmYwk60ak3PJK2i1412G5laaHzaYLQ/yDHxrjq/Bh7/Vy/SGSTgD9gcrSjMzqRrte33Gqz5PK8d LJ6qiATAMudoRRASchwh6lR8uPXavaF/pAMpNcpR4O4HVYZFEpS0JbMh1v8KS9o6idJYPlid7Wzn LghJrXg8Ykbe3B/3PADRMCLyOQpr2qaCXK7Mhmr9vNey/acnh4Pqs+YoxJB0ntaVOCMOfveDQErY IA6kgsobPTXYVuyEleWLRJpbbxvVR4iLUCmJ/C8VWc+xskwc6bw+no8wbXeScIuRP9gu7H+FStqy 22rt8kfHcvRon0YrgXjiNrutFYJI2M0m2YsD9RnY1O4gn8F+DAsJvXKqkZdMchJlbx+jCOCT8B1R oS2pu1Z8EDmyAnHJLzw7GY9HcfbvXpMC6bp7LaRGkj96JbSR3S7PRTIVr6iNNIAPYHy0QqS2bCWn ZBHmlUVgLepk41Jrqw33GuNsUtKpb9EvmV3o0fXXmvZOFLKFxeqSNt05s1sncApw0ILno5z2Fz6a C9O+W4xcUaBNJTIYRFerjpTdlN+ebZ6TTxJKujZJITOyGbmm+uDaEQtK46tYMRIoW6ojAUmP1OTX m5vIdr5uUGmbtNbaMm0DCVgkdECaJeoPEA+e/1+q2kBFjXlL/yuKtFOWGELatpJwi1kJaVNkn3Jt qWZfSbEMySYslBhJDj5JAzInaBS2BIGeNKmqnc5x/t24AQsIAua/SZM9fEkEs+FZBOVUG5SygRzP WsPJpgcej6Trf0V/UTzsTzFyRaH2mtImbIqvcUzU7ZX/sqZ1Iptc7TBHcajNhVRL9cGzWhbWisps 6UwC1LFOFkDPMmo6ElyqChL0t/m60RxxIVUeTA34AaFlRsMvinWUUsPj0dV21xEbTigpjnXZkSsK tsuWzubOzrEpy9lajf0EAkgqZpM1pBXCtRkclb2GDx5IQkwrnaSfjnYowDXy/lBDOguOsj9XPDrN odeNYiSKkYr3R7SXoEj73KAE9g7U2VYUbaON53htRkkni5n5OZRCwHalzmYx6Cg5NsWr/1eyNRXg raWwHUJIyCHl8WaDV5HqWdikCpNjc45LhttyQ6JUFjVK2LHl1PF1A8Q2NcgX65gadCb13kV+bicR xONR5/+WSKZAOR21Nq+RrBhDAnv13PcVR6L8nrMjPCmZdbkOeRhvdyna5uaDQ7bGiRuBsKDV7Zn5 LaF26gShXgKr3RsojaHXjQKlIhxp8sFIbWN0ThleFY8HMcDOv3EShe1w9GqSdhRwD6r/1nktI4Z7 IZGu5dpIGXEp46Qd0iM3j6VEvA0HW0rnbpudnnNnKbXzL2gNQe//nE4DoNzRb5FETtw7Crgb60h1 ruGDraTzwRL/G2nh8Yhl81uPhE5977ceud/jbZToqhaPwyPqviJbcMTwUHJevfZYiiQrQBw+OIO0 nSsASvxYRydzKgTAJxjffs9JjJO7PbcbBf93iNkmeWQO4to5qK590gv5WaNrjscjgUTfbTzKQGod F4q0Q8w2FW16nb6MbGJwIkE3xE6kDjUrzVEcbitvS80HP7dpQpuMrHW+cIPRdv4m6GRlqpHNO0f0 4cWXBHMkmhbaUDYYPQLRt0Qh+sbjkQ7ZLbbRRQvtv3yL/zukRkpEkkUZqZHjiDLBluHyURl9MZuk GESirJZ3HAcHtc0GGHtX0Rbys9xrE5SkATuRc3VeW1s29/AlkWKLrLcFbO+XP9oGlAq+tDfkOwZF 2wvZXpbctnz5WjtEbCeiSEa9BImekwFtSl8usQWJQ5ujv3vY9EKCBAebow7gWDH/EUiaJP5Xkjk3 gPOcbrUNMTO+I0hKCGbrK91B6+jEwnJeLKC07X9LkbCuDbx2ulJtOxT9m3JH2O0e2duoSLW17Muo UFSAUxRiSLh+xqA2AoeLjzjHXVZdG+yLPmnjmujTN4l1WReuvYbeNgiRimSjGE9ycCZSkytbrTiy QxObq0RalP4v9NruMgpFtklo75LWoBLJzG48ioaSLaOBSqDmKJT82wTZase1dU024FqA8FFp2z5x JGxmcR5BKBV3xk6X0gb2Ft82ItguiSLdQXN07u9OvkM7r4zHo6Rt/KS20G7tCExtiqJQm27IuMuS 7TQsHqciSXer0GsM7ECE2vtfEJJ0B0ePAgBbKX4ARttJRCgNnBkwQY0EF7IJbNKUGN8Ryf5LYFNt tu1ttoI2+dLNPzceD2618XsYsc7SL5d9h2XtRPJoTS6LNGa6xEiKfArODqEQTVEM1Vbpn6ZRHHyn AamVoKROCnKZsDFdsoFvJyb4AmziFOQ99bbRYcROtoqSHGynnXwkCfVXBx6PKDa+ivAYSIezXjHb HQXZaJbitu/O+ZPmEzfaKMYohZlN9zstQo9M40Wy6T8eyf+yEy57qSLB/7BONgcAKf0K/E7FX2GQ WbDdoQJJEdI/38Fi7N1IeYVwxMDjUVabf+JHNM8arNI1RQbWefvWKbmo3hzMXqj9S5/2Yf882zFT p6Xro6JMhDi1LOefPG97JIPQtLCnAa3dcGinTCuAiaCQnCAZrL8pn/a17YUD3zHSkMe2gU1CzhMU CSkO01ue/IAIQfoLIOkEr65rH5FC0T8C2junmfaQBcmbECRJwu0beW0dJkKKj4omagin3n3wQlID 6ox4zZRhPzOTpJHPhtsghJT6iLUshtr4loj7x0RkEN/Ggd+Sd6POKUKkmfl8kJBcgiRhd6WDlnOD JNpABs9R1EYUSSIBKIJCg980u9uMUtBSsvYphGyzQwTTCx8ctIXzZpOvicXU5hKOBOok5Jtmlhfd aTTbC0coiQuPoA3ZmTZGgB0uwkQ5QByWHxCESteSpCq9BeK3XAOgFBW54cN7dtayzB95bHJs+yay yAA3OftMhaaRbP9TwMcGm6l2QsfzGJJtnLWDwH0iTKAktaiR9EPcKvbCEZWkiNd2B4PcQAubZQDg APB81Hf7VUmiavfv1ovibuTrCLbbdmDyTsXOqDJNzAVx4cq+9aJCt1jbVdIkphEutAQrt2B2AQZ4 0hFy4BN+HvBJ65cFK6spZfJJ8S1/89zohYwJDjrKOzwWOU8bfhIpxJTcI0pbD8nkuuRk3Z7vkaUO pqL9BuTwNiDgWVBz+hkFyEuRN1lc7Gb6lgm94eATlVvvdEeCNFmDHyBalA3ehIsURGDovESZtr1w kOsWNZXm8MEmqqqh+q09bT4fGdn+SpPAwJI3mc9TqE0C9aNcRB+ZOta9JzDobSWke4VYuBZUFIJP XnpVjPfnlIU62QmRwbjhPEE0C2i4s7Y63QAW6ybJD3M4SOuN/6YqhTjSBxVOziUklYZyJpvPR8TS P+C3ExFCe3ZeqFAiR9JmviQIMrNT3cxkUlQ3dio5UWHNm6RJCFL44PUlWL1vsCHhSQp9gEFCILjd G3Bx9vusdgIDV71wROVGgFkXCbgIOgTDORfeOebYYjoP8fl/ISfZUphUBngWVBiM0xt59OFo7tkv fOJKcleS4NwUMJIpES+AMkg23I03T6RZaGiHTkoiHCXB1A/UhfWd9E00XOC09/2bLkDfAuR4AwLq kmkuAQPb4PPBvqveHBBb9/xgQJP5NIVVb6JMzmnivYF2xe6hIjc980VuYdMUlr0Jwy3cVBq8OTBO 9gT4rSCmrC/xfDqraqDABMr9pbzVYi8clJl4gi8VCNYtEEAiykxvBmPN0PXmSt5lEzWDD7ozcXJo MmnwQIJambmgjmKZS6UbuiaKbQT5J4ZYE/a/R6ERF4fLMV0nca1AuO0JzRs5miTscHCwMFH9GnHS bjsHNpM4iIk0rf0OgnalqVP2/Bhj8vkgMrilFBJhJq3vyvXiCo0mWxaiazabqM4qiEpypXotZaNL YhMVAU4Sq7o0DlvuH0bRErBKxgkJeMHABGVKZgrVaLAQpFRmsnf0K8mx0ySxRg1FMRusmnJiMzWQ Vql8PgjK81Utmb6gIEns8xQG5fJ1bXee5ppeLRiQplW1oFLFn2le6Da5xFjKEuTOjyA3hRkXqB1Y UAvURQalUIpvgMqMx2aCavD8kr8hc6Zk3WZiRT6JhzZYgoJsPJ+POgW/1m4FQWZ/zvHAbxLOLibt 6hWDkfpdTsLroO60GMhqOYUROe+7tbiqOFjhaQPEDzAFVcv2Ll3KpizJQZEdNlCuycFGeA4MJ02R c7PrZ4OwOZDLXEJU5srng7Bg/RE/Nc7rPcdDx8klyUdR36juPuq+5blWbZoajzFNU8inrIIupeSD M052hXulik+I1OmAc2Lm826NiuiQRbPERXJKOTSdlB43vJg0GC+3wJab0fn5DTafj8y450/iQtEU dCV9niJZ7s4G5tzXbXpVF52qUuLXruuk63R91F+LdBAYscGWEyBGKPIS6bV67vqvJNRfII4HONEF MU/FBaHrZJbi5BYWfjsW/lyqS2JmZ+0WPh81n37ZuSi8lR9HxdB20kxwVrtMeAXhjMfzNLdA2Gsa IC6HvpMrKy5gPlx+4PBpo06XmbecLQcoKzVe8cBEKxEp4C3VqQgVGk9OqbuWNn1QItxHquJK5LL4 fHSM/ybChPyCsuXzFEqYUDBwLkPkUHnCXRXJMRYdrvHP0TxFTjh9KW+hGh4Hhw1AYrlvhgW7dYCb SK04oTkMxKmudKOCWu19I8kAiJinrEMtu4PJuSRKT6Ixpcrn/yX0wrW3EY2nZ5rCaJzHUxLZi01x EjlEQJnFSbonvvK6SuA+maxeUDYVATj4NCU4o6NlzFIdTYTJqtysQC2m2TcN7v7CkSA+NRVkrsRB aXA6Z4QQqWfG+HyQtdwOgvi960tQsc9TbIdD5IkCb+kcpbvtmnkIoj5+nUxjB0qWC6rq5BwuCqWT VUf6wFkDuUt/mpceiBkZ7NE/05bQg7IQHNfr2j4oHD+rcwiKmmfj81Ha8lsjP+fuWdmoDtpEhTaU qGyTW5FtokatzoyvfTo+Lg/Hx+XQh1JopipXEw6XQ9CgfoRWNAu+mcwwyFLUL8zgv7hMDfoNGS+9 79+6LWiBazAyyoYipQTNzrHH5yP9QE/v5MmFKHM+RtShEyVIa6RauFQ3VFlciIpzwvMpSxmn66OC 8EnCQU3UnVZuaxO76fwUnfMEj8oGbbhzQZ2dOqmWBMk/W0+y6w6tKKVCPRK1KIbDgsoCrlgognOd 8vkga7lcCx5FQAE/Lgs59KKkISTCJz/GoYLrcEvZeC9qmlzj18CM8i6nlKcPl0UIici2aGs+AP9W PXPBZZFZE+UodD7lmuyFozIdgc0DoNQNqf2vkl9UYsUG6ycC4QdE4fgVyGGJnHYGpT4rKoSlEE/Q KVTEAhT7K3bj9Zxtqladd6piIDgLUGtWH/yIQiXwZHnsugCpChuMEyQmeRihbwBdqnvnbXvjCFNA prxUl0pn15WVOlhpiiKYO5//l5SwKnU4y9ezpqKQXKEBWoVfd8lpbrjU2WJSIpyXV6ACU0r9BZCk aHdwBg8wyID8kQResD7ZboDMBOA8v2DwQjIsvyWiXbKBB4zbGZCYSrGrgvXErvloa/IDItD87bpQ PhDhQZv5WVNRlRyNVmBUcnKMytxXdrmlCyuEUKVNVSx0QnJB33ew7XeyL8pyE8dTIOhJoZMFG68O PaFBUQFhL3O19/1bzQA6RT4oikJDjA0MqE3y+Yilcmu/eBA9xROnPvMUReXy8OqtD8fN0ZlPSN58 cXMTW9rmKdQVZGlpbK4XDp69nBuvUSyrnhMLzDbBEBdhTuDsAqBk2ktr2vsGMAx55QwBVrwHdqIh 0BblvVQ3n4+CcgcZcJPhY1p+dl7IwcyUqFzDd16rZdrOQ3rvWBUqF+nSi2vk3HJp3uFintqGwiO5 KuDQU7o7LeQ3A0s2Sw+ucefZPIVwFQPNremDkefOFxZZC+3J5/+FeSaDE+Kqbf2c5VFYLk7PFDKc NahqXnlXN49S3oXKDF0fFbXx5JijInm+RfIKc40THpHRe6KgnM3vjE6scBgGPNxOKGnC5dCisi4x 6AgS46DiyuzQD+P9hwZ64FGZhPF+unjp7PYJdI3P03tYftYhSb0nRfR5ogijilBT/kKEraBDbPMU G8PL8YRqVRzszgO9vSJZ5fkEg1CmL1T4HmxO8ICacj1XWzg0qgTppAOJR+8cOMg2FX+hOEv204mS +fy/SCuF+t/IDcbDf8qhV+Vs+UlguLhadefzkVayBnrtxWS9cmxWKSZdY2mXg60o9PQyCGJfqnqj V8gTCjBdmA5Kpd3650SI59CtEnvqXBIgcthg1V/00niHsUoe2FUiLi8/8eYeNIG45ZXQr3LTP7eW CxUDy/CeUNWtGCpuRC2owLDyhK4sLvVJBAsHZ2Vyt6FuT2Uv2J6STYcbqvEmRRJ8cQbdXjjAGcgc trNcx8F23lj6yrO5N5+PxL0u6JBtPMAW0lM4+ItrJa85KroKBN2ao+nnMNdB7Lw1fT2FUHEyDjKI nTY47bCcGwkj6lCw4EDqPOGnewJzRBBsSlm7s6s/FRpXSkuhofOHAQcnryk04ocFUT3zA6KZ+kN3 AGXqiV/LZyrkZxJpALX7y19xLnQD48dmir7vNlNhXL5Fq6vFBwf5QPmuITY7M0VhGvpWJ8CgN5WS VQGuPw30wLwS4gGW6hENDaonMVA1nWS7ig51blV+QLT3/hDUPScjAbc+UWFcTin0pQIUdWNHdRWL 3qsLxqOMawlMYF/pMt+VhSYNt8YyIKbHWtRZU5lXDURjGZ1SVWc8voN52QtHxszqCROpiVj8q5yD 2upkfY0TiPADorj8FzgOZREKLftEhdiVzUy4VMdkpPLUWLbBE5HhLsdkhB6WcmaEa64PtveA8y3U YgLc5+Qc+IwF6uc5qxCVZoIQje6Tur1wdOvxkFqEjXFwCsIqkjveKLIENpb/XSsvXOZXyCqHPpbD oqj13HmXGV13c+ZYlrpO0kdFVl/U1WnSjW9XNz7D4AMySsmKdgPxzpmPLClexbt+mPOc4bf8rZU3 khk1DccabLjRGsZn8PkgfUm/xCgA3Xqdz1keheVgKUE7dzbfeOXK629q5IsZBe1em6c4LCfFTrFB eWIDKomcOGOoZtehap2oio4k+6zfBVmzS2mhJSu/Jth5iX7fmZUDDiKQAbvFRnE9eTGf/1e8SdBi AwZmPNXN0M+SzcwT83SBfa8jMUE+8h4mkjzv7TsvjMvVVZCMPAfPh1Ptjfkj2Hfn9gZya51POJEZ 1gGESq442u7+wpFko44ocoA4aOehvcU0rZ0fmM8H8Wb+RQDTMHq2B68ZelpWrfxBHXSGVHhpljfB 2URvKrPtCUc3xVGxSkpndNTvwIlCX/hEUptvA3rDyZA28JoN5XiYs5xzvF2XPcqx6Gui+EA6cvMO uvQKXN2Y6iWkeoGtpUnCikz2pTDfSYmv+XcOfS07nWSA5fKUWGLpnYj/7P3hee2Ic2BsidI7++cU iddgqAxo69NOBm30DTyOFWHQRm9Uu328P8m5z4GxpScwj9Fet/YwjGuT2sNAGwTOlpIof+BQcGGG ktGdphBSngmpU4ypmt1yw51VslcOdqlG4cihtSX4uwvKi80HS1/2zDjkplzSz1afgucDZgZm6peq RcrzRvb3DWCttu9y8UGFgxMwV4pqnWRJz0eWO7frqSA+wzH0HuWhuWWlBviiZLcKByrYdeqlLHe3 Bj/Cl1McltOwEQ13Gy7+92w0nyfYvkrtISGmblWX80WNpWLvG4EQN7dbz1KU22aV0gbMIrBERkJ7 OLS3nJc01bOf5OkhcwT+lmeituAr2St2IzeXuS6jusz1gve0TVRcLWcNSkrOY10yRyXEoA+VohBl jGQoH5y7aPi2q+I0FUIFBpfSQIQj4S4+KB/e0J+ScirQUKHDpY6N20ZHWfV3miI8OSD+6A9vF5ep MuFZUgP3/nABrkuhwV88LocaL80HR7WiloZ7jjBECF2y/9LTOeoHW2HrqRtM9dFDk0tROaYr8Due HJmkUjSglvl8tJ68/aLCzFnN0Iz1eQrJnES11vlIp9D+jkwOMIIshEr1BgaBzeVVKchSvMiP4kU7 idu5/tR8qegPU4IvwwsJUoNfgPEf+Mq2Fw4oVDQngh0QB0KvGBmsk+dyD57TovED3g/ydQsHSnTO gvoJDAKvy2xShetRTlUhVa5gIznlBV0Tn6iY0knp1GaFu4fIMaGSwn5SA2BafxC8qWEJguS7wm7I Nx415nJgd5ntwmuj3UFw8oFtwZi8bD0f4aF+8StACHwX7CR8oqKgfCYBfapzg2bvDrwHjsknCgvW JioCsIC9CiSUzqmnEoXtBqkxdutg3jypbJA2IC00HVo8oCTDK2pQ4HmJHE24sbp8sAMKQSz30gYz KDC9/IVrMtKkadK83bzPa/yUs3wgocPkG+/809ZTg8GsT1PNJln4Gj1l6Dnzuqul+XDr5AsifERD wekS00/xK1SSACZHG/rypxA9vcZOWarBJK0PHzRFZwssQaFOfvp5jZwyat1aSP1rlkZfXptNBcJo eijmPAluIxVv0KRGetdQXsgmejH9/A6mRy3hnmv1wauZJ5454WUniBymH4unN9B2J/sE7w8IeDNz WDqVXucH3Rae3VvF8e1+4IBxS2Kunlj+8xoyZQt9OB2C9kpobNkEvQZM56k+qVToEB60pLqr8K27 fuDEaEWn13AJ64cSPODe+WCbrCEH701el7BKW9yHDUF4pQDivlbgs+ld39dPAVZlF/rBalCtFzJa LPzXvtvnNVLC7BpSpX+NLgTL1u3z8xonZWN5oLWdPU4C48DiJKUqckyphjd8jZIyijEMjyAnYYNH SSdWhrCFtC5oBE4hx0Wm05m2IQypKnKD7/o+P1niBEsORegwFNMDRSmRalcnJPu8hkj5kd8zJCeI M5stA9qzB/OzKHRR5jUmZjnQidPWvzvX7J5W3X0Nj/DzENZbtion+1ZOznugdwJqRqPK5UnnWAVH uy4ndKcr4CG2w/jg5zU6wglU528RvHsh7hzTQ0qx52b8vMZGWVrlmqChwwrX/rAJeo2MMhoFWxAC X0AIYRxCMMaFEKxkgfZrXJST0ceaicr3fRcQ4KrngKNzU60guDRayeHq3Ay42O21TmbSy77Pz5Id QRZqLjtqbta+ZZFe+yqf15AIC6humx8ZyU2waIrNz2tAhGOdmS1F520BPXKyqZo4SAU9x2A7r+EQ 5oclykFPBg1eUDp5bOsIvM5kQL5x0EaOCMaZsegbGv22w5iwvQZD2btyIDJKcAfFKIuGiqrsSBQ/ r7EQD7DmE4THECJ3NNU0Q6+RUHZHovowxhpjAjNJSa5ujfzWBHfDI5pXfKJOqoxpNENgYNeCExwB I7QbaP5ZgbLelPHZ62JQJsnkr2EQfgVK7Y8hnJxbHgPaLcAR2iH58xoE4Q3n+llByAQAI7L5eS1K 4uIbrI3s6/utH19CMtmpYgRbmEBacETLzKvpCmvPFVbOXGXYxFG1EerPjCgTC+cbkh7gUDhCbtEe 5bUgifmhEiGUSX1QmQ3+xmyA1wI5wGB++vIYiKcVVsaJZ3wBvRYjsWqp/0E1d9tiqavhDa8mswKH /VdzcYswCGJbqabig5/REP5kM4+I+Z0KwNAnbYZZA5bdOZXmhcXRrem1DokfYcmliRXbcSu2C/U7 6TWfUOTzWoX0cFgTJKDX+A7oRlqUGEXRwywIa79LyGybgTfpjqPIQLgZ2zCaIpnGjrZ98DU0IYo0 6GeRYcBKR8dzo8GFuEFlev9k+U06BNEiGgTLIxC3wWq1y+eoDFBXw12Wfy56vGNP5c5RFEon8sJq vzd9SqPeg7oVP4Z28TkKY+kpz3ga7HBw7NLYOQHLzn3WQRbCSV3xR20wkStpy2rjMo/7vNPnsOgr ITkt3UF5a4dANO/vXPl4FE37HNE75pyVow4Pht65c7jMmI3t8kij5enraLFOxXU0SvdedxRO8wSW gYoNNx07z49GX/Rz5J30lzSWlKhpfZYPOfUWDA2BdYMp6k226JT9Gi7le1bqiVlUTaybjwfh0PiN F2E/MZBN2BRFAXVlQL1L9WW01o2HZjJR+eq+RIQDBMe16JetrOqDB0QFKR9MUNC7hSI4mkyJNHai SDOwn/c6S3rd963WWf7YmSxoDpaTZahv8rwG9DSMqcf8vdBQJcLvY3P0HlSjVKjSdXdpNByENkeU C3HrmOxU1feoGukdnSw3txoHpxbSM3DIyhoX2qI0WpUryfm8id+dKMFMTb/PO2MuO4VgLUpbLd6E zrXIVJIATAyltGCOHMbcVSGCYkbblLDgJEWBde+SjM2+kOq4DPETYzxhUXLqzntkDYhgZ6+apY+L 3oPwF9hsGZQONLdhrstaG5ORzs4B1C68uU0Pone+HMsXctZr1Qclr6mRborCbCmfd7oc0ghrbWv9 0Qxx5uyh0TtdjseIeeteCMAyEZQCNoQZ7EFAwVvbUXgtid0x6WTNwaHeA+4u2EYtQTkWZxHzV+gr ICgnk85PpDz1uu8nUiPLgjGxDcLlnmSWYSdQ2/vzzpXLD4e+I09RtoLJnj5LUYTNQB7UK2c3GYJr qgnpRTR45NosRSG22tm9stHBwc8koHAmqs6QRoUQMc+kCV/qAbtFnUki7VC1/vPOlcN6nYSTNCK6 Ntu93G8NYC58H+K7zztVju84fs+kjBgy3e32HmX7SurU2zbLz3mZKLr+J1v/VwglCrMHebyw9vbB thuIfx2moWysQaNJuS6FB0D4klyjytbF3vZ9inB0Ql8fNRQN2m0LckZKZCGrE8XZXtzX7Q+j95Pu N4+z31lyvFBZTFOhmsW03QyYRDNzK6ZVaJhpHYWRNkOkpTByPWFk2+eYm93sLHctU/a60JP7Qurq ZPzzKe1PvW00R4giqc5ng51IYDQJDAlsRBRq51sNIasH5At1slnCiyJtItBzL/NaNZWrZp1aGp7u o0OnemMcabNS3YTvblfREtKo588ZlWrWwF9vOn5NkDkGeWco+1nFmorjn3d6HFY91ax7o6YOB7va WhXAoM6SP+/sOEYPN18jzgZq1kK4cZKiUDsv82ryq21og6nomN0WFTGb7v93bpyFeEjVZvPBsaW4 1ieLoOn8KeRWEDFZ0COCkx6td+08YtnxnRuX5XYDqSGmbJUWEpbUpkmlSXhazM87N44lMg8A6ERw VvIAMsAmKapcT6HbZndrlHU7H3Wki8AFncpW0nusjbyfBo0yGeJwO7NnRZ8Tg4Fkgq4HYaW0QTkn Hbr63G5m+zXtfaOkTQIVDJMG7EpEp+mAEsg/+xx0n3diHMPt8ZvaFhyKs9xpCuNtWjV3iTFxw+UL AkzUymUHe1JGEef2Oysuu+rJSHIjTtc9tp7f4Exb6lBGR60wAWsEkf3zihtxZMu9XoANZU/eOXH4 Ejb4a0XapsHaaEXC8+dvnvXzTon7M//HUqJOZr09kCjeJujcnePZT0suRYx9YqiRc41kA3O/E+Ky 4//apjcaB+vJbrhN1iItNDiCUPQDqmgnJQBlAJxhL9LKr+mdD8cSiXl9Zh9UIgE6mU2js/7n550N l416q4O72qHEhNQmKYq3WWYTsvr6ENz8fy9Hk7bqids7FS5LfxQFNiYlHJ5KLYA1AB+ABFWpAA5R S5DWWUwqwInUKR9iJEKfdy6c1XRIWlo+CCN5MuYhkf091+edCsfV7tktg2u00Hved5beA26cSov9 /atb1Zunt+eYG34qtT18Kb0H3NnciIYUhDj40Y2LaMJQiWbNs4jW3AA03xvbuD3qOaDY8HXfD6Ul zy8S/TTwS6CO2YWPHGt/3nlw2dQ4nu2GXBlVRpujMNxmS2Sl5+Su6aa3aq9xjtIwR8t3Fhx+Y263 udodLEw6me15Eai0cI5A/6ZHM7q/A9Uc3As3cytDrxvNETFa9A8+2+1c+8zC4LyeCtmCqG5/3klw vF5+y20QkqRotE1SWNVeLLft7QAt/D03vX2YOOtut/d4GyVnQrFRfrfBJqlAjRimDZykcyatIgYc WKS0a4By9DW1XHrdIJik3gKXnw12bs8t248zU3zHYCEtDyZZ10aJZN3s9p3+xjmiNcqqvtnWQ88t 1HJluW1Ca1Fz9B5vZ+PgAAHpg2FHM9BwFO1FTnLiys0+bsNF0+BKMKuHSWzh8W3/ttdGZhzAQdCs k/DIbxfumZ936hsuqXGvNpZ4oZ8GrzvrX4cBN3WqZp5eATDFb9z/q21HZhUig9nADgNu5SR1bx9s HZ3rv5+1JBjNyX4Knb8y9Ss73b8BozE1LxyMn3fam3XIXlA06B+1JQfGXT7vrDe7fp9IssOEsJEA wCkKQSLEQIzdH57ExWQ1Hd+MJKERoSkKK9uAgxW6TNpgy2ieJBTqjqinQXBlDoK34OIAr+4hJa9u tJuitw2maGdVtO+gGgmMuORE0M+R+U54wx+b+8+RDSSWmX5yiqJgu1F2qc3kPbbzHdsjpMG4Cfjj gT+B+ON3thtxRjiGwKTxwU+jSeE0uceeP+WcF+J3o6vEZvJ6Tuw29LZR1qa6z8o+WPZ/TgL5eJ0Y 6/POdMvmunCnqFL8otyNFgXaTYha6pL9p0j5xXXz4Pj+NZX9E5zZFL0H2ob4z2vxpFmr3Ck6f1eH zSSRxxOq1hTzKIjoe6eXdrqnESExn3eWG9MRNdgUSyL7E9+qQlac9YaZcvm8k9wwx0+DRC5EIEwv z//fSW78aVg7YjgmIPuwKuSJa+jBKdm82qxD8s5xw6+EDgk6j9kHa0NUFKSWGPCDGvKUFFiEOhGH cqtInXTld4JbliMExIZZ/Oeg06gleELyEB7l805vY6D9Gx1VijwtjyDf2W3YIF1Q/+wU3JmqWxF0 mVnTF6U7Mvud3MaMkX93YZzNwdbRGrCPpWYrHF43VTih7UWxSIgJIRex/ghzkXdqGy9Oyp4Ck2OD TqN6Dm95fqTOV4xWkfdHpG62IL54D+woyMbBDv3OC8rqXOy893PdXvo/gY8f2GFVm82jKV7bfHht dQJt3CbBxqCRDmxvhPXErwLtndJDlCSs753WdgttBZgvG1REgjnm0vfhZ3wPshU3PPEjmPlD0kGc oyjIrlIOknC17v1x2yNjew2plXvvxzVtZiBFlX/tgsnK/+woJlQ5ogGiQzLpgG/lxL1f2Doxfx3i jN8ZbaghSYdKyvqt3ypHh2sPgQYna+yfd0ZbNlll7TXMEs7b8USQ74Q2HNqNHad8sTVFVxxTEXeT Q6XNObfvfDZLNyFYwm4sBwcZ75PIVlCZIK904uFGNUEgkr4bgBdA828IicP+805nuzWk0ch2B1/7 K/z0yRV3FeP2hPSfdzobm1C+3ehVsAgR9VTknczGuWWYTS1Uib81E1osYIhcYjLKLZqkqKw95Wa9 WZXk4CjaBcIAEr4zSQ13gpzjgP8ARxnx135IWuSyvVPZ8KPKEL2xvc3BziRm41/qMOTPO5MNL5l9 v4lzClGPNH3DvTPZeP9T7k2VRwmgX3uUIkTSElK0SVH/nch26yMztemDzRI7Q9PcLBfutkymCPpH G3NT8mP6QVWbzzuRLZse7NqVQoKbfEVlaUAAqzFU5+edx4b92tf3t0NSUETKXo1857Fltx0AgsFk 3zp5g+fOh8Iq1YFgOwDFxG/FUnqnsWV5ltFZb/jg5f9z0c8F2gGIWiCiMPVvMJTasJPJ2OJW/p+t 6HX/GiShpmGD4yEzBS1htDM/7yw2K0/Y7aay3IBKl8dI7yQ2rCTmI1PKG/xnYzSUGAFA8uU/rNEA 8qmVFFW2cbxBAECArfIAtkY+MXZCHwpeHz3jvpaQGXmrOKbX5Y5aZfudxGZtV3ZHlg82S2exW5Nk 8/Eg3M7pJ2lTuH/r2u8ENhxlZGZNyuuwH9lKmrbd1qoucFpbNsbRO3/N+qEgro3kg62kfoLKOVI3 I+JMOXioeUJ/YyMw3dxu0l8m9eGdv0ZsLHFItP/WIDgSYgm1/89e/rzT1xhC5J84CcHuEF+bcxQF 22xjouV/S7b03ZboTbNqN9iQ1Vok7+Q1Q6QghuTJxMGztgoAD3XyN7xWUCVB7s+cFixZeAh6ZqsC yTt3jck/+Q/4HW0w7tpZ9Uus4tY+79S1LN2OB55N8ye8qM1RVNaetPwsY3tDMqV+FWB3cxPi2p3h 905cy7IPXyCcLh+8PtJgO1CY/G+koGTQ4KAF7R52ievy1gSQeKeteX0EtNLlg9VHdlNh70Ty4/NO WmP2dUv/5oR1vvP2R95Ja4S18WqjIY31I5dHSZWqf1xHdPzUHMXxdmGBTbAkxoSW2sJNDDpBXyrC T1rUoRuHrhLEv+BC5OG2llFU0yY/ZA18lQ2aozFF3kQrv37eCWtZIhTPzQYGTR/PxRaF23SmAj/D W7aTcYcCyXxrSBmcA/Eg/hpuF0gs+eDLaAGyA61GCuCdq7Sa8QdE8xFS1ZuRDAJI38lqBN1TpZOE LA2aopP2DKG0G+61KNjOw3E2RItywhlUao7CYJtc/znqdRYYhvoHgIS4pEIw0rQKSYuR2ixFNhoL cPA5mieubhSIOCf0hJKeMluYfFAcs183SzJU8R3B3U94zeqsSHJQ5AcZYNZuAS7H40EU2X+XEZW/ xr5BZBhqd1Zr6aziJhWuENgu3ShNgBu1jKKKNiRJF66v7IPdaoCNoJtA+a0TryaBlQpmsYLHhh/g Ck5qq0WR9mKbtqAAaIOq/kj8VCA5mTMeDxr/+VLWsOjA3QE81xk1UaTdKaNIBJVpRjRrSsL6mMgS akZcV9T+HmnbkX3+P+B4osFqSGfez77AuQNmKALvJf/dxr1RgWzzQLv72wbd2iHZUuHam8FUzxzP NavmaPPxgDOyy886AgmEegM2RVGcPUwY8K6jQZdk2S4QTmRCLXeKIgQJzEygI4nzRYNNUc9UvaAV I6i03eKokegUB3IW2bPK/imr0aOadqVu6ZSvJQfN0dnnAgGeDHri8ajD5ucRAe3kYlwAaQ/DbHrF rWwCEYiOLjJisAVvkoDbsJE9qmmrYDuM4jduwbY2iKY0tSERiO1MSXOqEkEX95sR2XvBllCkHkXZ ALogvCZ3jXgnVTUmwNJDuX8reD7gP85faASiQlh/3lkKCZDoB52FX10BIQtBSh42dUdpgMaKB+Ps HsXZytjaHHfwWltqhA+TXtPP/LVixP6mWao4TKxkS5oPviTqjoChVVDC52CSWQ3y4qRhnwnkO0Yk UU9reUTDBLihRmiTFLEgaesIEJ53tOHvoKV0vvoW2xKiCU1SDCDhGuoMIke/QSRo2OtsMcpwLgpy UpAMrW3gXKiO3+/1z4Jkj+ra1vUf6rKRGcdNchboGix6jBNi4PngbntakcQtIYRt5R5KYahNWHsd D6U/Twcjz+YmlueCdV/UHnIhh0JtEtqmI2CQjpys9Vz5vOD3uXRJGzyXGBLKyZoFjkQ/lKjq2iPE 9hQZcm8NoI4O5WyQocIXgjeK5/+XDUeIbbvl/x7WtjvttGDVlv8ja2tCRSiMD1eJLE/UUi3572Gs TQk2Ivpt8OQ/nQDmrFXCbBYM0rXfgMTcuDkKmHdXgHPqdaMyknK2bO5LAFJzLaGmR6vg7zzxOz4g grX52c2iEfA9rAnZNL3H2yeCZSw5CMKXz4IkSSujD0q8UyEYAXnlWori7U0JwJ6ewaZpsUiCaUDh 9uwTVK1O+o/QaSFlg/vJ9e2g/GaPytuVJcldRSOp3kk6sWRPS245eeLxIP1vdymJWtvR+79rKcJs d2JISrbCyEkXaZJIk885qVmev5S4m1Ih6xGGRDdcV257BqaarJEk5LEQNweu/QSU6CfAtONc1hvA pDLHbbcxNMCX/FUfYhPevmRyhv1G+jEj5rMr8Hiwku5+I9Avg+AKLWyfpTjmJgYpVy/czkF1KJbb JP2+KfI+tu24qLy9WAHorhx12/8nW96JOqu64WoZNCGGI8iJeuEWL1FuaWuRQNojGIny2k4/Nw1G bIPkvqwoy8Tj0VLyahvrj7DU/A6oUBpNOwq6iRuAQEO6IInldRI6IRuOhNqYmKURlben7M62BCP2 uDptOXUE9YQk7HGu0LJYvqyoUPCsn66kYYrcIwq6gR+GPw79mjmoBQAja/4s0OPD40HQ/Ud1m427 dY/uEQXdXZI1aT7eVGyOSLImO0Wi9G1aESMubvP6F7WPww2VIB0xuxlQ0NWE2HYwthqCbjhduPgY UjB8STBHtFVYRfQt0P9oZFIQ0y+KtA1kuCOsbu8/qgCoWg9c6DZLIW6bCkhmNMGdl6pbWfdWXVKL dnqapai6De9DiN8tDXplZm/IYzekDoA/q/DdEgQOkQ5Elgj+UxAAmPvAdwQoUglwZxoGJHlnco4c eHsy3Y7HozZJ/8lMGhEs+0oihFASMdrpBKh6WzeF8gIJGGe0k4emvRbF3OYpKBG71YmkGwRIQnu5 JVJpzxnZK2MA7LXxBUD2i+jHQ4CUMEUxkkQ00lJ9UDEJpfMkrZ6x8XikGvEHpx1S9U3WXZyjKOTu lY3/VS+RhHhgYQBK9s7tHA6TGFHIPbska3i3cbDNdu78CjMWw7U1YKxQty0QDUPJOwuNLKAtTsPP iGrbVEva6AVzAGGT9xFYYdVIkiV/RkiSnL7ZJO7TURX1vGREEfcgCMD8AwW8aS5fV9h3kHv19s7t iCLuJRBAlvZ9fsi2Z1WlSrnnltiUFD4wgzPSgKyFdI4tpE3m/4iK25IgxbM+WI8E9xNbsSeO+IwI sT0u2aZIv638RpIjRGwP4dmW5249GcetnIzN6NuAtrmj4IgQ2/JjXqUvHy5B4vy3id0htK3MRhmp AmBIIwKrXFtYemLiOyJae6NmCOUQK/48+bg1mv15fjvCaPvibHU+bxa4nNg2oup2K5KGmg5GhvvA 192YW3IwCc4mzVEUbU+qIC71ShZF4b+ZZcl6ZiNDMhYvBB+GxDkCzpXMDLiK+RwhrsV3/C1Cog0v rZgvY2Yn1mCpS97xfNRs+739qWrxVCVHGGwPpbSXaDOpjye1bYWRnRlJsnLSiINtEpHo6qbBSCRn g+yRFUaiQinu/wmFiLuhKWy9RqebYeSIgm3d/ohNMTAZSCJIjE2O+jkU+1z4gKAIcAPJbX560B/w XtIIo+3F7shoLt4OHLodSSNlh0mUK4A4wgo3rUqammntqmBAkrXgz8+sJ51buhFvkioV/UAwKoWW Sk2CUU2vG5xJTZpa8gSAG4WU2GD7zFvmO871ieejUomXuHn1nssFmHubpBlWuDtJW0Jqb2FJk6Uk cDjQJJ0QChIhSNzm32X9IFPng5NtG8AROFdpCXsOOiqTZQpA4yiBAKM33Iq9bZDcUi4xqUvHQef2 aJsAS15VeDzqlNwAgNAt8Czg8WNzFEXbkhwt8i7DHBUha9kOnURJQGKbSafmKCRJbtmXsSnJwdM2 KAmNTuhWaqiBbpK2yJWEvc7JqPODt1163b8lt2gF+6BJapCgJJTknHl4/F9nEqXtEZXOW+KeUawN xYtcilPYId+Yy3MmWcUNKu52Js2QI0m8NoAiPjgmqaGpMSTXfn5K09Cs0OPYYCAXBpmmD0mNhBmX uMn7L6RIcrAqSZ9yhIW/82dGwTZb+U8AADD+mJdpM6Nom6oBYDC4PNKCXKQZlMx0iW0A0GqSwmhb CIBC3C0HDwAWitGFoi1nuga69dhskBbqQJaCcHCdTZfeNjqQmNJm3nEcbI7GloLLOU4zHo8QgOV3 s+G+7Wjv2xxF0TZ19yFDYj6556a+l1u+pVvI0lWd2jPU+WMqMlovPni0DW/rDJIpmkkN3CQUuAEG +E78OBmxgwskEbg9Q4Zkkr/Uaj4IcXs+U/XtdjIePB70JfsfbCRKojxzFJe3GRl5qgbw7TD/Fmjx eSDZaevAOYqQJCogzcwLbubrxVUx2Qk3NIOknjrzu0TuzaBdLgB7FiTRfXJGwTZMqcDUoqkiB93+ SCstnx8Dj0fFtt+2pHrlN2mbEZAELJdsnuScopGqF5HKhdvCgMqYfzMqbq+kM3snH2yKEp0RSmdi C9GlRRpJQv2+QRNzlQu2GRSLnFGsrc7tGsmGYbk/PHZJcDjnYMPj/1IjYTkSgMSa77UWkiPV3H5W 0XlJB9u2Ytqa50daLmszQ7k/Gpvbvc7hnkYgX6LJBhOgtoU1ATOcIRzgrteyZRH+P6O6Nr0BUPfv PqjO1ru45dSEwOP/gmxt1tnyl8mXTVGEI5n03pp13PKINBHkyjlc1CYh9tIURZH2oCsgHCF8uLX/ k+8Nqn/vNSv6JPQoQ+I7BkJS+Jd4WZvQ9hlF2lQCXruwuQ0IrwodoCosxUcwdcPz0Tr6A2rL3+XG 2TPmRtLZXCGk5JGqTxJEiw1JAjc2m6RYiwSrYycWYzk4sh2wkkxJthNan3ERAVBAUejU+arpCvwD C8svifYayRFrNB+MakPYE/OL3fF4lIzsnzlqhBNUP45WFGZTXD/3eg2SpLTCtHbsOr2GlJql/isC kgwm9GMx9efgGNJNnfEuQNI5OxbLTDATQg3pBCfQMpDMhmj/KwSSbKMhbR8M11YaDSCRpVY8Hsn+ /JYi86a9sAdHK6xpszoy6uVq70RkBC61WQ06gZ7tnaIQSCI+ex7VB5+iQrhQJw0Z5RC4aUKFHfk7 wO69Pwa4c+ttg6RWvZFN2Dastr6qsXb053jFgT6H5/8lRSZ9Vrh0D99qK9TQJqh99lY885/b+9qd urlqjTxzFAJJlmkiSf1HzT5utVboQkGR6HO80g0JrvB0IWHp9hGPxBvjO4KKNi1HNuSmbBA5soHQ bsLGfPxfKtEsjlCd9TK2VhRj9yLIVvNEpEsZifFjMfY/VEiyqUetEK2tZdQJ/ePgsdG50tKGdBZ2 GuFIZLNjM3YgnBHVOamNsLYVV7SrZH4bBxQHxI08qbv8GU+SU/D8P08j7jW01/q6PbYVRdmy16ro yKg2UmtXdguE73T9qLKzRdnrr+RIEJCnD7c2At/EJoDkiQlWoT3whDQFZFwYtj5Ym6nXDepsTGm7 SiSdgoGKIRfZQtxtM+P5fzVH+H4Ax4x16UgrirORImCWsltoVJrC02skSUESCInU3WtkhUIk/YJD bbBZKrAWoIwG1JHOV2FSTsQFaBcWF7aLW5SWRGzbimAkko84y7b6oIStooQnWkgfeDxAkayLkeSZ BM5Wu74HK5bVpuavZmYiYZO5iCr/eVkvkuAmTVIYaStTy8rb8vVBQu3ofIssEgkOl87WkN0GEFFA +loJibpx+JJgkvrkiS1w2+L+AWbmnKRdG+786ng84v175k9gAhfSbfyvKNQuWxYjy6tsk7KMpJB0 mKnJza7VaXikFWK2yTAmQNcGW0hzIjiFPTpVf1G8q1TWPsMGI4BcNseQzKHX/Ss8YlKS7Bz8gzyH AiWYTPgemM94/H23IT37aUWeD5u53yMpJkhiYiqRBar8S9yWlf9yK/+VProU146r2pRGUjTZHr72 +QMyvG1FtUUHbtLxrgJlgg0DBsiwdi0QqHrdAPtPtZ/N9ogGnUh9Lwe2D75jJND+S7NpABHOcu// sKSNYsc5V7tj/1HYuPSIm49QL0lzFAtsk/RQhJQs1xQRWe05ZtHLBIBkIlkmqQ0C4ovKA9BI9iBp DL3u32wieiPMhoPoWm2Anfplcbvi8SAA2L8xEiXtgBHTHO0o1B6MI1tal4pUsmv+LOrSuhCpxUg7 qmjvKQwS0xEOjpA8idnZF+YPdcJHFAGo839yNsRIo9/iiOy3dxRqM4M5B59OpdK9ODJakk8EPMPx eESzqT/LCHoNvV945H4PtcGyIWbkkSFpOblF+Wr94pFrMemoHcuQUFkrUc2mpfwso4UuUiGBFJr1 izWCs7hQOmKjbNxubSHxFF8SFJCaziEe2et6aSAbqZqjXgYeD+61lH7iyMJu8U1HdojZRjsFbhF3 q7UnYwN5UccRWTKaozjUniRqzzs4OvLc71iGqrFNtj8QR6JWcH5F2LXOO0cEjeFL/lJkgyXA9EH8 iAr+ncjFfePxfylHMQUGufcc9VfuP6RGdon+ekEbf00xEsmWYznhI3AY0iTFBW0B2+sdnERyfucC OS3mbChoP65HAzpcGfKY3j7ivbajaFsamyMRZcVB59E4F282U0O+47+UkZnKILEF384nKYRss6KN nt5lSDSXakfcYfWRnRzPtmPINpfQ/xFqA08Eee7UxQZpTc5jhhxVAzez5Adjg/2D74gyEhbYUJCy wYg2pLWx5NYqHg/O7LR+4iMQNQZYjjZFUaBNDRaYIXtjZKbHFWHMq0TS/O7fUaC9eaHBYtQHLyF1 7FVKaWx4+MHA5ktFG9Tcp0v+Cq69ht42CI9YySbZ1QZNETwo5RBZcWaH5jX5No+yZEhPEDku02ZH 8JEkXeSxXfK/S5Eck5RHvoytmv1ECgX/tnZZvoNfbGBRwfoQJ9LCocM+U8J3EqC60yW1MQjBd0T8 UcprJsojc9AkIWjgxmt58fGoFPmr+YsssqeLsNmhFEmWa013MlLddn6fGJJbnHyt1hhyd35ShB4h jk2NkfU0RjpgM6BT8dCGZFSj4i3aGVLbA43I1DVpRozviOij5GttkpE5aIrgs5El1D43Ho9SkXuv ycmVl/89jsKadpKmdr6ELSHbyI3kfhdhi0J5nKO/x9kgQvrgew2HU4JZMIMjXP6cowFZgnI2F5rm Dh7ZU28bHEe0zZyUxtBge22cxIY1pFoHHo9YNk99hFztBpbATdh2FGg3I3B0d/c9cYdLI412D6Q+ kl9sEXZEaMdp0ojzHkj4fxMB6eRCgkIi9aULtC0bwvpFwV9hkFmv3aEMCS1Gqctig8XZ6GfLpWUO PB5pR/+qR6H71Na1qzOszuscScxuXDR7vUkteNaGZi+ZJJuujwpWEoWjypYT675OrOcAmjihK8LI c/2eiSIuAkqb3w11hAJliTpl0b62vXDAIZUdZFkapsGJz0nFRgXuq5YnP+BfAFL+l8/Xz3orkgyh g0Y62/67L7OG5F8o/EhuRXW3s+thcyRB+xSbQxI7uxgBcPBq29lHPcnLvkClhMC/dL7gHNo4Fkt9 BFsoPM1v+Rs6olXmtq1ablvzHr3JHOEcpnw+yEpa/T2Zcuk0s3/Mkf7uasNugen/TFtdELOazQpu kKczWfsUQrZpYlcnZQA42Ez1wio3E1z0GEhBOjOFSH/joM8bmYnbjGZ74Uhsg6SkVCRxhzYuw0AQ lQrVMsaEGWuKAu9xA29VxIFwTlciOaewzL2Jt5EKwOA/+3IsqRNNK0pCyWcq9IuUH0sjgJuD1ybh WNswGw1agp2kwgWyMtiSKG2gdHXbt8Ve+G/2NkMK7hxUnJwlJf6VFSgAPB/B234Dgs3G33rmKQq+ EyOCIbXWKSZg9kOqtOxMCXyW7b2o0i3dLQLGbPBcd0CJrDaqkp+LtRNzmuAN86WaCxwzXJ6Mx6He OPLc6ES300BSgGoeUhOuRfzGDUOJFEJKym/bBLDWL3p4d6bCYnclnXRT2p4q2KiyCgmYiIGHFlBC B07kpJziavd8h0xUoFvSlkIZCpawpYSOG+onGy9ATykrUqZtLxyBAYsobtkHTRTc7rqMV9Pm85Hx 1vVI5KzuL/Dfd57CMJzzhEPIVpQ5lSxyS1u3FZXQH7IVFcXh6HDBuy0tH25lAIbqsidD/WpN2gEw lT9bBC0ulk90nAPjrzcOYij2cSHc5oPqJxVi7AwTz2Tz+YheOn9WFNzRRrpywILLvZ7ASRCc3Tw+ kBkgtx6JfWK7zbZ8osKqN6OnOqyL0q/X3VnZgBAvmUuMcxJ3bj3Uzs6NiP2HNp1KKDBv1QtHEBP5 1nIDItBXwWh1YEwozX4e4vP/Ugbk200KBDxHVBiQG1TJvOvR1E2On6g/Bctcp1sCRmolZdM4YZfu g7csYd66TIrj3K998hTDnBGbjY7cAwuc9r7BnbeExGHrm4Mgb+fjE0l9Jw0afD7Yd+23HkczVWQg Pk2xNiDZE+1hBgi5NChsPZelv4NCn5qmsPi95AfAKIqDNwgImexcThAehvwqzif8J6Min0YT00lv tdgLR9UmKZTToJSD5qkBv0KpicFoM7S9uXxlnk9gYdbH9S50mITBORBWw6XKSzLCCYy43GES/S63 dAssJgGkIDpwU0uRg+O6xlmiu9O0bSEs2NRzh1kd6iisBPbrwtn8hSPGMnvWw/xcp2UvJwcqe0nY dUw+H+V4NzLAgxC3GL09ExWF5S0L0UUZ5aqD3JSma+nXzZUXuE1UhDhJlL3bEi7lYNUCAB3mScqJ ETwBOvy4GT0UOodl6ZWZ9h39SnLsMznV2y930Dwl/K6Kykvl80FU/kdgwPX0WN7m2GmyMv/tVwNn 7mk1lXMSE1CBegF8/VQvyKHV5CJ2aU4GnBy8YJCQkwzmwgt1xsodCtAYSqz5Ox6fCeit6Esi3hKb l53KpauzwsMC5vkByM4Fi4zPR9nwLwiuwFb6EQrOgdskFPCk71qGl1UgxuKradg0ocrrhpyh3STA ohCabnew1XTiqokGTaIu1wTWl9gUNBxRSpa2uwlzsA2eA7tJ23VUP/dB4BzQ06Vcfv7B5yO0wB/R U+O83qJBaDi5CBZUw1GbTuJKrNBRbF3y7gweNE0hmzKT/dZkFiA7Wq4mgChnq9J3T+yEb5Z6gdOj kcjIF9/d7wsHeAGy3xcwzhzW19Tsz0KtspAYm89Hjd5fOiWVU8By9Xl6j8bdmBNXjxeg2nIFM1Ps 1q4rxXddGI3bBBEswcHmaQFIgS4UD6eaCaVYsEyloCHUQcZFME9FBYHrJBCO3G9V81SrYwYp1cCo 4HwQn49ccLzZS6F+cGHL+JmokFSZxOw2Ry5qH12WF1nBLBn0Wd13MjSeXEnN8Nx8cObJCfZLL/TB qSDqQm8KwsonIphoKOIQvrU6VaFC50lqdK8x2T3gYLSKCsvAL3tKi88Hx3j2ioHAqmAI1+e2C2VM hjSo6vJ5GkaBK0AOCLEDaeXVfZ4iLxxF473O5IOnLROPVzpPJ1oPbRIrAEWZiC4BtPSooFZ737/c dmfiUYrSoNtuA4knFlOqfD5Se/HziVfjpmD1Yz0dBeMLuU6GcaJTK+o16MyURyAKteCnt2kKy+NM f5uOKQ5egToxHwj+SebTJwdjlFmQZENQEZ+fbhbcm71wIIvPou8aguwMF1ic53xST7OsVvh8kLQ8 WiaS0zkJR29XrSuHFpSod9Dr3SGEq/ebB+fluK+OqqzNVBiPE0XQm7xM2/UyPTfCyYVSFlPnBCLC 9KaOj19ANkGz6L/yltCFshCNMrYqUPfGw4cVueKclI/PB3lL6T8bLwOoDFUdn6jQiHIozlzLd94Y t2DQkE+4WEczHmoOnSiF+u6z3sFPqHN1tsYFngBaEO0LePKT2m0YrwK0I/A3AIV638hlmfMEsQsO rtcNVjAbJhC75fORjuCvGhXuP8r4+DTFQoKJPYSVTLB7UHlJclTUqRBdFxe22gmhF6UqmgMNIhs8 b4G8Ag8TgOQwX8hbElQYv5PEgP6w42TXHXtRViOkDB90jp/pT/I0P//i80FBs+afAAqI/I7D3Kcp FDUhGbURHcnVlEu5VZVMMzgQdmnYom0XuFH6amrA99lw0zt0quhCf06lRHlHlp/OztzUupzJ8ZYl 12QvHJXpeJDjcu3yiuEZBVPxslktxxHBD4gC8l+ME2ilbP35RIXYFLOjpFU3yk9ldFekGIX1AnRd duvedQn8KF2T+nxh8cHPJ5ATNwqVZ6IY9SwEBmklSRcUoCXGc+Vte+MIV4Ad1xIzF/Q7tIHOFpxU agKNQq8ZKXfcwgoRhCiKsF7iUxVa5dBKcJNdJKsckVFxJ3SiYi0TTp67BLaUVy831Tv4mgJ7F91N ElNPYDvJK0BR+0ubxAxAtTfO57IXjmZqCXoh8iX2johOZ12q0DbamvyAqJtwSYXZwgOCaX2moiK5 3KlmNrVuHFLNwYVtrOS1uro9fQm9KRcRBqPk4sMl8qCyBdlX5MUnYMusGSSKluMSG/NhqeRq7xtF 5SRdDrqdc1AUhQIsz/J2gg4+/7/UoGjeOJ4oKvSmBCbiD6jKTs2xvHPkS3eCr4BNU6gt2InAmHIx m7eX0ABqbuD/kau92660sG74+IqsDfeQSy+tae8bIDEKfXM25QU4WLA56XzMadp8PorJf0sryJo6 HYZsmqIKOV2V4YLhMtVNkkudYifJk+FGdwddebGWd3vFYqCHfvKvJqvzPQCIJksVfZHR0BSUIFzj vrN5ChErLBd32cJzUJJ3bozNg/jkMJPP/wv1jPejvMh6cpfQoXLQxhNVIS9Bqc9CyErfLueN4rDP U2yew9aUHJjH48AMlcsJZxiWNGFxVrjiMjBWrW14jlU/niQJl0OLSgExCBi1QbWVc0Gwyg/cc+bz wen0HORUrUEVeqI16hP1HpODc48FtUv3IhQLFipCTd2E1WNym6i/+8L3JDnG5BiAs5wGul/obeJ4 AugAYRZEB+GmjdYEz6cp03N1hUOnykEsBgAuGgpja7CGlvgrvdbO56Pa7z3Hi2oxfzTxQq9KiuND FegqMvfmCOiBv8QVmasfULFZJREGi5GkBi9qwltozC7dk/MHbXE3EpVzAFupl0knlHgO3Sp5jm9K KdsgGNTZ0a08NfLArtIIKD8bj5ZMN8cL/SpRCQKftzkMCk7GfkBRaVvWsPseUIFhJdoSJIe3Vn3w njAlqwqDTfzPNl8PzEyHh3lOvd15ui8cEcUwQQnBrw22807gobBzz83nI/jqrx4DBJn4Cj5PscC3 SnSXJ757d0+GudzaAzI73koIXSulbX4ynuKDp3irg+Iuy+qEe5vuXhlOVxu1BjakrNXZ1ZsKfSuH fL0kzTDQBVTh6CSpjbHfF55f/IDIcOhXcgDx7tzt2XchbCWTklmu/znEgL+mgLLLNWRmr0ITFQbl ZLDWQcxYHQ9mrA/03gfNdM5HLcrXLRSB81n5KMeq/Ft/eueBeSVkzGij0+QUA1wB6QeVVuFT3mYj 8wOinXeb52JDbfRpnpkKY3Ki61ZpBvBBXdMFLEZaxU6otpfRWHLgX+mwccq/2eD1ulTBOoJPFPZd hjg6fksVQhN1hx9sfV72wlFdk1OUqgY0Hvi3oYbdWLE74c7kB0TqTONn77Hg/lOxC1wsQVaXG+lF +ACO4+UVGccvyg9kR/iENpbqC3cRx/sPcbydzKX2TBgilB7POVQ1UWdmqUNLCKIxfpK/cHTn8XBa 8uJ1n4NyriQBhnFdZD4fVcr/CDY3X+o5o6KYfBTec6Ne7viajhhrvVtMDmCLF4ADL0twY1hYUV4y crsLCnEN3KySCHZAHaAAPBbTwUnHryv4ATK3vuVvZl9zpOWDYCsnEOpEEJ5h8Pkgd0m388J9ioUJ lI9PVFgpB07zLNVyd153dR0IDzoIsWaXjc1/MbQkTVOwDA4+URuqbvTYSKA19E3Fb+h0wOIONnFj OF0zr73sjYOtl+XUQNkGDiKRQf6RgGNoZ/L5KN78I4rC39jnE26GnpYAkaCc6ZAxNB29FtXSdFpr GtkRPrGppXoK0iHuPzrEAOlB4Z0zBWYT3eVPmr9YizrfsWR3K05CsxeO9BqXMCvDB209mK6wgnBO x8Xng3Az/0JXziIhrvVZUmGtnDK7lWe6sCuE+KK2iTgK+jpQkOsNm0FxVByXm6NX8UETldB4AaEI 8UEDaaYCdXu+ElzQAkgiLMZvl6ove+MoQKAUUSINiIOuPYRrsv9KyPQCa0ugGH+rm3jN3p6AM7S2 lI5856Go9jCrVkxgklzBaNqMfETxQeBteT6q0U6GfHoNmqiRqQGaqJEKm7q8m4RCAIHqLAI9/p9k 3OfA2xLTxJXUZbbXh3eHz5fTKcjABoG55R87jzsWpYvrtpdDc8uZJbG7H29ro06d9aQjimADNum5 nkJ3y0VMHaxwfPDu8NkRJ9Nd0m9ogPyQn0DzRRQYIXjhed5QGBXYWwrSwCp59cGoLmqigjI19Hxw 57U/sFCwgs4PBDH0twQ/H1o7ZV7sSi5O40jX4brR5lnLKWZxSnGPyQkHO6AyZ7zJcy81mI4kztO5 XRuEplBkcchYKva+EQKRFYPcuPnYLFdPbkL2AvMEsCM/INLc9xhq+EH+UzcILC7PPE2JpiwPy4cL ORZ6L1u9bifnlefY41L1OojD2+DrKZ34fjcePzh/KIMIjA/4JhAG7O1KOM3k7xu1qDINrusdDAp1 TkQ5pmVAoUKTy9z/OJ1QU81XUy4HLpfQlsEll9puFhlUVQ0WyX/JdffqA7qPbS6lUbDYQ+fgEES4 OC0o1MGfuEESDC2FAYmxUdDUXk/ZYKqJHvpcTrqAoEPhg+p1cEwR6H7MyucjCZ75UzYAu631q+SQ Q6fLSmpNXck1eKoE5kHjyN5aR1wwTJ8wB1aXifq04G/sO9g8pQk7qkVywjnB4ZvCHhXg2SeNOT/U +gWvbHvhgEDFUJMCyF17eVnvsg5VR+b5lfgB7+c4/U+fih3qyWSG+0yFQXmqD89sSNCpe5a3TUYN iqDFI6jA8NKlHKn9bYPHmguVU7w7qUFnEaMCDMTd+iIMxaV3jVNknp4Dy8tsXoUj5+2DgnJIriso L1vPRxXg8RtBdZrcl2eiQrHCrmrBetLh5uZgPVmxhdKp1Scqgq9UVliWa6jvK1QMu9SzNQZB0huk T5KJ6Zw2UqL+xdXgFTEo8L2U1TAqmqLkFafkQcmuSG9ogxcUGF+iJedNdDwH37UvbPxsnj6v8VNB YCBk9N1555/CZ5Rm1A5OU3KP2dfoqYCPzzpdItKAg1c1kUHWZOAV0KmYNCdal2xg3vt+2FOInl5j p+Kil3uTlcfBaprQHZfK3MLTLxNUJM6RyZcyTeMMqlXjf3J+mGh+phxTh1PxBnFRkrum9KwpX1TP 7YL56UNIe/nx7VserwBj1kVxqQRVLVzY7LfAtKKjfQiQtFk5LJ1LrxN0gkvayp9frfmgCTpfQKbl FxYdn9eQqQjgaRMkIwfKHiaboNeIqUCFjw7Xq1dfQPOq8IG7pAWUAIXUBL3GS1hAdEzbm+uIg4FY YVEPYVnyXicicxNYbbTSHNDQucqyhI6/RksFgB5UUCpoADbodkOSsqULstvnNVTC7FpJfKjUi3Ih 1Ek1Pa+BUnEq51jdRYpG797/raSEmV9KMdDTa5hUAAqSBwj7UCs/fahzwYK2t7a6v+CCUC19UEXv 7ENg6izqZjPp8xokFQQ55VfFEanlVQMt1M8Dy+XzGiMV4RRt/XAyJkpNvnxeIyQ8BFFG4bY9kKQs KAPulZ2ksdc0IYfX+Kgk69O1sasPXgYHG61gU51DLLedGUklCIKA4w30Fng+tsE2dXdfw6MisAKh 9M0HlQNOWCcM9IkI1uc1OCqSgdAEmd7T+W3Q4NQMvcZG2JbL7JsdQTAJvBGCYG9vFVCiU36pwQzN LnnrfAdbQZCQAywTFRMKm4CsdQIw4FbomVLY7bVWJiPt17ioqGlDp53sgyZoQBiOLIK+yuc1KMJi qPP3BIIh8qjbj+jXkAgHe5bJXvUt1pkhcoLOwjGR9BMIJHdtiiao8Z4fykTGzUQgcAUH8U6ABeQE JV4IYiuUys6WhQuJ7zGmbK/hEPYY9F83FCU4AMUgNNe5BYRIP9u5f16jIZxgppSqPTYFyZ82Qa+h EI5o6oA0aRDxnzuZCFhZ01cQUAN2Br0GQjiiiQSA8JAPzhZrMzOV+pJcAmUr7LEO2WKABL6ZvpcW W5NM/hoHFbWyAdEho5yDQJcTfH4z88qf1yiIb1h9fsjcwIkGIoom6LUsWQyoeX645RyDwQKqGFC1 Wtcy882lkhYc0qlYnfsOtx+wYYG72YvLGSIWSV6EwDhn0nW9cVJ4l35eS5JFoHMoCNOtgYMKbfNE kAREnL8GT79PULc23BA2DkQ+dCI0P6/VSCza0v7sVvY8jfh0Do9LfKIRncQtoiBI2Str1xr8kD57 rHSoM535gaoh89xENWhoptMm98Li6NX0WojEb0C2IerAPhjMEtUtRn4j4w2jS6z5AhIMfJz4FHer osQojB7E7828vO8NEpevIDnHVQFOhnMNoylidHyyrOSD17UbLIiAL4C/Hvz2ClslQIVsFDHy/snz m2QIok0GKiYaT8UHrSHQF8UMKwPE1WiXzfxzCkGYuqfim+ydPleU4aCmnfyqP4dgsnM6p+Y1o7bq ciZPeA4Ju6Rc40E1o542zxxNilrsgZIkcvwOs5Hd0XEjrl2dXJ4tn3f2HBe9Smsp+aDEtY/dtjSG Kh8PTur9u9HQ0j9r2e/6d+4c7jJaEG2uWuECyqUY0ipP62hABt9wcMEcbfbapqq1HDxeLHD8wFnc 4CGGEuP4Wu5KiX0y6i0aGoLqBlPUWXacM91BZ/VG3CkKz+bjQTw0716T7yX22o0Y34lzxWuPu20P iHba07ET9BFkQMRSj0ECguO685ymDrYNflyjswZdAK2jic4bIEtI9XkcANH53GdJr/u+18grPIt+ FB+UlJ03TSwa1QLkaRhVD7/RaKGGOhGaSjZH72E1sk2Sd9K8sInt3GeQd4rDJvpyTu97XC2VdWC4 AAnQYGnZPCf22Q0mR3Qu2c2wQMqU6P1TdZkwQa4tvm10HLGznYcMLRGNG9Pi/JlMXM+f/P8Z+7Ik V3ZjyX+upUyGeej9L6zh7hFI1lNGHfVrM1xJN8ksEEMMPqCaFsyRqGx+HuH6/gH/yicpiqz7kJTl 9GuNfnMWF90GNzqHTt15D61x/DM5ky3aemzRTnwOtawpgtO52Yuc5mmkC+2gEzl2lxvIgxZE74Q5 7GgupEoClwZBlUAsJDO2noD7886XKxAj9OCaBSJgU+kIZ5MURteS/uyPEUFN7kHYx/TSbIXHnCYp Cq+7XHUpoa/BK0QZgNyl3jb81xJp0Rme9V0JNE5tO5Ly1Ou+H0lNxvFN4ePDAjufSr+JEz7m/Xnn ymElte8cFowdenfaHEUBNnVboP9bvNa4TSf9ZCDLayBnjrojJcIIW44oSbd/em7/c/jvMxW5UTJm nIib/X/AHM6JBCAMTyQRdgi+/Lwz5bBaB08k1Eo4mGwFik2dmWlPnY9HIWT+PrbxK82a7257D7Jx IbEFKb1PwQCq8y7LyMNYTQmGuCrIRlE2joRVEn0GNNxKEXTLu5xjQQluylQIVwd5RZKNqlsLQPke ZVsjZGcwF23QZjt/RZJkY4eoThRmr/0rkwXa6OT7/a6kKNLuhJm2VZKtpF6S6Cg4kna2alrTJCV+ UhRqc3aA4LfBJgme02fB1n5dUZnMNiz9Dt1Ec46z6v7U6wazhEbPpoigDZoluCQVQyatzztBzkKs p6KGxyswa1ZwjGJt5NngCrRrrzvc8RPhXbv5/rQT6Z0dVy4GHr7ANtiJdG7IWVKfpOlAXUDOcRte DQNOY1Bk86L1BvXq886OK1dDdrFjxMHutrM8Zdh4rofPOzmumC/VPbapaT2T5yPv3DjOLGsiap2p 7Ogpf62PGDEjEN7/79Q4/sQkSWxJn+ynnwZvpjSgJIjMvC2x51IBAHEDplBQ5PYTKdvr/lXZB5aG A/T/lJAMOOBwcUD65J0ah1M/+5HEuihcC0devtveqXHFURFzNXeQQZ3Zm2n5Ka0tNyJ6Z8Yx8Sci ZFC7kYOd2wlxKnJAA+HOwYykonidE/yTkPt6KElV+M87M87TtrUkYLVQtRChBsa68o4/F8P6vBPj OM39KydBi/Csvbvfoni7kTBPDzXbb0Lj6nbrBn1PgI7p4H6nxRWxsJCwzTvYfutnzsTHBdj9HFI0 CUF5hN6vJ3JpFCQ2jA11T95ZcUXOXaBEAUGiQQH3ie8nj8F6grDPOynOTv5nkibFU9rtgkQBNw/8 MzPTk5KmTIQ4JHI9Wcfu8HTVhosK2UgvuIaaD7bhYD4xwQtnmASkKnAjQE1CiIxaaCN5mVaGTe98 uCKPPGAke/NBRZJ2wiSG+KBuft7ZcCVfFoXCJBxKUEX0OQor2Y1mBKn4oTTX9kPJnHaYlKB3qTmK StnG/xYOi4MnbucnTpQ5BqatwbuJ8l5Q6zyBMhTWZIwuKVnUyz7vXDi2XOT8IZTkuijJtQZFpQAH W593Klx5YLeWueEaKPTX5SS9x9uogRfKLlw3AubkAryXti4zoDgC6Z0Ix+yWBJNRhg9erYXAArQX 5Is6iYeBO0qGhQw5qI96DsTW+LpBNZJHEnI3H/glGajbZYtjf955cN5+5pRgcqFk22v3gv87DQ5P UbFqlenI5JNyeVOtz3IX0rX8fGfBFWknLqBAsw8WJZ1LCGC2TlTNTCiosUpyQoEfeKRC6uRKWXJu P+8kuOI2Oyd7JjQSziasNJyzYppL1EmN9uedBFcerX3tNjSSW+n3RIqK2o1UnNYu87TuerPbWb2z 1rOrVb1T4JiU0F5PVe39VLXPKT37Oa1TN9Pv2RglwNvnvCboBaDHXEfLpdeNQkkm/4PZbXKJceAf 6HKAfZf5jsFCWvN7jrDPYHlrcxSF24jgQIe/ToSLUsIKk6jnwnLbBGdCc/QebqsNfn7hUu9g4NF0 DqQEHg7LJHtmE7lEV6SdA/cHGsEus0sBy3fuG8uubI10yen19WAiC4ETACOPzzv1DYHovf3VqQQH uvt59E58Ky7afE4Bv/1bKU4r2eQ9K/8HwEMN7DjaZkZCgqCGu9f2OS4KpYjPc2cLEwpQ5W4LlVnA aEzMC2vh8057Q9V1SeKTIuDbawyAr+Uk9e9z6H/eWW/lMWuy7ghMP6CUZlMUYkSGdWgvN3C0S5RY l+ONAr5NUQwSmdQqgApO+XL9OilIp+4wqmkDuBMVCjYKVgWkaHAqKQ2HJn/R2wZTBBf1tcwjpRp+ 91wuszGlIqT58054wx+bPGfLBp4gLMPnKAq1GwuSnXJowmnPdHHaw0XhzqKYRjR957sRaMTTaNGw goOfRnD6gI4ylxGqk1VYACQCRLiu58Ru9rZBztbQHwHCywfL/tEKFqC4lM87140HdvpaRpXSF7cc +U51wxFCaN+k4Ml/CgskRXR4eDWRJ0HSMuMKTtF7nG0MUyD2pw9eswVypAGqhVJnXVkReYXgVu/U v0j3NJrU6XgnuhVzIkQWQuoyUHFiXJ0fobDm9XOuzfJ557kxYl5f4VEFKaLelO2d5VakkQkQVjIz AohBDwOy70YJSwLZsS55Yr+T3Ir5EBZEnj5oks4PAHIgDeJOfFfrGPxXpKILCl++RSR65H7eGW5e 1z5x47yDtsw5TBvj+nY+4PPOb2OUXb+XkVgrw2foPcpWtIF8/wrBzby77bROeijvNNS1bYaiqnbi aZTAebbBltGo80SLU4islk7+Jk/HRhwN4s2+b3eEicg7tw2L3k7qVHzQaXTCFjntnuyTrxjcabeo rY7cgvbiPYyiGLuz3z++RDmSOTaWM8seP6a1XLPrndh2u7W70B+Fw81oASrrbPhTvRD7BMqnqOyi m0S1amdKEtX3zmtjRqrTCA26bfUQZP2rVVNCX/gZ32NsLAhfRux+n615znkPH99JbYQdyVKvXMuv ltzOaglggzkC5cPmKKppm5wgJbszYEU4Gog7gsAaJKWFfNxJtRF6XkxU2WC+ey12iDN+Z7RZ4Z5O n4sDjkhuECAYN+O/fhbo553RRpznzWgxS+C1Dtg/2CyFQXbjQV2Wq7+VVT0TMQN5riQ6T3CWwqI2 xeKXODMcbLOdj4REFFcSgFQdqFNka2f1bjAyz3Fylb0XLo/PO52tSMUGoWNXIAmiB3//3lGdwixB ///zTmdjC+p7uwEv1KEuaJMURdlw/T23Wunuirqo8C6JvGRIG/IhrD3yzmXD+2eZoohT83g0nCkZ HZQXApDKANCPZTaKXwF0DOuBh6RFLts7la1Is+e8YRLfNjnfttWRjQRxPuPzzmSzl/w+tmFaP71i +05kw5dunknS71xEkCw5opwzSYoAi5JKlKUEEDJGkFD5RqntGg/SeIJP3bAGkNPC5FUGuzgHN6am 5MfyY9B14J3HhuMhiYxMIElawzXfoARF7HrPdX7eaWz/1WdjGEJFI5ukEEJCkdMq9hVhNuR5nSu/ nKcJgAR1O5NBhZX0zmIjzEzmegJD7gcMCX2ZrIrfiSbhHkcfwgF7yE2hPTiWWemf7LfPO4mNiEux R+cdDC7Kr/mhzc78vHPYWJy42YioN/DZSV6vfeewYSVNauePL+9YBE2ErHcybeDLIBk4raSoqq32 WVssRXKwlQS5G5RWO+u1ZXbIoy20Awjxwc5alzpqVe13DhvWAlu2Y/fsg2YJFw4z/xNp8PGozOYJ CY4yONwA4uKT9B5sAzQqXH/J1o1sCF603XbN2bYbhUpYQnrnrzEfEVx0TB9uCDBhptFJozlzsxFQ g5gFzB8dbza3m9SXt71uEAJQJGFTslmD5gh5oIFnTqz9Tl/7Bc1mL2VaF8vmKIy1xTXKwwFbSyp4 BNoks93Fub0MWPtOXvPWf0lo49tgl9uJmEA1z9TkQo9h8PCqJDSClJLhHmGJrcoj79y1YgZy6I5s HxRt4yLWbqutfd6pa2wbfIdJK1PS+M5RVNMWP6QQO8QAAIQyv9t6uxiS4vyZd+Ia1zHLa5JK2N7w oyQ84OVV+kk7s8YNvijqW2cLwkH2RttCR7zT1jz3x/JZPlh55Oxn3hNn1sbnnbRGLMhF1zYtP+hT 31M7hpBIqPMi2CnvYAj20iyUBCrW6iPvlDUC/1AYyTC7s8HnCBpMHXNEKMmJNRkiDBaTzx/3gz6K R9taRlFF23Tgk5ZRusto9iYUHXKTzztfjaeZF2vx78IAqY9Lonlnq+GpxBISlRgM5O9V/9qnY9gz imNGgwijbSZtJ+hNPniVDdquqVO8G2zI3CWuD2EBWBD9kCZqpxHho+9cNR4MWD/As/igKepd/HdW zT7vVLXfcC3qbABh2Z4IKYy193gmpuofvT5i3bbCOYIkBuojLYZpM6HPI/ngie2JeiATTS4N2rXD Els0lMBbAyXB7CwBvud3BFwsMrP3kKfHMCRPgXwojcJ/Tsya8XgQRD44ZAWcCDnurRZG2tlgf4+n QHJ73V6SwZARDxt8tEX17FTUESF8lIPdautkZycZGYKPnD8si3iD2g1qk1RCuWqT2mpRoL3kJbAI suGggnZtOPO4jsrA41HX/xejD9gE2s0ZnyaKtLscvtqXiKIlalDsTu75uYDOEKHmPdIWohlk1HkH KyGlBOD5kp/lRgDfeaWg19KwPoE8sUBbfgI9Jj0SybaEsV1+8ydI4HWRHjcfD0h9qX/f/MDY9eEn dg8r2rz5N3e2SSu7fmKZu1uVDQKsVkPqEXxk6BzCOWyDTVGD80WXqMamowfVOBfdkjLyTJg/efKf 7HWDZITdxzXJOuKgOUqz09nu7J+TjPQozn54WYRQACb+lGt7GGYXIUaWV/2X+CMEj7RxPdFRoeat 1qOKdp8zAEae1zifldiEPP8DPECZsJ3jYAO1T6axl2sJQ+pRlC1m/6AQAvoJaPB6mI2SIf7wVvB8 wH68YG3CR5F7j3Tpsz3kP7KdzSqRcn8At39cy7yrDHAikeLgkR7F2Xhz8NZ4InFwsNZu50yVHiDE ZmCSip4/djXyZTRGtgMjQBXW+0Z5LdG1heCRKhVE4kcnNDWwhU5Ej8cjjujtjqj0jytj37UUsSCl V8oempsIGCDynNtjOFyrXLJ6j9EjTP4LFdw4eF4LPvDQKb0nrFcRKJwDfBPkAlt08ETt/mdBskd1 bQglwCqHZaQFAKj6PhOQCsMh7Ynng8ttfB9KSHMHBH9sksJQm4jTyraEULYkxnPDTcr5EYeEdrxN UsiEJBd7t3qH20Ka51dOrLXBJK4DwwBhu5HkR6HLzQ4lirr2CK49yR+ZlSCSWVAoYYB8ztZBXsUP Fj2e/9eGY7QAmaZ2+yM9LG2jUw2MzWRZBLS/uUwUn0WxLD5/Y86GkzssbXO/EbJigxORkP1nSNa3 dBYRRM1RkCxAim/0LGiQevU3p173jzLSWUICtqHeYEL24DsBLwu9rFrwAcHZ3X3DqePUccfdWXoP t5GRkMoPdkLmUho4f1BSIjwS8lpstQ3QF7iUonB7y0BvcZ1weCgkA6xcZv8AshWwldIAFgwepj+4 v69nB9U3e1TcrtmQ2sUHobX2CVeTiRlMPB5k/+3iR4ghxU3woGx6BNjuVNAobUv5Pidom5gL8eL/ SA0yFkipQdYjAIkUb8/+7Rp4NOJQgtbpWT2aJNpTTRzdHWroG4jVMsdttqFLXPElf0Ha9qQLBQfx tXajnwUC7sXHIzKSl0gE2IZY1L4Ikh5G3JIZqUQgs9hGcpwX27IV25A+2n6LatvqazNLtsHrSAuV o1oJjjxJyKCrZaJiy0ZFvkiQW7pa5I72CEIiPbuxxWMncvnHSG2KJussE49HBO3x8122Pety4GQz gnYUcZPXbf5tpiSZrmiNoiaFSsOAfyOqbU91tmnhpcFR7QAsokqCk7uNNYxjOvE7gpgB/QlRSEyN e0QRd25qSRK1xUHlf3Q8GX6foy3j8YD4N8bX5UaVk3VbkiOKuLHLssmEyW1pmw8FWP7N2RFoTxqJ Pa5sq882tw8eAZzbDK3bSVD7CboLhaJwgaPddr4fdWIXHkPfD18S0dhZiCzEj26AFYcaSan2QcTt QHo7wtL2bf4X43T/jHzjpBEDtok/busC/1oxpA3kWg2MlMYePktRaRuZ2AL0pHHgITiYuuGe7Cbr Dnm21QV7AyIG9wGgpO4ScDb1wHcE+FGS/HOhSQCOAOPZgFhAMFE//w+PRz2SW23jHPGHueX/EcK1 uxwn0vZq23ZvDjCrLxUZatDabHFlm9wRWAFwSDZH8J/auNpZkUwDnW86e0G6g4AppRcKAE5MO/Ad f0HapwwC5mMQANUO1gPa2YB4/F9XW2X5EeX3ztBEkxQF3D2rOtK8bys/DUGRGbMYh6Qb8G9EAfek Jw6Wig9P+f8c2zCeRy3p3LeDkK2y6cqBpoWQyELZ4hDEl0RHEolohTybAqtUMYhOerh0QJ1sOuP5 aCl9k0gKijf1Av9HGHBvLp/65UO1p51JNREYQMaWrNATPylYScS0QxzNB5skwOQrsjli2k++3qi1 Vaa0GslI8pXEZfwZIVqbUpEbkoQ22P0PzA6vtnNJf0aE1oY2gJ1IjM0BJMRJZnMUwrWnGEjJYRIw gnByxBiXHFFcuW5EcG1p/u7dtg+e3zY2GJYnJXkSbUJkL8W5e7mWsJg3vm3UakMECdyuhsY7qkB6 RAL7zG5HGGwvX0YCsbElUbxwO6LiNsR5SWpzUntzQcQCkXrfbIDu2zqKou2p5sjk3bZFIMncbANk KlI1UbjFmpU149kxDXEH1rLPEaDC+I6/QqSNlA8D+jXcIOi9Dyndnw2I56Nem0+SiBRYQ73cEymM tilkO0u7IFJhJSehyMNxtviTbJLiaDt768MGJ5Cc9GQDZ0PzKVx0aDkl+HWheTtRJrs2p5uB5Aij bdxtsNxoZGwBZZeMHQEGCWbs/LILHxDUAOo3qB1U6P7obIw43OblJpV28xD0MGmIlsS2rVBJ2G5/ F7iJt7DBEjeAtzfEyBFud6KrGW6bth+YmLRTapKLanrdoOgmQ5dqvC3gTVmOxGvLefEcTwXPR4WS C5Po/JfBqs9X7yescDe5U89mScmJ+qqL1mVT1jx3U6fsaOcn/aHqB82n5YN3k4Cqgk4hykmUx6CR UKWwMfoyEKn2hhuptjOEklQ6dm75ve1k8LYBkW3iSHFX4fFgjtp39RbSIP1kej5FUbxdqTtWqA/K KSqToBJ2Q1FnUXJbEChoisIKN9kRq7JcwuHJ2xqsrwlvK9RWyaRsI54CYtKItga2XXrdSNSGKwhB qA2ao7anRMTbWap4PDqTvpuSjEsRotkcRdE21akAjXX12j1KuUeS1SrP7Y1KlXSjYnpkFpmt+GCb DXUS3D5LRNs+EXAt8EpAIkVcgfTQ1SGpjzDDCjd32fn0O1iVpHQBM9EaxuMRArB/h9ug2QzcHDZJ UbidqWrTe/bO7XIP4dKKs7joKmKX2/y7wL02NxIHW0jzHNTnJElLwtplJlm+AEtKpwzcCdfTdOlt IxwJD6TBZgAHmyPcnlxHBepaUXl7X+EfyiDDi2nfHsCMgm020iD3ajFSUemU66hIu4X4P0wcD+0Z ivwR9E8xHht8r+FY65sCZKBGqgAOuG3/mZTiQejg4khEbc+QG8mUfadefRDcdkLZl3/3CbvxeNCV bPvXOiKJZdwzO65uS0dzOqu98ZuZ2iLbskASsis2SRGQpDOQXMpI1ldGci7/8//hgnuCpNFh4LJc Z2N0cAAZbCtIovXkjIJtUpDPijRnEmszlA2B/CIryTHweERE/iWPII3g516LgCRdjq95OF8b558f SDLrJEpC9xrmKASSSE2zMp7kcFtug8673epIPTGQLOj2nwgW1+AViWahA9/xB6l9g/ylwdL/Btl/ pm/9BMJ4/J8QSfzLeSJpq45IniE3krW2wRBMcWTZfmhLNlxxJOxvdB6FWiQUhoZStg83sz1x+xi6 2M5C6mDNn/OIzr2UHU3Xs4WtRXzHX3yt1Shcy8FqbTA40ddtPh4hSe4csbKd4AB2VbZmhCSZW5o2 zc9sK5EQkESCjwOSDLQ1o1h78GKjq7MNdrGd/wFF1SrR2nZO50fV96Sa+FHrNaKmjyq+JMAjq/zf EhmSADll02UFLJ7yYifkxfMRJKl+3f5ZBg13s4XcSAnvUFfA+KPJ0X9YP4YlqcVRWzOWIiFcK5FG w8EWEtw0gGGU4R24BOZ7g78UFoq5pivxT4lnfMkf5f/d8jMYJgn9V6UYu+PxKIrcv9IR5IB3s60o 0AZ0CFS26WWknfq1LZUlLstI4JRwjlYEJRmy0xg6kMZzIJ0k6+T3kiIBjrFP9gnOndahmAISaXWV DZH+Vwgl2azWdmFJe/Va29ls7F/8tHPA4PGAHDm/A+3zp+Ju8/hoRYG2xEdHz8OnqBBoOzlF1e+1 DeUETVEYaA/JRrQ72BShJ5Ka7rWMXotq32RnddhX9f74386tt41E/+h/kCnwS4yr6qz9XPmTPwpQ AXg+OpDmV4CElML8lDlHoYZ2F2K73/4IAemmQ9IvlAT1F81RCCUh3AabUgNBVIohSzlHWyHzb8Ng TRIKnaK1lC15xCOhn4bviAptrGdTC1mDyJFttDoFkFx8/F/6GnI2HSChXB3tFbIjGUSOXF3P//zk l0TS7GSCCkkz17YVsiNZsYUQvQ++js7vnkajDhnceODli62GVKCjfYuSudPaiGxbYVlbCFtC2wEn R1OcR3Y5x19RfnES/xUF2s9xRNmGXFiNuuS/Fcppk8MJOWerj5inxuY2b2ZFhqvE4DYrpkeyHUlK lga72c6ygnH1tlk6i4rtSIb3sxNWX+eDtpl63aDWZmgk1mwHKmfVikhoeiVut5nxfBQj3bwW04QL 6lzl3kZaUaxNygAwNk5IOptjqIoE0oebHiTEzpqlWIiEmoeV9xYHmyVQ8tG3paY2qOw4C1Y634mm LcjZNFGR+SYprPiSv/QjxmrFByVtdRDOS5TcwOMBkGSt7yAJtKLebtN2hajtKsh/Ve/xZm1LhCSv /vPc1SSFwTY166cKaHPM29mu4A13rEVMOyillP2Zm3UCHKVk4aqKBN8Fvu5fkzRLskHGWufInNy6 0IRIDY9HMlvf8Dbgtsa6fKQVkiS7xFqqF9rESSOLpNP7jTiStihFhjmKYdssjTSVI9tTjjwbIVVI nJG0hfIq7v/UwXU+PxFUf/q6OJJpr/t3RtKSMpL2I0TSifKSoNiotK2wsv00bZnhVYif3zkKYduV m23fYLuNPr36/5Bt22oGt11hYZsLaJSVfHCsDZjHK9HJjmXPLYQEECQsEMPAyJq2hehrfElwcqes KHv4oBPppJoMeiklgMcjgfZfZe0KqO/tkKywrI0L/dwL1ZP/JbIEY+3dXdL2/Ekm17rCWLubJFLz wSttZw1WfBgVts+moIjyOUAAPiX5EGgKj5L8df9oI52TaN9BbKSz4g3bfs4KPB6to2s0wqgTmnbr Um12FGwPtZHqvByJno2RfP6s60da0JFllLRDhiSNxKbA7fML3A4R+5GyOiQn+pusWMJh+gSCiJJG vxUSOXDvKNiWAfBJ++6gdTQhlMt25EgLjweVtodGwglFsDVuy3a/R9t4jE0RjxkxXV6NxArwUlu9 dho7liKhKPKiBTkHZ5GCvTuaFO3ODktzabPBHAAQL7JH/Gaj1v8OgdusZ29J2u91S/8VytT8u0/u g8ejQ/vebJyQjPioekqyQ+Q2WYojXzl7Ev11IjE314k0h4u17zDcJv1/DRlEjflIbCFGonE7e7Zo STJrq+C6EJOPMoVNEi148SV/1dpOaL19MJbESXOFRyp94/Gg1pbrd9qG6DZ3z/53yI/sRkgyzhZ0 VocxSYAfdhQJznLNUYwiYZzdxW/H4JktJDzXkHFd4fnEQBJRHE1scq/X0XZOe92AkVQk+zuaDzqR 4KXOTjraDHg8YpL4HE1LbRvOYpujELVdiSLNTNtISErFlTaIZDTvmu0gkh3zIwnXVhDAwfmRUEkw sfZz+886qFR29k2FU2jlmvdSG94D3/EX9x/z4YOTbUYRvBG8th2KkdwokiE6xEwI3bM5CjX/Jiem ZTdGmHn5HElNlOuIWqGaoxBDMuUPxbSNg4O20XRbSmQ3tLAzEVuVNzkamFL9FWZ72dsGARJhbcsE 25ZD/+CTm7uIWDi0Q/+aS0VmoI2yOrCaNkURhATWaqzHuuLfKMXdR05MkC5pywVbdqj4x1r1qqpG 1keN5PwjukWTVe0TEc9K0jJoDT9s/+90eW27d71tULFF3WejCeWDKraIKqcERhYfjzK2bwQplkVP 159lh3F2+bKtqYoCpvGR9sju8tupmINu7Y4RJETZmNnYGlfwj+35Dg4XMG3n5NC/2cCLJVHlqdfK dR3fEVD/CpWRslgS7FZwFcFqNPFWO4cDHo/qbJ6KaFeifnWbtTsKs/mnZ+kJGmVrOqvtzJY5/GZw GWyK4jCbiLYhPrIInSrXnqyxzkEBUjj8pMYtSWenBukeeAk5fGRPvW10GHGHtWewjXbymiXYXx14 PCiPXNkfprTorU40QW2OojC7sce26jLxKLOglNnY9JJ27vRS5E6L0COTer9bHAkOtxF5gtHEJZ3A j0ydnaaGe4+mnIt+9sIhs1y7QxmSrObaGD7oTuvnw8ykZQ48/r84/Qya5qZrHJGiKFtCbVOqWixL VrJJNyOOKpj7ObCxrOU8nmLBP2pGj1F98OIIZf4pyJ46xQsXzexRGgCS5Hwc8G8wJsJSWtveOGCR VjFtBdum7KS8WPFzVHG28uQHRKj2K0NODNw5sielpGyqYt0/lrapC/YfCV90E9rAua1Zg85WN0IS PdyDtI35mkok/SmRAGcBvv9K1I8+JzYi6hNP7nPdnTyCFeKr2cKqDL8lQkhQ0FZu0f16COIcFJce 7Wc+HyQl7ZdIUsaxOJHj+kyFtjaT6n/FfFoQcXdrAjQUTazgdo5fS93Ihw8uEOYlUhrt7eqRQ10R 3Zc55Nly9nMllARFjw2FW4K1rtFotheOcBJiuHHCFkBA4u7BLYkG88CGLH5AEC7diJKNSezhdCk3 OUVVbmxTQG5MhfT8o8JuwUmnMyXIfLOJCg0jWdTviSlKT8sLuAB6gMMFJDk0kuGUU2nvWym23cm/ ffDbBEvgayK4pADc6Q4qTi6YBBN1AyQAno+I7t8BAbz8OhiwPlFR7E2JRQisTOdLtOlySXUZTAmW G2n73osq3YMaQDMzJODgPVy0qnLhWX5mbPZFUalmquQoM6C7ZXUl3vP8msh1g5XJTq4yB09RijYf 8jk+/0+2O/ce9sGjUJZTWOwmA7fm7TVKlBONEYg9KYZSYqOgyJosrHabVXu5w40vU95zsABXNr0k JpXczlFOqwn4D9wiZdr2wkG+y8ZSqjQ35GDVpdol6oIflc//i/IupU6oo8/n4gvr3dIukq0EMRSN wQHq3Wi72orCVvKLL4rDJ3MUblIbLinwnEuI6lnwPmHlxr+CxjfQgLxIWDzRcQ76mb4mkpcarC21 5YOh+1NRk72cyebzEcP0CpURZjkZJjxed7FYyRA+ed8Qofjea42FS4YIYw/fe1E4Lk5EVwGlfxVQ 5qT5EwivcHE5MT5aLOe8QlcggeILOXevoLClzK+JCJTsM8muffRijjdg++DnpGB04/NRz/L7kAJn Y8yvQyrEmZAcMNZVB5yrX5xJMdFp4JWKu7mlSLJEnserCxzYLziwAqt0suFE1VvgDxptXkFr5iWP ntwDDpz2vn9pBAB86IOQb+dwy0Liljb4fLDz6jeIAj1M6MPeaYpB3RIEvmZl8Dn0DsG2YB3Za6s+ TWH5W404a27vcbuWEARK5NmCjsugjOYJFfpJjbduchWlQo8ifktUbaLwIWAGNmieTvJFI/Uz3ww4 Q9+bdo9y1u/wOeg02ESFLpMim5ohgMi5BugCw3i4pPta7ToohngT9gm2PIE5PGBTaOlRaRpHN6RY EL6j/tFwmOZCiWA5cdJuOwc+k1i1LMst1pw4qFiA25THFaIzPv9POQXSu+F+h8K0T1TIpsxUUFzD K3Mjd1ebLstSZJhOumdyDrwm0SsmCQ4dABs0UTjIATWlb3sCJFvB+1jQ8UerjKplpoBHx5Ice02a SADb4Rw0T2gcS7pslcrng8D8OgQkX0/w7fNpCsNysuCENuI0rWRq5cgqkisqIQZSzSCHdpOraCEp L56PldKZCaiW9az1VAAOwXrC+dQgLTfyZebQ7IRf8lffiSQ7DExwVcIUdgYl0cHno36BH+MMAlBV haeWT1NUCyctGVW5q6pUim+7QhKSNKdb8vMpdJwcuO8L7UhssNVUF9QgCC7daB+AqOPQ1ErZv+0B 1Jm0bO/7lzZnLyRUcBBABwBQFsPPrVn5fLTr7vHEAL7REe5ZTqEHDppK8F738kqnE6KqdH35PM3m Nso5cJ00odcCDRcfvAaFVsBKUlaaKE9RWakS+g3lH/R1PHeRtFIOfSepqAzbCq4nlQGQvKOMxvpy P+EQnw+avat8rSfqp6z0HOORQjckIdmk82rm6qv4thup3G1HZ46uj/qjxIL/Yftg5UxsO5ipkhB3 jqph/Bz8OB2aRSc8u0DmqbggcJ50K9w9WdDkIODguX52U597FT4fSXR+2yqgOVnGdcLJgfUk2N6L 81ScE8/f3che04CWKNctN8MLvScX0Uwd0YgNjveeewA3wWT4LKaBVjhclc/hPUH0AiLoFuxUiQrN J6eMuRLRgxyMXXGWkeoruSw+HxzjF85cRYsH8LdfDkoODChRX9kqbA7Phudl6o5cXTy4Q4TcZipy xDGtjsJ4k8MFopxZoiw+qLrEpWCmWCOZsGSEF4XHBbXa+0b3HfnMk/pvHBRAgenIQgVE+Ph8pPry 7R1w3uQHt/GdpjgeR4qX2EuUzJLEhKa1eAyLWmdpPk1hkXxLjUqGb+0xfIO/S1t5mVw3DivUNhs0 OgAb/KH9nqfCvdkL/62Oz7hgO7fsxAe9CytfVit8PuI03d6vAooFPOotQ4U2lJAYx7FUPRcGNd/W 0+zTc+GBg8Qm6u+InBrTNvjOO5f2uT4HsRaoosL08kwWiu8LoLJc9/g/mUtoRFnU3KxquVQ/oTqE ahVA5dn4fJC5lG9Fqgzfp7Wu3mIOvSj7+OppsmhAsLlkO6bZ5EG2Ay1HpsKhGeUacnznwuLgqXBt ANwhnjuPJSQt7ep2AGCJX9kQ4BQ04JdEuFQqv0/iLqBPoBsPiODNMsW5GzKfD268C92RGw4iza8i VOhHqaN8MgDzNvC2IpQZvpO3C0C9iiuhIaWpCG2ZCO7HRLBBHBcS7eyVAyfEKy+hdjNR16Asla0n eXaHhpTCga+kMnAyh3ks0WY8uZOv8vmgqvlICjKqxLc/qtQ5dqSklVATsAnrKStuUvdl6faDnABS CW68wJLS19NorNkNd0IWLxWG0VNIMP4SRBSi0AnGKoQpHHV5UuFkL/wHgmcXhH4YEpyI8DUZWCoi Vc/C2vyAf2F41HwhSeQpQoWulEkeHky+WYQq8lzmlbfYy0MRKuVefeeFgHDawJ4rf/ngRQNcQ7Dx oMz5WUokyUGGTzhG4IXb16W37Y0DcAGjqD58EEL15ABT2ekP/Jf5fETj8WSYMEQgzOH84BMVqnjT CnY71gKOOdn0YIpZoDAXXu5xlgNryisKu1Q7WP2e5WgmgbtNthMqIpmgH9Ax8KLo6vV5u+dz2QtH IAwRL2m7eC7Tc7KL7rR1OYDAvCY/IGLNz6/DHNFBm197LyqUN7nB1jIcXygrJmowXy8PuMEmn6gY q0IKZqJdBQcvlBcgUgrBc+cPPB8lW0H0OKnJNuZDVcnV3jcKomSVV5IPuuPP4mpM+Vuvjc//ixZG rgpisUepOocGldI97Q+ed6tBTGMYGt+Zec7N8gKHSusnFOB0fXAwxgkoTwgrplpFKZIzObAVKxzV yr7GMPQr5ZcEaAy20RvsgG1QaJB6Fl7/TNPm85HFkCcvjJYQYSDc82mKiuSDUlVtbi8a9GvDBAkg N6qCiJYleYFJpeOedxV0pY52t90CEb/Qf/HsmpNTsYeVYWM3oJyTpQzXuO1snkLUShXLoE8fjB12 7liiTiDQzOcj5HP7Wk4ovbbVnnmKYnJ5MUEO2AFiUvQgbmWV5bgV9CFtnmILHdbIp1B080HRoRCx Sm9EiHWIVrI+DCQC5JPhPFb9dJI4XA59KivdF6mWZ4OKKydcnCI0ZfTQA6NKRGB+iuNnhDfNz0Tj wefpPSSH0GTXXnMDvaU6JopQiyQsHuMD1RqbpzAkZ19qTF17JC/+SCAG3o5j0bEibWCscIwnynwM UCozj6cp23M1hkO3SrkDpWVGMcj3VdPcxJwDB1s7n4+qUOlr30GqZqxL7c2hX+VUTTN1F2SyfIWO THUlR0ADUqXjKTaslKbXfysynSMpATHG4wmC8YW0TfpWVppV1kumE0w8h46V2FDgFK47CAm1gRnn Rc8SeWBZiQDsG2gA0vRY19ojh56Vm60EHBsXUVebH08tOeiwoXel5RSYVmarrWw2VDRcNP2YwPey Qn6246AEKmiMC2wcNNF7u/OkWl1gW5noj3V+kE0JtLS9tgLzkMk8FpAYPv8vxUFG5JBl6qk86ymW +U5Mhce97kZz1sFKtVlUMFDKtvUUO1cSulLEzShf3Iwz+bVImbHuPjuPMKDrK37Rs8Pwodbr7GpO hd6VElOYEkOfCOVVNkJAlVhwPslA5gdESN/vLt46HzBZrLeJCgmaBF6dQ9b54ovgUDOuzte4ujti PP/hX5lFp1s+OKz+xPsnw6bywNkhJzYk9wDH9s8GniwjgsER/bTPAwNLGb5AvJJaqJv8cXG/wAIl dnaskfkBEdDg+8ajg8W67IMcW1guksa6BZeocG4DQ52ka1kVComfV6ECD0s/ocYkxpCDZy4JcIkl iYaT1FFMERXhKhfrH4IPHVufl71wVNakOkOewrNCFpx/20mIzqKiolBJkx8QYXzSd6SJQOOrXBc4 WQJngIAcNoyWDCcKLDDFg2GdJcO1ErmCFC+0srQrb1PSYkiixJArcMaAw2dLsJqE4AEnCjEXiguZ KESj/KRuLxx5fpASTdUvDY7YBFiWpw6qK4Gb5Xed3KoyXOZ3nqKIHHhjrKJ8nQgeDcLePSI/+Wrx okHgZwlqDMt0yl84+M6b59xhj5lWBHT+YPW3Sv6SVGM/y9Hl0rdEfTwKEZCOqEHAFXA8Sf+CMh2f DzKX9A2FGtAzaA+0NbC0BMJH2uiPgPx+vJqEJBv0/Jp344UhuTiI9LLWcIk/iKg611PJ4EpnGhHy ekiA8JcxLqtl7WVvHOy8LGK0iHbTiXYbSi78D1CS4/NRJnyPcoYSC8JfD7I1tLWcNP0+wV43zNiY 3UHAAgG4FbEjfGJfSzoRzNybDzZTkNpPpBJB+gu6U+hlJRBTf6jJn5ccb0VKaPbCf4k2wszHB+28 E/YXAvraXovPB8HmdWymmhqKJD8TBR6fqRBSznCzQT02C7uCs4KFTaCvUHuCjtxApKPCZmhuKTLZ +XeLD5op0IH3GhIAPWErzISAtZ9YhFhi59dv122vUIGEXxMdUtJsps0VB916oPLzcsddweeD8GB8 OxPBt6G3q9ycQ39L/HXonE+HZPS2vImO9M+b6GRuMzwIDC4RaaBsAEkiHzRR0G4+t3mhyMX50+DU qFoxPUAYwzweoMnf94/05Vw91LlcPDqUDlfkjz+ONQgcLr+LUDrLgVW7crI5dLgcNLkaj8nVnst7 6Gu5ORHKmp4OhxaXi9rWYPj6YOlwA3t6CoWY6gKQk9U6Fr0rKOqYN0vzhqKowOMS+5vBATpGNhiT 41yGy2R39Xxw57XvKhTEjXq++s05NLkEsiibT65BV7LzyoZk95AONzKrtZyisNzKBmtXH26H6rz6 pkDJyZMSYg5uTdRGWqervLfQC7Hv/JK/jC5O+i6fayybYmUouGngGkvoDYc2l3Nc0nTxoxwVQJ+o KCyvwiDm4eK7Q3pg9Lre08muIJb6RIVhuRYUvT412II6GRFcdxolQTIUu8lSJBcXMnM/LL2o8zIV QwVOl4mYSdBcZXY93ez6nLMlb9VXAIUKrS4fqWveeOfPHvm6XefA6xIyRWwN77otNgChzWLNQj4p W8Nt345nbHZJ2sswRYeHzFmBy+UupklxaxD+QgwFU7lB24D11A2mWuih26VhNXfbPqhcB2+XLmGd Wfn8v0gvUqXb8AV59t3ffM66c7V5qoxhKJ2SR3KporSnt10Cw0vX4aHqow2XHnQig9XoUwyJiAI6 AkVBacx93nt9Y1e2vXDAoiJmrC9GUtRikFQVCA/8K37mWan8gPeDfO1fXDMoAiCBvjMVRuVJofhc N9p0rwLo83i0CTkJn6koKh88d8ZO24cHk3GubbAoT6IAgbZNs+KK5tIGrBb4wbvzqDKXA+PL7IWD ThALB8VQKSXhjc95oef/hYaiLHcvdH676UvofQmeLo3Ubj4sb1mleYYExlHePc0LzC+l6rvo++aD n1Ad9uKJjDOAfqERiaO8gKOLUhSrdibFK25Q4H4p178us3ob7IRacCDnZQ9qUGB/aajLL0J+GT90 stQ8fV4DqAqNkPQ0FHhC0V2RHCoqeds04RjmCfUaPtWzoKWeChtrG2ySUoN2LbgIWE0oFrCLV2QX C9Pgvh8CFV72NXiqKK1gijKdDjUoKDgBgpT5zs2Jp18mqKJAIjDG/JGy8fniSY4wGxjR9PCeo4ME om/KhBbXvGYBQnXffTvnwfQwXsqMtWywcADaOxNdYIqDFMg7Uz4VqK1OThCa4WbosHQsvc7PCTkk xIOM3QbNT4d7KeOgE8x/XkOmCjZDsvlh/jYIolo2P68BUwVShSzzMlw/rZern5ZydmWwSV4O5uc1 XMLyGZRxRK3DBoOwApcy4ROCPXb+kjnk6AgsG9Q01LRzn4LOd31fPiqGn/Bh+KC7DRc0KSL1/Nef 10ipqgCq6SEUH8FaJdoQ0/MaJlVTpUPCcF1TVrtql3QmddcU212vQVJF348FEVQWbLDdBSj9HuAF QjW1g1/OfK8SklOhXHaDbnaSPq8h0vmKrN4T9AiJXq3yhz+BChJN0llWz5/XCIk7Jdv8aK1MVJp8 fl7jo/MQXa3wstfDmRcE4+0JQo2jCLy6+xodVWGwkdIy2R3t0hLPJG/0Z+kpcc7EE3PRC6wwn6YD XwV+zfYX4Qyf1+Coih0I7z3BnKrLpQN5PCUGeu7Fz2toVI0xdycIQf4o9/x5DYwqCgFN6IGrTrRW cvQA3WxNnWhZfvsaFmH5ki6N//PhgizgMVtZMOlQXSdUMw3gFtiyKez0WhuTYfZrUIRfk1zpWakE ysG4iCcOHNo1q3xeIyIsoHY3GAvfCF9b9hX0Gg/hVM9yk7mqO92DSNTM6vJEpLlQ+ms0hAnqvOTx F9twAWAgMAANCRmwNChlgf98DiDYXf9kkGl9izFhe42FqhEyNv3EMACMprN2nHx8yLup9s9rKMQD bHytIFbxNgu5mKDXOAgndCP2q20XARMIXTSocTM1bBIT3I1OaP7ZqxFJyMFLbmd77tHp2wTG56YG ZproogAg8JP3uvCT2fSy7zfYnOa203wQqmKig0Fxggkh+eCIXn18HdHA5fVOWCrVIoP5qV20w+2l EXiY2RFEHodJyVBPhSJpwRGdihRkKd7AwRP+gZ2aIbOZwECECiWd9k5guBcAS+jeGzKO8KfPa0GS QRaOniYXcA6qss3KmJiiTXj6fX76rjY/JLyiyA5Ao03Qay0Si3arsXTpYb2681eju6tBBHDkS94i OqOFGZy5++AZLNQaMthG6JZsGByxQwjg+UZgUNqYFw9Hv6bXMmSVdDflGpYPQlieg40dTtTq8IbR HTa+Q8QNvMv2EOidP4cdtrdW0PIVNMe1RmPWrEu+u/DXO30OM0QS0y68wjn4EuKdT9WfVCAlh5L/ 2WJnDfwglIHu95PjN6kQRHsMala7yvyLg9ZQP5eh9M7PNfl5J89hCc71dQghGOuJttaCUgdzlHhM t7K8XkR9NzumZ7+n0HT7uHfmXHUD2XNaDR9sjtArPyGe2t3nJ5iAKJ6LDFExitv4CbZ3cfmVn3fm XHVK2FpE5XBQK+lE8XQvh5AXHw9C6dK+DqJ1QqmBEp3N0Xss7faxu26fo12HFx8Xvcq10Wb1WvZ7 MK1AhSI7zQePFk82uIGgZL/tRCt0KsxVDjDnKRA4PRYawugGU9Qprr+TSATJSQRgBOlcAn3/806a q4/D7jSyKrBlI/lZ9E6aw8wOVa/zunN00ZRzL5e0nO1yn6OIukvwe+i4Hl9aO2i2zEl4LqxRK00d E3+MgQsB/abnOkt63fe91qn3WTKZdiUnh+GMDaoqz2tgTuOY2g9sQrth+jFGvQvpPaqW6itqRK6y B4eOfsFK14jgRAbZi7PRJDErq3Qh0mBZGdjiQFPKGW2ckIJ5/0Dw1ZGGATAliCAZrHzb6DxSOo8a /RnQSzGWxQk/SbkYOaGUFkxSLp634jGkWOfkfk6kKLKmpXWWG7RabMVTs7Kpa2ICst3cGt7ZcsjN kmTRx/LBU1cYEVJgDak9Cmq0Bq+QP+xEAIFV791t2hC9k+Wql4daZu2Dg4BKGSgDqTWX8nnnyjGP +A6N8BQsvzw2eufKVett57Ox3BplfVns9uzCn216deidKsc1Sc5llpJ8fuxjNiHfVSIfANAVNSwh ad3F4c9Pfpbtdd8nCWU9HEYp+WD8JLSQpC6c9+edKFclAvSd4pNN5vH1O0sOWU9h/z8Pr6CtMlxc J9Pe1L3RfY6iCLub4GedPngKMlDDyeyE1DNXVQLhCRqeA40BUKCcrMP65OedJFfN0HJDFVhDUaW+ n9N3EAPcU+fjQQx5r3+mLtAhm7XeMtp7lA0F2a0+bXNG0zSKBfpFrRqjKYGfrGpsFGbDZuwEu6B7 2mC7DSEkzmO2ixLITkWoE7rGohJan6K14JPvYXYmmhYMwtp80GY7K5V0ELR98+edH4cQaf/abLjq Wut3IUWBtjwtemreBDlnhDWLzonUrBQL6TdfSGGkPTg5bd3Bj+0K1heg0DRGTWNKaX5j4zRkikAO 38q+vW40SYAlDajS2KBJKudn7vKoBDgiirWddzJlXtgpDVH8bnunxvEgyyLoeDprXB0Gkvse23tk M/54Z8Y9gSRwOzZYkARW+omGKcFwor0+aFUMhaN5rl8Apgt0GFSxptn5550Zx3UvBAnh76s4/P0c nW01qb6U/HknxlnV2FYSSSfQtJ7PJEXRdiZxd/Sn7Dge4W9pgHOSuOIQALzT4rgm2RxCB9EGr6sB Azp3ltge+M2LSjrpXONQo/6h9qofSSw8vtPicLcx3R9FotYsHVpae9Jhdls6lE/eaXG+Ju5+Q+Qx 8vLt9k6Kw/otMkQfjzr6cnzb8NgJkbGzm985cVbZQ0Vass31KT5u+mLynk3gCDRKhIMiAqlmuBCV 3W5pZPDgfufEWQWP5o+Mu2EaIjLNCWTrZhsMbNfPOyUObzm/kxKIRpKRbLMUBtxTpp/Fjb86naF1 u6W5rIMNYVMd3O98OHy/atedMRAHB46UM1EjLZpawPMYyxzyVZn2rzjQS77u6BI9eafDsUdElQrg 421QCJCGhO5+0Pz/vLPhvGB/g8nJgi+yJU1SFHATzg6pIed49WTGRJikYWX+NHFfa79FdWxDjdSR fHC6bgYPBxhCtolmpWJhgsYinL+ghTaSl2nl2PROheNKYJMfDFsbVCTpcNFin+j8CJ93IpxFELaQ uh1KBGDZJMWl7M04qVx99HRF5KG863jS7anbOw+OhSQq7I+6fHBqALyDe6ccUwcAumzJWlJaDwdS kTu6xGTxt37eiXD4Mzk9s8i0qTg1YEN5ZkmZY67POw+Oy93L2TzAUFAoN799p8HxUCrCuHsNoNOg THD3cd0IOms+nKT3gBsnNwFadd/BG45QyN6dGh4AWw919xdu/r2hXQn04e2oLb1uUI8ctLVQ7pZu TRiSpYvB5Fkc+/NOgqvGqnkObmxdlFdsjqKAe9KzabXrRbhmuVwcyZ9wjhJ0gnkkvQfcQJkhr82V uQkHi5NOfjQ6IbXQ9dqgmKhOcuLigWp2BrjNM7cy9LrBHLETt1E5wFBQVPxR26hTWhWO8PvzzoBj 5verqg1j1NKzT1JY1l5yRzflJSBI+3VH7161hRmFVW3f+W+sOTO9RWfDBqdTQl4Irk9UEJiwSKFC OtL+jl0Jvd97uRGT/M5/MxjCmaHC05uDoR9gScNjd2e+Y7CQ1q85Ql925buQooAbSSX8UdatkzCV VpjUlhfczgHpc/QecGcLuGt+Bg+4TwxzpoYg25PCwyaGBzwuhXZi8Z/ZL0KEwIvPO/PNOuSstG0f VEtCl0s1yXMZfN6Jb9X4IYq31aoENfj2H9+Jbzi1SSuZqtaSeyr1pUky2S5WAaA3mDrYf8bbBRbE PtgcgcZVe6JyLEgyaRlRJ9NQOgtFY1peOBg/77S32+JPSzY7y5cRzFvSMrvG8nlnvbH+7stIuS3y tuXL6J30xkTIBK2vNyp/DxElavdAEn+mTVFY2+b66Vh6NhgEGboc5/pHJruhs7+WUMoIV+jZOIlF Nt5N0dv+MUVrV+rGctBOA6ejmDlK/7wT3nj45l9RZCJZ0acoirXl1Uz1uKuc52YEmfkpYdrwENBO e2e78TTi8unEYuX+YLGALYNByiYQ4sTHs18RnUYxrfWc2G3obaOkjQgRxZDtxpBw8avTaH7l8850 q1JksFVkGHiUr+4yiiLtTvu4WS+1W4QiUZbTMCg7GDkmtf9OdPM6EtRLkw+20yY+lPcX5LtG1dUP G7CKVil2euoXsEaNjneeG/vlXEBbCGQAOcS4agBAMhCYZzd/3mluLJL0r70GqdcmsUpOUhRp08AI AlK2kBDZNJukRMlUA7In09p/J7mxAYEV1BL3WkvPXpvg5IJ+gwJGB3RgcDrhc5oRgOdbRiIK9/PO cGM3m6xS6ILZoHXUaVLDQ3iUzzu/jXG2ryOuOdFWPK19p7cx3Cgi2fhWm3X4VutC/VcylYtB2N/Z bZYwIizad7BldD4Q9nFJCHaIaQh9Q8Ugkkz7vg0SpiLv3DZW4XlgF5p/ctBp1EG6YMnj/E6fd2ob lkOaX1NEdX2QF22KoiCbfzoOAeeUjnprSGVM15rYMJ7QgR1VtYlFQ19n+OCNNjJoINoJUPYYRe0m 4EhwtJ9vSulhShLV985rq0aU3Cz72aAa0jnxNmGPZ9H2zzutrcrC8NloaAQM2RBwjqIgW3m/bDN0 78tDXvGjE5TPiQhDV85RXNVmnW2SdrunjgbqJ7CdsCzITgO4XKS0AM1PqjxD0tAtdggzfqe0oeJF 0t9Mko1PTk0rsO2b5F70c8593iltv9BrmCRUiwf0sGySoiC7yYWYyRUnqezm6KxRxi1GQtBKkxRW tRVkS6eIg2cijU6TxPdVZJ6oVyGYOXHFRi++QK3HI0iEdp93OttF12C/Mu8HhJE/f4cwJZfVuSH4 fJTSft/9UmK4AK13Lls1P4uSH8S60WtYQXJ5AKgI3t0WlbUnb6stVwsOl1KDhm+m51cBCYsuO6gE LFa08fn74WiRyvbOZLM6BRqRNfugI6llgiKBot35805kw0vm/TVJCKk65G8NBxmG2dvK2mIio4uZ xJKEtPCUa8q51yANQDn9dxrbvfyZi9vg+Ih17vmsMttJPk/ygXvtBJZnQRFDUvLj+TFoO/BOY8Pp oIZ2mWoiTWsiAfnFCP3EznV+3lls9VGqntJ7y4BOjdI8GXmnsTGykvkwy2gMkRp5fQUo3k2pBFC3 Ac3+qVhK7yw2bgWi2CaLkWs+xcjzFidhW2SJ1DobBfBhHns2DUn9QPN68R+QRX5JFCJJp1rqeMtN rSb0+tkFOrHp/Lxz2HAmTF9JXUW5Ex2t4Zn/O4eNS4keFnM7y3aO7JD1jsOYzgyoUXZbSlFdu9Fw YMzSffASEmx25F2Ntva5TyijL8V5hBZQkOxPztb1vhHWhr0RIF1tsFmCyocJmfPxqDziIQDzfLIh W/dC2zuBjYEkY6PR3OmjjeaSeMRAmw9xn2b8/c5fY9KoCltePtylhBoUfkVqdtZFKbi0sGY3O+ub G07qy2Q+vPPXcIgyJUkySedgTGSqNfH7TrD9Tl/7db2RxIVVQF9sm6S4rs3zumcvIi2iVoS2GeuK b8ACh0f3O3vtZrZ59Dvcri2ESoqUl9FRkNgU+c6dSpJk+Sm1VYXknbzG1FaKy9JSmo7aKrAS27L/ au3zzl1j6+AXagtY3XKz/3fqmvWKYfXdvECS6FYj9Vf6pwhHAlqH5iiMt5XaFsqXcbA56nBr3EkI 5NlR5t4kQuIihw0kMgWLt4WQeOetYYqoqFhRL7PBCiTQJhbqYY/PO2utmnu3pkjrD+n0uCj/KOCW M/qcydfRLNUlEhrsnwzFTpVDzlEII1FqS61ADV5EaqvBkLBTEL6hbZu9OwBtSlAhb7ytZRQVtQna OumpBAN79mW0wUPgsQ1Ly3fC2q/LjdZD8CoY5Z7aUbxNa6o8V3Kg/0oXIDmkSsJuZOu+1eJ4m5it BQKkBp8isIwgYYopKqCNUuwUOng/Mq6oNyWhX83nnazGvEtKy0xJONgyQvdGU4SbLYq2H8hWkYsc 0NrpzlEUbnfN0X6cUXf15L/RwJ7Jf1luRtj+xGqXvJW37flsNRwbWEisRZ4Ea3OrTcg4NyCTcLWY pSUA+PyO4PYnwiZN9UamBWIlneUpcZracsbjQRzZf4GR+NNsz/5bGGwXdbKrTxF95CTAJVymvDxw TIhQE5W0UcXCMdSWD056hO/gQlRPObeNYoDkSnAYsKRO28/ydWJHsTbx7Of3JIaEg2raKHlSe6y1 MvB41Pj/tdWgZwJejVFqQrw2FTnHqo7X7sVUJ3Fis14r44Vu3PX+HmvrXFswWFg+eA2pzfOLd6b/ 57YeU6p4i3Ay8hen47XMTqCHpEc2a3fWpZb9UoNWA3FTkBrk4wEUOfmJrU4TNAaGL6MeBdpI+8+d 2Yvbfk5x+agXUeatRSav1/YIQDIgaQMP4OmDpuhEQaCtgQ4HTvyJGbHEQa/FSkcAfz78pmxEP+NL gnSkkjurZZTuMsJhx1DuB3K7eDxiZn13jwaUmUbxUmSPa9qUcGvp4kfy9EttDJd9zywt8sTuUU3b UjZCaDU4N6tM6MJRHL+goEBrVNQAykn+cRrCMN3rtUQi9SjMBicBWQi13ycajyprzLNKe5L3YCt4 /v08erIRwmyhgDGAHrdZChmQpt9WHB2JFqIB/1qbFmcnqs4wzu5RnG0pG5xrbXC8Fg62tXggofy3 DIoEyw5kzOiMbMdGZLo34VuCAIl+6DCv1mCeXg1CAcpsT2yBxyOWqAfaKhWgy84QRLMU8SAH4ZG1 12RrqTKqx1oq46lIVjSRNUsxEZIkdQojabBZmnmfJcSg/xxbEPxbRLUBJ0DhbxPG1/XPimSPCtvW 0hYNCaaYJjsyN8swWEvnJsDzwSyN2/enciBcv1FLs1kKQ+0hQbDqAMnZ0tU+WNONFnhwa5ZiNiRD 7az+/8OGhLYdlLORPybUc3mTntQK1ISJFaTLzU4lirr291g7UQujY10yv6WV5VDQnDql1H5gzoPn ox03vyMAIKTbrf/3sLjdBUcGDus/pP6h9CVN/F7pdSbdg2bGjD2OtRlBJxL7Z3oYkQBGwD6PylED /X7sSShp9J8NdFChJr4rcNrrRpUkRkkmyw19D5Oxh9E4McLgqBV8wL9gbZxR6HmOW2/r7+H2CWCH ISQz5wOVJBxU/6ls/Rdi2RBKQvOrcilFGJIkwYMhk/TxeAqdndh2Ntpfh8YLcTYTyiYLyLKSsIzM soPymz0qb8u88rzNHYRFShXYdma2eeLxCNXm8TZjc2i8UH9IcxRhtmUoZOjsLQDVNCPiTXM7ipCd zWEiZD2CkEArF2isuTXwShb4/+yhLbeOikY2WiFnjpAKQ1IZ/py32zbg9ooviQIldtsalW+Te6fA LhIOAoy3Fx//1zrqLEmiGrnz3W5/VrdBhx1WbVtMdlVtA1Zd1bYCwURtt6i6jRo/IOyUY9n5yrGc vTQBhCX57yxNuJyR2LZOZLjhiF6kxy1hLfJHewQiUW67UKWyQZM0UPQkgXSWicf/ydHmJOWOvPpy tKOIWyoaK9UnVMqXRjL6pZEMJySNUGWEiRuU833w5JbaUVk6CCVDSpntf3TVG7oUCL3FIjEx7hFF 3CiEIGOjtDsHY5FkmqOw1pbxeMDSvo1tBrtNbkQeKI0o5G6bhZFuNxpAgMkZEr2OaQXJCgU4Ednj 2rY6bURJcvCC5AbLY2m3IW2bakqiujYxs8RTuPRYsdeNiLacHbDv0LilxwD+sg5S6hY1FLMUFrfX vdyIy5mo0CQPukcI2mb/n2qOTkhyvZGz07sJRaVVHf4/ouI2WX2FLjEc+MrM3Zg0ILKo+wSLKAYz MdmERuCsGxeMDEjpwHcEEFKctOe8XmqTLOfaQFOJFljniO14PKokte9jWxn13WxRxN3I/iMRxg0n 3CKgNq+doNi2Lb0dUcQtrE2hgibs64ZN0bny97n1M91hz/KBfS4BAIvnZYZby6PAfX6qge/4E9Ve 2h0M1ZabXDGh+4bH/5cDiQI4SP9siqJwu1cDAPgqGv2qb5dernodim2aoijcBkcGOKzUffBDG3Wq 1slGhj9epYgUhJboyIIMikhkoWwRNeBLouY2qdqIkDEA8svr6Jy84i/+jPNz4vlgHd3qv7wmOpwJ 7iRF0fagNsvo3XWSzfh0kURSl1O2VjfhiBFF20sH0tAFN/IVr4H/K6eG3L/zp4kc0IRLpMJf9nW0 yfsfUWEbBDgcRK34oAJ1qpPtCwhGTjweHUe3a0tL2LPX+kyet40Qrm3siJu3dUp8W287FT+OsNeY kYwIrl2pa4bp9uHJboFJWapIopNR5SsEpNtGx6NcQ1j2pz8jlB+B6ugmXIFDI2qmtAGLoOG57Ygj 7e/OdtnS+/Apigrb8r/p7kuNfX0lkNBWtb32KESNqLA9hUdqwtw0ViSzGm0ntu4jCfoHGw7e/R3V xYYeAVy+fYpw73xGVNhG6QDmy4NwZLhbCkcC4fTiouT9M8JIe6TvnA3NyH75WiOKtEcSgvRSkSat x7mMajP4FsDn3kMaYaQtDlKmtB8H44+cFXUykiJSGxQkEoskNLwErAQlsutwuhlEjjDSbuJrEUcy CLVLxo04a5W17dXnwgcE+X/zWTITvXMRXq2oEYfaapGsa9xJJIe8uZppuJ9tN4aVkkZc26YbJSCI NjirbYCeXTJDbQEJwLKZndwl8EULnZSaxKKaXjeCbfFKw52IbuROalBXiNypRDnObY7nowaAbzci c07WOPMNI2dY3G46kYjMZo5b1xWso78JIRIbhyKTthmG2pmsiLm3D96yBcyjZqb/J9o7i7xN4bXR sj0LEEUwb7WRaDtDHAlBNpXpiAalI6jmFHVsS8Xj0Tr6rknCl6DXW/+fUaTNMg5MAZdNUUUjTHnt LGigMK+FiZ1NUciO5Nwg3/DBD+2KEypPwf9ORriIWUpEdgO4BCTgA7Rdet2IHSlJVja3OWiOTsiS rKvdCx7/F6ONgQK2Lko/NkdRnI0UCXbtpuCH/vkFbdU6hp1IAxmyVKNiLRKy2SVtz8HxSCjUTkqg QQR5n1+EZhIovRNPXOCx6cKQVEeYcXFbJhK5+2D1EWGBCOWteDyCR/h5JLIpkpnh5cgZBdpq2XI2 rWUrc3NxtVx6LFPpQXMUl7YJIcEfacNN/ce5P6TRnhqObyrNNlbxaQdQHvNJwtpmiCBRcwQVYRu8 hCQF2R9o5ePxoPM/b6BN5DIBBPPutSjSrjyzd3X6KED03iQRsV7rCKRZntkzZEbKjBo1Mxscajsp LEBTEsAugd/GXgPMfUL4JKMH5NpIRGzPkBnJZB1unD4Y1BYUZvYjS694POhH9vx1ZOPi7/MKkM0Y QUKyP5vCgtqSiMGcdvdb1h5AM2qOIgSJFf+HDPDGRZD0jJB4AmF5IqSBHLeQz1bOHA3aTzLQVoRE w8kZBdqVCygLe5JdWwhVwp1FQzrTjcf/t3ItOE23yjYjHRLZ3s1mfCPQGG7lv5FuZfZbjtaaUVFb sIiUJEeSLuXvbKlcIMjfmbDBN4k+r42CEio7XXVo8p7wHcEqkqINvMA4WNrf9klFKCNyEp+Gx6O0 /yojiANZ2LT1eu2MIu1BobaZTb4O6LbkUSQuC5ukcsVGZyj1V5mFQAXLBt9ru8GuPEmsFpghamzQ dquj34by1S38b71tBNZSXCSpv+lSf6jEUIsPxgZ8PJikX3KsaML2dCW2ZoQgITj3XMtXPmq62gZq idOBSCw1a4qiQHuwfETXFxtsHZ0TCGIvWdHRhn4tbr6M/umk7WYp14B6ENU+o0AbYH1gkLsQyc19 fyvQkazrodCF56OVlL/ioyxnOYdGzpAWSR2y3KqrR7C8Y7TI7rTIBF1wm6RQh2QSpkXtUQ3eZjuX zgn3EtFauOZYjYN2J1IBUAlqutr+ZEDjS/6q+3daIWgwLBICO+P8dTwe9SK/5aMaC+PV65ArCrMT QbYjX8/33bpJbJ0zdW6vIKVtxZEVYUjQYgZulHuNg++1cpIGiGxRq+Us1EQcbhto5AOP08o16Bbh f4UV7WQYpOaDTdEJtpIwJLXi8Ujzx/ERmNvMCtbFR6wozKZTKARl650i858u0J3z2shGCU9TFGJI KBy5J7M2DjZFqXdWcKUdNU+0R/mE2gCTxd7q/fG9nVtvG6GQyY3olLAF8uJHBVYwIxKr/vBRxPMR pM3PI0wuzhk60dgchfLZTVjtdsvZclGWBslwDAmuI5ujEENCFDIMWTTwJmYI2ag4KvfNlfZoNFac 3GCbwfmjHAkuDr4jko5iOTu3OwiKBPuKbaLGfPx/0dZgA3Je0N8KaZGVO83F2bDpDLMN6nO7CuOT RKRz9a8YqE3tsSSYTXpgNnvscWaGOm3wtK5LzjXgfXfWufrlsQs7uuJyNrZYQxiBAUm26kcnaeaF iGuy4Pn/5TQC7oDYAZ+kKMzeVEVqxRYPDu+sru3Z5Ltc6SjY7miSQlqkbDfLvoOVRoDWaoZFAmke TFSE2dBRn4LU1fmAbOx1gyrbFBaJUuMTx63453uNJpFEGAPg+WCz/RL6RSg49u0drSjOBoAaEnzl moxIOxIcqtSGUjeoPW6rH61QgIRi2lNi2vOBj8CsBh5/9EDqCZxwqo33k7cB8t+xWbwzUtgCwpdE IBtLZocPnKOFCEz97HMu4vEAPbK/0SOoH/d2O7UrCrSXXCRrN1oExBGmF/3TpUX05HI/Kwy0i1ha lIvm4F1ISFGMmo1hAz3/TSUbsrsAqhvoHql+RHUmfEkwR7KrwRXCIRtUBvZWW2J2qeHxiO9/GTY/ AmtRZtrmKIqzCzu1i0KwIthsXvigjoxEWm0hoW1Z0X+FUO0uKwNat3KwEylDKmJWwpDRLoQcO+qQ 4HKfLXjmHKVPx47Modf9A0B60hBq2mWEZIZC6okKb5CNqnj8fa+tS7Fl3n8en9h+NkchVLvQS6Re im2HMrPRR+W/LdXxYSzkFWNHqPKzyebn4HN0XmGRZ9Qo59/J004TFDkyhyzQ7pwjHJ34ksA5g6KI 2abKHV7guFIWkwn46eDxoOU/v7HaPPzn7YysKM6G3i6M5Wbzy79fQaRWro4NbTc1R7HeH6/0SW0R Dl5jG/iR0ToDdGSf/0Tr7cljCSXzc5eWGyHRKWaF0tpV0BHVIavXIcGXT0Q61HPe4fFoHX0zbKlk t27Nf0dx9iDDto1xmSNiSKDGlrL9t0j8PWHbIS9SN1rlHHHw2x8+wqkurqNdIThOAxYEQwMB0ui3 NiLb7R3F2coezr+0fbBrrZoYKWTO8HjErrmwSNK6UT9CO8Xm6D3QPo8Z5n+4SGsjnpfraLNpJXRN a9bN3rH+CO00FW/Pp37UoIkIp6Smvsi582rXeXSmaqCpOdq1kk7NXjcoINHHNm3lJNtNNU8cMaUJ X8/hgceDe+2eR9xXGUfRNUHYMSmSHeyRvYC0xTzGeTS9ggsLcdfW3nGgzSiytu6Dn0fjzEqFdg0K SE0tKfj6AZKI8CKTIaE5ou0uviSYI/Yf287dB6EiQK+Vk89ZXHg8UiD5FUXi7M6XErlDSqScEGY1 7bFzsVzzwyRbTQJHqjsh7BA4Iho7DSA1XATSSIDsWMMf9ixYcRk33axk++16e0e813YUam9qli/0 3m3QeXR+2a6C9lm3eDzijviZXSypbfnq2O4YqE3kSL8G97KBEbhGt12Vjq3vtZgRqYTfZNrapWml k1xPHkK4+2cei60TxpAd7Aisea+xAc6D7/gTXDPG9kHLqPXzrMptrX52KECyvqX+UQVAz8anKAqz Qf8FkM2kRjBFvdkUEYBsAiTtLqMQNzKkGMWEjYNP0VkaZ7PScSSnc/INXoC9ErJ3TqJGDodA2mvo bSNdbZ5Guvmvt9sJdiGKL88sHNmhac3dafQgh+8bQEg2RSEfkp4sozYn+41+XWsLMYassG26y3CK QpU/Uo9SrskHv9XONIApR/wR9p0kJRugR2f11p+dLpENrEm+bcQZJUlrUA2Zg5bOmaEkT43z2+Dx f6HY5C6RUapdd6eFAiRcRr0Wl0NqyylIVZ0ZsrQGeB8k++0YN8IarRxr8+NYO+BVcFYhCdppnnyr yM8dkM0GExs6/DKjLbQgxncEAWShOBvQpjZoGdHmi5fa+Ro8HlXYLmxErQJY1zTvHO2wnM3O0aBu t7ZaWpcQKZE/0rQqGQCdnxRF2ZwjKHnb4LSREx1tJJ3sHLUmOaA8Cmqk4CRAXcVhI9ve9g85JHCX kg+21Rp+UQbOdeDxiFrzm+gPlZh2hRB3FGULV7tczg8if9n1kIbkWmRf40KIO0KNQGUSVEiRRspD GgGP+gSUKrGhXN8Eh8QBwjmSAauwx6zU7lB8RIjRKqB/daD/xG9cTDJi4PFIL7p9ryOoyYKDYHNk KJ23r6WN9kxFQiOUIcuW0lbmXOcfgRkFJoj+0CmMs5ss2FhH4uC1kdJAoyVsDFSPnlGsBXoUIi3o wxT4zUInBmtpbXvjgDlKyAiVQzBk3zknlmubLere8uQHBCf3E2zjXz6x+my3EZlTVNVe5CCNwcsr sehFpg3K6bCF10yljnqNhOxTGG0rzG4qJrXbHTkpSDt3GhYRDKNgRb4oiIyMZNdCvNNVaqGEKL8l gkZsOtUiw9XAb4EHA5FlPxB24vNBUtJ927H4Xzq2XXuWVOhms4jUlioiZSR2t25ky25BBnREcufM FEK1O9PbQg4tByciJbzKoJQtOkoZch5nojAnG8o950gaj7dothcOJoqotr2lj4hcTHS9c45SvIo8 tcUPCMKlK9QqrXZ0Q2/UnVNU4AZuMQPLWxwiMS5EIufsPYC5c/KJCl0iq5RaZBUtvqL2HhuTlCFI HckyOMjYewA2J+Blan7O8UWQBL4mAklKgnQXH1SZXLNupbmAAOD5fxW5Wb5FgbPeGndOUexNXUVg IJ0hMZcXuc8hMrLVJlt13V8iE4M5v8oHNnj3tqJLgvYPzH+QfQNwk87MEeQIXg1aRFZVIoSMXxM0 THjjLVq5atBpDsycbI82fCRSiCfJvxpvoKmv9fj/pLDSTXGb2nq2CmVCe0gcwMwe+H9IKMQqKHIk C0vdzie9g/feKEwCPhEApXXCnJU2SWcxYbOhRJlviTJte+EIVKLCW6s+2LU3eeihJpA2n/8Xy51H fz0X5IAou09U6G/DVndL49qSkAgiFaA83JakIDyyey+KxAEygo9Ev4MfUgvwf/aUUe8GB6exTom7 mgQaqm3Ycc67i1/zh1vSAmPFB0MDjqSos5zZ5vNRW2B9lQbaZJDwXHuxPAk3XKvNAwQx3LfshbcF CLDy870XFr0Jzp5Np3l7TvNzA54VOZLU288HTNJKz96DWwJ9lwF4tAoKEdL8mogySaL7XjYYkXud 3ykxWOznIT4fIbm/gUogagCVeicqDMl57U3WwATCIUxYRd3uRndgVU43A4xESqCCjfLJaj44VAnx hznd4So9qVElmuvM16CKQG0PKtDfN3JxyW/8m5Ep7MmAsw0+H+y8dtsDPBsAyd3la6L+RnOPdDWB GA8aL6C5NTJ8fK5rYlj+ZkoyJ28/Dn6azxOMk3lDIzeoHrBniV7EoLUuAPFOeKvFXjioN5HqVgRZ Ltf9F9YkWWT/wYAzNLy5pHceaGjHtHSPqNBdEj8zAN3XEcAKBMxfVFlhrWDdBRXYS2ZXK4Wruw2e 5FWIlZKBDwxFpTsUCBQ4GRpKXoXkNxlw0mQ7B/6S8BFndFCkd19c9+Zs75TY4TrH7eTz/2pckvwN z7vHxTWHDpONoq4z5evjWvO2eapS5lBlLm2fpwhvkjhBZebqg+bppJv8gGHJ8MhJdh2dahr4i/rl 4sinJMcWk+oVsKqiQdN0VmQnDvIEIZXPB3F5/qWhwPWEB32ewrgcF06m0rbmyWRLGvPh5DWDBqNM 1gxyYDOJFi9hgnTU1uDraW9IG5jqPZnb6AKPgephXuCrXUIO8E/6kr9ISzfRK8Y+OqHrSeVJWjrh B5//p4USz38wcm7fKQdGkx4ZQNzgLqfqVcwqkA5Fgmbx4yl0mhwsPFEm3QZbTrC6O/cxi3CAw23R mhO8viq6fpItkSIHG+E5cJp0JsVo8w7C5lT+APy+XPl8tOvK9+nUMK9XazKHVpNLp5OoypymZMD3 c4r3bqsprXlP8cBrMrGUSpnt7oODBVF9y7K+ObHKJKT/nE4ED1F8fzwVcakp5dBtclGyFEAoDUvL 4nzhVO5y/uzN54NW7y+nCdgfU2LN5ylS5SbnA04SxXfdWD5Pg05gtutIyu36qL98FIrUAjlYOfOE BKgVyEehp1kzZRc6+n4njcEpPi6EeXZ/4T/wcFAhKD4IMogzYsredRU+H8ly/mpBIXFiZGfzFBIq ue0WsyNxvBiTiuOlO3CwWud27jl0nKRvCUzskg8ePp1pGnWzmdmhcF2lqgRM5yyUVEaOb/U61aFC y0l29xYVsW1QLrynnI7OeVcWn4+O8W/RSTSlqFzo8xTql5Ccu/q+XDh6N2ue2P4iF64jnbF5igRM hNBZlCXRcAEWJ93riDbgPz1mkgJTQl/7RMf15ymuALhq7xud4rRUIHZUg4KnhqYgb7sTgvD5f5qX MSjdCMcvxCIHvpNnPbH6m+Xu3oVldvsyGHIZCLUtVzDNgfGkpDPAqex3uIVNMJUmoyd4K9VNw2Bk /D/kxtVU0s2Ee7MXDgTxtzTM5vZBmfDJlFp1ABifj9KWX9cd0kRwGH2eQsx3JYZgzKs+wWoF19Nc 1WsrJ810N9zYfNIyYJZY9hfMEg5B0AoiqAmogUrg1wI2jSq2pkD1nbeE7pPwWMUEmXxQsrwFWimL ge35oRufD/KWa9LB9i/MXsVr0ESF/pNDjrhtdC9CleYbD961XoRifMxEODSglM3Nrin54InwPJdd p0g/05bJTDCjMQyubvuZVz2QeEK9b3DfWTQuMVO3e0UEM+UbfA6LzOejyso3z6LQfKjc+y50oKQh E0Tiqil1z4aOgVSoCtTOxNVFumjTFCsICvZNOg4HJzV1QE1yVqMc8qzwDYSKbP6Z9CWHTJstJ/l0 hxaUxDQvuFD7oL1WZsq2nPrk80FJs9ywgFcbiu7tNvBybEJJocW2y7S6SknU62RNc9kWPPFTr8Xn KYzGRf0u8w4+T2cznduMpOYTlM+WaSEwcaZudFIlRaHziS1kfssf2d054khxqkBwyRHnrMNqC2qv zQ+I4vFvrJwMD+az78J4fCgeN8Y3SEmr+wFVZ7ICVKIlgiYqxIEPneAp++AoJ8Q5kljBwkqCiqeG ixytjMws9rnytr1xgCzolH2nmhCGpB000OVkqQSqC3w+qhd8i5uictFZl7aJCh1yoMKFHN7yYFzN 5kVZxuzu/5Lpv8OIPDCjRBnZTJaWD7aiYNaJqF+Nc0QcBMzDmAovWn8oDOqd87nshf9yNj8ZIg90 iOtITLIhuWKzfpyQjR8QEeV9orqFBm0+jtSxHyWhhdK+NFHqfblgX/6vLd2JikLyzTLAXpSm5OBb byOZq5WVupPsTbmgVLDpaaQ65kNQydXeNziiCjUpJq0XOKhgMMYWiA7qKXw+WE/1F1QFCRBBRjZN UUTe6LkwSvc63R7m5FHQ8XEjmL2SZ3iBJaVFBmiTZh8sc4FUzu6T4hRnu53YgIf9yciQy4DYsR3J m9Gf0/tGSAyiVRppqhwUGAD4PyR/Vzef/5elEGNKEMvbxWDm2JQSi/Bsie7lpz4uMCxx68hvETg1 m6ZYwFucwrl98G03YBDCBY6e3UliJE4Jgghk4X6wsH5gv4htt/yFg3mSOmWSJwxDYSZ4C1BYOQrs yecjzPN3XxiqqpRa8XkKgeGLjKe+rz0V8FJKhNkuNQ1vVkuZCAfGlOi3EjrXSHniYMsJXYU+5HKO ZjDBved4Alm1ASncqgcGpgWXQ2PKao6CdfmgusqeVQwDNNT4fHA4Xe0l/Mu0V5/5QWSE3pSJVhpC EqlgQE4N19OqyY9xqufZPMUsTF50jeVxDpa4dDAMEyF96Zx+Z8qTxZntZ0BTMPN4mjI6V1M4tKec mXXxLKVKRmWqZ56UntCGfnIMPh/Be74LUChOD1D2bJ5Cf0pcOch+rx/8VE5suvkunVNBxta+iw0q F8FPQ9tv3IIBDDcQZxMDBQPPCU1h9K4W5YeS1Nmtd06EeA4dKqW/0KjDoUGneCq7UxRG1fHAohJN oW9M5h70YbpV38Cjshj3qdXmy6nNco+nnBxx2KESreUUmFTm/4fm0hIHaTkVSXWVAT2QyjgTvsUT RQGIVeDGQvgJM9cHY9DthQOMAfM7Kh7ZoH23FmqlLL3Nzef/hV1Vnx3Y2ee2C40qO5WYFn983XaU Q+ByWqLXISgY7d52oVMlxWFgErB8uHlwhUIVpSobgil0ouB3jpYH0CBsRVmbs6srFXpVTrYRYEbA AWVGXlEsrMvT68S1/IB/TRRdT4joexKX2K2ySPwkXzfvSzpoZljFiWKdQBMV8zLZvkPxxwYvQJ3T eVBWCBO1Jsi4mKhxDpG9mGshIQOZ8jbOA79KMLaJ8AV1DwPy4aRMeO8iacOzgPkB0c7zC0+d87PM 11OBih0rG8FPPBeEg+rFKb6T3TEpoFwadA4sK32iIFXhg/c5M3oSi4pM516eLN4sKA9Q4ht/z3jc BvOyF/5LKH53+XtiypVrLBj9sOo1Spr8gCge/4b3UAV7XgOUHBhXIt9JLNUVx2IgIvfKyu7ZUuFK G3dmeKFzpZXqhkqaYz+p8EmAsKYGV9SsDVAkTBQid8jZ0KbOyT6p2wtHpDFedV0maN0jKEjZN1Ie TrKd+Xxkp/etXgWiDvLzO09RQE5RYZyfTmVZMvk2Md3kCyrV5QsqwqwIg7GHHBrHvJlwApS+zE39 qvOZ5zykpk5lDLElw+5HObp6+pY/aiubQbANghicv3hVOQ6nweeDxCX/0mYc8Atr+bnzopAc2Tuk gPs1HnxU9bIf61BCn17T/MPDckopbvngE7XBGql0HqwpwbcEtRUw4mFrB431MZypmfkgvybYekng nj580MromDf25c7pweejYNNjKAougQHzM9L11cuhkaVUrK39S9W4tZ3SikqIUVpzc/54jp0srZ0g n/P18OwmoPA4xlkmP+EeNNihrYdOAhAsUDy+MCiVyUMrS8TexKtsH7T3cA6qe77X4vNBuzP/ErIu CciVBwcVmlk2Olk1CcNSG2UUQ9ad+wFRH7Xj6NkgwFjoZkmfJkh5ZR80UeeDoOtaCO8B4WZC9X+e qKeQkA0oDstQ1qDqy974r1Mq0e9bg649CNSK4JKQ5wV+lsAwXjlrYfRBTSh3pkJHyy5Hy7E84uwM +cRxYahrTs3FE+LA0hISEKhDVVnJcLAG+tgdCYdkZE7klBJjiI13ruJPPK6fZNvnwNIyUZAa9SfT kWU3n/kwwjVKEhBnEHhapu/Gi1iIPDwfDGJoajmp3YA/xQkKu7nXzmY0pIka7vudQ1fLNaX+wUSG g2XE5Ww3FLWWbK0gi0vCFDRaoFKMxLR7pjcUSQW2lpLuhmTT3D4Yq+x8MJVOah16Prj32i/nb+CE 0B/2eYpCc3Zs4Bh8W+hEbxgQahXLiHtymmsOjC0FYIZAGl1AODiolSzySal9xB9Z5hd9UIJr0bzp 4sVSsfeNAIhcSZ3ADDKWlHWcdBhZDG6yhNZw6Gw5r5HcsLO85yeOCpwt4dzMA7xMj8xHK54R11Ju wW4+Gy+ulAtq0IoPzpziKoVJDitRVIsCwAdl0w7OBU0b1XqZCqMCa0t3Se+S3OVgQKhVl9C8GUCo 0Nvyi1+GaTqR1/gqsATelkhgGG42tluGWNOut1+bexKczN/VG/Mf5paUuCw5++Bx+TkyWicLB8ag 5qYGNdCOtOz8vIgIXHxHLfTQ3XJygpKAGSlXL9hVOFUbxazy+Uh+51cnD23YfqXSc+hviZ8UEGmL l/CPVzVFbTVpE5fhjZfA4PLKplSyozhYIWqiq1mFPzxJUUJGQylQ4PMBQwVc5kGubHvhgELFVt4E VBhDxpcxf0m9rC1179z4Ae8n+XoQYyRRAYH4SKfk0OUSNoZgmk3Xl1nJvQnqFDtf8SbFnDhTIa1z U7+ZNkUavDVcifqYdN4tgBmzJ9wRP20oDpP77DuP6nI5MLpEuCk/8N18UBAFTR+G2ufI1fP/wkJR irsTwFVvczj0upwsRkGd4gbmM3umRz8bUYOKe4LlwOwS5VlKFC6y8TnYCXXWFKAqjDbPgYs6JGJ3 KIWfcxfITp5QEuAVMyhwuxSdHtrZ1Ja/x2CBRscSbneDGBTYXQLj4/NEo0GULch887j88xpDNUwU NeV5JnKiugzC8UEt7eQTNTzVe42gWqL4xptoQYc1zjk/JOyQztaTUWFFYWVAxxJOYJdAhQjqNX5q EL2kzwkV/DQoKtjw05bG3MLTL1PUgGfSnlPVGNt/EN8ugk0wPUu2suC0IQI31qJ57yAKyxK+AAta R3gwPdQGgXba9MGm5wQHQEMTAoWwPGVuzAo/wg7VlQzcpbk4LB1Mr/OTCByBiCOZnRw0P32A7Meo aeHpt/l5YBjLulJswg+bn9eI6TzUaXLVZ/MDqT8izgRLSBVswW6B8/MaL2H5sMVSK+UcOdguA4pn gb4r0VR4FEteFXTChBxoXxtwIjc+r9FSczxPHyzPcdDtBksaXnVot3xeQyVM7s42PfIwnsST2fS8 BkrNvEOwZpyFP1l0EVWjecsOWme2fF7DpAYJR3P/2D74IXSSkEEoEORSJ8CGxNUjRe3oJUFRz6Lu iRP38xokNa+hgIjEAcU7UwJdtYvOsnr+vMZIzWCVd35gYVdQRdf8vEZI3F5kkbG8o0BSZzNbULJM JZJgLmuQv8ZHTRBsWjYuH7wk0KHglJiWgETBMB6ACyTN1Heo+Ldtf1Fj8PMaHuH8Id+H9CEbdJmd I7awDt7OffJ5DY749PAJyjqrzr3P5I1ukcEM1SkXwu1KqYu61IIQuMhszbUMm6HXyKglEvlhEkIJ NA7eyjyTMSGTg1pAIyeRlV3U+zcOkcJ2r/UyGWm/xkX4OZuYBuUOmqCzDcqU7s4qn9egqD10aR1A iI8HQmfNz2tE1OziQw3AuSujVE9FSjXmD1QkmntahfMjJyIy8GVN9eMFXVS/eX+hArPU6q10tWQ3 BHY5vsWYsb1GQ9hihSdQpxJI70iKddaeLFDcht5r/7wGQzjAlt9gBMVNolqGz9BrKNRcALTt6dpN XWBLsqB6c520mZZr7YZHtLR2KEucvnIQWBKCo0t31ARBDXKkYbn4A5TADwArN7Ymmfw1DsKvYDUk 2llxELQCGHNhwick5IMzenW/wqgmAzl68VUwP6+FyYZ6Gw9mgINc4WL7GdRW9TOo1GXOca9lySad l7O1GtHgHDzlp5chQJyNFq9Z6F3qiuwFmMmc3juR4fzntSiJ+RHHniGQBpXa5oa4COPpjqeD+cm+ gMhqObcDIL82P6/1yCYXMxoPVNth3f2IT3Y2qt9hoxdXt4jO6M7ktc47eMaxB7YVwRQnkJKB/Jkf 5DZ7wderscAtTBxNml5LkfgNaBqQKRauwUCWkHhmU21kvGFwAo17h7FPfqLRkyD6Bnvnz+Fy5i02 C+HxZKgwLdIKkuonb7G+i/MM/44SIadqg2+xVYHTpQNBBYOVKK+0gaPY0HXI+wEIUGzs886eayoj Qjl2Fh+0hkYfpLb8nPMMtNVoEU2/x9T63ShbFp+jKJBOqmpTpPM/AsxX92gq7Xo0UWjGWDzRHAm0 lHPxwbZZne28G6K8s80aRD9zZ+ftTM8egDsQq61e7uxDrxud1CpA9uaDGkonxC5DjkKVjwcntUEo IKbxAyGZivy++yS9R9MAVtLKot1wyCS/GQ4pMuJW25fqFIXTm/U0KIf4YLd9AoqrJ7Yn4SAyepXd R2MtA0zIeqOhIaBuMEedwInUVC1qXi0aCEaEFqybjwfxkJkPXcmtH2DPfIqiiLoS9b158mmKendF y9Wq3/cr5YueCI5relJkuszaYOsIbaREwVUcR+enXLJmQtFxsOeApPleZ0mvG6RkhCzVzi3HQSnZ OWlGlQIjgKdhUG2iTXCF+pHdxxjdY8Z3yhy+lYDKNYvXZ/dw6fjz07btyAkUJ6w+G00SvVB6Zg2E g/dFzk2dYBDLcv85qptM0/GdHXkYwCfCCWbqs3/e+XL3PCrsTO7Cxoou/QrzXvwjYtTPO1+OF5Qf SAw4kUScoxvFR81SFFoD/wOUUvUjqcnhiwKy6n1TQPY6Nbzz5Zr0VVi9Xj548gpnvV7Zdy9nQ5Hp jOysGXg/Y4N7k5sGRO90OZ6g2GcN8sk2CK4Er14qHNRRyuedLYcwJ6ev/AzFVKAE75EUxteCAjTz Pc2weHd201T0SCgA/ltNUhRgw9ZhwYJx+WD7Da53lfEAGtznuKYKFmpSZ12g4JjJRbIzKU+97vsk QQyKHZHhg+poGyR9xY95f96pcsyB668kn55h9/6PImzy4iWR4PibPWyOSrLjCWrN2fwH34lyzXG5 qcmlqT0uTYxgaqKvbitkGFZKWZ8NyBo2FAKcsMMK3uedKIfVyou/0oASgxStT95f5s6iO3c+/n7/ d+vWLmElzqEAL9a7297DbCwkbs3WnNU0dr3NkFJd9jvXW7qO4mwqMJW6yWTmYGfSSTM6tSCp2XgS jyElXiSiuD9BKL2Fa4Eo3+NsYa05Od0H1YoAgZKsToesThho7/GViGRcdZQPsjmKQu3OzdYJW1c1 TfH1ok3TMmpqOjvJqYRhrM2FRClGG2yO0CKHOjbbj2hvFwk7DwTOOMOJH77V/anXjSYJl9uUIcH0 FgJaUC3L6ZsIiSjaFhbGNxsi2bN2PRt5Z8exILK0jtwWZShcYiDJd1DCj56KKo5hsK1CIkqjNtip 3U7EdyaNcjoNnkPAlgEEf+LqQe0IxHdWst64mT/v5Dgse2rH/lcg2YH65N12YrP8eefGtUc+flnn 8ey1+cxRFGznqSykuwfRCfo85Yfam88RGdS4/9+ZcU1ej1CfoPyJCd4zITn3I8RFU6fUHug6JDJ1 oHE2PGsLQHR+ILHw+M6Mw+sSkzSRj2LAprSsttGRho4W8/POjPMM/knbEHmgju6zFNWuSagDBM8L I4s5u1BuEhZgaQ34fK2k92gbpT1afcG/7dq4qfq4z6naKEJOMGABx+VktlTWSPBHKbvd0sjguf3O i2OcxDZ/L9btP5cJb20QWxi5QGZ/fd5pcWyfjK+1VNDEwylosxQH3Dys03DruC6dS/kPl8d/ONm5 /U6KYwBDc91aqg++3yoq2PJo7oAYr06ZfarQpYSkoORriC7Vk3dOHL6FOvtoM/1/xr4rSZIcWfI/ zpIyAk72/gdbqKoZPOqVW1a/XRn0EI/wRIAYUeKDod/huc6T+6zOzzsljmfa/MpKJoVEm0cA74w4 nPdUPDlht1ch4RrkSKTkRK+0EIZrw4WFbB1KOScf7oZD6LLNEvnEBsKNEEozUKaifoeVaWXW9M6H wxxJoSKLFudfUtY5Mzvx/fVkYp93NlzLVz5nCW7CelJNXgJ4Z8NhJVEfIpfip9KSTOqgzU731K0X T93eyXCYJLJwd03ZBy+TAACYkipJEABFg4hw7kxlr/5T5IkuMdli7xvESYW9a2SGNuhUAq6Ff2Td c33eyXAsB/5R7wfKvqMYarP0HnDjVJI9iuHb0VFb46Lel59KhMVrlt4DbkUTKGUzTuJgswQr605f RdiiLgAazR6l/+yNin/DdvOemr3u+6G0qCGTcXHbwC+Bc4bs486fuz/vVLgmT/XnTJobOo39Nh2j gHvKjmAs9/1atJMWI6e3O0d9GJb0nQjHWJhtR3io2XAzt5P2DyPIM8ZowlKeTx+UeoPQimduZeh1 ozliS808GxPLCD+EcWcYHfN+2/vzzoOzwrJVk37kHdvKLfu/0+CY8EkdtV2PvdnTrftXVz+bWNi6 3t4DbrT2uYLkR8jBJmlm9NYSaSYVUB1afQOlAXsS8FdmSvd22/a6QTDJyn+mmJ4GndtwUVZ2unP/ vJPg8PhKX+d2x2m0bnb7ToFjMIkfskgBnIWSchdSlXdsJSLaCyXvDDhsNrTXikqO5dopoFACUOSs Ej7bZ2HBMgYqA4D7QOl69osSWdSwfOe/sfJaRCxZPqiYBEQiN97Z2ePzTn9DKDo8cVN/Dfzg5VHS O/sNy2jKNM7MPbGMTB0VjDLTIAQColhy+05+u4XbSpy/Bpuilha2alOHra1U5B+XWUuidayLWyMW 19sGPX4xJRBa26C8DZiCrrrtLp936htvX28AiK/TwTSb/U5SFHBTbhbOvx4kGVuQvqjzEr0bEBqa pLi6jXU00YW3QZN0pmBC/gCKt8jfUHOj2Be0bcq56U4SPiQOh0Z/0dtGk0S2xKBgDgdtNYjxyGbn /Jifd94bz+zvrQbwIhXHbIqiaLvRF3Wkdpskso5liNTSFc+jigG22jvrjccRMSJFZYDy2Fqc+BoY 586a5Ek9c5cGL7v/BLmu58huQ28bpG0EYvU572BYkVxqEqa4lM874+2Pu5/TCfVd/CY2RVGojX4T VtG8zh8SPaPWvioBRVKxTTDtd75bMxoXLOfuYFsN7jHgvNNir6LRJqlUxI0ddIFMBVx3jU963d/q ttBNw0CjB15rrTRcMLjPUy6fd7Yb5jjV7wAJ4GtZIWuWolib9PY8ezF1QSh0u7og22LmSEDBdi6k qLit6UFs54PttbPtM4phNLMtkFSjdSq1SKgSl28dqZOz/M50e2rbdWYftI46PK0EGRnl885zY6T9 XbStJK9sv/nfaW6MN1hq2/muo169jTSy63lmFO5thqLCdmL/CLAxH7zUNjdQI8NQ7IVoTlYIVKX9 WTQiUouEycg7xw03J3v91Gu3QadRhyYnI8iTFH7eKW5cRd5qK5I3w0mU74kdhdkil1Jv10okjE90 89fbImGHQyd2WNdmz7bQ0FODt9pOdFGpFqOMjfHXObiFATwnNTWrnTJJZN87we2W2ijYb4PhInYS PhSlvc87v40ron6dR2C2jXZT/3d2G1N/RpC5OvG9V3dGO0fahflPBNGao7iuzT4kW25nYB49KeN5 lsw5zwcFlk7wVeTpmHGPn3VzvgmFGPfZIdj4ndrWTMYTdDANsDjnDhkplc7iJGAkn3dqGy/x9bXZ UC9mXm2TFIbZVOWgw70mqVJ/zXKRMp0LMRzk/05rw2Znzl+QI9pgP2wCArKXLvzI2bg4OojxB0mZ aoLrqnujFMEv+bWIlFn8h7TzjzDUfYy+qZkHMNLnndXGIk75uvxBver7udmiOBsuUSf17/l2SLhq VUTq07tI1GfRJEWF7ckAO1f5NtEOy1J/6HZVN2qYCLy3/OM6a9r0H3ioWt3eN2gjCY496UfEwSIk 9KaEpN35805o40t+TxJZOmn5sf1OZ8OXLkVIU22RW7XdxGBu/aeo2vYsSf13Ntu9/kslRIKDQyTI qdlqtZ0dktUhOTFg+tlg19NvwFbS8Nd9nyO5oddOH2LYbqmsuE/ixHDuBM91ft7JbH+02vBuFN8d 5YKR3qlsWH/VbIi3qb4NNJvBHYUXJy4yUbhhK1yxkt6ZbHY1A4o9uw9+bp89ssvocrQsYGjRdgDu HZuaXzPd8j8QvvySYLtNYkVXu4MQkefW71y7IGF+3nlsLE/4kST2zThZ7fDyyDuNjQuJl/5mUC0l /XVB65Mi3gTDwMVTCykqbIOEulQXt8FLSD3Dr28tCekPqiAt8EUI6D2XOG1Tb9LW9b7BwV2pjDf3 9MEmCW+rHsnm41Gw7UkbsTYotrdbHnnnsBE4Kn+PK0fZ+OtgtwFJ3awfiTqZakjvFDbuNl5uwA7b 4Avp/FabHWz4V8CcG2sNqDRaNQO0RZMdqS+T+vBOYSM4FrutkLetQXME+piw/Sey+Lwz2AhE/+4j Qa5nPGntO4GNYQPLtK4GfyU7CbapczqOZG0jh7zz17xiC+pN8cHvNtgQ7WY63iAuLZl/TTb/z11B np/yWtVH3ulrBPgzIcmsAXCwYPssfyZtIIB93tlr1jn4uv8BsSzrbraoqk116Mw0XHMEbxdv/jPL NBRJMxOid+4ar1ZykJPSW9cbRX2kAgxvCOSzoqqw3KMSgHWmZmy3GDB8xDtzjVO0CfpjFCBHG07R bFNys/WEl5933hpzLy/XmsHz+crhqf87a42wNrLW8nAMySRgRdWRvV3xBpe4TVEYa7M60jVTHGyK UNJcyPmwjNLu7N8tAm6goQIJyXRDba2iqKJN3v9ZPrzecrayPzSMilLEBkfLd8YaDzM/jbDikJ1Q TMamKAq16UyV2USz3khz89h6smivaDeAWDRFcaiNyKiDo2eDp/5nNW44iHKnVdo38uaDIAibBPVm I4MI0ne2Gs9OLp/Kon/zTt5JfmplxeJMEW61KNDOuX8d2OA09p4c1NbCQJsGcksm31U1Sc/7e2bZ tpAJsUzfvIVA7cJTaIzuw91ok70E+jRDVSpJYmKDhlBw2fXraIkqGL8jAP5tIpAlAq+DC38XlM+A UT5f13LG40EE+UevluJfM907LQyzxXrs14hwdDfyKINtaRl5YI2IThOVsxOXD8TbfHC+0YDQz+IU 4dpf7FTCMxvHz6TQxbx6k9ppIX4EF/4eLPNq0E47qeW0ZVQGHo8Qbft7p0GEot3eUY+i7M62yCzX xKOP6kW2Qn1+aSFc79j+HmUj7iAoUsuoP8to1YbC7STG9qxHlBYYYwJI2KCY1S80wswEekx5pGTE 4JnEwZbRzKQEnc/bfDzCIfthNIhawr/2fC+1HkXZYws1epU1pnP9zyanDJFqbGiKaZIiAIkUNQZk amzQJO18YrRzWGQBSEHt67LiRZxXadjYb7o2qazRo4I2bcw3ZHZ88F9/VgWQJynB4xEz6/azeSDJ 2dfP7B6G2YWnUE+3C1l6d/zIqsk7I5d61KOKtnIQUhtssHwN+CyqUKtTCyExiiRBZHdjy0Ez8JZr iUTqUZQ9ZRkPjDmd4gA7UZjdIFvEP7wVPB8QIOd3eAThbdF3bZZCCiTVE3tvyVL/QvES4v6abNEn CSzb8CM9irOdwbbuYGcSAtAJmBuSkZLrJhE70Y/4RNvsi9DmZArVbu8bREg8uPtmB6lDQMhU7k9M KhB263zJiCY6v+429ApAz/RJiniQg0oRjRYHarJVr4/AmNUipNRgGalJiomQ3EXbcpIbaA/8XzKS TT6LgOI162y7s/YmyFwmja/7n9XIHlW1l5TxUZPAQDEoLqXdmXoBibQnnv/X7Va47FCObF7472Gk zfpI3c1l7mYvHkZKY5tIpA0VCk1SyIUcutaYsZWvjG2dZAqxCNkR6NZmctWBZ/xhj0S3mx1K1HXt 76H2+RLpvbNpewZoT1vQjDqX0EV14/n/st/QQ2rt1v57VNeGEghBNo1FEZRK0MCVKj6pgMIiJ8K1 cHLHdW3Ja/F+V73ux+hsZ44X0LsgjOZuZhQkD539Un+ovXRFOKdeNyoiMUySJUVujB+4lNY5xYV/ PlFZwQdEoLbvDgk5BOM2tft7uI323hJNa3I+UP1H6HSuOnQ5kGBCYytD00hVpB6F21pDqamK1G4V 6cx13YCliI10MmnkuCcgQv9mAVdWcHi6ZQclOHtU2TZFfLBqbOD9ttNOmq5zXEw8HhFrb2Fbnf/+ 3fnvEWK7U54ESks/ZvGp3iyTIUDdMoXIIFIhIbIeAUhM3h0ivRw4RYT+J1AZUCAlrH3SJAVlpRNr qx45x221IXyq+JII1MalZK5CV7zmbLWapAF4vgmPBwvpbjdBtpE47nInKQy5G6k2lP7iJC2Ka6rW JgF8ygstBgHYb1FleyXhkMWyXf0eSie6mXMtBgE9nXy5NE4SgrmNznuBIorVIwfpoz2CkHQJa0H1 yAZxtgZI7ExLTqSHx/+1kigygsR0oOpiHO0o5rZJqvXqSbZb/j+h8i3/g23OcHJEle3J7dYSUZIc PHWD+gpFr4AhSXD7LpICoD95+dllG4fEBLlHFHNnM0hndYWDcUhSlzDc2TsZjwcxd19fC6kxASwe cY8o4u6ZLORZtpUjF08/CdYQuGrOw+6OM+K6tiwYRbfpN0zqZ6lkoGs3T6STwLICfi4LCIYvIJuY l5j0GDpX+JKoZGsWATQMGGezDbWRgKBirQyQXjwfFLb/xLWf/BKKrz5JIWJbhp51eQRwftTmYZLK t4wAIDKpSYoK22KPDqrkYGDMQpgNqoUoz6NKcmKjlQkzKbjXKsipoH+6T8CZroHvCPCjXECVuskY zJ2jn8Chycbk/B8ejxok5XuKlFF7f2SEGJK+VfD3vvZs1SXsek8uYVfo0citFoXbBrNZ7LThQrMp gnwyetuTkeRqiIcp+94Z7J5XRL/Mr3+AHfEdUTeSbUjgOW1QBYDKTbrYxsbj/1KMoGorcmWg+W2K omDbWv+yviGDRGLSUq9LxVv/jPc4RVGwPVVBqnSb4HBbSOiKovmDYPvc/Jt+goOO7WDjyA9HlDa4 mfB1o7b2IuFPxTaoZ4s/dM7d1Zl2jbOH8XywjroH2zrqO7wJvGM7omB70P3t/Ku75M1U3SWPpSin a1XX1YiC7dWX8P7dh5vcnvARqDaadVXY2YGKPOgWgJI2pCNtHdF+BN8RbDWLH3UqteodpJwkegm9 yInHI5jNvfvxJJlx87b+RwjVnkmof0f9DclosavN/FTHUe7W+h8RVLsKE9nq9sGztgKdWpr4pgI+ bJEDMRLBthdoU9cOljAofEd0ZGOOZmfSNhHdywMHCkvsjjCxHWGgvdrXtVbEQ7jLKKprA0h+aWyC kIwvzP92YgSCCE1RFGirXQu/QQ34xqxr7SQ7bWuvnfM7sbx7kkMIN0BNAwZ9PkUgs3xGVNfuW7BR G5A4dZ1He0ox59wUHc//Fw0k8B1av2ytEcbZ5leSHGEL0XBbRnWaACmgn8MYbSOOsyu1s0f2wckj A83xxry2DVhvYxoTxG1g94bCV73+psTSf0YYZxNmMykfAWNLUAaVjpyscLAlc/b2+oywtv1H57+h JCm7XM5SGGjTrWTS21YBknDItKNUHYl2b3CMZPY/wtq2FHwnhQ9kvqBZAil/5E4vb1ieg6SHlE1m sKClFXopNUlFNb3ub/1aFDbVi4TBEL4FJgKs7fyM89/i+X+VSHjCn7965uIB0gyL2/RY7JqkrVjJ s5GWfZLS7iBQI2Wbv8v51aG+7XAoy/nBT5K7oDPG7QZZHHqdTXhwdZRo4JnrnTaSbGeIIKHhchu6 2Ya3kU6QnXaWAWypePy/9EgWGJYPD3lGgXZlT9v6tSwooSXGKSpzJ89qW2O/tvOTIta/Cta62Na9 2AZFMxtcngBqwyexlFLgSbpo05sevBZSKn5JlNWyfASggA12i/cl7412/hQ8Hp1I31htWsLMW46c UZwNNkGGJuGNs+f2nnbtV5B1ousmyaiYGSlVyNp9sMu/oeUM5gJOpIo4vjKpxUHNmhm1pV0WksoI M6xsy04Cx5cNVh5pKHfzJD6Z/4wCbWYVDzbirI8xL8hmRoE23SRz35fyt7bbuBD16diI5E3tGda1 hzKMfgdP/OuAIiZ5kRBVIe1sgQJxNgxsDaG6fM1M7W2j40h1NsL+suMvCoq3oki2Al2tEKp9cUgU ZmlUWdp3HUWRdpXDlMTZOpZUK94hYb/H1hGABDyzZxRpDzoCZRBBbPC9VnCegsBDI1NYRVAYAXWj ieUF968rjESw9gxpkZmzsxRFLo8iO4y0qUR23r7i8aAf2S6fnTwtNKXmlUCcYVl70+ZGhD/W/gHf 0yQltbgRRUKdwSYpApBIc7xAi9SGC0RCPYExY2IjWMzJjiLZgPblYqStEImekzOKtCuRI/Dl80EL 6fyR+lXOLTrweFSuvXO0WK/F6VbvvRYhSDAjuPzLRZBUZrVTWa2HSD3dOYqq2itLQZNAEg52IJ0V ViRugt4/NK+JRB4wDzjJF67Bqw89KBM5o0g7cY5qYnHkhEXWQuqppb1kSdoaHo8Sf7/XuGSoC39B NjOKtKlPCqS/21BPKhOoG5mNvQ02e/bzKNT5K1xGS+fRes6jdP6C0nEHwQMoTRCadR4R5JKBcn3q /ltvG3TZFkE2hQzk7PoUZ4rOzctLAjxePB5M0UMd4b5M/G5P2GYEIaEe/QlU1vXjzNPFo+iVqTMb nXqboyjSNsUfktM02DI6qUgFY7TS8C71tqmvQe7EpPMm+H9e0SagfUaRNtK+DucR1iNRAZIsFjT2 JwU21vkiPB9Bke4sURiJge2lRsyYFIkrP4uGJF2k5LPUpJYAFEnrzUqRM1QhoUtEQwXKBu+zJYgX ZqpHpd3UxiANiYw/ih5fdX9eH/iSKEIifAT0Phsc0gb1PWYYu+PxIIrs3yokjV5n90BaUaCdSI0Y /aqQ7CuqWTZvVFPYmKbUsiIUySAY6eyA5sPtameYBDBCOqFT6thmqCGhwQHNWhQRTWBDfP8Voki2 jBNFRsoeRJaFeZcYba14PGJFXt1R7CyEsXQhtTkKS9qdc7RuU3uTOsxq7blw/ERKSOk1R2GkLWgI JDpt8BJSOofl6mT8wcyhVRGQE+pB2F29P+a3c+tto/IIhVoW2f6sSqnGCnll/gk40gue/5eaDZlv 6NgBh21zFOpnVwpEr8seOTe1X/6mQSJvSTeQWiGGZEsan/rrlQnxT6O6xoAmNy4DnEggecilE5xR QCWQBD8B0llW+I6ozKZSNg0mOQiNBLWLZKLGfDxKRv6gRZz5bfPKtKwo0O4MIge5c+rVluHc2ryN kAQBkmxB5AqB2mytZdKwNNgyWlRQKQy0AdHrWyBk8LM6S1390tiFHl1xRZsSv5OnEbes9DCAQEok YvQTUuD5/3IcgeULtM49j6JIe9KRhb4Phmaf7q5VTmKSbZIAHrZJCkmR1GdLKCHY4NWRc+eMsQix zScTBWcNkfbJn38o2pPpGuYgm6nXjdqQ3GxgiWLAQaYwcs1JX2yUKDOej0Kkb5UWgGwHDLJtkqJI Ww6ltVc3hVAfhj4jUOPVJIEJYPZ/KxYgodz47ndwXsSCLQgkFs8ngiAJ8RhK/S5A/s/n0j1FxpuJ qLYVAUjwDJzHVAEozkEGMphmFmhDDTweIZHqVxiJeKG326pdUaS9phlnWJkNSn3N6/4kxYsWgYxL cxRG2lbw79UHv9igxbMlHdUqQEq0dj+59KKk3U8Gj8dKSBt7HV8SzZGc3ascyKq07EiS2maplRoe j262b8ofwChjXYmWFYXaRUhkNl+s58825KZNFMJ9oiPxo9kchWBtXmwJLlM2+DqaA3ZcgPyev+qc TpRpO/c4JLUrEgQw2Bw9Mode9zfbjKrbH2oaDt6f7NZRNqri8eDU3o7WZjAFYma+9JoVhdp9M5Vd 2cV+aI1t3FH6EIk7ShoYVbXjorZE/Hb2wecIZzU2riRazj9vOm3iEgPXxkNtXnNoX/B1g2ObiHa2 K23QeTRP2CDP5HON4vFImd2bI6KZQP6k3nUUlrSxd4qJH0jR5iqzt7Y8HaEPp+YoltaWIFLfPng6 cg7UVGTmc7bCWuBAoqSNuhzMkqAKcyOkMfS6v9K0wNKwQU22jbuZOdsJ4PF4tI78PDJZoJ+57+2/ Q+hIJoiNZo3mf7C9zJbmdO5IgzQ2A6Qda2sziASwxwYPIgGEWEMdLewJmUpXEImBv/1B+OmGraxo 7yjQZjMDMn/LB++MmMAwCKp4PKLX/En3RxB7LVj2e5wNeg3bIaQ4m0KLOY6VuudVaOk0oKBAeyg+ YstInq11XtO6kxLOWibj7A5LZO6xc9GhAT5Qh4eyiV9rVPjfIVibvuS0b7dBFe0GdotsWMrA48G1 lm4ywthzfANsd4jVnkr3s8/RZk2Z+RoEH28usn2O4jh7EKTdtw9OQIZ7cWN/HQWkQU88GCACa4Vj M6OWb3NEGxh8SVRlU/i4kg/CRQCCzDZJLX3j8YgReVW18Ho4+Vu+Rgg7pEQOsxQzEhIRsIYdoXia sCM1uY79jrEjk/BjqZDvx9Xv5K31RHiD0mPn8xsCx7PXEGlP5PwZ9CtvH/Fe21GojWogTSKmD4qP kDZv86blO0aqkfvrXkNS2/JN/HeI1K5iZpfrFjGu52hP7nJPsJvNUYjUnkr8RffP33T/giuelj51 4JRjtXaww8V2Qr4V7YUkBt/xm/wIxAd80DrqhFviH8Fl2/9NfgQXMTitPkWhrjYl2ucc3aeoZ19G ZPjbMtrdRLV2DB2RGxRTEg62jFBJgL9LYW2k4FornCJKrMGknIYagmmvobeNiv7i+bHnz0FThJ4n k59acWTHpjXXckSeLOe6gN+9zVEEHUnCILEAKPkRr22jw1asxoYw1ucoVPnTtbbMpe4C/pF7ng+1 2gjopI1hdudigGbbTpfMBsob3zYSjGKJbdFfjIMQkdjAbPi3c2p8dlzQ7l9HNnTpvoq1O1QfmfIT 277TOp0XSNTKjQTtgpCdzUo0ancMHWFNpNCLlYPttJOmQ4iNBW1gQ7pSEDhGs9fzAwM0k9SkDTG+ I+D7EeeZpoxrphvXVFSTJdAy5sbjkaj2o4VkIkrn7r8cpB1WtGk9MlZ6iFpp2CTR08GIWhJJ6Pyk 344jiCb6YHutNwi0dLJrSttAJNHAJYEDeCL4HwR2Dh3ZU28bqSEwW9uaq+2kSIhSybLrRAADj/+L W8OGfwM3oDtwZEdRNoVg8iK8QObHxaxXocc0TAfRGQDYahFwBN4GYGYLEZkuvGaARIPSF4v+59cf Q/6jG7kksV3VBezAntTb/qY8UvsYPijInucnkOt4mQOP/0svmsyaRPCzVyENp/Naqh2C+F3FiLqH ZbR1D/1jBVS4mud4CsPsXoW7nj44BumEwwntR5RGzkqAgjq0WQsBEUAVFZg2QN8bK2lte+PAaqxI 6I/MCGqyyXoGInnNeFp58gP+hR2lAOfZahOSRj5TodIfcZGTdjb/E2lrOHykkv6BmUpn5l3GPsXR NjPaTLHDLZtzRZJnelaBFr/QWpWutlDDbudEKiwzzKv3w2gb3/KLriZuguQDvwVgDBkhtzUznw9y kvYNZ89QIfoyjaJDdRBMSix6NMeQkIVB3cisvomMRYu7a6QQrE2m2to6d/ZXBQBKEuggUagdnE1s zXN6D7gioRi0yWkzb9FsL/wrRMIYSViVousBLNmEs53wYE1R1D1u9kZABXZwGs+KiurbRNqiz9oc JLEc1F6JyFdqsqDxZBMVukRWibDJ07c/nr6zwko0URxplFnJa+TegzgSrpya9wPaJkyCgt5hqwEK 5Lrrmt910CJjaf7s4TH4fFTj/l5SWOe93pBJHbDXKKQorlyXIkFLaR5SXbIALE2W2XzrhbY2WwYb kv5vV/q/wQ7hJG+Jgu3zxJhAjJ4VReBowgeS3mBFpXzfOOiXLE1UmT54xSTRyfV8BlwkUogouX5t rNNlOGIu6Or4VIWV7krq1kzZKpRpkfoHFmCBADdZSUjljZWEj/o1TYHulw3eNJkjs7DLzQeLIXKT Se+CDglKlPmWKNO2F/4NCpin4JLTGW7gP5cuL5a0+fz7TNUruMkqy/l5x7pkSWl4vB5SLAu0bj03 hE+MmSgCRKFPgkpZZLR7L4rDJ3MVMGx9sHnKMJGanWoAJ6jsC4cfOG4sCaAQR7kNO87By9bXBCFU Z38SPXEbjMCFYieXw5lsPh+xSv9gJ00ECfMak6RQn4SK21NKmwLilup7b85mAUIDpcH2Xljz3gQp q3vEwScKtli9EhTQF4RlJk9zmqlQsQ4S7l5BgUGt3jjicXOiIPfAwdg361ygnana+VUan4+alT5R fL1JXYBrT5bCgJyNuJm3K0rDKdRrumu6PUmlLJ12XiRTUqkHiKKwDw5Vgg48FO/EdWu58WZksX3Q nrO2Bxc47X1/UQXYJ4XuPmg9oV6fhHlrg88HG6/f3I5tpob+xBUEzCmsfYtfwl9R/YF6BW8SPX0I Mlmzuo9bilEm7GF32W/2L9OkifOj032rsc0E3SAgNcsPpd1R4bqEt1rshSMjF3ZRQGiwQYilMbZs SutgvBm63bRbk9OCIr/lhgehuSSlE/MQC5fQ5bz3TV+yF5zSuuaSgbskCGmDdZS0ffAUD63IkRlw nvjmREIIzVEWh5YaKhyFqsAy4KTJdg7sJV0XMM3afVCxoIP4xMjohP98PsryLjWQbi7wvOu36pRD h8kmKAUw+yZ+Izs3lVTS9ZKu1AfiREV4k8TyJTiSPmiiYLgJqSFyTWB/kprs7gs7BPiT+qXjyKck xxaTmQfUzskHzRN+VZ46oHzx+SAwz57ANF9P/YpM5l88JqW/OVz/Zl1plzqlP1UkVW4i0zk0mVzK h9EOtcHX08BFpnwYhOVGQQ5AUBtLRT8jX0oOXaL5Jb/RloqMXcGL1jleAawj4LSnOvh8sJzuNElX AJyc/Wy7qBa+ptmV1buarEuAPni36pNoxraawqh8U196SrRsXtGyczNAHoEOxQkoqNzpsAAQJwhr rNleRQ72wXNgNOm7bi5W6jgImlNPyKHj6Xwdnw+maX1356CaAyfeO02hQuCURUnx+tPoZu9yhV05 TUBX2TSFZEoqu9a17+AcuHNWlC1/wBNhgE3D6kpH95Dye+MpiEtPKYdmk4tJS1HVt6Rsy4lYOLHg 0th8Pur0Xsopi8MoDMN52Scq0uTu5OUusd+47aaZ3kLgbcy77dAH17aLI3Ke3ysXH5yac465OVKX j8KExBKuxFkg+VPovjMuhnkqMAjtJumAC4T38EGYwXbOCBbJ2/kgPh8JBX+zczIUCah/ZfMUcirp 505JCE/ytvPgZzYXUxiybLfACw0nF2/73dXN7E83E57MjYa1DYWa2cBGh54y3DgK9ZSR5Fu9ToWo 0HFSAdQ1dzEVsALhF7kptlwWn/8vxzhgkb0/TsGB5ySO8SUO3E2GC/mDpMMJ+b1o8eog3RyYTmaC saBORsEA4aCsagBDZUMOnohwwgqVCJ2TI000fJ/qSmHpkF8SLSe2MgfJ8BwUPrW+DWBRU+XzEXHg m34KpAc60nea4nCcXtMjO0W3SamDDBRYr4lcAcK2T1NYIN+s0wnztf/AfMG+J0vpBTWiSX0OGOT+ bOSTNZV0E+He7IUjNXyau8zdfTAm86rFlXEKn/8vIuaoqvc2n+ApxHxTWWHx+7icNl1suZzM+2VS 6bV7ESq2nhSmaVI3gcNtSFXacxKvA4kXwBQWFBFPcIB6rilQfectofdkoekk5Hl90HqqQN/J6GU2 Ph/kLeUbQZiJG95XYTGH9pNd+d0sTkMl9NF0Ona5Oh3LmSg59J80Ynyad/BEuI6zOBcNqOvJNbD7 sO8KPd/P+sWqNuQ30gq9b9RymQrD1Z6qjnQ7G10dc7iN8vlIQXB+xQXgIPV1ISk5dKAk1DdDg81k uidw7iZDNdjUXILt3GmKwd+cHwXj+QnGTxS3oYHHxlSFJu5iE7iCUDXJm0QcZctJNt2xBWU1EEHx Qac4yqJNXcs++XxQ0rzCuJyRQXvnx+89FDShXHYneJplldJchPq7rKLuiKYpDMaHHAPLHXyaVkMl c3V5UOLCK6g/waNqg3QuLQodT7kme+GoTpeoQrE1nB+DBMnzSyLw4Ho61yg/IGhQ/eGM2xnDzHuO By6UKNRJpYt9A6F4x/CwYBefKAqJ2USFKHD8+TAYWz44yKlDAbTwujupFJCpjZamssGAnRIY9PfC 2/bGAa6ADZdFdxMMxVYG1gVDkZMO6zUjPsE3C6yBXd2e+lPoREkMD25xizOL/J0oATvFvqT+y3J3 nBxYUeIvYLmgUFC4lnnbnQSY1T0l3bl7Q09kQX8CATkCZCwF75tPf+Ff8RfgGkO6A1mPgCnnkBV/ Z5y/mx/wr25CtsgAqp93oqISeRuG3nHFZUhgWwGqPz7CFLeziQphKjKjaIJgtAeCAanUCqsUBFBn v9A84qR58KHuKik+9JRc7X2jcgE7nWvdQeWCCXo+l0ivjc8H66n80UsADqc/wLkc+lEiA0Zat4qD wlIyBY/z01/XzgRPBJunWFFQql25+GCJC0RxIahAJl/aiDtZyxv0qQIdZTuQ9+zYae8bADEanXJg 7muDAgNA9XgonnnafD6Kx28HnesQG7BdUaEcelIO8cGlbM4pS9MLBrk1Qz1J59TmKaqQD8LBy2Jd hcOFYpz/asgD9iQmoFNTnhIVhgG7Q1x+PyDRYePZRIWIlcb6UyvLByV4YBpOo2BOPh9EBuMbjUEZ +NWffRcCw5uEzrIXVuYyuhy87KYXVkpbXn8KfCkhwEDZ3Czac163nnnisN0yHgRxfpy4qU5PhBvo wu0WyE0MLoe+lE0sXnF6S7qK+en8DVt3H/rngTHlH0IeWIq0V5+5PSf5e0gOZUlpMF+h6p22G+at vrzwOzYLv10f9ZtsfspUhuNgqUuneTQtRRKEZgdwYYg0OzoJaCLyhJpyOldbOLSnpIU8xFKIYEH/ u6mgmXsyC9za+fx/Iaz0E+eCU+7zFBpUTm68lS5/fhL9KQOmZrYMoNA1P8hjh8rF2hOkv23wBTXn Se2ySlAnfaWKHkCH1BZA8bdeDp0g4jm0qMw8mXA3+KCD/CwtGaypPh54VH5rejPrBb947KeREHpU 0rsBvrxX+NynjL55fkANGP5qPQUmlQDfyBCWipUcvDPV6XFO+lOpJ7oknCxTY6DTxjb1L5hBtxcO YAY0XyydgswcVNIE85AR1AlBNp8PUuH0f9WYerpODDk2qpRbBbUi5AkrHJ1MTx5hj+EI3xw6VarV uXa+g83Tie0R9RN4CKYIIh86np8/eQNKyHaUdTq7OlOhV6WsBsAWwoDvVNUIAOpJU47ReuYHRDBf x7BiVqEwN4H68YkKiZnE+fad3GAYHhNGzZDbqiaKbs2aqNhCR5RUgsE53BIUiBMlyRp+LFrCAApV IRODGwj3LhpwT+88MKx0GjSV2TBAoSkpGSbQAxN1Qjl+wL+SYanuIkNfF4GYY8vKzvM7P/qn7OZK /3Qb8OfcI4h3VF0JPCvT/5OsJ8q7PnjRYEBbT/YeQI2Dy0KzocaeJ/6g8bgNZn/hqKjJJQU8HgYE pU35cG0KFSEbww/4LzRWVh/QyPOJioJyguWg8V2supJb8ervYGBN/ao2urEPc2hdaROFa8gGO8vT OY166rb3Tn5HmzSgoGAehXYCIYjG90ndXjjae2wHF0l9lsuJ6mumojMK5ZXAvfK7Ss5dplb1M0+h yjeYEuf6Sa6Hssma1YKabBVrQbn4UA7sK3HckVTf6IXKwfGaoCxDrp9UjQ47sU0Vq6TEGUn4lfsY q9sLRyKWCp/o+MFBMIPz2st8h9Lg85Hgxx9yaKjWQB/X5ymskmN5lDy2C1mt3l3IqlTT/gAIcW2f pxC2Qkr4puiXBkdr4s6bg9kw4GIL07LS4Kma4HhRxnCuZiangF8T7LxMSvSqywcxyM6lkLjZ4UbO 56Nw8xsAjCB6pKcQFbpYUpMPhaBugLEpA2sWolLtRmllHc02XhiVL5mdEDfGwdsukONkC4rsk4VV Qy7ieeVNz7rFOrlwUKqThz6WfYn8k4oP2ngVDAemw3stPh+1hf/k2SdgV56GZ+hkSb1DZFwonzCg WuzYZYqiU4IgU60JTAFFUXFUTlqPLBiH23Cfu+z8AW00gi/OTYdErmGigPHAagbMAFpT3qDq/sa/ HVG5sTPMQZdeH3nLeDkhzwvMLPF7fpc2gbLovd6JCt0sO2WtJnm3yodLcyHiWnmqc0VhESg6COws IZKBvAUKDT5YAx1Le1Iu62RpHVwLtkZRff+hvwUMztzxk2T7HNhZevaSR5GidTbRpgKzJOKkhTMI /Cz/rxohfv72kFxy6Gc5eJBO9p5Vr2O1mE47m4aLNk3Jo/LQ0HKRkkhalQ1OKEPvqGdjJp5sj37O sPeGjtxkUto9yxsKogJHS3HC0Ohk+pKTpy+1nxSYxzoMA/h8cOO1b6p0RUiWryxBDj0tK+0aWbR2 2EpyGJQVpyp1CYAv0HKKonIYjsFgl5JywhF6XbOcDKGqf460fjB7YVTeMC+AgDhcLBV73wiPYUp7 0t0DLKioDnWuWNLCRkJfOHS1nFcFLPtJTr02n6hQAJz1laGWAv+RRqNSt27jUSZqjsiIjS2VBwuA WB8A4gnnTjIJzyamw+fqA/R9EQ18XhIY3nbVm6YiqMDYMrFhCUtrM7juVl9pTXYAuPAAgwqdLR8f WR5PJ2sDN/BOUygCntgY3snpCR1SlWZLpEowVfeQVSky+MXbkjiDtZcPNzI4c9Z2t8gAuldESEN7 dJCRjP+xS++ogR6aW04qXcCKxgfDY5RdixHMKp+P1tO3ymVBio7+mM9TFJFXUtLOj+HqOy0310wh GkuRZgYqXoFB4G9p8jtnxinQyMGrUHv3RLY8kOQdMvVUJ6ZkOFpNeX3jVra9cFCvqwD80mviDIUc G6YuaQjp9nMitMYPeD/I1/4j1AT8sD2AjMDkMiugAOlieqiZe/KQXHVyhJpn7zsxKHC5zAK0otS4 fLgI6TTS0t3SNswpSaGaEGHfRI2hl+4bj+JyOfC5zMRZkr4xfTCkAfpgPMjL1vNBMnyR5ORPd9aE noZCaHXJsgxuoX1jcoIzmeMVowsRPJZ9oiLkSmXlt7LMosEOqAyRpZGoVXTW6dq8b1CKyDh3IXi5 rwCveEGB2aXshTtcrZcPdkAlSPArOF98/l8NBSINaDmR7kH+eY2fukfknZGtDqhq3iDoQvbqQKji NYPX6KkDBsXrLkmqMF2pwgH17nMKURvk/GujkOZZtTDQhFXYD/inlzyF6Ok1duomUrxbJYicg2KC vSfzd1ycePplgjp6uwrF949ZGf0MoOgbiZKvcdP5SiTm2GNLqAJ28sr1uE6kBDMeuMCeYHq6ZC6r pqc+04MOJ+4eojBW3cD2sHkHbCSQUNDSdA+HpVPpdX7QamEPYT2D5gdqhSJMnYjs8xoxdYQ+xeZH MCfK+bDTgu98DZh6FqMYTSi/4IYyOtLK5taqAlpmmfL+a7jUPazsmQ6OPV8Hxwk3R5bFJXgBWXPW EJCCnpvzfOy+DuCToPHXYKnjKEm8/MvyQXcbxPequRK3z2ukhNk1lQJF7BXXLd3SOD2vYVI3YWwU SL3KO0UCYvuXzAeJFAB9yOl5DZI6zn15NuTmg/cMmLsBdknX5rPdM0XlFmWqKyTLbtAN1CO+4n16 ECTCQ4gQw3NCFmtNVpj4shDRz93weY2Q+Kf2r/mBOGWhRALn5zU+wkOTaDkak2l+ivmlFCRew3EE sMSRlWy0v4h+TkmXWXous3PcQA+EzUxqCOFmhyEYMh38Vz+sp9kGo0nf5zU46pL0Pw8mVcLTvmW4 0tWJbOdU+7yGRv3x/9w/dlb9QIHDJug1MMKuXOZkffW/y42zR2rX1Hq4i8xrWNQTMUDYNbKPn48Z 4bnRjRfacMWf34KWVuBQ/2zExoWdXmtjMsx+DYpwWqqcNE3c0j2todEhLXAQaT+vEVF/NC5sfs4G G634Af0aD+FUL5K1GE8ekt1lp+wyPA8hsocuO9H8EEhY17jDxX91iKRxg9V0QmlIA/H+mj9or/xk dP98hzFfe42FsMNkQSz5rwH/FztqZ6WzMU78ild8n6Aym00Q7WOyPsUm6DUOwgEtY9RH93uUZe2T Ctj+j1mjkBpBmd3wgCZAbmkBrbuA+jgTgkOZF/xZnmTZLkwZgKkAukLn0uNq8shfgyAuUlaPprKP 6dlHXw3EiB/2QvLnNQSyN3xOIOQB6IDZ/LyWJLuLx8/WH2mLcpV2CCA3JFNxdbTghE5SIITUsw0O SB2wsSSMOMEpvcLjBdLEE80lIALm9JZJWXRFeS1HYn5QxwCgtfmgItuq+A0YTHc8/T4/LrALI3ZM 0FkZsy0/ol9LkVi0tIxbbFGYxF61hm7LrKoLIIBAWsIWv4ZA52juPnjFFiIBZbEQeaJpGKNuMjLP 5tqoBxThGgSIo0XTaxWSL8vYJ7OjxEEAy3PE9y5BuIw3DE6gcUNE/m9PNDrQA7EYMYqhR5LX94PJ oag/l1Dr24tGGUB9IxlGU0Ql6yLp2PIlHQuoSaa4G3LXJtoX+m1n121izPYDDeC++bwz57jJCI5f tCHol9iRyDBkTftc/p934hx22fRjGo8NzLQcmkRgCOaIHSiYiXsXN3/Vi+jWJaHG/fALozmanBxQ gmywiwxpHdipgDbAMZ7Kwei4FRjGAuhAnLaauGjw8nWDdUR7T4YHNhj/uUM144ciXnw8OKm3LyTc W2CojTazz9F7LO3CaJteioIE0OOQ62in4cHiWWgX2xXM0eYCqolKxByc5HSuMog1ShjtHKuZWo4D tSIkavBpuLHQEEg3mKJOqBKdQG0wSi9cLHhW183Hg2hoVJsigcCw1fJdRlE8DcYYuih3Ge2ZHIVD RU7TsizTcadRQN3JKsxyjM+PYzyU0M7PjLyGp9FJWTNh8WjyDchgwZDmuc6SXvd9q3XyK5pIvRyU kZ3wYQjVVQA6DUPq8R0RweljAEhqc/QeU6NQKJ+YK6y/d/E5mr12B0xQg1el2WiOiDgdm6cSB+uI QNijYzeTAoa8ntk/qkU/AJ38oL8ogGCGwTTfNjqNmNQXXvkNra1qlB0YibGCfWKLzztTjif+96XP Pg9Uf32Sori6M/Hoqfit1tZVs4TDi51HRJUosX8PrHH6sxEyZ/fBy9ejoDS4KLDXeqXj2ZINZGf9 HBbJ3tnO9rrvs7RZResQbrVBIKVzD6ypsmwpn3eWXDd/vK9JOrt9koetSQqDa6k07wso2XTokhV6 3S5nOYEV0yRF0bXLxit9nU/6OuZJpDqSvkasN7SyOEkQgZsEtOQnO8tTr/t+IrFkghxu+aCVlM6F xlT2nMH7806R649+3DbqPMEp7a6kKMAmc76gDuuFxkdYB5vGK2hpO0UuirBhv0JzhuKD14j2BMZ4 mTUzvCs3KSjAdlNQDDNqVB1wzPgl75M0VNepNP3olSI/DLFPOkLd9546Hw9iyJuDiFgB+6N+b7b3 IBvqTsaryE5nImJect+juaYO6JNWjI2ibNS4QG+mvC4H221n460zN4Pg7lHhbnkJPaCBgUh6a9bC Tr5H2Q5LaoucJg5WJyIPgUsDejpRmL3Td6EoQ7u1oWFkcxTF2fKx6KO4bHyH8abmiD7oqqRNcmm5 jsJAm2CkvhkocbBju0JZrTbpCsCzT45xG3yTDkoQYcO3sD/1utEk4dyGQaMPOpFASWW4VImMiEJt IapurlaIJb/FxijSrlT7GnM4IGksd0Or0C+3qy2DdqdqYxhpD4FKZUI0imOVJ5jHu7Kyh17/WbPE IzWA5gbxGODHWb2aDNjPOyuuS2iZWl/bByMJnItNbPlZ8uedFGeHpuaIhxcUv2bxQ/udE4eZNek4 40zgH4cn/C0/ndnteMl3Shyvf2JrKvcaB6cwgcGZqJYBQY9WgKSDINqJaTZuwAIZXz+PWHR8p8Qh DqRHw1qUtD6fe344pSM4jxgKdCievFPi+iNepRgJcceAz5NNUlS2hnEK6/qPJnpyy7ixspeNkL3b QnoPtWViiHrI7D54WeRMEMpOgwBAWKxVEuLIUExYDDBt9EBy8NR+J8QhSlJbFh0HBNuoevPSPrl4 Vm1/nFDy886HY+skf0XbkOaqs95ZCqNt0ppPGOPbjS0PL+47/i/t5MZ672y4LvoV9D653Wp+thuQ 2h0SlJKMOykoWc0LcN+coJDSSr5W6BI7eSfD4VuIQVrImmwwzPsJn3QmnQDj886F85tcWRvhpMTo JL/c3rlwSIgpGMf6hxVI9k4OQUrNKF7nHVwY/Z0K1x11S61FG2wtnf1EQ6JK1O3J1nalnjWu3oGk ncIdVqMt/rrRhiMFTl4WHFQiQdLDywm1pM87D64/JtbbgBDrrLzkOck7Dc5XEv6Eq69f6q1CruX0 rk6jIs5RVMfuRmeWU2O6sJqT9ZyTR47VCQXVc0kvdq0p/IkDqcgNXRKyqNd/3mlwbLdI1kumKN3R hYmNUP7jiY0/7yw4W+5foST+qo5NZ7P0Hm/fU6k5CS536vWY2efwU2kkd7N458Dh6GaYVNeaPjhX sG5Iu2b29gGJgF3borbKz4YvbUGucNtpS6/7fiipOlJb2T7wS3JHl8vcF/bnnQLXzXPhriQIMHd2 1TRHYbhdTJHi2n1pi7EhMuu4K4laejiT3sNtIA5JECRpS4OHkhAPmsC4AN9+DtvGij+T/4Fa9smf yk3cytDrBnPEnmNhYnwGBBHcIxM4VdZMoOrweae//bmSfuQa26htq0mKitqNwoydtAwtJMFpVPRP JkacTjBosKN38hvb+jTVwyay4Q9wVmUM0DI6thRAGaB0dLR+oF57bzfCkd/Jbzx46dUAIX4bdG6j mSx7tJ375537xiaiz5EAlCe8RTZmcxTF29SiALDpVttq8zLJeWWv2i4UFLXZ3uPtLIRtoZuvDQ4c HbBCq1lESgCZWCYBhwLVsfYz+8WHUFv78856416TW6yKbu65Dn2qwgozgMjj80566yaT+4AfICZT l5/a75w3LCNZftDqWPf/I66b5nBCSSdZj93rMNzmFHXRJPpDk0DbabReKE5VgGpbtIo7AeGAuU0W hMY0vHAMf94Zb93l4yu8CmxQ2oZ6ZJdWxy6fd8IbL9/v4wgHWJvds7Z3upstXchUpsu5oZ+JAknJ C+k4StZee2e7dWdPwkjHB00RliW6Rzhy9mYWSntiOMkh1wHvZUoRDh3+orf9bYoKjDtsMJDRpL0K MttzYr5T3bpYA89GK0hcUGWxKYpi7ZYFFc1XvGuvq3FGiQGTFnSI9jvRDZuAUpVQ1PTBDqPOoDJP GTSeo39VoSQhmQVADc56P7Db0NsGORtREFRBt0F/a6ZKB2/+Uj7vJDee1/sbJQLN3ZnvRosC7UZ4 9kqPlwVuaGMri0BC2S4kS4Rnv1PcgFLhpdYXEeocbKMBonCS2NlVsj1bbYtbmtgmBYo+9QtWoz7H O8MN2QjFqGAfQqARZOLNTWAveTTBhPDzTnDD77hv8s8lCMhZg1yqTVMUaW+zsRy2kgod7t2rgRrW oo9MiyLf+W34mSioOAeTt6nqoG82cNkIFzn7A0uJEzoo800wrRdIOonK7+Q2FrYRZ9dJyRcOWkiD Blk8hUf5vFPbGJ34QiIUEODbSt9MTdF7oC19FqT8V55yzguoGUDBaLO15tn/O7PNUkasGrJve77s 20kCWpnE+aPN0BYB3Ak26Mj3fyCo6/0Rf9ugh0QhnEIwrQadR2C1yYYgdb5iVGm7m03iOZiecndb FGbLRXKywGquKGvcm/9qTWSZDyZ+UpSwMYSU5mJ7NBcHkEY7Dc4RsB0dVMIF3htPsPO5kKp2mmS3 1/2tHMniig2qIm3QNfwA/Lxz2rgi/kjYMkDht4r0TmljwsYIss90U/+6bgSZk8NGuuNC3xltt19b iwQXJd85JTORkIdVzdFoZotSwSg962aguuEa6AYzfuezdcneUt5UYqdoyHOHjBNUDG4g6F5+3vls vMY9hMTsolw8Vr2bLQyzrax97XWqZGGZi6xHtCTfhRSWtbWCEvu2HG5Z+8zxkrZLh/75pvg+KyHU 7ioQ7PcYEkXBzzuZ7Ski9SpsP5Af/PlPBlIGoRLjbIrPO5nNmlBPEDlIjcsXARnF2fhWkCOtvUZ2 jTvGw4fYg8jdrNT2zmTrV7rzr672yfr7XENCsODMATBK3zhs3ASlhAqleC8ikcn2TmRjuEIE9iY8 QomAYqR1Ln4GAOc//Lzz2Owln0lCBNhRVDcYZBhpb+pUk+f2P1l/LVfoqpS1oJAZ7ceopP/OYrth JOm9NtiRVBZELjM90XD8n8Op0VgXMCTwVOgzYCuJfb7PO4utO+2o0ToWwzQ2GrwxtNtynZ93Eht2 a/8OtYFI+BmlXaxoiCFh6k9WNniiOMLZwi40RUH7xGjbKKtiJb1z2C4YEr6FPjgY8pxPY6KTwE7b Sa+auDTnfNgU+4IAkVX/wXXhl0TbjYcRwiMbDC0KMir+sZ1U9/POYLPyxNflhruioWVvkxRG2wRk U7/PJPQplSXE+qY4CclOdHjjSooq22x8FLaebPB2ZIJlxWBle4BchI72krolJNMK1CP7k7V1vW+E tJGi6W4+2CzNzQ4UkJV8/L/E20AltnbrI+/stW5kCVgQug5lT+kaMnQTXQR+KJsg3jt5jdtNOsLy jltf3nH7BOvnWJJvRT+zQJVcFh73xudtuutIdpm8h3fyGpGxCiXT9EFzBAQPI3zI837euWt/1LVV jZygPjyTFNa1mdruLzPLPDxMgtWB3W6zZev+v1PX2GxjNoL+sg0eSkJQIDU5WhERCUrWSachAAmI VibFT7mtKiTvzLUuoSgIuPTug8Xbtco7BoCSzztxrT/Uf1tIaEeV5dXId94aS090QJHjAuYo59v8 R2fbYSSwAdUcheF2VgxJGgQHS9wg2Q0PDtb+4bELzB/OcnXwTzi33V3AABLvpLVLgOgnvfNBUwSb LJaI4djzeaesMf3yVls1a2ckSffYDlEknQV/EsxUIklPr02iirigG8I/zVEYbnOzweHLB19HE8dS plVFgsdukf0u+tvnxy8/SGg92k72thFki8rdIxUfVGg7mab+TYOX5TtdrUtH/mlHgmTQxw0k38lq BDHx0K4X1rYILBNka1zIVs/uYvXOVetmGX/Oau44Dp7/n+2EEja3GvQGi/TMCuHAAHrVm5AQp/F5 p6rx9GzSlBo+aBmdSKAncRtwsUWx9p+ILdCkO13aNUVhrM0IaZXr07iKzda517r7NGZsGJVIWgjU LiL4G92/PjvtvHcH6oxVpAS/cna+6dkMjBPqoWZlCfQ9vyO4+9moLVuqbtuNviEWV1iLrOfUxONB EHmR7EUBJxBQN4gMI20htemDazjkZbl/Gcu1Ac85mwwc2aKKtqaIgZQN3q7NG6aaPIxAnm6bjMfd WBKZBGfOqzWZ7HUDtghdmGbmocTBStojC9dzotSBx4PGf7kHNk5nAIf7A7LtUaTdCUSmHYLpk22T 4IJ/B/9TKSFMK/v390hb0qvYVrQ74WA1pJP3n/RMsltwhG9qexeEZg2lvn7REWYk0GPKIysjOrHL PbHPMkqT1+j5PD4e4ZC/A23UFHu+l1qP4myaA0Ao000EZre+2tnj6YpFFHLLOUURgkTVyLmpPT33 1Z7eUCkbZAiB2g+XJyEDoXuN+xqwqZuwkUiELwmSEZksTF380y/+k/mfXSza40lGehRnP7QsztGk ho5DI3pc1OYZNIrTahZV9cTDTiv5pYaIkCd2j4raFkEOesVxcGgkIMdn3xa1aiE6DGJWgUsgFKl/ KKPkFVsikXoUZZtDs6Lsc/1CT9DC7DWaXAdbwfMB+fHC/pixgbo00JC2Wfqd/ni+Y1nuf8LrK2Oe l8XZiQkE4+wexdlOXxO7Zs6vjO0kyGmQvnYiiNrBe10JLicAkKAsJn+TKUz70vsGARKho7Nw102E SyZv31NlzAOwCh6PKKJ/1CPh7EYOtc1SRIKUpmvTJUY+pLrbk67xJlta8deZinmPASQUUZ4sa3Pw +iDu6ZNqJbbZkL9Rc4QEpwnQtYni6/rv9rpRK5IbrcgxB5JGav2c/d0p2vszzlWA54PL7WKRzO0S woF3w4WR9hZTdLhCxBxtXiyyWyycX9wV8XvMhGSkvfr0wSZpnKShwc/aJmlSMx+EuXoCSBQ5eLnZ oURB1x4Btmcxvw4NUCyxmPncAkzgzq+z8fy/NpxWElRhtkcAPaxsdwqR0XWAFZJWaRubpTaN5J+i B5UyGji541CboU9nkMjBASTw9exQ9mtpnunjFoOKBow6oBBcQLy72ptTrxuVkdixzQTa1sSXl/lE R1pJX65WCz4ggrV9k0hQf+9j3VPpPdpGi28qOOqcD2C3kHKcqw7gHhizQFwrF5IYeHZH0fbe0vgp 2YdbbFvQdGKxDexgGmMu/h4/NKQo6PW5WQeVN3tU264sSLZd78D7baNzzoS+nRnE4xEvO38d3aAc 9HoLkj1CbHc256oQJLT27Jg1lpEAkOWiqgmoWwmQ9QhBovutbJpOlc0DorJHMuoA34aim8jVCOo+ W+bMkQqSc9xmG0x9Kr4kArWxfLRVTNruBjdP8izdWNQA8Pi/1hGDqgyAVU83KelhxN0ERqrVZmlR zZizNGitxGIbbjjbb1Fte2l6Jsu2HOxQwmV99lwWjHQz76WdyTlENrrvBV9pFUmysPAlQW7LdttJ IrMPxmrbiwgd4JEnHo8I2t9Q24yKxSByQwztKOJGqkSArV9v4Jx7qLSSh0oD8bQo2lFtWyc3DCR9 cBQJ7oWiQwneCrDSpQ4Aqlwo4e+yjURiStwjirizLNEXce3VMZgAcJXF5PQcbRmPBxH3+I4mG5Hf xSPuEUXcsBMAimRvq0cuGQZQrKam7PXI4jZLI65syyyvLR+8IwlJn4qrDLsNLG2WkViyRE3pZFFz XNkxwO/wJVHNllo1SX8d8k0p1HRqErCRhPR2hKXt/cAjxcvZJ2a4qO0Ro7aXTmtvJUljwAIlg0pW nCAWdI+otg25BuDL99CASRrs/8N+VdXRc2mf/6LITQAcW5ABICZ6PQLOchz4jgBD2ni7AWfPoRnT 5gShDEN/oEGFxyM60ndLshmQzqcoRJIIspX2Rf+vp/9PlqP6/3lbDWBEEfeif2cfzYZqUwTDNyiq SpBloLAg8VuUZuAc8ANfDA8AzvYe+I6oRaK8VqXbPFzlr7ZJ4aZztY2Nx/+lGMGGJD4l3dRtROE2 gyTXQuzeRrL2/8pOkMgS/cEUReG2eNrn728++KENUEwGwg/nERgFrGsvbA74k5oZjlhtDPbxJb/J sgzhtc8uQedAVds+alNeXzKej9bRvf+5SYFJqO3qakTx9lgKksa8lK3rkNeERSZlC2Rz7bUo3jZh v0ycbc0XZzsQbQ9YCxPVflLRSRm1CYHHRt2wln0hUYAG3xHsNSa2ZVBEi4Puf8Bzi2oAY+LxqNd2 73/EkbgmEYzYFIVwbRb/8Wc4G6l2d30rUgAmPWJOP7UjuHaR5mimRR4HW0htghwxlvjaQPDIHg7p QQM/DMTti9auetvo0B5kahEeScKWDHCwKLm5mduOMNRefhxtQ+TCctAjpBFVtuE0CFGofiGk8/L+ S3PGVtogN2qOoljb0rZNPNIZsHAz6ySLEi204TgHVW+INIBs6/QKqZCZv3OEVY3v+C1AqlMibOyb cX8AvWKXxEmG8HwUa3/f/mBFtX5ZbSOMtZdZlznWZvbbIKl7Os0WiE+bozjWpjCt0LYcnBxBQmyj 9Q2YEk1obQm8I2lHt+ruNUaRI4y1m6hak5ZTg/7yYkecG3MRlHmS6vUZYXW7/olIOh/XrxDSiGNt yR3W5DHSTjdG2n0bRKIVNzEbcXVb0/MMjiM5Cc1SvAY3AIr6IWtj/ZZmioVOSk1SUU2vG1XceLVV Ctgi8JKmCJzelkq3aFN9RogjGZdFysvtxOczXy2SGZa3gVLPMkljRjLI62RGAtCHZiltmLgzb5ux oN9Sf6T5cCHbve0TijWxSKEjID8FxDtwCoX0ojfbyLSdIY5E9klMtzQoITnBXGH6frL/iseDOboh Eg986MX0egOAGUXbYpHWPa/LGxClnKMTRi79Yz1TiOuGcxTWt8X9F5lUXnE6twHErtR6harfaIDG ItreQLR3MpIe2BaRdfiSKLelFsmWZec2n6Zzj88NnComqRc8/q8ziewsRqXzlm5nFGszxy9FXVvG 2suyk3MofWn/Q6lbulExQ1LGSWS1lXxZbeOE1idCBF4EKck8MTIFEtI5uoFtw2xArEWp7cr2utF2 w82fBErjoCJJmm0bbHtVPP4viATNBU8wNXC+2RxFwXam2/KgzJAoJDqdZE2yL18LBDnNUVzeZpZB 5SwNtpBOHHFW+VBPEjzlUuVxjrUOX8NWLmObJoP4jmCKuMuq+H8crI5Uh3zc0ePE45F+5o0jicyF Ygv5mZqjKNpmLRKwRbPGRcO53sstubLv1IF0ju0ZRdtDGJsuIGl/nLs3UnIap5uGRBv0K4PU4gQq GaqdVxyJqO0ZsiPZ94fFtQ8Ott2bhaRziFY8HjQl2/fVBsWWPq8I4gxr2zzB+qzeTGqS3Jj0TJo2 R6ghmjzCjFAkYpC22u5gc7RaPldRYYUESxPcP9K1zpagb9JirK0gqdrbBod21wJq2Qeto3MpJKYY SN7weFRrS9+xNuZ7NY8jZwQiod8QmmxORZ6aLfFsUn2KSCYQPaPKNrrfkNCkEmttjxIr2PFnL7BC gnICIG5IR/ADtKWCwUNoK3rbYBWR0NaoqoShe+oPew3hI1vD4/9UIlGmB67AvfqjUHtsy/en19nK g7ORNhJbkgAO6TQKpf4qQ205dnO4eS0kYLrko04GvXViL8qqI9Hq6SqNL3/boNUm6P+QVctwq5Z+ 7plavZGOxyMQSftaRZChB1zTpygCkZjtezIVBNhED0/9O5c9D2zKxWiKokhbeqytruqDrSIAwIDM H3Izr6lQt51i45P+f/g9vapNVPuMIu0mwF9Xf4S6TlJkPYfQYul/nXwaz0dgpD+6totFLS/9z5AY yUAbR7ZNktBoEpCYJnKH8GiaxPgMhUhEjCzEJI1yNTUp83MSGyIkTmqfSBAFOpngiErR4yvtDxAB X/c3VehZ2QHgYLS/KqVL0P74jlGcXb4XEnotz3G0ojBbDlKDxGreauliI8tWxa2J02Z7bUUoklEl iUSkBAffa/C+GsK0VbihivO/oH12DhbcRNU1Noq/baSLwEvNCpIO5ymQainqIJ1wBY9Hmj/fWW2G MwfaxzZFUZTdJX2cLnn0RK63q71MUgL8xWkc5BVG2Z03fpZDcH4cgjv0tTbuIxxHoCTTIRiYANCq ESE9xrfT3jaSZiW/NkvNDuVTlVj7SagUQMJ4C88HW638kYqAyX+yJL/5VyifLZtSF4zAmd08yh5y LOWZra5k4idFCltV1Fqh2uTVywgSVl0FfC+WR8bkOjprK1NPE2zbRzkSBDN8R1Rlox93YSbSimci GQzexeCoLT4eZSJXX4NgU6DIHkrbiqLsXlRmWy6wZTw2uWtZLwAqJH71rxCs3XXn7zt4dITErKGR dhYSpDy4HTNZSGyWA6DglLZkbxuVtHkOJS4k4pklinGiiySxDdSq8HwE/fPwiE02bHSgf32SYiFt SkbJQIOI9sJy0uaBNIdN0kKOrUkKqZFDGqSr+ODVkXMx99ZZQ4IOFfhGCLOxdaY4dXU+QJup1w0K bQT9pWoDmCIKIveJJ8kJBSQQz0cMEr/Z8BiaygPhuU1SFGcnqvnXMTynrRLYXNSIaW52QOCiJilW ISEuYrTpg8MjIHU/uLTPwcZFRngExG83ZNAKvVNkuUmSBr4k0o6g+mhjR/J6C5bVBjDh3EF94PEA QrIuzlZ+JDiSlgMkVxRpExaBXFLorJOwJWMlUQnetdpwktgkhZF2lQqptlu62w3sVMhFkPR/zldg YhvlbBB3wgoQlXQvIVHaG18SoZGkiLCHBoXaaAJCRAgLKaWGxyPWv5/bJjv1B/NvhfTIpp42rzE2 /muuxtcyy9ZCUlsyYNsKAds8k7KaJPlxP+pn9k7cpWJkK4BgLjptIsuH/fEPiWwOIZlDrxuVkJSI SNGOBBD8YcgHSc+BelTF4++7bV1FOwYLJ+6jWZnNUYjY7jqHqldHyBY0CulIX8rjpou04rK2LO+5 njjcIwk4CHwWlFqw3+hUnqC2sFCGtGBbxGQcnviSwD2Dl1uZ4iJPB0eckJLbGZfb4DtG4uzfqPYG IMq85doVFrUnd1hvHiSt2V2opdHtUnq2O5niz4rVtTlHhdktB09IGsL2LVlkUCKzoEhU/qV9B5jz HiWNodeNepHcbELcclCb7SzTLADJyajweBAA7G+ODQXt9oW17yjWxjLH1baXkyOquY0U3D3Osm3b wRE75EYKrsWgR4PNUUvo0KIkAqjtye0QLq1MjAQ4gT+j3+qIjLd3FGuLPkpDFxusN3IOPd7e9RxM eDzi2HjqT1lkFJBG8zN7v8facEEA2G89qX/b29IR3NVeHUG4bBrtoQoJS9mZLrUavFjbgZWsWSLt Aw6n3GqUtKH7BCACfrFR5X+HiG0KR9QmO9vmdrYnMZmDMKJ6Ehw8Hlxsj8BmVvwJmO1dRyFku0np f3glMhXXji40ujAE6XYH9x3G2ktqtmP5YOdRV8bPEKmc9TMZJwCcTXICyv7zGm7TdxdfEpXZJhVI 1Bvp3htBD9h4yKVvPB6V2f7QRUaqna8Zwo5ibfCFoUBWTaftvOTcBh+B8LjDRxiTc45i+Ah7IpKz qY+czbn80TwazP3BjOjoAp8wEpYkE4UUOqt6/4j32o6C7U0RUgabNihCgsAmcUgnH+E7BtXay/mb lti2fGnIO4RrZ3GPm8v9T8lH0DFirMezZhs9Yse8SFkeru6DzRHVttuksRjOo5nohkyRyo7iIta8 19lQo8Z3/Kb2D30uH2wZnUBV1STw2fa/5f5UtwRaO1/S/w71/iRDsmv1ORq7OQwp1buOqmuQ7xA9 wuSfBQcbvIaExiPk/YT5q9wiZ45QUaYfVaOrhsDaa+htg/CocI7QKrDBmEiANpGtVXFmh8Y12c+j wdR/QSj2TlGEHknU3IH9jtciS/Fl1GgAKLYWVpSmKEKP0Cwa8zp8cAbJIMNIKiRo5ZFFgSwfnvTn 19/pEtp273rbiDqKLTY3Jf/mdkMEiNEV7bSTNeHxKF+7y0hKXPBjTR5m7zDMrpJFuKQ/wDuMrAUh LDGRTqBPNFvnJwUNNkFrEhtsPd0G24KxC6CR7GZ30GKHGWxu9np+ILhhypqUZ8B3BBFkYUlbQBsd 71xGYLCzHnM+ZuPxIBUZ98Qemtsf0MZ9jsKadqLsPdFn2mrSsSMxMncnRpaMphHnKA6zWe9frCRx 8CZkg4rGdI/2nonFAf6xAEs2DWEj8MieetvfHH5QevRBc4Q0gbSGVuvA40F1JH1zRwCqn+2WIncU ZVMbQv7WmqJd74nNK8csbHDWaKtF0BHgGdASkRxif+QQExXnuoEioJPfRYxEVQJiv6TXmpW2v20Q QVI1uk3B/md2l7Et1SbqRgw8HmW0PkXEjeD791VDFFLntcpGychJUzPpRrTmCiQtJ7m0n2UEuIwc x9Ov2trAx3QfLDxCYwTkb3qxQaYZVKITKEBzCVbBg13EH1wKWEnL3zjgj/LUroNWLZWyygwH50lQ SRMGfnDyAyL4qB9KLDidUG22K9LKPzk47rnLGgC9/8PeO4HlMvhIK1vAm5O849eWmH2Ko23aZux1 h1tGOgtzINCFAtbeC/pfK8EG6BxI0FzikWSyP4y28S2/CEitRbi2Bn7LiX7h98YoaWY+H+Qk19lv sSTZueuu6H8K/WykaI/M1CAk2dvaLTuIG0USF5DARwUAyaKadb2DA9vOih0AzKmUBKdILKkN0c3d KNpGYpvZi2Z74QghoVipELrFJIxB4PkBGs0awVZb/IAgWsp/oP9RuEvj2XtR1I0CxkkVk6dv5x+H k0nzTt65XXNun6jQJ5I1kiRyfyoPbwtO1ydvprLN2BthTuXeQ/cuoQYDaNrTvC32xr8ySfZMPijw 3ieFE98GGAA8/18I7pTtAr7SJyoKvdOQbotVIZGp5G2HVB+7e20SRUHbelGZe+T2St3CUXIWvIKm k8ABHwOM5EbV7EzUicALqsZWVMqyIU4RngSHRRcRzgavmCw2fc5nwEsihYCSp4U7uPmwvNd4Nl9Y 66bqRmMmzgplJiOWVMAiJzKUJUZl1YSmZL9LbtdpncrHyf5k/CidsK/cQU9BKwmVFIiTAcUFY6lb okz+wr9hAcFa9UEzNVMVsr72tPl84F+b2nfl5NyPA9Vgn6dQnYT+iE2iCbz3xpUCgiuQw0pxMdm9 F8XhZts2JC415i3lwvJRyn1nns5yAhEAtZMJ4u2JqFDwbhcOyCopv+ZXGbdChhIHY3H1uQTnO5PN 5yNq6R+HFDxkkIv4RIUaJVXYiV5tolbxiYLSrQcIoLf43gtr3kQpvV17BdETbZnPuTkhQI7uyWYW Sn2XnK6XPUS/7I1/o5fmpL2XiL7nIXVON+4mSMjy+X/qJvFEozrAEx+EATlbcbNdeclF5w+BTLKh KiqwoO6/lSKpkppUPal38IIlCvAIEdiwRHu4UaIcnahB8hcbKAYLnPa+UXigaq6ZldiXFCDdzevu XIV8Pth49bLe2WdqCFGuwmROUVSOiBh93eFUJXOXYH9A7ScmwMCP2jyFxe8tFzd5A5QrDDRAJj0x uDknzUr438o0sB8IZXNJrp5UGJ3wW4JqEzECDSG9DYIszRPjbHW0GW+Gnjcj/7GeSHC5J1RoL0kC b4Z1n80T+NeevazkhYI8SnPrxBBsIlygDN3aY+h2dtpGwic9jpkmyT4LkugD4BCEJ1QHlgUnXbZz YDCJVcv4qZQ7qFaAkhPnCaL6fD6SUbjBAQsq9L17vAFDj8lWWHRq3bVvxyxedKJothXm6l1Qgckk 1LiEXHoGKxfssykKzVC58Rr1hmB4T8E7/En9EnLkVpJ/MZkUD7dPHzRPrZFsTdJf5fNBXP5HtMn1 1G9/N8c2k5UpMO9jKSm15EWVWa8CDlyhVTDIoc+kUJE9iZCbLiF3jtzhSk4TRTiSob/OgBQ1H/Cb EH75NJVm7/ubQmAl7mhXXgIqGZxsm8uppzr4fGSj5AivbtXhH0oa+TxFIoEQE8w6Um05jXl1yyc1 OYuMlO5yCqNylp2mJKfml+QU+NwL1hIUwKuwvuVR39CLrXIfvbIcbITnwGzStx3Uh3wQOufE/PR5 xvqsfD7adn8wTtDi/pIuzaHf5KJc0Ch3Pc3kckEsFtk85eKFzBwYTibiM0E2LdsHr0Dt8/8Tb5UE CsEJn1lcoHow6Fp5PBVxaSrl0HJyCQ5XetZgKi+062Pb9yRtm88Hrd7LFpTPWWc5+s5TJM3N+t7Z bOPuu53m3XfM8rjvsI1s34UR+ZSEWV4+2Dxt0rsgE4eiOJJPdukWoBgdNYRcxgUxTwUGgemkm+Ai uPNBsMHzhl6rW4XPBy2o9IfMK2QJRnr2XUirTHLBKQ5lWqzIE4AC4KiVDcaqBtLNoe2ksN65peKD Y73xw6dO6ukkBJXrKaPGOQtllZHkW71u+QtH3BMiB3pqPhj35MTkUjE/a5/PR+f4N0wXIQHyzztP oYzJ0iLK/c5TcULczMM1g+Hz5/MUWeEoIC+ap/LMEyS56TYiD1OYygmpg9B4ouH7VFdKqtXeN4JY sneQqIvPQeFTg8iFQBOp8vl/OQaLrbIpWvWspzAe577Le1x5pX0ZKE2q5mBXnJdIPk9hhZznODnP NnjiAs0RyJjJ431SXfskLuj5bqRoFeoWngn3Zi8cqeITQjDYjuKgTPjM8FLWcTYHn4/ylu/1hGzg hBPP+RTivquxKputp527M75NdxHFFf5yNk8xGIVBUWfT7mqxArF7cl5QR7uUugH+mRShhi7Ogr6y dKi+E5fQgrJIiGo/gxZUrYutNHS8G58PEpfyDUfJMHxdj99bDl0oWa47EbORvcFFmT5Ro7XmVShE J8qEQxvKRcGuAolSG7xkkCGzP3hAnUV6/gV8lYz6AQi78weNFEN/sxPNL4l6LouBeBsaDCJ3/peb qDUo3WY+/y8VQatd/fRV7/kUGlGOLV08NlUYF8hxOlMcZ5lcN0RNvE4eOlEaApx0XA0O3D03UWXh FCC5Ac4IgTuIBiZUfTrE2mw5yas7dKI07LeiAw4KFyH7wT14/nXy+aCmWb7l8Qurx+1uu9iKcsir i5gmnFRF/AHWVUDnVV0loWRq0xSG48LJqYGXnwbegCBVGnJZhhpfWUCLobfcIbVUTY9CxxM5jPyW qFCH/cbo7AznqvphpQuRS1EvGLcGPyAKyL81u0FiXOWSLXPgRon7Tsamt1JXd29+Ps2ebKLA4faJ ioHgPMchVmKDg+UQDeAEQY56VmlB72PBhYzwahgrQR/23nj+xhGugAF8YuYCBocOZEDZKaYBLRC9 5n8xyW3Yxu0pQIWGlChVwhvHdWBRYhO+EMpINw9mJVcBeeBI6VR5eIv5cJlgc1ea34GXWucsFMrf lGGAsmsGBd375nPZCwfzlJnaJdoKQc3xR4qSDUojXazlNfkBkaTgN5AHkUGb7TnIoxp56+NhzBGC Mbq7Uo58zbtadWWqHNpSCqZSq2rk9amRwxhnkPV8Iqi+0JyfhIThkkeVe8yHo5KrvW8UQTFjoTq6 BhUMAIwRj+dkenw+Wk/5a5oQZ3fKr9k0RQG52Zys5aCwJOllTFOSkTcFc1qtPk2xrCDVBBbtlzg8 MAzMTpMgLNRXhmJ24sTASGFcIN2lNe19IxzGIEiF0zTvNPUyiij6Z5o2nw/i8RsXcBXC5OLxFMih MyWPtXOYUNGM9YKeXHoJaFNtuwQin09TrOJNduHQthvPtgPxD6uG2kv7BGjK74AJ/BkwPSReD8Lz 2HY2TyFehRjVtNIdlN+B4ilfrrUnn48gz1d+CRMFUav2lQiH7pSDPh5z9QdA112CufflBahCLCwT 4cCe0n1zKpQabPBEGGnFGETPU6h6DSXCOLEoNw6RKh1PUoTLoT1lpSR8ba34oHlKJ+7jtQ5OGp+P TqfvPgI91pEg3Hl6D8ihLknaHBtugq2oxoIFdf6QZOf4LLSD7fqo32ThMec+eFt4NVRUjNGLDhLq mXA0OAsKWgP07+CiAsCy2AsHC0o0g8EWHjzOCazAMb4lj30u1s7n/6WfW1SFOWv6ynnm0KZyZiYu wsyRGz6uev7onuDhb/LzKfapZN+8I6C0wQuaYyKSHUv4noRIHwVNAMcwjRJpt+Y5EeI5NKrMhPSS DGyDjnGI1y7JBqI+HjhVIh7/g/w0KCVz8+DQqpJ1yhOkbV9OvRsyHHwMx2SmlW6dLvCqBPSG+vA1 Dx88vzvTtKEWQ5mBBl4dQZmFgprIF1P/Ahl0e+EAZCApRgWa1auBhVzbJIGlufn8vyz0VKcrqBTf czz0q+wGpu/eb9lODSugnDjfYDz9u9CwUkDxNPMdfJ7q+awkQ++zXaiKQdtz8P4GOlD4UOtzdvWl QstK+cJc8VNUL3hHoXI1uKBG65kfEIF8vfJL8abUfngi+0SFxMzGxhSJXWp0EmAj+ZOx5p2oZ0GF tpXErqaRqg/O8AFGEMV/doQrrLiRAIOp+7NpPAXZAWD4ns554FsJHrScqiVg0QimViaMgwmLGMpD /IBo5/1pOIhGNS4Wn6nYuJLwp3YlB7cTNQuhOQ7wyRfgEzhX3hLUZirM4fLF2gktqaSdTiQGGgOt q1CdXOwaAD3juPq87IV/8xy8xoxosTclw2dbSL3qrGd+wL9orGzhIdKYD7YusK/EL4SjHP7hVlqR B7nVNKcyv5MoXIZmDv0rO++6kkVnzZdYN6ChnBuET6BjuFMTcDyzWAy5tUwAorF9UrcXjq486cSu 5INqJCNZfF43aiuBhSVq5N9AKKwvIP7vPEUh+SC3bndmvYoSutc0VxtO+Jnb1Rlz4GEpyRrQ6vf0 wRYUpPTPD64FldKJFBBEQCwrEyBN4Sw/y8lV47f82sQzgb1urOIyGugzZI6d657P/5Nfj8UHU1W0 e32ewhp5EYM1OQRxrWvTULbZElQQ2L2X8IuPJZlRVSFUfUKoE7FWBOkka+AgQbZ9znLwW3OC5ipU Un3nrb3sjYOdl4TGUBWqehVqD2ocMthcnc8HG+/Cf7N0Y9vPCZKfnRdXyZkLSyJ28x+7l6F6y8MY rUBu+s4Lg3LWnwp6mDZcmt3Z2WtRrwH2D4Uq81BabT8bJl3AFF0YlKrkoZulVcmx/mzQzoMpjZSa zu/L54Ng83bPMasZPgazPTio0M+yql6HOkoWbKVABoxlzTRBVaIy+urN1ONyaGhJfRTUJYcPmqgG XRqYBCLaXAkOFxB2TGWpsgOYAUqL3p/qy944YrbKZTdlH3TrQcFly14AeV5gaXl+z0tMkI0aDKPr rdeFnpZ9CUb++H735biVJgswuTVv49vlwNQS4HR67BTK7XHQRG0QoxctPyDXPKX5hRIxunedOi6P 7yfZ9jkwtRRfnm4EZkpQDWeQypTyt3AGgasl0rw/yuSbhYsL7wldLYl2wQ+evVrHhSC3nZ29LVx4 U3A9hbaWi+CBPssdnE92AqYK5CHLBihKbUL04TzQEW2BVu5Z3lAUFfhaugoI1bFsMAoHJPb4hWfx 8vngymvf7anzhT/k3Pg8RWE5gGmArZRrAEY5DVHKWEliNtxJqdZyirVSSHTJRJO3fNHkJxcTd3Ao fTkJDPnkMEzBStoQOLlosVTsfX/zkiFrBgJXIEUXlaHmJpPynMtoC4fWlvOqgDU7yPUL2jyFCuC0 bSR3wNytyTs2d+u0LCpP5AxrnuIyeRcOo/lg1bo0gTMQGRjZ8AmbqCMDjcBOY8J25ZumIqjA3NIZ QXNQlpCDoaBOjMqAGogtPv8vnfQi0H35GfnreAplwCkGAr6chQa9LYcZ9FYthEoL7WOFBr/4W6qs QrQmB6+u9ASwofQJWsURXyl0AY5iB4FmXThrnuqfhwaXk+XxouoKB6XDZ2WIXnsmvPL5aD19h1Do 1JDA5PMUUzkxOY1Nt//ZP7poCirdFmpSfVKBQeBx6VoXaTIw4ODzBHWo0ZJcrlPKhXKpiXagvB/W N2zFXzjgTxUa7uJHOQMb8cL9ArJM4uKJkRo/IKj/XiQ5zYwAP2xPfyrwuQT1QpZgJgRGLSePNedc 2+kucEK3iYpicsNjVIrMcfC2cAezn2+TVAmGRRGcrk86DOuzio6h7zyqy+XA6hILQiQOqTnsq+aA PJQSeidE1vNR/dfrmpQq6rp2blAeul3qxltz3qCcHTjrn4/pEzWXh5qB3eVZnKrXtVx9uPXfDgp+ FWXxBFOsG2QsNZy70LvcV39XtKDA71Iew6hn9uGDTqiOQtdQdL74/L9wmjSXQXsC/C6bp8/f8VNN 5zv/3yScFZoCfkD1YTleIzjTcVDFcCt/R0/4IKCg2DYfe/jgRU3oXLYi8tRCaoyCXh4o/gzIpUCN 4XKnED39HTvpXYWx75PBeJ8ejLe092aN5VycePr/TpBecMvsyuzWUHQYKEM3rd9oetgOPj+jvIjQ RRjXe6ficFf1CX+ezu9gesTCb2ne4XbNB+hASoBnL+STnL1BoAAqxRn8ZHNxWDqVXufnxJZNkmnE PnFQzDTzGGYqv/D02/wA2TlsfuTggOU4ss3P3/GSHuo8t0e9x9Goza2JKUtusmnLxYn/jpZs+bAT NxYx9hwsCoAO1TIs9AlVJ1JUrLCeyX88X7SvC/gkZvzvWEnvWhQDZCKhOehqg4GNmNNn1j5/B0o2 ucKnuMTEebrRMI3T83eYZMuHqjJLKg4S4evdWRpzXIWC4qL7fwdJ+qAhEGZJ3QfbXfNETDB+JlT8 XA7QyucRNNXF/QFAxmJuAF/xFe/Tk3nzN5GmW4HFg+mAzlH5ZwNR8Pk7QrI/VbwDJ+mhmAfxes3P 3+GRHqLBJFrfV56g12Th9u7Z2RkwTDMz2WD5DGEwZ+o+eA0cLt25LdokpfNDgzm0EnlSUs6kf5Ht r03F3b9jIzt/GGVndTQ56CrrIAjK9+zE2n9HRv70/D5/IPpT7gT9HRfZplR6u9ql+ZCdra5TrV79 ZvNaLqnBBE1BviUpx+GC6Beq1AQVwqShDVo28b7awOyzCeVNTIbZf8dE9muq1Tt51XMwct1uVdSV vsrn74DIj1qfH2qkng2Gup3Nz9/hkB3qzNdmaePmIcPBFbV1p0HR2U02O9H8NIHCeQxxsAWEUg+g TQSnnq/bg6Vu2q+eQ+R8HjyCfYcxXfs7FLIdxolBi48DLmwWygZ662bWVPGK7xNU5vhaQJNwFqS0 kveNDmiKW3SFQV1UA19AJVf3jsfhYGLE0QEtOaJBVg8H32EZnGisL6Rrm4AdOsieqxPwgJ8TdV/w ySSL/O8YyH6EKQVUyaMXt0XvUKVlOQYSY5+/IyB7w+4ntJj2xZgqnJ+/K5K2wapsPmt2mIBqJF0J mp/Q8GYxbbTghE5FDoTs2JbHpgmxJgBLrNyCNVcW0YMJV8gGtwUbxGFxi64of1cjbX6gP4jSZvVB NbaFs9LUmvD0+/yYCiHmGPMDS7YG4V/Oz9+FSFuzPKGXNPRZiKRJF+anldQd5kUNUqlaRAEQ0/y8 hWreF9U8csP+nSxDgvk+0GQAHfMs8A2pQpS9LxiODk1/1yDtN6A8M7qePhgXZ1bVFdrIeMPgANp3 f7EEef7toOKXIsQogjagriSsWIHc1Tve7bnjqSRjDMNoisSY+xr8DErn30EYlRToVsBDR4YPjtNG IwZdv5vhN+kPRGuIgR3YhD5oDc2TZzBIPMcZ+KrRJpv56xCC/hVci32OojCaZcXzXdmbuCU1P6ZP xHFPIYjKGHsnnCOCAqYgg/My6nFML2xTLqMOzCOrahktuA3BgkqMtnq4YKPydYODmqCJIvOBcs0H xpjw+sIc5crHo0i6fm20dfbLoAyp5ug9lD5XGdWsNl1FhAgQKYyxENmm2mlUaBeyK5gjaqJgcoYP NkdEY2cIJtDDAjr4uMvYZ+sLRoMAMlsoNOxt36eoa24gzGeDpghePSz3nyufjwfBED1KPdmAUM5g 5VNTFIXToJ4AGFj9MNormwJhpTKzCVn2izmN4mkujgIcig82RYVRUKNdzMCeo1tKpiQ9/K1+kJk9 t5m97vtWg8QcVPUIfeOgfGyXYVJNBYDTOKL284g19k6G6rp77T2mFm0Lvf89HUiZr/3ASskn6RxY t4QdTRKlmtbgkc3BGiKwVEnwPqFIM5wBh9x3kFpBC46mxsQHZioUfF5ocn4cNeK8ma5QhVIILGDG JPpy7gHU0YJJEuuBk0SvNhb5IXdmsxQF1rRHIn/U7zWqc4gXfuGmoLx7Yv8eWd/U7C+anERky6Yg Q6swxO7cbZ2y7ajzAiPirW06D72w5PwAxfyMQgHiUbbTLs9NutWwHaV8XkhyerxRdsNP7Yw+9wQp xiYpjK4pZrnzuIgSoNsMAMCClgAA45KaovBaip89pzvc6lA7p36mHTrkL1am6hd4KtABxMvmJz3L U6/7PklN9z6iNhu0lHInahjhY96fF4acLSV1IDFJSvHxaf1WiKIImzlsYb/UBYi2l0BwfNwKWndG UxRii3BZZYzCwe5/4DyqmcacELvkRJ5Ox886Jr2H8NOLqEPO2+eFIGfLlUW081TTYA1IlKC2EZ07 Hw+CyFm/g+zz20xaI2iO3qNsLCRtzeKSOrM2JzN9+XxSOVLF2CjMlj/T1NHEwXbbyftAhpSxzoCJ qXQvC5XQQWio1+dbhnEv1Div2qj2Ve5gPZDzl/JIAlbh88KMsxBpeyLLnj+uuoZ+mc1RFGkDIERT vVtKa5dpCfaXldLwJ9k6CkNtbrY5aPc1Ja/BczujlkYzJdAEkOITCofDDydSFWz4FvanXjeaJGyP PThXHHQinRRElZFKZEQUbAul5ycSrmN6GFu1MYq1q9R1U3cJ4kmUl7Udq3uhleTV6hdOnM+RvNDU na23O3vyzLM0z7E/ZM94kgIW1AbY7YNNBcSYVq9Ge5Nf8n65bcWRk/Ct4q2o0tH0ZfIGkP3nhRLn sa4HSUSaQMkaZsQ2R1GsnQV8r7dkNKt1O+is43OEe0j3/wshzmsHbBAliVilfFPa8xOfyUYlrZ3T JrUJJXIIZp0tJyNa3MB+HrHq+EKI+y7qp8y+RyK2y5LamtmGh5XF/LwQ4vxq87I+nb7OeTZoQKZJ iurWU/BbOGa6JPq6dvF53LpaddW4Fzqc5/08ZqbkUWe6eS2qjtCSZUJyNmEhtusEM7gkE0yVy263 MEKF/M8LHe4p3yHOlrAlkCIi0oA7Tas7iOuvzwsbzmf5u3aEy7VCfN5mKYy3J0tqvTkAcCTibKy6 v617vaHBx2P7hQvn8Qu1LNGItsG3G6SYmDI0uH1ACy2TNAiIZKL1X8nXDF1iJy9UOPsWcij2IqeZ gxpEyDyZ6MK/5/PChPMK5P6aJHTGOpXjNUlRvL3Ykf0uQdbpeVvdpjsEKMQ2gPILD+4p0NDhs/jg qNssCqoIqAmB8qDd1wB5AeDUQQTSfGyaXmhwvhLU4KcQEwfVSM7RVokqqiPNzwsJzu7fW6XddiYJ oaE5CsvYoOciHvbcdj1nUiHKhHPU+bdwjqI6tianTPp8c/Ay24L6FnCAkP08gXtZVNTDXZEhjPZT ZIcuAVls/M8LB85jehp97ll90Jl05r7KFOVcEZ8XCpyv9vqV3QJZ36Uhwkl6j7ZxJrG136dbEJyw LznSvZaLdL9y1i8EOA8lVMXuzQcPJEdHu3EmaemdTdHME2X/0NcKQKWnm7b0uu9H0qL3cJu9+cAv gRS05CTO4tifF/6b/bXZTyT8P1Y22VTTHEXBNunPmSR+y0gY7qofsqy8VDM5NDqR3oPt8xMTaTsU c3OwEwmQmXNGF8JpIHHTCTtaIPJDMB3qJuWmbWXodYM5EhJ5SwQGNCPm/SdwhZSAXGD3/ryQ32wh 5f01Saj8QAvbJymsaS96WeXbVTvHX/LdlqqB2IDNmna5vUfbKDjTVC+XO9gkIZkFlZa5LR3PEyeJ lmSo5RKgdH0sl143iCRZJqnSRa2ud4HycpnNbOD754X4Zo/PG25TZgAnNs11NElRuK0jqYj7zloS YRYKk9Lysu2mxCV323u4jR446yNfg4XbbaBdDQUHoET23EvgiIYCHLQjkWi4vC6FK19Ib77ZWNmu +Q4qJXVYSvEkPnHA54Xz5rXF7wMJFiRSQlXzOoq2eRPCD8Apb11lSErrluJeFh2tKHWvw2ibsIeZ eSBxsHV0EofzGwxRuWBpBcQzLEUR6CBCIYLGJLywRz8vhLenPXZuxkKvTw7K2qB+JUG4c+p/Xvhu fvl+F7Y7i5Hdi20vdDfPgsQKXDeOHMMjpLFzvWe24/te2G5+sZ25qQkNBxs4RedQhh/qXrRpSDD+ SEzvBkJCnHQ/uAQpCIcmXtHbRlPEnWbeurV7fHRer8iC4PzCnxeqm/2xf1SRYP12FrEXbV+YbraK iDAaY1/lrrK9P1Jndl30DAgSN9oL0c0hRvzDB3UVONgqOrFsaR2/BUAQZ8FK9DPDKLYxRlnPid2G 3jZI2ahfMgvt0DloFUE3Ujyuc2x+XkhuD0Tg/zP2Zkmu7EiS6D/XEpKCeXj7X9iDqprBGdVuca6U dCOz6njQCWKwQYfvGhIkd3Gv2xxFgTZcAnH11+KwY1KJSFYe9crrExFPePYLx813miX91QfP/TdK VJNMd9gaUPUDwqg4fVnVoeqtm8Xb6/6VjbRGq88G1T1xrRrAoURCQODi88Jw+78VEgMAAjlxF1IU aNMyBzNjAvvQ2ro+DRTSEYYdTSEtpKiwDXD4KkDZ+WB7DXYRZyux+p9hRCila4i9/2QCyG4NieH5 54Xb5lOkY4gHNgetI8wPU/92/sDnhdnmYfb62mqQhqho3NkMvYfZqDdYKnI57+umIic6ag43Ws3Q EC+8Ns8StMeoHDTGunAs4DaRgRDkPynvQcYS1JiR7P+gQejtEWYiL6w2uzeXGO+l+KDTaAGVLYZy 5ysGd1opX1OEuntDk9emKAqyO82ZZ72ZyCzDY6PWugPXTyZqJMkXSptBjnjGjEqcMYdb0k4LNG7G RgPC/YDPIh5TVP5DlWrnSBLR98Jo8/uFQjeduHUOarMlqPRnfV7/vBDaPP68J7YcUQZANXcdRVF2 5cWPqN7z/py8XVuyo46gmGxmaC98tu+SdtuCPW7SOCbbtSckgRdNFeyxglTKPttJ2umISkEvd9bx 1w3atSZSLTYbTGTU9jmBS2rMos/l3j8vbDYPQC8yFFOKYvFA3GGzFIXZzYrazS2sa20eQU65yTDx 7+49/MJl83STSgCT+T+Hm4vg4keVnUpBqaIkfPI1QI72ooLgupLesKrgh/zVaSuTu65Q1Zm/Pxp2 g3KC4wRynxcqmye16Wu/DcIEsye1L0Q2j85JJpv1dkdEk2QJKZnSxAmQEEFpkqKq9uQkNei12eBJ 7UnsNwBrPDBO+tYIME4L90WCTkIFPM9LSOSxvdDY7EeVIcoWDHs/MOzaqXYICYb8eWGx+Ut6oM0+ wTmPOkAphoIMA+3NdL80t0UZqhuBFnlmafxIZDFBaZgy+i8ctm94REeB0Abva6d1DkywZpD5D7hW cL+dQxriXDT7Wr6S6F37eeGwOb5BXUj22c5gkucbdybDSFCIPi8UNu+zfR/cGcihAbaHTVKIISly aATs4X+E3AyaDRV0ZhCDUOntbHlIrWMlvTDYfCeIkkWoFgebJAh/IgKQi2WGDvBSeaT+bAp9IbW1 2j+IDfyQYLuxMZIT5XU58NcH3pJeJTDYmZ8X/poHAN+7DZdFw363OQpj7ckcRA4oQo4St0a4Oun+ /xPViT4DWEhRWVsqwmfVVB9st523T2fi6fQ94exAvmSaKG5ANbxAOLI/OVvX+wYnd5V69xw+2CQl yVQBV8nHoyPpu2ALMb2G894m6T3YNuUl0E+qNSM7yXk0Y0iiscl7uBuF5oW69h1sdxnscPAQ4Oyg E4duelacbb3QjTnf6BxOPxT6oI2gSy6T9PBCXbMTtCqvJdKGg+aoLoISifsqnxfmmkcQv/JauPLO Z47CojZ/zV3HrbO1ay+w67UXmNsp7S+0te9m5JD18Hish9essLKaBPbDkXaiMg0kO+QgJi0akfsr sVV55IW1ZontMIGEO1i0DRsfoZFa+7yQ1rxvcNcR7jEgcztl6jVJUVWbkPfc5A6LSTohk9VHcEN7 mDS3Y2tfOGte5+PsVAqXcfBJWsj3UVyDj2WmWCNN0QiRPSsYabWF20JHvDDWPPnHXiPMywbN0WqQ rmLyv8fnha/m2dc9tXn6gKyK1p7NUQghofrdevDH0NP2RltZye7/tlw/+IWu5puts77GsJuDzdGC LXaaLGrDJnN1QnEl2InuDIIPD7e1jqKadpcI197ZB5XZ6PtLdU74WL5w1f5vUxv/AxpsH7cS+cJU s6cSM/55wZFbfjoEbJVZvKYN1VhNURhts6kNx3sfPPnPUO2uBP7lvfcSO7KRIIa4hsZxdhwRP/rC U/PTE+fQlH/cdJvsM9ttS2nvZG/z80JTsy/7q+wP4FCnUJqmKAq1O2tIi6rXqo/07OrvoIdb6t+o tYj6SIth2lklyOSDr6J6AofG1nE6M5H7ItMQrMofik+BkWA2ltRYwmcEPCwqALVEbjYHQSNOeqmC Uj1bGY8HMWT/jo8o+QXPEpuiMNDOhI+60SAS22llthNo3NwfeDGj0kT17MQvjia/DzZFBShm2Lty ihAnSfIcWN6GsIuO4C4zqZ0Wwkc4R9A288EK2jllyZmfExSPB13/7PURPAjaTm83POpRnN0TsUe8 hATVLs01AcXQd7EIZ9O8x9mKPBac4KYPttPWOZRP+DVEV6twi1eUiV+w4UtfZIRZCPS/6Y4oqflg CJuTGdM3+Pw9Ph7QRbbn/oQH4E7Lt+bfoyibcmbn/4BGu5eQrMgGAuq0KUJn26YoQo+odrSlWcrB imznCmtQGqa8JKRie5XRAFJPZGkglNzUn3oaPapo10y3nKR0LXm6dpZnF8XghO4Tj/8XThYwB9j6 PkdhlJ3l9Dm232nq2fJOezy+TwhhB3aPKtoWQDbWIzlYAHlC0hOvy5u5A6oxqIfU4Oy0oSGV8Y+9 WksUUo+C7Lll5SUGdqbslKUiWZr44xx8eD5gPv4izp7nwdsd3qrtIfeR1Kyhwuwg6HQXw7MxvmOY DdVga9X2KMyenKXa6ZPDwcNsOulRnv+8UC2T3NC0WfZKMAipsjaZQrQvvW8QH7FxdFLmoiH/mILB qAaMbJ0vGZzaF4ckPC59h4ffbD1iQNJPieHF7bFlQ4+ehNp1AGHiZoZnPUaPJC2i4oN3R2o5nyB9 iLO8R5FfPIEjE65DJoev25/1yB6VtRe511UuFBVKRur70HCVy+rM1sTzwSxdjC25/2jCD9hk2SyF kfaiBSosbLSWJkuCJm23XR4iUUOOsxTzIDcr2mr7j6ft3+AtwzoCoqIzEzjDCbwBxHX45WanEsVc +3uonbj0EZZI3w46HDyYTrwBpQji1E4ahef/y44jzRgMdJuksLSNugp0+ocVSBquRGlGdaGPqHiA Y47Jf49D7cXQh+hQDo5pOxuszcpQe6IAKQevhIN3g2lcUNu4yptTrxtVkdQ9oiB+owm34HogtnXN UqsFf+BfHBJ1nE5egwvKZuk92nYrxsEigGrbk8a6lTEABF6grJULKQw8vKNoG1EHYmjpk6ZHn3TP c7ylyaY2hAo6hBFRlEE/G7CyAvqH+3RQd7NHte1KCOmAkIoNvOCAPNmM709sOvH4vzgk6jl18P7u oRTBtUkxOtuNlUcAbmB+bmUkQLe4qM7vR9k5hNs9ApAoaWtj2cCjkRccuF4TCizn6E5AJYE/AeW3 ZPXIOW6vDezdig8JAqUpcKQK3MvqeSctR4VB8fbi4xE20s8k4bWpIpvuQgoDbjnjrOLepyzyWK2N 7k2stbF4qO0WVbZlINT6M9j9hjVzwiLpkp6/2WlNnFHX+EEWB/GC6fXIQepojxAkXSBk1A9sUCfp rE6h6CHzgsffJ2n9qv6fIL3/DHRajJ4dRdzKStaqN/0n8k4Hd+m3/I/LS/zsqLI9GU7OIXW2cdXZ 8AOcRARSrbjeUFWtMs5BiAJyDCSJRSAxEe4RRdyZOK1O7okGfq9+toLhtddJ3EYYcY9fJZKWpKDv cxSF3J1W36s8fsPsk0mpxo2X0CJJBtcaYWFb1f9E3DYHL2yfG2stVf8H1BULyrvoYddzEaN7B3Cy a45hReND/iJHtiqdiApWmvpIUAuUfzXS2xFXtn/x/M8VNeoFbI8QsC2eNs0wEAGUxNBVcVLe3Vg2 GWU7TVJU2cZKOccz2KAc+MbIS9I6Z2oBwg92gtB8USIMchLsWX7QrXR3AJAl8RkBflTICGlLN+R5 ItkUiDCL6H9iyRHVtU1tzEg2TT+Lp24jBJF0nkLkDQtkKz8l9iPFbpN23TIrwREF3HQOLpBfw1Dp IjJUjpwdoNsunwkUAWRMSSHnjFLbI7x9ToyBz4jakdTd7rQN4qACwNloktFtZ/Hj8ahn+6sbiVQ5 3cxtRNF2rySNtOIuXbMn50YCdXC7/666PaJoe7INOTZBNBxsq8GspkCrlRzbAhQSK7asUYC7Ixcc EdqYBuFD/jqP5iKvbcL3Wuyh87tWagb/gHuJ5/+1jiTpA0OC7iXbEQbbRVutuTzyJLhBZC1aZous Ra4Gt1oUbC912Ha6g03SyXfgP8/z6GRJDVQuTBK0NXiIgF5s62iT8j9CqLbQo6xvaNDlTzk0hhsn iMDj0WlUv89sPNLnJbSNKNbGDslSxXJZDT+Oak3dy9qpugzbiLDaFMksQ65KYz0JCSiFhQrtUEaG cytbKJm9QADcAJi7UO2qt43abDiOtiy6NozR5HwDTUV+baa2Iwy07xx1xZ7kv/sURWVtw/21ni+I 5CJtqmqThEfAulfLKAq0J8vanGEOmKBMPjsAWrUSrlXP5NUlaXfgrRsqTkB2+BTh2sFnRJV/dtky s/8OBpAwJPXcc6Lbwqoaz0coZG+ziftW4P/iKdsIA+0pZ7ftbbbJ/Ns6SNtNJYBRszmKA20K+5Ra fbBkpDXoCA+GR/gxyG1flNGHxxtKbvWamm7GkCMMtBk8pkzrGzB3fuhD8wM3pbwIEkMpDH8gSP+b z5L4uNi0tHfSNIWhNnnAiOluhLTzjwu2m1d8hS6vCbaPv2vbQJ74cDNbFJYKsdonaD1bA4cW0kAG k6yiN6//Q9iKrxsU3ARs67JyQXgn9bVy/ixLqLDSKJ8RgkjG/j6TAJ+fuAo0SzOsbndaCRNd/T+5 nnfXqmvtzlKitQqythlr+fHiT3ISTtdJGFaKqa66BbQtixwRwLWRniKuQMXSW20k2c4QRCL/pMri JAflIzsRUUIJ6PqZEVybwOtHYwMklHqrbTMKtSsXUhPrH3WARj/K/+lOZUmAWihpFpuisLrNddHh X2yDHdupTiAZ8hCwjeKHCLUpTUbVgfRAtmgMig/5qwNQAMy1QXMEDbymtnYveDw4k9r+miPGpDim bI6iSBtZP1LM5Dzkvc2WE3y25lfbnH5uz5Aa2Vi33dL+p7+Jrv/EztvaTEfOMdKYuEBeYAHWhsmA TotpQlIZYYbVbc1R4hXHwQok54dIomqd5H9GofbD+RMbp32rQs4o1JYq0iiPe0uZ26la46JIB35v zVFc2+a9n9klGvlaui34J6Hnyzjy/AZdeNNEHAjsDAGYvR6mS28bNQDYJBGItF0QKTRJK5uT56rJ eDwSzly/0lqwmNIVa5lRrA1YN8RHHER6dlj1zn8j90B2JLVaZXuG4n4UROiV2nUcbn0EDlWT/ltw 4lrQSFnnd5mo2VIXYdy7jcJw+JBIYYN+Ukv4v5U996e/NS+r89F4PGhIXniEvE4RRm4vj8ww1N7q kVSPkQAztDnKtJETwbbzPMIcRQgSGZGMTDT2kH6wUv+RoLiqOarIRZuYWkACQShnMdRWjESryRmF 2pVdtjpV/J/XgvoEouQFn287xmeGrMj5qzxCCMu6lL8ZIUhGUottu5DvfNbRkFoSS0ioJ2uOorK2 +CM9aR2lZx0BcnN2fWXv/xxPmTolgD8BdkeWwBWGZhMLnxEd2VhGA41FDsMzf6h1CRvZGh4PMrYr ryGKzQTs4B5HISuyihlRHK+92roNSZqIGMhmmnTEDFX+itZP7T54q62jZ5vZHkESyGN5oQozEMJl 4Fyfwv/W2waNNp1DhUASDtpp9RzeO1uggccjsNZtjvDsgjJOzvdaiyAksO1DDDkfqdHh1ZGRl6cj ZFRpjqJQe7DDNgQB4GBzhD4wGqzER5zTKE2aIw00qCYE+gp+UK9p++sGOOQkADKp7A3U3OxqrD0T +wTUE57/L/oameqD2fORGZMiETeWdmX9ex4O++vkyJI5eiJSS2tnqEEiAtKmKRkH70ZuOB1vmr2X DpEk1ONQbwM6ET1jBFM+SavodaPNJvRI3z4YpG1kSs2A8sd3jGJIryFN/WN6vfiBtKI4mwsQ5lZW RDph/RXYYgfSikjdIe0rQpEMMUI6rWw43CBywzVxKvcvJ+EiO2ITUoYyM3gApq8hvv8KUSSEtPWS lw/JSkiZePOfdmJgPB7RIv3QJuQECJJeLzdihTXtJi3NvX2OCPGxtrbJt0CoxfU1Vhhod1JH5DrC wXZbhZYNrwU4Is2yJvpxoKsizsXl0h/P27n1tlF9xPq17I1AAVlF1j4gvEgNknPn4PkI1DZ/3Wwg QfeLH11RpD0kQpJnvce2VfuR1mbvarfu5NEV4ki2+LVysJHrYGM1EgCaDQYvvEbgO73U2kW0h2B+ 5f0ESOf+w2dEhTZS2eozCI4EwXJRkNvi41Encn4f20AWNzBdbI6iSLtXZrLu+cd2rQu1iEIvgXFI lfFEWiFYm/xsGiPY4BESRZUg+wqMLfi2i95jsM7oUKSE3Z1T2ohqW2FRm7YZqxAlIa6Xqkjw9mVB Cf5aeD46kfJXFJlBTevrUmxXFGqrFUm1W0O0y5sU/JGk3hsnqVCnDZMUMiMZ7JAza4PXRzqqmWjL k6jtrcgOG/mJukumW5gjbaZeN6i1cZao1o4B0mUKJLGQWJKBMimeD7Zb/77bcCaOffu1K4q1E3O2 Os2PLaOQahpkLcO1Xh0kptmapFiDhIJInfVIDjZJgzUlsPMBjzhJDn3cAEtZP1qh9AOR4WYism1F GBKEnX3TL9AGzhHoF435xMkzBx4PICSX+YetiSy3t4v+WyFauwjZllSERP15uF0NecxGjdjLCm0r jLW1kMgI1eD9kYwWT2vsaePnQE1wIdCobG3/ZKR7VkOCAQFfN5ijyaJ/XjYo2IbWzixcB5DywOPR 3XYTW04oFtK6nP8VRdvQqwXRfz+NfwJKNxMSBAcia+9kie2K8dpEtDVparcvTW0A3EcmN6LB8GgT slXOifkD2NUPaWyOIJlDr/una8YizbZDMFVYpAqZHNYXUWhb/yZHJmZ4CGXHXUghN5IWm71vj7aH pCPIIBXsnwxSYngoqx0XtqmZkQX+yxf8B0+Cs3ZojIoA7Bywk7rjKOGjxOTRducc4ezEh0SIbZZq ZQ9Vrz0UVM1lD3WOQr5jpMzuRSSuc6ihdfC/bJKiaBt9PZjy9osjXZdA2pZPEgxnTYJkxeLaZGtt otrLvqj2Mw0b6azJ2Z7jB+S2M0lIKBZZ/zhMPEoaQ68bRUksQw5JkQ2LxIDgXYYgOZEMHo8W0k3/ TR3oB/gxm6QdRdt0UMydRAN1tSmVylJbnsVLbR3fg0HSjrmR8rufd/BIcqPCBkADjKHAs8X1B5Q/ iu+gJ/ZbIpHt9o6ibaVtRd0kDtYfwa2pUzstPB6U2uov1h+2LLJNm6L3YBvUHLFGtoNsFN1wihJR YqqQADMpjfZQhIT2KxSrt8GnCBCutan4yzw3EY9caC456JAMBprdbBT53yFmm36/TVp2HFTVBrCw SV6zDDwe3GwXiVQVf56ldBu2O8Rsry3Y6PKExC2PYIA4vEQCsTubozDWFsim7Tv4gdRQUshGj5hI 1qj3i1k7yxOV/3nniK67+JCo1GZeNcMHoSNOLC+hhHoWFx6PcO03QqJrFqBQlGvUJIXMyM3rbDdT IDtn6VINsrC9ehEkbseyYwTJECWy+uBlbehqgIpMd9aR5COJ66z9TLTXMu4MbyHxZttRtL3l2d6e QQfSyTplcHISEr5jpBzpp/ayzLblK/e/Q8A22VqzXTmbVazARkeoZHNUu6NsdsyMlDybOknj3mwI tnNZ5qiB2n8jzyZx46RBrvattXV72yghYa12C2yzr43mBFmRcQAIbfu/yZDgKmYaY1MUqv2p1Ja2 m2jO1Z8pukr2jWBwTlEIICF5ZOwyffApagVsjzZVscV2YjkSWMkBhBocfxysvYbeNnL1YXpfpRpd XTW6Aj9HQtvJmxcej3Ts7t3fmPsv6Cg4nm1HAJIkkI1UtFSOTL7VGlnTomuBiKM5CsX+GD323O/g IJuzaeeutPEDDZkS1aBfgnSNYuBOl9FGWyp8RsQdZUK7uZo4cI5g60MxftTO+HiEQ/IjWzZtUFfM /c5RKEOi3H/k7nStdJ01KpG9CLRP7j/NtHaHCBIySMbUcprORQL65QSmlSrfCU3y0qqUoyi1d/4d RIVNWJMmxPiMIIbMCh4J/eNggLYTPWwJa86NxyNh7W8dW/DS+iP2v6M4e/DEnjLq08G01JNEwZan ETWRRjNi5I7jbKJr5FrLwWuR55Jvlc2s1CjRXeVRjimCT7NANsKP7Km3jU4jsUcIHeVgtxqtXhk5 14HHI4qN57RYMSgYz4fuv6MoG8gHhNY92RTtNn2nzT6vg012i6gdgUcmpX5HJ+Zh9EdcA8iUiSBV utrwcxNUC+cABLFIrzUfbZZrd6w/spmmreqDBdlJbjuQjRh4PEpp61faz7rfvqJIAuu8R0c8jaQy Tt2I3tyX/XyZzf94ltFqBh3JKYyyKeCH8rgPFh4hzDrHBDo1ANfmQaX/k8MB/kwGSYGUIdRNsZLW tjeOvMam+iMagFlgeAwHxcqUrZ/0gH8gOLfrb7eoTbbJnalY8E8tSODa/0cwyXaQTcHpoZlKqAWZ kn0Kg20a1ZZJ8h8HLyOdP1ozFgogW+fKRDPnTByYCRtfrvBEMtUfBtv4lKD5jxrJgoW2D/yUkvYq 1s+fmc8HKcltkmRZ2Hduu2tDkkI/G6BP3C6KOJLi0P+zyJs71Y6SXM8+hZDtpqL1rj7ckBsqG2T9 QPgFvCHykRDQA5t+ojpS28xcNNsLRzAJEyAVkhR9EwaBEJGS4ibsqfgHgmjpiv/wlkPMlS4mKaew xI0oGhoDBgKAFe/10JRFGyWMoAZsExXaRBYpto/qg0/U+TonHSmEt51zpfGcP5sPh2MCuZAfehu4 xd444pIQtI2SuQ0ql6S0irITAAHwfFTm/mZu0RoYp6RPVBR6J3kf1+zCLUtediy8UZ1JRAksENt7 UZ17EJJcNxNdDjZRwP2dI6jJjeyEBCifn71HNEsCLuDkfMPLStQi5MdEZhtyIlOBsrguyUrnP7O/ WDecJFKIKim/qLdQSVprPKdUWOtm+w0NGStRUkNMVMBK5WXh3LoLSuUUheBDCLfWpw9eEkBAxFYx 9h7ORBZySQOA2iRqlOXWKNO2F47QgCzA5XQHThSIK4Nb74Tjm8//y3KL8troO8In5U5U7B9polLD L76VrXNyksG6DDPZ4SVnF18Uh0+Vl5qUNdrVAxwQey4Q3hYdsOzFOBzeADACQMmdvkc6zze+Lj8m 4k3QeGtSxoWDqifQbxd/9sw2n4+4pd/ASYwjXR5XTv8QKalXoswUOLj3WN5nhND3HL73omBce69Q 8E+D33to77ZFdsnJXs4BRpYSdDOw95BQp+tkXyCsoDeO+NzUlmrLhiJIDT1iKA3Qz0N8/r8wTEDY GPPaJeYU4kxY+T6/+ZW679extY/rUdYQnJsdYKRVUpn29ypZwHplASc008rq3HonMu8NEDhIlCe4 X+CyZAvFsIHT3jeKD1TOZaWpXN/xc7Xi/GDA2QafD3ZezV9xFCCn50a4pkkpZk8ycUkXaTJ0/7FB 0PKT/3o9Lqeo+C3v35pq8sHP8gZNuoZqQIJ65iZmCdVRlHQL2dLe2SXRQi8cFJtYteyVRXAOQi3N cxluOXAx3gwNb9ovzduJhlx5jBOjyJymlHns5o2Uk7A3y16WiuJ0l+T9JOfEEG1CHO6AwpkNluEV EgE7oaZwJ62Jrjign5wMr5P63q8DJz22c+Av6bRukDt9UKlgrMWYnvcqn498tr/1yiZN7/IzT1Fc 3oqYFNeFc6Y7T42az+bj6tK3ObCYlOg+KKa7+WDFAjhMnfyaYlwAT+QypOdOGzc09ftl5MipJP9h MUkbDgkpcNA0ob0rq9vzh/l8pH777cPF5dQvsTvHJpNFDmXrEVKa0+oFk3hp9S7BtWG9IIcuk0uQ 5SXpMsn1SUkhn0NdAqqQwMm4DBmOIhsGEg03uk9Tafa+fwkEtpKFFeQZwIrB2QhNcv918PkgH97f epzn1PzhYvBpigrhpLsD6unThNvVV5N4ORIKastXUxiSE5gL8W0fbDUtiA+tRec7EG+6eeShUVor zTXSVeVgHzwHTpMKf07+g9PHBsPmnPVqkMpc+fw/Nx3fDlg8tJN8nkKZwCnu25y3/NRdl6tKTZnl Jx4imqeQUElHTqC6fHDAADyOEpjbOJ1ODouaF0heBFih8zqecrgklXJoN7koptQ6ATqNrHN8tfNL GFvgZGybz/+r08tZRVUY6s4+T5Ewd1cNql9xrp2sn4kaVHNVJViy+LYLwSes080CFpcGL4mj75ea rBQmVJb4L0n9guIr4EQXxTwVFYR+k0bylnEJBy4o9Pv0X9r5Q3w+Uub8pfEKVYKRnuMpqooP3nar GwkOG4z5L4leJP6xZjCzI+Jy6DgpDlPNNC+r+ZqXnZ+5F7J0EGVOeuBtaiqfFTaB3Cpot3i1TmWo 0HLSWMyLAEIOlglDoFCF8bL4fHCM5ztP+tf927Q8B6aTMPQgUIedfk0UAlSbqGLeikiFXVYxB66T mcYXFCibPnjNANIvTd4cJxrHz5LoOnm+80Tm/dRWCgvz/JBgPRF+uniwaFD0NDK6LFxPqfL5SOvl W+8NSCZo0N5pioLxRbsgcCkuv+JyB3p3qG6asB60aQrr47Quq2RMaPCsBeESJeCo0Y0yICsGEAA9 F8ikbdTNg3uzF4408RWGp+2D8mBQr9RvW63w+YiG6utJwMNzP9Gz0icqBH6TQYACjq2nXZoDv1ab rokLbTufqFCnm806qqLa4AWD8385J7tkcc5pkCBesmDigKoGfinpUH2nLaH9ZCE8DhxBH7SgWjER inbuIj4fpC2/lN/Qrv1Zj9lbDh0o7SQvpfvGS8VPqLG7k+PPL2cowhxaUBqkmYquGnxFjbUniFEU NKcreiHWElOKnwhdXUN/Axuk941aLiw9zazaZnZJKSCpuLrOYZj5fKQi+Ms4EHEmSu8+TXGZnDWC ARUOMzRBJMYC1OBvrfMpbS8XhC6UVL6Td8C1EOB66si8VmIWfMLAnSY33sTpMgG1wG/ly6n5+/5l IVDFSamXk7JOmE/ICE7myeeDiuZDt2CgCZO9dhFgOfahlPJ7Wl5/qmk7lnBmq7Dg9qp3OYXxOOEE dbDHycHm6fwImfIRVBE6d94SUK6d73wukGqKFDqgcvUXjgQXKURVxW9Cdk6S5DlhgVFkBHXSPP6B KCL3jdfkIAy/o8eKOvCixI2nvlR3afPWyrKNtxelOzbrKnX7TMWy3cJbMsvlYBsPBOeTugyRwAcs KrDjtpRVwOcHJvq587a9cQAs4FSdO7hqsAIU+tn0HDlbL+s1/wuFB9DP3p4CVOhHSa9luHRI8AX/ sbgQ7CJqT+gLoDkUkweGlCq4o1Sghuf8anjCKgUS+SSnJogLi8RzDqYG+Wy4Xty++Vz2wtE8KRhX yAkcnzQlG/ryrMzD1pF/4L+0EwRzbs9RHhXJSdmEYLSTeCeTWDHC2kUWNtrZaaJinAr9FrIKdl6+ BrcgATe3iAhvHQkMz6hNujI4FGM+JJVc7X2jmJwSFYk4Aw4qGZyMm17NUJxofD5YT8VjKELCEWKM /my8KCZvxYyWHRSWgIAzutOoTpwr9GfQNIW6gl0aXhSF42C5cF0sLauBjjZLNYEGWgyDbrG95wIP envfAIdRKHYCXT0bFBnUkyOxuokjgs//F18h5Ez9QRnk0JkSRCTInVzQ09hmLnxiNwZe3HYJZ51N U2yaIxl4Wp1y8MpKArBEPvAokQ8UnaBRCWAW0DwZaQ0Camw7m6cQr1IZYxYuJw4CY+RaFYuc32Xy +UjtzA9yTCqOZ/gc3HkKpbwlCJ9MjwqRQd6OWKGEvQsMF0uFA3dKeMGzNTXb9MHmaQ6YAGxjPZ0N WekdA69q+G7BfbH56SRJuBy6U9Ylm1P6wnFQJlwR205efeifB/aU32IeRGLCYH3mr20XReSJ5LCT K/k87Zrcy2uTOyLYCnp8Nk8xF5OGwkP33XjuO7B4SU3m6TSonkdZOIiykeaAPco1BS5GsRcO1hNT lz6p59nRCOANdU7ZVKV5Vmvn81EN6rvfAjTcWFfOM4cmleDYIiC/XlXU/7NTfD4kuuLwnhy7VC4r ZU4ffN/NfeZkSmKwn7CySBkVmE00+CTSbr1zAsRzaFOpygqk03zQKZ5ZWvnx+njgU4mA/LaEmefA KWimJ4AKrSo3UzxJh3NBkcXj4N50XWFhdKAFFXhVKq0G3VaQw/1ADoGenyxzgSV+DkNccSvTqqoj Dc6pf6EMur1wgDIgcaWp4clBOy9jJ6t5Pjef/xd4ldkaRZl6ufpnOXasrFKq7MVvPDY9ZMgwh7fw ZmnewgstKyXLVBqrvxxspkBAAKxOvc6RCqt18D3nT7p/2JCyVmdXbyo0rZThQM08oyoPAl5Tu5zI ieo9UH7iH/gvOF+Im9HZxScqRK4wNIAwlvc697YI6gRv7Zkot/XOsW8lu+cgSvpgZ1QDOuXc45yo k//BlpHuXoD4LCQFUB/AKf00zwPjSpCheYovGzBf/JhUNmStMFFrZP6BCGfQvk5zWg6gpOwTFcbk neWVUa+dTh2uqbNTdobGgmC4yiuBd6WwN+cUJ9lZw0WNdfhKbHY7M+C+i97eWEyLFXEgyhxZn5e9 8F9dYQgxcICyXFP1Cd00ygmdTcg/ECl9+bWHxQNhEdr5+kRFMTn7KJmaiSqvFAamqmtu4yJCgKCb IEoOLSyliFK3FNH2VURDPgyt8pUEMwBhCmoflUyzjQI/MYjG90ndXji69SiDOhQeDA8PzofUaU7x qK8ELpbfhXIVjqmvcQGboY3lqCzSqWPHBaXtRl3d1R0zhuveF1SEW2nGrGfQeek4jA72oPcp1XVW pRH0ggnt4pnOZrQf5uTM8VP+auR1VFBsEMyAnBmGUefG5/NB8pK+hazx9b/b54GTpSgi2STMBULM VjIH47vchgLw1jZPcVQuVyHtv7RuFNVgz9xWlVfDSY4rqawJJeGTCsLYawxna54jctkbBztPDLJN zU8OWhjnuuiyRMur8/lg481vnAGiwwHDaJ+oELZCc93ZmpNaZ2uO7+noa4jUWlNpvvHCsFwIqEpc KwfbeCeymHMoGR5lwhAd4WaF0ugGTgc3+8VBqVIe2ll2ojXLKNMHbbwTdgyu4bbX4vNBuHk1GwRr TQCvzGfnhZVylDRBN0QaR4kUSoiyspll9Qlt9I0yuBBjoaOl6lApkyOd8uVIt91P/JxBRTnJL7oN CM8WQ3TUc4CdwA/lPaq+7I2j6EBozZZ90J0H+05W7PC2fD4IDq55fJEuL6Q1njAqdLXs4ibQLEkZ 8WKPSpCM4pkebFotOghsLaECgSW1WADXoPjvZJGAg05qN5zz6iQbLESRFnyCiA5V4uv8ScJ9Dmwt E9ctAs2iTK+YaDNyh840TViDwNfyl2wjdyx/vWea4lI5G+eje70uTYuczikjjSJO007m/Z1DY0uR 7mdj0MnBEhjAY88ZVURNhO1LY28Y+VGHUc+Jp26iNxREBc6WgsADID3uoMLBqF0Sv+dk1fPBldd8 PcnBGBu5XJn0HJpbig6081peOWjXSN5UQCsB5eWupygsH1n+qDIeWvXW6zBtAO8tVljORbqZNCdo 78IhBEYcFzGWir3v30goYYBhm6CMo8PIl1SME/Yv/oFIm7D8n6O85+uTmgN/S1QskiBjzeuaVAgQ rbznZFF5ljUo5+lvzZRZycHnYAtqAQkMbVkxXk4+1sT3QD8OeGZ6N6r3MhVCBQaXyWLNtVmw42BQ qJNMsosBeBuf/5fKNZv8KNYN9HN8niI4OZAxJ8CUL/pgQtyu7n51U5m0d3ewQWxyqaBAnOD6xQk+ sQH46vQCh7/TRIJ0YihoSA1CQNdFtMKV3F74L7ABSlo+KCGG4Xgzhlnl85EEjycv6g1vGII8+y6m cyLWbIT5c56aJOUgnFJUTqCWEzDfCg0Cn8vE4h96p/KXcdF3xJoQ3W5bOgVlwrZ2UKUYWDwQN/L6 Bq9se+GAQ0VsHegOGCYc/3j4srLFCGieH51/IEJlfHPxINM02gPKCLwus5jp0JpyhRkTBmFQrjax DHhuMy8wu/TkpeXUfLCJOt99zkI3gAS9mbZpLp8QOW0QGyvuKt9594WDBZVFfe3LB0MbgDrCoPzc Onz+v6ChAD9i++NOVFQrp0gKBKOnTxRxx9p55NVpoiD1ZBMVoVdkmToNsykZSp1Q8LDr0uEHlD9t uoImfJuBH2PxhJIMr5hBgeeld6gAhPLBTqgJP3WF54vPR+vpRlB4MJOmk24R+PMaQWXU2Rhr8lzQ CeW+aQCKWqml0ttDJ9Rr/JQBhirimN/BA4MTdqOVRHXwMSHzRBE1hCADMfEY8+FPIX56jZ6yy8xM cGZtsLrm6okt4tYWnn6ZoQyNWVE7s8xEgMUai2c4f5lgfqAPwHWkABxl4JHcgkcUPdafWP3gOgrm x23TuYo4eK0AXWC2UgDFOL9jJskFJWFIc8A7DfXHqVqBzqXXCQKRhgp8K3UfFDYtQKq52c4h8XkN mvJTeMqiBw924bfNz2vElDOLaoBnVt9nEs838bTs6yfhcOP6eY2XsH5IKFudJCAO3rg7l1pmyAxI dEecxCmECA8IZ1CHfUwKOt/1ff2w0bZJv7HB+ixAL5g9cfu8hkr5aR7ItK9ia1XiDTE9r4ESlk8T KrNNL1/W6SJFgwAKyV3UYiC61zApZwsnEWH74JjMiS5nV/sXeF/ijNAQGURR/MC9wsJu0LLwEe/T k5m9USwfA6h50gPtoDmwY7J6/rzGSNmsqu/8wMkOams2P68BEo6fShc5lUmsQW4dg5ayYX4rNNTN LvU1PMKvI9X9TuAuB68I4M6QUfOJs1DaxfGToAqDlARJHCBstr82pXdfo6PscAsouPpglbiTXDBH BcLg8xob4WmjA2uCgFgf5U7Qa2SETYmbL0PpxReQY1SxgKpH2q051OI1LsqyRaQo4R38fEbVsxWK XK6JQkynldy5z37AbSFU4bYyGWi/RkX8NZGOZBEz8iVmTHgSJ+2aVT6vIREWUF02P0X2vGeLsb2m GXoNiXCuZ9WSxrq5SHZv67qvxBW4Xma4E81QV0477uAn9Kyg+1LBoe10ksLGnjh6hxTOOfnVuHuM KdtrOJTlTc0MRGIXuFV02J6dJdwZnAk/r9EQTrB7h+HVFFuhK84Jeg2FcESzKzeEruiiRF0eFAW5 5JICi3rJEkdHNHFfOI598D1WN4msMpGbXX3gDH7dz2ZnBgeWh9Zkk7/GQdn1G9ogWI6DoBW47+XZ NCEkH5zRy2QbszHui1NWqPEXbbHOVTMfLRnxDjtdZJvDKioBeNRIC87oxK5um1TR53A7cSvB2ACp 7ElVcVrTZA/KxxtHYJnT+yZl0SDltSaZpXp07lyckjao0oZWE/sntXQ8/T4/ffsWY7Hp/Ern+/n6 eS1Hcs0y5+Cdo3LkNCvZc9sUV2yGUJrryEQhEIU/qlisHCzj2LDDIRD+zM84Bw9iO2NlbgQG4HZd TFzSywZHtIzRqxq7dTgdGv62Ij2NjDeM7rB7RAsEPhCdJo8RoyDaBZseY/TUHEjR9nZj9ELTSzEN wyiR+IAiqf2yLsLyRDptVoBdQTQ8AYs0Lds6m2pDKyEDlOdZfrPXDfbYkA0xxVE5aA1BYIQM0HOc gbYabbL5fdEPZCzAmtkcRYE0QMUEBHgjt7R1db/Lcl+0NFyN6J08l6+MTBt3sJvsBDDw1kbPJmHC 6GW6AFM8c4S7kiAp6+OixMjXDQ5qor5rrcsHNZPmgKUV5ihXPh7F0n4Q8aJd58IYfd5JiqLpRNvv Pa+m5Z7DZa12676QJhJLQ3gFk7QJ1R267sdz3c+TsSbI9LHlNqEJIl+0Llmrgve80dAQgCiYo86A sZmtRXJG/QDkWojmuvl4EA9ZMfsKI4CG6fnGO28um3483Nc9oj5BnUfUMEK2dbTmdKxgFFJ30sEQ Nftwoae7nonXcQ3YaLOuJH5FNM8yGNj3OlMzJ0rJknIOksI4KGctaQslBcmGzztrjum5ryMGm51W mPmuo/ewOsmn+ZwIuTq8pK7m8BLxnZi1rrvZ3uNqOD7DBiAhvLTB2iL1nNfSi0EZe8C/Ws1wYGlQ DKC7MXGCeUxVHcM7n3HMJgxuoJIpKFY/y6Hxe4/zQZ93xhwvqPa1kNAEOif39Gv/nTGX3cyqL6MT os3WHYOT9zRwLnyJneD0HlsjORNTTmZW5cvMKqd+sq5G/kBJ0i6Aww5wQqjhZhF71d+mC9E7Xw47 mj02+kbZwEk6hx5l1VGaLeXzTpfLUH3ySSLwBeSh8xPN7LMUhtcQ5wEyUH01dDGrJ/g7TS/NTpyK mqUovh6826AX64Od2ycLOm9XmMJ2WOz0THX0TZ0zgjmvv24mfvPzzpbD12xiFa7hg5bS+YzKYPJ8 3f15J8sxBy6/knzWU+4cRRE2EA3n6BRXnhAAEKIMArC26xDNccv8UYitoiz8PX3w+3+ii1nYNToR EvxQyESBGQxgXj9M7o2xk2bX677P0SBhZ2UpyWfP2AcAv8ZQ7Xw8CCJvEkI/q0zz+uJz9B5l40JS YFQddjNFwVxqGS1jNVXCZFlIi8Lswa4/yPE+2G4bILYXt46dJ3CUbmGi/vCCUNr1+5Z13DtJLssO 8OyyRmDgbBcY2GfaUmzsUNWJ4mySu3VsU2Ufumqt7VtsjELtTr7A6MX7RWNdbFLJhjCBWdOtNoax NgtFu/JQ5mDndkLSt+z+B5JhEL1UyC9lIAn88K3uT71uNEsZZHCUUmzQkVRhzyw8JAASUbQtOLFv NnzpuunUyIJjFGxX8lJnLcPT2fZmsIsM3iqOYbDNJvbI5Q5e2D9BUtmZLbUTykNaCDg3eKWfGAW/ LTai1awB5ueHBMc2ayIsFdqgAwk4OtaM4HT0eSfHWc70ZGxUtEZ0b3MUBdvE9sGe/JbVZnZCRW/t EirQc+b9/06NY/GA+hMCwNcHAA9+dAGhpFNr7/wP3a4zeyAbteuCK9gPJBYe36lxiATnIH9JfgQA /xVlJOBLbrnm5fl5p8ZlSWI/k4TAY9DXSpMUBdtoJLPD/xjH9+uNAhtnK60tN5B558VlKa2iIrKm D35qd7SmG+//eX7y1ThJpQCSl4CTKbjk3PeLx/Y7L+6GSSfOJo8QnCIRavoASYZh0tnan3daHGfZ lxLPaNAJZr31/TDeZmt2oFBqHru1+d1W9jX+SjBK4JH0zoljckOyyWDYzcG225mmIkcIKsftXNmZ PTE5ZRvP7m4lX190yZ68U+JYACjwDmT3W4M1iU40IEj3nPXzzoizg9+KkFhKJGsmj7ffCXHWvMB+ 2+6LDqNgLwCseWuQUGnVfovq2Dq3e5EWWrltEFhGnjOKSpsnpgSEnl5Eg2cwxJio4GFlWhk2vdPh LPPCSlKslIx0dxKRdbIg9olGmp93Mhwu4F3/z5k0arlnUljJbkSW9RtuA4TmZcg6ts3RyC7Z/E6F wxyxw9E6HeQ43CLJCaoX/XTOmdRPGA9GL4BHkEHDeVTkjC4lWVBGPu9cOAb1ZC0pBizeuQY6cUsS 4+SI6/NOheNq9zOJqSy6Dh2NBpul93D7Hkpy/pBoVc+Od+/DM7cBIrJm6T3cVnYORA0BSBx8ljaI uXRHSlBHzwgfARg5Ed8GQb0gb7wdtaXXDcqRFJHp1FfUwA/JVAqpWh37806Ew7fN/SsCQAW2137n KAq3qaua0blwZLLIzeyJrHtw9+4Cqe80OPzGLNWC9OaDhZId9nEAVmG3AW6xDUjJhhpoS7BI88St DL1uNEfMIlASgjZfhTLYD9tGJ+MkjucM+/POgvu1khibUzb66cuGVW35frbhpaS+rhlhkc8OyYLY Irrd3uNt7+zPRSoOB89uzy8AECNNLc4lv6u80SkVpZwE2rhuarn0ukEoqbr/ovYZB6uTtD5kSnni sc87BQ6Pr++aJOUo1nO3ReE2sF9wRym33NaT1ySbapKYo122b7b3cDsLxVbJvLTBwm00RCoa1+xe QwaS6h4dqsFgOP+g6+Q6u1SwfGe/3b3WlJO0m5NUmICK1nXS3c87+c0qgs8yAt+811v7f+e+IbQa kraulv5nhTeS2B0z2/U/EKapgR1H28zXKMWhwZYR5HHOJqMXQWMfTNQ3LF0yOgijMTEvlD0+78w3 9sfY9BZie1zENlRqqH0Pi4ryeSe+8fL1VVTko4oVND0jeae9eecbapXrBpKr3UDydiCJWNEcxaVt VNvOSV990DLKCQWSBQW+hj5dQcOWOAlAYIGtmUDrdyPeFL1tNEddrbXig1VIxpZG4Emi+Hiw026s rfkgTKx6i+Sd8IZ1RNuvQWa1NdmeFkm5vh+KjLHV3vlu2VWXViONi4OtI+hwngOHXaSzvM8ZTsxE hUonYJE/sPr0I7sNvW2UtNHuM7GRzUHpP+gqRP4jxvq8c914Yn+3aYGrobOZTVEUaTeC2XcaDmY/ F0czlPaUWABQ2pPgZqC035luF2u0Vf7g4Mn/TigzMh9pwLU3ufEgKur0aqL+rTvHJ73uX+kI4Nkc oO4tzGej9OMPOaPl885zY41kfp1HXNq9etL2TnPLrqa7iGqT9MQ0uDGuNWJHSCIBql3rKKpsgwO1 EJNPH2yvIRVBGwrxUYFUc2a8uUHxzDCmyLeK1ElYfqe4cYoWG/SUC+CgZQStOSLnz+VWPu8EN8bZ XowkKacwd/FC2zu/jdeE6iO3nb0eS4v5qAR0kus5Q1FZG3g7hkXJB9tpZwui81gFyToRt7x4agUd HrsOZW7vjzAVeSe3ZVmLoMUypw+690+2pg8EKO7zzm0j+OMblAV73Ybb3KYoCrLB2kC6lr6cUVyW ozmonbQRo2y9M9tuv3ZRPV+D5bS4aE6etIXKhoQXMro8JWkJcy/oVTtVkqi+d2IbjtyOydmd2GwO 6rMBdEqCNmjRn3demyenX3M0fgYrEpqjKMiuFMM5iZ3rmo12If6FJFjr13aT63pntfmlBksUM0gh dIVzRIj5IDQLbCTodCGlRdt9osyGCvi12CGO9Z3TdsFrBWUKDOiPcoMMEAdUQjopzued05YfKWYo ZZ9/jGrxWOOupCjKhlEJATWertXu1nFw/nBsTcaNoFkKq9rqIYmkPPsFR6BmeOI7fH0oBp156upG lgLwCIyrga30ELLY6/51Ztc9ZNlESj8v/xN6djk1nuP0885osx7UV+t/SX3IJykKs0EqoGli9/ZI fjCi7nFdUffz7RZVtafVjojxH64kLeG3c5RSjj0NYC4KIfmFkkjIU2hyeEtIpLO9s9kYq/DYTvT/ 4OBY7DRYcq3nb3zeyWx4yV/5GpCdJnRKIGQYaAtIW8kdpQ4VbVzIjqzNlPKwkuiPAiRkHGiTSiO0 zXxsdk7qBlIQAGtI/AvoEDTYRQN9Qx+YZgO2kgZ9B96ZbFnC4X1PtMQ5uPQ5SPks3vZc5+edyGbb 9VlIEBuHqrivpHciGwutzGTRJQNZFOQNZ0aWLtkbcLdnxWGIlfTOY7OdAGEJYdn2xbKtBrI26lTE sqFlP6nojdh4U/ALPTKr/dNM8PNOYyPQRsI3q/lgeFGIHLIZWeb8vLPY2Ke7JSTGnODYru1x5DuJ LbvTDvT+bCVRwM4g67W74Btkf2wlRXXtRqMdsEV9cMAf/MMG2fbpfBsEGwug2sGWOkzOEZk/SVvX +wZHN1lZte872CyR68yK7ebjQbCdvrtIEEpv8o7hJL0H22BtS9PFEjWUq5ptt5aTHU8wG2Dxv/TP O4HthgCzmrjwU9aGVC5k7NiyzdDNRep7Emi4V9BQc5P5IPllMh/eCWzZCZFDop3jEe08t/myEODE 2u/8NT7+q9NGDsQzR39XtaG34+WRkR17vEloEdSmTcv938lrNyFZhvFf1zQG0ocTHUmEAKCwn/1A Wyuchh0XRibLT4mt6iPv3LWb/JMXYIOS/wRJFVE+Wvu8U9fYOPCkjSZYYA71eiOAd+oaL7dOBPvy LlJJt/ffqe0rG+LcrPf/zlwzhByCyFx9sEk6U1TPsbSI/UOPUzJLwHwCQr5hj+rhtuAR77y1rwIJ xak5WEYCxWIZo+7xeWetMfv6vtqgJwN/Fp+iEELSeAqRuav6SL0KCbOPZPd/hz+mpigMt9HOrglX lA3e+p8wD5e6G9okvcgcHMWChusfyaxH21pGUUkbdMOzfpB92KBldFZRlYIy/CzfCWs8zfxmo+4S YDF93DrbO18tS3mP6rgX1lavxhQiZa/7j20x0jtdjXuNUC0wMW3wZbQBIgRe4szRhObPkKrZya4a 5p4WcnYcNXvboD6S6dK4yFZbfjFgjuDepxrS/LyT1QwFb8cRdV4SsdqekrQw2N6yjs8Os12zuK4b pKQt9+80cUKBpIVIbUSHhQB+G/w8ShCzATUIgtS7QjPL6ZENkAOQEszQkoUHfEaA/CMSubeSfBA4 4qRwjeURSOng8SCK7N+tEap/zduIbGGoXSXpVq5HxXRf1GLMEXlUZGv8t6iibVOUWPvgYNca+PiZ yvtNPlZbjmCwfQfuhvICV3BSey2KtBd7IlvkRw5W0p6zbdUiy8DjQd+/3CgST26h6nwd9SjU7oQi LxEiqBpBcwyuozbWVY24/rr9PdRGoQ+7hj56Nlih7RzZ8CttnJLVadHDQBNvR0eOC44wQ4Eesh55 I/VC+6ou8zPi/oCUk3H85uMBZeQ2axmZINvu5SJIexRpD/a4EmUazYO4+ByBjm9zxC6J5ihCkOAv LXbjfLA6G3hl67wP3QQ6yEfKfSmognMoJ7p7Kf2nskaPitqV4u//z6GdkCyLt37uBzwe3P3lV147 KaXjKVsPA+0sL+sLjQATxu+1aQ6g4Bi7lUCPatqKj2aWFkJ+IO2w70jsXCOvxTQVS9nOcodNTG6t 3YItkUg9irPN1kv2QvCZ+VFZA5D2sfXFW8HzAQFyfp9IGdIRtLG3WQopkNSRutUjYDnnZWK35rrv afL2P5F2jyLtyQ5SrwyQONgsoQZ50rTVBLMplPE6EdSgI3piBEmnkylU+9L7BiEScbZ7sDCJqq0y 23OrLDFIeut8yeDcTuUrRKoAr4zpoXaPeJCDEpO9unf8ORSueluqXpFMqw7j9fc41O4CId/B2yNw fBlCtcObcWRO0hpnRU2Yxpg2vu5/ViR7VNheksZfUiaDkL4aPygMD56C42QIeD6YpHGbSFx242f0 6giSHofalIYo29GRc6crfkD5dUGR4HOqSYrJkIMl7Zp8sEnKwNZSTxvoyImav9QlqUJOhxNcbnYm VXvdIPuXY8dgPlJJq2WEDDoYbRN/ABXH89F++4a1o4vU2s3+e1jbhix+AY3fSiSNcuiSxS8UUKYn Ku53Zv89rm3nV4GIeZKzgvWDCGDRbZBcpAwk/UYiWwBcvhKcU68b1ZEYbXcpTlf6lGop9SXBOZrm 4g8ER/ev1JaNLEhN2iy9h9tAtUs/Wa00/MdJaFZlOxKlMEhs5UISA4/uKNze1GXpg420rnM0malQ gyAB9UbOgQQ1XzC2oBm8ACzD7XpNOyi/2aPqdqUH8cTetoHX26Z/oZg2eeLxIP2/1Ta1/iF//qyk CLHdKUnfmpUhM5QSh9WRSicDCTJkPbtjc48QJNK1O0cPAYCC2VQd3OUc1ltQJCSIi6C2huqYKpJz 3GYbYAEVH/JXnFQ354iDSiRpgJ/KeHvx8WAdLd9tFGtDk7WjtGSTFNe2GRxt81GgnVD3YluDVQps UGH+0W27RbVtKUOMwl3HwW63QfOBLGPddrJXGJyZqcneaJPA5cQqkoPs0R5hSPpS5X8kH9RKmifK ILcHDip4PFpI8+vcZldjgPZpDO0o4J4sC1pnm4Ctx354sQghDomKuaBoR7XtuQ3Wvn3wluS53xbp 6qC1UTuALUlUEBsskPalkJge94gC7kzayYA/nQ0GkDi3s+qR56zD4xFHe3wdSC0JaulTFMXbbcvN pHg9cpft7jhTPSW64ySn2Yywsr20y1gjGo/1BDx2EmM9otpPpkgAJSqPJwBY0Mvpt21bqEKPD4no kUxuR9fpDQKANZJObijhMWS3Iyxtbz+RxMnZP+w02iSFiG1GSVPxEHpKMP/TOjpB5fXuOv9r60mO qLKdlbgBp86B0S8SN2BrTq4gi7OE4Is1y4mKB6In2JZem4BzrA18RgAgFX0pW1lymtgfEIDUWYfE YcfjUYvkV9vWfhafohBE0qVgl7KjbFf2tu2q03E2k2aW3GpRtL0Iity6/nfiNTOIjQQ+FNw2FgDO vaZeSqX0PQLZWR8Bbuj74DOiBgnnphDSzkH5/8hb3ovtHGt4PFKMuFuNSw685nQpbSMKtiEuQxF3 x48a95/sCIYfav+jeKhlFAXbk43IhQjLBoe0Q021rS1h2xPe4KhcsEwB0AYdC0YWorRRcQkfEuw1 6h3hSuMAQSzeRhC/oVkyeLsZz0cVye8ICYXRRvUaTVIUbA9K/M2858PXys7XGssbJKU7p21Ewbaq /qxc2uBpGxWCEpWhTrBRQA9n8R9LC6uJ6DYtpJ3sbYPcVhzkr0GXP+gV8ps8QQQeD46jdWl/hP0j ZAdL3uYoBGtP2ruk5ffaWHZSF+nM6F7LqRg3YkRg7cJ7bcm3moMnJCerT7UKsQWfysJ2E/RUgfeE ruU1hGX1AZ8R99lGghMdh7V5iZ/E9pyiPE+Y2I4w0F7fUJsCY558c7YR1bUldD9W9/bIoK+doDZp TQdIQORMyygKtHXKgCyqgSxW1UjgAjCF+ztHeM8kvRfwiLD3fhA0+BRB9Qef8Vd4RF0dDIDxcX+c FV9VJYYSPZ6P+mzfdz/cAGCY43MUBtpDLkH9MtqW8SHxwd3Do1q3n0dxoM0sJEnrJ93+CMKGs4gK MbZgJM0mXWQCKhBTVLbMba8xhhxhoE3aSAbn8wzAwRPOcVKBc3uq/7lO+ok/EGT/v5r/DbnNuHSt EUbaNFpcssadQv3fCIkmFwRI0ExNmy0sbRMX2iDCY4Mntmf+oBtMKaTU07kCqGMjxRtAeAqppE1S UU2vG3Vsha8tcp5C24ZJCIj/8v04MVDB88EkXbaWTAPO15759mxnWNsmPnIUwv4lzcYqthbxzjZL qfHY7vxL0f2vHskePtxQO0EakGZvAAIUQttKAQuvYxtOYEGt10aa7QxRJHIqmeQjcVA6co5wOVzB dvEzI7z27F62JWYLwWi91/+MQm0U2TKQr9XwESxgaYqSXKlZuEMEoikKyZGcm5nTHbxHAnRNyyJr DTTpqak1mYJ31O7Sg9miXuhnxuRI5rWF9UgOmqOxXHSs9vKZIV67f2ORGZPOZ45CIZJCZsSyHuQJ qXO6RxLr0xL/BzdFmlExNzKrybh98PrIhogzFCJwJIH0LN0xuMZv+AcWuHK5MCS1Eebfpe2zVooP qo+ATs4c8QRPFY9HpL97bBMfMWCatu8kRbF2FqZdzWz1bNflalHqw7ha2ycprGxvcWso2sLBFxK8 uldiP7Ju9JWI+4cew4kjz8nQyiVrL6LaZgghkbyfsH8crIYEMysexAXKWlFde8/v1j8wRoCQ+RRF oTaEc6A+0q2uXUhWsHUkbS2uI+xAHtozFPir2mRiteUHsNWBNweShHsNJ7TU2VAYnBUVqznu1TYJ 2J6xwB8v/syzm4NS/43jnt/7LFI8HvQjf13/EGvp87b+Z1jWnupB9usgvJJXR9BI8rI2IgHNUYQg EXl0ys6Ug6cj54w5IV8RGrl25g2gs8HleGBJtXJDJHpOzijSrl1I7WfQMkKxc8ixZgw8HpGQv6eI 8fq6jL8ZIUi61Hxn9h7SEuNvUoW1OAl59G2V/xkVtRdtksZawwebIhJ19yI48iRu7VxnzNgAG21L SPiHy1b0thG9lqoRlSCJWXO2QBtybay2Ap2Cx/8LNpJE9v6c2CEpkgCS1R4S0lwXZLO77zRq3Ogw +lvmb4k9s+R8rUIkdH1WZXgEFYFEchZEubck/tFYv2X/rbcNmmxLWqPsRXLQRgPFlZEGCgx4PMJq 7e8DGwkjE3ebowhAYiFke+w4px/YENN0CnKdLlY7o0B7iDF6zmMfbI4SbI9RH6EcK4oCLLKtTgwS Q8hHPJv6DPiQAIdMzYhRKSFFrdBsgqznVGBZEs4UeD5K/L8rSJkB2+38z5AU2dikXc31NPvIztMe 0rWBQBN1kLXXQg0SYvm2KrEcLII8mcnZDIW2ykhBsJxEQ0IECQYhIhufpFX0un+FRyBa+iBEGxKU qvxi8x3/C8ymEUaQ/TxaUZSNHxzCI9U6/zDUuIaluzvjD/9FC2lFCBKJGZ8zd/rgR/YAuR/HJc6j k4rI84ZWB538X2gYSF5DdP8VIki2Ktkj+WDAyD6p5/pz9nLF4xErsn0vI/h1IeSxKYqi7C4Jkln8 5k/92kelnD2CLEi/NEUhgISWrkOgx/F4S66zSEF9FS4SCtGJ5ZNE40Nktr0/zrdz622jejadRqaC bHTnVGE9q3E0EfhPXInnI0TbPZBoRgZ5zn7F7Faonk1p1iUxOx7aMr7lod26F5A6OAiapBhBwgNp FWVr7KE2atk0tLPHll8ivH4YQUJE4swLXd/3Ex2dlY/PCArabPdTPd8GIZHQn2CS2tri4//KRAg0 ZS57O7UrCrJxcGb5+1nTn60Js9caXvNPgLzxOFohULtLO5tILA7eGNmw+RG+lu5/iTU2nHxnqQPD 1S+NXeDR9Tcpctehki3oEyogDRQUKEo7Tta/QvTI+M7WwECDzOtdR1GYTRuXPKQ6SrhNIigJ5BHl l5qkugw+siJWpIkhlZZ9sHStZ+QlsFVgRRtsANCQ2IxmgyrTMMwhNlOvG5XZmKcZ8B+ZvvjnJ8Ze Uo0+cVPG8/9FpQ0Q24H/zyYpirPpg5cpBKaVVGV1AJOR3Mx6pEJ2xldSLEDCLUR5UQ0+SedkzoSf wVULvVTe/gUraQMGXegIIstNOuziQ/7C2JwbpPrAOVoDXugMkUYfePyfcvUqaeNESh4irSjSXovH EHlkWEkF2HCrstVtnong0xdLRlYYaTfS/obakWPd7YYNBwkSqmuhjIiaDfqQhXN1fiTEg1ZAgpwk XzeYpC65X8n91aUe2wnBapF7eT93Ah6PrjbvZ7vg1M9YF621olgbU3LTEYaUlcf2piEwO2sEIgPq oUkKwdqS1CYJWYMT2iDsOHBrQYDkzAr126GSWH42lAxJYnP0yBx63T99MxL1fie0KIRDOnGkVJgG qmwrpkbeu02M3DNBk6VkzVII16bfX3eTVqS4y4XZDQNIZsR2EbIVlrWZ17bNoJuDzdLJRvrwWQLv r2PRZcq+LySXBemT2rUFCA++7l947TbXHXQmrTQGf5eT3/Idg7b/VbNV3RLaFcOzthXDR1AfKfNq s8PvwluRqTvsH17jNkdhsE3Z+jafwTOSiep4kioybL2RwC04aqAWidpDY1FbYdIYet2/qFpNZRIO OpMSdNpYZzu3zWeF8JH9C4cE3MG+NaQdBdujCBDZXFxrqZs0qD+ePNge2Q02d8iMlBqyNp0KLp78 b5JFKGBf6/lVuI4WO/xIbxGDul0rS9o7CraVtJ2923zQyQIwdVLgkxYejxg238E2fip+cZui92A7 mfH2bmVf+GjzSqRMCQWwGcWW0Q4VSHS1qbHNwYPt0Sh+yastFUgZJ/VGOvhHMEkG/cyuNmr87xCt zWU0GgVtOKik3VFx5jI69ygeD6629F1DAiitje7c0R2itWmQvMSqZULitmwnIWl7eUKCDpbm6J9o 7e6DH9onlOlJVO0G7qigygnrrjfUsOBTZHNE5118yF91NmxYH5S0TXmlYm30jccjCRIPkfB2Gb3s kvw42iErUkCtdm3J4Sdjc1SpmSL0CGAMmqMQPZJZroVMsQ1e0T7/eoAFSRIyvOuIZlto5oGU9HNu jHv7s2aAD4lkWngOMdvSYGV/9KVk0JorHv+XbOSyxBabxecohmoz91eNVu4+yzXax9o+R42lb87R 36zIqXYkB2chwTojy9OHVpqTFyAB/p2WR/lWtBeqDfiMP1VaqvC1BTEC72uUNKs6i3luPP8vDRIx R8f595fxv2OtP5KzC4NqaZAY+Q+FNvvfgvE3fa/F4BF2/cG1ssHmCJjKORvFx9Cdb2cKUUNCU2IU iLesdIHaa+hto6q/okfqIXMwFNKoU1jViiM79K35LWJ3fsYxb+q/I+wI3YB+2dYAzWSuI3KQrjQs qAbU2qHQHwtDY3t6e5tHBe7dKmedA67CYJAOmoC1gs5wMqdLZqP0KT4jqtcyr92k+3PgFJ3DP9EB BJUzPh4lbL9lWlCu7XeKQgmS1qQZ1Z2nRc8ZTpH114rgNVt8vx1jR2QuplXUn1WEIwb3GnFaYMEm +kZW1O4JlwDj1UQ1aUOMzwjix0x9PwO0V4PwQG83jaV8DRstVNVe37ERsOIEk9sURTH2IGx0UiT3 f2by686+fRWfIrj72BTF0BHOTZUNcq2XEnnOG8wEFez6eS969gGBBFKSYrgL99t76m2Dw0j2xytn H+xOQ+WTvaNzy+DxSFijfIXYCNEmjnmboijEbk1xdXNK5O7LV9GEKI3fad2YbDvCjaCWCehRbj54 rfZsg5Ml6Czq9eQh1JUESeKHXTEya81Km7XaHWqPZCVprGpzsAh7yVMGkhEDj0er6Pu4RqAEtVKb IqVvr586BKzNySARZxklS2jPFxIBAMsIJR0aRKcwxpZYRNM+avOBIK0Tpa3KXO2E1Cfwz7QVtcQS eBT8RkBnYCWtbW8cMEfpzzIyE/9BcC2DwQmXV2X+LU/+gX9iR/GP0SyG3p7PVFTWJr1LbTbARKCH WA1fU4ZLbkBWM1l3BH8qCrVx/bfGjiQHDyPHibqgwIKMrWCyyBwBwuQH7uqsMlzFH4ba+JS/lCPO zs0+KHg8kbuk7M6Q+XyQkLSbkMjFvtPF/llT7/E2HpSdaPFy266m/X8OkTy7ldsGaocyRUghWruJ iSTB3/wI/p4Jn231Tdmfs6I6RBwwU+dA33CRPyfSeMxF/YX/ktlqmwd4W8SfMi2B+lKXOBI8WFMU c49La+eNCGxzuiBSqvcH9zdoZbU0T0yqtO3V/7/N7V3WnajQJZI6ZLB48cE3H4JHOhPDML7WSl57 TqyuJ0R0NT/H+CJKAh/zV/320v9uqeQcV6KUVGAA8Py/qtzU2aR6cHtcbVIUestD6mT/Xua2SgDr bt0QARUK4dk3X1TnFtIW7ro+2EzNTujXnJS2gQYwq5OVIUwCxqt0iD+oppTJJcXHRFYbgv+RlNQu cQv+k4W4IrjC8fmI4f5NTM4FyfYazzEV1rrZ6W7bTvGMW684DJChhjBuCDGKHMnCYrfQJEX2x+Wx Py5g/0EpUQQ3FE5Yx0VyCHVtlCjLLVGmbS8cIQGJ4Fp1+SAA96xd4OR69jafjwy3bhAuvNf+GQ/t RtC891OqUvzHsO0XhksdoMX+7qLCKkSedPNFcbhIpecSaD54VwBybuAzYqIm3MQoS96AeT6bBPUG yLDagU5tPX5MEESp5F2ICuBgFC5IOkkpuej5oHpyA03ZKSJMWM9EhQIlqT8EJW7D1WyiwPxYFiIM IKxs7/1tckNktA23x1QblJJYY4K7wAAGJ1cs+0ywUgbywwoopTZ744haKkIgqUowVjTgetpWiTu5 S+Pz/0VTGlyNMfczUVFIvlhnmivfcqWba7ML6KhAJmBmBhjJlNTU3osoJzY4sXQidvJcWH1M3nug wZ/ktwMY0h9Y4LT3ja49FSxz80HrqfF1GXG2weeDnfegTPAgQH1sfPo8RXH5mjJvuTgTUe1Uayo3 Lgdi0OcpNpZUCCUnt/Y4uUGdBAbJjA/OQd4ItMz0dBxdsoTe2i25FnvhoNokR+W8kg8GDpyryRBg MOIM7W4uoYu+vfz/B8x2baZCe0myClD8ceeExn8rV0AW4SXq8qyowF8SnDQKcck7cT3eiRsyblBy zYTk4Pgm3hIebD+k+0Ca+Vpw0mY7BwaTl9RdRvZB1QKITDEMwjnI5yMNhduWE00etjtXGziHHpMQ 2YGwi00JVXBdZLqz2qXSHI8mTVQEOkkUvYeMmA+cqLPXzvycVFEKAYSKkIvaAVCo6GZQrMyE72hV kmOTSRaeoKXmg+ZpVFl1g/VX+XwQmxcvq9BsCX+mPydU4DIJ9SZ2C4geNx2l5jpKi10yFg0GjKBY NMihzaTkbKHi4oOXMNM80wzKGOwBIfBOdTNC6+gLDKKgT1Np9r5R10mVFYEFKzskKhu0zvraOUsH n488lJ4TinEAkB6I6H2ionL4kvl26e2up+5Sym2TYkGhIHDVbD2FkTnwOWBtTR9sPY0NxLe8pgq8 S5ad9rjAK2GSV7mMlVN+SLTvYMQF0LsPBtABfYNh0bmV+HwUGniux3oVIHXp4pdz6Da5CGCeuVz7 W1qkSk+plkeTew+fppBQqf0mPeX9pad8dnI/pywZFZDUSKNJuRwpDSQ6YRzj+YsUlXJoOCk3zo78 l0M1pO15rmRFBmPz+Yji/R2Vg5ZV2YO2eYqUuWU4uQjdVCUq92nzNKWnSNgAG57ad2FQLkHFLTLc 3lfl5eytExkV+gQDNowiEuYJmpydbZsyLo55KjQILCcBBjIAavVB2EoaNrOSe/4Qn4+kOefXekLF 99wF+c5TSKsUKo4oa6V5wsaT6bW6A3XODnY7xdBzUqIKbVPohYN3xbGCpu47HH+Ax1NVuc1z3wAn 2FK5NTsVo0LTSdVYgD7wwfpQrSskb7ksPh+pmH9X7VgC7Os5nkIRE/IGF1NuzZP4J5ynspzrheaI z1NkhCMrvK5uC4dbYQH5BtxH2k4tUlzgGQk+GjSKngJLoc48PyS67qhjTmSmBgVQYzTO8FlOqfL5 iDvwCz6wWZB5rrsQ9c1As4xLQe1jO4JwZJcxTQvdEZumGPZNo7cyuw+euZwvj3iAFmbAyAOxuSCF sH421lRNJd1cuDd74UgTnw5vnb5BHJQLn0uhStFmtcLng8RlfuO+YTrVoRPv8xQCvyudXpNJKjBC cOjXEg2VEhSoB9s8hQE5i8DoFPlw4fG0m1rUxC2DRmbYdrSgAoTTRKi+M5fQfrKQNtg7od8ctJ7a SgrI20kv+HyUuXx3paDR8rP2M1GhAyW6oKgBd4ekrtKq77uWXNFsoO2jVDi0oBTja8DbwQavAcPh p0GKhBPVgJMi3BLrCsUUzJjBv/Gv9L7BdVcUjncbdN1BTa7IzQn+63z+XxKCKrFDmrfesCA0oZyU fd2gHGRrlCdXoprUQNDxtLZXDEIXykGoxVDRbjz0eNIKzkxtSgicBCapYrBg3wyNk7Py611OcuoO XSgzp+kEYMsH1erOn5c+OMRA+XyQtTx2psqgNwxVb1gQ+1Ca08JcVllhfcgqK8OAhXAoyd3nKQzH id4BrM8HhzifG+6E/RLsbjC95nXXgMPYOBzIK7DzKddkL/xXrc56VAPEOJUyIW2t+mA/n8Y/EAF4 8lfeAhqjWEU2USE6RT5LqflEnQu9GIA3UfiYE0W5HZuoEAs+dJCX7YPtuwHxhSUv6glwymahPKM6 D4G+hqb314237Y0DZIFoBYlLqibCQFmrQ7tcHMGs1/wXg0dy8fCT6dciN4d+lPAvglrXMvXOgta5 EpezmYvXVqADYBF5YEjp3qYLPFMbnA1WkQ0DqosMD707ATBomrdZ2uzr9s7nshf+m3gppxyuLK4C 8Mu3tCnbmvwD/6KCM2eQCuzTpQpdKaVPsXJ1kOpkuVnVutldn6JnF8zNoS2l6ZyIDT/qte5cMEeC uYV0Ts6awsWAah0TdsQS6JQYADNXe9+/SgYjjzuoZLBxlEvdrTY+H3ku3x4VvXITqXvPiopi8sbg gAAtIcOS23mUSm0yt8wZ2+cp9IEvJt5VfbBUuOAIz4L0nDCgornHsJ2kA3AutjdeMo3E+CERGmND 5SRRpJSDxQZJmraYp83n/4uxEHKm/rgK5NCaslOimleapcJSqyRkRRUDonpobatpCqvkDJ4A1/HB UjwUKhvt0xBx4lpYZPHsUsWDyKgEgViPjWfzFKJWaHJSm1gGkN3nmYKul+SYek16ywj27CkeWRDQ EuOp7BMVBeWd6wki9l6DIoBYWt6FSCjWDBAgKRcO/CkzgTcwOqHQwLwd7nNywwNybpZWWiYVlycU 8AEnNy4wBPADSppwOfSnrEtmMLv7oFQYjuNdtx/a6IFB5becB7csTdZnKc+Keo/KYbnDYt3ujvCR 472Kv5LRI3xlOggqByaVzhE767754N3hnqmlto1Il1APXIJ5DqKwoP3LVQVGRrEXDlYULzuKHnAo WlGAG3R2MFDI4/NREerbFw5mR7iEfZ5Co8pZzFnAIdBzPW2XXRzeixqS7bzYqVKmXm0UHy7mEG4Y iS6M7fzyrRBQ3goFzwB7r5dLJ5h4Dq0qVVuBP4gPOsgL/bB/vEYeeFUiKP/FgRq027tBeehVuaXG TMaIYer6PaDkVVmlxuw1qMCsMv9/i0UV1BZ98GAzJYroZSLGFhoKxB0CKNYTWCGpf2ENur1wgDUw m0G6w3DQxjsp17Vh3nz+nwBWXTPwYR73wvvDsFLZixlTQwGlO3tlkZUkU8/e/CQPHSslDwc7AR+8 jddHAm2BekM0ZUDvOFM+F4fMD3tS1u7sak+FnpUoagC+agNaNuoGw52VjbzzU2f+gf8C9QW+eaKU 5RMVEzSlgpK837nF7gX3ABaxd6KSRwZ/+FZWUVO3D3ZAnfVYEMPKIX6dG2IR54PFgSD9HFBIy0Cr vA30wLjy0qEbywYDPsldFI3azDXmBESZfyDCGvgJxZI41CsbK2Q2U2FUPsycIdsRtXt3/erdsgt9 oPBhBZbAvPLaxHdqNHHwet1ZQ+eQM97YySQ78xeaYy1mkFjRDq/Py174r7qmWw+g5KeM45yJ28Dj 567gH4iAPn7r8VaGtEj/arwEHpbuhkJtQZWiCu17VYqSKBF8vhi2K9MLTSxtpgZthznY5quQ3+yZ Eo2QZW5DbmjU/EJonglFNNJP6vbCf116vUpcp7q4Diwapsy7NkosgY8lKuW/KC1bSNI7T1FUDsVL rCi/9ACzuSsqm75lPeupeQU4cLKUdg3tGYsPXok651LjvYoduWBNz+AARpJQRien1k/zsbq98F+t PAoj22DMH9D3DeYz+HyQvvyaJwavrTxnVFgpb/Mx+iYWkd7KkiFSX31QzqpMn6fYzZLgFQkRc/Ag aja6GRHlc8I7aGNSFR3VQvCCqNnlW2/tZW8cCVryGG9qKbTbUoBpfFa8uTqfD3beLzg55ObPnf9M VFgrb0no32y4sTkN2oOwfDqztQ63RcuxoSXjgpGewdNhwMnXoKfFJAW4VBISTya4wSpHWnDRUKqV h46WnQBglCN80MbrqL+aKfri80G0+VgRCWGXAGFZz9YLq+VUkYNq6ZkTwlcyu8CobpYmNUsEUhui ZQqk4riccgOLqo0cNFMdVaKTAVH5+yRnII+j+jtgvoh64DnIG3oL1qXqy974T4IrTmsbdO0NyYnh P+7J54P4YHzbEQNd2/slceTQ2JLddxCAbnc4mxoYiC6XxVElLo/4IHC2RKhBa6sua6vu3MqT8y5o oxLGlgrAB0lis7j8KuCaQLq4+Sdp9zlwtsQ0sQYFLDcHk22uxSMSoQ0Ca8tfmZ52LPHud5piYDl3 3t63ZEfoFBNiQL99mp7APPS2VBV4SwaVg1cOcHijSMvbZUC5n81hFHc6DE8yWHiW5w2FUYG5ZbYr rxmnY7InokSvF9FHOsSbc2hvma6KA0XVEZWhU+YTFQXmlVoT27Ws0SieTpyavbrCtelecj3Fgbk8 G8nW4OAZTEflPUkMDBzlQThQgW1WQ9QEZ0yHjaVi7/uXU2rb0gMFZEc5R0cCyZv9/PHFPxC18351 hyFRm69Vag4cLlGxIA5RS4eVzXbdG0/Y4zjEAnSczVMcl8snrScfbEHBghcqclxQqLgM0T7ypAEs eBVXx2kqhAosLhO/5kgp5zsYGKoRpZ6IBeTz/5K5FvqeEKPneIow5bxwzukz3FRm1N5uE716UI7a p4UGsculPGWTup7pdj3B3DmpdWIBeECXil7YuKDOS9JJA+GAi/Coix7aXAqv2eu6gxJikMDIoT/z Xfn8v8xASrLaMdBQz0yFpE4uKFia2Ew1ePap/VJWd54CnJksNgisLl32ools1h6y2URbtRGDhsAA eWsn+h52NgsEDl6PF76y7YUDLlXFEV4E2CxqoDJ9ARwmSRUlN/6BwDl93+CAPkWArYHG7TMVhuWM opaUL9QrfvwuyvBwEz+fz1RI7jSCglk1jjtT6A0XyO9jpug2m1k7QOd7g/Ba+yM0J/P0HHhePtEm q8AcDHHQoYaFDyxbz0eAqPYVGuTOdvZTOwhdLxGSAElefUmds9w6eue2vhyhjkvGJipCsNQpi9ma ffAzKsNIqEhlPp1TonGbUuV6JGpf7ivFu/19/2pTwWvcBzujADJmTL3BEAqML9FVqN/zhMhupQth +byGUEXEQyqpOJVqXI/ZNlK9gKi9TUvtNYAqrukMcwIfvLLJuwhhYKNeyNa/TGDlDChfjzEfHhUC qNfwqbjYzEl772BMYWgFS0Zl4emXCSpQmdUEyXStna82IETIRPHzGjphejpLdSx8k5O3qNxOssvs TFqapsd0eF8Dp+Ly6YRw2HCpLufuzBIHHQUOTOz1JYQMHdpqGdVOs3NYOpZe5ydR8A9rpyYfxIE9 0Zmaq/X80c9rzFQQ/CSbH+YlBMBMn5/XiKlkfi3wqE3KAf2ple04qu1KqOGfaH5e46VigWXlfW+D xd9wcYAc4pJYIVgE7IUiC63Eze3rBj4JHn+Nlgr6SYPrZk8frM+CHjM/7WyDz2uohMndy6aHjUza XLAOzfl5jZSe9ZO6R0qUI1akNImQ0fpBCsP5eY2TCpRqxC8v1QdHZbZ9wptJUlk/EVxV85M32EBB buwbdxP5/XmNkoqkzyCORlfZCRcIwSUq9PgEhj5X5uc1SPKvyulQPDRRa9o2P68hEh4ywfTbI2ch kRF3S6ssRxPwvqepbLS/ZJJGD0sNDguDLgjL3mefQXd50wcsU745oQdYAWOzDcYc6fMaH+EAKhIF oVQBB2OSNYhq4VTpJ9x+jY7wtNk32wEkh+1hE/QaGRXdPddQVpSf6YYyg+VoLqC2luHEX+OiIjVS SoHcwSYIJkXnC+B3O8nkOZoQIhGuOn42JIcK+73Wy2Sk/RoVFTCnyTZgiK5B87OBNuJd31f5vIZE xQyGOR0CG8D4qfgGe42HcKrTlGC6WzMh9dvmp+E6dsOdZnCU12gI80OGD+5pHy4OLJ0IuJEIDN+9 uqk+l3CATKjvnX0z7g5LetlghxFhsVVRUnOBN/tY7pHWe8Urvk+QY8N1Nk7hWvyEfo2DinfDByt7 al4KRmi6O34C5Wsi/xoF8YRmL7zoBiuPZ+MGfagvtprAm6DvLoxkobaPfnvGf/LAmpzy1yAIPwJv nRMMdx9Uw4VAPafqZMz58xoC8Q3b1wmELd/JjOT8vJYliyuBTCGdJXPxaO4Q+StiT3FYxWtRsrj1 x2iS/25X/vtkTmf50TmcAdAsFJvLCLR/NjrJZU7vmwg1+XktSWJ+0FHaWaAvDvyMfPbs5rKoJzn8 vBYkcUau9XUAAdMz2z2hX4uRWLNJhiiz2Qbrqxjx6SQJ67p+UZSXGhfRCU3wUqe2pwbHxZ0kfEkg FlKrqZZJpT0InG0c0KWxuC1YHM2aXuuQxftvvYmE0aYLW7LAzFP33ACf1ypkMS9BzQ/z/POKFJe0 EDEKoYfKa9JCUxVyXGpYucpWZU+HB0QxtKEDeABr8G4u1GRW3jKzPHNSScocYM9tKmzgUPIsv4kd Ga2hSXTAZLuEgwq0C5goNh3P6v28E+iwycyqubAWgr4pipY+R1EcLXr9UK6KVQRTPb/GavFrrAjR Sx7Pn4H0yjKPz1/m8Qt8zElf9AWe0KasZYfmKhgmVDzyRi7V5z7v7DksekqAtEGgCQedQyAwUNqv nbD0806eK/J5fg4iaCWMfkPpd+4crjIqpey1p3e71z2JzIIQ62ixiC2AVzBHW1RM3WXr6y5bZ92c jH4wWERDt1BlPsOydWSKEc4bCg1BdYMp6k2m8bv5oCma5+QrquJvPh4EQ6ZpqSkCsHMMQpaERIgO 684+ylW2OsdB8nB6t3o1LaFnY4CA4LTuRej4fAdng4EqN6jYBjVc9LtRUANDAmih820yBRvtNkt6 3SAhI5F+53YHS1hbZUflHNdAnoYR9VhfARHQRWOWexy9h9QqFWb8esnnSPIVRJes7BGR6cywOBvN EWqztRRcbBqUk2UYEcwF10DkZKj5yoKH/Q1oL9GBkCjBDIdyvm10GmH9IAvmAF6c0JST7CAcMTmh kBbMkfh+fhwh/wRCePokRWF176rHZs/LRro+jYV4I07SudOduPMeV2f+xri18h0sMVujj54WpXaA 6qubhNaTGEH9BYk9WB7e3KYJ0TtbDhuaBo1LMrscxL6EaDartPDf/ryT5cqjRlhUGwIEYma2bDlJ YWzd1M82nRicztc5HrLRDgGgfRknKQquhYmHvbcPNknprKITg2xh0jrIpOxUgvk/8PtnAuDsRMpT r/t+IjV2H0lJs8FwuSVv9Wtn3p93plx5lL+1ksDYYRHV5igKr11l99bPQNn39j/xfWr/o2CsOYri a13+sxIlwcETkMl2Y2N8DSg8e0sQyYAcICCNM7tmU4F0Gj/kfY4GA2wULjU4awAIuilR687HowjS 54hNuLzkXG9z9B5i6zpiYuZkQlzTVoptRFuT1FTBQVYpNoqxadQAWb7qg1WJToYwocDArhpKr2sI bNIIcGugk96KteCT7zG2N0FocWODykS4EdlirR26OlGQ7YV9BdkZfV0wZHyOojC7NxXSTMYa0zWc mAo0kq2jhMBFcxTG2dhrAM5nH2yOZgcOEBRQYCdPkqZOdgNSrCNfIXL4lvWnXjeaJPLAkenZYAfS ygIhVmIjokg7F7/9efjgMq5YJVZsjCJt+cfMPh3lNmU7yGR/b3fXrc2NiN7JcfdAQmHCBzuQNv7f rLr+0bxDhYoI+H42GyJ9BHdWrt7FXjc4tSuzkal22vR22jn8k/g1JxfMn3duXDFnqqceAjnE2Xyz vVPjinS0gYnIHkWuNNw/ZnA1aI4o4o/r/50ZxztSQEDm/Rw8oYVP9hx0/DzHUYU0F6XRzvfdEI1D GfseSKw5vjPjbk2/lioLQoRShhfpAEL/0NVift6Zcbzabk6roshZkKX6/f9OjGPbg1MzurujbOKa 5Y6ytt//5OFqJb3H2qgJ0l5HrhbzcbU4l1hBVWWSBn5yqj6k9gURqHNyAfwAATz3/eK5/c6Lu2ES FFE5QISMt3aHk+wUYK2szzstjtN8TyXpEaDiSacdTlMYbw8CtcrVkB9juIkMkLLewQYNlKfSOyuO CaCA77344D20s4RgH1rpawFvHfDqz2WMulUCHbWVfH3RJXvyTopj/o9jqaAwYoMh31uhy8v50rN+ 3jlxPNXa19k9We1N3ScpCrgXT6Xlewun4aVR9Dmu9Vfz3PadEFck1Eq3z+bDpQ5C3XPBYwNd/nOC kJcDw46TlACgOohCmo9l0zsfzjIvcJoJGuGgGsmCdRyTkpHm550NhyvYOBTFsBCLFg8+R2EZG9db yWNf5aqRvExbaKYloX3UozRHUR1bKvKjULBxlCvYeDKbxX3EOjZaSFuCjQAEACt3fg4SUafkZLF4 P+9cuCIl7g5d4+2D4bjhdCgVuLk+71Q4rvZb6mdQVckMuLP0HnDjVCrqWd+shBaj5vqZLt4dh6Zm 6T3gRjiRWMbOd7jVbIiSQAMLynqrnj9FvAjQphsMdYhgPv20pdd9P5TWEEtXwNvtlaQMomu3O2t/ 3nlw+LbZJ4m9AbJ6WrnbLYy4dXSvdqHuT+o23FoOsnrO/X6nweFHnsT8IR2y4UaTwBm1Lu3Pc3hj 7oGfXHRLRlI9yk3dytDrRpPEkvZka+Qcn+2HuUg5B0Oq5gm79+edBcey8vw6kwBMbChF2CRFVW2S AN0zXisp+yTVNrwmeY4Yq2q/U+DY1+cS+r9qVeeOPJnCbFWTdH48XHbMb7HYgRJBV/Neb8Qkv1Pg mEVxdpJWUqquAQ6FkS7wQ+Y7Bitp+u3G4IFeRKvfUCmKuSGnhdztHty773V7R3eSNk8QTtJ7zH22 G0QEaoHimg1eKVEDm0hN1BHbonzFQDusQWwUbVqX26WO5Tv57e62Pnb3Qd39cZKcLWLyGp937hur i/U7moTSI8oC1r6OIm7azmKHmRthFudASruEockddXl6+85887otqsx38LQknZkhggA1yTQqyRJg vmEdQTWmucI1T3d+RtDhFzIE1T8bNEWQVWXmdWKL8nnnvRWJR37V2yi0v7xK8k57Q9NTyrGr3lCy LHcjBNXI623ZtU3eWW9MSrCKKoWqNNgqmgDU9kqQH/4LVc4gUAHJdwhnz2ricOjx29tGU7S0xaYP ykmAi5SnZZt8/F9nNo/nIptNn6Io2m5VeNF+qcsre4ekUZDVOiTNOiTvfDeeRsRnEwOiwWEiQOOR a4wu7QbplMKNqGI3kJjQyPETuw29bZS2qQBAzWYORgsEvJLYjxNjfd65btYHfLq00Cus8wZI71Q3 nCDUQLWqLbm4JbmA1+rslRQSM5yL+850K8YiQStl+WBTtNEFBoWSaLWTqVeJXuNP9g6YHTVw3Tk+ 6XWjRi1BItJBnUDWiHDVzj0jdZt5LtTPO8+NVZLvrQYht9abX/3vLLciUxa6D5toHi4btySooucW +qMsi4/eSW74kcCGRKV3+GBbbXW4l2CZoVgCcHapOrAG/lBBx95KJH3Yy0ZTxPVTrMA9m9eRErr9 PINH+bzz24ow8JohUQgL71IvkbzT24qUIlAiqb7Vzk7zrTZbdZ3KfsUU3tltmCJ0YSvUN3ywddTP +j9ZZycy+4TddbLd1Fl7B1MFBmzeIGEu8s5tY4eBjVo5EfXrRNQTyudUqTyb+fNObcN6SPsrNkJD o5HRoimKomzEMDiC5tXleFR0qRVu+RrCLB3YYVmbJdstpSUOXtZOExIllq+dCy6R9b67/iXx0Q9V kqC+d17bLbWBK+CDYSJOyM5eIjTCPu+0Ni6IWyCRfMmJA0ef90CKwuxK/t+YxGEz9SdR0XAj3WeJ nBfNUljYZkl/NX731XieTiJDYSHTxVEGzDUjRlmgaNWfCfwuczc32iHO+J3UdsFr7Is7RZlbBH49 NL5FY6p/3kltRQrbXxkbnDyByvFZCuNsct5l1MW1VMe1/Z4sD2qWQIPRLIWlbXrCnxNu+ODb7Wyp BcFhCpXA6xWtbwiBnJ2Gu6rAdNxjSMSyn3dK2z2TevXeLU5cbriFEA//cZyF+3mntLEPNb6Sf5n2 Zq9tv/PZOLfklNHIVz0StFfdhWAWDyKnWxG/09nw/lmKQS374GntWdF5CwU50TxknJkbqM8oDDCO f3hapLO9s9nwo2ZhsHf2waDYcBRhAHD+xuedzMaX/AYaA9zQQZ01IGQYaS9DSIiBjEW13Ge3Kuhe dB+F1xSRkHGkTQhbYWGSg1OPkJHRlx77DfRUckUmSrsbAQgKNL6SBu0H3qlsxalHELvU4OzijvoU hd9ynZ93JtuvZhveLacCMGTzo/udycZrm2ltwpn2P9ZKiJAGMxISd2xoA8qC8kfFSnonsrGgygaA eaSP6hAJmHSh4ZJk0gBGJEttA2H+puoXaKvWAKA1++edx1ZcT78Owtk4GF4UBAQexuc/f95ZbKxP 3NRfGuBQv7r1yHcWG1cS65HVhAMRAiQ3aBjUSZHoG1IuraSotm1Yrd7vYCsJHSmwUKgucZKTs3gE qu00az7/BQf9k7V1vW9wdMv5U7Y7HJIVSIqoeuck5eNRvJ2+thvfSdZNnKT3eBt0Cc5MZXl2Sn9N xOQCpbllPUlYLquK9M5g43bjiZ1I+FOmbCspN2C12ACYUIBAuQf6pidq2PS+2WQ+SH+ZzId3BlsR eRpwfALb/n/GvjTHmRxJ9r/OkmhwX+b+BxuamTtDX0945gDvDQvVFVIkxcUXWzhojuCwQx7ESW3K 553Axhgif53bSMUHjFJsjsLCtgBJczr2GIa1Vo5M+eqUoMShc/udvmaYlLNoEGfZ4Jfb6LWiA8c8 ci5SHhf1Ys6FjfImOGyW2qpA8s5eu/j+AUd1G5T9n8N3SL+stvZ5J6+xd/B9bCNB7dxxmqOosE2/ GWi4efYPByK724CBdiAJEEaaozDeVq12sfrB4c7RiUQH+aHncB0T0QAKbchoR0V5btx4WxCJd9pa cVlTIC59sCkCzIMdyTNzn3fSWnkcZJW1Fa7s6inJO2WNlSdVaZtHkovATOu1JSf3gWRgUxTG20xt CzaQDTZF2Ab4mdH+rycHqClJ1RMFCtz+SGc93NYqioraXRztQYv0MYZPEbDSLLcCvfV5p6vxMPve aZBM6yiD2hRFwTYP6JPyuwQeCgEPrm15sD1JreQUxcE2MVsICW3wVbSB2a7UVKwQ6dxbjCQyI0DW rjcjIc7h805VKxKwO6kI1PJt0BSdgJJoL9SQ5uedqUbIVv/aaKh49H5x/i2MtLeIj/Vys7eB16Gm SECgFM5RGEGBpIVAbSIjADfywaboxDTn3kelEAAJFNZINeq8U2CchdzFTC1pF4rvCJB/W1V/1pDG rSHREpJF/3PbZDwehJAXiWzhJtpWdxWFcTYCz/M/rEumKdkakScw69uh7Lee3aJ6dipKbEv34YLa Erq0mZk5xGSlFt+QCWNd/VDazwUntdNCDAnRtZBq8cEK2rlTfO4sozLweND5zzdjw3NU8ep3q/Uo zobaDy7+K6M4ptHYsYCTF9pQTzJCzXucjQObewyymjZYDQkx8JIudjpJwwAsAFttnp1yrqUGoSIP s+Un0H+nPI7R76B1dHLAzkpAO/sCj0dA5PK91XCnAaxnUxRF2SBAZFT3vRa5mEFLLyIV14voIKlq iiIICfDp5/jNrCHVfGtIBYlyZTkE7H7IRVQhBAdZivhNafCl1J+yGj0qaVd6NI8tPsx25/jUz+XC lXt+y4nH/z+0LKgMwJ3X5ygMsrP0yLo3Rtaujo1cpG+roQ39X57YPSppS/VnbcJsONheA8dxQFaD Oa0JNoMoARsk6OjDIf3Wa4u97m+gtlpZaKvqXioV6V3enePkMng+YD/Of2C2k9qntw3ZQ/rjIMx2 GkoL+tO0g6ECArC1irLz6Ca21aMoe7pR1fLBS20n3YFNIwFbSBgWZ6nSOzMlRo/7KkVsZrU9CrNR zz4nHBCCHMwOpidg5ZnVnsMTj//FEWVVO6Eeufxm6xEHcmTRarLLS0LCyJZS1iQBFQ3dcJukGD/C EFKQJA5+s538oxayDRNcTFcjtivB1WjikjBtfN3+rEf2qK696B0wKo/tAQst9X0W6lSscp+dPfF8 cLeN+c9+QznyBpE9jLOZWbaWnWi8CCwTFjmt5EgkmpFxkkIiJCOklNcd/G47+U4qhQYC55jcMNZD 1x+CVIS56m6zM4mirj0CbKvd3zbztYaonMkzjBamLK6BI8Hzf+03ginRjmgPVzSsbCPSPkEGBaUR UTYK5VM4ajQyROmLWumLioM7jrRZ0t/Uv+VgmX8/Z/bGIQQ6LYASotMiEvjZSGJJtb0CnFOvG+02 RUf0JR4UcxJgb4H6xzoAWhb4gAjV9n10A93TxyX89fdg2xTbTsLd2ElTZbvQRwAlMfCm/pOpXgMg DI/uKNjWAjlro/rgJBLU2laTze6Cvqf8K6k1gpJ6SeNq4qdqrxtdb8T+b7baOPB6Aw6cfHdIGUw8 HrFq99eZBGRsh8qvzVEE2cZJAkooof80+US3SzWkClU7LKoTPCdK13R+0m/VyJVl/i1psMrrreIg gqAnaJG4PllDOmdT+dlABEPi0M/tARlzfEkQJtEvoGd2JTmoPHLybvr7/KDNjMejdfQPiJSomJ7y XUlhwN3EjUwuaQepFK+0jSqhCChN000I+y0qbK9MXKTY2ethZ+8GIdeKxiyuN1RI9pKryQkCwK9H YODlyEHyaI8QJNZtg7ymDc5qkyAYGtUTj/+1ksgiwUuOsjxSGlHAPUUgJSpR+IiWt5/c+bJIJrsE ZGhHhe1JhzOi1G2w6221CcFEiicDMgI6AM6tggbcWQ8/MOoUicTUuEcUcJsIwmb6P7en/wSQ0Gzw HG0ZjwcBd/+nI5kki+dTFAXcnSIsX25LW54K1CSl0LnscZZrbY6wrM0IYElxk4MHk2dSzhwzTZtg tDdapEMoGDox57aBmonrjiFywJdENHZWkbL5yZOGwoUEpQ4eggPZ7Qjr2usfMDI7m/VySEeI2Wbm NgfrSIPEtukhQHJ4G3wCgOzgLEV17czMrQ66mVbO9Y9CH5ArEwItlCMhYkeJyS2CDajI40KRgSgd +I4AQdrkAKdW22rWIOmQs+Zmg0QsHo8aJN9FkqbfxaOkEcXbKFBQSPxWbGv3diSEeKwCsEgI416L 4m0D2qCKj4H9kUEnhQ5a26DQX8/g3ZLW1imQgFB21keA+2QWA9/xWzdyoANogwoA02LQH+gG4fHg PGpe+Gf+gg/DPWBTFEXbsIQhKcL1EHQnqfs/L1wLWjC2iqJom3q2NVUpR9Z699pJ1Cs6R2T+nUuU Ec4qmMYfekkxQjNSG7YkvyQ6j5iRQNsPQzIT6kI7ZFLcQOrA89E68klSO7xjUu86CqNtntmz9uGM rUFikhhbs9iBRBK3JimKtqVmtKoatzXfSUK5FrR2VrXP6oGiI6vaaAMBugeUlq0jBj34jmCriYO8 pV63u7fZGjpVzMROFIHH/0K0479Fattl78IpCrHaQ1D/2p2LlMrV/SVDUwkJStBMSEaE1TaEzX9j 2oCwBYgKtEYIbpdEN6WFPvT5QyHTBb+eC9Wuetu4xzbSecemQSph5eT+U8IITGxHGGjfE9vAuCDA e3g0oqp2y+L9Da+RUAXM8LXrGuCgOGmrKNQeIeEvJR7YTOqxqgEhgatpAjaE9UjkgjJqRiEQVH3g 2n2K8DvjO36LjkjjwIATsqseOc9hLJG+1PF8dBz51V9U44IPzL3UokBb4hGL5uhaRixRaBnt4TLb cMmzOYoDbVLZZ68+GHnkhJPnrsqJgfbJTQorTpnw34UiJlzj71ZjCDnCQJu3WkE/8QzQclb1CEre S6pd54dd+IAg+6/f3drGxLg4gmSEgbYw/9WkDokgKV5HUmV7yfjcMP8jrmzTQmmKPjIf+gikCTti bERtCcbeoAhmqvSK4QwumFX/YRvE1w2qbRRi6+iWgvg3pxyRagFvgCnG2Lng+ahE8k+AhLLSF197 hqVts0swXzcmts3SkQbfLs1SWoRsdX7SL2J+FcePDw7WHufIL+Sznv12Mu7KQlJDDen87vuHR4s1 2siznSGCpEz6J/U76NQ+KzLx9j6pf8XjwRy17yMJ0j+9Tj+SZhRpV7VrW9mGjaCTnaYoD1P5g1g1 YJ2copAdSWzEYvVfg53as62TvlbW2k5KixMduT+wkD+LugPpwWvBY5hf8htXqw2B20bzjA3GhEQS tdrLZ4Zo7dv2l6MCNu+8GduMAm3sIpzKdXqgrT4Sz6S6/GpDf9Eko2JypIyTWvPBdtuGpl8HCAfp yD5HCXdbh0TRXiRyYKeZKiTFEebvte3WBCNpbneDNIYwc3CR62dGkfZXPZKkdjB0APaxSYpC7cyD e8ipk6F2cy5yww//cLWsZTvD0jbxkXtQCZmDr6QThpyYj3gtKPtNUXEhRX3iSETg5fK1FyFtM8SP mDTkvIPmCNQ2Bq5nR2Q8HpFH9vdCAsIImlw+R1GsDX1EpGkeAADXccER9KWSKwnEFHlszyjWJvEf /kXNh5vXNgSPwrQhCs+0b9loOdCUK89xL7c57HWD3UYwG7TwfDCkbZuUVTiT1CseDxqSF9NGOg30 Wvq6d9sMY23qtYy9nM82yo0Aaik3kCQ5j5MUIUg6y5FbCklbtGBxbGkpKz/FUYE1ImK7APKCXOUH WapHSfSdnFGsXeX0yi6mBi0k1CK7LGvGwONRpe27+A+1vP4QtWaEIOlbFJvuANKVt2f+k746xkLa PkVRXXuxE7tEj1zu63umCHfCCbbFQjoxE/SkMEVwbj4HOp96yGz2ttGhTcR/rzZYrD1yqnIkBNEW jwcZW/+OkADflKGcpiiKtQe1xpdkooS36Y6xXf2mI6M5xnaGsTZdOXcn9oGDTVE9CzzNKuroWaVd Ll2VSwJ9rp7WU/nfetsAh7Rk86pCUsrbq2zAAXiCiMcjqNY/ygiojEMR3qYoQpDQxg4i35eDnLIr I0yJJFBKE7BiTVEUao9s9Kvlg11rg81qcCsgxprO0UYERYIx8kTyW8qjnD2IaJ9RqN0y52hIEBpK jdnkWFmdQNBz/gHPR0ik+pWPZJ6Q2fORGXIimzTr1/hxYaRrWjqbC7UBLO5bLdQhQensTOdcPngz 8pwV6CltcSLbTqS9t8w0HhCz+kj702cWXxLtNQrZuKbddMX63Yb8c86n8h0jnM3da7wE2WqpHmiv KNBO7I7M6ZqahQBmcyxt15cMJHStpBVhSAA2IkG7+WCrv0MiCm0RrKRRIRiGSaqonfeC8wAqBpLY EOF/hRgSYpHoh2mDymzoYVKT5MRMFY8HrMj5XYkEUqyT9KspCkvaTdYsRj4CD227ckSimYDltR5D rhBDQt3Ihe1gg222hbihFOoiwbfm3JU8slGs6Khq9H7lNdbcettI74+loyI3c0BbVWIF0pwVHqIm 8HwEafunhITfCORem6Mozjaj0n7VNRZJLgaMzBcY2bNRbFaIISEiMkEBjwNza97857cFo4bLCCF3 mzIrAxwGDY2V9xMdnasO3xFV2XgeycN8Xg9zoOSGXCVPjIrH/xTXxM9HhM0jzhrSIguZoxC/Mlmk 1m95pHZX8Yfxj46jFcK0tYzmWj74tTYgKEgudEK5vinKLrRQpjdZvzR2YUfXbwVtICJtAOJdJaTz 3CZHu5+rA89Hx9F3dJQL25kX0rZCEW2WIk8kP606gha9VUeuMdmZpO4omxXTItV/FBbp8thRRu1Q ipDK+Pm+QaHtE7mfsGPCkyHTL8xBNlOvGxTaprJZbraGOrtZRKU5BLFFcwnPRyXtf7JaYGwH6uM2 S1GcTU0OtHdcqQ3NQM1Sk12h2kfTPUZWKEEy6EGxd/XhspDgZDjY0kbPpwJPBOJ3Aj4RYFEagsh0 MxHVtiIEiXQjWtUsUSL1h9AIgDBZTTqRAR6PoEheHiHdDkwtuGTaHEWBNrBTaES6OSLE8R2vBQkd Z0Wgc6Y5igPt+a73s1ZGYYd+mxCJ7hS9QcBIj5GzfXGaWAmJLVd8STBHEumDthsHqdmd37AZju6k zw2PRwJb39utUkvnVtlWSIzMkmEvt+lf2Ruhjx175EJH7mRKbSuKtAezkKEwcnyFkRmCGueobiRq oUc7WR1BcW0T2AYGm8NH5tDr/gaNOIc0Se14WECkc1hL1B/yPXg8OLb/mSNEffNkMj5HIVi7kcxW k+PZx67eh6z9kdfcyXr+K6xqsys0pIzEweeotgnxDib+/cwYNhlsb7HikFgWpE7q1bKYxNf9Fa0N 8WEblNSeq7my5nauOL5j0PQf37c/LmHKPtkcxaxI4p1W9e7ITs3TkU6RIbFrRjGd1hVG2tIU6SxF SuldcwSxv41iJvYaBLGKxH5gN78Y1zXWtBUijaHX/Y2lBfsEH3QeoZrA7gbiSTweraP8PUcQswN3 VXO0o0B7MELq3itiVmuBdivjykajQ6MIaYekyC2ve2Xy5WFpJQj85k3ZaNQS5qLSTcJHjk1J5Hyt WlnR3lGg3azeL2Wt63OaYLwo05CRFh6P2DWesBHzh/LRuJTI/R5om61N3vPCa/r2hA32o14bYZQp ffZQfmSzJ0InRA0+RZUAC4prAreVAItBawTJ7aBFMphndq1R4H+HYG1qIs7F44iDamwdPDYxkMrA 48G1dkH/EkBEQjuu3O8O0doAzIASOW7Ctp3MnlNyJ7aCIpwmKY60GT9uFrY5eITUQKGlJz2Q52dG lI0gV6LafYaEvk0SbXfxJVGRTRY1XEjrSrRV1H6nBDP6xuOR+tg3CAli9K0kryDtKNRGZyyjI28F bbRlPfNvI7tyxEIDQXMUYkdY9KDTjQ1+95/MBAhGKtkCMzoURaKWPeGfLMkoax/xXttRrL25ggaq fzbozD4fITXvtnPF4xF75N5r1dLalq8qwg6x2uT7LymOMcNd0x1HZ3/8akAk0ST9jtVe9ILQYOWR gvO0QLMbsP8KSzlSIlEXPJt4ScHOymyo8eE7ItVIUiIG99wAKE6CYW2fy7IbJWTj+eDQTlfrj0qc wGoDUGKTFIr9dea1rdxJyr3YJI1Ntg0nCSKsmqQYPUKQNsRPbLBJOrkRDC95anewLzfjzQqKy2AV d6UL1V5DbxsESCz60L7bBkMhNcQn+LqKUzt0rbmKSPSm/0EmMdatRu4IPwLThGs2IguSdb1rR/Lt BuShTVKo9SfXiLmGD94boaBWo0jT+cRWeP2ekOP8MB3J3U6Xz0bUDL4jJo6C+gVZbg0miYBLRspa i4//lbKxaYnKZr5l/x1G2rzaIOzgVK2Vm01RG6xQFppGdNNE2lGkPaX0h4TaBu9nIweh7RzqI+dq Y2gES/gKH4wTbgMaIV1N+hDjOyKxf6HZqUDCwehsdcsz4nzMxuNRmS19H0goa+HH8zkKi9qMkGZb Tmebrh6JzUDmKOlsnZKRnZ/0q0Bb0hwlnyNIItd64r2muj/gN4wQGlKDExhvw9gIPrKn3jY6kHRa S6k9X+Yoql1LphF14PEoqfWLrctY42y3fvVHdhRpI9pk/2zZHOFI9EP7OY9md0nkHaFHppg1cmPl 4B22kdqmhjAK/+jcmogdFORVAncRu5JYrt2x+ggvfwBcbFCgfbJMqQu3Mgcej0TsrqomzneUtfa1 qRNYJ46QTCFKllrD9BAqRL1/JBpxohkDRrD//f4jU74W4pA+GBBpg/q/qauXE5qPEkSA1yFgREhh QcqA/TmW0tr2xoHZWFablpqRsxWXNj55VaWsw1kkefID/sKzEwB3DpHZbu0/p9ASMonu15pZQnZ2 x//DXl3J88eFWpYxkXIKI266HlMs1QYLJrHHzrTz5J7rLCbkgyicnFgKmDeWGq7mDyNufEskrlko 05OrD/wWZCVZbe01M58P8pLW/gmVoOM/IVfjM/UedYMeo+zNAdtA/lt9+9xFRnCvOtXljZBCxLYk xjZF/bqTYBh2n+XDTdyAa4IEbKLwz7k7UDY7kR2ZbWYvmu2FI5UNHU+TQhIgI4m0B9tABnegqy1+ QAAlzeOfLBf37IWSyq7g9TGotTo4gjiJlqdVuUu/jQAIN/lExT6Rqu9rosadqBOcwnSp0PoH6j7m QgZfA3A5YIuRn3N8DX/j38CS54IZPlj0De4WMxTAAPB8hHD7xm5vCC6BUesTFYXfUBpB7S0XxwFS I56n1EzZnSRmS3fvRaXuIZFtc93o6xZNOuzaG3DL0G1Pe0GdcGWeomeiUNVDTGaVpUxCKb4mMtyg 4tamX3TfllSDCIVLg0EI3CRSCCq5apJqCaBAv8YzU2G1u5JUWq0RcH77Ot24treuSTuZ/GIll6Zk cbk7i066fXDMxFgbUG1xSs7Wg1fLOicMBG6wmPIgiVtlyrTthYOcV6aai8hSDoIDnniWPvM/J6vY fP4v+1rDfNH59BoBpTAO58XR1UtaSu62ltQ5WoYf5/Q3tIsvCsRZgUTbJPvg2FJmzei8QlNqiFe0 wPNtsAIAuJUVFJ3ndPXk10SqUlxLg+CJPhw8ARbjkL7omW0+H3FL51eEAI9MEo59okKNkswaytou K7VlSoq9t9LyCAHytL73YqsblphkdTO+rG5AOAHAfeuQqrQAArEYtdKEJD3j17EqCpab3jhIWrap 3TUNVYiaDXI4y7L9PMTno5blDTd5ouH4389pHobkQ/zk5hCK5e7a0N8qzjAhZMb8ACOpkrKFLlUz ZT1SbntWNHOrqk0dXSjClHGDjUKYfH+ggdPe9zf8ZO86orzuVwbUJgUyPb8Inw923oV043cEDH7X 6+UiYuH7NDHi3F89gnWdW6WnhKj8rKg7TTHUpGohZR8cjwNs6VlVnScUjsPJE6qiww+uHCqBl/VW /YWDihNbcWMRl8PB4IFAOrN0ORhvhqY3Fx8oCHhleH4dpkJ/SYJU8hS8FBPVJHVLX8BuIh1nopCG mXdiCDcZdNkuNftgeXBCmQk6lcDkgIpZRTXBb0sNA2jtXg9O2mznwGFSHTKkwKJ3yfmXVaeTPinL O+cqn4/SvG8EHJQtBvCfPk9RYI56E8pOrXkqTJdHVVT28opKT6v7PEWBOYi1QFfJbqrWq8QN0PNe FM4ELKdkRaSTOhMo4FOqzGTv6FWSY5NJykz2wmIvB00TzWI4TatUPh/E5df6jtcj4ApwwfZpCqPy TH9AAvtMSMnMpc853tjjRcVgdIosdn3UL1BBaANvH2w5tY5Qh3FKgua0mZJ3OvOgTTK+pqk0e9+I ATe+9SYI+1fNgI6Vif6jfD7q8n57lhX6ud7aUw6sJqFQLTPgVO9qSl7EVJVQhRUIWNhqCmNy+SiC tmWDraZxQhpA4amiDrMunNgLIfSE6BPqkrccPtgKz4HXpMQU4FsBbT8NBs855yEh8efSrHz+r03H qBR6g/mWn3LoNrlUo+vdayur3tVEgSCT5F7e6c2B3aSJu0K8s/pweXDpfCgSdODgF/XvSfICTxdE +Iy8yzIXCSrl0HByGbo7ScCcpR6k7gO+Sqxyp7H5fNDsnd8BOdRY66MTnAPDSaT66hbU6TWospPv OnacDTXAskLXR/1aqAMryAYviic4Aw21oFAD2QJWQlkQ8GYgii6IeSoqCCwnHRI34Utng5CVUNth xn9iqMLnoybUve0wqyj3EovkExXF4zC2wEQlBzMtKSqTC8+CPIsGE666yoVD10l1cuGP4YOneLAp TzhkYRVUE/4v4nFI4k1gk8+clVuuUx0qtJ2cXeLlrfpgqTC0qKS4XRafj47xqzuZVEmHjMnXQR7q mMwh1YDuM1WXw74mO9zMXOiEaTMVOeF0ySoN8XTGw9M5OcqJwPYy4ynICSMZXlhZE7ntU10ptG3h l0QrSlTm0X0Q6Puk231LyCRVPv+n2guj0o14fD5bL4rHaQuPuvUlWKgSPNmOStshzSAD2jyFNXLC B2Yi8J2DJy4ZeFTmm5TGzfTLyQ0gjxM3QpS4pJsK92YvHKji0+W9LfpQcFAq3HHi8YA59zSfjxhN 16K7KaL4hqPm0IOyNjnh3QW1GzXNuPVWG15dwfVpExWbvbOsMmRoNh7YDrBNue0kuEUDRggTNamy DIqLKVF9Zy6hC2VhZXNMVjY5aEXBikAWCyds5vNB5lL+Ka6wY7+fSy/0ocRtd5kECjqXTxQSfZ8o 8KyUCodGlPIOgICSD76iTnSZgOVlGxilDO68zaQZJzrgEgYAz63Z+0ZtFzWAu6RNezXAGwNNhlDn q/l8ME9PH1jgsRNqfhWhQi9KiDFBg4J6VLr/yLbMEsnDv108y595ClHgI8AT5tFmPSessHLnBM88 yxJi0wl5IixFX0+y6w7NKGEBhjOcEGcOKtb1c11I2DT3yeeDqmbxeZIk2iDq8p7ksRulALyjOiu1 Sv6VpRU6uEixe+47T2FITmzqzO0ODuIBJFU29GmlerLvTLmlXSG3lKhrfiWpck32wr9pUs1FFtgE YpbTc+KX2bN6Lyew5Qf85W3K3gte5XERzIEfJXiI3G29u0h+F9uCqt3Mhyi4NFO5ExXjwbcSt+GD BwckDw66UsBjGcbdAPHSQjOzBN/a16W37Y0jdAFhvPTYxWCsLphpzCRb96zXjFg8/+jAzH+P8tCT clPCsySD7cB9wTTyzgW+rw0Mje8VlgemlFcul/LUGhxeMCEFS27aCcvT3oXYKGgN/lDBNTPbsOb5 XPbCwTzRbPPcLFvh5tmIaoMr6kC9pK3JDwj23vTooFpw0ICV8omK6uRE04Igce1y8xcprDnCsEOQ zCYqBKu46FL3wRkGJ1rKSPUIVZ0A6+HQB/9MxDlg+ByFmau9b1Q0UFg+sg+68lJWHxCiE43PR87L 4zs2AKGhP9LCObSmNKOTUW2eSk4zOYKuby9qAing8xRqC3bJeLEWxcHyl4WMfhSSDNp5MwA7GbmT uwa03Pa+S6ZRNr8kQGNQoxo+uz54aLDEfj7ztPl8FJX7clIH8Ow7HDU+TVGRnBCCzBzCqgZjX6ez eWt1BUG+TVOs5M1+y6JMN4eLouvAwRaJecI3mxJ7JK9OSFTmRjPYxn1n8xSiVmgE1zaXVcNfrZAx w9KBZ3NNesu/sM+YEmiXUGnC5ymKyXtlllenp8O60gVbYTPOnLxQrGI6HBhUopG3VRxPPthy6hA8 pc8si1CdO3AxQvtpqOug22/Hk1ThcmhQWRmS/x9qeOnnl2EPDmVqPh+dTuk7d6F43YTptU9UFJMz jS5J4vlVMgOOXdnK94hdgRKTTdSvxvAnJil3cFwG1Bd7pqHXgOWhfGEIbRwEYUEkHQVGUjL8hSMs veArtBhYVJ3ROQ5qPiODWjuf/4u4kq0a88MkzSYqdKqcIhzKtlNCOlf6bLoHGgpRw+CrObaqFJuu qM5S5lMlz9SqYEzeYXKuxgOt3RsBFKvfDjqx4jn0qszSGIYPsQ2q/lY0Cpm7sEgemFWqNfTU6yAd CGGWO01RSL6lESOjU2LqtqEOgKlLzQ+otKdPUxSSa8fRM9UGDwzAFF6VokwDMcaQbgXqRh0kh5z6 F9Kg2wtHbDHGmAjwbdDOK+fBohLc3Hz+Lx89tYWhmnVxvjm2rMySGZrWxCtortpy2lpOlPdAQc/m KRb45i2WKCXIwZt45wwH15qQjHLuvIwI4hx6J/qBZsMPW1LW7OzVXzhSHFZXeAnGiuI9LylEH4vn 1Tj3Bj8gmKgnJCe47qwFalH5TIUUTfygJwtLTqvf12oY5NPrXTHn3XhhTE4Bi76Y5HFwPBQq5ZOC 2mf+zpLFT4iZOocR6XDwNz7/Yf3qnwfelWBv8xBXeWVi78phEX7GAjOdWyPzA6Kt50tKhiCQHdpX Vj/H9pVgRZ3NfbW+zk3icigyWRDMZyYvbQb+lZgprKmJsNUGLxsAAI+ggNbVZ8oqO54TofhitTeN x3kwL3vhCDkm02oyxwd+HSUcq7cmRZRx4g1+QCTS9A2xowgNxGt8okLwCpOHsq85E3Txrb4CKTNV Ns+emQ41CF0staRY7rfBDyl2NzvVvvc5jDMa0QvsgTOlTJ+IQzTiT+r2wtGlxwJLpYUVBwOvgPfL 5stGgSUwsvwulstwYBMAccPN0Mpy6JTa23kt6Pz4imqmBYLapNsP5sDLEpQ9SaLIjaC7K8qCjsmE n2hjIeokYYP58BYkkcos9ep+EM7Ob4lYZDKwyndwrMEUqPxcEoPPB+lL8uiAOH1Gr2080UGs+d0l aeVGBPD1s4k6+7feiUpeK4/tLKdoUkx2R18PaDPD5glFHPh9oVREeGuFzsc5Vzr/TqdtooRubxzs vaQi1E4+GCexrUWyCzhdfD6KOG//henOQiK0n70Xo1cIy6DFGOmtk+ep0VsBZVVkDmCy7b0wMqdE 2lxEuXLwhBgW9GNR2BK4g0nhAoQJ7WeTK7VYLRccStXy0NOy68aTtRUHq5ZveZXDF33x+YhS9g1F xPkMdOtzmoeo8sleAuNj4VdG8uJmrZsyO1S3rCaRnkNbS1nI1UGJCw6aqEFs66ZjI/S216CtZVqJ y5mF7YbmgnWq+rI3jk4pGe0Rfc9B9x7sYImPQxOEzwcRwvgHOAZCaL8ucjl0tlRODDC858TNTL+g uEtEMDvpe3goFVhbKm1EoXDfwTrpE1YsK206kp2wpUylg0ANVFyWSonl/knifQ6sLZWBIDDINnQD HED/iKwIAQ4Cb0vpudk0CW82UTe+Oy80t5zZSIrda3aUHZXtjvpU3HncoVxQobslNLXOmU+LLw1O Ljv7YqQpmasO6WvWi2ko3wfhiOumekOBVGBvKVwDhZt16w1H4EMJjEhvOOhtfkBw7TXPYZZ+xnP9 9WdBRbE57lciWB4SXvMcxvBkzInz9ogzcLjE4SHXRroOcrjcqZ5lJoQiCwqERXsUJwNylkabCwHH kr9vhEPUUZ6kCgpZq6Ji1AmiWAQ+J8fiB0RAxBuaZzvLT7p+w4PA5BIEKhLLRvbQnK07o5iv5hTz Op6J+lU+Bb6oyweH+tRyLojdlRM3esyK93H+2sx6dfb+y1QYFbhcqkoyUMnsPignPtdOM445EFGh zeUXwg7TNLAD+61tBj6XsJajaynFXf5jhkUebhqylezg6sLyOTa6HAwOtpVaxu0qkBWUKQcIMyEE t6yxIEgbPFjXfpR41EkPnS6N17n2HQybMWntQqpZ5fMR8+UmMJxfxE9X1CGHXpeobuRsVYLBf2wG zWg1zTtPKEQrNAjMLl1CRT0oDV6Lgklnzuq+nKBp0dEA+GyYciMvWN8Ylm0vHFCpCCY/j07KemEH 84DCxWD+MieJ5Ae8H+Vre/eFOxZNodGvw3wOLC9x5bHxKVE5tqxGccjB6jZ/EJydd6ZidqcSmL19 sBV1ApU+5mJXAcjf1NjQAxHzZ6PUDD/Gu/OoNZcD18tsVTt4+/igIKpACYH1zXM68vm/UFGYJ/Cf ST+5ExXLFk7BovqdqOmd9NGXU4Q6WiU2URGGBZcW8FDqUw3vU0FV43xAZjModSilty6uMIwrmBe3 63o9xRAKrC+dLHwe6D7YCdVK1cW+QRAKvC+/MZucJ8hCgLVk8/R5DaEqNiwDTMLwdEJl1whto04H Ra0yLH15DaCq+8zsnJoPHhiMngGCo8bDgugIJelQTABYsxig3GhUCKBew6cqST4IOosqnC5V+MxX naYThqdfJqgC1KQYU09ByWzACpf/4vMaOWF6eDDtypolg81Fs6tEnAF5L7jn1nCj4te4qSbDQe1C fgIHL9WBQDWMwYHsSBSGAnYhdTAzgb+ydFg6ll7nBx0X6vD1Nn3Q/KQTealWcC6dz2vIVBH7NJsf eTeRl02ZeUzQa8RUs6nMzzL9PDqx0U1/qUgu7SsAPThBr/ESfh4ifs8FVXywABymzlTAYs03AxrA rA9X2flfoDP75VXQ+a7v60c2zjTztcFaLbWa1fVJXj6voVIF+TTZ/Og/naAU+/p5jZOwfkYS2umW MPP03sGqt7k5p+s5vkZJmGfOSyo7+eDbK5/UCqkctQohVUhsYiX7hq2AfcNuJB74ivfpkUER1Hy4 fICckSzoXEPaqefGyJ/XEKk+oWRVWwbcl4RWGOfnNUA6DxGimK8oEbUdLOBuuTXvanbKh9FYNtpf 7BWgA+6Dw8JAvi70uMYX5cUW+QklIDaSE3zyYK1kG4w1tc9rdFQdcgHOpg+6yzaK7wwM+4m2X2Mj PG2YsCocAe4IluM4Qa+REXalrrGanKKxJLfP5lMxf1lYXGcLtF/jIixfgQeoi+JUSjV9K0zE8QWN 45ky2hMAIrfBpS1s+Fo3k3H2a1R0XnaxBtca5VY52Pwgf+Zd31f5vIZEvIqKzY/ArZDvp7EtJ+g1 IMK5kQmzkCYxM5F+yT49X7GripNcNhfhBHGHLbXo1qVtgtQFeDBv+XGyfRSWcEIjoZwFlRnEf77F mLG9BkNVxAyYiFV26FhjtbMWBRJusROPfl5jIRxg07eY9EBkS2sT9BoIVddLhRWbty9nvmyosrzf RHyIxImjG16AQsg12OBcqAGb7cHS8jmJAJJEHXeCX7OhLJD3unqpk5zy1yiouozDnBTf5aAqbl5S nEJLJH9eYyC8YS9fVzxFjvry+XktS2KHNRZHBIarau9eTRmh5UTuGYY/eS1KYn9XUuqyuJr5NgRO Wj6gBNLJJB+1imwOW3pYEqCIB6qBAeTITvi8liTxF1JLpgBiZgO/I4OqNyTu1fH0+/z01W1+Ckso 62cKRIivfK1GVvMLgy2KO391iTjiiC7zOn9NHJ3SuIiOaLJ9J3HeGm5nqZzoN7P5vU+GhoAI+cY6 Z9/GqiuN1W3B4ujY9FqIxG+w1Fcq0wfhLFtq9HdHtQ5vGJxA5o5SzYzgRKMDzUuLEaMYelC3YbLf bGXI4jQ6qI/ZDMF3wfmG0RSx6783UeAcHGMJNgGsOWhpWc6zmWh5zBTsO84Wa+km+U1iBOEe4yEE kRUbVKxdYFPJsWGAvBptsrW/NhnzuidMfKfQ4YdJ1G2E9K1WUW3ZzdFmvdRVQJudzRNG0kQvyUB2 PwaykEZBCZNzBETFgnYDGpRo5UKpqhKHrFYuCXyfdwYdFn0xzkX2QefQiR6oZgiXKj4exNIpfx3U IJSMPv0geufPVdm+o0uQtyMDJDxARI4OJXns9YsYjIJpqu1CFc0Hm6MzDStB35iAQaDZJf59Ev4f KPDgPW8sNATVDaaoS9ICFT4bbIpOWsxoutXNx4No6N5lopBhq5HEwymK4mmWguH78UzRdlDlHsuX 0a4uuPNOncPryz4OLXMbfIpgTdgaNQnRRgeglsSU84B8IDJ1G+02S3rd963WtcdqGT5YxnpyGDa8 awH0NAyph0eMWYDeAjP15JP0HlQLjoU+5LOO+OtoktINiRZYblacjSaJvqh100CuOgMJp3ABpmFS 8wP1XvQOWUWCdA4iCfoQEiiYh79tcBwxWqTkEQYcYwJUnpNfskQn5EIpLZgk0dnuoY1Q54fumjZL UWTdBY1n5VhdNolXUEmW76y4iEVApvbvoTWUUWRmJUk5ZyXDMO4cHAOXPyETJ8CTLI/Z7EADAFhe b3DTi+idNVdFHEazlqCl7dpHWItJ5gRna5TPO2muQkHUT2063AGeOvPy9OydM1cNWJK39AcFA5jF YQBSHGB3u3l56J0yx0XJ2eml+3Dzj36W2aIfwYSJZeGWhIbvD9UXIVpzz6Q89brvZxJNqAHy3j4Y NneRH4Kdk/fnnTDHUKf6mbSVrfyQ3m2TFEXYZKCC126lxnMoXRpYo2Q5ayA7XZGdKMQ2+a9Umg9+ /0PmAyERQshzdY6daP+Bn2DQflBGsku6zV2v+z5JUOkAcjl3Dc2IAxDPZjDQU+fjQRB562j8b8/J NOu89/97lI3qG+tEPTnwZqVWrRzbSfsksQnYaSvHRmE2ta2B3F8+WKEIxhYTuQgZ9AuYCR7xEv04 exRq3l61FobyPcyWPsc5sEsuPnAdoQNBA66zNKCtE8XZa4+v6z9DRbC1m+q/s+R4o8r4c3otdrKh zDmqxOBLbf86pLyT5OwGOJODZ2ywOWpo8XfxwgEOJdp9gT47QShawg/f0v7U6waTNNhT26zKcvAT aTaZfhMeEQXbghOz3shsBPyLfYPtd4YcD7KiHM3FrVe6FTWgDezYRvHaCo5hsK3N9t/uaOfYblB5 31IlXkCcojcJQaazKlgGRN/VStbwTuaXBMc2IRETEF4bdCIN+B2zkDhL/rzz4xhA/JuRQN1aDTVO UhRt566qUfGcbbXkkzRm9bsN4uOKAN7ZcViTldlaZlF65qdufe4zuMdQ3RaiqYtac1AQAXASPE7Y WPuJxMLjOzuuyvXmBNmTzPmGMK54WrsT0b8dAijv7DiuCT+SmKMh9higxtosRfH2pEDT+tJJr9mN dtHF8doaGkdaSu/xNkp7PGm2STg/FiAJrVM0KMgH77UUgibl1QzJAbR1XCeGYHh+SxApDYlbTukT t22kyZNjllRNcX993qlx9bFIw2LigzQeu2f3OzcOQTe9G2Yvj9vu7k+Nv3l3Vg5g51x6p8YxC5QT 8cg+2JaDC+15nrKEJ5kY+FxxCDcEHNEdKfkapEv/5J0Zh2/ZOLaBPvDBAPAZDG3uuVk/78Q4Hv7r K8GdpI8lz0zeeXGMlEQgzO0iIopjkQbbL7bllhUB3mlxVca9tCLcPtw6WxqLkTZFroEyxbKDwAeE gc8vM4YjIsy66Z0Vxy3HQlsS+yR5o3+dB7t8d0ean3dOXDX+7c3ecCydpXfnKAq5GyhJRWRZHUts 2PBYKjv7sYSw2eYoqmabuN4m8p2Dl/shD4cim44ltKAyjyXebRA2KGSjTonK4oD8vFPiqjy0wF2i aiMHVUraieQEa9tzfd4Zcbbavw5v4Ox7mXeW3mNu1MElA+qEOAA/p8PeZTLDnhHEpzRL7zG3UnTU sikPw8Fn6eRsA6qvjLmh/iqrFAQpm3aQ6CfcptrS6wYlSREG9zPo7D7hd5fsxFksn3c6HP9aL5Xw Ap6AyNGBVJMUxdxTcum7+FJCTOc3HNExOrt3tljpnQ3HpcyOI9j5NlisVAl6kRL4nLtQnx73AhoT osGOcrO3MvS6wSQRSroS8VqLHWxuEviwTonDnEP1806GY2non8otGPK0atMkRaXtZs3Z5s2jEx3f 0r+LX6M563px71S4at19HvE+2MG9wItvkoubK4OLNZXiwiqNiUl67jcik9+pcFV+uVDOkTBM9aIb wJFNGeoJ8j/vTDj26m/RTfyuAv1ZT3HfeXBVlghwSym3RSs5JrkTXB7cRpigSXqPujP1oCBSRJmh emWGwFqBQG0pgvxBuExVJ+TEDaRr5BuuuUs1y3cSHHcbNavmuoMykwn6A6tue43POwcOt9T450hq 6CO0fLvYYdCtilK5QXdfbpaGGo1T4GaaFnS/M+A86D5XxbqD7bYTdLczQ2nKmejc9ow8ETTDHiAJ S2PCXsS0vBPgrE3WN12TbdAcoR9oYgu7fN75b7xbylf2RlFp0vA0RSFSZMppZ1UPJvstAqzZ/W5b UJfXFMUFbmJiKLRbH6HdDXViwGmYvJ1LL7Opiu0IOgmKg8AyES2CVn/R20ZTZBLXwwelJWfXifLT TjT+eae+4Y/NjoUQUI0r+1aT3qlvWEaZjRIqXph9w0jeKGnbt1qFjAC32jvzjefR1GmdfbDzaAPK ngZNwMbJds3ZmkBIiiTguPczuw29bZS6dVUk7yC4SIGGrKg3pXzeWW//HNkmiYJUz9Pbd84bzhAe 2bfaTzCyq+5vkb8L6SSuofdOeauGOEKBpPvgdZJREDLx8j+nESdMWEmKBpAVdSXAmVF/3hlvTEkq MSPyk0FDW9SrNmcWNm+eKOzzTnirj0SVnUfg+LfePXN757vxGBOHMhkZXmY4mqUqKg41z2436Z3u hl8JDle1UVRfg222VU7qPhfPowq8CPhPZyJxW+ODfsgjmTahetlojog3kqr8lnE8i0mtCAfWzgd8 3pluiE92+kpHKltYxa/+d6JblVrLbfVLPe9Ke865nI07wCHRDEXVbakplMTLjYPHRx0qxtMUK3uC gpBjk8Hy/0HA7H0SZiPvLDcG2mRt0V9Vg46jUuQPRE7B553kVk14UscR/2NcaGPcWy0KtE05r1wQ u5nKMoZM86mSFENGvFPcPB2BB3b3wfL/DZ+dCSATmFvnLpsUh8lVDTp8WnpIk0T3vTPceMNA5YwB qQ2GjSD1gt+H3/E90Fbk8JxHCKhGn/c8iuJswFoy4PWX/E5SgIWQ1dPaUp0H+M5uq6Y6gSI5tRgr a/OTCLZ0kmIitZGMnD+nUSE2gas5ET0W2Iu65Q7hxu/cNit8gZ61NGD7c4eMspui1n7SoM87t+1f BABm9GRK6Pl5heSd2sa+AzP+Vj35r7t4Yrua+V5hlqbD/MLqdmHKxgauBt9tDWX/yVlCQc3IkhAV ggMo0Bi41SyIRGXw805sq9LIR/RYhZKgog332/l3jaWA80V8Pthve30tpUHMRfby9jutzSOkEyoM L2/veqHr0qVVEFlcLe+d1VavnmdmEJByvr3bE9+d/0cS50l3oaYBzHYmPTHTXOgECw9di6y2d1Ib oxXCaSsFljgoHQGuQFby5zM+75w2vGSp3/c/JJ7TLW+/U9rwpVs0kSqRRSyq7IJdjTcqhc3QHpO6 /juj7TmTEh2uODgB6eQps+fO5L9t5KCT+m9nEW2oYgDL5SuJDoyfd0abAR3OpSbLtC0/D+RpHZ5a Cp/r/LwT2thw84ok/T+AkBwijXCSQjAJC7ej07+yuDAACZInK+6UzSXlEEZ2WEnvdLYqRh0kJmSW 3vPjlQYTopnYOjqZHDg8RAEgIdzU/gKN1noA0Ebnl/wWJLW57iBY5Dp/5hbgZs7PO5eNBYp/YyRc r+iD2iSFwfaSV1p3nwYatAu6PuQBTt8dsCe1kqLqdiOMZBYRRcpDFIHLFaDHRNxAfIo5W650W0F8 AORVf7K2rveN4McEkhSJMt/rDRY1e8v4Y/PxqNi2v7YbLOHZ3bBJeg+3gTpnC6DNYW3J3tqVfaPQ lmyJcSqyjPROY7vhdoI6pA1e2maQDeAK0JFzUDptKYLfm9Cth7s9SIB4p7EZIATdfx5J2120z27u oBHwRznR9juLjZXtu5LYVJnUqr8Y7SjaRjcHOUly24G9i5WRwIm9oJtU7OB+J7FVI7HVE/YmH+x2 O5v27DhJAaD7tkgwPUcBdADhTpDRzLfcViWSdw5blXAU6bXLB8XbSA0kZFZb+7xT2Ng9mN8LCVF7 HXe3RaVtCS12WuQyTgIC1C63MYsXbTNglJqjMN5OCiKppM/Be7f1XEKUxkUsCSlvNuUa2YhU1R83 3hZO4p2+xvSf1s0Sl+CgKUJbQTagZwt+3slrzIxvlCQ/S5gkleJh0jt5Dc91VWq7T9KSIBdrJMks VCH1WHySwoCbu02mCxpskkDz2nLbSg15tPiAC/X6toB4renG21pHUWG7yyctEZjEQZPUBtQZWCNp 9fNOXMN5Vh3gRhkliC7MdKcoirep9Y7akeMktbG114QwYRkJ/T5NUYwlwf3fqHSnwSPJAYDb1hS1 Us1OdUMBg3RtOsrZeUQo6TtpDT8opeGJgrNBUzR66zJvbLjZomg7/1PVRt+r93KPoyjYBsvxagFJ 8Lw5m31K+1w2A8WEy1qI2C5SB2XBttRbsMUq2qinEriVFw489r+R6cM/jeQE87ekzgC+IyBlEbe1 ksTLkqFtCyCKcvmDJQkeD6LIy6rh2+GCrXPcOQpjbSyjkhi9KbXty8XdUq1e+e/FIYAtKmprjqCm 7INX2kqhZw8Ltg0MHYmiV/YnK8Fi84pPaqtFoTYKlwBIzu2DraM2rYfUysDjQf8/+3mENQMgOrEs xqyJQm18MlqQpp2I1LYPW0edygamipCtO9LfQ22U+jA5DR0HG6yIVE+2mYnPQgFjIpEl5gTZb8MZ 1i9GwuwF+m/kRywgqpVx0Dqai7KtmKLNxwPqyP7ORlCo67S41hRFgTbp+yWX7ch2ilibcISsLrnV kjeQeoQjoV53PQF290FTVIG7LJPwrNShZkutkpogh1CJUE20+lL2T4GNHlW1JR68DLdXzfXwLMPS pfxb68lGehRop/rN8BuTaDFH2/a4qM0zqKaLIsnJGZCrbe9EjuFpbY+K2hZCYl5tsK12Ar0FpkYy heUOcAsVuzcIEuetwQzwii0BST0Ks6W8RfudM0AAV1WNCROVpty/FTz/fiAhin9ofmf5AWtx60g9 JkJS/Ccn54lWCb5K2Nz+LTRtxlCg3aNAG2UwuBE/g+P/x0KljYf2Tux1Llp8VVp8Ua9lX8UI4tXw LXFiiyutdw2W2HY6kjCxPWsVjwezdCskBMLhMFKZhZMUsSHByssQiHYZt6H1wwpJKn75p+YKST0M tJewyCKzecqJy79SJX9JBbLDsYpktnN5/0xUQk0lX7c/C5I9KmwvRkaw0ZPqXTL1EUDl5pCK1p54 PrjbxneHBCir0atfbT2MtNloa3264NbKjzJZXR5EMmHQJIWUSKIkUYbywfYbIshzKiVK3RX6mVKi pZx4hUIJALHcM4kCrz3CbSOWZT4r7BYE4KV0Dyknsg7meW88H+2377wWp8w56D0d6XFpWy+JE40V krbAt6CE1GD3TjIRfVsZqceRNhm1QKLa4GUk4Aqg7gqkDZAN9L2Ensb82VBfKL3fVhvs1vm60W5j 4X91CSMhchZq78Rh53DgLLVa8AERtK1+nUqo1fSx71J6D7ahZc6yLXk32m80HTo3HYrb1EoEawi1 LNWRehRsK11lYcgGm6UTtCf8X1YkuagKs/+KbtIEIhKAYrfvoBJnj4rbVezRQi0bDrzezsKlChRF DSYeD7L/W2wr4ip3ePd4J6lHyO3eqU5firTakMKies06UqMLKibpXAHAwCLc7hGIxDQQdreBBQve bydSOv/9IuD2nNtzAteVJ67ADdghjD784Ia+csWXBHGSNKOajMCby3Of2K4LaFNPGojHI1pS/kpJ MkDqncGJJimMtylVCqFxmyRC0LzY1t0+oNErkfstqm0TrAUNn+yDVyT7Oa9Lkabr2c8L5H4UuU9c scEOgdydVyRZTseXBKmt4CMIcWww7hZ0o9hKmmXi8aiOlL9SWzEJymXcjCjgntxuuzVnIkNU1k9u 2S105bbWkxxRbXsymiyLcFIO3tsGYjsBNQ1+21lMXU6g4LRDre8HlStxSUyZe0QBdyYMiYaTNhiX BODyqVpbxuNBwD3S10JqSVGXT1EUcHey2enrY0Y5i9BRCkbRqI31yIUDRWz2sLJtGtN5+WDrCGz1 85PVJXQ7OM5st/GgR3Mm459cgAxnL74kmKPKAtLtlICfxoUEYiErVwPZ7QhL27t8hUmQux3oQtgk hbjtJvXIsZxvwz4ZJylR/ZKXG/yPbZKiynZmrtEraW5d1nY8idH/nbzaUSOB5YhQgoqzQXYaF40M nPvAdwS97a5NVpZTkhVJwoOApfSTFHY8/hcnSQJt/FnuOorCbSZOmbZRVkYayzGktOS2ki3VlbjV onBb4NECbgoGJguD+f9GHwwy1hQ/Wg1NUGpvkGV9wsn6SHFDiwzfETGSGUiee9MHddoKqDeJF9vY eDyCkF5ZBCZuqP+m5fn/iKPtIlyER9vmFMD2f20+R612a22PKNqetMWFT4IPHkhCCnguQiROmHH+ P+tIbdG/B6078iTFbWOUji+J+yPwX8ybA3BCvI1qhTnRZlZfMp7/ayGpI94xqx5IjjDaLtxrvSY7 kBikGW+rskuJAwnO3zZJUbS9CGtLud7BMRIZWkVdDRI4BBRCSBsMVGAC/gOcli0kIr3wHUFyS+2a SY9JDd4fSYMlBzA78fj7FLmGlgoASG37vPS/EeK1p7ha7UIk+MWiR4xy6REI35iQjAivXZMwNtpy 6dp5prHAOyKPLEGptWXS/8Bu+OlImdHluHBte9voyEbCXgD94JDZej2JLWwMtye2Iwy0L8iWSw4Q FpSQfY6isjbI/tSLTD5HFDwVa6sNl3KvaH5oGUWRNtK/cxCTl4QB51FWx/ZEqjBZohASshyKBHfS pegquB+dKKDc8B1ReFRFSSbllkRh7g+Uq2ozdfKO5//kbasOCE+Yu9XCQHtKpXX4HBkyaT5zRJ8J MBw1R3GgrZ5H6z44g+QcOhXKRbj7z3w0snLRCKkAjw4UyJ691u11g0CbEoiNEfImN1ySJxVN4cSQ cp3tgw8I0v96bzYhks6xTY1rTVMcajO+ZnihEKlmt/GcKxdDSPS2TMJ9xKVtlpAa8xE1ynUiQdcR NRGmtuf+r8RSIOJApARCZCG0rUkyqul1gyOJomxjymQY+1TcYlDxJ0OccS48PP9XkYQ374luIPZp kzTD2jZbtoOccuYjtEBXPtJlqIBJyoN2Zp2fFBzbOpMqBX85eKgNmXyAksyLwxz0KG7TkcBB0ts7 baTbzhBFov5RkjprcnVWQEhz1/1fKh4Ppqh9t5GQbXUsYZuiKNSuFADramXT8W0hieMUFcpsMmU7 B96wKQo5knKpltdc6vle/2dfpIRIksi2hIgUGzNRMADQ0ow6/kXaLr3ub3kttMx88JQNGAzOUS+f GSK2h5eR2OaHSgr76jZJoSQJrv9iEqwMtdU8srtte8qGa07iUb9TJHdZd7DNNiAl1dEuxJkEYb8i 387zkWx+Fk2SBCIpkjB/L253kST6coU/OCIPxoH1ZCmfGcXac/yD/D9PjJWuvlYUa+dEga3+xNrd chBQV6bLbUx01jVHcWmbkC1yHzX4QqoNxsKk/59ACWpM9DMBmVBsqXJZ22RmfGYMIWGupvrIuvUR SFxWmY4UKGyFgO27jvjfQrYlXabtjEJtcAPZjhQjEooOw/1JoL5oy4gNDZ7ZMyRHEoWdvgZbRmue w39DjgChNlyAKcANqu3JRKC4MMe92njw4kuCvSYJxNnvoL0GQQ82QE+UWvF40I5s/ywjCKCvcpdR XNdmGFl38eL/WM23GmNB00CuxmiboR4J4ZG5yg2P+Y2VkCbyz76FIEFxgcaCEEc+WROMYlu5IRLt J2cUaVdybAjBs0HLaMxKds75a8fA43+V2eQXocD91rVnhCDpS7Q/Cx7pr+gxklQHhCGlTwgnKapr UxsJJjbdB2+21RMw9UUheyjE1k6X7wY4SNtSUXwIbUVvG53Z+R/kf1OsPSicNrg2Bl/xL3SkiCdg sFzS3wyZkUn0UYP7szXp6cilJ4Gr5YqsMxT8IykiNyFI2+N/l6HtOMlCAGIIDatKtBaDHGD5yWm3 yv/W2wZIpMUKUiUUmYNV2WDJlbW1+fhfYC0eRwg98s1GZoQgUbXWpojoiJo9G5kPV7vDFVJTFEXa IC4s/pc+eN3/rMsBzXpFRz0jukbsCHs+9KlLeTS0B0HtM4q0G1XG0QbGHFFnj+uoTlwFxKmfbY7n IyRS/YqPMj0vL+dvhrxI6v5VqWlKH6k68n/l6ilbokMtt1qoRsJSJDqPPngzEtS1iVIaFVvOkspk IiEwh7o6tI8vywbELb7ur+LQdW8ftGnOiSRvjXZuBzwehdn532QE59otRa4ozgZVhVo2VkMqWd5I ZLTTOF41pOR3/4owJKOoVUucDQe/++n9U1iKPFdCB/qMmw0UPrTxQU8xnQ2R/leIIdk6ZVP1wRBt J8zjMXxSnYrHI2Kkl0dYS0Ghr8Ow2OYoBJEk8Y+cGVkyfON1IFHLxvJacBs0RyGIRNyR1JMPXkLq 5STIREafQPtMRpEQO6ZmQPaiPyIbFFTAd0TKf7rY5IAAaM2wQ/ucnarX7lrwfCRr813SBv8KmBaf oyjO7kpqKdCs3F8EEoJIsqlvVWUrmqMIRCLaaDFoW2LdH3nqydPruZuNYHv+lpHZYpoi3iwCSp74 6BwP+I6gpE3JtjXYtV3eEy7QLKABD8SN+fhfMGT+fESzPTKtITOyqPw4reoPy5FbZqNThSmNozqI 82iFUG2jIDGz5XCX0TyZfiVba/R0bn5C3hv6PR0eFa3fZSTw6PqtpH1+Cly9IGshjlIJaeKgE4n/ pP0rirMf3B+ZEYWMnSunvaJAG/OIZL8UK46cf2xWHKEkuE0SyAGapJgYSQ2yycOGgxdHIB6RgBlF fAT5VpaZ4EP2MyWqxz6koWymXjcqtHGXSYxkEOilEhIEKXkigf+N56MI6VtnExjb8/d65r+iSDuR Y9sogiO3kdTdbQT/vfePQJHRJIUyJHRSnpqk+TVJ57CYKMxTHanPMSVD0tEV3hDVK7QGkQFnIqpt RQiSxVAbxU0fFGqf+6xLaPOES3g8AJCsW4zEUsIK6f22/VeI1U7is29bSXAkdsBWa9YOqJkeMZqk MNKm5Pg2lPF60pEBb5illG2hhV0YIyV4kMlcYXAlDa2krNcNJonQkdFVbevk1yXSW8oUXut8LB6P dLb+JWuD9neVWlYUahdlI5O6ozzAW+1G1hpkTQgeWTwbWSFYm7jQNRhHc7CK7YRhFDF+aI4ATprV 9YcXC72u0V90/Mgcet0oRGI9e5L0DwfPHyGRIETNuxT+kng8OLb3d5mNXZJykcgrpEZWEdra1UWq 3SOk1m6EVIpz/tdfVe18B5ujhfyjD4aR83wQKISao7PnkFkSNaFuLYtJfN0Irk3xkUL9Xw7abOBU biGRB98xUmj3rr9UFCAhgDKUTVJMjUwykVwXQ2qHE8q12VX+93Zz9xUr/1FZpKi0XbyEtBDKdCDb ydVCO4EFubwYBQCGnGE76DHSGHrdqIekXZabD5ykheh3yU1rVjweLaRbH7midvsWkXYUaw/ZAaeU vM5WktOQyr7GyQMnBWOkHVIj5aKRVLdNl9AGP8QTdxWiI+cGmIBKnPTUgEb/z6D0b/q5Ntw7irWb IG2ZrSQOutlOwCW1rROLLTz+J8UG/y1N9J4peg+1pTZI+VFH2AzJ2HGK1g21d3JDxB2KkMCYp0Dv wAfP/RNORrRo0RuZ0N5Sb+R89WJvBrVlv9ko9r9DvHYVxEb1kerKCChSLa2jXgYejw5tT/4ZDgHO Pm7df4d47Z4VarebsjmbDVWRm45kx7TvEK9NTEhioVGDh0gbZYQty5p1rshOVyS4j/1Q5yQDMW1z RH06fEkwR526yK1kH5SznT/AxOxK33g8UiHZ33EkGv7litntkBaJmhBq/aaNDO2ccs+jcs8jAH80 RyF8hEl/EkKCg62jdrLrVuWdBUwBmLtURjiX1MTPKOEo6x/xYttRsG0im4N1Eg5W9h/Z5CN2rng8 ko+8e61ZXnt+/bvZwlibZpEqoYuHRF9BcmxW7tk4NoM+95ykmBapSTId6Vv3zwR2NqhSwokNbcim 3B+LApUG6thZoQ1zi+/4VaklM+JemdxcVtpyqkr+T0K48fxfMiSijkIv/tpn7dC+hvrRiyxGkyHJ zkOadLO2ORJpu392CB8RSatIP8rBr6hootk3EU4DrH2S5cyjq1Psh9AEnNeG1V5Db/ubvw+Num2w vQYTeH5dxZkdGtjke6+xpo1L47EY2xF+hD02ONg4vfZEGs3maIhWUt3BRnMU4UeAukIHsi8f/NA+ f3hraLpRiLwRSsiaNqBSJ83Z6fLZiMvFd8TU0ZPRoq1ig7Bawy852ATi8Shj82WUVZRDxfZ62OxQ hkTJ/+jzcrXcJ6p2BZSItAswVOT87RA/ImnkNIsPttcmRLVg8MN1hKRQFpKUkEOgiyvZxDWp/4Dv CILIpGo2EzcOWkcQzKeowfmYjccjhe0bRHJqAUPKHmjvKNAm5SRPqftyq8kkstHH5qGzoWenKfo1 0IbnX/HB4WznB2gkUaN/dI5s0OJBhu1g258jAVr+jh7ZU28b1UcYZ3dFSd1LSBUUHlZK2kmf8XhQ HrlmbDLYOLutXwWSHQpsD6Ii83Q6G85DP7GdTwoAcncrmwg6ovssi2PLwa+1BhHzss0s6kwSmQHI JRCdnJ/0IrVgy6C3jfRHGBYVRtkcFGX3tepQp3YOPB5Va79rIyjSNVBcNEWC6ryHR9pp0wVIlgJu 5LRUL5VsBNGeEiFPYZgNbS1gr5sPDkOaA9qggEVmQCDaopsN6rYQAYEAMhhKaJhjJa1tbxy5jrEM 2UVm75S9YF57tm5Sm+1MJT8gwo9+J/+AzhBU7zMVKv7B5OXEQL2aNyTqGQKQlMWoTlItnZh/zlQY bVMVai7q+XLwmargwzTp650gKYkZMYDig9k96TxX9YfRNr7lN33NKoWN6gob0GEA/gIL6gSVfD7I Sdo3FAl/GNhQV/o/vUfceE5Im+aV281GmdQjGaCx3jazmyBSPyS4PgppSM9gIXeGHmqnyP7J2oBB 61T+gRMgrOhOVEdem9mMZnvhYKLYx5y9a0C7hEHgmf+SZKs9YcaaoqhbKO9bKgEchSggm6ioxA12 NbERnppUx91CRawnT98ApbWJCv0ihzRbGAtNeadxRZ0sHWpeqLdneKjOyWpbxUmIGm5iXP90b4u9 8W9IyVm5pDh4bRKmefhGwADwfFTm/k5P9jmCe8vPREWhd0pSbm8XA5i7qyQZshTFyclfQFsvqnNL bXsXuUqVq7YNSCN2Wyd5A4BlFKvOiuJvizT4xM4AJqusRNdcfk1kukFkcqYAMAcd5rBOMSlpOEqk EFOSv1fUSQzOj/YUlnIKa91YUpkwZh3nhVMuYpLc/zZRbmS7dX1UlKUoh5vDB6+aAFozhASEczFZ 00sqMZDj/iEdyWuUadsLR/luJ3ZLzvbLJwr00tFUE0ibz0fWW//YAbEf/Jgk5hQ73VTJ/zxySdnu Peg0bjvNR+K91/VRUXDASreaR3s+5aUTYZfUKmHca8DSEFcjONTno+C9ieKJN3Mz0Oj6miCEogng WOsOhghsdIdjAqznI2bpP36kbFg9tkkpVihJ2nA+UawCaO+hNWQrCo5ZvvfCqjdNW6Fg74Of5qMi O0HHLQP2jkgHe48A/YQEPadral/gD6Q3DlIWRgZjJaneMajjITVrIja6n4f4fAQL/I6kwNYY8+va iwLyJYnyml19m3vBUCbpSpQP9sxkCxgJlQALyYyu+3C5E+BgMkVJADpMJObu/lsYpPYHGDjtfYNb T6TATegDB62nWeCmwnizDT4f7Lx2PQFE2N1ImZ4FFRa/N4XKyrUGnNUiBdgnNQeaNJrqaZ7C6veS qVu7g80TCEq4BadZulQyCGFnC8oaImXsdie91WIvHJWbJJ5M0bvp8Ppz7cxEoPMPeut8PmgSpG9x AFz6I11cVw5tJif5AXNNx5lSmcRluFa37KUROCMLxRBs0qTpKh2u9OhwpQTmO4HBIASuLrIuSkMq rlLF3K046badA6NJHMWEmhYSBTgI25U69WEkQcDnIxGF8XXnQdeCPtQ+T1FcLu7bmsuN3VbOt54y utdTOq52m6cIcJKo5sZTwgbNU8ncFliyAOVAzKfxKEOvkeU0SpWZ7h3dSnLsNZmlepu7D5omqK4y Ajq/R+XzQVhe0j/HE/q7+1lOYVSuc/xRCN4MTFkvWHNfbZdN19Kuj/qlwwv4bvPBllMGWi1lAbxQ UcOSQC4I9hmEU8bXNJVm7xs1nRhqWl+l8ZpnxWDA/5yneB18/q8Wr/TJQKJYtxSeA8tJtN8JNqGB tfkDz2vsoliz6HhyN8XQcxJR96oiSmqw5dT62Vck3LR0djWgTESDoclZ8d+hY+WiHGyE58BzErsO lbnaaO/GQeCccxb3ZBqBlc//iRcgyxlcunxBFTl0nVxMvOceV3F6Dl9P8kqXJjfqSTZPIZ+Swq5F fgocvABVkMZlXncdVmyD226Q7YmWUQb22XIXCSrl0HhSgdPCzuVgxFxYvCUewiAN8vmo13snSjSv ztDlTlQkzd27YZivfhkA47bxhNXlxsO+sI0XhuQkLgP/5INN1IaU+aZ7Oup0iQDXcwQDkdJRToBj 14UyKTAIrCcBaSPwdMq8ZHpIDrXATiZ7Ox/E5yNpzm88fAbGf5THMTjkVfKAukA4SnUtQ6BcwC4C zeS2iqH55CKwBB0UH26Shyod2qtnq0H3ForxNMKZPwDw4Gott16nQlToPqkWy0yt+GBtqAlXIsxT LovPRzLmnuPJYZgiJjcZDuwnoarIKDwZWZAtKafoSmBWmQuUm2yeIi8cy1wqEe/bC9dQeoVwKWBf YlcsiOUifsJvNIeUTG9YUP19o/sOSN1SqB3IQffdySOqUKg1VT4fab14OC6/E4TjT3ElcKBE+IRp qk4SQLmuuZXplA+VFDrT8mkKC+RbaB0STDl43nJ+daIIKUE1c80kDlIc40QFECUu6WbCvdkLR6L4 DMTzbD4oEz7Z9dSmOekknw/SlmvUyXMNxxx4Gj5PMe6by6mYwQurdQ782skKdyySV5+nMBxnWRNA eB88HD8BOCID8uI3rEcXKwb0EGRgIhWq77wl9KEUNnIuElGnm12DwlfluNzO3PH5IG+5RpS0xq16 h2uNGzpRdvU2V3dJs8V6pM6n3H2iJny/lAiHVpSLIqV7Mh/efsJiQcHWtCbSCBaiNfCIUZlApw+3 1JxOIDxZWrP3/a3lslKTFZVpdZ9wYQIYjPuurMzn3+epX3a8yusb0KYbP4VelOyKnkVEOEV1fTxJ URGdlbWeUKmxaQrx3/IQVg84ffWAUxnnGgeQCPjvc3BlXndYWD+TlsksQGk5ybI7NKPUbTdrvoO1 XSAPvnQwTz4f6b5/U1IKlR7S4/0ewVIWp2ns6YW6KmYqyyq7JiurnChj+DSF4bgkb2mOo8ELdbsj oSMuZVHxdZL+DRoTMSqZ3ng6nnJN9sIReGeq38LlBBIiu2BIrDYjZEZV/IC/4DsSimew1+5EhdiU LvJOc6mlvsryQh1pruTtgknqExVrdrNOMHWOz+ccb8RdZNl2nu04Mwt1DXFExv8EaufXhbftjQNY QRHKmeiCkbKwqSjUjb5EEcx6zb/4O+qZQ7Xp0RPIsSUl47xCwo1ccghhlAhsz263NAG/VkQeeFIa exfAyuzDhYMhDEdvDwWDCm06BpqADvXCikXut20+l71wNFHkp4jqvDltXAVonGpBnHh/8gP+IstX Cw3AKboTFftSSgV+V68Y1OQVqNlMXJgyp9MnKoapTJEKmg8+UQO+ywBOA8h7gk2EmHATRHcJlOeN tzb4Zfb3/a1isJaovGTYqbCy4FqAv/wsUT4fcVQe/W5UVlCnmeU5o6KIvAli4DU5QOeqVzSp3GmV un5T4cCaMv2P9ISLSgblq2QA945NiUJwwmB1wkhzdQJ8AAHb3nShebXeN8BhUDAvAxtug+apjyKt atiN8/mosvINwkTG1Nu1Fcq/eFOOR+xESIzioKfeksMMaq53mqIKOfIkyC6v7INj58d5lbGp4jUG TcOx7ypAK5MFxkYv2MZ9Z/MU4lVkBNN215AFqITlbqfk3QlB9JZ/oZ7xHHS52qN1lkN7yl6ywGEO 6lnjCjDPdNWFUQizRDjwp0TDVaLBcjodVzknN6A7EWdQFWZC+ZTnE8iUbS1g2J2sYoJwOfSnrLrq NgNNDlxOJ8ooTV7eGf3zwKBSnKCvPBjH7kTvwufpPSI3ux1Y/jiyhwouWk+QOHTYCn5xm6eYikk8 LxrcNjiGvqN2vVjQBM+gNIo1JuQy59AFrBdcpSnPc3WFQ5NKOQKhz66h23oCk41QQVwPfP7PEpSo GwO8nlv5DW0qwVmndvtl0eXh0N45mgsM9rn9HI99KpfBxKoPXoI667XhVpA0/JmKStkzmF83ts/X rUAJIp5Do0qc0AgLyFnN2zmrwBBNaleoQB44Vf63qDdI8TNdF+YcWlVuaXqxoqCKZrsF8s6IROdT 6d6YCrwqT9Ra5FOl/hS5ZpomhEpnh2xyMgZdT0WlAzscvmG4Rx6UQbcXDlAGw0LN4oM2XkO2py7v 3Hw+ctD5dquGwsKXD3OO7So7K+Rl+nUnmQAup92uEfP5S+88/SrtDf+AOzgtg1oVXDw5pQyvcxSg OoCM4DH9sBtljc6uxlToWDmELkhdnQRcL7yj8EFCfI3WMz8gAvn+Y6Jzopf51TuPTSsZFo/+dDpv /HTC5OvrTcVSm6gwJF/SGWb+pivUKlDthCkokyMKAOYA0FMAfM65AAuTH2B0f0AyflrngW0laNtc UJtc30WCndgZINswFx5rZH5AkAvfJI8zDOXKb3RdbFwJDss5yrOLfOw1DeHTUuou8rGn6+nkwLlS bOyTwwmlysFrBhWWHkuRJuSqs6yr2KVhlTeNx3MwL3vhqKZJaP1mSH4iP2RZTIfHydUIngUojR/w F5EVS4pqWPhXPlFRSE50xflLilehqvyqwdFMfbrKVwLDSVleaGBJVPSZoZZ98JD8JCQnp29Ve69C nIAeX9isNPgmAtHoPqnbC0d7T9KDbNZwULVuwsFX/QFUVwIPy/8ukhcC2faNNUMPS4pYApTmyjpJ ypVU+xjZReO2YCtJHxXZfPEsL9Sy4OAL6iQ1cArYqtYhZaFirJg/zAnQ8TRT3dXthX9r4+007mCc HxBLDN8z+HyQu6Tvs5yRa5s3xQt8LNWzz1DR1wGOKUsuQtDduwHIt2fjhTG5zLtFxViOeQNYE8q5 G+g93Hmj8lg/Zzn+LkHrynisvght4tcEO4/SOrOpDnX7eBtQR4s2V+fzf0abwna2n5GffmfoZTnV Ps/d9b4XWZCGrSOXRVF5cwBwbGa5ufOoLKTBdh76XGc/0IJwg+tNbBm8CM5hTgvExTq5cFCqk4du ln2xTj4N3mPOa9A6ml0Fu3PE8/kg2rzusUXYugQ0ebr1ldDQkj1oeDViTppE9opVNluWISoAwLAw F2QsdLSkH3rpZd9Ba2pAYL9DFw1nFPT2GEKkTfJRYVEbkDXvUPVlbxwdUgTXAZhtg649lB0Frjuf zOf/0mvWmqpw2nl6L6Gr5Uiqj3fPYEyosZtXlGd6kHxVgBDYWp6bt9KpOffqg/XQK7K6RaBKOlFO L6XzICNUELpRSojl/EnGfQ5sLf0wB6FawzCsQUOrnqVyYg0CX0uV2r8Pc0R3z6UX+loy/CJQyQp2 3AaapkI6j6YJNBgleqGxJdwF0Ttf3QdH+MChqWVq7Y+G9irrUB0g+M76Ge4SS/SG4qjA2VKkMPZc eFQJ8KaCHRgzVLmAcnMOvS3TFSVeej1IYt3KQehtST23DKdoz4hTcjqQgEaqbI4LGQvMLVGFZuVg Ud+ag0PrUPdtUzpgHd30JM09KPEg1wLw3xFjqdj7RpgMClyQtidonZKOs3k6tSfQCV38gEgyxTee vJ7gAoWatE9UFJnX3YQZ88h8SeqCGteP7m6rz8YLI/NR3ldUPxutDjjloMSyUhJ3eFCSIbNYfV13 pqKowODSZVMKuLc2KCOeZ+NaewFYqNDhMvfvngKdEcHE9GmK8OSwnaEiiHvKTFohqZW3s3OCKyCI ig5+t7gko8IHT2BOQnaO9kzw74kLx2abBhV0WC518E6vX8qs/sIRVrO8yaadk7ybbNq5lvh85AXS vvadLHefRC90uWzE3bfZfZ7aXNYbhryQF1hqudjfwOYS80T1oc1yHAebJwmlwobC/AnQvwPDEwf/ wnpe39CVbS8ccKgKfRcWg6gGPIxkqqBb03nLnpuo8QOCEvANy9V/AAixt2emwrg8iZYw3ex6zSuc atxzGfC4NEgOvC5v/pJlDJLzs6JO1oWSGmdqoyXUKTKDRtYGqrb2R2NOxuk5cLvMFhqMWZMPiqJq yQQDnhNr6/moBvy98zLMF6nC5RMVFssLUT6Er/tE+dYD0sEnSuQgTlSEXsFhRxhU8sFhiPDea0Py 6QNCjIqzgMQnlWq1a3g9xQ0KLC+dI7wXe3ocdEKtE3yIr7JBDQo8L5GI+IIi15wF/nSJHJ/XCKp5 T4HFRk3ToNYbCwdjmFgIMoJsJ9Rr/NRczzmtfIcHMgatRRY2T6zeTyDdCCUHkFCHf38IVIifXqOn puoKtK928UFTdJbY5i46ESuefpmgBlMmJXjtRzTQcxOA+41/cX6XaHqYhUIX2bh4W01NAURR75Rg QXZLkNe4qTn3FXJEPnih7vzpGfppTIJbb+w1gBNJWwYCR4ZbOSwdS6/zc6JLOctQqFqD5icjdpFL wcLTb/OD2KfY/FQHGJgBHyboNWI6T0HLnrRO32aT8Zny35S3rR8oqWmCXuOlZuCCerKSO3jjDhIO TdryJ23BX0M0C2gB8J/9mY8VOOFWn9doqQHQQ58rBHk2qNwLrRmWnM6+bZ/XUKlJwUfzw3sQR1Cl qjym5zVOwvpp6qukq+Fch8dJaz4VzOTb6zVKajisiawvLIhzsOmhLBEEO+VyAbp05RkE7V2onOMA trCb8K7Pa4zUxIEExgIB+xkAmOafdV7U2ponOMuf1xCpPep75mgI1gt2uubnNUDCQ6iG5S7eZlOT xaAETZQu6TjQLoR+stH+EuWHpSMNDgmDQWqGzVIDFjot2hLBgx0hB3YzkMC+wTaFd1+jIxxAzG8n lZc1CMJzDjcTROwn2n6NjbD82v6aIGTXA6hcTdBrZIRduVg1aesh+zDZVetpuwg4AI/mlBpM0BSA AARkG7zjiy4ddK5BmobJTOmEqsIyYSMTL+z2WiuTcfZrVNTQtWH+v+fwweYHxHWWlvoqn9eQiHtl +PzwhD4vTOsQTdBrQIRjXbI7bbebiVzNXbBDbILQhjR3i3CCeL13dsY5eMJGv28wDM4Oow0P8OPw tx6wtjzf2dDpty3GjO01GGoOUUUHTCKOU4oeBTH7oMnJibb65zUWwgoyodRmknuZWKhiM/QaCeGI FmyAEDF1L1N2EbCmNgGV0vow4OVrHNRcKgXZhg9+hwHTW3hZJASPPWkJ4fTbME3Le10LeTaHP69h UHPLpk1UqQaVcasRb9ASyZ/XIIhv6Gc0jyvorPflK+i1MNmMXwYUR3G4QOnDkv6Zt/OfupwuIJIW nNHokULNjc6nez9ORB3SWeyLNvTkOtQbZEWAHhO6SWCaGD5u0R7ltSiJ+aFEakvyfeMJyewV+mU8 o8/Pgqff56ev8XUEoeQ14Sqt+XktRzZD9eDich2ZcXlPrdIjwmAC00WbojOanSWzhV9XsPHkXtAu bfAwBYAp7bqlRoATdsPiE0iti4qjVdNrJRK/AW3R51CrcnRHWbY5xahEJPF5rUO2pxzSJPt9Vu6Y d37eCXS4m2X4XbIvoDmrV41GbU4Ma+u2KaMgmjNUaUdtg83Qgh7uSRApqQu1XjmCUmSWnYEMCxBP 8ptkCKIlNCixg3m1QUsowb2PdIIyQFuN9tj0OWKjBFdiojS6UOfBHBGdCA8ph8Lh5vFASN0TFYzK cBZPGEnLOH4NH+wiOyEPOKybxPq5KoUhQBeGkSWUoioxyOrk0o7y886d45oXKWwPH3QMnV+BZNsf 1OE/79Q5HCkmI6M5AnSciEyboyiWzlLZyz5HRW5m3GgpL8dVsvhj+K5gjvaQmdWoPniD5Oyk1BYx b7OsQu11gHIAxwHQASVgj4WGgLrBFKnYv5LEmlO6U5Ro2oYUa/Px9ylKRps7x5lML89eG/cue2fN NTGW4fixls+RzKyInaB7jRKyeddRFFH3Jmx8mz54+7bCNj4ltm/Ptb/VmEvQ8BuILEumWqPdZkmv G6RkyXLV5YNSsj6bbqlaADwNY+rhk5Td8mPMfhfSe1SNGhizjjmST9IsjjvdxBcq6yCjVdXZaJK4 kM6maj5YVwQZKmgbhVgidNqSuuEDyqTwswa/jTDBPKxU88uVf26vRWd02GNKrBnEnSRc+8gJtbRg ksSn8UsfZsvozPmt/06XY+ZK2jPV3nWtsc6isKhOX0kUv1Vq/x5aIzfLChm7D5ab1UFvXTkQDhzi tLLsKKZ0WmnBLNkb3LQgeifLNVGG4c7cmg+iX3Z4f4kcXsrnnSvXoPPhk1Q1S2XIl91mKQyvq1w+ a7o4gOkOO9YnkVHT9gJIFF9L1bKggmWDn9swxaQnHWQ+Ti41zRgFhDUwt6C9fg+lPPW677NEb8e9 VG1ct9rYYdqnEtH5p887U649ut+I6ZXlL7hf3lmKQmz25UotozoKQOAuWexVpxTucSmFUYyt2y0N uciMR2lgoaKziaFNuxCuhlOpgA5IDawMdqtRdtLset33WQJjD16fLWtIhkPuPRHSC+0+Ph5EkdPT EGJ4z8qaFLHXHL2H2YAokce76jDkjUk2siAr61jwdToOVhVkozh70KOxDXaMOHirdqDRJnrFuXAb 8n4q8VLwA9uwXrtvWce9k+R48lJgH8oONqgRUoDJVa0IujpRoL32+AoAMh02z+T6HEWhNhQ4WE27 /aKd3IEY7kG2jgqYppqjMNYmpamBnG6DzdGJ2cukNC00ZE/agEsTnRLy+7HbWRPx4v7U6waTRLFG rBsf7EwCNFzfB4REFG3nWr6zEbAvqN2mgmMUbeMgQML/pLO9ecI/hI1nwg8VI1Ucw2h7yF2Hyo0c /Nw+N8EJoSBtl2DZMXW5QQtcCUGGEJ/VrOmJ8Hlnx/EAJTtuChI4rXBXoECUqLJ/rpr8eSfHsa51 i0bKXs5mQ7JokxSF28TOQGWreFVkjqsRU6fXHXt1RcJ3bpyFeYS3TR886c8LBgcQrMmQf9o4dACc PFfTydzhRwnhbzuQWHl858Y1V5CnWisG9K4srd1dwmgd0ifv3Dgc+2l/5W2oqw5UvG2SonjbWkR7 NQdNtnHNUfo12BskzHAlvcfb8iyFdhUXFAc/tQHEnxT9BaYQEepQ5n/m+wTimKXHQmbw2H5nxrWr bVl5x02cnmLUnHirstQOof31eSfGNVkZfVVoaTdGkA1nKYy4Byv8asjKYrc6wq0JUsLeLGRteSa9 0+KaaFgQ/lRyQnC29hsKYittRdxA9gJkCv6gzF9ReUN44CAb6p68s+Kw9BlHonPpg0LuE9SVrQ03 6+edFMczbX8HSpP9uHrXUhRyryzxhXypXjnfIlIrd8NJJRUbLqpknzuMV/+sPviGO7f8wqex0Z/L ykQKUpd4QKWZEh5WqJVj0zsjjq002TSyTMJBZRKwKpgtoj3+eefD4QZe3ysJh9KoVF7gHIW1bEwt lDaugI6k43EoQS3yxw0ttwnovLPhmjRIgfxbxQebowkL9JOzZSqAgnaQKddIa5aEijS0eCVvjaN7 6X2DMClT36v2OxiYGxm0oLdzfd7ZcE30TIsBxE0p4Dt5wf+dDIcFiDs97+Qa6ZnEKbf9zNf2s1Mf FLP0HnFnE9YrjeowHHyWAGlDW4TVpHOXZYK9E0QqzhHV2DN8empLr/t+KEHJEWQcQdpy8moS1Vi3 Vsf+vFPh2qNtLQtDIAzOT3Z3WxhvN6IgUvGi/y4PIScv15E/Ib/pNL4T4RgK0zxOISUHi5PShv53 HuQ0nxg3VVYBOrvPBHEjr/PcrQy9bjRHnJwmyX3Eyjz7Cm7yXeQJu/fnnQfHldS+AyUAgeGMaJMU 1rW33KxsY6EF8Ijtl1WcjoPylBbSe8DtYvso+ftgBzfwWSeC3CxLguy1KBPXUQDqNEOn2L6bWi69 bhBMsvK/Rr6DlUrglG2e8HzHYCHNf0rbqNqup3sdBdxI8NjQ8Tna5FZZb8Rr/yWRSck5eg+4sdlY Ihn9Dl4pyR1afJ2VkrZO+Ep1tITIqIHvgRvHlXYpYvnOf7t7bcluj4OSkgX1SO61M3Gfd/obq4L1 K0pqkHls99R+J78hthrEPSjtZ7eWwsmmsnvJb3T5VQs7jLeZ27bG3JaD520TxX5IhaKHDb896fAu +C8gEyOOxuS8UJn5vFPf0CKbrI/MtXzQFDVI1UsrZ5fPO/OtPeLWTZR4aX/fnOSd+dYc277la8FI kvVlVUkoX6+qZHEl4nfi283bziQ0HzRH54JkwsA5OtcaDKe5GykYgcIg6meEiqDPX/S20RxJd6kk H6xIcm4GrtvWJh//68gWfIIa0n4avZPesIyktK+1wy6bjK0ld3ZPI8JWudPeOW88jRbP6n4HO41O HACoANO2eQ7Isy+yWzo15m7rObHb0NsGWVtDSps6NRQ56G8FP4F0NYRYn3e+W3vcUbTTQAOBK4VP URRp90SWQO5XRl6AyEbOzeUtQ7pFMO13tht3mkojLNtysJ3Wzi5bxOyhbHsy58HMdlLCm8wOIF2v c3zS6wbpyOLkJNbaNqI6sa5OCLYULM1zbn7euW6Y4zS/JwkViT7uVosC7V11HK0rPjG6q5w1+kUL y37VKt+JbobmWJDaWz7YVuvQeoGvERH/58VUjWsUkEcCRw7JlBZc0ctGrTYqBNRRfLAq0tnMkhA6 H/B557j9G2artcv21V1H73G2roks5yTfas23GnlVBqpZ7tb0TnHDFBG1VlH+ssHWEeX1d5IT0Tif ubiOFpKvBt5UTv26fjEO/Lwz3LDsdZ31Nn3QcVSh0sD84nzW553g9s8ycoNdCBj4HEVRNoJMFki8 +k+lGasi7aeK1L2K9E5vuz3bWrmaOHhde5+NBxoo+ZJnS3aCk85pQPwaJiM9fEki+97ZbXbBgMrd hg9qtuXRVLE9mXz/vJPbuCIuNksgCghY4CttlqI4G8QJ6OYO94+brTp8rclVrjH3b+b5/U5uu7W2 ApYdByqvEh6a+jmx0Y5AVgvJJVaaaDowET3Ccef67BBs/E5tuwi2WZjcTqQE6v6Mc+xNJtJoTX3e qW0s+n4f29B9HPtC2N6JbbjZWlac7RCtVtptSabiS6kC/6VJCgvbib0RqeGURw2noIVW6WLdIFMN tkimEjpg69AXhKxrvlEkHvy889qaLKlh1ZTVv6VCsC2mvHjuQibl885r4+XmMRJ7cxRjKF7afie1 MT7fVAqqbo66O0GR9CDYK3sYObahkN45bXh/aQYNilZnrzpDrQv0oFwEQyqLeQjKSIUsDKr7qG6r MhI5be+UtibtHiRrO/mgdAQYdkUA5zM+74w2vGT2lK2ozQtiTXaYxDuhDd9KzWoTKZHvTnLnTzIp RE+u/MmJh4yhJOxGTkkxzyvFnGQVTGlKnOEn34f/AE709sPfBHKVvpQG7Qfe+WzEOfA8AvIIQ3UF dFyfstnNdX7e6Wzcr9+Q0bOQl8TFbZJCLIkw63vKCBXtSXwE+JHItqYpvyWIY1YspXc2G7cCNlwW NTlfavIGQSRDz4fdNhRjGiUHQS79IfYG6lbeAZit6HXjIKBv1iJsMFTkiZzYdz2h8fy8U9lYofAg YLMuB7+dm7W9M9m4kARqm8kWErttAq5P+ThS9q3T1RoLKaptN+EkUm8+3AbABNyYWrACSVIIoC3q 0FY6wT3QbNW235lsTf5dFD2fPogmghtUBK25+fhfATcFJlCQaONO0nvADR0mzkxfLgbQpQAAMYI8 7XhCoITuDspI7yQ2BtxEjTaxI9uNJROgW2hpyGjnxCoAIgHwdNbw3gRuPdRtCsx83klsxtVA7z91 HzRHJwJpVUHAibffOWz/ALTxE6L6N+by8v87ha2J8Hl22G4XgEzvbanDt6uiz1iQ5/Y7g83axoii +x38doNiBqhZiPQA3cCbnvh4EssHkiyqG5bbqkTyTmAzjD8KFCKw7Utgg0CQaQq39nnnr7F5kL7X EZyyWKfRHEWFbexw6AVul4Ct2bxiWPz3km1J28Kkd/YaUR5MahskFjV4iaQ2mASKvZbo4EOFatgb jwpI+7gIW2Ek3rlrnCJia3cqPmiKAMkTI+ss2M87c62ZoYmmiIcPqsjlAgDfiWssPqlO27xEchaW z5FZolBDaTsK+Z231lxCqTNz1eCtbZRnqYl71hGy/6W2HO6LtgB2renG21pGUVW7U/GGDiQ2aI46 8DaiGrX6eSetNRkZP4Ek/OM7AgKboijapsD7SfrnhW1lM42HNkm6sK3hZKN3xlpz409VYzV4wfZE eRskAkxRAlG7DvG1zuYr7M/dlGQQRvpOWGPehZSkonVgg6YITgNkXQLI83nnq/2D2mIUmQjX9uy/ hbE246PdnxJJvxrnhpUoNGgqViJpIVib2uZoYPvgU4TmbBKp7/wtJwWZcnLCOQBrNBATzNMSCHx+ R4D+0yrq5Q5WMUu1mgh+zng8iCH7d2aLti/UxnyKwkA7c+lsR0hmsWGkzrnLvHD2ZupSLSppp6LM lswRDk7JylDsoCZ9Qju6VGJIVqJEySIAal7RSe20EEOyibBJIvU9Ne1xfuSiZVQGHv8L14a3Qyfi q3/UozC7Z2lRD7ePnaMlW0Yn+3dPy8dToL+H2WryoXrUhw92GAGgBbXrSaX8s7eAroVpDyq4kO3q Fx1hjgI95D0SHbE7OyPbqXFwXkhT1qhnW+DxCIz83RkZuNNos60pioJsyPLB87a5mNsWSpuaEfJr pJsAmdOcoghBgk9amNbig9XZJhQeOiCykLybUPtn/ktpfGJTwSPx1J/SGj2qaVdKl6Ip4oN++3O1 mDXqSaDxeBA/XuA/a1yIuas08jlJYZRNgbJNwSrV/cu8l9pKXveftz7So6J232rS1uqDB5AkzPJd TlYy1pzsQ2aoHG6QJjOCMK/YEovUoyBbzl69UW+yI8hWUWNuKFLxHD4nJ54PKJDzuw8JKWRQRO9u +50EOVn+ZOqPP+vHDReKU7HLmsZZ71GYPYWOlD0FB5ulWUDhRA+Q7vEZCRxN9YAyT4Wc9X1hbZtZ bY/i7EK0NqpGGgwv87+MXWeSMzty/M+zTGzAG93/YEJmVqE5q65vpJCEp9VrsgeEKZPmnCtC5v30 1vmSEVH0V10b3gZje3zUIybkYNt/jOpttoEsxuojUrWhjUC7kxTG2SxIFhoQavA4ewKejRQHcOR5 UrJMqWB0s+GA4ur4uv1ZkOxRZRvoHRC0dDQVlNS4SSCAMNjdHieix/PB3XYrkvTYQRt+wLLTZimM tMkXbbNd+QNqGhkc+QpqUPNSsxTyIYca//sOdrnhR0a/jc3I1FCxIkUC5YbJtLrkW0Qi0QdfEqT+ 7I3MvmT4CSgg4+PZwD/GP557dOP5/8+GQx+ptWcphbVtpGw05rH6SNu0QYd+1EBTO0v6AC0I5v49 CrWHRADrmj44R6L3hBMViC0U2AYK/yamsbEBCsh3V4Zz6nWDo7soZRORZALKLMgeGH+S1TsnSMEH RLi29LXhUDDr82Jt+3u0DfVbltroS6kNt1Fp+U8l1nYj48/UZsHFyrM7wpCAFEtS//TBS21ww6Wn POTIzqlTyVJO8NxaKO8W0CXctoMinD2qbVdRR5vCpWZfUqDjtbsS2zzxeESuvVhb/ssAfuGWsUmK QNu9M7OdTUpt59ap1CpnLqRWLegaC/uAUmQ9wpCoJYkuvAYmmmwAzHq+BYqIZ5L62XrU7s6Eum+A gmG47Cc3yNsVXxJESuxsT9SkbFCFBGAOobZPHojHg4U0v2FtGSJSnRQXTVIYcYvkn1exSVoUp7Ra 26zmHNBwumq/RaVt+lUDJdh9sEMpzXNfJ4o6w7iSfFhMEtLp8x8gZ+YZrIIk9dDwLUFyu4yytX1Q MwlKg6pWn6sUjwdLqe5fswQdj7K9ajuimFu2S7vfXhLPCVeUXI4jgSS7AsoRlbYnr//WVvHBiyRn HVVqZCIvOekDiiL4QLSVkRBBa1JEEhPlHlHMncWzASDMBjUlB4xZVAA9qduIYm5gw76akmLreuo2 opgbvr9EIZv9MBqETpHY2XyYKrTVnMseFrY5RchlfbAjaUP1PEkuAvwdYhugxIjsBKcRtCav/Bhi M3xJzGY/lz86OxhQCFAjqZxgjmj6gfx2hJXt/YvdBv7wQJXPZimEbVMecYmPjFwzU6eKCymP7RJI nZK0nKWotM1z2ExdzsBKEs7ik87WAfsaVNtO2FdnI2b5nFvYYyfLBdPHzQJApsF3BCBS2Qf1aiGA Sf5Bn0Pq3/38Fx7/q0XCCAWLvaV8RRGikLsRapsktilc27xVWzLUVLVFMKjNFoXcK7NcWxlNtrJ9 jvLsCd2MPMQAPJfnkj3MWcQN2lI4fj0CAHkN3/EvYDtqwD6o13Z27iS/t0Htb4SF7dq/Nht0FHq6 /L8RBdxY59SO9PNoMVE0BED3oi1zZS2jKOCWtEaFTKENdmqfMwfJh+okIABusm1BTTo/O1p3CFmN 2cYrEV8SbLYOWHtVD6AicheLCFa2FHgGUS3j+WAh9e/KdsGJ+FS2RxRvU5gTve3rAZeGE5Jo7aET qVF5j5MUxdtAxqNdq4NpPKlbH2OR/kkrqrap6bPKSVDxOwLO167wPT2H8B1BfkupVmJRbND1P4gJ ZxFgTDz+F9yGhSdq4a1L/x8hYHvKvbM7jeT8B57edpqTmXhdN2LbiADbhXitOgmS4OCEJADHF8Uh T4gD0BadiCdIbB1HLeS2L1676m3jRttZQEj3OAwCryEBn+TGzOR2hLH2lSCRAjyK/80rACOqbLes KbIELYN+7/zIkbobvFSFkYmf9M+0rbGYhPIpQhBySMrJSMqQSguvfN79mC3QGc+qS88UIYbFd0Tx EQUSQJfBgGqEgG2Ye0IBR0kdz0eNtgdEOhWZnBPxuf7DYHvJrKRdm4nmSFtoY29vkcj4LfGT/sW1 TXlkH6xnCwYS4CMMthscFpG0nJgGfSfYwVWYU/puYxg5wmCbvdreqeTeKUKRRJDAVcBAEuc3PiCo ATQvlLCIicIkgL82SzGQRJ6d6foIUjrX7PGq21IC4WLbLaxvW3uIS4qD520diT7d1U5s0M9NDTwy /FSFpzzB9hzeAwAGh68bEUmpYTslSAK+FFEGULnf5j11RjwfFUputM1D/mSQM9/K5Awr3E0YidIt J5lS1mBpXbZBmKWCyJaJ2/y3sF/LnCwOHm0DoAxjDxZKJvhb8lgA0AGtUyTh3m4j23aGOJLKUltm tF2yBwAzj1IkTFtLxePRQlrfgSQF8LjpNEdRuF0JVCDFXBiJUYbnbUDbWN5GnUrNUVjiTpJlE+GG 5s1WTDqfdLaRxFkbBcrJ2q7ocC/gSHCgXrzt0utGye14hUicf5WOo2eSesHjf+qzscR91jKAAz5J UbSNoxMic8uKt7gm/OhuywrfyEmuelRIkiRgPW0JI2Ow7XYy/Y4qEhOlQXAbVduRtlBGCYnclYik TML8d4n7hEfdByuTnLeuZpdQ8fhf7D/S4KGUA28+m6Mo2qbdD4xwHUx63tyjbUiGexvgum/MsMBN mCTYUz7YQiowXQCknSySNNgCPZsNMIoO6CrwatfYdOltgyliRgLLRh80RSe4Z5P5fBQktkLY9gNK Jo0Ewi3p4rZnFG4jwURbMLmhRGWX2CQSsl9uGyRBHtszJEhWYW1K9cHXESL4BeIc6tsNBmAUaoPA waS09xz3cptEbs+QIJmbUO13MMDtiQGmQFu9fmYUbbf2m9qOSLJ6iWTGOBJyJOa+YVJq1ffazL7X Km8RzlGEI5Fgy9llyQdvJ52gvVG7npIkjVp0QEqilzNwE7ZywyT6T84o2q6ks02cKzZoHZ0bz9hI Z7rxeFRs+65tT6FRPCGZEYxkTKmwmhbCrQMQatO3NwAIWdYURbXtJdWWkqoPPkWQBu1ZtiQDzm6E bA0sUlbSV1kPp63obaPOLRFbSPs4JAXbg4BMEoxOIobH/0r+hRuY6Bzfay0kR3YrR/oq2uSvqNDW 50XadkfazlDwz44hhpH1hpEIttMAnokY0lzXhMzyggsJgh1YcZHUbtX/rbcNmm1sRu7Vtg9WaOsn iV+KWvn4X4AtHkYn4uigRdsURTAS49iWdeURpFpLNbK8Hua/i0bOKNIeTGur+v/16f8ngFLhO0g/ N9S1F1cRs7qJ6i1cU29Zm7j2GUXauA+h70f948QtY8qswADgK4HuxPMRHOmXQhKUyNLFIs9/ciP5 49okjXnB/4vHJycJ/tG21UIxkokF1LMQW/lBbIHHM3OVGAmiEZ5bZ3MQd4WyDrsvNkmr6HVjlAQs kYRFzqbSDefKabJ2ZyPg8SiG/KXOytLmXUgrCrNRQWSeZuII4C24rF0RUNJ0NowcuSIgySjyKZYK aes3hDwHD2SwKllt5ybJ4Ixir6H1is/FDWgyG2L9r7CoTWkEHJ8+GKpt1jRF/KsVj0fkSM/XKGoD ZDxUbG2K4qJ2Ub42fIr68hO7gIPlWW2xOtsKo2zOTZalRr6WGptWwZtSD4iyV2MyCr8OskDBKX80 NmBFye+IymysHWVZ4MItbNiRjYYn9Y5ORIrn/9S0IcUFC7k/kxTKaJOiAAq1n9k5+c0PSSObpFEd +rdCIInoSHvYQAgV19Hc59eFNBzStZJQmGS9FtcaoX95P8HReWN8R1DTlo3NsqN7ue4PwKTbxI35 eBRk96/jqNKX5VFpDdmRRbIaxq1BKfJRGpfCBlEke9hxtEKwdpcSmWT982VHnjBpbsgfq8x27p9B KddxwmxzA/sS/iOsbYUlbZ5GpfA0wqaVCAT6OGnJwWactH9FUfbv4ygjpewPF2lFUbZFkDVZB+lc YBSPoIAU7b0kx47ahiYpZkdKO7JuH25Te5x0lwT7NMFr22xqFwJsO1G+dT5Im6nXDSptU/iIIV1t IBxVQWqo/8rrfWY8HwVIV9XuRzjbscudpCjMTqQit7IcRNKKq2w1ZuY2ScNh/yvWIaE4UqMQCwer s+3ST5BNtjbUbRb6bNT96VDYABiS1iCy36R2Jr4kgNqwOzI2m4gcFGefL5SK3pksvmOAIVm3O6Kq NnW+PIpcIV47LUWR3XqRZVz/jDZKs8r/zHeSwkC7qBepY3vfYxvqUedqAzwQBJKTRBfOI+4KxAko VJQL2Ma25utGeCQWR6ZoJNOYSPV8R+Ud8wMZCTweyWx952vAbA2g0WyOokgbFik4tYcX2U7icy3t 0NGQpd0A80FzFAK2VYpkHKvBFhKwQ1KgwtU2CpqTbPwvoCMQIaGB5RCSOfS6UQGJ8SPWE4bz1gIj DWxvViJRZVthWfuXph18RWa5ef8KEduMIqG/aFEkNE8sQmL4YqX/7rz/FRa1pa6VJY2YH2lE0MzP 3lsEH6MMwxUHnvyZTQSqLCKqXVtQb+PrRpBttpBqKz5os8EKVrL0bfAdo77/r5I2rBTWJSOvuKRN +cjUXWKLgDqbI5mwNKqQbBOPWmGk3QnYIo5Eg3fZ4EgKpjYAJB2GTpUMUjLg2Apt8xK2sZn4uv+K keaiPBIHEdqgLsCuPfJvPB6to/WdskHRbl+xth1F2oNibSYUKSeN5jW2WqpL/sziMiQ7ZEayAJkN IjGfTuTJXlHInlKzGbDGw3nUMO3oIP4MXv/p51pw7yjSblKx6XQjXVeAOVWAgExfa+HxSKnFayNN 7NEChSCHtO33UBvUHIJGHo7NaJ7WntCveHGEGBwptYcyJPzL91p3cKAtmnTnDiETecLqHWc20Frn WKJ8OgrLfrFR6n+HmG1W/ZNwthxUYzvpcpE0Yi8DjwcXW7oQUkafgB0sj7R3FGkPWfy5hD0zNlf8 gdGjpyPVFX92HGmTYdtm8sHrkGdiIIzf5Wt7Im8QIyn5+AN+G9qCd47YscKXREW2QrMaUf+qWZ1S xlltBriX4PGIF+nlETx4Mngo6d11FPIiif1PXLYkItV+hdr6umJ21P/VHMXoEREi9/ThAtpwJUgc 4aRsaBsRi4yEekLUXcpR1j3ivbajWFtkv53Ej0jOj4BDUJuSRcoVj0cUkm/R/7xVKfA5CvHaQiHN 6qL/3AImsjH7FdnYbhW5Y16kfMX28sHmaME4kNVHQf/zpJ7NgA7HiZySZOysyoaCAb4jSkc4RUNV NsC/JBvWocwpB/c8N57/E6qFWApo7XytWXYs+MfUn04Prvnjxgjn93dnjTHdJXJHgfbqcmct2Qer IJ07EcgL9kUg/pcKTSJPHAgNUPzHOK8NrL2G3jYIj6j5vypL/hy01dZkwxXoEZzZoX3NXUbUZQci Y+A6tDmK0CPsr6Fq5A5Ra5geaZFliJlHAEquOYrQI9YXqW354OUR5LQTghooaC/oPHAdoYFNaMRO l9HGrAvf8S95rVLGHYTUOvMtizE0YPB4lK/5cUSQFOAbHS64NkchfCRJ0IYcLSJJmjuQ1L6TCyNV hDBk/e0YPkIc3+zbB1tHDfodZdFs9MSTUB2lkxREqhoQNkDTm7Yma4v4jiCEtNaRFBF3cgryoqsW 735stVBd+zb8JX+ISBRpjc1RWNJOrESmO0ermoItFH8fXaRK2cjOT/qXTBtcanyw8+gcEWcdFTrX TkhiwJlzwQpggOw5fmBO49iRPfW2cXkEmH+ySDjYtQaMl8wj6sDjf1Fs2O9Hf49VG01RFGa33h6V Nh7ZUiPr0vttttWYxGmrRciRWST2Q1AkBy/W4oJcy7yiJoTa2abtPInaydmKh5AlsVi7/yVAMlSl s0FRNhwF1F47dyYej4q139ERjKnbvnZ1Auq8fuvWMkqOicDNbCltX5yjLZV29xdNsbw28aBNrj9t 3ZwWFOqUaO0FPwEY/6A4wqAPKslnY21M0ZRN+9r2xoHpWNbVpnb2QEWI8fE8J14RwrblyQ/4Cz4q Kc4Ons+FIecUiv6J/EcnV3pDDigiET5iOhnSahne0cZHReE2KcZjFh9sqhpkIssi+w8eWxNfCjPy c/NvkJELzyTT/WG4jW+JNe3R1CA2goMCyNYLcUJQzMx8PshKHgTJZlGyY99dtq1End7TGSyqka6s /aZqjyQkiVZgwW021pKSPiqAbBP4uBvXFgevuNXUQMfaBLafhUVEO7zO+s+GgCiEKx6f0WwvHEEk yJCAkBIoSQieGAcOvO0WURJurCmKu8elJTMahRZJT1dsg7X9oG+/GW7fflIVAVcqSW6EXCj+YTMV Okaysb/2Tj7YTEEGGRLJ8EQ477QqjUtyJ2Qs4UdFle5p3xZ74wgsSaWNJseN5kqJoMs3mWoCBYDn I5L7+Iq+N2i8rTxLKgq/k1wA1uyOAmQHX6U3eW6xPEmHZW2+qNJNklvNYnFxsLgJoj9nWVXWTMDc RoR4lhTDWpR3T/wMbLIKS5mkUnxNBE7mmupS3eyuukldvSJJSThKpBBSUnz3dW4+cIRQyvOZCqvd XZ5tJh4FcZI6fv6PEhBYfEK5kREXVwWQxjUfvHKCZkYq1LjdOE7gw7LEHt9YTKQkeZUybXvhqCzA ulKWy2Z2l80TvY/dZL2RNp8P+O7lwm/5b+MC3Y/7Vgor3tRx6xKUkGRScjUgiFraeY4zwCcqCsWn tMkame8cbKISqt6wjOZEtXOPwu0XuSOqAig3ombjB/rO/sZBFEW/BCrN26ACCnCHauuf2ebzUWvg kksYjsOIKa/n5vtDqKRaa+me55uKdzywNo3KvO6dU1j4XvJMpCcgBz/P4ZSYQOc5mw/XKUSYFoIz zhS7E8nT30L/an5NzJ7kFNkwxYKAkZ4aKv08xOcjZcBfRFxM1NzPcR4G5cNsONwVYPfrejfHdvGk 2VyJEx/1vqQUa1J5wYYrENBQ+Rc+AMpxm5lLZ5e/sGXeH2TgtPeNIgTG41UEiuoEirHSStyHZyMM Ph9svfpNMkGEtzFXPk1RYL56f3S4muQ4HGnS1VKhOSDkPWyaYo9JVSzTHfyIOlHVoNAwGJQnVoKP JJpNmXIPrAR6c7fQd4/fElScFBjktH3QPKUTcialeAw5Q+eb9is4p8rwNXXJodEk7eDOsbRdi6vz 0mF9N9fxuCV7XS4HTpOgpTERXkTmcPCiE44OmJhQ2bUjqSYkZ6ONAQhOLmzKyYuTfts5sJqEd4gY gukOAnflukXOBXWMz0d53rfnNtQtBlaGz1MUmTfJu+THjKNdQ85Z+rzztKrPU4Q5SfJRQmRvgxUM 9qjQ4+aVNxuYGqR/JZa/8e9Rr8y07+hYkv/hNsljqeXmg6YJ5VCJlp0cm88HgXn+JRREJ6d9TcsC v0kw4DOZgaYITPWSYSWDTSUeE1OCohAvvNBw0sTIqU2iwZYT69Tn79BPPVcGRAl1FXR9aRzyNU3F 3/dfGoFw0dNgVDbM9uBG7ydb4vN/NXkZfuMoAO/FpymqhlMmOS86Wpk/cPXq05hXlrttFwvKoefk oBXHEPJkPMgT8LBOmLATxY7HuRuAulvU+kYwQOXIq8zBTngOPCdxU6DN21ptPhg656SRTNxAk+Hz UVTQviJygKcFhrVpeo/IAVNoguP6NCEh/XFNJUbkEgzK2acpJFQiyT3B0LqD0ykmosxFoBfQiBP+ BeDBnb3XgLJFnOuZi1SVcug7CSQYUZUCV04TL4WXtTHhTvjB54Ne7/o+nCoKw+uSTnLgO4kgWodT vbvO7z0YOeT6zNP2XRfG4zJUKLn64If4oIyCjCcLTH7ZgTqnVUZ6hch4XAzzVFAQOk9mupUnwEZt UK3uLNjEIjOEm/j8/0cvOKMagtfxeQpplQzHTzBzqadfqkE9OUpniTCQ9FERhYmQAUGaODiWCUdS KZ12QSTeZ9oqlzNFE4Q0aVFZvU6FqNB7UtSTlS02sB4F2lBTbibtnPN8PjrFf2kGnPnsj25QDrwn cYoTy5xL8nmSH94iIn65ZDCk7HyeIiETCpSfs1ryE+nKT6QERf9CfR7gvaGC0EljOmEx4P4/T22l 8Afjl0SqAWSeMobWoMsOLIstGlOqfD7iDfxWMtmM3555CmNxxC5n110fs7Gvj9kal8hU2jNPYYWc Yl2pSPClPIIvgPb1SgEKBJln9hsVqPGl1JCu6SKaCkuE/JZ/6eJTytAG5cGzJwE7yok2+fxfauY8 185W7f3rHA+r5Nns3otXVhSMU4SidPfphCaAz1NsQilxSaGa1rz1go7SSm6yM9+oR03uO1RrNiow pkT1nbSELpTGIMhyV8qGnMZqhUcht9JsfD5KWr7P8QxM0tpXrTOHRpRdccG2ENxkX+yAasXVOuZy rGUOnSjV3jzh/PTBsmCUzVuqS5CUkpLMAwobEOAoIcU28DckS/S+sc8y7afGj7lQCesGy/QlMsrK fD647/ZTJ5fyKzbyNRDMoRkl27vo0xbKK2Fx4aijHhW6SqbXDXayT1SsJcjSU1IynG7jBfkvdAQK MWDnVGmZcWbDwpqAW3QWoLSg5NkdulEi2oEFVU8+WOelEtrKs3ny+aCkWXzjbZVjwNC7B1RsRznF 0+1eVWnVqnPQNqvDWy/ZjRZz4Efp6ykN3Xvj4TadtA9SXZQR3gQWTsIJgf/bHRsPBD07oHJN9sKR cBcX1EjZ5U2l1A273CKhvBNz8gOigPwbUdhZh73S5jlwpEQfQjcerzmq5qVmPaqG+THe7mJeqomK dbt5NHXNV79eQufGm+i4NFlS9gn/PwgKQrnzhDrovLT2dedte+N/QQtI3+Rg5aeBhEs6+SXrNaN6 wfcR1aAe2uuzoqKQfDPSK9RJNK+c6kYwtPlVHjyvzGkOXCmvFqzATvUL7HSuuHo2hkQ8O/jgmwAM OkwWeqznfjvnc9kLR6xLxpg9KzJH16Tq7irbRE7amvyAv2QFl8UGX9SCHDpTNgnBj+VyOYtnsOp0 y2i9FdBSz11Ca8pFMZgir9OSyvXKO/94/o5FSwHowIKPQUNBUERwZGyCwgTAzNXeN1ZeYiw+fLC6 Cgw2ZO5WG58P1lO5BQOyVFDHmk9hJfSmbE3IggsMy2oJ08HTyy0107PN5ilU8R5MWlSHauPGmlDs OOcCtNRRgMJcWZilQ6vCMfdqL61p7xtAMQqIlwXfZYPmqeMSsHnafD4Iycv3vqNwehvPcorq42i9 XbkTzVgtXjFIF9NDSqBNU+wHn4XCuIMtp7PROnSACFiBMFOn+kLDZ56U7xyLjW6wjfvO5imErEgu PyUbKi0CCuSeNk+Xfg5HPv8X7Bnvh4ioPXJnOXSoNNbTrreysrsXoOaYjlk599eyVDiwqMzmmgus rg+2nGohOWxSEw5R+TYQHeLhdF5yFmermCZcDi0q6xYBk6sqOfP8RGNZnjvkQ/D5v7Q8qO/LI3hi 7nyi3mNyq9RBIdLN88B0sAW1d/MFtSCdaBP1R0yOcocNjsog86JVGTFRfYDKcMR6gTBCpgaKi2Rj FHvhYEHZyST0Kmg6WlAnRN5JC6p2Ph/VoL4F9NE0GfupGYRelehhMiR/FHS291ugBG053hhue55D s0rJ5+L098FRUCe62TPxNJoZoSDRdBRzaQWYlXVLUEKJ59CtMkvNS9ceB8Hp+jmNiU1TfTywq5Rg 1Pe+I4/+BlChXaU89Ga/BnF2x/F8anM45hDdI5umKCAXGbOslX3w82llhK2DAN8Tlw8c2QsmU/2H XE/8Tg/IoNsLRzwxqjEmaqBx0Mbrvakedb5p8/m/lL2InII2TK+XKJb/YVrJGlQ1R2FgovO19hrD 7zuuMpuoEC0+xRGTjU677icJTKHz35UW8evsv0Rvr9nOlbgXQiUUW6zP2dWYCn0r5TiwKqViWBpT 3ejE+k2KMeemzfyACOj7vaIgoYbFficqxK1Qc8DUq9nopDSXFFCITbaJ6t7ojL0rFxE+uOVtcJrP iTpR/9VEpZm4RfMkEjsBTQe5IDgkfvXOA/fKE2ruS17FAKaOKBoA+Ew5m43MD4hgBhcSTewU+nc4 in2qwqAckq2QZnSh781XJRgq5eunu69YTA4cLJ2BkIAOtcGzlwZXhiFNvZnTCUhYX+kkayCsTOOx HszLXjhqChPPWrimNlXs+LedD+5UCYcc2uQH/AXxYbAJlcf54OsCG0uTdjgnpDMQ8Y9WX2m55m6F TVhNWZoX+lguNhQSrYQ13Owl1xNz2Jo6McEmairVEyTshN1PFKJxflK3Fw4KLFlQqNV8MOBKq24a iwJL4GQpzZmv/tQm7ugG5aGV5ZCyjgA+XFutGrrufOFltMARzxdUhFtpBPgApeDD3XuAQFGBJJ3t fLYcmdEFWGdaiqKyer11V7cXjvp4jJ+qfKyq+1hB0UDIOICO+Xyk+eHrCTPM2LVdF4IcmFkimId8 VZW6LpWsitXMwW9ZF1uXUvF5iqPyLg5i8sGDKPRE4B/DWy+fGF14zYKllNBogEiK7zx2Xvk1wc7T gtpyatzuQgQn+S4xq3M18Pko3lzfaR5W5Mj5OaLCUjkVLVfrD681eyVqrq7aHeJy5/7m2NKSBbvc 5LDXrsMeboizOnEfYJEvgFdx4EPmErLfkClhqVxAqO4vHOy8ROpGEnnT5Y6gj5knkTJQf+bzQbiZ 71nOpA7Kyz9z1CdCCEVSRLgH6CkLulIo+J0p2SQ8K0HAy9F1oa+laZ+Yil6qfvHNwfOjocdBSb+N nuzKGakoUCvnJIcOh7ep+rI3DuaK/YShqjkH3XuTLA7+4558PogQ7qISxRWOO+tGCKGzJSTyULSb T07cLiajp9seZvGUEUJgbQlFEcrJLMo4dAm7q4t+TilOHY3kQE4kZRisiB9iapUSy/6TpPscWFve DGZUG7qBDTpcIR+wQeBtKcaVXXri/QIuhvqJT1QMK+dEpbG8aJcv2QWpi08Uyo/K9UJ7yyVzK8rp afD+MFte1JOAUzrUiVi0QxjXQYA9l2H3XG9kf+FADYQOsBt9EwzYCdZwOguJ9a8O+eYcOlym/q3h BHQTC4c+UVFwXsXkVOoiwMFwh6JF7XwlxeRAakXFVE5WD0ZvPlh8gLh5JAKSYQqeaqdZKlw7zpmC /jraCgYaS8XeN8JlJDWGuw6papYpfTR1uVGjWvyAyMPh28iJYQZSA5+nKDZHjRr4lXQZZq58QWCG 6zg1wvs1T7FyCtFQMK+2waoswDNUivuBPnVyyFRMef8sqMx6dfb2y1QYFdhcSg0cjnKz+mAcswkx bd56QEOFPpdPsZyXHrzXc3/2XYQoBzn17DSpEg6CEPvte86aLIw6Z7HH5bHTJUFjhPPaYOHBeRG4 dTSq7wNSvlgsh+/oSTeARtvp2qZMNdJDq0uBNSk1aYPhMvpIRBad+a58/i9LENVmUNeczzyFpE66 y5/b6KqnbG97tjrz+HGRwmY6PDlwu7xqBZN7ioOHmx3eLwh/qAwCdZlBRVDEp3QcW9/4lW0vHBCp KCs/uAr3SBQ8Zv4CERuK/s6VGz/g/ShfD/mVeSFgiLQGtpkKA/NmoDr3vVrrQRwsU8GgFrqHUYHn pes5QmTfB4dJw2uhgzaB2uZ5Ek5KSIo7Ggr0vXpk5mSfngPXy2xh1AQQ3gaFUSdF6ktCBFvPB+Wo 2b52XkYUByH3O1FhvXxzdvZKz0Q5hGUw7NVEtdsgDpwvz+oUJAoioDbYlTfRye2Jlg4TQuO7q091 joaB9AzSgy7EK3pQYH2pZhgE1Gh9ma/1ZQORUdfVBjso8L4EYf0WWRhtQuLjJGq+9z6vMVQ/aSwb 6aM251GNOrOVDsaqru5Er3seUa8RVAcgiuW6SpEwDg6wg3oBO/XI91rPlb7X8Bg4a57oxf6QqBBB vcZP3cVmCmBMNtgp3iFf+0ONMDz9MkMdCgTacl1UM8B3x6L0Je+OYH4m9XjQwDBGHvTOjeqCli5D 9Cq2go7wYH4GNa9LpzkYBweMoVM1JoF168QGJ4NcMgc7KwIqXycgnO7osHQwvU4QAkxbPdUHTRDC cDOYX3j6bYIQ/BSbIAmmQW4M1ueaoNeQqcPzgpE3EyTTUFvNU2Bqb3IBtVFtgl4DJiygIUVH0qk5 ePcO2nIDzTX4FKeTd+kSxAMV8ENgrq9Tgd71fQEV6TlWSqhyUM0XOggsGZyl2T6vsRJmd/v8iASE qQGyQvPzGiphAXWumlmuyEzPt3+wq/sUYE1ofl4DpS7+H9oG9Q42PydRhKa5lFOhlN8J6BmokY58 YkIoLVjgzcv08xomdYQ50ruULxjKmQJM4LC2HstJ2z+vURL/1OkTxMk4qxbAK83Pa4zUy/+wEZc7 7TUVSm6rm5x1K9IiAQXNG5uvERJ+HsrKpZru4MiwjB4Kda7PoZx7o+gjJCOJJMDCIXRVO4yAwc9r gNTlzUolh+WDcDxnDXXusNZPvP0aHvHpbRO0dVqBp7Ftgl6Do45Yg/TpeZkHECHxBZSv2tVA0Uqu qcEEzWmK8sMHP6FP4HWiDxYHT2pYoMdO6NzZLhvZeGGCaw3NpJd9n5/FwGg2zg8Hzc8JGTdzRYi9 fF6joi428fcRjRCW7R5O0GtMhIO96n7fXv1evBrkcbGuE8gJ06xY+RoRdWn+IweZwwcv6SaQwItO oLL77uJDQdtn4urECrtbjDnbazyELZZ59mzKiELuRpLnBU6BcpM/CXP/vIZDOMFW+tpiU5JQ1Sbo NRbCEc0VBI0ln6CyrvYOb07ppQHlL2ni6Iim91dJPII4+BbrZ6LrkIQTeLObDH2AoX/oockSkgfX 5JS/BkJcpbJHm8UHVXIb6njWFcmf1zAIb9j9jCb6sLOS5DvstTaJi09ysooKpSmzPOufa7uIw4DI orTSgiM6VRkSjTt4Qw6KFrWKeUjV1EypNJQpIAmHuqI3T06GW/my7/OzSHzqkgHrVwYMOvhdKVrp eDq4www431VQ6RTYzL6AXiuSWLSDQRDdxiXbXFyYqNVWb1kEIZ1ULoIFZKC4saYPNkHtzEqmCA9R 8xlJlXjRGZbEi9HohcbRsum1GIkfYbPCjc1sg46gDuteHisnqvm8liJ5BN0J4nEOnTYiYhQlRmH0 kFSKxL4UEE0vRQ75ptMhnX0r0Q2jKWID7uQZzQeHo54oDjr9tLRou/CvWYWI1U2rHEy/J/pNagTh JmNlaNORsFz9zLM2l4rE7Wy4zzuHjudA/9plVIBK1Y/pdwpdt14UFJO8odsgQmed73Q73/1hrkax tMnJNO6z2u4+y4jqyoBCLnUICgWczzkEIaWNplYlFlntXPwWfN3gpCbAEuGDD8pcE0xuBdmtfDw4 qU2SUCf1Qn8Khgg2R1E0DZEUo70bOkCG8thpCdABraNNW0XBvII52kxXK8CvNniXZIIbPihvtaCg YSgK2AkOdPFHv+kGtZ4/7+w5xv5y10t3sClKmZTIEx9vPh6EQ9P3Gs8tVMcHqeLq9UWn9WBv0v2r iWGy2mNL6gVQ2TK5+uc7da5L/hnntBxSxwV4nWOojHNhgUuAlkhnERukHhT+YCpc8pdqs6Df7zE1 WjB0/qYTpQblZMBMEyJ/7gb0RsLzaPgyonYxCkX4z2yS3qNqQUMupIuTNNvda6r4G3jCab3vYTUo CVhHk2KMGqwz0uuGQVOTTBrwukNmPCjaQ6QPXQeBBSHHprcNjiNpfwm6VCguoEs/ZdpAII9JKKcF kyS6CCeJBSY4nf/0THcUTlMUW3cqpQHZb0fSzPMqyapdwsgoZdNIf+fNMTszT8vhg2dn65xJFWck VAkbUikWiVDp7hAGyuiJepubTkTvtDmeDiwSQTPDBk7TyZXPv81Gx0kEP++sOWQSqX0d2xkY1Vnu 5f/OmsNJwir29joswAArXzDAdrX9tT3DfyfNcS8Q+TakmDZuig/vaVgS0EUCGhBZSjMgv58UqUm1 5h5Keep13ycJICfAb3RuLz+3T4YJ5gUDyLw/75Q5rKVbJlJBYMEE895tUYgN4DWAAF5uhAuBi+z0 ZSJFlbRJm6Moxu5b0o3U+eDgMXbb0CVMnCPoXE4W+k+EikIW3hrFbSPuJH/d9zkCnAKWnyLMCUNK uMSJPlgIOf+bjwdR5F1IDIjQwEJB1yfpPc4GTIlxdm7b0DeLGG3VZOelgY1azNXynS2H92cGMvDH 2mCHEgWfyEtEc22ULiATmNS4jM5aX5ezIwO5d67c+Y5Ny0b0WnxQL6RCUY5IiQ5xnSjSpoYXZ6Tw 7s2JVevi9bR3shzOpCakd/VmyHKdHZxJOVlBDS4LNkthtE3LRvbjbbBZOpl9KdQNgb5HmVAhQLsE OLlOVWTAiG+Ff+p1o2lCokZisA12Jp3ImwdUJU4iirdzzd8JG1gY+66kd54c9vigVNNuF/CWuhs3 zO6aVnC/MyLvO02O201hUks++LkNX4tMlfRz9zTgbqY0UM7WWVRF3p6RZPD0+SXv99uWKnEmiz5l l28Elb6plnjO4c87S45J262rMaiCvDWU022Song7kykDLXMvHCU3bK4rNZe3HtmztneKHK9Jpm0S aUpfIk1QgIZPM9M2hkKJQFNUZTfuDVh33TOJtcd3ipxV91kzotw+6EBFSQmUSinz0aGA8k6Rw5p4 UtvE2gjUWsddSlHEPYu8UcxUF9zUC+FaXzwdnCBaSu8RN7J/0nSqOGc1eyc7AXoNgLd0h3ZlC+FE SPzqNOjfOW48Ofx9g1iJIBtIx3OAcfHQ/cZu1A8l99fnnSDX5R+maZL7MJgFu3kQ8E6QQ9QtcbRU fZpm8z4RavC3RTvckfidH8c0UPD3mnzwHQd5Jho1wuDihN/EmgAyCHkmSHxRj8DBNhRAeafHsQqA eBt2cT4o7G7Ikqm8O2f9vLPjWMr+DpUmq771rqUo6oZdOT3AfMetLwFnEmZ8LVkh6Z0bx2Mpi3RS fPBCyYSTEJ1/0e6XTBWwN/h7EeyATOC1Wnk3vVPjMEeMAhJCRxuEBcygd/L7Tnb4eSfGdWuxPrUk Kq+Ah2iTFMXcYLygzNyfY+mWAVAdtklCxd4mKSpoq3mYm8T32+P+CfAXdprUQEfLwKmDMw/NsATN QzSVpHWNw3vpfYNYqZIzD9FCGwzUjRhJ0P+5Pu+8OExyS9+HN0CuveY7S+9Btxw1SUj1zGR0p8Xh 0rgyXysZ7eSdFdcd2tZohKLBZgn0NYg3ZxMCXZXCsuhwnsMbooPw2L6NtaXXDaqSQ8qyq/qgsxtr UGjJsfbnnRTXhUq1YAnbDfZa/ZmjMOiemqOHIVCvpvysy+eIBFudSe9B9/mNCYLolb1PDt57nDA5 giTMmaMCJjXNdidSgsGOMsTBPXsrQ68bzJEmBxcJqV4nGOAeOb9hkzE7ejCfd0Yc75fbwP6RkWwD OsImKSxub+GzWvcUd1gMeYLuYkA3UJybZSbvfDjcPFSTb+jp2mCTNAp0Y7GbYLZbepNJ6gSshyho Ce+7veXS677vtklnImgJ+KBzG3TpYsdT/7zT4diuz1/ZGx2l1vT6/zsXjpcbDYlTdTjb3o9YnFSr gKwDBcI223vInUXJqZMCxRos5B7nsl8dYhTAipxZoZgArMIWeobzB5AjF92lmuU7EY57TWa7Zfig xGQjPmKAeCKBzzsPDsHo+H1sQ2Km3WP7nQeH8Eouqd3NdtFPewR3hwcAlIdRH/vfFe6ByMOGi7U9 cTXFESi4ADCENIxJZwbRAngaE/ZCY+jzzoJD9RXxTcKB4IPmCABS/dnnaPq8k+Asc9IcSe0ZEsDr NiLfSXDd5FGALN+Xh9O3V0rUU5LJRfU5CivcvNPQjfZBc8TwBbER9tq51TLFkrEdsXRgygocDnXi 0OwvettgjqRSldj0T+napK6Vk9wtz2/+eSfA9XwlKQyFU/Sr+RRF4XYTnbJu5wluCS3ImyBdHT1c Pdxq7+w3NsJo/VnYS+Lgx9GEPkrlVgNLeiswT3S9IO1vPUd2G3rbIHFrU5W25oP+1rpgSKyvK593 5htP7NsDIPoGmHEgVGyOolib4SGYw9nnaFuxG9Tc6nDt3brBtd+Jb1xGgwWS0n24xdsFNhilrScx SKLIITjrk1udHmBmIp/0ulFGQu9PA9cgHhX/qifUhOXEnMvnnfXWH5GqLqw29IT6vAspirUpzQbc VXNM+y7TJqnnR/MMwBstpKjCTbQDuKXFB9trJ8FvEIHJxP6fi2TRH7xDUw99t5/HH72TvPzOd+ti zcBHhDPFwWpJYGMmnsKjfN7Zboy0PYZkQUUtrHsavQfauiduuY3/ONfNRsa1kzkZt/WS3rlu1qiB e15NPthW22dTJPqwUrHynBwS3QVpt6GolBO1GNUoYTbyTnXD1anwCA0FG3QcdeDxWbc9IffnnenW H8FKRUeQ62xjegPgnejGFpDOoO7G1jKOMJWO4if2QJVLJ3ZY22axrTWyljlYbRs84pPuyHIH3dZF vXnqTiwsnwJSoBMnie97p7kxLdU6EhByTC8kwQJRzf+F3/E9zEbf1ueIulloewykWTZJUZxdpSKk JcPcf+zrJecuM4Rn+7UWFrcZHlUhkaDHgMOBf/dCexMU5jMvaDvy5MJOLj8T0eNZB/2a7hBz/E5x Y91Lnf9NittcxqYtA/VgyvH0s04/7xQ3hqCe+/OEhoHu2E+AFAXajUjalW7GRudpJ3Zvb7lRRUqz FFa3KRvfmigR7VIicJyeOLup3EbvbNyBJVPEN6EKU8Cq8zASlcHPO8HNziRycNW8pX0np+nkl7Ra AfCBz0dgtvG14cTYKd7gfie3ddMbhoetV7c3USAMkdSlVjMpO974nduG988CSuw7XIJNLTD+JA2w pRO2JyL9mWRm/B8VCYrXkchte6e2den+Ujeo+pD8bqMj1/msnT/vzLYu2VGbJB3dINhUT9remW04 lmgmT8cp042HubpZprQkDgnWEgkRAEXG9W2m/YPBEgfHtM0NTZdKp9QzC3sx2C6ohpwof6KEfzOS QSOCd2IbDgjSbkum5kSRQjQR69D7IrHtHA6fd15bfyx3tZIy61HlAmvfWW28t5s3b2GKCr4yGkbg SZbF3JztpAK37oql9E5qIxyGQJJEjjKHiyeBuRGFFFC4PVkF1IjLOc73D9U5MirT1gRgh/3zzmnr ybg1E99lg3BJafVZzXxnft4ZbWzZeQwgWRhgAKQNwEkK423meSwX20pqxfHrQBT9SAEOVWpbSVF5 u1URRSQAtx8BOBTtIAHBTsmskAEDvnYM3P+oCJMX8yRu9r7B4S3ZoMmim6zwWCPZS1a0QFny8T9r JHiO4rlteqvknc+G4EHiIcLY4h+7dAEg/wYx3R93Jm5m/fFOZ2NrlGd3UTRQ1tXqwqrZMErFUup9 QgCVeqdn8W/m5PthcfO6+LzT2XCIVqJHK0WDOGiSBuJtZhonjP28s9mss/ndvgU5TtYDnKSwuj2U i3RHAaIf7cAbGrMou02OZH8ns+FQIklkJGoncPAKwDlj5260bz5xN4CqtJoR0AipIHJrS29VJnnn sl2wfwKM1waLuaGLL43h1j7vVDZrIBg4iSsp0yDRg+53Khvmlot+lOmdydovGBksg4socSmOdyYb lzK5yKzva/BJOmfHiSgknHDOuQUwEmYK7ssV23PcmFtYiXceG8skSUj/7YPmCIQXhogn2RmfdxZb f0hHytxIsEV92aYoxJMMKeDUC0fmYagpUmBARSXYHmiKophbm406tjbcQKnALX3ScaBkCbqAtU3P tJOjIKX1kFvLKKps4wIFEFm923Gt90ASZ5APBvbnnb/G88yTW0wn5Kb7zHcVRRE3zU2A7OwX43Zd d9NevoqgYmBTFEbc5I0KwKbBUreOuljrXN99lrOxmkxn4VdYyPK+ci5U6/28c9e4DFDRbjBns0FT dH5W6QieI3h+3qlrv9Bb3JaQ4OlQ2bM5CuNt7rRvrvYayQoASyW4QmINiSOdnxTsNLrJd9UlOXj1 fyE3lKPshANrSRJ9wQEFWBIYCuZyCSw+vyO4/bV+tmpu2ysAUADvzODrCenxeBBH3qJtUcxJDyyf ojDYJr8001NTJ3YeV6yzV6/9j9EMcduiujYVJE6QzWCbg00RyGsQ785Ck8L8+xrONcqnkwdkGpTa alGsvRg/ZmwSG2wdnWlWBaCVgccDAED5riNt4uvuMupxqJ0edJsKJumKSKzmdST0gYxe8x5q+xzN ScY/BzuN5jkikIWQyU53jCRwF9mHaHYtB0mYw0APKZBDyygNH2wZwRWShaS2+XgESr4YCerc4FKD 0bnNURRpU+usZF339eGwYY4KxTI0R5R54BxFSJLJ5j+9NZZbbLDWBlLWOTmo7QaDYxSXSLc9X12h 2gqL+VsAoNBGjwrblQRRBP4+CJJ0PpW4uLN/TjrSo0g71e9mJFqDldwozVEYaDcJm5db+6dipGr/ 1GoyXyb8tjiye1TYHlSWqoMsRw4WQp5lcg69Scl83P/DlLuBl2ONDIJst2hLSFKP4uxJaY0hvtZg 02ApHUG/nJDbc6Hi+YgM6ekIi1AQ/AVb1PP/HtIhiQGcfXfL/1vuxdBtSwU3oNtqdx2gHkXaStpK MVbbVYJHsgPlEGizAOMK28ZJLgn4m6mQwL5v5ZZa6/iWIEIifLt2Im9rpzUi8n8o1rIx3c+FiceD czvd7j+LBQwgsx/c/T3UhtorW/57ebNt0pldVRJqb3MxlT6Mvd7DUNsSkXIHxwBOgFNo346y5Do/ OqLIjut3ArJoevkKAFiW7FF5e4msNSVsM9Hm4DYBvFxOtqPuieej221/FQAgNzDGxSX3KNSmMDNU I7YDJVuzOLLhLX7cfWEZJKmHzEi7+fP0wW63E2tv0Np4clOkmlqLA7XFCVkPyBXfU4lSr/091j5f UkUkaRLgAn6LETLq75QF+YEEDJ4Pdtz6niT0klCn80kKC9ydet2Su8XW6zRvpJjUpPmSfFKBtGb+ 3+MCN1ltud7B623whWtd3rtocZNQkRfkPDYqxQWQ9yvLOfW6USWpsO8/DcPNxg6XEmad7fTZasEH BMFk+kWQPL9cByHTZuk93kafj5KlkHPN2m+JTZFKyS2kT1QnO0dGUympR0iSRLuqMpm8Fa9f4AY4 JwmatVJ/h1zEENoG3acJVGQaVyY/UZOzRxXuShJpkckbByEj2tmoUo48vygej8Bt4zsKQMTf2y0l 9Qi+3VlKOj+uHCzP4lnNS0kDUurSyE8AclKXrEdIEiB0cbVVXXSSJuDffdKPE1A2IiXP3zLRUjiT BI7tBji4TBZJpopz5xl8SVBJkodAkaNAcXPUCUkvltRrW3w8wkne7cYLDhY/ncatmqUw5u5DCi3T Zmmt0b3gBra3ZqnhAtWGiwrcanBXKWrUL0WNEy0hl5tkue2JU4ptAHDooFra0KGeXpYcpJP2CE3S pVZa2x3UUkKtSh6ys0w8HqylGywtzhJCr7IvZTuKumcX1Ha4c85eyVUjthq5bANQJBqzNKICt87u USmvwcFrAFT5GUQlgSZZTaoTLiXQ7vtBhClKial0jyjqNl0EEJpsUBNgQNszq96W8XgQdf+63hrZ chcFMKKgm9YZZ4qsNgIq4B4WKe1evSa5W7MawIjL22q3tTt4ebue7ENgkrO+x4kJgZM8tyekOCiJ iZ3qWmT4VfAlEbFdQbe0I1CSGmonsRbPSBoZ7ojr27cJUOQcu+HM4FHACPHbSZssW0CJbti1t3ap DVrsWjVpRAXuTArXGlvDYjGC+Vs/CQg6iSiVoL+0qGkKDy4c2Ge7jQtLxs018B0BmHQItt1ssE7J +QJTSuznv/D4/6dT0ujhU7zJPUI8Saf8JL1XVU1KLkHCsMarScv57SOKuXUkDbl5DaGExFnHXkPm xkDpxJUg+YPivcW3hkzCDQHOFT3wHf8UAGjKdNlext+FvmdpMvMaG49HB9IveBtOmI6gwOYoirh7 ll5UcyDA+RsclTxVwiU5eS/rS44o4p5kJUPFwQcPJs/pNAY4cIi4Yb9FZ7hFFWQ6paP/YCQ3/iz4 kuhEQgSALIQD2d9WljxXnRiTJeP5YCFdmvsW8+scR6375TaikHvQqgbONc7gYg1UDK48u51JDb1Z zVIUci+5vnSW3jjYmdRKh6QGq9vUbkn0/QZI9ty/AD60q4W/KQQwQuT2Fhp5dx8UAUB+fKiONiYe /wt1w5WEDLevm5aMELktpkTNF1JCEwbxt3btdrWBEaK0ZETI7cKTqNe8fLCVBHZ1almSwGd5lkQp gF1h+gptOJSYL3C76m2jfhslEZdYbotoPxxIFcHA9vx2ROF2Mrtvm9rNDsC92qLytvzgVu7uqTDp nyXFFnJgBZVoLkgyovL2pJhNz1xNnUS5Hy2NQXlbepkgNHL7ZuhNswMPHQ2fIkCU8R1RhER2shhu hXUe7g9gMbkCIVbe8XwUbvuhLcHuc2iPG22PMNpeS6IkHiGhYX/naPgyQkXI5iiMtpf1PoYPlrjB gvGk6BTdSLBXAuYPuj/I9EDTQdR59xrDyBFF24nxIyp3Xk/KSRSAE4ctnturz4UPCGoAV7mlCJt0 fqdxS9wjDLfJllzL5obWgreeREyUOKVgp2i3hSVuyQBUgtw42IkEPXEc00hK4PFTactc0KD8YVGp lHnbABtfiS8Jym4E3awlcRJ4Lkp+FHlzU5S0c8Hzf1VKGJ2cQHuWK7E1wxp3pwCQeEnCclEsipkb G/KEk9Q2TVF6/lvlD6epDxZtV+w1yK2wD0D7+OKuFAwrZ3pAbsXeNshuixxMevdBxzYoAE3SfaXi 8b8WkiAAeIF2SwAzCrcr2ZJcueYAN6Znt40m9CoBJDK4Oz8p4AAIkTRZL+HgLTeAd0cVyA2NEqoF AAFwsttM7DhAsg66XXrdiC0pAXcp/+7r5NlGkwZCO5cqHo/OpF8Vt8Yf6a6jUJ2EtNd6LhqLtVPf 3rntOfmZtIujJWfMlWR9xASl1rwKLj1BChEJCMAkBQEZFVzwM26glUpa7pGX6VmKL/nnZpMgAAdd UuA7smpdTzSHxyPpjf19/wO0MNblb88o2qY/GRRYr+Df6suZW3N473YlxwHOsMJNFknb3Gwc/P4/ B96clZB78kokIU3f0A7IZHuQyfxyfEfUB5DcVhk+WCkJLTehkiC3FWK35y92W6PC+ZW5mVG0DcgK GoPF6tuk2fhC4jmohSQj5nNqz1D1rxNvm+XrnR9f75N4wit3V+lunGy6iL+d6s+kzvcc93KbhG/P kCgp3Q25oHMw2O25oIiDOkFr/cwo2G7tN8cdceTVJplheXspeGw3SKojP3PkHhwNM6A5ipAk4kmC WuODzRHoIxM+bLTgwMmUaRQAy6mByxcXtwdJ9KOcUayNox3VbRXdUnKnarQWtlQ3xvjMkCU5f5dt VQX2KYqQJH0bSfLa5bbpMdKcJpxU8esOm6KouM3osNZNMXIOXiJZAEM0kiTPVjrfNbnVQK5vW2j3 h9pW9LZR75ZVWwD+MRB/TLxtlVjIWRmDrxikbN1DbYbVOIj6pbbNkCNZrRfpYJs97xRtV9oE3naY OOIMxf+oJNXkA8vBy5HnJNoEZiFjO0ckGd5lSb2/4eRMT/l/620jShKVbaTwm6/CL2S7RRSva/Px vzBbMjo7azH3e6tFQBJq4J/4dl8x+/3oJKwrsAlzKJuiKNIehTwJyQD2dFskGfD/c9LqwD4LG0IZ OIxwXgIk8wNw4K1rE94+o0i7qQopLgmiFJGLCvhytBNi+IrnoxqSryMqanNl3xrSDCmSDCHbw7Wd KTmNdNdbH8k9+2kUq5KQ9yAjCQ52qwEJm4Fv561GchtTts6jBW9dAWywSVpFrxuFR/lbZnM5lCTB N51FpPOD4/Eghrx1tulsEppsapJWFGezn3buZrvAYJ26hrdtZbfMnK03W0krwpJIk4zefzb41d8S 7eEZZ7cOejsRkkh1Oi4IEOxMcEP0/xVWtZPktMsdDG4DVrngNrXi8YAjufvXZsubpYI7RWFVu1tx zdJaNMD8VkNf1aeoulDSisJspV+V/mMaPITMKAQQi5CA4cJMUA85AaIAi4tx2cggB/M7ouoIyRF0 RwYnGABLntlwkaAoA1CpeD7YayV/pWsTPNbePaddoaQ29Vo3y6s6s0v3ZbRmvQBJVPI1RxGURDTS LkFSc1aje2sC1jZLBBASawAYsF6LRV5Ac8v7CY7Ov4XviKps3Gqwo7JBkKR8Vilzt9YWH/+rOpJl MAGNpuRVthVF2UppF6WfdPU/c9Qfff/apyG2V8iR5EEEMTsf/F47GzmxXYJ67Vxb7sqLHXzgnduX CCCRbSuuaUtvkzhb7l7JY1ToMBIF2sdJ+1cII2l+sdHkFWCQvtPdbFGYDeICkv3ZrDgyebeYlNRo NklAp9kkhRxJimtTc8oGv9lYUiqapDNBBbqMqzTIqM0+3MXNsTZTr/uvVuQCdJDNkSIZQFTNoXfK 3TYznv+rO4LHCCPcxbk2K4qzExtIrH4bIqm6Ktk5E7Mjkpquf0xSqEcyRI1g5Z+DFdoG6sYLpQVI 3AKFg8o/rHGBSELprOTmXnclEd22IhzJokLCwp1kgzf/S2HYfQJWvmQE3PoOI+Fk3vvtaq+oqI2c jt4HxZqRhfUJrqQmQ0ASJMj24CSFkfZS0583Gwe72dihHbAyRObf+H+gOjKS+iknYSsXtA0MOV83 mKNOv8Q1bBBq6/yIaxCg99PPrOPxv662oiImdL7TpZGskChpTSRW1NiW5JErkzt4JWZxkte2YuSK iZIEY2dqt5TcrrRNK6PWc2ZQkATs/0LRlrRBI0GziYQ2h5HModeNAgCeSuBrYDiHpgBJA1Bn1oVQ aFthXfvOElfdOWhnuQXbFcK2uwqQVgjBwb2dcTvoa6ba/1rW+V9/1rWXDzZHZy+s83iTo8YGvJ0F W1RdF9pzpdCgu3OOcHriSwJLjU2n0iWJ2+WuAgn6Fyp5nAQcj//V+pez3TngHs+RFZMkgbeteV50 RK3uGdGXy5KiUG/KbSsMtrmOsgS38yO4naEd3vYqQmlDr3ISjkQdU2jWZr60RUlj6HWjKInpugVL MjYEZOvM0iDYAAcDHo/WUfk6kahuRxs0ztGOYm2YrYNo82i2APyqMluVNpnwth5I7n9TJCt1WTXc OVo59UY9kjNVg5ZqcB8Cs4ikBwYARu+yt42SNmq2TJVHppVHTuKfmjwzzzG38HhQZiu/sH+oIKHY YFMUEySrrFuTLaNBqJimaJpZMJSSfRnt0LyGOmR5pTv4FIFrkVByb1CMaL0zHckAu59T5Hw/VoBf bBT+3yFsW4UR6ZGUq0dyopctQanay8DjwcVW+tcyAhm6jaskuWN+pGRtRr0pm+tsnXM231i7uZPd DmPtqZStLB9sjs6FQKtjHUdUTWCVbbAzga2Gc9rmiJ68+JJgjrpVRoYPytmwUpPWRt94PCiQ5G8a CcG/jwDwDtmR5GwjJzf8CC4zP7IljyTFbdds2SF+JMvsb3QfPNY+y2jgGJIA8EnSCbRtIGBMQNkl IWX9I15rOwq2t/TsAAWzwQKk5kTbc0bh8UgluX/lbMhrT3h85yhEbLetnO1qtjD5IUWCBpYmtkEz dM5RjNhWKZvw/5Yv/J8c2AKDLh5HE1TtzTrbROqN9hraPVZnAxkE3xHlI3L4kV8UEMHSD+toIDH3 P4ngxvPBkZ3uOhKD9CxHNLBskqJQG7UdMqp8kta0UgnJkcMnSe02TFIIHyHJpkuLrH9rkXUI2C2J /yCzgTLLCTFQuDqXBeh/6QK219Db/svrhz1NG2yvnSuiiUaCMzs0s3nMfghbRg1p3+R/h5G2m5Fc UTsioDVHbLiKs5WXgbV2KPzH9hGwgj54TXtM+N4lwkfOsZWSHEg3Ei/wPnd6+tnd3jYi2S5ltMMH gbVOJtiT6myLj0cZ2y9kZIZN601rdxxmC3pUfBlRxNDMNtjmY5jdYKRG5t+O4SNT0Jrig3NsQYjs OHhQH4GwGhrfSOBPPomoCDJMprJJg2J8RxBBlvHWPIIBKIXB8TEbj/8pRcaZhaTeRY/ssKZNVVvU fHyn0fuE5MhJ+p4yEcannKIwylYJSbCI/sAi4HgFM6QpX3LQyDLT34QiINCbKJc4eGRPve2/yiMJ ygQ2aBWh0FGFZ68Dj0ciG7fjz/L3+T1mv2qtO4qym5Dacmet8rt3Vtvatf6410YxI4kdQUdmEwpJ QK2cn9bICdEHyzWwrtsn70N4XTZ+lwbc7S4eQZbEau0OhUi0jKxDUqyJd066c6iQZQDDKjweLSO/ 1QiBxCF//vCr/Z+iMNuUtikdJv0IebJtmoKZhTsW0nTN9hTG2VSRSiDR2+A5LbCDOdGMHE70e5JA MikkktgeAWEW/lUsy21744BFqut/iPcPpJQcaSauyiHKVp78gEj/55IkWSo4CeskgdumKmRJ7iGm djWryIl+BREk569iwXupYbt8qkL5PzlIUbZWw4Vs7XPf50UzzbTYeEOtFlXKs2R5Al7dNlYW+S2R 1maliy0gcRqSIkgEk2wAnAOKzwdZSbuSWxRuKR0br1xzkvQedCcJUOAKcxfbPZfJt8OX3twS0PNz 240UgrY1RUXkpPJtlwA3cnD9pQLUOqXJMX0/m4jovR8gidxZU4wkIRg50cwWK/RH1L0JlXhtqAl7 1hQF3uNX4A0+a0/XlyynqMo9qARYacQsnMTsbvdbi/VQTuTdXbw1p9A+sgiQTdlRDtZPXeCPUGoA lQB0LaXhDt5sppsxSAZPA7fYGwe3XSKPBIhpGwxzA6tq6beMwef/IrpjTmBC3Ntjv5Gi8BuaQYQB docBlnwrb3U4MHk2bwfkFJW6VW9E+9qHiwOcKMQ0TtT5QjgeoayUQahLKFwCx+91pUxeKb4maJuw yo2ygg9WNFlNMHeIffL5SO7+m6WcEZGuNZ8DPSx3EzB5ck+Xlqry22Lnb1IHDwd6kV9r10f9y80N gG0fvCqAg6OCjQnL33M2FdpwVWi7g770Q1KSFynTthcOUt6qeneaPgjphvqMeFw9bT4f2dr6cc6e SwV1E8ebT1QYiLOZ2wVH5uqqxumCHnRz0CQSDp+oKBKfnKjKWdDg1MnzEidkrVkTBdss8t3Q8D3/ AcqAcPy283znZm8chVGsLiFGs8GpXFv13JMx6vmIYdq+o3EEZRCt94kKxUqU+o7qElN7LRcr2225 xNSu6+69KB6n7Q8O/uWDTRTa9idmGUwSThBFZ4+z9zhFNHvNvKdURKFYDr8mInXzHB/aeyoc8ZAa nYBGCEc3Pv+nKZeONOjfPUZKKYrK6U4JkslVUKJGn5AmlHEyL+Dc3CUw0ixRytKSLEtdLZN0v3x+ bHW/FxxKxZ8YkG2C/uGP7LYNGzjtfYNQSjisQWeO/chNnnNWbgGQ++HzwdZ7oLiEdZ2FsvET+TyF 9e+dRZz02u7cbvGGgpNDKOGa7fMUkijN3I34rvwITgDPdk4e0FegpQiKEBspqMNC8wE9rpwv960W e+FYAQcw3DF9MIBgMesSRLh8PjKd+sYJiNf77LzQdXIu4U2Wr6c+Xdu9Ubzf6ikweDRHxRBw0oUU kNrUuGpT5SR2HcgBMrugINiVwoDa3IDCQdvxOnM2f+GI3k3FsiRZ5WRxLUx1Kg0gIf4x+XzUvLwR JwEVcMMbl7aUQ+tJat45Co5Np1lcCGcqaFB1Lm2fqAh2IoL3mDn7YCWDCY4h0Cay4T4XeZcRDBpo 1HajFK42Kc1Lcuw9mSV/b/FmctXJCoc6bryzUvl81C+4DUz2XwAWH/lZUGFgXkQPHN2rmM2US3CU sxpOoZeVrbKSQ//JpZVU5epO6KovqBPRQe2ImsGtouKIkBQObw2W0+Nrnkqz941ocJynpfN8sTaP wgGYTKxFnXB28Pk/jcwYfMEuID3LKSqIr82kuNWrrMwntJxKcc2g3sddTmFYLl/Fxe033a2OQu8n vDpLE+f4OAd5L1QxrwydgaokgcnkOdgNz4EHpVQVzsYtJDJxEERHKCCmAbny+WjbPdxc/NvAdKIn 7fMU++HIurze5dSteXn+mLGuttJa7kIZ2FAmEw6iL40NXvA9yQuUoZsqdXDoaCKe0L0XsWa+OG+p K+XQiHKpIA5IGgej5wIX1diD7ycB5/NBu/dGUKJ6oYP6+OIFNpQIpDk5IuiqeTBcy3yJMigNqjl8 28WeOLzhF50WOdx5QsqIchpKURM9KRR9KY7foYeTUct1OJMCg9CIMhMykDvJAxxU06xtTnZsUSPl 85F2sMO9iR0Eyn/U53j6yxSnZs/yUnH66RK0CdnqgmWP0uHQilKA76wsL//K8ipgzBDqwe/QoGJL m2WQmHGBFJCJvGanWlToRSmVJRjH+KBsGJ1kSXA3rvooIt93nrKK6ZAzydfWNLCjBC1DIhQ1/bjT 4vCJmssyGjRa2p2o0BonEYmyGUdx8JC8z3mWDHzoEuLBAbIlUBfnJ5lA8D/1lUIoNL8kWk+N0oEt +aD46QQFwr62Eznw+b+A3/I/QT3ma9+FVfIhvNfDQ23Z+Uz7AaPWdZ1NA0fKZNamtHOxwaYJBQLY prEOBewgkmBU7M53A7P1U9OFNaGQYy8caOTTHG/hfWxQLgzeg7BbqxU+H5U2fT2pwA66UHnuu7BS TkrTdttXNjd9Oe1mZHDsu+71utiTcsjYTKpd7VHtggVsTuwAAxI3mCVBH+c8sFEbBjP8vxKX0JTS rE2qyE3Vz6eTzo5M4kI7PzWfDxKX6iA5IljhRvez9m1w5tCYUobCm7V8wVKX0StxQjWnowLqbrlw 6Ey5aKdci7p45VoJJTh1V1CaKQMH9F4i5BJe9Qsm69D7MAh4bs3e95+dl0v/cnGpbnofPxA55fOR oOCvAIrSDfOW60JrSnTTqG+aKLKEwKCadHelC2k2bRPnNuXQm3KIsjMJTeFwF9Q+0wZUMJByUI8g emdAAnCiy9n7tYJd8vAOvSmz5ic9g4p1UHEwL/g++XxQ1ay/sCkDoMtyz6fYm5LH+JxtWGWlzeYl qNR7v82X7pWVwJzSVxMiYx98mgh5AgYL4tTnPmqDYkInHPmh21OGiJadT7kme+FImIp18kkseAJE izRJSIyOIpzzidL5ARGIJ31NVGeR4xpU5MCdEhbVWaJLw4CXcMSwiapCySUWVtCl1USFcHAaeED2 ywev1XWgMdDygj0l/n+gFQCCjpujV/I7v268bW8cgAsy+btopnEY2kBj786f+2y8rNcMKga/CHMk KDww3hw6VEJtLIOVYplLOeFNssyFdAAlwmvcClRgUSmpdUovLB8ubG6gUy7V3LIW7MGAU8Ea64U0 69xv83wue+HgJG8y8hLuCSedpCXbQkgr8vKa/ICoofDLF2ZTWehGUKFLJSDxV1fAKgZPqS57CWoW 18zJoU3lMiJ4Tj5YSI7oRpJV54RqKDqBhHECqQo69oYe8iUYoLyo9/2n/BLUomzwyooOt592li+f j3Sqf5U0wWfo89J5cuhT2ahUuVYyOC/USB2qWveXgc6+CyoUGGSVfGDmbbDKyjkU1pkcMtVmbxOw UmxOZIGYOThNXP2lNe19g/4UNSrZO7NB83TWUqvyw6ubz0cRuVfqmkqhPzL1s2mKauSoKhKyUi4e 46oMjz68ANW6wwxz4FWZTDyvFxagOPhyguHbyLSrWpC7SaSnDhiAqpvXKFhFAlC2eQpRK42opybR SpDD+Pc2KBqx6wLaMJ//C/hMLgYoRKhC+jxFEXkfSly6z9MmSFCZcB1ufTZ44DMTDuwqISgmSu/s Pji2B7py9PGmeg5izCxK7wT8CZM1/XiSMFwO7SorBZgg++uDKisLGga6+tBCD/wqv/U82MUDIG+W rzjzPSK3Cw8A8Fsx2CYJg7u9JMeucHtqnmI+Ztdmqj44jH5ArRhfgQDqJHaVlV90vE96BWE+hDWo LZKQUeyFYxw9cCs7OXxF6+m8ocSf+olD+HyE8fm2GoB1/QAEy+YpdK0kdBSVFWNk4qTyTsI53B3e O/s2jE8ObSsHpU96F/KwX3nvMzEdfiGDJmj7xCyytk5UIC48wm8BSjDxHPpWqrACOR4flKgBayXf ShbIA+PKXwLfhfsOR/m1isuhc6UKBnNvRx3ipvKKZi0eF3SctDZPUUC+5Dc8ZBM7HmhmhT54R+gJ +RPYMBPjO0nWGVI7/CKK+wsHXLEpBLTIh+79XMqguSG/cG4+/6elnprtCCzWs6BCvHiSxeejpaeo iTzonZy+Ag9Nn6gYMM4uZ9l3cMB4h2Y8yjQNPGs4TBG6gtiJWkoF7DrrdXa1pkILS+Cm0bxLcosF anSqBnUuD8aJ8O7hB0TCjBejSfoINQDzExqENpadIdSgEL66nb06Q6Onfbud9OzVVMVBOTZdzsTZ cfBcGBDxzaomWiOgVkDrm7JDmSLQJztCua5+NdADJ0uUkbioJLKfwe/pRkCEtDTn6kTG/ICgbvCo D7O6B30GFDB8qsKwfBn+sDnhh6bkkrJ2zwYYx7prTA7cLJPDV2q5gxeixjmQkhp5cOYqKgAX5MPn 7jsHdxrX8YNdJn5LVNikpl4njpzVd6Uc6xyDldiicwFOfsBfOB/cy8Dl9/k1UVFYnonZbLyl/iM7 N68AN3UfWdgE6cUSvdDUstN/sQzSxzh4Z7gBHQNfBqypiU4HK8AQRYdyw08mEtF4P8lfOLr3RBzr xQdDr5yUyWA+KLAEtpbIE+tX+lJoaX/FrHLoa4kGLhRjm8l+FMrfXNmPq9SEHWkLKgKvyBemzj19 8L13okL4f7DA0s4Vi2wVdaghoJ1Rf81od/kLx75fZLRUH4z8kwrb20D5DD4f6X73r0AKzYQOLRif p7BQjj8bkgfKWbBwerd5OmfLpUgh5rF5CuNyQRAX61GqUGqeIDkEBAvjKJTHcI6e/0X3pFSwd8az 89Ze9sbBziP152yA5oOOKGCH5DFwvpvPBxtvfVdYEN0BpXAnKiyVM0BYc3iFZa1qFRZoCA6TRxub C4obLwzMufFqVmEz38Imjjf4TySWojaan4ucRJCANmBWJ3xKFwylUnlobznE2GxyuWzDaNLn76hU vKMGNJ//i04mfB1sEcZXxBkLpZDfSiqwsCudWnsobXYTIUamV6fj60KHy251k5x8EGTzJEKARcNn 4RxNMJdBMXHlSmmXwrJ2a+s2qfqyNw4ihIIcbyY6eHLQipqt1qZ/3JPPBwHCLUUJqg/fnXWLm7HH 5RJs7LEnXjfkPCHhslTvbBSPpAKTSwhmINacgrjOccVk27lQzx21KSlzTvha1Wev4OpV1N6UEcsI lLT7HJhcegbzf0wuz28B9fsfxxoELpfyW/2WSp10DrvTFJfKlcGs6RW71rxyUCfjdfWGh0MyQpvL RffdkeUGnq9dGvTEzv9gi6OH3qCWRjdwljdo6oN+hWV6Q0FU4HOpyPqcTEulYPRZBctpEEBglbBD wDmHTpfpgZUrkAcraN0jKrS6hJoita2X58Q1bV9QbhOG86Z4jSXwunQLnlYpwMvB0XUL3nu1yVu+ V4AEpLx3fk6q8qCpYKCxVOx9IxSiTqhC8Ar+TGUd/eRGwgqcdbb4AREMMX8dUTjK+xdeMzC7hNKl KB0tea7XS7UUZlancwK9cucpjMvNyNFVQS8YCrz7ARlQ5sTQXKL6bAJNhLVi6LdY82Umf99/9aha Snew5iXg/rrxAIYK7S5/y4JQxpc2HDZNEaQcikq0l+neyiPKkqFmEmkIIVRHMVihQex3udhDT+oR pyeEojp+36YIWiDGR6A00J0DdWNWvF2HR0300PASywHS+7Qq5mCgDAjPcCeNWfl8pMTTvg8o6LnN p7QZOl5WaoL31EwUNHdWizlPLTUvsSA8t8ggsLy8IRSrPBqcIXSyqER0PbRBEo6sTAle1EI3Sjbr G7yy7YUDJhVrmjMTmzEhKS5wNDoVEgk/IVrjBwQ14HTF05noQAjgWs3nwPfSa8Ab82ULigrbisnT vKyXlLxJFRhfgk4icoJAGeuCMs6OOJsMPmFqUg1kM8yHz1mzcVvU/gjNyUc9B9aXmWhLiDmu5oNC KDR0JNZUtp6P0FDfParcSZcYz0SFmoWJnPz0GDvtq+k889V2YmXBJipCr9QpOJQ0ZNZte558D37w WaR8wBFpJwabobOJ6HHUrvv1FDso8L+UfyRCgt19sA10Ui3ZV26QgwIDzLOesh9QmFSQMgHu84P8 8xJAIS/8n0k2NZUJNE1DshcoGsxkfqHkL1ru8hI+Ac/6P4udqSYRdQ4O1dwnE8Y1h9UE+EpTCx1W FUMyx/2hUCF8egme+K4qqVRyCjTYGX5WsnjCbeHp/zNBfMFtCiFqkmL/DlFdGLFF00Ow/Ul2h9Hx NrUeSHQ56ykzPK8ouvj5HUzPbGbpvHzwzZagvo4Vg9N7wwuGQnP97LkBom8GW8UMHZZOpdf5QbtF 4vL5DpqfOpoKbCd0xdNv84O2e7X5KdZFBn9/2QS9xEt8iujUjPKZb7N+0U9jXueUjhCOE/QSLWn9 yGM2Uf2Sg0UB5yA6Uf6q3GQngxubEXohpwUV3JUefVlCx19iJb5rkXZqlzZId22QMvEtpPX03T4v gZJm16riVjZE7bJ2+w8+L2GSrR9Ceah9o/Ll6C5UhDPBy5c4Cjk9L0ESP0hajgDR++DbC2aLnVzg Mz2Am9LtaqFGRwdp6JdY1M3f4/MSIvErEPyceakSdIIcvaAS2LYqEZyMLH9eIqRnq3A6FAxN1BO2 zc9LfKSHBo+fZDOBVt1a1oKqZL8p3EbkJ3PZYPmIYV4KVxEHh6ac7KyhkXaOn0axosFSJRU6IKj2 A3M232Akg31egiMdQILuZCHCsiPCNjidZIizP/4SGtnT83uDIbkelRLONCINZgj4X0o59FsA7+4p s/pqF0NQ3DY1OoHEsMNvYoOvIHYKeFfABv4kJrSUgZbaz0aeWJjcWi+TcfZLVMSXBW2avoR3EMSp gE4kK/BVPi8h0XMXPSf0ed8xh6+gl4BIxzobBNtVdlABuEVKk2/m/NTlbjL/PKEhQOGDraAlOJnJ yoBdjgjzJCHnw+EyA1DhvFuM+dpLMKQtRg1Y9vYwgPcg03Pop0wRxWv/vMRCOsBm/5og1HJAZrQJ egmEdELXJK20O0F9+RHUtx1M5/AHJV7KxNEJrbKHfIfrftBf5w85gTBaAOC0driNUb8JzcYNnQhm Kx5Yk0/+EgXpR5gqjFByl4MquB362dYNyZ+XGMjesHwd0dgnvS9fQC9FSW2warAvz/jX2vWWkJYf QewwSictOKLV/AbK0gebnwqM6qj0tsQOAy8aiQfa0ZuwYNhVGTpu0SLlpSCp+aGS3ETF0Yak3BWW IvzH0vH0+/x068INavQBLTl79vl5qUXampUjyrUiGM10riAAtttFCWTjX7xUIvXtXbBB+p5xuCEQ 6kWgvDQ2XRa8UgF5Pt+8IW9SGvUIhImjXdNLGVK/wdbRzPQ13fR19QGq3o98xD4vRUi7wjwEypJ4 IkbBN9gbg053c9Ky6c5QWXX5CpruCQ74IqrBYhpGU8TcFVZMPng7KcFMZqmq3QAZJU6+onO0G527 2m17zyYhgmgNEWcCMKIPWkPUXeceO7HV540+p0027zXG8hJ0DABTsUmKImn2oPKqyW/6NpvfY5tW 9sJ4PaDBKJSWRhqxNzZ4BTKxI9Z5DjErRx8XtU54yKKLTwyy2riw2OTrBid14QpC+8cGpa0QLdXi yJWPBye1kZ0Gq2roPcAi0efoPZhGisIOLlkdwgXUmR2QowO8igR9cXDBHG1Xj88+OL7rZAN9orpB nf1zGTT5xyF3yJQjvNkGUZ2fN96cLhYSnBJI1DZoihKEobiO6ubjQThkzVtNEWATA3aJNkVRQF1l Q7hL9ilq3fEA0DX9MRk5lLoNDxAc113GsY33fW3PfX9yXXj0ETkBrbTN7i5QaxNawOfT8pdms0Df 7zF1IqNjQJM2+2BQnLEb+9Pwrfm8ceYsPPZlpC4tbD/GZutWfYZgIXVqo8Bj0mdptv2SdsABxKqz 0SxR6mOLkrIfSkqDLBF+BwLgoKRPP7STlsGc8pxMP7jdhBMUkvTzRpizA4m+cYVnd0XiWZ25A+Qp Dplz6H3eCHN2Q42vsAj+S3Bn89TsjTBnfxrZOneSMmChHhjN3W9gNL1t+x5aIzkToZA8+vHw6CEX NIBJWxLaPXfP3OLLnUmCqBzAmre7TSOiN7qcHQ6MiRaxzBw4SeBf0cjlTHYpnze2HN+xpd+TdLbK LPkeSWF4LWlL2cYJA7C8PkTNT8MAoOCjSYri6y6ZtN7vcKFvaEBSFA0GyXAy6YJ0ZcCKIF7TnjMp T73u+5nURApXKpvVvkA3BHqZFj/m/XmjylkK/F0jwu82cvEA6Y0oZ7tUqjoGCqTKpdsRjJasJltg jWdzFIXYnYymliXIkB9BhgZMMtYIu9oZnEzUrjuEkmgtDxse5+sklUbfY2x4HmAF9Zxl+5mN73wC vCnMTE+djwdB5L5ZGlUuzgZF9uiT9B5mgzSgQtF8aJcEwLMe2/I2UtOZOdcAi+LsQUr8bJwkDlYp Ir40mzfquRaG0zBg4IiyGrD+XrYWhvI9znZoUkPCZYPaIB2gPLp+dQjrRIH2utc/N1uiukC5cxSF 2r2zqZbnLaa1K5AOvUgrptHzXHMUxtqqpkEm2gabowkpj0Xn6LQ6Ls9FOV4IbwK/Lvjwre1PvW40 SQSYKkZqN0YiAVInEtERUbSdi2cj7OcCPyIxOdYbo2C7DuX70+mWu3cvOK5mmRuutmGWlm/cOJsj kk4S7Yg52IG0zhbbbHedOPIk64O6SAX4PWD3wHLeno9k+ILwS4JTW5Ak6IbZYCTCCoQSvu+s+M8b Ne6/MjaWRCBrjaPY5iiKtYlnR+e6es2obseXLgR4zqeoBpp8I8ZZkLRdfsIGO5AS+ly9EkpWcO2j UrOgM0oDd+h0g8PnJ1K29w2ytplk+CUBFLiEKCUBYJKVawhPfN6YcXa3fReOYIA8JCLPb41K19Nm KV+HFDb91ErLVyAGQjW2kt6jbWT+anowVmp53FDy3HLIlxEk8ebsINmdzBbyJzkNsU5uLElI0eeN F2dhEjERoPeSP3hOhaHLDbaZmKVxosnPGy3uvwJuoxcgjLv7LQy4F8+kMhz8Ds9Am6VO5wXrzFYj o76R4vT9SQlbu4NHSWfiMSnM/9HvRSkEUvtIAdGEhsD0uhAbyp68ceKsAsDbrXHfcVDE3SFDxybI +QM+b5S4/y4BkMJE+d5b5n+jxFlSXH4XSdbqDrBZtHM1IflpJYA3RtxXCeBlLVFRYGaiRjaiJiIB SofSycC3ocDgZVq5Nr0R4u6Gw3FElUsOKmTnSk86xJJpft7ocLqBf11vOJVGrbdTFFay8Y6gdt1K dskOGamStmRSUlzI440MZ5UkSaGJrlseuu658c/FQJX0k55DUn4TRoogJYMswPRUGtc4upfeN4iT imhL0s+5bNd07rpNnvzJDNbnjQxnyz19X29AtFXSdTlJ7/H25ZqU5nj3weRJxp+j+aG00OrXJL3H 20rPzz6jvreGW46EKRGEyRq48jC1ThStYrkWEnpoANyO2tLrvp9JSzgR1FBt0MG9R2PogsWxP29E OP21vzI3oKXpQmpzFMbbRaSuWnwhte2lpCl3Al1vxYw/32hw+okJZptKXWe+glUwuMTCymRVAvgA WSCszIUaAGRwcSB64lbsdaM5shBAqvtse/9IcKHPqdtt788bC84qZ/1XwA1cRlk3CAjr2puaHbNf 8mm+5NNZ5vaqZLsr6T3ghswJOyPmudevPGqF4/uZtZ2UuQFXITkYoEBRGpbgvhtbLr1uEExqloD8 tMEqJSd9lhb8uU4/bxw4PX6rkiz9o0Sypmdubww4zdGkE0Eexesk6bZne9k2R6jU+7H9HnCjmICz aMtrbz9ee1CM7ZO+fcCIgOc1ZRUGWBvw9Ag6XGuXKpZv9LevhZRMbmFe/MPZv1Xqzedc+ryx355U /rnakFn31j0AeGO/KbiS2R7cO8wAhGhr6ezCoNvYb8v9CN/Ib5bdYretRFMCDjZHKNqeKBL80ATG 6SrMgzPNN8H4Jo7G1LzwjZ837pu1yFhLwnvZYIlbpwotPRvL5436Zsntvf6ZCaMICAidzVEIE5kS XDJbPbBwmkvs7j2rVyVhKKI5CovbSEoACx0+aI76WZpnVbEacdboOWuz7UqIu8LsD85gVIdDn7/o bYM5Et+0Kgiovo5OYt/FcD25HB8PttqvSlJhX/TWtt84b1pGWYD/dsmmbV25M0Gz2GbryW7/N8qb tgEFHWaq0wc/jlCU7FRrhljH3GgZnmXUEUGi/8y7387sZm8bpG2CioCbaoNi5pNgtq27v5TPG93N Wv2+jBhx4ifCRWpTFIXatHFBhd6mqCSRkRoJXMuF87jMiNJ+o7pZEIljaGUikFfOvoogRDWIvKON TINEi0hxsBYCxgYEuMc9Pul1g3ykC0ejXi2FUHhOI7RYaB38QIv288ZzsyJJ/wqQKlZ2XxdQE0Xa uwqRZTITcJLvTu7uNHQVlF04LayjqLSduDo2jT012FajuUgjCjoN6d9QXw80LNrjPcbonYzlN4ab pWyLFFx2tZtCa5xGbXeRSdr5gM8bv+2/4mziQSu7V89Wew+0JdOC2pF7EsA7yLfazuli2FGW0hRF he1ENN/MAmXlB5S1ErBY0/b+idkKwaS501EarJ1EAUb1SJiMvLHbdHWu8nbzn8QG4vSYpHPgfd7I bVoQaX4nIwgfx7zLKIqzu7raBIH9x9yt08+1R3W/5pW9ZvtGbbtXBlQFKEDJwVttwIjAgVi3GtRe 6NdMKQ+0SQo124wrSWTfG7PN0lI2kBpPbg4qIxXRObEu8Du+x9lIT++1Jgk4pIzNk5E3YpvV2khD ko0Fc/89PYQcKfskgTtkkxQWtpnO9sa5omP1OR1Y/B8bJCHWIwF0XbS+Kux907OpQKLIvXaINX5j teUHwJag1oQByBxukTHQ96TexDox5BurzW7x7wMJjMGxn0mK4mwgpNDY3s6EaLt7xpbq7bV1yvhw ksLCNiuRNBiywXcbYGw0o0AZ6eT2PNiLRIkSuiMFkkIeRWKbft44bTqTGBrh9OeADWt6UpCuZbdt Zj4f4Wh9vynaBrV7oL5o8xTF2pOdnEKGlPwaRKehDcFYXkg6O8NjpKi4PSnuAglYHzz9H+dL0Gij HPOoKPqgkNRoZANA2clRyi0kkdL2xmizgEV+3aRqZdfoxe02xEdD0eLzRmjTS2Y/u6UkUC6xhnjI KNrufNUJGxAXjN/yR8GWm+oGnNUEuF4hIDLGkhDIptLt+CrdDugKb/r/JNyjqxNu0/AnnnNqwvbu piSDDgRvfDadENRirqko6i7VGMajQ0SGEXSdnzc620u/DX4IkBf39vYbnS1fJOXJOOjwVURMNuW3 sld35beKZKBiKb2x2e5mOEnsYijAwaH94NURxIWG2x4A12KSAGPZFP6a6fYAiGT5vJHZsgNuoNwp FmlxFim65iKitTLn543KdosUX/k/LgwwWXySwoBbZNtViq8kcbESG27Ldd/oC6+VFJW3m5oAg2JB HBy4ddYkiOBT/p8nCxq0JEbediJK2PjilrmZW9f7Bqd3tbr29EGzhNxWisFz8/Go3La/Qm6Ua+gf ZZP0HnIDPSrxc+PQQPz8ar5B69a7kri4WEp6Y7HlB4AMTq0PDq+t4EJioYF0v4mxpbn1WTsbeUam rreJMG973SAMoPBUkWBJuYIlc0Cvh3CSkxx93khserx9d5NgpTTmPbjfOGwWPAxpA10Jjr3yhdws l+CAZa4O7jcKm0UBmJw5uds4eFqSxpk0ajulc4QDa0mjGdiBdIT6GYGaZbeqkrwx2KwCwOy2W9XN ZfRrS0Vy3ie/ap83Apv1D757SWj29vbA2KPa9qSkxOjLiyR1XVrWYmphWJJmQcAbfe2rSzI2m5Mc bI4gjnsy2SGa3zq/AnwYykZ9ZIA/CJKBRdxCSbyR12yKyhfSvzoZEpnUFBmy7/F5o65ZCuZxEgMH BLjl1pHemGsGAFgKs71xu9ZwlQTD2cokFfEdpyiOuBOLbDyWOHic1CYcqDc13tgpU60NGMWGlBQZ rQfcWkVRYbtv3f7Eb2UXrIDSXiaEkbzrzxtrzQ6z9LWK4CLf50XavnHW9FSuEtyYXo4EIkA7Ldfp UO09vSP5RlmzUpvKkaTWcrApwq1zPpQK3qNNVHymGk3osnXO6D2MiCN9Y6zdxGucHJIoAA6aotUg Lqsy0vy8Edbsj/VVRLENKAyN2x9pYbSNhngRstf89parwVvTljWSQhvZzk8KNloRfmTdwQlH51DL s1AX8GRVifKMRCyjYgszKebhTZW2pLcNbn5KcJWsahKdAVlGgjGWEBLn4sTjQQzZ/SwaijfRvLzR URxoo8+W+6VmbzdvPjs8XU7NhHqAODVRUVuVWrRyfLjtkQ7KMova53QDVoIOKbj2GsWqYJvpqpPa aVGcvRhComnggy2jCgVhLqMy8HjQ+7+lNjyGqjpcDpxUE4bZSctoejVy9eu0C565LaOF3SFWzXuY ff6bYfYWmZaDF/4rO864X9NCo21WiQieqKJDuXWMa8okS4EeEh+nKFkEJXGwZdRMhLe1zccjMPJt IAlMOYG28dOoR1G2+bK4cBsStuaw9oqcweaItnScowhFAlj5QjI8fPCi9obQTIEYfZrnVFuKMfu5 D87WQGx0Ivub/Sd73SAVKSLV9OGD0EgIkxbjx5P84fGoZPsdPwLYQB1om6O4qi3gyLqaWzKnmOKH +ok9l6ORelTVNovUwS3HweLHAqDcQMDeABmBs+Jku7YRHQHsPzRPvGZLOFKPgmzg2s7VVqnnttTD ZJRdQC5gOn+SGTwfkCDnd3SU4R1kOgicppAGSc+FSeHC/0guf17t9+oISTBdjYzdozB7miAnMYDV Lx3yIM/zC67QjeYzsDRF7k/5NpwrCGpu3ZZxFb4lCJB4+7dCvh+ATkprOzjeMqtunS8ZnNu39Y/z qxIcea+2HnEhR5Hoz/a1NMctkDR5CjCGhL2NJinGkPDcTj374Oi/uQCM200O6R3SnIDans9EbNR+ 2HLz658lyR7VttfQacS0rQCEod4PjY6bBLT2xPPBJI36tZTotDouHrmHgTaln8+l7Hz1NVJ1PLKs mTr5UN0gkj3kQzI2moWyGhy8jQTmY1pi9dOgsbL332kJXHi57nsoUdu1R6BtNZBSJ/4/4dDkuYSM jWYgQBjVjeej/XYnqWotnRkaF2vTw/I2IQqFmgb/oQUhBTMoIDVJTpFMBOiLTP57GGxzLRVd8Bxu GQmGqEC54MY/ASdIH5DTOBfihuqDWkKuxDn1usHZrSJborcQhAV/TM9+QaeKzJJzhBR8QIRt+8WM xDzPiyXt7/E2EhntuEYdYPyjWLSVLRpW2LKokVN1pB7F25uF7aZGEQc7lgiORKWH3L9zfsPZBKc3 Nh5g4iWNq4xPvQV8yb9uOHBTfRBqq53cYimzzROPR9n/bZQQCY+roA3vlPQIuM2qEe3efyTaRtcZ qyPJ1hqIHwiFSIusRzgSnL+o/ss/sPHsrgQAnpNii8qaWZAiNwe4jATNtob9svzoxn6v+JJ/xUru HjCLl0jaOe6YuKF7gsejhfQbud0QrLVL0g5j7imY7VpebNtXJ2IKuc1iG3JT7beouL0UAWVZMGFw VPIoECqVxS5ZSginSqHCD93WSmEcIIEt0kh7BCVR0zZBoNsG9ZNgKiQphFkmHo+W0jeUNOMkG+VW kkYUdaPCTF8q7yftndyyOeXiCqULlBxGlCMqbk9Ksqxsfe71VEkSvI2WxJCQlYpvUlilgJ0AQGHi kpgu94ii7kx+WxEwiYMaABO88qFiW8bjQdQ9vvPbRiXB5vX/EQXdQBdQMEIcdspzD4+UJA1MU5Pd zCVnxKVttkdaKT443+acQzOZB0UxDcmFvwsIboA7oA7v+mP4VfAlEaGd0TYubkpqgLLPhYQDjrWr gQx3hLXt20wiqwL1GrR9fZZC6HYmCaCY5Bhuuu2Mm1qXq45M+BtrlqLaNkouC5GDBvrT4ixuMEdE 5k8QwDjX2+KxNdBzq6jCFaZv8gsATQTfEQBJJYM/JdU22dTHQgLCjKpwZ5F2PB41Ser3QoK/4Im3 fIpCMEmvApNcomR2CmBj5m6QpOzeEyMKuQX/m/SVwJBsiioIzYt43hMoQbRTDUxcC+BZ/y9j35kk TY4c+7/O0kaDFve/2IO7RyCr32R8vVwaMVybrMpGQYRwgZDysfxGrRHfEbVIZDnBUzsPP7VP2FvI 1DlX29h4/C/lCL4cHFTzs4qigJuxHFbRxQAgVDeaRM7u3DVEt0n8pH9pa0ypcM9HhRsCePBpykK2 QXUTvK0Kuuz51XEhg61g3LaJWjq+JDqPcLONsTIHLOmtmuQ8gRNztxOZ4vloHXlWMpgR4P/2nO80 RSE3USgZdUPrkSwl/ziSxrADHBp2yXLcEYXcaxhlu/jgUAkI/RUg+880wcEE+fIqGw3Tjh9l7Ivc 2hQAGCFqW4Xbr0H3P2RBikSQxsTjwYG0vklJyG87tZk0RSFqm1RS8+YScas7uW1wx4gksYdlJSNC bZd9oci/EMmw+wMxqUuF5IQUauxmmAx2iNkg1Lmg7aq3jTpt5G11MknPUFjyOKd3hkOAZ7cjjLXX d+IGhD0cnH2Kotq2eeG04nf/kgczdVoopWMwieQXWxRrT3LbBpjBHPCN2aZowNeRDAnY6KIjAsUB qMpyRtOV3KY5M74jio+qdCLZ14Y0iqAk7RxyhC1Amrzj+f+l0wY9zDYuAHDEofYW+L/7gUQhQM1R LX4gzeaC2yMMtXnMQKvRBy2jhABvE4WJy78VACgQRYIjuRDNo0p2txqDyBGG2rzXFoRNUEzCn5wE /4dUBQ/j1efCBwQlgOqSWjrjUZiEzJZNUxhsD57bqgFIX4sgd/rjLfP1BP6fiGTstrDATSRJlc59 fXTuT3ILMCmSNNDbJrxMuxsJLhBQC0IQawLwEseXRE1bBtmZZJsEhRLi0esJ5/tmm/v8zQXPR4US B0lQKfKcaF/E7RlWuClrM0frlrbNr4xEakmL2C26d3Z+UnT/E2872RzicLu2QD1tWiqBXl0We0lI FmE9VYD/vO028m1niCOptt+WDzq14VEo56nz4+DxYIrad9ZGL5B20/8ZxdoAZyHnf6aI0e//iei7 p8mRs/7HpG2GBW6zdRG1rV9qWz2RdkUZjAyJjiCJ66hjd7DwSbWCi7ddet1I/lCi7ZwjDpoj0AqJ c29nL+Px/+VIYky67rE9o0g7FXLbWzG9UXEhjP5Xm6P/N5BjUo8KSZJMRJqsb9qX9c259yuqNTyS +sCGov4YSD2b4gtpuSVepkwpviSqbzPURhJtg5VIkDzp+072P6NQ+2m4ESABXYp1adszCrUzRf7I E3WAxH48SpI3/5Ff2ByF1e0pLCnTWg5OkRww7QalC1LI0D4ktDuBrtihuNgeTPIirm3GGBJGRmvc waZo7yobygKJrai2vdc3rQ3J6UjbY8gZhdq4vLB2qt1s2GCu0tbbcH3fjfCYR/aMQu1RxWdj2ZaD HdkbrpMjMT3asFKkmyfMhedJRAD3neNaKE3itmfIj1Tfdqi2PaqXR0B5tjnqFY8HPcn2Df+HaMuJ J+5xFJa2x5JCgqcjyrXMRqI4s/3kihZEzghD0oX5k2I0B19G5ayVlEVr67hBWf/fpMsAeAljII+Q aD45ozi7EpEMnUgftIxQQKJQ9Plrx2eG7MjxzdeaZH5vb0nOCEPSVR2Rp4bURl2t9hzm1ePsubyP NKOyNn2mRRiwwaaoQrcekReDyJ10YJ2UDlZvu5Pf9lDait42OrCxxVB74UCoKFZRW2BvcWUMvuL/ Ao4sRNavu9NCcmQWE7kXpyKlegVb1JG0GpvL/YV6f9xpY0gXcbSvSuSmgRIFW1I5247ZCvRWqEoH I66n8L/1thEVSfWjeQersZ1QnVoJEIPD4xFY69bY5GuGjHbeSy0CkRCbC63Py0SuxQ3dds+u/EMW i+YoirNN+UeriYNfau1EnxmTzDpkr2R0FTosnNPoXJbl0dBmnw9fEiCRE+0mEz0RKpaO5LHQ/qys 652fo+L5CIz0DZHIjNfKXUghN5KCLc1hRwiU5vBJWtd5izKh2muhGAmTkdUZR65+6drAbp87AZUi CvwPqP3jbyn0GUPDqj4K/9yj+JKo7s8y7VYlkiZdnCO4WS65K2++YwS1+U5qDfvtN/+KguysClI1 IX+Kw3l01Fp1h6SF2IcLaUUwkiEjXNNt29dAsUCrpW/zd0UJY1H3JiEz7JVcYIeRGOt/hQVtyo7i F/DBkTYr6chuteLxSIvkV2tks05wpygKsvuQykZ3Eym9pUq1ZV36KDxINUVhkC0OSdnJhxtAAtKp nDaB5l+rJJChAYFgUueRwEhz620j2T+StBOnCKWoHxVYgZMVBeD8FgXPR6C2O0dY5cD8dcyATVKo oi0NUlbEjI2U/F7badx7LW+fpBBGQpI2qtUauJq5jtaAbfAQqq2ilEQ5TsAnqb2JyPpGR+eN8R3/ KrEV+b1zEByJiq9aR4uP/yUUzQYgpCPZ1dIURUF2pyXS6tvpI0vCfxLZKskhJOiv8jhaIVJb/cch z+kxnyC7wuAMdmfwIpsoJg0ibNkpnUT/XU4bQW0rrmezcDQoNQ4BIVU4zhVcmBlT0abg+b8SWpZq MxwP+n52W6inPVVaM/Yx/9GBNl1NJcqxA26pWYrZkXTg3FxIHLyjPU9OQ0okarUnLJisIHUIfPHG RNXhwdlMvW5QZiMxEgk/U1rk915AyvylGU/g+b9CJLweIYT76rWtKNBOtBNqrsQOZtD1/6tpuOdB Q5lbkxQLkRAVAdMjGywZOdfAROcOzVo4RRUI5K9zVaJQTCGakpv72gGupPeNkTbIZmnzzkGhNiST tN9K50sGGJI1v7IRhCQdIalNUhRqr206W7aSSiEZXSuJ/jliRoxi2cgKQ21lbE2N7fZ4TY+NBh0K UKhFQmYjsRGJ0sbCPsusaauAhMobXzeYIwLb9pbVNN1cuJD6gLgQFtJZZng8utt8jnhi4g2m7Ek5 SVGwTakaNxnh+USKItlaE/Zj2Xy1qsVIKyZIshKpO46DrSSWSiY9Rs7ltkGVU8H2bA/CTcljcwCJ v+6/Kkg1KQ5IIG2pyta2rlN4yePx4ODev8TIZoXN9J2jELFdh8RHPNheK3kcOamsJ7WWUl1f+6+i NrONOm5vBNqL5xCqW6bA50hvbB+BxPWz0FoshW7cXbTcptcNTDQ2aci4Fm3gl5xgEIktL7fBd4y6 /r/YyCeq64/LyIor2mKy70uxaVevdSSXIoNOtjVrVyyyzclpffvgm+3EeD0PBklwvOj0eC1ws/uh WQStkjxKGva6/6RqVStIOqwdyCcZRQBZhsejdeRlf1MI+mFtWnO0o1gbETJIneXC2rubJp8ldmlI WAmKkXbIjGSb1o6Z7oVUYEhP2gZdikqHqLMrgH44SRuK10DesmXqnq0saO8o1lbOlkQA4KBldF51 iM020sLjEcUmfcdIqCBBLc6m6D3WhmoFe/6jXKmWlUzRtrVsETg4AK6xsf8pQ1KrrNk4+BSd0A5c D+DZYDxGJiGhWufXZPkUS8zvNWr97xCxzaL/WTHNB1XZFjqd/Lt7GXg80mr51tiGtEobVxtxh4ht 0pBTMv9DpGw+RyBj3HxkuGPkjhHbUv3N2YfbzYaIZpMOyRjlHNpkajUayuKtcU7bHFHYGV8SldmS KiPZBwEjzo9MS2cI/m88/hcrkmEkTuund7RDViQaHeegtK5shmqk10dGdTYb8FMmHbVj7Eh7n6MT Ep9dlWjLco5ZOB0N2h4t4/1LOsqaR/66f2hsVh8sPpp07YQuUq54PFKP/F5HyGtPOuH5yA7BI3ur cLR/rlhLMXbEpq+sGUVOO7J3zIoc5DxI+399y2u185cDlg95LWhEMJJKcA/tBGqj2WN1NmTz+I5/ 5SOF+KoEMcQf6YadI7RJI3HkufH8X0okrGijXJcvE2nHin9D5ZHLstlzOMuGVWIxkcjw5ByFyBEB bKCiZ4MzkaDUvWFyC4b2uezFfEuQ+6GEgvBawmove9sgOqrSQ+Ktlu+tBg2YnkTAwpEdutcUv9Xo wP6DIuPMt862I+wIO2xnksZzr/Xmk7Sve8QsrtO2Q8W/bcXs5YMj/k5ee5KZxmIkUFpF1qwwPiJs Z0M9wprZvettIw8t1rQHGcgcNEnr/EedkRMo4fG/MEjSIMNKLpeutUMhEgJHl2T+SCXZwyltY1On DXE2jDHE+tsxeIT1kczkf+ZHpw3nXStEapyFRO4Hziyy1htYxHD5NnVNtqTxHUEISWJkGaP4YAtp 6fDEx2w8Htxr85s9AmOGDjaTTVFY06Yx5FIgRLaW/O0bNX/H1UXKZLR1flIUZkv0hyYkHGyvnROu rWU17dYoAYwpQmJIsj248w4d2VNvG51HDK9Visy3FImFWQnXP4HkwOORF9t3lL1IzKh3iqIouzWZ RY97ZIvbxiO7dCdGSi2UOy3CjUwCRsZisM3hprQgY9H3LEGjHbYRRGkBJIn6xL4orROGbb1tpD+i s3oVHxRkb8BRCYkoc+DxKKMtXyc2VFd023KKhNN5D49EqulXNUJKW8xoC3vZ2+3GJEGeYn1tGdbK ki09lmz4TECcqdI6NzBIUGldbIAm6DFDlPQHpQdaTmx744A/So/orF4t0sgfedCcdXoWpeBsefID IvDod3sEJR3K9PpMhZp/copGm0rWkHPSTQMV9TTntplCpd1nKoy2VUZajLY52EwBdp4q1fUhaINn kZCcqHJDqqWQPnd1fxhtEz8X1F1RIpmStZ2Osa2QFVjsN7Q1M58PcpIHh8w+0rlSzrZrz5oKbW0a xRHKtWIFUM9akrUOt2JdaVhLEh8VwLWb2EgsTnKwmUL5f4LFSJ7NmBPtyVUgxvQDEc4fCFg8NqPZ XjiYKZZtQVjkAOkehoEzg8QliSSYsaYo7B75m44EImt/QBLSBnl9jEuqrum92yrjaPZK2myev63l jiQp9Iss4qyzfMvBAIDn8GjnFqJmCyDoFct/ndsCmUJCQwZeXk/7ttgbRzIJSnNF3Jru7ntmHLwq fCNQAHg+arvdBi77y4h34NV1pyoKvxPF29faXugGDd3PqTqnlydRpbLdF1W6WQgBeL374Hkcj6kN hHQuOKkkuwXlYXBegArH8re6Et0q+TVB14SCWznN7IPOc8at2YIQPh+kKfmmcmoJoC//qAHmFJa7 qZY0dmp2pNdJbJu0GpYf6bWT8Nb1Uf9ScEXd2YeLmjg7Mw9WTiirlyTjBu4CoDk/JCR5lTJte+GI 50Y0yFzZBwHdIA3bZL6RNp8PnGzTLxFXmBSQqOYTFSqUEMfVv7S31GKiGJC474uW0aDDaKKiUHwK 6Y5aow3eGBgnRmgycjmLCvYyZHMDzApaxkL2cI90trH5NZEE5/iml7q9FGhcRTKjZ7b5fEQvvQKT guwA11yfgyoUKmEtbut0omgp/13uvi1PyU2W0uy++8LC95YNF23tOdhM9XLi6J5p114g3Dd59xUo n2WgIc4bJM9/SUPWG0eMbk7RFh93ky/Cg6oM87Y9D/H5yInLI04uQEzUKs9EhVH50Nk0r6ILnZhF MWFxQooupbldWYr0SirhE30yquJwG3JQfypykD5hTmVh6oQR/DW7G2wbNnDa+0YxAhfUbskHW1CD 90Qi1J/PB1uvXswSVxRuUjI4faJi0RLh3adbliyKNqjkNJyulAcbaJqosAS+ZAsgpYCyvCmH2uUE et8kAhD000QJYupnJ2Ad53yJb7XYCwdFJ1rdbsQ2NhhEEELWrBYMRp2h+037xlEO1h72jTpDr0ky LwEPcO37UVzVHfBfV3XfYD+bjWIIOZEMF/2QNVieV1A2Q5mFyiXsQTEVRomiDTHL07V2oeV2Dtwm sWwpE9CsAGWx7Zl0oFiZ6Z3MgM9Hqd7NY4jpmbTAeyKE0HCyZXngFde/v0AKGgV5XYVlC5upKD5H hWGhUtZ8sKJBrSTg4tqjN/ksS2pKmB/KulEFV5QC+pbk2HGSyCVIhPmQrEInZzEw/yqfD8LzPL8W FLDtX3pKOfacbAQJstGlukE3+8nzX00KKlDlBdAT1g1yaDq5pMiNTp8NXqRDop0q5d3OfENKjkSB 2XnnIJx+VAJLs/f9V++JInoczL2sZXh0sDh5blE+H6yn9AtVCbjwuhy4HPhOQgSPAcF8NJWn8XGg Kje9AgWvcV9NUWiOz4BUEG3sOWiaIPF+0knaFsDJtNI3jLKUOLtR10yPUCDb4TkwnnRhTuR5Pgij g3hDvlNnZ/P5CDRwRSf5bzdIefZnOYVigUtIpnzLULuvu+uI+eZyWs3P8cB6EgqqIpzU7sNT862I fA3K1B02CFvOthlC5Yv0lrRSDs0nF0viZD1xsHUBS0NxFPpJS/l80PCdHpbbrwjI6jNPkUZ3V2DQ dr/zZExdXEvT54ma47bt4qBcRXEKCHFweCVUGwrwrMCgni3IPtQJNTPaiTjtUM11QJMig8B+0pFx NcnJNHmoeVbnTFUM3VX4fNSJ+iX1CmWCR8ckB/6TXtbcJd88j8GWcb36rR3sZFDdHBpQLqqYAwbl g8fkJzjqJ6UobI2vxYUE+vdZDPQXBGzrVu1UjgodKOUcmArF8TkYLh6kSoHjuOqjkHzn724U9KU6 fi+fp1DKRJy42p0Tt+Z24NdKM1nuMgEWsXmKTHE6a+OtSNKk7EuK6+iVdlQIAbNIcO0kXgednIk7 6imxFBaf+SXRbYf5Oatw+aDwCcakkic5Jxifj/gD5SseB7pqrMcXN3ChNJsYFIseRfNxFSiGV1jg GDF9mkLoN49xFOl8cCJKH+euRzzZSE2kqwFKUWde0Wf4qamkmwv3Zi8cieOTX5GJk0/ZFu05dGDj qxusFT4f5S2/zDoaaOdPghc6UeK8RyhgTi/8O65gF+1FWF5BidXnKUZ/MyRqrNxx8LwlnbN6JFoI QgIeynb0ND/HAk2KM3S7fycuoRdlGXI063fQeqpoL7JaftIkPv9n4oLjCXDl9YBScmhH2Zvuu+FY wrWvXsdiIqCJQqdBmXDoR0nOe+2J9iYcfN/NDMLUZB/4nO+ZlgOFnKAFF0mgPi0cR9lT7/vPxkuX YVd3nFzCRUHQ2cmGMp8Prrtf/PjCy3PdEnDoSAnxCCS/aPDqumOPn3pU52hMpti990g+TTEMnBlt pX03B69BwdECLrbUNV80fid6B1YCUJajHpUtJ9l2h46UmYLmwIj6oGIdroOs5dQnn4+YzV6C2sqf 8eWP/3uoayKQc7bzGhfRMDxhyxRMUvcF9Vxtu8CT0lcTwBU++DSh8Tuo0XE+v4DO06UjtCX/niGf ZcdTrsle+F+SVHmz1Yly7I+qvifb6dKkgKEnPyAC8fj5xH85S9bvTlRUKU8SgBFKfhMXxogTEwUB DhOlWrRs0XoK4eBT5p1UFOLg5xO0qEalTk45fw3MhdB8yUTGAosBS77nwtv2xgG6IG9RUml1uli9 wAYCkEfEgpL1mv8LiQd3Se9fExXa5WCBYGs8djnFs+AiUiHrBc2tYHPgTJmNwDuWWIXrYRXC0gzu 5SKnznNzMxRN7eSwnbAFhoXWO5/LXjiiXpoLPHEGsJWRqmSHigrTs3FCTn5A1FK4AVS20KCBCOwz FZXJIarA8NIrK7zcrFQ3i5udTPyCNlMhWoVXXq9Eq/R60Spo7Z0frS1aL1bC6nGSL4j1dPQy0Alw DGau9r5RBMWMxeYrWZu+9DJggo2//Jw4fD5aUPfGQwiFU7JDM8rnKYrIZeS1i/PCTlC4vKTZ1nAc 5rrgsBxYVF5iWKFqHgerq0CTbJdOsZOFk3OziYy6DZmrcJi44ktr2vsGaIxC+uVmwYCD5mmcX1J9 9POT8Pm//IUkSDHWN34+hy6VAogt/NhWMVjLLWFnrl6pgwauz1Os5s0tR50uDQ43GGWBkLFk54Wa L648uAtAWPL8wBD4A0sMO88mKoStkBiOOpaG80czZDwfK4DGiRb0ln9Bn7HekYe3/XSHQ5/KLkMv Ws8oNBj5KnqnfoErm6DVro+KOnncd6Z8NudVPYdI6GIJBSXyDN9zMnvIrECheRIfPn+uKlwOjSqr PJjqnj4oEU45Vwl7ZLTRA6dKRWDPjUe7dcLgfZ6ikDwlysHJA1bK5w44hJT7Pcm/5unf7vB1pzt4 Fx1SD1C44fk0zibJlKuACfcAITyjDIPaIhkZxV44WE/rl1kFPlQHOeVZuZ5q5/NRCeoqMnfVYVD4 vQd5aFg5pck8vlR0XEW/slR0HeINmZljx0qWcyFC4oOXyNFvgZcLNWLP4ZuJKS/AzUBU5wcidN5D J1I8h5aVijWpN2qDsFCz5UqBV1XIA89Ktba+FNBhc5CeykroWcke2JmFKxO/qGqoSt0TGYxxI4PA tDJbZWU02qBz8GnqE86bsho+P8hgOHoOb2BQhi6SL6p4txcOsAZDCvHS+d7FUrxzEQ2CSFAj3Xz+ L61B6YBBKv6x9sj/cK6cOpW69/Cmm8S2pKXFHl52AksOrSuVCwMO5oPHmrXjGt20QIeAXBV8BS2L TS3jfBdU6WpNheaV6HChe7dph7b9hochdi5NIVTP/IBIBG19rShiuB8yVA79K4mby2PN5R2XYWS6 cu7YOe5E5TtRsZuOoFAMEDj4CVUgOACaIeErwMqyuIKwMie6YuPCxyH99M8DC8vzv/KuLMyGQV/9 6aJpnL1eGZWfQyzzA6K99wuUQcehfYHROXaxbMqHx/Izir1WU0K1OKHK3E+JXmBjqYYG6nSE2HPw suY5vRI8GaU9NBthZug7A5qBwk0a1+uDnR5+y7/KmoBqcwBSi38brOnExBpnX/ADIkbrNxMBd0mn T7tNVBSVs5ybW3U91POPzbS+WlELBnXNAtiyEr3QzFKHeasSIKoPaLNBQencbKz/dqCIiTSAwtXP hi5RJhTReD+p2wtHtx7hiGncQZWDBEEVbsONAktgZ4mP+GbZF1XHbrcz9LMk4gxVVxOPOb/tI/vh y6xKe9cWVIRdUZuz0ztPgy2o0aECiVsQfARUzQnyGWjaw48I0CLntGb0ifUtUR+vCh19BxXszq2n KxCgez4fpC/XhZiLj9Sa9QQHYZ28Mx/eRhjPdJ4yiN0QEwgQuwzldJunOCpnI4GwFA02T6WDpg7K BH66k7+gJAhsIlJrqOTSktJ3HiFs/Jpg55FJlo2XOFw082RItREzBwsNPh9svOkTxUo5kHq4I32i wkq5CptpLauwbDYfBPEhlJXiaBBq8Y0XhuW0SGnIbW3wdPhccGeiyZIG1HBvNV4Q426qs64LNMhb lfLQ13IkwyBmH1QpPxs2sX4H+Wc+H4Sb5Wp/NQHs0jmn0DDwqYpd5ElJ6OyQUyll0X0ok3SvE5ye jdWk9nJobdmJ10wqlqdbLIeF2ElutiKpClk5BlvnrALhv7Cu3dq6Taq+7I1jkms/0VIfPti1N6vY QBDN5PNBgHC7CtKdg+nO9bbMsbnlLo9jUxXrZToAvy933dmreEocuFsia2T3vJLywsFKBxOA9VRZ BUZnsojqARe+H0IclRHLATT5+/4rg6lSg6mMA5nqjT4qdRmENQjsLb9TvSzleNATx9OmCg0uTSid zgfM9eRnw4lSC8VAGcPIHDl0uBSofC4yFjg4u+zESQ1Cx0xhZoG7CRYd4Hu0K4SMuud6Q4FUYHF5 JUGqTnNoigqX09D+J5OqQ8A5hyaX6YLLlw7RH5Sm70RFsTkwQfRxGr6i2nCPIgBNHeSDHMxWVEzn pOheksx36o+U00mQcTWwGAVpgSLtPSA32iY9qF7UWCr2vhEMkW3huXVWDTdNORMOEuQPU5vFD/hL oXDYad6hcOPzFIXmOqJW7/Mme7doB51ch9dha9o8xfIpQysp+WALapwTqiJAoBx4QvtLBBAoTpy4 CUKF3n+ZiqICo0vp+58DfZD80lyerbRzf0iG54R8lc8H0cH8jg7aoE3Rc0CFpE5qFfTyreNs3TzQ nb10MIA+VXQQe11Keld2DnU9YTkMP3aD+gfanifcLHSW7ZDyOBsefqBEG0iJR2300OwSywHmBJSY K7O5Bx8MR8mjgvYvn4+4L7cYtbRfsaZudBD6XVY2qrqk0zVno9pEoQFjE9WGS/DmwPDSyS9V+Uv9 yl8A8u1rcaIyNGGTGlXU6idBfn3DV7a9cMCmKhQpxnV5hskGKk/kWtlmhjBKbvyAv8rAZMuiqNyf 2CBwvTRKB7QpXbFwEy0jZadirGrwqUb2iQrpncpfDJ7RH92iDJoDoZWNMi1FAOCMX2czguqP1pw8 1HNgfKkqCZAGXFEqMTKMOudqIeDgDHo+wkP9cgiDVyJShDtRf+gWXkuHM1FXuWjW6RZhk0hTTVQE YGlEZsxGZDkHB0Sdj+yjicAIf+JCznXqmUExLCCu8fUURyhwv8yk7aJBVe+gtgLEHoRm2qAIBfaX aMzlrxAqQ0Zn4aTUPH1eQ6icCYqD0WzzjTeu4dxZA1e7aEO9jyfUawCVgYYihTEZQT/dWnk7qdtm kQDVYKSt/AkXZSwFWuwPkQov+xo+nXdVDtw6y1EcdIifiWnTdMLw9MsEZYgQ6AhXWoX+5JCSCrsY wfQgG0HgvpaR8nYnYDyx9jsUoMP1YpqQ2mvYlBGIS7GA3HwOvtk6DBgTRYsSGMm8D08qQ604hE0w ETFHh6VT6XV+TnjJaKnK0IGD5gda13T3PPcGnn6bH4Q+yeZHxRMAO+B6qgl6DZiywF1oRc3lN9ya nv/ObigWclys8PQaLmH9cGaWwKzCxSoAb2hCoVcrL4e+qpAIgOoSxLIumO6cW53v+r5+5ORcaR2i QQVfOBUyZTm3UPu8RkqY3e3zw1MLt3+ltTym5zVOwvYaZnDtRfE9h6uD7LpcrGhdZdDXKClDrUYt g1F8uGfQQCaRqFW0IGTIYz0R982/eN+oe+Ig+bzGSBmy05yXTrY5UMKqa4NEDbt6xNyr589riIQ/ 1RQvp1RpsWhT9vl5DZDOQ5untCgzLlZgiUkjXtLjbe+tvIZH+HUywyPr6+5LDi6ksDdYdTXAk06g iMsM2IvG6h8SXQgva4NtKvC+Rkc4gChOnIGWsUFYTFR62d9mi/w1NuLTfgAJ8XMCEXje2Qy9RkYZ MAK1nB639LncVGaT8q0VlIa1VV7jogynS+mlSaG4XYXiymhiUMblZOjz/Hts+lbcYpskPgaP1s1k oP0aFeG4pBE4vOR8ULHypCmLQBjULz6vIVE2HwZNEIvfnTQan6DXiAjnOm0u9zS1ZspeuhS4iV9z ghQRweQimqCmREQwcQrDawnNk4ada7ixTnmCoUwFuhO2nPxDZn8N7V7bY8zYXqOh7DDVSmOrxDBK 0NJ5vqFTaKD32j+vwRBOsOVnkBRBCIdaNkGvkRCO6E6xCwkRUs5xjysGRjE7OaWgBCF14vCIlgPY KD54RrtwgSW5W2TU3rK0wHClwDb9fNx+Quumt32/xCYZBoilfFAddzSc0z/sieTPaxSEV5zfh3Tv KCRtX0Gvpcks3SicPPuWkcpl9kDF0w8hyElLLS04pJMwhHIC5+AQ3pnlHUem2ImFaF1MgVNOUCEb 1UFyizYpr3VJ+xNRAUaRTAO/BGABwbZOvI6ng1sste8JqgsWpD5BrwVJrFoaSxhklySx0Yys0poQ hYQKgPYvoYsoCmJN5DySfbAVhKo5uDxkkQNTCEDpSTmQbWxEFKXR10LQODo2vdYi8SMQH/CfQxoz 1QmuaSd1/7xWInEGbb/FhBoHH30232LvNDpcz6IVyHOwSjjF1ZtWmQ7Oob6h0Q2ji0w28qndwbtK C4o7QM7QZm8WBMsnzwftbiNZA3zx5vlNigTRJiNqt03eZxy0huDFyW3dTir5eefQZXFcnkWEtXCi kOZzFMXSiXf9mhcg0AkmUN97Fe97T+T4RuWJ5igJvzSaD16DbH3PQmQs7L861LrRm0Sze1PXkkhk NXNZAv288+ew6AuRzVsKztvYZxDk7uJYwffw806fy08n1257MARQp/FJiuJpQ+X0esEBbS9H5ezW 71ZrF+UVTNJW4XGy5c3BuySwtaxZ4CVE7pOSe+eKRlWLqoQ34aAs0uedPMerpb3J7py7+CxQBkR1 8/EgIhp3jihjhPL4TH4avXPncF6jJFDQCvM56jP5Qhp+oaEudpEmwYEt/S+E0D74ld82KhSAvZxf GVcDr3x6yg5QdUv+0m4W+Ps9rvZGEsRQfFBaNk4kp7SjAIAaxtXGTJmy5+vkq5bsk/QeWSMgZv1j lTtJ1EBU3FjW9LBoPhXaaJKgIcsSrA+WmS1I4U40ZmlsDT2fpMwM6NPzf8AMFFgw0zLs886ay64n BzA8B8ytrv2E5jnNv3NCOS2YpGxk1UnhZpog9UwFJ05SFFx3ykujtGVH0tw3PRvjBtfnQPEu93t0 jX45kV1LgnLrW1DunNuFZrbYbYAbUHQP3g44Sc49A7dua3LTjeidM8fDgdNT5vKBkwQ9wyWh61HK 550yh1Qi+SRtTtI5G6fE0jhJ7wG2IQggzjAuFMADbMhyDIcCyPkr8ZOiJI2hddl38HMbKp8nszfD 5pPzACxQNtcD9cvANPIzKdvrvk8S9IHRMxIGp1bbblD+GGLuzLw/73w5rKQ2vwMAEHcG+gI2SVGQ DYEm4ADSxQEInSscQLdy4zm0pk9SFGUrkz1ZRvfBo2yoNW+k8w3iUHOjfgoLEJDViNKcoLcZjX52 ve77JA2W0obsUkahIDmxyLmovtlT5+NRFOlhNssCUCZs+e629zgbICURCdslgZXLbZqiOVFjB2UK lWSjQHswVaOyrg1eLEIKfwISWTZuCClQcXaDUg9QK3hfXrkWjvI9zpbUBtp2LBpxUCvk7HRFZdAe /7wT5fDHJk9m8Rip122WG0hGoTYw6nREvR2jua0RcjZ38rI1wJw2R3GszVb26NMHm6OTdY7J2x7O n6iHcFtCT/anI58ghviW9+11g0kiLZUUQBvsRNpwQuD3ASQRRdu55u9sBLoeqBxbyTEKtqu4ztMl d89PbgxCXG37gt16MVmrd4oc50gezIy5OXjvEbpNBYcqMtp+tvMWEB6mi/xpcXpZ1RqcGH7J+922 Kf0NmR4fdLXhc4XgmiV/3hlyPA+6zRGtdShvjeDKJimKtrMsQPrynA3kyHv/jxttszOP+/+dIIcl yS3UZAHSyuPckBB5NcOQrDZo3QE1OezbhDZkTXPdE4nFx3eGHG4Z5v1J2Ah4iv4U5SR9dNpxAOA7 P+8MORz72cMkLkJQewZYHTZNUbw9qfu1td+0lsZ1SunFBXVmL8ZzfifI4Q9o0tPpyYcLB8Sipu7n iSXP/wfHBch+TcL1KU84bjA5eHC/E+RuoJSbJbmwLR4KlHrd/M6zg9fnnR9nPZRnx2E549T0WYoj bqk45uElJHYwdLvV28fu4hGeU+mdHcdggjtuk0bIwXfciY5IQsYsZYiwJpZIaKqJAj1cKeZF2lD8 5J0cxxoAZmlIG22kJ+ROif6JP9B/+Lxz43iqza+zG655HUgym6Qo4l5bSuA3mKRQmZWRRvM6G/qD tuPCYnYy86Y7eDEbeVXPLNWev2pkxubo05+kAOWZDIS0lWrl3vROjOOG47EEjqUNVsxOSg+gKD8/ 77S4/KDfrZ0GLD3TJc1RWM0mXLLwbnBjAs9KGlFXBukuVgN458TxWOSptPsdbI7O0qgQkcXRjXRw Loru58LttmEdN9lRk64szvzPOykOf2UR5j0nHwzYhras7re5Pu+cuGy00puWINvstXp++86Iw/Jb 8pBrjnsfq3h+2yCtbAupew3gnRDHaKK+l7RBGQOXnViIEwDuyTOpo6m6wUslKuJ21ZZeNyhIDgXc Epat3lgoAE3yRDmLY3/e+XDsQHtbhMnwycBOXjTvkRQG3Dq4W7/kgOn2DSeZ+qJXduusvbPh8BtT wMPMvde6rVlQA9K5o2W2e16zFXarE0z6BixZ4VhwU7cy9LrRJFHDuSsIQOGfGFL6yCcWsM5W3p93 MhxXUv+OlOjTdiv/71w4ZnycmdIuB1WsE06S/luWJSG2oZX0HnGfe4eVW+wrH3ySzgSdI4NiJxkI 4ik/OeBUO3BpEt53g8ul141Cbp5FdMbQYMf2CfUMA5H5jsFKuh1+YSkhxXTbR+88uGxQtgJBKS+U ZEvXSu29WAvyHL3NA6X3iFvWdAtLpfqgOeqgxJ2ULS3hRJCAMsfDpmsoSiOMdtVdilm+k+C4jgiM zOkOmiIoFKjidhKpzzsHDrHo8GXEWPJ8Lfs41sSOAu5mAXf1gHtQxcUEd43/DfjosiDpnQDHm40Z 22INhINNEfTzcOINYdxh18nEDZL7ZxkVQWlM0gsFoc87/+22+VulVQoHS9ySOu5wbiyfd/obc4Lv COmE6FhFfhy9s9+8d4kOyC2SFHeSBalreE0SwkOaori4jeVTQCOwwaYI0JOTuKGqhRO7QTKMm7Ej F0XhCfKV3eg3RW8bTJHO6knxJQ5WbQMdw0SF+Hh0ZKdfQaRo1T5FUahthPjSvUdyLhqXPFvzGhOs nqzN9k57wyYgtm+p5sbBDqMMEWkQ6ijZcaLjQnAbQMgg7bcf3vx2YLeht/1X1nYO0OaDwCL9BGDV +H7l805543l9+2wUPIJnBV7QJymKtJsg7aTkGSu3m+z+eQnEH4K072ms3HfKG9exthrpJBxsHSUo dFdzb+jI1BluFoi3okqGMmh5POSTXjdKR9SmNXtCnDu81k7mlLPpAOTyeee7MWZeX1Ek+M5UN7JJ iiJt7AHsMKd4AyDuGoNDDErB2bOvpKi2DUchyMhxs3HQJJ3o8qS97B42oJB3WhTeh80VFvz5tFsi 6eQtvzPdbq+tD7b8+2jO7z5fQWw53CDK553nxjj7+1KrbF89e+09zk4EYuC2f+TgireRIBHo/cgF qoZmKCpsJwuLSKDk4GWkgcsfeTZKbeiMSGwF5rUNjeWcKFapFglzkXeSG25OUbvnM6hmC/w3q8Qt db5jcKvd3H/qfEdg1Dz3f+e4WXSMM3teYve8WS3s6y84y11S3yluPLM5SUKmcfBe2z5PJ3SNSIAf GTXsk5DQVhW7plC5zTiTRPe9M9wMS4YLrd7B6kgD2Sy+b+GHfI+zFTk8Cwnl2rGeOYrCbACkzkKS Dhwzf8fOFpikeRsJyDCbo7CuTV7pzFtqnnSTmcQf9bOFKsX7UB7Pc0uOCrZ+TN4KgBPuuUO48Tu5 jdV7c20QuQ0ND4XZ598V3BjKz593chtDSF9J8sEGn3fTk5CzFMbZTRKeeXtrmy5wzNgy2WKiQyTH +b9z2wgk4PRI8YWDbbdxjme0wqgeBPwIfOOhiK5iG1QFO5rRFkWiLvh557bdKlIpS0PFH8hpqpDw x4yNc8d/3rlt2HA3RmJrDiWEdvu277w2LuBE6pG12Ggl79bNLV136w1rA81SVNueZMyM0bcPXmtD N41lJQBtCgom5L0PZotEACDe9yoSaW3vrDb8qjIk3pql7WYEKODRi/sHMn2fd1Jblt7o98kNas1N 2d45bQwA5Ec0RUUmksRE43HNiqtcpSpfiIiMi9s8jfK6g03S2czn0ge6EovznHmdRIgB5CcVu858 3Xxk0IbgndJmqOFz808C/ypQBqIZTxhpKYCu8/POaON+vVXbn8SisSTGbZJCKEm1vu2kIyrK8ywY gSFJ7ZKsLglUaytW0judLYsYhCXE252DtyTPId1IokHuuXEh0JYYXfRN9a+ZHJGUSZT5vLPZbpT0 HyopfGo6b6dW5vy8c9lYoHBMEqv9uC3IerVJCuPtNQX2W76SlOYj+Jhzi3zLVlKzlRSVtsELRl9/ TB+8AwC6fu4sIyHyhhgsJc3ofIBdBi2qJ2/ret/g7CaDO5M8pEGzlCljjC+cm48HEXe6240mTqhI tIv9e2eyZZO5xiwNa0r2ncygCI1oV6Y8N+xUafudyJZdYwIqUD74UjpREmowRG7lE8rgesJSWii2 gfpKaW8TYiYF4p3Ill1Qv0rntF6d03OmUoieALDyeeex5Xz9UabkFuiXvcpFacelbdEg8rUdSKU5 4qZvjwI2ips8ud9pbORBSKya0C0Odr9tMEVmlvT5ibmh64OsBMD/jhZFRsZi6a2KJO8stlsBKLRZ 1aCQu+E/DCdra593Ehu7B9+1NvhB9ZbvQopK2wwdzqGQHYfcaJmhaHIVjwE6JHw1R2HIzdL2mjX5 4DHAhiol4OoUTDhpEEuXFJYeOIlRvLSIWyiJd/7aw4WAK6kNmiKIj091t/f4vLPXsiwyvyoAIGdA h8CmKIRqd/Uks9eRlsS8CdXm14oOiV9MUxQF3GxuAxB3B89KziGBE4l524QjSpdoHqgawC5hOj3e 1iqK6tqdHGRg7XywxA2YKcbQJ+z7vBPX/tPbxqHS50XavtPWeCGyeHT9GGD+Oj2SnKV6HSm5nsQ7 a42vn1mGhAiuBi+SNOBqVEc6CVapRRYgG/zjwpDqikkM4kjfSWs8PLF8Zhp30BRt5CdFdaT5eees EbXlG42Ny5TcYoBTFAXbgAZjikxSCv84yo87DKRbIqG1BUokLcZrEyJBvoMGm6JzZOcMcAQdd3Gs qY7E/4b9QPTFZHCJYhi/I0D/bSH+ZXDpauqFvErJKJ1LAY8HQWT/Zq1BjoWxlk1RGGlXqjjoJlNz ZLpWIMy6b6nNNRVbVNOG7vY5hHq+g9f9QQudQHCexXXigJJ4FkFzA1rbND+5dX87r6NAe1GSpG5N 1TZcGJbRJqPrLKMy8HjU+vfMFksGrYjeq4dHPQq0uxRu1IqUnuK8qH/V/eVd5lT//h5oK/JA9SNN H7zuj/hxLjHYIV5V1NHF6ukQ7QZjz+Js+Qr0mPvIKeqyFeh1+jICfVY7bfPxCI1cvxM2XGnldrR7 FGbDjjXD9r4/xUhHtVM28z9TFGFI0PhZDRbQPnhR+/xzg0sFr/2zz7psQvGzVBjdZbAjPPmnvkaP qtqVQdFZDd0HgZHqWT1d/MeTi/QozKYy023WohpaUS62OQqjbKm57e0Fkk2ivK79ubyjvcjMxYHd o6q2iQM2XlgcLH5MuPSrIf8bYEmT8SNgsjmBGYaqzK3YEo3UoygbhB9UeU901RF8IjpXMoKOFc/h k83g+QCONPvXSsqToPGbsfWYCMkwW4gISnCxF0iCP0AZFmZDwlFhdo/C7Cn9Fa4oDY60bSdy2CiZ oEICabchDgmqwAkoSdxxXrVlWoRvCdYSSaJtiyGxi8lnQQ03Sde8db5kRBb9lftjeUOy3CYpYkNC xjAL/+huAsvX0snLPcxutDTlJIVhNmdnrlJ88PioLfrJU0ER4i9zi8sGIYKK4ING4Hb7syDZo8r2 Mu9mdkqAFjHxkTX6ICvoBKV74vngbnuKbVx254QbF0XawzibK6mLMirG8bidtt0c/QckoE1SyIik 8vuGhJMNfrfBN6JOGlZBPGg1TmeC4NrsVMAGpNEOJeq79vdAG8rt1GARIqmAs0CYQ4EBjdLaE9Vt PB/tNz+VeIIBHYkqkE1SWNqG3c65HQjuK9TT2C4hdXLAZQWSuViQxMkdlrbpEd9EI2lfNJIBWiRI FsDZVDjskvRXoLO1gQ4q1Md3LU573Wi3ER2Rs9TfaZ2mpbTRDSbZ8XwHPiA4u+cvYmSCy8lzdkfB diI8YoIhmLXfiE86V10BuBdI7UwbcBCEeXZHwfamNgSgwT7YLKHxVmQiAJczsDDJ2sK8LKoxYgbd vINCnD0qbtcm9ZqyfBBiCyo2UiQ7vygej4gkHkqqxQv73nY72z1CbXd1BKk49X/S5GNbjWUkiipQ jYyYLqqR9QhD0g33L2qbDForOewwQaPYJiYJ3hxYbaWdCEpuf2WyQMKDG/3xii+JYG3saReqmZdi veECliPdN39gRYfHI3ykx5IqSAITnS78v8elbZHXS7Va20bL2WttM1utrUMEVPstKm2vLG5bzz7Y 9dbHWUYJChDgts1E3/EFmRZySMGRLIwCJLBFDmmPYCQjidVmGy47cev8qWWq1lYmHv8LIElRFoCT BqUlxdSOQm4mM5n6swaSSLn70V3rFZW86L8R1bYnWezAi/rgZaSzmE6czaWEetUSGRcxBgX7fnAt iEhiytwjCrkhqYR0hP226irppc/VV7FSW8bjQcjdf9UjoQzcUe6wOQpj7iJH66GQCGyb5JJRKV8D mL2b09nD0vZav7bbDZROMIrO9lCTZM+KeAJNSdyH1MGEEpErkBV73Ug0goX/pSLJAumGp+2AWh4V Ngby2xFCSS4nCa8HbtTo6U5SiNqmiuseV3WzjOyFpMarQy5eYHZrkqLSNq6ck5EALoqBJYDB3G1u XJWJ5nkd7lTdzAWwx9C5HbePBNG2ge8IQKRiSWYJb8oNGQtpoKRDss35Hzwe9Ujq12Zr5G1c1taI gSRKb51te/6dUb2QxLNXhaTq/L8RBdyLGRm2lsg206aodfAIOoiGqJLAkZypS4N2aEMkN+tj/I14 Gt8RdUhYql0Ui+IgWFs7i1OmCpD7G38DtjGdIDd1zLZNURRud3kLdlNpJVvCtbSWy71XSNT7KorC bSRVC+4C2wevtaHxRkkcaCaPNST9jsAerg8wx8lXfITwE3xJtNWwfs6vUTkgLuR1dOL7rdPp/BQZ z0fr6B5IhEmAHtvLxZKMMOBWH6ld2tYiuUG0LUq68UQa2TPcEQXcgtgOytdqsCgJje4TbicWtkeB /QslEdHlYisd8aStJHIG8B1BfksEAMwbfdDNBulrptT1xBF4/C9YO/EJZ7N1NNptimLENrWhUnZV tqUmN6eo3842JK2Vk4wIsV0Ij1jk9mtwfgRky1pluJ1AIxLVFHZUkJ3vP4AGXMB21dtGjTZMUYeE EYcmxZ5+QqTFFhBz2xHH2vsrRAKqolHnT1MUAkmyZCKbbzZzCqBQ1BxXhWRnv9eiWHsKkTTIR1J3 /AcrAxWSNBAnKbftWZLTBTXhnqkUdWW3uajxHf+q/Xf4MWFAxbnrQEK+YPLkHc9HB9IFtWuFnwOx 3zM7DLWnyEjr1pLauHO013acbfX+yIhDbVMiuYOWEQyvcXRsmQlSHntJIhneb+j31EdzezOIHGGo 3WlBBZDZGcCly8k4WwUeUecfTyi/8AFBAaB61lYS2+CoS+Lwt3kKo+0uJGmZHiOl7CTJnR8iaXUR 9xGWt7NJtSQfnCDRUWcpkNXKEC0vkAnlPDV02QYle7wFAC4AXzc6kpakSNTfRj2FufxZmLUSCTpO yIvng2ka3/1IVLwmHJk0STOsb1fmbbtOy9uQUN28rQwHkiRK13V+UhQA8HbrRGSLKqfiLTr/QDUp 2D7zl0h+K8D1dkAF51NLEtt2hjiSJnUExkgcdGzPceJVqm3UUvF41Cb5RiSvM8W9XSLpjGLtSi+x M73dpmii7q8pomKvNMl5STJrmyFHUvW2nrMPlx5RdulJ+62j1EMYyYT52a6s9431gG2XXjdKbbmA FnsAHOxqq2lItaX2gsf/F4E2LOS+7rk9Q0ESCoLXbpJRILZPP5O6WG1dDUm7/WdIkGTzg5p0Nng+ Ujblokm2PSlAQ8tzKThGEoePW26Ml8liwrdEKElOUlHMXYwXVs6H7sKuLbjgePwvtQ1MCHg2lFaw SYqCbTYy0fvfl0PSvGvbS73Uv+FJ2wyr27S6W01hAO2c7f5HwzNvyWsj4ut07yoAJHf05dqDSF7E tc0QQ0IoMljgPmiKOhou3GsFEltRbXvfsi1TNmJ29xUhi4JtGqKiAGbwCBiQOviv9+Ucko3jjWf2 DNmREozGxW+DVyRhFEjUBcq255DmdiwLB9TEKZLnuC5K1DnCl0RSG8pr5fLmMudlQJBVylDnhsDj QUuy/aJrFYSR9Z5H/y5tr+1zBB01T2tn6l7aHjs1m6MIQtI5OZBr8eFWkc6Jv/YQhKRD6JEOAfjO gXtttUdNkxaUM4q0axfIlpJ2ki5hhTqBOk+UzZluPB5V2sbXTptJ+sA+RRGEBIUrcCPr9cel1Ydy NmX+oiJBjodTFBW2F1E2528cPvhxdKK+s6qoZpdIViWi69xzMONBpocSkhPait72X11b4C00dIXM JxQcSejI8894PMjY+nfmj3Dnix0xQ2YkwZGbADSjIrk8QhP40GC21U/sUPCvCtZOfAQHX0WFcL40 xIycWY6CGYsHClw/OJVu6X/rbSMqEvO11YoPqrKtAjo5q2ybj/+F1eKmPAuI0vA2RRGEhNBcOK9e qvaozrBNadymLWJhTVEUaA9m/gtmHzZ40/YsohPzJ6lsjUW9YzbaJg6jhd79FdEeRLXPKNBubI+0 RssWtOx+pI11/lwyLzn3Fc9HUKTvdZR5h1wFqRkTI+mPNC7DdiKruKA/l0aoCiCx1WIpEpwrifQI DRYdNaQYECcjQOL8bxPrDyoFHdsl10fjn4p6+JJor6lcO7YPApFkIP7Z8DhfhsejCPL7xJYMRfbo aEVBdjYvNyP6QyL9yv50rgYdRyiMciGtCEQiBPKc5Q6+19rJVaHzT07bSbQaE38CuHolEdhBJEb5 XyGIRFmtCtscDGdTgebBn91qxeMRM/K7qQ2nvd76naKQGSn5KBRBbYpU0MYUYW/7FKVkCtErCrJn Vd2If//Yj8bW+SDarwpgWwFFpN8NsKMUzdFxJCjS3Hrbf9VGCu5v57TryAaXiplPP9+H54OtVhz1 x1wSB82Jbjw6WqGMNpO1XdeVtOnroSJNP7NpwqBJClEkW6VI2NidgUlC4zpaEyW6Qm4kWMLkIMFw dGMJbYgiP8HReWN8R1DQ1kGUZDSZinMjqwwGqW3Mx/+q1nLR4TxoT5C9oiC7C/S/u0vVIwy+0dGa VvQ//5qdRytEalN/dCrInl9BNp6G3hgT2sz2Ns/WjisNOnTtS/WPmLYVV7TJH619O1tLohjtxARU 24eeTcHzfwH/2IgERrPv4Yf2isLsxZY2nR+tWEsvS+lH9eaTBK8em6SQGsnG/5gsjXDwm+2snJVo pnwu/3MMdemQIRKfi4p6iGgcZmOvG9TZlpQjBf1faO1aBanlTgvqE05kPP+XWhuhf6wUV68frSjO Fn2kre1BZJNCG3psVZ42Q3m/QUhWqEKSpEVPgQ0Ol4h0liFuHKAjkOM3Yv4Rrv7QiOSn5OaFtpM9 D71vJPlLtZ/KaJKDEjYcSk0JW+dL/qVcj6WETdPPwe2TFIK1s/wOipX9S5EyElZSLwa5QaRdLNJe YaRNIPvMStjyTdjOQb03lghb2hBU6VQen4nyANi+k0nt0ErKet1/CWykXeVVyspiYlviTJH8flPD 49Hd5kmtkpj1M2XwwzmKQm1U61lGdVM75OVG1lqoLWYRkdM2RbsVorWJr+kyQ+JgCwkpBgJVSiOi aL4I2GqJyshgyLE3YgASmn2sKNYGSgYHNnsjjU7vLCBBQYi3Kdj/ePx9s63LsClq1tafiRmwSQrJ kdIfH7V6sO2+IjQGdmLEiXEMRbr+DSDpVXNVHxdXitoSXIU2W9tEIaOChAdAHilUumK3Fk0OvW4E 2JY2AqtsHLQiSoZAFS+3wXcM2v63OlIFyUFx/Ha0VwwgwRY7t7EzSLefQ1DYysnatWcJmArJCoNt dteYBdnguy2hUb0Xdchwm3VBkbAcFs8IbBQPk8bQ60ZhEvEjYzUfxNVqA76L3Hiz4vFIZTt/HduU tIOggeZoR8E22v0ZMjx3jijEzIQEO8WztrRNY3PHMiTiItP0b2b/jU9kR7I/Wi2NF8SkwQjcYKH7 S23k22WTD/eOgu3zAT+0tq0+aB31ZmLSdaSFx4MqW7sVbc4nSkjz9rT3e7QNNwTitLlsJdWyXfYX RlrNy7WEmVCqPXSsYZuty962P/a2JzvLBI1Q9g8iSWMbWus8wNYMast+szV73aCGVA3Stn1QmQ2W HUU0pDLweKRD6mgtBtYAj44LQ9ohZFsaG61PL0XCEddEyNheVkYyHIa0o2BbvP9JAW0N3tAGoq3M Zsb30P1jIAk7Mqi4nxhgXv9tuvDiS4I5mpbRTh+EjUjbgE9gYuDxP3mRCCSBRHy6RzukRfI8Sns6 Dwlgdj+PessOH8E/ao7+DR+ZlNjQ8FVE6gSjQoMMOls0cwcT6WcCGinlKGsf8WbbUbS9izQ2qYzM wSKkdjYtz5idKx6PGCQOsmU7DqktrCx9kkK8tqW2KAiLH1GLu9ckSUpSrB1tUU1SbF/DA2mQbcPB mUiohgBCwv7R2Rh7q9I2sJDQXkO/xyptgGXgOyJnDS0g2fxAtFS6Yehy84YBL2Tj+Qga8R0i4WAc +VKRdhRr1yZzDeaw9bn9u/g9l4qEt9McheiRLtHfegevIm2AUrtBIzr0A0hFArRmQOWs4cA2tPYa etsgQspSIJl30F7LLVW51VUc2qGDTfZ7TVp2EEbOV6t1hwrbMiCZ/UZIuz/KkdNl2iCtanMUCv7p JGrzDn6xnfloJPDiQNpDAORzIDXqErYf4C68nd273jaq2PKwPjPig+YIGniuv8LH/6L8q9AGEFLx 1siOIu1aBfVfxdlaxIVxiua6xqwnry0i/e0YPrIFrak+OHS0ACjR5YtwstkTc7NAgjooVNx/UHEz bU16EuM7Ingtp0iOWhw0RaWqAYaP2Xg8Ska+5bWxgvu8/ho7LGpL8Tc5WwsVQsWNrPBtY2st8pw4 RWFRW22j1e5gq+hMS0Fs3UVABlIXCw1ytz+sS0Pwz8Ej2972nz4/0rKvV8u+nWhisqDUzk+Ox4Py SLolbfyA6/wes99u9o7CbODk0Rtp2U/s9qaLtLeL/e0IOTKLxMfkVzfSE2YDVJ+AzsIyWmenNcpr s6aGXbYvUKuQSoTviKTsCWLb7Q661c550fijnBBj4PG/6rXM7c6h2FN6rGyiMFtztAgKN5n27gok k1qgxLLP1VymPYUSJGwL1UHHqDquY9TJYxc0jNnyb8BFJiZsib7TCaIYZdMtWlbta9sbB/xRgf2R QLJo2wS5LpDIZyUMBP7JDwjO7Utn55UIC9kJ/QGfqri2jSgpA3cve8hFu9X/U9/BGBNnqgDWtKmK DSKJnV2S2ljtUdlu0EaACjyQzeNk6vg3wR+Gp03mym1X96f5C/+L/bdAK7CB34KbTn8l/En4fJSV fB/e0PzAtpt3ot5DbjxHb1HpaUj6x5n/wDS6rP3q2Y2QU4jY5qnUJrF9HG7JLY1eGLuda63SkwyQ LWyyEwiez9uPZvuSHWv6F00SkSR3IFRffsTam72cxEg0SfixpijsHo8AIFNcbL59XVtSVOSmvy/O IodJsFNn3ZJhSmWoA7TuExVaRhYJtstsq1yzLYghnRtGVW7Q9jbCS9ICIbUN2WFwep/+bbE3jqCS 8knqdzA0SStCSlTAAPB8hG5LX6fUebsTO81+JyqKvVMyK7t5TymCSHhKNTMoYcek+taLKt0yoYWK mg+exbXz+WeRc+utQvAeQYCEOiJtOyfj8LpSJqMUXxN1TRhUZsIAi+sxFWhJrS2XehhKpBBT8nRx EzcfXmY9llIpLncPajqa2cZZO+A5mIkt/1wJAe1kSEBRFF5/7C5dstZ98KIAnAZWtlNqoa+LzTcQ BSCi/CEjycuUadsLBxmvfDazVlZ2PYB5Ig+Vmk7st/l8ZGX7SzPxPE+8nc9TWPGGKlvuK2Wbp0VT bEoBVblNLZ7m7c5TFIdPSiUNbiwNtqQQyJ3jRN7a56JriAdXaYtYNxxOOCX9ON/YQPyaqMmk9skz aKJ4EQmdXfR8UD9Z37IADeXhUp+JCnmTW6DbKow7xW59oiwF3hS7LTdCiFVKWNItMict69JLoY5x VtRWlelcoMBig4tLGfeKTChfX/tSarM3DnIWFXVVtoQigBA1+1y0qlee0L/x+UgXcH3vPRA2vnCB kmF+jUyamUZfYGCrXtY9ibiVdeHput0XMBIrqVIG7DIC7vUSAxNA6bXnIspbYVN+4b/Gz6mGwoMM 9PeN0JMScSfFtFSnmE7oPTXB3trg88HOuxoKbDWdyHdD39qnKax+b3kBbE+ATyDg0zTrY1ZC6Ium KSp/C4lD4TYbvNx0IiwwA4noTh0+7mxbwq/hZGM4UHO+rLda7IUjdWC6lWyyujkYPPDEHWaewIAz NL65cRSmBOAj+Jv5PIU2k1MEgWmKklA07I5bamVflelrBpwDn8lMs3Cw3pm/7Pz4bSe6uYKAA0xO BW6AQXxGUdwUQdI1daHhdg6MJp3YXdUM5yB0F1RoSMtuaUw+/7+Ybk96381nnqK4vEnbJT36NySR K80jJ0hpXnHzmxx4TYojvhohdDZ4uWCBUrxJENwnauiqzHX8/oQfUavMlO9oV5Jjr0l25KjkYoOm 6ey2KYHAc/Lx+UgA97sOjoIxPSp9msKoPEtJqTavGEyzeAW0LLu+y6KwPi+80G1SPV5o3frgDLhx kquBXxgywXOlmlUyAEwXMeiYj0Bgafa+/1IILJOI0zL5R6NmAO+qoh5vHXz+fzG/hQh6g5S4T1NU C1/iCYpjwmlKw1cTmrU2TROmRbaawpgcGoFov20fjEpxInKwfThN8NnZhVSC0ekqDb2X9EgEshOe A8NJ33RDkFoOguecCG/wED+XZuXzUVTgq0n6VGBPXZOAHDpOQkeZhi63Gl6Wi05tqZdQLYiGVpqm kE9J0MnuZfnwHE7wDZ50UjjHe61UOCn0MIKkSwbv1DIXSSrl0HQSsg6A5VIMn4qaXBa1nrwvywRv bD4fuXF79NT0IwKruu88RdrcfWurteTzlM0OB00WIgmLyALJd10YjjNs2hJwk6uX5gmhFHxKVRI/ cz7oFVBQnui87CoVzIRk6v7C/3LCbV29uu62HBXRq8pQ54P4fNSB+g7HISRRoCri8xRVxamhk3ft T4bHKRPLq1SrGfBfUCocGk+uLBHAegenDJQE74lGn1eUfBpwczh4xw/5qMi/b7VOZajQeRIQUuBz CIvjoI5vpk/3D9XwOp+PTvFfJQMYhFJe3eYpjMazaMxt+Tyl5Gy41SkkuKhABRFfzVNkhUMkF1RF iw9OhwN8I8MGjIDvResGpHcA7KDfnqVjLn/uWu2Fo9sO0SU9xG1Q8ATlIyv9psrn/9LoYNYC+8v1 FTyF9XEAlADqKs6uYH+ENSjZ94hdAccQm6ewQM7iUx9r+eAVgwKwb8tENC1kKEQ0VWD3AXcGjiTd NLg3e+FAFX8zrZvk6WqX6tpqJHCydlD4fJS0zK9zHAIQvdcnKghx36zVbcrJaj3tddmVLBlKgQJ6 AjZPYTBOOPdAvmiDt6M6LDWbJM32QHph+678oA/zk3Gh/05aQhPKMhQWSGJp3mC890ImNHiljc8H SUvLX0lLhuXryTvvxgt9KJHV4VSaDiPcuThCzkIEIuTG8BJUaERJc+UK5TUfPA2GkTKiDDnjQg4E afAEpWQBoA6VKgvGIX6v9w2qBYR/Q3dYg4uTTYCvWSU/S5bPRyKC/WueCmEJ657joRPlkC8etLiz wifAPalFdaJMtX3ZcxleLQitKMW2mOUZvPw04WC3ibc42WkfSTydcdJkVt+pRWXLSXbdoRNlluz7 TNUHbbt5jkH+MOdknnz+L1azlFAIt7xRZuxEKcsOlZxYiKLnrISoJdixeYzf4ymwosRqoszk7NWH C92tCWKIS72Ek2WjxQmZjX4S9UlR86tGlWuyF46qdAQSFNYzAf3+kQsF1NAb9x1aDPyA/yUc77yj 262pBGaUMranbIeDLkfLw8t09BimINXatz8VuFGiP2UOi8MH23bwigaAgMWChlYwfTvwrfhlGqUA vi68bW8coAqsnpmlA8PsgGW6k6XxWu8l6zX/5O8oG4RTz1eRPLSkhCzI+dg2hoWata3+4zqwTfkM 6gXVACo58KTE3yDVBXHBxsMFg/tkBxQJIXmC4cIk+gL2dL2QYZ377ZvPZS8c0S4Zai4xCyCcJUXJ nmtuWbzlNfkBUTfhNqiGBQdtPalwbExZVIHK1y63FMeEocTm2EIsCZupWOXEUIXZB69AoWJQER3h kAJIrLBQB0mhc9fXH6Qgjr/M1d43iqHILKzrDl5YaaalcKJzPh/xVDw2aKJfgrH/WHiH3pTo4OHG btNxYbm7oyBtG62gmcpdUaGGN+3yaMhqg+XC6Budm6XJLm/mwRo5qKtcYx24riu9tPx9AyQG91wb c/ugeTp7r6ig2evm81FM/svqbFC25wkNopicYhigfT1YjHRLBukiwwY4bTZNcYWcG09GFRwuMYwW sEMi1Whb0IAgkWHIlnSD7Bb0gM7GW/7CwTy1qt65tdAzc9ozRbgjmAvXpLf8C/ZMtXNiHZ6+cGhQ 2YVZ2U8FSsgn0/L2msECWVe5cOBQiYarSTA0HywXhhTZKF208N3hnVJJ6jmbBmvtB1AOO58kCZdD h0og7nA+7TsoFYa14BZzBf3zwKJSdiMGEGMgQKt1mnH4TEVROapP4M8/qufVdIUKuUp+lNfiVYPA p9I9GLpQPhwcRk9vYaCTz0fCuQrgrgWlk4V293l1CIvXKddz9YVDp8qxTBJuaqhaUR3avAREQeuX z0el319WTHAFHFBZtokKzSpZFjzrqFwqXdteI095uIjOzrdGHrtVMiT5r6xXXYBoQDuJXLoF0TxZ oyAcBqMZB7L3zwkTz6FdpaorfTHc5CAg1FkU/atEHvhVIir37CVx5+Ewv9DVHBpWEl8O7dzpF142 jy8mL+vHrWHrnaYoKl9FDkO9++C1X9A+J0QxAV7tCX7MpCqfaLYLzb+/eOLdXjjAGQwjQVcfVNXc pJ/zC+fm838Z6VmMB/zszV5i18qy1XJJ3sHb6bKgW76O1X0On6d/UzOb5HQ42Jss0M6q5M8wFApX nQC9n2wYNvHgjXmrs6s1FRpXDmnowcMbA9qlnJ1UzW7jhFA98wOiifrW96IPKy8Zm6iYnknjKtWe zHPAJ6qLzSqPmN58omInHdLpBtWEOHg2vHEqZbY6gZqAAy4mijaG5+I7Mw9vHVDHnu55YF55jlQG T+hwcYBDhwp2qENx44w1Mj8gKByke+mpfw6BiKuom2P/ymayDNuPqO1s35bUxjNNHZMfyIGB5e2f b7V598Mbm6t3fjD9q07kSc/d0sX6TRQevjYfODP1LVFbmKK6hrKXP/gPkYjnQiMdEdJ2/IDo3vsl 9nHypS9Eaw5sLAE0EDtzdCtFNWGkRdRk3QWlzQZoi1K90MdSyOhz2WUf7CyHmTFoywQanMk/OQou vY7slAD1TBSicX5Stxf+16VXeFlpUO0ARdNm6kOZz0el8m8/NErqPkKWOXSyBNSLjbwrrEspfy2o ZnIy7As3X1ARcgXtG6QtpGBy8BLwCb9PyM4SMFysCAg4wQFiXHiYUxn6muuubi/8r04esW02XN5P 7uL9pMHng/QlfbsQjAqLpvXEBu9hOaL5TUjdSg5DXKZqCQp59Y2XYfdk8xTbWTKI6mRJc/B56gtM eBE2MVVpURd9yIYA6m/j2XlEfvFrgp0nPYKp03wuZyOCm066EUCTfP6vgBPPQe575OuNkkNDSykR wZDbaiy7OsIHrl3JlNFA0/KNF8blWzVNpsMcPH85gSTMNQkZO+dYJaAN0uhEjZyNsC7QIG/VykNH SwlaF3l/lOv9MUF74BqG+DOfD6LN8m1EDOzADyRJ70SFxfJBbB1bN0KuZFrqZvKkiH8yFrKpo+fQ 1FLNvFJYM+fAiTorBD7v1GQ+J9RJYE7ksHAnD1Z3WF9rbd0uVV/2xkEVGHoYewMQZ4NdemeCeKqf ZGby+SA8GL9WVIXbzroTFfpadlnI0e9Qmd7Y07lSNRk7AT1jDw8CY8vzUUCCAeO7ffAmOiQEmFJh i48TaYgOU2iMvqhKfL0/SbnPgbGlkCeoGHTVD7rV7M6H5UUosLAGgbPl98YzQ8zz5Q+iPHS2RP2V yBVvDte03CSdUo2WEMOeXGleaG0p3A4icB8sLAc8swxIfkCa6KyjRKxBQZmlswyd1k3zhoKowNsy e5o3pozkIHoudetUpxjaHdLNOXS3TI9UmkCwqPldu70c2lvWRhAiTBF8QdVLLJNSETE+2Ba2oP5w 3lm9+eCxwVlcrdbNEgvEVCYPM1RUz+8PS7lrcV0IfueXROVyVliKhedYhfzTOo48HlFQvuYH/Cmb Ykd5z5d9nwODy/O9W8IE2TFjlOk2+n2/WlezuRV4jh0ul6h38ktrj18alN5qS3RLh03heTGsqArP m46jrz8yTlMhVOBwCdl6JsIyu+CgfHjhmNGNByxUaHHJvP5ZT/CGG/mplQcel2AF07i57/XjvjLW O4eOgDOnkeA4RSE0uVTb88xt9cG5HKOdA0t24Di/Z1UbHQY9gyabKAO7CI/a6KHLpYLyVqh7xcFg GXRT/iHJrPL5YD21X2gokKcgv+bzFBI6J/13mgEPmdh3m6e2rrxc77frGRhdIqWneopcHNrj4lDy uf5hKqDQ4OR1UK6CngN8n0HDp6rcha9se+GIRUVpwUYjHtIbJMO0icAlrnDlxg8IasDpoeKT+YFf azw1u8DvMhMmQH7wuA3iKzC/+3DWy5x9+1RFYbmY5qPRq4iD1w76QBc1UdUhtQl9OLJeEEUxgOqP zpzc03NgeZkZG0A1VbIOxWUdiJVlmgdtXz4fIaIucZFhVCeO62l+hraXwwDA19Rpy61gyat4+Ezt dWcqwrBU+oNtsFRtcEwUOkq7SCQEVI6cdZgDLoeEBGq4rsQrelBgfHn7VGj926C2QgKEiMthgx0U OF9+Q31YBaYp0EMP+rwGUUW/Dw6O6RJYg1R35nlTcHJCoqCoxzPqNYQqJzagDcGLP1gBXXGgtnuW 0wlmUYVEvQAg7CGiTH84VAihXgOo865FKvOjLh80RedO1Y9yTgs8/TJB5wX30mW3ZHIABfZBS2c1 EKP56VR2ICDl/7T30nSmC6iLWfjoPE2O7zV2KlchbKqnMPPdbjjDB/JUnOBnclCRItDA9VNh0OeG Dksn0+sEoeXCGy5xz9lJxJbLWRxLTgULT79NEGpPyyaIyw0A60K9QszPa8xUgFhRa7Omu83Kdbwo q/s2Q/DL+XmNmLB+TMqRfo4cvHeXAU4RWxEzlVBKRsFFIThwrI++LMHjr/ES1g8x4z2nO6jeC49x /j+17/Z5DZbKY8AniDAggiix2vS8hkrFbkRVSSxUWlf0wvB1am5uU059DZSKlGqIqh8+3NC7t3Nz ktUCBl5Rz66wCQBkGqhlFnnTBvDzGiadr8gyA1NhoKGYJsDE+YopnaK+ev68RknlEQOHQAFnY0L0 yifoNUYqbnMFhbxb490Wc7dW2sXVt2piDq8RUnGv9D5JuePgEXerANaDrZVHRVGWEVLCgssZEMaK uNM2GMVPP68BUkHLTEWTtH0QM7GcsJTiMOyRv4ZHeHrUrxWEBHvoJqNvZDBBlVBIALm9W0C8tFbQ zK51tZZP0GtoVIRjgCyxzJvqFwW/nQVUIHMGE6cT1iRVTQDH2rgNAI697UzG2q+BUUHfhrAUNABt ULGyrkW5Dm7Yz2tUhLVQi80Pw2yAFCeFLjE/rxFRsXsP7vHrNueGK1zvnK+EE+AkcriI5oduTCQX 2XCrSudPKZkIzEwzGc5kQow1ifRraPfaFmPO9hoNlYux6PIAhRyhsKUzV7RQsMV67Z/XYAgH2Cpf CwhE5U5hKU7QayBUrshlvxagu92QcY5xnWSWq6W+hkE4odk8mXSF1+AYsHNgZ3NJOXNVExYJZMBQ eElED5IwaME1CeWvYVCRFyug89K6vJq7A10gsgdPwJU/r0EQX7HbBLEd3FFJ2skm6LUyiR0mrZRd l6f93bjS6A45pAn9OKOKvdYlsb9Jjx6LUmAcbuekdDmfI1eDRypThkrcWULyfPbaRckteqS8liUx QeT8JrRWbRBI4KR4NAuAdhOefp+gvv0IKoJgLLDth83Qa0kSy1b4OCkR8ryet9IG+UBHCsgOBBoX YRBEgMBius/Bc44T+lSCxkAgPzNQqCSHvXdyDgjGt7EvOI5+Ta/VSPwKW3UPlbmXm+zBQrZY/p7x htEt9r3FGiZ7Nt9i7yw63M4SSK/bS5FLGmkE5+AMs9b3dnf0dxLdvcbWEjZuXZudCs2+xTT8rCG6 QZEOPRvMUSmwgf67J/pNWgTRGiK8mVadNmgNZSj38e8uA9zVaJfN/jVHQNt3mrZqjqJIWpzxna9o IzzqrJubVSORo8VwRmYYSpN5sma/g3N5YOWJ9j1WUUWqARA0XOXbD/BMKPQk7+VCDpevGxzVPKNr I9qLgxJX1IMF8zoH3eedP8dg+jtaXMBC9X3nKIqmky6zsRwLl6SVTkhOsUI3Ntr2yloUTm/eUUu3 2fq6zdAQOwHRphfBidlw0QNBQXXKTD3CdYOhIbxuMEWdJHEI9vmgKYLzseVYm49H4dCwKWINDq1z Fh00RVFETS5BQbnoTtG46An13piQIbUzUEBwXHcd12p0j6fRfaLHlSCEW9QdOVdnJUIencpBE+j8 Jdos7Pd7UA3AD7baSGzfcjAszvlG8rlqAfo0DKpH+77QyFYtHjK+8+aK4FgELN052pf8vMXfaVKR c97ce1Stn3hBQan6YFnZnDizt6CCZ0t0geXgBSJ/O7oQEikIvqbeNjqNeAx1Vj6oI1yNvXNidF6i YDJ/3klz3Knta6vR/ajTMFKTFAXWvcs+7uJzV7tV7Ak2mSaJpRFl9u+RtYRZ0A9pyQcvYp/fGLgi 9txJAWcPHJ2SE5gAVwzLZG9w04XonTJX3D6my4yQAycJviSN/BSY1H7eGXPl0SPUQsqAp85SPDt7 Z8zhGJEvmkzj+2O3QxiAh5RQG2jO3InC6y6Y93I3wgvpSgm81UHiTsEmq13hNTyFFssvAOfaiZSn Xvd9kpoZx5PfVL1BhUZ57nJsmHl/3ulyzIDvSjKp0BOM0vlXsxSF2IuNIwooCwSQk89SNfjSAAig uj/KO12Os0SQhBsSPWdSYhaSKJFaYLm3cbJDuCufIwGVG1hcOYd+dr3u+ywNCqVNlUNmGcuRyB3q VjhnUufjQQw5v/dbhyIhbPZsjt6jbKwkajZvs2hClJ2de3l2javrLFBwVI+Nwmz6xzdC/mzwM6me wwM0Mmo2zwJMA+NMSJPTDaPPW7cWhvI9ynbi5Vj0teKgTsiAQqb0YqCsE4XZjvFeKsYmelpUT0Te eXI4ktqWJKGbfgKNbnM09t5WTOsANmqOwkAbiKPG48UGm6OETBzm1eo/bhBTfqh6BQII85FVnuL+ 1OtGk0Q6+GAYMIZrxRS6ibJdRIREFGrn+vtuOxFJIumS9cYo1JYW294msod6yHW02qS56URaxU6k d4ZccdAywiEf/Ng+NxbcAtgAgRDKIt6GTGooFIrQZyVrqJ7yS94vNyx7KO3t6YNOpLKayEFo/3/e CXKWDjxzRFlr4qU0R1GozVYGSo0utZuYMun+X7fquLqrf77T41g8oGVDTsuH2yRC7UAAU/RqdzaA KU6Tc/QW4D/WPZBYeHznx+FukxsB46sznFOvKCEZYJBQPw/iJ+/8OBz7yWeJbQcwapAt+TRF0TbV yc7dVS7GjY03LaU9v9S/DTT5To9jbsPi/pjDh0uPWxuib1xK81z/0NeH5hCYwwlxEj0Q3PuL5/Y7 Pe4GSmz2YuiWxJ/rraLf+EO1/fV5Z8dxmr9zW+rX7+YB9zs5DhtOwK1k7tUsJbl+3Dk1ltePpiMm 37lxDGG408yQcO5radnRmU2FQMCWAcEgx5li+5mshFZ4dPdH+uSdGleE8MSZnZoPirgHFIN5q89Z P+/MuGJOsE+NraDG1r1L9E6LwzZXK7eWS/bqzs5phso1EpMVAN5ZceUKgI+VffAi0pn4Ti8RiTNN RNhnBUHqQXES1DCtTCvbpndSHOZosdHfJMPQylPH7on2rxDx+7xT4nADr5vc+rE0UFC2SQpL2Uj4 ACO/uBFh4KWSbs5pBClb6vZOiPPr7XycELjjNovAlzg5JDRJUMtuAF5W9q7R5kz4leAFIolrmjzo fYM4SeDkJiJT8wsiwVdClgR7rs87IY7J/N1upJsA11bbXUrvITeq4KpBuvPnWe8+SydBaY56h0O7 zdJ7yI1wQhVt0r0EYNIs5XVOmkE3EtKoJ2OpMqG5toXFRkbqPTV73fdDaREzgvXiA7+kJDGxuTr2 550NxzPYJ4ny1ucdad1pcxQF3FMUiuXMALQl/IJbc/h2W1hfOrnfA27FwqslEgM0WKCEKGs3WI0B I7Ihvb/Y24cmAOS5MlTHPXcrQ68bzNG0fIRTBczT4B6Zm8kP77e9P+9UOBaWb+OImkTAudfkyds7 FY6tI5Ztx9X22mndMCA/IuDo2mglvYfcUNznLCX1aNO12+vl/Ac/OkJuyGYPIm7hIQs8/nbFfbe2 tNcNwkleb63l6YOVSuigwfMp8x2DlTTHd1oCm8S1PHV7J8FhjjrVUfltWknF9c9qF0ugMnVzLNs7 B46lkowaCfpoNmiOBm79PGuRml5HlqskD3cvKnNoJLnaLnUs3xlw3Gzkl4gzyEFTlOeSdAFc2D7v BLjy+OxaNAmz9Tb8QHqnvyG6GuxAru70t1G3q5zAsNkCAHaY1MMOI+5Jraox7uAw24ncs+YmsYWT 7Qxp7qFQQoQkgDQm6YWF+3knv1mPDEVtxpIcLHOrSZLaMEv5vHPfmBSsX8ktIGzLY6R36luRRTSm aFyD1JocRXP+hu43GxoQmqK4to3l0yi4qMFWEUyHzlmLNXO229n+KM2g8YajldKoxEMY+abobf81 RSAB+qCcJEFlQTiaycejIzv9CiMlMOZTFAXbrRhybf+4lpf3kJByPY3+bJJn76Q3bILMs5qpiQYL I2HbSI8FbLR1/kGexR22WVAH/+HVbyd2G3rbIG2jGmOvghpVhxqV0ap5yJ4Y6/NOeOPV37+vfpCj ALP3OYpC7SZdE6lXa46qcZfhj7ouor0YVPud71ZMhuJsMdxZGmynDeDUebjCteEEklVQyca7qdB0 vDzu8UmvG+QjciLcFF9qSJJFuzrzlaRVBTzK553shh9y+yzJj2jDFOFOUhhq1/1LYhDVWoFHcGyQ zCyxM++RvDPd8CsB13g+B9m9Bt9rJ4ToVLY7Kxst/caLLyMJIY3iMUZnIPh5p7mxnY3YccKrxwY7 jc5JnoRyGOXzTnIr+erm+QzhKl1eanvnuNktQc2ua5Ay8jWRKTP5VoPeu2YoqmwnnkYJUZANtoxO OHTuSV4e+ST3sJGh3C70bhtK+Dn1p+PPZOSd4VbkLI6zR+4fxenK59yHVyDmKHW+41+1Np3uSENu R/ud38aafXn8mkWQ8Nz/BDiGRkLTf5k2zju9rRgHF63H4oNF2ZPycuhXIafN89yPiLI31fZxwRUp nI0HcvzObmNWSkifISCLN7UH0Ew8stEH/byT27givpNaiNuwpmlzFEXZoOMRtO4XP0FG1iFZZTp+ HUeI5igsa5Miihz/Kf5P0t7PnjgHahK1bZaCYh5wg9D+gQJoQZPYnXaINX4ntl38WlnU+C4LdUJF 2UQU8DpfJ4B8J7bxEv/uR0JhG8YhPklRkN3ks5u6B9m97+pt7Zm81jZg36FJCuvaaiMZyWbNm4qc vGyMCfAe+pFQW2KtbVKKl6CLgpKMh5CoQXzeaW330K6T+rDInX8EoZ5smeMvH+eC/7zT2srDJ9Us oRIw2u3avpPauH43/T6zd0hANrQiUqvZl9KGRYZmKapsC0C7RBzh4KU2ADlh84pU5MxNR5N2AWxD Fjn6R3XWW0Tq9r5BIynLZFdXW80ONUYdQGzS8xmfd0YbXrJ85yK4C3u+QK13Qhuvf9rrlmYi6Hmp g0sDAoniLMoJ0tICWMi4tE0Qm1S7FJZqkioqIScMNbrISaBhWFggi/5zJn/C8e4mI2PY677PUZXp Z5NySdvJeWlDiu3swn7e6Wy2Xb/atgDwUVvcJimEkRSJmuHr/g9neK7F6ZG5EqIlz2bUZbCS3tls BjU7S6gJatMu1KblXshmG+SKVOw9lkdQjKdMKJ2arf7PlsPnncx2t1vR5Vbu5QbNNTpPwXBnft6p bAwA/OBWi3cgw/Pd9k5kw0JS/58MLi0kYPcEWl8UEaDwW8c60EKKCtuNzZH/yi2eU3aenAy3I86N s7FRO4IbMVD2aOfib3xkmFnYfieysRPEM4miMBo0SRl6FZSamJuP/1low8GN/l/bnvm/s9gYR0p4 eZobcRY6Q+p4LATJ7kPA0dI/7yQ2BtuCjLK1zcEX0jy30ExUv0E7prHZhtM8/1CcMEPWyDWYyX14 J7ERSU72KMiUNghsc+4oegEgsSmfdw4bH//GIyHLHOu2/98ZbFa4IuL4Vkco8mlgmzQ9ShrOfngn sN28FjVZHzwhAdsoAcUGsTeo9NP5umLaO0SYM7qiltiqPPLOX0Niy8mpKv3XW/qvDZbfkoFt7fNO X2Pn4JsAAQuo3vI9kKKqNqtnYB54fwRhjEeSq3oXaQwvj7yT1wxdDu5Dbj7YHAHKdpL2zHUEjkhu EtAHZquCajtusC2ExDt1jbk/oX+FTRIONkUAFRoHYnzeiWvF5P+e3B/QiNLveRSiSFRBGo86SWp+ +8MR1wPJ5F5N76S1m9eebGP44FOUqZtE7XwIjJVMs9mJbhCElX6Qy3qsrVUUVbT7tjrkHTRFJ6Zg O/6cLq1+3hlrPMy+0ZGAZpwA7p5GUaydJcbVzZcZQBtjrpErOm8FaVl55J2t5qeRlas1eHlknX97 2RQV1FWLvEE77d5JbruHEQGk72Q1y7mgeMIoaWUzqaejK6WaUUGan3eu2n8QWyjLdVTQbYrCSHup OsIcpAr51706QvUuq45Qhqvzk6J2LcvZOF1s8HL2gpJGNpGUCRKM6CTAS7AXSI3zpiJb0tsGF790 3TalXzmoggTTTEKRzpbLeDyIIPsvthF+mTnveR2G2VxFpaZ+z+vuOGSAYzzzJ6meqyiqZsORCEGK 2iPldrT7mf/RNo2R85m63pds5mBv1kghg4KSa05qp4UAEsoH/+cwQgAmz5N29jgejzBtl9FHoy8I cElKkYSaKMzuAtlOX0dPbxvoiO6CCBsJlxg172G2Ao+FTsz04Zb8J4QBNx0bzzSsrXYulKo6YGIQ cLYoW54CPYqykwgRKgBwsHXU+lB15ETeeDygjOzvkv/AnXaSRZ+isJ4NfjJEsG6xVvwjTFGbbvwJ WIdPUQQgYV0IXdk72BSduKoUnYwJtqKp8cDeINRU2SL1m61NSmv0qKBdSavpgv31C/vL0AM3j92T ifQoyCYy/TmOJvHP3s3uYZDdsypIfu+b0KRq/qXeln83X70eFbQtfkzyXEjXcwFKk8g3iR9FKakC XQuDIeK0gIzJqMh4tZZIpB4F2eYlUMhdz7LjUyoCwV395a3g+YD/OL9PJHScznK6+VqPGZBMamnE InJ/cu134LUd9zcGi0gnzO5RmD2FjURBzQZHkELuZaARAg03uA6KPNJQ9YK4GIKaW7Hdw943CJBY Puqjai1xabCmfeJQoh3OMcqXDM7t5NVIBlNcRtUDpB6xIEchODK16pW2Mpyj1cdVukOHwyYpjLPl 3yGiDQc7t8/bw/xFsu9wnKysMyES+GH/P9P7265/ViN7VNVGQQEE46UBk8Fdss7xVFneGCcUwPPB JP0iQhKOOi6CtEeBNq/ETHCHoyOHB9qZmnGMIttymYgeUyF18TNS4uBN/wH4wx5VHaTaZUJUUAuY FJAClscPJSq79vdIO5nOVpWFbMUNTjTvuRoW8LuogJ77Bs8H+23l7wgAJdJxMTY9qmtTNPIEd/hB UR7J8GK38shcNKeiLSrEHJn69zjUJo2tsZTEwTE2J3jvizrY3MwVyNQFK+r5QwmmQml81+Ccet3g 5FbK34bmCnUIwfXWRl0Ye2ie8wkfEJzdv+Ik8Ef619n9Hm1DLhFVEWDNESmyPMh/LGjNALtdKEbW wYVmEalH0faW6XciPZuDV//Pcq3oEpIoVCBGRuVbMOUX/Kjpu+C+HVTg7FFl2++3/0/44KzXIglZ lFDxeJT7+0piaH5WXG+3i9QjwHZnT3uOLMsAeEUgs1ERae1kovjUaaIOWY/QI36/JYnekgNTTT8j zXMLTBnoYfpwvw0k6xuhNi2u7dyG1lXFl0SQNslFCWg7HGg7z/qvsqhqi49H2Mj0NUcZJzH6Wz5J YcBNg09YulqlDcY2PkmJLRJW2kAQ1HaL6tqLJmZLCqXrASKdEHiSgURvXSAAacJQoI5EhdKKYHB6 OXKQPNojAElfrLSVegfN0lmL0hQASBWP/7WSyCJBm3tQUlIU7SjiJsgLdhz5Am3dgrilkapX/3lh Y5ZGVNieKiMNtmw5OILkHEIT0CQiSBJQo6SaAsLTQJIGb0skEpPkHlHEnUWxSUKQJEeQQEhZav/n aMt4PIi4bxtJUsvn3N+XHTmiiBvqw8RpmzUVhJ2Gh0klbQuT9m5OY/+jrL2Fbt/rLqQE/mgWj70g 1x3U2RQDgMaz2KiuPYa1iy+J2JGcHJEkO0LWIWuSk6GQWPkzkN6OuK7tqZvL3LKpZZMUAraThLi7 qR+dDMXMmmFDkVzTZxfn2Yyorp2VubEhCY1tvjHSEp54HY0iFO9OIldo+0mXogpt/TJuVoLK1cB3 BPBRrqMiThJsPC2ShIuQzODO/+DxoEgyvrOSRgnC4vSIEUJIpioj9VL/GCirjkSgr+pIAEpoq0Xh 9soyFqwaiDIcjCQ33GNmlSDLyfsrI4WOOKohjkPk49c/RGzwHRGiXaJH6Q4qAMBFhs3bBqW/ERa1 63fhf5y1IACCpigKtsl6OD9ebZ64rWuIvmlRrGokIHxaRVGwPQ01qtb/uK3/sxZPqktnFGC1IdFK 9Z+KU5zalpn0SHHaIE/HL/nXcUSnOgzIFLaqJOesJ/Pv3D4Zz0d9tl/B9lnH2Po+SVGwDTsWsCNn cboWChEun1WGd0cgHKpJioJtCvucG20MHzxC6ieyW1A0Qi/y3P8yPyvojnQGhvvCtTYp/yNEatOM uTVBSNrtssFGlUxiON3j8eg0ql9HNjLbDmyMTVEI1F6y4RgPW+thtFHflafR2K5oOyKgNipfSzeh DU6MyPA7ByEGxbYThC+Gm412D2i7o35ycdpVbxstIxSQhnyYB7ujPI3Amls3rx1hnP0rG4FDQANQ 1qYoRJAQUHZu3GuQt8v2wv/szh2ZUAnUKori7EnoKITRNOBHwcposCbYJ6UiTptNDDqeNVjj9Typ gnanCDsP3xEV/kVkY/2fvF8BSCBGOYlnGyfexvMRdeQ7hoRwTxu3QDLiOFtkLSe0QQHJj6PVv9wl qu+0OM5ms3bv7oM1a5H2E+/FOHstVICpi9zJIDknB6RPfasxghxhnM16ZM609YYazo+cnitQhtKw geMYPiBI/n/R/lDD7fRk1yyFgTYrJLvu4vFRXhYfMW/38wgocG22sLLNVI2y4zZciu2oe5EUDVmo cxxRpaUDlblwucC2xKv/NOjGlwTFNvkKLyb/Be25Im0WvCD399i54PmoQuJLidfuWRtfbO0ZVrZZ IVmZ7iT8x7ZvNtIsnkQ2sqtSthmq+RFjmwsNlXK5ZSTKzS46nONEmpgS3GwNCc5JeCcgn7fPRo7t DPEjiiElpslBuQhwfzIlOwkKHg+mqH332SCx2x8g0ozibBiOotI2PKudizhRgjKhaiMZ8tEcYzPD yjZt4XcmmH/ncjWj04mAUfUlu+YEMG1S0I9aBrvyJxrrgdja6/4zq5UzIgfNEdYpK9vw5cXjEaTt m4eMgBRqFj5HoQoJ8vxSWd9iupal78tq5PZCG6zKLcyeIS9S8iOJ2pDLRfmB+2sD4XemjArkNKCA CqUWdAYT1KMh0HZlISmNMOPKthTt2x2sPJLqlr7vOfrw+F+MP16DKEWty9WeUaBNUeA8XWEMiLbm cja9jWvvthxAOsO69lCPbUwfPPNvC9WSsmTHBYaFPIGokA4nwQeHvLq9bYQeYTNbOOR2ccgQON8y dyuQ1Yqq2nv+StdAYMrpTlEUaNe25W7jrIg6rvIYNFZ8HSXCkM6ZPUNSpKQ1FEVLC0/raDTx4+gv tSClySmCrvb/Y+zKkiPJjeV/nYUmw77c/2KCu0cgq2UZpObpGVqjTlYShSUWX04aAirBHNc3aRKr PWNxP3VsZSSxqwWRA2RtlmMgZYrHg27k+I6QUCzu61b+Z1jUnkteCDdCooy8bv+U/PZHUczmKEKP oLQDALLRQq7keDur5DwNriOSkXGid0pxUIVkDD71CGjSd3JGcXZlDwloVh/EiIQEDNE2oILj8ajI Nn++i7VUUXaE7YzQI6Zmo+R1KCu5EqO1OOOf3suaoqikvYgd3bqyOHijLbPNQvkxCLXkRBOcSkbS lvHrw2IrettgFZGiNbJiSZjk8QShmjlP3H7CMTz+/2RrMHluBNlpikI+ZEqPhJ34R3V7a4Reoooh yz2MQpG/KjQ7DRHEh9cqwgQP8pfhvHVmplDivwK13IGThlTQLfpvvW3UYhMmgiEkByuwAbhLosM5 9z4zRI9ctr8wXXCfQDvT5iiCj5DtX67BFula2bFsbV9eLaSxNEdRnE0tVgA473AR/+tEFo0ZbQIO XD5mCdEgT6NSHuXsQTT7jOLsRnRtX1MmbrjIpcaKOgnbtbA9+8yooC1XkNuuBRMS0FmbpJgOSZ/g XJ18vCj4aeWj5k3t1ocf2bECCYoiJdNSg8OljKJpQCL0CSA72jvMaSF72OljVR9pf1Tc+Lq/JSM9 Szoqu2g2FdIkZHfSGTwegWz+EWQl2LtcucgoyobAIOGc26+1Rzai7wfLnouFRyvCj4zK2mIVTLte mHY7Z07P1OLDedRPSMw+JHRtYX4BPQTHjxjVf4X4Efazh345Dg7VKtPwI7Xi8YgP6ZsNZw9OtQ6g hk1RFGWjzXxd3rtI/57TNgi72pGNIEBTFEbZVGVdo1cfvJqNeHJR5eMcRUC0yQkQpnEDXC508B2G NLfeNoIf029663IDfljlVSB3N6Pss5ULnv9LyMbkR9DqvdXsFUpn13+4WUj8Hy1/14+E6GM1TsQK ASSEQ1KaCzQtVrMb19HZUDNbPxtBAYJJdEUgWY/uDyLrGx2df4fPiEpsU4Ta7YNwSFBgqkJFLj7+ /+hqVrzRqp6JrCjI7iLXNHJFTF3cS2xz1+IlNqwLHkcrxGh3Xf0sDu3cLktrQ1OD+vGIIHNjRfPM OfSrKY3ZvrT+CGdbcTXbGkdbsIhzRKp+lPDN0pV7nKR/hdiR/s1kO8cHFt64kxRKaNMRe43ulnaL gnuapNy8K0KVME1STIhsokJkH/xiowVLXQwhe9so24rK1n8m7yZU2i7AZup1oxYkQdqVpX/iIqrV j86ZzT8CrY3nowjJ42xMyGad+KporyjOJkfgHKhXWqtLMYIeI/naQYxCnXpMUqw9Yn5/w4eLiyjw zhAu4lz0DexFwJAQeCR03EteHiMVtsHxKb8x/ctWTrtvTjuBc+pKRviSEXjkFkfw3DzLm3KrNksh ULvoSJpO06p0beFS6nJAokjbSL7fwlCbwlHIX32wc7sg/wD8j13ImSZluOAlqbX3QxybVZDYMcaH RLoaYtWUrqHpcMmo6rFI1k9Wgseju+2mI6R0JUjXzztJUbCN7glFor2EtFFCFU1r4b7Kwo+m7Esp hGrLE6I+gxVsz9c80LygJmLqJ2drLNiilr1R6qKWhoNH5tDrRjFS4nGUFG0j9ubltmCky5YQymwr LGrvb6w22osT8bvNUYjVbuz45y/r1uzimtu1W6EZUUyBdP1e1J45bx+8qI1ibUWFCYC2OTo6ZCgh AbKNDVOocMVWLVwZ9bqBSqsyktK7D8prS5uNR1Jrg+8YtPynH0lFJblzhD33f1jSphwSJa5tjiT2 O6gzOFw0Av4FNkdhrM3LbUrukMPtH53pOPcRNts5wU8EQALSADVr4aSC/emNksbQ60ZREsOjJP6o W1dDx+78bUJH8qx4PFpH37k/leygBak52lGszTZ1Hr1d/wy2uZiPQKbP1xGyJcZIO6poo0aEk4hZ KwcnaZ0ISXrCKNe2c6Gwnc2O99gURL49Njlv7yjWbrKM3BKM2lcw6mRLNLuErNbC40GVrc2vmw2V jT7vMtrvsTYoObJnXVeeZV9d7UailapsoxhWe8cWNTJqHdsHP7NLBvSFHiMAbQ0CKMsgGoflU5SW /WKjvv8OsdpcRjiufVCVbZ25la10LwOPBxdbuikbJ4T8rGeSQrA2kYUJMjKWs0nEjjI/o9wCSXdv 8h3H2iL7UV/bdMBUijyTdP6/MUYCgTCzD1f2OTY6+zA4qG2SaLyLDwkmqTGELLn4YMSIUqaipfNt 4PGIEPldisQ2aeUS2XZIiMQpVnK6qpEtXXm2MU22HXGx+2nuGDoixTEm9hx8IZ1b6GxV5P6gzNXK 2jfqh/tnosUquShrH/Fe21G0za0Jo6DtgyKkc8xtdtjazhWPR5qRF15TLLP96mjvEKnd6pP8i7I1 VHRDmF+yM2zAr9ck/cqIRAIyffD+EVQjIV3HzBayP1QDrrBk7gRpo99jlTYUpvEZv+FretmSjUAb kjf2yd+qJBFGnhvP/6U/ItYo6v6XhbRjnT/raDcn2PTl7BGD3dgcGQZph9gRyiCh4uuDA7WB0x5i 1mJB1mQyNkjBCjhAOLANp72G3jYq+5Ngk9Uayd4awaHX1T2qOLRD05q71yhqATXkfGXHdoQdAeAX cD83Qzh/Z7ntUarpxke0l+YURdgRZfRLpmoiU1qBpIF1VtnORlO4FBInCnL/jt4r7kDvZveut42M s5j7d8GQ2W3nFEFAWGX/k9jg8b8yNpXZgEAqHmbvKMw2W5ZaXMXmZBHuWyvxUHlqoG5Iut8OsSNj S9xv+WCr6KwMiII2Q7I1QhehYoPyCCpf6EOboiYXBj4jiCCTyiNt+KApAiWy6urHRos1tb+v/rP4 sNkcxr7jkrY8Dt3aN9NIW1JIey/Ti8oLfA1NURxl03YN9tI22Co6b42VM3WrnYytse2NKi5InufY 3hfsR3VjfMZvWkiExNhgU7SK3GrPfTDweMSs+T6Lzlv+zP6c11GQ3Rhkp8QKkqCRs/pZJEAyHTVq 97Mowo3MUgU9Wj54ZwT2BA2LE2cRlHy4Fxvy5YZNti9I63z61tsGAaTwtGjQ2aA7rcLEnnfaSXTw eJTQftf80UjsaCFqigTTea2ySQdZtRFJs9fpCW1h6L0YZHeXHU+h8AhL2eAa+eDZ2qhQlad9TW+0 dR3Ui5jURwdCdNMiWt7sa9sbBz5j1Iiskvqt4CEpGpxQX1DFtuXJHxAhRz2rHRSYoA9lfhTa0+/M yEyl9P/IWKu4tAZCSQP8L0RRNle/Sv3B3zr5YHO1ztaHaPum/viA1AyqbQ3lgg3QNkVIXe6H4TY+ JQJHYKo4wzYoflxzJhWS1sx8/q+kREXJjn13taNoUf3+HAq+cAL3UlIqLhvdqmDbJCLta5yZQrA2 SdiDIrUaHLG10GetPL/RhEk0IQGzHX4/CPlBTbreotleOIJI8FxKhGw1tNhE1zsTOMVZOWnP4g8I gqX8T6VkYPc9/qIpqnHzMcppWGoCmoe1AdDftvwtA/pmExWaRFaezVumqvua/hT0L3LHDKAOcM5Z 4PYAdUN9PcG2qubnHF/ESeBjfhNIgB+tDxZ54yvmJwIFgOcjcNvtBbCEe06CPtZzTkWxdxIGUEBk nlOVmS71kSguq+okzgPbe1Gle4htk6VLli+PBFYUfRZKs2boJTUoYp7UFFa4Z5OAWIDVb2UlIiD4 MUHTRHqtJd/BaiYnJDdvethIpBBTkv3OIyuJ4roPWBL2PcFM0bZlzqribT5fejUgYENr1E6pgRuG QEA6Af1Sf9uWrfT51E1AswCDm6DSc+dV0gAX7klElD/kInmNMm174QgLyMqJ5qs4SLyshKiVE3X2 Ep+P7Lbuec6320CXrDtPsb0NKaXK2yiUNIbdfK1KCHixrlXuPEVxuLmRjXkHW1FwnzkHE3Pec5iM JjcJ+mXAKWGjenLVAGBnqY/5rceE1qkPAgRmsIR4xJzJ5vNRY+Abo9xQGy4XpUTyQ1D84xGeh6YE ABPakWwqk3b9EZDA5e4tKSx6876bmQkbB5uoiurkhl0toQZlJE5UB6kTWqW4bZNLbhdqIPJjIpAJ m7rYMhyaAdd7asa+OQ/x+ahh+Y8ABybqaVhKour1sSWx5EfHRSIlLHxvK/Ui3nT+TU6RSgn8Lhfd I33wStOAfEuS+CYqxZTDOccL+NjQKF03bcnsO/JDfgsPCMyzwQiB6Mwp4myDzwcb7x+1G6hMgVl2 pymsfROGu9d2MM7a1adpIV90M8A1fZrC4rcacUsWJSs9cfmsZ06yUO8nT92JGNMF3aqT1jCOy5fv Vou9cFBtKtTHRe/HBgcHZhnf1cGAMzS7af9oTFSamj2eiSFzsos5ycaAnDe7C3DRs8eXEw4TmSaG YJNGltIyrMDtW6JMBuccEMzThl2SFBYa0hg4mAG+ka6TCx22c2AtKd9DoieXD8J2QaSXdUDoTfP5 v/QTKJWEXuBMj+Nd6C4JoS7C3i66a8wrLz32zfNQnbaJiiAniTCKQp6ABisXDNicr0xBV1jcLJl1 Tjpsw6qWKmWmeEeTkvyLvSSjzaqqU/WqU+/9zCBLKicr5vOREJfPk+njnRCqtjtNYVRe8j8aSiKU usI0kafsXZJczAsvdJhcVL1JjKQ0eG1uQjkyi7RUF5r6rKpATJi1TFQVfZpKs/eNWEtcTpkGQdBT 1Sl+rodJ/Z5zitfB5//yT+JzQFKufGPywGQSjWWiclu/Fcw2rkQQ71k5J1BFWKspjMkJsMXd5oMx KcZui8TlHymXTSgfLBRDkK4gjEiPNiD74DlwmfRdN0WlmJdKUeBOKQXyk9zy+SAq2FfSnX+7Qbxz Pssp1gcUbsmUpVANN0Mg7Dqqc1AnCCvA5inkUqIegAhp+uBcShw6dElqEAmFJsO6jBNQuTMQVJa6 SEwph16Ti4DBIZGXM9i6gI0BXVbgZLv5fNDpXd/XXeXGv0D4HHhNokGrcm+70mXNXLiA56yuWb67 1zJzYDaZKPCxGqn3Nnjb4FxxZ1ukopI40O6NQorAx/K6qxQvE5JJYUHoNpkpXjLyGj4INXgmXYRK VO34/F8qwYQtZQgSzMduMvCbFMoM9SeivJTibQeg2HYcki53U87QcHIRxTQpzqXBcTqUu6Un3znL MwIcqnLC83F2ut8gIbOCnSpRoeOkPPBOFJB9MORA6qVLwozLPorHd/a4QHV06Jc8ZoqB4yQUFYVB HddNsRbHfKGDbYkLyak2T6EDDqsFkwpdGry6Mk9gf26kIdDXmX2UF0BmqyBVIjDJTtEp7GTwU36z U56SMJlXwuSs0WUyZmdl8fnILPibogvxmXPFPGFBGI5TxIRT6gTUC4xXv1kE1FaTz1NYImc7dzbN U3vmqZ/Dihk2vcvPX2uUC2bpFewLroabCPdmLxyJ4TMeV8elOhkIQNcsL9xyYhI+HzG+vGKg4vr6 6f3xwg2dJ1u2tKX+OL3SgZbQjbjyE316ESq2nuycp80S8Ny3BNyg1nCS3k0H870z/WugiINqHQCY GXThf/OW0HuycJ6azikOWk8nKqsi65wvlc9HeYsfUARvydJxY75tqkIDSmyI6/UuTGp1ZjxUyL0K tZdXgEMHyk1Q0+q0MePgNQOUr6ckFkpFWkZZ/I1jnCJDYMBYRE43cn5I1HZh8WmyzVknz4EkFzO1 wk6+lvl8pCDoO09ySkC35quMk0MTSvBkEJFDOuk/1jRfUqJqAFYjIKCiyRg+TyEIXAB5OB3Y4DUo 6L5N0QhRtjwpFVYdU7IJuAWVqGxFyag7NKFURA5QmQ/aeQtVvqHDefL5iNd8AU5aioRc3iMqdqHc yn8JTSH7QhwC6lDvWa1Wt4FH0tYLbCitXS5jKRu8YtDHPGetME5AYwISDg2hMzXwzQXuovsRRSlV fspvtbo2s/p4DdyWH8K/oC9Dg7KT2PMHRBie/HWW9yz90DtRIT5lbWEJuuEuB5Q8NVENiYIEqehs ZgvqV3ucSksFG3yiJtgpxgEvSPSpSFWBusElyNzj69Lb9sYRtEDSL8Q6lcb1zWLd6jQ/Olsv6zX/ Hw4Pt+CYz86L6+SJ/F0SC+rzR6rAlnJzYQToisoDN0rhFNDtXMsHRxdA+z1BgAVFg3bOo8KmJyp0 nXhIBofWO78vHM0TMWFZpsv8ERKnOFdG5RkF/Tn+gKij4GleteigPRTeHBpSDtYU9zSRbjY+qxfr 6FJi8ua5+USFSJUpXKHBnh9TKra3+d03Ijz3InKMgUAHegLSsI7AzNXeNzqiiAcblPLgYMWVXae5 CdXG5/8qQrE1BDpDX1cwN4eWlOj5f5UK4Je3miPDcr0y8GzRa55CVcFBYYFJlzxJKCp9wTF7dkOi HuxJfQuJrND5pIhW/6ELvAkvrWnvG4AxsoTy1h00T7OddDJrnjafj4Ly+hWUQ/2gf7U8Q1dKOAGx KbW8arDyrUGldv0WwRi0aYpFvJuAGMUHX04U2WgskiekdZseZ3ANgZ7k+XngIVeoAe2SbZ5C0EqT d6dK5WzBMWjsQLfzIK9Jbxllw9/AHjQCMcF3nqKgHMcojRZv1QBXimXDlS2GIlcBsznLgTEldjBr 5I0Vy90uXRWyNkjqG33O0FYpdNepsKs/2WU+k+Ve8KYHl0NjykrN/AGIiA1Khs95pWk6V1/m88Hp 9BQNKFsDh/WJve4TFUXl9Dop5yv2gxxFbEOJ5drdnDIRgquJCqNyZS+VjEMOnuWlBJX8IqpBgxa2 quQgxUE9L6OLiAIj+RjFXjhYUFKErcKtUv1WB1QBgYgLqnY+/2cdKqsYcw6p6wmfQ4tK9O5p330N BqZD6Vsa61IyWU7nzos9KrfK5O0OjqVviJS2lE9OcjGbhGQQbrQCHN26ZSjhxHNoUpkrxZhkUjmu SSUurS7hc1bJA5fK75icaQqqAROhr89TFJOzapzVS7ILr98Tau3pJxTkImyeoph88bcn5dwGR4zh LCKrEQtqo0GCPVqxP/qQC+QXU7zbCwdQAzp69EU2PQdtvQT7S5Xg5ubzASbjLihC6qEQ356N94tZ pS685Cp6WTUVrieyZv7HqTqHbpW0hzv3lox0ersbD2UCuLcshpqd3lIAr9TzSXtDNSffBYUdZC8c iQ1TJ0ZdKlw2P8LSn5Ct0HznRFA98wf8JchIdN35AStf6mEOHSu7zLXSvhyW1V1usHsTlBFU9omK YvKRhPKhAOqoVwC1lhNWjLPzcEK1EyBAxxoTBQQhBFDhfI5cA7zK20APTCuhHsCOy/lBHOA9oYrd AstanmYj8wcElYPrNyjfdIAg/1lUfxhXsn5qloPL1CtPaD2vrE69NZbAuRKLSu7wc/jgtU0YGKBf IO2hLME0kAYQdKK2mcY1+WD/kJ8S1DbVFN7d1ha+VPxu0OKdw/TQJn9ARGj9TvSgLdJBGfGJisJy 9npzzxfncy7sYsWopqKdZKzQrWWiFxpYdpJ55xIzaj3MqAyVb1P6bicparAfxURVAJKQoPLKM9pP 6vbCEX1MMzSzD160S9KMP4dr5vN/GVgJwUEk6cVDxR6WlXFUb8MX1HLkWEtyQtWCGndBReiVRpr9 RlXXBg8P8FMHEe1kXwNSzkoUtdHQ1gFY2k11V7cX/s3nq1daxnfnO8LGZ0va6uz6wecj35hvGSI0 MXu7bmg58LFE4QBhVJMnAwMFatwRYTfltkeEHcpMNk9RXM4z+sRP6w4OXoFiGIJaecYBe4XiJh2c MwRAUZu8O49if/yYYOclSRHk6oPOKAqV82DPq/P5YOM9ek3qJbcfZtc+U1FkvoS5J6tJ1FbhNwnz Ycec6mgLcou288LIXEXNJr5de/h2qGphRsw57oSxyGAgQU3syPmq1oUb5K1yeehm2aWu3+sdBF9Z UGvm6bzX4vNBwHkBdmRKQX98zvkEUqFOyibnvrIwQHJCZwxOmf0q+WECx6B8z8g8NLRkqgel+OmD JiqRilgT8SvtBIkJ2AhgFWlZz6oRuojeqOr+xtEZRbWlTLoUB7v2SllMS4Dh4/NBgDA8iWGhgRJk +66o0NMSfwfsxLIv4MDkwHBGMfhkh1h+jggQAlNLxBqoGRSwDGywTnrvKGtBExW4lrIYLaEKQ+O4 RVXi6/tJ2n0OTC0xTVmR5tbgDeIJADtTYgIOAldLkRy+dVLx4U8CE7paAr1KElD2kl3d3khXocc9 iTzTC20t15Bgs6y/62P9DfvqdXIYM7c6gWkVZwpOexD6zWndTG8ojAp8LbNnepmxAfWCBM05Gxjo EaZ66KSHzpbpCl1JoQ+av/lZT1FkXpXp9Wc9rdIun2M7MoPsF1tPMZNTHinEI+4Hfw9lsH5i75pF 6GgQLMBEIW/vkEJs19u6EADPD4mK5QwNlnwu0ItR0tEX7j9sQuiD8gf8ZdzoJ3nPV3E/B+6WqFkw 0artZnqtXQJ+XcsBdiRCaJ7CwLywVoe6hw22oBSbF+EQYf/BtOGsV7hwgGneHyGnqRAqsLeUuQ/4 wLTdGd6tPxkxPLMYGmTgoUJ/y3ynSTcetMW+5ymqlUPc9QSYq9efK+I8LdaE96s3iGdywMHvFpfo FGwfnPsC+2ZaUKOb184SxoyCOX9+5w2gLAirLsOjRnrocTmHeV1lH5QRn1ySjgGY8Mrn/x8LB5hH NxQ5fJ5CTmdnID4NzIo/rm3zJKMWztMgfoKRQWBz6aoXYzDW5OCJXoY9IejFaKQDpValegEc8Ma9 ALzDRbBse+GASkXlFD5xBig/S4gJhRwW/FCCa/wBgWf67l8bDxnmgLatT1QYlBsAOE1fULW75DU7 oMZ7AeraJiqmdlIShWLNGjzL62PPIXmQM4O4IujAAy7QZvTUH505uabnwO4ym1Fh6RQG56AQqmdq soArtPV8VAT2pgJroUjWCAi8MxWKFmZV6rLrOu7eHeuz6pXkMUE+zlSEYaksl2dQeW1wbGuDwBwV y86dl88dbkc5Akbk+CBQ+0SJIBSYXiJLoxSfBNU4qKtw1qkKB6DC8Pmoq9C/spcMPYZFNATn6fMa QVU3vRj8LjhN48qENerCcJqgompyc6/xUz0LWnhyoQ32gzbA7X2+bTonZkA2iyyxC9tNggc9Urx0 KX6NnipQOjzFJxNhDpqiDD9tNl7awtMvE1QhQKAJ2mp3oka6OD/c6dH0dJV+eY/xzqOZGgl5sCM9 f2SpLl+eWTA9BNadb1IaofWuoXOTnnvgnEo8vs91vCdrnjSDHfBIzyiZm5nD0rH0Oj+J6gEkgywf dAh3dJ25186nfV5DJv6A8TU/ABiMUpLNz2vAVLOYxeiaXwm1/uW9u11CbY1kye9ruFSdi1/QtbHB wu98ToBcWexHT34BTM62+mK+24BYeUwKOt/1ffkU+soNSYNxEIz15Jt2FPXdPq+REibXsL6aHlxY DQL3mp7XOKlKGJk2qdPjpL1cGMSOpiZAtNVQXqOkmplJomHQhw+2u1Bt2HTJ4vTA2oRyDpCQGEAk Ez6uqJt9+s9rjFQR43DZJMbcULr+EVaioWxCcBjUvT+vIVJ9dK73jy75iexv2fy8xkd4iDLXw72+ MT/b4u0GRaGLq/c48jU6wvaic8XsVHLm4NF2gXd2I8zw/P6JbhEnK6VeDYT4YQd6zVK4Yj+vwRHO H4aPda7hg5iJqMksmZ/V9nkNjaqJMnA+ho6qnyFcCs0Qgwmqft9fwk8zXUI0M1u5vfFtxIPXsKgm zjSkCWmXwsEbKuf6agkLtWX4265M36YJnsCGWykktW4vk3H2a1BUUd/hXU/RPA3GRzznrHxS+iqf 14gIa8HgKJvelpDxpGK+5uc1HsKhrh5m6W5tuWW8y3gILjPemkumcvkaDWF+0Jds5KLY4KVcuFl1 UIohKnNW0yQCseBUnrCTzSiC+w5jvvYaC2GHMVvrg4KgHZwGIUtnOZ/HEwgGbJ/XUKg+odCZIEzm lEt2sRl6jYOq6hoAgPXrR7QfAxBXK0DECH9AChOHJ7TE9vLywbYYamt1NHqk1LHQRSmkIAKiR6Rn IWPQImsyyl+joOoqDgPFVBtUwz2TXthoOr9s/rzGQHzF7Gd05WxSN9rv+NeyJP4z8rOGlPQ7zcDE KkzEYRup7rUoWd37g7QNGzyinhv7NmuGaIBO7w/ihxOlPOe+CDlmi5/XmiR+R6J0CBazgR9yQqtO sj7Em/B0cIulGwSRpVgBSh++hl7rkVUqv7zGHMk0qH+sY3ruixQYzUXAomOaa2gOgXjHveXhJwNP nEQKeQfCCU6zKHXnk3NAer2NfYFxtGt6LUXiZYmnaIVdEw46hQZdIZi9Z7xhdI3dCSpTtGhQlCVu LZZxMEkG9xqGxKGB7GWrlFs7WtyQIh1Gs7Tk1GT+X49ZI/YUmrcsbmfQ6dD8Lhv8000tEuSxnuo3 KRJEO20oWCQUnIPWEWqYQ54NAwzWaKvN8hUOjZPo90TlZhEaooUkc7Tu1xkEBB1JUWp1YaINnrQx esJ4mg7yPGo0XL5hWXD7bmx8n3C9NOLASRyH83AlGFntXJoJft5pdDywZdYwhg9KXqnQwVsqVz4e HNh72Rzh7QCFG/3eaO8kOlxpcv5eFw6XLs5L4mYOy2kX5xXMEfLG1WjRZ4ODTSAjP4sM5FDGWJSd q8iQmbcCuu0h0RBeN5iiLuf4Lau97UH1CbqSIeXr5uNBUGTGqFbgBchlzHzXURRX493YpLhhkUwb KCSfyhW3zN3rtFFgLeTEmmokzaeR1IEAKNT+BSDuhIrki8Py7cTUwCjlL+lmob/fQ2uXtJiJSDgO SszmSX8pBA/BlM87f45Rsu81cshwAeySfY7eY2uBW8gK8zkCXfLmHs0dCTatI1WjjeYIsSMsz5IP lpudSSoTSqks0e7zngSoTtgyd5ha0IeQaMHMUOPzTp2rrjwwhpIQpDuCYw2C8OVDmFBQC+ZIjBFP P+iB1KmKoEmK4mt5Wu3iPjvQcTEozlmLj1KaTGQSf1KQoSVSC+lWqcEytIaCbCrNetxouSGBbWj4 dNy9GcpH3uPO9rrB3SYmZiGimQMnCa3CydZePefd5503V8HDyV8HEnQkQYHuPklxkL2EJ7lIACou Cwkw+0UCIEvTJEVRNo3jz5Um+Nt44G8nAlirm9zHWVNjs9hfmC5hOWUCdO1IylOv+z5JbZrzR/LB yWASCvmBaP3nnTNnebBNkkADSNSSF0LeOXPYpKtJXcckwAsh6g4DSObXWGCPZpMUBdou3Ti7D14q Iq47MUiCqTn5qTAAQcqOdCuDf+NU+tn1uu+TNBhoIwjT0L3qk+Za6kR2Pv5+/fe5v5JZwF5nK7eY 9h5pg1docVEz6M2WyABrsuvaEK9ZnbQThdqDRjIVeYUNfiSBwJvFbTqTBOsWsehRMkaBDNQvL8kK R/keaSsUPHOTyURZ2eV18G2q1QoJss87Vw6/bPKrjUd8JiV05buQomBbCdumQ61KaqO6F+Fk0cGN P32Swmh7aHYYTtb8ZWddIcoA8TjUrc9HSd9ytHaOJAHfVnkq/FOvG80S7zYRm+YlNmGhNxMhAkYi iredNKBzG67sTaJyrDpGsTYcHojITX4iFXcAOY+7aRPqtlaWfSfJVflj0oqo+eDtxwzuF6Yeqp0r QxMXdVlUPM8KWOD0XfUvlCH4Ie+X2y4ykundB51I52ZoQlKes/zzzpGrAg1/Va4hbr2mx5HvDDnM 7FTt0Xu04Mlf1HJbbrZDeXne/+8EOV6SXeTd6oODTGGQmtC5aDD/qE2Wnw21hAyrip9KzRI7kVh+ fGfIMRQkvq1WDTTp+xH6/QTvjHwggvLOkMOxn26BlnhA9FNq9cvtnSCH9SsT2X21m5MYFlxKX61s CCppKb2H20j/SSCsu/rgBZITEJyknjXa1sBjkfTQoOoXRNgoQOAOYDy43/lxVd6dyGul8AFIkYg1 AxkyS5Jw4f280+OqHMS+yvygFezmAfc7O44BN2dJlDgxnW/fceXsdba5tx1K7+Q4LMvdpOhRfLAN d3I+VEEouttZsktUkyffLPGbLH1epA0VUN65caxY8Djq4oX34g3/iWuZHzhn/bxT4xhx+1qi0xk2 /M+gLbGmKYq5F7GAyQNHXHDD69lpjhtzz2757Ts1jntenBMhJ1t/PAnrmfCJ2AJlyhNHFko8J7ij jgUGAoh3Vq+Ve9M7M45bjvh3FWz7LdjCIULOtfVM3uedF1cfVLfObpxLpK/YHIUlbfo21vJ4yKft ITdro4Z+317SfmfFsZpEOlxVB7veDnYDwRnUic1zaXNnULkRqXKC3WSZOJymhGXRlP680+IY2BP9 JymGKlcrMpyBAJMe3Fyfd1YcQ/bqYQALbmAf9ttWew+5s7DN5+bf7pQ2c3JlpmeSIBzjk/QecitB X01tIQ0Xo9wg6DypRZhgi0bN1A32P2F0CGafztrS6wZFyaEqwCo+KKsFw6Xb4tifd0Ycftv8neDC YarDt8XmKIy4qy64su8FR5VLJrhg/1/eoDXX3vlwDIYxObyLbbBoMsHgomeJpY8E3hob/CAvDLSq MvBJnruVodcN5kgCxRTFAzWgC9FwzrwT17E2c5bq/rzT4aqUxL/uN9gptPo0aMPqNiW2UnYt8Gx+ 1gwD0rjlpEs2eWfDsfLMJQS8sA0+SwCLQINXdrtnm01luLAqIwGduvtucLn0ukE4KZcr2MbaYAf3 rEp3zwLlOwYraT3lWyYxkGS6Xf53KhzjSbk2uL4XaBK23epItwWQh3Mr35lw2G5cShRPs8Emac3M wpvwkWhtUJ5ho5/XcEHN7pFSZir0eefBfe223HzQHIHL1wyWPD7vNDjPwu6JBAh5x6K0TnYUcjcJ U+y5LAIYLqNTWp37mu4t14R558BV926skrquj9T1WLANqgi/SBYEL4NsHcCrzjrCU5c0QQnMzzsF rrqAB/WBbVDuNli0+qF1Y/m8M+CqXMS+01tkbvf2f+e/eZ0uGwxLoWS+oWTpw282NII0RWF1m5nb oAmqhmQXTYa3JVY5cpJ1/t7WbgS7g8BkSC13I+AUve1vU9SBKLJBSQkYaOpmn1Xxeee+1XwF07dY JTiI0vLE7Z36ViVBfrv8Jph+e22i5KjXtqyT9M58I96IZ3btd/DkduN4Bu4dDVtQc9nzHii7nfy7 //DqtyO7Db1tkLfJTWbyWuOg3BaOWdMof+XzznqrEmjQFPHvQvZpd8/b3klvmKJNhZz5xV/OCpUo 47wd095Y2+78SdFGm9xhVBzkcCHtdEnbnCJcljOLbLrodkLj+vJ4yCe9bpCPdGmeVWme4ZfkMX1C sCGnlHmu6M874Q3f422SSBzuHFtN0H/OUhRpowJP+VNr2mKHmdQgVMqu5llxpPY72w3fEvRMgNxY Pthek/o0uthY2bWBwadqE92/ys/jj05Ky+ed6saudmEJiRkuB6skVbRheAiP8nknuiE82fUrZats X11ozTvPjbeEMNqpX25EuyYgu15szXQTkHeaG1PGxbhIDInW8l1HCfw9zAeKbak3KgpUCMjiV6rU 3r89kmGvGzSSJKfQOEe9XXAf6UxyYu58x+BSy/+g+9Bqnxdd885xw4eWLkzNk/zP6if2yDcXgeeL Tuywsr2IEV2j+uB9JMhYN7i20Un2JD6co4QFvGAZXqRzNh7Y8TvDrbqc7ijk4XLQkd2pAoLPW/ge 38NsrIj6dR5B01Swcc1RFGbXmZ911FWadIjNWsUx7OcGMtbWO72NtxqdUigW0KTl+IPt02C4kuoQ rxTYfpmEUXN6QmoFyqXXcIeI43dyW3UYG9rmHGB6qjC7wMqQRcZzCXzeyW28xH0hkf2AjTZTvudR FGbTRs/VOdjZXtOBWsX/7Zk4dFa1ksLSdqL24lDeNm7mP9BLWp0y0bmdCDIl4rLPG2aSADegsPnG kFgdn3dq2+239exBAOI/TlM7a1DMkXMpfN6pbdhvz7GNv4uLQ6hszlIUZzNCKjUZMJTFtmZrqVHk VXAtEP41S1FtG5QvNLVlKVOvpQxIpf3sLbij5Io2dM80bgQsJNMQpGKPexmJvLZ3Whu+VTqBdLjf 2aBDacKLXYjanT/vrDa8ZL6YyKLyNgUYHTX6TmtjCIBAcrWZvE8i6I2sCLpbpgzUfAphkXGsza7k lNPVdF7epkEaZBepAXc+aEgfNmGaNiq3JY+bjwwaEbyz2vgfGckRF9klYkp2GrRimYadb+LzTmrD jr3IUbIkoaxPnXGbpBBLUpnN0o3uP1hWtHYVSZJGeVl9EuAeKtbSO6eNe4FdSTavNdgknT0ELzZi jymAjgLrKjC9/NnU/5rp9gBmK3rd3+Kkih1gg4Ej21z0g4F37eed0MYSxd1vfDmclfuGSe+ENqwk KaGXrTYb56sbfn21oUUFsvustpKi6jZv/5Po0XJ3Ppa753pAo33IvvF8BzXTJqVSHAOSlXSkePK2 rvcNTm9CbNtgRZKDZuks3UajKnz0553OVh9rQi0l6L/Dpswn6T3iBuJmqS1p8pR5JNb9qZG36m1L oofPG+6dzPZst0pVZg7e3z7/y1pyHzjpG+hmmyupn+0GcGneD397kAXxTmZj3WuIPXoHQW4m4Lkm x1w+71y2+nilK3FDs3ngFrc5CivbnVlJScMrJALfCHFT/X7biNV4cr8T2RgFMIouJNVUd6HC/dax aKBvAEtzJCWMAnjsdrRx4Q3uya1KJO88tupY/zbZBuCgiPsE8UP5f23t805jwzr6J+IG/gqSmT5H UWWbZJGTclb3Su1oryiaPIfHjQEgMKY5CiPuRDZEpgh6yVddeJyMtYAqT6nFE33DkIpq+hA+R8sY NrsWcAsm8U5hY/6f3tg0teunkQ4xPu8ENubG36c22q8NJQ6bohBK0tUVKVdKSdwI4rXzuIRIqFRo isKAm1utdZZIOPgUnVtnL3WSwUVFF4+IZVRxkRQhofV4O9nb/obbIkjHBsvbAFZkoe1coJ937hoP s+8YCddInxdH+k5cw1OJgZFnH0DbXMg/rHI9J+mO/3vnrd3eNszjfLASya6oFQ2p4eHbSNncQiE2 xRX1HEaEkb7T1ohywEm9C7uSHFSLTOcfOQ00XGtRtJ3zdzcSdboOSphNURhsry09kuIlEgpLm88A y5JFymVDJZIWQ7ZxChVSizX4FJ0JOtG3lEnqBESf9x8UBhvagZ1y502FtqS3DW5+UiAHHZ81qIp0 zrtWJIifMx4PgsgbHiUFnOxd+hSFkXams8Y0LCRI7dNdUk8yelU6l1sSt6iinVinpYydDTZFc+8E Y6wuu91zTlEscFA0pdLSJ9+yv53XIYpkM7PtQgH2cUvaNKzjMioDj0fAtruM8JdB4+n6pkiuieLs nrSOGGcLmmQ+aahGluzrKLkRQ3+PsxV4IJQDtE+DFZESVH9wzZHtD6nwKhGc8xV01LdRwbIwW/YC PaY/MhnZ9BziYOtoETiPOdp8PEIjz++thjuNMimaoijKJuEY3drlW20OV3vtokxwinazOluPUCTo nZxAcNBtl4NNUdvnCz8riKKT5+Yn2xKaLuj2Q3cjp34TtkmFjR4VtWFCAIgt54iDAJIDxvWE853s D4//xdGqzICBgs5eIelhkJ25jNq4dX8PJakP+KDai937PSpqd1oaUC/RBosfz7mT0x50Aa2gcaKt Bzs0QkiAZs/Q2/CSLeFIPQqyBdniFYYBHQOetAu5G9OLcW44PB+QSG53hH85sz6eL/q/h2zIKoe9 5mTR3q8MfJ65WZyNEE2yNj2Ks6ds4+zaSg9qe0HdfMN3C1AbYJIplNSp8ZmA4IBzoZdtaS6ITwki JDuUsg4lYsiR/dfzRRIe0VvveDw4uB8A4A+1cFCS9IO7R5RI0sLOl1md0bakGowIaZTqXW3ympiM 9DDQ5obLddzBA+2ziSZsvliSrMjYCLbFj6SmUKYPuN3/3V436kWyRdJpUDzgTaDez0JTRipuZ4vj +WCSxnenDY14KA74JIWRtowXyMgw2nGxOtK5hrbXkTqcwTVJMS+SIXaXK1O/aW1f5+5PfdAYJp+p XJBpg0/6WXoTYG/zrdKpRI3X/h5qS7ydUGTRSc7DDLABdwK3E2XQc9Hh+WjD/dMAQL0djBubpLC4 zZQNRncqkJw1U7MVSFahVim52RBHYe7f4+K2vIPkAl4eF/CCHgZpbJC8O3OPu5+YLZBH4dVMiXxX 45x63eDoTmLZaK7QqDNV+5Phri5M37lI8QOCw7vvr1mCJEyfF0ra38NtONDSZzcTbcT9RrelM2EF FWmsH4iSgXmlMlKPwm0oyIOe/gzeAoDpdysS1DiJdAFy9BzesI9dE+gsgPLcwoNanD0qb0vxZ1Qm thwE2YLlp7RpzgGKx4Pkv30XSEA86O22knqE25YpxdkDEsSHHcmwAgn6ZK6ND26mHdwRhsQuuCVb r6WWH+G2YPBQuxpw23JOVsBMCv2PubkLqnR2buOgqviQCNam2rb0zLPJW8NqNzUFAW3x8Qghub8C 7gwpqZ4uRLKHEXczt6rmpTaqSanUVrq7op7Mott2i2rbK6lPImhyd2reRg2bdxonqcAtSVEAN3OC cy02pBckB3mkPUKRdBa121jDB81SRsmUszTLxOMRXfs7nKRV1BdNYkQh91R2q6Uk5PatkaTVbwcA py3jyRGVticZgOdVqw/elWSddlPZDozsBW0qpG6IMLFJ0XoTlcTEuUcUcmdKmA81bsdt3HbYZU8r tWU8HoTcF2tLJhwE8c/r+RRFIbdlt+pns+pGN3u55RQLBqoE88VpDwvb2m0oeNhwy5HoOqITSfPK cZYnRUdgIbZYgEB5xUXIgMDDhwRzlNX556aDZOsPFyy6kiBB41ZHfjvCyvZTa+MsIRToF9c2Qtx2 klFs8lZSrckrSRDTNr4NNJpslqLKNn4/ZCRsS9Iq+rwyEpMTR0NSudCFotEXjApA2OJocv+UcfMS qMsMfEYAIm1W/ZeCBNcFG0nVIFv9/IPHI5bE/F5IiNxwxdoUhUiSwb02860BtHZZksNDSSiwWiVp RPE2FW4bXH048AsepNuAWbt6qoqSYJA63fSkIcdEKuz3P8BY+IyoIynNsVJ9UAmg4/5kCQCSfyMs a7e7jPh2oCDmZ46icBtgSFqgPrLJ5Qpq9XlhbSgwahlF4famgkQRI7k8jOQThp3fAV0eIrYLqhtU RgS4saO7nbEejNs2Ab3Ch/xyIJ2ED/ltZttTPCLMzZIS9wnp8Xy0kPLXQkJzmW07m6Qw3M4qjuTs /ci6nJIENRFP3HazBHdE4bZkflIbd/AYqZ20pHSqs5U+ek8UTKKsbkffdIL4oYW0yf8fIWBbElrD pOyu2zcbqkzFThiBxyNU+81uiV6CLOO60fYIEdujSx7qpiQMzjRHo7m34Lx524gQ22jWIXta2Qdf SOdKKNAPIZMUtjiTtK3CMC75HBlgu+ptoz6bvDyLhmwoPkDLG8tlTG1HGGrP71CbxIhykSQjqmw3 LaMxb/HfO9x00b17DT0NLaMo1J7ERwJOo4EhCMm2yBYgFEjLru2kpQG/2JNDUBPtThHoC/iM3+Kj uaf4bYjWuD+wiDbT/3PZdTwf9dn+SdpQWh+3qz3iUFtSrfkikshXsQbJI2izvIc04lCbaiSTgpEc nLV1krR5VidrJCdn33Axh+IPYwCoaVXibLXXGESOMNTmvVYkh1gqmnTJWFsQ3MBvCU9V/IAg/y8X RrqkqDChW3WPpDDaLlKSKN2DpGru8RBC7B4kLbhBaLvF9W2xSCSHWIuXblH3HydxI5KkD+jb0rtk sKS00HqcnCf2AIhoxYdEPVvqI1R2khqKOyIXQ3yMHqQ/4+RveD4qk6TvIAmp9UQoqFmaYYGbOcmS Hewm/qU0z0nE4CKQpNCgsvMn/RoBZBkN58doeA0wGLDNaJJ3jnTBbXG2d7Dq5lNLEuF2hjiSIpVW ld2SSTAXuIYWxYa1VDweNUr+af7DAeuBJM0o2q7KbVvz3HaV7lM0cQZIlHzSlBxB0gxpkpSOBgfW h8tsOxlVmuwB1FwGPeyx0zJkWxiAMLc1uO3S6/7WBGibpCQOutxgo0uYY6u94PHoUPKMhAfY+aX7 uofSjGLtPCkaTTgvD6XzX8atSe7rLbEdJjlDjiTNA6mcaIMXuCFjk5D6EEUCex/AtiZLXymRDboe nchs7xuRSLTbVFGqTiLZ1EEk3/aE8nj8Lyk72gShJ0XUnSYpirYzlTTnF0KitmtWQrqCugDZVRJm WN0eFEFMhJRysIXUTlo7E4vIGd2NVikAMCBP3FEwaQ8kmXgDfEYwRU3FEYMBesMNivyDkGLo6OPx SEzzm//fUEPIz16Lgm0crtjK2RslQPP4OkrlriOAAnlozyjYloIEtCF98DgSCrF1ZiX/kJKkQ045 P+TkIqBQMdg2iSTCtmdIj5Qk65Y90HYlKcgqSoERGs54PGhKtm/aNqDRHVvU5igubU8Z3VxFOx62 pqj5AG1uHDlDRZLMNtoud7BldO7Efi4wSiTBCK9LtqUhkh+QqIdkswdJ1d42OLJV0p65+CByZC7s vyKLHwOP/1VqU8mWJgL3VotAJNANo9KoSW1AtMWX0aIHkiGSEdtxiqLCtm61RKl6DbaMBjRVRqcd 14kmG+U04P0L6ibwYlC3uYy2oreNTuwmDvLS0BVqn0uh5CmbzsFX/EuORHztc1hDH8ymKAq1qVZK gJCHka05WysXQwLAcbn4iR3K/pUuRPvwwYuR5WyJDQZNY5cB9VVMEYKbcxVCei8/pX972wiKxNSf NRQNVmY7v4Zgtmvz8Qitdc9rkilgSV+e0yhCkdCsGMW1h4j82LrJR8IEkow/OqNQe3AZlSS41mMG 305Sy7WzpUZyjjgK4BVgcXgalfJIaQ8C22cUakPfYICtwbQEXCnJY4GosFlng6zHZ0Zl7X/RSPTc SbfTNkNmJLGRXdrZFEiqJhUN8ArREmpHDouzZ6hGonrtzndwwNbZE50mX3SOOP90RpDohBLmAG7y naRV9LpR6Z/tEeW1o3npv0I6hVzMcxDyHYMQsu1/VpJcrR0ksaIwm7Zj0si2e60sP7OHqwBiJXXb bSvCkQzG1/Sws8Gv/nomiDafVJFqezG9OxEuCOXId4vjSIz1v8KiNvFIEPT3wSBb56V19Z+4Ao9H 0j/frH+8Itk6NkUhjiQJNDq87l/zuuXa9ExRv1MU4kgki4h6mQ1+Zs+1UmLLLwPIsDcNmAEGR6Vr 09LJ4Uj+tpHwH2tHTbVIZJYqsp4fDls9HNq7Fjwfwdpuc0RaJPsb17ZCNe065Ot6T+2Uva2NoOYW SIoVI1cIJCECuXSKbRS5jzTlIqyOF8lps2wo5WTw7gqiqryf8Oj8LXxGVGdjxX/JuHS5cWmB/qto yOfwxuN/RdkSLqtwVfZW5Iqi7C4PKYjRujrSvBJSLJ6qzLZdQmqFWG2tI/R/bfCLrcOYtknxF4bK nbamVBLrnKcv4b9kb/sry2YJQIr4QrIYwMlnplsoLOD5/yelhQQBOkj3PApltVHZOsskWXEEuvrN JukERfPWIqcFSCsmR3J2CmvbHC6tDWghwMLoOgLvMHaQsNnmIhEaIY3jbKZeN6i0SRJhqUbCCp1q SCftouI94omM5/8KkbBqiEgFXNwmKQq0cfnBdN2I/uePlISXD+CyRgmgyMkn6XcdEqvGmoWa6ZDA P2MyRBrQDEW0BC4SagiUFi4AHJoLZyK0bUUgkkUzstZYueWgjO2kIjLIgtQGHo/QSJf1jxkBPOG8 jrfZVhRrLzn9iAWhNlv1Ots5VpwbsSEYplkKY20GkjIA1nAxW3nUnSj8c+brJBGUbEuEmwCiQDyb lZAY6eBDgkkitO0EofRF6sWKrycAzcrfekoNj0e8//21kgDZYqBlcxQF22Q84brxKtvuLGYTHkFD T3GRVR7BHIWI7XYN3v/xeT/JWAZogjES9MgnfkM6b7afTSj+U2YDRFOv+yuOFAgiDvVHYKR1Ygp+ 4kCZbYV17f2t2NYAh6rp3v8xP1JGEXN6sJ139WBbaYrS2mlqZCusa9Nrepkc+XqcXCfyeSoZ40g6 /w0OTSghYV8ijixUu2K/VlUVfEhgpSHk/yKsjYPy2pM6FSn/tsF3DBr//6gjg/zb98WRrpgdiYk5 L2gqJHnPZbF2lfqAVG0Fa8cchbG21hGQXTZ4GMkCVUldNe2FRgIQJGAbLKT+mRA+C5LG0Ov+1kNq nZ5/rRu3EJCd2QTsybPi8Wgd+d3GuByqdiDGaI52FGqjOHUCl9GuY8S6SVujlog7jxmDdEcVbago QYGMxTYOfh5l2CA2ypCcjGqOTVtOmBmeiwW/dL9tNllx7yjUbirTZuYRHLSMsKIIWDmh5cLjEcfG z2xJIxTQta5Y+3uoDWkEUSJSsQrScJzkNbhllY0WGFRrj2VIWKYF+MkGD5Fmg3ESkGMnezsXARhD WEb4m1yeKC37vUbF/x1CtqsSWpaQOKjKtraUVGA3NfB4gI38h0FSqB19t9oOI22iR1AW8XRkzSv4 U+3fUmTDYEg7jrRJ+SvMblVasQjpRBEzFTFs+VFYabUBZ9I7cdvzOnDThhcfEsxRFwRZ3iy9O8sm o8CgRlLfeDyiRX7ra/GELFdke4e0SApIIy6yI7tlX0d1sJyo4ygVo5DsED6SVKYVrr9cdgQa2ulc /zI/gmK0xBMz6nKTrSoqR1n3iNfajmJt7syTrLU7KD6abVpnZOeKxyMKyf46jpDWnnjKr/4do7Wn RDbdWdOEyKWetq66VnExu/07LRLQSh+cZgNPcrTjmdYu3Zaos002uLJk7KzOhpWBz4jSEYK1G/si Jxs+RyTvayALCh3HIJqH5yOo1j9C5ABr50tF2r8L/tl9LyESo/8hq2XMXWXS6nMUo0cwR2VL82df zZ9zbqyTmVXBkKAdjSIVkBFYEwgn23qw2mvobaOiP8OinpoPxkQCRYFHdsWRHbrYlP51ZKPmNPMt 1+4IPILeBxpGJXl0xOq5pmh9+SK4D9IO5f6Y+KfNKj4H32q7JpiQcBlh11LPHuZ1VeRWBCjezO5d bxuVa1ldkwXJcAuSk9ZAbqOqbsbHo3QtfU0RNMl7ubWRHcqQWG1kXHuNtkzOpm4lIrRmrQ4c3TF4 hKiRJsexdjURTiR8Lv02KEIOJ1IyZM4qQhyO/+VnV09pC1MufMavVmyT+hEctIraFuseP2bj8b9q bFbjxc2/7q0WVrQlHT2TiyKlZN1IVInZjTSf3y5e5P4VPNKocmSDLaMKJWwys+XYnmRsR6mnRpzW voA/mijjM37z+unbiv8uroGieTEoex14PEKO3DnCyXNWO6xsHF27oyCblukw7LsKZIzh7DRKV4Fs unXEjoAjU4zIvJYP3l+DuVwr8mTrHduQ8lr0izuX0M++OK2Shr3tbwIkY5LWxkGXGownuTRgwIDH o3z2u34EDfSeHgXyFAXZjbZs51e4eD9Gq0xo17T8H63sa8eaYn1takeLGsrBsrUGqXnCZk9YAUkN 7DvIRmCfE+SG0sYPLikspeVvHFiPcZ7QM+AAnqZo6agUkvuBM44/IIKzezWyCNoFIPTls+cUav4x kCxgKMogcgHWKfhIZTBL1P+5krJPVRhtK6OtnDEOntjCaHlOUpF0eNPhl+rWVCiHhNvV/WG0jU/5 TUMqi/afnfZf0fkvxKyej8p8PshJLhSZcdI59c+269dDIoW2Njybhnx9x79SW7yWVG7byOHkj5BC wLZJkahyva+uPfYv+P/M3IBtI36HE7V+2KmE8uDjNZrthX9FSLSuHsCGTCaX1FhJNtWwXeYPCKKl m5l0ZbiAIPZn94UlbqSsUIxIlpu0chEALRkwEGKkjrbJKbSNJD5kotRuw8UAFrgikt6OElCBphcE TlBwTo3K7Xs87dtibxxBJYvy2+qDgUnqKpJIBgoAz0dlbg+a8JfRtiEa3ycqCr7hRkjOtuu27Db8 mJrb2AAnmWg5+d4LLW42SVvqGHHwLO7cb+1c1ia6tcEEQ1EJ4LuzSbD5enNV8sJeHz8mct1gpRt/ zQaVTE7QYdDkDUuJFEJKrsodEzkCN9djKZXCWjeDpyVsEhu6mWVJ5pBlODdpIp0hDjCnsNjNqixY oz44pyQVam9SBXjB5gZ/5eTSZ5tv0usGadwqUSZ/4agowMIJUkUbDOY2swRj6wlo+fz7RLHq+nBv N2CI9TnNQ3mSKvmf6vO0CBGiEtA5g7NdfHNQwbXrRwXRQZ9Skxo+eCm3bUBUqSkNy60tpNtCzJOZ D3Fn23FOdV9+TCQrxRnqjDc5GItrZJlxAVbH54PyyU5fEQLFqcvXRIUiJTIpK8vIbiW13WyiTCFg y6Ss+xkV1rwX5dsXDUqXGEOm5HZuUIjR44yClAtzmzIb8snEb5iHryooXCP8mN/I3K3KPqF2h673 sqZrRTc+/5cxYOeBBtG7r4kKQ/Ipvlu5IBPSYM3AtafLwcnVXQEjrZIqsNIWvnRffGnDAY66N2Py DmZ3YuMbHkqjENU9HljgtPf9zfSGasc2aD2lvuaQTFkbfD7YeDV/TRPwFLtfnDI17IPLViGBm5WB PWFUJfRBLnhyXbOyFNa+GRYss7cfX7C380X3Manm1maH7Aftk8g4mrx0c76kt1rshSNLl9thssGw gWed8iPhP8Dn/0qCZdmB0sstW+bQZHKa8Y2DTM8V4uYJZ1uYWwBqu24wkQOXScdRlCHR+3FF70+i CbvbWoUyBYkvcQlNWihRTp7Md5m60HI7BzaT2VjdI1OQg4NqBai4c3Eh8Ofz0fn0ncGgETi/vDhD o8lGpGlykhtqTsNNFJO0FSR/U+48RXgTABJXq4yhNFi5oJztccJfXnjneNpI9ajoDkgX5dwofivZ O9qV5Nhp0oQm9x00TefII5oHnL/K5yP529twYnVhsrt7Q6jYbBLLA82wWzHI1n0CBs7lXdAl2qoY 5NBtUv3dUuvywYtzrUKEk1ABubkPrSfoTwNIO9BHs3kqzd73t55Tb8yHic/hCmigZ7B32c8Nyuf/ slLizQYiJQAvPk1RKdy64IopzSL4Lqe03Nt1D1ebzqHhpPC1JwboPmia5gn70wnRKBGICyqpIozG rzyxFg5dE+VgFzwHhpN4ZRxL4Gb6IGjO2XeDsS1svvl8tOvGP8up0ePtWU6hSuDcj+ekiiuGY4ag EoAHpha0/LYLTCehndrFNb3DrYdDxA+Qy7OczlHUGu2p6DZGRzxALTxzkaRSDm0nl9hdKkXNybZt IqthUogBrrabz0eN3m9QJe4syNHceYq0ubt8gup+5im7gFlOBKQWWU4P33ZxPM7zWxDm8kCYO0DR EAlUTbyjfog2JkxGIIF85r1Swkw4JoUFofHk2V4CC1YfhKuc1A5lrW4VPh+54Pi+w9LJIPjNW/PN gfMkILpDcoHXMLAPJ57ulYUBI2HAw8zQepK2JRKstsGLBoT09SXfqZplKliASvk5UYLs0m65bvkL /1ZdqRIx4WC4gV0m85bWuOqjcHxfxWDCbPBKBNbfmQplTIYaUeSccqbGM1Oz6I+YKecx58B/Mquj iQpd8cED8hMMlEHlGdR9hkRMK6ORBVflLClzmXTXai8crShq4leSKzg4uQKGgVxRqfL5v9ReuPzw Y1Zed57ieJxREwMRUzOfhkNtcKxxiOVwYHwOPCixicllQspgg6O+iY1HpQY1g7NkwdhZhZS4LWvb km4m3Ju9cKCLT9PgtuodDGVRa5Oi7dn2fP4vKzPGAO0ckrgpfZ5C3Hfb6tTZzium00ERCs0Ta0Sw b7B5ik3fqdI16FMmnq/m6dyqJ71ukuoeCKNZAl64HTYQ1CfX7/+TuIQ+lFKg7PhSbNB6Osnd3mo0 zcbno8TlW6sjA/d+Qq/HrTuKyHtbIqI+vO95N14vFyBXixeAQy/KJSgKmaga/IiC6Yv5dYKtmSfn MuPHL6wlNJ0tIKeFJT8k6rqwYLCmZJamlCgqDnWBF05CnPl8ME/pW/elIIHJz/kUulHiDVnzheyU RVBLYlQAnjMKJ0ZuuKtpaEcJ+g/ZOtWHC9wdZ0swTYEJ/Alf2XcBjAOmL3AVq3c5ybE7dKPUhYdW jg/adouO6TqZJ58PSpp3OXGHgQz7CFLm2I1yMFkRfQALa0hmmQUoaiaqrlLuhRfYUSK04X5DWGSD raZzG0DZqrBQtxH04MA/33qD1NKkqnn34ynXZC8ccXbLN2f3rFkSJGGZXdTS6+eM4A+IIvL2NVHd YpQ7UbFmdxL422m7MnniRFFuU5JUsLnz9RQCwYc8FnXttfWc42tMRswsQNW2ksQEO1o4GX1vNCuf C8/f+DdgQRU3tU7y9rGBTjQs8FkvWa8Z8Xfq94V3As8+LrIgh5aUAJ3ioq7DQk1YjlnfvIqWSmsz VP0UkgeelFcstzAh5nARvCfXzGUseZtBwZNUsIVKPrGQjAytcz6XvXA0TzjIZ10azlcpQckOwStu nHG+I/6AqJuwvlYUIgNCy32iohp5E5QnuWIukIXZK3W9O9wpUVVHE/WHyonUYNOjBtuhLA8VCMnA J3RHUDJATfjsE2B516UW0GCPHxKVDKgnDMF1G6yycnIeFrhhZsTng/VU/ISiDwvEqR43mBw6U5qo kBzNiVdlXVCoMJ1QmqZ0pymUFeRBXju98jhYxaDDMaBvqnimc8ntqhACvwzi9R+awZvw0pr2vgES Q+qdk2hnDpqmOc+GapqmzeeDiDyN7/hpIH5qz2oKCZhJFYN2YQZ1XPclSXqwYjCf1RQXyAXCIDKM g2Mx9lwws1Hdt8EJHvF4RUNqQgjuRJ8AzjduO5unELHClKUnMZ9YY2O82FsZTAFAiuHzQSa8vhsJ UFZt+2ueongcSl+AO/Xp8zQMDI4C1LqZcKcEc9ePioxzBJ2jXnXOj69gx3KccMeTbg7IKiLzgjsH iYrinvAmCJdDf8rK7TYXo00ORjAkvYM3H9rngUFl+jKo5EFEo/X58J5yYFFphjsl8z62iWoOEZOP nc5xKjxpouIKuSSo2x0elsHZUZXWeWiLYI8gwetohVPgaMKAa8rzXE3h0KXS6GHQruNgC6qfK5N4 /zP1tfP5iLDyjwrD+XInGr42T6FPpbF6Jb4kXsZy9POZnHqRvY4Ty7FR5eJFJx1dDhf+DImqxhbe +bNXNCdgaA1sEMhjee+cCPEcOlVaZSVpXbnYQ4HUQ2FKqQJ5YFX5v7Le4KhNpMY+TVE8vqvip325 BrvciuZY655Pvfk0RfH40oabDAs42DSVk/VUCFjytjspcSWJnoasfbBesNODMej2wgHGgD3zWWlR ON1a5UTHg4bXLKFuPh9hV29lJUsCBJi6ekPyXywr1cFzA92SZeUp55Ong9fvhRd6VrqOLmWr12Pr CTFJ2G9zpvq57GYhcKVvqZ8AO4Tz21qdXb2p0LZShgO9qqeA9rJ+43Qui8VVjHCNPyDy9vSmS+Hh IHuEK8yQQ+9KSGUTHnhjKCplca6GR1aoGvTicxVG5QzHV5aKVX5ovgWTtQYtUM6RiyiteHUFIqjo nyPbAKny9s8D+0ppB6CTUOk+WBdqyJwr9PGWvM1G5g+I8uEr88WgC50XdIB8quK4XIqMxcxizt8x eT100HO6LA0KWCX9qF8LUS0tH2yqAISDLjOZLNSJQ1H9JJf0Z4aAXnpch8iP56f8VtpsOCaoPHSm g78b0AyFrTyIf/IH/KVhRV29CnPo2e5ERXE50U1w2PQacJdUHCpRvVtRClzRbaIxOTSyhOXgAgsq +XBbwyBrAMuG/bdBH0DF7sR+gCNhN/DSM85P6vbCv3LHJBvPwUA+kNYRHAUVlsDKErXy/F062Azv boAQelmih3zlLLigyJU0ZV1XsoThrleAAzNLKNdwvy9hydejZI2H0QRl6QDu4RKZywgQFlKCDJUJ N9dd3V446uUpMpd+7MzeQj/T34QaO0cqn49I9t/iMYhfIZB05ymslFOsoVWzr4IeUS8GrwNXxOF1 212ac2xoKdRY7tMHmyfwgE6WJwoZqskUairkI2RaQZfx7Ly1l71xsPNEH9vC+GzD+NSztRN1uKlP yueDjTf/UbSEpApVKXymYjj5EgmxWI1lr1Id5AM7NkmjUUrQdl4Ym5MllTK9dpPDAIgaQxddIJ+W GFFTGx3yaVT3y6tcK+KtWnloajl48TUpybY5HLyyVpvkG5+XXnw+aHuW71o5Lv2fSd1Wm6jfRVKW lNBpMwk5QJPZAw++/EdfEkUJun7ULyoptdMsRYMm6iwnSFs2Vu06LLobfS0TgVWFaT5k/rxP1Ze9 cRAiEKqZK6nAHLSkFqRXty7AyeeDaOpayXJFVfjt7LuiQmPLLlkiKtUaQaE2jzr30/fE7aL4IHC2 hBDEotXOuoP10UvhJdhYKkDltosLM2FAiagCBT23/yTlPgfOlona1CiuzK3BanZ1QsFG7WHADQJr y392Ho9yfPiTw4TWlgCkISlubrpTZ/KaHYjsPk3QqFCuF3pbElZH8Rgf7Mrb6aTXqM3+kG02i06x AWBr53undXO9oSgqMLfU9rYkDwOh3qrZnaVq1QP00UN7y/SoN2u5nwcuQzGH9pZVBk7zTtSJle96 ank6zKeP7espjM0luYNqpQ1eLse3PajekokfKwwfgAv+YQmhXY/rQvg7PyTCZbBJlUmZ7iym8Ffr 4Gizx3Ci5MUfEKlcf7NdcZL3ckX3c+Bwef5DQ9mNo/TWNvdFIRqYDN2XeecptrgkDiprXeUL1zxn J6TqWpbWVcpLYGpywWFzAoVC775MhVCBxWVitwgxwV4+KCnekCwm/jIDDhV6XOYbarJVA/kVbBuf pghQ3jY5Csxv1MsDv1KhZiUHRM1hwGQVGsQulwyxKYthg/NezhELXgKdnDJFnE3tAuxyHOY4/FyB R0300OZSprIzEy09FUMniby0JH75rHw+Yr38o+OMet26FkU5NLrUfTfYdOA8DUJdOE8jXyHn2bL3 PAOnS1mLgO3Cvg8HBxss+g5T8KrBOgcoUChenCvkBA3njSEqd+Er/sIBjYrluloIboUswY80mDZq uST3zpUbf8D7Qb62TxTPIiSYY37NVBiUC4eYkksVfhkVpVJcrgjIVJ+pkNlJSuvez+DB5pl+6B1w puo5sWHNsQDU6D9ny5NAf0Xm5J6eA8tLlEk4RZPlKA4KocA0ZpJXT1bE56Ny1HcZGGKcvHvvRMWC hdK72q7Gs5WysI2etsun01bHJirCr1Qe4WXSQJ2DX3k4zAeOIszZAn1j6SQ/txdN53q68yR2UOB7 iV4Y+8OLkQEH48BO1dTQolh8/k/AJhFREGNY+U7U5zWCatZHz4NlDW09F+EpbaVUH0SUHVGv8VM7 K7pRaa7YtfcEBidigMwJUT4N6wqkAvSoCr25HE1uHCrET6/RU0NtBaXfuYmR5qA5KpDh5cF8Xvnz Gjs12CmxjQcZO/5dyESAPIl/c76ZaH6GxGXqNj7euZi2heKbkiOq1uWbBQfz43z8vny4XeEJs/pK MEbvc3fCyAto2gPXaobQg9k5LB1MrxMEIVNqXlQpO9bLNT+xCI3pf+D093mNmRpWYbIJasqbcSw1 m5/XiOk81GVTsFvxfbbdf+fc4dbJgw5fNn3513gJ64cT09hE0GDxd4LKD+3mQC1Ko1UK9uBfIuEl YuVxKeh81/f1w1/ppIRz+SAUK+QPGTuf0L59XkOldpktbEvhr07o8HSbntdAqV0eQulXpih5AfPc SOMS8bu7yryGSQ0HPw+hwRYwB0dDVxjBbTajzu9xPjBTzgGI/UFm/b5hN+CP+Ij36cldHfKmAV+Y yOJIfnhwo6H7eY2RmlEJOB265ieLnjY/rxESHyo8c/a1lOGtwIC7S+mSATd0LGUrG20vCn1s0g41 OJYgJVqk4fhZUtVCzRLoFxiPAejUILms/UVo1+c1PMIBVMRiofQuB/ESz+kmq1+2yF+DoyZjADuA pPi0UGQaNkOvsVFDu0CuDf3KgM/hgqmJPTNjaEzrP71GRk1+OLg6VYR77OQ6KN+ts8lzslvUFwpL JjAr3lgyKMjefiYj7de46LzsatInUmUpO3ts4ZxXU6Wv8nkNirAYWGjFdLBPhQWMadX8vEZETTIt Wf3ca7hzzVLZ8dL81G0y6a/xEOanmxnR8MFLSiAREw7OAwhePPTbAczpvHIBrHDeLcaM7TUaahdj sWRLvHA4sFg2y1ZKDkhZ/7wGQzjAqF/OFYQQk8CW5RP0GgnhhG7M1Vp/pJtXe07oxwEk2w3/Ggfx hFbs1aoP3hbYsHiXuHVr0FaeZCBCvCPTfbeQMGixNQnlr3FQkwsbHWSSDyrinvlWsHfimfx5jYL4 ivnrjO7ox4+7gl7Lkrj3ylJ15EIrtGy6OrxubU2WkmTSgjM60fiLK80GbzG1BRdrQufbWSwnXaVD Spe/CbB3c1+IHCkOn9eaJCaITLoibevi2tbAbo4tC7mzPj+vFUkek9NXkKoo62f2u4Re65FYtiqL 1OwssZnMpAHirCP5DCU/g16rkfh4MZrkjbK/EKlnL02QKAmaxyUA+94TIcLNlEZUpY19kXH0a3ot RTJKlDhqmT7oDJpgPyl9z3jD6Ba7K4i43YLcjpLNChOjOHpklUHmIyfj/W9wcS6jp/RLOIzmSFK7 8OKywc+hhkLIYqPyxDutJMqp0dZ5E0YF0VnP9JvUCKJVNCSy2+6gVYR8jq1RnHifdxYd9tnyWVL3 dwNP4TfZO4kO34zN0bhkw1q8m0s1PL/KdnI2TzRHU15Wufpgd1knAopt+JNx0OmQ2vrnXv7ZsB6u RCKrlwthOb5ucFhXWaOyuGa6aDyr8WdBdisfD8JpAb3sLOJMs6StOXqPp4FDJSwnPa4flCrRYaQU VodRdqJhFFDvIRF5oik4ONrkHHgjCf1dUHXJ1CPuQGwybUWu4PHQsLd9n6LOzgj8GH3QFOE66JLc 2Xw8iIjmd8gIkAvqxj5FUUxdGRFlxnhOgfbiY3Yc4Zmiun2rRUF1r3ZSJx8caXLSsbMP56ScBcjg dL0Cl+fE04Ao5S/N5mav+77V+hZ3DrOrQUnZ3Il2ZTBtQmskPJDa95WGQtEu9zh6j6uFQb6+8QSZ EGWuvGPv6nnHvMS598BaXzE0pig/MJ6e5ERBqHbpM+RaThrO3u3GSQjpvR8c4QILZphc822j04iJ 2ShytoD4jG79DDUW2i/mhHJaMEcmj05HRBzaSBw6ZdI0S1Fs3YcM5HbzMjbBDpqlkW52BgC5kvv3 4DqbY0MtMiN4kDjnV5qAVRMycS78Qk9aWOycz+wd2Q8CM+9w04bonTTXRHobaHZNHzhLeZ1Ulu3I erLEzztnrl2xD1tJGRDVWco9tcMAu+XvmIiH08UB7NvfTtVtLd4pc7cEgrPeBy8RLe6xzvgIhnjg KSLCRiFtkYm2r6PlzlOv+z5JTZqWdWwftJTKNgvtNvP+vBPmsJRa/fnO8pGjpXskRTE22JbQ1fEC NoLF7hiAaoz6Cg8YZ4FFQTYVhs4qlE76upASdJoBkGgSjulnkxBuCe4O5MnBssjrsuhn1+u+z9Eg WWdL1Xq7qvWJtqBNj3sCvjSfd6pcUy/C5ohVAYgSQo/ZJuk9zjbmADbTMuCNkZ5Zks0EvpGyU1xF /p0ph/dnCtIWK7Ic7EzKG11VKaWdm2CTroFIE0B0PtXnrcgKSPkeZ2eT2j9n/R2sFQKzRinGQFon CrTXDbQZRjK7RoZlcxRF2khZAJGoVt9HQ9s6Rud67K5qhWq8zVEYakNHDwXR7oOdSEDcEO3LqvWZ q8Ya7EL20olqRE55y/tTrxtNUuXsMNbmoIraSXhEwq0ESETBtlnI3LutwWvH5+idIYf7X4p7paeb 0KZr+V3c0rKwX6uSYxhqTyFNpbV7tcvAde47F9VkgQWAPDElm090MhEnsB5pRWtcx/yQ98tts1o0 mnr+3kMsICUsmTaemOfzzo+z8OHJ+Slrje6AzVEUasMJimjAKxPTl+t6sBFvoTb75Lj/39lxLB+w sNYk3dzGPZDw1bYtG+Lza63GoByWu+j1dyiVUK7ETiSWHt/pcbjazDVe2t8DOBMlJOhj8/7u0D95 p8fxbvOEZLMycpZlbbd2HRWvgRFjlHRXUl+3duTNbdz/2e7/d3Ic3r/KYEfd/vx0+9EQhBheIRKw qF+HtiNC3wSgftnjhpKD5/Y7Oa5JaRQS+1uW1rAj4609oLzHtXQyqvV558bhLYenJIytQSogp0Kz FMXbqHxluQ24zW72y20nR5ZiGxhg8p0ZxwRQaRvrJBzsTKrzBBUJaRmMLRKWJe0IQDSHO3WDePK8 KJtt7xsV2Top4Cv5oIAbmg5Urqhz1s87L65dHxk7lCZ0ecr2gPudFofzvg4hkdYt9Bfn52SXIcKh 5EnJOyuOEYDxTZIPXiOB4cuoInttaJexEYKeCBpFZ2mOceu08mx6J8Ux85piw2UfVMkmH5+32/nX n3dKHOYota+FhENpkGSpOYrCbQk315Gyz1Erj0i6FZeIlLQy0jshjnUkg/8VHzxx2zsn0H+wkCaA FU1gbkwPZULOhTclcY2Te+l9gzCpCPcuJnj1oy+h75cFvJ3r806Ia1cl3RuOQNl3xLA2S+/xtmRg r2Eji201pRfMO7y1NEvv8bao5MDU7DvYLOWUe1/4HsjYBeSdvByA+TdcfrBmn57a0uu+n0lLJYBK OgUH5bTnbpdF5Fkd+/NOh/Mj+NltG6Tq5fH2OxuumVsz8Gqe3qZZfI5SmreSBGakDu73eFuh8ImP MlFIHLzteM4KkyHO8Pt2CCVgvINwzDLKTdzK0OsGczQNpiUuHCq43CMTWqxbprB7f965cE1U3yeY hIFsg7S3TVJU126ySCNezjWr7iQRI2ylJAdHvjPhWHOeZFRS6JqDnds01IWPLBfSOXWwyM9RCAO2 Dj0m6e27r+XS60axJLv7zPk0+LE9lbiVcy583olwDmS4EUA/qTIUJH2Ooni7Uk4PLkH3SNr3SCrN y230UtIcvcfbqCVgcgatmDXYQhrgMFfD+7WG/ERICUCR+vnXABu52C5lLN8pcNxrRZss+aApKqtQ mAh45PF5Z8AhEr15G/VQOpgl0yuS7wQ4LKOlBmRyhZMxLg+gUW5a13/eFm6/899u1faki9sHm6I5 wIdAQUM0AKgwICXBwjrLCBN72RKkmH/e6W9skXEVwVLFBkvbShJ3Bs61n3f2WxP15alIwuQPZHSf ohgkIjjkcr/GpMK2MpKx/DiC35mmKEaJ4BxaYGjZoCk6N9k4B+lAq+Yc071BA436cRDx4q6DwnI3 5k3R20ZTRDCkkrZxk7ZxYo1pMJrJx6MTu3yd2GiKNXptaoqiULuJl1tdbh8dQW/0p32Rtbm6IOM7 6Q2bgOQxdLB9sMOon8O6EmlLOaFz+5PHtDojkxNT8Oa3A7sNvW2Qs9E6bmWC1zgoryXpUDd/KZ93 vhvP6/k1RcDhIKf0KQrj7M2cbVWfotSsBImcjf1torQZfAGl/c51a66p20lL1mAbrcOHAJIB9JCB iFTeIpqyYU+XvcuOoDD7553pdpOR2QTKIgiel9rZtE080Xle+vNOdGuXCO9VpArKBsgaNktRoL1r fgJtMm/IYMcs1SlTYlMYNBeZd5obviUS4GdiVZKD7TWIMqLwMrCyV4LLJZfcxHpBJ+BxRedd+Hnn uN1uNu5FH3QanQ1MmB2w3uXzznBDcLLH171f2bladx29h9logkuvK7tCRyrLu7Vg7/lWozkdZyiq auMaR1S0uw+2js5MU+SxyYuoUb4BWw3JCWYLivu3P8JU5J3ehotT7h//K6gAwk0lCANqGZ93dhvW Q/Yakk53kEa6B5Dv5DZ8qALI+TijjuqZfxb4mCc2gmyd2GFRexG/XimqwMGCbLxGQ0mSwOwTN0qL Bli/n4WebZG62XgAx+/UNuakvM56uYPm6ARG2t114Xt8D7IRN3hRW368mRqZ91qLouy6Zf/Rsyf+ om4JOVIvA5B8FyT+78Q2HkhFIp5K/BlrYP/0WcHdVVUbgjijMKcFUusHSMhznLDv3x+s8Tut7eLX epHwBDxG1Pg58daWHC3ELT7vtLZmUm46kHAUYKdNtE1tlsIwu3FqxvJ8jZaB3tUu1o4kMt+WUljW 5s1Wy7iDl7VPdn3WUidFGeRuWoVUamSD/gfxB/ShLYjE8vi8k9qe1n/X9c+NwwVw/v3QFh8z8/ng ckteauNxNkCgB5jWpimKtElmK5VOvqps95JsMTW6d1sb6U5TVNmelA3KOEBtsAJJO//LAOFMwPVE igVK5cSoLuT8KLt5FYmUtndGGwMW3mt5ZB+45fo+IQdDpnp+xued0NZEiPtK2c5UdfRDDQ0Zxtp7 6E2v6qJ0J2iUkuvVixdcFHDIONYmjA3SLTZ497/RIZVl23oSXsgqcced6YESBSzvbj5COujnnc5m EAeodDFaGqkup6XtTl3NE0DX+Xlns9mGtXwEGy5jsw3UymyWQhxJkS4e0ddA/xFeRu23E0VQ2IVO u5WYbMxSFG4DqQ9whI6ndMu2yPbRsxHTHZ4vIpRkcLU2pb9mus4fcPbg6/5atc08wznw618QxWGt DYiwzzuVDafCKl9ZG+6LDly+zVEYby/xjZjxs35LhwlRSEmAokMDtfY0R1FpGzhVtPVr9sG225hQ SYYxI2w+OjYeeCLUMTlxJuQAgAe9eVvX+0ZgGy0lqgly0CShaaqC5AlSP+88NocW3e22UK9tt2j7 TmNja4Z7rAzfbiMzn6U6XjK3axzdyy64dxbbs90US9Ynljybfp5jKTeVkeoGQJugLSzeBBgdEEtX h5n8h3caG0uDrI1kapxyENxmIpsmAGCdiPudxdaMf/202xCbAh5nkxRWtolIOhvgQrRrdwAyTBYv wBZlCxzc7xw2JrebGtXER7aHcTSID06w1G0wYjj/RQYzYEJ0CltAIsuyW9VI3ilsF+TfZU3IQSE3 oPGSMautfd4ZbIQ6fme3sIHqjCk1R1Flmxal6KtaAQCMam9JCvnsjSTTAHjnr90WCRaODx4DtJM7 b0pjokZy7gDO0YAhBNBKP4DVWcQtiMQ7e63JTRidEZ7eHDRFfTJrIg9ifN65a+zjf8O0IfIJQoJP UQgjsRqJWTVy2/n9jzKolZEmUkBNURhxM7sdhZXbUa49OnSKwITkgQSF5NGlOzEY6g60btMNuLWK oqo2eyrItJsPlrhBUp09j9bq5521xtPsu2kLB/k+L5XmnbPWTJkE+b1j/7KEzwftIsvdaej7iAwR x9usQhbiJDh483+BYrTo15wgsylNqgymYiv0nb8yEoMQ0nfGGvP3BVgWgTYaVIxMAL9klZHm552w hl+2fANtIG/Xxw22WxRsY9FjXorntia2QXk3er2wRpJRE2CNpMVgbRKNyBfV4GUk+BO2ROwfJPrX lLxLpVNyEU7BjC2pq4PPCG5+ArZmb8MHlZEawnXCI85H4PEghuw3bcsKOHGZ3mUURtrER9ZsUlKY IwuvzxYv6eLZt6O1W1TTFqC9TkKROdgcgZoN9KLMP6G3wcNoMayk8nHJt+5vB3YUaC+KbEzh2jlo HdWEhcp1VAYeDzr/5aLaKFgGGS5cbcaqiSJtKkud/P7Ba9d89RQx01ZsK45F7u+RNk5sHkdqtnGw 42ht8Jo2UW0ZclxoQgLUde6kDpVliF5YoC1fgR4yH1XVzqyRcLCFhHREc7T5eARFzl8hJHLhjsPX pigKs2k+cZbMyD//W7KVWoHttem9kR5hSAZrJFO/PwertCFXrTASw3F0YpfVh6x4UWFDwpxTvwkb z0V8SJCMkFuz2jNwjsDIkfBdPdkfHo/YWfPryB6wiZyXHdrDMFs047FvHQlJx60j3bZ/8TpSj8ra utWKECQlf6UiGwpblQ6pDfrJhGuXs7TQ0gZmIgMj60VbgpF6FGabn8BKomMzZGCcjRCM8eQ42Qye D0iQ8xsckVEPYrnDpimkQVZxkJpD//quTvAnfsECbTAJKGjTo0B7cpoSm7EafJqghLwG89oOuyUq vaHzz1XUm8iiVrfdTGx7FGgXYrZnI7//DE2JLRRSZc0E/2w8HlFFb/2fogmJRcnL9IvIkJDQzhB3 8hBpLUfantdetpjgbmoyCD3GkMjlRFFkeqJIKMGdHysT+X22WGIDoAFFOql419ITALAo2aPi9lLD dlFva87l4iM7gU8rG6o98XxwvQ1PR7a2HOD/2Rm1PY61Kc9dvpj9dd9Ye3ULJAcwDZqlkBGppj9S ZRs8SkqgoRpou0P+j5Tsjg7NRLafy/VozMRq4kOCGEDwP60ohmIMNQDfaWL2g5yK5/+fHYdeUoPT lk1SWN/GU6XQhQwlktxlCJeJI+Ufh+hITel/j6Nt7jSaxGuw9H8vaFxsUm0AKqXWGTBbMLZGr79Q Ht/VOKde99dCkqjHo2R64GotZetzz1YLfkCEbPMbjlqAieU2z//7e8ANsg2mZnX2HLnhWMz9T2HD eKBZm1lug6woT+8IRpJYus3ibnGwY6mi8LEGlTgbzhLzOJmQekNOUADKc+8OCnH2qMANiBSufym8 Zu8modVAEXRoGkw8HtFr737D3z0rjgva5ihCbeP7xxxRVxI7r1NTVqJtqLVKGJ/KTzq6IxSJ6d4W GwTFw3ZD4Q+gas7RWSkn42684U7AyQQFKBM/uQfUbPAhUaTE6vYgx5+DSiQQ4WGVsbbFxyNG0nf6 D9+sE6q1O0lhyN2EZx/Lq21tXMG2zjCA1Tb0JrTdouK2uttg0/jghaRzHBXmH2C2DWjIF04SJQAB mCiFYYAEtsgh7RGQpC+dRuUOmqVz6g0e4mf5TzweraTvWAloMrAkfLuNKOaeIiWVp+lW21fT7TJJ cjMMwIiq20Jsd3ymDd6YPKsJ1RFy285VLSApXVIBjtk/IG+JSGLS3COKubMkufMYPqgBcIL8Svvu c7RlPB5wtcc3FRm3at+XIjmimLsnMw2wQAmnQ7JAKZVenSMBvQ2x2cPStmzkm+LJ9sSTsIWbVHmh HgsuTYBtCoUBWEiHBZgLkKHVgQ/5jc8+4eECzBaOb56157yDHSZudWS4I6xt3wa3Ubt/2JeySQph 22bGOE1z5ERHzRnt1Jsztk12Wa0R1bYzrQJmp/XL7Ozr4CRe54w70T/4tKhIntutd2HfFuERZzbG zUtIxcZnBCjSJtlkH4bFkgC28wzs5x88/hchiXkeUqV0S0kjxJKoaLvSg/+vlyO5iuclJ+nyrRYF 3Aa3WZJHJDiFUzQRk44TEEzWAM622yofwda4IceET4Vf/9Cpw2f81pI8UdfyQSWAPmHexYttbDwe 1bXT1+UPLB+l8GyKomi7S9idmZYJJj/6tkReGa6tmrLPiKLtSd+JVojra36akvw3TohUudWgMlOr hJKBNTj3GhKocXltoGLyQ4KtBgJxPi8DsdWMNrlIRNBboGfFD5i8eD5aR99tJADjetl3HUXBNuI4 ikdMb0iOvX9cRqvcxG1513ZEwfayVltOPniE1M8dVumBkSus0QFRgYrWuSY64lhQgm0dbTL/RwjY FhYpmQS+B0jnlqwyr4ZDDR6PTqNvgiRy275uqD1CvLYK2zt1z0fgym5TVAy6jSZSMnrEiPDahUjH VjhFHGwdVYgepWk+CpDlIvcPp9SJ4c4Ha4oMrl31ttEyQpltDbnljSzB1dKBB2EliJntCOPs9U1q RyLaEKPYFEWFbZe8X7f2L/ugKVnNdC9+HKycoqiwPXmdVWR8HLCWM6cImqOzNU1RQ8sbUzSBHiUk BFVInyLYg+EzIsI2QVu6/zelBrk9zvW0OxuT4xyneP4vAom+voKM7R5HYZw9DBThNFubGPZHlhsJ Fq4C7bQwzp5mEb98sIyNAh1nfyWx2qobdcmwCsjqSpytthojyBHG2exm10l6ZBVtkB+D0LewuL3O 8Y0fEGT/5V/cFtSqLox0hIF2b9phw+MjYHQtPsrN4yN8tK2kuLbNvFYRQMqPndlC13onunSNE2lT mff8yxPU/Gw0bAuKaNYAYBMTHxKV25oIW+xJ4lwQ46+y2smrdOeC56MSyT+H9jmMJ7FSnKQZ1rYb u5Hj6myvPbpr1rXhXou0+mbKNkNRvySGbao+WJx9tlRK3aw4oDUGm2c0ACC5A9wWLB+81Uai7QxB JEpCFpuSHJSLbCA8mKnWUvF41CQpX7kIGscddFCboijOrtPqa273tqQwxoQNcMlMFCnafUrYZljb Zg5SeGlp8CLSgBdQlSrSCfs2HEoAtDl/ZXcWRMd6sLZLrxvsNpIihuR+Oehiy4i6NUe94PHoRPIA iYwcRMt9XZbNjOLsROZ/k4amNDf29Djbq5QniGxXOSqmRyJCOodO9cGrtufOh8yDZ7VnyqjXQl4G 8zioe1x5SOojzLC4Tcp2B3PKBquPnDNP1/85SPF4pLThJ1JVjff8uJlvn2RGsTYMxLIsVpxDUovF 2l3SJGr+e4w04+I2m/9K3eqjQX6+MFRqO9ORs2rOn4Xbxu/YUehsDyR5Edc2YwyJhBF28UGTNKpU A86PgsBWiNoe++tEQs105Itrm1GsTVQ/vKTcSwI2UTdj+/KScDXWGcXaQzd/SskHDyOhrtDQ/mtk bqdF3FKl7TJ6Q4q1TR2JsO0ZsiOpPkZ1UBs4R6hP0lcbQU/F40FLsn9z2iDZAuamz1FY1x5fJRFB StvwAGCbnTchyXa1zQhDIoH6Ongk1fEcSSdTn4RUEUPST7bfmI9QP1xG8o+UJu0nZxRqV8G1z5b0 gZ8BFZBsxjVj4PGoXjt/vuu1WIzJqyMzwpAQCpf36HeKUrbSf11zDE/ZkseRM6pqL4JHy+Dtz+G2 kUCsTErZAJOVoPRABNZA4gCA5RLait42OrTJHlkUZV2kMHEVjW3QrX5CCjz+FzpSZO2zgPrFbM+Q GSmpf6+m0QrPBaLP9nMp7Q2Heh1GodwfYbZ19OyDTRHgIguiu1xFwFbTdHICNg8EDqj6T91/622D PttScY1hEgersS2477LGtvl4pPvjtRHegUCLldtAmhGGxBzdVr9U7fLURsq62kjXinpGkbaWzwmC qw82RaAJtYz4ChJbcM5ija2dCwGHERgkj4Q200V8SABEZtV/dWpsLfLHJcuakEURqV5zxfN/YZHw f9ipSAp8kkJiJFsjJzU3EAm0x7qj2nNzRNtY9zSKhUhYq4WQqA2O+oMqCQCxJEYmZDa06AJVrBNk Vh+FfwaznxmK/i3x/ejWzUF4rTIhJsAEY/MdoyDSi7XiRyzmNjZHK4qzQXvDXmseH9X23GoPpG0j JedCWhGGZLAACYKrD575E94P4SQuTfRZE/caAOWYGhi4mMSGKP8rxJAwrV2S/Fsu+VdOVjgcQ1Lr Z4XMyH87/xuVgumZ/wrr2UtZbS13ioZrR6BGfFH/zULIFcbZ3TDa3Qfba60DhrxoFnyOqYUEFHsN F/+gXlqdF4pEC6kVlrPVfzyp2WUkcauh/rsY3Jx9XfD8XzpkUiHZ5yisfmSvUEK7qub/HNmlPkZb 5RYiEVZojkIMCduPDWkTBy5mxo/AaXfB/gr0WlOSTjIYXCAiI7K+sdGZSXxGVGOj+hiqojYIinTO p2XLaPHxv1Si2UIhbrQ66W9FIXaXukY1dbarh8waG0Eg1s52JtIKgdqs1ZYmAcn2JfkzJ1wzqIJc FhgpBVWRgXI2ooJz+z6Kf0S0rbCcbW4jakUCdS1JDJQ9Ow9vLFk8H51G/evmRxiC3pHfayvU0l6k a+Xhxna7eG3kvLdL+UODzIARK2ZGsgkJtSMbPDqCekZWle3E9B2Ef5SO4PMygQfJmWB2w9hMvW5Q ZlPCn93wvUj079yVG6xLbraZ8fxf8RFxf+gdEEGuSYqibOgQAQ2RXK++i2wj9799q7W5+0oKNUgY 9TAYtcEm6WQPkL8CUiMj1susjZzTuRCJBMwxcJJmvkmlT3xKBLKRL4T8JJcX81KqBKUwFeFLBuiR x/RAriT/Zey7klzZkWT/uZayMWix/40N3D0CyerOqNPzxh56bt8ks0CIEC5wJI2riBwF2kvHdjJR DaAAqSS1JIvQvA2JtFqzFAbazPxL1QVX652lc3Scm06Y/zaAmaDHe8lce0gPJnPaoaWU9brBJDHj b5N07UbdCZUiz7qfstVKDY9HpP9fNNuzkoinsDkK2ZFdsWNbVkKyovZmvoa9BfAI+Ny+kkK0NjHI afH252ClSJgT7ZRI16b2Fq1xC4r8PxvNyfyU2QqNBfAlf5aQmtwRoe9iMKQqlylIR1U8/r7bcHd8 hdrnaJv1SrWtkBs5qxyTr07LSB5Fpl1vTruutPY/itpdhn/9Mfw7+2n0bQxSKEY1qLmWjbfbuOYK da7Yqi2EruBLAtS/zIDLWj5YUtuHBADbiV7weCTP7lGkeCZIh/KNIsOSNq7zwkq6y7Rkqf/Bpu1S kRFE2RyFkTYlSFJn/4iD9yGhz7qboFoNgFJe/8Q5L/bg6YNgMdIYet2IqKXEX5K/2SV/UT+tpGKC eIfHg/t/e7lWiCUwzNO923YUao9C5ZHpgj9nkraTbNuYV6kVPoWMkXZU0hbJFoqTPtiBdGZpniBg ivI3kgA2ICWfjQOTz9Fvk00m3DsKtRGUg+pHEFLPLlGB6VpbNlpp4fGgxnbhNTJIgrH0hSLv91D7 GiEsa6fBVWMmn6Je+q2xFYNr779FSNJKxQfHIKWOfcVq7cnRO3V+wEPGv0kOFErLfrFR53+HcG0L IMv2QTU2bGXulXPlDDweXGzpV3WE3n63DrlDuDYVyPJjFtGKz1Ed1NJXRRuKc5qjONSWXx2vt5rr k46cODFRMRC0/7PZgGWHOTLkdjreGge1zRHdd/ElwRwRe7SSmrUUlFMcWWFly7XRNx6PSJH1O45k tpg91t4hKbKz1F+qZf6Yo3LPo+0spHyV7HcMHWGRjbgQDb6OTkrch+zJKzQpFueoCl+Lnhdlo6x9 xHttR8H2lkr7ZJVkeHmhbPiYsEp2QvmKxyPhyPJ1ryGtpeqwzVGI1FaVjdqCktjY1xDhxI7Xtma5 T+SOkdpNKf/2wTP/jQB70denUjWMTq4TDECYp0nDzqps3d42gteIf0SRl4mjXpphvbei1B9C23j+ XygtJS8Aaudbityh3J90Wnu/ejbFLRFaFsKNPKR2F1KIHZHQ75Dkz3gkf1aTi4bMj+bIIpNPSCUw TuXiN5z2GnrbyNtH62gOH2yvzdqI/agVZ3boXXP32mTqjxjytrN3hB0h6QSqo7d1RLKUSpHVq2xA KvoURdgRrEi406Xlg9f8z6Yd3fba+Rs6i5aVzkOkaiPa8G5273rbiDdKmN+o2wdVaxP8qIsKZ3w8 QiBd0Uj9yyjX9nsehXG2lKNoLmCerGk/y6jevTbNEWGH4BGys1uhmh0H62ajbgdnUeL9y1lSOrMR DfzQ+mpXz2oLzYjxHUEISZf2E0gMHwzKBv0GabRjq8XS2nerFS0/CKDduz+Ks3F+cmKuhU2tUrRD SZsVN7LZOtdR5yf9BfdvYv5xsHWUgbQ4ER5LSGeqEiB2mCM02xFeIIB17MieetvIwqa/qdngUwax +qAQ4vGgPHIp2jieIZHO9q5NURRmM6UEwv4uoz0v4W+Uy4ok3ofLKEKOgMyEuRE2gmL4NkUn9d9V XVqYzbRRBYrAhYIu4r4wrULhPHxHEEFWVmmzLJ6y2yABBj7kPF7mwONRRvvdgQTBqhMTzSkSUOc9 XZPDoaP93EuLKa2kERYL2t19WFOoP0JMEazYfbB8TRZsVB+C79s5XmnQjv1B1aiKuxuOdXJoX9ve OCCPMp09MRcLAI0KZkprN9BguK1anvyAf3mOcaawpvMFa9Gi9/0xamsWfqFsIWt1iE1lbR2Af0hL F5+pMNqWrZb07NKjZ3dWTz2hpAw2IMhPiCEOJ2iQ4I8DmueK/jDaxrdE2Aj6sLJhr4Hfcr6i96VW /sx8/l+kf04wBPaw7a57RAoNbWZWLjK8dEuLAclHjnlLt2u4fUQK0dq83CmzaINDts5/c2aLHn8d plhYNqssdCQ3vcf3vmotS06sKUSRSGQjyaptw5W7aUmdPzVJHwlWrCkKu0f5hfyHYEvuz+YLa9yk 2zaJ/TI34aUqgaRSkucm29E2lAsI2klEYneZIfbHDBG+7HCPxkU3CNxHNnwuYbChE3x1zy8xnuZt sTf+CyjZlaX06gwJCG3QaPoHBFM+H9W5/bKjSsIJ3fvYz96Lgm+q4eYtAiDr3FJtl0jSgwGc1X0k UlToHhTRJFvGhosm6aVRjgWKW6Mi/MaKAm9UPr+lXwpgoVElvybomQzzZ+8+qGZyTnMq9PNc5PP/ 5LgT8Io28tq3001p1qDnJ2zbEtk2Q/ZZJxbMSpa0bgBJ60beyikudzOyXPJwWY/CDRQxR87sLbUz KU2ym9Bb/aEoLOfVi5Rp2wtHVQGupUmUMgcDusF2Q64bafP5yMP2ogEZbJ2/f6YrvZlTqE6ypNxW ncC12O+TEpC0pSm8BXUpm6goEp9dCHc1mlJ/qrkUAUxT8mQDxSDIk52vll7iQvnkKgLQkZlf85dn UpeZbb9mtpNWzQJnFz0fdQa+iwO4+Ue5jbicYo2S/GS+8k3c2SbqBIfZL77t4Al8VGTZKg43K3Ec fKJgIJZRK6FeJWCVLHujDgF9IOz55NrbpdRmbxxxubmWOq1JOy0XfwR42yKOnRuj8fl/wQIlQwXJ u2stkVMckie1B64DV2rLS9/zlnVzrdsNASOpkkLBpBcDcrBuFhxdJMMxWUC53r/drbUNFjjtfSOo MpuWXt715O6E9w7DLW3w+WDn1fRdJEDzfKPX5fMUUyfZ8p79qpRPc2fHX9QeJc7dfJ7C+veSnVsa Ptg8Sc/tTBUWFKRXCf6uBRrKgxzpkvOlvNViLxz5udAEGJV9GwwcCOAwD/PBiDM0vflNxEH5Kt+q XA4NJhnGoBdrpQK0frwC3os7SReqaJl5Yog2oSggMmAfLIGZ5yQHnouG9vN8VEvS4cY/wQalB6o7 utBrOwcWkziKVW5K2wdVC9rZ2GQbnz0/+fz/4reNZuB8cEs5NJmkW0lJ24Q3MWVW16XQ9PY8j4ad mqcIciJS91kv2QerF1B96/zemqfz+RLjhjT6D5lGlCpTq0BmJTl2mczSdKvZB03TOLExuWttlcrn g8C85F/HExq8/ZmmMCxv8pkc81YM+vaKAXIOqxhQhIUXXmg0aUDBXboPXp2bOKOgoQYU3AnNsgS5 kSpRnB/daZ+m0ux9/9QHlEqQ9ixrBrC+qVL8r4PPRzZKHmsqmC+c1ztNUTF8GSh3rztNXzXMR7Yc vSJbTWFQDk+7RjFSGzRN52KbIAYilzo/M4ymaf5G3cOGgGnh0DVJDjbCc2A2CSEFU5r+T0MuaBOz q3LOQT7/L7wA2fmNGvjXbTK0m1xL9fDi9acL9eZld2W5qMSqaQrJlMX4psMHW02jwRcKeAKsJtBE 5VsGn8cOtB7UGz11kZ5SDh0nlxzwMkVed2Z8nSizYvYW/QQkfD5o9a5vVi6QVrBCuvMUSXPT3q0k KqvbPO3hu47S15on0lO068J4nAim1usdbJ4A0tlgwjMoOHd3muQKnHAHAshn3isFzIRkUlQQWk7K AxcFGx+EqzwbUAbg7XwQn4/Egn/pKSDNmFflLQeek6imZ3Eqh6d486HB56F2FGq+2f0UQ9NJtG/B vSRhILVLGDjb/6yijYXSKINKPAKa4ucSn4AmAn13y3WqQ4WukxLo7vSL1KCO7/lGNtIghtf5/L9U zAVAZaz67LtYwEQQ71Rvwa46mgnfrLTlrLJy5ylSMOlbtlOUDMqPz2sDbzkxM6GC6aKexarn32Bp T7ap15q7VnvhP9dTegYLnuCHJyJTqnz+X/ocTA2B9TjJ7RM9hZjvxY5438uZA5RxVBWqOA4Vpt13 osIKOScK/qs+3ER4j7ZlYgbYTmI8eq6ac2SR/FVTSTcR7s1eOFLFVxyudlT2PsJJ5QFU5H9shc9H WYuXDNRHWD/gWt15CmHfTR2WdvmVtd+Np2rdZF2zZ5+n2OudC6qxk8DBu79QqV0wL0fB4MSDTZK4 kxVyVK5R7/idtoQOlGVKobNXH7SgFupRjDLPucrng7SlfNd/M3buhmOLTVRoQgkZGlJ0HEe420OM X9WNOjcubBWhQhfKZerTZDZx8BNqlIniSTZ3pZnJIqgE7AINAKCpReO8b/klESZ1CkA4NOjCq0Be qC/Vy8p8Prjwdv4KDAqUOkDX8GmK6+SyfQE7QDKLoD9ShwoZGoMm6plcP9PQiHLQiLqwp6LBO5wJ WrJZRgsTsC2Ux0DiybB8wcqvdzk1f9+/zqeBSrMN2nbrLC52cc/Wnnw+YjXv72kawHxcOEGOjSit 8TKzlZ9Gd933k6C5fhCEVY1CmAMnSvmxLUZIPnix4CTTC9eCAS4nOqoUEILw+6RXV/fjKVd/4b/E FmenqMlsUGlgwRdAeSnyQxCKH/AvW1MV5WiO0ca98gI3ShAQq0wpnLk7xq4+VXAdlR4V7IB8RYVY cIbisOX2waYKmE2ssU77d3CKUHpB5EIBLIDMcWbdO2/bG/+FLKBsFoeqWHMW7GQxBLNeMyoY3ESY +SBW9FedPLSkREE9o4rtWrktT3URQFSZt+MJDXAF5YEnJfi78lzKywevrJSN2JMAjDyBUtzkgo2z DzrOZpqpeOt8LnvhvyYKLvMcoJoscYrCXjyqJW1NfkBEl69fl14j/uK59EJfylbsprsmcHleFIb+ o0p1bimUQ2PK3c3bvPlgE3UC73MESwy2ctF02glC96SDDLvXpRcgqtX7BocU4YWrsKLJQSWDc9Rs 7vfzkzQ+/y/bZWJVIE21nhp5aExp09SuxWlLrTowLO87Taz4appCUUFCwnphSszBkRjp/BWFNmcZ eUozECJ9GNGDoRG86S6tae8bQTGoBJva8EHTdH4FXVhnmjafj2Ly7yY6goLerxxMDr0pR1VZ/EpU J6rWqGSQl+NVMpCHNk2xGTwBKzndwa+8M1OI0uS/dE5AsKogUHn+lQnFj9xoBdu47WyeQshKlXVn lsYA+s0MGc/JJ8V8lLf4/L9gz/h/6JC1x1U4h/aUwH3QyOtiVva4FSj69SgXRsqmXDjwp8zGwyyL ep5lPSaeBXJFbXHXlTk2HT0gmr9xtpyXhJWLnU6Sg8uhP+U5gpCzVLp5cFAqXE9uJGXBjA56YFD5 LXeKOc3gKM+vRkJoUZlY0IRaq8/T3F7QzCpzys6LJaiuj4ouPBENKMXEwaEGZZ7ZaVUhVKWpGgvk aO0CPpiBk0AURzJGsReOUPRiiC2Z54FwquMJApi0Vay18/l/clZUiDlHVLrXXehTaZ6w41INE4Mf neJ9XbUK/M7ad7FRJQm9rUoftt711KFLUXuWNjyoS6pobkCS0BQ9J/jTPSdGPIdOlVJiOolp90Eo qA1u21MfD6wqv0W9yX8aOMmvWEUOvSqBl0WGN+9lV+s9ntp4oKvJ6+OBWaVQZzCBy80Hn6YThZ9f m9JwFdAVEhPPYkpQvy2Mdb8o4t1eOEAZkLiCCNwH7bt8jiWZnuy5+XyEXv0WiIHgBbQ07zzFUHHZ nm6HZuZ2LYZzbX7bpe1ilTl0rDTuSip3sHnCfiCsBCWoAkePJttzIGF5vPPwtkZnV2MqNK2cxPcO LSgSs2UNl8j0YLOp9cwPiGbqe+NJ+C9dkEHoW0lyZp5l3I4LRFjNsLqU25m6WoM5Nq5cTdCe7YOn wgAW5MEVNYirRuhwUlaQqs4nA96OXAOcyts7D6wr3SmOYtMQiaUePw9wrFYqxYyTRvEDor33n7qV vV2ib/7Du9JCg3tE1Yfpux9DvV09zQvMK5NpfOSckg9P7nLCsVVx5aEAnBsnM40h6cEfGIxcbH1e 9sJRWZPlgs0t2Dd47PzbdkX/nBLf5+P4Af8LxAdF6Q5otU9UFJGz+pbVhTZjpu4yX8MLLVBVmA6v Cz0sTZI5kdOS03gicnDFF9QiGW6cT6WHZQKWcIOBnVn7NcJP6vbC0Z0n6Uqhf1N2+yq4HWydOyiv BC6Wv7ziOb8s3j+HeRSSU8kbzD/X1aGg51XULXdBuRJqDnwsz/8KXWA0+3GFhyHlvsEdYGyw0chr rEKx5wnfz4x8wn11V7cXji49BgWJ1U0OKtftrTMV+J7B5yN7pl/A1gouxHpig7BOztigtWwajdCo cWzdHMPF9UoeXv6NrSwXGwmbCvscbp28owfEnw6VcmDKcUThUoaKw6D0i+88+q/za4KdlyTNzFyY gxoKECbnd6LUwueDjTdv0YC5MIoPdGexmQoL5VOdlyEqK3UJHnwP4eOZBbt8d14YlTO7yyiR2uA7 DyVs0htgHAe7L5rrJSLGUKiEvMzFQalQHtpZDikQJdlZOmC9AAKqbdjOPcLng2iz+AklMUkods51 Lb9yaGiJSAJxzLqlTQQ8prKXqKvPQhQoSYKM/eFoqQ4dwvM6HUhJYCHcNdgIhg7+KAT4lEwWZWHq Ck8Nb1L1ZW8cTBU1v8okdZOD1tSGk6iMKvbk80F8ML6bnhA5gzmJT1RoajmEhNrrJnoU+lHEWZ7m MFqhig8CV0soG+IwH0KOcbAeeoKTAYrk0GtuKOXQQR1mhOdIwQkBTSIz/iTjPgeulskVLtRZOIPV 6+oJdztrhIIaBLaW34keIyZU6kZ6pulvSPlXPty4flmuGxQsM2uri8gIbS0XyyttkqPIwREZ4D6e X4KyjSe22zicwJc613onwiitm+cNRVGBryX2Ny+7TQbn5NkgZWsYiwiTAdnmHDpbpittLUv1fB64 0tY5tLasymD23L6e5CRHsAEBA8bkuMi6wNtSzH/Q7jhRHLyXB0ewiXiNyDoY+aJwMEFo7+zMXn9r 0ef5JX+aWzSVWVBSUdLRyfATQaMsfkCkb/0tuoujnDZePk9RXA6oIs2/r85VzY9xI0vBInLmu+/i SrkEQMUKWreXNwGQ37NMQXzG2RmJCTHbLhlVlkfCaSqGCtwtcWVVZcLLB0uIkUJK0AFIqNDeMl+K gpjlhcHpnaYITo6jLyO1aN7JG64tY7JnJioHXhxjg38YXFJZzAaLDTJYG3vJvPEEnpX0YcKrfgak SzIKnC7Aox566HCpeVptJB+UEK+cpozixqx8PqK83BhqaILP//H00EOTS/X6h2oEg//RRUEADnT1 vYWUT6FB4HLp6yllaoKlfDXB2lTHmcJpHTKO+GOheHGW0k5gb4NSe8Er2144IlERoilqcBuoySu6 BtJ5SeM7N35AUAFOv4ivFM1+fJxy4HWZWT9iF2HcJbW753nUrjBpecT7mqkoLJeubIZmnQ2eEaMH vxvlCjpYQtTEhkbYmSmAj2t/JObknJ4Du0vdPQCcyKm4eG1zjlopvQJFVD0flYC/1UFyp7ZyfiYq VisUt3NdcpAowuyiT7NRhzApAEqaqAi/UmkH2uTTwMHvPEBWKhsIGTSzTVhnnSiyDWSuYE/7PIkb FHheyp0VopBspnNQSyHt3eXHuEENCkwvf7UUOFEIPhfCOE3U5zWE6tiyhvj1BTVTcgH19ThepJHN Zu41gOou6Fwlfs3BZglC6YWOdSiWnqBzMxil/CXceQ1KbgwqBFCv4VOHFB/r5Gq77KftglA4yTp1 4emXGTovuK0vnLXlYCw/NieIv0w0P42tqcJWgVrp+8bim+IyTWhWz++C+RH9taxyBwsIyoZAcZcL H/7jprxsw+0xQJTKaMGYlcPSwfQ6QZKwhe9uv4PuOQjOkWp2wgI8/TZBiCaqTdBS5gzeRrH5eQ2Z zkMkl5yTdTTfZ7XdwpPvPurw2B33GjBh/SBebF36+xwsEJjwNjoRV5IyGEIyHlzM4pD3rvRIyxI5 /houdZwlxIa1dAddb4mUJZYIdvu8xko9CXCi2eC/OqHD0216XiOlbqryPidqAK8rU4T17Iqgy6bn NU7qSHXkKEcALwePk86ReG4gMhBgTZCFUTmR3Vk+QCPjgrC4mxoWn9coqSPKYVdzMN9dULMRVKJ1 cHSZnpyg8vMaJPFPLb6/OBkTSV+z+XkNkTrEnxAiTWnuaaqGU/DPSXsp+Ixk6CgbbS9h5/Yz3Er4 GG2SS57PLMP7E7f1+fOQZMP1pgJkYvtrU3j3NT7qapihsD6qD1xACL9YRVR7/DU6wtPNFxCPaKTX A/hezdBrbNR1++AicxYL2D6+gnLbT6ztnruvkVF3L5miqmV5jOQGQFS10iIZymigZjSWK0/WtiGx D7eR28tkqP0aF+HnFAissgjHQcVKwIa3ts0qn9egyO+iewDBGYaCmZqf14gIx7pqAKtdd4vabkS0 9m3OdS/AvcZDXUqt0ABhFa59+X+2cwKdmV5dOmB7VdKEkcX9TGARz7Fd7xZjyvYaDfWLrzCrFOKH eNYCuCiaWj8R/Oc1GOIBtmyCWPMWhd9vsNdICCd0I5B29RsJUWncTujUvJJb/IZ/jYO6tPCotLd8 cPwXzNA2VOpBgsISocAl3MBQn8Tnki1osTX55K9xUFd1EZrEe/lgZVwYqwqkBBH54Ixe9wxigxeW ESP5BL0WJrHDJHGhFVS/2yjANT1qMtvRJ69lSWzw0l/hlid3hepjpndcL9Tf38w9UOFLIHIWNOYM IUd7gc9rVRITBGDymW+WuzmowdT3VHRdT9D4ea1J9ke+Iat4C8L8BIFFM/RakOxG9nUweHtacjyl ieQwoMAyrsprORJf39VbYl8356eviwALvAvi4uD/iYD05BzIiditQyJ4YXFJLxtFibKyFnw3T2+Y zGXNrHZi2c9rJbLn64sCO1P8y7kwTRx+DL2z6HBBq8hWsoEpIIR+2WGwxLSbDH+jsQ2jSVqCxJU7 XIwAvD4klNJOttGppYLfuwNneSYdAg6e6zd73WAZDVPcyT5oGZUCN8gfilWBuxptNEPm6LKHuXJP RKOKRBStoyyFXWOmAGHZnWlYGZwYHK54fS2OpjU56w52mTWgknrSWbShcwUM5vm74NA4gGomElnd XKwuvm50WnMJWQduOEUF4hRs8lCl/vPOn2M8/R0QUR0b2FSbo/eAGvQ5kkZLT37fl+ICYIX0D66j wuaPQF7BHG2zsmLiUWWaqC5JgYT1lsXOSYbP/8cSJJAbA53EARdTC4iGMETBFHVV1ja7uRxsikzy 9gf2Yp937lx/zBo0RbhXB+iYNkVRUA1taaAmbtB49nW9IdFw7c8Myx6DBQQndidRFfhLH3yrrXPj tMGq/8DaTDRrgLUFssZz9uQv0WZBv9/jaoSmZM7pStv3SpPAGBtuBdjTMK6+J1J20w+KRtokvUfW klokv9DROFmLh5lHe9jPoN9YjTaaJPLB5iJ0aa7rRYBE/kSJQ/WPc8dB7oOZWUeqcCYJuomCCp60 X6XH8DhiSwRMMgwwZNS9jxY0Y6Rx4t7PO2uOW3V8hY70P+oA2NgkRcE1/jR2ja74J/88TVJfrkiY AAxQdv8eXaNfzuhx7zvYgbShy5GkzVDbwr6YbIycE6x3pvdw6LYmN22I3jlz3dxjMo3XbOAkQT2r yoZolPJ5p8x1lJl+T9KZswmNA5ukMMJuomBe+FsmMtygAPv6x4EcrEmKQuw+rj7aL5m0k6KNExTN LkGiE/Vvyn+yiDYW9bX2tbMkMf7zTpjrglfBzWAsH7SSaL8iUE3en3e+HFZS/77ZSLuTATHnKAqy pckAPzGHAVCQWjCAvo20U4rEvxM/Kbr9VSCSG8EXCQzbDdlBI3WAnk2TNkTnLqN2I6sfTqGfXa/7 PkfwvDgrCFhYDuYcCzRkYygAaN3nnSvH29crRXSZhSQhlds1R+9xtu4j+ldng96Y0i5LsmqSkLFT pqvrRIH24Hk9BktGHOxEWinB0VCmFiedbJh60ucR9gFd0uetyApF+R5no5zBuUGCZIP1Qk6eSA2T 80vkzztLDn9syt+n9rk/cPOXeyJFobYd29ncPXmCuz46rb+N/QUtfk1SGGsPY4UvH2yS2rmDBsWq 0KqFQlOW7BWObebgiKdufX/qdaNZylxBo/nAWTpBpGXQlRiJKNrO9fv+hxJ8k5ocS45RqN1MI7Vd t2+YW3tG6+4ouNpM+OudIHcPpEqaoAYv7e/zF3B9omh97uzGonUDj2oC7APlVK9aQ9ORX/J+twEZ zrZj80EH0gAbQWJWJX/e+XE8EDzpX1L9OrttE47LSYpi7Sxji5k8jkysHStIQsfK73/XSH2nx3FJ Cgk4lw/eyoZT4wBj7+TJEygJqunQhujsEZxG5LnbkcTi4zs/rruKfNcs9QXgoDISZv5MVqF+8s6P 80WhpUQ/AmiEVHJPOE1R/dosm1q9a2m5jQyV8S/EdPtaeg+3kf2zPKJCZOlPBRL8HCLAmJKcBU7/ VBbFsNWAP9rjBpODJ/c7Pa7LtxPicV0acoDs8t4e86x8FiTHCSc/7+w4TrMXABg4glNA6pBmKYy4 reFv9ADESVxXdJCb5Xp/XbLlOzPOUkBoeeTqw+33n7+mdYowjJ2YiVKaCVlUIuIbuBlH2lD75J0Y x4KFqBQgk2qwblrpTD7Plpv1886L46nm9xsBU1Dp+l5LUci9qGOTywOAb2156kaKpvISdJ615eJq Nm//KevPeX32ej07rUKQECUAaFrStqA2CuiicHRS6lurlW3TOynOlgLr/ckHVbPbMvdDmEJ93ilx /UG/ayXhWBr1OZbCcjalm+tet+DvhinUSfc5KkQLco6ieraxwFWVzOsq7A2Jz0zebxPmRCi3AtE9 oAOKOi68ZqRyjcN76X2DSEl92SkvmWlC6TjX+kwedXzeCXFdQj+/6m0FNbfbVHsPucE4kUuKt40Q 2vnhfYKfm7zVZgW3dz4cDu/elJBUHy5UMsFOGW0saFvPyvCoIk6GA0jm+ff01ZZe9/1QWjyU5qS0 7JxuxVLAnSbC+KyO/Xmnw/EMbl9RANjQFBO0OYpCbsi5XMUTVQGsiQ1BgX6rABd5+06GYzRMNaY5 tw/+w+Zz7edErcYGSG4l4h0exT+DsmEoDHruVoZeN5gjFrbplEX9wfN/cY9MRa283/b+vHPheHB+ TxLCxFZvOPlOhcN227zT5B/THn09RQG7eDWpuAXIOxOOdWdst3MADR98ksq532BGw9wtTQj8y0GW CtPNJPfd2HLpdYNwUmWAlZIPVipZc0nqfGe+Y7CQbn9EOUwBU/deblHIXXEunDs53Tkaxdmnow/v QZarJv/OgmOkhPN6ifa9Htr3aud2zmScglUJS7AscX60bWHMDsCR6+1SyPKdA9fFwkcYKYjk2hZN NngSDbkSrPF5p8B1I7lrivgvwzui3SbkOwUO0VUXhsad5IgdtjCp1do8AGjdPOTfGXAXBzETmUsc fI5KP1MzSVmC7XvTfmRo1nUT7qvphYvi806AY5+MN5toS2sau/Vk4kjFzbOxfN75b1aAfzr9nRJ6 t0fyTn/j2iUea7d8G5HzZiV1+3mUupvtvbPfbua2F4HGHDRF56qqUKUCaCUBWEOjC5SUICeEuwUg HCrEoddf9LZ/TdGsopVUp5WAGNNpbAVf6M879a0/pgSmKQdCSdp++b8z3xijLykyOuE0q6WkThuh ttxpiGG4096Jb0Qb8ch20MhTASD6aqqRVNHKqFK/RruywQCLV7+d2G3obYO8rbJuKzwEB/2t89yS S7jiUj7vnLduYsK3F1kT7RLvFEWRNkipPLDzbUYmBUWA1vKIIlYbfXhitd8Zb9xolINblPgcflCg XIQ2kfD1GeytApjXktuhwSLmJUnwev28891uOrKsa0sqL2+1fs5Nurmd+c7l8053wxznb8wR+j5t ZO8jvbPd+Mtw8YgMWMW/URSAPV5d7Czlbr22d7IbMRk4Y1C59sG32sn9AZ/BrQaDkzL4r4wGLxQI yz+26JR1+7wz3bp5pKANXnzQYXR+hEkk+jlby+ed58bg5FeLhM2r5V2kd5qbRRvI0uZ1SOndO7ZF JrvqIrnwxDvLDTPE83pIoGM8Ah0LUI5z5WQ6Np5d0fCm2GlYWCxjpf40/ZmKvJPcsOoXT+rUhw92 Gp0rgUktFuznneP2axWx5QSKzeweG71T3PCleQtOM/zAJvpHqf/KV3XiUtzeGW63YwszZh8cWjPO ad2aOrYnPs20JKgNuxcLB22kcSmTxPa9E9y81HbytNZ8MGREGjIbRWny885vY9zwHRvR+nmtu9Oi INsSf3HaOEfyaRLeeDzWX06XfGe3dVf5RojIgYUvbJ/REW4tuEJDy2tBPo+tNmCi5z7/uHS2/vsD N37ntnUp4AI+y4rbgCOqWj9nozWWeKBTyJeMAki/+REsrnPKT7k1c5KiILu5za4LDY/WvYuEZN1B fmV4cBQWtguD7EzJop6z5/2orp2Pb1Q2wymyl1r/7JQnyFfDJveGkMXeNzqR1LSlItVciNwlvXUS nsFNNGbm89HN5tuNngXAW4ijzG+NwuzJynYldFGV7eltpPPjX4c0aAPbLEWVbRl+10HxEg4PlDZt wq8brNEhjyIut1CY6PnA/sRrSCS1vXPa8KtSU9/d0bvbESKlVWu7ns/4vFPa8JKlfl3/sLGhQ7nB IaM4uyd2IZNB2IAiqdeBYJmfLBwImNQCDxnH2VLAITtZMs6apBMcnSN2kFgD4uWkCHgllw0kb1je 3WSEbYPPO6HNIMNQBKBPw6Ck7Y8AkUPVkbMF5+edz9Yfo10tJDp3jdo8GXnns7HSujQzmZxRuqUk Sb9V/jbZnHbxx3CSokibHJBWCZnVYAc3KGuL5sP0/Ui1WP///ASbalag0Vr9n9f4553Nxu2WhOnP PgiQ1NeWoBmqd593LhsDgJuxyeWJljt3ksJYezIdoVUNhd92Txe4LrtrGDSg7ioS6TuVjcebuvqz +ODASMga0NYDhe2z++jHB2VBxJDYZQVTenO2rvcNjm4Vj/roPmiW6sW1zc3H/xVtc9lAC/Acwp77 vzPZumlc59t9BGV4X3eGcnuSC9rnvN/eiWx3v7WVpw++lOgHBZQcikgwJiI6skyaM4CQBA3aK8NM BsQ7k40QWUZInYpvHIS3wf3JBAUKmJ93IhvZDPsrCOCKXMXr2u88NoPmI4LM12u39wtBHlbtBgS5 WaXtncbWXRcP9Ecf7H47uccJhZgfARbYyPxftJP/6bgRMlgwltqqQvLOYkNqy87/kF8aBwXcJypo Wf65rX3eSWzsHdz9hjWIX7K3ZyVFhW2GDjD3mF4hkfjrJLZt+CShDmSTFEbchJL0SQNQDhZxnx8c FrjuI3t+fSIAFmq8o8LjedyAWyCJdwLbnaPNMqQGzdEAnUnAhz0+7/Q1QkJ8HaliBJ+Isu8chUiS rhKJySJw43VvI/Xt7LW5vXH7Tl67+L+VqOXNwTFJE8XMLR2lAhobtQKK8AnnIEFC6wG31lFU1R6q QoKNboMhANqsLFudz6yfd+YaD7RvIAkIDB2QA5uiKODOkjVd5cL9pbKIvVaG4ZRoJmeJ2ztt7R5I K1HpjYP3/8/+QcdoMLUFy5q3IM3RGiFc9YpJjGZvG5RIkEbk3CF3o0HFyBO/rG1VpPl5J63hj839 K2/DfPfxHEdhuL2lb3799rLjt6Ccz7Kk6OxYPbzZ/gZsa+to8Ck6ydaELgIRkudsEulfBsWzZEIV zNoSMHx+R3D7UwpoTWrgLadZFugttC4p/JzxeBBG9vG1iqC0X1e6q+gfsXav3VdRM/Pm8w+9tgTn 7mxl/xaVtJH+wKICJSINNkUnLx9A/ZB3BEO0Wbebp7XKjCjfsr+d2FGovchjhzasD1bT3s2Atmft 4/Gg9V99jjCfSOJ7n76Mehxqy6didwdsywi0i0qbvIgEzLqINe+httRFUe+nNCcHO7Bh9X5+Z2pO FsRaS97g+MhOTdJxXZnkK9BD7uPkKdQp3sJBy6if/yi5/Lb5eMAceS61H5rynhU8vELSw5I2mRF1 WWUWqDZrAOCmSF5nO3+aYZF7hCFRbWgXXm0cbIqA9W/QsqHuHbee8W8yiFkgkPSbsU3qa/Sopi2d yT3EDx3ODwU+V9CIetYlHg8u/vJdaYMXcJXxN+cojLOLSkfjVpFG315FGuVCSLcj/3pU01bBtqG2 bINHkCewHqMR1daBXqzSyUebCq3u+kPemldsiUbqUZxNXNt1jEsUgWOgfe5M3jrnL28Fz78fSOP2 2EiPmEQSXuh/D4mQdYmF5ImtjltpIYjzR/Af8mymIz0KtJWzFRnjcLBpAtf43A1JslvnxgOKAa6W SEegyYaC7a3abma2PQq0IZQ1GHBpMKlWaELVJPfP3vF4RBZ1cATr2mAf4ky2SYrYkCBZZyDzmsdH +cZHsyRrIWVAfZSN9DDQFjGiE5PE4caQ0MCXlUA7EzTY/D6B9Tm9aeCNmsC9/VmR7FFle/FM2ol0 443to87PuVVWJ0oGGxrPB5M0+q8NB/T/LZH0ONCmghvq8VZHyssZ61WYbQaRAPtqJYWMSGUjmSSA ka9b1ZkHEt475fEbeiQk9g84C00RNNa8h1K11w3uf2ZsUyr5k7EDw+NVcJsS8HCCXzz/r/1GqgBE 1selHfewtt1xAZdNJWBk4333ZSWStfFfmokAge04ueNIm5GP1JI5OEUCBgJ9M4ycJwgaUE1eZZ8t 8gMjKzSBrvIIFWHxJX/Vkaa6SWejYIZtKZ1Ylei/eQJwfEAEa/OlxLpyYrXtzlIUbBOdc3I2FAG4 39YcmjC0DfG2lCRbtCHh2R1BSBJDyJp5v9V877e+AJWgsyAUyRaaVksGcedsAkSyoADj1h3U4exR dbvK1nqOOwiwdS6HXZTY5onHI3rt7QCwTXJWUe/pntwRbJtL6RxKxNlQpV5o203125JMFh99Pttv EYTELrgkf6FERHIl9g/ipb2zTXISh9kBZ8MFtyE/Cw7bJNmfBzfqvRVf8pdIxJK9ybr2JnOmShP6 E1UsPh4spAttZ/pO6CGqt3cthSF3q4K033oboizV2xZFHllvG3K4xo6LytvqbTfqQmlwbtvJ3ABh ZHl7QgwBWTDjSkqVVgCvp1clmSziWwJW0tK59AyaJ25gfuEsE49Hi+k7WMpY/6NerM2Igm6D29aa PFpqw91ycn10SiE3zohyRPVthQEzs9428623QR26g73OwxshJIwQF93BgY3ZP6BviUpiytwjCrrV ToI6mw+CkZ4rT6Skc7plPB4E3Ze5RagN1PCRatsURUF3F0kyTVMoRZ/EVaPSpUkUmg+K0B5Xt7nR ioRrypdwzS64fYbQ7RuGcGxLEg5Pt1AI0LgIGeqK+JLoUFJ5RMx2VOiGDEo6GuoUckWCO+Ly9vqK lIDeHwQBa5JC2LYlmNtlR1paDkieyaW1zl85zE1oRNXtbCnJ0MAjYjAz6UDoF8oiAOcH3ATbuwCQ IGpCT8UNA85vMfAdAYiUPduxltoky9ok53JI+sJ+/geP/6tNYq6NPzwVbIpCJAnb24lyoAZrq44h LempJFV3CxhRxE3P8zbo/Y2h+hQBfHkCAasBQF6M8WamCSKOP7BJPAJANQ3f8QdKApYTyweVANAb 7tLrGRuPR4XtX7nbWYI9P1MUxdvOkaw3d1PjVpAtF3Y/+ZYLtI0o3pZ4RN+0EOLgsHaKlksbAYKw m+kdnCrHD46pk0GNy2ybKNLhS4LjiJQk+B5igEmoaETwGWMVCv844/loHY17t+FfRhWi3gx3hAF3 Y4/Eu7U4SHtxSlI3tR+CilxhIwq4F1F8MA70wQ4kGiWaXHnDvT+gJgURG2qq9x+0Cmwh0fMC3xHk t6wl4QLwwftIbTAYODM/8Xh0HH3zI5DdkpJiUxTitYdUopo22FccAKg7nlW4DaocE7cR4bXL1gpS 93ZfeOTJ+k/WNscyIwVAkdJlbUFMHanFhWtXvW3UamOdZImXjB9FZjgwC+XNzdx2hLH2+tUggX9X K7cCMKJgGxV43vfL8zYqLNjV//T/5zJ7pRFVtic7kX0w5u5q8GTOUYJo/qTL8AmpB70dzxwBUAkR ox/UIX2OgKvCd0ThkTi2k8Q2VMX1x7Zz99PsCBKQfMeIQuLVf2JroKDTxpVDGmGwPcWJqNVPJP6W Rm3rLtaC8M/2Whxsy/uN/f/ypU5+jjs4fzG5hWR6pXJbpXXyQrANZb672RhEjjDYblL3oyFHh7SV JDZwrWzKqIJxufABQQmg/rraUMArzyyFsXaV4Vu/5pSklytEoke9TiR4RmopheXtLMmW1Hxwbtsi yp1R5ET3vxMlkXAXb9oFoZBmLQBudnxJ1LTV9c9tN+C5y1JDhW1t0VF8zj88/886CW+3c6PP0p0g McMCd6WMtKtso9tG540kq9Px41L3uypxm7G2H4LsoWrS+KomMaGlHCSbAOemWEWH0rmAT8ICY+3b bSPfdoZQEmrXnQ07fVA+sle1btsJLvB41Ce54lq0mDhbpbficNsZBduVye2mKSnnaG2iSpi17a1i AFZStzrJDFmS5EeAJeKDI5IRrMGphFCSenYiK24VXukInqRWcOG2S68bdQGqZmf5oMvtTBNPUEjS FTweHUo+SaSNIizt+5LbZxRsg9mI5n53jmQeZp+AYHvdYLs4R3LGHElMEhpPPjhQMskfmRnJ2SKg VyG1pfUJheJBzLwykZRJmGGJm4AbdKh8UJ0E/fLp6FU8HglupK9YEjHizLdXMqNwO0vJ7tHbSET6 iLLF9NSU/txYeIYF7iFQf7vDXUlq/7MrOaAo1QXcPtPTgc5oDyiZEsH4juhI4m5brfmgKTrJCTUM 0M7PeDzo/1+cpITwkdg88faM4u1aloRIti0kpJ+2kMZsvpCoysdze0bx9hCMVEhADg/iNhMAwBAg oWNr9W20/sE/YLyt243a5/iSSG5DhbbdfRACsJ41pZ5b6RWPB23Jkb/uNui2dHkGco7CaHstabRd QjI95E3PLnmFhIIqmqMIR2Ko5DanDzZHGzusmGY07IrpHF8nGonIUHAV3jCJFpQzirYr/W5OWDp8 4Hectd+pnIa2x8Dj/2IjsxCeUEq4FckZwUgAFKC+1oWR0CJAMJKUrwgyNEM1RVFxe2Wz40o++DKa yPMbO7fnqB6ZsoCQkzq7bJPmvh9OW9HbRjTb/iPIroauaHsgW2ahrZfBVwyStvbdJAHIq/WL254h OZLNbbENrCu58u1Kzltngy6fTqNQ9Y/YyF7nHXwVQSe/ALQObPtC+ISdtoAe6SiI9PHlxLX1tkGz bV1DBBuszpahm8c62+bjEWLLk3+ZmwGeUbzONiMYyeRhdBKGhxrZXCCJ1kZ2YO9kjdsZhdoyCeyd BuccvEUCGZW2JUeygArHejvH0okOqJKAO/PWtQltn1Go3XjnQ0+IA3IwqbOCU8Be8bkuK56P1pFP Eq55euelC0eaITeyEcpW2xVIkuAPoe3b4CXQUl9+GoVyJFKRwtFug91q/QQWY5A9RpchEIfAI0FX rwN3ee6Lq/RPJQh8SbTXOEmZ7aSdL/HvRF0U4Abxj+8YBZHf8pGNaq/VuTYrirMhOIi91l2BtDbn kdTRl6vXMx/iQloRkGQU2WqU4YMn/8ChUESTusfobWIhLfB6O+u4xYEkxvpfIZAEEluZs2uDCm3n QNoG2ar1s0Ju5Bzfy2ijWDA9YVthTVsitkTFaoqYDWiKcnqw/6yPJH5SMEVdUG3WtDl49x+5iKna tHPdr8FGGz2cB0XT6rxwJHpJrVD3j8QIogpBk8CpxK02gYxnqIQK32dFYXau3yySefb5CSJ9q61Q SXsVaWzc1sijapOrwUsqxXptjkIgCcE2I4u5xUzvpzGAHDjZIOSFvjZyWeInM36BRu93KPV4bHTC OXxHVGZDbER3WBsMj3TuGbYS27k88XiUifxiteGEhIG6TVFY0mZjmGQjKyG16T4RqZXlZTbA6nka rRCtPdQaYROp+Y1DubaTFRVJtaKEMTdbkbSeEGfyS/ePqLb1d0l7gu3Kzv95S5WQzgFB80LckAXP /yulpaI/rGj6fvZaKKndmNI264cA+D+8XLskiMxJQnasSfqbHAldSB+8EUmO/CQQGWkc0GBUR0Ku RLm2TES7AW2mXjcotCmlLbzXzpE3BCkG5r7Lb/f80RnPR/faf8Js4VnskxRF2Ym2B8CsWsF2pHxt AItJJeMdhuH+VyhDInWkxpSWg/NsNs6hwih7EjyCo6vAo4VyYCD/0RuMFpx0XcC3/AW1mUU4ieKU J2gJ0R8bqQhfMgCR7IsiJZZi4Uiad5aiQBvqc9RrtbI2hfhtKY1uqRtvNitrrzDQrlpDJCNz8GN7 Qd8REC2ACeZok8p/leXLjkB/lltCInAcXxJMErWjUIjUkIwyi6iFGeL5vIbHI9r/r/YIQFszb09q V0iRlNt05/pRJFC24SNIkaSv3Ulqp2kjrRCxLYt3ef1wcBBJQR1sql8LmVXmdBXWZNJqydA4cBDJ HHrdv0KkKZgEqzeCI0EBhot3oM62olh7XV07YkjO5p1AI9ochZBt3W11Nccjp1Y81p5XZSsvx9qu uKzNOSoyYStXGwE7DdLjjS2k899MWHZgjs6iUPmOYlfs1xaixPElwckt5P+QGulwmkoCN0W77Vx4 eDzq+39ntdSv2PmuoyjUXsxHKgMMM7s1ub9SJdAgZSRuCs5RrLKNzQbTLB+8GYkcjdgsFLVZDQOr jfXrxTMCCEAPksbQ60ZBkmqQppFcrsz2iQb4f6BWhceDAGCv7xMJXaEF/WFN0o5ibUJeTxYw852k 7UkbtV4saauetO2QH6l6bSF2u5XHr6ZD660Qxp7RTDpzVBhIIhyE8sDot9EmJ+4dxdrQpIPHpnyT ixlxo7DA/gsVthYeD6ps9Rf0DwWkedfRfo+1XUA6MTRmdWQSVqYpGl9WwK4dtWMdkiK0evfBD+0K ReQpdeR+4pRMdUQ4xf9Qsj2jtOw3G/X+d4gfqcrVCLTduTrNFrgvXhO1l4HHg0N7fweSFNl7gH87 BG2zFwm5Gi9EknenvbbqrWiPaZL/O4q1h8Bag4JtHDz3TycSGksqGwS2b1aQYEDc0aPKOKhtjqiS hS8J5qgRYUtHDA3Gj6DoMtdG358dcyP9zMaDBGuXa3y0Q2pkpxNoZWmtsQEwk8+RlACbjDV8jqJg G/QO5LOqss2nyjZgv9ZUiIS631Z9pPPqpe41paOsf8R7bUfRtlQ2V8rVByv6T1wFOGLO4sLjkXzk DSOrJbaNrARNUozY1uXfLkMiV/eOKPmqtWe6SHOSYmqkJonBdnuC7ZM+IBOduthOzA1HMOX+HY3/ LCE7q7OhWobv+FNlu8uGFAGGhMP6+XL6g4AZsvF8JPn3TUZCKWDkW4vcUazdFCDxPjEtkuxaJLlP d4/AUW5zFFW0Mw/rUSj5x8HpoxnlEiX/FQRk9gegCICGcpMLmuO119DbRkV/gf1y88H2GmSFxcHC mR162JTbGVHyj15t8hrSjgAkSf7ta7nTfWbh08Ba7YK1evJ1FAr+WR+SZzcHB/3hBN8SIldPu3Id QQa8g8WyISBhDe3e9bZRvZbx0ZYUyfbuUYeGk5XZFh//F56NlQl0r8q4Z3YYZzeJRsxr0+JFEcSQ yyVtMlAlmqIQPyJJZKW3HKwpWMA8TgYdBc2mkYMLgAOq7meKqqe1hS0HfEdkxdZ4n9EhcrsPJRQ8 0mZAdj5m4/GozOZTtFQy+Okree9ohyXtJBjSvn7Iw2wkoGjTndKW6fSGjv+Ow2zy/SZXEQevj5yN BkS8KpFnKzTZlcMCqUHlAtG8g0f21NtGpxEpNlvaUduuBUzRktk2iEF4/F8UGzb8z88xUWO2KYqi 7CY/v57WPbCHH9hp38OIfphaRRF0ZNKyts+UffAom70RoEOhiIyGfxMzEhcvGJf7IrVKYrF2hxok mRd/Fz8Sg7Vp2xrZpCMGHo8y2l8Em0TliMfJJgqy4XuPRMcU/tgZMVEE6D3Kv73KD0j+4ymMslmn TWwwatAkwY9wQaQFkzTPqbTpmlQm7M0zbOl/yEcG2R0raW1744BASmZEr2Y2St9dZiNAOPFE6edr +AERfvRaj/EaxG8LOQOfqqiwPeiMVAjkNHtIUGmJHzk/e/apAu9Ncu0pjLaZ/Wfx1Tk4FCnlBDOt JG8keEVSGRm5EAqU1BK70j+MtvEtEf0PYVJbz8BvgVR+40GIlIfPR8z/X3kbfWrmw7alY3Xw4Kaw XLo2ZPN2JLv72VaxJ+WQkELItlykYKZjg5fcTvoPiz9aJOx5gmMcThJL2lM8yavasra/cASRYJRU KSUN4cUf8fYWIFXDGGuLHxBES9mnqjKag4R8b/2ZqqjMLSup84t4J6C1C5NokgNkdoLL2qYqtI1k 3S3n3H2wqTqbsRbo0VIkGSQ3IE4LG3OS26awy+3fFnvjCC3JRBeQJht0Tu3UxJoA9JnPRwg3P8sp HwVZgT6vpFROUfgNVjQK3LV4rZtZguSSuiEngbtd7paQomL3SPIA4KLiYDfeiQQmXKyZ7J4TpjX2 uROwA2efQD+qN2cDlkxaKb4moAKQl9SRndugsglspJXubthKpBBUUu7+I+y1oD0PRotPVVjxNqRb k+WGVbyFBqylLz+pEuG3XR8VnVTMec0wcXa/+c6txONWKC7I3WaWTgDK+AFJ4oekJK9Tpm0vHCW9 LDCVXnwwrNv5S4mvh5s3n4/st24krtfbPxPFT5+o2O5mU72Fu28REriW1XMrkf2S4ALcwSYqisUn E5U62WXj4Lsvg9hQRXiDFS1aWqvCHJCyiRsVlCsNQD4wvyYIpORrX+TlVryEMknSZDh9ZpvPB92B 9Q1UAgF/fN99oVSJCCYUH/y/JYzJJQbm6WECxCH9mIpNbyjSUti45HAn6izY3UmfBDSpIsQ8mw9g rHOcoLrHA1hlFEov8GsiUrfOJ/FwhvNw0F/frH2fw7Xx+QgZmL9CTpRDxmrPKRWG5UNGLvNJ7oYz cdL+sr1ry60BI8WSIh33JmZXm7f8DcIBCrzJRF375tab0CAe2N7rpi4Z6Fy9718SARQaskHrKZ9M XhCBE6Tz+WDn1W+xCWhOoWNxpylEdXc63+Xk0jepXFu35FIm9OF057sUVsDln5Dy9sErTiBUstkG cQbYJmPJoRd+/lZYa+IFLvOtFnvhqOTE5VTTHRwf2DYJTHUw6Azdbx7MMsMJwA6APbCJCq0mEdFi PVlPAP7J2ToFJ4obd6Lydmu3wGsScAqWUvJKPnhFBbicqZZTOcsJxAGE8OhttEGjC0jRmrULbbdz YDYJYQ7W5iQTyEH1grakl/WDA5DPR6neQ8rFioIyaHpOqNBvUtocmc12qzzVWzJotXnlqZTiExXh TsQQmEUopnI3HpxKoRNIOkU5GUgWiKegCY18WaJlJoFH15IcG05mcZeEg6sXBzegFcSNt0rl85EO 7i9UxUSX95KXcmw5KSHcWq5Y8GjLywabAUN5zqeuj/qrz9sXRbc5+DRBkztBugkVujrO4qW6O6XD WQJAO82mqTR737+kAlei0dtKTN0QxpzfQiadwLXw+ahn8AtSSauJ8kxTVBCHBjzSlqeOOZPbu7Be YKUDzIGtpjAs530n/TYNFqKcKK0CtYlgM9MrSMICULVsiJjAHnF1juHv+4eoQqZNlg2C6IDO0Ewr sPL5CDTgq4kQdDQCeqk3gAqdJ9fUGpo+Txn5odd7CSCgahD4ZzZPIa1SylyDZSgOtpxOGHzOWChT QqCLeumY0YQp6tCsyjC1s+xF0ko5NJ9cU1Zv4no1AvORwM8TebEQ1dPYfD7o9/6ynUBUVx9WRQ7M J1UboYp5v/OUXccMcsU+T+iG2LYLI3JBvSq5zBwuZQCGai2pMH7mhxZdeL0MEBtApP3C4qbigtB+ kppBOVEnXoPQlatTNh4Fu1X4fGSG41kenstI9Gd51lNIrtR1l8xNgR4L86K+jE5IvTcDD+bQgHKJ 7lV0RJfyoAca1IO2PINQmUikVnAnTpK9gb/1op2qUaEDpQm+TvoHjCvgeD5ySqwYSROf/5ecuWrp JNc9t917PI6GnQiDLrGECGHZPKGCpMTlnE/Oic+BCeX5X57fZXNTcfAKy8n2CpNIoixTZhW01iKV RVJf2/XprtVe+I/1BAL58MHip1WWradU+XzEHvAMjzUGNFjP+f8c5GE8Pgki2GZdSuKue771tG+H vLsSdQ6cKF1nqYirUx6uzjgxQu9034XPzkCKQdIAApANM4bK399S4d7shQN5/C2WRc8+KBWGcZAE gM+X8/l/yZrrokQHuj0HVAj+7lXySrcODHlq23jb9Cko/u4lu9iMkrWCskryweZpog6x4NQI4ldG 5IxieQFiZ4MkD7mq/0hcQjfKwo23esk+KHE5y3QLt3O+gM9HiYvnd0Rc4qykG6lNVGhIyYACKr6X /j1S8YlKhlYlLtWrwKEj5SJfl0JlNngV+NxjKJKTbjF7WRSvrNAjO0FBqWiYezyeW7P3DQoGDDCB SNSgAOrcM4Bh8sIrK/P5SE3wmyFHK5l8FXJyaElp1KZG4InUclyU6hzkmckvlU128WkKYeBDjB05 CdfHSXieRBEuZoSm9n7+z0L0DqI2HOw/FKWy5STf7tCSMlP5dWZBU7OfT2vtMhjZon3N5yMA768K FFKn3r+WUyhuMomWY9dBpToZU6Cw0kv2wgpc3G3fBaaUwo1iw63ug81TSixBSeAcLW3u0HrWWPnZ tByFiJadT7kme+E/MTyJ+fAC4o6pC0DGSz2ffs48fsC/UDz8l2l/MJ6JCiEqQ57d1KfccqXqNlFK UilNtaUnzAUVA8I5Q3tkHy6H56RyJ1mk8wJo4fDAWpBLxJ4H8APf9Vx52944QBdQJoeO0hx8BxWI FVbuvKzXjNDO4zsmb1jQ88mEQ2vKTUNBmCVYrNmE64GSJ5Q4PBPOjsHIgTcl/oSi3O4ODjDIgC8W HuVQhwWzELFmW1DQojx27rd9Ppe9cATDYP+8E2lAHIekJYENEr7w5KiTHxBtPg/Ki8UGbX1NVOgI vyWV07xWZ6oLQqp+mcLcIlRoULlUJs/lDh4b1BPhwPKaGowN/AME5RPJFbSlToBwOQboMuh9o5IB GU+9Jh+sZHCWkzThem18PuKq/KrVIX1a/XYTQoPK1udvmeoT+j8AOoOMobLSPMcLHCrdx3NKqGI+ QhUwgWdpluq5ENCn3NkJMlGCSuiZo0FlAkxr2vsGcAwG0Vulun1LdedvXZNn1Zmmzef/VVnRSY57 pN9KXehR2begT0+KVx7Myi7dSwagldo0xXLexBkgUrLh8jDPXyAcVq5oHiQi6AewKhMeXDjozzw1 bjubpxi2wrvOxOHowsmIEXpD5mCa9JYR9Pk7FYbGattf8xRF5J0V8iwhT82TGead46Rkr0Bh21kq HPhUoo9HAQbydVsbt6IJ206gH4RcWbDQZiqMztv5q85+Rypjp5N04XLoUwn91CEErA3GM4TYj+gr 6KIHRpXpy6iSMWU+GwnEmZu6hF6VtN458R1np0p4ODvesMznHCd8peujohuPzZa+ig9244HOWUmT B5L+rHMwF1AjX2x5nw+mz9uU97k6w6FdpfFVe7HBFhSgaLNqQdXO56Mi1C9dD0BgZsr3gAoNK6fQ Ynu61IC5VRFQV9ywshTeS9x5sWMlRbvPdmg+3GYCACyw420yNlI975zpBeBR1J+eDjqR4jm0rFQu vAZbLxwEhkLvi5U7lcgDz0q1tp7rDkYaxK37NEUhOfm1544rF08/jH9In7hb04S0v01TFJIvFlU6 3V81+AF11szZUwLUn3ic5nCQQDu/aIftNNrSD9Kg2wsHSAO56WWV7LKJGhTqtDeV3+bm8xGE1Wu/ ErmEWPx6MFGxdaUcmdujqD8eI92VXeYb+jE+UX/TM9kMsMGRBvitx5CibhqQwaCiLgC+QBoA376c oFm6ulOhfSUU4b5I9UDls+h7bk5zahjnZ+IH/EuZEfMqVdJ0u52xgyW6WucPyXdJlewzdcIq73ZC QtNnKgrKqQJ3Fg27eRw8GV77BIiZQANZ6y5CogAXx6o4nzzo0FC/+ueBiaX0A0D0JYJ8omkh0QGA mxQpjjUyPyCCGnhhk0UG9F3aJfzm2MayyQ61zntGtWtA+CiigapjeV7gY3kb6PKMKY9nzMm7QCNq 7AsDZtWI80HR5kwtYTFg3jvEPi974agxvNk4l5MVYD5KODZJoALOpckP+FdGXMhflQzNdUXNgZ+l q+uMvrwGPGQXgwrLpDcbQRm7FM/0QkPLzn1XAHqwwUsHA/JL1B85M0GOQ9VUtZ8NYd3M+q8xf1K3 F47uPckQ1zsYzucsWtGjNkosgaUlLvrytfkKyz7X7juHnpaDzqgJ28GkmghIsiWVndiSy4WOBaaW QMoykKJQtQZbUgtWvxUhEjbeWXmoOJ/4AO2EBe4jzZvcY3d1e+G/enn/lb9MuIASKVDPlc/nIwuZ b24L4G69XQG5HPhaIh8mrq5XFyPkjJiklUjSXSUW7ynExpa29QgH5nArdutcg42H1JknOHWyBAwN dUgKnikZ42rtU6eVXxMR7oXGYOWAg5oKqNhl8e1W5/MRxM5JiaxcQXElr9t8Cb0trWZHsW6xW7Pp yQHkA4tGSqSfO+VuvDAyp7FF7dbRvFjEAdbvGmgCn+vwBCAVhi6LPlAnFUYGgw6dg6FUKw/NLS11 yRL/yi7+dcJyObxDA3rx+SDgvGYy9CQEi3SuqyaTQ3tLUOAp+p28uLlycr+9JUMr4VuTwcZCf0uJ e+xMl48tHAhDlQUkT4I9Lk6ojLIdAoSKpgJzy58ThT5tqr7sjSOWK47xJmcZDrr1TjYiGO8JFSaf D+KDRyr9h/J8P6g6+ETFFpdC2ajPwtOq3BQmK2og2qA1L0UFHpfnf4k2WPJvWo9/04LtbgdzFxZX G3KXTXoPSO8onwRtIrMBJfM+Bx6XN4MRtpWuMuoOr9UmLzGhDQKTS7FNvk9yfPklBOXQ5BKlGNKA fJposKaK3SwPl4O+vlxPoculSnXnxqw+OCgDDW5ZJZ87m6QHbLyN+KGzS5bWzfSGoqjA5lKYBhSB h9xAUH7LqtjVxVIHlGo2PyC48i60XObqUCeuNzIPjS7FC8rlmsrD3OauJyPmgV6W73qKI/Mu8l32 wTO9tJlp01W+AXmytUXP0BOkOK/VdeHe4ZdEYKgtWRCpyqHUyz8NMhd0eD3/uCx+wL9MHJOd5L1c blAOrC5h5Syl1IdfLl15ZjCyL1Jl84KhYq9LesqNYeiMxzeNKmAddwZQiJAUYGOU3L8OLYX+SDlN RVCB1aVUftFNUGK8XXz/ZBDnP+vCAxgq9LrM/ZcpOKxFytWWy4HZJdzl2HGRY6OU+Lt30VurV4an VZPhyX+4XarCUpcPTn7JZy+zXQgg8NkyILFD5xRketDwIF17hXjURQ/tLhWU7yHpKxr26nzaJh99 5rvy+Wg5/WrmQcx9PRF56HfJpBR2FjZPUJWrNyL3Jvo5YKr3PAPDSycJ5fUMjv4dKFguJsQDsGjm NxX2hT8bvD0Ky130yrYXjvycMU9Uq0fpD7+NLGZWHoNhwjkPGz8gqAFf6QvBNaEpNZ8uVeB6ad1h sBWTd4dZ5DBFx+6GRdQ8t5mKyZ1UTgfDxQaPNUHOrsUcVNCeZzBOGXLwGn8o0us7j0pzOTC+zCZ/ MUYvPigmnxCjZo2lbD3/z/YwudRdXf/nzotVC4VgmVciXIVOWV+si/SpjKE4UxGCpVJAHVASH+yI OuH3OIEUZ+qs4UJ9GTjNImHDYgVP0idq+/tG3TwJzcrbqXgx6uSjkLLh4gJDKPC/RFdh/Zoo0N5W vopzn5cQqpzMUHhYRIC+ombarhGKVpPNE1zLdUa9BFDngy4zH4oHNtgsnfvthDc4hYC7Pz88SgNA pKL8Rpf6x2hm0bL4JXziu1Jv5tyJo/mgOTo3pXJvuNp8XoInvuA2akIxmRBQHDYxiLxko/lR8Rc8 WGPlzZWu8jVaBypBQY9OZ3gwP6xUQjXjDt4+h5v83OR4Qvd8rwnYygB0YSBbycBhm63D0sn0OkHJ zEF21TFObVyewxD9Y635xE94+m2CEE1UmyD1DBB9ydkRE/QSM/EpqvhhfdSfS8ZzD7XcTBSL/RZL f18iJi0g4jAmGYkaLAKHzRPKxoVsczh6MD+u4IdXSF2u9GjMEj7+Ei/xXYsqvlT20qD7DTbRWxWC 3T4vwZJmd98FxH91Qo9n2PS8hEpaP/2r8ytp0OyhEk5ZL2GWZBY8L4ESP2hkWctRU3eIPGGBEo7p UqVWBC+fxoAScN8BRDIOKAu8qW78eQmT+BUIgOjiwOWDu1JwiQYlPl5UJ6rNn5coyf5UXz86lEGX S758XmIkPUTcxeQZZ01yKzidr+U9bKoX3QgtLxGS9hdTkoLzzAYvhZ+pQfUVNz8aRXDvAD0R1gTn myF1ggKCbTDCYz4vAZJOILbIBzjENqhykoBovy3yl/BIT9f+tYCQXsNo0iboJTjSrhRUfHT33sli 2CnWrhdFgF0n49Rgglhag3fT8uF2fSt4oez6rnM3YrIo5XTi3I1IpRCHbO1MhtovgRFfdlVd93v4 oIrJiRvEnDkZc/m8REVaC7X4/LBNhQYW+haaoJeYSOc6fcBItnGfi+oxUR57ef0NBTL5XPw5Qf9t 4Yz/COfdJrmLdT4pCWRxpmVSjxQQa99iSS8bbDGBBxrDItoRClo64QvH5dR77Z+XcEgHmKEvtfmt LeMr6CUU0gndiAFLzQ2uMtxMLWiU2oUwqskm6CUQ0glNhk8DntIGmyCAM851Tmj46BB5SNIQhh5k AqZJ6sQWXZNX/hII6VcQTGfRv5GDVXHLFAfkxBL58xIG2SuWry2GbKCP5CvopTCpLVbLz7X+VtG7 uKrMSX68c1mo6Uu5tOCMTgQ5Q5jJB7/jRz1H6CCbfJCzwn4AqtTwJICV1dwXI8e79PNSldQEbQoU DAJUOThKAK0yhtQdT79PUDexNJugE/8ww9IEvRQk9XdtdZfMegDfs7wu0le7sjtgR0npIjqkefiU VYsP3ltCLQkkcmKcF0pinKCGhgkuJMhqXWQcbZteapF62cUuZaPiPgeDWkJGlmf0yHjD6BLzBUTL Rqq6lpk8SozCaOrIoxO2byWydq8cseGha4yeUCIcRlPEHkCfNfvgpe0TbbQFZD7NdhAX0Wkv09kS CSXk2jzTbyJIRmtIlpadqF0O1qU8562i4xNdf95IdNpl02969X5BlJnF5ygKpZHiXL0Uaqbuq5IO HJsDvUiCFpknmiMBBl025fZJJmhUZ42Jkwn59A3E3AmzzkoDoBd/YvJeLtTl+LrBUS1KQaKgPAcd RCemaqIUnB/280ag01H9a6Mt+iGku47eo2nAUI3ldLEBkh7olGhLrkxkbrxEeQVztKssrVgx4nDX EcqaNJDK9NJB0xhi+0gekLVCNsOjoSG0bjBFXYXsJo305hrpAHvRduoEyJuPB/HQvc3YIkDRd5I8 JzRCdFoT0pxHdrxgFqtAsMp+8YK1+laLQmoxCYB/8MFrtD0hIC9N7VuE26T2wsBggN6NdOS5zpJe 932rdQG7FrtkHKz2WOmPgeMa4NMwqB6+1RgcoFC0291q71E1kk0j0V+aOEukmqPUrkgqfkAr0EZz xMLjljYhB8vKQEqBXishuicFKZJSq0ADdNyfaCUKKkiDEb5tdBrJ/Fu6jTjdhcU6cYWpW4NL93kj zVlQtW2OqGOBNnXPqMpokqLImsJYrDHf1GxknyTnz9EexRvc76E1cjPiuWYrPnhuNqHRLFfLc2i0 c99TvwmS9R2amCAW3P42rYjeKHPaLeixnasXysQaxMGE1wQjx3rm6/PGmOPjLaev8wg2pHALv5MU Rtcq9ctaVxTodqXkW7k1bPx7mqQovFZiD/yQD14ggj06HWMgtQPp3Ua9AXCJByXAic61EylPve77 JDX5EaLYYINWEiT+ihA1eX/e6HKWAZfvEwliGLRJ1xxFATbx4Sjpe50/X+9PeH83L4EATaA5iiJs keWa5IjaI0d0TiQC3xRAYh8WKjd2qO6ejQaOBYrNxqGfXa/7PkeDVZA8bT2lZMQBtrjVhex8PIgg zbbhzBSFsCFL2Ma92d6DbCCUWK/u6RrtUS1PvpY+X4DdcCGhIBtF2TKPBenSBzuSytmHOLu7PGQr 5F5ZLQZUFCIoIH15PVYgyvcgOzMXPJODTWODKkXnfhag8sRi+fPGklOAZBBKrSNItJNv73MUxdm4 kL5oAleikEVr4q1VjE2u//1GkvuKkEBs9sHb2TjQINIp0b3qvg2ACnagwzIinVvdn3rdaJIybWLb 9EEnErjT4nkTHxGF2u5tYakIbltJyrHcGEXa1eaoXjQuLyVls2N6up9kRZj4SdEcMcSuvNp6vVfb yU93PWGe8XSwK0T8ou5Pp40MjLpVssbi4pe8323bLrVVfNCBdA62vSRnVfLnjR53q1qao7qvuHXt d5aiWJvlMnDZnyApeaxdKIKlu41RKgKAN3qcxXiiMYkwsR/IMjbDyf5UNupgT2Miy6aiAwyvgP9o 90xi5fGNH/eU9tPYWfaWOCiVkWz0NvEfwej8vPHj7Ha7pTVppcJoZXpe+0aP0wou/TdsEvV9W0yS ItE0pbuY3sNtIA6KsG3VB8ts55kpuKJwMZ29OAeBEQngwMyvA4z2GoDx6H5jxylSkuquucpQGowX N2ascQGftbo+b+Q4y43vNEk7B5x5gbs5T2HMPbZ22uMkdw13U52OBUyAKvJgeiPHWRRDTPcY2Qdv pnXgRhoNdyHxkZtcUrHAMH0UqLwu6ZI/eePGWcEiU1dgTB+smwb5GZ5Mc9bPGzXuKdrfkwmaz6Pq CMeXRkE3FAEQac8rOrSTV0kq1Tm5mGp1NNIbL85qAGwStbJ88EokmrGNZqRwb4CZHE6mDsjcQNko o/1spVq5N73R4mzLqczfhw+co91LFdXlHHLz80aK0y289tcc4Vwa9WkWhdXsZvijcc+lYvpVdfbp MXcdXmp7o8R93XBVJKb6kJjmSQchskfs7VlH5zRpVG5kAILuBkOd5/heet8gVpJ2c07JBwO27U2W GoP8zxsl7sbsXz1H4OyRDvgsvQfd91jK+9bblO0S904skZpG01W+3hhxt/aLlIQ+IBycYVlOHAdX VFaT1tl+rAK0BlFZxOKoWz1dtaXXfT+VoAJBwf3qA7/krHrEY1od+/NGiNNfm3/ttrM0Or0RNUdh 0K054iVqfZGrhJZzu32Rlaxx/UaH029MP8I5yLHk4CnumOg7ZSYmYHpBERX9fVJiWDQe5WZvZeh1 ozliy6jTwWk0WIJzj0CfuGc5OO39eWPDWWnZtxt/Q3STUVzxWQpL2+SgEuVgZ9JKXiwpPbuofH3i gPeoG/19LKGZiReZuT0R5TpnR8vCi+wEnT2CTVkWpLgelffd4HLpdYOIUh1+TLANTl3qTVLVO/Md g5V0U5NlEnw/DQ09m6Qo7MadAr5xv0Rd4Y9wKA0S3a3oVoxm8kaEs5JCo9UQUl0NmqRzalfgQoRm g7xDI+6t4Yvg5Ygq/NXdpZrlGw3ue7dVgbSqg7Sg8CA58DNT4/PGgrMK491tPLg7lATSbWNHYbex dKsZWqDIcjV3WzeDEPxlyRQa3zhwX2H3muQEcLA5KtDfJbuN5K5za6tNAF1OLqSTx1xdr2lvGzT6 J4u2m5wQDgZkg1ap5BZ2+bwx4Oz+7V/pWydHcDtS5I0Adxcv0tonM2nz2u325XcbCl02RX9iRXqG jI0NxB0iZoT+BKEHiInq6szeJs+hDpwWimfdGDj2ttEUsQWQjVyyXXEQWmBVrnuTjwdbLflWq6pC gUfth/Yb9U2rqOikTt4myft2+8u85e2WfKe9Md++4EZTceR84khIbY+FC5NGICeklKVqMSxU/+Hl b2d2G3rbIHcDvi9nNv01KL+FyppEGU+Q9XkjvVm/w4tJzPOgw7uHF9zeOG86QpJMrS5YO43k/OUs cqUU9LLxl98ob7bRCGkXBHk9EOSNZvncLP5lYEdpcQtWHGHXjYCw8tjIJ71ukJH0LfakBkBDea+d dA3QNVzoJ6n/vPHdrFJyq0nqXUITsnoc+cZ3s7Q6aSE5y7t1UwqAEAnL3oXQWheheKO7WeKGTZYo BqvBZukc/OfnFTEQCT8oDJhIqFKVil75dUinK+Lnjetm9W1WkaifqsGKSbNmpiYw9/u8Md0s0vZO ksIqXKbLs5E3mtu9JuhpdendszXfauPayZTuN/8by+0mCiAopO6DbTXY0A4UO7mOwKAVATyDxowz DBICt03CbOSN5KZlvySnsLsPdhwt6yudI5TvGNxqv2JIZpHzVkneKG76UlqlZNl9MnlryU/sIoIg W0mI+3Rih8Vtwv2HJJc4eJx9lk7eibjjc6CehaMKDuBs6K+hlTQuaZLovjeCm85cnkeJUFENardB pZcRYF34Hd/j7O+ubdEkwYQs3WstCrSrSQ4X7wCk8lxrOz8mYNVy/zd6m00SGqF9ESXRGeb8YP9M LB7o7zGtPWFpmpWThKCS2px0MHTTHUKO38htVsIngpZ7TtQINYCAsJH+8HkBvmTUcPPSLTuQ62y+ yeqNZikKtMHmprtF8eb2LK443Mq8KVu68VFY3uY+G5tV7uGxC3CQ6WyBjqYhKkkJZNxEAAD42OdH KYQL3ygSP/LnjdtmlSQma2VrgPQ0FwANNFgvO8kPnw823L75CKYJ1YABDKVNUxRqc3aR3l/rhl0s jDxhy8UiZUg2aZqiCvfUKjr/lQ8XTztGnZV2BCNPsEokl0fhB+iCVPxYXkgis+2N2GZ1RRVJ1OFe 3uEG/nJL+PR8xueN12Yv6Vsud/VvTygLCRcDRYbRNtXIt677JeU8U6NiB//HOMpSgQMqMoaT0CQ9 reWDTVODWsai/sXJlkFKKjJMO/fBht4dHKp9MZEK8nnjtemIKPIlHrbntvHTFuCDahLV+XmjtdmW /cYBnKWMWlLz4u0brc3iqy1OTSFzNJfC1QUNOKBiAEWi8S5gRpqkKN6Wi1NXk7s/Te6JStFuklGA i0SvnKSTtsGFAMEsuHVWSmLf9/NGarMv4exsmaZuz9vWOP8jWY4y5+eN0mZVihsosTcJGlS64Mg3 SputJBGPyBhZwq8v55Ju92qAb45ZELwx2vRJjTCWRHdiDr7hzh7raRIJcJKTMSFis4BiI9EeJzVg 6zdz63rf4PiuMgJb2wfNEvCG3EInvOPjUcHtF+oGZyWNgTRJ7zE3KNwUf3OXjwykXvoxn4a+uvcm 8U95xb3R2b5i7tEYc3PwlVQhzZWSzImR4ojpXlFwSQgtURW4eszkQbzx2XSKVimXlOxDume35PLW ibnf6Gw3jnhyN+TjqAT6JIXl7SFoZLpwiWWELaA0xjWVRe2NR/cbme0LCbBkd83Bbzi4pNdC0zRM x0ysgOd09nWHN1zubXt+qzLJG5dN+S3t5CmEZoOC7vMJzMV/Wm3t80Zlsw7CN8QN7I6zOjxWemOy WeMAkgW7kk3DKsmoToZo8+knwRRKcxQG3cpvG4lsJtnOtCRDVhp4fLYAwFzoUj/Hz0js8rgxt8AS bzQ2myImJAXalBo0RRCrrvJL3ePzRmKz9PgiShQ6ZJBqPFJ647DpMTVwpcHchQmwXhKktUxOCXUg I4y8Udi+Nht9emzwwu352xOdhxrPvrnUSclU9D3JGpJaj7m1jKLadhcZQs5y+zrLwe1h6dRurX7e +Gt2nH1zRhBt91m82PZGXtNTQpPu+mD+p5NG4HPqy6gNk1J64659FdsIo7bBpiijuH22ALcaYaVW kERhuXSilO5pRDDpG3VNPyjpNKUTP8hBBUkYc7OYjjLn5425pj/2V+oGjGQfxQPJFsXb3TntjtnO pMSoTMKsWmUSRLYsk7QQtC0cSU538EoShTRJyc7nQEWJW5LDKL9NqJ5TF6ip2Jb0tgExyyg1+Q6q JPUylSueHyLj8SCMvMyarJDzhwIUNkVhrE28LdoVFwS4tOdOAD6Wo7br8n5ki8raiazLmVVszNd8 CJWWMSAxL/nJDfF8HNigMrRaScy4tX87sEM0yVazdg8ftIxOWlgYKENzAY9HCID5nd2i7N/7TUh6 FGkDjcxCkmqPF6FEE0fKyqmQNL1M0t8jbXRoEov+SF01eO3/TAuQ+qr904aITJxzFSGEO8f2uP5M 8hfofzIg0zaOxHTZ/HNU7i5n4vMb4PEIk3yBkviXB261eilaPQq0B5tITRxR4ZP79oU0+3UXQLtb cxSBScZiYwQZkA02R6PvAuV86d9ViNQTLQirCYTbP1TR9woAZTZ6VNkGWgcrqN2BkwRvuqQi41mZ eDxiafm1xjmCayQ6lDZHYZwtNcUyi1dJ9rxIknIREhlwBt5qPaps61brk+E2hweWdGJRYBOAAUTQ iACqVvO5xI+EVe9122LvG2G3iLSpFFMchY4pzNkyFN0I6z/5DJ4PyJDrF5wUlb+RhwdIPaRD1ib0 yP654luGAjzBdZJkGeq26Mjj2O5RpG0W4FkuVvlRIjnH9sb22wRvg5wEDWgYncLnC0WwSiaASrfM w/AtQYikgxvWmByWMtsOpWQptrTe8XhEGV2/kjZU2xCn2CxFpEjIYOA+y4Ij41DqtpigKmb6Gnne kluPkSRKROjBy8E23LnWqDCaJeYGhRuCboEyPH/tmXUY7LigFG+3HtW3oUGAdq0g7kCnqQG0AeMY QiXtieeD6218k7ZoawiqkU1SGGsPSkVQNVSlJCJ8FWs7+wZx5Ci2lEJmpPSCBZKYD0gCC2nOLrtU 6P0XtpsqKpMnJSAAYT+nEoVe+3uwfb6EkoAbyQ1AEiepJOKmLCoHUMHu/CJ4PlhKT/7/o25SG5d9 3MMKN6rwIDjj9kLLd9DAizWSjaAyG6IUrVQe3XGwTZZEIxyQg1e4E+CkCFmBt0E4QWUNLIQfqVXh Ja4m59TrBkd3JkyyqG9L5Vlh91AbT7zEz8Yr+IDg8B7fFNvJznG+s/Qeb1/xdwDhslISojvOhOHP gbkdlcmQV/xUHt4RliSJtpV4OHFw1g023Nh0O4EPKJIsFm8xraBLlDQeE49qrxvNEj3QGk1hOAi5 NVrqTIJOGjbxeESz9UOJbd4OKkL2kmSP8Ntdpp+VrVtkuX3NbTWSQps+CuRP4CW03SIkiV1wm0z2 rhJPZU4COR4cSpTiPLcQBZhPNHBOKaqhFRTq7OCG/U3FlwSR0mQjadC/g4NKJHOC+8yIe/HxaB19 o9vgYova7V1IYcgttQiKBVq1bVevtjFuVrUtAZXH7RaVt9Xf7jqaONzrbQKmRbsqiEn1QZxkpelF osNgeQQ1BqmkPcKSyGzXD6XSfJbQ4ObarbNMPB6tpPFrlkBufvgSI4q5+Rgg3N52S6DiGKeEzuSG JnXO/4iq21Mwd+kFc/DWJIi7FYE1Tm4YhWQJxwgSdPKD4iQ3U+geUcxtqggj3UFY0l3r4uUEVAke D2LuMb53G1TxoURiUxSF3E1CP7Q2+D/Tx7/yNX1mj5OQKYvUHta2oSby7LYv3QiYo1aa95zA7fwm VWLvFSuP5g3YqK5EBhweviSitUtxxNYR0Dc8a8+9MEnJ+xlIcEdY3F6evLnq7UAdwSYpRG8rd+tX 46cJZUPezTbGEhLcbT23EdW2aWrdIWfMgcB8nMQgJxUojDMvOWfKyCrIJW4xKJ+Oi0mGjcfAdwRQ 0mZWjF2DWQ12im4ylDz/g8ejJkn9XkfM4aofSCOKtwXbwrlwoW3DkaSl9Ks1jYhYWy2Ktxc30Mnt RSyh/uXgVjvbKG15K0CeBcUQdggwaUgxEfP79Q8cLb4japHotJZIgovBlRPo9EKAUoPs3wjr2lc/ gjEnisa5OghgRME2UJBkuF39iLuK4Gw+HNmGaEurKAq2p+wnZmk+3K3WOrSJJFs+0ftGMEX9oA7N DErkG8Nt4tvxJcFWg5QWAJ1k6zYg1bbVSQrrO+Ti4PloHXlFkn3bDmnEG2yPMNiucssb1xCO3Dqx t65/1/ln29LbEQXbi+XqPkW+mdf9FH47A80jYdtRYEtE28I/ukPSA1epraNNBYARorals9nU425X uBW+j+qPnC/C49FpdK81RpFoAqyL3BohalvmgoNYW91wJfmZPabAySglJXOQHxFqW0LJE4J4NnhC ggy/9SK1v7N+CvFvGYipfi6GH5QlL2i76m2ja4080ioWIPC/MsU5+Q8ln5XZjjDQvmJIW/E52pf3 OIoK28L/pXGZkqluR5HmsfzEBgDEpigqbE9mtBNmShjYjcwsSU4CSQtv/s7uJBYcBbc7CokoQ/oU 4drBd0TREUmAY2hA5U/YtgTwPG+1c1jg+X/RSMQUKDBE9/7IiANtVbazc21yunmtwQKpZ1Ob32px oE1tvymx5Pn0/uHUWU7WT0m2DTWyPKmSjE4K3U6hOuR7jSHkCAPtxgpSl/w64lKxJAAt61SeB+1y 4QOC7L/43c92SobJ+K3bjijShoEP4W2XTrrm3WzbGIHAI89lKyksbmeqiQ3aTlavO4PgtsD4q0RI nEVTiqQ1Mwx+N61NJw1P2QCAOiJfN+rYyjqBQeSCTgtXLMg82e7SnQuejzoANx8hNue8ySzDI6QZ VrcJ5kQwbEnbRjZs6nXwHBeOZC322jo/KcpHpI9MFgAH70cW6Mt1srd56UuhLHdS1c4/BjDAe20k 3c4YRrIkRzJ80LENIoCclU4wh8ejObolSUJ1wbzu/TZtZxRrm9w200JNUknNkzaW4lDeRj86K2mb IVdyE0cy0/bBz20wk2cjbhs+Z0veuXD2/AGIEm+8HsTt0uv+2QNIymyTZ7YyMWa7rfaCx6NDyWeJ 1BpoEvV9+20zirUBIEEzrTc/lXYZHmvP7MCtShgaRaRCpiTlNtqmMAkH228n7AYd2VSkMhBK9Jdg gJTQpisQu3KpSKolzLi+TQAJ0HI2qEayds9m7nLy/xlF23Okr6Mba3nm4VHSjKJt1tYgzfBE21fh ZrR6/UqqC/7OuLrNNKNS83jWawZ7AseTHc3CahsI7hQOX1I77xCtaA8smYKw+I7oTFKVTYXJbCzD E2gv+nEAkASlrai2TQmah3JL8Hi+qK0ZhdsQ34F8S/PkvzWXsK2TzuJml1CM4z6jcHtQsn1IxYWD hdso2p7Li0lbO7NXCak8GddAMoJwn+G2KSUNe91IdYN4JCn+rmaop4J0VjBJqDHj8aAr2bweSQ5z QSTZPPufYbQ91UxqX45TN0yaxTnu9QoizwhGIsOpWeYdbI6AFYKQ3ZCjBLml0muHKqu0FG+YRCfK GUXbtUsoiUwSDvyOBNVRiUmd6cbjkQpQ/b7aJl0p8j2QIhgJsn7oH+/iMBL54RFGUp60bWbrkcyo si088jnomw/OJO2QRRikbsOOY7FYi7R7wouOqNKH2GZvGx3axNigkcghK9oeqyy2Ks7SGHzFKGm7 oGQ8BthfX/diCymSKQuT/CgjbpdKyF/IbaCLdByFyn/cajPpVLr+DmcZ9TKhP9JESIZ+C1XaO2T/ AWjDwr21/623DVpty8Sklg9WZ+tsAKPOtvl4MEXpJv9EtyfgM54IKcKRoE1KGuklbV/3ScQwViAB 0jbZHEXR9mBlZCoj43BL/+uETCyH5BMIjT5odD6xCmYF0rY8gtqD6PYZRdtUtcuU2MWArSOJ1rxq pQYyZBfxfIRH+lWxRSEyXTzSDAmSnUhO5bCCSk5XSmrT3LkqfuBtey3WJSEeqbA/wsHutQopQ+gg U05qnXBaYSQEJzqt5eqj9z/8daPaPwkSnZUSDsJsnegi6WI7fwAej+LI7wpJY7PlSm6tKNSmtAKu dgcAVLqB8DyCH5RvtlKMj7wiIAmlu0+8oPOoPefRAgB5LFXakDcIIwD7LBhinEOhOJDEyP8rBJIA 949W//LBSKTnL+hi/9WKxyMNoAu2IWR7o1xwpWxXLKatamTxA6luF5MCJcAPpHzdqFcIJKFC0vnO 6cNFbEMLMfNeA1vi3Nm05oS+4KB8Wp0XkERDqRUWtau1apM3I1VmRfhOvNAPNCvxfARsm78utnOn dYgH2SRFkTbEH3Dj79sdWVdrg9vBfbeqnUgrRJII+795m4sV99OIbDtx5JqoQyFnG6NCuopCSedO gesygusbH51/9llhVVtKG0Ai2CBE0jjH0NZCWnz8f1HaQCbWnvhohRzJsp5D23SSHEWSU76i9SOZ k9QK8dpdqtqp+GDr6GRvUOCillSDdmviv5Kgf9zBG21fCoAEtq24qi1IOxO2BcKj5DEACM+0Dz6X ZMHz0Xn0ffnnMrDwLodk/a2sDVC1V0hSWs4h8fObZpcWRK6QIkncIxQQfLBJqicZcQ7JibgbW/SQ rj/3BQRKqVzxIG2mXjcottEJcLHmBhHPLhFAHEgnBtNumxnP/ytEokAdsbYzX0ryimLtxJxtiPhH REktrrkFQ2RNEwpJFkeuWJGE5bZMGAkHp9qkE43sxLW0YF8OjbOzqWEscU4qSEdm2gHSjJP4HnxL BLYxW9fkg6Ltgtx5KSHhS0a4LW/+80xaOJOmR5IrxGynIn3EbRuuErbK8v9YXv7H3WFJ2wqDbWb/ XXVbDp60lU76HAESdEdFsHkyXwhOkHuwywUk0akYXxLMkQyROkkksy+htihz0diyRSCAxyP6//eG q1pInpCsKNousreT4wiZSY1wW9rbJdR4ACIp6OTaHIWgba6gpkiyfUWS4xzbGY64lNrYifn2gm7y +NkbiELo3juIZA697l8ACaKdONQfwZHYjWeNEcW2FYXb64bbyv5PJDrrLSOtkCY5lIcMr5AAV+X9 SEUATVBS875dcXGbs5Nq9cEniTcDcENQbTlxN4qumKTz6ZumiAq3OycJxye+JMBtLzJu0R2xQZvt fHnpEvEffMeo9/+9kBpzopvZrhBEsgS3TTclKebDUuocV0eiQK9EcxRG22TY4IbywSPJ87NvqJH+ UM8eq5ZQUhbBCWIBRtnDpDH0ulGYJJe2tnxQPXJCwJOoxzwrHg8igEcAgPV/gBDS1WzZUbgNQWOg /64twtVHLGd/mDZwBafLctsdVbbFt4XDpg8eSoLAxdMNmeBJTyT/g74tnGMqm6fu4crK9o7CbZSB Blb99kHrCJrCPLRPsrDweFBqq7f6n3SS0WraV9J+j7elAU0eybAaibD7yttWe0LJaTLAO1QkoSp5 q3X74CFAWaxCUyp5AIJBHClZB4vCqMDd+tVG5f8dArcrtxkuQBuERoIxaZfnShl4PLjart6GZNqB +0OB3SYpRG7TOrnobsN89ewQibpmdv/Whrq1JimOtxMrkWw4jr5uAHBykBNawxYKwWSBO3dmMJmB +sBRh8PaJok/Eb4kqrYp4F7LB8vcsKO1OPrG4xFB8hewjUnjZZHukCA5pI5wXWyaXFok25av4R/s ITVHMYxEgGT2JDk4uj3BnVo2UT3NDbNeVEmwtCZjWcpIWSOJd9uOIu4tHyQEEzaosr1hWckCwLkI 8Pg/xSSzZbeNHW5NUhhwV5bbKE0kmkQZxagkIJMY3ybT0YWTFKK2WR4ZwqyNdl1bsapQTlIfaQJ4 Ru4bYSq9odE2ryAZzMf4tpEoCbPbyQYJBKl+JCJ29m/Z2+ghG88HIIn9TQAA7tRMgDlHof4f20g5 J6eSpHn17RH0/bgqSfXNFuJISGlbhWCbVS7YBq21M2dFle1zkyw62wIMgH79lv2uY7bX0NtGvW2m /iYC0Jy21epssj6FbS4eD6628s1rh+3ZBKTfpiiCkSTateTi4n9g2yQPkcih0vU/s1kA7FD8j8X/ ITwWB09uIRSBHi25/+Uc7hAwx9wBRnKiIxhHemO7d73tH0pbJ1RJxQdVbUHnYDqB3jke/xcaSZIk LNp6HWmHkiRZ8prz8Wkdw1dRXq5tkyGspykKYSRyGxOAdD4AUtQ9UgGJnag2qtxRRgpVakQJP7jg TGiTBsX4jiCITKyNcBVpMFRbRUuKlz82Wqy37RtNOC9e/Y4i2XFd24C1LpAEiN6P+JGIkH6Ms0W5 J3T+dxhoMzCaTTPVrqbdhOhfNkj7uX5QnZLSFpCbULpAt8FBJHvqbaPDSKtoVh9sitb/M/ZlSa7s yLH/XEuZDPOg/S9McPcIJEudUaef7An3tjrJLBBDDD6YvvcJOcZnhxCS9I36P+chbJluCBnF2br4 k+rXPK+ryUdD+3JfhSR6UHIVRRASQ/z1nnzwOLvndDIlOUfBeoysf/CSOu6g9rMvXqtQIRbfEYSQ Uv0Zuv6HdTtPZLQpREH5iIHHg+Ao+SoiNjJBPeISI4TYec/X1EIyktaVRtwCR+of6fSbzYo8hVht ibGz9qFBkwQppLN4BqURVt8AwkCwFX1vELYgP77pHS3T9rXtjd/nCVT98+dllgAGpUUYHi+wbRlr 9zP//IAIRZq/Tm32avgD2kyFtW1ytivbADKKhE+HUCQ9sWzLmUJYI+329Le9DbwafbiFpFxPAJOI /QcyiXTbShk0skFRUL8CQAy28S0RQoLArcw44LkdKvxGxWw/0S+fj+j/ntySYZlhJD5HeayS3gNu SAcVoiSKsdsgr72swN3XSlaYzCyM0S4hhbjtbrW24cOdqdHT7qZJsgHkKpypDGowCqoQMLquo9le +A+5Daj/sM+N9pCYe1A4qcaVhDlrioLu8XCTk/Rw0Ri9zcmcwkI3a0ptsAFAsARzRzZMAAx1sASk n22qQv/IKh13ZrscHAzYoIeEHQ59hBORox64yi5D5m1Mo+fTxPU3Di47GtiupPJkuuXJBLMQMUrG 4PPBUT7vUY71cy6YE1u269KaouAbOA3SbS5hQlBJVrtpXmkVylV9+4WWN+otFblLlesudS6jnXuh +zDUNcemtOTJt3BiJOqewFDHSkuZzFJqtL//IBQoGWBo2qC6CXCHVKo5eznz+SBLubjJxO0HlesH yJVTWPBGyHXCui2LbdS+p8Hd0FS8R3pexY/0sOKt7kkv2YdbOoEyHISqUMxFNAW36dpByNmwOiUx yQuVadsL/8HnBryz+qCJqm0x1/o55+vm84GvbWrfS4ouDmdp3omKrW822wE92UTttJvdfY0caYEn ITVuExVF4kJy965SU382X60tQXCqyZYcxRKc6HAso3riRv3kqgMgYtDX/CUxtaY6TfOS3vrJfdRM O7PN5yO68q96JdropT2nVCxXohh8dQ8SRFbasgDOvqJGLX5KxRKB8uLiFuTgYFzUTFonOJD+YpB1 OnuPBeUTxOJKSZ77AhxtbxzRujOr3ku6ZY6rAcC+Erx+bo7G56O25XfACeIGi4M+UWFQzjWYWn50 Xa4rR07Jq9+gdbhLYKRaUjhDo6hEUG6JYCxWhSZJlCe4Ote5xFwy5B5p/noTl0wBJ37JHy44CZIf Pmg9ZSAQWfY/88/ng533y/AWslO7f53lMYtSspzrwij7Y3lb9i2Ar+vwlsIKOHP/utgJ5+DJy1mu IHbRbuIEo52l79phuD2mVNPzZb9Vf+Gg3sRCE7q5PhhIEOgllXcZcoZOOI+SEgsvsPfAf2YTFbpO siwGkVvTwM+zZC8V9NGLryeiEOWoGIJOKMd9IqU7WDml7AaGOrxUMsodgwKm8BA8U0dLbIg/u8sL 7bdz4DuJo1iNlLR9ULUA5i7EAoEow+ejA+qqTmJBnYthwkXK5ylkU0qcQxQvVZ1W9kxPZAEZvHpl LofWkzqZdiJgYqd2qTkL5ChQCIny6ieolBUMXhLgZsmWmQYe3UtybD1pLsFr+aBpmqjOEZS0SuXz /40aLoyJz2q4KUxsPilNJXpFWs2gu/S0yeGyZlAQ7/DCC90nl2XEjKE42DSd+AkOCmvIfRIW36Tn LGIqYQRIKRJNU2n2vlHnSZrBcjCpPJMRxYBmwcAT5lZ8/l85saeI518eS7zAgRJCuqLlrHbnabvZ a6kz3SKm2+Hm0IISsNGFTHz6YPWnVdCig0Fqg6hMp4EjbKo6heDPnOHUNX0udsNzYEGJXSewV90+ CKNTm9kHn1uz8vl/YQa4nBrQqvXZdaFg4DKDF991JVWvrwC3bsupoVVr0xQyK7Ms31rzwU+nNWDS QbbXWTUdtoEssJyDqYOkm0lnUu4icaUc+lAuVqH4BAdbT/Vcg1I1O7n75vNBu3f9kulCXZj9DJun SKkbWBcUn0b3OtSyjgp8g7jICtHepfq2iyEoJMJn8gcfuxpY41X4ExazMJ9J5z0sbYBiA4y0X1zc VFgQOlHCsmegZVZ8EL5yA7YvBsoqfP5frjjYYcjhy7z6kzmwosyKTs//oUxP8bhFRfmidLbVDdzo PYdelItQpjppbsrhcr7SmTX6YWRU7+gYAHWFM10TJWD8JLdip1JUaEZJfzyQvpIPyoVPjlyS0ANc 9VE4vq96sHw9MnmIN34K/ChdYTFTIFwT1Ydz47JMltZvP8rAkDK7ISU1JjQ4qPkcTidP7cRZDmia QjwXTTtFWmRUXcPuWu2Fo/uOFXIYidlg8dMqIsfBUI3PR2odF0PA23FTkPrG44EnZf5f4orBt7pQ S5F3WYlSvZz98e4CAjkwpYROJGeo5Ds4ieD8D9vkWFEnPptUP0XNrsLZHByF/Ai/9GYvHKnkC2vx /zypT96P+ib/sRU+H9GabkAuCOKC6sAzUSEAvNYH3CyWc+u+oooB5lEzqMMnKkaAk/41WDrg4BH5 +RtQUqZu98lMBto7SIUb/M2hSzSvt5lnLqE1ZZGSwJ7LB89c+iQ1CXJOfD7KXL6P8gxM9Any79YL 3Sm7BGDXNAfPvEkM0RlVzFWoQtgjWxkqtKdcsshru/tgW6/D44/q3I3x00KlDmInWIJAKUyCLpda U83eN6oYEJGylQhvv7ogLreMl7Iyn49qK98eJ4UuII8XbGhPOQSWJz1VERScIihLpbZCNnkTJzjl 0J9ysKMyxOLl4IACWPFVdDZpKnByJTQg6kLsOU8CAG7oXU7y8A7tKeUFDw1QH2zfSTWFZ/Pk80FV 81qdVqFaK67ddDdebFApmnOvXoOarbnq0iBlj6WVClKANl7gUOkN8zYoLMTBj3KYm5y7u0maaq1K /2XgSM7Ga0QVdj+h6PvIb4mKdTzDu6A8qM5IcAGCEZmdDCg+8QMix9MLUGGzRnojNygPLCoBUSls uiyV6GBQNR1+2VDupj5VAczUl1QICtdMoQxhw2Xy7HqubhWAK5Q7UK6qCfSODIs4ynA8t962Nw7Q BYQVzEav6tlYe8MeauhDEvB8bh4+H3F5flfroC38VOtCm0okWZlzYuFmayZPeRKCUbZnL0QnMywP fCpB5WVPeFBBl4Of5QuyC4MejPClSlMuw6VCRwtrmtGhtc/nsheO5qmr5akhwUOR6TCcVQiyOoHC 5Af8kzxv0QHBbz5RUaEcbBrqCrjDYGK2ZB66xUFPKAb7RMWiJzqkJAufvmThO9Sg2pILA0Bv8DyD fDUUz+HFuC7NAEGr3jeKothEX2I/LZeqPqt5sMZBKXw+H6yncqMoPIg2Ywdnz+cpistZfAUfxe3z YKLoALrRXfS8Nges5MCuMv2vuLzLtIbWrWruk3iBeEjvE7hKYh9icxLDgqILLeJNh2lNe9+gRaV5 siOquzJ8R0Cv+PGkyHw+CMvTbXwySmUM9SynqEpOAccCN1pP89q46TAQLQZagfqKTVOs6s199zU4 sKee89IkYk8mDAYmYqgFLBgpiYAfnnlq3Hc2TyFuRYJVIJBw6Pp74acibOa5lfSWEfr5m2oAodW2 rydTDi0rUSyim/j1iOvGOyRjxtNh+q8oHQ48KzORNwCDCQfV9oPuAYyhDLLoG7QGKnX20vm381ch 1ysuNGjycDn0rKzkYhK2aYOy4XOqJt5WNaOPHphWouP5XV3JoAfMR20oh66V4JOgWre9WkfXNvfS yw43NJxY10f9xVxBH9gH7w0nZHnS9iLTN5O5Qg77oNsKhJlQcyEpw1/4DxF0/H1Dg+2fk5tWyhT+ wJGGz0fF3/x9PiFJn+lpeIbWlfSXPQvqkRvc0z1QazarhgpnCC/Xhd6VcoiZa20fPNg8c89mns6n CQ8w5MEF0lpIkOq6ZShhxXNoXomLDMKO6w4CQ+1zMohswSJ54F6J1lD6te9wlD9Yg9C+cnPfpTkc 4yvHQ1tP/cYFYE/YNEUx+aJe/NjC+u58rzviudaWo24ZEycW9l2W6j9hM1988W4vHDHGrJ65fNDG K+f62ebNvPl8hGDdX/MEeaa+8jNPIV5cGlbyjOc87XXDApYmDZ/Zps9TLPVNZSbIttjgZKgMkj3i ZZQyd0NfD0UDoqsSHPfO/9EpmqVXf+MgyWMBamN+TcGSWRI+roqBhbYzP+BfDoSsayzuggfpE3tZ dumhdJ+qVIeboo3VHkfUdXdeGJOrkVdZAebgFTuoz5ZNGcs5OxxeOVXczQn4aPYRgPt4OuiBnSVY 3IKMsz+8EdmxkVCBwdV2R4OVHxAlxL+043CL9XaFY3NsaEljdNQe/ZBaufw463e5JmpBpKFEL3C0 9B56a8QccPD0ZeIgKHRqhsL+Fg+xUq8IYqvwpr0Q+7zshYPiJisss8rVqqLww78NcnuT4SZoRfyA f9Hsed9QjSY/5fLA2NLQBkhJrRaFG3BYiWVVUyUkF9ETvdDZUotKFEMNt3ZQi4Ap2H8nqqKBjPC6 Gwlbbild7k/q9sIRiYxrCsrzNgi5iY/m7d46qpuBtyUu+m95dGqjkd1m8xSWy4tWVLmKTWXfFdXr JduPdFdUBF9pzIT/kyU9JjCCpv3d1y60SUYpitKNRbv/IbZ0e+GonTfemC3n+p6DNz6qy3w+UgDx 4iaVLSssm54icOBvCZIU8+ExmsMRyXCW2H6+8/SYgOZ/GFyiZOCDw3zSuTWduNl3I+iYhxTk8iAs Xca4fjtrL3vjYOslk5CtPqivQEigGHer8/ko4vTiJrkwlO3fN+AMLS7J5iqJOCARXGnwK5gPAh0S XDPwyL7xwsCcG69Xdok5eAKDzsL5xYsQrqsmthVQoYKY/DnyUNVxOJSq5aHHJWrybLwUH1S1OwlF XioFrMXng4Azf288FCmhBfpECLGfPBF2xLmquok7yVT3urpTbCsQtdn1UVFbgaoDi1iC5P6TdUO1 JbMrDNQmglc4wJ7Isih7QraBXeudqr7sjaPQHEup93kH3XogWmWRoPfk80GAMOpXKIWCQCeeWxMV 2lzSexhIw0tSqMPZQFnGIDRxms4GzoHPJZQOKd2MP9QGS/WAOUhsIjRUT+vYbNEMcO8A94FG8TUD JfU+Bz6XmKau0srUMKxBjHiB0yTAQWB0KZrD90nOL79hVGh0OTRNLXuDGN6dVrGbM11cBgHzXE+h 06XUdyE+5IPjMmY/iXASawrJUhNrCtIwbJnktG6mNxRFBVaXEtDFrdA1sMumih2SSSZvEHLOodll +iV2DY3YXh88VGh2WbX4e7u8oFX25QUld7wqu9z1FHM5VTqowwcPDdo6V0s31ss5EwFyW9AcRawI svD1vC68KfklETCDKV4hZYGeIMo6RoICBukHqSx+QOTk+A2ww0lOJ1yfpygur1NpcHtSveIZMa2W LYWhZa7mKa6Vs1SHnNMGW1ATNI4JLAWAPufqG2IHYaN2MIX6o+c0FUEFfpdAknDDJUUG6eKhUk3y UgM2gs9H+LryfTxBiw3YM5+mUBlckaaUrs1hxkSvWy/zkSvKDjj4w/KSMXlhxa6VW7HrYwH1UxQZ NPj8ENd6coZFSViwT6/s9VQfPfS8pNLMCZ1EoN5OoIb6SCal48x35fPRcrpdT6mKYY7mDclD28tK b5CZjJCAOUveHj53kk0UivWmfJ0D30sUHeXTkJcPFkIlwOFhMs/2cIUPKRkdaFBRsLUkcl8NwbLt hSMylZRBqBM+QRNkw+VEG2Noec2VGz8g8FLf3zpq6HyMed2vcuB9mVl6xjJKyVdUyt1j8jzc+3JD sskmKiZ3MnbK4w5OfanrrKelEOr8bTOL+rLYTUDfrT9yczJTz4H9ZdaNd+Y63UEx+dy1mczz1vNB LereeCQp9KJf905ULF1YVIHqPlHzAg541BrgYG9nUwUWmDjs2EFkZKDBT6h0gs0OQwPIqZ0QqHCT UnwcEtkIJO48iSIUeGBaj+qrj+4mIZCQlIflBkMoMMEE1OeuJyqZUwMud19Rn9cIKmOeBmG/yfEG cDyzBXXu2ouJAt+BR9Rr/JQT9Vygj9+6Dx4YnLhg0jYNAg+jWilKsmgMOh+3mUXb4tfoKUNxhlLz 9JzRoDlqxST6YZHweY2dMtqW6rpUCzGhwcGQgEdiND2E1hEg7aS8XDwSF8qeAOnczGv2NW7Kcj+C HeGoPlxOUBlwFSIlL8N5tNEiDFpbY6ESix64WTssHUuv83OOPukVQjzLBp3e5ygaTaiBhaff5udh uVTRhIHrgLyi5uc1YMqZTEP0LLLr8Z87288jq2UOlcYNAPUaLmWPK1VQ0uDhN5w7CECHrMPKlAED zYxiDsACpUdnlujx12Apy0gHAiMEs3JQuRfgDfJE6jkSP6+REibXiuJVABdgnvi/OD2vcRKWT9Nx ParXL2m2xTgp9WvnnK5e4WuUlHHu0xlks9DEwXfXiZOg48sGSy5zdYr14WQ6vx92yr5RN6Tb8RXv 05ObXJzZZKFfsKASELFJTC366vnzGiLlR4hP26uA+aJiHObnNUDCQ0v4uekOs2ad0omfm/3nKl5Y Kfw1PMKvQwZZk01he2wKB4S3AJs7ywd4iloJvC/A8kIzHWsH3mW2v+hu9HmNjrLjLRZkCWxQ2QTk BsKg2R9/jY3wdPHzh7UoJNdgmdoMvUZG2JWThRKvdV8SAiPtaipYaKpMq4C/xkXZvWUG3WU1WD4C 46aiqwJE1j7R0WKp8sRFuCkLgcjWy2Sg/RoVZbRtpAXGCeKgQuWZLKJFkC+Xz2tIlB/3HR1AKDuP VX1+XgMinOr0KLYOL4uUrD/pABJqVRZOy813wvlhApLy8sHmpzZECZkt8V7RPCG6aQEfOkF1Pf9B vVuMCdtrMJQvTHUoDYFSr5ClJ4iqK8nCqfbPayyEA8wavTqCZhZXzyboNRDCCS2vtGwYS0xQuxrO aTkDGCoDpk8cntA0AJPNRX9sLib0qBZa4sREnjtLte5CcGyCZKoE0yyyJqv8NQzKruMAbREfrITb F4XL0A/Jn9cgiK/4fUYjAYZ+q03Qa1UyA32SJU30tMG9ONLKXg6rqH26olxwRsvkuhepXJb8dcX3 tWAGLaoYJIlY3Sb7JQFDS/61AeRW19u+T9Bixj82f3wOKrQhYmDuX09W+3ktSOaHsKItho7nHPcI eq1GZhPGhgiYsemg6Go24G2UfmUuEOJK5iKKgYaVtbsPFxaX2FrrbMGdG6tRupEGTifnI1ZxX1Qc rZteC5H4EdT0HsI5D2uwF+hBF/VKRsYbRpdYtfmZLNjiOiFzTUFiFESzI1psN4keZqiAIsEXLxt1 p9FFUTSuP/Kdkg9e187krC55W6w+eM9DzGGhQ4+wv6Wb5jfpEUSbbJDv1HfyQWuoQntFrMwB8mq0 y2b2m55dupPk97R9Fb1z6LIJh4E4t34u19BJmW3Xh5RZnLsaxtIGW5KuTH8E9wDzOtE0JUDP5NVU WQtpII9vejYTiKxOLuQr+LrBWV0YRVMCTIMlrueyJAy15crH/xVNS1HmfNhI2ecoCqeTDAmZBum2 X90hOXUsrz/C08whXsEcbeIiZhUrE4MvpBOgp1GlSzRPxlozy4/oCw920Pq+4dAQVjeYIpRJUSbK ywdN0cnxhJ9odfPxICAyVKUOI6KgUJ+yKYpCavDX0Cya2aeIhGsFRGn0u4zcS/6dOpeFE8NNNooP fuNv6AJQqAm6Az3TtqAOJp7ouIJL/txnSa8b5GS0R4N0vA9WeQS9ll7yBdDTMKoePkdqDxQG9HeS 3uNqnLRZrELHTUg5UQTo6eKWpdDdUfXZaJJwZveMbMkGy8vODG34KhFQOVeinTnyMqhLIxAEakpA wUzPvM87be45j4b625QrEH0HAW6SI2FCMS2YpGxye9prxG/0/BxIUWjdzdrSyc+w5+w3tF43tF7V +7bvsTXOfwaNvd3BsrNBw2PZ7fUMZj31LzPg+B1ZYYacsJ3arLZ83klzPBswD5VlEw2cJGjhSW6v npPv886ZQ5iTPf1gIQ3o1FnIVeUkhfE19fZS3xcEUMcNH3m9mgLoZThFAbZ4O3PJ43I9ZTTYGyAo 5tUGmbRN/+8NOso583Ai7mtuSQ3azztjLgtoQF+L7YNW0gBEmqf2SQg/74S5/GiAVyILQdoZ9QaQ 73Q5pj3qqe2rR+QGu1hGq1gRBKZdNkdRjC1n64EFYYPDvNdA2bKSVnj+FmQ0dCRa0JZGHQq1DyfR z67XfZ+jAf8vlAro9DlptcEYO1vAARX2zztXju84fLdR6AKqhO2Jkd7DbFxI9NiRaJP0da4C+G5m U1wzSz8qyEZxNujl4Dqj96/BS0VQ+CTrBJ21nJNYmg3wLbTg4CN467HCUL6H2d4IKawSarBGCKxE JBkDbZ0ozt7pOxHJuOmaGv+coyjS7nL/lsmOcrXUvGi9Lvkr08qMcxSH2qw+Q7TFBpujs39BoZdz Q8Fhxe4jS9dsHZGVfov7U68bTJKFDHBK06ATqdXK35aqBp93ilwWC/grW8P0pOxX2ztBDvf/NkGP 4ji3sa/brkdLrMh6xTGMtYmymYmychzsQIKgEQojRATWc+nhOlsQSz15ATAXGYUXK1nTxOvzzo/D ShBJoEqwwm0Py5g44LiQThj8eafHZSFQLNZW1Qi1c9CLbJaiYJsQIwC6b4O2Phqg0rpk3ZoLFQHA Oz0uC4L5RuCd6URM/UwNoqTzVyaygdFXo5gVtFoqme52JrH2+M6P89K++IMY4IGt5hBCl20WF/Pz zo/DuX/Dbfbezg+lEFezFIXbk87NmS0dXW7d7WRamenKn+xm/dl3blx2IlPfdftgqS1U0seUms5C mY5hEozXkAJVduyJBBRDR4vpPeB2IxBSV2iWDtQXj+4To2aq/KOU93mnxmX5GlnELRuwxZqWT1MY cg9pMu3pW64ujwGuNjja2K5M+E6MYwzDvSYPcA5OzzmHAfyCseXONVcXjXbPWY5fKFEUEBVOh9lQ /uSdF4dv2axkb57hHBRzn4/tzCvqyeI+77S4/GgzWZkNoOc6biMkCrkXA6Xi6kKoKCWXiDmz5HkJ VM5sx0W1bEG2RqFCzChXIeYESZBZbITfdujukZdST77VkBWg63cJzubf9M6Je3pprGVrUKkWnEQX lJ+fd0ZcfhyurZmGiELeO5yjsJgNowFIEF75KqLHRfQq+c4RABaao6iarXZaz6v5YHN0oseGMj8C JXivJjEs8csg6KXpbpvP4b30vkGklKYYFNsHFUrKnq37F37e+XDZCDZaSGKnFGhse6T0TofD+hNt sPYryjSbg2vOebL8VMrOD3hnw2XDtJ07nqhSDl7zP5EQxDya1C0bcxz47pyv3uguQcD26aotvW5Q kRwk7CKEs0En97kqhrqyJ034vJPhspFrnt12Iv0OxorNURRyM1Ip2dcM/sF61UhLHgASlNJ0cr+H 3GDVIR/ZlX1rDnYkdfYP9pKfDFi8DM4zJClnop7BuFrpaLfzS6I5GgK5E+uOWIuCHFDjXkneROeX /bwz4dh5+p4k5ORw4/BJiirbCpVUodAk5enuDVXy4KgmgexlC+k95EbVGee2EjMNNkm41M56ncrd BrYc1to5miDpDu31+dxuZJp93nlw+E3JOwUHwwcrlSQoYPB0ynzHYCGt/D1HKEzsfY+kKOQm8ghr pngRYHc3b5jQyraKGxFvnKP3kBuVkoESCZUaNTBgSwn6PyeelAtoPuGAVBwHyCENBxnARq65SynL dwZcNu+mk++rNrmLd/jPzSstj7bX+LwT4LJR3L86bBsYOo+S3ulviK0E225mGwu+xPAoqVGA3DBH HnG/s9+y6RIju+w+2BQl3kF5kdZ1/n12CfKymDTZcdpX1Askxc87+S27nnwmfkGDuvw7G/r53Avl 8859YwH+1yqiRQqtmzhFIUxksEWSrydxohyrJSXphtulmczuO/ONiRuWT8W5ZYNN0YKuLtS6AUMG uWQx5KSGCxjyPwtCy92oN0VvG03RkpFc9kE5CbR5aZd7flY+Hmy0NL+mCOJ9DbqmNkVRrA2MIw4j NkYo5sUr1Evb3mbrNdut9k56wyYgG/dEwc0Hy9sgsJKr3OTONtuDOf9ZRdh6kPXGm/uB3YbeNkjb WNo+4WfyQakt/J+SIMWlfN75bllori+wCCR49/CC5DvbDVNEMUSD8X21kXgdI8gzNPsgGqnzk/6o tfUEopENdl4j0D7bi6nt+ZFTqiQGwCMdJqWEq5bHRz7pdf8o22YWdzGABcFLrY9OtxNck7l83plu /B3712lE769xAVnvPLdsVkZAHPkktWEoCMSQyX0JIIhs6ygqbUM0d3U0932wrXYSE+Dg5iBPClwu OqkS+1gQlj0O6Z2U5XeO252i0li6Lc0EvGgFtCX7cj7g885wyw9tsv6YBAosjD33f+e3sQvO87qm C2KnBYd2WtpukVKpUckZiurasmvespPj4MX/tgYuOYL9qSrZ5RDWCF9vlB6+HRJmIu/stmySVJku kjboNIJJGrcaelOfd3JbfsQUtNVwYLZ5U9p3bhu+VKfRus7WeVWHPdaWvRnZCJVGSvtObWO2hg20 BlWWOFiMjZhxV4hgQ+AMkXFitoase0P26GzkfsmSBPa9M9uyZLfOHmv7Duq0VXR9WWlbk+8Y7LRR v7M1yBMSrqE5imJs5EhErF0T+ZZv6T/vi16HVpnmKC5rM9/PDLWnnAKwfRYEnLjhSCilWB4qbYOE ZoKKUVR3rx1Cjd9JbY5fA2ZdNnIo00lS6nwalSrAzuZLRq02X0hYdJDqm5So1STF6BHh+vftaqdc vKu9qpO5abitmz8sayvGhsa1DbbZUKM/G1f4Gog+oWAEDQV8VMLJDTeeG0GiJvh5p7R5CSknWtDl hINNEOq55xaaGs2YzzulDdtt+5GEtyPMoj3xURRlTxZHKiVlrLBdvUMy0l1KCDJtlqLC9mS3mnoE NjwgG7g1SY8Zps2Lal3MRLLI7ih1ewWJfLZ3Oht+1aKyiLYdu8p2JuFcxReez/i8s9n4kuPr3IaT TV/Tl9I7mY3X/6T0W5fe2+260Sql239a5VpcCIaM42wupan0f7bbRoKJ8Mn3K+m2A0qYqMSBbn0u 4c7O9ri5yKD/wDuXLZsoLMJSwtCWtZHqiSl2F0XrxEqfdyrbr1Yb+5FnHf+cEMeLI+9UNl7aU0KC lWxRGKbgmILw23kaMo5y2+1of3GSokh7UoYaKlw+OIoEEp4LADdAbTq00CmPhwr6BjyWfs1WQQJK kq8bbTdjZjUfBEeaJ6nagtrM+XmnsbE48X0m4a7o6fZs31lsWEhiZ7XSfCFR31yg9UEAMorzYFiJ PvpOYmM7lcX/KT7yfPjIqDquWZmwnWQNdBEKmYHxk1EJAKTtK2Xret/g5K48k/Cb2qBJgsfYNgFq Ph6V2byPRG0JBEhAttkkvQfbIExwtyl/pQoASYncbddVnmTkpdvtncF2G9urGJFt3CobPAnPnUaJ U9xu1CY5Cwm3EQQSYSIzXbozE1H5eaewZfOQwd2ffNAkofBvIcAJtt8ZbNkk8b9qkSj/SsOMsxRX tZWSfJeQbh/JYUonlkzdDu53AttNbFMt1Qevs538Cg0RAklQrt0ME8qixCE+DyR3y2xVH3nnr2X5 5aJ/lJcPSv4h3ihlrtra552+Zo2Dr5WUIQDQPN5+J6/l65M+3f8zT0l0UQRWenjSc9nW/n/nrlnB GOwHhgC7PCHAOYIQrgvlv1Kh6zI19M/PSADXuOG2EBLvzDVOEbWX6cygQVN0gmZx2oHd+rzz1ph+ +dWmsCGDSXNP7RBFQtBWErWIOIBxaWvpgpAzS9Saoj9RJD33egebIshz1jIl8HaWI5Jb9uIakoGT piGb9WhbqyiqaCOkB8EoNR+shNTZ40R9pNXPO2WNx9l3CQk28if+vVMURdvZCGtX6obtNBeZmtfa g5QJXmxhtL2J1SK/T4PVRyARBDIQJeHhldrEZG+46szl455GhJC+09V4emb2/FkfqcPrIzTrGZoi 3GtRrJ1L+UpIBsWbqtezWxRrQ7CL9ZHrt1eS65zn/LD9C1YP77UYqq3EVpWkfnXd1smUKxwJWR/p HXccb0BE2Q1uLwjmzNiSxRl8R3D1y7URjXAbVELqQLgxnz8vjceDGLJ/52wwTAaWyKcoDLQJZm/K /Qn8U8mfTP/aHKvdiCvkKorK2amYkuLywaYIeqP1pP+cogXhHgYJFeqArTZh6m5DWzstRJDsSQV4 nUm3WAuTMm07uNfj8aDrX26ZjVsNClzjJrY9CrTht0Q1G1ONwGnkrdq0nnWUXJezvwfaqPMlFvvL 8MFKSCgdQBqLpUj8ICK2DSQ4HVOrTu36ua4C/W/WI7GcNmgdzVSJw/+BEiseD0gjt8pGsDbutHM8 +hRFYTb7uwDyXEsBCSdyHVGIXpYC3YU1eoQfGZPlNXhJ2WBTNE6ANxh3wxcGkoBseC8KuAFQBmnN m/tTWKNHFe1KYg0lp2zgHOWTgGf2IM8XTDweUbO+iTXoCNZ5+yI9DLOLsH67Xmhk8nsf4k+e1CIQ 5qXWo4q2IZGU+s8n9Yc0BAi5RGtN8jjps9sIHU0w1S70d7N6LdEjPQqz0aSAeTylqCf0KVTVOOff Fvp5nGwGzwfkkfUL1DZJwx2e+/eQA1nLk40w0CaLlaC21nmWLzGMt7KRHgXak4E2L1MbLmCrA9dJ EVx4bSYicVHrhI4OEJhVaOQpVPvS+wYBklQm734zjRZUOWWvApwKHg/O7V8UG6JH50Ui9YgISa0t eOqZUgT8bpOhI1dzI6a8oAPMbKSHcbaa/ZkcCQ4eQ3a4pMINl9nIyfm7pF3PQgAd/+ckFU5CylTO xZf81YckFREDGo7cJRvKvYRbjZP/4Plgkmb9teEA/c9+ufU40M7sT4xiVaQTOXqXjSGeNSITTQQ6 P+mv3H8Db2mDA7Yr+rLweMfldg4pHOELJcqKfGAaFtkOJQq79vdIW5rtuPmlFoyKUx/Ka5POfACw N56P9lv5+d1EauMyjntY2SZYq/LY/x9gXkjRUoFk011ABgKNChE4ueNQexFcw0nq+U7SbCcrglg3 wVr9BACkBlbYmkMxEaZ541rp0ZiJ7xuVkSSmXGRuzatZa+mkfJttoHmuT3xABGrztcQpTcCxFo8l +3u4bbj2DMkGhIr4R9zQmDFwRSkKQS0yiL5WHt5RuL0l9AM6kQ1OIzl/Qkkmi39W6qBo2clFETTA G/JEr/Mad1CCs0fFbVlYbjnBbHeCQTu4duJukSPg8Yha6xccsVkgHfR+e209wmx3ghQ3KxOSxC91 eI0kd9WUuOGSbbgIQGK8NtlYzslzsBL4B8kUIPzItaEQBXltoIQTTFKwV+3kBs+u4kv+xrSx28bB SyRZIu0nAObj0UL6ZrDDExLR/j2V4pCbbRLhaZZ8m6f5Nm+csaq2TVlRYMNFtW3Jos4qUfynRLKo OHduIlbbzvW/B0Ft8JY971kXOzJulkNnVL5vlN1mJSTDB/WSWl8SkD0B3sTj0VL67rdRYGU8AeWI Ym6KSBduc4dtX6itMZIIhd1O2xpRcXtCOxk2QcsHv+ASMHODWKSTPYD/Z95D54cE8hTULdFITJN7 RDF37rr8lbttz93OqbaTcrezqPB4EHPfVhL7bdDBh6KLTVEUc6OPgoW0rgPxrtf6hReyPE2AvhGV PSxti2qjI2k+RxKsgdGoz9K6hcI7WaQLNfRFb0cwUFx8DAEWviSq2lJtJAmTzHCJh+3JbNpaYoZi ksLa9vKyLZu8YOwQ/6tJCiHbwF8VtEYsBuiiSJBrs02qtMI5zycpqm3DNelcXGwTYWA/i4nJCQCQ jKJJUvYCtY2ucUvIiAZfqWsVALAaviMAkLYm4++lwRw6zjqanRIn/fw/PB71SL7DJCrdwpLOpiiE kSyJImZnSKKZ4TVbatgbqn0YQmJEATdVI0+6KryWEBLDttq5dqCQiq2GbSemzYLdIHJMxD5+/+M4 xnf8yfhPtfugEsA8J8uUUs/YePxfohG86sdZg7DO9DmK4m2enBBmyfc4mvsCtuqDaUsmzDKieHvS cWI3njUcfK+thv4a8aMnPWm7UNGdJRGI/sGO6tLapr9u1NtGYttgaH8GkkJ4HZ3Uf25ubzgH4Plo IX3DtQcM6Gu+kxTG2xJ0J9VQB1JLnrkl2VTyQII4jyYpirel7jMJ6tfgoeQ5kxEOk4gAmYTMshO8 deEd0n+QmNhComoXviPYa1t2HPMO1kWq5s93AtWJx6PjqH4dR5PfP++ZHWK1CfzLOT8pCZVa6GtG nIbJjFXrIo0Iq12EcmxEtHPwkuTJHE72UGiUd8IA9M5Rb0PlpEOYCtHuhWrb2/51ZJfJ7jZwu+xP l76RKSdPbUccafuJreAzo3t5BVqiwrbKJGlOFyAxEUQJkxuoFBo/0yTrRhRpT5pTAmytgQEIt9qJ YnqVYh347FXYyIqfpXPRpduLnGi+4TuC6IhKkYXySeCkuNFdTyw5/FCVnO/4L/II03+0I2a6Oy0M tPcXG0KlpOaZLXIHm6OanGU7wkCb9qW90iiIw+3Y1vMTAAuAqx+NtkWZn4JrEOW+Cl9732rdXjcI tGnsMru6bW2wnflD7ZFMJzFURefCBwT5/22RyJdyIob0ZuQII+1WFGl7gJTTdDlNs/XmeXSCHFtJ YXGbdrCQQvDBARIVzPFGsV+Ys8EKgrO0IBIJ2vGkzWmTWlTT60YdW+ZsjaD/DcQNUegsdVYd2jsX PB9M0o0iWd3G+XZOZI+0Z1jdlnfmYmVWbbfi3X8KISsfgbGkkrYZSvplwrXRqLbBUaQFhkCyMUNP LCUadVToOw/sNxRzvNdGou0MUSSVpP8uawkXPDm/IGh/SmxLxeP/6pIkLroGBSVP2WYUaVeZLM66 La/dFE4UQILtO2FIgZRjyjZDdiRP7Wnm5+PKbACLPHCME253JqhDoRXItgxVLXwbVt0F2i697p8d ACCtbdAcwWzWdDZ6wePRkfRdt0VI2okA0hyFUiTkIjcqUfJIKsUTtirdZx5JMM8w3aiYG0nJsckz mYNTp86NfC42okiBsk0App/jg/hmGpkhdL3akJRHmGF1W1rRWfaB2foM5WTNmaEdy+l4/F/nNu/B E0lNVHttkqJQm0ZkyKSuft2+mjawsHP0HzixmqS4tq1Lje22nZ9228lpgNmqImzzjyHUFmQJht3t QSNTVAHf8RdfOyFst8GmqEJrGeuoQFwrqmzvyx5lga6ROnjr/zMKteFcC4+b5oTtRi1ULiRI9fvd BiYOT+0ZivyRXbtEjFxfxMjNDu2QK1BbuzdCbTe9THH+wijjiiMRsj1DYqQktRGS2qDUn4qTQmz1 iseDlmT7xkcgzehMijRHYaQ9CVIcy+fIVEnYShrTqyOtujjCjCAkkh1fmwfS2s+BVMH2B26ec3Q2 HmUUyEg6WRNBzI+OJo0nZxRp1y6LznEHfse5jFtTffFkNnj8XyxkswnENVnuOoowJJ1u8CklpyHt 6hwb1Nn8QEI2anMUFbYXXd0mJHNtsDlKKw+0JDlHBQEy5VrphgCYAIQjHzJb0dsGy4jQUcYNGKAO yGUEaqq8ysrgKwYJWx/fdz8lrfrF2c6QFilrKclpSKK9eBxZcvdY+0Ejz1Dtz2oe5GFxuO3/hOWS 6LKcQAogyrRgm3do7GI93NL/1ttGnTYeRF1Y5G6x6klH9u702qhr8/EIrdW/MjZsefSXfYoiEAnC Hkoj3SO7JVf9QV/MOm0bygiaoijUHgx6FpqMNthx1HF1dBBFACI5n0QQRd3AX0xArqWHaDVtotpn FGojXoBnO3tJBHebsnopY3AdADuH56PM/5c2EnQI0gUjzZgVyU5bcqJ23vzzOEmdpDRN0nDG/wyF SCbBSDDKtsFR7R3mB4NiLSJIsgnO1K1TOrI++v48GPElfxb+EY/bIMAWkBc6kE5G85lhoN09G5Gx N07+5nntiuJsWF190yKLXKuEade2416DNDUX0opQJKPoQsvbBy8hQVWtJ5WQ4D+RGW4CXgADDDgD OorE+P4rRJFs5vxLsL/lsL8G2ZwmpE2teDwS/fm1jHZyayROURRn00LNJaF59Zc8/OpPyacIfVyb ohBFwkztbJ7qg7f+YX6RUOukLuJZnWyiJDT9B4UR6rxgJCTS+I5I8Y8MpCKOLRTRVWI9EYR05BE3 Fzwf4f5+VZDOz9jH8HRthQraXXHj47KV+9UgwcqwOcKNoDkKUSTssUGYjYP4Zdxq9fzMZbuReSMF iRbeWEFQs837iY7Owf5ZYUGbzSN4O/sgMBK8PKfASIuPR1H2NzRSihEXYLuiKLvLiKy06uwRoSSX jMiuln/NFh2tEKrNYu2cxEhwsGXUT2xdJ9lj6M1XYtgWjSKQDJzD4Evxj5i2FdMiGWUns7BB/6Rr q/UhmehzQ5bPCgEkzatsbEOiD8F71SYpltJmba3keYu11c21Um5XyB9YI01SzItkQ3sR+cjhopHq yUUKYTaj8TdH92gSKMDuKRheF2Uz9bpBnW1SU2PJuQZFOfFqgAMqU5ttZjwf3Wt3JWHZAGU7y5Wy XVGgDTlBUFaLEZEyw2Atpdmn12sTJMM0S6ECCeH+TarIHOz2R5//nG6ka21odBPdVeFeD10kXP+o qJunXWIYuSIMCSIodNfm9EGh9rkbk8iR53DF4xGE5ALbCI5YOJOuKMKKQu1lGlvVVf1bataJrOdc a15oQ19LsxSG2oK0FeasHGyWZk/1RESUIZ0nh8DJRJJNw4+Jps4ulx25kWLgS4JJ6rRp2zSUXER2 cCm1DiIyltJJ6vB4pLH1G2fDleT1kRWF2vhbmKdZDQlk7W37LQ/WkDJR/9W02laI12auNlST5HDj SDiOwVcQcwR2PpXHW5GJK+i7YMg6ggSZE77lL4WtheXO4VyPLCJBkYhx4EChbYV17f0NjoDA/qwX sb1CduQ0st8VRZrL2ZFsYBh9BM6o0taO69pSaa3TB0fZYNueq58km4ZSAKoEtaKmtgHXB3nO2rWF Ihn4kkCslVTkLJe2fLm8Z+rgHMnbbfAdg7b/A9iaqsohYrhnUljWZiAJwbGbkRSXIFl0ANckwb5N kxQG27zeumQPOHggSbY/7ZgyvMuZbwNlg023wFZstNlUmDSGXvdPtpaISOkSkXYCxYY7KM+Kx6OF 9F0goZ5duontjoJtnMeXWNPUBfCeNvHMlpDMZYW2HXIjt7ARo/rgRSTIqI5MCTLAAPPmPZhwpxGI Nvrts8mBe0fBNsJyKmt0H7SOThJF+h3EtRYejzg2t0DCIA7gr76v0v9+D7fhhSArNq+05UkKkSYp LcdGQEvfhNpjFRIKkErVbqQvJFIatVIP78RKHbYuLNl24pJJhILzj99tlPrfIWi7Coi07yAgEkxw pK7Vy8Dj/9Ky50EGn7h5T6QdgrYRN5wYZjkOqU/na0Oiozp+pE6TIdlxuM28v7Bhy8GvtnEusMXg Gg3bCTc+xJJIDztoDdiAj6ft0OtGpTZ2bDu5JByUtp0/Q5ZHkDvF4/+iRjJtY8ZYvBq5Q2qkJLb7 3WwExJomEtnEIrRBv0BzFAJIsiYnTx+80tbqKHupYsuSEmQmoWJQfiZ6btKNshYSb7YdBdzYLnDT lMTmMonNmtI5fCVnfzIgPB4JI5evtA2pbSvPgRQCtmtSVzs5QaK2a3Yv51E616DdojkKAduyFyvS 1ioPow1XQkMFQDzkc6eRHghSoXTk6nxAttgw+I4oJ5Hv6NKASjuv7PMT7ynhyDw3ng/AEfubrA16 +TjZtM9RLPfHyn95bBEeIZLS5tXWSneOYvwIU9utzv++Uu3IRqDBwgoJBFpmleQP3m4URrqPfsQa etvI3WeoLbJ8sK0GXWTiIisO7dC95nKRyo8aoLNcNdsd4UfSUOH/CrWfK+wGSGPMGyA149nsUOtP hf9Ev1EON2sbQC3aVpu9i/VGvjHjY3D5vKPdu972T22tzmWU3XjhHJbnB5DU38ls8Ph/o6595hUF Ww+0dyhDIqk/wfy4isaed6dlp7TlVfxWi/Ej65X1t3eXMR372QmuJlxF8FpW2R1RnOlqkgqN7whC SGMileaDVtFJbdYSiR8bLRbX/jYgQbzf16XX7rCmLTQbfSmcGKlTGlRAskeKBMiLiJE7DrOJQlL1 Yxe3l6EFcj7H81iqIY2tUmQFQR8Iih8kCI4e2VNvGxxG0hxt8htrzWz8TjCWJ7sdJzUYeDwi2LSv s2gBbdBv2X+H0tpVZbZ0uaN9ubNvFo2EFi1gK2gVRdgR9bG37v393PvnX85F1gzLllCfZzheAR8F zg0AkuunzWrtDvVHtIoWYX8cFGODn8J2FYBXeDzKaG/ajydRpT+/35UfT1GUDUVAWrS4Agk6Dg4d aUMeiJikbdCRnMIwm8EzocY2GA4JmJrzUbRhPf921ix6tWVTF5dEgBMng4Anh/a17Y0DxzHWj+gW ewbI0KlfhqaIPA/7mUt+QKSL8I1EYiW+9OsKmUJ+JLN/HK0Osim1Gui/S6pty5YtmVJ7ip0hmbTl 3nzwzBbG9lPOh6BGABhBXy1Y/uA3Qt/zyv4w1sa3RL1/zhB2qA38lgpAAZvEbc3M54Oc5IEiqywJ FVRUEXym3gNuEG0oKreuEWtuzeF/g1YZMkfojiMhET64P1gDSITa9nShtjNlFKPQDgOQBHMjQtLu RKbhkxMRSWYymu2NI9zWfGgkZ0nhmuSaGiMJnwyrXn5AEC3ly0hi8wmyLfPCbXMKmZIkALRVHXLT GLKwXdJz+0JKNJ+qSGgbRjrnAuuqTvanOpkgrgzEP6uTMAKsUm3H75s6zHog7XUbuMXeOEJLGsTt Dgq9Tyy25TgKJACejzpvt83NawC9+A6jDp+qKPwG4IzIturV7rqEbCvYOcMqlBnFINt/Ubl7yLh2 7eSD5ygT06X4G77jhW4KtVEmEbwlWM9OLy0xVefXRK4bOqmkcJ/dvBYZz1Cze8NRIoXAkuvfwjSl QG9n18eaLKx4F+IApEq2SL9FUY5nOpyN7kmF+1pneiy6rQaljJDLl2JSg+j2LCpUguDIGtyE4OEG e4CcJK9Tpm0vHKS8jf23LD3A7J1KqJ2o/l172nw+ct66a6orvPuZgAr4RP2pUQJd0MvjKmzibqbb ZkeOxCJvn6goFp9qUwqoNL+ASmWUEzk1gXABe6f8ZuXlDCTN/mHCaGc6Onb6miCQMsgbdZM5qIBy sussNd8z23z+X4RuluLwMfSRt4kKlUomNaXIv5a81K7zVr67333sw9sxFUuVCKbMmhwHRytDhnEX WiYuRByNHuQVpDEECQBt3qZlKbXZG0dAEzq24jU5GKV7r2UeBAj6+fx/4wgA5sN4QF05RWE5+T7O fDe98itSzptERBz5SNMWMBIsqTJO2GII7nSDhHFSukwlJpR4J9i2JCyDL0bm77qpS0ber/f9A0KJ C2/5oPUEIh3J9ucvGXw+2Hn1u9qEsGKjt+HTFNa/VW5a7QtE6Xr3mdZWyoEpIqhpCgvgS+akefrg dUvYuDQQvRFLdUgeUPgOyd3Q75TzJb7VYi8cFZyGbDiqD4IIdrhwqrHNmDM0vum3T6DGC/ZvvxMV 2kzC4gnradt6gkDvre+ux9RleO0yBz6TwFMwwaP8nwaHmwIOUhdPqJYYRy9G8DAvHBQohgytmbrQ bzsHRpNYtdIrnXdQvQCbkDFVS2Py+eCA2r+RgifNnelrokImpZQ5hkncoyZebuGpjmvpWr0QngOv SbmuLfiODx+sZnBmCYqAlSCvAoCp2uYg2MH3RYplpn9Hv5Ice01a6Ul0U0eRF6iWmdfkWcB8PgjO r96kqeX9dDbEbJrC0FxSynvsWzawlhwEa0g4pchL9rJBDu0mV1VSPO5g01QXOeqsPjVImS7KCcDh BerqhRKiPk2l2fv+xYJLXZ2nvsy4rCcUpSX6Xwefj9LiXzkM2nVtXcnJHFhOognPXLjWR3dqVl9O u7iPAmADvpxCH/dNwSBi3TTw503nNkUdfBJ6CiutmYkvmAADNYRM63Z6oVRo7/uHMue5FKQ6vZwH jy5+ExNu5crno7hgfs8TWvL9KzAIXSdpJlWkrSqJ935tcGsnZKewYVHdmjOwnTyhTUlfzNOSblEc 6lxQWe5aT+eWorASGKj4+c8PNbI3V0xZKYfGk2sKFMeyZlnTFO0rAlpW7noam89H2krfeoG0Sd/p WU+RQLcZT47pFU3dONp3sp3ivtuMC7o+6q/mAWpPPji88lzgfaAogWLUlAURspjOpvQEvPIC46YC g9B6Usi4Otlh4aCK3cm7RWQCbJPPR22oez5x/eF/n5jlRlCB++TVDnKgN8os/dIrZdvF4gEaago1 Q/tJWZj0RugXBy+zJKjdpGqUr7O1O2U6YIw1WekCg8DrdqpHhf6T6F/BwzRXH5QQA1pOAABsr/l8 dJJ7OYrnQubF+cxTqGaydSyNKx3AXc95AsBZuQsk392BMrCgRB+B5iSFAsscHI0CG7NzIU0DWvSa yWgyChRRwq5BUaiSwG+JVhQ1OhAP22Ah1ImhpmrAqfL5YEWN9J3kEYwADotPVFgpVzVqmigeTbtv NWq4hFCBLbJPVCxpwjIUKpQ2eDZ8kumTylHUHGawFSsd1aiT2W1qUPD3t2y4N3vhSB2foUFTV6p5 V2pDZkdGEmdT8/kgdXnIFtM6Ed9ylDn0oqzfwaYtqUu2HGbbVWV1ajMVw1III2hme3MPc+gFoxrB 4OAM4I6ibtDQ0WVQCtWU/5e9hG6URT4UnQQwDpa9bHPvRm2QzwfZyy8RuAx00gZvwyYqNKQkyDmj h2SQwk0krFjyzTCrJBN6KTh0pFxE8E6VgudXKRiG74kgC0BSJ0QrsKQWsJgLZ9KkjvBSg6rZ+0aC C11pCxmXFPnHl2TKaYmZsjKfjy69by+qQqfdcYOD0JGSQQXgI0B6sY1HdQvoUp1sVYZvVDeZ3acp BoM3wXeLDw4GB7twFSIv6AGg2npChjGxRwC29uUk3+7QkRKcH+QpgvJcq6UFQegkG4U++XxQ2rzu piL9AtCab0weW1IO5Su5WhVqinmxKYnB832TZ32LK4EnpXxA4CLMVI+DA1SgSZgUQ2GVVcLAKoQ8 fvYAyXomx14WVpL4LZEyFaNMaGXSbBEUl59kZQ1pnJyzkR8QReXfyMLOjPRinXJgSom+AivAifUB alPtuWyiuji8eIvU3cEjB66UCKKSDqY73A4MFDvBSEFjG4VfBJuVRi7niEEZHny/e+dte+MAYKAq OVBRHKwKdZK8rmL5ObP0mv/iFzA2mDjIr4J3Do0pGew5hMeMqi0qrxRssc4nel+KygNnStSSZbm0 lw/eHT5zcc510uYbGNubU1qRuPTC2ADBoXXQ57IXjoAYXEoI2NBCP2eStCV73WQbg8O8Jj8gIs7/ IoaxnXCVhXLoTtkMPjevH/yqVzeHqoaCPRGep4mK8So0FZi7+eAn1IkH5s4UYEL1l4T+BWtvng9n /63LMwA6Su8bVQ3Ws/M4WHVlpEJMT+u18flIhPm7ozApS/P4eIf2lDZNku9qVGBajg7b/XKe0bz0 aQoVBjvTuzGWD5a9nLDpzLMEBvihiUtusCAMuOiXCiOAkXrfCJHBUHMLtLIdtDLSySUUF5yFxecj g6HvuAAXL0Sb7jRFVXKS2E9IXocXDSR5bgCx4dsuuxJzDiwqb5JXBcqoDygDgeSZGurCNTqTazUB CzSxBjKcVFHwwrazeQqRK6KFl7o1dCa159Y5q5WhI3C2fD6IC65XBVYOLoK2r3peDk0quUyKTKI0 T8tKvoCIk1zHZHhmMz3LgUslppyNKXnDLPeGOYf1SeVGpwINLu0OsxIE7ay/dPTwZ3HeiinD5dCl shqjF0VyDVxOsOCj6jbgz5nPR9Kn31JMFKedazzbLorHU1GxLntx5WwML0LVXJ8i1PRiXeBUaVYM bWQRDh5O5ljn8IAfqSRiz4FLimudWLmDkuETFjpT3rnqDYdelUPa3plyumew9XSOp7koOXVmrPP5 COdzJ0q1vYHi720Nh3aVk45M4h4rxUM52ByZFFeRSw/AsTZe7FcpjM+QTOx4ZGJPNHNmCwIl53xa cOLmFm1M+qDzVdctQgkrnkPDSqMddsnE9uxu1TgPVVxhkTxwrPwW+FYnCvyXmS8iKoemlQbzpaGR ecQnh7DWciGs1EKyiYoi8lVkWsXe05LehgUGC1RlgeohzF0J4SSwoA+qZ+wvuni3F444Y1xRU96M 0+gNBXqYCtqQfPP5CMV6c2EXZ6IQm0/U35jxL2uGInUmWTP026CihJgmKorJB3NgRu82XD7UABsB DLa84GMIz/MFX8YNrg8kvehhpYZnV4cqtK+cEtXdKh5s7lweTed46FITOt/OD/iXOCP+yxImvaK6 OXSwpF27+1WLQ+Zuus1k49mhggKYzVQYlG+BfSRCnNxW+vwt+8Ri7B+gvHJiWZgDQ6IJbekEuwM2 EoAHeXrogYnlOVblPrgk+IlOoKgHgG5mAjbHGpkfEMENbjosZtQJo9A69amKw3I1FdIX7zf9OO+3 P2CfaQ7WOTCyvIbxiYuKgzeHz1KZRdJxY6Md3EX7wYGGMj0AkRdln5e98F/d4YXthQHNdv5tmyU7 6n2ezJsf8C+aPcHJk4FGvQWWwM8S1HUuqtaap8TtVqK2TBwoIH9lv3JoaDnUo8sUtxj6cXRsoEfc 5dcANTS6fK+TH51UfwP1miEJ5Oyf1O2FIxoZAWTg1dugmh2aKEyOz3qufD4ql/uSkjQjcclPShya Wo68nnWkm4/NBGnsTr/5uCdsSUUIlkY79Am9Extsos7tliAlwxILIJeD7NYlGBkqW3n2h9zS7YWj hp5oLTP5oJIdZGQks3tuQT4fZDCPLTp36omCvgytc+BsaWDGwh/XMIm9WxUY8nXOuad5p01UHJuz qKluHQcH2nVcDpkNvYH+FAketQInlul/Vca4djtrL3vjYPMlFg2q8HbVS17phMgz6Whfnc9HUeev lBh2dCfSvRMVlsu7KnXFBMBxL1WH+gACmqWSjtK7bb0wOF8qapblg4UI8ywd2MmwyAIgZ9m0t0Sd eKNbnJGVOiRK5fLQ3pLWTWeCJOJU3bppgfHFwknba/H5oPWZPUTAfxn1JUiBPlsvFkyR5y7OaFU3 +7zI6ULAHVF2UBYUeCx0uBSvDLb3PmiiTjaFaAqdiZO5wIkSbbTzHxjtDxkHoLXeqerL3jgKz7eQ 080H3Xtn4reaxGlPPh9ECOOe5kRyVAR0l+yaQ5dLsq3RQfdyVGrN6RySwZX5Dr07GCIENpdIHSFM mKmuo8HyvTNr+L1hSn6WbkZuLNIndASRHMO4QmlxHnSWyYHNJeaJ0WZmA6boIGDv83yLdAoIOgh8 LmU18VU+2PzyG0mFPpfTe+lO6WizF6vaLXYGlBbL/bLro4Ji1FATndPEwaosHV4dRWYXHZFGmaYs e2IY6DIjLvJ0byiQCpwusb9ZXymJrA5E9YLnnGBoysYezlr8gODWuwhz+atDo/gB2YVml7WraGB0 MjKFbp1lDq+zVKQotp7i4JyMzsxwioOne2fhnFMpsWoHoMBgF7nAjqNDFbddt+tCJDy/5E/Way/G oi5mnQJrqMZM92Teix8QMRa+uXg4yjsm3ecpCs3rXA8CUdlefpKYfJlmWAM2T7GKCgVBkZTYYAtq QH2HlHC4p4DiWSiSgewMxW3czt5/mQqiAr9LsITMYqb4YGnxgj8rjypgokLDy0c7nWE5PqZ87bsI WC4T3tmvx0xOyUX46UbpdIXpoUHseMnUZaTVfLAM5myIE+tMKoQMWEyg/gNncIh94CqFo8YV5Kn+ wn+4OtHNzAfDZuDnENd8Vj7/LwKMdMUQj8/nGI+5nZynupN1PWddPk9rJxNQBzDDI4PA9RLLibWT yeYnB5snGIZXmiADtHnuCbB90EivYORR2gG2Z45h2fbCAaWqzscefCEzZhB17tGyW5U6Sm78gPeD fD11FvYg4MgCuQGfqdCQR2Iq4pZTo0dWhTLtuIzz1JL3hwPzy2zwVhSefLgYFriCo82NRnoHYwz9 4QrA68bJV/ujOCcf9RzYX6JMwgZxk+UMtSgYlSPsrNIj2Ho+ksH4RTrvRa2gO1FhxZz0F8MgDon0 udz8uXfz3XrbO8SBBSYOO+IQJZXKweFjQEKlPXSSj0bpeZjNysiS59qdJ/GEAg9M61OlNdlI56AT CqcHFzFqhHz+n6hNUjpQDlv57r3PawRVUOBkliVaNbde2S4Wula5yIya7Yh6jZ8K2Pm0Cmvs6nG4 s5QgWbtYjOongtqcyASMwwD2YyHRcDYV4qfX6KlAdYbV8kSEHQfN0YkxrUd1srDPa+xUYK2k/K4p a8HNuRkSMBSJpmdsFy8yal5yP56WGN+rBtWmZ8HB9HTrI+Q7eK0OH7MAW0dZBRYPhXKzEDMkgYhc SXN2WDqXXucHTZclklnxwUA6uU8m//WE85/XkKnA9rDa/Mh5Berb7OBhfl4DpvNQVyCwSvYbjvR2 Lp8q5VAsn7adkPcaLmH5DIJYzQMz3bbUhhwvpH3VbjlRgETpB3L6Ci/wlR6pWULIX4OlAiOdwRoR 9R05qOJbQb8SF2i3z2ukVFAFLTY98jWekOTx5fMaJ2H5dOFUVrklzJEsTkrzMvLTVZp5jZKKJGvQ NWCXhYNNzzlJTy7KYCRDCr6ivYJoEo1cGM3iALaom8rSn9cYqYgQiUo4G+YFYl+CSzQUSeXzfX6Z z2uIxD912fxorcDvnE5OmJ/XAKlcE772qBXxsGO8PbLJYVD4wrrkr+FRkX4LWrr9Dl5iYkuTqLAC bCwM50hRhJwEia4AZ/r+2hRQe42OcP5IV16GBRxUNwHO6OmRv8ZGRYgNW0B2VsHTyDfYa2iEXTnn I8OjXvnjv/OsoLIcLv4aGBXxu6gqfwfvqsyWem9kJp5/O8uJNk4drH/ju4LX5B1NRtqvcVFB64YB ESjwNqhYeU62xWrW2TLl8xoUlXyNHJpVv3FBrHtEv4ZE5QoV7eW6oMBC3COoePCI3MLsLsIZopTD kDzI+JIFPUcwRF9ZfTvbBK7yuMFQnp98aSDzfJMxZXsNh4q8qoGvWIJZDLuuYTU+B5trvdf+eY2G ijQzNEPsWE6hEXwNvcZCOKQlL1NdpxBhkR/SuTbHqearnPoaCRVp453F08ni4XCxlyDaZnI214Tk ntitNNlIQM6XUh/NS9LLXyOh4h5O/6FSNFGdkCrohLB8cEyv7rcYT6xOE+dsE/RamcQmG9xk8+Fk tOJlpNqnt8Mb4kaJpgXHdKLi/kztDo657O0ciVVSV+e4nwTSwUyI9F/ActBqNZgcoZOf17IkJmhz k+k04sAvgThwbgqpO55+nyDqdd17DL/hpLoUJ+i1Iom/S9E0P15kMTHEeE7P4t3dWrud06/1SHy7 4QeFFqhf8oQQD6qTFIOTwZ4rmWEQ8Do/ks9uLHALHEfzptdiJF52EbubevbBUM4naKBM1ZknvGF0 j/kxvVm0RfCPJrzFiVEcLQmnLNVPVY6624BX2clwCZHNI95hNEXEzO9CJXAOfgwlMAwL2fazQJSX fN+Jst7GfZ+B/PNMv0mXIFpDTPTpU2aDCrbt3JLSGzwRxOedS4ddNv2kljXf/oGEqM9RFExDZIGt 7+GrqE1XS+/tSoHVfrmZYTQ9hR1syQebIzp7zMXiGritLGmCV3Bu/Y1osRKLrHYuvQw/70Q6nNWS bhgkQHHQQXR+iLIF2q18PIinU/7aaJBEAJbO5+g9oIYvBuYItX2HBxQTcy4gCXpE1MDOMaRXMEe7 ygSkLB/8sIbr+ljmAQavYzYyC91z0B9D6uEB0RBgN5gigDRxSAs12B01eLIm+q0jzdp8PAiJ+v5a RoC5jFn9LHpn0BVvj+TdL9SkXwRFuXry8BBojgsIjusuc9RGhi8H32pghiFp12kEHequxuRZEmBd lPwl4Szw93tcrULwmdZFgGX1xsLJ7DPrRziuAUAN4+pRvtIyIEcHfhSbo/fAGukmD+xanAYNWdQ7 R8PZ4rVewOB7ZA0LaGjuV/y9NlhfpKPUVZa8ZMZZM4i1zqEO4FxHk1cu6eqLTFVrwtOIqCUWic5t iDK2YJVnJRXpyZ7/6+edOseo6nurQZUCdNC7kKLYuhP1ndtavpBKql7FVkWEkwSzDiX378G1gQV7 Qr5sg6VnrSaIyuYhENwJjZi9grd4ghNc/rBPtjObad3nnTiHDU1PwqYErUndCkzME/pKCxkl8s87 b65A86P9mqTza8GTxCcpDK/VjJxXRyY315Fh59CDR1wCmqQovu7i8451B5ukhNpcGc0Ud9AhoZtM B6FLfNl9zS13nnrd9xOpCQVQuemKW9bB3uLkIOwtzrw/75Q5rKT+fbHhpBk130M7CrAnD+02s0tc 57H2hQAUFwKveTpjLoqw+1TXiBH2yk+Efc6LtsHXYWO7j4kK8jpb5RxME3EZix9OpZ9dr/s+R0Oo 063zngRantpnhS6TuO58PIgg5/g+kSBOyAnTHL3H2IBJ0CNdns2silBjmjLgu9l0nYAQDXsVZKMg mylsp/eiDQ70pic9BI3QMTpXmwByUnSkjjFYqlaPFZDyPcZGFEg8UdWhXf3QbieprXQ5O9+SP+9E OcRH26cIZ0+WzABdiThHUZjdxaFX4UPyVvxHeRO0W7RGF0lzFMbZbNNmWCfb4OU00HXOdiDl6CT3 58uompJI5UPsi97SLe5Pve5fk0Tqpg06kCCH2ERiBj4iirRz8YpRF2GlAZ1aveIYRdrqPSYKUsuW iMVNHUjpyWar19TeGXJFEFDQg0gH4OAoknL+/9hJAh8Z+pYAJG1Ink4QEHNlsiboMnoan3eGXBFj BhRCZSPds5EBqQ/aU531lD/vBDm84/YQiVVrkhumX//v/DjUCbJlsfmGSI8SaOIlx6pRczTuOz2O S5KXemvVhwtyo/kHLpCCbA0lAPTTIPQLTeIKFmC7JxJLj+/8OKZsRmPKHPDfU06LU4JnOPRXPu/8 uPLI7jbT9gBjum6vPr7z47CA8xD2/RJ4uVNUOqrTEaZl+rn9To9jaVBlNeYlHLy8f2bi/M50k90J 0qmF2kNE4GfAECsKbc7S0Vp6D7dv1tZkTgzRWxFrJnzo+NOME7d83tlxeMvhxxJnpFBc8s5SGG8r lszmisay1S0fCUhpxjsmj/bOjWN5hGCIrSbIvk0QaF2i77hYg9zwftsqjyBBSDAYwrJ1ko4UUN6p cSxWsD9UevfB2kR1Grxtzvp5Z8YVGRvaWiIkB+oRo9c7TVHIvSgKWkgFNfXdMT27LanZYhoMMbjn omK2KgAr9Tv4nltUCKJT+lgT/FdM06b6KRH441KczcPpnRhX3OaqiOjMQVWSs5CG4JIjzc87LQ6X 8C5fNxxVnurwUu07K477lOijfdWZJNIqd4KVXXQIUpU2R1E5u3eD/hUf7OyGndw5UnHlAzDeKgJd 9Pmx9jOUrgvEpO7hvfS+QaTEqy1J04ODlUlwpQv2P9fnnRRXpPdjS0kclQKRZA8n30lxWIGN9dpu srug7V50zfSUDsD34SvpPeQ2/QXi13ywWQLTua4uxxSgkCB0ckIbAOUgR8TK8NNWW3rd91NpDRZI 2LrWoLN7jrGS3Vr7806JK5LAfUJuODr0vu5KCkNuqhQDjHdXUr9FAOFKmbuh/Kej+z3kzhSuQ8uR OCTxMpTg1g63NBciPNu78txCTvoz0Rc5K+tqpqPfzi8J5gita0TXNJeBY5/Q2CfE5x2KC27vzzsf rkhP/KtqCxcX2oFrkqK6Nq9FCMLUp1LiYmgnlPViUqf9DBfSe8x93Ztpmq7B2XBoWadBVDmabXTg RYI7oVO6XXvfbS6XXjeIJ2VzKWWmml2o+GQjJ8Xl37gz3zFYSPPXHKHWBtVAm6Mo5lbtv9V6F1J2 ou65fprPEVXMNEfvMXcWE64TEmqDzdHeI52fC+yEjOYtam4/FL1kk+vkTyi4mfQuBS3feXB3r+F1 fVBeAqYGxUZPbDA+7zQ4BKPj3m3qsKEKUbxQ8s6CwzoadiBZiy1TOE0RQNvdawCpuM3lOwnO89te ANewweYI7rLgMKH+PwjpGKa+x3VEztyV9kIs+3nnwLFJRlvCQUFLDurzn4/catOeY//zToErcr57 ziPUINpOnpW889+eTn/ZTpeAz8/NSsa+Z/YyBs47/e2mbmdy7qApwrRQDhVRG0Qdih3rOFsqcW8I JLvxb4re9o8pSntItmM42mggDkhy3pt8PNhpyTM3JXx4vbvT3plvxYX0ikCjVKraZkaM9Tu67bRz a1gr+534VswJpGcwQmzwOPLcOaNLwLJDln+x32QtwDx/EAD6id2G3jbK27B8WqJnCgf9rXt04SIR Yn3eOW9MCL5LkuAOAIPoUxSF2uClEn3v+OPkQRGF5V30DD6VAmq/M96KUUnO6oHYmwbbaMBlr4QK EWJ/mDZxinhUDsKmQfe8XvJJr/tX3Rb1a0XaWIc8saGDxav7JG/l8053Y5lkfiW3FQWScfv972Q3 NvyZj7RcHzS7cBA4pa/iGSRbbB1FtW2aE3ag732wrUbRvJ14YhfArug517EFwc5GO9trJAT3ft6J bpwigo5kS1yvLTHAY1zyQHuXzzvNjcHJN6qmsnf17LT3MBtN8C25pbdmJN2QdaeR48UZigrbLFef LdarDx4cne9IYCtgGdWOIIB1JNwRjbSMdGHs4uN/3iluFqWiNiJb4m5EugLfrEXMMAAqn3eGW3k0 FYyhD4UzUI1sjqIgG8wYNtocNlLkLMs7rUzPanFJKat9p7fxwmDVn7o3GhxZc7KN3RL1OWBzjU2G LtLZZj8b5hyF9DZjTBLa985uM3jVwFrsPqjTBo8/bm7YHX3eyW1F1o/W1WZbLoP//txqUZQNTAtB a84rTXJIVepvMqi41dy78Z3adgvbMCDmwGLFZIEQmWqCaw/xj2ctUbZrISpe0GIuaB656w7Rxu/E tiIfTzSQZJoKtIV6P+AQJ/FrVuZLRr228XUeASJGkzibpDDKbmaYcpV0y/You7fcn6vfo6Owsm0K lXv5YLsNYfUJIoxRmjMVmU0TPVOtvhDFZjEkyn6fd1pbcU/Z3LqcU/Ch/P3PY3kzFBgz8/lgv+3v /Uabw7a9tv1OavO5JZPAa9vcKXK47tWXUpmOFH3ntOH9M6NnSe2vka4dwdhlnEuyUBAdAHMUIOlx wdoe2ooA53sViZy2d0obo5XyFmkP6AapinQ+4/POaONLfnfb4GlzjgmfpHc+G75UNuBCrQssQTsr Mv/aVUMvCVuAeMg40CafBheODXYoJUhOLRH/AL8uEPFbsE6pP+zOUETGVhJVdD7vdDZCHOQkWxlG 8l5msAPgHcPuftLbzzub7VezTTYgCejB2u8shTiSIlRtkuQbrVOa1N/O6YGeiETyCgUqMUtRrD11 KqXRfbBZWvDLaotGoBMCwwQHnsDpRBobHGoaN1sRCSg3vu6fVdssa8Ls1oQLyrNbWJs5P+9Utl8h gAR0YQCSmoeS71Q2LKWpLGQNW0oJvSRbSjWLeAt7jmH2O+9MtiLFCmpRVx8cs3WOohNBCmxz5iKj RgtzYrrvoMsIqOSTtXW9b4S2kcnVSj5oliBlQbTNuYv4eFQg8VIbfsOF2khvfr+989gcO4qKszra UEDa2mRA21BvmDqCuAd5v73T2IosqCGaK67Imhc8ivJOo8hbw6evgaDrHN1oN8Hw9yylPV3Bkz5q fN8gCpBV+hDH/eZtYNVL1ALmSJ93GhsLix4FsPOEO/mcmd5NeqexFXE+EXBfC8fcr6Z+peyV4SS2 1dreWWwOAehoa/rg4eS53eqirRSEO5H+Y9HB1OeHzcQM8X9LblUjeSexMbnddCWWkfMw4csCdL+a Aed0ap93DhvbB/Xr5EbS2NuzkqLKNs1nisSlVSJpl5g1+pXTJ55RcxSG3GmoEHkHm6OzcM78lc7q P/RuMk3VcTYBpXCOsHEjbqEk3vlrpEIQSpK52Thoita5f7eQD3t83tlrzI27T5H1n2CzducohJJw HSUXBkK00T2aTLWYSkk+IaFBSd65a/d2A8bLB58j0DnKZMhdEXHLNHSCGtPAMUFG6xG3llFU1qab A2hG0wfL3Fo3+N9ZFp934hrPs/S1jJCj9Fk8t32nreGpREhS3g+2rTjcn8GLu6U6jPSdteYQyTM3 u/hgNZIOS6NJXP1JJECRVDuOtbdCV7CrJDGIIn0nreEHpbNcA/nBBk0R5FgFtmm42aJw+zdsCxSO LhMwTlEUbtP0thC34AL6uXmNpDDcZo0EdB/WSFqI1gbEGNLc1QebooGuLcQAmdwu4LEJW+a1i9wL J6e5XAKDz+8ILn+5VkgSSCUclpEGKAVs/7ec8XgQRvbx6/LHT7OeEzsMtjOddh/eUVHeRsK/RKpZ +J/uBNqioja9vXtCsGyDz1GfEExi3oasaiXKxoO7hQNWrJXb19ZWC4EkbGjXubsPWkcD3C92kM7C xONB97/crca9tikH5qD/HgXbXd1/qn1hISH5SVYlyZSRt4W0DUfa34Nt62v3igDLBqsjwbpsUriU lf9FIW823xDEQVya/dr1c/0Fekx+ZAHAPJzrrUeewKsqpz8BLB4PmCP7G7QFM5v+ANt6FGlLZ7n1 x5h4u9ElevPJpqglR2z3CEcyIEQCkPfywUttnU6USR4xOIXY9t7Ivs9UwqGpP/k/9TV6VNY2+eAl OPJyODKU+BVrnEtq4vGIoZW/E1uYRs7sff8eBtqylN3J4RF5FL/VEMj8XO0tu9V6VNY2v9Talg+2 14i0nQS0ZJG3kPtWYmOhyHZ+Dnq9WdGWIJIeBdpTcRH4WBhQyVRvDUaE7G+czKfg+fcj6XdJMk+C CefdbSEV0pK22S3Untpi1EKQTC6FtyBiwXykR6G2kjYqvdtg04S04ZzVc9GOMA1Io1JhGakQt/E5 sy+4bTO37VGoXWV4UqSLW7JJtXTclNSP6K13PB7RRb/Zxgh5wEbwSYr4kNBFQT7SLmu91nRBki43 CYkkK0r2GENChgT+Xhs8QkLjeCLrQ1cbTbFOMhvo1IsaXkD3uJIUL7ceFbcX6/8F1VYMgGdxl5xT rmobnbNp4vlgkkb9WkoDBirzXm49DLUH4+t+qf1ZmsGT9bYxfZIAKNZKCjmRIh3T/lCDb7iK+rwZ ngCtLaZJ5tKTZd2jGUzaGL4kiAAkppGUs4H5zZD+LNReN2/1kyJuPB/st4fzJ42JRkCFz1JY36a9 VkXRKP8P8/FKjVIUSTYUrK1Isshcx9EdB9vM/zdV3Dg4JhnOwwNNfUAAQR4C3KTCFQviiYDajPS4 yuOXwbdE+00K3Y3x0ihsEHIxwQktywSuFnzAP8kk6jwhTLuQpP4ecQPfzqu/k9rHpKST1k9HXLSk ClTJoA1/fgAe31HEvSmZiNa/Dz5PZ52fg0/OFDAgHJNKuEA9U/cVx+L18KAaZ49K3LriUt7dB8G2 prA7FDaYeDwCt93MTc2n7gLUnKQIvN1VTKLkgvTx3Za40KtT+vjnXBp+eEdAEmNuwVEDA+OASmDy OaFP5tdNsxQcLk4SxLA2lBYKfkw7u1H6q/iSKFbiXgPlxQaVSc6tIE7yOZ34eLCS5i86CZqivdyy ZA+D7plUZstecaPaGG2c91zmtgDBx2o7Lqpwb/HaRCPdD410J3ifoh7JPgCaU6y4kcGFPoD6Ml6X JIUZ3xIkuGy7FUgJ2qCWUscn8Xc5dzYej9ja4ytagrkqzAd9KY0o6iZfGhBS77slCbyKT5K870bh SYaUIypxC4x0ft7tgzOToMYyE0PKEw3QvIqlS6j/Q+qBvjlTynY8vEcUdWeBSCqxpBzUBYDG61Lb 7aRvI4y6+6/WJFTx9/IoYERRd8+SsivNqBI5NQ+VcqrTqpIZR6YY7XF9mwupiwrY6y0CDCiMFBw5 JGxD26cQ4Y5Dnk6PAMe7ChlKfPiSYI7Ykzz5iQYETFIQGSePJgBwIMcdYYF79+/0Daz60S8PYITg bTJuy8hOlujdlWuoOmMLaYAKp1mKCtz4A05S0glKap0/8mBuAgWc84uxmoRyNwqUSIMTNSTRm2zX OQBVOHxHgCTVvVZoEoeQSeHkgC0ESyU4hvH4v1olXEh4o3SZkiOKuZt47Xk7/i/tlRwkObaDkgrK gdprUcwN+wh4DHZZDfJEGmIBJqjy0UzonO2d5RHIs0ibcP5MRJMWASCkxnf8hSYpXRC3PhzbNsdI PE4aNP9GWNyuN07Cy50/nZaQNkVRxN2b0pIx7nG0HjZJ9eMoQWtXqyiKuKcoN5B5tcEpNxDm243K iCe+Pbc+I6kKoBklwzN6o0Zvo2IZviTqcONKgxcfByQZvI4akDwEAEK3Dc8H66jN7xQXPoc1+802 oogbdSTkIqM6d2tkd85Lcy5vkwAzpUmKIu4lDmDOywcHJJ+f4AQnuGXzgJ7EpEQA3LLPJJ31g+zJ 1tEm/X9Exe2ZLYRcPuj2H9BdkH/SmHg86rf1r8wN6S2/36YoxGxrq/V629tluq50qWYfQDzyVOY2 Isy2QY1oiKfhkiTOLqyNVclx7hJov7HihnXU4GhQvpSQqt42arYR1SZ7DsbrMsXZkBbqntyOMNSe +ytAKpCOeaSiRlTbbpIhWQaOwCoqnrcVZ5eASbrMZGlEkTbwRAA+sqaU5Gqbea2dbKHPSvvFcQLh c7SyjQREhIBu6fYjQRzM+I6o/I9+JDHfmKLtWJLzkxitdJy7Ac//Uw+JlSccRfPSbUcUaVNTrKiG Y9WkfCdJuECuo51Mj22Ekbb83wrLabM8LJIJD9SZiQBcE9saHafaUY5f9OSAzb3vNcaQI4y06T+1 2CtJCy2vnAz935Au/6AiOhc+ICgB3JpbFXrrfB6Ej22awlhbXp4g8FuIhFVoIZIs83QiFQMmjbjA bUSS7YNP07mZE5DsP/R2ObkkrQE6QCUbgV2ZND1tEo6y1436toqNBEzCvwlCWs+KWCReQaoTz0eF khsiEdCGnJs2xZqmGZa4adeVcBmZfB1YlvLJK/QaJ54kTYq4d37SnwHAUgCw+q0nwYY5UTkfwO2J ivfglkOGen6MHwSE3nEj6XaGcBJWStK0eNIxbkjbdlLjtlQ8HvVK7sG9ue5Qabng9hlF2y3J+63I 5Q1tgOGYm4SydbY2AHiwCJJmyJWUQmSjShIHj7bTCeABkaSiZiONGGgSiCKe/cJwv/cHdLv0vsGW Y+82d9Yns0t6n9z5hBlTYVIvePxf+jZSHm1ssHu0PaNoO7HK3ZkBCS4pDzhG29QgElwSfEJpSIVU SfbS0ATwwTE3C2tpNglFwgCWSAmUcV2ILC03yIPOi973jyo3iQo+8FtOSg55OH1hxePRLPkNx1Iv GseARdkkRfE2Gc3nYtmXdpvWdSzJ7ZIAajISwAxr3JJDPgGQDx4EnKwdKmRL6tGzSxG4of3Q6Yz+ QJMXEW4zBJMwJQGOygdNUUK3m/bCBUJbUYV737pklg0AMpt1t1sUcFdjAVxI6VkyVythDF9IDcJH PLlnqPlHkQTWnGxwyM0J8yZb5IgC0IShKDA1LCakezMQRS6URPz2DGmSiUyJuZoPKgC0VaturLMp 8HjQmmy3wi0aM3Vw211JcY17irl1mcntaSqpHcft1pM1AmYEJ+k0iU/0lNLgNe5z/FBZ08JJyi8v 2H+dH3KwlvmEk3SjnFHEXQlyz5bjDq8QnzMcUtXM6cfA40E4eSNuFW4xRTdSmhGahEJmZ1ZrcTTJ RdzA2McBpcxaNEVRgRtBIZR9iWDf88FKns12zr3EXkk/d1xBUf1MEbwVIXLwA02Hy20retu/SpLo /GroirjPRV6SLMnK4CtGeVv5ytsKbrV+MbczJEkmXv99L19FrCUqmHTHN9TasoGSZqj8R8QW3dxs cDRJoTcra21nCibMMXAeQdyjQ5MRVZXbAdh62wCURFFEqnLZYLW2XiTiVtfm48EUPXpbMjpDyH+J pDOCk1gkubfz/5IMgQatqd2oEy23aXMUBdyDcJIEBosNntuudNYRvI5AuRk0MUA3CWfLRL22lEdQ m6A+fEkASxYBYNIOqDB6lkor0gOKJqzzTXg+giVdQAnWUkZmC9kFm6WQJYmML8967d0MxTUo1Fpd ui1hU2qzhcokqtqi/WyDY9zP2jmvAzVLyJ7kRuL2yUMHI5QOF+0r+E9kC77kL3J7HVJxGd107Cs1 vQhMOokNHv9XX1JW39BbvIySFQXbiYySs1QdCCAkCw+kmZObcAHpqJW0IjwJIhRcaX35YLvtXJ4V Yi3sS57/vBGe06gL1DE1rTiexPj/K6xsU9auFZ7ZzXufqLeMrt5QqxWPRyzJ7yoJ8Gqi/miKwso2 85Hclmu3NPm1cIpadaxEGcPktFeIJxGRJNF7k4O3SM5dtuYw5PaarSSZBKIchK4VjJ0cloRSH74j EmsVQ0KuEUiKVWmd4D3xXuvnF8Hz/8S3sZR0IlaKudskhZraTaY245YA2u1v11L91K6p2am9QkDJ ZtJGi2UMXPkWRUIfXB2Sk+XS7gyCnOgsFQSdeT8B0jlD8R1BFEmPlgoGkA12Q8n9lULHfPxf8nY8 4VX2v1MU8iSb1DaTl5LMf4TVNgavJpQEWR4cRyvEbZvorwqS4/GzAWkNK4i8pHril0pXLiJ6Ohq4 7UsBkPC29XdhO8NfAgM4hIz7GpQ7KFhzLsnyWSGW5LK2C+/BDJTmKBdNuqJYexI3mCiLro7kJpp0 ywShW5WEXrOapj+ZksjWlg8+TeeoLZWGrLiyM02bwXGjQRk6Oz2vB3Iz9bpByU0KElk5GzBBVbUk IDwYcpycM39WXNz+td+AuZ1Qz7RZimLtlAlHSmbsCvN3Hkp0H8EFaVFS80ByxaIkLMhOuU3Oi7mF WNKAqh0KpZAHhCkgkEkUIEoL9SCUSszpLjGUXBGgRKibXNv0QXkbyGZpKCXhSwar6ZIAGC6gjdmJ TdAsReE2Jc2gz+6oGyTpBk2abWdvSpZqEcAKw20BJOCEZsMNt8uqlDWCxt0GeFtUCZi3obDABWS1 JFL58SXBJHUDSAgusYyaVMZJDpOctlLD4/9SSdbcYiVdgdsVxdvQ+cXJ3VRYw37ry/YbvH/kcAeZ ZDMdWSF6uwvjVu/gseTJs8/uWrKxORsMykJnRhe0clPG/xYzSWASZE/4lihMYlYL5DOG80sImVTR 4SNOFAW3FUXcsK602i0BqPOk12Bi2SzFfMksKRLvSsKZzGLJlq+va2PMR7Xtv8Eks0rGtZZLujk/ 84lqGzHuJ3c9S6jIBvD8VyA0wdKedW5L8teNENyMJVNuPmhJzA6hGV5wg+8YQAAu81bd7bP4+770 rRWDSYi8bdVtglPvLkuyquG6IUviYgnrb8ntmZ/Bjm5g29HmJ1xyn58ascVCX/tsTIRLudF9U6HS GHrdqKHE3E2SkhwES0ILXSp353fA40EUsPNXNEmVu/yIkkcB99DBnedN3R6R23NF+UKCuZ4CpR2y JbfgSGP7YAsJV8E53WhiTgepTgmzvOmsgao+o4D0c725dxRwo4CDo4gd3OTqNhViD42EUMSUeDzi 3DjInbpRlYWke2zv94gbDDfx/62whhyuu8Ztr9cdIaNtL+X2WJeEUlv8kTR4xA3iVuXxmLmoCvtJ cHP9gX7SDytCfrdR+3+HCG6VkBqRW1LmZcSNZgUjpdrLwOMBVjJ9k26wPXEs+ByFCG4wRE5y6Nyt E3lX57eRZWpIEiJOOEdRwC3Tv70YAHCwdQT/VgRiLJPUDqEV6gDjj2IRD82hx+l26HWjOZLXMXtK HCxzMzEK1AU3Ho9Eyb6bt5lJ45Um3SFTcnAdjbvXGiVmJJO0r3Z7QbKkOYqhJGJKrumD7zVICM4t pmQ9fxhUW2CzcZb6ZAeMUlLWSuLNtqOQexdB/1Tbrre2DYQ40+kzWRWPB2Xb5DCJbdltI4RbcxSi t5MkW8ZlS7T+uEcut5E4e83X0Z88yQa1Vh8cS3I+HiV/ordhhdQIFWwQ/ITcBDB7t9yGox7f8WdW smgBgIbLj3TEThQ+Elsc53zdeD6CbX2jJDuBTReWvEM/my7xluvYmml2pzmi2oekSfr0OQqhJFQk 55qwwQpJ6E5C2oaQJEACJvfaOcXPKxaC8PPFbq+htw0CpCwLgHIH22pQJCNPsuLMDg1trqUWhSSh lAw+gU1RBCURvb3kJz6qw4/s1i8HsCfXt9kRlMSy2tmmD15HOlnbufplGnnCOJgy8DgC2gWNSwgO emu7d71tRCXlaSQqab1UUmDbOxcU6KV4/F+AJJXlULSdHkLuUJekdBH/1o/rJJVnp3VfRQXSKJqi GErChjZEhW1wECmVnsn/OcfqosM3sjqwiiC68gOwk0lt0qAY3xE4ALBmWxGG2KBVtLHXdPNjo8V6 2/nrMEJ1ptO8QVMU1rWLqBGz3sNoupQUeqpG3aoATZImuf+MsnvalN3mcFkSKLYkav+dGYHuCRNf Nt0heTH3xf7B9I/fERxGy2Q2tg+aolVoVo/ztw48/i+2DV4OEqyTNCBNURRjtyEmabtTJLUtrqLS r9tvK76KIhDJJEsSRrw+3CYbYNoyRuowkFDpXya7KMZAyctNtlmw3bEgiXaY3A+zux+CLCRyG5Qp 8fh/4/sDyA+0imyKBNp5/dapOdrbUtrMDyI6gnNE5D9ggIb8Z5r7/gYsHnV52PXHw26CslBYgsR6 mkhvEUBmFISzbKMTfvop1/a17Y0DD7JMSBJEnTGgWMNgEOT5xYDyXA+TH/AvGzJZs8IX/Vb/WccP CqFYQnX0fLE22VzbTySzHWtT5rbGthpW7ykbXX43KyQcLEQ6l9vYnb6DJyOrKJNk+WyNn40IAzSx KwPEUBvfEkEkOFGsiWvgt0CP3Fi3a2Y+H2Qk12qLLQDA6yaM+nyiQoubRus93fvyjNxGcoM5g3tG IqUwt4QUIrfpZjcmkzIOPlEVnp0UbzzhQO+0GDwTBRdjYEnAuWFd0mxHs71xBN9iNDnkjkyDE8aA 61yki1cGuJP8gCBWyt+aEhDS6A/RLaewzE0OV2OooXbJHua9UbuOc3YClpuz4qP+MpAclZL3HGzz QYb7HLhQAkb+DH91MAHKoP0fZE0gEvO0cIu9cYSaZL1tiQvA/grj7gLdBP4jkAB4/l8YLorW/oDo OFJ5dl8UeycWlVLrzpkgpk2ltyThhEmou3cEcopq3XKNWIORNQevT4Jxs9l8PzF8BbGbVSVAkzNM RCEyPr2slMkvxdcEjAAETmlC1MsG4bjmnIKOgfHJ5yPW+y+WEkmMu15D2xSWu4vEAas1BdDV7Xai 901Bjs1bDyGfTvSw3m1pyn8gcKAHiKYJVYHHbhU8EOApN7CTKDUMkrpVpEzbXjiCBUoXsA0fNFEd DnsSK0mbz0dGXB5iCogLZ6LHiCuFaiW8fcZgjwnztCuLcdQGGkX/acVCuDdfFIeLsUwncxv8mBoQ mup046Ccwlokdg+uKJo4jXYPdArm82uCIIrtbopU2qDiCWWFFCwUPR8xu797cTgxseDvRIWaJdRR OEmpK01l5wlehyAwu8GA91MqLHsvcUzX9sFDBKiMUWAIW+8EZKCbLQBPcJ5T6RMVFSugsHzDrwly Fkq7JEkqpDTF7T4bGGct+0znn/j8v7DdDGJA3RjrqrvmFMbkolG2crWmS/HMzjhewge25SaBkXZJ EdZ0iODlSlCoopxdlkan5ESfcAwUlIsJeqFHx3gAgtPeNxLklvPWXD5YNW7RERshZxt8Pth51a+9 Lf7uhlHhc++Fxe9OaldxAXx1ldz0tjiOstGTWfMUVr+5omZV1bLeqiWwpgsm0Gw2DSjh6YjC6X1S YmHKLwOuFnvhSCyYE7XJYU6+wQvwBk0eb4MhZ2iF8yjhMTrnx12blxy6TmqiUp8SwMFZvp3gNVJ1 Za6Me8EcFUPMicopTflwGw94GVQBiYVmGL5k3MzA5SAm/j/GvizHlVxZ8l9rSTQ4D/vfWNPM3Bk6 dcMzXwP9WLdQIUVSHHywgUUg3Knu80IH7hz4TppiIKQ5ig+qFpwFPJYy7zH5fJTofV96YEmgWnXn KcR4U3wy73QzvZJcerosBgrM9PbjPBkBTzIFlUC99cHxS6OdeAcVWLhPsa2WpKuEWw6odQriSguP DiY5tp4UhWkx5eOgaYIgqqbp/BOfD0LzvL6mCeCtDpkHn6YwMs9ynHxMTF25DCk5O3OSeykmrZRD 90lUKJAKp+2DTdOJKxIUdgszYiBqE9NCYKdJ2IO2gU9T8ff91aETWTsHI1VCoFv27gDq8PnIXKl8 ZXpg1zQUTX2aolo4e25gLK07TaaBT+HLeVdTursuDMuJzq2Tat0cNE15Ars0B3vh59zKyzwDNn5G zmp6VLrYCs+BA+XZdJlsr0yZjuYizoW6K0Q0nWuz8vkoLmjfpxO0MDrQST5P7zE55mk/3V0mx8n4 3cB9kQaG5TRzvvMUkiszSXGb88ThogbTSqvQquus8bLNzwysxo6UI8NBwLIXSSzl0IdyTWHisjBx 2dpIFVY77Nf1NDafD3q96/boeHYiD0jX9S3woUS6z9uGBg4Sxm+t27Zr9ZbqGrmi2nZhRE50JUmP NtgpDrFRqaNj241zitM5p4Fr1cGWzrVfXNxUXBA4UQLYhgnqkuzkoGodiqZDtq+r8Pm/WlAIItCC KvuCB3NgRWmKA5Cg7p7jqd+75GM+HPXVXGg5h16UK4n11bYPHpEv2NY0+XUB/cN7ERF5h79CJUbh VuxUigrNKCdN39Iud1A2zDxaumZc9VFAvi/qWzqxWJclPxMVReQUsir0z7wCAt3hcb14RA4fLJ+o yB0HfhUAMFPXdKVH13SA9UNiJQh3Hb5v1O0GFIyim1jG17C7Vnvh3xbUOSmGDxY/oXSm8m+qfD4y NvsHsLvJp3s2XhSPgyKGe6N4feXEPc6ymI+8OVrZPk8h+JtFA+oq2eCspnPmnayOALkNhCE6dKhE ncB0o5xT+ftbKtz9hSOlfBPJKT4YzGItgXYRUvH5vwTOi9XXf1AauxMVwr+bwF/9mneqEMWdt1t5 8JZucBo7U3aupNyyDzcgb8B+Ud4UhraDgcGZGNY2gdKf19zMM5fQmrJIS2CpDbycAz4b3CrkATMb nw8yl3KhTXgwo+R6gvy7pEJ3SjZrSqKNFMGEmyeiqPLDYAVASDSDgOXQnnJT4GxtilNz8OrK7ueP EJ9gblo6sOmBIvkJCzK65R6Q5+bvG1x5jA3SHKJekk0MVMrJsgsbJ/gqPh/pCn7rLlN6N1/J/Bwa VNI+DsV+dFbYwCvD1Kmgvch44JE44TSFSPAh7E4qPviCOskvGBKkgZ3joKI/DiR4RS/2fAfK7L6e 5OEdGlQSCp6oQ2SDNl6qfW91Ofvk80Hecr1OuU3Bo+jQa/J5CkVOtuktmyA8vEiz1VYWrx8W66AI aBsvsKgEbJSEy0R6LgebpxMCwDl6ESy3Ek47nOTQP0e6Dn2K5DYV+EXshX8r1tXGm6+iZcMrA76g Rdi5fn4ZfsBfEB48J7jVvjF5YFJ5tYWprk6FqpmM3gycE7wE2KcS1lYLKoSED7lzETWw5D8uVOFJ amBOSaBT6h2eVdQ7yzQdLwwOxnPnbXvjCFvAqkqXxSBFx7iDYHlO4Hs/vzufj5g8/ee7Wsej/MYG oU0ly5rlJO4OwGjOlSuwhnFp2IK+hoLywKcSlWRJnahkkJ+SwYkBdm/iYPQElWehVJDfsL2fGRta 83wue+FonqTmuaTtWaBcyiwPiKoqDvOa/IC/4PPZggOos92JCr0qiypP0zV0lcWqCPUgnqRRqomK oSpT+kvdB28PnzV0Fg6LdecXOZEGw62CIllHNr7X4w2bq71vdERJqHrcwUor5x6pcnurjc9HbJXx feVNilVdEGYO3SpbZpbHA4XzdHa6z9MJRL0GxYaXzVOoNEiV4dJoWsHhgjFon9IsGz6hNIHRKxEs hs7BI8e417T3DdAYVYQVKjJzMJrhHkn8+V43n49qKxc/xz0G6lUfT2QQBeWD85Trg3yapd4aVNm+ 8Vq/Gy/W9pZl5d4++MYrUKvaDDbhdzsSmWQQ6/2ZSNlJZgJGGxvPJiqErZhzdbP2SyJEB3pM22zi a9JbRshn77uwkgK91bbnc+eFAt9DC2penzg1hVWFIg2a6XAnHLPro6JWHoViErV0OFh5Je0OnBOU D2FMgWYwAVCQnkee/IML2w4oScTl0LYSynNQiqEQLgeRDfsA0IbRFProgW9l+vKtlAvK+YC5vhbU e1AOvUmVV5LPU3ZRPSB80oXSrZF9nmK7eObBixVLDtZ3GRCrgvI5DygkLUBKQxjzxNcUPKRgzJSZ rprDoXnlYFe4NgHqK5jnPKFmYa0FC6p2Pv+X8rDVYiDyeRueoXsluveoaj4s6LUunm7PKxQH5U1t vNi+cgkttoYP3hpO8IFvlK9qPbVauyET2s/Z3ahA3SqUcOI59K/MEq5anCYOOsjBf5XSN2vkgYGl WkMPdwUl7QlLP5+mKCTfVbTDS4Qm0seWk8ytuJym+1fnwMLy5NViQjeJxrcv/uoAtRP2vBAfPiEU ra6wTTK0WpgvfdHFu71wRBcj8nCRL87B9l0HnlHrd/P5v1ws1W0Hru5q6uU/bCxzK8nhmeOKfNT1 NKckP5z0UdE5vtjnTNMHD8nnCRmAqydR+Zx/CO9OpAl6ZU746yBq7u3Oru5UaGUJdBxQdVngOjTN 2AnPHYd5YwTVMz8gkkS79ToqOiWJSt+pCvErNLmHFZcTNGb2Pt7sy02aS8p350VBuZD1Y6ozPJ/O 8HmZcyihS0/Rb9rUYqrQPM4p42xFGwEeaU8LPXC0hPkLK1Bt2IydtFYsDUSvzMBB3eMH/KWzT+wL xCzVKbOpCsNyWuxmok2sFDXNHg3QRy9FwZrQV1UIHieZZTbapE25TIg7VtCEL2JFoRua0RqubBYv VEQhg3AB9nnZC0e1TeLrqPqJoikyd6bEsDlhA/TEtZMfEEF9/ukqTEI/n+5LYG6Jn4isn2UFKPwj mQgbTtGZ0ijE2aH8rkwvdLek8NnZWiQ/c7hFO0RS0KhpuNXQ++6cqYZSLFR7oErgvJ/U7YWja489 T6CEbFDt4JyElL79AaGfz/9lbWWAT+q23XmK4nJJaJMrbCtKld8pgxantdIB01ZUhF9BORyJy04+ eEbcUJ6jhVSG1sucRJyfRAnThYCfDpdOa+n2wlE3zxx3sw+q2XXZrhHnM/h8JAAyvyoHiF57z88h FQqA08m9CajCo324AiguFQ8PADLyefrVcwfxU/XBDylwPmnUBNoG9iD+S2jkA2QH4mIZ4269tZe9 cSRwyZLBkKjc8HTyHIS1LzuuOp+PAs5/quVATeNK8YkKq+WpPII7xJDl4YCoXMyBj0op2zdeFJdP eTcuhp0cLEBowAFM9AahAo7TnUppE0DPDYTRWfnz4qG6v3Cw8RhBpULLFA4CjpXZShMJaC0+H8Sb /6A2UdWFHOizomJL+apqeffi5jZxOdzByIqEsKvceV0f9YuNc2MEaYMmqp+ADGQEtKxZO20J9ISy pvQjkWyA1uaNqr7sjaMIoRIDzPLm8vImEdhVELtz6vL5IEAY63tFVRjwXBWHHNpd2hGFn9NoHL16 yJnVYSikcbgDTw78LqEswg4621UarHSwZwVKiSUWEK/PsyJ5wpYS1r1o+SgjzoP2Mjnwu/STvPZk w1DJDn0LtV+ENwgML7HxrpADTyNqJD+QzdDwErUYpC1GScBpNa10UNVJFJcDZ4gyvdDxEoaM7KAn H2yeTlgIex2Vooh7ZLWqAy7YWacnEF+Z3sj+wgG2dculKMtDBYmqkBn9nBOEkXVoOefQ9JK2pzfV g3NFR0blExXF5jUbcOzWWOg0ZMiM7MgMVj9tQcXaKbzstonM1UfxooETXIiHwh83ColBrSWoy8Pj 9RpfF4Lg+SW/mcyQhUbJK/P4OHc2gHsiapTFD4gcHftXbMA2THmwrYHvJfiNymEcNwYwS/YcZjSn mNXdvGYXG1/Kp3CP6oMDfU7gdPYDIfh9QHlOYH00iDuoub1fpcKpECrwvdTGodFM8cFS4rPxKO5U M/BQofHlwzIT/r7gxnumKQKVw4sI+cu8TIVWmzMVygUcILS2G+8X50s1hjkHHBzZehbXOcKlDQoC Hsjmqy5CCtECJGrNtXjURw+tL6V6WZE62KA2L5AgstoYs/L5vwxCdbChCHWFnXNoflnR9ATQ27RB 4NPt87T7FVHPwKDaPP0uoTIEOxh+jmOezt1Wa6ZawYK/EKznkBLDkbeDfdT6fgAs21444FIJr4mG LiIDUnx4y50oTRJGJ6lo/ID3k3ztO1G0LgIb//F3yoEFZlZ3C2xFlwlPtV1D9XnVLxOkYmymoqBc yFZgNX2woDyj8rgrS+MIYImSgXLBOVs2Oh+wXLs7j3JzOXDB1NWDILNVHwxTftawNIzL1vNBPvxc eYihkIJIU9kmKqyW7/4fhSepqhBxsEzCAM6f6W69CMJSBRqjr7wGx46B/dxgO0ULw7NHKrVUu5qX LF7deRJFKLDClAUgWgiwwtRgJxTWv1TSwRAKvDDRVfim52XUwla+W+/zGkFVBdIAgqTkO+/RCd3k gHCakO4reXmNnyrwmiR5ZokXujoF2NSAUtJpjvWVsilz2OhhNEYl5ufhUSF+eo2eKkA6zO86QeUc NEWo/zYJqSw8/TJBFXKzmiC4OjHGzD+oIPLfnB8mmB9UNcxixkh5hX1NHoqJoTgrUJDTUHIXzE9X C2/JmWc9pKDaN3hBmwoh4xyvmxuS5ZuBo/vsje7uDkvn0usEJfcIGSzXcTCQzjn9m2ADC0+/TRBi H58gJnAAdJTl8/MaMFX0WoT4tYI4/tEk1QHRvOtnpGnr5zVcwvoZxENPrh8Otn4W7CNrI2kKxQLG juirAwEwserSl2uB3vV9/UgftCNwt0H13rND6bgI0+L2eY2UMLlm6yyMMMCOTVbz+MrXOAnbq4lc nry3eZaMtw4SKc3C15Nqgul5jZKqMfF7LjQu4GDR5M4ZIEzC6AYYuRI2hPQyfj+ozNyom8XTz2uM VMWFBGp1aoDJlJRBJ0jbREOfr/68hkj10QU3DDW4Lwj9NT+vARK2V+OZ05fXwr94+KManhX8AzeY eQ2PsL3YB5jwN7fBLzPIFkBOFiolOUEAAdzgkqVsDxA06p22v6iU8XmNjnAA0TUlUdJZg+om5wYb AoWhQ/4aG+FpC7UNegwZH2QUmqDXyAibcsmBZ1wmy1w30E7pyqag8SAD1WCCJombu/DS5+AtFbBg JkXTQMZPVTYFg2h4dM5Znbm9zKS3fZ8g0jLSljXodm3WshETEZN5EubyeY2J6sOb1goCDn6s5jvs NSDCsV6YsdV+3VP3bH4Ale4BUb++6a/hECaI8gR087XBYWCoKfUm9z045kkQCxLkP5PvDJFu32JM 2F6DIWwxUfC7lHcg9Cpo6YkdxmJrBDY8n9dYqD7w8M5YaDI5zj5Br4EQTmi5prSHLV2HNwZEhlRs /WjKRye0lC4LI7Bdik8QC7Mo1xChOpHXdJIQG7l1aHkWwC08tCar/DUMqjIcQ+hUsw+Gu+wpEeTW JjTlgzN69e8zGvkhhDFtgl7LktUF5bOcrYSrSF5Eggms5/ykq1MpLTijaTh4vovA+NWvxjVQ84CQ JaYeGywD4r56qXTdK4SfuDJRYXD6ea1JYoI29eRxYdjAL0HnhV7BFHb5vFYkcUxaI67/qF2+fubI dih9XsuRVWQiVkLsDAKSyOkqs5RbFIF2i2QuwhhoB1jwAitxSBEBNH+usylHGfQ3zxlUSEfbFxhH +6bXUiR+hS3lpjl8MIjziSkIizshBF4xOIOm32JkFhS8qiR/GCVGYfSQp/yufs/nXp0fVmV5XcXA 8N53FEfTdedcuDv74AgB0F9KYxw9156ERi1wvNGjR70OxRbP85sECaJFJCGCRM1dDirXnpioCSFQ Bvir0TZb/WubnVzxR67tmqMolE6qLDeTREfV6l5lWADe94bqmbF5ojmarBUlgSnS5WSeMz7jMob2 P0SyVmpk+aKsfeYIhX8CkdXKpUD8551Cx7Oa7TZrvg2TGTt5TBkiTpwbgY8HZ7WBKDpFdyBJPJ6d 9k6gY5JCvFIf3vAu/apb9dQ9HurT1fbe+XP4pGoWV8sHv85g2gBzIvrt1LLWlOA+24toofV9w6Eh sG4wRZ0+6ait+KApmuCIKcnafDwIiAxX2SX/CYQLIUE2R1FMjbAQsCVbMcTB+ToqczoooE6Xt3on z/Fq5I2W5/LB27eIBGFyxZz1RKeT/RM0A6DaCPTEl3izoN/vYTUi0yGSU/fBEvshp9fzqkCfhmH1 uFcanqP/x34m6T2wRrlQcICrt1/GxSzhGPHNluot0EaTJFfLne5gELhK0GZijxtiImsSK4geIgSm oJLQbmNkqu74660P2AQH8FmEqSwnPlUZ+4Tun3feHG+oe61Rb49y9vg0m6UoukaEgL7IhZ4CS+vI rnyPpJ6uPsp7eI0LYMnfcvtg6Stw8chb5UsIZFeS3w4QS9C/oMafHdvUNPq80+aqaG/Mf7MPnCVI P4sgCxj+5501V61ofxOQDITqLPVOUhhhqxtJlzx3lPMAspRruFugt61JikJsHGuIrXnFScRf5/bZ cCd4WnJughZhJ9egVkDcF+Gc+zpcwkiDX/I+SY3pPQVDbNBSgtNRlsxw3p93ylx9jFIUY2MtD1j4 2RxFQTYw/VB4q9OD7JXWxQC05NVGOC9rjqIouy8ViCSJsh5JlBN+wliBpJ0zX7IcPWcSUmMSEFn9 cBr9tNd9n6PBSlovhHqfwfqQE0WJqj5k5+NBFLlvoiaOBczktqey72w5XklLTumSIEL/H40YKoBv VurB2YEwt9euo0gb4c4Jk78Gb0KeOK9TNFtnEu0OjCbWUHwGkt4rssXe9n2OJIZi1yIHa4Wcn7Zo bUBeJ4q01/7O9nNiqah4svZOlOOVZBpp9S6k5VryZgM6ntY/5igMtukAJmqXBsfCA3sE4x1yjnAg dTnuwvEWCTcjqlven3rdaJJwq41F6sBwKXZELVt4ykqERBRtC7eAKRm82xqgqT5H7xQ5BABd3K92 HcDV2J7CkVy9/euT8s6QYyBJO6JGHDwHDyTPUT0WGDjYbDmj7YWiLBISuBTARjF51RoNN37J++W2 yXLGTeaDoiRIsWWzSc+fd4Ic6o6GMjUZOmpb9+WR5DtBrpqXAUkPN0qqrm1dVS1h4QiBIAOAd34c l6ToTKX54FkbZP+BAjzpQiYTgqitBpxLRm4KGmC7RxKLj+8EuSpLWMhaC3IDK5yixPZkX+xrIZWf n3eCHC+379QfwqkDCn42S1H5eopTMcxfN9MpwlGTxA8rlkRtXEvpPd4GZZqFtSoWYX1YhAPFWbEI C9KTRKuU2ngbMzBC++CSdLSW3iNuGQuhtL81gPg+dHKv3olqOEfe+ryT46q8H7+KtIXn5J2lMOIe S720u+H28g1Xcr4ycqXYofROjWMEw3Skat/VecVPTm57lhOAtmeWTiwOV3P1HHEeAWKHcqdTdCR/ 8s6Mw9pnnNT6uINC7lG7hOtAXP+8E+Pq491oOw68I3hu+SxFIfcmJgIOFh5yC5dEpfS9lq2lQREn 7ri4mK0YgHfXfmCAi9KNY1B2F4nopvxHg3UdsgJAlS/F2fyb3mlx9bG4qncwVCld/hhMpvl5J8XV B9Jt1Wx4iuLPtTmKAm7qYhdGHDZHqShkgp3cdSUY4LFrjqJ6ttWSxHfj4AjAc+bkQbaRAskyud+Q h7FXgmrifs7upfcNAiVxB5n3abBCCaBPQhbN9XmnxFV5A9tKYlQFXFurHii9M+JYCJdH+q5ecEvL DS7mLC7zRS6tZuk94kaGjtY1z14bfJZOeLBqYddxge+A4iE0T6HdDFoqFDGettrS674fSuAHgTFI EBIHndxn18qd6KyO/Xnnw/EMnt+TNOmPlLzk9k6H4yTJ6Pp2RkratwywjFxBH1A/ut9D7vMjT84O zWK64VxE8ppIGYCZkbpe6qKhAsk7YURAjy3P3crQ6waTtGiVQn8CuErjTPlh6X8PYbDPObU/72S4 Kq7sV2kbWXe9dcl3KtxtH105GOa61yqFICLWk8YlULwz4Vh35uwQNKLBJwkdkZSpc71QmmqsqvRE XyBsOqruu8nl0usG4SSvtya9uHb14hjRi/lwwvvPOxGOxdvv261TInnfDnYUcleqMTUB2Jooltcp hShy1W4piM05eg+5vVTSEV3ZYHM08PAe0qwaKC0QbZpgL9YUU+6ruks1y3cO3LPZqEWvQWkJmsLs aCNp/LxT4Fhg9GVE/HLf7Dh5FzuKuCV/RkCymYDk7VQAcaXMmdD1YN4JcDfibhQ+1WBTNPdGKkqh 6/Pps+wuU57etYyQmbisF+KGzzv/DaXXOalTRWykDIE4RajFUPipnWP/805/YwZ+s1t25NBhI0NB cxQF3FNlkp7XDZIuEsLsCnhoXxrAO/uNmRsWx9hE1HDQHEHdOCMaxhydi/rccmjVdpSDTzaKphu0 lrvRb4re9rc5OhFY88EKblAUEpZm8vFgpyU/sxlZY3bSjbbfmW9VCuTnNJrFq9u1DWcwnyPfG0kT lzJ32jvxrUp1BGf17j54ctsbAKgqt41e2XHBMmLJFIWKdLWIIQzDt43yNlZsO7WbOQguQuEG6XOV 8nnnvPHAbl9ThKofXIV9iqJQu1EPMdftSO0kRd1G0g2rAkS0F+cuvxPeqlNNG3xHbbCdhlr/GnIA O4lPRpUM+T90PQdBCmB7Xi/5pNeN8hFybVY2c+JzCvBWO6H8JLzlzHcun3e22z9VkiyVjw1xa09u 38lu1TUocjfhRVTe7PQGnr26MBw4WLaQoup2Ytf/3C7bB9trJ4kdFGdBn2euxKBxnQV1bvxC/7UL PqZpzued6MY54m3WJaLX88XUntVaRN8a5fNOc/sn0JY1D+7SZ6u9B9q4JkQh+RKsvFYy7IwYGRfq 9JqhqLKdVEcC5tcGW0dtnVU1IZSEeBtYfXJNJswX2mAd6QLZge3V6wadpCXa5O4+6DhqUAVm2ePs us87w42p1/66+AHZb0Ay2xxFYXaXkzxvEyX/o7tPaqeHonjdcAlhWvvOb/OEDRfh9MGhEQMCHejz 0Ap0VrkWYWEBdHz+GvLbjDFJcN87va1Kq5X6AM0H1ZEGGuTMRdbkOwZbbTp4hEXwDPL7xWe9k9sQ HE3d/OOm/qRu6FZju0K1tjkMRvvObauuLMg2AgY2/7F99mzYeJm6XRPqecS6QTgWvXeQ2FgkMb8d wo3fmW3I/HkeZQntI+xSTlEmgLtMJc7tzpeMum3fGEh0TWeadyFFUTagLwBp9eSd7d6dptx388y/ ZDckeOe1VZOO72ir+eAoyL0r1PTZ2QazFLkoUP6LKvuodPY8bgyJsuDnndfGE4m0mk4fsMI4mb// qlDcJ/lxZj4fXG2pfW03HFCjpxsgRXH2ZEuy1e3Q9aePBLzHFctDGVazFJW2JeaZ2sg+XBzJST5q U1a7kW7ItWESNY9stwpHoioSSW3vnDb8qvRugry6DzqTOk8ofOH5jM87pQ0vWds/uX85cezavpbe KW34VmqepmGy5wBMpMcvJYsveWbp/G1nloCI/B1JUqrS2pq/EAAnSdtIxWC5ezbkZAM8AcSyUTFA 6dyX0qALwTujrYowBa9dauJk6kYy2qkAEFIJ/dyen3dC2z/dNqLWUS+hT7JNUgglkZAnMH3gjALm AyAp1N/O0/RsImqdcHkspXc6WxUx6KyhKdTNfFqSE9ISULtUS/KsMsIiN5AXmyZkskkV6q8Vve5v +y1LMShfxaCdc1W1AbiFzzuXzeoTNkkMAeD+kS70+J3LhpU0BR1NxVZSIqTTWKTL/WQSfmGySN+p bNZSRem/VR8usA3aAottknMmoReHKlKlVBHlFgvy1Zu22fsGZ7d0lYDrtoGzdDb1VH5y0nI+HhxK +bsgiQi99eYFknciGyIHYttSMq0ElNbZMZFJg+mfkk9Tdb+989gMWoeudk0+eC/pbLdz+TIlmXCJ ZrAJ38UGgQkcTXu6giecFvS+QRDAbOR/IDeg6ch7DF4un3ceG/Ho62uScCWPJ7V9p7F56HDOnnaV XVZpFyZRvWoL9w+d3O8stpvaVuCEbbD7bZ98JFO/Gvo3uTe4MMFoBuAyMLUybivLbVUieSexESYr O+LcfVDiNuBKLA/d1j7vHDYCCPtXwI336K15ieSdwmadUEZH1Usky612GPb5HKERoDkKA27m/fhJ fbCAuxNcgwYizu1z51dV2rCvRgXSbdx4WyCJd/7aTf+7CO4cNEUnLOtS9O57fN7Za9g1bX6FSSiw gx3rUxQCSWYREtmabXDi8mZb6iYiWGGT4ssojLe51zrw+Db4FCGEnyg9QkO/VyqJrLYbO+8nRkU+ 6+G2VlFU1e5q/HfqUbZenEwDPGpnFam1+nnnrVUZGj83G7C/fd72/ztrjUAmse+/AEn1wrbGcNgW XMKMDxGH25qbvn1wiETtOHso5H0O5vNmdEndwPo1iH3gePLDiDDSd84a064pINLyQVME3HQ2eer5 eaes/YPaElEZZe16T+ww2F6MigQYVWZrLGS0LKqLnZMrygpJC/HaqHt0KIv64EWkCvw/2XNA0K0N xAFpJSivsiB53S2Bwud3BFc/d1gZtfoggASYXYwoz77JeDwIIm8rkrUQ6JACT+RzFIbaaOafhCBf 9N/uBkeuEIz0Qht6gFpGUUmbbW2YD2cfbI7OPYWbX7yjjQoi1YYhvoHDQKLht6utrRaiSASRLNxx HLSO4MHOpK2dhYnHg9b/P8Q1UHioIGnEmijQJvsCNSSD/aOh5+YCtgG1jpYVI/t7oC2BUfTQ2Gvj YDWkBP1KAD3JD11w5pKO54mJOtgC6taun2st0H/nPtZFhh8HraOdxtw6jTYfj9DI39A/SKL3eokR PQqz6duAqrNvtUKNVi6jyRqohBTB0dcURRgS0KPOTZZV2c7jTlGln6nk8k/8DWEFkm0hSiNl1NSf 5J/yGj2qaVclbGvdgXMEGf6utn89uUiPwuxU/8lqYRYJwpzNURhlZxrY5OUIkiyfE/KP0pWAbzhe eKn1qKZt2oCZyH8OttVgXrSL0JG49BuoUeB0oiWYIFxc6PJmFVsiSHoUZc/O4GhLjxoVDpU1QJAX yG2cf8LzARxp+tVPgsSkaOX0xn8PiZASB0xpWpw96VlPXFtnUUceXzubaVyP4mxmbCfFlwt4yV+Y LYgeTLJs8L/ovXuSETieZOp4nH1zgW2beW2P4uyalPU3G0zQ4ERfqTPcgPYnHg/O7eTFNhYKaP2d 7n6L2JBDznrV9PARThZXyU+1eEGS1TgmIz2Ms5WMEEyqwYu2o3fArMg2hhJXokdopi4eanAZ5XPX keLd1qPC9qJKxDmjWd8GuECdnw15BZaBxslQ8HwwSbcXyUMJhJ15k5EeB9pb0XX2MhIdsrjhRlpe kUz4i7SSQkrkYLkWtBkbPESCVOm54dghgRpdMndr7DsUvOFTfQ8lqrv290g70dkX6SyVRwBu/WGc UdCmIEsHGOyN56P9djskWkoNxnp3v4W1bVDT4fuNCgko/oMmMdTHT4V1E1ZIEIyzjNTDWFtsLQqO aXCSRB/r/Bk4us/GzjijKt0saZFKr46RHjN5YIrwLb/ut9zM4pq3jZ1LefGIOouq4AMiZJvvOKnW oMfR92Xc9PeIG6lMlskCXaz5jwiG/h/0QBLqWQWiZOAlZ5WSehRxy3RwA3Fgg08UVFka6G4oJRFj 06XQch7AU2icXwcPanH2qMBdyUoCH8kHlZLOOTwYDsILGY9H+f+/7f8uDoLNUQTc7sptW1LNiPhD N2hswJKbNr6KJJ2fFJ1LutyIxM4S9qrq256LHs5eEixF1ZN3HJhqtEsoaKPZ2Y2uVsWXRMi2Ikjb 8kE1knJ+CW2ik0fj8f8LRvLcrBVuVfdcCmPulYVKrl5uY1zKchtVjFhuQ3RQbMdF5e0ltekpAZH5 KEetAau+RagNLjhIvkDcDj0VUNuZArlnDh1S+b5Bfss+0kkGqw9qJwECPuT6ViYej0CS/TsOgJ3m eELKEUXdBA6cWRpO107SUhaU9Gop5+EiwSMqb7NoDSfu7cPDS4JBepciAurcbXrdsiMAgW26uCQm zT2iqFuSCP8TdSPV7eJund8KjwdR96xfF1yjIP7y3TaiqLtLcgQxh5kvlXmVayjnIifi7HybERe3 JRqhTVfWjSjLOaoLRS/Ab4NyG6Op3KHnyhoEAmbXIEMKhi8J5og2FLmJuwXoFNGjBQiDSdL/QIo7 wur2Wl8LCfzW0S+/bYTAba6jmqYpRsFU28PuNLdHARNYE01SVNzOtDqDrrQGxiLM3vYmCgDxZINY YhPapFINAax2cNvMMwAlOHxHACNtJt0q+bGtLklhp5KFknPCdjwedUm+se0Nmo2peggwQiiJyG01 31qSWgHynyi3K4neu7ZaFHLT7KwjxedA0AGmCIS9cwXRNBriIyvD5Q5VSoBLkGWiCeYBAOBY+I5f kSSFKr4cVAM4MajgAA2CfyMsbFe/2JjCgMqSL/RvRAE3wBg4jVa5pxHLkGKS1BtL9my4thEF3FA/ OXOTuJg4eOP2HEh1AS8JeZ++UYBB0RYq4B2QG4j+OLWN/Sd8SXQckfg32SgZk9punKTzE9JBEqsr 4/loHf1TBegQRbz6ESMKuNH4wm02rneXHHTXj/MO1SLZbs88ooB7Mbenq7sNjkY+sfaJhgpbJJCB Kqz+g1xwJumsH0ij2ToiAxXfEWy1TbbNkEHsaLeLtMRl+oHcDx6PTqO71RhFrvLdtR0hYltFSe0q 9barOwvWfKEkFZgGZm4jQmzTl7kX+nhruMg2kCGQ/UICGFkXHZwHgC4dPWIk/hewXfW2UaeNUtky N+uraNPgOJKOipLbEYbaFyBpkFw4FHigPaLStgolaHh5cksCnm7+2i6SBHhHTVEUaE9qjxa4b3HA y2fmbefIO/c96f8TBWASToFFgqwlVFvSxZBOIKPxHVF0xCkyoiSjEQHbTn5QyClFLIbno/AofR3Z 8AYABtHnKAy0SSOVA405TWxHJJV1CwCtD7/6w0BbWRuaRTZYMjLT+aRKjB2UcoDdnpT7gdcCDDLh kn73GkPIEQbayPzTps8L7IpRrRP0/+wHeqiDb7nwAUEF4PIjBMs9n4PCgc1SGGnX9sSQKuK2hyOZ qx1I58bxzRZWt6XYssYdPGUDZFcYyQJx+onbi6JI7YSPaOERsa0WAAzS+bpRy1ZiG1MDWrtCj6Cu wH8cOxc8H0zS8Ehb/y3ItrdMMsPytmR/CGYmRIIkSRUAapndcjbSWJiOzFDZj1hbaj7acIGksFXs BABCWnMV3v4DZwhiPmjL3GZbsbeN0lopkUhsI3npdmEh8dA971zxeDBFV1orc801dAA8QJpRoF0H 54VWjdL2Y0VVAImC2rc6AOhbaorC8rbY/6Xe4XJt6YbDlu1ZXieqVoONurjoxf0Ql3nBtkvvG+W1 utpkTXkBSbB0luh6O78OHo+wbd+bDekFsWk2SVGgnahu38c9ksq2HYbbbPqx3bejtmbIkJSpi/zP Odjtf/Y8xACkEFk3TICT0loWklBUyNcKNi9qJMy4vr3FQqo+8Fug3i7gCiQX8Xh0bv+jIIWOcb7Z yIxC7dxprZjGBUgIbUvCloyqKfOHBqImKQy1OxttnZ3t0m9nO4E2mHgHAHfZz61P+v+Ay1ynBGn5 MjddetvoQGKDpIpCUo1CUiHMn9RuK9DYimrb+wJJueaI3L2h9oxC7VokZ3PdJEAa8nVUmif+MCjX oT2jUHtolwmPLVCK1lHP0P8h6xdtWchs0QwPmrETtDtwJq9CEkHbM2RHsrWdxjMY+K9WeUhCIBGP By3Jf3BtFG1J/S6jsLRNnE0hntyuf0MgQUzTiCXn9+rbDBJmhCHpNJhiNcgGm6NzlkH2RwzSgpMJ Kw1csLNfdNI/IRINKGcUaVei2khetkHcSFQ4qUSGGxSPB1Hk9HabaAKYoovXmhGGpLMlmYrXj+Cu MnwZ7Sv3l3Bfa4qiuraS2tzmHTwZodU5ezZAP564gOyjDq5to8BL2Q+frehto64tE7bC/vZAY5sn yEz1rHMisM6PicejfO0b0galtUZCgqYoCrQHbd3SzDeIxPFkQWRfVx6hLktqZ6j4V1jwKIS0cfCO JFTsCkU1sJESSH+covORHdzwPr5cuLbeNgJrqeLP0ggHK7GBTkC445kpPB5M0W20dQUlP/2Ra5sR hmRK0Y5u2ZIdbVeWNc/q53VCCUBTFMXZwHuhJrSHD34YnaS2p8EpQqqOHjEOI7if0VMJhnK3oE1U +4zi7JZML5IHdofSgZRZYfrCgvQ6YS+ej7BIHh7VbO7MjBV8mkJmpMhA8zLak6AAkuNbzkPO202W ZyhGItrfoNMrB8f9nY2ELAvT1GG3nBOFXBERnSlEGo6GvU3TKnrdaLcR1CYJCQ4CtZ0vJOWMVXM8 HmFt/qmyoayZLtRmRXF2WsL9Je//133vtVlu5g8bES2lFcFIRtG9RqiN2opWrQW+Pxtha4EQyDO7 U0IKU6Orfz2k/xXCSEiw6VPZrbugwcawT7FHW614PKJGfndswQEFtMynKCxoNxYiRx82RU0+k5yi Wf3MRlHapiiMs0lmS2Jqp3Uh7dBBgDcLnckWatEw2lpnjZ/AYqCjjRzVwUj+tlFxROF1YroGPR5V WGeHihcP7XNp4vlgs5V/0NpQGOnjxkcrFNKu0mt5/Nt0DlGJZE4/kmBAY5MU40iYqJXEtC3LXRzr 6GyqmdEflJ/5mujvQxwJBROCu/N+wqMzlfiOIITkiQTimg92Q0HAj9unLT4eRdn569Su7EDeVGRF UTYoFIiJxlWypUy+8v65VdsGNcLdNFaI1WaUjZa1D36xtb1OoEbkHwDVdVPrFz6HiLLPO3/p/hHU tuKCNnPZRcnfApCWVDHOzLAGTEGbguej4+gbQEobtlEurG1FcTb1fCDHk50/Upb3arGmrDhSt6P+ V0yNlEiLlEjrVSLd8E/GQsUkwZhsT3qVJsC1ICHxQ7v5i7OZet2gzja524jVBo2zS/nvvCAQBAye 98yfFZe0vzN/wGwnkKE2SVGgTafWMxnFVLZQUPWS9iJ5yqztXNBmxbLaMr7NzQerIHW4202wtBo6 aKku2UqCJJkz+m1lFNdrLYx7PisCkSyJ2XYibTgoYzs/gkKOE9jxJQMMyfqu+08KM2QPklYI1yaI M63pBdtWp2032Iv+b5lthaE2G0dpqPxPGogFSSe2wPLh1YzeIS57bDpo2uLk4vKxEhK70viSSBgh qQ85vR0pcc1dpx3bKTU8/qemneYWKyl7yraiYLtsqf0OkUaI3a6+3WYTPwm2m9XPpBCwTRW2E/Ik H5xDCpTWWKT+rTMQE7sq9JLBmAJbAYZ+jh9B6oRv+a2GlHrVgLIba0hQp16yPSwVjwcH9z8mCAia JzqgNkkhZJt1rhMuuAoJvEWcPzIvqr2z00SF7bisTXktKUjvR0H6xFwoRmUC/09cgBy50vfvLNmN BiLawtatLVQMxpcENhqLgLYqAcBq0PmzIk7IJT2bE1/g8ajr/325gRva9421V1jUJlvrRKo3JVku RVqX3JPJH6H3IucoVtkm3GGVO9jldv76Aggvj6QE4W8ATYBNGj+cywzogUdJY+h1f2tF1iqsbfWC 7WafwjzLKx7/ax0xOkGbhgoynKMdxdqg9fLYvm4aPArFs6WuvNI2uuBQiDzkRrIImbNcNfITSDZY 7+RFyZ+VGvjxk4EkqhzgIY1++Wzy4d5RrN2oQEo8pQ1WHzmLn/2YOtLC40GZ7R84cmUJ6QqR7pAZ WXjnVxe0A1HomaJbHwGm0aYoFtmWoB1baBwchQQn9E4b2fMDlLFweZ1lhIWz0LrK+3aQkFjrdYMa ElEjZMzbIBDSOdVEDjsTP/B4pI2Yvi426Aa3WX2r7RCy3ZinLSuFZGjDOl07U59B2JHtAtI7DLXJ 0yYI2gabowqGZRlqaEPzhSoKJ3jcENwBdgXn9PW1HXrdYI7I+++Nem1dmtFs+p+81tptfePxSIfk mx2h3X87kTvkRRI/mud2qZZG9JOOIyCPrnykCUftGDwiKDL1ozjcci284XBfY47Q6+bBVeCNPdn0 onCU9Y94re0o2N7sH/3Xyr2ev30kBpRnz9XPjtAjdKp45mgTRunQ/x3CtQWM2GM7E6mb+Cg1tpOx bM5t53vtd1pkFsBG4v0/VvXHOQ3JBRxHJyaG0gXuoQElOdxo80HYYnfjO6J8hFttMz4q9NjlfX0O zsVCGHD8G8//pUPCqJwR6fb4aEeRNrxGKEN656i48+iZo+FzBOclm6MQPNJJHWWSoeGWkDZk3zd1 SCYK0cz9Bz1pVQzMF6y9ht42MvhR0j+XD1YeWbPRkhxlYTweKdn5cTSY+TMZuUd2hB3RkV10TjM6 msOjo14vu7ZvV/vbodofxEXQBdk+2BQhlkh7yyNynKu+EBIJmjKxHj8ASns3u9vb/iquNemlxYFT dA61peIiFioe/4vwr44/6rXTk9odqpBk6bJxMqSKVF3NpuxZfKfVZlytHWNHKqsi/Q7WzIZ8xiYz C5y/cwFRgLRh9534JJ0oEtgIKWsSt4zvCOJH3maNUEgNmqJ0gvqiggc2WqiufeNH7s8GBFK9qygs aRMQCcNl32j0+iQtstEmUVytvLpokftX6EiHPrEPFzWKk2KzBTkBAm9UH524+AG4/Zn7ov0o/oTv +NXmh+U5DYb2m4Py4D/QFcDjEb3mW6QdZnq0ELMpikJscB3+0dYqVMXSKpp3FTWS5biKItzIVHt2 cL9dbxBstFIBohUgcpTRKL8NbS1YE9SzioqHj4XdYnzHb/Ij8CvzQRH23kPWh3Bqw+NRqda7/czq YML8YEYF03n91mk2CNvz2U06ROJ5zfwM+SwExc16PIUxdmdNRAntfBLaExeh5l8qiSMn4VkQLlxo RKMUA/3QChMf6BlgJa1tbxx4jhWajSGEw9BMtbecpXRuZt5VZyr5AX9BR+XECo5Pv76QKdT7SyjY tkQBLaJHUPjnTFVIh/+YUMuqrmafYm9IcmumZDbm9YaEhQ2cNykftQHMzgT8k8uzSXCq+xL/FkNt fEswUfRo/685WwXsskwBi2fm8xHp//a0abIBQB0QrXemQlMbpGEZbvNWSEJ50qptc5vzKGmkVtrO KQRr04NsSWJ0OR2ZZKSNDITC/2f/nUuMKtsDihEQWjvXGQRCrs9otjeOEBIEkWyCSJF+/4izt1Mb jef5mHBjTVHQPXL/2n2AanScGT5TUYUbCqEshl6QRK4GAIBznltttJLcRiKFhpFTXltkrnOwmRo7 gUeCmjtqw6gnNam2w2sLklk17/l0b4u9cYSTZKhUavJBgTewQU0skjH4/F/gNuIrQf8c3+dUFHsn Q253ByVDh9bqbledHFXkdHdfaHBj6v8U1ufgCQpotX2Rvg3gRKalQoWzOm3bzozg5rKiUiahFF/z i+dGWtATsUHoLYDmu74RfhIphJQ8MsncfeCQ7frsvrDWPeUYbbVb9HOro9wGifk8p9BO9BM91Nw2 rMS+g1dN0PXpi3Xcc5pXqmVBUSrjxsPXjd5vhTJte+EIVyIogKlKuxPyGgjmieA+kS2ff5+o+hgm CO8FJ6L8HOixPAmdg6SKTKeStnxJNaqwgqIM9bXuExUF4rOr4J27D7b5TgiAcsNSLTdNuuWidgKq GPV182j3RIcTsL4miKK6sBOym6ZUMWcqAWs4VI/T839xubNsHTjMNq8nUAqFSoqJkzrbDcYut81E Mbclcsk9qcLC9zb0bffBJgvGSydagJojrGIqRYdx+6FmlTYNvuetNBFvzK+JGKaUBuz0BmClU0pl QBAx5DzBeePzEXzyux8HwsZY4zmowric6tKJt4ZBA6/rXc7Xh4PYBnMGjPRKypbClJqXrd/APJ3I rDCZQSUlgcBHnXICcQuA3Td3ySiy6H1/k+CGopoPqsid5UU3VXSKB58Pdt8tW7L7fYLHTYqwTVNY /t4S4MzTp2l4vemkNMnrTR3RoE1TWP9eFAjIUv7RfhdI4ETgK5NijhgBDiVYeYw4h4y+Qe0y1lst 9sJRxUn+khSZ5iDc0qDyLZM8Rp2h9U27BAHZduBz1p2o0GlSGMGTO9hEQZx/Oian3GpBKU6gzIHV JFhplHQlSlKDV53ORQdPWjkE1ZPmsVxwEsp5YhkqFKN1ab4uzV84UuXISoOTD8qGsVDJ8jrXxOTz wSG1v5kUILnMdBWCc+g22QjxUpKlfLiuW3jKt/AE6onPU4Q6kWlJo+KEBp8nXHFwCiJ46cRRoObC sQPF2D1Ni9vE72hZkn+xm9QhLmvgdG0Cz7UntABgA3w+iM6vCI6OJ6BO+j2eYsPJzIC8P2WDVbuX DVp3FZzWm6kp5dBxckm3zHLj+YhygTGZZsvCL2X5DyIpRmkDOHCUFn2aSrP3jdA5qmJ2VTG7OZd1 0AupPnGy3MHnozbvPy7l2nDPaorK4aaAt7+mqS9bTU3ZDH050xi+msLAnNBcWG74oGkSG/QcuFVQ uPO/KCecUOyAz4LYSybMxV54DkwnXU6hy6CTg/A5yCfkq7Ry5fMRYuCG5VxODVDVeROY0HaSTHSv ZWKeEAraPHVKkJrCe5s+TyGjkr25KkVcXQHadSc/PpETwJCo1PWU22SNBYWKswnR48jeWzFZpRw6 Ty7yBDqqRxgIYEw0oxnMp88njs3ng2bvP5bcKELXR3QiB8aTyPhZ863ZC5plmngwYk0aLRd2xJ9t FwflxHlV1qJuJxbck3VuORIRUIsym9QzT2jXdXrxIT5wMJPCgtB6MsvhTXne8DwPBNCySato54P4 /F/2JWTrgXe303M8hbxKkgbTMJ1AdHxnt9IB20MG+UrduKc5NJ/k8QSFiTvcXh3c6QlmJlSaEsio JeC8R54MI/lbtFM1KnSflEh3yRIyzy4CAn2EkcxXofP56Biv3xsPt9iA0bxPVChj0rP4Aj5RNKhz AmpaFo834CJtoiI7nC6RstmXD57ldfLrOptRfZ+ItRGtQxjhlGRLvybdtdoL/2avXBGd2qD4aRa2 cLGgUuXzEX3ANx4ZKxvMuK95CsPxST+lVq5c10pOsVj5keuC7rnNU1gn3xJzW3e4YNTz/woU79Eg R3+wqRYFiAU9hCv/LkuHe7M3DqTxzTdQDLldXPGlUkCVl1grfD7KWzwg5yZrEKLsz0keY7/V3CzZ F1SpvvMQGtjOAyzWJyoGpFQJTE4fHEgImbOeCUil2hQARRAPOEfaZpVijvqfxCW0opSZaSucJw5K XM7NoXI3FPj5fJS4fEt2ZTi/btxYNlGhGyVuJlAHt8HkgH5wNmrayR07M88MVqJCO8pFYnOadOvi cHFyY0Bph9XNE2OwvQK9DjSJoCMM9JDF43Ro4JcERYOlo6kKclENJ3fykWrk7xP68/noxvv2oyhs cj15cOhHCaYMjQORlrB2IJROJuhCPh2PqgmnKUaBb9Esqw82Tee6v7bdMJFJDYSmBmD4z8Tmxj/4 cpJrd+hHmWkjnLSqxDfitjuxh6UtJzXi839RmyXaXYFkvfymHDtSDnWm7MjOgPw5oHCn5m2FOVyQ OgeWlEBESvtWB3m7BzkEOzKEtSRIdTJK1gvODXdORRDEgLy45bpck71wlN9RRSAR59wQ2E1ZuUxE NRQ3Od/ID4hCcs9cyETsJKFd9EUOXCmhtyX6bnVVqpm31+s6Ko/GcEZdxGYqxIMPbjzZ5KUvmzxU uCBuQB44JDAS2fIdQlWZ2vhQwHruvG1v/Cu8IGkHpmWigmdHDnWJTzag14x4PN/0C1DW+rzK1Dk2 piS1oBEJKLccwXog49nL8L5nLdcLPnCmlEYmRamTD771KhSjN0XOO1yrQIMAPXWww49LF7Gh9c/n shcO5klK8JulqArMpXQlTxJadbCPtiY/4K+uQrHgoK2npRe7U1bBDPotQjHTURGq3CJUba6Yk0N7 ysUV9XJGgSSPA4mk+ZOddMWl7UTu0KtrP1jWjsHM1d43iqEkwKjSSvoqrcxFZNfJuRqf/0ujGotv Up/qa+NFQTnJrcglHao6kl1zKGka6gDd3FvSDPwpkznmNfwhNljNoEJEZ4OQgtsBQDRqNGxV71AR B8TExJfWtPcN8BhZhDCeUF03OSmGMJifmqbN56PG561AcUpATaOgj81TVCMH1g2QjJ1v0aCki326 HkxlJi9BBRaVmCcavS3q5nFwTAYMFiTAih7LHtC/WahJ7J+JvZ1hWFUhCXT2nU1UCFyhzhkqfxqK BF4gXSqTlfOj6C0j5POtQeEFQTFpe7U7UVFQDpo9suF2s+FmEF9Ems3tvDrWlrLhwKYS0RiO8BMs Fh9sQeFe6zMLbQhhmA1y6/lX2HcVacSlY5oqXA5tKmXB1Bv9czhwQVXYz1D9/iyrzOej4+nGBhLP OxsFQhd3oqKgnNWNAgTmXVHbNauRD3tRk/KzmqhfGZknbSnLByeutA09BhZ/J1RKS1aVHAkbq88Q AMN9RU5GsReOoPQMyodOKLRAm6qaEL9oXFG18/kI6JO/o6gOmaN09RhyaFhJ8tTJm4dDfEtp15FJ tXMy6afDoHPoWDm2/M/Yy6v59vI2DnHAoxgK1jXReyEqAXFVAYpl3TKUoOI5tKzMVvYV4CC5alVH s7aK2YwieeBZKdWoJzJAODfxNj5NUVCOGjVaLY/dwH7W0zDMJrRr3fo0B6aVgOHwaMo80Dn4CdWB FVvCHkJscBIS1WEYQZ12Sl1fuIG/8K+yTFmejPl6Mg6r9KHsvvn8XyBW/YjE1s07T78bV2bBxGlc KWVvEljaNffo/eIyQufKTjGBNYgu5OChZkX7BnhquA3BK2CzcYfW0tl86K/kdbudXe2p0LxSvgN1 ypMZtQgeNRV6xoz+TgjVMz8gKBs8EDs8eHIpCpLcqQpJmp1gn/xwxiVhRSmUna/qINoBtqRiRx3u p/EMfkTVBiN0YOrOc2D74NKDhhWnCp089BHQ8Hm66IGHJTjRxCKir0Wpb2jzc6r2mR3qmYxzx/ID os33XwXL3sdzRoVh+dCOa91LUdNciGCSNpyG0BnYaFHFct9NvgLDBweQnR2ewPZEpjfRQN+UHm5E j6DGBQKlY+zzshf+RVrnfMDUAMlroaLOpbslP3hyb35ARGn9xiQKZPWUogIvS2g8INlaTCaVEpdu lNae5R+/5WXpiV5oZknCRtsCR0m+yGp2AJGz6oea3fndC00IF07fPVHkR5DixJ/kLxzcelvOw7n5 oNrBhAitHD9R2wzsLI2eqriclSv8X1gm3pmKIvNR1em0Q5yke19ShfBssX9mvudUBGGh5xdNpX24 mMSNBIjUFiTLrVMcPTfShak9UupDbfEX/o1G1gW47xdwP0/YMYTeOVc+n/+LbD/VRZ9n7+1n74Xl chpad8qviUo+TVgXHkjDJ6pCXNEmKgzNF6ubAM3YYKcUzCxKyhRsPLfjYIvhnFIN4T7FtCHfcbX2 97I3jhj3pJEtLq28tgPt+olhyF+v59fg85HY/vyOpFB8G/BY85kKC+ZM9k783AwQnOjMxioL7hcD BNMTyTZfGJsvwqFqLj5YiHBucuhYFNaBB7xEGxllABdSvRln1wVFqV4emlsqRDiLtPogUFTNbBSi HLAWnw9an/kbbwBvOKiAXpxdaG9Zl/QtwXU1wEG9xo2L0GDi7EZ3nF3ob9m5ljrslWzQkgLDNY+k chTlD6jYVHHCq+XzUxu8hKxX1Ze9cRAj8HxKiVQODrr3OtxvmcakPfl8EEyN7156q/DdaTfbCx0u uyyuuVNUCZaEE2H48lGhxXWbRubIgcUlRDPQ9EQO4YNle5AhqEXQTQCWZlZCWMBsRqumUZqQhBf2 5fgl0WlOAAtoXhwEHENx33rpghwEHpf/ZHu6Bc6XP7jN0OPSPEtVCKYGX3Z2GX1ObJqwIyzXC00u VYyqYy8fvMqyThqM+iMZHScBp0kv6Artp0PaIKNWabneUBwVuFwK2ECVIgnK89JmEgP5E2EzIOGc Q5/LdGWuCUWC4kTHYekzFUbnhG6Vdnkd2S2dEUgtt5WnILTNVKydwvIBZaw1OMRuoAzSCDqAbdbS eQ+IHK5mXOnjQsdSsff9DRGFDh6H5L4pA1LXTE1GKosfELX0vkEHOMp7+ZqnKDSv5Ctm1YFl47hv FlP2zWLSduhYbHYp0MGUneO8fk489WARTY0Z5JBUxmo0g0daeK7n65s+k79vRBJS/NTuoKQYMlTG MQckKjS71Ia45xNgxeXKXuTA7RKHSleL+Orvr+St9KXtSLrCrqbCl2O7S4WbzUKE6y6LXks9AfSg hArQ8iqyJChjDWKydrqK11Ot9NDvckqFZ9U7CJuBgJbqHme+K5+PCDDfzE4oobR1HZ1y6HgJ2Z58 UnpbQ/hHx2b0c6W7MigUVXyeYgwLtQoqLeQ42DxB7gAKz/J0AkJaasWwe9gQEgFu/cGwbHvhgFFV DPrbqRCCggVbcycWO5cFVQjP1coPeD/J1030JAAN5Fq6YJ/A91IdfHpfuWNRohW5eV4Ul3XMQFnZ RMUMT3aoZDSfvozmE7Xm9pJ/Chwfq5hCC454YFP1R25OJuo5sL5Er1YNKjLOORiu/FyqTGbqObX4 fFQH/naZzdDfXO3y8nPofjmTEBn1UVFPV+Cpl4coNJ3UEdhf4qyTt2O7gx3k+2TB4MOQ5wmFByll jyLdWHJA7jyJJhT4X6Kfxx7xJAeGgx1QJ4CTYswGSygwwPyvxENGGLQeL7XPawDVDBSFYpQjDmaf jmHZ1bYjIcBFyctr+NRAzWdRJ407+CStcdIK4KBQYDnhxmY3r6HrMQbTmPVwqRA+vQZPDTAdCqjL r7hfv2IIIcso8cSrePplghooGZqg8SNOaP6ZKetfnN8lmh46qGdAaI2Yh2PYZJ0H4b7s4kFMS7ld MD2QNaN7WvPhpsBAxvPKzei1bOSRWOFonODghs2aezosnUqv8yMxW+DHeTRx0Nl9cp/GcBYy4Z/X iKk9VJdh6vQIUVEv1AS9xksNAC+xzK9ZAetnWj+9zGnrZ6LjyQl6jZawfgjEoLaRDRYFwBYE81ul DJ7oIQPsD2gDABis9OVV0Pmu7+unULVgbMqDclDBFyGZWi19t89roNQe7LhhXPqEi4hPz2uY1Ey6 H8in5BXMeRH2IHw6Y6MVqw28BklNbD6YgZBIzcFx45mSx5UtlnJ+6s0eMHSefgaEBnAAW9RNFNDn NURqCHEoeTlJRuhQPBBcArGqRB3Ols2f1wipPWrXhqIG9wV3iubnNT7CQ5MqPONKgyARsnB7yPZC shfb8rfX6Aj7S05p4v9w8MtsbnC9CqVKcLztRlt5VHzJs0XR5JqmcFF8XoMjHEAyTKuUc+RgBMWz 7rY1f9vnNTRqps3wbDAk10M3GZ1Igxmqk/dYyfUG2tlFeEiitho4Wg/yTQ1maFLzIicpXqdHVx5w s/M8Wobk/DfcWKiYoB5GT3ms1dvPZKD9Ghadt13sPaVZkw+qVp7US/rjoJ5+XmMirAbDEAwxXYHL X90n6DUiwrmeWSaczYWuS70ejsywrT2H0od8LqIJ0v2ueKh+xUMDEnUolGGLgWKOEwfmexSXwTuj Ted7jAnbazSEPcZ2eE9Eo0DE4Ufo0lUoQYY9dlKGz2swxDP6e49BIgQxlU3QayTUHvcGFygsonvJ mGg+ISNw5BIoDo9oIps2gQNptwcr11ChxMHR6BJBrCPyEpLrYA0GePoNrcktf42D8CtQxLHntH1Q Fbef/HhZTyR/XqOgJsl7TdDSZKKORJlrKpBFe0yt8FIuv2cXlwRpvTioqTc/hV6rku3aEtC+WoPj wlOhfTODoNRBBAY6VT1jZmonc/D6baEg4ue1KIkZYg3pnEXDB34JDgZV85F2f15LkjgojY2hJYRs aI7se+y1HollW6XgZC03ZLTV1YlY0PwvZeW1GtlcnWDjsLLhNsABZIK1M8jjAFugbFIncBznCCqS ib7gOPo2vdYi8SuwantSyuKDDqEyuvonZynhFaN7rNgEFRVtiSOmWhojxSiStnZFucKECOfuItp3 EVF5VqzDaJKWiaZsH7ytOyEjCUQz2iXgp6hdgmETmw14kWf6TboE0SqSCXilAUitzpRFHZi6ged3 GKCwRhtt3llSA3gDbOkb7Z1Jh58mi/v0LKR6Vfdmuap7o3afpDCgngQHrHSHi2IC+2kK33yOJXrH Leqp/LBLV4lHVj+XXfjPO5GuOVOlqlzLwer/g1rVqAhXPv6+lMreX3sNSKvx7LV3Gh0utMGFpCOI 0fVqfhr1Wb0EOZSJEOoVzNEWwlIsMQ4OHDxnEXLjLXeLc/ZQoK8v61v/ABbtIdEQZjeYok47wi7u Rb/ciznpvsJMa/PxICga5TuqRnY2583KorCaNjvnh55P9XFdhi99WiRwSR6mgAHBid1JNEz0ttJw sZXnhtsUKIJbLUg+3Gv7/CkD8lklf8k3CwD+Hlk7aHA05q/D23qAwhDDjhMbENQwsp53GRECjVrR Xssn6T22xg7hXiMMRutouwroSQdZ/+ckIcy2Em00SUzqx5Ct5TBIAKw+dz8v0QlbOlHSSDLABE3z JAsFYgnttkamKo/hvS/VD3ntkTwtZGWtVdQnlLQ/7+Q5Rlbf9xpQQj9U2rZJisJrsgVw8zsaBy6d XsdmRKYDKblU+jt3rrlvU5XTbp03vs4kAJ4Nx3L/IkaeVSL4H3S4ymWoptmpPehI9E6dw3Zh6jEF GpzVygiIrkCzxko6ieLnnTnXQGT23cZiGjreEwGETVIYYgvLnCxW/AddAj1Kr86C+atJimJsGEQv GaLY4ERMmDWkJjviigS3DVeVg0/B+dL9ZGl56nXfJ6lVHUnscnMwOhjoebzaZt6fd9oc8+D2NUng 7oyv6z+KsidFLptYvUMxZLkogJatEFLHFbGIwmzhc4m2sMHzEFRCuF3Z2l4DmFzagCxsNMCyWr9U +tn1uu9zNMhVHbPLBZQOgzi2C517ccqkzsejKNKLIfxvoU4IPKXN0XucDWJho8tOd7O9VEzzo8CN svPfnt2OxEo12SjQltxAByfeBu9Cnk8/y0rWVg3WgUs0emy/wcxl3pKsgJTvcTYCwaYeyPDBaKon 4KKOZ+0Q2YkC7V32VzKbE8Wtbrr/zpXjfSpJhO7ZWpH+LsmXipBYt55ubflOleM60uTU5oPNUQce HkuIIpd9TXo7t0XHGzrWg05y6/tTrxtNUqXWBENuDjqQBtCBsqsEQiIKtvNTE+HNBm+JeWuOUahd qQGe1vW2SnsOz2h7usbfe1lV7Z0lxznCQVSKHFLL45AKysE5JhbV0gbt3nFoAxiDnB+odGLhhVzG Tv+8s+RsJUB2j52Q1ozHC3uak94QSXnC+s87Sa49nKYhyoC0pbbfbO8kOZ/aopqfxUjdS491XGYF AcK8/t85crYkWXDdPtx8BIJfkJRoZFVATRCMJjjNnj2CgIZsdzuRsr1vVN03sRiCkohRVV5bdxu8 KDpUUN5Jcu3RtDrT1FgcqTCOvGspKmDPLGXCVG/5qPlaatLf5zStZgHAO0XOqoOorAnllh6UWztn OAiRJIUnyK2gFFcHNZ+EgBfMTUQdLab3eDuZJ2GZkt4FDV/cmnlyuJRNdX993hlyDLh9NYkZUGhz 032awojbgsnpvju5OsgNDn8P13kbM/WdH8cQpmmvbR+8UQSWD1gCmKaTCdDfHvayoDpju/80oDOM qCMNlHd6HL5lLzWJug/WK0IvhxC3s4s/7+w4Hmvf0SSIQqPfYPKdHIekmHWkqtqILAqnR9yDqptc SzNty27fuXG8X6nL1Flxy/2bGwc5WYBGG2B+tUt9F4pm8L07W3hcmrMZOL1T455+GuDnNqhOAnFo 9YtGmp93YlzL1wlkXN39Qcyr5iisZ5NNgVqPzxFvJs5REverqVxr2e07La650HUC/c0GP7vTmig5 41hC56BA65f6jWg2gANUZtvP4b30vkGgRAHemiUym40XB2XWVZgInchlfd5pcVztXrFlhwnYpQ7s hs3Se8QNUVgx5tOtk5TlpnKwpPCq/3QyxTsrrskwC0Ct2XzwOsmElwVNZYAbB1wBNQqYwaLL34nJ fBprS6/7figt6uu1Rp4zB04SwChJ+rJj7c87KY5/rVdtqfO14fmX7pEURtyV1MGSb37bL3WQooEG QYLyno6k94gbK1lWckg5NDj0r6N2N4lAopPjYH9pYEFN6GBmhOCeuhV73WiOWK9liQM4+jNj3CPA Cwgchkr1550Qx9LZrQLI8OnESu1Wk975cJ7ynYVS737L/VEET95hG9MNCt7pcKw7ozHSJA7THnEY YHWg5oyDGzyHRmwWkH8A3eHXlPi+u1wuvW4QT7LU1ru80p19AOICCtw8njLfMSqVrO/bDcBBSPH5 JEVBN+FHABfd2q18CulQMOZF/Q2vb79T4VgqYYqReLtxsFJJZekI6BkqDe1u7EKUm7H7fmgCbuq7 VLV8J8Ld3dbNy6Ha5XB+zlXSlILJGp93Hhzri7eJRCxbB8ek+cH9ToPDQpLD9XK1E9p0e6e/N48A cnY/+XcW3C3dnhRy+GBzdD7xbGL2RDPE9QpPrbbhOdonWU6PuBcqEJ93EhxKrwKJ9D180BylrnfF Ui2fdw5cexycLC8BdRjqJTZFMVpELlf1BkmFFTcGSemeSLV6XvLOgLt5CWD/PmiKKlsAi5V2eFwl wPG4HeFHgXYXKuGUittaw593/tudopzTHVQmgcFYNnkhPh5stTS/8lv8oefG9QbAO/sNq4hZSc3D Q6RzQ3iXZLTbJYE4snbaO/ntAo6aqiUcHDAC1Y7Z2SWZJ2fYgyTBDfMOZuB4cz+zm71tkLmRVjRx idsgxAj8rZax/srnnfdmGcFXcRtyvPtmt++sN0yRIH796UYmu/BBvEF7WZh21ncBQX4nvXGjYW5g jOaDbbSzCfb5N6y2TUoY0nN3oT03kpxay+Mkn/S6UUKCSHtUkknYuBH56pxGelvgrMrnnfHWHiCk wkiglhqoLDZJUaiNXwaYvuzs5SZwdqPFFaPuwqa2e4G8893wI7HONkcdPthWSycRHJ2lvwyX0VRZ 2u1A98E786dc9DFNKz/vZDf22nipDZ1JHoOhVdHkSwzXy8871Y3hyXeRpLJ7dfP/d6ab3RLgQ/SL ZC87OZL9cSiAK5XNUFTZhhcuqNzsanPwZQQT+TaoQDwHGt7mpUZ5chxu6QtRy2TkneeGi5Ml7Vql 01GHbTXY+fYl1nLnOwaX2s3++W7Aaszu9/47x+1CI/BL24F9fpF7p7HyJfcmkHsZQr5T3JqxJjtR RTZcsD8216Zy3rk7T3pLADeqVz8wyv2hSpGzJonve2e4NTHRMR1U8erLmlVQBytVuciafMdgp432 FWaDfzBT8jbSO72NsdEibq25ylma6Rbb5vZ7n30TzVFY2FbLFjkQBqaIk+qCQEECIiRmaaNrBgrR YAZAFbHglnPbHQKO37ltrN5zASXeaoUSv9whE8kymzInt+ZLBtfa+M5qIdc/0/Io+53Z1lzGxGRh qaQ7p+cio1XH+ZXLAXwntlm+STW27oNda7gdG8XeINk/0Y9kXxuRPfZZRZQ8bgiJuuDnndh2q0h1 yDMFF6SA1GeORmJYOGbm81Fae9u2IjVvmNnd/RbF2QzPgexLt0nixsR1juaNJHA9bJqi4vZ0iYDu gwNJGi5nssshQHDijT0vUxIt0J8qIImqSKS1vbPamDyp9i+fomU+UedQ6s2gNuczPu+kNrzkPz1J vFNf2/Pad04b7//8c12JljQV2iX/5S+KMgBtwETGQBLm/hLTreuRqRw1Qy+PwHVgISmMgub2WZ4b 6mqUVbKlNGhG8E5pay4PW+qQeHXfFuzMIjGdEz3X+XlntBnq9DmU2GMcKK3bJIVAEp7cubLBj73X YDRBCbhzJytNowQcmvRYSe+ENruccRzxduNwW5L7bD+A71EgAe2MTiHE6qIE90PXZqsiEX74eeez 3SDJDZyXywbhxJcJH0yDPu9sNuvWfcVIsADBpWxzFMbaFNDFweoLiax/LaQ+Xf4NBm5ikb5T2Xi8 CbYlecr1yFMiLT/bSeoJ57frmeoJHQ7TAOF0eC2UJ2fret/g6FanrYkc2RxtszM8ZtVLwmoPo+38 DdCGbjYVSm2S3qNtBJLkJBNGh54ksqb1404NdjohrU3Wb3vnsVlPlMDR4YPXI3eGlg+dd9aC/t9a 9LgmPBINZgTzV4552/sGMQAn6SzUOwiSNCZ0HRkDnGj7nceGx9utIpFuClrOrp6TvPPYmlifOK0f LEm691sb7bruFteXeKexMbMlzVZKOBy8KznRexyk2cLOcZgxT0ENB8IWuSP7V2qrCsk7i625fueZ 4O2D8jZgb4pASa193kls1jx4ziQcub3d6v87h43R2VIrclqBBM7BPy4HOx1vM1Bb1xyFATd1qttg 9Z+DF5HGArxNPZtz8tDEHYZYJGtAVWg4c9RAEu8EtiZeFVkQd9AU7YQz6YdkiPF5p69x29ychDER Fnftnra909eIACgiad/Obeo+R2l7AHCO8mFg7Xf22r3bxiQ3i4PNEdhdY0hToiMrwUTAmFdV9/aD fNbjbS2jqKw9aMgwEo1lR2oPAgBO9ayQtPp5p661R2JxUMsFtfw+L9jmnbiGp5KEA7eL3uRZvPRf 6bSlUJL+Trz+YyAJ52YxbeXgiKQEU1wIDCGzxflU5T0LQGChfuejDk8Y6TttjXkXkUid2lzThYvJ F+2scJyNMz/vrLX/QW1hvvuo98yOou2e7PJ3OnuWMnyT/qtrvGXgt1ghaTFgm2KBsACwwaYIkSEs FinxluBFTTb7RmUMMu7w4HKXS+Dw+R3BKuIpVGvrPggiMVMrbADVljMeD4LIy6upCjjP1/Z7GIWR Nsv+fVw0cm1F51KByLaX/SlerVUUVbSTZBW5LDRcYhaUEEA3Ai3ipCSAuMFzDusKwAY28b2rrZ0W BdqL/tZjkSXKwahrJ5gvWkZl4PGg91++g0iQeM4y8nJtjwJtYPRQaLOqI9SWk3P9AZL5dxmBWvMe aCv0QLm/3MFrSOlsu011KfxZeyUCJCnn0dGuVbd2/Vx7gR6yH3mndbH7+mX3lY3GP1FtbfPxCIvs FW2p2+BSq8u3Wo/i7MGStojgklOshpSAciCx2/L0cIGNHqFIKL11/jPSkDjYHJ0EY6C3gkvtXPQn W+Bs1orOM7x5c6LUsrL/ZK8bJCNUMe2o6dmgSUoIAgi1qScZ6VGcnf6BIw3kQDP7XuthnE12BDIQ h5BQN0EQkjZdfKsh++Wl1qOaNkRqgGbnqcTB9lpKwP0TQ1ZgRM2uzzmlYWED+yqQFdL1+pqEkPQo zgZT4IRF2mwJwCbVNfai6AL/8lbwfMCEXLc5woo4zcph8uMTFbIhJSnVLKo+sTbdtYltG92UzgHb BvoF53aPYm25pP8Xto1Ye4EJliibmBZyV9L9oNaJ3j/qh6tdcBvpYfiWKLWV2GsaGkzUgAISRADC 7xiPR4xRP5UYV1fW2m6jrUecyCF0W8/TiiRpTre4TKN6kSTBpoEZSf8dRVIru0i13i4S9gMIYYXW cZNpcpW4+dmcMBIjt9nVpHi99ai4rb52r8RJ9IJiJjfK3k1Y6hOZ7onng/vtViWrmWGe/38jyR5G 20PagM1nCVJPtunGuIp3GfwEraWQGDkUbT+DxwALUlWbeduJiTdoKcAkQ6JlIcVgBOIHE3Ve+3u4 nUhthJUAY4FW4JXNGPnM/qLpNIDYG88Ha+lyAFgBAF185ruUwvo2wTaMGlklAQi/m1B+kqQy/QQ2 /Rpxeofh9iazLa/lg9fbNkoXgK23ohLApH4isJcQsCIX5Fo5L2jf41uiDUfIbaWDTisyttHRNLIY mbPVgg/4k06izlM/uWNxuE1/j7mRlgiNLIec5x8L5mnhb6AwWaHxL7dcFHNvzlNevfngJTegsQoF iWAYt2gSjSLAgGM6qreZKtTy8aAiZ49q3FVlpCq/1OpaEXuxYsX0Nk88HtFs/ZZThN7BQ9h3MUXo 7U6EexoUDKZGPhEZ0sgvdbpGPqJz7bgISaJzieBFDMwgK9HbA6cR+rPn7Jj1zD2QydDfqj8sO53U cPnhDQplxZdE6DYuIllUcFChBNIKW0ZUi49HK+k7FKAU28kr7xUXxt1NHdvmxds06SNP7IHc5Tf/ CgDOuOOiCvdiI6A0ykdxsCsO+M5FEysyk87vX2idQ2ZngoI0BVGtMDnIJe0RlkSJW0/CSSbHScKD NbMmUGeZeDwqJ3m3hJafCRZD9Z5LI4y8G+81T/ipsOE9JRRLvKdEY0bM0ohK3AoEKDVvg11x8JA9 dxLLSRMa2p2k7RMFwtnrXMVAZYtPYhrdI4q8cxeRZBYfDCiB4K6q5JbxeBB5Dz+6CaqgMv7y+21E gTewbFcOgfdbv7ESZQvMlJjihaS1hwVuogCqnAc5OOD2bADgbcm5ReETUf7ZH8CmLVo9QkvJhciQ PuJLImK7LGC6ZH7QzOVhOyZQdPjCgSx3hBXuJ1b6ke7twEVikxSCtynyU0Vtxz+Onm9vkvwDrqO1 HHAzogq3XAPIWMcg5TRmcNAhLKy2Z9TiOtJF+AtUqkiezx03OSHaGN8RQEmbXIWmDcPDyfOhBNWf sePxv3hJMliHk1+96yhEk/T1UP7lGfvoR+Tlldsi6T9stSjmFuDmmg1mn6KzfRahpVJoKVDdIiQ3 AxE4wA2qTwCAkhq+I0JKCCJBoQ0OVgc4d5uxScfG49HNdlnJeLnzo/V8kRIjCri7/L/Xfk6j7PS2 c3O4U3pa7nc2ooB7EkvSeLVr8ObtWUaZLBUK3QLxia12Qrgt1fA8HxGSiS4yviTqcOPan3I0gQq6 AKFQplzmaHL+BDwfraN/e7dnZXQY39gsRQE3SvVMS5KTt+bwAymnbeQtnFiusxEF3It1yTLqHTx5 aygnNckBr3zyZZQuW4eANUklc18hu00JgBHCtkm2qX0NH3T7n/u5WSFgTDweNdzuXsOE4pbp+4Jt RwjbJuYGbX4nb6W8nLxFwQxVAtY2SPKIYNuFmW1bvN44eNUtg5vMO/ZkP/38RZI0R9G+Q+8Hyf9F bVe9bdRvE5mU5e1Z2TPSeURyk6W3I4y15zfBDfWlVm5BaUTlbYDKsxzMLLt1n0FU7tu6V/80pb8R hdqT/LZWWbtuKohldpKQJreuZVTOPmlZnaSBJvww81ObItS38B1ReMSC5GYEMAg6E7itQmqB2+ds CTwf8UjG915DUb/N7lHkiELtIb3Wkh1IWrKTSeEz88i5Dz+RwlDbbOB288HyESxHNEsSo8gTUKKH tEC7OVsOKgMVZve+2RhEjjDUblZQknYdSoFJ3C2KwGB5oOSHDwhqAPUbSwomF7xCfJbCWJvC29k7 SGS4eaGkbANyoVPSjE46who3b/80nsGztgkTT3DSwHADunFyluAcugvEKAnbVh+Afhf4kqhzS3GF Kk1bbBUuWdisShUUap0Fz0eFktvf1n+8kAxf9Z8o1jb5n0VMBNWSc/caQIU9j0S3wbhRRjJjib8q /OPywWLtQbWounQm7T4QDy0U7wfDPkjM3JYbSbczRJMUwQBl5VldTmIBscgY6Wz0iseDOWrfZRKk 33gZn6Io1qZ/RGGy4Cp/ddgUodNNEW7gthHacYpCqiQ5EmVTzI6Dd7fhPQbRDfJJO4NWgEngKgP/ Gooy9wdyu/S+USuAt9pO2wfl/wn+lCRjnU/H439iABiXN/TXPZCcUaxNDcRzeha3mECh1lu3tXqU RKtjyUjFREnVSMjGzl8GVCfjPLutSXMDfXtU/xccStAEaOA6AunlYpGUSphhlVv0bVkKcuCePkGG AUohnYvHo5P7liVZ6UXjWMLInKUo3Ka+OCT6rzJJS969nQJvMdymkjpnKSxxM21rkDqxwSMAaKfC LYGtSfixkLgFh+afrtrJ40xJfNsMwSRNvC1mtmpVqLp45pkhE7idePwvSc0sV/kBVeQ7R1G8XckB KKwrcyXReVAowE2dVN1uMJLiuT2jeHuwzFY7txsHW0mgRU5YrTAESOig4EQCH/BnggACT8+rlET0 9gxpkkT/Z1GS8qUkoWlFSdkzSb3i8aA32b41NyjeAjERm6O4vM3wcbd5I4DpYVIvxSOAOYohk2cE JqFudG+CJbUvWNI4b4+SlsyUFuLsRZtFXL+LUdUTJtGNckbRtqhIrbMIwMFIkpXe6kjox8Djf3GS ybaFxkm6yK0ZYUmoQVGkska8zYZDkR9IyYPtXDySnFFxe2X1Scr2wZfROVzr2kktblIbmbaBEd94 yJf9ENuK3jY6s5m1KQiYkww2FNpQH5R7WRl8xT+Tth+CB05mfcVZZxRsW84mW2oRkvqts61niobL 185Q+09RNnkiGrwzCdrOyspHzv2GfUFI0kbp/UTXUBq61f+ttw0gSSrVirPVLmcL0JtEWhp+Cjz+ F2or69XOlO67iiIsyRSRJN/6SHo423l3Z9om4D00RVGoPbIKQz37YKvo/FInwIWKNPpIkLpDCak1 OtABYkzlQa9qE94+o1AbdE4UjwRMLmAXS6S1LBDPEfScABPP/4VJkug9YVs3QAr5kV2uSXk/RaTh t9qufqtVCGhoq4WiJOQAQM3fB6+QjLOPTobSyI+sM0FUg9y/ZPA/MMd9klbR6/6218Ys1Qdh2/oG 7pkZxuY7/hVEOpdkpMslWVGcnaaRI3yvAR7r2PZ2bQJzcQGgFaFJBvdaxQ6ywffazgCcN57Y5wKT /DEEpVDCQZ+yOJrEuP8rRJOwPjJJQ9ZgHNI2eB3+wEUWj0f6P7fQxm2K/9ulX89JCqvandm/DmpM UoNHtU3SXt7bPtFaskkK4SS9OovkkkkE2z4zhoiCbGQceIuNtg6fr4G2NgpOjkqiOPoKi9rUIqk6 kCrwbCqzAudGr7CzMmvB8xG67Z5I7EbmbQGaJinW1C7qZ7vhZN7lkSS5yi2gftgkxXgSo2zxSJLA bONuO2dFmVuq0YAoJZZI2D5kdxrB9Q2P1k/DdwQxZDKqdvLBcEm7So+knQsOj/8VZ/OER8n4xLI+ RVGY3RVClu5EEsMjL4nbuNpWq64ktULUNtcRTKd88O4/ilRAkxBrOzsBGWezofvfCeb+0v8jum3F VW1ebJXUJKYiksc4i/IcDJXpxcn8VxRnfyVseA5Z2A+6Tz5Lobr2FpT0NiNzm9M6toWcReFtyjCM xApJkk1WnISSlvyIR594v0CRlG1tVEgKjyRAoNDdBmo77wduM/W6QbGNCkBVGtLUONGtD6yCMMkn vc54PoqRxtdSAt52luJ0mxVF2gkXOnIAK0iCkV9sKe12a7akkmkpxYIkZD+OknywKhIUPOGT14iR mFCKyAQloXYP6soPoSXmc5cYSK4ISbKk2DpK9kE5W4cKg3K2zpeMwFvf2Hb4G3TQlGySolh7aSWl 5t3I1ru5aZwtcLuR6ATYJIWxdlE/u0wfvPifJhTONl1HNhRdqSKB7PBnM9DB8rEqEhy8+brBHDH3 L1NNyZmNOQs9Ev6i6AC3z4qIkn2NrzmqXEi3+L+iYBsVPXIktvARhdJO2m1VZG06Tw82SDo/6ReV 5H7+/uKDk0k7dPIoq3+yHZqas0AC5G0+/xN5bc4XRTLtfX8DSGSd35ToECKpnZhpyAGxVDweHNzb odtLLdt6gtlbRlohU5JQGxD/nEkyp0u39nr17EcdJt26/ihtS0YiuYwEpFs3ythpUScRyA1K4MGf rP5sBN24Oq1ny1Y1XzcAb8skuEtOqm+vIp3P6Vuo28F3jHr/38BkwA/7fkLJ32mSXUqkTcQt69nW 3bMzSTqwcpqjWANQFW32/tO4vf9ztRXcbwSUFkCPu0ptyPc3ezQLKqIWJg173V95W0vp23Kkza6Q hiPA/ewEPB4tpH+iJOrbAW2gSdpRvE3eOsTkHeFuoTcpt2ncxA2nhjTJQ5okO/pljzv4kXT+ApmV Q2xrQuY30266svye2Tt1H1fWtXcUbzOLAF1x+GAVkgJ5WEY+aeHxiG5zSQAsXbKKdDfbfg+38Zic j9wWGLHenaN6bbXqXUg7ltsW4Ja1fw4eAHRIviCthHsEFKtgZnd2IRI9qBSfw/w6TSdK/+8Qvc14 u0zq23AQGOlkfivLdaUMPB5cbfkbIIEMtc3b195RtA2AwrnPavNoe+ZLbSsybutUJJkmSLbjaFt5 W60+2BxBJeHcboP8dhSHCZBo9Jju0KvMOKqvza29bjBHjLPJ2bNBidtI1rc658LG4xFJsn/l/3mw Wuxp2w5Jkl1pSE621xpDDekjtep7rdJdmXMUgkiyCQBPH7xIci6t3cXbXnD+22STnI/PP5PVUIpI WRNp2utGsi3MRRoZNxys9N+bOkoQscDjQcU2+fWPXZo3m07XIiGEbacirZbqpKRh+SzCGvYoaWWD lrLmKIRtz6UFdAev/J8dVOiDgPPoLBq0/+AeCZsLYv7mLWoTdIXviFIS4ZEZawNsKR3/Ar2uwpQE 2BI8H+G1vBnJHA0us3zOJilU/2tyki5XlKRe+Z+auwtt1SvbskMQibqQlZQbDl5I6rBHBv8J2jYn XVtD2jaAOdBGChNkoG1/2yBCymywyVG6XkdpFEn6lqEWDu3QziZ999kAc/3KR3aEIUlVlf9rel9p fO332g2QruHPDqX/KEIG61ofbK9BFBnlJOps1XUiwM7zCBgwSCn9oGDgTe3e9ba/MW3h1e4Dp+iE E00+3+cb+HhUjvyeIrSK4eXgUxRKklAdka6LrpBU9rOKxt1qOIY4RTGGpKtAsn2wljaQNRmTLPgo mgJM7hARNbR9cLWazCbtifEdkfq/KiNr+aApOq8nD53zMRuPB8nI+J4i6ON38sk0RXFZW0fQmD5F 2yrcVDS8vC26nSAZ2b+H2a315oPDRzcEF6FNSl37enIy2pYn1kbO2kP5wQEke+ptfxP/hyy7D9po e9G+GwdwHXg8Itp8V/6hwjr7ZSPtKMpuutTG6j9ObRvDVxEFiCT6x9yTqyiCj0yxSDtt7jncKULj CyU7CCSddVRY+V/wpKFgFUTl3GGbBdsdS5EQqD0I1uquUVnPd4zBRAKAUjwepbTfUmTQHuwPeFRo nddvXVo7UM/4f4ZJKgZnhwaN5bQDzDQZkacwyu6sMGZW0TgoXVsLPBvsN8q1nqwH0q+rgmt7Ilak cRWtHfjDYyWtbW8cMEk5T/AtAxYJsafMaNY538w5+kwlP+AvEzLmwKhTlMu3zSkkSm6GkRAbNZgN 29yYqTplscHYDA046banMNZmO5vyEDZYYou69slPBpH/aN/uQqc2hFF7btL3LwlwMdbGt0T4CE0U AwEO/BaAnrYM6tbMfD5ISdrN/1mTPOfAHOOZqNDephMhoRbAEHHSMZKrm8otsG3TZBIYVIZN0TND hZqbHLwCgG7SAM4EhmQw7pKlHT6TCtzn4/LVblmyZk1hhVt7TtYkHdwncffO7Fddcid/WvyAIFi6 9OQsuTcIuKzZ71yFZW7aj0JMxJES1ZHJkA93sCQtjmyuYgNJ+SCk7IND3E7CdjJSkLbPCQivX4m4 g9h9lgUOJ2Cobwu32BtHgEnhbsnD5WDVSfigSOt+DD4fgdzq1+4Dn+PkuelOVBR8p6zgO1+f7dK9 0p3lUEK2hCaKuy80u9myBMjNB8/izk14Fj2k5QBaRQduE50kIiy6SNAIt7pSJrWUqjHvvweK3WlP MN40COi2ITWinwbuEimElTxritsPemH7a6bCcnfR9FQTBQK9s9uJPlUo2KwtpeInelzvLkri7nBx XNSVICbg/D1KHBfF73826jt5XnppoeY3vyVIeElTpsCpDQZ2A0ScAMoThfD5yDPxxk9VK/6HEj8+ Ub9KlUBmvthEbRofGd2tLWueJFC9bKKiQHxSyIWsLBv8QIcUZ6HLLihhSDGoDERZDEblML73Ex1a G/qaSGSK8JtWig9aUueYLBKJOrPN5/8vrQEczD8ngXpmKlQs6dJ6sI4Ak7ribabandZdVm1+SoWV b6YsaehgH+0CcXF/n0Op06QUHgkoNePqA8sgIbzMSByshsLVza8Jshb6nJUlp+RF1r9wb+c0ZKPp PMTn/4J1c0khel2Pa1kKg/JFoklfXkbJsiol1qR21wcuLNLIIjASLqkEm9QsmcD8iN8PLKeZBDY5 M05T+BP74NcZhO7exEWGIPySSChA3u1l+WD1OAiXsY5yrh4+H2y9h46DB9HGhInRnaew/s2os6Z9 fRSeFLj1smyeJvC4Nk9hAXxJIUAF8P2Q39BRPDuM1146L7RZbmngip0fM3EZl0t+q8VeOHJ4yWpZ Vh+EXJoF4AqmeIw5Qxuclr5iqcHy1b5neWg5OZv0prNYXGhdXhu8s3fueurd5ynwnBQ3DRlwyz5Y OaU2VK8KpYLPWQSzwHHVlMeWWdG1eKH/dg5MJ7FqmcTIZpCDUuGFe1VfOCafjxK9b4k3pF0zPesp dJ1sxRCUnulBYMwzPcnjVcpOLnfCC2wnAQYl+21Lvmx/yZctsHqEOMU8YRmgezcYY04T5TYdPHqX 5Nh2UuiuxICTg6ZpgytDDMe5K/h8ZD3l0zQUclGu6IabsfMkM+IiIQVmxI/ydCN4Tx3MxHSw66Oi Ni8LKz1tH7ywAtDXxFUKDNMJPtCLQ0p8tnXPsGZfj8Z7afa+UeOJF15WEZPihqqswDSIaNtUB5+P Ggb/WJZT5rU/yymqhq+tagHBJ/zHsl03CJYatpwGLlBbTmFUTl7OLMR6c9A01YpM79wXQ3YBteem hvkQEkwcJpPoYjM8B/aTioAgX04zUwMuAaHTRxXP69yalc9Hu+72VfhfN4BV17OcQtHAqeXUfdvV fhWo+5juGryKt3pz4EDpcq+9EhLHwUviJ+U8yZ10uvJJ7UcSHQ6QOEI7UJWy5EUKSzn0oFzEwY8p TbzJqmFSG2jJnPukjnw+6PYuDzVF9mKN+ZmnSKm7a9sRMKJ5St3lzLpOKhZZGoql2nZhSC6p98L2 Si+3vXJyoA1CTqLja+/g6xI6iDJzp5gfAjWHMykuCF0oZYs7CUPSoHIdZJcZn0Pfgs//ZYqD59CE KvsKUefAhhJlNqkGpPXjwjhcT+R7MTc0i8XmNpShD+WiwmJCj9sGR1gADQf7TsSZe6SJusYCnvxE B7BwAATvluxUiwqNKGdXFtyHD2r6ZoIzfiiO1/n8X6LmDLYgrlCuOl4OrChByyDEorTh8fgcPk/V KXIVtSSvGgRelJlIGBhQ0UOQgyfDGUSdxS7LBgVsMszMfAC7FXY81667VnvhaD2RqtPIjGtumw6d 1CrVwlZT5fMRf8APKLmfoDt/G785sKNUeItKVHJGE8Q6DGe5kAw5y/JWVwI/ymRFA2J7bXAGQabm W2J7vM6WwHoBKxJV/QT3JUp6ey7cm71xIJK/JYxTsg/KhRsuVv6Z50fh85G4+TeyGUlsh7ygT1QI /6avGa35rLrS+6U1qyXFjYfL0yYqNoDvjIrK8MHj8XPlncBgG/cLx29nKlzzzyavf15jM09cQlfK YjIC0ssf3YEEk2583Euz8fkgcSnfSALwx392zbdcHhpTgr+coc5+yc0s+IkjX5LXNqFLamWo0Jly CY1SZZNXH5u8sveJv3henrUFguqmtzhNl2C/By1Ui8chGaH3/a3vgvBCgwIoolLmltf0ynz+L1FB hpRTccadptAnp4iLgvnlhZeYUItvCXEeiXfXNNzjNPSmJF0ZKpTZhwsD//+MfVeSLDmS5H+cJWUE nOz9D7ZQVTN4ZI1bvpmVFVR3l0d4IkCMKNkdnrLsQMLMG+sUMHCg+bI8j+5ykn13aE2ZmQCzL2GD th3SiC0vhT75fERvvnhCwyGeO3fcgzz2phz07qpreAmqLEcUgrBltTqckj5PYTxO+U72JGzwUjnU ujP030BMPSd3SVxHKMqfAwpN5kXzhaFEONkbRwkelsBovPFodaH0t0AEU+7le21+wL/MTvmKXZya Z6qiSjk0N4FELga+hDtV8mrd4uqiOFVbyacqRIRrqiaB4Ry8rFkq5E1AcEQpE+I5gPGecwlfCJl8 iGE/l962Nw7ABZWogqYYoVE3k3ton2Coc+9lvWbUOv+WzkWdrs8rLphDj0p21YDfcUFPaKsoKK+d XBNDYHTPhQOTyiueO6QMO64y7DnLOxpwlKkeUNOpMhiGSnQHoj4zNrRC+Vz2wsE8SQsmdw2QCOYi 6B0iT/jGccJ9fkAkoOuXXhGzZWNdXVnPHFpVtiKEyr7luuyop3Pcrmvnxd9AUxVLnuh84u3Hwafq nAPgJZFl0Pou5CMA6IGtcpYaYIYOw8zV3jcqGxBhkCQNn1wavoPwShZlOyc8n4/MmH3v4bKZFKp6 qgahV2WrovNe87xRx2XQrasNP4fL6ObArBK6F8zvltS81pea14buxVB1BV+1WV+AEOcPOa10hzcV pjXtfQM8BvVzBuk8GhRtAr4mjaGTYPL5ICpPXiYXX+7s+z6+TvOQjCmAWF9eXcnumwvUyvYqFDm/ Nk9xmVxbrt7BGWInpocWsyKcEw22LQUdCsPgOmm0rW7ceTZRIXBFelVZrp7AJTZFjY3pPzZi0lv+ C/zMkx9iqx3GMT5Roby3rr0+HN5TxrjYFZKYVIaidTPz4cCz8rrpDAmhUrpQC2pBuKMjgQNtFfQe hgcT9O5eYfJNlfj5yMPl0LMSdE5cepTS48AFhQ50o+Qk5Of4fNTz/FY/odvbXOuJNqOwPGXmeWM5 xqfkVb0ONdfjWlmSz1NcJqckQ6F8BQcLD05wsGEz3eWAunADokyOzHvAUS4juEGFkayMYi8chJtZ dV/GiWqAq67ZpkCaZzN2Pv+v8u9SMQZWfrduEDpXyuK7UCVYeV7vt0xehud5s7kEWo6tK5kPt0UZ VA6e54EXlmmkfZbAucGxQwBKQP2ngESxbhlKUPEceldm84v/TzoM6AgJOFYlD8wrv6PyzG0H7OGF ZubQvXIXMTOmX3cnSnA8VB/XMA7a0D5NUVS+mN7hT/XBzydICU24C0N4GL5o+DfbRCLccY5lhP8X a9DthQOsgWi+mqdx5wkO2Wup1zs3n48wrP0re8Eu7uvBRIUWlkPHU1rOzqi1eljQs/nsgsHiXl85 9LDUOZ4aZeM4PI1hQFepzpTReu5E1S8A6E9ghQrmM1F0VNPXRA4ESyEU8dDgkmQ7lmad3OsnYs/8 gEgO7RtsICHAcmPN0MmS+Ess90sbT9NnapV2+1NAo9iKis112BiuwkTVBxMFrzh4xTAoh/0wxfdQ 5kJjGJZNGdAXpCJPCz0wszxHqjgsm4jfBmvwLgoiTOrYFIOoCD8gQhv8EmmCkGXvT0oc+1mqp5C3 sxBKukoNrRVnavSnhx4YWiYT/MiVmFYOF5ZxDuiKZAiVgwYr5s0SS6s/G5ccZCIvxD4ve+GoN4xd l7QHE1I0pRx7JsuQgQbmB0Rhuc8Unpt0Wn1wdoGtJYJcrqnRL37FQSul55VchbhhXSvRC30t+1IM SUPr3K6hdTqLc+VNvXZMyYkSYA4KWAbicfSaIQfkxJ/U7YWjzUfYGJB5Nqh4AM1M8aM7ipuBs+V3 sZynOfIfUttsnqKofBSJxl4BmbyyFzfLqJf6k4fX7AJvSyjYkNeSBPdJ4+uUSh1AHy6oCjti4BGh 0HXOfYCkUbx4eC3dXvhPNcL/dql4RCXD+Qw+H2Qvl7XBA61OiFo/6ykslqOXeZZOc8lGiQIQYreo eyeIHX4Gm6c4Kjdvj+aDzdO5t89yBOJQBunsTgKOiGJHAp+jsE1sevt72RsHOy+bjlzzQRA7GDAK EHXCED4fbLxr31Ck2tQADLnoldDj0kSbixnHoZ559b8z8cUUkkuIJW3nhWE5d14p4gKXcsOoNU7y cuaCqAwoFrCwh/+hQl0asiJ7XJPLrXJ56HIp9U/UoXwQIKr2PLMZpS8+H/WHvwMpmFWdwLw+h3lY LyfOBwY5Vt8kmdJR04zGibHbFLjq+qiooUc1nUl5Bg5aU3OgiVdoBAB4zBwDrXboi3AR49eHZrh3 qvqyN44OKdO4mj6oU3UOc3X06onQ+HwQIFzY5lK/psDr6qZ6oddlF6+8b7dxhjOVxZwnkOuW6iGp tQghMLuEziENnBFh2GCpHoxT4SJLf5kEQccmQWwkZNA/b1QnbNKU9/f96zAfjf2FM1TrEKOjx3qL EAeB2+V3qpd1WZ4vf0CbodvlJB6p5Nsh7mW7C8/mOhSbIw8zvMqh3eUiuJzaajY4MGOeVKhADBZy YNQ3pWoqShsdYnQZwZFlekNxVOB3qYARTA7WWBpdagTNOJ/WmIF0CDnn0PEy9UvpkPNjBm7/FqNC z8vK8iYgarfIkrLnxC05NagTrq+ZioJzQaJqLcUHr9m1hcp/l+31XI2uGLD3AasC9KU+LnIsFXvf v7AZoIrgMEfnVnkHSsKSjxxnnfIDIjFHT2KSHeb9kSnMge2lULUUULmGjsKwMIlRG5RJDECVtvHC 0FygjC5CXr/U4BMSrLUbFhywGRsOBYg3N0CuHf1K4NKsBTMVRQW2l8ATNRHwuw+eE/chkFMGJCr0 vXzE0/lyA4ZF+ebEge8ldGaKos3b+dxXZ2apzTd/DB+nO+8P40vG48KV5/KAgCvZclJ0Pjl423JR B9UfirAQV0xX9nqqlR46X0r1EmwFH9TprWVKIwk67Xw+Yr/8ZpshHZ43Jw69LyuL+qsYc5relybF 2w2fqGjTPYtyYH6JDjGTYSnxc3AIMI4d6IXSHGQsHVDnQDpreI9JZcTHbZ5O6jm0v2yMCdDbx4AE a0nrAz8r744TJjV+wPtJvq5hQRZPCMi1rxUVxeV9C3+fbot41huX955sppB++EyF/E4lelPYg7lv FJVAyIdhpWZqT248xNEQmwXIrmK/+daj4lwOXDCvlHqVdEG1ojycqPekiyXI8Xw+KEddlVDSqTvl cerNYEIjTFkYFqnLSOOpd0cd0PRGRKF+M73ACRPLk2dTIvm8p+vxfCKdBM0nFBHzBLiVBYa2kmSI WXO48ySaUGCF6Y0qgrdtsCPqnHtSaNxgCQVemN9oH5aBCZHIl8b4eQ2hepbJOBQlr34RtZK4oPY0 gSyEUNmMQl4DqJ7owUjL+eyDTdI5knZCOMa+JwS26DxPm+4Bf1CAdC6XCi/7Gj519zCcjXrhHAz/ C1Yb25hn6j+vwVN/5GYFy0MYMRNledjGiKankyvMkFzazpxnmWDDjitLTC13B40F06NqAVAqPngW vDfAcwJkYGMxu0PnBec3eEbweDJvh6Vz6XV+EHIQj5GoOs/BcDpnWqWFdeL5z2vM1BFLFJsf9aXg CZy2zc9rwNQzEwxwzIeD6mq2yw60slps+cDRS/PzGi5h+UjZMe87WGBZYAZGVUGoX8CHlGXhPDgr kAtLX44Fne/6vnwKJXiBO/NBBV84hUkX5eQTn9dIqT8wVi0fwB1bHdmm5zVO6g7BgAG5xUlpX5WZ 3LMrX9D/ndPzGiV1yIwQYD8pDsLBAfYnSDxpKA1mFnhliRxhSKn9DESsOH8t7IaSFb7ifXoy8eKo fnMAf1LaoKtJA+7nrOH8eQ2R+kNqscILyC9UmuL8vAZIeAi9hjyJoLQS73As9BBSs7oGv3xmg+Uj 9dQsMll2MhkAKueDzlVMgRnAaUneAhXIPKQoCnK9UzbF016jI5w/nCGKrdmg0kmDyL+1ftvnNTbC 0z1/nz9oIzfCDOlpF0xQncI6PdKpq04HEZACYzVwl3N4jYu6O10VIVc5ONKJ9pbnLyd/+hw+zO0a O1CAYQh8dpuZDLRfw6LztotCzlnG6fkap0M1rfHiPwlz+bzGRFgMBkmZwquCjgbckSboNSDqdu2V Kv1GAcabe130p1A5l7dTXsMhTFBTb64WH7yc26AOViR3cX7pEwnj/uq4xiahhRCF8y3GhO01Fupu czU6iVEDxVWDXYL0wzXbe+2f11CoP6HQ1M6cJKk3X0KvgRCOaGo5pFH8iM69uvJ+ZnNNISNavpIo DmZILKgy2h08ox0gx6DwCJQq8IDcYyfXQYESGoMFnRSPrZve9v0Om1PtuFV8sDouehGsd09oygeH 9DKwjvYYcoE+ky+h18ok9hhtLuH7eRvhZiQDwMDTuUzb9dKCQzqxdIvT3Ac/hMi7R9OBXmCgy7OC 22jfgBJJRWvWUHLEWH9eq5KMswo3F+Pp3FwKDEWubfE0xAGDCaLLH+eDhIQTecl8kxP0WpDEshUB KjUj1OU17ykNXIRXRVDvltRFdErzEMqsSmpwVOpcJ5Hdws2PBIIY2nA70b8Z1XzqFjk2juZNr8VI /AqLVaMl54aV3d6yrkGBQBTs8IrRNeZREBFLGfXqVZuvoXcyXRdUBItoPaTDctkq4h+K1eNyIO9c ui7BF5CemOlz8Abc6FBQ6QLNj0r7HcLo08+mDy4Y3J7pN6kSRNtMAk6DqjIcrGK75+LPAv2dzzuT Dotwla99Ns7axaXrcxQF00l1yGa766wj6gGooVubR0NKNMXoiaLpSX5Y280HC4cKjeSxkWBzCNN0 9t4ysDsbZepKOLLauQhZ+LrBaa2iUVHfu/RrAdqKyKdgrHzeaXRd9s/PHMGnZIzsh/U7iQ6HLDX3 q6SJpd/UvaQ96nR22GyXlBlF1LtpjtifbF/9yXV+5Aq7N0bUc9M3CyHjlibsD7CYHhANIXaDKerN LrTmg07rEz9MdbDr5uNBSGT1bIupyTicNyWLguoqpIl8wLnV0kVQSDdPOVm/BLooqsa/AQtZRo0c bo02g49JTfRz+rXGSw8B/wY55ExG/pJvFv77Pa4WueME1ItTxcESezgT0CO1AIAaxtXj5h3yeQBr Nd1Jeo+spSZMmEm+62g2m6SWqpOg4QDnFdpokgg+XY1CqRyMZHgi6XPsFPYloQGPEhHOdSimISf/ mfSRVWdkqvAYHkfqRi6zb2rSbkaMnVmMB0oA9bRgkkTVek5t5KNdgnKcpSi8du7cvnVsCQ6wju3/ LSyFi+Mm3uNrhwr2npcP3hgZKIhPSoHBJ03560mq4LcD/ENWvT+rMbL1uu/TtLmUFpjwNoiKeT51 GFG8lM87ca5DmfA7wM7o9U3pgHOSwhB7kAUmjKmUHN1wp5WnOnsSR+9xRzF2l91ulupeflT3ekKw SCgAUNmASkhSDrDtxWbDfpK0bK/7fiY16smOwQY3By0l1AzYx4dC2OedNdcfq5QpcQY4idXseew7 aQ6ZD+AD59AurnANS9wHB3A5TtsT/XfOHK829o3+l3xjoiHRIMMYTDOsKpoSATxzdtqJuWbrl0o/ u173fY6GSiGl2tCNPQDPHfEsUufjQRQ5x3eYDWnCfnP9d8IcL6RGmx3uMEoP1CF1j0J1KuuGJNx9 qshGgfZgaXVmpmwzXxsQmIol4JW3AZjhlcZiEfqSg+4z8xZkhaN8j7MRCKpXJC7YvdpQ6dsMl84p lT/vXDlESNszEZJUoXDGpNLmKAq1mROW0i3JBzB+2hzJfkrriD5qmqMo1pbk7kz1Dl5QSwi0t/qP wL9JwBhycz9sbmeIWt/qvr1uNElIRvYkjIuDDqRxPqip8wqIRBRsC1F811GBucT0mto7TY41Eeqg KDOT4e66hrtaXTyP0ORWzTEKtbXXWmJHv6V2sW6b/G2pWiVcbbhQUJQ9f+9knaISCC/cMn6WzztL Dsue1JOhwv64hf15TqPEiOP8PfnzTpLrgi7aHKn3SHCUb7Z3klw3TZAibpoKR34KkcXrMNOqGva5 /985crwj2XLEj2uDV67PWQNbRUp/IWMjhqJOxEMwm2kgp7Z7IrH4+E6SQygICVisfGrqFEBNlNe2 WafY8xBBeSfJ8W7zMKmzNFJhHdl9lqLy9cxCRqSLm+ytOm5SyHiGkoD0aym9R9to36iwlu9gS6me U2nANhCh5Jp9ASoJSlOnAHnlUq2XpKO19B5ve9oGNygOSF2GDu5zTLDecNLC9Xnnx/XHKs01HJgC 3FkKA+5prTRnOmdol5mf7FwOcmPznofSOzmuC40ISPe8gwcAZKk2lpBwu9XB7ixqkwhU0B1pEAoS R0cKKO/cOHzLtgZR98GYTLNWU5Gb9fNOjftVyZYMISBXY7TbColCbvBxoFIxHNldZnEm05hXn2mq mYQtF1azdflXWhRzuNQ4SDI13m970uYK6W1DYWVCsBBGWF6rlYfTOzOOO44BUsl3MGTpgK8og8k0 P++8ONzB6zsGwLE06FGmOQrr2TQm7Gu4wWUZ1dOSq2x15ghVO81RVNCmXcrJhiilU8ojpZP2ue03 gtEG8ePU0SkCXBKVF3jw/QAl8RzeS+8bBEpJTmmyuCxucXmC+kITEWDp1uedE8f1nr4vOODa2vKA +50ShwVYFHCX4vU26IJanWQkR9fQalCT9B5wI5yoSkeaDzZJjI5QzOYFt048gIoKWgrjZ4NgAVDa 01Zbet2gIkmJvT4FUb4m0TU3KyydYX/eCXF+Bt+sBDXj/tRJ3ulw3eGkRfK7PLn3k9/2dmkUAFXo THoPuCGlSDO5Sh6qyIcKlKDmMxalagBG6qDqsrvfwBxC/QWUOM/cytDrRnNEhCRwuhgg28gtAk2e yWLQCSv3550Mh4WUvw9uRKOt3eb1OxUOu00CW/tSUAub5kpvVXuzYpJVtt+ZcFZ1Rl+Wbcjh8rsN sfoEKK9Lg/DMAgNOCDLln84GAIX33eRy6XWDaFJ9EemgjauD1nOHOvGPOPOfdyIcHp/7K3M7uRj+ /z2Rooi7LiEiHyhb8jk6Z+hT/WflnHP0HnGjF45dtiS9oNKmCiXnTDq5x9zUcU4dMkwyngUvDmra dAE33V3qWb5z4O5eG5NhEgdlJecU3fImPD/C550Cx1S+fh/a0jHwKXpnwHWXuk57e932nJ8eJXWy NcwHtBlG5J0AdwPuqW4tB5si+Mfj1yWza6VzucmeZxM+ugn8u7pe+FE+7/w365LB22LfQVOUdqeZ MnFyn3f6WxeX8WunoboFFLlNUYgTGV1H9rVK7e3hS1yyd4PWsqYoLG3jthoJPTobNEWosbVOAXjI o+491W5CTfHkooC9JZOJ2/Qv4HdEU2Qgo+aDSiQnYRYsAiKyn3fmWxeF4FlFEPBr+XbZ3olvWEWZ le2Rl220Vi+2dkrJskLcAjox3GjvvDceRjyF6IGuwQ6jk2XD7LOTDs/e1JS7E++TihjpShFTA/3z TnpjsWISJTLvILBIzjtRvBIB1ued8tYfoxRDwkOHd887RVGg3bZk98ty+HExz5TruEc8O/3UAD9+ J7xxo7FC0onG4mAb7VwGIEawaLvgeax/M0GzBoRj7O7yGMknve5f2chcMgNFr168q3NUohGM2zzl 8nlnu/2qkVTJfJyXmre0/U524yHG1PYRhuuM0zFL552LC54xL9dCikrbiStoV2KPd73YY/ijnPOa SOiTmgAN2bjXIKcAcYufcqHH/Fk+70Q3zhGr/2ltHwxRS9AYD+FRPu80N0bZHhwNTREW3gVFvNPc MLODDJsxH6OU7B3bupJfapXS4pyiqK6NFs35wycrbhx8IZ0jiOAjSk/Af4pJC3q32GtZNS9vkTAV eSe54eZkt79lwmlbNppKAZtHZPKTG/Adg1ut/EpFILGNxWFzFEXZbA+Veg3AS5V/HHvNPbmP/KrN 1JbeGW7dad1UcbDBU5GTsJ1srTKCBE8VeIYzWcgUN8IFioo6ZZLYvneCm2WlyGap7srBgBHIlbg2 1uQ7Bntt+s1fNUmIYavntO/8NuZrlKRahiymaJ5f/Tg9bq1tWs32nd7WHcAO7XMNlGBl6n/ixroW bYkBCd6VOW2FvhiINz/sbbjlDtHG7+S2Lg9PkN67NKzxMgqzU5tStD67mS8ZNdvuSsKPCIb+BOzD ZimKs5sZpSWLIVEfyZ75E9NqUi+wU+DtH1a2JWHSJWHSHwmT2hjMwLyJlO5eiUKiFyF2WkWcfKlt C3XBzzuzrSdj37phCuIpwajxeY1VUIBUPu/MNvah5lekTZPDfnsk77w2xue0bN7LuZJIR7xHUh7B vOYk5XdaG94/SyCAwugcbn0E8iKNfaQzK+amdm78JQ2HcxgJR6IyEmlt76y27p4pQ6i/cXV0z6nU ti6s8xmfd1IbX3J+B5In1u+4KQ0QGcbaBvA3UwtSRi75r45LUO7EYwMRGcbaFOXokzB/Dg5ow1md epXF9Qn1IHELTD2YEKifU1TJVtKgEcE7o40gB2lWUxSnrmad/LQAI2G/Otf5eSe0/Wq2kSJJqXCZ OHOSQiCJFOA6e9fIwxtxyOcfS6VYRaZEpRrJWEnvfDa7nnEcCUhSHiDJuZbPyQ21c5xVJ4Nmc7uB oPpDm0u6NlsRiZDDzzud7QHbFG234v5Eu9DUAN93jvHPO5nNChRPgQS3RU/zLqQw3F6Kkur2hdRM xwx0niShM5SeUdfhQopK241y+qwC2eANgNSJtiGsbY0mAEClHAdQvWdjrVGetK3rfYOjm2Q/KoXY kCzjb2ubHAdfMgq4f6FtIATZ252k94DbpK5PmJfUz0bsOzUzgI+MaS3JzLsa19s7ja27616brAG0 OZ8YAMaB0643cBnhL44fHlDFhJizlH6diQcJEO88Nla9mNQK+TkEscG5PbHU+ausE3C/09h+gdtY jkQtAYJuNklRvL1WljqQY5AhrehVJHIEBSVBUZfn9juJrUtyhelI8eGW2jaCy0bJN5B4M7WpoKXw 02kV1JH/K7lVieSdw9bdU46UchuUuZ31p9rkuV/a553CZs2D59iGHxQsVXyOorq280T6cGjbqC7A AfbFBZK4GOw7gY0lY5Yj4Tpjw4XbnDBj7y4ZgHEOIgJJNhr2A7wq+OxavC2IxDt9jfl/Yd+fSkEc NEUbWEKKT/c9Pu/kNeyaemFbgg2c/1BvdvvOXWPUoADyQrWTslvGkmV5wJ0QImqO4oAbkwMIqQ9e aTuxwJmpynXUUJhkAaBsqa8O0+VSvK1lFFW1OzntszEn4aDEDUUenuAnUqqfd9oaj7Pv8wjQ6Y6u nU1RFG5niby1K6KUqWJnqK32wP2nFSPfOWvdnSuWggAOXiMBnjYNtpA6xU3FUEZq0iDGDREjP40I In2nrBEQjvWzE8u2HGyKzs1Aiu2Z/vl5Z6z9Am3JVA517XqP7DDWXrrM6q2RtOVy52qfqkZSqpHZ WwzX5haT/R4HnyIAAOeSKvy5+eE+hasPYDoqvXUapTZV2pLeNrj6qS5VN5WXOajxOs3F9MSjOePx IIb8dWBX/DJwLrUpCgNt3mqQi3Hsn8P8kS50lwqEZZCtoqikra72SUqmD45qO9us4/anB+jZj5OY roTz6pyvcvS5TW3ttBBEQrrIkn0zBy0j+PwJsHXWJR4POv+/lhFwRH1coE2P4mz6Jp8fwSRLQYDZ jrPNUKazZZQdH9Hf42xEHtxpixUSDnYYrZV4s3EZzZMhLYKR6tl26EyA/Vg9zJa3QI+Zj8S0yXGI g5bR7vAT5xRtPh4QR/b3YQQuGUUKbYqiKHsseTVXV9coFIihbMSSwyVlI+4y6hGEZPC8hlecDzZF J9+HE1ejMOfJSs8KJ34SdMraCP6nzrJyf6pr9KioLYbxOYi6D5qjhB+UkXM9qUiPoux0D2zlv7CM LJ7597iorSZ2cyzSSdQvgGQNv9M6wkreaT0qalOirTczA31Oo4ycFm7NxP2dMGkXWmFm2o9Qc5Tu BV6yJYCkR1G2GkdF/pZ58xz7Udcf5n38y1vB8wELcv4qkGTQyka5aW0PiZBVIlKjWKC9ynIlBGAZ f8xUoLJC0vlJQcY2hbChXgsHD7Qn5NITMVugADSk3Ccb6YSQNFQPV7vAts28tkeBdiXLZnS12yDB xl0yUPbkjJ3kouPxiCzqEZKictpTrLuaIjrkIJkNJlxWIUk7mQ5CS6tfqtZ1Ae1hpK3kPyn5T/uJ IuG9tSiUDzGKs//QbzpzhWYSQgGst6slxcutR7XttaSBu5LobEvqI7Dx2PRRPnHpnng+uN1G+Qq1 sbTY0bRJCkPtQQfQltOF2g4n9s/SnfFXnqUUciJZsB29Jx/8duvnkB3ovQEe1WH0tQlHxlxRlxZB tx9L1HftEWZbIjaEfWCAJuBQzjYzudI/89zPeD7acd8YSSo2zVtr62Fxu9PVEacGKyTnoqvbNPJh eHf+ccjjeir772Gszf1GNU0bvIyUoZ2xgKw9c32WJA2Mz5W/6T6ITsmiGZOpcdr7/lVHgu03s38G MPIcRIWKnIPZasEHBMf39LVE/N85FamPZdP0Hm+bCy3WD6WA+Y+cmyqgL5L+TCsBBDLccCE5kncb wV82+DQlWJBmue6Wk3/IsapAbAniwJDiHNfBg1KcPSpvV4rcVVQQbFCNhPLWDLhrnng8SP+bo5FE sD1zRJUPzVEE2+7W/WdOQm38Zv+IshsFfsg/LCwkdX7SX4dSU/oPmWwsaEKST9Q3td/gfKos9Oxw xDYbRfhzbC0/uQfkbPAlfwFJO8SEbVCJ5KRfVH08ccXi49E6+kZInPeoP72MG06GIXeTafO8JpaE m7HaBpVwq7alQR1A7Leotr0YSCPN9MHutxNYdCiSqgPQO013yc1HmIQ7lN6gVpLkd+JbAqkIVZDQ brDBuknQ6qUWwiwTj0cr6TKROUvANuNINK52FHTPbDr4y1ESpXkFoKzW/egejv4bUXFbdbY5GC5y sKObgloJURHOJ3igMVDIqMF2EBA3wDEzPcrcIwq6cxNNW0CS7kCSgTaOAJLr5G4jDLqHt24ZoFMP f/tCGlHQ3SRpV9Zla+807HYrKuZOCko6W3uEpe0pJju9TTjYQprnxoIGtALKcyh0QrY6xFYXPR4B 03EFsmKvG3EkKYmwZfUJCjjltM7PcMKMJG1gTFJY217zayFB75ZaxTZJIWybyVt1fc0MAtf0ddQM ElgBr7d+24hq26Iibeh/YGBiNZi81XM/T3Q/YBlwIjJtyI5iaEVmBJMgtwwAnAbfEWBICSXpg2FS 132OKcrIl4lsP/+Hx6MeyTeUhGWap3E7QijJqN4icbPYa4JaqaFn+P9qRh0jCriFtpkqE6FuYVN0 DgsEWSy1I8XPdDNnjNDAsV4/tGm26x/mN/iOP5EkmWgbDioBoM25JNczNh6PlCO+D20ouqGp7FMU RdtdNq3p2qNnlhuMR1Ici3xizWSrKIq2p5BInTaDHLxrOxPdGAU6AzSJdOSFemRfVNJ+xEeIpMeX RMcRziHQ5Dlgn/I2OmEphQSwukrG89E6+i5sgxjV69UfGVG0PSZla6j+JtLWXB5tZ5pcirSFmrAm KYq2pfDTRGxrD7ENcgIArEFDNoOjXQhsO9k/eaRAtu8rYEc5RnxHkN4muX1L+766pvuCiQyBJGih 4vHoNLpcW8ITFtwNy52jKNgGsowOjH5mX9tqsEim4yO7NEhOFDkivLb6kEPNJA5O2ioEWiVmJOe4 Avkf9TZ06zsiSuT9F65d9bZRow1n9UI6yaHzfuLnD9Y5mNqOONC++YhUyVD8T95EGlFlGxopl0Sq 1LZ4oaSwFK+rv2XjkIwo0ta9hhtZA+sQ3GxsTm7g60+WWyBpu0Vs64jhzPTU5gjvj+8Iiv/MbFdT g4RFHiHbKvCWvNbOnsDzUaPNI21ZeZZvRNKIIm2GPaW0C7YBJebybJ51NF1Ma4SRNmW0iiLt8jTa ErgXCB0JkMC1yXCzJbK70aCocLj3zcYYcoSRNlE253+hEglBWEmcrZ028l1crXPhA4L8v6yvY5tw i3rlbEYYaleF2oyQzHPCIyT8PHYiMQfTSgqr210meaooUS/YcrYBPcRKvRbIy0EfiTaeBfHj+eJC xLY6AEQY40v+atm2JjccLm/mPXUP85kYZ7Hi+ahIkr7CSES685GNmmF5u9qFtpj0o0tSluX/1C2T 2naFtCHzkRnr+vH6T7Ri5mCVpDZOng78FrYbKJOTOrYweWHQB2WZ22sj13aGKBIuIQhU+6BjG8qB csg7r4rHgylqXpQcXHMNBAmPtGcUaVentVeborRndRtBWJOatB/5C9RFChmSW73/3nzwtvb55wFF Kur+DJD/kbJVAuqhLAXwan/Qtkvv+xdfC9xCHwwgce4FKm2d1V/weHQk/eoBnO+Gg5FPUhRpg9BO qbPhIMk+nGubtqkAwZ3L05H5N0GyytK7Ppbe6Ryv54hFAA8UydlZqdK6E6Wmk9eicI6z3hUiqZAw w/o2TYV7pQMHB8Nfn91ddRaf7H9GsTYtiR6AxLlbJgA/NklRrM16Wl71qkig3Od8LWdxodjmBqfz 7+L2SHv6cOF/oCOT8oOy25lubTbAAcCAP3P3+FES1jb/xpD0Sa02DjZFZ/kz7Dm3XsbjkYxm+bra qMtabuY/o1i7DjlTD9eQ6NvO74Jj1SmkFE3loT1DbiQpbSdN3j5YGAnrIYCbGWtDt53heKPd98RZ lL/iSApY4UuCvZYk8ccwgINSf8Bl1Nk+oQAeD1qSN9R2yZaBPpbNUVzYFgr57jUoOzsgmY4WAiSP ZCHSjDAkEhvtS7bn6+Yj9HLGz0wxEqyvbIK1yE1hCbr6EyLRgXJGoXaVO+6cdzBmZEKvk8n8GHj8 X0xkUmzRbknNE/8ZQUigWYxsxL24cPl6ASnRqNe07Fz+eEZl7aV0Pmmm0rqW8CcbWYMGy8S1Y3Fi ijbV9rHdUCS4fLait426tlRHELJty3GLcJmTjTStjMFXjBK276y2AI4wbqA9Q2JkkkzttaXOtflO q57rQtJgWm1kRoH2ksj/IvWBg03RSsDVolIEYuTZdOohbVT+Owrh/eFFLiL/Z1TRhvwQurVyU8zX TREBfBagbfPxf4G1xBJATvtMUQQhkcE4MgQ7r9O4cG0i8nVep/PVNkVRnC2ttr6Ij+DgxVpshEIb cbCHUFlC4n+OypPOArEAOv2taBPUPqM4G9IGAKOyJQkx3x9JYwHGqX7MOjsaz0frKH1ntTjkG77Z ZikkRkqUnaYhutXko8hbbderjYAWgvZaLEVCnFaRglS5ClLwHgRhmL6cJ/pBN5kZ22axFHWv+mj8 g+PO1/1LHBpWzT4Y7A9+wjyPzsmNxyOkza+VBExduvK+KwqzaSxzfoXq+hFNVCRA2ufYXtA2/Gji J0UCvzTWKFJIKuuL04aeWiF59BxN5cTcPI/Y6qos4jqKxBj/K0SRsJJNiIoNqrJ1SElJlLZWPP4v ZqSsusZPXzc4WlGY3envmtf2pLYtv9VgYXS1/S/qf4UoEsJnwFH3wTbbOb/TCW8nI0jEMZDghy0g /lC0s6H75FgknPT4jqie3cWMkC4iNIB0Zg8q1uPM3rXg+UjRxueI6C4wLPq86doKZbSbuUPczJ9H oemQZGf8NxTmNUkRjEQn0hhNA+ERjRWk2cHyo7cr+H65Uj2JS/MEjydSyPuJjk5Ah+8ICtpbqIg9 fdBWo6yA0EiLj/8LhSzJMsxOv1stCrK7rFnUS2ORrU2/15rrI4A9su3qX39DtWED6IOtIzgdQMZO xAhwSdn2X3DRICK9fYn+EdO24oI2g+xVZVkKi+GuvTYYkFLOpuD5KKXdX+FRRvXqbHyPj1aopy25 aHBcrA05UrVebVllGMymYb2xV7tCaiRv/SpUW31QbRkIQqiDZ242M2Ukp41WW3C3y/tB2djrBmW2 KUtJM+fGQaYC0vnGLZ+fE6Li+f+LVhtAtrPcpv+K4myla1MR0pD+ePkxt5F0u5AAW9tKijVI5P1H /igHZ0asdU4aamzB2nqhk0EsEu9U+KJQPty87VjjwLcEMJvN6sgQO3K4ideJoHH0KRnhS/5TuJ6U 3EX86p2lEK0tjg1vIu63Nl2JrK5pZoBQ/avmybLCUJvT06Qd0R7tiMwcbcA4Fo3IxsYtoBH7LHSS b7l+rIREuZXPCkVImPg3FSMbCUKssiUo5bLJdn7az4rokeOKtcrmhkvp4rVXSI9U5V+io6SYSfV3 U4WEVm2ASI5N+F/nJwVLSd7ui5k/ByuPTMhz9KrLDUBSqpU2Bqcn2KDCRs4XQILU6bOicDuxwVYT se1gaf0Ii9TPhHH1DhTaVljWvrA2Rguz/hC7Z5MUhduDhxJFLwyOnK5US4dRjXEjaLVJfe2wrC00 v8m29nKlkcdJ7Xdt4kZsSIZNitiDWLxRCyVPUu3aAnk7vm4AjVC/f7I/wkGJbULZjtfpiQvweCTS /o3XAuyw71v6X2FRm7K/qIt5sN1usJ1k60o5m5F9jsJgu1MXqVK1lYNnbRvM9UKQDfCemHDgR6BZ sVF8p82gh0lj6HX/ImuNlu6gWiRxFsQAnngej0fr6JbZOKGgBT1C9juKthEAnuNjuA55YfPZaLYt 3YYt8EnSIQ81tnUkjXEHz/7P4oHUbNZC6kWG5YNtSAimUhvZrFtZ1N5RtN0o+EcWmg1WIIGCvelr LTwe1NluUZtlS9WQ7hS9R9sSG0Qg6bJI4I/4XqOFqPmRjjtFsWENZf7XmD54AIB8FSBCGkYsOCHg 1CYx8AQBZ1tBn92vtmavGwHaeB4Nyf+Oqx/d2uwi/Z0LAo9Hp/YvAQlgE1B18kkKo202/tvKDtqe jwiZnPoEHym+2XYI2ibLhgGMDbeKdP4C0lHQiwRASo1/RAyd3w8VkettO/S6UaWtiBhxB6VtYxQz ZTuxKh7/l3QUEW1I/MvtjuyQGTl5nUnQln2KxOsfskhr3BpJZW+UcxTjR9YrFDnDa+TMUhWhbZWJ EhuW04kDJ60u2XqxDhIvth3F25umPkM1Eg5W1E5r8NAFlwSP/4tDQkmNvHly+/W/Q7y2xUg8esyp 9cpsSCOJ9jU7mczGDvHayknYpdbghf9zCJ07aHa5bteKBAjp/7lCO/F+86m14fbAd/zF+u+DGgkd AB0Jh3VQtdm0QtsTzwfoiN2/chLcxaNcHvKOom2mBNCGqj9XimT4HOWVbY4qvVw5RzGAhI3/TcEa Dg6OOMHT2WTgagOIdHYf9e4SQsgBSEUjaEtobdqh7aiqnaoEJCSQ0Eb1Esm5I4b6tji0Qwuby/ln zIkywnzQozsCkCSGkfVxuq+C2Jpy5I2QmBVyjkLBvy2Pupl88BpJxYEEKAQPJFhI4dRe89zdHcxw 5G/e0e5dbxsRbClpM6REMpyv1WkkYQosfDxI2i42gp3d87Usd9kUhZG2LjZKGGoZMZHQMqrEj1QZ IZl3xA7xI4RoDxwLNtgy2hCMWDhoaKXVciWmO8MGk5V3+LibtiY9ifEdQQzJew0iXT5oigos7hgf Dey0WF/bd5qKBgXCzB5n77CsbUWk7MzIQqgKmZE9Nedr9cq8pPOT/gJqk0Nlg0NHz9V+onfG2QsG mHK5TTj2GpSKwbB29Mi2tw1OI7pr98Up6utOERTzqfqIsw6PBwWS5NgR6gRjeubTHdmhvnYmxCZd g98ypi8jED9+rtePx0cReISBYR8gZNjgcfagDQlxEWjiDnECUoWODLojkJNzY20WbHeoQEI3+7Ha 8EG32olYtgptJ3TB41HBtnztNEiZdka2nCJhdV6/lTxt+GWa52FBdVhY9gaekCW1cxrBJqdQg6RL xY+Vfw5WIFkVKwkB3/lE0JkztaMHqas5IbtK+O2nnNrXtjcOfMcKwY9NFprokouYfvba3lxM56qc /IAIz+4bjlVlLEDyfHyqQtk/8rWbuDVFAUAShqSiO20wm5na8KkK4206a9OwwwbLbTu3LIjsEFWD gCT0kepGWWhD1oVWvy780/yFI/4fVhRs3nzgD4JywiYu6fzFmc9H3X+fKLqMQqp/jkv9l17yexCK mtumtJeqt0BqCLS1S00/rmvfDNpGJnxQ9GP2nxgNcPAiABi3EIOTDdn5MOCRsdga0DZAaKV8NVuW /FhTiCRpLHJDNw3yyCdhFG0P+NTGHv6YMGRNUdw98q278XCAv1jfc9+5iirdJHbClMd1Enpb1uSu o90Obk89+1yFtpHsp1GjzgZfVCean4tikoC2L6rSwJJ6ibyFRHXPp4lb7I3/0kpoi+7RHHRO9ZM3 q4sLLACe/xfGjRRuEHm/D6oo/E48zIHPMCQguqNWfVO9UFwJyEzJLCFF5e7BE6oReqTBTvMTB0Ax YXH30RSA6KScqbuF+SkopltpiT6o/JrIdwPFgDSpBshBdRM01JeORphKpBBYUvp3LlfgALK/Zios easJJ4TykqK005OWpBQ2RW7ZPOn6qL8KlT2nO9zSyUQhnUaSEOIsRYpJSMY2OhJ5XmZpIY6Q3xIV Btg4UYup3xYT5B1blvtG2nw+Mt/yzbd14cAS4DnPw6L3pAAmDxXO01Zrl1w32sazf5Jg/G3zFEXi kzWBltP04R5T6LjSG5XzZBDcTAuZBFdJqk3agQ4bBX1NEEZ1xU+8+i7U5wTU5yA0anfR8xG99GYs OtFL+5n483yqQqmSMoQwrd5qkooSW03dFhr6jd6y5I75o2dZ1JDkYFOFYkwb+DCUmlg4Iw4XZzlY rNjzyy3vQL23Nw7yFvVRCpm4Z6jGqD1R+eJOPLFn4/OROOD3KQXSBvwv7kRFYfnK8mlPrnub23ZN l9yXO3GVWrc7A0aKJcC2gMu1hg+3KQdt+i0qDjTMAWADhQL3PNJKgHIvPNDfN4JQMoqalFPgoJrc 2cjyKD3n6eDzwda7Nq44t5GywvvyTlNYARf6baRroNi3i953t1UE+m0vn6bQZlLracqLY155oHzi TdTx2ZbLSOxQMYF9AjQjluhA5TLfarEXjhSChcfN3QdBl+ag0xByPMacofnNhXeRT8Bset8jKjSa ZGFMNuSmNalq3FBF0LUmH0fgHDhNgpjG3EUkyvFFoiwrQyJgEJRf4QhBOEECa6INicqna+xC0+0c WE06tRsKZT4o0dtNGNAfcBH5/L/6lyyp0P9u3CgqNJtsWVnMugUDTQ4LBqN4waBRhEDzFAFPEnO8 OVmc43Dx3YikEKhRnXucOaexCfqBFe6BVCwz+Tt6luQ/3CaJx02UL+lp32nCzhPUtlQ+H4ng/tIJ mOzy3m0X+02Kar/7FXkp5sJxth2zWhUNQO5n0SCHhpPAFyAVJmyQgzEFQPWBMwDdZmYBpGyJvESi XqGCqE9Tafa+f8oESui1ixvJysqZHpmUpzr4fLCa8vchrsLTbavkwHNS5DUmLa45XWbttprodWhO CnQT0GoKY3JWlXYi0ouDpglzk+CCQ4x3pi+XJHNRQIS+IflLpszFbngOTCd9060mUFyz7g3O1DrE u1+58vlgmq5PsNp5DWDVr9Mp1gpk24DlHM5TpQyo5kl8XcyT5cacp5BUmc3drfrgpxNEFAYCFWDh J9FrlwuHtkoe+ToqSlgph86Ti6xcmjJxMKEXGCpSSpyCX3w+aPcuP8UVvrPI3O88RQLdncDBQsdm zVO14i8AldtrdZAG8W0XBuSMBkA09eG2Dso8lx05A4udduq8bhQ7OlRsMlocjmfq/sJ/AeNWI997 teJNKKTGSeW6Vfh85ITzS+kVzDugq3yeQiucZL4KjkI5+bYr4hQqtrBu0KSIk/RRf/WhIFnpgwfk K+9aBUNB0FGTGuO44Be0ZVD2uhU7laJC90nJdLdV76CuL4DQptTFVR/F47v8glgguCj1WU+hkMmW HQ7xlPI0206KaytnS/BmdQpKDgwoPRWuQL/Y4ESdk0FtAAeI/KrQyM2UxEUlXZjq4jydkmq1F/5r PXVwnmxQ9ISy2xTlK1U+/y/rYC4+xLn7cekOPCgzq87nf71WJkgazKez7TK9P96newfmwIQSRQ92 xif7CGXePgLKJ+f+rjTqxDFOQ3ewg/DKiTkr/y7LhHuzN4608XnTNXYUODjUoqhiBxVhPh9Rmq7w OzcshCj7ExdE4XhrkljuyWsra7pgFwwLfePNm9794URJ5ldhU66Wx4QKmqyZ7V44mp44dhDahBLn D08pYD3+k7aEVpSFDkvnnpg+KG2hApjxSxufj9KW21AgcSBDmnf3584L/SjBNQQppbn3K3miRpHv ddlUlUTvV5ShQkNK2t6jVj188OIKnDn3JG/3XHEVoh00pNzkyp2cHRwJBeQokOp9g5IBQWAou2oo ihjRdplLRpwr8/motnJnilHlpCDBTYRDS0qsGoThEMtXRJ5WuoTLzoYLpU3ynacQCj4klkfpRQ63 QbUTlJuG2uWZ6CYod52kZdIVuj8rSr7doSWljigifW2wGhScL5NO58nng6pmLV9HFPqtfV930xx6 UgKHjRAqNa9B6VTfaI5NZr/kWIMfYdMURuSs+9asozw/R/lEilHkJpCgSzAluASSEaQ9AQaj6cJQ Jpzsjf9C8cxJkco5ztEpM2rQ/SZVE06mt/kBQaNqfxPBe5Y+1J2pkHc5lzbe+HFlqubq3YPnEWcq r5x9piKQivDyJvJSH9WlE56PhBiWqkvzZCuQlzmHOZlHkOAmQv+59ba9cURSyeLwLA03C+ngh3Hr Zb1mpCp8q3XMnCdO82somGNrSiS56Bg4UKU7EwxSFdnDcoK4FZYH3pROmh9N7fP2XHvAS9EIHidt wf+isBxdt14IfEZ0aIXyueyFg4nKpr1EwVP8wpKW7Oe62KRWnC+Y/ICopVD/Ex90/Ms+USFaRWdU 3bdYl0e90LDsxboK3qtN1D/0TpY6Cys9xbp9tkUFLoaiMHMCfAmSGKAQqPfCZchxmLna+0ZnFOvk m/4LHFQ1ODGUFBhPEt/4fMRX8QW15Ne0IcS87jxFcTkZroUqJJqnMZsjVspjv7gIddM8hQKDRKpO eZ5ysLIBsAzowKm6AoW7pEAChgMs825vvOR93zfSO6PaSWJRk4PCzQKw59Q8bT4fhOXpu7qCjhNt RX2aoiK5+KriiapskKp7nlX6TWnf5XWnKQSIC4xR+/TBWSsFbDw0jCHFnF0XfkIE+ezD862NXtWN ++6+cDBPplVVm4YkrhdOLKme95r0lpHoma8n7DCIrIKbdecpCsu7qlBz+flU1vZ0+CxgF/WGQZ2l w4FTJbqnbExJ+J2DLScQ5yDBTGgvLBk2hRg3INKUQ5Y2/HyU4XLoVFlpWDUlEzMfj4GdKFhA/HPm 89Hp9J3l0b8c73Pn6T0qR5rHyriMl5RwXQ+93kp3+EoncrXro/7yYoASnA92452VlNA6IFKs4Zff KpIDf4rc7GzGwQIjWRnFXjgC0wuQydbLYINCVc1zVbM40s824PNR8Td9HeM9wbXqKlfn0LFScozA OXuaR90IM2RKLluxiDLgvgstKwdDgtH78sHvO6INAKHgfTdGWZJIxfsVXtG3CiWoeA49KzPLBR0a QTboGD+hdiaPSTXywLTyW+C7cNsBejifaYoictwX2HbJT/GajFkP3OH0qqZ0gTVNUUS+KO8BkU0f PCJHAXPCYxLLSRaxItVBfhTTDnrDBRp0e+FIl4k6cVLVnx73g2srAwlU3TefjyCs3/oe0GX6Atbl P6wruddYh9c8jXEdUOZyeubMyzisOfSuFLSuSJWSw6WwnB8bgvOsGpyNBRFcwHwqeT4ozD0TBUSQ vXHkO0B9z7KEXkFvjUkIbohG1M9oPfMD/mU8yBhgsQXSb5IXWljChAzwguRTBTyWTRVQux5AIWG0 JRXG5FlTVaoPNlW5ASYBSA6mqpW6SK5PyMpQH0QFGBEP2JW3gx64WILDzRizCGsHyGQXCfEkW1MC TWtkfkCQD+dv32Gc/9gAd6bCmLxTvEqOzOpVbZf7YGpgkigt3UUVaqIobUlUj+NgM7UTOoUAGCDP m6Vt6n0QI7pxycEV6kLs87IX/qu0CflQDkBqCRF1ItdJbPNZspMfEHHsv3svkzT062aRAzNLxKjM glMdnhAzfmNCXIhxZJp3/slhPqGbpWrlVXyN+sXXqKD7bBjENZQEGxzlWYo6EcleZLUigDLiT+r2 wtGlR1oU5NxsEBwqtUF/pxNsorYZ+Fn+t1YOg+WORerzFMXkNPM8mdU1tDSDRkrs7e6XXkWIbQsq Aq80+sa2zT4dB8eNzZPJJyprl/OfoLhG+XguPZIosU8vr6XbC0e3npoJtfpgJbtWExG89Vz4fD4y kPneeMBc95Ge0zyslTPY7GwXmsTutnmCf+xwjlS5WMTY1JJ+1mhw+uDzhBQRXTzax421EYoAiwio bIIiEC7Fq7O/l71xJGvJit0So2wZ1ODcF+f3kBLxiRL4fLDx5i9xCwBgytfGC4vlPKESkWlktyba jgpfN8nXyNT+RL1DGy+MyjVDmxJpHB5E+UR5k/UVOG2TJtwA1QC+DgUbkYCFhlKxPDS27DzLQd32 QWiohj4ki8B7LT4fdYe/CeUk9sxVn7M81EqRajNXlnXR53TINO2y1X4pdEjv+qgoQGBWghTTBjUW AeupJxsGBAMJ48nxcepXgqhzkWMKLBisT9WXvfFfZ1RNpfmgPtUqpnB1VvPk80F8MLxBzELU2cl9 PpFUaG85ipRktrOBkppTnXWD6X1PosYUHgT+ltDMoAnYYrWQgyd6bbRz5dG+aZxLvO+pMjFO8E4I S7Z8OA96yuTA39Lzl5Wa6gYONzjLv9eklARwg8Dg8jvRk5sBvvyS73JocEmQEyT1vT3c6zZiWd0U qpT1DgRKleeFDpeqAc+a7uB0l3NbD5Y6gTyoUHETKqOiqAj6HzIty/OGgqjA4hLhotgczWQvqiHw T5a5xTToUHDOocllesSuZPZ4kvJ2VQpyaHNJtBka6ReYkYunMG3dCsso+c5UHJozd8l1+eDcKVg4 0GaMjI51/icEBxvVyY7cvd0+eiEEnl8SATM4UUlcPIRgyjqoVsjNPlJZ/ICIqvDNC8ZZDrbAnaco LqeaE9guXtgsihNEwk9esJtg6dvGC/VT2HyZZU4fbEUB3HVymCFBcEAS4b7b8deisI24+Ko5TcVQ gdPl9U0vjKE4GIPqrGcG5TUDDhVaXT7oOgblUGIjPdimKdQEr5RyJNvUxGVdG+T8/vbfAg5VTYMv x2aX06Lx5YMXWE5MD/gNVUFPNrMHea8NfccBXB9i3SvFoz566HapksFsDKY5CJcB8CHp1Ge+K5+P ltMvkTmat6/nHI9i8qo+ep/G5zj/aOF5gSWoC/Hhr/V5ikVUSE6A3Z4NnuYNHE+AHhF6vwq9w6Gb m382cMtYuQ9+ZdsLB1SqJqY58Ss0S13yKgKGnXo6c+XGD4gqwO3rwuPnwFPBJyo04lFk0MptDm83 vW7UhzPda4pUaKJCbqeSl8FjmoP3qDZQB3lyoqB90TVR1FdOVJjFdvOdR7W5HBhfItiU3AwlMNu1 BllgLzKGgr4zn48EMK43CLWLOuHt41lTUbF8ZhGD8va916bvvabcmBwhUN9sqiIMS+XeG5MzxsGx Yyf4rolSYeeImmepD9KpJ4kGFDtKd6LEEArsL2U5PEg08sGKdq13das2CEKB/+VZUZd0zn+b+NTF 5gYn6vMSQ9Xzpf9Pt+ukK7L0i3axJdUT00POE+M45i8vEdT5ILeawVXng+Nad0/nJqAkL7qntHSA TCgSNgqwIoJ2HhUiqJf4ie+aKMTL89QGzdGCB4lJheHp/zVDfMFtKMQlqvBZfSokLsa2wfRM0hcz AUn/I4JQXxaLF/SJMiOCTkwg07tgekzcIc07+H7ri5/EJLgmcIZxgA+cSTRUhnKcuzosHUyv8wMh EoIwEllmHHR8b1T21URfePptflB5SjY/6kptuPAkm5+XiIkPCXpY+3RIXaWsDU8kTq+WD+FKnJ+X eEnLR3hotXnno8R7shTYEyW68KJYsDEngEUDAAApcFTgr1lB57u+Lx+BevYsyQfVe5EoMniGbMDn JVTS5O76NT3Uc2Bwwul5CZS0fCbXTF5unppTnU7XmI8Fb9rGvnsJk/hBg8WT0RgmcbDddQKjdaLi zHBy4uhoPIMqNgmE+EFHsLib9IvPS5DEr8jUcF5z2+46/55AXhtXHFUazpX6eYmR9Kea/54tHxBf spwKz3e+REh2+hQeOdV7BoDGWMA9pcQvzQsPJF/iI/06SUWTln3wSjigqisRZ9jOEd2JijoLh36k mHeW321/bYqnvYRHOn+k5yjvlJbbZSZCupIBNPrjL8GRPb1sguyoOr8PVCY4QS+hkfaXrMBLuRXw 1VylqBJw9x+CxktgxAkS36CJcMfBAQTIlXdjVffcxZDCQP2bvikAGLI+U24vk5H2S1ykn5OOe2wt 2CCQ04l2l9wc+yqfl6BIK6j6DpOj3ESt3FfQS0ikU51Q0dqa1ykr2Q7Sua7F+a28LWRzEU4QoShk a2twtBymvJsc2PmgBXzpgtFo+ZmEZeHLfYsxY3uJhrTFDDggEgsvJJbLoEAqT61z1PXPSzCkA2z5 CsKrqStDoStKtEcrqLLYNi9Z2oibjBl5DylmTFS5hj5xdELLkaCKL1YfvtgJpqFIAPVWsPuRcpLV 2rmCBiBxEArz2Jqk8pcwSF+SJRyT7mBVXIDRrSOSPy9BkL3i+DqDkCD2mWzGPi+FSd17XYY748IF WrpsjPaoOCCiklpacEYz+uvqH2nw+m2GkTz+cHLJ+wnvCLTEtXAmCMUjUNUNILdolPJSlNSfSDAF mrk+qL9UzyHNGhK43J+XkqRFIfMrBkLeOUfxCXqpR2rZdVXiu2X75xqeTsPYj+jOgEyeZC6iGGhQ /7Moly3z6SxtXMKLLFbKieFyh+JeZs4BfDySLMfF0bbppRapPcbWW587+2Bn0CCdGOl7xisGZ1D3 W4wReKbHRh1+TL8R6XQ/V2FOmp/Tpe67iChFZovoYnOiOFpy+7RBtsEnCWqvglKgHjmpOXoyfdjz bKTnGZh1z/SbBAmCVTQpJg+Qlw8q2O6T8JE63soAezXaZ5aVaZ8NUGXQJrc5imJpuJTjfDbhOMjj WXYG0QsjilUg8i7tKZoj4nHQpvfBMzKIGjBjbcJ7gX+2ZGgKNzAUepL3ctGC5OtGC0lwwf8IE5/M BdBmfF+ufDw4rPf+uu7PO5xkJ9919B5PgzOuILq6mrwpfxFEsbdjcgDNcoxXMEe7SXaHpzYH562C 9ToLlYnOAYveFAuQlRqV1VQJLR4aAusGU9S7IEsy3OsmD4nT+kR0UqzcfDyIiOb+uvBxo4y5PON4 Y899n9d9+xQV9wEDmaBNW0aNmocCBQQHNmX0OtqzPvgUtb0RU6uBC8gKjiyg+s7hu1Esyl/izUJ+ v4fVJzItMmwgWHBnBwtCljUL2VyAPY3D6vV9pZGwmvzOf2PO2Ukr57hc7zLKzuttbTvytK8LnHgP rLO22kjY3jZYXrZQZO6Q7qfawFkrVW7F581IYpz0j1VjZKruGJ5GDKgFgIM+rZSbzzkwABXEEZMT ymnBHAlf7LdaJjJfYnKcpDC2ZnKG7OBWsatXsUfLF3aaitN33oPrzE4fq9d38LbI2allIBSghkhF tI2qEJkzAM3l1i+ua9CN6I00pw0NP9STodCVkINYmBXK4sxJRymfN84c37Gl79goozU9S7uTFMbX jRlsHS5vWchDlAMI2xqmuH/721GALebO2PK13I+vZRudpk8k0kOieAwqpRMiIq7sfjK0PPW67ydS q+qtyXIvXa+ddVZnEco9788bYc5y4N9J/nInYs5RFGIz7CzkD5seUfYQ+7xxq05vytvnKIqx+1bX iLguDt412mdmMjVbz9mzUwOYCBYgaMNn8F9n65dEP7te932O5B27By3A9piSrjiL/0QFVdIVnY8H MeS+dSK24iBL2Gkiz0l6D7OT4IEZnWcryCa6+rEgmyTaRO9PuRKgIBvF2aPRAWQTd7P2xd1AS6nN AnkSgJcBXaJnY4Xzd5PV0xWukIncG1HO4sAhrFvxwRmqW1DT2qGtE8XZ617+eOz8buiEVK8WvfHk 7D5lpVoIEgYCas9ijniiaSFNoIw1R2GovdjPHvsOPkfzhAJIjdl+PNEWT/YONgrqOxBsWu2p7k+9 bjBJaoRBvsEGnUjY3LSSqQRIRLF2rv3X1QZnielz9EaQ0w5X098zV5ruOelS9RvrgSxL+N/4cV9R ZMfutcGvf+gW0CQLB9KJDMSPo3z3BBqVx6GVrEF545cEp7boTL3dQSES3JS7eaPnzxs9zupaN9Jm PAUgx7gn0hs7TlNL5jzgjH61URxQ938ajjE9i9hC7TdynC1JJmuSAq3SURbra0Nud5FEWCGVD6dk ElOR1KIdUUl0tyOJpcc3dpwiwcWOSSeBt3bqPiuXhZoyoRHQP3ljx71cbkT0thtsv5HjtH5Fjiv7 gibnLY2AHeeRpKx2Ez8pSP0lrtDF0unzntuA+aZUyEotcFYG/wBtx8y2S+VSrZego7X0Hm571tYE I2koW4pSc5K9JZQMhDI+b8w4i7frV5xEcwtQOGyWwnjb1CoeI1kCjd1OxiOAMbsdSm+8OH2/bIkm sTYcPAJY54SEvAJrkEgL2cRODOwyEQkNEkGi50j85I0Wp2/ZciSSxgDB8gy4ASykdEU9e/jzxor7 bx2bawmH2xi3DxJF3Iut2TqTR9zQofxxrfTkWQljG+24uJStFhFBbv2BAYKiXROdq2DeADF5IkcG pmZCbhQ9bi/Uyr3pjRJnG67rPNo+GKoUHQVmYyPNzxshTlfw7v89liCY6JMURdxgPp7AM1+vtLKL T1LmCnail09SVM7uqrVtFks43EAJmiQJ1NizTE/0iPoW2teACxN2U2bbz+Ft7xtESpkIJLX7OahM gnZmEbJors8bH06TXPNXfguU/Znoe8G9R9zSgs2CKqjctkSpwCTtdK3SKivfnKT3iDube8MsZFNw cJbXQLGQzr8UHIe+PEpJ64RxG1WGApLCbarZ6wYFSR5KU1rXHDhHIB+oeHUWx/68ceH015bvthF6 D5B+9jmKIu5JJ9maL9y9tnUBSK0/qdvyM+k94pZL+4mQzsrwwQKlljaI8ZvAmnMHtUxw8kYBFtI6 WMhXLJ1qUJ83IpzVywgUUY+fjjQMj06QcW431olPQrQ/b0Q4Ozh/FQEgdIsfyCYpKmw3QrjPSrlB wHd+27xuu4oLyr/R4KwqT80q2TfMx76htgzzD8DQqCQwtgLOhDuj04aEqvtucGmvG0STU+jInn3Q uQ2kpLKKc0N83lhwenz55Wbqe0RT+hxFEXfdBESOfE+kah5FBfhqb0DO7qSlNwqcNttgocTqJf2h Cq6zhs6v3KUwBDAVdUE7ci0Qfn5o/22Ku1SyfCPA3Sb5AIZi+6Cs5Cwj5dOgRH3e+G+KRcf+Wkbo aHV4C1sLOwy4V1YP2+5/aMR52XbI0YktSOJe2cP+O+DGAe2DpgjKCg0fQK807ML0qO2dZcTy5NX0 QnXl80Z+syY/W2x1FB8sccvdyv/n0P+8cd8sb8pfiRvMx4AO8CkKUSLDBGO9SELBAyuS1HHLbSUb CuKN+fbca6MAemeDpqjDiHktBIwQijh/wyR3dxFWy4M+mUIc+vxFb/vXFEGq0gertkHliZj+E7F8 3mhvFjV/x9rI+lq+TbY31ptWESX3JYbLjdZm8waJ2MDYaBUy3tpob6S32yLEUU3pJQ6OFAFWbVSa Em5spkoNuYLqHLNvMB/8wG5DbxtkbU0YEU4RB0FFzhIdQtKcCOvzxnf7z3mtqApF0Okh5BvbTSdI pmYkCZtmblE0W/Tbu3B2XOREH7+R3WwVUw6ONrEabKOdZPZMSpWK14ldJmv/EL4GVb9xd5fHQj7p df/KRnZlpYT1fpGusE4FsZ4nZv28Md00x6l8ZSP1vAiFQ2ySojgbVWburwtmp1IrJukbzF6KKzG+ 8dz0IyGzHawW22CTtFDLzp0I7dHraEYOQC8R+CZAEa1AQhHszxvJTVNExBHcxXwwPO059mUkcz7g 80Zxsyj7G5EFMEOlva1m6D3IdnXBNFZ+ltGVCVh52pVWRzVMzRvBzRraPGL6M/gyQrNkLpIitqyD KV8FTDN3Gite3h9hJvLGb9OqVzY7d/dBp9Gs5ODx8OM7BqvonkY8uVAamQ8sK4qxO/WG1etU/Mgz U7C1vB01gp604sc3cpttNSpNVFZsOTgq4qyhsVBdBTAbS5PZGrQsfzYtfEGqdrYkcX1v3DarRvJS 27r+97ioiHlSYh4xa/Ido3atX2rkC2bw3m/l/43ZZqGREFLN7YgT16yAIwTeWqHNFZbeiG3fhba5 xermsTjZrm0w+2hddoQn8Wj0SD1L6PybnPtB4aD+QI3faG0qecnXQqIcAxgBNX4WEC9SZD6XxeeN 1vbc4U+/FgsKlQSbpDDGrpIz87Q/M5q2tL+2W2jbbkj8RmrTZk9aSLzW5yPJAZwEMgccjQCtd3p3 A+GPgjrd6wt40h5BYq9/3jhtdmiTKjLZ2+6sJfD3J2JQVO6Z+fy/QGxsy4EhxyBJsxRF2dTPKb0a nBjd22kgY3iz3hISDm3NUlTXhuwX4MXrDrbdKgBUm8djAdi6sVRatwlgofUP2XgvIXV736CLRIjo TFKBc7CuqTHLCnTnzxudTS+ZPRWhKEWBQmby6/+NzWZnkqh3pGmJsdWLQY3rGi5AZUEksJAxhITp Wm938OIIbjPgI5jTzsKSBj339s9GQEkpJVtJNDL8vJHZdDxQyeysPBvsksrwSCOyp+c6P29ctv90 2ghXx7oaD87mjctmJRXpUU7nRjZBQws9UpDwkhvZIMdScSi9Udnu3XyW0JZJ2n50mPHhJySV9cDe 4P9lHkoTpk0QmZvpFpBmK3rdaLtRg0Nore5oLVA1FkFm8NyZnzcem86EOb4ObkxPpzSP5igMtZds iYYvJOqx+kJKkn8DYqxXW0hRWbuJ2s4J0ODFf5ZspwmZnXwQm3idW2jRJhKH3RrlSdm63jc4uUk3 GpM9AA6aJBgoS1546yWjI+k7qwWiofV2F9J7sA3cqHQWu659SBBw45k5Q7F2JBBRut3eGGzWD5Wm C3XxODg0G2iPExzJm2Gco4guaeD1gY18rnycg1eEmdSHNwqbjlDWIBeuARuERppzyG7vhB7l88Zg sxPYQwDehGcpm8IpJyksag8enpS3NRn9fs12h4FLoCrh8PU3/tp36k8icr9EZNxuEOvcENI+ccnJ G2xDZrDMoep+bnHk/kpsVR55o6/VB+BfFjOS4g09MLeLVJXauZE/b+w1g5F8N/8RKfd2yyNv5DUr WzEhEZifqDY5fxHVNouXax8UyRt3TQuZHepVqb/BwcJt0EuQ93VRRM6sEEhJOUrQuH9gsGvRtvAR b8w1myI2/RsdLjloijaI5VJJ2OPzxluz7Ot7rxVU2eu8B1KIIRH1f18MSZL4DVu243oQJSASNEVh tI025Eg4nG3wItt5hZYJ/ANZp81GOlKbxEqd6ZEel4JtraKooN1FgFh9+6CkDTmCsJGt1c8bY+0/ XW38u7CRP9GcN9ne6Gp6StLUzLGE86etrxq2pVypQEq68cyOg+2OuYF+lw2ORUL6vwul3U4Scs5s NZoqGu+IvFZ9FOEJH30jq9nhOSHPgh6IDZbXnuNOmiQN11oUaj94LcJHIUkybqm2RaF2l+2Qpkge DGZbQSD79Mx/sFTb+UnBRiMpG4IIPtgUnQxlQAfXWOwnOyFU+RwlmdLtcEFwX0vA7/kdAeyPXcg2 xcWazsUCdsMMPVrOeDwIIR8gkohbSKefnRYG2iwhjdG9C9nq3pb7Z/UmWWWjQhmXUVTOBv0DtX6h ZtYjmD8GjGpgg4YS0tkVm8UmICZwvjIduiV/O7CjOHsJyzY5Vxy0jnZR+/2sozLweND1v7k/1gyw H33cS62HcTYzguyVkHMa0ZdIqhGqT3IdtWVNyP4eZysZQq1/Zx/swJ4niBmUyIWDzgJ0nrSbTddE sH3ZqF2Pp0D/B+fxv37NlY7HLOOfT8XjEQrZs1qz5AXo3/O1HkXZQBhA1vUxtuzFllE1mjZ9PNI2 hdcewUfYXgNYofrgU7Sh0jOlxzkSYKMy5EVRFfw2AG9u6k9hjR4VtKvMYxsP7tms3AmN7NSplFrr SUV6FGWn+l3QhqhBxb1tcxRG2eyunaPhAiMJIBZ4BHHdFX83HFKPCtqq1o6Wtw9+HPUNYWWC/gC7 PNlEF5qdvpbwO6O7m5VrCR7pUZStGlIRfLQAhmeOXuv8v6K/vBU8HyCRbi7CfxmsHwUfNk8hBbIJ ipySBdpLlUmKINQuTjZiyGqulj0KtJWxySFeg7ezgfUTSwMSaItCAauyepdRsseZfUFte9j7Rnkt I+ykHpsq+cj925DMBAyOOx6PaKK3VSuEQKJq6d1yEROSBo/gYxkP+/yy2b0pchqugQDwnvKRHoba ykd2uoPFSDA3gQM4s3+0SCDrs5rMPijWPa6nHq1H+bpRR5t7DQE6BqAFpICQe5Z71ThZG54P7rdb bWN8hcV1loUH2z0Otplfjks3LnV4o22u4WpbNTG17fykiO5HEGlVF6neLtJJO3FbQwybyq5sJBGN jAeQuGQggv1gorRrjyDbk0YwwwakwcxJyokqxcL7gScLnv/nnvtRH6nNW23rYXGbGk6t0HWY/Frq l1AaP7PJZJT+Zfl/jyHbVIfoJGXXfknZ9HJYtC+BYOKE0warbciWYVkx8TfuR4hz6n3/2nFj2WTR 4l0CR3Bb5QE+TxqND4hwbfnuOfzLCQW3JxB4j7kNkVzo757/5/oPoqwEuTYKJRbBtotqST2KuTeb AF3Etv4Q2zLj+AkqP5CkaEuRnY1y/Q+EgX/orOLmHZTh7FGBu1Kdu8FV0gaDbKGpapIGE48HFYD2 Df47Zxj07e7pHaG2O5tJ5opKVXydUFTFr2TYJBK0q3lY9ghDokbJw2vjitaG22ickNeGSAnwKjrB nLfbqMPXDPNmHd7ovlZ8SQRrIxgpM6DkoCoJSotDUffi49FCml+RQEZC3sFGs0mKy9vM2JgRqOBW ufeUNY5pBbdzvPqGi8rbS+oZi/bN3XNPFCXPkZb2FscWIHS5mxTU7MGxpaxJ9qok4398S5ThMl5a rAXMZcsV7iZlbCEky8Tj0Urqv2YJQoL1HksjirtnZm5Qs/fcMuENAkmUchUlaQCOWRqh1AgRACiD +GA3XAffH4qAiLsXlBGqDjDIRUGJHiY3IpKYKveI4u5MKvtc7JdwcErSGIop18nfRhh3z/KdvzVJ 4XvPbUSBdyMcKXdXY8GBkSwKqK26nEbbywpuIy5vi85Ovj8HW0kdGS+SBpZuEeBgY7aBsGjR3hEM DBcgQ/0KX/JX5Rb+FRjI/udhO5A7E3ozkOWOsL59G9yi5qCbtBwCMELYNvAPaKI7+G+27OWkWg1V giS3G91mRPVtCMauIYgoebB4Y2Qnu51LCao1dAtYcEmnTBmlImBnV8ZNTngg4zsCDCl9rKedTIn4 IkwRenlsLPXzf3g8qJWM71oJEEbov/sURUF361Jv/bKJHVc2gmJPhv+H8CL3WhR0L1Yjd+oamk/R Wf4JVt6NcdL5+LmkAQ+WLWHhNGi2AAB0fnzHX0gS9sptsDLA3FZOgtzfCGvbzVcRZYPPEuzlAklG FHB3ObRShlPHUZkOa8/7UiQYMGkVRQG3iUbWWn2w4wht2714HJ3nwHmjy1dC97XjaMrzkR6hOjS+ 5I/zCO3fxQF1WF5HbcJEnqav50/A88E6ah5KUt4WBa16a24jjLdZlkzd6FlIULh4mJVkE4qCaGs3 dagRxdu60gaWsw12HqHOsMHcxiQNFv6Js0X5hUUBuKfZOmIyg+8IUlzmtj0x0+Wg2/+E7WPKNmlM PB6cRr8QpMhvQWP2KQrh2jKp3Kv5ka06GzlbYpGyt72qNZJGBNcuFPdblSbfq16Tb0i5I6Wlg+6G r2enthibtf0cU/SxvWjtqreNTuyB9YPJ5TBk5DZATuG1xux2hJH2+lX/R6EUIqA2RVFxuzUFkaa6 htw2uTh5WY8EyRiW244o0J46hrc625tVyUzs3wmBTxy0iSDdcEOpUgBEG4kmAekiSNFhzPiOIDpa 4tnKiYztZeHa8DXsu6Hmjef/JSHhtgBNHnmcozDQXvJcNFwN/jE5F6mVdAXccelop8WBNklIlaEP B0tGElD/kqsDtmCC/YcYEqYQJ/xuqDLfnI20SnxJEGiT+V8zdf0qIhsJs56PnE0sUpSN8QFBAaD6 oc02+IkNTzpxxX7iSNvk2K6iZttOkERgbOdRw77TSgrr29SOaNk88q6SbU4npB6LFy1abie8BGVb lWFISf0U4rXVBICFCl/3r6Ztb6zhdlKk2WNraaU2ibbZueD5qEpyczb9y+dWJZmVszTDCndXErKU 9TM1aVYAaJSepNR2wwnJfGTGkXYRf7T64IhtqCC1ybbtCYBObEvjJeozDuhFTcoij4dqO0MgSZW7 RBk+6NQ++5s6M9CDrng8mKP2jUgCVa/DitymKAq061AHaQo8ggAJiDFNEU85yUNS3ZmqSGGFm5Zc QxhRDpcbkU5EgbseiqMolgyCbWBhcTYLPa96f8C29r7BfhsSIyGDhIMBSZBQSWmjFzz+rzOJ+EpM 0HgggDOKtBPNKMdMXuOu64mRenOD04VarLSjQoKkOA8qt/Wn3HY+JcFOp1GxpZ9DCWVTJLYgpdD/ 6EyeG8FmGu/gW/5kbTfKbI2WL/763P+Stj/hGB7/l9YG1ZHOyT9L8kbAjGLtTGL7EjJChYDqXFto hjg/Yju4bYYFbt1ui40ADh4AtH1Seoi2YeOdjS0bEwAmgOVA//bL2tTeNjqSWLHVwc1BUwQJOIH/ CwS2QtD2/IZtIX4Y5fIjZhRrVxm81eSX2yg3AEBw4ziSa7oxQ3IkI58BLKgN3gOY4FWB9o4A4MQ3 QIAirT0raBKAsJ58ZBK2PUNyZOPltqgkVV1E+OwD0DdZPSu94vGgLdm++0noCo3U714LQ21ySOrM 3QMAmjiKZjNNTOpcryDfaI4iHElnX5tGtTZ4EWmArEVZG86XqeluHNAn/ydx6QZJNJ+cUagt1P+U BSUHMSPHqCkrmR8Dj0eFtm9CG86XAec8m6IIRwKkAFKQthxHQm9mLaO9bxxZkskfz6iwrQrSmLaa Ls0GDjbnEJFNIHjIeVNs4iQ4IFchh6lffLait406t8zYWGyDg4J5Jk9Q5ppWxuAr/ith465CQ3Lc AtIMiZE5K76+TCSiw0yu5VFHoAY4D6NQ7q8RiDxYkeRwu5LznEaQ2KQO2WiLWhMIL2HNCjPD8lT+ t942QCOpTtvn9CFZNtLk4FPPPsbj/8SRyNYMSe3tSM4ISKKOJOpVdmAn+mnKbrJdVBtVZzRHUajt k7OzD76MTpSNTls3zchMf+9G64fJ4BSWQF7TJrB9RqF2oz9Zl+lkxxqQNNYJ7cEjQdBz0h88HyFt v8u1iBZQAvJJComRnUp/0mCVytbyW23v4QxbuoRqr4VSJIL+bQLbOXi1FjLgG0CPBqcQSc+BRQJi IqF/tdc7Savodf+CSexBFjIHg/7Bhthof3zHKIj8xYzEVKfLIllRnE39MhQ/XIqkFS9Enr/lHtnw idRCWhGSZPA+n9DgtMFv/vOnSMUQqT8wBiQqg/B81jrJJY4kMcr/CivaiQdRRZtNg5FHT6BKP4F2 VhQej5iR34VI7I2+bv9oRXF25xSVlO4U7Qf5rx3Iov/MPkVhnK0CkvI2Dl7QRqWWxxx7bCB/qGEL AVl6j9Z58UgQCsB3BFM0mK+tKTMxUEl0Zg+ccizWnogbz0fYv7uMpEMCxNhNRlYook2R35LvOio1 X4UN3Wvca8k1tlYIJeFJtFRnXIX5WmN9pMGGGB+Aey1VcEl/aH+LYxxNybyf6OicDviOqMom6Qi5 JzoFo1QE69Okjfn4v1IRRgzsrvWr0RpyI6ccJddlkDzREf1WTc5/ODxihXBtrSOBHznYOlp05CTt r6ArQmtPVGsXcgEIE31p/hHXtuKCNmv+TTq/FUd7114DvYBiEOPk/SuKsp/jiIJ2qF+p0q5JCtW0 6XmQ+7p9SOnZoFlbJQC0qGfTTBVhhdzIRvGRIWmkcTtsBcbs57+oVKtf59zA+X+yHFRkzseCdJX3 g7SZet2g0DaVrdFFAwx2yf6hyLUmYfSwuMfzUWskf51IQNpOnPU2SVGczQ7MSSS7FSOBdLGSdj9n vue0Z+NZLrJiDRLSixcPbQ7OjlgwnSPtGG6i55rAtkQsA/QKcBkFGgBmbEeFF3xLhLQhd2SOOyhh m12aF0hG+JIRHulbgwTFavqp2iRFkfYSqL2zV0txO0WUi4p2Y1qdrUJCUZMURtpFrew2fLigLQhr ZzNkgcklXP9O7nTOkI3ev1m1Da2krNcN5qjz3C6UjzqDObKegDcJ3Xtev31WxI98VJH5GBdSvbst 5EemonOomTWESAZikDSsGpNqZTbS+UnRkURlbUmPty/pcZyu6GIXFkfKOYXongzdTvrZnQ/Exen4 EcRqnxUF22KzgTfIIatdhuJNnawADdTZVhRso4f3dEfa+bBJTXRNUsiPnNJFvrT/Wq9TFIzr7Wqb JA1TXPtv/MjZUN0HxyJ10DRIQwCfB7cZoRG4/TadcNAiUbO20J3ns0J6ZBuOZ7NBaS08OLIAyYPv GAm0+2YTc7kCiDTvJIVVbaTy6A/6JMkthpOkJrEmqXdDRqxYYZuKtlmKtvkq2haQPXwl1ZNAkzZH hMT+2VgLOBFvlDSGXvevrv+camxPUxY+ESFCABkDz4rHgwBgewAgMy3ADi5fa0exNjx4lVX5HFG4 Qgtpdu9o5z0NkrxDduSW6b3kSOu8yIhMoz/a6uFQXuAqI5DEypuUFX/KI/Lg3lGs3ZJEyHUgTevB wMddKjiQ11p4PKLZ/OL9qYLky2i/x9p4SmaR2wjbefEBcZFn8VibIY6mKNbXFnnmGWyK2rn7T5oB FZKzk/s2YeQKCfANaW2Ks/vFRqX/HaK2qbHRlPy3m/yf2Ecv+3MSxIHHI2VELyExHELaP6/Gxg5R 22S09bIcO7Jyu8KIeVTHjlQHRuwYtc1EZPNi4+AXWzoRQKPk30nZwEWk/hYJf53KZkhWr6vt0OtG ZTaKR8tfc3v/BbbzpOuSD7zx+P+FGol7m7G+zVEUa8M4iBq227YaPQGlibR2dsGfOl0TaYfgEbK1 h1g0Y11cJFDaUItqQ86/J7pmk22ymc1KKHWjrH/Ee21HwfaWb01ja4SDFf3rrmxpw8AGjwfV2rvX WCeA+APNLWySQrx2KY+0BntJu7kpQp3VBf8rzRM4SSFeeyj3l0pbuoStimLPyQkNGVE2+cPM/cFM BOV/PoU20F3wHX8hbKaqJCeBw72PP6zD/bpLYXtuPB8BtdrX5c/AvVyg1o7l/piEjP6mRJKvmH2l rR3nKEaPMKHForDB5wj6v4Q+QswePu5M7zJ0BQeEPUFadrD2GnrbyN2HZppUs9WgvQaaM7t6teLM Dt1r8rfeP4hYJyfyeu2O0CPkngDE7ry/cwV7LXK2kW2vEfGuKQrF/ra51GUfbK9BYQ5/D2uRUP5T SA4T0h9q5W2EkNbP7l1v+6eDlpwROHCKkCAm0tEhBY/Ho0rkdR+REAnqtdcjaoeBdv9Ka7mMJBfN ZSQx+yqbX/cfCWva7KwtoF9ssGUEifYz34ulyHUu0kwJBRqdNziR40Y2ZU3qVOI7AuIfr35+uA2a o3rCFFPWxE4L1bXnL2XNcqa1P/WRHda0i0pIzbda8fI2k5HhjC3KlCIZ2WGcLRF7ybTPL5n22tEU AhAQxAhgC4lXojNLQ0aC2MzRI3vqbf/SRaIsqg2ao/Ph1CX8gWoaHg/KIzcVYcv/fD8YZD5Fobi2 pqiajS8xW8uWURvDFMhOdNP96o+wI5Nzs2gursHDowZ1bShjoHW0TgiZWSKggi2+DUQr99RmtXbH EiRZBJs76FaDtI22WpkDj/8rpSVgibzDR+w/hcgR4v2gyO85LcAubj2OfcVldDI/tx5PYZjNg7jo yC7PkZ1OhoYDqdGRLZXFbbfqWeToOCQcoKhHo2qGlbS2vXFAIWVbpK6hXi2OCtWnz58rTa9zVU5+ QIQe9TiSBxCTcNhW+FSFmn+Es3eySW3H8YyGRthmgq70HwJNNlWx6B+ztiQsUnqwSBXV7A6+MMBN Gx1yOmvhJtsIrugy5Mo/DLfxLX+otecqjAQHrijw5UVVg8IJnw+SkqePlFiV7Nh3j19LCj1tBpX/ gYE3Vfs0HES6V71WrGubOmJOIWCbTUngH3ywmTobeAEDyJkqkPyjxRZVNTIJviXlq9qyZMaa/uZK ToklTIQC4u2dv2GQpQPC2uIHBPFS+UW2gXDLvsItOYVV7s1a2yienXTFTuiWDAqEKIODXK/NVGgY STQymgY++EzBkmQO0m3QnIQ+IqhbbPgl0NZhA/U0cIu9cQSWJC6p8CQXqoPH1MDpJyrJGHw+Qrh9 gyWhecBIwicqir4TTe1ym24mIfYra2+lmYg7Kt3T2gE5RaVuGuXCwTH7YBN1AgLonQzBJeeqlUQJ xGIovqHOBjl/KyzxrOHXBDQAqtuCdOmDiibnEioGTYKhRApBJeXbvRaiaT9r31xOOJ73qHaqASev jYzGhosBnR/fxYDOr5T9mArr3eTfDnGUONi1B2TJAmIVZcqT7lYqKzT2qndD52ZeYim08OyFIzgg IW6LJiUcbKKgpc/46eTQfD6ysb29E+Fv4UTUr4dLCkVKaNY+SzPVpBPDsOHNcu6u3ZonSYJAXR8V xAdG32J8xMH3XoXaBGAYmKgGTw8gcCtKUufjEB6PdgndqCzra4IoShgceZTM61FCxyG7BIuej9il 89eSOqfO7PlZUqFWiTZfqdnbTALhcvPN6ozu80Pe8zysfDMSb5mQ95Yv5B3p9AnEFmGBtdDsADNF ACCo7/ja5W53hRKg/JoIZyIzwDE0dCv0Q/OJmw+OTHz+X+pJLGGAsaHihc1UFJUvJsBpuZQ7cKCu n5SlHNAEfL+ugJFmCWV+QOS6g2++PRKcxxlz1gbSIDbfRvw1iHyv88EGTnvfKESQCXnvPlhJLvGT EHS2weeDvXdrKWRQoCg4Hh+uFNa/iVcGhMuT4HwBOaN7wakmJsuaprAATuxbk80WB89ewAkY4Nfh NEexlwTKAZ7vCWK4jMulvdViL/yXPPCYgixPhyzD5DbJuGQw5gydb34xKAfvhH2PqNBk0nimqbrY 5GRpVvNEiRYTCcrTDRRDwIl475L/5eCAEzhUN6hK01TkpOckgEGG/cQxm1Yg6bq60G87BzaTWLSs yc2dfFCet8GwZzsljcnno+blt7HbpPndFcHJodFkY2huGXAV/t1NFKsMqGjazvKo5ilCnciyZHcW Mjk4t2v3Oug31Jjo7UmSfIcXYUUTgpJlpn9Hw5L8h9Mkoycwx2ywaWqVKSpof5XPRxq4Hm1y7U3q FN3TKfaahA4dNK23J8TNpMqh7kaNdyR7ELNXzSCHZpOL+20lNgw42DShAQeKEFmC56DKXcsJYDJU 6Sgh6tNUmr1vZFCOY2lI/X5kV+bosDVgzxSC/3w+6hh8t8KhXN/WeFZTpBQIqWnYKORh00RhH7Pk HONact62Sg4NJ+FxtzAL0wdNUwedEi05M1NcJwOWLCWaUbCNIH3JtLnYC8+B4aQCIBoEDh+4mqDv 3tUzOKEbnw+m6QK9GG01OJdc4GkOLSdtmtKVVarL9N4KpFO8IL4g82DTFFXEJ++6teTJsR5PDoha wHKFh9NJKyv4AVhNYH2w/AcjB0tdJKyUQ9fJJchg3ZIx30bMbUB+cgtC8YvPR83eXyLvmzXmZ54i eW5wJbCc7q6rQLZp1w2VoQr1ApHaadfFAbnmianLelKXClNgVA9Y8u1lQT2Q7BzUgSDVmaEv7Fgm BQWB7yROJ2w7+nrZoLo4ipuq454P4vORGP4vUQXw7na+VYPAeBJNcckF1GxVA5zoVjUo+3rhteX8 3Bw6Ty66SSDM9sHrKw3ESkrzg52wO8u+iNLPykNIBVbZLdipEhVaT06ZKSdxUJLXV4jmE0WncdVH 4fgu3wLUcBkcDwolh+aTedsp7qCvMrr7KnYSyKjQQQ9Am6fIC0ctTRJnbXCSzvm/3WWqlOBbvyCG 0zoinDWLlEGvPXet9sIRyNJ6Ud0HBU+b+p9cT6ny+WA9XRcTRhCbsfi+8xRWyMFcQb3D5cwLnRyk 06kInQRLOMfYPP0DijL3Hbz1m89RWUyqe81eK/WVM6ULkf79MDbzTLg3e+NIGZ9hgWgo49JQ9vlV iwC3J3Dj80HWMtPXAUXL2YeImkMTykoYQRZ+mYFUGS7Y1VpyN9OuikHSR0U1YBZVCgubHDxpQddx C7981gPAicIRnCt+08lnjvafrCW0oSxDHReiLTkoa9ltykXoxIONz0dZy3c7IaNBsPuVfs+hE6Xc Or8ku8497jsvS9V8UCB/GGMnh1aU0k6AMK4PvqLOf4LSK8HN4+xAnB+wogQDGhLSOCYtGqcbFr8k uPBEHiz0xqVzjwgBM1PXAoSUlfl8ME/pm/tVxI++F17oRTm1nuhsrmi8JWejVtxIWbU6hKM2TSEG nIXy0aQh1PaF7pw0ccIFmbBUaEigcQcM+DmyJsTCe3+Wk/y6Qy/KTKRlGVQT5GAFqLNOqkwm+uTz /2I2Z6EQgWNdt6YZulGioHbtukgsSF6A6hAP00Eu7U6bpzAcpz5wWxLMW9MrdYDZ7DR5CoA4uiB0 jpomuIk5UVx90XFhKA1O9sZ/KVLtRNDcQmFMhua484ZgznttfkAUkXu9gMJ6WY6Hd6ZC7e4hh5Op 6mWG9bzjnOn/Y6JU3AqaqRANPvWdAjqn/my8M0+JUoUNQS1UPMAnTIs+gtTKb9dHsFCnnF8TYQuE cU7K8JK23tmJ6Khyok4My+ejzvm3LRX45NBkvRMVmuVQm0I+0jLLydUSYdQcPXVpZZiVYA5cKVVH BqVAGV55IGHnT8iw1mTzvwJ/SWW9jMotpdB4ZHmVfPoLR7ICUl205ieM3LkIkAnJVn2c5cwP+Bdj vllw0OHF7RMVVclbtYPpyi+zni+9nHpFvGtbXlkJnSkXo6fRBC9sD7ywAl/WcQ2BX4CbalPoBLiG jlovOkSOwMzV3vevM2oMyniP4TLeHZ48jBRbr43PR0SVKy8sxTzIU5Vn50VBeasMNvtFYc58Zaop 2KZ5ApfY5ynUFpQUfGt38JJBSWD8y502tzmWyAhgzLNEDISmay+tae8bgDGqLqlyB8WaQPTSYurM 0+bzUUz+C2SI9YgUzacpisl5rhWpH6uykh/gEx0ChFhBdmHTFFfI5U8p5FO+bfQT+52Y/0yFeTCx 3vVDG5cO5NH51kaT6sZ9Z/MUglbU8MzE0U8Qkfj3tt2QL/2oBMDno1z4Wzqf+zalZ9tFMTkAbcRh 3Fw4W20TuctyneGxhufCgUUl9FNlcsqDnIPmqZFiCNwEoYY5VxHJMqAyZA5JGX4+mnA5tKisFPE6 y3X5IOrTWSZbgoYZLfTAo/KcDWl/LacMTbC59nOORyE5/kVC568eM7MBq63YfwtYBqXzuj7qz9xF wBQOduFhRZWFCIfqsBM4O0SaAGgNEAQyeCMoLpKPUeyFI6NKiegS27vAPND+ga0qz6eTGnU+H8VQ v2zPESWeM+rmLqFX5VQy3LMzxKXY43ZMzhBfqxiSPodmleL2riKsWLlYsXJWyUBjnlixnIEYpzzq 4BIDf2LdGpRg4jl0q8zWwqvLB53jgEOLvMcCeWBXKQL1k7rAOmNS38emKQrJAZllqOm+HnV0P5+m cuFKKR83Y8yBYeWJWsldmdLSn19a+giV1l5iimE5KcY6f0+G3H8iu/iBGXR74YgqRtsKY/mm6Rtv /X/GvivJlV1J8p9rKWuDFr3/hQ3cPQLJ6smoc20+cPrNTTILhAjhAvghs4jZfD5IhdN39xwlPzQ9 7jzFGt+yPr/usPTfc/ZK8oYLa3VKhUPXSsEz62bDhYN3OtFtwQmCmgFc9rKodJ0CKPiJyjNRpasz FRpXAmcFyuE25iEceHkurRNnku41Ws/8gAjp279CcrgFkQbhMxUiVwqlvXu7FBYAJEwEZVlj79t0 MMfmlSx9A0Plg59QSBLr3sOqK6wNQpkJXSJ4l/5kQO0he/R0zwP7SqNDn8y3Gpu1/8hJD4oJlQHQ uXYyPyBKh9N3DIVSNCRb71SFQTmzFymtmnB8dTGUXqcXoogEt0UVqqEUy/Pu4MQxmIYzUxQiYwJR x/rK+OH2wV958fXZX/ivrjCt2k1AXgnHPgtKMGkAf/gBUVB+ZwqLaoo/dIEGgZMlotRC4FjJDsmo wzNiOGhZnjfEr0eeF1pZQrcFU7Tu4JUo1C8X1AYa7V0ZKgGScXK0TUItdICc9ZO6vXBk+sHjfND1 i4PQUAg8uPlOnlT5/L98Y8Voxc23b1QeulmOJs/hdaVjhAOWsu527fjGEppWVIgiT2ZmtX24UMTz G2e4goD4CxtUVqAG1IdgxcOG68Nq6fbC0bVnLarpg1XsoHEg6k8afD4S/lhfhxTA8/3RRsmBoyWi ecLrallWsDtHh5WA63ZqwpknhBs2T1FYPigg05ZtwOc47w0C8qgJt0J5USTrACJWWlqglgK9D1fZ 3/7GEddevU5SXDhoQa1dmIPhYO98Pgg4y69aVDt7BvytO1NhsZxuzdDB9VpUnxfik2o2BTlQg3zn hYE5Lz5AVnzwfBjoCzSHBdnkeUV3i05yKxVOx7xgKBXLQ2PLIQ3iTFIiB4Gh2snF5LR3jis+H5Si LmwMuwzFtxOa12emwmo5Q3NUxh0GDG0h4es6bH9kSLhgOC3YWOhtqYgzo81igxqL0CEaOxOTAZSM Oc6cRJJ6SBUdysZ+nvpU3d84CBHYH26tVx/Up9pndUrZOu3J5yNTwpvr8Zc8h3SfT9Uu9rfcSopv Hz1tN5WBjnmxZK9Wd27OgcElKu+0AWt7+mDJXkX+m6gCkKHfMMsk2ZOlOiQ01PNjTpwp480v+esw 343l8t2sXF4QpSWuYsENAodLaScaaIwHElr5j3tDDi0up+h3D7Os08SGRbvdL5WjiRLU9VFRHdjE m6cPXmOBf2wd0ieaJzNaNCgCxvwHqccPV5sle0ORVGByCVSDaByKOZmrCpgxoVrExgL0m3Noc5mu 2ZWi85Mg8FiwiYqi80rJVDh1X87LNXLq5WYxg+g9TVQcnUsUJN/Bs5gzVScNWkWd9A0jXuxOsBP6 idvBfLmosVTsfSNgxlJnmIc57nolHsiQ1fKBrA0/IJJO+W7o4TAnM9PnKYrNqQMK67hy4XW3aKeW q3HMnPiSY6/LqQVFczAOtqB6XUj3GuFQixHVIPAcomAFOjj9mqZPRVGB1aWk6wEXE69zdkeN4VRX gyEDDhV6XearnsJKKNrNZOPaNMWC4BQGWXT/Vt+zOgB47e6OoB13u+68P9wu1XixoHN4tJnWSTfG 0El+/o8TlTaqFEJNbaB9lSFI4zo8aqSHdpfC/66potS0mBb6peeSYNZ3jmo+/y9HEOmJ8Wh65ilk dU7ByI03nQHscwEVhAweRc1UfZ5CAEuXsQyLBxwsijoLtEJfnknxLHAGR7RJSduNFQFM9gNg2fbC AZWK+Mq2CK9rE30c2emAIEpA7zy7gB8Q4et++RWilwPqiU9UGJbL8IIO7eYvs5KH5e1KOtc0uk9U TO5kCbwRPNed44xw8/y8e6LwA8b5CSe59FqGVlFO1JfFdvOdR6W5HFhfXgH1OacPCqJO7lcUl58T kM9HZeDLN8ejpi/5FKRC+0spYCAG8b23s1OEIOxte2+u7q30wP8Sy5N1O5mqcXDwWD7XEJYSyXks BbMQ3AkAo3xvuhMlglBggCk5W9jZIVzUYEfUueBlzrjBDwocML8Rm1QwJwelXJfQz2sIlZUOYBG1 q13EjY4VBXEwRxzU4RiW1wAqoxDNql2dywebJABYzoLKhEmff4xJHtW5BM9ZA24CCnqXRYUA6jV8 yjJlGiURPabBQK0nQt4mE4anXyYoQ2dWW25b4nJSDhZEmkBY0fws4ZPzNPpi7qTfE9bX2UIn8Hd2 x4wF8yNk3ZjGqd7X0GmAr4giNsXmTujUaOi04FNB70JQFdzSYelgep2gE1+S2tkFr+t3gkA2p2nn Tz0B/ec1ZsqoPg2bIAEvoA+Nepgm6DVkypntbiDti0NW6m7rx/3TnIpXU3eV+deACQuIJnx7cJdx sAW0zuye5MkjyxM0MQLvmUcRFlP68irofNf3BQRa1ICUafVBNd8OAySmLCcF/rzGSphdU5lRJAe4 Y6tUdMT0vEZKWD9NpcsbKaHkZJFSSdeFt1y969c4KYNUpjZ5Xj44vH7RY3ZKHOSkpJURVQLBaNB5 YN+4m2Xnz2uUhAUwaeFQiROEi9ZPsWu82gUB3vjnNUjK+RrMWkcU1JfMWJKGrdH8DJ0/1w+cYmbW N5DTrEQvsmF8XwOk7PF2Wyn54AAVmKT1TatraIJ1yEWd3QXPm8xKbx3PBmPT7fMaH+EEotJV7xLh 6S7CA6xZI+iCTfLX6Cg/rqDb0tyzT0Yj5wcz9Boc5Wu8U+byGVrb6+C1PECC7Poyr6FRTuTEoBmQ mg+WkWQIpUJkBgVLwJ6QwaNgiSg/kbMC/X3vaDLWfo2MMvhJhDotXmMcDGEIoisjyLN4P69hEVbD M0OylDtRwyIfGDP0GhXhZKdI4QlVrqZ8u2bgMy93b0rJOa6vMVGWVhf9dooPt6S7z+5vSbY75/8H 1L5zh6G7PSfPaOQOtsmYtL0GRBmFxiTgANtPBKuJO9AB/KSy3IlbP6/xEI4wE3PYP5IDZRzabIJe YyGc0cRYJCVm8pavrgYqjVdJONOLgvLE0RlN7u/JNqYPNkEwue6bdtIAtbXR2HKCEgvN5M7Hoe/k 4TV55a+REH4FyhPuSi1HDgoZ00oCK7QJPfnglF6Ga9rsDyNH7DP5Lf9anMyK8FBne3grgn91oQYc 1TS2F5JeS5NZqKxzNXVCBzjcntyqIMpm8u4XqMzi3eN+SHTbSc0JGWcxVL5tMEHUuKZClg1qM9Ut QxwSkT6vZUk7KJ9jGmfYHMVv+deaZHYz+TKK88R27V4Y2dS+F1ygXq206JiWMMFm2sHB0XFneyWo x2EFndsLjpZsxeGYprYwZUEcHUfbptd6ZPZG3DmUpw92CJ2rkB3sdk7vz2s1kveYryDVbRH9Q4jB AsUokh5WOk1+k6EN64iKehE6K1+gQBRKoyIHzhMhlxy8B3ciKgT1Q6GiHF8AqBjAOIPKBxqh5/pN igR/7rIpwuF0wmGl0LsO3zJAYI222cxf5xDWYM/1zlEUTUOXBcdzMjUCxHPJs43cXE9ur3VpdH+G 05NOuhouoSc3UJSoY40qEjOhBr39M0cohRCOrI4uJSs+7yy6LEwbQIPq626LKeAjU3lqnoir8vEo oC7ftxnolATW2SS9R9RQxdB1li+UgjJTColG8b22KTsrqFcwSVTS7czlbfAaJE7rWSxmREZG/DON a4F1li6hRURDkN1gjjpPoTX2HdR4O0HKloZ+3Xw8iImG32fikQ24pU+foiiqdhQFFw/3Wt0u3dhc ZQ6qFttZ0FFYTSsmaDZuH/xGO/NzjmGYtfBGO/sC62iC7TGAkYOW7XOhJb1ukJclJq60pNJguX0D uZrbDhDUMLAe9XsddZJW881c30NrmcDjzB71rqOSbJJgq2STNEG5tSJtmHugOQLAhw/WHEGeX8lW JnuuUSYfbjznyKUoGHq53hyZqj1G5xHxXfAT54BwSsDKs58b220IWT/v3DnGVd8HEsG2HfaLNklR dC3GRW0Pbil1z8/EJNKpPYsrD7yH16jNqZBNwgUHz18hjbMTtZsTKtcqZBeo8nTEGbn1C+8a2V73 fZY2cIKo+t2BkwRvaWFxznFXPu/EOeyb/HuSzkvOcjOQd+JcFjkdZcfkGDjc0F52HMmP7Va2WYC8 8+aeq22a+N7TPioLiv6bVSJ4ROzNhoC8TnjZwB/Aj6Q89brvR1KTZQPONBuMDkbJNAaQeX/eWXNY Sa1+TRK4O+ZFzDmKYuzpMqBemgX3+SIBpksStbEc2BUF2Wb/tQmD4+Dt7TL6mCC+ojLbJuQtaAFC kB5aFLP1S6SfXa/7PkdQ6kANBJQ5DE2tyElJEelbdz4eBJHz1orIa4U2IVXbNEnvcTYWEklNjpEg 1WlaUTaRMcSiLGRzrSgbBdoDV9tIUIG2wY4k+AVV1nbAmEpzyN+pIxNp0L0C98trssJSvsfZCATF JyzNB5XTAD8VBq5DYCcKtHfyq40VWcnt1ntsR6E2oFs4kWbxgppkPRaRuWPYQqK4meboz1gbzezt g89RAc57q6JW0YxUaw1FqHMhIWVb7anwT71uNEm42wpUu2zQiTRRujaDtPV5Z8oxxGpfmw23cSO2 VzXHKNbujeQKccCJVKq534S2OuANDCsrOoaxNuux4FX64Pd/IoIEcT+1jtD8x2ajEyEl7CrB8AIv Iyr7vNPksjD/AJkSUMJBMVIdcr9DGTN/3lly9o7PHFHeetwD6Z0kh0pB5UmdrlJMyct7tNB797rR TobIfefIYbYpJ8uz0wYvXWMhQTcBKJLziy/Zf2Yoy5z8BCcsye52IrH4+E6SYyRI1ebN2lpDRC/d k5N0mv1nhwTKO0kuP3JWZ5bYgIPgunr+nKaofg3lRaBtsi+lc4Jf7CQx2pwmaHbbUnqPtpH7U8Wq yCZd3CITs8pwRiPK9MwFQklOE65LEL9Ue7lEHa2l93hbdCTUHnvhAJ4Kr+2JaIe7b5zD4fNOkOM0 j6/FVMSJ8ZzknR/HAom6ae2hOt8NB31BR5jiDOeh9E6PYwq4BevOPnifCOitQjOpAsvvMsk+Qbse kQoqyaixGk1HAijv7Dh8y6ZTGnm8GhRxrxOaMRY8N3L9vJPjfpWyWWVDyW6M2wmJAm76b7vcLnfc vmI6swzfcXO7M8E7NS674e6Y0pWfF40EbClb1mwWQSxuqFkEOQCglH7OBeEnt7k3vTPjsrnJnbCd VZLlVZKa0ipTWcm5WD/vvDjcwMvrSM1OJRqu2ByF1Wzyc0br170hT69mZ9EvSfeiBSbnKCpnCxGB VNyHSxPoM4vAD9UZaC8kNrC5kDr9di/PEl5qet8gThLCplNhgIOgbWvVStwHxEM+76w4rvZ7dDNO ArKt33LkOymOdXAF3OZjmUGd97N7j8sSgEeFzdJ7wI2bkkoeW2Sv/ZC9JkAdu6rNDxJNY5v/xFWI VVCooXHd7astve/7obSI516Zk7Wyu6TWCvEi82TYn3dOHP7cPL4ipQkjMCAdbJLCiJsqEcDnedmf nA+BkPJdSmtSBBRn0nvEjWCYkkyTkAgOTzAJweKSBL1d5BJDL31Ai5up47jClig08XWjOUJWcuIu 9vkRpBDyh0LwSiLLj70/74Q4Xi/JJonsXujJtlu3fefD3f1WYWL1/yG1ZlkPLyf5mfQecaf/VWdt i+vGwSfphATnf9CZdHZiSxTnx/L9QdXJlPfd4XLpdYNoklUA5ks2WKWkCpQHoRe+Y7CQVvqOJpFg k36mOYoi7kr9nC61fVVuky+k1NvVGaqOZnunwnmkNEDv8cHmaJwPqvgI2u1SKIRRArJcdBh+6ABu qrvJ3vavvbZFHOSgrGScOF4+qXuNzzsPDrHoLSapXwkcXfcKwDsL7mlCetH/RC2reJd/+OJCn7Ua TOSdBJfFuzp/Apy2bNAUId6ugy0olEnOr13pk9qgH9nR8kB3xnW9EFx93jlw1iSDuQVRpBwscetD yDnwWz/vFDi7fb9WEULtXW6jP0SKDPPZ8S6kNP9UJNnjWu6taZSJdwIc8zZMUUNr2gZNEcQ60PGl pvyJR2pJxNhulB8rEczJVOLQ6i9627+mqBWe2M3hBGXAXUIWF23y8WCjpellW95hhZIovtPe2W+M 0ZHQttY9J+nl4mt3aiajV6m3y532Tn67cKO9CXDf+yrH4jRK0FQlJ74S0k7kZEXZBJ5QeHU/sdvQ 2wZpW1VxZFQfBBc5R4Vq6YixPu+8t18HtqRBTcXPpigsbZNvmgloMm0Tq3LDcY92YMS0o45ECPI7 6y2bcOXIkPywwau29GpunQjksTK9ayB+PYs83CFa+7jIJ71ukI5QE5RlHAxA4vBWO2E2TFhwnadc Pu+UN/yOtxvJSQLM/mnXvhPesvsSZdebAAPHvNLJp0w2SVA5sXUUlbYhAbCA2sw+2HF0YoK6+Ts3 sB4LKigoo3TkgRVCRRd9zKjw8052y/JgByfJGEp2cULrY5UpCtcon3eqG+Ps9WuGsOwe2NF7nI1b oqq8lnwZ1X31Ana5egG3o/3Oc7O8HH98voPfaRCzbmCQo4kEiPZmb7cTVIdfKH0haoe9btBFIiWi N9LcOOg4gi2AjqMz8Z93lhtLbd+rCOZjbQ6vIr2T3Nj7oUhsNhV0/DM7yW0lY0hUKI9bpe2d43bL 2iCA+OBRdgG3pmWB+yAcAhAOdmD+2bgKz4XxsCa7vW5UaSMtEkmwDYaLGGieY22syXcMdtqY3xd/ Bv+93zmKguw6vwRiaZAuaQVW2mpxxDHgDTZHUVmbF3+fMGvFQDD4VOJ/QtEOW18wS9FqA2fiLC+8 KkKmMigg1B+88Tu7jbV71mo7xUwmuMPq/Jyd1gRGOpc7XzJqtX1XR/DEBJjAJikOshkd7Z09Xavz NpHATrd07VzKdvWHZW1rIpGwzMGqI+eoO1MvvTyYgCwiZ0/4hgp5gj4f9XQ9hERV8PPObMtiz1Jl mOpdyLoFo14nhpQa43lpPh9stz2/ZgmFgNHLnaUozGbRFFYEl6W8avcOSavLltK4UNp3WhveX13/ Jshxe5Bs5dzoJy1n6g9X+UkV2QZHWJZjzjKCXZvXkEhre2e1MVih+lsed9CZBIUG8kvr+YzPO6mN L3knSV1bODdmP7jfOW34Vj6QgYZ18UWmZrwxEKkbQ5ksMwIi40ibOjionNngfaQFkGiaymoHNB1p lYZ6EZKIH4oq2VIadCF4p7ThfMiSDRLUZpBuQHvL3fNW+Fzn553Rxv1avlYSFEN+Bm5Vm6QQR1I0 Mwi5LY4EOPd/CpttjV1IxtooQeNUeuezcStwKQ2qMXOwSTqhACZ8USZvIlemQOVAhrORhtCx2YpI sxW97h9BUibo2wbhkSBsNcx5Z37eyWw4FPavCADWH2ndhRTG2ts6tdsXUmrNF5KQtZsRAC3AsZCi wnarpkM9fXCqCHzTKnDYhBFCPheYrYQNSFsIHCnlSdq63jdCH5tDavZBkwQwZ1EjSS8ZVdo8BMA+ BRa09eZNknciGzszvNOYLKEhCRm2+WO6b2zUsCFZTo6s6+2dx3YBSav05YMvJCg6p0nkH+xF1uT1 1gHWh7k8sqd+bYkpDvh5J7IZQBZZf7uDYoC1hehAZlM+7zy2/DgTWWYLPgVCXpuksK7N5F9FYdVH pHjOQlvpfr3xpuPB/c5iu5ntCSGGD369nRttZqhBnUuS9tYMpSqyob55jYNKo9RWBZJ3Epuj/DPY cD4obZvnjiHkv50T4vPOYSN48Nb+cZNBUvsEpPdIigrbchyAVKRD2+pYl0iTr+3erD5JYcCNGOAs PlD9NFjAvaFMPEcnRiIhV9/yCUfvlW4OWEOKtwWReCewZRM4xctuHzRH+9yYjJfPsTE+7/Q15l9e 1lYj8/y73tT2nb1GYBtT25K8QpKEJ2Usmbb3R2CnYVMUxtsAz6KxM33wnATaGn0T2AbNv+X6nuSx nH9LmkvhdrK3jUBblWyIUnxQ2gaR2Sy2Uaufd+KaRaKGkPiRlTz8yH2KonCbtgFFr6atRklfNW17 ukapBJvy0I7DbU5RJgaAg8ORNpYmalxIbBGVUlJ3AonXYPkCjQI/jYghfeesWdo1UKtoPlhmm4fE alrDvRYF2zl/n0b4k4DA8ykKg+0tEsST2VISiwWSQuK+CiRQGmGBpMVgbQmNoLCtwaaon7sqLUT1 DeQ76LvS/AO5f0fc1GmT2lRnS3rb4OqXbXPnrcbB4BHnClcN6ZwNeDwIIn81IqFgwSqNTVEYaQOk VmZOjvyTPI10EaQNzzJbmVYeaVFBW3j2LXjohVLBrIK6C4ulyI0DuzCQwvrC+cp86Bb97cAOISQC tZVefdAy2ugeEGZ73hqPR6C2/n1gg8EjLTXRakIMSVHSVq94hHRyu0gRzWtIyzsj/T3QxoGNBVRY r9bgNaR97jXsZk4SKKIUdxlA13YKuI7qcba8BXrIfSQ6ApBvH3RgJ2xcnUabjwe0kf0Lro07rW7P 2HoUZtO3ofQvOYThNqknh0g+Rb15G7JHEBJ22ABMmD7YFCGwRtOIMIRztK4qdUogsyt4vjlRZ1nJ P/U1elTThjvUydQWS9sctNfSSEPco3pykR6F2ekXFGkgBZoXrd3DMDur0/8lAl8ufqRNVwBiI4yX Wo9K2jyx+4L4ig2219DQGYPGMOeLzkoYtEjvkMo+uSjAonR5s4It8SM9CrOn5GyGfJkGcSeMs3eZ tcmIsBU8H7Agp6e1vMd/MsUC6OWjiQqZkDJLnYauOQFSGq5tvhUTLFJIhsna9CjUniQ4jjqbDxZq ozPbofhJzDZUyURdB94Q8qwo/LcLbNvMbHvIhiTqfzeax232UZn+j5M8mwto73g8OLmTV/+58nD/ UzFUkxTRIakZVKDe9+ME/+pK+UTAajXlOU2frMcQEiFtR/LhhkgZZmh0AD0fiXgL+Qgl9Rb4hIQd uJoUb7celbYhMs/JYS8SOKAqHQQIOUnH7YSUeD6YpFF+bbkTuK+LbOtxqE1lQDZ9BLXt22uSSyKB VEEYLAR0flKU/TMCIFVfg+cj8D5NEB1jFwkA5Uk4MkC5uOogdX6PJWq89gizDeVRZLRUDOaSpJQs kjaUulEGPYE3no92nIdJBOXACgLQB5uksLhNFknr5rALrOTVyKegUxYeOXEl4eyOg23KbVWWSDhY +n8Wx8nKJg3Q2oluB0AqqLaBEp6w5PA3PoKcU+8bbTeikRJX1BRQWGsJ9mG8xU8CV/ABwfHdfZqY yKKL1Pe68/QecTvbJjfOEyMCIITA6qejPM4iEvzxd1cupghFkmg5eBL45oPN0wmTz20r9igMPRKa ewsm2u1nA4MBgOm18KAcZ48K3LXIHZWNEg4CbZ3fcauUdG5BPB4RbH2SGFedNdfHZZL0CLaN6xlw pGw+AudnWi6CMAlxYrmtQjFNGy4CkaDPAyqSegGbAImKDXc224RMgUQT95ow0DuH9ZpQMZeF7PKT G6lZxZdEwDbK/HRKT6/eXXNkngXLmLmeYwKPRwjJm5YIuN3qN5Wkh1E3TSwRbVjBLTMOl1REqssK blAdsh0X1bcXV9Kk1okGu+DO1bwHKt4suPUNCSbMkrRZwC1D8uVVyUEWaY+AJOq5rU1bVA5K5nft iZH0CX8mHo9qSR52J84SVAMensSIwm6ZXGf+V4JuP/FS7Vfrp3bPcEdU32YYAFvm6YPdcG0gCife /iyaE48XTiS0tiQijqNYVBLT5x5R2I36NNprXEocnJS0O2HcoOnh8SDsvmeSlJehiX8lEUYUdjfJ 2lHE0u635gyAlpIzAPpwOdcRVrflrVAJJ+Xg6VsFayLJibgv6ksiCKC0Ij0eUQd1FTJMLb7kL0Z7 0/1GaSoG22zkSOp9IMcdcXn7G9gG0VusbJ+kELdNQXxoRNg6muvqjjRKTbF5WxAka5Ki8jb111BM GxoYtiA3mUARor7E3KR2MolPGgwyMi47rINrG4CkA98RwEgFspVe26psDWGKoNzJrQI/LDz+XyhJ YMcA6eJTFEJJVG9b3fHIue9rQbHnhbZfE9QRRdxL2AdKvGPwKWowcU3o4WKrbSgldqJyoWHXAEej TbMFAAio8R1RU1LqPin5IOjfOX5FcW+Q/Bthabt+CyMg3zvnpt9sI4q3O5URaTzvRJKnvZ2X19vY mtcqiuJtioCdGLIOH+w0SgnGKuhAosg59xbXhFC5Tsj4fORH0EbnlwS3P6KjAqECDuDO8jYC2kF2 uqBk4Pl/rSP+tx1+BdUvthHG2+y2odLgrK3FoomSkpKdtYXtoEmK4u01dP23O3jm1lZGfZ4at3WP UXkFEmjbUbxClGnraJP7P0LE9pbUGANJDtYiWSh1sgowJh6P4Da+jmhjcr66E6SpKQoB21TgNhop SVtpP6St7SnJ2UAGJRkRYJt/+NlUqk2mazfcoO/YAArgOgLocgi1dQ6iDu03ikg4XLvqbaNbDXvs TGRR3VbX0zmNEJJ1T21HGGn/wkeitIT4xqcoKm2rUJJbdoJk1hRNAds8tQVgxqYoKm1P1vS3UABb VLwskAT+R0w3TQUnzAIwRSALdhpXpwshBcs84zui4IjYvybFKJ6kwrUh1tL5d3YEno8YJL/Af7jP Zvfa9ggD7SUdknqLSaL+81LrszhEYtzjKAy0VRxBBmuDJyMgV0OnkIE2qX/Qi6zk21JJC71h32qM IEcYaPNWQxkBA718k4D/Z+FSuB/bfOEDgvy/fANJyI+vt2k74kCbHi8UIpK0H4nEio9m9vMIPki2 kv4ub3fmYxp8lsaJ+HHpI7VFOwZWIbDxPPtxA/NQCNhWC2Cj8YEvCSpusvbu8mKGoV9REgLAGdsc Y+eC56MiyS/6P9AAEwg50/wJ69vk/2Xy2/5HInarWgEAKH0T3O46tc/tP2NpP15tfVcf7EhacA/a mXAb/F998mCvrTDmO6c2tZHHw7WdIY5ECv5z3kGn9gkOZcoHLSE8HsxR8+6/Fl0DQcIX0owCbQH/ IXmiGsmZLSr6YYoaWLfyERzoEVTqIoUUya3uf60++O3f0UkqlJHApXCWDD1wdiXNBr0pSmo62Hbp ff9qAszV7mA4EujCaJJ6weP/5UxCdWykS/6fUaCdiCQFuuOiJGe7IdI1l9jokEo8KiRIUo5kLAKy x0r39oei/bnpVeBuA8EMk3944AFEWoBIumTble19/2Rtd2JuOKhCMhaVTElIrng8mqRfhOSzOWa5 KduMQm3S1WB0eENtKj6bWUm5fdue7OCeYXF7MMdo6w6Wso2NULs3+p6DvjgJ/q+VLqyZSu6Pv+nS 20YoEoq1UG1Fg03RJu8KEQYUtqLS9p5etWXChk+hPrqmKAq1K/tt9GPVOhrd4X+Q+HAduyWTknNq zyjUHrzUaJZlg0eRQNJA+ZJayLBFo9QoW1WUvzl/7E1HqFqFLwn2GuuRXcltz1aDOfnaHtTchZpg xeNBU/IXsA2SLfRvtjkKI+3BDZbqNZsq2WMk6oIaIhkNVs1RBCKRKRcuex9sGZ2L7CyeQjnEBEkV Sd/Q+WZsYb1vjFTtbYMzmxZvi1Q2DcaNnElKdictGXg8CCPvMtqs1/6eoghEMpixpX1pNkkOLraM PIysEvvDFEV1bVYYTzBEBQAOjtjq8FZINOo8q3HCqxMZG4kJwO8De3D5bEVvG53YzNQyQSRFhsEI HmjfIljo4CtG+do3QgIlLphP+BSFxMjEvJ+xhZXYLqW9ouhskTbrhTyMQr2/wuUD4psNFyFxPiib XAv0/RaFf8pS1R2mhuUp/NvbBlAk1R8l/LPcWZZMpKX68Np8PIJr3UtNPAHmtF4+mhGKxCyE+3Ym UvbCGshH1U+jQmVrzlEUacu3Zcudc3+5c66Jlj+V487PPVH/mBQ+rmhlQL0Gp6aXtIlrn1GkjebB CR5P4I4BXlzSxoJIZ5O6WM0Vz/8LZytPQQK2vP8/Q2JkJ5BtFPcwPbGisrcCPbVLaAe3SHstlCIR 60/9Ng4WH53TB/qjbUtCG7o1pGsh8RLwr6LFapO0il432myEI9E8WIMwEgOQJ37fSWjweIS18Zuf CwmlOrQCNEcrCrMT3bqyJ7CZtX5Dta98HYDQPdFCWhGMxBR+lxR+1yNpN6F/cTKPLgGpE0Y1Zv7I Xroczx1GYpz/FcJINopseQpqMy/UBg4CspNqteLxSPbHiyOy6xqgU/gyWlGY3S3MLs4h6bTb0xT1 5RaKoPjYFIUwEnlxchlpsCmCjcaum9aAecGChm6UYLgDZNPo6ORoJITK+I5I9I/RdZHqD342FVjn uaEo7oiCUMHzEartm2cDsC9aOD5HoYy2clqCn5T5t+rXWn9scHvqFmWvEEYicC0mkwMzawL/Tq4/ N33DoWeeQELHVgOh58SO5995P8HRmUl8R1Rjo04gYwYNhkYaUk+BtjEfj2Ls7+Cokqn1TFEUY0vF Fu0cr7GVW0BCy9jaIug66DRaIVab99kaLOdz8Bj7rBcItlmtFtR/UtmhS9TR1m5fmn/J3vYvPTva wGGANlDXVjvBlYpsJ5TB89Fp9J2sZVSvRrkp7Qr1tKlkK3kUNSFXbQZor5KzWVxH0xS2VsiMrFLy p40Bhxtlg6oNZT/w/QEBoL7GxB21CMZDx/KCbKZeNyiz0YVzziUVUjT3GUMCZlP5TxT48Py/ULay t4Msw9X8WVGYDcDeydaEyqbpgbMkSs+S2CJmC/ZhmqRYhIQc9VWSD1ZAQnk110ErspPtjz4pjZSQ kWSqRZ/Az0OkwkooviWC2fD2X9Rq5aB8bcLNMisX4UsGAJJb0uaJhCLJvJrRKwq0l7qQc7i1XS+O 16p7bDevOWetb7cw0C5qZ1PZfz7+GWf7QqilJvFHoEJKjS1w3n82Dj2zaxtaSVmvG8wRcW0D88Eh GWIWYa406k72jMeDOUrfNe2qhXR3W8iOHMXPIblDFLXVSB+BvKrcIeANImDECuHaXQKktflgc9RB XuuwdkIBCYr6BP+dIHVQF/l8IEqejh5B3oRvCa5/0WxFROrTrOkKq+ik2Q5U2VYUa6/0zY6EYMxs 4+62ELDNNmTNLmYDHdLroCz1cUr+lCuuHRe1qUC2CBHlYJMEcdG2poSR20ZdTUXtE/ZulBmAc7JW bYG2HV83wP1LNjKPOyirBSFoCNQ++I5By//StYtsRjp6/neSwpo2QmdQ/RyyXfIVa829XzGb5j4I Kwy1u2ra4w4eI2EnoISEIwmo/0wYEhbqz0bJNi8Ih1qQNIZe9y+y1kJqZ4MqkSetIv0etuX1s0Ls yANHZp0A2HHUKDRJO4q1F6tsk9AqM4soLh41qZOhpK12W0k7KmmPJGXk0nzwy+1kO5t8WhQ30YqU DimiwgkgxHjKI7Li3lGs3cRkS336YOWRVJkiQF9r4fGgylb2VzpCGkq6Vf/9HmsbMweQDmto5zWn +0ufW87TEQgR2BSFKiQ6kXq6g68jgLTNIAr12oSzcyHkPusIpE+qs/vNRqn/HUK2GUH2QaotB2GQ IAEgN9Jz6+Dx6NS+rREeZADYIpawSQox20QXQgzGgm1sFGfZeKEb1BjHIO0o2Jag6PoaHKlFWw3w aJGzTRroIpJEhatjMjNyoGtuO/S6UZ0NRaQEqrcNStrm+XuXPLT6xuNBnS3/iiSJ+bnX/46i7STF /zKdidRVZxu4/vPday37qb1j8IhF28kHW0hnd+21inW0IRvW5aoxIbGJ1ua8uMg8ebPtKNw2ic1J WUQOVtJOyP7xfSccw+NBufa52TChKBcAauWTFEbbBh4trkNSkiVwSN+66dlAo8z0bHbMjOQk4Uix wRtIC5ssUTwyQ1VFHJIKXauOKlKdT6UNMBZ8xx8Im/MfZZb9aY7JOxvKV3VLYntuPB+J/vxS1wJa u6x7IoWKf0l9yGvSmqkLqzmSvaaUSLL5uO8YPdIlQZJ9cEoblCNRpKdD1EkdGrHKFWrkEHX5QTjg YO019LZ/OvxsNpE4WIEE1rJCj+DQDg1sbp2NQHhAFCZtWjVHEXwEBp6ZEshO2CpeaPNTu7GrnnyO Qr0/0Wekw87h3v4Jho7dfCIzbWPOgYQArEOAHIxrb2j3rreNtKPIjETcYIOQWmPNwQAH3Es8/l84 //gZz2r2UHuHoXaWJOKji1Sv128dc/kyIjWfUxTDR9jHZsVDg221EznNPYEjp9EYGLb0lGLdHyoM 7ANLW5NcAXxHEERmAkQSFyAHTVGFxLC0NbHTQnnti7DhdDQgxZ7wKAq0qZRaKKHqLjZVNdoCGR2X jkIdWczIHcO0TVes+nBpf+cXOGERj2ygPApNShp1JDved98GG1RC+B2RAQlPo6QmZFo+Rec4qsRZ ndNh4PGIX+NThMcg8TnHBSHtKMxGP5U0Nj+wa6m+irp6bfSxae6tsSPoCFuLfW91SKgiqimCSOtK 7PifVbTqlLg2QCV0DD+Zbb7G2sPe9k8Fkl2aD7rUzs2pXkwrc3x2VNDu+0LZMB/oHPU0/eYXVOc9 YSOVXQYkW7lbdQNyXWWF7r4QCaULcgo1SFhia501bQ5WH4FKC8CiqLShQ74aW/6o5ILThogPjHD4 SGIp3TcOXMfI/KOzG4bkftGbVq/ss7U8+QERejR9JyQ401Z93CNSyJDEKYMVm0yIpEBURwiShGWc BY5IdPjt+qgo3iZIfazug4WSaK/BfJqiNvtcu9QsaVSy2VD6gYn3Vf5hvI1v+Qsd0e2aS76kWhkM UhDxzMzng6ykfff+CZyd4+pH0686qCaqlbS7F2/ptcKSW2qrePF2OPc/pxCv3eSxte/gMJKz2vaa RRwS7LVpEzXoY3dWKzbh9RrN9sYRbEuQbdYBFg5VsfZ2Oy/M3it+CH5AgCS94DYp4I5vPhK52e+P 0Wyja/exW7KayyTN1C4GEO5rNlOhZyTFf7uISV2iRVpSCxyaQVRS2yf6QB6G2gJkHZNckvZ8GrjF 3jgo4tLudqLOY4OVJyHLI7HtMfh8VOr+LitBNn6Ac+MTFQXfyQBuqzgMMDHrZambp5vctXd1M4kU GtwklSY5XxxsosCOOFHZogtQOkew/Ifb4ESBawgRbq8sZTJK8TWR6QZJt4WAQA6qmsAgxAQlYSiR QlDJlbgnMQkdQbqi+0yF9W7JJVEuBk2BjJTTDvS9q0sB4fj1UyoueCu+pK39Go+tPUzrdhlMeBHJ SlIJSnfnJ6X09ry00kJlHX5LxHJjUIA0zAZNFNzTlpw30ubzkfOW106ItNwwIroSLqp0vh5uYGSd FTy2NU9SabecK9MyNE/gjO7zFMXhk6f5CSKXD35ITbS5oaeLeQIifFAOiBlogiRZHu2SuZEU6Wui lI6H1OAO5CDYJDwYss2Zno9aA78MgdDumjAW8ZkKdUooqJalumnkwG4zVTZbupgpII38kAor37rw JhGUHPyQwuyn3VlnOkt4FdomVHpmZlY1gB2xGkqpzd44SFrYGKAmBofihX4URdUfKI3PRz3Ly3tn yQUcw30hFDmFQBP6I+fUrwHwatcAuNzCbqURlWwBI70SoiL7qqP4cNkTY3SKnaGzixoWpZNJyBgF +O46H2jgtPeNFLgpm5TZIuCgilyjstqP+ll8Pth69Zd1wtk/e3wFUmH9e+lAG9eHqy8HUE5hlpAB 53xN71JYAOeCGkWFy/IULhMEAWE6aYFUQUEDzBPUWIEGQ0H5kt5qsReOCk5VfOXkg2BLa4/MGlId jDhD45sHZ8qIs5KScddTaDM5RerKhr6BEve83RSAUWw9tdrcQjEEnDTTv8k+XNAyXfQy/YHOk9Ax QfyO/nGjDXZhh1e2LrTczoHRpM5iaDSt4oMmCiR7ubafy4LP/xfb7Unzu6s1lUOrSZgX5GKCQJIJ MlIgSJTk6IrXPXzfBV6TKoWSYbp9cPBSmfCXXKw7lQksItccGEoVKFkKlpn6HQ1Lcuw1SaDpHMQL cLBpynLIAOuv8vkgMM/fklwIrjt9+WyaQrNJ+QOIAFef1gFrBpsJMWsGSbmMPuqvNu8JwO/gNAFU MSdAuKAJgPwtuylU2nvG1bUeZffS7H0jdA6NOLZsObYTKWGF1ng8nVh28PkoJ/4+nvjTrfH4lkY6 gYuwirOlvfpU+zV3nZQnVKMXuFdbTVFQPsmlLFSS0KBp6mc7Umcy0yOAmTb75gQRZDgKp0eYi83w HDhO+qbLFC/RwNV01mvOXU6bufL5f0EGdJY1eJc8Jnih5+SaqhcU33XnR/ZdNySKK+/SMXyeQkKl yKZYjjZ4tRe2CZnLCe5+ewHbBSLcYn0DAVS+foqSVcqh7eRiFTtNCeFNKpKxzrGLWl4n2th8PvLj vrKT4hYgEWg3Hw58J+XNhlWUn4kq2fbd5LmoGgtrONp3fxrhQJh8++DHU4PfYKNeYII3JyAUZ6KA Xx80C4Kfg8OZFBcEzpOijmKGWvFBhfECe06eT+eD+Pw/u1Dehiq7POd4yKykrXLu5n+DfxZXxKk9 e+Ggg8yqSDM0nzTiiXyVx+OrDFlO9HyBeQO7xSssHV1B+i/DMe3W7O4L/2GIB+mH6YPavg3qEyaK 1/l8dJD/Lo+Dh1ifnRfqmJA6AL9ji8hRiLy5y+qm0bEZwWqeIiGT6z7VfLB5gk8QSp2FvSh0KZi7 DMgVLWPl9WvRXau9cLCgjAu/76AL74SLhBaTVMrn/2VkxtWHVHH358J7j8fL/0JTG4Wodl2DUja8 Lra66QacbT3cpzPwoHTvQHp722DzNMFagywaqgZn/jpDrAZ4JIUyFhDY8ybDvdkbB1WDJAFqeTPn 7OrBJ2RN3dK9wucjUxyPDKSnTL7Q7Unl0Iiy8c5j4/rKLCXbeVRB1M4baDLYTMXw7yLK1/LhYgkB q5wKyRtMu8BAgYXA/Nmk6M7R/k/qElpRFmnkdXkIdUMHnxwRpAdhumf75NCLst0jips2g9+yx7Om /rCjFCt++RmVxp2pEy46MvUkxqbalUM/SrbA+5RsKQdfU7AwrUN+lDhrJ/2o8f9QN+8/8Om0kJxO 6vySv1ovsyeJ5bN+SGuJE4QoFz5RCJ+P1AR9orh2Ju/OWzQIHSkZVEC9YDrdcvB2I91SklQs2OGs t2mKgeDskyfGmhy8QYU67xTIObM7SdwFu+azkYLb73qSa3foSCnxlypMQXVMQTnBR58Cy59/8PmI 3XyFu3gFIMVLl5qSY0tKHeVjNOu/JCXAG92xNoQ1rJVawzZPYUwuMSrk0jY4rBBMdmjBUgAmwZah U0kIh0VCjgOpYT+ick32xlG9TsmdVgKQm2JaVkac+HFOQrD5Af9qVZGMgnt9jcspzKEvZWJ0kMSw ZPFO0rm89fABuvV4ctlUhaBwKQVPUng53OpKReN8kQue8p5o4i3YMKAOBcEEQHGea8/fOAIYZCGe bTBZwdXm2lOMsKzX/BfimbHExGH+9F8Cb0qTR4c9tUt59mLmuchqlrfPYQxvgXlgTom6u4Spa/PB 9x6FQXCCg6F6koDNZUcdPn5dZtRjtfK57IUj/uWWpqCc4MDo5iI4P81iv+jnRDWTHxB1Fb65c4gP zqp6VlQIV8msA7X5YAyrA+hqe+p14OfYRP2tdrIyC1ErfxWi4Adfpb6UdoFNhdROzlnVkcjjonAg Zq72vn+FUfPE9j6ojb6k74G2fG18PjJg/qUuMKlR9XVIRXF5Y5uq0giL8zQlUkUMXWrLIStoo9k8 hQKDkDEfWTrM+dFhbiMRpoSGHlg7Z7tU7VGgoVjq3d57gWScvW+AyCgqsOXmg9EMZ2JcgXnafD4K y39Rn6BLM65RdQ5NKsFOBhu8XEyGUAaErczuZSiI0/s0xYredF4UP2x/8cMWONwEvTZ2LHpnokN9 lYkacG60qm7cdzZPIXJF3HCEPRyK/l72PNl66TXpLaOE2M8nHgwQWe3oWvpERXVyyVVUuhgYMmNX S4gHH2NCPBmOMyEOnCohokrYCst2GqzCkk7uBjwsib3nGKmSkC0Lh0M90YnU4ecjDJdDp8oqmPgg EJqDKFD7pENMX2pGIz2wqpTxyLfzCTSOIOXj8/QelcN7Z6s63nye0lheYRns5RHBQviw5ikulDMc H1SK5WDgjIXax7A8D7Ze7LVDoumcNWxoYCOixkheRrEXjvD0PMEz0dAbu1YbCE5qYvjU2vl8VP/1 PG+oHPPD7o3NU+hYKTXGKh8dLq12IXWSyhQyY9/6b2hZOcyQoScf/BwHDqdiizcwvU78U6WRCidE hLmQVvAmOsHiOfSsFO1wLdHGlicv/fydWbQDlskD00rE5FdKZ3DfkXt4D6jQtnITelj7xflWaq5z PS0RDyqhh8MPqMC3MtPhFmIDe/tg89QQlONG4npCQ4F6+gXMP/g1IIzKD9qg2wtHaAP5n+Xqgzbe qk2OQ5CB4vP/8tMjFRpq8evCoXNsXalCOS8Og2jOa6SrEoL8PZbXDULvSu27Phibc7h1qLOygcZA 3eCcVnAwQ92g4GyEb9NPeSaKAnL6msh6oH7DfXFusPKbwWrMkoDpmR8QCTP+MkNLYt3cmQp5mlgp 0EJx1njul4Kwy3ZqPSqHvqLCmJzCC33YhK0LH4NpMjDhVLE64VOhWeq5UXGqJtwclBWtoFfeLnpg YinLF2w6suspPa+EDR89GUSdwzHzA4Km560cMJJA8+WRsMyxjeXUmrrE6EKRZDFa0zXXWwC02ZoK 8eOyipcp+ujzZi8nfmonJCjEG0Aks5JjB2udn53ApYdYpoPs87IXjprDJviV2RwG/IXtgQRBOlYO UBvmB0RBef6KoqaJPt2JioJyVIuRarXtABYCjZkRt3wFiNk2U5oX2lky2MSmyz44gGWaWhOLwAl6 Rqayv048jjI/KkpO/UndXji69NgWBtfSBkGiYB4jP8uO4mZgaIns5zs6gG17BybS5ykKytH4ANXk MSMQaoXKH/Nh2u/tJbvA0lLxPaSsJB1brnQsUuBzY4sjDUAfQZwoQ7GNLKuo+jBbur3wHyUWxBjJ B5XsTsJu+rrnwufzEdne1xNjeHSCzo/67LwoLEeGk1kC8dpmKSa0VyH/cieqdZ+oOCznzpMoEQc/ zU8GvNitaLSrmUhbAUcEdib1QmPLy29Ze9kbB1uPh9PJGLoPWlG7YuHyXF+dzwc7b/7y/aaC6NfO C+ErTAzTLMtrUctUwMFRoB1hprxFvTsvDMuXCOV9+uDUhHFuxJmaJAlx9U0eUYmq1lCGMx6wIFEq l4fmloMz1BIt5JuXBsvq5NKyFLAWnw/izYtwxX/MdHQCOuAzFYqlUAiksCVtCczaBrIb+KEzQXZ7 ukh6Dv0tu6UlrAVz0EzRiQASEGR8J/RyEGvVKRESWIbUxpaeOlV92Rv/xXRl9GODbr1Elwitrsnn g/hgeKpnAn1QurgTFTpc4gRmSnyRGeka71Qqj5vD9fQKS2BxiaQRPU+U93zwTA9KjUTMN2yWXSUr s2hKhPirUZmwSU1+2Pv+cZYXGBpo6NYiPpn1Il1FkIPA41IYvS8yBzovX8iM0OMS4RsOcLNrAl8x mc9V3bx/OE1t3w5xaHK5ZCWHpo8NVmBBNn0i/1pNWaZLGaPif+mkJMNh3hK9oSgqcLlEuGj0MgKm 0VwQOOd8QZegIyiE/IDg0mvf8SaY/F2+bZqoKDKvyvTK8PWEpotzOlr30iabujZRcWTOtgLsIG2w I+oE42ltSERRNa2R549W+olsO1j1+B5HjqVi7/uXsQyNTuAGijSRfxqqnSQ6oDm/+AERyWx+nVA4 ynt5MJuB1yUOKArwERFhELvucfkk7kY8/OGm6Tk2u0RjHB304oMtKIAXyu50TYduVN2sxVBevoMk 1B81p6kYKvC61I0FJfCVfDAO1Qn92Rc792vl8/8UTefbQZgeypw+T6EmOPGla+/luPLaXe4aACXD lZONrSsv9rtUJ73PO1j+AoW8ndjXh0nhRM/YfcEnIk6WVVyMR5300PASlW5YFK7sg6AZKObz+jsT Xvn8v9aTDjYUyR+iQuh4yQsv72Q4TfyzmQwvSFzeSe8Q8LJ5CiEsLBmQv2PDU4nqkx/WAF/H34M2 VUXMv1E+5fl4ISz+wgGditgxHEcc8GVymAFfixjzuXLjBwQ14PStDT7Q9FrlmagwKq+68KoLF53/ 9Eblu7nxdX3aw4Hxpcs6zvwMHpVDK7Si4wDrq3HST3rxwL8OCTEkZrHffOtRbS4H3pc4ynk2Larz cFAMVUE8Vq946/moCOwTxX5ENieTZ++F0oVUd6yi4/O4qsl11Ptuw/be2jfRCwwwsTyJhwJqzQY7 y/f5gSEGI3sQiOoles3KWodpz5UvmiIJBQ6YIu6eGcKH2WBVuxN7SSx+gyMUWGCiqzC/VhQMfc6a vGifz2sIVVDcpANWSQbNwD65IJaVpwEOWnK52dcAqpzIQKVytaj2V4vqRBgD7cDE9QQkFCeGbzgI HK5fTCoEUK/hUzHRYoj6Lx80Rbs1RjHQCsPTLxN0XnBT56xRZAMxZoZQ6OT/cH6XaHqmUro9TJYn I2kx62tC/xURoPyv7C6YHpN1brS/XO3aX2LfApExlQSDpkAG0Znv88dio1M53kwdls6l1/lJ5HtB sagtH3R6n3u4iutywvnPa8xU0HmvNj8KtiDIAhs/TdBryFQ8BD/ZrwNWoCNpJ9Ii9hjrp2JFa4Je AyasH9rLnj+2+uCR5QkiQaQhUXgCWUmZzAEOAXyFfig1724Fne/6vn5o51yQQfugei/wseyB1bOU Pq+xEmZXSjNYP+SlIe1saBBpgl5jJa6gL5ci+Rak7qwN8ajYBb5yfK+RUnFRx73nHXyDndt5YiVx giaU6ykyC64Z8mGg2TzypnbC5zVOKmAScGctyV5Cs15wCeCHC0EmJ6zNn9cwCX9qvyuIk3GOKpwD mp/XGKmY38XZVe3OT90ec8+Rmrc1cTvLYTZYQOytnNCIbGoOXj05YVgGRwOmxGd1ViHDksAxKPfW 8WwxygF/XgMkHEFFEbckwlZ3HjWwaOP2yF/DIz69bYKSTitIpw+boNfgqDj9INd1aT9SLBaMoN4F 1FyH5zU0KoIxAOIkEEG6J3RZK5/QKtELrJ3fGj8yiWSFblyTgsjltjMZbL9GRgXCSiiA107uDweD GJ4fRKH2SZ0/r2FRMS3d5wxCBYKiK5qh16io2NUHUcLboBOWgGcQ8RkU4UGuZV4X4QxJ8HJmH7w9 V0ettZF3gFIcQFw4pBHPTKq9ov7ne4xZ22tAhD1W6oMeSCAuCGC6INXGg+WErf3zGg+VGw9hhrA1 4RmNxW0z9BoMFW+Jp11u3LiaC14ScuQ6zt4eeA2FcErTlGnqCufglbcJRG8GxBF89RPA052AMgWU df6BnMSNr8ktfw2F8DMISb+kUuTwBKgIFENfTojKB+f06vnrnoeGWYdTrCbotThZXFmu7FsiKXTj Vi88Pb1w1HYlmhac0kkKhTjObLBNBlfCasJyCOXouUbgACaIjjsI+gwmt2iX8lqYxJ9IRfk2iazg oHLbgjsw/3nW/ee1LImDUgpFdgoBc0uGrSbotSaJZctrrFwHMKBi1VU6cWKprr0zQViS3EUUCKnI zZxJwwXHTWwt6TjAEAQhI41SkHZX5Kxgyjg4jtZNr/VI/ApsmiAH80GnEAh6hAwjAfy8ViN5JSWb oKnCLb1/QcVQrBjF0iMLOJ+Wl0VyudQewvdFWRFslczDaJKW5FJ018971xcWkM6aNnVi4FCBIJzn v/nZWDTAud1sv0mXINpmCIfyLsw4OGgVUXVEmiADPNZonwmeY7c9PFIg/e9zFAXUSWmZ6E9s19+z Gn/Y9SXIt2QbRtSSSR/P4JUjkAtyJoip0VRmUAsUkTsVaPizWEOXm+fzzqXDsqcjwUbb3AYdRSdb n/JJOf/F551Kh5g6fUdEvBuJRdUcvcfUIGCgBt/yVXBs0iAgkEIgeq4jgI0M6RXM0aby7u7Kzfrd bKhCIoCuxC/tfJIxnltlDDWuJU5oEdGwt32foi5jyyWU5bKwAvpHjaTuk2ptPh7ERKN9Hdc0EkGn yKYoiqpRCcJ5va7I5UgXM9iXIwNG2g5CjcLqXnSjSQ989StzVRe0GRBgoYtbIWWFG22h4j42291f Es7NXvd9q6EqNcBsrz5YAXLuYlUQIFDDwHr4iU23cBJXy91q75E1YMisZkshXcuoeebBoo7hTJDk WZU2miOQmE7ozB4JB2uOzLUGhMCZeoAmVrJoq9RzB1AejSRrjkzVHv88jWBnQbITlp5glScOla8M LEY+7/Q5xlWe3lN2DwIaMJHwSYqi6y6E/AMxqbVdtvhoXvLfzc0/38lzPP0J6qL9qQbHnnaASRYv tgxBefQjAGZexCydl239gruog/F5587x+EQJBIG/D5wkAGUpGXImu5TPO3UOYY54BDg3eLMBpDqh lmuzFEbYpKXknd0qpYzu8JJWiqMBOv6pWYpCbNOU72og9dtAAoEAVQoqD6BUOyjj1CECcXIASh/s J0nLU6/7PkuNIIAT7nYftJT2GJ2rCsTIzztvjmlw/1pK9Kapz3aLYmyw2wAEqNdr9yIm6mbhXfTC PH2OoiBbIRJpcDZ4kF3PMuoArDT0hAvoTfIB6WenAX43W79s+tn1uu9zNGhxAb62hqakHYd2b+pF dj7+fvvTX5BTIpbFCSJhuWxz9B5mA3JKLZTqhX6oWZh2E667bkVZiHRYUTaKs6kBekJoWu1ysCMp 17M0NxxrAGEGKJPg3UndS6mrXgULOcm90+XK9f/ahN7MbdAbZExrF+WyENmJ4uy1v+Ns/qWQYPQ5 iiLtTkGUc2c6oISSeJqjk2QlW0cb4BTNURxqQ22AvsU22Im0R0XCSot0EHhQ3Ee7pACvtrD6oWx9 K/xTrxtNEpphxOnboBNp9ppVJyNIIoq18y2JyN0K/hIQllPRMYq0ocoJPsWadh7llt3dErPkfRDA gFR1DCPtyQip9Ds40nRUyHNv7jX4Nk3CciTfjP5QruMayTGx/ryz5IqklNFPEzXcGwgAmWVhW+ss +fNOkitC5Fk+wtQFl3HxstE7Rw4zu6iuIV89hkg1OWy5teXXP4qQuv7fKXJYkYyNhuQYxiPHQBri +b/Z9D8zcw5wYicRUvyAM4ACIHk6OpBYe3znyBWZeLFsPTmAVmBZLfQ5GfhAB+WdI1cu55L1aFZG Kqprt34dFbDlIl/c7ws5brMGyLmqXTAVCGYDur0z5PAH6LyGRJINNk0nWQAGvlIj7Zx9E8k0Wo/I bTJQ8FVAN9F0tJbew+3E5HQgXmcFEg1eEWvA6iQRC5fV+rzz4zjN/SveRlOvUaNYsxTG20z/a5sX X0p7X3NMaS4GjmRVh9I7Oc4iGOy0tnzwAABOObNolmBnCqeCM0sDPZBM58QGrSCRdIa/b5C3JbaK kJXaYK2iucRCh+3h550aV651o51KE0XfcaOkd2bcjZIq7wbFkmm4GPhsw5fSytPytndiXJG2CNpE MnBqD0EHPUdIOJJsiXpIIhJwT7WzAFa+LGdzcHrnxeGXIHIPdtA+qJad11pKSk4Y+3lnxWGO0o0l qx1LoyW/3t5ZcdimtJQbY98iifs4A8fdu2NH4OygSYrq2cxK+mzEK3PwMOlMx4K8Jd1MTgZRiAKs EHU/sweY+2z7ObuX3jeIk0gbnMNEdcyX5ZzhjUB4kgTW550Uh0lO8zt1A7Kt357ROyUOc0tZ+bx7 8mpb8awEBh7Xw2m6rPw7I47RBGvZCpfSl2Q6G8sJCQD4pycsB7sWfEgW/fHayHqfxtrS+wYFSeqB 78TiPwdOErT16IeD1bE/74w4/Lk3d8OqASevAxJhkxQG3CyTnBTazQkbkT1cSUNeBU1KOmYr886H w28McM2AzqcPDv5DmYfQyIablCU3JCUbdf9ChPK44pa4k/m6wRyRKt/x08KgABAb7hGwckhQ+0He /Hmnw1ll2fYbQ+6zzxsSHZulsLJd2RyhbpP22yjXxqHU4aycMaxS8k6GY4efrMrKcDLXJ5xE+e2c PeyOIFbaLJjCTx5gakTBVN93m8ul1w3CSfb4E34zG3Rwd8jVCwaR+Y7BSlp+cIviVUAZvNstCrnF 1KUEvR/c3d1AgN/yIsAtSr4T4bDdcNKcXUbWOQdbSYBcZpqyAM620HSTrjqou0iK6QJuyrvUtHyn wXGzSSVBWK3Wr4lDX6VJwWSNzzsLrpi8yz2R0FbtXEvqYocRd1cbe2+r205HtdGU6hqmbO+xvXPg PLsdNVGUiYMXk87JAUjGElcQV5KcjAZlRmjocMW90LP6vFPgrEvG7todLHM70YOQ22eRft4ZcEVy LE8BoFNu+wbc7/w372TDt8GTkiI3h6mAO3lNEvwvTVFc2sbc9MEiCQdN0UllGzJ00LoyPEEr6d5n M6Kqjeo5Lm5KxaHVX/S2wRSxRQIxax9UIwFpQTbpJ4/6vHPfisGrbnKLS6ORfqMpioJt1p8QS3uE 1CUE04kxGNkOo4rWDzfaO/ONhxEl9Gi8p8Fz24XFkch8QzVvbELgR6b1dqXm3z2x29DbRmkbayMM tTUIL3IyBLHeEGN93klvRQYQTxCJ45FKfjZFUaTdtgSI2zW5oJE22cu10b6RYG1i/oFBfqe8oc9H vbMCP0QbbKM1CBnPTcrb2WQnqJ8ix9FhiiRKqlCYkby9btSrXZwc2oACVPMj6hVAWYNbZZ5T8PPO d2ORxPN/RoxAzjV8pc1SGGpXVtjS5S731qdD2glL5yyV6bfaO92tCHcH40YICmnQLEF/OkHlEwnS CQIS2iSYSFTM0MD6KQ6JgN2QXvaP0jbMSqYPOo1wIVCioJ0P+Lwz3RCd7PS9jlAmgKSUzdB7nI1w Q3omzVnwgMHYVms5u37eOU+sYfvOc2MuxYJDpqM3B1tHlR3bxsJ2ghUctb/P3zJB3cC6TF+QWiYj 7zQ3XJyL2X+2tNb32jjX4jA75s53DC61/N1ow32O6r3PURRmA0wKN7ZyI8g+L3hNlxrdm1Iy3PE7 x81KNCe+xtVsg4fZZ9axrnipoVDbZCSPNvQmwxdBhZMmCe97p7gV04MrcLjwQc3IRlo01saafMdg q41f6KMzq7BN8DmKomwwIGgBvr3UlraX/osYAHJJXya19E5ve5raVWIKKulMZv4nsEadikktXEZR EjlzhMbUKovstnpdd6a9bpD4N4kDmFQAamCKsisYhQycV+ZLRq02j7Lx355MGBAbr2u/c9se+Eg2 8jYcQR/N4Z08qW0IxnX1h3XtxIW05/LB7zVUSCqslk4UA4/5SksCiqFC7RupIU5fCyER43/emW1P s23R6ooKQsJRrwnHEjkSZD4fZbXtaymhITFIJdMsRWG2AqSu21+CecMNrqXyKsG8msy54Z3WVpJp ou9MR72dH+GgE05O/PjivbcEMUahbFgMhWsXNNm9iERa2zurzarMWETUZ97ZLeUGWShstp3P+LyT 2vCS+buzDUAiFXkMDhkF2j3JvtkJyuelW7uWKQ9BGUKMhXjIP0EkJ+7hmZQfkcqK7QF5b5qlnrue 5Wu4pZ3lu/GX2Zm0pA+79Lrvc1Sp/LaSLC7EuyKDtMxC5l8/F97nndHG7dq/Mv8Me4FRb5D0zmjz XBgc925mKZ3OHOfOx/VPM5mk9v/5G3AovRPaGL+wHIkWiw02SefWPccb/e3Rj0zAJLE+cv6qTVPY mW4NiSKmn3c+G5fr1uwUHwRHQgkhm/vO/Lyz2XAmzP2VseGu6OmWbN/JbFi90shj210LCX+dQdc3 o6XNwrZbNbxz2VhCUFO/3MEK2x37ag76N9cJDUYipVApIe+pAylTnpyt630jqA2P7JSqD5qkk6pt Hknnl+2fdyob68rft9uCZsLTkHxnsjFuYENyW+sR1filmaFPgzckax4WAbwT2YpLeO5FgP9etzpy fi5UjbpKtnl34JFwcBcC//CblNKvN/EgA+KdycYQgLl/n3dQCHCSp6W69jrR9juRjXVFnyRZGU1U jS9o653HViQlCV5kusouJDm5W6qjbRjU8OB+p7F5zXYAJuiDt23ThCExfjaoHJz0jsreHV/UqSwD VyNLbVUgeWexEeePaw0qlz4obzv3HH1dfsCc/7yT2LAQ83e8DQ5MR3Hb5iiqa0+ibdAicWAbHTUF bNvb1fTPYW5AkncKW7ki8axra/ACSe9nhqpS251QSqMfGI4kqI/9gENh4bYQEu8ENhZIkpD+3Qel JGmaDVI9cffnnb5mDU2bIq4ZqOhD9crmKISRLEtEPN5OfE3FkuPOEZjINkdxvA3JwEqTSw03t0V5 NiO3zetsi2RWF4WCfWOYMJfCbS2jqKiN70C+U7cPmiNevqydnXju885c43nWv5YRtkaft1z7Tltj P5wxtqKh9shJsGu7sheRUAsyOsSfKJIzN0RIcLBI8kTQE7RjbrWzEKaMeRd0uxt6xCJk6TgihPSd tIYflOLw5C7boClqKP5OFZHm552zxp3mCAkKvqQkPJRNURRsA+OCYLterLZwbayQpOW5f2vU4+r8 pGCnNRb8N0ntkh/TFKEWAjUSco46CFSSPcX/QsHiTqvUpjqbvW1w9/M+G/AtssHwEeekl8jUycbx eBBE9vW1ikBQr3AQsCkKI21O0VRxTZa71etstTuCtKLsb6soKmgnWVW0fAebIgiSpAEqNKZob3jm /ZhNeKvCi92ivx3YUaBN7ChMxZcPWkZ7F4nQt3M84PEI1Va+4iOwYzrL6qLVhIF2lvvIFY9I9NPk HJV+/SoqESrk1bwH2go9UO1HoVGDlZDGyWFPDJpZaCNzdBDZhVnpmNkxqsfZshfoIfdRmhF2bhdD hOPtVlMIef5cPB7QRnb/3mm40+r2ZdSjMJsCZ99Srye+M4XAuqU1VdiGbNar7RGERLVIqgXZYFNU cyebjRYMMFwk7G8kkh4bQ6Tebu5PfY0elbSrOtnI8myw2vSyeOws+InHo3rt91aDZsiJbj2C7GGY 3ZqQyO1CI6/yVu/XJp19MN5pPSppq+qfElFbHGyrnSjxbOjRLKmtFCRf1DiHfRWgInR6s4It8SM9 CrMn3QRaZerfEF6pqrE3xEeoGXFuBzwfsEd+wf7pmTfUIeE0hTRIiXImI15n8BSaodr2nmL7V2UQ zEZ6FGhPSiAslHZscABJz51WTASQQPKV3gsnLIWKHOKuutqFtW3mtT0KtKtsd1EA4mDuMOevnyIk nUij4/Hg2E5OQiJEAJd/Kh4f9YgLOYhFSkQkCB5ZqutF5Ha1pIrI2Ccb6WGcvVhGAsDfhluzPTPT Go8kWHlkoxuzcQkSABBYV0kq2+tGHW3NEdskCXlklQrCWZ+jyotqTzwfTNKFtWvDDYgg+PXf40Cb tgtk/gtnK4NLFm3X9A2HXp+tpJAQOXXvSy14P2rB+5w3DfbGRGxXAgCpMgkFeGQXueaLRJqUd+3v kfb5EkpFrN2kxrmg9quU7UwvI455DlM8/6/9JnROg+aPV5F6WNlmqa0RggqBfJTfhwnkF+izyk2W 6hysIvUw0maBZKJaZIMn/+BGIAsByuYsz1opPIIzFoQ2CBEsujGZFufU+0bbjVI/m9rTi9SiorWU 4ZqNP/N8XcEHRDQSbwCwIwLQ70jPsfQebqvFh3C7sgnyQxNQqW4BqcdCEvn96ORXLqYo3N7G7O/Z B5unsxcg5JtpZzlWbRTzblQ3Pzu94YLylKRQvwpfEkxTkcyhMEnTbFiBVmg6CM9On3g8grXdDUfI 1ll0HdGWTVKE2oYID9BILNZuVrenm35OsglZbcPBYjsugpAQ/d83unIc+BNjxzUagE+e3eBn58qz e6C2hJsJgKvlRzf0ISu+5C8g6V602+WgGsn5DTILJucn4OMRQLJ8X3CAj/RyW9s9DLm7qSR2L7eB 0a9JqqN6uQ1KoLbjouK25FF3ojILB68k5c4dVyRVipIP1e0GcH8JXC9o8XtNcpBC2iMUSadbOrk7 NnCWJlxcua5Q2sPjUSWpfC8leNGc07565XZEUfdUO2lM7wGcZXwVbJ4eQKV3EaZpROVtRB5wduDp XZ7TuwMNAUE7JW8nnZiyrsD6hXD3DyqYIpKYNveIom6zqlIlgIM6AMDakP53TreMxyOytkcBCtGp iH+ZJCMKuxuZJCg5+A033eG6QXDGGAADZUPx2ePqtkLKlX2wGy4l3CLwpgeTZMKjkWEAAdwsgOHc dxkypEj4kojRrtatgNuosLFFfM46qMkwokSKO8Ly9s1NipG7f1Az9VkKgdvsAfRsiW2mA5DDbXZ1 1n8FJkqzFNW3Mxv7XaluZ17zM5CcAMV9IphBfZ+0AdRTS6VzAXElXNcAJPj4jgBGStjf7jqU+jC6 zcl/qnTWIJiHxyNK0iW18+SGoHq6De4Rg0mYtaV0LSjoDq1qUi2XA9Ac2DaiqHvJa7CxNgkvPpsj hHpQDu1scMP9O5PdBlkysKzXD82aLQgAuQ/f8TdOgvEkB2H/SppEwv4g4MDjkXjE/A4nzyLs8I+x KYpibpUBzpnhQre5XWT7OWW9dUvRDS2jKOZGZoU4Mt/BC25AYcxJUzioQ8xKO48GdTIUA2BNdalt 1NbFl/zR3y5VSpIVNuAiEjVAVIpokiXj+ajh9l3chtUdmjg+SWHMXeRFRRCbcpR2E5O6vE2S4a2o SYpi7kXjwD3ppcThtklOBkfjKyDbz9ocTPCAeD+TBE+D7VrAqH3rbYMUt7DW1gltG92hbQtaX0IZ nYnD49F55E0SVlmY4WIH2RyFmO0h88Wc7dSudH8Xb4sa57IJwN5l8jYizDaEytbIhrdlEvZjcOTJ i58yJBt4EqoCEkzc4W9DGQlHbFe9bdRtW9QwJFOSMXvVeTQLu+LKb0cYbq97Hql6gAZAvUItIZik krfFX0EJLlyY7fav+d7+utkwR1G4PU0uKguyzd84M3fL9dz5yGqx2RqkNxOlAGFWV9DmTRdFylgf 3xE1AKjzmHge0QRRWJLeKCn8w+yX7xiF2790JCp13G+3bUThNhJwapE8XJvsqKS26rZJYsNPmy0M t5W7LWqRTkUsgkmkcdLWJK2NsmuFnyQiSVjAUU8LJ7zvNgaSIwy3O7ttje3bruyPWUmFzilrHfhy fEBQBrgUQAkqnM+BcIDNUhhvF/bbaNYufb/EthL1/SRbTgu41n27xSVu8khoo6vBMzccPpB/wSyd hbRQgoEu0pnIjQJRIWhbbYCN4BBfEpxJlVIkbTFzA2i7KBU5+3hQnG2ckBfPR7WSe24TcnOyoYmL ynR/wiK3cJI5KflHgsKrPtNFdzuaZFQKRp4AYIb6fnbzs2bCwQ6lk5+fH70TTXISrH4uNnZuwWwc 0I2aFEgeD+F2hmASqW1Uyv9ysIM7wfaDAUCpeDyYo+YFXEIAYPQ1LgRgRtE2vuZ6CbI/uVkNwBT1 QhbSovQPgL7URgqL3MJuCfyfHvA/SDWtb0nY1RNHFqpunrUAK7WELlSmrqYjbpfeN0pvu1xwhg/C SYw9a1OU1Ase/9ehxDAXZan0TFIUbOsdJ+oPhpT8ipKmqdxAtiVblXuGLEn1YwelbTg4KmktsEml 3X6WZqF4SevS/wFdveRrjpcXdRJmXOXuv7ynnNs2Ry8G3V4Vj/8X/h+i9VkuCnBG0TaNlfOSypZS uMu4PbvcjV1a8oN7hjVuYbYqxZE52EoC16bDhZTMbcSqErqFFVjH7d/Kl8np0tsGU0SZSKIUbLAp mgjp8XUFKltRhXvfCEBSbSgnlNu8nVG4DW8xuDNMb5eMR6utPJYuGz0nHtsz1Ptr3GbYODZ4JIko ckEYCRHAPNkam7cJG3hh52VUrF0jidDtGXIkFUMiNrdBBYANVCg7k6VXPB50Jvt3hRvCLSM9Ym1h tD1ZvK3VYRJV0G1WuJOBlU+4BHap5ijUJKGTRtoS3djXl6ueI25AS12aJGe6OotO8JaGuA1bcDdK og/ljKLtyj22qdOhgevoZAuyswcSe+DxqHD7nbVBO+97iiIkSWdim8XYIpJksETCZURzbNW3UzYh 5BnVt3WvpaKGCRUFPCGZAOhritI5ztFePJcKADmSlKpfpLait42EaxlBYlth6BZsT7apSDE6QTce j5L/+XWvoUnTxk1sZ0iPbIy1H1VEMs3dwWV7M6nSi5WnUSj6V4RJXnew0wjkmo2LR9q11ELmFME8 ZbPVUZ4GwNbbBoAkkZFBU7TBK21Fnjf1BJN4PFhF+1tGAhzjE4l6pD1DJEnhKoLCpGuPXhWp2i6p rcIpW1MURdoydsso5djgTcmTPUxgALmKwNhmeYQk0wkMPsSFb2F72utGeGTCtfOWLTxeRvKsOE55 /q2aK56PEEnfgiSEtuJEs0mKAm1JbcHQ2cF/91br2e86dm6TbbVYjwSzA/F1HyyEBDofrjZUI4MW 4sribHWC//B3PmL/qAvwdaPwSEykNn0w8B90+RhDnoQGj0d4G19IlC6DBGW6eOQVhdmJ3jYS87Dw qNutdv6y7LrskCPVQloRlgQK7yBq61Qa13ESTbATgqRN8N8JAeZkJaUhne1VgHdX2hDxf4VV7ZQE 16o+CG5zMsrKrhu0YfB4wI68ChIWeIJS4ctoRWF2l59TKX5iY/H4FK3iWW2rd4rCMJsttt3L8sFx Eufigo4QDcrOJbrkpER47UBWjTaMI5Jwc+A7ovKIAkey/hfeXTXWOSEMgx8FHDo8H8narO/o6OzZ E55d0tYK1bS7ktrl6+j8KB5m93KLkf2KRa8QTLK6ikcqJUn0ktA21j06NaTSgiCW0N3gpUOe5AeR 9Q2OTgyF7whq2jIuzSn7oK2G5q8Mg9vi41GQ/X3zoyba1uUjrSjI7sxE6iq3zDZcsP4sYDNShBJJ Mtb/ChHb4MueHaYd16/MFvSWAK4ZtNQ4ATZVtXCtpWFWvV/Cf0S2rZgeSSeN1kTZhhZH117bmQAY iNoUPB+ltJceiQJXYQTRvc62QlFteQVLBMFsg93M3PRJFw01ip3ZK6ZHcrOlsXy4zcgTRUPVlqR/ wMCrkUio2mJWDRdsM/W6QaFtMoSsrJFsMmUVQ8LFhAElSsV4Pipqf68kQG1nucXIFYXZsNo8byuR P1ofCC+JNqrUCg25lc0hYv2tRDIYbWu4GImFsG+jYAse9wmwMUnYwIQknQmBL7JZ3CVGkStCkhBu k+FZ7oMStnXmnwAfqJ3g8X/p10sfiU533cPIFYtrCya5zSACiEyvs0GPxuts15tlhZE2+SO7iiHx 6EdAfLFAF4vlkQ4xdnEkcZ1uhEdm2ja0lLJe9y+VDbrfcHDcLHDOPIoRo+LxiPn/HUdWrqSb1K4o 1K7mNYIImi4RCIecRbLIZad+BNq4BEisELQt+EhiLXKlW4s8Gf/coF2wOtJOkATICqojuAFospbx 9zmMBOcgviXKR+T0jmA7k24nSNIc2Gc/lJCqePx9u63rFEEWO8Ags1006YpJkmyQuPcYJB66kyTP vW3RdoV4r2lsh3XtQXXtwTYaB9tv66yaDmIEm0gLbJ6qunb+2YhbUHmwjq3I4/iSwE3Dmv4k3XJQ 1FzPgcH4HvJweDxC3P5iJJNan+6ZFFa1iXwarjtGYTJXayl5XHUk1DI0R2GwDVB7Z4phg7f+gdVY qJ40SP/BDJp4JIjnbArrLeiHWpg0hl73rzDp/4PabLjjTREBZ8XjQQTwdNp+TNgOf6PmaEfBNnR2 0DcyMgS9ES7ZlsIMYpEQBE8p8pAhaWStWn3wE6ntc3TMJszWGKSIn6xtT3iGA6SGmrYZuLKmvaNg u1GDfOF4tEHLaCd0IRn4pPXZoWvNr6Z2ZQVp+KG934Nt4+cU+T2KjJSqs0jWWq73P9ZVaw+lSORj V3rxwStIe1eaKlH7r6xEvNKJA853QlTrhxLtfrNR73+HwG2CI4Ct9UFYJFikc02hpYfH/4ugPVS+ 2lx3jkLgNjnbgwV04Uf2tXUnU8fwI/AZ0hzFsTYDSfwVNtgcQez1REQsywKNuQo3ZaUvNIEHEGe+ BrdDrxvMkfRa07yDkrYTRVN+H3fwxuP/ArfLHooqe3eOQnpk1xl0j6MTMwuUBHPb6oStBu1jzVGM H2FCm1WJzOs5js7HwFNcNaS8IECLai0MpImZkHiU9Y94r+0o2obi5GATygcraZesyx/dYTweKUjW r+OIqojtOkfsELSdWFxzVg3pSO3HhTZc0wYFCOOR7BC0rbprl/3I4/EHPYTzp21KkaM5ThkAY6F3 HNl1PnW2bm/7F8YmycdmE9TC+3ogfSbfGlK3eD4CR9SvdcTAHTVTm6Mo1K5DMNvHqFV6SOQjtfWI kXQ/smP8COF+mVitki8XufW051mSVP0/fwscW9mGRCt5FETgmB+DbK+ht41MfhA8jkGXDQ5WH6m7 TW9t4/F/CSNS4YIQqKuLuCP4CJpwECCpXmZrTC3/j9d9ZT1XUxRK/pEbmVrOPvhWQ1NkWad2wrOL oD+0lQD3GD/Arng7u3e97V/80UIOkwZBtSZgp2rjLz4eJWzfHi14xc5ypKYoDrN521Oc0laRQUYo q3p3GnqFmqIYPUItm1SXD1aJPB+4pYkDxhZw3HJ3hypDw0rAJjR5TfoS4zuC+DHnN/oodRe3eWlu PB5V2cbXYXTe6QcajT5FYUVb2Vq7qj9lWBkbPjasmRRqkLGv3flJfx1GIqtrsFV0TjKwdwZXETLl xor2oEwKMmjILzl0ZE+97R/iUWi3Vx80RRWi1IKz14HHI5KNY5DZboQb0flh72EUqv3N+nOtbJiS uKwtbMhn+XErm3vxR8gRcDugPiZFxPFktLujVLMlsD3rOtES27QKSxYgyB4/Fgpz4Duioj8nZ9Hb b7vj0smSThAx1IGcA49HCe0vUhsqWOnCRgTUiecIRvCC/APM5mj2wXSXZu0bLSVZkKcwyKZL+yjj DpasQcwOLuSdxRGw/1QcQUx0gnuEe9TvmDJrl99niuLsJeUoekcBXt7NxnCjSMWwFZubHxDBR73n z2LKKDKJu1MVW0QWFuZw2ChlE3a9INlcDIyI+6sUbOn6qD8qkidNpUTy7BdBWtAwQopM89pzhQ86 ujRaqCLZYjh2tX8YbONbImwEp6jIw664h12re8gaG1gnPh+kJA/0P7Eo2bHv9mOPFPra4MFzJnRn btXRqhfcpJ3E0m3r7pCQQsh2Y3ZbSSThcEPunfAyjeY/qG8PYrZghZqJIiqgBV2/0WxvHGEkBNcW 1gZkZ3H3zqLqrECDtbb4AUG49CukBKkVVOk7U1GRmykuLG0uSkL/RLNktuLt7QGXHpup2DaSfogy /+Pga2o3uv2yNjlPggiaFeiS1P/H/1Hzc5LT3ZBfE9FJKABEsKwGK06exFCYW6AA8Py/+m4s4WLC EZb4REXBt+ykpM0oh22U7a3QzSaEHLaRCcssIUWVbuD8oEtGO3YODkzitbDp2A7lLZz0KJhUApMa pgSOGVZVog0Lv+YP3w34BncfVDJp+3ww+VAbphIphJSU77oS3u1nQR/HZyqsdleRk4tMkGHgRmJ7 ovHW7sZP2pkaLl0fFZWWGFlWCkykev0AgCppJ94lZKKe/6pSfAOszBNbEp4/R7s1yrTthf8qCuw6 lg+aKDjcsBELdWk+H3hvPTIulE3acCNaz9UXe90k6gC1Zb2TpJh8U+xmZeudlMlGZddHBfEBqyb7 BDE+eDUXkMCsGAoTRVwoiico6yXs6jzaZXRDNUxf84clAMotzQfOFGzhqhDLZ7b5fFA/+SWajD30 Mx85NyEv35ucSZnv9C6TECb7QXMvZnXFvZNSrA2oii4PKw52Sp2cjsRC1ivQq2GDHCZKuOAhe5ix GqyGQjQwvyYSvWVbFywWDs3K/GdxUgsWLO3G56OW5bemC1FhKJj7RIVROZXKheMzYGD23K5Qt8lo ONuduFIkWQJUCwooAnbl22oCtR31dDq6ZYRSlTz5iircYN2prgcZOO19/0JPAv3kg+px57jaW9DA Nvh8sPUe2BvBBehi7vXYA6W/y9+MkC0JTlf9ZlWzUD6nSnV9YHzUX7Vd+Kv54BNFrVhTUzi/TEZa BC1/oCLQKAeu7FLfarEXDipOW6lLu4Nm6tyfOmHqYNAZ2t9coCkJVZXqHneeQqfJKTXu4ipBYFZV L4HX4kjTSk6KXBRDwIkgS7vfwfI8mNsnkkGgFZiG4d8G+3RQtUFX8Hq70Hg7B16T6pCdv2xSJpiD 4F35vCKLB2hD8Pko1fumLU064F1YTg7dJlslE5cXq2G7xxXBrWxEVfkouCNnYDeJSIO1gs1ar4wH VTIACBEKyoPgpT2puEdDhS7BZ4qWmQTefd+gn5IpFLjK8MGnKe9txL/K5/+LDC78iOV7bV8bG04S ZVr2UzWwNQTcOpvirBokiix2fVTU5GXZYAjAPK7oZIeM36TNJM4nMBKoKABayg+hQGM96u6l2ftG bSfS4EeTNYeJTp59fQ4GErQhZcLno6T4F3kZzdpzAd/QIHCdRHNZ1lzTlafqNtogkF3teik0t1TK oe3kYJEOVkk+aJ4G9XBnhnkJEw/Qjhk9wD4MCHPyl0ydi73wHNhO+q4ruBRs4HIqaIuyAg9JWT7/ L8hAZYZIA5Nn14VygVNygWTmVp7iy2SDGuTsf9wHdw+fppBTyQpLoYGLhguHW1CGEMn7xPxnXyDB gXEgKhxn20M22pIXaSvl0HtyTSm8r61haT21gYYfyXBpbD4f9Xrz97ZDKr6f2y7wnkwm0lFJitE8 UVyb226i0K5tB7KDb7swJGcsjuTQB9t2iVJmtFQrIJKPSufyRmsESAedqOSC4qbigtB9MlcJUO/h gwrjoAXLeeJ8EJ//l2wwcxcQ7/bXegqZleqwrOYQFHlkiQ8vqhf58NKfSPqoyDZAbq/1Dp4Nw4gb oEHENjvtvhkVTJTbF3rZrKl6yU61qNB/EhybAQ3mO6jne2ISJQFgz/D56Bj3op1AqGhntcdaORQz YVRQV3HFV+JFLHNh7P0/7F0gf7V5ihxxJNSxZBy8HuPgAnYX9IPliAOoKb2VCJFck/ROeCGZSXet 9sKRcgCRg6tvHxQ9QbpODtQ1VT7/L9EXhhBIYPZ4jvEoHEcR9eQuFJlXHUpFg0ng14WibhSZbJ5C 3HeSoBvvslWvOk6FCsXZw1THAXqgJWZ4DWakJ+IEPSvna/dOoA+/JlDH38axmD4oF57nVlCde7XC 54O8Zd64QGzxBczuuDMVYr+bftAvrSWHyKEmsmznTdohaKbCeLwrKqKnCwefKTh05rklRbFPXABF j4YAGklDQ9Gg/5/MJXSjRKJzrkz1XjgoFT5n95D068m1+XyQuVSPM9kmR8a2GQJrokJDSgF3c+pO bE7rEuTLyD5RFTmM6lChI+WSFHXjfHHwiUp7wYZUEwX9Bph6IUZnpXr/QMva4nG2oPklf+BSEWFK lYo1JZJ2TgbGBdXPnPH56MbzedKOFbz1TlNYK6e6aaGg0P9QdwHiiOJaQnSTIkwQ3qrdpylGgUtK aCcfvAi1Nrg7ierm/fwOEqTIiHjmPC8pPIGWU/P3/Qvf1GBSYoPVoNDv2jqbJ5+PqM3f7m+kt6YL J8yxJyUFlxpRM+y+pGX/BGQXOQ0P8iq2JacpjMcZPa7KpbLq4/924kHE50R9w06mEZd5jqrKAwq1 QZTt7ICiygq/5g9hKtARmd+1M8NM/AGfGbKBhSY2P+C/AC/ZCRr1makQoEKqXGJziNJUc/Wr371N 7xyRaE8+UyEcnIXfRZl3DTZT+OVnBsoQJSiQVei8wJ5ehqcHrtfy3Hnb3jgCF4i322zoijWprcII qJes1/ynrHATu26Bi/Dsvdgyh72aOjx56XVY2/P8o3lU3qg4y6g8sKcEVoESJ4AQ2+AIA+hu4upj zSD3pD5NPykTRLSA26MfrCrlc9kLRzNFK7jJNZVAMpa6ZD9hauUVOM4Pww/4L9q5FBjIX2sqdKg0 kYorwZzFxCQrTKLe7XFg4kTFaBUhC4mz4OAAw3Nlrt27VCrQJaF8fNlAhgF7gWPeMZi52vv+EZUD tLl8UBd9nRxTBMxeG5+PVtT6yvImRaoem+rQo5JJLiFONk0rXfxc2852xpXiyXBgUpl4e6KoQuBT 2Rf41GUm2IFWy2c3w5SJuxNXRWO4tL3xkslL5Jf8hcdAcdEH1VaalJ84TZvPB0H5dfLksQ1TuvHU DEKXypFY8E3muASJ+OzJcAW+zrYdBNRsmsIiOcXzc6/TB0+GC3xg2S+DokramR0HCmNOaFTlRq/q xm1n8xTiVqgJnzKNvc7QmIQQyphJODm/it4yCg3KV/ICmdWeLtIwhz6V0DVDxjI9Ga7UNRZypW73 PZt0cmEyHBhVYsrpwgQvSBtsOa0JpVNUfwCmnrOz7QLvEHDoVjdx+Pkow+XQqFJZWUKP0QYuJxSH VDCHHDqfDw6ny6Ij2DBR4SjdZDj0qkxsDdcHSlfdBf16MVQ10ZPPU8zHJKiXQDEN3nQ5eR5snsjt gVpPJiFj849lLoFyJ8qLJGQUe+FgPS0hDqWkC9A919MA35MITfRy+HxUhBpf+w5M+JmfUDM0rJTg cCtXHq7KX5CthOkqOhW6ibbvYsdK+cKCB2SDtxI2NOdIygA0p7MZRO0zrDDwJ9atQQkmnkPLSoaa gKJlH3SKd6E0vUIeeFZ+K3wXbjuSDu/xFJhWlv9NvOyqMHU80PP0CvlSdZMlTbKANU1RRA5VhUUx OB/seAKZ6qTqWk6QHtrUJYKuNbQ1iE/PD9Cg2wtHXDE5e9fqg/YdldcJNDg7kM9H6MwLqNd/DWBd v8W62Lqy6ny6vtWtX7fh6RaNdBv24kroXSlM/awU75r+U2Pfnb21k2A+gLCic86iAUQzEgL/8swU UgF747+cBzYwX2jsgCkinHgCODYxfuqZHxBhfb9RmpIlHTd+Ch0se2FHal8kK3mkhmQd17y6Aedv SyqMyWkZNwvtvjj4TJ3r4qxOujNBImCglwuYDzh6iSJUoGxUECtvAz0wsQQhWqoDovqgqcpvAhsZ JDfM1Nn4/IAIa3AjTW5VNF7G1yEVxuQ0jaltOt+3ln5ddSlxo0oUcNG2qGLLeGV45jBwYXawVz+B zYCmBu6KDANl1lfOSt6MvpGEOMA+L3vhv7Bj4OhxQIGMrkMnYaTXJoEIkx8Q6Vh9q+tONXJufSVw szRPHmAbtqNX9qyWEcPs2/K8VZ31m0M7y8E25qpEZHLwwkEZUOToFLQG/qKjuHd+B9y4KQGLhkaC 035StzeO6GNMW1DytkGAKBQCk8JN1DYDR0v0G8f3OYXudM9X9SOHnpZDVoQI+IxCTpFFqcfV7Uvq /OXTl1QEXwFrGWmLClLr8UarZ7/1wugXbG9QN9lCh2D2IqeoPKxW/qj8lj98vwrBjTaoZHeW6JD5 x1m9fD4ykfk+pUDx72M8Wy8slg/yWXRys7RZrx7BlmUj5wkSoDZPfxtbriqqVL0ye+dKBkZ3EpNx gqpB3yBog+MshjTxD8vnrra/l71xJGupYh2PdQ4KM5GpEsEOuC6fD7berdnpkKLiynpuvr/9dnKi kIx0LsggJ8wn1W1YYCCzfO+FkTmnaCf5NqbHt3HNDPBTkrslLFMQlDYIgeM8B0pCHGABolQuD+0t VS7HevRBSwrspalWwVp8Pgg5r3OjQBw4eU50fnn3ObS4rMJNk++i6HyW5VLpVOMFyq7Cp8rAY6HH pepRrRPAwkFzBSvWCWdiEjg3oBlo1pwppOkOSBK19X17VX3ZG0fnFK1AMwlBM9vXsDyooirQUXw+ MifMX+kezID7fMKp2OaSy7CIlkhkBoGTSosLia5030GvXUFC4HMJjcNO3x0iWDhYurcRM/XEWsFJ bwY4KVTmA1wXL9CoTNikKT/sff86zgsFYTCYH0iryLeFHAPkIDC6/E73hEqfsHG4yIzQ6FIKRaVP R2YQz6GsOAkbRQeeWr1FHDpdUnl3ANDhgxdZKiR4EiI7/gp0qEYr/aQPHZT1s927Z3tDoVRgdSl+ GHp5RYxgbCRhM9YcS4agEHHOodlleqT3uPo2pG3b3Xmh3SWwV9h52esHhQJDYnV0x2aUQSyeZiqM z5nvJZ7nGiyRqRO6McRmAPa4WGyDBg88ApjZwlXAkGOp2Pv+gc0A8FNCBTC3Ul0J8Rn5ClAj4wf8 FyFHnOa95GdFhdE5/WXrLl7drIyODWLnlM6a2NHSxgujc62omqYPDola8AXSCZUQY3WazAz+mKg6 90fOaSqOChwvASgi5mC26oPyYsTH0pnN/4+x70qSJUeS/I+zpJSAk73/wRaqagaP3HXL1z0ygprp 8ghPBIgRJYBEhZaXjzYIX479wasMlgPLS8iCs7if1gMs79753LVPB5YXt73OseflVFxQ7mDhQQUs satsdyKRButrSqic42/C74K1FdfiUS89NL0kZpO2kD4Im3F+VPnynfmufD4ydPjGZsB+uK0HLR3a XiJ2yVCXkW4a/tEg0qBxzXTDzeGBeeB7iSowBXiTsuP0hFFQJEahmQ2YDrQ6YC6NkK0NU/TSvnzm 6aGeQ+fLJq75YuYxqNkuoxkIGFEndJ5Tix/wfpKvh1HFzBCU/FWfjRda8sjVoXQXLpL2pALz3q4A 1tx3pmKGJ1MY9T7XV+/z7N8TppC1BLxKO/cRAk7U40FV2CzaXRiwrNRzYIAJMmFldrabDwqj2rkU 1N4rW8//L7CorEhm3tA89MCcWTgWmx6Qz5bvvUFaNPfeBkzQZirCsVTe+EUOfRzsKD+7reXtDo8b 0kzsVCX5oqEyc7vEUyyhwAUTqRrPcCqFaLAjCn0v4e9BEgpsMNGZq98rKoP9Q6kFTdTnNYaqWfxH HBq+91bL1XEseTguChgppTCvEVQFKopx0VbdfKdnlvo6KXWtYlOdA2RgPSHvhwQGNMPrF5cKEdRr /FTNlAeaHNUHzRFyRk7Y2c54+mWGKtq8SoazOnkVtsX0LeIPE0wP0F2InXozVR4YJrsBNvvN4nkm 1yx+jZyqyc0MlOd88B762U2o7Cbmdye+GdyR4D/9DP6q7TF2WDqYXucHXRfG303ajs2lrxs+X2yX s5Q/r0FTlWOA5kdk/g0J9WTz8xoxVXiEsUK+stvMNFqZ8UDaOEO4fAD7teXzGi9h+VCLH25iPlgc 0M9iQvZOzG9vk75ROLGAv0EgS6l59yvofNf35VMoWwAwgQ+q+nao2LICdY7Xz2uohMm1I9skfDqd SapNz2ugVM3hqIDe7GXMvlwctIzpMjO1++56DZMqCk6CRBPDmscXhhXFAgBU2I5KA2UnhJMVXLqO fsm+cfdEm/XzGiRV0SFp49A4AF+uVjmSQ9JSAOL7vMZI9fEF0/YqoL/k7MvnNULCQ4NlXv7cmp9m wjKFF8SP6164YMFrfIRfR5AwyjNrsHv/vPruQ7LpM53/B3i8sJffuhRIkMq+v9gl+byGR1XwfWIx hw/Jb31ZzbNJ/hocVUERNEEsdwIcMtr0GXqNjbArCRfPPfsKKsQCG5BgXpbGyu4wG8zQlPqeHHY5 XEjYyWcy+p1YO+yLFzmDomGQobYLBX5vaTLUfg2MeFwS5NSoesHBMIYoIbO8dH6cz2tUhNVQ7xLS bJ4lvFkBp1XL6wxBsMWOIFe6bq16/RsgXGvSsUomq4tohqi9A8dvHxxocaZhVDYM4eFzUl3YqsMh pPxMyrw3pA62yZizvYZDVW7V5+6i3jUk95aIhScGKrux3g61kc9rNIQjbM6vQ2gSG0yha0zQayiE M7oW1d4u9Hm3q7s/HkfQXVx3PzqjBZfjWaTBq95IVQGnJ7qp4YRKdN7blN2HPBq0FD26Jrf8NRDC ryDt3crTiIOhL88uZhf+BLf58xoG8RW7TZDmEpWk7CvotThZXU++potqAgzFuQaKtXnJt2lcg9fS pB0QkEUtwweboBMa4i/YnKBUB41ZUMQVTxqINmi3GFBu0SzltTCJP5Flkb6plc5BxbadISv7Q2vC /HktS1b1De0UYh1l/cxR/Bp7rUhi1RYm+YkRNE7sPc1lr5zT48oTSbKTahdRFCQAryATHHyL4W6v VfXIBmvUTYom6keo3RaKnlx8XNLbBsc03QgWHBds0CG0zvkvhsGZt89rLdKvJB1CmA4YVrCI7IFi FEgzGCx11+Q1kT59EU0q4TivxwkrUSQNbAkcG3Tl12eblXPLIawibv6cVmNQwQHs1p+9WN9u6eb6 zV432GbScJpqV05XJjxX7cyCCpQBFmu0z6xim626jQiH+gg2S1E8Tb1U6FhNO6xTIhgc6UZa1c6i isPEaT3RLE2ppa/lg0VEZ9+dDQatHBxG52LeIOU3Fk02koZKSLK6uoha+LrBcV3YeRuarDGMrLKg WC9/i1z5eHBcGyA1kxSNCt8YRMUJFhRdaKMIFOfVNTibOpyCSCStpHHL2lFQDUgnvT+6D16EHPBF Z4sLPjJ7b4qlN9CWBpTvx5Nz0Fvj886jw7qXLPGiz1VyeOI5QU88qWu8bj4eREUjf4WNwLqwZWBT FMXVeDW0KS6QoqzqcXVPzeNqqMA6PCA4snsRBJzMrz2vihMErcrok6SnnhLManB2JdD/JzSU0ax6 rjS1dKLEjGLp0Eb1QYnr+RWatAkLQKhhaH2PJEbhJK7W7HP0Hlu7427tN/dofXmRdqzpEFQCSK1I G80Ra7Qd2ko2aI4GCrYIvUhbXVtUZ+RmE8lCgVhCu82RqdrjX+dRhkoUD+1l8s3nJwDRU5pyCSW1 YI5Ea/N1xEYQsIs+SVF43em426RqxVNbNrusZGt5ca8BMqP8/j2+RoZGliHZThq8NQLw7dDdD8Jb lfJsx9Ih+jO3fhFeZAR83slz2C1S3SfkQQMnqdB8hyXIs74+79y583hL+9cknbU/y/Db/507x2OE vW3KLglhkpLLpbc1PYCke6smKQqxuziYFLPQ4GksODwpcZLquUT7Im6g4Xccoszu50TKU6/7PknM rKA23X3QSjrrk3jsE0Hm/XknzmEl9fp9IgERXYuHkO+8OezRSV1rMb84XX27mUxK0woh51+4ukRR lM1S/0iNunIc/ETqJ/SBRgORXdBiI2YgQ15uIq1l/cPJ9LPrdd/nSDdaFa6yjmUMArSX2Tj96anz 8SCKnDdIEtXihJGCdXGS3gNtLCRqocjri5kIhV9kASrxvSnrRnPceefM4f05O40mqBrsSDqH//kR ikDxBRhTguIXUPSNOgWgqlpNVnDK90DbcUo7qw2ZreeCnAnaWVwbUNmJIu21f51IoGA3RDg2R1Gs 3ZuMmy5RtakOQgtQsodYUUvjNkPCYJsRUt3pDnYiwYACGtdU30tznciS6nsIPLqkm1Z7CvxTrxtN Eu79XhkFcNCJdOKUJs0mwiSiaDvX76oRAtkmaTkWHaNYu0q6ou5rAN5b8ox2pmwHUu00t0r8pL8O JJjq+GCbDRWVk9YPyhCtOsemAThEkCEpizL7uEZydLD5vBPlWKFY5DozpeXAP2yetGBv6VqV/Hnn yVn0oDli+ksAf72F2SjSzqJdSl1fCrzF5T2a4FxEUJJAjuv/nSXH618FV1LgOHin6ORGgIHi0D6H P6abUFOwJGFt1n+qqDo6kVh9fKfJVfPcgdg60XwwryvJIqMkrXTgrD7vNDnebffY5r/NxlNfdy1F FWygFb8a/VfUkXYp1TJeFKS7r6X3aNtdCXcXUac/RB0YP6LkNllhS4izoZIGQTk2XHAxlBtLLi2m 93jbhJrOtditBZnkeF7m2daDkeW5rtbnnSTHefaAWxt10ZfcZykMuIfaadmddyrZUwYyvTWkjVoT T6V3ilwVJhENIhmnfGnFwBUH1OZFP7xz6IGvSJUmtKVonYhOpDF1pILyzpDDt8h2F2VoGxQDpJMh 8+gFe+rzTpCrj0bT+ROkFQMv8OWB0js9zpoYjCZ9z4Guf/Hd/dpcSTkVey6qZ3fxTmpKPjjI7SQ9 BbhlnEtYPJMEjAwzu5kAsxjDz27zcHonx91+WkbqZIPq2YAmVQkPpfl5p8bhDl5+dnc7l0a7Ff93 ZhxWUqM7AYVELOLuHnGX7jpW5yVcx+qdGOeBUt/yi9uOjm0s8jfU5FEBGFSvIiaiEQ6RCVoFaPoe 3kvvG0RKSTo6z6BjKbVsJe2zUj/vxDgudz+8WZAEtE2yaJyk94gb649lSMEgWHC7uVtPUuRnfgtM kibpPeJWeg7VE0rFcLBJaj2fSxrdIUhYbUgWb+JvEdqdPINl//p01pbeN6hJijJP0WsNajf2PuXA C1+wzzstrhpCzc5ubDfYgY0bKr3T4vjY4nbbT+W/Oz1nEkqkpQSwlQ6l95gbAER1H9ni5+Dwv5Vx ARXmJRmWh4l5CUrRs1CSbVx9S/Tc+brBJMlWptLq6vzJZ0GpsZ/GyRF1w+39eSfFWXX52W+oazbS PDRJUXGbAnWgv3ldsuXuZYBdnDuIoNsSk3dKXDXdk0EPcxu8RYvSGDWGGlNDQHoJ/jsf2RG9Sn7f bS6XXjeIJ1G1BUOl+mClkhNOWKsk9887I87TMB3cnNBUwPa6KykKusHGPr9kvyaOwvhykvLKV5Qp NT+U3oPubH1+Fj9ssMSk7JU2fF8oNtSACVeCJ8jfycSBPzL1XcpavrPh7Jo5k9P39EGJyQnNqIMP aPL4vJPhqil1fbfZ9jmTphe438lwWEiSpxjN/UAWgfEMAaawW+xEVs9w37lwNzFpWk/CDmiOUNEA NI7+hJBPnaonAczWYfSCqoDrewHO+nmnwrFTNqTDdAdL3k4Iw5QapumfdyZcFRz/Ky+hnF65UxTF 3NOs925eghPaCyX7Wu9NagFyimK4CA6k2VG61qApmiiGpI0IG3jk818RczSQTOAYWj/QmKVaHPr9 RW8bTRGtUqSAxsErbp1IASgM8fFgqz3FJF5jhXN6ERFRvN2Qr578vHrptm/zSfnuJVVIeWirvVPg qtuBEEdkgx/arZ77qgsTCWefJgoYmHDoA0D3757Zbehtg9StynWXWS4HYUZqpkEt0fHl885++3Vk szEHQd5909t38humiM57EHd1XPs02Uq47l7AdiMtHDDkd+5blcXx2WLMyzT4TqOE5SAoK58/Jk2V RtB3GYl0SspRmJl80usGGUlnNbKTTVnx8qJgjUTlZlzoKZfPO/HtV6GE4dQ5txrq8DZJUay9pUVM Fxih2ru5y4J784D/k8syvrPe8CNB/niAx+iD7bW89oaSLFKktdN5z0TBPdhNo8f0UxwWgZqTXvav bhtPAxt0Gp3bQiJ655/K553wxkh7fZ1GABzWPbwk+c53s6AMeRMxx1xG46oGtFqvWAdEqzVDUW07 qeaw6ONQ1/VxGBn+01lW8thnqDviwEYw3oidS1+oWmYj72Q33JwLBYCxyx10HJ0gtcuk4EQan3eu G4ssntfKDmTRM9znKAq0O8mTneqfcpRN1+DaROZl4DQsr30nulU3S6V0uA1eSToX5Tm0GWgDMrLR zUP17UwVktwfipg4d5IAv3eeW3WAdgV3ygY12+B2kdRAm3zHYKeN71sNqhsTVAmboyjMVrONvqFW bSvF56h8mYDjT9AcxaVt9mwhDo2BxfTJnm2vcA1UMgIpIcJIOyWEqIhGQVR33iHk+J3ixsJXldme BpScuUPWhNgxUQ8nBvi8U9wYgd6SJO2wz96b+TYA3hluOLSrvImrtdsgM+aM5ZU9GaGikN39YW1b nSRwM2ywew1dO7WWoAcHIdRMrD/NlBPS6IIY2YPIYu8bHUkUzIMw3hmAsheWeidAT6mMc+KUzzu/ 7Vdiy+Ycyna9eL/tndt2I6QhqI2RlT1CSuItYy1NsEA1S1F1e6qOtOS8u67zbgWcAcK8pHXD4hwR B6QsmK2xaduY/auORGrbO7ON0QqnR4fSfg6lhaSN9//5jM87sY0v+SuMLCeMBXPaQJEhlIQ2TmVM E6QCTiy7cQqM2oyoPEhUBioyjLSJjiiLKRsHO5QQ7539RXOZCt+xxPs/QcxsA1Frh9KSROzS677P UTVnCwKSditSPoXa8Eyco7Ni5+ed1Wa4Uzu4CWvNhM/TW1LTFGJJqmBb2U1T0PiRaQqcBYH2y5T0 RNUQ59I7rY2ZLZXQlbSl9WUIdkI2YEkocHZ2OA2KYDR3tujG59K72QpJAPvydaMwiSYEEBOxwWBJ RaZKAKjOzzunzUoUX1ESXEDYLtUchdH2YhdgDzciKEDcayl1mgzTsaGj60Qu6TuhjecbEbbAqtlg +w3GfbDrIN5mwDMPzAdAtpkkwiFtjfKkbV3vGxzeDCJTZkjJQZOELicnCfHz553Pxv645yTEugCN 0sZFkL7z2RA7ZF5reaqJdP5BgtXy3i3D+5LTHUDe6WzVbRyz2pMcvNyWQWlb8pU/UWVKNADZ+Onz ObSQQPVrUDzIg3jns1Xp0iDzfwbNEg4+Af3XCbjf6Wz1Yf3ZqTTBhKh3kv4ubtfRLsBtXq0uGLR4 tS1NUzx9J7MxuVVWwuI2B2/e1hN0b3Rkzpk9K7hfpPuApNcRx2YoPFl2qyLJO5eNaH+mtYtcUg7K 3FZVseIHLdbPO5WNDQTvcBO6dc6Y3uado6i4TbU4ur1ajQTIKmfTOBKH6rkWBLwT2ar4QMhqCQI0 8LQyNxSwN9vZwBicKJVgZMr3DVJroLioiFtAiXcaG6ZoEU1CQ1gNViM5uY88Cvsen3cSm6HLntI2 /e4gf2BTFIJJOEV51SeavGhkhpBeRprWb3tnsFUjt4/emb5x8KwEvrgk9UHxbZYlcYVN5CSWsSS6 FHBrFUWF7UEq5Ikdqw9WaqtLqt7thBqfd/YajzO/24gbhat8n5dU805eI5hJfunjwtvU6ia8LU8v tZHxJ1pEGHHTpLA3lSPbvdlQmM90TmD7b5+fI7EcCVHWxrZTfSTim71tUCNhNgIpEh8suc2ZVWfU kebnnblmkPinRoLToNNYQ1MUhds91UeiRP9odBrUSKan/51tYV5sIWib8vCEpNpgU9QythfW4bl6 WrHcroNQAnl4nJzFrS4Bxed3BMuI4q8TPWEbrPl6Yl5Rj1rOeDwII/s3bgupO5QafIrCWNuMbZpr KHVP1k7+L0gpK22sdXIVRUVtoA3P3KBxYINNEURpdl1U8gaAG5EAbeegTVCpJZFv5d8O7CjUXsS2 taRllHwZdUgTyiP+/AZ4POj/XwAggyEweTo2t9Frwli7cKvp6sc6Ss0K3EhuubpYRmrdykj9PdZW QoSSP/MSDreMNHZvEhPOIAFgdpiLojkB4c1RPdSWy0D/FwNScje+VgvY2eron5fn4xEe+RtsC9ma Xrevox7F2Wh8Z6Dy86VHDEO2QwLAt9pI06coQpIMMmnHJCyZg00RdDYQgFWcRuc4GhAZWnSF/QFS GXWkdvN/ymz0qKzNdITILx+01yAlmyShfNKRHsXZD02Lc4QsaF60TQ/jbCom0SjJK//LmyP0kzAK +/Tsv0dVbcH/4GTqg+Paz27bcxFs2yCuAPMwGKPhhINKyU+hkZnVbIki6VGcDc76yEwAoW5Djz6G kCf6okfS+ctbwfMBJml+VyQzLfuevnYP+ZBSCmxpW6CNP0jgtpOfm5EjqCzTpG16FGij0YcrnfVG DhdJuk46h8YRYCQn1qaoWWtY7UR0/RBCaXVbJkb4liBC2ltSLUWDkRvBhyxSpD6/Lh6POKN3LbFW kKhj6iFSj1iRI0sI3iDtQLqZHQPdPS5hiyh0pCM9hpEQjZxFXc+3rz1SR5+WzB+gDE5URBw8PhO0 8fP96A24qBQvtx4Vt6G2iB4beySFhk5SQwBJkliZs50nng9ut3HXkrbcgBiCl5J6GGsPqmvUxwk0 FQduyQdYWgiLzbbOT4qokWz7D0q2cPAgaQFnCRQNoNsZQt0UUkJ8ttj9r/kikkh8xpcE6b/KI1t2 xUAMUigCzixIDlEJPccpno92nK8lIgaR1OIjbZLC+nZXtw19qP+KTL6yieWD0WbGsn1xw+HsjqNt 4miHtAHHlzYgLFI32OPnfoZbSCf5r23KKM6TeJVFWyaT5px63+jwFpAEycyZJcXB/HvL6OL/zfPi +ICIT3KrSfh3Ex1q7lp6D7iRykwF3Iyn+Y9N3H60SpjQSvgHm4JrKQq4adIMFPLywaapZ5b3JaF4 ApMCc2JxJX/IP0eX+np5UJazRyVuVMRRQ3oGAbdIZJfcXZ54POLZ+oYzae8Tz47bB+gRehvFRKQi XVUjcK6G15Kgz2Mabn0SKYm1FCFJ+pL6dCLRTWYRlfHkmb4KjWA66FAPl84wEOPcaHmR8mNnN/pW FV/yJ7ot7+aDeiXws5S48vlcPP4vnGQWfhv6TOBH2SyFUXdl8XYmN9Apkr2lYkTpwypurTASwCxF Fe7F5n/i/aXhFm8n+NmdfYC61qSsamOXIlM09+z46WXJQTZpj8AknRJ+EHbxwfhbayzuN4gs4PF/ rSXKjkCBfNTLcRtR2D3VU1q37/bFSZaksw7va+81ohI3+MJnHQIOZYMd3que9ZUZ38LlcJUl8SQo vnSUV0CdFaPEhLpHFHarpZQXPVKzi1gCKUHrcdbbMh4Pwu7xC8BNcfwLJhlR2M2lB9T2uvcbOQFL HLdkjJKxXNd1ROVtxkqDAE8bbCFtQKsXpaxwHWyoBSMKgEPtguJT7itdMTLg8PAlEbOdRnpVWmRQ EOQVgfeT4Q/QoRnPRz3u+b3fIGc7xr3gRgjfpn9s78UxgFgEHngPE5M4ZwpcXTVLUYE788ge6gUM SrP+DNIREnyVOx2JE4KCuUhfguhGRYWyjJubAN0x8B0BmLSJSNrlMNQ9noQSM/lb/fwHj0etkvq9 kMCWRo3IpiiEkwhMSkV5iWzs4hIS7REhaZDL1F6Lgu5F9XJ0tDUUm6JxjuWz9SudqvJEzUR68OhB Qm7phxenRQDI8/EdUV+S0aQ4ExxUBuhpSyC/QftvhLXtp+KGlxvwDEl3r0URd6cgfqZwrBFKute2 zy/jU1RKtdr2iCJu2jWPOoWYmOPGklDpO9t+SMf13BKdDZVz/Z/jCL66eT6c2wkYH74kOo+wjDqQ 7BjSY8mVG/FBP+iu4/l/tdw4SR2KehdxM6KAG7sJ7K2txJZWVfmmJdW7JBnOc5qkKOBerNzm1ooP 3m9bJ4ZZhgOAthnkRk7APfE7LtobVF9HJDThO4KtBvpfJlPXBrv+LWU4h8KYeDw6jnyr4Rdkfgvw gE1RiNsmnER8NJG36KTNKVpp+2lEpjsztxHhtgvjyDrK8sEzt4xGpFxfCE0utNmj5RZV26gm4ajt qreNmm0objdUSzkU3uE4jUaVSwey2xGG2r9qAKgttVrvFEW1bcqeFrXGlNyW5UTJ2punbbUTRoop ikJtS9vEkZBNId7nbLVz/0zo/7JFcrZVkWoceq4dK4H2pzZFuHbwHVF0tFnUnqptdweToH7eWNwG xgTPR622X/R2tEfWbbWNMNIWvT2ZgART2+yXGmo2hpK45oIjjLSZtaGX5oOlIzvN859GwdaT9p9M i7LSEwyFRUktlOB8qzGEHGGkDdntE2eSwj0gV2aqmhXYQh5NAMPgA4IKQPGVxHwEkUS9bNIRR9qq +1eX16ylLA+Q+pXX7HsvW0lhfZuR4a7pDjZLqJ5nSLJhljoyGzK3CN4/eQRpV9t7ABspBr4katpS cxv4DQwwTmfeg8o5rTN+xvkV8HxUJvmljoQyBbXANUszLHALJ0kc1H+MKGmtywrAxBUuMMnsJG+f 23/+rfDXEvWROHhLcvUMB0Ey3BOIaAXLbYDRO4CLmtRIHg/ndoZYksIACcKJNtipDegzF8c5GvB4 1Cj55txCEuUktr6QZhRpV8G2wVeV4Da+2aaod07R4hQBfEiBpJAqSYQkDOR8sNu/gvUHL3RcbCAs y/QzNbFtOsnjT/EWhyO+5a/MNnV2AZJ7OJUTewL8zEnqBY//i9zGRXeSCpQDfZKiQDsxipwy9e7i JTtyq8Iyw682r3DPP3mSA554Pjhv60TEJ6Sglh3ANjtTuWUWmidAOxQ12KsVSamE+XeFe495B9VI FqjgRGPvk/7PKNSeV5cEjyGtnOV2k2YUamcp/uZrWwJVKSfbzHJD7eHN7RnXt9VyE+cmP3ISaAnA rAshUtqQvqE744ApPa44zN3jdLr0ttGJRJTNlNHpNO+mM0VtFxmdFkhtRdXtfSuS4pGQatG9czuj WJv2qGdjFVeTQCzsCynblQcJoGbH9gxF/6p2W18+ONiG0PNFCGAZ5FzTvhO4mQWpoAyfIRdKInR7 xqJ/TGhBGbCBmw3WJ016ZOdvxuNBW/IGAEzvALUgUUpzFNa2aUnZ6GGvAGBeWPLqN46ERrbNUYQj EZGUpHgbbI6A+FjUoARJAv1nyP71RirfZoCebpBEP8oZhdpV6ayoWxxEkTxJ1DAvmzHweFSy9YuN eGBoB6aLbp8RjoRCZoVFQFOSgoumq9rt5hUklsM4RVFlW1ntOfOHDz5F5xCCmS2R2yeQl0E1ND6A K0ccWb9obUVv+9eRDYKzhqFQe1IPjHS+MviKUcJ2+cji2kB99MbaMyRIJmlqXmugvKu3SBq90i3W XkbZmqH0HyHbBMDY4McRmH/nYstKRwDU492fZP3XAHh9av9bb/sXHCk3HkfZreTAltiblIO6Nh+P EFsXJKF3Q1Z7gSQzApIARwzcdmpeHRlXy77J3rvJWzHZHEWx9mDliPJONnjn9txkIGirjURDEmw1 NCd/YIiI0v++VW2i22cUazd0NICeki08sheupBNIlMSdt2queD5CJHleKzhlJmrLGyQzJEhS5zf1 RyepNyckl2WQQDiXNb/9/1Yl6YUYAA43se3wWeTtn1DxX6IkAXTdiRqrj+I/K3v4kr+2W2VGocHB f1XeHu3kNHj8X2Ek3w2yr/hEzdGKIu3E/kiu99BuavyDLLRYvlfb9mLbVwQlGerXNi2ox1Sxn085 66NSDBn//1npajawZE8cSFEA19sQ+X+FUJKtlNYSXIvmYd1LhjKgJLXi8X8SJMUYBWd7Nw8jVxRr G7a9dof/9epkkpOTLicAtOnbbYVgEl37he0RDjZJCUVgODsw1k6L+lrnZmO5DG1tIPcdk4TAFd8R VUiYh6AvSAOuE+Ho3D7XcWFv72zrgucjbNstkeCQh2b1yY48Z1uhqjZVyUpNXo6E9I/n/0g7tdtO sGz5/wrRJLz+q+SjK0+2n6bWNvKZxXYkbgV0J+WKWxh9/yC8vhHSeWN8R1Rpy/Rpofo4B222dKJI Nm9PJMfH/xfhaJTOwN30KYoi7U4kSRNuS5W25FcbpajUHdmtWIS0Qsh2lwOJhNvql3BbP0eQMGTA WiWq/KFiu+DMd65AxHbObSO2bYVF7cmrbW+x2lFJ6dptu0qTAZESno8OJN9teIxUrIFeok1SFGpL vV49d/UiEzcbXbfG2Aa36d21pFbMkWTOBuSMDQ63mZDYtLb2uW+TRIITANeLLtiABV20zdTrBsW2 KU1Sud4B31EVSK6KAgZ328x4/p9R0o/AtlM2LZykKNbGlKCX7SsJIh+OSSrZhEqBlZk+SaEgCSWi VhVMtF4K4ImPz59WGLAVtJYya7atQVsWmKSGKOea3bFOjG/5g/0PPur2wRPbmaZ4JJ0vGWGSvBmp wjZOpBttr6iwLd3WImwkixV7emEb+uc/bmUzfLuF0faQbit9Ijh47X+CFoGUH74jkJ6hQ8vebNVi Jcm9bWgl2esGkOTBWhtmlkM14CxSJt7fPaWGx6PL7apICHyClTRuABCF24V+ZBVxi8wizHNkEzBO z2lJAJNM2vlJ0ZkkVVIpbc+LIhFHYlcpbaezR1i6PCdeozkLihbI4x1GgvgD3xKEAFpJEFXgUFl+ LWsNY7oNFNtWFHDTpPFhk561PNtFk66QJ8liW8vtirbM243cxTWSTvI4rK29/lHblk+5ai7abrBU Bs06U0yS6DZWbSExtgewR+iTqGNb6M6EL4mQ20meUcUH7rbzmY2IhR8ouOLxSLD9m2rTALdM6S6k KNreixpJw0Q1MhuG3o5cj7ANDL8lSB4LbqfXynZbE5IRmX7KaEWnSWYbTXlOEncWJtJij5LG0OtG UZJp/2YfFBFu93OtJ1DF48E6aj5H3YWkVrlY0h2F22grwzvCRclRbvCFNB+BO0pwSZQ8KmwPmv/R Z8UGryN1KBr2LvpfW4Q2gat8lhZsXn+gV+Ferixs7yjcbtTq36ha2KCrLZ0FyosCkepnh+Y19VtJ moydJyPZ78G2mQIV+nAYHak8LsoeggMG6LI2O9QjoSZ5SiyVcLj3Pw6iwrpjR5melNOzvM5H7oLq LACHfrNR+X+HyO0qk6i2fVCpbQCnIj5SGZ/9HmyDq+N8JMZDACM8UtI7jLUTGcn9Zm3wd7A5Qn7q AJKWDB2xo1h7JIFtyUcq+fKRUBhJFNlmqQ2CBvhXAPU4WRtN4lAtuVa3Q68b1dpoz1JYJKnFiyTt 7FaqF7Hhhccj1vb4CpEyE8nm+ciOgm3qyuFA9fOoD5dHqnu5ZtvJR6rp2u0QQZJUa9vJB4ciJXQO q4JtcNAXobZwm4ZreMe9dm//yXttR9G2YUdAW7bBIiTgKpgBndsCj0dMkvKdtFEd8byUT1KI2i5q bBN5LPk269QinGkmtAVqsgnb7BC1PVlsy/sOnv4PxEjoDhMeccIUXoEDIDeItwOrd4tt2N74jghm Q/GoypCbCh5SEDsh2TnUiH3Kc+P5f0mSsK7Nj1le196h+N+aTxXSJEnc74cqxCZJwuIu5yiEkHTS teCSZcNlbcHCDrLqkCSBRxPA2uCQ4pICsgTzY5DtNfS2QXxEs+TZKAA82xUABnWFgDS0u/B4kNhe hYSt5B8N23QPpDDUlgAwlWG12Yr5S58NVi6HFGplNkeh9p+h/3vz4R7ac7eZ2fxHM4r651hYCzTX c90h5vaudu9620hEKkn8Z/kguNYEs2iresbHo5TtV3yUUbO9MJsdOtpk3vck9WoZoXttW40m1LbV 3EVixxgSSdoQiczBq5EnvOiEuGEZnc0GfRVYS1FH+pz0WMMms0mHYnxHEEJmTlHhccRBU3Siwa0j e2CnhVrby681Ybyg0XzJfzsKsxE7ou3fLmlrmv4Y2krESZC0NZiWdH7SXxLJmE0f/MiGTsiEexba IwhMm4AeIN7iMpr7ymyBYcTvCE4jlv6R3PigKTr7l4r4QBYNPP4vbza2/c9rzHGrbDtW2pZ+xJ2i OiwkgibSNn5kgRefraIIP0JRhFFbvYNvtALRqEmT+9zZTeJh1Gm5c45QqBa5yTbrtTtUIimaG66i 9FxqCN9J/wBdEY//s14rX2DoR6zH1SaKspv5pPGSl8MN2nUyI+fuBei/suwjM/IUipF0qbCx+TEf GPJJOBvU9RZ1pBv07tDSbsBJgNmGgA9SF2DiYimtbW8c8EjzFs5GZm24lYVP2xNdEtxWLU9+QHBu N4+RmO3hXscL3qmKStvCI+EUND0SWLRIj6TlJMtoWo4u921PYbjNqUJZ0QdPbRMEFsEibDSDn0pt QTD92UDbFjTTXQGI4Ta+5S+Be1SmfDBaBAJ1tfRn5vNBUtJ/uQBAPOtnPpxbulcHyAGUkBJpvYK2 yzYJJbfMaoeKt3kbTCKnELVtM8VFxcErJRBsIecYVJKzTSYrJYPhV0Jhnlau13jU3ziCSrA0KbIE 4Dw/Yu/tDmM4LpMJf9YUBd4jf/OSkGP2fcUScwrL3Ftrqnl2Aky0tUumhICZwZXs1hsptJCUliaN yTXYmpqAn89JjVs4JcLNnYxJBKiJWon5OcnpqMuviRCTkkqSecLu1ySxndif9FuAAfB8BHO76CRc /xtsXrDKfaai8JsyQMyuDA1YNqEBm3r3vVuFcoJ5J9+EFBW7jXizKZ7EwUGTHeAbSbk18gIK6UmA ZGYU53Cr38pSJrcUXxOsKQoB980qHAdrpJ1jg43YE0FlPh/lKb9yOchorP11ToUFbyEC1zZNoPPj 8nBKtPxd1RhKdBSzcyqueFOqLKmFkq50IjnP62Rx9CpB4tjoyM0G8iaScY52y5Rp2wtHoEBdffUO yfbervJPOEk8n4+cbfdXBAVc68y3UCn/stdTarMbwIYxuye0WbVyLn8hdk+qLKS7PioIEDhPaTMS 5+BUrvMSCS7UbLqhD8fbZjHCTTjn8yBbWVcfqlr8mr9kJnPmfHEQehK8OuGxzmTz+UiuzCeqyPvu /GWzfy2pWLCEdC7BbpZSvKfRtLNNVZv5Huhh6RvMf9x5d7hNFGSYOdOQ6/xjtgMd5NLz/SZ24WUU Agv4NRGzm03LwWCBXpYGhzh3LPE0yLX4fNS1/IYsg7Yx9nzCqRBtMpX1PsZljWuKdq6UuVQlBcmX uQRGuiXCvefJNcXBYUvrxDawvmVcLjg/NctZOsJKrOtBCE573yhEQBSF2fZBJbmeaV1Fnubg88HW aw/cRORdOIKmx3MqhehuGSo111Ok3/btE1y5KbBFfabCIvhmjEAVXA0enp8NeI6NwlPqxFAbDlYL mKaT8S+t6XL5b7XYC0dVJ4IEqISoQVMFAXkWVOpg2Bla4dzuZRZnXuJMPlGh8aQ4J7kuK86hcO9e ePNqTqFN191SMcSdUHKy4gq2wYoqA32BLRz8yYzOkVUUxEPMYEqKJ12jF7pw58B6EguXQsGZnRUO wnmdv8GE8M4FyOejbO9bVHHSDm8+8xTyKY2Y26ZnxOmRwxFdgBxvwJNtniLoSTLlMoIrmmjphmE6 K4gXApyVULJkmSpJsgzFOVq9pcfBJMfek9QxOZfD8kHTBMo49yGYm3w+iM4fRzxGYBOd3nqPqNh+ MklyMl033GGGikiLs6tPD8I2ee2F/pMS56yVgRQHmydcDxUqgkSfnDAB0RvyYqSlEBEf6xF6L83e 9w/JwBO2NBuK6Ei9wQmU6V6qg88HmfEV6eCMgFS5npM8sKBEDiOfruLLqdXi5d7FhhmbvZkqSFpO UWQ+JYtDCU4NmqYJxMjZk2yupHPSlUxxIQhRoFbWRWQyka7h7xvtOqp0tXkHLqfz98oXEhdn5fPR rvvmDKJH0mt5dl2oGrjYCK9XPIhuQ1Zkmb3artvIOWyaQmplkfo2WwgcvCwOOBOwXmR6o59NMZOJ L+1kqUFkwfIXKSzl0IgStTWIrO6hwZzx2gnDGH7BT2Lz+ajj+0urG9n4vuyKHBhRKpJmHlzvcjJz d8oHuw7VniwpdH3Un/YKjCQ12DxBhwp+OMIznxyw0mRxwNxlEAYDZqQjmhQZhFaUtMWD+mr1QcVx 6CwzKG/ng/j8/6IfjE5U2V/rKWRYyq5LPAEid9loIxClUaiGpYNZh/G9c2hGSdx33521bw6eEBPt 3cWNWwPMQUp1oJy8GACgYeplO5WjQjdK+XUhfPBBAIJxgnLG5MhO+fy/dM2FWyVX87oIBn6UOJ4I s6D7JSPy2qdH5HNM6XZAYXPfeYrMccyLSojd9CB2T5oLjCINTMBQLIRVQLX7HJ+LROwCXyTz7K7V XjhaT8xdQGq3QeET4g1ddydu4PP/MhJmvXgzIL9JXmBJ6RC5RPa9laKG0Eyloxn2Y3aL1e3fcuBJ eU7IwpRly/9tX/83Tk6GtjK5ImDOE/41KKiSwJ0iS9Kz4d7sjSNDqvlLQ8Amaq+y5PAMfzE+H1nk eJLHtXP2/vnjn40XIsBZLT+na/aJIv+fG28IqYqNt7IjwHNsTMlyQZKTIYeLtyhzJxRrUDWgewSt u1Ho2gAdZsSkv1OX0JmyKBkW5UK2NZwc6C+KIXAOET4fpS7f8KaMBbDHpe7k0Jyyy5yyXPWutK+B JwDyNlEVrXAVokJ3ykVRkyyDCg6+omCSPbA8gb7o50xiPJ5Rslnt3E1w7bRwPLdm7xthU1lWKexS pcIsihCTTKhSoo8yn4+qK78MBecW78OnKQrHiUM84fg2ZSp8nytTUd4kC1EIlTubphgJzqiIlU0N Tk5p53eflcCLOmHZgmo5HJm3CtX0pbLlJAvv0J+SZPkzJfSnHM5+LeijsrmPo3ny+Yjj/L3tQIIb gMP4NIX6JjReNGMzJnqlWVkT2qZ+jtNr3aYpjMaH0IRSzpsPPyWBK4Bbj6tpTTB3gQUbZHIjB81r OviiEO/Pr/mLwgt1CmrmnWyKvYTzjig1Y9rOL7T5ARGO51vttNMN4bE7DywqgflEQJ6zl+vyesDO s6xqRGfUCn2mQkj4oOQScgEb/ICChAcSIpzkE8J4iLUaaeFQh2v8rufK2/bGEbWQe6VvqQgs7Tyw q5r0Kk5Motf8p7owXu8Enn1f6aUculSiUIHKyuwWasIISCH5udJzsZC8J0c85cCmUtjp8+P0fgev rJz4KVFo45zjJyAEBpMojA5BFtxAcoZVoXwue+FongjkyTL0huiBBCbPIt5q2Z+kePIDop6CH1HN YoOe63NERYXyRm3YPOalhrVbWak7XzYvYe6aqBCuMuxsGj7cK+/kr0tHFNIyU6lqgIh1BJx7XZIB ndL4JX9UDDLQrz6oi77PEpPk+Yn4+Xy0nm5ILiYmhKp6ufMUxeSNDYW2r8Ug/EhtnvraT6muFp+n KCYfhbkLijQ2eMUAjo5QYaJ9HpzupujjOBkYLO2rxLjXtPf9E5CxpXu+Xff8rP2ZWOI+87T5fFRZ +WasANDRIVrp0xSiVnTjMcQyyWoDHaIIvBxv0KCdZdP0t5FOrdTR4+CpMDzitxHETgBF0RosJ4CP EKWd8AzthMZ9Z/MUAlcEeqZ4NYYuYGWHJjOZAsgl+Xyke/aNEQPrBQ/eeQqlvYtKBtfZC6V/L0AN YqGQCrNhrVQ4sKxUrLxGYYqnwZYTHKbA/qJFHPR0GDl1eHv9gBZlEvHzEYfLoWVlXRSHLywccFAm nE7GRTkFyDPz+eB0+u1ZmRN1jspzkEchuUgZTbKnnKi2vQQ1MYsGYEF7ySYqZmXSTYcgp2FeUuq6 wL99VEGgE8g8mT7oJH2BoUGFZRQXycoo9sIRmF5AcZXIwb3jgjrR1NC09Vo7n49qUDckt0rMz8Te t4kKrSslEmdaZzyr5FfJXsJwPR1QM70IFXtXbpkOjuyDA+pPwHOiKPoyQB14GGBMsBaQKNatQQkr nkPzStVWKChjgw7yE5gJ4aAKeeBe+f/qfCOhnXnfAyq0r0S5FAlw8fuuiZvZ2XIphs6sCSamNk1R SL6KPKxy8uGG5K3Dlr2qZnD+HDp9EdLWB40H9xdhvNsLB1gD8jKroJ2Gw0iEwAhGjZr75vMRiNUj TVMEAbbuWljk2MPSQFHT2dBtTb/wzl+enZ9Rs/Hqc2hiCZMwkIPbHby4wqNkyw49nZXVgK4THg5B +TmNnpkCi9be+A8DAoSanQNqlExDCiQtWByB8SE/4F94X8wUBUf708ULrSx7bRJEuSSN7RbN4np5 v3N4CBV6WQo0DzEKH24ZCj8AkbUnKD87L1emL2x4JXIboBSCY/rpoQdulmBxq4cuc100BLuYGic6 kNPOOBEcPyDIh6/nl8iv6LvgqvKpig0tWaZby4lRdSYn/c55DynQCSx/CRwtXV83ZbE18sPWgEjj iZg3OXbn/+yLpt8LYAxUntClHRdln5e98F/wsbGojDIgWciU4yz7ai0FsDj5ARGr9bvzQjUahEE+ UVFYzkZKSTL4Ji6jjGYpMaTMLNHb+abEobGlKBto1Pngp/k+x9bGLgN6BRZyKgGTY5JQF2Ejwbk/ qdsb/0kiqxTa4yBM1KDgEQNO1DYDb8vvWrmIrchf0nPtRXE5j1PIuzuNvEqwUTJyrrRzcv/c/ZiK ACyNAJYsPzkOXjtYC2mxHNJA4gATccHxbQKNRB7bfLgt3V74L/evqh5VvT2qcyTjAmINeA8+HyQw 6Zd3Qz17F618n6ewVs556vQnEd3+CjcARphtnvq6cMTQ4VLejTBF8cGhYzCH2PS1hXEWWFON6BXs gQTpvALlD1fc38veONh6KtZJtDm5aHNFMDgI0K55dT7/r5CTxXKiGvezoEL0CnmJ52NvMapNJ7hW Ickzcfdr+M6LIvOpEstkOMXBkWM4nnISw/WEC5s2T+cVKQLWsPPEAxYiSsXy0OUSTF6U68r2QSvq bHZSiInC5vNBxHl3HkPzM9cnNL/qBDn0uSTbFzXeaZJyDaAM10rnTG0KOIF4ytA8NLoUzXXQ51uD waZTGpDFXTjMT2DYletR2knl+Z/a+r59qr7sjf+yKFpIgGzQtVd7Vkp70u/J54MAYbSvvYdUv8+r 4Zhjr0syggqzQVE5yvSkuPZ0va5hL6YAITC7RKyBw6kLdNAfR9BzNaFFUeQHdi6G1GTPR890ZOWN +oRNovLD3jeaJhLvkLtwaNYehtAYD2KhDQK3S+m6faEyCoySvlAZodklfh6Uyy+7jFe3kuJUCEak 39UQzlwfFdSiSAtimcEGm6eTrZ5pQnZGnaITjheZgncwvFC0gy6i5XpDcVRgd4mAkXFUabz6eC0L lwHyKwHtHULOOTS8TO0XYwH6JL1debkcWl4ClYDyQf1yBnOcD5UuDOczn5kKGZ2colKUzJTrednp 8ZcgVNqgCY0OsXR4EOxhkhp8BQw3loq9bwTMQGNhQX4NQzX7lPNTnJCfiGmUoPkB/+IrKOA8a7KD puMTFcXmtGxAucmJZmZPwKw4ORbxrI+afOuFBXPqxaED4oNN1NyNZk6CZrQTCBHos5BNdkKSHk2n qSgqcL3E1mGaV8rywbLiladM1DIAUaHtZX4aekVE8/N5aOv5REXQcjEctqzmeVwxEWBHb3cLqUDI cwPs/If1pXrEY/rgHBgoOdDuAHnxABevUEeFNQ5s/j0ut3Oqlx56XxJzAMZ68UEVKexoI5vPyuej FfUdRxXaJq6bwYTul9DsPfMkkTBm8j05raP24XTz0eudpxDDwnLcgoaLDY7NqLsBH90pc5LOKYM1 R99ZEGAqkToPhmXbCwecqkbmC+rFGAAsKnbT6dD4mSs3fsD7ab72tykv7IfHajfgDDwws6IK8Kkv iEVQRAbmeTmro4NAZxMVczzZRO/jDh6YZ+rDQYAf3qOKRc5E0fTnRIws2l0csDzVc+CDieuHYcEU qWo6zZO6o+y34lrl81FF6tsrlJpAC7r6PlOhgmE2tZCr8exiRgCTZ9OcR8q5fKYiGEslq7oq8OTg jGGuokwDY8hgNXLOUdLHspAv9p2n+75/qYVu6OnaYGdUBumPFz5oQoEZ5ndrgdTzITsuDw8+r1FU M9OZDDvhH9Mw6s1qB+ebHcUCJJkVpF5jqAadRxbMB2nVItNokgAVWrj1SH05u4Ign/N3oaIEioL8 Qo1MhRjqNYJqSNKY5OE4s0Hdl1TIiKJcGJ5+maBm1LGftouFmfAupksIL9loerpEVIiA5tYrbbkL dmLRt6qj4CyqYHpUL4A3sQ83Da7AfFMC+0SSwERLKQRNAcpwtMfdYelYep2f8x2dQNY5lw9aQqje SA/rbOXPa9TUUH1KPj+a1u1a6pig15jpPNUh/IkKl4vyd+KouX5Sbo6CqlB/5gS9RkxN4lQnAgAV wgaLLRt0iQDsYMMF4F9zyGpULTj/evqyLeh81/f1U0yLvy4fVPQdfS9mLSCrfl6DpfbIX5mOD7YW FBs0Pa+REtZPE9+8eKSUZ/NIyVznmqQdsqbnNU5q0Ijg4dMkXtCeOKn1liv9ahBQQmOOlsUDUR8U Vn/QfbPIG4ql+IpgexH21MQTZhtZiAl4FbFK/XPi7/x5DZK4Veqv9UP2X/H18xoh4alNr/eanayR +425Jwm7RsjvbjUbrB9aowIfsnywex/6KxDKR7I71wYFH+Vw4nQRuSKBW9l32La3fZ+iRAn+DaSG DUZRPBuA1wL75K/BEZ9eNkN2WP0AY2QT9BoaYVuSzQKJE+f9lOpKPHU7mwVVAktzXwOj5rrguQpP UL+wc+cwbrtRGwSF6wnyLIV4UGAqRK0CA289Tcbar3FRAygSM9MrjyAOlqti7lkLOBH85zUoao8F T2FQhEUOYwOboNeQCOc6HXigJestuu3V7xNETosdz9G37Yh+DYjaNeDp8k/vVzsV3uQn7EBvl4I8 YM3TIgAorIV6E3VCfY8lvWywxwqLAIPUjApXW+FLd9rwHcMeO0f/5zUYwgm2fIIIs6AyFCX4qGkb HdG0KMw5zxszNo8Zy360nItf8a9xEI5o6aZWkg44OGan7brqUsdpwHABaJUTbaM3k9AAKiiGemxN cvlrHGTLFPB5XfLTL/kFRydqDQDC8XmNgviK+euSB6wIyCGboNfiJLbYZv+E3BizKd7ZmQbc5Lrk pxMyXkuTtlcRSxM7wMFzD0RxEC0mpRxQV+UeKNpmWt9VgkaEk1s0TXktTOJPzNSWqVOuadRWJ0hu NxKDflB2+7yWJRmHTJsgHujnKJmwCNIEvdYksWq36oLLzqBMR0VOUKfKgsMFqgvLRId0V9eERiAc Luv3zFAbpGierL9mAsPOmTRY5EbjahBxKXgcDZxe65EMExlCU+oMg/EpEkp5+cJSX6uR7fHdKRJM h8kAtCT9mH4n0+GCJou80ZRCNZGU3A58rdKc1cOypViHYahIvRQxdmq+duAt7YX4vBM1f/KzYlYg lI1M4F9TF8tT/SaaZLTPhkx3a/NBVVvoH7N2BEWNzzuVDqtw1q+NBox0L80v+3cmXZPfD3Uuh53V CQUKyzbSdMm0RLKrOD3RSjKtdKrLcngIh0BVtiJZuRM/ciXtKr8UXGSN9ZCqPH/ofYOlRJ+rUuSa XtwvZZ1waKv9lisfD0Lq5Be+OKwUylztJh1RUA0sGWpeV6AQ4hMOp6j9MsRav/DBYJq2ctZEBgYH W0tQWz5Lhkk+yiH8e87awsY+J3ilAZ7HREO43WCSuswtN/HbHDRJabGXx94bHw+iouF5mZoFqP7C ncLmKIqsq3zT242LrEVJ6GBbjg+YkBYxfEBwauN+ZGvyDn6tLSBQDEgx9wkrsJQQCsDTBZ6fhaZy dqslve77dutEBVRo2Nqg3GycHI81xXN8okkSnUpj2ySxzt9FXfXQ6J1Dh28dwnklX0jmAUaGLzEy gpvAcsAKtdEkkdk7SFHRYExDWKifC4zBUd4os3E6E3Rvzj6EYkK7LZKp6mN4JJGwmtnsTqydGbxy w9AP50xOKKoFk5Tn/MrQMlQ0cNT7JEURNkpfSASMNQcc0/VxWOR8C7y0m+Vo7/w53gHEoBYVs8tN 0ga26h40lEKcBSk+9kdw6ZMOrwhSBzd1qz7v9Lmmlv5AUjZ8EB3z/CpEgPwAAPR5Z881aH98R0gZ bzDLjSHf2XPMXdhFGqk4IqDmKyzf65WTgdymJikKs9EnQ6mfguCqz+nkhjJA67reGlIOYp47fvxz IrAtum8lZOep132fpCZVuTyqD6KYnD+D0n0nisz7806d40q6k5SVtLg3MScpirRRRUB/rTiBR0mP 4AAtO9NpkALBSYpCbTPfyW374PCShjffEh44STEVHOEIco6myU4xaiDOp59dr/s+SYP1kJ6IZobl sYr2J5Ndaqufa4GPv4cAffl2k58BgMJ9eiz5zpvDlURJ0Jy84liQcDrLqedtPRGIeVphNgq3iesa RP7YYNttw+DAxNOQ+ptf6LlpKKDErXfrskJUvkfbKgCCldSmD2qIQJiY3bbaIbUThdv7htvcbTRw WvVeblHA3aXkOJfPUadnhNxAmROxqsaig+YojLgXTVPAIbLB5gjIwESJU7jywhyCu21B+BZmDmjZ tqfIP/W60SRhBQ2wqWzQkXTCC3oswjFtfd75cthtbX3vNqpqJHaNWHmMIu7a5bfrGsXgW7gdWNlX o7hC7FGlxyji1tVfJLnAwbu188xLVW8NJfBWiGGuQP5OTH6GnKcVr0kH+Lyz5bAUlsQcBQMoD+sN /skEkc6SP+9kORybxVN/MlHkEuzH9jtXDgUDkZ5buXrgvV0H571u9QiKVwwA3qlyzSVBU2McwMGR gfksojYkAnaCAagnMLdF4JVgoVnJvbUjiSXId64clkJm0gZQBwaoyygtOb+nWlQdWijvXDnebh4n cW8B9IB2u09TFHBP8nUKBbyF46JYmdmmmOQOuiDbbrd3qpxVCCEFvrsPTrzc55ir2NHABcInjZib QWFb8gkqRJOcsFPsff8okpzFKed0NvCHHd2jSYP3xJOfd6Ic3nKlr2MJDfEGSLDNUhhyT+GRlqPd qnDxck4xWX7gTLvxU99pckxweK+NtXzwZhGwk/CCwSwlwLzR7270p8iZPootXbqOhFDeWXJeCJBl og0KJ/Nu1KY9O27WzztJzns/d5KA08BC90mKQm7A0TFJ2aHwtTyiOiu7qA7kOmzHhRVts5WTpnOa N3frYFimQnc56D6csJunEkQZJtnsY/jRbWZO7ww5/BKqRJqyTjX9VLTsUBnG951Q6vPOj2v5anuo IIlTabSbu73T45oRpGFCPH2O1rr0uNSuSwEQ9ZqjqKit6+2liX2ioHPWZTJTJ7q8OD9hyoPpydCx Oqf6fo7upfcNAiVKVaREYioHHUon+JaoJ6LKzzs7jhH7d1kbgPveb83tnRvHM4meu/2qM22qYxAA n/st/J8/1Ehf79Q4RhO0Al0SmX3kU3vOzN8opoOkOTcKW2TWLRJs8Whte5trS+8b1CVJqci0utKg liNcECQzO9b+vFPj8Oea6JAKk2jOdKgH2SRFEbcObli+evF/3twNavlPgmuI7ndiHH5jrqS21/DB AqVFCfCmSUqQm6FGDFRdzqFAv+2LU2bbna8bzNGkrQw9QWCudI5v7hG41Ag42c8V+nnnxfF2Kb8i JeRtrd9IKS5wE6ZMWorqSXSdMmHwbarXFb62dr29h9zn4tlMbdUJ4OAZ7vlviqnw40wacLoAuo3M GMo2pi/Ly6XXDcLJIacC5XDlUQaHsCgvp537550V1/KFKGuZY6d01JhsjqKQm6V42H/eepIuNzqn tJy8CrC8fvvOiGNLHPnI7Li5NHhaUnFgQIXyxJFnh1ToMxk8sOG0pyW4KfBS2fKdD8eFRKq8MKUc lJact6tdVOU1Pu90OMai4+e70QY03fL09p0Mh+BqMspeyQLuE6Vcc7k5DAxYIS+7rZkdBtyQajyJ Apv9HKyalE/ssFEVo3MaZOGT8G4FGmR4qj3yXtPeNur2cxV1Qdn6hbKh0sBQANSMzzsTrj3i6dZJ yiza+qH9ToRjzMAyCYoYHkheb9neqgeSc3bTOnnnwbFMgtN6F244Dpqicxydz4F4HP6AAXYAqeEN nLKzv8DyGFKLQ8Pf3jaYIiruTgjS26AA6YRKZEJDYYiPR0d2+0pJaLwD8pNNURRrk8uNFqp320bt 1ZtJLXtxuzdXHXxnwLEThrlhamyDH9lQS89D8kKgvA2qd2yAbBvuP0oT24ndht42yNqqZJNkdnm1 GUFUmsLUnBDr805+axJqeFZRhSLvnn4WvXPfcIKwpQ0+pUPbKfdPyHZL3SW9uoQZOz8pWkWsjaBK boNttFSBXiykvhUoCSH5gEE0+A5s02TKUZirfNLr/tVKoq/xoBXXjxhYkCNulOmcJwT6vPPeWCSp X6dRBShrtjtJUaCN3Qg0xPJJUpghXLusHArb2t0O7HfSW5NB/Rqrch1xsK2G+2CT5n8W9pm/OrXi NphtyPyLwyJyH/ayUcJG6BHxIxp0GI2zeQkXPbd4+bzz3drDobRlhCLBHve8fg+zEZGx8S9vVC6j Wa/ZhXT5q4yufadFhW3lICfcmD7cZZQaQOaCs+ekI71vKjFRISuRSKIWCVORd65bk8oB3JtA9dag 02idw4wThpP78051w3rI33ME613IlfkcRVF2Z5TdR/YAEs7jjh7Zhkc+u12usieAfCe63SISpKF8 8FQEbrtEJMFUbvQsImrmsodm+g8dYZ07SYjfO9GNFww3WWMfqTmLvKBRzJvmzPXkSwZt29tHkibc iTlSv5MURdnoyYG9dcsjuV438BPE3ErbcDfQd5YbL37qKcglvmwCqCbh/sCJLuLF4M16Yi8aptHx dEGqo6Ba7e47xBy/c9yQ96/FTqT0u7BZ1ftZK2dVAU7ayJeMmm2eirBDi67KJE9RsxRF2RIzAUDR ErY1hmP9VtlXTnd3D4/Cwrb6SBIw4uA8mwX22ZKSNUzTwYlGwoZVmeBrXDqXkmJILN/PO8OtubUs MxsMkI3gAjjxAxUW4EyQ+Xxwt6XvDccbshdvSb7z226ENNzhCi3c7kFkGs0gAOdUH46IjErbkwAJ 0HF8cLwWOKoABQhtA2IS0Tab5j/s2Tbm/ioikd72zm7Drypx5klhBQ6Gjs1D2oAnbcqfd3KbveRX MgLyyr6ApHduG04lAgAqFeblnQIqrnmnAHBjROVBiTOgIuPS9lZwWH2w3P9k//tskSEhOOhPUD6o QPKa2kgUV7KVRNOYzzu1rUkmHzk/wW2ADP64EFzjcQbRpPl5Z7Zxv/r9j1mCrqGA4TZJIZikUu2F /Ec1tyeZkoXENmA6yCkdTDxxKr3z2rgT6C3XmNWWdrPajpgCU8WVBCwpq+A9Qctw4xrMuzoqSRaf n3da242SiIbjMC0k7JSpwSSdiOPzTmpjCPALXouz2Kjc/NYw2l5Wg8w/ZuQMYw2tpEGNfFo2kMRL Ouk7pQ2f1KS92LsPXtleoMxVNrf7OX5mohNBp7dSRtGK1fSbtXW9b3B2G+tvdB8EIO2A7lqprX/e GW1cE77dGDdSkmPUO0vvATdiSUZKdatbCyV0/qMU4IZbgDTwl3nBvfPZDF8HYSU2HDl4ZRsl8p7p eXWCtwmHUywlaBPnBNgYyONXk5lEiHdCG4GyFDTrdfigWRq0+MUXntz5885nIyr9ZiU8gpA6zjzv jotr22oAVK+RtGLe12iNVMPcnB3ZrY70zmYjfIuZf0PhWoOnbufhE+7RhO+Eezt1UmoWmnidQn0w prX0VkWSdzIbKwCdjGSZFbs7K8pTRE4BmNTa553LxvbBd8cNyWZvt739TmVj8KBdZv6fNCuyVlIv CsVVkVwmnfDOZDPIBehFJEZw8CLJ2awrJ0p11bMm5yYGYIIEMAaIb3N4zC2cxDuPjRUAxpGAo9jg 7e2UGCnVM+mfdxYbe/kXJ8GtddYRElSfoxCy3SXb2T0GgGCoxQAIAjxSqtUipXcSm6P+B6RbfPBa 28porVEdLwEa2wg7yYQAoB4pmS6F3FpGUWG7q0UCHJgNmiPo3zACaK3VzzuBjRjC9hVyA5uOYNen KAq56UkBtpHDSYuMBobwNunHjVObQQDf2WsXKclKtQ1OrAGNtDcaFSZ4XysPJu24IQsXMUvnEcGk 7+Q1ZvCYm46szwZLb/NINkVzft65a4aKf2r/WAt9tLvToni7yz1iruaw7Wl6i2cVtXLtBip7252f FOw0AklASfPBpwioYwTvsrtMC+qtoJdMiPtMnJzF7S4pVYXvCK7/xMNoUgWWg2EkwD3mxdZyxuNB HLl+5STYGXVdjEQLo22ikhH72jIaus1IaS/bhbk6AB5aRlFVOwmvtSQRv67gzZmgMYn6YAkABX/p LEHNqMEeFiWI29fWVouCbcjhANRWkw+q/OdeuxUky8DjQfe/elsbawYgxT5vN7KHwbY4tWW5H0Ny xjGyWypNsZBEzToybN6DbW/+TywKG6yQdE77tXclKPlcArBMI0wAtL8OlUCAkizWls1Aj2LtpBM7 E5fU3JqVqEJ5f7W2+XjAINnfLEiA1ju6QzZFUag9GEXC09ymqCaTBsKBmK8nKKp9mqIIRkKBYGCg hw92qe0JiuzSabQaelGEwcOCqDZKSPR2CwDU2uhRXbsWqbuNO2ivlZSp/YRe18TjQRDZfmHbkQbN 6q3IHkbaRUJJ6WJIxr4YElXbjJptpf8e1bU7AW21Mzji4AhJ2LAMFUl6IqaBMSQ5Yqhzn6QtXRPH Wex9o0oSTiIoTWKQbQqDyA2TJP3lreD5AJD0i0+boTqAIrxPU8iIpMi5LILIy05qS7J0Ubt5o8EW Iish6VGoPdmxpcioDT5N55oDpLmT0Fao4oL8n6szowheV7vYts3ctkehtlx06hKSFImMSbfO3KQl eX5dPB6c28mvNqon4PYHTt0mKWJFohwJylZ1ylZuF/+XH/NGENyUj/QYRMJObWI3qaXHphiG4Bso rR9xaueg6mTdlMBDcxPwMleV4t3Wo+q2Wv9VTZIKXQVBI9MEihcb7uzjieeDSZrf1NpBvO+z4cJI G5UkuEw79VgWw9xwe6bpGw74eq2kmBrJ8nZjMMnBu9pn30Luh+c2zu/FrnaHc/2C/UKu+aKRJtVe +3uonUgsAr2Sd1tOVK3kfkNHlXWZk7NtPP+v/SZNpQb5Hw8AeljfXlVF7Sqx/LzAi5JYft2UVe4S y6+qJPU41KbuVqvDB+ePwkkvQ82kQXeyDlxRMKnA0s8IUAvm6ypzTr1vcHRnlZIyYbcEABWtpZqz tAHnCU7xAcHhfaHbLNUx7Eq3m9Tf423riJ/4RULTigfE7oc7DBva5ADSv4WLKQKSKFBiFG2DlyVR +J+ikcLlejQJtaDzBrMmXFDeBiip2uv+dcOdXVx8EGzrhISSRwZAGY9HBYDvjhtqOR2fYXMUIbe7 mtvAL1MMIUMw2mpJoOxxhUEVEN1UrqUIRqJeSc2SxM3c+JXBJNDNs6jAvWD9ivS/bZZuE/DstaSr +wNRhYpvCUIlUkmRj/igKklC9YW9krb4eERMuuwtliXPnJ2Q2TluPS5wK0BKbv5Zxpw2S22ZGzYK ckRJYpaiAvdiygrdbx9uR6k3iHPKFgZm5wgV4CbbiJIk43p6XXKQTtojKAmF7pCM30EdpRMaTB3j J0TA4xFn2xHujbMEm6FaneI2oqB7VjP7nB4t0SNUJYDWPVpq7HxilkZU4RavHVrmPtjhDZWX8+6c JXw8EzYIv28kbyfQBKhEdBJT6h5R0J0pglvTuIMRkxDXUzlineRthEH3PboLXw0t9is9MqKgmwq4 hZmV32/dIqXW+/W6hiSkEdvD+vYSpV0RNdk6ipRATFpt8uiGKXEXL6kip4bdzU/uK105MqDw8CV/ UNszInYMSH6IfCoToRzLjAMZ7ggL3PtXLQkh/4COvM1SCN1m9tZ3dVDyTjcKGM1DpQpDDJulqMCd CZJYgpQuHRcD2VtLqEVJICGdNK4qNWlkJE80GsbNTDYOG3xHgCRl+5Z5H4dp0WSHiT3NY89/8HjE S/oGJeH+QKnLpygKuSVcBmE7ryWlKzrdaRro1rGGAxhRyK0TaeiKG4l7bbAkmUdOm7KNMDlJKMaQ cYObFx22WZ8IALBsfEfUlmRmW9hw42BMyUQjLFxtY+Px6Dz6lbwNuIbcNsmIAm6Yb4NLconJ59S8 6L85HdoGTRJbRVHAjagURSQrKV3nJfCQcRpNVW33IMptQecu/3QAkvN8hH4QjvFLoi4JDqLRaG8C lTzRHagm3uRrcv4EPP8vfhvWDP7UXi/YdkQBN3fo2QHZU7cT0KSblcxiXRLiGTVJUcC9tijuSnQf 5hYNlk4mR7GWuoElQtcJXbgTLgPgMMm45TraVAEYIWh7L6kj3kH32h7diwBj4vHoOPquJTG7TVfN ZoSgbXVIhDymvkwvnrjtNP00KrkbHnlEoO1Ks8peyZTg4JXtjl0xSCSp8BbcUoDHLHb46CHvv5Dt qrcNlhEDpIEEHAPhojyNmuTKlNuOMNTeHiCpGwIGSZ1ebxtRabvRU0EyIJbcJi+U1Dn86q+wCNYc RaVtXf1ox2qgQhz32oDl8FZyWzu6yYkq+ah3AVlA+1ObI3Ri8R1ReESS5CZKom+E/V3n0bl6WVOE LD6e/6cs0o+MAk7Q6QW3EYbaq0uSxMOjSvsqFZNKvnTbukxYeoSh9qYTXG/FBw8ioeZ0bk6KACvO aBRKwI6jphbOFd9rjCFHGGl3AUm55QAeRbDOLXbCi80mBzyf8QFBBaDejmQWfGtCHOkeSWGwXRQj tWxH0snRXeXPYgIJbFbTQxxhfZvF/yylhPyllHAyuHOsniSLihi1TpoQnn13/maKjBTCttUEoOMG viTq2xL/v9SRRCJFPHqFcudmZwaCR3g+mKZx6aSMTjYot8mbSTOscJOlUMi8/Y+yCZWgCRQBFuDD gpOs4ZrSM1T5EwByleSDlW8ByQdeRY6eJ8Sl0zUEeys8tsbPpFLyeCi3M0STMLct1JPWYGkbxLr4 dedwwOPBHHU/lRKXHeos3Q/uGQXbqt7CGc9ktyH34aaCk4bVCxtugTNOkaSwwp1UvSW2n4ODtzJA zVjp8Iw/W5HYNhiOoLid5a32VG8RXOFbojYA5VsQvdmgSVoJSRAnqRc8/i+VG8m3NPh1+W6boS4J 5SQme8oCSyJHtpN7ufpozdSO4yTFNEnpIDK15WC7bXc4KMB4AqktUFbAmyCuwSThX2RP1PUiKZUw wxL3EGiLYEkOqpJsbGMdx6vi8WiS9lcIALLNLLedNKNom1Zumc0e79yW5WSb3BwGCGyRTVJY4J5i 2ZThg0VJu4IgCjtHcLezrMLPZoPZYcelCHuwa3a69LbBFAlvg51sA6cot7OUCCyGsRwej0Q1b1tS fgDYs1eXZEbhNgCdEGprnrRNucMKAGBkLiykbWHSjMLtIaCNmSv1y7ftJyEBRp+VpNpRJaEMPlW+ FjMiQDRcK2nY60ayf5t05D59EHUbEoBUzMBaxeNBW7J7KMmfECDJkS7dZob1bcqSNdngkdsmaiTr 29njJFSSfJJCXRJJbjRhAduV3TpH0AloWpWn0sn3U6EIAJVKhkC66cZJ9KScUbgNQcz//0CqYPGs KUjSGHj8n2VbYoIT58jLtjNCktD6Eq3PB5mcPU6q1eNtSXJojqLy9tJ5vdodvJuE/nZlXHuuUDgS 0TF3JYI4oK9Uv4ht9rZRQZKx5CCPtANXItTMuY1Vwj2xKV8xwkneQ5uxdYEE6VWTmiFHUt4b9Hu2 Ult2nYTmCvcVF62lbTMUAJQ4a5dUa7+IpL7mODNmEHeAZADXWkAV8ORtAL0+DYCtt40QSbz9F7Gk HIyTdNajkI9r8/Fgjh4VW55eCalt9/x/RliSKTIJfUjNbeoi2xpPRRMAyIZKnlG8Da4wkbbJB19H OUP3iTDJss6FPyj0C4Dyz0QmX8C98co2Ee4zirebZBIawSQbv6HwVyeaS9LZXGcf4PloJc2v/L/C DRo6MjZJIUWy08hkdweTVPlT42ar/HEEbUN1X5stViVhAUnX/3iu//Mrz97oz9hofC5D4b6JCQL0 ithCn6RlrxvstkGyjW44Dhf+V3nPtZPW4PEo1r5UCSeUIC+wSVpRrM2kuND6wBnJybgSdT2tW2jG aiWtCE1ijqaZjkAcvCsJhuWiqyZSREw+Y+0K0jR07uC+YXobov+vsLBNwA0bZDYYjzStzbu0nWMU jwckyf1NAcDl0fetI62wsE0IQKFLk8LIZvmbsJl+aKPeoikKY22eRAAL+GCbbdL+B17ZAG6faIaM 096h0z0AfwWjyDFJ4DrgO/6qtZ1wnZaKDfAbndonFyqCz540EM9H6jb5K4qE1hGkQH2OolC7N/ba avI5Or+JH9q9Fmf/93torxBNoq52bTYwOVBzG5pPS/0RAEuavKcKS0u4B8sjJgmdIHxHVGvjOQ/Y gg3aapkGBVxGi49HgXb5StnohMnX0hSFLEmKSTaKPqjWVp26dY5s07mDpEW342hFgXYn/h8tIx9s p6HuAV/GqXvtnK5FNVtoOJBf2h9ZW0LbVlzWpu6PxMcrY7aurXaykS42P3RbQyDJo0v6Q80BQuT8 YluhvvYw78Tl3ciczQX+XNhDZiQIkLI5a6yYJSkr3HUHv9ggp1Kh54W9NpidIK+FxD52pUwbLtpm 6nWDapt47RDFwYAGtupIade1ZQE/M57/l5QUfkPAUucjS7qiSJt+5XknX0n5fJUVtkGIMQPFMpbz 21aoRzIlcCs33HzdcFs7i+ccGbzYsNM2hZRah/N7zvg/0ARyu7vk7/sX3iZlJm0cLK/dXQwfEDHw eKRk/61HAihqnzdnWzFom6f2bF7aVq9V1f9empXaZnZDjRWG2k3ermP74JykE4ZleCCQuEX9OloC wuqQFHgzcBtaSVmvG83RYGxkkVI2FWDg5YvSsJQaHo/o/98t28qFdFUSV0iUzMxrUfyUXYRtMfJI FmtuFG7NVEns/KRgIVG4Nbd9B1tIJwafeyNzhiTZCZcQkqJsm+lyhwoNWpIOI0F4j2+JQiSSkarU 7VD55a+/TlpfXEaq4vH33YZO3oPbhpb9uVo9H1khT5LKrW08VaRS0i2QDLvaKlXDdLXFsTa3GcSD bLBJQq5QoEXGIwni0tC+AZik/WxIG5TBOeqcIxye+JLAVUPKrawBaFCFBJ4LZCThzMPjkWT7bbXJ IQDUnXrBNiusbCPDKBMlt6tve3X/m+tIoZZlqOT1t+g2aXU2+MF99t7Jl9m0PdHkGLgagMk9P9AG oT2DdehR0hh63UgpmSAb3I82qB6JlSoJwDwrHg8igAtLJiAJhdHyyJJHsTZMRWBeU1xHSoIX4iOl q7ZBxIN0yUPNbdpNnwRv+nBpWwOIqlm1kk4aUbfcaxp8Z0G4ydfMlXXtHcXaOIOAaavbB1VIoIyz FPikhcf/xbWRTyflzbMH2/s92EaFLv1cUztWJttlJe9VPftfqZvW1g4lt7sw67zbONwqUjr/j0y5 TWRwZkEChukPxO5/KNjuVxvV/3cI3cY6gmdu8sHQSGM3c0U+ie1+D7aTVoQSEh4/51BELuOTFGK3 G0MqanoLREIyp2SkaJwpEElzpYQdY7e5gpBT2ODn9jrp81zMSArgqajcUCCp/pzlBHgb0nW3ux16 3b9qbQ3r3gbL2jpuNy6Ovj87FiUpX8d2Jo/sMpJ2yJF0KyRnJJ0k3iESqVxhO6wGm6MQRUJzRCjY ++BwJEiSd8FtoSCVGkXJUfGGcXjH1Xbv/8mrbUfx9tbkJAm3JKt5nvOyzCJV8o2FFMFIHplkrKO8 mQl7ILlD3DYog2dDl+2UpGqLh4fHVdwo7iSxw7o2d1llb1/DhSQTPM+UBFZt58NIkETYRYWhOq/b H+zH+LYRI5k1NukAFKx4KYjB33Qu44dsPP+/qJIodL/4yB2K/w2mswmps6uSuLh9VcvWVEmM2bZD FAmtpSEY5YN32iaUOjvL2sgiGlx+QCIFOJFqritf1PYaetu/HH9Wbc0HI/+lpPMIVE48/i+JJFa1 IZEMsINNUSi2TVW73txvo/RJNCml/8r2QhvwsjZFofQf5ezqllzsvvqIiBoh1GXtkRNbTAptQQ4Q qI/1g6KcN7V719tGFVvK/yz2fjgIsHXu9DGsdMbHo5TtF6Yto2B7fbV2HGn3RxeJq2jcVdToO2Q7 zdV/9j9AJN0W0/BVNE8YCag5ZSSA2CqTeUsCOaYNpCSQWZHKJgGO+I4ghiQ/sklMmoOmqE0ZXeJj Nh4PkpFf0H/cR1jLPkVRnD1ocFVGc/mfgvq9+JFDMZPBtY0fuWO4NusjMq9rj3ldXyd6WZgSXmrg ubHrvztLI/Bs3OtCSPbU2/4F+8ubcBsOmqJ6Yi+VkGodnx362uxfXf/zc8xxydo7CrJpUARHWD+L zCRSzj/bzyIIZdsqiuAjk+2jrmJtf4q16Pj3eS41KtohXt9sjWyuq31OUIgMudM2i7U7lCIhToti LzboSjsJsxW0T0CPx6OU9hcyMo0fIqc0RcLrvH6rAshtggiZTSPXItnIbiCzcdII6rV3fVSwjoRU m8+gSQKMDbAmiOyVk3qOxKWGxA00A3xgRV0d+xoraW1744BFylL9npS125P9eE5UqsxxgWvLkx8Q YUgvZZtxNarGaz32NimU/4MkTqFtoiRJyG2QJEku1G1BsL33yD5XscGNsjbSbThYIEnXCLSqWOWB MjK9pBagORtMN1AyrwQQo218S0QCHN9625Ybnkg15TaFMZ6Zz0dJySUBqix5fjBo792Zeo+4kZZs Mm0veavRJFslt9LddxSmK2aVkGLcNvvUm/kthxtyIy3JxEP0VUx2ClgSERXOegXq63qPZnvjCLs1 2QeQMgns4UTfgys5pUJBXFv8gCBcyr8uOgi47Nt0yyksc/MYH226pCQ6r95QKmM5FBCL1mYqNpHk TFXVS+pTL4FoA2Rp6ZGIrV4AqYT5Gey3sKorBFFuB9ffOLjtjMMlH/dkAUE9+3jJfKMCCoDno85b +gqa4FA3UAbxiYqCb+oOoWPSrdRdudlZfOvDPAF5mBfffFGtWxiuYrpAc94s7gTECVKALLE23MKV oEkkMefj0uSl7pUlgo75NZEBx5J+e/FBRZPZcud6OIF/5vNBklK+A3D0Qn7W7s8xFda7acEh3hVn KqWuiiWcXKcqlmzkVp+psODNIm4ldlSDF06gJZlVp+yZHmiDaLezcchUz3O0W6ZM2144qgqQOymZ ieym3rB0y0ngknPk8vl/GXGxdLKh3JyeFRWWvKcYk7d5gv+xFbVaT9Y8aaPd4zwKxCcnqCyKKHHw Q+rcCxBgoF9ZrlMEy54k6bao5k6ysq4+dEf5NUEUpcbJOfd9UPkEssM6Yc5k8/kgjLopHSNvtB1P IHXpk+RoBbgLIrndY5t9v2ZT1dL0qYKgn59SsUYgw+wtM8X96Lphm1XC0loZE2AM9L2BMIZkU2bj fd1CEy3t+DURsZvNSrTkOSw1CM59scQA7uchPh+pBF4srtw7AJnaz+aLwvLFHsH5b9wSuFC3QVCT YvaBUORqblyWQr9JiU11Q5ymizWZmH3sDSIETtwIdOm5piA0NmD0DL7JBQhOe9/g3pusA9QxfRD4 bSz6NLDrN/h8sPduMEVNAGpPJSwXn6iwAs7+bmcNTWpTa7UHSDk8Cx5j+0RFJfBBqcBcCe7K9Rvc lXBgZ4YIJ285YSxZ8KAMQVuZe/4S4Kq/cKQVzHtvKUx3nX3QWbfUTOtg2Bn64DyS09i3owq44hMV Wk9ySgGXscqc63KzejkfkxdAWMxVMcScsN7UpYTXHyU8uIoMAHtpFzTgyqU0pkPNHCRrLOlr8kIb 7hx4TyJ5Zx7sTtNOzRm1TelzogfP56Nkr39P1KQb3nomKgZ5D6XBNyPWed6F8iqW7g0YadhERcgT OZgM1IBs8KpBQpyZpWB+diLqvjjyKUEPUDy1y0wLj/4lObafLEyGZ7qDYeHOKSpw7jkQ+XwQnV9d JVkMTnR6b+spB/6TLq2MnM7nSUUEVg50cLFyQOtG3nuhASUK3kiIWc7sT0P8/IQnJ56N8QF82SqA S8iLAZBB/ixFEs1Tafa+keAr6CcbUgMcpD+JjX3+ZGp0nPyJzwfr6Yp0ao+BV7m+1lNYEk8y55zd 5qkNYy5D5bWrqFChg+n2iqEJpXRx5iKLmYOtpw16CXgQ2HhpTrM7K4gEGoCcpDKZShdb4jkwoXSd TmTePvDPBXejMRk4V2fl89G+8yuP0nANZiZXpyOHLpQ7qQW1vSqOOoVXWag6ryrLvHamgQ1lot8H fMx68sHL4uOkkid4WrR8O9dUazyfUkeZA71U/MiWwEhiKYdGlKLnAvqjwVi655wDCIjraWw+H/V8 b6WF6Q7y8X0tKHLgRCmxFsAHxrzryTi76O0QIV+IaBrF910Yl28j6iwfbKJmR+dJGK+yF3gmhDNj Jw4Wnom6MUyTYoPQizJX6gYWUnU4qDoOooxMhM8H8fl/daIQBODvLoBW+TyFLEvSB9DjteIBhXCM 9dVyteLBKsNT4tCNcjElzgiObfBML+FwqiweDDDbsHag1wkYFGMArDAv3KkiFdpRyiAvZSJSOSgj nnh14eO47KOwfN+2JlugbGNcgbwc+FHC/VfuODcor6wHKX8pvOQSPanz9nmKVE06awZ5k93M4at0 AMfmQTrqyWDYSj+fiPhgNnkYXePuWu2FowuPrKZNuQUOiqDOTbS2rIRT5fORZMcvGMEmRe5ZTyH6 m/OU9rqs3ZZcBL5Kk4p2i6hW2TzFdEsupMluQp63m3BOjJO6FJXKyQGAetRqTC4ByoAFfN43Ie7N 3jjSylcmTGyKSuuqcCJj5J+5WuHzUfJybzwdbQsc9FuMCo0pq9S7qEUiTYpeq+084bhVtruw1Bw7 U/IMhyabDzZTcG9MpLFDlAJKpEVwAhwTEO7K4HX9zl5Ca0oJCTPFssFu+Lrb1Gaajc//K3vByZDx a+1HKieH5pRy8Mx1u3hHvjJnoC/6REGc1Bw8Q3fKxT13ftDugy+pdWZ8Z6pToj3FRgndKYEIq/Nn zuu7SJ9dfslfYvC5kb5Dv1ST8J7qptFJmc9HuoLfzYXC6b5CsDn0p1SOVynl/R+1nsBDMNolP00a J3VVn6YQC85iXa2kFnBwttw4716HLHNhACcTz4b4Z4K2QIFwW05y8Y79KRdLdZU+XhxUsetIXOxs nnw+QvF+qwoAnz5Sf6YpFDmhOhWFSNWDyTJi3uohGoH3ZPdt+DSFAfmQDq7aC+P28kDGQ1G8qGAH rBwmFHpewKagX5iBsLMDitqc/Jq/KnZVomcV2mUsokLk9CSPNBc+STE/IIg10zdDVVYIT2gQeFSi ZCerCnIulpS8vQ41GeeT6gwFbp+pv71zgK/1wamF51pr7HedoxxuKLjDz83Kvti5RipRQ8+dt+2N A4RBUblAR/liKz/JrIL4jR9AMfl8UDW4AgOSzVngI9zYIPSp3LJh4m8h+5zeXdJzSLmykqbqAsM5 MKrUXwBqwWg+eBmqoX8+ZXjGHJi1TUh8nL8KNWCGPFYsn8teOJinTCOvJj3Gdg4OaUyeLDTrHIYs LT8gUhn8xmIgOOiPq0cOzSrFDCcU0kCG/aGGuaUeIIMOxsihW+WieW4ttNLl4FdeQX60zB9+LPqG o14Hf3gs6b0uz2Dlau/7lw7Thm6qDWqkb2BWGGuejIbPR3iMb9bzpFjVuGXN0K6yy/vcPc4AUxmG WYGI163WTcer5sCv0k09JY+nwXLhc/0C0bw22WHnf3SYFVrYQJ4KYp0uxLSmvW/QpCI+rKNKbINq K52+NJqmzecju6Fv+VP04fq42ic5NKzksQZxgSta3c0/pzCUdtwK8jebpljYmykei+AavFZXURxH ZwZaDCA+88IbaGZPAmcaWy+N287mKYSuyD8vE7xaWVLmcgIIjUVNgIf4fKR99n2OA4EEobE7T6G4 d5Gax3J8Tx3lgldWtlQYvPFlqXDgWYm+K7VP5aTXHic95Hegd1DXC3Dzs3o6oXQLterzz9KIn49A XA49K+uukkBdPigTTic2b9WSPT4fHU7963DKkHdA6efOUxSQJ5Ze2xeCZSxz02v8AKut0LtR8xQG 5FspHtV0OHiKtxJ8BhoDKIgwAn6+UBcBmQ4fDKgFqoukZfgLRzroDDQtgYE6DdcTGvVLeronjvnk 0LryIa9sFWJ+Zn7mKfSupExh6fmaxYu5r2ZCNypCPefT7bqE5pVDkkx53uHCV8/F2REkoUYOM8sq W2K0GgHbg4+W99CJFc+heyVYg7jmJKnrlH0YlRXOsJXIA/tKlPrar21H5uEzTVE8rqigEY+ungud MlTRbNOWE1gHHhUEBpb5/0gjBoUNH+x4ShVQLqw2WsU2SBHTvuIESn3QevCbLd7thSN5JsJ8u0i+ /SH5rprIt6h7bj4fJMLpu7ICQD/FfX2eQsD4lG318Nuu5+sWuy5f/ByXboWaQxdLOTSlRQ4rB69A gauHU4oCTXRrUsUAVM+E9mB5Jgo6oPbG0b5jfDlo0UDuOctG0LRbgmnCaYwf8C99Rv6US+rkN9CM zSzxVXnX7KxxfPuz84bjxpf7febYzZLqQ2lRGo2DY6L6RNzEG2+MlVLdQvoUkqIAd0DoiSzyaaIH fpbQ1sHZhOYQm+jnh+1ianT4D5MWdQ5IfsA/RfapDjK5sG/yEltaDuLravWiQaM0nhit2BlWh0I6 peQl8LR0ahQ4jT54mjfPpUnWUGOTYk9CNhMsa4FA/IGr+cXY52Uv/Bd8jEqhGCDIT67Pmf9E6hl1 d/gBUT7swSZeb0o79AabgbMlTkf5NKzhAJbOuBP5cCcWR/5ouJxsoiJtFKENsoD2+QHan485gQ0F e0/umztU5QXMAH4dRIUf9hGc+5O6vXHEIrM6VPZBBbuz9aSV0joqm4G75bfZ3pSNDLKXiwHOob/l oNVOzd155NaGIY9cXE6hx5KXygODS5BkiCVXWF6fsPzEaBtWsnJIR+uzqQ510nC8KF7gOqQX/qj8 lr8MwFqT7y61AiUueLIiQrzhDzP5AZFt07fFJXj+HR1mn6iwVs5mf9/zChJLipC+hJTxFAe4umxT /sPkkmtpGV+qz7v3ICSbGsOBcyjszEynLUL8EvDScKu7ovt72RsHmy8LB8wuOgc1FWqf1DE9/7g6 n49Czl8i4IAMoWziExUWy7vkG2vxWtSqzihvlRCDTKkUJ0vn0OdSAldFNXMODh478QVg8qxFAV5A 8iIEIQAegTigMYEFilKxPDS6RC4FEX+IFGgwUBRcPbn3TrDL56P28PyKpaivPfdTtQutLrHiEZtj R6mdB60C00tHYToTZlcR7As+Fnpdyndwovptg2YKSOBzagIICOQ0YnMKpp98hZsdPjCt79un6sve ODqlKCiDArINuvgAjmE+AJlCPh/ECOO7Cgy8OuqtPlGx3eWW4n5zvEGuy12KKs2nmey1cfvogd8l 6u5YS+demj5Ysgef27PPuKRgWHz+l8cZqHeVwSgFCpt05Ye9bzRNFHAimgpDtv5wgxYLS3bEGwSG l9/JntYOAvN5bcFz6HhJkkNRC+A/O64cl2FJjHAZCP65oELLS1VORiJ2msPFZUBmrBKKX4DzgSUP 5WU7ZAKw/9CrUrI3FEkFnpdiiAGrTvMUqiup3TRSZqsB2R466aHrZfolwYcCRm9fCyoKz2sx0usF sLSbFSNAcKAPzfo0UXF4TtJLKs0HbyssKJsDdY2aHcijNFBdKFl0yrvBWcCQY8nf9w+TmbwWgD5Q Bc8yUDm3DRCzBIOd9coP+Kejo53l/dG9yIHv5ZknVsvbGDfdG8V5r5s0JaYxNCi1jRdqqLC22Zmj aLAFBQw+PG+TaFSil4MzRKYXQuN+xQqnoqjA9xIbZwgxdgfLik/IL3fQDEBUaHz5yIMIgg80ZL/F qMD3EsUoJqVlKiAAHqoMa+YBK2/I8rGSSV/k2PjS+sMCJM7scTlq7ufALRQIOSumNdo/daDMAVaG wve4xM6pPnrofMm4HNIy2QdVoyD13IREnJXPRwQYX07SqDlThA/xeYqpnXTkodCmuOYIK0XqaCl5 DDUJ9NY8hfgV4qWTzJ3SY+7UiAhGMZtyvBkSF0z1EHOiwU7m1oNf2fbCfzCqID/Ka4/2bZydnKAR hu8ERZIfEFSBHw/swmznfN66huo5cMDMJATSito1sMF99bB8VVcJG2MWn6mQ4smyXZFQeHmEwsEt PKFFYt+z7412KolCkMk6QRT5VPvCgOWpngMXTBVKyKYStwp/KK+uPFYVXDqjfBDaYJbldbvMbJqi QOvxVcuhFeYiG7Y1swilAW233TeJdsDuq/QMsrmKUCzVqMJ5+eCMYZzmaTWx9BLQVpIvhgtBJpTs 9oiniEKBGSYow3J47nfQIdWXsc+Qy/D5qLNwxfk4UThr0I21ifq8RlHdNi0Q+DrBM5yYTS+0Z5WC Bwn6NA07GcxrDNXBz2fBXIbPHGyWMmLxKZcHFDRouIlGA/QIUJ+QY6jRqRBDvUZQHSUWOTwyd+lX nB/edkX2H2edfl7jpw6zJh1P1VRnzyIS9G/zWIzmZ5LsSawqxXkKGZEMx/vsCtLZr/RUOJgfOapW 0wwZ2RsvbaNwmnDoAGlwciEsqBNDUc0CMii5PRYPS2fT6wQlArHRdJawWvYTvJ/Tj2aeZ8EvPP02 QQBYF5sgbirIRWHxan5eg6bzEJOT0gWlkywfxXiwftKcXn1CS1fz8xoydQeswD3Qh4uNBhXW2pxg fc5lDkeVLkM/lJ1364LOd31fP4VIukHrTA0q+05Uz1iCOlfE5zVawuSat0MVyAXnNSJZTc9rrNTN M6uwzOESodtjpZNnuf4FknxNz2uk1NGP4ulTaBDGwft2s6B4whSltgml4S0VVfRZwMQBD1ORNyOH z2uc1BHnsLm5yMzv+D0EmOgkcFD9ap3T+jVM6iIrfS0fUGDUY8H8vAZJeGjx+Gn1EeNJnsSt0m9n syXrsLyGSP32oYqqKGXfPtTJCs+qaLRQX2BGoK6zYKoumCNZUsX3F3+dz2uEhANIBmqTkSQHFU8S PN6t/ds+r/ERn142QdXUDWGiUmyGXsMj7EpuMLU0VAlv/TJ/av+/jF1pkjO7cfzPs0wosC/3v5iR mVVofnLXjMJh41l6TfaAWGrJJd0VtN1oNpihKRxBatkHy0kIwRyNzpcTAc2gVrEqJgmoPVyet6fJ aPs1Nuq4dnHTn3PoDoI6LeaI3DerfF4DI15GfgJJtQc3xK6+x17Dom5XX7kBENwpdvMrTHgCmTkt d5iJZqjLNJ21Sg6OtJjwl5G+fJ2AYXY6OqI+u3COZLBrfZMxbXuNiLDJMltPm5C5czd30xyCNneR nHOv/fMaEOEIW34IkRZFwaN7Rr/GQjijdxMN0ZGqhf1H6V3n5mpOFe1z6RSHZ7Ssd8r0wRlR8NrZ heKy4wSJRCuz9AacKh0iAF/0AJv88tdICL+CTFMKaSy9mBXC+fH2FKf2xKj58xoH8RXb1ymN4kGf pI1hgl6rk9hj5ELVcnVBriEv8sULazqZWjXZtOCUTmxf0pXOBk9AcMMUKTmebB+HELTloHYCu0tg 3YkaEU5udb1tMEFAKEMuq2hI6kLWk+17UA1N0Ogas95l/VHffP1MQeTwla9FSazaStgujGN0CKW0 rMML/93sgIGUXDglOqa7dMBkv7se+93GKv8iw+DE92VBzGNRuBBVbkAG6Zxm8Di6OL0WJPErbCld SlhWdAf2lxq9AQyW+lqO7OY1rEOI6F05k9819E6o6y7j1Ohjr7JINa1itMymu6afsMgJdVEoLaHr tgkl5OChYge4OQuUCqRAp0NRoaJyAi2tpP3I7zZpE0TLaBj4ZPqgsu1ZUUq2sJU/73Q6bDSD6Ogk Qom001tCkxSF01wggOu6Zloq657VZbtmWiZCRayeMJ5miY0wAA0WEEHNARBLtnWRKyZKpqPdxxbc OYIaiyJVyf7Q+wbHNXGWNJiyQYfRSSaWQXcrHw+O672+Nhv8Acbqd5LeY2qIprHuv/MtsO3tx9GW GShXEuucgnsFk7RZ2G6TIMs2v0CWMKWaWXXIunHls1cAPDSJifDA85hoCLUbTFGnPlHZ6w52XsOG h1NUNx8PoqLhUyS9Feg/rHLTsiiwpuduoZGiKV3WcpGDyWXlIZlfHSAQnNmdYim1kPTEwftJA4L7 +CzsBGCaKUZQ0VCc6NajFPPcaUmvG2RmBDC1Ne+gzAzNBsaqSCE/7xy6boLMnBKh6kldTT5H78E1 qqtTx5CfSJfmxEZbdrwJ3AesUBvNEfE4S/jv9eC/FxpRwGuLkAl7c0nP4FYaOICRoHmDZNrbRucR tZyG6KsoeQqXhTKthE7Q9f688+dsq9pe44xmFAjYfLBpiiLsPlTE7p6DtLLd6XI3x+pC+MJhOe8h dnaQ12p38BwWZtb0PWpE90F5guL7qGQDQJgh1G7n9qA/0Tt9rkt3GjaOqfkgPubED8AtdLbh5509 dx5vaX0FSBlRx0Rb0SbpPch2h4KymwvKVLpNyDFVgBNWabfbOL6T57gqqXa1WS/i4OU0KDcxpeEt 10j4RCbLY5MeifsWQmgn9XnnznVpdIFcOO8gTthJxM3p6mQ6n3fqnKXCmqStnOVnEMmoOYri7Emn q8HgRHH2nhcNMJsxwuCUaC4O78S5p1i06StX9/WV62D1ZpaGIFJ3suJBlG5HFXpmNFxQAnFG/ex6 3fc5GmT0jsqq2qj03aBGQ6dyDhqSnY+/BwB9+hwxg0FdFL1Sn6T3WBtXEtUua7+TlHJzjhO1zNgW oZa/CrNRsC0Xp7mJmODgXdtzN+cmp+sKC2ey5hDkQHagUrLw1mUFqXyPtREMEvlmZ9O9/xs6UszW TgiWP++MOQRJhumqBtBFULLqPZGicFsmBd0hb5m+kd466kZgxdF7eWBhvM2kfzb2IznYHBHAtwf5 cgU2vI0qxgl6o/0sKHRsnw7bnHrd3yZpLoK+OehEgs+1O6atzztbrosPrEkScQU+E8vX0TtXrruv TB7ds/5zCLnRFeSR7UBq1U/td6qcXZMAytU7OJgLUPFdaVDUcRUgnTxzAyotC9oZnGorXePg45e8 3267SraCNTYOipJOaiNVhjpL/rwz5Vje+o62m5Q5b202irah0o2K9aVXlNGvh3PJblDc4QvGAOCd J8cgj2ibwryNg6ckZ9ZRyGDehpw0SV6HsiQJ3p6UZPcTiQXId6IcLhlS6JHncAAOTznJ3n2zid4h hvJOlOPddpN/SnycuGagcGrTFNWwAVjkNHlSchKR4X21bqVJsJqyobjeeXJWIEQQmbsPzv5qGaER jAAhzw5PUDoUUk0sQzYCmNrL1tFaeo+4LVI6IVamymwhAkwn9040fIEC//q8s+QYcu+vEICS6VD1 slkKI27hTMc1l2lpuY8jhQAsdZvbuo/vHDnOUlWP6A6OjqgQGiC/Cprg54zdbD6qSgykT0P4YmQd CaG8U+Sw+BMNigGqsEHhZAUej8tqzvp5Z8j9UwbAgxOuGeQQaZKikNvAuCVdAf5q3VrqXrq4/CJk njsuKmgPlWsnY0kOnpacN6sUOkYRACi0rhCAtX5Kfw0/uc3J6Z0edztqrfN646CCNhq71KI6P876 vJPjMEfJq5HTTqUBE0mbo7CiDZxDmY/XJZqE3hOp3UlfMFSxOYpK2pLfBXjeh1uwBSWL1CqcSmC6 oJrE9BbthoZ26n6O7qX3DeIk2l2RxW2DDqWyakvGFlifd2oc5jiv79wN8LZe7/UWxduTVKayrm1a yp6U9PLkt3M75eudGMejm50jgUf6Ax45fxaYJ40lN1jAnBXH/JYiawnUMOILbmtt6X3fz6TFgPv8 W8MHzgwIdlOqQ2f3fN6JcTyCy1cMAHJyHy37JIUBtxxBBaaRtWxyluWSSiiRSABZ6Uh6D7iBOWPb UdZgY1yTwjnOj7zmFkx5kwsk5fSCIgBVbi9MmU13vm40R2rP2gBshFDKHcYIZCaNvT/vrLh/VhKj czjLtH6PpLC4vcSx3L6SIIpgK0nGHuJYtua323vAjcLz5OzU4YNN0tm1eQNCqEkatIeGjs5EzxAl brLl3e5y6XWDYFJnkaqTHFQpWTlveXrs3D/vlDg2FPPXsX0ORQj53IUUBdyVlRL+nm5SOEw1Dp62 JumMSonvtveAW8WEBX2i5YMnJfCWmQmoTShcnkCM0gyUSG5gG9IQ3AR4qWz5zobjOppvRckTNqXF /+dcCuPzTobzO0rXv3qWIJokv//fyXAIrqjiIZHt/9gx6tntSjeYbNVVPN65cLd0uzJwIBpsjlC4 hUxMkr58TgQfjZPXnvNgkp3zyHuhpf55p8Kh8jqlFjeXDwZmO99n+vK7fN6ZcF1ykl85SSbczwPJ dyIc1y55uju5sWyl1993kYS3/3Ibx3ceHG+2MzczQXnHBk3RuWkGdD9pv3G211k94j2jtgTV8x98 OdXitnxtPu8sOOslAse2qw9eb+tXX4iPR5f/+CeK5JTeKYpibcQHgCBfI4ehulvnvbacK4g7RDvt nQJHwBHaI0MKJ+NROJnQtgGCRKDIShjyD3WaGrJvREnPid2G3jbI2ujfOFIvPggxAoRtEri4lM87 +40H9t1pTPGgybu3n0bv5DfM0VauVosDkdGDEmIb5m+G2O57m5LeO/etu0fhyqX64DsNVkIToneN gMKN+xvCqRDlomlKphiFuconve5vhdvzP7QDZZ+X1xpto/iPM+XyeSe+dRPE0ywVZXs/J/W7KykM taukZpPrnnWWP4Vrb8lnqc5sraR32ht+ps5dBvkkG2yzAT1QRO08PzfQUORbTnAGWU1CiqUSCS0g Pu+ctztHvYgDUB5sLcTYGWifD/i8M966hP6e4whA9XNBec32nfDGXrjkh5dL6Mn+ToD2dI1lAFi0 GYoK24mCzTQctsHXEYi5uVNd90SjycQVeGI3UHxY8/IeCZORd7Ybrk4TZMzZB51HMAPbxeqfn3ey G5fR/DqP8I6NBqSaoyjOhrsAarbWesQ/tuUNyeVe4LVDEoMh5DvTjS0egdjZ4eZwW9sZHRG5gWE+ Kq0MzuZCSRCO4BBdvuxJIvzemW5MS7mCZrmD6kjnKkjS61yTLxkUJEf5Z5LG2ZvPVovibIVHuVnP H//Yy6212ZqiPo7F2e8st+7K321O60viZ5lIRk582pF2krx1ovidqDBYqYkGXaCC9pHb7xB2/M5x 6zKHGYUvhgEgaG6RdYI6WfGdaJIvGdxs804SZglqETM3r7a9c9xwbNcteJbl/ucnH47UOlvMc/9e L9YvrGxLJ7aMO3gfKQOXB1xBw3V0lqqWEuSDMlHDWLU3jMTq+Lwz3C4AoCCrwJWJKHkqvl6JZ8bP Oe/4fHC7/dNJwhE1evHm9ju/rbts3qjV19IJZ9yAN63ua2kVul0kflKQjvA0gmykD7bhEgqNFWp8 aJKks3ASjRzV6CuwpmrM/lVGIr3tnd2GX1W+BE2z5EcfDHCyEtt6PuPzTm7jS3pxm+vubFFWMg0V Gcbai4CkxnxEFSXcXrJPWdOlu5JQx4BFxrE2k7VKYNKoxbEkHfKIXXJrSLM2tUvgBXc+agNSS20l W0lUW/68U9uIHOZKwsrncHXgTuilTlKu8/PObOuP2TW6M2xKIiudhNtpmkI0CaWZyU7J5i6HFhyd UxJMXAtZpQOhQsW59M5s6/JtB1OLRRIOXiRJbTaJ9EDWaiQ6P511fm6bTbtNVOKskETU8ued2GYI TEgEaLZKtmkC3SJNOcyk9XmntVmNwtJ/tXkH5tXz/3daG9dSEqnTae84qG0tDTZDQSg9Yf8234Z3 VluX4SFgbesOXpIEhpQaMqjbQgDVtM0QxOMqB2+2PJlb1/sGpzflYYvsZTko6x/nLthSxsWCj2Pu /nUqLXI0n0l6D7kRTM6nmzSZ3M7Hg7dk60qiWaMb7p3S1q/t1WBNso1bkxyoL9ALlBtu7o7tgjwC H5VgXg1uwVVlJhPindPGyheC7dolIOQk6LIn4hfJR5T6eae0EZb+TyXpLL6Z58VqRxG3evCtZy9u GweQQInRHSjBxcyj+53Q1mW8hYSEmH8Ot0qSEAssKsb3UkhJBpeLByd6SlAAtfxWZZJ3PhtLACRo 7TV8UMydwIBmG6229nmnsxmK8Im5YZTU2/RQ6Z3NxthBVf/uJYA0kgPcTpT2zNGwtuQ7me12Sc7J 2324Mfe5+DuOtwb8LtFbJNuCsU7dqjk85BZM4p3K1qWzBaz/KD5oiipkrClAdQLfzzuRjbvmn34b Pq21fDdbCNhWmeThjOTdPJxss15xF8RqmqMQS6L8dlOOQ/7rto4m+GadMXc+P0Y3yxkgw9H5NpUu RdxaRlFlu9Pyo6d0B83RVX5GB+vzTmHjcfYdcYP6B6UFn6Io4mYNXrqm7veRPZZke95jSde/eWev cYq41ahSpsGm6Ew6rKAgSwtj4XO6yj0UoiQwizZelk4jQknfyWtE4CM4AhfVB8tup6BUqCTNzzt3 7R/klnRyIFPCy0RzFMbbW9ZN0/naNdl0Ib0lG0BWhZSd6vykYKvRb2AWpbflSW/L2V4pTcbbkFzY AptQzLHjizt9U5uqbUlvG1z+SWrez2AgCQhV8FprOePxII7s38uosi+z7okdBtuIPk8sf21jhjxB CWxX+5bVNnJ3uIyiurY62ydiHD7YtXZyuHN3oTgLsM358QsblxnZQavMrvOt/duJHcXaSy3bysRk 1Fv7L8gAtY7KwONB+/+fThuoPB3wHuPXRLF2lw9Ju+KKaVa3c0QeYssIdTIj2LzH2gg9MDmbNmga rI50YtF9bnj2tWuiGRWBXSBmdNLRRvVQWzYDPeRAEkHaB0GAHKwief4MSbydbYHHI0By/s7ZcKnV i9nqUZzN8tP5tu6FJKqOc6edYCx7qQ00NJuiCEfCPttZg9AC1GBA0rMy1gml6ZuKVdSF2eog+9VG BQky/pX/U2qjR4XtKo5NJYyEg7ZaQ8ajlO2kIz2Ksx+elvz5QHm+DIkehtlkIUGbyUEkk4UAaSZl 10waFBfFpdajwrbsd8m4tcG2GohmC+L55GZncIoZQSJRy5kC+XQzs6otQSQ9CrMJ2sqowXBAli+t lpOEF/JscHrj+eBAur1/XmIZStaj34JbDxmRLNu2bDc+YPkui4B4TzC3s90BsmI60qNIe5qmVL6D A0k3etuVQeRMeQ7WU9qig1GWwka74Db6G+NbghBpS/J1cN+V7ijJhOVOvlbrHY9HrFGPIrdyPahP OnK7R7RIuj4WrCEDAOZOdDsAgCVVR9sS8Mt0pIe8yGXbqPrgXRI0tBroDxI4xy0PGAmQLqvhrBvV rfby4t3Wo+r2UnW7Nknb4Lwv+mvxw1Dj4JwTeD5YS8OLJN0sMX8GFTE1S2GsjXwAmk7GXYdvusfa KVXfch23lZZSzI1suvmTD7aUNgD6bcCbtFRgrirT/wkFyVVxc9R8AUmTcq/9PdiGDQ3tBJqqAJCe 6FJDAGqa8cCJNzaeD5bS/IZtsZs1LwawhwVuIpJo5kKx/LwgZSOx/AbFcbnLzkoZEpzdcbBNDGDa yQevt537H5g5Umwp/rlZb6OKG/J/EmYecc6p9w0Ob/lWoZ+HJiAF1rSYkOjwvoPBNj4gQrfdviTD cxCKR26elfT3kFu9PnTd6B8wxfTPpPcDBUg0MkmADQ1WrqYo5N4SidKiql+sm7OL4WtYWExqJ/gm ya3DDfsHWd25o4pXAM42L3rd6JJT2VbztK39iRAYCnjMbvPE4xHV9l5yEhLskKK+wVKE3kbCheu/ qQSJ3ZesmHQCGVo8Vyosgz3O1RSBSZSXtKnq7ZQEJKV/znvDl04gwApCHSdJnicZdST4X9jpDTpj xbdE4RJ7AJvSNhxUJ6koYPFEPnkuHv8TKcmIl7KLHWRfm6cw8G7MTcq1lS1MeiUb0bOZpaL1Vm2e oiq3SaaKNcHBC7gF9/8kXeIcr4nQO1gvYJ4AHUM5aHppcnR73yjJlVI3wZIcjMS1VpMs4Pk6PB6t pu/eG/msoza/5kYUeisWKHT6UMxEeXsVAlZxYnLHPci4ckRlbmlCrNzu4IUAVM4z8EjI4FCRnrSO AX6LTuZg9YhTYnLdIwq9M7FtJ5TfPjheYok9eQ64jMeD0Ht84yUaJfLrnaIo9G5bRJLt3O0qSy96 53BRyrWqJ+Nuj7DETbhNl4IdBw8rJ0glIiedcAGMCTSVOlTpgJb5yaAcuCgZQhJ8yW/09pTMRwAF ganK0O4SUR9Ic0dY4764GzbFUcEb/U5SCOCmjcAQfAvraLfLBZjZ0Dho4iYrTI6oxA3Fesj8baYp mqTBFO58OEtJzE9ga1lYl6vgfoKFWMZNTzZIlPiOAE/atjaZbTkzHgRidQ2x1k9EOaICt+SvvC4J RVXEKT5FIaakq+a2nS+JtokXlC5UEnNoKdyIwm4dSHMyDJiTfM/BuuS50tqY1JEAegv1ANJuWIM/ X4FulQcB4EPiO6JOifksbB9UCBjn2mqyiBsbj/8vxxGorB1iaDZFUdDd2b9VcqmyJEWbhEtObh2L k9ykbEYUdE+yJWYRM6k8zCRoA59oSUBJ9IcrLTtoiNpxO2VAFozixmAdXxJsNSIB5uSOm1R55G3U NrAGbN+ePwHPB+uo3wiAEXqHst4lJ48o6EY+DGOq4tykXImSYGoiBjc6JRUEEM1SFHQvtibbJvKI w81zxzwBQF8iAkJvGhHAKlBzQJoyybvlQtpUAhhRgdsEyJjEadD9fz51d5UCxsTj0XHkCwkPMsVN V9NmhNhthdz16m2AJeP0rT1dkKTWbEyJEWG3QTCm4mzywbO3mc7bJHbcwHfum3sN/HZ0ESftbS9y 2942uta4jhotrSeFTHQc7SwHASa4Iwy3py8jns5At9XLuR1RfbvRz1oyx5bgZqfctJQ9wW0UTOMU hZok6V/dKAYgrG9PVDW2dJNxOwB6D4Ybim4VmgDpAbefbZjxHUF0xLBo4sTEgIiX26OfFNC03Uvi O0ZcEr/VuORwHq4nOgpj7S1Vkou6gcqPV5TajY7mdFrSCGNtntVVSIn6hZQAIiAP2Eo0cmMTnKNX Jyt4UVcLwt++1bq9bhBqA3UDuwEOJHmIHQFhksUYECrE+ICgClC/Mbeop412odsjjLQp9Fe4yJm2 VVlSKUBqzicd3V3ORljiJmur4HS2wThu4G5nLCXMUqH6L4WlkZDvCpoWsdtqAzBSw5dEjVtJISY2 JYErKEpDTnjSiYQeOxc8H5VKvpUAcOrPnn2SZljjrvJmLhLahnACymosAqx9Dc72oMpf5ydF242k 29aWD1bARQ6a8poy6Dh3mc71BI/G0U4gNSmVPB7S7QzhJPRCr5sMdw52aOO41+1fKh4Ppug2t7Xm znZ/YsgZBdpVTclBYhaLDnRxlKkg7H4y6pJlQfW4UiQp5EpuRdi7+2D32qqUrWvCkpy8geSuE3bg MEz02IHk2UXdLr3vb42AOmRwNqz6WTZ+FbUlay94PDqS/mkEYNMC3myTFAXaiTWS9RgDnDO1+7Hd ihviwJvblKRipiSbjbM3H+6RdILUUumvhCsbqYrUyDKUkhAOobHvgpHUSphhkVuspMX0n4MVSc4u lZPJPun/jELtpy7JsPx8N5uDNklRqC1O5+7r6d2y00bvkmH/aRXmVJMUVrinIABt+OBNtzTAh4Be NGSF+5rsOSWIWnZEragFXLPTpbf95UA6eXduPogCeHIvOWyAXoXH/yST8F8G2AKSPjZHUaxdSW8j FFILaZUrSdZW9YwN1ECd2jOEkpC23dlR0uDILYDIe1WsfSa+Ka2F0w6uuPN5cG9wrSTCt2fIk2RR EvPuAzfbBMad3eKzoSseDxqT7V+GO8LI5bW2GUNJSNwqxfOR1u5m26s9YeTcNkcRlES+3oOObhoc k7RPqrCoto+D9px41I9ekIw5sS9llG+MREvKGUXa4ONDSZgLgIOg6gBmEkYLtC8ej8LI7/OIzfJ0 +9szQpK4Md6+IgBj3PMoPf4bw51gZ1TcVhjZVZXkYFPUUVHNtUi65YTg0OuB+camKR3ka/tDbSt6 29+O7CFTpQGVax4hOK+L2O3ntMPjUeL/DQFATax93WshR5JIkrySC7fgx7lgm+VNktaW1UZmGGkX HkOFAHcONkXIyuts5CSBoELc35miRmWoBDfKL2uurbeNOEkUbEFhzAbV2GannDkW4+bjEWbrGwEA BHBHyGFTFAFJrMmwHpmEcoHbXfaKBNsASakpigLtkTVFafpgtxrO0t67lSHnnhL5HRW2IKr8P5ok PF/wJQEimXzkJDRpIjCE6+icbINU5rMkc8XzESLpnz4Sokf0yG2SQopklzd190lqrVoB6SSIpf64 mFSzpHaGmiRkrMEUyYfLtcWfgKMZt1qD5CZPoN0puI5mG7JTm6RV9Lq/4iQ2UzYTj8AcoabDvdLO B+LxKIb0OWIohV5LTldGMgqzExFJud/jqC3HAFQhoU1osxkDYEVQksHqYl+SHl/7qiEDpYc1SfAf nGg6BQLSmSQYI1DyxtU2RP5fIZRkK/Ev3QcHtu1lCrW14vFI/8f3muxIsM3vcbSiMFsW56Vfvk3v PfsU9ebw/17cMWmFUBKjkjC35eAnNv6XgtcMs08EIB0phMUDqThCBgckodOB74jk/9iylc0y+P4/ KrDOBYAhL/OTAOL5CNn2jf4HhO9EZ77VVqipTVr7iYE9OCqykrLE3wPI83NbzX+FUBKmIjNT83fK x6pJ/gckjyRRbUjQZUpNAfvEQ/wHdoQ3Njp3H74jKGdzGaFe54MQSfDdJT3pXC18/C+dDYHaz/ev fqcoirE7kyVIznqJbU6HkEp0SKZ33RlJK8Rri6+1avfB8ZE5n9xjqsTWJ4JRxEa0vusI41FSd2Yb cW0rLmdL7Ze+nA3U8mIWGWeKuAxg0Ynn/zqN5CUlLIoX2VYors3jyMTH2YSU4ytNt9JUSbLSJtIm KWRIStW/pumD7zVotg0a/LKjvcHmXQBvSdtFjg0XaTP1ukGVbVq+T3b7wiWv+hEYA5vx0fll8fxf NBLMKCoFszRPaVcUZQO0B5uW5gYI6Ck7Hsnl7hDDNp+kWI1ELi1sMGZ368NZfU6hsjstXuH1AylA 0COQ2dLmBtVWt2kppCLiWyKsjfhIbfmgfA2tBZasIOaBxyM80qVHEByxcCTdXGRFgfYyrG1ett+I qXJA0vSqPwVWNEthoF1EjMjVB+9nn1Rqz8pZ6mPA9YDcNqAKNiJ8c28bWkpZrxtNEmMjmXGmUpKh ZtvOWcd2Sg2P/6W2JWNKrKSLbFtRpE1sJcKibVYRJ9iott0Q2QgbgdbIVpFthZjtbpKkzYdL2hrI /ueQ/B81YJHMoiiVoTL9k5FsOYIEeRO+5Te1rUxVWvjxTTNnSZgcVhdRZlthUXt7JVKi3PVnwn3I JikEbRNAco7C5/6fXhzJDp5EHur8iBUDSFiulbwNB+fbnis5sxSC+3+CjkLlCOjYbji848SzXm2B yh2/JNBtlRlSoydCc/vKcyKdM1A8m5OD4fGo5/+t/0t7yicfWWFJm852sw5XbKn1mkY0KZMz1J7u p7xiuW3Vsusd/NxGLRh9bBxJ6UQChYZtGQ3ADSAxjFlvkDSGXjcibPHcztbWNqUqSKj3xQIQmJJ4 PFpH+Ttnw6YvVx9pR6E2bAbhW5OucQSdL0W2JeRXaiRU8qQieQgd0VXV5TzdL0MSVL+NCFvrCM5I WHEAlo6fCWomdbbMyZUV7R2F2hJrpZ+ODSqOnHM/M2A6QerC40GR7cZIwlWigJSv2PYO6ZGStR/N qrV5L5dHOjt1uETiBsxBcxTKkXR1jlj153BJbef8KJUykmfBJnS7SWo7q3SDREKpdr/ZqPu/Q9g2 y/6Jrg8aDIOEXgwj1xPB4vFI2L59pWzQ6gPi1+cohG03Kf90g44A/+zr6MRPzv9n9qc5imHbjCCJ wtLgh/bJcTfMwVhB6iuhYYM44KzyExSgWDwcGFFoyvvZUbCtKlufQtq6S06BQoJsoiAL8tkxOfK7 hETniHLJSDskRw5d/sV5f+d3dhnJNLqb2EClzuYoxI5I9LezksThaflXABZVQgJziFW2DquIlXAe zXwv/8l7bUfR9qYLMCi6PliEBCUnrqONdRSBR9rVtSGufTMP9krkDhHbkiMlgMfMI8c2FgnUMH/M yGa4ZvsOEdvSR24l+eAJybns0dJmQXul2REUoxIJJDGV2ub1+oNTB9/2NzmSummbXKHBLPmwAX4B YVYngN14PsJF3ACJvkAAbNfn0A6V/zrBbKU71UbW2Zqkeiepz22CJDvEjghh09IdLqvtHEOEOQF+ BOmQ1ZxAOuiZuvLFa6+htw3iIxn8qfizL/P/HAuFPlMg4C88Hukj3RJSYvK/CFz2OQqFtk0gafrF NvIy8f9Od3ld/h1RoeYoFP4T9B9lTRtuHzJ3OJCQiXz+C8Y1qNcOLKQTSKEh6e3s3vW2kaXWpjJS 7T6oXptQoBsqnfHxKGW7JBKZKRCD5NnIDvVIshLb7dI/WbajXEeUT9dmA0pfcxRF2g78d8CWr6Nz 5pyAIqGY1uh7MrJld8CRQQN1Vy9FFnoU4zuCGDKbPlL1QWA2uNgqPhrYa7HO9m1C8hI7M9XB1bc5 CovagrNPpiA8kMj9JDtyUHKYnK1ZqLLZ+Um/1WuHONscbt0fTUgE7bBFOttisxeXAZBp2ILzQf1D 5o7f8ZvpT4WmuA2ao5PSNIoxnFxn4PGIjHSJyOxdA10z161G7ijSBuwd4XW7xj/bpOxxBeX64742 1ezHdgQeMfyRjG3GY2wDgCj4I52oSDT1B8+stCkme/4PeBPutM2C7f5DigSiyjYo0G4QmmESdiIl PP6Xpp28gU/0ka7sr7A6r8dIlpnNWp7WomgqKPvSSQ2cUFmsRnZ9VBRG0qSN8Y8GR9j0vFEvTKyQ AMBYKCCB1BsZe0fPHsBIWbevbW8cmZCxIllYSAJFwaxF0vlzZXLfT2rOD/jLhwx7dQA2tR5vmxTK /yUK2pGXbHkbQAuUI2FhlFSbE0d5AQAf9VtVEkgLH7yWVGCUk3kuUUYa0c6CpGc1J1vwOV0AiAE3 viXiAMptlNR2DlxQQDZVkUnXzHw+ykuuJWJjYfL8/5R79ZkKHW4GpRGI5VX5trEKwKLbnOaUUIFN N6eEFCK26R0N+RIfbKYWqOHwdsRMnXW6MtE2J/omQwEwf9zw13k02xtHMAl2AiYTuYJoT+S9TbUY VksmDFpTFHqP/I0lgaUn7HXvTEWFbnW4x+xXn2y6vyYa995S4oaxmQotJCunKI3qg68plFiXHEgX Kp1Nnr9I7HPCZqjQlrgd3GJvHFFJlmSlug8Kv+mXSswNgAB4/i+EG35KgNMGCow+UVEATt0X4M6d cVOn4dogt5+q8UqBcfDNF1W7sRFQCmC2y8EmCvYk58qmaxJspMGXQNWEV/QJFmhZPb20xGXBr4n8 NwhKBhLKBkNwlSIljhOfZz4fJCrl25AU8/uzIDvnMxVWvAUG7MVnilJJ4nABPS5uEjq5y2cqLnmz edIEdWsP1O38vKArU6Okw9CO4VOHHOfPnrynR7t1yrTthYOkl5ZAVbpJtVjJ+PyUZw0TxA07HT7/ PlE1faMCgGidJT/zFEbiTSWm7fOUOxU5NzH2PVv7hHQum6coFJ9dxSX5cPWHETjPw+dkoFYpUCYn S1isn6Dik6hmNUhV1sWHY5ZfE0RRSzO0mw+qoMyzQqVYcyabz0cMU58okt2QYszRn70XqpVw75VU XGKq0HFHjaa7985MOeKN8iYhoofo2+GD4wLPkQlgKStNu7IMQItJFK+gDU1gopdRIJemr4lY3dK+ WzqrWInXITUz4yrI6fP5qGu5vooEQCsOCjnbRIVB+e4P9YYRAk9+VXbXcorJWXLXIzDSLMGvS5fS 7cMt7Z6I+QQBRAgAb0jc+4nUUeAnWb6lBxs47X0j/CQ9JiE+ZINwb9B7Fm/0HK58Pth59R9UF7sU oIj5PMUigVTlFIOC+XCtxSuX6h0wCZ5uo5BTjDZhLyUTSiLdCgvMYegBs1se5hPcK+IDwckdu4iA f2lvtdgLBzUn4kxqYoGXgwEEwYBXgZcRZ+iB076PqKHS1Y0OQs/J2QR6M+0tyJZlZ3WtdCtz7Kib nWKIOGEZHPK3PjjiJMlmgC73gBhVSsEktuo3flKYarrBCw24c2A66dIcI5mucrMT6qzLOdgTR3uL z0fty29tjikjvGsWGNpO8oJDBvwoKm+vzvVUvfA0bsEgB76Tsl9bcChsPtg8ARICTC8dlTa8lppM vAAdhC8BwUsmgUfrkhzbTpLcTScGGwwGB44qW0knuuXzQWCe//Evg8vcvFSKHBtPJjYM6lMzmNZf AZfi1gxGpYJA10f91ub9//nwOjOBHpG0cCYmkLUXGE5AZ71SSNSnqTR731+kAk9oupsGQ0bCC6US gXR+28Hno4x4f2XEQGKADXGnKaqHL8rh9sRSQdU/FivRJV13aPRW6hFpNYUxOZsGW95T+/GeOtE4 vH3R04AkPiq/PMfYMG+4b8leMgVTNsNzYD7p8pznrMw+CKGDnrv0cE6oz+ejTTe/46cGF5PHxCy0 n1ySwutp2TRBb8w2HYwibZoSSfaappBQWcg6qSrT1Vummwlqo6Qb4HA6F0whPyWRzQgQcgYk0zIX SSvl0IES+oZIIdmAGotCGCz4nlRoy05ibD4fdHvXN4EJTci6b7c3B/6TCqIzrW98nlbxSt2iPyIL LKhI+q4L43H2xCfqkzY4tHJjRzSWfMF5SJI64TAY6NZ+QXFTUUHoQJlZSwckygcVxtsJySXTdT6I z0fCwf/dhTrh2GMdHNIqk46keZ0DyfYjBoUMHaPCzxtlhh6UizW4swGGD57hnd96ye35RDkDvHsE TzAU/ll5ETRdb7lOdajQhFJa3WmnOygTPpl2ksNy46qPwvH9j5RpY0Ldnn0XCplMGVEbQAc4FLai iENZ5H2hdwFzZJ+nyBZHLYRKTSANPk8ILWemf0DbsIxEhtPF55mG8bxu3dVfOLrtiIvr6Q4KnlSa 4HpKlc9HKh3567ZD+W3sr2M8DManVM3TpelOt33rTbymQcPu5qWVwIpS9r6QOjJFquVw3Q4z7RNf EERIw244dyyoSBbI06CylvO+iXBv9saBQr5UOupOPigRBi9Kaexqhc//ZSNsSN8fdH/uRMXQbwlP XMp3Je2KG2+Kt4uNt9e8ExX7UZL2tS00ehhNhZYEgHVS2Xx0uXZD2O5nAyyfgXf/N20JDSlN+V1u y/W6LS8Im5j5y2x8PkpbvFaHmSLmfY/HIzf0pJTcYpacgrjx2cqa56c3XEElsMB8O0NTymWmlJIR 7o+12UnmAWuRKSW0cYhu6uQ50JFnXtluwAPtfaOuC7Pgzv1H5XhBCOAmQ+p2Pyk1n4/UBP0gF2Nw kkJ+07vQl5Lkw6c6jpMczpPkWkInmQJM4FrO4vP0Bwp88cbj4BS5sc6xBzQckaljCyoHM6CfiTb9 xOaz9STz7tCXkginvNik0qBKHeSJ6E9yzubJ54OSZvkngKrsvjw28KGsCaUWIA/1IxV4FYBwkPcO fV4d5B14IpumMByn0nKVOzeHC05JBKVq3wEiRIGzzF8hoRCcQZizA4oKBPya30SpIEUpvPOZZcaX DeYRrGn2vTY/4C8QD+MtSfs9CypGqHB6KEuKKw/eVI50XgyXyG8mpNRmKsSDS2hpGj+1fKlSzIJe G9tsCymrquTbROihEzbLc+dte+MIXDD/Ac1p6yG/blKpgj8rn49IPD5R4mieo3xfSYEc+1NW5itj eUzeZzJLmPPiOTsCAym9YvLAoFIymagUyBomXZMKCPxMqJoyET6BGZQwGJOfTdd5ZDDksSL5XPbC 0Twx6lmkOTf0KKQsefKgTc4/yOWTH/CXtmC24ABmBHeioiJ5EycsNcc85UvibY1EfXEwKFikiYp1 TlgdT2IYpvRUoNK52HYni7chKu9UX4D/FqDu42evyzBY2d/3t4pBYpFPgyoGhVgGfF+vjc//SQqj EiHlqZ62S+hTyRy39O2mJ2X34RXNSUFEVep29SQvMKr02AAqsD5Y8nKenYOGnWdBnXwSmp7cnMCv ZQqdXQ1G4Hv1vhEYg0iVRuQTB80TkiPidM48bT7/l8uQDqMBPe/0bLyoRN63BL37xYeN5l5MNCgw yAqkmm2eQnS48BiL88TBk+GMhkKiyTDcPCsFic96GucHpX1vY9OlcePZRIWoFToMEPrGwWokvXWB Jc8+THrLCPq8v05yaCb1dKGGOXSr7J0lqH6hPXVmL0FNMXoL7WGSeZ/lwK4SbTwyw7c4dPvh0IG7 e5LJSYwYIkJqwp2baUIO+WxHicPPRxMuh3aVldIwHTeaDcYzBA1fPQW00AO/yqRK95MLo5A0H6fB HDhWnnW4hcqo6c7T9vV0zgqvaO627zz9zsekXZINty9M3jDVz0/MepY5qnnnkIJkAMBzGUJbqC6S kVHshYP1RPJTscYLUEfNznH01bieaufz/0sRCvKQMz9Ig9C1EnhkkAwuib6zSiy+QZmXbwAunfZd bFu5I4gPHJkywcYQmT7BFFpT+CuR9gHVvW4NSkDxHPpWqrYCITUfBISatTKhtwJ5YFz5LfDN7vmg dfWtQYXOlUCRkLAy7BhvLJZxOe3xGKBSDlDTFEXki7W6UYwK/VjpnDtip01bXxQi8DchIt+opvRB z8Fvnni3F47IYvQaSOLSJ6+QA++fppQ95ubzfwkN1h9JMuFnu/MUwsVNvfpCoXvLBqk/j9Z2qRnZ 1M9y6F+p2kohiFeDb7txDqKz+WjydVbVMrkhtNBzgqNoeSaKqlb6miDHEw5j0JuhUEOY0wN0jKwH z9RnfkA0U98hudT/HjBUaGJJEkkm681I9a09RJ8HNL5y9hUVhuSbPeFB1DgHmykEUEB0YUVN3kxb WjEoWJz/ukHEGluvfnXPAx9LsbcRi/fbdOliaUD3WdqVJ2/kB0R771JZJXgBhef+TFUYlNNZt02r pHDzuRgalGq9EIUTXtlLYGWJ/IkZ05Br/Hhc48/0DIB+K8sGq06ZEELN8AdMsbOmYGFiAPu87IWj wiaR0aPRQBYVdsn30pGOfzuYxPyAP4Ny9vEqP++W7AJHS0S5tEIXLZPQFQpCMSMeDLGYEWdUtG2m ftdEqdLWqV/aOrgWMsBjBBqcuwlZHSAZhYpm+K1wNjrxJ3V749+uPSjQ+qCS3YQUIGubHbXNwNXy v+2sNrjH+Sqj59DWEiBo9l5ciUC3h5yI61wXNpbuORVhV1oT0IB3Ws/zalqA+Ds3+lDYhJAAJ8an ofVH+8Yva3Qqp+pbohIL46hOkHSnK6cAKztlJeE45PkBQQKTvrErKBpAuf1OVFgspxDR0IFOcMbj RZSWazVCrGv4RMVxOZdSLXdw6MqZgzTNt+Hk3r0zjkoEYrGtAzWYq7W/l71xhETkgU63VA3qKrTz qTyNUeXk88HeW36eszaDnhBe8M5UDChfAvl4NaqwzCCQDyXMaLbXwRWzvRdF5lMAuyw6ef6SSTnX FFQcDQ61NjJtAOxYLqfihEjAgkOpXB4aXKIqf2YXWFobVAZekALj3ttr8fkg5Lx7D+HAOWzOSYWz 2mcqdpNnQ2/g71eQgA4Iy5vnFq/U2UHMWZJDEUOPy74ZIhGKqEEzVRGVrSqrdJR9a6FvQ6X0LWr1 P7X1fTtVfdkbRzTXohbV8kE338m1KT8F+PXk80GMcLMYvl6F8864Syq0uRzUbS60OBGPo1dPYipt pGR0nZwPlAOfSykc0gJs+GCNdOA0x86METIgiaurVNxYqwODKVtOnNlE4Zf8dpiPQbu0Mdh2Ir4U PmysbhJvEBhdymXSFpScVkFHSjdACH0uaSKC1Mn9d8bjdJXUBCUuAxpCSvZCo8s15GC9lg/eIV5Y UYNFlpP7zpYICEo4bqBMjdy0e7I3FEoFTpfZ1lPdTPYqYDeC5oAbIsVokF/4AcG1175BwOcbYb53 qyyh12VVLdYxGGhC1ovzUaWT9gSkKGiiYukUc0zJPnhjYW1I/BDAAo/wXFjfS1C7FqGCBjOCjaVi 7xuZXRD7i6gNAkfTjFPKCcm7CGfQTuUHREaOTlZczQ7zXq+/bA78LkFyTA9uRUdUuRqFquAhj6l0 ftLOiwvmEgJnkVclP1tRc63EQg28wfvKm5kh7eQ7eLe9X5HCqTAq8Lt0flAX9aU79QXCh3kIK50B iAoNLx9tEL4cNnC9Aio5cLxENYpSjtUswdGoYsFFSs7lyl6Qi8I7L7S85E1GOwsfjPtyjuiF3ier LAOgV/pdNETmJ/KZPyytuBZP9ReOInOmxUL8cLBO78pV5qljVj7/13oS12wDEfUcUHG1nMneNmci tpGa8TlabVequN6GXmB7ieXEfLgxPOfg4eZJS05szmr5BLCGB/m5UM9dd+5x9g7bA2HZ9sIBlYqa BQncslHICVhaRRQhoVjTSbv5Ae9H+fpXR2Wg/re+TqjQjociIbUkbxEXLn0LzIsH5jOvu6Jifmd/ 7VT1888Vmk+kKcDbD2AGOINg0hlFVTLzbOtRbS4H/pcI1ghfaYzMxc7n3YVGKEsJJzzLfP4vVBRL QRQEWvPaqefQA5MEWqKvbPM1/MDafFAa0uZD+bD5VEU4Fro2jQlGhg1OF84wgRXsoMKaKFPpgIsK tmhI/O5EiSIUuGCKXE993+qDle4WqOecMzCEAhtMpCL/JMWZ9Q5UNDRRn5coqp0v1TzltcysIIPL ZEuqF4TKQh30vUx17iWGOh/kzg6jsJfOwQnDacCll+x8LNLNU+wcviBmMIOuX0QqxFAvERTfFS4j KOEQyMJB7RdAPZsgUQtP/78Z4gvupRlq6uVVNPEJiGI0Ek0PgkdExNn4i7TFMWKQAL+CIRaHjQXT 06mH2tvOPnh+N+AnQDtOlONoNAjEPQKmga4stIzc1WHpZHqdnxNhEhjNn94GrSFQ2dVOONfF5yVq 4p9a87b5EZt/Iw/uNj8vMRMfAl8LfeDlAqFE1Wv55GreavCXdBjUS8Sk5SM3Z9Gm1pOrnAMGFp5J 4MM5aKP2Q5t1ahZA4eHLrKDzXd+XDzx0AGFd2QchWeeeYrvAUvPzEizxBWfKX8sHgMc2sk/PS6Sk 5dMkBv5tnHLFePLK3giGchyn5yVO4gcNntNDeoXj0SucZ5rR9uNx3UjWYXlgo6M5wF8kUkWBN2uE n5coiV9hVg6JzaiTNGxrUqJ4tXgEnTsjf16CJP2p5jGr+UFRsGa2ojA/LyESH1o6ffr2zkGWyzUb USRyq7EJcJgsZqPtRX45FroPFiCdqAIHGfsGu4NWx3AX3nxkhrAeUHx/sc/3eYmPdP4QA33y4Tuo eJLA/r9t8pfoyJ7e3+cPJNhAg9EEvcRGfKipbsJ7zuTAm3M0GqWAtIBQd5J5ajBBIONA6ZKBJAcH XAwIpQOmeJZPTVDNli8o0usEHgmkQm9Hk6H2S2Ckn5OlWCaGNhgpEUrabBz0VT4vUZFWkKFS2o/m cur80wS9hEQ61bPcmx5J0JWnH0Dbg8eTok+7v14CIk2QlInXWj54WamdFItpaGOtqnUd0CA6kCaT aXtiW4w520s0pC3GiHGgioMBgD+etaihLyoM91775yUY0gE229cKgmX0yMO32EsgpBMaPaSSnQJF 9orXKGlIabKyyyfoJQyyE1pWO/MOvoJO4lq3iK1TZilbNETU3bAKCtJbD65JK38Jg/Qr0HJvjFV8 MPAlWuusup1A6vMSBN1XfCYIggF9kjBGmbZggiphK7UVp/dUlSYp47C2n0GrFRdMC87oxAy1bjk3 7oenWc9f1dcgpmnAbe8sE4TUCzEb/e4qMSOCyfHv/LyUJS3MYkCdCb48Q2audX5IICsI/coQAw0m yFUcGpmHKHvBu9Um6KUiqVWbSPlt1fl0KZtZA+6diz7Z6KNK5yI6pLsQeXKSmddJBiLNOfFWg6Ac kHj4N+F1i6ZlB74L5VhHx9G16aUaqV+BGvJJlgRp5Wt4CkqVsHErf15qkf91iy1WbSfPwuZRYhRF 80XRmvTObhPwhKQeh1mQ1OMVkSiM7ktW6Wv44D049HqyHAk6FMJYs+0o4OKcBs0p7Ud2t0mR4Ndt 1pPwJ8momYxj2V0lq/HzRqTTIpw+S8LQ7TOpYCFrkqJYmusDImbeLSEL0I7qZvc+fK3cT/6NRmfB NO3zOvuVHCwaOtd4R397Us0EaSxpmeRUwt7q/AiN9ZCqPH/ofYPTmszxLtYhB91maZt+/bkX+XgQ T5dhk8TWNxI7FB1skt4DavAvtJJq99qaZHe1kqYVISvEthzqFUzSZpx8coLtgydkqBf1Sdk9CBTU TfrFRt0f+rz0vPOAaAizG0wR3JLQmWTW2m7WCkF/oQjP8cDHg5BofF9oaFYPRJo2RVFQLTH5BiKQ 8aClSDQkTmTlx5NojovKCU5sScm1zVoIBwflnHNjgF9IbMDJNEElAU4eoGQgzwlFujda0uu+77VO J/m+WFXjYNVHWB8IMg8MahhXD19GfLKTtbo9bnzjz9lZy/oHRDptHbHGI6wJPRFZoy1XvvGNPqdJ GnS1BBPPBk3S+ZSRAGwlYnDSa4xEFpAdBkIdnPDeHJkq10Tn0biwiUFsiWTP4KeMIhQOmZxQUQsm SWQtTAlWec4EVq672aLoug8Vsq9cGmwDvJDNPa5CNllmzO7fw2sJtEBLTr6W7cnPRjv3FYRjCIM7 iV7lYjtnUYOGy1nJDZ0RndpUH/u8Uee0o7fcGll+5MBJOvNeMr+xnrTk88acUy6Rv6Mj2rTNMu6x /R5hm8Y1fl1P8avk5Vh0JGDD0AAQxeEkRSG2nOTmICaAg59I40SfqQgMcDLzDZEonEgNOQD7V/s6 W+J055e8H0lySO+V4gwcxAc7QXeXtfXM+/NGm7Ms+KaxlrBAdTf7JEVR9hxCAozrtJuv3Q4iGCuj jd3M/vONNWd3GxGng+xCDg4tAT6QThaUJGoZhKEFF76MnQZoVhuXSj+7Xvd9kqC0jV6BXEB7NxdZ 9PHY8wLclo9HYaSnsmQzgfszAeSxSXqPtGGSTheQ7rVGIDm9IaIEkQ2R3rP5Nr1R5vT+bNjuwaIa B2vYQi49n2XDMwkAlU3gfKNjEtrb63FKkZHcG1/OQkHaWaeRfFA3BJEACb21Q2EnCrW9xt/U/0dY 1Va7CykKtuUjS8t400rXPbd4/9t/eo4k5KOaozDaZqp/DtDtgx1J52JEC0kVtbIAFKW2E8CmnWIP qLbdAv/U60aThERtJ0rv7eTSewUQ8W0eaevzxpWzEMsXkiLzxnvFa45RtI0jFKUiC4yoBOonUu3X uKmB06+iYxhtT9kRjemDb7a+qUOzpZRWG0/2DnmrH7h3nMt4OF2HrUl+SXBsF5atE9V3h8i7CJIy PpQBwLmaP288uZsR2IlEvj1FrlH0tFmKwm1i2+VJeEtHHib1li8JBWbijADeaHJak5QnbNL+al/a XwoBKpWAz5F9TisEVG3j58jwyUNPbN4zieXHN56cBYNU3Ft0TcmoDBZLSs69IQY9ZFDeeHJ2vZWv GACH4xjFk/83mpwWMG83arLZ7ZayWcoQEuqzhGWltfQebyP9tyhy+ODZLbT0RxsSHwJ0C/OJcJOO ERBUgliSc3W0mN4j7iRTQoiAU1cWpsZi15yMTrsAwvvr88aRs1keX0FAQYSbbzT5RpGzHZcVKF03 ue5l/vOk7cNzKsmV8JxKbww5SwKZleRn8BhgnwSECwipGzi8BseFSExmetnSZepIA+WNIKdvIXZk NnMnbt4pqnMufuX5h/p548fdo99O6h9i4H8A2fdJikJuCm7R7dvzEhVHqKdDxgyX0i5eBHhjx9n9 Khnwtn1wonOa8P2cCpRwD9HmYpDRANmsE6l6y9EcnN7IcbYSiEdis1KDqtnEn7PU1ur6vFHjdAVv jyZV+kZvrnUv175R47SSmN/SMNpCbhbr5ZM+iOUiBp4obE5SVNFWoNRgY22D7zfUb01WHnICFXz5 s98KdCMzLjvSwe7hvfS+QaTEkm1xBUczkweJupYknb25Pm/MOAuefSXJOQTgtn5LJW/EOC3AwkZ/ ml5PSuTrMzFBq99TXBF0MEvvMbef3bOs6sOtAvQ9YOHMWs9aXC7rLDYGAHSHkkeRddaW3jeoSrK1 Bs1kHzhLwDjJogiV1M8bL84O4Rt0Y91M2IHRXkKzFAbdVVI6T+2/jnH5FNuFvipEXHUqvQfdiIeJ /Kus4HKweLICXNTVXjvZYm290J0QrjcTyjFfMGV1XD9vpDibJN5sIp9QwHYIpTyLVC7OH733540U 919rSZdh+yGmwSYpLm/TCHTsC9Yq5pvO6q2TTtp0W7k3StwtPZNbmXywSTrB9YkmlZmcv26zlg6z dLo3YpLAaLk2l0uvGwSUqvzLzoGDqiUbsCBeWTv3zxsjzvr1t6JkQnxQ8rlHdxR1VwJrIIrvp1K5 RNQqMhOrbleU6Y0Pp/2G0v65i4FO1uCZSToTAM1r8LzQ1T4xHMGBwAKiN0AbcJPepablGxvOFhKr bhJg4KA5QreOXQdEH583Mpzi0XHPJG5N0KKBybRWdhR1NxJNxLkmTItMHnNNI95QcnE7W6v/jQv3 ZCbz5DV38Dma0MVKVHJuaMkA2Q44/NkyUAvHKX7lvVAw/rxR4axXRswfdOptUPZ2lnmS7Oc5+T9v TDgLur8rk9C4oF2cTVGIFulySjf2GyqT3YkTM916UsKVpymKK9yYm8KKiQZOESysqSvEYPKcyieL oOns7KCcQKwMFQaKxaHfX/S20RSxRTJF/p5uUTCAHZiC00w+Hmy19G8kySm9UxTF2+yAlCFfYqKR vUkLo7PtXEEIBWqnvVHgvgBHSyL8HO5Og8DQMqrgiRRLMiss2ANWQCKeI7sNvW2QurGwTWaYDYKM nJCscUkhzPq8sd9eTmwEhgSm2xRFwTYvdXCrXNJLvz8B213YPwK2CfkCDvmN+/bVJ9n4F23wKdoN ZbBdRfbeaW1JpkLMO5FNyTjSvOSTXve32u2sBB9NyPeLgXVCyjTJMZopl88b8c06+PfyJ8YU22zO exxF0fZWetuHc707ScQGa+cVh1k6yYmJUbzR3vQroR45uRRssAL3HrPSppt7bbUkK8xdgOJjhHTh fZ0E5jfOm80RG/8yKeRgyNrEUicO4VE+b4y3/4q11S9Irg3HGXoPtXFNyFB2OkEClWLHs5PdJ91K NAM1Q1F1O7E+shOFqjg4PwJi8kDaUhkOzSMGB4DEYKtV1b28T8J85I3sppuTR3XKK/ugwi3caWUF eg7uzxvXTevBKFwGrzmnWcMX2hxFgTbaKecM6m3aiT34gOCPK3lPclLlFiHkG9PNbgxG2JPMZQ7e S9oz17q4ik6sCqUVIEMRaZMSeP6cgiqisSeJ8HtjutkNQ3zEojk2B9WSJsyOmI6syZeMGrc3aeMk nawRhU2bpCjOpnvDWUjLJwn6BF5v03yx3lZYb8MkhcVtYmhHmzYQxyLnnTQnjOUYZ59sg1KySJXO yoMQcEEHya13CDp+47jdEv4QIxYDcHuKsyEjxOJVX5kv+WfHjZ3b89efz/J62xvFzeKj9H1WA1R7 RV/YHHHRl+aXf1jdVjMJVQgbvHKbzhQvRBkgd8NPBcWm3pBRntAAwmA9P1Ekds3njeD23XOrzErQ CfwRmHoXmvuhknSW/eeN4Ha7Uc+GI7vpQUq80dtscnnp13nrbeNC2NPObiu3cOpqlqL6NgKWBdHc 6YPn/1hKc1M2j41vEGUQf9cmiiZAAI/+Kdltb+Q2i1fo3iwKbslXXvBc7V0b7nzG543bZi/pkyRF tA7PZ28CvFHbLAKgZ9pKokii3LaKu6YM09uHQTFw94RFxnASRkc6wDk4aAuzsrfRSrGnGgrc42xF KAedRZOvFFweNCN4Y7bpfMhSzFs2mGEq5PdmEiapzs8bse2/Wm6E+INLNCGHZpMUwkmK7Hdw2PzH bK+SGaYkmHSSKAkyxNnoOJXeaG2GhmHLbRpp62riUKMyofdJfTNgPtkFqODSbVjvZIAsrJBEP73P G6vtKwQAxFiD45L22bbsX/Vzn37eOG06E25CwuIKbouet5e33zhtWkks3FbWrWRs0ca2lURnb9o1 nEygml3DG6VNn9QEayO+v+4H349ga2UIjAIrkc6MwSoEzB9y7M/BWfBv37St632Ds1t2oINxNwdh boDRnkPltv55Y7RZwO34NrzeQitptDtJ7wG3CV4X9OnFFTkrZrsDwWrN+5KP1fUbnc0Cbp5Jk31J Dk4Vgd3KkLNch8vcJv8I6QNjJGAku0t55kEaxBufzepevNk64citP94fqOLwC2epnzc6mx5v30Bk tMAm9rpNUlzdphrsqg5lb3TkNqzEJf1Bd1EH9xuZ7avpthddGjh4VgKt6jKXpLrWgtoSspJKPTGg x9CptuRWNZI3LpuSW3WTKqVwOCjihln2EgGktc8blc0aCP1rjgAp7lDksDmKitu0oweh4DYm07Rm Uq/Ddd9QR7Jm0huRzQ43FiSlrsTBI+4BpZhEzeqeFhw2yItEa2YM9Hrn8IBbSIk3GttX/n+WSvfB GtywUVKDe4/PG4nNcuNbIhF4IKNj4MHkG4dNjxG9VdYVl0Cf1Rtudd6G23Yh5jcKm91tBfUjdAps sDk6+f2J1ydBADjwyGgnaxu2riuZRJfibS2jqLAtPyJ4pftgpbaUBkULwZ7/vNHX7Di7iRujOByE 6Gb6JEURd5ZadW9ej6RBmkHcyGA23siw5PaNvGabLRG2hd6tBi/apvMr1061hHMqw5uPiBNgIxv4 EqJl6TwimvSNu2apF4IjdBB8sFrbuTOtkzTn5426pj/2qZIQTgqZElZFNUdhvC0ypGiPxG3XK/bW e/ECAIDRKpO0GLjNIGmzgcvBTu2NDbYgiY1OxonE1eMFAOTcvmf7dJqmNhXbkt424GbJd69S5ZaD ASXOx0p4o+WMx4M4sv+DlMRPsy6+rYXBNq0ut6YIywjdwwttT8OKbXNl0w1sUVk7sYSEEogPl56F 86lQGqiB2Z5USYJCApJDHoK3t63NFoJJKDM1ZXnJwfhruEtVkCwDj0cAgJuQIBzCAQKWhjNsomC7 U72sjKsjkZad3+xIbi8kATAris17sI3oI7Poj0WiwQtJJ14CJV+sf9S5izq7Bax/NKmHm6CYz0CP OZCsJK09fbCK5PmdaW8GUAkeDygk22Nt8+k9e277OupRqE0VL7D8fIqMgtx+aKpUb0UyG+K2R1AS WlbMwotdg03Rgvduymz/w/mm0lMe/ONzAuHqzYmEfxUAKLXRo8J2NYuBVHzQXuvoJBCUdEY8HhG1 vnO2QcbzJUn0uLDN8DobowZH9lh+rzm3vVKcQfdajwrbooqe2ck+eBBZIbTAWO2ENwvrVOkIpidT Ip8+Zla2JZCkR5E27RvBEwCehGQmVjYAf6H4C/7yVvD8+4k0/iEjZfbt+i249ZARSTl4ytuIl40K qOHbbPIW5cqIJu38pChrI0iiEEnCwaYJ4hEwrEqyYTivVr/wNh3egatdgNtmbtujWJsiG/L24WC2 HjAOkjlAPz8oHg9m6SmS8JDH/Y+uvs1SxIsczEiymCPUgh/FRfOL+rddKnwmVdbDYFtlya5aUr+1 JDS54SW6CSWBHk1dREc2CFU29DcBMHZZKV5uPSpvSysfhkYYcIyz/wM40FAlFFVQPB/cbuObmo1m /KDrgSYpjLYH9TXmdDWE2qtbMID0aZME7RBbSjE5kuUR5mQaLlTyhIsNOAhQJU480LLYteDe4P9Q o99PJaq99gi9Pcn6q3tK1gZHr7QQKHCOlXBi8I3n/5cNh2YStVRtksICt4DJic4dhVXJ5Gr5zTpI iJJQrGcpqYfhNjXcqonBk4nOSeqr9QXPoUK9UuhPgjUFY49Kc72zaNfj5UxvAHxLVEuiDoIc1wF5 /ZEsbgUQTHEHjNDxAf8LpwT6GGhE+TS9B9wgy/D0JjpOWi2bBJtKZQ1KbVVht84fwbUUBdzC/51r K/nwlCXP7V5Y4j5hMXI2lLgr4DwbrQr0Z66ZB4U5e1TixtJDWiMd+G7UpIrTTepV7ZyleDyqAKSv OcKN1CmbpjmK4NudvruVsv7QQsisE8r6M9FuQERJSWpgKUVIkk7owxiq4A6W2SujyRMeNZnXlXO+ n7+FGPdzlQJABWkwoHn86AbrruJbglCJoOTeuO84qEzSZhaLpbbFx6OFdBtKRHHg+3+oMWjzFEbd U5oR03UmAYpwzQhRJ1FzQyZv8xSVuNXihvimDw5PLueQ5SYB5fb8aZ09JYTjuOLww1OZTpXJQUZp j+AknSzARrKFBiNxDW1Wyizg8Yi2/d0HIJ111At0H1HYDXMN6CEkr5VQxMDipZLyc3pbbjKiGjeP m8HjxgavJwE6ssQGPGdsSrK2qqDQd5RyAekQq8SkukcUdkscoYt2y0FYCTSMk7mgZTwehN3jWxyh sZ512wAjCrutDdBKtVippXStc1JtVpfELjFue1zh5k7bbCxxsIUEKMnZaINqPxAHzdRMhFgSLKvO W2OvuiAZ1i6+5Dd2e27ku2UYGnP1ULhJvqhwd8DzUZf79t3E0zmzNC7AbcQIbrVwhy+kPbsH3nNs i5UqxRY0S1GJOyt/o+Y7nFwZuODXGhBGOEl3o0Z3grQku7zg7FdEPeCxuIcAqDX4jgBPSoFguFlr MPQMpDoI+DgnzIknR1TglpLaXUgQJWAtUFMUIko6r9F96cnQIHjKSV5zO+9g5aQRBd06kXbjAX5O 0uZTdOLhgryBkdKEJRs7T42y/WhTQt3BQwBIa+I7ok6JsH88vkd1TgmoKeTCwLF54/G/ZCTwGNiD vdyK24gi7i73pXXTt7yrY0nrU5aswLZqFUUR96QI1FrUBl7rwkkWsFup0XEUkiTnv2EGgxDpp29c AtDzN5Yb3Jj5Jb/1uHci4Haj4yJGEbMcFh7OTZPx/F89N9YBYHhYu6vZjCjiHtTlPc+2m5aM4mkJ pWd5ILW0rHY7ooh7UY5kTHGU3QUQejb7hNyLJKATtUJtibZoRAl2iH1MEm+5jsiewXcEW43lJLBH fND9D5p4Vut2TDz+F+gGRxfz21TvFIXI7SFBpO6ZW28ktpG/tYYzJVpLxpQYEXK7kt2+JiGQHC54 C+1x5bfg3NB+ANrmZxWQzYPM/+K2q942arfhWgP5kgOhxziNkOqyy8HsdoSx9rySUYIOoAVwgUkj qm43ZbfbStpYRtWRpKCkOZ6kOcdtRMG2agBLKnZLFoOZew3ecg2YkUZNyrTalQSk6j542D5HkPHC d0ThEe58eh9iqC4ujZ/BxKXP6sLzEZnEg203C2ireRA5omCbXlYQI/XzqIKFauHRuBWAlZxQOqJg W2iSnlVyzJcHCN3yituGAvjABkhRogBZR4JZhdu97zXGkCOMtZvkNuQgxJAwib11gk/R3E9UsfAB QQngpiS8+5HYtKs+NsJQW7XbuYpFSLVv98wbxeImWDM3YwCMsMBN3FbjWtZg2S1v6dxI4D6p89z0 aeywcfjZNO4icFtNgO2vGzVucesn1twK8OA//DVgIKJO4c85dAqej+ok+ftqO3/qBI1AkzTjAjeX Es1M/kPpBFossgSwcfQLTSKdFeS2M9b4E/6RuS0Hq96eiAiyLINX21krudMJvKAfD3LJzxzldtxI u50hmIRdpF779EGndhZsmgLRFY9H66h/rSMA5HBC+hRFkTYA5tRnLUxiIStdfIpYPsioSkIf62w6 iiSFXEm2PyYxEBrsYusLRA9BJWGa2xY1bs7bAQZIIizy3ou5XXrf37oAPffugybpRPMyX4dZKB6P jqT5vY6wd8FRt0mKAm1WVspq2WGAXf1JHtvbDKkqfQFMSSqmStIOoI87eF6Lyw1GEHQ87ecEoLkS VBGhlIRwCEx3V4ukXMKMK9xSk1jJByuSnHCQbCy4puHxCAZ4z21it86Xz3JjpBnF2nCKRGlkufCG yUmRb1OzS/4N6ENpluIC91KzbfvgSwnciFZ4JEGackuXm8YufTJLueXtRXjbDKEkshAcci8Zbgqb 6fKhLKwsPB5gAG4AIKtdKLjUq7g1o2C7ErsNOq+tpCVsKS+31n0lQXBdx/aMgu3Ba51mTjZ4Yktp xMwKCdSS6JQAtQTsTwgMZBQ/XS2J6O0ZMiUzNXrzKj4IA0jjaFbbzkGFx4O+5AVKkOkCwMbINyOZ YXl78kgY1dltJ2xwZdY0skOTyf/RJEVgEolJ4ebywSeptfPXo3WCpA2EesqTJqSUM7E6l26URE/K GQXblS2AE7JNH8SThA+V0NtzDDweFdvm17HNzl3a92aLsCQovZDifntuKd9AUjaVphzdbYqi4vai qcTMz+DNpAGnSDBGILB94qXMJgHOEPiInSMciHSnthW9bXRos6+9uYwmtk7VMtpVxhn9BCp4/E9l EjwGajsub5uikCNJrfa8L3O7sCStrUYqv2Lt7V4uM9T/o0b7EglgPSSAecLsDSXlJgXbjquaeS0P 3oLk9suZa+ttA0gSPRJ6bXcwShJ6YeTbrM3H/0JtLb4astrLJJkRkEQubzUNl9wq/ab+fT8nNv1Y OUVxqC01e+JJp1v9gmwLRh6vLmhIom+fqCEJjMPEJivl0dQm1gFfEiGSdQyxu00beSllnVguC7d9 fqmK5yNM0ncJCTdSq5eUNEOGJPWk8nzgf8scp86dWMuF/w03cJmxLgkRSWQka7C8tkFEknAd3G8T +OopUhIh2PTSHneSVtHr/iYVPeG6YoMgSRX6S8owNt8xgtv4vcYJQW8fJjA2SSuKtBXF5XlLkT15 TRt25a5k35JTAFYEJZHe7zTFpP5wbXOq5+ZSGAlXNzpvockNizUu9uJQEhMAWGFNOxGvBUEEGzRH O3d1lGDciccjiqT3R4bpk58zO/u1tqJQm8xKSKu4CmAXMJJzRPiq6v49WxS5QixJlwogsxHVfy0+ gncVymsUb8GFt2nRyeo90nEYPDkkCfQrfEekAYh1hObBDwXuzkrUob3RbeahfZJAPB9h2/xekyjJ OQxRQrU5ClW1q3KQ4fFRkcKdkv/yUEmcR7pCLAnb/2tXQduYiDdC284/n8Ax0TjifOgedGSui7MG rHd5xCSR1+E7ojobz3muBA2CJGV6W3IdLT7+l9gGzyP019bl/q8ozO7UkqIgn9XZSs4/bq6RTbe+ FrwBz6MVYrYJDp2DXEkOjv7rtGHleQSfQFyj2Gq9IRuAfFJ/VG2JbFthSZts5NHMWQOSuKohpZPg LtO2KXg+Oo++a0jo5xOO6pMUxdlSr5dbLzuRAG4ZsP0kuOolAZNULIRcIUeS+SzwnD5cYHsBoZpk W9hqD/lydkKTkFnSsuFibaZeN6i0SZW0KKstENVQDanMxaoB1AQyng82W/9mtwFrO7GSbZKiOJv2 WrBpcQeEzTtJiKSSHvsRZ7etWJGE5Ec5TnLw1v/ZTItX/Jl1gKTRmqanBtgGsIYFLN3d7qhngW+J wDa8+Ne+g6e1KMcrG+FLRoikb04ywPadwruapBC1rXJkaV7Whue1V/4ddkNnZYu0VxhpMzg8IXv3 wRlJ5zw/uQw1N8/C6RsEM/R8Tr6y0SY297ahlZT1ur9JbaBUrmEZbJbGOGQAptTweKRvd0NtA58s 2EzeWYqC7bIVGVHAll6v9I3jfiNrWG4RtXOWOj/pt0Opbba2OXiFBGptEFpiw3YTxIMKCUBXOSHG yOisO4wEYT++5TfJrfNCVRTA684CehvLkai1rbCyfcFtLDlNmALevHaFuO0pFZJ0VVtUvpUgietJ VlSw7HILYSQ8spuh+2u/4XYGVDsVKgDBuQTQNGT9QFFvmLyDy2MN2wKxO35JBNwminQJRbKqi/5P 2NYLlHyythVF2+Umtiw5NVSA68XarCjcXvQiOylz8irScAGg1h6ZpNKvKHkYbvPIbp13Fwc7uaGU V2nuB/E2IG/AJOkVUIcNmEsG9MLDpDH0ulEfiWGSMj4OnKTEtsXUfFU8Hi2k/hUlUeAOFBnN0Y6i baT9wLOVK3AnzU0Sble9giTU9KYueciS3BZCDh88+0+wTcTegiDM+QlaRd62gBSYMLBBxdjNXFnX 3lG0bWnbJiGJgwokiC0kSXauCTweVNrqN2SrsoZ077b9HmyDbENJstRMs6UkiL15MbIacxvYOtOR 2rEgCY1rsiTJ8iNJNs+KqZNolgLv+EqFNxg+nGMb2PqTfI17tVH8f4fAbbkfpZx9MCTSIuqM2q0V j0fH9vqKkmAe3tbVtdkhcJsom9kt9cgV+A5fRs3EymFmd+coDLY377StZbTvMoIn6Z6z0SiqI5rf YJz0jeolWYW0B71mt0OvG+nbMqVVw42DMZIM50XuFB6PGJJejGR/NzPcv7ItOwq3E1u2aBLZXht0 hOMkZUUFRJBUF5PcIYIkq2KrGkm+NRKYluEea6zYQg4WCSZ8NpCMYMPlme/9P3mx7Sje3hSRRBDp g8VIiWweXORYSBGEpF0rJEqmQOoKp65PUojaHqpBlmsgKZg7BTeya7eXfiVJdkyRpERyVfpfHznp tc6KprovlZJhL40IIQMsANTWj4D8qrUBg/DZsSaJom0qbXXaycr4CRhFYtJQVfjsWJTkudkYUgG2 3R43iVABsAvt1523dXJGn6UmyQTJklSfpRBEwgIAXLZ9cKQNdtZG7AZS0sn+iewalBse6sDni9pe Q28b1f6X0CPdByuSVOqKsXG68HikbPdtuAHJgvk1RRGIJAvS1pLf/sO7ACBJ2B48RxK9gjlFofyf 2pFwm7XBFxJcjCFxIv4/AybWtSECjLWOwqd3tXvX20Y8Up5ImapkMxtKFdlgrczfERDg8f+lro0A sNeb/+9Qk0SpbaFEgkG39l1FrdkqavQH4hSFGBLp2RH1p8FW0YId24YSM+Cj8+w9idsAgdxQCN3t am3SphjfEQSRxEVMSUpfRQ/sgXOTis+PrRbqbc+71Xj2nO89IYu32XZY2FZ7hCUu0baSGZGB/sfz iAxJFJfIkNwxYFs9SLmztcedDW5LJ18Vsw2kmEqXoEq5a2TA80H+I5/jd/xWI0FN0webo5JJLz+x cx14PPJna18x5GrIbfvdaVGc3djTrnW5+U9L2Q+jQSUI87ZB+MVlFAFIEAmCClmnD95kO3EpxELZ rT1HU+pMfYvEic/EQkjCrbZZsd2hGAlh/7wjbVCY3WtLio/Oj4nHo/joH5oN1Bm/nCRSGGcjfgaW 1nD/qNBkw7NvgkuU1QIhJUfyFAbaPKqrkv/6JP/9LJOccYYjGoGm9MJSO6HZILUNvNhECwB5t69t bxz4kPHQLn2by705SJ98pDVplPeWJz8gwpB6JEnYMvoQ6/bacopq25CVBRR5uiRJrbi8KEmSx0oi 25xwm4Dtro/6tQDQWZXl4Lnt+aU76FSESMB4u9NotJ6fcyNthBDXlQBivM02eoBxkKwNMTccuKB6 xpISwnhmPh9pAPwjb4uGx8mLbupGB+v3B7eYwabXSsMkl7mvEgQY9ALytiQ+KkBt02qcLWcbvOkG V6kk+baJuA+ENs4U0GkwdS80kXTr0WxvHGG3pnhbrJXgaBF7D5/bTS4JDq0pirxH8VBAeslol6Rn TUWRt6yl5iO9bRxu9ZRcoawwY7eZik0k5flrLIgr4dKhdLfIgD67L0OCfdHzFy30EzKjowStmdvD LfbGkWaCUty8fVDwXWtnSPoDG08+HxW7v4UlcYGPhzKZUxR9026uIFW0YndjriOpG0A4xCylvpBt vqjabdJSJWcfvEYJp63zi9MXCLZuCUQA9D8z+UEVltXTK0u0CubXBI0TKd4hU7bBUFwwrWRUsOEw kUJgSfF699TuOxtvp/KsqV9L3mf5MM9l9rmcodQJ+hQikHZlRXZlYc17SFi6Nh+8dHIOpNkYyRVY 3JLeALzbWb2bBZ+JWbI6Zdr2wr+BAgF89UEXX4Ukmnymhp6PvLiu5I1AuARJP7ZuofdNozV5Yl2J IngidbOgC6SVNVDqc6BHkfjkgT4kMT0eiWmkW6DhUvVmguM2aJ9YSXxKVPgYpCvr6sv+xkEU1Q2A k3xQCeXMk+RLYTbJ54P2wPquM6EJ+QNdvjtToWJJVwXOWidEUA5vNVFbljNFyyM7psLatxwUGoME Dr77KkBJKBKBbQAYK7rkJ5xCsTRTNrVedkDhWuTXRMxuxJsMzDg4HqItlAx/KB7d+HyED/Roii0C JEH7FlJyioLylSQTOC8+sDa3BO5yu2clpW93L0uRbkkhWHmscgcv7U6EoGML/X4WlXTLNlW1YXWx buaSYYij940EuRucJkhr0iDwG5hOUzFnG3z+r61HKVicMygL33kKod08ztlONN3yWwHveU7XUkb9 0ecpKoGPJO9bBp8c/IyCE/zcrKZM3FSEgfWB7sBgxRUqFM5+q8VeOKo5UXayELvEQRNVT/hGTmUd jDlDL5yLgBepgJyM+bgqhkRKMrwzjeWkO9nNhQr1y+QL6lxS2W0VQ9QJD3MqDNrgBZWzHE/kSeoS 4vwToLMVjrZgp8oxFpv7vNCFOwfmk9h40p2ad1C9oJ/557GIqjKfjzqY30fUpCHelXjPof2kCXQI 82a23N2rc4V8Hdad0OKxeYqQJ4kLai9KT+51pSdBZWqMn2iJB0AWW1TqjwMyRwSTKeHRwiTH9pPS Ly31DpqmtfKQZvAJzPh8pKtcvzYeKGydklg2TWFkTl5ubfNO01zLiwYSpKDWy6Ald9dHBfU5iZgN AQdHvSJm4M0AF0o4HKwECpcToJBQNaxUE/VpKv6+UeuJTriDrcwzmEAHjJQHZRF7qoPP/9Xr5YyA UbmepDgwoUQXnt4TfXoVs/fiOuZZQlRIiqE466spDMs3aaeVNCoOxqpAlneOpERNnIXmirw8K2lN CE7zpZ2ytMcviXQVSPEaJDBzMJBOFfYat2bl81Fc4Jke/2VAYOqX5WuoGjil8Zq311fasmlqrOxr muhsZtMU8ioZlAMe6YOd4uOsy02DHcDhIUktPzNwdkACO4dT9g6UySvl0IlyaYIUcK5K9xlmEHsu bsGexubzQb93fstQ44QBJubOU6TV3Rk+tXYPp7arq5lDt1i7riY6XGvXxSgUVsXFv+Xg+MqzVWYb qmdOCKPxGCPRGYwU4CsvLm4qLAi8KAGMU7VXh3i+iuYn/yaw6ETkq/D5oA+VvrcdDTb3ZVfm0IyS QCh4+3jdANKgTvhq5nAK1Fc19GAO3ShFZW5ISW1wXtwGRKNCgQ5clpOzgNHbZbiMZXb+ynordipF hXaUk7TBc4oVHww+AP9OFgIaV30Uj+/8jY5DMIzi6J2nUM9kmTVO8mRYvnhMXEa7Gd5yOnMODCmz 1X57Z1DAwecJyKaKLK4Vsptwy2G2KKRPfB4gXGbaXau9cLSeCPne6kZt9305N0KTQmg7hwSf/4tA IOjqBosgXQfYwJMys/oPAmpyEaFGNz+yLNqY2XGE2Hg2USH6m0SdntiV6ulKLUEQCfR4sppQ5mQS BBIKItYE2A2wmDcX7v7GgU7+lq55rj4Y1mLPJtDNie75fJC3zH94BOds6etr58UAcJ7kq22fqLQN JtcWWaWcqISakU1UDEkhlkD4XQ6XjzLPioSdKDDy8N+j/Ask8372QhlXNYPvxCW0pizsb7ad72Bg gl6kBdPOqcXno8Tl2wQuwwl2o8NrExW6U1JvEiDwS5Lv3SeqJXOEQzze3cEztKeURZCUuTRcQEFC /YFHFGR0FvSCINuB6gJKoPOKd0tbil8S9V2kJdSWhmkIk1mhVoQb7yxaPv+XpKCU0bCP5+NzHnrl yFZ4lmaUy0rDSlEud6LoC+ua8NPijRf6Uw6JCbBloMEigwkj79R4Qo1zL1YY1wK+A/tY1JxgDuDL SS7eoT2lauRJVucpP8rUPQsIfs7myeeDquYjBUsiC9K79MTjsUHlINC552W1OquxnGXfB3oiOsmR //tyCuNxupx1kbw43OW0TppfCkG8u/WOcinAGNhyiYJP2H12QOWa7I2jUJPgC4VQoKf+SE0Yzkts SPz0kzPxA6KQ/F/zpUJg+p2pEKNSRHVKVgA+V3X1Yt1itYaC8GhZ+kyFmHDC5ntj94XDBak0Ns6T geakhNJToWcPuvTQBn4uvW1vHIALShNermoo2kIbXHxiVvo5PPh8ROW5QRRX4IS68HhmKorKpXbW V8+evCwjXWJDJm+eo95jUXlgVQkJCOZ4cxQfbKbo5FoILT7ZJEq8xLQUCKB1AOEzgx6rlM9lLxyh MKgFkzlf9CiQwCQ+bjEiORHc5AdEzPn9FW4iOuj54jBy6FbZWIQqhDEIYpjW9dAtDsTAEezFutCu Urp5Q+HmeMLNCU4VTJiH6HNnGSB+whbJwLvj5rs0AxZ5+CVRzUBUKVoNl2s1DEOeykABJUY+Hyyo K31KzRPqVK0bbYZ2lTwhgFfxadr7sT4ZxY0YYaXo0xSqDArMs+k2wMFLKxD2Rr0I6yknoMUkjrZ5 9I4fMeikwbSmvW8Ax2B/GLeDD0YzTKMpKO918/koKP/WYTihyk8Hz9KnKaqRM94DrcfBBix4GGYF gb7jw0ryaQpr5EKrECqrwXE9o5/zF+JgUKzsJz5HGf2cCifEg+3AuX0gCoT/3SKG8FuCeaIkXN9U GTqD2LkM9gdv2X6OdD7/v4QGOJkYFvs8RTE5sKZEPLtHHKEFSoZnZUUTJShcUJYMB56VEFIl8GnK BZUWHbacAJfkhKAEBRdaNkfp4QnBadOIn482XA49KxtZhhDi8UG5MBqAy9DPmc8Hh9N1ZcqS0Dub fe6v4+k9JkcXnYF4neVOVGm2oFapF70im9iuj/pNdBj8CB88y1uZfFWR6YCqR9jemWxPcGYzTPJQ XiQto9gLBwtqMchMScoMIJ5zA8HSiSDHk3Z3Ph9Vofb3TNH2OD9lqNC7cmbdcvuSDpsfUB3CJYbx xfFrOy82rxQUipGkBj/HqXfPDOEcUPscUVRLqdAZgDDqD1aVt9CJFc+heyV6ATRlnj4IC7Wh4uJZ JZ//3zYeTaxvrBn6V24m7WhD2jlOBSWtJ5W5Wa3LEkfhNEUx+ZIkU3oGZ9VBpDIP6Q6jx1DocYG2 BTQ2KJ7xRRfv9sIRY4xceuUuHGznneSKrUpUUPl8hGFNXwc5MIV97WeeQsh4kdM3MnXrTRW/77ZQ Y2RnIBlSLhy6WHb2EFplGMXBMRmoW1dYpMFW54TicGuDRQpt4wC5Ls9Ela7mVGhkifkGeoWBAQpc KpPUgu9I0mbsmR/wlxoa3s9qZ7dMHnpZQlsUPNNxjSxmv2SfsT2AmsOVB3NsZmkzRTmrVh8NS9Ab JqWtWa/L20RjGIslAKnygCMe2JW3gR7YWSKEIpUlKSZH2UqYFaB8KpnO6IzxA6K9988ZBRnL/shY 5tjQkrFmT+VWotZV/Nrbwk5wtaqJouTA0fLxj0/Lh4tfqRN5BusGKMAvun5RpRG1zB/SyR1jn5e9 cFTapN6nYs6MahATDmZ5RRqEZxPyAyKgzz8psTzW040PAmNLENdxTOWWh6XEuS6jtXYgUi0lhv6L z1QojaJcWIaEHOyYAnhobSl/rwWjberHUD0Aro3na9FKcO5P6vbG0fbDZPSh8u3Ijl9BV2HK/xPV zcDcEtXy+r2mQK5ln8xnKgrMh2GimgukwJ3L1tTY0wVS9tVGzYG/pft/QQ3FB19TkIwvYDeiyJIy zT/RRD9x/0a69GWRXvij8lt+6+YNST4McO8kDg3TjCpXgsQ1FaLJ0z9IHwCv+1jPkR7F5inJl3i6 LZHh7DFT50jYNlMz7ztTMX6Fuy/37YNzN7FkW5e25bmsUmWmRzRDpgEzDa9dc38ve+Ng+7GNfuZ0 +KDOAiQhRCg7Vyqfj6LO71wPdsajPm300ObSCvuaKOYz8nLc1G5CnPWfzNrB2L75wuCcSUzfpCb2 famJg1agZ9MR0AyfokzZ/UoKbSKsXVxgQaK6v3Ek4DgAXVnPoEIwKN9D5YC1+HwQdObxVTsgVWvu /sxUWDG3HgxF9hklNKLIM9lao8ugEOrtDkgMvS472VK5snDOQTMFHFTL0iWFbiMUis7vCf8jplDY dK3v26zqy974N65rKRJcKclFnKB2IPe9tCefD4KEC4nSoVYRT10npxz6XUrssmDjGJnDdZvRaiuO OejtYn0Cw0voQsjoCptPgzXTTxY3EUWxmQ6XwibtWRRJYNGLrM/y4jzoL5MDw0ukIZiglZn3rcQL n03ifjJvFjiJOQgcL+U38YU5QMS5nnsvdLyklW0BfNymaVwbHjRjikMzgN1QuhdaXkp/dzdVW9pD nRrnrwEt2PSu4M7Osh2qmp0egDjSLd0b2V/4N2WQXnXtAfcjdA6Ii0nqKZByzqHrZbpy14LD5h/e 0T5RUXyOcwxaoOkh4t28mP6ryotnS3eiovh8yIVHTXUO3luAQlGhDAhC2Z4TdWgyOAAdQjHtOl9T q0lfEiGiJCLLwjmiWfmnFNRy2Dg9t15Z/IC/LB2bneWdQgo2T6EuuE6oXp1B3Xu5GLs2HGPXAMuy ffeH1byYHfthdrCQif4UhWbgVSG1YmSR58c889T7FSucCqMC48tESTx4zPc7GNPsBAXMTGoGJCp0 vszLT3JSZ1CIQ/Zw5ymClmNWzhXUzKgQOWvtxlZI6n3Of3Tm8i/ml6bGewfL96hwBqozCXkn2MnU LOgogc6C+jKKK67Io2566H4pFPAcdC3gYKxFkBY5USex5/PRelrfB9RZXScYfg6okNpJffCkJicT v71MJQQCOjeIGq43kwMDzMt/EZTnoilRj4LEdU3Eji109Qg976h5qkdcWOB0FMu2F44IVbTjW0RL Z3DVqMlUT05DeV6QyHLjB7yf5OsfxTmiPNbXARXG5cRs1pa8R1zoOqi4fA5Xd56Ql1S0Gbhg3gwG 1ls2+ESd1L7SaAvkxXNbgSxyJipTcJaQqPmIzslTPQdGmNkKCFlmoXhfkwphb4dd4oz6QeiEWS6u nLRz7ChZBtlMhfqFhCN2knvlgQGNadN5IhMLW6/SBtKWVARkqRILv1pY94iC2D40HVgzP0urTd55 eUOyIauYcOdJNKHAC1MegGijU+SRg1XuAHTkObzBEgrMML9Rm9imLEcvINU1T5/XCCpbgfPsgW3o jPPu2cVCyzLVHtYwDJ3xGj9lR0XNNe7gTE+I5xQJqk4qPkuYn46WA4lM/eJSIX56jZ6y+/LMSrtw DnaKr9l0Lp9o6PMaO2VIzuoM7+rkVVShu/6D87tE0yNj3j2LURivixE6Clt0vQoNJdMJf42bMqAr 1FDN5Q43t8vg40sppJzMhC2HjqYDhLo7+i7DzR2WjqXX+UHXhRSz/AxaQnVWiYTXE85/XkOmjO57 tfmxWGsjrxs2Qa8RU87m7TSS+RTyH41lBlfmYsUnGH1p/bzGS1g/g268jAM03P4dWPiZVbo20Swk OriDf1+p9Ji+XAv0ru/rp1D8elFvQIOgrIsIgx/6FrfPa6iE2d0+Pzy2AHnUIcn5eY2UsIAaG8E0 szMHFRctOqs1uz3IoI8X5uc1Tsrg4DWBoYcPNj+FReSxrQ3cF3mdg9VwEKkoimtxN1/j8xolZfcG WYnB0gJDTZCJDtgkVRLR7v+8Bkn50b025Cv4LwhxND+vEVJ2L76lq0uN8uI8alB1vLXJDiSdZqMN JhfiVLsPFh/BmDevRndiaGB2s5lfxBGBb13xk9gOI43/8xoe4QQieLU2tjc5qHQCZ5Ni/d/2eQ2O 8LSRXrtqU2DDw1TcZug1NsK+XKybkFr0X7rgJ12fF0qAfFkeqsEMyRAEqYEP3oCCrc8GHw7tpoSy pYhkJCcWAleB77amZtLbvs8QsPn4MCQmGoyamMqyPbbK5zUqyo8FT/8R5gBHIJsF+MrXmAgHO3/I 4S1xZLdj3Cusu1bRHMkMVF8jIkyQnHeGhIrHFSo+e2tBC6lL86KhQwB4IYuUiyVdmIj7HmPO9hoO YY9lpSBZ3um4QYxcd87aJB+n2j+v0VCW9bomiIXvTK2VYhP0GgplNyrOAB9b0Ch2z6RRcekWNDZC lKlTHJ3RgqJMSfDNe0bPEwWeWx1MbABVYW1GN7AqcTkiO8F09eCa5PLXQCg7rHBl3vIcVHUjjENo pZ0/r2EQr5H6dQghGeioj2qCXkuT2GKNDJ/eL25AtB6SDbL9p/AnGNblfS1MZom+AJmq0Ho8QLlz G6ODyR7vRMesKKZmuS1DjKkSNSKgHFELn9eyJCaIxbbcyReD14F4hFg/+kbI139ei5K4xtINgwgU q/DYGB4HvZYksWyT8o7uCb+AjGKtSG5WchfJ8EyvBUnGQbRuUEjd69MNQJt470pVuZ1agq054mck bBn/9Q/qUxcgRwen13Ikfgbyo3OnlnOmkylrkKgBsX1JZOprMdJjPi0h1W2ndMk8VIxC6UGCZm/N r/qeTM+5QE/gMnuIDxbxMJokie9Wispz8GARKvBN3rujAkGKlkGnHgAY5hBN24/8bpMyQbSOKCs/ ujon3ay0EMxWir2x1fd5Z9Nhpxn4xOJFcAM6HIZtlqKIGpBsOMkUP63P4s8eMI5uqqlg0142XTRL rAedY+cOzldJ80wSPaVhUXXerAF50hAonh8CV1ljSaQq1R963+DApn7jWFLgXdnhu/nEpYRyn6uR jwdRtbWVlHXAvwk3i0/Se1QNnKVik7F8KbWr45SWlXGRu+aLiwsmabNYPXcbPjj56YSNqDuT6kvN b2rQwwFLDkooNXhQNATcDaaoU1KuA0dng53Ya1EkCzrxfDwIi/r+OrKRcmDN+xRFgTXY3ICcLIdS QNTCwqLJxcCwaK/kUxRF1l3CsrIE43BbuXMoND1fcxLxST/Vc5/A5QL696WQNW6XWtLrvm+2zskZ Q/pyw2BSJ71vS1pXuF4+7yw6hsl+asvvoeDuv8nre3DtxruNvtRaRpRSEtyEiGrBTfZ0FOp7dJ0l BjoLUJQ2WHfkbK1y/ndSx+nMj1RlBASDrcAPveGsOzJVfPz1PGpgRmKgdA/+sDPfvRNLcZYoamrB HKkL5rFRzkRXbg+O3hl0+NM6C0VtX754dhcHmCK7ZtqJ1i18fCfQ/R9j35UkS44k+R9nSWkBJ3v/ gy1U1QweOe2Wr1dGFjU15RGeCBAjSrJjBndu0wc7kCD0cM49pWgn/yiD1UkU/yF9f74fH26nNsud n3f+XBb/7Swf0Z62u7KhDthkY3ciuvJ5p89lQA59IZGcgqBjlnHvtjDGrvJJX64HahuPXqntwQOM ZNq77+y57HXsLUWw/aUIdlLaOUHEVZP7LBbm+RmCwkOyP/u6XMKbl1/yPkmNcKUx2OnmYCupN8vT Zt6fd+4cVlIvfiJRiAhRCciiPktRoE0XZagFOdWp6iBSAtWHFdMmdrxmKYq0haqcjUwnDhcdf4KD WSCsARDwyfZp4LzQIZ7orefZxiXUT3vd91kaKoQMUjFJ7RURZwKuwHMmdT7+HgC4j4OdSZlmbc3n 6D3WdgpBltIek5HuPpfQ2HIr0MFmDitqUbCN4ssJQmCsasPt2M4CeqGgzDMxNT5nEoqSDXJMYIB5 WbbY275P0WTH6CT6xQf1QzoY+ioDQWknCrZ3yl9XW6a4HSQ0bI6iaNs8CohPkGi6Fg/aIZlQFBXV IKCgOQrDbXmmgKVhg1fVOiR2CgWJaOecGGzC1QlVHirIPO21OfW60SRBmzrRpECD6mqpjeR+aevz zpfLDxlFST/MBFtiz4i1vCjaxp6kAI4XHgs1ZpTUrun+RODsWeExjLanQU23D7bXajq5GhSEJbBz cmXIXPS1z6EApMnPuSiKl65Z6/y8k+WwEop8ZSTOUarVRaCmaZ5yZ2t/3rlyWQrBz0Iieh++KzZH UazNtiWKj+69U1b1+7/zVDQ7EJcDfWfKWYiHHSSm6kpfiBs0f7fSthNK72IUVZwmmTJp5N7agcT6 4ztVLrs7Yc1NA2rqlpK0QtIo3C7m550qlyVz+JxIJLqOeldSVMIWoRBWERfBVd2esO9+dVAAANJK eg+2RctCCEkpSw6e3G6eGMSQoKsNbjJnSf1hlEohmORkHS2l93A7sc5KM1Cqf1WaQOjchkEk24kn mPy8s+Tyw3jWfuMRkPet84fxNtmpUHx1JHxyt/Se6DFo/sTVOiHvHLksTCJyEek58ybXftudVQ0J y0NmntdfBwgDkQqgEYCkunAFZVDeKXL4ls1bbXKWlu4zdDUayefccLN+3hlyOV8tOW04RFZjbE9K 3vlxyInJJGwtO2oZva8fl9S5jmAb1ERtuLCcTXA7zOR9sElKDQux0TH1hNs1KSlZMD85AUCGJMJV l5WR0zs7jiuBxchOdhwHlbMbkbH4vnOUft65cbiA13csiVcarJFqjsJ6NhO32W89G8KdNkfVJWPO lkcdVXMUFbQ7HfX6prouB9tu69yMEwr5OJRObJQaCwCZN01ecCMA2uae3EvvG0RJdLviFrFB2LYG /zWjCqzPOzOOq/1XmQSQGepC2Cy9B9w4lLbiR2dUJJk3kHii662p4GYlyXdiXDZg26Agqg034D7z 0VAwhSbhuT2RxcEFHtFHAtqmyKjIWmtL7/t+KC2S5vGJPnCW2vlcKSOf5bE/78Q4/rk34mZh+8xy B6TVZikMuIsOpQtTZp/RcjfVcJm71WTB5DsvzmJhcACQu2mwYBIG4AndFZbDEMez0ZQ7pQDZm7ko ZXbd+brRJPFiSwy4K5DDFCQ8FyeERLg8zlXxeafFsbz8HQUgJ2/kqGuSwur2Emm3eotNwH4TRHOd rwp9Grvf3iPuRN+WBQRe9sGiyRPnAtpOnyJIWbVCtUKe3IPkzZnv9TaIT34nxeVscs5zrOSDDu5U ceCp9tY/75w4Pv5rjiBvn56DO4q4K+Wc5zNHrRc/lFp2YjOYOR4pvUfct1KyCCfl4FnJhBWUKiW1 Y7ORnz6RVDT8M+3ATX6XspbvbDiuI1baVi4+qJhUEA4QyLfX+LyT4YiE8B4J9XagSDuKB5PvVDjm 1ASwVafCwQHPu0hrXUZO7x5wvzPhPOCeVJ6ywaYIdiCF1riARuZzI23ZGEE1CvZVaEy6vBdysc87 EY6Nss7UXzXuMVxm6PzCQ7ay59z/vPPg7Pr9WkV0J7w1yXcanHfAjVhurIm1vEqyl++0jFxZUxTX tpG3Qd/aB5WaM9yKzlqi2QWEd2s3cFLmn1R/kDRSLA7d/qK3/WuKCusrGqxIcqL2YQpDfDzYaOm7 bAvqcSvpTlEUbDeqUozOy4yBQN7XoaDcyvYcfvu/E+AccATd0+WDraKMM2hV8eLPZYnFglVEgh5U fkES8QO7Db1tkLURtbZUBeCgzBbyxNTHQoz1eee+/dd5DRhz3duv/nfqG04QCemV7SILRR7pQGuf 5HMbWnvSpA8g5Hfmm/eRoBPQffCNdt7j7K1NTE0jZBThJsxGoOgFHBiDSLOUT3rdv9KR1Uz4DNRC ntIQ1RNj9Nyn5fNOe8PvuPdX0gbVzwb5EJukKNLeVaTA5hYFI1s9+1zR1NbiJFGJSesoKm2j+XoC KrQ2bdBWA+kNrHiW/1G2TUiczlJDN6mwGnzBfZ305XfCG6cIczOpSK3BDqNeDbh2PuDzTndjnO2F NhYjUSSQWAdn6D3OxiVBVZPHxKG49zfw+d6OlIqBZiiqa9vfzeRfgy2jXiHjT5dPOFLWblRLlPA7 WYZpP8JwzEXemW5Y9dTcz009WxcmOPEvKmw8sE8c8XknurHSdpu20tRZWEZ3r0Vhdhd2ZDfnd4/t Lte98mZiVguQlQLId5pbdvKWEnsNjo1AS0k1dtQQQCKh5BJ7dydqOn9PQQHRuJNE+L3T3LJk7IDN kvVucuvdtc5RwzO7rsmXjHq2XiDhjQIi5ER5xmYpCrOrlGKJsucsGQOXtTZCygUgQbFFsxTWtRcx tEXs7qJgn/IT0OM7kWFSZ/t8/mCdFgjqhZAbir7XeoeY43eC28WxgdvGATm24kYYBjHjOtc7XzIK Ib/LSHAWYsvPJikKs1u1Ypvl/nmn7HZgO7mWSelgQOryDwvbiVyIocRt3BByrQwOPEXX6E6c4NtJ SYVCelsh6PIGkYhzPu/sNh5K0oErHJD/CEt9gq1Gp4Af+Nl/3tltvxJbtuZgwN5v+f+d28YQierM dd5i28hetk3b8jj4gQ6TzXuntuH9eatPEZI4ePYPB6gMPAMJ8JCPEZKEPSRoX9fG7F9lJFLb3plt +FUljLPLHfxYAsiAxcmdP+/ENr5k/Tq6+zlFIKbtmMgw1Cb2pGXW/zdbuEjvZZwCT1HnKc8imf13 VtvtI22cjjZ4b3tuOAhLMW+cWCCptw0RrA2VCUor2Uoa9CN4Z7UR48B0pNLogvqXEoJr4HEQ0pbr /LyT2rJ8OOzo5jlNo4X5QEneaW0st5Kk3HiB0e0qsZENKsf5dZJ4kidGQAULx9I7qy1L5YksreKD TxP0J5MyEgh+p0ZvOWLKNvx3Mn5OKyRRJebzTmrLyXtJe9pg3rI5w00LSwl5+eed0sYo4C4liYIP 4AB8w71T2rCWpiwbutROseHmRbBTwfessLPhEmXgOj/p/Vhq1Fruk11uDr7hgM7ukg4653hPg+YW kJNn4QoSS6jbeuJm7xvhbdhq21JT2F633TuDAqZyW/+8E9oYct/FRMAN+v+jXxTye8wNDKlcrrMu f9Ig0o/Jnsr8ikStRHN5LKU45mY+JlDJ6tWLkussbhRrBfQ/cRNIpfK1qOwMAiPZXcwzU8Po805o I1CWZle1JR80S2s31uJ/cK5/3vlsWeKyX8fSiZHhouiTFFa32XLr+baTuqSCWG1bydVLavP29juZ LZv5x6S+pQ03McFHbTJqoD9Uk2zB6J6DOk4GEsTyW1VJ3rlsF+5fVCzhoKj7RBPbaMatfd6pbGwg fCNJgRsEgsznKKpuE6hTzoXsASXUICygJKbF2iRzGVDincjG+5VMiFKnD15I6mAebdnLz3MpbQYK qOGi34XCwfCgWziJdxobp4jdEcl1cdAU4T5lllL7Hp93EhuTsO/UDc0HNAx8ikIsCcmjVSgbs3RM t9+2vSs50KXXFMUxt+BI6NxqsCkChHRJTbTQDEr2s+P8SyhFberQeMStVRQVtgfxNrNypjio1paz pO7Pn9zq552+9qu5jcdwDPad7nEUBdwSKilenAV3Ozvmf8gfhVOE30+siBhIgvVwJqH74FUSAtmo ZVgwW11RJyAy2BjFaFk6jAgkfaeuMffC3Gz1tvftbTd6ZmQVkubnnblmkPivIxt9jo5ug81RFG/3 JND29DJJJYRVfgMKvSn2lldTmaSFqO3CShLE/WywI/vEEGfJFIHbNj1UySpFKbJDuhyRgnldAovP 7wiWEWmQxQzmll9raAXKsRB4ZzwexJH9VyUJP81adxmFwTaz2w2ogpbRbP2K6HeTjD/Z7XJ5hBZV tROPFZq7L/d4F0sbVpR1is1+HhxuPHfuzFYpug4EsTW2tdVCHMnmMQTSmw3aaq2ZuybAW3g86P7n +b3VoMmFCMTYNVGszVYsuv+O/ac1l+aobl5qlJDIXibp77G2HJKh7FruYIWkyXmqYrIvNMeoATfL WU0dTlHAlVioLZuBHlIg6VYx9xw+qCAJIxJ+YTvhCh4P6CM7fedsuNPqvgSkKM4eZGf3Nb1mW2v2 nZYoJa+CZHaVzh7BSKB6sOAyVHywKTqRBOSlqHR+srMB9BMyFqiMV1y7OZHtr/SfKhs9qmtDIOCc jJtsUQ7aan0D6cztc9KRHsXZqcyv2GggCwKQ3uYoDLNLU9G2+aW2p5f+R97OsRnoDfBS61Fduy+z lc0+ODwiA+QET4fG9vnaCiCnrC4B86ORmVVtCSLpUZjNqm3G0xxQNWD59eztOXUOn3QGzwc0kuk1 SdKy8VMOtCJsmmI6ZPvNys7NNc57y1tAQPjHsd7W+Ul/stm2rKz2NT+BZv6oyGiJIhlVGIqeEd2c RAXugatdaNtmatujOPvMBzbakizC6mYSMyFAzmP3BHUdj0ec0V9UEiTKHaQxm6WIFDnY/M/N6LMo vTUzuQQH1tG2tU6TSuoxjCRRVoMqgftRCTwR9kb80sgkOQFSTUxsd0VTknJDML10RSnebT0qb6ut vTLrbYvGZxJDSCmLbwNDGjwfXG6Xs1W08M7H7XRnKQy1pyQCTeEOma3jts6p2pJvucSCW+cnRek/ Q20UV23wAAAIw3NuoAXQT1SUldZlKpVR87PmC0iaVHvt77H2+RIZVdSuKslZWF1KCCcvpi3Zz4nP Np6PdpyvJUI40E06B+O938IK9yImuUDZ5j+Ev0BiWXL55GpkFdwQU7CW1MNo25QihLrpF287oIeL 7ja1pE4wmKhoA14Yvg/bD7f5I8059b7RjqPgZJkCkjCC0N5phIhjnlot+IB/0klYXYZNXx73ZHoP ueFIywOcyjY8mSqyTDgMgAM4cGo32lScv61yNUUh92YLgHqrNjgKsKMuCa13YLchA0/ATcettEkA BxjP3TwozNmjGnelo3Pb5lVhPXRgJs9ZrOQ2TzweFADahbexEdChobq9MNkj8DYYkgS1SSoR9b1a zf8zJ2qZkyiJMFOLKYKSMHUbCy1IDvyJWeNe6UQaQ6SbDYYkD6aT9iRzSqWPuh3fgPJVfEukGEEQ SWJ+y0FlkgFVWlZvTzyGxyOkpF9yrIng6880PXsujLu1lmgo8R/LUrrV3Mak9shmYZKelpimqMht qhr/tZYmCXIz0btqVei5EZsMzSzccthqFKZTZXKQUNojPEmnZmlv5Q5G4IJPhLCSZeLxaDF5clI5 S+A2w6LLaNtR5A03XCT/+YZM7DSrDkAlNZ3fAFkzrBxRlXsKUNJZMeHgpZIN8r+kldHABR6N7KRW 1KDcAMnM9Ih1jyjyzpQKHkVa3cXFNdDqK8zmzvGW8XgQeY9vQEmjQH71LveIIu8u4TbKfkjEpm13 rRJyliI2aRcDlIywwj1FahfIfTwg9w3ATi2SZ+lQ/AEzpy8Q51emVsFKV48MpWd8yV/k9ir16Ypy Hc9rElVGldsCJikucft+IyS+USHmBpUjBHAndm5FKUEXIaVeHJlUyhUAKM5vH1GJm3KRswoMWAfd kQfzk3P8JGAAWFA6a7awErCpQQZ6CWSK3EQAuBp8R4AnZQu3T5VuZzUNQCijNm62c7V2PB5xk3wh yYsIUImHCjiiwFuGFOd3vjoku2evKK3ieNKFE1ObLQq8hbtBTQ0DUxvMUYLUMII/0m76BECGHC+6 xYFp+gN1Bw8CoA+B7/gTMAERaxuMLdmrPFUa5P9GWN5ud7MxxsZt0uvlb40o7u4scBcqDOpEojqc QZOrQZPRTLEW7ojibgslgZC24UaU5wzam+4m/cwY/iIUb0m7pSMmdqqx3CbWA74kOpLIu4GCFQbg sXgjnRwnY+8gwysZz0eNN68GMEbvkLIc90wKw27utjwvyQ3ut3YmVeSTapX0lK2DO6Kwe5kJhUKB 5tUAVLcTcCtJtkLUGqd+KaChHfAZOGjbStpUAhhRhXsSKZGVomQ5whFCihKxigFj4vHoRHK9DRbp mOY+qlEjRG9vdrlLmTZHnZwOEbjmdJJ7H27lNSL0NgT5qUe6fPBOyVmbuarEDcm2lsmnIL/xxGfy t73Y7aq3/QsvsVV325DnkkcOVE9m8yR3hAH3/AUEROGvDY+RRlTipuIvSFvp5ri3C9Da8hy3XdOl EcXblr01oZIby8+ZoeRJb09kTIP0DoKbbIobvHUZAoDN71OEuB/fEUVIW1utaKtNg5R0ONIn6h+U xHeMGm7f2kgId9t6IqQw3J4Kt4tz3E7K7mCJvtMFSxAfw60WhtsitwPLYoOjk87TmahhhJA0FVK4 DaAOuyTwvPe9xjByhNE2kYCopRJQigpREn/rXG2TQc7qc+EDgkJA8ftfZcEpJQKbpTDaLrLEMUgS ISXdDiS6iBmjFPaSWklhlZuQEh7DNljmhmr5ydAya0rQfyZgoDeUxXE+/RSCt9UJYC0KXxK1bnki ZUrc5oxgivk8PP4q0Ulj54Lno2pJ+w6SgKafkJHRLM2wzk0hMlgeMPmnZ2xzUWlZfItplw0HMEOl P2T35+YnHDBnhwPCW+JcBaywgYh2Lt1CtBe9QgG/BT/4dt2KvW2U3rKGO2f1Qcd2SdPM48+s4/Fg jm56O7joGpwUvHc7o2gbEQI5ksWcBZVNyVkQ6JaM4iQkpYAnSfykgASwZW7W7mA3G8R/Uknk3ECt HA5w2BSQpD1RXBWd5UHeLr3vX3TJIfFtDgaV2ENK3wCL4/H/5UwaEPEAGtEmKQq2E+tJazSPkYAZ 8jOp+rkNAVE7k2bIlqzqgrAEwMFz27MPUpJJ5blJSY+BAg+NLoDL/aGKvGtGUi9hxoVuqkpg+dmg QsnZf8PwJKvi8Uh4w3ebYvPzv856e9wzCrfpilvwS3sDV7JA5NxsJ7mdZMtNT+efdW4Y3xQfbCk1 cv7oOw5memXeAahEQ1+SB/qtci+C3GaIJmmit4PHrUFzBLIko7J2tjIe/xefhJUDYF9hDuNzFEXb 8CNBnyf5SoIv4r3dnpUkJNc5t2cUbUtOYy22HjnYSpopwRBRKDcIDVDbrS/ofC9if6FB63JJBHHP kCzJSJKO5DYICdjr5A6gjg4eD7qTrXwF21BwoTyKzVEMJ1nEtbk8K0gSN5DsznKHF48hb2cEJ5G1 yxbSjYPN0bnPJoDbFAI6GS0Xy0LYBIVUCpqlGyXRl3JGwXalZzXKdz6IKjnPXKs7eRI7PB4FkvPr QELaeP7wK24XwUk64SRl9wsnqb3eA+mq2606zNRlRgXuJdytziUOXiMBEqNtNkuA1+qNFxsKb3Cy Wz+IxS67rehtozObsnab4m1rEzfCVdQRdHFlDL7iv7J/kW6gQdrvFMW6JIST0EPFEDf7MtzVZaJW AlJInUahAiAZ7i/Q5ATNTblb0PcPkC1OEWuKJ8Lp3+ZcW28bgJKo/AcLKx8McgvKCyUk1+bj/4KT sFCQaNBwpyhCk8yimn92OalCsUHVR6or2uPOTjZFUagtHa0ttXoOfq2ds2anTWOujnYSdBAXeG3n VWkS2J8Tm7UnfEkAS5YRXqXQ3Tkis1xrUJhKApcAZ4DnI1jSNwAQWlbtAQLMmCXJrZbLlf1n6ZO3 2uXfnBNbVt7YaqEuySYsSRg3SYpaWjuhAgglJdxqUHCndQlY+z+sulJHxydpFb3uX2AJ+p/ZIFwS 0h4z8t58xyiI9IVEqpb0Fh4tySjQppFQOReLJ209LwuQzhSn5okt/Ee5klYEKBmqMRaq13BwHgCI /7CwJaXkHB6DEiaoLP1QUxElfJPckATACsvalEjam9AbDpqj8/lb8natVjwe8CQvDEDSUSDJpyu5 scK6tg6kuZ4g0uWk6t7Vz+w+uwWRK0SUTPWRcvXBI+0THSN6pIX3BsyKypOJtkek0dRLTWILGd/x FyQZwq9sj6AGpEN7Q2eQh/ZJAvF8dCJ9I0pAEOkr+dW/QmVtBtqFwvzWHnnuNfKKdSKlbFXtFSNK aG0zhW+bbIs2igCNXCC6LisSmMWRtt0HlW7BiyuPmCRYXviOoKq9pVtPlQQOAibBHpWgw7OZ+fi/ 5DYYMSA/AtjCpihkSlLYtkOPx6Ij9uBlryGRhEn6f7Uy2wpR2z2ytQEDoICi0CiSvBZXWkXfv9PE pT+6toS3rbioXclolxcJ5KmkkdGpNEgwyYBwaxRmP+eR3D8QF0Ki/e62UGN78UIrVqaF/m/dBm4/ x5CBbpBBWoFkxVxJkW14/c+H3D4XUBJ1K6/dCdptpJOMoVKKjBsu5mbqdYNaG6v+5+NJ32JhRVUk qDDyjzzRasbzUVn7WyMZ6cUsF066okibTnl5e5p2/lHqm1hLteXrrDG8irRiWRLiJAoxNxwcJ4Hm 2hxERiZYmVbqbOdEWZJOIZ/uVi1geet9A9ANZ6lRdEuD5bVrqmcL8jMej5BJv/Rtzlx3OFTYJIXQ bWq31Na9aDup8C1g0q7DSm2AP9okhbG25OxTzj7YSipo+GaoHJ7k/5x5UxUSKtlvoGbMwW1oJWW9 7l9zlCXXnlszYhKEnuUz2VNqeDwS3UrfQRIWUr35yIqC7SK5DfqW0DLinKtVu+18HenIlAFGk5GF thVitwd1tldOPtgcYcmczVaKkICQAmss2iKqS6i8ZNBzHEmCFYhv+StKaouoyQb9FDNowf/ICrFU PB6c3JcmIdpJ/Zn9CZJCsqRwgLV5RkJanNu7Xs32BuCCLre4sk2xrZSrD85KAiwiDfr9oBRZaQ2A vdx+aDNfBueoc45wruBLIvQ2j+6yug/abOBhsMMBHRY8Hqm2X3Ub1S7Rt7tomxWF25SuLaDFehFJ nTbKt+XpchLo3psseay6zbp/oXnUKOVJ21ZbCEpxXJx0bhCLBKnk8/tsFLvOn9hvmDSGXjcIk1iO 7KLdcuAkJTD0htKMWfH4+yStyydVhxevDOltTdKOwm3o16OYlxzjjqzIJmkXkrlJu60ulbxDriTJ 66sKIlEfiARMfs6vQPNbSP6kTNXtDSVH+AefY+G22mTQvaNwG405EJPJJuGgCgnMZNhxrSMtPB4R bm6FRBRLVJG6BwA71tyWLNnwEkkiGkNiUmW4dhv7zZqj2MaG9znBRhpsjgY+nRbv5N6crQHh6c5y 1UacViAw6VcbDQB2COCWvYYkkzkYHAmOGwKGnNx2v4fbklr+qpGgyIZFbHMUArgbpd4p8C0QiSzM Rd/OF0RCW2jOURxucx1NdpHWvF0kKJGfEHJWpW2g1TKOyjiDmD7TIvQa3g69blRqI0kiizKRPW+D a2xfWlN94/F/0iQRSaJdW694yw5ZkovX/2iuknTOVcdH5OUHUlnQcdEcxSASlmo3w24OvteQrEHX jD2kmYgbgkYmzveMNtX8EkvgzbajgHvLxQ7NLRsMIwkel/xbsY4iFAlUsr5gbZun/D2PQux2Ya5W HgvJZf51sGuhZCINbYYDbXaI3SZka5Pjr8EO7Q2b4y2Bm5OCnISfCIqK+mmf9Ge7Re2FUO2zY2US xtpKbQfkXyQjNijAYCSRjecDfMT+7iHRWandyv+OYu3Gyn9Z40qT4KrxOSrutwGjDJujEELSSd2C F7YNF9+ez2KifXqBa0IhIgcoLqLRgZ3OF7i9ht42CJDYHcnTvP5ckAwkBk1RrTizQ0+bXzpJWL3z a4oiBEliu5ZeAUbdSj5FnQ5Yuvtnr+aLtEP9v6WcVsuoPctozQn4zORWQ7i0bRmBOYWVvhEfWU+7 29tGUlKUJenpDgJHZnAHrXjGx6OMza9+VgoygUjXkiRWJSFzSza/VUAkZ26d9L/6Tit3FcUIEhVI 6h1sFcEk4Vz9WQI3E0kbdXAhfdrw3+12tTbpUozvCELIbOzI7YNWEXtJxI8ObLRQbPtqkkhZGwBW Frc0R1GcPbbYo/VStx7n30mAg6hbECUhSXLHcTYbkKVPH7wPidMjbYK1zmkNLQAyAIHzp2f5fLD/ rC/iO6LTiCl/ldeGF/NOHjuacW1rHXg8ymm/L36YF87Ha2NHUbZ07Wq7imSNSGDpJCkckLVN9QM7 go8AiALvuj588DYk+vuzULflfCagbsJqQcYNWEAoSbjVNuu1O9YjUVCUpw8Kss86kmgL/IXw+L90 7YbcgQf8Nx9rmyjKphsLugvbktqOfo6A/6ms4Ukt2uWyJE9hmM07v6dxBy+PJNDVUf09sUiDYgUz /0SjNvLeztEENp7M29e2Nw6MyCQgIRlgeDBYyJxQz2Pc3Vue/IDIi8yTNvblBn6yddXb6CAanPcs zdGp1Vr/uCSpSpIXMTcIJCHl71MVRtv00kCx0Yeb2+6TL8CmoJUFrCRF8DuW2g9guCijuwtAptEH vyVCSGR1tIcPXFIdcK+hhv7MfD5ISm69jeotICnM+ThrptDkRgrupS0rJlHlxipuo4nVZVmJ3BJS iNturJQUodzKY+ECiM35qJpJBtznyqOrFJcFiNf4uPxlP5rtjSPoFrlbZWo4210Uvg3hHAICxoRJ a4rC7pG/q264iwcrXTZTUZ0bLBeQt7Pnb+cfXX/r3EnWv63EPtpMxTaS9EdsKk62pzgJRaCpQinE Vk6oCu3NngkcB3DpBwiTp4Vb7I0jvCTbAQQVaVDoTZVZ0t2BBMDzEd/9ThRCAirngaHoMxVF3yyl FvoHqNSNAtlVuzETBROXts0X1brNwH3v6oNDJqFGko2hBDsO8AoWyAGbFCFk1c1ZgSWTYIqvCRon UikRU4mDDvQE/Dz/ccNhIoW4kl/NJQjX/pxz81qVpLDeTfZNI7aeGlO5JscDttq64QGJJy5yKwsL 3kpUkijbqT8K043mEpmFkw5TAHK5JkgsAM6eZA6TZGXKtO2F/4IEgvXsgyaqzbnUoK1Dz0f+tn71 MdqifHN99l5Y8sYplU9KOr15kvv0cq6Tl0FXTMNXVBSJKwVZOd/hAt7OKQQsJeZp5bZhQQdpIBqW 8c4YpCzr5svN3jjSmaIcV9/FB5VPNgwU5SRd9Py/iKZaUWfez8/U70yFoiVZAsG1+UxJ12UzHq/L 20yYejulwsr3VlF3Vx9sphpM/Wal0eQGqQidudXP/KGF0hAA1u3Jb4HDu74m4pqSRNmSIIJJBjjg aJJOT/noxucjoUCvoihnwP8P95w7VSHaxJCm2YEUdSRn4/SVvGi5oJ1hVoGReEkhrmvVUXywuPyc sCfupyMPGN5QqWcDHJ7kA4pu6+Yu0IKx941kJ4l9qDzOOQiSsyHZqGZTG3w+2HvVsxe28tjJTLk/ p1SI7WaMMCUyIYm30b1y2bubBcB+1CcqrIHzzx/m9vYIc1HVDYBJ2gWicQxHMIIEz18rnVl41xkD rhZ74cjnJQsksHwwlOAJ99jjhd4hn/8XJ0fxhDR17uYLzScpEHMyYPcLyIQVmfPEtOI4EBXTJypw nwRBLUtxavlg2XBCCkxrd2bDUNUfqjvB+pHNgu3Y5ZKav3Ck0iHZ4GdQyaDD02xbTMLno2Tvl6/C lCVeuhMVhee8L8FRvPU5F1QAFo4yC6w90SVFExVhTxC6UVc5+2ATdZZUhhpKli7uHDzPx2SJFaZc xDCZHB5tTHLsP0m8aVf5gIOh4aqVBE8yWfl8EJ3/qvRCMYJrwKcpdqCc4i27LE6R8jTVlTpnjIUD Bly89kILytUk1J2WD15d6YhAJfbWoQCDzg1VqCFtCLjteuTeS7P3jTA6dMOd24p0xqrsA8qyiUd5 HXw+ahrcS48PEjGYr5tZYEMp7QsKT3ops1O3iHW6UtwYFy7L25dTGJovkk/hK2aD8SoGoDknHsRy qgvVdTlUK/9DQpMv+ZSaxfyS6MoT0KsUH4TTOeEXS8W4OiufDwLzdM8nguehzF+vvmIOrShRmoVo SvJ5at2MBChF5fNEeQWbp5BbmVlfWRQR4nAR8ROaJktelCDBc9uhEowqx/kBEIpaBiOhpRy6US4K UOxFkfwzNC2oc5j2IszXyWT4fNDzvZUWkQzw68zneHoPy5HyU/q1k/HN9UQhCO47KIVo38FVpfu+ i8NyGuPRpFyDn+O1o+vEchR0KNtmq+oc3FR/B5a0P7LdCg1CP0r5466hCvCw/AXQ69a2SCir8PlI Rvg7JUYnikASn6eoPs5a31m22eA650Qf1Ulf1Bmj1eJejiDMoSPloh/VGBIU8r+AwWZqYIk0eptN UlHotgyAeF50LKy3bqd6VGhJiYwW5DiimjgoIz5HVUkSN+Oqj6Ly/Tjk8FQgFvEWWQJLyvMYyG7n dM2evjSS+JS+9OJpHm52n6fIIsc8KeW3PB+/5XPTgUKY2NcEx5JlSZCacDSRiw20jTt312ovHKwn +gjMKsRFtdAf6ePYsu4838TnI82O/R0XoPY2U34OqDgkXyKh+oLqybjM8IY1snOtNKeziYq1TSg2 uWUDt69Y3lxwD0qV6EpkJ/BQISQFFOnEWDznfRPi3uyNA8X8RYC8bJeH2y6f2cldXDCYMvD5f8mc 28n209fXzgtB4GwC43j1sl3dBrpEpFm8bNfTnagYlkJZk5rv4ACnszKFE4RSzoDneWdCjK4UTnwr HHwnL6E9ZWF9s2/lw/vmwyff2wYDn43PB8lL87YCpiTDeHI/qhQ5dKjsdMzLez4qXtN5qU2VgyFB eOM35dCicokin+idy8GPKGBrTMVzNvwh1IRbdGpCOjyvijcAcPa+Qd1ADmfdbJiHZcMwrMikhPVz p/H5SFzQQ6iu5BAXwk3xQpPKQf5OYz5iOp7ofZJ1OdE9JOsSuYbXy0OXysHO09JBxcFRvKN2+HZ2 uebuylrVCdWQBkPTGaI8vpxk5B2aVGYKdzC6t4EL4Nw0nZ1+nM2Tz0ck59+acMjw0tXyzLFNJS2q zhb1gl2h5S1P8kF1pP+Iap3cFDbwqRQuctEjxwcvRJ207ZwBlPCYMMyFkMFCf2+gtAnCKJaiHVC5 JnvjKMWj7FJnCDXRFWZ/Hkt2kPX3c36BzQ/4V1Auh2FsgnZPqMCqEnxETk+hCMwmOmx4IWrTpoG6 8GOm4TMV48Lpv1hS8uFSwlBdskLUmbBMHY+TtZAiAyAm0J7PpbftjQOIQTZtOAnpU1qMUgqDvGNs vazX/FcDXWxNqAw/wWZgV2n6Vid5KZ7kncTCcuE6e0uWCw/q8jAoD/wqpZrJpmfzwWvAG0JwlScU Vxg4BSfYRFxALkVGBOnF8rnshSM9LwnC8ObD4fcjpUm0nRMLkxDn5QdE5PkbRXWLDjoVj22mYsSK OGF5OcpQkHAV7KYX7KY49ZypGLLCQ2rIt2s8vaq96SiZJcl4MlnKow9UEvBznltnXaoB+9L8kiiK 6iSGqU1crU1cQD6X+APicz4fLKgyvtO8Sb2q/RzmUVgOfjVhK1avAwTXC5u7JzNBOSFzKz5Podyg xOEH1T04WPpyjtx9PnWzm34Wz0StApkHoL4Qw6mPSSy0xvW+ESqD2QvVdzRYdQWl/a552nz+n7ZD nN+z8eYVY8qhb6UEPo3bo+pKvyCxXC90hSGEpulvge+Utf9yubgM0M7OVPGEgrVaXlpOYBpO2JDm RiB948azeQrRKwalYzf9DIncChQ7oCr+Qxqs3jJCQHusSTk4AF97vqDMHHpXdpWhJFAlIwvbbOzn eRlqAYmqdDgwr8Sck+IzavPB19MJ0UA+Z7UOdFhxykBj/DmLcPxAls5APhKJy6F5pQiZU3Yx89rF QD00Ewh1lmvm89H5dDmHiDXBvp/7MYwP/CvPOqS3TZP+AudpNTf7WmVcEAvoajZPf1rGQwd++3Bj TXRWBzXQIO+V0GaE5hca/ijLgz3DCiOZGcVeOFhQhNLXSTm9Cl45FxQgxJWtvX7SSD4flH+XT5T6 NDCyevoJoYnl5DluqjAyjO3OO+Dvbnz64mCfHLtYilVX2/DBMfUdFJae2RXJDQrfW6w6omxRMbhV KMHFc2hjmeUXL82Y6ci08wfkJAq/quSBjyVi8u/qL7zNJgBgPk1RTL7VRq9+jCMSn1bVTGlZYFDl 8q5pimJyY4xPMhA4+Pm0YKyzuJwG6O9CQxd8dyfyM39Txv2FA7wBkWM78TjfTicq8A8howvFt83n I5DmN68eLJ++9jNPIWicAvuNiGsRoiVCKP9hk92vPJ8sFw7tLDtVYwlysMGAGSDB7oV0CnCDcxZh Ws6qxXKC5g4g7neicNLbGwdJHlueXTKWqFCLkoL7YciJFfV3fsD/YkYofdJ2D/LY1BJJ2/k/JF9R Na3pG09uYOx4ImmzFRU77OC6p4+aDU4dmyD6ooKDmByPDs7URCk2QZouD1jjgWF52+iBryWEbggg W06Pmj+qj8MHqhDbd8KczA+I9t73EQVBPvK0faZCZ0tq7PayL6mVXuyStVbEgPpKS8k4djmwtnQv olnKHW7FTiYUrK+g70UAVWcki1LmDxwYL8o+L3vhPyubChEQuf7wi1DLF07oB9hEfsA/ifaINdnJ +TqkQgQLS8CZpmZCsKxltFZkrqohYKK6acnn0OFStoRTop4cvKUAcGPPorWgj4obGaYXW+aN52vR SHDyT/I3/ssEBKQGH1SxO3kjNUt+gJPg81Gt/LsGvBOdiW/yEppcipLQcnMmeRNmej4WYISPVQi7 6JSKICytka859h0uhAXC6bOpwgJdaII3F7oMOykrmA+3xV/4r2behJDPECWH2RqOvr2ngKtcUSGg /IblrG2A6t9neU6psFpOFZDRrh3oicFNlwDTl36MBNz3Pc9jCAtxY0kr6+m+gEJaKEbImTp/I9BQ OM+RjMOYD1aX6Urv72VvHOlbEhWVhExM5hJ1buY+2GADSbHz+WDv3cBcOH3Er+1BsIRul3QQQ4ml eDWqO8u19W0icwDalntKhYG59NIb19RsX2sKPe6i83yOjFI6xdwA7YXdJSLOcU0Kt8rlod+lyuUZ Clo2qG6X0jSOwklm+HwQceb0HZqjFgRS4J2pEF9OFiclObPFCNmBdnmK38qQE9LTOqbi0JyaOjBV tEEzhS46bPE2EmB0dTZQa6tNnOLImVB06/u2qvqyN46OKWk5MFSozuY4PyZooVxTaU8+H4QITwtG DRtEU1elKIe2l6bkNNcldKyVLIlpAgTTigcddsUIge8l8sZFvyvJzNRtdbucAOWDmjN76YAmSjrl HDKQDG0UC7KkOFODnF8SzRO1Uwp1Hc5QvJFyggZegcIcBMaX38meViLsya/tRQ5tL2kqUirL+ara 1eR2PJmlGU4T/Fss1wt9L1F1oJbz8sFy4nPWzRNKbXmoL5z0lE4FvPT8TNh/kBZQrjcUSQXGl9rg YJfZMK01hyypSYS3Q845h9aXqd0qC++BjdLhU40KvS8piQy3dl9Qxc2KERqO5lgfOolopsLwXPJp iARsuGnMqmC+irAIahA1VCow56Cy/2jjCTyWir1v0KliKy8PQg8yavrKPNBUZaf73Htl8QMi4etv rhkO805DdZunKDiH3DSRK04070T1KThvbVsaMxA22MYLg/NBdfBMN3UOtqLQgOm4+4iJWrsAWAwC yFlHHc6elFJXC0beRTlwv3SeEBALPlhW3PCBvPSAiQrtL/PV4uPL4UYABtSnKZQHl0QIC4P/kehc dcKCSt4yCR0uWJhjA8wl9yLK6419LecG4mcCgNlL3y1XFlkmQHnnQuSVV64mj3rpoQOmIvO1qcvL QXyqBOloZsUngefz/3IJUZkdEMl9pVRyaIIpZl7KzassKXmLGNICLu8015w+UbGYCpkdScyO9CR7 OOBIWmQv/fx+qFEj2StLLWI4JT4glm0vHLCq6M1T65D3DBJMCRYUcCqo2nQubX5AYKz+yKko4SkA r90OTOCFmcVVxwnl+o71Jnvn9t7urL6YkzHgDMwwsyTVhkAXGjzZO5nXoHIOBHQAQaBreAGEPhOd X0nRs71H4bkc+GHCU5CHk1xVmd+ZXMi5OLkgTraU+XxUCf6umFMWaM2nchdaYurWMwY1/7G06Zsv JQmHnmyv5+xrKgKyVFEZx76DnVGQapvI72X3NCjugPOFBH1wjPuFTE9xhQJTTLkBMiKvPuiMYuWD p/oGVShwxfy/uM0M9QlImNg8fV5jqIJ8QHSFrK4Lwx2HsVQC1wg6mEy+sKBeI6iSKMeBOvkoPtiF B6D8WMAvgKwAhgdxLkA3/Ay0Z2UcaoQqRFCv8VNBhYXHU6EhxnJzm4KYs5q16sLTLxNUTIzgBwVt du3AKZ+QuqEi2+c1eML89KZCuTkYwVwlGUFolSkncTh8NF9Gwfy4TZ/aeN9VqJNHTNz/mB+gKonr HLA4hFg3bKmQ2snhYelgep0gdF1YexpcQxx0fpOhxfP7RPSf16ipIPopNkGi9G8jvnN+XkOm81AX nrUmN+UZtVgGDCL1tOrTgNcq189rwIT1o0CAucrMT66yz6WGwH5wk8EVe1r+hzoKTu+VvowLOt/1 ff2Idb4XqyochGVFQZJGCfVEbZ/XWKkk+VhoNvifQkqVws6YntdIqVxnp2ROszTmvX1gOnCqDzzc auY1TiqoyRBkX5/BttfuG2bqcpwHi6ORL1soqot2CSBWFngDCYuveJ8eORZtlKExIOqURij4a6wv n4gsf16DJO4UXz5aKwCnVZuwz2uIVEzE52z7Oz9weLCIexMyqMYmfn45zgbLZ0iKX4a7Y92KHAq+ 5/ACwhP9qJPrwmbo7OHODhj6hXWgwKv9RbTX5zU+wgFEskabZJZxsODxXPRSSUSX/DU6wtMmxiNV MZjdjM5gm+6kwQQ1Vpjgs+MLqI3r7AzpdxcG3+6lGkzQFMipiPdT7vk8oeK4h8gHG8tpEuvUO1T4 0P5HmlhvQ5Mly9e4CD8nlKpKqiS8JteyLXB9E2en9lU+r0ERVlDt3xMEV6jd/AB6jYiK+/DN8hxA ow+/wHA8qFi54HInz4toghr5Bugm2uAozLJP1jGEs4CfJoHSQ4BylnRhX+dbjCnbazCELVaEemYF gH0Q4UtR/exMS/vJFj6vsRAPsPY1QXCQHpmKV5ig10AIJ7QYSd14UHQpcF3Q6jCCyqK+KRVHJ7RU 0wWr4uANzNRO5kbPxLJTSx1YnSVGcE4U9y31Ub0kvfw1DMKvwDueHik2GPiywi3gh32R/HkNgvCK /W4xtnShHdBX81P6tTiJm4+ukXUmr5BU3PdGNmhGQTjRELkYFE4LTmkZgszGG3y6eQBzDUDFJSwP 3kGmIninNWHOCRkVQSMCyrHH/HktTGKKgIr4sgbXgVvBUZApAkAcn9eyJA7K5FNEBdEzp3MQ+kVN m2CCCA2EjqPbEyRvDkAHdmWfIGCqpHkRHtPMNvK4g68hYA0AikRFMgOrAd5dB+2bVW7I7yH2NXwc TZxe65H4FQhMLdIFLVJCoeYFhA+5LABMfa1Glnyt04eKRxmacqT4WaAYRdIjWbE2e1GklTtHM5mQ 04nz6J1C6mEUKjIz27Rr1uCIigYUxVKB+1wEG3hkJvvg/ULwueB282y/SZ0gWkaT0JNFeCoHXmYV ltHc2zjtPu98Omw1U3LWWQRHhXO3+kZ7Z9MV+cKDZJ9dxzF1by2dXKo+ynK3chTG08Q27y2n4v3t VJxOErPJ6tngEVPtChrFuM8gZFYbSyJVmb69b3RgM+UQl5yDLjRciUsl4srHgwN7r6+YCPq38FL0 SXqPqW9HVxRfrqTh4JwO4JGtpPMXXPBgMEm7cSUt7joOtt2QjiZEWyZTvBetZwq7HZP57rwx0RBs N5ii3iS/K/n96fL7a4Oex6+rm48HUdHw84gSQcC6nBtj+hxFgbVKRkYKY4om7cZBp0uPi4AYcURc FFl32jegc+uDH0mo/OdNmgoSZAreEisPYVcsmULquN1qSa8bZGaJrcmihm7x1GMk1IYFmwcONYyt n4uffQKSVwmHU8chWEgER0B03xcSmLMON2n2b+GYcifpPbxGsQhpGcF0NjjXcNPJebLwD7v6Siuj SR0dXBKAr3t/ZKr8GB1IRE4QiIwB1WyBK2uW2MP5wISiWjBJwq97/pohpEFuvE1SFGHLxLHv4Sup i8TKDDYvn6SRljOe3kNsIAaJ8NrMZDn4kZTP1dgpKonKI1W1iBgEP54oA4QDdmzTKebzzp/Dfkk0 cYQwkw1KYnEvSCnl3Hmfd/pcMRu9e2yz/YMKlk9SGGWLjrlNOwY7b2QLIlea+ecqyrj2ThRmo0mG 3pFMwcpwhDyOaQgyTLldZ2gTbLYtESwLr0g1J51Jeep13yepsdI/oYdhg1YSPAPldj3z/rxz55gJ f08SUfZteCLyTp1jLksJvp5vbbZVn6M8zD2VHk4Om4gibZld78KSEQc/tjt6z9S6o9syrEaQiiAR nIB85dnGZdTPrtd9n6PBiuye0wbjEMw5jOZ0cmQ+/n7/uzLY+QnIboVOIX0ANEnvoTZuJDb/xX9m NsKTUySnboYFqNW7jeM7aw7vX0l/JlhJg+22EwzA55s6hTBbWnJygLwFRPhINXw6R11v+z5Hk6qp PBtsMLLq7lpUsDb/vDPmECM91z+lrpAsNlTCbZKicBvymrmYjQzra7N744iEGhXV+t7eOIribXF6 CwShbPCq2kls6iY7hu21qnMbzCCUeYBWWk9/bU69bjBLCBpOzNabD3Yk7aGcrRIpEQXc+dZFioLz BklHv9ze6XLc413qOtd8t7gnSGtUcjFPkGTb7Z0tV1w9ZpMDp8G221lVJxlhxFYQDkxI0SxYFYIo h8Cl0qFQCGY2Id/ZchaKIYTsd1CYdAKXss0zPX/eyXJ8x/0VSVLsWr1/zlEUbmevHnkAUHa7ujFz OQ3lRFEWbr9T5SzKwx4ad3C+zkyIsWF1WU+un+jlcRI3coUzxdJIvrUzKdv7BpcbzyT0CFxnp1hS Ai0i1kcgh/LOlcOaSPfgVoWkqsVg0xQVsZltEGV1YVzN/a7Odew6xbhKbCm9B9zIb8i4JERLg3dB MiyuSImBwvmGGiwLAIP9F1RLcYQ5XUdr6T3kdrvrLOZlxh4TwQZrMnXT4F+fd55ceTjPut/O+oCz kccA7zQ5hNw04RnySidGqRcHmmbGzTIpntMIhe8suSLvDOy0egebpRNdw9eMjkR7QKocaQl0CjFL aODBYfBqV1AK5Z0kh8VPA769iQrkoJj7ZJwEfp4dN+vnnSP3qw5AlCkqd2N7se2dIocjX0h4L+2j VztMOv2c/NV9wfbyKsA7Q+5WAdaSNejaVx0Uvfgz3VMqaed8StxxG0T9EwTkHwJCrF4rO6d3ghx+ CckwbDoWcVBFu03ULPB9ra7POz0Od/D6VbHF7m23UvJOjsNColYxjW0sd2smywBu+DSnopq3F/3f uXHFbYonxB1s8JptovfLuQUAcENGy6I2SNzoN8CTAJ9+j+6l9w0iJSZvfcqmcF6bwhMDTDJ2oJX1 eefG2Wp/JgmAe3albZLeI26TE4UNqB/daS47usHO8jMJpWmbpPeIG0c3K9pAEdpwO7PwSoXUKXxT oQtEt8uJ2klm9b7Irch6a0vv+34mLa4k1iRs4CQ12vBsrY79eSfG4c/N8+t+A5CsYxXbJEUh9yzy AtmOvx0u8FFg4OW5G+UsdCS9h9zwBSOkbVFTR8BwC5Tgmr658wHBhopYVu6WfyZFWx6gMrvufN1o jmiY2ik61HBDkqV4Dqdz5AkMcTKdzzsrjv2n5LWSH3nL0uDAJimsbgsJsfslnVDMzLxlryZar+6d 8k6J8x7/mZ12B5+kDr+MKoOZNgHtJvc6AZkGPD1ASo/j5dLrRtEkj+1MFYbtJWKAtaoUns+/7J93 RhxLJcPmqAlSebYbqAQ2SVHILavCxS6VzqTlBrxnjl1i/kTJ02pu73w4dsSpYtK43Th4XgLtydrE Q8UNMJogAdAphTworatMg5filu9sOC4k2l1vqqZyUKO/n7Omi6i8xuedDIdYdPgcscICxGEf3e+2 dzIcoquu9pH1RhC23Ih71+6cnHGxIu9cON5tLCcB0GGDlZPSYkmskFt5FpA5zA0IC/fFSvw1lyX9 7vNOhWOzjBy4ProPyt3Opwy6gp2Yp3zemXCWFNg6YnqLRu1dRu9EuOL6g02da9ZJmps5NrMrJlwE 4YSmKK5vY/2co234oCmC9dDZSOLBVWCODBNAiRGKloLORsU4dPyLXvevOaoCJnNQneRshFblUzT5 eLDX0vgVR+KVkl//7yQ4Jm5FKa1LexHKofJ2ZfbFrbZqtW7bOweO5xFutkJ7HQ2O2j7hUaIMI7iC J3dooskBuN9wN830nNnN3jbI29CGRha4fVByO6AywyvuBFmfd/obO4I3QpJ8B3CjOGVskqJgu21e /+NLiH+5rtfoZJaQyDxdiP+d/maFmrPmO1XQONgkIaEZiZk20Ws9SeaDqOIE3GBmHGnW8kmvG2Uk VD+birVxW4qGNYqSHiqmlM87942VEs9IWC8ALGJuv9neqW88x4SqTU6LH/JvJrJdijkkAECxQSsp qm8nigd0nFw2aJLySdbOiZToL4NyQKdYzIR+Erb0WbMX4ddJYX6nvRUxZ3ChERvBwc+j3OUJdj7g 8056KyZ789QkUShABGsz9B5qA1Vl7jJXOEAQZCLa907WuD0ploXa75Q3S82hEZqaD7aM0G9Z6PZj GU3gI8087JwtvHnPan/U4ZiOvBPeirxH0NOWuPVycThErdXoy53vGNxrv4rbsD5oc12IVhRpA7qD Lu909PGsfmb3hmNYee2GCTyDyHe2WzGm6Tz5RfHhIiTOnu34AHjLYUHRUH5t9IAThLtK+bIpIsjv ne1mkMSzgppwWs0vtgUUIXOTuiZfMthqq31dbMi8Ju9bTVIUaQNgCYJNdk5gXo4TRR0xOYoEa1KT FBa3vWO7NTDeJzMizRMMgVKHSBsMJUIAFigfq7KICp8i8+Ah7Pid5lbk6YlyDWVNJqlYirRPwlQY UqId83mnuTEIvTcbJhcaKBO2zDZLUajdKOFV4NYrVV3h5s1cbi6PkOBLqes/rG7T6Tp33nAcLtMG Z35im+Ts7smL+swSTTXY7kRUf+NIlAY/7xy3W0iCtiMGxGHCU8PWlK7rP2NmPh+ltt5yw9sBuDpY vtUsRbH2HCpvL4dsoWVlayltq+dWODB6kBSVt6eJBVAwgYNvuJFhLNs4SyfgS4XWqYSyswoKEMC+ hSQS3N75bUUSwLjIevXBTiWohAlWu/Pnnd6Gl7ynEhE3Beo8zVPbd3IbAwCp8xOTpDauYZBhEDpd iLF219t/57YVF56gAYEN3k06hzTSD4rBIfoDEQVdxJNYbihNUF/JVtKgL8E7t43gYS4hmYKgcmfX 1LkBZpUaV52fd2ob9+v37QbY4Umub5HkndrGhJh9NlRAxZUcebp3CoRaxJWENNn5cXAqvTPbLjzy XI7JBy+SnO18rmuiAAC0wd2NIglojjysiBCwQhIodHzdaLsRKtGUttEj50fko6k8sZ8v/LzT2lij 8EOpSBkcssc3bXtntfFQkgDjdI+LurZ7XKxkzs5nuw03mHkntTFyZ52tSfGlPcqnJ4I/268LAQjl Z+QCC4wLMl/hSggBt5u4db1vcHbrNILZsQ0GIt1LYQeoq593Thsj7vy1lBYMeMfwk/ud0obQgQg3 HEqii+SzRU0tr+25vC85YfnC++2d0XZbbikLR5qfbtKeAERUuVusBoszltvgNp4T+uIgRXsQMPx9 gyCAHW7GETbYJJ0DSpnbLPXzzmhjDPE9SUDKTUAdbJLC4vZIwky7qhlL9VZJIiad2W3LLg77zmfD JA1mI4hLbfAiCahLGxEj5N/auZqaDGiIdgTEDkGWZbeqkrzT2S7e//9aXgM3TUU4IJNa+7yz2Qgj 9EAJoQMFPdrylts7l+2pAMztXZJMQVdGkx2sUFMMgmKz5iiMuAknyXs1H27iBgzyphrHWLDZaVRV aWTUUF1+eMAtoMQ7kQ1TRJZHQuvJBk3RPAeD/K/OdfB5p7GVx8ppiMaG5kNrFwTwzmJj3pYFj/AA oEiJYyq59TBpQttOcxRH3BQ/3yX54BWAtcGBpS14AxQ9kZALXwX9jFAA83hbyyiqbA9ebauVO6jY lifN5lEkafXzTmDjcXZDSalwQGyx3DmKAm5aMkHnzev/Nftea4O1eNNanNZIeqev3UpSgy64DZ65 nWXY4CvLvZbrBlIavSbYAQE/IWaWjiOCSd/Za8y8tICAcNSgOYIogzzBzuL8vJPXfkG3jLVMb1AP JFsUbvckRtbVo6zTpgvrKDmzfS2iSTs/KdhrsLmahc5pGi5OovW1JB7YIUO5qDo1oADT8YtgQZnp JQD5/I7g9iemHfx4HzhHZQOhwgu95YzHgzCyX8AtswFIZFbUBW2SwmgbmdhJOGq3hTTX9StcIvuh 3EY+lBZSVNlGtIW6f7+D49vO6shZzqB99Dyk+S0jkoqrBqwe721rt4Vgkq0TiZ7nHIzDNoEu40oq A49H+DafJfy3oPP02Xyz9SjY7tTcKNt8Begy5waziI29kDScANDfg23V+84uQzvLBiskKSmZlBTu 8FCsAnnBjq3TMpYH0nq8BnrIgxRyKxGbzEElSTiMGg9y8/EIlHyRWz9kkCDsvFMUhdoD0quFuqK2 19qttSV2+GTw0Yotox5BSZhlzE5pIA02Rejwo9HNMztBg3kJSYliLeroOZHzrwJAstcN8hEK3q/C yjYHbbYB8y/lbCcf6VGoneo3mQ3NQUoP2hyFkXYRQysNT2xpwKN7zUWCSKa1e61Hle0us4opsc55 I+0Fz7cu1k/B1V8plHfSERz/meLvtDSzqi1xJD2KtAlvO+ED4iQYjRfJH4Gj2A1Hcn5PPB9wSVb6 ztom8hrIddg0haTIynx2GA0JIdIwoXNotYr5fzLb1swctEex9pyyThfcZj5wm4Xggvgbyi1DUKxQ OR8znjtFFdvFt23mtj2KtQst5HZi21biVAp24AdOOcnWOx6PiKM+S+ysQW22P/i2HlEjB42Hzrs6 nFTGnERKwvTcFhPJ5sxIehhsK4Is7Q7ebYP5drLcNjVgQRMlXxfJCqCRox5tylK83XpU3lbNdncb UDKSJAKQGDQeGidvw/PBLI3vCgBS3XM2+uXW42ibet17OVKy4roz8bvhfBJAKZMtpZAfyZYkBaps 8IIb0DCwcZHMMigSiQRbTBIYIbnmC0miqRO+JAgBlNrOKa7EyZi61BCQMhEvA/EhPB9suMsDuL2k dXtJPSxwi6NNAsx/MquS0xSlGgAHcphFAXmrlNTDcJuUpFkp7jPdcJkGDOc8TjCHPMvmrNOOzAA+ e5nHEhyc12PrTLlnfEt0dsuDV3pSJBoV/b3nomyMCM5hXPAB/+KUEAUIxDyVdTRN7xG3On0UvyKg RtFkp8cA6iQ8iwhLAjq2ci1FEfcWZgvr1wavk5xjaVWtpYWWAq1hOqWXNhSFCxwQ3M+D0pw9KnFX asADY+GDoFvQ3SrKbvPE40EFoN1wUszlDilqBwH2CL9Nm4cCPr/pIVCZTsbOqFHK2LmsSRFFrKUI TKK+ZEoqlyRJ/Rs0GcKVbHBvFKgAmTqTBIXLnKjSUlnhnhLdOt+Hb4kAboiVVmcNgIPqJJCrF13i BMF4PFhJ0+OAxrrkucNh9uizFMfcLAJkk/tBjrK228qWWbzkhlarZimqcLPFfdYQuRAcHJsM3t9Z P1SabGC8IYXpDYXuc7sg1qY2nQqTg4zSHuFJhEgaMmW6lkaYrzK53+p5azweraVbTUqcJgRftV/q dhR2qxFQZPKlCPypA8gBlKf3bkZuH1GNe27WAarIAM7TwxW3C5z1Ej3j1rkdKKQwiAfsyInQZBKp xMS6RxR2Q81n8NN8sDYAIg3uvXXytxGG3fM2J4tuFnTZ7xxFcXcvYkwSLClDpuSEiTXdJRWRoPPb wxL3FsFdMWV9YsoJMObo9K06U7RSmaZAch6gTB3ES1yTDGcLviQiTPLsHkUQN7RMeN5OqPCxO4lc Ec//L21uiOCOcSPKEQK4wTs+v4kvJLi/ODJpTdfhrHCmsUmKStyZRbdeGQ2wY3vemECAgd52ZUSJ WvoSpwLqnz9UMgJNx10EgPPDdwR40k5aUmJB6QymAwivQ5HG+vl/eDzqlXyrAKCZ0Fjn0hSFgBJ6 d6hhpXoS/ZNEmOzmGQcKdzcK94iC7sWibaHRNXTdp01RmlAxX5JsGxQolZhpA8IUSQbU1D0EwOWO 7/gLT7LkSbyuJ/FIcyRepw0KgCMsb9fvHjdaXr1ektuIIm5ttVKq97iLWrbEAIrkhikCu8RWURRx i0EryToNXk6q56it0kkEdZLhG4QgyAQEjxfzZCQ3th3wJdF5NMi3QY+7Mh8WoQhWqzJqHCdpwPP/ yzoCQa0DZmeTFEXcbEJBpcXtvAql7EXgymtZo4QlTk1SFHGDVwMDMLYCOHjydtKOtBHIo+WW6JpO iUTEKChoz33X0aYSwAih20zd6PVng67/E5eOpiramHg8Oo1+80mQ4KZL4B4hdptB0hi9OX9L8iSL LsTb2ZIDq5yp24iw20WbjMBsDV67TcCGgeMKRe6T7pMpgf7ryS6hHYvU/yK3q9426rdxAWGtc5Bg LSh4vYvbh/R2hMH2/EUm2WgBXIrbiMrbwJxig5VrdramC9k1ksjF3oIokaYoCrZtrxWybgu9K/A+ SNwWpZmXjqNWBUqmZxGdeyEU7VOEawffEcRH0AIGtU1brTmc5HyWMLDoTfEdo3bbd6EEdgGUNbQ5 CmPtJXBEv7Qk0d1FSyoXJ4HCjbZaGGtbnYRsUQ6OkzgbCNLwbNx29qoyxRJAzYFYf8WN53uNQeQI Q21ibmqbshKaVDznFltoUbEbexJIfEBQAvgtkATURbsCbSOMtVt9MDdM28iglrNnGa6yOaAFo5UU 17cLpX2V5K5HdwNckgQZMhp71lJIqejUu90Q3CyEbqsLsFFrxJcENTeUes/5SQR3ocSkav8Nig4M kHYueD6YpPlNBASWfkIpzjSAwgK3EhJUJlkCIGvbRKXTBkGAaBJUk1QnmbHOH48ieihpsBPpLB2q +tHVc0HDZdBwgWq+kMKZo9yOG2m3MwSTELa1JOu+JJXNU3uc9crg+bwpHv9np4Rrrp2cbXjONqNA uwrdvulxamAAmyI0k7p8Bc9uw4lBnaSwwJ0ZYTchANpFAAC1lsED4KE9Ngq5KN5umtCzDgdyxgO5 XXrfv7oAC/REG1RdTFRS4ST1gsf/lyNpYO+mm7HNKNAmLLiAp+eEm+Ryf3A1MaVWVMAtRJohU7Is tT+qD45KygiHgOIAdGvBAlpenpVSSecEhOjRVYykWsL8s8INl5Xsg4okJFdz3+2T/s8o1KaH4gPd Ov8bHKN9kqJQG7ERlG2Sd9yq3HBkGrRd9G9Ru5+TFNe32WrbqflgK+lcbSfJJpX8HEZtb8T13GzJ RC/KrW7zx8J3RAeSrIHpy9xz9Sk6884ngSRZeDyAAEw/tPnfYrPXK7g1o1C7yjghL++U7EfHFqBG X0dIQXloz1D4rzapst7B1lFHkATBH2y2M6ZBlCC1EhZg8OcoqVcridjtGdIkMzVJklxwkre350DL hXyb0iseD5qSrXztNdSWBzjRNkdhbVtuiyMlC5GA+fAosm7hSisUssyWckZIki5L757v4Jn/iSCh bdcVIgG2NShge06+83+jzN0NkehJOaNIuyqp7cz/OYgkuVORo9K5D8ZnhiTJ2b+uNcBYR7riozMC kvRl1IibsVFjRseRnN8QIW0UxTRFUWVbVJLU9x0ctZVWzQsIJQpsd4nbDVqndfRykIFcZlvR20a1 SGq2oCeIAV1NriKEkAwCz+nAV/xfVEkKcNIPaWvGqiSWyV6szXymiPewySRkqx/NUP+P4IjMyFnD nSLULqYk7bG1uMUGqPOIBAuStqf0v/W2QattyTdQ8O3aLiHpZM5L+lCbjwdTlL43Gqwke113iiIY CQyLWBq5nO2UqkNt1kgOR6qe0s4ozh4sjSQyIDT4pZZONoiSP1Va626S28DEAVnWqJZ7S9rEts8o zoYgIaXrWWkDQEi0yHMoqFv4c36piucjQNJ33g+YV2NZS5MUEiQ7YyKZ2kiU7FJtx67JsX/g1mmr /a1JUrESbPCc9hxG0ACqPE437JKItSmdwlAQdUTLxyZpFb3unxiJScVWDgK2nbeWFHI76Qwej7qR Hh3p3SRebnO0oigbElEQt7m3Wq/d69k4aS9JotheWxGMROI2WQTJfAmS6dy2ZytAz5lMkj7dLBBH YK8UTnAYiXH/V1jPRgmukp1jg6Zow+yLX3dSEjweCQD5FPGSRxiKbpZNUVjOVt6/7okNdNWdonyP I1QXNUVhlN15VFNxQ4OXj+D709kXhbDyADYZDVsQ/QbCXtxuDkaCGhS+IyqNEGW72YkcC6ZJ3Goo kfMGPkdbLXg+wrV9B9mAM/Z1e2wr1NRupLWNfdsitDO0tsh6WCTT8v4VwkhY8i8tbw0MVRg/nkv9 HKhSrl/tHBvSSKqUAUbvtjw6kogy8R1RiU2iyM8gNFI5+awBthYf/5dsNE8jFCChz2RTFIXYnUib Ic6WKmye9KMEbUn/OS66xUYrhmurjK9brdxbbYOUQgwyS7W0REDfv+Pe7jjlpI1QHgTpiqvZTNU2 JRJZIJc2RoetFFfUOZYKno8S2m+ZDYqmDdQKbJJCce3G46iSOMomuq64TX+krX+L9poT21ZIjyTw f0v9Zz/qP5Bn67IMwF4DGJWcrd3YQUIrCkaOF2Yz9bpBkY1k5FWU0KIeLLxMpehl4mabGc//LxwS tPGnHEg4SVGUTYJuRu3rxwwQ2nCfCPi6OmZr4dflJMViJDJnEYt2zyuRBMMJ4b8gkXSmKA+yIyAe co48sCPQEjKzu8QgckUQkkXH4L7T9MEy2pZUPgK8EI8HCJL93dCeuDWAfLFJCgHbaUjKxlXbJvmr rLFVN4xgnO2TFMbZhYZIc2wfvBIJD4WllQRVbbg6gNdGng34cubdNrSSsl43mCNSI4sE7YvWBRcS DBm5kM4Pgscj6v83+A8x/nyMEFbIkKT8XwfjXsiILsv3zRIbcDDS/13UIun8pIgcIaHtNn2wOTpx GmrjheC/fsJRtgf6oB9Tgk1EnuD+G35k2vtG2QixSEVXHAyMBUVCqZRZ9ECRbYUl7cvYVjv7fNqE rojNUsiQpP5flzOECkiuswFpO5drb7v5/R/jR8hCoqyNBttu55wtaDeSZ3PuowZpitU7LAk2jCVg qmKt2sLqPb4kwP6TX7NWzT5ot52Up7OKfg7SjseDnv8V2moqyBEE53MUVrQXi2zl5iNA5/24alt1 ey2AQEyOPAy1KYy08B/acGMkmCFAT+YcSQ060sRHDvy9m7Kx6Ll5kDTsdf/ka7V0BxUiT9SyZBac Z8Xj73O0riC5UEvInerFI+8o1sbRfA7rcotssOa2SdpScqe0HbSEJUkei5GwAQnvcBv8ctvwT8bf gBbk2RiUAh80BZ7g8gkxmx5j7h3F2sZBwjlqg6ojJ2ersh45gR0eD4ps9UK29VyRv5DN0XuwjcfI /Nt3s9Eg3jWkqsMizgowfM3+W217UbdFwz2SsMe2DG93kwT8Ql6Sz0JCgTNdqY2SKP2/Q8y2lSCt INmc2N52FjOpnbsTj/9L2V6iWuC1oOJrkxSCthklLc0MsSPbPbmRCl0DiZJNRGLH0bbq/m374Hfb WYTw0SIwgsiJatK2PFao7uUd7ULQGL7krzrbpvGqBuNHIOnpWlQbj0fsyPaV2OZBi/m72UJ25BA0 cjvx75yuPkdI1R08QqM8zlEMHmGddjIj4XCb/ughAZaFcu258Rf17xL9NoHmp3ud94+mvW7ARqqM txsFpTkYNBIGoawMbSykCD1CzxVdbXi9vJnDeNd/x4Dt8YTb0tqYTrTpy0xKIY+UfCGFgG3mJGmJ /rDWLfufKLRlMCXUQJqs95wMF4CIDrIJ+B9eaUPbGN/xF/V/SnR74laRgBikZuUOc06Yjecj7R+v RrJyCbx2u3X/HUr/yYu0pGeODG2DZm1xIwkGPZqjGD1CqhZ2rw3OIEU0n1CxlyFSh5H7iQg7VWpp mpovXHvZ20Z+P5Qj2cxIimswnUP7/ChT6BGc2qGfTfLbv3JCUGecsMixSYrgI4nH9qz5Mrbog0KB pLaT02yRidkkhdJ/ov5X9Y/q0z9qG6z5Rlmbs6/ObiY0oKGQ19tJSYGU84Z273rbv1212GLb3mIr KAI3FmVAdP7suKr9u+lPFNLda2GwjQsR+bkTtuBa4XutVndq7d0lJHcIH5GuDf9+DVaNhN7PxiSx RLLaHPKWwsUPptUPRBxMZZMexfiOIIrMLPyj22uD1tE4wS/5H+djNh6PKm2e/nPFNBTUr7PWDqva SQykfsmR9ZIjp/6R5MhKTlvnJ0XHEYuR/23NlqH4jzY2tlrtJ5CUPynESxqyqPlg/gEy4HdE6khZ gi3JB5sihKkC/NWBxyONjfV9ZKPyMUFnsDmKIm1KMICw5cY/zVHHqPyX8uO+Nq6PvCPsCJVI5rm8 iw/ehpwMoKt4f+cPWqSPsF51Au8TJV2gViENFN8RBJFFRPZxBwXacN+VN9KZOTweLaNfji1nVnu+ lTZBdV6/lWTt3gzADg5guYh/nJCW185k8hE5hZE2o0iDhXKwlA1lzUr8dQOBAfc0ElvKMZzbDmzP RPF/ObevbW8cuJBxEfVGN9sOYU1R2RNUwWS0fVJzfkAEH/3utJ31+LPAXvGZCsX/2Pcfc7oaSaX3 EBEkBUj9LJOETW5E10cFM8UO2iJnW4PN1IDGNiwkiI4AKmIKijRhYwsaBOrSrv7DeBvfEqEjlI4Q i9TckxKSMqDK4QvPfufzkY7kNx0JO/XkRbclSffq9wuOR1N2J7tcqIZtfr/qngyhkdwpIYV47aaJ oqgUB+dHnFXSMg2lTph87pSV6ONE590EWdFCcXI3Hs32xn+htoac/0iQEG9vI7JnmRLuwvyAAEma v/vbKNUMou5spsI69+b9P7sL3E5KzKhdIgFl+iWhbmYzFTpIMueYLKtp8M13jqJz8pw1hvJkhbTN FHcLWpILarR5z6eBW+yNIyoJZqpVQiVaNajEeUPME7chcAB4/n+pdaNXR3UTn6go+AaACD6tPTtN ooPgZCI33TmlBQGg7b2o2D2oprHNYKrPS3LbyKShvE2fuyprO4AlAaROuEtwTHplKZNUiq+J/DeI kwSv2Qad5hlfQkOADW+JFIJK8hW6/ZFU1M/mu9lMhQVvaiY3aIToPM+ys8fb92IAQZznxdiAOYUV 76E8JS0fvHJyDqGFyhtFyk6Ih1IsYPwIm0AkzaD+eJ0ybXvhCA0oZEnqPti1dyIxA7oNPR/52t4I k4SvDVOi9hznYRgOhBzs05O3Txrzuc2LbxrzBsXq5EsqisPnlAGXHCbWgy6BgcQJ7idLTMAKggOw MPcLuomg9MIl0s5zqGzoa6IgiqfUoAsnBxVQ9pD/DCCUej6Kon6x3VB0oXSWz9Q/tEqUpSxRuoo1 mgjAsEYTRHHslApr31ulSrpJc7h8txMGNESzDbEApe/py4fVBOOgn1y3J7+F/h/8mojSzVITeGEc qmEhGEpRXPs89BFE5/UH/XXzIV4At/NOVBSTL55SJ2m8oi5yt5eb63YFZRRD3CMwUiwRMyDVlH3w vuXJEeGBSi1uSFqwvgnFkQZK9f5RH8WggdPe968AoZjj5Ny+oIDVUFR+3pvPB1vvQpYoAdsIc+3X czuFFXCKKM1mkrcgWfXkhctqFCaYus7p8xQ6TlIicBG1pOGWwE8qvkHYhmbZ2WuJS489lQFcM/WD nfVWi73wX+4uJzCYPgi3BOJ4VWObEWfogXO5OMx8IPs76mPwFppOToGWs/snQ7ne7SbOFTUcKsC7 XH6KIeSkE94F1LENtqDUpxuV1UvA1qaKTpVREI4onEtu8EIH7hy4TuIwZt2yC5fTHZdzsoFZCJdC WMPnoyPqW7hs0gjvSk7n0HeSYgawdXHN6dJn93oBD0omepONRs1ThDshLHKC8+mDFQwABh1rDBUM oCHIavmGkgYo8lItMwk8WpfkP3wnqRS4KPXKQespw/OTG2+VyucjJVwvPcnoBG3ex1Qxdp5kLbxS e041g1S21QyYuJrIS2V5ruujIrSgEmJ2VcrtqkAOAs3qJigcZO56kez02XIn/qiUEfVpKs3eN2o9 kUWxKRWIarigkef+HI2t5XOyDz4ftQz8fNK5hrpTvsd4YD4JrYtNQYV+dafbvgrmxSYPyNw0fDWF UTmX0fk1lw+apnOjbVikLIrhzJPZ6GbcxA9hOyOMcv1StsNz4D5p4pyIV5sPBtGBFQRDzXMx8fl/ KZgokW6wMbnGEzn0n9Q8VVniMj4fe9qum7O7kWniD6d5ChmVVHgjec4Gr2SimlUGBQMhJlQbU+eO 6enA3GXU5S15kbBSDi0oUdU9M4SzjIN54jX0iZkH9JMo8fmg3/sI4jBGRWl4r2eiIpnuTqg3TSA0 UT3ffbf7sn133gj3ovZdGJNTOQjC8D7YvksnXTmHt7DedcIpkHVxcqzRrsvokzugSYFB6EJJX1zo BGUfhB0cYP0qJl+Fz/9LOJiIJhDvcOX6PIXESjPFyU4+rfXiUGZe0woHCYUiBZqhD+WiVfdqrfpg cUGZkhKiE/XJ//KQTCdNdKH/j8PrVuxUigqNKKX4iqTOB2XD5/QuJtXFZR9F5Pva4gizys7oM0+h lAnLBpQZVkBOQrulLi1no3sNCrNoniJjHKGaQFr2wVOX8+Yg6DTBLCECThuqRH0BWjzBEskMu2u1 F47WE4nekzkxByNYIIYV4ytVPh+sp/5NQkVfY6by7LuwRk5tmSxjDhWipssHnWup2nqqqCHaPMVw FKJ1RFXm4AXglc+1OniQ732uO9qedVwUcFlCIJ7zvslwb/bGkUI+23Yr30HJML5B4u0nNeLz/xI2 14aFR269AXnoSFkJ22mtO96yjWVclAbEkFfsyvAML7aklMTy2bs+OJSgQTOf+uHw6cK9QWkzQIvg MOBFg+/MJfSkJEYSjeTmg2EJ4HDJBXUOQD4fZC7lF+QSB+9+hChy6ErZF/HNeTtpR/0S0eN3MutO kG1MRziHtpRQKIVCPhVgtiP9cELB0YydigaUELw7GlvlwF4iEwau1+JxJBZ636hkwCOFnCSueSXC qNqULU7Kynw+EhO8pXJebrhMylMrD50pIVmbJRBitXKkpaqVLyyRDIWcc1C6cFcOrSmH2BZgndlw SXLw6YL2H+Zptl555mekMgu4T7QsfD3Jvzt0poTsCRQEcvFBlNTdQOLQ2Tz5fMRt/m6XV+R36SLB cuxMyQOK3tNSgYevjR3kM+9hB/nigaHlFAbkhiqUQ2WvXxfeCcIpHUhHgdQhogq9pURUIfgl8Jy1 AyrXZG8cyVIJ6yz9RTbiiEoBEZ9w7Z++1+YH/AvGw/8400jsmakYosLpkXowReHqKA6/RPqeJQU/ 8914ISScG29tkeb3vBtvbUCcUBZDaDCI8EClfDWZz1R+13PnbXvjCF2gal1StY71aJboKrTUuPWy XvN/0oGZi/joO1GhaQ7RBeOxhBl5CQl2vpgJiFJh2JcoKA8sKs3tFN3O5YMX63ICCtOSFxi5Ulm4 QxsAN9/5eWq+hfK57IUj8qWCArKAUCT9kbLkgKMgF9doa/IDIjLPPctFaN3IX3K5UxVaw1NWqCav rpRyibxgqbpmzmrF87zQqXINYcNI6OHg5DnIVQ3tvgluEqWsBn0dOkxSoG3oQMxc7X3/Fl9ad1Bx pS3ZN/ycI7Dx+Yiu4sEB4gCUecajLJRDq0qEpRm1RqvWob7pfGfpTLFat4HLsGkKBQY7jeFwUNjg VYN9pihDk7/RbA7QDGpZ4GdE16c+vrCUJOKXRHAMJS8p+aBgM0HOs2iaNp//V1DODQvFlfk1TVGV nErdYKh6Nlz2vvgwfrEwKzQF0jT9LelN9pINduWhFNKJU0VxZcNtsFGsEoowPFkbq7+NG8/mKYSt EBq+piyr4SzPMwXEXEZ/4L/qLSPFs+9aHe53EF7uPIWq3o10TMnmC5SxXWl4TpaEC8GG24tQgWGl KzHQO8oGX05t7HMYDUron78CVkwi91QcUOMHfmcG7pEsXA4NKyt7CdCZ80GhdYWAk9I9dNEDx0pB X769TxZwM1dsOIeWlUkhVN2OpausE0rYu7DrQvAKP1nz9Cclc8BBygdP8nJGdXywkZfSXhJj2FDw PLfU2fcAy6K+SFZGsRcO1pN8TwdlPRohMVxP50LN0j2rtfP5SG7YASxTpZifma+zRw5tK+eSGlNx VubI0ykHVQRNisNJyBL7LvStHFlFTSmg5ce2AsaVGb4kqEIBsI8NB+kzIexRL7hFKEHFc2hcmU3X u28fDFDXqnCEKpEHzpXf+t5M52DONcvTGA69K/eSYeX1iW3LGPRgCtxqXX7Op8C8Eggc8lY7NZk4 2DwN8EvS2OJmglc3SRWHcWAfROp8c8W7vXBEGCOeDiYzNtjGa6ZccX6QzecDTMbNXcjzgVb8Ts96 +hswTuU2a04ReK3rjh4AvO7o9KpcODSw7BLIIwZRg1PGzumRzJsREJM0mQvDLx7uSHAYeiaqdHWn Qg/LmcZXpEkcGNGr8CCmGiltu/kBkQ6a7zycDMRwj+vznUMTy4FuyslWi6+oWtfynZe6czR2cw+L HLtYEg0+5zM4KGMnAFiMyQIXFHRETyaLEhgxCRlvjy7000EPfCzB4CZmrJP204Fs7yJcjI2yI2bq bHR+QLT5PDbg+6HxMp6g/A8nS5LH+riFqJaM8nvuJCsmnCW1XDEuB1aWtxC1Vdjc7YGvAJm5aM+C 42lSlQbS8eD8FPSAoSjoCPu87IX/hI7lJKlmkMwJZE3zvCNFBKC5zg/4F86HRETeCU9IHhhawpSH aR7bjv8RRqF6ZZNmwZmV8t5J1+z6qAgcLU3dPX3wkPyc4xBEXSJHDbpCw6gJYkYJuRkbCc78Sd3e +C/bj0UJOQ2q2O1zRSsd6ShtBqaW/7dUjgOq58vYzKGr5WBO2tx1IOvCNUlUIe2JHEPyZ6dUhF5p 7AynRmnU1B7V7w23oSH879lpgLdiLhM0RKWT/3ijF/6m/Jaol6fCgQ3Izlk4SOhes6dwPnjyAyLx jwuIsib6mfHcnqkKq+WVUQFYgaazV7drEmRoOZrOHn4Jm6owMF/moNN98CJLAWSiyLYRbCKK8vcO 3e6MTQPmRbpa+3vZGwe7j46EI7FczsHjTLnQosPQ+Xyw+fa3LgG5RGzI2kSF5fKelARnr0Ytpsab /hbEkWd5gHiNJfa3pGXD2QPLB484IYs6LeLsFb0ZSqTTeiVR6FcAFiGiVC4PDS47Y/OiTIaDynaJ ZxdrAWvx+SDkvHQpIopwm0GwZd8sJjS5rBS6APr7WhOCOEaYXQGIjdaE50hx170culx2xUj0QdWg uRq1nRMdsRlg0xA6htHdaqyKsNn6U1vft1fVl71xcFBR5KrJXpaDX311FjuzJp8PgoRHdZ9RKoR/ 1lXdzaHTZafTZRFLkXlx6sPz4pQcdDDAdVKUEFhdQuZw/yha8sG66VBoWBRggHrzOd0LydXgwZyJ bNQJsrQ4j+Tv+0cag6JQ1VBukxg34Y+DDgKvyzPP69s0tZCRlO4pFXpd0qgBEBbnKpw8KllafJKB 7tO0Zeigj4qKUfS6EL+srC9nOSwjiVsU+DjtTnY1sSMDoPMTUXVP94ZiqcDtUlLe6FExj5nwFhM8 5xw1ZTFE6BBxzqHfJWmpT8387KTeH6xP6HdZm4xA2vW8Xu2SOup0btACo8AmKo7P6ZkqyA8Hby2c oBsal5niIMAEU1u2o2IFHvuP9p2wY6nY+0ZYRKJXFrdfQcVfqce5D+YquvjK4gdEEirtKzrHaY68 9s5TFJ1XoVjaHA/I7rKDW++Wx2Cv+L772/OybGEPthtfgx5CRzAxXxP87AmuHnDqhgvWT+9X9kpm RTmwvHSK0MlSiw/Ki4HapFAYjFT5fFQv9+XExjvogC09x1OoCp4FAzbcPerBLRtZIYkfTGfQ7cJX OXa9nMQiSo+IgwVS57dFbkzxndn3XlV46bOwUIlCmWWUq8ejXnpoe8nQ/L/T4o4esfA7Y1Y+/y83 h6Jz7Qfc4jtPIbWTwuCp3DJLKqnYPHVnV59tx3qj5ilWUpEQb73DReAXQKA6Efg7g2PNtBj19I0m VaE9iGNYtr1wQKeqvO/W0rWHT1LoBKUnthigKsEPCOrANzJXvIl+DoojPlNhaJ5kCVJdTaVS6I7x 5mSCYLrOzUPzwP8SKgjztZk+TwR5kpjKkxydsAn9NNBq8d0wosMpfnHAclPPgQUm7h4qqXRE1Biy aYWcmRq0HTpbOfP5f3pfIIjqRQ5wd6aikrkYVX2bijNNw9wpLJHKbTJP4+69CMdSJRMuKmy5VNiT z/V2Uj2aTUO9YgOTjaMcArX0ewCGyudJNKHABhO5mjx5FJc3h7Gg2eYAfLCEAh9MdBZ+zRNKlqte mtDnNYKqRvUET1eAFfwjJDtYPairbgMdTIRYXFCv8VNNFItEoXwtH7y+eSLAXiHVQp5CnZUZTsUW GGjPyirUuFR42dfoqUJ2Ri5qVHjkYFME+rjcVBeefpmgCrVZXXUC5p2o9wfuePwX53eJpkdW6qyz S/wa8AyzUk+EsrJgB0UMraJgerok5wBQtcGzuzP1vXhpBYBGCTxA+wKOYWi7DLd1WDqXXucn/T9V x4fkeIfL8cIYryqdhHTb5zVkqiZCxOngBoW7r7wdMT+vAVPNpjM/ZnbMypi1+PIphrUD0g4tWiyf 13AJy6dT0nFI4HG4gHqGwgyMYJn9nuXSqSqPAwt0KdR+V/pyK+h81/flA1kwaNeU7YOgrLs2ar3D sLh9XiMlTK6Vxk0QCvfIoGYhpuc1TqouMG9IQ3NOyZe0kV384gQk5ur4GiVVMPDUJ8/DB9td509u ncLxiCZTJm4VwoWIePD1aC9a1D3x2Oc1RjpfcR5lUgKjCphDnTeSNmg79y6/8PzY+fMaItV8ldPP L6/NBHBaLzZBrxFSNb2is6VuIFnkvoOAe0uMn8IXxfWKXuOjqmyd2JTkg1MUodo8UYA9ucMJA3hh LgiemiE56gHVN9imgtpreFQddsGQ0QbVTgouXB5AaJK/BkfVtBk4H3ZW/Qykjpqg19CoomNAmnnO 3jGos1wv51WuIHjrpsPzGhhV1G3kmUYnx+SHJ4ScocaKDrsk5ipL10tThuZ5YpJ4O5osWb7GRRXN G9ZiobFig5L/k47ZCXTGz2tQVM367DmhAdrYrMHRNup1gs6xTjnHOYoLFU26RvMEatJ16vQovs47 0QQ17S1d9nlets9ESbEXkjMmjp9Js4dCFVGiR0EX9z3GjO01GMIey3QoRpETA8o2LJhtwHoZYOM2 +LzGQlUSx5ogRD0suuTlR/RrIIQjupExPQ1XcWEEtEvJVi6Bsux0SfnwiOYKKvMOjm2C606uvMI2 dC+A4cAKgj4nma5nWz+Cl01v+36HTaUek3qpHAx82dAl/WFfJH9egyC+YvlaQbDt6Kv5If1amsTF VxRTl+rN8LaLUw0oqKxmODH91EwLDumUVZgkpHKX27o8qTjKB5U0qLOF8tmtxPFCpjwjFWHm4DC5 Ra+U17JkVf0VFUiEmBisA1k3iCSKp6EHGkxQN4+0yadw/ExerVLYCCaImJtS+z2DUklWFem5r2eC nLPyWo1kEMRDGgokNlxCHRbOVjUSBkWNmnLCeyUUSFBsuOg4Gje91iLxKywaNg1Cv6qqsDiDBhTT Liz1tRJZH03ZycJRpmxb776E3sl0uJ8TCyKlOuuwL0tmUbLNNkXnB750lSiONt2dyXhIieiPVbcT TAqWVC6p+FGZ6ONaQX3kfNx+lHebVAmiVUSTtA1FYBt4UKMAn4RxPhP+eafSVZFdNEvcoGfpnVnd flS/U+nqZdqX7Wd1ohwcz+qS7AQ/L5HSJfVEszTFOCSogoOnZAiORi10k22wBmP3e8GZDW3x8ys0 1kOq8nxh16Pjmvf9niRF7+mk6A0AkagFJ2D/vDPpcFzv7/sMSM+x6JIm7FR0oU1mq3PuZyk5liKP 5gyxdgXT3nl0+CQJE5sg+KgXcnJi53Nn8V0AHISBd2JKht71pILYvBHREGg3mKJO7aZVCG7m4F2S VrKsZDcfD2IiI1/IzwBIF6gC+RRFYbXqRY3ikoKb9MuFRivRyo/gZDg4IDiyO7V3El7VBr/0T+Rz oo+sO21mKsICKH82OuW1ID/2XGlJrxvkZdQAX0lzlHyOBntS0t0DCDWMrEf5PrPJW803cX2PrEXm Aixgue7eGMVLtPk6ElbgO71EG80RoTho9vvgPEP2ytdQhRYFtc7qJKppA8o7UCn2zshU5TE8j0hK wQVBC5AsAedzHKFCQ6DuuSc/7+w5Blb9a6tlWtmtlH2SouiaHAJQ2rzT3XdafiDN7WRxSr+rnPYe XiNBI2kOZ4QNT40IR/SixFJLmTxV1IhQF0OChLaJn9rMeD7v1DlsaDxzzjYGRyV7cITgoSm8Pr/x 5505V+X+8D1J54Yic9omKYywaW7dip1C2HjFA0jAaB0OwCuIkxSF2BL9APPBBzuQoD85SFMFf+fk DY2WhA1s4ok+HhklfiJle933E6kxO2MF1AatpCL7bUSQeX/eaXNYSWbf4Hn+mfE27s0WBdlm2ziN zoS5SF6XzduoT1BM644DiKLsLkoKtHNs8BPphMYTsRJOpHPh90KF3oz6xwR5BrTMy6WfXa/7PkeD pZAEOWgObiOL+pzk0lPn40EU+Vz/DDgRRfZ7sb3H2b6OSp1eK6q00Sa/qefpbnsTQb9qaVGgrWpI x0lqg2026MtviGSoIHsmg/LFOsdRHUL9//aMut72fYpk/wVfWh+Mpjp7U8uoQ2MnCrSpFfXsNdxz DTQam6Mo1O5dRbRr3DRprmH2n9XraTQ21hyFsTa9URswAjZcOHyrUDOj1gCsTPlfnqW7kC2hjABh 61vdt9cNJgmzAxX35YMdSCCISjEHGIko2Bb6+qZrIMcI5c2SYxRr105jC9QBzeOit+EJ7TB3EDT9 oarEmmMca2eBTIsPDuNqAAGuLiM5zHdiyg9gArGWEN/xojVDwc87TY5RmPQqFET2q6xT+rgG6fnz zpLjO+6vOaLENfwqbY6iSDsL67bLVYtJ/foS8XAwH5BhZaN3ilyV6wp2kJSt2lM3Ap99JmgnnZyt nw/atCagsdVJavGjk3RrBxJrj+8cOcSBvP/P2UdtnUGJNSUk557jPdGhgvLOkePV9l3cn5DMFvOL sxRVr2eVzmVzg6uqjjbdUsZwks6E15xW0nuoLblXHJ6sz3LwUPvE8WhZg/d1gkj4yFN0L0O6OSPa qCCFOUtHS+k92Ja1ENr9PJpgd2Fy1RP6Yk3AtbI+7/w4m+VnlgoYMXn7/f9Oj2O0zbutl8e4sXqL qNQrBT4AqOKZ9M6Ow/dT7Ss3Epo42CwtWFiiZSEdufNWjUhcOpCh//LT2kPSkQLKOzkO37K7XInu oHC7n3RQrrLnivm8c+PqA4mwpQRByEELB05SFG6vLNxUvxuOBhVKSdi2YSSZshOZ3plxtuHpI9d9 sA13VmSDTxI7RWCO/X/G3ivLmRxJGn3nWvLcAy3+/S/swszcEczp8PyqHwY13RVkJAjhwkSljQGc rxAAZGghXFlZGTi9E+PYSlOVnwZOHFTJhkEhUwpwLj/vtDhcwGv8n0OJABCboyjarlRGmvNKgTfW 6WWSro5/45Z3xaF3SpwHSSgOJx98jipYBmAr4e+AZipplq1zu0E9DWndc3IvvW8QJRH7PgtpqRwM 1ZYqjfBAElifd0ocV/t3xwilrP5Ukt4ZcVh+hQV/3hMqtl1Zps7ShQHfu9dI3glxlppDl3hMH7za hiQOBEjiJCkkh4r/pk0q/jYGZE9Tbel938+kRZmKNp6Bk3TytmZWYOebPu+EOPy52ZsixHKfqKmP ea+3MNw2D6ftKckYZXtyS6sN5W3NjZvf6XBVnvRoOJbug/cdVyeiilYg0G6GdA3ytom+LMV/L0CZ 3Xa+bjRHctxh//qkmOdg4h45+dy5pchIGnt/3tlwvF18JVFfDp2INj0neSfDYbsJRU3sptnu+XYD PdhBWnQS1u32Hm+j5jw5O2n5YJNEA9C55bxTOxRGiWVDS5/UFpbmrsXl0utGsaRK/hK8ntnlvdr5 e0V+2Ll/3qlweHx+H0n9nCY91ztHUbxNVRxD02uOyM+XX0pp04ttffpue4+3USXBbhuZovIju6h8 RuK2TwSBuBY7GCBc1pxQim+QNQQm2lV3qWf5zoK7e20J9cfBGvx9EjsFSPL4vJPgWFn8Tm0BM4QA qbewo3BbIs5l+fWft5RScUoASOVBEhAe6mGH4TaLth2RqA0+RajV5E7NzwHlKshE03UGXq7nrN5P jx93HL8jaPKzor0qO5AclLZtIOWZ/Z9T//NOgLOM4GsVUWj7rqJ3+hvXnhSqhuPX2pqe/e++H1qX Eybe2W+82LA2JgUqNWiKzpUIQGQjqwuXZUpStSKtmRcmbK8pE4dGf9HrBnNEqcETz3UfVCE5B8ZS 8b9NPh4d2d9dSBzyDf0gm6Mo1oaRIMy/utdsJwWm5Ewwqtk3nHzRa7bvzLeLNGrU+dRg9cgK3ZdW qYg64SO8hQZoTL4rRDueE7sNvW2QtNFviU6hNiixxUaWFPEJsT7vpLdfB7Z6T0xsvaz9znnDFAmm Te9XNSK76Xqek0i8G7KXBz4d6ON3yls12P+E1bMPPkXnUD6bXihtGPAUE01FmEs8U2YMaS7ySa8b ZCOdzewtIS9oC4p6NcBeZs4GS6TPO9+NJRIvRxKjfY4tIiltkqJAe7OdDaSCY9ndLB2Mm0vx7qyk cB1FdW38ueeo3rRvGPvaN5SEvG3Bl+TE2HsV9mnPUsNeQ8nzp3iYrZrB553qxoSNU8RDSYPhaeE7 zTD7fMDnnehWBYP/WkaFEeddRu9htvQiKKnrM2RALPazhe5juxb/rWYoKmonho6tkL3FwZZRmvBU To11tsE4ngrhEJzgtUtPWO+OMBV5J7lVGY2gkz2GDzqN0MaTpMJZs593jhvWwz2NeMlD362t2x55 57jhW+m4M+e8sJG5zG8XzCqDHLcENB4jyHeK223YIiD3wcPsAtpkUgQJ3nujIE0CuI19gbOO8vUl FOT4neJm8KqzhOQjm9bFRYCwwyk7vwdfMthro35f/Rn89+IJ2zu7jREkNc7YApQJGP88VdqK09/l k6BJCqvaPJBQDNFA6WMTWjrvPyile+IkiIYAv5bgEQRhuB/asrvnDrHG79Q2R7BBdIJmoEQTKcxG 5UWskZOmf96pbVbNtEnC5MJScObbjXyntrHhIEWObJ57J8BoRlU+t3++nrvwRdDtH9a1ebNVFvY1 eJwNQUnT0T3XA0xY6HAF3FzmXJWe940icVV83oltfm6XCbNsDADmcgFsxL7i387M54Md96utTZvD Xm6MFEXaVI8DisPX0vmLbockmcU8xMOKZSPvnDa8P5u10BD0wTfcubymMiNIV+wOaxMYXGQqCsKP isQ2qyKR0/ZOaasO8e+J7g09mfhzQcdWSS6Ynp93Rhtf8nvDYX66hDmIhgxj7S3xhGVKVIXJsAxT 0qQdYWLTFhuDcMg41mZe2+rywdtIG1SjRVrNOjF7Fzcwww8JCuY/FFWylUTpn887na1KHn+wa8SB jpV0kQMHWgF0nZ93Nlt9WDXeIymw3b0Vknc2W5WjMJaPoa8Bu2F2BgpHJsBecl0bvzNOpXcyW5Xo /VlCmYFAztctddPlciyE/zAUY6JDE4Jzo23YXeRNRzlB/lrR6/4FtZmLQugMjKQXDz0xMrrhW/J5 Z7IxBvBJKiLgjLOapmdt70y26vZEjeY+MrVIPbupRV3ivUMuHi5viCXfiWw83ihFXcQTKY/oImuR C4aUsOeg3iZrbfhXMr2IC+vbnrd1vW9wdtMJdK6efDDk6LkECK2FQsrnncdWxZ74gtpgdWMF2iS9 B9zAjjJS0nabpGotVwRIlPxhR3Lh4ub99s5iq5fFlng0cfDKNoqFiRkI5ZA6ahlnJWVhI+HHgCVv QQDVsz/vNDb2KwjwnzTd4SCwzQa8Vla6pX7eWWxEo39PErJxKrXZJIWVbYaTfWZXMutzu0QXgedW RgJUjgf3O4fttv8hIeCDXW+AfOA6YHI7aYvBcDLxDEYPAMUSS25VI3mnsBHlz+R2UTqhr+SSSucL d5e8cGufdwYbmwe3+k83sPNL0urBJikqbU9KCMI/3nuSpVpvuwNcfXskXiR5J7DdHgnhAzZYzA0B /XMoNerfnJN7NhJsqQXJAAraSxZyCyLxTl/jHFGkGgBCG6xIckIM0fz6Hp938hqT4+8OCToPVHe1 KYphJFvc2hsA5HGpa8X6Jthsy7gQ78y1u9n6JGWUg6clHcISoMFCSqmeKYKu8Pn7TgzepV75+IBq GUVl7b5lksogiYMyN5yelAmFPMbnnbXG4+w7c8Mx2NGLtSmK4m2aekHr2d2bUXm0SHLmy4aY7O7y +o9RJDiIOh2uNdwCwAn+C6qymKIMqRIRk9jHBQywPqcRMaTvjDVmXiyOwBjBBk1RboC8qIw0P++E tV+QLWFIoU7yQLZaFG1LWLGhf2oSb9k0gr6LJMDYmzdhC+HaOoeyEBL5QUiAwjxNF/4ka62zHAeR 5BPFAagCkqk5XJL5hO8IoH9kP4JM4YPqSCl3JjMnIs0ZjwdRZPeitrrhiDe2d0daGGqjkA/GnYOR V3aPgQbPRS2jExBsU+NqUVE7cW4q/dI1OIgEXRbgPTlFFbBkue4gZEJ9GIbRt6utrRZF2kvrqLGc xMGq2ujsaR2VgceD1n9xeCRnFwweNCKcVxOF2p1JQdXMYB3BI8gmqYkfKumIbKj//h5qK/ZYLIj4 YHWkDImpRlx0YaGsCmaK5dOZrA43PzF7gf4n9fGsGhWSsheSKraw3HTa5uMREvmbFwEKb293q/Uo 0B5L4iP5FpJEE8VWSwIj0dJj+TrqEYhkULt0ZoLaZnZQG1LlEyxRbB5B9lk9QuIWQAFZLs+JLH+l /1TX6FFZu2YhbChww4FzBI3iKimuerKRHgXaNOq8ew1FGvjO+RzFZW3lsqaKhCO7VIeQrH0J2ckh JD0qa6tEUsa4g4MjUceGZxzZIwMF4kTgP0J2ii8XOphZ0ZYQkh7F2YKQoIDHAUk+q69nR0P0k395 K3g+oEH+YtGy4Dr6bdj2kAhJ7N+Q0y5hgC07rm0QyEqPPYCIlI70KNKeoh8NNpE4+DRVakw2Ykjq Oa3Q/CUeCU2rTpf0doFtLLLjW4IIaatiu1W/3ctDnbN32AY6n97xeEQW3d/ndqJ8qZdIekSGHNRI wlf8uAD8dIAktJ1tLdVNgbKTjvQYQ4KrrSY6eXDwThvoHYO2QmffovjGqw24FBAUQBxHNdqkpHi3 9ai4vegJQwcWDEDUSgThhBiyq4BnNp4PLrcxf224AY+xu5LCSHtKSmldpO1y9F9Pa/kkDdLOuJJC RqRVt9fwwSbpnBYLspzsI52tNRKNwBrdHSugBzVfMBKXA74kyP7J01oyZVqQ2+rSP2i1SGj7pGwb z/+X/cZO0rqdpB5Wt7XdgFokbf2cq9MUpGCuPGUnW4jUZx2px7F2kpL5HSz7h7cyJDDouzuA1CLp rwE2d8JM7OOdy6PHae8bFZJY/FfS1gbVZbiWzkql2AQc4GrBB0TItvy1liBqAFyUT9N7vI1eEmNJ wxsxluwyJUYNbuAoIiAJK71yLUXx9qacchrSuRv5KZKMee6ts/sppnEyXQozdPAZTXYE1GZ38KAY Z4/q2+ZmualmzkGgLSALFSidAAOPR+n/zUmkVN1RA/ByW49g24IkV/K7KZuINNRNnAkOMKPr5Gsp gpFYE2BxknS6nFclx//s/hO7Sxz/7BIAewAKwEpN1GWpLG9PiWyd78O3RKES8babXYC97YIocL+a kgU8KRwej1bSd3X7fHsF9NSrJD2MuV1RuXm9jXoirLeZBy/rbZtW15ilqLwNdO9ZQ1MGqXPcCw7m RLmytgXIXh7s3Z7TCVWpjCO/Uo1OVUnejPiWIL9l121Cb8kG9ZM6ykpUeJ1l4vEIJNm/9lumzn69 WJIRBd0sYZeyL1ACYD+PlnozZUniWRVRjqi+rdJtz+UOTgE4n5RrJ1CClJIsgep9VmqH6APKt2KS mDz3iIJu/IKYHCZvHKwzCYs+rqR1krcRBt1XESHbLwhM1J2jKOrGWfFlkpNBPTfRqCbXQ9qbVChR i9D+d3m7QNbKBnsPEEjGmmqUZGggsZTEo2jRiAypnmuQFXvdiNJOgWD4CQ6ywH4INDzzBUl5BpRI cUdc3/ZQaSTVAYiv8w03Quw29RumJmQg353rwpK6a0ZV6M3bNEUF7szzaCYe4WfHMXJB/nZ2GVjx NbFWMmBTrB4vVhBuNEyVGwfADAffEUBJ25KEa9XghJsMx1XS+s5/8Pi/OiUyjMH0QOzc5igElDAR Pxmpo9tOnuIsACpRGpZ0F6snjSjq1qFEuBoGxkqYI8TVZ4aISoC34/ljqslynvdFrI6ahwcB4EHi O6JGCU9scQA4CAB40gMpmTdo/o2wul29VsKXOz9PB3LdpiiKudGfBZnkKdy27voaraVpU8QdomUU xdyTCj8ts8Xd1G9WdRvG56OR3HYONvw3i66VeFW6YCKmNXIbFOf5JdGRhJIt1g2G4ULtENtJzKjO J5aM5//ZcqN2d4d85YWSjjDoJlqCgHPXkBju4SW5TzZKaGupWYqCbun8lCSxjdQuUeKc/3DqFZT0 HESwhaMs4lk/fYNitu9C2hQAGCFum7XbBsS1DYoAzhGxWSwBoxOPRyfStwQAM9x0UTcjxG2Tti1C r5SQBPxbxG1XZ0nShYLJ24hw20Voo8TTm4NfbYCkosWp1i3CUqrcAS2JJiU9bS9qu+ptI6hE4wKi u2dB7VW+OBtoj+n57QjD7ZW+9hpcA84yuDdbVN5WraTU4albSdk7AD3V7nAS2KZriqJwe5LcVve0 Aes2Y4pAaz/HXe90sAYcZ0gmv+BPwnTleqcIwQi+I4qQUJYsvP1pGWJoEmTPgzwStKbwfBQi+Rwx VEDYtvpdRnG0rS7JuJQkwqYVIdXhEdJSDSDxk/5C2yaJ16X66NmAjpJYHYFEQt1g6yHaBiR8wTiP Elu+1RhGjjDYZojUFstJjRhsUWxOVl7kzAH/S3xAUAQo+2uWcIQN5pSapTjaljjrrFZOqmwv8zwS akzn0ZpWKRlxhRtbiFJTNmiW0K8/m6E3RdtgNxbSR6EYtVHjA6bLuwBsg+JLor4tpqcKcIsFLqxa Pfdz4SkB8ceC56NKya/SJGz6evGrbYYVbjqeNqhHSLsOqH+Tkc6AwwpMUhFJsVIyY20/tkgGgesc rHx7Xqx1NinpyZEpJnO2G+Q1Bhp5c5TbcCPfdoZYksLqbZ130KFdzl1qyW2peDyYol9YErjZdFQi bIqiWBumKGy4JeJHIFDevU4yiL5EWRJOKmc5URsprHBLHLL04oPdaxsyzx0VCVDb6YVE6BZqCmC9 0bL3qd6iGotv+TO3HbzZOBhKAnMktY1e8Hh0JM3vEAmxehpXPyqKtJmFQ2/RRRKGmm88kqj4zpuN VpISkIpZklk5bfPB8TYbij+SuN+TVtXSIENn4Vx8FWUSd8fLiyoJ8+8SN7zRfFCZBOdckl3Lqng8 mqRfKInzkrBq90mKQm3K1Zc0p9O2WrpyG/j9PdSGG6om6e8Cd6VntwbvuQ3YmEkA6FwFKLiRuI1Z 6YitEQJcj9Oltw2mSAp2c3cfNEWwdhZI4qRgeDwAADw1Eu5MyLbUq2wzo1i7FsVFzc0SNq0kDQHg 939F71Wn9oxi7SGZjf+htm0UsldSP2luaN6wcFmALVvE/KKO7hpJBG/PkCOZkLONTgjA8B8CxsJL Qvrg8+DxoC/Zviu3dEmlVZ/mKAaScIfJ3o3TldwttwOO/CMBgAUIr+YoApJ0hpEA4/ngc8SO9tAc UY1JpjwgTkzqlaOkYTESjShnFGlXMpHXZsrGQQzJPXohL62dSA2PB2HkLW6raotI+1JtZwQkGVU3 fvFlVHa/51Gf3gEQmJFTFBW36bQ1mfHZYFOESUdxXKIthL5vpmxwhj8n3Q8ykEtrK3rbiNkOegSg ZRqqIu2JwgLzrX7WFh4PErZ+mSScD5hxjasjMUOCZJXXTZt3jsYttKVxNRIuaGuGqn9Fy6htHxzZ BiXK3Y0gCbdgek2MVhTsIGt7yv9bbxuRtsRrY3y0vghJqP2zPXl2HB6PMFu/2MiJlgx3GUVAkklC 0vnkq6xZmzO2Z+9eHFnXi2tGkfZgEbIMOityuNdaQ61keyMJ4ifA2qIxslAoAZ7olrUJbp9RpC0D 91GJtR3Qn80mz3quTtIc1rng8Px/QSSh5dEeed8Z8iNF/hM3Qsbww8ojfUJiz8B/YOdrr4V6JCL/ deqPcvCWZOmrFqgioTMJNgDlAeVTTt8kAv9sklbR60bx0RAlqfsgYBtKMEnMh813jOA2v1kSOP9v g2RFcXaiSWCRjwZFpHhXcK8lBstKa+e2gu2KkCRDlVoKi2q4iCTgUJIE26DnDHHWs9dQTVH9Brea lDbE/F8hkgS2NrVYIcmLwgWVl8LjpLVa8Xgk/XMrkQzPB2hjnq+tsKZtpK3iVbZBiKymqBq9pIod oCkK4+xOe8D5DH5kz93OPU9ZG3S1C2GmZ0YhUUNjmnoZSXQ9xXdEsn88h6SjvRLBkvjD1skKhQQ8 P3DB8xGybX6f2ThoIEDokxRqabOFxIKDpf5pPPeaS0icU7GYrs0KoSRLhC0EPRjINGNnG6uHx8XZ a2tDJXpJTHtSDegHV96Njk6wgu8Iimz0uUP+54MQSVAsIpSutcXH/6WzKVR7RXXEo6MVRdkIHbPS epcbz15kK/Rhp6g/bNd0HK0QsD3kNzKWD7bVIIAPx5klmG0CsJlbraIRiGulP1K2BLatsKCt0yhT cDzB707SGCcOTU0yWwNarVGY/WQi0iIdRFT4xbaiMHtR+a80E9disbYJ1d7aztd15KSNNkkxQ1Je nLLCpYzpjY/6SXaYcyADKfC9hUAvedvoIlDCz6E29rpBnU2q/pPR9ibuShUkAHqkibxnxvNRSXt8 nUiA2hJNbJMUxdnnhyXev375Hrg1S13FAyTENTZJsRYJRQ8pH63B6mwJstSblFbAGs+SEj8C2gQ5 w9gGojrucZcYRq4IR7JMhywVH5SxnU3biiUjfMkARnKZpDInOSfSw7VdUaS9NlF/IkmSL1mzl/1r L15mg4uoTVIYaTOKhO6oD16MPLEWRPHlVgo3N5zsI8FjfgPuYpZtQysp63WDOSKxDdUQDVVnC3Cr W3Sk8z/h8Yj771ktewRYSE+EtEKOZCIJmbKBhEf0Rdzt5j6nTxSVf9FBY5VthZjtrkpt6z7YHG3A tlNihLQT2mxUR1pQuQQ8YkBmI18QCRInfEsUIlHNpi8JbsLBhhc5QK9NoK1S8fj7blu/NCTPVfIz 4elikxTF2gQiiZPtJSSnSJ6DIjfP/OHLrastrGpztzHtsMGBNnsBBzMo2QZFW5DCFvR/5s+GQ2cB ikPd2gKFO35JgNpekkUqd9Bmg+fb0t12crYVhdrllkeKSnIyg7E5ikLtRfb/Im7VRW0fr4jqWqTn znYZ8lhmm7JIm6g1Dg6RBItkQRS/MRV1MBJkmHZH6A4ZKw+SxtDrRoQtskiR/dqgUiSUi4TdyrPi 8eD+31f3h3UC3MNPmW1HsTblvGEc7mU2M2ijgXJxVVuIiLgUeVTTpv0R+E/NBy+PIMvfnVxbSNvX TOPgCqWziZLRrLfLJj/uHcXaja7JyGh8UHnk3AWCuUNLB49HPJtvbSSiv9BWtil6j7VBaqN+NG2o RNpuTiI5aVrycm3FTaUp+luM5H87SDtNVBjRAgZeqzQaRJx1hObR7iCMpKu0UVKz1w1KSCT+Dcm1 jivXetbkTi7XWvF4cLFlj5G4r6Cy83TZdhhqk2izuvH8URr23B/aDE7XQhnL5igOtVlCUte/3K5/ ArFynUVO4h/stNhkoKQtswoqe3lDu9CLF18SldmU1rbmg3K2k4DXrrXRNx7/FzkS85Hhq4kAwuYo JEdSG7nV5OCReR24z+mxPR3ZMK/THMXgEQbZDKQ1eM9/neQEaRVjbfhqMB1BIxHVjLOOZ30IW7zX dhRsbwJtd2f1iYOBIxMKbPi+jXUUoUfalZGQdBN5/+NKJOwQs82VhCqMcyRyc/OIwcxLBjbdxTZ2 iNmm7nqZKibNB9F27vkTt6O4j/bRyXhAW10STehI4ABz8UIbiA74jigjUb2WNi3CIvLKhgDM4D/i hMHzETTi3mzU5ESC0x7/iFD4b0vTLt9JohCjJmk5IQkQd19KMXyE2j+l3cEmqcGGfqjxf67vRR9k +CQCpI5rbrDJJsj2GnrbyOWH8JG676DdhsaE2Wjh1A5dbMoDsSG+m9iVS2vfEYAkVcmQZGdtTYf8 g0+fnWe76WPMSQqF/2j1g6vYB5ukk7GdJZmaIZFSn0zbBqjSEGH6Qb7lLe3e9bZ/UkgzCRIcBNYC oarq6xYfj5K29B0iZZRsh2e2+289EnRTbR0VrgDZ/Q7TuwGcpRghaccAkiqU1vLBOton0j4xEBg2 ULYBcpdU5AXsSEPQAhUH09jkSfHZEYAEOnnQQirFB62jdYJTVbUH9loosj2++5DnkmXVyacorGqL Qlqug+3JI5ZxtmbfTmtb3emRO8aPsPAvOwgTvFRH+1xHyE9U1e6LxyTokatCVO4ESNBqN/iIv+0f +kgnyirVB7vYTsZPlcF2Mic8HtFsfhtsILO9fkg7CrSBJ+e8FJsi3ER+GlES1OxsXIlsR+CRKdXI zSO77nIDpBOvo+uPoB/6SDAxZasWbb2O63hfpFZhNwbfEdX9ySDtrElyUJw9epLbOpAXePxfSS3x ficJ7fnLzibEjnAZQabAstqBrpeB/pmpkGU7k2e1+Ki/shG4j/tgEdKJus6hg2wdzmyQPAU+q7fG +gj8I8DoOreb7NrXtjeOWKT0tV+KI1HBEZM9YcOxXXvSqckP+Bd+VNBIRCv7Um2k+va+4zg/C7UP A9nOahiSQgNHs0egSFLXRwU5SWLitsodPLktsHfC2kS7FldAocMWpJ826qYgBV75n+YvHOEj6ncn ySg9FUKAgxq3J3DNfD5IS37LtpyI+eRFF9dOy+oANTBJb6sOIu1sgMqpDeh4tbYh3G8eCSmEbNMy e2/6SXG4JMCz4NnJRWaS1uaU9kkDz5SpK56/7EazvXEEk5DQJnk3LLeIu7dParKasdYWPyCIl66j HUvwkDhLVypRq/L9AkcosCht+P/pH7N3lODEYelJBunaZupP48izOHvzwXdfr6tD8IHVyZQYDZ7/ HSntOXuh/pifc5w1LX7NH2BJpODJB8PcwBKIUskAAuD5fxHdWcRF6w+NKp+oKPpORJS08bBuVh+u cqOYCXBG+NT43otq3cO0yUnm5uCJHJSBICJJJ6Dz+VCTAJIf9ZIEKD7yea8sZfJKWet//xrJ3G3K SnFIdkrtpLLJhq1EClEll6VcufnOa20wl32mohA8D+24ku1Ap4mowICo29uBPiZBXDQoCwveBpcg IoCDp3O10WNzUH8L4j9ETcBB8ZxSBLpjkqxMmba98F91AWw0H+69R+NUsAH0fGBnm6/YLTPkDTui iwjMKQ7DYV+w13b6TZnePel5UoNjkTpJaemujwrCgy7/Lcq5Sf7Y6idA0BXg6iDnBtBVomd7IlcI kTf1Se08p7AcvybScyNNaao1MJNTuTYYY1J2K3o+6g1cDy71mYAkJ2jBpiqUK6GdW6GQmqZq0bQM jaY+R7KpmrjB7ZiK4vGhK4/2vxpsqgCv6fBewzEF17JK68RB8DbQcD+5bk9/CxJIfU1E6yZZqTP/ paOBwBAU5mKMcB7i85FC4HfAieLEnFceWBHr62NT5hJX0/0EddeNYzcv7coQVJsvEi0ptAUoyu3K V26HQnpqkxABYEsWwXGD4Fmgi3/URzF0oL/vH2KTBRvMB60oOC9WNZva4PPB3ruFS+K6IC+SIPzr 8xTCusntnnO71JQ0rqx0aXkMOF17+jyFNXC2wBMLShpsQUHR8RyiU6plZVXJKJWEGtsWA79c7lst 9sJR0UmqZTv5IOASJp/bpg7GnKEBzpMGM+aE3hVcEmyiQrvJJeEyyBuZ5GSujl3a3fXvIfOT3Egx xpyY43bywWlL+0TnEA+gszQ8URMxJ0CkdaQ2rEO5vQudt3PgN2kE75q6gDndgTmD2kSMjdKYfP5f ggqckykTvBsghI6TrZgB960Y6AhnxWBd69IJvLFNVIQ8SST/dbEFOVjJAM4nkJ4qYpxWaLJK5gXk ZlRTgF8yFTxal+TYcZJsypXn8kELqhS2kEj9q3w+UsP1naf+wgT0pN2dF5tOJklPp3wrdN1VlekR Z2WD0qxskEPXSQMMLpK9OTh9KU34c3aBTyoCtC7R4PJDV7yxL+50lGbvG3WfeEIVBuitNNPn6NBU 5F6Hfh+fD9LiX4I4opvmx780rImLdJqKi0+NXb1G1wi8YrN3TbdVyqHz5CDddAg0OC5oEO32EzDl JBEzVDRXZtN80I0Kqy9f1ilVNvklfwh0VgBkfRBIB4wWroVzb1Y+H4UGDtAVXwXo19uByqH35KIe ft3XUbmtZG64bbKwyGkCPsKnKWRVEqeDMMmHBw2PSiaJp+D5gwuM1QTmd4f2Q4YDmaUvUlfKof0k XhjWSWVpsGXRU86icsDsis8HHd/5HReAVVL3ek6nSKlb9pNNRpMkgpTmAkt7erGusrtvuy4Myqco OnQF4HB3HYmPkxSdE0jN3WX3hqQW0L0MLKkDmrq/cISMa9Town2nQfjKc2NTV+zcqavw+agT9b3t 0Ik6L3fz4cCBEnU2qU9V51aaT9eirmOuVjmgd6ECzdCC0tQVMonMHDwmb+f+Hk12Zu0EBLkzJgev ZuHfK9B59pqdilGhB6UCqEq1bg1Kh1cDXeqH0nidz//rGBcQlbW/Z55CPZOlUh0azZIOWGlZ7lJK Tsb4mkigbJ4iX5yuCZpcTxxuX7Of2xIdlUZX9kUkHTzlhDlkgf46dddqLxxdd9JXSs0Ho1gstPC4 nlLl85FYx682wiaJ4OZ4gRMlwieJmS8nWfSyyo+Lv1u3vAK044lLYEWJi4QTRGdzDbae0LQBFEiW ZhAzBei7z0TeF8OmnPfNhnuzN45E8nHR4d/zQdlwQ5qtK6wVPh8lLr8kOxvscb/izBD+3XRA5WYT dR5wANgWzoklu9WbT9Q/AvLNMguHWytvG5pRlDcDRabTsXsDjrpZVVXV4DtzCQ0pC4uaKGT6oMzl XEDqlLeTbPP5IHO5zTuWV+ALxGzUJir0pOxLAXl1pJwogPI2pWki/V/nVRLOoSnl6ioXpDv4CZXp iEfvjk2cCivrtOBb8A6Bur7F4wyq+SV/NV4g/qqh6+KCiP1kQefsiMznI0HBX9UVyMZDA/TO09/F 8oadl+WUg5NCxfJdqbhE10fQtFVdCY0phQQvmT0YDo7greukQHVqnvo5V6T8ytIelGrQI/P1JOfu 0JcyE1XQhglTmZow0HjVzClyn3w+ojc77pINv0of6xtnhsaUS6DCRrwcI3Mi1niQT+l3UQ5UUHAu pzAcNxAPVxUHr6ycw/Okv/SlOglMXxRdhuhSRV0TyJf1SFPlmuyNI/ouj3DEWxjOLStn8wZPlynM /Nr8gCgi/0WXo1VGezZeVCpPWUanTZjLs7N1WFHCmzVHisHDBcBnKoaE8yhf5Q5+Qp3rCIpCg6HB 2AW6YecT6YJYACFGpPjcedveOIIXsFig3sKcnrps5ERdWy/rNSMiz28EJqSF57PzQt8ckS/r8lgT AveKyc+PNhyDMWUuhOgkcKh04VzQCH24d15Gw5ZcZ7BKOzQ7cPU10LFR3AGnwivlc9kLB/OUiQvf 4oWjhix1SehEyRXzrI/JD/gXfD5bcIBj4U5UVClvnKhKIWy3zn1EmIfXVvZTrAttKpeIPHKFLV+u sFCB2dCuZrEuofvVScE8a+z8mhvl8ovDzNXe908BpjS6DyqtdBjLsp3Xa+Pz0XqqX0cUKA3j8arK oU9lI8tgTncYruQnmCw8BWoxTSdFYzOU0xRqDDJ46pmFg54dipkzIHrovDAVXmfFsEMMp7kuleH6 mMKCF633DdAYmTkLAngbFGqeG1bolTNNm8//l5AcJZEO3xWfpqhG3reUKtKDD/OKQetjONiAMbtN U6zpTQrm/yjDg7JS5d8NQEaFEBvK6PCw/pmAxaB/9QN8Dbbd8hcO5ok5y5anBwyM2LoEwL3LTQ+C qnw+Aj/Pr5AcRef+0J5zaFbZi0jz0wtQsptiKmyNUHqfQbVQqXDgVolOHhtTixkMB1tOZ5OtxvWF VLietVupoI9CXUeEDakvw/ZIGi6HbpVUuDjxt5CZrbvPICrMrNoha+fzweH02FVKZ3ABN/N00QPH SrjvMIJSlqIyS3LAISE4hl4Bqd8mKraIV51yNR8sx5sL7YMip2EQEaGbv0ZG3Raauz9AYLO4SFJG sReOwPQEsAgo3vH/aUHhKhWKsNbO56PS760ZWCXmZ+bnwgt9KyfFzyZ70eIcSJCJzQSmmmyirzb9 wouNKykRWxf5dBzsHF/IwBK2TKO96yp0r9ooT0JcBzJxt4VOrHgOnSvJOqzUebZB5zigH+LSs0Qe WFf+X41vINgmHb5tmqKIfFfKDfbusMO2h5c0U+vuy1hKLj5NUUS+CPBFXc8HO6AqfLA6Gl2oGSRo DhJ3CFBdp9pr/uaKd3vhAGpAn9hzw2QfbOchCGN0ftY+n/8XhjUPc3IELupW62IHSzozn0Trdqfk q8cbLw3v4lVouCgZDi0sQcEgfeUOjsqA9qkrM06U7uHO1Cd1ac8HnY97pqp0tadCF8tJJtTKrB8t gKlYOqp0k+D9AdQsP+Bfc4X3W9KJeGYqxK+wPZXkxihI1HIKQu1f0HGnsuTYyXLRdohe1hpsps7H 8P/S6RuUEJwJqK804lfQQMXb40R8euiBlyU43AyiVD4Y8DSVYvz5L7IQUifYz/yAoHCQfmkQoO8y vg6pMCrvItWXW4micBsrUdmbnxVzZg57ObCzFNIPpbrefPCKXQL8VMYxa58sD+7ZZ+bQUALv8Cwp gg3MOnbZC0fgMVFauk5zOmIyIz7/A32UoIo2+QH/hWMvR6MnKg9MLWGNwsoicX3Cr6xkNLuTbwwH JFJPUYWD0NVS8Og0TWc33agcKCuA1AEeO3vipDKktWyq3SVKTKOR4Nyf1O2No3tP7N+afVDpAHIr pK1B847P/5da+U60I76dvNDYEoIDKNlVp/+03QxlB0KK0394bdspFeFXWpOmlVRSykP/TaDXEbIH /VhIBuFmHHl1KKMwQLne6IW/Kb/lD+cvYB8oI8/qAysH6aypxMgcPof8gCCB+UVvYfxKPrtNVFgs r/Jw3z5RgDXbRJU8DGSHykH3iYrdLRVI7TvcYjk4rVm+jedkmilRRC4TO4bcvyAtdr39veyNg73H 6i96gz6oq3B+gJmtwdD5fCQj9z1R7cR7oz3oldDfckqSkL+FilHZXdLOPVIkKQeR9HXPqDA0ZyCV cys+XEZZPzNRmhWjTnLZqAAOcAbMKpLzgIWIUrU8dLjsFJJpmalMy26WutGIpFZUO78Ln49IZd9G 6XAbQAvoWVJhuXyL24rURBFCbwYFhkCrVzfPyXEPqVguRcyMnX3QTGFLzJM3IqWqEEVbJOl3CoUT CglZwX0bVX3ZG0f+RKQmooBkg117AzepnVd8PggQxne9vEH1Z12t1Bz6XIoPDL9uh2XUfokcnb1i OsvQWpUBQmB0KUkIWFy17INlewuaYlV77/zs58RjGSYTBIjGZ6+eFMtDh1/yFyE4IxzmkKyNsseg hKnBDQKny/SFG9NCBOv1KQOHTpeTEWdtF5Ux3E4WTgXrGvBArUK5Xmh1Kd3dJuE0Dp4Un9BntpKk mApOZGPNDrMz0LPKEMa2XG8ojAq8LmVYfRKWTM7CJtxP1ZLzsoPqMh0azjl0u0xXNI2gYdAlHve9 HLpdog702zndNa5AMCuXYJaK4zICu0sPo8p8Bk9iCuhkSxo8m00TmhTij+koeGnbCTeWir3vH/Yy gG8RDJwQLBVVlQjE5p1XFj8gkk/5NgbDUY4s+s5TFJjXyTvPiWX4x3wBdu1yzE/278le7HjZpQgu b/B54VBUKT4XGuWuAdPs7AyD+XFekuiMfjWvZFaUA8NL90/PPMg1KCduKW3pzGbAoULHS/3MPMiJ ToAVa3saxIHnpbmDnxO/ylkGV153dZA05zUGLdvUQXJseikZ3pbuYAc51PEhp7WYFMOVhWrOGfWp WTOVLx6pQjXSQ9fLuWjCB3UXG0SkOqf3YAkXpBQ+/y+xYjvYfpCy33kKiZ1seyZqbHI9sfOjeeq1 XFNncERtnmIZlaQMb/hw0xdo8GSZg8wTexaCpSduZTmo0CDEASzbXjhgU1WRXsQSAqZiSasA5qxs CcyVGz/g/SRf+2o70UoNKJrH2TEH7pdKOnBCNSe/1NZc13nSCkUzBWVORZuB/WVW8WDCf9eHyxIa sHKogrbCghcBaR+8RRNspSpkQn3vUW8uBw6YUFGnWrF0eem/IqEQSFQwVoSFHZ+PMFHflI7c2VZ7 QMChCeakSvhQQEAbI/Y0uKaI6TKNp/7svQjEUqkPjkvOB8e2wkZxISJrqBBCjKdK5AkHBLfqvPMk klBggyl2PcC/bMNw0BlVE4rMnDJwhAIfzP/bWMiU5Kg3OPi8hlANdDUaFrv8Bf4RJQtjU1nHCgvK hcJfA6jm7PwKAKYN3qQ6ey0neYVtuPM2gvQ7PS3BY5FVqDGpEEC9hk8NMB2iVyZNMDh4aTMLawnx mc9r8NQM1fRDqL8ooRldqs7/5vww0fyQK9xJtqP6dYN0m6hBhHRkVevWNCHV18jpfP0U4r7V7YPn LHDgazg1TJYHWuYnPoAUNUuvGYQv83VYOpheJ+jEl6QDUXXSBh3fcK9LQg4sPP02QTI+1ARJoH7/ CMfG+XkNmc5DFPI7WeRyKTXYVvv6yYaMqiejSVYneA2YsH4GI+9KSX4OFllCJGdmWV/PCedzpckQ JW2obmydSLIr6HzX9/VTpuwusNM0qOQLD3j2O+s5DD6vsVJTjmPrh/8qFFRbsul5jZSwfJpcr+rt Ao/qXWCSwVzPOVt14DVOalCsYVGcqEsNdgadW+5cZ6BKNDper0admQGZtYFrAEwei7vhv4GveJ+e LAdeLE0MQCVKGhQnNCu+58rIn9cgqT1SfAZEAPkF5WbNz2uE1GTaClu55vNT+oX47t084oZpjnnM BstH7UzSyW2w26yciwvYcCa6WIbEAJ+1afo2OKBR3NX+2pRPew2PmhALKO4+gwWPMMFVLa62z2tw hKerL6Cms+qHGtaaoNfQCJuSZbBK51EtoO1cljardVuQkkwLtV8DI5w/0kyTlXO+Vs5pD/CAO8oy cOCrbWc68G1yPdBk+aEujzc0Wa58jYvwc0IOFKD/7IPhnKAHwn/sq3xeg6L2OMutH+ENJpg0voJe IyIc69lIri6bOlt3OnB3P56KhWCaYK/xECaomQvI8sEnCJKSWcroC+DntejjCKWLBUuhjL6tbzGm bK/BELZYoVU6E4gzINpnuWwDqsYA+7xz/7zGQjzA2tcWQ7EOWu42Qa+BEE5oHkGZDWxhClc3p8vz Alfnmk4eEiiOTmjiK/KmRhEHm6B+jqM64PoFjCqYlSgP9E1YbSL6Ff5sHluTV/4aBuFXoENxlmpv vmEQ0BsSdD6bOX9egyB7RZsPziXqSExCMEGvhUlssc4q0n5kZfryrH+uVTzrZzmPimnBGZ3EEtvr Dh5RQ3mNcr4NsoINXTRE1BAbQAet4wzx3gk0q/i2wQRtU3DIHAhhJacC1DjWkDLEQIMJIiX8riAU Z+aYvsVe65HN0t9yO24nIyCBgr1d6rv6BFWXuQpWUJcZ4TCXy9uvhEBWRqmQ0DgYyKJnheQMcpnE hEPU90Lj6Nv0WovEr7BJ5lk8g6jiJQEHKB2Vi0l9rUTiDJp+SDPdgLA58b8WJUZh9JB6A3e/NXV3 timqzZyvoUN+W5VRHG0ggW6W6W4nD0NCVHo69VJ2gcvFpAq45BsL/NDSfmR3mzQJolU0iBJANdoG ns61wvmYMKYz4Z93Hh32mSVlWuHoCfbyhNJRLG00+7pdj0BdMx7VYCFaT6kUNwJ/J9E1F7mqTd4E bV+o19ldu8kmBXIE8PMhd5z4TtBOz06Bl4zaudR9/ryz6J7TGpx3G1R27VC5Emq38vHgtDZZGe01 Xo2L4kQCLEb3Gb0trZWrrm5vvtnWNiWw2oA3MKRXdBxVLqEqSqYLdKBB2fFJlV0SUG462QcDtZAT MnJq5w2IhgC7wRShkw6dNMG8un2HWiTyGDwBzOedP9cev12LqdHqXPtutiiorhIhSMtjoja7S8pt gbxo9YpDxHABwRThAMRdtrcPNkUN4DcWgnEYARSC/QjTdDSbERoX0sXtRkt63fe9hkbeQF9r+KC0 NePSFV4eANQwrv51InVRVv1Oe+fONcFbqI/uKJOZimMn8nL46TnTL2DwPbI+c8TEbDati+YCTvRe b2BSLDJ70V4rbIV3aHrlSf/N2xmZKjz+dRyVhSoyBJxAdhWsspM28kO8O+ppUep6733MB4/Evm7u +k6cw58Ga9Uy+vADaSQryhZgfN2WABxcq6a9R9cOFmyyJm79YavC4hU6sQoeTyCAVho6AOiLQH8m N/RFdGjTN+zzTpvDbiH7mfUBG0TDzHMl0wEt5fPOmmuSEf262hBxzDI9g31nzfFA2kR2ZfcA6YxU 5ZBapqvIUKtTkxRF2EB0o8gvw/TpdjswR8a9pp7IZt7XKZYO8gVrNLlQwYm9yEyTtHfOHP5KVYdg tGeDVhKgAdwtZz3tzztlrj0y4Eui+yA6mJMcJykKslUmGhTJVV0WyhKGAqjOcDorvDplLoqydf8X oXQ5eJR9zvAT5QEtgSBybBijQVD2nNqTYuCTIZKI9LPrdd8naehKww/CoSlnX8TU0U0mdT4eRJFr fEeRUCYc/d5s73G226QXyiaqG0Lgmdz2UnVB+V3ccOedLYfXR1d/jkEyCge7/qHb1WGyTBvZipNu 6Ug6mQBqeABA3aZR19u+T9E0T6JZfTCOKujC7Cp2KOxEgbYX+DVFGfuzrWezRaF2p+EO7ZNcKn3Y HHWWU1ROO9FdsjmKY20W0rbQ8PtBwyMtrvCXUWPtXBFUwVqotpzEh7C3p7M2p143miQ0ioCc80En EsDEQ3o5QEhEwXau3yU1YLdhBugVxyjWrlS9LTM7IvcaOKKMur0HMrtn/O8cOYaRSxDT6YPttQnb WDiYEEdyFrf0whtQ75Nd+EpHQiGX2X1858hh2cu3QT1IDspoUSkl/wRE2s87Ra49ynuaIwpcU+lW cxSF2mxmAGzjUjG1PCrgrSQrG43lqOV3fpxFeIA7cKo4eIiUO1h+FecRPjTRTKWzkX0C8UJ3oOdA YunxnSDXZOF1wodN6f3BU1IJCZn+TOYhgfJOkPt1t0kr9WxaIUw5S1Hxmhqr3kLjP4pDLye54QDT RaENrqQw1i5U3U3EwEsX3mLtCgg8BBaQ2ZJvyYwSvOQTxoF4AqEkJ+loKb1H24n0W+hUEI9LuVHx as79Xxe79ZAd+LyT4zjL6SsCIKy7JD+T3rlx2G+TCe1e3p0dzY3SuimEEgFPaSmcSe/UuCYuFppD dftgAcDJkTvgI8z/K0gViWsJsF10shdcpi5HR+on78w4FgDouFulVlFdreLcUFv4AqiQft6JcTzT fhXZkBONm9u+8+KsfXETEW64dWWud76yu6l5vP1Oi7MSDXCSrGRz8ALAQBDcCeqGdWCuahTBOgJK zxBCKF6nlX3TOysOc6RG/yJakoMq2R1OeypP1/V558S1fH1ArJSNgL+xJ8s5CmvZODihGOypLayI f9wkvdh2qxDMtTmKitmdMKRcWfTnYHNUJ0DKtG86+4oHoHrXQKdScbTssZ+T2943iJIEeO+03V1S XEiyuxtNbsxzfd4ZcRau20Ji5gZUG2AcNkvv8bYJiJ7YaN5qG+1rDPVel1f8u7dE3glx9+huaMXY cCvaMKBYDJMgudGaMCNQWMsJBo64SZ+mmr3v+6G0SJLvg4dSdz4ZvMoLRaixPPbnnRDXrE9/zySc v11MeX5pGG9X2TaNy8ph8i4nkO4+qXVWd25+p8PhR2Zzvzce4L2Vq8dUThyESgnZJie/4w2K5j7Y S/Dv+EIns93O143miG4plaVJxPE/6jemtMyf7dwI+/POhuPB+atsiyoSpEtsksLCNsEiQ5gjlpLm NZNFS8lrAGuYo9w7F45F58WuLLUaOdgknfmFBSh7IxtUxEoRZxnCgPCawaO+Fpf2ukEwSU4lTQxs sHP7/PXLykr9806FY51kfJ1JSIg6AKc2R1HA3QAuxO/rgVKvbnNxjtrqvKUOE3LttveAO1txe04m JRxuUgJELEoc54KDCE+1Ex4Figmh65Gv6C7lLN9JcFxHcmymtPwexTXlIajVRU9e4/POgUMo+qts i5Z6f/K2dwYcpmiUb8Ip7K4uRER0P6nE7WyyOe8EuGZoZKiyTB98ijpgFZMYiLOnVUyAdjEM90Dm BDnRNb1Qcvi889+am4D+X8FPlCqWigHtHPufd/pbE+bbdpqaKVhBt4X0Tn9rDm5v+7qlwJzN5yiv YTFSI/6HcxSWtim0sDtpJRw0R3XCyqQAxQKK2j5JIoNNSsMx9Tr5bZZIHDr9Ra8bISGWVK67DyqS NBhQyb1x8vFgq6Xv/L/Q3zbdOYqi7cZG5BzDj6NVrXp0TuxRbKuhpm5yXu+8t4s16pMoZA5WkswQ zUttEQxxDv/GOPIcrWAIgpw103Nkt6G3DdI2+i0x57ZBqS0ISlxUCLI+75S3/zmxEaE0CQ1yiqJQ uydxlpdrypuUHvXzyDkgPSlxKoHUfie83a0GJIQPPkUwphOpDL1s6MqQGgckxjkFURhkFGk+8kmv G+UjBNTSMwXZzfn5BB3qNJjAfZ5y+byz3VgkWV/nEcLZJjs5TlIUau9KSEQpjtIerC5zkk7qZZME TKyvo6i0nalAAbs9H2ySIPTTe6doZTvpH6qVOLJAuAELADqbViHp5C2/E92aIPuQtNnVB53YAAoM RkfnAz7vNLf2MCdtGRXGnHcZvQfa0v6h4vDFsbOILnK3c+NhbZ3tUnsnuTFPEB+Cde3+qDBC4i2B Ck33hnPuQagDy+jE3D9sIWbQ8bxBwmTkneKGVb8UOlJOl4NOI/zGkjk7P8bnneHWTHTyqZCA0kJe i+YoCrM7xQWXJCa6/tFP7PM7WxXpHNjD6Ejv/DaUtUlUblpF7a4iCL4keFuPIo2zQu1F+BKRjQSt rlKudpdhjt/5bbfUVkRzKyLNooy04TnJ82hNvmSw1Ub9NUlgvxdv/L+T2xgdkS5JST2V2igNLuyI vGSJHYG+liYpLmuzVduZi5yBhwNVJyCckaltD/D0yaiqJgkD7AgKdrdb7hBs/M5scwQbNAtkBQpo IrfIBh6VDO++Ml8yCiG9jUQj7LM0priSnKQozG6VrJHkIWTewmUx9ecJamZybpL+zmtjwikFk159 8DA74Q+g5uk5/M/phAUKe0KEEQkCIqXnfYNI3KWfd1rbrSKtRQTJoqSj5L1PUsDS4A/scD/vtDbs t+37jeo5kJTr9QIho0BbxLKvXlt1AgTMCIy2hQ5Js2TkndOG989CGhOOdEFm6JAARTJocAkl/Nwo HN/Fa4K4E5r/+1aRur1v0Efi9AxB2YZ/C6IuCA+zNrnz553Rhpcs6TtjO5Fth9iFoSGjULtn+qVU kkk3k7c63C8FcY4Eu1CAkLz+O5/t3v+9UPe066pRabuivg+wE+DGKP7T2oHzuOFOTEUlW0lUlvm8 89ma+FIAurBqu6F0qVvqREhlKnyu8/NOZ+N2/T6Tcirw3B2+kt7pbLiTBEcim1NYG/irgrgNoKIa bGTV4HfGofTOZiPYjFXbzUOJg1dtM/qMm+qUG25paCYvlN/Kz4Z9Ut50lBPkrxW9brDdNDtNh1Kj Sj49LXC9sTDU0vq8U9lYn7gRgJTAB7A2HgK8U9mwfJfA6sPdmxt/HllaCIO8KdTH+er8pPdDSYj1 JORxushjELRagnouS9tpyHlinVuB6ueQiSisb3va1vW+wdFdmdJWxgC7WgwA/snsReE2lnsYbv+K AYB1PH+5Y2vfeWzEjurk3mrVwn+suOmulNvEz0L3jNfbO43tbrc6KeNZ53aKyLkuEX2hegDbjw7j RpzcEDkDNhIl89JvDDDIf3jnsRmanMD+7oPKIpsAf3zhiZk+7zS2ZlI2nBMK6Z3LboKCbZMUVrYF ksjXUHYI4c8qkkiRqCINLHAe3O8cttuSBEDUB4f4n1B0F8UAHf9ZlOtYi0k8WjsdTsJKbVUieaew OcgfvbXlg/VtoQUqw4/WPu8MNjYPvvu2AGieNODOUVTZnsPA5J7+l5ZM4BTVTG+RsKekOQoDbp5F cPf2wVKSBGAEnmA5csCMkJU2GMnRwxGYPIu3wYjgdwRTxLQNvj8+WE6SyYEjD2J83rlrzL98rzEi QjpCdoamKISRdIL/Ur/3fxsPc616FYmIEk1RFG9batv5N3OwZXQO8AVlQkzRSOBayoUHSB40vXHN 3WhbqygqagPPOSC4m33QFOGskA1oa/XzTlprjyGDVZFwDPZd7zKKwm0q/eBa8K1W+/BIcvbqQNtJ ZXFe/2G4TYzNHET7c/BlBBDS2kVSUz2vTJoagW0nkO3GxtJpRAzpO2OtScUe9V6qLperunwC0bak GNjm/LwT1ph8+07DdGDCKTNtUxQF2yiI3cYRiyVyA5fAG3catc4LzeU6Pylq2Yrxv6sPHmyfq+yc PLz8T6wMvxWSShCudARHHQUS2VsShIDvCJaRCv4FZUwNKiKdCLIwuj9XQ8bjQRDZv6F/CG3qvvWR FkbaOFxqmt1XEaoZlv3vZsKdtVGSXqsoKmmnIk7WKj54b2RC97rQoglMV+wRec1lKSqie3Kb2tpq 74F2ISoYyGwC/8t2CClciQnROcuoDDwedP6LF9owH+DvdHAVjVUTBtomibDd3zLPPW2OemPOJkmE akz//h5oo9CHOVp53cFrSBleJ1UygZny+UUd3XYiuHNqAoRlcbaMBXpIfJwUjKgEbXPQMjoJTyrq 1m4+HgGRy9cyApPsRG53iqIwG/D8LFFnKyLN5F4eSYgbenmwy8QpiiAkg4yRpSLSeopICNEhtYUU G4jnNhJRb41OEqR8JZL8lftTXKNHJW1qUIPZ3X3gHJ3Fj+YLt8/JRXoUZqfyfe8j6K7z5ms9jLIF IJHbp8R/LuxvgqfoSe02OnaPStq61JrqIxwMGlGAPhgU0Dxn2glhNu/9TO/vTKl3updZwZYAkh5F 2QK1wVqSAxpFjK3PWdgz8ZhAYeD5gAM5f6HaoMw2oFpk0xSzICWcaBg/CnBNC49QRvyRv96cw7wt exRnT8og0JnRBpumCluH0RqTkRMJgHFONBKix4wQ7pzYF9ZGhQ98SxAgbRZtu+RahHZkpDNzZw/p BGYdj0dM0V9F20TtUi8j9YgKOUhkyxJuG6q1bYNHVlLluZYA/lQy0sM4m2byhBva4DfbrvQJyRJQ REuPCq90s6AlIGS/XEaKV1uPCtuLEFIYCnFAr0gSCCfCk3zOOCkbng/utvGLQgIL8J3uJMWBNs7t RAywcLb7mn9m4ZK75PaaraSQDzlonJPYbuNgk5QhCQG4sNgRwFaz7w/Bj4XjPNd8oUjsEONLgtxf 6Szk+pHVQpZZ6gcNbS4CHs5fgOf/y35jF2ndLlIPS9ukkAzonpOzjjKhW8mCCiMrWeDsi6pIPQy1 GRzJJlaD5f7owu7VxKrt0KqFVvDZgMCjZpZtN/3PTItz6n2jo5t2n9OAJGwecC2hB9NZwj27ouAD /guNhPpmYOvYNL1H28AjS+qqswnCUBKd7nPXoZFLFTIJcaL+zLUURdubaX8R+bjUL8hWO1f7xF+E wzudWJvuQimvYqIj5dHGT5Th7FFxu7IguUmS0SDIFujxskqveeLxKPm/saTaTicnAknMJikCbXei /5lUSTAxAZFmFclBwUS2klDE0FqKMCTWARgE2lRZC1WeSif3gAkFJTjhcsHi9onB0UxIVGWpLG5P aWyd78O3RMA2aWu1O6hEstoY3DC1LT4erKQrEpFYkkTIP/IlacchN9cP/yhV28A8tmqbFKhZbWtu i9qj4vZSLVLMtnKZbQmWsZDvWaq2gVu7KOmKMP9ccCjq5EdIY5BD2iMcSZfAViUsiYOaSQP6lHJ8 KxOPR0Rtj7lpb4Je96AggpjaUdA9tZaK9Y0QLfUbLeXphzcpUowoR1Tdnowo6XlpgwNJIO0NX2W1 JVcVaTlB2KiXcxqjeGtEEmlzjyjozqS2wanQBzUAzsVIlQAcbhmPB0H3+IaRQuSSeCiboijoxg+e TYFY1kvqsrEimawtALmRbVWSEde22Wmb2nVz3UgpwXqJjVWU3UZP6KevgWP6ZxVMPeIHVyDDkY8v iRiSmByKwqIgiXCQp+06meOcMlfAJIXF7ZuZSLIV4JPZLgVghMBtobYuTUIecAa3QSmBNAnUqE1D eUTV7az0bdOVcZFf+TOQm2yW86B0RtMAMLekVIYoEjEVONxuGoCaI74jwJE2mprA6JSDqWMBRFgE DT3/weP/pU0CwwQEDz5FMZSksOq/m9eSwL9RLWmtq9HGnaHNFsXcOpIg8cyBRVBO0QmaALWVMEKq JxqXlhSMcahvjYKzhwAoqOE7/uhJwpX5DsL+4f7kGQ6DHzweHEiXSEKh17MIWa2wKYoi7k4gSZFe K6u2IyfHI4tegpIkzw2toijilkVz31KP3I96JOROU6rE/p0o6NxB9ApYaCEO2hWipW1cW9Qt+CXB Zuvk/cENBAO6yFtFyZXoDXU+sWQ8H60j32w47oFF6PVWSkYccWtmqkfcZZtRMzDC5ncGj1hPcUcU cUvhp045es6HI1mgZzur6H8gQZbK0vaZN3VNkc3bOiIeCt8RJLjUtsUN4IOuf3g5kb8Nb3I8HsFt vLSNf5fpbbo42xEitueQ7siwKTIw8qJFwEOQkBvVydxGhNiWLXOHPLUNto7g6LjnoFTyicV206G9 IV2D76CV7cVrV73tH502vA1Ngkn15lbbeyYxbZDcjjDWXt+xNjuRbd57LQSSZIn7lCtCUlJxzlYd rvCH+MOmKIq1tXz6FBRZImCZeRu63DXJURD+8eBFnCmCXlcnwD3XO0XIkPAdf4RHJywlSYJINuFI oIy3GRuiK4Xn/9VoM6DgDyRkfI6iUFsaEnVN59lUiUpjjgCQtTnauLq10+JQ2zzNpg+3+Y/aPy4C lBTSloIC9smZzYUgGxB132qMIUcYaSPzLwCSYACOKktL8yQLifQThKcLHxBUANovfgSu/YEfy6Yp jLUFbpOD4BSQxA+kQb6sDiS5U2IpheVtAklypQEVB03TohNzWaIj5Qmp5EIvwTOABwBi+vYWwCYf eYQUSfKRWyfDnbadRSa4nQJyWEsnU8bzwSzN/ZWRoLR0LhufpBnWt9GAQrtqWNpGcQdJSKNzbECS DvsG1klmrOvHULsRSMLBircDPjg7VblxnLMKUCkgAEEGBcxhkiI5Hq7tDHEkErcfcvceyYU2UFyW 3WItFY9HC+kbawvMeB/jTlEUaoPmQlh7IXaENNLsVZJN+7JFaTZ0sKiLFFIk5SqciEpuya0WIVyT aLGzDEaSmQNj0zBrqvTqfWq31d73zyYANIFtEEIisfDJSeoFj/+XM4khaRp+Js0o0E6V5Ps8PWWz fgDPpOoAwNpGtkB7xmokVELubGxz8MQ2Q0ETKlVQj4KxZueZhIQQZksVRRK3xUPypff9AyV5bkjq kXNQkaSiwcB/PDkhHo8myY8khuUDErrdQ+0Zhdq0JCv5AgBLI1xMjK3pPBvphmmSwvI2lZF6ZXm7 11vehhNJ2lwsaCYBgtR4/8Pv6KRrSFJucZvwdHxHNEVEtDVZdzbjI9dMigoBEicxweMRh+Te/5Tc pD3FjZFmFGvD2uEXiXQrjGTuzzuVC2mcFEyn9gyV/kj+B9fPBwdtwVSGQpznQDqRBtI3JLaohaB4 8oPA8uojEbY9Q35k4gJKBNxwUPIPhQfisM5PXPF40JRs63sdQS8QJqg2R3GoTaEWgY8ZR5bubZLq 5rkI2ZLZdc4IRSJtX1ow2WAn0rlfC+xNSJA4h/MEEheh9qKfKboB6QZJdKCcUagNFtj/pmwno4T5 p9bRGHg84iJ/y0VCOGs8aqwzQpEMIbYE0+oPr12M7eQ8G9LsNUVRaXvZaS398fQIap7seDMW1jI6 h2ulIzxgvjSsAa/gMtqK3jYKkdjTBv6UQ1eojb3M1z4rY/AV/5Wwmac5UBvzIrZmLEbCCHuMO0l5 ONR2tO6dpLEcajNDyT9i/xokYGy4k3TObcjlcJLOyQc26slr85DM80//duLaetuIsMVaZCnDB9tq JxuR+euJMj4zpEb+AmwBjQh+i09RBCOZsiurpoRAOKnT/la7qf8GkkpTFMXag4LUrbG5z8GmKKOt f16F66gAkISO0zmOcH4BdFv6c2YPAttnFGs3WbagPIUBKZhJs5YkGOA6dxye/yceiWc9krZ0hSOj SLsSsnU2tHPaEcDavbaKT9I5TZbBI2coRiLIVpWGVL0aUhl2tZ3RIthIuU8K/528llq0OCKI+rNJ WkWv+1eABLSlD0L+AZnKW6KdlAaP/xewTaP3RPE5WlGgXVmJRBrkxD86Chisvd7EFmgGLqQVAUlk RN1oW67B0ZHQo7RKJFzN69Beg7NKJ26L62g9tP8V1rQJ2QJ53AdH/iVqA4P2X/F4RI78XkYQgRzp KtiuEEgyze3nukVIFxpTlIaXRwolsTRFfwNJqsm29HkDbdhCdnA7KCA10RNjzxbMy0HQAzgfBkeC 7CS+IyppC4G8NZCMzEMbki+csH7uUDwfwdq+m/8nVvsBO9fnKJTRFodEyD8e2bXU516rP65Esn2O QiDJVg1S3MhNdCTJh0h1Grv8wGyBjEQAZUK+BJ/lHwCeb3R0MgN8R1DQ3iz7N9JtZnP6KET+szzJ zhfh8f+iFM2637oA0hUWtGntOmvyvH/S3dSiI8OSQuC8GGFrhWDt3r2Sb4O3/lHGhgI+dhpMowqy lbHQv+8omEkVoTwA0hUXtCWmLecRlGcli9ExRUmMf+i0RmH21xzhX6YrMnopPkuhoHbR3Z+mNZAK JZzoskVPOquOjOyzFJEjUxO/hqFkLeuWa+vJ9s8BTUm73caAVj0uNiJJkXhnoOsu0mbqdYNK26QQ mTqIUKaU8F+tMB8wv/eZ8fw/Q6Qf4WwnYI02SVGgTXc4+LJYTRt4oOYF2zRcEvnsDIsi199CJEm9 EfmcqoS0Tnid2CeCEMn5KlA/z1UHmCzatY0sWTe3S4wjVwQjIdamkLZhg1I2ZGxMTQCb/6wQRbK9 FYkJRRdWKaMmKQRsF5Ujy1X2r26g0epI9cfl2rpVtVcYalfJ/pAgycFTf/RDOrRZgWrChJD2X7Ac NtAu5tY2tJKyXjeaI0aeaBdwqDpccHdO1oBOlNrweHS1za85wiEzH4zkiiJtSZGNfu0kLULaDO66 0CSVFtEqs62/AdtFiHUhvzRHZ6P2ytUDK9cG7f/Mki2pfw118gnev2FIkDnhWyJ0BMsjyMIpajfM jOWkBXJARuCEx9932/ql1QIp0AlYvU1SyI9ke4Spk93/QgBIhiTZbsOOt/LIiuvaqtTSkpvDnSRo SE/JkMC8Biq6Jw+FpMhGMwZEOuvWSp0LXxJhtqlAPmfxQZsNzNQqsO1J2lYUapfh9/9STQ4uGt7Q XmFRmxpbS0mISdpWy0cGLfQk1YKmszTIw1CbrUgWE23wlC2jAIVe1TmRcssdYJST7tcz7Rvs7Yyf 14OkMfS6ETaCZDYk3DaoFgmsFDsyZ+IqHo/WkZfZWCeApt0DH9lRqA3NZZzaV86mur8I2FrLJG3B ejdA8o41thlHDhFJxw21wTaDnubkOoJfeQe3G/+3Qvfv/J9622wy4t5RqE3EB/yHlg+cIliXJ1bJ EMbj8aDMdmva7FqygnTT2v0eaoOao61WPRuReJOM2W6oXRs9qDlFsQxJ10E0fXD4CDzxThpC0ZGO QgmJ/wl35UZZtySS/nSvUet/h5ht2mgtgEdtEAypzyZVJBjC4fFIzP471C6A2K4r+rfDUBuC2YBk G6e9XmsEKNu6VAu1jWyOwlBby2hzx3HwhnbKMFvPUmpDoJE7IaSDfy/CMpq6m7Ht0OsGc8SuP+wJ fFDKBhMsSdSe0xSP/4sYSUAbVD8BPLU5ComRWyyb7FttMj3kcVQpUmjgEcf+7xg8wnS2qEpSSrpb 7XwmBLDpW3fW0aYQF+4hcJBwdc76sLV4re0o2N4qRaoWbbbIgkZm82XZWEcReoQaUPfIzsioxwXY 7BCvzRLSyeyb8yPKNjmbBgEUI2udtNbXUcyLZJm29+WDd/0rMNHQIaCd7dnOlCEFlhH0zXO8zlvQ pm0XvuMvhe29q8kinzfhfT0QYKilnefG8xFS67vtz7C9PcYRoeLfstqa02xoKmvWGtVmDp2RO0ch eIQ5/3nT4YNTkc7fdBaZ/DTBIKB+4mAiMsBfH+ywCa29ht42iI7ovdYHxew5JCuygVDwo+I2Ho9U kb45yFApmPuy2XcEHkm0bl8wHHdVJBPaQshkvlH1LFH30tyh3J8ESFhB02BbbZ1zGXr/3GrnVFo0 2YLE7frp0DfBcvBudu9624hdy9OIHQ0Nwml1AmxVN+Pj/6pDNv16MHL1JuQOo+yhRLY6E6mwzCqy 1nbhqEIGsqYoBI8MrSI6bHNwWiRlfitpkRPRZGLOkhCpQoH2BwmFSWvSj/izI/DIoHlmgZKhDZqi NGYZKnhgo4Xa2hfyz00F4SqcIDZFYT07qWjULlmLXgwSjiKuTGQtRvBIRHYYYxM32julWjl4Mxss 36aNtmDpl5dokYBrYtNBusmhIzQd3LECCc/roqy/ZC9DzvPlhvar47Mj4Mj4JUACbf25ntgoVPrb 0tUcbvND2UI7i+jhai4209DHO8KNwNcA2CPyazh4ZaQj8ZxaRRD+RW0NtEi0jnATqwEp9DErtTuU H6F+/YAXkA2KsGca/Au4TvH4v2TssPyAqOhozWiKhNN5/dZFkZaWu+ezczraH20rIiWAPWxG1MJH /VkZqTywk9MVUBlBYa0CWd+wPTqtjOCxQydKiFWiEPQDLVKspLXtjQPHMdbpV5KZPeMWUWXKCUyb 4Gx58gP+hWUnghKQKdhQ+EyFRe3NEiSdrb2BNA09Uqg2y0g7q4TU9VHRTFFhm5a1GiyrxQF7/o5B PRs4LaG6DxjSmcwNNGUhWstEfxhq41v+ov7NpYlaFtCfFZuaxKTa+XI+HyQkV0CKQRIqo3NeiQ3B Ud4DUOUh0/GjvV2PjTbcGYEcSXNGSCFWWxMlxVYOtyIJjj68IOH6c352IMLORNGqE7H4Dyhjj8lo tjeOdEilrrlJjqDfNGNAmElkktzOVy9+QBArZT+fJH+LNklOd6aiAjeIueDEus4mxDWcHgHmoSVv hfq8mqnQLVKl/c57joMtqXOt7QzBGStLZnAtzuajXUyCby3q30/nttgbR842DJgm5Ug5GNrmHMe8 OCogAHg+4gB+A7c2wi9UQn2iosgbzP3r/0OSRLnaNruNaXTShpzF9l5U5B7mWkvnmurNZyAlS0an Dd1bIBrKkpLkWUbQbQEIv2BrW0kpD3/jyG+DVQCykjR4vaRQrOt8BswkUognuYW3Kluyc2iBNHWn Kqx0g9tfeI3rQC8SRsiMLjEtKlAmFnHpSxaXutmflMFvuwa/ZxvUky5N0P2QWgL1kbNQbjimcEDi d/YCZdr2whHLDXzS1PsdNFNjSlAdRAA9H5nY/nKUOn/sLBcLmFNY7GaNkkeFlhT84ryQW6l3s+QB WHxJRWE4fepnbSouNS8unTttnwNzC3ybOtSgib1JdAGEetkPNUntPMclpK+JZKXYE7DWwLrmZCnX ZCTTouejIMpDcXK4YEIwZ3pOqVCjRA1veUhqppyhBP7bspmaKNfbKRVrAk7eeDX7cClKGaAC9gXK ibDOwY/myQJ0Imdhz/ZtnrDLzq8JltSqVN3m5pu1mSEg5E0qKyhAafL5IEJY30kLqhJzXmHpnKKQ fPGUquvK3bZRrnMrsVVyKYNgkVkCRlIlZYvLpb73vH3vDL52aTwzAdJceVPIvUNYFeDAH/VPDBY4 7X3/ChC6FlS/C2pB0IWY9fNNg88HO69eIA6JzTh5Ur7ZnVoa7/O7mQEXF7yf6fYHrGjJDLi4/2ZO YfF7yc9tZh8eFQXgKCr33jnKM9luA5UDmMxSsKRc0lst9sJRuYll78R1xcFmKvHMQ4bHkDO0vem/ Qk4UQetl4ubQY1IiU2e5GGYp79Zu/VvoCnMrGW6fGGNNpHZf7+BYk3NgYAIy8wugA7HzTsKaQYRF sI+2oHu60G07ByaTLsmBoMkHZcJzQ+KDh+KYfD4ID66pCw6jKee7u55Cm8mWZVdqekngCmRXvwW5 yBK9xUKs5ikCnEiNayyB39cDfi8QVkC2wqoTRJUEb0ahnorchC2Z8h3tSvIfNpMkdgOQZYMAcCcy J7cAlL/K54PIvPy68ibau23eaQqNJguTYC0clQxG8ZLBYrheqBDI2Krro6IGL/HKwlV016UDHRf6 0hO1fCynAT1C6roj7u8IIca+cFOejvySv8Rde6dQIOW8uADAzZyMinqqg89H3YJvoGAhPfCqcuTA bBKFRx5JrXkJczpdiWGV/lvQZlr21RRG5eQJrErFLQ6aphPzjIU9ARTcGCfoTKww8CJvrRp1yWRL 2QbPgdskNh1Zgp1VqOowMlhyQcLihxCfyueDuGC1rzwPt+XZSM9qClUCp+TdbgHq5F42TWjH+jSd xXSnKSRTFilzpObDBcGf4LWxLQ+UNPQruJoAmO4FyGB0RCx3kaRSDi0n8buC3EWzoDNkW04N1kyK Csbm80Gfd/VfgSZ+nEs5zYHlJKJoKgXs7ArvvfquO4ct1RQL3RRb910XBuREU47KzTfqlXiH2SZw 0fKchJdpKSyJozs4AOvJ6LY6jklhQWg6ed6QdUyyBjmoVpdgqMj89nwQn/8vypxoQAFcd+cp5FSa 57R1ePGPBmmCstJyLHzC4aEwM7SdFH85ywkvXye8hMbBSBWWzHAwS4lSk5RWOos/o9vU2lOvUyEq 9J0U86SRM6pBufDqrdBUBjRJPh+c4vm77ttoPfQkLqHxZKJjwJjd8V5DxhNMXACTF9FrAd5h8xQ5 4QhdAVaaD54Lp7MN2bUGjWmeE579A3wBU0EyO685d632wn/cducc6MsH3XYzZS2uE6BVPh9pvfyC 6W5yB269LjCfPNE42yxs7VsZqjoItfdhzN16DoLSfJ5iFIqueqqZljpuGerETgUaGpWt8Y4ACkym BaOlnBg05av3XtD40ddEqvj05h4z+6BMuMEWXQFnK3w+EjP/jjLhodbXV5QZlsll0k3gqCaqVIN9 nT1vvKYzUc3dFXNsQcmKATqgPthEjQExRXA1Qfka52piJix5ZWjJW8XgO28JPSilQJWS1BWcfQfd t9GmWlKz8fkob/lWx8tAapx85ybCoQ1lp6B5UXOFoEsdVrIz9RMKMEBDx+fQh3INWXSvO3gi3BA/ daIIwResAMGiSQ62AcQLwQ61aJwtDH7JH00XMLBscLHujXXEQvlJHfh8ME+3S86IcgrYeqcpdMZh NN4Lfe860+DmNMu9WXaiNl+nfXDXR0VZC/EWagVz8AbneZuERJUYub5RySFsB3E7wIRgTPlykll3 6EQJtjT4zEQ4cTCaZeGVwKN58vmIjPqtskCEC4Itn6ZQ0WRJOIBLaD/ROM5xeP3pHOfl7qspjMaZ rRQCKTT4fQcaLXI8ugjUTJVEmFPhlz3H0fl11iNHRUAgv+avSl2GnCOGBBdiRowdmt344/tZa/yA KCD/ZWpGpNV1WsqBHSWEhMX7MhWKTLU2m6nzt1XNFBKp4jMVA8HNZHn64A0FeBP1UQwrB6uMTCcB Yj4AwEXK/Vx52944whWwR4XqOgcLNTccvIgIPMGrXvNfNrl8PSjQ7nlDzdCSctOTajx58FAIdV4e EO7peXByZEEOPCkzNVoBOFL7fIwHMHdiOWIvaSYMNPKWcjckWICgyKgleJV8+gtH88QVlQguSPBp lKLkGAZIBK988gMi0Pwvr9yN3OXriIqK5ATRYsMNBxbWqwMzifMXGQx/t01UCFMRtUDKC+0qL5yt hkJaFVtnnwcbuFxnlqBT12HqhZ3v4Mtc7X2jI4o0MKTANjgIw+6OdpJkPh+tp28qOP7A8VVXCY0p gV5Dnc70llE8vZ6Lqu6wTne2y11Poa5gV0FFSvDrUYI/dx2gJDiioFMNxBuDrQyGGFBhNV0DD2B8 9b6RhrewKiP7oEjzRPpVHc9eN5//L75CwPV2IHF9mqKIfHCa4EJzcWHGfAJaZS9HqwAZYtMUFshl 4lEleVIfxZxdJxRPu7AYKBVB6Bth1fyZDHXR20GhAtvO5ikErEieykB0AJ7yREExX3pYvSa9ZZAJ zxuRs42HiXqcF3NoTzmyFKrGlfKu2X28rGTAAhQjCEUGsXEO4YUkfGtwfmGH3V/dVM3PJyAEtxPQ pwKRiPMTQTrRcD3Sg8uhPyWVF4EIXz6IX9hWp5kmQM+Zz0fdzu8AClELhI1uBSq0qGTGDq1bX1BY wF5akf8iSivUF7N5inmYXVXK7YP3ps6fAKYhWQargmNY6C18wuaJVhiKzawtkolR7IX/QNAL+Mih 2oJqaSlBw3zx+ag1dX0qtgoxP2jH+kSFRpWLitWzXbGKmQx1gFKdUcah5+Nenjl2qlyyYZD9Wbuq 3giZ6LlI+7wEeDXDLGCifyDR9INV4u1zIsRzaFXJcxyAhe2DneNAMDAVZn088KqUWtRX+3ywRn73 XehVuYfC8GtV3dP1YYSkm60nik/aNEUR+aJA/PnC6oOX6s5+qrPIQOfEACsZP5x9BOTD+Zsg3u2F A5iB/CoK4wIzzSGx94QIgkCfw5bP/0vVS6bNkBq48rA59qtkgbyzaSz6c63J7zs/qk4inJKXVkLD ys51lGtrPlya2AmtqLmJkHxDXA0h+UJ98ARCMBV6Jgp26/bGQYqnFsKgeMWmbjWVz885UWmbCpvu zA/410zhX16owKJV5DMVIlc6JfRTvb4Vuwzv4KVrEZO4VLWiopB8EF4AbSof7IQ6ic/EEdepc3vW F410OkXVII+C6i9IOUhgbvc8cK4EEUpnk6HsEGqKnjHPGcW/8/yXmR8Q7T0PNVliQNPlsa3OsXel zHRq9urKyNXRUEUeqKiuzEwuNJKXwLzSjyjYO/vg5boGbwbbe/tsPNSSUdY8BwSohmdJEWZgRrH+ wkFZk7jxCU9QDNDTJHklTahYMCYvafID/klkRbBJ/PkTkwcWlibrALCKIxEZ4jDLg0jcMiTiQG9C ZYPQw9LU0BolPjk4I+psinMXJMp8nVshI8BcI5GMlSgqjS6C831Stzf+kze2avVBhYMNxKMkl1HY DGwsvwvlmFVgQ4D+uxMVReWj6AhPfukBrW6osV2XC+sAj+qnVIRcQbWbAlbDB19RVBOf4iGsExej Q0Ipi3VedP+yQlfBgd/yB3esIhvl0E1cr6K4KEH/cyBOfkCQvlyID8U+EWXkJ4wKvCzBjeJ77n0p 9rM3myjjS3c5ot3jPI7LJfQtjpT7cSCMgt/HRjkZW4/qpQSuAPQDBPVC9ztdhf37xpGY5Sa2dVUf 1FKYAy7lP+ou8PlIOi59neZomox2oeQ59LNcTfWnMr0UJVnUTammJVF5aKNUL0XFhpYq/S65NqwH j3GOpHWOj6pS1Ab/cBJeB95uorWfoCvCQqlUHjpadsp9wSXDB2GhgAWVtuB5bT4fBJy/zJpRUfqh 0oLPVCiQss1+cLsTIQRzhK4jcVq1TegL+yEVI1cymT2l+qAlBfFBOAyySQVsZoWa0IJ4oKK4/UNO jXepur9xdEixdADfBxvs2jv3KFsCMLji85EbYfoKpdCapimwTVTsa0lUEPxqHJJBvShBMtJN9WgI rgAhMLbMhpYGFtoHg2Qwn8GupppFh+46lQvAQWzIoVAIU0qcKTHIL/nrLC9CIYIAZM3hdI5kqgoI axA4W36neqr0nTdY19wih86WApXXfillY5j2DoScGVLRlQiVD2V6obWlQVYaz3IOFpkv+KVDxFzN 4b6zlOQqFcoZdkJIQJneUBgVeFsiXhTjbmpAYqFayTi5NkGpoLDxA4JL77cUyLlKvuz2cuhuKYsp NLa9xiJtAnI52uXeUXHP1lOsl0Lhpq/BsXV0DMyzijWFioq66GfokDvVthNiLBV738goldYWhTrp 54KewvHiNqqJyeXJtxc/4F/uDdmO8g5pGp+nKDCvAq+g7GgZcTU5B6zt4hjEDTFVm6e4Vq6V1O7g Jbt8QgD6ZTRIwGego0n5mPBOzLDeuzJXsifKgcGlbiwopbXlgzLiBgatbjxAoUKHy986VxArHe2K pebA4hLSMmSWC9PKLVimtfKgkOqSslgPduPFHpckBdENz4YHed8GGyOglp/ophKBWIDemtAhy2BY uP6OmuihyaUkjaqacBwEyjjxxpYNz5iVz0ekFw+hGC2x63IFinNoc9kINkiCHWI5AT1h89T7vLop uMxtnkLwyrBUuPhwwQYbBqrMiM/ampvg106+tixT6Aji4JVtLxywqKgGMid7epCw/1kyKYKVFm8r uNfxA94P8rW/E2IoEIz9taBCBx5xzfZ0uWsKLbvZ5b5m19MlL3LgdgmGgproo/pgE9XHSeknGs2y 30UjBhMFbsD5/zOdd9PdeRSYy4HhZf5/YrtQzB/e6wgTeHPVk2uxi37WVubzURG4fU1U7uR7fy2p UKvQsgfTk2E05XCDonoLVJ0mnLFtSUX4lapquRjnHPyE2gXheJUSHyBDOOzHAn5lSLhv3nkSOSgw vUSPiuQg3J826IQ6d2qVauUGNyhwvQTMx0PNJUI+4phHsPDzGkF1tNEbCQrNaVSJt6PwKyqhD/ao 6Ft0VtRr/NSBhiI9eI87OCgD1U2aCgOBc9YrAifoXkKAAF6Fq35RqBA/vUZP3YX4zuN3sDlKoywp qCw8/TJD5wW3Ccxv4cjRcp2ZCirc69H8yDilLpHwIKDSkjEXU2FXmMU6XGNaR8H8dAZOABX4YPvt hGL5ZChNB/i59YZABsgwTux5vgxML7NyWDqYXicIHRf6gVEeVIOOb6woIizRx/u8xkwdwUS3CVJZ nYt42/y8RkznoS6PApdxwD8Ol7zq2fFPjUpcXD+v8RLWD3vmMxOhOtXdESQaPotr0syR5HJVOwuM IRoaU0C4XYuCznd9Xz/yJ27UEtVgjZazV7mYat/t8xoqYXJ3tenhUQ/s8aBIIabnNVDqJkkHd+J6 K5h5ewu4Ta/1ks/A6XkNkzrKMYTUo2dlg4My+z6rc1k42ScVYM88FfAtcA9AAtfCbv5Kn9cgqUvz DFmJfHfRmygmBjq75JxPMJY/rzFSf0JJ+dAX0F4QW2l+XiOkjkI/S/btSlwXV5PB9hrdAm7ZLdJT Nlg+ciQust0pAvErPjpXD5DiuM7OcV9pBbFgBU4GG8+eVX1/0YHp8xoedcEVUDEZxQcVTqB9z+CG DfLX4AhP12YTlHRW/ZxN7gvoNTTqCI2IV5X3kDTAkyumzFWWYwhQb5VbajBBc5hNWvHBq5VnijKh r0Crwlu2Ub+JwNEE7ZHS8hUpoBj55zUuws9J6EBTXNTc168mAj3ZMjhxxOc1KMIKquNrBTX40ey7 gl5Dom4sBbhOdk9FVnbLpkH4mGR3gKCQu0U0QU1YFBmAcBlqgs7DOy140kF15yTJhfrfA0X8hUM2 w6HFtxgzttdoCFssS7xhEUEA/TgBS8+ZlqgWBD/x/nkNhvoTDGmC4CQ02DHkBL1GQjihaQdQSN5T fZL4asaMbV3/z76z2X+8xkE8oWX5RZ30Otutd584AY4PmxEjKMmLuPCELl6GzsyPfDwttiah/DUO wq9AebTze1YfDHUJoibBFSeS+rxGQXzF7zMI9ZW+qLNPTc1ggqAIgDO6OF6gjr28D57c1/ok/d3O oNeqJDZ43gKkZh/sDIInNiDnJNwvoP8ay7dgUSCvHdRcdIAcRRk+rzVJTBA1UofodGPR1Yl8Cuoe MaCG/mcwQX0tmyDG3ufXn+iaaoJey5FYtRIB0R3PcLG68XcXGU4TtLur7UQx0BQujncZB19B6MKo JgJz7ETbeHg1IIFOqKcjV7+4OHo1vZYi8SsIiFqYoiHnUAkogWbFKJF41NdCJG+x70MahQ1qSHiU GIXR4tjDyNd7ulKOxRS16uCcE7t0LxxFcbTsLHshdpCDl7bP4XPyPRo1nv9nFknsoxqNcxoWaGk/ SrtNYgTRKhpF+rHbBx7UldpbFM87E/55Z9Bhn5nIvmIhnJWdxUhNUhRLo/OTccV4pyQ9R3WBcai1 lMDzNi5POElyjhfdsPYHlwPISUfGCkwqukto+J5TEnIjCUlWRfXGurngOvN9g9OaSNQzs8MHlf/P ryIYKcQtP+/8uf60cnUY8URb1EoRqjO6zyqz1VQvOiB1Q1d2xTVcSW30i4YLJmkT4obKsg+O8jrz fs5sRtTADGZJ7YF/cUJGyjytGxANoXWDKZLObtpp+6Ap4nXCKTo7+fPOncOK6NumiOqoqPoCGmZT FAXVlbCAzt2smEiH0GBrcjosoJQHjxOc2F0KslOc+vkINhaIxE9QBnClobNOg11M3s8E/7QUEsXt Rkt63fe9BjwyYBOELXFQ2pr7FA4OBZvPO3EOJ9LwqEiQXrBV4Y+sOXoPrJFuEgVAUxGRn1kIkDjq bK60N/OaXqGN5oh8FNSifbDEbGMVgbkjEuZZKUvwL/oknw0HPSNvjEwVHsPjiFwLmLlAuAkILWEq O9hNNIY81+TnnTTHuKp/nUcZ2VRfT24fBdddqIl5e9yDQoI8kGCI6JNUq3NS3qNr1/sAT8QHb4tA mGhi9TTuCqpNoEaEIgbuqEz7Dh3aI9vrvs8SBGcHqBrdB1EwCw5PSX+W8nlnzHWZPTznEV3NJ/Je m6T3ABtRDZmF857avaULBFhWsq1s9dkkRRH2KLL8WtmHCzsFtPtebRXdJLpZnsU5E2ue208k5v38 kvcTya409OtsMNZz5/lG7c/9eafLdWkB2SQN5Su43u5KioJs6F7j/m9OAyN6y0AA08iGMEaoZo3y zpbrrrOPlocPN0YCBBrtNNRl20kd6fvRgYOaHRX0yRBJFPrZ9brvk6T+GjwBNQzl7Oucx936kJ2P B1Hkzt9hNhQJqWijOXqPs4FREmq5JSvJtpQusWmbeFOFOIuX0qJAexDmvRobQsvbOS3T+W4wkwEs F81aclkBOjiveb4NuJ/bM+p62/cpUiskoT5sg4pFq0qo7ywNSOtEgfZO6Xuz4aKDEJbPURRqi/w1 y/YC/xz5sWoq23BcYN/aHP0da5Mjb4PNUYJvU0PnHMc2ImSCLckE7NCjzKj93/L+1OtGkzTo0A3x Lw06kc61U9T2J0AiCraFrcKUcM2BbomI3yqOUaxdp+xjsosRA2Rle03GFGqCgKehkmMcaxMAn9j6 5+BoXFZhN7G3qcPThjh4ikJORPYw7fCiNU2jPu/8OBYoiOvGgrBBGS0UmliFOb9r/rzT41jYmr6Q 1HtccNT0+/+dHoeplUJq2S5+QgdOF/4eyepGE25bvP/f2XFYkvJryKzv13xp8wlcqAwvC1TWMEXw QkNHDSAFiBWDlvqcSKw9vtPjWNunB0GS+hCi36KMBDQ1Mmw6xE/e6XH/c7lNMH5Gv0spql5P2hDW lfxua7leLR1Valldw/bVUnoPtqUdBrFm1h85ePvjJNjnMK1NqS2mfFBCXmBlyN5AI8kpOlpL7+E2 qiPs948hJVmgK3ltT4BLWW1D0eTzTo3DW47vCltBGFmSH0rvzDhuOGXCs3mYtPaV2mNXV/h32G3y UHonxnWxytEdmsUHC5P6PokCXKdUhIT6MdbSRqh9IhU0RyAu51oVVD5558XhW2RDNIXrno+YbKdD AXbcrJ93WtyvEgCXUoHxN3IlTVIUcDPDPC86r9I+FSYsKTFtefqiW8D9Toq7p1LdxLhxcFLcgCsK bJgBl8SOAnYCcmuwJwFbBmBsK9TKsemdE8cqCeUX8Ok2qJTdR2dbAvog6/POiGMAcXPbaaeS6Tbz W6OAG60bmOE82lVXR76R4qdJAt3aJikqZ6vPX2WzV79s9iqEp9fuFHtFwD0qa200Es5UgwQI4J7d S+8bxEkyIqCakwbB2k7w2ocxBNbnnRDH5e5BAHnQQLX1GwO88+Gw/iTwRYVxldu2C3z1q6WDWDJZ yf+dDsejm/WR3YcPPklp8AKVQcr5yflvDlYhMxE2RZZE1lVbet/3Q2mxHTKGCM7Di/4NxAJWZs7q 2J93Olw3IvetAaDP1+G0YJMUBdyTVW3qNjkCaTg1oMo+HitplWV+RO9kOC7lxI7jM3igVKDVONWY BXQhSe4E6NIJ61gchDd1K0OvG80RIbcs2cNo/Ww+gZMBShVLfuz9eefCdVF9vyLus/hPYuxZyTsT DtsNFgNwL/ftdraBM00AOvxxoz1nmrwT4djd75wdFoc4eHd2TrD5FiOlvCqhM3BHRxEgoWIOhMG1 tVx63SCaRE0bXq3ZBzu3Ea0K8Jj7550Hh8fn/J6jhJC/efH/nQXHOaI6KuUyNUed9TYGSnlZB/Lk gcmKSe8kOMbumKMt1vd+WN+QWtjn12INAKLNpbDH1FCOPGFGOdlTvnK7yd42WkfyterVB3X4N4hi PIn3Gp93BtxvDASLvJ30Ej+23wlwCK5k2Nism5ahw20ucj2X7E1IAnPUxY4jbuyyJWeC1a+BLEQM Z2o4dOD6fSKuZrLFQMwC+IHMxBW9EDd83ulv7JKx3jYpcc1BmRtWKX8UYF4/7+w3vmL9PrQhnrWb t0jeyW9dfBZqmly6RDNDOdDgsldJGlRHNEV/1raBml4+aIpwIZ9bPzO57RNVJR3vdCamPmlCLbob /6bodaM5YpxdWZrkYPW2tFVNP8cLH4/O7F+9foRtJftWeye+YRlNOqSUS3xbu3qzfwxvksBA2EKk d97bcxwNYazGNUdl8wiRJcttq3U4yWAZYVt19PKQo/qR3YbeNsjbyCdpBLBpUG57Ioku+tsJsj7v lDcmBH4aKZEBw5v6h5qjKNbuWZKerB5JSG93g2ovUXXBT8owWyFU+53xdhtJmB4fbKstELyhHCCS d1t5aKvBcTmBN5MZRpp5fNLr/tVHwjXGMBKuzF1n9rCgDFLmn3e6G8skfiDJnw8003Wr2+90N7Y2 SN2qV2NwKIVrVElnVQmA9lFdivGd7YafCWAH5JvZB1tJszTwCoZMLBOrlFhs6M4WWMEUD7UzY7LP O9WNSRtDbemacNCZjUoMq+nneC2fd6Lb71CbM8Sg8+KO3iNtdMKN5+brqLrSN9bRtKZ2JeZWMxSV thORx4Bm+2DraHSU3pDq4sg+eeCSLDgwNGh6grr59EiGvW7QR1qiA/bkg84jANdk+n1iic87xw3r 4dd5BG1kMP19jqJAG0ykDPS1Q0dWv6TJmWoy6AgsZRRDvjPcuNeEXqc8Bwcv2q52FiGB0GWfuPr/ Z+w9k1zZlSbB/1xL2Ri06P0vbODuEUjW+zLq3LFuw5nXN8ksECKECzRQ2EdCLRT21mcZXekugx2/ M9xuSbIiS7ZBlaQNgJkcrSdfMmjajv51sbVzj0B/xicpCrQrgbRnJXnyX8pwB9m25nXZrc2qtu/s tu5k5aezTZg0Sd0bvKNMR+uNkL6SOgLYDcChE+S2q1MpwPE7t+2C2ECA5oBSFLfIBsyeoFTY+X7e uW2/um1s2p69B71fn6Qo0G7Ma2uyDBaItuq233u7r9WJcIqJV78z25hxUqRSNrscvLO9GmCUkMxE WQ3qJgi0K6/iBJwzwBg3jESo9nkntj39tkQvmQQmgoDUG7aZrAWMk6p83olt7ETNr5wN7O4hw0bO UhRqk35XaJ5khVvifWVEQOaHmJJleIwUFbcBRcE1v7sPt0RSsZ7Y/z9zVRLMh8GvqFQT3Oz/X6UX ShV83klt3b1SAPn2QYfSycetun0+4/POaeNLzu9A8iSPHWIXBoiMYu1OwF8n8oksyTFMpQtM7mUy VHUOCgoCEfl3dXuKdjsv7RaOjQXx4aCs2dhzTKm/YR43IHxUVLKVxF/r885oI2iYKwloag4mgg4A Q9N2O1fp553Qxu3qBzfdiKFPO/Hz2ySFSBKe3JUaugJJQDYL1G2eI1MEybPjYYWLQ+mdz2Z4swVb wO2Dn9wd8ssowqBEAqOMRXFKAMI3yDB500dOqL9W9Lp/brdFhZfESiGLbRWCvJykE7V+3slsOBPm PZMYJEHaqd6y7TuZjeE2K9qqIxHixqIF7SzWqrIhgAMs+ySdn/R+KOEyP5tJArocvI6UoX3e6P53 zjzwi+AkM7n0C8sKrHB73tb1vsHRTSnYNDRLw3RwgAzLsmg4V0H/vFPZWCL5bkoCTdnG8DPpncnW rxuxsCST/IfiBg2sO5sbMax3eL29E9luatuH8Fs0fv4xIhswuwDxQ5USWfSkGzEilJxQYiywA7MY YJAC8c5k67IVAo5Nmm+tWm17w1WUZ8pJsurnncjGEMIrkgSTTHAgLuDmncfGKJ1V/169mQRclRfb hul24UyqRjt6p7Ghm0VPhtUEMmpPHalBRb8CpNQYWNLlEl1J6t7i+6FLYcmtiiTvLDbH+RfoNPpg rVtgOBlM1tY+7yQ2tg/G1+UGF42OUqvNUVTaZj+nQGL7x2U7CfpfDCaHN0ngrmlzFAbcVmszQsQ9 kuo5lWtn0RFx0kndKNF8Fkw/c6SZHR5vA73N7wimCNz/c0ITbduGNWJA9EtZhe1zbHze6WsOUnvy f9S02/D8/5291mWnCXbtrZFUeQ5MttW3s0ZTcq7RO3nt7rVdeP9zsGV0IugxtjBJ42QFbTH/pwsU td3hM+fRdrK3jWBbJIsmAgA4aIpO0CJLkRP01c87ce1Xb5siSgniitW7SO+0NUJtMytt06Ft1Q2u qJFgcudn4zdrkLyz1rprvO3GUuNuF85eAZ9tg0k2Qpnc5HvVChu5iBnqcxgRRfpOWuO5sJT9Dx8s s8XFpima8/POWfuF2mKMjppHXzcjaVGwrbStE+IiqXOn15xgW6gASp2TmsR7LQRsi2xEXyENtoyg bJ42+yOF2RrDSIQA+QQ0EMpEhUTOlqjp8zsCTtaWSGfOPqiMlHajIxfFV/F4EETeZmRVwPlD1IxN URhpI06sRJZbpW26LgLU4C37h3O302qionZiSvt/D6NST6TYB8RDwIoAGYJ68TR9beLRAz5sbe1k rxvQRhIZWeRNajDeWp+LiS06wng86P2X27DlXkP9Yd5brceRNsUj1rj2n9Rid/EIN6pYKLOKWvMe aSv0WOtEickH22srtYkLnw6pkCarTQKeaE4UHL7jGjPJWKCH5EeS18BI8EHrCEhha9huPh6Bkb+j I4BKTsx5pyiKs8e24Hp6FWld+0/kuz5FaAFqiiIUCVgGZ24QIdhgUwSgfD3p+qDSK6ZhWVUbtDX8 RIk8fyX/1NfoUVXbjWFS8YFzdN5vTokBnQsYj0cl2/GVsA2CoG/C1sMwm6llK+3eatsr/xDn8ALJ Xn6r9aiqLfkfinjZYBFkruewK+z6IX1ImU6hLHPA2hIYNjqXWcmWGJIehdks2eLqHRzI52acjZZz kw1hK3g+ACT9IiFlGhP06fFRD5mQTTJJ7KhJCyE7Iftc4W6utxb8EXBq9yjQnoJGViLbONg0gcYG 7Sjae53kD5sDyT/cdqCzgeCoXWgbzafwLUGEtLnflvYbpfYV6iypl5z90zsej9ii3xFSxfVPQ1tN UkSHHGz951WnB5FybQREstbua6nBC4DZSI9BJFxLg5rmY1xN8zPp6Rx8jNZg7ZVnp4LiIIGS+Ts2 pytJ8W7rUWV7kUlLnaAh5X6JRCCrkR7aODkbng8ut/FdtkUcMvZFbfcw0mYZKZXllOOWvIzUcx1O aJsAfWolxZxIlkaWwoB1Occw80BciQ57oRVxJ2d9sQYAjdcMlQ0/lKjv2iPU9uStlkzSBq5cZqPX elLZ9mRsG8//a7/dPtLKHgH0sLYtfMIw89iMakUyefyR+E+CJKl8wZM7jrUpStboIs+BkwT9ubOY QDMH0qZCMw+iG+eLEUxk1m03zc9MjHPqfaM6EkEkiwzbAT1kA0cmqJYyUAJ4Dh8QQdt+wUjIQRje Aujv8TYwyfKcFeOIVJIm80rwj4loJ70fF1HlWoribTnIo5rtg5ckQXOiWDIO75Ro7HYCSej9SHik POL4pGbiS4JZqiprM17iINRWOxtaBOSTJOLxiGD73UoC46rPh4Ec4bZx7+BWwwqhZCIkoq0imReC a9H/UILTUopQJJ1pf2+Eb3flJJX77YRJHRwV6uKfSJwyEucHHHRFHbQ83n5yQ8uz4lv+kokooLba oBIJgoousuTi48FCmt8RN9QcAT11dHsPQ+7GatsyU5NMTzivtg3zXADSbmybpai4reZ2K7QX4mAr adHsLtNkN2/4nDX6Njfq4SPwrvnR0hikkfYISdLVIUEH3gY1k6idyArALBOPB0uple8oINFyvXsU MKKYm56XEP4rtwUwvQQwHzLy7m6WPqLq9uSxtBQtcvDE5CTKvUC7CKos56U2czcoLgFinn9QvDUu iaS5RxRz5ybrPKK2OFhXEhZVnKNzEuPxIOYe3xyARiG07iWAEcXcSktOdpBsIfV1nZfSMLFSXLrL +NojrG2rIimoZO9XkuUczud87PBxOEfS2bcQ+AeQlEZytC9EgcU1yBCb4Uuiqi0nJ1P5b3Pv8bBd 0M5lnWMgwR1hcfu2t9lKOqsda94nKQRuc5JWn+qy4TrOw6LJ7H6o5z5CQKVJimrbMPZDRjKYuw0J hTJ365CQpMof7Oob2LeIpaiAj1ULtyS3DECLH98RwEg7sf8AnHDoFkvW3iXSAm9DPB41Sb73Gjyi v5AkIwq4G+k27Snawj/ee5LOC4RznvsFjCjg1oEEE1gOrMUPIkngcEdcKbRH0AcoChJ0qYCX9Ihx g/+A74haJLJ7YZarYAF/14nmE1lC8GfeeDy62fzQJrwCYU9vyQ/tEcXbFGgr6miouT0dRXqiM2dJ ohDh4hFRvD0p70OnYxscIIkuNFRx2Gw7J05CaxeGPAP2GOfz0NE2ti1Nnz4jKmzzPDqHPvNb9HAE 4yvnKm2NSQkUL/B8RG7zA4kCy53alR4jjSjeHjyzmQmZ6JggSUhK+mzNWiQTy0STFMXbKJ+9Hkjn +sgokxMmAQQaEZLnaICdAgIlOArZQtpk/48Qsr2pKN0kSEp5NEXbZ4k01dDGxOP/BW3D5DZdoO0I IdvSQ1zlqiA1dxU8Wzxfh4A1jCExIsi2hOvmKNkHW0fozMNkSjJRqDLx5svo8nVUwJD2X8B21dtG RzYv68QIoIP8zTPk3AmDAqZKbUcYac/9NUUoLDUIddoURZVtOigVUCQ9tRWfhFBzdsuEI+mMjzBF UaStli1BfhzwC2dutQ41aZZJkF2hJcYpAiiz40yi3alNEWgY+I4oOkIvsudOYhsPYOHaTmiSWS5D UwrPR322/J20oR+xnugojLQXkf/7Em1EJOIcDfVKGB1dZtsII21Zvu1UfbiApAzof5ID1Tz7aivS RuEfHUnyxHyrMYQcYaDdaBQ02IucoDfkJNIWgO5UjDo39MIHBPl/+UZI4E4abXv9f4SRNv0Tqif9 nKVk5xFSdD+POmqpXElxcZvTA9kMGyy1rVD5XYmVpLPpdkGIDSPBs6s38n80mrwBQDNlfElQb2Nk 1KGegwFcE+Y952aemX8kHNXxfFQk+VW5hbYmmZKcpBkWt0kk7ZRBZNI2MiXvKSE9mePyKGyUb+/8 pOj2z7r9qw9WuW24N/cybFuGiF0h/g8QLFThJjmS4yHbzhBFIo7kEnd7tSsjsYsUYaHmgceDKWrf vTbMNE4Cn6Io0K6zehkSyBHAg6xacn5ubnlUJAvk1k/un/hJAfJ/U2JjsgXLwetIEMPFZUAeacoN /LN1Jh2fnujBUPpTuEX+g2/5swPQ9/ZBBaE01iQzCUZWePxfrX+uI8jjpFsgmVGgndjJ2WW4etRg GqQjqS+/2ZDimnpUzJCkMmSRDmK5nudAazX4YEs+CmEXTq0B4QTwSFEPBnDFJSKzve8f1W3p6dmg CslJOWXUhr4VHo/gf9/CNrhKWEa0SYpCbQkkZSoTKNRuLkgCGcfL/QOWUpMU1ra39dmKD7aS1onT wfKnR/VuC+EMN9sJOTq2aCtfzqZLbxsdSIS1VXYkp7cZ6okaiJcmhGTh8aD3Pz1CYsGJZc3L15pR pC0Y6QlM7Go7k3ET/7Wuh9JKDiOdIYSEQORu3vDrdpLSyhMaNouVbSglUGcKqBWcCeA3I4NzfSRi tmdIj5TVTSvLB2X+J0YSFelMd8XjQUfywtqymO1nUSLqskmKMSSFTLbs1q9DCHey/wXlJvt/L3Nx mRGGpPNKg5CvD7aOwIpIs8AABDKzqHFTjwRn7kyUjk43Rqr2tsGhrawW158NuvxZm5dU2xh4PAoj v5G20M0b6dJsZ4Qh6UupbPWMrfbianaT3mlcR8Cl2RRFde1FK6A+S/HhTtEEfJ2kFgh20aoRdvAJ 98rZknuMh9FW9LbRkU0q2yTO9gxVkTY8NxYT934CXjweJf73XhPPZoIvduco5EZOOQWamxuJEjeM pACBGiSt+ZkdS/4RhjypJcHB5ugsx5MTo/XZUKxrG8z0M0ewmRroWfVvGy572wiKxCLblkrSvlQk tI74Z5/1zscjtNYvVc3EnPbutAhDMuVGTVaCFUeqyyPsWr04kobbwM8o0pZP0ijlDp7TJjh0IzMD nW2gJEm2dgP3DfaTED27JW2i2mcUaaNVPyCazTkCvCmbNCvshfCV6yx5PB+Bkfw8WkKeImd7lCND bqTykdqL4/7adBGptZLfa4PVMG62UI2EWORN7VUNntaCN1KHRKTO3V5SknI7i/i4J4n5s1laRa8b cdrp58ZKkwbh/maqiwEOuFSfGQba/TuKbDTXvWokKwq0E1G25464AVKp3v4XblWbDfqFXEkrQpEM LaH6DI6NPJFfZdqBzVbgjctAG6I0XdavzWU2RPtfIYpEbLZGCklrTiE5v0ItTYitWvF4xI28dC3G 5+dYg3uFTVFY0e5aRiYaScM7P7PhbXTPo2Lw0RWiSMRkF4qGg7f+z25DoE6EBMJRCiWPyfNIvJl5 wUiAIOA7ouqIFH9Kl/cI4kke2hDDYdW+n98Czwd7raSvEHKecLUT3aI5CnW0G5OR/qBIRnWazVw7 /bgSiR9IK0SREIA8lYxMXf1NoDZU4sEUAWLr5PmNRQLAe8hx+wHI7YZH66fhO6Iim9BsffkgMFKF HJXASIuP/0sqWi1AwrQvxHaF3Eg6bc15BaQmMVMMj9oYuuLAyehWQlohVptUbbysDzdhaydjzWz8 b1gysGwExA2wiQgtKYtQHvzo+ruiDVVWDgAIMu7rZfVslH8otUZx9lNCwmN02RsgbdkkxYravPxn bdY+Apda7aMGEVqvjmwXa1khNZKof+IsbfCb7YR3oyNbBeef+uDMaheky4C5z4i2L8xm6nUjlC2V yOAqeIbzXxsRtPbeKQkKT/KM5/9FIHGY7SzdS0grCrQpzJH3LWmff7oUWTf3H0NHWKC9Qh0SWbap NyJtOpWQiDmGGhJ1SEpG2oZyGC3boHcDkqynI5D40Pv+Qfs/wRElNjgoZUOMR721s3/5kv+SrldR G0dSujdbFGov6WzRRVtF7TovGIlqqKJGFA+1VxhqM/XvqWUfPPXf6+yyRWoEdH7hxElS2zl+GO2Y W9vQSsp63WCOVGCDWioHadqdIykxZoYiRGp4PLravBbJxi4W0uN+sKJQG4kOEPl0cOcu7cSQollb 6aJFmu0CZIFlthXitdkbYVpgg80RNMfXplI8CFaQt12q2FZiIyDBA96/AUiQOeFb/qohJfpGQWiz mx3LiYQ7gRJgKOPx9922+gXZiGtSf2a/JJsV0iOxMs4NU8sNAJor2hSVSpC0dSDAdLn9jSBBmcgH r2ufkLGwqoRK2wmGF43vEkgYG8KZcES1dm2BwB2/JIJsywVpbR+42yi4pOu0naxtRcF2mfkrAAAR 9MtHY8WQbSyfnYrH2m0vF7WdVF1jrN3JHqMKeRhrD6rZdtnU9XzP7bMXoI9YKY2UIF1Ppf+C22ij boIq042SxtDr/k3WegZDp+VNuSDUkyoeDyKAfetsfDm8cr19th0F23Afgo3ilUaqMuWmdXJ3Q+Cy ukO2d0iOVMn2/yhIQ58LzoidcK0KagHmcQwEOROARaxit25lVXtHwbZwI/Dw9kHr6FwCVRKSIy08 HpFsvgu2lTWk5ff/fg+2JVsBzMgltZd0PZO7pDe418hP4RSFQiRTJxIdETncKYIkOtAMKMsjFoCA 4kmWzpLZ+Dd+oXuzUe5/h5BtNo/2lNDWNJJqwYHZOWFn8Vc8Htxs6Rv1VxAerWsZsUPINk7hwldV MbJI7HeIu2J+Y2AQGTRix8F2V8n/Dn6zpbMRkNgykOyj8Xw/CawgRYTRtcfaduh1o0Ibq0gS2a5X ZLvvnJdck8+/8fh/IUbmoZXtcxQSI2l63/Y1HlnJLf5ak7YN4SOM9zhHf8NHQMzyweeoJZC9Klva /dzv6vp3sA4oxUC/Ou8g8WLbUbSNzQJsDev+Ii3zEDphZBU9YmMdRfiR9kuEDMIDbVxC+w7h2kQh FR4DokcQYiAGyarF2FqUJtMcxXBtCUezqM3B4SN9jEGc2vnRIPnYqWh7PgIkF/Rr561pM1LDd/zJ Qt5qjeCckHAYzCI3WTIn8dl4PoJGeN+fyQvQ2o/k3w4l/9iIbPSNMSGSci01pbolIRKXatkheqRL gcRIWw9am4dF6RK0OfPQKqMEcquHxAn6BWuvobeNHH7YFEF52QZjIp1v6IoncWSHDjaPDslk8n/u jP1ca6HENjTFztuuh65VbB2dv8r0f+BhU431t0PFvy0hEh5LHLxgexLycys2wpAa7ziuI6irdABs gTTwhnbvetuIXkvWnxJbDoJqDXSohkpnfPxfaDaV5FDDmg7426EMCW1+ULdwslYfl6xVujuzDoCF NEUhfESg/ylh5PkII29Avuugk+YJdOemMesssHUBpvRnt2uDRAlofEcQQWbODRJiGzRFBMAwOhrY aaG+9oUg8wbDVgdA16YorGnnrSMo+U6j6jPJWpPCpyRrbQj6kBe5wyibQj6zqJJUHio71Eag7SAv tr5JjAYvEiAg6OjMfRURaYj+2aEECZdPxp9qg3YaEPLqsJ1wFY9H4hr1OxMB9x3kMZ+jKMpulEWq w5i09Pr1E3vW1fw0osAEl1GEHaFmBmCh3YdbHRnwHsjEHycUuwe5ozg/SDRQF1L442FvGwSQlWU2 xOc2KMiedTde3FDw/uyooP0o2bHjf341OjpqilSDfz3np6Qtp+e0k04mxPvXSWA2+LUoj5n7eAqj bP7pRe3sctvZsGJF74Ul7fMzA4VCo51J/fGM/7AmSv7Lp335G7/NU2ERCH0Rkkc2AR1iXUFlm2iM 3vLkB0TwUT+TSERG13Rf/aicYnokdhyzM8mQ1LUMZNPAZRHJBoCW4TMVBtsk2GJz+eD0kdkXQlVK bJwVSt9gQJEQbBNPSMCWyf4w2Ma3ROgI9ZHY29jV/E5OxJvXUvn/LFQ+H6Qk7Rf37xyYyol8okJT G7BEwIFxfGTvpvdfOqEjamyfP9CNNlKI16boYW0yI2v9OZsgHJddQhqYiMGJQkckU9WAJ/l1Gs32 xpHOBjmkmWIkUKD+EWlvozvIsgOIq/yAIFrK37h2qH+MlJ+ZCmvcW6FA91LJat3F7VW3kNsGyhs2 U7FlJGuSyLBssCWVoD1/ElsRt8ARA7frnBZYnQkCQDU/5/giUgJf8wdUErq/wwdD3GxoVOKfgAHg +X+13fCC8G4g+donKoq9ZUvS23a+TWeVU8asMvhdrJc8ey8qdAu31navPthEVaD/Fg7C8w/IldML Z1DxH3bkBcvX1aQKwUb8mqBpQlkSJM8+6DTHRHE9nFM98/mI5v6LcgP/l52+Nl9Y7KZYYpdhFJsD rRnOrQ8BBCtdbqAWIm+ysNq9lcoJe7Prs/kKVIAxETilOlywJ4FuHacUkqtJprtqlMlf+C+WW0mk lXKway/VKZHbOvR8ZGR7ubfS6N6QR3+O81CfhMFBUhi+1Pl25g0Ugm1J0ZzcllQUhwNsB5G7PH2w JTXOVTOAm+RE4djFxXd2DYTuEyJvFlbsPIe3m74mCKLov5GqTEocyoWuQGsSzzqzzecjbun8taQA I59XXiKnUKZEqklAxttMlV6syTQGIbiLdTjv6OYUqwLy4ivss3FwONf5yYa4QEAgQEeUFV06VmSY 350j5jZQSm32xn/olOF+mBqsO5BPalhYQzmxf+PzETDwVwvlnJHsQ/pERTH5qgoy67LMDmLAVkVZ Y7pyEm5B9wWMxEoqT6ne1Uvp5ZYITraFvIcOE6mUksA4xocjKoIypnooBg2c9r7BtUftZMAzfVA5 7oRrsmRu5wfm88HWa7dmye534927nomKAvNFkimUn22izhTXW7YsNlGN4GKbqBhrwghh5OKD15vW yVcqkEk4zkEalKsLUX6b2LtcLu2tFnvhqODEPTfa9kEzdbIj1hjOjDPkDL1vbuOyqO+C/3vxuDl0 mgRkCVuv24pCvd1rl4kXrgEF2nATxRBw0iV5r4Q438IcgNBjAG7DTPhEIF2i7jiZOmR6gNO5xi70 3M6B1aROY6gD1eqDcmEQDaVYdg5FPh+cUbfsJDsO+N89sXloNolWeZa+hWXDabmr6+jZMr2y6Oaj eYpQJylJtJyoGw6GgE/n4XMJVs0TDnNOZUuADMOBh9glE7+jZ0mOzSapXZKMlktkNf6yswc7SeHg /VU+H0ngfofmcCPu4Gb7NIWROcWUWk93mpahmM8B1Yg7KdLk2ioa5NBvUo2CWdh+4mDLaWCNMDtD 1UDqSsJ49R+wmKH6cKepNHvfP7hLWDw2NJGQOtziiGM7szX4fGRd9qthQAzc12oKq+GJifBO7hE8 V3Z/V8CBfkxQiRejVlMYlkMoYCUaBmnQNMEkHl0iCGDQrXE1djkBawUsy+hLplzKXngOPCehhEN9 tzXuIIBOOxtC4uXnw/h8tOna9zmOYx0I5DtPsQsOW5d5eA2q66Ci7HTzear0YbF5ChmVWXU61ik5 WKw5NuSIKYUFyl2j1T0oENDlgjBrRjBl2YtUlXLoPLloYNrTECVu+Ho6/9wE2OIffD5q9v5PrImf Zz/7LtLn7p0mAak2n6i2hadEFZLJMUXMsJFt38UQFBJ0Ej1xOThusOyzYiF5Q3pu6pQvgaYiuB8A J0A8x9FMigwC80mEfNTook+XBkMOwkyWjbrzQXw+8sL5lb2AebefACp0n4RuE81wjOgNvLeDUE5g vaxyQEdqRZqh/aQA3xUMRBs8Jt+ttwZR/xOoZZh1bkorJ0DjQXiCOuUt2S1/4Uikm95clMbRoHR4 AQHMCKpx2Uch+c7f89Qyz7mbDgcGlOcx+k+cIG1bRD6oDy4giiRgye1Lrq2cAwfKbElek29w+/IN rhRUSNINJq2pUqe7NFlWsip/TbprtReO1pOElfL0wRgWJ9E2yleqfP5f1sGcp00KwfWgDEwoT5xJ f4VCDqcqUW1afaV31gq5nlp2ta4cuFC66HvLBMdzeCAEDO5ZiYK4DFce2FYoGzBsylf0vUDeTF8T eVBlh8bZYEiLWZbofydq5vNB5vIrfoKkLeRV70SF2O/G+kput2QHmV9B5NKcPlF1b0/xYitK+iu2 JuZXu8wvbLVzYMEWFro14H+3IWXcDGmcgapB/5/UJfSiLGZpznOKgyYHYEsq20IejM8HqUvpX5FB BlRyA2NlExXaUfbNsIkhlojNO/sJNab5LQEml9zWNPSjXNRYomuRDTcXrthtiynehpYcWTudhHqk wqBmWDyeW7P3DWoGrNdNtqgwFIOX7JY3ERfnVM18Prjx7nqSYeAkKuE65IaOlJMdvI5jNv9/hi2o VoZK0g7K2HmFZlRdHxWEUATJ9Sk84bx4QjhxAL9bhAJDgE63ZbTLf1AQBsXprie5doeOlLzwzgSl 5IP23Tn/Nnkl52yefD4iN3sBmIEB8ruvvCW0pIRCMZDNxKNSL1crCwi2hMdI3G1EbdtyCgNydl/q qnfwRBim1+a2mE/kWVG1PWup0f21o4W6Hk2qXJO98V/kXZTfOUDBhUTL1gGlJo9yr80PiGJynyn+ x0Tu91uECmwpXU649u1FqASzcSvXpb2N4nyevBsv1u5m6ZfqtxqcC5bgajukcZbO9Umkz0ki+N2j UlA1P3eev3GALqChwF7FhqUjedcsXQSEr3rNiMbjlDmeZ4h391VeyKE1JXviEFUrFmtKDxBBeV1z LU+FqxsK5sCbErVkElO7PAX69RSA+CKIPIvJy1lsRLid+2mSNIeOIngVXimfy144midpLjdjFgIi wJrBhMowJSrbmvyAiDP/fZYjOOg1P0dUVClvZitwMU+1e20FfjJuKgjGtU/U32AVpKU++BGFi3tP TtRGXY1C52MBpd/Rpcf/dQxmrva+0RFF8BwKGzYYEuOk28w8zhZsfD6iqnjygifBZ0C77E5TFJM3 NojPX2hwlROVpOnwOYrRCq6ybzIcOFSm/2dGcIOhgWTSLHeZG+adlBaaqBiY0yegvK1CUTJdGw86 3/FLAjSGSk9gjttgpRW4GW1N0+bzkUnVL7XzAfnnqy2UQ4tKYL4RGDx4jDYuOqzeChQF+G2a/hbz nkkelel6VJ48uyCZpuQJNM5mZUlzskyGNZgpVwVFoE0NQr1wME/UpypcTpXNRp4oA8epauTnUuXz US6cvnYdqs5UTvF5CvW8hwiYV8+7uRY8agbFdZg3UxwFBrF7DuVOey0+OLbnLJ8MDyDSntvJu0Wh ww7qIHnC58ywPRKFy6FNZd2qZJY7iGbYz0kl7fyMJnrgUykT58eDAcEdXE+f9RRF5JSxRUzp66kx 1FNtZZV9kXS1+Dz9bQ7fMoWYOHjqsqHzBGtQiFWSb9Z5G58DGBbxPxkQABQlSMgo9sLBelpstpS0 NXRbTydSEEG819r5/L8qv6JvnPCtPKd46FYp8xGIAFoLHcBcO54GM2iie3Nuvu9iu0qukim/Tg73 FD97r0KpHNddm0kuO0UuHwB2rVuCEko8h36V2FCD0DUf7BTPSkitQB4YVkKp+LvyuwcFZW9lJXSs 3NKHm9dqoMvtlCGNjL2wnGh4Y9MUxeNYfOCH5+SD0+kyTnXcvzDROZH9JHcFGgSICuiA/sUT7/bC EdBAhoy5+KB9Nxt1mugPs/n8v/CrTHigE5bGs5xCsDjlhrrbnFIBxcU9cvfO1InIu0kN5tC20py9 szg+2Tk+6ZzfKOlSi7Vm0IUKu8IJJM6cUF8pz0SVrtZU6FzJi/zcjzLSAW1KJV94kckr8wQImR8Q zNR1QOFhBOtbQNfvVIXgFeCcC23/jMOSi/PFWytu7021UFtSf0fkNduM3Yg8QXrg/B+8De5OzDvb wvx1oTn5k6HhBuGMp4Ee+FfK6wXqfJ0UjUmXUE7VzLTChLnZyPyAaPP9ktSdW+vaZyoMybdCcpse lOu6A6Jqt2gK9tVOZc2BgyVmaqmssn3ws3zss5LgaoGiAcqzieQ65JMnGMCaauPC6/OyF/5LNf48 TcYvZEjFPDg7utJLCoJokx/wX9j10qC5eM0c+FhCWkeKg20beKVmhuQkdFEIgXXyASSDqgahkaUO c8SWPjhi8wRMLakvXODeuKnQfLYL3NApLI02gpN+Urc3jm49psOVwFYOKgCnVFtSUI7CZuBl+b+F cjSe2CPziYqC8iFXlJqc94Net62oRMQNgWNAUvgxFYFXmins8ErjYCuqnZNgQ4uATlZzoU3FBnqb 50VlNj4fUku3F446eUM6Vsz1KPgrS3TopFCOeCSuqBBInr9R0iD448a8ExVWyqm6NXVISWTvIuxo ZGsie7M6wu4PR0uJfafpg7MRgYUvWHDnI094AOzYc0iRC44wzlX297I3DvYeS3ULjRwbtKLA8VfX 6ZyPfD4KOL97ng31v/a0XkJTy9m49fa8lajECjBAPottChbsMtIKO6PCuJxyRE3W6O2xRodj9Qm/ ty6+BrA0QT4ZHkQ4wZIzgAWHUqk8tLVERR60DebDHASHyudIlgDIXovPBwFn/uYAZ56X5bqk5NDY slIDBB4KhgM2SHAmnVxIzR8KLjgWMXS21Gme8qo+KEQ4Pzv83nkSoMZ2TgnAFTt0qBjG5R/6MHiX qi9744jiWrSWlg+69lZOjOqRXk8+H0QIv71kTyTR17yneWhuyQmG5c5NiVP2FOacwdNTPQHOESAE 7pYQzJD3F+Fj20m68LfiQm2URAOu7vyb5z7C0oauJ4jOyogz5U75JdFZTuEmXC4chnWHYaDBGrDQ BoG95Xeqp4V4ok0cpz5Nf+PKK+mEZm9t1KCTJheixmi7A4dNZXqhv6VqwAunqA0OyjjhOMj4VAOB UsqQCzhqmCg0I5jqnukNhVGBwWW2ecpSTc1It4TLGaMO6cp0yDfn0OIyPWgoxuYZnns3Ng8tLiut QNoo159oJmcFLbGEmRLzONF6+ls2BdZKPngOMxOwrVmSIOeMEoEoYVEA8/OjbSfQWCr2vpGpzBZI GrwOSgUo7QBXLZPYc36WxQ+IZPe/RdNwlPev3kvgculSl1P9TabEsxdPiUUKZsWOJ7jmKQzM2aOC iqwPt2IHWGWWIdi52dLkjBYIOHaw0Hu/cleyKMqBy6VbpkMN0AelxL2lIqh0BhgqtLnMv6WcELu2 q5maA5/LE/hsaoHs4WagtT2q8tWyPggCZNNOyaHRZScaowk6xsGZL+3swrqrGtwDVS020SFYNNmD 3ONRKVQTPXS6lLJRo7WABgNlnPyIUkXwBuPz0XL6ppjTppyuADZPYZ2cIVS6/Onzz+Tz1JfZO1a4 v3jnJTC7RAGYKE35y9XHXw6IMZS6QMKF3Rko1MzzACbf6E4VnH4XvOIv/BeR6oSo1E9B+rlkVFSR ztODZ+XGD3g/yNcvlTk0GCEOdCcqRK8UWacs93Oq/Qo649KyiULeZrFmYHmJ0uZ8T/P6SYcbPoOK zhnHL2NNKremQX+vlO7Oo9BcDlwvZawwALm1oZhACNQvWAyA/DWfj7rD3wc56ThrXmvQHBpfks93 zsE2beudhetLqqai//UcBa16sBk4X0K1jsXyQWUeDnZCdazYDO9SCGC10dGcOic56nWjEV59gdJT /KDA+tJbVGeV3kEnVAWJTVMGelDgffkLrknna3Zg2xXl+bxEUP186f/DMUGOguOhWKx1/Eopjodq 09K8l/jpfJCz8gd9LzR4aXOixoLiEGpRqZAQAPlLnDUMEuoXiwrx00v0xHeV2ExG8cYGmyPU9SU2 t/D0/5khvqB0ZgFuMGMj4H4L/4fzw0TTw15Chx4Jba/QnW3OCpoE2VNFpRSvqwTT00kP7gqf5MBi VZWMqBVqfkjtYH8HsuyYKKKz7ppRAzY/h6Vz6XV+0G9hnQ5gZBt0el8iNa6+z0vIxD+1knxCHgRn df9Qmlnz8xIw8SFow+SyZGw9+M/hCrMnEJAqH9BPy4qZL+GSlg/lihZpmxos/M5wJ6sGEuudujxs 3NG69Cx2OBNfn4LOd31fPoB1jtqHbAqGI6LbSaarfJzOFfF5iZT4gvNOj/7T83tBOVjT8xInafnQ Dgxs1FvA3Ps2gNNtAO9t0/MSJfGDBok/s1E0fbYrmo7abTp/TaWW42RBln1yHHwD1wC69BZ1AwGL r3ifnkyGYp1KUcBPEVKiI7JnQtfXOapfQiT7U9v38gHxBTtc8/MSIPEhwPSoau618LI8f4Pi1vaW Zs8Wb7+ER9peZJjXJY7Gco4GwiNw/DuFHBuFj85xDZ8fSqZ0Hj0o7Wp/bcqmvURHOn/YHmd31AbV TUo/OS5LIWiPv8RG9vT0CWo6q6AjMWyGXkIj7cqpDLd1X0Htuu4sqv6YFLhLObwERlq/lN49d1/y wSEpuG/On1YpLHeSfnLNzmWNknJCSbS0fIUKqLvxeYmL9HuiMw41rumDYZz22BR1rOdO+bwERVpC bHTcExrUKkSPmqCXkEjHOksAMH+32HGVbD4gZ4+Pq72Du1geF9EENW2x1HxwKAoMRdAY5xaDjjDK dCdfR2kFZPwT5o27x5ixvURD2mPs8bbMxjibGQKWwiCCFkowFe+fl2BIJxj1cLv9dihAnHDMr7CX SEhHNFUuS3lc0nUG0SglXz/ZAa9OSRNHR3Q3t53tg03QWT3QO+QVRrIsqMDgIOL0S6hfn2/PN7Ym p/wlDtKvIAf5/4WFr4YzQCilnT8vUZC9Yvk6hKATizPLJuilLqktJsoKEZlGWUmOq7DrjEk/yfZU SgsO6USGQZOzZbvOlufMKZBDmyRIQ02/0iEUqTmUBcCsqkRBCB+36JLyUpPUBEkqtVLgalWyg0mo aJCoZ0ANFdBggjqFJzlBDL7PwTthAaIZeqlHatlmTcvwQyj15Md0kTwxZwgWqlK5CKMgWcOv7IMf QntTGt3ImecfRO4mKGpCyBHqloh9DRdHy6aXWqR+hkUkahuiHhoFA8HVCUSFilv581KJtIhvfS2h zMYpkkqLE6M4msJhcPvyKULv3gk91W+ylsaFekWBtDqVU14y0+EgQFl2QJlRL0H/7YSKhbQCAjig EoK/Zz+Ku02CBNEyYjAEeyQfeFJD3pL1GxQ7wV+NNhoLkT5LCDx7ucvojUNnG4S1ZfaMlefvbMLN neJoJkZAdpTYPNEkkaMyM4lfMz/a1pMgu9R5myF0hvXbuQYGmaMI3ivKN9bOZVj8eePQ2XE93oTS EK/06Zy9zxuFTsf19qWECV2gz2LebJLeQ2qwximXkh98AOuVttm2b7a2/Th6I9DpkwRb2kJT7HIP bJTTzokqR4K9GmsWJyUD+QjWhEpcLSQa9rbvU9RpIQdXOh80RRXmGJLd2Xw8CIr6/lpHyLQHlAZt iqKwmnY3YFq4vlXP03GVWw5yTVCTiwsIjmzeVHCQTT54IwnzYDppWLGJUuGjUxgI2E9Qxp4rzV73 fa/JYefE0NkH5a15NZE/UW35vHHndCJNv9QYhJOwWj1ufGPO6UuHCv0z+4FEg3KWaKFiYXN0Yshb oo3mCPCSlWnmocGW/oJNKhlApPXuBmQtzYrrDzWvAUfwzshU5fHP4yibSgqKEoJjTWiK8nAa5578 vNHmbKd+X/wZVOMO7IZNUhRd4zDFJJX080tIlrCJcUUJ57X9fCPN6fwv8rQs1Qfvi0Bx4lxCSeLW KTG/R5EIWQTqvai92KE9aEb0xpnThqba/hjPwEmqQOgKPnFe9PNGmeM7tpy/wkdE/tCxuAdSGGFL 74OiBEICjH2RAM3a3kACdIuw3xhzdmqzeVQJBODgxJ2MLQYkLGCC4KOQZ7FhxDYh3ASfGTfZZRz4 eSPM6a+khCwMJXwwdC4KjrKRyfvzxpezNLh/n0hQpG/PzRYF2Ut83trcaacBjGIgAMWQLKMREMM5 iqJsqe0Oxj8anjxtnaPbPOQQO6IPOdBp+2HfjZG1k+hn1+u+z9EgPfVMddZgSfvJZugjiqpP5+NB FDm/T+0OVcJxM9k3qpytIyp7yLuaIbcOcFIvd6tWks3THRvfmHJ6fWg2nYxjFh+8VwvMcgX0HR4p J+KW5F6DLhoXMHBSt2fU9bbvU0RpK6RKywdVi86ZN7mMTpiRP28sOQVI+7uahqo6tLBuGBlF2p1q u3CdsnU0RZ+nkLwsnOXYlE0j/Y0kZ+sIC2hXInM5OHQ5wxAV0Aec2un8/7C+XQBD7tRLA1L7lven XjeaJDphlHIHHUgNxnSSIAJAIoq1ha2666jIVsJLjlGoXYlQgDeEJ7RSapaF3L7dImiwquYYhtrE jwzQA21wGHw+4UufVCQ+BwIOKBZlz38DgbKzLiu9CAVbZvPxjR+nZU86U6GLoQZltGXtxZ7/mbj8 eaPHWTrge42AE5wOuK5sjqJIm2Cs8zusdJknN0KalHaiqsdcfvu/ceO+IqS2KLnX1uMgB+e8ycI7 7AcH6heTGFPK5aVCW6DnQGLt8Y0cpzhwUnEvS7Y5AdeifKRAcJVxD+RP3shxOvTT/FpJBDjBDcFm Kape4wgAumN77aiJvMvi2o2RwE2utpLeQ22VBkEdZIuaw01sIWbeef2fQOJc/sxHEtWzMvwlKvSC nKCjpfQebCeT25swD8GAJh8v7XmeGwJNniPw80aMswbK+AoACtkLye//N16c9tvKEqjwAtKsDnDr bft+a1Tc5Zn0RovT99M+dshwl4OdSeihFhpkM2tbCerk6+xChBIZ3QVKRLtYxbb3DdbSpsluIq2C gzX7x7Qm9pz180aKeylkI0k6kdb0SYrC7UXJXdTfb6XfCLznf+zzuqOTJs8NF5ayl1ioVN615p/y /w0B4THJpUBiu8lsHKhwTdQpTpBdvE4r36Y3RpztN/o2ZfX7c3dVgbN0ZZLWWl2fNz6cLuA1/udQ GvSN1hyFtWymbauka0mwurujt919jupNSd7YcF9BEg8fG+52O3ttTQZJ4GcvOjv0TTWmjLoCbHie k3vpfYMoKTEpOQeEDwK1jUnGGAkC6/PGhrPV7jkJC7vAtPXpkeQbGc4asoqS7iTRktPztrn8TOrZ aiRvXLibmtO4afvgJzf8RWS0BSA3zMaQ/jdE2zmh41BkS2RNtaX3fT+T0LiD/ODcPnCS2llUQiCd 1bE/b1w4+3O/C0lY7ezM2SRF4TZxcEUquYY/StsnaU/vimycHDqS3sNtiNMSfmSwtqcvC3DHAhCi EldzTp/GDUlRvFmWJG1v3laGXjeYI91utCMGV/Oc4oImw7ZUcLaT63zeiHC3sKxJIssJ7Dzc7DZJ UV27MScZtTh7oktzkLTKmXySsP/tdnuPt1FzRpy0tiZp30mqUD6esFZCnJSBtyE2kiwceKXASuZe bsxXP280OEuhkIx0YERt0LE9kkR3gLvtnzcWnC0JL7bJC+vsnw7ZIJukKOCurABsSmJpkqb5ooJ7 VVxgaFQvJb1x4KxMgmwk05VRg01SBeVjCkAKRPJOS3ID6CjBuAQucC64SynLNwbcs9kqfCZ9UIf/ RPNbSpZ7jc8bAU6hKEUHfbMBZ3iuk9vDjuJt07ie3fP/VC8TwCR4dSJtg4i80d8sb2TeBl64DbfJ DyT1GKJTnvPoZFxo5Faoc0HhEHmJK3ohbPi8sd+sR0ZHokaOLgflbXsQOY2v2+XzRn773wYJU1si 2HwVvXHfLGbYP1dySSWS4fQbqmCa2Q5QIJqisLCNtA0CzdkHTRH04/eA1Coq8xBCNWtnUzsBNguF 6G7sm6LX/WOO0LOrPqhEAl45g+UTB/PxYKv9RoqwjJw9jHzjvVldhkrETJ6503iFmSmBkKTokDRQ lLjT3mhvFmtV5bTTB81Rg/wA6qtZbNNaaI8+We7sSCpmmvfIbkNvG2RtoH/UkckR5KDMdl05xhNj fd4Yb3Zi+zKS+xcy2+pB5BvfTVNEyktzsiQaz9lEvEDouyJeVDIBTvuN7mY7rWOLgVBqg+208xUA /9PkEwqMVdonlaqKYKjlzCDSDOTtdf8q2raCbv8ZULTtirRxR+APByH688Z1sxrJr1IbFjLCaJuk KNLeVc6W1SeJUpsGZufCJ5h9LO+PvDHd9COBl7QIsrbB1lGHxj4oXT/URYHfNX1m6bJH0oXH2VCI 0cv+NUUoavmgAxv6A00oh1E+byQ3i7PXrxliyOlp7RvHzW4JzpBUTqsYSW6RslNxFHtObrXzRnHr jrg7f3dLd/CLfyDAQ9beKA2aG4NNVK5+unZ6ftojzEXeCG66ONmvXUN0pGF0JMgpzGaCnp3vGFxq T+pP7YUTPzd4ANkkRXF2F25EUFriRig/zSP7ijGe+A+C4Awh3+htXw3blcgc4WBxdgcwu+HcQQiZ x2D9++wOHA4J4VEpV7fLMMdv9Da7YpiqLfaSOKiMtKvcJ85kT77kf2nYAoY+KUKvSYri7Ep4H8pq NkmFAhfCjpQyHTsCPIMmKSxrT7WzKZY3E1fSZC8ShtMJZQhILIEGDx7S6DiAF5Wx0Tpyt51prxtB 2OiNmqVwholX52dDpYNSCpj7zxuxzcqZvpRogb1g/pk8GXnjtdnFNoWqsRASeDYndKdk0hNwbcom AfdGa7OEk02ksasPt4mEKncxAMn5+TNJyp3KKdAxJ0b/BpEoC37eWG0GscH0UKsRA2hM/P03ONWM BUC5/7yx2qwL9V1rkx9SvUspCrSFpB26/lV2q161FTLRaJLJQ6Sosj2pCzsmFXE4eM82D8isNcm/ wamQ8voJbUlaaqP3f1VeBiltb4w2/apFEH8k/xp0Kp2Nm0SSPJ/xeSO06SWzTxI1qMo5FiFGZ3DI KNTulrJl8SIJZ3C4cZ1ERSSV/5ek9d/obLbflNfO7INXSDKc5Ihmw36DnN1gFenkShv4Paop2Uqi 79nnjc6m4yHRc2eSVkMnaF1TI8sL78TPdX7e2GzarhetJeGSgv027ySFKBIjQVDJlIuq03SAQEUU 58mOBAvx/Dg4lN7IbHY7s9cmyN/4gvzhHkOUxArJpDM4HYmBl9oMQDad5AT5a0WvG4UAXdCIC5SQ Uvz5PdUj6eeK+Lwx2axAcYNtNlTOkoYTrE9SGG1PQW2mtPMJQtbyYQywub4qrATNSPaNyHbjdsDZ 2vTBt1uvZ0ZokwaZkUHw0zrLXNc/a21pPWlb1/sGR3ftL+22itx/y9tyY7mH8Xb6DpQWiNInSfJJ eo+3HTsKd3frSKZCZRcovuVrAF5oPsHr7Y3FZtvNBMyyD35yd4iDT8pLZBRg+F+e5APhREKUXJDw WAxADNTnjcamM7SyPUKYjAaBbdBUYJ/7LNP6eWOxPUfwk9tOMCAu2OaNxGZROukzXp/N5jwqEY5+ wTZruTfDG4fNcltmI0Xp/yMEi65Yh5lsolL1iacqlV+g+wwZiHNbwE3ZcluVSN4obMptZUcI4r0N 1rddkDDA950c8/PGYLPmwXduC3z6OQk8J3kjsFnxSUl/dnHT4hsPElopGURirmYhwBt/zRYyQ4DN cJqD5STQiUwQB2L1n5xB8msJdzhbBieYB9xY1/yOaIqU2/big5KSDAAKlV36Hp837polYO3ragOA hBqsNkUhioSWTW3kde//7vf/icJd9obUWk1RGG8DZXRWwdo+3NwW0JFMqggoSH0y6CTIqiNEQWLk 0bZWUVTV7tLkgh2UDZqiduJ7HieA4X/eWGsvjW2cgoxnbYqiaJsmVfCzvG7EaicNCZe5J+G+ZnJv lLWnsb3Q6/fBKyTnzOmzC7IFtmITX4sgLspV1ucwIoL0jbFmeZdsG9sdLLUF8d6KSPPzRljTH5u/ sexgrfR1e/8tjLV3U6HtS+bck/8tZQlUSOp0T8IWgrXZsT2/yvDBTuxz7U/0kIghOTlOb/JQQ42/ IzbCjWfOlpSmwncEhCzeZoTm2qAiUialBRvtXKN4PIghf5VrKVCfbjrSwkCbQuwZNG6rs+m6F6fG 1Tlx0FqXrUUVbUGQ1yaXloOf1ziLOhynGpH/vVQVkTYSlE7jk4v3t/M6hJAIzJZ0GKV7GI21WHE+ y6gMPB40/gnu8MMI/B22TI1UE8bZqJcCo+UVkpPOeoVkiEoi3YhpVf/+Hmej0IdEMUN/0wbbaSiQ wEOCMJsNX1D6pzM96QUsh3FtmWQq0GPeI4tIncV/DlpGAEwUcYk2H49gyL+w2rjSIBxkUxRF2XRs gLLrVVFM0zUjMmGrmqLqvt89QpBADhHRQbuDl9kgcHCSENN4Lc3k8YbQx4vawTf1p7RGj0ralXYn CNV8EMRhn1+A+w6HAh7/JzmL+S9eoN05ikvarNf2fe80qQDxTiO2nNd+WsmoNT0qabNeO1cWMjJf ZGQ52diJ7ip7tdDTBkEb8SMY6zlT5Z3GZVawJX6kR0E2a0jgP6MP2XFzs6hBSWO1t6EZh+ffDyQs BpslPAey6sn9n+0W0yAZNzaDRKK97ci/vng+wN6rqSSGU7tHcbZBR7J0OfMNIUs+u+1Ez7S1rFAG BAgZxXr09zLkBCqEjqxqSyg0viUIkFRkM9OTapLmsNrdBkY6xwUe/xdVVMQT6JZmL0j2iAs5qAuY me0ohqzd4ZHVKRPQ3KtWa+sxgoR92sbiLQfvaXfc060Ow/2jDgAlvgZcDvhfGURnV5HK9rpRT5tE LR1KDR2GKgmENFYnNXuclA3PR5db+7XjBlx77iTFgbYs9cqDsy3ZG22UcOQkzZvW9pAPydwfDRAf bJImAnbUC0U4Pv+nCouMqhx0XHLNt4g0qe3aI8A2nNNYiZQBISIIcflbR5b4Q2nNjeejDbe+giS2 kdbttPWwtL26H90kraNYMcxGFnh62cgWOFGqitT/Bmw3+JbbYFgkQGD04wNnQ+XETJwN0CiZZdtN 7zNT4px63z/KSAAfg16LNsOP5OzP1bBTIxX8fF3BBwSn92VIcErPeTjQLbNpeg+33eLkJJ7sE/Gf WeaVALvzyEabpEPvqXItReH2XqbKPX1wyFaHGO5mB+D8Y53UE6d3xb7b5MSXRxefGAR8yV83XN6z +2CQrVQUEqDoiccjcu0v+QP0aYAXtzmKMNudvX/yMCWXmBhwsSDJ9ZyVkky6B2ApRRgS3XCzTLl5 SdKf+w2e1qhpoowEDgmm68wRmungRtLxePvJDRHsim/5A0daGdjZoArJiV5lqV3Pj4DHo4X0nbeB LP7DpN5mKQ652f6n0LOKbQs9ASu2TRVzz7UExSLNUlTblopGR1fKBu8lgVDaEk0Zz5QJKgrzM7Zs EYHU/OhoDFJIewQjkSkcQV42qJc0eqvC3Z4fCI9HS2n+mqVMr6tL1I6C7qk+yb6iUY3mM4qWZA3H aEkqZGeWRlTcnoYj6d0Hv+CoKIk8ECJkC8oIPLnIkoZwGChxRiORLPeIgu7cVUBa2wfV/xGQEXIF NgYeD4Lukb7miIJKCHRtiqKgu9NN6Nw41RbSoBy8CpLu20HNTasjjbC0vaQ0Pe9gC+lkbdCw2/Qg nnmnLYV3FsEhcZgRPrj+GL4dX/KXZESiTCIER84fycN2oVyVxAzFJIW17dvepuImnD5gSmyTFKK2 4dR5rhejjhKFti2aBN9XVdtGEqgmKSptg/KNlCRxqEwahjIT3JmQP4GM/IngVNhF9oI+K6ky1y0A BzK+IwCR0nQC4poaTPLvbGfRBn/6+f/weERH8imS1mZhocunKASSEI/EU8iQtjh6vCXZr01sc6zN iAJuHUh7Efy4gRvRFNVOs3QIGUPGDmW4JR3cRhkrcJIeJW7gYPAdfzUkaxrVByH/OkReZN01Nh7/ l2yE7Mzgi02lKZukKOAWAqBICpHN7Z2NIdk6FWHYt4XKqq2jKOCeXfgIEm05+Hl0fv2T16jZdmLv sSmekIH9GNSbRaHKmLYT4pz4kuhAQqGkL8HaaYy4VZM8yQEP3XNYZDwfddt+BdyQRajbkW0jCrgZ REIk2f3N4ARtWYlyRrZITgoxbJKigHsRRDpRl7LBTqQJqvCsErcdJyFsrDsl4Ak7oMmg8dpK2mT+ j6iyjRYpauNskKiqzOv/5FZqSEJ0E49H59F3wY3ZbbqI7REitoeEj5KLsk3qz0i9bnpO0hIIFEzc RoTYLmz876aaZHsgpNBn2Yy30LSF4Y3cS4CZgmQrbWwvXrvqbf9qtA2QrThkGZeeQzXJ1oy57YhD 7W/qH0pL5MzYFEWV7WZbbTvYpvTuV/+4YtLoLDWboijUlljmLtkGrNvMrQbJ7URHLOT/52Rj7lKo IAPNHLqd2hSduCrjO6LwCFtsyIcKEAmDkSCFk1cKmlJ4PmKQfBfcuLDXEx6FoTYx7S3NByCRk8+R 6JECSDS/1uJQm2z2wd4/B29rgxcC60iG2gngJhpTtk6GNw0d991qjCFHGGkLrrWliYRoNDP/OpH8 3LQhhczSwgcEBYD6K0ICQg5lfZulKNQmqq9UCg2IRJqq2Qi2mWaz8wg4a1tJcXVb5BHJty8XaE0w ys1goVDO5sQGSB0gZzMVPzYAZbd3AFhpxJdEHVvSIs65xQGRFLFaYKnIjAMsHjwfVUm++9qI2iax JJykGZa3qac5ynaR+0FSnvSjWdgljARmiaqSzFjVD5O0N89uDreRNNDLI9YmAVWyKRvB+Oskuvtn kiA5HqbtDFEkRRESZf2uNcM5CyHXIifYUvF4MEXtV8e2wx9s3CmKIu1KOBJ+ZiJHoMHHlhFqJJP/ jyhJopCM5D/xkwLcP0MjoBV98NY/OOeouRGffXI1GqEOiNogaaoK9R+s7dL7/tkDWL37oIpQgmCg YqRe8Ph/OZIYk+LvtUmKIm0gtECa367XQiq8HUlzeX275ysdFfIjJUWS2h3sSILY/8lGiGwrtARA WxeaxlCGAzMTmnBXH5L6CDOsb7MYmRuLALmZiCCgNk2Ra90n+59RrC2Xt4uPgABjvSTSGcXaKL+r /uyx9rixNnD03tje3gSYYXGbROydRNlOD2UbAUXa6GuhlwRRDAptUCmqLxJLvmxNl972rwNp1ZF9 0BTNE3exWgIbWDweiWh+V9oaE7/lBckZRdoi/s01bR2hI+Iw0k29XK6jTcFdHNozirThFwKATbmD 10dOKJBO4iyZDfq+U6QNXPaFKAdB5tVGImh7huRIwtoSiEA2KPVHrZbXN0Ty8XjQkmy/RMhwQT56 LTOsbDOKnK02iyJH+2L+F3dwgZ+WzVEEIekCIndKRnKwZdQmG5GJKhswBapsFCRM6oSXJDCAHiLR enJGgTb2BYARSm6bW0jAnI6Te5bRGHg8IiJ/17VRDR3ptpFmBCGht2xh1dmI/+uikVc17zJoGLqm 74zq2rIimZV31nRPFUjAZcgGNDFIzs2PxAZThHi7g7MNR7bLZyt62+jEps4o0gIOTYE2YEiU4T4r Y/AVo8T/N1d7git2pygkRhJ7V/OtsdWRrkB0LdmCyJWWoWxnqPbHmv+5TqsPfq2dC2y3Jq2WM3cJ cd/JaUFcHQACoIt7C/9bbxv12aiINHb3QRttgNBGjO05tvF4MEXpSiNwyYHKDo6CzVGEIZGfW1fj SAf2drtJyBN7cQRGUJqjKNAebOlDi8UHL7JNqJJB7gyNNtjVUs5uFiAkIZhX+nNi04APXxIAkYVk m1UDXt50WeHxia88gWTF8//CIumkR8b2iEaGvMimPu0lj9KmR5O0q6ncQRkp+XEUCpEgG1k88W2w pBa6kcLKN1hPIB7FrVbFTccVAIi6T9Iqet2/pKHZwLFBqL9JCCa/b/Mdgxiy91+5CFbyBSOtKMxO hEhUGWio5eba2eeGmM6OWMNrIytCkQwmIZDf8cGRNrVv8GuGq/tXCtogf1b5BrpPJrEhyv8KUSQ0 fO9K/DkY6g9qCwLa1IrHI82f7ylCzElxIpuiEEUiz9I+HSExuiv+sYboif/2CHKFKJIh5sgaPnjn Hwx56nTC0WaBCo7OyKYlzaS+4bpYJCQX+I6oNsLAsYvw31iy5ZkN3CJT2rP2C57/L5i2k/UB03bn KNTQFqFtXRRJ3bc4snq9AWSqdmavEEWyVD+iOxLdgc4MEdOGGiQyf5xHbZ28TQbVENU4S3XBlugJ js7/hu8I6tmKiirNbzkIjFS36Pg4Xfj4f6qN4FfbF1+7ohjbZMbzdCONlbKX/Hu3IKC2VCn5c06j FQK1WTjDD+qDw/46tkFTqfacaqoZTTr+AaTyI0mE8oBHV8yLlHgtFaQaDHAkidErPD9Z8x/QaA3x I+27xgZrHfRR/F5bUZQtnbYiqWiKSIjrB3+tPmzbVSxmC4/Wn8RIiB1tH27zKKGOKVGEvZFYkTwC 4/IFJmlmQdFBNlOvG1TZCCDB3ucAhJ9iyD5MarPvmfF8FCB914+AHZmle/1oRWF2SmRo7W0Akkxx Hwuz+1VDZmVRkxRrkLBwRPMPDY6NAHMEtXhiI9D8wNXXqfyDVm2j8Yzb2iVGkStCkKDZRJbW8MFS 2gToi3IRvmQAIPlFZ4cuSgfd2yYpCrTBfMOJ1G9Fu1JISlAkg21jkrqpkK0w0BZXS+7lHLzo36AY vWgMDHe22sjV6lC/2TAdNJ+2oZWU9brBHAGlfS4ASpCcYRledkCChAvp/Op4PKL8/y9caz7GByuK tMuSym+9NbZtrJozK4B2EBlxjvJkVf8VgrWpF93lL9IffxEobMF4gyVtGJMOdLqIjJhERsDrAzhb g48gDMG3RKrIpPs1K5GgjsR7vCE8Memoisffd9v6ZX+A0srst8q2Qrg2pdpA6LgFpEuNLONmbOAM 2dUW17SpmaHrvz/Xf4arDJJOThJ0WuV/hHTnZ0OdCvq51qulBzm/JMBrc464Km3gZju5W5Ut+0l7 Oh6Pev6/Ff7RaWlPGBmiR+jduiXQ3pSauAoZqGd2/w+6JFF/PJbXZsVfLbb+1WJDQT5TTaPR27R1 Nv0rqpuQJv/J4CR7kDSGXvevBhJRpzYYNu3cdNL8y7Pi8SAA2N5j4xwBhfdUkHYUag/GSAkqLEaN WMnVbEvJV4OkFVcgD4mRLJ3Bg80HP5GIFWb0g+P1RF6U+V9IZ+dkMfD22GTBvaNQG8oBsP+VJtJ0 TaSzEaZUmKEti8cjgk3/2muVBaR1NdrfQ23oDG4CRoaL2ZUyfa+hWe97bblTxI41SLjXpLHNwaao wslkQYEA/SM0sEVmQ6NqQ22/4Krzi406/zsEbJMPSVNAGwyDhMSAWdg8We1+D7XPVk2/7EaA1lzX bmSHgG0U9tGvMD47/oorrtWGk/6p3aY5ikNtqq9UyiKaf4xCpHNuQ5l6KI7sPPxgUU7s9eYyvpc/ /RPxJcEcEesHy08flLKh18mQEna3ePy/kCIzKT7JI6QdkiLZh+ylej17Va9nt86Cg2FHtqlH7Bg7 wpaI0noOPkcNKfpWr7ZBpH2zWMt2JIQ9aFTn3SPeazsKtreUxyEQZIMCpDP1NcmjFesoAo+0X1ht 9OnauPiaHWK1KWRfGOFKYWMYgx3ymr0ZU2sS8sY5ijmRQ6oRyYcLHYFhRJN4xF7o2i7mIzgJEBPA g9HLbN3eNspHRKqdApCCrsQL+yTKjRU6kEI2ng9gEb+ObBBYx9Pz36Han7yPSr1ztOf10qzJHBHO 1t+m07JD5AhBfLuqCVlvExK6V9RoK5SN6gim8Z8UIL+GhAn6RWqvobcNwiPWfFDp9EFbDazmIro/ juzQuiZ7zZ9oZSgi76vQtiPkiMzIqcZjVK18JZFWGnbzQ6bXYFo71Pqjrz1TOxtsiqAzCwA6C9oy xeUyQl7RAa4VTFu97N71thFt1JTZlg+CaY3ddra6GR//V75WdAr8nHzY65A7VCBpymSnr6IieiSZ WiPdnYZKhqYoRI4YqqZtH6zGNhMdtjMdxnCuoae/ztbF/4LgDNGpyWrSihjfEQSQdIroyvw5aIog KcTO0fmYjcejGtu3YcTZO8I/2RSF9WyykCBH7RttmlsUTMZGfphaphq14yCbnEjtIg7eFgE3s0Dj HlM0ExXzMUWw+0UDHCw+x43sqbeNwH7UaFm01uCgjbZOGGdhcx14PNLVqF8bjW4M+3JrdiirjfP9 xIzFp6i1ds9rmhHLwIbcRa6iCDUi8RH8lT7chLaRWyPoMUqerMJBKem8HUxGi+OPCgu8nx1Kj/As 2vQN16AI+yQkigFAb/7sqJj9JWFHOGQCb3V5GiKUzusk9a9Un+Ij5G0R698mHbQLGKuJKPauj4oS EfJBN9N+DlYb6QmSIHJCaicKSLBBQ22EZRlQ0XHZ/SCHx1Ja2944kIzM5Nf2JsPDajbRNdFvid3X lic/4F9IdmbAJ+1a+8Jrcgrl/lgfARTcFEjIyxV4pJACo0Yk9Cdtpv7hC5k5YRwcq9VPbjuQsAIY MSvLpDAAOpfxhg5kIVbLFH8Ya+NbIuLfughtDVxRHc0JHd3nSuDzQUbSvoGRJB0wJfKJCr1spjht 091Fu/ikdBdNy91F0fo3W4QUYrVZse2iIvWHilTSLBSnHtSO3iezFaiNYnYJsk/o6j4Oo9neOJop yrTIQ5PseBH2zp2AzPmHVLXFDwiCpav8R8A2YDvpMkhyCivci2XtcXvbEkJTLwkwbTPZwI9uMxV6 RRKGjBDSB9t8wPOf8DFRAwNqUpVOrIkGG9BLPGHzc44vgiTwNX+QSM7FSx8TDgq8G0Q3WQcABADP Rz238RVVbhQ402O0kaLQm1byJ/JLzrUZCiDZyspVwNuKS7343ovK3IPgNqp72+B5LgjaU8gt8Jya OBIVuDJAlCqVz72oxJudX/OH2cY5FtCP0mAFE4BfJCUJJ4kU4kmulFTi5juXO0Tl70yFpe7G4psf 4gTdZkMBzsRiUpW5jYlJEckUZClM4YaS3nElNwptSYRMOscd2vagkQwot59TCj/VJM1d1be07YUj ICBBJYOVJQ6aqHl+WSbY4MHy+feJqo8SoIC3+wdFnTtRoTQJSW5p3SVVR3PWjRlsL2q3A+uhJRXF 4VP1AMyuDb6kFtYUJgIUq3PzFdm0k0Ke0PCjIqmd51hv+pogiCIMMEs7gYMgk8h5tR7ObPP5qC2Q fp3npf2wbeozFSqUsMVUq1G2wQbYyVpMM3UBcWHU7u3cnMKqNzMWRkY2OJKrg0yGagFOqRODZKom FlCoweSGusX21PecYs3eOIKYCJ+cugYzA4QYY2Htr5+H+Px/cgM4B9sEDtgnKozJJb81x9Xe7lfq nkLJJnUPZW2zA4yESsruAiktHyxvSYlgKCCDwLpuhAMuZGMwTj1xsjoohgqc9r5/ICdhYr59UDUO /QtyS85hNfh8sPV+gbnZwDwZ9TNPYe2bygCosto8TUEoVLR0SwBw+4vPU1j8lqp2oxEXB19QEACm 9jm23t4wcSUYB21+i1DKZbzVYi8cublIzS0XH2yi6qJ5+ZlwRpyh5c3Nghmbs3h1Je9yaC9JHPhZ 6+U6cOZb/z47xjUmt0Tx6JwYYk26JAGptcHBO+Cp4iKvEuE+n5qY65x4/bww7sq8gRIwPxdabefA XxJsZTa/S7mDMuEFBU5GRmlMPh+cUI8OF7EUdL274sA5tJg0Mc6dXCAIaavXC1gnE2EZt6hNVIQ4 oVsJwDHbB00U9JPb2WBrSiEAUaK8OsBhzqilgKlusnfb3zeymKTj3aBQAAdB4NrMbRnlr/L5IDLP v3oFEw3eq1eeY5NJmgLLzkcJcbHNRqXppJpBpaY4awY5dJkUgWID7miDnU8IL1dFpRAMQVaxmBWS mg+h0bEv3BQAKH1J1HRieQ4HPwdT5DhhYN0EH/WzePl8kBPvb0xuwVmwyzNNUS18UW5i1as1vUpz LSVJpUtLqRT34gydJnHErZOwkrqcH4P7k9pNQLrBpCgL1RPTN0VYxvCAxCXTLGUjPAdOk4iASO7K JFRyEDgHbCg2MM+tWfl8FBf8aoU3ilM+0xRKclO4DILiNk09Ty+vrNHcQflMk5/igdkk6jvS4yIC hYOdTsAqbXIn8D3ns6aQcPDs7FAVyCjBW+4iQaUc2k2uKQW8LHV33imJTr2VPIzzF4/N54NO76/4 CV3Jilazz1MkzC1Pzp6bayr1ka1S15Pqd9R3nyX5rgsjcpXqFrEDHGzXQfZ7wa+CbuXnmsvEqTCV HYnaYEBVGpBJYUFgOInTSQ2otn0wzGBbrUlzYhU+H3WgvjsH6ECV3W7ZIHCcvBVNiMIZ6VQwOJK8 evOyAVRaLMwMLSfVg+ryJeFwcQPg6lB0Hk7s8DHJNMEB4TlT6L09BTsVokLPSQkrMQK2Qbnw+dBl wAGu+ige37eqqbfDpz3llcB10vw8kHsXz4WpPcLEha0+yQXsvdyZM7CdzO46tQl642DzBCuuBbFX 6U5uuOJSn3vKypt55TXmrtVeOFpPlOcgd1CDbruT4Y0qqleqfD7iDfziDG5yB57oKY7GG/vhhmBG NE5eDspQI9Vh66m17GlLYD1505b1DE9vHGgCGr2da+J8FxRxRqFxTCLFO5d0wTq92RtHmvhExSEl tMFqBqtJExRQRT7/L6lXntkNdrjtmai/Ud9Iem+9bjuV+axetzFtOF9tomIsCsGDwJzaYAc5UGAp Qy8ESiboWyzZmJ+Z2ZAkysBP/s5bQgPKs/jZ9i13UDjeQR7UF87G54O8pfxq/8INaI91d17oQdmZ t1S67uqEKlZ6Qq123hMKvp/y6QxNKBdrK0OKr+NRfM39/D1w6e4mHsR7Y7Eh+bOYQUwvABd4Aep9 o65LEd9rapAKBUx7ivzeTjac+XykIXjbLhJ9xfK8Eks59KHc7HD2yRId5ZYQ/akGRY9IanXDzyBb aSU0ohSdefYyfPAaVJtIXagfACZqJjJzLB796OvOdDGpq/n7/oEABxAo+aB9h+ooo8RzNk8+H5Ga Hd7Mbl+lKMO80xRKmiw640lYmdIdEsgjei2z9SJPtea2r4ETJUKbLtTO9sEbVOlc/A35QkMklnhX LMDd6U8LutF6xKhy9TeO8jtK5G3ywOC49yNFJyKNi9C7a/MDooj8W0W408rwki1zaEZJTAsO12FX Xhr3ygOgwajNmRaimqlYs5t130x985HrU9Q8Z0eHNzs6CmB/byLBMps8NNosPeXnztv2xgGygHde TrJbSN0EYDZYWF2O7lmvGfF3vlMXtO9RHbsTFcbkEoF7Mjx4tSsmh2e7mcCUBasUxeSBI6XJ5Exc Wj546+WcSYM2ZCcmn2nXzTYWOPk/1B3IgHJ5mXwue+EIgaEzXPBClGukJzkm+qyYqHMGTn5AxJV3 2Py04KDX8hzlUZmckMHzyubShfb5uiawYzzC1K66nENbysVm3jSS6qj3zutn7sdIhISn3dIW4SfR fQ0mVfi/Dr/M1d73rxgKBqA+OAxjCnDQem18PlhPZX0dUaAyjEdRKIe+lCqsqF6EaUIB21lztTks DGo3yacpVhVURWUnHxzzBL3FUqAgBNgkIBiM3idrnMDMp6u8SG00fkkAxWBu12Xg1a+B1+wnaWDZ 9kzT5vP/tBVS4eqnQ9fTpymqkBvhqZjOMil07eJVum+7ievKpikW8Zbucpo+2DSdI7uMgmgAbJ4h 8MsaMAH8AVXz5xzpgDw3bjubpxCyIkUB7HEMAFLy7z2hwWCT9YRSessgMvjFCEfJuUMWzOcpCsn7 uqYUjshYF7TStxegoORqqXDgTikwHkBPvftgqXAfoBFWOp1vCAqp2ZCB7upo/QtlOB81uBy6U9at Qibjcg5cTg268tlQz5nPB4fTrWfiPwZPDWant90Z+lOygVIIv/BCnSnlUVrQUXSJDoSap9gRXqKC gvXuB4450fshKRlcjBNx4lA5R9/uiJ1wqiJpR22RXIxiLxxpnjOCAvIfA8paXE9nntbQeqqdz0eF 3/Z1PEG4l77yNk+hSeWkeu5qF/28HF5w/rLsCkNopRuIPoculYPmE9sE4lO5BfIKBSASNFTMbI2d Y0IcG2CJdT3dcyLEc2hTmSkuVAHvssFO8QbhuR+vjwc+leoKfbGfBpVkb78lNKrcRb7L6/al6kUc ZkkPYTnVdPtSgVNl/n/AkQMoycuOg03ThBYMUD90YTxB6+IGpZnQmb39Q3vYizLo9sIRS4zn9153 0L6bK9PcHr4wm89H2NVviUEUjkd68pbYrBKnzZmca8Uw+jUYzjNlCwoa4kAlwqFbpaxh2hbjci+v rCQwt2brRb1zqJ8QBQ1kDMyQgF94JgrvYm8cZHg0qy55yQINGR4Lvuea3pnlnNF65gdEM+UHObWb 2Mdf98ILPSuVCeeHmQEUjs0UZa/M+LzfEnlsWsmiStuEZXBw4MpANoyqxPnws9I6BCfAFABgIYEJ kge3Xv1qnge2lSBCs9c5eULB0fmHlQIUI8pk7RaGvfyACGfwjUFs6Lk8ntU5Nq7ccvSqrl09uJxZ hYIUl9UMaLOo1CVwrsRMkU9XpKrD0oNXNc+NRFIDjvJcaUAAufiTnW2YMpRMlIG5xC574agpzCwY gE4M0JSh6zlmXKnxKGnyAyKIT/qaKEnPXLBmDvwrQaPu4tVXA65UNaeYDaPwTSDiSb8uHiM0sBTb p6tM3p8yOaCFZ/nAjQZLCr6TlXgMHKIn0UO7GUUu5/ukbm8cXXqMDpacUFfz+i/sqxhRn4Oi8vmo Tl6+TikoBfWSnksvisklGW/FzK5Ci+kzwerDlAeJovBTKgKuiO081UTn4HXNBAZLkvUg4k4gvdA9 P2thJxrWjvnwWbq9cFReoWh8UvGAXFwZoVcoNBNdl7iiQhD5xUJlcRYnuAs3ewmMLLH3iNh01C8k XoZLNUCxzmYqwavJZioKy4Ua61ZnWfNWgE8iCV/GRjzGKMSu8JSCuESiQjakPlxefy9740jKkiZf Tdbxj5YVal0s6kApjc8He29+L6kGz/n2xOWhmaVsGlujNyMrUd1prSfamZKRB/sndT+kwricCAMo APtgE3WitLVOxMBKFHIOlI8Ar0MVLAGKYuRfYaFUKg/tLLtTNqoPwkKBXyT72L0Wnw8CzkvdwIrK SDhgBnxnKqyVyyAFYtACAc9q04OLD5wu1uwS6h52SsUSKZIa4KnOge+dNogaJ97omCkYNW5oQKw+ Ei9duH+itXu7VH3ZG/9pRyRWGQfde6uy24R9uCefDyKEX5YNaE339WBXQlNL+EhlRTeWEleTJ4I+ CzJET/U8NA9cLSGVwSZ6AodDg6V65z6iYypSYrTypioHA/prDV1PxKfKiDOtqfklEQuY4vGgTHKo 1hxGfMyfRliDwNYyfbnIqtB3zoN1VYlyaGs5pWy5kzeHQbOwjBjpvtE4oGRvmV7oa7lo6rUl4cTB pqmdYGGwm8nmMJ33ULDDH3nONdDKISWgTG8ojgqMLbPNU5k804GGF+zy5DAjmVoKdJtzaG2ZLqpc ovPnrj7ByzNTUXBelcRsS1eolLocbjDd4apSUtEWVEzjlPDO3D54EnPmZ9P/CY3UszFqUhsdqx5s XO07YcZSsfeNUBlUJUaFEf4W4GuqiXLCKHUVRiqLH/AvEGKzsxxVhztPoQo4fpKyynb35sU6qufE w+llEFi0eYpr5dIBpdLV3lfpqgLwezYiPZxOFovribQXCt72c7v3fl135E6UA3tLFXBBm6L8Tr+W u+N8OZXM0UGtfP5fCteC3hfQ75/lFCHK5SmXRDGbQhu4eSO1gBiXg6DvV94fDpfScCBOuj846XSW E/gDiT2qhugMKw6+jQVqE9DyHo88obroocUlMxfwgacPQmWcH3IWY5lVPh8spytQyAgcJZr9oA1C k0uirooqzlxOsKG0eRr5cXBu485TiF5hPN52mT5YaAA9sBN3V4blUOTH2yEnPpfoRre9oMJz0Svb XjiycCbdpTVde2DayqIIuTbzF1QR+AFRDTh/LagBqN5+4AaB1SVqwMIgbme91O4mRSdZrMYyL3Cm tGAz8Lq8FIXcpw8ebOa2kDZ2uaZABAlUfOo2ZuY1FTvRd970N/5LN31M6hYMhp68uk740inqfTZC 5vP/BQ910gSaSj4nVChXmIxytmzrTYJduKQqhSQhNFP3VXTMgeUluPLS4Bd+rOWrnV6REbdF8dST cJ9tSZIsc5XREot8d57EDgo8L+X2B5gmxXg56IQ6X2e8ug1yUGB6CZzPd9MzIzdc7VLyP68RVM7q Kp9Uu/qCSvNWDui8ospBJvAGC+o1fspAQ7FUXklPWPXSEwo2Gyw7mjDSHUbCaFCh6TXQYUXj/FKo ED+9Rk8ZOjMsQKHXZYOm6DxMvTfog+HplwnKIIyprJktxIRFca78H87vEk1PI8hg72T1go6f0yhB jchxCahkU5d/jZuyk/FmJ/iQg5dVYGzVulz45jnGNyZyAAeMuuv5BhC9zMdh6Vh6nZ8TXTb5NzEF 5qDDm8cgk+GzcT+vIVNGKFFsfhhB4MKE2Ijm5zVeypkaAxA4uyy8NYzqQptNHU2kmdt59BotYfkM Gu6g7GCDh98ku3RZOKB8XOa9AZEB/cCR+PoTdL7r+/KRffPMlOCd4suxz8JyDaan7/Z5DZQwuWZ1 qeWD9dYGCdSYntcwicvHrNJvBVMahWoALxe8yCsVTc9rkJTRiGJ0ZC4OZd/pAcoXnmls/w6kJQy7 80ITABwRABcs6gYAFl/xPj25iXyfqHMJpcxiYqBU0cBJfRLGz2uElB/1Pc0PpGlrhrIt5+c1PjoP Uds/75l9fsrelr+htpwcSYAASoaywfIxmHzv3QeLjs7fQ4frRhXzgXACqs2b6uyl09cKtV3tr03F tNfgCOdPYdGS4s0aVDdBpi6IIdrjr6ERn17f5w9mu9O2iTZ/wQQ19nxb3o4gaHO6kcwGBPaWwG2C XsOi7BIFY7O0NPYtLRVwWGCN0wlW7R1yMqxW4pwD25lymreXyWrla1SU1bcZYMzeQRCnc3l05WF9 lc9rSJQfsx2bIIBa0HzSBL0GRDjV85djExORPozceg6l7LI7tU83kYkmqHGHAbJkw8WADUzMUs8p V4qLQQQUNx1Row2cDNtizNdeY6Gs6vPZW3SkRCy6ZHZ6tjOlxrDFToz6eQ2FcID9usFgED1EvscE vcZBOKF5wZ/bynXSDFExKd24r61l7VaefI2CcEKbHtHsPvgEnQ2UoEfEwBp9Ut5gDdMCy85zkKD0 7ZE1+eSvURCXKWco7+2DQJftBOiCVpzf5vMaA2Wp9T0TBNWojrfWBL2WJXHvTZl99ltDouSIUv6e u7fBibCnRlpwRkuucZR5B7vi0dg9ITshOiczh4E2e0yk7meIWVEDzuBxi+YoryVJTJAOHwhKcRhq PjZYGrGD3TP0P4MJcv2GzCAcudCcjBExQa/VSKzaTWowBe5EEBuOE+hoW3tNhPAAKlxEMZDBA7iQ ONgKOv/xOeGpAQLBd+RkWe3KzPI2ZC0xS4aKs7cN9lhiC251G1x68QS6q0gbfeXPax0yi2RrK0j0 VZRPUUO1MDEKozsbcCsPnyNWCY3NU02n6Bwjy4X23jl0+ZaNJJC6vgRSN8q11LFAHI1zqBNK0cgc R5X1RNeP1G6z9w2WkenI1u0Dj2cIZQ95fpwP/LxT6LDR5vdGG/QdJ0lFHKJgkhLd9RoLCTyKcmqe a0BkyTol0BRyLk+4ksgw3MQ3c/CaUQGnqtGCELLBc0v7EwigzCSkImq3di59tD/vBLrscLhu7mi5 OxUaXI8qpaHKx4Pjeq+vgHqdUBDlY5+k94gajHH2vQiFFDyg9Oa7rW1DeVUKORrKK5ikrVRMoREH hwxCqQHriTL740wgK9rIzU7MSOOCdSOiIRBRMEVgFsE4njE1h2TZ/dzkQLe6+XgQE632dR7VRafm 7FMURdUy2On9f5EmDIq2oQhxFd0ibRhWs6g2JbkzvyV3CoLSScoFfXBroso+BF0njExLIVHcrjS1 c4K91qmOnkGDsUFpa6EH8Q/bJOiNREfSuHkZ4cykq/blk/QeWrvn15RrvGntJQdPtOSTBOEOr9AG k0SFhgUeoQ+apKZGJMmE+MU7qXnshQP4eUJ27HTvjEwVHsPziKm9sDhnhyUpNhdoZ4hrOM5h8Xln zVlk9ey1DN2MvrIHj++sOfxp2my7uDTKoPIxT6TKs1dWBKtb9PhOmsNKSmLLyUNujcdfD9Bx6juw 1D8xaaoRAfqAci/OJju1B02I3jlzWTCPcRZdWj5wkip15VnFHqV83ilz+REk1G6Dvsb5haanIO+U OZ5I2mPda/0n1LhQgF1dP6ZlN/54Z8xlU/9cENL1wQMABNKrLqtht6QwnP4VkxoyZfuRVJgMfd4J c/gr1VxLu/uglXTi0Smexdnon3e+HNPg+ivPX7je7tUWRdnoDUNhoC6rgzRaHwsEQPcjkXb2naMo zBama4oFNh8W2Lnc6wmDCRHE3T96AQ1qLEBpJ05ehtbOoZ9dr/s+R4NnUV2UGoDbsJL2lajmi1Mm dT4ehJG/rn9kWSeeuOvoPdDGOuoPGp7QUyIcSGwiZEoVWZpGq5YWRdog9CE0mt0HO5GgGQyDFMJy d5uo7QhKgdba2S8onN+WUdfbvk+R7B2qKvzKmVgt2uBbamlAWieKtNf+zvYzyFrt6/aPYm0qlJ7f Ifk6WrW6hnxPzpKD5YKTv+Jgm5OD5WyDF9TOobcQTLKtNhcMUtEqYbUGxceMW/lW96deN5okLKC1 aLbDQQcSlE+m+MsASETRthsR2ToqNJTwdOSdIJdd/bOOC02q6+41aCs54Ab/VM0xjLWZkCyzSBnl 1qyHNBo78ZO9nZgcKw76JxBpAWy50oNQsGX2Ht/5cVl6CDiI5vZBKW2FNg7RNucy+LzT45gP+EK6 otbrmaMo1IY24xUbEvPkKu0aqbfRsGFYFPnOjeOKlGQAq64cPB8BcTChs97we8OOtjJpQwuQBLxK tq0dSKw9vpPjEAgKOCIjmQS9edWLIHQ7WNvvED95J8fxavtdGoFIa7+zFFWvJ3WtG6u/gm5Na6xB quICTFOvXr1+j7VR3FN5X65f/bp+ZUQvCUa0SG3PJEBnisgtzhKsJSrgbk7Q0VJ6j7YBeqSGDu4A DDCR4qU9oaOcpPpS1uedGMdZ/q6wFQZu6Zb4w3CbPs2rJMe/zzm9xAbJFSuxZdxBPJPeaXHZZE8Q TA0f7EyCbHOjYDBmCfLovNsKSsAZF8UP/WRcqoLCJ++sOHzLNnPd7IP1+s9VQSAgVCI/76S4/CAi zrrGvQLBhDHbnaUo3l71S4CBldoyfcfttTzezozLuePCWjbV9c6FOH2wtQSuK2Ij7Di6ZiH7chl5 QloHDChVqZVl0zsljpPECluZ0wfVss9nDeo2txO3fN4JcfnBvuefbafSIHZEcxSF20Ai40ozkDuA NsP5cD1vd/6qZDpxjqJytpbQkHgcB+82phM+EHnd6Ix38nY2r7FmsN8K+qj7ObqX3jcIkwR8B2re BkNzJ/pmkSGwPu90OC73+V1MAsy+g31vs/Qeb+NU4oEtq0/W26RuTTocKdUSZFrZz+73eFvZ+clG 1HTcT9PxZA5jDGpZA8s9gYqg2Q69fBP63UWeRNZWW3rf91NpmQihUKXF6gwnZDrxOv1fz/LYn3c2 HP7c7EEAkdwwIoSgjU1SGHDz6J673rp/rtcalZ0HApASiGs6lN4DbpC/SRnsSkq+DqVzxMIlljhu APTG5jwWNB0ngU3oKHrmVoZeN5ojUnTVAIBW7c8QOvmcFzKK6GPvzzsVLovBqknSf9vQQPIawDsT jrcifRuEuG3K3LwGUFwaHNqj2dLbdyIcy86TfVnxKsflVdbdQfISf+Jcdh21JUwSJJAGGckz3+tt EJj8ToTLAggPKFIMH3RwQ4hD+svnCz7vPDg8bv7xipQ6LrY87pkURdzI8GCRktedI+NL0Bvd9ZtR Z7Xd9h5xe52kUq1Kg2UlsB08MSQEGstCY6QMQeFhkTZxeDOYlNwuhSzfKXBcR3RFXbzi5OvGKToH EuUSgUgen3cGHGLR4cuI/y2AdOQJq4kdBdxs5CBxm47O6uPWbVv1JmS6GJF3/psH3KuQ9a3BpggE SrSjZI4KaAWh3YS8j3Sewsntgl44VD7v9Dd2yUiKrzRH5WCJ2wmSmKC0c+x/3tlvjLfzr9wWCLbu Jcl38huDBqqbUANChaSntJ2lGMedNh0H8c59u1PU6DSoQVM08slyTojV4EhwktM0wK+GkNUimgWd EpSiu/Fvil43mCOSSnKWG2F2OOQ8Mba0auEu/XlnvuGvvdE2Y8aCdkG+yyiKthuw1wDPe0Vyr2bY WngtuOJZ69No3u+8tyzdAyS1uLQ0aI7OC5wrB7J20jWZ0PXCMiL+F+fsTPOe2G3obYO0jfo4czAx 4aDUdp8dKlLXibE+75Q3awbaFOmgR247PYp8p7xhjjbXkTTO2PpftRhSey9WTijjtbZxl98Zbzf/ L0TLaLCtJrsv0D9RtUXjhT7EsLjGiY20ZN7jiD3FzzvfzROSs+Qk4kU3LMZ+Y5ydIBhkyuXzTnfD JKf0dWRTMQtxtE1SFGrLjbDO4voTkyViThIE2g3ODoEsW0hRaRs2o5CpgI+OBltICPbATkMh6Vxm edFtDt1/Cc+hFm8lkk7W8jvTLQuyzzpk8sEQtdjSNLU8H/B557nlhzqZLfRkzHln6D3QxjUhb9Rx NRhrspbtCbm3tSPBkPGtFtW1k0QFIa1jg5/Ymd3+Sh/ikxzmznYTcNo/vbGMlZ8OCZORd45bdkkq uj3boOOoQ/GIc3QW5+ed4pYfiTNPAhZWkQdH7ww3fCkZbnDlsCN7l3tkQ4Tzxw2btmGO3wlu2Q2b TuAzfLjQRxTtoPmBA7qXzEbTmIOnNaKjUqgfPx7M8TvBLbt8/GhE93FQHWn3LSBtXZMvGRQk79Wv zuWA6Knjs97JbYiOqB1fxr7OX2M63rhrIXW5ERn+8Z3bls2rGUyarQFvMUmHgFYNRYJQ+weSP9Gx CVfYAvUdme612iHa+J3ZZgXFAQ4Qy9twElbrZ8Nyj5xuFCw+78w2RqDfBUl4Vc6S7m4Lw+xKJHYt pl+St4DsFPMRA7BxktCj5+UfFrYTUZANOroavK99gheULYjJBu9mUpdjQg/p5CaovPT8eDYUe9/o SFI+S10OuhkKRY3GdhZVcmY+H+y3vb9mSWZI9UIho0B7MtCeOp9ZbGOJQjYEJWUv247mUWRU2hYB axkIoM9LUQZPbdN0kC2SM1F0IUBfg9hCdv+vzMsgp+2d0oZflblaajICaaYyBxh56mq2nc/4vDPa +JLfFUl4sp/Ewnsk74Q23v9kb09WaJnh9lXcKAUg0GzMPxK5AYiMcSTMZwGDt8Fz/5OjwR6dMRLA j/QkGqhu/2zgUyinZCuJC/nzzmczzDDAg/QmqjKFwy0FxBzVxGHJ8nmns3G7fqcjGQjJWeYFjYY4 EpKPmswZCJFAegjmNpCSq4keiWTxnBs4lN7ZbFn2ZTiLSvfBT+59AqKUJYC+Txg5yYEgIn5vBF2b Ai8C/bWi142CJCZrddswNUm5QjeGqWpL6/NOZWOv7rvZhrui1+y77Z3IhoVkKvHb5fQbNJ+5kIAG T1xeUPVeRiF957HxdJOI2Uo+OEZio4Y76TuA7n8CFQWmL6gyF1rrsL7tWVvX+/59co87GHR0ZfUc 8It83mlsWeyJZyWBItvGzf3fWWwMHKj41g2nDhfQKXIysza3td70teNCCqNt3f1F9lbl+n0QB9Po TA+vHbSqCEgaMmdAgbGgj2shALFXn3cWGxGyPJJ6bT4IbXNy/s0CCbgVn3cSG9Ho99wmEO7c5BMg ApulsLI9xaS5vSQavZmQfi0OYt+AnPHgfuewedUWTMHigweTC5SiBY4IelInbiXVj8ReQqEyTGws t1WJ5J3Clt1LDqBLHyz/h7c6g8kT1H3eGWwsjHvRFhOKGmvv+c5RVNmekl+eyaFtJ6xp1rdVCZ+X 20ruRvTOX7OVzBJb98HmqMMNDWKgDCY3pClxeKF++zOG5Do83gagi9/xJxNisDPJwXKSk4czFDjH 3Pi8c9eYGt82ksimGfWCu9tCHAn99toaXrKFPIYFAOjVe4cEyqeaozDgVm4LPSgbvP8/TpSXcHOf dQSlAWsQIBLsTFDG/8/YdyW5kivJ/nMtZWPQ4u1/YQ/uHoFkzWTU6RGGviLJLBAihIvH/FPLKKpq dx7XbdNEloPmCFxtK5G0+nmnrf1qbeMxnIN913v9R+E2L0RYfqXbRGrekFz7KituVCDEh/gz3F4w MPPhlkgKdUypNLXhkEpG3QR2v1WgTupzHDV726BEwmu/VXb/OdgyWqnIVKfN+XlnrBGz9R1so0Xa n852i4Ltzu4Iq6kmdL48+T9hWXLKP/I2VUhaiNcuPIZQk7fBzuxzyxX8CjS1pfLXUBUJruSIaxBy mrElUPj8juDuZ0rLCMEGVZEQfA4ht3PG40EQ2b8btvAKaOnmIy2MtNEsAJnM22y7JYeQ5ubGlrAi tlZki0raiLQQPSIV0+AgkgkN1o7G7ImN98lxSY6EQxobbwgALuTfDuwo0F5kHM1OhZvZm3OO4VHL rY2COR4PWv/lOxsB/LNjgxqvJgRskx7aUARw88/tZh6zUUyRyhHZXb/7e6DtB3ZV3bY+ltZdqAeQ IaCIcBITAXJBDfhR43U4PMJcBXrIfKRUWRu8/9uoXowE8XFrp20+HiGRv+PswQpN8hCyR2H2MJnX dmuRpXiZLe9sOw1AWYPZ9ghDQhu0RayBDT5FAMSlxJr2akAxVBLcUCpmiktHSM/9Ka7Ro5p2zdTW SGX7wDkCuUbWzUC34/GInjW/TyMioJunIv3vmjYpwHan9ezeelsRU+ed5vLvPUJrSx5pk/2p4WIj 0MTs0+jYJ4GFnv45kkCMztR5p2+ZFWyJIOlRmC03gQEAJwZMM1n96QRdjbEGsA54PmBBXuQfezcZ dRy2D22eQiIkJV7nsguf2du2+GgP1t0WDUDh44BTu0eRtll+bwnh7kcbcEPq7kRFTEcW2udT/P4l cy8ER+0C2zYT2x5F2oW3f++slHQIznOXoPnb2Ao40VjH48G5nb4rkhW3f75BZI/YkKifgwxj6pLE h7nye13GualAJltFsoeB9mIQie6ZDV5HQimJPbtzbndIURP8BxFyMBRAHEcp2nSkeLf1qLLNvv+Z nK0BkZU0ENJcg2ki/PvwfDBJ43uSSIrbNxvpYaSN36LIaFRI21odjZxZwVdFcq1tKymkRJJynKsq 3PXa5sC1ZY605UtxwgAUyYBGRjNkUSGm5ltFmtVeNwgAeBxBKI0VSageSv8AMuyU/Dkp28bz/9pw ojGdDGldVnYPa9uEI4/J8j7gJ7tu08ZvgxpI0tMobPzj6I5r20z7k/KL9CUHCJgbchvqR80MshVV IkjpRbGjyP/btDin3jeqI0mRTO7ErNsStFeRIcvjd57tjQ8ITu/xjY9Af5IEBJum93Ab7XBKcU4Z dukfB70rsZao18beP5Q5KtdSFG5v5v9zUNiVg09TAzl+yiHu7L0GqjcISZ2WFBUovKuMz+nFlwSz VFTWJmarfmG2IKIrf+s88XiU/n93tiEd14HssTmKUNtitrVssgfcet0qkuxeyOozIZrWUoowJBLF 34k1tzOwL8pD6ZxEJ3MD/BeeoVB2BZK0E2OTwG+r2nBTGlvn+/Atf4VKfVIEt8/ipC1QeuWid+IM PB5BJNPXJGXoSHUGTJqlMOSuXEl5VSu3dRYpVW7TAbWp9O512x4VtxeFpOeUJ+O8nowAnQFtkUht WycNBbgK2pLYzhk/U6W+mmqSgyzSHuFIOqU06qLOT12u8wNl5qKIYJaJxyOqtgdL3FsQo4RahIcB I4q6Z9Zi2rcEQHNfhUvJBJZJkzAA4IjK25OnNjpqPjggacMsFhhxUgBO6jDMfmgAYZ5/ABkzJomU uUcUdWfql6ctVfxtmhQnCGhNbG0wJ/B4EHWP76UELNZIl7c1oqgb+upIas3xlPCt4TXJadYdUBxJ hm4fYXF7yj1PUm3psbWG9zeQYFMexBNuV0C3Y48vHOMZp74rkAH1gy+JOJJFYiySHkGpm6ctyra1 SxsYkxRWt3/1tyFvNEHBskkKgdu06titmK6WfM1M3KdZBA4E4rJS0oiq2wjLTk4C2gEGdnkGU5MJ ZSjoRp7UBFLHRWaElI88ERPYMtcwACARfEcAI2XntslWoY0xLZjs0LMjp+/8Dx6PSknfmUnDG+Xb bhshkqTrRLoy0+fYG96UpJ6HsLZUpeVWiyJu6jUvtoI4FJuic1vDyuTE4IiToEWa6CfbaQRzJuoH LWsPAFAIwXcEYdKiemvtdzDM9jl75VUJvb8RVravdARTmDFd7Y9TFMXboFICMdz9NKrZlbjPn9Wc JDGGF7ZHFG8jrUINaTYf/DSC5jLcWNhsm/Q7Q9EWSvuDVoXoaBvXln8pvuQvgRaYNHHAquB1dBId RPLM7EvG8/+F2YZFabgoTlIYb6tH0m5SQtaYkpKx5vYeCQCwmqQo3jZuJI9sDfc86jXRFgioLZTf WP2HW8oPz22wMmwdbX/bYKtttUaIS+Kg2/8cGENeCmfm8Ph/6bVxUYKwY1MUArZZ/F/9esAtmi5I BKm6VjJQRdZGGhFgm5qZC5IaPtx11BKOo83if0Pvk7LTFJyHdS/C7gvXrnrbvzptSz1bsDAF4pv5 /Ma5eWo7wkh7fW+1AsRmW/fAjgrbkJChu4hDSMu8Fz+YjJ61QRBcUxRF2lM9pJSl08oDO7MkiU9v av5nEBkLWd3QJT1/EiLKXO8UAdaC74iiI8zNbGqTwG9CMBIwJRpruGhK4fl/aSG5M0Bb4+60MNIm 1ganqgdH2TPbdpJPl0egmK12WhxpM4ZslJLgYDstQ+AXZUPGkNn6AwMXETtydHS8W40h5AgDbTot JhlTbhrdJ3G21s6SZD5BzMIHBPl/veA/wXLnN45kxKH2loqNN21baUaRPAdhWXYgISq0pRRWt1mP HWIBjqdym1CIRKWH03RO7YS4FcDtExsRMFYmJVqbNKOaXjfq2YqzherkOSPA/2U7+ySAyHixlk6e jOf/VSWh+Agg9POZpRnWtweh/yQzMW2bmXAJSEh3yqsxjFxrW8FthsJ+uLFWRqZvgzXbTgi+z90J LgKkx87FwJylAXk/4LwoWPt4yLYzxJEwfGydVZLmVqpl1wyvEl7/peLxYI7aN9YGKkAdLRuboijS loxEBcoK2BEcT2C6sUgy6eqMmiRKo+d7qYwUciQ3U9pa7+Ddf6C260rSbEGWzIU0t1zsq3QsHrDt 0vtG8ofkaiU2AbrH80DdzC1g+zme8Pi/DiWuI+xeJLs2SVGknUhr2/3qa42Rph9KyQxe6tkvwxTs ZgjaZgnp3KnbB8fagHrLije1NjKUU6UfBSxdgl8uKgEuEUmJhBkXuEnZwllvg2okFb5ogm2f9H9G sfZD/uNuA9EG8mg+S1GwTe+Ak3c6AhAybU7Zgje8MyQgR6tZCsvboiHRAUeDFyX3PsE2XMqAtz3X XGahoA3oN6GF1sqXtenS2/51JG2KtmjQHE2cdPy6WRYej0gk3zybRqnuS9iaUbCNLQ4W6TCGRD3z ZQsJesxWt21GIjnH9gz5kU1Ym9Z9sIUE9i6CbCEkBrh2RW6dOBQQ7COHd4EkwrZnyI8ksq1IDZGD cn8IyqrjdjJNPB40JX/F2vjukW/7f0ax9qLa34nmr42LfKoXNVuumfBGwKQ5ilAkuGdggJeyD7aM IPY04DMkDikSQNx+BYLeM9F/Od0oifaTM4q1a5NPJ4k2zU3koGaZJZdwQq+Bx6OS7XffFkSXkW7f dkYgklGlHFHTDZKKB5JrVa8gobRtUxRVthdRpDtTjmXna999UrPzv2cHNAaSZ5vx0J6A7P9AduMH QIzLaCt622AVEYs0Jv0nx8xGmDmRV5f6ybk++YpBxvZbUxNoxKe1PaNYm2DIc69lB9qyXGFH9mqu +EfZLB1GoeSf+keV1ggcHCFBOtWWfzAdqbkZK5ysTnAB58mv0v/W20adNuK0BLPND8z2JLh88ged LzwewbWuPAKXHPISlrY1RxGKZPLA7n1dZmRy5j8UUJ3SVrbb28wo1jZLNyNjEVSkZQQ0Sjv5P4V/ RurslZ7TCAktgiXirW5Rm7j2GcXa6NafY6hsDUjBpM06YXmHhXCyn4rn/wsaCfD4r6RthtTIJt5f dWrkzCZZf05xAsUF/EvDwP/zT+W/VejCpeEC/1APo048ynkJQlu41RDDIfWCb/a8k7SKXjeq/JMg AVicDQL+IdhQDemkNHg8CiK/zyO1oi4caUVxNsyA6cTpSdvY5br/lLvZNoy7uZBWhCMBDA/0kdJ9 8Nwf9GzKmtKEWkz5M0eg+3VYnAIwZzIbYv2vEEdCqNYYhLaPkR3UBivPbqz/iscj3R+Ps5X7D1S0 Pa9dYUW7MbgWHEkh5PYpyg+HhA7imqIQR0JVqHMBVx9sr60yG/I0O492U5MpQ7lpKCRcF42E1Yfv iAra3GODfdvSWWzjmV3Ods5yn64FzwdbrfwqIZ0n+rqlyBXqaFc1Rta91gT8Y2OEltUKIIeH2SvE kez1rfsjXWLmIgsQJ2v9nwMhFZaQRhqMHc8/l0c98kxfw3dEVTai2hYlbTgIjgTxjmpC7Hz8nyKb OI3O/LZ9tdpWFGN3BZCkezDvX3RHVZWt5WQ1/1yz2XOvEKxNJbuUevXB07WNU7pKFqGi0Ump+gr/ nA6uokQRygMfXWFBmwunNwojdlQypYrRUcphdAM3czwfIf/86pd1FIAorXgisqIoe7KjTUKGukco qKp71LqsOVkcmV6KXCE1ckmkZS8fvA+JvyoPqZCcXBaaNrjXGn3WUf0FD+DCbKZeNyi0TWq1tayy P2ZWMWSfo5OyAjNZPB/da98qW0CPzHIv/xWF2dAigivLHhZmMy23MHtuL0aeizrZJIUyJFK0lQtp 92wTbf+++/kPDR1xXqxKhgQ4PzRroWgLYIy87RKjyBVhSAS0KUL/l+mJf0bvplguwpeMlOtvHxIb FQy8vm6PbUWR9iIKo4q8TgZAcymyc9aayDa0GrspbKww0paP65D6z7jQtgL56rErqX9oQ25A0M6S Ash9g7mf97x4bXqe4EuCSWIdkhw7DtUgswNFKglCpIbHg6vtF6cNXfaJGqbNUUiPLJL9M0QENkd2 Agmc6ASOaDBjsjmK8dpVtdrsg3Pa2gbLjyok5Rxu9KZa6JgIHLGhsJEvggRHC77lL3BEoXQ4ZGya mbF0CL2zg4Q62wrr2vv7bgN0bPZbZ1shPbJJViM7h3T0a+Na1uVG0L9Td1tY16Zt+QAyywaPtc80 wR2ChUJCIwYlyNGeOP8KSB6S09muLVSyxrcENhoKkorUSIrpndZyLkqlJ62dpG1FsXaZ+StIarSC Kd72X2FVe5OCXLcj/3tLV7BtjSsgMabhkVcYa3dCkTKN2jj4wd3xf1AxOvd0P7sO0eBZScDBkTSZ IeblUdIYet0/6VqNEQAH1SIhuNjNs7zi8WgheXnE9IF+wL63OdpRrD2KrKGuMFJ7jLiLLH0ojIQI XELkITlyS1173cFLSODEEzXEgu358YkeSVXCf6gG3j6bfLh3FGszZ4MdwR20jCjUzn8caeHxoMpW f0FIWUG6ij/7PdY+TwHFjLd2tf8icttgkFTuXtsuZr//1CEB47j4YFME5doTXYJAAhzSOQVQazrL 6PwpG6Ur3Kz3ZqPa/w4x21UCW/MOBkNq0r2AVmvF49Gh/X39o13a1vUc2TFmG2llaqYUmWv9UkYu XtJujTE55yjGbKuj3bMPno/AnDwVKv7nATc+IUixdAeUozOaeNfZduh1gzmigASQ/j4oZ0M9mKkJ lhQej5iR3zWkzJ/tYv93yIykenTv2Skki6o7gkaQOG3oEVch3yF6hGXqLcG2/chrwWGMsu9D1doT FWEahzxu4I1HszrvH/Fe21G0jc1yAshCPZtWTM+mEpQqJtLGOorgI48wMkHtSKkBg7Y5CuHaiTIk mXJRbG+LbUsKiTzdaV4DzrDmKIRrT5lqzu2D19nOaXyOVJofoX5UNzGUFf3rjtwSqGWvs2ER4zui hCTrzmdeCwFgyYadIAAVnB/yQjaej4Badx2R2I0ti3DNJulvyb9GhT5XIqk2SUtqZKZE4gdSCB/p pGslQSTSvhgbRI6ouhR2RgbyEWJsAG4dTFUBGza09hp62yA+Iock1WdwKtKUdhQgnHg8uNbKjbQp bwFhZBKSNEcRfgTCRFd+RHytMoyvhQ6P8bUqFxznKBT8MxLJvoOX/YFoGrkKhwSpHhQjZ0Xk2qG0 Jqy2Gtq9623/4teCweyDoFqz8YJi6YyPRzVtryFtBbiwIfFAe4eBtvm2b+ciQbrY91pyV4SyyvKr P8SPzCVszR2sFIn+YCG8A2i2sbex/hoQJQjPdrs2SKyD4juCCFLSo1kz5aI5VPyXNOz5mI3HozLb rY/wfIb46L7I0R3WtBPrbJTv1Hm0urv8LsElxNfa5vK7Y/yIaH8qkDwtf3g6nQR7MIRMbLFJpg0i euecmj8wiXf4yJ562+g8ohzCZimJg7YanJWKIuc68HjEsPkG10J3bcIKyqYoirLp2Iff+dr7ju6s v5Wu2B9kbmwZRdiRKaM66otp8Jz2pBe0cybr72ytTE5A2zSLO2euepDCH7Nau0MFkkKEzSJWm4Ox iU4IkWTSMgcej6Kj7zIbpAZ6vhqt5in59q2Tpf7V3KZ9kYBPwH9nLQHLCFzAbubjKQyzmYpQztMG S2qRrp0HahZ55CRZ1PvNVEVAJAC/cDDwZNO+tr1xQCClkE3elEVgjzcriQd3jmuhtzz5Af+Es//Q uuxnQWPMZyoqbE+asU5eccpHWPwlgKTKDYm9SLo0aaZie0jq2S4qjHLw9B9leoaPAEfM3unVMiBJ /oPLDop0j+4Po+2conBblfBM/WgNXFAdvyYbV+3EqHw+Iv5/M7bR4kJS1O9EhZ427ACoOMFSUp/e ATgJg+W5QlqZO0IK8dps/s8kceR0xZFhlIosTS5kE1JlVCNpdIBKMARhH/cajfobRxAJI0aQJgEw h1h7wLnJ9Bz0LX5AEC5dTTIZRqNVcs8neU++X+AIBdYcjpNEgd76AGWsa5GE0rfNVOhtU2TGMqsP tqQW/FkBOiNgKMNBmrotC/yghB56zc8xTpo6v+YPrGSByJIPCr3buR2k4Q4UAJ6PCt3f2C2kAyM9 fhspCr6FSxqV0u2kSbA/IGPWbdob55fb/Z5SUaVbRsiryS6p1WfvgbXNYwt7D8yIRu4WuXQJti2s plhVKZNRiq8JmiazEJOs07zf07w1wmdwIcBQIoWQkvrtlYSY+mcT/mszFVa7aQDYUdBRjRKoEkMC slouAheLTEXWZHG5mwC3Jo/E9ngknuyqwqeNZZO90BagXRKkGTbK4hn9EC+/pW0vHIEB2TNJ7Q6a qHlC2KnIYOj5yMj2F2Xy/FhQcLzzFMuTYEWdHd28dbKbE2/M/A4rioB9W1FRGE5m6cSu88Hm6ZxX 9fwVJuOWe0IldKERC6tEqNNTltSOc1ZM+TWRsBSLcAhWbBBq8qyKZsdV0fNBY+BK3TLtRbd7zusH kFOoUkKK0kkils+UDqnNxBdVEAEnU1l+SIVlb1bgBprPNniVqeOnAKod7hLQSiRvslLGEIZcPwDF ewkFkBN9TQQyoUQ5RAY5dC2NcwU2GhghMmt8PupY/sIqVRQD2zNRYUS+228OTt/VYYGpNufgnI3U 3RUwEishMwA1pjs4OgBBLMQTqFR2MurMcwzWX0AWnygBi8lxgdPeN4oPqHVHuJoGleMgpKPU7nwX nw92Xv3lwiGQ63rmKSx+Nxa/JQnAalMqXpEbbvNW4Z+1fZ7C6vdW9XtPH7yyO6B60FnZrYCZoM27 YDeHeaJaWi6X9FaLvXBQbxITd9Jwm4MmqqJ8o6Y2A87Y+GZ/7bwB29x6de9y6DIplek6p1flUl2+ nkqpXkxJy9OXHNhMAkqxKHcvXff+CJYN6JMT3w7+5IYBN2WmELz1wSYicALm6kLD7RzYTOooZvu7 +6BEmB5NEnYdk8//s3WJBUXruycwD40mmwkEzpsJt3Yrc3mZ/G3ZoIbaPEWBOXTVSDBdPmieOhQl 4FMm4+3ad6LVFLjeqIA0qZWZ9h0NS3JsNJnV2U3JB2HgTtKYpkC2pfL5SAB3fS0nWBF3hL0+TWFY XmRZQh9yZsO9ecFgTzYLoIFzrqSigkEOvSZZ32bV2werqnRIerRJpGCCQyB4a0iH8X4ogo998aY0 YOKX/NF0wqIU44RVMFbCm+yFzh9cB5//LwkxUHQNG9mnKaqFoz2EQLy44pScolieo5iPledEhudq CmNyKpZV2SjUx0YB2ivAM8HTvqwz9zvRBu5MZAcmq4i8ZLqlw9832nQ0uG9l+yB0Todwn9GWK5+P wgKPn6iHXRvy56vKnUPHyUVLjCa3CbmrGyoOpV43c69luJl7DiwnE0mHKPLO7YPXetHzAGqZeEGs AfQw50nvYUGLFwfRxlIXiSrl0HRyEX0yM7tPZFhpPZ3n+OY/oNfx+UhW6ZdV4IkxqNzm8xSJc6MH kmGd7Nuui7wsBbPu2w6FOt92f+JPVqaEmwbbdlC/63URWZFg6dsIUTknO2DWSPSIqzQok8KCwHby 7DtmwUNi7zdIg35wVV8QyB0+H/ngfO87tKCKqmGap5BWSaWXOkayqkFl8VREr1HNC+/8ecU8FXJo PLnozjkLi94cPCqAWM1Ed+KkV7vJbg/iSjjIqPwNJL+X61SHCp0n6YYHe6Pug1LhtVMrpofX+fy/ jvEsCCo+Zz4TFcqYEIMqr0jG47P09eOmgdskA5CmedEgcJ/MJvcyzYJqXJIOvLOxR+hjlvF78wwD GZl4XQoX9evQXau9cISxZFggfQUOTq7YWsNoEvH5f/qYsbqywR+oj/90GI9TyRRtBK9DjeEclFHs 3wWcwZEoObCg9MRlAlVig6d4PZM6waJBR+Ed6IaBlIbWSgVm7+nidXqzNw6U8XfhFJXug3Lhjmxx KTgvfD4SNP/OhWGkBsmnO1Eh8puK5riufaLWciJK7sWJKG25VGeOfSg7UV+qgq98lXEqcM2sKEs+ OCXYpNPPfEMZZ6Fm0P9X5hIaUSrQbHVkHxSQdxRXiEjNs/H5IHMpv/yD0c84edONyEMvSnqVFAAi 7hmV7hm170Sl7iYCOTSjXFYtkPH7fOjxbaYT9S5uvTLOGmokElAk74R5qMF6BbhAQFTvG5QMpky6 dtVQdHWdUNhEFyC6w+ejK69/H1FlikR+5ymKyCnzcV4e60oewnSsZRGKDlGU7K4AJVtxJTSkHF28 LwJTdnmAKfvkwcVx8vuc7JtcggRrGfidw4vkridZdod+lPQdqqDc+6B9l88/Z62nPvn8v7jN1jWG NsP17I4NKanbtRp1Fjcr7GxKbeDXdusm/95ay76cwohcSMLKJuasD+4C58g50KUjhNSkUEeoQZ42 04chr0eSKtdkbxypLsqOgqHUYLGNtUzqgpAIC6FQfkAUlP/2EpY61p2pEKBCjdFWigOdE/3mWa2r 1UrBrNpOn6nYKUeKguossOhnC6o0yHcmBgfnP8Bakp0AQVaV1MXyXHrb3jjCFhB5UWVxXqdJCm4Q tba4YFmvGdQM6m/wJbQx5rOkYrMcQlTTY5aTPHuBNknyXLhlr0EFxpRmcrqyTHM4ONwpb2roiMVz ju3Oeh6J+R1175Ns5Fsnn8teOJonFVVYFKlIFqQqOaAZxnt2nF+CHxAx5r2bpz7yhvViu9FB6E7Z qHTS6nB/KqMW0sFT7ufkhDEL1kzFWBUVykl55uCX3llQZzGxCpXhuUzw3ISUCjZK+cH/OwQzV3vf P6sG2GU2GBADaGGeUefP5vMRU+W7m0dg0KMrlEN7ymaGeaYFi5zsmgq2bP8uMP31LqhQXLBLxKvc waYJ3rbAV1IPfgzd/8BFA2fAwkq6AoywVNf7/gnGmCxqclCwCZ8/Aj8B9OPzkUfVL5Ahfig0fn2a QhZmkrdAduBTXUaAQg2q+b5bCHhtmv7W8k4qHqTxRXtiuwrEYvg7tTwq2RoA+PxMUOgyohHoHGDf 2TyFoBW2PFuRBBM9d/n31oLfFefTuQj5fCR3tr9CKFSdO6IRn6dQz1sAMSo9GyTDLHRB6OWuK2I+ LcuGA5PK656TavXhZsMLisVFVMw8VqIBY4VmKbBdP0IazkcTLocmlVUReSfZsLtoCNg1KTGROfs6 8/l/nk6INIFgBm30zlMUktNMFveN1zRbrw5d2f3Wfs8fV32e/mRjwsa8++CQzHPd72l8DNSBG2EZ C42iSWVEGGLi6iK1p9gLB+tJpl6T+gCJCph2PC1aa/+AusrnozLUt/E52EB0O7J5Cs0qkXlluGI5 k26xdcHjafEXIrq3jttzid0qF6uZBvWZ93gqiGygLEyNwXKWTBIPCGrEDQYvdT39c6LEc2hXKQ/G Xrn7ONgpnrIyfpXIA7/K/63tvQcFZW9RMzSs3Jymtm/Lpbdb1GTvSMsJ8vQ+TVFAviQSX8Sqc74E 6XQb0iGyPwMmfdIl/WR+INIjAIImzcUZdHvhiCpGlXjpDU7PI88Z0ctmeH7mffP5fzlWC1TAfZyv lV6ObSuzpqd7WDCoJCaq+C7OzECmb7lw4FuJWLeyzcn2MAfHQ8H4DDAobDx4oUBr4MTkFZOeEEaV Z6pKV3cqtK5UH6E2aQ5DF4QLCXDrMQk1OEds5gf8a67wftT/+0qGQ/PKzrZLlgI6A6h223g9GboV Ei/FA6jYvZLSVZRHtMFm6pwBEGKWUzzIjYgCUF5BUTWhzpcHN1/96qAH/pXgQzMPhmHoANcfq4Ez Nc/PwEPq/N2ZHxBhDX5pfKIKPdazpsKgHOzOE4JkF/pQgiZAFBvvKBuc3KMZlzUHFpa+poAa9+Hy NEqBA3Om8xB8HxLrK+g2brgzlEyogfnFLnvhvzrD5z/PHFDZ4yaCQk1la/jEMZMf8F/Y9VKgefBQ gZEloAbseNaZDbxS61ieEE+CfREd8MhT3SB0srTOMOuWGm7dAIJl7NuBFwVUHUqbAw7OOSFUYCfB WT+p2xtH7DHRxqg/zEFF4FQxQww3UdsMzCxxz383FUA67rBU94mKovJBP/S+Hh2CRCAGZdHZRCRw 7IRU95SK0CuNHTpmPDY4HupcfKlKp7FAsiLTy2qBmbnTluT4w2rp9sLBvTd/EaSpOssVhZyeoM2R uKJCIPkv3w9Gr/kplgeOllAvQuFgsopjEsTVBa0qJQXk2JCX14BjS0ui7eekAgiHu/UyULqESaNG QVY86YiI+GbX/X5l9veyNw72HgV24ITmg1bUBH6dB/tJj/h8sPWupDV7EGcBjPYE5qGrJaDcUNpJ w2pRJ0R3PFSSmRw6hMB9+RkVBuZs0q1c7+B48gZxiy6EHVygKIIxQDDHRKE9v8eFt24Vy0Nfy07i ZpIpIQfhoaBhTNXFk/AtPh9EnPn7MM/0ICv5iaX+tpCfcH0TDnhKUZ5ae0gtWNw8yf66h1QYmrOt UOk2qEEzlYBkBd4CeKiBavA+RzH0FdlMbFB9a9QkVKeqL3vj6JCiH9EyHQc7C3HnzSEDsLQnnw8C hOl7T9q85aevq5OaQ3dLoVubZysonLsx0Vln0013MoqeChACe0sIZqAIBb61D5brNXaJyeDEBbun xGQXkRqYv47fnylxHnSUyYG9JaaJbZc5bWjWID7z3wj6EuAg8Lf83nkq9Z1AeF2Hixz6W84k+Mrl TMET11LioqYCiRyVq6zroyLNXXWG1x18mjoaw4DwYYR2KuErjbwulCrPkuue6g2FUYHDpZyCULIj Y7qCL6LuwBhYn5O5Hjrpocdlar876Rn6zc9ERaF5zUShl+3riQGz5cR1Op2jIEa09RRTOaXurYrd fip2MxWY7NStxieQiOqkI8wjVZDbTrCxVOx9oy4V4iQ04zmghmUGen2rXDFSWfyAyMLhu2SHoxzY /TtPUWBep2Ar13lv9e1a4FOZMvF11BTQPMXaKVpJY/pgCwq2VCSwkz616tSC6uDodlhG9H7Nd2RS lAOfS+cGjdKyD8qJTzjF4hBaqJXP/0vuitM0yjcFPwdGl8hfaC2jzca4U77X7HquIv4remXLFC9y 7HSpPjpDbg0WQ52rcybak59TE5HBgpfhgEYfFCcaLrxHo1B99NDqUkbOgypHGgyYgba88cwqn/8X rJXLECpc++o55NDsktvpBLPLBeYY0rjete1AzNO+8xQDWJQK9zt4rAnZ5QmoIUWFTk6njBiselqs o074AFi2vXBEpGL2MpvoLyhUyKkIWsXsV0FzlB/wfpCvp3jABgSKEDDP8pkKfXi2TvLu9mC1Lw82 F4WHNFNpmRRfDjwvsx1QaHj6YDEU2OsJkifk40Ohd9DnEibVOcEzmr1j33oUmsuB7WWmcxU6XMSw gEJqCiEwwWIf5mzlzOcj9YvvyCB3mkteJ94cOl8al2rlbHtvShWcmjwinEPdKQ0XCM+B9SUqtJS+ QgXbBjuiQG4ZJsaf4FKwSUyrECkaLfHkv/O0/X2jLhXbeEuKfGs7shXto2bqaIvPR/IOHhqQzUGy TrsiWJ/XCKqgukm9oj0dE5XooisEyxyOicojm5baa/xUEk0XUSSf3Qe773JD2WQrydtQVaeYeEGo MHjsI9xxFhXip9foqbheMaorPmiKKmR+eDCfPfB5jZ0KQE0KCYqFmPlnZhrM8OoIpmeRAjvq9nJB V42Ah2KlTyEqUBPHs1ZRMD0SCNlVfbz6MDgmrXehnNIoV582xR8SCIyzoJ4FrpcZOiydS6/zgwI3 yWXQS7FBp/fZ3EudhLNxP68hUxHKU/Ojhia4xo0Ss5ig14ipZMoMsW/Ubf0ArWvbbNYrolazFzNf 46XigWWptJfnYJtsQImS9qySdQCwlQiEs4xOWjd/QGC8TgWd7/q+fgorvmDH+KCKL61TGSf23T6v oVJJ11jGEC79HI+j+fp5DZSwvaaq4vOaptDrVy1geAJaCxgxIKfnNUwqkqpBj3wtH/wMAroearkK JyeRYiDiowSJDQwCjoXdE9DIz2uQVOQVfG79TuTTgGKUwBIgrA1SLU5enT+vMVJ55MCL7n5QXzI5 5vSyj/YXepQZFks2P5W8UXUNark0fFSL5Swb7i+hU8rwwe59yJmfCImYi5HmiW6p5JTJcwQRC8WA 6htsb71tcABlo7MkH1Q4KbDVug3y1+AIT1t9SQsIisZQlLYJeg2NsCt14Y/qQuBdxg1cQKtdXVmy HukDFkyQbC4hFe+Dnc8JQUuDuw2IUXCnySyZUJU7IceEb8TtZrJa+RoX4ecckqNpywdDOVXB/39g Fvl5DYqwglrzCWJxBQJsQqRghl5DouKkg+tIjBPI1Yn7IqVD6jvF1UBfAyLMkNQJaKqrweu582ym DsWIRp9lZFroigPfsRK4jzDf8z1mLxvsMS6dWUhFnEC4Clu64VPM9XSCov55DYZwgq38tYSouiYG PnVsoyOaWQhY9x4zzrYtZoTTlsWMqzi77jUOKheEkqVMnB9l4g0APWU7EVtvuDhSujGD6EBvqIJ6 ugfXJJW/xkH4FZZyNLYvORhlbA+qKqEnkj+vUVCRaN8zQSfnx5lVbYJeC5PYY12p/kNbSeOKOCxT v0AVybWJXsuS3OFEXKZ6B5ugMwntZI2UuKxAe5CNgUsIlQ1oWlU2+AWRW/RJeS1KFkHPcfrwFqMj kWy/T/okY5aeoQMaTFC/txgRYucVWWfWBL3WI7HsEm8x2Q+TJrZ5deGUhjyel0VSc5WL6JSm3t7U FTXno/+dIaqIPhAOIWr2E5LaqOKTcLgV1BEdGSdRjuiU3ixvF5qkpUKfbdJ9UV3hNQZI6mslkteY h0E0Aipgu/eVPU6M4mgcmqiI5Ms4hF2ocXrkUUBOD8J8YxxGc7SEysnTB99lZ2VsUOtIOAR3QW4E uNAy2+Tnd3kUd5soktE2G4IHpOEDT2oIp1STAB0gsEb7bH4fRANguJp8Gb3T6Hh/mta+95RQvrCj Gtpa1iqpgAcZoSeapCkD+bp88KoRDPHypGkzJN9I6oXWmHpvoN6gfGPtXBCe+b7Raa3W27yD6v99 DPmj4fr8vLPocK6YepMmCZf1AEPIJuk9okY/id22epveCMZsJZV5JWXkIS2cVzBJ0OOHzH5LPlzQ INpumzERVNIWa0ZnjqB0LpfHdSOiIbxuMEWdQWNbMkl3ExbcZ6soJDo7+/POoMOK6B4TcfktWjbf zRZF1RRAI8PCgyL8O0aDpg+CgiJUxQwXEJzYhEVAsHH44Milsw0bQbW40tLMhD+fHOAsvAmLC/jA Pzda0uu+7zUZWpdJ/BIH5a0Al5OshP7C550+5znEDaw7Oavj7rX3yDqZ9/ccV2t/oQtg2AkyWlii pfmXlWijOaJPYwNz0AbjGEL17ZxDhE6caT9JsDIzBNV0S57l6YxMVR7D44jLqBCBSseyagyeRKIw PjChoBbMkZha3Gosf0A7o4O1bLMUhdcd1SUgmLN3ufs1kWFyqZW0qJnAetp7fI0LgCBmlOJt8BNp n+serB2yCDJE04gVhF7KKAh5Ifxhpzbvj887cQ47mi7ye1B1lwNnqUI4kf8CAIHPO2+uSEH0OZAy 8IsnLPcA+503h28F4LqIsa9JKrk6FABlLocCJC86RiE2u5EL3C8f/ERa+SQYVgXpSDepoYJsDUBi /Ejbj6SSaZD2zprDX0kbmS7RgX5FB2Zbe8u2Yeb9eSfNMQ3+3m6o1Iz+HElRkE2tG5Q5neDUZnOv vdbyraOtiwKIomzFSLvP4YNXiha8iBsVLFBySpng3ARywqTL72SIJCL97Hrd9zkaVJPHTanBmM+Q QaTM2vlzOx8PosgnD1HEecLIMe8kvQfauJCSemrNarKg6hi5adcuwBJSiWr+H+9sObw/DyMYqftg u+1kg9CuYDabqMahWBMrr4OjAmzJ7Rp1ve37HEng8nzCHVQuYn7DzdahrxNF2l7it83GuO3r+o9i bRk3rDaWF9TUFmEvJPlCaraQMEdhsA1pz0VBVBu8ooaIeglbmmjjK1m1DTQsbNMy1GlufX/qdYNJ Arr7nCvQr9CgE+ns4ZGFogREIoq2862JcNEVGkv4OnonyRWTV4VO2TW2LI+H3LazHJAbz2jfOXK4 JROD7SrVvXrhERXHDAJ3yqSVc/0nIgdxQUCi7Lx1Hc7UybvY6wanNhHwnRw5DUppUWuhZFqdJX/e KXJFgLznQCL787nZ3glyPMZkkrLdkAiHghWO5jSULitrhp1858fZikSBt1UfnC2Ag3QCwX2Stg2K YVlqqdFnDwwtUm7tRGLx8Z0gh0iQQXZOdLZK8MCU2BdiI2kUw7r5806Q45q4xSPVRiq6C92nKapf k1eH1p/rW/fUXJd4j30hptl9Ut75cUWyWHhV8uI5+DSlc07g/0kJP7k0DeQHvVkyrBwgxv3opBd7 37/ytgScMQa0k3htT/wYnYHSmbHPOzuuSLD/OZUKUS3JCyTv5DgE3HQBWaPefr+qkOwSOQoAwOBq 8NJ3blwR64DWX8UH23BbDIExmLjRbYyzRH16Nt0aIDIuWUEBlHdqHEsABHUP1mvncA+wAacd5hp1 zvp5Z8aVx7TRymyo2cED2SYpirgX1eR7TR5xm3IFsxLK5isrSa66+86L8zBp2tW1H5u084mUwUuE dZ8fv8kgPUHlf6JUkUGOs1KtrJveaXFcCbT9ruTMD0dlVmCYaIkJmZD1eSfFFSmPaI6anUqjLQ8l 3ylxWEiNRjt1eXLb0y1ndyfKnQhguZDOOyOuOCBi0WhYg9ezKxgTYGLhVOoLcEnU2kASwHar6KTu 5+heet8gTqK6NQWSbDA4dympGElgfd4ZcSx4+EIiuAuwtr5vS+093oYUrKq01QkC2YUuz+pa2/Rh sPstvX3nw1l2fgJtLEkbLtELVt9li5hzfm/8dvDcQT6UKkzqZE1kbbWl9w0qklaKHMUHTtLZzGmy IHBWx/688+Hw5+Zfu21DFmrd+y0KuGdpz0rikTTc36L32Z01mBFy6Uh6D7iBPWScVKgNw8HjpJPj F2ht0LsB/1ETmBLQGpbiQd7zzK0MvW40RyJV0pywANMyhE4+DxZGkyfu3593MlwRifWrsI24BI7I NklhZZsO4Cen9arkSNdGtqbt242ybrrd3gPuc+9Q14sFERtskuZ5szLlkn6mo0Ag/4c2shtmbuOH bPzrbrn0ulHEzfN6PYNRl+DlJthI7p93Jhwev1mJKfCdiH/eYzsKuFVMOiHGrbcls0ulS7rz4Mba fmy/B9y3UALjEBusUJLOD76J8Gxlo7E2COOCAAzaGcWMrSW5SzHLdxLc3Ws9q3ybh7O7UtlJirt7 jc87B86Li08PsoNeMj1IeqfAIbbqzGjlICd8VnWQSFk3SEqgqKqLHRe3UR6pgCPY4HnbuT3wK1Oq ZaPQRiFwwLRgK51/drrHEZ0jPu8EuCLEEEimzyAYRAKqiQTuc+p/3vlvfMX5FW5j64AO6VMUhdvo V6AwUhzCNmSvyymayXfaRAdCUxSWtkl7Y//dBk3RORNxJZikF1Adw5z52BFAqRzWHCQzo9VvrxvM EXOR0mUD2pML5qCqlGRJNPl4sNPS+BVFol2Q7zKKYu1Gm7Rdt+00YF+9R7K28VDPtYH+LXfaO++N PTD6fm5hRva1JOoAsmEZGlECJAp2nDIRY7CQT/Oe2G3obYOsjeC+ncYdlP6nNsRYQ4j1eae8/Z8D G3Iy7TmM3hlvmCLKmvR2bQk6CbyS8tKKAqC9jmJSXu+Et5v9y99Dg++0io6JykgJVl+spQArWXFg I3+a9zSaVOt4p7vdbGRmlkhomyDi1dmhlT1USKSUzzvbjTUS32sKq1AiqXeSokB7s0QCdWOHs/M+ Ipy9Pr4Ek6Q4rqOotJ1YRoJkuA+2jpCuqmV+FtFeZ2clKuwhqS1wKC8eZmfGO593oltx30YKFtpg kFpIOfE0Oh/weae5lYc56bo6jDi9iPTOcuMtoYx/TO9oz+o7LfXlPSQSZTRDUVk7KThaNEnh4Pf+ BOgbBSm6EWMh0f2T5hKNv1B+GiRMRd4pbkXGN4PcSx90GnVIpNCXIHW+Y3Cp/dpqQGW0VT02eie4 4bbudEbp2wPI3YuX/veF0ra2s0njvPPbbsc203JAg3e1oU+1Otv+BbcPqLPnbkPQmgH8P8uIEvLj AR2/89tKthbJ3BQS4qAq0oavNc+jNfmSwVb7ffOfoxiSvj5JUZSNYwL2n9kT/7LN8xMiwdfZEmo/ NklhWXsTGNqr9GG51Sb1YXNvnSkGAOyDJC6qUeFwB/e9jHmlKoU2fme2Fdl3Qq5LRvKoM6vzsyso KCxVr8yXDO618X33o8RKD2CbpCjKbiq1tWXtyCyzZSX+05tI5zQBL4h3f1jW1r1WGEFycHbNuePa mQeWI+dJ/bF6ITbcSABEUtvzY9uAquDnndbGI4kAUQnHV9RshKLeHSuUTMmZ+XxwtSXHkBC7jrZm f/ZbFGeTu0QetBdt+/ClVFa6PEl0PDRLUWF7ChpR5h28Q7JPfIvzVFJ5HYqe9LbIcvjBfh5X54Ug 8M87pa1I8xfuH7Ruyl4+L1AK0IV1Yvr8eWe02Us+Vxuw530332/vhDb2o0kmZUYorxT6Esgrhb7X Yv7h2KC2/juf7R5KRVIvHC5YC3Uj9SPpAzroSZyAaNgwa6Cgkq2kQQ+Cdz5buSp58AHkMOyWOmGr jBvOzM/PO53NEKffLZKC/TbvJIUwkiKpruz0SECESdxGdywn0SMhHHXiPBxK72y2IvU6oNXJZuNw T24cIqheI6vd58TmyX02VvvZVMDaVHgR5K/Z6/4VJLVGzF/jYcFCWzv7yMxk0vq8U9nK45Nmj4FO Uy9u/Z3JhtVrwOPsEp4NBzAXEsDym8sLMCLi+js/6f1Maiz/T7aRNNhCOmH2ObslFtQI0KOCZ6G+ UaG7DovbnrR1vW9wctONsIlx2+SNxvLIXhTQQdjTP+88tiL2xBfUBv52T/H/ncZ2oaN1XI5IaskZ ySUlpbjw/2zWa3tnsXG3yUtO9bb0yFWnhaSk7SnQFuDZLLRlFtrAFz3HyQ0BBvkP7zQ2I2uAdkTh t+yKjhSwnlvYyFI/7yy2XwhtHklnd8ySLkI7LGsTj0R7AtVHJpVVpKVPwykBSUY3fO07h42Z7VK3 tvngsWRHuxz8K4hVn/xKQosILyEDcU4VJOWW2apA8k5hI0SWFTbtNg7WtT2JM+GRSBE+7ww2dg76 1xydnwlcwztHUVmbcQPkgBxGUuhyIxbEbH63LTB5NEdhvJ3JP8aNZYODbU5QPZZpJZxwe1Ctc3YS qwZZpsPDbTAi+B1RgQSF2p0ZBnCwlGQ3kUZr3+Pzzl1j+uWnttbMWUfta45iFAnbIzmVe/9Pv//3 nuW2R7wW+c5cY9M2E4nErI2D19kacNqYBNCazw/eyHHjHXqyO7YBbrStZRTVtKWTO3q/g+bo/A6U o/nBef55Z63xOPs+tHEMUsvJpiiKtsVJaAqRmpjtly0ykmsopZUNiPxOWbMi1pmbRTY7B09sASKg Uz28Lc/JNmTBM9hTAgyuPqcRIaTvjDWmXZISbne4y4hqqKghzc87YY2QrW86BFJAio3YFIWxNvkQ Iw8vkJzzIVuBJFOykAWSbsKw/KQ/wNqLwuE2XHjEeRyCgSxF7kXzY3p/dBSUIdd3rS2p0YHvCK5+ kvrY3rVB6AggpUnhhRwWHg9iyO6BdreAk5mwz1EYaSPcrer/yf6TAAUhSFu27L9Jf4DLKKpop6LT qGwfvIjUcckBEwlKRErs+cNCnf1bSqnmC/i3EzsKtBcVWzY4TTYYbW3JV/EHgSUeDxr/N9DG29Ed dSWPj3oYaJP32JYhs5HjOqS9LUrtSyVQmvng1bwH2go9YApM4iMHq9cmoith2XPW0dl6VEFgP/e8 LsJckB8tzpazQA+ZjwT8dUH/OWgdITtVSbttPh7QRm4IyYQNl1pLnrD1KMym8B+C3ysQSL8VqUaU R0hRWw1TFCFIBllrqPH6YFMEdfMTdgP2DymgcwjJk5fqdBNxZCLJX7k/xTV6VNKubPa3tZsPnKOT 9fWRxH48uUiPwuzf7KxBAPTN13pc0l6CsTl8pFEYRpea3FLp2AgtX15qPSpp3yLS8MGBEed/Orqb TP0nHYbYqpW1JRJBWpdZwbbY+0ZwLRC0iEbFwPCccXYhRIx/eSt4PiBBzu9ZIktjcD1pmkIaJCsk C4bqCrRbM/+zk0VI020BP5JIo+38pL9obFumLxxsmk42nzZUfim6BdF3U7xDiRneOjiyL6ptM6/t UaBdJba16Yc2djcjj1kAQ2UZqfWOxyOq6PoKItF2J+LaJiniQg6upVK6a7iVwfYI0JGtOKoNklzG WO8xfoQgZHXZ8leXrUJuvJpFeh1UHwGqDeT0hUAqw6bcZaR4t/WosL1kRrwoNzkWYUzMa9MJI4sk tPbE88HlNuqvDUfo/z2U4kibQkojJ8fZNsfZwj7kFiQp6sSVFBIilY1ksv44+CQ1tIDBiEdXrZ8I g8rnJyhAQRKwg5pvEYlCQfiSIAAQL0IF7gY4Rlcf+1wyoj+djG3j+X/tN62kc5esXHySwtK2giSE chCNgjvuNnH8NlgVlUpEb1ZF6mFpm9HhOXWaD5cfMeGZMqZoteCroNRWG0BIOLTQqy+PFufU+0ZH N5VdzZeYKl0E7KGH1BN5/ieJKviA4PAevyAkSInyxbX393DbldtGkWCy/nHQvZKKJ0hDKrM2hDJc S1G4vbfJc2cfvEaCetsSufZMfGVBm3QkuO1COq880vip2utGh5JqI/kOAmxBi3ybpsHE40H2X787 SQ1h5Gx3v0WQbdRoUWsD4vV/tPVwLUsAodGdmCkJKTFcShGCRA3Jx9GLRR2JKc86RyHM5rzWJCzp zBGawxCFZ1X46mgAsVvxLVGkJFVXiuJzsBJJEZPzB6wwPB7BI78PpZyoN/8c3WHETb9BYCGs2taF zVK1rZthTqXEvWYpqm1TG3XuzuSeg91vnZJalSupwQANgSXwAbTOwAFTKa+mkuQghbRHKBKV/nvm /dazBRtFZELhI8vE4xFR+/csgbXTbhQwophbkiyt5QvbpgSygiU13/hbt215yYiK22SHLjkJarD0 NqcFGCyFEuHEVKcxToFOgO4ThLiMRiJh7hHF3FnSpIu1bQ6q/9P5lvHkiVPxeBBz9/UVczeioYaf 3COKuakIVAZBK3IhXoSysSLZzcUDwmjJCkkjLm1zu0Et2wZbSCfKOpOdyZAEZqdT+Q7cmzOZhbKZ qNiaABn+bHxJMEdZTrHbDGPPH8nDdpniC6ihmKSwtr1+1wDmOeeL9/9HiNnObNeyPIFzG7Iy1apt 4B2qbNtY2tAkRbXtzMS2L+py90XZ56HE5MR6ICUhMUm7YFEiliKjDfiUstynOaOwNfAdQXObciwA aGlYFktCl1c9kvM/eDwok3QvSDLPQ20rN2f/jRBHQsB2b49RLDWlpDENlx7TmE7V0tsRBdw8kBa1 nzGwnY4pagteV8vEjzZsjLv0cAFQggEJys1+/yP+wXdEHRKWkGAxaYNAbSdjXnazjY3Ho5vtVyQ5 pov9cYqicLtzFcEAyU4jZPtWbBvZaJNA+t6tFoXbEo4oVNLSYJEkdFMWOIyo2dbUwSphzRZHC80K 8Z8Z0XaS1TaiuraOo0nZEJkwikLUUcNQ0zaXjOejXtvtkFAX8Pw4HUUbm6Uo3mb3qQD/5PF2adeP aprQLUqS22cpirdRe8XFL++JNZ+bDd2F3qlgc8K9AWobEKT0NwIKC5BgW0ibzP8RAknIjyyJZzYH 3f5nnQ45VZ4oAo//6zjCvmRyC80pm6IQrk0OKTaHM7bqw9iSPzPJEcXJESOCa9dkYJvlgy+kdK78 DJ8YFP/hMci4fEz+iuD/lS+wdtXbRo02Fv87JUg3S5mW2m4a6Si1HWGkvfw44mMgbIK+alMUwkio joDmp+81+Z+TsJW7p7ZwLLUpiiJtSKpDn1VTRDGl89/EFGEHQfCF1AiwwCimPMC76WgD0fDUpggQ T3zHX9ERfAA4ZEeRnH/RtG5PtMp3jPps6TtpQzMSsF2bozjSptJPvecRMZ02R40g206Q7TQUyYgj bYmMjTs46h9akKwtoQk2gbVgNqLLrbDCvO9WYwg5wkCbxP8sr3hSiXMSYQugMHK3oCaMDwjy//qt p4XwcSASsVkKI+1q/njesm0kpPE8Wq4mAavTbiLSI65tk89WOVkcNEsZIFjk/cxH4M6EsgS0TqFK jvyfZtbWANg6tWN6pDS2SSMhwKAoCTnBRmEGdw7YguejIsmdpK7/Npi2lx0x/y5vzzyWpW0UAlDa NtRUgoNnQoLDMskMdf2gqLWqjGGr29OcUPvsElD1WZdE6603OspTUQja0gZqHw/TdoYwkmoXf/ZB xzbqOCzd4vrE48EktW9oG2yKaMNpUxSF2pQEL7Wjh/Y/BHAVUiFRJJmLUJtFp0WsYMoiheVtkkhR 9fDBjm1QnWEbRGjbAkh/Ef9nRvZVvoUP1nbpff/qAZwkYvqgklDaQ7Ib6Lvg8X8dSoVheUO47fnI jEJtOvueUPuCtsY0TT8kb82Zth3wA2lHxfRIymHSH16DQ21KwodSiBxaCfiLuN1ASIUrKWok+SpE UiBh/lnfhhbS8EE1kgZDFLm0nPR/RsH2b+IfkPizjquvFQXbmXTkk3a6PBJBzGZgNocbupw0whAS Mwy2BdoaufhgKwnYrxP7NUptTGgxJN5u6NgSdtDKl7Xp0ttGU0T1OqTeNmiKzpVZWJs+P+zC40H3 f34rbDWiLZ7zKAq2aaAImKhdbmgamgYZ5KqcQJqBP+exPaNge1Rz8i4+3Ly2npRzMh8p6Fv1LCFN eAQRVwe4j6sjDXvdYK+xJQkhex+U+yN0Z7EEVFc8HrQk+3dLkkIU+UpFzrC0TTPhObqXtsejFt3G tX7dKFxrjiIQCZ1J4H9XfHA0EnzYqnzLTt6KsHLIVxFVU/zXUc+wIIn2kzMKtSmMIYNOG3T7n93M SPgsozHweFRoG18xEkTzRrrMyBlhSFCZIGf0Ykhqv+02KJm4gFT1KYoK22KQnOh++uB9pAHAKAQ7 zxQBE7ir5Nkh1YhcHi3uS2eztw1W0UCMvZS5LdlEoHJQzqm2pHcw+IpR5v+bqj3BFfO0doa8yCry 6FX7g1SETRFkHi3U3isbzHaGcn/kaBeBI8sDjjw3PaQepsRaxoCdMKYIlIYTGZ8fH+CZW/nfetsI rUUt38RVxMF4SHuoZHVSKT7+L7QWDyPItGB/2hRFGJJJ2F9f97zu7THgGt3P64rmi6YoirRp7HN+ YBbZONgqqg10LTAtoMp6btpFp/iEPG2VqnLeLWkT1D6jSLsRZFsp8g/mz1IGD2TZWoRbQSEXz0dY pF8UksyUzYtsM6RFNkXXOTnsj9cRJgmFhu6wP2SQ2mp/65BA3dgHh/3BlqxPMrUT2GDi10J6lDUO 2GbPO0nLXjfShsattgpPJQ6C/S3QTyXVtvmO/0LaOIUEtFyboxVF2ZnCkXV3j45AVLW9Vndyj6QE JTQupBWBSEzel+r1GnyORi+ZAC56UFfWR89eQ5Osg/aPHowpbIjwv0IQCXUR58p3MLxWa8UJ/xWP R7zI7yliyRe3tU1RWNCuYiJd6ijEhG2K8vYTuyxdaomfFNG0NwURiddK4+K1oF4zsXYMq81KJPq1 FICcbHGsC0WCTjW+IxL8YyF7EGdbO0U2eGSDbC+po5OU4PkI0/YdHAGge2bNj+wVBdmdjspEhruD 1PbMn1Rh0yChFi/nKAaR8MgmfHbJ2eWnicp+fvGUpRJ9IlHwbVGsXaDdYLcw+/fY6Px7+I6oxsZz qLH9z0FYpAZIChX/zj2Dx/9FsVE8fia4AaphcxTF2LICXLu5dNRanvefnHRtq/kXaMTyOFohVJt9 /7wEtFkXaNMAH83orTDdbGBVLRqOoEoK3zQpIpQHPbrigjajoknB+oFgU4oY57OKoD19QKQ1CrIf pDbmCFB7GI54eLRCNW2ltEIj0S1YCuybbK1avThSkoVHKyRGdsm0tOyDF2uBq4EcMuXq4YwEBX+I ADR6AcKhIT8om6nXDepsxCEDRP5D59sh0T8wk2g2jN02M57/F32EyL8E9sjN1lYUZifWImV7JseD TFgSw+y9/ETaCL41SbEECfeQ/Hs4ODgCEsuTDrUGjqDtLchNwCLhgxFmmLFd2va+Ac6GKdY5QYsP ytdwTLFXe/YvXzJAkKzvEAmXbV+3xbZCsDY5HC3dsv+UOfDizfY41+A81SSFgTarIqnSPCPVa56B axHlJFm1NdirUfBnn/zhB4ncydfmRWtT6gtfEswRTvmyWSI5A2t+LPaf64emoz2lhscjha3vYxt4 LXQffI6iSLuShkSbMauxSakNwV0fyaAR50wpfiTFkn8ySKSxGIcHPtKpssUCUu7gD7Fgi5o0egI/ JPo7fgR5E77lL8Gflgj7R+BmTiw9U8ScylEVj7/vtnXFI7nozm9Dty+bpBCvvXR+PQKbo7lMS00X IDnaNgOE9Sd+BKIgd/AC0ijw5ejE2EBotBAgOYjlSFBbKhBDVbe2sPGAbwk8NHi7zZNG+CBmekK7 U2Dkk7OtKNYut4Mk5DJYTa177X+FVW2KyexePNjuvdgsnQj2qkcMmrxQgTwMtjsZD5sKUmuvy0UG FvlsLsn84/irKDINeEz/bOo5rvyIkI2h1/2TrKVbjoOh02AfX1VNqng8CAF+bTcK2tWrYr+jYHsw AkgiH7HKRsCqVlJJLvqbGgmTECGPRf+IiRjzDjZHUKEG2atyu51IpXGxoZ0E0b8O5d9r28qS9o6C 7UZ1141tYoPW0VmV0gA4F8PC40GV7Vc+UllBunI/+z3YhmSFcH/bc/+yXV3r3LUOjjzXjvsl7wg9 MqRARn0EDTZFJ4Ycg25OMGibe2fi/iYmbcPQqaB86zcblf53iNimxn/doiNv5yHBOEB0jHPyVTwe 3GzpV30EoFbI/9schYhtLIuqX52VyNqvdnTz+iSiP0dG7DjYZnC0dvXBExJII3RTaevU8EDyXyn+ VGhrm+7tTwdefEkwR3RkW2LYchA0Ano/THLPN2w8HlG199ehnQnXTHeOQl4k37HP6+Uu6pygEaV5 QtLS3WphQbuwQFIVcz+tyAptTdCQudVKAoqBSGQK2gH2Rq86bx/xYttRtL0FH5EHaXcx2EpDYXVG NtZRBB9pDxGJ2tFIklCEtUkK4dpDoJHmDJKWsjNIJpXEZV2z3Styx7xIYf7LHZyJtMoUtQbBdhuw dWdGghobogLA3b3QhrIxvuMviE2V2h8UTX8kGgbedxpGC9l4PmIh/zLWQCgBkRqbo7/l/noyzhF9 Zd2fda+6flyHZPiBFKJHvO+ffbCFdC6TE4PJTjOhoUmAybm5cYODkYx8y8Haa+htI3sfQf0kQ7Jc huQEHrgCGFDizA7ta/L3mQ0DdPh++hRF6JFE6uh+JNpMdRRkrV2GTVHjZacpCsX+liw09x1sGYEU ckK7ZXutDUB4zxQBVduhuCmktvrZvettI8I/jyNxtdblaiHThX8YS2d8/F8gJOu1w4HEo6MdBtrd aNrFuVplFd9p0701wPj04yhEjxDoVxNhfzVdPtuJ86C5MMheyyTLc4omAS7Q0N3tWiDRjhjfEUSQ dNeehfJRHDRFpZmSPerKeDzIReYvaS2o6ex+b/6wpK3DSIwjO4ycq7XYyRFXizJh6PfvMMzWDuvp Dh5AngMHhrQU/AEPIpOKM9H9RMPkB80Jx47sqbeNPH6oXieYVr8wrb5Odmv9/jrweFAdSd8FbRBQ 6OtpUxTF2GieMmjsPkX7evuuUWwVAe/hqyhCjkw6RBUyZzX4xX8CoYHjQlN0wgzUvCfg/ni7k9ei WGuO2izW7lB9JEsvkmo/HBRi75RJLYcu1cDjUUbrG41HO/iQ+aqzCqjzHhxR51dzRFTbWPnCRpB0 YBk1wD7MeDyF+iNCg1LGToPltAm16yZf34H2wUatCUp9C3w24CEStf5l0b62vXFAHqWhFlXxMKBT oxy+ohiIiepnofIDopb/3W9E/+IXg96DT1Uo+NfYFGl9mQhJK3Q/AnwEpRlxbGD3kXyqQsU/5WyD jcbl8lck2VQImneq/gAK01AoGNAB/NmAlBXitUz0h8F2TlG0PdmNLLwSNXBF9drpsoBe/sx8PkpJ vNpGI1ZI1ADseycqhJCw9V9Uo0UpqaszMilpM90ZoaVuEJKcQrh2k01LbT5cCOnJXjOyNWQlJ39A 8I1yJOKPBBRUSfmSI5asWFMMIumiRZBtm1H+ZRAIlYK0jK22+AFBsFQuSFIZLnsl17IlRUXukeVf d9W2luTbKZE05/b2NtqVNlWhX2SnUfQgI4mDralzeJ7ISz7II6G/QEZShUEp/GzPAZWfg5xnDb/m D6gkhASWD4a3KUPIrQoUAJ7/FwmQpV+KLSFm95mKgm/mNZDP90r3WI8v67TOANyL5/TdF5W6ueMX FdVt8JkaCIdkk3BStz1pVjsm+6kJqFfU872ulMkoxddEbRPiJVeaPljNZHQetz/QM+TzUZbyHRcg RPlhvdNnKix30/2vU32DVUri0XlO9XN6JSNwAbcmalJOYb2b0G18mA+Xm7ThHLk4UwAlV+JKN1p2 exHnPsYtwKVtLxxVBdg76eMOmihcIkOOUkPPR65b35rbOCAnZJZ8nsKKN4PMLDoAi9/EZ7Ka23or tqIKUlJbUVEgTiLESnK25+D42/MT76riydlwKKbQnAwNZGS+5wMhSmoHOkSZ9TV/pXRt0F2Kg1CT aVNiHv9Y9HzUGSi/VtSZN9gt3ZkKVUq4oio3u2ZKllsGwR02U2kXtwNKsSigrCXop7memTrXJoKm ymBzIAVGDLvOagAJ45zuELjYnvvC/9beOMpaqEwusEmSiSYLBCd62GoPlMbnIweuX3Y3qEyQ7eIz FUXli6dUb1fPZTAkkTDgNs3SinJscVPASK0ErSO4klKQg8MFCGwYb0kXCCCUSZ8OaE0BW3ySO6wm RwZOe9/g4iPE9PwnwwcV5IDR7wo62+Dzwdarfu/hSUO5foWdUWhOtC3iwOz1pl69tDv7dK8ySnrZ PEX1b2JxJ84jH3xFNSiTo/iFWKqm0WhjmpA/DoIaH73Skqu/cKQNzAihMDrnYBMFrXVVdxl0hrY3 vwiUg/Dnq3uXQ5NJ9Diw3bbPU6IGGOfpZKrVUhhKkJp/Ygg46fLZbtMHW08nAzv7a5GzlIA+QcF0 nbMXOQyUlzJiB/d0od92DlwmnbJ8PmT4oFx4wfFZvMgx+XwkpPDbiAPWd9ceKIc+k00+k6pUWu2g esGAYtciLZNhrHmKUCeo6YFi2qoPmie0GaEKTEXOPHHVdbl1JJCaUUxB3cm07+hWkmOfSdEoYdBn g1Bw5yJd2nfnJ+LzkQDu93KCGDJB9D5N75E5mrx2PN0KJgtkEpumNCGLBqUwYOj6qKjLy3LBEJFk tFtYOcEq1LcTJcoblKeaMZdAyAWybV/E6SjN3jdqO5FrMkiAzyPL2PXEIatkCkace2Hw+X9532q3 FiBPnmmKquGghWZsr2rTtJOvpr5oh2gVuubWrqHdpPzKqChng62mDK0MSNaCbzo65cskbloByypi L5lu6cj2vtFtR/rSECJuOAW+jkL/U+J8Kp+P4oL6NU2ob/d2RblzaDi5lsLS7ZuuCzvIWq/kgljr zcUP8cBxMpHgCwKcRPDWFcGDRfPshdNEbYheqpzLzn+lF6BZYGVnuYs0lXLoObkI7wIal0PatpwG lhaZcGlsPh/1en05kTF/4i8oet55irS5O2ssHfhGm6dlPqYICpi6UOKd9RDtujgg5+FUVdWsV52j EzcIPRTKc4Go2SWoCCwJOR1EVhqWSVFBYDqJeI8w5kQO00rJ/RQKHbIYkK/C56Me1K/MBbS7fdHw OXCdBEpXBma9W92gqmdH5qlY8XRUhFS3wszQdlKiCgukZBssIN/YygtHXau9V3ZfoK0EVPmC3hsF JL1ip1JU6DtJKzzR0m1QLrzPfzcLOsBVH8Xju/h6mvoVIdH0rKdQxaSbEY6H41N2EzIMHMmoXkUS 3UkfFfEGeIWBXGyDp8Kz183eHGwVzim7ijS6kQpK/IJwZrlz12ovHK0nMgcalxUHJ1cUFq2xtyuf j0DfXtvkpML6Cuwhn6f3YLyYajD9M60OReCCPMwUomM99XSLK4H7JDgo0nlhN24LGKoED7/7FjIe /wt8E9IW/rQnIkdBqKSL1+nN3jiobcp3uo3ugzLhroaZAk4+H/nhfNfJYaHW11PZDB0orWnnjBOg d5LzUPK0RjAmanl+F1tQsgi8oUJsgxNRRgffaKJiACwYq2yUH8nQxVleMfhOW0IPyiJPnCGbxeFa neeCXepfnHiw8fkgbWnfxXKUM37YhLOJCm0o+xL8+05UpeuKEuFqyAt4B7qDQA59KJesupvEcdrV D0bLZuzdCW6GZONJVbD2MlRbF8+K6RXgAjyk3jcoGJDzDTMiDQ4uOdkPC2m4QjOfjyordz3xcqPB zu53nuJSOYt1fFAei5AAVAmK6QX1uqGNXKy0EnpRsqy5Mu5ZGy5tJ58bdbAEVcZJ6zAva8LD4wfA ZxiR3PUku+7QihL2s8h/8/BB+y6jrrl1Nk8+HxQ17zyR64vsDirZPk1RoRzURnRcyrDmC6MbVqAG MhjTfqdoji2nULNbJpSoK9jg0wTObkqsFpws5WyXyUL5JFauo4OxHkGqXJO9cZTeMYJKVc4U5zIg cQF6Z1WGOSfi2PyAINR8VhSZcoKk3Vgz9KNMRk5pLpMDrrMV6+raNlWAxtydF8PBeYhPVgM4+FSd sCZBfoyxAUyFl8wE6GMw4EHBO8gvvW1vHGEL2KBSzHkGZ1Gc32MxQu8nh+HzEYlnf62pBnGzvZ41 FVrlUAdmlulyuZMitAjK66b/tlrn29EFOTClBIFXFjBiha3HuRNWeCc8WLq4IVfJKaXUTR/Sg8u3 TD6XvXA0T3LwIAUIV+iPRCVPGpMzAUujrckP+FdHoVl0gA7XnaioTM4+HpptXlqhpLWV6krxUh3g vD5RfwudyMZEg196IGCy/o0oKgOigiYN5HPwa6KndwkGTHr4JX/JwZy1vn0wIMYwZbjWa+PzwXoq 38AwLPtfZ1QUlAPLSrSKMpaMYpPrCrXRbqVuuTVlDrwpkbwwa5EXfH284NEQAcoQrmZIFBaVKc7e RD+usaqSrvwieZT8kgCMQUgPuZc2GL+wAI6nadp8/l/WQrYKgbt6IoPYnHKyRJ4u1iCPfhErz7br pfo0hSVyOS42iQu1Ky7Exi00wQTpyzBfJO0JgCLSJyDK8AP6OradzVMIWpGIt/w8OlA+PFGA7l0s 5gCszef/pTCM5YRTpz+M5xz6UxL35e7LqkCZmyCsK7bnwiDvWy4cGFRmwm4o0LV9sFw4FXouM4LK EHOE7yXZqme5o60goOF8JOFyaFBZiXsagxceB7EMT4RsdNWMHnrgUJm+yE/soUPacu6v+y4KyVNh x73egibMEq0ElZgaCrsyKAzf9VF/NPFO0rK6D14gHwgr81KkiQOX2y6B4QXi6rmioZ87ZZs7/IX/ gtGXwjp5gVBE8+NJ/ljIYfh8VPi92N6pSswPoVQ2UaFTpTyYd11ON9ypeMcFzhKO7p3uep5Dq8ox tPF07bUHbDAWj+5O0eoT34AMzSY71RkA7FpP/5wo8Rx6VYpwOEZuPtgxXrY1O1kgD8wqVWC3fbe4 8VAlv3CxHNpVburD9JwdTN9785pmltgQi3XTtb1z4Fd5ppxkQ/i0+GDn+ELVfWVSxSqaCoVo+o62 SB8yP38U4lSsCwwrhZHFCb7uYPzeid4d629z8/l/gjPNt5m9wFv9jU0rmbwglfQe3rwElpKvbUUH vkXZcOhaSYlvtDmLD4aCPIuIcr1T7l6IoOCkM3grJAiulWeqSq/+xkGaRz5dW1VC6DgSCF49N3RK bIghRuAH/BfPwaXM/MYGoXcl7alQib8EjXaR9Z2Rl2isvdy9F9vpcKZkccLholdQi64y9z4flYHh Q4EFaKwEEeo8uPvqVwc9sK8EaRzHeRYOMW124DhTE9xkTNo4iTk/INh+6bvxAvPss7DbnakQvQKX vGIg8aGSnYtY9zWttAn+l7HrcuBgmeQYN2k4Z4MTNU5EBQArsWNlQ6KbDlag29Bct2RCDcwtdtkL Ryg72cUSw0IzMe25hI7nFm4uTX5ARGhd3+EmIB7lab0EPpbneGTrBarABl5BamkpcYYxAfGIJFta 5SA0suxb5DpuPg43fYGZAVoOWFLAHiaCMoDyzAlsOXYSnPaTur3xX5tvTnHtp4GwUaVG4MeAE8XN wMvS2KmaKEwKaMcnSHxmKgrMBwNzMvKNZ1fciKDnXu3iqx1eJnZMRfCVJqnTTJe9nPO114MTV4MM IQ/0DjUfKuxANWnDUgaH48Nr6fbCUZGFodQiB3igxKfGeTpXqJy/EVTxA/7FtWdWCLjDo/mdA0dL uBeRPf4QEtssTrZvzXDlhAE7HDG2tOQptQHvs8EZifvEHTUTvVLpJjsoaZV4SqFPgBLV1dnfy944 6CsQMkaFTRu0pOZGUZ7/uDqfD/betUWXYlOD+tet2oWulnSHgdaOY4HR8LEaC1cFCngIEZxxn2Nb S8mj75x88FDqpCgnu6sqA58Aqi9Kf8sdjeJ3e1yE61a9PPS17DS1znNvHwwFVcfmpQ969ycHvpZI Fut3dF5AxwVMwWcqRJbvKfZvJ5gObQC0RFjfpIxNZgeGkBw7pcLonEuKTo82WIgAUcKKOteZKVyC aFv9rL5BiiCtBpqC+7aq+rI3jsJz0YAYInDQvbfoV8ttuCefjyKE8jVT6E/39bSqYnfLxSLLusbN X5SpJSwn0z1cgYoQAntLBBuN5l+U3uVg6R5sCQHsAFjphEC7mzY/Km+NfSL8/syK86CjTA7sLdP/ E6NsJnaIZ7odYthiF+HGADgI/C1RcP8tmXLiu3UtLnLobymNy1bKLdrV5WSOStwM/a03L1Wup9Dg chGrWRt1ZTj4NC0skm0GTlBy4JKDZv4PjYoylF4t2xuKowKHS+jm0uFS9oT0uBY0Z8APShMFAecc elymq+TAcxvHWu/XvzGHLpeksyB3uQiWvhzoo1tEefFed0HFwfl+rR/AThaXmfxSK4JMRqU0me8k C3LfCTd23zeCZhAEDKwwBtxt/NMmqu6y3zlZEj8gYivcI6raYd7RafKJimLzyp230xWXXXLcY14s ChXrdrV5bB5bXU4pgaflg4MOzjLJsFElhyoD9kgOFWi+HbC43m9LTzZFOXC61M45a3EQic9BefGJ 9KWAgduVz/8TYMcyMKCy7WkSB2aXWQ2JcyFNNyqqq7uWc8nde5+JFUdeeqHb5RQeDNmpDRZGASN4 ImCCWJAXL4IzzlaB1DWayRkFdBfjUTM9tLsUZBP1TB8Ezqhk+v2QbVb5fCTH4+AMBkwoYXwVpELD yyYWM5kUXE+JSmQCHYhQTRGV7TK8OXC89ILUapwuDh5urg7VdHKWoVd0Mr/FrDjRO4UW5O0BsWx7 4YBPVVmQ2ozLCxvSWkbwv+a2OWFS4wdEleALRSzMd86K2v1ZUWFgLrPi3KfNVGXCJD2+MtywaLeL lg58LzORQ7BqVMdqjLui9tnNJ22hulPrLAwTLc0wJMG/pK6n/SkP9RxYX4rqC5p63xqq6YSgQStc VEb5IPS+LDfexOvlzjLi1eXJofvlkp4KnBW09yZphFxTvZsrFuwr+vQ1FQFZqjQLCcnUYIf5hmAk sjjCEWESRg2fDnX40dQuvfMkmlDgf6mi7LnuivD3xfH3A9rO0h7cYAkFBpi/VhRxB+R/tyuF9XmN oiqaCwjMzyXiAkaZTTWmetTT0RnF44or6jWGqpecf84fH26jKrE6kYSVhoptZ6MKyB2yYBDyOJkK MdRrBFVRYTEh3uqDzVGFvNYPxcLw9MsMVbOM/RHan3Fm/pliB/FQjOZn0csJ5TQVDQYw6OIGnbRg kK/HVqxZFrwGT9X0nRZIUT546wWVECZ1jbqMo3GNF2C44ed1flAsIfk6LJ1MrxN0QkwJFveWfTAq LAscOKHOWv68xk0V8cTyCeK0nh3baDOL+XkNmmqm2hACgHz9CrbRW4C2X7r50HttJsn3GjJVDy5R a/LBIgEoU8IRjop86eRFhcEl2gYQ6Zw/MCi+dgWd7/q+fvQnJWAtbFDZd6Ymb+RzSrXPa7RU07VO 1/QA8tgGPR0xPa+hUjUNaMhzOmlDDmQy4V234AsqkKbnNVCqEqxhp7z74IfQBigTGQQjyr7A0QEf f5JZWCmHa6H3BPrk8xomVYQ5RciLygFtBpMGzVVQBgDBP69RUhXR8Wv5gP+Su2+v1xDpPCQ/8ETM Aeentry9dSC1a7Hxt8GhXwOkqnQdi6JkH+w6awnO34O015l3Iwt/ndBsEzxEJj4KvNpfm/Jpr/FR FfsUNgUklXFQ8QReE2wisE/+Gh3haQsjq1AX8F7pwxfQa2xUrydomldedjxQgpldzAllLvNNDSZo GihMFk5f6rIbiunsQzSsf9jKkJlIBelEmciWn6YmS5avgRF+zq6yElOStEyhBXHpkNT1uTDL5zUq qg97uioqAqBhbELnaB7xOkNAuuJY3tPWDcD1zaGYayx3TAGS0qwuohlqZLGMdQcv6gIOnSqdLk6c lWBejOZ4RrAJUCzyurvHeN++hkPVyRknPt+C02cRxU88dH4GBjmIuD6v0RBOsOkzhFejIXRmiwAT 9BoK4YjuLHbn5RPUssult0EtPAWN2Y/o10CofpnuZB98gkDCP0cOQ8YN70zESmCxZISMaGah7OzR Nbnlr4EQfgXlII3OMhwMf5kgMP/Dxkj+vIZBVdDHZ4LAMztnVrUJei1OVklHIdvvtyFeLMAG2aBt Z0JRfpaCacEhbZ7MUwp8czzF7rEbRDSWoLyLghoLuQ1sdwFAq+zzCym3ut42mCAVJhFPcDDGwMmd l9WRMtRAgwnq2y95LrZzv89JdUJ85WtNEsuOMuCNmnU8hHK9iIG6m1PFoDZjahdhEMTjmdA8DX4I oYxxrjIcQidWOed1mkrPWLuF2ScuW8fH0bjptR5ZbyNucgXRU1UiDucPlcAskamv1chfhxATDnBm Vl/Zw8QojqZ+2Lml2lW5GibZXOAjNJzXgyavsQ7DORKZjuc1B5ujswXSmbRh3habRc41E47kc1CD Spx2+vmllf5OpqtXVBYnkA08qdFgSKoGnQn/vHPpsM9ms1nCxQWwAjA9PklRMJ1Epdu3cJSVs+Ko ri27xHWFAqexev6epE4mHQeniKHxAgs53GZwBt0tSQgU3W9QlirqN9bTxY/N9w1Oa0oTTl5EGtQD QJDVJVBU+XgUUH9f+KD7jbX8MHon0uE+Y0Q9KH8sjEDbjqY4yblTn1BvdbhXMElbaK9EY8uSrrEl Kmob5VlpypVzhSw6NwCXMiZdHNaNiIZgu8EUATV7PggMehs0Re0syy2C/ebjQUzUt00Rf0KYf+Co 9DmKwmraDoNpkTwqqtM5vvpeRkUgsjg6IDiyO/ONNNsdbLchuF8U2MOddu5/UVdpTjVpUFt2fa60 pNd932yd1/3/TVzBGiE6EgKRn3cOXTU9phtZdxJXxz2R3kNrtwBbkkujFljqbkzQHZp6dl2+INT3 2Drzk04Cn7mOOBjRsLKHPolqzhAEbaomQbh+ZOavT3tk2ttG5xFNZDsbuR1A6epEnrXMkTChpBbM kdgQ99hGUbyTZaxJisJrIIEA934siSQMhhPpZCLu3rAQ/Cm9f4+vcQGohM2FVB+UCQQ54DCWpAPa z9Ekgwu4jg3AcWDt6Kf2oCPRO3sO2yXRBBU/pA1iYwJlyWStjlI+7+S5as55dyFpKYN4YZP0HmG7 6E4XAJWT1NJwOIDMHAkHQF9AkxSF2CqCVGl/cLATiYLpeRL0Bvme1Rlio7YNPDE6xtuPpMLf5fNO ncNfySRkLMMEXJhuP3ci1y7Exz7vzDmspFG/IiQ60/Ce0xxFQTYg7CDO1eWEsLTdlLA7bBAKTm7b 9M6bq7d3VGhNwMGj7D4BZGXv6NwOKKmhhMucdQLUyMjayfSz63Xf52iQvdMbWTe9TfORXbAZS2I/ dz4eRZG+kCisDnXCMe/1/x5nQ+iAHduRvR/Sm3Euzt+1bUnh+q8mlv7OmasCqKx13jv5YJvt5FCg 9cqTqMJkfmdBdE6iDSIu8p3bNup62/cpknATNJt8UDEtwW+HzZAOjZ0o0F57fx9IuOganrM5ikJt 4d4WubBcR7u6HsrZLqt7PQ2xheYojrURIkH204cbIiHlqLnRaxeqDHQBmejj943DAbLWt74/9brR JE2cRKQCa9CBdLIuervBIm193slyVeQoTZIYY403r5cco1i7smgEbrsntN28Gk923rPLgFPuTTXH f8TahX1aDt6nRS1/L+K8zyJNs9BqDvN1EiaQdupwuk6mhP3nnSlXXd9D8qEalNECF83lUWfJn3ei HPfad0JCgevnYnunyfEUY3to92sjl5YbEq+LnzxJfzLVineWXHVwRCLWTYMXrgeeboyQxoQKlaTS sZzOCgNPi7xbO5BYe3ynyeGOURcbsuGE25wbUAkJ0IYkYXaooLzT5Hi1ta+rDYWxAQFOm6Woek10 wZmQq3Hdy9W4TnlclOly2+Z3khzeX05yWVzC/JDkYLN1wsNZSDc5/wEQtwCZgkxFN9BKurMxdbSU 3qNtREmJahWDuT8sRUSuOZFqo08w+pHr806R4yyPr1mCKEAr6e63MNpeym2r7zccud4jUp6CClul YjfOpHd+HOMXKsQkiV2mK3YJS0JoPFHHsePCJFL+/J2YcPxCPw3gABetoATKOz0O30KkzRblcjvl Eg7gI21tuFk/7+w4nmn7eymhMTdvSvJOjsN5nym/0MbD+eKq4lJqY3lK0qZVst+5cV7KPhkmK/45 3VI2ILP7nKqTkeSGJQg547zrJ6hhJ8guXqiVf9M7Ne7ut9m4kjiolD2gIS7jnbo+78Q4XMBrfAVJ +PNGu5Xad14cFpLUBdq6aVu+3RDD3VAKnAo6nKOomq1AMgGaZsND3UWgR57A+cFL6pSUR+zH7VZ/ ikCAdnIvvW8QJREs2Tb5JxwM0X0CegkS7rk+77S4+uilV8IhsO/p5GGTFEXbtDJ29V01Z+dlxeVb bDvxmRXb3klx9+SG6awPHkm2BLp8YiWpnzwJ/JMFz8ZJZQEGrV8e4Evv+34mrWEE5+IDJ6lBTXnZ 6tifd1Ic/txcv3cbZMDFD+AkReG22tZrXe/GTeMbTtIQJw7JLfrWdiS9h9uIhOkkl6iv19JVjTth eBKgmykJ5OO69NLP4pyEJQAU7XlbGXrdYI4mzQkmNRjYTBsCRlLsifH22PvzzoirIrJ+lbXPjLe2 PG97J8RVp6EOupupkpS3ryR4f9t2o3e0brf3eNs0TxZXnw3enE0DjIDCvO2cc5tqRJOisgMrDo55 j8Pl0usGseTUeV2aD0Zf6nWw9AakzeedDofHt1cAJLdTkJd4leSdDMcjiYrp7Za2h7pHOJImAw/u NjO/xm57j7dvlaRRAXS060zY5ySM1CjNZ13tZD6hFc2MYt7W0t2lnuU7E457TeodYg62q5gOhQIp pp8Q/PNOhGNp8buQBKhhhwyOtbCjcLsp3GYrRvCsXZJXbWvymy0jTVcPOwy3p0lVNR98ihY063EL wScVZSU2ChaRDGnmn53ucYQ7jt8RNPm11ersPljaVvKQIMw59T/vHDi7WGyKuNXglgQzEZujECZC 7ukYxnujhGz2ORKErdHNflj6/86AuynJqmz0c9AcTeBCeoGcbkOfoe5NRSsoSRAjCXnELKG4TSMn fkkwSVK4HhIQGF6QnANoXWIcT5jyeee/4a9Nvo7Epy9sFzhU5J39hoU0Wcfp3uyvCeZK5k4ggi56 JJ2Afey1d/IbzyMe2qratqdqO6B11thvaVRz2oW9uIUmVU9U95n3zG5DbxukbZVokbqbD0KLpFUk 74Yg6/POe/OimVJbtZ/ad6z9znvDHG3GRarPKqK0HXaCJUXggOtyNxKu/U57ezbbYN7GwTcbOrJn +Sj/P3n62EJLomAGzk/O8x5Ik6od76Q3JCSdjWwVuBcuJtGvTsSMejNu9BMRfN45b6ySfJ9IFffI ugWAd8obf5osGKQz4id758K0T4aUwLQvaERqIUWl7UTJSh70NthuSymxp03dALBsJCPXVqMLwrke PNLO5F5+3uluzNlUasvVBx1IMBWXr9f5gM872Y2R9vo1Qww6vdT2znXDDCW5gHZH/Xc5NoqSe5tI 7Xba3qluliigfjSLD15qg6VeaXRKg1DsLhRmoj5OR/37SwM1Ux3r8050w925eFqrc1uvE8hk3C43 5s53DO617Fc/QTgnBIE7tc9RFGh3tv33GpfevbJrye/h5tZnCqvFkO8kt3tmVwFFOXjL9oR6GURT M7jcmy6gmbLYCfFRKZSSHw/s+J3kxjsmUSKJ5GUOqiNB/nTI3nryJaOW7XegDQVwtj1skqJAu0qW qk5vkNiNP4mbK9XBI8AVaJLCuvYm971micTyep0UiT1zhMiIgt9p7FKZ1qJk9bNAgS9jXr1K4Y3f 6W0XwwZ6JIfh6lIbHubMEvvKfMmo1Ta/CpLQDoNKv09SGGhXMktHtyASliVO685iUTb2+KrRAN/J bdjtIEGeNIQGlxy8iwTN0HWuRhYkxyDl4iwvaOflhAsZvZgbRqJo8HnntvFIIpB2ULurYfUKR30u g87Szs84Ce7nndtmbain/A98z+jtxkhRqA04H5ht2WOkBty9GVy35mVbqpBolqLS9pTB9VahZD9m acDfiw8CCiDQa7DBQEkSkQdQ1fQY9jISiW3vvDb8qtQbrl1iS/RU4qGUUUplA+B8xued1oaX/JXY wqG9ywqEeMgo2IbMCincUqBCtYQsGtwYsjGnbldBP4IK+++ktureO6Ah++CA7DQQwfSqXttGAEjA cYNcHu4xQMBtJQ06EbyT2qrLw0J+T4NhbU4kTFErBNB1ft45bdiuPX3NUYbI0yzzTlKII5HHNRXC lbTR4vBc+tBploQnOW1wIMOh9E5p405g2XYzv+VwIW37nB/LJgnRN2ERCcfUxqrKm0ovwvy1otf9 MwLoRLb17oaymT09lrbPivq889kYAXwvJNwVQJ77HIXB9pJbmtlXkNaZtJDank1acDhvwXJBIPlO ZrPTDZxHEW7XQ7idcEaZsPlB0TYtnuEn9V3ktdBmB85XN2/ret8Ia0O1oE1xAA6CjhZkDEO1tv55 57L9nwoJJAEp8G6T9B5tI5AkNJJa0exIpsYrDbTkkizLBdGn2e32TmR7Okkr3cHx2VC5rRR4Qf7X wAcEIIn2mgmk0QKNFQsBBgkQ70w2HKG83oo6SmW7oew5j4G6wBeebfx5J7L9gmgzt535+3Z7p7Ex uqKPU07eSlqle5NkTMdIIBo3isg7i42QFPTZeqVXKgcvI538DKD/gWh7blhfbdpg0xEGrW340Vlu qxrJO4mNKH8GkZ0CnrW7gGfb0LuUwnBrn3cOmzUPrLKN18Ov1fst/79z2G7/n1qU7pbalvdttweT 5wafPklhwE0NsyZjlPYYowyoQp39PIn0n2hlYDrReD/nivyehsfb4ETwO6I5Qts24VKywYl+Y0t1 8UTAn3f6GreNbzZJd2a42fv9/05eq26K0hU/6v5PXiNJrXuzjU1RTVEYb1P5fGTqd3Lwmi2qdznT 4jqlCRJxFxSXwGq0lB4fUC2jqKzdKRoICJgPmiIweLsaJK1+3nlrPM7KV4iEY7Dv5jjbd9Ya4eFZ QFvHbDVK9rtwYHYkMq0MePuH0TYdiM6yKD7YKppQxM2bxNoElfgkoEmjmQVOsfqcRriBP++ctSrO Gc/q4oOtIrSVi6pI8/NOWfsF2RJXOX0jtloUa/cseGR3lbfR7AgiGClbgYSeBSyQtBCtXZTSKk4a 1wUU2cM5kVA6bFDfgCOYJL/hfIekFqxls7gkygHfEVz9WX46rfggfAT+t6lPkjMeD2LIe2B3xZs/ 7FDYFIWBNg5slD+m19nomCe4dtuGZz+bI1lNu0U1bYhM4BBi6sbBN9qEwhuKpUCQoipCUhZIEbQE Z9vqNrW106I4G5clTiFkIxqMtQbsNr+vlYHHg85/8ZSNnVKgoc46uryaMNCmQG7Pfds6QgPbQP9L zCPKR0xnHvX3QNtQ/8hXpw+21RaWFXRIsI5gvzaVsGSAgFmnHg6PMH+BHlIfByHIWRT27BT2kxAA XsY52nw84I3cS01IygkjQ7/4exRms8l7TvdxBRW7EY7AkGATlz6g3XXdeoQhGWQ9Amrkg00R6rOA /dODgSffEMENQHZac0LX3HN/Kmz0qKhdudcgkuID5wgVHkFu0Q7A48HFfy816gURAd09guxhmE0j +V63J7U9NQeQpmo0ElxqlKNO/KToxJasxl4+2F5LJ/tIoMIgcjl7qUpdI7Hjz8uu0MDMCrZEkPQo zGYRCaJw9NgDuJtVjRO6p94ZZ6N0i+cDFuT6RpBkFFvHeJZSyINkoL36WhZoM7VieDQgL8V/rI2C 9sxGehRoE56+KEdqgwXaDWwCzA6lt86/QnUBFBJWtIkoRvHWirabeW2PAu2yZZFOd5gzmDsMBI+7 JExPwILHg3M7+X7jusPtn4v3IntEhhxFzmnFsX9lsXULfGTr5i9bIca1lY38f8a+K8uZnDn2nWvp owNv9r8xISIyUWypsr/RfcD8c6fIahAmTZgeBtqLa6kT+8fB1hKstzJ0VOjeDIQcdIlmZdu24UeC DqlrSfFu61FhW43/udhLmrhtqlQQgFlhnRg+NHg+mKRRvu9/dH939sutR4E27dNKksbd4KHUHIws 7zZO0kLFUispZkTyVlus2rZ1q7Y9Q9JwgMWP+3/Bo1Eir7gN6QhY8y0iTaq89vdIG6UqImwH2Vp9 UMWapbZzXhMsTI43ng/22/wGI7GLBKSRTVJY2t5WFWlUjsq0njQ/2dFZ82fVdpETiZM7DLVZZtxN 9Nr2VWqD13LuxLUNmi0sA9rg+xqTfzqBGxtp6n2jMhKVNLTrzuJhAsW/F85qpJGCCogPCA7vX3wk lENHvlSb/h5uIyMR5F+cLPwjz6lz14FQgjOU4fZGRlK5lqJwe8snPffiw4X/IRPZ3HANxrWZfKQF Is+JxBtAeFciP1GMs0fF7UqNCABTfBBmq59ruSmzzROPB9l/+6ZIIOHqANzbHEWg7c4fo9Xlqonn LnUBhDK4wAhHGsUsP3sEIqE7AKQIqPk+yCivLJEgTYOmK0PHk/OjNgKsPlqo8DQlmNdPbv4Y+JYg UiLGZlWyJDmIkAQHGgaIEDPF4xFC8jdsG2Xtr/stDLkro0liMFlt6yAO3mrbsmobWQyapai2LagN cg4f/H7LGxGkgKR9o11LuC1F2zLSp0qNNZUk2YvAtwTZ7ZIbDEW2OKiXNEBWYUAwy8TjwVKqv0iS YLOOdmuSI4q5+ZgTAListgdLjUL/Fiwlx/+NqLgNGUJ0tcmV4GBn9wb8/xytyt2gqzCXuKbI3VDh RsdePBIJdI8o5s6qsonMXpzMDgbHJMoTZ1vG40HM3efXyQ2DyJEukGREMXcvkgVO7hw7y3L8P5x6 fsyLeA4jJI2wtE1gGxCpPlyuzSSGmL5CJ3jfBFNOWdBDZisjtncRMqQz+JKIz878Vj3JhtyS4NGy cIgTwQ1dTzwf1bZ9kmQtP885f3O3EcK26TW4qYGKc7tCs94mqaXZjCTR9rJ+24hq29SLWFNWg1Pa TYP9/zbOsQTfF5zfaAiXobgcPW1o1i53a84omQ18R4AibcLYEmxzBhPwO9kzlYJQmTqx5Igq27l5 XsK3g6poe+62EQJJpDwyzQ6O1oy3J0lysLC2lHTmXosi7iW4FrA6Z8iUJhpEAMA9b1UhACa6kvJW QC8P+/ln9keSG8w1fEfUIkGgPSsPbw4G2UYDlU19aP6NsLBdv4ltSKtPnOtX24ji7Z4lCDydjgwb KsP+nSjJgG3Y7ob+H1G8LZPmhrjDBgdJwNiTnA/gkQYVmVGnRYN20LMQDW2j2rKWji/5q7cNexQO wLRslSRTYw0MbucZz0cL6Ve9DZ6e7XK2RxhvJ93//cbb0w282qJ7JElbBTelJimKtxfj7TLSHexA giDFuTlZOkL7N/H+h3pQQdV0EBVs64gVPnxHkN5SMZm71Abd/hBRlafCiSLweHQceb0NpxiTW9D4 bIpCxDZTkpO7uIbWcit58CO2XWsn/gDWDHnbiBDbVbi2ziIIB8dsIdSemXykAtHbuiV0h9AEPh7Y 5xevbW/7B6kdEHudzfSp5rW2tmQAmNqOONL+TkggptMAh7IpigrbpOfAf9E1SGq7lK0513QYybwn dhRp4+JDXU1AicECYeYUbeBIupQQT+C2yVeeC7DtDudFup7aFOHawXdE0REhNtRxqzSPEYpkQKmC cL5z0nU8/08hmx+5AzSuZc3RPyJt2eEIcmt8P3rpeikpU4mXcxRH2owhBdfOD1y7wvoLtn2k2ZzZ PgnJYDbCnhPIVbC4963W7XWDQLspZxP8Pw8KnKtKDecPtmH7XPiAIP//Rf5D+HiC1ntoR5E2AS9w ie+3krS8SAIhQzuP0nC5+xEXt8loA1jUBs1SGfj4iZ5JA+xjN4ST0CCrFeFjw929vQGwdWiHBEle /ll9gIzqGaHokJ4fuAvgF54Lno+KJPPrRAKCfo7tl/8Mi9v0mZjoLitpk0wRk7ZZeflvegIQ+d/5 SVE6QootXJJssMotnDsbqaNgWZywhvN49i8I01hhQrWPh2k7QxAJPTwhne+DDu3ahHilshkeD6ao fe82mBX1ccHIMwq0mdDCBrYROAJgGy0AUCIhWD9rHbGIQVWksLgtUttmmMTB60jAQkyA7uHEsVoq lCXNjPET3QXwby7Sdul9/+4ArOqDWv85LdkoY0fj8f9yJDEkTfOuoyjQ5qpBoO38yKF+JI8kP6jO lZr98p8xP5KVkZrvYEfSZspZGWjT3Vt2JYDfgEW6gY/AeWQCkdRHmHF1WyoSOfmgCgmMJZi7132S /xmF2lTreyBb50hjA9kmKYq06fpTqMTkTdvmQhtgf1qknbbj2mZY214SIGdDiYPjI84G3pAsZKSd IfPLzQbQfEcXvZVLaydxDN/xJ4Qk1eGDpuicELVKX6ssPB6paN7rn+9mN6XPURRq01qoSCUPCwl1 VW+T5Gn0W2j/eWl7RqH2YLu6SM6Og6e1eUGXRezIBRwgMt9ZKs4l2sTglnMlZGK2Z8iOTDQObMSQ cFDqf4IPWKiB7tArHg9aknezEaCNLx8PF2mGofbgqU19NHnlzuleuQRVSbM2JbpJYJIiDAnAC/DB W3ewSZoQaaGKEDAkBZ2NTv3xRKFIgCXTDZLoQjmjUBtC01/lfyvBkAyWTahtDDweFdr8YiMQODHU 9sx/RhiSMeVdlvxAUgSoCtKcjiFt9ITkFEWFbZpOo2o9ffA625nps3wIhyiwQxzmQIk7BWW1PcbD aCt62+jMlqktqZEbhM2q4shJTCT2VwZfMUrY/Dji+Vyo+O8NkhlSI6lEJuywhZHDYbZIHGyK0nTW 3wz1/nhmQ3fMBzuOKvTFa8rG+ltmi8AFNCAWB4DOLx2yGdW0reS/qWVfHIAJAOxOtKA/iSEfD6Yo OTaScQIsuNqNtGeEIRF7dFA92kQ1x7QTu8gnl7URqFZriqJIe7DpXytzWg4OskW0VMmwR6NtFfJw JupTPws8d4jC3pI2Qe0zirQbTyPaA2DA78V1dE5++fX9rJorno+wSL8ApJkp21WNDJmRTcqj1dmj K9vBBAfhaqD2xshfWy1UImE6MnK9gwNtQB41kf+0zhcIiXwiJZXKYJ897yStoteN6v7qNzIr4SDY 3znQBO05lwvfMQoi/V6TJzPa45eItKI4OxEhceUiEXI//j/dFEmRsvnVvyIQCfF7C2RcH7ygvSC3 sOSkCJGNTfnsifpURxUAZT3T2BDnf4UgErK0dqYw4s4urXkSwVFYHj5ZcsXjATXyEdBmeD7AFrva mmFBW1643MwKIYnX5xSV0r1fi9/XpiiMswn3K5TH1uD1o3NYp4QWHLDaBUJklECmXC8VasBkMCwS kkV8R1QcISWiqaxdef/zyEbBnA23fjJAPP8vTBsegybtCdX84l+xiPbmVXbnqE2vjvSULnv0BDBW iFwhiGSpAtkIbWNK8dNYiKwwfseBhmSqo/O/JfzPYAaV//QER+fU+qywns1zqME73gZhkeD1SL8f nC54/D8VR878QjLWpygKsukmjpSwWGy0S3M9/ykJUukiTYuNVojUpmYEVEZ98FsN+oeT0HEI3qML xVsNYNlOwGS/jsoCj664nM0ardT8F/hf0sToHaq/Si4g0hriR36dRsC1/LBJZ5MUqmlnMbSqY/6t tr1Z0B7D4ezDXbZWzIukQkse0wePsgHyyzObUFsnABIiZJOmZDBeK/kB2Uy9blBmo/oYTa0w0IxZ JdqU5VbVz+rC8/9ij8jdDuSR4QWkFUXZCFLOj1umK9YnuSDRGUIG1HIbaXavrVCERO63RaK2ZV2h lpPPlpOWozZ6QiWgABKxEUiCT/IGcSN0zs3cjsoV+JZIO4K3f6JdolTleIuf31b/42xfvmQE2Jpf EdKEasS6rZEVxdlULysUYjFTyeHbrVGiy6xrSjPJnxXH2Sot5uSDraQ6cxNIFT4j6Ix3WgTDAnaj EA5+qVeQKFONLwnmqFNwHKA5DlNnS4U2Jauf5xhtePxfcnYCnSxZ59gchdTIROuDnt3T7mz0YuSR ARIbkRGsatgchWBtYv2zhFrzI9R65mWeNVMJs8UO2Wj+oF6biE3AhTzzAx9B2oRviXSRRURO0vxL bsXSq9BwCMArHn/fbeuG2sr8oczYL1drhdxI3m2DBmW6/2f3PiTU1L2ENB0gueKqNjVaO4nYHDzW ph0eOtdqaI89VULiLEEsGt9v3drCSATfEnhoCDgyeclx4HYrGT+EbreTs60o1i43SFK3ls2W20Ja YVWbqPY9cr4ZCSMAyrXVZHo2MM0xqO36O9hGUdAHj5IyZNoaLd5HQq6C5Ybjqf9A0fiH4mseJo2h 141akVL95TXHQcXI85d2LiXobuPxSKrlmz9CSbt65Wx2FGwPirVlMdpkCbzuSlIZQHW2ahLbO6ZG EoLUUvLB+dodSi1Nmj9td+hJAh4JOv0EjQ/iTG7eyqL2joLtRnJE6uSPJPdnpqt6r/LSSguPRxSb byH7qgrSnaL3YBtSg1RDKBeNDMqZTdHKVjSBw8OwZbRDGRIpa610h8se7TDxoebv+a2BOYKe3YZQ 6SYFDLJXfrVR6n+HiO2hxJbov66qEVFIs0v39IQvFY9Hx/avViREP3AR2ByFwTaWEdJNO5Cwq3wZ Qe7fSpGdJuWcozDY3gZoyz7YHI1z6PU5RR7t0EVEA2l26IaMQmxmutc/bXjxJdE6YrYmyjYHISPg Xa1WUukbj0e8SJ8jMiozDdCqF5F2SIxcrJA8tiO7rAuN0NSxQnLZETtEj5ARWXX/16/7f1AUssvX tuyUJ/W1gNxFEwfg8vqQtXi17SjexronLyL5oBhpFnPzbBsLKYKP/B9dZCTVhEdojmK4Nmkj/aqQ AIHuDJLqkv/nfm1G1toxXFvlo1l98AJJgSRZobkPKj9pT6UksIuEMiH+Wy+04a/Gd0S+GhIfz2RH wlZFumHzLM/GnXdOpo3nA2jE/s5sgeUcgHvZHMWKf6RHtO3WGvCPNipSmssJbW1ud46I0SOGiag+ eEl7Akw7KB9xDqO5JWczCxrKzFXpFCmw9hp628jfJ1E0qjUfnInUBJGE+AUej6TsvgE2YGHNfa/+ HaprkxZ5ftir0063eKkirVyNraXkgVMU6v2RplVppK3Bpuh8SkoADrA1cm5fyiJAMqyiXjp+hNRW P7t3vW0kr9XZ8BnNBx1Hq+2RTH2Fj0cl7e+dhlfsdV37kTDSppR93c3VbOqoyXeaYG3EIGNtaYpC 9AhFkdqsxQdvZ2cWALIUyHanPgI4f5sibWeK2vVAoicxviOIIJO4I+xqc9AUlYkqMG9+bLRQW3t+ NyFhdtb35fztsKTNZAQtZj+M2CImV2un7nS2RO1idPx3GGYvEY8liFiuIGKDBg+0EKitDcU2cY4h dgctOaz2q4dIs0V8R3QYUVRbNVsO2mjAwMl95GQEeDyi11zXiJ9E/8C5y91oUYzdrMpWfYq6iEey +blSf6BC2SqKkCOLUMjWRNFul6J9goZzlo5MGVsIHjSyueaCm02HugVe0221WazdsfoIIWziH1fn H5/ots3GlPRE8gOPR7HR/AqxsTV7vrgRAXVev5VSv4uKasxpN/hlBhxpBpBARxRITrpFpzDI7ltl keSDwWtWP8FtJkj0BIALhVtVR5AyZ3Abz18J9p182te2Nw7Yo9xml2GLoE1MGZhCSsq+5ckPiGgR +etiY0Nn38ufmL7376UqIimw0iBplCUnfqSCMZDlZUN+nmYqjLXNmo2qNhwuxyaNyo5zA2CokTAC 17ABpRb0XZC7uOYPY218S0SzlQbpSj5wQcGxtkindc3M54OM5MGzdxYlT7wx5+Nnk0JDG0pIFQmO sq2dihu0kfGtWhIMsswaIYVw7c7kdlCvnYMntxsinpqpc6PBehLJLUAUgNqgt4DL/dqMZnvjSNUe i2l06v4O6ISJs7f3GiJBjwk31hRF3eOXGjlu2LMUnzUVFrnpSQhNR0vf1lUkO79RcgRghYiczVTs Fznke7B8sDUF/VpVW1CbzHBdph55BTcjQf4H2Iyne1vsjf9CSubCZJeDAW7qllFuBQggp7DQ3b/x /xuK3WjB+ERFoTfwGZBVq/2yJKqrJNVelrEkTvg0fPNFle5h0j+UkuTgEwXVv9Rpag/XyJEMK4mP ooEikNdeVcrkk+JrIsMN1tf2Lj5YxQSxJauOG24SKYSUlLuimKMw3U5fvmRxuZsiwIDlCQpYoIHB c+oE8aRyVTrcsNxNY7Kw3i1USSrNB7/2GmKpTXjSOYkhXEYFN/xl/A0y8lavv6VtLxyhAWVDykYu B80UBZl4Tp2Igc9HrlvfsFKA+ibAFj5PsToJ5kkOccKV8iBmNbcrrFq0I6CAS9dHvS+pScmtUmvz wZYUmwTDS94JMEmW4Cj5nSBzRFFSO9CJJOTXRNqbVAFOPfkg2CRMukXEPpPN5/8VRWlFnd9zzqtQ qmrMawpAP8kmnMQSOXA4Andlpygx2bJTKgrHBwMpFCN98ELcgP2SJDgGeCb0nhwTtgAgc8PEeXvq K9ovvyZaUpnHE3EUYDAaEGKcg5FnDAwHPoLCv/6g05nKxCpVFAP79ZZKUUzOlQ/bFgcGDirtiILD IiCLKH253m1OkVZJVftkqpkyy60QTKDva6GzZAX8tDL7bXQ/ggoQSvsXGTjtfSP0JGsDjS7SHFSO 6ymb5M2Jnvl8sPOq57/YpuxhpnxdAWg6+v69aB5Bx/gWm1JznXI4ZRjS5ORoyecprH5TYSNN2nBw 8LLlyVUBvxHM9IRSheyAQmwWEu4vtdJCaAS/JSg38Ygak/aAHDRR8IwjVrAOhpyh7U27SAoGE5XQ lXuWhyaTvC3PwZS8Ap7hqGgV8F6t4lQBL3P/xBBv0uWzTXYgBy85kepWCVoG3LaxEnzObyRYKIfn XTzmLIl+2zlwmcRZTHCgebpOz/PGOdV5YULOZvL5oHl5GwVZXhywvrsySjk0mqQ6MrWAvWAwh5Wd 2iSGWAWDhh9CExWhThI5Xb2zE87BKgZnd89z6kqOq2Jq2FWAEyeKII0W4a59R8OSHBtNFjm207GE g1BwHbLrnKdzj/D5SAD3l4wyLN0A1PZpCiNzrqc+smvgdHp/UkspTdYPCm0UmeR0fVTU5mV5DmI3 Ntg0JSC8T5pCpaBzsG82586WB1YPZfCxL+KUOnz8kgidQ3ZXIkinsiDG5QStZtYpzvsPPv+vpFh8 HlAp94VV5MBu0qRzIVxebJ7OH1S8RFfy9BIdpCttOYVxOSG5s1K7nYPNEyKdM+ks9aJWN4e6C4Cd Uu2b9CUTLh3Z3jfadtQshz+1DULozHN2y7fkfBif/6fIC5Y7lKpgynPnKfTAmdx2XtfF0pq+7XY3 xS4oR7h8WQ48JyGcxdpKZ8LHwc+nkyBT8YAlFgBEkD2jOddg7AsTnHzZglJVyqHr5GJRfAP4zmFo QQEtNVjwPUHa5vORrtJ3xRdXbwOS2ucpUucWJk6+dZonOSpQw0woy8I8b959F2NQsJC67Ev6t33J yfHOchkCfJ0bC5pYmCcUlBLSYp1PgjMpMgh9JwWLw3/ngyEHe6PxKpTjCp+PBIN9QRHPBOLd7o9d cEisHDy7ZaUweIyv6pEm/1ZWDvJjPBk6T4rEnKT5wsEizQHoeh70Lh8gt04C4xLMMRfIzjiCb81O xajQenKSnZNlQMVB6TCk9Kr5KnQ+H5zj1zSg6Ff8GeUidXNoPkmjoXNKr2kR+SrN+fBTTDnQvaD0 6PMU2uHIx6yk6oPzdM7Fdn5sir1CVTMVApozEu9FChZtzMygu/oL/3XfTbm9cXB+RS1JSiap8vmI hOpYFHaJ6evQ5p2nsEjO9VR6cRBhp+oPK1FjtGXriRKVNk9hlVyXfSYYlYOXDTYi587MpYPNQQbd CRWQhycAeyly5ZCd3uyNI2F8qlAOhuQclAyfs2Mo71it8Pl/6ZkbGvoH9M87USH2mwYLfRYnfQ+p vy6KLO50J6psn6gwIieQIItnkb94FlsmEWxIw9l8YPFTYRlFA+BbVDT4Tl1CH0ogrwZoCd0HS12g BaK9NBufD1KX8i3+lsF23wg+baJCK8pOghxKtX5CbQJRlAsnx8nBKtnsOkMvyi1iU2b7hYOnLuNE k11owpN1w0mRVAIAHhd8jqBSYQE5KCN636jxQgPBxuLKGXThQQlqUX0AV2jm81FxJX0d5PAOPgHG PaBCN0oJv4wtTU78IyIfFaHAkpBgd12QBFZxJbSjHOR/F1TtbXA8IbIV/BZCpoLjjfZUo48eYBDQ VPHlJL/u0I1SOou1KNAs9ZIt29jiNp3Mm88HpZWrSSkcIprr9VlNoa4J8rayJoMmExRSIwaibyCg Uvy9p7R8NYXxOPvkaVOQisMX7BL8jSxf853BmFtDDLlEmPVaLgFXck32xpHmIikFzQKoASdiRoyw CSdMqe+1+QFBqHmV8sxvCcXop6kQeFLCysqYlsmuPJRCrFzHjhynqlbJwHGqQkA4U7vcqAPHwRue wIb1wakCFrDi/oSYLsWe6L7JMqPfedveOAIXEOVUmeP1yoiOLOdV5Jvbz9/A5yMWz6+eApCXZ9fe iYpL5exTtdks1pyte9czleY1g4RZUEweGFOCw6uYvN3B678TZc5KAgbiELrknGUM4RSmABlgKq+U z2UvHOkKZAKdyStEQmcmUwP0IMoCgFXND4go8zeIKhYdQM3tzlRUKWeJ/QQHzY0X23YrOFhHX8Uc 5Bc2UzFYheSCJEBGurq5sMlJs8NzEGyeif2GbHhkQLLRKAMt1jGY2d830l7iSkKZ2gYVV1BlJkWg 9dr4/L/ohaytoFTzfUiF2oKNaifLbKkyKoVe1ezFXQUb7Q5smkJxQTbQe2dVs/enqnmiqAT2fKcs 7B6gQRGH2AU7RIf4qi+tae8bwDHkJ6gGDAfFmmORjMdp2nw+iMnTN1+FNmegTvo0RTH5aEJhdN93 59i++sKo0HgJamSfptgRnkWVPO/gBxS8hrxWBy6O5M4bCs9zQdwQ+qgVikBn39k8hbCVSkxv5Z03 aMXFvxfxOcs5JyzWWwahQR5fIRTKzh1/vM9TqOddJeKRPBdu2yq++Cd2QqnDPIhD6Pqov8xzapPq aXtUTyG9sHCUm+rpoJkXTFaAZKv7R0jD+YjC5dClEpJJCMXL8EGR9cBlTVxvRhs9sKmULNuXXPU5 WMo3TCyHTpXAsbOi4guq57EvlG64pReSDp+ouEpOyXewU23wNjpNBoBegEkCnMIwpZCJyXDOg+sJ JHSnzHPVGw7NKoWkB4ZeQ7cFtU4axCQPgnZ8Pir+5q8kr59tiHK9z1NoV7nIf97rUsR3u92EPdd2 fC/QZNp4sV+lpOFzaT44djVRJIYgaEgOk1yFPjuRP4B2raeFTpx4Dg0rMwmKE0UOG+wYP0GzgD6s kQeOlahBfbsMAyo2y3U+z6Fj5WZI3vnjG+NgNltOeTrsEHwKP58Cy8rsPHHidzV4ra6dUG4AKwo8 F4SsqSYLZU+4/FKY9lGIU60u8KzEKcgYs0iUqbhEXAPvJanVOzefjwCs7et8qizRpH3nKRb4Zo18 5uFNPDgLGFe8ZUdCd/SmlQqHrpXe7RRDw0XuGj4dzaml5hRmigsPGihooRV05p+JooG8vibyHJg8 wRNT4gL6Gqu4RNuw/w19Y37Af7EcpCDpeCLN0Lqy0wM19/nwM6bPVM9mRnBOcmYaWlFhSL4J8ClC RJUHEXXS33IWkXA+AOPQuRFwFswUYhLI7v9AsPnpoAfulSBEV5KehrhPFJ/mTJ01LJTOWCPzA6I7 b31nLzA47eM5y2MDS0KiVikOiVppuhwK94uUPiijrewlcLC8Z3mX1ld/tL7OMdtROpXMZ8sUQkdh E8rDQKoD7HDx9XnZC0etYYLHlhowC0/zrkNEJrL6ONuQH/AvRiuDTcQaEHryiYpi8kz8eKWeFdEr MvRRRgycUmZ0MAF/VeEgNLIUeiXnOn24hQOYJ0gTHRZtjH2ghIuqaoJmK/sITvtJ3d442H0Mo3bZ d1AJGMR9tfI6SpuBl+V3qZxuFtD7QInVJyqKyqUdP8p2JYLRtsui5z1dWvfc792PqQi+0gRfAW/d BltRJ6YvUBMhG3FCCHdRYQcErg2lJpD7HlpLtxf+y+9roRCBAcGjLNFPNikGxEhcUSGU/Mab6qFP MKSeiQo1v8kiW3W4BnFn4k6MXc9uaAmIlteAY0dLxuU5sxTF4W49yOLNaVsPBus8z9HUyonC4shD XGZ/L3vjSNOSTYVZmg9aUbhCkoAsq/P5KOL8Ps7BmRuIiHyiwmJ5l9TOuMUoPsEKSwbwn1DgSmaE nVFhYM6mZx70tOZwt16GUDZ8vypUs8pS/UcYOxoE7HFdLbeK5aGtZVfAmelJzEGAqAIIp0oBa/H5 IOC8pzmpUgWF+3JVrXNobCkPkFWRcP2PKnig+go0vQi8Y/tlpOWHVMzeHOSu7OmDhQjwE0fbGXvv hLapg6EJMRWZs0/2n/ftU/VlbxyF5tS32AJN7+bkxJ1OHKNtuCefDyKEW2IRWB+WO+tWWGJzy51F AXIeB35x7w/X7rleYZ+GEULgbom0EbnLKBS64GB9dMBfCqJuWMmhBaNKaOb5Dm8WPKmUOJOxwi+J pklnFG2JzzCtPXwWZRtKSoA3COwt5S75cO/xazb0unyawmq5FJzq9QBHOGIpMVhgzuXIF5YR+lsu VVgKxas5WGh+/oJzfsu7sWDfdamlolI7SBoEXdwyvaE4KjC4lGwu9fZYkEKdQ8ick2cP9Z5AyuYH BJde+1UvBxSqPzlM6HGJzgGi5+4TdaZ3eUosxWKmxLAMsPUUcznJvWtz+eA5zAnAhXCk/g4cbxBG TVpMZYiFcNsJN5aKvW8kdoWT6VwNjDdxHCjtOAn2kO/VSSwXPyDSTin/5yini6LPUxSZNwYHu3XX l6HjkqXExFaoZFebAxFjn0tyFf7/ghp0qoV/FxZUgnrGovUeWikI//GLevtFHkU5sLnEjUWcQaLy BQelxOce6VSIqRlwqNDn8mGZsQwKxMPXjRcYXYIZjOWUWIf8H6NTuTJI4ZKQ6EVffuPFTpdsd6bV lg+ewIxVKvV2ERoAwU5YBjjDEJ1YuPAufGVWf+GIp8DzSTrFa3hK3BFWUR8O4EQ+H8mme1DelUqf G/IqOuTQ67KSHJyumOP5x+5wgzF7txAqZfcEz4HZpVeAU2YlKuVbiaogUJ+JWwzK54nK11aiN7u6 wwUzduEr2144qNkxyIRpMgZqaMip6MwPTaB/zsHX+AFRDfiagjLVAWb0qW0GjpfOEG7lEZgX9hCx JlC1P656XU0eLAeWl9lKUbnJYKY9BjP7xPngkrEw3s/dRLveMYmOS6ia1vU0PmWfngPXS481E/Ac HJLdXBXyx7RPzygdhLaXZY+vicqdhgBfJ3koWkgkImTNPCFWsMklRTwkE+LaSvclFSFYWpIW/04+ OFR6olIkEVV4gWMlUEktsYtNn6M7T2IIBdaXoNVTi2+Y5ZwDWGD214TL2CAIBd6X/xewmcnWaVcF 6/MaQDUgyiuByt2nKcsSZJLMkaudUGW4cOFr+NSSMRjJXbfBW3kDaDQATVABTjuj7Az1y3OEDeg7 oeN6aVQIn16DpwaMDm1BC11UTM+BUwTag9xBF55+maAGnVktJOhJMMTMQP5u/pvzwwTzM0XKAx9A 5YIBfL8i8QbWWWYJiuJn2nDB/KgnXHR+l+f8BqFzLggKk+pCu1yc36id08nrJ0Nt0wwdls6l1wk6 0SXLTl2WBRx0egPFL8frs3M/ryFTQ+zTfYIUa2ERd5+g14jpPNWFZFXKOwS1vzJqawgMdfYZtNk5 Qa/xUnN8AV1WbbA4oAATRmFABPcok6uWB24X1QdASrC4chI+/hotNWB6kKlmsvA0qOA7z+6V01zf 7fMaKmF2DZrZVO4F52YQcojpeQ2UmtwRkeh217zsdV//3Za9hNnQtOH0vIZJDa0o9shlv9se+13k g+caAh4D4eSJJXYmFR+YSGjOUwnXpwfn3uc1SGoKcgC9IKyAmaHAEh1OaQq6z8/yeY2R2uMF3nT3 g/sCJU/Nz2uE1FymCEpINj+VRx8D7lKSBdzylZOzbLB8Jll3afP8SfuePyfCPkHNotZthTNop5oD khWWIEjCR3FXG4w8us9reIQTSBUTel1qUOHkhOG1WOe3fV6DIzzdik2QgJvnQwD21wS9hkYNSiLr qZRIQqV7pC07ZXULiovLvAZGzR1lClkqGuwAWhC4Wo02FwPOpshEF/Vm0CtAyNXy081ktfI1LsLP ybioCMTDwWBOc1N5+gfWkZ/XoKg90WNTMoI21t4+Qa8hEc/1RYmL7erEu1WHYO7Sb3cOObBMLqIJ kntjl+VOv5Y7uF5AJd/UlakI+8hAx8H9A87wDy65u8WYsb1GQ9hihZbENCY4A/5S3e0oJLAB2Xvt n9dgyA6wZ4KgDjLy8iPoNRLCCV1V8S7evmzY4e6Ukm9wnYad0K9xEE5olXGHmGPjEd7p8ETpiyf0 OSxOHL+4guCiliGe+lOwPD22Jq38NQ5qjidcnXIgHAx3mbsS2hOA5c9rFMRXLF9nECr7XQJOVGiL thgJrTwQLOlv9SEZbBcDKcndrV+rks39v3Mj+oSD5x5AcRKAhHLLOZkLIXITiqMZ1F+cIcshcjSy /bzWJDFBLCHRfo1Dk8RgO3FV3QqoIQQaTBBdO3/c5BaVq7MEik3QazmymfU8hGOTs8RGH3ZINwIW VBVZzsJ4LUYyCCIirshNptx+ZQMtrJ1DiYc0AITcYgPKoKxvQyIaoa8B42jZ9FqKbFLwRtFOukQl GzczAZbPXJ+I1NdCpAd82mIiseKXAjbb4sQokB5JZ0/37vdOwy3S1zaIBSoiyZkqUSTd5dkgI7km CKwiRWqYA1YFsi8EZTIB83zlhBb9yZvTzy+Z9HcinZ12MP3Kd+BJDeHDsUXNHGCwRhttfq8juN0B zOOTFEXTKas/MrxsBHklO6sr5bhNbH9dOk80SbT+BFzJB2eHLapBDFTXBiTqMivfCboDSD3E+/R+ LlYW3zc6rim3C2CmDar/g10zpDdU+XgQUaf8dVxDN3Ss5RHRO4fOggwwe8fFB6B5rZWEO9V22ygu 3/ROoWvSXIPO/ig+WMyYEOgA5iB9647KEXIy8pnph02zckVEQ4DdYIp0Dk04dtigKWoQMVctf/Px ICYa+zuoBjlh97vZoqi6kZ7SRxs3qp5OW03ZEYMw7XD6XBRWq8tdOum9HHyzMf1dsmxCSRNqpRCT O4ETXEFgA1+fKy3pdd/3WqclQZfwHgclricNrJwjaGh93slzLd+iv5ZRJ2V13Dl6j6xx1rJxVKfP 0W7ZBPfOxJlRAQxSlsN030NrwTAWDa180ByhXQsbsU1G2Iki5UV4DhMkoHAVmOXpjEzVa8LjiNID SPswAAdvBB64HkhMLqGgFsyRcOt+HmVwgakEY5MURdedoO9ZirvIDWQHOpBERONCWv0qD7yH15mt PtSv6/DBDyQ4vKQsVUJoCSLOY5HoLAogcTLq2nZokzj7eWfNNSsSNWLtbRAN86z+2iQEVsrnnTTH bTN/TVIBAG7eEsh7hI1vleBHu0gA0mplkC7gKU5tgsc1SVGIDSlXzM4aPvj9DxfQMgh2O2+5Bw0L getaCHOFv3OX3bztdd8nqREvcV0tuzGV0PJqTUj3vD/vjDlmwT5JqgggS3tutijIXjT/wmZwIlj1 hXROkmxOOzi1vTAbRdmdYNOyZCOzHnrTOmE7vEtJbzqBKXVrzz0MOgFLjoysnUc/u173fY6EXR6N czRaN97zwtx26Vd0Ph5EkeueSOQZZJrIeab2zpbDfTSEzJ1OAutjL6sVEbYsp70GcUfVZKNIW6TC mZmycbDdBsozdK1IDj83Zy9DStjn04G5/4Hu920adb3t+xxJDWXSs1ODqmlnQ7ON8oOj/PNOlOMf +53MZpgutDXvQopibQPDd8thkdleotzK15agzeJArjDYpl3zaKTLcfCG9kByslgRKShCQaMJbC0U IzahZ+TrWH1/6nWjSUImW3mYadCJBORMEywSCIko2hai2DcbbOyQLXnJMYq1G4tGra/uGa0QlDIk Gh5GllVM1eqdInfnqIrUVB9SU0eyBi8/bTa0WehK0GBqQdkJJHVett7sPr5T5Gwl4CQaxQeltCfd W/InmCV/3hlyjB7S10KitjVbxZqjKNQuRTzCfLGTxUq04Dg1ZXLQki0War/z4yzCA38wLR8u8Qsx EKD85x/Pebc6KtcDFiDIakETIeHWTiTWHt8Jcs3ddops5BGhqExP9cTO4nWHBMo7Qc7XxFdppKq5 YLMUxdpTlkT53v8nrXLp5uThJQAgw47td3rczf3LNuvG9IAB60qrsup3TtqzPLtyf0oAZZA7K3nO RtHRUnqPtj1rq6qvVUSgYtVMWvcRiXuW/eedHPcr3OYShJlUSZ6RvFPjkMdQ53K3C4CnXYACgJXd I40hs86kd2Ycvp8s8Koif32K/CdyTB2Wn9SSb9B1psEFiSIZG/ynoQ3mchVUP3knxuFbNstrRG9p sG4/EPbSM5n1886La49p4/nFaNoMDd95b7d3XhxS4qYrrXipvzG71FpaJoOCH81pAu+0uOYsgWJG F+vWkSAD2cH/ptxXAhaU0JF6IiVEch1CCMUrtfJuemfFYZKoLLA6bzkOqmUDR1JkS1DX550T1/JX N8RPJSxun6O4mk3fr76vT5ocLgbDpHRVwOsyqtc7Ic5OxXOvL4Fv1wO+PbM+qUqIUwl4QAi+rTHI 78zAARYhAO3oXnrfIE5iygZnXR8Mzo2rXMiiuT7vhDgu9/KduQHVNm4s+c6Hw/orEic2giCqJMmz 2yavXRK91jIQ0jsd7h7dTZPUvicJCr7wViA/APU8lrRV4kxIpou8icz/e+l93w8l+A9R3mv6wEkC q3jytjirY3/e6XD8c2+gxKMb3p9iynOWooibWE7A9T0I2NzonCVodVt+i/6zHUrvETeCYSoxTfLk OVighL7gOZSkllpgYVHYWivQB4Y7D1CUN3UrQ68bTBIhNWjZkIQK3JZgkRnQKUY/Y+/POxnOS2dP pATI9Nd+i0rbRBgWWpZZLaneIsCJa9xJduKjdL+9R9woO3OSUJC1waPJAspgItidcgJDOtfQfR1g yGW4IVx7y6XXDaJJ9pwTmqE2GHPpnDY6d3fun3cmHB6/LX5uN2g0CsDNOYoi7krtnK1oknNUmjsT THVNKueoWIftnQXHXrgqJLP48LSw056SzkloLpECswoSpzbPbSVra6nuUs3ynQN319FcLfugKcpA orBuu9f4vFPgPAW7y4jt/TF9r70z4JrZz8IZ1cOkTCki1W1n8qsN17h1sePiNo5t+onYYFN0YscJ +TRiaQZ8/ATkYqiDqQRiylW9MLOfd/6bt/nJLfDBErdWdAS2c+x/3ulvfMXvqi08F9u+fex39huD Blrt0mpJxo3VjRvBWrN4u6UybKe9k9+8tL1xLvigKVoAyQMNh9r8ufk37Bt4rpMWkKlLfI6ibvyb otf9c442e/0cLofZSCVt8vFgp+XxK45ku+Auoyjabspt1TwC0TvV4n2k3byy3WggwJ32Tnwj2qgz qV13sJJkniixNBmlnMioo20EZWfSzSjrM++J3YbeNkjbOhwpqC5rg9AiIBlQFQsx1ued88YD26NI psGIJsvttL1T3jBF22xSLid3XgG93BkRAKndoeZCpPY74+12SGg7ZoPttEG9RwEizsfs8z8FmYSz BQQpcp73NJqU63jnu3k+AhAOI+2MRmbXib07CzrQSCmfd7obm/ffWRsp4qteTE0UaTPBBHLdxRjn yg5nx1GiSSr0JtY6ikrblBVe6M36YOsI19cAXE177VxAhE8MqMIXSjV7nJ3pxPR5J7rdhvbIbJCM 7A2SjrieBJBzuJbPO83tV5zNcmRhyOl57TvLDftj0ZmA1geum+c4dkyc95Bgx6MZiuraaah8lIsP t4p0Yuoy2dMuJwYoRMHCTPbcKSgwfOmfQntQrxs0kbYd1d0HK/6X0op8mDvf8b+U2tDmaKt5Femd 4EZcRKVI7HDbppTc1hp8BcPSNgI5GUC+89tuLtLF6u7frG7oCe1CmYAKyZBMRaoCZf0Mys0PxAMu ZZLgvnd+2y21ZSDtbFCn7Vz7VVntmnzJf3VsNUknY6RGiCYpirIrBRirw0SAz2oOOJ5jZQeP9Gy2 je/ctlvXBvVcA15+IvUv6QQ9ZykmCQ6niQQZsQHicfSHIet1/XYIN35ntrF4rzZSkgEo2EHcIhu2 KSwondudLxlFkDf1x3/M9gM1zjRLIYJEdjKresaWiHUXSKuZCnGFerZhkN6JbYQRMAkRVbI/VMkT YM8GMxb2I0FF2zSRx1UBhEQiy/4S22io9XnntfHY3kIZi16DuJQL4ATBRZrZY2Y+H2y4/d2RBMxn AIhisxQF2sJCrtRvsU3dbHpbU7NRZgTVjZvfSW1NhHQIA7CPxME2XIHSpluAIkbamxL7iUQMuOfW Nq7QC5H6n3dOG3FB4rSt7INOpQzyH8Fs5zM+75Q2vuTNRigyBKnukbOf3e+cNnwtc7ZFIRi5gNI7 VeQ/0O2l2QVxFunrv1PabggwMnvcI18mN0SD9vmohS13jhL4dWdijitsQHCVoapna2nQiOCd0kaQ A+VLMqGRU6JYtCU8sXtSd6jOzzujrUms4rtNUtZ3T/Kd0dbcc9d0uZoi70zuNm6M0U3/LZFpj3Pp ndDG+5m1/8H8to5LkGwwEz0rhNaNDea7AklkbMqNmzXv6lpdGf7YfN0/29uURKKHrCYpk4qCbwTI //POZmuiw32FSaA/II+3OQrD7UmURJ6iHFHczLDr+P1NxLOmPcyA4J3LZoE7SSLTBy9IIptl6tzo sXwOTOy3gZASYuK8n9aTt3W9b3B4s3ELToUPAo+eTb3YlDyXQf+8U9maaZbenISu21gINknvAbfR Atz+i1jkxmYASMmFSo9yuN7ucP1OZHsuuE4EAAdnRnboZ1UqTUFRaQthk6m/h9LJiQL6jQIGORDv TDZ2LOiVTgVADZok6MfwTm2z1M87kY2Pf4dKKFjMku5uC0vbjAJWu5CkNW4ZCZ5UltxCNkgn9zuN rbnl7vnO4oOHk6i8tUJzlHNDbPSi2ZTEluGpB3CJJbeqkbyz2J7kdomMvIzKi0WfOpvTYKJ83kls bB98325AqJPDbHMUlbZVj+x7Fo+UEq3l1bjNHilBUsPmKAy5yTcaiR4WHGyOFhrafTVapfeTlAjb tmCQPuSdNjziBimC3xFMEQv255TvPhjRDx5jam7v8XmnrzXzNuGMVF2JsLL3iPudvcY4XWSI4vC/ Rynh5ObFq5G13CkKI24iSCd0wW3w5Pb8M169UEcpgd1JMC4Yhh0OGaCZeLytVRSVtUX+30V0iOIl kg4HiSkEf6ufd+JaeyijOo5wCvbdPP9/p60RvKrEbTdvkORrbp1Wu1jk7iWSd9baJRydkLT54Knt SbHHkrl1XuqK4erLLE/i/K7PYYQL+PNOWuPhydx2sx3JwVYRcritKtL8vHPW8MfeEglJkFAmgfma TVEUbHcJk8ycLLcd09BsyG25tlAiIYSIJZIW4rV5VI9Wpw+2is4djxC+kno8Trg0aOx8llOBqGaF VarbW/I98B0BJ2vLUydlHwSQOAGLLJxhq4nHgyBy3GJkUcR5MpN5l1EYagMVUslHVKUtpyvt1vjT sNIG5pkto6imndiEhHKKD7dgCwDaMLddSCeJcnt2BVIUKjddzL8d2FGkjfLNWWrgMNqgdbRB5GH+ f4I6PB70/st3agsKz9c66lGcjXQJBKDl7o2VQZxpRyyrIn1ttf4eZyvyWPi/4oNttXGOjRNEENZe oJ40ichFOnrW0YSdrOMjzFmgx+RHytqM3n3QOjrfQfIU2kF8PGCO7O+i9sCddibapygsaich4NuV QxgGJ4V9HJWEKaXIPienKAKRDBb8t/Da+8Frz0EzprHUGoHF9VYYhauMgTIUzT37p7xGj4raVfY5 wtneWlWB8s5MhiaZeDy49+s3u2YQAt39UuthlF3Tg0XmpcZqjC61L4s9iIHxUutRUVvp2lkXyQcL IMduFdnHEvLvrJ7F3B+mRieyADiL7mVWsiWEpEdRtmBtuZOplRtBzIwgIefGEwkq6ng+oEGuX0hb wuPGTUZ6TIRkKru3u6FBpkfh0YBrN/8RfrnFJG16FGfPJVPrcQebppYgtJV5sUFSuYjhT8QyLL6Q h7QLbNtMa3sUZ0OXHD1IEbZYP2CkA9NgThjOUTwekUXnV4AE+X/WBW2SIjbkYOcfEhoGkKwpGUAS rlymuYXU2kqSPYaQcBFVRj8cPBnJUCuVbRVolYyt1xROkmt5VBfrzot3W49K24uwiLOdNUC8RyoI 5//kYQuDeTwfXW7taynhaIF/pE9SHGhTSmlul7prX3BkIdswSchCbSWFlEjVtqeaSfMy/gb4EWBC knK86ZpNl3R0uU9owAv1VpEm9V37e6SdCG9gzs9DCcdElwLC2cgk2JPljeeDlXRLkqZm9kNNGpuk sLg95GeJ7PZ/KIs5k+njNy6fbIoay0wae4zZJoCW2lUaLPc/WdrZDp3y+BMhU6OXLDHb5/dn7k8X cKMjTb1vtN1I2pJPKrNxidrXBEceXlBgIeMDgsN7emKLdYffcuTL2upRuM2L66QvMosnFYAFtoo4 abKmjTjpJCfnj+BaisLtzQ0HZJwPXpKs5/elwRJdKeAtiLWUUB6U7ggENd29g0qcPSpvV1o4zyKX k2Jx0jnMh5Dn9NHG41Hyn772G0S2YcHscxShtru6bd0qjzA9BCrrf9TEQs1IcCTIWmspRRiSLq/0 luRyzepg5QUH9lfvlHs/h1/mnb+w3UDaAh+5asNNiWyd78O3RJEShRJZbtWgPsnJPpswpScBwuP/ gkiqIHmyYfqZ2SzFxe2saNIdc0hJ8mKbSUxSQy7ZLEXFbWWs+FF9sKMbvuuZSrrASGJRops0FqGv GSlKpcCaKpKDLNIe4Ui6YO1L1duV3N8ER4aDkicej6ja69csKXL3Y2lEMfcUclsmxF3QW5cpTXUk R25LcvrM0ohq25b6d9Jupso6Am0NbGdKo50kC+tMpKSKEg7MPDZa9mKSSJp7RDG3BBGaWrfttm7n uc4lwABYOB4PYu7xHU+ykJUukGREMTeVSc+RdM1Q57zCNa0YF+BENzAWFp89qmwvStk1OcO1xxmu n2hm5TnNsaOda4ii3A2pG84u1NrSlSBD4QNfEswRabadGRzI3+2HhoYFWvidKLGBBHfEpe3v+j+6 WbPd3G2EuG3mblRdYTSJ48GLbQ13pFgSvbhszYhK26LZ7kZr3d2IpKPdImwGV58MunOCPKlRuyYA JOcvA0XZHQMgL4bvCFCk5Eiej58azBTuBDInEyKc7/wfHv8XI4m/HxSsMg1NOEVRwK2agTmjNyFJ 0o/LTHcvtvXhrPYRBdzSrKE+FYbmUwT1CrDyN1XwBzDb2YKEs7LQecPF7fc/4ml8x5905MUoiYNB tjuxcone4nj8X8cRI0kA//ttbo8o3O4kttXxlGyno//boBII27YLv7RWUag+IpJNZWrCwflICLln FdN24+ZBZ3cuaNgM1r/Q0TaqLQ2T8CV/HUeQoOIAqOZWRRKtPGZuZzXj+X9VJLnVoHyPO9gmKQq3 mcnQHc9JW9kTt7b7TEbawgKwSYrCbSn8tCYlm5YdZ0v/eHmjoB3Ztzl6ToS+Hf8asb6to03u/4jq 2pCBAb623MEu/0IgMEoAY+LxYB2l74yEuW3a98iOgu2l04giw9Iak88LNZC2EyShpma8thEBtoWL tIosB2+PwC8PSoIs/Z8JGjzVEwTH6dSCrP/CtaveNmqzAbNVIMHJIVsNv1IN0TPbEQba6zs+Ql2J N6xNURRoq0oC0+S71Zz5f9KGdUVIxvRVFAXak3ZdoxJIOkg/Pf8l0donCIbpvZraWGAs2oK51YFg pd+pTRFuHXzHH6V/aJVNDkgSBGtr4CZicZy0ueP5f0khqWqLXiSAuzZJUaQ9mLS1savz2sblta1s yFI6lxiGdMSRtpFIkw+XHQGEB2CwIJPMxN4tIm2ibbGG4G/ve40h5AgD7SZPs0KhDbqoWJXtPNqo roWmHj4gyP8f5L+qvJhvELBtnsJYu5mcbfNaEinBOpLa2HYkGRwZ+y2ubjM2nFJyn9tjbWgrn5i4 8WqDuekAaPVcfoi+NyoAZVKltUk0yl43atmSH5GleE+16c00ZIAAS5HWs7fxfDBND3KLS29DtCX5 lpthfZto2wV1GeVti/+GedsqWcrbtRUKfSG3nX9r+2Gx+GDF29RPEFAmoVuosu4p1xKU2SDKZ7j2 8bBtZwgjoUvQ/5faAMlWeiQn+cXjwRz1724b4AJ9XEDyjGLtqub/YrZBoFvHKmaVZFXh/bGQQNOv lEYKSZK0k2oyDOLgpaTV0QegG+xZmpKLXACH08yeXkX9qd3i78a3/EWSXIjTbVDzH6gFGXSdPwCP /4vYpri8/RBobJMU6pF0smvWZduOPS4EcLrAFlh7JmE3Y44kxSHT2j7YqQQq4EnP2SfpA7V04JGw 21C6SsAAAhngKpEUSZhxgZvgv0U7AA4qkqCGTrp63Sf/n1G0PbvDtgjagopufSYpirYzvZRgkuzk v9U92m4rO0Mibef/z7C8rfsNkhQ2eFMSFcM9swS24ZCYB0MAqO1ii7Zyqe2LyLYZg0hYbaPcigZN 0Tr5pepIsyw8HqG2v+FIjZ+y73kURduVa33XYtH2+SOai/+U7vC/VuBRw1N7RtH26GoRabP1u9lg L5WQOTeDknbEynDsxJxSjBk6ya6FTNz2jLX+lNGO4YNhkk8Iz1oZ9G3weNCTbN9tEnz3OAvX5yiu bQ/C2a/766CMk/LavV21NgGTqjmKQCSykuij3cG7JOdjzocmYpIhetboajoxHZPdXhQ0LEyiA+WM gm2KY6CMMnzQ9Q/QQpJW2xh4/F+VNmKlWCEHXs/mKEKRoHKFM7N6KNmE/mcNSZKRFJFCjUBzFJW2 l4R9276DzdE5IQoqSbKmPlsOpATgTIC8R2FNktpGaSt622AZDYaSS6HkyoaYWecU5a98lsbgK0bw yN+EbQj+J2+RzJAbSZ0tFHd8iuZVI9mP5B/qxnYahZp/rCF1Wd1wuKcRMtcyxSDtZynQtnsRWgxd bGD6f0mRzaiqXQiwrcA12eDof/q/YjVuPh7Btb5ztpaQ1l55pBmhSCYRwYjuvTyy74FdpkO2wdR0 VcQo1lYm1pOMEVJ/brVBN0adRiknHtILFtVnMUGNAefeLWoT2D6jWLsJ0T6aukgInKXNuuCniM29 TmSJ54N1dHvb1qFD1ua5/4wCbRcfneXi/oYZcAERbQam1DWwrRaLkZDwJ1Wb+ajaQMaopa4QkkW3 TcBSyrzFcQVAadcnaRW97h/hEZDk1Qfh/hCXsrB3Qkm+YxRDfpci8bdrIXOOVhRms8WGgpqXtOcT HuFC8r0GSVoupBXBSEYxGsn2waE2J2FrqdKvGw6RVPyDOSBcQyt0SYtHkMb6XyGMBHAkOAhNHxyx VeSyAu1LPB5wI3/d/NBmH6l7cLTikjanCBUim6LsN38r3afobIs7RSGMhE2Rhs6XDd4bQeySMnl/ 4OdRWA0CUoCmCfKwLhgJkSy+I6qPUEU70TKiJ4JJeGTDR4ZFq36CGDwfadp4uoa3wxN9XU2bFepo U2MTS/ViI1P1W613V0YoqiElftJf+pGjDTG2FKuwhtShJQgYAs4j8EYJWMq47M/vcBKYkp7g6Nx9 +I6gok27H9gK+iA0Uu9DSJtzuvDxfyps4DQ689v2LdeusKJN48RND0tJjY9ypZHkNUlppFqtzLZi biS3WGXS1uu+zSMkw/BqIeYfyCcSceYG0qZD9ESqCOWBj664oq2wmDO1UeWVKkYHGpzXEpTx8fw/ TyM6HwDdPdrlIq0ozEYwdJZg2936R3Wwr0aDCxECJ+V+txkfrJAcSXdu/Ak++GYbaeOAZr0W9REQ U09OS4cEFrGASL44m6nXjUpttFpbRElMaAmq+3hufkkqnHmfGc9HAVL6WkqA2VJc1yYpirMTNTaT PNsG//GqbMHj0MuRCNk1SaEOyaAFWSLNmMOlIsFvtUp3/OysQrOyMVD3zLBLOncokDEyt0uMIlcE IlmsHYHk74MSthN2JZ5OZ//yJSPM1rd4BDibpJbZJEWBNiqWOLaTy7UhSXA0kk8djd4NjbTCQJsg 0iqMBAdPRtA+guAbE3+sVYZIBaDajZ8k73nx2pBu4+sGc0TvmgKVYoqz7x+r9wPkxC5bSg2PRzJb +evYBmJrPgjJFfIjpU2YcnKy1mSEtMmMSAaOONu2WMK2Qrx2X+8AkhOmQz9doj8dcUEmOAL5EhYc 3FhzvQgS/ET4ligdERpJPEkAXgVG6rh58IdDzwOPBwf3/tXSPr/N7JestUJ65JaVZPeMbdDHQiok bThEElVou9vCurbUzyQgXb4EpM/KxKZqnKRzBE5oSUKCvNNy86wqNjzUry3Qt+O3RJhtIv+XTKPW dNWfnNHb5O12crYVxdpl/ZJGAjqu3aL2igEkvN3mFdnusuCWYNuVIp3NNW1XrLJNwbZBOjIHL49s FLU3RbYm1MKRhMBd4yzOTdkLkFM8ShpDrxtFSYrP1x1UikQzj39jzbPi8Wgh+YnE0ws/a71wrR3F 2rgQckFfxKtsY/lCaunRIWnVhchDaiQ9RIjpsMEXErRHciajDS0kCEoAH6mq+/lF6Oxuzq0sae8o 1paxO2ndNmgZoQnAm+mcdguPRxyb771WWUHanvrv91jbanPnpG7eQ6qPu/RSTxtVtoRlqSkKdUhk GNFH88HhI+cgnlD6QW9knlWEiGxBpvUc2jB/KzgO/WKj2v+OIdvkRRRCI4bbUxY5drIndM42PB4d 2t8pW4EjzLqWNTuEbC/S/bc7IsB/2Ols/epHNvZVNUdhrC1PqErXEQ5+sUEWqa2pfOScyg1H1gTK 7WSVmx5nrvpbiPXBlwRzRLu5DJCiDcJG5IyEmWujbzz+X3iRmfqx9a6jkBcp5ag6/TiCfZodRxOS CO5S3k2pbYfwEUpHtE3ONgcPI2H4VLEmgY0YtKJEfQSNiXOZA1xeH7IW77UdRdtb4ppDEBtn8Z5d N8za7HxxxeOReqTnbFhz2GbnhPeK9g7h2kSzEbTqxhF5GoPE4gAiI6FGrTmKaZHK+dsdPCHpgOjg qm+qs2zqkG4Ia4EN/gPQgZfZUMDCd/wlRILKPgfgc3lhzwQYAdHaJ8zA85ESyTfLBmSF0W8pckeR dmUX8mTM7tDapWJDvYJhMwclkuxHdggfYdUf6GAfbB11KGqklaREAlWVhL22EGcO6KcMGkUKrL2G 3jYS2CblH0gVG1QeWQ0eQgwncWSH8JH8fWSjVTP3vfl3qK8NwOwJJKdZR9QkXYQOIRK1SyiLRK9O TlEEH5lWQaLHDwdnkMAeImXBR0BAJnR0wkkS1VJ4aF1CG72T8B1/MkczXZ856DS6gT2wc3j8X/ka dyUrWNcTYYdhdmUVu2xX/Knb+WxnYyffaZm9Sk5RCB8hu2bochv9omtP8In0HxRV4EbHuUGXrDZx qSBp2+2aILFUhu8I4kc1RAahkRw0RTVJ2Akfs/F4JED2HT/CibhDn8GmKAqyQS6F4O++LjZ1OVdr V0JKyNWCKwNpkTsMslXs38QicfD4cZ2z6KQmpEXCn3lT8C4RuI0O+NxXDnHvqbeNDiOS/FO6gzH+ TqRMEBLWKR6PkCNXWJP9fggynjzS5yisZzdi2Uj98cPoUv5cLKmeA2T6TouAI1MIrUxEOwdvHQFK Q7ox5igB3MZlVEiPRqANSVUz1Watdof6I5W2EYBY2aA77WSDtLY+Sf8cePxfy4ioESD98u3SCqnz +q2TeUhJ2TLaDRivwUbqEImkQrSqmvd4CoNsIkZJULPB0DULPwLY/qyNnMQ/0XKM+DNICw7YhYN+ J5f2te2NI/YoIchbDVtckFmOP2ebCVjUz/7mB/yLF0EwDjBB+1a1ebq8P1YVavPmYjbSOFNAj7CN JW9IBJI+U3GsTanfwioSB0/+K9iiOG9QIAFaF+yAsff5cTeqQpA0vqo/jLXxLZG8JhcUSCY2cEGd A9h0ySAlyOejjORbXxMl6pMSPYY26T3eRk5C9R2Cq9XVpsMta9vsH6iQdEITd9lIIVybytEF94EN fjbB/ZoivyD/ZXCVkdsmgqcZgZ2P+zIazfbGEUBCtAgKJLE1LgESVBISIw90h/kBQbB05cg5r4ON kmfzhSVuyv+tVT17W8tVJKGN4cr2teXqMxUaRlI+ImeCRDg4BDCjYic/TbS0obtNf18UghPwMjU/ 5zhdg/g1Uf2Wjn+d2i0cDG3T4YWIfwQGAM//q8yNOYGn53gcAHKKQm/UplWfd5ZE7y6SBBUosSRa Ai7M9l5U5p5kAFSpAHPwvbfQoxcDALLeJJUDK4nvTgC9Il30mlImnzSnCE8yWClpkkviYAWTBJU4 /OOGnUQKASX5giXVDzhLdBP+a1MV1rq3ttxohgOkGSyPqY7CtPG3FnKQImeyKAQfTFOaCAHtMbE9 UwOH8M7KEnS8Z0FiPOEbfI4p7AW0Q7z6lra9cHTv4XzalSouHDRTkIbbspQaej4ysv3mJgHPOkEv 9HmK1Ulkbbe6oUrbKNVKub2XZcSbKpX7ro+KogNVl2r2wZbUeYcOPhuXVAG3tLECB7JCTvxdQBax 8xyFXn1NEETJoUS09+mHIViXVZ5JuMb5fNQWSL/O8xOZzPl43aRYpITReB/LNl+b/VICpOO6aBo9 u59SYdVb5e5MHK64RjZTA5DuTT3gef7fogv32PQ5Jbwo1+2pb4E/s74mSFp0SmUCJ0tuJlJ2ov7W lolFNz7/L1AgE7tzPM/5dZxHMfmSNsAeruYyVrogk+QeXPCpq24KGGmV1CJ3UopscHC+W0LvvlI4 CRnrmaFJi3SgjiHJgmj3wgKnvW9w7ZlwEpXcOagaB9dCmW+eTcHng533KCiQP4EYKJVbJMgpCsyJ wK1pjqfatF3yfvN4JNBkkjGuiQqr3/JPanIpbY9L6d7nNIINpB3nrRocB/4OFKJ9BEtLrsVeOKg3 EbO0tPXW3XqrJ2LFEGgw5AyNby4XR6Yd6RtCmUOXSZqGFELb/r81QDY9XIpNDDdQDNEm9OJkU9sG LzmN3maVqDQYD9B5Qa2gEvyWCKLM19WFhts5sJl0dcAtNM92MivAb12KyhAE5fNBeJDuEYVrYNL8 7opy5NBpkmLt54iqV9xl7ealuUwinDwUxp2oCHOSOEOzsmPAwSoGY8GNQz2nsz0L9jR7dzjeURgh csmk7+hYkmOnSUlxyrSUgzBwJzanLy1of5XPR5BcL86xSoWe3HpSmMBrEsuYWTDl/JUQU5JdctOF 3d7COw9XOO+80GxyVVHg8vDBD6iVURJi7QlG8UMAp3Qm7Aen4M/YF3DKZhW/5A/u0kmoxMjtrBVi OZ1othJeARYxn4/6Bd+GHAU4jxNg3GkKi+GFEPh9Jae28JQULpeSIip07PbbaorCcpXBdyZakIOv JijeTuCgzw1a0sqJ0UNFENAQEpG+ZMKlI9v7/rHrGmvmNgiec47PJRbc+TA+H6mX/Go9NRKorr1b aDm5psor7ZZXBIJXeeVW6Vpyr/IceE5CPJWrqfAw53Bxp31X4ASxms45JZnguXFuQ47gBFD5kgWl qZRD10n0ZwCDQ3rWqDPAZXECm12TIANj8/nIj/u7tQLHP3YhfZ4idW5Zc/Y+fNeN5PrlHdQj33Vk OWvXhfATVuAGZTc12DzBq6RRbgSHE0zMkd+c02qdEybh9tHpJCiT4oLQd5I+uC1Bv94GVeug8y6H l/NBfP6/+OCgBcUcxOcpNMJJMsLZbqpYrxfeOQ7t3567DtQixZmh8+RSONApPcHhUk8q2CedKibn 1tgF8Lmz/DCxcJ8C1uUW7FSJCq0nJV9S1GLhoGR4n0S0SaSLqz4KyB8hc3MXlh76nahYxoTnUzXW KVAo5WpPypJi03y6eoElsJ8Eb4CewUnZcHqy4X02HvxbBolMHR1ggr4y9YOI8CQKVQ7dtdoLRwuK pfEkcJwzygAwWtK7PMFZ5fP/pA7gP6avQ79hZmBAmSU4VCA4ZQuqs2WhQhSB51xQbFHZPMVFcnl0 UTegOMsIuC/QM6tI8aCNFKoqjM1GWGK7Lpd04Trd3zioGhQyvuYzWNUAqrL8M1crfP6/eAdTynxd yZcculBioeD4vhOFc9cWVClp3Imabmca21CyN84IwgbvSVXQmEtVzaBSooM7b0CEGsgN1Qy+M5fQ h7Ko/1sJuuRg8fiuhfidcw02Pv/PmgFWFIB1P6Sf2UyFXpRdILlqwgHkOGfPhdXHw0yV7KDUHJpR ih8P2QkfHHAxgIrf1OzqKEZUmVGipLSQCoO0ZQE5Uly9719dYLj5arBMuKbUFn+bc4lmPv9fhARx AcJi705TWCvPUhK8et2DgnAsQjUICkive3eXf8uhH+UQ2KKxudBkV6tpOmsU0IRGwe7zF6Kbi/om 8hcUf3Gf+HqSY3doR5lljNMYlnPQvjubW8J85/smn48aVL9chJHfoYjv0xTqmlBFaC2SCSRPua0G hcbbNu33jnqWraYwHudqKqQKaHDYxUl6APdmS+EETieYxGpKTN7PeXRefC2XgCvMlfg1kSSV7Cga IwQIN3C7IRhelciLc05tfkAQa6ZfsIJMyb6buQSelI5z6iwp8MrL9MJStS41m6mKMq7PVIgGJ8Kp kpajwWeqAkWQqZNzss6JBg9NKbtxh6ha9Nx52944IhRSLHeInjq6BZv75P00R4eyrF4zovB8V8ob XLv247kc2lIqxZuzOEhlut7JOaoEn2PrHJ0VBeWBL+XVgq0l+eBNzwqp5UzPxcJ6diYP/Pwr+IvB 2a8+hfK57IX/MDmv5EyAeAmlGy6C87FNwJ6TxUx+QCQr+H3nITjoIHr7RMXelLzzeMcKgMltb8W6 4hN1Lv7lExUrnfCIWu7PdSeqV8Kc5HWyVwcYEMRCIFbOPmnn4rv8ArKG+SXREUXzbgC1bDAgxrlT 2aJCA4bPR+vJSyt0OYU8VX5izdCdkkoe8MrJhlaBe6CD52YxDGYbIAfZNIXSgl0q8JLyKo+KN1QL 4E7DXBi1zU3Cz4lCOg8ttIev9tKa9r4BGKMYUGX4YKEmrJirpmnz+Sgm/5YWosvZd1wQheRwDqVq 3nQ4xmo3F07doQbMwmyawho5xTwIbrbBe57AUZ9wzhjhYr+uc9SfP3IiYsoi1zZuO5unELSilqco PRNRG0+Uc8pV6v6fTZT0lpHamZfIScTAPKEg6fMUqnlXNhCkksO0OLua9/nDpsNWipyquj7qT++c QgFhDl6BGmipJrqdQrKagBWgn4DvB8d5VpeFNUm4HFpUVm63hYa9DeIYwtaZPZeTjmY+Hx1ONzBg zpDhcrlKeWYqisnpAApBr3bBK/Ui6VpzT68G/wqbqb9r5DXV5oMneSjwts4bD8YhCT70INCf0HBC D/Wkso3lRTJ7ir1wsKIWGXQqAVMVWSsK4s6cKmAb+XxUhapfOw/6kyfRvzsvNKskHgMYOmfS7eW9 hJEoLEJ070y36fKHW6UgUOwQc7Cdd34wsPSlxZCRtbIvAyGr82+A7FpPB50o8RzaVeLoQdumVx/s oDk/zJT5GUrkgV8lIvLv6w4Atlmu83kODSsBZwMYzExgke2l7MuJh4yWE6BMNk1RRK4kuDemxL3t R/ykE/EsVkbZTPKw8ainCfcwGKA/SINuLxwgDVjNTLU3H7TzdrdWAihqfD5SGlzfITkt556DPDat 5AHVl993ZeisYlhAkU0BoddtdoaulSIdFFnqcTA81Lkt5zYtfUSdg2jxseHpkRPUZ8ozUaWrNxUa V47NI3xQAHVS348H04ltIGnEAKpnfsB/8famVy0CRZ+pmJ9J0X/UBIydQVEag9XP5uwMOIXYioq9 dMiqE76Qgx1Q54oboGEQ5nMC2Ql+PkQJJ8Er6AoObr361UAP7CsFjYDqsMSH8WN2UTROlrwZAZ1o KvMD/sveg+FSf/Sr8h8GlrT46s0BUUsmcZMWX3ZaASSyjVmXAwdLB2uefXoHL2zCXRjtSOoOT/xN ZGnsBQwiOiyZSANzi132wn8ix+A6ShNrRDHMh2E+z5Dq3KqTHxDBfH4ho4EWKuVWygMfSyANEhms 3TWs6qjb8+GKZcroYIpc3/VRfwmilK1C8M5P8nIuT/R/uaTOUmt03j3hJxjWCfRK9hGc85O6vXF0 6ZFZP+rwwUCb0O5hqbyjshl4WaKUm79OKbRle7nA1hyaWULfAOzuCxwblJIyUfTi7kMDUZ6dUhF4 hfXKFykreA/A+Il4qDZgiN4ZHKCquA1lNB9OS7cXjsQsCfJRHWp3is5yRbXJyjL9YCY/IDKP+a4b DGBlH1XUHBhaIh2WjsUy7g8tjwxh16e7fkLCLvlExY6WaveKbrfG3XrnxmuzdiYr7dyqA0UzHFK4 chOwMgVyHy6yv5e9cbD3mAgXEck5aEUt7AYx7Vbn8/+Uj8PrEbffnvggrJV3BgXS1t/iIzocismE CiydBQ+dUWFgTkkPyiYtV0+yBAZ3aEoGG1tQcJLuN00tIdq7xzW13N3fOIIimu/3bxfZfRJLqbKc hGLx+SDezOVr60nxvuTn3guL5SrabZIO2R2GVIchpjcR0+i+DGwRO6TiwJzNTACRbPAIARzinhhw QmOng3i8SCskIIQEkX37VH3ZG/8VIWAL+6BrbwOQJtn9Pfl8ECDMC5hW9w8Uhec4D60tybGGMPQl TFGYyhrE092ta99GKsuBt6U0RRYFUH2wPjq8ZFHMRCyVCtJjqjwg/jyRNMgUWADMieGqau/7NxF4 ZA3J+sPlJLtcDoIbBOaW8ll9PMCpSb6+pim23RF45druTOjSKieu6zpcJSgPKdML3S3x6hBtppQD B8+JSwMFslIM5MSYPEsg3QhEU6I4IeQElOmN7C8cYVtJJy+UlTsXcxEC/5xy51hkotYh35xDg8vU rjyRFPrO/+iXD5xDi0v8zDTdu9WD1NznmjYFlsSUC8wIPC69odcLPS45eDEqVYiZV9EUTzI5SOXc xqgoP9p4go2lYu/7FxyqEfVSG33W+adN/g1yQSuLHxBRFcbXGYXDHKZSd55CW3nJFKzqt96+Stcj 1by8aFdlhNE/Oba5ZF/h7LDpg80T1NM377gzVwumtSwXn1PvBMTAtfR+23nyKMqBy6V1qVqiSYEG 5cTz/EpEHdcMOFRoc/nA6zhNJNC2Z5oiVHnnxkvT9OQofpG97bnqcsBBovY+7rzY6FLhZqf3bumP 9y7o32fWNnO9BA0eSszAGQKKExD1HhfAMtVHD50uJ++6TescDQJmNJjyyCt2Vj7/L+aL2sPniNxX zyGHXpd1yt51uL5cTlfweuzhTs70grN5CgEsJOLlTUwYBw+idj+5XqacM3g8aQwyXyBBxfYwLo8H wLLthSMr560aVCW8DvUc6aChSUWMA1Bq/IAIX+dlO5KpQMbfT3UzcLxEFdiUin2ioHZi0aaAfaYz kxyYEVheZjufalZ3ON/iAYgWJxKdRPoMqGpu+vAsaHycz82MCm7jUwbqOXC9RPUMUwTPNA3VBEIA 2qKuwwlrM5+PIFF+kmNWMyGRcz53XixZSEWnsr2PvoWJooZ6G9VS4jZvPy9wvpSqL7idLJtz8Dvv BE09ZxoUAhYAFAVOcqLDwWlf85rMTDGEAutL71LBmc0HnVCwGJF11QZBKPC+NN/hJ9iEssVqxcPy z2sE1REacLuRjMxpym3cyoEuvCHovRGEXuOn7prOvezpg7eoYBDmfkVn5XR0eKEPitwf4k7oY1wa FeKn1+ipA6TDzlSnpDMHTVFbkJL8oUgYnn6ZoI4JUmmlq915DknQJPgvzu8STQ87sxNZpeoFg1b1 ogUtgqJxzyXgzbXfgumReyoRpjZ4T/gcFyBQsXt+bnDG+mt2ODnByOuHP4gZOiwdS6/zA7l3de5q 9cE4sBCvZzJ8pv/zGjJ1hBLZ5ocRBHR92sg2P6/xUs+0X6aAkOvL7kyEpoBi08TBGsW+OD+v0VL3 DidlFW3w8BsmNeiMUKsQOHrpGkEmtSGuBADWwsrZ9K7vywcyOgM4sOKD6r3nrKcwPSyK2+c1UMLk mk2BuuzosrVByCG+8jVMwvJpqvWm7jXxUV08JW0Pk2obLlT0GiR1Gfktwix8cFgmz2ihw05QBm+f Ta2CQUphpQquTw/az5/XEKlbAaWluSjoCHyHsBJnfa5BzuoJQfLnNULiTqlf2wu+QhSo1/y8xkcd hf5N4ZLl81OX1VEgmrouqn42wxK8RkfYXluoFEqDcLA8F2cx+h1deW62+i5wy8wcgbZAbVf7i3o2 n9fgCOdPERqTZQEOKpwADstLgQ3y19CoyxpAE2RH1c/o0xfQa2DUEWcb7NmbKqPZVqM34fZmAdr8 MkwNJmiy8o2Z8MFBR/X8f52PGqROo31M0ZQMZ/SMfPcHid3tZtrbvk/QImju7NXqg0BOBeJPjI9O 5P15DYn6YyVvBzQ4Q5teKfjK14AIp7qac63cRIRtH9xfI6XRb3OuusVFNEFNMunyJS63UtIhtQoH yiQUWN/E0c+BA2HBwBHcsrvFmK+9xkLYYpnYpiHoAIUNlce3xuIzjJtq/7yGQv0JhdRJBwJuZLZR aIQQndCUKKirulbqOe+SR4zVdhuIK9MixtcoqLtcCtESNtgEtQE1wkRhwgHUjmit507ACkLvpyAl 8cianPLXKIjLlOXbTXPi7X0adDZAsPphSyR/XmMgvqJfYZpL+DYzqKbOX7TFijS/H52LkepDMnAK VGnua/ValcQGzzLYk8plvqUR7CugKuVGsDY8fAY7YFg8zHRodmIAOW6bz2tNEhO0pbQvX8tKe2d6 fiMEIWElQwQ0mKC+fQUx8q6QICt+x7+WI7lqs4g8fgblba1wOEVln6BGzilFLqJDmuIWNa3uw0Ux bcrRZMk3dAhesF3Jjgm4kjBNv7g4Oja9liLxKyyWQuSR0pSPsrF0drLciIBHfS1E9kdItjspelK/ 3k+hdx4d7ufE4EecFPIN26xO6Jne/G6j+kX2TqPrD0RALfB9oRQo0G54hxOxBC5KHszzMasZcjzn 43b6+SWR/k6j64+YrNh07lQLsIcFF+3M+OedRYeNZrLNCoag1g2dEp+kKJhGXR4LKbnxByyWLdeo VAmS0D761kbnCVcSreNAbrfBwqFz3UNMjkqys4GRCYb5LENmQllIMm/nYmXxfYPjWkCKRZwlB9X/ TzREsgjiCz4eBdTj6zRaSOzWuivpPaJOBqMYozsjc8wLo6jyIqK+VZsXDhdM0pZXMzNBDY6i2PCu 6OzkgvpeN9PWCVkNNMMRP96IaAiuG0xRp+4nDbds0BShQmHU+s3Hg5holK8pon3IfnKyKKqujBq7 dJsFrCweFJ1Yw1nQPIINFxAc2b1I/ptUQw4O/Z7noGmJl/75Kc68807j0pyQ0GIl415pSa8b7DUz bGZNbVzyHCzYhMepBfDTMLAe62uvdRJWR/U5eo+shUEGbWe7siU9lDRHsxbDTsCxo3iBNpojVvxP 9tx90BxB57tCU1c8TIjMbDUuF5Xf5s8sT2Nkqu7453G0MquPvMiExppwoyGEApv8886b6zINfdZR RjELRgY+SVF0jVohjnhrZ2OvLW9yo3lrC2lBuFjZ/Xt4jfOfeWvK1Qc/kE5yeeL8TWnrE8WAzs0S Ea4pAHEyNo0d2oNGRO+sOWxoiBEihCg+iIUJSqeK2KOUzztprks+9EnwM043YmRtksIIm7sNXYs7 Sdstdst0+Nv5anfae+fMdcfFz0QLEA5+/w/0WWCQQ7Hdcn5AHkIQbpzZWg3usUtrts87ZQ5/JV0/ dpt30Erq+CDebDPvzztjzrLg7zQfWdpzs0VB9lpyabyGBH0ML8uOMqrfbLk5DyyKsq1OtISZWO1e /wQQrRNF0qwZZw49LTvaJxPKOIysnUU/7XXf52hMIbmyDZe93EgjQBuy8/Egilw+RwRdQpUQarY2 R+9xtq+jXtKlgKXqFLCcDa5UydyygmwUaA8e10v+sRysVlQgXNqLbHZSy1mmzgV5QQfOBOKJt2Nk b/s+RTL92nJr4KBaWoJ2hVJZqOtEgbY8eX0ZES4ABU+foyjUpkgprNEUFgF1+vAuCQiRDTHyd81R GGsTRLIylxMHr6e1CXLz4Km9zplAxsCC9CscCQAoWe0p7k+9bjRJxH6AbGCDDqTRhnWLCJCIgu1c bkmN+RqIVmgEWMkxirVBeUTCdv3jiB9zM6LhPZCK40Y1xzDWlkrqSMMHO7Upb4RCEqWBTk5L5sUk jHKi4wGDWS9ab/Ye3wlyXPeGSCo+KKU9d+dSVfakYJ93ftyvlI1oG0E9fbO90+O6cXtKq8lzttaM eomJKy7+zT4Rr/93dhyWJOVRKyJ+Gxxrk3bLBTyWdgKBlJCt0GOP3foE/gP5tnYisfb4To+7pf1a GAdU6HEXJSRsP/GYgf7JOz2Od1v7utvYcxrDSyPv7LjuPSKAq3wlrW0S6T3X6eVZONfbSnqPtZ3J hFKyDzdrm5g21GxOQgLzXro2QJ2YYGUKcT8a6VpK79E2wiQq7m5OVoMzrVg1c6cxhqntr887NY6z fNM27S4KJhbPSd65cdhxJiXfPQQQqpwhwJLWB/WtIdLBY+mdGscUUDkJpShUDP8xUAQcXjfrtBNN RzRqoUuITBO7/aehAON6FVQ/eWfG4VuAxYabT/dBEfc4P/Xgupqzft6Jcb9K2fhvSZWe+3ZCooh7 iUC4umsz9ZSc7pWFweVigmKTtlxYzF7yIaSgDgfvFS00ps3dIpU5O2u1MLqAS0n/YZxtpVoZN72z 4jBHCpA66SccVMweMHBlcnu29eedE4c7eM3/cyyNtj1ze6fE3XL2mvlyBFqyfkhTrUP9EOgVao6i erYqALRat+GpJcHRh3qpC81ZeoWcfwMkSsYdVQQBtLN76X2DQInNa0oB2GBw7vMTGbBors87I44V QT+VSMcEqg2Jgk3Se8CNU2kTKVK8lpT7Td0a/POM6bWcN/jOh2M4wY61kLfjQd52iOHOKixEhwWl YUYmC24QZioyJjL/76X3fT+VlhwtVS3p2eoMrAfOJrjM2p93Phz/3O+IGzlkB5zQJimKuGW3t+Ui T/X91H23wavK8ltwbO1Ieo+4s2kQnj0/fbBIqSHvA/YWWcmGOqjJgK+lxAnyazd1K0OvG82RWtfq 7oNrO4ROLqkxDOYf/Xknw3Xjaj1FAKiSfm23qLRN2CjcFLxQMkQLoE+KNyUr3YTsfnsPuVF25uwM lpQ42CQlgF+SEbzSAhF5EfYHxXP8JIg4H2vLpdcNwknK5uTCBi0HA2mtXEV92Ll/3plweHzur2Mb XjknbrtzFIXc5EvCJuXScdQUGXIjbrbbJu5e7bb3kFsMzZOOLLZqOfgcnYQZxAlEkxlnU22ymxtU Aq/mai3JXWpZvlPgrE0OUpfkTkpxCAQE7oZsCdb4vDPgEIuO+n1qw9liTN9r7wQ4nNqdB5LXIWGY UrfXbal8azycbhWAd/5bd4T7VqeEg00RPXZIAGRJ6rynzGZQJBgoMe10jyMe9p93+hu7ZMRkoc5i g2VuWI5m2lg+7+w3q79/7bQMBBsAh5qiECdCyPak9uP/mIqzT9HJsGyKQBe2NuQ7940X25kidKLv kOyd8ReMzgjphMuA8pE2P2jAgUMUACe0bdnqL3rdCApBuz3JV45sVQbCgpPK/+fX/rwz3wgaml87 DXqH9GK0OYqi7UYszZ5e2QaBtnmPRMVc2RIku/zfeW/EGjGrpduQBltGC8faljTc+aCThVNZFtnP eTuq+sx7Yreht43SNhbbGqFc1TmIpeVVdTJh+33eKW9dbs9PQoKbA4aYPkVRoC28KOR8HX687exG 4YQNuMIaCUFInZ8ULSOWa4nq12BTdI63UqdklwCP241nOktGkL07QdK8p9GkWMc73Q23Qlc/m6pn mY6YjPxgkp648c6ZUT7vbDdWSfrXOgIurK1691oUaG85W6rCxnhSVz/Q7E19E6LZk5f/37luXSjx Bc3j5YOVtk8OC5E4in9D0jGrHregVVAAHUGKpRIJj93PO9HtVraRFfug0wjiWkv0rVE+7zQ33Cnb d5oaBTKb9xl6D7PRByfsqCafocFiq3pIVFtTD2l2iyDfSW7MGK2MtHzwuvYJfNeQP2pBDrhYJ8hU 6GgsY+WnQcJU5J3ihlWvo3qLDEh1fp1GvQsO3lLnOwaXWvqVioDSspojj94JbqxrQLIOhGIPILv7 o47E3pWAI0AQM4B857fdju3ADWWDR9nnQoMH8RBb+VwPU9JmyPwSaoIFdCCnTBLb985vu7U2oHx9 UKctNYZZ6J5NvmTUsc2/JmmgSJJ8kqIou04SR1DMcbix1/6Rozm5LdduUfY7t+3ma13GjX3x5p+Y pJMjMDAUCRAiMJBjgr1Vh4Ubfo7pPnsGNn5ntjmErSJJ5oCITcjZs2/FczvfzJeMWm3fu20xzu/3 0A4BJCxst5Tc1Dqpv8bEf7qpNRSIDSP6zmtjF0kgyDR9cCrEQBEbWkaA+8HbViJ56GPnhPY9OPY3 hkTo+XmntXWRiJCEUJQZUks/AlFDAC6zPHmiCz4f7Ldb22bFCbu2txtERnH2pEblqldFoUvKZPLU nu7ZiITaZikqbU9qt/BxGwxnA7JIS9OU8lZLWxLfRA8WlGDYQLe1JHmAd0pbl3oPpmffQYdSQTlQ YNqdP++MNr5k/br/4cs+cvZJeuez4Us3J6lbYwTMv3yZf6m7XFe70vrvdLaLtZmbYcDcT9kWtW1k /lVZLaYFOPWO2JtkLeop2Uoa9CB4p7MR4SDRkiwJEzb+aEi4z53MvzvX+Xlns/3qtdEkDZIXE5Gp TVIIIymG0hom/UbzKRC3Yeg0hkm/JZTRKg6ldzIb0WYs/Ld0h4v5Q18bSxsNyS11LWBtzu+9ycvc 1XW6Moscn3cuG7ebrD/Y/p9CztDQog2pPJ4vXp93JhvLE+M7kDx/XkcYYHMUxtpLUJtVbCG1DSII F1JSK3fTvCmbncU7jc1ON6iYsXDLwYuRBTAJ9JlAcz/XLr4BYmZbBB5eTutJ2ux9g5ObmPWFmrsN hhyFaaJpcfTPO4utizzxBbUBNHzcpO2dxMZAUnbW5vR9Dm7RIMRINkeZsxKK327vHDaGABKpZkdy 1NuRPOdaJoO0SLwTzQAwcbGogI0ES6f0GwIM0h/eSWzdidt98PzmoElK1GHGF57T6fPOYfuNbcOq O1f7PD+TT1JY1l5fCoLt4dGw0FYdI4Faq/VI3hlsnKTGrL8OH7xtOxaqnWRtn1M87cz6SCb9E+Kg GeVpy2xVIHknsDnIv9IPyAbL/tMeUuc6x+fnnb/GzsF39g8By95vR/Kdvnaz/5GuBkAlDV5t2ydM 2lQ95ByF8TaPoimRxfmILIIRAXW9xGIkrjF1vxM8kAfLcnN4uE1LzM87dw1TNBhCom1pg6bo7NSl 7si5DD7vzDVLjL+Sf8j69wu1eSeu4alO54qxvUDS8zYjIpSMHWpTQTnRFMXhNtePwCT7sZGd50Iv ECMkfxyUmsaCXCVaClhX1CQ92NYqikra1IP//3RRiFkJAHUCjfp556zZafYAJHAKwofOpygKtuko dE6ei/wDyvN2I9f1YgCDQWSIP0EkZ5+u5YPltRVwj5qGBN46eqTyUh0IBzCx9TmMcAF/3vlqzLro FbN1re3LFK0nIqOQcGtzft7pauz9ez6C/3ZAlmTVu4qiULsTijxTcRG8mQyVfL6Y5g2Sb0HywPpI C8HapTCjZauNgye2J2DM1F9GJXKCnUgrGVBsOnJa8P/M2RIQfH5HwMeSblIpdzC8FrRxJWqaMx4P Qsj+jSEB6LGl6bl/C+NsTBGAhZaNINZz/Ch0BqzKtnFYaRVF9ezEQvZcVM7n4I2RMwVnP6nKdqbu /Au5zVGvk1W+fPH+dl6HEBJh2gjX0mCctXNXMklsrQw8HvT9f/VFkJyezeo7rUdhdk9S5rwuFS01 n6OkPI6yES0Zy7+/h9kIPDK2GII0G3ynbdgSbOb+ZzUl+G4QGoAmYIFZ5XBshLkK9D9pj0gvhw9a RnNTrQNTtPl4wBrZ35k/fOTAqPMpioJsSnaV+VgKtFWuZEQp1/1zZCuy9QhAgqBmbYRBPniRDZzy mpiJlISLXwVttJ/pkgbx4Jv5U1mjRwXtmrnHkoBtydKdAhNcEqaI4sDj/+JmcY4If+5eQuphkC0l t728OtLZGNOd1q97fDu3nu60HhW0Va09f2Pzwel9kBw7SQMT/5P3ZdAKFt2rYGwJZBZ9y6xcS/hI j4JskftRgeeAMghRo6lCTUN/eSt4PqBA/oIiZTSLx7hJbQ9JkFWZ7FgWZvfBwuSC5tagQxOs9Zo4 tJ2fFOVrsrNeyQebpvM7505cakN2ngr9L89Zjobm+SmQhrSLaiNME98SraVEl5O6NEyhIyeMarlf QJDH49Es/Tq2cfkD0GyTFDEhoct/wsNVrjVFYQIC+l2vtsKgucaE7eQiPQyz1c9O6tim/RSR8rks qYUN3ZGEQiSa/pMOoFThHdVluvPi1dajsjZK4ziMRtKZtKQ7AnHdLS4kaCV4Prjbxnfln3IT++Yi PY6zWV7b1SGk5hMnKHIyLhsYn5bV9pAOKVxko/snB0/YCrKwQeD/CZAgtVHd2HqhrpdrviWkSW3X HuG1BfyfgpJOvF+X9sGJfWlkQ4I3ng9W0mXZsL6L2H9dsFYPC9tsaE8oGkMO/5wR5EjSRXbCsDer Zku9R57cYaTN8kiR7AoHK7SdkxtUe/T94I1wTqVOHXMgTHJuTP3p/21UpKn3/auIhCnBkCaNP/j3 DjQcSHU8FwQ+IDi8+zczm5SmvD1O6u/RtmCZYPaLOKt/HNTbgiHChtoB4qRCjSGupSja3lvCdqQA cHCE5LnsYHGNDdd3AhIAG66g4ybFEVS73LiDIpw9Km1Xco9x6PkguBaUY6VFdoIBPB5xa78hbef8 OmHszUh6BNnu0ypt29QPEtCLqiJVyd0SiVTol4OlFOFHtJRGNt4Wg4DKkxsGR6kQ+j8GECSTc4Qj L9NBqbLrpZMbzMyKb4kwbcrXdvJBPZIN93WBkhYfj+CR30yb8+1nEY0nVAoj7sZkTSR2RgSI7q3W Ro0T1do20ZGYpaiyvSSRKPOl9mW+VKCntSr7kRBRHrjp3Yw4I3si2ckKkhSRxbcEyS3bbYy2bBAg +RwO3dCRZeLxYCnV+WuWMq3BfC2NKOYGEAtlyHwpEqUkO7tNAIC/dc+W3Y6otD25iHZhQM3BCwDn vdlO0gU3m4mYwm8LMio/6Bwbi0Sy3COKuSHBdk6jnu+gYgcIBmxsn7Mt4/Eg5u7fKwkBLaAbPkVR zC2929mvBcWioQ7LSE2NN3oQr2ZwrREXttmLTNRCsv6gdKZPIrs6LeBAIEJBCQtpQH/xBEsQzUS9 1sTHkGbjSyJ6pFCROUux5kQEPGwXsvIiYigmKaxsXwipdMomznnfbSPEbOMwYrxnFIla9vBJ6ik5 RaK7AtKIKtuZuRuqvkxMWLQYTEzO7gKjivVIGJKvJloXqhlopKLI6G4BCKbxHQGAtP8vY2+a40yu LIn+11oSDc7D2//CHs3MnaGsG57fOWhcFqorpEiKgw82VIlLFw3ZYsmB4g5haud/eDzqkHzD2lGd Pq9311EUcDfW2mRyp0LSvrrJyIgsLxkl+VaLAm65nEGvnQPbFZiiM0MdPJhGps3qE2EfgwTgSsBT A5nb7//zowx8xx8IiZayDF+kEUaw9tjyzkZ7AY9Hx5E3kfhykByBaK1NURRu85QplZVSa2yP5qg/ UB6sZ1u8YjuicBtqCgAhUf6Ig1dJMiqH8l9sG0032pykSktyfD/qD0azpZgIvuSv44hoJgyAIPI2 gjCsqm0jl4zno3WUvicJdKh2w+0RhdtjqolUpoXbvU9nbPHPUH8EvSCbpCjclrrPufeHD3axJVJ2 ujy7yHCbhI9Cnok1U3RzbR2RXI/vCLaadWrL9sEu//PSXaZJY+Lx6DT6riQxt037brUQqz2/3Dsp AcDmjxG2UjEUSYP+PdO2EWG1CzfQQrxgwyW1gRiRuNWgzHZyZoNG4lckifMLqV31tlGXjbqFibAt hBHS6pl1L3VKmNmOMNCe34E26koNGCaboqis3WRc0rpL1jXeK6JrUZFW+QjCEq2iKNCeBkYqmiKC X7OQ2uWkOygOo1074QaMKaJcVoc6C61ObYpw6+A7ouAIWX9hUtJOfL4MQjLarFyA6Eh1PP+vJpvo AefEBpvP5igMtAez2TW8ktRYbhXOxl05EAg332l/B9pNpG0OnoysNGV9fc7TdSZoKRmp7O+CCwNR Qd9qjCBHGGfT06UlotkrFQuS6Fq7zsqKw7kTFj4gSP/Lr/gI+AFsX5ulMNCuSkcMgsRA29tsKc1t 51HJd7OFpW0ZlShlq0/K1sbEvbjZIJkQdYeywIJQXEP02EEk3l7+3zq0w9K2vIUHU7ZGC0h2sts5 aib76Og04Plgklb6miRg5+e87JEZ1rY7ISSte862Nsk0LKonhkoQj0Z1WjWSGSv6EfS3aI9nCnuG soVzZ6VkHb2UIO4EdCB5oNCtI559PDTbGSJIaKaUeL9p0KFdUZCi3k8tFY8HU9S+D20aVD+0vxnF 2TQNPDd+E2qEEIBqFZI1pNDC2jbqwJRECsmRlIIeTddbu+3a8+T5bTMALlT1g4wB2dq0vEliZ/an bos6LL4lagBIlFXM9tG87w+KolxgT3yJx6MjKX8dSeDUs1NtkxTF2YnSdXtfpdFJs1oeSedSvgYA sxnLdv6DG7kJbuNgR9JMc6QsS+ETA+wJVjI3G7JaVC4LaDauDUlxhBkXtxsbkSq27e4+Ca3NIXW/ k7jh8WiSvguS6CqfPNdrbTOKtDPx2lkmQMxvrzUQbGucYIM7zyYpLm3r+qeAxMr5IdicPwZCZvJd 7sCxERwBIAkddlq5nHbKxOE7giliFNkm838OmqIFsUhJI5SFxyMBzV81pCUFIZ+iKNKusjqfxSJt 1rCdPELrS0VIoKHz0J5RpD0qd1kR3Lasq7GB5lFC35aR9om1EQlAQhM9fybVqF26AjLx2jOkRabC pHZVHwyLvBuVZTDdFY8H/chf8hH47vFwkObfde0pO3hKI9DagxdbZ/ueWrWpV+PXzgg/0lkUmfUZ bI5Onlzr7EM925IQ3NBREcK63KEoZliIROPJGQXalswiy7RBd/9J2ejke5bRGHg8qrJ9148gmDdy vTstwo/Q0KN08loUIe2LH0m9uwoJ4gCboqiqjRAI62cPH3yKQDXfk45bIGpVkOWgoHPSoI4zRDra xmQretvoxM5kHrNlW2TBycrIMgIynJ7w+D9FSESwgc7/zddmzInkETTqxdhIUJtzVNa6UaSbLM9Q 6486o7Mx/edgp1GuYIpIX6tX6GUykhJSpoC7setvCbIZVbSLjqGdkw+209q5L2kGtDYfj5Bav9Qi E5KhW2KbEYBEjP9ByKax/bKbbyFGu6WRe6tFgTZNbtFNqz7YrXaO/XO2Gnb0zBV+kB+iuU+gDa4S ID63oE1A+4wCbQAQcJsNu9Sq3KRPbnniUmZsEBrE8/8CIll37jtjmzElklgtv78A+UtueAdOg0P+ djc3qRmqkNAXcA/GR3s8cLaCjHaQXXfOjRMfFZLds8QycAXQldMmaRW97l/4iCKwVrlgLdy/NBkH eY7vGMFs0q9cZGEhey6yojA7ER/RtvOPC36IH7f9qc33GsDqXEgrgpCggw7eiNbT4yMF+8dNe2gm /ieEzJzNDD/wjnqbbv71kP1XCCEhi60Aa2GDUDZg2bJD1k5wgccDTuRtRHL1odCSLjxiheVspWut XU5krVbxbye18ggywXJZUxRCSLpYI6v54OVsTNJZR7zV9j4pAwJyVGzPrwh2EzlpBkRa9rZRbQRl 7K5mbZdRC89s9gDJ3z8JIJ6PAG3f6lpgfzL+sDkK9bMr62rlqrP2amhkhHrNA0ioYtochRASNo1W YZRtdcgmphasNKA0BwFtlNmIHIXhBVbQma+SnuDoJL74jr9KbBB+80EoG8ShW8to8fEoxv6lrQXM 4b4F/xVyIrGMvOAvlU2ysxAc7WrKP9BEqhZArhClzT98UutAg99qbU6ozjGTOnd3ziyxJcj3d1im SQyhPMjRFVeziRoBeAMDBG8Y9XVIfzaR9yHQGgXZjwgyu2uFAIvbqV1RlM2qViFoSa0jNPYEZW+z XSh7hiKFJinmRFKaBbR0G/xeA6btXJKcJJzY08RHaOeIglQv+YHYTL1uUGWjvu8CdxwDWkSKIYEl UCVyz4znowDJZ4newAnEkXkPpCjMluFtIpeHKynJyI5h9rjCUWcBWJi9QvWRIZ1xmbHMx4zlBEQD 9LxMOG2ahUZJJzwArjwDVlzgNWmedolR5IrwI9BtQBJCZISQrrzF4cHJI/xsX77kvxTriaFYPJE8 QlpRoL10sVEDhpM01TwCEIliBWZZ09jzxyTFgXbXEho+OFqrZ8qpLuX951gAuWQ29EogKHnytXmR 2pBs4+v+JYhQB53HKlFUXEgT9nKYsJMRNDweqWv9F6w1H3DkigLtQrm/3Zi9buoh5WTEkdVYQCr0 T3PxkRUitVlc4w1mg+22BBAK1o0C7QR7SmJHkCqmhgt55nrBI8ib8C1/qWst6B8ijAQsmfd4P8G2 gbVKxePBuf1rkoBAnP0WkFZIiyQJeVQn2BYz8mGoTcVh7rZZp9W0V1jTZsbfkMzY4Fy2koGNS7Q+ Gui4DSmPdxJsE/SxskNIC3Tt+C0BWhtExrPsBf3ftlxrATNYmf/5ffB40PK/1VqryEEQ6d7/UagN kVL6aLn6SF8+SW0Na+AC0N4NZrvCUFsrqTFG5ODVkXO7pVSl05YmMkHI2FB/m3J0eeVHgGwMve6f BNtFJ2AOqkSeAKaLFHnCVjweLaT/o2VXL1JrR6E29SnPz0CkKDPceXkRrVwTjROkWTt7h6TITeDo 5unNwRfSzDiWunbbyfuHoJFI3SbaTmg9uGFrsbeNUjYeSGnfQcsIiq08tNFuwOMRu+bXgcQC0tUe 2++h9nmKy2jl3Lw6UlqyKdpzW4+tZYLzOUWh/Ijk/QWuaQ+45oTaK8FojBrtsC7fqKNMEGLPMgJQ FCQ8v9go8r9DtDZj7dlJZONgCCS4MUvl/2S1+z3UTqy6f/Uh0WFH9crmKJYfAVokuxICTFMuTbvX 4TbunahLzlEcahPFlui+zcG32sn2B21PGCKd+11i2nhhVprgdfIY2g69blRlY8rGRESDYBGw2Nla Un3j8YgRWb7SEb1S9ULkDhmR7EOOUW/mv+aFRazh+myD8RfnKESOMGUbg6rRHLzKNmDGUcivQW8T CQqlNTMbOOetudese8R7bUfB9mY/myKWNihAmgvmH/i+jXUUQUfaFWjnHG0cSd1D7R0itUnTMk0E NSSttV3a2RNXni3dvRYWtCcrkYudfw5e8z/h/Hn1QiI7fN0hS418BFUu6BFCAtWrbKi/4jv+0h/p nZYsHYe01MIA0arsR448N56PYBHf+Qi6ywMiNTZHUaRd2TwaZX/p2NgcwT3aRbXGdE+NHSNHRNDq xQdbR2jOQ1iLaW2ac3e5Q4IiOcBmGCwgCae9ht42CI+og0xTZRtUHVkQExbVH0d26FuTfuGPIYe8 bzN7R8gRrB9IIO1qPK00TIb87HGahxB/fA5YU2jdocrflvAIIe0c/DiiRn4jtw8KJHMQOZFQKuhQ QhBIW73sbm8bcUYJixj0iOKg02gXuFuqbsbHg3ztoiKKfBMIQLo3fyg/sv6j9AN+sG81qhJqq+1i XLYdFrQJiFxldh+8l91gNgKdY+D9z84jXASo/w5ttvmz21XUZHsa3xEEkIk8rU2bMQ6aI4ZvXEYD Oy1U1f7VXoNtct/Xf21HUTbZJgXMOZ+iblUjTBFrJuJpdWNE7hg5Is4xDyUO98Q+uf7YaXOKYIDI OkrBAdRwtUGNyoEje+ptg9OI7OyJBpMNzvZrKsdAmBKPR9Sab5l/wGDnvq2jHcXYKChk+on5YbSu N0uS1Ab9a4ZrIe8INjKr1DSe4U7RWTpnVVO+Dj4GTQx2aTWdExehhntps1S7Y90RyrJALsUG3Wlp gETIpH8OPB7J131bIJmlsFdGhNJ5/Vbh2ETMEsCWMrX8cfIQlaQCHmPMGqryB9GZNNeZrXGw2gjc 2SuxpFAL2GeWaMC6GN/njOM6UeZf5uxr2xsHXmOVyWyl6ijcbmURfU7UkwxPgdny5AdEwNFfsAhE s/tGkTmFSn8kaiGmM/WRBkqlwCMNmLSsiu12fA0xAn/UI+ved/Dk/xw8Z3/x4B6YOZh4nbyWsTYB yODYuNoPY218SwSMoF2mqJHDqZFApTRBkNv5RD4fZCQXY9NYkTzxxpy3iUSD6iCWxJIq3VyOEQ4M B/xDzcAKSR1pgzwRUojUZp2NuEEbvCYJPV0yk6AaPYDcpXkdvQYS5MpKKl/uotneOAJssUxCGxzo Wp6/kX3IlFCxED8SHqwpCrrHDbrZdkLoBYcbn6mwwi33iLW8CbCWNwGaaFeSRoJVl81U7BMpCxaG BBx8SZUh1B82Xyt9snE7Fj3oE+juNT/H+CJIAl8TXXVo09VFRBIHA9ucUJ9gOmTQfP5f1HZM1CYi ul0nkhSF3olM0iljSP4jW6JyY93TmaTW4O76qL+ktgggtMEmqsEPcS/GlZBHSJnlkkJQfQIpAJgM rylREY9fE3RMFH4PqmzLscgKJilRcqVu2EikEE+Svz2k2BGCUOGdqbDUTcX2US4KsMIuhKdUXwk4 Ad55LL0X+ZGFtW4WlkYXXqJ/lXEB0RtTomQN4khqCCD13BSnRvLhxbe07YUjIGAXZ6v5oImCMnUz FoCej8y2voVbAGWd9cu27W9ZkryWQ0oJi1Ul96T56g7gSn/uvSgMFxEAmjg+2IqqSNmXZMnOsgO/ gGJSgEvkBKQaMXB2nIObo6+JNDfZg5PXLwchJnMrthzOZPP5KIa6cgA6zwssLS5/i4Ds90M4SQYg Lae5USqWjYFNvJ2mCnglO6XCqjd7lVUed/XL4+6kITvRyw61ShgNbsJvufFJqc/1AkwKjjB9TcQs ZQ2u0HmjUrFb5QFYU9IA8TzE5/8XTCDKEvNRBcgpCsm59EG/8XrlzM0xJoXtQ6vpNndwS5FKieRb UZ33wfETO5EsyQpBO7Gn2gOLdWekQ4AwX1TgtPeNZLclBtzvoGpcR1WN6dqJcfh8sPXqN4CCDcxU 0nOah3BuTG/NYgOw2MSImjCT1L3YBFmA5vMU40wYIBQqlkg536qWJ744gf1UJLU24IEE44D8SEfw R6YU3tL2wkG5yfwA6x1somqZcgMYjDhDv5v2C9At/uUNpEJvSc4oEO7Xf7Pv5fVvljaUvwCfa7aJ IdZERDbVATh4BxxkQHqOI8frBeV0lApwM3U0WOhy414uzV/4D0Z3S8QraxCyK0GSjwvqXE98Pjqi fjkC0PHu2XehvSSauWgO5FuYI3yT1YIlscmqPkH3eYoAJ4larmjQ+qB5OlE/BCateplnQlDOzh2A E4gUCFsyyTualOTYXpKaJYSz2KBp6ih48Mo7lx+fDwLza3qnvgLACms88xQG5iTkDPlLMB8eRUUo iHINF5mmtgJLBjm0mFxUaV+JCfFKNyE+fwNskoaqcyfs6WookE3fwTsc+8JNIYerL/lLG3BkCXLm bGV/VMIbYQPnXhh8PlhO6Xvb4TZouz7bLqqF08KrpjLNF7jC9dMKdGl48emcvdstXUObSSgLQGA6 3cGWU5tnuXbWmioenEIUdKiiNApzs8crz5uR7X2jCIpKSliANgics87BMY2yXPl8BBf4JTTZyAa7 kWZoNLko2GBqbtKaHl7qTS17qbdBr86mKaRSZtVVePRw8Ho45nsBCITyyokPGrVfQaSAnS98b/Kl CUpNKYdekxTiBGexazDzO1BySGv4AWqWz0eN3l+epfv8dnA18nl6j8gVRtO01KtQg9eNdt0gfIC7 LqP6pF0Xe99wu4G0YoNTTnHZ1WLQ0wIqOb3dCrXdgUvQ8SQgk8KCwG1SgLZBqLgPqtWd+JLSuQjR Cp8POlC/InJ0oAA4uPMUu99QrrQkB+m0q6XYxEBm2QDVBAszQ79JsZcRvfjgZQMUoJDWsxIFJjbS wFkpvAyAa4FolNfrVIgKDSelqtRgS2mDcuGNXqAJ4XU+HxzjVwkvC6yqOs2dqFDAhAtqL9NSYpLh uLhFzzQSvUZ3tYAcmE5mK9m1RfIJh9v0LUVN7IYeEiijYA3QhWgxfqV5mfly12ov/Md91xKOMxt0 360TnlnxN1U+HxEHfkmY082h3zAz8J00W5izF8olV2SjLmPPr+51qO1I3RwYT6K0SYxF78kHh33N WSF9yzDzxM2FJIOTt6DnnNityyVdtE5v9saRHj6JXps9Ow5WNFhq05w7rBU+H8mY+0nOtdNghtsf d+4Q9l0YZ3pXnNj4ZAuqtDzvRN0yVOw+SduNXujzwsFbUthPrEUgHk9n2iAtMCuERyiJYzWD78Ql tJ+kkGkFSsoHxePQt2ZxBUcinw8Sl1+qbxlmrxvlDJuo0IBSR3lrtObUEbWTZ8JtZj+icnMn09CB kp6JC3qKPtwjqkDApnUqvoPzj4LVHDiYFo51LGuLx+nfwi8JSgaziafbLl33h01NwDTxjTCW4/NR beVXADUV1t9pCivllF2XXablwUjjWYPqAqIyzmjbq3WhCeXo5Fkqvxvf+R1ogUPCOA1hJvfmSdOR OKP0C8klX06y6Q49KE+cwSJdGz5o251sW+pBoCzy+YjU/K1ngqx45PaspgiXspq8g1c1MGHvVBPY tAgv2TTfOzx+bTWF4fgQ+VsyQv3xe9sbVGb5mQxaLkyCd8joT9iteS3XfgPizd74L9IuyA8czrnJ VYS7JolPBRMPfsC/PE0lEl/YBrozFaJTQGQthtXBjVckH7Al2VU0U+iZb5+pEApOuklPKv+mW/4d cLhoc7CoucBeBtgcPpSNEMZGUaf6XHnb3jhAFhCWuhB6cRA69eQtJ+in6tY5G/WaEX/HY012/iaE hPetaoZWlFs9qn07nnMPz4TP/WrYglquQ04OvChdBHYlFlhWekiXDRAbGOMiJgdkpZpoN9lydO2s T5l8LnvhCIGBEt1JoonAGPC35SIYUE8j5uMkAJMfEDUU1teKwsrs6CP6REVlckIGT0BwrTv6vPzd whKucCpq8XGiQqAKT/IxdESNe0SdXCyjI7ynvAQALeykFUKEDdjqvS65gKEXv+SvksFq6w6CGMDh gb2Fk7E1Ph+RVL5zPNQiR75WOTl0pMRtSrsuq9RB9bfaNA3+PqzUTZTEbJpCTcEuEXj5UqXHlwry L2dtc5pKAaWXCDIkfNTORwv8ii6tae8btKfEc1rPYNTCUU2b+sT8fP5/cRSitdl3XBBVyA0Zthhp srBCfV6DqyRHhhWleJym2C5HFjDs43HwpkuheBTtcs4lBTlvNF2oMj8RMGUwenEhYtvZPIWIFRJ5 +Cug4YkOBf/edl6RkjcnSdJbBqnwL1MhlI56vgC6HNpS9qKSQbuADAWajTxVloMLpeB4+XV9VNTG k2KuNKrTl0b1CTvPAcUW+vkLMRWZ2KcG6cOK9pDrwZoWXA59KSvd8nZNwwchdMYEc+tHLQU+H4md +nJiPpgTMNpocvhERRE5Sr6Xolp1PF0YXSrVFlSnz6YmKvaDpzrlNBXq9dVCP//P6GHjrMwFNs2J pBC2TcpVIG5EcZG0nmIvHCmeN6FWtoZuCwrqIeLQnbfl8/+irBQVYmDAdGsroUElRXfO79K7QXtT bYYQP+ebeTJWtK584/3hUKkK+So+2MY7e5DC10t4wzL7tB47TiygutbTPi/+vn/pMCUThxvdsb3o x5O6rAJ54FH5X0lvoFbm47+YQ5NKmB1TNOeSDXa9y6n020i4xsI5cKkEb6GIQ1d98Gk6/3Eag4LV 58pOqZFtlyq9RkHNQ6Xwwgz8hQOaGJm9UOXxwZi95/N4upx533w+Aq9+RwUQYxo1P8spRIpL0HNP tzwx6RNFBdN1vUd2icEcOlUOar5VSelwsIpBSe0sqaYG3rn4iBE/Z1SlQAzp589EQSnD3jjad+oG 86ASGQ9zVdFI60R7n2s68wP+NVPYsIuUvgdmEPtVMtAE8N2pGb15a6oXZ4rXvG6rMzasJFK8CmLH 4dZWoHyBfgF4GWh6ZtZWCkR0Emp8eXDr1a/2eWBZeY5UITGSqQ2jNiIwPVwx2akCII0fEO29u6bE nCZHtN/oILatZDq8dnM1PdS4rQ61sv3bevJ7J43lwLfy8lgSC8AcnMeSoRCPTjfkPc/M4aSAr/cJ OaHn+UNbIwfX52UvHBU25VntRmjw12JCfHLJTHjoWd2THxAF5d8eqAR9lquEngP3SgSplGeiqY6w K7MZJgOAFok21cobVHWD0L6yU02vDepXcrBjCsZVGWBfLCoIBEGyF6Qo+ugibGAfwSk/943/oo5l xZvZ4020tkGMYryJymbgYKl24xccCkLhEIrwiYrC8sHznMppzrBzS71eZnVlvUHTe51TEXilySm+ sTF8xRPRHjm/f6XJMyrAQJdbA30QiUSU0XwoLf7Cf3TyWtoSj0crniVvoOCLOkwnZJv8gCB/Sd8A 4AG8Xl7PMRWWyimsu/aw+ABs7G4Au1HvRGHv+UT9DV1Bl8UHR/msc/ZBiIFcxAbfWB7oaB6flQa3 AYh9uLz+XvbGwd4TwG5Tjai6p+g5SEsxqb28Op+PQs5vTkJDhbS1J0IIa+UQy8XNV6wWNUQp27r5 klVYUMb1MyqMzHVG4WCzwbHSaeGkpfTHOP/r+EcA7NDHSXyDPa6X5VatPDSz7DrPV9k+CA4F6VZe fCdYWHw+iDjzd23z/FrnrctlAOfQzrLRhFBs5f8nDVAkXwJMEyOs7guYunZIhaE5JRuTcXzmemIE iMjuDYetuk/wtElfGA3FfAAd6Ja9b5+qL3vjKEbI0pIpPuji20iGqw72yeeDEOFaNqj5B35CuUsq tLR04aZ6jWSEFWN/mEQS9T2BdlaIEHhaQgkCM7QXC00crI2e9tkjwKnTCB1ERLaQcXidUBo1WPz+ zInzoJFMDjwt71leUbnDYCW7DlmXoawEaIPA1PI72VOpb36bNuTQ1JJGz+c3TU/JLjuPo6lkRx5H pvlX10dFVWByXQbXEwfLiQfoh13eFg1gnMU9msFnHAnNHLTDLNUbCqQCW0vp5UKVgCcUREaEvz+H HERUJnM99NFDY8vUfiM2zz/3J+QMnS3pa3Fy4ge9Urd7W+eHzdGbe1vnwNrSz/KZpAeSrh4IbLpB emERGAirsdl/yITYwFtC206gsVTsfYMm1Ta3RqJ8cGEo7zhR2rkBRdIoix8QWTes7313fqtenzsv MLcE3ZXwFZaAlBOzWK2cOCVH1011GDhPcbGcxV9JgqxHEuRcMicw2I0KRXBdStqaBUD1jrix99vM kzdRDswtrUmFI6/7oJx47kQJAXRQK5+PwHXfxJc2yq8bL7C3hBUYjT/p/S4AMK212fQE3dl6eflK OeTY31JeehKWa4+w3PmKdMIaxPXI9SjpRPdmaCDhhP/JUpaTBI+66KHBJeEGLS2J8K3u1oQdxjQ8 0c8O5PMR7eVbFaRAYGJ/LaeQ0TmY6ZXkHAUSdjRPrNGYdopoL5ynGFBOW8ZJprkkayyEgl8ARXdA Mc8Q8KIeaEvF/FLoB+LwlW0vHPCohBorUgUvuBCkgVZPts3W7jxZFz8gKgLfyIC7FFT8/VRZAqdL lCQJQ1SEKb3r7sHmztfoGgbwFmwGVpfZg0026zT4TM1egJGhmDP8rQus02ai90+ColhdT+NTruk5 cLt0WSfI7XHAbfCjLtUu5GbCBJHP/0v6gjxqFAXQgr0zFeoVorykwMmUndoojmBpxfYelJO9jx44 XsKonndeJZCFgx9RqE4ASi6vwsRJg8AqTb4SNTDvPIkfFFheIk1j2yXv4oOOKPh0Cm25QQ8KPC9/ ATYxT+TqtBtCfV4iqPH/Jcf/ErCmaTq/RncAyzZYCxBR0wTUX+Kn80HJi3Zp3uG2qJDaTaEy0H3Z jSr8OPjhw0Cq5SVRIX56iZ74rolY+ymI9LwQaVpENdk5Ljz9fyaIL7hNZ26oi4eWBpjDXSFbND1L 4dKqVi6YdTl1Ef4IIuQ12nRrvwXTg78fKPtaffCMBb4iuQuLAXjd3Fzhg+L7CFdRmjQnh6Vz6XV+ TnTJ6HsVFeqKySKclAF9WVHNF55+mx/EPtnmR7OKSHXYv/i8BEx8qNPFYUtMbjz/yF1GJjT10xpM HTk/L+GSlg/DAPSufbDwuwBPm5HiouYLgAED9YZ+dQMIAzYbFlZOYsdfgiW+a6EXCATqfVDBF3RL nkTn/myfl0hJk2s6RQbcOMdKG8Q9YXpe4iQtHyZTg7vBFHi8d9DNOhUlzI5eF6fnJUriB0nHkfbn Nngrio2oUWQmnxB1k4Y/KwmFlRq4Pj1Z7/o+PVn0e5pbS1ZWWIkOjS4WL2E48nkJkexPLTY/2kvo qfJCo+t4MD8ssJ5rf1Qv8crSqjNAMgfVSrlc85MNlo/5o7XZfbA0d8BfB5UAprnIQTYBIYWQC1Bf KxoHtr/og/R5iY50/rCjCZFaH1QsqVAB56GCBvlLbGRP+/5iURjx4xjFV9BLaMSnxDsYeQyboQEq mNvtPKIpo1qG+xIY6QCiTOq59JcPF/98fmDK0p0ZAhkK+ccC6RklE2QYQMnediavk5e4SL8nJZx6 EfmgZBeTL5C+1BZb5fMSFGkJ1WUzxMP8bDHEtjZBLxGRjnVJXax9U5Hqto2DMinWnhvFhEBf4iFN UCNvZRO8w8G74lQOghUQhHcK1iiFd1jWBAU3Ix3yPcaM7SUY0h7D0gFmQwPqwUvR0BoUfIO/UP+8 xEI6we4VJjEQgMC2T9BLIKQjmpaN4L95fTK5Rno7wU/3kBG2GVIljo7obspExQeHNQ2AUCb9CGYD goAIXjSuETDSi7Bez+ZJSvlLGGTLVBUSVnE5GA1q1dal3rTz5yUIsldcXxOEXKDTpoUT9FKX1MVH OmtPo3sRaTqgqadycTq0T5VMWnBIJwIt22JVkoMzD08ktdAHxx1/EtkBtgGseFH0zAkG1GzwCyG3 6I/yUpPUBFH7qyUCCVtiKiez70506ImnIQEaTFA3YWvdYqhcTebTnKCXcqRWLU/pszK9tVtK9qpI lzMqqyIQNpXGRbCCepf3YOo++AoCIYPOFVC2PNuWcnyTbp+oby965FxgHL2aXkqRFiWK0dOLBiNm Jvpk8xoDIPWlEPlyjSHeg3h99zAxCqMHmiznnFt+TO9Fhib5PN3Kk+ee4FoT3TCaoyWlFMnJzn7d mhOAlYQ7A0uxEBmREQ2WXyattaSdfn7po7+x6G44yv2VfeBBfd6wkYD3Ax2ezxuJTvts5q9ZAgmv o1htkxQF04lae9Bn9DR/GDX6nBjsuUtmn2RgsXnCSZJ7PL2aOdhldq6BDGv0ISWCAZ0GVENaomgj eDdIA62fi/CM7xud1qyG7Dl8UPkfqmxZukSVjwen9Z5fkwQRd0BVfJLeI2pTxICIvHe9x0pe0gaI 0VGDFJsXziuYJEBrIPZAzV0OfuM3BBGThIJeiww6DOU3plTlbkQ0BNcNpqjzwt8jTx80Ra3nVaVV ufl4EBMNn6IqEhmsmp85isLqWoVXWh422tk0SAu7JGiATRwZEBzZvepOk/vHwzE8cfqqwHzhRJqo XjSmZRA4/5lQTmQH6F5pSa8b5GWFAAoIwNqgDAsNlmEAATRHoiNp+ZHUfmT7ATUSn6P30FrwFqRj w2GVqVxi7yrDYZWNAmMq0UZzNGhlCWVjGyw1o9/ERs0QPExYh9JnBqJXEH474Vt5OiNTlcfwPOI6 qmJbwOauGn8HfTBidM89+XnjzdkVtb+PbWYeoMnYJEXRNRV6C1V5rcm9rvFHm8axrzwpLL1/D6+l I7ooIueDbbYJCbC96EN0NjBwrpv0Qqx1QHEy0AF2ag/aEL2x5rRdEo7rBmyFDWJhtqRr+Ex2KZ83 0pxyCWtHypkvZ1YY1i2BhBF2pVZ4rQ8SoDjHqVBYSEgAgPA0SVGI3WWMvvIdHNgF6cwkvT2INHQ5 NiQYJkz5IG0/kgpZcJ83ypz+Snl94tNt0Eo6U18o4wWj8s8bY04r6VcICdrO6M/VFgXZU7stGycc if4aDgIY2+0I2qiWhbzR5exq44nUaR7PweYIouUrw14TJ1JD0QhB5ITN0cQ5xsjaWfSz63Xf52gw RlroHXIQaQfEsrGtD9n5eBBFrvEdZuNHgtCmzdF7nA2GBZu1I3lFFjB/qxWh0aNaEfwDTSH9jSqn 10cFcSemtBrsRDqRTJ2gOBOYOwQ2IIP+ZALAd0Dw+/aMut72fYoIUGrEetqgYtrJ9llIpsjV540m pz82fUdIGaaGbc27jqJQGykLumkmZgVcfPY52qU0q6chErA5CmNtJvqbxVYNHiKd6dhkpTTy7VIl jLBQDxAdlpxWe6r7U68bTRJqRvAh9EEH0sCmFSwSAIko2M434ef1D7o029FWc4yCbaQBZIXfzdaa 16xTHRdxU52c+saQs4VMiGl9BpskdO0yYHvYbOgaLWZs63zrj0hnlTaEAi6z+/jGkLsRHeLHmnxQ SttAyZe4QsmfN4KcpWw+Seo9LgKDfY6iWJt5D3SlPGdrFOSg2C7EbexmSwDT8vp/o8c91z/og3fw hGTzXkvoPG4IE8NdBA57UD5BRgKQ2nMiZXvf4GqjHUFLBk2CuYMykvPv5FfboX/yxo/TqZ++AwDE tgPZtc1SVL6evNr6mu5DOBidCYxbbn22bS/vv7HjLPeXWePuPlwIPPxeO3ERC/Iga1FFjj3PDEee SpqzcXS0lN7DbSdbDgnuDlgZilYzdxdNFGL76/PGjbNZTl+zVArOgOLh9hs17imQuEkKeeEs5nG/ WVcNJTb6RvBQemPGWQAj6y8C3DjYfjvL42x8CFRwluAQwVlCNpIzrcAbNIJMrYLiJ2/EOH0L8Leo tDQfFG8Dq7glIDfr540XZ3d5+tpwgFuNuW8jJIq30dmk7oIL6fSab6mf5VYtJfYRuOGiWrZwpaBn +uAbrrF4lBglQW2fMpjnbzk/gaR88bdaoVamTW+kOJsjnUbtDqplj35+BPXS6vq8UeJ0A2/PSYqr 7QPL6ZMUxduVQKS1rnpVZ4DDU2mUdvsh1ZPbN0bcVyh5Dv473FLSSgXqcTiVzm+eaBEyExgVZ7/h jYUAtKN76X2DOKnKIq1nH5SunXAvDfl+zvV5Y8RZwcMPJdIxgWpDnd0m6T3ehggoG/u9bJukvPzo 7pDN8nrklfh648NZdk6UVhXNsj5HNyYIPQWapJyt1ielLYExpaQAjca+jL+X3vf9UFpDh1KuPnCS 2vlp07Y7a3/e+HD6c/N3SRK/Vn+CyTc6nJ3cVM0p7Zb9vYUNFLclvdhtuJZ5Jr0H3DCiR1Fyo/1p w+089pP4ty6KIJQJOI8JQQ9h8EgXb+ZW7HWDOSKpcu6sDvZ56yFwMgw0JAxz/ujPGxnuPytJ/+25 c9u+2y2sbBMeOdmzUS2p9+sgm4xXAUnh6t3Z94gbds1kVjb6eXO40ST0lRu7+31Dx0OwPzT2B6lj E0m7G1suvW4QTbIoCRlfHxyl1YfO3bNSP29MOHv8V1aSEPKvO0dRxF2nTFLyNW1s2wOlubfT4Fby WtIbC8564RUFEgJJNdgcQUTh7LDZeNuCOk/5Yhimo53RzNJagruUsnzjwNleYywJ9IINmiI0kxlY ngtufN4ocIaB+K5uN9GmPEp6Y8ApthpdkOBbty3TCwB1Dy+3ZbDO1cUO422kYzvR11KD77UBrmCd nWryI+9BxnNdXEbnKaDUXdIL3fTPG//NmmR0eczyt8qGa0LXq0q+Hsijzxv97TW3BYRt3D5/iBPh FM3VrmNjWsmmaKTqgEjaBGmKwtI23OE2dGJ94BSVlIgTAF0UQvVw2VY1pVF2l9QSlKK70W+KXvfP OWrUAeegGgkUeZgEYUd/3qhv+mvT/BVGsl3gR/Yb881a2WqM9OYVyW6cynNkr2ng2rMom0novRHf tAugvbTJpLHBlhHQrouarrTaOTkpu95NfFiK+sx7Yjd72yhrqwR59uKD0CIFKBSe3SfG+rxx3v5z YKsDDkPN7KW2N8qbpmhTPa9fTwJymkReRr3bgNqQMRBQ+43x9mS2+0TCyweboiqH9U3uMhiLEA8A VBJrASLwJxC/pxG3/OeN7/ZUbRsqyziwUQoU72qsE2EkqQDk8nmju/2nSGJsgZ8mEzlOUhRp08WI BgQ2SfR5Epq9q95diBYtvo6iyja6pyA+gJikQZMEIhI4MDS0RqttEXR0ziDkrwjBqFc5pQhX9LLR FHGLLXZtOeg0qifMUuh8PuDzxnL7T5wtxyZWVO4yeg+zPRfpfbtvA/QcvIckDWLcaRN6P5qhqKyd SGzfamnvp6U9J3goY9HUCkB9Q0dWFGdPOE4879MfYS7yxnDTxUm399moJc/h1v6HnD/PSfF5I7hp PeT2fanlBRy7F0je+G0WGyc6NplbA/4xW+kfTuPJktqNncAA8o3eZgUa1vwnkf4cHPk4IdJwLgUr /c/MVISiFpk1vgKIjDMmie17o7cNh5KdvQYddxvUaUvAn6p5NvmSUcfWJ6no5VAjKT5JUZRdKW9m BiAMAkSNEHakuaklQKM2SXFZmyl/p8o+TBlxOOA9YG1Rd5fzJ2SmKyUC6Eu6kC8WJbX9ARu/EdtU 9KJk/J7SNiOcSKLV58iTdXNfmS/5r04bXg9zfH7Bu9uiKBvJJwE2nq8lJWksIjEqU6ktJYuy32ht hiJQKsIQestAQUBjGKNAGJSQ7AXKM1cSYGFnCZMvnC+tbeE3+ryx2p7ePxgVMgBFpszffyOmYJ0Y 5dvPG6vtFnFsv3GmSGqi4IzmKYq0WVcpy52I0MAd19WaekMq2/ZtDvJvpDb9BdLyLHKQL9fVukM/ A1JrzGsn5O876dwi3aMhj5TQV5MUAt44bTdeARQ730HHUgGTV3DanT9vlDa9ZPnuAFARNBePtd8Y bTqWUheRO/2Y8OK8XinIvK5gF5skQET+DSTZg1Hidi13HEvp/OcwbWBeuwrMG4g47pALwikNRSVb S0QcfN4IbTogpMaceCpBC9buqUmZDAbQdX7e+GxWpf6uR2YUUWdZHm2/8dns3qZdAdiMJv4GPSYw t3FAqVZLFgQqMziW3uhst6B6llBmmMjBYdnoUwFWyEk6+U6l9ltHH3JDVP5EUK7URegbXzfCtEkC h0E3iPOapJMU7sqO5Dn91ueNy2YFil8dSYSy9WK23qhsWr2Lpe26+o8r6tOlialQJat7U7B6mEDe G5NNn0SPhvPQ9OHWI6HognIVeO6LRxNKbYkce7rrQO/lpm1d7xuc3ZILQuhgg0FHR+nSP99Y7WG8 Xb4DJXiTtHHTtjcem0IHpiTwE1G7raCR/mOU5JqntSRTn3a/vdHYvjpJK/MEX+4WCLFqdFlzY0oy OoAkVOiC6CXOT1zIMNKwIIB0+88bj82qXmbaXH3QJJ1fIrMpeUKO+nmjsY1L9niOpPNHTTQ5bJLC yrYqJNWRJCT1eqmtuI3sCWqypf9vJLanJ3mWBOpoGm76j5gmU/kckf1qFPcEoA46EOf7QcW23FYl kjcO23CQLIw/U/bB8n/cN0SQ1tY+bxQ2K4z/Qm2dNUCDJJujqLBNSnwZefrdVstlsK3uKGRcSBYD vBHYLHHksd3ZT+Jgc9QGILD4Ivo2nqCJ0sMFmSbh3/idLOAGJ4LfETEhGETuNXywpORkigbT3uPz Rl67qfEXUwQU8n6B7G/UNWv/k/6/LnWtQy5HJZLcb6+tzmJd2zfmmlWRiERCu9kGT/9PKtjn5s1W oMdl2hyoKHTW5cbj/qlVFBa1KUOZCWjXoClCpU1VpJM8fd5Ya3aa+eWPl8MpiPqWT1EUbssFpVN6 z9ojuzw9JEciw+TX6BBxuI1DEGU0HyyzzRBLx7VOZUUKl7LNZM5pAzH3PYxwAX/eGGuWvfPELqX4 YKsIRm6mTT0/b4Q1/bH5G8wOHX362tgUhcE2O0izVQdrz34rJFV2VtRv2VSD7fykqGOrnJYHNwcP ts80YEnKhqG10VghgbQIJTXhkurOlsDg8zuCm5962+dmnD4IHwHqKH0fgNvG40EIOXyjVYWbP/Cf 8ykK42y2RqpUulFnyyM5nr1TNZx1to0Cu1ZRVNFOtIbZnUESBz+LEnRbZC0A9t2qvPcaUuhGH2jc K97T1k4LMSQojZxsr9xBy2hTSJ3LqAw8HjT+S/uaIxB4ztt4YtvDMHt3EbNc/K7V5rXI1IcvI0hH Gq3mPcy2OTpxBEuSHHynnT0IVe3GQhukN7IgAghLIGSKkNyibNkK9JD4SFVkepDboGW0KKHDKdp8 PAIi/0Jr40prN4DsYUmbINtJlL60EJIhawsq9NmmqBSXL+0RgmRM1teALbLBpgjk8PNAJwxhIqJc 4rchFqZ9L9TMPff31w0ykSzbT3FEp2nroQyZKlN3XC14PGJneSZCsCkB0Jc50sMgW25MLfmdNlLz sn8Ruo397OWhUY9K2jyw4R57BzuNAPaDWzN56yc3ahS9mUwAc6Z+OY3LrGBL/EiPgmypbjWsUjis 8RxjAAmScVbq3wqeD0iQ8zs4ypzscZPaHtIgWSHZY2ULszuJvoiORiVQgdZ6BAMwF+lRmK18TYB1 DQ7Yglg0WkcEkFSiAAhGQknnpJkgrbcLa6MRDb4liI82bU4Km21nMBePc601pYj9vCsej6ii82st oZ7V88Ui94gLCRGPEzdmo/edf+xmOlhghGvsCNRPrKPdYwBJ1iKaPngjcgDHhnS0QaUuJSBq11y4 ZxYgEyRauooUr7YeFbYXtTRypcwkFW2qJBCgN7EERdoTzwd326zfhxI4FvvmIj2Os1lZhluDqePv 7Dp3srDlJG0ZeHR+0h8raafMpi0HT9jQ5wcGna3IM19VIpMdKgjQ2YAWxj2UqO7a3wPtRBEChBOU aVkwyOpSP4DlJ7/y5Gsbz0crySfJpMyg93NPpbC0TaDtHFmC+CerhXKYXGTnoossq7aYL9aQehRp SwH3JJV3sELbQBFsFalHQdC3kjR6kltst8bUn/7fRkaaet+oiCRhV2Zso8tSg3/v2Um0SPoBVgwf 8C8aCcF/cOZjH0LTFEXbiSpbzDozT6VF+ZVz10F4mt6ViJPO73/+EK6lKNrelNIYVQTJ+sXZOllz A/seyT/CA5H7CXKV6AhY+u7cQR3OHhW3aWJZN1T4bBBi61wEW8bnNU88HhHbbqlN7FrEscNTkh6B trvJgZVmAgjoW1kZqVLASgxtaCFoLUUQEgFDJjuSGLiiif07//UygYh5TtbBWgpIGTRFHVqrfnQj lq34loj9R0Yb8Co2qE1yPr8xfkX7Fo9HAMlvln9O3LHPBReG3GKTs1ulYpsY60k+VduKbQWKOJql qLQtoM0YKftgSwl9sTmmCEknhVuD1rF0080Z6VOlvJoqkpT9xbe8n0uoDQPVzgIAB0GS5zk5aV55 QoOJx/9F1FbZNlNW6TK1o6DbgG17ejepF6dqnyeveA2kERRRjqi2PRcpSVRB1GCJycwovVTq/7Vz WexGqM25JWDmdX5NkImMRyJl7hEF3dRDgM708kHVDpqKcI7Wyd1GGHT/okgCnjzSLUiOKOjmn36C l+kuFEu9pEWqbRsWKWXcg2Kzx5Vt6o99Dc616Se6LSAdN3oPDOBUz3+BEwWK06i1pStAhuABX/IH Q/LckW1JceTsPZ62+JzOr4TqEp7/J4yUNYDJg94nKURt42KDmmo3kkQV5w+T1ChnL5LEdLLNiErb mW39SoX3XUVZGMhMTgBxdhg21Hmfje6h/NBgaVxpkr4ewwBEQviOAENKTS2Wvzl4MImsgciVE/Z2 PB6UScYv2RpAxiC7ZlMUAkk6E5PeLvKvVAe2Q3XOEpOTmVklaUQRN9Wld6Lu0hkkpMoaAKKkWQjZ Pof2OV6nVOChi7jP50Kh1wMAmADgO/5kI6NLZ4MBtteQKQhaC3g8KmvX71UEbmS/MJIRxdswuoMX XLlSWmUnA/4ttSPZtqW3LVdRFG9PcrX2ZiWNg9OR4NeQDUF6fow9qJ6ILsMPxU8z8F3GtJ2ktY2o rJ1FRBpUkEjUAeNtRHGlJIZkyXg+arXdBgnVlWFu1m5WMsKAWyXJfu2o+lou8JOInmaHhLgdzVIU cC/B1VK7gwXc6cTHrawkYvs8S4c6CkD3/nSADIAJtoW0Sf0fUWFbyraTtSQN1h/hXcMiwJh4PDiO Vv8Kt5nd5keAJMRrzyXuf7UpknqoOFtrak3VNkY1XdsR4bXLZqFtqzK5b+J2UrEOadYtR+bzuwki UOHT1Rt5nF9o7aq3jfpsqCVRQJFDZd2szNbMP5e57QhD7fVdJ0FlqbUrQTKiULsVRZGGQoIQYjcG yQkHmmuQlOYI0hGF2pNgm00fiWVRcOZxBK8DZDokR3SYUdIAHAVPBJVyO7UpQuUT3xFER6xIAhfJ AaV8wdr6+ZFZvYJhGp6PQm2/+mUjcF4JWBKboyjS5uJDb9ZZbbB1cazNmvnO0TAI6Qgj7SWRsWdw 2H/JdGQhq22fGAJkV6QjpHiBEIN+tG81hpAjDLSJtWmyim9km4uCDDVhkdxWnwsfEBQAqidtAuVC eyA5XHvExe2mrD95KYlNPZ1HqnNPkiPY1sZmC4vbJCH1Sjo2B8ttz9IsoLWplJSACwTYBmLGCB8H XJS3NwC2Tu2wuN0kFMmCG1Q7forK/ufKq5ykcfJkPB91AL47tuivTzSuNEkzrG4TH4H8wZK2rUyN ZfW1pL9dzz2LBAGZ7QxV/TIptkRja/BW2wnmT67FKPIsggzMCrYbWFo4qQzTPh6m7QwxJCRGZmpg alDK1sBwUWJbKh4Ppqj9atgCr/Uw/2YUaFcGSOzpADdysgbJ+xDfiQwlax0BQFSpihRWt+k2tfK6 g0MkUaqvia02ahss6kYNwlYodoOL9YHaLr3vH6o254jj0cRBNxucm+UCUHvB4/86kqQ3ikgrPdJR UaSNTQ7m3/Zze2a3lTgxUnPFFkDSTDsq5EdWy2urDzcdgSQCQD84cZGvkUVKUmTO6MYX5BUuEEmB hBnWt0lFQjnPB9VIYHA4jI9c8XjE/PMYCXc9UNiUe7RJimLtLJ+Sma/zTUqOj+jUUDZ6xDAS6Yyr 29IgH80HX0rQ1nDO9gZHvqZ7uQHQ28rltS9Ktc8QQtJZbCtsSXLQFJ2Nw1gFEJKFxyMGSfq625oK CB5rzyjWhnYEQqRkPFuqaVnmT1NqgW3Z7uapPUOhv0qATaLMLwdbR+dcQ1tb8IiJgjtxNpO2ywhf M7rDroNM0PYMyZFJgi17+WCA5MSjBXSHXvF40JL8pSGF7x4PEWmGkTYZZSvdSNuMgZj6C+GOMPIc BIZFmqEWSWIiQuyjBpujk3mcUx8CrxCLhJY9ZTaocnVyCPjypBsj0X1yRpF2pQZ27lRJ4KDLv6CQ wPNojoHHozrbL7o2dN7zTdlmBCGB9R41NC/xn/ZmKiCt5AWkjpaSpigqbK+iZSRl3y+12nMAnQhg s2t7JqqzeYiOJJzCUFWTmrbR2YreNlhFnKLaBbah4wtrIzVVUz4+ESUeDxK29g1GhnNdQ0vGpijk RWZG2utR+1Newiki01BR5HDblhnK/bFyBiyRD5fOdtLBgV2BfO3coZnGLgUmCwOWNH3X3zJkM6pp ly2tNtmSZ1PNOWvo3Ags3UJ5CY9HEBK/1dgvPW9yQv0rHzUjDAn8n2gYfIsj8k8kLfIC2mAMZEW2 GUXagzC1NejZzcGWUYc/8JKq7zm50XTjacQQAPY7zEe9pE1U+4wi7UYAMoV1MaD8LmHW8/mZpdtz JFQ8Hy2kb5Atqn0NAZpNUsiLbEK0teSoP139kPhv/dGPAo5Rey1UItkEIdFcWsMl2J5dlScVbdD3 oXENstos6B5cs+edpFX0un/FRy3RYIOD6FobGF7ZdW++YxRE+nnU9W4/pKNrjlYUZwPmmWlv56w/ LgGp/pTl5xEOEi2kFaFIBs9qdght8MwfHMa2WPbvaCINHtkFQhHnVuhg3rnEhij/K0SRbOaznXIk HAS0Ob/IzCL91YrHI2Jk/8rXKH0PQoJNURRnD7ojtbGcGDm7a7UAgDZsiqhGpykK42wpIo56B99r kG9eQ+JRMwFKQs8bVDzHJKloXSwSilf4jqiezaioUVyTmfOwM/ssYlrAn7Ot4Plgq5XvSiSY7R3q 5jZHUZQ9pIs42kVG4q0NRZKWVyJ7coGtFaNIVDnygiQza57ZEKovm1vt/BiryO6m4XxuAOAAcXKD o3Oy4zuiGhthf52tbQ4C2owssX2cLnz8X+oaQrPXn7NH706LYmyQNTMvTgVHKLc5vnbLS1Elf9eO WiFQm24rO43kg19rGV3Mzoz2/GoTwuzYaaCMQpMM6s6X0EZI2wrr2VSvLQKPFmxeKWLAqIXCjtCB KHj+X6cRszXAilCsu0K2UZg9yfs78biTRxrd7AFnh9pqsuIInBdslmJmJJu1ja4sHDw+grTGFqrt rNtdARyFBgkSO0TfGca6F2Yz9bpRF5JWbfSdBnlkS/Sv0tyVdyk0z/D8v9gjcrYjecS7RyuKs6VC mkZ1CEnqdylRwsb0kKHOrEkKNUiIswFm0QerIC047C1U+VvdsJ+jnB0ibIKRsEZxmpmxHZWU8S0R 0Eb+7qRrcVDCxuaLJSN8yX9BSAg3wcW/qhcjVwjWJmGqzXz9JGu5YKQ63LkGSECbpDDSpp7dlM3P 7JerDbLN2cWFYeSs0LGFE8mEocbPphHTnheMBN02vm4ki0DHzcwQqctFBwvpJM5D4lEpNTwezFFa X3MEwNaEQ4jNUUiOpHjUJqeXfnZ7MNTe5EUgHAJAsk0SPTlHIVp7SjVKHhESAudCOodFgRxipk4L qv5Q95+NpiipTZfXMADJtPf9A0UKi2mFk0hudZEXCyMH6mwrrGrvb7w2DOImNoBNUojXpjDiCYuS 3/9pOzmyblOU4A/ld1tc1Wa9ltoRGuxIqujW0q/+TBIiDUqVoYKEOhs0MxHGWrdW9ym+JdBq3Ty6 S7oDd1vJ59iT/ng7SduKYu0yvpERQKlTTsQmKSxqk6u15V0ne+A1vRW5tickC5qhUiCPFbaJMS48 t0d53LTOy28wQymwtU/2TjrXBIv3h6FNRqnXo6Rhrxu1kFiLhDmZDapF9tqki3yC+orHo4WUv2Nt cIKQTGqOdhRrD8LCCC0zQbtHFamV5Vo2REdLhDysaTNIAoPdBydGnvsZViNKbM8PjbNjTW6VCY4Y INHu2sqa9o5ibRwfQNiO4YOW0ehD5SRwOPB4UGWr35T2ygrS9pttv8fa5ynuNSjPewepL9NEGtS/ UpWt8ODiFMX62qT87XQHn6KBeBv8UPRG1qaaB5TcTrK0O8CiKad7sVHpf4eI7Uq7mrXuYCCkXAWj P9dPxeP/krJnXA1ddGChbI5Cw5pE2r/TjTPkBT337910/8FBvssojrWJrJFxDQffaghpkoRazl1/ 0hBiuhLF1ikKXpIr/hYa8OJLgjki67+RB6dByAjQNmVcd84KPP4vVDvjyEEvvTtHISuSjhFjmbIP oDb5Hkf1IiMGO6OcoxA8kpnPNub1q23HaZ1TFpi+QYGNk/icsAatb5CRK1o4Z86516x/NO11I6UW wUZy8sEq2udAYgsd6C88HhFIvjvaUFFEP8vnKERrM4ps/AJzHrUUt+CgbUbVon665igmRdLaZ4hA Oh4e0kbPvw3Ka8NPGukNEhIAKVEf+4Gdu9fZEC7jOyKAzZJgZJXb9nlLXtgzw7NM4JG58XyE0/o+ j4DsGtTX0hzF3jUCIZlkHUBI88qQyJpFMiTF91qMHWG2tigKxcGDyLMPzjocLCEtiG5VzRFKSDSl ZQVJWO1lbxuER6T7T1ia2qDyCIJWkSIrjuzQvKZ849kBUZtwlbIpirAjSY0RdfoJLc9Wxj6TlYtp /bWFjrOmKNT6kyPr1I6b+TmOTlxxDmXG2chLAGpnuRYHARqsAmqrm9273vYv3mhLhCJx0Gl0cpxp 0lqLj0eFyO8pgv1Vb1cTaYdhNtnkXZkZd9q47iPnlHVDhEIJLk5RiB1Zko2i6g8Hb2ZDrX5IqKU1 RO+024KyEQTazhS1a4DEgii+I4gfqc1Ov2kbNEXobQ5d/NhoobL27ffLQBMIqAvS3mFBO1PsV+ai crBJCiXRi+wuG0UXZ5Iidxxki3ZMZQ0O3jrawMR3QkcwSVkVywYUG+gpP0ASOnJkT71tdBgJNFqT D0b4m7ORjoRqMB4PiiPpG6KN8trEZW1TFIXYdKylkamfRfkS/nKqttEqVH9tFUW4kSmjaGmQcPCN BpJ6p1btCbI6iANEIE3eJmcVb+r8CnvMUu0OpUfoL7qgu2ODrjQYj7Dg1s5yx+NRqfb7uIbGQM83 whZM5/UIybzsE6FZbPzX7Sj2vQn7z4SuUBKp66OiS82c7O9gGW0Fb3RVgv3PxX3WrKyQsHQybV9R XvqBngdW0tr2xoHfGK3YFrp5GMAoltkPQGnce+eEmfyACMn+DR6BUcfa9/LPKapqg1zGXq0btLc5 i6FHiKrJEvutI/tMhaE2PZH6pNovB+eOnJkpoFMRGAF3BFrZY+/9bEKQkQu55A9DbXxLULTVrZal H5G9698bfhsuqPOJfD5ISH4LtZxj5WREt4mkFPD1fqMMeeECkOY/v066iG0tqyP14sA/fFSA1Tbn OuG11sVrnWselLZEC8RzFKSeKPpTcf3D/2fh474sRrO98R+IrTOzlVWSDfgHG5G4Lyq1jcAF4AcE sVK+t5ykkdEomfVOVVjihkVROcvPm9uLF4Y6JTl5XbIhprepimS2ZWXXZCXR1LhQPSnD768k0rYy MkFSbRJtB86CO4s2P+f4IkoCXxPcdawkAS/qgzGSCulgCFDH4PMRBfCbIrFxZaLp7RMVhd6JKIBV iAIQR4J1JNTd+jJJKVxSQJxp80Vlbrm2TBoca7A1ldsulcwvbD4IS7IUAFo3Cm+LoIzsNaVMOim+ JqAAyCcai8kGL5jsLjzghpdECgElV02CKQo6wTvtx5QsLHVXif9RHCmJXZp1THV2oUXe2tA6KHIl i/W2ycyuXFIc7NYr0B6pnVLyRLxhAwHldv7mjS5lRuXEi29p2wv/DQUc0wdN1IIsmCpqQ89HHrbj a6IAZp34Vz5PYbWb1NuiMIBiblKS2BT8SCp8Y+ut4SsqCsMnxZJmV+OkP42Ts7JxNVAEeADczX74 2amoUyWm3Ghy2XnODJJfE8RQggMmOZQlF02AksCSANuZbD4fbL17SG2uqJP7zFmeECFUKCGQ25QA SHSTavtSMF5tpuipaodUWPTmFHVRIjj4IYV28ZSC+0JDF3yVBQ2uQSo31C0uwqSU2uyN/yKXtkZ5 gEbCviqUZXZuxBOaNT4fISdvhID/GmUJ5Ap3psKYfDP5HdejDMRiL3z36gwc+vWaI2CkVEL88Yu2 FOxuTgw1uffgz3TWgTrfQIxgCUvp3mCB0973L2mAWdlt4qBq3PllROc+63fw+WDrNY85sXbYwQQE 5s5TWPvGiVpzLeUWmyiXzKLlNj/FChdf93JLUfFbJsBDwi4cHCEABlJdlLiBfJ9adieAwSW/1y+x 0pJrsRcOyk3U3s6oVNlgE9VBAGWGx5AzNL3p382mIVeYG3KGDpPUpoThloOWslNLAA+cXnBiR9/M E0OwyZDUvYoq9asFfvZaY4SEJA8y5TzuM0V5gdml1Y0butBsOwcWkxDkqGSF1jsI23V+FzV3WxqT z/+rccl9R9u7/nhMRqF5q6rGVZdQbsUtpdFLuW6uZH9rniLECWCg2J/zDpqnDZ1k6A7JERjIUx5i QNVgW3bag7vsHb1KcmwymRkbsG6gQdNEzCcbTuem5vOR+u0tg/NgAyPoYZvk2GeSwJwBJLglxPJ3 odT0Ih4ONYOOJcCaQQ6NJpnCwbi++2Dn01lFe1OpBBTBEw0IKQhk9k9ni21fvCkV/vklf/CWwA+n eGmnNigr4R3lG57jdfD5YDnl7z44cAJtt2fbRbVwAIagVG7y5DTkWlagQ8nFCnTzmgPn0GsSGKi1 car5YMvp5NlzZR3j5ezfoR7nJDgG65nUJbO+GdneN1IJSJJRuoPQOfgtlBufD+Pz0a777vLCQQuY mDtNoUbgNhvO4quJPS8rrxB5otWUkk9TyKak2MSejMk52GpKiHOqoZcrsN50o6Avc4eOQgYc03IX KSrl0HByUVKpLILhyjLEQDnxWZLMxfmLN58PGr3rNjE5UUDipa+JiqS50SMDhLlfae6ym227vFmS ovAUraG17cKQfJKZ27ieZHtqE4VuXyvGzekF/8O2gzrXQNVWuEqDMikuCD0nZYJbyjOoWlcheayQ fBU+H6kF/zJUBi1r9+d4CkmVTPKacAOENLdcDYCymYezcACVfws0Q9dJugWsvokf5OCFA+iLDCRS EDGpCDELHZVR2oNWN2N0r9ipFBXaTk56BHVgwm1Qx/dMumhTJ1DrfD44x28bqioMJgLxztN7QC7p EaDhpgfkcD10YNzayotRs6vF5ykywhF4cHQWNjl47Rcwrwq6ECRMe5LFyaTh55K2E8HMMueu1V44 6iGgMs7TzgbjVpxbgTD8E/hXPh+sp/5trNDo6dCfczwMxwEILBAm8EJUqc5Awd9m62mUmn2e/hYy GUqFx5MK9842cKWr0sxAayhxWUzxEKPVXNJF6/Rmbxyo4m+KvhUS6jgoF4ZprKjfqxU+H9nh3OoK YwBI4gMn5TMVA78JiU/Vd96g4BxXVBkz35kanuLFHpT0nxoy4eTgbTt4+hHkgoAcDCBoeWLnQYJ6 36LBd+YSmlAWKSskOnVkpwSdi3QIdkwVNT4fZC71uy0F+fCfPa+XWQ5tKMEswrk0vLbZSnOM3O6t OUYOPDl5dYY+lGvIo/u/vngIzxrMKCUg1AGSR22TxMvVE7J2l30vgL3pff8yD6TqKodiILkE1AxB cudS4/ORjOB3aFB4gT7OuKETJYW+oK1UXKy7uxpVp5wtxbpbbmSkdH1UBAFnqzyJdZHyo2myzyGw i0maox9ZDLlzzjIUf6Et5ctJZt2hEyXRTWdK2aXioH0HdsK0s3ny+aC00n2amqYXVc1bg4qtKCdd jnkG/j8Z5RqwENbLyFEk/I7ptNUUxuNDclR5+3CbeBmE7cJt11Mx2eAKRBSkFs+Jt9aFE9K6gF8T hZqVVhRFbHm0BCixQKWDKvju2vyACHZ5FxR1UNAC+fIRDvwoz5232YIQ2xt33jnK1y3XZVXuEBqk 5FMVosFZ1hwinnDwZt5Jh6AiN+hgnyb4QjSk7JR5bFR2qs+lt+2N/8IW7Mpbfdds0SYozuqNQVeW z0c1g+9cGPUwyKPeiYqdcggIq9UBGKsYk7c0So9YLnzBTjkwpbxSsKsnH/woR5kAE0YExkxwTGGw 2UjERkeuPoXyueyFo3micMdka3hCEkqakghBEhsCo63JD/hfVAWpTFHns6JCpAqDGEhhOSOMVTaT y7mWi0S520TFUBVSCuszeKF8T9xHTXaCoNtyomB+go3SUS2/AMxc7X2jogGhvIsNBQ5CGcAxTKSP 89p8PhIV+m7ngc0w8rXMyaEzJSUiYZRj01SN1zOYOE1jOp8V4M5LObCmTNQohHf3nj548gIvczDC qbwExgQvxZFZD0bekh/lpTXtfSMwBtlghSxlDoo1kW3zVDzTtPl85E91S3VD8/vT59c8RVXywfZw Z5tV0rlEUhhkZXitrqLAZPMUC3mzqNLE6GnXDv5ETRCqkGT+SfT36GI9IU+Z4IBnhAf4Muw7m6gQ tUJgT2pyqkaHmUcKtAt7k3dp0lv+C/aM5Y6+Zc9PGz30pxyShK/5SgwrmCJupTevQRVhD7o+KuI8 C/7EJI/DrUGNiYKROM8r1UJURgGEHtn+z6zXM1eKcDk0qKxbEoxspm83VUO7gq4ebCtkPh+dTt/e gjkB0V6eXkLoUQkMO23hXK1aslhcT7yGDLzC+dQ8hSE5ZSog2OGDxQaTFTqxegFlaVDAX3N2+FOi f52Bs8RhSmJPsRf+A0VfV5buaUaGzfUE4Athq/28LZ//X0grbCqgtWHzFBpVgrNOTy8jG9Q03RR2 lOJyFW3zOuW+i50qzYNJhDr3IoXwXh4nMmrk9sCafIpD3waDTwC71tNAJ0g8h1aVAP+OVjJJqyU7 aXWg/8ekTSXywKvyv8LegJrOx4cxh2aVqHuSb/h125W7nK7laSfLRNMUReSLMeZOvMo43LYwZD2g htJgCptQiMK2g00JepT0Pn+ABt1eOCCKDU5Qm9MH7bsNCpS8GOfm8xF89VdRkyWaCzzMsWHlKNpr zVt4eV0RvdS746Dr9Ew4dKyUsxeQ8z5YzQD6i/D0lrPXLiq/UFwXDTSoqj4TVbp6U6FppfwGIPPM ATVKIleJvCU2bpwrhB/wL0FGbFgC18dFsebQt3I0k0Bx44o22oOqT44YL9kxmjk0rhwUf0OVyQdv C08AJiqZ0KtNwMc0U4jJEop8eXDr1a8GemBdCb642i4kH1Carateh7I7z/IT2mR+QLT3ftWhEGTC 4cRnKgzJmT/s1l3lY9dbh9rKXQbTvG7Euhy4VwpqirIKbWA4eDYMbcaFieCaSh2JFiTjz/SAjHiW FJEG5hS77IUjSR15nzMrnuhWF+GhNlBb+MZR0uQH/MvEgpxotHFKeZZUFJKz7o2i7Hbsivg+yIeL ZCtp9AEPWZUNQhNLKTSdzCH74LnLCTWhFYdCOUqeHTsZpCjcD/SVZR/BKT+p2xsHm4+VOsC2fBAc CoxYzlnrqGwGPpb/rZSjU9LLJY/n0MhyEh891tWNm8xGxR7f/TLs0Dy1UyoCr1AmD+bd3QfHIm54 D8kPFc3cDJYPGuhne246JiGMuZSWbi/8h7AuamWy+caJI3XzEyQ3kRESV1SII8/f2csApjGv5zgP 9b7ZUkDh1Saqy4qYag1zX//B3b2lELtZirBZrdAy7tbLUNftjUQbWCtRahCyyqh+IbX5YQnVJfb3 sjcO9p5KdYjebTBmC+tA+sfO5/+XeLORbt2e+CAKy2HYQtjYsFIUmLpWX6mjyUWOvI17RoVhuZTR F21kOXi4ObGcEm2Ip0iynQA73CSJQlwCrwgOpVp5aGnZZa+rXvrMjroH17XJIOUE63w+iDcfiRTh oXBiACDnU/UP9/iO64yR+YZgKSHTI/EIY/tlzAsEDk0tOwX0irowHDxEwBGeILzZGvzpCsKZE7uP brbs5KvdPlVf9sZ/hgiNQdBut091osdq+3BPPh9ECKN933u4OPcDHAt9LXEGI5YqnsIALeMN4ry9 79mJMmCEEBhbQt0Q/A1aVdhgffSU+H9IBjpXHAB9hHei03KyWgimLMuI87jvG2Uw7HeSOIVhWn/4 /AlqKghuEDhbyhr7q1i+od5ULmIzdLYkuQHww1uxy2Yej0bDRWVQo0OZXmhtSRU59IWnD5YRAzLX 6DyM9nBN/P0WiBhwAEczBzY8lukNxVGBt2VmpsZSnchTuDKFy4BFF4vdHdrNOXS3TFfrgrVJfCcA LDdACA0uWWQqVFO0FbXyTYplU1zJUizDpyqOziXuXbIPFiAs3ERVqd1JQhLcszFVmRWOTerLxY2l Yu8baV0Jgl8YT1Htgn/ahH+6vHdSWfyASOb6nubFjnMqAPlERcE5zD6BWxnds2K2BJgVZ8lgNEJY skNYYpfLIRXw1XywNXWuo4mG2xTSZw+xq+Hr+0OtYdAcrAEjj6IcmFxi69B9Rx3iejvEJ5vJ2jg1 AxEVulw+eleMmRpl2J74IPC5BDUYMwtMlKOA5zbIQQe5xPt5i6F50kf9Ac0w0LgGO8sBt4O+EOOD Cckciu/DBfsH6HLcehfDMtVKD50uCdk80disPgiaAeQYbz1kMnz+f7FxIF5kf81TFJlXel3meokK ubZl8zTd3RnmghQqRngQmF16HNVbuYMHnOe3TpTNJc+8zU5xEDjCmHFKe3T45JyeQ7vLRj/wWZrI L4huZVV0glBZ2qOhyg+IsBnle+dRqXZfYdAcWF6ew7FKxzlfiXkVySV7XTw0zyDY24oK6Z3EAEOf wAefKaBYl/zT5zmiWqE1eCmD/rKZgcFtfspAPQe2l9kKdx3HGodqGiEgOi816VA/CH0vyzUII1OB rgHYzz5TsWxhk0CowzO2ZC8IY6m1W1rMq9zWVARjqeboJJPZ/JjM9gWeSyW6FfaOg/jOVdAQhik2 GsZ3nsQSCrwv0ahi5wXsjeEkDpxRHd6awt+DJBSYX4Kz7vOE0y1DvAyVapunz2sUlTFNrLP05tpF mfpbQrEwSNYRNbwi9RpD5UQC22Kh3Ae78U5CDYVK9j1P2rJT5SRlKllA3wmzdalUeNnXCCpD/pJF KBY3NdgUSeqJOmF4+mWCMnRmFWZOiYScv/IErpv/4vwu0fSQx7Fqdb7LnNnNiob4LrjpyripcDA9 nXiMlUg54/BAoaAdIVLeOaCIPTrrprL2ilgMuZ85OiydS6/zg5xDtbqUfDAa7An+mIVA8OXzGjZl Nd81P1ZZ/wE91+bnNWQ6D0GK9FacvopPdCkWymdSF7yZccprwITlw+5dzorE88OkBuSpNySQUBic rY8lQRpUFNPZi3AmttCSuv+f13ApO04MXVIfVPNdKWUKI9e+2+c1VsLk7mTTw4AB1fpB8Dim5zVQ yip1Ie/NxeviabmQc6Yas7k5TjuuX8OkDNFDEc2FzExfyEz4ygz2QyrtQCahUdBgBK2QKrx3epDF fl6DpOx2IBDe54A6p4mCZir5w033nNSvMVKWCrTmR0cN2qrEhtE3OpifRcO0k625EXjr2XM4ulEb nKAnq/K+hkfZFEHXUJ1pPHUm1N9SQUaCVJdQa0QG0HZmvWbTMLX6/toUTnuNjnD+iPGziSVo23DE JyxZxYCG6JG/xkZZ7sCaICljLhhd+vnzGhllwAi4atp13Tlh3W0YQLjThGWxHmWZGkzQZL1kTiJX OXhCknrKlIRqdW8cG9LfAeU+JwJeWr5aBYkVy9ewCD8n9Xdc7LJZ/RjKiiWzLHBWevm8xkS/VpBK m/Os3dRsgl4DIpzqAJ8i7lqeioiRMSl30bSYKhCm7iYTTZBwKINREQfH7TRobXcW4GBosmjbeCIg RORQZM7YMr7FmLK9xkLYYlCRP78ly7p0ORG6FKbsbIDSZujzGgrhAFv16wjCbzjQydEEvcZBOKGp KQfQn9coKzG8jBjHjRhRhzBp4vCEJpRwcadxePiaZwUh0AK77kREuWgF4cJNg56E9Xo3E03yeY2C smzYUB1Z3QdDXpZZZN184qjPawzEV8w2QZpLODcPn6DX2iTuvaHiEQuSYvrYYsKxk69FeulWR3qt TPJ4mOqA38ED6nXS19ZF/R0wcGBT4PwoCYYEMKJmh18guUWXlNe6ZJZbzUDYWjVk8VJBG9N+Q73r 81qVzGJIPisIxauJ3FkT9FqRzOopQ0H2Ni1Lq449GSV7WYSuARK6CGMgdk2kCMLBuyZgqKDMjzMI DUxDEealGjc6NAh8DRpHx6bXaiTeNonVQ30iJnnSb0DGxzOImNTXWiTOoBskSpUAPxTVLhQlRlE0 qUDVHAeVd6zknJ6ak3F6yHI2ymE0RzKPbzqG2j2GBjAP5xRLhMzvljbxc+ckZ3kbsU1JO/38Ukl/ Z9JhFbGCUTaN5DjwoAaQYUrg6kz4551Ih322vmOhgQYAPYk1SVEsTWxS6cIxM8tf1YSbO2Mp09on oUWMnmiSJjEUQy7y43GRn8RnnJVE/sUcS82SAQmCnKDTWlG8sY4uOqJ832AlFVVBCPnioBbAoDUU v7Dy8eC0tnauJgkErAGtdpuk94AaxHEiBFbzzTZ28c1WacShGiREHQzoFUzSZqka4ss+2G47/wPp YrCZe87nLARPpq0E3R3pVa6AaAixG0wRaJf/10PmJPdz8hgj1PXzzqDLD/PC9iga6PuZoiiortUM vz1ohL+rxURp3KCaInkGDQhO7E5i2EQTzYablCWI0rRCZEA6wVoRSP589USiVMp+pJsF/36Pq72T tIHNtEFZ64moVEoAQuDzTp9jCjF8jgiAJmt1euLxTp/Lpq1eJKKlE2leYCVcg61EOyDaaSXaaJKA Oz1pHZAbGqw5gira4EGLqv9JaKTNQznKgeholqc5MlV4DM+jyQXUZUkE1LJxeArFbxDpJNTTgkkS teYe20w8VrknUhRdd8oSThZbeCINuEzaibS3K4DuNjy7fw+v0YySnRXlfjjYiYRq2nkfgk+BGKuk W8DNlIYAZ9JhL2GnNrP0zztxDjuaJvJ9MUPjICYmOnrscuMbPu+8OeQSaXxlaDnT5G95gP3Om+M5 QtmBG2EDDeD+H7VcFRnW5zVJUYhNaDzAI8sHO5FOWJJhVp2kZAFNrSxo10KYW1i9c5PdTH+0d9ac ZUyEvi0ftJKAPOX932ben3fSHLPg9TVJYO4Mlow0R1GQLSr9bs09UgblCRhkzzTd1arDMlNzFEXZ Xa6wheV+DjZH5yA60XphKxJmu7wXEIefEGHCnJSRtTPpZ9frvs+R1FBSYkHkDF3l+nV+BX3jCXz5 eBBFzrvbGFBBmRBdB5uk90A7GUhwuDoqbrnutaIyLWvDsb2djxJF2jiYT7wIX3cbvFiESuCoSaYE +xwLVQidCVbtCVGg+n2bRl1v+z5Hk7vsZJDJBxXT8vk6IQQ7FHaiSHun/H0isQCBe9XmKIq1O7m0 qSTNBnnit2qt2ghdCeAKojmKg216pBCppMFjpAn5BZB2BfNenX48DTFs34K+tae6b68bTRIO7Cmc EgedSCcN5skAd7T1eefJMbPo3+lIaaxoeckxCrZrE7B7Xc8maRPSkGjPfjebE1TfSXKcI8aRhdBl DjZHwNE77/IsoUTaO6DwZx1NMkIqzQgFXWb38Z0kh5VQGD9WNh/FK+JmO7dOkX7jLPnzzpFj+FC+ 5oja1uuWjd4pctm8eaG2P7ywJgfZoazfNFDAuLYw8p0hx/qB5IfSHSxGOuf3iSMhon2CvHNWZxNJ gxxbRkYCoNq6JxJrj+8UOZb2afopyZgGDb2ijARFLonqQAPlnSKXH8ldL41UmDb7ifTOkMtuHz8Y 0/w/6/27Ajhf3uC4uEW0kt6DbdGMFh2rfHBUUsrQ5slLKulQs0pMbXE+ZJiO0pfQWTpaSu/hNmpU zG13N0/i81a8tScsODlh46yvzzs9jrPsEQBja5OH8FkK4+1h9ih+uW1lJyixpZQdA2+IpHMmvXPj svCIb/sNsD8wwCovN1gU0CpsIhdEoAIBTNiAumIFBVDeqXH4Fgrudygt2KCA+9z7jOl+QAf7vDPj /k8hGz3VMW+V7Z0Yh5S4WKHWEfBQObKlVBRgstI/7oaLS9lNokzbhwtwW6ggFCPNd9KP1kT+dl4T hY4xL/FEzk3vtDiuBB1KPL85qJR94vTNQ+X8IevzTorDBbzv7bbtVBq9eiHpnRTHk5uuBFLv4CRN k3EsbfSruM9SkyYpKmczKVnn4Ow+eCmptI7whyvmpGtUWoYQH/KcvAkKml9H99L7BnES0ZLYrT4I 2XZSRZX36p7r886J43L/PpQ4P3jMJuk93sahxKN7FUe+l3xtCUZe3euRA5EqJ+k93lYwgYZ1vsMt aeN6RsUbtSTcE6z50+sGsgIIW/uX/ffS+74fSqBawEaGzZHlZRLgSetWwH1C+s87I44NaI8BCOY+ 0Wyf6W63MOAuVnDzsn9OpsNAmsjV+EpsrOFMeg+44QIx2HGkLXHOdyUpeBwQ+4PbwjlIWlFnbTBv Yix7eTm8Uj/vbDjM0WRLFqvO/VIEjISQKWt8/aSen3c2HEvL35MEG9nW9u3NRpXtxgh95nxdCZmG m8HF8CBgoTeg6+094EbVWZM0uw8XpYVaVFtFk3QCJR7xFQ6uI3V3k3F3y6XXDYJJelzVPoYPhtJK UxRUiBF+3qlwLN2O790GMfl8s9t3IhwvNxml1HHnqNgcnb/qVv8X/ea5294D7lsnKeSfmh+nFSXR 4pykmVSoxU2zC0EIDpS4jK2luks5y3cWHAuvlIjr0qfo9jsAdJcUfMMz4PNOgmNxsX7d/7T/GrSR ZQ87ircbm9iNZS7Vbct2gknd2+ttUCuxJnZc3O5M2HDDabC9VlaCEIWsdishR9JwxO2L3iqWlct6 ISH6vDPgUHZl4X8NGjYv78IgMyjbbRvL550Al8Vm/FpFgGXscdv8IUxkEiYCGVxT2hU2kqLE0wwv 4XNWvc8f40QwN531fw2copI2CLm1stoGlwghfOBIjTouqsAQY4K7Bzv9Ra/75xwt3WvLDfeolu7K Qnw82Gnpu9qGwLOV6WnbO/cNyyjT0Wp3p3qnUY0jeMLI4T0S2EZop71T3wg14jHEGNFaHfpdx4n2 zmwP3mt0TaMaL1dQTxT2mffEbkNvG2RtNFo6d8nwQWCRMuQxxRjr8856+3Vgm3r4maLsB/Y76Q1T tOuThNRHKKeRHsh/LCz+k23a+UlRGYlbbLY7+E7LkG4CGgBQD6TnrIF3rHSIEJ4gad7TiFJpn3fG m6cjrTXKVzbcY+Jeoe1SCIg4N3n5vBPe8DveDgnRN5DbXtVT23e+m+XTpHg7SnvJUw6A9k7YswDt y3H/73Q3/EicHcjh+GB77WzUs2YmrQnAm5g6sTLOzwLxBmpWTqnCFb1sMEW8+Nd+Bp1GuCD5fTC+ /bwz3X7F2SzvFhZUvEHyTnQzLBUaJCP7MhrDceytTu8hLQqccYaisnbShT9G88Hv/d1YmqJWwNll MzM4gi3IT28s9OWnQcJc5J3lhotzkdo9COtbw2F98wQXXe4NqfMdo0rb/Npq0FJsq91VFEXZKFqe qJGVegWQvVkRaVQSnYUbWSz9nwDyneKWzUXu5DTSzutPxfZcVBtqcnSRO/8Vjz5sDTUjkS2DMuGs SUL73iluWSrQJwgtLCZxUKcNWnDMt05+wpcMttrvm//88FPu6JykKMoWLruNm/m34ZOEKtK2Slul Ix4nKS5rswtZq8jvPEGnyO/nzF6JsGyAqmC0w0kCHhDk96Kktj9Y43dyG2v3LNmWqgElLW6R3UG/ oknqynzJf7bafmi/DY2AO0lhlM1yZKuXqZyGKXVByrqahAkKrkYCfKe2sYnE3UaMvwYvRxYASgrd ZCYuIChuoZCLyv2J/Eh5utQ2sk0+78w29trIcDwRF4VxUJg2++UMhwMUkWbm88F+29/7jbt29Hsm RXE2Obvnz7gu8iMVhyH1cV0bwbOxWYoK27JJWdTQ1eD1kbJR5dxE0p70Aag4ujaSfIh2PHoCvpak EPBOamO0Ik/ifQcdShWGtazans/4vHPa+JLfOBLs0IFmr6Ehw0h7s41UXYbqbCwY8MkuBZMsxa6B 64jq+u+MNkdInNtJK+qp2p7ZGHDPINQGFTF0JFFEwldv4DGoqGQradCF4J3RhuOBfNvcq7KRah6p Z5LmYJsYejWfd0JbFmv3u0VS2DH2WPud0JbN4KowKnf1N85MgavFoEBXom0jLmgcSu98NrucsYT2 9sGLSEiLMkgCmKSWdu/C2pzFuSlttasrdZ2As+h1owggs8m2Ja+/TSPvvJ4BV3pL6/NOZmN54gaS 6vAOKJ14zvZOZcNKmiZ+c1X1sy0fgNqmZODA+kNrB5HkO5MNn0T9m7HoJsPhASStc0ZRLuhkakvN bwh0UEscPwTUjm7W1vW+wdFd6fzZpF3eHFqbTuia5ZaysdzjcPs7TiKYdTzg4/dwG9jRIUEAZbM4 uZcJAsA+xmw/IFNq19s7i+253piRaHBmJPzhKW4G8kNlhxIrCcDWnIAsK7jpLAYg6vTzTmPDGdqY r43afNAknURKaPZ2vuLzzmKzZt3XmXTW5YR0g01SWNgeIs8kv972diEOsAu3A0l6s+z/ncLmNdvN s8eGm/13/DmLlnuolmdClxq9mIDzhw2Wp7aqkLwz2L5SW+IjOVj6D2SzxIVb+7wT2Kx3YHOEJ2G0 3gFbtEmKCttT/pZPi6TKlIg0CKJYebvtsazS9s5fY82YJ3YlnoSDTdJJjHtJaAEDSEILPnJsF9ge wkte+hpA0fyOiAix2BlpzQfNEQDySbCHPT7v3LX8WMla+o9Uspd7bIcwksakZF8YSafmLEskSjSF 2kJ1XlMUBtxMStCr8MHTfwi4nDnGsY0iEsq/OLQQ13UqDI/HAVTLKCpqdx7XtXCKOGiKIJwpBdgT 9n3eSWt2nFm43SW9gVV5caTvpLVsOhTnW1b2BslKXvsvZXrtv1GpkwFAGHBrdqAhbYNN0jwffn6v hTrSiQJZTcD1hxilUZyqPucRLuHPO2UtS8zunNadyvAcLLmF9Lycref8vDPWiK/MXzkJbu6+2t1q UbiNNO3c+Wu77d7cZn0CSABrt/BITzQe4s0W4rUJ1soCk3Dwgi3i1DQItemQlF0ESPaFZIBlnHn9 LcmkwHcElCzqle1B12YOAkhU9Gulh58zHg/CyO6VNpoBQ6W85VsiaWGwTThyy21ZqS2rz4Y8oW/T xquwj7RSW4uK2uxr71zEzirXJpUCpeeiYwsZsSmlBFCxpTUD0Qf5Yv7tzA5RJMS1DSgz2aCqdio8 3bCOysDjQe8/34SEBV50nQbwB0atiaJtsW+Bw3Tg/zD8FgQk5hXO345H7u/RNsIPLCHYnfhghSS4 pHZiJnHtoBEgT9WC3BstW3QnLdiWv0CPyY8UARIsiYNW0kkOBYACnwSPR2jk70Obbch2kf89irXH kivhNWHoJbt4RBVAknKKSCY1RRGMZGhuIItig01RAXI0ZYqYwvqkToulBEJelBG+BQCSWXtU15Ya LujxPmiOEirmLJKcIw+P/4uhRSgFX+AyRHsYaptakomPoGg7prdHavYu2wTiihdbj+raxP7vRBUu DZ7/b8iXongC7N+5/Q37TzPNTB1zOphZ1ZYgkh6F2lxB9VyitBVQe45h5AkFKv/2k5cUPB8QIX9T JAB9HOPmtj2kQtK3cUs+StFSMo7/+a2K+Xy1AUQSM5IeBdtTjnFyTeVgadtOyDPg4QHxrQ1/dBYA yLoARhaH9oW20QsR3xIESTyUGj6eg0c7aPnLHab1jseDk/tX75/siHyhNj3iQw7yIcG+N4hkXduU 8tuQUn7/oYhtUUbSw2BbVUkF2+kJtunkfGaefaRzSja6C50LrkP/nXK8o7pgd1683XpU3V52JiEE RUN5SYCk0r10yIxqTzwfTNL0SepUK4EL+B53x4XRNjkSuZjmSOYBcnfcNM7fiWWXSST1mBWpThJ9 dDj4jstQUzCF4ALldAJyC30diSWp+ZaSCAzBlwQVgMoaUhOzH2ICXRII58TfjAjO3t54/n/ZcLxM VvU4qYcFboQOgNVWKuOf37wXc2o8y4sWcd2VullL6nG8PV7F7sZ5+BwajUIRoI5WinaepBJl49xY AaATuFGS7H3/KCZB+p2JG/VBids7//7sbAZnsDHAB/wvZBIURUmLtml6j7g9LVm0IuNaWmidQXnr XKuFdTaESii5q5rUo4h7LzPTmz442gbJ2yyMr1H93+Ah0cmxmPIIqI3u4UE9zh6VuCtBbVl1knzr JJCJo7YLWsETjwclgPaLg4x4dt46SY+A21pKbQEqQREE8vNVTKr8/2RWcgJnX0oRjsSIWyiZUeCY ogQ8uiHn0NFaazTwBjeMc4QDjkJDld0vHd34tSq+JQiVWHKDxIgP6pWcy2fxUkW5BI8HC2n+hm43 bNjngguDbs7S+YbhJTdWBVRyo3sPS24gUtosRRVuiqTCCf0O3lE605HxCQSTwhgHCC/IiwImiQyq UmZNhclBImmPsCTdsCR1+iBc8jzRGYlcgKrg8Wgp7V+zlEkl9FkaUdBNdGVpVLpWGaCM5uDtmZwE WOgcjlkaUYmbKJpd1riDZ7iYeKuUnGsQVEYp3QDFiVQeG8/IJNLnHlHQnekNt/LYPlgXYCRyznC2 ZTweBN2/jiT4aY10wSQjCrrb4pFU6nUjZkeHxaThFV1ojgyntIcFbtUj6XaqwRbSuQygkc7W5Dxb bSIYWuf/ouyEoh45gS5DVux1I1I7rzeoWeC2R9jEw3aBciZQMnLcEVa4L8Cd/+0ZaVdjkxRCt9Hd r6nYxZ+Zp9kktdWWEyVgg6BJigrcgkc2sJcxR1z6A5kJ8MdA7C4rJ5UpAaBBCy+UqdbjGwBEFr4j AJKKlGTx0nLCzYRArEjJ5394POqU/OreAm2Xt0cAI0STTKmojis+0vbVjtjXL3YCWa2tFkXcPJCE KeZQNUWoApx4HiqrxG2dZbXpEz46+ktoqCDq9/sfUSK+409KMvHWGgy1fW5LN2reeDw6jrxSwg4m dhmIxjZFUbzNnlUBDuY2uFNx9N+sxaFtF7c1onibBunyvrPB4+0TtqNy1IRJOkk3Gd5cQIOehegQ Gd2WmrH4kj+Oo8fpDEEcbyOYlE+2KNFlxPPROvJmEhc5TM6+tloUbtM4EEWG5rytfIvbuc1ubZLz J1pxe0Th9hLfZsl6Yj3WE+BUw2WQGlHQak2sk1R4pnSsKQBQbR1t0v9HiNmmfCQlhG2wJsl5U54x oCri8eA0Wr6OGHEiuQUB0aYoxGyTEpSkmEy2RF3DktuzRYRxr2hoGBx5RJjtIqQtiDs22Do6585J 2upS/f9czdsE4BG/NXI5vxDbVW/7V7NtLJXdkGHyCDlbKGvfMbUdYaB9QUkGQWHpyacoKm03qUhQ t1pbDZNrF39tTiOtxX2+RxRos22rZhkHrNvMFsk51AB2kkp5gRJpkgrgwJ805HpqUwSDKnxHFBwV 4WxItUUpU1ASQDDF2j5rtOP5f5FIOt8P/5eMNZulONRmlyRvn6Uus3gibvJNbZGl2CzFobbSkd59 8Ob2WTk80hhEzo1LlKE2jRcAjoHLvW82xpAjjLSbDOBIATiRHOAo4m1tsAzZjD3xLj4gqABUX0tm q3eCh+QFgBGH2pqaNr2WlLIbCvKs1okELXfbbmF9m8ZvqIj4YLkt9AUGXVwZak9a2ID/dj79xI8D fsrbmwBbx3bMkiRfC8oaULQ9BzXhWzgPciW5DSQMPB9M0vglt7FJt/UNN8PyNjfcBstLWdsGctqE pAVRAiKmN3KSOz8puv4R9xTmIxq8Jwm10S0q6dll52RmjQBKABBI3YZsHw/ddoZYEnm+ZXoAVi+h Q0likZMPJGbF48EU/cpGoMLeH/7fjCLtKmQ7eFTAj+RSad3OGsmunV4lFD/ErqA2UljeNmE2ldvS U247x844wSGv/4n6aqZR/EJCCdsrSaI8gNul9/2DJUl6qg+624B8Lsr+e8Hj/zqUJNtyVmV69KOi SDuJapOXx0iTHHEeSefCc5H7WTxGmv8gSVYht+pFbk04vA1SLJD9A6o/+9UgywkbBtQkF4n8/xn7 siRHduXYf66lTIZ52P/GBHePQLKkjOrz3gda106SWSCGGHygSsKM69vsSqY0fFCJ5FwHyAAJoa14 /L9MEuD4E2ehTVIUa5POWRDCeOM2U/WHdiV1eaydl3e3Z1jdttsNTrgabCUNILfAP6Lt1Fndk2WC jkOT2kutXG47hWLwHRGQhPK1ky4AHDRFcGZheQ8MTDz+LyVNbkx6oWW/2mYUa1eto5mdslXZxGIA 0LO7Spz8tpoHwIxi7cHK/15mIFh8inDlnNw/S2wDtdXaqMHKFYcdcjZfvVrIRG7PkCGZilq2ywdD JaPlSyWyk0Xh8aApeVM2mTEijlx+sc2wsj1JHpHNG/vchcsIF1sfRgNEy/jOUSxIwpNose3G4c7R nPMkhRSMBLK0EdmFzgy0ImHPk26QRBPKGYXatStXq9UH3f2wJ2ao3OYYeDxiI3+326CcNx5M8oxw JFJAPvGUH0e9NC/+k3XuKlLZ7v4Z1bVJI0EaUny4xxHA7ZXu1P2E1U3agBUVmY6q2n6UolnYw3dE pUgetPS6aZSMrKqNnPOMNTIETXg8Sti+S5EwuTkx+j2MolAbkfGZl9QeqI1L/p1jabicdqVNCA+j UPNPejYoFtjghxEIbrNwFQ0gtSrjzIZTb7C7vetvLbIZlbTppVgBrPXByEhQqSL073w1Hv8nYos1 NuS0lyIxIxQJYjEgR6cDbSZ54jqvWbsXNRJ5gKYoirNHlimC3CfbRUjM1hLuRDL/gXAe3IsnkT0z swrtXstVsB9Ets8ozmbpsMGzg/DIZfA0cDxoxYSmVK54/l94JGbAmSnbnaSQG+moNue0b5kgAPpH r1FB/6B6alstFCMhHalCMNkGz2obpGYaa2xI2tJOZtbFqwnXBJoZNkmr6HX/io7QqvNBlC3A/+Vv cNIZPB5hbb7hSMR/l1tBWlGUDXEKRHDFu/+LfWVZAK0rRtKgD8qFtCIQyVB1sc7ig1eQ0NdOXURk msCznn1y9Cy7hsZ1tB7a/wpBJBRqa3vdwZB/51oYQtrUiscj4Z9vBSmUKpBJ+BRFUXZv0qxPN4Ak HI1ThLDxx9X9nSCxwiibhwyyfB8c1Q7g90b0jCLbRoaL/yTzD2VACDqDgZHwV+M7ItU/amwA5wJp /54FvTqbADtauXwteD5CtaXvW+3Eql+othXqaFelsfMqkSneZks7ZXPUqKO4gNSKQSTkak1q1ot6 9UNdnXOWw0KFei0g1naF42yLnFjmnPAlPbHRmUl8R1RjQ3UkQ33bBuFs0CPppm7Mx/8FRGa8gHrN rlemNQqxCfw797IZJvKf2WtsvZt8VBv34l8hWrtTh0ydo/R0jhYgFosQbbDZUa6l+A0wspBGQsf0 IbUR07bCcjYtNBr3yBmQ0jHo62PpQIUWRMHzUUL7S9GuUIbqApFXFGRDSA9kpJ2td9R6N8935Aym x16pr6JJismRDIuqSrb1TtLc5+Y/GRrJEROFkkKNTQlNslYMoMEF2djrBmW2ydzhRCVsZ2PxKYSc VXfzmfaZ8fy/4iO8HvCos9woe0VRdkLDo6Q5HT+S5vDeEa037UDqy6Ls9bcMyZDsz/iS/Tm38glU uZLaSaArN8k6pwH2bQadCOa77m+XGESuCECy1H6EsrsNltGiiFqUivAlA/zI+uYig1zcH5rtiuJs lIUZRFpJG12r6VCkZBoJqLF1X0lhnF20hHLywVdSLSekHWT9ryxTZdRGgMPbld2NeaFIyE/4usEc ETcyZIEwRjMuEtTkssTrU2p4PKL9X2CEvCjPSmpX3n+FBEkSBZK4yJtlkqzCGkuSW5aSJzxexiBZ IV6bKcZCb80GZ7VNgOKBrGFrJEv1bpLTnhOE7cBXuvAR5E34lr9CpCQyaULRTEiksxYrY+2BKtsK q9rb738m/menzP5st5ggKce/PW8ByZtsKF54iLSQfOpui2va1GslYluD97PnBDyFaOSTNuQNYz5U axHmJ9JTEaerWVtov4tvCTDblI5kFdwGbreST5Q3BWs/OduKYu1y09qiitwPIc02SVGsvTLF6wVk k4NymdaJ3Lk5ixQ+rKZCHsfaBkBqPtgkzYmSdmeT7ZzLC3JIcorqPxthQ8ZLeJQ0hl43iJKY12Y0 f2xQJfJc0rWK4DYrHo8WUvmeI4APABfTHO0o1sZPeEL5dvVs2up3IdXiSVuGaIKEyEN6JCUPdxvV B2+OAMcL/BHz2nkOKKK60kYdEDwSVDncvZUV7R3F2o0o5D6ZyXDQMkKMQfGnE7MuPB6xbL7ZkZUF pO1Ftv0ea7tY66L4srps25cRFpSl/o2W9ZqiUIgEEeGaCrk5OJztHBcw8RFd5MwJSAA4kM5HbRS6 YeZ6b7ZmrxtUkCgdcXbp8MEwSABr6Yw5ae1+j7XhsverPALEDyg+NkchYLuR7188ZasgeHruP72g 3aj6pzmKY20uIym27UfP5pyTMITanfkIGiSdhoi86Eehu21y1d9CI158yV9Vtl5680HACFiaSfW3 9I3HI2rkdz6SaWNxe/47pEaS+T/VfKRYS63rAiNcPhrlGpOP3jF2hDI1BM5q8ELkuYBO0qY5Oqut C9QulQRgN+hW590j3ms7irZpyYISZPHBCtonJCOF7pyhFY9HDJLvBhuOxTbGnaMQrE1h4kY9IpEj anf+SKIuiMxrwKrWHP3NjEyt3cGZSLhfYXrEddSBS8Y6QgMAJCTgRp4yG0ro+I4IXyNDhMXSPz2u eWGfiKJKagDKO5/9HyT/qBCMLQvKl01SKPnXxY64JJvR87ZJqv2q2Z0T1qhIOwSPCKQ9jLVVnoSE ftidOkjtbMIBJPCZJFJBmaqyhCSo9hp628jhZ1CnhY6aa3ti26FWVcTAwpkdOtjk8XWtodMxAYKy KYrAIxD2gf6IX2s1D19HQ2xDTFEHU8amKAKPiF2T6HSvwffaSbnPru281hJcVRM1f1GsIElcOG01 s3vX20blWtln0VKTg44jlINJSIOELx6PMrYL+VdJDvXa4aXIHQbaCHtYCnGu1u5u0pLTY9LSXTly x+gR1kfMb2w9jLYO+AgcX4hlgxebvDYhn0d0IIDEpq5JV2J8RxBBMp9twiFz0ByhniAjtoGtFupr L19G3FWwGd5XX2vHJW0a/YzqukhtWXUbABtWk8jVws9NYuQOw2wiawGF98GXESDbEAznFLH0zGot pFIovAEQmiNHtr3t38JIRI1y0E7biyqUCJzrwOMRvea76o88e+7bO9qhunYSks3ExkD+Wy6MlDtV gOVk0/wwinAj4LdCWKMUHzzILvCXzbzV2l5AR7QL04JX9abar8DHLNbuUIKkEMlGjW0NutTgtcSu 9jnhBh6PVtF3cEQTm3wPI8F0Xr91Mo+lw4lSWpbrBBtheel/MlJauvLQBDnF8tqUjqi1+2A5baqw raWSbUuIKNEhPz88yp+Q4FywDAf7Tlbta9sbB65jdNVKTc0RrPQsogwszpskNvLkB0TY0fq133TB jMfzJxT9S7LTxlFjZ1J1hk2bBJIgRmqLeW3XR0XBNjPaRpNIDpc7kk4kImftVfdJ2Rt5EYhNz1aB HBn+LBP+af7CETKCFJtKf0gOXFDApQ1V/8+JweeDlOSyRwjLRnXoZwLF6TMVmtpQjQTWlo4gbf2R R0zJakns+sgeIYVobcv9rRIwn+y20FuoiBtxok4ksmem0Aw8fxIgbKl8GY1me+MIIUGILdKXs6Y2 Ei/VhdKAXNUPyWqLHxCES/lbbAM33Nk912sjRUVu8pCK2ufS2k7b/X1RkbztJOr/J31U1E/i9UWK iAbbfQPEuLMoO3ZfYnW6USIZL5vQ16v5OccXYRL4mj+QkpWCJjbolOqoXHEfAgSA5/8JbqNSAiIw NBF8pqLoO1HVac1yWRI7WaW702jJnEdhA2O7Lyp1y6x9J5pHcrCZgrrU+TO0+wDuWZwpksvPxy3C MrKXlTIJpfiaoGuyuO9KmT5YzQRNPAGT4CiRQkhJ/cVLwg94VsdzToXlbqIlB+nuBAJWUG54Tp2b Dkhk3nq7kXlLc7Kw3q0uNymjGixRQfzXzrklw6QKvTuWcmEyvaFqDzm8W39L2144wgIqjeMe5KCJ Ood5MQ2XoecDJ9trdCPloBOX1IuXzOlvhZKS6zZUaZfRDVdUTeoQYO8RNtH1UUF4MNU8Kd2He57X sqG4jUIlPLIaRZMGRb9PMgwR9+bd3LxzszeOBDiJB6zCA1bLrQsV8lVFO5PN5yNm6fy1ogqKXOWJ EWKZkiItgGJ7T3kAGyhpWu/pzFTJy0+psO4tLWRx3eaDLUX3DVBuMnABYu1wTDr/BYUbT7AGMNnF mBTUs/Q1EZtbbPcm7nsTAacCKU9MA2KzxuejluX+zuzOuphzPBMVxeSLClMnlXAExdzNYYHN65j1 nMIecOYUqZUUyrSctZN9cHhAQXYKpU40CNDgLTRPQlYEFPwPcoeLC/T3Da69KQGFunxQPQ7qlRSt aSd94PPBzqvpe5rwV6XytfX+VAesuc3h5SZJTKtsuX2eFmE7mqeo/K3Mdk4apXBwjACYW2NJRCEB HMjy90afcexFI+J2OW+12AtHBact5vvwwSaKDWRmeAw5Q+ebG0pZ24U1Pk9gcmg0Kep778NxpkWk ANYu99iewYy63EMxxJsIYYr/wIYLNAUGN0vIBYru0Ndbi9WazgBlF5cILomW2zlwmtRZjC4Ki5gc hO6CSD/rXC2Nyecj2+2rfI/FM2l/93gEhmaTrQiF22823Kz/hDC9eKpXWMTTREXBOfUBdxnUCuDA icJ/CmEy4FoBpewnFAe2G9b2lRq5dAl3/Tt6luQ/zCZlW1qnD5on3DyExAFjx+eD2PxXvAmUx8nK 7wEV203SbGKmfaWCJVxOxWnBdArB3cWKBjn0m1wECWbQMG2wwso5LvqiUSzg6dR125QKQlesIG7c F3E6SrP3/YO6dOJ7ZTKbmgUshpNOznO8Dj7/LwNcgXpOvLIvyCsHlpMmgocAxEq9Z1Vvq2LC6VDl BMh25OSrKQzLN7WCiKvQYNME9c1OpAj2yQBriX1z0I0AyxJ7yRxwRrb3jWQCyPBqrENxEEAH4IEk TGWufD6CDPySnGiihN1pCrUCBYZTV05S5ukqKs3sJSg4Ovg0hXxK+XHAJt0GRwyemTqnpylznBnL hBegFAlr33NajHy5gtJUyqHvpPwUONMcbDmhQlS6woKx+XzkyP3tFUQsHtSKfJ4igW7ohwHS0+48 NTO/gczbdgnzzmKpdl0YketUQg/JBp+njTSo0pkDpzYOcMwT1UgSbeZ4OgnM1P2F/zidWk1cVhxU rTtnH0XGqEvE5yMnnG99TjShyr5gphxYT2ap6BaDmlL1dXaDw7eNQprqBg3cPsWZoffkSvJ4bc0H DwtWRxJcaBiU2vlNcNtNgvwXLsHSWr0VO5WiQvNJaSvN0u6gnu+5RRsjkda46qOA/Pcp3ihnNZ71 FIqY6Hi6uTCkb6pD45YlMed4gmm7zVNkh4MDEgAUztMqzzyNvBOQmwwzz5QXCOBQ6B/AJqDV6GNm Ft212gv/vZ5G9kG33aZbGtdTqnw+og74PKm5B/rA13oKw/EuJeh261C1eR1q9ubraUheKemjorxF Qm4Mx9djZTbOhoD7i6jMmyL5mKcGkwJ4KzXII6WL1+nN3jjSxjfXwOyDUuGTzasGfE6gwucjSfP2 fd+hbbguLy6HNpRQGCbP23koo/vGg21huhO1mk9UCEdh8Lgm/QE43P7vGL2q37IS1InQ25xMljbk HeED/38Sl9CIskg9AL0SGxSPDxA7lr6w8fkgcSnfGLkM39eN08cmKvSiZJ/67JKV/YQqffkJ1adP VMlUx4VfZ2hGSXoAzvDig1c2EQIUAXdXAiKsUawDRhgLXQsAGS0cp5grvySoGCx0NmkvzqEaTO6E 48LtnCs08/lIRvDbiKqQrXn5ujm0o6Rax1mq7M4xD6YhAUtQA9uXmt1ngUOzlhde6Ec5aJC757iD TRMETRKrRQDv5gUdoR8CoM4WBfwSsYgvJ1l2h3aUiOBRIpdBTnXjt1TSUEYJ8yU+HxQ1i6N3JRWP 5voTF8R+lDKjyuTFbbWqHE5YpdlF/XcUsm01hdF4v/aBv1wEZ4fWFW4F3tk5Df6Xs7MWlKDiDJFq P59yTfbGUakOBxNtP8hv6j9Mj+AG2eRI1c9e5gdEAfkvuiUW/7xIsBx4Up4bz8SWarcKVOl1eK2u T/0Tnby9faZiNDhPcpBLbHBcQUP4yjJi3TvNjeSbojCENJDBk+pz5W174wBaIGnzTo+K1K0CBc/o JnVeYBj4/L8oPCJonpN8X3mzHPpSbt7Ma3S3FFrdtAXOUdVy8sY58YcMyQNjStB32TIfRlCdt2AA AaGT4NF4AafeGpQ4aZAE6tKmqk+VfC574QiCkYRyZoaHPqskJcciIB/FkhMs8wP+JSpYLDboD4E3 h96U8l6gn60BMOtwVBhb3poorAqfqBipUmW4WHzwiSrA3EJBmPyClGeWzAlSUOjHAR7uAMxc7X2j EKrJ7KT7YDiMs1CEw+i18fl/eS+LfXlOclxSPk1RSA5pSprluLRwL80AhnCkNzn4nlbzTDiwp8TG Mxu47oNXNBeEBRQZoErOYuCJHTabe+gO50d4afn7BlCMQrjKoGNe9+LNCWT3Mm3hs535/H9xF4Jy ep/tufBCCiaFhXq7GV5fzZEGiZ4ltu1uQTMwqDQhjw3ynQ++miaghcLzduRA0M4C6elstomoh5AG 5A/YdstfOJinRiTvIl8l00GBfy/QVAw0Earx+X+hntnFwzzlC6HLoUVlp1J0byZtDu1zU10687Sq VwzQS7BMOPCoRBePQMNOxerUb89ln0wF3njkGJ6QrEETEMcTFliHOd+s1zZXenA59KissvGQSlW6 KlUNqFjyn2Gpweejw6l9JS454dIB7NXnKQzIZS9MPTVDriTHP9F+SetpsISheYrx4QzIRexZX8Se 81OPUaTCgL4n6cpgz0N/KaGFj8QJcSiJPcVeOBI+l/grVYY3ozIdT30n1kUgrcbno7rvN2wVS3CW 6zKUQ69KkjjPeepizOenz1YfPzPmXENUt70+/odZJbE9WbDD/GjDdug59px13TUAohKVUaHBAMsq oFm8e06MeA7dKuF0MSDoVHywUxw/DE9xlscDu8rvVqe2HSrkFyqWQ79KGgjCXGD5ZVeGeTH2socf T3B39WmK4nH6ByI6Sj748bRXO2ETT/GTru5WmStXNDU7OUl5P+JwKtQFjpWAEg1NUPVBBSj8kFu1 t7n5fIRe/WauVnYDn/Qu9qwkTXzk6RJ6szwSesVthish8MqDQ9NKFupOPCOkT7lqAzhfsabQMzh7 C8rMlQWDxYIBKjDlmSh8rb1xtO+ofrIUZqLSLqPqk8Ev8khp+cEPiDCs+euEovLfTM/GC9mZLP1K k0bcDJXqBKpv1sFDaOJxZuxdyehxFuqbcbhUqAXDYSbCuyeIw1ImRirx0KrOg1uvfjXPA/dKMLeJ 9a1E2Z1DGJATztSWOha8zUbmB0S58CX6sEwG5NzIDyAjdrDsckJtXjTYsmqcdEJtTkAg+l7JS2Bh aWxfFDSzD16uQ1NnZDZdJmg/XHjwHK4/LC6UTJyB2cUue+G/ypog4HPAkhQaCsYPLDmPE9nyA4Jr 78ny1D/fUIm4YVTgZIk26ZIqk2tYyZ1eCTE9VhkewK7D6gahlSUsD5AJc3FxuNlLqv2cHlxVu+yU OiEZndLD4LezjeCkn9TtjYMCC1GI/xf6S7ZJ4zFzkuXK56NK+U3z6GWR6B73nFRRXD5EsttmqQvu RjUdgl4fzY9JS0WdVBF4BSRnQAyksvOIfgxcg+XEsdvMrAqvSHTQK5BIiw2fh9XS7YWjm48sO2lZ VjqWyBId1yvPrLMXJj8gSmC+a8CQ6PoiSOXQ0zIJYdeSi6OMtKYh7KCpZBMFSQSfqDgy15LK2web qH22LgAGhRN18vSqIjmb2cTTggV2Rfb3sjcOdh8ZZAg3fTByy5kniRCff/L5CGH3S4V4kUf0xAgh npwqMiYfq8U1lpVYAKtTMaoueOPZKRWG5pJGBwPUBi9GndD1hFJNpc3VmA8t1KgAsCPYYY9rbLlV LA+dLZFC4kRv1QdhoE5MnlitgOw0nw9iznJdmxCcF4R1RN/bTIXB+ZS8HkJWdYcJJhBkutGqCe0X YB78lIqDc/F7EE1p0Ez1E9pB2QqgDLAIppxkBvTleNnSgy3dPlVf9sZRlEC7vUXRbg529YGDLTeQ Pfl8EE6NbwlQasju626RQ39L/EBIXerwbE8VA/WHl6MN+tqeFQcGlxLMgJEsQGEaLNsDvBUAO77W OmFnIawTR/4PunuoBllSnAftZHJgcOlM4JbZpkKoZu1h2IDKu5Fog8Dh8nvnaSFCvqncml3ocDlF UxAQkTW7aoy7cjYuU0AyOSpBPl0f9UcZeJdCbRkONk1yWqkC+cwTjs8h6UagxhK6OWndZG8olAo8 LrG/Wazrgo3BDE64nAGemfRPId6cQ5fL1H6hVyBKCBiVT1QUnsMGFzF5dS+wUfoll2WHZZw/YnWf qCg8H/QCT7LgTSM9xaiT1sEdlGnM2TcnPKc5ISC7oHiC+HJRY6nY+0ZgKNY2u/QcsISVeUw4zFXd eWXxAyIYoqcxw47y3i63PAdGl0hjEvvDzdRlziW7LTgfJU/3cFwgJ9m+C4PzwZVU5S9fL/5+d0LF KqvlYHNCJ4+iGOCc4IPRCLT2ixyKcmB0qX3zYlA4gEIkWODEHpXPR+i6bxHnxt7ggxkLrC6zRCBK 7js7AFgcfGrK97KsmVena8rnP7wume0tpTLrRpsD/SjACKhUMPYJoBFEzAVp9glHxCxxOcnwqI0e ml0K/1vFoq7epsKpmsw1dczK56PldEVmeLexsJluDBXaXVb2qbIzOe8/MVHTrR2gdllMGCwHfpe2 npDl3cGTPQiCLUAowOeAfyekeiccns01pT0qfDJPz6HjZZNlauLGm6gZLxkVtd5VLT+3T+MHBP7p N9djsIlyzX7gdYHppQiZBEqnHxe9vsSXJOyB5Jwv4CBwvcTdyf5UY2TAwQEsDeWivKRUjO4wRS/B robFLFre6+l8ykI9B8aXuHsyeRzMiicxxLy6GqjxrANnlA9C58ty9dOx/mBZitX9HFFRWE5kb000 tTUFdTe9OIt5qsVQURS5SyqCsFRJXy3zdpq3EAxyf09LFak8AGqQvNNulB9ALnPnSQShwPvS2lTN bE9yyt5+OQFcl5TuBj8oML8E0uduPSIOztv8rNZccebzGkIVbxHn3hyZkXt1obCpAJ2AA7TJuaJe A6iSCE3HTSeB0HEFQsGcOhdqMbhBgpksDQtogsLmX/uiUSGAeg2fiotfkjRog80RdAxMJgxPv8xQ eVRm14/5Gv1MdRQY2kbTwyN8kR7KgsGiLRRNeMYmER9AzfoAV4LpgaAYVEF52XPwKjl8L5HU6QA/ GRj903KmBD8CMRqPy89h6Vx6nZ/E0wHwidR80Pwk2rT+SL7o8xozFQQT5Wt+Cq9MkkwxP68RU8EV R1JZy46oQ3xsJ/dC/8hYU8NV+F/jJSwfdM132USPc7BNdo7is5oQsNLvAmiczeYdxPlARYI5scWV rAt+XqOlgpYS/yQU+WxQrwWNL9k4nY37eQ2VMLl2Yi+FlPBMgmWPpuc1UMLyaaJK9acuvq//bi3V 2yz4iTk9r2FSQbVJ9PJncFgmFmfd9CiG5tIY9OhBBw+UwkodXJ8e/Eqf1yCpiAk5xG/DADaVaYKi Xsbs5Bx1n9cYqTyhpOanIOnNZLRgfl4jpCJeE46c8ijwFAf4tlG8SY4QyYxlo+21WdxFQ8kGu/YL oqOOX6pBnSBRF2idmRhsqIKXiLVp+2tTOO01PML5g2v6xCqz+qDCCTJeyVyjRf4aHOHp6hMkzacl m21N0GtkVBBpZ6IItqMIZk3VUQTZiSzVTiWYgAUTNCV12djS3V70QfepYXPRAwhXPGIBXFznK1Gu RIZRWr5CBYnlytew6LwtOg0QAK/JB8GcAFgd2mGrfF5jIiyG6gdQlpvc/GHAoBl6DYlwrGdKXLBv rw4dK8gsftdi/2vtzM3lcBHOEPtzKOTZ4LidVNB4Y4h97qtCKDfyf4ROCTUlFE18jzFle42GsMd4 vfdNfaJO0BHLZehiJm7q3mv/vAZDRVoZzxKCZ4NZElKdPTqiK8md80qB9vEYpRQLubHHhh3Rr3EQ j2gK7okHzOEiLxsooBRMOSf3Lo19lDFJAB50JKwXiEK1n89rHFTU0D7HTxWC3rvJAF5WEaHaiaQ+ r1GQveIzQQADQNHYJui1MImLrzE3k6o+q0jJyrbADDyH0PDm5WtZskh4BS1wqjitLznZszrhJ8Z+ LqBxnI4TUoM7kTPIepUtfmHkKLb1eS1KYoLYCD+nY9NQmJPWBo0FKelk6IAGE9RX+zqlUWCBwoRN 0Gs9spgELcIIP6WBHrQJ4n3jt1h2gavolB5CN+/lw9MzOQELyj6NWDYaQCM5A4gqURpiIPQ1aBwd m15rkfgVFn1Rs/T2pZFAtu8YuV9I6mslsjwp7DIKK2JVxDEWJkZhNLwSz9GTlsntVcQsRugpxKPL Ib1Op4dFcbTp7ZaSfHDYbgX7YpKAccLSc7PhGJoACqCvC3emtNPPL5H0dxodtxlLkJ1sutbd1AIM mEoJG7gcft5ZdNhnZmmpWUKvqNfkl/07h664Tiotoi3N3/s6NiTrNEF6uWan80SThFoQGofdB4sW TzB3Ug0qboF9AW0TgsCpP5bg6oNCpbd0aRjxeefQcd0THVDqHVR2RVVQOsjnd/68U+gYUPevSQJe a5zYxCfpPaIGO5Aho3i9vPFT8c3W3DgdDLoHYBlMEpCF0Ppm+pr2vB3KfjZbnY293AGF5UUucAUD Y9AuXV7pwuUIsBtMUacscRYcLl843D6HXeGvgp7D550+V2RCrymiihE66PteaO/sOUzsYG02XbRJ p+UIg6K0k5ujUG3ZsAHBid0lSoxaqQ233X1efDWq26EOmxh5z43wlAzNUvYj3Sz093tgDfUmqluj sGqD0jJSqASmAAA1DKyHh0XSnQVjtRDSzEl6D62TABQnp1u2jmqmljtrtKmQsIrNMM2WCAWQaJIG 1hGNw2wwvuo5Y1YDAo6UsIV2KYtJcBsDjRHiybc1MlV6DM8jCu4l4uDOsZAk3FwmYXVyIkwoqAWT JALSPbaBLADH3CcpCq87cUuTOCnrchc3/+jFsCcwH/P87J03xwuA+SurPhpukQiO1rWrd3uioclU pWFCaAiQAYG1U3vQiuidNscDFFER8j0fRMNshjP+AeLr886aQzLxHEhsSKrEsO+RFIbYzYT2+p2l a5FSlfoTCwBmhGYpirE7WBa7VEoicbAj6dz0iC8Sy9gLVkRb4K7zn0yq95TtZ1Khm93nnTSHP7Op it2WD1pKZ8ZVvGvngvi8c+YsD35iSMCDAc7zOYqibKKrztWQXZSYUhMGA2jDo+wOKT7NURRm624D wNQHm6MzXbB1nY1hNiAORFQkYKQmSQSTMZJ49LPrdd/nyA5sSClzsKwdB1JiKRs2Gp93vlyRcLKm hOI22KFwAfJJeo+0sZCYzTYjfCG6uj57lVRtFhvPj16sKBuF2mqvtcZ6CAcvF0G0Iq0pmip6/otn EnxgOoQmcL/ctlHX277PkbohZc7lg7ohwEmzhXjC7/x5p8rhj02eizBqzEjRm7qQnKQo2iYFjDmz ukbVzL4xSds9LilMab4E71Q57jbao1Sq63DwKAkC3WsQonSOuYa2BUWdNlggALSk1Z4K/9TrRrOE EJJwHRt0JkH/iSyhSpBEFG8LV4wpYe5SZC3hVcco3OZJdq796SfSoFCUHIkodqDdth1H+c6Su3OU dp0+2BydoB0ICE9qB2yJmfWfn3XSV6uOayG32YB8Z8nZuh/sEfigpLaDHsRT5oRzn3eSXBEORXPE 04tKJLX5HEXRNokShXIEFiVRp1xREn1s3ULOou13ilyR4QoohGzAcnC4DWK+AZ2jBohoMZWmzq/O EEuvpJLakcTy4ztHjnmbJHWQmVTYrv0U5SQnPKXWBhwu5uedI8c18Z3aAgA2IKVrsxQVsKeYqfM6 Nk+6CRlNx/knwLmZ3847Q+5m/3ul4oPPEqriqF8QzX2+akwqD8FUGphlqnE/QulaSu8Bt+tcbkis YQANVLTdEw2wegnJ/fV558f9irj5GBXun7UUR9w8iLrVRYBIcjTgSN0l90E8mzqT3tlxRXhEbLRd fbDbDaZ457prUpOHPU6lQSrUsTIKw1xjV7CC8ifv5Dh8C/FIY5DMxEEh94QsJ4/uk05/3rlx/68I QHIGFOZtkqKIexFcOlZycaa+b1pSKZMhcDeDTm64uJrNfESOzBy8BpDgzK1fDXaWnfKNK0FjF6YF kEK43BN5N70T47gSyJmfe/mgavbYvUoOtNX1eafFFfli2xz5qTSomaFJiiJutfo3GdWapOXKTCdK Ko8xkQvvvrPiikPb1lYfW2tfk4Q91KDA1OhJkSCvBy0wZDg42IGc+jq67X2DQCkBA7hZrtcgZNu5 iOWlCWfizzsrzkseX/W2gkPsXm/vAXcW3bfQ9tYKbm2aUVofIn6hBtC6y3y9c+L86D6HSt0+eFEb zg0p0/0T1Hlq+C0IJiTKCiBu7V8G4Pa+74cS4ObYv2n5wElq57cVFhuu4J93TlwxNrfmBNsNiPeZ POJ+p8Tx5N4subm1NR1ZLcHNtTkIad8O/zsjDr/xIgZpUVK+uA3uiVJTOy+TknC3HRVtgr4b7Feg ysvtfnO3MvS6wRxNQiThig2IJNpzwifDL3jqetv7806IK5ISfyYJUrit3VLJOx8O223L9bO6vtc5 yPxMajKZY/9oDr/e3iNuCJ5YZ3b4cBPck9I2gJDRwB4FSGUi/0AZSZ1+Mq6Dlse21w2CSblc0XVH gwG1TkYoBPbO/fPOhuPj63uOzknfgWOxOYoCbro2Q4m13jkyk6Jz67SL+lvkgnG3vQfcWUfSCVbY SeLgc1TOLcnqcKuwlwFFh0qOwEuCzCBja6nuUs3ynQhXzLypoZHsg6boTC1tgwBKHp93HhxC0dG+ pghYwz6WNwDeaXCIrbrARu1WbuuNtxt7goolofaiPnZc3s7UqWrFB9trJ00Y8FliI7sXwrjRy8Ws 0Jxop3sc0SLh806CQ5uMPaTzWskHS9yw+6Z+kfJ558CVR+jaVhF4eaCw2RSFSBF6f5997U4pMHh1 IE0zenM9sU2zlOSdAXdTEliW+KApyrCxBEtl0HJjU0YRxzraiQ0SXaClnqzUODhFr/vnHBWpCLiN xgkhzopqpizEx6Mju37da+hSUGnM5igKtoldr9Bm8S7JzO5MkEo38wYRarnT3tlvPI1oADooU8XB lhHkBVEn4WkEZ6jEIsmUQxmFfeY9sdvQ2wZZm6LHTWcCDsKLnEkqqtueGOvzTnz7dWALXIIyabkN /3fiG84QkppmSheDLJ9mKujpNKKCHvQpiEF+570Vl/JqqPHYYHME14ozyUT49bPVYJdOtCQkABKi 0zzvccQu/ued9YZ8ZBBTCxdjDMj2uo5sKu/jPj9Rxued9MYqyfdmO6v6p+mn4iRFofauxu12FxBo SRmifQy6gRHRPpYVt98Jb/iVODuDua0G22w0s56d7baKiBhirmetAVMD78MfalZOqcIVvWyUspEV AX8gG3QcQeRiC+kwyued68boZP2aIVZUvNT2znQzPBWYpq35Mlqu03Gixos8WtdM5p3oRtSdlY+S D3apFcTDY/M4Oonf+VhVkeb5C6FGy2vi9kiYjLzT3IgjoynBUu92LbvVJiJSHUep8x2jVfRd/kcf uq3uLZJ3jhu+FMdMJRtWEWS54uijFaN8o0A2Lat9p7gRece6dhOIrfXb2IYz+gmnKTQBeHZDifYk bOiOgAx4Pg4QDOdNEt73TnEjmkyYPDaSOKjZVgq742igTb5k0LQdvpDklDJQJLn3WhRmw8WF0tUX crxHvfCR5ZDjKsokJiksbPNey5kG11lq0FMQpJ3W4iVysn5YQ5EBl3CbLdwZRVltfwDH7+S2i2Ij k5C/4VR6Wk6qnLrMG1bmSwYX2ypfKwnlWtMJ4CRFYXZrJgnrWe01AxW5x+Ij0qh1+YeFbRJGILDj g++2DIRNpToHZmyD+QP7b2y0BCuE0vOlthFG93lntt0qEpQHMICaKCD1hlYscaMn1eHzwX77BYaE sc0Y/S6lKNBWiERkhlVt6Vkvb2v/X1G1darkO60N718ENh7dB6+1zUnXHdONh62s5ATpkYZYqjYS APujEvDOasOvSiMQ1sJt0KF0Elu5gNXzGZ93Uhtf0hsA7JFgrlEYNURkGGpvNZKWKVGduKFev5Q6 ZUlQG/zxpK7/TmkrLjhRURaywdE2BZaVkF5DWlv21H5DZ+YHqrE/FFWylTSGve77HFW2JLFwNBSh bU54ueU71s8Z83lntGG79gskYeMWlpvURLBZCqEk0nlhoVkoCSg7gb2Nxn2fEoA7fxcoYjiV3hlt +JUWGwBLbunrMtpW6jkDS0rG+0ATjxtugTW6NwKKXV2tK89W9LpRlET7j1psMF4aHIonT95zOq3P O52N3brvKhLOgP4Ubd/ZbMWklaCWICpNFmdf2PU0h2uaFUTIpJG+k9nwSZWM5E4TdA4XJjGlcoqi Ler0sIyBpFmh/DnAo8Bj3LSt632Do5s4m1zlAVqvBygp4uokYbmH8XbaX3ESFYfGxa+/U9mK8SZO JHM5Wkn1W7CSyyoqctdKLwNeb+9MtnJ1lQypPZ6WZAIQZeVBQk0aQN6QxDIpCEBcKuD/FgMMUiDe qWysepH8kBkKcNAknQunS1F/lvp5Z7L9P5Q2Ws0TQZpNUljZFpYE9EjL25oxQ1BqM0/HcyYhxOTB /c5juwCAJphE+4JJrAziSedKOjf1BEkHwSRrikCTQxrdc1uVSN5pbMxtldTKx6lkS9x66iTv8iva 553FxuaBt9uYf6Cz30HpsUmKKtucWnqy2e0GWLX3bSX41unNAFAeJymMuBP7tolKOBwscTvXJJij mcnt+a8ANEGpDcgMgslPvOkBN2gR/I6/8v+BAM4GzRFYySpM9j0+7/w1y42/8n+IjPdyN1sIJJmc ouROqYD93bYtC/ECbl2fj3f2Gu825rabYkocbIoGhM83mA4wtdzwutKhBbMB4F0hFOrhtpZRVNUe vNpal6Z3X64RNLq8MX9OTl0/78w1Hmfpa4o2a+MXSPrOW8NT6mwT66xipJaOIsl0S//0TeD1H4fb hG3BVcgGm6KOCKmyo0ZX2r243ugHCXzyDxJwP41wA3/eWWvMu3ihiXGUL+Po7GTg/1VFmp930prB 4b/CSIDaVvMqUouC7U4V71WTs/5XNZ8P8v+bkv+WWaDnvRYDtjNz2rZ9sNMI1mEnV6MHytm8Z+qp bgboNnU1YZbq/paA4fM7gqs/i5QlHaV98RHnICC2Em+a8XgQRPbvQluFVgr0AWyKwkibBO1GH22B /9ZwuUBTYSYcGQ1KraKopJ3UFMGr2uBFpATSCHAIAJGu1sE4Qr12k7FNXk++TW3ttBBDsolrWwRK cnDiWtuqaZ+DG48Hnf/8famhEzhQDjJmTRRo9yyBzuEVEmiv/VzxiGo1pE6jWlJr3gNtcTdh11zv YHO0N+5lJBvgY42T4nZp4KB+g4B8DAdsmbVAD7mPIkQk6nBx0DKCOoLttM3HA+bI/rXTcKW1i/vv UZRN24YTBXUvs/VWzc2jNXKITE1xG6q9RxASKrieQ4HyEaP2W9PGqaNrGc2A3TH3tMDcJD0uagjf 3J/6Gj2qacM8Gv1HspA4aI7SOe3YRgSRDI8H936ZXwHkIAa6eymyh1H2FC0r+502dr2tkTIcHLnA 2+Kd1qOadid19uQvyYeb+kNWeVAq/9z+ewMcDvlSiPVlipjTvMwKtgSQ9CjKBuH3HM6ZEhsTAWRV efrE7Iv/HCeZwfMBD3J+r6SMegkcJX2aQiZklQ1T9Th7qKUN/EqTbvBkbg6HBBzaPYqz5zQ34uaD JWx7T8BgUCGBhOKJF7vQSNi4udNEvl1YG7nN+JYor2WRDZoQHIoFOnA3lMFX6x2PB8d2/r78oa0J FJBPUkSHHASRVqlQS/2dKECov7MRprWU2jKJpB7G2cz9S+3dB1tLA/jshndp1Gtvibl/wVWxsOby qK7WnRevth4VtteQnE02ibIl+RFwmSoFoinVieeDSbosEqrjgRS3L2Krh3E2LatOfmjMflzOV5mM ebgmCaRbraSQEzlZIBmcJA4X+wfhRGVsVNuSCVilcxMBSTXfIhLLe/iS4P4XKTsrGUHFt0sBoa9E NfYfIM7wfMQ79pXEuUUXCY1Fm6SwtD0UGKGC9j+Zae00j8YGAhUCIxyHm8kITu6wtG2cLZL/OFju D4jNZvMYwCxg6KnNgDrA2W6NuT9dwI2PNPW+0XYjzGYWneAMgvX3ziou089steADgsP7N2QLHyfp RE7Te7SNOiYrkvD6yKKvs4pw7rpzU+OILAwlO1pwLCP1KNqmBgh6XskHLyOtCTuezdj6nN70xoKR 3HbdESRfbt9BNc4eFbcrAaQwfPTBIFt1yESpnSsQjwfJf6tf+40GTPM2bXsE2u5Ul4T3tEkgUJVP ZaQ6t0kgVKLytZQiCIkaklldEmKOEa4A74tKGKwTecGdm25SMhEkZPiiDipQXNNB3EAV3xKpRCCl xSLywSokqdGEGqoffDwCSO7v+w1KUv3rfotr28zbtqkfENfWvNi2iZtksY3wM85SVNteycxQhw+O /58nFICfCRGSJ7iUf0AH3yFnZE+VCmuqSA6ySHsEI+ksagNC7YMgyefONK2IsxfxeFRH+m7bmmxJ 8bU0opgbLlxYS9tZEshpvZlE1VDp13Rnko6ouD1le4Z0zwbPbqnyj2gL+lptCtoNPNICvLz9QNLS iCRS5x5RzA2WCw4lWgfs6XM06+TBSq5lxuNBzP3rSIKtyUi3IDmimBsBDXAkM9lCOrGwi0aZniQK U3UkKyONsLJtQu/P8NT/zyJL1IxYsJ/ONB86Z/b5LwvuG5DHXIMMkTO+JOJIslqb6QyXITZLyjau nmJ4ZOS3Iyxtb19I9Eo/OQUYHD5JIWo7EYTMngY5EpWycJykTpy5oslSLOgeUWkbxbSTkcB2GQOr ewOJyTmgWGbpMqEYHWq0iKXI+wNBZT2mAThyPiMKuQVn48XIwbT/z/G2pNAOWg8ejwhJ3xE3lRrQ srYpigLuRo90GARfVFt2+H9T8NSkZWclgBEF3MLatKTSbeJlOugweGJq4EMYS8JJwDXgqe8MnkR/ BLkhVYfviBqSLLKlnH0wwHauXrIdG4//SzqCKw5oLRij2BRF4TYaGbSD89Ooba+1ARJzUW3bK7Yj CrelHVErUe0cHPgHpsYyBGmG1xWnqBOAT0AybmDj2k7y2kZU1s7yr95FQcBVWQPqRpJh50fIeD7q tXkkyV8QeoPtQm1GFG4Pq9k2D7dBO7CtJiY+GySjTKNIjijclnZkVtM2P03bEzNS6Y+Fo7kmbnzq aMEYrKMoA0iwraNN7v8I4doSIq3C2lTD2qA9YpxMrFE8HmFt9tc6Ym4L/3SbohCuvVhiq2bPdQ4m DwPKIJdVWmNbNnAnihwRXBtZ51lAjUcNhwsgXQ2/AdGR50ZoPQuyhUpSI4/zC6xd9bZRm43yhZVi iGfI0ns6e3WRaa7MdoSB9q9eJNJGFOl8iqKydmNmez7ZAaQdn2MX/x6OImm5m3DdiALtSbsgCK9x YAk08zQ6q0QXM7gRFcEpNQChR9khJkO/U5siHHr4jig4YvIP9BgGrGiB2vo5aJLpk3c8/5+6bIBp t4tDHmGgPWjRRU64BUfuJth2mxdpU1zcb8SBNrOQnacPnoxAfntLQ2KD795IRZpEwOMcrOi0+lZj BDnCOJuUiJHE19rI5ZPC63SCMM7SiWIWPiBI/+t3aZtgi5m9tD3CQJuHdmdHWIWkQnDtIvUvdTuP eM1qs4Wl7UIVaWBybLDMNqezlEYmHgnY9gyehaBciB4HNsv28v/WoR2WthVDwgAABuHgIjByRGG7 UyHmbOeC54NJGt9dbcDn5zNJM6xtVyazo5hsHdDt3UAkqP4YiARoH9VIZijrp8u/kN7OwfHaJww+ Z6MJj8F8IBH9Ryvlk+8apH08RNsZYkiKwf2XD8K1nV1rZou1VDwetUi+cxEwK/q4ae2M4mxsMzot CjZy/gldQquQ0LxO6wjQ80pZpJAeuYdE2ZIPt/E/wSChGjnkW1anaSV1ek7kVHkkPnXbau/7VwOg JtbcOBg6Ypq8PZouePxfRxKPLxz+6TL/ZxRnJ3JsUrn5Gij0diStXpIdSdBdN+2omB1JPxfg723w rLbshUInNxtwAIXoiAE9yZwTaJew1XaBSAokzLC4zcWXIKxlgwokbWY6IgM6W/H4f+H9gWMzkQXZ JEWRNiWGCsUvjNJWsmu0nWzUwX/nv7Bze4al7aX4Uaj2es2Xoa+IhdhpOXXCW8oP427DUQAgaCuX 1c4tg++IDiQyR6sqbS7BUDPMedmcbCeowOP/UtGUYjTtvTyInFGkDREGeCeZlO9JpYQlmYxes9Vs YeRu6ggzirRHNXhN88HWUcPNxm4egP9gbENj66S3iCUBvjvT364QMhHbM6RGpi3E3/LB0MgnL2fx /0x3xeNBP7L9EtmCaiAUiWyO4ro2nRWdfvxLHKEvN6duJy0169cZ4UcEjjgX4/TB5mhAf8pUNsYs J2BFBXw1RIyTcJMr+Z/JiMZ3BGd2V3ltTR+4jIDeJRz+LKMx8HhUZbsAUtVrMUc3Y5sRgESF4RMI 3xCputhfz6W4K8kJOwyMPKOytqpsksk17p6dR2dXbTpryFPxbFzMUYf2CmkO+5GJpjADviM6shlG FppxDeK8rTSyiaE+S2PwFf8lRMIlAww5DkWboijSpjMVQseLsXnk/rJLuFSqtdlWC/X+ODcwxvHB 0REw8proaAA/OuBDgfpRB+d9wDmys0bypUM2w4o246I1ZNI58qUhAYupEtvm48EUpRtCcs2BNdou enRGCBJiRctiF13oUTfrPluNYCKT/ekmzD6jSFtov/PbdB98GWV4V1XOEahsCcXEcxzBdXcVer2W 8VS0k143wCEnirUIHpHhLJRNmfUEG8KoneMUz0dIpDtLOuuB1kp3JYW8SIojQXDXZmm34gTbLk07 oP4o2arNFgqRsGXbNlkSHGwlwbs0D0Rb516rkMPo0m3PVA3HTYFuhs3SKnrdqO6PEtLMLGxzEF0L l4J5LG++47+iyGw/4c+QURImaUWRNtNhNotsu+0y7WYDXbL6iZSHIf9XhCIRl60UBtwcPPeXP4uM qM9kj0n9RFjS/AAzCa0+19gQ53+FKBLaTY4672Cov707USDnjSsej2R/vBJpiuSoaPs6WmFFuzMo yhcYObclbwXeMz5FebmV1ApRJEz6z87aPtyKdsKRsRhp1/N/EUVyElqUg5CsDVAZDIsErCO+Iypo b/m30YKkZERLPLUBuuHFdE63gucjTJvHkOyCTARH+8q1rVBIW35b3S01UPr0Y7vS70Hl2jYNYrtC GAkFJGGZq4F9QUojwVS5IWTDkYR1Sk3yRYdOIpNKegKks9rwHVGZjaVI+h5qENJmAHRBZcSTV+Hx f0naCc9ef9q+Pe0VMiPF015PmU2Griyzsf/Jov8EypLn0Qqh2l2RUS0++Fbb52DbuOpws52Dii65 Z9qm/YrwMnNGGzFtKyZGkhEJkDcG8Ll5jaOJI9uC8/cUPP+v8whvh6CHQYRPUhRpLwpGt9mLtY+a BBE3a9pre3kEl4kmKWRGSg8ZIpg2eLn2xMC5bnFsTlgEWCRFSDCduMoyguQLs5l63ajSxsYhCKis aQ/p/sGmeYiUjMoSnv8vMRL+1DPT99COQu1EQdu0h9e0n4ItwhkXazNmBCYpFiFpEhzfPlgN6eSr 0EMsKI8iix2AsYHNjn5XBp4E1UQ3t0sMJFeEIVkS/Wl7+GBpbWWXmfkIXzKCkPTvqw2Ml3NIeNK2 omAbqhSo2aZrK8m+jOhaOp0Ga7bNgu0VBtvE2eZU7uBLicoIiWztnTqEl6lCglrIRmMJgm5eRYJy G183mKROMltJOr8vYnaBu8guW0oNjweTdMURVVeBfc6ltK2QHZlI0SIw8X9YK+lE/0GwOF9DyRPy dF9JIVy7s9+fqPvLwVYSukdQBad49JnrKvQfklHAE/Ajoa3uCBJcUfiWKEhi279UDcVkoDbghYwk YdaBx9+327psbcXbJ4AgNspmKaRHUvRnCL6uMlJxPZvWqu832pLpcgsr23Q32JU2pRw8AmgwB07s RS7YCHQK/w5m1QmqU6h8WsO2kMuHbwmQ/8QjA7vuA9dEgexUEtj2JG4rirfL+NX4h4wAtUg0SVG4 jUoram1fXhFmXoOTe7pe26JjC2XIw3B7GQip+OD5f4c7F+ymILM921atbbVzvmy6VcG6z+OkMfS6 URuJJ3ejgjQH7Ztzty7BbM4hiMeDEGB/H9zUtEOaoznaUbRNn8ATk2W3Q2irOztCYiLq2ALoIyXy qK5tBSQlbvkrcUsAR4CQxKJt61nWW1DG+AEi6QdtCzdvZV17R9F2Y/AIZ1MftIwAl5d21EgLjwel tv49RVVlJM9t93u0DWYOj2q51fWnFECu1q4u1daAKtEUxTokUkXu2wc7kaByOnCmsT+Cw6kQ0gYZ 6Y1oH+yAe7VR7n+HmG2eRHmIGTmKldogjl8EaZsntd3v0TZ+xu8oqYD28wj+7xCzvanWXv08OnfY Kjf/TwZDgg6JiSPsONhOwrJlH2wZgXF7gpskQVuQYIcgpCDu0em5JJf9LbThxZdEtTaRtBhRchA4 Is+iu/R81cbjkRDJ9zrK1LS+EJsdEiOnapDlcv66+Uuf4yjv5AkJLJs0RyF+JLM4klQqSY93zaSe TWtSs1sofZKHDBDvogwq95r1kHix7SjchlAwsMjtDlbW7pxoyCJhHUUAkpbbd4hEAflHPXKHgG2x taSAJEmS4SobWZNE6whAxjVJMTGSKQmAPTZYSjJnh+6i6tonmm4q/at7g89FFu/FNqBz8R1/iP6f ZDLTqHzi+uONffKRonvtLKmN5yOs1q/2CPDa/WL+dqz4hzN75p5sjmApZ3MExNiPy2u5NcKOASRq jwi2XZ97bZ+zCAijJCHyDZFEzhEE/AkJYRVJcO019LaRxw9bR5n+CBxUITmpyRK6veLMDj1s0jd6 FIhGvpZNUQQgQZ5x1Uew14p4Ep1CJNN0kTqiHJuiUO9vqXoklbbyiEd3BNrwa2fpH/lfVc0W5VpI IgirrZZ273rbyEdrUX9E1NHl1FF49XRptZzfAo9HKZsf2ZLiQsl2XguSEEDCELLLUqw6md12GoWc xItk74VTFAJIJIcMsxEbrKM9wcXuleKaZ2EPyJEqqzuhN1jhKFyatiY9ifEdQQDJVUSbUht0Yrde TfB3YKOF8trLuyOy0YQd8vR8bYdVbRLawML2KUrmq0VHm2RsLZpDkhe5wyib2OOa0x18o6FnxDY2 zdgMP3KWFeLuvoHUunqIBPniO/46jPakpxYH4/whWGdyUevA40F5JI2vSw0R59yXF7mjGLvRb6zT C8PEtXyjAcy2fq4fm59FEXhk0lyj0jVbg03R+bXO/8ehB3EtuC50erbCTbUDcIxSg9tqs1q7Q/0R IrVyITyCg+60ch4X56/Mgcf/pWNHUCQK/uXmasLqvB4hcs7kOmVKm+maLexIp1h0Rko72avt+qgg yE6VfhDM1la+2dpYYFHjykciss+MoKYB7giFo2GMXRP1/uXTvra9cWA7xru/JEn/0D0mWy6SZQx5 LprJD4iIEd94LfVNx+P5E0r+ZZFsEPnYhsOWIoQE7UhKk8BTZ96Ziu0hK7W1ZURSHiMSNLAbLkoa kcDGqzGv3dRqQZcfTBtX/WGsjW8JJkoopC5Au4P+zzLNdU+Bi2fm85F4pM/TYk2yo5h0KZJU8Hl/ jsXtMi3IZp3bDSR0gbCS1GczGAkdH95vjy7HFtFt2np62wXKJIWU7Q1INnQfEVRgCycYgpRUvoxG s73xXzIbRVjJgiaP/I8TDAW6WJLwY01R0D1+CSSCwjJATPGZimrc0IZH9uaithD3cgxg7c0BN204 TlId0Pd+EjcfTgobbEmdNXQ2HItuMN06lzYW/Jn7RuF/6Ifl5xhfhErgayKVhCUNqemDcZJSkron RGH5fARw+54o6MqOfNtuDA+DpGZrdi5NgoRZ6rZMSUqLAUCZJLgkpKjQzVMK0j/Jh1t5Q+ets6a0 4QFUtaSALgD0Dd8FhLtqSnn4GwdLKkkk2ShuDrw5wT0knvDPDTuJFKJK8i9iErCh+4Fw5RTF3/zD z0WXq/G3KvYATynEyVaiPAcAhHlkTRZWu2nWnuUolR9HKRgnnOiyb9klnR8c+cpZdAgoNxTt8xzz Ft/StheOSgJViuTNB6vI9iY/b5Bf+Hzku/UNv0FOOetj4JJilxtMTpEjotza74oaPYuDA9FtAt27 PioIDthfykkuZekxTDibpdSCdnSr597oKdMIcDVWKQGdZCxrxzmsLfU1f2lvno9LPgg3efKEqa7+ mWw+H1G5f5kmQeKIpp0+U7FGCYHKQgOw/c3GqCC47Mpqpqazb3IKq960H98SaeHghxQ6ZwMkDrgn 4WBJ9JSsqKmfXPIs5npRJqxn62v+YHPXmYtI75RLZ4XyxKzWGyiNz/8T0I01j7IECvB3psKQfLGI gnBS9cpV6wWaFEv3IFVSptsCRlollVWUPKlCysGxgdCT6sDDo2CZ4XbL3jfhIvvcHmAfXmzgtPeN xAF4Ru2efFA17hyRja3rE9oOPh9svf4r/cW1n8rX3gtr3+JUS7lVAlN5etGymxUeWCKl+jzFxW9K bEg9msPtEIxCZwDsPWiUDZq/ZapGwC0AheXLeqvFXjjSBhZfqWcfNFEnc1OBqw5GnKHtTXMuDuG4 VGEed55Cm0nOKOuKP24MsJKtJ1j63PwlXwfFEG7SpDC5kg9ecCqLplLbXO3hXYFKAaqQnbJDu7g6 cKEnKL/lD153a0PVOb/0CupZplaexuTzUZr3je1GljjzFb/NodMkMieSBDzPg+WX8ryTuFzH0ooS nc1ThDlJSSrKgjC1RyA4QcY1o3SCispZRp3ZzoaOFeJReoS78h3tSnLsNKmSXGt30DQhNqAmx9l9 lc8HgXn+BahArWBdxGmOvSYzS3O93nR4X63pRoIWQ4NFJUFeeaHZ5JJYWanLB1tOyOrOjV9pNnkS XilMgfPVkI+eG2xfzCktwPglfykE4jrQYETKs69TZth2foLB5/9lpCS3s/LDLoZPU1QKRwcNabCZ b4LfNa32NEotLluO49VXUxiU477bI1Obi4MVn4BfPhEYIyi0HFuV/xv8Ihr5YDThlvnNyPa+f226 uShcxkHoHOhaEOiK6h+fjzadH04MSxspYde3LHScXFOS08Om6ezy7qJTpSVfTRO5lU1TyKeski7l GVXL4+8KvYkz+UnCXAUueKyGI9XocPvOyKAsdZGkUg5NJ9cURIf1lU5Q6A8ROrtKygxgID4f9Hl/ y3ICiZf6s5wiaW4Is6G7m7xOJ/NG7rpCzwsTnkIcq10Xw09YhcItb4MDK/dAaEM3pTGg0kjX7oHi zoBPo4CVhmRSVBDaTmZOELCHPqgmfkI88VDQcufzkTLnNzsXDSgKxfo8hbRKhZkledWgp8s8TSPf qkF1lG4OfSeXnJQa0VwcPCiYsL+D1hNETDrMjxZNlYHWgYBIQafWy3WqQ4XGk6CPoLGysw8GGziT bag4rvooHt+/awbQVKjjWU9ROE7dKlTpxBZAp65cXJxO8ROGAMDefJ4iJxwckECfsNHCweHMJ1U8 4dHkbw2av4AYJydOlFf+YXnS/blrtRf+i8hM0QYbdNtBnIgIy5PKVz4fkQd+zRNNHb6CpzAYJ7+y fjGZ20XGz7kdzwRjRJ+nuEB+mZW/CJZQeD83kXi651QC6huAJoKoM+WbgU69YJ3e7I0DVXylwHVP H5QJn1cUV6esVvh8hLP8xdVtMMWdz8YLgd/MhOHB5hM1TEP47Hnld5wo3E02UbEF5ZBKV/LBy3Wz E+I/GY3389OTY7mg/7gXKqrAWvxOW0IPSoJ1zyWpwkFzo27wo4bCp5NQ8vkgbam/sJbwfKWEqE1U aEMJxV1yCB+9rmGUnbbJ7uREldwM/ZVDH0qU2XDdr+mD85rOuQRPBZYMxsqwvGKTHEg5oHuR6ls0 ziOAXxL1XFikqwSkrEqBUnY0wbJnGlxW5vPBPN0DSpRxsqTuegqdKCcvPBD6Tax7lWRiVH3C5CyT jVpBfVJlJbSiHETJ5U7JLg7e34TDBPA0VOuGPxFhKwVNW2B6YENyl5P8ukMnSjAzUaJbywdtOzjw JPm+98nnI2Lz+FpOmOaR+7OaQlGTpYJvFz0XeV6zAtSoYEihfIeS5nTj18CLEpENHTvJ4NHg5/g+ kwQ2OQGX5+4c1MefEARBFQFmNcsRl4UFMH5NRNyliLB4cgNSI8RRnXc8vzUrFP3sZX5AFJBfSwpC CjKqYRdSkAM/SkCjxAMzgWXUT/qt/qbq0uajba/+BoaUOKG48dq8g59QCQLg8HMiWA5dmURDykKk Pik8qT533rY3DnAFVc7mTQOdDVCgg7aY3N9K1mv+q2vOBQhP4nSVKXLgSYnQiy2qvR18sbYJVUJg cnsi3OYtQAWmlOD7N4mbbB+8KZwKxBfolHvCgpmpYnFizQ3pLBSYc32q5NNfOJontqj2IksVaaMk Jc8uxH+OYklbkx8QiQr+EjlDFlzXM1FRlZwdX2h3emVljHTlcrr5DJ3Ec4zhE/UPnRPUAWywoPxc QgkUV6vUQYmvUedkcKMMlMov+jJXe9+/dJcKbTc1qH0OZ9guebfa+Hzku/xbL2+Df3k7eaEzJbgi QKqsaYW6PrcXNPdYZrrYCy4km6ZQwlsVFUnCtisJi7YCMAeF/AKoAeSm02zQigHCbflRXlrT3jfq Tm2ywWDhqkGh5llMQ0jeXjefj0Lyb/MlIF8Am73TFJIw2XPps7lE9cjWuwNaZRXfdtDatGn62w4e jUcfvOeC3jDUYCnAgMIjT/uKDHLS/gnRQYUc0Nl2Nk8hYEXaVHDY5dB+eKKcOWOnGZso6S0jyPO3 di60VXt+UrzQnrJThEFuKuqgz1ksFT755LJUuE43O8uBP2WmWjulTpMPngp36m80Ip8Qq22J5iPV YMd51muZK0G4HPpTVqbAuVE7hwOXRUMUs6ylkPn8vw4n84SHTGt5CpqhR2WimfdM3YGGo5toDlzh mh9POCV9omIyZntXYigTygWbWlUnHE1EroFBD+QzeAUZxTIUF8nrKfbCQahJXHgu5Iej72ELaoNb LS/c2vn8v2RPWeQFXXWWB5MROlVOWgzmZUBnuMI6tBchr9rqtZNOqZ0XW1UKi7lFg943iOLlB9oD 1RhwlCx2EkDOQFiF1vnTPidEPIdelWxJEWXug4PpvNnJAnlgVvkt7K2NhyL5vJXf0K1yk9vbyc7S ddfuAQVFDl9P2dXhcmBXid4Ncb0oItvgB1RBQoT2M0iZJ2QhKnF1OkXB3wjm5w/MoNsLB0Qxqnqe q3/7oBrUSfwLtyGUevj8f1H2InGxPhle7FhZVasbHhZAxsXuOyWasqumICBT4dCysgsFRXNYDV4z aFDOS/TRw/k9YCN2Tif0nM89CJuTZ6Lw89gbR34DVGJkLQpp7/nZKHxytl4TPBPrjB/wr5nCL0n9 H4QHPlMhcoWKw+eI8FZnQyJhralUfKbKutXf2LhyydmbKlYcrCk8G1Gy0DtptGeqQ9UVik9BeSfj 7UGMftrngXXlOVOV3wErjAGa+KrXQZaXMdRYI/MDIqDBrzoUlmZ+ABmxeeUieVVaaPwneUy0Hcxs 95gYivPqcuBeCd9BnuWgGNlwUYhwrdhTdSjo6KAQMzdgQND0PEuKOAOzivUXjuqaVNOTEUpCKVMY VtjNZmq+wKGHHxBhfL4RGVAWGaU9h1RI09wksCboChC50rSOmBAXWn8RuYKyh+oGoYmlcNEp5eqD H1In9TmZF2wsEJyfnApcxbloe5gAY2EbwQk/qdsbR7ceNl8vlMXhYIjNPsdQVI7KZuBjiXTNKwfY s6SNl6eyGRpZIuRDdHB9dSa5syKPV7/0AMRafkpF0BVjsoC2bIOTfuCeDDslVvvPL0rIJmDkCzgk 5sTzIbR0e+G/GnknO9eAPorM0PuJ9aeUYLmiQhB5+vayhA/s2Xq3VB6YWWLrETU2PDo468h1iBrY KD/uQLgdiBi7WS6x64xrt55Dqo6yYfdNevTZL4VbD+64MGqE4wDkyVxif/sbR6gx0FnnokDKdHGx E+DA/2non53PB1vvFwi4URyj38A8NLScLAFPRoesRU2pfhLyhvyLtSgopHiBJXa0lJB1E8qupdtT KJQv6ezlLbA10HeEGCHarYkaAXtcR8utWnloadlFjoYTqw0CQ1VIKpFIdn4kPh8xyX6DofAupdU7 U2GxfLJqh+sjqzkMBJn5NY7pxc0J4I8dUnFkzl5mVvkkJwdDQSd4nX3ccUjBVW9RTOYcNoP1Z1Ck 21VuAs/D3jhit7JmkKlOxMGuvRPiZonu78nnIzdCDzklOV+oiOETFRpbCto6xs2Ju5Qc2B6u3f12 Bs0OGSAEzpaou9PaKtOUiIN10aHVifyC7AFcgptZYQJNrwEfDgcwpcSZEpX8kmCamLr0TmkCELCt O1xOBsmdJ7BBYG0podIv+SZ0OtYDrgudLQe5gZ1mVKrYqZEAz53eUnMWBwItZXqhteXi/NTNI52D TVPGMUXZVTBd5vk3U+IB/Pggshbi4JbpDYVRgbel9jdUCQZddwAUECxnIIK1VA9t9NDdMv3St4bv Y4cBtE9UbCbPeHxsZwSNacxNudhZCrPLdPBK4G95M72aiw8WHYzzEp1KjdADqYWtA7gSIoVBe7hR CFSQsVTsfSNUBsPNLS0HiIEr65jII7igTpi8+AERS+G3DniDa+iz70IhcPLKczJZC1A7lhOCy3Cx q7YVUHHf/cNRPtc72IKCATVCMvKCykoQniHqHJVN0J+gbmDdF/kT5cDh0ppUbaAVbYOV3s7eHU6A 4fNRpfwe5Hy7gZLNtQLJgcklSMGLCUx3h6LerrBsz67iXKEHbLFB7HKpoGAIijguOWhOyNR1KctS wGnSViUhk5gEeEtdTho8aqOHNpdTlmCCr3BQSjwgO8aJOtcPn/+XHYi6w5sKGXeeQj4nPVOyWsK4 +/JMDstYdXoMVSqdLhAaBEaXfuGRkGqD46THmSp8HMkcQH53JXpnAcg0pT0qfHJNz6HVJWlUlW5Q 0JgDeF02RQ3kELqQnwuVHxAYp/82TwNjbT/ousDtEhmxLC5vsNmlW0glvnTFUxGW+4KKonL6DyGj mz54P2+dS2VAuRaeV7gUWDsgrTOngRblehqf8k7PgeMlgk3OVKc3GO8dqYM0gJ3Jo8ooHYSWl2V+ i8zmXuRze2cqKpeTzFez2nnMiGd3czA45P6YgHp1E94cuF4iHGOXasj0ejjrpZwbDuRsgjPhnQoZ ZCHHeM4gOGUfXfMkdlBgewnyicxTyMsv3oUuA02c4YIqfP5fcE1WgSlCiSKu5unzGkFV8M0ad94F RNk/saBWmn5ClT0NEPUaP1XAoXjRNUo7cfBWXu4MxYXKmABrVnaoMojUU0TLS6FC/PQaPVWXK6Yi og2aogF2JPn4beHplwmqyNOUtewfwcvyD61Pupgj0fRwv22UdVQuWO36XU/6aBKm2XD9ab8F00P5 dMAHpw+OFhvnb69FqR2AdRJ1QET4MwvisDamuzksHUuv84PKDUkbMH62QfOTYH5kwkV4+m1+EEsU mx+rqqO7VWx+XgOmCv008qQk20yaWXf9NChJLqNMnXTcVHhew6UqOSqY7uQ72B5j733q2D7zcRI8 avBudJcIjQRSysLKSeT4a7BUcZIgOZlqS81hcGLo+pyUmvj6vtvnNVLC5O5m08OD/hyXDewYTc9r nFTtOkQQ6aKgo81tBczzP7tOwaCUG6bnNUqqSHSkvDfvcKHQCbZNBGWCkgR3YmZ6YGqgRobz16cH l+HnNUaqkj0DYYDTM4jcNEVQfAVP6nVO6tcQCX9qL1/zA3dt9K1sfl7jIzy0aP7lDTrCMR3e20v2 niZ0vMxTNtpeW4tC4LlxwXMTdbjzf2NVU6KK+4rMaer8Z3qlVt9fdBf6vAZHOH8o4zgLC/0cFDpC cZLyQuyPv4ZGVSoQmg/pXAGwOIgUxwy9RkYVGclkGa5lbxaM4rIyJ1x3PxncL2aXGszQ5NLJeRYf bAWdq3ifMwcqDi23hUBJAk7IuhJwF6XlK1JAWZLPa1hUwZomWhW+gDYI5YTyldxS+yqf15iomp+n ZoiTOQGwWjZBrxERjnU250rZyZtzK5l88zkP223O4ZiQw0U0Qca+F+65XNzzubLW6hP0F8LAOl1T IQSKOkBCSQllON9jzNheg6EqIc9zBLTCw5WMmqWrPVd5ySC0+7zGQlUG67alEGGifqcKJfWQoyO6 ika+ioeMe3khN63+2KQ0u8Jew6DqqX+Wl2x+vGQh9n0umCHmfUaRhCapS/nSoB9hvSiUSUL5axhU TcLh/PR1++Cwy5m0x04g9XkNgviK01cQXWdQlUQZVTP0WpjEzTd49PSnilSmZ/05X2YPZABMJS04 pbFL0f9Owwe/5IE+ro25LFCgQAAipAY1JmfcPpUNfkHkFk1SXouSmKFNjxRoYnOoupBwBtEQ8wTU 0AANZqjv/X0KoXY1Z/U19FqQrM7OBCLEOWL76u3M2v2cnhD5kMZFdE53WTYQx5TyF47ppC+woZk4 hTrl1pmdsfeeWBIeiH0NGUfHptdiJH6GtdlUokZ66gR+kuyLm164uJU/r6VIXmS+ydgbQZn6Zw1W bcUxDuaINLbKFMD4htusCVATcVjcSYe3M3qiUJq9Shijdx8ctwttmTot1Uf8wWsPEQC6usj50k4/ v2TS32l0WEcsYlygpSNSa28M9hF8DfBXo602/b5nVxOZfs0eLr6T6KqyHJxAV4uAepE6jDqIJDqM BipaxucJA2qd0wwYOXjdCG4B4Pn+0D8YkgFD+p/svmUWtos3dNGH5PsGB3ZhN4kqqRrUAUDQLmeL 8zt/3jl0OLD3+gqKFvoIKkMK2BldaUN3/r53fr4u8q1cBbCTm1xAXDBJu3ElrbR98Et/DKAG+5Yo ccfFBRwFZBIGqmWLVuUKioYQu8EUdVHFtds4aIp6AjOWqdbm40FYNPbXpV9R+N2srHGKosAaFmNU s/TAmn1phUW5dvdHAQXbkQHBmd2L1BqpJMfhQpdOxghRQ+JvFuuGaE5in1PCrpT9CDcL/v0eW+vU Hchdpg/KzEDsIOykFgBQw9h63MAo/cj9Y5Z8c9f36Nqk1VH3cAZ01j/JgM7bYseGSphXaaNJ4tU/ NvmDHLTZYJ3XZwbjnu4fK2/vhlP4DdLJT3NkqvYYnkdkhLUtuCA6dIar7NQWTPTN+7wz5xhbza+F lCGd0dUc4SRFAXYnamnO7z63F0BIUdQkJci7KsN/j7CRozF3LdxsHDyFbVBOb01EJ3CgCIIb4FlD Pf0EkMt1G/PI9rrvs7TJ26Gjiw2cpIq4QhKpJz/4vNPmkE4kn6TJSYLLX2WBlt8aBtldfbZ6xXZZ LxQYYLQLBkDjXpMURdndHD/pJc/BT6QTik5yLlXGbsBHAdm1UKgh5Hz7kURfaH7J+5Ek0k4Dzt4G raQBgX8FkXl/3jlzzIT9SGpKWWBs6SHSO2UOuU9iKWQW95EZzUuzQLz6HPEP0hxFgTaKKnD9IpqS g89R3SCoovEI2/dz8xP4hd38M1F4YXDtNPrZ9brvc4SOOM4iNW7XNt7zOvdi57HbU+fjQRw5v3M1 GCFPXBs2R++RNtYR40g6bsmPSIHRomhzdz+iBAKPirJRqI2AY0EBvfjgmw38+SKoBGxFK214F0sk HaIAuF1u26jrbd+niNX91uT+0a77R2f0q2wW6jpRqL1uqC1cLi7btTyffWfK4UAikza15D7EiXI1 nKO9d/25KkRmkfJOlONvhL3GkooNNke1QwsfdFfIMaQTY9P9YyFjOWc5JZvaU+Cfet1gkhBGdnTr fNCBNCFQKgkiYCSiaFtgmLuOoKSRb4T0TpLDBm9kViRrziISmO7ZVJIlbxVEJINQvnPkOEfyayCS pIyLJNkoW6eUaCOzAfiGKw6cd881NSEcm+u4FnKb/cd3jhxrFFuYpOaDktqTnfBlYYyeP+8UOeYD N0QivWBQZdNra+8UuWpNS6zW7jESvWsZI6XRXdi6UIgG1/87Q646Dj6be8PdbGdHwPd+Y6k2+BPA IytTSQ7XTAaJuJJJaicS64/vFDlGgkxscTgMaFmeFaeMpAG3zGwVAijvFDnebd+R5FR7wdO2d4Zc NXD3ibCLl0fmuE57bT4S6clxbu8EOSvwAd8mQas5rm4zsFknGZQ42lm0wKYQjAvEXEYeUSHW4ywd raX3cDtJTudktUM5LlrevLXPC/YuH+0TTH7e6XGc5f41S4W9q5v/v5PjEG+vpYa/Q+BT715ly+0q gKPooUPpnRuH7xenYrCnphq4Ntyc6IjjokXeBo/USRohSoBZVkWgkrheBdVP3qlx+BaynJc6RhwU cEN4VXzCOevnnRn3qwbApQSmz9x3KUXxNsDJWEr5AuBr9mp/XcM33ISwvTZcWM5eXENDTaNx0coL wXaloj7aaBT+mlSSz+gWQXtrzMs8kXHTOy0Oc0Tcey+t+aBy9sx2n7bzHZ93Ulw1UJFyWz+VoL/p kxQWtGlRultpPknJ5faBN0iOfqdgCCcpqmiLxZTkusfBJgmsswbgC06lgUMWkGZU27jfoOgFsZV7 di+9bxAnFak25+mDkG3zrHUhIvZcn3dOXH3Qt3Z2A2nfx7Pf3gNutGVNDs0bI2XWZEuJHiIqAZzd YiXJd0ocwwk1r2koy8H7sgDcwpebfVlEqwyUEmuoCeYQBb3OawC+9L7vp9KirXXOom9no1JU9JHI VsHy2J93Slw11dNnvyH/n+n2HqOImxWdSvs7y29Xz45CysPyWwUlOpTeI24Z0qPtSAo4Bwf/pTrO mVbJo8iocTe1185qmxD7QTR7U7cy9LrBHE3RK7MMroCLE0D5/KTS8jiH+v688+GqaXI8oRLU29ut lLzT4bDfenooJtxvu6V7v+3lDSQAWnW/vYfcKDwzsR3K4Mb4CifZmmURYEGlFukZoH+AYmN3w53q 8bdcet0onLzeVjYYUgvWpYJB5P55J8Ph8Tm+5whrCApKNkdRyF0ZzlHQ1eaItVOeSVB1s92GJMJ2 23vIfQslk6EAB09LIGzS5W/RaVyn7A6NOPg5m7W1JHf9bYN1xKsfwlc+aIpOpC7377bX+Lyz4Fhd /N1l2yBO+bH9zoHDMmKjvxEsLoRWvRF3y5eKk6EXpE723xE3dPN8sFpShVfXTrK2hlNCYit7AzE9 4MQIezBX9UL/8vPOgLNG2TmHOs/u3Isr7lPKfFoy/XknwNVHK/1MEXmq0MsCfMTmKAaLiA0/vAKw y/Di9qrJUZEQZLI5iovbWBw7sza5s/ciCxT5dluDNEHcRqmzG1cXORSoA8NPq3bj4BS9bjRJpFP+ X5uUyWVEyZw2+Xh0Zv8OJPF+Fy/yTn6rpjh7tpp3kmpiS4ZdkkSvEwJqxvTC7Tv3DduASCP6DNjg 62ijP5VZuCX7ZkqiSd4bVPaZ98huQ28bJG40JFqZFJzl6PnSyh5N4s0nyvq8097Y7fieItTZcO77 FEWxdttMQ2RsLfyIqXoijLxobeZaBCG/k95uj4Q9Qhtsis6cnEVUKL1EFhY7tqjbQgMCMUWe9zhi 5PF5p7zhWiDc6NxcNCZGpVDkq3EOavreoYtfPu+Mt/9XJoESBnJKn6Qo1oaKA+D+15hg1+SQdsTI Nkm1JFPdf+e7VdeggJSnD7bX6oBJ+qS3ZQNwt+FaA/UbWjwAfBWvkdCe+vNOdmPOtnUOdR90HNWz JsnngmLH553qhuhkre9lVFhT8VrbO9ON4YYBjrLN0CzDfQl6X97V3tu5Ee9EN8PdkRORfXDMP2QY oTRLHUbwSNmPXB2+1o0tmvy0SIa9bhBnJ9LgpY4uXUSeRufQb7rVTsr5eWe51Qf+eNs3ZxX1C8+K wmykz+er+nAcO0NeA49ss7s69zV4G4wg3zlugIclFf9pBMLBAVpQyktd8szQR9rk7BJIAzLg+Ti6 eBttstv7RhXJqYOo+qBeG5pewtesyZeMerYOgZTi0PiZMkjnl0ZhtiLITmdfc/+6Vz966g4gGS6L /s5vq24jW6YNBNJOSjCCFl5xzDVKSSEhYa8NeTCSoKK0tj+I43d2G8pedEeFJREHaKuILNMnagy4 z08k/3lntzGE9N2GVYOC7dk4914Lw2yudukQMIRMu15SN4XUzUWuGMrmndtW5f8EazQ2ATh4RXLA 7x1OtK3us5BS5b2GHiUgEolwlcttg2krv+WPMlKFoRgG4F2EpN4DHGgyR2bm88F+299nEhjSY3Sv bb8T2xghJSb/M3vddpjHLuw/h9s2z+xyee+8Nry/DMCwNmxw9DrY7yyZ0NxisdK5JkhcxK2AOEcG YH8kAt5pbYxW2LDFoWCDDqWTCqwkRO3On3dWG1/yO63l8Q9tBsNEhqE2a20pmX0sGgAoLMksJfFQ wh+xCM0jKDIOtYcq/3dwxBbotifwEvF2jCR6PBxafjbcGqipZCuJTuefd04bccMsbks1qLUx7ZY6 mZzOJBjVfd4pbaxS+xyxI4mqzazJU7Z3ShvvpOKHkum/UZbqXPrlvEQl1k/GRCfOw6H0zmhjWsvT CAhjG7xAAo57oaFMRR9y4o6B68U5N/dGPLGra3XRX46v+xesrUwtJPkwo9YGm7cqN5m0Pu90NkYA vwJJ+KM/Rdt3NhsXEhVORWGjpn5L5rrT4TXxI039ghyCNNJ3MhuvACLaiqQ8y34k8s7+QlrC0nbt sxbaNpKmngtdY+B9eLO2rvcNTm62bUtWZ9IhqvV8HyJuldr6553L5tii27aFX4fZ7XGS3qNtU2Mq IHOo3YaXFkGZ/RJddNBbLHa7vTPZ7m6rVMXV4Ad3AQC2KAQ4qYqEv08kj0wcBmE/BOtbCMAS3eed ysaiF2NJ3FI2aJJO+NUkBzBL/bwz2RhBpK84CSVUtulsksJou+scGte4cbuP7PnD3CMV+nx2u73T 2BAnKfvP3G0cLJY8JzT7GRTASYi8O3uSKFwNOi8jK7fMdvnrBpktVSXyzNsHxdtpNhNbPHfm553E xubB+AqTKOKBxqDNUVTYhjoKNJQukAQ6Zt63LaZafZL2mi37f6ewVZlrnqtfYRIHS9syShnQ3KYB KEBc7P9PMmLozTMvg40Csp93AhuT/yIkcvVBU9QK21IkQ4zPO32N6dd3EYnsFQgE2xTFMBIWTCkt qEiSJVO1bbNbMpxky52I3slrVqFBRs8ukswfNUVlQSGhEGvTz/JOk+rxCRdFB9p1jcf+0982Am1J yrs2H4wLOajUiPpIq5934hpPs/w1RZtM3eQR0jttrbqzjtjgKkUqeCRjZF0RpSYhbxyHMYoEUzQL pRKm64ZwiuD5Kx1v8I97EkoQpDgp6dcbHw1cwJ930hoPT5T9Kc1vg60iCApYCWl+3jlrRFeW7yAS 0iSor9sUhaE2SbVr3PrIms09CU1+Gf7oBUITrI+0EK9N0jGqgj7YYQSx3FHhigtG1igz0ZNw0+O2 AAs0r7MlYPj8juDmT0lV/zsYYCuvpfr/yXbxeBBCTg+PqsLNc6FcLHIL42zAZs8Z563/mnd3RDv4 qo5Fvh4xLSpoJ5ZFGpWBNdgUrQKtFRT2wYo4kQDUBdayuhs3OiqR1tP21w3uNIHaYIppgxPXkuox 5y8ZeDxo/D/IP/zHIPGMdCepR3E2geHnVt6+jmhlaOIRZV7xCJRNRK15j7NxYCPeAMPYB9tqC6px JfHmP+up0hgG4IBz3nYqTA/Ha5mzQI/Jj9SMqOxoc9A6WmPTog/NID4eIZG/TyPwnjpasDZFUZSN ugfUIq5whDoyrLK1fe0XGi5cTVEIIWFHeykVWTcVOVO0MtGSPI3yyGe/KX5COWySQdKf1J/6Gj2q aFdKciYZ7XHQHJ0fYJNDC2Y0Hg/u/V8n9iAC+lL8+p8V7ZPtLOeuT8bHApAmW1FoHnnVv0cVbZdC YGeoXRXFcxyd3xh4JDGyEygkyPwT9HGp13nyNViXWb2WAJIeRdnANhBfSV42DzFCkFKHZIr+8lbw fECEnHe3EdcGz6oTfPix3UMuZGXl3+nF2HnNHL4Gz1RmI221YcpbPQq0pzBbpQ8fHEJy5ikPmyeA I/EbEo5UYPBFA/l2gW2beW2PAu1CZOSUZsTs25w8JqqBbAGhd47Hg4M7fef+vPnzRSP3iBA5CjXJ srPWS+tLLnFwZSq+mFjtYzbSYwgJT+w87mCLCZx+lrYJ/R+w7CQfG1amC6yuPKqrdWf2+/AlUSuS nsQiHncopcvP+rxfVlAGH148H0zS04vUwhuyzbJZCkNtJv9ZhC3muO1itlsaPktpT19KISuSHBvm xTb4Uso0a5yKAM4BuESQmOgWVFmL3ShpUuK1R5htNbOp6IljCdKs6mSfEJuaDScHqxvPRzvuV/0f baTzCj5JYW2bWNslj0aW4iEuIyfZzSKnyra4BllG6lGwvaUUARSEDU5rG2l3ltMAj03gwoA50omp yyA0FlmAGx9p6n2jOhJ7tnICz9StKFKOmiSoYprOz4wPCI7vW93GC6IeOsoFkfQo4E6CbQOrncVg bya7BYN2iUOwIYmmIXdcFHCjJEq01h2c20YBgUJo+wns4ReHIskGPAEHO1B4/fp3UIuzR9Xtypy2 daoBchBoa/S+mows88TjQfrffjf/EcneGkmPYNtdBEnmJlRByM31yHoj70L6UWDoaSlFGBJnbTVZ ejGZrtpvZzq6ofwSTDvIQt7AJ5zvHRShuJ6DKCRVfEuEbGPKlugmz8FqJLCuYSHpbCA8/i9KEnO+ zFhydG+U9Li6LVRyy1Zvo5y+1dvkcbLpEIc7h9MUVbflG0vhUxtsKZ3NB7WJTGlJAIjp8XF+Qtw2 8MfF2bu9KDlIJO0RkKSTJ8kyhQ3GtUX33WDJE49Hayl97beMZjdqm87XjsLuRS5pJ8RQRYBaHj7y tSMuqxkAcETl7cnUliaHNnh7uyM0mlS/ncCHrS2tG0CBAHSEtpxRSSTPPaKwO9ONMRugpDh0+wQz Z/aIAFgnfRth2D1v4ZbCdpistHzDjSju1oZbe05bSZtNY9aS5u4eKzVATURqD6vb0q5Jz+ArCY3b DCgi+Hm5MwSDuAYyPZAMMxSnXIcMhXx8ScSSHL+QbWch8LiFuXGSszWS3BFCSa70SJat/DxHffNy 0gih21lKt9MiynMtp2qzZOoRZEpAnUSzFNW3M7tJq9KjalXmUwMYgAlDxgkXFbgEgMXdqoJz9LUR XqzHNwBVOHxH0OBuZJNssUloDsqVhL6XCDfn/+HxqE/y3QJAmQMYJp+iEEuyhCXpF5K89oP+a83R 7aUZTGJEQfciXqtP6SNKxHWwcEtyBJQ8GkixGcwPxgksmZ4TCd0ODwFQDMF3/EVJRjPYB0Nt2xnB b8DjkX7Ed8iN1Lr3ds+jKOQ2fQRK7ek88uibWo8OkCwIMbWKopB7kh/Ri4BJpTwAyYFSd6ZDztm+ UPHt9KvEH0qHOfSHjG5Ltg++JJJpIamtEG5DxJWYRH1A80/Jfcl4/r+sI1j19NY8LxlRxI3IHHj2 NS3iHrO6QFsZt0uCxqhNUhRxS+inqqPEwQ6ks/rPtQzhMhQhz+mXaTY/UMuClxRhwbaONvn/I8SS sJ6UG4MkDrdHsokTA74cj0fdtm8QKfPbfDuSI4RsD7oEsBxIwTGEkpbflmHC3KC3utXSiCDbRYSt 3IcPto6AajvLqsqQCtKqxJIQtoXKPc1sL2C76m2jXhvC7D2Z3W441cl+6+RUyxqSna8YhEjL734e znBRaZcgMUIkSeH+mhcgeTKubFd/7vMiSeCUqVUUxdqWt5WkHcdefCZgO5/L/pw4XEVpQwFG2vhw /QFwnp6nNkWobuE7ovBIKBsS2xf9xgVs67tW3WoldTz/LxIJuUsQamnLg8gRxtozSeEvPXNk4REw qC7XUqEnpzmKYu1BrY2M/WODN7bb+ZUTfnOUSWA5wjLJlicOgDFwYvKtxhhyhKE2q0n0BAd3qxDU xAg7wdKHsgd9LnxAUAKo3z0AAi644zVLYag91T4yOiSBJG4XX6jvyvOIQi7abGGBmxXZNHhoc9As zXOWAamy6BaPPsaqtPGEcdNm/WVSqLVJOKrpdYOaGzk20DITaQttcU7Shk0RD+KTJeL5f9ZJ+N+C bXsnaYYFbmKSzqs1Zh4IlVAFJpCEQiECksxMRnLnJwWHNuV+B92ANHhHMqWz+dZgMQnIW+Ayznab 1Og7l4Fg7eNh284QR0J05Mg9+ZC8SGJSG7VUPB5M0XOvadE14Nr9SJpRpF2HrLyblP9h/AHQLn0E k5kv40gCEbRSHSmqcHeZmH0NjgCETGgrjLThSjlA5FirQCMtUwgY+/1B2y69719tADDkfNDVVrMA luy94PHoTPoVImFZwhHBJikKtFHyobvEpf/3bH1b3Nh+Jk14jUlCKuZIkv2XpBKZvlUiz1+TNUkb rq2bqi0LDciMZYYiyeVskUCOb/mLuJ3J29OgIsnZxFs6rSf8xuP/hf2HXGzWZyVFoTYCyHNXUDRZ dFtdbjIrGR5H1uTSrPPv+naXElm/SmRno02UkoCzA2y7jsSDG+R2mLPDwoSGueZvuvS20YmUVYys PmiKwNJlXttmWXg8EtP0kqSc5Md36j+jUBuqKScuGs61PWfPvm65gt2QRQpujKYohJGwc1vp1aLB NhtMb1aBLhIIWyceotIEtW7OmYDrCQZ5roZM2PYMCZJJWqwMlTgo919oR/LvPv8jHg/akrfWxngK YUS+MdKMa9vsblcjaaHkkJ3+P5L7v4LFamHkDPVIEhGkVV2lOi8k+QT9gP9Rqv0cGh0QGeqRnNth ouS5rvB/pgIbviM4tBt5WowCNIiyXc/EbznYjIHHo0rbd2WbUsH5ZmwzgpGMJBjJU0GSaxLDyHZD JCgb2BRFle1Fnlatq/ngJdsT5J3HiSKdjVjGzmQE3tkoq+1HK3pQNHJGkXYizWZW4gAmNPur10a2 GeQOvmKUr31r/qFh31b2KHKG1Mhsiv830l5PpK0eLqNI5H/aaaHqX5GXImttHDwZOXfXapSJBusP 3qbokEyQ0CnM1Fkj+ZIim1FRu7AmkpIaJKk5zHbBxYgL6oRmeDyYovQdaOPURePVpyiCkUy2/9dD RJ67XHMp10yCG/2w6tEMA22GkODk++CXGu64DWNWECQTSuWE2aK8JismoMVuTTvpdQMosmwUlf5X OsyZPCuYL9g+6/yveP6/CG1UqFmSpqFJCpmRxP6PfuVHd08u9N+pgCpKGxpl2mqhGMkSVIv1Mw4O /NtgWkAtGzr/rY3K02jjjxp0QoHYrk/SKnrdPyQk4HLVfRBfa88l+RbUGfB4FEOuX7kIkIfdE/8V hdnABqHWfwEAu7s40rnftme1HbcNF9KKcCTgmsNVg0FSlWKqerYZmFGYt8HlFVJPNOxeLEvA6KFx Hf0vY1+W5MquHPnPtZTJMA/735jg7hFIlpRR50ptjdevT5JZIIYYfFgP63+FOBIWjqawkfPBRvZz 7VdhbWrF4xE18hsjUaCLl4YvoxXWs4kHQm/WpmhJxg5T1EbzKSrTL/4V4kjkxbmf4YG0n6y1y/z9 HEW5U64NVaWTUYKjBi6DwZHQEcJ3RCU2kiKqbH9Q4VV9dVGXnZf5SQDxfLTVbvyINYc57jCOs0kK tbQr99q+AlKmAMiuthu2nEsqe5S9QiDJUgVyK46ktGNjdaR16JZnUWzPlZCHgN2Zwcw5nEp6gqMT ZuI7ohpbIf2YOOTq3U6Y9JaaTOCYj/9TLprAHEDt9iWQrCjIljhSFi5bRbbpRbas8xslf8iG6Dxa IVqbtX4kHT54sTbDQqPIQoomiviXEEZYEEdC1/ThtPnbRvVs3GszMxlGI+pHshh9gi5HAPaAVmuI IenfpDacMUiNvei/QlVttWuJwBTuv5DDDkh7YoymnLYPi49WzI3UNqOoHwcv1u4Tr6e9TdS/FFp4 w+71LDncZCRdX6DN1OsGdTZCkdEa54AMT0HkogwWt9vMeD6KkL6F/VGxn/X2/VcUZyfutpyrx9k5 X8uRmapTkUobvpJCHRIeNIRC2uBUpDnA2oL5LWjJiV63J0Cia1uG/0aB6Y/52yWGkSsCkdBSsp2d egfLaSESoqXb+ZIRFelBbRFxApGtfXtsK4q1FxGAfT4C/3Jy5eVGVyM52KxlhbYVxtqVhkhDnq7j 8XStMI+qjXhkCGGXTRX3jNxi0xduzwtI2rvrdYNZ4kG/hxm3bRlsEX0n1U3Y0eDx6Hb7rvwDtDXb cyhFwTbaLuckyqN6nW0395REiCx4BJxazGpkhZjtLgkp6pBwsKWEtsEou4pFCjpCF4QE5avzwRMa Gw+GBKkTviXKSEiNnDrBUeEUHGnWqootoKp4PDi69/cknVXwM8ez32KCpNqPzal/uH9uJ9LpEeeP S367hRgSYkgz4i0bPNw+H3PCXFja1Z025KSkZI9KS0r4YNAN1a6Fw7LeNzDToIIUFMd8ED395DKF 4fZZsB2P/0v5V6CcDnU8T/5XWNZeVR1tl2yDwrf3Ivu+YmTbNaRXLLRdhEKaPvjJfRJRaKJQsg0a 9JuGSQn36AYNkOpSHiiNodf9i7BV2zOoGIkDtCnNmBWPRwvpO5akrB0iRM3RjsLtQcHW3JanJG3n 64igEiWZtirpQos8qmrLAQnK7D7YQppgdpzNxhDghDFV5luwd/iBcOIPOs1u38qq9o7CbVT30TYd d9AyAqOAGcWJBBYe/xfPRvRuFJFQELQ5eo+3z2OTIlsKGtUpcZEtKCUmT9taMYzNDpVIpGZXyvbB 5miAgASwBNsjJzBCZnpOJDhUnQTonHQpp3u3UfN/h7jtJi1SVko4GBDpnIdFxciT2u73eFu/41dO gt4fFq7NURhuJ/Zr25W0E2tf+X9ObpnMRrfmKAy3ySCthWgtDl5oO9d+n8y14Zi8Om5qgkjRO6Qp Y3Lh30IrXnxJMEddnhF9+SBsxPlURg5nbfT92f+WIsG/zXRjuiobOyRHsu0/e7pqLd7exnlULnwE ykmaoxA+wtYRfclssDmCyxx0iJvEkcdGIwc6mzjeIV5GzzpvIfFi21G8LZ3NLvstDoqR1qw6wGE6 hccjHsk3NxL2fF8E0h1Ctqs0EYZ7RnYqysk9QrLSco+oxtjaIWSbylqwcfDBU5JzldVl/KyOn3yz 1AaOa0cdC+w2L7UhJcZ3/CVFktRGSnAGl3LYiR565jo6M7XxfKT698vFBpxXAu5tkkLVP6JsZ9tX jCRn59o01phNjMSd3HeIH+G133O+gzdHFkipYv5BZZ93PTqRaLoyW2UZSYDtNfS2fxj9wIuy+qAa yQk/l5yiKg7t0Mfm0v4L0/9zr6UbIO0IPwLdCgiQ+N1fUbPVFJ3QIZvkX6ewnaYolPzb8milFgmH 2xw5R1xq1EaGYcughOI5bxGZtDNPQmuro9273jYq2SIXPTF990El24QValcEH49yNk9HKA6IXjZ6 WTZFYaCd5V9DQAS3Wu9uQ1LKcJ22nqdPUYwfIeWvCdTWHhGyjpSwJ7bY4LqNw0riUWfSIL6E0N70 NclYxXcEESQrtmPR6Z6DTuy2ihj0ELn97FBh+6KQeYOBF/lokO2wqp2pQ7JJXxOwbemYBsSNFQHq IjEHRc9//w3VPtHP8sFWESD/SDyydNoS/J4xRVDvauh7Q1XQ0SN76m0jWQRZtIzmgxH/clJF6VxS A49HHJvvix+tAui7+hRFQXaTCHmtV19rr8v7o6yh7DWuBNmOsCNTprV73sHbR6iOQNWRjdpz2gxJ kCVWAc9TkCJ1a+1hbxsEkIRFFNA4bNCddk5QFk6hujbweJTRpq8pgtYAQVOaIpUCXo8QdWol07YZ J03XIIExLxES2CfJHchTKELCthBAoj4YwGalc53DsB3lkXNAwhAU5RFeMaiPwDQcHDx5tS9/48h6 jNlso9MvHHVFKTrJCCUXcFed7+QHROhRj5Bk5nYC5R9Y7d25CmX/sog2TPS55QgqIdEGqEwKlIBH mpbPVRhtdwlt4+bSYEdpP0lnX6BjUo18z8Joe6GNvxeaL0CJufQPo22KbwS1V+IiKfamgUsKtW0B Adqamc8HSckvCCkqjT9zXaIky2hBNJl+JM3nIFIKgqj9L9zE4ERld0hIIWDb0pJ6B7/iIIMB3B9V pPP5P4s8EnA4MhU+SypffqPZ3jhCSTAx2U32LYTw/QhJmrTdx4Qra4rC7nHLbnw/RF3wZfCZisrc fAxMJNe3340UXFTd6p5eLOkQ4baZCp0jG7tpK1UfbEmBugOljUrt9nO/9cXqJAEIiTDA/BzklK/m 10RiCbIgJxyQg/GSTrTKkxgxP5+PEG5e6mYRNwMYPZ5zKgq+ExGlmy14ESV2TVbqXvQXZj/g7G13 +U2hxw2BWzAS8uFWAxLa2oVAwHOqn01CuCQ2K2R0NrtnXlaiiCy/JuibsI6b1cfNud2aySbGAFcC TCVSiCrJXn2jXhJ6nDs/Di4pisBhfQHYNhn/+I+17WZAN4ixqUiJzCCJnISP+qPgfeIiVinrfNSS E0Qf0xb/tpS5URI9PwNC1o0Dmk0lr7/dFw4SXsJuuEBt0ERB+EFCN3Xo+cDP9soBSTpow7XpOaRC jZJNnbCanabcpW+zCQtoIuSg4I1MXad5FIcv6rgAseWDV3PP3ddnIy7gJIvnmuXlSMv2M39YVxAm teOc5yW/5k/jJNFLOQg4icMwyV6y6PmgfLK+nVvP344iV332XihVUsyL/MpLLQbmizCcknympksC E0IdRCkUlgJSwgZnmE4azyOybIgJJfd6pg/Hee603LswE/i72htHlO4t6nvX0AwLMc/vWtUdKI3P R03L8Z3YnXU+53q2XhiTsxo313Rk4LpVlA4GrVXjCqE+2nqRYkmlOGBtqhS0R4MbHs+DcvDoWiJg aGp/47hBtInL90IDp73vn/FBGXdQOW5kc9Y9Mzf4fLDznv433m82uhOWZ6KiyBxSwKBQlOzlpu4G CkMK9JKZKrP6RIX1763IQPXv8tS/0wn8B81WYesGQ0Ch3umGsOngcMXKC2VI+S2RPjCJ75mYCg42 Uyk1dkJQd+Lz/3KayiLLEyN244PQa1K25IP/wOwBitcuZ5puDzCTmyjkwGwS5XSCl9RU4WArqgPR uVEBhLn9+QEz/QEmukfUw6LrjVu70Hk7B2aTWrdnhjYB8BysXLBKk9b02dx8PnLf/uaboMtMfWSf pyg0byoYuNM2EuPrL51qcf/tBiVEm6cIeJKyiSgPH6xiAD+8DM6yhHDAPq3suUDNFFKahC+ZAB5d S3JsNwnIUYWatQ+apg5ZS37fiWn4fKSC+61dCkvic7dfM8XYcLJQwkRkN1bnakpWNOhyMSuUumRe 0/VRf3V5e2rVB1tO8ASCTxNT4pMI166IFFugg3Q69gWdjtLsff8iL3E2MSwr/KMzMmWBm+rg85EJ 7jfnBLjyttszTVE1HLAh+E00Kz/VTF9CVuhqdrfyDpSNr6YwKqfF64khsw++muAwBRdA6pftReF7 xGdQfWiwoKIXt8S5Rrb3jTYdDvBzTSwfBNHZqpCxO1P5fBQY/LK8B0uwPWleaDuJew4FfavHXfMJ bLpKKx6uJkCzfJpCRmVWZUWk2/2spob2Q0LLDsVetAiQOUBTke6+CKDyZQtKWSmHzpOLBHhmikTr 2LKAZr96COcn3Xw+InnP73k6j4Dqc+cpEuiW8+So0xsHg8Vl6bynK640iFTRrvvbCgfqZz7YPK20 gUMpAnyB08ewYCFnp1CBwJWGZlJcEHhPOs0bIaYPKotXwOFZxz0fxOcjL5z+lQyjB3Uyt8cyOCRW Eu7deypWNujqjzPOlHAQygZ9LwMP5tB9klnwxs3pg6MH+zmZaq+MM0/m2BLZ8Al6PAtKTFCVvRW7 5S8cxU8kVRS2oa5aKiDxgwhIqOJ1Ph+c4qV95cK4w0a9RmY5MKAEJ4NnEh14pRu8TeP1nIxtCwFW 4YFYfZ4iP5wuDZOlOtTKDy6+QcpidkmZnqOwk16ZxY2D+lchBUUu3bXaC/8FHxjZiF8ui7/QSpZc wtmAfD5iD3wnLo3ODuOZpygcXwR+V9XFVYa6AhQrtW3rCVq2Pk8xFEUoi9p88NompMZnkuE72F9U fZ8L4hyZ+I6TYKaL1+nN3vgvDyrofvigVHiWqoMc0FQ+/z5R65pQ8cxucMadz30Xgr9JTRt1OfZr PAlelTXVkFr33XhhOC6JSaoDa3A4ytzF0ZbnIgSEEP2WhBrDRuyS0Sv+nbiEXpSFfYSmGjAHwxFA d13w79n4fJC4lP41Txkk3z2fmkFoR8k2dWlUcpZqFxUdqNq1Z/ITqkCiRFWo0I+Sei5nStodbKJQ XWkJuqBnolo//z+FXAJymgHKn9O135lI6n2jtguLdfCr41ANJZdgKCyp8pX5/L/EBBlRyvTrpneh ISUt3couvM+YB0Prz0pQEP7O5KOiaG6lldiRUvIBldxmDg4ngAtezTQRWujEbxJ3GgXOsR/RM/Ll JNPu0JAy0xmHhV4btO3ADG1aTn3y+aCmeQ27pN2J3np/3N9DWZPNaapE7GwBCobBCSva36jfQdZk bV9NYTSuiw7qAzb4asJWPst0sZ9QziarLJNjZ0Jw8XzJWg66LATJ8msi6i5VhAs5hROYcoWXcIUR U/5czpsfEESa172DjFDYtgKEdacqxKcMnlCq0C02F5KLd8/qDOfzc887VRFAZTCxK5kS5xx8RWVY Iu/B0ACeS7DNAcBcYsZk8aT63Hn+xgG0oKk/RbEzkOSNR9EWAB7celmvGXELfKZYiAH6Pl0meA6t Kbfq5GLs0C6nZHExClg3yTvnBCUxJg+8Kd3m9JFeuCcUdjNa1JuSeXBUJwBjgZ8CRtVPhiSyl8nn shcO5imz+CS5M9agJCw5ID4jscC2Jj8gws6vr7McwUGvT8UgtqekAOMs4yIwd/PKCjIOB6osR87l 0J9yqUw+9h1uAx1880p5qgW/asoPrQEZQaR8qJVfBGau9r5RxYAucOLb12lnFE3+epfGW218PlpP vworqBzk/qynKCZvYEqdC605MAz6NlapS6r9NhnneGElMKhEGkSTqtS3D04Mg94Jj4GTCg9ok1L0 GyoDVGP6ATbctZfWtPcNsBiZfrAsrGhQYWXVUZdN0+bzEQ7zRgZFy/CnA77q8xTVyAfhGCeFuzle M7o8NYYdHAYLPZ+nqEZu5pQ0oNRwuy4TYjmsaJ6gdRQen+ePPB8/EU9A4fsHHGnsO5uoELTC0kpb hD03rD4eKaOdW4IJ30lN9ZZRLpy+chdIrHbYpPo8RTF5p6H3iQKuFvNuw2Ergh9SaLgSk9H1UX+R 6Fqu0wcvaJ5QLK0m8hM6wyx9QnHo5AyAZM56rXOlCpdDm0rlwEWkXg5cTyDNJzZMIATE5yNZ7+8I KpOkXr6Op/eQHN0pVurqfrAr2WsrPEbMqZL9YM1T7A4vcUFVyPdXhfxs5QxSBn2GYHsClNQCrfGH xWXyWFBcJLen2AsH68mkAailX8A6aSr85krkLY1M+HxU+P1GQTHOBcHR5im0q5TYsK5xgnslQc7z iU4FOp8Ifte+i/0qt0xh/4/tKZg9e54ElX5M5+xNUDhhj50lcyroPO1zosRzaFiJDXWOhkF/GA5W Hz+hmEJN1scDx0pE5N8VzXOMwg36Hk+hY+Wm9tko12Z48JpSqY7xiPoI+VY0A8vKbADfViUUX9MN NSeZb1sg6IRkF12+c66fzdFpbIO+yYUZdHvhv7hio9CTgYNKUNRXZcPlJJF8PoKv3g4eUx7AQinq bxMVinyXoWDPGy5Ti4j2XjM5MWOCL61UOPStlLcnbjcfrGZwtiB6gML4wGgYPP81YR+P/hncTp6Z giKevXHkO0DHoc54kxY/WQyC2cwFGh0rfsC/porgOvyuaFv6TIUMTVZXChIdk0FJ7qXbIYNgM1Uv PSPH9pVLmkwsAnPw/nmnkmshh+X87g0vhuoKCn8JmtVsCkC05emfBwaWYEQTitHYP8+VoorK1yo7 zLA3G5kf8J+4PkiH8xXVyYGFJcqhk0W6bpp6J/2uBvIZOo+lhjKKY1cCD0vvdhYxEMoXAyHP8xds ZOioQ50gHFuDXNb2A1nPs6QINDC/2GUv/JesDuW1MKBcRTTUuUvZQaMg2uQH/IvP2tRAPzNVrhpq DqwsIfCA7AXCroZdaQzglRE39u7Yn2pk/XZ9VCSKIlE0Znsc7jEFbe8tLevecN2xEEXQQkqAPKKP 4Kyf1O2No2uP53ljB8aqvtJFn42JwFnClc9HpfJv5i8Azx3Vap+oKCwfzElnrleoqV6Dndrn9SJA dmXHVAReaawZwGjGB4dDAckC6SEsqZwTxFLYQN9nSSXGJ2M+pJZuL/xXJ2+oszDYfZUnOojR0thL XFIhkDx9dzwHnB8QufhEhbVymjXt2VyQYFwF+TbnBdid3Hr7RIXYFdp+0T/ZhovZxPWdKpktYP0A /ITzHL9ton4PUOWutL+XvXGw+ZLUCFrywbgtZyuTKQLQB5//l5EMi+WMX/utsIS+lugJ4babxm2F a+eFQzEIZzEqjT39kAoDcyutjOGDF6PQ20MrmGETPMDIk97Aw52JQgazxzW23CqWh86WgxdfgkKV DYJDoRoldOv57/h8VIu6Emm85DKyfnC571yFwPLGwt2mNrqInIa2gzrI9Prm7GxUdX3UH4sKN9r0 wYIEqH+ezSfQ9Fk+Bc3OBTCE6dj9cK96p6ove+OI5EomcOX242A331meJr6/J58PYoRRv3YftlAH R9kmKnS4NAEnMrKVxaR9s2IW+eVwXZwNlAOLS4hmEI+xyefg8BA5CvSg8VoNJj+TvfaCoKBR0R0r gElxHrSVyYHF5T3Nlw6rVac1iEGZng/eIPC4/M72VOyDhlO9h1TocUkgPLbZNbpSgAD3nU5DG3E5 qLrJ9RSaXC6TbU7Th9sgHicCqCZR1AeKwSjaAeDD0y9DPt+SvaFIKnC5zDZPqVMTJAF6JmTOmPOk eazsQsA5hz6X6ZqBKjrPwK/cmDM0uqxJDqAX5jPZ1FJWvJPDfE7Gdicqis5HUdmAmM2W04VDNUj7 TegXAmBXYFTDTjrckZFHgvpyYWOp2Pv+gUNsUMHhANMmNVJq75XtuLNDFj/gvygU4jDHRXPnKYrN cSkDtbKzZ8U1m0kx4iiLzSHmtH3fxfIpFCfeaftg+w4ay+fnJvVl5lEptoOsGIcDkgM8aQ0YORXl wOvSXVOXyIocVNwk+VjQTcChQrPLRxmEKTTUxR9hsBy4XTrDvLj5J+Qv5tWVX2Z8SfS9yRTn0O5S JnzMLWzw4AD+prXTyhEuz7OTZ1YAHJl015DCnKR41EgP/S4VmI88lw/Kik/moBLJme/K5yMnhwtg YQhOWZBr55hDy0ss91wgJmhRVF4UwcJELUJCTNaJptcIDgLPS2ebJVGpOdhE1X1O8Y16fIMWm8Hr ThR1DuONw6G0R4pP9uk5dL0UGx+4NAw0j5ZfEYjBrOycu7bxAwIH9Scp5rE9iET8OsvDyLyxqrmy i4TBN+fHpa8NH1UrFV1tTUWR+WDTsyR1itN4kj06XOAToOt8YgSwLNcJOwk6GNIGv91P2ajnwP4S ASeZwpm9vQQUhlRCTv7SeEad9858PqoEf59RGSYMsHW5MxVKFxby8ruzhCpV81ziySRVgR50xZkc WGCe9bll68QzncM9pNDenMK2ToSYLHEuKn/hJF1spmuexBEKPDDRqaJukWQeOeiQGjB45BGzQREK TDCB2fw1T3BEXeiraJ4+rzFUQ4WTZsUynKd+kUDlRLGU6ZiDWl1L/TWCajB2kKMFIwQOnhEX+Mrg cml0nIMtD9pUkGAb0HhC//wSqRBBvcZPzUWLq9hU1UENsK/dtKiEVhiefpmgBtoYey/mnIktPNlS oDXZa+zU3Itng3yokgFacObFs0SCqQiVS3GuWTA9Kv9WQi812MG0TzgBZX+RODYic/qogTZKel6G F7qZOiwdTK/zc4IOtqXqIBCKg9Fgd9qSRzsb9/MaNDUQXbLNj4Itghmrzc9ryNQyC6kgTpVly2eP 5jKzOxkeCuZg7lr4GjA1B6yMyvL4qJeRANXIemKk3c30IndyF9S+Sx20pemBJQ1cP6/hUgOsh/e/ vAo4qNmyzmplhn/u0fZ5jZWahHye6QHcsQE4q+l5jZSablRkKNWrmIOC3rLh3bdfDl9GTc9rnNTQ jWKcVOgQysED796g29kUT2b4hNLLmVLxoKejMuzTg1795zVKau4IMuktdH54aGO5MmhKrMP3dU7q 1yCp3VjSlw/IL2hkaH5eQ6Tz0NoMkWRvySpvNTXeEyKN7gSERt0HGswGy2cwgMx1Tx+8Gg6Bwr3I /DlHD0SLcCx3mnND9gT1gOr7izKbn9f4qEnjH7a36NZpSHbrj04qApvkr9FRk2b5M0E4mgc2rCbo NTTCppwsloATb5yfVKbjCEa1BjncwK2z8hoYNbC7eNsvXmUcbAGBRnbmFxCeVhp2A4nWCZztnJBG IPq7DU0WLF/jIvychIhDX9wHIZ0gHiHG67lTPq9BUbvMaZ8gRLC4FDVBrwFRE3UGCIKxPReh4Amr 3611q35DMccdZaIJaszYtvwu96PhPGEe3MuWako7Z3RVXxwFKGJHIYLgW4wp22ss1BxlgVCKA2ZY xDpoZkvI8WyAz2so1K4LmE0Q7mlQqWyCXuMgnNBNEs4+QeeuuWLpEMv3iBGFQ+kTRyc0tVJrEXWs pItsAncTQiBVbZQz17STSXTzBWHjp5R6kSjkPn5eo6DmqdqE6ZMNBr1E+49VtxNHfV5jIF4i42uC oF3WoQugCXotTTYpIiE3e8yJWaWW8M4o2c+g5VvstTDZHNGU5XDFwVHhwGnB+p0aV4zeNwNqlKPg MocEdDlKbtEp5bUsiT+ROceEmw+HZqSKExjLk6hnSIEGE9TXdwyE0tXErtMEvdYjsWqTKL+3FVfr FbpYDPQEFsCLS+giioEGZ2anO1wkE/rTmxN0stmZCPyYZ8VlVm6JHJkXG0fXptdaJH4FWhHkTawz 8K66r08MlDpzHIJSXyuR7erJ2gpC4+NnIaKxKDGKoikdBoap+aNV7Din9BQTUTnJxsqXcRjN0SrC BwwfvLoNOnwB5BuZ/vlzyOE8+Ss+KiG2oRfLL6X0dx4dbwOKyUtahoMKtuf3TEWWBAMM1mifze9Q ERRRKCb6JEWxtCxSR03eVSpX4LrzIDP7D2xZI/REk0TMCXyMfLDLDJ0iuH6IfwGyLMxUF2NGcDRZ 1i7e0QVBle8bnNaUAjk/7R3UAUDHWGfRSWY+7yQ6nCuUMvFJWoiuWITUJL0H1A5FneVe+KaOyhrk yK5wdf4kh1JEEfUmEq51AZj6A2BKC9CuRMDJaufvYbESfPizZSY9nNYNiIa97fsUdUoJ10JYHAdN ETSCqsj1m48HIdH43m0IOQeUfmyKoqC6qeyvzJ5Bteep5++iMAyhAX278M47fa5JBhrREAGBRcqu wi6thJiBohYJ7NLJpKwCDDMBUy5lP+rNzV43yMpyFs9p+KCsjDMvLAUQqGFc/ew1nV4FvFUPrN/Z c01ULtQ8tp9IoA1Zjbao7U0ONIiOVqONJomR4xqMjzhoHZ252AswwUQZsPM/tJPHfOQfVg9neVoj U6XHP8+jnsjE7ImaPT8EVo7FpA7WeSioBZMkSts9tiGe0dka0SRF0TVlb2HEcqXS63UA6WNNj67R eFd2/x5eu0lK157jYOlrXvP8Vahao3uLszaxRAQJzAHVjQxvTTu1ub8/78Q57Gj6EW4EUzZwkk56 NAlcPZNdyuedN8d983uSYPSHS8QmKYywScca83FtYnYuMMDa04/teUsgUYgtYcIuF92+9j22CygD oIdTuhmpQ6LmHrlYxCCW7UdSoa/Q5501h7+yUrxxlOWDVtL5HIrfQAR0f95Jc+36pFiEBHgw5BB8 jqIgGyEKcAAzXRyAWcoRB+BXWx/L5yiKsjvvNPyQPjwwwXLufCAf4ba3FzBuZ0VBJWFSz34yRBKP fna97vscjSnqpaxka5OABdhfqP3ilEmdj0dR5Pex3TOiSKjuaY6iODsltdQMxsVG2/r5ZbNLRyIq lLAgGwXa4qfORYQuB68VwdR6riFobh1UEqFpIX7B83rgPd2mUdfbvk+ReiG9s2zNQb2QMpJg3wBb f96pctg0yaeIvX/A0RsWvM1RFGp3mn8msSwJ69IGWxSzW15Ow8K2OQpjbfqjDpEHONgcQdQyzyET 2fP/Gqxuo7GNrjuVY1Z7ivtTr/vHJHU1IDXoQILSq1ytiZGIgm2Biu86Otc1rl6vOEaxdiWv6dw7 F3MjU2ucR2is+XmU3ATknST3hJE1Dx+cMnCSBRrJY69BWgDnwMlvznz8cDuzy2M1683u4ztJDiuB B9Eo9Q6W0Z51Ti24eg7OzztHrkn90eaIL4cUat264ztHrpl3CER1PEbq4/JPsgSKCZ/EfPH6f6fI NUHqSSHcPtiBhGV4/gsi3VDi7LR4goJbQ1YLaH9WeY0nEmuP7xy5Zo47MCMlSRkKaUUZCbyTu/zz 8vy8c+TalbPyKAmRyEDn0KYpKl9TlBnWaL6W5nZ8N1TsDN9d16yGx32nyDH5V2F/Dx88bzs77ISq C/W1fH7/xHP7nFoI0DIsKSvcuJyno8X0Hm97nEQ5YAxQMeS1vVDBFhr3RJOfd4Icp/k7/wf189wf d5aigBs/IRnhw2tsNKdijQ3XttXYEsptPJXe2XF2B9A3dvngsAjWdwhyQyljJfo7TOjvIlJpi7fe VazY9r7BYqIjwRZ+hIP1+wuYFdxys37euXHtmlt6mQ3ujzDTtkmKAm7qkp2llG5WIkMi6sn3XHwp lWqA5Xdm3BMCdJpb1n7NLaGUzSyFnbQK6VS6pDbUVcDdgRbCpZ7Iv+mdGIc5miTNDyMMFPcBmSCj Mkw68ernnRbXBNH5qtVi96L1bnMUVrOXvL9WuplbsaSkzT4c1D3JlOccReXszjZjUdmfg59KG2Br MKlRzobc5QTifGIHn+2Gtvie5Tm7l943iJMyjXbpBqKBkwQ9o6yA+4Qfn3dSHFd7+goCgLTv4EXZ JL3H2ziThs5ry9xOprAMXQP3PUchURZWk/Qeb+PoZtOoyb+p7YdiiU4XGAFsyTZ2IFFLIg4vAYuG Mu5jAb70vkFFcsject2BkwRm4pp2Z+3POyWuXZVr322oZwOjapMUBtyVVTbhRJTeVocgld0cgpQL D24cSe8BN1ayOo6UquDggdI8k9CzMrdNtJbAlOejZiFkZVx7a0wgXzeaI6wgnO/U1oOwh/DJZZpw FSBzn3c6XLuy+6znyUr25DJ3JYWV7cXmUb9WslPmcrzdcnLZ/Q1ylW6394j73Dubs9Oolj5aftJb WAj2xIvkpHDnRsEFSBPQn4FbIs8rg5YHscnvZDgmUVK4rnewYxuwI0bMZ29/3rlwLJT8Kv5DnRiy WTZHUcTNOTq/gxnr4jedbpVC0r9h/ko3ZuU7EQ4LCdrMG6exDz5HAzFjoydxR7N5SxuUJmC4WWRu Lc1dojXeaXDW4EBbi3ut+V5DG3NUqtCcVTE+7yw4Fhe/A24gDfsTJL2T4BBbMbltu7gLSKYkhgzl YFqkZUQeqprYccDNUlKioOXyiiqyTmhJlc4mP9AzVv/GWfRD6SrkU67qhd/n806BsyaZams+KHHL ZItbTPZ5Z8D9ym2lILB/2Au0KYphIsQ+tJuTbCogc4p2mV5tGyNZk+2d/8aLDfSHBLl4GzRFEMAE d4k05rNqZu30m+kA0zbUiDMI/GCDs9Nf9LrBHC3pEY87WI2EyEFe/pOPR0d2+zqNYEbSgNKyOYpi 7UZAVgISxFQZl5lanvt7mO0F/krv9b9T39gDwzFEcr0NVpGE2cG56CoZ8RsiehIgQnzC4iIaNH5i t6G3DdI2WC2hyDZ9EFgEERKBsQixPu+stybdga/KNlTXyg2030lvVo9mxf8C2rdx4GF6yQIcJc+Q ERGA/M55Y9qI/UMZextspwETAo3QSjnGc4YkWvKAxowDG3Ko855Gs9rr/pWNQCYUA9q9ol4NRK3M RubZ4593wlu7KEivtUFdDEgZm6Qo0N6SE6JEsTQoelkGZ58iJxUxA62N9E53w4/E+GjjcrbB1tE5 NTJavzyx89nBhWc77F9wLZxjrniFhD3FzzvXjVNE0+/FMhIHnUYocxlkZJTPO9ONd8p3Dwm6w6io +Ay9h9no1LPRJpAji27DgBAnrKzbIkioRpv4xDvNzdJylI/ktrse4byNQvao1IkdaN1mTmKimmYj Cz8/DRKmIu8kN6x6wv1LE7ebhVOeRueHVrkBMK/PO8eNpbb1dRpB67yt7v2Rd4obvrRQeWJaq//8 Rx1ByGl7NceUCgE901p6Z7g1p5eOxCoSB5ujDrgR9CdImkwwFkWfrTLAP7N3Pq6gNmasSUL73hlu tx65G5UCOKjTBkUwfmVdky8ZdWx/5WvniUmHdE1SFGVjHpCKXEvi3q/SPmGJVtYGQEiTFJa1efM3 iZg06qz/THoSrXOXdSNtgRO6iK6pxEs2pPjKafuDNX7ntjUz3D2JC4WkCDlU5+f8KqkIa7wyXzK4 18b6uvthRcnLxSYpirLRcEB4dDFsWXIB5HR3VxuuKN7b3R/WtQmtGXh/Gxzkd1Lic9TQbC+dlHnS I3xVwB9xjhCqcpltLIh/3oltTQw+gJMpEkyQjjDU5yYti1W3MTOfD/bbnl/7DWtjwNvcZimKs61D wvaCuRKP2yEB+8oopeLeJn5SkIuoXJuJpG35Immh232ONJ7aLZ30bSIGW9BmGcyiN5WkfC1JIuCd 0saCIjO2le6gQ6kN0/SHju3nndGGlyzfBzcK1GQ5GhoyjLQ3kxF1/Flzo3UFmX95DBEmK5bakrj+ O5/t1pDY0rfBa0hgwCf67dWdYN6JeQT38wScyLkYM9lKGjQheOeztUeNeS8N026pk8Rnpj8n6J6f dzrbr1abxEsKHXf9UHqns7HSSpDWhBihIJGAvIK6XRDNEGfM+x+WSDiU3tlsTSZmNG1uPnh9BNcZ rHeItTn3EMQzoHZ6TtFNL8Vdb/2fOPTPO5mtyZFiQPKraiiaJDpEMXI9kd36vFPZ2Kr73m2VAlb1 zlEYa1Mqvq8lvhEdrhy2nsUYgaZ+TcXcB96JbPgk1f1TLT54oe28S4c2Hiq2KJxTXfec0Px56BqD m/kmbV3vG5zcVfHRuAMjwnNkpqpKycZqj6Pt70Ib0oo2bjXyncbWTOUaa0bu6IhYaCa32di2aACT 1I0h8s5iuyEACuA++MGdQPwvZLGdi3oweYWTBS7WhCC9lH5DAOppfN5pbKx5sXqkri0HTRJkfyQG MEv9vLPY8Hj71W0DkgWAHZuksKy9ZI/ujaQqHztx/VS9JdevOIntncPGzFYpCVk0HCwlofIJeCKI tudeOD8YSwLnALejjAjRMlsVSN4pbMT487zOBJLUbDBnCLtsaXCg2/15Z7Cxc3BB7HgOhOk+LrLt ncDGy62q4O/pv4zsxYLo2WQAWoKugiYpDLgplYsIzwebpHWme9ciY4ZxcjQoYC/0bdu5f3GGz0tf AyOC3xFVSBBvs0Jlg+UkkickD2J83rlrzL88a+N0wNAObUabohhFwoJpqQ616bMv79rivRUlmR9K 4idFoSTmZlH+R4Nn/wuKNI1X22hzd6UtBRx92ous8TiAahlFNe3Bm20s8tqHI1XgAICfgQWSVj/v nDUeZ9/4SByDA8LiNkVRtC2gCyUxrBRJbqmRRZYbyjU2WHn7h9G2MtpMmvbOl6Z9pqgNzBC2GnqR XQi4Sve0ChhcvQESHJ/4tkGBhNhRWMj6YKvoRHbV1Knn552uRsTW9+UPLsX5ue4qCmNtsjY2ZdWU +9P8igWSKVlFuA9VZbadnxT1aycjo3EHz2yhaTkz62wTonGD1coMsApcM386TVKbymz2tsHVr+Bx 5u6D47VyEvLv3Jx4PIgh+y8oErj1iCJsisJAG9AiMK78wEYi6sm/nJyrXOQN+NeigjYSFhxCykqa A/5R/i0ncQCSEUrtCyJN+JcQxcMRzvs/3462dloUZy8ltJtn0nb5OAhddHEIAS7A41Hf31M2nJSg 73D/GKkmirN7mtK3uTKBrV3rRuHcKZm/upWQ+nucjfMa+2crhNw3hCxAxnfQ/Gjnkc4tusX8I3Gc J/BwtJYZC/SY9kjoyJSo23RRt0qfAtY8TkaAxwPWyP4uRcJ5p4MtaVMURdlI0aEU0VzpdVAERKIR PHQ5RR36K5qiCEAy4Jx3cgSWYjn4FJ17C1Dkyr4IvFC6mfGCToN7H4LmnvpTW6NHFe1Kocm81h00 R2kNIW6REODx4N6v37iIQfzzzWl7GGXTspkqSJbTjuTUmj6SU2v2GJbT9qiiLeGtTtEMDXYaoRvV 6HFG2jrCpMxOLZKtTAlzWpdZvZbokR5F2awh1S3+2u4EwjOCPBeN4NrjJDN4PsAizRsdEUEKo80x 7pHUQxYkcn84m3igbXJSQLUNCQgvpLXQg2Q20qNAm5Z5u4mz3i5nHWKA0FGFggnwI9BTYUgEmyEY fPE4ahfWtpnX9ijQLkxod9KZlNhXRKRzck4SBH9ggIHHI6bod63tLMEfyqXZJEVUyEH7z9aW21O0 nVz8fQ0rm9RKEW1mI/1v/Ajqsz7YuY38NS3jirY2eqOmBtjT4CeANV5drDsvf92/uv7QPyVRC04E kkA4j1PbAkts4vngchv1K2UbYMTt263tcaCdyFa/k9S7s/1AQds2Sbm6gluP+ZCs6Bee3xx8x1E+ Ft1x3P/nAGzE/lGKZNHKoOYbI03qu/YIsD2J1MZFgIHO1MMKkmOqBlrqxvPBSlrfBUl2kVCisUkK S9ubxxJthv4ns1/b3KIxyfOs6+hOqiL1uLRNOu1ko42DJf8J6i8J9Hlczxv1NjLawFNFW6GgV18e MtLU+0ZlJNqiIh+ANTHhLxKNmmPSXvlntlrwARGqrX5nbaiHguPg8xTF2+IjwSnpzAjjSjiUgNB/ srZFAQ0Ek5DTVCGpR/H2NnK/EFvtQWzts8dOKNYb4Wv03qXPSWlQ50aqAyym23dQirNH1e0qqBY8 nWwQYutshq70/1yCeDxK/z0nIb/rrLk+173i3gPuJEAy0o9q+gcZjhoqJDX2edRsE9gWaymCkOhU 6onZW0/kBld2AOY5MCDjS67NqFn6EESpnm04aHlxTQdR16n4lgjWxiBJpp/y5uZKOutet+qJyPh4 tJK+N1ymvheiEpulMObuStdI2mLvjc5mVm7bKipBRGNa3bZHxW3qo+46mZ1wsGPp7DUYehUCJBuK UplELRA/YHKdcfRur0kOckh7BCPp5Eg23Co2MFpasKFUtHS+GY9HRO3vCy4n5je3uj2iqJsFKNYf vQTQ8u0mpew33FnFRpIcUXV7UuWHCog22A03c4H5CCXxT3SyuvSlzr+AYfk5BcHdNh6JtLlHFHWT YduKCMkcrAGQRWHG4ZbxeMTV/i4BUFQBzFSboijqps068GtWt6VigRWSgKqySIm9IbHZw9o2o+6m a46DH0n5BBblHLNUSUSbTM4mkGJYYLlnvIQrkGEB4ksiPrsyWx5J6AP/MK0tkMNXugtvYjwfoUi/ W7cjQbOqe6Q0QtA2NRVTG8tIElUOzUs2g8NJEohgNElRcRtFCED9z9rDwEhpEKmB+wN6tPSgANlg Gb8Ll9r5r8t6PAMAOMJ3BBjSRo3pvGRStYoFk+NkJmL0nf/B4/9qkshRvbCJ41MUUiRp7DnLGhfV tryUBM6fg5GBCdVWiyJuHUizk0gzVZAchEdmoCWxVxEnndByV3FtcGZi1UIo0QMAZBz4jr9wJKOr 2ta92nYuf7TeeLONjcf/dRxh8SGvpqSaTVEUb+NrrnyWlLQuzJbNUWvb7mw2ZyOKt5GRL5gCNB/s NDrJJyWiWCc5a32jOwWBUiSrFJnGsWJM20la24gK21l8JOopnssbQOqtkuTIwiID7oTno3U0v67/ gV1GdShNUhhvU4p0rOTqUJOahG7dta1FAmaMTVIUby/L7fcd7GJDXrj6YElyn6MJ6i0SRDzr6AQK hATbOmKPHd8R5LfJTAKWD9YgAQJF1lRj4vF/nUbikCC7xU9lcxTitSez241/JaExOqsxu61qclNo DJUSJm4jwmsX4kLHpvcpB19IGVjPbYxt6AhQDiihwd2hKT/LF1q76m2jTlvGr59E2YJbcbXcFnmK 57YjDLXn/jqxYeAFC02foijSbk32JfPuNUFKWPzPxfWkH3rkiCJt3fzUheGAnziziXSiZ/hN0LBr bwRblAAEeL3T3Ix4JE0RYiZ8x1/RES5NDsPEpCnF1rgMRkkdz/9LCYntXUxPu9S/EUbaatny57M5 snoJsrZHp6W57eKIIm32GDf+pQ/e+z/bFHySwhgSQr1sa+dCti1gMbKb0F5jCDnCQJvewhOsQViP QgaMmCTYcWya+Pysk2DgA6Lq9jfQtuFInLcdOcJIu1G2Ka3upaTUPEAq28BJZ5sjONBmC6vb3EJF y4SDZunsM+AloK10ZgmZTSfu/3zERPi4flghtg7A1qkdEiSJZc8qlmTCK/CXwdx3F1Y5ENPj+ahK 8utq22DaZj+1Z1jeRrm6psJLjLESXBwEIwEHwGAk5L2zSjJDUT9d+5NW1BzsRNqoHwDDTlU2eGmR JggqAJqK2zDt42HazhBFUqRFUpIPQrYNLFgCtmupeDyYovZdul24+McNtGcUaKMoDMVcJrNIcRvX o2oki6CbSfYhDkiqIoX8SDare1Xptj6l23PogLBFTDtMAvEHYZvRJTtRCB5L4EJtl973rx4Amgo+ GEACp4SS/17weHQkfcORGJJCYt8mKQq0CS9Ddfipb3dL2CCHfG0AoGYo6aiQHUl57So7yvplRzmp iQPRE9S325Bi8jr/HkVmHCkApV15SOojzLC+zTyEFrs2qEICPKiotvsk/zMKtVlc5JwQKgjtPLp4 aJKiUDtP1qtEISXTdmYPtU8s6hTS89WWjcy4uE0JxC2uzd6XHLk31ELSZi8J2jCDsNyEUxeUsJ9G s1zzNl1622CK5ONSqWlTqjEwTvQCUgG/7iQNeDzS0NzfIVKjpsmFbM8o1sauQCNyLgPbdi5a3m1T JBsSSMFu0ByFOn9UsyF5yQabo5MtDBS3iSNNm7kt0lranQF/lyEH40LIBG3PkBvJojbFJW1Q6n/C +UbQNYxw8HjQk7xAG/wvBFvOzr8nUhhq8/6Hw6iH2r16VgtSr8JIktN1s80IQ4KSONgPRCON/KCR IFWM5F9hJGa+yMX77JvJ3ORK/mcyrvAdwaFtVUgSJDmoR12brp2zjsbA41Gdze+1xoJtMbtATVGE IRlmMzVuGNmbE5HyuLYkYEbZFEWFbVoiQUdz+eBlts1uyyLpD0ohqLOilI7TElW1/ahEE8WM74iO 7Ep2BLk2q3qkfYKAxMMeVix8xShh+27bgiJONz2bopAXmYtS2QuzWc2jyCwnLtbYkABqp4Vyf1Uo ZPLaOdjVnwFAhjQZWcgnjCHX5BzrKP+A3QzV7V8yZDMqaRc6UedBDAkH22gnriIN4ITyfPyfaC3W 2CAKn+4qijAkUjxe81pzLrqM8cCelIghVwuwNJuiMNDOPIxUIuHg+NGzXmopnRstn0+qlCGD2PTP KrR6LeOpaNvrBkDkJMqfBrAjJIzVIVJFiDiq53g+wiJ9N9qAcGxMTTRJIS2yUVmDZln/I9L2dn5t b36rwQLPt9rfQiSr032Lg8P+BtLaLYX/BNjxmJ7UDujcZYjs+iStoteN9ppoWrSjMYdJsLX22cvZ s5/PDMPsPr9ObGBKBkIzzdGKwmzDa9XqkHZo0Vh4xHaqHUewA+dCWhGKZBRR+Xitc/DEf1YURzIr SA0qWot7bUE4Foa4jetoPYT/FaJIaBYBTqEPwmv1Ki8CEP4rHo94kb+QkQCVDDTIbY7CgvbICon8 yF4SqRfDvOd7HjXjjq4QRkIZsiaNMRMD5e86YGqXV2uusNWR+MKs/bwiUjVw7h2MhLwR3xEp/slx hDraqXEh8sxGq0sEkrOv8XwEarvhEZb5hHotwn2bpFBEm2Itk9RLV9jyxoickVWtXe4KuEIcyVIp siSVR4jDE+3vRNYZlQnQz09sITe8DJsdkPZ/wPy40dH57/AdQUGb2Nq26dLdnFoIk5xJShCkjfn4 v6ojUiyrP5BP9ikKmZEoUNc8r8mdcgEW2WAkprz/ZJ/VmEgrxGpLYRyFaxv8XlvpJDW6+mllvug7 TYvmTq52fxhtBLWtsKBNDvKCvisGCLox7DsZIbX7qGZT8HyU066vOUK9A1HWXUdRmD2XJLRZHBHq fyUDtKdhZxPoWsDhc5JCZqRMgnUucbhtSOT+8F9BtXZW4uwBkkDDkubc+P+7MJup1w3qbGytdWg4 DNY3JfoHuZ20tnbbzHj+XxES+3EApJ4XurMUBdoAxOSSy64WaIMDYIH2rJfURhaCZulvEZLc6vTB jqSMDIfQlDMxcFIkkGJO5ooZNfxzi7qpKyCnet8AacOWdtr1DpbVbt4szEb4khGG5Bv2D+dcVtVt kqJQW8LsfV+gDS0QjK5Flph512TLRlYYarMtBFSWD7aUEvosA7E/apqYeiAETjBTUGcDEmPPi0ZC GYWvG80RRX9Bq8AwzfgJ8ekyZ63U8Hh0uflKEu7kLKR24X8rZEcmOYyYkR2oEVO1NeQoFEMu0CBB 70xzFOK16SmGcMoHW0iwvGIrksKIvZ0YUxVb1K0SAEXUtnMACTInfMtfQRLbXByKObHMmRJ3+ECh bYVl7f2NjYA1xBxXPXLF7MjFKm1Ot4Z0HW97cndSaIdYQrL+BpCUSYs2Dh5tT1DQUAc8CylBX4s5 24kuASGFBSaQ9NauLVC347dEmG2BbXmCc+BuK+ffLikjt5O1rSjaLvNbzQbVggGXIJuksKq9WLBt 87ZrJfgPEZI9t/cid3Gz2xVLbKvov7oPXh/pAy7BnTL/ENxoNGgDXOJnIybLKCp6mDSGXjfqIRE3 AmlXG1SMhOSqZP/OtYrH3+doPcLILCIh6m23qr2jcBvZFvBHVzyqp+w82yatLfFsp+ki7ZAcuRVK spfU/DdGKDmhuIn7E7fbCeEH0bYD6ha03YQMsFu3sqq9o3AblGjEkKxqc9A6mrUrdq0jLTz+L5JN 0/KDRZRfbfs92j5PNfr/pivVSsyJkbXGNsEfYcg1RbEMCVKRslnc5mBTBBASMn7S/s5fc/4vRNsZ zeANjGRJF/hXSGXBlwRFJK2jQuBfk0+rYEiTHnyQaq14PJJG/NazBY6YLFaboxC03bN8oexAqqhi eL22OhG5MXjWHMXBNonIm+S/JlNQ1dnQ663I0WTpQyQvdNtQ7kCTNyPUv9a2Q68bzBGElM7fxZ42 B2EjYGXK8wSSJ3g8Ykbm70gSdfZ6TUd2yIwkzHb6poJUix/a5zzqVxYRZ4jmKIaPsN/fSR/l4OfR OZ2AC2G0fcLN3VmLRNiEJs75Uu416yDxYttRuG0Sm6PeQRHS2bkkK/7Alg2PR7rI4zu1BWmwIVq2 SQoB24wjiaIwhkSertReCM8UXWsOP49iZiQnafY73JQERoNZWC3kuLr+IZH809nzJxdJpbZub/un cmSllF2GapNkwyb0bLh0z+tvPB8pkfjFxsAcvXEog9ochYJ/EtjeV6tldqtBgpG8XfMn525kpB3i R7jLZmLJjYPNEdwhgZSkdFQCsZR4to0qykCJdrCKJLj2GnrbIECicRaFQGxQiWRXUMoYUOLMDv1r HiU7aZCeIzTdlvaO8CM4JKFEkq9SO1+Tukh3dZ18AjbLmqNQ708+dYOobemXW9Z2noY9h0yiThAJ axSUbBGanEP2R2BtdbR719tGJVvqkAyqR3BQyfbcCGNJW2Tx8f9S1T4//A+riTZFYaSdha+drot0 ssXH6HdcScS9TdBmx/gR4v0zp2jmO0UFkuOTQGMgR8eJjGgElAGfQNj9A3q7SWvSkRjfEQn+k19b 6EDCQUd2B5iZhJuBnRaqa08HRnCDYpPhpLcpiuLsQWZkz9u9a3vdOr0h1cI0DkBtaNmLGbn/jrOH FMjHVSAvaTS6qEgQEdzHLsObgr2HBgkyBEeP7Km3jQok5Gl1imxw0BQleHjz5j83MR6PGDbraxXB P3Du5zCKouzWZSpf3ehnepsEYLZtGw2S9n6rRdiRSc2sIf0IDp7Tnv2OwiZhEfBMlKt7WiABgWQG Hz431Wa9dscCJIyKIM9lgy61E5TSRR2aawOPRylt+kppcVf1kh4fmyjIRsYABBsrIsxp51wOHVlG I6FWnxFsaA4UJLV0gxCpj4MDbE4wesIuqDTgb4B9LasjEDXKGTdnTZT7l0/72vbGAYGUh3bb6o9A OTqLdjUTe+5AtOXJD/gvtmOD1Yd+1exTKPnXZQc55AGZmWAJQdLYLsumZUtbhK6PimZK/iMU9Cti 4qllcZLZs+km0RH7HEmL53ZClrdR8yiYM5f9YbBN4Y3ghbtgEcMHLqgOI04eS23NzOeDlKR9zxNX wHyUyIkLfX9uURHBkZCAkzavbp/YY1opaQzXa6fmzfvtIQsyiZHULzGSE2W3BaK+shLQ3sAhSVyx CXYgJZUvl9Fsbxyhtibx7FRuQZ72I/O6hD9abiQTXqwpirpH/qWzOdgrGXemwiI39VoB/bgNJdck g32YEyRYHLCZCv0iyesnL8QGL01CXWMubb4BCCjo3ScHBio1EQSYn2N8ESiBr4mgkiS4ydsmu7cN 0v852VSqgAHg+Qjf9u0ksdGxQbTrExWF3onqvynX7jQJWZKg0L0n7dvBAFidwCTYJKSo0m0l7sqq 0q1lMNFN4KNX0ZKQ7zOuhNcgSm8ojqBsZ1WlTEYpviZomrAzica5DyqZAPYg3OSGnUQKMSW/eO6s WO+cnlMqLHZPGZAyvk5UJsHaJM4Nh7JqlLB/NP5WTmG1W2FlJ5SLg8OTKvC46M9BCQgCEPT/gx/5 OaUgB4TSiZffZNucQmAJj/MBzQIbNFEnIGPTBVwAPR+52P5STERUAvSRz1MoT4Ja4Tm1L0W519lt RQlmTMGkXp7TPIrCZ5fUncSlhgcHaFRCDrML6wZc0ZaDWwKzIG0w58a1cMnwc9bX/JXQtT2SD+rL FnzStv/I56O+gPe8O1cU7IbnVZaSZsh71zups1S8ySSEyaIDeeo2U2161xsf9VcBpQzTcr+W0SiV oHKFfAUWrhsOivDSRtE3A+p9tvz2zLdQm4hfE9G5Ydu6IdDPIWtpoN+3eQsCX83no47lt/g2yhJz ruc0jyJy6lKWRZivIBRpuSHAWCamUHG9F/cEjMRKKlnKvTAk7+UJyWG3oZAZGNMGxDWNk4ipRay5 Z3qQgdPeN0JPSsC9Vx9UjRv0QOX3tcHng51XL0KAzW+g4FK54MCcYmnArIbu8mrTML4JylzVCrtt U7VDExWVvyHYj3olK7vCg1tgns8260hmdJrLqwze7VXEc7DKL+utFnvhSBtY7FK63nGwmTqxK9vh dTDiDH1v2jduSan0Ex7kKDbf8r2r14ezMFcXcIn+usxfJiWs5Z8YAU5mk7RL7j44KAeS9w4RPKFB KwRTQGjqh5IWtLtxTxfabeccIruponQOleaD1QpOnp4F2RqTzwfRQfqmLKPeNR+/2xz6TFJoGoGA S5ecPHVbnpd28XlqPPM1TxHoJHE9QcXNBysXwNzjTFunbFItcEFZ6t0tHGCDBuGufbf9fSPUCcJN aMT4oGnqOMgFsy2VzweB+S8HBQAN+3pcFGOnSeHgSq6eDg9zVASkr7nY9F5eMcih1SSP5z2WlILW oxTUIVQyOjEViDETi2IgeWXlo2NfxOkozd73T43A3SVa3nWM04GGXXsk2IPP/6d2Ad5pt2eaolo4 HESviBJBKMs0zApazcnLc6XdXRcG5ezK7UkhPA62mjAz0K6mLBfEuJrCUcrPA11P8pLJco1s7/uH msJZ5+R5JSeRnXN4qr+IW7Py+X/VVthdaMifL/Qkh36TNG0FCPvRnN5e6aUUt60mlPxsmkI+JZk5 FJaxwVfT+YGBjCdi8OyTBDTDAi2Vrr5nOY98uYISVcqh5aQgA2w1cKhaFh1wO0ad4Ojw+ajT+0ub Ay+VLmYgB5aTyPVlXlq8lHkOOVcwq7LGLSIw3V0XB+TkwjVhvtp6WPCjU/UuyeAVBX78y0RrZEhG CVlpUCaFBYHppEza4MlJFAoHFcVrF9WQGmB8PjLBKV/REzpQsDu/8xSyKpO4FO0qvYw6PcycqXrV oC+vGoS2k4venDVJ6i61mwuDF7g3rCZgO30mBunCWiyqLDinkkPi5TrVoULfSYT9tObqPhhwANaW Ag5w1Ufx+H4sTInaoxPaejbeezwOYUVJ0iSXDMhqYpJ+Wlg/2Dif0q4+UZEVjuLx2kkc4HDLKydi xfvTL+gkwgWg3lWRyyzaZRUyUGTPXau9cCQasBnvzDsoekrn1pKc2dkGfP5fai/8x3R1uADCHNpP okoL6/Ls9pODhmksQ63qNN3KNr/NU1whpzpHS9mHm7eUk1Ikmk9RWxHh4MlbUJyEl0mH0Xu6cJ3e 7I0jXXya4k6Z4s7tqfCZ8C10+9ncfD4yxPlWV8Ai7Oux6Qw9KGuVgakJBtBI4HK+h9njwV6hbJ+o EI0iXNMmZpeDheNt4TdLXeH4AG6TAst4yQ3sX8bt+DtxCV0o5fjSG1WWOBiMAHq4LBmck4rPB4lL +bahyCj+buh320SFRpREjRVoOthEteY6FHAtzDZRsFWxKlToRLkIkm+yM2vXzgyuiedkr1uurw1A uU3JLnhQLyREc7rue6FaKL8kqBhQXyHXoRYw+cPoacI4RoXysjKf/y9CglLZf6xxQytKyDGhlJma 6XVDgcRKUIuEMrJRO7r4Kq2EXpRiNrNiZoOjCVZHo7wUa5SzGI72/zwDRK6RSvhyav6+f114BUec Ddp25cQly47myeeDrOWX+Ctl9vMTGMRWlDR+343ZHRtVqZgaNViR0l+Cqsl069fAixLabDqf+vDB pwlYeUTf7CfghCd1J6M2nhN2KxHydj7l6m8cleoIb25COSM8Zb5dgdohFIKNS35AFJHnr40Hj8Sz Z29oENhRXinhesXNayq3VofCv9SWJvoDNlN/g8EbPbk1+Eydg2SSEgm4XENETtdONJtxOJInXJ8r b9sbB8ACdoTbyJJdyqYBQ98U1ur6CW75fMTh+S6TA/Y50tU3y6ElJcyDaI/jPeHd3AcGSMnpbXNI jygmDzwpncA7FUPNG0OdqAK6+c0Ul6DXdmIFxJp0OyIgHIoTXiWfy144Il6qPE48T6GhJBfBgLas 7E3PzcEPCPbeb/glzIS/YqjQl7IV4Z2m4Z3gAz+8VJeK5Xhny7hmbg6NKaWCQ1y0DTZRsDlB+ZEx FAhPECcDsxC8R1SggLV2/GWu9r5RCMXIQATVfgmqJzOacvKEIjefj9bTd3OYMsUQ//BpimJymuxW JC9WqJO2Kgt1bHFLCx46ej5NobKgFAUW2+McPMfb6OUApXBSYWhVZFovVejAQyb2BwV+V15a0943 AmJIW7hNH1RYQbbHbXemafP5yFlof6V4sAjsjxFsDr0ppb846k3xxlwPWoUWcdh2I0+vPwXmlI4M G6L1cPDCL6gqnanLWTMpt8QLb6KKiZrwTwbsGO0sbDubpxCwIsfFTCLGGTqbTOd3zq2wKN9r0lsG gcFl87KLh3nK8/ZcQntKKglCu9CPp5HrdsiKOD2ErDAzUmAQO+ewKbVYz7zyCPSnXFB/rVTzHHAD Zs8F4MPzYwI4Uq9jrgThcuhPWakLUwuF4ThwOUH7fMoSPqOBHhhUfot5SDYPP2r5Op2igJwAMTTV vJ553tmBhu3LorKuO09/kzHBuvDBMjzowkwAuuidAyOdJfr8CcgnAAgZ3TySmMHrKfbCwXpi4kLD Ow5Z6wlSLRT+hYVJ5/NRCap+xwUJ+kZPCSr0qZy45muRGxwtvdI2Yu9Q4ULH03DRsxwbVcrTq5nt 6f4iZJ4oG5pvVD1rcKkgTGxKeAC6ME/znAjxHDpVCka/Esu/HKw8vtIgNFfl8cCqEpW+9WvboUQ+ b1QQelWiW4QKVPI2wmQxXsup1QuDujj6HJhVCnuzKGbrg0PFVqVq1SS6t50LnZcdFLwgzwIW1H7U 4VSpC9wqtWhHm6qsTK+snDNCJmo0h9l8/p+6XvzHaANeG+Yc+1VOKnn27qSMOa5AXGPrQwAfaE4o Dw4NKzvvsKJKXbmVusxcD+6MzINxobHhAFg0DZEgB/NMVOnqS4WelQKJ10IWVIXEI6tGcLlDF4Lh U8/8gAjk+y02SF77vO4n+Q/bSjWQ6aUnCiI5K2xMpeUrqqF0bSsq9K1kqUCFOA12QOG+VCceVNwT QiPrX3PTQzJRuBfwH5zRT/M8cK6UcgCdTxlowgiIJd+TrIEbTY3DNTI/IMqFf/npIRfO+zmiwoi8 V8HrrKpZ0YBREerskW761eewcsuhHJhXKnlCUaUuH26xboFNR6ZPApmsCmYAW3ckdWdJwbjEkPV5 2Qv/BRqrwEyahUURwQcHbRdgLk1+wD8vPfIP8UFlP2sqCskFM2hUjiFwpbHtzXQYztwmPEx2lM1U rIei1tz/UWc+7w1HnYlSPNgnY4EKinSY+VAiLANdBCf8pG5vHN16LGgCKW6DATYRehLT2lHXDHws vwvl+N9Nn4gHXhcaWYJOB/J4t1sPFnWurVMl3EiRptocjxE4WUK4hrlLkcZOefisY0HwG4Ey8sZz 4AE9CM1YQMchLIAY9yG0dHvhv669KWdd0qGzvNBPFNVZRRqJSyrEkKfvOhTaAmcNPvdeWCjHUoJG g7PsrcQpSuuojq5L2euasZslIdIQLPTBT6mT4uJ+M74mxFIIXEFWeGIH0KNAKXOJ/b3sjYPNR7Wv hMa3DVpR+0yVEIknVufzUTZ8aa1cf8SgPRXg0NESfR3gBGu2UtTK5j1I5Eq3UlRGlGR7LwzMRUTc tfrghc0CZYtKHhkmZqGMSi1CKAkSF7jHtbTcKpWHnpYqlZcidavimd6GDxRX1wnhFp8PIs7yXYnK cBJa9YFkhK6WpA7BIzYLBHwS11rdsFHiclLaqw4bC20tAepekBjOPliMcMKnCndixAi1U2kUN18F rwW6dWT0pdum6sveOIoRxN2UiEP1pkJN8BFhtJD25PNBiDC+Z+qcz5DEuEsqdLYENeWqx0o2xUiK aHs2pyfM5abNObC2RLRB/y9ckTZYFx3Gzd3M9lC26Ykd5AbaSKOUO35/psR50E4mB9aWyUQuICiq wSp2HXKQXMQCGwTelpLffnh3iBagBHWnKa6VE1y38/CKXbbw80RXj7d1owQ211NobinB3Slnovk4 E+Ve0YrKrLBAupH2FCeRgcHUUOC5bqo3FEgF7paKrdHuZDyVId0jWA5KHkXgOmg359DfMrXfwXn+ oRmwT1QUnFd6N646nHw3W/GcuHdbZXDcK3ei/g7Ou/SuONhEAQQ3zq1OcB2AegwPYL6K9zu3XqMO qCBjqdj7/ulx0YT/BepdecfZxoP8U0z94gdE9g2/4iic5b1dclkOTC7PF09hVgyMQfiKU4JF/FNS XNwLPMcul6QpzMlZ4GATNWC4k4kph2V37ruJ84EaMK505FDWfZFFUQ5MLp0ZtGXAx0GlTWiMDClY AQwVulzq9a9KASD8KNT7NIVq4HTfAdXPm54qGFB9r2dveo7q9oT5D59Ldl8Kkz0OHhxMFshp4ZDA VkuNgqAAa034DWeJy0mER1300OgSAQCy4ZZ8UFJMwyIe6eer+HzEerm1TYbg0E1JDy4jtLqsFHRS 6ZVR1LlxHP67JMArEwcX4smB16Ubgp6Ft3ywiTo30UrMPKFTfKaqgGgGOGJBdxhv+6jwyTc9h26X isdTkXwKGSQyKgIdj1Xgk8E3fsD7UU5K0Rc/CCjEesPNwPASNx7LLGlcJb7HqkjcL2leQ8TAVlTI 6xQuY0nQebmgM3idq4MNhlTv7OuzZBfDTagpnyhZouC38Sn39ByYXmazK8zdGrvAR/HuQnOqqkOH 6kHoelnmd7k8dwgDzK+zPNQslI7Kl4B6H7b3oLwgiOuJfBolQrikIgRLpevFLCn7YEcUp22WyXr5 WXgnFkW9fG+y7Fjo2HeeRA8KnC+9S0X6sg06okY1Zh7qCnz+X3hNIaIAd2tXkufzGkJ12f9mOAe7 Fn+mbpsALG073AAhrxbUawDVz4IuKpbP6YNX7VA62lNuRQg6gTld54QatCsU0/JyqBBAvYZP/coV F55OHDRFM9UklnBbePplgjoYY8pbhPDEBp7qJ/B3CaYHfxVD8W4Fg82uHj14Nug3mRHBBIhZ+y2Y HvqhwLV3+2DHEiQjYXDNLBjezpvwQxSQfmbBvQP9M7NzWDqWXufnfAfBYl0SoRw0PxlFCWZ2ZyV/ XmOmjuCn+/wwiCCgY3WboNeQqWdKeiD/NcYd/mP3K24X4n1BmurYfJyg14AJ64fg1JMT3sE22aIJ zKCh40LlL0mJPiMyQJcSxsQWWHJff17DpQ6WOU7rLf/dff13G7qlRBac27N9XmMlzO7ONj9ymYIO 5+SRjfl5jZSwv1QXZ61GIjxSLUQVs7I7I3h9cRPn1zipg/232CQvxYcbJxXonMkN/FxsCUJcSPbQ UQK3BSehzw+++/MaJXXR6PGTsyS+EO8WFwVtlHw5UfQ5q1+DJPypfdkE6bAB6W/6/LyGSNhgiKAh HeT2xL0PT+FGmo4maDtZCvcaIHUnaVStn3rXT4ZdYwcqH/c+lF8TPZ7hlEPAB4Bu2Mi2w2jF+HmN j3ACFYg3At3pg2onvZKHbS3y1+ioG8FQO0zQTWhJMDqi318wQ4zhwD71zsq6Mk6Iy6YRWTKYEPJM DWZoDoODDR+87XsyiNqhuH1mCOwaWHKulWFJdLI6VK5avjoFiRXL18CIvyfhYG00H4RzOsmJaAe1 r/J5jYqwhIw+loXCPFsMGq42Qa8xEQ52AxDk5h06Zngsf7dZTHsHqvkWE71GRJigRoj4pPIuBwcW 7tEHbZKRs40Opjr64qBkLPiFZsChfI8xaXsNh7qDLDa0j+hMvLQWgGRbm0AwaKd+XqMhHmHJJ4iF b2ARCgWcMUOvsRAO6Soq+XUBGZ2tFEWN1V1A+A8kTvznId0TlQq6ywcQOYAuCmTRgWwCOJxqaYU0 vYS6R0Gs7NE1SeWvkRB+Bnbm4D/og5CXCxaQ3Dgnlvq8xkF4xV6/rnkA4/tafgq91iZx91E+qSvT 0FyNq7qTrEUOjctsJnKvlckuTBZQzjqF+kP83bDDTVJKy/A3LoPhIS0jMngglT1+geQWbVJe65KY IJSR4JG9NAwJDDboQ3HRnj+2fF6rkjgorSOQZSRT4f7Rkk3Qa0kSy1be1qX6BFXGs5ygnXb1yggb LFS5iCZIDSa6VmjwFdQQxMEqrqHLCLlXGaTQshlWcyitX2xc0tsGxzRViavM9mom05I1yNyldElM 6msxkoeQB4piRaOEOuhqJYJ/MEXDEg6rfiDhMH9doAqXASo60xAjHAa7DEzbBRWc4YPHiuvML6W2 0DGB0xuVGypu/HNuw54p7fTzSyb9nUfXxZJnXp99UM12pClIUDsT/nmn0WGfzf41SwOZfr0H0TuL rrtM6rhndYGjrJ1EY1/PJrByndAT3fdcSGMyGOTg8WKDXrtMpE5kdBJUtOhWJdAYUiq0OvSe7uxD 7xsc10XtN+o3Tq8mFOhAyW/knAh8PIipU/0Kic4S/xmoNNgkRTF10maTezwxAu1utnPhV8dSjEsO i4LqTU7BqCI91Ut6SmiDn2ufxlYbTdzMJuUCf3sAWr7oVq6QaAhJFEyRuE4VBQ0bNEVwBihKtTYf D4Kivr/Oa2o+wKTEpigKqytFiUEf8bDaAfNnS7iYY61D4jIEBwQHUi8SJe538BN7wENWMil5nIUC xgDl9sn+P39N2Y90s+Df75E1Fiuz+kWWIQdlZmC/cE2dHBn9kfBEWl9hEbw/Jpj1NkfvobVgfucU GtWgJjhVjdk7KqM4FmnTuvjT99haeusncAbAwAYtIxg+rElsAzhhJ22b6sxBx4FIxFme5shU7TE8 jggXHORjDqgZV8NVnouAfeoT4aOiFsyRq6Rrq2VIZ0A51Ccpiq6RJVG9xOX2J63NpJLuMfe52KDn owT/PbzG+c/WyKS4JQfrIIFHMAEOJc8JPW/iw89ReP5iaG6AYu+HNm/EzztvDhsa5aGeaN+ggZNU ARaUzvUo5fNOm0Mukb43W0bvfeJ+sUkKI+yq3XYtUqZq/DRIZ6qlUxtbQ5MUhdhD1l+qhnCwU7tQ Qgv1XVSJCgJqZrGQXp8UWinbT6RCPbLPO2kOfyU5OxSBtkEraQJ3xSxt5v1558xhJY38tZIAeRk9 ex3knTHHPWpAgHxj7PQAAVK5Mba7Eb4T5pjJMrqusrau66Li15n1ShNoCFjADpra1gNS3xO6iQys nUY/u173fY6GtlsibW6kvIy/jLqRaDup8/EoiPQ8hJ4zebl6BefoPcx2PZTZqxcbl7ya6bHrquBw I+oOv4nibCkRUT7cBttsAAhCoIknEkBRY4uvQgoI+Mf7q2vU9bbvUySUEhx1fVAzBEcS4YLIBz7v RDkESHt+7zXcc21tz0TeiXJ2nVKsQmX9XMkPUN06SQqcPsQzeSvkPdSWEj5ySoaR68n3QdpcEF+V KuGkjzk7a3SWQMgCOetb35963WiS0Asr3HIadCChY1fEYQZGIoq1c/3ea+CUtHwDpHeOHG//SaTN vvnsdB158AR8r7GqqqJjFGrbXttmxLwuJJcVo8ka4znhFjj5CJAGAtO5AK3ntaay9Wb78Z0iZ8cn SKk9+aCEdo4tjYE6S/68M+TwO+79tdcobL0IXOYcRZF25sXWV11eWKP3JiMkFMUsQmrNfdHf+XFd xo+QDZjbBzuPoG6J+uOky8vJrNC3PykbVgHmCwVAldd4ILH2+E6QQxw4WVrLktUBuqIoH0FxVkoM 0D95J8j1R8tKKwltvjGe6nUUak/h3Mb1kF10GZWQ/L4mKRuOOFpJ76G2SoPAt+3sg83SCS4mfImY j5zJWnTkWwn04gxLKtK5L0lHS+k92E5uRrin5CrQdeOlvZB3ErSCdsvnnRzHWU5fhxI6oNC58FkK o+1pwPfk7X7KarDCJitYVti4D3gmvVPjmNpYJtJ8sP0GSYGzi5tlbaCXoMSWCLPOYPA2ZF8uV0Hx k3dmHKsVjT7Ebflg7f6xxU6AkNznnRjXHzOZ80syXMD/PZnhapqigHuxFyIMg/mjT6/191zvYkou pfNOjOOWl5OcQSef3uyZI0RG6hbl3OBLQC35c2BP9DfgyeOVWnk3vfPiuBao/p1YkVyy9SHdBKII xDmcK/TzzorrAuE9Gw7H0iC3TnMUVrONt1uuKQEVfCgCPlUlIf4dGYTmKCpnCy7ZFExz8DlCl39k qySdczyr1AZ0y9lwUPTC/rln99L7BnESfWTzZALHISldS3J1A01gfd45cYzXv7MSQO37qJ7dvlPi eCpJAu0yB+E/YAtptXW5XoDqaJLe420/u2dJxQebJPD7agMYExRw2EBxvzUiexIYzlCcfey/l973 /VRaPJWgPecDJ+lk05WQDqyO/XlnxPHPLV+HEjKnPpOnt++EOO9cQySp3fTW6ZVYv/likNza8p0P h9+YfMGViS/i4IHSRJCRlbkNePHNJRzlst2GLeaZWxl63WiOWAJo8tqtiAUEUAZCn0fKydf3550O x8ryr5U00E6/dZJ3NhwL20OqOdVLSaSe60hitKb0ljwZ3m/vEXeiUQtmh47EK19HYnZHAEHiCY0M ZPPUShXd6IQoBNa1191y6XWDaFK2lnlVH+zgBlda7ObcP+9cuF/tI2UwCLZvVvLOhOORRJ8USTOK utSvLwEh8mLCNa9JvhPhWCfpKJAgPbXhLiRcWYDYnoPqnK+NReVzfWNpAeYoY2sp7lLL8p0Gd/da R6HfBmvym/QHQMnj886Cs4rgFwqibzGnrIkdBdyNqOCeqsOOiNK2AkAfXm6DuoB1scPaNpfRpsaS BpsipIInXEy0t0gnTimU+Sgg4cDb9AfRmIt64WD8vFPgbp+/wSHJBiVu0KFrku7Y5fPOgOPF8qva BrWs/UxRFG9PmhJsikMzRkoyIpwUIJ/epp2opmqKoso2sD8Qy8vVB00RzJShBUPfxnMYnXCYztcT eOsG12VobkB7Wa3+oteN5ojiAXQjbObVwGobRFPktzf5eLDTcvraaQWpJOxsbI6iaBuO0thpK3uL JCVr9o/EqqjQNMWlA965b9gF7NHupGWU7jKCfG+qUGzAMjrBkRmpnDjgHAaJsj7zntht6G2jtG0R 5tmGD4KLwBBQUeQJsT7vtDce2BdvZC1w/IYeIL3T3jBH4JECeJx+rpSXC+hBvcDA2qs6f/md9cb8 X8JwtG3kYFut04UFbDZgtVOmNALAkhtKXgh+YWB4LeSTXjdISGizs1Vy2+SqMvQ7kXYSOA/ytJ93 ytv/q5LAOrqt2418Z7w9Bcl6VQb3dJL32WH9+hIUd7d4J7zhVzo/65kC4Lds8NJ2Bs1tFAkEkO7N 6UwwsUAMRsXKKVG4opeN+tkyJFKQVIbtGUgajyEK1yifd65bf4im6tdCHqVuUt04Q+9xNpTz1Lrs rpy3PHs7a4jatpRoLgUBKmcoqmsnqgUseQ5wcODRgEIzcItgwaOw2ejcAIEQcH5xTTwNEuYi7zQ3 3JxkEdU97qDjaA8LNYD0+ryz3PqjqWC4EfA5V7/HURRmd/Imy3JldMCqTUMeeA83kK81GUT0neNm /R0oTvThg5eRTgJ71o+Co8Y6ArraHdMoojGwT5c3SXjfO8fNAFbQt1rTB3XawLMh5aauyZcMttpo 31c/NB3ybWu/E9wYQYo8Mrxj29f2q/8LcTyy07be+W08j9ov+juDfZntgGmImxMQSGRkSXJU5xpY YFYWJbX9wRu/s9tY9mLRH3/UGSA9oNbPhlYs0x9s5s87u63nyyzVJKE5PfGT2SRFYXajsXXPyRO2 fLPaDsSrx0fitiV+0l9dpCWEzXoQNnDZRXeNIC2cz2mQ/N4wVxkefNS3uEFksfeNykiCZ2UJ7Z+Z bcYoOlkOA45xbvjPO7ONae03lpYc1TG81/bOa/O5RaDtS2n27C2S1bKXbc9ZbsW2d1ob3l+a1Utl knV7bSeZAgwG3wDLhnN6M20BAV5pNMILEgD7oxHwzmpjuMIYm2UkDTqU+mafk1ag+fNOasNLZt9v 1BsirFIsHwIiw1gbAUA9KYhJoaNfmN0spXDuwP4jopri+u+ctlshWdKMX+MxlOks3DZKnm5In6q1 PdD9PvHSmX30bm0p0V3o885pI8QBBZKpA3z2Ve0iB5+3qzlU5+ed0kbM6XfZNgPgdda240jeKW1d plrgQVBsmaDIQd2bgrIFTosCMukJJdEQw6n0zmjj7cxTqTD552CTNIkxR8KKozshXy6qkKyfTZnj XW8HgAX1zzuhjVESwf2DGUlXQZ+40ROhkIzUW1qfdzrbr1IbH8N5VG8V6Z3N1q/UBK1JpamPqpuw 65nhIDX1wYEQjfSdzNadwo1+ig++3TLO6yWu+4n3TtZP6MgUnwf3JgTrb9rW9b4R/JgKE1AItUFx M9QtGZedk6F/3rlsjLfL10oib2zceuQ7k607f5sxpywa8shu+tEEJIG7NUMuXm/vRDbGALzXlkrb 6yltQ7p6b4a2LU0QRgAbXZW6XwmhQ4EgksUAFDj+vDPZurvItNWHD5okEDfY5QAH/vNOZPtV2iY6 cuYf9CZ8kuLCNtVgpVTS5N/kSJImLlIjlybZ9fZOY+vGRwa0ZvlgOUlG7AJUJCokdLGg5FkmZooY QMQ7ltuqRPLOYnvyf2nncrD8P7UmrcXa2uedxEb0oF9uTJkWUG2ApdgkRZXt2aX/urwpWac3bk9G b9pKkHsbhiR5p7AZ/gsO8ZwkDjZJ5wjfYwBjSp/0Ad4ASm2oF49BN4vLYAMrgt8RzREByIPgLQ6W lKD6QkTSCfk+7/S1bjLvNymBpAxUz3yKQhwJ3a1GvTiSvlb2WHI0D5N6qb6O4oB7ocRWWSPh4GWk 3RrWC9I21LuZAVEmFoRRIP/HYwCqZRRVtYEwPFfaZqVtbq+0KdHhMjqT9XknrvXHj0FbDZM6oDJn UxSF29TkdIKf/Am7l/4NtCXVm2SZ7TtrzbYa1LmppsHhlkjOdbkSi5ETokqL0szMeBpa62Are6Ot 2dsGJRKF2YVQUg53FalVcrbf/Lxz1ojZ+m4h4Xbsq3na1sJgmxnJrlfhbTfTTQKQkVOE1LZRYIz3 WojWZhi55Ny8rjoC7oB1bqtdyDkC3KgwHi/A8cCG9afTIrWp0Jb0tsHVT7nAnlrzwRFbWzrlMCrF 40EQ2b+nCGx68hBsiuJIG4FjG+VC/ySCR1YN729ikdP0BlIL8dqaInUi19OJLBXWQ2kU0Y76iVGL fHdYeqN6N4qR1tXWTgtBJES1wa3IB6tpJ5iKchmVgceD1n9xlC3WHxg8cNlyWk0UZ3cqUs/aXRWh M9KVeAQPXYlHAFcnXs17nC3Q/6b2iA+20xCk94SjFr4nkAJMAgmc46mjJgw3WAuz5SzQQ+4j7U5W JsqWg5YRNEyTmrWbj0dA5O8IEl6iHZAGm6IoyqZrQwEC+Mf1NabVItsQZasIMe5TFGFIEDqgvga1 KA02RXNCHaFUYpHOL8+uKuwYgGGkIAUofp77U1+jRzXtynyN3C8bNEfn3u+Mkk8cN/F4cO+X79R/ EAB9k9oe17QzNSOyt0bmmhfRntvtsFVXgO9RTbvT7GUajvSR64bnQsKliKb/SaZAAZjMRJAWZEqY 07rMCrZEkPQoyoYzA3R/tlSAaNnACPLEjZm6nCd9Kng+okH6ZiM7gh270Txh6yEPsipurB5nzzUc 1gaZSCUjMJWtSkZ6FGfLbPcsmu6D19oKahc0/2ZaBTg74UgohaKAixP74to209oexdl0GUKTXLU2 xHYMdAZhW8zYesfjwbH9C2hb6al3uaI9IkNiXyIZSS6Sf+4aJW8nLy1XdetceFaQ7CEb0gqSNfvw dGsbcj6GkAguqGO20MAFPwHMcWa1irN5tfWosL3o7bVG04Bir0QQkKgxrR3nP+H5YJLGr0MJ7t9o T9okhXH2ZJom6D8nSUYw7EUOK3JXWcxqJcWESJa0F/cdhwv+WyhumtXJziYVsWmVBgM58HzuoVTt dYP7n50RNF0w4ODuEkAYAKuyBnpOcTwf7LfZvvYbu0hPg6SHpW1OEnV+oIl/5kviPlQYn+Std4l0 dxWRehRpq4sE3LIPzo/oAFcora1QKUC8tU7QxAZSQydYBuBGRpp632i7NTVHOGSRgnUsLRCDME2t FnzAv2gkmBKUQ0fJXiLp79E2uuGU12J+SZEINDTI6UcITusAhJILZw7LSD2KthF1gNi/7uC1tgVc G05W/F0d1DxytlDS2Cill/KI49N8Fl8SXXCVCNKcfDDEViKghF3giceD5L852JZIP4RWfSUPJnuE 2u6dxSMBRRPXUjH35t6k8l5YR0ImxbUUYUiE/h+Lsu9DlsSV6P9zPE80SsS1gR8BOVsUKU7UZWHj S0c3yjoV3/JXqDRljspBJZJzbQ6Vbc8lg8cjiOQ3JDlT4gs+0zZLYcjNhteQ5AELb8gTrNomuu2m kAZtdjFLUXFbve1OhzoNjv+fJyCBJxPVSht6IcD/JwT0mXa8lRJrKkkOckh7hCPpZCB3yETZYKDk NbuqbbNMPB6spbq+zu6cCGsqPksjCrqngCTlMpFPTm5mxD37f1tpo6eIckTFbVTgT17LbaTBbrh2 tuLsYN6dw3vAsTtJ6OaEd50Wt2jZi0gice4RBd2561SiJgsHNQAakM7mepbxeEDWHt9BALg3LMba FEVBNw0p4fizrf7PAMbqSNv4ErXByM/o7GFpm2SsIaVSDr6QNjjR0BqHQHDrRc5Lgz56aCVmVD5d hAwIPHxJMEeUee+FxmfoCP4QrA1t5sLSMzpMGc9Hte1fUTfMZwA8tEmKcdvEbPXVjSVRt208CEjM bSyJSRtJTlJU20YNAuprZWhgBAykxslJwJGgGP4JW6G3SneBQZUotBjW4xkAsyB8RwAiFfK/Spy0 1htM7il6Tz//g8f/VUky30Zqq/sUhUASGprMuq79hNqTQiMvK9qi7OpbLYq4DWxTxLkhB5xTVBKy DdSF6VeJxJ0SE5U6cmdP/qD26gEAeh/4jr/YyJgRH1QCOPkgBUFQFt54PDqOvottSKsxpT5FUbzd i1yH27qn0XT0Pxl/mqLautUjRxRvT+JsQVf3wULJs2i4vSgd0UdJRv4D+mbQNI2xhZi2k7y2EdW1 dRzNRP2aSYffbRXJ2niZjnOT4vloHX3XSXARdSlEcZKieHsISZKviJ3uB261Vu30Rte2+VaL4m1i 1TeNcW2wScqYjpNAEIxc0TdkEyWh3NRRNAYm2NYRLbDwHcFWo1o5kNo+WH+k1yJF4BNE4PHoNPpe R0xu8w0jR4jXphxiSVapJT/CtcZqtUSlQhquK28bEV67cqstQySPB2yzoWU7IcMACZJ91qkqkvAl YClnli+0dtXb/tFnO4tyNw1ZRiTnQjn59/DUdoSR9vy+1cSz315KGlFdu5FoI2KBthpY3lb6n2U6 jKQtK5OMKNL2rI2n0ZJuXSb17xxmpWORgWeLXgATOwgL4E86yUlud4pw6+A7otI/pigNFiRJiBSK ZEDdWWTk82vj+QhF8p3Z4qbu7VL/RhRo08IJPK192yOXi5zgBaE5ogSA5igOtKnClhlod8l88+av aI8k0FJgoYGdB8gSDEMWRSNRqboCEiSM4UuCOBs9yLqgVwaBi4VanXD/J3xYPHRhHY4PCPL/elEk ZLbBz+pR1BphpF3N7HT4gdS8lNTrWnb31zmLVUlGWNzOlEJK1B7lYLktcAO5ITSAnM0GOpk60uv8 k03FwEmV1ibJqKbXDQpuamuXJhsFVOvIG+moVRG2NU6ejOejKsk3QgLn25z3SJpxdVvC0VsS26CR 4vIiiqSWXg1FshDosUoyQ2E/3f6NUSQHC7RhtniurM5Ae24kt1h1RRrs53cQqH08VNsZgkjIstlp 3kHINjhM2O1fKh4PpugGSGzYAvl3rkSfoijQrspFZHDDnZdwKrBGkono4jqihWClKlJY3RarPZEh ycFObUCymgzfKjSfFqR61+oMehOl4Et/KreoxOJboryWUWTJ0wfDR5yfRcZTJ9TA4/+l9c/GL/ar TVKoRVK5eFbyc3uy4krM1m75Uv+33/4zJkjyMGqitbeH1n4S1Qa3GAqznlT7LFVstkILANixoERy +VqLAgkzLG8Paf5wDdZsbgMnpji/IoPKuk/yP6NQm/npc3BDPa9esO2MQm2aJpYy61UjSVfk7/yX l2u7PGWbYXGbKds5aYYPXreFb1mhwNYJtSvU20mPQGWhQ72z0SvXrE2X3jY6kBBqwzndB00RIJJb 8ghl4fGg9f+rPtKII7it/xmF2pUJW5E/ELC2fVwJMshgGdY2A9+iKQpL21V+8HP44ICtss8ynaS1 I0DCLS8lzXMsAX2XwbNyJWRitmfIjcxs1w66KPVxXZSAbNJldQ4OPB50JNs3ow2CLSNvz/xnXNkm A7l7GHkSxLp/XC7aeFv1pKbZ5BFmhCABGg8uqOT1c/BW0kYXrfWLIBESB7UxSO2dp67ofyakHt8R nNlKagsLSBzUoQY1hVoD5+MHHv8vTGRqdeSb988IQYIcAcx/64Wwaeto5Ey7GoWRc5nVzYzq2ovm EXAP88GTkT7xv5nLCMKlCsYTGBk4nX72mA+drehtI8FaY0VMDU2RNoQFzALv7Gg8HuRr01cRI0ag 7ABQtykKeZFk2HbEcR5FDu+P5FUdsG2FyMRPiqaISW2iNNJyQ0iAtRqk/cYw8ugquvno1z3Qp4RM 9S8VshmVtMvK0mjbPthGA1GC5/WZejweTNHta7M0kgDyuRXtGSFI0IWkY7CzIlH6svN65W7y9Q1N N5uiMNAmuYa8dRvuYQT9o0E624JcWBdRG3ucMAognp6CdtLrBjhkQUikR0Lz+my6rAWmxAh5zk2H 56O837eapO4B1sp3kqIwuzYpaxQja0F/2miRow8XkDgbhDhkbLVYiAS1tVSIAeBwiUhn4UBXjUQk CEgRz1YoXSvRATQzbJJW0etG0VEmTWvcQWytvWGUo7Ce7xjhbL5lI8EgGaiPao5WFGUTKojpyE76 k68rEe2IQ+042q4etSIMydBR3eRg2roD2s6OnWcZVgrabHjgDZL+8oC2Fei9jetoPYT/FWJINpls Rbw2xxXSj6JVobVqxeOR5o9f/DlbeA5AuxciV1jQnkT9peoh5NJBTYq5wBJMa7tbJK0wzlYBqeU7 OA55wxogUYdsdzhUJQqxQ9doEHyN1NawSKgZ4zv+QiFnxZEZhTtVWFfDr0gdkl0Lnv8n7I8XGzV1 bsN2hTraa6u05qf2HMUNt7qrJdTzxxU7klYEI1Huv4SPWGr9N6JHG/RYS2J9BFYjk5Zc0FpAOIPS f3rCo/XT8B1RlY0KW7luH4S0mYWCZtQ25uNRlP292VARbfuSbFYUZROEcfLA6jZ3pXrNHwGBKSN1 qPXoQFoxM5K7bM/pg0fZJ9fvwLnJkmyOvrXZoLYH8zRsTGe0EdO2woL2ZO0oUTlyo9EsTYw+52i6 /AdUWkMESfulZ4d4YbQr+7OiOJu9QFSEk4P+13KXrVx3seLIoIAhJylkRjJRo+GwDbbZdqKyQyae HYhb1DQW8B/nAZpzw53lwmymXjfoQqpJayQSOClUwzniUuYsnXwDzwe7rX8H2gDZznpL2isKtEH6 zAAMeaANxIoF2lO3HEMk+M9pkqKStvklFp5IVmHWiYTml4VIDTBkeHuew24THQF5w3NtuKlrSYwj V4QhWXYY2dHUvO+PdmaWVGvnS0ZgpF+hNsyBd3dg24pCbTJzoSvgZbazLfzYXmVLbRPEv2b6GisM taXRivaFDb6SMqxvRB+BNAXFPMBpO3HzJsEZjTUrIe3d9bp/6WswQ+bQdLacbdyk6HH2YsPj0eXm RxKLc1hIX7stirVp2Hl2Qk9WZcvTOv0URhIjqXZE4zZHIVp7sCyS5/DB5ujkIwD+U1k7wbtx8mor hK5QkCTDjM4BJHgQ3/JHkASQlCTtkJfwIj8RaWGdDOcCHn/fbesuJDFNTs44LldrhXjtqe7jvF6S 8xq5dkrqivY3ppX+VxRtq5ONZrMP3tBuaUPcieqR6L8lNrTzpng0YPOUh1e39n8Z+64sV3IlyX+u Jc8caLH/jQ3MzB3BfB2et0ahuqaCjAQhXJhQWxrfEhT/t6yTxx242woynqXL7WRtK4q266/dBkwL AIQ+SWFRe4n1N12uTVKVEiHZTrGp9DGQAnkYbWsl6UjqX0cSsCQo+GKSYGI4GzLLVVnUpugFLM49 ShpDrxtESWr3Z9aQOKgWSc8PmUjOisejhZS/5wjYMtgcaI52FG1DggsELZePoJCBLSRRocSybc6N 2KHsn8Sje18+2ByBoDXXmqQi5xPwbdaQFnodE8BPOGi5dStr2juKthtLSFluI9ndRmgNK53BOtLC 4xHDZn0nbSghldsb2e+xNopzwkVcOdvRnYiMlrF1tBs9VDRFoQoJTY/apI8dB7/ZOoG2k72RNAG5 7dTXPtNB0xHYcN6LjUr/O0Rss0zb50g+GAgJ8Ty/b57Edr/H2t8ZCcNqCKM8yog7RGyTHJEfvwho ntoyGoThk6g1azYJsh2H2lSz0xyNZ44ylFnZyOAyOpkJkmkASBeNirGMk0v+Frbn8CVRnY3Vo7nv IGTEieWrOkmlbzwe1NmaV0iwR/NA5e9e/juKtU2CnKEGCSSJOmn/exyta769Q/AIFTYnF4kGmyOc 2IVEaii1zE0sArYey7V4a+416x/xXttRsI38GoZ1xLRd7WV62jK0+gGIF49H2pHf9xqV48YFau0Q rU2ATR/1YUdst64ptH6QaURxdsT+W+5vmhUi67+Wj8wFdR7J/Z1fG9wt5CMQsO/s+JOHpEIbghB8 RwSwwYkN3ToME3UUXtgz007oh6yQ/dn/liFhVI5OHUgVNkeh3B+dRyBbbHN0NsklIrVuc4RWtGlr 7Rg8gk22YNJtgxcjcVpsFPBQaSvnIuXNl1E/GjSmZQ1JWO019LZRR5t4P5CHbFCBZLfRxNWqOLJD 95pfy4hgOvSBbIoi8AiFy2Fb3J2rtd10FIe3qf2fVdTs5t8ReGRI5a9JXLtdZkTC7iqrTXG1ymIi uOCIeH7ABoIgT2x1s3vX2/7Bre0ADfqgem2inbdKZ3w8qo58e2sgAOntdmp3qEEy2fFvV8xmeDBZ eqUMo/QQYeCjKYrBI1w+W4tpX9FIlHfOGtxLFEiodrAFV3WpAGTargMSDYnxHUH8SE3tkxNkH3Rg dzQDeWAPbLRIWlsSChdfgz22ryTyjoLswUulqyNLNls38Xo0RpwUeRL3ZrJROyxpZ84NrQw1OCly gg+KWw6VSJheKflNrIucb4PWhkNH9tTb/uFgA7T/9kFTdAKXxNgIqrR4PFLWuCE23g4ombkvRHuH Qn9Npvbl2vuulm0ZIUm3wyiVe/FHwBFaikAMqfhwkbUIrcYWcbTXkqiJBK32H1qEbVRrzVSb1dod q49IKZLu470272SDL0oWKfSj8Pi/FNqFqz3nULlpiIA6r9+6mNHyRmBGW4gAEG4EDlfAR0Dqdxi7 ho4/fyQiWHM+GLqmjIUFREfIimtsZjJHEkw6MvClhABAaANLaW1748BxrDCn1TwNgmuNc5W5cYBn y5MfEGFHy9eZhEL8SY6uTnuKytpy1d4g/mUdSok24xnkSPDas0IkpOQ2U2GsLTVIhQEcbqx98qmZ C8kj0FhpVCGnkM0GR6IQrmWaP4y1KboRVF3l0ELJPw5cUB04bRFC1sx8PshIxmX+qSRJYGa6Fhsp xJDQwa6WUryvnZLXtueycu5ZXHlY7z+nEK3dCdUSTKJJhUcgEijc18aiJLokmfQJuIcRaYPVmsqX z6i/8Z8qG0WBAElbYl/lagf6mHBjTVHUTSbfA5JA/Q7rwGcqCruZ0JwDtXpqknLe3iqRniSF7Vu6 MxX6RbKxD6CID87bYu8IYiHYfQPOG4PyyAjBEjGA+TnIF3ES+Jq/aCRl0QiZg06pc2UW07Yfg88H R/n8xrbj0h15PMdUFHsnuSR0k484/yhW+yZxy2VboEw7zCUhRXVuiR8yorDBNx+TuEVZstwHwgUm uoRtJagQAEjvRaVMPim+JjLc0JKizS8HVUwGrHVJddtwk0ghpKT8ylFIZMlXTyKnuNZtSuTO3qpi b2XGtVg+pLilwV43bclCxW3h2yTePr/E2/sJIico4TymwJgkgqujzwMBkx/2k7z6lra9cIQFJL4N 1gs2aKLw4xSptww9H9XfvrXJoEg5UT3xeQq1SShZVCgQS4Jyhz6WGicmmrzoRgCgp47zKA4XYhKB jQ9eXToX6N6FklJ0HQC9FxU4cMjTBm9uXAeXDIqAvibqMPHik+r2cNVtZA9ZPonnH/V8sPWubELW eX5yqjkvlTunWKOkKMAct8fUh7VPTlTYbKoaQJ92SoVlb1150rHhYKcUdBNAU2GxsoFUCuHplRsA PRkYj1y3576Fgpb8mj/Y3HB9ZwOlXv2tBWs1Qt7PQ3w+wnP/tt2EKdFKz0xFUfkidXKN6t6tuzXX BZxaao1SJa52j48KmAFaTfsZPCxP6ew+yCmijrLGFHwS0sE/57/bP6gJXmTgtPeNhDiSMIF3UD1u 9D6nYs42+Hyw964sEN+PPcxUvs7zKDRHuRmNlHLrTWM72KRwfjlRm3Iomqio/j2KQoR6B4fknPMS UEnhTMG26bRPojAfRBpRW76st1rshYOKE0nvXD022EzVWeVzOxh0hsY3/YbnpBNUeijeYyp0mQRC 8/q3UtMwXzfglXK2VHjlO1GBzaTqV5By390Hr6gQJ5a7MShhVor/JJFWhUyCRh9u60LL7RzYTDqz e0kGh4MKBkTxMdVLY/L5SG/ilzkAvO8e8lvOUXTeCMkdNblCcB/mBHzOqJFvcQ5Bs81ThDpJLKvs xXSGg+aptgqBhkId5Q0o06JHd6/Qn4XwPNFLpn1Hx5KcQ9hJYUYs5ftyle/RXxuMo9q5R/l8EJzn b6dJJGp9fU3Te2guASfYcM1yU2ITeUMtnE4TjA4olsNbL/SaXIyjVu/Dh4sWPCdvg/0foMusXnbm xEiyCpbHvphT+srwSyJ0zpRAQNegLu85I2aWXzOgX3z+X1mxtusJgvdz4wV2k+hgk+A1TH8L0JPd vUZXsKZZo+OJaaspjMsXxYIQXNjgq+lkRWeuEESdVOiEkwR+QpIS4QLE3ejBLVmu4e/7x6brBZvA BuFzIJXOTADeaHz+XyIv1kRHmfDGmqHjJEjR5JnuHxedLl4RR3HWVxP4JzZNIaFSCHgVKlfdfoqf hHedQy9RZYp9OQXuGYWLjv7K2d2XLChRpRyaTi72L/dirLnXtppbxzlF7jE4YHw+YHg/wpxTZmcd P2W9MxXJc3e6BYyxvaJp7XHsuzqSC5gT3m77Lo7KRcstzQefqQGve+27DTZTZdWKfcuRiCLtF8k8 FRkExpOgebNFdyIPH1Qah4UzC82od/H5oA31q+PLTH8/cUHgPJmloVuuNyDBzB5qlrxu6QCtUYWa ofWkonJg4HzwqLwAzIwyOPyCICwjZeWMdAeZTEHQ5kU7VaNC78npQObig7q+ldIfP1TE63w+Ose/ 2750i6lfYUEUkkN/ATI11UUD8jT9AHSlGEpBmb/ixLR5itxwOum5oMv6cNE6NNwd0jE9W5C2wusE /Oe/ZGBWyEGRQXet9sLReqqienUfdCBDZFQgi3N18/l/sVAZQ8jY4dl3YUBOecXapoOaxr7OgYsk Na6nVa6TaWBBKbYmoDrtDl5gOSEmkLlZYibnQuI8MbXLtJmBou1F7PRmbxzUDaRCmVfywdLh84ry Rlqt8PkodfndToAt7tx3omL0dxZ64JIsE6NwkiynYcLOxhvORc1/+FBS9G6K/zWfzOW8dIXmmKRx zlWImB+MXoh7wsWBKNzfqUtoRCnR14Hivg0GJRhZVWAA5/h8lLqMr8ggw0yPnhk2UaEXJRq9uTQJ vA4WZorT49H6t4mq2Uk7OTSjXMPqBtUHn6gTWJ4YLWuiTvaZuKImKlELeiFo51o8zt4AvySqGlCP alndjv1WNDbPzEzjpKzM5/9LeQXmWuyN+jSF1fJCfFPGBW1sgpm9DLXRJSYfla5aKq+EfpSDbXLo lvrg01RX38QPoE6+IEtHCX/EOScSabAiuctJht2hHaXOJ5g1+aBtB1K57rvcJ5+PiivfjhQVt2d+ mgqxHyU1u7dkKMiYz6VZXfOciOrEQNfElQRyYEjpqwkS5j448GKlDT8EOgnA+rLRkLJA7Q1qi6Bj P5JUxOHwayIIDzNXmlKcIcOKmDHjuZO1m87tvfkBQaz5q7CJD1jo4/pMxQgVRlBtdStCnUN1eb1O vPnNyMB9KXJgSinDJUjilO7DJfFAdwEl8AbHrrPUICAMU0qx0KimVp8rb9sbB+gCNfRk4dlVUkKR rkMSmkr5J/Pm8/9FUBjIz5EujSeHrpSbYmp7Zg8199U5bQx1rHlOAykG5YEt5RWDXWQXcrAldbJf 0OclmDfRZGYmDPzKTyckHOBwr5RTKz/HvpSZW2+LGQbckVQlxwluiU5gIYEf8F8Y84gNOpIPn6gY q7KZsgyHYM45luPCZBJDrEq+xbrQm1J7b1axw+q1XjpRApTkG4UFTkgMfC9q6jTL6xA/RKTrEMxc 7X2jkgFRvJ29Kg5qosPEg0UKdI35fLCe6ndkADoDsdk+TVFIDhRGBlbCFKrrUMkXpbqs1A9i8JXq V5qmUFuQG28PVn85WOqCtsjZufQ1m9DypEzF7mL4ADefH+2lNe19IzgGtW5YYNGgyso69wjhFFA/ 4/ORt9D8Op9OCPzT537OpygiB4wCGR51YeXj1YtjViSjW0UNyz5NYZF8KQlm+4WDraZ5IgwdSlBt P5cCRAFIe0J9GpJXkOqhUTWogtNeOJgn0MJOckK3qgmhR54o0NmgLOHZRElvGeTCt2aA3Qp8QM/z WU5RRN6bxPKKz9OoNxMutVgFqnaquCowCL1zeOjM3pIPPk8neAVLlcdTBUKZyXKGBXMHxhFaTwbu kSRcDi0qG+PxVomj48DldDIKRFM/RD5nPh81PO+uww7LMANe9UFmhDaVzKBPeLSeUp2b5/VOmpfQ K2SSaaL+dobvjRJCHOzC6+f+P5+gFG+jnUuxSpg8IL86E4+6N1YgqT3FXjjC0VMZrstFB1pbXFAz jSSS2NkHnc//qwiVVImBFdM9xkOvSmxqWnldV6/6xVs1b+aKrNXvu9isUmoMZd7BFtQCMYwKYVhQ sD9NJnzG2BP1taeDTph4Dt0qM1vnJ/kZPliBPHUZ6KhAHthVIiD/ve9QJL++Jzn0qzQLvXUJB/OW NHtPfttVQOB8mqKAfBUTGx4+eGuK5fJUjE5HeR7cdtttR0/S8QjEqVYXOFY60gBUWB9UgSK8lMH5 npvPB5CMX+cTiioDSa7PU4gWr7L1aG5YDRMuJx3U5doeq7r1aQ5NK4fkhjJrms072+cf1pkpJC90 rYK7BMGui2rZGRagP+WZKKDK7I2DDI+thKZwk8m0iPS68thtOnc4PyCaqfw1U6ybzSfFC50rO+Vs CuWxRc8Q4YDNTtYX1XLpru2ZY+tKtYVBLLXBDiiwliFGQ5jPCUBJ7kVtBf2JBIGvrG5C/eqgB+aV IESbCSonDOrXXezDE1pVlkbOIs38gH/tPZEVEELt54gKQ3JgDWpeRqJDta6ImUmFfRND63k7STMH /pWJQCNUVXr1wXMX9LsXeAfAGlAJKsm+qv1sGqwAi+vw+rzshaOqpsRiiWztBQBqkXzO36CdeLK4 yQ8Ibr21v5YUYLCjPEFU4GIJbDTdmSinQvBKE+WX/DrcPwZeIUhCExVKorDNST9oG27/HIAALFV0 WfpIk5SfChg+LBrPzKON4KSf1O2No0uP7c5OZjQHQ22OkXSad9Q1AyfL7zo5ngPlGJy/O1FRUI7g 7Yp9ylpvubxeS8OBYwvdJzulIvRKk5ZFStUHh9gxvqSbRYM5aqEE3xpnyZ4XJf54zIfU0u2Fo0Ye wqh1snYO2XwaKenaqiCrXFEhkvyG5RS+RBL0hW8N7CzdORYoXSdINdchhMdPsokaycUI8h9+ltx6 ScFUugY7KYPj3RHug7KJOjn+SxSAadUIqXgUv1xkfy9742DvZVbqBIsqzsMGVqJI/PAcJJ3PRwHn d/7SSLhet64ZWlpCuhXh5gX5rM5UhvUVSoKzEgV4qm+9MC5fnKihOvCYd0Wd1C1B0ZP33iAtjaBN liwSJJryHtfTcqtSHppaDpLICXW0QXioE6429qvOL734fBBv/vK0huncCcwf0GZoawkcEkqbScrx gCUWh0zDzcFKmytx73V9VBRwMi+pvftgEUJqMO5ZFNs/B/AoiP0h3UsFWzBsKzrH3qTqy944iBAo StC7aHfdaHekqWW1h8/NyOeDAOEWWLIAeeAoPKWD0NqyE2QziWVXricMWVdTwS13VrugjMDbEmkj NWU6u+nXCxdtdNx2k5yEs8GQjHUaykCTqlHMHQuAKXEe9JPJgbcl5olNqsr4YBP9zpATKo5dnHKg DQJzS2y9X7XyDQGnK5WWQ3PLqdJmyc5T2M0sZLHbCEUsCqS8ORy6Wy6KpUqhQYNVWDrWF85flg7G SvJSLeh1DyClTzR1M72hOCqwt8QG585LlAJDa0oQ/HPK7UGF4DPp6KOHBpcPSVGBfAZ65d56ocMl S5Yo9CdPicddT0O3HlLifL3Sc2Bx6aWoKUYnB5soVMpX3mIEnziqZ1HwNozKiqgvFzSWir3vX16p kMomvA7cDf5pE0cUe5Zn6hc/IHJw+KUoD45iu/aNOTC5BH8KEOlCkR9LiR00dlLidFPieWtRocul oQ3avoMvqLPdCsqQBI2dtKM3AdDP2ukQBAEiyJovsijKgcmlriwYgeEk16DK5vlGQQgRoPP5qFL+ SxVslG8Kfg5sLqG8j3sO3njeHG7Z9fdmbg9PwWUc8z98LntiZZODJzC1b9ASFEThBCpXEXTi6/Ie F74y1UQPjS7Fm96pdx+UEp9UV+IpQH/w+UiHx288BuDwTEtPhSV0umx0dyt0i2IMVfL2edrlOvCg xmKhQWB1mSh7dDK8wsodhxtDUQMu01m2TajASYH3/JgbheFCVxAHr2x74aBmR9SY1hP0Ic7alVER do+Qqid15AdENeBfRoUEIV4yfg78LjOlmC4IUVJ8V9SpdLcErdSXtAUVReUqRXXpEXFwxtk4B3UG yxmuV5ipRKB0Rvk9DamC37an3NNz4HmZrWaHKFxDMYEQODoyAOoZpYPQ9LLsX3bOKPpBGvjOVCxa yBOqzKugLlwG8StqqANOWbCXbUlF+JVK3aItB/X9OKgXJDPQJS648iDjmaSkhtLOaKxy7DtP2983 6lER/pskX5SsEwZ98FllN7DBDwqcL7/hmoJDARvRLi3/8xJAzfOdshUreV/pa9ZjHb7St51QLPpw Qb2ET+eDEnEw556bxETNed1Tzi87YS6zeT6dgDmTHbQT5EsHdAqRc1wWFcKnl+CJ75oIFRsC/o4L /KXbG38U1F0+L6ETX3CbEL9pHSIlnJmcfF4d0fwMxd/YrqwXbPo7MxRPw6ydqMPrJ3gwPzKyGBJQ HY+AagIculLMkUozE372wBjgpJowsMEMup/D0rn0OkEn32AKPESCHQ8JFvJZojCenft5CZn4p1Zj b5Qfa47+UDtf8/MSMPEhVJeR/Xa/4fbD3NiiV4M21VF/5Py8hEtaP2xw7sXjm4NtMijAyWue6qmA UhF2BzvihhsK/2Bh5SR0/CVY4rti4YFiji6nBjVaUHDQ8X1e+fMSKWlyDTZefmRmfL59tmTT8xIn afksFnhTuzrOKzm4vtbirfLZfXu9REn8IHWikN364JhMsIvdfm83rSQop8LmGuR0EG99epBcfV5i JH5Fpooz4MEcwPo0UVB42bBfd+6Fz0uIpD+1+/Ixy8b5QwUXTdBLhKSnNm9+tiCtxNudiD+kws8S L5nW9JUN1o8EeKAg7oPzfuqJhguA9CfRPb90GXKUH1VoGORuECrQBttbbxucQEWsH9bDOahc0s+p JndiNMhfgiN7evoJpMPqnH/DJ+glNNKuRMZXlsJrKsuudmvg7ervSJ+MLmDBBEmoYFReURxuT+VE xytRovAsITBcyUoc9EoF1KC0fKUK6Bj9eYmL+LbO2uzNB4Gc+vmF6QcDcvDnJSiyJVS+J+is6ZlI tMMEvYREOteJAyte5OadbwLOULcZVvqGToh5XEQTJMedxqaTmXLoBjvrfgHZRM2UdmIwHAILwOef BVT3+S/G3WNJLxvsMciHdnpkYECBS7y6OYgQhXFT7Z+XYEgnmGFUNUG8COVJSCHb6IimNnEn0EUF ynUVrov4rjJKcY/0lzhIRzTpPY/Z3p0gOA/Dr3aph5JozbTA+GmIGBFbA07tsTVZ5S9xkC1Tal2I BrUfGtSmBuUPeyL58xIF2SvmrwnqUCNZVAOhGmK0xSrBV+Vh9qx7BrESZmfQ3sbFeClLaoOzKEJ5 Hhs8pD43QIbqqriHQJMxpEaQDccU5p/LAXJsB35eipKaINRERBo/Q6PaAy1SljwxEPGVz0tJUmHI 8hUkAAa8P5pvsZd6pFYtrXbmvCyMlrfJXIARMG9VpLguURQEcYt1iCHY4CvohD1nBW2JW6JzSx5r 3uT74egAMO/i4ujY9FKL1K+wDUjRNZhuY0K5jWuWeNSXSuT/nEGVNVuk3TS2UpgYxdFQK8HR080b rUoZiFPU2HWX5k5hnZt0w2iOFpVAZG7BwQPFc/CUhcVypuis0LNQGhP9IU7k2VFpp59fKulvJDqt okk/oi5x624UNID2GlNviECDvxrts/m9zxBpkqNmkxQF09IAI3NcJxFtuk22YSRXSd1yliWrIZok Fo2W+t8cLkUF4muIW/HTL5DdsNM6677Ax6GsXbydiy4k3zc4rcF2Op81tw/JErFaGWCcJc/Hg4A6 ra9JWgjIVIMUyiW6z1p9xJoZPQ7rtsHa8oaMm90RwbyCSdpsdp9jIfvgYJMCZ83KSUpQfRtsPCVs ugHk96JVuQKiIbRuMEWdCrJtECTPQVPUDUzEYvDnjT2nFdG/L7SKqu9mu1uAhPDAFivsGhGO3Vy2 sQKeKwTFvOpNb9w5vX5RUPR/JIkn9NpOkkBNwrMlBtoD4kKD/X9+jLIf4WZBv98D68SzgWl890Fp GWRTi7QYgT4NA2uz/tJhCbnuCU6WzdF7YI18k2FRYukRB1KlOhArtJXIGFZoC+MXVWijOUKBLIF1 6YPmCK3IEyd12aOAMcnizDnX0QxCNDDL0xiZKjyGtz4dv5WCLLIthVmivD/hADmhnhbMUTbIt9YR uI7n4q9+r72R5vSnse64ZGOpJnf30Egi5AyuwcS17P49us6mkQ7JMh/sQMLVf47lzuCxQ9uYwApW oyhtDgVVP7QHrYjeKHPa0JvRI3yXbBAJ8ywk6fufG7V83hhzfMeW5tdCygCoUifbJikMsCuDRnG+ JCRPdUsiAVpxtV2g1mySoghbqelu6Q5+IJ0dcBbSErSkw2iHdo34zkkFg7L9REKFSq/7fiI1oU7N IXV0R+dCnFZ4iZn3540uZ1lw/z6ROmf8zlEUY6/E2sdcFwTQ0wUBrGtq1YHz1xxFQXYfbBvp0J5f h/YEIfWcq9SvgKQNokV4zC+4oqJvMK/SRyH97fPGldNqHRIZ6FWD/lh40mVqKv0AIP15o8rZ7du+ o+yMIJKuDZyj9zDbaQNGB2dSu8x7GJ1oQ3zXhr63VWSjOBupOyDJ8w63ILuhVAsiCmjhsJ0sCsVZ CD3n2P5qGXW97fsUCaC0CiHxHNQJqSmJW1E71HWiOHvt7zg7455ra99TO4q04fcCqQqD2pwwUuSB RSm7Ztao2O+O4wpD7cF2NnDJNlg7G3zBAnQg6c7gP5D9VNHL69Dxzig+3+r+1OtGk4RG2Dl1sg86 kM5qkEJAJUAiirUFvL7r6LwjEwurOEahNrvmhUKcttfol8jzqHpHEudRNvzkGz3O1jHlh5By2uBs gXPBwio+qQWCuiJ6ajCfhIUrfhhcaypaU1zz80aPs2U/FT3eQQntqsyPaYueP2/suP9J2BhwQth6 TS87vpHjNLOao9wdYzpqcpkY7DaLkHptFkS+ceNsRTLbr3RuGsLFivR10rUTFlGRGAgSCi+gbA0G OzCmBPPdA4mlxzdynOJAcggpgYUBto6WjxS65tDbYn7eyHE69LMXH3nXTxB+ZrrTFFWvURCFguzF bq023NEasj52/7NXoaX0HmujtsdAcgsasvtlVJytfy6hxvJjhSP9EnRLnqNAlaBgdRk6xd43CpMY bRcSvhp0DUWqWSdELQTJnBh2fd6YcTbNPk2yjCvUjbo7Loy3KSUPuVQvsaFxYiW27iJyHZpfOpXe iHFWIVEuku7gfC8gsCFnyrytr7OaSCGka2aWYkVaV62C2idvvDirACyqVKQ7GI8pq0Nwttysnzda 3P9Uspm39f7DMMImKQq4lwq1KV34e5kWcJ8TdlksWSkNoS0XlbL7Mrxk8sHXUj1hJlxE2Oo/Nx+w odCSP7c/ea4nzPaj26yb3jhxthRUYJOmbLNfomaUg9hvBJPv88aI0xW889f9hmNpAL1ocxQXszdD uau3T6kkiYCvvH2OWKfRHEXVbGunFfZDRrn9EDQQqLKHhdTOclmdDiAQQQY5AH2WPctzdi+9bxAn EdZWxNktl7MLeYdGWb96Qr7PGx/O6h3fWQlQ9n1Uz27f6HBafoWXGoXlJMjERgMX0uZqUM8oLauS vLHhbjSxTGVQg1e00QqZ2LlnIUH0BPRcGu4MCgpIHfJx/1563/dDadGTkJbhNnCSoM/DpjdWx/68 seHsDC5fK4lEuHmTkjcynE2S6efkm9665D7qbckBSJDG15H0HnCf35hqL5tgdg0eTJ7w9KTrTaDb hR5UIogSpg3cbdhinrmVodcN5ograCx5Lk26gLMoWVEYrrzf9v68UeHs3PzOSqAQ0Nqtk7wx4bTd tvx1bTrga92eI8kEv3BquwXYGxHOis5Lbdk7eHp7Mh840lJIIBV0UItQfyATw19r0iPdzC2XXjeI JmVuOXrxwUBaQtCjrJT7540Hp8cNG2lzlJD936zkjQRnc0QZgTaunjwlVuRLUIs3IPetJb1x4L7q JNSGsMEj7kX6ckWLFo0SMsihx3BynjbPES5ba6kIUMvyjQFne42bbDOY7O4zBk+lPeXLttf4vBHg FItO32t8NSdNWQ87CrjR3UJW7mC/8pBL4Lp8XVI2nb8TPym62Tg3AHvbYFM0kX+0rib/eUsm/VAu hnoZopad7nFEVu/njf1mPbKuuVk+GKvrpCQyIz6n/ueN/HYv3+/cdmNKfRW9cd8sZNiCiVhluxJr rggpF+vd1saSvaYoxolAxqspfWtO3msstVRyBAFDPhFra0RuwR4HYBbg21GJ7ka/sdcN5ojSJh2U WhtUIwEsNQtJM/l4sNPS904rKACKIMgvjYJtIstBG3Kat6jTbJHk5K3+RuYjd9ob7c2wRkxqd04+ 2DI6b3+iIkhaI1oED66xIwe9jp4k6XNP7Db0tlHatlH5oeeDBoFFyPqV7XcpnzfG28uBjeQLQpc+ RVGgTUPCM5UXpz2pq07qMhpjDmentxjgx298N0ttqQbXa/XB0ewnN0IwJLWzc3IjP4VSKpDEiV5y cABx//ik143SEfp+gn6HAbDl/mOCAY2/yky5fN7Ibprj9N1rQ4TW0CWxSYoC7c1+9ujF27Un/R8G ZkdjxibpnKwWaL9R3az7gHVUz3z4YJXtigZtBmEFkP8T0mN5boydeKGfcg9sGiN93nhuT5+t9cn+ CAedRif+SkWIkVE+byw3BSe/sn/0VwHy8Rl6D7PlbIJ+7XTrBkr6qIW0SEwlyQ2mizZDUVkbPYPz d9dncHD2+bkhH0++MrSqFk8j+FD/YKnilnj6I0xF3hhuWvWUqOyw8bXBav9YgExFzpd93ghutop+ wWqQaSNnszmKomxE44ga2/Kcdrsw+kka3LGxI6BRAPlGb7P2DiPHKUbEfBgRBVF8FWsLBasER8Gz 5ygLksAmAM75MiYJ7Xujt32V2s6plXwwLlJvavvXNfmSQT3yNpGYr51Le+ab075x2xQcjS3eiOOy KaVu0BGW+8yNeJqt5Ru1za41SU3I5qKy2TCp5UkKLvDUgj8muRFOEkygWFWU0/YHbPxGbLPaPWv+ Kt2i4K9qEHC6rJkAwZb5klGnbXydR4hyTR+AkxRF2TiXzx1fs91rJZM8JD53M+Qfsvbid39Y1yZ4 lqpZNjgG8gRCiLRY+y8JMP5JoWGc/wnkKzRNrm0DfpjPG6tNRxIFBQsoZWeAVJNA1BtyxgwCga39 vLHabhfqWUo4ocYY99SO4uwpH7lVmneR9rJW2wlkrJZ7ru7lpbY3Spven01/lmRs8PLI+cVPHiYY Up7gR5LKLc1sLD1Uzn0tSR3gjdFm0UqW7Fv1QYcSvkCMkfMZnzdCm73kN5SNgtBoVxsYMoy0N5Wq 6aQh0UVAT4Q2Lm67WzuzFUrrv7HZ7P5n+R/65zb4JMG0D+q52G8DFh8stC3K0wHTRTUlW0nUdfq8 sdkuwAE0EcLdtyhXKo9s9p1/EDh93shstl1vqM2uLTTm5wMjeWOzWa2VAi+NmGwGANQePXca4B/E 8yc229AzxKn0Rmaz21m2zSRJcvACyUS3ZotUczZ3IS55DQSSe+OUA+3XUeuUn3rjsn3tt5mkt5im S8WTfkkq21n1nzcmm4UA3ysJl0Wvt4j0RmTTSpLBFS3ZH9dmriT0/NV3q/BINS+ZNx6bHW9soZks ANWHfsS13WkPwDyI+oP/IHGjabBfS9cYlNtu1tb1vsHRTXW8phigeQxQAT/NzKRPbN8/bzQ2C7e/ K21kZIzp4fYbi00nWVZiawcRyA9dMnmld0G5YG3ddjZtvDcSm2WNFDAbRK9zuHHS2WMnHiMh+aS/ cCsGHgmF/pP0wF8ARtwWA5Bs+HljsT0xwDmMdvVBk5QpmIYvnKV+3khs9rjvN+7Nmc/1li9AO65r U52LQoxykyu5eKWN6CABSYbLlbxR2CwGYDJSn8ELJOdAGrDcQ4Gknqu0k0yCdjk4+Gc54Ua11FYV kjcGm1JbkURqyz5Y+n9+C7bbTtjbPm8ENq3D5K1t/IQrQ5/+4iPf+Gu3coVq4O0j1bW9tb33NogE AJJ2ub3R12whM9GAZpQNXiGZQGpBBJe4mww8LBY6iJAD6wv9B4u3wYjgd0RTxLQNlRsbLCc5+YmE XfoenzfqmuVf311btNZbv0SIN+aanuIlTCyFMdf29iISzwnrSPZmUJs34tp3EQn+CjZ4aos222TJ 5kwXsJJSz0cLtUM4A3mRR9taRVFNu3OLAULgg6ZoQLqKDPXW6ueNtGan2XcRCX/dqLfN9kZZ01OZ ITYdt9UdyVcuEEZRVopsw60t3xhrF0Sy4fW1ffACCS6dvujZCil1SAPh6qvS0j9HfL0BEthEfNug QMLL/9ygwwdbRaOYhfz5t583vtp0JLxmhDQlFH3O7+RhZAuDbfJFzgtfJvtKLu62+MZMbZvciTo/ KdhpldUj5NQ2+JFN9iOsRAFrgz5rl5na+VE7dEU6LVKb6mxJbxsQsrYOI7IiOBhg6yxPrltY7+Hx IIj81YjErU+DApuiMNKmcndTjVYHdvHsf+wLRYZ7ty2jqKINqiNOobx8sGV0UsczIYPywQn5W6dD amska3cmQfn2tLXVokD7LEN0aWlkrMFK2qhqE691cjc8HnT+y/elhjNlwGbVSDUhXDuNR9JGkP91 /T/HtGVU555W9e/vgbY62jtxe9pgNaSyOoxPpOh2rvucTf8GGSVoqXDhsDhbrgI9Jj6Sjk2BVg1a RhCPl6n1ib7xeMAa2d9Vf0Rw7NLZFEVRNu15AQ/yItKYBoiAGyFFk2gosN0bvUcQEhlU1MaSJAeb og7WSQJ07BzYKGpOSsQDPASw36Z48M39Ka3Ro5I28gZIbhGy3R6DCrLv5LR7cpEeRdnpFxRpEP88 /N7vYZTNQtfqtnYAJS3T8aPDe/7giQ9daj0qaSNfAyZSngsPXAvJfoNpfKMNYV0UZkQmAoAZK+iF zmVWsC32vlEAyUZ24pmdN035GEHOOZQjjtkKng84kPO7inQSxTPZ49ltIQsSysTA+k+Ls1dlhQSo NkqzMBmBGmhVMtKjOHtOJf2UDebgtbY2TkyNJBa8cnC0KtFIoEWce5WnUbuwNnY88C1/5LUnLehd g3nCzNl64i4CsBCPR0zR74IkPqzn6jdbj5iQQ8YU2QARsENLNkltSyGQGgjisZ1kpP+NH9mSvNuP +WfNgFZ1gCtAZMNSIoQUFEnQE0AbZ1arOJtXW48K24uF7b0l1g3JqSoFBIDByKYdJ2XD88HdNtqv DQfk/2Ub9zDQZhRJDSebJDkRsxW5r1BEgXCXVlJMh+TdBiizDb7hxkQpeJJQmyAkRt5/Yp8EjW5I CdxDidquPQJszyLvDglIQWCsS/0AzC3GgSdh23g+2m8+SVLHQxsJcAubpbC2TVj7xiaDHj5J/cWk 8XHrmZEsZfZZRuphrE2hUpCuffDkf2J7DPb9gfQYi+6oOQHVlNGBK7IANzLS1PsGtbYl2SjJu4Jx Jz37ioYL7Vl+ziFY8AHB6T1+YUjA/CrFU5L+Hm9DNJGd2s47fvAfiTtCzRnuNthmtIgD1pM7Loq3 0ZMB7K9OHxyNBDhBA6W6Eb+VEqUA22S1DaXO8gjjUxQZXxKcSrzaYAvmgyG2Sh1Tqll54vH/Rq49 iT/6qDZHEWi7Mwo4mfsw/YNMiDDrSJ2Wc2q2gZKnpRRBSLSUFusfGPgDc8Od6x4FejNmzDQYOnO0 gGtiqbOy8aWjG1dQxbdEsDbi2eCMYINKJAkVF+GSFh+PFpKHAWT/Ud1rPHFAGHJTLHHUYmY55x+z zxLJsLIOqDhDNEtRcVueQrOm7YOtpA7sALQ0xLEt6G/QjhgtGkhOQhJye0lykELaIxiJOm4jkSPB QZjkc7csqSbOMvH4PzmSjdPENPn2AEYUdU+Kb/Y6PFzi3Or0zm17OwndV4WUIypvA5d+kn+EOzZ4 DWBUID3GkCRL61BDRw2A4lqQeEPLXkQSCXOPKOoWGamjkm+DOgD8FXgcr5O8jTDq7t8MgAYx/HSx NiOKurv8monHlA3x3tUJADuZEyr0RqxMMuLatjputflgK2ms87+3Iso8kaQUokhp7FyA60SFxQXI EM/iSyI+OxPcxakaE2AJcxBK6Mv/UCch4/kIRvqNJEE5lKQUm6QQtp1k0JyaTVKjPiHLbZ3FL7Ik JiZdkxQVt7OyN2ghYSCTZCA12SgAVzYA6qIVRZI+GcCe68wdrCncLwB/Nr4jAJFSjmU1xt6rPdHk OWzYwu/nf+HxfxGSiiTaChlTPkdRzK0GAGiMF46cXWW61weOnLI5540o5gbo4fxnSBo4JJsjFOeB akPMDf5fR64itg1kvxJoSY8WN4xy8R1/sf5RQfBBRQBgVO1uGxuP/6uyzWUEV1FAaWyKooi700Ue 2qN+HM3hjdtMHVM1bvewqu2IIm58P6LIvn3wxi38g6h4fiLuRqYKpqgQFsISGDyXjWo7SWwbUWXb yJGos0MI+BzhohF1gJ64Ds6lmvH8v9pt1AUEpx3EdJukKOIehLXPcdMSY0twr5Gexr2WwGXRJEUR t5QjF+8tDc7+y9CQbiIjpDMRhVqAKL1BBVSgYFtHm9T/EQK26btIuoUN1iFB340goxNG4PGo11a/ 40ikt/nGkSMEbLO1XdinMvHt5ultpfAjpcak04s4ckSAbbR0wNZSE6DdXhuFCk8USZXyBPtTOZw0 whNQzJnlC65d9bZRp41kLTEbUXCX3NMcGUKCntyOMNRev6r/VGzYjo8cUWm7qWU75gWSSMKOnK2y nR85i8tojSjUnsRHpjFtYADC5BZpxoITN8wEC3MU1iTPF6G18bNyu1OEzAjfEYVHlGdBawHDNCnp Arke1sOoDI3n/3kcEdq9T6wtuUhOUhRrE/h4Uo+ZfZLSZSNn+vSJRNqvkk0Yaw/RkOodLjtin4Oj MtY+KzZDGoz5CPVIAPmQ14T2GoPIEYbaFPlhexbqnJsCC6ppo/CCvxKEOXxAUAKo3yUArPYxb51k hLH2Uq/t0kjnThYhndRxDmvawl7Ddltc3mZWO03w/uls47qvpKxjllC9JWcLkeXPhpp5mZRobVKM anrdqOS2KUPChlIDbZBQdDDvrc09zoGH56M6yQWSMjjZINtW33AzLHBXxpE0K4ftG/RNkyFJqmjK m4BkwIRQKJl/CfudU7cQUMLBQ+2FoLiK/j9w5jHUbkl5ajFY+3jItjMEklSqkVBvu99iDNo8u8qr +vwMeDyYo/aNkkS9ro+r7DejULuylgS6EJPYDF37ZVWSkiVlQ8dclHuoixRyJFlpgyCUD16VhKrT HAS3QUqrSeB+grsBpPJkme9B2y69b5Ta0qds0K6Eg+62E5JmZnDtLCo8/p9iJERawGjYJEWhNowx kIQsl2xZrFIItjWnp2y7uQ3sjBmS7DRmoiVnvvSIXOngBlAByvbn9y+EScKWAxXuRJ7iZWwtSiTM sMJNhg3MqH1QkQRGXzxS6vlT8Hg0Sd8rCTybswTvSopi7cxuUk3dJVuEO2Agubydixu3uMJWXN9m q62T2Z76w2wfgCGnwVYJuMol8Yg/P3lFHQc3YL8cEoq1z79RJL1SRpODpmjDoUICCWXh8aD9P3yK SBSgUHe7GmRRrA3JFGBsr0rboJEQ77ZrH1hht20p2wyF/urvOtIltZ27JkHYnAiJhluoyqwTcScQ eHmDzmhCyMNeN9hrFI+i0rsNRpCA+BTn6MQteDxoSrbvxi0kWwa4JzZHf6NIoEbpN9t08j+EIyyO bEjqdLPNCEUibd89yEbeX/nIiYk2THTYAcjARsq3DJn7RO0WDDUPkug+OaNQG4qEyEPGHbiMIDE6 GCq3OQYejypt35k/QIgj38x/RigSyDUSRfKkbMsbbiU70AYodLv8Z1TZxnzisE53uFOEjAR+4lhG J2ivLFwi1T0HwnnFPeZDaLO3/ePE7gm+YBjSVqiNo404GDix8BWjhO27YosmPmuiNkUhM5KHUW9f EtHD5RHyVx9pdD+MQr0/IoyQv/rgpL+awRVNYo+fHZVY+IbL7ImMqSPRf+uQzaioXSTWhljLBtto fRc1lNbm4xFcy3F/jBJwn/XsCduMQCSi/e/m2kgCkNp5vRzSBmcgn6Io0JZl9+aNpcGLbEmUdtZG Fio8m6q+qO8tUPUBoH9q2kmvG7RHMg2709aAlzFh1lol0X9+kIrnIzTSLxhJBmAr30stJEbKRpFF CU2S+CSU+L8CUiexcoLEDKVIJqojVJqywSNIGNLNLbkWoKyKxNsZDmLbZeja+iQte90II0GFf0RW NgiOlJL5SUEKFo//M4TUfwzk4dXZWFGYDUsLTJIDAGoqLp99fvzpinY4RLSSVoQjGdpspW0fHuw/ 9aEzj+wzNZ2nOu6Bn07MJBfSejj/K8SRbHJIeqs+aI46jEuM81/xeCT7893XBoUM2FaforCiLaZ0 LY6N3CRacYpGd6JN7c3xoyvEkWzp2XGzcbDNBiOpCiqMBFoThLTQsmWVjQkYMltDI8HeGd/xFw65 yhMQXi8/qrCejcvwDdl8LXg+grX9Vmjd579vHmWvKMpmtgbCljdG5nb5qA5nHttrCUGy5ijEkRAV mc5O0sBfFXutns9Bz5nlEZrjyACXTACoUq6SnuDoHFv4jqjIJvUotv45CGozUUYgw/bkOXj8vzQi AQNuuFJtikJqJFV3Cn8+FtnOoW1C4+BnWh2yw3Vex9EKsdqdlXz0cGy4Ow0oI5zQYI+ivCnDkQq/ 501jlktlF350xfVsQkeKBNsgIipNjHPaoR7D3AIqrVGQ/RxHuMNQCSLI0icpVNMWDpk1evUhS3IL cwWuTGknbQg4SSE1kh1/Uo5s8IstV8ppm1w9yO2UIQOwcLF+2h9y5Gbff0UYki5hTXUjF1ALst2s cBthAamfTBHPRwHS9+2PLTNxYdgkRWF2og9Z7reAlLs7Q8CHed8AaVuYvWIRElYYd2s+eErbEg4k KkajgWGut4lcDp61uEPd2S5te9+I80+ftkGlFileKKUdtUt0/CxVPB5gSNYNtOmUCDD0vhiSFeK1 E4/tZrY+gC50i7Tbgk+tjCEGzljNUhhpU8l2wlfCBq/7A3+5KlGkBUieIZINg2xQBFl6tQrS3l2v G02SWbwvDVOHCxJBgivRkGp4PJik9I0iRWQ722X+rSjUJrASd0W3IpulIDCTPOHGFDii8w00R3+r /g1pQ3JwulbGlgOwGfyRdn6PJADJIDwBsSDwvo4gQeKEb/lLZWuxF42hmhcLqFtdpoel4vH37bYu XZuZ/znU5rjSiCumR7IRWXu+d9vw4kiflshBY6sbRnKFVW1mtaOwrs/hdrQbsEys1+Z1lqcy/woY 58kQEhUGnERa6K2Cb4kw2yxftrV94JIoUBdYwiOfpG1FwTYBh9puRTU5anX6LMVV7S3hv+IlpHFn Kckpiu1aVKmlQR5rbGetoeWDzdLOKNIDdIRyNFQAEqlI6GhsyszhVPcwaQy9bhQmUfPnpGk+aN+c q4defIAlVTwehAD7uxZJUbt2+2w7Crbl2CDDVHlFlO6idjRRMx0S9hUoQx6yI7doyKP54NvtZCGZ 1U7cbvDZqkRInnT3Z+KoQ4PCjVtZ095RsE31MXgcFR+0juYJY1nKryMtPB6xbK7A1tD6OwnJbdfu 92jb3EjPkXrrtTPV5VmbABMUImluwr1jIRIuoEFI9nBtR/SQTj6K5LZIYntmkZFpT7ohJlnSRf6V RLH/HaK2RdUuXEccBESCWrXko8/H4/F/Hdu87M9h1IDNsDkKUduURs7T1Ecy4MJuqTGmg0jPEZt9 HYXRNuV+z5/efPAiUsGVBmoVCv8niukUc8NhBJ9irOPkJZJCE158yR+FtrMS6V3HQdgISDFrIZ27 E49H1MgbbvOMZ2WrX+TfDsmROMgKj1KTayFeyk6k7kVtbBibpb8AJDDao/bfzvm2R3C7Qe+XOckG kL6zYkuIO9RO6VfnLSTebTuKuDcVNtYScWs5N5JdYeZtQBbi8f+kjbx5Kt05CjHbhbW2xWq/7EeX W96bngSdI+b03RZzI5X+rzt4re0ksPnsVjGRUSEngqTiFTu7/mQjqdaGOBbfEWmRkCJRm/wRkCjx 0p6AYG6JbM+N5/8F1sJ/C9gsVdFtjmLNP1pqCmVDMZKWXc9+1qx/SzESkyLdIYCEci0JmaANzh9F Fw2ybyi2neT1/J/OOcIfzPucZSQhttfQ2wYhUv72Ja/Zy0gQh2faVStO7dDBJn2D/iYNYi5Wa0cA Epg8IKFdhtWqFA2RMlKX7nYlP8JdbHeo+EdlsZ0l1yb+lEIkCnTDmRoCW+iFMbctAEVAQeRHaG11 tHvX2/5FH2UdzwaVbDNCOyue8fGoGvlL0Ba0yPbstFCJhDttzHSNfpv7a/SWfBXVCecHTVEIIJlc RZMa0hy8GgkYNast6NYj6xfGBpyyRsmpdl2Q6EiM7whiyCSG7Ug+6Mg+P88mav98zMbjUaHNrzWu GPCP974bLaxqU1G2kxRjnLaq+/4cRtVVfyqDJlIjdwzVpmoEgjwb7DAisQoMf/L+YDjJ+nfDMd3o wPWFHtlTbxsdRpMd2mfQFKWJCh4j5zrw+L/0NbD8ABhmWmFTFEXZqPjT09eNbM7tln7cW6NnO4uI RdYqirAj0J+EN8G8wxNlr7OBF88i2I5Rz2ZToa2nkw3AatFdtVmu3aEECVFsENb2QVcaMGWyQjp/ Ch6PlOwcXsPUDpqaJV2PlhRF2UBzgeJCkxYmtTgFDTlC7vf/I3wFTVuZj6cwzGaluqd5BwPYpAXK TWZWC+BfRSy2VppS+4WKUaLgv2za17Y3DhikBJzMJQdN7NRsxKuzD1lIh4Q3P+A/QSNwv9SLZpdI 8fthjw134qRtOiQDTxFA0ib45vSGdCRS10f9mbTVNH24BBIU5xvlbOFO0WSM1IDC2FAVLQRsmfAP g20qbwR/pwg2qfjABdVPDE+gEKLnzOeDnOSXN8I5YLDr0nXZSO8BN2QnqYlQrw3yzNsdJFYx3dYK oEwyd4QUArYlRz7YveVwS24n9ThHx+ZEzUxR+DNRjTRS6FWXVL6MRrO98V8YCdrHYYAJnQhYJy9Z BF2du2LxA4JYKddfGS7E9W79VqnN6/29qZJU7W4DE6B6s6Sv7bXJhZKTzVToGFkkfcg0joNTt6Cq VqsMEfv5E0hbWtR+QuK4ETXvp3/rbxxhJRujyb588LgbFwT+ETAAPB9x3L8ppVBkGXk8ExVF3uD0 IiVpzpPIT+kti7OMdkBa3g6QsMfrRBHZP0Xemg95K6EN18CPwZJC/4Tx3AL5ArU3JLXYt1ZW4gzz a/7y3OidDabuiowVaPFClZK6YSiRQkzJb4sb9BmZS/lMheVuAbgTy5GJ2iRgLRPmtsXrKuQoEzJB Z7Kw3t2VyNEAkIMncoXCLURwow/UJEw+O14SbTO2lLz+lra9cMRzo1NCm3fQRG0WxRgZDD0f2G5d LSCRCOEhU69sAmW1308pBgdFYKQp1tu05smUncuiDSBZJV0fFUQHnKhVKGLLwY/zUc+SGcK6ZfzP 1EzqAPXnBFJPHtfFJdMfgl8TxFAqmyAvt0GwyVJpCMt/1PNRa6D8WlKlwQ3wCRGiYDyxgNEJFzdx qe6cgNxbspmiMKGdUmHhm5jJkXlYcbDNd47aAR4pm9L4UVCYXBAQRAsF9Ytct2e+hS1+fk0EM8Hm K5s3X9kpGxQCqnq8tvp5iM9HEYKfUiTtns1LVx+fqDAklztyuhXLPfdwoMnVuz8XTOvuChjJlZQt vBsVy9a4AWcBaj5TlBSCbrSZ3Gp+n4MG9Ow904MMnPa+fwYIWQFCtgABNYgk0TuI2PL5YOtd3BvO bTYx06OgmFMsDkidkmQCEwAuLzcrMwt3Vi3Z19E8heXvxRBKWu0cHB4I+aSSus6olkHups82DmRA /dFpubS36i/8Z7VpUvOeg01UH5Iqr4MRZ+h88ysJHiLR34gzdJlk1weYHJsn/PheAV9tuVPpmm7r kgObSbDSCFnaFOTcboYN2Xs8XqRbss9eObEhFd0hJt+RRdDwxm1daLidA5vJqxB4ZsgHqxWcU1ln eRqTz0dSCneeiKeg+d2TxIROk03mzl+58G7VC3PlTtSYpflERagTXFfnwEBsaoMmCgZBoD1kUk1h vS0RHN60J3Ub9Ah39TsaluQ/nCZxhNfOjI+D5mmUpj5XO+uUzweR+a9wEzYbHZIMPk1hYC7E6RxX KJiMaZYMpkAVjA0Kw4Suj4q6vKzKQdrehgsWrGfnAWYBPYV99grrwIAx/9AzaOwLOQVRXl/yFzqn t6oEpuoYhzbm2KJSnsyYz0f9gm96N1DlbV8V3Bz4TULrAmYTVWpc9J3YRlnCEptWNui9zOqrKYzK N0oryKl98NU0AdpByQmE03qOKwoqT/WigHmmB7eUuUa29420cFCaraht2cDVBE1rVs1wa1Y+/y/I AClzEHdDt9enKVQKXKKcNhdVWqjaWXUlETJQ3E3RpikkVGbKcmTV6vLlClYQBGYHXBmkk57nZue8 8pYBfBDdcs9dJKuUQ9dJCHcCntOKBltO0CGjhchZTmPz+aDXO305MUKFWWipzzxF8twIo+HCXb0i Dk8f23V1bRcwhwuC77owIie0EqhuH2yeKADW4aEBNARKIQT0kOI8IGsgaKWBmRQWBL6TOJ1YgZIP 7rg+uGdpoW3DiHwVPh/54PyKM8G7w+nv8xSVxXn4Q2baICiF8qsKM+l3b2UD1FcVZobOk2pBoTHk gwfki1V+yio2OCgk4nSpbM4IDTXPW69TISq0nrSqAVR8bVDLF44fU8A4rvooHt+/ipoN9ayHfZJD 80lO79kBXXg4wFDcWJFlsR+pBje0Q2yeIjMc6ZjOLPmJ/MhPnK188r1F4uA5B8+9T9GASe0AhSUk oMifu1Z74T9UA6gg74Oip0IPEK6nVPl8ZGN2owK+Ho0d1rPx4nCcMZPSOtWh0iWhJBPtRviUh0/U P7Aom9gmDpa3rBNBAUvIosG5EgpJmKvysoL3Iqze0wXs9GZvHEnjD5G9tg+GTzprXjzS1QqfjxTN vwnNsFHrMN/yiQqx31CVAF13/54o7Ly67d9Cisr1THPsQymByfYMd0X1hDLrYCpsjhGwWAR0daNH gezpd+ISGlEWKuTB4M0Hrah54gztvHOH8PkgcSm/hM0oho1g0yYq9KIcPMqbpLkxUW0Qq4OJ4o+t ieKyVhkqNKNcAqOU1H3wjkLa/VwWiVbUJ0cZify4tVGNQl0CSh8Wj0MeUu/7Zwu4UZKiNYpjoqeZ kUhSi/qEgHw+khL8jjMLL4QLbsqhHeWQydJk/5L5Hdg0rEGNJAAB2KhLRlRdHxUEUCqtDM3WGA/k YqBRUURKKXBeIJpwo2WxUIoEx9mXkwy7QztKizML8zIO2nZQYylaTn3y+aCoWebXciKdIV/IZY7t KFkn33J/1d3HDh7xhN0kmKBrMoqvpjAcZ9l3pnaHS29aUKNLbCiceUko0kD8hacp6pmEyNv5RD8A fs0fqos9TZrlkI3P3Xbe8Sx+OS7stfkBQZNqt6+ZIuD5qwIVOFKiozCEtmhWgYIqtdXqVrKyHXkX yWcqdsphnA3akA3eUegL9iBLkoLnAp+sQJXKog4gmdjjz5237Y0DYEGdDJ6qjOCqqcDsDgKsWIJZ rxlVDG7vReQ6+KuVW1oJbSlhgAUpnuIp3pYdHDpHbV2ICq92BeWBLyX+hCJ5k+SDrSnccrjL1Dmf zSgaLDR3akYil/Q6OdXyc2xMyTO8M/rBoj+HMFfB2A1FwR+SoCc/IOoofCtUIDjoRPDbREV1ctPy bNeb8kyOC+ZM5lDCqcCO1iYqBKqwBoXeiQ+3Voc9Asla9KVaKpXM8QLZ7Y7iFlqFjsDM1d73r5LB lLPgXG5QhVJWo07fmbzG5yPz5V9QFWCC89XMyaE7JQ1Z68m0TKT67IZuojlDmu4yXkTv16YpFhek gneTHnx79ODhotqo4IfE4uzipPIw4CUQQ/1Bid/Fl9a09w2gGDyhCn08NBjBEB7VMmCqm8//y11I EiBLdGufpigmZ//v/Ndz3hwveQGq7locrzLz3XZhidySYOowpPwwVfsJk7JEYeq55s7PxwIUMauT HlAok9OpGvTVaS8czBPVhTbQohwaS7hIs+bS+XQiED4f4Z6/dx0EVk9y3u88RSE5jeth2emFOvV0 BVqZ3XNhRrvKhQOPSvTxuOsqfWE4OK8H3ikMMwjsaSA/EfuE95uAjlTnzpsmXA49KpHko32Xmg8q rcDXkcgccMb4fHA4XZNKxN454UqpTychtKlMJD6fTMuRhsvZhcCstubIlbXuPMV0TOpQ53UHz4VT 31DnI9QQcLpNDd1zmtM473ww+hSYPFJ7/IWD9cTe1JhLjqfnz+Z6OnkapDy5nmrn81Hl91scBnrd 8+Fj5tCrEoqruFDdZgCu1X48DS9uIhe+x9MfZpXccOg02+D7btB2oS0pxJ5cjBay/dwT5GieE3zd 9jlB4jl0qwQTa3SqqtlgBfKzpJa8z1AgD+wqv5udldsONfJ546fQr3L38sAwqA7naOiTs65rNbCB o7VpigLyJeNc6THsR4+hgK8yoVVldLrUyG0tVK9hiTHvL4XYbi8cUcWIfh5C1A1H1KGXKv9HpKV8 /l9GevqPz2FXLy8zx56VVKwcuzkt40TM3nBpUvkiCBqOvkqEQ9PKrkWyKbjAwSsGJ60//7dingqM PQchPvREgycSLJm2C+lBLsveOKKvMMMz2aEJ8g35GHhznYno7PED/jVTZJYD2k4aq81UiFzB25Yq xSpjIXr4dLIL99Flm8tWVGymQ+TK4kHOwQEZjf7CtEE7gcHKhEtD27LhKEWVdHCm6lf3PHCvBHdb JrqMo4CB+uliH+bRaeT6cxZp5gcEey99Z3mg1o7yhAaxf+Ugqo59HTFZWd+m8yC9PUwKBZGNcpfA wNLlByYtzjXYUY5C2pyJyPqzy+aiX/oCT/pnFzgxAkjlyPq87IX/6gqPxV7ewLEkNeoMtwayLM7f PfkBUUT+rTzItkS9BIQc2Fgal7rQA1fIldb6TYfROhFyBQJIPlGxIIrYUGoOM2a0iHyiB9gIr29Q RyNvanWKQ50k58w8ughO+Und3jjafHRCm2RschAYij7E/MaOwmZgZfmLq/HDJAsKIbcKFXpZ0hAG 9GWn2M9565pNyF+KNKXk5brAzBLhvRwYoAiv4RbKF1SgxbFDE6+rCoXwcmcp162H0dLthf+qr+xi SmHYR7JDP0lQYgV4JK6oEER+J4onGnLrcgX2cuhnmbiiNqAbprDXXYawGeWefpYpb5+oMCqnyP5Y +Q5+nMMvBjrErEP1YiL7UDWGVyMKgTAYcZX9veyNg71HIaKqzgIHg9edEMaIdqvz+WDrPexfATyb tNl8puJSOVkb4ypao9bvBZayspWiar2lqNjVUj2FxsImB0dkQA21E2kAhatWdJxT5DrTQJtC/w6G Uqk8tLVUqRyiQz4IDIXAQOIWey0+HwScvyIp2JKeyHw+F19sIE8x4sx7rZLEWYbhpTNBe6ptyvur 66PihBi0FUbm9YnM0zkJG4BjKEV1eO4tqKidmK2x5oymAmqC3qbqy974L4YrmW426N5LDV/Kfbgn nw8ihF9q+4jm+34qB6G55TDPnXnJUuu6EqPMbakebJYtQgjcLRFsUEoGEZIN1kXH9PQJHeIGOPEA 3xyrDnTWRjV3/P7MiDNlBvklUQbD9tTsyUuc6g5X0HPUHQbYILC3hAbEb7kUyDddn7Qculvi6MbB 1H2aNnMI+u5Af8wz4na7w6G95aKJPAStfLACS08QDQaMiNCVCdo0C3YouiXU3iFsY5neUBwV+Ftm ogXQTCAKsXHFC5WxYHhMqhjEm3PocJl+21ydCK6v54gKLS4rNWXXvsSyuS/5zirDSIkL/x5NVMzj VOlABbt6C3YIBedJtdeWD+h5lIxP9OYBXsGFvi9mLPn7Rlgolg5Ewu9QnFLaceKh3BRGnWifH/Av EKIf5f2r+RK4XOKAEmTFC5uPiArwtMsowWhVFt93YWQ+CIISeiU/6JVK7WD0wch6ObGiGYdkaHHC ohBy3NZ8kUdRDlwuz77ZLNVV0cyqAddPEpar1EehZcrn/yWYLug9xdee4ylClFOTE3KmTlIYclCj LMg0bWdwGGgMkvRRf53j52RdPtg5PhEojKGwHFBbFMehB3oy7gkybwYuwlV41EUPnS5p5gRczfRB KfE86QSvDkRrfD5aTr97wxBtnzd9Cb0u8YOcyL9eT/BSu6My9rgxFCRhLTIIzC4BhKG17GZBioPP 0wKDA5+LY6Whs1Qp6AABXtx9hbYgjl7Z9sIBjYolzZJYsiN4kTpMNfc5EhGJwPLyA94P8rW/M2L0 KCcCXJ+oOCq3g9wnaqTmQnxVkGAGm2D124IKaZ0STW0CGLYvzUtUmGYb1HQAkYN6jqsQz4qOKGKC 2/aUfXoOXC+z2RWCIayTfJk+SId6l/pzKB2Etpdlpa88L3dUhua+IVRofAkyHQEszTNiEm8FYKlW cDmrAYGOLakIwII1gQhC5o6reu3g/PV54lRq9E8dEONhsNXJhmaVY995EjsosL5EGiHBol180AkF lLrkwjbIQYH35TdaE8/Bs/xnQWhH8/R5DaByVlcZCACX4mcN0uArCqAG7Ypd2fE1fMpAQ7Fk18od nMdBTwpoghNqgGIXVhxLbBRvRwBzKVQIn16Dp2xyfG2BUmCDpmgCpMdvOzfp5zV0ytbePdNRLcLM oFIP/ovzu0TTM8wvxcoFoFE1D8SpFCHfSxb3ud+C6emUTlkQ0rTBqyoFAuVopuP4hv81iwUbX3li 8fOjotBlbg5Lx9Lr/Hi7ZRV27zhofs7t2KYwGQtPv80PQolm8zN0NVKqxubnNV46D6GOgU7wdDjd pjyXHdzdXVNGXnbBvUZLWD5diGhFAeOJAtA2gPt1l7pso9woaHq48GDlCEUsiyonkeOvsVJGN2mQ XI54VIPaLOjCm376bp/XQAmTa/IyRrFCWX4y8sb0vIZJWD6d3OB8DVMG2CLmvgs9PevYXbTha5CU ce7zCFJbM321Nc+vBqcL9ldgLFfhvwbZVGigApsGQqlPDxoYn9cQKZsVyLkVGyMAomZMEfRcJoRn wsT28xoh8U/15WOQ5wmQ4rIJeg2QspNZSk+uct3XcM/UAT8KE7toy31lg/Ujwt05TqoPdu3DtyHh T0Cae+KYgRIttHm3admhFtB9g7H0+HmNjrI0FUD12XdQ4QQlUKprsD3+GhvhaUNbVOnKNuhIzGIT 9BoZYVcClliWVNHJ9inee+qruD4RatjWe3qNi3Jy+ljOd7DzGSIUJ9yB0EVrG/i8ROkdRIzQlwfz OF+RAsYYn9ew6LztYljEbWmDME7QgyI+Fnrln9eYKJvYAOdDBrRnjwHAbjP0GhLhXM+Ep67icqm4 l6303dmhZum74seTw0U4Q9xjQHvZYDN0dtXClSxp4hPx4F48Nw5IBos2gYDi+SZjxvYaDWU5VAMy kJmOQL9bwFJUE5KcUs7B8HkNhnCEzbvJEGLiBi3so2CCXiMhnNG0BBjlxowzXyHQKhkw6skmR6i+ xkE8o6VMtO7gBe8Bbj9EOxtcd7DLxEBkwRvTVECx89iajPLXOCjLYgylkXUHoS4RokoI9ERSn9co iK/4fUojF+iLIF5M0GtdknuMR0EbFy+Qb+PSBPgFZ6qukxac0qnKsnHdwXGpMIwfmb3ceiLfumn+ UdDiyxnaF5VdawHkKKj9ea1JYoJ4+qRBccI0aKOGsin5jFQBzVABDSaobz+EJDxbAfUc3WbotR6J ZZvUp9wO+ALewcgqaZlveoXWmatbhWEQdRvzM3j/u+10QtVEdUuQMyaP6QrjIdRt8U3wNDdgHB2b XmuR+BkWqTxwWuCg3YICZCE/UoDU10pkflLYagRWZInjnkLvNDrsss0O3LgYJsAibYp6YapPvZ3q ImnvLDrM0aL+t9ol+6tdMs4NMhAkU5IQi6dTJrWyto1WSUk7/fwSSX9n0WEZmdTOyj6oYAtWZpEQ yAB7Ndpov04i+jXXexK9U+jwy6hcC68gHUW1d7faPXmV8BWQ8J7OyQzj6Sk7Kx3W7R7WtUKRkL8a FAnPtZPkbQ181Pn/Au9GZe2qPH/ofYPjujAmAlXNBtX/EUaIu3oygs87gy6LqahJ6lKUASu0+XH0 zqDDjdY5SytPB1KoWksgxRgeFG0qPAvnFcySzJq28AH7wQecZTozcg02lE56QyV0gAYRI6OtuOhW rphoCK8bzFHvRpxbPmiOOpJGzlHdfDyIiqaf2IJcnJRsYm5tjqLIukqBoHFjyYqw3siaAi0CUbCT ImhAcGjrSFpJt1q6txqUUSEfP5c7NkFyk56W5WcC3A8exnOpJb3u+27rW9xetsrWXJ66wnyBvWoE YZ938hzOpFm+rzUyVovnZu/cuWyST7WoHUkJMAofsEjb2PYTrJI1bBVpozlCQzYp3Uo3roNJ0Pn5 ziVUaGzNECDLkQfgbaBywbzw3si0t40OJASN0ETjgLRFsKUN4wz2b8819HknzjG0ml9zlDNRlfWe 21GAzeuhrFK/+txeUYNMiwXYGdo7yvDfI2zAM5m7giJggx1JA3lro9g3zoszD0xWKlLYgWpIRnpj x/agF9E7bQ47murfCLd84CRVtJqHtM9L+byz5rIERL8nCS5/Nd0qSBhjt6q48WuSPIRso7tj0yKA nZMUBdldwNxMqz0OdiKtdf6YsxvoRVxhTUwaFIS1fhiwUvjcXHapLPh558zhr6S61foatJJml0gP FED3550yl59iv6f6JHTeOYrCbFRJ8Y7twQGMfHEArkmMRM1hXVGcLZWdJfGY9YjHnM9pkD9PrMyi wzMJA9gndP0hUoextdPoZ9frvs/R0FG0Calcexl34BydW4ltT52PB+WQ1L/uf3SR51ye7b+T5biO Ejebu1oWdLi8H9JNxqJCAtapTVGojQbhTjCY8sE22wmeQQMrJPOuhIu6iEGvyPgHf93tGnW97fsU sRnS9mRlloOaIehMsQcJzOfnnSeHEGl/T1EmcGptD7XfeXLZ0MsuViEVomU8uZFmc3NUXgCaozDY ZquWuoI23Lp1zeKPQdsS8vuCxdEsiV7s0LO+Bf6p140mCSESw3UbdCBh6tUxIkQiirYFlWXOz5ut wVPlVh2jYFv6n0O2Okppu4t/1XktUhZ+JpUd42CbXdpOH1kOttf2uYPyGNKKOcdGkQwmCXhz0TgC 15rq1pvtx3eGHMMwAk1ptqNBOe3JoVmggDV6/rwT5LDX8o21iTkZ1Bi5kxQF20UAqlZcKGZ0Y1lq CVuIdH5wq6298+MYIjE2Qv5qg4VIE23GLPnvs+USsBFUkoOUClTAfuid4ScS64/vBLlb3s+A+WNo SGd+5K7bplpFEEB5J8hlw9Uq2mZx5Mz5TL7d3vlxLDplId8vRWdlDySNocr7vw6r8L/T41gdlAmx Asn5BJKbdjKd5REKggoDX6GPD0wQlbgvcX5pLb2H28nMCDt5zpD8ytLyRv2rVv6VKOh93slxNsvP qSR5rexR0js3jhuOdsR73iJb79uLbF5Zqp1gMh5K79S4LBe8s9OIAdZwUxIkfkM1kgUaL2ScFiFp 0HSmoPO6chUUP3lnxuFbQJfvtVOMgYP1+1cS3u2cEvXzToz7VQPAY+vcA3N0L/a/0+Jw4Lcs+U/f cLPPZEsJbra2lNJwVeJ3Vlx2IOCadCXgcJfSRC67SadY2LtETG58NUAlEELwk9ucm95JcVkibgQh JR9UzV5QwaC69fkdPu+UOLuBn5O7EVmx7hyF9exBD9l0t9uYbiF/guB687bmsifvhDiWkqStR+tv DraQEhpplYJRDZUNItoWfpYMesD5f886Ls/RvfS+QZhER8tKwJaGpGwNphBM3M6e+LwT4rja83eY hHRk3K7ROx+OZ5LYoBf3XvnrcCGdSMZqSRXCZTZJ7+E2Tm42jEDEsMFLtoDaQD5TOEk0+VlLWluS AhSH/LL/Xnrf9zOJmsRtjGfgJIHMUEUbHGt/3ulw2azznqo2VIkhcGuTFMXb0wSus0OQqnf1gcA1 YNI5krY7pL+T4fAbT/UeQdDXYMg/YCT7WoLWDLQxTAP8vJ12G7aYJ25l6HWDOaIb4apEKS/pXbMq CW+xpdtt7887Fw4rKX+f20jIG/T/bZKi0jZgHSjcLu+vzbFdcH9QEd6y2+L92feAG2Xnydmh1pBM Ki27PSHUmJPndoYxqrS9cP78DACv88zX4moQmvzOhGM7kM4mmT0ADjq2wRaRGdxJpD7vRDg8vjzi rsJSFjCg70qKIm40cwmxTQ76K64Uh0KEKzgjhLbt9h5xo5hACabJiLvOR9crlZXrCSmRlZyVMJbl eNCQbcCTytdaQgLUsnwnwX1tNjqlc9AcodU5xE5e4/POgcuP1a7WEaCGHaBU62NHATeMizJ71hZw 500Ph0mR67zs2K7EUbGRHQbc9PyGmKQPNkVwjRso2OGen3Wef5ZwMf7eRE3sex6xM/x5J8CxTYZN NoUe5aDE7SxPnp7IMcrnnf9mt+93brsJ8/YpCqEinY5We5iNbGXMohBJGho8j2gZrSkKa9vM20Zh bstBUzTILFkIas9VNBNSXZNqwr+h2AJq0d0YOEWvG80R1s+JJqsPqpGAOSqXlDb5eHRmeyHJtLtE rPa67Tv5jaUZ7bVsMVLNHj2i62bMZlSz6Cx/9to79w37gHJeZbCgxMEW0gSPEhGjKKfg41BXB8jl nqjrM++h3YbeNkjcyKTEKeCDICMVjEMJwZbyeae9ZRk/PAsJABu8nk9RFGs3IhOgXXOPI+sEoNi2 TEAPol5JGOR30hv3GjdZ4Xri4JB2lKmA2GbZ9hy0YpsOMrVR3j3/qj4W8kmv+0fdtmfhRjLiJZGv gNoZhB3NE2t83hlvv8okLKkUVEmeSYpibYrGUylPkwTwoyPaafOiSeLZqnUU1bYBoDwpcKJwAAev ba+Els8GFR4UE1jtYKmhhElt1MeTsJO4/E52u6XtWSmUw0HnURtJ1BUQMD7vVDfstZS+l1Gh/rAn tu9MN7bCCWXnJSp29/aGpP4UKec1JFSaoaiwjRwDBaS8fbCr/+ysDawWZRjRyJhL/p/gl0n7Ij8d EmYj7zS3LDgqHAna8kE1EhghsJ+ItsPnneWG9ZC+txqoB/AP9zmKAu1eTITR6kjQsLFr7cQxDqft dVWjTb5z3NjgYVwEA2YbbI4gRZYm6mnQ6GAMAGzEJs0m4cYuJe9LmyTA753jlmUqcA4iSeNwUK/t /A5Vae2afMlgq43+a5LGz8w9+yRFgTaWKL1R3GzvnKkXc8zVYH7ElILDJEWF7RPhkvauWysx958s tp3d1ngIMYaELR6drQlFasjyldb2B3H8zm5zHFvbmdaWOyFL5RY5HzlKEY4t8yX/S68N2gdTcsOc pDDQZmWbmtsKtE927qrMbZsDX6VEsN3+YWWbp0wWHYmDB9pwfwKzlxXJhDYtJwn7L1NLufRbbMsL dcHPO7PtlpGqRHQr+stCUp+L4dzOxKTPzOeD/ba/u23gd48xvLP9zmtjfJ9Z0J4WI4HIaH2ktr24 hHRkGdr4ndaWJeYJWg2d5Dg43BgF/ypvq3NUorudWWwj0Blt29pIAOyPRMA7q43pk7kSVx8UJEFh kP8DujCfd1IbX/K7kYSexDi/i0MiQyQJkCHAAwlICw3rfc1SVC2B9GLexGsBE/l3rE1QiA1eRipb HSTst4bqeWVxGyUheBf+UFHJVhLF6z/vlLYstQibDw7GuCprLK5B/Lnz885oyzLf+MrZIE4Aaf0L HA2hJFWy1YTTkNKG6BPs7SLLaFIkz88MuRqcSu+MNm4FGlsBbmGD4/5oTAiCAAjv6WTAkH1btJul BFxGIdeh65Sgeie0EVwoW+Jqg4nkwWO8s7zXW1qfdzobi23fKQkui15vHemdzYblO+VqlcQ5AlsE gGSspA4B0R/T1D+rSjTSdzJblpDV2ta4njVd40boJ1Hcm8g2rKxJsghtbAttY8CBvnlb1/sGR7ck 8tQI4CD4KJwH5Eq4sdzjcPs7TgLdDyIxPknv4TZk13lyC0lCMsQVyEO9x/2tO6zteb29M9mslwQw Umk+OIYd5sYo/lC/E0U2IEdhXwc1DmgMFbhxWwxACNnnncrG4iCWELVVbNAkoTPcJONW6uedyeaQ 9Ce5nagb3+b2O5Eti/WJor9rltRC806zAK3Di21oVfHgfuexsXFc2bGlAFX9UmGewJ6NyqbkAn5i 0L6Qwkq0HM+YX0tuVSN5p7ExuZ2cHAaTHKwAALYf0dcn8P28s9jYPfiGAOJEOL+eX27vJDbOLBUT JFFCCU+a1ahxq9SWlxveQHMUBtxCkqDAbIPXSMpJbieyKiBJ4G466JVWwa4CkGjNS2EDL4LfEU0R kchAzdhgOckJJJgo1r7H552/5p38e7WhewbzJ5+iGEciCf3qZaSR0xNKFpe+gWW6TVEYby9V2nLz waYoA0a6qSlRV6Nogs4sNLlw/ELEzKNtraKorN1NeSPfQVM0zgXAMxftvM87c42n2feZjVNw1Ntp e+etEcZEhp8XjKhVfYFtJOSy9g8XaWNExNE2jqOGzowNNkULUlYNwQEqNueM3uIoQ7O8sepWb4DE KsTnnbWWpS4N7Qfebhx8FbVVTJ16ft5Ja78wW0SRop69bve/RbE2cY/nwHOt85qKs9n7loUjMlsQ DFUgaSFguyhAoioXB4+1K+7jQcg/3Ea6IP8nBG8oKaNDUd3aEjB8fkdw8zMRmbMvHwyxtYmbQ58k ZzwexJC/4MgV5VHgk2yKwkCbnl9t1YtGpiCoDFKFlQAamY5PWkVRSTuR6E9qlQ1+Xi9YBSM4pEfM gv8zpijT7LIzB8q3qa2dFsXZyGTGiWjI0OKgmjaANrKqaGXg8ajz/03tA4dnpJvX9jDOlsLNvNYC w49u2H9Wlwlcxa2/+3ucLYmds8Uw2zZYCQn2q+dIkiYCDOVFYV+UIuAlNa4xk4wF+p/kxxMTKdoe Jil7MuUT+FKwGAhDPB4wR3b7miJwnju4uDZFUZANkBi1ba5D6jbAbbE6llwF0NzWFEUQEnzSTr3Q 0YODTxGsvnflooFXM9W7jeNWaX2Lwp6n/pTX6FFNu8pod5EawUFzlNGk5VY734XHg2u/zO85IgJ6 +GnU44o2u/68bpXTtuGtkUnbUbbYSl6G++tRRRvnH0CRDCNzfgSlVgJ8HaZjIFCDbYpr/yQi4B5l KnPTuszqtQSQ9CjInvQfrCpGVrxglT7LSi0xKT1xZMHzARpp+c2PZZ4hYDwgoG3TFFIha5Jyojuh rVkd1oYfVrkIVI6qcpEehdmTtepd0x0csnUCVKbmuJhTg0AZpdzAXc658zRqF9e2mdb2KMwuBNqW rYrb5t4nhvTEcoy5e+sdjwfHdvquj1TaC1UPIXtEh8S+RC5y3Sn63EZah9Sh6yC0PsyBsMcIkioU cvLBsX9zQg1Qpgt0kWAImbF3UPv5OSlpu0pSvNp6VNdeKv1TcutML7gnUkFAn5sg8XG+D88HkzS+ 7zaAvMe+LaQextkE/xXqTElUIxlWEr1Ic7msFYpGtpJCTuTApQbwtA+2koBfT5Uwm/MHNHhbEowM FfWFLQMz23soUd61R4htcIvhYkmlOxx4P10KCGB/Mig7+drG88F+m98nN5tI7GRpksLKNu0z9qRj bGZW200dvxOumBlpTzB3WETqcaRNnYhswP58zRbOmgGUrRJnM1oClR2pv8xyUXQoMgA3OtLU+wZH N5U0oPFC/ahKERDtnLP5GOdA4xUfEBzefX9tOEqcYZ/aNL1H22rwnfhxkd/P/i3a6ygooaHOKmRB 0xa1O1aRehRtbwpMnty++uBVpJxQIEmbZVscQ5ymMeD+jWiXEmXu3UElzh7VtmXClDrSPQ2CbMG9 yExz8sTjEcHWm7bk5eDQhi6rzVEE2h684ICDMcnEgvqtqkh0A8pqtUHXVUspwpAAPkO2Fuv/pm3M Cw4k9gEbYkqONFoKYY7Y06YuC9teOrlRRar4lgjXRpgtDfA06AhOq7cqYNLi4xFAcn0dSpkCX09p u4cRtxqSbd5aWzNzhQIQWlHVFnIGPktRaXvpYsssue18BTgLKkkJIiOk2QK5Pc2OGBsOQJVKgTUV JEe39w2S20VbE4kADhcBBPo1dVnHzjLxeLSUvrdbpqhCu7M0oph70d669+0kCeP7Tx5Ll4tMdhID yhHVticvuJMrdB+8t90nDm2YnoGZlDbulXNyFaBc0HUDE9B4JFLmHlHMDcg8GrYq/9db/m+IAViP XCd1G2HMPb5LbTgBKD5gUxTF3MjLcQ6t5Pj/1jxQysvMKSg5YlCbERa2J8mRSbsurUdFGRAJ0r7o J3S+kWuNAKdz3GaU2tKVIEOs/hl/UiQbhcoJbzuriocttrR05Qfy2xFWtn/V2lALnVBktUkKUdtZ k5SbTRLNERUojUyUEkkSwiMnftJ7YpJZa4PyJQdGkwNgm3NOVZicZHkMbmowQKEM4Qzor1AmcsMA SK7gOwIQqYgkiO8HSJHbY8k85LFwfoOOx6MWyXcE0OAw+FxtI4SRMAKY2mpNtFuXme7D0ch1Q7Ja Wy0KuJca/1PdpCmrcsaSJ3IHIi+xSpKhfCVrGDCBgWtHv9rvf/zx+I6/6MgJfXIbVAGgWyULSdD7 G2FV+0pHcBXB7BvFdZuiKNzuCrfXeE6j5ejIXA19C9mx6VstCrfx+lCNLHfwQhIuMYpC4ORvmcjU c+uzXUYrMCwrY9pO0tpGVNXOZKIlyMxjQBjK26ifk9p+lVwyno/W0fd5BNpeJ/5PkxSF24h5ASFN 7ttlAHdsNcqGaKtltJI1SVG4vYiOTJ3hNgcPt/s5LnhaoNF2PlOlJMqMdaReqAfbOtqk/o8Qr82a LbyFfbDuyLlnxNk6QQQej/ps3+hI5rbwRLEpCvHaIto+6tt5NTuNxnlt5SmwJiM68kSRI8Jrw5EZ 5/BuPtg6Qn9nNUwI0JFnh+HcBWLrfFFH4I2s/6K17W2jLhsZW41hEuvCPEImpERYCWJmO8JAe32v osIe8vZK0ghBJIXl/t0uiKQ/jK11heumkDaJnxTdapyizrokYivEH8TYAuGWktq1J7xpW356VCGE mMzK7U4RTJ/xHVFwhCmC5QMGGH0LQzIAuuCmgzQ0nv9PTTaAtfpl2Y4o0JaY1rmLh8+RBBEZHI3l wRFUP2yOwkB7zle1sXKi7gX9UZJsNkTcWSLBVmGlBDDnqyCxu71uEGc3ih9yHZ2zDXsgKbwuAIVh UZ10bn1GWNouHmmzVQrd0TGfUzuMtItus6s5topFRYhliu22CpFM221hbZtF/dlT88FS27IhjoBC CBw8If3QpImEnA3hGO5Vr/9vndohQVLGwkNKrYjuTGwMXQbV284tiuejIsl3GwktiTmvrtYMi9uN 51DGLML2DUgDS9oG6tAeRSLTZpFk/iXsdzbaJv6fgwXahdYxsNY5R1JdoqOs3acAWNUw7eNh2s4Q Q1JYbMMdY4Nwbeegcw2JUvF4MEXtu420ED5C08umKAq0CfsHJGIxg0WxjeiITJsgzIcMKTFxlbpI YXE7ycRMletRH/DfufIhoDYl7nd+8rwE/gNki0LwpT+FW1S08C1/8SPPyqw+6Go7a6tuaRr2gsf/ y5mEiH9AXdgmKQq0ExGSUGDw6raWFM4kMVllA7C8uj1jemQWnX344FSkgtzPk/8B8RcgtqAZhup2 Ikvx8rVoL4dviRCS1BmVjGZzGc2aoQS2JAV9kv8ZhdoE8QuMzBovBPQgXWqzFMXaatoCbeRNW4nc U6WNpnYMJGFXb7MUFrfF2a60xePggSRsItFilTHXudtaYrsNFFek1a30yyChWPsMESTQoQF1JPsg TtuJLCWc187JjMf/xSDhk41S3fuqkEXBNrIPkLRszeQzWZanQcS2NMfa7itDFkJIqspILfvgeS19 hgY1JGioTr4WlW/OqYAIBBI4LoVMzPYM2ZE8r2kGaoNyf0y83F9P4ILHg5Zk+05rodgyYIxkcxSW todsEfKwq21u4v2oj+AFb9j+LuO0zQhC0qVCcmJFH7yXNPYcZDXBLvBc/YW3X6WoTAKFGam6RUn0 n5xRrF3p+LqJbNDAdYQjTxrt0KfA4/+FiYwUaeSb+c8IQoKf9O4vy9mmHUilmR/eObXrsFh7RoXt Je0o2LjY4H0kkLUKAi60SEYCjJiMNuikwREbGqeX0Fb0ttGZndkhqTKFJ3wdxZEKfy+qbJxAGI9H Gdt3WouuKXRdfYqiWHtsdZH68ina5caR+8aRkMewnRYq/hVSIkVryzJfNbDWmT0WYiHOP5CREIjE Zge0dBDz/hIim1FNu6gQCSa6DUZEOnEeIzKQyvB4hNXy4ghfDRkjALQ2RRGERLLHtIQ2Wc02Ha69 xzQ+G8ArBteeUag9WDiCLrYPtopwFp1olKA/OHizLYwiG+w5S2NdeDwl7aTXDXDI7I6sLvIoch7+ vYC7nByckfYJuPF8BEXy00j9OaC1LuhvhrxIOoGMtRzTvglylcg/GHmapJE8rZ2hEgnV2uoi3IaD o7VQgi1VAImR8VcorUWQQ40vRGk+SavodaO9xiCyU4mEg7BI8IVmtNFOlIHHoyDSrzX9t+fDYA2g OVpRnI3WI/La6t6AicUfIdpH9mbkhC0JF9KKQCQm8bvlzPnw2emi0CmmghO7lyHzm44yZ4fZa+M6 Wg/lf4UgEtkgoDVggyHazlsbzqZWPB4QI+d3UxsLGRVpn6KwoE0Xt7Ni/MTeI5noH8CG3tTumENN URhnk/K/QX6ywfK1ucAfyfLpPputbVq0FMquEXoNIoNBkVAHx3dE9WwGkOjEI4BEOKkju2e6LiGd rwXPR5C2b4Ututzt5hWkFepoN5G1WvIwuwxbRqCF+3nE3aA5ikAkAiEDc6yB9zDTtYUqmypIOaNm nWnWCVogUMk/MF28sdGZSXxHVGRjzX8x1uYgnM0JqTrj5tYWH4+CbL/VyICHq9W+QsgrirGJmQex o1qRrQpTiyJbX1VJCQT9XTtqhTjtrussLR98p6VB03fWIfvZgmum6zhC/bZ+mezCjq6wnj2pMg4j KgyQ4WTQ1yG9nJVbQKY1xI/0S2X/IdGBFtV+r61QT3vxP2arSl1I/nkEsxfBbgFmn9vt7VZMixQd Yi0f/F5DATKTuA6VnmqI/w1cEHXaM1h1F2Qz9bpRnY3WYUsVAwjvqEF7TrU2WXI7v0LG81F85LUR TAgi0AmBEZukKMpOLCBlinkwykb/3KLsRaiMmpClG+tvhRokxM6MWbIPjo04KQdqq9gKyABPDIRM pKDejlZt46J1a7vEIHJFCBLCbFodNDHnYDktXrYpFeFLRoCt/XX5Q1es7wsgWSFUOxHvR88zISOY ROhia7n+XOsau/xXGGdXWkIIGbG/kBGrQ4+H5PVGZBjQpVC2OiniBtQhw7PBKkg87fElwRzxLOq8 kzB0wWWh/q4zsJ9MEY9HlP9vZiSwOrPNu9uiQLvIBYmCJyyyIQX5MTNJiDETGQHUgdnWrBCr3QUb UXFk3uLIiVhgKlaZixSgJBbpo6NTF7khLMVGcfgIglh8y58VpNVsyObFsqs8QiEdVfF4cG7v8R1q T1T4bhS5QmakaBH9GkmukrwPSYiO0SJSN+rICkNtGUjCQNMGh9iME6XimOYknSsATU/cSyyzQXQY gHpr1hY2F/Et0W6rsk3uPqg6AqGrJNT/SdlWFGqX+UvlX+e/Z7UrrGmzg5SIt1MBaSWTReqpJQ+1 U16G+l9hqL3MZXP54MWRdX6CLdsxRP9r0r51gjuyUS7IcGrzIGkMvW7UQiJaq807qBRJuIU5llc8 Hi2ke2xzQkHAI2eck7SjWBuLLRc5d8gsonWvsvXeXdCugqsjFfKopj1YFkEP0gfvj4wCQPXW5Xb+ vxgY7QwFvIkfCQZabtzKmvaOYu3G47rpYGoeJMEzOZsj4kgLjwdVtvpdQIKy2yi3WbvfY23U5grK tf2CkSGk7inb2EawkW22pugvge2zgCrZMxxsis6WqGBGUhb5HNBl0i9iYDltqMKVdFF/JVHrf4eA 7UpHH/G01uVp0VthiMt20tr9HmvL9OO5/kHLgLayz1EI2G5UZ1kuQg4tTfOu6zNdEfK5id/CHMWx NtW1CoEhHLyClCCIBJAKltE5jRrLIySfnRWGlDF5faTQgxdf8keVrVNk0QYhIwp4keQgn3WBxyNS 5HcJCXX81q8q4g5JkaRvzt2cP3KiVp+jVD31rzQj0RyF4JHEYu3OwwcLtqEbiVIn85GNam0rdNXA HcickXvN+ke82HYUbaPDghIki7UcFCFhL+uI2VhHEXrkUY7EmQ0cM6S/fY5CsDb1NTu/TeQIif93 MbXcNqKz18w5CsHaVjxazQcv+nf0aK2lfVZRW9TWakRJs+NPGpLKbAhn8R0RwIaW5PhFMUD0ljf2 +RQIYPyQFLLxfISM+IZFdmoHXpmWHcr9sYS089WyWSs7xwYaj5ojCj7aHIXgESZtpUgIqlxfP+Ar zrE9iPpPHTYt9BdrKPUPZqqsIAmqvYbeNoiPmPQPXIY2qDyyZ+1Saa84skP/mktDkgDpuTnQPrMp isAjCVWz88GO08KvoWYIqtzGvDnfX9wTYYdif7Z+WvXBr/5z3KzRpqhaZ6MtVWuRgnQgVtFl8G52 73rbP2SjOh0tbTD+J1jTSYUzPv6vgrb+W0CQrpLtjuJsSlvBs6D6TpsmRIYQoJsr61lDw0/sEDwi KRtCoDVYIbIihESQRCjb+c+qvLjprLXY9r8eSKzS4DsCGzaiRkom/piDDuyOLFoXPzZapKz9FNl4 yTc4Ie+70cKCNh1aunTGzcNGZVvYhTNBocOvtP47P+mvAlLeqmvvy6yFTmE5yRoF2mCysQvbImB+ nPMSWiRf0JE99bbRYYQdRncmGzRFaXWxnWECjscjcs01imLtuwEhdnmjO1TWHhJD3O7zA2ze/2fs S3OcyZFk/+ssiQb3Ze5/sKGZuTOUjfD8et7gsWpQIUVSXHyxxZbRyDZzQq/YMoqAI5PtWWoS2mA7 bZxdtgdYBWcZpc5YifXsQmvncxWiVmuW2qzV7lB7hMDaqWYtB91p9cQVwkScZYrHo4z2N7V2wFv4 2mqkKMYmavTEd3l5SjvTgxsx3D90z/HeNEBOofoIK0gDRGUbLKfN/RzXNdEREuqBI1OglTlaznBZ qYla//JoX9veOOCO6u5nhAyz8SyraCCozzUhplae/IAIO/oLYAOGYr20PypAB6c9VUegeJ3t+udF D7+0881mDVlhYewzFZtDmr529cET29KocUbJn7MGiEWBbDj6KYAOQ6P0Kv4w1qbkRtBcZtsfxqg2 cEF16J6TbHWii8znI8r/LduS+VcIzOxX9D/FfjbUsO3DEaSTjFW5/vTWbilpWAUgpxCtTWAoKVg2 XGCbatoEkUD2l/ZJayC4ztBQwMd9+Yxme+O/EBJ9kbrdAS9i+gYQ5uVIwo01RVH3KJ69EU8BXnO6 1VvB4t9DSpYBJPHb9Y9edBtteKtkP37RKXaMJPhxi7e+r2hb5gcltDvhtDXPOQTY1kJkBt1/YACx uW/zttgbB5ddYjyJurMNFnmfe2JKaHsMPv+vOjcruOA35ZnvREWxN+jZYDAQo02SxGjFKm9qG7MZ wD0hk4QUettseiRNOQDMK5J4AuoGDO5SeRJIgM4lRfxhQpGyoJNgVSV6fPJrgp4JabczSQowDbdG POuokC5TN8wkUggo+W1vA+L+BuzIZyosdlNLGr10Y2+x7S+U296YFYol5MbyG33Jwmq3tSZF39rp SXdPhHQ2i4hJsPHm/qRQ8jmmsBXQUPLyW9r2whEWkEncpHgrB03UhlGrMQH0fGS6dd0SNK37Z9b+ nOd/a5MUEW4JoJAywKZXexEFBxEUaB46z6NAHK1kNE0YH+21n1Oq0U17sFCJ4wrYiHWSOvSqEoy2 zjq+igCMvfg1kaYUl5TKuRzUlsX5KubMmW0+HzUGvi++DEORiVf3mQolSgrRkvL9WQw72WRaxCkb 8r2yyuSnVFj33hRnWSRPcnAE7tlgnWUnmIZju5HyDpgRuNyY+ro995ViE78mInPTkLROG2R2c06p LP13SEU3Ph+ECOMbP4G6xFyPI1AKg3KCA3Ybpr7tQiVEmSTDnqD2vrZ7AkZKJWhsAKUkD/L+eJCf 4AaSG/QEOqd1Jo0ZVGWkH1DRBXnl4gKnvW8kDZAlvtl8UDlunGU65AnYBp8Ptt41cxFfF+INZT4B Qlz9psxdvlLuSTy3wdN8dq9aFjdOwEdFlV2Wv7Oql3k+Ym4VJitF6olwOwBVEthApCIqd+VxOW+1 2AtH9SYeTrvewSYK+SKFJgZDztD1pn3TTPhTPV7bOfSYJBQcFpOOWqqCUBJmSrE9hZwpXfvEEG0y pHm/lw+O7AKwKy+yuhNgCJudhAaJho7bnuxxd3Sh4XYOTCaRuKuXMu9g1QKoAwmvNSafD06o/a0y Nel7l+56Cm0meVcCuHST4VJc+rY88zRLdwPFwGcSMFBKuaNeboPmCeiZE20WluYSsBSNWAEUMH9I RiRwyWTvaFaSY5vJTO/EJPmS5EJTkEBPguWcS5TPB5F5/tUrOBkJgvo7TWFcnnk8pe3TtNpwHaW5 kusonYjBhKZzaDS5ypaCUvLhwpYhKQodVyjgrMqjmipBHQlpA/nhTlNp9r5/yQMOOgRjkIYiTrqc +Y1Qj+HzQUq8f1VW0FPdVyYo56gWvng6VTneUi+4JTe/nSlbDbN3MCFsNYVB+UbdqfFY1uCrCbpu RLxDkusE6NB4w+G0eF6d0BSphElyjWzvG0kpAAfXpFzStsG7oUaXtLTOpVn5fBQWfFu7UmYSHT6f plAiUI6cj5zSuYzcyv0k/L6a8nIr9xzYTUrcFcJ3qmeuWw+HKlPfpTLQPCmMYU9O5IYlz1t05MsU lKBSDg0n6chx9vEsGrKWBYKZ1BUUjM3ng07vLyJchbdDqc88RbrcaJFRSMk1lWa/lbomdBM1lUAh tF0XB+Ri5BKey8F23QlqTvq1uXjSgDRzJmQQ4JiBwrBglYZkUlQQWE4i3GPKsmUY4MBE0PZQeWdA vgqfjzxwvtcTOlDQ57vzFNXFgZi5NEH54QyneQH75TQvhjOMMkPTSbzmOZrLvIP3MkGAy41iOFBp 3ibMCS+XheJ2AYTA63UqRIWuk+bMBcEyG9TwBSJRopONqz4Kx/evTh2cW0Z97AID30kJj6B/UEx4 4nzhJZ92dX8hF9wgUGXzFBnhUHUDIN/hg5c1T5SEyy5LwBQwEUTjC8kSZgvJQ7/m3NVf+I/brudN NzwOCp5An6niMaXK54P1NL/hg42GDo/1ZOA9iSCT2S8Vn60K5RZmfXdzpATyzCVMc2A+KbQQnDNT 9cGyln5yxlGpgNfgRI0kD/W6hLie1oE1l3TROr3ZGweS+ItE72TugdUJX7taOfhc2IXPR1nLN14H vQ3y232iQth3NQCKw+NO3OyE78Zahcp1WKE2USEYpZlGV/PhVgzOTz+bbrezmwG2oyIujnzWC/Hy v9OW0IISUFkYGu/tgxbUyklNsBMPNj7/r7SFpRUYvm7EmjZRoQslkPeogm5DpJZGNQepdbn8OwTN ikHkcmhDuXiSA2/iw0USVKCRqWZSgVBtdIVNPNDPV/8gGrJonFIx/JIIk8qTadPLrLPegfVUz1wr hz1XaObzkYbgN0iuMAzLd+OFPpQslKPdL9EpuL8MK5RDDn1KqbuBgGuFldCIEq1cUL7YvuPgB1Rd UB8e8sUD+J+iL0A5oQnb4UFyl5OsukMfylz2izJOpTIhhaTOwp98PqI1f4eZWFwnKLnneGxEybhg C/fFEKG27WBCyDyz89ImjmVbTWE0PmRBObIPvpo2w9RN+veECDyuRJznnTqLZ97XI0bF1iW/JqrU 8QRfdIClTyyJbecdT27E46Wfy4kfEOF3/CQnQvPcfwvT7TMVglOmKGA8sxeJl8zpUKkjs0ZSS7ja faZiKDhRzpUTxsHLBeiJQMCTIr9QN13UWirZHDAIhHmuvG1vHAALSG6aWy29XSzU3KCri2h6PpfP R/ydb/AFLnU00O5EhSY5INhXHYL/ud0qhuQnzazL8uChUBPBSeBIqYI7lE369sFCTTBSzr+OTV7q OKcu57KDXNLZMIQOshfJKZKfY0tKMgpn4jwh6PiRnuSJBQdJrYSJ8QMisrwf5cVigw5utE9UVCRv 1JtbDNNkAtu3wy9Xz9thKnl7oS60pcSfj+p4vYPjnTpqF40VTRBWEvRnySqEyAma7gjHDX553zcK odjzLHX7oILB+anlJgAQLJ8P1tOjvIC6Cmj3+Wnkhb6UjaICNSWv05Fbozod1dlNBX46micHxpTu l1NlYMbBUjxMTW80XYPD+QLuHKcYhKhR+f9BU8Fll9a09w2aU4WywhTa1aBpAgN/2TRtPh9hML+5 hag+siXo0xTVx7vAc7m2m+FVB4a15qCnc2NlL2cG1pTI8KjfuXb3wVZTnfWkrFNAgwnmM1XjUN/6 mYAwZxpfQAvobDubpxCxQnpqwr3AwfCUoxO5iOOpJr1lhHn+pXSOecqXOpdDc0ojhsHI08p0yz28 uh3pxKxslum6PupP0xz0lmy49acTKcE6CvOUyexd0l86mToMGiGAYbgeqcHl0J2ykulOGK0Niqvn yUEJOTyRVObzweH0S+oMPDXQNp/IIArI0VgDiG5cJ6/RvJw5e7q4FTDsbJ5idDhTlk0xTw7e7Jwn LFuDmIwCo2eKeeC/QeyEChiknlBaJKun2AtHkufkqUoUcKFZ3XQ8nfSafYTz83Y+H5V9fxkwJqgb PU3h0KQSMTsKda6fc06qVh38vJuBVlGMMnHBHLpUDpLCYYXsg++7NErODeVxKnp3BVkzddIzcYKv 2zsnQDyHNpXYUCCH8ZTi4NXxaqrnrI4HPpWIx+evbYcK+bxl39CoclPPc8okj5ddMtohbKrt0EKN s99piuLxVSQvoHpde8C9KNaUjSY19HNgdka/pgYSX6dzdN5f0rDdXjhgiY39VjBAAjnYo0Kti89H /nm/yuOAhAIV7PMUcjIzdYbSdu/cxSqz6AaSPhEGOnnBIPSq7JKgBK7DBtt2LKogLJfpOYp+EIjp BRHriRrhxLRdQ690taVCu8pJs+o+mMB0iqeyGXXeHPZFDJ965gf8a6aIq0Pa/pUIh46VJCOVqnoK iwfV3WHgUF6835JdST+HlpVDWkw4z23wmYKjWuqkr6JjOkmZWp0IGGgR/GS1EupX6zwwrQRtmzVN 8eoFD0jKhberVp7sgR8QoQw8gmIRSh4198qLbStXE6bOoFBQLBeEBcW6ZQAfANY8dQl8K3GUs7ZS RIYuNzQotcOgaZIMjXlKgE6jVgdEJyQogAG6wPq87IWjoiYZGlX2VbDrLbrpkJ6yMH/+7skPiAA+ +WuiaF5UHxxi4F6Js5Egl4laJWErbeTq2TBiHcFWEoU4NVGhFMpWNpynDzd1OX9BBTMHSKhU+AOc iYJIK6wZz8zDitfpPqnbGwdB1BZhjAqWF8QPIgB62Aw2UdYMHCxxy3/neGAbg7F7Jyosk3Oi5iiu YTnndmG91tsVaEKv006pCLaiJmeCzZ0NF4YIH8Uqfh2jhJZpF3emiEaLCN0eOku3Fw6qdYgKON0c 0KGWEfrICA9+6AMz+QHBtXcPKS4/UIi+kK2BjaURqspew1VRRu+OrVvpCscMYE5souKonHVygVc4 OL6nnltzI5wkW3MZEAqoGFg0Qv0cfSZX19/L3jjYe+oHw0PVBsPWwahXQh6r8/lg683boCJ4w1bm namwUt6o0pCqGRBCEMfAdWCjNqtEIU/3mQrjclbKd5ZNY35sGguUqzYQN0BCrUZUGipRoJkk2G7k Pa6Z5ValPHSzxE+AiEMi8sXqzGU3/C/33l6LzwcBZ/7OhzM6P6s+pfLQzxIZAVA920wIz8+f3aox kx/E0iY7oSpthoaW6im0zVYVB7v4EoTjlnzRz5LtUP/6gYcc6qkFOE4giG6Tqi974yg0ZwlKvp8c dO+dG1Sd4nMqTj4fAFvn+ooQEAejeeITFXtabh1NpNzLSKZ9MTi6pXq7OIMjB6aW0IFALoxf1gfr oVMSmxQfmFidH3tOITtxUlDGHb8/M+I8aCSTA1NLxf+INXlWMZpQbxirnjetoAaBq6V4Jl/MRBRc YT3i0xT67ZAaOFY2ulRNaVpvuLF05Bnx9Iw4dLVUHQr6Dz5YgeXcFSMt+kicGB9dzsLeMFFfEOnL CI4s0xuKowJbS5fb7RR3Bvx3C3yPP2ETGnEmHU300Ngytd+xeQZ05d56obNlpXTiudS8dHAS6ekp cS1W2ZRQmk1U6LrTWDoo5Cly8ErU2XgbKFBqXCF5KUMTRegKNJT2BYylYu8bYTJYMyAd/AyoHPNP m8BYUajiTP3iBwSRefsWcSBnquVn30WROVqOEN2z5BeiKX1aSiw/W6XEtG3VvgtL5ZK4BhzMBgcb nIw4DQMbAECeScBDAP3TIdOLFr71XuRNlANzS7FFR6dZrw2qvG2IK+vKAxIqdLd8BEH4chIVv2F5 YG8JZhD1G1VLYcszFffdWXW5pOyarpiWQ3/LTnEmXPk+eG+4AWOAhgt66GMTu7fovPMz8VvCTO0K 8FR/4UjmkgfTqNsHpcSzms7/me/K56Pl9EvEGaDfdCV4cmhxCUoOcMLT/RtL99bwAI/+x/2J3C89 Bx6XgsFAdHc1H7wStXByJxo4NwogInlGSgxvYtCk6Qfi2JVtLxyQqEjkgLfVGQqElJZWUT/fSRwI bOz4Ae8H+bqaF1Wyz+WHths+U6H/jtAraz1aztsSvXMiTtfgYwZqKyqmdSqG2tkHT/RAw0tzy+9q sAqFHhUvn4TiNxXUfetRYi4HbpfI/Vk1mCzadf4br64Tz7IEcv5k1A5Cu8vfcKgMkbqFe8FnKlYr JGiFtXylxKMamhyeCYbz6XTRszUV4VcqnS4KnXU02FE+0NvkWQRFRxRgNo9ydjAbyxz7zpO4QYHl pQj1zF/uoCNqrDQlpbdBDQo8L9GU+zYrzIQagceiefq8RlAFPw/FL9qFQxXFA4SvrO6ojL6mpS+v 8VOBm4Nc5uRmkb9ECxqEIwrJCThGwFxHgwp4wwGBQrQqL4EK8dNr9FTMgQfcjeaDpgjOtdKMPRnX 5zV2Kmjv6qprEuLD8ZjZw2MoEk3P2CIEKfymlorzFs/xNsXG69DGsP0WTA9yu9ckuKJCDqNLnN8T USHlrrNQybgPIKJuTg5L59Lr/CTCGCDAI/j4cvj4QIOHkpUgw31eQ6aCWKLY/CjUYg+w2/y8BkwF NxwzlXQtCpKU95ZoQOZyfRIM1yl8DZewfGgnW2UsVx9jOSjAp83aLvQuN/6NvQZYqrABAwCahZW0 Ef28Bkvl4Zg/g+GXliARsCZun9dICZNrgqDG8ThT3WbLNj2vcVIR4Q9Ap+3SMjM11wOtnrXAC9Sl 91+jpJKZSUKfoA8fHLoKJkRHaQnacjBXkkwBYuYBIzpkZj49iBo+rzFSQYwD7YXcVW/qkMLmnwVd wsTqFnrWn9cQCX9qXzY/OmrOj1KoJ4/5eQ2Q8NDUbd+t88tCgPcM5vSWZm8O7n0Nj/DrZC2K3n1w ws/Zm1AjRLQNvnNCJxOkBlbhB0NI5iVJ/PLCtw3OH+LpT7Q1fFDh5HyKKIVsj7/GRni6+v4SaHPh 9Ns2Qa+RUQFbmwlJ7ssmaPfpJXCoKxvVp0ELSk6pwQSpn7RZs9VgYXY7F0fPi3fY+YHPX1DIHSOc M+Emwx68vUxWK1/DovO2i9LfverKr9YurakvdBS5bVb5vMZEWAz1e4dB34vtL03Qa0CEUz3rmq9W p6xFTs2sfNdpqjtnuy8LiF7DIUwQMWAlScIhXQwYpGNPmjYptn/SOHDuUUspOBwXuhAZl6dvMSZs r7EQtliWETEaKb1SHobFsr2QIRKsdLKTz2soxANsf20xdmVK9hX0GgfhhGZ9kumKFXIpE6WIkdeC IkZ0eSVJHJ3QvUiOaPrg9e4TVyNoxASdZb1nE/sQVp3I4M57AdfroTXp5K9REH6FRXWLVO8gzCUQ RlLcOXHU5zUG4iXyfYUhEeqLMiBUZgsmqEr5m0GWakitmVAKigzTu0zAE0gjLTijU5E2WruDXfET 7q4jE0WIJpOE43FKoa6RCD5B01rwuEV7lNeSZJHq4aD4k4Yp6WVgm6f8YzP0P6NLLCWbINVQYPox /JB+rUYWk54FJthxJ41iGiSqnLWfHScwt+FOXmuR5WrILWqfrdVvqg9fo9Ym1QhPirAQE0loD3gG ZBg0+HVUHK2aXiuRxVtwY0lsZy2xeepJaeAd92No1Nc6ZMmXN96sYnuuIIgiepQYRdEi2FcpELIV N2xnUWfBOpW9oclsTMNwjiSwP4sPl2h4fq5Z6YsO0b00mQltXmSkMBYIZv8SR38n0GGbTTNG7z6o XAszwqovHCCuRvtsfS8joEZ6LTeUjmJpdqJQJvROSRWTjnoNTj4EZTx7sTYKpkeTnZUIKvkCUVuC VPAG6PtstNlIxwShgPqxZ900L2pXZflD7xuc1oWNjS434m6TVDZ8IIfw35WPR/F0+jqtgfUgWMQm 6T2gRieXKIo9XRxdzBjRnfSP5GLui6J4j6jlNHGW0CRikMNz46+VQR8H8vDcIJOs1kahc2xC/Lwe EA1hdYMp6qSKj1anD5oiKCgS+g2Pw887cw6vOH7F1OfinomNJLVlogO7FhX9q08RQzHGRI1a2gJQ AIlqsIBgijrFLPbc1QdPyhZaLpmbDeLoNbHZm1CiAULuB67gz42W9LpBVsbaY8pj+aCsDOLUJrQH 7GkYV897p7FBwLSw+q3/TpsrRgc7cVFxTOW55mySRhfnsLH80X2S3kPrbCL7fRG61B/F5hN7tHWu ry7hpg1VALbCN+3tzvkHIy5vjExVa6LziJOTM+XfMotBwiztc3sKDnAi7M87Z45bddgk4b/lD9fB lLBJiqJrRAi42axLm4s6V5JHn+PKo0MEStn9e3iNC4Dpa2H0WMuNHqGJunFqY5IKOJbUbzsb5BxB A0CmjNzGTu1BE6J3xhx3NKmX+K9s4CRVkDFlaTFK+bwT5pBLpPF1INEvGrUHn6QwwiYPa5aS7ySR wkMkAO8ZBpDnKnIiWBRiqwYCWQ8f7EQ6exmazJS1rgwla3c05eQFh8PUzHXpXvh5p8vhr2QOAuik D1pJs+/B86nNvD/vbDlmwf37SKI1Zp4+R1GQTW/yAriMl2VHe0AA2+0aRoXgDecoirKFzE2TtUYO FyGYUCGmHiENQCs1izKSs4kmGyNrJ9DPrtd9n6MhRC4tljBI1RpeBBRfQxuy8/H367+v9rWQTvJ4 osjlUeQ7UQ7rCEZhhbQ+VRr3uMTLRSt2mhB1FCBUkI0C7UGHpkZwuwavx46VzkHemjyaF6AADDWZ Z59raO+vllHX275PEUVQOo5NH9QJqUDJku3coasTBdprezUEm1SHJFRxbI6iUFv2eok6UtIfKsuL 1ml3L6fNnp2sE8baNPyqu97B2tlQZUBGTuTyErBEQmoQcBfqrT3F/anX/WuSznLYPuhAwsSzgF2J j4iCbTeOtXQNNZr8VByjWBtm62j0r+7nUbmHNpjc9zyqVpJ9Z8dxjggzrez8c/Aw8twdwDwUY+lk 9DsWmHAF5q24WXGtqWbNv/Tzzo4rIsvgTgPgTYOxCOcaahrNkj/v5DjLB76KIoRxrjtHUaidZ9Eh dI3j5nJFj3oZFVC0Nm2Bd2ocV2R792s4x3VDBsrCGryC2ZpcBL+fqAD5LKmRdiCx9PjOjWNlH5W1 2ogKBBjip1hCAvdVxj1QPnnnxmFNZD+RBisj526d2Yuz79Q4LN+iEyk7YnmXdBWbVYjE9U+3Da2k MNYuLOsjnrTBZ+n8W0+L5Vk460D6hF1HnA8FKiAV9lvOz9FSeo+2ESU15bQMuoHEEKXm7OKaeJuO czx93nlxnOX6ldzCEqth4myWwnCbLRCIHnuFTeYNrLCN6hW2BpIVz6R3VlwR4QDOKCwkcfCMBDZW Z4kkash3UDekR4huZ0YbGWfVFaqg7Mk7KQ7fwgL2yf2aD0Ziykk2hCfcqJ93ThzPtPqdtvX+M4Fy sUmKwu1N0WboJHlOsoZvuJk83D6z7a7o74w4VrKlyNSqD7aUzvnaylKh9kwJgNGJGvJIm6j0BXc8 q9PKsOmdEOdFEpADhw8GAUwlmaZAXZ93Olx57KzP0WCH0ug3AHhnw3GTEnpUiHbnobR9u7W1201t k6ck72S4IhFSJGxt+OClSHgrpUqB9FEGGGNsXWNjndPorDjiPe7JvfS+QZRE2HuTKBOHZNla7RQ2 qnuuzzsZzvLvr9sNkLZxG0bvXDieSWIsbU9uW/aFxG6zTxLw3Zqk92gbJ7ecrNmXLf1C3tFHPpFf 6sJIdtj+TjntZKoJIGjtX67fS+8b1COHxOLqHThJoOdQCR+rY3/eqXD4c+/BTcYgrCxn8uT2nQnH SSJhMC8XQKujOCOnk7uh5BZ/kY6k93Bb8onoN1JAh4OFAPv8xCcILsImA8TNi7Chhqjdhi3meVsZ et1gjkhp35L9RDb4MwRNhkO5GcLu/XnnwbHv5Imb2M8wIu238P/Og8PBvS279TNpScyDDinbTqoK 6J5V/t9pcPgDqB8AwKkPnt1uUFYWQX+AKkAYH9ktIcYJprczX2srqoF93mlwJVsNAF7oPhg78qwj WeWcs+7zzoLzVuItAXRYECNltTmKAm64hAFeeyXAz2Fi/TUUa50Dxy6Gttt7wJ0tcesKAfoTAkDa 5sSqFS92tm2G7Zp85sBtnid+kp+1NAQoYvlOgHs2W6Wv1ZQFAZEIaUum8Syy8XnnvzGTv+U2tlIA sB3b19E7/w3nNpu0KIg4OqtULwD0Xbze1nA1qYkdBtysandAy22wpATb6iRJMm2AqqyIOgtnHy0h d7oHElFOn3f2m3f5cZ9MH4zVBfdHySzs8nknv/3KbenJB76wJMA5RSFMZNEfpSUrbVcRYBgj1WQ6 jRXNdZ+iECdCAT1IjPigKZoZkCwQ4AFD7tCJJK2iQJGiVcqSohTdjX5T9LrRHKFHsk885INCpIXa CL+vTT4ebLW0v7YavJZa3V7Zfue9sZUtEWLj4mZIjidvI5GCxq22yrBW9jvtjccR/nLYxPjgUG2I uc7UyDYFCWSPS/ImtQ6yx35mt6G3DdI2uFj1igKMDQKLNIjxkP12gqzPO+ONR3b/iiKJii7Ny0jv hDdM0WbxX5VHnkbsxkjDSye2wdmn4MfvfLdbauuJMQAHm6IKo+YCNQuE1LnkRHXwCWkABBgnTIL3 hzvHJ71ulI9I6ayxWYs6t3hXsIKgqQLK+eXzTnZjkeRXrQ2iGKt5GPnOdbNfBrnteMDsZmSJ1j8N GzFJPFq1jqLKNoRkwRwmtoaDTdI+GRVWU6YGGeBTlIxr0AggvqDcE7uTs/zOc/NGWwP21AedRmej NUmfng/4vLPcyuOO4jNE3WGv2L6T3IopC5bl0SKJNi6Zt+nOSpJbZxjDGYrK2mnIYJcYbQ6OykLY 4ODsjAJJQW93IoGWPxpq4N4gYTLyznDDzbloRbCls7SvzhJKMlTuBczr805wIwLkuxoJ5aCGVofN URRngxZN2LpVkWqlMAxPbCrje1Y7DB76Tm8rUptB7EjvLw6WjJxgGKQ52qOcuGjkTRs+aNeSB3g+ ruR9GZOE9r3T25iW8iBC590GddqQg1DM5MRIfMlgq83fk3RSxtwvei2KsyurFGNm74+M0R/syNWN px6uJiksa8v9Y5JdkyfjuSmbHbCPWmJ/ZJ4zO1XZNaFOApBmUVbbH6zxO7HtItjASuHQjQKIunyT qBO8sz/vxLZfrTam/gsdrHHDoyjMblRCN61qLPyTT6cft48z+x3IVlejtb2z2oqrTVQKhWm4YfaJ IWhRi9tugUBS2Y6sxEcAudNvrY1YLn5LcCR1maTQtgEVyR9hqPf5zsxT+/zgfP5/yWtRChhj3Agp CrSpMQzWqvNJ15je/IfIu5YSK2Y2S1FlG0hyIIw5Sxy8inROKChXb8FsRqX80tkdg5rGSNQbuX/9 UQd4J7SxWLHlHVd9UIg0UNETlnbnzzufDS9ZvqtIyAagSeZoyCjS7lSakEyPNBdpIGouKXWaS0qV OSrgkFGkDd8cnNi5+OAFyXXOoSY47Qnjz7ZgZzsDvLORvlNMyVYSoRqfdzZbkSQ+sINTel2khbJA srkiiNGfn3cyW5HpxnePBBIzwNXaJIUwElZIJk9R3W5ic4PBMUcz3TdAiM7vjEPpncuGQ5G5Wpbc KQevkKyM/hC9CFFFH+SbgggBGUHsX+ANHbNO8al3KhuDJDYil2GzkROz1IajlAWSc/itzzuRjQWK +R0BwGC33irSO4+NgSSVTfuSYieUqp1A2k0lYDFlYx+p85PezyRE1wtI7uaDzRGxNqtI4/REEmDr odSWmC3SLgZH4k3aut43OrkJIEk0AOegsPmsQ62kc831zzuLjUfSd5MEZJ425sUev0fbyQRL+jRH dFAfhmkB9FGXm1qPzCMJCymMtpfc41rxwQ7uCvU3yNbh4EYGAhICHCwmoZEAxUP50kIA+vx93kls xMcOpWvFB03S2cYS7m/n1Pm8c9jKQ/WzAglMR5G62SRF0TbWLXAk2R0bUcC1UttQ11altmyd7XcG m2XWJ4Zeq/jgXdtzX2YGTpivc/EBGIvNhj4HKPjUALPMVhWSdwLbzf5hU+CDZf8nzmZ7Et2lzzt/ jb2DXwsJjf/R/G57p68Vl1g8qbMXSE4Q6rFk6t79x54xhMQ7e80W8pkBwHJt8LQNW2Ei1GzUg0Wg TAMwVMvo3jQveQ2ECH7HnzSIPqYPlpIsMBV/SIMYn3fmGtOv76YttXv7cyCFIJK+Hk2urgPJ5SRq vTUkWFLbFIUgEu61XrmMOHjF9qwYMHoyqxEV2I8tOBJh1eefx+P7qVUUFbVxewIY0YcPmqKT2FJX hW3hzztlDadZ/Y6QcAoOFGhsiqJgm24mYBU5F2LQP1M9W9b6xIWA84W4EHGwjayf5gQ2eH3k7FgY NVFlahDdPCVsVklu/6FNk3XZ8B6fd7oasy5c+mlJ4u0rsQXY0lSp5+edrVakUfUVRILPt27rv4Wh 9iY8Ypg2Gf5xarbAyiMqqVAnlIYenZ8UNWwVGLU72CoCwiNn2DMD+JfORFQyS8hEwJrt83paAoHP 7whufmoibAqTaBA6AoZ9/BNx0OHxIITs32dRhVADEhybojDOxnl5Fv9w3F+hUoUx2Lcxjnru1RqR LSpoq6ddB5u2HPy8TqhmD2qYnoA+7VrknE4pRZqyoRJpLW3ttBBBsmn4ysa/BqOsYYexPnICMDwe 9f2/5wiw+pG6h9n9zzC7KG82U8txhRSL10fOj7xsjvp7mI0yH/b3GOMOjo3ETwxBBKohbKJICejC CqJw5Lh+TDIU6DHrMZGMzQIABy2jjeqEDqPNxwPSyP7mZQ1caSdc8ymKgmwWnmoiAUOVyGIoiXOJ sVImN4Htnug9ApAMZv70rrTBpujEfAnFw47DqEFpilMEPS5A/TaVg2/mT2GNHhW0a7bGSPVBc5TP nykg0nlXPB5Rs/xOK0x/F+3lfI7igjaW0SY2TCktEVDCs7OOzv5aBQ+Gd1qPCtpd/iab5FAOltJC jncCy0a3DqgQz6pE5Pw8mZLcdCyzci3hIz0KsmXV3CaNLdGW/eEOq+BbdPrTjdkKng/II7c1QiY2 eh5j3EpbDzmQqOODf7UszIZhuWHaaOzOXKQDHKlcpEdh9rTbim1IDo4fPddahdQhLuaT8e9NabJO C87ceRq1C2qj5xS+JcpqWfnHCcohWaCD/+EsQVkGjwfH9kXZml0osmAPIXtEhBxZ1nDVeLRne+By kfdZshOcZjGmS9ZD/Igyf2CvbPBjG+4dvAIA+4cOMhVwK3bZIqhuMKlVmM2rrUdl7cUYkhkyVLtg S2T6B4kWM0Ai7Ynng0kad5IoUjKB/L/wyB4G2tTTKLW54+co2+uR3UlJoLB000XqMR2S2NFMkZaW r+EnuLizIAuhFcyJBSaF8MAs/6ExaGYV3k4lKrv290g7ceWf8w0C5GdAGNmlfQBlbB5LQPLj+WjD /V5KJ3Nbw+sjPapsj0GHz8wwkfNFuA6dGcs0tXzgI3ksdX7Sn6TaJVmyNX0pgYzVpFwHUi2BPJOE NlTaMm6lgm7V5SJNvW90dku9XBrdFO7XkZ1AcmIZaJ4fAh8Qwdp8mnCcQaxilOeGew+3kf0v2gdQ tHXwH+llWdFPZ0kVNxyEC6bKSD0Kt2HuAqhWuoPT2kD3LsZGSvDOgr4d3KrgXwmYc3lU8Sm99ulR bRs6RBAHGM0HIbZWSvL5bOe/wOMRt9ajAEYM56fvchrkHEWQ7T4Itc1WhESFhBw/5kJlm8nn2W2k ryMKiBAkoP+SqjU0sGPBGw4FktnZJGnnBmsQtcIcoe6VKMmiDTe9jFTxLVGotIlnoz4PB1VIoIcq 9+a2+Hi0kHySWJij1T2VB2ya/qxto05YvNqGpN+qbcIEbFbblnmh9qi2rXptku0ZB1tKKKidtViE kIQbCdD/ayRKY4F+WCmuppIke3z4lvdzyRpulep2HAySjJOVM3ZiBDweraX5td+y5JinlwBGFHVP wpG6sO0sAVD5lYd3gayLXXHo9zCkHFFxe7I80msqPlh+CywvokrCSFAwHyDaQiAQyRsiUDTsRSOR KveIom72ktqatfqg+n+bjc1UHG4ZjwdR9/imaiP4H9BosCmKom4ql1eEMg7/p3IlQyWI7VqoRDEV kdmj0ramiEe0Db6Qzv8ie6MaS4X8FQ/5jJlZQG9lBKMuP4bAA18SzJEMJtGARWkbNVyetgv+RNxv AxnuCGvbO30tJFRDJ9iZNkkhaht1ErgVWTwJ7nOxUOkp2577elgpaUS17UyXgJnJ/DcJmQGsTZon 0KrIgdjdTl0Ge/C5QJ+1oTl9zQKApcF3BCBSKmolNAk4TI8maVKDaPL8Dx6PeiTf+MgGhnS5TNsR 4ki6GJLFhZNnc3m2EycV47Wd2HaYrMaIQu7FZhtSfgzyQBqkkZxNcc5LriPendOoNgBwANcOgV4P ACDjh++IOP8k2GZWlHLOLlszwUnR1TY2Hv+XbAR7lwiQcG/ZFEUBd6c1B4tOOo36Lj5FdG7TFNXt /cgRBdwANEExkqh2Dh5wg5CEUxtFjJPelkTq3wDmcJBUD2NcI9rOba8bbDW6LkLynAPAv7yNYCY9 2QkYuWQ8H62j70IJLJZ7eyYpirepeVN4FyveXqO7fp2hAiaZ/y4ONaJ4W/I+5zLMPth5dOKIeW49 QbYWKOyQr4PPS/+hpRQqRLaOaA2O7wjyW7MHIF6bg/VHUpIRbT1RBB6PsDZetSX0iOlt7l6THCFg ezInIL5AcaSg7NQZS8l1xmiDzcxtRIDtQtPcuoW52ePS2so+J88YxGydNUOIgzBbG8WcQQfbC9eu etvoWsOvj/aBBm0aWMIm2sYpuR1xqP29jOBC19oFkI6ost2oqjnz7f0PrnYxthyahHpbMRWkEYXa k/Ejjh8OrIJmTNE5lOEwzEZbAem80a56brQBcfit3O4UQYcb3xFFR6TXovjHkuQwFAmawI19tlFS x/PBeXRzWxYLUNXGGWBzFIfazGeJuDOoTfIGSaHDnWRaqoNsRxhqs+CW1CBJT4OEysurZwrZoDtC Y5YTameGkogeUUL3vcYQcoSRdsdeO/cKcjf6VgmqCrbUkJ0xQKr4gKAC8ItnC/GYMbsnbSOMtNVp A67fDiRvcRcYkJk13klAh/EjR1jdpmw76OU+2CzVdH6yUinW0gEFgPLIAmryxOTtrI0yqc/apBdl rxucSHI8F/5/QE2vSGcMFvH8R+Dw8XxUJvnuteEQm/NS/2dY3q6E2qg1QhUAGpURRWLsCESRBe6W LADMUNOPEXabrEu2eeuSHdZKJxAadIc/91oCDBLKP+TxnWBJmPbxEG1nCCKhYDskxnwQsG0Sy8vb v1Q8HnVJ6tcUQXT/K2ObUaBdldhCtAkp7PlHSlGwRgJnapYE6EV5fhqKIoX8yE3ZKFkHlsc6EOnB WYY7GYHkHEJsR+ZUmDMhHy/9Kd0imsW3/NkDqDyaslCRrAhNNqYwSb3g8X+1/hWUN8gpeENyRoF2 KkJpV0f/rdr82E6t2LHdUnHi//wHPRJ6EDZ4hWQh3pN6Hchx54aXdBTavxlHSgFh0pE2lEeYcX1b 5bbefVCJ5GRVPDDARq54/F8CdgzLz3qY7UpIzCjUpovyOS6Kc0jHTm7xhraFxZEzu0DkDKvbm3db VjiZr4RdQ5O/UqefWiSoefL6R8Da4evYSr8EEuq0zxhCQlRbegZR2hKcaySOUBYejyQ0PfPXf0z9 gHLnKIq1UcDJaBY5O2JQP4f4CLBJHGu7nWc7w+I2g+ySZVCdL2ILGe1JBDvLtiXBxJshUkazYwF+ lzfYjKaCTMz2DMmRPIookW6D4ZHPZC/HY+DxoCfZf4mQQc/1JIk+R2FpGydMgXah32xEQfFmm627 OkLFDPBmmxGGRApSCEN98GYSJFf7oMoW7PDmpu5PB/KGwshQ1vYYic6TMwq1q5qRjZbwor0wvm7g wslLcgw8Hun+fHf/2Sd/Iu0ZYUj6FpO9jpuyLechlb7dkmQOF0eYUWFb9bUif6ny+EuddQNFDWhZ AR25F5wnyWeDUCxdYsd86GxFbxusIqvUiv6/SRMlVxvq8nLFHXzFIGEb9SuKRHwCmxyfopAYmWgd 3PuNtNMV+ysscEhIu7re6AzV/gqXT30Gm6ITqO6FYJiodpi7FSKRGlLTciJ9RLy/VMhmVNMuqkNS RUKD0ZAQk1JjY20+HkzRFdhivwBJJQXnbY4iEAmhuefqHF4c2fu6TaZx27YjuejPjCLtoUsfuCIb PKndO52buVXaBs9ekP6uXUBAo5Nswal5a9pJrxsAkZP52iQOLH4x8wf4iwqb69zReP5fIhvknIJi 1y8vcoa8yM6S9p79TtK4nndlOJ99VHcNnqEQCQWhu8G2MDheC0KIcH1npw3Z4ezMajvdd5BKo51h k7SKXvevwn8FAMMGoZESzgjC/k5Cg8f/BbVxCsm5z/1aW1GcnSgcOdOwgjZgmBYfAejrWuwrdQP+ rwhGMohGKpOc/zIv57+hGj7zorHyyfzP/4HnEcRJ4EALBsVwiQ1R/ldY0JYFwlzVB8O05WZXf6sV j0e8SI+ORBYYuNX8yF5hQXvJeKR6zX/T85BTNAmCki5Sc2TkCmEkJLRl6SLlRxepoP8MT4iptvYq k16UjdYY5DqAyWBgJGjG4Dsiwb9F+HE2P/Nz9urMplo0qyO7Fjwfgdp8GWHJwbKHFSybo1BCmzDk 1adn/qQr6sye01wCK4nENkcxjIR5WhbClqbIP03QSHTmYTOIOQL1kaA21AAQzMC9ID3B0TnZ8R2R dBTPIYQVNghpg2JzNg12Ph4F2d9CbaiEtv3stCjIpoYt/B+W1diqrCIWTyObLUBItp1GK0RqUzIS FAUf/OaHHwcyPgJsNxxeGncasBubriyXyC706IppkTqMMg8jMDgkidHPLC/m0PBQxPPRafQLZ4P2 7mjLj+wVRdn0KsR8LO9CkmZFOHvNexqcfQ2HkKyYF8m9hv/QBgdHAJ2VmnzITrKfFqtsOEF+Fvsy /UlFWMDEl0QYWylrkWeLDoIk/yBtWVSOOal1xvP/FGpzlO2s02//FcXZiHMzfmAvaeftthB9eYaC Xm013t8KRUiGJMdX98FOpAWDvIqmBwCNYCLAFWI1yBFkWkSBmeG+dgzz8S2R5vgmaAuiNm4SRfpI gsuHkhG+ZAQhmV9JLUTh+76CvysW1ZbT9E6235Kjt3ClNqUoNP8zqbYVR9psQEoekYOXR9BmO9dD I31knIOSmi7Ubtn4/yAI6CUkGJnydQM0EhltqG1pMNMn4HeJg0VDquHxSBT5JmwMOrGS2q0hrZAc 2Vn4J9FZZTaCpmglSRMlgiNgH2a6SCvEa5PKxn1lg5O1UkFjciIdqbAqhWLziY4B4c8o/kBf40GQ IHPCt/yhsXV22rahmBELOguS2EShbYVl7f2rEzlR48t3JcWIbcnZXgYpvAqsPGK23J0Km8sSkhWX tbsUyIYPnvqDZZsa7TbPnlizcJImPd9S4nbLTiEtZDDhW4JTiXhkiDb6oAJJTeaQA7M8PB60/ddd SkVVOd4EPkthWVt+f9ulEQCMtJQEEChPSRJ3MyXIY9m/Ldmo4YP3/VeFXyh9EOCARS0syEcDAc8w Da1ej5PG0OtGTSQhPqi0aX1zOm02AA55PM2Kx6OVlH2STCDoZyH21yTtKNwe9NIAgsEmqc925Wyy JSoIEqYpI++/yZEnGsw++CQht52dru41g+NMZDvE338mKjQogbtvK8vaOwq3GxHJo9IygoMW0mKB mbFPWng8Ytn8UkZGEancfu1+D7ehNSjQyJi22+a2w/oEA+Q3SoaESF5OUShDwlCSvi42XP5ogneZ oLYn7m5NTSTq3qDvXtJF/pVErf8dorYrK2zm3HoJEmsLL0Gp1orHg3P7cki4r0BkXhdjs8NwO2c1 aU2qFXJGTrOZ5Z5IC9OtOYrDbZaPkrK29Ji5TxA8RiU2AigMAAolZws7Z3oxJq+RFHat8CVRpU3t kVZ9EDiigE7G6+qkuHj8X8xI/LdQnwTl2+coirdpVVJWGk76g4SEn0d9u3zkphsZ5yjGjxDZPgXt n+nG23BMOpO0t4mQpcrznWJUizgo7jVrIfFm21HAvcX730Qjc1CMdCK7ygTl3EIVj0ckkv6V2iLo aWi12RyFiO1GulZKxUkk07RHQSEq2+la08/sHRIjpW8kge3ySGzCLiujfkGuNtzEGxX/BoTKO3v+ 5CKp1Aa2C77jL+nICTwNBsCVeGWfyxJOdT9khmw8H0G1/MyWyQSFui8WeYeSfzJHbtsFbbYOakyS 1FCo+dPLNsn/HQNIlNum5INNEirX0ItiK7ItcsA5SbidhJeZF6+9ht42irWn2iLVB5VIYO5MTAj8 bPF4pGXn5xGlbwD2Sbc5siP8SJKVZja1cWqSXOuIltw6ol6Hnx0K/gmkhlzehqc5MgectjlFiODr ZMm2QqwFmlz5ctoYg+A7/lCOOtlNuYNKtpAqEBnpJIh4/F9wNnX9AUO6arY7jLRbetIRkf+TryJ0 Im2rrQtn2yF+hFutqardnqo2DJBO8DKpQIqGJBTVaLQJdS2iKNv1QCJbAN8RhJA08kPLzwed2KC1 S390YKeF4tq/ITYNTsjPaRQWtTOPoFLvadSqDm/EG9ONkGdiXtL5SdFpJL4fpdpkKeknNriEi4X/ s4srpLYxRagGEyC4vtAje+ptI1Uk+mp3YmyHR6mQuB5cfydwrgOPRxSb8pWKwD5wPqpIOwqypYmw KjtsPIvSl9dYM5+fBhV0W0URdmQyuq662zjYRjvxCpgzPItOCAM7LbqRLhqhQmYa5Vpz1Ga5dof6 I0Uy9s+gOw36T4QYwiwQj/+rXEtsIFT+y733dXq+HvPkjsAA2XJaWPNc6Ihh/09IAlVHGY+nWFyb Zj+4FG2wCJLMrSRWxEBDu8CabMHkF2K/kDDC5oMnLFbS2vbGgeUYzRDAcuaA4M7sxiulTIFoy5Mf EMFHv0k2iNQ2bgufqVDxj9l/EhlbZxLpI5mGgqhNSThSZvZdH/VXIWmv2n2w5YR872yvZbH22RyM tSfS0o3yNIGUrvrDWJu6GwE6ogkWmXzgguqwQCa3t62Z+XyUkXyLR+ciaOY1a0mhoQ07SXUWl/2f /QLbzkQZZOvsyunWCCnEa6tAkmWRkKfvOyjmoXFLkw3iJTP1o+dmCQBLuKTyZTKa7Y0j1Bbu/4E3 hvQLymW8/KEoVbMYorBiTVHQPW5AyeATAjBpPR5SUdRN/V0YVU3vJ6kggGbJlbsHarttn6nQLlK7 brDtyMFmCgwJ8JBwz0G7Eyou2HyDSlIEAea9n/5tsTf+k0gCC10bLPCGkiSTE8AA8HyEb/sOB6Ax MvIt4crS5DVkoiZJJj2GDIBC9XNW3mqeVulG19Uq3fioKGaS3RYJuLld29Gz63DlAREBZFI+Bxa2 55kppEYJSS9dK62qxOiNXxN0TSRK2qkAzEEVk9WWLL5OnpL5fJCilO9DKsM3Zuf8zFQUf3MvndRh TiNwNfaocEqNBOQNa5R0gRIzKaew3M2AAIAdH7ySOwG5ObGHMbgK5gRANzBQUSVhS8nLb2nbCwfp LvXtchp30ESdD1LyeMIaPR+ZbrWvrXcuS0hKXWuSFAbhxE2AmawVBbF1lwKakrhd7FPSxrbro95X 1GKGkkfZPnhbAPL+8A9GcWkiTii49wh5y4kuOzK507133/gP7c2zlOb0QbDJ8/9Ksn/U8/9qDDDp BTqdCAyfqSgWL/SL7rNP7zLpvCJRedVkMwXitB9SUTCOMhwQNrP5YDN1DoxOqDJNE5B00TRhTJS9 cycEYnvmCzqwvXHE505s57ISB0yeldTSiV9lCHge4vNRy9IDcixGVCXmKk8oFUXktJsuezcTcq+U NDSYScru3DpxApklYCRWYkruVa53tV1kIPABUItTgWBBOHmRrIymGtUEZn6QgdPeN4oPSHjLRHRz UDEONmjC4Z5biM8HO695UE4WLhCnwMTceYrC8sV6XMnbtdzTni4wVfjNrFkmoAVsnmJ/SZmUzO7D bRGczKd0tghOSl32IkYgU2t8k5eYxyW91WIvHBm66GyijDsHTdS5vjvZxlAM4/MRsutXwCkO3Q04 Q4tJCKkgylxWkwNE/QJN07VK3gCumHtiCDehARfb6TbcWsoE1ksIQbjgdXZb+omlfzqSCJrduKUL zbZz4DFpvG6hzG2wUsHJtpTBpDH5fBAc5G8JRdmhp3uUhy6TlJmGXHa/mXBz8dtaroHCpGWX5inC nCSylbrwFD1fJsVAXDCx+4inOOHISWQQkg6K9KDRiJqTKd/RrST/4TJJ6btetg+aJuAqJaS0SuXz QVxe8tdyAqK9w4zEpykMy4lb3m27RjB0m6xgsGQLjMigtm0FgxwYTaLHy3U01x0cvXSimzQ4KWek lLIQXkBTogSOqqJPU/H3jXpODJ0yZRRXNhlFxHtMUXGK18Hng4R4384cw0qs8f3keYHZJOqOrMlR h4CSU3VkL8/NlpqV5zoQWbacwqB8daoEpeSDL6ezt9qEYy3UXSsEJ9mgqrwBIRpMA27Jco1s7xvd dmB2gW/gA5fTOfUm5QVxa1Y+/y+RF9F5kD/Pm7vkUCZQktOpT19O2zBfUApgloflVIZLKtHVL4C/ dFae5Fw2rnPZOSTPp06EOihjprOCtiq9OJ3gW5dHvlxBiSrlHCqYLMm70Lqs7iabYGL2szA6aWw+ H3V6f227c4AD93nnKZLmZnPynE7zKrzPvG3bNZpkmvQU5bm6PioKyClh0ilhxsH5AjDOzuC3g5o7 R67iC/BqR5tKwErDMiksCD0n4RIJbG9tPqgmfqZMKR62NZ+PGlDfaHhk8OUBoOTAdBJAZqKX9zJW 5fnH5nB4xNKX6NWMDpdD18lFJZyUyh28vNJP/jnEqzhX3AaUmeKc0DoFEQnunbdcpzpUaDs5SYgb m5YKHNTvPZeExLrPdHU+/69jXFX0DgWdZ55CFRMW7Crb7lIMnj07Mo7vDOmJjjDR5ym0wqHIe2rM iDk46uvkbyBUEoUCxh3SqoUbEagvuoMS9SVz7lrthSPNgEFUXEk+KHoiX0pk71T5fEQd8Hni4tug D+znfAqj8aTs1xFN8DBzEzMotvp66uPOU4j6TiJWstuSHsugcrY1xF6Syb1Ay4QaHYDvwldpwOY9 XbRO9zcOVPFF9W61+WCZ8Jyzu8wCn4/EzL+JcQW0/LUej+7YEMfwJ85DmXVk33jdeJd0e00+UWE4 3nmLSWXh8vrRHweBZlRiUaAgCFSwNt5GXROuQWP8V94SelAWegauQtYXBy2ohaXIMPOEanw+yFvq 7WzigKL4OmAyNlGhDSUuGEBEpq+oThs1JcIutwC5zmaEnRz6UC5C5GklYYPzCCqwECi1Qg0RVmcT RXWstB8weU/Kfh0W0VrT+0Y9F+S/s1OUcnZWo9HRhIAcaQDnds18PtIRdB6BlDmmKGR3nuJCOYt1 g10VYglyMaolQPZNct1AfGwrrYRelJJZpAunDX5CUQsfdZpG9441hNvBMcoe7ExPHiy37tCKMsuq gx1ODUa1rLJfx9k8+Xyk/H4RzhIeJF/8OgjHZpSMoLaKTUTNtzGsqNnoCv8f6hfxzbWewoBceMJe kw/OAAOqZcj7tVEqj3LUCydoprscIfJ2QuWa7I2jBI+xUyJkDtDgH2bc9H7risn32vyAfwEvybjk ddKfnRfqdnfGBhS1ZwmqtmYzhbtWrSu4UqztMxXDwQlPlewyh9t6GSdBBDSYvp0ZzvJ0pNTxiD2N fve99La9cQAsYCthLdXsFgVpUKE7AYj0l0HO4vP/wjoLe3lWaLpEpxybUpYue5zHKadY37x1rkSm wlCjs6A8cKV0vVx4c/lwcbx7oWBXKHQKNCzoBDC5g3YWsA25PmVySuXn2JaSUlKr0Qlm4VeVquSJ p2pidAAtJn7Av4g8xaIDBKp3omKYCpNhub9S8mQ3x4TtMtyaquc2faL+IXQyjdFTnvY5gJItCxSG 8LJvUQvBEKGEHAJyw1/mau8bqcGo6UkVDw6qGaQ8adf4A+d0Pv+/mJyjsD7wkT5NUVCOIATl2O0O XmM1Z87l6cy53tLNhQNzSsepdnX0en60Ts4srHMUZgSCaHX3mQWOBgoKoQg8mFx6aU173wiJgSCz werHBk3T2eqF4sBA5PH5yFno232pIG2BIoJPU1QiJy6x0MrCczzzN4GLV28OVwGrxKYpVvKmmOcU 0mBepAHZvJAMJKQHJfhFn4YG6duJcyZ3NJOgB3S2nc1TiFjpUhUq0hgClYp/L9r8xCyf011vGYQG 81umQundfG68KCbvNPKezBg0T3kWx6yIRU/MCg23FRmEzjlFzDnJC0qmVRXyDur9llY1rkKD0EG6 hlbVszphxRThcmhQCYF0hDxj+6DSykpVwlhw/ePzweF0p0nqgmhq1Auhz4FHJfQlGRnoklNtxcCr 0Bl239yTmu3l8/Q3GTPhJ7bBcpezOTawjIyggC0ApxvmwvCnpC7ihiPAlG+u2sKhTeWU0SkCfA5J 62mC7MNyTj9xMZ+P8D03dVF/hgbHd+OFRpVsOoD2YQRxtKGSw5+XmcSdbVnc9TyHTpWDvJWqGkv1 9hp4YohpaiVvJeHURKMLABdqWNClct32OSHiObSqhAEmik/KhbfnwqiQqyCpCnngVfkt7a19hyr5 E5GHZpVb+25Ntxhe9VbIz2/tQKj8dKYCt8r8fyc+psgA1T05+PkEuPyclNEXyFTEVWiFUKAFm/KB GXR74QBmIEIdKSsaVIMCT6Gpzzs3n4/8Kn/X6s7H4Jz0efpb33sWR2YW6v+ail7L6UFBe80gdKyE QCdgF4yN9tNxAYv7zDToy4T4QNmzUW2IXszon5W8XUivdLWmQtNKOQ5A2IsDLLTYkCq7nrSBKN8T xPAD/mXsTTWdc4WATnNnKuRnogZwrqTHuqI/vam+lvdcKHKhFRVG5HQ224XYwv0QNM8SQnoP+2Uc 6ulk2IvVFSoaJ5If1U6oX+3zwLoSRi8WivMsp5Ca2IcVBQ9ifNbI/IAIaPBd1wQ39zvLi80ru1B1 F+NDMRe3HTSBtEpTZctdAvdKrCnSMxNDBA6eu0woNKBZjuZBhmyJuVedzYoidYG6gkPr87IX/ktU B2pMHCDjxB0HJhctAfh38wMijM+vWBMUCIRwPlEhT5NozYYfyJArpKwrHQYa25ArtXiSF5pYiu6T Gtsv6SFqAnNzrsDB3AXFsYb+wVpUxoZBI9TJx7iEn9TtjYMoatG4GqUfG4yp2SHmx2gTlc3Ax/Lb Vw9PsoeHwMonKpT7lrjeLO5CADKc4evaNIQrTqnklfLAyfIceMpd8t4+2N47q2YjmaP/YIOgviA+ wHtsAhtxSF5CS7cX/oM91tOkVkOiDpzc0EcV0RN2MJMfEGQv+ep+qIM+ITzyzFRYK2dneO9HgHh0 23ttOS+hoq1+z/MQukJZ1FQESCwPY3PBJ6NOnudopC9I96AG3OjU2BmK5Kuyv5e9caRo2YlEVG9h dsPXnTw10TgB+t+dz0cRp1987EAslpJvkyp0tFzE+Gz+ZyywIKu3vZdyG1aKGuj22USFgbk23WYn ncNtvpytDZU3oqEGlA4KxQiha5hYF9zjWlru7m8cRAjblGSaD4JAQWRE6uh7LT4fVKKujwz+4wwE FToSd6biajkrLJUC+6ywrDQNL52R9bG42VC6teJmaGvZqZ87Biu848HdQz0X2UZBKDUhcQC5tHW+ h9yaBnkc8Hm8T9WXvXFEcJV7HBXA9lUAqzl3sYDRYeDzQYQwyveSQhVqX/fPHDtbLvYSqJFjcOle PSeW3yWdrWnNwwghsLYEGoquzYmpDAdro4Mfm0GYorsVxPHYQoZF0U+jljt+f6bEZOHrff86zAEh 12Bwg04+N6uVhBsE3pbfuZ4qfZBvanfnhd6WhNgDSn4rdsXwPkCVMzRnStymGYDn2NySRWBI6/hw qS70xpxsD5+YYE36gExkEwNV3AxhG0v1xn3hANi66NogjYoJQdZstRJ8BWvAEG/Oob9l+lWKghY9 RQF8oqLYXAKOm+aQyomnm8kjJzaUTxOpQBMV0zh53e2WfHA01D4/96ySJoYsUWFXQTlWoSTIvqCx VOx9gy6VzK0HZRwmkFtKOyZoNWLfn1+CHxChEL9zGGzV3q57Yw48Ls88UUcJNTlnl9HNzlLi6ikx nIV838W1cuINYDZog83TgsRiH0RDAce6OpkMC7IGnVpT/XbzZFGUA49L105p6AzYIGYQqghN8RTQ UKHJZb6IchaAaQTxgMYCl8tzprA9XEGs9K5nq9bMw2lszTwIwdmNF9tcip1QGEtx8AQGooStCv97 jmzgWdBGR1d24rfMe1z4ylQbPfS5RGbNg6n7oJR4osLMyGDMyucjkeJfpU3yk+ZN9EKny0r4Shnd 5RzKvF5Oqa5qIVQnEp5ReWB1qe7wQqVp+uBkDjQkTXz/vE+hccM5/DIUoDZYG3QFcfjKthcOaFSN eqeZhLNGxpqMik6ovyk1APorPyAwTt/frRfQjGa+gkU58Lu0ksNZRek6p6/Lemk9b5uohfDXFlQU lY9mZiDDBw+h0DrPgwsKrNcTJ7B2gCpUTiiJnpjg9j3lnZ4Dz0tcPcQhbgJ9BmULeXOdm7AS5Iw2 GJ//XwBRGciOha/3mQolC4ErBOnV+EFVRzCXlAkZDoqDJs+IA99LrE4p8UsGq9yMGETVDKMKVjeB IM+DV14iNJxVjn3nSeygwPhSln8AZOzmg9E7t0RgOWV8PgL6/AZEQXez3a7n5zWAqicm70Tpr+Rw gzLbBbC07XCDMZclL6/hU4WmM1HkgOfZ4J28cn60becTcOYCG3QyESFSiBzmUqgQPr0GTxWUZjk5 lOGDpgg6LVlYqIWnXyaoQmRWR3jXTXdekMwi/B/O7xJND/7zSqU8lgtwTRQLxKF3KToejhLfb8H0 dOrMlcIEr7gmBY1T4FLcqelYT4q48AVrw83zZ4KQA5a1uzksHUuv85OoZEIZ5+6D5qeccFbeMmfj fl4jpvpUnjpPpMIKFvVlMT+v8dJ5COFepnyX7bKUXTgdKZ1oZudSQmWF8/MaLWH5DGGin8HDyk3w U5XG3JmgLlsssvLgMQfmhUWVs+ld35dPkRnoIPKJg/VZzqfKZq7v9nkNlDC5+3v5oAkHyx6bntcw qaJzwCKKTBxomJJvAbO5xMP5VXAecXpeg6TqjaiWc/HBg8nzgTtNGRTA2wTJKEILrAU0rND08OlB 1ebzGiJVcyY6K7kRXg+hqWKCoJW9FljpnpP6NULCn9r79/IB7QWKpJqf1/ioujpx6S4Igi8rDu+d 21oGlWR+ucpG22uzEFBS98GjozNzFUw7ZLkDymC0cKwESxRpOABYr/21KZr2Ghzh/CF2p0NAzAar xAHpQkg92uOvoRGeNkBYN3mitqQjoRl6jYywK5GRlY2auwumGqpQNX6j+5zk1WboNS6qqpfimFx3 cDT9SW2BGm+UlYNNeiMjEdJsAGAgR8xXpIAKCZ/XsKiqb0OK6/ZBIKfzI2zxEU9G8HmNiao5emoJ qYsO/ejpR/RrSFQdjVKT6X1DMYKQgcmL3s0bT+7gR9BrQIQZYkBUwUG3wXtzezVwBgmXA+ByqikO Y3ZUUH7gAn83GTO212ioGuwZOn4a0HhmtWyfaHGab1Ptn9dgqMpj3dYQq96IGVSipBdCdEhXM0kv Xsrt2ZVAW8qu3ixsMpWJo0OajlYlSRAkXYhqhXJsMdW9s1SrJMAXherPzEGpCdeaB9eklL8GQtVE HHrN1LnmoJrbCa5oGYCmSP68hkG8R9LXMd1h2ryoBEKlv2iTFXKgsqnrYK6eKlJNw49puqlSJy04 ppOBSmSVIp6NKTicIyxD/BHJB8gG8AmBxjVallhDlX1rIeToIP15LUpigii2Az6VhsETF4bAizYv P4iNPq8lySq9O5sg/rcV5h9ws+EMvRYksW6ziiHF6yKdqGrSVYqWELECzU32XsuR+Hpa7MCw2Qdf QieTQJ9yUr5hgt8zKLeHSkNig0g0ViHjaNn0WozEz7BIiwY4mYNRM0Gqq0u4uJU/r6VIHEMmkS5l dWRbPwsXhUWKUSTNxYEamqOYqvgpZPRUI2bUDgNJJxxGcyR0ThE6pzw6IDhnCgGq7JdU8gGQ6nd2 deEXk3b6+aWS/s6j44WgWogkd4YDUkHsWHla6fPzTqPDRpvla6Mhmey13HA6iqcJ4Spzd++VNKTf Oooo82aixIjVjM8TBtSECCw2lTh4RNTRcwNoGo6W6H6TCJxov5IgomJ17apEf+h9/zqvC1nRGnQY nQNuMP84vwIfj2Jq32wUVEdMtrtf+e8cOtxotNmTVr0AAiT+GOlp+WZLgK0Z0CuYpE1qbxWNkINf +n0g8yhCDeKoKqitEYfG1vdC2d+CoiG8bjBFUtuZnXk+B00RyGdNapWbjwdhkXk2dOnIL4Kq/cB+ p89hYpv0P5fbo0y1khhYL2f3VhZyDRkQHNldxfxOtT0OvtkWio6b6lZwJR7A0cLRsqDdjPYw+ur3 Skt63fe9BsgLWmTKXPvNXDscewSlAP40jK2H7zUe2aSs0q+Jc/QeXAveglNoGXoCWt/OFB+qILFG y3akarTRHJE3ePL27IPmCGoDAwEShZtPep6n2CtIm9GoAnDotkamSo/hcUTr78FCbQG5sxqq8sx1 kpJcQkUtmCPRIDz/yJmoyur32jtxDn+a9lrvHhtJn00q6dknCYg/1x14D7Dz/0mLuCeyU3rqt0qU x65ngZgk4cnFIXIBKDPVN1GfQ3pjh/agF9E7bQ67BZWls7Pm9IGTVHtqW5icc0F83llzVaYPz6HN Esqs6V5tYYi92FqrV213LtP9hlCaNUjObmP9nZMUxdhaSQ2yTTb4qY1iM1nvkNoBB6yRhInMc5LU Cb0F89ilPPznnTOHvxL45bZn2z5oJZ10WAQ6aMp83ilzWEndg+ypjIX5sM9RFGRDuwHv2NxFZswH BQCXbUcBFEtD3glznCPCJTr5hRwc6g0vhnRST9xsyPkhFb3O/ignUUKmw8jaafTTXvd9jtSIhKKO BvOPXcC1EkZ2VjAfD6LI34nICW1/6Clgk/QeaJvYE2TAxi0XNSc3bYbLpMvR3kxF2SjSHmTz0vDR BtttJ7xq2y3kgBKV4lwazAJQP9nz6RrZ277P0RTkhsYhGtQMOZePxNlqh7pOFGmvPb43W0KNBn+Y zVEUavOHhDKNimdwStveC8lkSLCkNldyhFIYa1Nyr6PFZ4PN0YKP2VpE5Z6D8iSfpqY2aEqAOAmq jV7gn3rdaJIQP46tg2kb+xw+dWtKSJYQiSjYdm8LHIC82xqJV152jILtKifitJOfSBRENOvPftFJ ahglflI0SYyNkhC56UHk4k7IddG5Acy7KtEK/l+EHefFpsL1Zv/xnSSHdc9+WqE2gQaRCUH0FLnp XKqfd45cVSv5uf8pbP0kte8MuSrGGRpE3dGTM2XHmbZUrHQE5IfFSO8EOSsgvGkPQTocbheUt4R5 DCyupSQHvTxwoisZknYksf74zpBjeR9ZW9vs+Td0a4plJM1NZKGA8s6Qw7Gf89d+g8zHmNmD7Xd+ XDV1VejHNi+wjeaR5FJzDQFAndWKI+/0uCpfQ9T20/TBaYQ0O5SS/ImwMyipAuMKE0Qh7sucX1pK 7+G2vDtBE6BW+qIJAK/tVenA8kO9/fV5J8dxlv1UkgEYkdReQXrnxmG/DSa3DujOVR0eFdm2lQLQ xWh2KL1T4xjBUM4Djl42OLS7UKy5UELuZKHnlEUaMiEaklFwoPjl1aug/Mk7Mw7fQkx3VzubgzGZ TurG/l09CcPnnRhXHzsZlQCA25zoTdskRQE3a0/c1E/ediXAh23DSiEq23BhOXtJmSkNH3wpbQQE W84NsO3LTUryjbE2rCamn9zm3PTOiuNKoPY3+pQ2qJyN1twy56b1eefEVTn22HbrdiqNke4kxQVt 3vs9+yRNwWoG0AI6xKk3hPnWJEUV7T4krtfv4B3Hc17WBDWYEwkm6C5sVLRpKXmOI9hmYQbv0b30 vkGgpJJ2kbRsMVgYtEx3o3vnuZ3X550Sx4qgH0pVjCcY/q27394jbpxKWxG357dUUjRKXNvdSwBY zJql94jbRb7giuKDzRJsDsCLRRBAMQkSZWG6kykrgMC1f/l/L73v+6mE9h30ZHvxgbN0Qjp4Kml5 7M87I65as/45uiG6O/OdpCjkRkGbnk234sYcyMzkzM/hJLh1G2rknQ+HH3kqd+O24+CR0kLDaJKv C0/5juLr2tRTmoVp0biylqwIfd7pcJwjhtxlsz2Lsv0QQLnB3kj3296fdzpcFVf2qZTAlaY9eck7 Gw77jU4pa14jWQgB+aHU173fcGbofnsPuVF3JqmyENDGwSapwOAIhkcsS54sKwv51yBakqi7kG+l ZBCb/E6Gs+LrOOEJ2PIaVClBlXOaOXz/vHPh2FD8jrkhStCxEGyOopAbtqMIudtlVo56fQmKYUsr 5DqtUvJOhGM/HJNzFv3wwRdShjA9TdBaouIFiykE8zakL3K2lpQAxSzfaXB3ry116DlYm//kM2zJ nPxnfN5ZcMRB5O/UDWDDr832ToJDdEWLdJrYGURLroS0Sem34gavC2tlxxE3EbabevLD6WmtoDaP C47r6BxzIBJiHYHYdNaR3AyurhfaiZ93Cpw1ykCQIEWXg1K3ktgOQN12l887A87u369llJG5bQ+4 3wlw1RQdIRvWPEgiWY7nUd2+1VBGtlDynf/Gqw2h5N5kmHCwghv+bcPIGlVVzFUTbBLwkob6TMaF U7txcIpeN5ojEiY6DdM4KEaCTJtozGcDf97Zb/hrk281htaFhd47R1G4DRNMwNmXy1dmqtayS0JB V221xeIltto79+0Cjkbh9T9Kf5ZRRQaY6dlcqaSypECEyjtEC5Ck+JHdht72r7ytS1yoZ9dkRM2c fV5GWZ932luVydoXZiTRNNFLku+st2pOMoXubnYata7ZgjRwd839Td9iYJDfSW/caSqSsB0p2z5N 0Tnuz5+G8xlGsgPoNMElIQAAUE3OsABxD/mk1w0SEkFGoWnFMBKqK4q1U6JfCQr65fPOeKsPEtIm CZRq6tprkqJYe9Pdcow5HNGeDWQDchcbSZgkHq1aR1FxOxH3v1Qs4WCTtODOiao2OxjnoM4UPhkJ Wk4wciv3xKa2zued7MacjUYyBGtr0GnU4CPD4Pl8wOed6vY70uYMUYDYi7bvTLcqZxNmI27dsIFg 0E5L9Ioi020UF6x8J7oxUeCNP1ROGneGThCTEVs3Wjfkea5amltSehitN+if1tsiYTbyTnPDqqfm 925EsnNQiSSd/10iLXe+Y3Crpfk1RzhloF7scxSF2T0PpbXdTuwqzS7SJuWOrrQ2mdjSO8etSiOW Zf/ug6W154A+OwEGi/jHtnkandyEosMJnf+TD+5LmyTA753jxhuGPdu972B8JCiwc3GsyZeMwBH9 1ySNn5lvO/Kd38aMjTf/LvtijmexWttZiF+YY8v93+lt1THs1UpukqiZrP7DHrcPan2fHHBCooOu TWB3A6pZlNb2B3H8Tm67OLbck/C0y/LTs+sm9ciBY8t8yajZ9h1CwjZpii/JSYrCbLQ6r1FKo/B1 v+FRueIcHVmp7v6wsk33eEgD+eBhdqH8G3UF0S8hDJr2BNCBg9oKmwXu24A/9fPObLtlpMHGJJjd Z+YFMj4rUypu4wSFn3dmG/bb9v1Gri7oOiAg2ixFgbZFSLrn2W27VgTn1jMB1HM2bcdqvdPa8P7q juxyBy8jnalA+5/FtsKGGstIJDzDio/9BV9Lkgh4Z7VZtIITu1cfrJOUiyNqd/68k9r4kj5J6tui Kbp8v71z2vClm66fqoVsKePka5ZC8h9bDyjoU1z/ndL2NABGTT5Y7j8rxFs6MRIVWxuFqrUr197C 8YPmra0k2jx83iltxDiwjJSaDcXv8bwbcxM0mD/vjDZu1/WrS1KWS+tzkkIgSRcRApvVNKioBAca B/WAs4l1IQ/CofROaKui1J3TqBK6Vd1REWUkOMcn0ICBzIZnUc0qkJxJgoRXxjJ15DolqN75bL7d OiXqOJiVLHhLsrc4ue76vLPZ6mOTZhHAgIDVBR+/k9mwkGYT7s/5tgMSBFxI4PbInhhSgsAIIZB8 57JV0V3Q1u/FB0evnx9s1iWq+4nAFkhs0DNjo4+uMSBY3KTN3jc4uSuRJEVs29JdmpIO0Umltv55 p7LVx5NQu43lv3GBbe9MNgaSwh1vdf3ZY9XGo5OskKSojgwzbn4nstUrnJuoXcLBDu5zPi2I7VU5 WUDghfbWJJUBm39CgOECnplyyp93Jhsh5UUp7fBBk8TUXPDIUj/vRLZfUBLehPAeLcXP7Xcem4O9 0MeYXmkDmsroftWwyZCD9cz2ncZ2M9sBo3gbnEvTd8fNAzmuTQxXJ9tvkYaPnxZOzpbZqkLyzmIj 0p+Tg+PXBsXbsFvhsd1OuvJ5J7FViXh8RQAZ0jLNM9t3DtvFsk9V1whtwx1jTBrZNXRJVVuh7Z3C xoXMQlslM6vXy8zqKJec4BIiZlDCqaVlMkcJtwFRcs3LYGMp9PNOYLvJf0d1zgZLSfY58tTb3uPz Tl/zXXP3GqriDYVmm6IQR0Ky0VjVa0ijZr/+a89eQxrlTlEYbjOzFXdPg03RPLdXW5LDOwnbuV1E mEQXG5XgH6RFHmxrFUU1bZL/e06q1ybPSDocuWgTfXZz/bwT13ia3c72j3zkB/zHbYqiYJsGMGVU t/codFNUHFnFk2AcCWM8MSJiGAlW0dwCbe0L2oJUT+9Mx1iKRBGSQRRIxA34WNo1WZsNF/DnnbRm WRfAMWP5oCk6wffQYdTm/Lxz1ogq/s5HoFwPVqxPURhqA1dRJevMqz9nIxyXQRtyJbYMLVkfaSFc m3PT1W3j4IntPFf/OHkb6yNwbiRnbU86DOBXnNfZkup5+I6AlKWkf7U7GGLrRIKq/5+Px+NBCNm/ z6JKI4jmeW0L4+xMyeFkgFpwIprl/h0UIcMi5+WKii0qaCfFjpXcCA5+XqOQWnB3NMRKJx2hPyos uuBPztw/3562dloUZkOoAaRM0EY0qKJ9ktOyROwrA49HjX/P2PAgk2PIeRuxJgqz6fdT5h4X9F/m dNC//CuK0lprsfX3MFvUzbO/wZKxwaq1aeUGBQxKIoAo2kSgQFzc8W0wirEoW8YCPeQ+snkEcr0P WkYn9de/nFfm4wFxZH9j2gFV70irbYqiIJumDSe0qE8lsl3ZiMJrX64C05ZRjxAkg0ZoazLz52BT dBbIWVSSAErn38CNZPiUifbb1A++mT/VNXpU0K6SeV1yJFzOHAGHpIt9VE8m0qMgO5XvEhJmFVQf n6O4oN2lZOOI9l382u/mBIr+GrTFdKf1qKCtbO0E7c0HLyGNTO1EErI7xeQZP1IeLVOam85lVq4l fqRHQbYMvjp3CWKuJuAV9q/19MYJMfF8wIP8RaPNwIqPhx3RQyZkXVIlM1TbSQv6MlTbXkMAN9h7 AdKBQ7tHYfZk+6iYIO66Qgg4dDrcG6nk1tOZtiEACdoiEJfCiX1hbZtZbY/C7EqliE5RTgzm4zEB eFav9nwHHo/Yot9rqVIqonoI2SM6JEgYyEWWe1qOVt2dIg9H2QD0MZWL9BhAwnb/LtMHP7ZB9qEQ EULIM0O5kcuGsGhBxDQPJrUKs3m19aisvRhmV+EjAfiT+Agc2Kuy2nFCeTwf3G2XaGPmlz/nxvXr v4dxdl/ijCafJGFJpixhHGgLFTZbSTElEjutb1Xa9q20actNSNjB6QRKdxRYHNjZFGvMLMLboUR5 1/4eaEO3XVxINm0HlLe6BBAmkjd8IbD8eD7ab9+TxB4SiD02SVFhe1D9IFFV6T80SqXILdTxO8j8 1Mw/f0nuZjzYY8Q2ZSK6CFv9IWzVQZ4OVH3BQm5E2ILShnJxbjD33PlLi3Pqff86ujeQF7BcZa9Z f+9JaciZ/jn3cMEHBIf3+qZIUOAM2DabpvdoG3hkhpJAmuX/6B+TjHdPCA4YacEFd85ZkIW44aJo ey+h/tgG4GDThNp8n5P89fOXoRuJvBbKij8bvS+GOG7eQSHOHpW2kT+gx8Y4iYMQW2f/SpXi3OkT j0f82u92JBTtO5NbzVGE2e6Sxe+5mGJimXtbFWnigqNi4llU08qRPQKQKGmrO9nAHxj7raeKAu0U 1WZvHgOYI3x6oiyLNtz0KlLFt/wJa4Nelw1WIMkSzkVqwMcjypY32xbrkdD3GtthNj2MuKlgM+iy rFobxPo0S4hyfmQe0CtrbZilqLK96GtSpKVcHi1lYtvPHQ/lAfQLz1XaQGWfi/aVkO2s1FdTQZJa OviWILld8mNcywe121bzH2aWiceDpdRuGUllW4VeXpIcUdA9qSo5ysOSaMP5f5VvoRuuO+F/RLXt SVj/TCoEpCsRDCeqfXYsZaPKybPgvM6qJcAJuB9gYGlEEklzjyjozqq1FQopc1C1oy2Zt+Jwy3g8 Ymt/923RGacOh01RFHQDtIQeieHZ0Qlg5w2RUh0mzlIhrG1FkhFXtrNof9sHP5NovlmoWwNDefgz UnMExmfAp2XEDy5BhuABXxIxJElta6y1Ief8GeYiBGIhgwAkuCMubX9P0jhJ2YRNnU3Sn6jtSk6F Jqm16nZCgybCJEms6sJaIyptZ8KQlqA2i7ymn4HMZJ4csKH+T9wxTMqoTdJg2VdRaEOp2x0DUE3D dwQY0p6J++eRVMo2ss25FeYQ2eb8Dx6PWiTfbSTssvaA2kYUcQM0AhhJd2D7pB+POpJpXIokgE3a alHEvaj1NyoLSoO+TZyivuFs3RN9XwqIkVl6nChpd8iboEXlAQD6sfiOv9jIeUnCZhkNE6dok45d g97fCMvazStJ2JZIq1GM9imK4m2uOvwZziLpu5pH7FnRwwDbZ46m5W4jirenUEiqBHC42Miz0dAQ IflvIvpCJYnwv0EzMOxSY9qSxoQviY4j3mxF/jiI4UUigt9ca0rsS8bz0Tr67iJhUfZ26yQjirfZ cQTeqVu8DQ1f22qzDd9qCGxskqJ4exGw3bLy3HxxJA2UkpNrMMHlTt5yFkQ+0lE0BiLY1hG1XvEd wVaTDmkTHrmZjhBu/zl5GiFSwePBaXTVEXDyMLnNN7kdIVp7qMZWLCWpsqvjkd1KUqekYkkZQmJE aO3CMJsOEjb4OoICCWIY5m31rFOKIW64vXTYuCPtv1jtqrf9o83WoUmgQb4RwLxugIUttR1hpD2/ o0gYXrSeHB8xorq2yiSzZS+TzHRL/1DWdRDJvrdaFGlPHUOJ3ZFB56HzX6L0f9KN1YYJIU5QDCj2 juJSp0R+bneKYFOO74iiIxYkB6GRHY0AYUgG6Ptso4+SOp7/lxiS7KpO5NFv7X+EkfbQxIzbHmHV nnOEyMznKLtH3ogi7SEOUk7bhwv6z3AJIvPv/HkFESmEWhYCANb9oWzqW40h5AgDbdoBNBjwQQMR qEV1aYGOXNx5gJLiA4L8/yoiFZlTTlTd7oEUhtqVSprbPIJoMVzu3T/dwzMjN9FuC4vb0j7EDWjD zW2nKiXgtUEowVSkwa/d8K4pkxqtTaJRTa8bFNwqLQEAsxvSA2feBj0iWnEm2BUXPB9VSX4FSBtM 2yusNcPqdiUAubjtWy2INAQiGRTgUb1tuj3ejIX9kNISImSDB9qgypVMTZsCqiT6ljBXoBLE2TOC tI+HaTtDDEkh3B961zYI17bgbsWvO1EwHg+m6BeGBBEPS6Q2RVGgXZXYUhv5P8zeKhPbTH4kcmWJ s6LrXSmLFFa3kzg2xCRxsIttQBGsDqZsEjaH7M8uoN5C+gGJYn8qtwg+8C1/tgCU2JYnse0QNdmc pF7weNT5v5PEAwx4XWBmbZaiSBuAHPVRHbIlwDYOpTS93NYSYAsSjwr5kez5AzPogxduT/Awuxh+ aHef9J+HEm7ezD5fgU2PI22okDDj+jb5WoMgEg6qkfRZpDYEK2w8HszSWl+xNtAKE07ZNklRrA2Z 5lzqeuRImDWZSltPFkhy42qSwur2Uk1SONJ9caRovc1FkxpgSM6+Bu4Kt9v5oo5Eq5V+CSQUa58h hEQi7ZUathxEaTtzTZtDQEgWHv+fhDSXFHJ8iqJYG0JykCBJziCd9TJI80WRnru2GUByRrH2aFL+ Ychd1wX+o027FyTDqNN2dl9aTGsbcJJA30FL8iohE7I9Q3Ikr36yCm0wOPKCGqH9JHg8aEl2P7SJ zuqU+riSLTOsbS9aSdARR1dbmd1j7Xn1ERp92nG1zQhDIpXMBhSUDb7Zznzssag3ikSwoh1Mzdr+ M3Eh4ub2KIn+kzOKtSt72iCU+cB1BEkZalv+gAuGx/+l/NNYsi3uFsgpijAkoxiGxHM2SfUrSJrT K0gTIDVNUVTZVqGtIY+ywaYIzksnFqKEBIx7+pRgC+qXHdfoHvOhsxW9bXRmS9WmUlRzkJTF4gi4 EaTxnXsZj0cZ2zdAAiRxetTYFIW8SIpEn9D+xpE0o9IU1emA7QYRfm21UPCP/aOeDNx+q9odZIIO gByxkfjxG9FaqJkPkLoR8/7SIZtRUbuwBLmrDOEd7US7mV5VZNt8PAJr/TqNoAzdr37EjDAkqh+l PZyGhGvbAG15jG5dW+iF2xSFobYkWQdPIw7etYWZIixnZFUGsc4m/Yiz3wqaU+Aj35p20usGOGTi bPJgWsL/OJs2K7wRsA5WzRXP/wuLpMsQOdtF/c2QFtkYaKflKJKkfyS/trkvZyMJR1stViIhCKnS 4pyDw7VOVnwyKaJIaIYL7xektUAUUfu09ivXMlbR60Z7jUHkpIkpB4GR0jmQTKtt8x2jIPJXdwTI 7zL9WltRnI3CM2V97Tii3ZCHR8kZJOew2IaMXBGKRNaAlKq14bJs6pp7stEGhzhYdtAHAbVkVIEb 19F6KP8rLGhTgIT5tg2aolGyoJg48PB4pPrz6ziCQXO+eK0VFrSH+XE6LTIlB/2383e5uP/Y246j FcbZfZA4IgkJZywCfoRruciO88SqjX2ptQcsnQax1yAyGBZp2dtG9WxZaWQ6/0CuUhXWBcwcYX/Q KMbzkaBN/tpqoCmwgmVzFOpo03QHcjCOIsm3PLKGs2sb7Qs0RyGKRGS/xHp2Z2D1A97hKAvtAy0j pLSExiPtLayenCVV0hMcnYMd3xEV2SjE3snV5iCgzbl0RzNxYz4exdjfSuNwdEZs61MU0iILJUi6 adJn4iCsyAYktPVFKrSseBqtEKgtQSS1jvrTOgJZEDxfIv7BX0iF5klrQiBl05nlUfwjpG3F9Wwq /E4UR3qDxLQUMfoCQIjLaEClNQqyf59GWUY4t7+2QkHtQa7W4uEszx8k00Szo9lgaPbdukkirJgW qa4R2UgcPMo+W2HAB5CENjS7B8psFcyWRcRWfzKRzbb/ihAknb1a4KOZ0k4T/UPEvnk3n2mfGc9H Um3pO6cFyBaIMp+lKMwm0gsli2ZhdsnuDdHpZGh2IxDG0SzFGiQSHJ/TBzuRTlrUz+1PzP/J+mBl g2btSAQjwSaKBRnztkuMIleEISHQBunMHXSNnz2t1Yt7AY8HEJL1zWibC0fShZCsWFebiaw8WYiN qNNxNjmbRtL5paAhoUkKA216QkBZ1gdbSnDrO6cQLW7B+RyTmP8C9bGNPC5DZN1KSCzP4UuCOep0 QloMI+cqhrxCVMG+FxpSDY9HjP//Bmyhy+tzFEXaKEuQNLouVYtJ2qYs0jA3SZiN+3YL0dpChmb2 qjl4xRaCPBuiNejVQv0CUORFu8GcYBCKGtkFkGBy8S1/OWice2ZrqGyXnQs5QQPwh9pRFY+/b7eV f5WQoH8zx0XZrBCwPShBUjwAQC3bgu0+6QT+X6y/FSNIMEuA4Png2IhzXJy4nUxkpA2rUGIL4kmo SieK5zmDlOuL3xJBtqlArlnioPqIdTEpdtbxeNT2v5I/KsrxU3yS3oPt8n+0rgVY2IX+p6QR2Yvk +cAI4Jwd5mS3Yolt6pDVnn3wSTpHNIxbm6raJ/Wn3SZ1TzY15kAk8TBpDL1uECbJGRHnuw0qRp5Y hSUecNsqHg9WUvXtRv46Je36FSHZUbQ9GCbBks/ZEfKVZk97OdgWZnouQx5SI1kfobWODRcbUc5y aexp05gDvEKIAJ2VRKNh1PPdurXY20bs0cKziG5AcyWvs53ln6jXU89ZjseDOlvP32ESS0jD77b9 Hm0D0gYdUmry2mar24jIY/XizmyFtgmcolCEhEcSRett8O7ICaHzBKKR9VqCt7COCJBAtF/SBf6V RLH/HWK2W/aU1gbV2faCdgcPmZPY7vdoG40uDwB4jaH0t66pzw4x24sdkdtoq22veyD15AfS4qXA OYqjbV5ti/02DhezjcIjUHmIks7+P8EE9Wxx5kIBAmnvY2079LrBHLEI2QbrbByEjUAyKMTtCVvw eKRC8o0ghYZR67fuv6NwOxUp2E1P/nO7xiOJC186bWSCcY5i+AhByG0tH2yOzj4oIC2K9V/O1DQk tqwRwwrgh3Z13kHizbajeHtTGSFPoZGu80g+ke4QhWRjHUX4kXbFbKj5D2fPmbxAskO8tkxkUnZX hEGxYVJIzHmMZK1511FMi5QusopJ8/r79IzUf1QJ/uEQajJBJIWDPX8ykVRoQ/EJ3/GHwnZDcYUD mt+8sSc5QewJ5bnx/L9IyCxpT6II/F7bUawtpdaznV1ba/fic8RUS4I/AxZSmqMQPsJ7rS/GABxu awTXQJeUZa67VjOKLGaxI5sfobXX0NtGFj+Ej6BZb4O22gkhuBN+EOHh8UjH7rsRiX9b6bZGdgQf gVY4osjabasBW60pOjf0Mu0I5G++1SL4yEhSgyKmjYPfamcTjo5QvdHhD7A+wpBASmiAUuZLaGPT Cd8RMUdRi+zyieqS+CcFtDlZ60RJeDxI2cY3oQ1lro4Wkk1RqEEyhuAjy5lInqbhEJxOi9zFzTV2 CB8h3X9klpI43HZ2qjAUaGY01luRv3uClgwmtl0XJKaL+I4ggCQYkup8NmgVjbylLXg+ZuPxqMz2 3fGHaD/rODZFYUmbl8rordzDyBBsaGcvJ2vNRfR/5yf9FWVDzNWHWx8ZBaUdbjS41Sl8SohmGnLa 9QUe2VNvGxxGMvjddGrhYJS/DAcqxs114PGgPJK86o8VBwfBlZ7zOipow1Xx3Gmj+SraNfkqMk9N utiAq6VVFCFHJmXXAA3ywaYIKvYgvbJN2zqusSacVoO387kCqfQr9DGLtTsWHyF9vUl8pLlL+9ln actY42TneDxYRfv7SsOvA2l0myIhdcI5Oj8B9RC2HG3GBY4QK0r7u9LcejyFMXbXBtrFB01SgWYN ILeyHm9EA6A4gt0IcYwFt3DQ72TSvra9ccAeJbo2+wB6jnJ4KHcKXnumkh8QISP218XGNV3H4/cT yv1V9pAoha8zCR0e4kfOJC7xSE5829v0mYr1/qhhO8bywZcTDIPpw3lC7YkiEgq1qLadqQV5rzRa sUryh6E2RTfeX7ir00Yr1l1tX9dzDW/5qLYTyPP5ICFpfnQ31iQFzHyWVOhngxbs+VWHl9toVG0U yWy6tsgqrgtyCtHabCTRp88Gz0k20f60RmqQtkdlDnBu/A4ne2n4uC+f0WxvHCypycxt0mJrgvLY 5WFfJpK3H3YVFj8giJXKd14CBsuAVr3PVBR0j17kMeogiZMluInEFCCZYJsy3GgjhY6RPKEAV/fB ZurksxVBGLFtIG4hGwJbFoixRDPWvPfTvC32xn/ySDrrAKt7HaAw0CfbBhgAPP+/oNs24q58Lf9y iiJvglBroeKJSBKNQfim1Oa4zQAgYWSSkKJC91QJoJjp1nX3BaYJAlWi3a5O/w2much4zp5c1GP0 mlImn5R+A++/xyTaBrIcNqhgAkoY9zsIi3w+yFCK997IS4Iz7M7lWVJhrbtRSbozduSDhdwaaKpB /UElShQal4hJOUUB+CCctFVJjtbHqf1kWB3HLa21U6ok/kHjDkgdlmLxJ3rxLW174QgLSDhJZ2GA g669E4BPtXHr0PMBgSv/Uks8S/lc1teZJMUeN7QilUzrJBegVOucrHzFklhjtNM8isIBLULPhNdf bf/P2HVluZIrx3+upY8OvNn/xoSIyESxpcq+8yOM5k2R1SBMmjDXCahCmvQkhnQCOnkpaETkuS2w qQhGyPK4070nh+sUVbyVz221hra4pOjK4kqSS92ZbD4ftQV+MbmhfDjnl89dFIvjas5oSy6bKYOX LOrrVoOWIkTIfkiFVW82K2HT4oNHCBMGXJPB+EjgtSVq8RGKnSndULcnvoWVZX5NkLKoWNmIVD5D +jEhTXp4/1AouvH5SFX6sgLIRK31Z4JK7jMVheSL75moE68aCr11WItL2yq9UCppxS0BI6kScIdA mjAKxbjEAERqHdp0kk4E3XSTqwwAJFhw0Hu+uMBp7xthJxkgCDu5HTsJ8fAtR2bsdT4fbL32vfXY wkxlPSsqisvZVzj5b9o+T2m5Uxkcs6xmycvJ5iksfi95lFAtWp5jlgGDv4d2KNUT4XWaOsGB51Qa CCQyqofOeavFXjioNrHMVBA12aCJGtD1VILHiDN0vblMHDVdxC/0eQotJgEORWNguzGgtXQJM73G gJVuweaeGKJNGGp2mZX0x6yElJ49K4u7MIOHMQDAbyf2/wFv7IdeN+7o0vyFIxUFknBJdtJgtYKh StkPpND4fCSj8GtB0fgu3bM8dJkkn7tMRrhKhcfMXpcb9fq51mvFGdhMAgcqsXI6cXCwcgEspE/K LUJOByOHLIJCOANM0AlcMt07mpXk2GWS5aaFToMNmqZz2dDhCJy/yueDwPxiThil4peCZZ5PUxiX 02Ri7zSfdLhYxWC3ZAo4aHZZxSCHRpNq8YLG6IPXL4H2YQ8F+fDJZxpFFDc+syO4RVXRp6k0e9+/ 9AE3zMY4GI0STP285VlWB5+PMuJv7Tv0bs8hN+40RQqBSz6Ka5pQUKUsjBm7zuzlOQqN2moKg/JF kWm15tbTmoNJU0HvuEqT62yVQowTMgwgLH8WLbilyTWyve9fm24WHk6z+OHUztXcCLo/t2bl8/8l LsCZDjTdnaZYI5B60wwLtZqKCbpSSHHaaqqoNto0hWzKrALUvIOtJtxrALY2ljHPjOPURKUX0rMF h8XIlykoRaUcOk4ugk9wLGnIWhZnlYhb9AP1Fz4f9HkfJ2UGmp0h3p2n94Bc7Vn6ld1SpjRwJe9e TJcbAU/3XReiT+SkUMv0weapF1yhjW6K0JYc4LyfeULxCQR5g1UakklRQY4CciLiOnEeNgg0CEFu K9Wtwuf/pcvJ1YcGVE2P6WTgOnmigqWa73Y1nFmHEeExUc15XkhBFWeGtpOLnBP+pTY4cPCkYgly k0yG+yJLHtKcZzXID71BA9HqdSpEhb6Tq8hveicflAu3Uad4FY3LPgrId/6uQzVKKlxmZQ6dJxO9 6M5uECsXIJSaHBg3htJiGP5OtzANrCfRPyBFpxCpw8FZAx3TU2iG18BpbmQNFER3tA2EIs81567V XvgvU+Vzgt9B0RMcrTVP5y/g8xED9ZvHDCDyTPs5oMICOctQrV/U1yJLQQKdy1ZZhWnk8nn6J7+y +mDr6awbqGjRVKlRZIoaVAsPwFRpwOc9XbROb/bGgSb+JntgGc+ieVMzZVWwMGeFz0d5y68LD9YT QJ/7RIW476ow0ypzqB5cl054dFm9bqMraRMVY1E4UesZbn88L/z/W/U6IOS08ZCxbHoGjfF/8pbQ g7KI7Z1YteOgBbWgEim3l9n4fJC33NoKnssohQBY7xMVulBCcyWzdWAT1ds9obQqOFFAJlkVKrSh XENaHXRa5OCgHehQtsUA6hwkUCjAlUcVSEQN8F31cBxmcHrfoGIwJZDXqgaT6kb8tJjZnkWW+Xyk jfO98SD0BEbrnaawUE4cf2EyYp4vw8SoBsNxanWfaPCWVkInyiGxbqQgNvg0najn7CfKvuMQL2y+ QgimqQc705MGy6w7NKLEGjzrCMRXG1SqaydpEvAt98nng5pm+cbu1kq2+HWiDp0osQQQLtuJjV7e 9Jom4XnsvCAha76awnCcfamiwKA8gQHITSfakGIHouVURbVcRBOinEl8vJ1PPAH4NVGpjj4UnREU yg8/0wWWUADGgjqfzA+IIvJv1CUN6HBT+kyFmt0Ufx/bak3nP+x9ea2OcA+Jv3enyeXAjhIHlGQE 6x28XoCLiUhJVKBmGjSkPnfdZkIJliJA5/fK2/bGEa6ARTowUDlUZ1CcsI0NpnNe6DX/ReDhlQcV 0HRZTjl0pNxMXRIrL6aS3ywRhgqwJ8In4h8WkweWlMJMg1BgvIJ75aHMn08uRjEh2JklhQZn5k4s DGpQhguWV8kpk59jT0pqm6RE5By6pj9SlIRGpAiGqJryA4K995BTtwUHveVnpqIyeSuEqfTuDN5d tkvBbPaZBFNJbkuZQ19Kkzlp9IDj4LzCdpZBkszZ2XrnsyTfTb0j4Hkh/Ojwy1ztff8oGXTuMhtU MjjBIAFsP4hz+HxEU/nWFAJs/4t8mUNfSlir50qsvyp1ZykbSnXA78EqdXDh8mkKhQV5Rg0xnccX 0xmq8CMlosIT+njqOxRGnyhMocLvwktr2vtGSAzmeLPdwciFWJRD07T5fGQr5PuOW2wggroSlTl0 pqQ6N3zerqHg3uPCVdLyQl1zmbMcWFO6/mKX/EJ/5Begr4T6UCGRF8iVwhxvADs+af4EFCw9qpGJ T3vhYJ60jqbkhVl359/bz4W3ZFya9JZBLjy+lxO0VYEQvfMUheS9qG++7jw1s8mDIcAjMExclSKD 0DaHIlVQtPXBC+TrxCi9Txkdp0LwIhWYAGJrKOc7XcX04HLoTlllUlUlMlTdf4lfQOxSzeigB/aU 0q75ghnSCqqWJ4KKInKKq0Ay1IWYN8XouJ4WHTUFXKG3o+YppmJWlSmXD95Bb8hTFmsGFcJpneSn ClDDZL8VXmUoLpLXU+yFg/WkYoF4zwkcgabC7/l9xXuutfP5fxZ+uyoxP7Ncz7MculROqlUATejI 3uQV8kFtIZ1PiyEpN15sU8mGcC/P4Bfe7lCc2QSKnbQY5nAOcGnQPa1r3fZ58ff9KxXuYL3acAvk 8hBTgTwwqvzW9RYBaqBK/gANQqtKuZ6sPK8r7BheIZ9UkOaCKrwWNU9RSL5IFMPi8cEPKDh8JSjV ISTfE9EzNh7U0To5SaiYXpyBv3CAMzByb94+qAh14uJEQMZZ+5vPR+DVXzK62MD1enrk2K6ySrbK cPPgvmUzPjlHSvEOXkrFARmhX2WnxzBm2gfHGRQaeVfpVle0KRFprpXUP4Mb03YdPQCL7Y2DHC+x yYkAFQOb76xCbVCHaex1Qj9+QOTrmb5mCtp/C+o9PlMhPbMxEF93RVEA1XqdYmmo53Ijg9C2clBF L21TZ7r+J1SWOEd7E4ElnQB2cKYa6g8J/I+sdkL96p8HxpWQDOYZJVW9BKpeF8IXKlnErpxFmvkB UTrcv05zULFGyc/ei60rWaRry7i+5wuXEevOfpmKE9BPaKZgkQPvSpxRTXWV7IPN1ESZoGWVV0Bv qPKKg1I/GY4F7CKH1udlLxy0hRddqwr5BxnIYSYcFa3txurdyW8nP+BfZFYqfUB+pj5QxMDB0r1Q oOnu0JXdsifElD9kRyHDBN0mKpRDWapo5uaD16EQG43NKAobg6JnC/hyEsegyAYhSSf8JH/j6Nqb JHsx6uRgRM05KV4AqbzK5/9VKicaCsXfcl31cmhjOaiXTe6jcX6Sw8Z6e4TjNlQq7ZSKsCtNqjo7 38GxKxtH3xQa6hxIizoWu0KjY5trzXoILf7CUYWFbI3WpB2L9c3CQYK4vtK8xBUVosjTN9B+0COq PhMV6n0LsimrWCqjzLUMX7eaGRFDimB4qfwPL8tGCOIsPjhh8xx2qWRKWtSTHaEEQugKtFzS7PTM yldify9742DvZQk0z+yD4evOntri2a3O5/+VD/OM0k6+tajQzhLukawWJOsppDJ962UGl6xFwajT JyoKzCczlyJqS3moLefQrkga+1atvNOdc9FlBxOFpsIe189yq1YeGlqyPYXqdPdBEKg+qmbtHIKL zwcRZ/4WJaBDwqpPsTy0tGy0A0goNJgRIds4xEsDZKLiJnoBxYqboadlJ41ugbZhg6GhoFHQJgKt 1pCQZQBK1yq0KS/ojyLkvW2qvuyNI3org3K7/i6bHCArM5FNe/L5IJSa33Y7YKD1/UA2Q1tLUvUK AcOW68nLmjkx+ZDqe87toIzA1xJqGQil5iZBg4MmalJkb66p0gG0TZPMHYELppQ7fn+mxOTg633/ OsuBWNBgJTsASBpBiUIbBMaW37meSn3Qbmq3dBAaWw7SOOb13IG+n3vuGF2BNA5ClrieQmdLVYFH ZomTg0XmaJjvop4Cav9UyUfJDiQ6qPtmVIgt1RsKowJrS0nmopsgfctJgJ5qJY1FeOR66KOH5pap /Q7N87cLWA7dLSv1QFK6NumbQZuIZckJio060DZRMYtTzjuj+HBLURNhFduedDgYdG7cgGX0QkGQ fTFjqdj7RrAMNamomgoTKLmlILnYvKMx9YsfEInKfnfzcJT39tQOAoNL1SzQHp7Z2WUjOQhxSaaw MdwsHpjHDpdaUOcQ8sHnaY7UmqDS8DXLk4jOBMWIDvE7WM1a90X+RDkwuJRqPWp1zyBm0Ib3EaOE DDBU6HCZLwiRnT/pit/8JbC4NCvw0ug1biQFay+Ap9izdYcTBHN148Uel/LTS8REqaak0KDQyndb F32fGKGxiw4hA1jN48K78JWpLnpocjk3WcGV9oQclBGfCEPVeRjW8vl/NYe5cs7lAjmOO08hn5Mi xRB8sxCqSIh3sYtOziu1U8Y2resc+Fw6P+ikDdMHz4jp2tlIourQs4b/ygKb40ws7CkKPUEcvrLt hQMaFQ14oEmIAZn8kkvRwG0hRZTc+AHvB/nav1wKAUL8qm0GZpdo3vMgn91jzVm6xZqgTV4uB5Sa bEFFQXkn3aVYEtOf0sECUStPsl6YMJJtthbQuhktK8QEt+8p4/QcGF4q80eQyfYn5aHMqegEQ+SP oNHD5yNA1Hd7ODOOWQ+sNfS8hNUZlJ2sk0BBFZdPHwScUT69D1dRyYHpJbzqeTSllnwwgB1MR85f Qk3HIvQvq8DAAo3GIse+8yR2UOB6iWosey+bns4cdELNEwWKwbpBDgpsL4Hz+fYqygOim2jqap4+ rwFUw/lPGpXEQbGgqvwdqaDK859oA+rcc0G9hk/tCl+6cuETkZ91OVouQq/AYahJ+BJXG65CEi0v hQrh02vw1CSLR0fQ7YNN0Qn3uZTO5YGnXyaogTCmHWfr/BygM1PVgRFbMD0OImeDDjE5dRwViJ97 coqO1ykgpv0WTE9nabzKWr4+1vIAi01ET1MgqDQWvdM6PnyCkINqsHs5LB1Lr/NzgstBdst6Bs1P KUIioVKGp9/mR+Z0mp+lq5HAPJuf13jpPNTp4ZxqMTgdUGL34K5bK+kcwrkbquc1WsLymerYsRM8 nk7wCahPKpKzoOOLpTolxyhtYr+B9WRR5SRy/DVWaoJWou9HSue4gGgYhHXe4LCq+bwGSi1doYIh /AXUt9H50/S8hknNHESAdLoSzuVKy7TyYMZBn+b0vAZJDdZrRNYvOvBxcGT9QKVvd5OVPVkKkTyL tBSgnbBxfXrsXd+nJzfh6akKWqFmVEwOFC6gbNed6f+8RkjtCSQNdwfaS6GiPI3Ho/mhDUjpHkbi y7xjMFa6xsS3pfkaHbXkl1nKd/Bb/6RrQJqhFAcYCIUI1jpBuIFhUAoYvr/Yk/+8Bkc4f1g2mZ1J CQcV4qA9KmNitMdfQ6P2OIFqASHOHeOeP6+BETYlmKbgjxk5o7J0bwXwuqq1CgbjcTqABRMkCXBQ xXywBXSCLqA4Cx33IJc5gAHDPUdwIbACLV+NgsRa5WtUhNOSUdFCJdQGYZzGXAyB0SQrn9eQiJtl 2wRpLgGvYuyICXoNiJrBW2vNpq8DS4JpAdHo08mtvUIEW/YW0QTJjLgTIs/htsQbJAkkTXhCaawd tMRRhjlL9Kx6lKt9izFfe42FsMVIvgcWgQMOB5610CVaVAI50Wv/vIZCOMCs7KYtBjregG+IJug1 DsIJLXO0fe3R57zmaI1CG9KSnc2Kbq9REE5ok0ZnA4WDEzWhP4GGAKrd5xTpWNZQAAONOOHcPJHX xaBQ3f/zGgU1z9PATvdBBTck0JQ6aSeO+rzGQHzF7zMasAY0VW2CXquS2GIEGp9w8ZaQxBOjZfN2 oRTAKqyE9FqTtOOBypXDB8c5n+xxN/xeQH1B0WSTd5josYclVNmMFT5u0SDltSKJCdr0R6G5NYas +sZZP9kKSBkKoMEE9XuJFeEv1slTiJqngE0wQ5kVQdC1bIZ6bc5VKVL/qhSQr9bXfa1FNoedlKaU o61nCaG+SBUi2H4CwbKoSECLXJS+EW6ti4ujW9NrJRJvm0iGBlGDQ9OFfeZ5UQdPeNTXOiRvJI8S Byu251xfY9wwMQqjB/31mpIxJh7LTiSUrPo2Os9Kl84TxdFCCLQ1lw8P1/DE0ZU3PUSnATtBnk/D 5oR4rYDX9Usf/Z1Dh2WE2ekdwYENKtdOCGVKBmSAvBpttHsSsaOJNL9SspGTFAXTiebolHPXUYTu mGPlS3I1wkp2ucg80SSxQdJxJNhgh/VZSczACbDM5/yH6gAoBaiDwNLEi9pVWb69b7CSCmanZanu ZtvQ8Mgcg4iE83Pw8eC43ssmiT0VAKRn6n5evxPomimrnVs5d0cHlOkwr9EvaJBNH4N5BbO0m+iY ffrg6KVzKs+9TSNtnPSJ2lYTAKfBABJFfwuJhuC6wRyRUX+WqaQapkk1lN1nncw5YP35eWfP4Y/t +zuqXohXs5/Y7+Q5HNlVC6lUD6upFSkARc3NARRlLocFBGc2RHkQD0lqrz5Se+cwT8jZ6Wl1gmoU itCZRMeVJiMoUT13WtLrvm82TitOneSD8jL4JhAHh7j9806da/k6WiNR/5Htx4SvjU3Se2ytjDMT hmtHEqJECx1n2vuWaF1H7p05h0liCRuidz5YZwRyislUm9EnmYn9S9yblH37AXLKOyNTlcfw4ude Q/kbew29TANVNrQlcMrkhIJaMEnis/lCypmgSgqkcpKi+LpTSG5B+cma3IXdkEkV2eorCaIHlt+/ B9i4AVgkWtS34nDphRuHdBbnsqdzdmwv99NaPCO7sWN70IfonTWHHU2bptkobMmBkwR5KOkRn0i1 fN5Jcw2ChL8nCQ5/spHnJIUxtjhzdfu5Pfe8RcfSLxIAUm+apCjIVgAASIQPfm5DtL91Se1uXHBz EtZ1DqbJ3xadDjPYzfRGe2fM4a8kpITNUxu0kqDmV4V0z/vzTphjHvx9t4G2g3qcz1EUZi86EUHR 1EEAovYSBNCHG8gPwJk1R1GcLSxlw/lvgyciDYhBqOkijMyobePU7oCJTQIBEFs7iX52ve77HI0l t2/5WTc3j4U07WIHqafOx4M4cs6vKAm9/7lureidK8f7iNRwIdxYLGIrT8UiL9QCwoS2IEuyUag9 SL1cnRVZDlYtOnEj+iG8/1FWgNAYY03iOFAd2PPpGXW97fsUzaFURDyUaiWFc3mer9tKZqGtE4Xa a3+n+zQVbjvdqy2KtTs7//Cc+pEGUe7XqPmkEdeDmOJJnKM42MadNiUmy8Hm6Bw9lDFIqlrDwoyq TlSTU9IGzUYv70+9bjBJLKatxL22ku+1ChpeE4MZAIko2haw8SZspbFk4zXHKNiuUh/KO/l51Bxw g4Ok3POoWVH2nSD37LUlq72VnvPoHNOJPky4/gvybOAnqFUxoUuQea2pak3G2OedIIdlL7HuTKc9 DiIS0pqXaJtZ8uedH9ckPvJVN4Ko9ZPUvtPjMLOwuYPtp1fWZnEvIshr6t9id0wrHL2z47gipThU 7+C0rwHHqc2Lba5z48J8d8GIEN16dCgr2ZF2IPn7BrU1ajZCZRcDDqRiGQnkMNgngvrJOz2OV5uf 2vTYRXo8811JUaw9JVaBtNjKa9nsdmGxV415Ai6pdT/eyXGs7nF69l4+eCC50BPcBCyjIrW3umlI ajNT2VrKpc0vLaX3aFvCZnAgzmIMQJKfl/ai75ZQa2V93qlx7KC0r7VUTNzWZykMt2VI0AwJAS54 c5e9ka1nCzJ3N5OUd2IcM0DuN1CSbLD9doKhenJjin2h2FbYSTvxNj4qy8UxrStWQemTd14cvoVY pIGPt8FoTKPKJaqeaPPzTovjmfZ9cp8r8WeOfpdSFG4v2n9sit4obRP0b9CepZYbSbpSxTsp7tay 4Sjpg2PbUJc80WSia8PJ4NAOYgDQEGl3qCD4wW2mTe+cuNtLI6fBBtWy94m3xW5udX3eGXG4gE0H 9DmUxkh3jsJqNpUIE4H2OpSqS1cZJVapLVSuNUdROVugEdLebfBKEvquFVqHCCl7hvQ+m9eofOWN FYp/c0/upfcNoiT5NU1ydjkIJ3kmvm/jB6zPOx2Oq/17twFjDz8Bn6T3aBvLj0VI+raqJ8JrVbpV avFTAXRvq5K8k+F4ctNdNxGfLEFxO7krGvGZdo1I3NAZkdtOp6AAgtb+5fy99L7vZ9IakpItd+DM NMgmj6bVsT/vZDj8uXV9hdsob0KS1CcpCrfZK+D15cltSW5IQEFZS27TNrj7OxWOkTA7jlPO3/OW tcfJPUpOg9Ql8FrhJ0uK1+RuI2H3Klqi3c7XjeZI8oPM20qnYdoP2d9td5b4AG35vDPhWBP6TtxQ 02y93EkKK9ubR1Iyq1jQQ9y14RxJt3l0zqRut9t7vI2qM2PJxJxEJus/Bvo7W24CE4Kc7ZxHyClh 13zSkZGouJBvmYScss87D65JEA+aOdSn4GAYrVS27AR37p93GlwzJY5njgimK164fSfBsfrPeFtI B2287cc2e2C222DAp932Hm+jSsLyCFjENthCGvVs/YZiBErkc5w7ibIMNLo8IaBZWktFgDqW7ww4 rqMiWcbqg3f4G8lzwCOPzzsBDpHo+AWBADV3Zi9IvtPfEFrJkKC2C85aXkjqBiltFLFMViN5Z78x lGW+JsWc9SjmTJIwKJCEPhLQD5RGy6hFjiRy4RX0QoD/eSe/WZMMYeQzKG0reQs4ek7O8nnnvln5 /Zki1NbBGPcpiqJttK1gjO4Vklr61WRs68Ih6eWsKYpxImA+5AlpeA2aIgCz02K/EXTAjCUpgaZz ADUoN0PABUeeOv1FrxvNEZ0/wWWyQQHSOR+M590mHw92WvKdxpOnJDEUbY6iWBuYbmS26epWkk/L Fknel24KLVzttHfSW3PzeJzPPtgySpDCp2guWrXAVxtDBznl2ZNoKN8Tu9nbRkkbKiMD+Z4NAos0 0fJYhyqfd77b/zuw0cdsBENqiqJAG5N/eTY8jPp05jJSUkezbwKPOj8pSmy5xUa+g03R+bVZDEAR 6expOFhzp1UUhBB0Z8gfX+/4pNcNshGZoiaadjTkBGJdTdJWCYI8+dTnnerWHgykTRKMNtftj7wz 3fjLUKdjrItlzxZPoqNIZxlMUpOsPNZRVNeGotKZDsSCNtgkgTGHvix2Foq31axmAali27LcA7uT s/zOcmvu2Jh3vYNOo3PesQ1/DuFRPu8cNwYnHhxlY+j8tHT7te8ctybdnys2IYWOdLca9aHIcZtp m/TpO8XN0oTzh7d+B7/49wQCRusojU6jkX3e7XwncAVQPq23P8Jc5J3g1mQrfkLHTb4yBxVIzsez Q0ec1+ed34YFkeb3rZbhrNHvHEVhNtooSGrd8rtWgdiY1A7TSj9JbW8m9v3Obrsd2zFp/sXBk9q5 IK5Ea7QGKaFF24YGj1nQAM+fU1ggGQ/k+J3dxrRgE7ZGCAkHNdrOcmzsf9Y1+ZLBXnuaSJyk8XOi 7HtmR2F2neLUzFv5115Tpa14VZtpuiYprGpLGrYSoNVJOfmZRPmf6BMyeSRsAXJKZtumdfpC2bYo qe0P2Pid14ail5CP0udAcCoGIHNmykH84OT7vPPaeI3Xr1wErPNZ5r38ozBbdO7Ri2e1Rfw/+sft 7AK6kM2zyz8sa2euJCFtOPhuK9A+sm4kJn5bNxKLOEFohTAAt2zA2ft5J7XdItLcWy4pDUhGzRKg fiwindPv805qYxPK9xt1hnFxjOlNpHdKG8vp1OuippHMiEtzv4ZMh3Mi1yvuHM1SVNiGBDgAQbK2 ZhVfs4RbbOyqBklOJ+IeJEluBKQFEvBEBthakjjAO6GtuVEKuTk2WBeptqYi0vmMzzufDS+Z13c2 ArXLvHy/vdPZ8KUgOp2LkOUiCTASU5OU1krf7MR4UFCgrv47m40BwOCJzQIJBy+QnGN1cGPRTP7M GDHrHdWqDSh2yVf7TaYhn3c2GyHDU93apqH6RX4+raozVOfnnczGTlv9WkhQJfiZ+HFskkIYSZEO zlxOjsRdDNo2dKPoQEihLqjjVKykdy4b01qaESEytMEmaQFJ0gv1z8HyKGgQoxqZwRXB/oXWhYPW KT/1TmW7IUBpUvduzLHJsIUsv4xk0vq8E9kYAvzCHUNauF7c8TuPDQtpUi1hLZPvLJQC50LqpgxA Of1B4lHnJ72fSY2ttmIKL/1L+3xCLawzrT03OCAlKNnKFKLQMAZmPDdr63rf4OSuVA+sdflgyNFS xa4Cz/zzzmJrD8UdTUWc3OjbAHhos/Qeb7tW9ZDsDd2bdzGn3fOHFvWU6jmShlWR3klszTUTumKA /hUDgHgMeVC6WJwdstFePe+3eXIDNAApXY8BiGT6vLPYWCsjtb0rmuwWTdaEgqQs0k8+/nknsTGE SN9nEmxHIaRikxQWtjvZM/PmbXUur0cu2hSo1IbyKA/udwpbc1/r1eodrEJyopgKFQkmknCZL0zt Etq8o9D9G/bBym1VInlnsDG35XYDB9EGRdwZHt5ifrT2eSewET343W1bMEQczbtt7/w1Xm5NOH/v /rfOhUQWDVqHdrnlbijkd/oaVzIjbYnAzkcEdpxTBnR1tmwqth7zb9zZoNEAvzUve41GMJ938hqm iCfSaIQ2cNAUgREuP8K+x+edutZM7+BB+k862N+9FoJIunDIzUPJ0bI1kXqdyRuSow9jirwT11qy KAmnsQ82RTDIgrfOYLPo/AJdGm8AeaGg+IMg2KNtraKoqA1LEGgaKAhYHm13pExFFZJWP++kNR5n 30htHIOjXljbO2XN4OGYl+mVf4DELZCE4JizIfYw5N87Y+2m/5tVJA2W2TbQu1CeRS3yzHtfLFei VwLF7fmDMqV32XADf94Ja0y7iB0BZcsGW0WAepkw9fy889X+H2ALtcO+tmdtLYq16cF8pjKbHnyV cD2SfzRHqjJbMP+rKiQtRGtT7XVW6ipy8MMIh2gBFgCV8bJoarxTxtYgK6vP62pJSxx8R0DI2rzz KxNbDgbXguyyuiQ54/EghvwNjsRNm5sH2i0MtDOV2LOLTtbypcIlNRdAkQtlg7mKoop2IlltSqST g08RWBEVhfKG0uM+v7+8dlCdrJSiRy3SWtraaSGChOtnTBoSclBJOw8ZlrJOhceDvn/+rvqDvzPS 8DutR3E2M3CQ7UzCtIw6p2P+WWB2uXyrRfb3OBuFvoniEYuxGmyn7XPTnyARfhCkaS0TAmBPggXY 4WAtMxXoMe+RO21QpoyDlhFw8mwegaCFxyMc8ncESY9f6mtoiqIom6WnmgQVYRVgWB8JFbDiZTZo +dkURQASQGbP3DBE0mAl7ZNxnit50o0CvMqWZfiBe7pOCpX1flP/ZK8bpCLSeK1cTRw0R3kys6EC 18Tj/4WchdSCBmo2R2GUnVV/nM6t2TPbtQ85DAuNGmJJ3Wk9KmkrfhyKkDh4TrvOGcNtj/hxZUBu KaCIJCRTRZmuZVawJXykR1H2lmVVVVsbpQ0G2jWtc7sM/eWt4PmAAzm/QTbqzozuZe0esiDZ9q+K FecjqrFQKqYFAZIRECKTkpEehdlzimTM44aDT9NJiSeuM+JHKnD2hVgkJIkn6sJp1C6obTOt7VGY XXhsj8Ju7Sg08mR7pPXERmQ/OSEeD47tlL8uf+Frq2e1PaJCjqJzyEAjUJEisoay70KVEEAKHDpz kR6G2VpLU93/2W58hN95oVsrqeDdwA07FzeW+0Ih9Jy57WpI8WrrUWF7iUCCnJkak1vCI+c+BmmF eO2TsuH5YJLmRf4lrrtfyP8eBtpETUGV7M7S3XGUgtQstU4ocucnRUuJF/9Md/CqLRRMVyfK5nxT zxDQBBQZfsA0I6vlSgVParv2CK+NYhbMlzZ5kdQKcvUDarBBWLNuPP9fNhzbSGt6qN3D0jaP7kyZ sf/JVDJHCQTS+B2pOgXzT+ghvDaO7rC0zTjyzELxwaFIYEj0mgWzAaA6AZc4GeVndANp13jJSFPv G5WRBNiWY1nl3azFhEYSwf8ncC34gOD0Ht83HMJd6DL4NL2H28hI2JCkNhLhyGRvoqSExhh9BhFL AgnyU7njonB7M+1viaAkDl5sO78yFGsapTQwbfRmzNghG+0vupu7cQdFOHtU3K7y/x6Ut+NgiC3o MBOxdf4LPP4vnA0bABDEX/necBFkuwvnskz0AEoRbVodSar1Eo4abGxjKUUQkr5E2ZL/92ArvQrW Xiscxlnb7qwmTs4Rpe2oyaINN72OVPEtf8La5tg+WIUEfSU5Ty0+/l/wkVkb9rb/exhyY3sV4WJU baOwHOtIVegtVCRh+WmzFNW2lwSnq2LFekWjzjZAbRM4LZDmTlyE+3pBmXuD7QJRboqrqSQ5yCHt EYykU10L8aoParidcLKzTQI+OB6PltJvaDs9hW5xe0RBN+r2mRB9rwDM7Wd3XZeJPGEdzIhyRMXt yfMI8+GDQ9uxzRJ82xqLLamIkwuqdUcDnmVbsUgkyz2ioBvSPSj8WxvAKwCzpywbrnO2ZTweBN3j G9neIIUPzXOboijoJh0JQjXD0P9FCDeg/1tzOY0OrWljs4el7WmNtuWDX2+V+gjUJu2wSulkSDIl WDieMlA6Lj+GnA9fEvHZRY6RYyVIg8x/TnYLOBu+EkUePP+v9jY90k+QM9ut/48YtC3I1so2SVAp skhpuIFsxUVpjPYR1bZJCMkJUTMGRmwDmQmW0qK8Psok0FIZFp+zzzrQnL5uAThy8B0BhpSTUyfL /3Va+R8STtZUgrEhHv9Xj0T6bOWnPai2EUXcjao+c7ujQpkzucL0qG070LYW32pRxK0D6WTKQ0Oy KTrvDswZzCZQJjmhuLC4BT0h2Aj8gBri9z80oPAdf5GR8WY+qAQwQZfWzTY2Ho+Oo+8yCSa1g/ti UxTG21SO7Oip6jTqu/kUZTfXrZCOtLL2iOJtKUfApMQHO42ANweRrapkS01glGzREx70AUM+Yzzb SVLbiMramV4KkDLhUM2KBMLqW3XtkUvG8/9itSneBn+03VByRPE27nVASF1Fq9ApSntt5bvXEOjY LEXx9iIPqW+uEg6eup19dwIYkpFBIT0JYSE/EvKfuLRRIbKFtMn8HyFeW1XblO5gt3/lLY4iwJh4 /J9oG4SRyG6hb2lT9B5tF5q5osiWXQKp0H3QzuxkrLae1dg+YeSI8NqFPCxS+WzwhQS0EMX0mbjN LrQywO2Qaxw0sb1o7aq3jRptIEXMxVh7LiKOcRydY0rWSMxtRxhpLy+3CX2C2n9yyNaICtuUUnfn EqnWNcPaQJHnErZ6NSDyiCLtyQLJnDRknsRZnP8Stf/VYdhZxI04QfemNkAmmAMtjkUcsqYIixrf EUVHjZi/xvSfYvdd5xFuTmIeSup4Pmqz/Wr9I3wErcnmKIq0zd3FFVkoFZU8Oup5+xwlbyGNMNKW ndki85GDw/4nfHPR9ITSPWw6ErMRdo9Y+IeyrW81hpAjDLRxHjXy4aCDCERoUkW7TkErflCcxAcE BYDf+QjCLOw4m6Uw0pbFhJj/PI9ozMfzaBYjluLQHUZFGmFxOxMaOmVqNp+cLcGKYwCcDBTsuU4G zYUTikgbsSn/Y2sAbJ3aYXGbbe3d5CGI/gSbR+iad/Ejx0mT8fx/6QAAPz/nbbXNsLpdiSKZZl9m 1kpCkZC8qHpbx/ZlkWSGon6JgbZQW6teWS1o1p4UpNNOCdCmmWhMxVoJmGmGaR8Pz3aGIBI6wfZG wG13k8ICFcXc5QRbKh4Ppqh9Y9oXiFpj+W6bUaAtwVHIXTGDRS5C4AhLJKOqIlBR48jCkMywuk3p iJHYJOJg91oDmhreFbzXMqIg7LZGRE+itHnpT+UWfze+5a+8tgL7aoNutpPU5K6udi94/L8cSfRl ypcdOUMlkqICyT2SFvIog2ylC9nKyfkjM2ZHKq2dxYfL+5v41C29FvwiSdpRqFJlHCkFltqOtKE6 woxCbUIk20RH2AZVSIB9GQKRnOR/RqE2iVcP9P88MVv1iuSMQm1SmAvoeJfTNovBI1KexvuDRYnh 2mZY3F6629odLoaE8EIRts8nIYTU3TbhHMYqyuNquvS2EamdNbbOzcZBuGLodzFhO0fVwuORhuYv LjLF3q/uz4xC7UpthHYT/zpntnU0rJRLb5JrkDCjUHtUWcHv7YMd2uf8gUZ0lgsezG5aplNnx5mA rb2pWysRZGK2Z0iNhDBHL4IjlweOnFsSTxIKOng86Ej+ytgAMx6PEOKMC9uEbNfr+rrUzVagXd2X ug33b5kRgqQzNhrY5DbYMjqnzfnfYOMMks2JHU+O7Gq1J7Q5hxMSdQuRaD05o0C7qghZVJIsVhyB CLgELs8yGgOPR2W23/VaBNrjTlGEIKEiYTFvQB7f+dL+y57eITlJoyn6zqisvbKSkDV9sCmawNTu SufuE0Sc31wgGxgEQnzpBzpDl81W9LbBKqJGeK3k+pF3XFUawSUhHZ/BV4zyNQ+0+RjkGVe911pI i2QQ2SmYoylCk8+mSArtbI/A0k07LVT7K5KzUUNyPQ3Jk21OyO2SO1qhEc5ZTHAOReeNAe8vEbIZ VbSLzCKmCKTT8/4JBLNAf2e54vFgFf1S2ECXl9bxNkURgmRSTCYrziblfxdnjpbheX9boAppiqI4 e4hXKzjbeOBsvSRoeReZ3p3QmFLHEI+AdkMBULSX8RS0k143gCFTCbFS5x8aa0sIR/aXZcF7wrKK 5yMk0vdpVDMStov5myErslE9olzMH8xofpxemw2s1aAiZlstVCGZokNyknZ+MH8nNKIH7iCBBNQ4 RuIZvyPRGLn2K9VCnyN8yV/R0ZmS7IOgSKk1udVBLw+PR1H2r4WEoma5gPYVRdmwH6P+unP+0mzD 9loq1RO21ZuVRlaEIUEN/6ygQrQWB1tI5+Q5v39ja4RUFajjop+ERAnJf+M6Wg/ff4UYErFqYUBu g6ZolHPr8uvON+DxSPDn++JHmXjki9ZaYTm7mu2Im5Klm/e3VU0qqdbZHfO/wiib9Vfgpnzwi/8k DKCOLmkiLIh5QMoOEimD0Q54DIZEAuoA3xHJ/akr0ujQDbMS1Vch30S22TnaasHzEaLtV/no/Kgs X9kchQraxEXuVr0rsnt1XsQe6ZEgqRYcrRBDovLR3KqNsODV2BU5U1QbndIaADs7s6gLXg9X2Q8B Nx4bnZnEdwTxI88hJGc+CGaDxm8R6G/x8SjE/u6vAd/VcITYFIW0SFG1hnknA4F8K2xjtmZdEQDT dBqtEKbdjWAzffCLv44z3ZVQJBT4e2afkjCSvunJ8qj9EdC24mo23Tcl5E9lRelh9LPi5cUJWxQ8 HyW038soF7J1bndthVLaOo7ybNaDnHV5D7JVQyNDW6NadLRiWiSjo8wCCQfvQcJHjRp/DXf/GpKN QGPyZxGv1Z9EhIqM+JKgyDYNNsrwCPFFVfkoNYoaY7PNjOf/Wc/+EcJ21meSoig7sVELLxCLskut 3jra8xbZGBJqkkIBkkHE4x7FBy8fbVQVULuDG0FFgy3J4n2xUTtogOyedolB5IrwI0TZwBCt+OAZ bSYzGEuXLxlp1n9rsqNo2kGJskkKkdok2C4aLAsXsS7pr6RrWgMwmk1SGGdLTDtTNqLnRzaiVBTx RhIuAkwwgGvQWzjTCaBDhtiw1Y/Av+frRnNk53XVUHS2tDxkJ4FuVMPjEeHfA21Kf6BTOnu+KymK tIERg70ILaH4j5UkSXjZ0RqIwAgwtbpNUojVlpTNZoTEwVbS2UjwD+xsjCyaS9NuE2qjGWplENd4 0CPT3jcKkUjSRt8SAxhb/GvRAmSIg4IwHg8O7v293dAeO0n0XUkhM3KzauTyhwD+be+xrZLc6BYx kt1tcU2bYkhFNe1ya9q0gT7fsHG3QWZjD/rdJew6CP4SSuz80QKVMX5LgNderEEW9mo5qDrCvpRE kU7OtqJYW0hBb2c3yr7dau16j7WLVJFhwHLFbGXIpkZkNSkbKJCYTuuKFf8EQ6IwBAefJEpHDZpL n6AeRYRBiyiYuIHqADLajZKGvW7UQELFf20J/m77korEf0iCNM+Kx9/naF1NJEYLyIj6lfvZUaw9 qIlc9sOMaM2ZEdOt7IAXn9bP3iEvUqWjxgiIw4Vq5d5hpyHKH/4YJG1jseiOBQ8dA3NsZUV7R7G2 ybMBFmyDlhGJ8AS2nVQcjwdFtvYNHaksIF0F8v0ea0PbhU41y/wzMpRCXYJkV5ORgHblcpH2WIKE m0zYPw7ePwIypVSKIkI2GKqYWEbkLGHx0XjDbzbq/O8Qr13pZpsJ/eOgqn86SQ+3Spsnrd3vsXZS 9/4pj4AYLXs/zlGI1zZ4jRGywJLILvYzp2UpsKzfttV2jNeWTYT8WPrjx3J+4LPdhg7tk5YAo030 KM5fXhrI766jrb1uMEfd8tnpg3AR5welf+JZG33j8UiDxOeI9NqzUxvcs2yOQk7kkAzySj8u09KK H0dpVoeOlGGCSDuEjojNLi4fB5ujfo7FDnUtxpEZhCdCkADIXPDKoU2dd4+mvW7AQjJabe0+GCqy w7AA37exjiLsSLvaEZgPKIq2eXXsdowdISqSzWDRR+Z1Ha1UZRJRCyQizVGI1ea9BnE5H6w8coIl 9B6JQDrn0aJGL1N/MDdRyyYLSWU2tGLwHVFCQmtWwWsSe+y8sCekX3mtQasbz0daf79cNRhIzLuO olAb7D7WjYrN0d7ZHRGSOrWVzlCQHecchcgRCdmChmmDzxFEL2edgiABXMUVB7kweOsQ6HCB2sve NrL2kfbIqj5oqyX4TVHup+LIDq1rkuds9CI/B+9K1W/+HeG0M/2Qa3XhCODWfYpYuxBTq+57HEXI ERMfL734YEf2ghlngcbdiWEK0p2ClI2GQoAR/ex8yWxwRuLbRsRaymmicGqD0T97G7KMyIuPBwlb /9bWwOro7TK0d4gcaTThkGsmWUhtJNtpfTfzY60QChffb4fIEfpnTiVs80nYxgkeZyf4C3LIyGq2 +bmff4OeLf4nE9WkETG+I4gfzd+nJx+0ikZN8o4+H7PxeJCKjF9FNkx0uuCaHRa0U3GI9vWvUZhU gPDaTtSiAAenKIZoE8GWJXbktuC41NqGX+lQHTLhgpQ82/nOhpR2feFG9tTbRjr/TYiI7YPR/UqV HhzgBHg8ymjX13kNuYqVnvM6KmdjzcDAJrvI3+5GQ0bLp7qlBhzobaNFqJHJRjZiXR+8NkI8fGa3 v5DFTOQxcKrwdD7/TJFfIY9Zqt2h8kiRdB0l2rMcInClncttJ8NfDDweJbS/6H6QHC83whZI5/Vb J5u0wrBvKmoudzqc2Gk0Z+9rEqPV9VFRAJlFF2k+6K3rggNonlTzPdOu0B2sEWgrZdTMK6gy8NvE Slrb3jhyG5PPoRT6F+IGia2BhcfuOcgE/ID/Ij06QHNF795nKhT7S0uO7EP6I2BGLsOOJHkgYTWR 72szFYbayv2bVKPb/kJpg5U1ya8ZJ1VucIU6CxgY/71Bf2i0YJXeD0NtKm6E6A822LoPXFBsIbGe CKw0n48Sku9zCYK0wGQ+S+o93IZvRCM0ojqlzfYaS9vc+vQWJUlPnggpRGpLhHxIGnnsp9wGLlVW agv2SCLPH0V82NeBZ3g+7stfNNsbR3gtZbWFNVddklxSVW0YEtUWPyCIlfI3hgTklQH+h89UVOFm OgPCgklsYrN7o2TubAgJQNOyz1ToFEluJBjaPvjmA+oiJ/LaYOcM/UWoIxWkCQl5I9Sfn9ZtsTf+ QyDhxKS9+WBAkjTo5gj+7ODz/0K24UnspSH/Y01UFHkDdQR1TWsjAeXGNhLKbk1uEmwFLC/g5hSV uYeQyGnewetuZ5nMUiRsMwHUQ4tkcx1lENKIWvWSEkHQ/JoAk0zyNsJIH1QvOZuqU4+0bthIpBBO cgnunZsP6KBcns0XlrqHzP54pfHB1gzhdqJFFD2pAVhAzy9yJIsC8EFa9sjEJ3HwrslZQmVXAtzh 4JHh+AqBO2xTKjGhneS1t7TthSMc4KKMJJPe5vas8CMttJkHC0DPB/61+frXynhi/8zWnr0XlrsZ HNTU1XVDXle8cbJqk0EJiLez+XEeheHYBih0M1XjYEuqQVViZGpKdLC5kffBuw2/Q9pgX8jfThdf bvbGke4mz/PE1DclK1NCNaxOWR2c2ebzEavU773BJQX5+PnlcRfqkzRNT8o+UyIqiaI8p89Uct+N nMKiN0EjtXhZ96pKAawFRiPNJHdbyGFQz6US4cmJsee3Z74UdtXXRExuupPBUpmDaW+hWD54ZEE7 n8//ywqADZRztE7QyH2iopAcvVpECC7h7oIlg1K3VlmBSomLSucUyZRUFSq3Oin7obqdsAq+CImN 7wEJpSWaMqIiBFTA+FxQ4LT3jTQ4eDhlAt85CPE2T1CoNnJpg88HW+/K3eB3pDb7WZXP1ovicnPX bjcBzuXWLFUT5DxlNvs0T2HtW5FBk812G9d/Y5xwae5JlxIU0cgOhMI0+qrQC8nl1r5LrsVeOFIF ZrkSAmw22ETB65oNlcGIM/S7uV1LtVwIxrwRZ+guOZf1BdzMhR0TsylpMz9ZsPvdBfaSoqPBYHvf 4eJxch+sRUCrFIUVurhuKCYS5k+bGzdzodF2DuwlndHd5HfLwWoFE9wqfGEak8//y2yb+46Od+l6 cIUGk9TjKLObyA1NuJrleY0FabPbLr7vAodJgEAlVc7WJQfPvKgr3QXuOksqyYsKaEFUQAatwV30 jj4lOTaYxBHc9tjTB03TOMcxJZDaKpXPB4F5/l5OaH6cDPEeT4HDJFaxVBP7Lc0xXaKIUqLnLkMD iNaoYpBDi8ll+fC6g9fmztLq6HNQ/gYd36p8GNh8qLvjJ/ZpKs3e9w9xQKifbw1GoRxov26J/dfB 5yPX2++GCvDkaBPeaYoq4XBkzpX4RmlN1eUiQcOolZUt3uWnU2gzCZHUDcnf4YOtphMAoDAroila NoOoi4Z+HLqOP4ve29LjGtneN9p0OL5Xo+0NB66ms/D7lJnS+TA+H2y6dXu87OMhdmr7WU6hQOCk mNLoLqZ0Aluv0U26RXI5UQDd5imkUtJFiUQeG/x0wm06K2UU64kk4cDCcjjVlNDtG/myBCWnlEOv yUX5+/O+Nti6OCnNiZaFGBibzwd93vULU4nec6nPeopUubvElEdyo+nlGl1AZpOsQ9EpLldtuxB9 whLdSuMOPk8D/nSDxcyKTmxh8Txh7QzIGQhVaUAmhQWB2yTq01ItJ6wyP7DKc9bJKq2dD+Lz/8X/ Bg2o89M9LsFRWXzSSWlutwkERSBZmDnS6oY/YatTYWb+G+iNY9qHp9s72JBlMnwWU+dtB8o00HCQ koX+odXrVIjKoTT3oI4CpYI1KBcGXpfFL3wHnw+O8fKLVUE5hXT9XUPLSf2cAPQJ8VXlX0tY3JRi 0MZtlNb2eYpMcAQdbGnc4QJ1TshEyQbKT3XUfpHhAXELmByyh359uWu1F/5rPQ12tDUoeoL8t/yU z6XE5yOZl6v1RmG4jVbL14IKK+RABZw8IvuCWtUqBeeecqOOClUbz1sC40mhhUCqZKOFg+UtsxX4 yEpW4WzPBi/6RZcXWiqdL8glXbBOb/bGkSK+FJXb9MFyYajabk1a4fORZfB3PwopVF/rOaFi2HdX nLl859FIhDuvVyvj4UbsXrCL3SdJim+DO4+Dr6gGqxpQBAn9gr5WdkHcTfkgACh/Jy6h/aQZ4Wzq K+1tfKBzjw6gv7iZZuPzQeJSfmEt4UYGaSafqNCBkgxBEPydrdPnXp4Jz2FsnTrTcpfO0ILSCPG5 3sFBO7jHSEBEzaAOaiqCm4oCZz+/y7w63YUayfySv9yVYY/CYUnRrOKGKMxbYEvN5yMJQT+huHTU vHmczMNKORucwHqZUve5RKxSPhpzeRJRocFkpZXQhdIA4CoA968CMGzMZ0WfDnrm4/zyrJSPfR5Y kPWb6cmD5dMdmlBmJmTn16w+OMtyLGlRnhubzwdFzasiKIF4NBXyM02hoAlWPVrlqmTS3CRbUVNe Gv9D4SJIltlqCuNx5r+Ae/lwV9PZEQVwB6AJ4GCbE7nf6Aom4KIJj7fzicuQXxPV6oRM4YHecdSp vQnaDJU0TyKyNj8gCsnvlUesL0Ay82kpBFaUiQqGtDUthnKuUutksU79YGq/Cy3PqYotcjhVQ1M1 7lRBh3rA11S9l3N3s8OJT6e0E0jckKG9l962Nw6QBezmoSShoTuDomQFUUi8+XxE4PleU9AGhvbz najQJacIfpEsKD+Rl7c8G2IfS4XP32dawjnwozx/AQE8rCTb4OXfLv864i8GlgELxbSEBWfiJ+Nf eJ2cKvk5NqTMjDIFL8kdcb2UKU4mvlkfPInW5Af8q6NQLDjo7el7hp6UjYqCm9wQ1lYoqWX83XFt qQYQAzZRMVBF8AuW7Djc/jnaRo2+VAjLe1JtBfU/sHtRLL/wy1ztfaOaAfWExtg+qGZwsrwhMdhe G58P1lP5FswFav+Le5lDV0pJnZ6Q2WlzMxlVteCMqw4vhO65TVNsAq/Syr7DLUGts8cKlRcybAoa NZob7m9cgAiArurSmva+ERSDIh6L4gIcjFsI9/iuadp8/r+YChVowa7HvTv0pRQybK7qFShykg2v stpw9BzaGzZNsYY3MQaVe4rDJameaHmiIQxdgZOtZDp4TNx4kz4/Op4at53NUwhZIZMXSsc/puLN E2XgbOeZDq8ePh9EBr8U8zY1cy93LofWlGi+Mby86sIrdc+FWYBWLjyKgVZy4E2Jliu7U3XdwUtQ CYceiNVwzIFdS56UX8LvCBA9oAwG7JEYXA69KWVKmbSc0l1ObdVE3ChQz5nPB4fTDcipnAsQ7qpX xyuH9pQwbQV0bvg87daLraddrpBXo1Co5ulvJmbLLGy2fGWqGox/Ji858AzSCTAJoQdSAIZ55/Ow Y1BdJK2n2AtHEHrVDHrhAN1iVX7PqcoQ6uzrzuejym/6ylyAD2HZ2+Yp9KhEngI4b3OLahhv6Xg6 F4id7XVAnsz23R8mlaxlpjZ98FO8QzE1swZV6H/ShXPpQwaIda3bPidCPIculVnnEoraNniBfFXe 6SqQBzaV/1fTGy3MWfYzTVFAvsl/Wv16C5+oxgvkq3qBHHQxv+wCo8pMv2voC3AOOPjxJEFS6Z6c 2Z+oMy/4xUFMEw1PYEIvzKDbC0c0McrCKzjgoBLUSbYrEYjnZ9h8PsKupu+IHJhQ/Jk+TyFQvJBB 12mXK+fc4SBoaw83ctWql6BCs0od44W3vAZHrizQMDIbCQNkaJRdqDPU6IV0vitvl9BDLcneOLIa WA5ZsYYn60Zk91SWbk/GlfkBkfjZL+MT+B1BZcxnKuRmNtZW0rryJ6APWvjUTV8fRarlcWbsWcme cBHErsxroAs1J7gCkuOLysrEjwGfUNSzEkrlWd2E+tU9D1wr3R0+4zzDABZTUjK8RiLWF0kkPyAo GqRv5woiGZGa+0yFEXlb6iG4Ckqdrs1wArfmhoO05FHqEhhX+kw14TLauKFB63uC48QmHu7hhV4s LFJQjUGzvkBXwpH1edkLR7AxybsQuVIWcH8EQ50TsOrPPAfX5AdETNbvJUXzovpE5IF7pZRX0dpe zZArnXggpcP9Qa6U5jleaF/ZTZiZWEwOdkihiNVmk8jXuYjzpsEX5ZrQoIHmG2bJ+D6p2xtHlx5x v2h72JAsJMhVBpYdhc3AwTKLAnZPKcRiHZAIn6i4UN6eQjlZG2whS1Yv2TpDsJm7n1IRcqVRWIB9 XhscDAWFj1GUuoCdAyQD2ueoVxG2gP/t8lm6vfBf4oNFZaize01ZryZI6xH9exLvyQ/4l2kMlx/Q euVJhgMbSyuw13NeuIP1EKZuyjL26hCAOGATFQNXSI4abD9x8CgKi6rgJiYeA3ZNPM4LrpKEvUQY k6vr72VvHOy9rF6CKm1jOhMRjOVi/nGdz0fy+r9odgR2POFmaGVJKdWaJDdIlOt0IetzxpWnEpWb T1QYllOGqInZ0h5mS4fDJ3SIeEYtdOtqEq0V9x6dtPa4DoRblfLQzLJz68EGygdhoYbY/CgErMXn g3jzAjZ5SWbajtb9hAghphxYVQAlktc2U3O8dFGYCUFFsOOtthkaWnbKoqXCECGVGyLUk9+jFEL9 jzNR5y9CpgfnNIRxqAVVWO54m6ove+MoRODFVwQvL3a/nsQSXBN2fNOefD6IEH5LWsNCZs/bHg49 LdFmhddO8/bwLMNTGHFseZwnikIxQghMLaEnguNpT4KhOGiiTg4M9GeHFFrLJ4nJwgERbNKo4o4F wIyYFHy9718ZDJI8Ddm6w8DW1QdtELhafqd6KvRBuekJOUNXSzIbTjZdihfs5rW13mN7Rryg3K9M L7S1XMZxkZXcvpXNc4zAiSTTjqCCw7doM5eI/wJwLCNVskxvKI4KfC21wWnXwKFQ3kClkjPZjKw7 ZJtz6GyZrlCaYvMMB7BbOgitLeHjijOqXvTKSF5i2as2L9gR3a+JilmcWE/DFNP6unCDc4CnQqEj sO82JHd5LwN/19EebpQAFWYsFXvfCJYhW4uuOjBK4vzTJhAfRB2f71r8gKiZ953D4CyHVc6dpygy RziMeNNBY7jfjOw6Fq215NvYSvd9F0bmwhlkmcrnh6VYWSWYLEWhwAXIE4J3sDg6dab67eXJmygH 5paJAiYgFkJ+R4Mqb7sPAedrBhgqdLfM/Zsa1Eh6zM9yihDlQLics7Su2/Pc2Vp5J9+0Bh982roH UbG/JSlmdVEKtK4rBdrAFYFGrsLys0GE1YTm4g+9efMeF70y1UUPDS43912fXFbdjWtoMZaXMIiz 8vlgOV3BVC7DhvznqdiFFpfVYNJXy6EyjOA85XI1nAduXUXlgcclWC8EaSZxOlJz8C9UiXFEsUkF DCuCT4o5wIV+E5UxxoNe2fbCEY0KsdNgZbNhbn6WTGVmmoLunhCt8QMCy/T9PVGw2p75ihXlwOgy s/LMuq8T8Sety2RRVHuzqBxeT76goqgcoBTopZKXx8FpnWetAM+P82mce3mhr7TgBgrPlEGpy6ft Kdf0HJhd4uohDJG1ljMAxqKbq0/2JM+fjNJB6Hb5hYciixos87WeTC80vMQXMw82glAVBUrCTsPb 6IClOpsjcLzEacdq+SSulYMh7E7IlBpI/ybCXxJPMZZZRmOZY9+JEj0osLwUo556l8UHHVHgQciy aoMdFHhe/l+8Zh5Q4YCwlObp8xpBdRDOUGOpCg249apLhA3lpkQbrFotKn+Nn7rLOY86sw+eDsOC FXUWYg3G+e+wnjZwFDBUENPycqjwsq/RU5e0J3wuKJ7OwfonadPfiKpIn9fYqUNfVhM0LcTMkFFr /Bfnd4mmZ1B1f7pHUS2UeWIkDsCS+HiddnHacMH0iEXdh6xTxuN2NfYEtWVRZObcQBUy5MAYnIPs JOHnbRsWkIwcls6l1/kBl4YsF4RLNmh+CuIynt5n535eQ6aOWKLa/AzdjcTr2/y8BkznoT7k5Nim 7bLU3C/lxMLLPRwmiiWcn9dwCcuHbEWyEm1wCjUgVb3RVbae869TKz1VCCLCq+gHQDsLKwk1/rwG Sx1HCZbPpEGRBvVZ0BfliVv7bp/XSAmTu7+XD5CObTZfPq9xUr/mTaN9CTg7uB6agg6uJ2wE0/Ma JXWXcZzK5jj49JxzQ4EYHS42miw4ghDWDKxemLP49PAoeI2RupsSsXCIAaYbpgbaFsOWH3ThP68h Ujcjxjs/gAjW0nz5vAZI3ZWJixgsTQQgh/cO2adI7MLJ06/hUU8EtWFRcH44+LWPCAJdWqS5J/zB /wdasKrwVEtDUmb7a1My7TU6wvmTpQaWqg9WihuZgpNqj7/GRv2xAbXzB6HouOfPa2R0HoJ0I6pL 0wPtNHN1tk9xg/Q2p5vtvMZF3SUK/r/w3okaTgY5GgvgC9BzoHZQrZwEF6IJ3nK7vUxWK1/Dog5u EktKixRXs46hfhOOUPGCV/m8xkTd/Bc4H5rLqQ6hJug1IsKpTsndSmw1K99lzmb3F1auVb4brBxk bRFNUDfaffPB7q91Vg9suahMuFgKoAooHlgAC2Xg0H2LMWF7DYawxYrcP3gQnSAEwCje7AsKPNxi 57r8vMZCPMB8i2G5wKrKKpSYoNc4CCd0ZTec3QeFjDu5M1qb80rJbkfyvkZBPKGT6rfFB5ugc22h yE1O6wAGItOKCCUBBIygFUOgx0Prprd9v8ImfVE7wMY2qOSWBgRYf9gSyZ/XGIivmL+2GAzPDJpK uchgglAMRCBdHDo/6xXcOR/hOT/sIk0jLTijadecWpIfQbo9JpA1G02tOUGDroqIqGGXkc8MVfQ9 Ln530RzltSaJCYKoJcKNzIG9cpRNm3jJiKchABpMUF/fZxAKV3MR5ExdjWCCWFw8Wdh03Ekfw4oi o0q7GRPUy1XbiQ5pQcDzKj5c3O45F8Ym2GsuwAQam3AokgDPkBltXVQcjZpeK5F42yQYBYOgRLUt HtJAo64LR32tQ/ZHRFZPYS380J/NosQoihbHnv6nOqbhOW1TtLMBds7hB0MDIxtGc2Rmzbv74HEi Ob5jqVmCAHEzz0fnLSeEawX8+1/q6O8cOqyiIUf0dQeVa9cJIXq3OtXnnUKHfTa/9xlgPp29Tk1S FEunRHx8734SNcqy86jmj+yKjeNynqJJkt5Okyhxu5N0foaTb0DQEjtt9oQkk5QCxA8JgBcraldl +YKsh6c1q7SNVB4OOotAeTEAeOXjUTz9HRCdmYV5rAdE7/w53GeLKIo2PGJkUdYjomSiRPhmn6Qo ot6MqGeiZgMHn6Szfzu1epHmn8MOYCEYEUy6k59/Rs3fAqIhsG4wRb0J+52TD5qifm6DpTRr8/Eg JLLqo+3RRZ9mP6/fuXP3wF59Jp+ieg0I+7Z/e6aojeqwgODE7rQ6A8zbBwd+nzwPqCIyxSc8hwmD 64iCThB5JqPsR7NZyO/3uBqZn1BwSl63JcagnS2V8cDk+bwz55iB/jqySVfFxaY5eg+spbMIxR0X /qzUwlbcaJQnFmj3Zau+R9ZZ9dkMzRgfrC8yzxGLshppcyfuWY2m4I1qFChmoYfifZGpumN4HFE5 fjAz62h8VkNU9i1Dn3P2oZwWzJEAV5gS9i9zJqSSyFPOUhRdE5oOLb/b5G7DgkdAjdo9kbpn9+/h tTs1rU6gIAdviyyyK5jenzsa0HjmZ5QNUHq/rqcF0cGfd84cdjTvNBB/fOAsVdBCliTASvm8U+aQ S+TvlQRDJODf7rEdRtiSSevJReSXhC2JBOjpmsetYiLy74y57iLyS6KN6xFtHCWdSe6dDjsdODX8 I5TkzkdN9uWB0zNv3UxbtHfCHDMmtiArjyQOWkrwI0yKIPP+vPPl+uON4mk+ZrzehRQF2RIbSOgz WZAtcDxAANtT2lrnQyuMomxrGyXd/+lebQCVFqh66EhCd21lasieZUA/R0bWzqGfXa/7PkeDUXaT jHzbXZQdiClv9ip+zs3Jx6Mo0q9//rcZUSRVrTlH73G27iMyUYwpB0GG5kX+Zjqg55zqt8gfBdqo c+0T8yBV02D12FIYIdE3Fr5TpbIHCRlQxMXnn/d8WkZdb/s+RQIodfHCOagTAh1gto/ge/95J8kh QjIOiu012LY35F02R1GoTVMLkPlcgojSLTZHJH/Kfrhl536FsTbrsLtyHXGwOZowHpt9y80KbWe1 1RryAJwp0Gt6qvv2utEk4U7baDXZoANp1VlJq6gESETBdjaGnF9tjbgErzhGsTZkc9Dovz5ECIw9 oRVjh+cRfF1UcvxnrD18cHR3Xuc3QKEfpljn1x9EcE1YWFJnLPNeU816s/f4To/Dsq/kWSZTIDJc wdnKtVlNZJb8eWfHWWHrq2oETesnpX0nx3Uj9pxDyBkVgKhPrzuO7prWs7n65zs3ziI8FIxG8sGT WigRr0yZfVhalsqsP1MZOAPzXsmNtAOJpcd3cpxX9k9qPzTglLSEpILd8kNfi/l5J8fh0DfY5BTo CzWyMW9G8k6Ow/o1BLz7652geg8HmEoMFPc/4Mu2lN6DbRT3OE2TzWwOzo079yKMRHH/TxQfszSH 6KPJVLaWclnzS2vpPdz2tC0tG9C54q19ZqkRbA2l/fV5Z8Zxmj1OkvEX0eae3L7z4rDhJttnfU8H lxJpwrxt1OYWe21t66S90+KYAVKReNL/hIPNEs4f4Hg4S5AvQ+0XiwkwPoA0AYJ3tS+TPnlnxXUH 4S51izgYi2kBks4dN+vnnRT3/0oA0IKYiEhtkqKAe5UtBsVFvxO/JzuCVdz6q9Jojjvu71J2xy1j gy+lc/kUhEmIktJEyISlNKDiOSmoCaaGFWpl2fTOiGPmpXtNlk3L8VonEDsxqLx26vq88+FwAy+P klxpf4wbSb7T4bCQ6DCWU/I5mqP4HJ1M5ea25OJzjqJqthSZ2lapbT+ltpP8ZwTxOpVOKAAsFZrX ZAzi/0LT8Tm6l943CJNoQIy96oMOpXQuYFl+77k+72y4LpkfTdJmsQ3Exe2h5DsZDsuP7KWVrh1B bz5JZ3Mn15MtNBTnJL2H2zi6ye6akriej2VDxR7rhYg22Hacu4jSeoSyJqThBUyga/q99L7vZ9Ia UpSlAGi+AqDo9Q/ZyIy1P+9cuG7kmnskQZO8z+wVyXcqHCepsMhWXdxLKgBKb8dy/NGkcQCPpPd4 G6Ew+469Zx8sTjrJSjoHEsX2Icc1Eg0JKAQwiUrAFvPErQy9bjRHTCPm1kDJIUwSpFc774qzMvbn nQjX5Sf9FSidGW+d/AlOUljY3mSfNvNCQaK70z2SLvu0k5nG2+094EZv3+iUxQcLlE6G1lZidAv9 f+CHsSMzXChRZ4KLzK2TkPD6eafBdelKoBhJDioHw2jlPuVwdv7p886CYyvx1xxB+aw8d1sUcMsM MNfi6s1zD5+jtL1w24h/1W57D7hRJynIRuAMbIMvJKjyZTCDQO1CUZJalx3XKmIds7OWiAB1LN8J cN0smzqLUTZ4g7/yVwYgeXze+W8IRYd3+Plq51frM/uB9E5/Q2w1qAE+LsC9Jg+S+pQlCGPJcnvY YbxNTfjUKTPKwUpJifGLlOTb+Zlhn/ZDfxAAGKnjeI8jRvifd/JbF2cUGXu9g5G6IK9Gdbhz6n/e uW9MCXyKmpopQLA1L7e9c9+6eWDX4n0RMH+2n0d9WExwQiScsJqjuLSNctvJce6gOdrI+YEUJIH5 zMKWlRp1nlpFiRMMNlBC2Okvet0/J8n8P92opsy1urTkEYV93olv3Zxgn1Z/wX+fLhQiCrYpNnty Ass+zj9OVw0YCJFtq+3ajUb5TnvjcUTfz0WbtL2Gl21PeLzSQOuNxbaFZq25Fp1fEB1QVID8yG5D bxulbZ3RI9kNHAQWQSNP/rEnxvq8M954Yn9PEYr1COB8iqJIm7IlqK9duX33Q4WxCTskhLNnBkid nxSltlg/kPTxwdP/Tf02ntgVFp1rSya1QcQLEL8M8w83jk963SAdIXurTRrHo7P5I97VBJiZhbeZ cvm8k91YJPnO/ykPuW6H5J3rxl+G59Fs1cHseTqYfUmxGWD2TqElrqOotA37M0Bv6h3sPAIma1CL HL6fJ/NMbHpDW4isnp9yT+xOzvI7z60nu9QgKOaDjiPcAkkwh1E+7yw3RCe7/pohcHdvv/ad5MY2 OG0/+etphlp2ccG8WEUqqiJZnP3OcWOagNgRKmU+2MU/ALpbg9qC5zQBnhb/CcWrJStTaP6hBglz kXeGG1Y9u5C4THxQheTcEI0tGcC8Pu8Et/6oKViFBNIq0JCyOYrC7M4qzrlCkye1rTluZCxrUSKp dU/0d3qbXRnnHB7k4q4xnyrS+QVOdMeKLWLuCmXsk69BYxg8wPPnlCvbZZDjd3obb5hE6Do9LafX hcsJUgHTxOJYky8ZZf7rK8xurKt3jyDfuW2esBX4l3qpTdZNwo40L2tv8JA1SVFZW/0R4nk4YKvR YhjYo1ZrFToUfQyQpHaHB8BqOHqU1PYHbPxObGPtfkm3hEK6oI0bBRD8H/6R51jkS0atNg8hWeaC GOistyD5Tmzr4jeCqXiJ7zW56zc0Kh3kN7NjIN95bUw42SCRSRqHi/I7JykObBQkzwUwKBsEN19g snHLAWVyPRtwU3zeaW08kygpCPQHwq6dFeugDiZ39x+4in/eaW1sQ/mGY2cOFfKx7t0WRdr4VsTZ y1QU0CR2HBLgQwZdb4hxNUtRaVtiQbAI9sGRWie/yUO9NtxBObGxnQgsK4CyQWvHF5PkAd4ZbfhV 2f0vm7F22R5rz7NPN/NSwDY/74Q2vuTv2vb5FJCODQ4Zxtqbp1Ix3wEoxELYU0YpOAyhb3YOFSBP Kaz/Tme7te3Nu02Do7XOxof7J8W6Tmh77gngSCbbI4Bil3y13/KgAcE7nQ3nA6UTyNc1T0u7yGFo QI2Ns+0+72w2Q5xasP2TqEtw9tvwMtI7m82BlOwNGzsSm4PEbTTuUS+nTtcGELBiJb2T2bgTuISk 5MnBV9I5MSaQ63L+OJcZnb8bVNc3/k/e9TYAiNz7vHPZ+CUUVWJNGoN5yJ71VzcjQfgmft6ZbCxQ fF9vuCxQ5PI5CoPtKb2Eoe4R8rcuhm1RAcLk9AsoU4gk33ls7KeSa1t5vXEwjMQ8hxusS4cwEhnB N0ptCIzOhMExZjPctrSt632Do7vS6wMuUTYYchRq1eokYbWH4XbaX0fSgoskQiebpPdwG9jRQQzw 0J3GI8K08c4bFPXgIN2QLQZ4J7F5uJ2mJAE43IP7hO24zehikU44XFhqYwErgRRQIBZvMcAg/eGd xdblKXTOokmKCAdN0nnF3qXgVurnncRGMPp3TxKJJlinPklhYZsCgi31C21L25Eky4WVTqbevIr0 TmG7qW2CnLENlpOcMBGUyobC9pmD86ex9l0AkKfIYQZu0VJblUjeGWxPatvFEenOEelnlzb5EZ3d 83knsFnv4CmRLBS4kSjaHEWFbYosnvQ5O0SizeXBZF51+t0GMWXNURhwM7UFY90Hm6MNAFqCxAVQ yL2iyo1KW0ONGnpEa1722va3/WuK2Me0wXISOPoI9bDH5526xvzruz8CZDqKyD5FMYpk60AynDbw Twb+AyiqeBUpeYXknbjGvcYKGyrNNnihbaBptwumCOfzyuQqN/BRO62bxuP8qVUUFbU7W0hlrDto ik5a2Fi5B9ni805a42n2jY/EKTjqxbW9M9aIXCUDYkxv2gqYqaYt5e8lq7i9hfROWOsy+9s5t2fw Qhv8skFlZi0yNRTecPXhGmjQJwGC37tsuIA/73w1pl3sIDWCkTjYKqqpSxyhzfl5p6v1R23DIKSQ JRFBm1MUhdqdUlyFFRhe/TK5p7sAMLfKbPtJBLYKJC0EaxfFR6oh5aeGBCFXaBxltsAWQKNklALQ AF2Rnz6vqyUCb35HcPPzoD7TegfDa9UtMSBQf/F4EEL2/nWpVeDr860htTDORk+7dq2XJkKEGX+e S82KJrXzcNUqiiraiQqJazH55+BVf5CXOg0agY0DUXiphoRV1Jn859vS1k6LwuwlY4EsYwHHhJ9j cxa1PsHgweNB47/82mnYtmn4ndajMNvrbMvrbLA6sjlq0jynQmBtho7s72G2WJs7o23qg5VrT6a7 QJwiOvKE0UuejQPrtcPPCGgei7LlKdBD2iMDyFbnHbSMIJTDZQDxHDwesEb2L7A2VjDyapuiKMhm hxdqLdccFWAfq7IRtyrJCNRJNUURggS54UZsNX2wKToR8EpMw0DwHyWJkjxhJlzJS0U90lN/Kmv0 qKJd2TVig9cGzdG5fccQ9fFkIj0Ksn9zs6B1WudNRHpc0Wb20W/VP9HIQoB2WTEQcA7ADe+0HlW0 DTda8x0cq43wtAEEBlv0kx5Owkc6CtKw/z6zQdcyq9cSPtKjIJvFyLMmkzkQoqfCABJP8gA/eWHB 8wEF8heDNqMrdybXT6QekiCpkFRL6RZm05SRoLYT0Zj9wjk2GqOjzk+KSGxERTZaoXHwfA0X82Z9 tE2G3ImWlghVz03D06hdVNtmVtujMLvySpuS5Zys1jHQORMmL8J+ckI8HhFFfyFIWa6tHkL2iAmJ 6j7Ooa4bH6GSGy4gHp6OIG3dSm09DLO1lprqke0mbGdH7Xly8yrb77MzgNg9J1SDQHZD124wqVWY zautR3VtdSIHYLnQmEQiLAEESHFKUflEdXg+uNtG/bXhfiH/exhnD5XX+riT5FpbfboYbq2oTdpK CumQjHuQ0PvgSKQJfDAWCsxgJiyZKOy6zpG5YFuDmOMeSlR27RFeGxqwqLBV4kdwcHcTPzgbmWWr k69tPP+v/ca5RRNpzXtyh5XtzeYatANRLYKhF2gWtJBF/eH8I06lQrMfntxxpE1CW2am0fI1R0c5 bLckMWXYobLVfwltiAULRNAuF8neNzq6qaai5JZWO1Kzh0kbU2+4vtWCD4hYJPlrmtCcHBS/1jS9 R9sumVjoNEw4cqHi3P+g4twGe9mFxFFcrNxwUbQt+c2uYhIHmyaa8OXJNhIYN4OWxLtyXomWK48s PhUM8CXBLBXW2CiaoIG5PxjLQ9zjcwXi8Yhb+6tJAjwbONU2RxFku08V/UHcolpi7cnUD6DkW6SW yBDQllKEIJEg/jlMbWBdnk2SAfJXp6nQgBxvorRd7WySoP9dIUpnJzeqSBXfEsFIE6VcKb/JwQok yKXZtG2Lj0cLaX0tpExtr7HvqRRG3JVdkryy1dom7WJYRqqtqqKEWhuiF85SVNlWY3vkegcH/5+d e4Jq1tomFHDRiYTnOGK7jFCjUltNBclBBmmPUCSdImSp8OzmoH7bWp19QiCSJx6PltL3/cbfizZl xtOOYm6axcI0zwsAk+5D6iU1tyE+R0aygHJEpW30KIAREQtgVM9L5oRN7BpsuJ0dBXqNMZI60OXn KdxvYpFIlHtEMXempULZ7NsWt8aE/V9KW+22k7qNMOYeXmrjqyFVhlOSTVEUc1t2O/Iw9H8VWQLo //MSKpdAB9+R7SMsbFPVZ2ZKbs58JTdxMJ6ETPhI6JnXRMfKiRL+KohcUHt19bFirxvMUaYRY2X7 9qzz8//xsEXMUUwWGJMUVrYvtJ0W6SfcmTBMsEkKMduJHtauUgNg1fURmtmcrU9eJN+XxE96T0zg iwG74zk1MBJBYgKrWASRlpjkLLW7BcuHigWMLqh7BUAuA98RQEhJkMS1piFZLDnHIFPxB+5seDzq kLTvdQR3wadkO6KAGz1HpLfpov8FQKbCdHdLz8Z8S1stCrgXExK2hTBImJdIG6CyhxokyGBPSkK9 YAo2oIiJbNHvf+Qb+I6/yH+19uGDKgATAQXBSJD6G2FVu37TbJFVg0joUxSF253qsl3a95QE3tt7 tvmmbpUiOlpFUbg9SbMFnNYHL/2D9bAKtUjb+cgmcahMZ1aaW0H9xHi2k6S2EVW1ZdY1Kok2gAHL RhEsxWpb7VwPeD5aR7/yW4I0ptdsRxRuD17/Wy3sIUiJ5yRohtlW6zCH0CRF4fYi85/GozZ4uI1a 3oTWEQCkEHqRp+CGLj/WFBDBto42ef8jRGtvHtmNpDYOuvwLdFJVQRsTj0eQds9IsNmY2+bhvf8R orWnSmzJMpKT5WY/shtpoFQZQ6lVaduI0NpFqUgh+p+Dp21AkdBDm+so0Q9yQ3h9QKxx0ML2YrWr 3jbqsok5SomfRSA8TyMonMiqC5ntiEEk3w0k1JVaTx5oj6isjbud5aPL16rbuJHn7KvNQSRzWZVk RIG2kOy7V+04XvyZDSQg1eiLAG2EghKlQG3oloKSsYhC1hRBAgPfEQVHTP6FIZkXQ3KOo7QGS6Ao VOH5iD3yTWkH47X3i2cfUaA9sqH9HBwxdUwzOCKDxThtrus3wkDbTMzG8MH5Wuj9t0Q/iZMrn1N7 A88OFtpJ3RA8gt3mW40R5AjjbERH597NkPuZJq7A8LqBjYHvXCfixwcE6X/t3/cainfYcTZLYaBN js1SvY3nkQi3S3RtZ5AC526bLSxtywm3MR3hYE3tvWZHoE41pJSgVkctG0aP8H0CMcDL/1uHdsiO ZIMtiUkKSaEf5vwQM5u0hIJPccHzUY3k+/IHen7Oq6g1w9p2pbOw+MfbdQ8FIVlyr0a1DZxW1Ujm n4p+AKo1H7wXmepJFsxyClVv2OJtKP5S/qkaon08NNsZIkjkVaKUhINgbYs9cl7+peLxYIradxcJ xuUdvBiboijOltwoHaWRwKKM1PetkFCiBesoYR0RQDJDdqQUSGQ3Nb/spiCjljL2Fu41BBo9CfsH DwAqm5f+1G1xlOFb/moAoOrig242MIO4Otq5YfB4BGv7PrYR+4+cr2xUFGcnVm3z09Ne60qNZrXa KDV6j6QZcyMpCZlK98Ev/5XgRUAJ8nOKQBNBwlHAWWQcKQWSVw6zoTjCDIvbk9AR6H/boAIJnJ7J 7K0nfv3MKNKe44aRLPHC76rdbtuMQm1QITJ6aVfgD40TY5BShIdxJO8szVJc22YAKTvh/dgJjw25 qNoorwXs9zJ/APYiASJupV/+CGXa598AEkAhfBDrL+8lTNvZcwuPRwqanvlLLHp8ayPMKNQWfRTK F9YlWclFNsAetbsNdTO7/2cUag8R2mobPjimrcPGoDVJahcIIRFpC5XdRde4TdlaaSATsT1DZmSi AKucztt1Oj/7YJXuBDo8HjQkm6+jIrGp8zEMQzRJYWV7katF4JNshIXeZqjdry91h/IRr7YZIUjE LlqNFkocvJWEInYXV6sRNJSpRAKMzExoBSBTtyCJxpMzCrVrlxkJqyMcRIycuBhkXDMGHo/qbJ6N kKydfoXaM0KQwIuNCJJrtFGvDgmYDF5A6sxGMEVRXVsd7SkEDQebIqCExfaGFuvJajPVAAnagpn7 zx7zYbMVvW10ZnP9ZClt0J9ctZHcEhuK5yrmKwYJ268wEgxx6DL7FIW0yMQmUqp+ZkMBxacoZcdr U85CWy0U+ytCs9M5mINP0QJEt1C9HnTPqp5lhljCKHjF3X+LkM2opF14gNCqxQbV2PYJHdSYXJuP R1Ct241kExyZCNpnNkcRhIT1gnOvuf3WyaOSS9eTna2m7Wadh3MUhdroTVDHJvvgOw1dDFYYsdMg LTgS5SNgGwyiTUEcdkvaSa8bwJAzdSPovdUB/JIONqTOMsU4f2CYhOcjKNJ3eQQ/Vuu3gjRDWiRN QCab9RJGUJ8WkzTomCrZUfgPaK/FOiQMIikeqcFBf02fSgbJOTWgQ8a0FnVE0TL7FWshAgtf8heb fVZK/3EQFikRm8IMY/MdI6BN/b7XMOPlAtpXFGiTUkF7aO/+86/jZktteMeWOtdcSCsCkZi472YE MFUXTEaOQHy1Bqts0NhkQbegnAPUHP4bV9gQ4X+FIJLNTm2jl83whidqeLInoGsHHg94kfMbYItC 8UBt2qYoLGhX09P0q594M/NG6vt6IyGK0BSFIBJavo+97nDh7Gd6CmsRUGc9KRqb2rvj74W7BY4S hyLhHsV3RHp/pNVOmY4A9qkK67l/K+Gv52yrBc9HkLbvIhv6HX1fpbYVKmhXFtlWc47NySqvKiLB htIggcWh5igGkainvZYGol3ZFwG0DscE5miCFGt5LzZfQXmkpCc6OlkdviMqsjWGRXn7IJwNyFrF JNj5+H/R1gAw87zK3WkhLZJ+05UK+pLyX3lYkY1e7N4XSRYcrRCnLZq/ndwjXyJ7Xydy34sdbSyp ORg/FfS4OwqPAJs4nY2ItvV3PXumKa8RwEwY9XXcnAzr+4BEaxRlz377a9IgHUxsvMG2Qi1tmo1M Zg5qQ45i/lrn4qjZqrWJZnucpZgXSZmWTdY/h1utbScKQogHshZkEcRoGyBrTQK1n1xkD3vdvwpt PRFIAjK7NP9AbpqSYeh7Zjz/rwgJ4RswtudnuydSFGcnFiNR7bc4u7DmIL16l5SidXm2SQolSEj7 qyIj1ce5vIE9Cm9XHNpn13UE3bJ4b+zVUpn62toRv4pviXA2k1gtGkpwUFY71tyCR5bOl4ywSPdm kykJzqTb0V5RVRvUQFq5plvVTh5qF/pfqNBG/xLOUhhqVyb9bNlq8EbkudJPZpgpsTUb5H8h+Qti 7M+56cCwmddNkmR6fEkwSVT6gaq5BjXZToBxTlKJR6XU8Pi/JLbEFlxoXnmsvUJuZBY/i3ER/3Eu I4+MWQm+AX5k7nsoxWhtCv4MiiNxsO2Wzho/oZGKSPSGncSP4NwWNoKS/g4gQeaEb4m6tZT66epE ArHBogi0bOkABumoisfftxuKP18Akoki3839V0iNnOxEKtgW6a+uH5cgaS5fDycdu9ziYJuTlAlJ 4uDQCLDZCRwGgDAnkJJ+KJQIb0QwANlQV7u2kMiPbwnObkL/Rpd1ck9ujdhzG4ZGPlnbioLtMn27 dVFNcLZtz2xXWNcm1ja3nb2IxPhMzcjpajaNsHcpkMfRNsv+4KPY4LNEj1uVbBeokZ2Kdg1iept9 YFypHieNodeNukisa8tMK7mZVj3rT+kmim4VjwcxwJVG5BxB0a5fKNKOou1BqdZarpPGmNVdkxeX Pgtt5w63nvYOmZHssBF9YsMNJU+MVeF8iNst143uD8yFz7mC/wlk4OvayrL2jqLtRmhEaooom5U8 YeHZiizdR1p4PBJq8UhSj7GG5EnbDnmRRP6fxer62pvfJZ/yUp2p1annzimKzWrYRCps+3Pwu+1E 1Ej66Q2FbYfrDJQ/dOZwtJR0cX+F9ub4kqCIJGFESUhzUOkfAhRdh8xJbPd7tH3esdzEltcYfFsB OrRJCjHbiw3bWt0v4qTi7a4jq1GiWllNPWKH4fZWNVLmUHXdKhJ6PQPiU1hHowI1LDVbRIRUBUfW e21th173r0rb7MS1cxA4Aq2vbmfTxuORDMl32oYqVKNznuYoLGqzG7nqUyIZVxQpXyISkJFGIdkh foRlpLnr9sGv/922dEzoWnf+ESBk2N5vtHHOj8rNZj0k3mw7Crg3u9oVM2mDQSNP/Ct+xMZCigAk LX+X2kDSb8AY2RyFeG36Zo003Hl0qD0CCkmV4R/JWtmNWXZMiyTlr1KnnYM3/gHRno3c0XNttrKy chKgyWFXUclEUqkNiwHfEdxrizi2xL1W6NnMKxuuxGlIYHtuPB9BtdbXgWSr0W//Her94YCp5/OX sbUSbXKkQ5Kmi2tR5kFzFOJHeKHtxuW0RcFzxd+EOjA7/+cqGhC0hkkkGTZk8c2L1l5DbxtUSAon R5c2B221dC6Vofo2zuzQvSbXrygS9H/IWvkURZE2FXeAM3J2bZWvfWdqazQ3doxNqmWHan/MR3DP +2DX2oC35YA/J6Yo7VnJRMpESZElkC+hjTIH+I6oYssCW2O8zUEVW5wPUrPJi4//i++vmhxQSNsb 2jsMtKvwI/v6s4zshDbSKt2fBQBtNLR3iB+Z5u2bfHDgKJKNsZbIWht1bXqMoRTXsK/3JY8UmhHj OwK1fyYhY6Y7aBWNc8xsc9DceDzSH/PDiNPRCl3LfYrCmjY5dzM/Kna1Xt2ociWRdiFku/OTgptf nL8tbbF9b/5BT985VUM6fwqMLjFFKPE1RgFf6JFtbxt5/GBuKANqg1H+zoXDKTrfNfB4UB+56mN4 ENDElZ57P4qx0VpGRNScz7Z3dl+Nk0EYLfKcs9Pv/Qg7YiikRCzbTg+WDYjR1XVen6MCtqyconOo w9f5/OcU+hX8mNXaHYqPUFKrbNGQ9/ZmNtBNFH3GgYDHo1X0DWSHVoyYq5wiQXVej5DETm3dAvlB VZOhIqEjJAT+D8nhiaqaXR8VXWryQmKhjYNHkHlBup5KNhuXdUe6BhVbVEcKcEggbeFnwEpa2944 YI+yDllRrcEA6ItIV3tn+XSiBccP+JfnGBYei351rjtTUai9eGxT4yqbbGSrhiAxNRKspprYaOv6 qL+E2s+Fs3zwYttZQjiWCpWj0fikl02B/PfGjVQabVgl+dP8hSPq3+TNz4SkOAbjZCFghDOxXTPz +SAjuUBkOdl3arVe74gUhds4knJped++dmrdKkloR6uSVPdjjJBCuDadfVlm3l5t1pIC/4iiR1Br J2gXuW2Fh+r5i/ATJFJIzGI02xtHGAmmbupKJhgzMpdHrwp82x+y1RY/IIiVbhtAXtHn49LVIpHb 9HuHI6sv6YlJXs6PaKubCznwEq34TIVmkQyTzqROH3ymEqzNhZM8SfcadP45qQkCbuDbSSh62rfF 3jgSSbBzPPlgaJKT+3DDV6AA8HxU6P6WSIIYy5m6Z+9FkTcqqyeDW2NdloTV4AoE9q3ODb1E95FI UaFbee6Qs914mpNnG2aYvpjZBnQlFllJUPDNCaBXKt1ZUSmTT4qvCZombN4WWr5oSHZIrcw+9bn4 Mp//T8YthfqpV/83p7DYrQ4lohjRt5Cz65SCY+VUifJEPOSU0pUsCsBHUm+yTR8sLgBpfxj0BhTO CR9iAN3QQ0D6x46SV9/StheO0IDSlCBMiYOuvTzhC8fIYOj5yHLrV3sJSs3tIiaFcH493CjfAqiY VlQhHMV0gAj6pVjSrNx6XR8VBAedK2oTos3BIUPt/A4V8TWIN+QdqgCHKUpU5kWF0Y5zTKK+Juox sUcpd5J03Ul2BfaAIdCZbD4fFE+ukyTrAhBKnvNCcHKKYvFCK0CxezRTMkwiS3msaTMFf3U/pGKj Gx7nMrrpj9FNg+nxbnQDgYwOxdtx70GX/iR6+EBwsq1+wiOZXxOkLPs32c16A+eQmqmrN1Aan486 lnfvsat7wt25+jNTUUi+yhK2xBO7kt2BY4A+a8W4XN2Bg+zTYFcQrjSfwZGBJ8E4B4EVCCaKB0u2 SWdZUKps5gcZ6O8bwSdN6qb7INjbnJWxKi0I+Xyw9X4FUpSaSngzn6coLl+dpzmDENWaalkOx5Es IOepuftmTmHxWysqr+qDF7/LyY2Im4WjPa0yCcaF4eSA61sut/gtGVh+S1AmkNrtpDCwdJ40UbBx U4LHiDM0vfnlUUoyEG53m6fQYZKE+UICrYlM7usvAbNwz4IBxTbzxBBu0iToQlguB4fknHPnXHuL 3Pc1C04nwt+gWULjik3hWzm60Gs7BxaTrqLQ1JvjYLWCde4vqbqOyeej1uV3xDnpe/eYTIUmkxSE geN28bqc4EqUdinD63ILlhc2TxHmBBENdMrBFdCgeVoQ98ZfoaITZPxYdOr4ppbRZ+ye6JlXSY5N JjN9E0q/g6YJ+5fAxLZK5fNBYF5+XXmg3+1bvcyxzaRM1VgDMRHl7kJKmauQocEAI4UVgxz6TK7K olwiR3KndPW4YM2VIRZA4DIludgrxyHSUQKHNbBPU2n2vn8xl0rlroPBlHCRA71DFnzPHhp8Purx fkvfA1Xe0GTxaYoUAqHZBMW7ZasJmYpVDcaiaBTLc2Pmu5rCoBy4XBhLVR9sNZ3tCzoF77tyfhKm 1xA2JeML2THqc6bJNbK971+bDl2m/2XsTXNc6ZEl0f9aS+KC8/D2v7BHM3NnKL8Oz1MXaPB0VYUU SXHwwQYf+OcCcroZUIPyy+ejuOCXIndD/ryf1RRqBGKaymLUouIKlXq56eb2+tPJObJfdoHdJDSz tJoYku/04AU7ueGZYgotAavLPl4BRRZ/3Q+lIyx1kaJSDg0nIaMx+jSojsRIEq3uEQ1zOY3N54NG 7/ol746bpVx4bg78JtHpJ/FkTdedWs13XR9SMqO+O9U7tetC+In83SQ6sR/RCQiXY9fyskPFD9UX zBPS34EGgHCVBmXq/sJ/QeIqYIc2CDVIIXmpTqzC5yNdzm+ZF6Kg0+M4GVhOZtJFaet6qwalO9Fr rDIMf0L1boWZoefkIp/ixFl38GrdKERDyCmoQlCTEq+Az62C8gCQVF6uUx0qNJ2czPBQU/bBenNn axMXfELZzuejU/w2MxlrUVOhXNvJHMXjCesQdaZtFbs6TIUC7uvFMhcUOL28kiMjHPUP+hKCYD0y 5inhT0qExnUYviS6BXWqmU/+UgR9yZu7Vnvh6LojKq736YPOY2A4rPabKp+PqAPfcGYQ8ui06fMU FsgpZH6iSGcOrlHdwqywoqoFhUTI5ikkWC4xK3PxwfKW3SCDM7d644CEsWZQeNYlIJpRMLxond7s jQNR/E2KRSVel4OlwimvZlSCwucjO5zvDA9lRaL+faJC4LfCzDZ9501Gbtx5fbRmE5XKuBMVhuOs 1zFBtMFbCeeP6CUT1XwuHNShMjVxKa4MJt4c8z95S2hBWViny8lqUfuKmZx71Ey6Z+PzUd7i+R3Z xLBU2/PK4+XQhVLWwaNeLip9ajRRgKpaYXMiMlAVKrShRCULovg8pzncI+rEVtCPI7QJ+iYNEzWB tFwQC5lXqZvXoN43arpwnjoDBODKdHPR1o8ZZS8r8/lIRfCX58sk0/NxMw+NcYSSox2KBDurqZhD ABa1D2m/IRxUaSV0ohy88WaTkwAZdz8u/lZ50IqSArxOJW7n/MmEdMz0pMHy6g6NKC3OXGn4oFLd KMB06GiefD4ipF47M0kOoKlw1XFz7ES5ZCDM9pSEctLyoqaiS5qqldR9OYXxuHSoFmEFfV133L7L OeFO7sUD6uzrgRo34IQQ9Elc0OvRo8o12Rv/JUg1VV+h+RMJPJVeIOwvnMti8wOikPyXYRfwH/MS 5XLgRglsFNvB2Xbb+R/SHYzFOoCOf6S2tPMzUzEanCdUpYIHBy/WnR/+nALceOeSKlMs8MyOAump oHg8d962Nw4qBmxSoRyiYWkLnfA8s/GI5pxe858UHr4fYETIvn2mQqMcIKSx9ebN8ZIlL826wvKl GB6VB56Ubm+625o++FmOPkIHTQ78kXl+U6aBhYYQg6aL9amTUyg/x6aU9OxGuZfEUpg2S58iFQT8 P5StnPyAiDE//xMdgHp3Jyqqk6Pbis2X3JkqJTfwOPHh8NoK7EN9okKgyhQKg5ceB6+TQ62gQAsc tbpyQg3CxxIs+eAogWL5xV/mau/7V82gZ249DqoZpJlFDofdDJ8PFlT5PsvJd4FAkU9TKCyYScOo bioMLwPnOiNxtVIduQY2TaGy4JB2V5o+WPbSKE1ZqVJR2kCXhxhEqlZWEFTzI720/H0DKAbpqbQm t8H5hbt1m6bN5yNjoe9kGLUjIE3vNEUxOdS10XXZw5M8YgOFV8kzeaWOSEBNU1gjp13OpjWHBltN dU+06WlOCe7NAN8MhfI2fiZkzrPOp8Ztt/yFg3liu+XcLazUbau4FXjMbMZTkPTm8xHq+RutuiW+ dJPh0J4SlgX0W3QE3aKymkArk7IDMjuj9WLXR0V9PJKdsyB0+ULoBoAFKmOeeaIHE72+MwgYHQZG ECIxYI8U4XLoT1lVGkdGaINqK6ujMczgHC30wKBSBP9vt5Oz1xcIlj5PUUgOQhtOp3qRK9SZ4Hra uzmKDiZ1Pk9/m8L3aQ7DV2MIIp4Z9SJBnxt09EjsQe9uJhANNpLhKddc9YVDl8rBWPwEd11D1nqa 8PtjEQoqX3w+qvx+u1QDlDLrtRfMoU+lQs3es5MNWeEz+DPlbHE8DVq6at/FRpWy9EJgYYOf4rOn tLa0GAqA9GxfUUunQfcUyE9vnxMinkOnStVWaDBlgxfIAUX78QJ5YFX5X11vAEImC/U2Te8Refn/ UmL+u6Y1poCJyg6E4n7ncmqpe0kz8KrMRu5ZlX2XJRqpENBQz97WmIIM0ySeLIOa0wkkgjP9hRl0 e+EAZiC04UlPfFANqgDkSUGdsyH4fIRd/V2rKz8DrAefp1jcewt26KSMtbyB14dIv41m1ckU4nLo V6mSQZssf3OwbXeCTDQDmzLheTKqlak2BJUwUH9+2PW0VmdXZyq0rJQPY8rEsSb2qKdykLQSv3Sc /Igf8L+4DUKMdM3ngIpdK5GKFAhvOH2FNVSFT4zbrDW17ooKI3KeTOctuw8WkcNR+OTDrP5C2DBn KnwUruYEkZqsbkL9ap8HxpVw2lCXk8034P5/hKWHt41sGqA8zA+IgAbfksOQWh5fzak/rCspWJm3 C8abl86kNpP76Z1YZRhnLAfelWpoIGkRsa7uJ3eh3O1kdeX8j8RQg2I8CuuQjisQjnNkfV72wpHr 4JCKBZudKL4z26j5LN7E7sI4u5Af8L9E5JAVGfWJyAMHS7dCIchLyJVem5PrqOtryBUGf5qoUA9F gmhTOIN5cQbnIoA6BwrLoESh2cwl1dDWgdwVtFAxS0b4Sd3eOLj0FhUaFy2+Oaheh45tVrCJwmbg YvntYCXLGGSF87n0ophcctnrEUU5t44x7KGtZyIEoGpUP6Ui6EqTT3xVBlPnbZ8XeDLSsQqmugON c7XPN6N3uouth8/S7YX/Io+RT09/5u4ejSc4qMW8YCY/IEheHiIi3m+ARVSebDjwssTeW3JCdcXP yZCL+LpN+InpD99SeWhmqb0n7LyGS9jcE1K95Efj/7cSz3Nk6xm+YCjLX2k0LhJ+TbD5eEplNGZt MDZYnsnU9lfn81HA6YfUkF4TnVaemYrR5EQisqzBpkIud/PBK8JrUQCy2UyFgTkLBkMK8uNLQX4h Lkzi2g20vth2geEKMJvsKuxxbQi3iuWho2Xn5iuVcCgOgkNBFVJMzL0Wnw8izpy/Is4MlNACcsdn Kq6Wq4veslU36c8twPRZk1NOhJA4MK29HJpadrmcZyISiwC1jBH6WZKbVg0Q29wwWu4/EBVhHQwz WNsot1HVl71xFJsL5kMhWQ66+BByZEMlTj4fhAi/+y9nGXaoidpEhb6W+IGgSNw915tPTtzVA1Xj 0xllOTC2hF4G4BgZ3HQbNFEbCQzKPeyjQ2ZpE5gwIFTbqOWO358pcR50k8mBsaVPU9/Zhmz94Xru VRa/BDcInC1Vbf86pAqkWtDJ8HkKy+UUcJr9gWUsk+CBNCUZMBTcbzcnDr0tJbm7h9RAxg3OTzYz EtJFoldgbriTrJuheAagNGR6PNcbiqQCc8ts116WPFEmLN6KJbPkpWQPnfTQ3pJo3htJgTHW97Pz Qn/LSq5rkggxJyo5/e5c7DeLmYNm8l0fFanKketSS/PB+Xcn5z0nT97sK0BaZpN/B8VDYOjPhtwX NZaKve9fTql5Ce0DTrcSD2hC1Sn987L4AREO0Y+oZGf5iY5uxBlYXLr4HvzGnF+mBUX3xm7gg9pp UWQbL4zNZZW2KXfFwTl4CD9wiBPng3YHz7JKL3fgOHu/aldyKMqBxaUDW5dymKUuAJbTPjtj6M4D HCr0uPwPxYwibFfLIQcml+dQaXRtHPX2hwkflCwItT3Y9szQv9aV97fLZeqdSkUcHHwP5R2asSEw HzSGQRgF56XZKOk9LoBlqo8e2lyKzzHmvIOS4vOvbCjEWfl85AX6S1+uQbV93vZwaHSJqPD8APVq OVcB7peMLl0+ZWaKgiIuD5wuvfnSJJ3aHunUDiGE8/bEG8yFqGEyiAKyb8PXqbQxHgDLtheOXJzR qARYDwNeS1LgUMrmsz9z5cYPeD/J12N2zeCUOMSvAyp24WFJs2+fqZW60TnOCnG7C0iUOIQlMLx0 RUe4f/lgM9UTDTMn4SXnakqFjfQTHuLeAaGkrqf1KfP0HHheqk4CMPkWphzAA15dS6Q4/MmoHoSm l+W3OxjusLWeXC+0vZxESrdsXpc4oqZLqM9mlQQkxfgNtKYiDEudEghnkZeDYewSeP4A/EreCWgT xlnQxDrnPwod+86TCEKB8SW6VFRQqeQJcdARhY+VhNUGPyhwvvxGbIqTD70yuHppnj4vIdQ632ni 11VMfG69kYpDWKSEOWjIN+yIegmgzgdB/ZK438y8mIMlxAWOhzC+JkVhwP+DLSq6oEKmEM3zy6JC APUSPvFdzbHQOwrFSbCrWFCAjPvzEjzxBbeRE9aP3B8ytNQ2/4Pzu0TTQ12HCvYDKwbnHh9mVXQu EoqCFLKCLmQsmJ7ObvDsufrgaIwTHAy4XdHKGbz8CQbHgp4JOAs/GRm0+TksnUuv84OQg1o8OIBs 0PycDJJkefyOePptflB88vkpuht/qLui+XkJmPhQV6rS57JdBoMTP7mTMc3OCqZgDubnJVzS8ul0 36GEnAYLA+Z5+QnsEPbYOePQROAViNQFPHd0liysnASPvwRLfNfCiu8WIZ+DOi3gPnK7nfunfV4i JU2utQ/UZgfYsU3WBzA9L3GSlk/Lj0mBJHiWw+vbsCgT+8PFHF+iJH7QkK9sFYy1XtD4JFcA9HtF kxCHph0ImJugmP1Ae8inB499XmIkfkUmuhenMgcAjPhnIViVKPK5MfLnJUTSn2qRpMEQwHwRQoUu 9tH8MNs8sV61+RlylyHC13nnkLuo1lx5CY+0vXjfn6Rv++BVpgqOPDrmJ8/FnsDGX4uIlyzFNHQO bH+REv15iY50/hTiMFmX1WDFuO2gMDTIX2Ije3rYBFUdVVCSmDZBL5GRNiWKkpVi/8ZjydcmnRVX k5W9bZWXuIgTJD+ZscQgW49LOuYAovh4pT1hcDJRMmnUwU2gziHrvd1M1itfwiK+LRfdOTTyHZT7 nxhBtOl6LsjPS0ykxVDL1wpCnWMmqjhigl4CIp3qqOXWWg2CAprPdJ3LIfIBat9UbJPFRTRBTQhx YVHSg0WBcvy55jMr3/CnQU0FUqCQmIIrcMY161uMCdtLLKQtxt7cgvTCAIL9/LZLwdBajf88N3// vIRCdoC1rxsMcL0BESVN0EscpBOaF/wspd6IsTpVGvUri613uVLy4QlNrmYt2Qe/4DMSZmk3Q26d 0Sk4iEBiga34c778olBoOvp5iYL0K1ADZhSKgA2nrcP3+MRBvOJPHPV5iYHsFe8KSpzMAvtv32Mv hUntMWZpk8JHqiK59e6Jhfdc3mjqbtv8UpbUDq9kioEfYYND5CZE1jrvsPMXwv8Dpe6MQwAtJ/rs JYfILbqkvBQl9TcSxD1JNWiE0TLzQFeryPorQwY0mKFuDANd8ihdTfSwNUEv9Ugt20pXlLGchzEo 7sOqSEtXKmWorwKRizAIIkJg00qWgzdN4Ps1F/fYwgJa9CMunXbN4JJBc/wi4+jY9FKL1K9Aif0i nEApdDthgp/rJGqRkNSXSqRdY/vrEEJF8WeN4ff8G49O9zMqbBB583Na5RdO0e7LpuhEjGU53zCa I7W9G2+y1Z6b7MxKQ2uPcTQkdRoP6gF4y7k10dvDP3+JpL/R6LTPqNc4IR1igwq2MH80XuYAfzXa aNM3GpslyPNxU9gkRcF0otT+kj4D3dGSd5VO/pkf2cbhSK8wmhZNpUiauKyLhzvJ2NkSmw1d2Nqw TAtWQaJsY25e1q5K84feNzquKds49x10GO3zQxehdisfD47r/b2UAK+fqXtE9Eah04Umlz1Kkwsg MB7Sk9wasdkyTCgM5xVMkuTRltytOdgkwXP9TAUtG3BoLE1nBh1woIgIKV6PiIbwusEUdRpaZvEJ cr1TNHJuQ8SLzceDmMi0GiyoXvRrXj5FUVRNnl5ZeznWZM1LWh304ZCw5bxQuCis7gyrx1RJf9an kXTSDJjrslo0gE0tNLQEh3FCOqpADeReaUmv+77XOksfvbTpg9Kyzi2Nv7sAfhoG1uM7LOqsFHXP y964c/rSQYohr2keSLDE8QqthORQoZ14OavQRnME0aosMyYN1hk5r5LhZEnqHOCnmbYO59am5AbS 16czMlV4DK99Ku0nYioptlkNVDmbqaOcpPDzRpyzndq+rrWcCaocd69F0XWnwNWuyUXSF4nXjI3M 1IoH0rzN2/fwWg3zk7dWmcfWxzwWBGfoN3GvQUOmyNQKraKh9H5dZwsqkH3eaHPa0FhIA/1fHzhJ FcBy5fejlM8ba47v2FL/NUnw+EOFxyYpjLBRqqSZ38UCTHdH764vD+BpdgWZKMQ2eC4ZKRo8xEZA tCGJgRIRROu3tOTO1MwsTrGfSCXTHu2NM6e/UmKEhTkIB62kuamNQf3P/XmjzN0s+DvNX4SO+xxF QbakdoHudxSA1K6IAhjFHQmI+dMcRVG2CvxTGDgODn4DkIFIR5IHIItCOko/LzxxDDOydhb97Hrd 9zkaKvMXajcTYsQrG8qrXd211Pl4FER+p/oYUe32OXoPs6H1VDhH0+X285iukV7k5YCCLBkjKshG cbYsmgskgGzwHiRkPeasUho48foqYtATwAip5z2fllHX275PkSBKs4rKWw1xj1btiR8ILekQ14ni bK/vWxhJeyQ0RG2Ooki7E02Sq4lZIYV2IZSRZUXIctrsDlAKQ+1JQjjliDVYzRoE7jNlVGMAr2lL AKsBttLB5oZi01Pcn3rdaJIyTiL0hG3QgbRObNGEoQRAIoq1hSh+bja4SlDbkhXHKNSunRuMSl3a a7y3LaHNF5uUkonFvDHkbB0Tj1uIo+TgAdLCmQqzFviid2iwogMC6cKz1On0M5ybqp/l88aQswIF PS0mkUkcxCTc6EJxjmbJnzeCnAUPfrPhF6Ss9dq+1974cZaxcWIEsBF2crqsdaObK2WtZ3OZmDd6 nK1IhkZrFx8eqA3Udqlltck7EXSSVLUM1HslPdIOJJYe3/hxT2W/bXKQznBWZLF8ZMLz64fmFvPz xo/7z9VWWRk5VwCqSTZLUfGavQ+YxyQn6CwXSD/x6zC3ZvgIWO/jjRxntT0r63cfPLE9AVNhPAJK E3TNayMUl8gVXIG1lMubX1pK78G2J22lblEFwJXlpQ0938Fq5Lki1ueNGndnWbPEqLEseiT5LIXR 9qRCBb+AFbbKsowqbN3vto42ns6kN2Kcvl9+551+exx8v8F+MmN3wbUZHfo9pEmYEaeQj5Jc7su0 T954cfoWNhxxB/pgAHj8ulxV58D4vNHi7Exr31nbOWwY+tgkReE2yTHg3nVPSXb2Dbdryh5J5ml1 2jdOnG34QiIqMxMON/8/ASQ426pDTnQxEQCglzmpHIHOkdVpZdv0RonTHLEVMla6gzCAaY6dJSxQ 1+eNEKcLeHkpW//jgYC0eBnpjRBnKynpdruIbjh0a5LSri4Anne11PaND2eFJFIsxeLi4PttbwC/ CCrdwN/i/kTvGoc2vT8K/an96Lb3DcIkk9snRYCDDqUEjwkJ7M31eePD2XKvX0USwOw7lq5N0nu4 jUNJIhXbKkmQpvBDqa7kkwTwjU3Se7it3Bz96jZ98Eka53yj8SAqSQPmO4SMTBZJEBqAyfmYf9v7 BvVIHUr7GThJ7YRanUWOszr2540Npz83f8cAsGXvtLjSJIXxdpWOrN1k57oTHZXZ7Zye3aJsbGfS e7wN+rcSN5kS1wuQXP3cZwTpYZJOjAE+FnIS3OCzLGlA3cStDL1uMEdkybfFYgmzySF08glKM1fS WRn780aFu42nr0CJzaziZe03JpyVSRZpXXleSs71/9g9+0rqm85NiZ8U9Ea2ESqHDxZww7kJkgiS EkCjWVg2eF0OFndmvmWSse11g2CSoTaEQHwwjNb5LSjoASzm540Hp8fn+J6j80PCINnnKAq4ATmC nt7w0vbKBsuiJ4FzdE+i7kfSe8DtZRKwZX2whXQSQLjIy20PqY4glBP3JSQJzdZaOgJUsnyjwNle oyaqKaR2V6UYpdUpMPZe4/PGgFMoOr6nCPU/YIa8hR3F24092rFu/l+r83P7klAFY0lUyNTDDuNt mjYWimFosCmCVQzUZGiQWiDm0ImBr8SOUnHgHkdAuvM7oiY/OSWFSGQORuvqU6C5c72Uzxv77TW1 BYCtebHtjfymvmdiXJSuyC4CVzuORit+HNG3T1MUFrbpit5hq2IDp6hiLlB7o8oCpAGgF0fAJNpu wBtlVNfBcGajv+h1gzmSCTGlcTVYsS1BPIe3/+Tj0ZH9q9PPznG6SIgo2AZjHpd/8iM7e64G165q UIhGFip32hvvzZBGzGkJsNXgRzb6L70RuHbi0ZJlRdyBIESAgX7yPbHb0NsGWZs8lhqBkByEFYFU kaB+J8b6vFHerNPh+YjcsBqRPj5FUaRN45Pz4+Srt096kKjLq7QLZ6c+eOcnRZktDyMoC9jgUzTh kDLYaGvwNKP9cOoQhxt0jcgMIs0/Pul1o3SEWkKNrlYojPyIeIUybuPVf/5VPm9sN83xTdqYBkPQ DwecTVIUae+q46he9w8d08Cyr+1iZ+j4W2H7jeumH6lhr1Wk0zZ4YfuEXIDVIj7KZ9tlWDiAJw8/ PahUlHtgd5KW34huNkW2xaoPOo3O4T2kS3U+4PNGc7M4+1d7BDtNOmecofcwW0otmJYvtP92f5Qi 9e/Cneb+KG8kN0sYWbAFotgGu/dHgntpp1Ql7bkbS/8oL/30BhBzofuH2iPMRd4oblr1NJFpWQKo ubrUUppJOB6gvD5vDDdbRd9zhC4iPGB9jqIom3jSk9T25ic2SHlKamfaTpnE76QA8o3f9hSR8rkk mg82Rzmjjb2ZigzIajXpB1GpFfYyZxmVdCmTRPa98dt05lLke0kdh4P6bB2Xinpnky8Z9Wt/YY/O 9oeWn09SFGVjSxOydrlt5EA5dKQ4dGQ1k0R/o7bZtcZW5KIV8VqUPJpsj5xPP7ELRZYGNBXgLYYa LnJbUH9RHLhOO4QavxHbrHTPFTRIBWzUy1eUnYoBClHf/rwR2yyC9MwfvyH2/Nkhd5KiKJvnDOI9 h7BVNqwYHs3t+VqdF+P/xmr77iEVbTqKymolnXsBkdaQGHqnxBUmCVS6nIADKv2W2uhYwG/569TO 8mxKkBNhYgjHxiW1gHE+8fNGarOs9nuWBrhxAIbYLEVxNr4V2KzbadvSBKCFfDbjnZPQJYdpvTHa 9P6EQK4qbY6aHpzWee/JgJRehBNQKmJIqK6EmPV8/va1JHmAN0KbRSs8lAqVFzlYF+ncCyK0nc/4 vPHZ7CU99WewgNRxlOEBwBufzU4lSuG0LeUpXKO4kok2Pt88qXBW+0QRkcr6b3S2rwAgoaBog83S uZhpAM6M7fyWdHOEjzOaKUBil3zV3/IY9rrvk1TJY4MnnYaha+qEO2AzMX6u8/PGZvtPq430SLQw Waa2SQpRJGWKkFycHUlk5bnTTvqJEqPYkQmVsoql9MZls61AqM0gJpKDTdJYGVLO6keinNQp4jlA IN1k/KJa5Zj1aa8b7TeB1ifr/2CfCo4Er0yKGp8Aan3eiGy3PmHbjWEnFhFwEjZJYbQ92bQtU1qd DJjMcwd37FXUF/uh85PeT6VWxQ8RUiI/9Ug0I4GgpZpZyRutN5Ta2K8t9IzZjLctbet63+DsRsEA 9JDpg5Cj50hqOsU3lnscb38XSNClaON2Sd5YbNaayVLydoOGOkzoDTayw8Dr0GY2scU3EptltjJH 780HR6+ftBmGhnTbSeBFJBzdJEyjknK+CkbcFgTw6z9vLDarelH6BlJINmiSQGuS8tQs9fNGYrMY 4jtSAuZnQpjUJimubAvO1ty1sVUzTD8z14or6S8U4Hhyv1HYLAhgvzbJZ4RC71YhOVEtZMyY285x dhS7340o0EL/bxgIK7dVieSNwWa5LffZ6ndQxJ33ZjL1g7/k80Zg0zpMjvLHzsLu6KN5i+SNv2Y9 g8XSUXESTc9ubD0KQw/ebg3AFs1RGHGbdNnOPjgNGVFtkgYAC7hVDuEZf+/ATTcve42J9eeNvPY1 RRDw8sGSkvO42m59j88bdc0SsG+sDUgV0HbyKQpRJNKoLs2naMxcPZasuXkVqRfr2r7x1p69lgvZ WBpsGRW4WoINiWU0ICiRdXThJMBtgLjTw22toqio3Ylng8eqD8aDNKYUOc6fN86anWbfFRKcgqNe WNsbY01PZR1HjvUHVdjrte0rkrwY0jfCmu00rKIqRx8OXmgbDXFIpSNh2nADYzQFLUl4M/zglvA2 G27gzxtf7eZd6B2xpj1Wcw3vsxaEWgEA8/NGV7M/9nsVwQfICNqcojDYpp4pzFwstUX0qOR/QLdb qW0n6ZwVkhZitYtSW8VJDxT5HC8LinFyYVgZMElSSzI45LS7m9fXEqE3vyPgYwn4V5mQcDC8Vs+N yjrnbst4PAgi+/cUIRVp+eJHWxhpZ2qxt+JI5HKz/zMr7iDb6R6sVRRVtFORUUWfPtxLrSJ2oQND Q+uxUyGvUa5M8AzUIq2nrZ0WQkh44+/B7J+DStonjam2jMrA40HjP3tLG4/R2DANr9f2MM6my+4S vZGdHGpFCvGfCQegseVwZc7+HmfLHBvFIwRJGuy8HpCIwHmBOcLxXWl6MgHb7YU2so7WMluBHtMe 6VuV2dDu2RvaKJJ34jGgIYDHIxjyd2yEtBh8Lp+iKMqmpVdNqoqwFumO8SizJdtpdd1ebY8QJEAy n78fdj82WEkbCjAL6EqqKGboU4ndBo1JbC0supv7U1qjRyXtylp27ZTeqi7fUc4liTYwt89JRXoU ZUs915k1A+onc945CoNsCbyygP5/mq7cHc9eh8NH5/UT6FFJ29I1bG8bLlQb9p+Npt8DYIbVCfrf 6BJnyijTt8wKtsSP9CjInl2+8bIihOcPqxrQuxiGH5mt4PmAAnkLJHi7PEngvRXbHpIgB2tttbq7 167EaC+UinkQSqx7upxNj8LsucQxFm7rsWJi1WdPcNhx65xAU23/wqsyd55G12s3M3LGtwTxEcW2 aB/NQZrmJ5VCZ5kqLa13PB4RRdf3sU3QX/XLv0dMSCiiICgymBZCpWqTdK64S9Oi+TNzkR6G2baW VEbKTxlpIs3PyGsA/MuogWAeE1TRWMDNg1mtwmxebT0qbC8WRqb0RyZ02006alQyBwFF2hPPB3fb +MZHIsn9Av73MM5mK7I6WBQFyezQv7mK60SMWk32vYd0SEZIaBH5YJN0kjXybNggyQMG141QZOw7 ONfkWq5U8KS2a4/w2rOqxsZIKYGc1qV9MAFxx0o6P/LG8//ab7IKbbBTvYzRsLQtlM3cKhdBZQt9 X5rI9s3uGtkRwJKwiNTj0rZEkllq42DbDRCsRGXbBg23c8TSQzbjOspw8kCv/kuJc+p9o6Nbmm1k rRdqGRatJViyMwaYZxPiAyISiUNIOKWQNyvPhnuPtgFH5m8p/gPN4mhFdu46MDMGdxk6ABTr44aL ou1NXYjZWUbiYNN0/qaFs1VCGiA+ESIJFNfPRo2jlEcYn9pr+JK/Ljjwm3wwxBbcLxkEnJ8cj0e5 /2Wv838Mq8F1ce09wmyPJD4kBgom1pWtINkX+asKlKB8qrUUQUikjLGLXL0Ke6CV7nAQxSk7CfuX z/yJscUDKTUEkbVctRF2A/AtEa5NxxLpoxxUIWkSyEfEvfh4BJD8Rf5j5x/Huc1SGHKrBSBdxKW6 27Q6UiU5iN4BIKLbLEXF7UXHt0nDaA1ekcyoPmbS/BdqlbDpW4TGgO6CHivV1VSSHGSQ9ghGoo5b bgRKcjBIcmauTEjyxOMRT/u7mYRwhQL6TtSOgm60dCCP2B6OBIlt6ibVe8OBis6IckTF7UnPs9LK Hby7XSegF/Q8a2AMb2ckAQ0ERiUuONFIpMs9oqDbmklFndtyVd7P8uzWcDu52wiD7ktsUwcTJll3 IY0o6O7ESMCvw+D/tbO3vUhmT6qXQG3E1X1GWNoW6Q9VAxu8K4k7YC+iSM/e60CnEtoOvRhEPhmn vsuPYQHiSyJ+JCVr5GrSqcLK03YBFs5DCaY8eD5qb3sQQJf0c/wC7e2TFKK22boVvZCT1GhUy0hp Qp1FHImNdpomKSptQy+JmVnjwAMCfgDpbD3wtbf0uKH8ONiag5UvsNboTl+7AGD78B0BhhQSURBC qxo8mJyrMdH8OTdMx+NRj+QbjYzTsD012xFF3FCMQT1yX9mR7c4TUPVyDmlajpEYUcS9CPnLg9WS PHgxD9Vs0ULD9YytBoftLX8Y2B+iRwhuiAcAsPLGd/wFa28SR2jZtjOcxuamEW6D1t8Iy9r1u42E tBo6/z5FUbzdOUVwePd6ZDZbuNLzRZDWNrxtO6J4G5RBxJD9Dg6OpCepBOygBnDSdTqnk1NEgytI qRrPlqr5+JK/xFnmpnbrBJhQHKKz+RqhMOcTS8bz0Tr6Vq8Bl/7kW14nGVG8zQ0KdQqnbJ0d4LZd 27kSsD9wqs2I4m25B225du0v1y40aGHnSQAAWpMyT4fh4A+hDYhjbR1t8v5HVNeeTEZa4o5r3l+o MBVLUyW0MfF4dBp9Z7dMbvMl/o8Qrk2ebaENiMLIWl3iry3jAFRsFGO1jQiuDXDuhhpE98HBNmcj 57zoNoFeJHFZKLexlAMWZ/kCa1e9bdRmQ8qezjxrqD9ywjmhSSJGlqntCCPt9b2K4BTQCLTVFEV1 bcLVkD4vv/h79dJ/J7vHCFvNWLYjirRpS5kzjDE50PECpxEcplDYptHEAgG3ya35zEwHnWgRhqwp wqLGd0TBEcpsq7Potmhf1XUcZaqbUpu84/ko0v5FakO5r19A+4gC7a5+7a4Pqc0JpOAz3Dm6XbYR BtryM6tt+mD92ome+FhmgL5phAOZli0ZicWPv1uNEeQI4+xG/UM4EJyh4ThKgv23c0gxWFrnmMIH BPn/pf7x7UDWxY6zWYoC7SWTid28SLJXcXe8JS8O+i2CKK3NFte2SSAp/Q6XQAK4BJTUGvB6aTWJ 2bAxh6CmTMqzNslFNb1ucCAR9l+ktF3o5MWqP7o5gyfKOPErno+KJOM7aUMmMuel/s+wuk3v8yqk LX3yWJIiigS/u9XbOoALrJLMPzX9Mq4xHyzQho7WnomttjNBIyVzWBg08KuGaR8P0XaGIBLZKU2e 2hyEbNs0F+btXyoeD+ao9a/thk49ZPJ9iqJAm1gVwBgbM1jkIv2pkaDBKWFWdHCIIZkhP3JLD5ma P/vR/JmJWOQi0XYUMhAnnJy50MOe2ualP5Xbau/7l/IhyiM+6GrrKAVIMrsXPB5x2n7d/o3xhwfa Mwq0WRSv8l4VZosEep5JWgw8kwqSeSlHxfRI9j4m67ZqJFham8C1xfZDEAlTaJ1JOIngF06W4qVr LcojzH+UtwdRScktVEmhbtL3O3kPHv8X8W+KcIoYttxZimJtOkuWtlxo41xG6bq80ZSF5bYE/U/N UhRr7yrKtnbbg7SZSF1X4nXbkPivQgZlg1pmB3CrlX4ZJN3eNsKRygtv3UFlpFKFqAaEZOHxoPc/ 0/exvdh0uQpkUaytsmnPBoRAn6y7P0kVZBtg24V7XVMUxdrDRNrYb+RgC6mcfARSY5K0gcfzIqwN ZacFrlRGBuQqyARtz5AcyXpt3/kOKo+UTO8hqQnj8aAl+Stlg17LKMOrIzMubZOh5axRbLaxPNRm VYYn0kDUzqttRhCSLuxIEfSvXOgfpDPP0kxbUiRnWWWaWDNgndjsoPJ5kETzyRmF2rWbJFL2QZjI k78zQ/gBwhCPR3W2byQSZHpGvujIGUFIpPYPqJmnbHSMFIa0j+xw5MRiJKYoKmyTQQLBkeyDTVGF nuZEl5yBTBvck2frwYyAVIkxHzpb0dv+dWT3TGfcjqPM7ZWrwFrA8eLx/0WHBBzxti4YeYa8yCn7 4HtkU2nOpmg0n6KxnIg0Y7U/ESJn88GmqOUOfW6GkWd3VQLBz1YEnVt192stZSJkM6ppy3urQoXH BuMhdVBsWWPbfDxYRbfRxk2ZIAt/Qe0zgpCwvIgsbTkPaTU/r+Ffang20qk0RVGkDcYzGFhl+GCH 0YZwMmqoPIxgRk2/FvRHfnh2F9wrt6Kd9LoBEJkZ/8B8YyBAmOkIilHsAa2aK56PoEjfWS36dWDY +SSFtMjGinYbDvpLzRW2BrQMvbONgpC2WqxDQiYS1p4NjtYC2jElKmyBAYBOALNaVBOhDJ6BK/ZJ WkWv+xdCguJNNgiLdPIfU7M7CQ0ej4A23zEkod9YmpqjFYXZ0BlkbyR5939PZ0ekmVzzBxVCLaQV gUgGmcV7EovFwfYaWJcKg6kv3vdkcaRCeLpDYahxHa2H8b9CEAmP6pk5RTPfKToXcCE3Aka7eDzg RV4YsqJzKHxd8ewV1rO3aSHdKWpWxD4B0/DM/xy61erZKwSRsHC0JvM1Do5nR3V70P4SJ/YqgALv E18PtpXRh14XioT0Hd8RFUcWdTWSBKQQKenIhh8mxWFOalDw/L9EyCRCAj2d7gWkFSpoC0SS5/Q5 qg+IJFdXjhhjWpS9YhCJetpraGCjj7Xas9dQBZENZ9rMkJD2ipcIkkJ6YqPzv8J3BOXszXMocao4 CGezIILHY7gtPv6/iESTq7GfnRbyIpvp9y+rsdWdvMZm/aRJAInjtFeI0+6EtNGURcNz8QMhtHmr deS07H1XNFo76o7AdDufjYi2FZezWUDKlA6ja6wEMaAoJzPwE6sUPP8v2B9RNoCHQxPHJykKspda tWM44n+ukawJ2altxLZIbtUkEdafvEioOU0fPFuDyNMsRI+exQNg+6YCycl9FlnB/UlE6JKKLwnK bFIgKVUDKk8qIKHpT6TBOe0ynv9XfIRljj7BpMuPJimKssnLhfiOraRSyAOSIcs2nU2QtbKvpFCB ZBAb8f+atQGHktmBhZ72bLCtl8k7Ulo0uaQ6Ll879u7xLRHhnymtCNuprJvS1kUMLO068HgAIFnf F9tEXWTfLuQKodqZNOTlVbaTn6flQWTrw6ts5U5SGGdLxFZOI0vVU6Ui61ywaJEw78eaIjdy0r0c UGlKtVgBCWa4fN1gjggcyavLMspZSOiTJbGQUmp4PGL8z6+FBLgWfbJsjqJAG8X6DKlar7E10sJo ZkdjbvlC7NqsErlCrHZXvXYkH2whrYTyyMjsjJzziW5Ya3cZSYKUSUF/h49gBeJb/gJGDKpOYkhG TKd9PPtmqLKtsKi9f0VIEwW+ix5ZIVobYKOyS/LCv1xQJUDSmoXaDTqodrWFRW22j8am3i8HB/6d UABiSE34yJMitUbtcVoj0nVicJI6JwkP4lsivDbVkCdh2xxUHIH3vBqR7aRsKwq1y8xfK6lJQeCK j4c1bQrYS49AvVod4Zikks0YAfnWMOWoFatrcwn1OX3wHlvCjQP6dqNTQG6cpDMx539JgTkob3uQ NIZeN2pEEjSiqm1Wz5wS/xtFAzuc8Hi0kDyO5KVIPbuHPbKjWHuQYAN9bZuksbvLtCzqeog9MpJR I3ZIjNyKIKVBNh8NsgzHtDS0ks5FBmQy0JG4oSZ0EIHmc9dWlrR3FGsrZ8uD8tEcVB1By05uY+c9 8XhEsLnV2q7i3MlHph/b+z3YVvmQlHbXaYEHmOYIpR/L2TqbmpqjP0VIEtIzH+xI6meKSocGMjRI 0fnpxGqBObJRgWH07Vcbhf53iNgm469v5iUclFlkYJ1kf3zy2v0ebMNb8wJIGVlD0WJfZMQOo+0k Asl2u4jeqktHL7qcsKa90bnVJMXRNrN/wUM5eNIGb5q+h8Rs2bOnuvZkYgtJ6hM6Pb62Q6/7V51t bXqycBA0ApoUPJEAYMTjEVf7u86G7f6V/O+QFkkGxxJvrUmE1E8kmKg5eoRS+ZyjP9EjJ67q3Qef I3jikH+BzZZRkUUTcjd4H3WQdrjbrIHEq21H8fYW5n8yM+GgGOlEE1WCvxsLKYKPtPQLzYZce16h 1h3DtQcxI2M5g4TJJRkkdbi2Vh044DRHMSuS0CLRI9KlRxRY7oGwvqT3Dz9TtnQr6Kp9oppNIpIK baC64Dv+QtiApKlC25kJ3tnzhF2i/EGvG88H0Ih7aos5Smlcv/73P+T+lMNKh6S5J0LRgSTFn2Ui pDtEj3R1Rtrywclaa6A1C4T0udqwrzP2WuUVLrfVecHaa+htgwhJakiJChsctNVgjcfSHnT/8Hgk ivRdr4UB+knI/PLfEXokiRrRiykiAqGSbIqmiEgga7GvqimK0CNDJe3JzJ6Dg5DnoobfECYSAEku IxoRM33Jl8+Gnc+3jbS1MKFrcBlxUL0W4iWqIZ1TCY9HKdu3/jhKmcB9+hSFIiSSst25XyKSm490 XBx+GgEkTMrfDtEjU96+5LPlh8928uSTwaLySEnkc5HhcgGWDbp2PMOap7WFunT4jiCE5PKBiqYP WkVESfBcGthoobL2L50WwFZHeuxZwpI2zdKnHEcE2J5aUADYVOdq7UmH+85P+otbm2DHbIPXR9r5 oFVUH0kNLmzMf9HChmXUD/oMjh3ZU2/7l9Y/ils+GOMPtGYxtOvA45G0xm9XjfP/0rWp21GU3eiq sYeJjLLKZhvtJGlXenQQh8ONFiFHQOk7czNZZePgqUg5980E8RvSWmC0NwprozPcaQ1EoV+Bj1ms 3bH6CDUjKg9aDrrSGhoAWb/IwONRSvvNQELO18uFIAmo836nISBCFVUVpEpkoXAjO03JRyCnZbW2 66P+uNTOBmKHhMMFIZ0jogIKBuRYRz1y0QlJSr+NbLTzd00ZtK9tbxz4jRUij3O+BMkscY1UR9mi auX5UfPt9ce8UHay3wC7rxfJTg7/+/dSyh76fJIgKQtZguAjmSGeNFr3NWhPsS+kBdnbBy+2nWtr r06ALSpsZ/uiREIXqQ3gUSFcyzR/GGtTc+P971S/X7yIenkRKOCaDtmamc8HKUn7Tm1h3ANc5rVF SLGZDU6mJjHboYmyfiQ8wQ0dCUU7Mx/LKcKQkKqVphBb80FsnSMT7gM6miYKnOq2QbAXQBtksqnk iyGRDWsKQSQMtbOgDZlO9CJfnUi+bSOrLX5AECuV73oSUOoDitQ+U2GNmwUlc1ylj10pVnRDYNMt MYFOmc9U7BVJG7Ipn8x5HbU7zKHZrqc48lkTTTZksJDICdSwiqbObd4We+NII0EGW8zjSjHhs3MN n9tHDAlgAPB8hG7zcIBtTKpRlktMJogm6LstWrLO7CQJAkFZeuvbgCUVekQGA8gpqnSPIiXyeoeH 4Hai0iWzjclcqJKUhOshgQ4ForiXlZgF8Gv+stuAKbMPyU6plKUDsOElkUJEyS+eRHZZhztTUQAu QQl0Vo29RS40jylU3IV9A2RzGHsrp1hwm4ibIQvkcUU3Zjv/zbnsllClCDZTp8odsBUwhWfy6PW3 tO2F/8ICjtnuoImieKuKakPPv09UvbmcJIP2zzy5052nsOBN/latZiMBAAW5pNQBIgWBYkkTFl52 nEdh+FTFW/O0nnka0IpAr6rSUmKdzHBSCAgzlwEcPOl5W36e79zsjaOETg708w4qntTVtzzqzmTz +YhZ+i2bkEEpmfPL5S6UKJFiUs/JZwooB2Mpl92embqnVFj33vLcogYXB697Lzh7ZepKQYcjZ1Le KwqT59+QboDPmBVQeO7wayIy99VK5pDUHTi3CYk8VIpufD5y36rfeR2gUqs/SyoKydcUtKR4DQVh 5o+ruJtxGYRKXD4hp0ippGZpS9GlxBrR3HqQBDxrXFJu0GZMpOBkRAgDNzZu6AsLnPa+kfi26G6p +iDM2wSTi0F5aYPPBzuvfiNMiYEHaurOU0id7ETjDLdyOzGuqycOyTgIjYPczeYpLH+rqb1388ED 84pbU8Wm2ci8KnTYXucC27h3yy1/l1yLvXBk55LYsBzDB5so0A54lg9GnKHnzW8iDmtX6V56ob+k FKZmvyjTlqbTlQQ9UhZMgRxZJ4Zok058oMkE9Ef5Bpr9iJ8KiymgkhCXUmlaSHL0pvCtDF1otZ0D g0kcxcS9qeTU+1MroD0zvvBsej4fqSh8C3FNut7le0CFFpMtq4VyrUqnMMudMlPN5gnifs3nKYKc 4EGKJ2cfNE+7kPgA2BtyYXDrhnDNaIxnNBq7J3rmVZL/sJhkEDXJyOWgaZp5TKKzTvpY+XwQmJdf gIpJtMI9nmKTyUzZe3aflQ53cwmA8g4Zy4X4Liw73nihyyTueCTCNfvgtKVzaUvFAvkwdjY36Ekq T7ZOd5Z94aawN9eX/MFb6i0l1egYprAODhs8KmyejI/PRy5Kv9CU+OlQoPdpiirhUlJueW6bJvir W3luie6N8ty55quvpjAoZ72g0pNDg62ms7Sg6sLAoEB0JLGxsICxAjLuB9Qyl+Qa2d432nQNvC4S 4TRwNTU4oKmXdD6Mz0d4gW9VDsC2oVh5pymUCKQbxiZinZuO+alJTrXkqwlClz5NIZkSiu3nmlnF B4cLdkjgJ2Lg0XtalY28CpwAEXKZfV6lLhJUyqHd5FIFU9pTSz3JRFeMXpvsI8bm81Gn97sGBdg6 nQZ8niJhbhJzkALbroNgXbM63ZDLC+bpLPbpuy6MxwmrzJ3a5bnfy26ludnjY7kXrdFB8IWSDwga CFZpSCZFBYHhJLadTMvmHQQaJOVX8fgqfP5fWsHef6pPOTMHjpOopVNOcZRbNejNUDrQi+5WNaA+ pKLM0HJSDgADeDEbblkcvEosBDQOIFtKHdyBguZC/AOt/1uuUx0q9JxEkAjkYE4+KBVG64Dqo1iy fD46xf2yE1gVggpfdspRNM72Xm0ivvGf2Znw48lbRoJirs1TZIMjGtOUYiIHm6dzu53zqVF7eiwE Gw353cSJuha7mcWt8EQV4rcE6ylTxXxQe5qDgidsN9JH2wkb+Py/DMzYv0LFfubbZck5LJCTXNHb mL6gKPgnfU6C1LWgknN0co51TLpwOnfwMtTG7ZCpZI7C/x4kDiptSSxoZpahBNfpzd44EsUn7HtS qusaE58YFuEHz+HVCp+P0pZvECE2Sl/rWVEh7nsQ902evyYqVd95nZxQ1esuGDXHBpRd15h7LF7v AFApC7x8xEMdgJdREhd9GdRv8hzzP3lL6ECpOLyUeQetKFA3VSk/cSyfj/IWP8kxU5nWNACF2ESF JpRINiAbWH2ihkzemAjL2GQgzqyUfkMRKnShXII2i5PCwSvAVMORLC6kzdeJJtEkh8jcgqr5pArF Uluq2fsGBQMWgNGH0iDHV5bOGldDPwEun49EBL/Dcdx/4+nd5dCHktkzyNjTtLoLnXJZgRqZ1xyp qIV8lK6PigIoAi0yLQQ4+AkFXw5oCFAZF2VyxpmJsRNUlWbybafQll/yZ5z5nzS4nlyrUQQBZ/Pk 8xGt2TsvLBrj+ixPASo0olyNGPBOWcX9iMCipDlSVvkOkibLbV8DJ0qENjzBJbdkVTORUiaim6oC 1DnzEq4ZwAlBV6PpLvHxdj7lmuyNIzkqnuTUfDknObmSDBmhIc+/EwIR/IAoIv9GFGI1w8PyzlSM TZE23rArr8D3wkp1u5puPgSpevGZirHgRIJl0nc4eGiQkJIXGQk0GBXIjRL0DlBYUPxN7bnztr1x gCugSA5DLQ4Wa6IQvxgBgSDO5/9F4BH0ciGMe5ZUaJMz5I0zumd4xRuerWuhUUy4ucRpDhwpXSoX f7kPlwqG8iUqrJBDHqBhkMLTOmSz0coG9sOL5NNfOJonBplDgkuAV0tQciacDyTLtzX5AVE/4Vbq mgUHFBHzmYqq5OAGn+kRxsm4TuZvdjZvvsZUo9+ZinEqwjsRXLgfcOFEK2RBuoNYHihwwi3uxGVn MjsrVuva5VBKhF/y1xk1JC7MQSWDE6TS7/cHPvN8PqKpfDeoQGYYeTxHeRSUt8JeS1tOCZu4t22a JOjR5JjjlZXAmBI7T8Jd+Q6e5AEXxkYndJc6wXOsrAC6CtFQhNauu7SmvW+AxGAwfg646YOmaXf5 a2CaNp8PYvL8S3wBdizwpb3zFAXlLDVAC356kte2awsPdapYqevPPIUlcgJW0qrDB4fPb4p3TSbD AyLoZLJmoM0mBft1QDVuPJuoELLCjifQhRxgwsu/FzBMVuWhzcjn/wV7ptg5yyrzmacoJh/SqCop +TxJy5PJcLkuVWkMA63kwJ4SFU1uO7R2bfAS1EleZmkkYjb87I3RKC0lOrYnwDEG7JEeXA7tKatM 85IK5Wl4beVcpZttHnQk+Xx0PP3CYoI9uOp1X86hQyVqXjie+kWu5Gn4p3H/UwIFvVQXWFQ6in72 dAfPXSYyEqgIArJaCh0EQOxZ9Mw7vy8RUFOmueoLhyaVQ35eI9vQtJ5OUg8DY66n2vl8VIT6JaBz /vxZr71gDm0qJ3l0Z8s417ArGSb8uZjlMIDXzTsusU/lEgqK2t4cbD2BgwGmB+GG6EJVMxhkjI62 5LoGg8KI59CoMpPRu+DDaoMXyLtARSqQB06Vamx9bzsUyZ+IPLSq3NIZyMvpBjIGFhBKSHosp4bT xqYpisglC5Nyu8O97VIq2ch0a+6VWH6pdECidzSKthdm0O2FA5iB6HRCG3BQDaogoiHh4MRlfD7C rl6YAf/HZxfX/sxTrO3N7oEovZyndL2qh0uhQyEmeW0l9KvkcjrBUW0+2LYjaHEijkTJ4MT5qEpA a4gOcVAhODvRyfRosNobR+wVUjLwc2LA6p5KQs6KtQCqZ37Av2aK3idQhZ5PFSp2raT1eVvm4QGA 5vQWXskt24rKbM1oRcW2lZJjYnGFw+0Jn0Qaft4IySE2UInxqQynUXX5yeom1K/ueWBcCQQJbT22 3D0oqSyCBvB1DBTOIs38gGjveQjF4h6y4XL1dHJsXUkeK/CTdkSdFNXLUNt1USH56az6HHhXAmda mLXIviOnB2cAU7S6eOWdkLMuMK5hXQWoC6ibBV/kyPr7whFsrLN7RzgiwbrMN86ph8OcS6qkyQ+I uKy/3L2RLdZ8s7zAwRJkdWR5fZrWMDzQa/V8mNVhAVcgkmcTFamhDGqcTLoza3BS1Ekxzn4Qvm7B TqyTXrdxfSYwBzOln43xk7q98V+X3hI7ioMRNfuSPu351SufjyrlF+KDJUUrlPpUNkMfSwBaaRnr MpbUqzdtvWGBAki1wyubgZElILLEGWT6fXHwJZXBt1bBDutozq7+Of2cktzFHkJLtxeOspeqFh4B iRsEVZk0nviIBFx4wUx+QGQc833vMXj9KrEEXpYosTO1m5YEU5VgO75urEc8xg0Ic2xmSbOvSTaC Bpuo1GGIlgYpZOccbkCB4JRC2Z10GeBlbi1q+xtHcpaUjessAXNQU6HgyCLS4GxCPh/svV9NqiZt jGei4lo5WRtkNLOpkAeFG/HyLdVuKOCMO90mKozLVSvfdGyc7jONkh0FmnBMozFSCEZkLQraBonA wD2uC+FWrTx0tASoB+c4udIchIA6AUiTptWJjfl8RCXzwgFmNSMjWS09F19YLEe39Pwd4xoRFgrt JzpaA9eFWtRZE8C7MTIPTS2BueYeyz7YxVcaup44TxocrFNCsWAtgm/PpYuuAvzZvU/V/Y2jEEHJ C9Ubmqs31IqMS4rsaU8+H0QIF7LJ1wMEkcpImqjY1nLrkHr4CW4pC34C6+ZM9a4hcQ58LaGWwf55 TXewNvpEYtIqUWOwrembHI4FolSDokHH78+MGEQDe9+/DvMxkjSbk2k2Y22RUmlog8DY8pe5hWp9 EG964AahsyUlFM4s9YvKWF3h59kim+EnPXfaRWWE1paQ8jrLCOvfhst1Ac4EJT2YgLBphd1JeyJA shFO3VRvKJAKvC0FaoAZLSPPk8wa/h5kuK3v7JBuzqG7JRvSX430LLENn6goOIfxKLzkS71sDtNx hvGO+V7Xtnr32kFgb+miKQkUARscXQfNZqoIgxOEcz3T5Ap+mL3A0JE6oAKNpWLvG4EQNydoarrg RKM2SkPRg/T784vwAyJRWV9R087y79JmYHCJE0pqKUaQxj+LezeuOUxbBnIwnhPHDpddQuB0lOfg NTvo7cF6Fzlxg1Q6CAqJutdnJcN672pdyZ8oBwaX0veFpxUFUzmIGnTyom3+MkBDhQ6X+dIURC7n BXpzvcDi0rXA26MKspo5pJ7lVEa2rmeBOIOuvD88LnnXCTnHwUssqYNqWRkbLKRnNHCYMD6YEFDJ e1z8ylQfPTS5lNLlRPvdBuXEcIcSV3HMyucj2sv+micokvZ0PZxzaHNZh0xSXaqoVFVb1o8EGH/c o2h7/hL4XJoXaEIPxIcbQ52LektXnprO4NosGMychbeJyxjjwa9se+GAR1XYp0TuA+oDLCC1is79 WVnWBXWEH/B+kq/9XbQbRCF+nU9hVD6koLJdzXmhz2w+RbOYNBgCIY+hArdL3Z2wjVt3sIkqE9KN ifIg62zIDtWSE2w2kjkgtIbA4e48qszlwPAym/RFQaeLg/RBIP3eE6X0ekbtIHS8LBdQjsWT+S4k vtlMhYKFdCtEe1tbjyAMh7A0Bxygtbd9SUUQljolfyVIVHkgUTBzLoTcUdtpdEI6UwNA4IS0KHPs O0+iBwWul/L7g9c1xT2GHApxQs3Ws8RiNthBge0lugrfF14GxGmxXcZ5+rxGUBmkQxpfLYPV4Z9t XQBLNkRU3SigcEG9xk/Z8VAE8dhg112BxdUePMYnxKHx55wVRhkTkJLROr8cKsRPr9FTvlrFmZcd B00RyxJSUVl4+mWCMhRmtePcJTBDSG3rP/i8Bk7nKxeKXQB5F9ULYDTvzEVab0iNZ4zmxbpgenQe URLHBseQo7FQgH+GjzM84BkNJAiOTMBrMsBF5uWwdCy9zo80bEGfFztd8FWlDCVvVewWnn6bHzXe NT9LVyP3q83Pa7yUccF19RCuj4OqdbzgUM6WNthihQfz8xotZelRAbFahg+2x3pPYCpLFbSeg5tA V/D0EH6z3zc9qqTG9+c1VspA9MAbM0EQygb1WTalR37IQG2f10ApP7Bxc1k/O6tNVgcwPa9hUjaD wkJeh1UwKZ4j4139p5V2oMlYiq9BUjY+Wc5JQVJ6gqSTqEBaRhYOcC2uLB5U0sUh8w69SJ8e5Mmf 1xApuxEINCY4ICnmnwXmG6neP2gmfF4jJPypvXzND1DYFQhFzc9rfITtVejJtoyOkbFSPX+bdZkg CMQuLIx8jY6wfKq0rtb0wUtMwJQmXF0NEiOJ5L216cCVpZeGXMP2FyWjP6/BEc4fNp7y2sUHleJQ QmZ5iP3x19AIT9fvCYJI1ZADGCboNTDKkHweTP+798YzXaLFYhEsGnH2SSZMVeY1LMqolzJpVXTE wY7n89uCU9cxQShbFoBpT9iOjjTsLrBDcru9TFYrX6Mi/pw8NBbvsLKq+6QsyCBQVOZEkZ/XkCjL hP5rgs4Ls0OoCXoNiLI102ttLt2MlNHKlGPU5grgbThv7DUcypJqZS+8+uCtOQDmaxcKjKYLbAoz fF/EBwPV4VuM+dprLIQtxnL3rkn+6DhReNZuaj4zGOq1f15DIRxga39NEAqOgJHYBL3GQdlUQCGB 66G1asiq4xbraQKtt+wGe42CsMUIu9wiEXDwCx7soQoDqrOoJ8jrjYDnDLUfSKf/FADTPbImofw1 Csou4TATsTocVHFLQKBYSyR/XmMgvmL+miBUO+AlaBP0WpbMUkLirOyf/1cGpLngDkTgs8mkBWd0 UjUS17UN3hDAOdQnXa0XVuW5xhBQQ/VEfjyV3VgB5BYNUl5LkpigTdFOpHMYKFwMdFwnK4bhNCRA gwnqzwriDCFFXPeSfy1HYtkmXvKX01NGc+Pv0dY2skqb8kaHxEUUBDWBwIW9IYPpzlCGUzMx872T toLcDKcGAA1AdfZ8gXF0a3otReJnWFg7yFM1qMaGM3TRA1eA1NdC5K9rTPxVSCMM8ldFMA6miHaW cAXsdk6jAWRTtJezw3hBO9swmqNV3RDOBgftIiZMlb7f46T0YOQizweq68RH8IpJO/38Ekh/J9Fl GcAg9GS9dj/1Wppab30hyKvRRpv7a5YG0vxabiwdBdNsRQGf7clr1wHNXCMl73z3li+bJ5qkyUnC dW2DnUUT1uppsFFyNtKg4yFIBZlGQrl5Vbsqyx963+C4psze3NQA56DDCPJ3Q6pElY8Hx/VeX6cR KN4o1fgkvUfUwKHSQX4nhwcsVY8YEqnJVKnaOC9oMJikLfgEKNU2XPBSYxq7TW13Vx5ZsN77IQIB tCuPiIbgusEUdbp+wOTGB03RyR23OiR18/EgJpr1ax2hqGhmzZyiKKpGZo33L9MRFPlKpE3FR40i Kc2r/lFYjSoiwqG8ffDNViAiAm4FkLonukIFHS7XZ6lNVLRLIX7CrrSk133fa51F7NEJlOegtAwq TsI2F8BPw8DaCBd2qbFSBDMizdF7ZK0yGE6hZXMEa4jpBVoxeBqdUapjJ95Da6R4SD0alosNyp9T PRcCKEBJZgSoOxL3DLe1AQMYYNa9MTJVdwyvfdLmCuNHuktWw1SeqIMxErCtn3fanAVWzxyhinxu /nH3WhRdQ2kD2k3t+hAR78DYCD0vP5CGZ6/vrDmc/5lc3kTHRqnBWvqKrsrMtI+Be3hPnCS4KiF6 O68N2pkd2sNfN7jZEDcOYjFt4CSdnzaptHqyvvJ558xx26xfkwR/P9QqbZLCCLsoh82utbuaV4g6 QCEOBIDLmiYpCrGZfJyfai8fPMRGpWlJIXWe0GkLp4OyzglzKR6V3M+yUOXk886Yw19J1RhUOnzQ Slrn+mym/rk/74S5/Kh/e5qv/pPPURRkwywuU3bFMQBsSMixoVg4CZ205GCJKMrmzQbVjuWDQ9+g gtFTYpRdIVCHCOHcmmchTQlbXpWPk313ve77HJmIXCdFHG1y5ewnoDBN1rNK+XgQRZqWnJ1I6B2t fEOk9zgb19GSaKMXZIv0GxdTWdNxgiDDNvHvd6YcXp+9R3QrffDNdiZsQ6vE0MtJuhXwa0ZcDHmr +XSMut72fYrUCFm73kGNEDhkVKWyUNaJAu21v1O1DCP5ttONkKJIGxbvgEgYgAQVtLW8D4LWoDkQ I/vSHIWhNhHecDT0wdrZSEHQb2abFv2KTcCXyP2wc844vW5xf+p1o0lCKa2gBWGDDqR1UmS5NhIf EcXauaav2x/LEJ0wrzhGoXYlWWYy29FeW+OafmazAoVsYzPt73d+XHa4zd4sGnHwvXYSqwlYKUWa VtuZNceE5AuucueHGU5NpXQFv+T9atsEbqHa54PxCCExwNxtlvx5p8eh7Jj99r+q1utWrd/ZcZjZ waS/9O51RxXTWHecJuEIjs42UcJ3chxXJBX2BxsbV5kTDSaQRyGwQgAaYqRFO0tYCGYUjsAp3fdA YunxnR3HOJBqJXtrgKWj5SOFfmt0tpifd3acR4ScFBmknGWJWNRmKSpeo3tH5JbHSITZmMGe2+5R q8JO7XduHN5fZf1Cb3QOnthuWFkNkcEbdCibTD/Rv2TOU0u5rPmlpfQebHvSVqXNVKmExEt7oRXF zTdOKPl5Z8b9irbl/IUj4GZt78S4bMxdKC9Z1lavnBVaIMsrbB0rjGfSOy+O8QuzNvXR0tNHQ30c pTPU1BtS5A5fPU4dgC7IoxqCF9eqoPLJOy0O37JZ38fat8Hg7wXaWNxws37eWXH5MWvUoXROsp85 +j2UonCb1VK4ENSbkjRX/95zuFdjo/EqN1xYyZbpV2a9ducvj5QF3Y1NQsCY8FhkzTvB/PBE2h0q CLdOK8+md0qcrQT096Up6yqa50Z2zzrgLT7vhDjGD35w8wRSQHorke98uLuSzmv6qbTKsmJ2z30t T205mZykqJptmYasiNdjRTyg0HGS28lqdkVixf0GpAq4ATCM27M8R/fS+wZhkoRkE0MBDjqUziWj DsMJ6NbnnQ6XH+SthUmIIse+k/QebtuhVNYYntyi+2KHUlOzvwqhbEWSdzKc5eakVQ4f7qEE7pNk hpBvUzuebjtAk+JPA/TmMf5eet/3Q2mxUYgg3gdOUgfCjN5+Z3XszzsZLlub/nYdIZjSIfxmkxTF 2zR5hvd58ux2ZneR22tOp+QMxts4k97j7fMbU6caHCUfLE6q5xc4NwM1qyYEWQvF9tFrwXZjGfQq WlJQ5PNOhLNyGRSlud1g/f0zBE1GX4KB0lkZ+/NOhLPG03fZFuUt1G5tlsLKNvUszwlx6yTEJ3KW UvaiJA4Ty9zeeXD4AwhiK5UYSQ4O+jtnB7AcVIrDnBUSchpSvZEouZCvvdUgLvmdB8dCB31NGjkU HAyj1fJQLejs7s87DQ6P/85K4B5fyj24o4gbVwLOpOJy+8thWTiT9nMmuRDTOwfuqZMgdrfBI+4O WnzldUuoF4QUz7FF2xRkO7K0lipFsreNFhLLbQIklwtIHkA3MUVpe43POwEuPya7FkzC0nLm28OO Am4AtjOcPtz+QzajcrbwGw9lDndrfqe/MeBGvbZlCp9xsCkakGXcQ0nJHqi1EpWEGsxIhP3d84jc g887+w1V10n3j8ZjiYOxutY5/VW03eXzTn5j+b18TRFHyP3aFEXxtqDttS03/6gql8jV8rZpJ4pl mqIYJ4LgaGxa7Q43L2nnsj//hgwf1bygvgs0DACT8N6o7AGgEt2NflP0usEcsUUyKAekwaptqGoK SDP5eLDTkie33FUsAGavSL7z3tjKJrS2NW+RlLS8RVJT8hZJQlzKnfZOe2Ow1YjR3newZQTK38qD UG240TDcAjlnn8MAcSHe3I/sNvS2UdomwbN5B4FFzl2QmiDFpXzeGW9MCPwwkhtWI7DOpygsbG8y l2vJ3oqU9weZy4npv5jLZHh3flK001AbQRLsg19r6KphM1DFCwElObsDpLyB6+8ET+3xjk963b+q toOaLX0QfMzQDzHFZKJ7/lU+72Q3zHEq35OE/uga9ziKQu3Nhvago4Qg2s3p3W1TtJVY9hPWWr/2 neqGHwnh4wkY9x2ssg1LrLGY28KRO1PdZbMaA172uebvgd3JWX7nuWXHHVMi1AadRt28S88hPMrn neWWH+Kk+4WBu3urSO8ktyzdH+a1DmLPdbo9SiWygaA1wog0Q1FZO7HPBjVEH26lDSZNk8ytc1aA KVtZRQI/nz7lJV/dCeY/n3eGW3ZFKlqH2qAKSUKvXHzlzneMVpFvtSqNs3OJPF3/d34bvlXAkTlc Fr3ym5nWkhZuaS2OLR3ZYVmbHVuok/vgaS3KSAMuQixrw3cFcfZGEwlEQAAjSrqUyW7vG9Xa2LGV iskeFxnRVxLFG3o1n3dym4XpT4UEO4ZvY5MUxdngTaDWtrxBMuk14uARN7VKlIdCnP1ObeOBJDHP zI7tpLL4JIDt/B+malFDN514mAAtAk4XJx/5ojvtEGz8TmzLbiGHpj8HtPIVZyf8uOz9r8yXjELI 7+Y/Sq+z1rvdojCbGlRUg7Awu8q8kfFRMdAEehlekHyntX11kUCU1HCpEGeWMvpikFhKuKcT25GA EZB6WPottql09XlntWWX+C6dk1VgxsX8uSYwvKeMCDKfj/LabyQkty34eDZLUaDNNiYycosicWw3 W0ooE9tSgoCbzVJU2ibhODOBscH72h0zAhc6EG+J9mcZqbLNh+Mcaha+liQP8M5ow6/K5j9A9T5Y Hwngd4Fpd/68E9r4kt+TxE5LGZ7XvvPZsvlaAu8o24ET8NEOlHBjGCFT4OxcbZWhNvCQYajNJlth oUSDV0gmwkPEMKCSbpDfyQruWHS456Cv4CuJhpGfdzpbdinm2akbNIk0U4HkxFqMyvq5eT7vbDZu 1+96JHQJzn6bFzMawkgYAIBl7exIaoSfOw1SriiEgR3ZsCV/KlbSO5cNP5KwNqhf2eAraUK+bjOt nSdHm0jTzn4jZwITlndtF7RO/al3Klt21+aRqOSJCrDhkfY82425f0vr805ky/k67VqQhPgIUhM2 R2GwPXVaT3XYoFZ91cyaWieU02/uI/NOY+PptsWxbT44nwbBT6XiMYRCE8SAKWYGtFihYwxwBjdr 63rf4OSu0jmddzDk6DnqWL8/EUb/vLPYeCT57UaBiTM/gEj5JL2H28COcma8nQ3BKDYnKflGuUQZ W6diHcl3Dht3mxgiorKV/tRHBijgUwc3lDY6PZtZPzwJNWDfUJyzEICuDp93EluWpxDuNepP9SsG e+6JE1LwC2epn3cO26/KNrfmWRqzFI+T3ilsVnLI1K9wZJsUE1hq295KOre1MyDeGWxZeivo1/bi g6dt5ayl0SlwCvjXKLQAGyy8QlwuA8Ziqa0qJO8ENgf5w/Vz+2Dp/5ltKoWeb2ifd/4amwfzOwKA osS46f87fc3T/8JoxYBtFyIxavb2f2N7VXMUBtyJ53XjeuJgc4QAe3f8hCi0wRaUDjMNOgcMk+E5 YfE2VUI/79w1ThHK9QnuCzZYTtJLkZPciS8/78w1Zsa/ukiTDvZ+/b8T1whrI5qyXRTJWI7Thnpu 9iISslxNURhu82aD8owPNkUlweADaT41A6nkxjMLppv9rF/U8DzYTva2EWSLklxDRe0xfYrgAsXK PQipn3fOGk+zbxwyvh8IB5+iKNgmzBYKlB5HzlZM7ub8NuM6DIBsIzJEHGwjXe3QVLDBpmiez+lJ 7UhUAjaA2SAgneloOJPQRvQ2G3b8552vxrSLuiRSvFnJq7Xo/BPsxdb5552uRsTW+DqMgBbg7rAp CkPtTYj/Wp7Zlm0tbrjkuR9hr0Tx8loLwdpKaVHft8FObDBEW96EImOyzkUvhe8z6x145D6vqyXh mPiO4OanFMlA2dEGw2thcUrUNGc8HoSQ4/u8RiLS8u1Etr/j7N6rN7Xr41OxujPYe4Vvu1ZRVNBO 9F8olQVtDp78L6yeRM0klFhToiwleHgwJ+f1n29PO9nrBnca/VQZtNugivYJsAYbbudQHXg8aPyX /HVeAwjMQMtINVGYjd4Jz2uvs1H6x2QjVKBknY2ZDlk172E25qiwdsQ6GwcHR0Ihv5qgG+7JSQWO jXQJdhygPnqULVOB/jftkfUsG7SM9pA7L92M8HgEQ/5OasHDhF+JT1EUZFNMraY+vS/CEFhVNuwR q7IRGaUpihAkLOWjvVt9sIr2uevSToVVttagSsaVlqk9PpnZ9ifzp7JGjyralYpbPSlpS0avPKfb yWuqurYnE+lRkP1wszhHED9BydfmKAyyCwVtSrspLZ3tDM/eLTRqq7lEUo8q2irX5l3u4IkI+P3J Skjw27X4EcXKM1EAQdC0zOq1xI/0KMgWpu3y1zoacwwgYUpO4Z9xDkI8H2CR5jc0IgMRMgC3tmkK SZAs/COOtjDbkNsLleJhakDn0EVexVykR2H2JAoJjRAfHEA6Gqr0oyjzP3/oSgSQYMYzVMaAUPKa LZH8+JYgPiJee/duw7RAB/KeImmdz8XjEVF0fidsdPxudy1FTEiz/WbASqWI2fr1PxvDiP11jWaV th6G2YLXbum07KtLtlpHTRU9OOgon/9iIxKHj+UEOwGkcSa1CrN5tfWorr0E2K5UkiKMw1Q0TrRc 6DU0TsaG54O77S4lM75Eq8AjpB7H2YMyEc25bHNkDyJXz1aOrLBnt0kK6ZB0OSkikJQvAsk6wa8M du3crixso6ZxgiMk77VcpWB6EuFLgvufpoPlfA6TWmhomvYBLgZWQM9/iuf/td9YMUATCbUGm6Sw sN0ZIyUWjqjlxHo8LWTR7Tv/JDsCbV3WkHoYaU+SaiW7wsEmCfi+ybJz6+SINkqOVMClcwYYD0nd Q0Waet/g6Jacay3CkZAjpLWUWxb4H/xmfMC/YG1qOSFpvMzj/h5tWxpTK/UxCEeu1Hg5d10ZoGac uclyYDo/D9dSFG1v0tl2adUHL7UtyMNuUtfhTWx+gxNMwo1dV8qji58owtmj0rYuuJUJa+dgHaFz 2QmxVfPE40Hu3/LXoYSf62xeL0f2CLINv79M6LzbfFJo7P/ICh9XLTFRx4lLKQKQdMJsMuo0HHg0 4lACUuI8P0UeBaiBWKQJHEmGxfUPfSrs5GYrAN8SwdooRTdZTOKgAgn6QVJSbouP/2sh0YsYvXaq /toshRE3sZyTQhuqtTXCtFhrS0Nlt4oNY2aoPapsowkLeXe2ZDk4re1si707AcnQzh6NDNKG+A9o N+wWZm4S1yKDtEcgkk7BvqLWJAdDJJ8oRHKlJ1rF4/9aSowCEj2Flq+lEcXcbiW/9z27y/RWEmka okgQkINZGlFpewpFgmVvgxcA4B01N5na5y+pZiW/SCkD8JZFW7FIJMo9opgbNzbgIworGa8wBjgf S+4rzraMx4OY+xdnC8IzI13U9ohibqjHI1Bq5hZbK/W/if4fa6pbArGRYWWkERa2pe8+5MT4oLbZ KzxrmoXtVaC6SHz3maP6s0hYROTk4mP4s/ElET2SciOFYKSJYgspzwWS06rgAoqJ56PKtgdK0imb Pyi1+yTFoG3yItgA5SR1+VXSwmuOYZOUwIXVJEWV7cxu28iskgzppA/kbnRjXkAOQ4wbDuCZxKXN zB9Xw3rMAlAiwncEEFLRSNJQsWR4LDn3Mgn88394POqQ/FpHqLXDFMumKISRdIFrd3KcLVe7FKaF JhWjfRn6f0QBNw8kwI62hqopKpvsxbZY1+4jEejOIAHFUhQcUKTy+x9lEHxHhCJhrW2xSiKpNFYA sFaLUsWx8XikGjG+Uzf02Pq8qhFRuN1lN+yC9xAeMWeq0iFYZOXIth0aOaJwezLOrsKScPAqCbQ1 6CQHlu35czbtmDpqOIOmTQhCjWbL5BpfEmy1LuGIqgE3L2+jEwnMavzIkvF8tI7y180GPkNv866j KNxGWRYKiLsZYyuNqyqdoOwn9bqT9Fpje0Th9lJ+W3LywRO3Vup5C3UjAUEeVUYvwCag5AYnRVtH m7T/EZW1wa2DpHS/gy7/E2MMa9iOicf/hWmnuAZy23zBSCNEawOFjSqkuXVBgrHYkd2TUQBqL0Bs MSMZEVobGGykIin7cNM2cPxykgvVOboR18DYGlzyBhJn+cJqV71t1GWDfWceZEiQRyEjHNjAMX9j ZjvCQHulr1UEm4DWb812RGXtlgmP2PvytUa/GBIKMxtfK/kqigLtqQZS5kxBKAvxh2q2CRbq1tOG bgjQJufQBbsFmqaLIGRNEQ49fEcUHBFfgxwGOw0IKW6PMUGU5+45MTee/19kkGAqQPk8m6M40JYy S3UVtkVDe3VHSMnUHI3pKjZxoE0KUqLnGwdLRipSq8UfDfKl53QiMwJmaYDbNMbxd6sxghxhnA3x op4yyZGJ0s2i+5310BsD5dXnwgdE6IhvKjJWCHUHbJbiQJskmzp9syXRj5fSEfcQPJ9iqP8RlrYp 1bJSuYNntjiSUIPE0kaBBMccImNMK+KzMinO2qQW1fS6f7Vra6euVoUeCEMMYMqKldvOTsfzUf1/ fMdHoPnMW/+fcW2bRf9GXBuZW9SwI4RkI3hQtW3A547Vthkq+kkKeSoGwGBxNgVU85CA7ToXCWDN Z7ux0IHwWID28dBsZ4ggEeNflDYOQrXtcxyy4XAy/4rHgynq3/ERKpodp5pNURRno1qKtHYPq5DM 8VUhySoInP3SeSKd+GiG7MjNtLZKlq1+adfCETcPMUjzObfliUdDHyj84QX6U7dFHRbf8lcDYEpk Y84rq3Vuf0ENoCaAx6OE7RH9YaiA3KbcFsCMIm1wOM+FVhzUfqK+qzVaynbEVlne1Z4xPZKctsFl ske51/9EJawACYu6LVSiFz0lYCeRUSdAieTStZa/718IyfPfdB84TRnlSfW198n+ZxRrz+EnN+Ny Gg8+kxTF2thGWRYPFmv35sj/okIljtYCAWxNUljcVkkSf6QNvpZIRNlESEJKAlhwatuCTIbErZV+ +SPUaZ8hgoQWLk1SJK3fKhKUW9i7audkxuMRf8QzNnbmpNHtYeSMYm1KjKC+7wTSJY0WhJGNzxJB AiKfTVEUa48qjTbJ/qynaoueMNDqAv6fH3+zI5nQ4UMgfsJlkBlNA5mQ7Rmr/DXms+JGZluswGBC X4Df1yseDzqSv1I2yLUMOrxpjsLK9vwyVRzskbTmV5vLR9c2q19tM0KQdDXRtk4mGYjZXgO/Q95J 41zTBVYgMOtEtoYEEcruHiRVe9vg1OZOo2e6DWqxnWB9JQGRxsDjUZ3Np6jIKBCx9rpzFEFI5IwL DyjP2USSFIK0LK8goUymOYoK2yKQZKhu22Bb7cwFeO1ryWcDuCTkbI0CErBphJn0ZbMVvW10aFPP V8toyHmaXO06zKzs/Nh4/H/J/MERbwBn2hRFsfagH8Ig/UexNm3NFEdeUHulZpi2Wqj2J2BEas0H ryC1xMOI6UhDMtKZ1qLlz3O7X2MpEyGbUU27bLm/M7nlYDQkIOVVZNt8PJii9J2OIHrt4ODZFEUQ EgQkcAu+eLbimw5J2j2NCt5AUxSF2kJrU/3Jhov7H3OWvaSvBVEe+QYDLbcAEy+wHb0l7aTXDWDI ZI5M9Wwndde4jgaU4LhVVs0Vz0dQpG+0VmVgW+6tFrIiZYbbt9HZAQRw563Z2lVG2HerxTokRGu1 tX2wIDINGtpTjr0hOwFOjmltg/fOIqn3TtIqet0/6OwjUWNcg7BIac08lGFsvmMURc5f2chJbhB1 aI5WFGgncoDncN+fynjFNH92v5o/3YGRKwKRDLX9EXna4HuttgYnMgFt8qAKAhwQ0EqsMP/gOloP 43+FIBICIidpXho0RQNmHbz5W614PJL8+dZmLZjocjsjKwq0gSkn6M9tyZI22JS7pZ/Ys1er1a4Q RNJZyWZBX4PttUoKG3qZUEVA2Zb+1BRHGKjNQSzIoUiIZPEdUT3bbH4oHMmqpY7sk1pOGSqf9Y7n g61Wvo/siShp33xthQramKMq+q7maHQHkWQV2SRCkiz1XyGIhECbKmRbZT/xpxm+9vy4XTZbUF2U xsSE/REs8H4gAHGDo3P34Tv+Vo5qxQfhbBZMVoX6W3w8qo78Oo1ghL2fnRbF2J2JCKJ3y/vPWW95 P+8fK/m37cHRCnHaw66z7oNf/H1N9PsZHNUzW4kOU8omUYxr/dLYhR1df7Mid2WNhErvEsTo61wE XbkFJFqjIHv2XzJtgIcP4B5tkqIoewrxTwMvdiGB9bAuZCcbk2D2guWhSYppkZt0CG251q/pLYCw fcn09gRt5+ggBplumYtCDv3JRDa7/isCkHSW+2elV+mEFoxgWicc3TTBhAFUxvP/C3cEYNT50CJW FGYnHkjV/VtBCiq+krIgtkTZwM5MkxQqkFChbmZJ2eZHyha11EGvidYh2GJ8tgLhlpwRM0h1XKZ2 1NTFt/wFs8mLPBsOSmnnOZOYy5/ty5cMACRPh01FbfQhr+LPCrHaBCITSW1F7bnTDbRXsWSkgrSn WQoD7cKlpNo2B09GJv4I1NGgZndiZaCmTlhJ/Lv6GwiNVUNi6RhfEimQSKQv2aAeG0xQKE+V2JDC 48HVtn0liQV3VlK/CiQrirSJqqxACDlTaxXjjpwgnM7SWabApoq0QrC2dLUzhTM4+EoC36jg5mlI y89XcSUNibTBQZmK/o4fQTCIb/kLGjFZ+j8DUNv8a5H5824aKLStsKy9r7iGVLhR5LuothXitZHW li0Td8k4breJSml5HDkQx+hyi+vaFK5f5Q5+KJ3w4eRUdJg+eQ1cJAfVx3G+JSBBy+Asdc4Szk98 S+CgQQ4yuLg+qD4CGGCTLtJJ2lYUbJfh+22qcQlQ82X9rbCuzbWU53UEPn/H8GZkM/fbSr8ZUyAP o22pRgEsZMNDaANmi2o2GyqJpNduOSgiY8v4So+TxtDrRtgIyiINqkdwMK7WbD2ZX3nF49FS+iZH UNHu4Y/sKNoe5I/Uacq14EkkJ0dIjF78EaSh0iCPmZG61/b2weYIajwJ7H9eb4hvGQNkkFMRWYIM fF1bWdbeUbStrK0sGrJwUIEknc/hBsfJ8NmhVc0VRuSaqSgi1buO9nu4jceo+Zv69t2Wsu22mZdz bPpEd0xzFOtrUxd5sYvEwc4ksNggX89zG3YawDcBrgUAPLLvki7wr7AZji8JqkhUaj1rsvig2n9Z 7q55Ygo8Hlxuj5wtr3uck/uytXfMjMy80ZJXI7tUfsjWJnOFvH+W4jRJUbyNpBRgtjp88ILtOa5P PEl+xETqWaeko4AmwvrKtEdxW9uh141KbZKxk3dNGS6KhJ4zkzgcTng8Uo76pUMKrZgn/d8hMbIz gBzJpVrymo6OyEJsC0CSTBRpxwAS5bTiR/bLZwOjnW6zTQ2SBoY7Nls9P+OSnG192Fq823YUcW/i bJt5kA4vR56Ni3sT37exkCIECXirX7C/zYXnue0OAdtJsJHt1qNDju6dIrHV2VpjugPijnmRrEai WGaDzdGJuqB9NTLn6EQVg3kbyegd9qOVgCSV2tBTx3cEMRLz/i4puw5mj1TDziTPKlhPnhvPR+iI b6xWpwPXJUjsUPCvk55NnVNjajebozNx26w1IERikj87BJCIxw5+hQ02R2NBC286F2lRCPPMEfxa hkU7F669ht42KvyTXtsZR3LQVkuQfO06vxce/5eSHYvaJ4Zd6TmOIgAJXUXOMimXrgUCl6bohGbb pqit7lMUyv0tObJqNbVx0doyz5Ry9DnidqchceoICYF0Q7XgtrR719tGwlEAkKSkQyk92pp4cqh4 xseDpO3qj6k4kVGyvXi2HaqQVEEiqvuPmOIvHVrmMkrb2cbTTFl3iCAhdLTSpk+DQ0ch7Z+pHdPg oJnA9IByFAvvgL81z2wL7YjxHUEMyWW0Gq01lhvkAlRulTacCXj8fxHXRrV44Ba3KQqr2tncxdKl axk1GyLt0+haLZVpylE7DLQ31WyacEjtwSGd9G1swFFIHwGNOrFgy6IJ0JuomDl+ZE+97V8uPy0L +eceJ4DjnXBQkXMdeDyokFzdKLL9z88B+TifoijKBi4FIdEwRtsJxqofRqlcYw2KhWunRegR+GzS am36YDvtLA3KjlR1amGjTWIkODcQSf7Z1PoVAHnY20YRJA+jRXotB91pZzE2AvYQ1OPxfxr94GyH tne5MCSBdV6/lYqRZprJf+6xHTxSTYqkys1RxuMpDLOJdpzKSDh4Wkvpa4aQHeQULlq4IdHVujQS 0s7fNWXRvvyNA8sxWmqN2jQADKpGRzoXgaQ1zwLjB0T40e90BOfwrrcXKYzZ62P0RMoocIAEgb4/ cG7EkGQhSyjTOrPl//ioaKZYwDKHtnlnalJONUn+eDMkRZcWs3c2HrYdt6eL/jDYpuzGH+iI1smN 4JCUh8Djig2AkyHw+X/S/hl3noWPfTcfa6TY0YYspM4akkyQx4WRjratnMRoUuYIKYRsy100PcNd VGdnUFwFuPZ10v7NqVrYzISJEYFxbUazvXGE3KJn7WZxskABg7w9sHoz1RzRWlj8gCBcKr9z3AH8 /7gzFRW6B5mSZXYvlxRmi2Yj4R1u1CDcRiKFhpGN26/tO9hMnQQUTJRG3Xb0pTerShXd4UwF9kr/ Fe/gFnvjCC5JDMgodzBASZI74dnGY/D5f2HcsHpQjRiPBYAWWth7q1BHcqYEyeKsvg3mRewIkGll uy+qdoPGhKqb1KTqVZOCYBi8sLJS3QnD4sFUd5OZnEgWz15YyuSU4muCxgmVbWBl74POc/wEIt1s +EmkEFbyrChmKYUtjFuByymKwXMWKmlt43CdkCbpoDqHHf5LRgYwXRPYjTIUcVXgfJ4a3u02vM8N vM/OKoye1oJC69gUusMihDUv20pegkv+wn9CAoUx5aCZgnpxNT6Ann+fqZq+CQHgG83WniUVFr0r +wG9uBgQmJvWP9llCoxDMOW9+qJI3Kwk0TKyweMD4tJRfMUpRcWETAs3OmuDHwD+rJ/o1Fri1wRR lPBu3DQaVD9p8FFvKqXo+Yhe+ss0CQcbCTQ+U1E4jtsZ+i3NGDhnPTWjKfW6eWBxppD52ikVxeOD ISRMknzw8zxRcJ0QCqiTdPhgrDOgMpbhZZYRjlgNhaqf/JoIaGKGpFWDSXAB+dHZKzqna+Pz/4IG so1yPoYGhT5RUVBO3qTjSyTFkbdVvxsxn6zHVcYS2nqRXElhVA46qQ+e/56HT56rJgHE4is5hBWq B4MouJkfcOC0940EuFkkGOsOQr6tUnRntdIGnw92XvtWUSDs9EQvzzz9LVlysjGH5ORufIoCJIjP UyH/QPMUFsCXCpf0tOHgsdT5C5DlZZ3mJ8Ggd0CinuZGZFtuAbzkWuyFo4ITsYHTcLlelFvnn70p xWPMGRrf/GpeYk3DF8znKTSZJE4FYGU3mWi09TY991k8D77+CTlwmUQ5nSlMYT7MwRJh2JGeHCaL 2LXQmaHOFJglHVke/W7c1YV+2zlwmcRRrKicDO8hopLKBWupQ5LG5PORlMIvTg6t765eeQ59JlFX wDzd0tyUBH4nCm50K82d5eHed4HRpOqn+xw1LD/V7TKKZyOPBVPz1KRYTlnlH9brGoogjD6u9h0N S/IfPpPEwRU6b3PQNKG3tRWal8rng9D8egSxtQAO5ZfXVOw0SROFzAa/EuJlfZQTGXQXUgbqdapm kEOrSdzxSIWphsPBSXDgLbcujZcFmVcCKuEW9dPZsd0XdDpKs/eN2EuU4uySv+vJKiQDFUx5BKU6 +HzU6P2uYVK4cF+mYA7cJs/fxlizFXNrP/90RbdzB3Yv9J4U38WCcmg3Odh6QkLqg6+mlBq46dLl qphBucCiYA99WQA2XZdrZHvfPzbdOX/6HbiasNUmSxXn0qx8PgINfJfDK0Cn7cop59BwctElYFNt R6upuAMuRH+mraYB6I5NU0ipJB6uCPPFwTGDueJCkE0AzP0gZI+COPqHjMvZ6lXqIlWlHHpOypRj E2+IoWo5nc1c+OZnOY3N54Nm7yq/4swO97Jn10Xi3NSTAUtg2+F0u5eQlyuu8V7Uf+r6qD/0OTKv ehts153QG6AJCuECLLjblMcbbppE4Yt+ccxTUUHoOpmZBQ/khzYIOQj6QBL7ZBU+H7Wgfqm8IhR5 Cpo5sJ3EXqEP7nqqBqs4In4BU6OqQduuz51D38mVFWX25oN3fGEPTeYZ2F7A5ya6BaN+s7AICsTA vGC3/IX/MMNDMDd8UCoMy3giLFDq4vPRKf49T/RmgCiPz1OoYwLWDWj8t2Sn1uaiPmeR/uuJR3Be 2jxFXjgKnnaVBaWLsKLQCCvOkXg8TXi8oBt/Fhmy5bXY0Czuh1dAG9K3/CUbsA0mJ8Fpoixr31Jw r6l+cuA+idz/OxiHYvLM9Tmfwgo55ulssZ6dYSE8PDU62653PcGfRvMUl8g5T0nzlO48gXVUJ4FA dA3OaOEha6GOSmJFM7MKJcROb/bGkS6+pBXr9kGJcD4pzHLjNz4fZS3f/EEQ0fu6ELmcQ+w3E2GE sr7xSjafzj7cHAflunkX1J9+75lSDDY43LKBWwvpDthRDjg1V8riwiEWfNc8x/xP2pKjUnlhvlJ7 u4MW1FnBjTKJ56JtfD5IW8o3tjlDxAjEfZ+o0IgSwG7qmPpEDfniYKIaL3dMFFByBpPLoRMltMgJ Rkk+eMVgqPS0pf82ZqbKUIYJLhWvJqUolvpSzd436rpwghbb5OgIKgvGgUEf9h/Y8PL5fwkJapPx /nxO8tCMEl1Y9DWtKA6lJd5tmbImbI+TkUpmBG+80I1yMA5P6xl8nlAon42U1HNAtUHFAXh4N7Rh 4fzi+45mHvqSvw4odBZ9EL5pnrNPnNTcJ58Papr1O85kIg7xGZ+mUNgE5Zgq8dT/23Jcyl7TJCGD vRdAJHw5heG4SOCN8jcc/CBHwwKyQSKBz115QEGpCjVN5OHrkaQid4hfE1XqxLeQVQ4aWrIzZ61a PsJ7bX5ABOH5NoBBy379/4x9V5IsOZLkf5wlZQSc3P9iC1U1g0f2uuWr2ZFBbXd5hCcCxIiSefXf c+BIea68waQOxCNBnetmRM5SnYgqEFzag/xdzlRIuqxkptJuSYOHBhM59UmDqLiEkIBorLaIIEaV rqDOfO88f+MIWkCtpU4JT8QvTqNAu5B/e8l6zaBg0L+bwg0rE+Aqn6jQKqeQJU+zQsXk1SSqS+tz mBAMrD3NlTIHtpQudAo1DR8cyIO6LAJzxJp5AyzBnucJon86sEIZC9ar5JTKz7EvJd1NwLfAALCm VCVnOokWS/OQmuIHRLqCt2JQLTo4Z/GzpKIqOTr/gKek4oSn3W4JqppOLJAqzUsGoTflki9Xq8sH nymEmLVIyGOj7k/nBXAyzkZBTLGuZQ7yPb3vX0H5kuHJyu0CMdCZ4Bo5CTyfj2hh3wsKjIaTOd1m QmhOCQWic34LMYdpmvVW6tCvtEodjQ1smmIZ70n5rpx98OQFIM6UmLzAbRvkXmxOEGUaWp+QYnT1 pTXtfQMsBv6wQdSDDcYwhKjq0jRtPv9fYnLyeNfTRg/tKTttc5bUFqqgdMkBK+qDqlCX7r77W8ob uk0+2AGFQgDsYVmog94VFHvhWX1+/kkHKJ1PjfvO5inErDB2opsvhrNLeaScm5ThPdxLk94yCA3m L8Fz8p3XM09RTE6tipPD7+nz1NNFrcgQtlAkm4Xfro8KIoMkN7y5ffAK1IkwoATL8wn1cdrGo70A db+zs6GnYMgeicLl0KGycn76kNLQMGzMiazPT10EO0APPbColBvYt+HJ+bhVyxMaRCE58mXwC2fz c7wPE2MeX8a5SwqWXR/1l6YngiAfHNl7sr8MhXCZws6i6CFBemoy5yIEaso4V23h0KdysOEyMqG9 pKo0K/zKkuSsp9r5fFT4/cbSAVU+UdayeQqdKoGJRPxevZGgRqsA0D0bSPy8T/ZTPLSqXJJjaqSI c7hMsTPhKUvYe56AINNF/iRbOLCI6123e06UeA69KiWgs6c8vaZ7esH2ksVrq48HZpWIyNuvbYca +b6neOhWSSgHfEndh3kLr0okVPb6eFO5X9MUBeSrkQONzpoNftmdHw32Mtl4mUQcwK+CGi0gw+T9 pRDb7YUjsphqBWyUcFAJCrxDqg3Bf47P/wu9as6NPwPBo89TrO/NY5x8Ys1T8duuG/2AvIySvdEZ WlYOIenxb9pg2+7sacCUh4hQ+9wIZVFwCB8FTfSfki+hvnQ1pkLXSjkOeEsY8nymfHaSF4pL/UBx gx/wXwwHgRpe8zmgQuNKEX2pa+/O3s5gGSA92IpChuYrKrbSkWanOsPpUsZODtRyI/n8ROTngN+Q tj4zhdrNOUoRwKmZUL+a54F3JZrYPMML8SudmBNRNM4N1AWIXiPzAyKYQfrK8iBcOUp+Zip2ryRZ bE6n+7YrYnFWacpGP9h1OG4ssK/0XieLAzbYTO1VKs5vlevAFSRJA/2qn41UtGT4chi2Pi974b9w Y2OS9DOADBSuJ6MAL7e4kiY/IOKz/mrioZqFTqBPVIhbkUIjq4lMh3FAWjo8qSnH2KBtJyHm0MWy k8cDBJ0P3hTGQmmFVYMN/+FE2Xj4ftOg8fxfkEKd85O6vXF06fF46iwA7+4F4HM/tJQUbKKwGRhZ wvvnt2sMb8lnosJCOTFBqxr7gFgfN9YbKTtoLDcXtMiBkyUgsqw/TSrIc7iF8nquOJDpuKLOpJOJ kFqREg/rYA+jpdsL/6mta7YW6XFpXEgnqFCVuKJCGHn6Tl4GksXyZMOBmSWyYVIQ6PEucRSxyYiu q9nEUc5vlYdPVIxbycQX7OaDg8YItadXS5snWdmVul8dk59hREwlwVuJ2sveONh7lB+ucv6o7vxR SwW5m8WlvDqfj7Lhb6B0Y530wbaGhpaTSOm8jRp9bgu2H7j1GuSVVYmiYodNVBiWg5SQNupmNtiK OsniidIBn0UlahZyE1GJQuaSWLre4/oQbpXKQ0tLCDPxGC8+CAq1ADEWA2gtPh/Em/n72sto5QLU dmcqrJWz+QJJM7cibGkYXrrQXg6VKAiBms1eDl0tu1p0Y2wfNFNQHzjnEVn3PQ/MSj/3HoGIgICg qQC1VO9S9WVvHIHGyABquv7alW46K1VyYOevmXw+CBDGL62UCpnWeSEZobElehkA/qbqkAxqaclx Z9eb6SVX/86BsyViDbpakS+twZro4DmA92PmVif6VhMdWr8NhRvY/ighzoN2MjlwtvSzfAo4NhVn J+LbmqIDYQ0Ca8skPa+78wCCaoQR2zTFpXIifPq4TfQ1zd8KF51NE1LYZYle6G25OpErsiSsjyXh Rj3rnO1T6kToWE06gAOGCiIEgqmb6A2FUYG5pTS8od1E3jQ6FILfnxgkN/LhzqSjix7aW6ZfUCig tuG/cScqCs3hCQBm2bgZsRM6Yb1TjVnWNoDPNlGxbEpX7jJ9cGhdAg6mswQ8EA02xhHAVPywfNAo BSrEWCr2vhECkRMkGl7Duays4wRHZ6JY2DzBPj/gXyKOfpR3bj6bpygwrzSUbcTHyL0xuaccWi+u djXz8IJd7HGpldRa88G5Uxkt4SotcAhSZMI5oSkMeleDILf38uRQlAOLS+tRQdvqDmIG7RM3G7ED UKjQ4zL/giA2OR3f8m9gcnnOFJI5e9reHF7DW3nSSVbPs1wDxxy7XCoqT7v64MB7SPB1SCdBqADw iQI8+Qb6k+znc7Rf8MpUEz20udQxzqaEDcqI526NIfWZ78rno+X03URneypdD+ccGl1Wdl7qnm7A 01JxsEFlw0aaV6JyICgPnC69ELVqucPNXtriUc6gHE7XVCimGvjmD9DGeMAr/sKRizOblIVMMyhF /Sx5yyyGH0hIVm78gPeDfN3SQeHJMABCbE9YHhheZoIEcoFakIflI3u0qT+X0WbFD25LKmR2ymCm j+WDLylQtCvxK7hezjanTXFn1R3EHkQFF/4r8/QcmF66gDpeiUORRAi1eFuSmzNqB6HrZfkFzIAK 5w8RuD5TsWghws0u30vKO21PYBDrGDLj5Ga9+KKKECx1qT1Vsw92RsE0Y7B9C30nSD7SG7RPsAwa yxz7zpPYQYHzJaqxEr8q1QedUfPsvuJ9PT7/r6YCmRwgzSxcJZqnz2sIVcx3pdhRrr23nEaF8M3O qFRcKOw1gCpX/XLu7IMzPAvcjjonaeWN4wuThP8GxgqZVMtLoUIA9Ro+FajNUN0JbSAbNEUSs/+h TBiefpmg84LsRuOKtRgTRsXIhgvXbzQ9pC4SokueC1AG69YL6FIIa9CTWXh2F0yPWxXl7oOlLOfU ThnIOno5L3QIcdQX3O0TR+jZGtMNHZYOptf5Qb+FHOHOguZyOTv20KqM1M7G/bzGTEVWAc/8FPn4 FJuf14jpPNSlMLuzoVUquV5+xRmRqjbkqpqf13ipOFalJfKmWsp+GgENBo/rLZm5c2BDAow0vXPl JXJipseVk8jx12ipQEeHbqDM6jSoz7KbBJdQIGuf11AJk7t9+TCfOcFHmyAAcXpeAyUsH/kTb+th Aj4+jasBzx9rANe93HvnNUwqoP5JqWB3Hxy8WrDb8BYIJ88fQr3HRAuHgYQXXD+fHlRPP69BUkGQ Izy9SnIopgkq0TepZTip1zmpX2Okci0KfPmgZVGQHXF+XiMk316leMiY0Ra3gPtsmGwBN6jtJgny Gh/h18lcFChq2+Clk3NZwMG5QKLkTD8sHSGctkgfpWYaWpy2v2jZ93kNj3D+EFFfM0tMHFSKW4Mi StYefw2O+PS2CTJFw/P7JFtRn9fQCJty05eIC1u6svmWwFvaHmln6vTRBSyYIFoNgK1SfbDjGVsL RiALhQDYFAG5e+KTQaINcEYUi/JeJquVr3HRedtFb4JWWVnioNx/DSA4uG1W+bwGRbyKmq8gzhBb hNuX0GtEhGM9k6nZjceKEzr5BQYilpW+G2SMZHIRzpCA4eLfjydjKxPSkJm93pN+pDSp0gd9uZ9F 3Cv6wL7HmLK9BkNF/tSwFMUNNhK3zLJoqEny6my1/nmNhXCCSS/V9hjkZAhv0wS9BkLFbYnPZeUF Ski/W8jYm+nMnjMIQYukiaMjWszDRU8iDt68LKVmpunooUDHgT0UCIMjYITCDopnHlyTUv4aBhVB bcB+4inEQTW3nBr7QOiJ5M9rEMRXrF8TBL5XR7tKE/RamOQeE15g3CrSbtlxFetKOGQEzBJKCw5p GVbDRMYHL0uuzTuSvMOzskYmIryRHQpuFIz2kgPkFm1SXouSmCD6yKblwzBCxTkOJHlxEpLyeS1J FpUlviboLI257iX/Wo/Eqp0Sa05G6cGpaiSM8xvt7EABMsmocREFQd2gg9kHm6AFGSuS2eH1clYi tISQnZHCuiqNj/LFxdGy6bUWWcTiRrmW9LAzmHAj6jqsEwiQ+lqJLFdLFnBXFW1PgLNAXrE4MYqj gQmCa5zf9PhBbBGdU74YmKIzujO2YTRJy4Sbqg82SeevhyEeK5HofcPxhSY7uCPOhJ2VADD0L5X0 dxKd3QeAV+7mA49qRF6dzW+ANz7vHDpstFm+oiHcFZ2QE01SFE2nJKPmmu0o6mRnydq6T7e2hgO5 s3nCcFqSTdJMeYQbz3TB4WPRkGhLV66LVMDmW25e165K9IfeNziumYwB6uODDqMNyCYpdGdbfN4Z dOU2c/1CA7V5puEx4zuDjokKgRTZ8SaQWr6cp1z9PKqpXjhcMEubR3U5F6EPDl+CffCqLEJCoRDu 1sjJkKWd/P8cTpgfi4mGve37HPUm1Z3dfNAcwfKGUUarm48HUdG4Jza36ULE+qykKLDGvweEyfTA evPLNEdAQGoldZitGTQgOLRN/LuwAMnBt9vEXhBI/my6PhpRvajUgqx9ZqMQQmGXmr1ukJkRQUF6 tw3KzM55kKfEGAFADWPr5btN5xcYq/2uo/fgGgowRQqy23FLRNIwdtxtjh93SEnZq7TRHNGNUBZx GtQcyRlVoY35RnLWYFTIABykqrOLkWI/zZGp4mN4IBGuJOnmfaWbYfFWKer2g1vz806cY2j1fbPl TFTl8OjxnTjHPw2HPFRwfSG5USosUsbyI2lcAs97hI2OOWYHjCYfvJI9EJ8ngnFmWnlQjvisI6h2 oMXMFoAd20NlrSjCxqPnXbDcbOAkVYgUEV8LX4jPO2uuSP/pe5Lg8weFdZukMMZuCov2DSGfqiMr 4QYGKM2rjlGQLVVC8A18sBPp5Bcd8lWcJPz8sKGAnNw5iCaD7Jrc17LwlPm8c+aKnEoh2WgCjsUJ 4itnldKge/h5p8xZIvx9JHU5ifskRXE2YbcwTF4+SXJplnODiYLBbe8KEkWBNkuyObd1B0fnwiRo oFgMzwt4vbLOXQB6m5K3vEIfBSJK/JL3SRrEKC35pK5JqC0JcycWGFKv6Hw8CCTn90qC9h/lhW2O 3kNtLKREIYaRrFxU6A3NclFL01hgHdrOVpSNYm3IFYCNy6ni4PUiWKLkxHoRyNBpFwG9Ka2BIuX+ 6ht1ve37FE0JElYaW96cmWrlil1PWpk/70w5/LH3+pfxN8J/tJtsjqJom78j5C0F4MrAXvscFQL/ WVLbaO5ojsJwm/d+GywateFFETiXtzHhP8S69WhF/o4QcUKjFFiJ1Z4C/9TrRpOE2aF4rw06kRai bWK6CJKI4u18qyJcc3C1xyliVcco2javPRa0BLrZ2yWtOiWEbK/BuYRlxzDaFq9ituaDH0jnd8ap SjLhib17pbFVAT9vUuyFbAHVrTcbkO8cueJSsifB7z7wDzspMpzJf+iNnj/vFLkiZugTbFPb+slq 3wlyRcYjaFHd2hptvlR7bNNV0utk+oDr/50fZ3ckEvC6fbDzCB5keQEB1dgNm5C0gdHeYl4L8B4J knYgsfz4TpBjdV9sJqp7FHi/F4FIwCwjiASak593ghzvtvTzXRw5yxI8LJulqIBNRGzFX2VBUh7p Ou05lhlyFdNO7Xd6XDE7QiQfxYeb3IIfDDl09CnWon8Lsglyh9HUhFXe5ehoKb2H23KrpRGx5CrA eTLSbu+sYEByf33eyXFFpkbPWoL6W2s33H7nxrFEQobOuvjS2twBjBgGK7JhbetMeqfGMYC5puc2 2H6DYhzM9iDgCI30BJ4L1hKgQVlyhcnlvkz85J0ZV2TihRp/zj4oAsApKLvUE25+3olxRejUZ5JO Qvwzx42333lxLD4xSmEurVBSSrsS0lnVc5Lqtdp3Wlxx8EjaVNHl4IUkkJqR17LbjylbyNsKlGgm d92Yt1Yr76Z3Vhx+CcoYr0VReQ6CAWYITlCB4Vw2n3dOnMUPX+00uGWMW4t8Z8RhIREEWOggbMaW Vye9iBHO3BZiqJqjqKDdxdbN7Ktx8O12kr5zNEGTqcNEm0L5Z7tRSaXARb7sWZ6Te+l9gyiJp1FF hmODAdvOPAsruef6vBPiuNq/zyR0UToM/myS3sNtI5yQae5dkVJv0V/iC1IB9TLJOx3OYgn6fg8f 7sl9cn0YvKqWhLyEZnJEJ5Paef6FLwPwpfd9P5PkJ9NxkdigFhluHMYDMGH/vNPhivCoz24Dobvj P7JJisJtPlX7bJ7dwhjVWDkUvRMrp6RhpjvvZDj8xhKrnhJlmo7ygazaCfXGUAhwzqPEgCp1mPid 3Uae/tW0pErl550LZwWzk/qDtYCBzf4f2u3u3M2/ae/POxeuSEb8ayUhTwI9wiYpKm0Dg3D5uTyS drvaXnObDvhJ2pdhbt+ZcMXUTnITPrI9fjJoHp38Y0lOHuREMeEGGeqIefPM1+SK7myfdyYcflP5 EdAkTYPhtCCoJwxE7p93IlwxrvtTJgHgDxeczVEUb9ONHXh6l85ZzdAhpeednQZXCUThbnuPt9EM x0IalXM06oMhhfQPfFKpMATgbRaGFK0QlCjN2lq6FBSyfOfAsU9Opd2iTlsxewj0+IGl/yEmeXze KXCIRIdPEU944EPmrf+/M+CwjMaUR6opyJ7o3nnMfVcrnZxAjVRQtrHj4jZhtpAEs8FTkvOn5IZa BJhd6vcAwoVsZCQah9zjCFh3fkfQ56cP8Qn9lw+WtqXGIwK+jeXzTn/jK5ZfmS1AbM132jv7rbja 4FeEBHNm22lzb+cwr+R1pHfy2y2RLBXb1lNsQ+8VWh1cRfVk3GhOETQJVzDMXAajE5oO7PUXvW4w Rwy2J4D0Nlix7fyyPABRqvq8U9/w16bv06gQfZC9R/LOfMMyIksp9+1HdindtdKBFbceSRpu3PBO fMMuKFw/GeRkDXYa0flkbAqcQb+S+iDASwLaiogHpHQ/sdvQ2wZJG32WCuXkNQguAm7mNMZf+bxz 3n4f2GqCNyqK+BxFkXan7tFqhn1AZmtSlZDcXy54ljYZAZ2fFLVIuNWA47PhnkZ778SOfwNHYgNJ RQkruAIgiM0MIs1E3l73r6rtLKSUTJIvGfrNRNQo7vNz133e+W6/aiTSTt3w//a7/53uVszJ6HzH I7ove3QC2tswtbNzoHiz7Z3txpYtNtuUXwUHq2xD9wYszabNlvoiULmBha7k9J7YhAp83qluRXQZ 7DHiIOeld59AKcmdqPVRPu9Et2Lmy18zBPpudtjaO8+N1wTT2nw1OvLq3rJtKxvkH20gi7PfaW5s 0FBRobEdycEv/jNBiR5+kIM7/4OwCDgP2ALQr7zkqzwxmYu8k9yw6tmzpdW6DSqQoI5H2SIgvT7v HDeuov2di4BF/RRI3iluRZQPHNnjIkdW8m7k7O0mtSgK6cgOq9rySN11+eBJ7TzbF3MjcF8mumqD Dd1JBQQyoqRLmiS6753hViTUemY5jeSDddrOv8uKK26fzzu/rUhB5HuSxg/9sG2SojAbB2dWOmWV NtZirNKWLr2tUcD6hNnv7DbeaxKbqBL1lHckxWEHxPU79RdXgV0a+yMZYRhMu/hzXLedaa8bgdio DZCINiZP0ViA6SRflO3qK/MloxDyV+1/waQN1E6bpTDOrgyQ2o2zKw8Fs/9yS6Lz+yQPkMK6tnWR JA37aFFBzaSmWdhqO8lEn2rZktmdYQnKcPX6NiBL/Lwz21hFojYs4UjnVzy/TJNNZV5WJx4z8/lg w+3vtUR/Q9QDbJaiSFsMwDodiQQ7XOeUNtrZcS2xL61ZiirbU6bWsFKwwTZcSwuqhELadPhQqYrE MnNBi6w2EgD7oxDwzmpjRZG3WiEwkoP1keYJYqTCtfPnndTGl1zfgSR8oKn1IkRkFGvDzQeTNKU9 BU4MPuH/BHROkjgD4hhtC0Ii41ibIjisW2vwKlJHBRhEHzjJpQxlHUKOEZVDe6HkeVcSWyufd0ob zgdmsqsznlyd2wcTc9Zu2Qqg6/y8M9q4X79zf0gT/DDNsEkKgSSFVnKEe+l6o5OjfFLIbQdD8kSy 8JzASnontFkA8yZZjQ7PPhd82qaq32Qll5AuQuzsJ+966/+LClTvfDZ+icIjGoBOwql0l6fFbvO5 L9P6vLPZWKDwhaSGCiAk7Tbb3tlsWL7TEMfSzkcCR70gCJpB/v5HivoTvAjSSN/JbGyosqs/5AM6 Hi8ZHNJaIme7nTugI7FdJwTD/BTaxgDtf/O2rvcNzm7qltTZ7qDAGaT3aXoc/fPOZSsiUDzbDZqU tP+1SXqPtwEfpSje2tZtQ83fJgkVkmndtlKW6eO9M9m43Qi22YwoOXhH8qzoAutYylLCAIY5SUKD Ftf4+SrYcVsQMEiBeKeyGV8DYkoElHCw7AKyxcz/T0T/eWey/apsc29OIDRu+f+dyMbQQQSacsFt YOpbrU1cGiJJurOO3nlsDALUtiWUlINN0slPJtpSOJMarlLY1yKaRNADHy14qXtyqxrJO42NQH+G kaCe2aCIu5RaVY88h+rnncXG5sH35YZrto9bAHgnsTFyYO2IpVJh22S5RyLNrt6SHJAA0hyFEXeW hlnPPljiNqAVWcSxPf9w8meV2mD6MBBwQC3bAm7AovkdUf7fqONNrUUOlpScvVyF1N7j885f8z6+ pojR9USxfXjb9p29hsdEh+i7eTBJIxYFk2wPym4XpXXNURhxs+w/1HPj4AWAk2lkyubToPBc8VQI gvz2D92WUUDzeFvLKCpr920uacsHzdHE6udWO9/weSeu8Tj75hvhGBzI3myKonib5gqYIgf/0TxF keQJ9bYzRoYbyb6z1m5ne6x9B1tGJ9KCny7Y4xQ6QXmV8mYIA3G9QY3S+2y4gj/vpDWenhQnqVJS qvPmtkumJOwufN45a0UWBV9hJNhYcIm3KQqD7U1zy5o8t4XpgrL/s5aLlUg6EDIqkbQQsF2V1Aog 0R5WzVrn8CeWH6yaSskT2qhB3o2CPPOaWwKGz+8I7v7EyCgLQ5odQ3oiuC77OijR4fEgiOzf/VpK gOTbimxhpC3JsjFMY6pSIdrgkdO1uDonTqsoqmmDuo+C/7iDp//nWEN+Syku6BZAAQVVJPS54S+c 0c7zprZ2Wogh2US1jdZ9sKJ2YjUIy6gMPP5fUG3g8MABzpk1caBNSnYf12pX3kMUjxjzVtpqMZht fw+0FXmgUsYEl4MVkdDJHk2967Og0hjmdQX6OugMg3rU6zEW6DH3EctoIDuwQcvoHASydkEAhscD 5sj+jrMBYe3nEvQpisJsmPWguLaGFyNXylZnW4K0UTgCbXxNUQQhGaxCTnkwcLhF7RM8Uz4AcK1z l4E0v2nL9VOxtTI4fp78U1+jR0XtSt76aIQiD3enO1lfHtV0uE4u0qMw+yFo8TSCBMqcfhr1MMo2 zYiSLKk9+ajzj6APaF3/jZ+Zd1qPitq60+pQFWk8VSSgTytc0RlAQl+DkhGJiBf2kgqNy6xiSwBJ j6Js7P4TXldyReE9/KP7/uReVJzBX94Kng94kPO7HpkRsaH259MUMiFVbGvL42wZjQPVdiI0KrxB rruf41TJSI/ibLnt5sF6LAefppVR5umE/p91lEChBYIE5MHM4gis46xqu5nX9ijOpjEFepBNg/l4 zPM7dmlNnkQBj0ds0e+1hFnuud21FNEhsYiAozIxDSRvZsBQwNQyCCl9AZWM9BhBUtXMHj54GQl4 v711s53XIUp7n+P7/OALoB7UKK+SVLbXjXqRFJoEUOcMGc5pkkEAuJD8iBP5Tjwf3G2z/NpwhP57 hNTDQBs0rLMfuiuTTSUjRGxnJ/ydlLP7JIWcSHba2lSgPa8jzOpwCBwovtGUONN1EmBkGBBWltbL VQuelHft75E2bGdwKNVFc/NKnV8JIKwO7zAUQc8fg+f/y35jGwmqAjZJYW2bBuBFUCMy/GnAwwLJ JDKQp1IrFDPHyR3XtqscqO7gOBsANRNNmDr8Phvae+tsayjyZ1SqQFx56EhT7xttt03xWwradDTS JWkPedokG0oUrPABEY/kF/oPu/ehH/f3aBs2J/JJ5zQNOX5mlpQovEAQMkmrgDBwLUUgEvhPbmEe bbjFtnxCUdhswcUSWluEI51/RLENTs3l0canGTm+JJilonD7GQTZSlCtlGlOnng8ItiuryAARZ0O YSKbowiz3RnLLfpx0OoTF7UVJNHlM8nEjK6UllKEIVFHEupEHFRyZpuknk2UVdveFTWSCbnShSJK TpAHr7VcxRF2A/AtEf+PSombJW4OqpCgAcByCSrMeDwCSN7sn9aChIZ2BLA2T2HM3VRuIxqS5baR qpfbKuk2m3jb5Vsuqm7j0iHwP/lg5xJY5yKttc4GayHRlk7gmT22So01FSUHeaQ9QpL0LZ/0nnxQ z23vToVhgJInHg8WU/2uklDRYoCqYGztKOoGLgFTU7uf3mQ4qgSwjBh4rjiYJjCkHFF5W5VbkHR8 cNgWNJA6etHnigORC4EdSElU+zj33MYNJyaJtLlHFHVLVHJMQUmmQ0nOL0BvcJItMx4Pou7hUQCr TtTDT17cHlHUbR0AkhpJAGhs75EAMAdwHbIhPu9jjPa4uE3cX0/JB1tIG002BADYcBlwO/YlC6ok C/lKRpfPVciQUuNLIk47DYWGqY4An8TjFsark7f4QIY7wur2/q6TAHAJ/q9PUojbzmxwz91tknpx zaiTZedqk5TQPtYkRcXtzAx3CuDG7jXAUQ1StHOiv5apyc0OnCLzzlbrucrWYxmA0j6+I4CRsoW0 G/l/lI1XNAmjjira/4kmR1Tazr8PbhRJEXTZFEUhN9VBoYPvxp6LPlYSms7DwX+Iym2rRSH3SsLZ dKFuWCcerLbB/6AWBkqjIrFTtIAqUgfUFkGVRwAoWeM7opYkVTZVUeKgGsBCKqSdPTYej46j72Yb 8ureL9pmRAG3tBGu6Aj+8WpxX4fdWnt1m7MRBdwWS2qm2rpI2w0J4F2mrHRLzwmCvyeZg2TOYAEM NRTj2hIygy+JjqMp+Yh85SN4G3U0qAilP5+Y8Xy0jm6hhD/h+XFQJvNZiiLuIf6/sG1DuBIr2w4F dtSI2tuVW0cUca8pvxce3fXxOFuA+YP7yB4JbCvrFooUyxwabWjF2ELapP+PqLRNWQyU/ZcPuv9R UhAU68QRePy/HEdMb/NFJI0Qsi0LE5kuitjWLL0d3fXdSWwzgsSIINuFMsmNvhsabCHx0oQWCfYa 1Oy2ZN+xhin3g8T/Arar3jbqtGEB1URPz5poD4/jaKD1vjy5HWFl+xdoC23e1m/ZdkSVbaprnyNz O4jUzODmg2wjkqR3k9IaUWVbN/9Jg6YGTFGmCOmYTBg6lVqg5kPg6BoJwpbnlFqEImuKgN/Gd/wR HcF+ioItCVkBt8eYQKhIsy91PP8vOSRikc9q7o+a1ghj7SHV7eRztBDGWHRUR/txom0yb4ARx9pS 26aoTXI/RFpQoXsAqhBibdCR56RJ3qRuZKMGxN1qDCFHGGqT+88mwUCaA5VXRdioLPHUBiQAHxBU AC70n0oBiCTmxZGMMNIeIv+XapstjVJts51fqtt5NOq0nu0Iq9t5ia6efbDzCB5vJy0BHrGf3A22 nZieSeOcdn4TtGi8A7B1aofV7UbI9qbjYsPNJgoS4guWDWFXXPD8v8okrM6d8wHGFzZJMyxvV+az fSbDkVQ27Zi27caKLv3xEJOw4DZDZT+mtjxibLBAG15oJ3jo6pIMpyMv1PAGbAEEax8P1XaGMBLV kLZU7p2oArpCtV5bLRWPB1N0A22tObiBbI8hZxRog9ltThwqkkzqzViRJKkmAOR/N6jNDBmSm3U2 VOJtsEM79QVsG+0l5h5EAvxIexs5E8OP/pRucfjiW/7qAaxFThIHy2wB55WoYS94/F+9f64jpDbl EiRnFGgDZIvrLHnPdrfhPdsyL2jrURydMUFSFmYs8HOwzTaB1aahIFRJ1qLRF/SjUHmgkkMB+cKx NlRImGF9u7PeRriVBk5Shra5sIDnrMPj0SR9q7QBiz/7rZHMKNSmdwDUopKH2lSSk1NJ394EqGjg apLi6rbufZ7bHPz6r5Aig/A/apJ5tQYOCQBEELkiurxfDgnl2meMIRE6ko6Ut3l+NljeJtly4ng8 HjT/H4wkd6aZnfgcRbF2ZQegq52Nf1yS2UIU2dO+IJLlDIkZiv01prXnxPHBT+2Kxt2gLzwuulXZ KCGxcHXcNYCwuBgy3aZmSI/ULqNIhwaVR85xVNmahhYDHg96klfMDv8PV/8X+G/GIBIq/5OZIDvh Oda92YrfbAj3dbPNCEQiok2d6Q42R+BSn5+cAIl5UrlaaKaApBlakSf/H08YSQPKGUXald7UW2rI HKxHfeIl9nBh64zHo5LtpWuxDJ6KkD42RxGIRBLIk4GqcrY1Loq07BtHonOtOYpK24vubpXmtxp8 jqCNtLcAkmiTN4KREOr8AHT1A4LJpbQVvW2wjEYWpJ0kAHSkeIbQQqIIFzr4iv/CR/Ix2DWsK0Uy o1BbLuczrRtqt+lT1OkaoxbJzL7VQs2/Km6kFJDnlSJZkCbLtIjkFJ3fgDIbA4TPQcf66y9lUmQz qmrLLWkk2bpR+pvZyF4IvVhk23w8Ev65XSSyKaCp2S+EdEYoEvFHz71wyUhkQfHIHrW6smatxZLa GYXaQ7d+J/Gfg88RUIB1kNM2IQfTVGVDDrfoS4zuya1q2+sGWGSWILdtudpMUwzHQqOK2c+queL5 aCE5joSxJ9oR52/zSQq5kQJszWxopHM03XvNgKVURyjN7JJmqEXCC20UWvtwsHsNKo+ogxU6KdZR UCbFvQYPCJib4864k7SKXjfabJtwNook5Vuu7ahOJ3ksb75jhLX5FrVD0XUg7tAcrSjQRpsAc9Sm AwDKBbXRUdsq2mvZZlsRjmSweVQr+5EcbI5grVGhj8TUH5VhMrYmRE87JGIb19F6WP8rxJGI9d8F R+oOR+rnCh7EOADnjMcj2Z/2FUMCyHoymDtFYUW76RAypagMJqZN0fmZswv8Q6bapijEkXBuUHL0 wVu2J1eDZS33GjoY7DIlYlYHa4t1XzQSEKH4jqg6whIkgB1QPsZM6cwG7oKFM/Te8Hx0IH1L2oBP 3vcFSKxQSbt9Fdm6lLTdwq30K0R2fjKLs1eII9mkak+2j9rkRdpYrW2oljRVkM4rNawfGigtRDPr B93pGx2d/wzfERS0iY0EIswHQW0Wi09cRouP/xcYMosRUD20KQq5kaPLdsT8NICcy94Y6cVr/nB3 0Gm0Qqy2thj0im3wfA2ktVUo+jdP2FiWSG1t0UIGp+Ylsws+umJqJKu0nfxRnNI/UsXoaya6q0LP puD5/3IawbQOSbWX2VYUZq9k7dpkQJv1oP4H3SYEaB/ZQsgVcyNJ2MosSHKwvQY4/PnjhUNm1oqq d6JSJLdz7k8ustn4XxGGpLNMy3cc2LVJsn+ocQ31JOHQh+f/Kdb2I5QtNJV8kqI4m9a3pfY0Lc5G j99WEo037cxuyzS2VihDMuXIku5gdTYkH4XNXuhqn/kGDv2kPCB0n5wWeppUH5e7XWIUuSIQyTLQ FlRWNFhSe+4aYm5gkIPHIzTS+Lr8aauUqicjK0Rr034TgCfbbjDD8kCb7gJMRlpfJta2wkBbmtpS 6+fgZf96UpDzDcz88zn7yDaFwvZ5VcD5MmzArIS0d9frRnNE1fEuZQSSO7mQ4FxYZa6VGh6PaP// w9de35n/CvmRiVltH2YoeQK0LeYWqBFTpKQT1dS7kmK8NlW2FiFbHGwldfDZU630YzmTRIrWguTG IDqi/VDZ3yEkOO/xLVENSXZ99N8kCIX6YwmSeypro9C2wrL2/t5uUJCb4+LaVojYJoRkr+5M29TL I0NSTIqsUatMd1tc1qZMq5AkHGwllXTO2JWYjxC8DbVe1JBQBk3wmIQhhbVrC+Tt+C0RZpvgP5Dw bVCBBIYh5G+jdY7Ho7b/r0mijsDN/VcUay/WR6Co6sj/fZH/0N64NSTXa1uxzDY9WMQj4eAISYAu ISiFhs0J2icu3QWMzJlO1DAzlp1HSWPodaMoCela3TJD2G6GcA6y8xVS3J4Vj0cLyRNbZrEUtRuP EnkUbJP2UESx1iSRQy8lku4yGwCmb5MiD9mRW6LI+Q5eREon2qpy1FqpTvrn7sQaClIViP9e81ZW tXcUbCtpgy6qDyqQZCxP5mHnVsDjQaHtMkhkA48EuV6IzX6PtkHOYZjU5o2260zG1irDlZE6LcY1 R7EUCRX/Ogu32rN2udGdr1GHbLcTX6PVAsAWqts4SUEwuXcbBf93iNquLLRNQm2WKzCee6dkOqRA rbXi8eBuS1dAmiERGu1oW9kkheF2IYpzbc/aIMtsC2nt7bYR6XpL7yjcHpT7KQIAcLBY8kTF4MDq 3K7Qk5SkbUGJBC2+TJcUt7cdet2o1CbvGqpschA6AoQyHoLn4zcej7iR3+Y16Gc3WuhpjkJu5KIW ybh6LSeY8znKom4TQALQh+YoBpCwflQpe8TB4+09IakxJbGV00CGD28NSNtL0bY+fC1ebTsKuDdF SPogRYKDgqQTN2yptW8spAhBgs36NUebC8+rkTt0sKn0kZHqLykS6AWIRdLSdOb/FHwScxRCtnmn VdOSoNuyVUjOAXTOsyZsBKjcUHVDTjKh3gQsW72ltm5v+5dcy6jERhL2IukwFDnVtRp5bjwfif5d JjICKmKap7eQdiz6R0REcoONE7deW826SzU2UoHAuuYoxI+QNwo5OR9sjnob+Xzqyo7566Qso9d9 NgxrHvMCttfQ2wYRklgkixnu5c3RnSKJKlFxaIcuNpfTNpj9rx9GJTZFEX4En0xpBPf5qav7FBGI rCnqNLDlFEX4kTMPWD/k8mvwCOnkUahADips1RMKAGICsaX9g9Mc//rtaPeut40ItlQiQU3NBlVs z1FP9jRqZ3w8ytm+cf+IFjoKoTZFYaTdeRrl4i4k7NaaTct2ZiSqA1W0vx3iR6iL3OXF1q8X28lB Kx1mC73GTppC1c9zRgFm29gGb57XFroS4zsCxX+eQiRF2KBVBCFpaUcMbLRQXnt880dQKx64UW2K wpp25rzMPu8UjSseJRYXKW2T5NHOT4oOIxaPsuzY8rVjW4hcOhqr3Ghg1PJSWzgqG6RzAfNz9Mie etu/DqMucG2/1dqR1yKh8/wideDxoD5y/erw+8FEcD3skR2qa6f+y8umZnIaSR7NdHmXlw0s1rTR IuzIJBoUZ48PvtHAjcgwWCB7pMOuU26kAEWC3VVcEqEkVmt3qEDC1hpRlTboSoP6tzr+J+D47Kig /eS0PNohzFTuFAmq8/qtg6hjlsXo1N5Z4xZ0BHKYcmrfm0r2XR8VpSL0ra0y/qndTyMAIeAuJpVW irPKZJSRCqkAKID+oAGAlbS2vXFgPMYze0KKAANWhlIQMMLYH8ZC5Qf8E81OGCUi3nbd2egoFhQy q0zZGmkQ0CShPzsgJAWe3iJHgN1uYu0ptrSx1Db74OW2RZdI1CTPX5YWhR5OsM2dB+xRIWLLhH8Y bFN5I+gwW5C0fEjKQyAtqHb+zHw+Yv5/O2wBVH623Q0BpHr9+hy7tmdNpR/3Qp4XQ5qmuyMQdy53 hBQCtpugbeUOvqaomk94NBwnE3UXF9qIBNtsvlm+OBIZsqYQSMJNhwonBwBRqb6CDLoJbDvhyJqi oHsUD7qV4Y5v9L8UVMPHECOb4tb5R5cl65IV50z1a6mZU+gaqW1XqO3LwWYKhJuT8GyoyJ45Qy6X aPGbSHvZCpuf/m2xN45quGSS7H0HlUsKVLbJ4gIOAM9HALdfSgkQpSq38pZTFHqnJDkps5ACwq0Y 4eaEzPPyJGbfvveiUvcgxK2k1X2wiSLu9uxkoiVTBeCJdlLkCidcJnAm97ISvWH5NUHXhAEzGLw+ 6DjHnm5SuIGhRApBJdfjpnLzNV49z0xFAXgW1X1TLom7dlD3L1N4E5gTnlIDla4ic7Kw3i0DlyJc QLkuiUDZAsnFZvdZSBDwmZS6a+C5YbrQUvL6W9r2whEcsAhScgdNFGhmVUS3oecD563rBcDnYKXb 2nNIxT43VAkjWoa9kyHdu00XVHPhQHVp3IsvCsOn1O7A0bPhFirxWw/iuDa1gLSiKKmaUPKDHp4f 5zs3e+O/YqiW+h1UPIHZtdTqzmTz+Yhdun8d52cvzTmeFRXKlFCmdNID9f9MHHgY/QZOBDZTezp6 QqI674U4GXCxRMDhFplWP8kPOyjpBC+UC4bWABZn7viJ0eqxAkqpzd44yFlILW0wMOXgGlwVxmj4 M8/d2vh8ECE8BFP82yhLTNiP+UyFMTlaR+fgyNMzO/mToPbdh2vedKLZzRgwkiuRsHRN+Q4XQ3GC WGKu0CGA2T0dFjsiqIEbGzzXiw2c9r6RPoBCznIH4d5gsr0VcrbB54OtV78DhEkXWXAafJ5iUDe9 bqibZUYc2+fpLGzzBuyFNALNU1j/3ipbcro42IoCSXlTTw8BArQB5Z8Et7EBB5lcbv0b8kr2wpE+ MA+nXKsPmqi5Ic7IoIohZ+h8037Bugk6SHfnhUaTcynHWy412aqzus5Fntwc4Bz57g4YOE0iPGA1 JXG65Cas9UQObpXwPfqNc0toCllhB0OPhjdu60LT7Rw4TboqxxRXgIMXCyD2gS88/8Dn/yWlwIIK ze9uoyCHXpO8K888XdPSmapL4LQ6XUhpoZlm8xRF5psTRKsBG6xegD8eIlxLJZXUF+2VSc9omXpl 84rfbX/fP6yBob81fdA0QTGY8ciJayufDwLz/I3MwR/Yv8ymYrPJSkeulV1KOYtHiXy4lmRSyudG b1ZVyaHbJAJqZMIt+WDLCVX2DW9sKgWzn7mYEMvoaYH/cKepNHvfqO3EFC+p9EgJPRYNKpinsi2r g89HXkrfrXDAuyiF6NMUlcIXhQJabaaEU1tp3epza15715Pt310XBuWE58zMa4+DVhPsAM5mZiGF IuOwr8Jq2uTFQ94NBTrT5RrZ3jfSU6ArF9FeGriaUPppInefD+Pzwab75RMMuCEC+ztNoVCgbDn7 8kpvIl2Em27Ln4OBZnfdqRx4ToIaJG0uhgUcbDUtEDM7zC/AOT07BbVDgOGYrqOXxUavUhepKuXQ dXJRhClJQS+pCpAY7U3dG9D84PNBq3f9DjTPvi/1madInpvueedOvZ4Tu6Vthbq5WZDCPKGF6rvu TwAKqpjFBw8KQEouyoYHfXHg35XIIB8QNRCw0rBMigpC38lMOsWENawNXE9gaiyiR6E/yecjI5xf ZQOEIk89MwfGk1kSuuihLcfDJ1Mzhc3rdjx8H87PzaHzJGm3iC+rD57izbP1Eo3e4D0NbDyb4gin wPuC5H+/9ToVokLrSVoGdmApfVAqDNIAsZatcdVH8fjOv0vjEFWozyke65gQesKWvbHhPRyfFNVl OD4KVBVsniIhEyTz8C9jKpzzTYVP/AfhwEyHzrOWGhrjSPAgubMW84HigrgFynr6lui244aDIKQN Cp5AlhWr5JxgfD7iDtSv2+6k5j8TBXmfpzAYp2/gIAJJ62kU56AAcebraW736AwMKFVbBU5HxajV btrSYDg2GuUVT+qKg4lIlIq2fOK5lEu5cJ3e7I0DZfxNRhxUQG1QJgykRjYqQeHzkaD594ICMplB ik9UCPyuRcpK1ct19SpRDbfsqo3iJDZRoVY3DZbzouUrB1tQ6ECdaZkUD4YzJvAb1MWFSSfC1znm /6QtoQ1l4Y6jv6YNWlBQx88yfZmNzwdpS/lVWgHqd8/bt8slCsfZoy6TARYnakiSgonw2hLyAuP2 FoBLyLMkAKwUipqX8oiaQ/4Y2ktb2KZC6zGUoM7GhqLQz6QQxVJbqtn7/tl0KfIPLNR9REuzY9Ey DS4r8/lISPC7AywbmcdAODSjVJ28yY6bmp0oJKkCNaXdDTYqPexsmkIIOKUogabwwacJ8ppjTprj 4cKDxsU+O+6c9QsH0mSZXMpmzd/3D4Y8SKDNB6GbwJ0qYhL0yecjYvMv/Tckd+XaCOTYjZI+i0nu SqQVlGEFqDHoBMLOS+qU7OY0hdE4V1OWZkfOt/OyTm4HRxOydjdMztHzBZwQH8++AwHydj7Rb55f 80elDppWbAVXeFkxvDx3n3jRJw1Zmx/wr4Bc0PqzkRa4+T5VIeWykLHbhmQW0DJi6YC1Oqkwb9Iu htvkBp6U1wOWSYEGO8pPotIyVcQhlDvaSTzBAh9QkRbxkLzYe+dte+MAWCAluJ7ETLUKVDnXaFGb vpes1wwKBv2WyXnpIXSFwZrPVOiWU6TiWccNys3yBCaAdMthJvxUDAJjSvwJRi3oPlwczzopn7Ry GE5PasVPeFdSTDVjR3mZnGr5OXampBjcCcy4B8HDkazkBPuILL3R1uQHRA2F76gc0QHU6e5ERWXy RuBcng/eqdVbgqq5OE6ldi9Bhe6Ui+VfKOL4YLtv0UMIHQZoeIMQzg7pKBQ/xgW4rmkO8j29718l A4YwNqhkcLbhUMnghGl8PlKo/lWqozzVeK68KCinZ1CtFGPlNM3W3OcUhFWr1KEd69MUigsSqXpO iDvciiYUyfAV0F6CBzXjLJyNqP1XNE2u9tKa9r4BFKNK65wpMQdN014nvJcBU918PorJfZq4w4Dk XRc8l0ODylGk5dHcyYvuL4ZXEdiQhTqGspqmvw3hcaX58KAxKri8RCRnqG3C4DxRZGKCFpt1PjVu O5unELLSZMLUxViFNyH/XsjOcDH0c4jw+f8Ce0aNp0Nc1OcpislZWTr3fq53nnLxXJi6BtIXHNtA KzkwqUQbj3weMgg1ODws02t4k/kEz1eiNc9xAgoktM9mvcAeScLl0KSyylYgkz0/s0vno6AsPDrg vXz+X4eThPPOXl8IEHye3kNyVCGLhIa7V+rcRZdO1Y5c2YWkg66P+hNqoEOKw+2gNxjxidVLyApb xxkBycSbZiKgpqxz1RYOrSrHEjyQlW2x8q3wuxu/sp8okM9Hhd/rVTlUifn2hsmhWyUBYBUya3Y+ wVLK8M95DiOtDvpLaeP9YVdJNYZCa1gOXtJEvaNtGnt1qnlyQe1GIWvCetdtnxMknkO/yszzKeHN bLACOd5QlGYUyAPDStX6npAciRPsRu40RSE5rUDLZg1e3F6x6bpIGX4+jee2Cywrs9kx1kElvTqu jA6SuXWiAQLqJpovla6eA1uuE0xKy0CHGXR74QBmMKXFjH6CBtWgytnS1byYN5+PwKsek7M7A7QC tHx9nkKBbzYSVuvXQ7c1MxqGxYcTM2FWZ6lwaFvZCcpMSTWD9NQMztY6+w6tLczR+QcYGENuCEC9 BIWGs/acT1+6OlOhc+Vk25z+wBiQIlCrkli3KvGXnvkB/4L50gHlXCFrPidU7F0JIUFMyjX3Hv1p 4ZGFSN9qFgO1omIzHfLpzL1z7kuGgq4DlGEWqnWIDKRbJfNzLoqsbkL9ap8H9pVgQ1NzYPBIx0X3 YzrxZ4rVToC7PT8g2nu/nAeRDn8h7AIDS0S4pByk5eyDpr7npHPmMIwPjPCMV58DB0vQD5i1KCtW X0Azde7/DqFS1evOnCfopUD74wTvSPwLoCMOrc/LXvjPrjAkt1D/BWCRYKiTBWeWg8ADn/yAiM36 LT4EYZEvN5Qc+FgixJUqIw/wzX9koXxTGZIBZhEYKvlERYooNlG4b2zwwiYgPAvCuSgAZ4iWNkqh MSEGQjPD+NspP6nbGwe33tYpVbIPKtittOV5eL6k8vmoUu6FKMwJ/FHPtnouvSgoH4SuiNAv5Tgd 7FTXq2ZsAUe01v2UiqArbUg1dm0ffEWlCWcMiJC0RfEvtPpgjM4pLdRieQgt3V74r0be+TnpZ8Xt K6dGtCh0SCWuqFiF8JddA1hELG/aRIWlciV5dbuh5bmgfKLSnlc+BiewTVQYlhsLcTQfbKIWbz7R Whf0YtssOKR43iXi0eBh7bWoveyNg70nyMoWi8zNDs+lmqFKq8XV+fx/EUdrSwTQO1FhrRxVOeBj pqGAizxR8fJ9TtVaIJCShk9UGJdTPiZ3iaP3K45+MhQo7NKwlSXggqvhzAdppomQkD2uFeFWrTx0 tcRSfTH+3psJMSsBa/H5IOC8LCnBCvA60I16jqmoXE7uUGUsb36EvHWJmK6Z6vKbbDKWN7s+KlpU 9D0Da9QGzRVEcc9SArweOikZ+lm4+TI0AXJBNai2K91UUl/2xtExxe2nevnwenmtUMCfwrnuyecj T8L8dZ6DhN6hC2YTFbtbEga8bi0K2jLbk2I25ZTstRsiBPaW56NY2RwAPNtgfXSU5ybPbfhblURv FlTUIXeNIiGko5QT50FHmRzYWyKHITlRFamV0rL+cDvB8lB/GHCDwN/yf5M9em4BSuDTFBrvbGLq +mNwvZbnxEmRVJFRmufEocGlnGTB/PXBwCuwcAIjTqIyJxvY5t8MIiZw0hlVKsv1hgKpwOESL5x0 jGvAiSNcxobJOwu7kG/Oocdlan7t6Ujb0Nt4Op+hySUMMUBM3Be/kooDM4qAnPSUR05mM/W3bgrs Y33wZO/M24nF15CsbKcOAoqbopnWsyH3RY2lYu8boaG44WxA/0SJxwSjsujaK4sfEEmnfBftcJr3 doWccuBziarFVAPGa5uNTQ3G5sQQq2g35TDLjReLp5A+VYi/52Ar6pzYZx3B6gnIDOiDdkbxhCiT b/ooOcmlKAc+l9o4ZLp2H5QUJ7TmxO0AHCo0usxXf0/tGqmL32wvsLoEP4iSnJT4U+NzMfakMojQ 99QExamrWy/2uqTYddosSqV9JcHn+S/AKOiMzIEAgurdTiecXT/ks+c9LoJlqpMeml1Oacov9YnX difHlfJcRjSrfD4yBfk+yJEXMC3xeQpr5dDJLIieLYyCMIHNU4XMsqte3fZL4Hfp6wnxkg/efkGp CMoXJL6cvHuy/TKBmN4bKPs2vhAs2144oFLRXRZpEQYooiwZzKw5B0mH87w2PyAoA6fvpgK0Cmar z40XBuZJNYNx400KVjPeXDt5YN5ADLMFFVI7FWdXKhdw8AXVAYsSb3FDEBu1srMUEDBlxFeICi4C WBbqOfC9zFSLAb9fdA6ELDIsgkcrWW7oFfP5oA78G1POggsSR5+pqGAOFSHubjUToKpShyfFF3MA zdrqSyoCsVSCWABT8cGOqEkT5NXUIz5raQoUBb4lYMY/i87XmicRhALzSxCFpVjALsxyj62CDnTX +bLBDwrcL9GXc2gGIQcokAJhY/P0eQ2hKjBRQv3ufXfecqEw+KZcaEYxrM9rAFWBiGK5rtMglIP3 qDIs4Ggz0+AwXzd1wti1Gkhc0D2/LCoEUK/hUzVBPi1zGzRFa5j+Lxjtn9fgqQLVpJggq9gAIBS9 0TrN7V9jp2ouR6jHGdmlVk6olQyKkV36qm4y+xo51WtXtKh+wcE76BAUqDioACPPADZifqhGNgmp 4LkkS4elc+l1ghLT9nNs0wBTgyYI6Ttr5DAy+7wGTRXBxLQJsmALR1u1+XmNmGpmMwm01+nq6eci XfeGc9rUSZWmzc9rvFTlCg3DNc5Pv/NTgXEqUPFeFFGDgWpXv6EjAKcI1PTAkjbfn9doqcpAZyCq HD6o13IOtimZppNQfF5DJUzuTl/Tgzp2m2RLYXpeAyUun/7YFFBhxg8kSPBXL2Km6jp8r2FSFY8P IgWl+WDh5AbdbhRe/yeqPHckr/+ZEeuAm44WpU8PTpXPa5BUEeSoUd41oNbCP6uDJMNQsK9zVL/G SPUJJW35oGtRCHnC/LwGSHgIRjqoClj39/zS1Wn4K7koCBh/1jZ4DY+qh9vwivHhXvv9hBXAJkNl 7ETZQFSt3ZQ7UjQNrSXbX6Qbfl6joyr1ctTA1/bBqnGAClHqGi3y19gITxviQhPUYOIzqXZJx79g gqRZaipyFJYV856EH5gSGpGF6ub0AQsmaKoAl0kI5uDN8XNTQaMGE1TySmQzoWCJBnLCGi1g8nk/ kwXL17CoonVDIvBmGY6DAZ3OeTaE5Fnl8xoT1Sd4zBJNQxeSYCnN0GtIVI2qUOVw6gxXu+jPqplW /QZIbZrLRTRDcm6E8aANNkOAUCX8J9ShhEja5AmNFtMi9hWv6nuMKdtrNFQNZDEKWSxnAH1bvLqN IgNlHHvtn9dgCCfY9D2G1UZvmmePvUZCOKIpKjPpD62YsV7Nfai3eI0yOfryNQ7CES2rvW4V3XFF rlD03JAnYDkaFPwtJTB6eKA4VAraQxZbk1T+GgfVZL0BmofaoLIbhMZ4YkMk8vMaBfEV7yXPuSzS 2dQEvdYmscfYaVrtGhOfv8fJPZPmi8JWYIlJKy04pBN9UiEQ58OtTJ5dBg4GmwIVck5A8Q5ohp9/ EcgTdmSFkmOE+XmtS2KCeLsX0X5LYyoHUgXc3MjM6BlCoMEE9eUTxNj7/EUTd60m6LUgiWXHWDo9 BIPpmdrZYn1XBwvM5Hoy0SlNkf3sJf4LqThZMdyraP3VM7DTIGQioC4ENVQ6H+WLjUt62+CUVjFy ih49jdRTQVBQeYGg1NdSJG+k5odQYdX2bLmFlM7ixCiQRkMI2hbdD+o27UQCV6zYTQ8qiOPiokha FNZqprt9XSF5nC2tIehEDw6e6Ymk6EJFAmD0z4ymn1866e9EOu4z1kJot6uBR3W7qLh2AtHPO4+u iuryXGYIPLtgJ2ISBZOUsmDyN8+/JAO04kw8HUt5OUogDqeZjMmMsD1mhBsCYHmTY98hcwkEISYp FfbfcvPCdlWeP/S+wXFd5duk9lvy9luicIaAu5WPB8f1/o6oIbU/07gr6T2kTg6leJz2NpNzxUTD uYYnT1sXERdMEsm6Jzei105zaw7WIDsoCtTdmXOiiUIEE7S1OPkL02Mh0RCSKJii3iS4I7m0aXE7 fuNcikL4zceDoGiMrxutriHbCJuiKKxGvf3MfGlOg06KFckME4O1sZGbtmMDgiObotWZ/t02eFrW YK4KaQ6Ui7J09ncqlfguoCUKMRR2pamjE+w1cIsobL18UF42YPDKvKwAgRpG1qN8n9nkrA6/1N7Z czxrCVwq1RE5o1QTtzznyDIXmZEARbEabTRHRAMs+g1osN4IepCZggqQAK+YkaKe3NkveeE9n97I VOUxPI7Y6O6i8LCMwTk6ScAkgg9yJyioBXOUc//aarT56Wt4aPROncOf1gmRr9Xzjz2vkEzqxh4/ R3lzM8J35hzOf/o2AN3vg3dGoCLDpjkF95DMFIGZ4QiAohP6LnZoD9oRvRPnqpQXEUnQaq9l82wq 51fEkcfybCmfd95cRWNx/pok2PzVfEsg7xG2SlPQSrse6XKLEhpAhrtEA4yLBohC7JN8scpPS2IO Du1CZl+RNIKUcv63crfRv20yxEasbDa7tEH4vLPm8FdWSjZiLdqgYv8qoPUygsz7806aq4+3hef5 TPnuHEVBNgUdgNW8hdm6rs5OL1eRqHgd5J0yV10sDUmlD7eQBk9j4JEa6ylZ8Le6Ts46pW55pT5A FNPrvs+RiOF7q1K0tzFVF6WliaZMnY8HUaQVZTVH7DSc6MTn6D3OPteRMABC4VLEcQ9X3D85lcBd tRPcpZJsFGgP0nWIFLfBm0Z9ilwhaC5OD5W3oQCEMwJ/6m0adb3t+xTBFQ8I73UHtUJQmOPCPW+a P+9UOQRIe3zvNVArAEnwOYpCbXrInnVkZlZQA+s+R4W3q9XTbtk6jLW51xhI22CnNnTeO43i6GuF bjcba3SURCMEBMSnvD/1utEk4UA667v4oAMJQghJwEiAJKJg2zkDto4Ad4U6oZUco1C7UW9/Lkro WH3NpeT7uOpf6aKT3kly1aHL53yfPvh5VGG2AzdaShKT/YyUHy3puQDJJF1AVevN7uM7Sa5KBwio CJJ5Oehm2wuKQJijWfLnnSPH4OH70Ka0NXFcmqMo0s50I8Qh4YXHVrMVHtvaignOrpjb0pF3hpwF eMA7MKnlYOdRgXofiiEU3TuBRJFsM5CoZ4sAk0aGpB1IrD2+U+Sq7LuoOSRdHSBNBCHZQ+kC/C3m 550ihzVRPEbiQod25ZgUaeI0ReXrWcTM2R4kldRdS76W5lZ7AP3YUnqPtVHdI9ESHAAbLiBwQpIL yIizCU80AGwVUltpNqM6CycuZ+loLb1H24nXDJQqGG1P5MEi1pxANYmofF57fd75cdZC+SrSFhTV b9r2zo5juC3Lz7W9xKYbbVIbrbu8NQ8PHkrv5DiGErzc/j8HsA19pkQ6AmapnQlD++wsJoCgoPb/ Q9UGF6yg/Mk7N64aEHcgTPFBEQD0ABUmzVk/79Q4Hmrta5JQUpoQ/LVJiuLtVVgkKcPF5PeXLUG/ yO4+lsXb77w41mjYI4J9tw22lHo6+UIbS7YEUNOhI2GFI8WEjiNabV6plXXTOy0Oc8TWNWiIPggH mGEwLs+duj7vpLj6gLqVt+FUGuNWIt85cQxAGQGU2RzTvdwBDBoDzjpp1fWt3ylxmKOuhI3dfg5e SULQBMwWy9nnvwBhG93rJQheA26qPEf30vsGYRJtG3sS3iOZN2SF0VshsqCeKP7zTonzcsdXsa3A SPYupCjcBpgFJ0j2hQTkr5f86VnGSSJKWZP0Hm7j6GYpWzlJuznJOS3O71wyfI7JAV/AupOZAxxe Qge4IC28/t9L7/t+JqGEg4uNClYc1EuDDpDasmPtzzshrprMsEq2JA6CbDIJveUshQE3zhgojTpv cIxinbUT0gy74BDPGlX+nQ+HH5lEuC7HvX4d9yrwx0hrKVyFnklr4pucgMC2G/aYZ25l6HWjSWJZ O2/5gHKuWEoa6GQm3m97f97pcNyu391HoINbb/fgDivbQL4Cqu8yaKm4ecMA5NOW0oJqo66394gb 7X3mtQwVNVjE3WFrTtPus5QWyv8U8iAOmoTiPPM1uRoEJ7+T4ZhEkVSJhq4NqpMQLifIY+6fdy4c Hr81Sc4ne2vlLqQo4q6sk8hZStX/7lJxvWi6VG5z5tI7EY51EkzOSiqXJCdPQDe4QlV8022XSb68 i0DebjjfZW0taQqKWb7T4LiOeCKxwqJBU1RRPGeAeFKDzzsLzmuL3y22fTbbrbe9k+BwbiuaFAKS KBFB/3i3UcCJd1uq3WBH7xy4W7ed9NPTYHOE7gEI3sxKFiyaKdmUKDGRGH3fAwmoEX5H0OefnZss LR+UucE8UyXJc+5/3hlwvH6/r/8Ox73Ufau9E+CqkHPAQW5LSiolyjRFi4eDfFIQlGqKwtI2BARO HHp+Fhs0ReUsoHI2XEZy2wAV5XmUKXrWEOlnpNao4bLXX/S6f87RLndQiHRWZhsmLcTHg62WfKvx FiNluHhJ8p38xmU0KQ9Xy21mJ4fX0vZNPZLc3UvmnfuGbUBY7djsJ3Gw4+iERwD2EW004QG3qW9J AUP6QoOY7kd2G3rbKG/DMSSFBQ2Ci8BirIghWMrnnfb2K9Rmf46e2tPrSO+sN0zRpu43lcZ0GuXq WO0TYH1B2g2r/U56q04PBE/SB5uiNWGGBsILgLVoBvNQT5VSXtjpmVGkmcgnvW6Uj8hwj2IUC8qX Il+hbUqjCciklM87441VkvUVIeFjTj55j6Mo1N7qaNd0heGIrsMkQTB6GqCdun5aR1FpW66EEJHw wY6jtaBifU5j7LW8K9iTP/TVXbQZ/Sn3xEYxii/7Z0N76zTa9zTqWOcsR54Y9fNOdasPz9TKkdhp Kd+d9h5oow/OnSZCKUXl63UA6dOl886JWAw28k50s8AD0Q3PbQ5e1z7524kExII/m3Ztgh839PI6 DctLvuITk8nIO80NNyfV0ddkWLSmHXm059i6qFLnOwa3WvqeIxKAnhLJO8mNpTbi+dyeCf+Yu2e1 0/yuzmmK0oRO7KisLdMmGMb6YFktvAIhO6zgCHESXVIqIRnAQp5lVNKlTRLc985xu7W2Mqg8wcE6 bVnQ1h/opHzeGW7s2PrVT0U0CD3leScpCrOZ+pc5Lz50SsRatbarH09nK4bZ7/w2Qx7QbogItspv nPRJ2XXCr5Fa39ABQH0P8ujn5l1A3cC05trtEG78zm6rAuqj6c9O26A7psLsc65NyeKcq+Pzzm5j BPpdtYXJMhUsbJKiMJtWQ+dGbV5sO39Q/XH7L1fRrbQ10UoKC9vUFUSpywevj5yLAd5Z0lnC3Uan lEImRkLbofRbbMvL3zc6kijb1buCSFxNpHSnDDI0fRvPq37eqW1VEraaFATVOKHG2J78v9Pabovk LLzyc62tr4/8qN5G2rWY/OI7qw3vn1U+StMHm6WTwKZFEV40/wc8vjZ9G0knrchBGxmA/ZEIeCe1 scy8dRp1H6yPdMIlMohwYHzeOW18yW+sFjxsR5m+lN4pbbz/5dd0HUBpqyzu36K6FRxAT4DE2jYA kX/DSEbqd/AKCXhUHUUm8ElPxCTD3RO9Q1sfpKw870pihfrzzmjD8ZAoW01iDQbTQT83z0n1qLNx fp/PO6GNVervrJbakrPeIOmd0IY7qRBGwqdF0yKdgj4p+GYRJMFyPANW0jufrYoYBGhELT44jOQk IAIPcb+dCINaOLCZkU3KiQluA2BRguqdzsbtxqQfwGgO069y8Cw4SS2tzzuZrcrn4SuQHLhes6e1 71Q2LKTV5WolNDb5f65o1qlGK/cBBCQkkb4z2fBJlGBmw8MGg9aCFlkKXD7OHKG/ADQ2Bc3w89A1 Bp9+k7au942gNuzaNhruchC29hxxhRVQhGCfdyKbFZafSUJVsQ1KDHOS3qNthPgkZakeOWkpQ71F oPuzjAdob40Dj7fbO42Nee0kMFtu6WPfhAQWu8DOZZGScVSr1Ib6VaLULMy4LQQYJEC889hwhNZv 4YRlzocnt1gAqeILZ6mfdxobAba/2rYTBINb/X8nsSFwWASSCMNGBRx1lVhpq8UK2/DdMY77O4et ut0HVfZs8Kwt1fMmoJCAZEt7YgrgDJQW4U2VO/XPx1MheaewPZltadMHxdtATG4ZgbX2eWewsXdw s386gaGtDdkLm6Sosj1ZITFBYapMsO4gLVjG+bzczs1rl9s7gY0rmUm9ycRv19GvcMYDii2R6Ad0 Ic1QEnGrA0kIqGAWb7N29nmnr2GO9EiinyEHy0l2lw0igIqfd/Iat80t/quKCS70Lt5te2evVXdE mXMMDyap664IAOaK5reL7atJioEkiADmYrdtrnqzEtCwgPuilFKeUMSllAm8z+Av94Ob1eNtLaSo rt1Zq/1f1c4CPNImMu2s1fp5J65ViX4+m43pX70lknfaGp5KnBfKYKtDQgojQ8mxDKF84m0qp/L+ D+NtwrTXYKy03JsLjHZur0XJc6ivtMZqJO4CgvV+8F95o63Z2wYlkkTh5U4qFwdN0fmKPdVEmvPz zlnDH1u+z6NB0cJ0V1EYbVO6rJLzK/XXdaXL1H5gbtuJwODNFuK1ifqDzKAPXiI5ZxMktlVFgvWX 6KSdbARIOMzrbsm2Cr4juPy5fHAp+iCEBKGcjLpPuoPHgyiye9Yms9CNzO2eRmGoLdGydVkjtdjB VDDDDkauy8HILappa4o6c3sNnv5DgBAYC9Ky5olkmP0maKq1Sot2FCOtq62dFqJINittmxhADsZb y10mqe3Ecng86P3nbywyjcPShf71KNKGPQpEpYaj/ge7iJyjThUV2VsC/ShmzXukjRIJjpidn8EK tmdFLZTYWGnbKAfQY6sWHpU0C5geaMtboMfcx0UdRXkSVnPrKdBoXJI4P7EFHo+QyN/wSOSVHRRm m6Iozh6k+cOpxXH/gmuzzkbBMdbZzl9m+ho9ApHIY3cjC7LBpujkHgs8JmpOlnKOHcq6NNRC4HP8 w6DSk3/qa/Sopl3FnTUmbbIyzIkfy+pZ9MeTjPQozv7Nz0JXsM7pp1EP4+xMgpZk8ZnV9lUc0t6H SyGk613Vo5p2l26pTL76Y/IF5cQ9KRcABRJsuk3FbsAcoD11/hyal1nFlgiSHsXZADeM3oiNOAMC 6qVA+6TGJGSArovnAxrkpdHi7TKcg8a4aOQeEiFlSYyitCLtTbEU8xQwNfhzbDQSITs/KUrZSDSu +w6e/DfiLCaT/0axEEpR46eBwxfq/u0C2wj1xrcEERKQkYO6UxxMXnnCn151pHNr4vGILLq/Zgmx ec8XHtEjNiT2Jerayw0FCM8y+XfpBFIGoXlPu8cQEi6i9gw2Saujr91Y/J9I3iDOvhEldRAU4HeC UrRpSfFq61FlGxXFc+1jYYIdAXCuRBDOFEGjCmCkPfF8cLeN+mvDAft/I6QeRtqDjPWSLtI2X/Tf BixFk7QgBKFJCimRzENGJ2eUg03SCYIGRGp4bp+oZm7mbHCQO8ER0Pi1XMHgWe11g/tfCW0VGplS mhJAWAg4WAQ9pzie/9d+yz/WRlrLc7Ye1rZxadVC+tT/ZTqrVlPI74b5IxipUpes85OiSFsOHiJI 5IcgsTrsKPYCEuEcsDMhJgKnDZkNXJ7Rrf+S45x636iOZLG2MCTE6mgtnWiLok7Qxyj4gH/hI6gI iJ5dufC/HkXb1I6sdDrMRCQ3Hhb/h5rzaiyxIZQEWv+nci1F0fY2sJZcFzA4ZgtyQUW9f1jrLQhg 7iRg8kJdoTz6+CxA4UuiC45ipeAu2WCYraHCAaL7iceD9L9+H0qI+vuqDkfqEWqb1gqgUCez++Td rIpkQgIg0cS8WEhCEBBhSHQotS6j0a4aKovbSEILKmw4uSu8B9FKSnzhBNOhWstVHGE3AN8SAduI HsmEpN0Fez50CmNOvAsejxbSL44EiO/AEPgshRF33YqPqpfbpleSemPtmAYCi5aSnKWouL3ky1hK 98FLkuf8gf4xOZIw9zzpOu43MDhyBtO5UmFNNclBEmmPcCSdcsq99+mDmkkwuNAPc4J6PB4tJQ+5 G2eJ1kLbG7cjirknaZVIFvzslloEmSTNSLdgSTjWZkTVbZkG0F3UBk/dBtg3ld2kmTuIXDJioHg4 +Em430QkkTj3iGLuTAnzpdCbgxoAJw2SPsv5OTMeD2Luvr6OpEZB/OQxwIhibhijoUvS3Ye4TTZM QABYtYgtScERU4wYcW2b7L8i9l952H9gC82imHuzA9AJbodI+4KzKX2zXYIM7XR8STBHENSG1ogG FCZ52J7rt64mbigmKSxu7xt0UyD3bI/Zbi9phLhti7o9nHRVbll5TaNJgHnjpP+oup2JD4G/mQYa Qih5O5fSwAkNca25snRHOrn+KLWV9ZgGoOaI7whgpE2a3ASUgA1mweT5EZdsBs//4PGIkfSLAQAP tnKLbSNEknSB2Wr2SlIxGbICdQ8nbdMXQnstirh1Io3N3iRnRFOUUDosdB9AfnuiGZPmRBe2A2qL lrUHAClhimIgSRGwvfmgEsCCZqi29th4PDqPyncoCZJtn65dM6J4G4QTcM2705HhUevQvzHMJbay Aq1VFMXbk8TH0Ymk4eBlEsTWsJAi+2/AN4XFwIF4kO5NyGeMakuoN77kD4EWeHvwZgMaXzQiqL7s JI5kyXg+WkffzW3w6TvdTDRJUbw9qECS6zJPqhPed1eIKizskLVFNqEmKYq3IV4E9ivh7Rz8ZjvZ WR+wIwNMIicImGOScJ31ff5jFIhsHbGdju8Ithp1xlanEAkH3f4IJNXkPlEEHo/QNt9bjcktqaSa ohCxzWgbGFKbIrr+6czuzfRaKG5r8nUjQmwj7UTVleUSDraO0skd1oKYNyqSDUaVtFug6ylsMZH2 X7x21dtGnTaEj3Aa0NB/5IdzQsgkl0qktiOMtC88kofzZrrtUeSIIu1WBGbbLkIy16z35i8XR7KW r6Io0p7sQ8IlSgPlzjBFVNMc6OjC8LSxivdMEXwFCUTWFOF3xnf8ER2h7svMFhw4MYgH6LKco7MN O56PGCSejfB0x33Wr8rGCCPtqUi7eQ9p8ehTe6TvJzoahrIdYaTNq7/g7LfBWrYnhGzwLuHVv890 w03pRNoQxobmD36Ce2RvhpAjDLQhFnA+m0IksIECpInxNepK3N3nKl74gCD/r99AW/gVDHl1cZbC SFsGVCXf84jYBm42soSlWDcrI21strC2zVliwcMGT20J25KG+zluEwjWmB4KATbI2bA8qfr/1qEd 1rZFi+gsSg505SVJix5JZ5v4hEAFz0dFkvKVtKGDOdFrNMmfsLgNRBKOuukwksQyttD03v3vFKBi /j//1vWbomzPS9mGwTAwW+fcp44tBcNws23SHTFJArWPh2o7QxQJ0Ozg999BycjuXWZXJxyreDyY ovZdIjkrHFwKryPNKNAm7h9UmGUlEtaRrEQCW9vM3QZZZGVsM2RI7q4Lrfpg9xqE5VKtZNmd667h RgOyDbduTlQ4L/0p3CIVwrdEeW2XJmvyQTcb6DtNstm94PHoSPq1jhCRgsJkkxTF2Wmy2CX1/059 BBds6bXchA2mI6YdFfIjh/jsqt5+64+dVYpIkuJRZ7UlEDfOZkPV54SSkzTFS9iifB6+JapuM61l PqpBKJJ97pUlwtZJ/mcUaf9m/qHyMXu9my2KtOn1XkhScMEWV9oYIBEbO6IlT0ZmXNvm3VZpFMTB y7YTcnOCI4864TKFIAp895++iC3vl0FCsfb5DwxJ5XLioCISUyhutlkWHg96//f6Z39XZYS7jqJI G+UbqGBPZ5Bu4ZERRPZLRz7nd7OrbUaR9mAnFqAsH7z8Dy8X9KV4/feTORBD0lDOWLh9M3iNroNM t6kZkiMzN1lJ2QfhkSEHvySO1CseDxqS7RfLBsT6UeZdR2Fle5Gtxf4nb7aU9vCbjUIQvNlAINLN NiMMibC2vRHWxuECkrGOOtlac7FdK7tzCIGh+rjGE0bSgXJGkfaJZxkcPYO4keeW3DLxPkcFHv8X PSKxYIspumW2GSFIulBtM3kBaUqgHedRG+Vq2eEX0BRFde0lK/it6sj+qo6QIgESDNYR+IOcIhZG OoiF+5GJJs4L3xE1bVlAOvEtB0JFSdZGKk7g31n9ePxf6EhuKzilrKs4OqNIG3J7aCJNT2rnvJYk fbgSyfmZhlHaZ6j3R6/l7pjk5E3bBIboiWtGlhkQcM0QRFxIZUdR0vZbhmxGJe1i/ndt+KCdduK7 RcgKEIp4PJL9+c77Ebv2fvGjM0KQzEy4/0guH3U2XbcDe2b3dIMSvp9GUaA9yUPqZd7B8drnDztx Olv/C55TLMMlpkoL8GFUGJ6KdtLrBkDkJI+yRBUynRLMRtKC0AtinpOf4/l/qWzIUBAZ23OrhbzI Jr3a5gRbKu7aJG3Xj0JN3VylZqxEIl5kyT48sD+UnyTxf9KS2YhZToSnSfn00fgn8xlf8oc49IAx rQ+CIrFVaKQ/vmOEs/nuH6GpP6BxqDlaUZidRURa/ULa67Br7QT8JflxRDseLKQVYUiG9hrucxt8 r2G2IQ5Kfd+zbTfloTdut/P/ndDpc40NUf5XWM8mBWlXaf/U4chIFkoEaKsVj0eiP9/lI3Qy6bFi UxSF2V3ciOYl/8r4jlN01o6VA+r5sbsBI1eIIZHpSFp38EsNrBCw0KigjV0hP05IwQwWFuu+SCQs XHxHVM6WVgs97wC4/1F9FS7XxMLBR6Tg+eg8+oYiIYSlJ7LNUSiiXalCwp9Py0j1WWJIWs621Tar zpyjEENCNX9qcmKQRiGWEcWfG6Sx4MUJ79Uu+yScBuhUwHXxBkfn7sN3RCU2abTt6oNgNkvETcZf fPy/6ETjFmr72WlRjA3BEQheJc/6G9UFGRvNabNVSarTabRCpLYMR+TuysGPbJj2GZN9gghDjYm0 UZekiiw8NpzPRkDbiqvZNAkWg5T6QJLE6AuyYJSmHRBpjYLsJ1nDY8gtznK8jLYVq2kzpdU6Irqt 8erf3ORmFAEx+27R0Yp4kZl0f501GmySGHtRCxEpLcs/FCEDCnIRdtifTIScenxJUGWjQissXTig R6T6EYBC5vY+M56P6tnl68xGK3rW2zpaUZQtDdK66HukfyTZVgpbs/uZrWwNkxRqkLDZn6b06Ge/ 9SNKykPqmuZaEDlJUEYajWI2qFxKeFzedolB5IoAJJLXOJPdfFBKewLSJV/uE9fh8X/J1hMYAa5W usIRK4qz11Kyn9w94/wiN4jMY1oqgqqPTVIYZ1OxvlI9U4ODbE66dhYILW4hZzcxSTvBgOJnA1+T 4f5tBSTgJ/i6ERKJ2loJJUQMarDVk/gMqsqyG4XHI4mt/BVoo8M+kSLZHEWBNtyM4XRRvcbW5cO9 yYuwslKFK5NV/VcI1eatX2TMzcEWUgPIb4KAA3gnRMgprpFw3wMY0X6o6e/oEexwfMsfij8jJRoA bhALWBBJSHd4Cg5U2VZY097fVxuEUee4CJsVgrVRNavpIf0lajCaAkm+UWTKFmqvuKbNYnZtd7BJ 2nC6OCuEBiMnyltooeM/TSTZQgFpcJI6JwkbCN8SWGhsOUURkZzdxqSWKTFZ1l86Hg96/uMXyZbo wXmPpLCkzZC+XO3osmiVxD4kJswmqcI8SgLkYaitlTQl0T6vRDs6JeCQooDUAS5tgykbyDw/mxJz K1/2CFG++JKoD5kIaUvDB1UiT6QzmLnXPCsejxbSN4KUgnbwP9Ic7SjUHiSVMVQxQbs5rrxWGS4d ffa5QUd2TIzkUbQJt+VgCwlslBMgSl5rrkLDefRvz6abEJdBnOHGraxo7yjUbiz3906Rra6wCMWR UpMJR535x+NBka1+77WKaBQocpui91AbDjfUHV+p+V4brq414cVoae2iYwKnKIKODPWPKlH/HGyK sM0SCfpS114FSV0CE+AsIxw96WL+SqLQ/w7h2qJEbIbcHFT1r5DwYDpyjlE8Hlxs1S82XmJn9Z5b 985RLEFCHHIeVtCug6kit9pu227/c8UtQ0Xsv+Harffug9dq80nOWH3EoQ2pOSL+JkwJR5Xqn7ez Cz148SV/FdmKCBJlbldEqpBwpgbJeW08/i9WJMHag6JoHmvvkBU5GUaSV2CiiO450ku6cv9ZOEDM UYwcUTrLBgkHZ0XCpHpOyvqhKtLIDEhwkEQDB6pR9eFp8V7bUbC9yauF14cPOrJToT8nJJGwjiLo SCu/lKM3151f/juEavckZbbm1IhanD2ixSDKP6nKnKMYqj2E9y8+2BydY6P31FlCQos2kz55okWY MsG8rpKEpCobojN8R5SPsLw2OFMTUtWSDEOVcFKJAaV5PP8vtT9WLRm3r3tkh941g1gIsj0kQiJx RIiQNDIUxUKCOabmKESOMNIeTZK2bV5YBCjbOC/UhEwAV1GEJNPWgNZzVzQSWtJ826jmz3+7Sq6l DjuO0JPYVax2HNmhec2vSiTwaSvd8GiH0tpFdP/mAm11G1cUae12RSQCYjVFodafTOo2oyQOzh45 UXHq0h+foEhUViIXMH7AteESvL3s3vW2f1BrRxHrr1wpm1kyUh7Vzfh4lK99s/1R1/hCRexQgQSp AOTAHneW4Vr/s7A4wtMIBiqk++1/IEcm9dk4OGk0n1C2ApB8orvZ4RJFWckEGin9tJrntIVuxPiO IH5UjQ0gORu0is7/aGufj9l4PKqx/TLRQuPgxGg+RWE9uwlR09udInOGgoYBLzVS2TABmqIwyGb9 CBp1PnhXZNR6ZoaHEZqPa5KmnOBwylgS+bTjRvbU2waH0aSGbWEewsHYfqlSx/n8InXg8aA2kr5h tTAPPIfsPYtCXe1GKpuLsSD1MI3Is8P5M8nCZl0Lmwg1wlAnD5Uhx1cZkqAUpLJYRSexhVsae7T4 AcGxhtioG2qzUrtD6RHpaS2ZIC0zQTrvnIfx/E9sj8cjBbv1lYUMUmrL42ATgkbopNW2GfjBPCJd 0AgkZfCP8BpoJhmRUxhjs1abE0nsHDyAPIEL7BZQTaNdN7qM8IvGAQddQeQRoP7Jo31te+PAbywT 5jfYRFoQv6X1TM0wNCct8uTxkx/wn3CjMB9s15Mtp6iojf4jNLVoU0O1VsAbhB0pVNPnhgM0w1Ta U6j2JynIOrIPvpxOILwIW4cich0VmI2zUuHmSwWSQqiWCf4w1Kbkxh+4CFjg+pCUhGwpgtJInM9H jP9vpjboRGfXzWdJxV42jf/2vAbIe3hlO/ft/drSvO+PjwpKktIh73X4cJfUCRhHSvJFONHiqsxJ KgK7hICupJIvgGT7G/8h+987BLwHRHJORqGiEF2qpI0EI9YUxdyjfGP/GiIvNF58pqICN73SqpQF pUYGy0drJa3hMzXApLWZir0iu26v5oNzkc59CZ4brf4acCOTmw/ASGzSDKTMfjq3xd74LwoJfV5s UNx9toARbQAAwPNRkft7TeGugVrvnago8k5JBInk0H/8MCq7jel2UhV3V/K9F1W5h6rcEpPkYBOF 8nNF7YUwyYWaNklbfOUEKUiUQb2kRI4RvyYy22DYDd6TDTrNzw4v4pFsOEmkEE5SvsuTuTRlU3em wko38nsISTtzC+V0nVKjiQ+IU+qkEkMIN4pPBKVuRZeyQN79om5QtT2/xfkE2CR10CUBKK1QAAC3 5IfdJK+9pW0vHGS7jNqTnDc4aKIq1RgZGQw9HznYfjO44Wk8W3sOqTAIZ05eZc1OVWeqDbNtcg7a JrAkuz5+70VR+FQ1IJGWxMGj8JOkNHhoY55yT6h4oP4G7xJwuc7/hYmaHeeo0OhrohAqq/RWfFDp pKMAJ0PJoueD2skFcRE9DRtkokR8pkJ1ksxAsxnBBhXG2Yx200VZWtQBHveQCmveVEoqiZrJHPw4 L6nlRlLJCUhgrz2IB8R+Rr8yET3m9ZNSm71xxONGJNUzO2g988gh2q2VstUZKI3PR93Kb79EFCWo zeITFUXkNHasFXaflteJGYhq5WiudAPHBDffSpFIidRJmvxbOXjuOwts1aUHdA7xSm2yE4ggS6P1 28wPJnDa+0bK2xZBFR8Ew9knZ6gCvLXB54Od94taSp0pStT4PMWagAa/MeVE4EEcZNJqtcp3h/Sh z1NY+maRqYD1a4OXddF0KCWz1nRCH7q1Uu8O87SpVDIu3a0We+FIFFhd7zV90ESBRreU3zHgDB1v nkuPNAJG+OUxTgyZk91IE17+bq3e8vd4PEqlC0znxBBq0iRTykZKX9fODS63J7dDPRQ53kwdSthQ n0CmjhyPnWz3c6HVdg78JV0ZcK5Wfbi1gqUU5pyOfD7qW36X5SZN764beQ4dJpE5Xcgb63LJXEsg obC9LgeQg89ThDdJjA4mIX8aDPk+oV0B6gm5ODC0agI1owadKVQ2r+odnUpy7DCZBXyr0wdN09nY VKYB3a/y+SAwv7GBIi4ATm71Mscek4XeUqVuT4fHzJYOt3T1gRNKCqwY5NBkUg3ernZKv+2UemYl zZSANEPPrME5kI3yxMoh6iQIOG2aSrP3/UsdcFle3GhTwDr4dtvkc+fw+ajD+6uugs78Xs80RZXw xQqmvKA5TagSWXkOcGwrz83pGkE5NJqUAg6hsTbc1XRC/Y2aO7hY6BgwaQb/+offDNKAy3ENf99o 05Ha1akT0CR6gzISgIJdBpu58vmotpK/4gIwp3t/0uHQahI3DtAC3dWmkysDnwCKSA6lw8sdS3Lg NenSriOLcprT4zPRBlLzRQBT2ufmQyKYADI574dIl01epS4SU8qh2yS4Z2jvAt+FwZYTne65606A tvl8JKdUv3cdojrCXm2eIlVulJygW9q8TreHcQbKWdTL63QoEfquCwNy6XHPlX3weSoUl8hFtJyT HNM7YWNmBtQMhKk0GJPCgtBvkmX08wPOOwgx2CD+oYB8FT4f9J/Sd1iA/lNNjwdeaDjJWnqBjp9V DTYqLQozdxnF0CdjNoNV5tBxUjDvkgiI5+Ap3sD/wv8TDfEzMZvQCvAGf1bBjED/w8t1qkOFlpOU 5gbEqvmgVHjA2FrISq76KB7f+ft4alRTeFxwA9NJNOqGfF1dK6Bf3unZR9XC8QHYnM9TZIKj9kEh clKDJS5nYY9CBUxcn2fpIsw5YSu231rMnIoL4aKyZy/8h1oAqJPLB0VPA7QKcZhS5fMRaeAXvGKj 0fIkeIHxJBAHWESj2so5f9/Ozj6hmomtJzawNU+xhgnDy0HbYA6+ntCXOJcoaUwVpNPNcl1mFYrn Ui7lQnV6szeOBPGZAjd6T3DwkkHvQiOfS5DPB2nL8gXFOYGdEkrfPlEh5rtP2Snecl33BQXnwmQT RZM8m6gwHBd0UGmeMR1VMTg/YtuwLkHFANdokiAulh4MQfMc83/yltB/srBbB5CsD5a3zCEEYcuz 8fkob/ml9gL/mv3YBubQgrI3oZqHn1Az1+6JcB/G+Abhz2Txc+hBuUyC+n9NukHTAfZkUfYN3pqZ 4Tj6nD+rQ7+fAhRLXalm7/tXzwWewRqS0mD4pA3mMCfFynw+uvC+W3cFwOr+GAeXKBofVDNv1DSR VOcuwypQi5c2aagTJXObphj9zfmp+Q5eWTl3NaaNqJ1G7Rikdx0n2sKBNFkml6CZfLpLDP+WdjCP cw5CNi3YLYhE0CefjxjN8zt+QnKHuMunKVQzoc5ilpcZ85eeppc0gbFn4+VM+PZ2QmBD6aupLJVV 1i2rIFJa50/K4DSfa7kN2gylRE9oBAw/xMbb+ZRrsjeOKnXCpSB7GbCS/2FscN4xgb2ABbXX5gdE AflFOBFVf87jNZ+CQWBFCZ2txPlhUrfkROVTBQIg/xEVqHqnKpbsZtbWKADDwTbeOOcshV0xVRvM 3UohwSJtXhTEe/q687a9cURMQSLc+rbB6ROQ+RuyBsh6zYi980v9BYgyKLj6RIUeOZkMwumxJgJb QxaAQVo8EUanWDF54EeJv0A6ef+fuEkCIyVBCBQxOfDgiskxX1BiOL8t7JGsSk6R/BwbUmaZvxCq Qii5xCQnVi6ByjCJ5gf8s5/QLTqg7IPPVGhKKUG/4pLLVerZ8lssKzlMBYV7m6lY4URswrV88Esv A9c8CHaGsgA13zfpzmenbNbKL/oyV3vfP0oGJzXazQeVDODVoEOq18bnI9Xlbx7PpC7VeK68KChv TVrLJds0maGArHJNZQiyBu5vmgNfykQZMFpLTx+8pDkwaSe1Yy58VgHwIaisJIgKQ9gmP5pLa9r7 BkgM9IbPVmbIWbO1PMEsTJV8hjNNm89HMfm3NRWQSzC4utMUlci5wSHisRyLQYknwVVScwHvXN14 OQfGlIg1CXdCEmXDDaHgktXoujbB0wWnBoSncxSxBYaE5ofzhahh2gsH89RZodsES006zPDvPT8F USQ/IAvx+SA0uLQwTCpkVeEFdecpisnRPzv/7XwwK65WDVhP8ZpBHuymd31UEBpklp4kLdAf/eWE u+GEgUNacBk6AuSp0qwGFuuzXlyPtOBy6E1Zl6AGlM/loNLKPkcsSQ3QNODzwem0flF6sEtWvRLM ObSnRPsWCMzhXme5md8itt0w4EpPEMqweQpDcvELhhAH4yJWgTY/OxjGJAA+15M8bNpUIb2Z3M8E QE1Z5qotHDpUCnVHyUwOXevp/KYrCZJx/mw+/18IKye4+6FIgc1TaFEJiRGgeZuDVkkENpeKbcj6 OkDgt30XelSqLQxjSx9uxwXWF8zxGhJPdp92Zv+gNYJ61+2eEyCeQ5NKWsCCIrZ8sPo4TNuf+njg UinNlGc97YHm1JPhhTaVm7a5KeVk05TqckxmluF5pUnSM01RRE6RrkyrFhscAH3u7LzMpppgJ1nC dimzoI23v4Rhu71wgDIYZGLMZ1AJCjBbpsXn9Nt8/l/+eWbZ+DPqeJZTiBMHnge9I3eqJlDJLL1y d7pBRWHE5inmZBILJZfF/P8Y+7IsR3bk2H+uJY8O5mH/GxPMzB3B7A7Pan08XD1VkJEgBh9suNa5 ZaIKDPx2Vk94QZ2BMkNEGRRwVFDdtE5nV2cqtKsc7HSOzNbwQDM2S+8MEFzudVSE+QH/i/YZWAQL 2sY+UzEzs9DupCefqZSu+ombNFQGiD5TsWUlYZdSrc6PajUk4aCd2xulT2GiMyHItFCzg3c1uuds JtSv7nlgWgkFMzZbNhl1E3qgPVk2jAAEM7VG5gdE2fDvkPxMPHgQPlMxcqVLqdIhPr12Uz+dJ44z KjTq0Z67BL6VQvhB/ZwRFAcvQ0HXIUPVg57VuJRQ/s1g/mzAtgoKZg6sz8teOOoKC4a4efUtyKXo pkPXkL/OKGnyA4JL7xeclcoz9WuiooicIVEZaklt/ifl9ZHkLQER2U+Yc/tExUoorNdtRpwcHvrh icQX63VQq97Uhk3ImWnNCBVUzJLRfVK3N442X6NOfFs+OElzCOKDoiCfjwqb3xINNK+s1wM9hxaW 9DoDccl9mdcuV1WPXXzB666/dw48LEGMIcKnsPnUyoPYhGvOmR2VoeDTu0j5waTw7vt2Qadevr7l j1sPRATuQNC+zJ8RNuts2UNInh/wT8EPrj98UHmSvMDH0ixjawbwxbX1ls9U7td8MA+X+sx/GFmy tlK0ssp6CnaFyhjVCnZnDisU0RfShpyAaEE559ai9rI3DjYf8dFUobdBPQWoPW5ZNqzO56OAM3/F UY2H3VX7zKGXJSWwYBdjQtZwX6u29wYNKVFg6YAL+ESFcTmFPqsmqj4TtWE8c6alcVug3bwosZNI /IHo7gk4xwOGUq08dLNEoReMDeNvWGUQ6mukFKMSsBafDwLO60KEOclQC6FwqM9UFJm3LNWG5sVN sx5kX7oRX4CZAlbVYGOhoaVAc5gkHyxEaIVEDYgQwxHk/PpEbFZK1QH+g2Ow3DZVX/bGkReRyptC +RRD+dRacdQu7cPJ5yMnQj+l1PsrqPLdNlXoaUk4+vn5vT18Ip/LlaL2tKd6zWPOwNQSShl0szJv q+78VkCxYUAub3RwHupMki5Awxg0MDypjDhT84FfEh3mnKYlHxnqIzPkbOj/s2JHsEHgailT7G+h lPltap1DV0tmuiebLskrdtuAYufelptcoTtaMqJLDm0tjS+1yh0MbHCCi7M2AYpsAJZAlI6cMsS5 I/FUXTfTG4qjAl9L7G+WDEy6GT1QwXLOHzJlptUh2pxDZ8v0S5AYVi5QV7kTFcXmhHniJ3deWW6Y VNnI9+QFu3zpLjnwtsTGk6Z3qz5YqldYAAHsDDlMh/KOJoqoEZSj+r6YsVTsff/CIE6BNieiIKUd EwWhqkuvLH7Av3wb/Sj/cm/KgbslsFA03C3TK3ammYLIfFeXtz5vdCPz2N5Slo2p38EW1PkV6snx xxRmDEc7qguoAYPd1aDH5c08ORPlwN0ysWmJSG/cQSkxNGb4R+Lr+HzEL/vVU2hcl+O2XwKDS0ju DwpxptseTuaaWmBGXa2ZV4FB1pUXO1xO9s8F9iljPVHUPhOxO/Wb94l/cmKXqgIkOYGlyJKVkwCP 2uihxSXsRcY5xaTAM1yBBxdFI27+THjl8/8yAhHLbJ8Tbd0LL/S4bMz0Wp8uvtfo+Kc2eh0eQwFZ aWF5YHIJ1guJQWJIcbB5OnvubKhN6RRqqpzdR2Vi5C8bEPs2vuAr2144olEhcUHziwNYajKVWbup 33O2c+MHvJ/k67dtGkCI7cH5BD6X4BUgLN+XiH/yDtdzOuvghuWELdmCCmmdLB7AicAHX1Dt/OsK S1TEUCdcqrTfWcCC5oSOHP1UfetRYS4HXpc32KyJbWIY7kobBNEZ89kTJGc+H2TEF1BOoA8QrWs9 cM3Q7nIShziyye+hAb+rp8SkumHrDQBpfElFCJY61aUiDJiDA+zqBNVoWO9F5Rsgos4pMQCtWLS7 1jxtf98/hUGn6J3z0jtXGlMiuhvkoMDx8hvow1gTipXUaNI8fV4jqIafZzPcc4Gw859z+86TfBFx Gai5cUG9xk/tLGg2O7vmioOnwyuvE2JW1g363pPF4kRO6YAFAJ3inEKF+Ok1empXZgaNMxtsik5Y VOVUuPD0ywQ1QJq048qP+Rm5RTGvjmh6kOqc/bTVKc+Uk/N6wZhWL1BNWvstmB4pOrVObTAOl4wH V7yWhCGvg6osOyHDOC+I65nHkmwclo6l1/k50WXjxOx8B6O/YokyGT4b9/MaMjXEPs3mR10arENS OzE/rwHTeYjd/YqGpO2y3Elw4QW3rqdMQmTJ+XkNl5rOQxy7dfpge+xcvSeNKGzcrVSg5MiLEEDM lthwmB5WTiLHX4OlJgUd4FT2HazPgixRGIPdPq+RUnt89yyzObEHohKbntc4qYkcCYZ591rvJO+U td7u4TjAPM3k916jpAbiHY1kqamrwaLJEw6fN0OAj/tsjVyZ8IFeATohjO/qnR4ksJ/XGKkpxkH/ aV++hqASnRJIREKfM+7zGiL5n8rp0F46P4ps0jA/r/ERtxfSYuQKNj9Dth/I39awRicU85uhoF+j I/w63FdFkvIcHHEBI4oFNm/r8IDInYgL+FzQlYW1gOH7a2+9bXD+ULsR0vY+WCnuxBWU1WR7/DU0 4tPza4LQxR2TIpc0ZgsmqEq/aVwWS5UqMREEeyYLtBvJKzT/CiZokmZXtyApO990BOIVE7pQpE1n iDWxWplRcUgAGhWUI7yXyWrla1TU0LdhOnLyAh8EcjpXIoHukAgqn9eQCIuh+gHETQYuzczFl9Br RIRjPZMPNZs5f+Ow8NARjHBFRFBKcqedaIZI7xmZYREHn6ETq6BCQpwqHfGaREDPdlrEvdK4yfZY 0ssGe6zQjngSg1kROglZuvfZW6SBwM/x8xoL4QSb7WsJQRhxPHvsNRDCEV2NTncrufKNoeAeFd0t tnadtNcwCEe0VFIrndE52BU24D7XIEWFHsqJeTbpYlBSRcAIeZ2C3pCF1iSUv4ZB+BVkQpDJGuOg klvOtDVmTyR/XoMgvmL+OqQBwTZwKnUQoz1G7/h1xWTQFFqOq2DFTLiK2999rUpyh2flZne4SOez g1EKwQSBk1EE+8IPkTMcpSvbsULILZqjvNYk8ScykK7AtHIozNzhSlJVdoNf0ue1ItmkwmF7jEWU BSeibRP0Wo5shhNDOfJW2UY1EsbJBkdzoICsLaBw8WcQVBalYTh42XZNsHh0CMGAFYgB2qJgBcE1 voyeLy6ORk2vpUj8CovS6IgYOGizwF2nlHoBqa+FyPawMovxV5H6zHTDxCiMHpRt6Peir+0WImdq 29ZQ38TOiW0YzZEUrWrNPtxAscLPulO0CZRfahals/0AAwdWpqSdfn6Jo79z6JrsIMYAHsYHntQN 1uqCy5/w//NOocMinPnrIEJnk29qkxQF0+BTESGf7CQa+fZzazf5Pazk6ZTMMJoWR6WS9sXBzuoz RbNn7KsGfW5YtSHZOHEAFhKMu62qXZXlD71vdFrTpGkLMe+YO4rUN5minl37eSfQNTluPZOE7vxM dPwSyiW6z7o8rC8dc5PoJ8ZTzU7HbFdO5p0+h0/ihdYnsTl9Xu3PTTI3qr1MW5E/sWK04AkxcDdB CtYjoiG4bjBFnXjmyZRMg47rE6WXJcWdzceDmGiW76B6DVlF2BRFUXWlBVHqw2GVSQVbThHV/riO AH5xXEBwYvcm7Vg1uy9cEEQqUF4AsEWx6FwDnTi4BiwCTtpzo9GIwG60pNcN0jLiBAFi9UFp2ehN /sO1AH0aBtajfC2jTrrquHP0Hlljh0g3NvscQcvaQUtltgtays4vfA+tswq05/+Air8Ga4xAEOX8 f/BAmnMDxcrgshL3eXbYLE9jZKruGB5HBJ7ybhssKVWDVK4iNz+YN33eWXPtEUc/kSv+Mb15TR6d sxSF150mROkJrxOVeSQiIx9washCiVTp/Xt8jQuAiavs9eZjrwdFxnP5NzW5E6q+jWZWIMgNGAdm 0PPs1B70IHonzTXJSZxlAykfGzhLFXZ+QgqOUj7vnLkGt4fxtdtoqwjXOZ+kMMLu8vh0h50CZ2AL IAENdihAcpvGd8oc73/ZfZIRxsFxXagGjawadjsxdOWxTRj75I8LBJp562baor0z5vBXCgGAdWmD av2rAjvGCDLvzzthrj3WKEWWFtTsaR4hvfPlmrGiKt1abY6GuxD14uEkLFG305uiKNvV9kr2waG5 EN2tKGLSzSqBN4VCETR5JjhRWHaXQz+7Xvd9jpjCnhVOtpw8kbndcA0zdz6BBh+Posj2HWZnRJHZ ayHvXDneR+z/0xfKlL/z9bIkV4helj25w847VQ6vz14tzHF98GJROpl+oeNXY2l2cjZZU+sgk4IO dHtGXW/7PkXUtUI3ZflgBFVIljLk7pDWiQLtndLXqX2ukE2xUJ+jKNTuTesoK4XFdGXjp46aRvZ6 Wi2OTwpjbRZiV2HTjIPNEYRjV0kUSJ3rBJSJAmHAISJbQo1/tae6P/W60SQhn2VJ3AYdSAsHHq82 AiSiYNsJKCo5oqfXnnz2nSHXrn0Mt4b2WvbKPoD90/cacMuqOYaxNilNvdTug2e0a2FzVFIuEV9Q QxWuMvMsdaAMSRVQ0Xr7677fbZvMuLnz9MGIhCCTmx96/rzz4/4rY6Om9U5+sb3T4zCzuNjONe8+ RGX2cguPabn/8CKkG9f/OzuuSQGSRg13cNrXSe8hFrtYOCpn/goxppMw3AScFdmRdiCx9vhOj2PS RjGdzrQfoqQ/RQCSvWCd8ENbi/l5p8fxaus/35URmIXf6uw7Ow5/G3bP2RHlyhF3V0cfrVxZa+hU 20p6j7VV3IOGDoHdHLz7MWnNRFVCGHpu2AGx65gJCKqQNbttx+XvG4RJYjD1JSfijvfkWjoRilTS TmK+Pu/UOIbb+WstFbpH3nD7nRiH/cYgqSUHuEE/7lbYyGRkhQ320TqT3nlxFr9saCNMHzxtgyVS pnkcBLITuo2YJUjq4WTCi6Yrtyvlk3daHL6F3ronDMg+GItpKgz9gXD2550V1x6nRl1ucKaasKW2 SYribfA/Mngh9aZt3TzRB805FUmem9PStndOXDPEFsppzQdbSqWAFg64IcwJFzIJBQCZofaECsIt 1Mqw6Z0SZythgHl6B0EA0UKRVWOr6/NOiGuParPVsgf9nu/BHRazx9Qeu6lt2q7+XfN0AlNbXu1/ Z8Nxjti7HrrdxxNIQr1PUVODqzUQTTgw1yTBEtVrBFLPyb30vkGURBsC2j/Z4KA2dCp5u831eWfD NePXcE7YPMFGw2vYJL1H2+hbU8mDAA+d3FdGHjG/t4wmkcycpPdoG7k5qyP/6fhdUDgCGIsqMCdE Osn6pNPOIEgSNbcCzO71/F5636AeOcho7ixLclB/rM7RxJM/f8rnnQvXHnFrO7ghuTJvuP1OhWvu 1HzSJ+eajOUciilJDWa3ZCLpSHoPt7GSsYRmbXew7PYE2BDTm2Yic05YspoXtL612x5wMrvtfN1o jijdXKTgnClcxUoSDFdZMzmx/P68E+Gwkm5rlhhB4A0QKNokhXXtPSRM3D255XfJIGU3r2tvaiDw dnuPtxMNWhAL0vSTgwMgEqw1gU8A9ft8aGXlf8MuAQZeMJG5zlbEFn/eaXCsc0j7W8RvF4gug369 PHbPnfF5Z8F5p/7mbdIWqneOonibhJhzA6crAT6tlQ0XxeGkpXMa+rH9Hm9n07Hci5aWHC5IBIrW iTkJ8pw6qV/R0Ns/O7GZm7VEKShj+U6A4zoioTJxHZk8FTHBs4qsC1zh553/1sx94UFAwNJiVi9I vtPfEFoN64mMi83yUxsqStNutgw8kXrYcbiNguTu9P7g4BDbjYIbnDLBEgT2a8teHji7RJWhexyR e/B5J79ZiwwCHULTNMdA9AZpTJK3z6n/eee+WfZtx5F6KQCw3TrSO/etmT1alWcwQ6ST/jjRewsa 2Qgv6NZje6e+eUpSCiIdGzRHwN2dW6EAlQXr+AljKyImETQhkYYcOMIwdfrtdYNJWrz24V9sgyKk s2z5JEn3n3fiWxOk66vVT7JwuXMUBduINGFFVLzWBlkb6yK1dhXPSvfC9jvtjVAjprbzGWyrneXf F7UsWNje02AB0OTpUIIE2smP7Db0tkHWVokSWazdNsc5F7IKqvH9yued8dbMCu4pbNNGe3ql7Z3w hjNEhgSz3dOoLGcuDwLIBGdHfZ7w43e+G7NGlkbURdq3i1Tz6KBwgRCNCsnY55SWWurmiX1ugMwg 0nzjk173jybSSfrltoPfTbyrsybpiwUJgFw+72Q3Yn7WVzqCkgZ2v09SFGnvmtSCnI7RppabwOyd vSWA2acUibGOoso2wPswyQNEW4OdR+PcAoW+TA33WuG2g8YL+GwNUk73xOZrfN55bszYqOYpqYB0 pQJO6paSsbdG+byz3P4rzoZfRZPKGWfoPc4WRp/WOj5DoEXYThsz2TKCAJDPUFTVTiyQrMTkn4Ml bAgaKPTNo2IAJ0+CJYLH3ligyVd2guDCzzvDDaue+VpCSG2DCiQI8FjiBszr805wIwDku9KGg6Q9 Pf93fptvNWYLfmKn7pX/lexgOqcp/gSd2GFRewmyRmQNBwf6J5w5k9Cs3fDDkREB+hZ5gGfN4Ox0 xiShfe/0tluOZBJugxptMM9QFWnhh3wPsxU5fE/SiWFB57VJisLsSgnbuR9P9JWeSlveXmm7ZgTv zLYmlUy0NwRj0z6YMkg5weJAKwJhNsSMIeuVsOt+FlgTBcAV99kh1vid10YEGyttjY4EE8wNowCe uaZl8g8Qup93Xhtv8W+E1kkeoeJwd1sUZjfha7pzIUqd7o3WF91yhGEDXEcrKSxr87aa6mrPr672 CcHa3kWstgQZBYCUUqMmKK/s0m+pLVNH5fNOamvmINupKoYg8vyVxFBXM4REEem86ued1Ib9tr+B foO7dnvu/05pa5KBBpC2e4SUR758UjmAYr+hq2GzFBW2Z5EqALEkHLytDedViOoThlRORAqrJLha YOnCmwjqA76WpA7wTmjDr8o0JBWpMRdXY55btWCWJvPnnc+Gl8z16/4HtAiSVo6GjEJtSZDXPUyt q6InZry/xRYTfD9BxkiS1X9ns10UyRQLYj4siN0hwQF/AILZ5onbCGbrdLZA4aXkeVfSoP3AO5sN x4M0BFEi49B0S8FusiqrPbHG553Mxu26f75bJJAEkR4HJylEkVDeZTeGibzdSGaXQwqVgajTVeE9 ULGS3rlsTRxy6lRvHxzx19KAfCMl9dFS6vT+QhlbBiln/m75nzCmzzuV7dZske9oaH6VdyjkYZLO af55J7IxAvDbzWR2oac0nqUURttL3lbDyLZn5WbzsjixBxM4Og+g4kIK6TuRjecbRYMzt13Nj4og zuvzq2+eSucSB/4DtTaeSoVeDDDyunlb1/v+dXYj8PJB2FoofOh+21jvYbydvkPJBamheYOAdxpb MyUzmJcID5nhh+KSb4W8aLpaVx3d5357Z7HdIGDQ11uDdyRhGlxIbEVjE6bxXEoUD0mg81Cwy4KA Qf7DO42NvZjCRYT2hgZlF+fPLBSaaLPUzzuLrT1kvyKxBdxYE4g1m6WwtM0aSZcBCvVvVnUoibUn WWxrXgB457Bd2BbOXh9slio69aRRNVS8UZucHk4OOLHlTvHz8RRJ3ilsDvOHy0r3QSE3ioFm9dHa 553Bxu7B9/XGtvYY91CKSttUiEO3x/v/Q623SaW35gQ2NGpsjqKQuzTV2gi25WBJyYkhz/KYKkhC vDPTkTeVKkzxD6juFnGzy/h5Z68x/6eYdyGfppXpve0z1ZVxTu17fN65a8zAvjskuE8h3+BT9DeM ZAqfJbOPtDyYHAacrMS/2hT9HXFvISU43FLbOXboWNVwc45VWLGsEAiE2MQPwmCPt7WKorJ2F3z0 P8raIMmPVgTgb/XzzlprjyGhav+0NawXZ/vOWcNTiecRBQXl1ixbS4SSkwRx0UW2A9veKWvcaQU5 LRo7NtgqOnt3n9iG1h4DKW5jatuBxANW7weFdO+z4Q7+vDPWeHpmgrb2HWwVQcPBpKnn552wRsyW n0YmzgF/AY+2WxhtbzUjtwvCn19D3WzYWrImgNx2AG7JEkmL0dpYGyvnO/gqAlwCYiSUfUXvSHoc EM/qVOOZ19YSNwO/I7j82V/LUqXOV5X6bK+1CJYATAGPB1Hk8oRE7K2NzO2uojDUJiOiy+6TnJFW HwSp63PiNzJydotq2gK0nwhm+mBTlM4EQzCdHHZkV41bErsP1uQ0Psm3qa2dFkXaC3YeksO0QUVt 8ORJwji528DjQec/96+bHwSeAS1vo9WEkXaiHDWdOs3MozvxaFBO13wtt2H++3ukLd4mOjsz+2AF 20QdsSVbAdRd6pB2J49KHr3TA225CvQ/iY/nnCvFBy2jPZnsJkIL8XjA7LtYJGZ3uNLENeYURXE2 aFHgBc/qU+R8o4K/wetsm/YtnKIIQsIeG66W7YNNUU+WguAwgjjZHoqfsMEAosgg+HnyT22NHtW0 a+Z9T+qtBs0RwEhC2daTjPQozv7NzgL6mnBgm6Mwysbiq0y+ldVKfl3s2Z4M0d4Jx+Od1qOatqzj Iebqg0MjU4LsXSMUKbEwggulgiqbM8WBaVtmFVt/36iKREPrLk62+O4KsxuliPGXt4LnAxLk+oVq Q1lxjNse6SENkvbxdaxlcfae6boJlKn/hKwiUW2dn/RnypYFbc/Ximmj45YyoIAA/sMye5NBwqw5 szoCK2Sr2m4mtj2KswE4OSEV6DEcDB557n58FHO23vF4cGz/6o+Aa843tUmKuJDQRLn63EMZW9Ek dRicKIQ8ex45FJKRHobZLLbNmu9gee2EnluF2cY5sDNFCJGMwO0L/ITzvqDku4oUr7YeVbZJQDp7 v2kAxF8KCJRfxxfCQgfPB5P0cNmoizeB/W9OtOlhoL3IV2/9qm21bGy2k2jN5rOUhgEke8yIZPuo qrZdn9r2uZIXpBgHD+4MoNumtusJHleVpPPVCp4Ud+3vkTZk27nTzmN0R4UxNuNjIAqongIS+sbz /8uGYx9pLc9re1TcFhupssDyf6iW9Gb/CetgNo9IkABBTvstDrVJ1doskXDwOhLUfgqiptYps9/y pEgECjBQvfth1+2ykabeNyokyRxOOt2NQuxaTGUOKqxCHaPgA4LT+1ZuWVjG8fYASXsUbsOGiyo2 EPOhHVMh8qhSDJCFf5L7IYJYuZaicHsv0fqpW8rhwv/Oz7AQ+KFGkvZm1Al8+5lQmEOX8kjjp2qv G91wjCIbMbccDLOFWli12BWPR7i2Xy2AM6snF/Duf49A22jBA82+tzt9omumkmTurLYhUCpgf7Ik 2SMQiW64USiwNViY+qnSvoXv85ZfDnT0GJKfT0AbK4E5gZLibbihHYBviUIl+sAU5iYcrESyGVwk sjnweLSQvhtuGa5G5yS4Gy4MuRurbXTlZLVtkSjNahtx55S2qwuVBM1SVN1elOTu6RnsVEpgNFI3 u0F/Cobg9CJGJgSocwGHdHtRcpBD2iMgiRCkU4Wk6YUkeE3XIaL2LBOPR4Wk8WuW6Cq0HWwzoqB7 0kFvteLtpCUdThJJau5OJAFUjhHliMrbImztxio3B29vA6GcOzGSkIwakyA4cpI6dTirU9tMl3tE QTdS+Jc60hxIDkm2OQsDjwfsv/GrLUlOVLpk9ijobmZr8vgupeEcCR5JZkGcvNY24uI2Qe1yheuP Kxwq2+dAqmyTwO0MSRex7WdTrMqmGSq2JkAGGAu+JGJIJgUBbJZAOYY5IkqqWeniQII74ur2t6gG OFQTP6ZNUgzblkc6eZGcJHr4momXtZUqiMRO+Y9q26SEnJ0EoWkMfAtkJgVawAUUEQj3QtdvEvsG EEdFoa2sxy4AMIjPiGLuwck5/0py3NODSZiBSMru/A8ejypJfrURmIOqRCl+bI8o4obyD+K75FDb JYQNK0nTKdtwqjU+8ogibtmcQUdDg08RRGxmH+C0gEeCbEnqpcAbdmBfIdvn9z/KaPiOP1AS50wS vL0Mh/6B/8ViWYPa34jr2t9bDeuOCu02RVG8DboJWPrV65FjGQepULPe6pHMxbSKonh7am4qZWuX NNZVJjl56JmyTX2oNU+MRD9wwGPOaQDKBZT7jGk7SWsbUVlbAsC18H5Dyqm4GfZ+YNsysS8Zz/+r IslJgsk3gFI2SWG4Ler/upytkoaH21XkUnC2ytUbG1G4vdQaYftDgzfbTrg2waSmPtQqtVMAaTPO 3ec9gaCwdUTVVXxHkN5mJiNp3EGX/5k1eRIARofHg9Noe97GiBN3ZJ73VgsB25NN25q2T5H2F2lt kyQlTBEaJMpIRgTYLluULZbbODjapiDRGMxIVkf1k0VbHFI/EO2lie2Fa1e9bbCMmNN2VA841B9Z 4czEVpCltiMMtC8+koezBOa9lDSiQJtlEhjZ3aSNgY2LSfvFf24TA9uMKNCeS3uMZYDFYuaP8I8r U79HtbCzvCcR3SUn8+pZBCJrilBWxXdEwZEsPKsGsBDl03J+Amo2QZu84/l/KSERQor7rN/a/4gC 7aGKZFuXZVu9lATbtysjjY6A5igMtHnzN7XQWnkU3IFkPdlUJYN0QqaFgKSMnbZgFXRW1j2yNyPI EcbZFI9uqNSdoQFZzB5JBaCNdPyfEwQsfECQ/7dvCQlkj5QesFkKA+0u6n/Pttl4d5veWLr+nas4 P3KEtW3avQG85IPzbOF3Pgj+g4ziSUM2de4zwqQG/i6qxlb/3zq0Q34k8/4T05MjgfcTKxJz1Fnc PhdowfNRkcSXEnskUPTE4aJJmmFxe5KlpWOIMJLcHUayl/mdnneAEwfT/xmK+lFPa4EVasPttJ1E DQ6eUmVDQY8NW1BImAoI1D4eou0MUSSFUiRVuP8vke3RE6uTAIjj8WgdfYeQS0Ygfq/NKM6uEmYD D1oVkgV3VquQgC2cudsaQGRM2GZY3Jaly2AFgIMd2gu5624MIc8NDcD/IvxvE6sNFFjpT+EWfyq+ 5U/pQ5TZbNDNBvV2SZGcSxiPR8g2L7YpJm+oj/jNNqM4O1HZHtePVbdT2p6v1Uc5qme//Oc/6JF7 Fh+c+DfBPx7EkEBAutCiOlHmM2d4ccBa6UJtKI8w4+r2ZFmbgvQcVCBJsJ43NnLF49G5vb4mCSyb CTqoTVIUadN+s0iSz4h/jo4YPV0JiQbWjCYprG3r3leMuOT1rLLtuYvOb9HJai8tzVHl+3IWW1/E lvfLIKFQ+4wRJIy0J1kSHDRFkNbln3i+YOHxfzFIeGhTpftyI2cUaVdJsbLBwHXE2E5BJIyStY5G OQm7TVEo8yfBn0KhkSFElKojtYBNSJLNCbDhVyZYG2CkYLrlDTKjiSAPe91IZYObrLGvzUF4ZOBe iLdChQKPBw3JdikkLtgyyvL7f4aRNh2XsyS1h7ikLhWdZfSGmw1aj7rZZoQgkTHuiTyTDzZJ1Ime qHoiHVksKUmu1nR31njCSHpPzijSBmITwRDniIOokXtlIaBRV8DjUZnt+9CGZh54ZD5FEYKEKqwn cb4q0TNvF9lo2zGkoKUvm6KorK0q25CSPwcvjpRzJheQ62hyc3YwmUgAAQIHBDus+fDZ7G3/PLJT 7RpE3ivwaFQxCYgkPP4vdCS3FciagHHaFIXESPbe5zDbEUTa1aPIQbcXtUcgZqWtFqr9VYGRKafN wZPafA7pvmnNeSa9jUK9uySQK/rKu/9WIZtRRbuQ9b+aJEe9jHfeGbw0FmtPzIHHgykqN4TkkgPg D9ggm6MIQiIfkpaSa7XVtp2sNXd3slavd46iSHtkidbTDEFik3ZiQ5OYmlFYRh1GJ6yxAaW3gLgF HO2paCe9boBETgyMpF5bCl+e6UiukzCYnxOvVjz/L5GNLEglcrbmkfaMmZHpqWPTjiQlZ4+uekW2 4Hlhmy1UIhFOCwG/DU7XOlkxaDdTJq+7VBZrC36ZgXMIlb07S8te9y9Ce4OWow0CI0FdifjPdjIa PB5EkeMuJQm7AbB1Zf9WFGnnJEzbSI5qb8Ngfz2PK/uT7lJaEYxkSGMcYn42+FICKYL6mRD4RX+E sL+Meo6quMVhJEb6XyGMREQb7GUbDLCFJreR/iseD7iRV0CbawYVhHIBWyuKtOGkQltln6JEkStM 0UnUk6m1nPNiWplthZE2//BORx8Nfq+BfFFHYjECZAm0FXZaDVEOqJnoaTgYCakpviMqaBNpU5MA Nyf8U4l1DdjPbubzteD56EiqX3OEAlnfF6y9okAbiuiosqW7jLYvo7OWl0Ej4U9n5IgVwkiWmtri q1UCVxr2GnSRFDHh7ofkX5J30mT4CP+C9MRHZ1XhO4KCtjmS1TsIaQOPZq4pwObw+L/0NdjShoXq Xh5mr5AaSWEk3NAWHjXSMBgezd0Nqg1rdhOPWlGYPZjTIiXwwe/+Dk/Jqp22TqwnpklGqaODAwXQ gVPaiGlbfxa0oUvJmi3SZIli9JMQdubtQJnh+eA46r+oEegljf4I2UaBNnDv9B+bhrNZg9St/fBH iWfPyScppEYSHAH5NB+8WguMX6M5BPI4QD0oVw8AIK21c3+SEa5ifElQaKNb1J5MI+ALINW/Com8 ztQfmwfPRyGSH9o4CgCyne2ZpCjQpizHSXguDrml5SUk5J9+ZvduXiMrVCGRJUtn6aNIN0VKLTBg 32fDAhxBlaWUafMOoiXNjwrUYczZjvk1viUC2iirrcsHXeMLjampdIQvGenWf9f9AZ8ZoIzbJIVg bdb9E01xpdUmbC2rkeIniU6VLdReUVFblL8O2zMbPPXf8wSROJpRQj7nUyYTqcGUYaPhz16Z1ZBQ neTrBnPEzH+yZIvBzpYG4wquKdwLeDyYo/Rdi8Thfw6pe7OF7Ejq2VG1UGW2s1pVcYPWEIoJYGvB 0MHYkStEa7M3grajDw4fqSgdZ3lnbDjYY8mlQkvYhAoHRf0dP4IrEd8SaWwROJIItD1HgDux4HIg hx7LH4+/7zZQP79y/4ka35XYWjE7UrpIY/rVlq1KSxESC7bP6bFMhGTFZW3OTqI+OwebpAG4Q0IS S7G2Rh4J5McpZwO9fLLR1a0tpM7iWyLENhNbEHNsUIEEvmPKMtrJ2lYUbJfxjdgmdgAQLpuksKq9 1dB2hs05wkfyViTY1FZDam5jt2KFbQqQ9Tl98AJJAdef9mzgoyBDoeYP1f47MCSguniQNIZeN+oh URAJlScbjKtVC6mvMCyveDwIALYXSFjdhaLduEYsOwSPUNGujelWGivvu5Byd4EtIJZNhDwqao+s S00E0vkQSCEWTr9h7jZqtQ7BI/cP1QDgnuW2rSxq7yjUBpQAc9OaD6qPQD9yK+5JC48Hdbb+DWpH pDPqLWrv91DbBTa7irQMtbeL2Uy491oYuYfja/bf+tot2Zbzem0BSuuk6SeXIlbrHNvk/Z9PwL8E WBDa7fdio9L/DhHbkh+BWJcNKvyfjZqmzpiT1+73UPv8jPX7YqNROvIwm6OYF6mMfyybo9Guc11K JnF74ubhQu07RmxLg7zewQv/BWx/7FWytU9IkRmdLP6MjD6Sd7QLazL4kqjORr4/AjYbhIyoezde pXD5xuORDMkvvPbg7vdYe4e0yL6k8uva0RCisePo7IlywSPd11EIHmENcqBmaYN3tFeHmdHQxYaa HRTd0oAMLNm2dKrzBhLvtR0F25t7baI5YIOV/U9gZKpIWEcReuRRReYcba47v/x3iNYusidOjydC c9MIKIb/GOsfHTTNUaz3x3wkC62dL1r7BAJnUROdCmmETRlb5iOQbEZuUslDUqENZSt8R3CrLVbY toxsoWwg1bBzLHTVtEeeG89HyIhfQq2TH+Ngth3q/W3q/UkUWTokWWEAwt/kij+wrrA5CsEjU5AI 07Sdtw8JLwgAdBcR7aAUkjeZNoFpm+95odpr6G2D8KhSOWqxo81BWy0PGSedXxNHduhecyXIpXwD 7YFHOWpH6JGEggFYNF5ma4ziOEcrFaP89T6HUf52KPdH+NWYrJJw8Gttn2Qkdc1RPanlIJo7kUre 6MVx+WwEW+M7/rTQqqawuY2IdM64NiUbmRcfj7S1v1URABLvj8XYDuPsopDo2o+AkG9bbTIfkj8L 8cJoZ+8QPUL98XP0DB9sGfXUOsTMyNU6+5ct7I1NjS4XRF2bJ7WFbsT4jkDtv/I6k8J2cpMoCO9U ohbwMRuPR0W2XxKtuCIBr7UpCkvajXK/azWfovQIR61lXC0sLfOt3WGULT3EySIbBy+ywa2G6oe0 rVUgDVYkikUodaJ65diRPfW2kW6U7I+p0M7BGH95liUgex14PKLXfF9q8PrmRrEpimJsiKuwv+bE 0bxNl60AjD5to6Xpupo7Qo5M6bMs7jcOvtFObDiTJNpQiUjGYt84sJF0Q2/UDbVZqt2h/IiAtVWx UbXY6CzUulWGPPfCwOORaPQ9jPB2g8DjS64RUuf1a9Hfhby4AGxwtMFm+j+KeqUqDsAJXbHzZTye QgWSLuZIqT7cEBLuNZPQsQqaDY9KKrdB6xfqBRAjw5mOpbS2vXHAHi08ruF1goEFFs4UKJ2mr5En PyByHfvORuiz1/IzU6HiH0m2YPmRAQHuf3YVEjBrjBdB8KeE2lMYbNPEsOVn8PU0OrSPZRs14V3L ICkzcwHyqBCvZao/DLbxLX8ISJ1rRDLkw2TIz2+5Yd2BLzxfxOejlGR8ZbaZnay0rsNGCkEkNP3p tRuC9CwFd0YwfgbLbaDJmDNCilAkpVh9JPvgSwryc7PT9QctXJovoUxSyE7YfLN8USRyYk0hjISV ttnJRoIa5Q+BkSdyPz/nMLLa4gcE0VL+xpE2oJrzI/2fohL3KITbbKe2QWHIdLY7yTCaKZasbaZi u8it62v54JXJc2xjXpCbVPyIRfrIiA5O1IRkK+/9tG/9jf+kkWDn2qDIG96EXFMVKAA8H5W5v1Vb GJ1+n1JR7I3iNLSRmpMkGtFTMmVdVqeswxztuPeiOvfgkuqDADcONlHQ7F4li3Y7Qb0ZlCGl0UYC gRZxvheVMvmk+JqgZUI31SX9Fg46zmGGwdoARBn4fERyH1/xNyRYWUy9MxXWusm42a4iBf1wQ7yd kwv4BZ1SK7H5RluysNgt/Pacd/C2ybkkUGrIkt1C3Zs4t4zDaiFJx/rz6lva9sIRGJBd7qTeUqpe WoKcUxPObej5yMT2VyX3LOXZbq+bErbvh9tQTyAXa5xMhd5YUamYZTu2Zh9+70VhuIRbupS3+pfy Fpy6z60hjXt2u1GrO3c7sp+E3JjoSTvOETPoa4IYisETfX1tUPHkXCZZoodnsvl8RCy9E6Xz/ATZ c65nSUXROKwLz/wwv9BUbUfgAqds4NKW9rinVBSOD2Fvl1Tc11VxXxNKuUmmCSdSOrkPwtGEq4pk biADtue+BW+krwnW1JR+e2FJrlN2BKdUBeWZUL/zEJ//lywgWwnIVue+oHc5w7wXzA1ccj0By0UG sqaoctzZMNU9ASOtkkqREr67DZ64nAQqA78spTKY2w1ylVGbRT0Q3ecLDZz2vn+ZuYBR6IPQOBDV l57LuYX4fLD36nchhbjcc/488xRLA9LBtYziSBMCROXCQU8ZzhP0G3yewvL3lsstWQLVHfsamZMg /VMeIMGOc0lmEkTCsSlzmcclvVV/4aDgVIR6I7GLgyZqjUkDc/iqTT4fIXL217U3WL4qN5IKPSZ5 W1Kk2SrgrV8v4LQ8g/nyKc2ByaQ4aejzC780vhSUR+/ky0ghYFoJOCHZ61T6gNuNe7rQbjsHJpNY tSo3SUNpuYbSLGDQ8QvP3ubzUevSwwPKAYHkMh8VxRz6TKJEyWz4Kpdk17/tbbjPxDnNvVWQA6NJ dyzZ7Rk0UZAtOREUGAtnok5+hbAcUSmy2ZYpVjav9B39SnLsM8nK0+rtDpqnVZAEMDQvlc9HCriO qBB1F3CFcsOo2GlSNEpQu608l1uxjLinK6XEIJ1FgxxaTRJICnnWOzhYEPgbcGmYEq/KngCgy0yx gLJByGnTVJq97x/Upc7Eh0NV4R9lcfovnHO8Dj4fdXm/zSYBK297PaspqobjXoJyqZefgOW21TTw l1jhYNHuQKspDMthxVESVTc02GoaPMrHFt00nYVGPSWsVoCy9g8cZFyVa2R730hMgSaBmB8b+Oc2 2Fg3gSpz5fNReeVXCIV4vF+f8hwaTkK5G9mqb7qqv0+qU4vRFELNmfadppBPSdzN3ALq7CtelhvJ Ao1A+JWos0gJPCwJ9Ml/Mhu9Sl6kqZRDz8klC1zJTp9haTn1PQWfhfD/5vORvWv6OsUhlUz5CJ+n SJybDgNocpk497khDYgKdFB3jXdYBfqui/EnlC7TpcfB5qmeNQTnTIK9Tsy9oGMAzCDVsYik6rfZ OxUWhKaT4L+iP0ePNw5CDTaI+OgLV+HzkTTnt8MLelDAldx5CmmVLGnuZZ0n/KfL4Qz8RYZAmRTR YJwZ2k4K7V0XLz0OFhWAvtXP66ObWSoMqdjNBLbmB3C5s2ug8GcVO1WiQt9J4NrO8Z1phcvBkAMn 314mh9f5fHSKf1d+GzUV6jXnDJwnzS/4/ODVUpdzEtHDDNpKRX7UyL4qehg2T5EXztQVNrme2rzr CZE4DHFk83K2Ha5RQHVAK1rEhZTieriF9gj8lmg9ZToqCiKXh/vhjTPjRmVKlc//yzM4q7mHZst4 Nl4YjiM8LYPHpwgWJXkhqlMvTAuqtuITFUuZqBBFvi4HX1BI+DqonMiFTzBOzbezXHE2JR5MuZSL 1+nN3jioGhQqB8g4OLtxMJpeu5s/bit8PgJafJ/kSGP7Yx2YQw/KOiQ9uY3QDJk8W1F91u4TVUZz L9PYhFLikqLrcrg8i1bOuU2SJZp48HqXBRU8OgHGnmP+R+ISulCWoc5vmT5Y4rIHc3ewSxufDxKX 8i2xkFm/mE/VIDSiNMWubQACoJyZ1S2KYK7qE5UcIp9DJ8pF3HcfwjaPeY+os15X5X47Ww8Stole 3QNR3llrsKNMHo8DQKf3jfousqBcS4OUKGqF+Aox8r2szOejG+8meApQMe3lHlGhGeWgEVWTlqI0 O51sOZA0nf8EH3UhbrR5CjHgdFkaieuKg1dXQG06GR7VcdEk6Qg006zsw8K2k6VyKZvJrzs0o4T+ KiqYZKdw4L6DZRqtM3E4Tz4fRFC/kCkV+d0jsyxlq9ftyuZLlobwltuCoXdPYsmkDtRhyD76NIXx OKepjXoHmyYkBoC2Mg+GYESVu1IGtj+x+QhWoR1QhH/ya6IEj2yLJAVi+G1PmSbjzKhCy6/ND4hC ct95jN/PBb9QNfGZigEqnJ5phTk0jbIXgJNo85tEsFx8pkLhbgLmes53sJlqGygegOLOCXXuDno3 nSNlJ8mFVtrNPJfetjcOwAUEp/D45ZB1JJ9YE+uWWy/rNaOSgXfOebqhaz/SpTrl0JZyF1F3sud4 aRvyCbx28g2YCu/hNajAl/Lq5VJESYNjeeCSl4TlQS+pVFbTM4iQ0Lz7ySioeKWcavk5NqaUcReA a2zr9R+pSk5e3WxmtjX5Af/qKWSLDjqdzmyioko53UIAUPFiXeG1Ls+cbDKxVUKMNlEhWEVnlGze ONhEIbZoiNXovQD0fCq0godiDXrme12CAS1D+SXBGZWZvQAOaYPVVk4MxZ3Xem18PlpQ362XSXmq 8Vx5UVROH7wqG2BOE3GkqkHVNtwxFw41Pk2htGCXDRwpBhw8e+kL4pQw8jnJ8Nlpk9hx0h8bZq/m R3tpTXvfCI1BwApagzYYw5Ba6pqmzef/FZSr2oQpWk+LKjSoNDWP/gAy9oWHnT/nYlbmrWkGDpUX kbFa9sFjqAaZk0X1XJglEX5O2lM+UQFoVx0NJUzY2Xc2UTFsRcTwSqUKgCB4pAxKn/FwrklvGcQG V86TrTzMU76I1Rx6VA56RSzZCuk/27BsGF03y4YzAWjMhgOTSnwU95vocRxsPZ146pzsRZZnCDIK z/yEvA7KQz9Q6TBwjzThcmhSCWUTGnk1H1Rc0bXK6Bxd9MClMn31EvBcRjixTgB25ymKyZlEg6Y/ HL0yh9fqGl+ax1NmEqx5io3hGRS0cgfrupC/BTypDAYahNxRI680zgNuliioKevc4S8crCdK6CLO 15C0nuDyIPWKfv5sPh/Vfr/1mE+sAm8YRxzm0KwSWS44Gc3MmM5R1K8xbHbW6lkqw7TPc+hWqZ4w 7HJ8uD0XIKkWBatQoj2RAusLEMZsjdDedTvohInn0K4yM8WrLMxoeErkRmpGiTzwq0RI3r/WE/DP s14KVA79KumhdxLg5gjfdNGrI8shjibD3d2qc2BYibSafhWFokMc/HhCyFSqOQ3RRL1x20Eokqwk /LkXadDthf+gio0iFzQOKkLBglQx50kA+Pz/ZKSH6Sb80eYpRIvTa3Anl9GD0IRrxK3p112jrZXN 09/MTMD+ffBm5xxoBxNpgBC88GJMlPaGKdLZYaiLWLOzV3/jiL9CWy9gqjAg0KS7FzCOeyl+6pkf EM3ULxO0swnWvB7xObauxAygR+/NqV2zBwZdJjFYUWQs20zF3pWcokKiDweH+ax5FhS94jrMynBc o7qCFBgedOigs51QvzrogXsl2NBEjlWCmTfswbo4Gue6IEv4Z6yR+QHR3vs+yxuyYbpD2EyFITkZ /H0vZ2n05YCoSUFlU0NZt7wSGFi6pG4Xcqx/IccqgqWBCh7awicJQOdzQ23nTC2gW0S2OLw+L3vh P5BjULDQkM0+6KyoE8QyUjzH++QH/JNcrwb6mSmgt3ymopg8U4Jg7OUJ8djFCHZjw1JJ6JXZh+N8 QiNLkTUaOy8anM96tkqjhGdj4tIqoQaV912iTgkY7M76Sd3e+K9bDyotPhhXsy7Zi56fo/L5/6VW jvys1+uGnkMzyyGthuXEH8CX3FpnsAsuYd1LssuBm6XUa1BZoaTFuJIWAHACVgeeARgb48xcJsxn 8H7M5dsPvfA35bf81cpbsDHEgOKDnBo3CBDkIiYuqRBJnm4LnWcaotfyNPMCR0vkw1sAA6P/fEsQ lzQcjVhKyz5TIXoliYhINhkHm6nZiQNYlCM44RIqnPgtgaA6txWIvlCT9WLUXvbGwe5LW2jE4YPa Cjiksvbh6nw+kpD7Ps+ljfUE5qGrJdsvYDxYiaXWSrImi1GNgdSmSMrwanloaznZPG9b+Nbdb4RQ 6wLavlD8C/0XQCWhkI4gLpHxAMsRR0SpWh76WvbNch2gjzYIEUV6ETPisxP4fBBxXstG/GMUpX8W rB98puJyOSVSGwonCs3XVUivbI5wpujtJuxYaG3ZKdac5OydnILSUIsHoSyjxHJCsg2+BQjlbVeS IhGSt6vdVOgzxK+JYgTy7uGuaoMuPlijl2z7kM8HIcK8nU+uqYqu4tMiju0tl0x3uifFcxv+Fxok DjhoBOgqRgj8Lc9HUbEZu8sHrSkccqi3Uwd0IGVQ2arThhcROoQFlBND1dne94/T/CwlW1jbO8Tg ZLH8JcBBYHCZRFl9OlWIF9q6tKkcOlxSbL/MaUw71O+Gt4hTcRvwujk9XFGhxeViqktMiQ2GOGB2 B4ls6qVurjEW7XAyAyydwSCxZG8olAo8LhVdD4iS03kPcgqqlgBFOZiEdAg459Dlkj3pr/Acyr/1 WVBReE7EWS10i7Stt74s5T0r5ilmExWzOelxha67DV7dBAoVGDhO1GyTWXGm90GHozOqiw4cS/6+ f3sUdQs5mzxTICiaBUWE1Sw/IFK6/q6X4zDv7cEcBD6XIOJJMWVORyJSY4DB+SZugFU7qvLaxguD c5ZX1qJPEQfLivdeOEoyq1F09KvCoKMKzC4RmXjzcSnKgc+lXH5gTLiXD8qK0/m+KXoHAFGh0eUj DMJuzSgEft5pChXBWdrvJI0KcpAZpFNcdpgT38n2UvEwKna6XOIo9OaDh1EnTh9kIQGIePZ1odp1 QwF+8g6RwpyEeNRKD60uhdjE+eCDsuIFGoncYmfl89Fy+g43UQ3o6SoV5tDsEpo959ZhiVoKKnOZ lzNUN6+CygZ6mXF54Hbpy6kK8cPB52kC74mqJdovgPUDnJAyeCREzJQ2viAs2174LzZ+n9SbYe1h SabgHFmFajrzTBk/ILBP3996PCANzPZUgQPLS9EwUGa5uteb+tEyK9JuxEQN+pxrQYXsTtaY2pL6 xXrUL+rJWnB7IoFh97CxCkypB9wbjAouBlgO6jmwvcySv0APfWkophGSdpdY6MkyM5+PcmLfeSQq ILZb61I8c+h8yXJfNRVVlvCSSzvCqF41lxNrAs5sSypCsSAIgvbVXj74lXdyRhwh5FOfScvSW8l0 QUFlddH5WvMkjlDgfYk2FYl5uF5tEIURB5TEGTcoQoH5pSDEX3VgID0WPBs0T5/XCKqLXEA34WQL quftfnN08zEy1apGpnqNn7oLO588ePlwY/KZ0X5jg5imvOS9wHgWzgr7vOYjx0u34tfoqQOn0ySj XnywKTo3ahEeauHplwnqUJpVP6HK5uBcLD/EzNNb6fMaOXWDSJ+M2frmYFM3bwzvwgidjk5z+Ake zE9XD69w13G4ZOo6AQwkHoP6DuzhTbCv+YJUADRLh6Vz6XWC0HLZIrksHzRBlSRSRuQLT79NEEAr 1SZITxGLMW1+XgOmDmVw2Tm0Ytvs/IbuVUC1MqmoQe9T8/MaLnV5Qp+UrBLNu2XSoTAAmNXe2URY C1okBN814AwAPMBy87ByEj/+Gix1dJToC9qpNMvBWi2Tjnw0KW6f10gJk2uFcYGEAXdsgvRgel7j JCyfRuGL5t0DMM0tToLqVfKuHYpXnJ7XKKl7L2qlfQebnrNwgMikaQowoNQ+gGAxslb4iCBZ9ulB rv95jZE6imNTHXL1ywG345+FzdtZ8wUW7fMaInGnlO/lg66FHNMwP68BUjeECjhr1jYoOCsc41tW djSB+K9wlo22F1V12zCYyrj4FHSezsGxKLZ3zpcJCOTai+TaMigqD3yK9temctprdIQDSBo8m2ge Dsku/bnIBGOL/DU26kad43w0nVXn90m+v14jI2xKEJRgvPdY77ZkgfZYl/JzTnnrq7zGRT1Rx5dA sOyDnc/AFxaYrvLtE5BtiIsQMAFhWIn0bredyXrla1jUwZ1WFa53H4Rz2uavjJSgfF5jIiyG+r3D AMqGl6dN0GtEhGOdhql1dTdMRUvALjA1jyi/05HHyeUinKAlcHjzwSfoXM1tmU06yvqNivKUrF2E dOJVfYsxYXsNhrrhwM6pSleZjiaMwKUn1WkE2Z6cpvbPayzUn5a4JghO0aM0n6DXQAgndGVhZO5r lTIuCXjI9Zqx9XSU6msYxBNaFVw56qbx3PANKwiRFpX7U4U4IUDPyPnOzXb+GKjNemhNWvlrGIRf QSoXiZSDlJL7yOWSpeYMnv/nNQjiK+avCUJJxfCpmKDXwiS2WKWJHOB9rgZSvYg0c/9vFtRrWbKr /4MGeL+DtwRwxVfufDJbhcqEkBygTAnAEzZkhZFbXW8bTBClrXth9ZYriYnHCRLboLAgKLOf15Ik j8n0PUHnL2LbWRP0Wo/EqiVUIPfuEzR3u4oyRKwIKkCVJQpdRIe0ZmYo8xj7lkTQS5QGNEjks6wi XQLweXICPKaMni80jp5Nr7VI/gq8v1aW6k62ChAuBXNHAyb1tRL56xaTTuq5n9ZM2aPEKIweiRSI alrfiBiLCRNNOr1xiuAne5mZ0RxZvYiGDFO4BEHmN7xDNqkFCU2YSvcPQuYhDHLOHPzpv3TS34l0 XVa1AFju5AMP6gb1MPl/n2Pu886j62K6PHc9SMZd7TcB9YNJonADBF8914A8pN1lLZkVOFC98xJ6 okkiZxyEeh8sGiqwqzgHEhu66LZNWhHit0fzLXcva1dl+UPvG5zWlVUQzRUH3WbpLFbJEp9/8Xkn 0XV50GpO+COuBtDL9ojxnUTXTamPTYaLEEg3JGJ8or433cwF9ApmaVcjY1Yf7pUPqSagfhEdNVVt d1Loh5YeZH88IhpC7AZz1FvWVT980Hk9z5UmOFzdfDyIieY9jzi3i57NfmK/M+gwtZ1lEH6do01M wGmYMihXUr3lxyiu7pwjRIY+OPy7QPATMmEoF00gEDf9PwbgXcAAFLoS2J2W9LpBXpa4ghZTfA7K sKB+JAJdAQI1jKzHvfaJmxNr9S6k99hacMizncsVApuudQ000DYr+QExea/RRpOEoLFQRd8G742c uV6jsJS92CtRlEkkRGYC+/RGpr1tdCIRiLNplVbgFWaEFLgECKabEypqwSSJhNSJlwYSCHjBTWcr fm0UX3dIfAHO7IXs1JqJyZ8/wONrmBKYmPw7d45XABPYzCCAg51JC7Al2ImYbROhh2iMoNSD+A1A VD+3KWX/eafOYb/QrmlQh14DJ+nsZuxwrKRRyuedOdehTPgdYUNb44c2uTZJYYxd5SRnUBKUsY0c Br00M3OC13X1qmMUZHc5Ek3SxDnYkQR82jlqmKYluKWycwu6fzuBbuZ2d2PLwhLV5503h79S7Och lvi4LHEoRBYha/L+vNPmsJJa/XUm9UWEvU9SFGcvJiLlKc2unaoDAYbbEpwdUlzGIgq0pbU71OXm YJMEGMCJgxrb28hAK3VAqd8D26ufjHqDc+ln1+u+T9LQfuuswpVOYxuS5tpUOAB38c87Z85u4K9A MiOQzPdIeg+1oSebZGe5flwEPDkFczTTHgBcKjm/KYq1CRI54SFPJg6+2zL8PsCOATb3xNKk7eSG EgONs1GJvF2jrrd9nyKkzHitlX1QM0S/BJcGNHaiWHsZuck2G9jcjcJtmqMo2u6aI0nIUxKsze4V NZX4J2Xltheuw3B7sKVNwQUNVreGDsOJRCZZz7NAabESb4r2HzJfxNxPgX/qdaNJyizjsvTIQSfS ThIIhkXa+rwz5bqUCr7WEaDnT0r7zpPj/U+HvVQcnrSqMy/Pgf5YW8LJVFXHMNzmXz72Sj44tQJH UJnSQYHSLmkFacLEFQ4quFqdrEMJC37J+922VRbZ/Q4KkmC1Ti56nSV/3lly/5W0Ud56ExzBOYqi 7SxZnWbNWIh29eqlR3nLwo144fN4/b9T5LAiWRnpQxpRrgwEKiFSvom7AK4EGdjSQp10YnEB76vk SNqBxOrjO0cOVxs1v8Zq0rnGZConOWG7SN0dIijvHLn+qO7qtATWGcVQn6Wofj3pSEjZO6uvyWGP KFM5f6O+Vro7yb0z5FgcZIG/UZ6Qg62kUs/pOoGRPDnJmhBE4SyBzZBZUKsw43KajpbSe7xtidvJ A7pECsG+4K29oC8+hVwr6/POj7Ps+FlL8P2GRY/PUhhvTypWlHSF5GZ10+bZ3G+vj+792Xd2HHNA MwFLPjin4kzR+X9zoZw8lNMbTVKhyZbB1QcS/irvSgDlnRzX3Zeos9uoQRHAiejMBmzO+nnnxvXH UEaThDLmhOqvTVIUb0MiEMWddAHLaAqZnnxy++8T9blD+jsxrnuvf1QCjTh4DeDkCx22qeTuAvCR xElFUlIAhgJvy0q18m5658Ux9ZL8wkg+CAZ4/kdx2VkY6/POisMFvMZ/HEpjPNstLGc3Bkmz9gvq Tu4iX7vJOqOC24xN8c6Ju/203qS538Y9uDPS+ow2QgNG6KTTAks2+Pdwu4Eo95zcS+8bREmJJCaR LTkYsA0sFql0zPV558RxtftuE+HpJLZI222S3sPts/wo25iyU+JwiF+Zrzq9abSqJ27vjDjLznGk 0HmPg59JICNSDQ9NR3AHCZTsxPXIXOxsw8cBfOl9388k61kn80q3oP4ERysRSIXVsT/vjDj8ufm7 7zjPGyCp8EmKwm3Ebujvbz+4x3YbkHOQeAlg8BjRkfQebgN8OJix0VZm5+KdR4RJqAMkdmZPjDTl FU5Ss3bbA1Bmw52vG80RpdDq1EAlUBaToHotG7CzVj/vbDgmft8VN1jJQmfEJyksbW9mt1TBUHa7 LPQuQ/ZCXEmgQdjt9h5vo+zM2elUSuVgkwRta2gOLouTYC6H7BbtlEEFo5kvB3UQmvzOhbNCB9jf 7Q6G0jpbwQCPuX/eqXA9X29rr5Ow8v+spCjgFpaN1hymn8O2g84kqirrTKKwOrfbe8CdVZYsmb1a DTZJG9yJyY51g006OM1qohQ0NJqZW0ubgoKW7zQ432wnq23DB9WSTgSzeKKcX3N83llwCEXH/D62 kRSBPWFd7CjeRkkNLZLiBYBOerp77pgPSCvTfZvfOXDdrORLJvZKg5WSMlyW+iDaD54gdS0h3RAP JmkKXF0vpDKfdwoc2mSsIRFHYYPlbWc3M+AALPnzzoCz2/c7tQWGbfhWeyfAMWYgOmTOS8JJ1wNU UT7PowT9EE1RjBRBma1BJ8oGTRE0iIFEHtT0qjNDtINllC2WM5SJM8Iw9fqLXjeaI9a2M7Xlc65u SZyS2VzDQPPzzn7DX5vuMuIBXZJUxWySomi7FW01b/fXSv68/Bsoeyh4LVly2Grv5DfCjZjbQtXc Bttq57KEpnwh5xSdyK38H7uRdUnQH/zMbkNvG6RtNFs6c158EF7kRGB5SGO3lM877+2/juxKV+3p 6f877Q1TRKj2Wq6hV1az0BHdSPb+AWkv0q7s/KQIEoEFRFsuG/zIhk3qoL0VhHpz2hQWqIveAOxJ Moo0G/mk143yEYaPi+k/ZMF+RL6a6exhASFTLp93yhuLJD5JvA7xsyFmsEmKQu0NOC5cUrPDtFt1 dwJWNYVnX1Ta4zqKSttAc51fEUUOG+w8qrNBSH5gHaHbNgA6BNAM1DB4E5Z7YpNo8Hlnu3W3bkRJ xwcdRyfJ7SpHnlj2885165L4+8IdYadJ7Ywz9B5oSzLifBetszlDAFLZTps0jCRure+706K6NuWB 8jnC7mAZ2zynEPChmyodJ/kXtg29XIDIEbjSBkQdEiYj7zS3LqsRqGKw1MbBiv/tspY73zG41bIf 2RLTWZDpuHMUxdlAcFND1241qCd47Z/OipbVSkUo8ZOihI1F/0obEA42R6D/wZtAYktYYaTiThDs 0Z4884Gz03mTRPe9c9wMq3nSWaLPNaiMBEDKVPsMP+R7nI0l8b2QEO7MPL3V9s5v69InoOm3Q7Ml 7u+lNoePZDhHMs5+p7d1N7eEXgIH9nQmLy6YdEBPgcnI2WrU44cGTP9ZRDIP+L6b5w7xxu/kNhbv mbAJvbjoba04+5xzlYiMc73zJaNe23daC18jgAN9kqI4u5GrPPtyK7m6TP4NEozbxDnAwE+2ksK6 tnWR2JbcboXLSeqQG66cpA1dqsF+JNWDEm57QHevdwPKgp93ZlsXfxbRo9JaUOGJt0RemBfZ7+fo 4/PBftvfUeSg4OX25P+d18b4nJts7evcKFlmtpHU/++/TInfaW14f240NkFt8M72Aoq/LhG6z+9P wCQaucQZFlmM+lqSRsA7q627ZQqzXxuUjhB8yvv/fMbnndTGl/xd2oaZ/d1v75Q2HEog2J+gl9hQ hpQkb/2fgM6mtV87NowE9t8Zbd25f1tYCQ5eIYH+GyJrtwFjfeDE2KjzQg6m5HlXElkqn3dGm4Ec vrzkh9pI0N5u1Bo78XOdn3dCGzGnfnBj/aGAfPbbupMUIkmkojAIeqwq4LpXSqGRGuW6KjRrKlbS O52ti8xKf+rlg5/cJxzKeUl4MYEAiHgczuBbVikZ56Dj1qlB9c5m43ZjJpKI/jtD86t8n3uSqL+W 1uedy2YFiq8IACoT41lIYaw9lwSDJCAI/cWuNQXr5mEyniAMJbFI35ls+CRy/VDy8sHq/x3tcsi8 sB8JfR2wM8DbAomUngyws7tZW9f7Rmgbdm0rUcirmtoOugqrWrSN1f7vaJsHN4QK5oX+vfPY2Jmh v7VbfJxspJlYHo0tROSuqIRaFemdxsbdJoN09pPWI0yJ/KMBxNDISV67Cd/fO/tsOO8L3LgtBBhk QLzz2NiuoGQCdqoNyi06wgvGPrPUzzuNzeHoTxWJdazuKck7i41or0RB2KtZMqqD2GetZp+K/3uz c/udxMbUtjIXSXfwSYKzPLnNTG1VvAbKAOIjlAvvVEAfT4XkncNGlGzS5GQfFG8DWCmtu/ODft4p bOwdtK+MBHJ9iAB9jqLC9hRqa14GGxAZP86j8bsNGalV2t4JbAbxwHm9lw8OtjmvcC4eqQC0fo4m 1uRKooJUhVu6Gw5koPf5HVH2j7nphDZo0BRBbUVSHCfY+LyT1wxYZsg21sBRkdi3QfJOXiOyjaIS yaHaJ2CaLipBrI46ktTc1ByF8bZVkUr2wbP/vU54p3IE2n/wPeahhZ8R1XCEnR5taxlFVW2wWKl2 PnzQHK0TsbNcco7F+nnnrf1XYxvH4KgXafvOWiPUNsms+XoSs/DJQFKYdGGRUfsVHyKMtgczWlhV 2XCXUT7ZP0TRkJcQINHY+6YQB2zl6w2QBm7gzztnDT9oojgJg20Ntoyw4oeKSPPzTlkjZOu7Ywuc Tt/5HtlhrL0ZFfUrXHYSIcv9z1+Tjct+Zovl2s5PijJb7rTFo4aDrSI0js4Ncj4LrRFIUC5zLybl N6Nm6v6WCOn5HQH0byswomqZwEE/BGydW42p9PkxMx4PYshfnUgg1U+edVdRGGjnKs0yl56srEUL Qjo8HTlX3bbkv0UV7VTMpiL54DCbCUkE/J/BykJmQgLOmagGk3Lan+Tb09ZOCyEknCPw/H1QSfuk 4GKgt1YGHg8a//kbirSpSD/8MOphnF0lDuglJDQ9DbHdB38aSUekZsyj/h5nS4kVmT0FJDjYTpuo H/VNeug6yUTpWcAuqqVAcJWK1OvxFugx9ZGnUJGAcFkXZXMiDYLaISWAxwPiyP6F18Zh+FRre1jS TtJ3zd1LkQIlgSq9YexoqhHXbqhHCBJaw0Noq/pgJW1IBCxouakxUhepI5nhXyXCGQw/T/2prtGj kjaaCbjwW/JBc4Q6JGslKOrh8X/Rs5jTwixyXpBtjyvaRY7E7v5dxCPhvd+7O6TXa7HXo4q2UH9r 1eaDI5EgDn2WJJv+yNqHnIboP0pRN4baXq8lfqRHUTYxbZ01HNCxgYUjHgIslE0xU4jx4PmABfmL ggSx0B+q6No0hTzIKrSfg9owYQb8m1lXHAS70SBVMtKjOHtOOX+zW8vBpmng3idVDSwkKGdgJhMA S7T4QtW/XVQbRYvxLUGARL2tJsuTtrfpGZy9Zi6RJ+DueDziin7XRwBx6PmCI3pEhqT1ZznJorqP md0YTVI/h6whSM9+24ay6TGAhOd1IoaWgx3bHR2RgljtHEnQcIUi2MZJToICrKZRiDYhKV5tPapr L2I+KGZGOrZpj9RMQragSHvi+eBuG/XXhiP038ERPQy0Zaw37LBGhLStHoneRfZJwhRokkJGJPts CeGoDTZJSGRObiSzE6S1Agmyeb+qCutXL3hS37W/R9pwnlEhkqytVXDoyktvrU7V3h9g8fH8/7Lf 2ERatz7Sw8o2m5GV8kj/h1YJJYZVH9kQZMviR0BMVdstjrSFnqWmMgc/lU5mlCZ071oHYgtmy4TZ gPWSIZUD0t5DR5p636iKxI7tCaZYIEE4UrSWzpmxqbV1Nl7BBwSH97hcG/xbZo2389/fo21rhp9k kAbNErThjFUaMTV6BzAVYhkJaynCkMjVa0jKfHxJmSduDVBakP3387dRArfhbzwh0wIEr1/7Dkpx 9qi2XQlnO5dl98EQW1lq9WzK4PEI1ea1Nt4tgO1Bl9knKcJs9yFMRGnm+Ik/xwqShZKiDJQqNFxY kOwRhmTIJT1R7mglnRZkbZ0fC6itzaMbvQZ6Dp67FY5/4G6DEXDbbWgG4Fv+qkjWQoFuDiqRQNxa zqht8fGIkfQdBmToSPV1s5IehtyNB3Yxu0r8J49xVNs6sjWq20Fu1XxRe1TbXiQgn/hj+mBLaSNe mpUSnBSCo196YsE+ZzDp2YyzkiTBvviWILtFw60v+e1yUC8poz3CvGSWicejQlL6NUv0FtpeSRpR 0D2Lgm7T1AKRpLtY4iqtWZWELniMKEdU3Ob0nOU3lg83d4P+baJ3ABwCQSslJYlajiCgVue2mTb3 iILurCrbUIt7DOeRjE7mLrmWGY8HQXf3lcTCHAXxkweUIwq6mdmfozk7/J9YSMH/IX9s8P+93H1p hKVtSbFI656D328wap6wBoALeAfVEwuJGgYLZkUZl6crkCHCwpcEc8SgOwtFesIJoK5/yCM5Jxxb DgMJ7ghr27e7rRrAhM2nE5JGiNpOUzBSt6gyIvuiNdxsNkkV/FJNUlTbFsoG/RAOrO8NZCbnYj/x T6Wc3YQARSaWckPOCm6TwMpczwBAafEdAYgUsojnKOqqlvRpwSSST/ZdzwnR8XjUI/mFtAVFCgej TVGII+nCkax1K0nTkbaLFrOsJEEU0LZaFHEjDKQfCcMl4acHi22twbmLEAnwkiCCTqgNAOUoeOPG 8QAAZCx8R0T7z9LYLD6oBLCA8SDtH3J/I6xr1+/+P9Lq3qdrj4wo3u5kkczcnLF1jifX4q7LgKWQ zLlbLYq3J5cPJLJ8sNMIsOMTOQrVdi62LWX3cnKVn0FTIvzlRrWlRAO+JDqOZDmRSEfCOhCH6Bzf WxJ0+EQ8H/Havjsk4NN3kENskqJ4e1CApBSXsMNWcZMz2V+QsoVU3iYpirdNObJJTatdqk0+OUff psJ9wvA8JP2eqRIOA8O5r4AdvRvxHUF6S6th+DP4oMv/nKFb2rYniMDj/wvWhsltvpCtEeK12R+B f5lPEXWseGT3PbNPESqsTElGhNeGHxZEaLhIOPg6KjxdBws9A5azZFDWxV8RNM7yhda2t/2jzwa7 6KmhMWTGOTA2TxOmtiOMtNf3KioyafeK5Ijq2jAfpA6St0dWflAku7hKcu4uMzaiuvZkXTtl6o4n xSpwcSmZKRX1EdDVXsh/OEWwIKfVEnHImiKE+fiOP4IjlB9JkYQyrvjDKI1QBBT65B3PR5H2L5UN 3Gf91v5HGGgTo7DgAeWkNrvJiiQGbY6IQOMcRYG28UcS18hwQD40EE/2V/gHoQsAsVHkwInl4QUj zooGgG+1bq8blCSbeLHSIyGOL4mwhWoV6zK4cvABQf5fvpuRwA8NxH02S3Gg3VWUzLbZhAiSpOYc pusHwrZvtri2TVpbLt0HT22hqgFfLjJIAEkiywbK3j+7wSsN+Bmr/28d2mFtu0oZeVCUBKJzxKFD TqCpG3AyzYLng0ma33okqN3POTw+mnFxe0oUaTqIpLiC9ExpJyu3zbxMQXr+KetXqHdhwwMiRett Ks4GVZIKZVCQQipQDdI+Hp7tDDEksiwZ0iQZprMBB77VxEQ6cRwej3ok6WsdLfSzx/Y60ozibIDx ydHeViJZhFmwRLIgdZO527qa2ic+miE9cqvzv5YPHmejHQxGIRu2QKPinyS5wyfKm5f+FG5RiMW3 /NEBGNjNPlhae/7xUle7Fzz+vxxJCPgHloRNUhRnM72vKItYdTvR3YdHEkCfdiQBZG/aUSE7knqs QzkJB7/8Z56gshOxdXIOGN3iSIKGSwZPGRWSRyCS8ggzrG5TmHfK0JuDKiTw8tD1v0/uP6NIe/bv si0Kx7NfCbsZRdqUR4KSnEfaxL8YhbSb8h/svpNPUljbVr128WDi4FDbRN3DTMI2LC4VKECv8Kej u9bolmvepktvG0xRk98UGZLJ/YHOfj73gsgR51zG45GK5i+NtkUVKj+0ZxRpV3ZJZul2/aPCekXa 9jS+NtyJjT8yo0h7ePEo++B4rYH/DQA5RMg0+uuS0cSZgAofrD5cBpleUzOkRmahkXvxQWjkOqdk 7M50VzweNCTb95lNbW14ztgcxYVtAtk9pIbdudsuw3TWtRHoSsOLbUYIEmkhbgOSpOzFSJQ6x4mC iqLIhXwNyyhDxG6iHrfGE0XSf3JGgTZMRJDM5uqD7v509kGTTtsYeDyqsn0vIzJ1yiVGzghAYt5u 6YJsZm0eRfbSLhYZNAtNUVTWXpyb1djX53Br/9DQ3k1if6VmuXFkSA11lID2IxI9KBY5o0A7yWW5 KheprDhiFYGsyi/s53zA4/+CRnLxwT+P0b6mKKRFQmOrzDWuCglhGQq0+x42RWk4DmmGcn+yURTH aEuCRz2kE6JOItxoBXQCJM5iQVFisMOx+28ZshlVtAvLj49Wm/2t4I1SMvV8zObjwRSlm66JI3D+ F8JINEcRggTqz9gL+WpqClkzWHAoRh09x392RcS4oi0dm119uNyIk6tteDHS3xwymrjVFgATLHQC p/oUtJNeN4AhJ5rhVg1IxKWLdU6m0iQtdrY2no+QSN/8WviSQwnVJylkRUq5lq7znCTk4z5JY5p6 1Pkzi8mQzFCGBAl/yaSOarAQEjhCJG6TBJK9usR/YXDzg/o2ip9Xq4WuoPiSiPFPRNugNnR3f2Ig LgRaAOeP7/ivGFLcCKC1rurfisJsyBXhPBrDAe2dsO3JL766SGfvWAFpRRiSwfBoCdfOwRfS2cmJ 6maks52pZxWloDxF6zM0r0xgQ4T/FWJI9tB5tHwwtNZE6PhDBl/F4xEt8pdyBD6sXB7SCsvZm+f0 TDeCnC5YDw8/49icdbBsr60ozJ48sudgjZGD32rg0YLGRgZyGjlTNyFBh3/gVoVWkCORcI/iOyJ9 VuJr1BzZYGepvnoWPDrwTOZrwfP/iwgZmqMd0Y3NUaihTe/Ewq6hMCTNLaTGyeQsgOwFr6U5CjEk OInBeVSNhOcR8FjU6SzoYEuDbKHlw6wXutFoVIAwcoOjs6rwHUE1ezNNo32UBsFs4F44hdZafPx/ EdhE0xCMH5+iKMamUQKkj70K2SiwwuAIjWgr+EP3QafRCnHancFRFkIiP1XImiC6OCTm31UD4E4D EhjkYwSUzmYjoG3FpEjCRpYKtgtJP6M+kHXGUG4BkdYoyJ7tVw+ysHjw6NhGUfYyIducDWSzZprW g5wKmiY7td3CoxWyIukyMrs0/Xt6xKJRfZhoH5CqJYezE2WXAZ1UhGf9yUToh4wviaps7PY3TlaC Ck1VDJlhFUuA+kmg8XwUIH3PErCosz2TFIXZkPfJBWg8C5BacRDyOaYvUPvkE8ZnW6ECCRXr0UHx wfk1QHacoAgGGnCPInYLEBuo79H6CJhwd7ajLQW+JUDZTPpnbGZac3s7G5EVaZzYvnzJAD9yy7V4 ENqeZ6odibRCpDb7a6k312lr2dHskGayXKRRh16TFAbaBBfP0pIPt+gPoi7E6tGEnIn60+CzQdit 0rZmXkNJVEz4utEcZblFVQ0m+dtAcmYhEt0oPB7pa3mDjaU5LKR+I+0VEyPJiqjJvCRPnG8mdjAM o30rIAu18mbDHIVQbQlrZ15wHGwhgdFXoFtIzh9kYBqFtfnzoLP0Q0l/R48gb8K3RAUkmkNk4k4L mJ8yYqkQOmFPD1W2Fda09zfKFujPOW4UuWKwNhfS7JfypxaABEj4n4ois9W0V1jTZk8IpTkfHPd3 ZgYkS4JHzh8JRx9MUoEubkpUyOIkdU4STk98SwTXVg1y3kHVEQAmk2QRTs62olC7XHWtoorc+ZSL 1lphSZsqLeeP+GrVpqcPmbyAlLIJR61YYJvltcqclYNPEnwR8cEU2D5Huo6kRvgfOCYZRBcPksbQ 6wZBksijjd5sHHQiwUWQCiQnaq14/J8LiRMKObtxS5E7irUHKX+dDXNNEusyWknJHccgr2mY9h2V tM8O461GZggHn6TSABzmSjpL9iS5S+DIc+BB/Ae6v9e3lSXtHcXajRntomONBs5Rpbws4TUjLTwe EWzW1zoi7osm15qi91gbT2WJkHffbLQ6Jk+rteU8rVRZ3cYUxRIklEOelPLhYA2kVqAYMaYUSE9i g+TyrCMqcWMBA156bzYq/e8Qr11JjUhk2RY3hoVjR0uSjToBBR6PTm2//nnVQ81i387IjlmRTPiX X//Y465kk6aZR2IOm8EidhxrswSpZGPlK9KGbB9u8Jn1kTUp34V8BOtuEDtHcxT3tR163WCOjPJP WzYOwkXAkElErRNU4vGIFPktZZPHJHTM5ygkRSKVLzhALPUvzYWjeyG+3KAj1UTadggdoTf5kvcI B5+jie7U0hwNVP4RRZ3D+VxLS0q29SFq8WLbUbSNZQ8H2rZ90JmdgN1lQrKxjiLsSEvfRX8QpbDu fI5CrHamO0ApLtMyZSoN+kifLJrQuAbBv+boT05kpnS6DV4eaRlqkdSyT1D7V85Cr/qOMlUlC0l1 NsTL+I6/xLWT1H7SyQN/JBk2YY3KvHTkufH8/4LS6lPaUz5HsdjfVvfIVVpqNnx2GWO4+VEnQ0Zz FEJHuiRs2/TB5igzPQG4mQCPs1DpbwNvLkgSkDRz2f64L/AdUdFfdZF0B2MhnY9kXosaHh6PVOx+ p/7nVktXfnxH0BHIaGWar9hWOz+IMbUgOGN6iKB6uLVGKPWn9qOSVg52q8FOocMR8Ec6f6tQgAOi yT9d//yS2WiljO/400ALOEEbBIiE5oL6ImeJ4vHAEOG3ji3q/SdT8ikK4+zK7my7Hr+rmlkdVKv3 tWcRma3zk6L2WqeCz76D7zR0jzot6hpAF10knAY0SmOroHlSWyjBhO+IpP4JQGrq1rbp8mxjpcYo +3zMxuORrHb/WkWFgkzZ07X9Z0H7nEBOiYRovmtGAV4gotZZZXTR6vykv4w1dmeRjYMX/Xtjb4cF JHh0lE2nLajONxyGAPg5cGRPvW1wGJEzlHA222AbrWyqOYI6N/D4v7g1mCJs/YUAzaYoirEby+ZZ 3JoqRJsKa1DVNrGtSlV122gRbGTSmWWLE8LBM1oAH7s22prwVOTJXuH+0MFsgdaoG2qzVLtD5ZEq yxEmEBwUYndo2LG7VubA41Gp9hsxCp5YL5dZI5TOe2xEvDHFlunQ3mERYqgRxCz4z4qor5vveAq1 R2RTi6KsDRZAnojgTI7kIimshS4MBBcBZsoFygUJf9eUQ/va9saR3xjnqW4NKPrJ7Af1GMmPnBXK D4iAo36xMQMGqaw9MvYpqmoD50yb6EX2AxhV1fk1lWJU3HA03JBGewpDbUtoCfnj4NkIcMed2jwd 7YTZKBvNPJQCJBStdr0fhtr4lqDrP5mP0ItbAxfU2bizqEp8AlU+HyQk7RftD0oiqHDdiQrNbIhp 68OEkDFR3cr/o6zrV9uu5A/BFEG5T75jLftgSwoernBVYSUJUE8JbFQYW52/aPPN8kWQyIc1hRAS CWtu8SM2gNaMs1GnnCaNBCPWFMXc4xerHTYW0Fe8MxVVuHFKAKdVvFLSspElz0my3ccOSiLusZFC r0imbU2+P+3L9+eEODijVAU4P+loVCNLqKWf9B2pVt776d0We+OofkvuCFD+NhgdaUnq5WziMfh8 1HPzicIEb/QTHkhSTlHkjUQRp9TKTpCg4bIcWfcy7P8otEbT3ovK3IOsrcnerAZbUiBDQIaCFshU 8NpkbWHd5wThXOjeek0pk0uKrwk6JqwC7DWKDzrNyxqDVePzW2Q+HxHcf7cDTIf/zlRY6pZKEh0S ydyiZzlPKYh+iBsIPa5kzK2c4lo3s5O2sw8eF0xqAYwiwS0YGqFmAgroj5IftJO8+Ja2vXAEBKSS SWYTl4Mm6ryi1brP7cPngwAzp68VhVudh6bPU1jtZqu75el9k1mo4b5JKTVGF4IE1Dd070VR+JSu fS/Nh1uAO0dww9kA+dBzsybSJRtitrP1EN7DYMqOc2LV+TVBCCW7LRQ3bVDp5ISPXaWPM9l8PuoK 1F8r6rznnOtZUaE8STbfje4dptydoTzkmrholfgcUmHRm+I1sHrxwY9zGMGDV4IVBSVgNjXP34iP ysCaZ9TCrH4Ckre+JmIDsm+ypuzHp7g3VHsulI3p5yE+H7UrfwGVav2B1OWdqDAiFyWAWnrK68Yt My3mr2zpTpq9aetFKiWVfEAAI33w3Hee2yKhKIByJfC3q5OmDLoRLmxQDy4ocNr7RvGBdICZ2eXs mR32XecRdVLrweeDnVe/Uzv2L1NNzzyFpe8uT9vs0lJFTre0BOQukHzCXNc3MYaZVBYrx/LBuygb PrtAsyM+gDZIq5K7O7uHWFwUD53uVou9cGTlgonCPe2DTVShvDdjqsnn/0nCIeGNjsL1nlGhwSR0 6q52khjwxZXczVyCWTBXuLwTQ6wJy5G4/n2wBXWWZsU3UK9k10mN+w2fEmDfsEA3RW/l5kKr7Rw4 TOIszsJ0dR+sVjC6YSjTmHw+SvO+c5gpz7tnnqLIHOnELcaxLgc1cFULmgy5ftflcmAyiU6xKKa9 +aB5gkwZj+gmGOXJbroou5kVkElzcBe9o1FJjj0m6eMK7JMPmqZzAgoI31apfD4IzMslvivkIvzu OrrFLpM8R4Ev8nyYpDvmw0PrqbAO3q2qkkObySXjBAngcbDYYMG6JIOiA9TypiEEE+KJigbqbIg4 bZ5Ks/f9i7WUexW/i/0RFsKLzER+YGnJ56NuwTfsBLhDeMzcaYpK4WiF4fDuxabJKG+oz+1abTlB rrD4cgqjcpzOpXAONNhyaqlDJZCllQn/n77UM5c5D0ym6xXkGtneN7ruqCpEsVENXE4NvXYqRZ5r s/L5f8EF2Apv4KbmZ5pihUDzLE02TWkVr/QmuqZYPuy2LjnwmoRqKitQQ7DTcWGnBW6TC44uPJ0S eBRF5XCsdvy8bPMqd5GcUg7dJteU22SS22QyfRceVFPmEWPz+aDR+wsMV3Fklse2NHCbRBhN7Zu+ vCIORx8r1O3aTLussQFhuy6MyOXqBu8QGzwsQHul0G3uzNNGjISwgMw4wGwMVWlAJsUFod+kQvHS 6ITHQZhBSKIuSU6swucjA5xfHngIRtJjgRcYTqIsw8kpl1Epwj3hXon+aSJ5oU+oODN0nFwKMCWn 2B85xXR2HQSbJypRaLYs2bo3KP0tRASgN996nQpRoeUkUG3geo47GG7g5NPCJjeu+igg39cDh/+Y cgp13nkKBUygtQHHZhcLgLqs5mmW3k1WabRU3L00MJ30/gEasT7YPKFi03j9E18xc2HLFzJkPwuK nbkUF8ItlAnnt0QAS1kqUjWAg8Kns5e38bxT5fORzssv+slGp2U8+y4Mx4fMekb39SRHJSIst7m9 AM/kPjg5MJ6UGR9QOrP44JVNgCnObiAOZXWoaiLDQ+mflkoFGX+5YJ3e7I0jQfyhHdd8sJpBSUO0 v9UKn4+8cH7RdIHUeRTxc2g+SX8ucFC9Xrf22JbgzYddefZL8okK4/H2bmSO6xTg6k1l5X1OLtxx G4JtZ0ph6ZDnmP+RuIT2k0XQr6b915yqc+Y9CWl50vrG54PEpfw6oMDS2I/7VA4dKLv0XqoBdRBy Ts+EZ5nDJwrsD1WhQgtKkXAmAJ422M47y6hl2sU1AHVHmbRXXLDhW7JcTU9bqtn7Rh1gUw40HcGu i4t1MqIK+snl+XwwT8mRBIwBgCV7enc5NKEkx7d2oEQl1N0o38kS1G6MLUFEpZqgTVOoIdip1C1I AYdbKD9LbaAHAD7KnPQdVYDwc84nUJvylTSTUXdoQpnZcbmYVIs+QDdOZh18ZovPBx4L976jCj7S 4lEucieHNpTsJIDnZ4RdOF9kK0FxN7L10mE85/MUhuOEpQ4VNUd95ukk0fusI+Lk8zl7d2EeTA0B mZplCPPYAcUNy6+JanWEpOAgOEOGRyITEMCC2Lg+B87a/IB/oS4lqJdxndSbuZQQnUIVYWt2snBH RJWqdaQFUPud2CebqhALTi7FgL21Db7zTkwO41viZegdCFhBOqc9tzrqcj19XXrb3jhAFhSKLSL6 4WBWyxv+cixz97MH+fy/CDxCRcFYbd9iXehHid4F+MfTGucoqIoVVggGtJIBgKUWlAeGlFcGdrO2 wsHpKVBZmCAaoOc50MejDCyaNXCr/smIIL1OTpX8HDtSZhahSiUCI0O3QdIUudMxD7zlNfkB/1QU 9PCgtyuXm0NXykbgHFBJXoVa2bRgz2XbPMvrEJO2mYpFTqhuIk3l1a8SzLmB0rnTF9lXUIHLixTW DPgPoQdoXTgAM/v7/iW61BLF4Dk4DmMW1m5hoMnnoyLUr9oKlanGc+eFqoKVfanU3L1rUiGSNag6 1uXNTYdg5sCYEolQkXJ38+HWoGBmApCwZJeoCYiA9Hxp4zrKj+zSmva+ARaDhpQj7TsYuxB91a5p 2nw+CMrTd98Fsht9PW300JmSnbyyBCaUdt4sDlgh3FTwuXRrmoE1pWMMtxQGONhqQuTUW6Ze/oJE ktyHmTFNFi3QJoaMOPadzVOIWQEQs29klBhA/+HfO+aUX14/JyKfj5Jh33bYozgdENfdeYqCcpKy y1r5AnvoxyKns0qgBhWYCfhgMhy4U2LKCTAU8ZmDl6CgJr+g/YbiwfmDOv9lQWbf5/kxIUxrIZTU 4HLoTlnlUYVPsEG1lV2lSgTcc+bz0en0DVxBQPGz6pPkBf6UZrZTi3CqLNVl86Uuoykmx+lUUr3z FDvC86bLPMc5ePJChdlK9+WT7Z2jBGkOPJP2OR/AEyIEasozV43h0KJSfkAFZV4OzWJGyNhkrafa +XxU+v1lnHNu21nnnafQpFK2uaOYXR7KHK3/uCNsMilm8Mz9eIpdKuXnJcFKDnY8tYWCZpcy/AmX dhJSbJFTT6+TdfvnhIjn0KaSZMPRiKbU4Kf4nkQ4qkIe+FSCbODTlLjt0J66nNUcGlVuhpqpXWJv Ikmbl13Jw4+nlW+FPHCqBPxGCgPtDvd4mvDYXKQ/J8jbMYAooGxRnCVDeOLiDLq9cIAzoLcQJH18 UA3qHFBNJrp7bj4fADL271odwPTjWU4hUpy9zl1z9nmq19Nrt+SEg07vL81TLO3N4hNrdRpuzWCN k+0yKDiTk8qGR9oZ6A0DmfeC9M96nV29qdCvciaKDElreIPWxvoKYLdJ9LSzzDI/IJqp7xUFIdI1 n95UaFlJysWJlLL3praEz4eqK76ihrJAzlQYkS+JdZJTx8FmakLSuyFYasB7AJ2BJA/sZRylqEKo m1C/+ueBa6VTodOi8QBQ5z9d/AxAt2k5AHUMfkC099avkJzKBzfQjH0rq+p1bqZ3LuhscKhZ85cO SjE2dA6MKzFTcu0Y24fLPlwImCUXTxZQo7W3CsaQoIMUuUPr87IXjuqajMUTbaszWG1iHZzLA1Zx P1RCm/yAf4F81D/fsEF5llQUkTMkInXS8uFZpkEyTjbfk0NXcOQqyQv9Kzu74qNLoblfz6GE/k1O sLM6WzhNhd1n5y0uKUgaUdvcCT+p2xtHl56OcVbKW+8O2QQUW85MHZXNwMLyPyvl4GV1kD98oqKY HIBWRJjO+Sm7VKtsAprjEgTEddmKirArjS0XwFR8cDTUOaJAJhNZY2x6ZO1EY8TNvuDjg174m/Jb /mrkXfQvNoQMGuFhycrmySInPyAS/fAwiuppiF1RzvKJCkvl9IuH771N1NrZhVEA2rSJQjzqExUb WfJ0kiZ6/9JEP4fRPue4/NBAjYbs2050xzuvDf4qjNu8FrWXvXGw98QhQ0ffBvUUBpqpUkdfnc9H 8aanLwJ4EoR2ey+hl6V0iKoK5IuuDd3xdSYHspHlISSziQrD8s2tJ7EdDhYfoIQCr4fNe283OmRB FX3SzBIvDiUnR0OpVh66WXZGBmlJ2Wo123opnTOqigC0Fp8P4s1rIIMVlQH+Wv1J9EI/S9hMotSx vbhZiE2kMnrj8uFMFZhFCzcWGlqq+wIAow+2987Vdv4QVDFR5YSbGRhSe+BgzrAmwsVabpuqL3vj v9yIoPTsg649JMKkAZ1tOPl8ECCM78gcfhFQ6/eJCj0tRZZayyopNLawkLMPko/lac3EhQFCYGqJ GgRddjKpHBy0pABF3DhQFm2t6u55qqwOGwco8gIzoIQYxEV737/O8jQpSJhUVUqUvFsmTECwQeBq iZ33rWxZ0OlYD7oudLWcNP+a63IUcl5O40g92TRBi3pbohfaWi7pNdadfDCwQd4LENTBBAbB52bE VSmWAkxihsCrJXpDYVTga5l9nqqK5iTtS9n6zLdorujX8wOCS+8KXXDxQdNmPyXg/2fsvZJkyZFk 0f9YS8oIOHn7X9iDqpohPPu65amWGUFNT3mEJwLEiJLQ2rJSnqDSt04bTyoptJFvHnCen+tmxIG3 pYdRa0nBaX0VnM75d35/sPsJ8imtUJWvSnqbQcO+oLFU7H3/siTgBYChm1NKmZCYYjaAhcsPiOT2 PTjodpT31r4LKgrM65RqyvZUD4wLC8z3Si4ss8r2wDy2twQA+FxptflgCyqddBqO12TgwfWgsGbV UOGDKNsPOOnWzJM3UQ7cLbVvQCxjDHU5/mXC6nWIWQ4wVGhvmX8J79EnGxGcT1OoA04T0D5WdZJC WVd5b61kvTzEBnbj/WFwyRsvE/878hf/C12UkbuRFCjfPelxXQGXRJ0UdTNX4Kn+wn+YOIEyPX1Q RozOoADl53P5/L9YL2oOnxWdrkBhDj0uWxb1I3us2ajwoi46aSRmBX5ZL4HJpfODeqepPAdHZcDk eA2hf88vkljTO6k3zn2kxeAaftEr2144olGhOTwFRSRXV5fcySU31Y1/5sqNHxC0836BEIGQoy6d T1RYKCc9KI1rCoYOkk1UvsbyUEj0WDNwuszEraH8LfLL6F9UK1vOE/uOvlcDyTtizUxb2Ualgov/ lWt6Dswu8/9nVNkqpgIlwhgNoI4teF1G5SB0uyzrufMyKgJrffvDod8lWwXUWDZgxqBEDBNiCHpr 68FbcvqSigAsdtdBDMoGv/LO28wGkzo0n89tLk/QArj0wFpddLvWPIkdFDheypwVdhcinLnAbIG8 RhVXfIMcFFheAufzi8oB2bcFsS/N0+clgNrnOwUnxwnlplddcPJJZmn2BdWz6/C+hE/ng4DVZIUc rUEbHJQBVgvILmS8jLNeqcWXmwCFZ+e0rwgvPYpfgie+a6L9Ls2fbNAUnYRxdpmCLjz9/0wQX3Cb 13WzCJMOxYn/xfldgulZ5E51FVBIqKb0iShBLLsjcKIjie23YHqGMBjysuTgGANU58C9IxQDJumS BkGnGwXbn8xjST4OS8fS6/yc76A/IQR3fdD8AOFYxTNfePptfsQG0PxMzSrg483m5yVe4kNo6aBt sB3+VGQFvpiodBMGO+etw59eoiUtn8G2ncT3OXgUsCAKMmghC9+C0qY6DciFEymO06NKVuU/L7ES 3/VcJejWLepcc7Auy4Ln0Q+tidvnJVDS5O78WD4AOjaheTA9L2GSdlcrcko3PVD33x20AjX3SzLM 7f5/CZL4QUNI6CVq8LrUYNyZDUkPhZzAl+oUDqVr/YA4A6w2fXrQbfi8hEj8Clzq6JGL+Aq3TAEl Th62u+wb1jmpXyIk/ammvqf5oQ5JoRwY5uclPtJDyDfOuy6X3oG6qaVv5x7dDiSAiIDsZIPlIxAm kjMf/DJb5yMJLDxx4wSal7JyKRFlNejZDGiK9temYtpLcKTzp1Q57m0fkt35tcqUGM3xl9BIT4/k EyRJzHP8UceRM/QSGfEpWtAiH/HOeF3LegVjzuWB9mjJEtyXuIgzNAX9bjymOdj5DG8DREcUby0n 4gb15MRDgxWTigIutPK9lcli5UtYpOOyym1P6W02vX0iPaXdjJTg8xIT2W7xE4il77PFJoIzTdBL RKRjnfd8S+TVdc7VdW2c3TivZ4LgrC1vi2iCGDhuUCpt8AlCBRFbi2yoOZuIQAls8kU4Z+vfI4gJ 20swpD1GaUueqxgALWaxDKxr9qVh59E/L7GQTrA5H3sMpJBB/CUn6CUQ0hHNM2juK0u4aCwpxb3a bmz9Vdr/64iGwUnywcvd569eMDAlnzwh6G7EOyNITwPyOmidemhNQvlLGKRfgSJyCXvfBhU6wAHg pdBOIPV5CYL0isap02/HRHFTuJHSbMEEoVOOQ7o7qsIcZIiqyMMPoYxLQiJpwSGdLDeTVWO+Vo2I daDByhZTPSn9kFUjKC0/oMQBe4JmrPBxpOp8XkqS+hMRNnV49mgYKnA0UYcYT0MANLrF0nMFoXA1 gRbSBL1UI7VqiZnPDxGQsh3EtFZrDhNo7q33UovUKU086klRtw8+QdD+hJwxzqDUgalRt7KzB9fI K84XFUenppdKpH6FxY5SkSax/KmpcJFWFnoQeNSXOuT/XmOVJdtJ+VGPg95YdLqgWTjq5DEIIpCy 3WRnc3SxVxAo3t53FEib3M7O2QfbZvBWO6nYWFIjOM9uweW5zYAMLsi1f+mjv5HobJ9RcKcxGOLA kxpkTElpwrvv88ah0yqcNxpSZwWhUE++kt5IdPpxEiECtbtN44C8hbEy+Sxb36v7Unrj0GmapCdD UU8NzlJZwAgUYpsrNEHA/d4w6aRqY+5e165K9IfeNziwC5EmkDGwwRoAA3c9vvD8NZ83Dp3F1M+g CFXqiVvDJuk9qDbWeBUw0fABJuAMHOrM3vWmF69gXsEk7cHLrM473BpkgS26qchjafFwz2z0Q+9o rXaDoiG8bjBFnVkZvMR90Im9TmDd5bGz+XgQFs3yOLLR+Jip+ZH9xp7TxHKKRCfWFInSyymS7Qdt iIlwEjAgOLRVL5oMCzX4djuRBKjP1B9YFE1hb3Igc0RhupT9lW0W+vs9tlZ4CqF9WVtUO/gQoCRR VqH48nnjzlmS7rtNMQKqRfW72d6jax235ET6JAGCYLClyuVL2BJrbVakjSaJZqjkSttgvRFcbBMf jOwMEmmZSw1mBz8DPteA6XtvZKpiE55IWZjTxAHCOgJVwmNafp85oaQWTFIuz4WUAUHre9yDOwqw oatPAPPdbHNbnQiqnbaSzu+UvaL2HmFnCnRBdFlWjftr1TiAiT7xJ7vcuLMnJ4k6sgNJUoYJi53b gz5Eb7Q5O0BxEs2h4slwLTmAZItErkcpnzfWnNKJtB4HEribZyVlj7HfWHPfE6ksKVYIC3AlZOZK XiUqazgbLIqyKbZbEkDiNtiJdDKcMws6kXI5UySlsAL7hUkuEA5Ts9fN2173fZKaIADQPbFB1f51 Ur1q+p/780aZs0zYgyQSM9AMgkC2T1IUaEuZobRyARMEV3CSaro4gEpMDCcpirQFLFm9Jh+8uw0S KVTMZWm1aUSFYhHOJJqOzrYuj352ve77JA2iA+vONihOgnIMckIcM6nz8fcIoBs01yJJcoZuNvtG l7OF1IWHt4YI8g73IJ7ZnEDruVLcY++NLafXb2zXDrrIlXGF5EW7Q+GaxIETUy/SewZErTv4pLgT btuo623fp4hiKANZsQ/qhkCwTmilDnmdKNj2Gr9tNhJnMc82R1G4zYTtnEfTLYjLMP2T8w8kgLKm BuCuzVEYb3OzUYDZBiuqgU5xgslER0uk5iqkAf6BjKkx6P5W+KdeN5okCmIWau5y0InEOjj3DzES UcCda3quozO2b077RpLT/T9k9Zn8QFp1uX3ccLsL5Gy37hiG21NCstpyc10h2bqBNh1k8Wap7qEu QunCCRnHTLKACtcEYn3eOHJa9upjJ8KXOTiXsCrtr7PkzxtFzsKHbXPEKhN0rcEgsDmKYm2oaWcY ShYvrs1putYwjleignpSMu7JG0HOYiSm/GRTavDq2gn3zuXWCwkPsFdeUkhHvS6DPlJJkLQDifXH N4bct7zfV2qmQDTs+AVToxFQ3qGA8saQ+5bKtJIayyNwDB8eJb0R5LR+Ce62bqw0Y26FbRRPSaBq 5kvpPdp2LtPKIurk8S2Q7IXwZy3ZfsIWpTO9Be2AJTVs98vR0Vp6j7cF9ocAaNOA6eS1vTqE1igj d/6azxs7zuqQz1PJpCBunT8MuBGCgp6bvcy2mjvJIHn3Mttym5Q3atxNAYGjzXewDcciG3HiZ5Yq xPYqG7Qwy8pQGTAcvOlVUP7kjRmnb9kyIZ7VB2v47/MVJFCe7OfzRoyzQ80LSZQCBQcRssI2SVHA vZa6s8nL2XtdJXnIgFlWMppLgL/R4mzH485aqXUfbhEAFoe0Z+pw/wZTjpTUs+OoqpNRubRirWyb 3lhxtuHINxmstWV3YAWSkeaUqFHX9XnjxGmOsmcl1U6lMW5D7Y0Rp4VEc4uyH5BuY8kDK1kc0t2X u8e/EeIe1aQpw0oOt5p07rYm2caT5DbSrnHW4qLkdit7lu/RvfS+QZgkB7kq6Lt3FpgbbsbLdc/1 eSPE3Xj9WXA7me3Mnt6+8eHsTGIFAGmXHd07XZGvcpWJN9j7mqT3eNuO7vM3y2w33TCJRpmdJVro 7UMtLg3ycnBrJJBNCjjv1/l76X2DoqS5ogvT3a17V1HMHtQxOKtjf97ocLaSbpmEhW1QUOd3u4UB N8mVwzPZk4ZKnJi0nG7nOVr8zVAjb2w4i4WVuvXmgwdKYHmuJC8Z+JlxlnLBBtR2+wKU2XPn60aT JIWhzF0HuJ84JlDwk01aH3t/3shwWkr5eXDDQxZCtz5JUXW7JZl/Fr/estqypFaSucjibYLol663 94gblWdGkzUXH2yS6hpYNpJBO0fHrpT6gLjaj6gZM18G6iA2+Y0Kd5MoUDIphsZB53aCiYJgELl/ 3phw9nh/zhFr/98zKYq4K8VOymhe4N4kNupMkr0Fz6ThcvJvNDirJmAFQfnSB5sjmsZTpb6BBjDA XiISAEsLtoQytZYyBcUs30hwj82WJKCTXMsSTYUiPw3ALz9vHDirCT5LAMAadlSvrJEdBdyNweTa zZPb3oYvo7KuSUoFg0+d7Li+zSJSIs6Wg9WSoLeazxFLQgOSkkk0zaDbaWLx7Z5HPFU+bwQ4a5TJ kSgtHyxxOxmRCHDn2P+88d/sFX/tNKS16VaS3uhv32Z27SR7MkTqdXu5LV2zvYEatE1RWNtmua3L m4CDpojG0w2YLHKYxyaYHHUURHEoMGWUqVBdZ7u/6HWDOaJJ2klAtg8qt8FRdKhDPfn4v85s3vRk Kd7T6I36pmVUxTh9BNvTKYKg5jq+tjqT8o35dvtgwGm35IODsiDf1YYhInDgSlDn7EGAEVmCvyd2 G3rbIG2DSv6YKm3PW9pGPVh6ioixPm+kt5sPPCAjCant9PT/jfOmKdpbzG73/1gjXfKy3JoJaScz DRDkN8rbY6eBle6DH9jn/Glw/SDHG/5iifxB1AsGkP4s4173+KTXjdIRygkVee1AWkHUq5lgdYEv nCmXzxvfTXOc9nOSIHKEVMwmKYq0t3q2xTjKEDgxy6/SYd7tgPar7vnGdtOPhNgQ+mPVBzuOSgJZ rlAv4MQYq6RKZgAQ/6XBDO0e2KAK8WWjyjbnhpGkBp1GHcwwEbhG+bwR3bTTUn7kIrhbW7pVpDee m90SlJvYzoyotHS3pm2btow6my2aoaiuDb9C2Ouu7INV2kClR118ahmNVSkVgN4SUOSIW2kAog4J c5E3kpsuTlo3IBbywYr/gyJgiVivzxvH7WUVoRIAm02foyjM7qzYNsnjUYFCZO7JOTLXHc6RQSPe GG73xgBuTbHR12iPFaVz5VAYPee6Jrld50pDxJ5w+5VSroiQYMdvDDfLSgldmwKyTbs6AWEvWkiQ Bf688dtuAPqcpPFD/xmbpCjKposRVIP85l+iBAo/0pOX2qZH2W/sNrvW2KsdXRR4ppiTAowJOPZK CNJJaU+yQGLp6gSxYr+hWG2JvwDHb9w2Vb26qMpK2/K+LMC00LbFfb4yXzKKIB2EhLNnLXizdcex vVHbNElUN5vdnD+gNJPd2AoqP7rXKurRtpLCura6SHBctcF2G3KOk/KVpHpkykQIJPaAoGxScPRd nW+qZH7eiG2PIlISog3Q5R+GRRUFyckkEZqznzdim6W1zwhJsgzbq7ZvtDaLkCiEVrrvtyIjIraR gJXUfiulGJr2jdWm9yeMDaa3PjhYa8KIIJEoWc6vNwBtQEUSJZcCTbYKkpMbN1Eg4I3Upl+Vbf9z t2wflIycoKzp/j+f8XnjtN2XfJa2O2xAfL+9Udp0KGWqmSEPN8ix/IeIySUUBwTlExVShQqYyL8j bcAHfPAi0oIPLnVhIaB2MrYtzDEeINomz7uSBj0I3hhtBnHgHE0qLCED1z1+bp6N4wrhc52fN0Kb 9dqeCRuUCc5+W3eSQhwJSYobIYwRJIf5oqLXBqdvSnU1FEgqVtIbn+3uBNpTDR+8HIlmNvS9KSbY 94DaLPYboLjou2VU9R24TgGqNzqbfQkbkvRKwWA2shAMEa+4t7Q+b2Q2nQmrPCMAEI9Q5bM5CmPt 1ZSyCZB9+7c0bW5ZPCSQaoaZ7rxR2ayfSqUkFSU52EI6KcnJ11CDBvIPtwFOLwgFIHCly8BO65u0 db1vcHJXKVWPOwheewJ4UcZPONc/b0w2K488G0lISVFB9kl6j7ZBmyAtizqD9B3ok+rnm7j5YgB2 1FrtdnvjsdluEz2ENNKU75EEh8yT/jWGAHBKZ7pNZ2uA23Deo3/pIcAgBeKNyGY1L4bbiSuJgwBJ fc0pXPEs9fPGY7MI4le3bZIE4bHkG43tGzhUpFWWtY1d7XabjTLvzGw7Zbx4JEV17aGsbYprO5+T BGg2NAegUQxJLUKXGnQtIKzzA/EJz2xVIHkjsSmzZUqShZHIFyOBJvyU8vk5GT5vHDatw9+gLZik jeltpDcKm8UNLNmmcpFte3mDxFhIuNtS9rvtjcFmC5mBNoJYGywjqWSLYlEjTMq7LToX103dqHOO AKNs4TZYEfyOaIpw/5Npb4OmaAC1xfbIOfA+b+y1b/r1TdrOAdIA1bUpClEknTWkcqfoHISuKDGK 12sbgdWaohhFQjwSLWI1WGZbiGSrmQWSE46lJTDuRGMbxZI1vuafWkVRTbuzg5RZ+NdgVMgNxBPr I61+3nhrdpo94Ug4BUetnte+sdZs7SnjL94dQUfM4shV11V4K8ny2jfSmr0+jqOWWIls6VYi2zjZ zFk9mSreq5+TqYqoDB08REuIOb3Jhgv488ZZs8OTxVqh25orYEM5ZxZ2P/EjfN4oa/pjy/PuHxAm 2fme2GGoTdGytpNTtBvBnxQtO5eRKcJ3dshZH2kxXpvZGrjoNlxe364gG4nXd0LKwsMILFiA7847 z2tsSQc6fEdw8ys8qkzZOBheS3VNtLdzxuNBCNl/lZDOH0ozIZuiMM4mYJsVL6uy0bTERPObV9lo 1a1VFNWzGWBD06754Of1Sd9AYSbwbyUIAUgNHXgJ+ERDCe92tLXTojB7GROQpGMOKmgTi0y4VisD jwd9//I8r0Hhoamp8WriMJshkdZO/UoCUTlCCABqBLKqSmLNe5iN87qzdMRjm4ODI/c8UzPoekJR PBhuAtBFM2kmFdOjbNkK9JD6yLpI6oyyk3/HSQl3m0ut2s3HA+LIBZBQqwtXmlj+nKIoyKY3b83J LSpQcrRK5PnTKC9F88/abRn1CEAyQD46DxGzxcEK2qjNA+vJEtI410+ShSpIkMwpMooNnvlTXKNH BW2amQ9yJG3QHJ0MfMpp7+wDPB7EjxeINJj+LlhFepDdwyC7qIpdh+Mi2G2wa386egQxje60HhW0 qRdREomiGhz0l5HsgSLO6ohYMRBJQhqRqeVK4zIr1xI90qMgm+VaqGJL3Jy284yyE7Na/uWt4PmA QLL8zMZJluW4Ojzz7yERcgkzsqeF2Vt4G9gJ5On2Xp1XJHORHoXZU3itQRbSGpeFRC72uTil/IO7 UhaEtZq7F4r+7YLaqDSMbwnWEm+2oV4tOZWSHzmvPaXT0nrH4xFZ9Fd8lKBbekFtPWJDDsKB5ixX Ip9dBiq/S7GOlTa0QpWL9BA+olxkteWDF5HO+jmJwqRIyznCc6Y8SQKTcGE1ZAhwuIwUr7YelbUX 7zQGW2gA4KiXBsLYSHiwlE7GhueDu236hqMA8yTy36//HsbZgx5VJEkZnW17zTZtE2upOlM0SSEj kl02YI58sEk61/RCe4QuTOdMGpPn9vl39gmOBtGZ+R5K1Hbt74H2+ZIql6pK+QMsXlYY4JnCKicM qOrG88FKuuXI20NatzzSw8J2Z2CUukwZTzJSzJSxDDKFsmg26Khqu/2J187GKubgmLYJfie6AWcn JNjJdsomZmpwQuKSDP3LRpp636iIZHbEDLkHsMhFa+mkJvI8OWFqwQdEoLb6WEsTH4cqo03Te7Rt 3rMVjeYzIUOCNoUFJXgISAAQmdD53X4q11IUbW/yj8/tVXzwaTrBxEmXXYdzN6YuJ11HXL6BPAZk 0q07KMPZo9J2pY98nb36YHit1phgUjYdj0f02mc5Eky1vm45skeIbU5O2TjDZPVJH0iVIwu3sIyF aLDFICDCj3Q6wu/JFbWJRT1viv02GOjRB4ZgSYggnTmid2VqOFi04aZXkSq+JQK1US2xlOmDCiTn iFLr/yx7Ph4tpP1I2zIPpHUBWz2OuBlNtlW81kYDP9baOgVhUI+EFFqzWYoq20u8vyaZ9/aVeQfg /+w5Zv+14Kdmk6QCU5ahqojdsr0gOUgi7RGGpFNmBGG7D+okwVmNkccJLiYeD5ZSdQwJSZKJrkLb uyQjirmnim21NWdIjOz1f4PcUGyE5HzM0ohK28DSvoFIaPiIrgnzEvSgBVqGSSoq8GdSqxPbTJZ7 RDE3NGlQY2vVB1X/kQ8yZj5nW8bjQcz9C2fbKIV/Qdsjirl7FogkVQP/9wv+nymZpGs9KYCTSEZY 2N5yk1/TB7veej2XZ0W7BYESULY0f9mQ4FsghGeEDy5Ahg2EL4kI7fLVleQIBN4GD9uzjBBP4l5H fjvCyvZ+VkkAnJ3nyvVJCiHbUmlL3aJJHCDmJXRmJm1jSLTsshojqmxD7/y8Z2aLpCdWtgcSE0gk U06LDoMLge4QrYtd1gmgzHULAGwC3xEgSLmAvvqtN5YEiIqM7fMfPB51SJ4lAJwwrdxC0ghRJIsO zUTyWCFp3EISFeZZSELfxLZaFHA70KaSaCOri8EqCWQpoRPPMKmeG028bpxA0Ob+Qf/H73/wSvEd UX/E6P7LB1UAELx2yfWMjcej4+hZAUBW3fu8p1EUbnfxIyRXrvatQ9pOdD+G8yNQStUqisJtejPD hGT7cKva49w2zZh/nYKtqNhSHpqGRGA9Gs92bnvdqLFNqrZ0kzuF1rYKkidPr+JHlozno3X0jCRB pwdS0ycpDLfxPecP6U7YKsycpMymXQfCFmMoTVIUbi+e1amw6MbBG20nvoazqLr/+aRxFFSuDS0u 1IwnCFtaR7RDwHcE2S3jSPacbNDlXyELTBjACSLweNRnq4/TiLltvn22EYK1JWsr/YjBgym7peBw ZaQzRQj0mJGMCKyNMg0WkAA3NGDQOoLfKbFyNFHYJywglKKDLNqh8Yes/0K1q9426rJhGa0O+RkM /UdOOBM2nssz2xEG2vMZH0HeEb0xn6IQQ0Id99XHTdrKuPxRR5bQY9hXURRokzoL/ufQwCoEdaIG NLsLZcagP9tTpmszkKIdpYBFDLKmCMBtfEcQHFFBAjVODjgmuD1A166SOzgRKp7/T0023Gf9imyM KNBG4o05yneO6vLgCBAknyO4xWiO4kBbctst+2DJCAqHfQ4JtZw9UbsE3OHNt8AdAtfqbjVGkCOM s4mzmZhRKCDiukmia500l2zqn3VyHXxAkP7XX/ERjIdwctoshYG2rKfKWH4e0c9L5MhmDt9os2WT bx9habuIqS4R9/Ul/tFJekBKA/j6s98KLboaG/8UesNVauX/ve11o3YtO/5raUAtRd01iJDSu/zk hgXPB5M0frVIwDqYwwu3M6xtUx2hspJuqnXEjRiQ3jr/UMkw+egZqvrlIU/h7IPF2fgDZqmm9jtP qkZiRMcFN3CvCM8+vizbGSJIClm2GTrBGnS0bEhUdyvJ4PFgitoTz44p7ogqbYqiOLuOJIb2sgoJ sEIGIAFcCK0jQv6zoWxmWNsWtQYAWBvs0G4LmNEs286TIGNbCPqHvj+/ufRv3RZXOL4lSmuXYNrT B0trwSVkj6T2gsf/dSQxUIDUG1iyNklRnJ20jgDbMrVRCbbzSMqX0T62q43OmBpJItuod7iXf4HB 0CSDNG90cCFq06EdkTNVJ1lZNJgNxRFmWNzutJvCHrVBBZIM0TTm/vvk/jOKtGdvj7sNVA7y4GyS okgbyBCcRyYVkWFD7KS/kS4zAsVJm6Q40hbCVm3JfiMkGiqeXcSq7ck9TqxNoG2HCEOn8Rt9cs3W dOltgymiRiSBpjZoinqnxiLxIwuPRyKazzpbo+fnJWrNKNKuPLSnhDXOOmpZEdKkeGdWa/IkU6va 1TZD/AjvtL1kF7i+doGkLcxFtRZowSQ0Ak5Si3tl0Q8M6BVXQabN1Ax5kfLunjyXOAiL3FS2gzZS r3g86EfevcbkDl2pckV/ZlzXpqLNMsoI+m7No8iatl9sGVxbXmwzwo+o838um+GDF/87sH9g0hD2 d84NiiQXcHYmzrw1vlEknSdnFGhX1iBbUU5b3JMEP+wWxOZcU3j8v3CQoZk3yi2yzQg/MlRky6ve hC27kl3v1uEm6b/YFEVVbeW0CUVxG7z0D1exriZSAjOC+AmIB6NwD42kh0Y0lXVnFGgn6tkUVv4x ZAXaJyiawo/0cxPj8SBd6892JOqYkEfxKYoCbTae0De6ugg9JQ+0SaCzILJaoD1DtT9G2FSWt8Gv tXRuPJhAcYoaTJaFQkJ+Cu2wvvtvEbIZFbSLfO9yv4OB/gtFlVFp2Xz8vwC1ELr2cVWRZoQfmWU6 qNak6yUwTun6Xl1Rc9ZtoP8ZxdkG1Ya/mg02RedXgNAPPe8y4Dw82RPAAD+rALcGZPutZye9boBB Zi7bGhUkGrEOkmWF/w8JyGdpVTwf4ZBu+Yg3IDsRw0tsM6ZEyoXa60cAWXWfpWTkbdYpm+21WISE pVoKbGlwlM2Jjk7WsaduNdC2aHvDNS+aeL9KLYDA8nX/wkdM+uFqEBKpQPSCYK1z3OHxf8FseK2h z1IvdnRFYTZSBGy26XD2czh7VisLUbUioQPDlbQiCAlONsohDR+8Vnv+llaxF0Fmg5wtHYUb9Lqp 2tfK1fsR23+FEBIKIsIp0QcDa+2U2NY7a6zi8YgTuR5TRHXFcllIKyxntyrjkRtBQv2bU9R2N4VE apBYOXuFYTYF6nZjAY2D32rA/NcieMQ8s7WZ2OEz0FSu6EJfIBKyPHxHpPZnJjYauA55II3VO9Fa fdeC5/8LoI3ivvvWj1asoU0BkmlWriixzQshqdVgkb3u5MsohpAQ6b8loi0ae8NWg99jJlSN9aNz +RNFcVYROIkojpT8DY7WT8N3BNXsLYI25Uc4CGWzYMLDc7gtPv5fZKIrJFGxU2yKohi7Uw+hqW7N Ri39jxkcwYjVCv6jJbv5VxRjI59DGX9mHzzGLtCQAFQCdmrnqt00LWuABVJEFg1Tv/mJZ1t/UyKh wsLhHCRSw+g7U2qFQjYFz0en0XzkIRlwj9G/MrZRlE05erBFl2Fs1srZ8f5shBLKXvEXaZJiTiRb RxBKscHZNfCqWSgbQKTtzNekkM0C1HkhqoEZyRdiM/W6UZVNtz5RJJn2dRZDdlQ4udlmxvNRPfvJ ZkOtd7bvmR2F2SAowNg9eQuytWEp7YB+oQdI3W0hVlTPHqQgQeXaB2/5tw2pDdxrHe4nEEmixTvO QoIbCtw2zNWOGSK+5Q+QzYmo0h1EioBrm+m0dr5kBER6NmpRX0ODzCcpCrTXptyejiFut3ED7UkZ R9rWbFjeaZLCQNvsIGhew8HzNZRBzqlDJbuegR5ZZNfgmK90rZnXS5KyRviSYI7UNhrE/8FQQWdL q2d1ciHAFh2PR5rIz7QfQAdK6dkcRZF22Wo8MhTasgc2dg38YLJoWvCDtULkCpHaU9YQAGtp8ELk OT3OKVRZYz9pFe0xdup0FcAx/kNJfwePIP7Et/xlngHwAwcA//nX4h9Zs4JNBB5/321o4D1i7YkC 37U/WFGsjfWaaYXpEZI4/hQfycWvtoTuuK62MNZmkjE74WocfJJO+FKLbH3OrVbRS5L4OMAj4JOV kZ08Ciyc3jdw0CDYv416B1VHFoyoWIpsJ2dbUaxdxnzsNoXs68ZIYUmbZn9lObumrLluH7Img5CC I26KSCsMtUliW6RFaPBJOtcHTexQZTthAIlz8IgCsI3qcqiPeZA0hl43aiARQbpVZNteZIOW6FCP 7fzIeDwIAG7Hnzoc0LIbd7ftKNQetGvo3WzcUbl1y2SwxX2OiGqQBHlU0ZYRC48YG2yOUH8ELYL8 WpznlddfQt/oJBEbor/XspUV7R2F2iDEsQA5fBBZFJdvU9yTFh6P2DW/MH841ur2MHK/h9p4KhMu 0j2MzLT+IUmrp6v2S2VHTVEsrS25P7m2tnF5owAYDd5YOLRLOzdQIpgN/yYC5JIu5A+GYXrdoILE ukgt6Q6q+rdRFFSe7Lbi8UgS0S82bquzw9s31N4hWpuhdsvuM8a9YMvo/B+WjsCN0ZdRHGqrECmQ zbo2iIWwo7W60hE0R4sUoyaTSoQcydvZhSxBfEkwR5RoIbPaBsEiziHOhiDqthuPR4zIJ3LkpEn4 2TzW3iEjkmHkrsWV7Et3PcQOK4OLHEmmGr3DenaWOE1fPvgcDfhrdCOyQdO8c6uV8wsuKJbl+UUh U74aXxJptPDyb/0OVvM/mS27iG1jHUXQkXZF7KT3v1kB96L//gdUu7MzQmpEtTI22CPVJwnGVzZJ MVQ7C+/ffLBJwkF80gWGkbnB040Y00aVfcpqz2+dDaV7fMdfCQkXOAaQlXhj4zeQlxDsOvF8pECS HvcaCl2j31btDpX+yIisa5qqVq0UzSUL6URYJmInrV/NUQgd6QJE7OaDz9Gmzavkx0+EBEjVD7Ms rAbdUJfrj4MR3xHER+qsDTJsODgJaVHg+gdWVHg8giDdijZB3Wc1rHR1bHaEHWGnEcAHZ2rhIvpx lZbtfqNMbDVHodAfEZEp7+KD40bPrXlSbGFH0om/6Np+FgP0GtjYzZfMtnvX20ayWjisYZrpgxCR J0Nt/D8AvsTj/+T6U6bszHH/Nth2FGlXYGVRN7/2I62a/cjJ0qtD2QgEIOFvx+ARiUVJMzpfMcRz s50Zq8TWnTWU4KwkI6kGvD1EXZqntZKawncEIaRZRfTmg9YREKisSZ+P2Xg8uNcuvkaU9UY2211H YVE7Ecu27XimNLKLRkGU2alaZClzjkLwyJLK37iDlyKhF1m514D3P7Ee1w6QWyeMy+kHKYJjR/bU 2/5l8sNU2Abfa9lcNc5ZgceD+kh6VmtXw1d/77VQVlu5yO5+HJXhHshne7nX2IlrtsdHEXKEEj4F RSgfbIrSwiwNEUfOItqV4NuOg/ckOVh1LoZQEqu1O5QeydQcKaX4oCi7n5OFx1Erc+DxqFr7C+yX uMmuq0aKwmyAOSHQYprsMLRxsP9MshhT8LqNppVTKD5Cyuxo4o9QcEpBZC9AwcPhu3WUyeugzG9h oyJDbwscAJDvuJLWtjcOuKPFEH+sai9cIbL7gQZFFlMrT35AdHA/WRHC+Hx17FMo9gf5O5D9MgkQ 5yyczrA5uwTNE264iTeSSHsKw206sLKcY4NnJCcWgl50pyDyhN5rFlyrQYHkfDDhWib4w3Ab3/KH lj0EkaYPXFADesICkKyZ+XyQlHS/4NqPzHpxwc07UaGZDbnA0PMwUpuUPVhwq727/VhH7U2uCCkE a7PrPxfTWw62pIA+XQtsGpBszh+C2PRMFDNmCCXh4/IFkciJNYUoEqr+AYLCiBLCj4y1wUSRBtCY sGJNUdw9SnucTw3BV/7K/qeoyI1z4xa5uzy1XdPeVEgxUwvxj81UaBfZJHkoq0yXd2Bt8pzQsL0G tg2I7bMRuflQnYLNHewM97d9W+yNIxZJ0RR1HxR7n/uU1Yyzicfg8xG67dIk8SCtKMDR8JmKou8k s62Zm5MkVqtWe4NnuJEkeKHb5gudbTZr3Imx9U77uiAv/BSlyy66Qx6A6K0Brsy5WxNJmc4CLJl0 UnxN0DVhBE72gA06zivklXhgbThJpBBTcru4ciWjLnj/HlNhuRuk5kq0tdhbMME2ImBH44VVypOy gkUsW7JYbpvdbjYFNDhmYs+BpIdVgZM3ZvZY0gI4eQOpxJaSF+DStheOwICIm1pWlylvnyh4Ck5j Auj5wHHriiQzZj+/7mz9e0rF0iQ4w1vN3juZtVk1V+hNaSVBOsMvvigQVy67K12OOXggTqQ7aus0 IId8eGMRDuioRLIbSqp2njOU49dEMVQXkbv6oPrJAE9ILdqi5yMi901YqCBF49e5y7pzFWuUEC+p yuQUUNkoSh0EF5urUmrycyoKyIeMJSq7kRw8SDhX+vnB6Yp8tkbJ8GHcqYETADI3yjjI6VVGKdXf OKKXrocmYMkuv4X02hoEpfH5IEa45xRzu7MXqf3uExUF5dR4rLeEC1pXcwzFIrma1e+dXMwlp0iq RMyAhDDXhpu5DAD3CFiChEkeZAYU0DEHrahm/kIDp71vpA1AjGlRClw8BT4nxsn2mbGVNvh8sPfq syM3WYB/2JSlsADem/RJHZFT5MaFeerFNPBBoHDbhJzCCjgJuLMppGq35JQzqAFoqNDUPp1rkHL3 sJM+87SpVzIu6a0We+HIzoXN70nEMgebKDC5lOMx5gw9b36J3g04np0Az+cp9JicXfi3bkjT8+5s obB6mb1Y0Pj6Zp4YAk4akQLZZEsvuqummU4giyMThcyO8iW9yoBs6ZSa3NS9laEL/bZzYDKJfUc+ d+aC4mD1gl2Ja/gBLoHPR93Lp0IAOC6z3CJvDk0mgfmETOk2hSDIonvBoPOmVnEu9+7zFKFOEomB hS6TGjRPjSg+tMCJqJiiS5wtBp0o8FjoEO66d7QqyX+YTLITjnKRDZomFPu4GE4cU/l81C/wo5x3 JZzK9hV1y3/YTCYW5datz2VrQRXIHlrNABlgV80ghz6TbPOiWrB8cOISHe9wt4FafwJNGU2ROXbS zAX6w52m+75/6AMCSityF6s8rIbXppJgP4chn/+Xi5KMzgqgJ99dF9XDl0SC5/BpIvhKJbrdm60m 9ED8FA+9JvHghkHc8MFW00mK5zgfh2k6x8n5O4aETaH3AAYzzExck2tke99o0+FUWpsRJweupgYd Za5feBHw+SgweGYvFYDO/vW/Dc0mASUC9t1M7X87chY64jAhRmXVpimkUxYJ4KkE1b7kbmACcgXv HYfTyY4pFHB2CQ4noDgzW71KXqSolEO/yUUJRQhYalBQgEx1qnPa09h8/r+YcXPfl/ZdTpEud2c+ TBUEm6eybNf1LekXzFOH8pXtujAiFysH8ZsNt3VwruIOGhvmiY7lKNU1ZrYgsgtZaWAmRQWh42Sm RkBdrNnVNV3kDQwyAb7OB/H5SCr4ifhCF6p+5ctzYDmJAgvhgkDKCIOSBncg+/kC75LoVYpBB3Lo OYlQC+Elk6b5rdcR6Y0yJm2nM73LERQM8EAX4gGgg27BTpWo0HRyEguPQrUPSobnCVR12TWu+igg 379kzBolFeqNxgPXSWQuiSavqVsuPFiXp2BAmdRbogNrdX+XHNhOIiigPBm+1QYn6Zz7BAB9Bk+Y tVGpzw0R5LUoWVhcCrfALEffEkkGkMDcWvJBwdMEyFy4iVT5fMQduAU7vt5Gt2Xe2mZgPXmi8UrK QKmOIUzTxacHxSsEalprdZ+oEIxCf5u5BNz5KgehkQmxMWp0QwB0sB91dhylXrnhcNk7Yqf7GwdF A5pzVyxYG5QLnyW/lOKtVvh8lLY8i8B0VfyK4ufQf5Jh/Pk98q3YMT5kfrfcubOykOQTFQNSGBQt psTLJdlo0g3cBgqtaEmfWwoRJ9ynUAvetAwa83/yltCBssh9KtEQh4NS4VQhdcXNNBufD/KWMh43 HqpJP9SutokKTSiHlPFXSjZRSwRUTdReNlFQ3LQyVOhCuegZuCsdBHctX+TOXAsEPMIJwDlZtMWl cvvCQpvUobDOlL/vX22XNGQcNKrh5M5q7FrD8DXh85GKoKctU/Ep0pZ7koc+lJNpcAeOTVrdjfk0 a1CUns88oVIm+ZvTFILAJ1EX6L7bYNM0gfkfMLsAMBVnFDEsCXytc0AtmJBcWTN5dYc+lHmoOyWf xTGcarkhdTh0Nk8+/1+IzTj7AWm50xSKmkw2pYDTI6DwrCaTgIcORpUEPKxx6p2mMByXA2Xirc/h 9oJRb2rs4UHfGoEnC+W0fIUcdMb6svMp12RvHNXqqB4s6MWAaju7PBULtbFyd4KpzQ+IkJe/iPLn PDmL/dZVAi9KXHlEySUry52sZZCWipnKMlredKRYbpAbmFG6JcVCammDzVQ9/58J7TEi5hqOQzqb svQEc5sfRAvfO2/bG0fgAlSe4ND5Y8RvbhbYQ5In8gNtMD7/LzFhSXzDEOvaLTBrC6A8hZjL4qlL JkIPMTnk7azl2QhnU0xeQki4hGCHYvLxbQsD4goGlRzg2wSiGrEmdFs6hevx8l4mp0x+LiHzUlqC QMRiQB9O6hToA/LPHG1NfsB/4ctjEUJ6805UVCZvVDqtw00pK+gYDlWhk7tgGHsln6gYq0IOTzG1 ky/iGWKbaCBRC2adVGEMucAjB6V+3LomeUj39L5/EVV6q8MHL6wk2qNg0TY+HxFV/IhiQZPaVPN7 kkcxOVKxTKsXm6a5TXHhHCaShCd1Lt3CSuBL6RZnoOz68M3xzjdseVPBQlB0nkWyEw+mfLUXuXf4 JQEWIxGo0kjkne0Sec/eERYDxWU+H4Tk+RmSoxLZ17eLHvpSoqCHuODq5qLn6IAVfrHqdBfUkwNj SsBBiHzCJWKDB1CpbDSqJeQJbXiiMc6liJAA9FpIAkK/qlLc0l44mCeSeYgoxwDzFP69M2fZC/aa 9Jb/BfcMgSD0Ou48hehwll0XSf0CrTAMkdNZrVeCGXURpcKBN6VKoxR0Sz44FXP1DjceajA3tL+Y 5FR0j+GC8YPb2uIn6cHl0JuyURS2oINjgyor2NnbkM+Zz0eCp8+KwQlOzn1XvyleaE+ZqAmHdNWR K61PK9R1SVUTubLnnaeYjEkLb4mezK/oCfDy0GUgSuz86GcLD6Z4OM4mIcOEQE1Z5qovHDpUoqhH rkHXkLWeJgIZAg9OMNj5fFT3/e3ieV4BvSGbp9CjcsqUvZbp/Zbl/ZZZ2qqGgC74K7XvYpPKLZNT AQ/rJYhXUDJPwIPDCKySE78STTc6lVDodbJu+5wo8Ry6VOoUH4mKTBwcTmfCcCqPBzaViMev8sng vkNvKt9mZ2hUuZtsqpfPU05XHl72dDyfFn8GzVMUkBOLURL1GDTcBG+xf0fU4QlBC+OnzH5bH9SI 3Q912G4vHFHFCmUG5A1bTPO5nMwRnDRW3+bm85Gu1638EpUA2AK+2icqhItLr3KYwwnmrDlVPPHO krRHdRvmHNpVkiSeUb30wTudFYZFqPFCjRGmTGBAAUxRaId09hjOUut0dnWmQsdKIHUhNMQlReqC WN/nWl00+z0BVM/8gH9NFbF15w5Z87rD59C0sjeFxckNq/cq5qA7yF3Ukhq0PtJMhQxNxuJjSXF4 fRWH+6LjSRKJ5QTl0CBAcQUFqASKcVY3oT7654Fv5TlUSfKF/BgHmDqIpAG5VgZUY43MDwiqBheF yBgVPqajfPsJsXUlme2T7g+E+UAtQHWoaf6DFAROroWWA+/K6xI/pTo8H6rDZydia5gj8wkQSNSY lQhqKOjndtl1wCrrW6K2MKPxQtRvQcld2J58TtLESYMRET8gUmj61RdGPbl+252BgyVwBo0Ye8vt 8I9rG8onTd51xK6U7glxaGE5eEifJXKHWzdYBXdSE86A6jqoAHdKDwOTlnEROu0ndXvj6N5DL+Fk 03cwsubZirJB7yhtBi6W/+uqB0eNczp8j6mwVJ4IQCxX9GPXZZzWPsXc7CL+esEu8LGEdg0LUJ2H NQdbUfOkdmfaKfcNC/OKC2+nLa4ZOl5fI/TC35Tf8lcnL0v1M7P9r/Dp/LtSPMbxyg8I8pf8bAzD +miULxYxsLL0fPis/ztRDE6FsAN1ySaq355C7GWpQKoz2eXgoM3coaueVCuHzR4qUanBkjBTR6VA n8ZrUXvZG0eCltRpRmXPBjUVxj6Rny2uzuf/FXIWsYUBWViPAz0ElHOqoDhtSOCWrbCCGDqpMEUr s+FTFTpaEgS9VLRb36IdPINnp54ouHfkplbXRj9ThS24IftmgKjubxyBESXXPKoPKttBCZIHVttr 8fkg6LzIMS7GXBLU5PpNY0JXy0r+ZlZRk+F5ISqYvenGGApTBcarYcdCW0sKOGRwWXzgVJ1UD75x AI0BNA36Kzy/zuLphITg7jmXa7mtqr7sjf+Kz4vEm0u2XV7xA1BcCcXOyeeDIGE++UBA/mG/+kTF zpbK93a9fjIAeltenNy0+eTFxePzwNryfBTLUdBT90Frqp+rDyz5Lh2Kk/9ONv82mIoN1s29LkuL 86CfTA6sLX2a8ubCIgldHWLo7hTBxgA4CLwtnwYX6kdAwmnd9RR6WxKRXqy0wqpdze5tnaanxeeP bo7LCM0tWQguCMZ9MMDB+ZfPvd+49WhGnBhKoDB1fkvCptdN90b2Fw76VIsF86YGKI2fpXB97lGB Njvkm3Pob5l+SVyfb4TOyneiovCcxKBzRnV3Ais6mDqFU4YTzPpkj6HroyJZELnu0AWcg1MVV4Gp rNhT5w/dRLVmuuQRfgBpWYeNpWLv+5dVaqlVUo6AZvJPmwiL+ZVn6hc/IBJPuXlxtcOc0rY+UaEY eJJmysrOMpMz0ZCofLe63eo3Oo9dLokCLnDGtcESY2DF5sLRjcQYbVCe9wPm2pR0wlFlDT15FOXA 5NIbVbO2OygxzovS9OyBVj7/L3ydOOaFSrV3miJYObxOYAA6vZ+3iUiVBB+pIOrnQdRId17oc9np Kgsojw8eR51Q/KTbgwoq+5xdSI7QSj+vPHnw4T50KR610kOjS2mBl1nuoLx4oUhBqM+ZPT4fNYjr cz0BckIpfJ+okNm5ycYvyY0cuzCJFAWf2TkdGRoMiswDs0t0iDlRNd/BJ+pMfoJQAWvZQKuTJiQP MbSxS3tI8dE3PYd2lw3AlxNXJDJXIewku/QM4DTZEfMkmvyA96N8/apIIUWd7VsJDhwvwQSRg5pL zIOq4piDkm9kvui5rBUVReYUm8twAPfBAk5MSaJYNdxTJtQUEEUxQsgsWNSVLgZY7uk5cL0UrwlN dNKqwJ0xmZCMy4Hu6Rn1g9D2snwFQskygy0XCCa3ehdaX07y84bqT4OFvGn0l3NedMNnDGpW26KK gCzEk7ME5YMfUhBj6IgUcZqfBUSjLFDQz1+NU3LR91ozJZpQYH7pvSrQH3wQifH8AFXYig2WUOB+ +URtChdFr/uLY/m8BlHZiGfnvp62pICucwEj/jnGp9rZYCyvIVSGBiY7VIMhAgcPyzcbJMZ8mR10 MZTuMu+tBcblV5CXbsWvAVSWzOc56uQy267L7NhQqRIkauHplwnKX63ZrqZnpVdx4X9xfpdoenBF nju/KEMB2CC7LE8ifhnB02CfRDsumJ4uGof09jh4Dx2ekBtqiCCbVRcKy8CXTNDiGFaZp8PSwfQ6 P6A/sK4JfwgbND8VXsuq2y08/TY/CCeKzU+2af0Bnsom6DVoypmgQeTAFwZV+jD2xji/hqmEoe5g eLHXkCnLFBqYaFISyv5SEuZJVZaldQk8jtyZJJMoLPzv9NByNr3r+/qRS/HcjJs4WMMFrloMFftu n9doCbO77/zwXz0h3KT2Fb7yNVTKxkUoa5rsHnB83UKlTqPDa8Br2+s1UMqZPHB0yuVXMKYXCGAK ss96klHBnjAbJYgVnziAMga92acH1e3Pa5iUAVRJuvdZyUSpQJAJnAjy/APk4PMaJeVvNNmlMAv2 C6JQzc9rjISHJulnAtQTWz9XcZivGBzE1hOeTWvZaH9ttnWzjNPyJd5BLwXYJC4fMFvgLgf5NPgT Q2MI8DlgVLTBNuXTXgMkHECZxJ/R7qDocVc2KK1P/hoe5a8jqBZQw4fM4gvoNTbCriQOuqV6Y+2y vUe+RineIyfVmk5gwQRNRkawS/XBUxJkn+eoH9SXyxAYnKxZAk2TcDCdf6XdpmbS275P0OKZDh0c HwzrBKs/VnnPVv68RkVZTFk7oRlonzeeKDprhl6DIpzrWSiCfi1TaVmiG4yhMkV4iMKV0UU0Q010 g5188BuMGjPoWkI6JZ3vajyiYSewCOvEq/oeY9b2Gg9lt0uZm1BMYPGkXVHTyZtYOIe3R/+8hkM4 wUzOoVN3H7WIUVhSoiNCdESrmbK3h9eLJkjySUdpw8Lr6sZEr3EQjuglx73UfXAsyslkQasjs/xk fSedyqQi0sYDZexS0CKy6JrU8tc4KMuRBQW3fAdVO87VKLY02DGf1ygIr9ifh3TH/b6Tr6DX4iT2 mCtdfo2JTTwV0KHh3SZe8lJMCw5piTfOZdnaNdxhmfLEO+o1ndnfFMNKddBzF35zlX1ZAeVoRvp5 LUxigoAX6BMECQ7FiBWrwmSPITXUQIMJ6sbTtAkClBGcWk3Qa0kym+jz2QfzTpDsmslZYXWDlREa HEvrIjqlhY8jriKvB64iUSR1cIu13YkeQHoGYTlIjJFgnC8+jrZNr+VI/AoCVEytIMqI8pTutSus IC71tRiZjRV3rzGQvX7W95h+J9Phfk6kQdATUmABAnowRdNAhLhpEptXIh1GcyTlnUkaBgePFCuw UJUk1pIagCLM9SHcBLGrs6OgjPhLK/2dS8dtRpTAImx+eEXh3C5nqQo2f+K3zzuVDvtsPi97Sjd2 lmxFkgkmKTEnSz3fZINwWF5mECKwBjihTkbqiSZJcRBRABqcq8LFMykEWmkg38geL+gnsDhlle2q RH/ofYPTusi5Kd3BegCzDpMBr3w8iqiz7zUcPRAVgGyyz9J7SA086uIsXTxFGuaNUgap+jqOJuv+ wnsFs7QlKQOrNxscZdlOBn72mAWNk2RZeqTBNmmDhtFuSDQE2w3mCMIsKGfLCMSlCGBI3JLQ8ucm /byz6LL65pojcckQr/bsUxSF1Wg8ZzTHXOcqF7v+oc5paKbaAEV2fEBwZHfBAbdswPcFDp7dBv/c RSBF7YhLKQQKWSdQts83lf1VcBYG/D2ydnvUtiQHurYLFE3qZv1QEhQNkuhIMuKFMlc4gMx6L7V3 Bh3P2kJFwuYg1JWnw5dayS7g2Gu67ZFojgAhKay62mDdEYh0nh1WTU5+JdUpF4ouA60f6spZd2Sq +BidRxT96Il6sj3BCl3gSjQAhJ/ICSW1YI5EQ6K+BiY0E3UPxUKbpSi+lrU1iX4OXurboseautey E4r+SvDfA2zcAOyKDNo3cHCM10kqAPbHLEFGkwERav6oIpKXh5TNjm1ihj/v7DnsaOjtAEmyfOAs Qb8xU4Kxnpzg806ey0Ag1cftj5/PIV6cpPcQ2zh3nsWy0U2BCEaQK4/hjW70ajRJUYwNyz60jvbw wWPssRd0HI2YMhbueGyPc9BPEl1QnzCn3UyXtHfqHP5KaoFmOaRyUL1/bbf/nHl/3plzWErfGFL8 A2Z7Xgh5Z875LoW1Zb6TtNy+oc7kxdlWLxAnirNJNimUr7TBoyQo5EJX3NytKEK4M9J+iUxn5DDO pp/2uu+TNIim7IXY+F6m2Dvn+p97sjp1NiEfj+JIDwCyyBYMJG+Y9B5qS14T2gxtWrmoteTlIoa0 omFOnCmqykaxNjE4hbNpwy3KErAmy+a1zq8uwABoXTAs/MEeup0je9v3OZKt1ZB0Ewc1RBCjsjsC U+DPO2EOf+z3/sduw8HSJPTBb42ibVJ6AStRkgZIv/mAw1NzNiupFTJKOUdxuE0/ayqiOb2W/drW QfmDOA4gRGhCSlcN5X2S+ijre2v8U6/7xyTNBBKjDTqSNipJnCQCJaJ4O9fx63Kjg4Svo3euHAKA Tkx8cXErGBPdnLYt924iyVRlxzjcZpzdzXwnfXWIwKTOINGAU7jnkNAlbggsdWKDnbFDFQt+SXBs M5BkTcEGoxQiqmQAcJLmzztTLn+lBqxyBJHrne8cRdF2lqgsuZ2sPc69ktUe+25uSLyHl47eeXKs ICBI2pSs0mBdtQWJj0QGPTx7265K20jDyygkVfIk7URi+fGdKMdQkJJyhOV22Gf/FOUkyG3Yz+7Q QXknyvFye+b+yPsGYEc2S1EBe9ICqVaXAj8T0jwnmYqYEAB0GGhpJb1H2zAlVIl/3cFRgXWdGx6K d/AZSeSnCpM7iQvqEOX+6qVrKb3H2564oR5C1gA4aby2z822OoNBOIV83klyWT5i31kqBcckWZec pTDgHnS5IolbRbbdPEyaa5iYXJ/ZwZPvFDnWSGQnpyx3XQzJCZHOxthw0mgwN26sQNO6ETWSwvM8 ufCXiaC8M+RYA8C1tpBt22BY+NSbSv3nivm8E+R+FQEQAaxzIM8x7iRFEffC1J5wOl9VeTIbJKjT mqe3g1A0briwmr1UYiM5d3/d0oAeRUGLRGdWmEolfxfcgAnMIlsDVquVg9M7O873GzRluw8CA0Kl jloi+Lk/79w4XMD7eXA3slxvP+2dGseDO5N80rLP0ci23Toq0ZbcslKjOYoK2tK53GluH7waCXTs hMfP+ZvOUqqbBe1GJUhut7Jn+Z7cS+8bhEnkfCVzlJ/DDC7rCWQ3i0H1/Dyfd2YcI+dnzR9wewIa bJKieHvSnyjt6Sf3ksuu3NK2M3QSdyUn6T3etpO7ZPnucvDbDXoeGXrCoO4WZA+L1js4uRNYJwVy kdcEfOl938+kxRoAQBY+cGZQfBlinoy1P++8OO9Bfw9uUL2gMGKTFMbbPLjH8JV0rlCX+ULg4fSc SotlHknv8XYmMO8tc8PSgSSPlO7PkdPFloepvO22L0qZHXe+bjRHRNWspCYbzDyYXSR4KYkuP/b+ vJPirLZsc/IjN9n26M5GpW1BtQiNtvQW0o9GsfSCN2qV7pjyTolj2VnUSkL+a7qi6Q1a3YiOiFCm CgpRpx3ih7DPgavMZaLSdODzToljDkUG6qQgWp/WJT83QQW1mSFT7p93RhweX+k5R/S4+saSUbwt b8DyxZHu5i4OvaXqPdoh7WLstvd4+xZK6BWrweYIYORJTgkQvOeek6Lchucn1aZkby15CkpavpPh uI6IqFH6xkG1pAbxBH7dXuPzzoX7hYJgKAm0H2iL1sWOwm20K4BfMwmmfNL+a3RR2QflzQaxamtj h+E2RE7QTx4+2BSdSOCcT6ipoUoCoJGRCnHyJtIo7nGEtgm/I+jzs4VUO+nMHJS20TZbAh67fN55 cL9TW2a2G5RBv/zfWXBce4UC18XbkN2FPKCP25MdR6W7NeE7CS6b1DV4W9MHTdFJQNOJHHEVAOiy C5VhIFcJ5wuAenNCLbobDafodaM5Yk0bQto2qNwGOyi1stvk48FOS15u48nDhX0v/3cCHJYR8WuV yFJJxC1D9xXa/jrCFiZu3Gnv/LeLNiIozQY/jfo5adBMEvH0xOTULl7AW3ZQXsFO9xO7Db1tkLTx FFp9dR8EF0EHj+UGhFifd+obD+znMoKMGsh4PkVRoA0lYJBv+rfVZjDb0uciJ77QLqWaO8E78S27 UWpD1cIGnyL4HC9Wbc9PXeB/wJ0G++8BrH/OjCHNRz7pdaOyreTOOFUEn4p/BXNgSVHMlMvnnfWG 33H7OiI+Ceb2a3k98p3zxnRaFg5tOlKbKlGcpCKYX5G8rp3Y75Q3/EiMj0alBD8Hm6QNI+uEntTZ CLAa6XSfqYsyXsi67oENvhBfNpgihtktscDNwU4jLFyBRkb5vLPdGJzcA5trDltN+EdO0XucjWti MK2d2aaoaYrYslURCb/VAuNOUxTVtRNFPWtlkMTBCm29AXwETgBk4VClJ3FwAGbcAUDOhVYg6pAw F3mnumXZjVCguftg1X/ktPQnSJ3vGNxqpT8ufrSFmqSpOEdRmA1DKyS12wzAkd9aEWnYtuvC1hh2 7Z3nlkUWOofBou8uBwuzB2DFSBZwHAHtD8LFSRw66bhYDCdovVJCwh2/89xuOXIl9QCSae6fDGrg KsXiWPgh38NsRenPSRoQOvNc5J3kxgiStf/S3CXdlF6EHmn7grO3X/1hWXtI1dO48DxPJyFs59BG 2MjGNgSIkyYJjWhqYDEmt8RfiON3hhuKXlLbL5KSp6iiwuy0JJvx09cJId8Zbr9CSPZnUZ+Yleqi nKUwzm6stXkoBImI6WntJjcHNxs8d634/05vY8KJo6h0go052HY7cXZNS9Jd8BoZrO6mTXeIhOue Cjfu34Cy4Oed3XarSFmuabhkfgikPvdlS6vIlCDz+WDD7fW43MDyHpDVsFmKIm0SBM77Oti4FqH7 2UfqBvwDWLQaEumd2Yb3l7U1OK02OJoNXu8rMxs5kWlHVYFVJFqlQZqtQizWTZyGvW/QSMpEGncJ M3cXZp4bL646wM6fd14bX/IZaxMsIb1hIiLDWHsL5jcu5Hht5//JyhQ85U5xE2rsv7PabgRwosPu gxck0bsGTkuGaSAAIgLICFk2wTZ53pVElOrnndWWXZQZlqsahsKdCrHeQRndk5l/3kltbLY9CyRQ KPhBudQnKUSScIMC+OokSRy68ksBhUsicNCPOnOFlfTOacsSvoeGu8B/5dqllnmOnkaxAWoKnn9C 6gvnzg27FEiY1Fv/p47p553SxjCJSVsTDaI5Mw1S2ZMosZNors87oQ1nwoX9Eeo1oJ19Q8l3Pls2 950iv2XqmpEXYgbOLHtxjiDlICLpO50tO417VfHd65fvjirwiSAbWTWorFJZKFUcv+f2B5l3p/VN 2+x9I2xk1Zm0fDBuVk4iFteN1R7G22k/rrcFV8l5URLvXDaiR2lP2AwySiqEOzWgZaFmWxtI5llF eqeyZZPkQGt6+eBxEgwG2qajPNTvVxKSBHWyM0WovMCT22KAQQrEO5ctu5tMFZHbbBtxbg/IMcvn stTPO5XtV2GbR9IkCeKupLCwzXN7Sk1CpbZl6f/st0cyuvYgfqSwsM2OJKBZPtxgcuDORD2kofff ExVOBooT4Dada5wq6ONbInnnsV2cf1kyHliuE99xO1BPHx3QzzuNjb2De2xjQmFl28FJtUmKKtuT EXeqxdUAZpkeTU6FALjcEtpLvNzeSWxcyTy3i5LbcpPbzjZCRdsIgRI9otGYgyUbV8MPzBEt4MbC 5ndE+X8iGZKWoBw0R9DoIv6vnpj2805gs9z42yDBbdrQ1LIpCqHanVYfKq9xitbFkQwKkbOMBN1b m6Iw4FZyi1PMBk9uExxQ8iTAvqfK4J1ujRXugOVnjXrDbS2jqKot1BaEOn3QFG2QCgThb/XzTl3j cZYehzaOwVEv0vadtoanEpVf93ZTBoBgPZBMw00ZCLXRVvs73D5xVfPBVhG0Gs+ROiV7DnAbd2OS 0VzKPwC9eZsN6/bzTlrj6UnVZQHb+gW29ZmK0M/nBp6fd84aO9TPEgk4XAiWfYqiWJslEuAfnNDe y9LBBAHYbiUSqtSoRNJCvDZLbIi1fLDTCKBmSJ2TczTRFBGvdPAHhLjPvCaXjATxHcHVn5jStl18 EDzi3ChDEkEtZzwexJDTk7aqeJPqwz5FYaCNyLOOYZboyP6L4yNTv4DtcS1QWlTSThTPL3DxtcGm CH7gGS7XpPyPEz02cW7hOlDZ2UbsZT1t7bQQQkIPBvTifFBNG2VmKlO389vg8ajx/8S0g8JDPqMR a6I4m7KpZ3tt97icdbutxyDsWnKB06lH/T3O9pY2VJ98sJ2GghhaWSKxAzq5KQhQaaDIbtn0MFv+ Aj3kPiphm9JGmNY2P9laGnvrMNp8PCCO7GfXHy3XE615na1HUTYNU2rWZS9b4rWtzpYozKEpQtSs KYoQJINFpCGKDQeraad1AsoO+U50RuqkiwfiJywcRO+oldzcnwobPappV4bXVeo21dVtCjB5ST46 9aQiPYqyU5nPOYJhJHxbbY7CKLsJNOLXfq0jXQJt283xI8sNCXtU0+7MREql+QkHh/1t0GvS1rVf T37LhvYG0eFc17hh6GBmFVviR3oUZU+qmyf4y2AAVJRTk9PiSsVf3gqeD2iQqzzC7DyppTc8Ouoh EVLOxKl4nL23iyHMzGMFyQgMv4aSkR7F2Wjtwiso38GnCV0kpvj0t4QdFwEkLHFm1kZWu6i2zbS2 R3E20KZgaNE3dZKGotR/n/uf3cXWOx6PyKLzmbElSJjeTmSP2JC0bETi6VL5q/AEpzmgLjnU2hLK jUxGegwgERiZ9ZEyvvURMEQhZE/kPwLuTfwEsmYQFOB58vVKp6kYviRqRY7nfgPGXzII4+TlrG+c FGri+eBuG/WxlJDRztQ8Y+txnI2TqO2+vCApeOSkbdV0OluChJAmKaREshdZ1f7nYHcbAPLnKNp0 Y+rwJCYYuSEwX1WqX1c1mBI6+JLg/qfOXS5KbvNEMsGqLRApbAacpGfj+Wgl/T9tpHXrIz0qbeOE Rz0b1gf/l8W0GSaSn1kLE0FiVd9ucaTN/lkhQJSDc9pwBYxKhRYUkE5wXSgUgUsAGAk06x+anFPv G2w3BpK1MPev9F4pWkv01ZIBXC34gODwHveC45wi6irDM9v+Hm6bDS0smDAjpmrTWVICs41lSPR5 EoLNysUUhdubqgYblHcbfJ7qCaLbpuRPTf0sOhKQJxhj5yFk/6tfDw8Kcvaouo3uD1kS2QeDbJ2U Z1Ur7uHxIPuvT1gbEF99zXt0R5jtDtpj2d2qkGj+o5PAguS5crd5E7dJM0tEARGGxFhbaIFz4IqW 7eD537W5ls6lWyo1IgC3AmsLvqtVO256HaniW/4KlXriscTBSiSrDElNtsXHI4Dk74okTqR1MVs9 DLkbD2xCDVVtm8kKSZ1carP8nGTZYpai2vYSFLlIC7dcX+JydvNONNaEv9A5yRmcJzCV0LNF05Yi aypJkpeJbwmy2yUciToAozrPtqBRyF7ALBOPR0tp/5ol+gttX0sjCrqpqVzoD2sKNsk0Is5C2oZU hp5UtcRkRMXtKfMzSQW3r1RwO3ES/nYd3mfpdHYuzwG0eP+epVed22YS3SMKuqE0AXQEhaM4qP6P L2DlDZ0GPB4E3eMZdDfK4l/Y9oiC7i4mad3b4P/noGgWKUFyVRXJk9o1g2yNsLRNrBauLR/sfqNN egeGHWXbngcTYWRw89xvqHCiGecyZIhs8SXBHGWJsnCqYGzxwxwR+X9qLJMMJLgjrG3vJ6UdHjiz 37LtCFHbNLfGdvJJWsm1tWjuKo4EjFhtkqLadmYnaSxCaUwfeDCDhVQ8lMuZWqWKWIbBFO4gHJtl pescABgNviMAkdJyqc8kdFvqHkwiV2BP8vwHj/+XHglOwwaCvU1RFHFDKg/lfzNhpFKiV5LWqs0r SbBE01aLIu7F8/oshcqBVdHBMgk0u1aiC2qCaHaVMxOtpBN/ynwDAAAf8R1Rh4Rg5EokKQeVADbk gmQLNzYej46jZ6sNaXXv92YbUbzdaU1FWxavRw5H/pmzJxkS0ohK/KQ/Q0kA8G3wuvZY5+Cerh1R CNFCtn/Cm0FnIrRijWpL30l8SSTQQuGaTOjWzPjdeBt1mJnwj8Qn4vlgHbUnYht8enDtfZKieJsA lIp4zyhbVVqINDqTnS4oW324dOuI4u1FZQ1aEtngSQn18GrvgpCCDFMcQtoh1IoCka0jajLiO4L0 lvjaltgf4aDL/4TzeUgDaUw8/k+0DaJI3JFyMuEUhXhtIkjx2j5FdBrnaUTYqKaoTWdHjAiv3bKt o+yDraMT88wE+BHhkWcvF66jWaGPgsol0v6L1q5626jPxjIbegUc6o9MceaZLsIbmdqOMNL+1YxE YQkeWT5FIYykSWNjXxhJXV76nww4jLDlYogjCrSnrF7o3YWBZQhWJE+IeDYTL/6zwfBzDFUkTxrQ Bjo57U4RwNv4jig44qVWBEfCQS8CMVrlTIqhUd7x/L+0kFgqwCbr3xM7DLTZsF0S0+QcNcpqYY7o EWFQG7AzNEdxoE2mVuvJByNszQY0AewVqe6HLQbC1oR34IIkLZQB7lZjBDnCOPscIOfyINkbylDA n6qgfY6CzZzt/C0LHxDk/+0W3FjkBdpipTtNYaRNLJw0sFxyzCwqhyneY7flRsYWdltY3Kba+Bq6 tcbXT/BkVqVOcZHA/afv+E6DoRTC3IIymjUAtk7tsLgtn+HGglIh+5eMvz5mkY7XOL8Inv9XlYRX 2/nyCcyAJmmG1W0E0Ki3XRRJq4YiOXHZsOY/xEmXCgAzFvZjhF0ptcHBAu2O4GKB5IcOwNlhg8B/ 6OIhF6gGah9fou0MQSSs3I5JWSQOOrXxH8H/aql4PGqSPJMRtLo6DhqboijQrsprafTLGsmmcDAx JBueDVnShyhdMGObIT9yq/VP+L/sbCzQBuC8oZkHAgnYblSOSvIDoMZ56d/KLeIzfEuU1xJkm3jD cfDW/xZnBR1iPP6vM0lBeYN21J2kKNBGfIp1NBzWnlO/ZxIBHZykefX9ZkyPJOOvsUvCwTYbcPln fxH+VyegNVQcneROsaJX4K7tSBsKJMy4vE2i1pYFFamcrJBk3P8CbZ/kf0ah9v8c3CeUmgj+bJKi ULsQIzkpiC3Flrac+DfElEBWO6+58AyL21Ng2zR98JIk5W9RAwarvcLhZXGzwY4OEAdgi67B6dLb RhASGcD2O2iKoPFZ5D1S1mdGpe19O5LKRkDavWytGYXalfV/CC5rHTWxoBlF7uGlbZKjbYqiUHsQ ZAs1Jx8ca5sQYOUtlxK0pdDXzqSyr04tZJAZTQuZnlMz5EYmISpEbJuejqyGEIB77ewdPB50JNsv GTLE+rBMsTkKK9s4xs5SNTdqSKRWJ/5XuS7iYiuN3svnYpsRgqQr0h7tDr6MEqIBMPoRIyEPyWbl TaFIwCO+YSRdKGcUaYPJebLZSsFaDtbNPjPTpLIxBh7/Fw+5sV4LLZSrjTAjBMmYih2vXO0cyy03 KFxgYWRZFmnPqK4tBklJLLZx8CnK50AFQ0IU2xOQUO+uY1b6wBH/EIrGfsF3RIK1RLVXamw0HmAq jRTotXNlDL5ilPc/rzVQxBu2tk1RyItMAtn0cvsjBmMDgyTdSBsmldppod4fWRGlyRC+XSUyyNjD SX2Qq3Xi4Un/hLOYWHWHkPXuv3XIZlTRlr/5SXWqD9pogEZKGeFkjXg8wmo9LzXErn0kT0ZmhCBB ogaFtlYdHiEWKQXs8zb5qHOsJr/UokB7iIGFDrkNNkWtn+hxw4EEejYFlq4IyTPMYlZBPaGX8S1o J71uBEOmRotgyJ22uhJmBZKA4c06RwWej/L+Wz8iWgu3RV/3OAp5kUJrSQSa3NG+XHp0u9AdAG1I xrnXQh0SVkd6YiGyp28hcgPH3CD8ju4/9OtpVTKB/B/iZfYr1oLOM183orOTp1VYSOIgLBIMNmTY chIaPB6F2U8QCSgkcBS3OVpRmJ0oXb9qKh4eze1pbSnVdUjyFf1ZEYgEFXgsIVFIyqWQnEAUyoiJ be0EbfZO+aiBenKnjWm5mj9i/K8QRCKc4CKIpLr2AuBacs0E47/i8YAYOZ9TBB3ax5G9wnq22Svn dSPIaefRiYytEQDI1TY7qRWCSIZ07GQanK8XLr3cTnxXJDF+UtE5JR41qAGCtbQvFGnZ20aSf7L9 KWzXQvtOBdY10YdkrXbXguf/BWnDu02QR/fFa61QRZsAW1w9DiLR8U0QCcDBBiJB7K05CkEkREfU SUhfnYyOmrjso80FABsKSMCGyeYNFs4NXTwwRm5wdC4/fEdQzt5y6C7ZB+FsIB9AJCa8cvD4f8Eg o4AFnSafopAYSe5oG5bf88z2iv8aBitBUp0tOFohULurjr+3DxZALtIqF5WQIfyDgFKeI5SRLeyY +s1PRNv6u5w9B6laE3xlrggkllMwyRN5FTwfnUYeHWE+gUpHvdaP7BXKaa8kddZhKJtFsIog/y2b dNTJHbwQuUJiJHW1MjVtNHi2lhBdFXm+Q7lOmggJ/gaLuMP+zUQI7MGXRNgIiiFI9K9c0T80XgAt 4GabGc9HF9szzAbEljUbm6QozE6k2J6f2Kv+rU+LIUdz+DbfodgkhRIkQ34s5Q7W80cXdVHluZ1/ Z7EKTLt3VOApNljQdjF/u0TR8RUhSASzSZsN2+TmVBV0QoIGsX35khGAxJcSzblB1UoX8r9CqPaq Utj0XKRTzVNULVFskYsk2HJoksJAW3n//A5OsAGhAA0oyqYDMoITKVFHWkSnPa+rJGqTfN1ojriE SpO3ZJOa3flw8C24kFJqeDyi/M/HqQ24FuX0bI6iSBvtCYiA8Q7btBhhvgZziMKEE0wtCcVojkKs tsTZZ94+2BwVyC6zJsty20k/BR5RtRUO2xT1d/QI8iZ8S1RAkgxpWhqKmbGA4sqWPwA4ePx9t610 C5F8u4kKX3fS34rh2rz0qxNIq3zWTTti1BtGuj3wiqvaVEWSevR+qkejfdQmxSMLErVM6Sho9qEm jarLyM4gLagH8H0DFw2B2SsNXTmoPAJooUwP2+BLRk1/v92Ex2FQem+3KNZGBQdtI2fYPGH/pFib dBRvQU5SrPnH2VmSRVrzW9M+AVahHCy02s6vAuwTrKKY0qLWBqaLR0lj6HWjTqShRooPOpKgvStg RJ4VjwcRwFfPji8HQbtxa0g7CrYHITZ95ztJbbksUpWmPVVIgPuUDHlU0x6JkSSqPDbYJCFwmlRn IXUUupi43QokBSco4ajmu32rv22UtTGEVLk233ItKKMyQgX4GY9HDJsLHpE0QiGQwufoPdo2is35 ZFchxTXRnaq13JsNcgbV5ih2rFlyipg+2OUGLxY02IqUkWHNXAjWwr8J4GlJF/dXEsX+dwjZZi2y Cf7XfEvjh005m1RrxePB3XbltbixwPrdy2vaOyZGsjci13vMEWxVbR0V+deBqQWyns1RGG2r8G/M 9j5u+p/6SczPRc+MpII9ylZcw807Kjk+MEhxf9uh140Kbdhsc6c7CBqBo04lkrMn8Pi/eJGYjywx Kz+1dxRuU6r9/A7bhaPKHJb8d97Zjh656yhGj1AboRIawcET23Suy7N6mthsJ8kFnC2jqAHJPVxt XygyMRr4kkirhczaNe9gZX8oULG5uLGOIvhIu1otWOUowDaqWGiOQrh2F2akunnN6tuM7yHU7wgb 6oRqjmJaJGWRqxTI6lc7esI5BQ0eQf9PjCkbRNgSdKprz2+lDW1efEeUksjXh5ktKjg/Ug07sUSn pTqgDhvPR5I/v5wjJsU6PSPZUbCNeBxs9u6SP+e/cCbSot8jmUiLpEvOUYge6cLWCIXUv1aRG0aa A/Bz9CFPgqXuN4TgpUqAIMUJ/0ir8R1BhFRU9gfpVIMRkebQMgJMFY9HQna+jJYkSM+9lr/3Wiiv DaJaxanh5cjWXfB/TV7+ZGthoWmOQrm/RcTfrsMHv9caWANTdpoLtjidFVuoI4AQiLPp9rO7vW3E rqX2eOOpxEGgyDK31CpaXnw8ytmeNG3eaN8O2w5D7cLEVj1/bTUzroECeXPZyIKzkpy/HcNHZPUr UYR920cngDjZaoH4BZyS26qId9FvRLMEAGC4KZiyJrlP+I4ghGReyzVqg5YR0DZ0pjofs/F4VGd7 4v2Y06TvKgqL2okktj3vaZRNdQynUXPlKEP/d35SVEPiFCUibDl4OxsEAHYxWYpsc7GGBMPZE8Sd yxsgPweP7Km3jQRIWGdbq/pgO62fMKLp6wYeD+ojyZuQqn83fPeFH+9QWpve2qjs2GkEv0vN0cnQ rxxi3a6KtCPoyJSmdmaZhIPf/CfkXxV5M+zqWHVRSRug1sRWigsiICTX20Y69pQfGbSv5aAoG5E7 MQYQFMPjUVK7nlH2IOnw63iYojAbiR0AyFmoYwi2pObQEX7o/2EFw//KDMhTqEAy6K4hIBYHiyHP ll1oPyKv7agmoY+xQUiC2zPEhirYbXDexFpa2944sB0jS6s1+tc2Qs1k+YMG3RCkLU9+QAQffeb/ VN5red+ZCjX/aHWL1JAcCJDaMNtEkDSktmJGrDysAJDTP/whe7mDndxnu54oAKsHLaWzGUHfPdE2 1DI2cuZCxJap/jDaxrf8oSB1gpQxfOCKwm85ktr5M/P5IClpz3mirOFKV6+NhtXBDUcOUhkO2UrZ LchOUjO95NbHtUJOIV57Mr81hWRX5UNawlpSmopvx+IqOhOFYhWcZzkj+cJIZMeaQhwJMf/4/7AP ADox89oEIQ8hoCf8WFMUdo9SnnUAzDh6yj5TIU+ykbi1Lp20sTPDulvLxTGAe3trMqfQMrKb8uEd bKYA1DrxH0XJOtFDk6otm3Rb8Kdr3vvbwC32xpFMQvrOFAeF3qBu8TsrcAB4Pip1PwkASO7RHr8T FQXfkDPKWLmXJlHA9GHx7fy6VupGyrx870W1bjVx0xLhZl3CDcnsIKEz021EEEFya06ckPQKAHjJ 60oEjPNr/nLcKEmyJMmwvaiMNfpdn8+Am0QKQSX5Cd+mE9T+Rpc5hfVuQrgS1e7I35qFygjgAg6S uygolQQIoDVZrLkttAQ5E9Lzt8jgXJmT6v+Q3dqouuLkT42KUgDno6fkBbi07YWDhJeIyZ4JwuVg 917ZWg/Y53w+8t3yieKWPUt5tsc8hWE4SiHeF0DzBLRxrSjwN7szuBBh2b0XxeGTLsiEytngcfhm fXdIMGl1alajCAe4YcIxk9EqsOMcQbm+5i/1zTMnyQdVTyDFIQjNmWw+H7UGvKLbuaLgcL9v3ptT LFJCZMDITuZe0whuAHOnbTNVW7/HeVj5XqwyZTrbc7C9VxN4QJv9yjbTJGfspHoQvAeMAiUc5PMq oUAIR18TJC0s6tKfioOplJV2zgpGkGAK8fnIgutak+JmRnEAZcI7U1FQDjgzWpXFRco74xFhKJqp ckOrZF5bwEisBCq/1HBPPniNYJ0FlrX3wN5Dqxrdb6qybAiczvyFBk57378kJqt4phwExzmRRqrC vbXB54OtdxmmOKNodpgeXmUpxHRvdr1X7V5u6tt1yjtdnc2Fo9x5Cgvgm6YJhU05DraiTojTcO/L 2f5cTlQPOh+EZZ/YOc3jst5qsReOHF3YAyfWWYO2XspFCVMdjDhD25t2y7ssvVQ0eL8LKvSZJEC1 rOrOd9DQTj/uMTG9LodYyy0UQ8QJNbjLZs2AgxdUFnCaUApHCrNbAlTpBOvYzh16ZbS7cVOX5i8c MbsZFzS5Srfiku610DgOoK0x+Xx0Rq1HeACWC5L0O09RaI7c6QJzmBizS8GCQacegmpzKFXbPEWw E8GW2mDuxkHzhOuooklAMw70His5KQmJ6Flpkybhrn1Hv5IcW01SapJCcDZomnY7JxxzvVUqnw8i 8+t/J+4ucCffKCr2mqwMN0tymeDa7VCCOyEZOtBSgmSEigY5NJsUWpBqEDbYchpQvp8rmUsgFid5 AoX2BWDFor5v01SavW/EXZpim3YnnXIBDFLrdY7XwecjJyUPDWSaU9AwuIle4DcJZxdW6GRRyirm XN0qdHu6jXtf2yt0OTScHKyqwJPcB6NTnPR4nQNuUwHvpByojTMeBVyv1f2DGpTLco1s7/uHeslM DKQ0cDU15PJNqMpc+Xy06cojMICWR+/ffDi0nFxLPn3FpymrCk7ZqXbFy3lz2jSFhEqGmEytbfDD 6azVObG8oM1R2s5dIB1KGSOgYatXuYtElXLoOokXRsWASKYzJC2nfvLqznwP5x+fD5q98xeUCeYF 5Zpy5MB0EmUR0k0kflfJF/AyFAz+ts0TjFl814URuWhwUp2sD9VJAmrapFbg+YfzP2CD53PJwJaj VYNWGppJYUFgO+lGuF0CXf0KdKF8XJb4Oavw+agH9QTqoAdV09cIL/SdnFTnMMaJ/nEYFR6Fwsv0 QraqODM0nqSoQoZpuw83x6NbDGjeYMOeTdxohNPOd7NiVaBA7QU7FaJC58lpJi81+aBc+ISyky2S 1rjqo4B8lwseII6OqgrzO1GhjAmyuHMhF7cMpDKkNANqIqiARqx9Tp+oyAxHAMKUibXk4MAvwCkR XUp/+qQuExsPJ/rPIjakFNfDlR4/vyW67hg+iV8xvvyKCfKqUrxU+Xwk9/I8oICDIS3a5ymskS8S vtvcd0GV4TjLVBVIVYi1dJ+nGPlNvReUeWywBQWdaBrssWiwe+GaS4NTnrjhYCrokJ3e7I0jYXwx UclH4aBcGBIpUkc438bng8RlPkXNINTW4ZbhExVjvylhogiAMsKNHTxkeGuYjzD0hagdnPRRwQnF oykNXngcvFJ+YnFE2ou8r5N7cY+ig3cOqk3joDH/J3EJXShN87Xt5oPF4202om5AxOPzQeLSn4kL 3Ft+oI3pExUaUXapLORuK6qsZdsNtPzlKwq8JCtDhU6US7jmJJGc9LV9BdY5pSonyjVHHyz9UPFl wQNmUonCOlPN3jcoGaAfeq4G6SwkcktJit9zc9ucb8h8Pqqt/BLHpYhh/zYVQjPKSUGqTu6uRDvz MLLlSe4pQYETKkOVwOYphoGzu9nk/d6+3u99rH3CKzXLE1Q7WE2ne8CCJcxM12phybE7NKM8RwOP cKp3lWX1+JrOScuqEM7myeeDqmZ9dsvR2gWr6E5TqGsyKItPBMD/0eRkbtPsPomQyS4hD25e1Qzs KGX3gNZJWz54DerMPFhsW7jLOdGqQ6F8U20RCxqYXjugck32xn9Rd+cQ4Bm6TQRfnOzobDjCU/uJ sPkBUUj+226pnNuk3qM8cKQE0EnGeN26VMUK5azWNSvcgQmWus/U34DwJBnY9JWBBQsDqojsd57E 79wK+DcTcM+4RlBt6ulx52174wBcUNl82T6YpOAJA5eAhedz9ZqRoPAziIKu8UjXcyGHrpT4Pjjk EKXKoHy7zmkzS29WDNr0TDiwpRRQAUK5Y/rgyHloeE4aHLe9If2zWMofrBjQwBM+SVYnp1Z+jn0p BQaDbOO5LfCyUpU8CSPNUkBeXpMfENHB8+MsR3DQ2/we5SFUpSX55JjrYq3T2HKAYcyb5CWXXhbX I8oXUXrq1QfHPO8Tz6XFGlQeezeyWaF2fl4YphoowzgGM1d737+Ul+By4oNVVjLgBT+kUzc+/5+o KpSnmt8jKgrKmzpUZTvZeWU3OjsBSnZaGEJen6ZQW7BLvKsnHzzJm8CDgqVw1tN5qYEwhuEouCyA POWrv0g0Mb8kAGNkWcGKFzadFwaFh8IeOGTo+XxUWfnFeoLU6Wrf1RTVyLuYYXtYZQVCA8MRKyld xAq2iE3T30rewDj74Kupo9hUWSNPKLl0rqYKcMKkAxRkyiCmxDhr2gsH8yTfhcEKcCYtiH/vPJkQ 40SUWPh8BHx+SsJvSsJeMY8cOlSqBLrWTg5b2fUanjW6VCAXJipQuXBgUYk+HiuZOqU42HLq5ygv NAI481Q2cdREP+F4AsoLt7UFUJKEy6FFpXDhLZth1RViPj90oszkSSkznw8Op33p8+yhp7PZV223 MxzYVEJgkspCbRkEqhaHPBdIZm47nmBa6hMV8zGzmufbB8tdwORda1GKAejLihIR9SpwQIAsRBDU lHOuGsOhU6VcCdqmwmADEanpGF9ji5Nxfhk+/y/iigp74/xM8953oVelIs1BurJaLteFeZZhEg0n QR6OEs+hWeWQMDwcyGywWh2c2xaqdQRCnbwFTG9YozS6VKN3fgWr1n3fv2orZ5dlH+wYT0UURxXI A7tKtLaeaDFUAmb9Ag1Cv0oiVU4O1r0zZS1O3HZFNSicT4txlqYpCsiXFKvHWD54hrfhCp/Y7cwT WRI7Lh3NYZpWZJSSLs7AXzjAGczKdVSKD6pBlZV2N3uYzecjaa9n+AQ69qjfRkLsWVmlVm0FOtgy Z2dBJ4JmxMxQcM55igW+UTBZm1kJB9t2aEjgT0KCh7YdIXaQG0KkkQBDh6qM9zq7WlOhb6XomRAw FqV+GYMA4g+Syzr5Y+YHRDP1jMih/rfmtYjPsXUlXRknlSA0U7m6SezM21fUXNt7eLF35ZJpBwWF ODh0BeZJUFJhVxh8VoA2zu9AkA8YeFndhPronwfulaCM6xBn06VRm1McDcjSdzmbjcwPiLLh3yH5 uTrLFWfOsX8lERmztUtAqN3IdZPGNyaG0tyVOQcGlmKuoYq07+Az1VBWRusUXWH4hrOuiXjph/ai BYYNjq7Py144agvPR1sYgYyEdAFNz+yfj5ImP+BfITmB0UiB4D3nExWF5MQNlLn6tnR40mhLIJ/W q0NXpIWKJC+0sZQiekLl2wavGsxzgJ+ALNmS6o1czUXr7ESZEpSanfST/I3/uvTgYuGD6nVQA2ZL DJEan/8vlXIY24Fjcycq1PuePKXcwQJyzS6KfqLNYpSW1qDiaCsqlCBkn5PYPhsckYEVlcBrBPP3 BFWJVLOEQHOzQ/F1Qy/8TfktUX1laEVJhCgn16ZIqE8Kr8oVFeLIf9ERINUNGPGdqLBSXpvc4rpP VHPyL6AZjq9rYw+fqBi6Qghi+Q5eYBnQfxpbit8N0kQsG6xCp8YlVv+tRO1lbxwpWhKC2KQj37wS BcnvmmTdsDqfj7beLyAiREQe9ZXQ0RInB9K8vAwF3JpvvXM/JNWnkA2v4hMVxuXUIU447mxw1Bgq /xshCwSty+ZlBXwdQDCJwEBAohwNpVJ56GkpIGItufugkt35vMrDHDIDfD6IN7/sDQTmSA5XH99D KqyVg/F5jsZ8vQhbXaaP3jZpwJgp6kcLNxbaWurCQ//fB81UwtSc1KWSUD7PTAC0ck4H6Byx9EWP utum6sveOGh7JqF81vBB1965e0qRD+iefD4IEMYTYNfAAk2XmphDZ0tW+mAIeL2tb8iJblLzVA9/ mwKEwNoS8oaIzDEVPhgm4yQwqKwAft/AJcvkL5ZM9xRUbpAiKyPO1JLgl/x1lkNlmgN/NUScJ5dR eURgg8DbEhLlzwJLwRugsOrTFJbKUxN74xbs2ItmRpyzYzIaCJeW6IXmlouKhBUwcRtsmgBCbGmR w5kxT4OKu4PSMGBBZcjpWKI3FEYF7pYy9wGljBw8auwLljNPajSLSgdoo4f+lqk9Jwp16A7ukk9U FJoT/nGS1H7ZHHW6x/Wkdp54U4IbdH3UX5SXQmq5hpvCwPt4dsmmwHZkq7JJzhK7xvtixlKx941Q Gdx2YitU3APKOnDebR4vZ+oXPyBo5jVvUm07ynu71js58Lg830tduSaMpuSupts3plIdXLcQbdi+ i0vlmKfG/ESDZcSDhgSrixoEtdoi2Dlcpk4A+oNan/Xy5FCUA49L3Vgo1bEFw8EYZqkJxY5clc9H umlPxVSci8AQ3mkK1cCBAEN701t5u61paAPcVd7zXNOj8tjm0gosY/vgmd45OE6sIJLChMpJo9U1 PN1mo0bhuJWDqSZ66HNpYM1R7qCMeJFw/MOeYOXz0XL6zTPbPz2n7zxFQTnprCdayi7l3EvzJnqX lZMcwbsZ8OTA6RKVTcExWI7j4FjNs3CAbF0UK4D6+GATHZ3OjQY2fNW/6JVtLxzwqDhBqbDQkvDv La2is055ePzM83X8gKAEnJ70YPT6J5IEn6jYhKdQQ2V5mpeozMmJKjt5UA53QV9QIbGTRPNEKIEG h6/0eZJfIE1QOoD7JTDnADqjdAA+DeQw7s6j0FwOLC9dPb00kfMaPEIZDcB1jF1PeNny+QgP9dQG zehNswnrMxVrFhK/wnSJuIzRjMGBro+V7+o5MyHYqSUV4VeqlPincFFzerEcvIQi7REq8aM5TwIF I+IGZyd6XmuexA4KfC/RomItalCvuI3kPOGd2MAmBn/x+ain8MSNZdQZ17dF9XkNoEpWQAEtUPdP HcIBY0EtVVg6VUJc2vE1fCqJsHRIOqpS3r6VchTi98mmjZ8AKg0i0kb7UoA9Vvsq8dKp+DV4KkDo EDK2avfBpqiBKfxDkTA8/TJB5SsyK5w+pKunxIoZsYXTQ9dLNVe2MAbZqwV0xKKZE8o7tt+C6fn/ GfuuJFlyJMn/OEvKCDi5/8UWqmoGj+x1y1ctO4Oa3vIITwSIESXqS8EWxQfP7CaswDD/yOxSqjK8 OLNmL5hxH5ubw9Kx9Do/3m7h1WiD5gdwNhaOTlyOp9/mR6GE5mdqVoHCyDY/r/FSEbQLue/yXVZE ecUF16kARAm1Bkk2zs9rtITlI9OdQfIrB9tjJ0U8Vxlyf+yxkiHrQEUjCBiRDQNEl6JKovo/r7FS QTeJ7bpNfrBwSLzbEpV+fuhP3D6vgRIm12riRvMACHLe6XkNk4pR77ABpkHraUctyQuZbFrHzqWu X4OkgnOf/ToqNGuw6Tkx0clF6bzbz8Y6536mtCxKwYBQQIL3Tk/Wu75PT27Cg3UN6MFIEvSESDTF +YEM4uc1Qiqq5z3zQykSACk0P6/xEbcXgqI26uXgs1fGcDvzShKkfhRr/L5GR9heFPnc0A21wW99 ADDXotTlPOdYpuh8AiyIFnZAzQGZov21KZv2Ghzh/MlSAO/bBxXiTjIwswpxtX1eQyM8Xfb3+QPM LERjNUGvgRE2Jdnl0NC8cfZ2pavdXAa8r+Zkn9ew6EzQ7IKApe6DHc/negJOg41MOlFkclwXPNwz TiNgvNttZbJW+RoVnbc9k/1CzEDmD6l6bptVPq8hETfLrwP6rKeZh6+g14CoGEkBXUUzuKiURvP7 izwWCO9MNstocBFNUFNjbmYf/P6C1mupXvXOK6FOwh7gzyKYE6/qW4z52msshC3GYjcaWhxQklqq J+UkwGo/V+TnNRTCATa/VxBMokfp0yboNQ7CCU1HgEW+hrSbd7cJ6nLNdQcQixhfoyCc0Kredq2g flcQywowoSOk6RxJUMbHCoLK74kXIbBT0BiyyJp88tcoCL8CPeThcOiD6hwoUgq/fOKoz2sMxFfs XxNEDOFOvoJeq5LYYkJVjGvavEq/JaRWvMcEOpPppAVndMrij4/ig01QO6+e9ia/AK7NbdJst2NP 5TNDwJ6gFyt03KJFymtFEhOELkAHylXDlBss6B2FQQVkRj+v9cjyiBKqOoelcQKcYhP0Wows1jFB cdgnaGdbNhBv2F4T6aQ7UeEijIFIik4MpzlcrNcCWLTTG2Uxa0OUP7SCFqpEo+cLiqNd02shsohy i85bsUH8CzREpaMsNOprGRJnkDWVUMdgxfYEIms232TvHDrcz9QkgtewIwSK6+1MqgZwjoDnyE42 jCaJ3Td4x/rgyEEQxZomiQpLm+yLxPZOQv5f0k4/vzTS3yl0xWm+/6tsXUleEBa1DJBXo41mDQA9 Bv5PBzbLJikKpsEaOAud0B/lGtOF5KBEa7KNjYxs4/JEkzTfPa3ayWPLybynUS+K1PXPJKFHk7BD rahdleXb+0bHNa0atlwtdr/V/+X5xvlrPu/8OQbU+WuSAPCaAOzbJL1H1EhTyN5Zt7KW1nDIYJ6X ZTgfrEkUUm9iTE7aOHy4KHkUIcXuhSBBErMeaqA/UIP7gRuwR0RDWN1giro5fqTpg87rXQnMwNdt Ph7ERN1vNIoVLISrN2h8p85hYnuRLPrFmYwHZ9LcY6+nWpajAoIjG51HMAha9uFmZQPoq8o77YTb rZICTKu9yaCo7Ee4WcDv98AaTSDsNWrP26C0DAr7gncVYE/DwHr4pcZqCSpFNP/WHL1H1uawW2cd wyGVdVrgeCKdYoHjyfAucuI9tM4qqBVKhttgBf8xofqQJd00oVVIW6cExNJJaNoPxeSsLzJVdwyP I5J2KjP7gROoGqISWuuskJ1D4fNOmrPAyi5+Vj+gmzEQp9ksReE1arhAL2ffbBl8W0WPVVkJVhIt YZTev8fXuACYvzYVs1v3zQapPoRZlPouUHBcNEYdqFiDVfIDwWs/tXk0ft45c9jR1P6Gq4EPnCXS zahBUUcpn3fKXIFw3vg6kDIaTkgdfZLCELsahtIjyC22DoEA/bqjN5yxmqQoxiZaqZztNHzwri10 RFthEQQtvLN5ieqCoMwkbQOwGHPYzTRHeyfM4a/kIqpmtJuvmNxGzZHfd0LVzztfrjwC4CqDVOo/ JU/T3tlyyHyWpL929jnaJo0ONrfrfzZ6dGqOojAbMQ+FUKYPjsw9S2YjkSG6OM8lJSygViAtfVbv vDIfZ6F1ve77HA0C3yBrqWEqaQeKchN+esJ7Ph6EkfNXnJ0ZRt45eg+0dR9RkMEVm4iIMLl9Iv9J vVwozakiG0XakhkYmUcSBy/IQjQ+dWqknjijNTvgAVTqwJNgB92WUdfbvk+Rye1PUgY4qFqERtcS HQXSOlGkvfb4mqJMHsN+9loUa0M8EfW0phQNS2o6PbXt7CbElZAFzlEYbPPah0iZD3ZsQ8tlkKUB iYE2E02Iz3mNojrSJbpb3er+1OsGk4R0bVLPyQYdSBuKdUxMiI+Iom3BZG86AtlWgIOs5BjF2nD5 otmni+0vetUpo10mwf9dVHunx3GOuMmKUKblC2U6+8mLOqtG0N5bCxKhiF03lnqjRrsXrYkb/bzT 44rLehTU4WxQiATdegmAnrvz886O43nwq2wEXeudb1k2CrWJGEXWn73wWFzXGtbxxqhoqS/LR96p cUVeK/QTuIOjAQvaHYO4SRB5SUdGPw3YlQx1h0pupB1I2d73r9J+EiA3AURflJDA5EhqOhA/eefG 4dAv39VHHJI0abFZiqrXsv2sTyDZZGiBhGSl6Try+EttJb3H2ijuUUln8Gbj4FDAgkixSJWwoK1G ifTRyDVDOa2iiej8HC2l92g7UQMKtVmr1NLzged2h4i/QGtlfd55cZzl70MJur+tERTBWQrDbSlV 9OH63y1dc4uVrHEEwf9h5/Y7K44JIMlLAMHYYPsNTV64NqE3C0wSdKgxSwgRMmQNAIF3sS8TPnkn xRWzJJqsY9pgvX7U7Liq5qyfd04cD/76NUkoKZGJaJMUxds6FODL4Wlb6o5UzmP4hptI0bXhwlL2 opC8OM4cfClBooqiiiwAQHeVQvIAmpyzZEIE4crJyrbpnRDHlSC4FsUFOAgBWIapEp+FsT7vdLhi zVXN0bJTCR6rPklhNZsaEcg97VTaw+QbS6f/vHLbvr3c/86GK5K7QAG13MGjpDTAsaO+3gB2C/Aj ACWb77eyZ3mO7qX3DcIkxkclEzzCwbHchYwi8APW550Nx3B9f88SQPZ93jjpnQ1XXAL0bLPtZ3e/ ZZK9nEbRGRJrlt7jbUQTjLeHZHRGuUV/VNwalyXut1mA4abjDrrBCUyTAreoa/699L7vp9Ji8gYp UR9U6j9nURI+eaz9eSfDFSPX3PwWVOs+n/0WBdw6use8fnuzFgcgqdbB/LZO1v1xKL0H3IiFCTxq BLRxcEDb+XXralPG1mtPc20Erkr77QEns9/O143mSBSvrQHwRsaQJ9Aolecg+oGfdyYca8u3N8I2 wVCp1GcprG0vlm7VvuaqopeGiJXpigxleDjqgnsPub293xY1rtu6Gtdt7vN/o6iNWQIdZMvBCMff oKbGzJd/OghMfifC3Zh7NNK7hlQ7CPprJ2a1RkD/vPPg2E3M32mJTBvvdotCbvTbobg7upe3Z85+ KEkyjgU31E+03d5D7lspUX17PvXtDlHzSStoCOfQAUYmD0hUEDbJ1lqqFFSyfGfA3c1WC2tKHFRM OstIunTtHBGfdwIcjqRx15HovBu7zQ/udwIcwqvBiRnJIe4mkiqCbrfdBs0NS2/f+W+WOp6cjbmr BkfZonPdN0WuT4p/DqhqVMKzZZDOAljgkl6Y2s87/a0IMgQ+V7uDUrcOarREcHb5vLPfihDT1gNg drtBFPTM7Z38Vtxpt/PbGCWN2ocfSMVk0+vZdbfVHxa3KdqxG88lDpqiE2ANcHMp54UrLRV68qJ3 RzLFD8uT+Fo2+4teN5qjLZxR8kEFN9SChxrUk49Hh/Z3AwArD7m2z1EUb0MAm0aEzjelkrmha2VU xE7S9W16571hG1Bft0u3g4MdR+vEQwAuyiQ1nV+8S7MCynWwdp3pObObvW2QuLGQtLsX3ay2jcaC dHIQZn3eKW+/jmxTeYar5t1pUbDdtmCi2Tnei5YLRGqzryGkNuhkAiC/E96enVaIqOFgU3Rm9/z7 EutAqrU3HeQ3NV9ZkUfN4RrIJ73uX4XbLhswiKv+iHh1ZjkLA3Yi8PJ5Z7uxTPJdScIV3tbya+2d 7MaU2nRMhq2jUq/YWRGzi74EdJzhOoqK24nSgotENg1+ZNd5DgmcNw1XHBzNMI8dPVNaN5V7YrNA /XknuhX3bQRc3QedRtAVTzqER/m809x+h9qcIZB3CX/kDL1H2jKvQ2bbp+80dbLZs00+Q+JFaYai wnZijaQLJtofmOhJlcE7ApkNtbYCVWvCsxuuk4ZaH24nb5EwHXmnuBFGpskhEZeDTiNoEZBeCqTX 553hhvVwoWtMXSD/tJOHkO8EN17XTGaH+8fDCdQdUjd1ZZXX7ntih3Vtpv1Nogrty7MJqNCzDai0 D6GOTcXdTC/xDGDtD+VHnDJJdN87v43REQ8iaMfZoJAICGbeoyes6J93dpsviaeQdHJHKKP5JEVx Nm4bFNvaLbaV5uLxY1434gK5GU1SWNjmeVTVR6p07/mZgrAlUPm7Ikjc+wjFM2WCFqhdVFuy3F9w 43dmW5Ev9Ri5M4LMuLvFAYSAqrhP6DR83pltvMXL1yThKJr15rXvxDYc2o1ysELTIGOrRKAxhNzV u/9nKpd1SN55bU8bqaqNVG8b6XwgmuVgQAB1fI5lqQ9mKq4kXMel32pbZkL8eae13TpS6VSwhhvg j/CP51+dc8mJIPP5KLH9riMNbF4cUzZLUaCN9Qt5fUPXsF3iPMndm1fbGtCSmqWotj2z2e0uHy77 DxRodPfZtoUjCGuSiB0hzgKn2vE4N1Ee4J3SxmiFcNq97mCNpJbk/g2s2eed0caX/IazUR+2UAuH gMgw0l7Cs1HmjRGlLjEicgGLET0ZF7OU9d/5bEXW2vDYY6Td5o20zz/jNM3kH5Vy7jUIEaGRhLuQ YJs870qi9MLnnc9WTBUWN78NZpJaEc8vofvr/LzT2cojWe1dkoL9tu4khUCSIsMPzLDuf7nrZDJu EVhTLL4BslGxkt7ZbEVOETAkIqitfsEiscnIY2OFBKkDFlsGTg8eKZAtqbcDQAb5553M9mw3WaUX egLwKieRg1y2ltbnncpWjIh9Y23cFX1Mv93emWzFLHdOIGlwbJIktabI5nFBfYDTRCF9J7KxoSqa u/giaT9aZidiOgf0ytptA0he1NoS8vBC5XyA/G/S1vW+wclN48+yJFq9rF9VM15QloQbqz2Otr/D JJhItXmhf+80NgvxUV4u6mefvLY5vB/uSGrCndwfhwarSO8sNu42LiQ5gNfHARw+HDQxt4V0lnij uTX4NSflgRwDrkQLAdgR/bzT2AiRZYCU6CTTPVytRMp1wSNL/byz2Pj4/kpJwCgjnsMmKSxtUzJ3 dtPhgsrEMiPZ2UfyUtu4QvrvHLbbkxzkrmuwSQJt4PzkqGqdz04oTnXFkpC/AAysU/t8PBWSdwob MlupKbnkm/t/dnCBpA5/TobPO4ON3YP+tduwDvu4Gck7ga2YCmxNKtTSwpH4T6nA0sWbsST5d7zb 3vlrRS6ZG2qjwwfLSNZkV7UzltzQqBH5odHa5EQ0KCpZuI1OM78jSv7Jhjy7zAdN0TwfyuAV4ObP O3etmODBDbdxmbZ9K7bv1DU8JZuBUR0isdKFkSBdtBoS8nebojjcxoEEOIQPntmuBusqtCTP2Z9o B0ZEEsmiZ++sUW+wrVUUFbVR+ENh5Bk0RRuQKn7diTM+76y1X51taW6sH8rK2BRFwbZMUCz5lxsx NcwYR+5iSFsoSVhe+05ZK8lLkTgCbdAUwSToBMCFl/85PyaN6zaxpeix5R+U3bzPhgv4885Ys6xr jFmfwVYReKNNJaT5eSes/cZsEUSKAHJfyFYLY+1F/7h2dfN7t0Yt0MjVGP8j1WHibi3Ea7Nn26UH 3x89+AbHjQIuGfGRgEwI2d14DoCzMas7WwKFz+8Irn6eQp1aIhoEkMA9w4ASXTw8HsSQ/TvQhlxA y08MGQbaDI/G7n5gN3H6xKqZBiE9x393Vk1U0U5FwSPZR+0xkW+IiBYCH4pOIikkqk32e0A1Zpza 3tXWVgtBJJv5A0ySbFBJu8CQjgIjrQw8HrT+jfhoWw1BFqUvxauJ4mxKSZZdXPruHNjzMdqdXkIq ZZrpSX+PsxF5ZNaO+vLBtxrchKCHSv56KkVWqgVUjK766/QwW64CPWY+UlZD8pw1+xSd4O5EWTqN Nh8PiCP7O/UH3Ku35ndaDyvapNbk3peXIqfhJAsUpYpPESnGnKIIQzK4xVanaAsHm6IN8Z+yCLNN kBgFcgNlN9oQ0zsOIbyl/sleN0hFODmtEhzJQVsNnDve5tBywOP/omfxNIJL5LyZSA+j7KLimjdG Kl1wDRtRt1X9IVhnANIeVbRVHqEagQ0WZWPlDHKlIfndIXBEAUVi8Jn/F/qWWb2WCJIeRdmTeMZS pNWNeanS+7HGHf7yVvB8wIL8hfzL6LNDksqnKeRByo44X1hbouQozQQkwItkpMPMVslIj+LsyeZa Ib1fg0O24Lu1N1vaDYZVtOMFvX/T3AtV/3ZxbZtpbY/i7EK9u50pq7Gl2M/uCCRRiVU7JyAej7ii 30cS0dr5NiJ7RIYckoDe0wXyV602SZAVrVZqQwdIyUj/G0ICyIgPNkkn6YDAHTUQMlCBg9Ij7RxN ICjA6eTxR6cfGL4k6kTSMAfumBgQLUoCAT7lFOw+q3bi+eBuu61ILTtg/5uHSD0MtFFEKnTYcTpb 9UDbiDacpJRMKaKHjEgWRs41VnzwjC2thbXCSUKjRdIbHffUquho13Klgiklgi8J7n8G5yd6Y3IL iSGW9AAPrsK1nQhs4/lov7VfK6mhP3JPpbCy3XkqLVZCMnXFk5nIApiPNI0EiTks9+9/I7YTZdk0 2KkE51H4rk+qR53YiMz2RAOunBvqkTt/CXFOvW9URWKULamIhotYcvZns51Ij2WgE4YVfEDEI/ne cCeI+GF2adP0Hm67ZmKj9aYBkpcEt1BTzFxACCWpC8K1FIXbe4ndD5k/DQ5HmtAByUT/47Ye4JJt GF3DahdwSOAy3biDKpw9qm3rghvCSHIQZguCQuZhmScej5L/76P7nF8/SCl9jiLQNoHl5zikVHJi 5z8lq0fWSVEy+QqxtI0gIEKQeP2fspJVGUIlZwvyAJT5bvCNR3EqcY5oHoCNWbXhppeRKr4liJQm cto+yUviYBWS3Vj/AlWSj0cIye+FlHkgnR/QZymMuButmukXZsW26sW2ma97JWgONktRaRtfBooD j24OXtpeZ3FWQM/RgAYultYmk25z9O2oVFdTRXKQRNojFEnnvY26kQ9qJTH/5w8zy8Tj/6ojaZbo KbR9LY0o5kZskqlxYcFSqlZ4g8jANrYN7PAMlDyi2vZkbXuIK8HhVgDGyXe3UrcTfmfpkQBFjBL8 mdvq1DaT5R5RzI3aNItszQeV/wHD4M9yzraMx4OY+7bbuDUphX8X0ohibq55lvctUBqsEDNQytOI 24CWVAPajLCyLRv5QntdDjZFsJ/IiEhJ1wYPnWKbFZrv7PNxlbr+GIoO+JK/CO3wBOOAMgcPW5i3 bjanoYGL54PS9i22NZYA5s98eKQjhG1TBKkKyoZJonO5SBJ5+SSdvGU65z8qbWflbij2YFDrC4kJ yp1nuaiSRFH2ImIXEhOqda103QLw1+M7AhApF9BY1JCEJoFiybkHOoKIJc9/8HjUIvF1JK3N80al 3nUUwkgoqLWr85HPgbS3V5JoPaRKEgF63GpRwL04RZ3eVGdgO3UQ+NeQPUMIFrEkav+FLqmwVeqJ P2W+9z9udnxH1CDhcSR1tnrV2cbmyrVUEY8Hx1H9btoiq+793mwjCre7KBLJirNgSwzXZqEdklEk 4MOkVRSF29LWgDiED7bVCiPuVUjZhqTGmizZQiFskNYGvrkxbSdpbSMqa+s4gpwJh2kIPvyciFB+ 9Il4PqpIfp/ZoNN3YI1skqJwmybCFRYAxtmq8+a3drGBs4UEwCYpCrfXUGZPDYD6ZcwxYVI5cLah /V9gGiQAKd5un287x7mvo03q/4jq2jPJUzBXH3T5g4XEHhDUTvB4BLXxahuRyLgj80VsjRCuvXga XY2/c5A2P43G3D5FZwFUZSQjgmsXdti60rb+pG1gpYyNCFjraA7CBFDlRyUHVMXyBdauetuozcbm PlSsMDAQ4q128mTpRyCzHWGgPb/jo8L2aPakbUR1bbDPUD5aLu+31EFi6b9fDZICSpdWURRoTwaR Xb5KZ8AUZYpF1r7OGbXJIK2gpebmBckOSNIiCllThB47vuOv4Ogc/rz/QeUVf3icUD4xL4EPJp6P lJDuHMmrqqP5cLdaGGlP47I1n6TeTMFdiEgTagHVVJMUR9okI2VJ/jgDFlKRBTaOYmzXxUJiRnMC b7zwFTju7l5jCDnCQBtnGLoSCwMKdvTsQfwGwgB2y/kVFj4gyP/r/L7Y0Pddt2M7YhAJCZL8o7Tb REai4FgxGbLay1wm6zfC2naWN5e6261ens2GvvNYxP1TTnNSKHIBFXGSEoRiCGhU/986tcPatjTJ t3p0YFcW9dcGza+xls51jOejIsldS1x459vnvHfbDKvbuNvIPTcUCQQvlbWdQ24tq7ctSKmwADBj WT+G2El47fTgtdsJYM4nZarYgqffiPtfFIIGqECY9vFQbWcIIqFiO8yKfdCxnaDbUfSjVDwezNGv xHZ1GoH4QppRpF0NH5Gr1Uh2NvF/oJEm0bVczKwDnAhphtVtqZAMnt4cPNIGeHGi6o+O7YmVNlXK Cg0PE8XNS38qt1iB+JY/WwCquHKwxBZ0pK3fpODxfx1KrLYhJn3UD2cUaac6ZMzloPZcXB8BfEw/ uBeAOxKP+psgWYrKt+VyI8BFKrg+ZYiX4FvMM2mh6Jqhw0W0pCNtKJAww/K2mFqVcFIORmtLVfWS EwlUPB5NkgcAlLsbJNbfSYpibbqwltkN40/FFiOQjDmKN7ZXc5LNDIvbgrMjrrLB0X8bxFGxfmD7 CsAtN9v5Uzr3YOmXQEKl9hlDSNgf2UxHOGiKYOBiCltl4fFIRfO7sY1zfvThwP8ZxdoV9RFIkFgA AKdLCwCYKf64O8kVIYti7UECUq3qE9V8rV9P8gmXKXJstDi12fBbLGjRZDSXXQaZPlMzZEcmS/27 D4IjY33y/D7TXfF40JFs36k/FFtGvTfbjCEkRLLJB4FGwvKOIPW/zGU327n7im62GUFI6CJRkNz5 cJfRhvqLDiSwePeQgXdG0RSmy6PeIInWkzMKtSsLbAPvZYMuf5jpkM92bs2Bx6M62zemDap5o2QP kWYEIRmU0169X5TNTq6yQS9UiyOXM5FnVNdWOl8XUe0cvEMCOl6bUiJpgE5wp6G39CMxqS+RaFCm 8R1/liIBn+JQFWovxJHMEc9fy1cMEraevlYRSOJtPTst5EUqq6WInIRIyo0i1+jNpqjOZFHkDPX+ Knv/FF3X4Albh3DISouhduNFhylCJRuqj4x4f8mQzaikjYwHt5n8NWb2jQYVJ8myn6wEj/8Lq8XD CDItZ4f6FEUIEjgTAUHyaGru7m5uiWY80tRcrtUyo0B7ZONfFR8czwb36TLIZz/HxgmP0R3BNXcC FKAkqS1xK9pJrxvAkJMKR9RlRVP5R8JYZ6olRvwDISk8HyX+383/SqHP5c3IGbIiB7caIdJiji4v IJ3UajlYm5of2mqhEImKtJPc/+H6F0jZUH7smZOUAAZPxP0vYMkok0V5LJ+kVfS6f+lH/H9cLUia yQgX2vF4PMLZfFeQCPyuydtsK4qyEx2SVjMOG4SkhiPakLPdjM0rSCvCkAAYdFYQol0bvBc517kQ oKwEKNJE05BRNooJHQueBUlJbIjyv0IMCYURe1Y9O19W5Dwx5BKGpFY8/l80f7hxy9WzWVGU3dkY WbV5yR+8SJuik0Emb9cOP45WGGVLq2XLGPBxujuBIbofqK2jftQS5wHaUVChpc5h3ReJBEw6viMq Z1PGzk6lgqRNRzaOfyZgfdeC5/8JacOtBubovnCtFWpoV3K1xnBN/6qtJgnb5Rq/oxSfoxBDsoho a9Sw7TJTbtYWObsWThsg16IbQoJJAj+8oTcAwsiNjc6GxHdENTaaSkprnINgNozqWas9kS4e/2dx hH0miLSk5NfaimJsFInQqs0eHLXZPe1fy0qSwNk0a/uvEKjdiY1AW8WGe/OD3CfbgxNjDYBSaTdS KSNLmZt78xPRtsJ6NlVszzM8jfDnSRIDNBc5up4AteD5KKP91V8DRhvlTJ+kUE17EjWyWjE0+07m cnd2uepKQLODFWOTFNMiCQpdnCuZwlqUfVbM7Jv2m9B1Fk4ZXWHopCKX7k8mQuMOfElQZ2MjuyZC kipSyGox5Fgs9GL3ZDwfdUb+F2MLYotPUhRmJ5TdQdLzGBKa4LbbFCvr0B4eZq9Qg4RC/Xsw+tlO oWbTH6j/RA+ycxkBEENsRMWr5kHZnu41bdJC+L5/wWx4qNkgXsROU7ItZ//yJQMAyfo+tgGGgsqS T1KI1U4SizAWG6Ml9/6DmZrlIhklP01SGGgzijz3YfXBo0jg19umbgRiyA64384ZXPLNmdrzmkmy /IkvieZIRZGkaDKZH2tDd0qkv5QaHv+XKjJLBFhI/aqQrpAZiWQEtAevsQ1RbGENUYidBFNrMCzU HIVgbcaPuUk8qn3JIraVN++hRs2Ihk284R9FbAKkhHAiOnxk2vtG6YhkSIukNhHj8K8F0TkJrlUq Hg8O7itmw3LtRIHvpiMrZkZyIfXlHFtiDk04In8JbC7rQ66wqE2mFipCPthuW9CwghAVJgnJSUHe mxasZlBATBTOtWZtgbYd3zfQauXVD/sbH1QdWRU9Kt5ugy8ZKbSX70mSgMA9t+Oatmy4zTYLfdt+ O5HN7aVB2zOCzYoVtgVAMrORi0Wm/vtK2PkQazunU6XFZEJwvCEplYF38ihp2OtGLSQpa7KQxMGY WqmXZnblFY+/z9G6hHaWCSBoN65U245i7SEzUJg72xytdBeSz1xVDUsi5CEvkihkyIH54HX/Ab9q /AkA2gJDTKeRBi8LwLgg/Hs9W1nR3lGsTbgH1F2HD5wi/MRC/QFWiMcjgo3XIaUShApSu5S//R5s u8Dm6H34ZqvNaMgnqBvlxyVI2AvAHMVuNQwP91w+OOUPSPYqC2BkbRuCmudEgjP0SbvwaRf1VxKl /ncI2BaZTRpk3TXIwHkZi/WNE+5VPB6c2o+6FiPrcxBC2sInKURs80SCZbRN0hT7DwupUMWJTK2R XTx6x9F2Uw0y+eCR5NlRUFYuykgGSVUEkE7klXhh2KO4q629blRnY9t/M9xe28Pt8/GVFmlwWdl4 PKiQpG9UeyZc86pH7JAWyeR/u2wd+LXFddrqXK7T1uRPijmK0SPKaEmL5OBzNNHpqSrXIoCUhTlp 7QvL75whF4k8p71uJNSylLZlH3RoQzxIIuQbCymCjwC29BVtb95ufv3vEK1NrtZ53cuOWCb9w562 y0bl7QLbO2ZFvhfaGmL2MTuNNWqlEwlCpIXOJYXksOu80IbuA77jL73/84PxzIbzkDTDzpvmJm/k PDee/5cKCVvaMHPrz14L9f6mLGuy246akU2nwsZw5wiKbWiOQvQIYSO9ypy1Xk0kpIGpJxWR8iQk lQbvif4Pmy4Zl++PAh2+IwiQZBkhvRYO2moUj+A5XHFoh+iRfGWjBrP/c7GBIGVzFMFHcCdjYpY7 R7SR5p0j1/tHlcb0/neo9rfEPRbCZjwIm4I9S+U3zBHsLNgamfRnAn0bXSDvZ/eut408tEiMmEQh cRAoEsaWQ338xcejlO0b8w+e4xcyYoeRtixs0NyzrTZMixRU9rvVirTsOz8paLCxFtlnuoMvowKX rkbhiLQKRD/IZ9vnjjozdJZUu9KaZIDgO4IQkiCkNpmwcdAymo7TAs8JjwfX2u348wbDHZme8Cis aSeB2eaVjWLZk1ytSWFjKSK1bL61+2+YdpvP4Cc2tFjWXFpF5exIIWxQwmnIlhHYOXZkT71tdBpx brIYJNnhxwPNqVmtrIfHg/JI+uYfQyt75Ss/sqMou4m5MbetogrEkKZo9GkTB5zW9I0WIUcmk9m2 NEXrmaKOvzxVQmtBIZ1LjrUb1VqTmrqG2qzW7r/FRyigaIOCbBTG+X+0E9Ph8SDtT+U7OBpSQ7p+ CCkKsxvBbL0VU41ASW05cGTxCMICZpIq4/EUxtmNGDW51HAwFBL83YHsxLWGQjRw8fQXRRGeHJ6K QKFOWbSvbW8ceI51Yv42VZE6BR2szwH7S1aRWp78gP9iOwanubPp052pkB1JldZK96j/E64drRni Rxr0J0SMWC1biYTk7iDaJl6LMbQG57SBLLopQgbMP1TV6Ky1cQ0i1i3Ea5nmD6NtfMsfWu1nIbCd xIEr6uxceAnhC9fMfD5ISn7NU4ak4fklrxFJCg1tkNKftWcdbKD+V/KCW1/ekRzod8oaIYVwbeIj 4Jntg6UlKBuNLZPIEwCc/0f9aOi1AGmz+RflCyKRFWsKS9yNhcnWZdIOHKok/E7C03jLQeScHxDA 2vN3d7shoACH0GcqLHJvltpK9UZAL8V7t215extVt+UzFfpFli7Vw+mDzRRBNhmdtrP5GrqUacrc FzpSG0EyQJS3fVvsjSOoJGeq09qmOg+jgt5DHuzZxGPw+ajv1r9O8g2C0/cpFcXezGrOvVMelkS9 pbeanSVBWq7tvajSLcEtCI74YBMFsciyqpykCjiTg6wkIMth44VyfncaICTf7Y0DEoCEtksbPug4 Pz/AkJbUhptECiElvzpLqF9jtsqdqbDaXc1Naht9a/Y6jQk4My2kICeF/14ot5zicjexEiRnafDA AEoDKRuhpPe5CJkoCH43ddHn1dyCfpC9cJDvVrokqRPHQROFOJWdE0hf8vnIdKt+bT2wwKlL7/MU FrzRL4LTo7dOJsEIFAKSBpYRuECH1b0XheGT8XeRnyQHR7olGBqObDaAk4LiMGqHNDV1DskctOOc /jH8mr813Hj9cVD15PwSU3X9M9l8PmoM+ETJ6O6sQiib3ZkKNUqIwTkRzfImU2bRZEmgdNpMkcxp h1RY9+ZSOnfI9MH2XjqROLQAWK08h2Db1P+d/JWggv8DbpdXUECH0ddEIBPEUNA31VDVHYDx7+aJ 1c9DfD4yBLgXH8FKZ0tNpEk+U2FMvgQuKcMyu05BFUEokuNMTlLQknsCRlIlNQliKpmycWXKzv2J WG1a87uCDshSE3jd0Ij82TM/wMBp7xvpS+KMgq6nD1pR519l5nxCzjb4fLD1fmnesIeZHtyb0Izv gUmXOIBDTU4qlqwiN1QJZ//7apWyXPDXitpiLe95V9T5R+ifVXJM4XXDay+jwf6DwBZ6JeOS3mqx F44cXQoVb1ia42ATBaqGutqMOEPbm1+Ny4ET78mCc+gxSW1KUCe8BN5TuhYTgGMpDa7Yj2afGOJN aAzA484Gz4OJ+Tk/Bt22q2iZgL9tYqv2D91u3NOFdts5MJnEqmWjYM47qFiAm1lQ0zQmn49kFH4t KDjfAQrs8xRyKDPVgdXWpbTLri7tAs2LW5kb0+cpiswJ2Ab8svhg6PczSSXxK2i7jXiQnRcoAbZM rbJ5he9oV5L/sJlU9MSMj4Om6WzdJuzSKpXPB4H5U3hixAXUyRNExUaTck6mTKOKc6l0y4dPrm8l A5hDWMkgh06TiwE5kMk+2HJaE5V2IeCVViZyBDOvZDRJUN63aSrN3vcvgcA+BadU5IiiQZuNWNUf KMjw+ahfcImUnJIFQfBrwJ0Dt0n0wknJTdnrBk127v05xlnEJOFXyymMysl9n1S21+DLCX6fMPYh 3TQPNMbZLwc+B7EtSlCuyjWyve8fYgqTdAIbuJxO7DKKMDfnw/j8vxADZKo0Ginf5CU0nIT6HHK7 aruuwrfH6phGOWE+XOadppBPmaV9t7MP93Sid0ISu7t2UXJYUDjvh9938BTPj6RSDj0nF/vhvYq7 zFI119P5SRV2noW8+XzQ613pV6TZcT8+2y5S5jZBhZ1cvyw1k77FV1cXnjofU3zbhRG5yHCD7OXu GnXYdg0qDefslsHrmo233cIUjUQTnv6gmBUWBKaTOJ6IP+0MCzgIN3iug7REPFmFz0ctqG9sJar+ NT1GeIHrJCqahAu25hCUTEknIr5qtZZdxSFi4Moc2k4uaipBJcMH74qfNycFBclwmlIlQlf8TMkC urFAZdsLdipEhb6TuuaaEhgOyoWndjPl8Dqfj47x3xhUaCrMZ55CEZPOpu/Oy3PhmhWEn51WksXj A+mTz1PohEM0PINiG7yBcKIOuCwIYrFPLkYZ8wzc9MI/n/TBxXALUk59y1/X3YKwmg3CfCOQYrWt nVOEz0fUgV865hutlvmcT1E0rtfkPW3rqQzjDozZi0OaUup3nsISue76KthOvbDvAmvyk3EN1QxO AIU+Nu2VJ22VClAS9eJ1erM3jkTx2UgQuKmtWwI+R7lsTPCr8PlIy/y7YFcg+Pao4ufQgrI20Qa6 pgR+OGwlIMEDK0kThQ3jCV7sQUk9nNLkQN0eB+qdOmy4LBVmeYVe5qBL0lyDKNTfeUtoQlmsjcD4 qblDwQnHR5nCEeTZ+HyQtxRHWxBUnOH5uue6xZXQiBL+IaAQzuEzJRlTzpS6eJipcbWocuhEyZIJ kJbVB09cUIY6n8YecMcp1KnWgZrxgh74pBCFNaaave9fsFRAXDRYIlwnqc248crKfD6SEfxlHwgF w4cel0MvSop8wJl4m1p3H0R7ZWqaUI2KmiYgxdg0xSBw0nYyvbo4eG0Fd8qi+iSUPM+VBOhqphHz Qq1ipmuzsGTXHXpR6sI7t83ygfsOTeBpPc4++XxEbP6l/ob7oFylhRybUTINLorHNwsrBi2EsGmW CDzMcdZdTWE8ztWUpZMr8N2PieQVyOCrVAc3ecSWWLWVUouguK51AYW5JnvjiLdLbIoQ4QvYmSlj 3AI/CHLf9tr8gH9BeOS0hNuk7jtTUZ0cZmOZV5KaVCdG7K7anScFzzcNYF1xIQeOlOi2SkdQxbp8 i3VnV+PyXFTK6yfyHhA1h5NApUhfEoHhufO2vXEALeDZdCKYrCEZiWIj+GMJ6vxMfD7i8Hwf5Wil jKfjmUNTyk2mUyk3xTMjKjSOtmQXWTFAaKOYPHClBDhC4ibK9MptvVSoLwHwLBf4k+wVugmB7Qzl bBTsYJFkZXIK5efYljKTo1IJLezcNZKnKMBR4nQ+F+HkB0R8+V9qORvdv/nsvVDmhBPVWrlGsCzr CYTRy83xcJLbRIVIFQnBT3IwOHhz+NxuGSrkZBae82owF6ZAZccps9f1yUO6p/f9K4aak9bCHKyy UpE+/lBKpfH5iKjiRxTux0lxqq8LL4rJUbXBNHXHqixSPgTC7CYOC8ec6ZW6EgoLcuPRetwGz13O Dw1rQ8SaCSW7JhkLGG42Ap7yFV/EUaj3DaAYpAAltFVsUKgJZGyT9VLdfD4Kyb/tKQCjoI+oT1NU Ih+1PuQLc2HwAtQSa554lZ2bT9PfpjlnUpYPvpoAFFs9McU7wXLHEQFNiT1PSIDKVk8Ee3Lb2TyF kBUC6NAw4JCNcnpWVppsBPSa9JYR7Pn7eIK2KhzG7jxFIXmnluciWETzlIyTCb4KQ3IKDJOLyFQ4 sKdEv1PbjZkeB2diQrF1bqZ4J748AaaRVc/e6OfY+kHIafGTBOFyaE8paWGqztigyspemd1tAJ8z n48Op4tYJRoTZYtVr4tnDi0qqd5JUVOHrtS+rWYw3bgS+gDAGGqiYlt45S5E9nIwVAZ6mGkY8blD bwC41nMlnY0ygZrPhEBN+eaqLxy6VA6mLgOgNg5JC+pk2Eta9CeN7Hw+qvx+s1ZAiYfhkc9TaFM5 SY4Fr9TOp+FcFSDUrlNF2dWP8dinklS6tlv2wUp1ENxuuPVY+YUFFrdoQvDdGs0Fr1yVUOI5NKqk GJOKrTbYMV64Cb1AHjhVfut6s30+0Jx6oCuhVSWP5xPPjusKW7uX6iCtY+cTzg2fpiggFy6ztXIH 23cwVzphPk290EZPlfSeeZKYH5aqMqD8F2bQ7YUjphh33Ch3UAnqzJKKzXXPzef/hV6VBggEGa6K bv7DrlJS1dUbeJlLTw0XacQ3OcN6wyX0q5QZUxpqdY6n1dmpVg1V4Ubnq5yoo0vCOPyQzg7L22ua EAWzN47oK8xZFmVQKsyveLGigpNUR8U9zQ+IZur7xgPga83rEJ9D18pBUt2chjmEE0o2i5ixpPfJ FZXcuCLHtpVLekyki3OwmYIw8EATmM7HuPsYkU9irTBhP1ndhPrVPg+MK9HGbmoj8EhHBNRF0Rhn uptszUbmB0RAg19UHyTD5aJ9c2xdCTGBs2DqpR+IKD6JxaYOAZVQUANXdSXwrvRmZ6lcWhwc4wM1 K+Kzaclc6EoPkDXboqCj5XapdcAp61uisibLKiJrAob2I7wKkIjCsYyTKPED/sWtx3M4ogcAvT5R UUhOqPAJ1ZrR62AJlRzjA8VaIVcSQmUleaGFpWQaaENhw4PIOEGPuucdZl/oQQGRgU5rApg2w7LI OT+p2xtHl94gJbpXH1SvOxmBzmIQZvh8VCj/BYZCflcvDTGHNpZD9brhqrrlLDEX1ztXiouitJW8 sBn4WIIb00muJ/uHgwMyYO+VEhsvZ+eNzE5WLlJGR+H9sUIv/E35LdGtx3rdovtQA9lMHo2Anwmp hooZPyDKXtrX1qO3SrksuxxYWSKal9F3nj5Raw2H17XpQEQ2T22iorB8kNaSE689DraiTmZx8mFE tFLYqxRyTrMjhIVfKiO2W4nay9442Hs8pAo0w22wlsK5m6cE0lfn8/8KOAnfQDT2VV8J7SynaBtS ZBbvfvjWM+OGTYEUF7TKsZ/lVn2FikQcLD5AJQVuRKTVJ2jHovkAYXT6WeLFBV0RGEql8tDQsi9B VwiG4qCS3fk8YYBPjL74fBBvXvc4LPmTXVO88ImkQkw5UGCVIrVmRDiHuzV2cVoxU4wLBRsLPS07 qL0n8SfncD0+uxnNOxxV2HvzbHZUpmCGnqkXCfpGBbTdu1R92RtHEYIMrdSlGt6lAi9R1x5Y/Xw+ gLZeMJQU50FPyDeUCm0tO0HAOFScn0CYs7GlWECnrXXdHpkHvpaQgsCmm43sOw6aqHGyuJJobnyW LlS6pcpfqN0A9D28m5URs/ai9/0rgWmjabbojSjE5168/wQ2CIwtf6V6LPRBvOmJDkJjSxqHlPUY pKv5wYwYwvhO4xiErnR91F814K46VH/qUBCTOcdrk1LqHCebIRV44ZcdKPOdYOomekNhVGBtCWef 9fDKzucuoe/PnXouH8bpcLzmBwSXXvvu5qFA2pGd+URFoXkVeGVaMSVTaNlSmJVt+liJcvBKYG95 zZuTnHdTeqTA9rm5EZVREOTMUldls7IERD+efSFjqdj7RhhExpmZQGk0Bg2EMk901Hm8nKlf/IBI N+VmxNnOcnqg+URFkTn9m2uTDjGPdSlcEYTYlpfsEED6xouVU6hOuOkF0vf1AulIIBecnQkag9AM ga0bYKje6b13m3nyJ8qBw6Vk68Hb47raj+lugXsTEeUZYKjQ4vI3tg4HI4L8O02hGDgxY33P7a28 R3zvzM7ypicci3XlxSaXU23hdAcPy8faJ4xm5aBO+FBAyzBDyeNnwj+NrkSuwqMueuhyaWDNruZw t+bw2XSddAf2BCuf/y9uIPD07jk98xQzOilaevWczDePXfSRmsdQGd5zisoDo0sBYQjHuIOnxGc1 nsCeYpcdmHxguk5KTJkMSFWU9qXBR8/0HFpdyh0zD5ZYGM0vBU57psLbD2p8/ID3k3ztby7HAAgR gl8+UWFUTo8CE02Tcmp2r4sqdXlwORLSBFtQIa+TggV5senJwSYK3NfGOh2NUzr7S2c1NRixJdxd Jyi48F85p+fA8VIUQvY7Naxh+iD4ASg0DA8rPh/hoebXisroTdMFxWcqVCxMRK3Qd5zAjNG3acxO 6uRw6+lysSUVAViqEtxO/Fh3J0GcUOckOdO1JaB+UqusoxzxyEBxftHxWvMkdlBge4keFXFjm+kL B5EXT6gpAaxzdiw+HzUVrrgDVmJG33SxLMaJ+ryGUNVugEKnV229Qd1fyYTN7WpzhMhyRb0GUBVw KNY0M/WKOXhMnqn+1WShhh4YecJjENkE6UJcT86hwsu+hk9VNjp4jGLF0721zhxB9UdYqIWnX2ao AnWrItT8WQqBYVOs/+L8MMH0UC8Yddn1Y8bp3kmHHA9OIZo5NRzx2nDB9JhPEVwZbHhQUKXuxGvu 5HZI8YkxAGljQkw5Q8zE3ByWzqXX+TnhpdRTu+rj3WJYnAwGT4NS5Oc1ZqpP6WmarBjYtJ1dTkzQ a8h0noKVF9LfZPZytBSyK25QFkeuKaVaneA1YML6YcA02r6D7TLYdgN8yIAJ7itI4QFAAK7V3Io9 sJzEjr+GS9Vo5pMmbjbodkvwGeH8nEPx8xorVYn4aH4YU0L0BkUoTc9roFTN0whNu2Xo+qXqwKBE IkvA6pVXgz29hkkVtVCBoaXFl9ZFZU64pOYk9V3Yy2yg8M+OQ5YPuQFoY/r04Oz6vAZJFUGOQaGp nDLxg0kS9Ly9YKAnDsif1xiJJ4mvH03GBBlm2vy8Rkh4CJNqbGfL4K5OgSkVs6lZnM3yGh9VF02l m6cNfu9vmHRlli1PApzBaqJsGhGzSE8q0g3bYJuyaa/hUZVxLeTSevdBtbiN3gNPFTTIX4OjKvk7 TZAJGv6gzWYT9BoaYVduhdfFI+0unx0pprq/dd/duyqvgVF1FfAylb3Oi95puYGLk5iQjAmGGu1k EvBNOSGaBMr7djNZrnyNi3Bc0k6mIgizwUFObdoOW+XzGhRV8zvjfLAKcBbPzOz60i3qdYJAm9lC Dzi9taXlIRG42hYSUTBfBhfRBHU1xencyMEzNliRGcvnZFwTqkdoioORz5LKCfPW3WJM2V6jIWyx vORGDLJvgqQ1KzIwJZDmy0lqav+8BkM4wOa2CWKIiU95tthrJIQTutL2Q8CcrtPI+b9jX+1muilJ mDg6oZmpofTvg4N1FmrQmTIX/YwZpAUAntHBovXbyQPLja2b3vb9DpPWfmsq4zaPg850n4+TfNPO n9coiK84/Q7jXBYHp1IyMtpiMIQ7ceZ+zqBVvIo0DG4B4JdrN72WJav7WuciPnl5gKkJUL0yqU0A 889zola67AE9nNlhFJyJADniND+vRckq56zzAQKEp0pYAf0H11Qi2jNEQIMJcrqKgiCUruYiPZPK GsEEESABwZfkiIoxnauSaW/GsshAo0kaF1EQ1CmzpzMoP2fQOXzPzZI7q5FUNQfmEv1KXA8Jp0oZ PV9cHP2aXmuR+BU2qyFdisS9GR41DzA9Lx71tRLJW6x+3fIZvJI1mx9C7yw6XM9JwtLTMQK9mlY6 GkHN7rEBSL2zDaM5WqoY9TtclCXC6EpzJIDG4DrFNgkNLbE5kIP8lkh/J9Fhm5Fivwe90TjwdIZ+ tpQiz3IHezXaZ9NP6qz270lde76zFEXTgMLkc8Ffc7TBY5YNXVgQWOub4i/G5glnieWiIpJKeUgq Jx1LwHrjugfLDHUkzNJCfpRQVLa6dlWeL9R6dFxXOciy/83BGwCdsdtPO3/N551Cx4j6+zSCV9gE Xtwm6T2iNtJ4zVzkwgfQjJ0hUcm3630yc+96RyH1JlC+TZpVcvCUrDUwcauKtQWtXMIooK4wwMFf q92IaAiuG0xRbyZvVXzQeX0e28as33w8iIlMl2iKFrYQrlKXiFMURdWVBjJm8U1ub7IeN1g8c1pQ lKFyacCA4MiGSjaqlFS45OBp2e7nkF5FSF38DwpL5zw4/w0Dd3Qynyst6XWDvIwkp7lYzuagvGxB dEdqe4CfhoH1s9lISESxCCIVNknvobUE4AAEuETxJSwlIkdBjwhb6vitrUYbTRKl5HYiaomDFf1P WNpgR0i4YIc/mnq8gGDBEhrM2tsbmSo9hgcSk/rF1sgkMdFQlZulTEjJJVTUgkkSXeSe24gbB5in NklReE1NQGj6uSZhHjd6bC52BcUUL3+80+aqS37QINoGh56e9BInDUkEQGMknkgLSw8qnj9oAvix PehE9M6aw3ZJChxBFdfASaqzTvX2QIj4vJPmKqBH5dckAalbn2M7DLG3nKyaH9toYTgWYM+LBQDg U5MUxdgCl3SJWXDwJARwJzg2swqCCmSRp2VBElB4bRS32M10R3unzOGvbOqySZxwDN0NsEYtTW3b mffnnTHHNLj/yvNx9ifP898Jc8h8eCShWfjjLsTZYQB0+zM9otUcgROF2fLZg1W8D05vggAAPXcR RYLVxOIHOBeSlibtxVn0s+t13+cIq5zusTYYTXWBtKM8JHU+HoSRNxEhjjcjjKx3Hb0H2q4k23Ly mmyjLiBrRdSzF1kOmBiryUaR9qC93oTCoA1WLIKFfKHSA5pGADE3lbmhlQY2KUAUt2nU9bbvUzTZ 9l9Y6jaoWtRODMZrDmjpzztPDn9s8imimyQbyFA5sDmKYm1INrCIplMIfORkPLnzc89q9bQmbzTM URhsMw2ZtPbQ4K3aURhIyj+2rA1M98Y/MV1qjLif+r69bjRJoIVXwDds0IG04eaj3isgElG0nW9J RJ5WDbrzzUuOUbDdxNTpw8+jtbobNoGbbnutkrPGmmMYbA85pMzig+81KH5Di5bqQwAQkRMO6QEs daKAnadD8Qp+yfvVtllXa1nd7OzX/0lvahfAe5b8eefHMXpoNkeMOKFsvbPf/u/0OD/FoGI+vfBI KwEVHnN3V3Ti+Xn7v7PjqkwyKGJ1B6+sVfwvhIvoFG40G2mYQLdxEFNBqngOJNYe3+lx1a12yMfA gJBcGQnkv3kzwX3t806P8zVxZwmqqQNoCZulqHo9Cxv+5foREThmCNNtQo7nV4ILpVbSe6ztToRV WDcOjt0CKnOtIoApakeNvp8FMSnLaRV6bE7R0VJ6j7Zd4rJXGhLBDUqqsCgZFLk2jRNLft6pcVV2 j8/dVhDdtnrXUhhuD2l6WBqCCTM1tDNLtWUvsU02Qc6Z9E6MY/zC7lAnr4KD3f+IBU7OyiZRP3lL hW4f/RqhvHgCDqDg1xWroPbJOy+OFYANkQosGxus2w8cEw+lOevnnRZXH79GTRLgzpPu35qkKNxG hwXw9+oVgJSnLyXImTqsu7mU/DspDhueOrLndxw+2FKCp0gGkJStfviZ4IjPAAEg0p6QQbiFWvk2 vXPiuBK29JiWDwIBAgEtOup50c87Iw4X8NMMSXYqsZdnkxRWszsrSZRFkd7+8AJAb5dy0vouBth6 J8SxAEDsnxg6HBx9iwQFpxEKyAnaqYS+TzSusd/Ax57lObqX3jcIk+T9gZ6vDQbn7pKcA0Vgfd4J cVzuXkxipxuWPwAO2iS9h9s4lNjkn76ScEM73yTJD7QyJ3Gi1zsdjke3fK1T9sGvN3ICtiRAcX1K 0rEIUAquCXbnY/699L5BRXKw35gYUnJQpR/Vt2J31v680+Hw5/7K3NAQgq2QT1IUb1Nf9Vwt2dkm s2W326uKARDDnN/HgNzvZDiGwpkZG13SOFgsCSHqBrIACX1tgiXPztoctt0eeDL77XzdYI6Ma8Jg srM3R3RyQgOB4sJ97P1558Lxevk+k2TZ2u/1FlW2G1XAS7nNoyzNKmNWVl9J6F1oJb0H3N7dR/ji g3dn0YmfndltOysqyyeNFn8UGM4zXwLqIDL5nQlXXetksnqgQec2tC9oEY02wOedCIfHly8kJTCI tZ85igJuOjaDoOFs5pOImP4ZUGZOq1ylWbntnQTHMgnO7bXYnubgZZIE9yacaZj03AiyxdEO1gna DjK1liwFlSzfKXDca8ptdWwPV6WAYgLDYUCSx+edAYdLan5Xt4E07PNiIN4JcFhGYyjpvxiRulwi rtHllFfbSN1abO/8t5vbrspziYOjbDc6VE3GDWgnFdpfZ9p4JOo03uOIOfbnnf5WpaAEeqCcLVt3 DNuJtQkBgmlj+byz3351SLjTUK9Ntwn5Tn5jH5u2TT17+j8QydpxhCaNFdu6yxG/c984RecPr9Ji 1aApWnSP3+g9Qs1rypkJkMkCCnOm+Te4K8a/KXrdYI50HAEaYIOKbbQWZ/O1TT4e7LT0O4zEv9+9 avtOfMMyKtxp1BE13wbvkZz0qrtU+ljOo3znvbEHtoTSLj7YabQmoBytqVW7gFCWdREwo6CJzfSc 2G3obaOsDdFjAlTMBk5RoxOUtHVL+bxT3qpJ5KqwLfsvOCbOO0dRqN3lkdK7+3+Q3Cao9uq0JS7y SFlCIL8z3riOVCLRHO1njs7a6Scu6uQudyjbSNcStzwUvE+8PdtjH5/0un+1kYZ6bQO8cTGvJpp5 hBTOlMvnne7GIsn3ZoMLdlvLj+x3thuekthZslAI9tbDiMtgADvsP6OtpYUUVbYTD6It6bz9SOed MAasLWpTgT+JUgJOrolXBDjgp9wTm9C5zzvTzXAXA/9pPug4gnk3YwCsqM87z63my1n2GQJ7t3qc /U5zs2sClbbiM3SOabORmUnuu3j/hIhGMxSVtZPq2YsVEg62jFLPLc0sEUYkoD2ripSBIWf1AUV8 a5AwGXnnuGHVU8FkdNOEcybuuRFqXpL07HzHYBXl+RVmI5dpT9f/neHG1g+BI8vyV+L+Hfm4m9G5 zpGC40JHdljVZst2oGtpg2e1fbZECxNWtXFzsWJLi98EuiF7WM6ZJLjvneBm+KpzElGpUoPKSPhR eC+BM/55p7f5krjNSCTFM1903zu7jdERSZKyQmNFqXYva5s6HL3R2zR43zu5rcqBBMg1htmdoqA/ ky6yoNlxf6GS3cDIRH8EmMgf1q8KAm7L/AU3fqe2VdE7wWkjOALgBCMBJtRfVUVaJ4R8p7Zhjn9N EnR6Zp0X4xeG2VhJZa7uWW1LLjV8ztlh0rAnFUlW+38ntjHfxHk0Mhu3w03wqFGZTso/NEkn90ft EAkbtXgSbvvSb60to53Lb4mOJCp2nTALA+r9MthLLG7LiSDz+QhJu79mCdp3Y94OyTurjXOLg2gM 7yJBIcxB/ucofAyJh7Xa3klteP/CKlKbyQfvIoHz3jcLJGfDpUK+9znwQD1EvI0o9TFuoj7AO6fN wpWBu7L4YG0kCH+wa3s+4/NOacNL1vYdR+JoK3e/vTPaLGMnSXI73pgGH/8nmDN6A+Qn70Z9SuAh 41CbB3djq220x7epJvgQLfo2VQi/QcEGomaACRBqk+ddSRSp/7wT2nA8WGd7qWu7RGg733FODLWR TmL+eeez1ce4yfqRib31dScphpGwvoZUXfxIXKVmkgLxoPOPS7Sa8ztjJb3T2ayeCrBfuYOtJCS2 YKKq/p9SE4ykgD9N15kMTxhHrVN/6p3NdoOksinsDVyBXeUQJOJCOP97fd65bKxPpK/dhruiM5zV HIW47KRAckndFCxJw7ADQ42YlHNUNqlHnZ/0fiY1qphlVog0WP0ffMdzZxFZe6I9SLUhTxuQdT+X P2i8sE++WVvX+/4JtTEWtwj8pGWhcDdVaeufdyIbK23rayVBvKHN5N3/dxobDjKGAIm9sf8T3Zy9 yc2M2pxA0RNAGRVFpHcWW3Uljq7d1p/dduZlnhB+MNpm9o+fE5U2mu0CGVJgxG0hwCD/4Z3G5iEA iiHJB+GRxmpbZjsnFPi8s9h+IbR5JM1fDIh3EhsDh0Rtie4QiXOQO9cPJnuW2g6ylnkkRYXtzgN7 CUey0pf6zbmE6pkkCVWjDEDN7wTRt4FKAFGGltqqQvJOYasyy0VvhBBkDoq3K/xExfxo7fPOYGPv 4DsjgX1tHzcjeSew3bstLfMdQjDQboekZQchF1RceLe989fw/ZIum6JBzEuDgHkpYOaktrM9uUWH LDLLmT+QyrZwGwExvyOaIoL/Uk0+aIqgt1fU2d7j885ds8z4+0A6+3/fCsk7dY1J25SdpReRFj1r 1bTtyWVvQIS1KQrDbVbPVlOdrd06Gwq2S/p+ZwP2ciZDOoyAHZ6j9oSTo95gW6soqmkPqk8OGRNy UJ0tQV9XBZJWP++sNTvNbIpw9uAYHFi+NkdRtG0uKMshW+d0ruaQirq6syGA9ret9jeIZDdKw3PQ HJ2QGCJoi2D2cwGdu4nN/wYcOcoKP0iCvc2GHfp5p6wZwgFCnXQfWm5JU+jUw+bICc3m552xRlSx 87HYvEHXqwMhYnMUBdvdkbYunN9p8YLkf2ZqMTK1zcmVztvfcG36dNhw19HZQaVlU5nKZkGAHjrQ dxldHHe2BAif3xHc/ZyjIWfLcZ0tCburanDnjMeDILJ7gKS+04bq8J2iMNKmJAmEx72rPWa2Qlte 1YXd1s3+W1TSBulhA3pdfXCczUKiBpI7ZCcn+GrUK8MP2Cq3OoqR1tTWXgsxJOhmT5YsbdBeK8CE M1ltZeDxoPP/qzVComm6OVsPA20AsM/OuIW2OR3T3q2VRJXAOWyr9fdAG8sosXjUqg9+Yq+8ehdx DVb0JRuya7O7xSaHx9myFegx83FKJyn7oGLk+X261PLb5uMBb+RXEQmymF1sY05RWNOmH9qJbtut RdZlZbasS43qGsCoa4oiCAkIVhsA9u6DnUbn2odgZaXs3bmWet0yH8CvPTPlg/vN/amu0aOadi0S 3SL6r7usDS2027C0ZOLxqGD73YZEhM1Ktc1RWNNGqwMRYvMiUrs2hOfvsLp/B0dPt1qPatpmu6Du UX+6R6B1n/9ry0AeeltUTMjwvwB5BL1rGpdZwZYAkh6F2cJpV4lNwi/7p0piMp+lxGDj5MoFzwdg pJuM4O2yULsXZ9NjGmQSzmYbqi0V8wI94ZpEkhbtiIH7waHdo0B7cg81bbr2aCS1BDWSE1XiROog GQ/MJIqdk+5eqPu3C2vbzGt7FGjXtJygxSEbPBJ1JFrvnli+4/Hg2M7feS0+7BwA90iKuJCDvqro wVg2skRqW2xeuVFj4zXEbKSHgTbBEWy/2mCTRGgVziUx1sGOQTbCJG5B1CCPxx998WrrUWF78ben vgNK/7gSpYFwzo1EVDq673g+mKRVfm04QP+bY/96HGlneVQVDyN3s4LkyEKQYJLSVcfvMSGS4NGy 7+DZyOoUfacsGQ5WeqiDUQthVfn9XrFgCkHhS4L7v5IJqbZtBXery0UPDRc1SErdeD7Yb/Mb1sYu 0tqesvWwtM3yf0dNFVWRrL6F/BkpDJPFjxjTBKR6HGsLPlu6D15Fgm1bLjy5J8idY0iSDHWHjAsU Fn4PG8neN9pubI4ADQoECWqaykNOWCG+4M9steADgsO7/8KQYEmWm5L093Db0396YGThkamWf+46 ejDhKGKXhAgGrqUo3N4UJJPlsAabJoQwJwpi3RYWq5seaSh3L4Qq5Ycy6m7dQR3OHhW3KwuSC1AQ GwTZIjtF/tZ54vH/ws9usBpc6x5KEWYbgQkwEfjTafUJ9TkrSDYtMBoLIahhQbJHEBIrkaSlPgBh QlXa3Cdba4ViynCPTYCSY44W7n+4p1dtuOl1pIpvCSIl5raj7eSDSiQooLOVBIUcPP4vPpIqkiea Q8fAZymMuAku27W7d8CuhCCh2jbZqlG1Da1qzVJU215ZRqimPP3g2vY4eSHbYWgrndt+U7BtLapj ATpTqa+mkiR1IvEt78eSmcAMkW3HdNYWQiQp2cwy8XiwlOr4NUtwFerJu5IjirkFbEuoORlHQnYm PLtTc0zypAw+ZmlExW3pj53UbPvgAAAot6ZNy+ZSgMJgWF4yYXegcFVntpkw94hi7kwqEin6Nqj+ fyIQlW1xnOPxIOb+dSQ1iuHfhTSimFsupEMhEQ7xKfMuBkprKXyq2DCGIxlhaZv9/7bJ3eLgfCRg 2iYq5hBCap2eyuBXnx91VVQUAJJ1BTJ/3ahqyzKJVUs6LjsetgvJJ2vTALzj+ai7/d25xWo4F4hj /0aI2qYKUuMJyHN7tuUmXieazMaRGBCt0CRFtW1qQEGvVQM7hAOJCZyE9sJ+BrW9tymQxMh0OMO1 udL1CwCYBt8RYEjZZpusAWEw0AzIyMzFf/r5Dx6PeiT3RJLcZqFjqs9RFHE3A5JkB5FuYdnYk2xe A2h0XdBeiyLuRcz2JNsYQ7Y56icfg1QeqbYnNji3HsVJMrqUPfG3zDcAAHEN3/EXSKKrncTBkH8l 0T0D6fTG49F59CuUBHq0XzDyiOJtUm3KKq4cceJtYyYBB+sEyfPfbQMAjCjenqwPnQO6+2B7bUP/ EJ07Kdz2bRp2CYkFnXZwkhnTdpLWNqLCts6jPasGpBi8jjrA8l0MyZLxfLSQvivboNPT1sEmKYq3 IbWaAaq+nK3cPcGd/t+etTBc3nZE8bbcJiR3qMEmCRRSGHKQ+wfeMCC37KrBwuT81+hQ2zrapP6P EK9NYsSQC9xwFziUHJaof2Do4fGo1Ta/w0gkOCcL8ikK8dok2rZkgD9Qtqqr/E1aW3KKOppATElG hNcurPrPzBhg5nwrkuc+p4yW4JGg7DaV22DWjgIYrtaL1q562z8abRMGmBokd1wmAkrOF1PbEUba 8/taK1uWvj5FUWGbxNMCiQrfapKNYPF/FlcZK8OL/yOKtKcEWjNdPM/AMgSmqPE/iFMhTw50AWOE BHJgx2m4iEPWFOF3xncE0RHVbMGLFGTLpKRJjSzaaSV1PB9F2l7ZJjvypHm9X3jkiCNtzpEU6zhH ItwQalNcw72V4hruI460GfNsYrY5WMe2wWqefUNI+6VMFMA+kfFJmBZqAFBru1uNIeQIA21eaxlt 3gHbKCKaGF8PYFKJnzl5ND4gAkiMr7sfgKux0l1JYaTdmX+P/my2ZZWks+GXc0hJs9Nmi2vbjZHh vIMX3KCuAVA8oHlrnNsSXRQ4HZw919Avx3lv9f+tQzusbVfZwXY5UCHhI22kg71N2O04aTKej4ok fvszNDlfDjMsm6QZFrcrMX+0sCeKpI2q/A19jl2s3LZQ9GD+P0NVPx1FwK7bYMXtDg9nZG48kc7N WZe8KJBStLOBhGkfD9F2hiASqmptiSNvd7GgJhJIqly5FY8HU3T52o1rroFK4bn/jAJtif5sALZV IkG31TAkaNmjd4S1XIlpP/HRDIvbifKQTcC2dvkjDXiYc+mqY3uyQIioEv1HYS2qmxdUNBxpu/S+ f3YA/ldhO6G2lJT894LH/5X8M9AGTK7cI2lGgTYKEmfx9DosYcvtatuPfom2q1eTbJ8xPVLqkMSI Nvk9q2x7coc6JV8Hh7bzP0j+0yAQOWM+0OF2pA3lEWZY3SZlu85xByO1tSk55Hpiejz+L+IfUTnn Wp/PuT2jSDvrbkvOaavZ7UzR+3dcGwAa1vufcW2bsL8lJtJ6VtKquP0X2RG5ob3F6y9Bw7VDK6MV 5/3lRan2GUJIaMo1GsnIHDRF527LRGxB6hOP/ycCCeRc+9VrmVGkzZJRPcvEoH/nsM9isp/zyMW2 YE/SfIpCbmSTGXwpPjj0LwEuWThFsDJAhEFxhPMDLDiNZ3gmuAwyjabm3zJ/o9lUudf5Avtbsj9n 7+DxoCHZ/UDik9BrQcfFJymubGOSgIuxm40QM91sbSe3psYS1s02IwyJ4ZHzmj44HhmHEMwWCP1L cNpucvDOkImsAkhYjETzyRlF2jJTnEmyLcnoBQDd9ykTvJMS4PEojPwuRlIkuFxppBlhSCh1VUCi 9hAp+zrqQwwSEv+JGuYURXXtxYOoD5q3cPAOyTloAULiFFEenJ4kC1zJPtBQ+VKJBrgD3xEd2URF qi85qxmLnNcHbJdymieMweNBvvYUkBhW44Jb885RSIxMVGipt0Gyx3Ccze5O1m7YK7bXQr0/oiL7 YiGJgy8jlPwh+Ic5ArcGGRByM7Sn0ensu//80iGbUU27uHR99sGQ/+csJgSkrs3HI7TWd6MNwev5 LT2GnBGEZFLmX9b2EmuTewsQbVCd/3FNzWKR9owi7cGCf9/M/7tMMo0dAUtRXO0QazspDsrYJ4A8 C+mHBkeFRjNe0k563QCInFX3T6ojMSFnOlJ0Yp6g57w0no/ASN/VEbRYW7+F/xnyIs2wOyWbpHKv NVBIXHi0SDYSey0UImHpbDX2SDjYJJFUmYGDgVALSghNTCSo0uAYAs7nTtIqet0/6v4zsb+twWB/ iMAYRJ6EBo9HQJvvOSIrvj7CkVGcTeOxc9Nsj49Obubx0dnnyVM2IM+5kFYEIhlFikgKIudDQob3 u6QnT5yNDlmm490Gc4qM7kaJrfVQ/lcIItliac07OOwP5OYfUv4rHg+IkU9xRKk/pMaLB9orrGh3 y2W7x5DjxpA5bxdGyj1blW3FKBLGkFOaLXM81xrO1NUl1gIpRIpxZAgkoa9c0Yi+WCQU5/Adf8KQ hyRbIHM07NBGRMHO/64Fz0egNl9HOHwAxOq4r22OQrC2HHDTXUcEwBqKJJmmJOAR0wS2Vogi2aKp 8XqbKqQ2iv4Ay1+SkhG61xCMWyDq2dCrAGfkhkdnteE7/iqyLWBjbRDQZoPgqHW0+Ph/QSGDLdWh k2BTFBIji4A2vXgdchHPLu2oPa3mzyOXx9EKodo9i1xzB7/6z+6CmQa32j7rFJYxKO/DFUXA20fx j5C29Wc9G60bUmMqIs2uWu1S/g01m4Lng+NofAO2gPX5IbfYJilU056Mj/bUBiM9qHsbUoTkSaE2 d7dbfxMj2xAxYjzECNzycK9OkquHfRiTWjDClwhXTy6yh71uUGebzGmz5DUh2eeQSBgIabPNjOf/ VdF2kO2ESIxNUhRnJzIjGpVgGCC1UczZbrR2A6QMuIcmKdQgGTJjoTFErtcY4pxI4HZWlmthrgVf T+r9goiSgb+jBYU52yVqjq8IQrLY0a6dUm0cxIygeulUNsKXjBBb4zvSBlkLJF+bpCjSpv1lLfm2 2IAW9Shyun1Gp6qTJimMtCtXUpHEVrkEUjppYe6lGl1hfEBmBKBZGzdmhrOklZBQnOTrBnMkzfrM BdXzNN8n1DsLUW3niGl4POL85+8jCQsJ82xzFHMjCy1GkntDoC37Y94Q6NWSqzXIhNIchWhtKWsj OLTBqyPnpC65U/Lv3HiQa8v03MTploBuoKa/40ew7/Etf5WQ4L6tIRum6BxpnVCigTrbCqvav2Nt CKGNm/qvkBvZ5FhzS0hFNmTUjhhunowA3MW146o27v2ypNgqnqLqtSjSweuPYjYJYl0UsIcRBWTs ESrnad3aQk8KfEsA/BcVedbpg+oj65xzRMqeb+JLRgrt10RjKoADpvmeSX9zI+ucF/g/tndrh8KC RuSKSyOsKNiWhyQQ3z7YLE0qRiaSI1D7y7glNni3Z7KQx2Tc5B4ljaHX/TtKYtufg5G1gGuX6+as ePx9kla6yH9WLiFpNy7WdkfRNlhYGVRGF7RNFGKQejR9CThJHa16yZBHVe0hzkOTR1t7FLZwWKPb wirS3lBeJjYCXJs5F3zdb4FENtw7irbR8qJ5XfdBEH6gd1gDOj/GwuNBoe32kLLVMH+ozG1z9B5t g5tDslZad7ut5mStuWf5+dIh0RyFOiRcQWWwJcnB5qgk3NhLEkTQFSg8kzKusQ0zpHMplHu3NXvd oIok/EhnKMlBOKR+zjz23M6uq3g8uNt+sf7QGGt73zkKMds9yUfDqkjnVR0aMWoxejLKA83XURht s+HfE2XtOXjWdrbqAnqG9NHzKAtN58JGD5+y4PRHcWfbodcN5qhT8zdJjyQ5zebstNrFQz5XMh6P lKN+CdoP9kev4n/IjCSFY6/i2T/IbXYgNemRCz5SXPI/hI9kZbbzDrcYCSASZPLRYIAJOcqWGY1J iO6ds3s+WGSejPiSPyU2xUPm4IX/LCRp21hHEX6kXaF2NibQVW6P6P8OAdv8IZeE6wkAzMlUNk64 lIz3D2qV4dl2zIzkCtq1++A8mwzT0aZw+0SQk+KAsAtd0pKrc9xSG4AZ+I4gJ5EvQq3SjoR3LC9t VNpk73NuyY3n/4XVolYrIBZoadgchYp/gC9VO56lRFKdi7QhUC9jhJSdPbpD/IiA2nkkH7yM1Fgh aRTYhm8WNJYxR2TuIwXrD+cf+SO+I6r8mwtb90F7rUIcRvgRHNqhgU36BUM+9/4CYNOmKMKPJMGQ R3PlyDan6yJtqBCY6+h0wf8d6v3R06+3fgfP2s70An9CHNI4qSCVATN9qXCGY9/djnbvetu/TLQo 7mKDoFpoeyVJiyw+/q+cTf4JYGZeasQOQ22ytdbq1+h3jrvTqBejnUbqORraO8SPEIVElKENtoqg QgKJMxIjAWMXMYDih1TPAbXNtDWphI7viPT+yYrBMWmDVtEJHjrrredjNh6PCm3fGRvq6QO6rTZF YVFbhxG10c3FxrR/ya/NxtbK8PXSFP0NHxlQT7LBG9rwuaOfD1YR6gw0Kcno3DSyI9L1H4HONr/j L02Eri4SB+P8QT+4WFyPx4P6yL345awBpvZVINlRlE1/Glo7+FmElIhTxMK6bbSB7ro2WoQdmayL gDztg2PZELhXiC8DE9lhOa6SNnKARC1yV0QoieXa/S/9kXoHBdms3TI2KnPg8WgVebqGf3ewmH2J WoLqvH4rk1pFX3Rp77RoI3QkozGKf4QQPWpatEBOoQIJj6GsScrPJIHnd5ZOl0Qr2G14qXO58a7I 6OFWSPii2omVtLa9ceA5xuARuQ0HcFmtz7HBSsFddVY9PyCyHUtfp/bAuwB06jMV0yOX5NnFgchC PAtB0lkH5oajqLGU2lMYazOArLndwfORczmD+C3Qf9p1K7VFr3OjJlII2DLVn+YvHKEjgIrMEkbM LowIU6JUiMZsa2Y+H6Ukv9wjznH/g2rmnajQ0GZQKnXMZbWkJOvjqbzNC240BJU1Qgrx2gSPVgjF 2GBLCrEwpPJYKDmxSULd4UzUki7i5l/0ZTOa7Y2DmbJwshBsg2Iur9Lz4rlKLmFMuLGmKOge+R7h 7D2hHPwwAGniE1zg8hhtnr71cb3aoLdlqUkRBTDpoyKLBO0+mWWOh0+aEVSmSmkbKMEnHvRpQnwT 3qwgkuz99G+LvXGElUyi/20fjJSE9FlMkjH4fFTp/sXehtAyWHw+UVHonYrxJIrxJFR4UO0NW1g8 iTb23XxRqVv4LYoz2uBV3NVXodAviBLngmiU2wbAB7xkSLf07kTAkskoxddEjhuqmdTsg47zlk7i TInIDTuJFKJKyi9JCQmE5vVMVVjv7uy6ZTu7wXTH1U8y4JysA2SWckFilDVZWPCmRWI3Kbc+LiLg BAbUPKEUEExhGInmuiGJR2uUeXW3pJzNb/mLyD22dFy36TIA5L5FekM7i89Htlvf8mTowcz2eEql v11uGn8MNk+m/nHLd8ubJwVFZrv5ojh8dtWX1Kl8inDtHJcZuIMip8RzJA3WThZuPoivngT9erjk nZu98V+siYabzQZVT9D3ExbrTDafj1oD7WuizpXVfuZuzykVypTgniu7GR2JtvaicJ/cVcUB6m8h qbBTKqx8L1WZWvHhHuiQvp8klwxKTUDI9EwRWqVZNCnk8yqhEOnKr4l0yuoX7b0V2d1UOsfxtj2p S+PzkS5g+ZopFAHnvsabOUUxOaDXQJfcKkrfF2iS+rXggliquwJGYiWVpgBddDYOnv2eK3RDMYvN b0hCDLKVgQs4ofpZiDM/2EB/37/wk0wcbdCCSg2iTAw52+Dzwc5r32xleh8npG4+T3H5O0sO2DPg WpyJg/ap97/PWeB+bimuf7OtPZm8cHAmbq4oWso9KZ1YvKcl2buz6iH+ksutf5dci71wUG+qlL7p zPA42ESNLdIJImQ+/0+PKZl2oL/7LKjQZnIKADeG9wkIKNJEnXTDxcprd2WXHPhMgpZGkCCSbxts QfU9EuCmlHYB0ZsdF9goEF21fygS5a4uNNzOgc+kbzzoDfugagFYVawZIQXj8//FdBssl3MhtztP UWzeSCFfe91kuMxl9YLzE7ntdrmtghw4Tbqxy1LZaT1lJ8BDzg3YHJkzUelFVIrYr2Xqlc0rfkfD khwbTfJgYpnBBk3TifGllHpi0crng9C8/MJUACW4nygqtprMFFDK+VHkXskS4j1dJhjyI1YzyKHX 5FK1QEIB4xEKYNUpGVgQrUw6JrPpjZ8xkwBxp6k0e9+/+k78ATl01f1BjsrUHgGJlc//p34BQHDp seQK7CbRgZ8/13ibM5YeNWUejKwbbJwDtprCqJxFpT0IGeBgq+lMy5n7CuFCGLBUc3qHND60xlDg qFeXa2R730hOgaZc2LA2cDUBdb2GMZcrn4/igu8yZm10Ur55Xmg4CWjVyY90ilcB4ZPVMRNinmQJ sVuV58BxEtwgLqMhQPyYl9/dT456cpm9xTkF7IuFTPQVaAObMaeWu0hUKYeek4C0AuAt9nJLyZYT uBmMOs8vsfn8f7HjhnRRK+05nCJxbtN43+U6TrTuZaikihQlFYormOXAdNJLdVMF3/kUfE9YdXLs BYAKTCdXI0+L7u3nhIGqgaCVBmbq/sLR6UTq8qrJBwEHO5zRJam4Cp//ry2omr7MgkNepfSnJFZK QPxczQHxaXgyDPlLCzND38mVgmbmycpOKFDZzATeC/AmdcUrEHEn3oP8qRfsVIkKjSclzwnEjw/K hU+WJa/r1rjqo3j80TEXCPXcCW0+8xTKmNBUaZCJplxY+mWL3hPDxJXOIekU3Rx4T2YzDaiz3sHm aaMdUhCDU6QbMruIMoEq+FkwVzzZg+vhcvL0LX/ddnvSyZyDQN+zIZHkF6bK5/+LSAdQMDPP53wK K+ST2e/ODiHMklmmSGe6PN0EeVObp7BEzsZvTfsONk9wLCt4gnovvTBqYmEq0VipECVxATu92RtH uvjyMCcCTO6PzIRPFF4ltHniDz4fOQf/Iuuio7nTE2XG0G8eUOMyUVJaLkS13bEbSf/YPlEh9ptR ZpNPd/vy6T73HUj2k1LdUCCZrBjAF+EH/t0/RKH+zltCF0rJdEI8xgcLx2dbWX2m2fh8kLdcKioT YbiUCfWpiQqNKPtm9jtNDxe0i+XM70T1dk3UJLYZVajQiXLRPOD8q9MHLwGfCGCkTl0zCONC2Bzt OthcL6BiJ5UorC/V7H3/bAHLqaunZWlwnV3QtfMHr8zno8rKd6WcEoa9P9MURePoLgMo17vpdY9i tEuU1xoFKFis69WnKYrGp/LflO5g66kQidpEbYKQLW4Y2nK1nwXG5UzXaGHJrjv0otR91zNVqbqK kNh2CZ4XanH2yeeDmmb5dhGouDbLZaTm2IxyCGiRVXXCatpe0zzBmrVeTs5Rhk9TGI2T1HySt+qD Z8EnoTj3Pc9xZMGlMn5KEA/OCZLQsO7y8ynXZG8cQVOkRVHYaEejQ8gmhC6q/p7zYvMD/uVtyn8Z LUQo0PtMRWXylExDQDLmiDN381JdytLOhzKli8DlwJESwRinaFMMjoPPFAAXBUuX7qYpw/1vn4nq VAvFJ/f0deVte+MAWCBHiiUN+GUa8ND3pcIldl7Wa0Ycnv41UYgKxtPwzH+YUpK5Q7ENc8pp4mGU tidrUawXyFkAwUngSom/gIrUa9/BsQUnvjxBNw8owPPoBYFQE8oZqHpnVFO8Sk6p/BzbUop62VX7 ZfdS8hRlt8KkErwqfkDUT2j/Exv0Nu+VFxpTQmM+k7LtGIxuDjBgqNbmMBUWijRRsc4JaXOdxksc bKIgSpx2phbc2eZofS2W8IGigacGyhUOwMzV3jc4omgAR0CEDYbC6OeHZaWu18bnI56K33i4aKhS nJ9WXmhNSSc4KDxMmybJb5sTnLsudtq22DSFyoJd8t0t+WCpyzz76tyYg9JLu9eS5IeKovr5mToZ bC69tPx9AyRGIVilMRPOzTPhlXcXrRebnM9HdZWbuTCqhKYgOmI+T1GFHIQJwMHaRYapsEK8iszz uO/yuPP0t5I33bRtcKgBiDwElkLLs55kFrYoeQLBOOn2A78wxP/Yd8tfOJiokUXFMEZGJcgLcXGT FO1Js/SWUSp8JwrrXXrw+QkNQjXvPoSbc2DPzg9qRSxDyjCzDshcOLCoRM+VXanGUJODLShU+RpJ AZgoiMITjLnBXYDW8w+USCyCkiZcDi0qoXJBF6/tg0ore4NcyygBPfTAo/J/250ZvOmTgT4bLwrJ eeMBXmJy1VCW9JrBKs0xYicJTD5PMR2TNx7udhtuywXt30yxKkAyJkWGMhtLk1rhhEBNGeeqLxwa VdIVCKRVGQNDuFQHFGocBBDW2vl8VPj1UFN1vQFnmNvEC60qqQRWB21reECNbOYe6AW4VSWIDoZb zbFXpYF7iHEabgCI2sqeEDQbBqY7Sdoya5QMCX20zq9elUDiOTSrZKh5LsycfLBzHD8BMRmsjwdu lQaMe9rnA82pB7sS2lVif57/3zRcIT4342dCgunGBTy1bJqiiHxRRX9kRuQjPxH5QtU3QxMQd9H5 4yAoBMMKlH6YEuT9JRDb7YUjqpj0PAUUbw4Uh4qB1NDr+VH4fITM9POJmRXqrCdSuQd57FlJm+FE hUlNlPzP6OpNKrMkK9dtuISmlZ2aHSCu+mCR5jma1tlllNLqC3DGKb0hNHtSAQsDPFlrdXa1pkLf SkiGEt/LHA+Yak5WPUs+bwKjYf3LD/gXzhfBEuQo1nxi8ti5kif5YtAudoa8YSiDQg0qnlDnSb/y YutKNYXzqj74TCG8pErficlPnLDQ24a9DkBrCXCdrG5C/WqfB+aVZhM/2E/CcBZqF0VjoPbBWPNs aX5AlA7/qtchHS4X7ptj+8pFF52rYgGlMldD6WSuUw1lKntJ+qi/ziiw3HxwkA9gc2uQy1r3+S0m sSsF2cuGmFjBPevg+rzshSPReC6pLZIdFFSLrjq0qpjxnSt18gMidv03IgPKIoNdBZuoKChnf+D8 PJbQ0YewXZDPKoZcycCiK80LbSy1+YAz98HrBicy6iTEniWF+AAI3Q1iegN5DAlxH+NyflK3N47Y Y1hLq+U7qHIAwT7e7VAT5fNBZTN/ExFhNtbrJSLm0MqSHZWyVzLYGLRLzVrv3FbN5fVYM7IVFUFX mtpOgxAfDh4dJMmucUWNmilmvuHXiEIwKu9glF9KS7cXjrQszZy5usKOfBozLLj4nSdKmPyAf/Hs 1T+fKLE8x3lYKq80Hhxr29ZL1dXje6/TJwpyHT5RMXJFQAOmMcXtOFEqP/EN9W9RsDsXIrkrUPzE uZog71dwXnktai9742DvFQXm1JFvOlTYU1hp8yw+i6vz+WDrzfWdwTQcdutBGoSelnO1xxJVFI66 be8lmt2jxHLOhHqXVBiY85BCC8GHB09+ploZDDCbcLak8DeSxkQ9JIFXhIZSsTx0tZRqY5fcLgfO VEJNWaHUXovPBxFn+c25TxQwfC6+EFVOLNI5j7y6WZMjpiFBuuVGCHGzZLix0NiSF3/epjuwqxeB kfxuqBrh4lsAR6NwtqEvACQWJdIRzXifqi9748iUiGXgxdhcxG7eex2QLQFd9+TzQYTwS50AineD uZQmKva23EyKndGCAt7YlhRPuTjQTwbUQkUIgbklpHtx4YHg6oMmasIDFygxWoCdBHIsrrpGAz7a S+D3Z07MkpXeNzrMeTolSaXRlYAxJyQ064M2CNwt05eZrLB489u7IYfulpNn6Zoje82uWEx17u1O DEIRqyxbqhfaW8qzcXbjvNzDfJzcDqEK+YknquqQAkXNDjqpA5CIjHzPUr2hOCrwtxSmAXAxcaco 9ar2QJ5FvuMd6s05dLhM3ZMYQqcwR7vdXC+0uCQOFwWv6eiV4qSgc+Sk5bCMPB29EnhcOiG4yxKE gx9RJ7IHtWSTNdnO/UEcUAIeFMHCDwBlDhpLxd436FJR52LUyrsPvRSlHSAWJxHwTrTPD/gvyik4 ykmW9HkKpcCJQmzqe/JU38Vz4iSeueSubhE4trmUZwoIdTZcvAGA8siCoLt/Ur1CH8OMEw0q8xDk 9m6eLIpy4HIpU1ZQy3byQTkxigfSBMsAQ4U2l4+rLGvlUITqTwk48LnMPHxP+OGCzezrDWvmVeJm 2MzjX6MbLza6ZAJTJaLCwWID6BYgg5ukKZxAFKWbTeWIn9nwwyL6cRketdFDp0vxObo3ibfrOUE3 WEIqY1Y+H/FevkvlBYZgOT3HeFgqBzvj/BHdg83On4ht9JGuKGgGQFZReWB2ieUkPAaleDjYPMFz r0NGCJHBSYTLZnc4oQC74e2OFukDX9n2wgGRihEBrbLRe4HNgcxlTsCct1RRcuMHBFXgCxtTrlN+ CLXxiQqjcu47Ory78lVyq6JGY1LiVzLABLagYmInV5J05jk4fgVmKQU0J6IRT+gJEOI5TQDxSKNR reDif+WengPXS5zkhGlSsfIMIGMwGij7hCCsAmeUDkLbyzK/gRkZl9Wm+abNVKhZyJN8OLQgUwrU MuKyrLt+bu1yqVSB8SVEWXjlFYo8cbATasMWfomiQA3G2inFD4rCQNVu0fVa8yR+UOB8KVtEvCJx 0hd2BeX/2SWps0EPCqwv0VbIXycUmtQ/1NDVPH1eA6gmWlJGNcXlL8d6zOZqv9nL9pj8NXxqgEOx N6WIvH9F5GebnWnK3TR5zlW02aLCqkIZ9wfkzEuiQvj0Gjw16PHJXPYZdIinJI08iITh6ZcJapCZ VcHAA5brVMzANpoekqcmL0Lp8ZSbslhQybQLLADtt2B6RMfrWeKg+YqD1jOx6rkwtTtPIqk/ARIK vhN6yhlaJmbnsHQsvc6PhGwBpuNW46AldLZrJXejnn/6vEZMDZWnbPMjxOL2Kw7z8xovNVxwmYTF 4jw8iBLbBTfoYyrbFJwmnJ/XaAnLh8fQKsT2LN3JDCvR7qxob0s8FUVOpn7AxLdEpMH0qHISOf4a KzUgeqh2gQqIDbrb4NHCA/cs9/Z5DZTa0zzQ9ADpCAFom57XMIm7i5WTvK8Gj5T3IHkxx3A1B0SZ mp7XIKllJpJokY/hgwdJTdOfGXUvqPFSzKHSAHXAAK/e6QFu6fMaIjWvn5zwWhVM1L8kCZpg48CT ep2T+jVCwp9qCsUmbAzaS+m+fF7jo+bwuZ6T9zRXrx5uF4LJDFOPvJ2ustH2Ui2uEUnAwW/9k4kQ QYAsF/9BmrVhv8Zzb1Ar/e6vTdm01+CoyUf7BNmDjjIcVInb56TcqsTV9nkNjfB0WV8L6BxV8Mrw CXoNjLApdxLbx5sqoAE7hmAb0xxYrmJNldewqKFcyuJbJ/OAwwOaO3uv05XoLFeQqMlIhF5EThVl SaBGvZfJYuVrVNQy1X0Rs5Pyw0HlknMhLi4M+AN8XkOiJhnxrwP6PD0ze76YoNeACKd6ltBF9sI3 lOscgDmXB0QL6ZYcLsIJKmqGbx9sgohLTY2ssTrrbpAiglrjuRNIPMgw/PUtxnztNRbCFitMP4A6 wICCKWflXGBNgM9zQ/bPayiEA8yMGxdjRpyuo7DfRHX26ISuEm7Iyeu4UiaiTUqurpVaLpL3NQrC Cd3JFkuSJ07Xj7AB9nCOm0xaXT7br/OCJ7Q5U9qhoDXqkTUZ5a9RULM8bfSVlw9CXZ6kL0nY68RR n9cYiJfI9xlNDRBhUzFBr1VJbLHBNsD+KiElx1VM1q50Bu2rJhec0ZqZKmNvDg63nCcAQkuXzbjz u4EkCdgXOLOZDUbBmQiQW/RIea1IWph1VudgAUmyj1hBDUBNqgrC3/rzWo9sjwyITRAgjMLGYYJe i5HNjOehY3a5BcQb8gwq3TzToSVzNdKiFdSGyEzLB0cxgfMC7C52fcVqpaVVIiAq4Q0Lim2Oi6Nf 02shEr+CxPY2z6Cxu8k2wnheUQXxqK9lSJxBw28x5qpwOUUQ7/f8O4muXZL92l4P6XIBxxxlOaUD 60WajbENo5uMzZJuYvLrulqceBAY1//H2JfmOLIzSf7XWRID7kvf/2BDM3OnIr8Kr3rdA/BNo0KK pLj4Yktm/tpAAE4A1mcK00Og+KyEtNPPL430dw5dk7sYgqBSfeBJ3XC40d8Eda/PO4UOv+Tsj3UE yErvlNrnJEXBNA19alrmzYS+zKzWzgUb3hrfm6J8IvNEk0QfJvBdfbBwCCHc+dvEvajwuiQerrIQ k7BDrahdleUPvW90XPMUgl6fDVb9T1s+uyf24uNRQP288OG0MXEB2CS9R9RoJxFGUW5lDS1KD4l6 8pBoDVdueqfP8ZMIn2gEDXLwKx/U296olQIPsZKpkrbAaIFnBwCzNyIagusGUwQsPvWIsg86r/fa 8iNoJ4L5vJPnsCL6c4oAhIIBoE9RFFVTRh6Nw2RTZAaNnf6xzQAUAAz7ZovC6l5lIUPqBQebonNE A9GMCj8oBSuBDA3rj7PUaNiBeuT3Skt63fe91lnLhj6WD5bZ16K9BlL655055zHyvfVRR5ko99oc vUfWRgg7Z3Z10NJiSMMCbSfjlIHjQIRlBdpojmAiXxOUKm2wvkiHFEAv1Eg9WULbjWdWhSnfwFzO 8u2LTNUdw+OIoO9CUAAQZFJtPolgAacDZ0xOKKcFcyQoUSdEDh4/eINUPHZ8Z83hT4MiIRj7ji8p RP+rmsaIlQsJWB3L7t/D60wW6EY/O/vgVNWFjH5tJgdnh6zFKIHn9UB99Cyua2zBn+jzzpnDht5E ngIEbQMnCSjWMST/WcrnnTLX0FR8Rkdoi5+V9D21wwi7iYVSXWw/JWOFwfUze222QyxPkxSF2J0o 5tnzHWySwGKaUI6Rh9xAXCFLy4EzEysZsjiy2KUa2eedMNekxAmfxnwHpz0P2YCds2J/3vlyzILv SrKEBanMPbWjKJvufxWWkD5JvCHkIlP3dbWiPhAnKQqzTQhlCPE9xs1DzrW5x1naaBudxV3huctC 0fnpKS2d55X5gN+FXvd9ksaUPRrN0fdg85hsObCeKZKeOh8PwsibiIhiwTDyzlEUaANzDRuCNa1W RD88k9tX2xZcOVjkWkU2irQHuYQb/sk2WLEokW6h3YaAsnQPORsC47Oo9vy2jLre9n2K2AmZCawA G1QtAsdB6MAObZ0o0t6pPDcbVAooA2NzFMXanVq7VkSbxOQObxihz2D1NEjC2RxFwba0domiscFZ 4XsBGpjlH3vSfPHHC6KdDqoqIu5vdX/qdaNJwlHUwCu3QScSqJ1DjVfgI6JoW4Dim46A4VgITWLJ MYq1UWRHM9sFrUCIvBltz57RovtoNccw1paArHhyHGyvjTVAraDYPlpqW1U1NDDOUqe25ri+6DSm /LwT5LASFEX2NXwwIuEJIuUef6LUzzs/jvnAc46oa72z32zv9LhmXAxwa+1mOzdQK154lHB6o3l8 tijynR3X5CMCwaHvcPuOaQI4ifNoJtTgF2lfsF/KGcieSnakHUisPb7T425pHxJfHBBLKSFJdM78 obnF/LzT43DqGxZXbzcpJDr8antnx2H5Frb4WbRR1lar40v32q4RsxBIaiW9x9oo7rG8X9QEKenG 2gBnLWilEV4K9h3a3WlTl4nlNESBl6KjpfQebQvzSGybIG7Acoqxi8IUK/xn7tbnnRrXZGj0KPEj y8UParMUhttTGrvJAwBU5b3E1nf3Elt216Z3YhxvAOK62QDSYAEAovY0VeQf68QXi420BOnvDBQi QPDralVQ+eSdF+cVgAm8hg/W6y+TJKOz4Wb9vNPieKb5hpNbGNQgVs33WIoibhLwak5Xcpcwa9fR WdNh3dPx7++0uPaVAGcxm4PXSU4kmSlDSBjg3ORAn7CxUsNmQQfh0k7k3PTOiuNaYIt/9DsIBFjP 53PKsDQ+75w4zFJKjw3XhigZPkdhOZu6cTU79B09zSsBXqYntx2msJqjqJ7dCRcBd9kHpw6e7Ail I9aSzs6tVNlJG2EHNtwAaqp8z2573yBOYurfJmFIHAzNvQqJoqAIrM87I84jHu03xnHA2XcicPW1 7yG3HH6RjMzk0yTrPZrJfZk5lfcnp+k95EY8IU9rgkfmw7YR3uWQ108SFTg3HESuMuBJFBU4AQfU PK//t71vUJQcbB0NuRK6sw8MiTdJGFgf+/POiOMx/MxwoQ0LdzufpDDkLtSlWNt5g7NfCFKtbnA1 WnHPnXc6HH5l+n8vNhM1eKiUYRxfSABvkLFr6u9D44Eijihh3eStDL1uNEfs72eKV82EuglTthM0 dRmPgGn1eWfDNVOnVMxNqhNLXMsDyncyHHYcE5PSLitHopJiV+7h+sSFJg9cSu9Btzf4V6N+Dgeb JYhMwoiYp9I6aV1lFpzQeTwbCLIL+ZJQiQ37vHPhmtymoM0jJ9DtXDiIqclPBq2AzzsVjmnYM+ru ZDOPu92ioLvKKWVXJ8IlWaXhVOqXZtJIvdQcvQfdWUF3TUx0NVit5MQnPUPAlAJo52Ko07opBS2N btbWUqagluU7C65J1eHc/lklt+wlt9Gho00UxNl0n3cSHMLR8YwBADUkP8T62FHMDZsQqhFfmAgr Dird1m6VkrPNwYxhIzuOuVlHAhbUBpuisojTp8xJRUKbp6yLAClGPouUykW90HX4vDPg2CgbvI5Y A+Cg3A2qiIQSt3Pwf94JcLx/fRURoM3Kdr59pHcCHAOHJZ8Uc0k9v/rlCbY6b8ltb4PSvPPfvFAC 4Zfhg+YIRVW4w4vHfLLduYWaxC/YqnSJQV8xCk7R60aT1NkhGdMHldyAKbMAYPLxYKuZsBD+ZgaT eICS8pykKORGjRAi6a6iV9vczhJcjY03NZOyRQDv3DeeRwRqZ8tzryDqIPqYxBWW3M79b8oVtAXE XkvfQ7sNvW2Qu8lrCebHNnCO0CnPQtScOOvzTnuzjuA3SIJnKLWAbYqieJsWXECKutAgqMcG1l50 KydYG86awiC/k96sToMCSbvDvdhWPgExNWNLS00WIHBjTbJyy/jzrot80uv+LSlZiQYFk/5qDP9O ErZXlRRALp93xhsrJd6TlPHMBqTdo+13whsS3CEr2XVVKOhtzkmqq12St8gSWEdRfZuIWvYHfbAD aWCjDXUl08l1UfDmUsOWaoiQ7pENshBfNipv8+7ffftg5xEcDnhk91E+71S3X7E2cesVWy3dAOmd 6oYNsgiNkEO6RBm9kzQl3k3sGk2WNEVRdTvxsloqBXCwvA039FrIaEBzawDYUpgfGMLeCH5CX8Pa JExI3nluTXQ6eMhRBpWDtQAaZEjxfScE+LzT3LiM/DwywxAIdXhu+85yw5cWs5K5Lqnq+E+pVU7P bZfDR95JbrdvC0VYH2yOSl19jcXuPzq3OdNJFmAqtCQBjAO8x3mTRPi9k9yaycGdeJl925IsIYG1 m+n3gqzyeae4WezwuPwzyusX4/fOcPMCQKEZrlmkt7a/EJLuEBLE8ZqkuLhNdI38m8YisXuyTYLT uau4DQvnKR2TLnxoI79teP4vyPE7vc1K+KiOkGqDAowRAROgFrSD6esEke/0Nsag/bGSYAnL4opN UhRoN3oSz70s0C4UvlAQued0GV02TzRJYXU7kRCxGUVzsJUEnNzJzskrPXsZZzSgWg2TdOIT5Dn9 VtwyzHf5LdGZJJd0Af4BSCWU+iSa6Ali86HH9HmntrEZNR9LidIMM927LQq1KXpdz1V6a0klO9w4 t+qt29ldBu6d14b3Zx9tlHIHbyZ1mKIA1g327bn74aYBFy28Km2zUfG75k0UCXintTFc6RTDodD+ chMwxF19GQd+5887q81e8lng7rACuaDIMNjeTZi2bqBjyJIa+4/YcnGUN4xXqa7/zmmzSBZwWsJG V/mCsuFZ3Ul/BJd7nbOuscANG/lNwE2edyWRsft557Q1k4YdkLLUYBKvZ62b6nA/v/jnndJmuNNn p6Rgv607SSGYBBlGJUDRKJLQqpZVSoPeWZZgPA6PipX0zmiz2xmQv7R98JP7zMFJ2AtFFKAFt2kA wv22wR7JiA8duj7tdaMoCbMDPxENhng42XhRkHReen3e6WwsUfgkqeyEQHte9Po7nQ0raZIeKVkA 8rmlLwHzZpwQP1LVr82dd97ZbPikxmRslOGDtQHgaYjeEQtJE+2kSnAbVIXO1KFGjb/2Jm5d7xsc 3VUsESqbcRDCtlKAVPW2/nkns7Hetp8nN4SP583b3rlsF0KaqBlKktasLCRB+W205NKUG1czC0nv VDbG24Nltn4H70uCgFyHhOIhD48WDInuYCUDSVxQp7AYgFqyn3cuG6uDQ2Fk8kHZxYTEsDrcpX7e qWzty/iDszXW3aQDqQfc71Q2RpOVshK7e7lNshLIbkdeN7sF30dQ7bC8TfDWnqRwc3A6DYkj4J3D 7HrLEhwNfyirFKynTgn08a2SvBPZmmhDMNtVkit3VLZvT+I3pAfb2uedx8YewrOfhKO+j+X9pHca 260AwI3bAyXGgeqUUAdQ6sLF8STvLLYm0QaE2nSTXf1WAM4h1CExOxVNngylMqSCES8OlvmzaN5Y JFYy9bYRH2JSaZE2Oxw0RbTRYnJ7fo3PO4PNcuNHAQD3aUP0Y3MUwknYvd3JlUpQSkweTEpXwjqT Fie9E9i4jlhCQk3KBtttkB7F6YHsFiFfRd0NHDcQgEHzRhjs8baWUVTZlgDABCzUBhXbkgJL1Dxa /byT137hkhif4CAc9TtHUcBN/zNEYl7aTi150TbP5VJKDKO01/6KJsH5OX2wdbRwj80pg8uCS07U kgQ9ALB70eX2fhuO3s87c43nJyESIErboHUE+Jyy2zbn55241iQ7+M3/UYjoMCq2KYrC7U7xMvCl LLk90ZLWDpJbF3k7B1MxkbcWwrYpNrWWqv/rW/2Hidw5K8TTPnsNLqCO7CYjA6pnZnBJqhO+I2Bm batnVx+EkxggkQnAnTMeD8LI3h4hEqvIkJ6zKQpjbToxzNFcZ+ocqukiSV2QC9Jjlv+3qKwN9j5K LUxMOPi9tshdYKxdEU7OKumSyqwODRLUI625ra0WYknIWCqTnsQctNXK7uR1nWVUBh6P0G3PUJtX Rrq1th7itjMLbKM7AWluO7yhmMXDm/oRpdpO6++hNk7sxPrR6j54GYlappNSXOX8zk3lyI4KR6fe MWpIirTlLtBDAqQ0qffMPqgcmaAmS7Rt23w84I/sZ6UN3IHeLtymR4H2YDZighGcrWqW8qWbhxWn aOPK5BRFUBL22fBJ3Qcra8PKFwo5uNRQ36ZyOs0HQKyRNVq/2T8lNnpU1q7M2AiOt0FbrXrh6twG E48HF399giThL1jnTUZ6GGezF9lVjVQZqRotG8VPg9uc6zrZpdajqjYvNcggVB8sY0PBuZCPgt4/ 2Ou0SG/0cMtUdaV9mdVsCSTpUZwtmn8DJhwDwiCJIORz9Uim7Hwbng/IkF8AIJZSnvygW7XtIR+S UoqQYzF4W6rNbQXKNIeBCrGMrHykR6H25Dx1VPltcOgWrv+ZSPgb6Syz3pT+I2rPrI9AHtwKtxSG wrcEIRLObcgJNQ3VcJIpA9HErK13PB6c2+m5mMAH6PlyRntU2h5Z7kLFPCrOwjXFpNIBs7ZyWx7F 8pEesyJxbs8lwO36Am7hK3MOb2a2CC4mFQTzBJ6Pgmh5fH3SF++2HhW3Fx3jRlWkjSpklRTCuYcL YYcn3514PpikXzVJlPkmSvI2SWGozcyW/VMLI1lWVyGpLDcAhXiBTVLIjBzsjiQiSTjcpA2NfiSh YB7vukdi979SYJWFrHJVg+kKhi8J0v8isyrWSBpiYOtm0+qXzmalbjwfbLjVfv6nkyTdH05SVN3G EQ/KaFXJCDaNyW0aWQrNIkpILaLzk6JCkuFoiw9eSGrrJJSZTRIglBfsM6FMBjRShnAPVdsvK2nq ff9WSZpC3UxIdUjZHtId4r6cnLUWfEDEJ3mCJSf0KsuFAfb3cBsIdzYikXxkApPh0smqEnhpQrWB ZIt/V7mWonB7M6dFh98Hh9ucIw/uLSxKnnmhwcxGjA9MMgB/AL+5hQflOHtU366yOun5DobcOp+/ 5GWZJx7/F82WjRIEAGt5ebu/x9uS1jZImxw/T4KVrCbZBqmRhf4d2xw/e4Qj0Q03FsV8hiqEVeXt c9Chi0DuVgagJnGOwFtIFCTThpteSar4liBUWoLbMC3m4EWSrTIofhM8Hiyk8VxIGVzfvrKH3D0M uavqbal5vW1s8/s82cTeXm+D+rtmKeRKSj2KcicavtDkWiYVwDo41n0LdgvL2UwL7UqVNVUlGUDg W4L0lq4dZ2VPH9ROIrZMUMky8Xi0lOavWcKK7MmPpREF3SQ+nyyierSUVncRm0zXQBPAdbzNiOrb U2AS6CvZYGf3mSOEFgKTpHPhV4FJYJDV0U5n5VaEEulzjyjozupvUzpKg1oAqxjfDaqVeDwibd8Y gBr6lMUvfiaNKOru5LjNZN0R5HHJiACTAu0yIx7wmhCvPSxv038Je8AHDwLWmY8EFh0iJXb1IIg0 0FZYxAH2la8QGWVkRkyV5JG9SN+eJCnztF0nlCtM5QYy3BHWt2+9bbEIANePC7kdIX47C47ckzFK 5pwWTg4qJootMbvLa4yovJ2ZvRXI93KgchpSkxPznXAbOj6oJeFoJqNkQrG24v9c1u0lbYRA+I4A TEqu5Nokua09zK8DzCSGWD/9/A8e/y99EoTubHLZFIVgkimYrYuXu52Q2pLuMwhZLN9rUcTteJsu QglT6sG9hpAIbTYWAaBuUClVBkFsACfwU94AAOIr+I6/0JIRATQfDP53/psi2w2qfyMsbdfnqY28 Gm06n6Iw3pZCAkVDFEoSzsEpGiP7cZRbt6rtiOJtdZLWJOeGg221c/b3c5Q22nRMthVwHCXwMwZ1 Z3GSGeV2kuA2osp2pnIIYggOQJHzOjoxfd88nc4nZjwf1SSfOkjItMBp8kkK4+3EzE2ybIyR+ldn Y5idHqgSrhM1onh7Ub9nigQwvyQANlh6NpHbflLYREVOiLahJHjygn117IjKxHcE+S2VymcT2JYk RYXNMpAmhHvi8Qhwkx8hEpPbfKG2I0RtLwlGXi+4SooZT6PZuimOnfDPuVsjQm0j7dwAiTYfPCVB BWkCQ4t1NJANkrt1Dt7zK4LQWR6Y7aq3jVptdPJcS8MUdfZ8ErTKb2o7wkh7PrdaYXXre2BHhW3q 2p6/yDQ1sdWM00atjeVIkuUQyRFF2pLVXOoQSY/6/EtMUYUv8yYaGf+xJSmFn+AHpImfRTCypgjg aHxHFB2x658ojLRA8RGT+BzXg/7b0CjveD7qtP2SI0F5RNZmnKMw0t48jnw2wHQjkIRU0p6uYAuo jZqjv0fabIHaYD3bc/cTSUhBEpg7kL6cC4DbCx7KFR0A32oMIUcYaFPG7WRnCCELOEkyjT+RaoUU 6A8ljxc+IMj/yy9dJGgsgWpksxRG2p3GZnRf0nlEGwFttpr9POqtGSlpxMVt3lbg4tngFTeU3Eal J8eEkSdrThkKtD/AvbHx6Q2ArUM7LG4T1Tbm0sWGOgH+shObnLlXwe3MP56PiiT5eWifLz9hnU/S DKvbDLQbvdoIJEH3UEnbzNkOJ6qGbeX/M1b3q6Labh+sug2ozsldyQKsG9aCFJoqiDsGDHMEbB9f xu0McSSFYNs0qg86tE+ObPJaJ8fF48EUtae2zcJGQ9vFpiiKs9Wk2AiuVSLZeFmVSBIFS7jbzlFb lbHNkCi51fVnuM3hxtkovkHaEPca/YURZ3feF4nXcenji7a1941aACwkDbkuOrmtJhRzeZUCt4LH gyOp/YqQsHfLPZJmFGcnczJzACAQ4n5s0x5IR9KGnIc0pEKeJAU3+qKiJgcvkMDx/iyfJRGpzJbM 2RJW3sZ8oLruYBsKJcy4vG1mlMkHVUgKWrhGS654/F8MQJ7b57Ka33N7RpE2dG7ZzTatP58kkklr czGJhBKcJimubfPeH9xzHJwmuU8sAuNf0tth9SU7E+QfHfZurbhSW17d3jaYIh7b7CfYoCk6t8Qs BiJZeDwS0/ydjJzsr1/hlhlF2hXNWFTCDB/RZJupNskodv2jiWzM7RlF2kNm3jqWDEiqdXRuHeDY wJAAsD01WkoM5IiL74sSgKsh03BqhhRJ1SF3Kj4IkQz7TnkKn5MJjwcdyXYL25RJgCxn/a6jMNKm H14lmZ43WyneI4FKUrabrc1mDiUzApF08toISbXB11HJCx6EipFGm1K4JRtn0qZ61Bsj0YVyRpF2 FQpptjvo8kdnJUmwbQw8HoWRz3WEJIORlU1RhCFBUocQaV5Ack0uSTKz8W8gZkXLDUxRVNeWGsts bFtz8Ck6qWo78TYP7TSZRTBjA54Myp37IRaNTg2+IzqyGT8uJrVrsQai0giQZlwZg68Y5f3PIhvI 4m1dpu2MIm12bc9NPafX2ADFU76WWvMociCd11YLdf+YzU8kBTb4vXYiJMBrKTyOGoBoAgkFp8HS xHWYMjmyGZW0y5L3Xb2DdloFiVA1ts3HI7TWU48EsSsUZ32KIggJFS4Bo/UDu38JpOdgMiQSe4g2 RVGgrbbInLS/4+CItnZuaMAiqdm28JOjz0Z1OhAb0EKf34p20usGUGRG2DvxxN6JQuDMRphEkal+ 4t7PjEra8sa6zUgSpG9SO0NupNR/eroSSQSjaZJ6z3arwVLatlooSKJabVl3sKQWrMFGuQbcahNu BkxqkfdDuxi/zHeSVtHrRqx2Bo+LcHQOwiKdw4DCqWD+8R2jGPKX2AZUKOtXQDIKsyE4QHcNy9gg bO4ZG6SdLz9i2rW2IhDJUPDYdHBjsIXELDQhTYPPa04AYgL2B0ESwJ1/gG43qQ0R/1cIIlEhO7PX ttxcD3CXTsILiP8Vj0faP0+cDSAl58T2MHuFIJKUhPpzfSQoh9kU5WWav0D9uRHCCkEkLB+d1CL7 4GjtswQhSUKy9omy8RUUkeJaQFJR94UiAZ6D7/hbNRsANgr/4fz2I7v1pWS+FjwfQdp+tSHBH923 xbZCLW0q/0GSz0EklAMRF2k7wvZka83OoxWCSBgWbp3H21jBrJ2meULIJC7SWU5bRV0wABDM7B9A Am5wdP4VviOoZrNntBdjbA7C2WwItXCnneWLx6MY27M1Ak9Izcxeql1RjA0GHjq1VsK+zC1KSBHd qII/Ej+eRiuEarNwBBikD5dkg7Rqqeu/aIAg15FzfPZNo+Wv8B8RbSuuZkvn1waQTLoqtbCfWMwt INYawkd+nUYZ7dABwJpNUhRlLzm3ZeLZmd2Wvb0JmU1ZCr6vSKo4STE1UptMdqXt2pUi05sk/QuF fPbapmg9eCqLXZn+zURILcaXBFU2odmq9hwOlGohJESYZPg+M54PNtsVtaFLcAKuPXt8tKIoW3bv DX+CQsg26pX+a26k0fJw9Yj1dyWSmujdVj3ZbGxLQfOHraPFsu85ic77obqVJd0LM0Wzt0uUHl8R gGQRgdwWT+3mCU9FN1tC/1DOwuMRfsRPbUps4EgE0sUmKYqz1zJ1zWXbbcgIQUFk9SIbGt42SWGc zdCobylt7au0BfepczQ2qpGdQxXeGYscm85OHNxr5jWVRGmSrxvMEZv9qLJpMCIS9BcL19Q5LRoe /5c4MvHsWEhwGLI5CsmRbIwMSY1TGGwkY4/Myio5yFoDyAiboxCrLcfN/R285X/yIqAHaMgCgARd JHKhu0DCFUhpf0ePIJ7Ft/zVRENX3KYiOf/aCikeobVKxeNvk1Qe+EgWmyYKfPOe2yE5ckrQpt8I aVwVkp7mVWydrka64po2FVtF1mpfshbEfiCt3SVoUwFMbjLdJM0WjAtsObVqC/UH8C0BXlvoEXq8 a1B1ZAFbpstt8CWjnv9ztzWKCFztvxWWtOml0XJ2hk1KzVu1Y5qsFJS+iwFtVxhqS6q9kETCwY+k c9MDBEE1MlT6VR1RNAm+YQYsx4OkMfS6f2kgoS5afdCJVPDJ+s9Z8XgQAOxnj426dmP5bttRqD0q L0KEczZH1by1zkJivCwdku4LaYfUSFlxl9Z98N2GrG0iaDvXwAmeZmdzZALhB1s1sKWudysr2jsK tZWydaTbNnBeoL7UReU/ET0ejxg2T40tpKEEeNkUvYfaEHjpgou4H+n52T2tVSzItHYmN03esWcN fQ4b4aMcrH+04TcxKg/tE3O31HCuA3Z5JhOg05Iu5q8kCv7vEK9dZVojasRyagRwl4uNpHZCeTwe HNp7PQ5t4E3bvlX/HeK1qdXW2/Q5WrCN1TKqy/3GTkq0TRVpx6E21w+BSBo89T8X5EnTiiQkQY2g XDuA/0oqMz1S3Nt26HX/UmSbEIz0QdSIAdaT1kbfePxftEispMyG1pX92yEtsjNlk0Q7m22lX622 OutFjhSjRuwYOSLLSBJtONgcAYN6rn+VR+ieuQlDhvnmIuJwfmHIk/fajoJtyWxyg9hgm+asKd5L 556sePxf4si0z4KCLNq3NkcxdKR/TWvEjahGselQWbc5gp+uzVEI1Zaf5hTpf94je2RozcNBi2kt ekdERWQSpSZRbN8qG0A5+I4gH5HhvQyl8T8/PFoK1F8q7zjwVPB8BNK6EhtijmKq7722Y9G/TGlN ZrDV6ezmYTNd0R6oWPOK3DF0hOIamzRbDl5CSjvTWkNUrSpvBMCSyUmHldVls0Fakm8bxEdFAm1c R9O1lwrUKXgw/KA0j8cj6Mjv1P/suXyJozuCjqT6kEKSnWafRtXa86q0LQj4aYpCwT8ltGXdwRsj J/eHt4amaEI2mjV/lEKwsNCRvM3s3vW2EbeW6iOgktsglNY5Iab6Innx8X8BkFRky4BF3FUUxtnZ ZGyHbbUlZWRutZn8OILNsAh/O4aOUDEKQGMbfBU1CLAW9rLBz5pmL42cm1IGu10jJFoS4zuCAJI8 /9nyHbSKoCG0RZfFTosFtn95sQGAkobXIXdY0M4URq7NT6PVTHYU1T1q/lMTSV7SnZ/0tyh7VUIj OPiJfT4dRofsHOECaqRNAg/4AzGjH9zZDhzZU2/7N6sfpmk22EZDxql2fx14PPJju7ARkkTQSVxf x7EdRdmNp9H5bYudRk0F7YZfZ/q11ufuBojcEXAEwBUoIX4Hm6Tzl6eTYPRstpBQaiEm4txo0CbB P7++2izW7n/oj0CW2wZF1mOnSkDjSQcHHo9S2mdtRD2Rm60Jp/OerpE3MqoQImiSkOe3pT8qJ3JW Ww03QsLy+xsQfVUrMxIOFkPCaO5EkVREPFflme9C4ggoMpkip/ANA/uOa2lte+PIeYwTJBfyBap+ VpejAA/GIlLLkx8QQUdvI5I6ylj57aoikOYY9tHhwLfFgABFom6TIekM87jnFsQoJNie4nA7MfFn L1IEQh3d0HMALoTECHTaNm0PAYuBDgmca9O1tF8Mt/EtETbiArVs4IoaGS6R/MKzYvl8kJT059EE CtbZ8/uK/6fQ12bIaLSbFbKF96wl9ea9/0aNWjkkpBCsTdufNiluw8HW1Dk7WoIgJa1GF+w2BicK MEL68pZUHmaj2d74r5gtCSWPhLa0jOxP/EJQHNoKix8QxEu/2gAQRxxf+p8aL+9XOCtKOXmxRCJx BgA059+KOOfOVGgbyU7aWZ7VB58ptGvXpln7xHlOJEVCCH5mCmA2lOK+3dtibxwBJRl7S+J+9Bt7 n6NQns/AqvL5iN8+HsfUhkdwudddTlH0jaIOfK6XmyUMqUlvWvyObRwJSNT53gtNbiRJnlnElZyJ VUxg+Nq64ZJGbuoqUcI6J+glFnRBraxEbV1+TdA0WaqZ9DvoOG8Fpy3+c8NUIoWQknJ7uIm7r7HF 9T2mwnI3j6ksyUg8uFABE8xt4VeWpFRZxehb+KjomFJz0pAT1wcQnRMgJrOmqifoAlHoDtwKCN5Q AdALcGnbC0dgQMbfQ8Ibw1iZFT6AhA8R6svnI/OtX5IJEGxuX2epFKqTAHxTOu0y2DtZmVwAaAGV 5oySVtTu7vqoIDzgpjvLZ/lg85ROdHWO2iLZxw1oA5cUj4tEY4BxnVwyoVn8mkhYijUmGIPboPoJ WJkyPjiTzef/5Wsrw7tzkc7dvpsv1iihAOc2/DaqldPR7ilZ7wnn+XbHmxQWvjcBypVdbw4eIzRI nE/KJs2SYVdJBG6jxUQHmBzeOlZEQdVAXxORuVmGExynJyJqWToBE1rtgdL4/L8cbpnana10gpbr MZWiqBzcdGBLkou5nGPkirnn4jCTvK4TV4qkSsqWjLtEf3O/EtwpT5YU2Y+b54hCloJiEzIHpEzo 9V1g4LT3/ZsE9/8WUiDilfdQp6kNPh/svPZEvbOHmWr+Bp1h+buNr82EgqrmNTmWY00aEOKwNk9h /XvJ5ZYqEhy8tptAr0k02z5Z44ZMsPqWYJ0TVXbr3yXXYi8cVZyoezdot83BJmoP2ZHDQYbP/5c8 GJXt8e035dBqEokcst/qHgq9XinuIvsb1uXSqO6iGMJNeEStWroPtp7OrkJNSKbbEFSsRZLucMKE XBlNb9zaha7bOfCalA/iYIvAB5ULAEFgYnxedfL56IS6ADgR5eGAd00Ucmg32ZgO71puOtxd/xY2 2d0rBqRpaKIi0EmiPPLeXFB73wVF7sQJOVMXLmecg4S8XVC5WqZa2bzad7QtyX+xm2R5Lishzp4Q n8isTVXCV6l8PojM8032GKdOwk6+rpxhZI55qhD98ox4bZdSSoMsgQLxku5SSjm0nFSbF64bPtiC OtHNWZGw3RQfF8xlEpcI9oR0NCr8Nk+l2fv+TSRwJaV8qZgoxwkLmjQCznIdfD5qGVy0oDiqoCm2 7zxFBfE1OU9ANrqecr1e3OJ3VfqXrbvxorgc0kzQ45p3sPV04iYQl9nFxJ+TzMQzU14dJQ7oKZss 18j2vn9RU5hAwfrA9XQSyJMGs/t0PozPR5iBJ1EQxzoaGXeaQqHASbipGwNn+/tYy8wyn2BGjGKa TVPIpxSRsvXtgy+ndLbhWlmU09FQAiRIhyV7NCJGvlVxiSrl0HxSsIEpS2VoGdp6ghJu13oam89H 3d5fJqbneoJRyJ2nSJ4bZz0CqGrSUydiNZMOBFDLth2sNYtvuzAkl6KiDBM42DxBjg1FYJbrBmoT pBRmav4lyl70L4xZgUFgP4nziYITOO5tEHAQTptJ5NxV+HzUhnoSdNCGqulhGhzSKrNsS7pjvgrw lgo021eiu15bhRwaUIougOKuDw4eQFttNwWaC5pdxOlSnG0hJCho3nrJTrWo0IGSGt2D6vo2GLXi LLQmlS6u+igi53n8qI+f6Uaj0ucpVDFhTxOWO54N92zzNKvk3uigVbMH5IEHZaZjLVQn2h08dYG5 D+xR2NSklQXiJ3h1/CzMbi7FFXELIQH8lmA9ZRGZ6/RB992Js2XZgQYqn480Oq5NB/7xZrvlGuOG NpRTauaqqWA95ZkdGb+aSQnAraXc9RSWyeUbLH2l9tVXglL9SeQ1TwMdeER3G/rjje5KBZXJehE7 vdkbR8r4rEBVxpscHGmRh0DJqxU+H5maP6XxwYft0CH2iQqh35N8OCIVVbGTGC4zvJ5toiD513yi woC8UXwCOZcNt2bQIPDWVDOgmmCnDRV4YiwZAhL0O3MJ3SgL+5tgMPngmUuXzwzKE3w+yFzKs2aQ yWT8sixzaEjJKjtSYZuok2g2R6WmMcZ3ogwml0NHSllRjsZjmsM9oc4Cb5I1O+8DagvEqE4ggVZ5 AaYvfXtTzd436gMbC1Wc1O6yknMtNfPOF2Q+H9VWnuK4lDD88uNy6EkpfBPPQCl2n6hkqgY1K2Fa kjTJo/k0xSBwwS3W8sGBqXtATnCJawkmOyqgmZDnhWbDTNdqYcm2O/SkBPGGimbdB227c4vKneIc zZPP/4vYLNQPugr75nexKSUZD+fMUMflqSEELLFEl4Bxrt5RCFwpsZpoIlxYBeZwWXLnW5hEUSSv T6JXoQ+GQjlCtwwwoJ1PuSZ746hWR+VX6lLDgyr/kNwGPB9ZT4l5CD/gXxG5/JbOpbsu+CIHxpS4 8ci4nDyzEUz10pYX6+RXvalunr3+GzhTOoi3a0H1x4I6+U89e4+a1GeWwIEddKacVApHsamnx5W3 7Y0DcAHxCK0sG6aRKLZ6acyF9JpRyeBXaACga76mCzm0ptwQd6kQrbFQs0xDPkFBjyE5SwbVJTxz 4E15pWBHST44kPcEUPKjgszpoCYuQk0wDDrl6/DyXief/sIR89KccoQNw66ROgUOQvZ7RluTHxDx 5dv/xAYwFr8TFZXJG619ezZDM+AwirlTwXB9XqhKyT5RIVZFRbqqMnn9lslzTiBMTDFUIbREaf1U aQ4JHP26bnnI9vS+UQhFRBiFtzQYEmPCHvSH6VHj85EBs4fkU0ZNG52XcecpislB02Gtzr1OFwvP gmHm5VznhRabzVOoLAiQ4UkEmAqnfFPhQXPhhjwO3kIFtoI8xoBpQNGGFDZXXlrT3jeAY2RZwpbk g8ImuJ3yjoV4AZ//V0jOU/vsmL6+3YTQopJd4bJvxaBsWogJs9LXxaxU18zPgUclUjyWVKQbxMGW Uzsz0ygqjsoKUD1ImvM+UeaJCRD59UQfTO47m6cQttLURShSF8Dc6+9l5RTn00ns+XyQCl/ZPJ5F wPLD/+hOVBSTd+nmiSsny7O5DLmySKmQxjBcpJULl3+450hCh4PXyHc7r4KVctbTmR5Sh6jBdPYd 2L24vyyCkiRcLlFEXpdo83ZMJacZnvfb2bDPmc9Hx9MzIs9JiqK3Rh44VUJfUkqVZieUeblZzQAN XseJwSPB5immYxJtsOb2wZsu6+zrc/LKYQAxJ5uMHYzYiTg6EwY15Z+rznBoVjnYRdh1Lw1NCwpB YGFI3s89zeejGtRTrBLqN7N+I/LQrnLSHGYoMmDP5XoxzxNaOQa6dpf1zrFf5RK8h6VfDraeFnAL 8AH4UaCpah7EUamgj+b5lasSTjyHhpWZEVRmoqfBznGke/I+Q4k8cKxEY+g5TZCWm/UCM3PoWLkp x2x+FWwQ92vGyL/DjE+ni8PlwLIy/98Sg34Lfri/fDqIy8B0Q1SxJneTcxKhf9jJUkeH8AINur1w xBVjTaXKaLiWS+89d+qSsMfcfD5CZ3pYILM0IBh7+q6nEC9OaibVtnyiuvdcqDUl2sGqbKpzomLA OOE9hUo6HCzSPLdR2qMTWofGW0eqArkh6P4lCK8VSPZas7OrORU6V44t/5OspgsQf7KrQv13SJCx Z35ABPZ9hpq46RfexGcqBK80IaLbVUH5dqf2rF4kz5xRzVQYk1O9uu58B8dDgc5ddUJNuI7RuyFn oKAye7lZ7YT6aKAH/pWuFLsmG+jUJunK1wb8VgnzWSPzA6LN55ceIwmkw2V/ZyoMysENO8dockr0 /Iqh9G37EA4RyetQgYUl1hSzF+IINNhZftIv3G9bfeGTKuAY3GgsUDb9TAiSXAfYZ3/hv4nGzy6p D3Qa1WfKYMzQywRZDD8ggvn86gwDXoXryycqCsqz9NBn8YRYyopi2EEu0LArgAoqzQuNLKWIchZn 8sHrBjUhJie/fsKpYrO8UiHQmBN0XnMf49J+Urc3jjafyHUyRsuXrLnWmk1hOSqbgZcl0p+n5AcN 43Gj+0TFct80skrVDYiTs33PoqjbZVH6dnO9HLhZQrtGzF8ZNbZ8YZtnL5yUcyYd5zBIoZvlSBxQ UUYV7tJaur1w1MmTH4pOdUDeJc6YM4QguPUSV1QIJc9PMCK0J2mE4RMVlsonmfaU8NdEza+elWwb MVH0NLeJiuNyloAb+QYcvHBQc9sMDYFFBLe1ko+IEsCZwEWhuiuNRu0ufk0kaEnZry7Zr+6yX7hp O2nZoJjw+WDr/ZJrBFFurC/WIDS1pFdYJWbZgMC1Nq9F5SZWOVx4LhQxdrXUGTV18sxr2NBPtg2R YMkQgw1MnhCLqJgobD2hVwSHUq08tLU0DOKQsLXbsMAeKk2uB6AB+XwQcP6ykclQf199fk/zsFhO 6y94NrkdoW440rRwmNCOELrmDhwLnS07xZiyXJvz17UZjVSS4pHqbajRMylMfTLNxI+KetVtU3V/ 46AKTKz02OS4ctC114F4ZHs47cnngwBh/iqxoNv1aOeF5pYQBWIi3B2VMU3wAhLp5HHQ3RrBnQKE wN1S8oa70r/YBk3UQk9y5km1fQjF966yOpicrKGD/qaUOFNWm18STZM4wMs8CbpKdlD1UwtUaIPA 3vKZ6qnUB/mmb3QQ2ltOCabIX4cluz7cBLzm7tNU6fDI9RT6W6KJuYEzHD4Y2ACBPXQHAMpoJ+FO ifXiUkDsArwio5Bumd5QGBUYXCJe5I5bWlXTEfhAeAAez1QPbfTQ4jJ95dIYmp//3u3WWEKLyyp4 3dg3JR5zOXVqbRO4HqmxZNf1UVEYJWTrvIMdUQP15Az3bNSJEO90q21ivdNofl/UWCr2vn+xlBmw yeSAVIV/2jmhoRnNO68sfkAk4Tj/5ygnfcvnKRQCp6dcp2W0uYFdWnASCIEWRUBD2L4LA3NZpYj6 w8FS4oH2cKrbbGVWLkW0Dxhx4VjHXWXNPDkU5cDlUtL+54LsI/lgKXHaqVj/s/L5SDbtGWziXBxA cfs0RZhyhLX5/NzW3sxQp3Bd2ZYNkAjOEHVlkz4qQK+Q79oWqXgcPH8ZQO+dGIfyu+tcpWiP5o4z ZtJKCoUzl+FRFz00upwUKcYU+aCUeAFYzGjqrDI+Hy0n33aMv4GDyl+0Zmh1WdEjAvTQlZwJu1EX faTlnuB5MzJAUB54XaICTFSGjCw5eBd9wYEPaSAAGuscLWTiQyPkZ28gottDhI/G6Tl0u2ysGbRC Ef4GgOaStcw5JAQvnys3fkDgnb6fkQHgnvPrnpYDv0vVI69qGrVT952o7npY0EG5aV5geCkcAM1A tg/eHD6/9ipYNCgdnBXUaAyeWa0730G9ggsAln16Djwvr3i6vIomoGKmEIJCM2+8jMpBaHpZrleR SAr0MEnfRC+0vcTiPbH+7q7pzN60MuITWZny5WjymOGaihAs1RSw8h28q3D2IYTCiIiaJ7oZxLpQ m5iq/Iu+15ooEYQC40uZtFLRcfmgIyrhUuXG2eAHBc6XZoX6AESd2HF9ifmf1wiqo7hJK6fi/KDz n1+zORmGUI2XnAGsqNf4qUP80mQLhw9es6v73CvQJ6AY754qgp6fQJDLn9UeLCrET6/RU3c9Ptg3 +GBTBNdRmTouPP0yQecFt9EXt85wCHAADceV/3mNnLqp99bZi4yuUX/aRuGY2B5i5NGp3DZcMD1d SnMk/rpRkVK7kUHWpoLiSe0Szb/ob3nOBMgpwyLc3RyWzqXX+TnpBjEYu1BFlYNIsBTX4rY7O/fz GjJ1cKKLzY/aUgBiECmGr3wNmM5DlEY5H9u+NgVmTFQUlkhDbSAQ4Py8hkvdO5x7E3rJweJKIAnh BMYOwjluVlF3HdKV8KoEbsXDSlp7fl6DpS4hHUinAWKvwfos7exp1jPPmfh5jZT6l9qi5QOkY5vE qWB6XuOkboROhMnWAi77K3ux5L5XZXdpu+s1Sjof5C1y+cqOh68stC133RQqBLW2s7F54ktskgEm Qr3Tg0rX5zVG6rKEQelyq4IJIWNJgmZI0zHmXueofg2R+tcJHDuDx9WUO7XN0GuI1DOPDZJP3RBU IA/5ygqQKQJCtxLva4CE34dwzA4FLRv84m+tgfeDxAQcDbUV0onmkegCUVXRGLIdtqmc9hof4QQi rLdn2hNySHbtTwnasUX+Gh11mQNoiuywglmGT9BrbNQRarBU0pt3n0BwdhTBMCVVsDq7Ge++RkY9 kXG0C9VibPCaSQXgPJMRvPKeSwI8APEDX4jCJHCj3s1kufI1MMLPKWvCpdbvas5JPPc/YWzoJ5bP a1TE7eJ7THM5f6asiTFBrzERzvVCpavlPukAvGeLibY6dZ3OxMXNraIJamKu5OmDo1FgGLiX3FIb DEfYxFyTEHqU4Jiy2SZjyvYaDmGTFSYi50TggO4CC24JcvUET+IS+LxGQ/2rBaZDCD7R556vNkGv kRDO6EZF2WYiIJRwdLnUKRSmeYBY0PgaB/GMljwRi28cfIJO7p/akBLYxrGDaw5ADhS80ZcrQC57 cE1S+Wsc1F1XZmYq8MzsuEAwFFKxnkj+vEZBfMVsE1Q5l0DBUL+R2prBBFWd0rnfKtJYVw2ELVOd 0surSK9lSWxwse0XzyAOHlOfgBe+skMxNeaqEPiFul1mi1GAJkLkFk1SXouSmCC6yBe5o5VFwxkw KnarVYyVDB3QYIK62Tbrlu/EdTRfQa/1SCy7pBL3l13gGQjR4FdRZvsh/VqN7F7gRn3IB8cxnZSi TpCzcAad234ggTvZGRp6CeHFSXDKRcbRsOm1FolfQTdYUQ+uJFmjobOE2vCPIVJfK5E4g8Zzi2XI 0yyYaFmcGMXRg/xRKvO6/veofkwvo69IgMkJh9EcLbk1cxFx8LbSOGcQlAcQKZ4DOyHvROaPDtW5 W85CgHbCL5H0dx4dVtGg3k6hY1NxaR+USrMsZNuJGz/vNLpuvJw7S2AYU+/cJimKpinHcRZpu8lG mle50fEVxN06RCAMp6Ups2RusW51bTAF74kKVwDK9UkJ0LPR6CWUu5e1q2SJh943Oq3Z9161+2D1 /5O8MsFp56/5vHPocK6kZ8iIbTZx/tskvYfUyFMyO93t4iiay4CNQh8unkbsWxrOK5ikTSzgkirh +qoSQig4nVBxVgWN51nmrSeaBgJwAzHbbkA0hNcNpki6Xaip+aApSrAMUy1/8/EgJFo+RQzAUbNK 805RFFZX6aSk6lMEgWKbop7a1ZGnvLhwAcGJ3WWu+4eULNp1gJssVkE2FjfJKQPAygnQDxvh90ZL et33vQYcDNS/eOmnZeT9E52cXJKBdS3An4aR9fDIevzI+eO8wPI5eg+skXDioJ7THFDPZSzaM0q0 vRpI51w47aKW3iNr5HjojAC67IN1RiA9CQwOeZjM9Knm0ElihkodQOveGZmqPIa3fhbqW+BvgAwN VLnhCYUzJicU1II5Ek3Lq1hkBqLy7ZMUBdd9CMu8nE5fdMexniauLxfSuAXa9+g6/580ZPeUDtj8 6oB1iA0grWePu4NSRf0mQCqhAnGCx3U9ZEa2132fpb3NiWD4wEk6v3Lpkig6U/9558x1KYg+rjYg VE/+cU/tMMCm2M7u67p/1JIcCbCLN7jPDJgjwTtlztYkukZ1+2CTdI64c1jJ/QNmcoYVgISMzkxI IbnFbqY72jtjDn+lICUCC87hkwSKrwSKICPxeSfMMQ2ujwAJnVgUQX2OohibEHGgIXyOcnYX4nNV XS/CtZr5Nb3T5bpDKWdXOa1foNIJHzMavgyyT5KBkw4x5ELLaDJXm1foo1A+4/POlusmHXPeS+5o mQcM2XJzVS6knjofD4LIe/szys6/gsh3rhyvoyZdwmm1IvC4VSuCs1KzimwuyaE3UZwNm2EguHH7 a3AY5UlnwBfohOWeuG05OKcgLIY9+vz2jLre9n2KaPt11t5cPqha1EsWewe2Pp93mhz+WAMHbgPl gsogv2jOURRpd9JoYQL9I95lY4Wb9bQhuULU0/q8nZA41MYcJeQsNlhBDbjCwYwewq0Qq2WkWdGE 6nzttPq3vD/1utEkIYqk/IkNOpD2SXC7QJEASESxtjNQ9G7o6UF43kuOUaiNW4aeltvz2Xw9LSdk O92wyZsg7wS5m45MusNpsL1GfgY8dqmqswpImNhrkEslsi4zE1HRerP5+E6Q6xJeRiYr+knurqmT EWgzWDoXxOedH9cFXPzuNUpb7xtEvtPjMLOddEvZVqvwaOaW0JJNpn9yAstiGqDv7DisSN7po+Tu gwFI9jmcnStwzo2ZMm0bThQJRwJEYdS18gOJtcd3ehxL+8jZ0JnjgEqE8pGTIAyxvqB/8k6P49W2 HjESgaowyLFZiqrX8o7vlB9Q0jaL+xEl2mmpjY2ml1bSe6jtVoRDceR4xpHQ4oEOO2apIzhi0pYJ Ki9gDUBV+FJ0tJTeg+30fyJZTkhjkmqJvInn9jngJm+KcULJzzs1zhoo3wCgAGQKkpLNUhhts8bf 1EmzApsZpI215i2w9W6uTe/EuC4m1sZNvHy4dArQdTt9dmdDp3ZSaw8y5ZA1woumdbUqqH3yzotj /o+Tu1F7V4PaRDCoF5dwzvp5p8V5y+ee3Kh5sRZokxSF29TpqPSWdC35fYV0Wp4O6iYShhsuKmTD shdrKGUf7FCqG6Ujuciho0LtHDQWK6yawW0Y0283821658RZ3kWgVvVBEMCKbgIz2xPff94ZcbiA 969emnqW91AKS9mZzaJs2COocV1PAih2W2Y7oJGgOYpq2UxJCg1EbPA60rlwzm9QKAS62gnEYTiX M74T220CNFW+J/fS+wZREvHupNHZIFDbhIgOo8M91+edD+fVjpvbshWy011I79G2aYdW8kBtksZ2 aE3JLk3c28W8v5PhGEuwkL3N3Hrc9P8cSMhjp3Vk0Q1HjWSQf5jQrIKexdf6e+l9388kRFjAJa87 cJI6itysBpzVsT/vZDj8uaU9QoB51l6f08Ptdy4cJ6lRniL7bpuSlyUl5xrJoblvPinvVDj8xsRH bvVl97cvO084UHedZAzCtWs36qVlNPAmybbYYp63laHXjeaIFUk5Ei7Eq/wxTljWyxJzaez9eWfC se30rLYBuwfMkE9SWNZGyauWkW9yW327jV6cqHvWZTaQ1jsNjiXnSZs9FgI42CRByeRMd9EkAcfI WlMBR3ZQUmPmyz8lqu7zToNjCsXZWSwocbDu/jZHLVToP+8sODw+PbmVyg7W0Lh3WxRvN6LcWykO +DsvbVWSk51cUuUGulpz9B5vqxO+K91zbXD86PnHNW35o55oftcmDADwoxBpl6m1RCmSvW20jojz Yz1JgwpJo1DRm3jk8Xnnv3ll8Xtqn3sOxH9vYUfhNrJyVPwd3w5ciEvpmZgsbrb57WHH4TbcGjP9 mjXYFEF5AqEXeQDjRANzqIOCkxcWRGBFuaAXTt7PO/mNHTI6WupK4qC0bcB+RRI4u3zeuW9d5JdH RgIIcr5n9jv1jUuXYhSteAvy5AjNa22pWBw5eGxoimKYCJjLDY1TGzRFkDw9YURlXRvtxirC6YZY ToNFfcZhiBOXjf6i143miFus6lSivSSjSKCbRWBuk4//68hWFIl/P+4cRbE2oYhoom3babLSFrQ2 fdmmlOPETnsnvRFpRHY3AyQNdhqd4+38EZMQv3P0L3TECBU5x1dHVDjT98RuQ28bJG2Q6zgRI71S iiuNw+JtiUuCEOvzznfjgf3sskE+liRzm6Io0G5ieO+rMbhbNzX5vkWEp4hXaibi9c52404jmB2m pzbYFJ0zY9YNW3EcRic5X1U6qZkHNqqCsKB29/ik141aSCQmNaZuG6mxWFcQTlrEz58LunzeqW4s kTyDSED00Vv3SYoC7a1m7ci+js6fYazl3oTTIpa9Ox7ineiGHwl3VUWxxQc7js6dVs+VDSNUqL+0 hgIZiijwOIT/S7kHNlhCfNm/T9FaPthpNBcqdhLt/bxz3Lpg8N/TCFXXlm5w9E5xs2gDgAhvZ6Nc ZDvtLOVkMzToe68Ziora+DIodKH4oeEWtQu6YEmmBOXEMYQGnIjr7LRG1ibaGdYdGfa6QQdptbd7 f4GOJHu01PmOwSrK6TFHFIyGbaPNURRld2X+ZbsselfmRtgjHSOY0/Ii0okd1rSXZDz79MFz2gz4 8STOv59wO4nVBo4pOpEwzCnl6gcJcfxObmNSmgkWouYEBxWRZuqV5c+68EO+R9lo1+7nzc+q+ryT FEXZOKsBhphua7n68sL/9KYkyPfZyiPvxDaeR+zTdl7rXCzncBB8ba5VMoVezoenCaIWco5ztC7w QGHP5nm/sMbvtLYLYOuN1RE1fBVl51Lp6fLT14kg32ltvMXHY5LO0fgzH4d2FGVDzQc+ftkVdBtb wwyPUmnu2oS8yiYprGqrhSQMMQfvaQOgRotMCCzlBXbjD68wktrQm+230pbhustviY4kZv5dqvGo 5BBCjUpglkzsmJnPR1nts2Y76IecbhAZxdmcW0gh3hoSYfKsIaF/ZZW2NV0n753QhvcnPGtKypSD 12yhuQjfT/ZHBljuANLSDg2VJAqIp2vcRHGAdz6blSoAV9/ZByUjKAUmVQF2/rzT2bq0Rp+F7Q4P EA+R3tlsfv9D2q0b2LiLt8YsCL+N3D8TReuIhQwj7c0TG/KNNtgkpbRITiaUbUHcDTSAk3eAcopw s+R5VxJtNT/vZDYChmkk19XW7tUcUkGF1VXRzy/+eeey/Wq0USse1cBZ98WLhhgSqnGkRu0y3v8A BMsjpaGQQW4kuPxnQWElvVPZ2HNmYaSV4YN3I09EmsdFrJ9paKQlswIAO4u8a7+QdapPvTPZbsm2 be66trsHOwDjqq7d0vq889hYnnguJNwVfQ4vtL3T2LpZ7qD/JeFANG+31hSwwoTcLPH9mvij7yy2 Lg0rwGlJ9+tfuh922oRMeSed5vwveK1gp1Pnkbr5uIZv0tb1vsHJXZvoftkHoUYrBGSmCm39805i Y7TtIQAmFKEn8fQ2Se/RtuNGTYiL+mszGR0Zsk7WjuwJ5EnWkN4pbLeNtLaq2/vKKZ2PmaDDMfUf mcw4Ftqg7JZxRJ0QYCQPAYi7+rxz2FjzYhDZKafEQUgbmB6y5wpnn887hY3Y2mcIgFScMBabpLCs Lf/PXbxDMpfZpZ8ksmVHHyMLMHh2WNYGoO/kImP64D3b86dkstAbrHbPdDOUqgB/D9amOqXPx7dA 8s5fQ2arlLbQ74OD4u0GSX2pCrf2eaevGXLQ8Mf4x7CvhdeZT1JU16bjTM10L1GcxGRCCGQyPyUq vLKFAO/sNVvJjLS3D14hKVAdKqbYyY6CJM8pDnGO3kXjxiKNkqm3jbJ/HttQPrJBczThya7a7R6f d+Ya868bJQlx0mGSeo/tEERCtP2WnVyXBIfRsU4EPW9Dsrjw8jtx7ZvbLhG11yVqowS86kb7BHng WWKosFHj7fyCsMgFs8DD7WRvGyG2WEUqZK1xUKEt5y4l2HaivM87aY3n2TOSxDk46rpTFIXblCE7 t3Pb3kKiS6l5MZgP3zmz1/S9FoXbNP48iTFXU81XcGOdnB/231Q0RWBflf6je4sOW/6BEYR32XAF f975asy7iI9YtfpgVFGUga2IND/vdLUufwJrazOOTNT1vId2GG0vkrBaddn80a1Ne3Jb6S0jt61U leXNFmK1C0Mk9FJt8Jo27NXy4KENfzTsNhYj2QRE6x0VEnlbAoHP7wgu/0Q3j6QjO3nWVtEgZoSE xjAeD6LI8azXAi4FApRPURhqF4bae/sy6lh7psNFDq45CyQr+7eopJ2EHUFeZcOF2UDLMrGpDRNw 9CIxRZgZROKIAPLtaSd73YAxkpjSQgXHBm21s7VlB9VOZIbHg8Z/8RIJxcqgwZVu0tajSLvT/3Ov WhzxT40JIf7TrbSdhWbgyP4eafuJXenbqMHBkedUbisJZoObv9BqdzYeBJONfA+0ZSrQY94jBQK7 OLTdT6MTOCawfjBFm48HpJH9rGmjHdTbRdn0sKZNP7Ti6H6U3Kb7f0LQzaaojWIw5B4hSKDXCrAf iNYarKZ9tizcY1mvhbpvlcsVHEB/Kv1HgSXx5J/SGj2qaVdS1ldlG5KDthp9bomxPbEkHo+oWesR QkL0oYKwa3MUxtlSeB0jeRXpIiPRtrKsFt4IppHUo5q2LCd2I4yEgydswCCnymV0UiwwCBpNhiBV lSnmSt8yq9gSP9KjOFuiW139o97p1sBAO8P5UCaEreD5gAM51+NAyjBLpBqcTVPIgqSZAFE95u5F o3EC/woNyClns+g+gkO7R5H2pFnzHPkONk0otRXKu0PTN4NwzOS/4KMyqyNoyVjVdjOx7VGkDRkw qGlMG5KhIxP0hFhHOr8FHo+YouuZs6EYKadGTlJEhKTe1okcq1la4no2Ji0kQ6wiCRsgEzTvYaRN 38HdiWrj4OlIppJvNiptSTy1zoI6Pz2O9J88vg7pi1dbjyrba5ilnsC2aMtKAYHXvwS09sTz0d32 i0AyAfxvdyWFkTZuxNKTUWuAcLt2C7lln6QEjRhNUsiGZC8yrTJ9uHdbO5u3yyIdp15l7TJD9AJN ONqH3EOJ0q49wmtPsiFHlSoS+ptERJwDuQ0Ky/wAlITng5V02RG3jbT2XUlhbXtRZ4uC5v8vy55x mD0jj98sUPumIFnnJ/0l1D6hp2klX+xf20gWJn2E+oaDHmUZTqAJieaMCmyBxOKlIk2971/qSGPL x/LEXtgHWku9tKppOokBPiA4vPt6TBMVJ8olkfT3cBuCCTy8RSymW5yUtSoOb6CRitokyCIr11IU bm9WRyYjSg3Os0noildqbJ2/DAoRVExEKLnJRy2E2sq4gyKcPapuV6lzK+zmYIitvTtP8XNpTDwe pP/1eXTz/qdZu+Yogmz3bsd1N6fPQUt6CpF1+swzCBiT9H4EARGExGoklGPDwIiWPunnSO20lGnE DNUkVUnUeXPC0VS14aYXkiq+5W+R0pIyPodbI2ms4UJWFY//F3xkhohUXxf718OIu8m9chQvt+1s JgvgRDYvtwGErVmKituLhm8nk8k++EpaIF0sam8ihMyQZiBAEgbXIOtXiqupJjlIIO0RjASQYgSS CkK7qTWfuR9raJZmmXg8WkpeJZmcJazIfltuI4q5qft7ft3hLbeczV3oZFjFILhnEyYPKEdU3SbJ pkLD3Yebup1cIU05ec2z8Yrg/9KaBZAZdVuxSKTKPaKYG9XpAZHZ5oMaAOu861LD7aRuI4y5b92W qG0ILuZL9R9RzI01CuXn3SxQmoxUGChR2V8exASFisoe1rYZTy6aemuw663tluBORSbybnCoAtJ2 gb8K+wBU2/KVH8O1iC/5C5kdQiMEAGRgvuSBBxQ+KQ4D+e0IgSTpWSY5P9wPhWBtkkLQdk7qH7n3 NwTGjSJx1r9NkuT/NElRcdvqI8hAN4RLGPojdwPA8wRIg+3tBNAWCcsbIMOKXmpZt5G0oQWB7wgw pE0LiN3tPWf3WPKcNZu40PM/eDxqkjxFWZA7NqpqaYpCIEknY2t9gba9Oc820YWXlaTeHIw8ooB7 qSBJGg3gij5FEylnA/WWJYDZS21DWJvzAyb9lPf+R4qP74g6kkzdCkNJDtpqoDpPW7Ybj0fH0a9V BCRSv8W2EYXbFEo6r9/6jSSTGen2qSwOp9G58HyrReE2dTWAj6s+OEjinBUTxrDSvj/hNq1zCur+ gz47CEKNZsvVhy+JjiMKRowkATsA+Xgb9Y2LjQrcZzfi+WgdPUtJ1KVu+x7ZUbg9FpEkI2fbam0n lxvbrByzRUI1Wk1SFG4vArY3sXwa/GKDAnAvU4Xt8/8mmX8Fdz7ASj/QI7V1RKgXviPIbhOr/k30 /7anXf5n926m8PUEEXg8Oo08I5F+Gzmsd4pCuDYzApjw2RRVAq0lgLTdrgu0z6yMZERwbSSKVI7u PtitliBPV6XUesIX+U+h2gZfSKRwyPovWLvqbaNGG/haDUbFGEAX0K0GvFz2zHaEgfYvGEmhNOP3 wI7q2k3FtuzoyLPrkvO1VJwRjAR/glZRWNdW0jYIkjwDqXXUikQjuxqhHTkyGkG0/0ax65zjizBk TREW9WdEdW0gQAlnY1LC8iK3x0DxnAHlKKnj+Yg+8iT9o9SCE97nKAq0R9IcVU9s176ctrH2unM0 fY7CQHtLZVsiLe2KtJwY5GT6M3OOYHhEsYgTaENobCEtrGgA+FZjBDnCOJvCh/h3GAgkEyqiwU2V Kdc6kQU+IEj/y6905MTYA9bmNkt/DbRrlbnwEOE222ZbxRqTOFPczWzEpW0pR5MbYbIgkrJJ8PUT yWa1ORJ1Epkn/mzSCRAWWPl/69AO2ZGV3oE7y3hqISv+ETcCKAwspbMr8HxUI/HLn9fuhrHS8st/ hrXtSnwN9eiJImlSYeWVKp0tVts2USSdnxRlIwywe5k+eDdyAVBA+eFOz7dNRlcr0F4/G9Eg7eNL s50hiAR125PpkKzFQYc2KDZbX1cqHg+mqD0PbcQnHV18m6Iozq5yy4VftCokUAyxCgnModA6wlre rLWd+GiGte1MHZK97uCXfz4JXO5q2KLXNpiwkbR3AhtaFPXxRdouve/f0lrERj5okmDK2rMtXDz+ X44kRqRfmu2M4uxMrdFzgHhxOy+n/UHSwI+knd0CdobkSLmX6WSarjePy78B9FCkybohjAyXzBOr IKQjl+4kW/UibbK9b8QfIZet8PrnoAJJOSeDTDj2yf1nFGnP/kS1g2Mzv+f2jCJtgcuWJokcm7SM HCHIlnBt7Ddrkv5a2q50NbfB0X+wl0IQxkYSMFWkLDW08jqw8K1cuvaiTPv8B4SkSiDSUe21gHrB kOyESguPR/yRZ2GbIuZ93M0WRdqVQqyLLpdYR5Dx3d4kWV6zBTnC11Eo8VelfiiBtnEF2qATvYHS p3wEtEqhrYcFM+hnet4a1HvXQKbL1AyZkUmQ7TR9EBy5Y8aZhJVe8XjQj2xP3j/w6ENuG5yjsK69 yIysM9nFVno2aQQIIHsUeY52u9hmhCCRz82e0mefF7A1oJI0IRhG9xYYeLMfmbF0J0p5IBd5iFTt bYMzm+sHMHkfdPcXzDtbtueL8Hik+XPvfnL+0CQv1WVIZoQgGb19/e+ERnYIKUSeXIak4RLQHEVl 7SWgbZHkePmKaaaGLj3+eszR2cuKD3Au/XRGnQ+JaFxV+I5gGQ2hbNLS0BVpL0CpCLjuZ8/h8Shf e0q1gCFOzW+bopAWmViuzcbJpsnUbY98I+2hQmTiJwVTVNlDqgyjd/2itVgjTFDAOFsNHOfMUx1u qZCzOeE2IsmnBNmMKtrUHhsL9A0btNMAd04qsW0+/i+w1maJLUMi4B7YEYCEiqwnmSp+YHdXiMYp OLu1bHOvVj2aUaCtq/8kCtsHb7PhNIIquxR9i7m8LjS5COEqCKVuQTvpdSMcMiWPs5SPM0qiEmWt dEpCzFNzxfMREsmPI8Ios9w+fJKiOJt1wLK/jpymryUO8jCwFjlWttViFRIUXUsiyoaDl2qhXD7w elBqoRBFVQhZBZYCI/BO0ip63b+ERxOYYB8ERcKhx2sJVw4eD2LIPn7lIkBr3XL2isJszix4Ti7F Xnr1jA0ntWdsMHrgQloRhGSQxb7Z2NdgC2kA3TZRe0Op7oR9NHQtFZJaHcKdjfpa68v3X2E5O7EM KeWI7WinQr3XLZRNrXg8okX+ovwBdPg9sVcUZvdO2xF5tnGK6nDMH5jDPkWQzdQUhWE2dTUWjdw1 WAR53v4EMhL3PYkIVBHof4uEZAACDs61A5FwN+E7/gZCxiajJELHOtSRDZ9PHtlnCeP5SILMzyMm MVBbg+GUT1KooF3lwtmcO9plF8HwaN4IciOV0CSFGBIsoEdLm50HAiPRLRpbEmQn1IC8prdF2CIB ZeRGRydAwHdENbZCDTuBR5eDR+s58GoW6m/x8X+JRAs7Wn86zgSboijIligSFYpNOCoPt9HYw9si Zy0PEyBZIVJbKuMDaYYGv9YgMJ1q0hTBxhr4kVLpsY6iZ+vfmz/Z20blbJ1GlYA24MMkiHHS5LbY iIH+Ip6PjqPnXsssiyMatEmKwmwUkBEeVesdnUM1DW9CNsPannsbMpCapJgXyR5tlXpkvRdbB1z0 XG0WZgNlQfn1hY7CYr+2f1ORzab/ivAj11NLDltIhT2IPBE42SN7Zjz/XwragNjOdjH/K4qzyRQ4 V/AVIG3DpZAhPuSMtqzaCCbp7wokbclnZF2fkQ5fg/M8pWzQDwFii1K/m7qEUEDCujNTO/pM4Vsi lA1nCUxVG0SM2EAzNSUjfMkIP/KMIlE7Gml4MrJCqDZNkMpOvt0GAQaKIkvalow0bHhNUhhoFyb+ k0IkU26FSkZKzejWSV0TSGcoJ590DVdhpWnNvFaStK/El0RzZPHj1DB0tjTQdtVhS6nh8f+ir4WL H8I6PkdRpI0r8EwMyT/yhRhL/3kCmDLMRhLdVkvYVkiN7EjDhlh/41v275BTAwGRc4RGEvmBuYFF fK5ztIpBM3P0CPImfEuUjtAzo4vWBlVB2bC0JEg+hKMqHn/fbesq2Sq9Q4Xv8iJWCNbuhJCWdkMk tdsoQEIbSAGRcP9LVzssatMyZFSq/nLwChJqCMRYYJISxMdQ1K5TVpuQQECdQL3aknB64lsiuLbA o9R85KDyyLn9RfqH2gke/2fPf+jtIIrn9doVFrWnhBHMoxxKl0ZJQifyKkdtwi44S2GwLak2Umg1 eFH7BACQXW6UatuAd4DQdqLUM59AUWagXDxMoibyioLtrKJ2G9kHHUnwQ2FCcl654vEgAtjPCIBy duPWtHcUbA+CwmaalxpBGRDTIFnerZ3UpaIAecyMlATZWD7YHNUJPaHEldRPfgivCIAjMalzLtKl rmUra9o7Crab6rSbwggcxBjFZUA57zMsPB6U2aqjkIYKmKipe5C034Nte6rS/lSbra6rZbfnuhok zcW1dqhB0iWupT2X13UhhWIbZLDka5vnothNphbRxjnKBM9vNqr87xCv3YQdSXcQBqk3yHfzkDl5 7X4PttEvey6jkgk68IRkh3ht6rT0lXyO1nQ7FhhYW0m7k6CuOYrx2gZnSz44UKFizhLZbAN8206/ jcyyIuLLDF7ptbQdet2/1NnO0ZO6D6JG4F5IWht94/F/0SKzLKEY3N69FvIiNzdYviVtSGnaedS+ jiyQo7NJisEjrEUihrbBCbad/A62Ik9ACqYqyKMb6dKSlO0XiEwSFL4kktfkQbQljeTKi+c2S72S jXFuyorHg3ptfkJs8Uc3JCk2RzFYu8mqZl1yxOWPwHvP5qhROpFzFNMimfzLJnJ/bSInrDTPyU3b 0Q7Bb3FsKpOmSRzbt9CGHYPv+JsKycqSH4MhnzTD5igtDSOFbDwfISMuVwvPSfL9xkg7dq5hOksn cNMh6TZJZ28v0x9DkcPU/neIHukkIk1GhxxskvD6CepR7PsjqBiqkDC7gHpUv3y2BQwIviOy9pEo Up4+GA/p/BJN/Csc2jF65Kkaib+ZFU2bogg9kpYwyF9zn+JC9uDpuSgSkNU2RaHYn3vUdR88jFwJ IFSyIhtMw0tdQiFteX0CSuz97N71tn/R1jqROkvbHATUAodXajbnKsbj/0rZmOoxXcNr2xyFKiQC szF2E1dL1LYudK0tI7oaiPK3Y/gIKciQN7bBllHeKK6hhHuONVRs15aZFITtKA3Yrv8RiWL4jiCv 1TJS4sZBy2gChMmi9sBWC5W111NZG5SxAeMBm6KwqN3VD+nTp4iZD7laiyhiwrQLG3icohimTaAf cCg2eMaGvkpbhNY2OKonKia2eRLahnoiUgQHj+ypt42OI55DFMDXYDutUsuc4sIDjwf1kfTM/CHG vEr3cu2OouxuQn+z+WGULOAuY0n1Vzut+E6LoCOTVL+EdooNttOAQClJJj/o2NbC1K5BzrRbUeX6 aQ972yiClPwI4VocFGRPtD15qZ3DG49HOe2z6j8IrLlyP0LqvIdHLK7N4QbtrF+aQTuTuf9HiwHo Asl2PMVSf/TVyFwkElhWDNnOFLXVyK5F9yMjtN9mzQ7xHDiFg/4ng/blbxyQRwn0p04UBn6GspFs UtUgOvED/hN4FKXTdlUR6Jf9/hjIiSCIdTIgoPY7komQQA/TeBEU3ZZMe4oV/xhti7Q1+rrgiEQn tkzjwxP7bTh1ExyBk55ii8RrmegPo218y9+Yf1WyiNVlEWG8sIgr/4GfDJ+PWP/7VywJc8mFf+4z FbrZoHYLq5NlxaS083XYGMvF2s8xYt3/nEK4NhvWA+BeG3xNVTC2upBtBTrkdNdCKw5Ym80/6eEx mu2NI5AEaRGNCcoUgpC1knM1ZPYV4SrBDwg0W/L8leKemc/9ayAVVbkH6f/DYyT8Z6kXb+P97Q4a ic9U6BbZtO0k3pIv+r+dtOncapVKUmsAOQ/U8klXoLYAWgBqi/vbvy32xhFUkhxA7b657QdBl6fQ NQ0h6uDz/4UDCBjzgCytT1QUfCeiANF+MJbEIFJMtTfWwciS6Dh3bfNFpe4hl43NDIWDbb6TCKCM Rzd2HMCzsq7UNoowqbOo350GWDL5pPiagAQwxdzOzQcd51BfJ/rghAaZz0ck9+cplWnolPf3mArL 3ZypPJYJSsHV0JmAC1Etq5Qd3n/CuRHz9ZeiAJJ9H27nBA2ASinAsaGdsAV0OxczVEt/qP/nBbjk LxxoAVJxe4FLYIMm6mz2ImXSc87y+X952HLLnjeZ7bGiwoo3UFnuP045N9mRQAqoplasd1IHV1TX RwXRQWdFIFO9feUrTgrVrgUmCVtJQJRQVgI+7eC5g+gAgSk/z3du9sZ/U3GjO6YNKp/MeW4FnjBn svl81Bn43WQ67zIh0eIzFWqUZN12O/04lbsYlfsEqtNacdAoqX5IRdH4INdtZLbkONgh1UF6px0c BGlO0AkqyUaDvZHLjTdAPq8aCtcFvyZIWrY6TNx7Y9AJjJWTZcZS/TzE5//lvsUeyjk6575F3Zyi kHxV+QEmVygffVmp6aQbyctxFfad5gcYKZVUYUyXKpdrX6AJMOIwtlXzm1xZ2rjxQMaRjoDoIgOn vW+EnhQnsN5BC+pcCiUJ9tYGnw92Xn0mLhSbAnHqzlNY/QYsArr9blNW1/LK7mpt/bgwYE0+T2H5 e8mphCUAUeYtBYaLbZbo7QBXGWZZoNycfwI/NsiVzMt5q8VeOKo3MSYHHcMGTRTqigTU4JTg81EW 7DuPAHCYw3xBlDn0l5zCUiS3KjnXz5WZLIudS1ac2vT1FBhMwj2JSFNId9vg1ZS+IcbJS6+VE2p0 RlwF6QTNJmh144Yu9NrOgcGkVi3SO2pNiKLHYkHDEcUsL43J5/+looCjfNL1Ln3nKaRQZpK5Rkme CjvhFGAX9+Fsrbo8cA48JuXfANz7mj7YPK2zggayGDoogW3AbsIEXxjkE7qDu/IdvUpybDGZ1U6h ozQHgeBSb1PyN6tUPh/J394YilIe8xfqJMcuk8JU0O5a+TAdoSmklMVmwk+N11DJIIc2k7jkNy6C 6oPNU4VXwGxV84QUskgmiJ5z6EGivm/zVJq9b9R2Ih838Rg/g45xuvR1lpPQXOHzUUq8H+cTsMY9 3TpvDpwmYesyOTfjaikXQzOhG9WsbDASedhaTlFQPkkQrLzvNBibAiTuBFwO2aYrdxlyTfThW6PH dL2iXCPb+0Z0CqQnHbQIG5LqSAUx7A9LNJXP/2vXqYlOP+qvr2soEzgpXTZTtmnK7WpO5crKHfPh vO/pFNIps7wmhIMvFwc/z2ELsiMp8BDp3omnU4U+x3m/88GovVnqIkWlHPpNIj6h2vR00WktpwRB VGJ0zrfx+ajX+2TCweoH9+Odp0iaGx1SyAOs8eNGyubFBWLuV+GdYBHtujAgl5lCKs0Hn6cTfkG8 jd2eAXAOInEkZWfrJG37L5ZJYUHgOGkOuDPBd9wG4QbHSYXJQMX65fP/8sDxFlRND5/gkFVJjnfp l+NtOlQIM3t3i7ezNN3TPYeek7RSgIZb8sEbvmjPpC7b+4GAEGBnOAZPAOJOPAmIotfrlr/wX9wC z0IqdzDoQGpDaIDGVR/F47v6euKkQlKhXfJpDmwnkbhg381irFzAULJEKArb2fxP1BS21wwC38ls tlOQO/LhljWxnwClINULvFFKdOO+WNBrg8rSdeau1V44Wk/iefXpg667SToqvzBVPv8vhQ7GpJut lm9UEBbIFwvkZft6Um1DFmZzJYM05Z7uPMX8SpWfWDLg4PW6lU6Wmpa64zN1sBCBbcLRlLjf8Nc7 Xqc3e+MgE94yVCbya5aL/MI5oeWwWuHzEc7iyfkqSO2glO8TFSK/O/O7Uhz7lQiS5MZD3UMThcsq +UTFaBTeYqs3Hy4T5Xy+CSzCA3lPIuTOyj3/kmazAKH+zltCB8oi9FeXBVl3v5cN8I/av3k2Ph/k LeV5kCOI/yFrxiYqNKGkBWpN1TGpRWYFmqjaik3UAgNIRajQhXIxb1kyM1sPM7ORcOwhoASWAJRN 4S0XtEwRRAGWcttSzd43KBiAmvkVWXAdapQAa5Wv9Mp8Ppiny45nRIkws/fvNIWFcvzVuLuXqXVP xtuoQJ3oSY1fulDv4dMUY8BpHtCEvGi3e4eq09l8lWadHU27zIN8I/pZkFqe6dosLDl1hz6UWWad s91B2y7hei86mief/xevWYIDzPDutouNKCmzDGCEFeo2gQwsacLPVp0XOHdmn6YwGh/UDoL2kQ2e BUPYbCcV6mZBFiz0zqA/LdibEIa18ynXZG8cBZq0okaYh4GCH/iLO/DyTCnP0bj5ARGC5xdPHh3E 1W8/ITCjhBk163M7SWQhQ5bMgJdI+Qv/s7a69913IRhcWdufYoKApIK1t1SAOoc3hN/P8USSPkhW tOe7V56/cQAsQNx1TlG2O88wjUMBAVauL8Dz+HxE4fnVTkApOX8T4dCQEvJiMMeplghDB9igBbyq HMbTXeI0B46URpY/l4L4BeUSVDA5hJ5ukngQcSTawOAlO0uMqKZ4kZxC+Tm2pEwUXqi88YAX+pGg JJAGvAUQEk1+wL/kcj026O0KweTYlZJ8ecqhOAbDOD0nE569XphKvRMV4lSkvJhUK0/9rqjVoT8O PV1IeNAyh6w5hDM0loQjg+Mvc7X3jUIo7jyieTQYCmOnKbmcE9ry+Uh5+TYTWKrDtOM893mKYnIU 44hVMWPKuhRDEc07+iWqQDXA5inUFezS7qaOBwevQJ1VAkEy+nefyAy+sNicYFLTQQtVJRdeWtPe N0JiFCoK5+SDQk1kFawNAsLG56PKihfqZNiFPsLat7ISelOiQQ/Wk+d40BnwjbdIABFeBZrbNk9/ tc2B0dLwwZsJKHynRq+TyiYl3eASrrxJ/ycYu6CfgY1nExVCVkjnnUmlXyjhNv29sw+VVs7lz+ej XPhXG4/9lvpdT1FMjhrztTiT25lBDs889WIVKBjGbcuFA3/K65yThTfMl2V4IoOzLTbUDlGBKqUu iqIWMMk7BOnQ/7cISoJwOfSnrPSpgsSTD0qFTwqfXPs08/ngeLrui1h8+SQLP6vOb2zwHpIDj8kS FDS9dJC3ZU0FXO87OXKljerzFIbkKo2L/jS+9KeGqizEbqgMeU5ZFG3oVXV+9En1UCKgplxz1RYu UYV8bPnC0BQefXmtp3M9bMqP/ABjxeej3tQvD8azsme9UpU59KlEiTiTnuwdF1EM5Al7mb0V/0D7 LjaqZMk35dZ8sPOpnyD0hDR7qAaVVi7yR4HOB74OsEbvnhMknkOnSpVWwELzwQrk0HjgVc8CeWBV icbWM9SEwcxE192nKYrIYeWRAdf36y5T81Hy8Kv4ckpk6Wuaooh80YNpL9bhOPi2S9CT2DSHOctg EDcBWW9kDAPgpLwf6rDdXjhAGcjTo5Xtg/ZdxTGo5vncfD6AY9zlJMvmArXKe4zHfpXqdDIstHmy atQJNJOJ64PRtzwsCA0rOwU7RmJkzuGWDM4/zwo0J1RhaX2SKXAE/yog2/dFi3d1pkLPSrkNzK2e MOVtSfpGhVzuhgC58wP+ZTdIZN25QRawtj5TIT1zCJXZq8/U/GqgdAdonjuhD5+pMCSnalUf4qeO q14BRvUJwKDwfELy868Q42CmYIOCoxS1RTYT6qN7HjhXCnYLpi9cPib3twRiwHQTS+18deYH/Gvv KSZHCLW/MxXG5Et6ldlMUM6J2E1SZwIz82NSKMAAqboSmFd6s3NKMZ+DkzROnJZIj6FLPGD2KEOd G4+YoDMh0JZwbH1e9sJRV9ikmamJgmuO+cbZC7NS8wZiaJMfEFx61yieMs6IWeulIOTAwhJdMZHr iQ8jcGXP7BCfubcBV8Attywv9LBUvU6MJw0eQ6GfkAonap0YptHe+uxGYFZSApYQ2Dyn/KRub/yX S+/EG3L8IMOEE7UgwK6oHIXNwMby6RiLidqoGdRvWzj0sRysrxhUXIofw8R1kH+7SNNY7quXAyNL sPVUf5KUVb5SVgBgoN5C+giUmvcg7WdO1oFRUIal0KW0dHvhv7Hsd8umspPNpPEEt4hff2gFM/kB QfqS5iM6gPXRr60XVsozvfXoO2XqwzPZRA2pWlLNCuR7m6g4Kh9qJUwfLoUMRjqF+vojo8KZaEJA xcGEBh+cxW4pai9742DvsZdAkXUbrKVwroRqi6vz+Sgd/uX5ieh1fYEroZ8lmpoMN6uBgBknGwh4 G5IMGAfIA2miwrBcW6/nOzhmrAOJdqIn3HvoSDKSAEIDsBGUKrOgK8JCqVQeOloOcsgmefcaODuJ 2Cq20PdafD6IN/MT4QMIN8QLv0sqqpXL/aPiPDMnwsmUjnD/QWgwhPagAmeosdDUUv6o1Vx0+1Uk YrTdCiiiJ9nbqL2gxJDOuYwkE0XQirqkdaly8jeODiniELeaCtubCoiOTXI/7cnngwBhPDEZAHEP mNraRIW+lhBpRBrcLyYD55tlxKM7PaFdhmsOjC0hBYHuOfpFPmii4B4AqSxSOOjVtFROp7gcnSXw +zMhzoNWMjkwtvSzfG31iDd17dj0hOXqF2sQOFti5/0Sb4JM4te2IYfOlqQQnV84e6JXVGBBQmzN PE6TyEBdH/U3whRQ8D4Y1uAErnUOVezOS51lSpWHBtAP7GoRTA1P9IbCqMDb0sRAxkpyboZqg2A5 E3cP8/4O5eYculumX+rW8FxAGnsnKgrNQdI/FwRRG24lvy8oo0yv2LXl2JXA39I0U8ouYk990Qbj LKIBmp0m6gT5iyg81AHP+xEitS9kLBV73wiCyNSlq0cMVLeyjrnQROc1dm5wfkDk3dj/5yh/VjYD h8szT1PYOhORwX/26RhEUm1kT4Quuu27uFaeuJLK8MEy4nMWAWJFjE+DDNagfnNnUA1RMCQA1suT O1EOHC6NGAQPsOKDVTZh9y79KmChQotLHRuXpICDcfRLLs+ByeU5VHiYosN2e3nV0QatGmwT4Plt Ejz5Ly6XxuaYPlhsUFInOJe9vE5LMGIQkQjPRsWLcUsHU1300ObS0AY47WxQSgzxKOnwnvnj89F6 epJeKSiPzeTzFEXlbESVTncqqqdAYcu66BBFtpS4oEOgqDwwukQFuAr1m3zwShRcMpL8CSYALISV meglEFFnXz7QK/7CEY0KE9Qb3RxpDE6OAr3Yhfk6W7DxA4Ia8OWaEawJEGK7xNccmF2qHgkFle08 c1KmjPRC0SQ3BN2+oEJep2AZhZ0FDragGiTgciNKGoollRpZgPgjfYGmGBLNu/UoM5cDw0sBblBd 0YkOarf0QchxkpczSgeh42WZv/Dk5MWn9j2i/q5YONN0hfmxbkZ8Zs0U5sfJsJ3LEZheoj7LKjmw AzbYETVG3ptMUaynhHoIj3KEUgPWHIuW15onkYMC10ukaQJCSZavZHO9nAk3PPfNBjcosL0UW/1h eg3zvNVvjeXzZwTV0vlOakplaMC4e+r5P5jYHDRUHQ914kMLyv+Mn/BBkL5kra5KB6teEOIY9ElN LNmdWCOnxoQ5L8p9LBAtHwwqxE9/Rk96V0nNFOYtGmyKZpviLp6J//wZO+kFWSJBx+FHcmJnviaE Vbr60dH8sEY+KaMsOZ51mYsnWJvi4w1ontuGC+and/mmsELOwXvCZ5pP0jo2OWbl/F5kTpHhMslM ANHEzByWzqXXCYKMLatPWKE2cILQlZKWKlAZnz9jJv2pVfkvJoiH9yDJPdsE/Rky6SnqZVQp2Eo5 nY0Zsylo0lEF9bOb3cWfAZMtIAUCuMpt8EAAgt15TIlMnNXSKCEKA70TgLMGNT2wJC7182e4pHfl nzRLJbSAgzVa1lbFCM2Oz5+xks0udU06y+bUWoegw7Tp+TNSsvXTpQI+jYWAtpT1Ds6ec5hvo8Ep p+fPOMnmWSBoVic13ENow2xXkhc4uxc5esBXgU8I+7t6pwfx+ufPKElfkZuOIFqBUGNaYIkBkRFh V9c5q/8MkvwoKb5+tC9JKiw2QX+GSHpqcb31aZIE6G/m2zXo25uaXckwTGWD9TOS4CkqDaTr3nxS 2X6iPMhpnjO6nSMaFGkQg7F7i5ml3h3Gq/XzZ3xkR1CpUpXbPiS79meTXjpa5H9GR/708hnSaUUX SJugP2MjPUTLHbgOXb5PvkDxwitUurLbVVP+jIw0QZL5WGncwTOSpepSpbDcOcp04XdS+hKC1wLc qHczWa/8MzDyn5MTtGnjwMFgTtm8RwH7/PwZFT12y+OMhksL8hjN0J9BkZ3sVdKN04vfJ0s3/eax 13Z+65Z+MywuohlqIuDLufnR7W1nPS14y1MyBYKLZKEnOCIsIjqZtNkmY9L2Zzxkm4xue43slTNA oov0igS+G9FuKIV+/gyH7AhTS9yWEIOrgmouJ+jPWEgPobYB6YaS7JLfzcisZ+PN7ALXAB2aNHF0 Ri/dXi35YJcYfL/OYly85AfZv4klb5TbExpzMN650TU55X9GQvYrUGIF7BcfDHiJCpy05Hf+/BkH 2SveJcR6CpgYhKdygv4sTdrVN4QYmK66s7azDGBn4cpEjVwp5P1/FiZthzuTfPtwkV9AEALpwwna OJJkswcbWbY5hGgiRo5msJ8/y5I2QawitcKCdyts24FSsU21BDlX+fxZlLRARI0TcC9YR1knVQGI lxP0Z0XSr4ZGv6bpFaTsraYTn7fqijvkfEnkIgqDKCFH2I0NdwXB77ubjnSGEzj19gZYdAkx4pnP cqFxNGz6sxppv4JaJoVuhMBtCJLK3lKTev7Knz9rkXYIKeOwLZbR2FkADlmgGEXSCoSGLONYExlz 3HPazK4hULkv3zCaI9WMmrAnLT11QPZZSCyJUEhONrsnR8jo6kLrG3/6L5H0FxqdraIpsR12LDnw pG6oSnFjt3OwfF5YdLbPZnnMEgjGHd6bNklROJ2Yv+Y0PdGX/qGgXns6KTOVixEI42khmIYQhOPW jXDiJwbUxFgmSM1M1kPIHEWaZYXtKlXiofcNTmve9ysTmrOyQXOoX7OmRL0rHw9CamLYfZJQOJ4o h9skvYfUwOVgJRm7iW3vNj0kKqt2O43WzN72jmLqLV2rxJiag19o57qB4Tt7uWeuUpZ2EyQ7B1qr qCV4RDTsbd+nqHehKFQycrV63GfABf+ohv55oc95UvdcR0i1UWn1KYrC6ipYsxC6JPeWO0V9elAE HfkLyQlObOnJTKYdGvzELjBm6GxOnuwFJW40JxfKaxPIdqBOvjeavW6w13pjxtGmD0rM9pptSG8P ANQwsr5HNoNwMlabZx4v1Dk/arGMzm3qOJMlEA6KtMgULHBEZ8uLtNEcASAP2aHsgxX998nuK7WZ z4+d0CKjJiF9CQbQgbN8eyNTpcdoioRWKtS4JvdeeKxVz/9nyIcwoaIWzJHARD5HGcIZCNN8kqLo GpSvs3qoFSVDgtY8dkRs5AsJovTK79/Da5z/JPVCPtcGS9AKyPoJwTRZBGeNVdILkTwOlEgzu206 tAetiF5Yc35+svZBYKUGTtL5lbe6GrhgPi+kOcsl0ngERxm3NS0pbZLCAHvJtWEmxwKM6pNEGzPD AkByRJMURdhdwNxMY9QswSiJEmaoclfimM862ksmGDTOmETaV8jiyGGXTgafF8qc/ZVVtrHtDlpJ wK5Q4+Acp/vzwpizlTTaI0CSZu93t0Uxtox22i4XBqBE30xkfI523xZjv/Dl/GaTe8xYPnhzG9Z6 WQAceBDnRB4YmU1T4pZX56Ok2fW673M0llbQGhrcPXYRlIFTJnU+HgSRy09t/tvMIPKGSO9hNigW Ijr37TVZEutIKoRQsdVkwUyxmmwUZyNr3+iQdx+8GgK+yVS1sZ7922XJwz3XCXfe89s16nrb9ylC DwB0Fpp/cFC1CAq10iPu0NaJ4uy1n8k+ZNp/GooQNkdRpI0LB0W0rAQNfnvdW0aTbR/W0wZazZqj ONT+/4z9WXItO5IsiP6vsVBC0Dd3/gO7UFUz+GKkG3fkK3k4lZVO+jY6AGu0QXAKSjBb7NSeSBhx AMm1AdjASVQcQJ47cVTbn/7+1OtGQUK/eoDAZwuD1BKVD3/ojbY+LyS5/z61WfmCaQXzOms5Rql2 parOTm7/gX7zl++n77WTfhjp8oUh53tNNkTs23PxBAl9OnPZm+nkkfDLgZrwOWUn8uPMSkRt683x 4wtDzrMwzj5Gq75YQQuGgrQVSv68EOS8YFtfhzaFrffNtF/4cX6KceZfvW0EgEfzxuOu1UZqYN3q 9n+hx/kVyTt907FqOpwYE7UC+i6SPEAnz96DY/XOTJTQ588AXz0HEnuPL/w4zwPntyEBSCqqR9JJ 6IWNgPzJCz/OD/37JckkBd/l8rr2hR9n369o6z15kjQ4fNMkmx6nmmSj1adP6T3XduPPNSk+xMUT ScoQV072F9xq0aY/YUJST1lHKHE/Iun6lt6zbavaxhKNEMoXP6LVYKIggNI4ueTnhRznYf4+lQoo GRCCsCjF6bbUZPftsFGjVR22cqdEa7tt0ws1zn6/vK2m7rg5r9oXhDrOsVOpzVThRy3HRjRrM5KP 86JpXbWKbe8bNQDQ4e+FFqBc1JE+2VYSIHfO+nkhxvmhNr7LtpPVAO3qQYrybfDwiH9P9iklfVXE dY9SHNcNiRDtuKiVrdHsQoxtsSDBZ3fha+Ss/xRtErDaaLCdVHv/cExijVoZN72w4ixGMzth1xa1 ss+Oq8zL0Nf5vHDi7Abe3oisdiqh+vMYhc1sTmWrMgCeShRPlgS4LHcrvX6r1W0vjDifp5G/tdgr 4WIxOv+uDWoOPqS9UP8TTVp4saFRD9xUeY7upfcN0iQJ6wEHaotwbRg/DkGL5vq8MOL8a0/faRJy kH3yEQvSe7otnUikwo9vgxyuyTchI4iwd6rNK0jv6TaSCdpaz9V8sSCtBBgMfiwkBaDhSk+CCScH SAqcbYy5w/X+Xnrf4H7TwKizuuXCIAE8sMlUPF/H/rzQ4eyfW/rX/TahPjhvB+CFDOdByiJ9e7tt 8i0VJI4+eXA/8/0XLpz9jSXezEaAFsuTOmZEFdk1dluBxdHkZC1jMsuaaFxJS3agPi9UOJ9uTunJ Sj4cI2xWF+lkAJXDvBOs/XmhwvmX9N33RxcVd64HKeprEwUNF1bHaZU2brutLdtuHQNW+5LeE+7E OfiWB60tPp2FFd7uJMKNBkpOkUE6bE7Z3Jn5MlAHkckvRDjvvArq3u+iYzufbEKWaSfh+Lzw4LxN 4kUJSQvobvV6220vNDi73DrH1q25xFCi5i3PpKGal7yl7H2SFxbcrz4JklpbvE9SYPyU6W9xNtf5 s7AFsNDEbOgty9ZauhSE2r5w4LysIJk5jeqLWknnAy3LMMnj80KB8xLsuwOA0gKlpA+xo4S7daow 7bS9bUs0mFxSdvNkEvgEm2LHCTeOokrbHS0WogXH8rzUkawsSUlqAupvAAgKaUOX9MIe/bzw33xG RvbN4nyViwo3aEKbmvwunxf623/Xtsw6oWYPaoCFKASK8CuaUFcxB5B0zyM6WphLCs4XhSjsbLNu G4NNWy66jROI3ki0iUQusCNPFJBjclTR4UR2hc+Zo/6i1/0jRoMgE1vUI0G3t5msEB8Pttq92Lgt i/7vPUZRst1IpISbgsNrq81soeO8r1R6qQYbfSG+OdpIRS3PbC5+HGGfkvqLxnarRY6EA+dvB8wS WGg/stvQ20ZlG0oIYFJ9UWnLlpfogaV8XjhvfmK3r7sfCoOQ0PIQRZk2G9aVHEn3/xguuL+VIJmO l3kSvDDePIks7I2Uu/i1BpH0UiS4X5KkS0AfXGiZQrMDk/TrH2+vG3VtqdKRCq9+DC6EVZulQnoW 9/nJMj4vdDc/zTxInBuw/7dvI+mF7uYTf1Io0xXPq55fQ72SXW4C2pdre76w3Xxgiw+pUwFci6K0 odvQcuGsDVOiUYlPgr0MRI/O8XxPbFCF+LJRjCw4zRcdRxOwI261c298Xohunmi3r+MI/7j2DEhe eG6eb+QHls2tRuiYppFSicX7F8x/FaGor01DK4h3J19sq50DCTrWso8/+zebNH9FmosuegakxAck LEZeSG52cyovWnYqmVlNOX8/Kozh39z5jsGt9kDXeLwviHR4nv1CcftutQHhakd2b2td7KO3tWGT YRS3F4abXxnUylOSxOUOI+de52iU0FJJAmflDXG+TEeHUsolbgl1/MJw8ysGmw2Oxr6oj4TRptla 4w/5nmcrc/gO0jkL4edtQYrybPglQOvUfIdzYe/BsSPmTVT5T7Ug/dnXlrAVFpb+FN9Apn2KmcWd AN4PpwSZnFIEj6wnr/yFN37htlnbi7kjKBFc0KhTns27mbnzOjnkC7ftSUGfiw14l4kIWJDCPLsS YNOba+jCY8zyo5Phu2tThgKkghQ2thMtZNq4i0+RcOVX8bXgKAMvc4wjcUJhnn7C0W+zLcNklr8l 6iKJyZ0oEUsfaLIgzjm+15ITQebzwd2Wvtu2ghAkL/5faG0W28EpJB1KtN+yf0poWlkWCeVYx0JG re1JD91zNQ5fbL8NoK+GojRBBqOaXpY0Q0FpUpGnuHETBQJeSG1PugIhHEoKclE5sqE/y+vq/IzP C6fNXjLX75INvahyP6UXSpsnAJwjrW6CXfBl6OL+IeFb5v+ZIH5LZf0XRpvvN1JqJKKbPcUDjGSU 1iAZIyL3qosNyb0wHoGqZcnzfkmDDgQvjDY7HmD/PZlCYKmu/ka6Dj1Sz8b7vBDavEv9/SFlACQn pDwsSCGMhOP/BKCMESRZ6dIlBSBwESTBqzmxwpf0wmfzncDTCNRaW+xLOsEe55pvtP6YwN00SuSh y7bpZAdYvuPWqUD1QmfzX0I0ZGbTdmQPUoG9moZt5w/7eSGz/XerjY/BZxd5l8Uo7GwnEY6yee7A Sc6U38CFMwVPSrSIRPpCZbNPktYMpGvYYh/S+SLPXksUVZr4p1C0JNPJ6vz/s9WW1lO1db1vcHLX +ub5gXnh9FZb/7ww2Tzd/saRLJAzcShakN7TbZC3p0a1Oq3PGZ6q+zOs5CyRTgFgdpFeeGzfuy1R S1CLp0njbLDFM7ZDuSR3gbbYrUgobwu0Ey0FGGRAvBDZfFxBx8bE0paLwDYTIB456Jb6eeGxeQaR vnJJYB+IZLEghY1tbDOw5ryxPbcLlpzE2MS9K7a/4ZFeSGyeJ2lem6cvFqQJWeHUpFRNK3D0UOCE AowEDtJO9fPxdEheOGxW2tKUcHeq43FRvt02gvQj5YHPC4XNZwff8D/Y1/Z567YXBpuP/zOVXLr7 fy9VJJyQbAPeApCULU16IbD5h8wWG/0GtVhFgur+pEnEkQyYYsiM5+QIyHXOXb3o21ikUzL1tlH1 rxs+TV+sJJmgFvyQCDE+L+S1Z6D5fSCd/b+fQztEkYgK8YRop34VJZJDbRqyJAtRmG5zr3F0aYuV tid5zGAuoIl0apXZNbWdlFgDFwG5mCfb+oqipjZmMCDRECDBRX22DLFLNWRa/bzQ1v57sE0NpXMK jnrHIy+kNX9KRlbdd1omTJ95ZBWvhsi/3qxqe+GsfSfbdBWyxb4iGH8u4rIpnY+2Ekfg8PVruEVx h/uUDRfw54Wy5oenCAxkHXHRV3SKkSan3VP4fF4Ya/aPLb8QpInCnvfEjlJtKP1j9p69WzuTeXmU ib6IFbYNXWc2SFqI1S5saVftt5pvS3vB9uLkisJHgHlSyCntZGhj9I7+iIwt0Qjj7whufmP1aTTi ih7l1DxD7mhAeuDxIIXs31htRPicHzdEYZ7NS42OTIZE5luKUkOPU4G1UWvoK4oa2gKO5qW6dt26 Fv0QgESMxF7gzkCXeTZwAMbImAr7SFs7LYSQbDIiONLWop0GiVFiZM+OG3g8GPz/+ozQgmK72Eg1 UZotoAAsXh3xX/N182iMHDtIGLeJVfOeZttEm75evnhH+2yuTqwx+iPUABCwCwR26hyLevS4CvSY +cjpkUa2OivZigR9SbSjtvl4QBrZ35UIGO+9XZRNj5JsyqxWSoRYK3IbSvIUorLVYSuy+GfUIwQJ wGaA6My7WEcbp3Vvw9BabZ7aRN4DpKwho0u938qf4ho96mhXOTZOof7m3Wq4FaamtqcS6VGSncr8 So3gq1bnJT/2sKNN88+R+i1pZ7KSdqpVIXJWc/hojzraOrDJBLHFqzUU+zCvovbPxsFGkSTOszPV XGlbZv1awkd6lGRPEdc0aDuHdpHxMn6hNdrOFix4PuBAzu9GW56yx/TsqIcsSKJsxNKVuRf1P+Um ULr+Ey3Kaf6fPUqzWa+d77l0X6xeKzSXQmFIuPbglPZU/pR9z2yNoPdmLdvNqrZHaTb8pU4gSPPD sgwdmXApkmFzbho8HhzbyfcbETVQFUEP2aMUUSFpIVbWVzEiAPLC7KoZmaS2QsEiFCM9zrN5btNa UIud2+fn7GlXW0+YdNL7Cw4GoCecnzcef3Qq2+KX/DH2HyyRseC8N7HS2gQEHKdkw/NBlMavU2li NnNxtj1MtDu1uZnJK4ukfiATbXn9MEgJhGoFKeRDCh8JvIItfrn1kyZCgIuE444hEu7/AjnhRakK QOD9VKK4a3/PtM8vIRZ5DrIjJpJKIiIgw56lgXsKto3now3ntb+sQhsUf26Qws423b+pKYTOEVqT yAloz4h/EbsmoDigr8P9FqXaEl2ZWTCbfOf+oNaM8ylypL3hGptU+y966eEGxV/m4SJNvW+w3zQ/ atxvkIb4MdWojIqJZeI5Qgp+QHB6z9tHoks6+DroKFqc3vNtzJGqM0fzf0QiTWopobWRiELG8Y3M r/JjivLtTdoxeWK22Ll0yv+T+W8Obc9VesoHTgAk5ILEkmN79+6gEGePmts1iyPB6p+LRkINpY48 TvLE40H1374nSciVKRliMYpA212z/w4hBH5LYwEAQTEySGmbIzGyWzUke4Qh8QFAtoV/Yfa2J8H/ mUBSMG1RJSNGaHee9L5CavQqjmBLVPyWP3FtwHnbYi2Sxt+cKK2KxyOA5P4KUoatUWcTQFEKc250 GHHnCKuN/6zZu211F+u2od1mUYp62xxtw49v+eK9bbRMNsRQAf05JSnG2bBtJtwWrMVKgTW1JAcp pD2CkXS5523x23ZxTHIF9UIOu2Xi8ehT+j67M8VL+p24jSjrJtQLEMlLkhh1+QQgZZ8ApOzStyNq bk+r/ffyxbJu3GUwwlXxlk4WTsTpxLfUIacBtrjxSCTMPaKsm7OkyRmwLer/r7NrqA93DreMx4Os +xezDTTOkS/bf0RZt+Tz5yDij3WceO1IlTo4jPIgnnBTFpn9H63tSnc4Lna/nbJnQpKt6EhCrxtJ AEdyUJJAsy1fCTJgx/BLIjo7T27BrdMgV4Kp0kIFyFQaFe6Ie9uOR+5sAsDt44LbR9zbJjNiW3ME VMlrFgvPbiNJbNq0MUhRbzuzwu2k3FY6dJ23QJ+kJRh2ARQG2B+4TcrMG63gTnygmOSGATAxw+8I QKSq/unXjcW5NmhUMefu53/weNAnad9AEsizQcTCQxQCSSaRo2lWR9pefCS8F30kSaVrbbUo5V5q kKiIK+q2IUSLMFI28ZAnZcAMCdkCqqEn/inzTQCQLOJ3RBiJKnXbu2irZQq/6fzbeDw4jmr9Lt6A 9em3TzKifJteeAXtQU8lG+eRpEjk3pwisbtBtkaUb+s0KoXDWy4+tV0LtEWi2nsh5SMTHQkPU9La kOIb0XaS1jaivnYmN7chB8OCl+FtdLby0Lzh/MSM56Pv6I6R8BwaB+yvW5SihFttgLauY14vKd/O tpElIHrs0tIjSrjpM3wu4ll9sZsNyr8tu7zt2cyZQrgNl9+5qM6HtK+CHX2P8TuCvbbV/K/bF93+ p86ZhMmiX4zHg+PoikQReMz6tmTPkUaI2CbVtlHKWSJI1SzygGPcPkaagHSzKBkRYhucYnQjea9x sXstozkKzv8PwV8A28qUGNoN0AFA5X/x2lVvG03aMNfmfJZLE4hvwpaDgCRWtyPOtS+OxDC5NOnx GEW9bUjmYbft5BOSPauTtnq6IiSgROk7inLtSYPK0ki4LY3Ti8yLDT4DMFzkbsvwl5YFOGh3UPdb hCIrRsBv43cE+RF3WdrktSUqzHCDYFyukRJ0CfH8vyZtHP5DPqLfltsIc21Sgna9MQIA1sE2bXkH oBU3QR9xrk25MbkBcdF3BKfUVMnUgkJyBciNYj84cxc0hDhK983GJHKEqTZL/1OL48zthA5KJLJB l4Se1SdTWvgBQQvgDpIo94NcYl2xlhHm2osUJI6tdCLh76zddv4l2XfbSkYjHXF/mw5dTZpR7WpG DZC/M20CTk3aYc5RqWdD6jZ6JrD18hnA1rkd9rdpfwMKuER/z/ku9ug825sHFKDPeD7qk3wPJJEw zXk1kWbY4AZAtDYpaG1mSxzP8lYdbVvLjd1otgBmqOzXaS/cCLnlYkfSHmcnEvsp+fYEhTRsN9Sn kMYUqn08XNsZwkjI1ELx4YuObZicdolIlIrHo+/oN2Gr/XS0ACxEUaoNRCfA06hl/qPZJIdGWXa9 BNiisgWgkTXbDCmSWwqR7Ldx8X5bxvSjsUtyKrI6l6BtFV2pRCQI+K4XbLv0vn9NARY9JrQoSAMN GCVJveDx6Ei69YgkWxq0o/xMmlGunUi+P3vDW9x5uUJkJ6vRiO102KZ4VMyQJGqrUWqEi9//pZyf 2SmBOEGKKJj+48ZjixsBQTPHwTaUSJhhi5u+nbMxSlzUJIG8E7uS2HV4PIrSd/0Pos0EucWCFGXb aEfitG4u0lYkTUKnkp1dj4T2UApSnG3zVsul+uKpZOqoT7JxSDAZoR4yWzjI0Vu5pO3Vl942mgKQ sLUNtV2sRUKrCvWRTq6Ex4Px/+1J8v8WE3JcXBaiKNuuJGwt4tDwHTWYijnadpvMNggSxfLIGSr9 NbLaKDWqxUKESx3ZI0UkTgmXadGcqe4Lb7wfYEqvFDLNpmZIj2R2lBZ1ErjwpF0yscLvK73i8WAq 2b4rEki2QEvGYxQ2t4lIrqL50516Xr3o0bPnkR2EaN5sM0KRCGmTzQ+v9wtHyqT+ZVUk0FwqpOKe TUilyJMEjHpzJBpQzijXxskMfa2SfNHlD30O+eDNMfD4/9Jpo+R7qfdei1AklC87WXJzKtIih15p ZHWgzTlHXCp6Rq1t6vnUJGB7+gK2nwN8Ql2DITpZBASxWLSBLQWvpv0lFI0Nit8RHdlIIzM9cidB 8NW6I+jD88sYfMUIHulfkQgjuGoB6LMYxdxIox9bGlnTSsNnJGoD8GIDyEpbLRT8q/x+IFNoi59G pyqCY7TKkbN/J91zJUoHnAOuxF9CZDPqapfFqfZU7T+99l8wbTRY++bjEV7r++5H8soqxkIUwUhm lYTDZUaO7ow2uI06po1zboUoyrQH2yO5iotV0w1RAw15Zam1oV0rexdqt55SDl2GMp+mtr1uAEUW zLYuArYqezssR+BQwDHQOr8bz0e1/6+7H+2HBsFUi1JIjZzEI+XsSmTnGnXkX5E6NK41mGjZZgvF SDj7l4aWFu/XTtzSU74RCRl2FRsJ3TJsMszUb5RW0etGrX9K2m2SkrgIkATQixP/+I4R2saHSEym gCeod7OtKNGm7TRwrt7TLrK9w4F0LqN9CRLZWCQrQpKYxO8mBoKLZ5Ed7bTBzv8pPkEw6bRCQAsH yuqNMlvrYf2vsKdN8kgunCRxUYhgdK1Eu9WKxwNu5L36mS6i2UJlHYUo7GlvZkfD6aMnRMVLf5hz 3hA1y45WiCTZUrJTiNIN0SmvUJqjakeiPQAqSdKPQkUBUbG6Lx4JPFn8jqg9wtFI1RypErqnQ1s2 qCjna8HzwV7L3xgJWNCPlBz3v6I0u5M/es6G5UgSMsqFJBnVmpECbSlGIZJkaa6tnmQXWJH92rng +kfuODreddA8cRJ2C7t7sEZudnT+d/gdUZuNHsGbhpyXFFZOTb4K08l27kw8HiXZ39kRRuo9XbD2 ipJsDAYQolq97idoT3X/nsW6/kshOqfRCsHaPXsv3xa/+lsiQilTTBuJKSkmHT51HcLskCT2q5+w thW3tNmurV0LCN3qIJ17gIJBuKcKno/K/m8h2wyM+EkB73EUpdnTWkhZNRp1fxz2D3ysgsSGvQUp pkaSE5FG9eWWa6fCX5ktpLORzyWH9ACCT+cTIviwP6XI5uR/RSASHCj0lGTbHkDp6kkkBCu42WbG 8/8ajhD9d/bZbM+XFOXZaFyBpFUditzm9Dlkm8s92wrqOQUplCGhRgvcjX25sK0BL3nAts5F28Dl Ij4CXkQ5Y7wHfWA3t6NcOX5LQPonXguTOl8YJPAI5dN+ihG+ZAAiWd9BmqiM0UuwIEWZ9prU2Ky3 8T+lPTKpCG//Wygbg9LBIIWZdlXhv+9yM+12ap3EFGme/2lobKHCX3LhglvbtZTcu+t1gxgRBr9l 6ro7O+zSs4XAPz6klBoe/5cssphw50OCfarFKGRHNqGR57WHoKSR7CTbrsbWgpaoxSgEbLOaX42q B6s9SmQZSr+rO/EPSnYoasHhAjwBnxOEYB1BgsIJv+UvCw15b2DJ5sYCUwWi9wb6bCvKtdcFtlH0 Z9afOS6FdIXsSGIjSvU5Wy0yJ5W/+3LR31odSLrirvamhSSxEVz8SDo7f21IPUDPBiTGxH4tyH5A k2ZWPjavLQmnJ35LYKPB1tGucpKsdjucz/HsaSoAtTb4ktHc/5fKf6UNw1Ugj5vaHGn3a6l1yjcT /SFuyKERpXmQYt0/CpENE5HaX76k5x/eEXsASDb0eQBFgp79D+BoPxlAF0+SKIy8olRbbK0lwvZy wja0KJIatoAB4vFIquV7PEJNu3F72jtKtelJWqbIa6JHdJ9pz1RchmSt5irkITdyy2C6NF8sRgCy jw3uDvLIBXUbfGsgZfxMjAMxanbnVva0d5RqN2FrOq0QuDBE8CsWPuz8RRYeD7ps9RtiA/2G0S7J Zr+n2qDmCDOyTRqxNqo+I0QrQfLRuFpg0CpEsWENZyO7TV/sZjtRqBkS3fiMMlCi7GmfHPXk5ghs QS7nFxul/ncI2iZgJOEQsUUwpD5IdaZUa8Xj/5JGZDyEO/Cqdoeg7Sahv+Kp9k51+nkEQLX5RSDm ilGcagtiQ4otF6tqz4nTFrzLaDY20I0ShBS9sko7xnTtt2nCi18Stdkwg0Ru7QtjdH64zC1+IKeG xyNi5C+Krcx271YLiZEcH6W63fO+jt7tOOqasdGWhVrljFHY0E5MstMovthWO3lWhYcE22wLXUoq RyWA02mQBCDxnR/xXttRsg0ZN9qNFF90ZEPMjqnyuScrHg+6tb902sHhQHPMYxTjtdX0ny5nk5Il lJAvTNVjNO5xFOK1OdEmLs4W/46ANFtQLQILGRbcLNkIf4aJ5E+dT5ut29v+pRzJsw5tNjgO8sKe QHGyI31uyI3nI6TWBbUjmaKCwFWO2lGmDfG9TO+VH9chWW4ckf1/i3lr9u8oRI90lbXca1y8g1R2 BmpbY0h02Zgl9EzW9aaL1WX8427C7wjSI3FI8MnYoq12TggNh0+OsvB4pIr0fRzh/23BhdFCFIFH Eo8jczxskiG5pqM7X28N9ssZogg8Muhhm5YQf+si/sY4p/QgQ48S5KciFAwJeuA0/MSIyqfZvett I+oojWvoPKNFSK3zo6Se0/Li4/+q14b+j9GuvYI/O8yzs8AjvTgXKZuKBOC17NxW0bXMmHX/AzwC xr4t/hmdOw3gY8oiVSi0VFmVoMcN4Ah0iUxak7oV+B1BApmJGqmaHVWfHZ0yTMU4fszG4/9qsvF0 bmS03Z0WdrTZh1yS+5eHjVmRYEY4na5VtxMjd5xlSzFiTV9ukw3Ems4sG9pKy8w2gTVs6IMj63bo yJ5628jkZ8umvfjiOy3T0RIW1QOPRwybbxk7qE+cZOya2EQ5dhuiIbV7GPEBhGgwAbadhjGSdloE HMH4AGpIffnihchA91FT2iaXS05pyWxlR6W4IEKhEAp+RyRj36Q7chel2Cd3o5HDKfrnwOORjN03 AxmasR2QVYVIOJ33GGWd0smA7IP8C7m0Q0gSEBJqtC/zHk9hki0P1sVcm4tlkKekPb9gdHFHWily ZCv0qcqocDCP+4HwDr6kte2NA8uxwhlb0WwElo4240CaKovRlid/QIQeLV/7bSALgaCPRypU/AOz mPRCUiDO9U9oL0VIOmcJ3HC7d2si5RTn2vwwUDnZ4iUbZH8ykHo0s2lwLiAyAkB2bLFCtJaJ/jDX xm/5i/wHKLMv6r4CaMHMG5YyfD6oSB5MW2VLskMf4bYkaVYdPEiT0ZRc9z8RWOYmGz6zhX6IeSOk EK9NnjElU22xbwoDEyAtmHEn4AI7eTY4vuE+x3/Sl9FotjeOdDbIbRscbS9U1F38q950UaOmX/wB QbZUfhe48EPpj4dU2OJGkoWxpJcmg8peAttspwCeY6s2j1RoGEmJ0VObD188UshT0ZDE7mOlnymQ PNCBOPtu08/wGd4We+MIKMkTfFC3nYtl3nMJJwmyOJ//V5ubDVz0XDDC8kBFuTerGlyjxWgSFqgt V+9qNAkYYvrmi/rcsFyBFnmqvligYHoNDKB4Eg2q5CDcnL1DPwdKbnUnAhYSQ/lrgpGJtUqoTsJF x3mDyxD/c8NOIoWIkvKrjitkAV8qoKyp3tNLgrZ2ER0JDD2eWGiJ8ZxjixKz1yyUG/lEwTE1mVj2 4Yv3cfGHOEkR5yMTGh8US9r0Q6ZvNKEP1n1L2144wgKy75Z29UWBwvwlTTsX+XxkunVx7grr/pnt Cm/lFOqTNKHxhk9O1rxaQNX17qETTCvkrh8VpAe8+cjVssXO83Nxj7LwqeA8X2Qq/dCWHc3cjW7l uB4umdpN/DV/KEtCorj7ou4J5ktiGZ9o8/loLvDdzs2Y7c99qSXS74qGyBjPpG2bL01KJS3qoxTz /KXrs59SYdt7yVWiN1+eU+oEZ/KUQkOPlAn6TWzyufEG2/ml9IvSrwmqFjoCUsIIS3YFrpO0Tqlv n4f4/L9QgaSX1gqd8idQUU6+Jo9zqdxSOSmN6fiJfbtxVAs0T8BIraRsGf+Ou9jeq+eXTEjEizxx ziWGtJB1BH7GnvnBBU5730iAWx9ULr7og+KATKC3Nvh8sPWqbz1mxJOWe/Wxc0uxNCDnuTSMY6DO aecjgq0ElNKAALJZoML29+YgJRGzxcX2XjvX2jmdWODN820BCMAj9OwCyERDs2Re2lst9sJ/NJwA EW++WKSgEy8jLuacofHNxZpo6oLh7h3J5dBkEn8gFL9uDXiOq+0d8JrHrYNpPiT/xBBtQlj3/9V2 WcCzUzpWfttoSJGNg0FgR2lLvxt3daHhdg5cJqEPqKncmr6oXYBae0rYdUw+H5luf5MoJ63vLrI7 hz6TlDKA7crTLyjV+gVrZrdQbPt+T4HRpCzXwLxdd7E4TfgM08sWTFPI+9EhhwjXlilXNq/23fb3 /YNGeXYcxd25CAMHE3f+I9upcvh8kJrfzpPlXBjx1seOM8zMiw6ofRW5yZKimlIp3eSmT+oKghPv vNBrUlBBstlt8R4mdh7LvwZYzvnHmVYQSmKk/MBLeZxKs/f9Y+w0IYSmpanxP+CFwWZAT3Xw+Whg 8P05FRblt9GbA7tJuTegW5Crh0kSQexipnYbB9MV8HLoNykEE0DEvihMJ7mB15Z0AjFFg0Q3hbnO n4gePcB4uzDXyPa+f6lyovXki+A5BdMgsuDOD+PzUWLwS3Gy0ZT6+ZpiocAtsOm2MOU+vSGeKS+j ipgDGIUpZFQ2sk0TgTol3XH4OnnAuYzwT4LA+0nuinV74TRPoaORb0tcsko5NJ3E/YzvSAK2eZnO ywBHfSktGJvPR27c3w3fCiHM8lgFBqaTyKPFzV3DBwcC5qAPJTy7lJU204KuHxXlT4wTGkK2WJx6 grDfoskbxuA5EaLSG/Foajk/UCblBaHtZCY956SmyxehBk/13tQ5OD+Iz0d6wb9kg5CMpC+z4JBW Wcyc0/sGhUgzweGbwQnghde8Gg6NJ6WqsKwD58KZKPJOLZY6xgkn3ufvOZAukYpTgYc79z8IyN6x UysqdJ6UQneCUbwthhw4W1sA1MavPkrI94UOEmaAU67tJ06hjAnm3CcJvPJKYCz8mLzSNm5cheTI 9DiFZjgi55R8F69cUHURnoj+CvDxhaAvbJpFx7dSXA+3gEeg3/LXMV7n7L7oujvJGXG0P2id8vmI OvBL731z2PJkBWGLfJIwQAobvycy943K7HYdkIMhWifpR/1Vt6xKZUAujvsC3evsBJbC6KqgS3YK OUAr4KxU2Zi8cJ3e7I2DpoFIg8W8ukz4DRnZlinu+dcUPh/ULZc7qFEC+NT5ue9C3HdTP7N7oEwO XwXeskC1VV2EIsculCqFaWqixYcJJ0pQWZRg16nwANABLxetPTqFAoP6u3AJbSjRMsKsrzdfLB3P zQCwJ1Hj80HhUr4vPPS/T9m07kkeOlHKPhjgfg+UjHEWMXLNCDuNuCG1oUIrykV/iTev7lOvJkbg /FtKhbdw4ZwccEuwpaA9ewdTzd43GgIzDx8sh4FQ1MV1Tu/dBJI7ZxWfj5QEv5GEFDFEU8jDFEoJ UtT8bIdtet08q9iDQiVARBO2xJzbwxQiwOX7TmKzlqe8m6sBiQe6RcpTdXCGCBaE2+BEcpXNmr9v dD7JOphUQi7adunkFpKkPJkNnw+amvVyUoivQ3lXLyklx26U4n9R44BwwlSWqQhBKiRr+NKBkPQ4 hem4zAMBhbLF43SKzZmbMJejI0KC7yD7gXvuT0az2A6ofN84atbhJGfz8yxo1k25Jp+9TnIoRF03 f8D/AuFBX2dhSO+RCvEpHClYa4CKcKIUsFmXjPRM79wbqRgMbnzU4otHCiLdcN1BC2qfhAU0fvhs cxiNKxbA8OfO2/bGEfSSSGdNPCf16n9IcT6nh1QXzi/g89HkfH5/U+hojvx4d4e2lNQuqCANWrIJ xxMrhbO0KSgEs7In5YEvpajAyMZFUf2SpgKvBjqDFBUe0OVHm6qcw+n8JYk+Rj/FG+UUy8+xMaW0 hDbdF9LiMICHTQWtkNILp27iDwh23/xGqiA7IIPPAxV6U9J2EfA2x2H0WqzIKzU1h6qAv2WBirEq hM5LYZCLB6qX1ml1jax8tLRZ5FUc4YOdhnXN8lDv6X3/kl46ZeL2xZAYORWO4qA+y+f/F+9lNHPH 14A4dKcENJnOXe5OSV8784Ir040XYcPpYQp1vFnd4YbzxYqXugeLYVkLwZSM+oOLXoyQqUIz2MWX 1rT3jcAY1MtZlBbgolzzZE5NRN5eN5//X7yFqCSOH+Jhinrk0LMGTzV170BxkibESh4ODiPs2sL0 t5Y3T2VbPCc/WwlSZxSqbCeTbhRgKuhTTFqe9MT+MredxSkErcilGlh5Lo2aHEiMS5VKVU16ywj2 7HFChCGw2r9q4dCiElQRiFM5iO7853DYyuag1K28DLaSA49K984hV9sWa5Gv0nun/DI6UBDCouY5 BIih5Y2e4vAMSppwOfSoxOaEy2m/i0rhc3CnZMDnzOf/l8MpJwivfnXIQ5fKIvLTrhe7UjxO8Mec 3oIaVGTs+lF/oFZRBiVfvBYGvABawEQbZlDqyJ9Hr3eiMMvEQE0552owHBpVDirnU4dwSHGk6XhK J/50hjk3EZ+POr8+QR9qxMAZ5tbCNeyQlyZGhmPEzwsYcBW89+wjl7zNzTPXEL8iDv3uyRc/nuZJ z/NO0hlKg1carVHOTmzoZa+rVyWQeK4hIZOtlVbIEOdip/j5GeVpkNd/a3tTnALKANCVuXGKUnJJ n8Jf27H0K0/r1Z1rySYJ5xjIPkkIDCvz/1sCQ0kEhYvvu5RhurSm1E+KITMhywi7WwB1dnmABt1e +C+m2GYPSos23klndKjXPTefj/CrNyen/A6E4mEm4IGKTStZ19E5VIGSmj5tvX0Qg1rYNStz6FpJ dfMC/LwvtvHObdlw5XHYmQDJRRGcO+dpCcNLKu3asLNrNhUaVwIch7JFkAyel4SJ1/OX7dJ+Oyt/ wP9iOYjW2YKkmEcq5mfyKKc3lkRQiGzn1svVsb69SjSWkYrNK6dEO6svduWdPAdmIbD3wXF1sk52 DRpx6An9z6xxQv0aoAf2lSfVZOOX/CmcUYD5sNCDvqaGJucUy/wBQd8g/YL5oB5GieyRCnPyJked 7ZzxxTkayb6j2aQKLA031cuBgaVZDyLPqL7YN9Xb+YND49nEUOirRVFvpebnk2qXW0dldv6WqLE5 5O0tp280QDlnOnUfNI5+KIc2+QMibv23SgOERYh68UBFOTnHl0AxJAOv3P8sOCyngVeAnrYyL/Sx VMduy6iCi31S6GaWBmkBlHmQHgAM72xGqg9TWRoAMCf9pG5v/Ne199/5wbk+UMrzN3a0NgMry2/b WBFaEaNxW5uhl+WQ/nBfzrGDMqe1NlO/sihzurdeDswsQY9hIbwlsb+vxD4F4xPScn5RcLVKRPl0 mMywVQpnnEtq6fbCkZql9HVIJE8gBUic+fycJr7myaAnf0BEs/dRHjVb0bGp6fmiYsnvLjnLbIHK muoRYdeTzRTaXi6xl/8wtGRvJQmLmC4W8RzkwDFrpgCJEWok4Dye9GoE8RCWxd6M2sveONh7JCUs 2TxxsaFC7YL4n6u78/lg610/azmEgdwAi0WPVNgt15BoX0nrITFCQuxSEc21jorS2CIVZuYEIUKs yhdvAq8CCAs9rc7Ftybt9U7SSDdrFAVZ8BXhodQtD20t+2b7N6l7l6pLf8M6hLYy58+6+HyQcl5X a36LGWfNgk+ihyrsl3PwSQ0l9TcbEU/USF8cK1Jsb+5h/hY5tLbskvaopN1xUajQHz+HN6wIGrL8 Dsumcz7sxkKzgfwNrxmbVGWB7AJvy/T/BALeYMvZoosPwG+Duu7J54MU4RECWXw/kBQe6Fhob4mB Bl0JLzAjtQvM2I+/NZSulSME/paw70X3gO4cttgk/WQHZwNLm+hcgXCXIy8GemMN03qYHqsqzoOm Mjnwt9QkHKLN7B6cZaoLAE5XZ+0vwEFgcCkR7q/uAUy21pMghAaXFLgGGHV50y4XtwFv1BdWVTxv VRw6XGquIDsULQ44wP+URuUdCMglHHmgKiB9TCijMKC2am8okwosLrXDQeToBG3iChE2Bxu6EwsC j2j+gODe69/3Hiu9/bQPQpdLZshoHZWLzLg+17nnp2mXpgfqb92UnNg+4GJlDDiXJx0kIKr3Wedg KtEboO+F2Px9cWOp2PtGyAw55mjfwaREhcdEUSnn3XMM8gdEyvu3HZXtNO8PLzgHTpc4orrEU7wu 7tXG6jdQattNL2Niq0vCgME+9cURUaWhwCB5umdIsbPTAMeqHwgXQZXbJ3oyKsqB06X6JOeQSUzN u3+2VFWY1mcBIiq0uvwtDIKTESIBN0yhJjhdU8+bu7J8Sq3YQK/17ZPPIR+HpB/1B6nz3NJyBqlX XBbuq23lQUAUxEUTpsXIA86ZPCmTjO6ZC/FolB66XU6K71JQ1BaVxfskCuLBjFn5fPQ9fdOEyjl2 e76C1zn0u5THG/+oUlDpkplBvjnI4GJVjHGxJeaB4SU+J6rvbpoQcPF8c54MK0+mUWCb7U3rFMiN /Wx8U6V9CfHROz2HlpdkUwH7S94q0mCShBF9+PP8cIza+APeT/Lf9ulQCJjtuvDmwPXSdXjNmZDi F+TaKFCrNWd0sEjVBxUl5lbBILWwxbKosz1SGovt8kUB9cF8E/zzDPgdsoJyd970N/5D2wnDYfVb UNMyHzh5LX1ff8D/5vMRKOqXNwiKD17yHqlQtZCocrrIauuNbXYX2PXDZunnHzu91gvcL6FbZ9ZO zZfbuSPCpHD+AkO4SlNj6rOg7fWz6HytOIkjFNhfynEY2F8eVFx0Qp2bowyTR1t8/l+YTaLvIfe1 evOG1Oc1g8pgq4HnObepFMGy2MlUaGGYmNo50bOJO77mT9mVZnMWADg/5o4dpB8iECijWaicD4nQ STBKhlPYQ6RC/vSaPWUI8gGHWFl7aFGIkFmYtePC0y8BOi+4l9Lx/GMYM9gVk0TFP0wQH/DEz2e0 lgtfwzfHypaOrI+0PNwpyTZcEB+D2lcZO9f5TNDzSfrO+UogOaC9csY+VUv7mSBeZAiamKnD0rn0 GqCTXlYN7yjLw4UBokvblsX8wtNvAUIysS1AAowTBJOyBeg1Zco4RuhQ0C6q7mRrDu5ZPV8ZtVYN BfWaMOEDGkwpG7GHXDwPIHyuUvUaNSow9hw1nPdqgH0D52aJJcm4n9d0KeMs4QeUxl1s2pIAQPsh GKJ9XnOl/IwQbNQOBM0kSxjheU2Usiy2OZCyLubZxc7ZgNayG6dQBIPheU2TMnhlHJODv22LH0KJ Lsjs9oKfWJBxoy3Ok2RQh/eGB4nP5zVJym4JkkkAmlTHFGBiYMrMK+ncGfnzmiPlRxE8G5qHDtXV 4vOaIWF/scs7yvfAzkG+rfuY/JzXzRRmXvOjLAsqQFOk6bTmvfdna2OTjghSOURYMf09KQZqkmKu qXeDbUqnvaZH2RUdFpUqtah5knCnsHLFkPw1OcLTtVmAJPtEdfphAXpNjbIPDEbaPiAfrVwcwSBS rJFBnWzy+5oYZfVM0YFLxRc7oCETBbtUasslQG0omzZJKqEMTQF21Cea7Fi+5kVZwxsc6iTdLY39 CXWqVXj4cxKUz2tSxMtofZ9AUEechV04urS8Rgg3H9k+jz4x0dsmBT6S6ROf/yiWZL9mRIyQJuPt LhYhMKpAXkmcZJ6jVDJGBaMH6oZl1my2x1izvaZD2GN0ue6J8jsNPH7iXlAYzE4BUiSkn9dsKEsv w05k5JiQzSgg0jBAr6kQjmjSV9ac1yp9VNeUlfC/BFMxcZE6cXREd5l/aST+kFfOMdPzuXyoBXrS RZTpanrj+koYAJVCd1gl12SWvyZC+CsM9nDLvouBL2EEzj12UqnPaxqEVzQSogKEYgBzfwvQa28S Nx/aKhDu2n4IVdNtOpVPH8UPaeaUKPtfO5PY4UU43nwXCxAkDsGMwRcExfdK/6vcxD/koEOYJsLk Fo1SXvuS2cUuaLDLRbUWKtktRuspucrntSuZH2VCC9DZcRNCdwrQa0cyS8QW+6pOp2K0auNdDM1c dweDTZO6iE5pMjOXqKzrm8qadj0HDxV39/n3bx5XueMMOPkdCtbRy0XHJb1tcEqry8aZLBa7sDNY Q/xmCUt97UXyGruntKjR5x5dq/g5/U6mwwXNguP8oZO3RFz6E4CvWrx3RCiPSIfhVUb9pqwOUr7c J5Sb52cCDo/mP696ClwKDQ60VMGs/ZdQ+juXjvtMJxFIrFp4VEMTqjFzPDcPSKzRRjPvZgUXgAxY knmQonQabG24lm03SZtkxhjcq1nfqKdRL/UpCpLbNt3lwgTWXnlQM+WcBhPpI/sh7EHCW9I621XK xEPvGxzXrgR2F5sBNMJsAN2tfDxIqet3yohCB86PHqT3lPpcaGDUA0zhX9L5bclyoiJ/AhxHC9mc gb2CIGE8BfFesn3lCOd3/skhCWBB0lhQ82NHtkyr6pNKQdXaU6IhLFEQom4QCuoTclGIElSUvTn8 eefQ4YvoXnWISYZ8dfmB/U6hQ2BZdVTojrmgXDUYKvg71jA6p02+MIrgyO4SbWx7+OJHdj4X5oR3 DI7seSrgToIvkCWTWRHc1e6VppFOVJihQXuSrFV9seI+k9DB+RvmI9GRNIYfSYTOkbdKpVT1FILv CCUncPLNTBvhfGBYk9kfV4KxL1/8PbnGcAQX/wAkzRb7w6LjmBLuRWDhThm+NOcFvGsAIzjLMxyZ 6j2G55Gqe3hbnwXkCkEr4QbM/4S53OedPcetmr/2WiaGHMQ+C1KUX8uQuJZ2YSajuyHhKN7JhqyZ F/jvCbZXaJVm8lqsQsMtdoJGmC66XhNGgrvAeA/uFuf757xNp/agH9E7d44HKD8hQDNtYZDQkVtq QY5SPu/UOVQTt8ZnlwgJ+qzPiRSm2FXODSa2B8md5VQniFA7HmA1D1KUY6sNUpBq2WIn0ilXC0R4 sd3O3T/qZKpAVOUkNhrDDrPazfRIeyfOZde6Sn3eRV/SKUOU+ACZ+HnnzeWn2a8ggb0DPzqPUZRl L0EqS3bMRKb4i7LscsV2EubVilGUZktrP2NoZouPjjr4ZqjLILlbgGEH6JJ4m4k5Sp5X7qOk2fW6 7zEaTLPpjcnFbWQX1N14yqTOx4M0cnoayf/bzDRyeozeE20glUSHMjerky4JCLeYI41kTdmG2ZWa slGmPVik9UTXJi622RpY3zNR4Bqj7YU8B7kmeqHgSkLn6k6Nut72PUTy2UFa6ovaRQOplkz3oLET Zdre4tcWzXS23U8eGeXanZq7rQ0pNUFhbrgcCgRfrKE2Ma1QjMJkmx01WN77Yqf2wDyStC24NrXB Kx7Ko0jgoHyU0+pPg3/qdYMgMTpjkV8xlhHNTkIG5WQNX4GSiLJtQRf81MZlTNMw6zlGuTZmpUBv +V47N3Huvtf0n3S2BHdcTccw117KtTEu0uJ7bSNAm9o6E6VnY9saczV86tSLuvbodGD4vPPk8Nkb g3dOX6ykbZONQdij5887TY7ZQ/raa5S33vfMfmfJ8RSTtdVI3nmc1bSb+27N5a0bIb+4/d9Jcrwi JR2ggdFYD4RroBcCkA21vxJnjhip4bg+lzVKqPwcSGw+vrPk2N2n016nX8rEH7GoIDkXu3zkOkRQ 3lly/Cb615ckC/HlOdI7Rw6fb+GJJI6cAN6uTAzJCs+RCDzXl/Sea6P2J0unySe9zcf9E6o6GeyQ E6V08oBMlaZe6NeYoKcElxPn6ehTes+2kw9ol8nvsi7muQ1iFP+V41yrn3eCXJZk//MtQb4BX7ZH KUy3J52TSvP7v5FkJqpz71fjujWbz77T45i/8FLrknHu6aK7J7xkS+UYBAonfVFxDzqFyFPQ/QeE 0iUrqIDyzo7Db2HpD0lrX5RvA2nDf+T5ffXzTo771QJg2dZhZJ/vpxSl20hmzq1fh6fbqS+nMhVd eYR2dxdwfmfG5SsE3iTAy3JGnxJs8VpJ7LPNc80JNQEDlfpDsNtJsv12M++md2KcT9PGnqrbptdt BV0qZkznjFifd1ocLuB9t5uL7kOSx4MUpdtV6XZ1/DuOWWsAdOriOn9wGKHinRXH241Ce8MOp8fi YuJ4TgChNGoM7CTOPOxUMvXiMIN8ju6l9w3SpEydPVGcuQjZNk+OYTp7c33eWXHe72BMBFpiO9L7 te+kOB5KJO62fd0bpOgxSHgp1kqCp7BxB985cUwmpG89ty+23YgqnQCPNhqJc8AA+apKkS3kX61/ WYAvve/7obSGDAmpUMyFkYF4n6zkz99zf945cfjn5vGVKKGmx5MepCjfnkDSwjqwW5DmmukGKZlJ 6knct+WS74w4/I2ZA9RC60YulidVGOGswnwbPn65k19JnNwEEQXKCbdwK0OvG8RIg/1KMecMwz7i Z8EsqxR7BIdlf94JcRw9fffbulrtnky+8+GynP4AEuneSipEFphZyl5OoqBoLb+k94T7XDz0kK+L 9RsXS7hPPBZU3zmvaQ1iohRkQg4/INBP2T273fgrP+90ODY6RNZV4ba9cJs8S4dyJr5j8CGt/PUh AX7d6+1JvnPhsovKt8EYsblN2xt5pZRm3Epo9xjR5J0KxzYJgtM3zQm6OwaeeyvBImN0GoD3BBMh O+FB+kK7Td7WEqcg1vadCMeqQjLgnB6m6sQlkMCl0ghn7s87D469xe98G2OrPu8A6Z0Gh8+InST0 RuzUBvDFPqO5qnvu8HU0xQ7zbaZHvZGk29sl6Z608lzv4H3TBiyNKszygufOwB8EE0vX9UJy9Xkn wWFIxjZbkWB6uYLpHVxNXhNonX/eOXD/d0KCix/oKAtRlG5T0xFpjJf/qyQ3t+zUPpRVCtIahShG iqC0XY1wIy76ik6phD4CMqCzyyCEKE31Ct5+q8S4oxPdjYNT9LoRFILiS7iHbVGLBFZc7JW1E/jP O/0N/9p000je9HiDdm//d/bbHWa3fedIXfU/zQlYF3GrwehUW+2d/Ea4UWVRS32T1q6+yVrUXjfr xrTAvSBwEnowHe6uoKb7kd2G3jYo2xqbbEvyQqta17YN6DkyizxJ1ued9/brxFZDvDHN9RBFqTY4 V2h17emzyG13GSZH3RnM6E5rFvnOesviL6A3gjtLi221fL4ZqL5JeP/kSuQ4F7S7cWIj34YTtbvI J71uVI/ITsYW3PFdqfZom9PI84ctn3fKG2JcvkEj8DVs7NQoSFGqvalCnPoFtDepnwHQPtQjYZBA v9Z3FHW2E/H+xBTb4kf2KW7ZL4SAXlswwEQOhZMKykfneL4nNthCfNkgRPSRhWi/LzqOTtolx1Qc eJ93rls2tZuvCIHDO736f6e6ZWlGALRQHck+qOnMnYY9oQidWrFZ9f/OdMuywT0fDMRkbPFOG5xx 8E3yM8IkbRNzC0FXCAhAAbXeAQmLkXeeG1FkuvFL9sV7/13ItXNT8h2Dryh9J0cgGbdn6v/OciMu gsCRRx69r3Kxj70amPacJm6S+k5yY8GG06iaAkW/tQgF4OCTJrUlVLPUCgDiCcNItPBKudwtoY7f SW7MjjDXRkXji9pIAMrzGK4Lf8j3NBsZ6C98HzQnZ74dkneKG9MjkiZTuQjR7fbf+udYrw0tZEUp 7mtTVrBQWIEUZLDtMCCBM/YCJwV59q5bLvIZLWyYFCHLGF76C3D8zm9zEBuSCUqgAgMgJmCCyrMa 2+vkkO/8NgvyU7Gtk8RSvM+CFObZ/NzPfWxtpAIQtSVIuS8va2GoYkEKG9vsRNaiKVK5UySM8c5n 2VSMjDYJlANgbZMGSP3422zLmEbwtwRZZJIkVTNV9JOYyqgSyB1id6HY8HnntmHD7V/Tfyle3us/ yrRxCIC6PZyo3PsdIzXqfanZlp1W+s5rw5lqXrs8lLjcti3cCbAdGm0u6dkB6U4W/xXlFTJKN3Ci SsA7rY3pSieQVvlkuWPbfb57MZbPz/i8s9r4kvW7ZMMPK3dq+85pw6lEMfLG4oeI495p0sh58ahG UgbHv0lh/53Slt15p46yfPHRNhoAS5Ds0RaugUzIMW7BBbZRnvdLGnQieKe0ETXM7AiWGFyKrim0 cHg4/AA19HlntHmX+pnaookKZSYPUogjwf6ppySbRpHkoSu3lCatoIUEAIdcxZf0TmjL7uCU976L QySoUnnydda1526YlPRKtJ7jpgO6woHrVKF657MxSxqU6WIz6SzV7vKCZrA8ZdL6vLPZ2KD4lSTR rW1cZG2YbG+y/nS9LcL+ulDsGAAszZROQgO/JdJI37lsPN1Yii1l0uvxST+f0ClKgG5omHFu1XVn iw/e/iDVwET5lm1d7xuc3DQB3UUdbizCjtYpFS202vrnncqWRaBQkLAzAUBsM3sm+U5kY/45qVaS BMrOoFYlBQliBOqVABk5rLP9zmO7uw3Ot75YnlQwt9izmp0FctVGovsgOBLYI/xjLQcYpEC8E9nY 9SLEv627qLiYuOyYTJ7f8HnnsbFK9Q4JAgIM1az1Hklhuo1qr6581V0WJZRZ247levqD80OBtMPO 9rCx7V0sSNhrCf15sWzPTZooglPAREMemTs10MfTInknsWVpRyGLbMUXJdzw8CPi8Hzz7fPOYbPh wVf3H3XjnI4jeaewYfzPGGXRsZgmPcmkyXiSmZWcKPLOYGPPmFf/JuaGi9VtJxuGkS4FcCDBvFn9 FuKdQJP7WbRvLNIqmXrbKEQs/xfTbi5Wk5xUVYDkvsfnnb2WHzdZTZHYkdi3+n8nr3EMylHtXheH XLfjkPfMPpHsycUS3rlrT2nbVNq2p7Q9t8452TDkOjVJOrttUk5hQwcDGiY/aFx6tq2vKGpq961b n9aEXNRoOx8RB6kYnNXPO2+Np1n5ChFOwVFvv/adtYanIORYlaj+x3pu15FhuI5Sg/er7bQw2WZq NHhpabHC9oR6AYBJAX1AAcxLFTqwDTjKVa/BJXRA+LZBgyRJBa91X/QV4aexOjkXx/y8U9ayJAef EGHK3fe6V3+UagPnf+6EttxkYF65c/i/myw80KQmd95CtDaVpojBtsUZR+BD9sVeZMcxCwz4ufnQ YIWDGmTe3OCS8k34HcHNT+RfHuqzDe+zoY7e2ebbGY8HKWTvX+c1LTclTc0QhXk2mDi4hC9nJBUz GEDqlxyu3R2K3KKOdiqs+vu8i4UIht9AXTJEACVneoAuDLya6LzoRdpMWzstSrMXU8hOWzMt2mk4 7qwXWQYeDwb/D/CPSTkoPOOhjfQw0U7MIfN0TcXzARv1CHQqbyK15gJT/T3RVuqxMR8avthxdP4Q a21MjRqs3vaiQGelen6n3rHoR4+7QA/JjzyxE0o8W9SMBHswa1y7+XhAHNnf6Ag2Q9vF2fQozaZz Q61peBcpuUQZlNL2tRaA/o1CFGFIQLE6seHQVos1teFHvzY8SthFOglkEzQQ+sqoas9OfGp/6mv0 qKldpbyV2XDjos0GEZ0i/uOpRXqUZqcyvmMEv8i5fXzUwzQ7kxbaTHjkcrWG8AbDkqOCWQtvtR71 tKXMWQh80HLZIx2TO3oSjxM+GFj9UDMRWrjUdKWBmXVsiSDpUZrNGRsc1liSLGoLMs9G7OkxgEQO zwdopPWrYpty7PP8qIdESOwioB/MD82EbOUqwME0RW02dg+rkR4l2ktYrTS6L177nw2H0RRrf5R+ iYpSou1kNkcAmLGu7WZd26NEu7IV2acOJXWF0JFMGCuQC3kOPjweHNy/OpIVLdF8m/89YkNCBwCW egZBQhI5zHoBTnIuJ1WqC8D3vyEkmBL54tXIhkFJopAb/nXw3ACXDYPBRaOj8fikU2MMvySaaYth Q3+YBLXJapqlFELDp3T+JHg+CNJYvzYchjOXi9SjTBuzUtA3R/I0slQHI2UOphmkBGyMghRSIjmv bRBQtsVvN5hsDGSZoBzDv6pTahKyQFSjhhrhPZT8dYMMoEoUqdB0YUN9TZPsPc0NGR8ung/22/w/ Y6S175cU9bZHEUIRNf1/kvybTSMfKu2VbROM38g77vxJUa7N3GfX5Ivj2s7FO5iJtpHO/7MB3QfO BjlsRuel7FwfNtLU+wbbjVqAvATP0ohd1LcElCEP7/NRFfyAf/FICP8Dh7ncZLK/59soZMjUohEB AcmdteaJDZQXUD7JsWqhi8FvKcq3N/WUkwzl02MoD4x2BQNfPJKEzAkdyYXEfuMvQXcrd/CgGGeP mtuV7NHzkncRZquhocck4Ow9PP4vfi3/byF+sO4AoEeg7c6uLQyA7FPCFWRyZB3NIzkTz0I/GCQB EYbE7IUyK9tCg7nzpjD1KqdEbkWSIzj+cPEjRvgqE9BtVRtueh+p4rdEwDZmSmLappyds3XOjUYQ CeRV8XjwIc3vLCBTawToPYtSmHMTtp3qMAuB85+E/LHbtnhALUKSx7IoRb1t3W90AbHFvqTz8AIq K8tcCMK3hRBJYOUy5O0qJdbUkhwkkfYIRwJsIsJTpy8aJtE6jDvv/EHxePAp/VffFuZC/Y7cRpRz E+pVlcdJvyaZFSoc3Fz+tufqOsEjam5PA5JQLqJ/yUXAaQWuebKqOrddEkIQjm69MLcaziNJ/rZB R5Kym5Ag8sX6/7nJzRIMOjwe5NzjG0gC6g0ECDxEUc6tsmRKBgHf1BIZGYmS/p10N4HTidHZ49a2 tlvevngOcOp8NAXoA34+KzSikQPkjeofHzJg8a5BBgQefknEkJTYCCFbBfpl7PrDJKRSjO9noMId YW97/0q6z/Pz4ZGOELadJVpeqxFJ1qBLM4W1qGlPksTeriY5ot4261aIRnYuJAAMNkIA99zqtkFm GMLlRNugnwGuFewN3DUAatL4HQGItPNWUyspl7Q9l0SWQZDR+R88HvRJrrAG/36Qli3ddVlGlHC3 Sc5WTQ/Sdjn0Lwl0S6TtcIrkiBPuTpANFdoalbkQorPVcJ8RjQApOwgYsCG5wKvpiX/KfO9/KB7g d/zF+kdL0BdD/qH/Ik3IsfH4P5Uj8HLwPu13ajuidLuL969CpMvi08VZNs8GNSRL8tMoSrcNHUFe uxbn/uVGXhtLt1P2p0bq+0C6MugigwGUMW1J0MUviUbbVbUI5/9whRaJ6PxcVpeo60vG89Gs7Xu0 DcT0F2V7hOk2vdE7lV2VIrXdvLHNZ8nZgg6/bbUo3aZPQsVo3Jd7sRVI0RJJMtOmejwIkmjCoNH0 M69ceSZTA78jqG7ZS0qD5xAXXf7wVCVxBWrcePxfqPYiETe0ktrdayFge0gfIdsUCfgsP462tG0w RVoAWrEkGRFgu5IYQY6fLY5rmxvHvwS4B3rZqavhdt4O3CSU/ReuXfW20aAN19qUDNJZ1FwEp60l NmZY2o4w017fpPaT4xOO6CGKOtstcxrZxm3+S02CMJLVvLRtyPX1GUWZNnEPpwieVQudL3itpQLp C9KRxnnLNKrswCH/CYzAIhBZIQJ6G78jyo6KYG00xQESXgTi89fsieX/KKnj+X9qIXHQhuFIH55q jzDVXjqQjMuOkW0qHqTsiCQdSApSnGqTjaRZEhfjbEERbw/TJ0dxxTwzTzQ1WCNWzAB8szGHHGGm TfjIaLQVHEAC5KSeNsTmWZuuPhd+QNAAaN665bQAFkTrEm1GnGpnSY7NeyJNN6iEAZbttg2utHZb 2N42Y8qdffGirTco2ewleeSxeGyD0Xv+Lxt8CgF8tBHA1rEdMiQlc4/m9FlOmfyjXuQ5wYVAhWVx wfNRl2R8VW1otc15ERIz7G7TFKBprEYYCaRBBCPJHD2o34aKmw2AGSr78bw+79p9cYhk44lG5dHR MJhopr25IAjiqPbxUG1niCIh0uaktNkXHdsJwwVZeJaKx6Pv6Lv4R+e0o8SwEEWZdp2aRxpyhBuv Wo8koduWxWofXtjOsLudKM6msSQXO7Uxp4Ih2yCI5PztyUBGLg6aDcmvhe1tw9ouve+fjK1GayUu ChK6S0vVfy94PDqTvBxRVt6gHeWbbUaZdqIAYtsX137Oj6twX6vR2mFSWkw8KiZIkvpXOALg8oW0 gfOUBEdPCjZJkJwY/sCMCACJ9WhEUiBh/t3eXuAd2WK0tpOJTYG2T/U/o1ybzcUH/H+uyNmvSOSM cu2sy60vp/7BN81mAHmY1jaMJYap/M0o155katWtgdt+Bm5UL2jn6+LYFkcHZf4maFA4yFETPP6m S28bHUi83Koqt+oibednnYSDB/FJufF4MPz/1SBBS2X0S9eaUa4NaggUSLLd/40YMwPb5uUqbRM3 p0IU5dqDdRhIwb44QhLVDoobKWmCvislzfN2C82yDPsJV0Km4dQM2ZFJ9ezevgiQTLo891rpFY8H M8lWvvYasuwBJ26LUZhqT0rZrJR/rkN1tjTy1KLbLjZ6UPFimxGGpIutVUb2xT6jBGpNkmTtqQBa IhO30BVkQlkKu9tzJHpQzijVhkEjLjSR25PbU7cCrDk/jXNz4fGoz/at14IZzYDYjoUowpBQAPnU aWveNHIuz5AgL6HjCOhVC1HU16bdSBVARIt9Ruf4KaeQ7brWNgiNLNnwj+pw9dlfOtHA+OB3RCc2 pWozu9pnKcq00RuRNhJs1/D4v4RIeIUBH73zPYxCYiSRSGmOe2ITZ8UeWyEXmiEC1cd2Wqj3Zyot RLdz8a+oncNoygLv3NfwW96saoFOky/0+C1DNqOWtlf8/Jhyca9lsMFkwgDVIjwegbW+Q9T4J8o+ HZkRhoQwuDqlFEHuKOkx4o4uc5yCD0D18zrKs4eMEDJzpJpvTXsq/mxmlgjR2b2FnkowMPtZoH8W OD7cjnbS6wY4ZFazZXUtdFJhNVKXSK/gp1c8/6/vSCOVjKzWuyMz5EWiwVBTy85ChhuCBak27450 ovO01UIhEpZqXUr/XAwfUU4SXMAY4aW24KXOig399oEtRmaaB2kVve5fAIk22IjkIjBSXZ2Ac7D+ +I4R0uYb9df4AnevrSjLJlYQmHMf/hcqNPM4aujbWVWbvGBbEYZkNDZHJomRXDyFbLCQbwJrp/Mf gHvABoEYjvNnbJTYWg/lf4X9bF1qixxkLgrROld6lSZtrXg8IEbewp+fDERwn97ICvvZTSd2eyTr L+qvtnT5I7CjV4jCLJtzIzoL2uInNsRlISDJLLt02m9BO4oiIDh8675YJHSB8Dv+BCEriwR352fY kY3Sjc2R8+fB8/8Lpg1I9JEe/dFQRLvqUy/5YkiSxQh+Ie6CmzFaVYxCDMnWSHvawgiRy35qDljo mXtin1PC/wUSAEgQwBi5udH53+F3RD028iI1HeEiKBLcHZfpsPPxf/VGBB49B1G6mggr5EWW9fQe VfSblRSkj/Pyjn/qdhqtEKjdCY0AkskW/4yAz5qLvEjoeA7YP4JPArEdTBKAmPKLn5C29Xc7m4YW gLShYdCtVbuqZH4HRFpD+Ej/NV4jJr4/x1GUZM9NxmjLmhKxJCk2hFxz62Sq5DNYkEJeJHXG81LN th4NsoxUr20WawPGd8DrbVLbfiDVDouG+WBspl436LJNspAo1HoWpEeWQkJ8h5oIJ/3C89G99l2J oO1AboUFKcqywTGBxVV1pHZ7PDR6qn4gld4N8r9iCRJZ3+7qi0EjFsiR9HE91ULusPtJ8noHYAvY DKgBuLldoub4igAkBGn/34oWwc1dQq2dLxnhtb5lyCgeDGKOBSkW1Sbyj6clt5swexrU5py9FAG7 WkEK82xpkBaKkWU3JQCzZqMPudg+Wudsm5k2ped/y/kAyrV5LSUBL+frRjEicXRK+Xfys6aY7bBM oJ+CDo9HlH//kGROAjm9eY+kKNEmaqROmjTJG2JN94YADNSYWhjuWYxisDbbtIWAZC4Wo/N/e677 TFetucpJ6ivBI2BjntscxRBG6o4eQdmE3xJlSMgfkdFxgdAW/7VQ8lpCa5WKx4Nz+5mNsLirPyB/ eJBCZiTG07W06dVIpSOcFEjWdqXWColRzthW2NKmAxJLP1t8fIQJeQf3HLZ/JwGD6xFrM1pugt+P mkCz2sJWGX7LX4BtFDi+qDkCyBwb/+eb4ksGM/9L1ZLJCL7H22RbYUcb7cDa5hX5T0JI0CWCtknS 15gu+LNige0hYZG72JF0bt0J8WkKbEOxnU5SeUEKCWbBP0yoPEmiKvKKUm0mSSdQIthkb0SCkEfM ORCAFY9HH5LnSMw5oWc3th/bO0q1oc8CdOftseV+KbbC0itGULKWBnnU0B4UjciC23Lxu61t9thI sT1pd5LFJOSXfiZmgegPu3MrG9o7SrUbc2yIIPvCEKGEGsxxYEiAxyOCzXdzBCI2o92e/35PtSHu QuGR+si05WGubLD1cVe2lXzkv2MNEm4yah5ocSjy5kXdG8XsOlpGjT7A51DZOEYLwPB+sVHof4dw 7cYGpKijXARBOp/87jpjTlW731PtJPPHh8+OdkaHAIoFKcRr4xSGi4YHCUQ0P5DGctZ/Sq5BssNc W32RrOZ/TrdkWxD9o3EACClgWaPyxYwefcBE2b/5WNsOvW4QJAKQYcDri8gRo/WivVb6xuMRK/KX KrJQUTdGISsSXXDA/pOX/sul7FC+P64s3fX+Q+wIS/8yV/PF9tqJUEOZO9VCKrTnOBUsaEiLoszz wSFPXmw7yrY38ZAw7PbFev7QNuYZs/EhReCR38qRGbKoOGgtRiFWu5fHzofciGZWGmhBp+kxWm6B uGNSJHv+STJk6dJrF+FHqYg5OlieUFoLvcM+wT6eT5sNHzF+x5/4GmNIoOiTZhh44Gpog6SK5yMK 8vq6/MF1PUe91yM7VPub3Gu06DUVkmoUm4nOjBGRAKqyGIXYEfaOWmV7pNVHNwq2EA1TedpIn/uf qfaJERX70L7vl862cKrgdwT5UTXDiLtoq4FIKGB7xZkdutfk7y4baJQrP2d2BB0h6QSJdPu5thEu iUTTZ4Uo7WUYpB1BR4bhakzyr91Eu1MFD8qL1B8/yUZiiOCKC2FzYEjvLLt3vW0EQKZbBAaVtgim hcvTmmyLj/+rYGMmhcN6PKdRqEDCMelu2b4i2LO4yy+KINtpjcoJGGXvf0BHBm9+LvYVVbhBY9ZM JNu5zjJP7IXINEzXoDhkupq0I8bviLT+ucVEasvy1MZXBARPE38fGy0W1v4+sOHzBWiVhyhsaLf0 zEIUIsuI4GDDASS5bKuaatSOG9o8jIj61+IHNoz7UO6QFAk7TZm4WJXbfoDwc9zInnrbSBFJIC0e Rlxso5Uiw85W68DjkRHbr2n/+aefZMxz7B3l2I05di/mVgPhn6rqAwRtx0Oef0j3jRahRsSprUOY v/GIj1Sg+wrQnpD5Gyc/Ym/khO68LpgtuKjMUpspIX5HkD92sY979kUp9oJtHUN0fiwej1q1tzNC rB/AEOUytIXSee2yEXw8Uqo/7tJeTDNispsDAAkUYjml7fpRf7TZyhrysx93vtb3YqMQ/nloh53E L6k5gsQM3Ri4hcNOSy7ta9sbB+TRwqGIeJGF789NBm/zxIF9P/Uzf0AEHb2WCKyBkYa0W7JpovP6 HJWjK6fh/1G6xPMHEiRdpzW23F7Tem3kdsd9pJrS6r54HwkU9t5or7HO/mpUl0B/dkKDBJ616frZ L2bb+C1/ISM65ey18JM6936aypLWzHw+qEnad2sbEkcwP3t8kUIESaLRjwSkOJrcpm2PDkDyGcks jiDBjwrA2k2ott19sUAh/c6lCNGez2EOTUQch4vkhM1/0dX8Xdvf+A+RjbEpa3sW6pmxU3LuBhnc QOqePyDIlvL6VeGecy5fq8icoh43hjAZWHRjtsEs3KdJi7cVNSQ4mLJIhXaRjXQtuPXaYpE69/+5 0Ip2H+Aj2Mpn90FnMieADGp5DvJFlAR+TYSTrO+Z98nIeKxXYADwfIRt+8aSwskPToY3UFHuTa2X 89lv90jAH8s7b+zDkiNBGpHtvajRPTRNUt+EizdMSLCpU+3JwnkAKl0UKOCUVCqKeFeJEr78NQEF QJK2uSdfdJ435PQ8sDa8JFIIKCm3RmncfRyU3mqX4n5BUrvIViQEYMsHcBrIjbbXbFLCKsN4SfhR 0YnOEeWgCxAXhwOcr+hUtGmJT7JKoUNrwglF7hPl/7z/lra9cHDzDaLcxE/mokj1fY5l+9vo+f/F cwvqgecP+RznYcN7EIu31/TRiQgAm5oJRjCFHOHMfvNFifjkgIk+qrZ4In4+trP/Ek+p1VpmTnDu P9zWCVVdHtfAJUMoXL8myqLUW3IYjg2896J2Bf+z6PkojerfF985PhuA9s8nFYqUZKkkGzSZ/+mW v3k7+eZsQ59W5hQ2vpdE3KXS8iQJo4CEnuWKvAFy7+wzkQyCiUpl48Z7KKU2e+MIZCLIpKSlWt/W VgMUqms8UBqf/xcqkFilc0zPPa7ZXYqy8kX5hIGL02Am4xpwtTQdZgJ6v1sCRlollTy3Umv1xXGB c3KSIlGgthPoRZx9n/0DKVUwTy8ucNr7RoY3zMuFxUkXi4N5Q2Xp0UobfD7YeuO7sUtQbgLI1uMU t781/m7Z4tR6c6rSntX1pRJtKBSnsP9NG2Be3bZ4bn6egW45zyhQoHVGZTC7Jzdguf1v6PvaCwcN pyoEpYy2qyuWAKMiSytkVXz+n8AuzV0w3r3yADn0mORYuAAY+mMyk+v2LjHWtUq4X0+XHJhMon2V Vb00X7x52eDEJRQl6rySaBwMc08OrX/oduOeLvTbzoHJJPoF1L1JO/mifkEHV4bSLmlMPh/JKFzr G/Jx6Hx3UYI59JlstFBM2foniJl52Z8jvmRvGZx/4PJARagT1ELAvXOi0vOdqJz7Gl2CTjnujsmT dAQS+76ZamXzSt/RryT/4TPJcUEVR6CWx7GsFMFNV6l8PsjNbwuTMp64Gb6872KnSdYwgzIP3/05 1MQ1edsAY6OltkEOrSYXcZQt9btc4hKQa0tKQQ1c/srWCrhNdEtDdexhKs3e9y/mkncxazZRjjGg F09URaqDz/8v0u6Y1XXgRTxMsUigtMqnh6kPE3SDNcG+rYPSpn9NYV5OEuUYnHFzMTJFLrSFoCcX yKeUHYKQFrKFhh5HbleWa/j7/qXJCVldXwTQgcwaid7n2qx8PoIM/DqeoM0AK80bp9ABZ1EpYG2P k9QM2RLP68py77Guu2tIqBSucq67eKeuQ8oXXQKSvJC4UgQPzE2de+gnWfkiTaUcek6aKUcnkilT QpTf08kz5BSALg6fD6a9v0xw6wYKvz3bLhLn7pyJp7y3jw78kgMxlA1NypcjrbFtF+bkpMGDoeyL 98XbKbgxLxWYac9Zpc6N2W2ifvoXmkmJQeg6CfWkL+RAc+Dg+ZppKcpEhM9HNjjta9+hU1wBuPU4 hbRKjOBq7blY5wCJgSWas5mdOcSDprG8c+g7uYi+3VP2pPOSBqDG2k+UAIiHXhDUA4hCOX+HnwUd vgJtZ2/aqRkVGk9OI3qv6YvK4QUzcCHj+NVHKfnO3ouSt3DH2Pc5n0IVk0k4MzVHVQ6TJENsXJO+ GSCimPB4nCIvnK4e1Jb4xH7EJ3puHTqWzDORxy56T6aNNtBii6e4HG4BkUC/Jfiesrynd/NF5zGU FZroXqny+cjFLH0lmjg0oaVz4xR2yXGuwQxnOL+CTleyMStm+lJPxuNo3Rz4T6LLj3sugcFtiw/I 4XefIaSEWhgTBEQUR/agsRJEOmA8Zoid3uyNI/upKmhc8kXFMDQo5I6wWuHz/3IO1jRhfftP5dCD sg7JK08nomSpc7PCI8YTgWoLV7kFKgakkO5VBSSsD5Cwg3LKaTiG5AuWcpOyuEDTQfWUMNTflUvo QlkkZFpK8sUS8tLp4ANuaePzQeXS0vcBhVkZTgIPVGhEyT47PNpvb/MKdo0CIJu+qHSJ3zl0olwC yC22OLlYoMoAFRVzGSWZm+SwAqFr0JqA6cvPbKrZ+0Y9Ax5QOKm5GE7ufJZrm7f0ynw+khFM35kB JQz7eOIUNstp1rWorSPRThbh6EKdmmaxrMO/Id1meehGOUywmywwLo7eAYENqSYRBRncWpz5AEr+ oICFE8kVNpNfd2hGiWIHb1OqL9p3aTWWWTibJ58P6pby3QBGS2o8DoI5dqNkIdwIhSGiMI2Ub18T p9d/KM27HVGYAztKpDYIUxJmPo2rIjyZdcJeUQr5qxMHlcGeR18TaAUIqNgBlWuyN/5Lt4tihQMK UCfKVFnocGdhl7PvtfkDopT8Ts3ZiDnX5IKOsYcq6pUntBVPvtelrHRLYfTrwHXmf4o74aEKAeFD HrB7++Jf1MalKsOljTYOeDsnq4T5NSYagFWnr0tv2xsH8AI6LUIPVIvtvZRO8soUCLNJPv8vRWGR 6xblPm6gQvHuKYOc5hqeTdM9lMKFYqsshVfa3oQKfCn1L0A23pMvfkTBnGJ3IjDhAzU5oYFQ2Llr WE3g5b1VTq38HBtTkrVRmmxzGtlcPGtOUV1YCIy2Jn9ABJ7/5QazMQK8ork5tKZsVGsYkjYnEmN5 oM4HPraDVYoPiHPoTamz/JzQzZfni2K6MJiVY0TJYrjDpXdAGhwCyA7CzNXe9y/lpVrEMSyudjqh DMKD+JQDjc9H39NvAiaoe0/3NzSnbJLMIxuLYdr9AnrPHvGmZh6ODcuBOyU2HlsrjUc5FyteEoTl mDKjEwgGRSGeFS3FRtBTvvKL7NjzlwR4DDYL+uZXxcVq/71MOLfXzeejnPw71wTmjm7BHqaoST5k mzOeGo9JsTpQbRUHrazirbrAn9L94Kt4z1wc+FxQG4kaBnmHlSia3+AuRGJg7ok8Rm47i1OIWzEl uCZsL8hU/PdupGeshc93xeejWthTTZyfUFeFa8KNUyjnTTGPpG64JIZTdeTKZHpOiWF6orIWDiwq oXkpl1PBMvtDV4UNEwIl6Ar8Z7ZamrCsARt+QhJOGVS67xtceKrtdOFlF+nFtHNa6zdjih54VH6r eTCD4sx0Ycd7oKKcPJHWO2p/vPNWtl5d0QCmSqoye6DCnJy+gQkXli1evGA8NbNMzzFJb5g3lEwt rwR8BnFQU865mg2HTpVD3Pm5bOn6oCasw5iO9FPa8/l/9X6tEwNnmBun0KtyaohOjIvEz4efT7OR pS5ub78bLzSrFKKuGt7naugLA7YotkhVr04oLtVRCzWtIRB3R+gEiufQrVK9FaDOfLFjfA8ORKxF HthVotnntx3FzwFVb+kJ03tGXv4f4BDooJbtcHr3QcO8swkkBcrB8JlLjTJyUDs3pLWnL7bv4OSw O5DW2L8nbc2cTQ0AZTuF/+AXdKEG3V44gBq4q8ddtPHqHvqC656bz/8LnqmmJkaCwFd6oEK8ONOC JPgFA0VLRNl6F0NIQ1J0dQ9ULPCNY2eTo6nF4SuTZjrkQa9z71MtmN4CaBqg6KJdmk07u6ZToW+l Nl5qSRaxJAmxCoFoEZsj5+vP/AGRCtovgN05W6ASeSMVUjRHEyLaWSwQabadJ5IbPymkcR6pMCVf 7V2YKQGSKUTU+bMjZYLQVaaKFc5SdBc5T6hfI/TAvRJSZvM7hSo4ZhipgbKIinprZP6ACGzwy04X 5fAXzC72r+RgeMnxVP9Z3SxmjGyQKFh0eSMqMLC0SFX2Gm2xb6rD+unk4k2megNjXPRXgOTf0JEr VLI0gH1e9sIRdowd8q02Cw4Z+Z+BDUorYOihTf6A/4VeT4BVvcjfHNhY4nCsD/R3P9xWFMRNptVE r2SX+8ihj2XfvPTwtrbYJzVPjVh7r0REnQsCFQwCldGlPzcftN/GneSh1ak3jjYfW1BVwKi6vHOw k+SBzl8Drc3AyhLX/HfxsmljMZ5TKtT7liEaiksT/WAGL1H0vJKB7FZfjskIzCyhXYMI5UGQHRfH ZJySZe+6pa9zPqpMfT2ML0680CrFRrq0lm4v/Ncor1R+UQUltHwaM5rH2QxhJn9AUL4kb7FohD4x Rr/QscDOUqN7SDVeD0J0Phxjl6rPFBIkDS1QcV4uJmIuvligTkUEUby25fhVSGeCTyw2QZqL84sr jbb2sjcO9l424bjsiw0VAGwV2251Ph9svYso51ABAQeqygMVglc6800d4UtDheJbLxs2ChopqXqg wsR8U7hB+WZ+8k1MhhM+K2mjYyiMb+/8ReRpCTkkwVcEiFKzPDS1xFAW9564wO2KyEF7Xr7f5/Dj 88HY8zrIYZ9mwCAX9IY8UrGB/PS2ipqbTUZoQv0nMyPExb4NOhb6WnKch85S88VA0+f/B5/mExFI i56csjNDyGRUUNcc80KfU2Vh7AJjS7lTANmq6cKymrgiB2lsf9W0J58PEoTxvfdgMsy2iQUqtLaE kS5qvX5ZCtnnw93mL6z1WBMyQQi8LVE2ohiGtLQvNkefpzjHiYQ51ck8c+vqFwMn3hKtpZeVxJRQ 0/tGZznNmxaN5LP8czn2xDSHWiCEGwTmlhLg/lZKnbBuuNlBaG7JUpcnrVV6tQ533WnSuWaYKqT5 +D2F7pZqRMEs1herYIjAAbmYciBrjk4pTCLL8RUgmRpe6Q2lUYG9JUANJHKgF0/35i4Mfpk4meS5 C/XmHBpcpqsmz7YTUlZAFW6koty8qmXH0kE18SKGjC07+rWqZdfdCywHHpeGRHzVujr5zjndRTBr BUrj1Cgi37QQ87ovbiwVe9+/DIrSpPJuQv6isgO+hMoOTuwXf8C//BuHneUUF/E4RZk5axOYD16S WbseReINqGdXWvONFzfLKbs7SVbkcilUHL5Q6RrSpmUZ9vxscRTIP51EvPlYFOXA5dIt04fGeeOO 82ZFFWlpQuXz/4twGg7G8XWQBz6XpjFTBpHrmuaxLJSqvGh5PGf79ivvD6PLIS7H9uVrPpyoYo1p 3snBKyGwGBrD4QT803F7B1Nz9NDpkpQO6F3cRTXxPheFqtxT1vD5f7k48DMEHzBf9bQcel2iAwvd UlP/xH8mn6NjpGslMcSFLCsPzC4xHhYugyAeLl6+nDQQXCcCgGG/WhtLYshVbrDEKM588SvbXjjA lFfOXLJGLwA+yVC2wLuI8N3zITf+gKAJXMrXvoOAwAQ+ywMVZuWUaqvbpWbOP2mbnvOAaZ4TOtoe /kFFWbmVL5u+Tlzu7GWezKlRtGCj9dtxJ8L+Y8JetlGxoNydR6W5HLheIlVTeyXZ4oooFU0lKvJk tA5C28uyfvHNMZ7e6ekdhMaXM4nvMl3eaaZukZogemjroWGT/ZOKICxV7M7K5h0XnyrgeiiwRUbX LqERSXoeB06w51jzOaG2v++fQ6rdmi86oXBtanSywRAKvC+/kT6ME55ZGOgrTp/XDKqYfwrEEU28 oCwd5FNmc84QQnqoD+o1fyou6gyfS1/sugNduFC5Aa2ok0AN9QsQK+wdmIQ9PCrkT6/ZU3G5mQa0 gi0WojY0UG+ndvi85k4FY0sd4fITx+c9K8cJvDmi8AzBDIor8sw0nBfEM4qcvDGBJ9R+C8KjkTBx TLb49PyEFo00Ts/P9qpqp1TgWGhfnHEumJ/D0rH0Gp/Ew+Ecz5PZZXWfn3P91KrBFJRgP68pU0Hb t3zFB8J/Y7Dti/i85kvFDC/Ord0drtKme8uc+8fOcJB+q11wr9kSPh+CU/+vj/NuhCyvLJuCBJdr 9ltoyYteCmQLLa2cBI+/5koF7jkcby72xbnYoKXkzgrjvGf7vCZKCO7+Dg+GJm2SI4zwvKZJxa5D +KRcyxR+4fIDKdcyhSMjhuc1SSrZSNQtKftOV/PqZIgbGDpOomBPsRAOaBjw2B0wwKs3PEhDPq8p UkGKI/W92V2ET1CJUU6JwVK1n5h9XjOk8ojwFd38UOmFuqfi85ofFXBtqUUnb1TO6opJXMIJrDj9 4Nxs7gMefD4jC5yiO23csgTJA+SDgeHBeLyAKwx2oiQABllk0BXS/tpbbxucPwAZAtCZfFHfJKED Rwo15uOvqVF5zEDt/Gl0XJsWoNfEqEj1B9oy7rmDiZrrXdQ7K4CxrQHFX9Oi4n4yeVDGmYsfzyd3 3kLNnUyyKQnDSdwIL0QTCbBRH2ayWfmaFfHPSZprT8UXAzm1JV+Cc1+Wz2tKhC+o/jqg4dJSUrYA vSZExfKo2ilay4Sot+H3F5q71vimOrcMLqIACYMieFN94E0LkqL1aqYAn0OmJOSEQVc+CT7rNdti SS8bbDFDDrBa64ww221wJZCO3bkL+uc1FcIBNvtXgCBye25532KveRBOaHRWzhZ28eaT3V211FOo 2QUPQVlrT75mQTihu1TSc/fFcZfg9gCxxcQaoF4wgTK+IeSLUJApKEwtsyap/DULKl6n0SbUFsEu gYViU6mdt/+85kB8xfoVIKQsp0jzL+i1LYl7r9BnLzsXA3lWtzMoleS4CrO16vhB72d0on48bTls cVRFOtfkmsRbQsSpQcwdNnvA/WSOOIRmIkBu0SLltSWJAG2cQQPC5FjYugShYm+AN5hOQwY0CFA3 6UYL0Hl6wh1ZAXrtRvKrZYHfu+O98jI9MAjAJYcxFQzUpXMR5UBdBoR5+3K/oF0nrdUafKyAb6Jf A9DyWa6ZEEdyWBwNm147kUUCyqBesIOEGOu+BmuWfrcCpL72IX/dYpMd23kKu0WLPfH3ghDRoRRI LocICBZkskQuKINJniO9ojTasCa7Z18sRgNChGOQprLg4kiv2UJm7smQIPUNNtwvjfR3Fl2R1yH6 IM8iWs4pGyvdTU7ZB/pqtM/uQcRJCb4g+X4xSFEunSgFQpKVTiIwJRzn1bbhKHraD5knChI7sK2U u9hZfUrKs5MK5ySnhDp5BfVS0qQWAVTqrKldpUo89L7BaW1Y3Z18se4/JpMM0jk2Pu8MOpzWe30F CUXOxE+0IL0n1GCNM0gu0Yz/dPWmk4iZGk+FsMYFwwVB2mTwVLXXuHj/EQ41O/M4GgsCh5w39cWm z+4/YBl7QjSE1g1C1MnfSZvJDBeFKG3oHlqR/Hlnz5XHYrcYgmIhXQW60vAI0Yk9OBPJ03GV1W83 UN4MRoh/8QWgRml11wCpsAfCxXdbBjN5IMs/mfRuYouDP46yETNITOXvlZb0uu+bDQ1GzIvoIts1 YUvUCGosuzF5w2wkCNLK7Sux7iSsLr/U3plzRQr5KFy7gyqXnD/QoO0a6tK4nP1rNWijGLFynZMj Ny42GDkfFRjW3GwdpHpilmoCcQ6kjp9ZnsHIVN/xz/OoLVua6TaXdf4F5Cb/IDf9vNPmeEX1rxhl 4M1OcX4/pCi7Bs4UHLAxHF+y3PsDYnTZPqTerpDMe3qN+qyJzJt8sc0GKK5cCKBuvSr1IDZaUBS7 P98/kMR2ag86Eb2T5rCjWdO1yiESFwbpPDxkyAJxpc87Zw61RPrOrznOn6DPWJDCDJvwt9SLOxIA sORAgN1d3RoNYgtSlGJLlhDNGl8cTtkJyC0kpHQqgqIHMqBJMgmKRnPCLHYzzdHeGXP4VxJ1mtVH y7ePtgBg0JF0/refd8Icq+BpQbJ6BdJGt0sUJdlzLo1DssdIEg3yIszeRUvEuTBGUZYt+iUsz3y5 p/bZbaMTIYj/L4A4oE8E99QJIZWMCsZZ9LPrdd9jNCbrkD5sMdbzAixG9oKp8/Egi/zVScOcby6S VBmj9zwbak/Mkcp2TuHMyzmFtVq4IG4N4DUbslGiTSkiNN3u4hOjUcjkbRTdmzBd44kEvGana/Ge z8So623fQzS5yTon0VrULDpfQzG3PcjrRIn22t8ZUsZF14SRYIyiVBt+d9nGqowRsiFrpy1ZyU4W 0c3nIGGuzb026HuqxU7tXjGWg4or5rSnaoDAPQBxQMIiBc9p9ae5P/W6UZCw1ya5BloYpHPQtL2F iQQ+Ikq2hSf2IMHaoEFGyjqOUa4NRAJ4OtM71lvdfO01O6UgWeFUwneC3E0jy/JB7bWzhj0CLjL2 ZM+2olTz2WsDbKSl7/jaou9irxsc2kTjZpEG8pUmBHinSFhulvx558cxeUjfTRFIW+97Zr+z4xjZ xWZj6t533MPldgHis3la216yvZPjmCGRFdfyXRxher4k9KvRN4L8VKMRYVbLOoPRXcmOtAOJrcd3 dhw7+2wc+XKuxaKCJKPXSRoX9E/e2XG82r4L/8lRys0j38lxnH1IkHD4EHtsL0jm+etXy5HKKNbc f+fGFecxFXxytvgsDYrfvU2qW+9zE8C0DW0ibLQCBH6FC5cTdIq9b5Alacq/5UkAvT0Nhha8V+Qz fj7jzzszjlH+7kDCD+NkoF6RvPPiilN3ISHhHTb61YrjvEyhGHnNtkHaOy2uiLQP87iWfbl0inZu NsiDQHwho+0CAOCE+kDGd4yDa12xCkqfvLPibgNgKkuaN0uaKDLlBnL+t593Uhw7AOsrSKufcghl vQUpSrfR+UStNjzd5vjdMN17V8d0kzDODRd1srtu/rLu4iVJAyuj8lCasF6H0zsGK+drn6TRjum3 m/k2vTPiECMqfeWsXClXlxUAbHjIk6CuzzsfrgieY+n2sFMJeusepCjdRjb1K91OyUEjUDdN3u0H hktBirrZxgFvggE+PimQEdinqB2JqLZzdFNrCGVb4X7bAE2V5+heet8gTUoyIszbF4HaJvJTXW9z fd7pcPzc79HN+63ign3O7vd8G21wClPsfjsAyWdG59/TrN12iopuLf93NpxV5xhY052Ai7e0FyaC uK95v8HuitUtWGSQFDjJBRyqr/n30vu+n0qLkJGyJF21bDQF1emRu/kx7M87G46H8O0B8OwGuAe4 E4tSmHGzKpjyaaIS2jbKfEEj1Eo35Msm2PhOhrNkGCPH3X2xTAlGdUghm1xAAD8h2QQ5+KS2LHaZ l25l6HWjIHGLDLZYIHnwQ9dj4qvVcTsJ2/68c+E4evo+urve6aZKYWubzgRlV7/gikgndCbY3rk9 /57tn9J7yo3pPgtb6Vz3L53rCcbg3NISKAMI3EzDPfSpE0UX8iWgcuz0eWfCsdOBi62Usn2x+X7v KktO1sR3DBolNwlgo0Sz67vdopS7Uuqkre4jSOSWdihN/lUZI6DILUbvKffTKKFYIxf7kE7GCOWi zLJkgTRDeV5Wsg0fvGytpUtBoO07BY7fkbrbtIHJrs6DOSb8fH+ISB6fdwZcMRuG50jC4KrP6TF6 Z8AhvWLD7ewG+47KKtkO7sFOpPokGRRyjbHjlJvByZxGmsK7mknz/KDW5v9n7MuSY9lxZP9zLbI2 zkPvf2FNdwcYqa6ATvUze7xVdUOZgoIkBh/kAoY5GsnzKdNeCls03QOJnYPPO//NxmRjVvFz6+Xn wgyv0QC0nYP/805/Ywc+/6puAWFLXt2+s9+YNrCklViHTFOqAyL7bp5LLgKlGKIYKXJq1paox6pF ISqcAdRNgOj5H+AtxvP9HNyAs2AMgGZ0N/6Nfd0oRpJXGNsXdUkKTNcpo9cmHw8O7eTlLbdVIQnl vkZRvt0oDnfq5+rgWl1ymJIQwmrOBLMb8e2d98bjCJnkKJTQG+WR0KMlCABBcmweEBv8oW8RBNM3 +8v3yG5D3zYo3LjHWiMvl4uK29H092Sa9XmnvP3HiV0x24IAuYUoSrZ7UrOteohStpnSuYnadtl9 Mic4jHwnvPE1xk4bFPbWYjsNbLfWTI4R1j7QHz5VauvJTuw822Mgn/R1g4qkU8ZrN2015Ohdyfae cpCf5xf4vLPd2CbxICn/RJekeZfknez2dCTpLCF6RN/O8J5kVTBIszgt8J3rVgQT3wBgT1/8yF6Q 2NLdf0qVSg9iNlIGRI/O8XxPbBCF+GWjog15UZMyVbvKVMCVc4xK3YbPO82tPDYp5UeTArB3p1/8 7yy3IpQ++dzXJqUaj4uUZdODGxC/swhFje00ZLHLG4uLA4/OeVpV/0NsotdFa6eFc7o3kkiei58H zOed4oa3XmmR5AXLlRdc542azADPd+Z3DG615D1bCTMt2vN4jKI8u0uqkk7sskjNozvykfq/RI5Q FUkndtjX5sy2y5CwP4aEq57TBpUu2e/AVCH059QAdDEnhLaUcmlbwhy/89vshsGwdmRf1EgCa462 BOijf97ZbXwlfqFrzuk0822RvJPbmB1tsWqyN9vSgx6pOzl6BHNWBSlubLPq35KeoI/nz+SEZC6i aYgPLYD64cgudKVeEG8ryNCt9hfa+J3Zhr4XsH2QbpfcPsY7SrNhBsmW0rnd++ed2fZr1sb8CFDM Wfd9laI8G3gOmqVcFd06ilf/pVueDbCnyey/E9us5EQVQhQtF3uVFqgis1OZY6G1LQ/5DIGknChL 32+7LVNf4/POa7vHNqWgzoIpGGHUNdWTVoh8OzOfDzbc/r7bxtJP8yhFmfbi/H+n69vc9/RGUnOI JEZYzUQF30lt+P6U2If5sC8+JGlQC6hUw+FbVgUQbUQ5A+lToQLk7k0UCHjntBF7Ry63uhtcVI7s vUXlPh+QP++UNn7J75lkP/WqqeEQYhil2hCaylaGEnDcAfgj9U/oeVmAgrondf13Qltx/hENvW1x xPEEcZjHJM7wQu42GknIGDB7LXneN4nc+887oY2gYXqka+jWGo9IBAZljSZJ53D6vPPZDHP6NbcF IQDMcg9SiCSh+FtaRGSRz0b+IJ1SGuWpwI9sg3UV3qR3OluRfB2UOHbyxd4kmsjDZZRwmwptBcxt M2SHzwsAHml1oa7MqfHnnc1GuAymiIvJNpalIJVz0zWappy/zvq8c9nYoOjfKQC423N4CvDOZMPb S0rN3lXzo2sst2ngZHY8ND5b4pC+E9k4UWUlJjEcLvYiJU5Zuogi1FKCVk/uqHTP7Q/xfKaEXrV1 fd/g6Ga6nWDUZ4uwoxUzTqXbeNvjdLt+ZZLnRsGc975I7+k2SBMU7cymKgG1gyJhgAL8qgo4cGVd DeCdxVZMiQMGhHexPOnUIhUTgCnXj16yDu6GEioBtwxtdM8BKG/8eaexWW8Q2PVnEd6Gkv38wLOh P+8stvKQ/ay0ncCyXJTEO4mNmQM6JKsk90ld4rqjtIXziENJkAMIpB32tolFIsbIFgf5gwGH94ml bYXyFL2esNEGPa475c/H0yJ5p7AR5s9WG24RW5RwQ9NhCZPU2uedwUYoSf4qbcE+7PN22t4JbMVk UU92Pu5QMlVnG6U1nJfV+zSQxDt/rciJ5hwtYFTYYiXJOO/ReXfobj1hfyXa6Cr0FjrbfNG7sUim ZOrb/hmiJa7RcozEudVWVyrZ9/i8c9dYf7WvfJtqhnt7aftOXcNTBNsk0qc0k5zNqWtUuteIBAbt FqIw3+ahDTlkX7xjm0HIBqwBLvKAxVfO/zmbAGUXSbBn23qLoq42nVUnOPa+qNF2/sRdIm/ntvu8 s9bKI62onYZTcNTnLYqybarzsEzw1j/tg5hHtuK9yEa2onZanG3jQluN4//V7vj/nEaJBlRJ1f+5 54R7p5YZuiRwsfdBGy7gzztjjYcnXh96R9mit+hcNGpMnuR9ft4JawaG/0oiE4U9L2EkTLW3CFnZ GyRnh1rtPztHxUbIwvCF11oM1+ZGQ8Jjix1Go8EutnA6Al4+SDtkHIFNB7Ap8C/mbgkQPj8jYGRt yZyb5a7bepxEYxLmjQl3xuNBCtm/azYA4RoaEBaiKM+Wj9xWiDjWrlbNIs/e7pFKzxe9RVFHm6JR p6Ldd/HiHwPHXWgjd8J1sr1mauj1h97EGdgGn2prp8UgEmyxIfrRcO/vMuCcqPHiWfB4MPr/9RqB wDMe1kgP0+xMUBnVown6X30bzLZvku7N3tI1J/t7mu3n9ZINAxcH2mw4iIIjeHYa3qpSBO3CyJ06 x+gfKcuWrUD/m/hYyD/SolZkBstPh9Hm4xESOX+d1/Ang8mBhyjsaONw4ZzQW5GtXtGItFxbY0A4 QyGKMCSD/RFA/nyxjvYpyTrshhiiseGpItcBODWips0Y33rpT22NHnW0pYQAtrIv2mpttCrjuJPf 4/GInTW/TyN+gVv497ijLXH86tbf45FBkOiQ0bPcT6BHHW1UlPRbWL44eQQKsrWY9A/4X5RyQfkD 8giG17Qus35tse8b9ZDIYEskaC3wkKr0fpBfdfkQtoLnAxLkL+B/BiwKfRYPU0iDLISQpFYN1pa8 LCnQ80uqRYDYNz2bHqXZwvxht/rikK1zx55kYFUqK5/NR3REhugh7b3Q9W/Ne7ZEQ+FTgvxI5Em5 Vw2Ak4WPPGVJItoQ1r54PKKKfkNI8Ici6tuCFHEhB7mQa5j/EjhbU5GBLYbNlU5poVbbqUV6mGYT O3KO5eGLH9soWvdkz1Y+ucTZNsBXF2V4x2ORTvIVPiSaRHIEOUW3ge0i3wrwR5tA4uNUbHg+uNtm /rXhMJq5VKQe59lUh+DIXHk28SpMIktuBkZqvDkUpJAQOYWMJHB7yIJGBdtiNcskcgAIO2Ru3U7h uwBnR8F4DyWy63uE2J5SfW8SP6A+naj8J6VYzLTPjbnx/L/22x0irWe7hZ1tfkkgO9k4Ag1yuUPj kENjpcsJKX+dP+mvNDINSgJy8dIfHtB0FALOpp4TiPaogwpChAkWtOAvG2nq+0ZNJJZqNC0zx92i d6kDVko1jVYLfkBweM+bbUt5urtqIuP0nm6jjbmFbEPviAOT2mQngObPwFlEsyrMDStfpijdhjsv XPR68sXbSPls3QJhDlD/2hryi0lIczZcoEoh2lbeHf51gzDRy6utVXzR0OxUOk1CgGfz4fGo+L/J JGXbzl/KdKf5qRFsuxPaniZJWaTEgVghLTIOmORKPNnEYxoQYUjUIqm72ML8F0FCdxWQv8IglXJO b7p90gMk4Xer2nLT+0gVn/IntK3s7ou3SCr5XlRWxeP/epVIAMSV1SG4a1EKc+5GdZ9OGPsSXyJb I4kyrOq2QR/fohT1tpEtQ2xabNvHPnbR4ZozTahKnssIhHKM1hpHtpMs0otKHmSR9ghHYja7VaLT 9RozgtUjCcBZJh6P3qVvVHJGE2j0y7QdUdY9SdYulJViuiRPX00A5nC+TUGFzZRyRM3tyXQJ944v jkeCZtYCIqDB1hgKo1QGAKmwF3rJDWeSSJl7RFl3JrmtSSaxpQsk3YAZMkbrFG8jzLrvBcfthjMO PU4LUZR1Y8IKHImJsGRIW5ti1OwiJhPkudxRaIStbTmdLeIA6rrcNgxgCk6pJjoyoACY3J5ErP7A NQfNtnwFyDB/xYdEXVsWuMBjQM2AYwCmShA14zgdUnp4Puht/54kQRW8X5LkiIHbmXSbIhUfktss SKccoTYpgkSJZQUp6m1njpB2UoWSKCkzUL3t1aHFnpIMA4BoZ2myOdQ+/zqQuW4YAMARPiOAkTYe RTvb0jybrFsyOf38Hx6PZiTf2HZANBsGNhaiKOVuoz9AEjbeSram7ciSk6jySDeO5IhSbh1Io3KO NMq2ENUTobPn+WKhCVChFkOJMuAjOwXeUW1ZBgAlTXzGX8j2sjhx42LIv23CedBAxeP/jXIE6mqQ 7jxEUcLdjVhWXLg11b4vSaI6SWIQtsQQRQn3JPKvD/VsR7/zyH0OZvg5UiBqocRCan5KXgxgKUWM NNS4tpPEthH1tQGmRS3SBhdUnryNYDogrA3cW/D8v2ZtDBJy0a+tFibciZitZi8PPP5uY/tsCmHc 0apxiuSIEu4lnT+hJNoXSiJvIEsacySAt/agke7khQKC4bxK5ZS542cEW22TZbPYteWiyx/KNTay HROPR6fR98iW1W25BIkRAraHBBBrsRBxDmYaSLt2GyJtaFSzJhkRYBt6JajQWLhxsSN7TYjZDtko jHWuuap+G2alDVPT8gXXrvq20YlND89EbPuCxKy8cMAZIBuZte2IU22Ha3Nb7UzRGw9R1NhuhN/v YWrIKNuK8yPRtHAYycrGjxxRpj0JIB1Jaq3EEvxk3mooRRea/ACQFoizJYZoQNLy/DzanVqIUA7h M6LkiBl26rr4MdTm9hjUP6fc/clR8fw/syN5fqIUmZdEMsJUe4kUUadHSW0Sgm3m8iiNfPdanGpT ohYNDFu8bjtJ5EajTfXIqaSl4Q423UJvuGIG4JuNOeQIM20YJ6DTDh03eO/9iPcMqMTmhfwDOzD8 gKAFUNN3hoTDf10Wyfg71W6SaOWJtF1y7JSlOdmJlKD5pO0Wt7cpSJ44/L9e0qja1ilA8cNQ3aI3 AO/Oc4mhjtuQR4XvgY8Ato7tsL1dlWlXqbjDwV700VON9MTLdOeC56M+ya+77fyJ5ryl7Qz721Wo 9pYdRgJ+p2AkRSbW7Ljh9mYLYP6t6zc2naeG86kBkcwAVYnZfn7mea/ojrcp+NvOVxSqfTxk2xmi SIomSfMuOrbB/eM84JytFY8HIWr76z0C6qvPi9ieUaZdObJNdGr/n0y6ZPcuSQKKMYvXDtYaS7YZ ciT3EMEm+XKv/41BDPUhz1ENo1saLVLQmI5IUDp9sLZL3zcaAkjql4VtmrewhakDJwLtVE54PDqU vqG2lAoEfcWCFGXaid5cTWx2idvPZEcSDATtSNr3SJoxRZL4vyQb5pSf63/CfVBB2kgDSLaFzh/a ORD5oPCyQ20okTDDBveWtD39qrkYsY3Zyg8ZyRWPB0Ha8ytI6KPBQMeDFOXaVMvGlNC5SDVN19oo 1Q3MemvdRBBn2N4WhHQJs7UuZgsndMGAe3FsuyEVQdA2gtRh9tfKJW1DVoqfER1IHGkPySCO7ur/ 01Q6gCFZePxfMpoE5kJmCbMLC1GUa1fm2hw88D1qpTj2b8ITwMC2c08PUaj0J1mbRYGkq+IIbyCY /dZKhORcZ081MpEAOYWr6dlr2Haugzzs6wZ7LWn6X7IvAiSfQ7sSboCbE48HM8mbalPg9nylUS9i a4apNpq97ITZxVbL9cid1T1yO1xWdbHNCEMiz+WeqETG5aJI0YOUpPb528NZkfUIMBQToqRI0j1J ovvkjFLtynIk7TV90d1fGnHFeI3GwOMRF/lbiQyyeQMicRaiCEMyBPwr5cJsbgOpC5TJ44g4WYUo 6mwvyti1Rj3E1q4e4k6QDYIhEF+jnDtw1yC0QWmPclJfKtHoYeIz/mC1T9yOXHjFqzfSac9+3ozB rxgVbF6N8DGwo+G4YyEKiZGZTORkWtCQaynVJyQrDQvRAnNbOy0U/CP1vw0mkW3cJPLsswpUMxkk J9TAkorzB+KeLKHHbyGyGfW0ZWxepLbBRRutNc5M0WPbfDx4i/b31JYkn/GEKMKQEJl73vLlPORT ju/L1eoORB7Fq5EZ5dmSHW1Lw9s17zgSEB2k2vRKGuc6qOz7N4xqF1ClpZf5dLSTvm6AQ04kIlXS kM/9iKOB5Qj4qbwi4ByH5yMs0i8UCZQ/xiVrzZAXyfG/pFR1qa3q4ggtDRfZmulutVCKZBKnpfRo 3vSooYENtj+lSM7mzbCr5aUGvxV6OANK4kFa9nWjtj/y6y73YC4CI1X4NFKb9WQUePxfKaTYEcBr XfjoirLsLMvgZv5auPhdtr4TDG1lLedheJFWhCIZPKqbyfyOK42I8SZgWmyynWy7OM2GmEQoOjWK bK2H9L/CfnbhOVRL98XwWrDyZYharXg8Uv3xBFKVP/WrPDdaUZYNFDiy7JFviJbXtHWtG6Lt8qMr yrLNNFHCNlxsr50SFBLOldQIYP7zkBnn0Pgeg+iLRUIHHZ8RtfwpiFDZh8wYF6jBeg6hTNnJH2gv 4flI0ea3Dgm4q48AaZRkU+YCqjzDUSR1GckGBPfmxAicUopRiCJZUv1hr3rIEKZxq3VUryjDcB1t zklY9EIYGe6uKLxvbnT+O3zGXz22VpgicREYKUFhU2Ckxcf/pbApxbL60zE+shCFvEjmj/A18KJ/ UIOURf+wkqRyxKrTaIVA7a4jmx3/9mXLAtjRYFsB4IiTPRq+lsqW1Cbtz8VPSNuK29mERLIzMqlx L02MAYnEYnI2Bc//NwUt1IjQr/UEcoVq2pl1CHWX/4eOP2PaEPK8GEv/LRC2dBtBkGJepPYasyMu 1j+CkXLaY0kMGeP5Mplkn78qFW7z171Gkhc+JABH8g1Ki3RtqrxWTyFL49AeWGA8H+VH3wM2YGwp KGpBirLsBGxRaUIddf7j8hlkF/WfMBsoeClIoQgJYTZJgxEuFqSzKybJktAcB+AZOwqAJIi+5AEV pNGv+SZ9LPEpEc5mSn2k+yJexPl7Eu+CUoRfMkCQrO8Mida5aXiGtKI8G1JjcB27vjUr9e2D2mTu tzAYKh6kMM9WuZYYpJpukE6hMaFEnUQeAayNtD9TKAdgbM/rJrl319cNYtTZ9t8cRuZdjWADAVj2 MDGOang8Yvz/f7wWBAk9RiE1MnNSW4oztYZepE1QWzYnyYHRg8UoBGtzLM1f0hZ/kSaqsDIqSX9I WOlmt2H4l1OjDyuUDA0+grIJnxJVI6Rq1SX1SOw6/rYoApeJR1U8HqlrfPeP2qkG5rh0thXCtckd Kf36klbBtan5647KlUpimrGtsKXNe5/y4bbYi4TNBhczCiOmkx+b/DhrA3qkF0BeNastnJThUyLA Nru1sgbmYsR0IL0ERh78ksHMf3yztWkSNKcPkFZIjJyS+svJZ7Vrm8F0R8VuQaIXq4IUK2xLWGR1 X/xNquhYtGkut+hBUj0aI9qN8GQ0BTxJoi7yilJtGW3mwqYtF2NqFdOHw98Yj0ccW8+RXDtqjX01 yKNUm98RQCrX/M2SsRuyRJw3Rj7P3iEvUly/8iwWI3D+zjW5qYqUoc0LWQCgI8vPBM4aY2Y3bWVD e0eptgB/uXOsnZUWYfjYR5FtRB1p4fGIYPMNr0FLiw11C9F7qg2Bzf7gRdhKKuYwdur+aR7KACsX Y4/sUIKkc5M1Fm5cLERnn/U8aJaMMVIFzpYWwMAJouovKZd7sVHpf4eAbXPA4MXGRRAkaFISxtdO Io7Hg4vt2tXwMRRrT6q9Q8B240k93e4IytGu0zaKV2znjzM8RnGqLT47hZG4OAKpcnA32M8+t3vr rNgGjWkBdcxQt7umtkNfN2qykYI8qUReZXJEuR+opJKyfYoCPB4pkP3KI/mVuh9HOyRFdrFra7Gt 1rKNtk+mcfkj56/jLKQdQkdIY2+ddG0u3qtNkDuYnkY2MBmw1XCZgnxz7rUHiDx5r+0o2d4GG5WG RHd/5ALNCI5aIQaBxyPpSE+QOErG7n98fXYI1qZ0pLn5SY3E+SPnf6pu7CN/EMYo5kSyA0lesZaL ZBuY+7MeOUX/SW7oOwI90p8OJYE6ny4bsll8RiSvrfba0oIxBC/scy0k7FqSQjae/5cIiXijGPgO 747sWO4PCdKQRyRFSGAEbd41qbkpQksuZb9D6AjPo5Fs9u/C0aes3VD7ReaI7ggG2xhTwmujgZiw 4dJ42f7dvm2QHhUB2Qmv4aKt1oCqJRq54sgOzWty+br5oSy68kVq7wg6IiI7gVNG1crNdVpAD7cQ nbvO0qMdav0tzSBN8u/R1uxnm5YFthnHtJXqSruQsdWBrQUuzUfZuKjwGRHFhhStc/j4IpRWg6B8 Ut+Mj/839RrOeEKlLERhml3IFe3LmVobZA6zZxGjhBZatDnHJHuH0BG2PEZbd7G36NSAs1IKFZjR BopWdtpow3ANO8+ENelFjM8I8kfdZlXDteoeY4MmXXyLBjZaqKx9tce4qUCJS3e4tsN+dv0ahcjB xprYJ0TytaG9L3EpDFGMG6ELWzPpiEcRuUH+kMRUYP0mNefJiWRGeQ52APwcNrKnvm10GJGhlQiy 4WIb7dwtstWodeDxiFzzLfazzp9joX9lIYpS7Db20z+SIJLpa2L+WK9Byyh+XkegkVkUIp5FXLx/ 1OEChiHwCVEeHb6Z1NUCRitBPqq4FgLMWfVtg/RRc8cmcG0ze3PM3ofOIggM4PF/vUUc9UOZ5WFD CKPzfsrzMJJrH5WR2UZnQVuQGwE+AjLpMMxITn9rjyTaYWpRkIDnOHcaDpLz1rQxFmz10BpBzY5e DIzC4aYlf/a17RsHgpHSHVvUsaF6hxS1garY7NwCuMgfEAFHv/VHBnpZkCD0SL1n2uV/U2Z7JPNU LqRH0OcYfb4BeTtyInoq3h7Bj4quf4KPlloAq1+GzYKg+mqdUjYYJWV6Im3wNja2WGk0YZXeD1Nt fEqkrAmiHo1LbFERch7U0AqGMnw+KEja+E6SwBk/P/IJ1Hu6Db3JSsZIcfRo2u7ONlBj+by2XION FCK1uwLFV4qLBQrgosINhnsINLbKQKH3eX6jzd/oSv4u2bCmEEAiSeQisHY+6Rj/JCdPGpK9wNW3 +AOCXOmWt9K+PRHnT7FIRQ1uOdljVGiFyRw+tu0gzSpSnZZYFqnQK1I+ZJW9AC62+VDbovGWaPUH 8n9jXxLI4pMlYN+V5xhfw7/xXxQSut/a4nl3FZQOfBs+H5Hbv0duUGIZ5WvvRZk3/hf02sz2GI6s dAJg2w1+jmYjsZvbSKSoyz2IbK9FXe7ydLlPYnHiQz1y/JyODAfdEnAmcupw+sEYwVpKmWRSfEzk tsFXqtFHiotO834+goO+k9lnPh9UKMW7AYObj2XAnQZgohwkl7QAKnUoPDQBtFOK0j1qUJ5KMhtz K6ew1S3sdu3dF2eUphM33nQ4pTbsI4lwo5siAASU/vPeW9r2hSMYoE0Cqi8K1MjnJlBDbej5wMG2 fDsl1fM+z/Y4JaVQmIRihaOsOzYROBncrU6Pao5Nzm9Z/d6LsnAzkexEJ3O5ycH5Q+Qh3O3JQmAv 8cPeP5qUIPpm5CZ2nIMjoo+J9NtYpFRx3Or21snJhLIUs8+P5vMRrfR7KMAW3sR8yCMV65NIILm7 PUku091+i6gmk/ok4x5SYc97ScGd8qxc/JCa0HueorlBJ4EKrhkVMInc+AbbmaXSaeTHRCULCbir 683qzTpqgD6x4wR0FJ8PEoTb0CUL9fyKE38sD1SYkS8e4b06eGJlF96ePV+EyYS0uvkBRjIlhVMB CLf44pBAlDyV4n0d1dzehJgM+DoMqpXNy5lc8kxKsdsNCRNKzbnohSrQVaeUS2mDz0c7b38dURxf pi+TshRiuRfbcal5/dvWjVMiQoFxesS32XMKryM426bpy6WXnBuJxqzAl85MGigNtvPPeSVwMN7W d8n3C0duLixeNmfgXBSoU/h2chFgNcvngxq4f0PeyXh+0JM59JckLhUVnrXkUPq6MBCsobwIHo91 Yog0YaYJjr4vzjE5W3KSSQzZG/wnOklPFL8YV//Q6cb9XOi0nQODSVC6JcNhevfWlCvo+e0qRdcx +fy/qjwycWh699hwhhaTzWRdZr+VcLFmwTl2pwsoUcbX4hQl5pv642Mzi+Ji3QJgonZCpNEt4O/D oQto5C1TpmxezTtaleQ/LCa54TC4t0Xwt7MRRjW6X+XzQV6ev/EmEGsdcKL2MIVpORWCZvYwoUm3 rRpGrf9j8sDQqWfDIIcuk5rv9km6Mpf7Op10iTbB6Bj0hdYTymF0ophywCDFw1Safd9o4sQck+UL FvMrGwM+aERqpzr4fGSi9MvaHjcdhtgepqgRvgRB46xHeuXTFCYKYudNg4xpgr1NYU5OisBqTM25 OIsC9vZsfDRsxgQVSuQOaNvAH/Jn0Xxbelyj2feNNh3ChFGlL0LmlLkW03PYu/D5aNN5lTcFxCBO 5Z7iodckbBvZAXe1abXs1QzfV7Qc7VmPU8ikzJKbFgPuJlBn14EANbJIgsgXModzgBH8ELZBay0r XSSnlEO7SUFOcGprmfY+lXMTMNHsZ4/z+Yja/Z0/If9qpT3bLlLl7uLk1qtdltbTp1M1LEWl3n3b hQm51EvKqr54Rxx86woowIkTtOq28IILvf/ECeEXjKlP+8J/HU8ARfsixCBGmku8nFX4fDR/+iXv ilwkPRa4geNk/t/FwUHdywmVlc0cueC17m6KuJsszQwtJxdZJ7mZi1m9XYPz/84bBbxfw0ChwB2K A3EwBdHCKdAw93ad+lCh5+RKOpgozc1FpfDCa8FjvPGtj/Lx5xhnroXeQ7vDgxy6TiYy4WZvzdLx QVENguKgrsV/RM/tiVNkgtN523E4bYsXLiQ0A5MC+VJYUAKCcgq8c0tw7p5LcR3cArCFPiV6n0jw alIMbD7yxc8ZzJ7a2R18/l+kAfZWNiGWz/n0ZzZ+jork7xM1WM3BrI1qaKYCvKfFKWyQU+glbzWj 9hV6mQONlpQI+EYa1XniQ+K/MheGOgc8xwyq05t940gQn5LaXWymvh1iQVlRIVJb4fMRWMcrYd0A gOTn58ILMd+QcKumV0opylovd0A2eQMHeXNJ/Bz7T3bhvjQlT+vOo8pKMJ1sDBT6LOLEZWrnsF+I mutSmaZ94T+FTLuNgGvydBx2abJ6mY3PB3XLhcazr4mZAJGxFqjQg3JQ942itB6o5CdUXRcfh46R NaFCE8pFyhfUE3yxQCXEmf5lDdbcCw4LmJFDpGBBbgnj3DuVavZ9o5mL7KeqCS0ZQA6WF4lSnf3k nHw+UhAsX/UdxQv7fLzMQwnBLIXOPU2nm7g6daBOZsGZJn6FOm+YQg1B2QcMTsu53DClBva9mBbn TEGbBjztgqFUowPJlTSTUXdoQwka1JD5gy3advBvTfKW6JPPR4Tm9XU+kfIL8I6HKQKlrEJGs3C7 m6kU3ya0NIm90OAlobtkYQrTcTlLSfeWiw/wzssKT5+iBlTHvPOHszpQUYntX8vxlszV9Y2jtopk cbnvKq5ktgygBc75DbVcN39AlJF/Swijrl3rqYMDL0rzrwJEyEXNh3hOaNVVkVQ3J508oBipGAfO G2/Xu/jghbJLQECDJpNO9p9pRknHObDCTwKUvq68bd84gBXIPBBdeFOAE8b0/HyNPkF64fP/DXkH UKyRv16p0CKnCMA7PNVszbgW6NPdqTkqW0vJA0NK9zbt8lrsX16LG+8mpNqBKgKsOQ+KdqO7iNHV T8a7601ySuTn2JGSjbq6qyZ6Cz02HjUN3S02S9qa/AHROOEbo4LcAFTHG6ioSd649wZN5oXASGZp XoCZNOuOQXEkC1SMUiF5p6bki79Ra45zwEotFzieIY88SpbCJRLdbcde5mrfNziiKHN6zoq7OAaj syKlHAefjxgq32HC28zGt4cpSskb+RdDKHCEae/kckJwJn0oKjdMoaYgVXKARvLFYRhoRyWAis8v w6YBNQfANCSEldQ1l1xa075vgMOghyAkd3xRpgljdmlT97r5fGQpdIdTYm4wg3pepyglBx4SVPBr vEQrW2tAEVerRl3N3eP0txN818ylPzOXBaZNmkCYQ154YcaBDKpD6WwCiQk3ZgCruO8sUCFgRfPO ScJ8Joqavy+GN6y+zxmvb/kvxDNJGIhT6bd0CZ0poTwEReF28XOt3lK45eWlMLpgKoUDa0pNTkHh 5TCPi3WgOjrI7MHrZQKXlw1N0EQJ6oUUnBIoScHl0JqyspFZhXmujnkuHY1QCQtlDNADb0owgn5N XHD0w4X1xuk9I0cXku05+rCrU5ebd+qKugfErex24xTTMDVAJ/GZi4N6z7UEhipxz7Wc5APdF4Ch Kxrk0JkC/mnKMnf4Fw7ep0Wp801rgbMkvU8TnOyl96l2Ph/1oL47mjjfvgyYcuhQaXaw5ULoh+QE ZQe7jGdAqXLbd7FFpcjz6rBwuYDM82/jl2KD/Ow0FYNpslVHtdOrUyV8eA49KtVZGUJkcrH+OPJ7 dlbYHw9MKpGQf4dpDwxd0hOmKCFXWgBfRAsT7AysUweKyY+ZnSZ3YM6BTSWSVoYpCyqWL1RsI3k6 xQqPp4W+HbifW9B9VsUZUjQXZNDtCwcgA/YySyEgiov2XTs1BQ9h/Fn4fKTodY8nJqhQK+4PHKOG OHEqVSYokRndgHII5uhVTNKj0QLNAhUDxbnvdI7n5xwnpuqclRRjOAfnCRPKT0BboKQEaa2Sr/JZ eb5xxFzhQT5o7ZUHFCgYnwoFPekMtZ75A6JQ+WgK3w8dhAVUk39uyMysTMn38kidzzNiBpT8LNM8 CWLxJvkflpVk0u2effEEaqwNX6cO4MqJ0qIhLJorOkvRW+Q0oX5NzwPTypNqLuVOUvli8S92xgkh Zf9+ziGW+QOizfdLdQDVcLmmVTm2raS+xyrT1U9XcYjPtBOeOu7FvfRy4FuJSE3R6ZYv/k7BYGom yp+iu5nQqgZEBbcjZCMLWp4OrM/LvnDU1iT7AMcnFug3N111RdA86KBN/oB/8Vjx9bCDBjWwLVBR Ts5mCUZ31YArezSvh08xNg24wmpWVV5oX6kjuvQxfLn0w/OHGLhBoX8K/OHqDyCDKsB93DneSt2+ 8V8+H6WSGcXFSJrwC2MfqqOxGThYolH+6ziHF8ojGJNDC8tB+6pcLmgsC2E/yULI2eB1G4g4e6Mi 5Eoj5BmOFb7YG3VqoHKyM3nFYzhBNxBQf1AVo1FaZnroLN2+cDTIE9mnm1zsNn9G4O2khXrqockf EJnG/BKxRPJa0/NGhUrfMiDg3E3Cw2m4/uDqpk1A9tgNVJyW8xyXG1r+ckM7PwfyaPKxHNjHlcAV wMNyAtKhwLjaW1F72TcOEBlikI28fbGRAnwltAtX5/NRwvlL0ALcI7zaHqiwVT45GVZ0ELMpKQJu vdJFdcVceN1AhXn50kiB8SqOKaFeA8SrFy3jz5l4LgYmCCkVWlnilBR0RVgotcpDL8suWN1ix5wL A5XA9CSJvJ2ric8HCed9o3DDZQAgqdvikYp75eywkKlMDHCjy8X/CO1PdB0V9sj+Z2Ye2lmSRHeu TUwMtBgW6lz6HXNfKLacHVdmBniDev7AAYLQDLafTamy4HWBn+V5Jczuk/ceF7v20INX0Pbk80GC MH4JEeOsS1eIOMeOlpupVN6XwFFN/xuYDLrLkJ1AfzAmCIGlJXIN+jRntqK4qHUATfiCY4XyaGgz m+o1Xa4THaWXVcR50EcmB5aWqGBE4SATGDr1Gg6f1JVS6w42CDwt/3+ph1yhrSc7CD0tB80IqFqp Sq9KlKgRazrWDdM2EkcOTS3VBB6dRzoX67DAVjRxyEL5r5RxKoGiUDEcRoKG3MgqvaE0KnC1zFbp lda14I3n7wYMUWJ/vkO0OYe+lumXsHXFIA/ich6oKDV33v24tDKlUaKVVS+JG4D8Fqg4NZfqjrh3 43Lvzt8LesHnImegoH5QmvwIAV6h8NW+kLHk3zcyk5EYcRGxDCQ7/monq92Nne4T+sUfEGAQL/je j/JTYV0MYuBtCetmTrRI02Ji3se1bSzZBZy+0SuxuWWn+bko+ONK77R0HqHycaNXykmfN+1UEshV /RT8P538u/lYE+XA2zIRagk9EZ7n03nZACQN+clggMrnI3rZLzXZgUrv6RwE7pYwlOMcoW8fDqeS HNPaJefEY3YVn3nG9pbE1IFT4Yt3WOC7Rr8GzjzTXrK4PlkhnE1AOx23dTA1RA/9LSUAWjLlQLmI P3WuzjRkyDgrn49epwvKYBYA4Eq+Qk45tLikXiqUHNx6p88rLDe28fJxIXYf5gUelw+/fGdfvHw5 xcvEaUKPiw76LAUv6NKDBBENmAe9su0LBywqJJu4i0F6AePvh1deRc9OnHYAQPgD3k/ydfFQ0nwu 5481n5M8zsqZDrj+F5TTliWb45FxPoFcy9+omNXZ32EZEBadjSqF6Jee6qyyd8CBdsI9VaEe6luP AnM5MLu8sumbLg5MTSUNcrYez9bzgqB1ELpdlvk998z0AqJbokUq6pYT5ekpJrEseVzhK5bxlHI+ R4sXeoHhJU47XnbgbNhi7aiNsdT5A/CIgikieTHIo89viW7xopGD4iRyUOB4iSEVtVNUEKutyCMK d5AcPTa4QYHlpeEubz18vteAWrHH6fOaQdVMCeYMlIyrp69lXAVcti7p2Kk4xBfqNX+q4OOTiD8E KR9f/rsnwziXUSY9YYO2nqQL2psM3kDGuAwq5E+v2VNFc4UcYQ4qtViIgLbiCP1kB5/X3KmiRaKq BQQbczT6mUjiug7FIECTpimLfU8O0NEH86oFot3k440FrIN2XBAgue5AS9YXB5Gff3v2STuQtU9C hqtllw6OBRx0fzKETMzIYelgeo3QyS9pdNnxZW3R+d1HpVkAYBl4+i1CwHgWi5Agi7CRZOMX8XlN mc5DnUygnopLprdNzX06FEgAg+pp9457TZjwAg2pgPfsiycCGzpsnSNOOLiDCatLsJIVLvKrEstJ 7PhrulRlm3M2F2h0tqjjC2Vc1vcwRvi85koIrjUxFR7UaG3S6hLhec2U8PpM3fvdhwcp24lUkNP4 sBxAOoXnNU+qgAWZbvPyxQ+hdWoU6ndAVLahZ0FUZiUgZ8D7rt7wABf5ec2Sqlw8EJei8IAaKzFQ aBQJULDOWf2aJNVHBbz+KAft7KNZfF5TJJ4/aMLJaFnDulEc33sKOJuRwzXXmuGvCRK2FzC7FYo6 vjgas1RCSpm3ne01z9NkJgJfhvQCu3f7/iL37/OaH+EEUqJdxGUp1nc4t/7Sm6cJ+Wt2VB8f0Cp1 oibNLAvQa2pUPdWWobkEZTlPZEnSSJWw6dOyqe9rYlTFiUT7jWhxLk72oVcq2jIkL6wNcBLgqoIX ojvS8hUpSGxXvuZF+HMSRp9oyaNFzcoEjpW8CVb5vCZFVQRZP6IZzAl6xrAIveZEONipSjzy9gZc X9mvsExTAfa+qdQla4swQokwFNa2XG6EBtglvMLWuZsbDDfOCY0jSzIsrNlsj7Fme02HsMeyUAOE Wgxwo9jDhYopevbYY+ey/bxmQzzB2tcZBIfoUYYH6DUVwhFd2RrJbkZU9u63Q0kheJOSBcebmsTR ES0K4uaYt+475l1o5J5EKlH+N4O+XURBBAUqDYjHQIHbk2syyl8ToSobVjRH+CZV55OfDyir8BVq J5X6vKZBVUCZJ0DQLOtAMyhAr51J7DFc4zV1asZVjZys7B9yQzBDq23g+de+JHe4qPbyRlmPrDVu 9pJosjPOCXfSYBzSmZzsnDnlEKCJEDn6d39eu5IIEJC7Y4ETxGWIktpPxSwv+Z4hABoEiEYwjAfJ CGd30y5cAXptSFYnRndqaPCULsyheAhBoN2RTL25lkx0ShOzWyhbpcWx4AsDTLRYKd4Aii7NY2CU g9NjUELzIuNo1fTajLx5YlHlUWS8JBTFpki5EKmvrUheY99vUMZIbS3aEDFNjBLpgUSasvcP2Muc 0U8SV2+I0IsyumEYIxKip7Be82K9ztEMreNGF4JN3wl2dTkTygmFEgAWv9XR31l0eIuoYDw7wUxc xMvpp+zn5dnKAHs12mfLkyFOVlDoU8zWUPpBkNiGP1W5qezgBi0++kbV8+NKewSmktfw54uEveSL ndXnHycNGngUQfYLGdN5X/HeJ+hBWV+7So946PsGp3URRICJERf1soHY5JvQzrHxeafQ4bTe368S 8tWJUbUFKcqocRMjSN2Jq6fo9ZSo7JEcX5ncyfKdQIebkYKWXajB/qAGFyuXUmn5MZFMFuK/J3yi mWogm/CMaAivG4Soq2VUhWuujms+oSfnQX+Tzzt9Dr/ss9u4SdfArZY9RlFaXeHeWRsV2UTulVcz YnQuVcPkoKF0kQHBkd0J0q0U0dFy2yAAhWx4NY8EG2vNuStyocmsCNfBvdKSvu77ZhPZYkhyj4sq 13PpS+AEw7fPO3mORcSvS42M1XU323tqDUoY1XVzc629TZ9RNmlHWq7ZCPV/b9JGMeKIe6tjxMVm I0AjQi+wyaZxdpnM0E1uALczyzMbmfZto2ufiupFOmAoNwTIwgXX2E2EetDnnTfHxKp/H9uYaqB7 5UGK0uvO9LqOq5IGd93beezur9NBnlB5/55fozWn+pUzSS6XrbqrpI+A5ztvODTZd4FjA2Tuz5+2 rWsew2ns5501hxOFqPgOzRBbGCQqjHc1aEv5vJPmKjjM6VeQCiBwdCBmkMIMu0s59orIE/Nh3J3m 6JIGzS4LUpRiU8Kj0s/XFgsSgr1Wo8LV7qkBmo0qFpq6M5ubppvrZkkORDl2q0JSzuGLMZ/BnuLn nUzg886Yw5vUv3Ns8HYGNM8sRlGSDXcblB7V1T9LMbNY2Mcws0RBXLI30t75cvdqa+wCabETCUyd zFL5nEjnWsPeJfJmgSNBZcueLot+dn3d9xgNnkgz6fpPRaydApq+HJvhTv95Z8tVARm/TqSMLLLd Vtp7no37iDO1sfXykF+4f4xUmLaohud/58CLPdko0R48tZc8Urh4syidNGkmY9BXyH+LrgKRMpT8 4AzfqVHXt30P0aS3znkvuy/GUIXfN4u2Dm2dKNHeKVuIqnC55zBp+3mRoly7T+bagEkqSL1eIRQK vllDjVo3DFKYbHOzTWp3aLFjGwpgDSUtTqTWqZFGWFylbztYO6s/Df6prxtFiZ6fhSQULqIFpj4F qa3ESETZdq5+IsnOCqYjww+kd45clb4vuDq9eEW7WvfNlpdXtH26EdE7Re7ZbJJr4vKMaWuqcMQF ghJUHaLdOqV/4H2YWYuob705f3ynyOFVkC1apllzzc0lUDIEVwm4Oenk550hVx8NeW02qlrve2i/ E+Sq/EbQbhx+/afiTkTgoViuDZiM4XHf+XFMkZZkA6ov1loD3gFseYl+lSGiM4x0kc7h9q3kR9qJ xObjO0GuussO7HS5wIdXFUlJNJikYMD8vBPkcOqn9VWRTPZ4t7dn3/lx1VT6IOnl2t+QG7MkCURP S5JMmDDxJ/1V+9fNY5uLswgBSOpQFgUdfMPBAcqoC81jYIIGVLgfgXS9Su/pduI4AqNZOqTRQV28 mpOdZkmhwEXu806Oqw/N2Xr8uEta85LknRvHdHv+XF8UttikZz2ppbPtcju7JJkN8Ts1Dp+v/jV+ a1ucUYE+dgb5Fe9SGwOIMOwsajPh/4eixZWroPjJOzPO+hXnNKrEj3BRvn0KcdNIP8fW550YZyf/ V912vsiqxVPJd15cTZYBcHrjUvu3uK3FZeQb8z5tuKiXreO45X4Xe5WARNppUjxuLTb52YiE5gxh DazdrVMry6Z3Vhz3m7okRt+1aRq0ZognwUVR1+edE1cf9PtzKKFC9hiF3Wz6WVfJ5TBG1blevfcr NkQLacUoamcLN1JxWdjidVs7p0cBbo0OKSuhb4R9BcWVgjFr2bM8J/fS9w3SJJJRMRX1Rbi2udto ghbN9XlnxP3q+DNNAhz86+R+T7dBOEka8vvMKPd7Jg3qIBD2vikHySC9p9vZ0+0072K7rUJJvksa 5lwA5+hA2VJqQQcegOVzg/cv2++l7/t+Ji2O1aBP60tS4nyysWxvx/688+HqI2+tuRFtx6GqYEGK 8m0CfOtsXzJoydw/wOt0CBJULe1Ies+3ATZjMbLyXSyXPDusrwYVWbRvAfrqRFHiZJo0zMAW88Kt DH3dKEYiLQ3FCOcT57HpbOfGxkw/afHnnQtXxZT9epNG4wngQYoa27wTzxlRfbvBhcL7bZSY45vU MCvUm/SecMOqmQ57tK3Q4rg/ZJMNUCHgjyasm1nd4iUeiZoL+TJQB5HJ70w4nrvyjeXpXWUZzwH/ bLOZJzy/Y/AibY+RoJTEj3q+/U6Dw5HUZUO8TeG65mp4rZPyrWQTyE4kvGL0nm9nU7LcrFy1WL59 MngYEVPoBI4Ee5vDLG41vPCys5YsBZG27xw4vAfmZkkOXJlmmQ30dxtbyiVrfN4pcMhEh89o1b6E GwFkiWyIHeXbjV2SQrkYasStvk1L7xRG1VpJIJhZA+CdAVddXGht9om4WIwaet0gPZLaBUVMsyzC 0UtOTrrnEbDu/IxozN/YHaHRDhcr3NpuSR6Mu3ze+W/1kbiuGs0hcev5TiHf+W+cZJMmuIf1tjH0 SX5qC3ski5Rp8PZ3+pvXbSftRUtSi2IEaclzyoGlCeOPTA1LnFkEtUH6iect0h3O+ou+7l9Bakok b45RIHgu2wYoOnzeyW88tNevPJI0FD+036lv1TX0aA3g+Np6Vc9qvqpnaLpwr70z33geIduecm/g cs+jk/jMJE8C0B8FmSyEk6OoBi/dz+w29G2jsg2HdRfItruGL3ma0u9AkvV5J739PrLZmUMDkEhk xSjKtXtS7pgdg3zqKJUhwGG6gF6bAC1wGPnOeeOLjOEI9Vxssb12bsqTCE3Ke46TpK5BbBIygp9B Vm6e7bGOT/q6fxUkvZLr3dmkY/I3gQnmITNTLp93wlt9gJBurYImSbubLcq1yUmumXJY0qBgw5WI dlD6PEjbba3e6W74K0EIoMGpzBcL0gJpD6R+bLYTo5l1BW4CItBYuGc2uEL8slFrW+cRe2292agN s3fKxGHrjPJ5Z7rVxyHF+pHYamn61f9OdKsunGd9fhG9V3LcyOpGjcBtZBOSd56bFeZQU1jLF9tq CeVsQZsf3f+yNq9+IHjOt2tUPn6u/slq5J3lhrdeJPgu9lY3rXpIUUEOAp+XOr9jcK/l7/QIJP8m USrGKEq0e+agVkQRilC0aW2kCV9WK2tJ1NCRHfa1ObOdidcaF4eOYH5G1x467ZzMD+QuNM3JBTzv TynlMrcEO36nuDE/UtOW9BEu6iOd83tyKIPJ3ued4MYCdX3lR/BTg6GhBylKtKtkJ9SEZK+tDPdF X4RyqNeWYFnKIMWNbUHYqiBsvEkn2e8nk0mIvmz2ILSUGaQEdCiQcSgYrfQX4Pid3HZBbOjjcAGT Sok2+OAUOzv3e/+8k9uI9/wu2SC2AakBD1KYaDfCRpqT3+HV4AlSoTsQ69oGzTMFKexscw+NSc0u Lj5GQge3N4qenncKyTRLti5qGwa0/TbbMsQJ+Sl/Tf/h9sjl/CkIpIaA5GzEFp7/ms8H++2e2uRK SlLOU+13XhtiuziGbGP5fpP7AC0b5sWy1SuW905rw/enRUzb/S4+IwGEb2NgjvH/3rS0Asofc2ZA JnArpOvbRImAd1ZblTINkZB30aEE5wdiAYDm+LyT2vglvwtb2AxTTdMAkWGuvelBXLoDjjtqL5L/ Zs7LGMqDZriU1n+ntFXj/tUpee/5yHsv6PZjnL3J5E6Zll9oI2FSAOhEyfO+SdRD+7xT2nA8ZF5u Y24tLv/WILrF7Xb24ued0cbtWr9ilDGIQgvBgxQCSZocP4g3IqMN5Ae5pHRpwi3+pdF1wJv0Tmjj 5WxysM0XB5IA1TkGyckwSOmU84ICJ01SzpuMcYkD1ylB9c5nq2LMng2SWbylTCAxzRehus4i42y6 zzubrYoO92C2cFeQKmMxCpPtxSEJcZcUNJOsBS2b96jSFKx8HcQifeey4SexEQlWiC+3tQ1ReEhM YSK5OzmySBm52yidD+/kW7Z1fd/g5KY+F/XkbRF29Nz8lGRCr61/3qls/9EhWejazHzhx+/ZNmgT FO0kkRHw9ZORZQvSTHW5fmdbLiP4TmT7QiSV4osDtMdA9SHTxtaBTgW+v2ZC2zC7LHDhthRgkALx zmSr2Zhsp8jvvghus87O4SkIA63PO5HtPzrbKMZnvSCJdx5blUceJCW2t5FW6d6QpGuMIUm2l23v NDaWtipJ1l0sl4QTx/n3Kfh2kuta1ZVLSFoHHHMoiGmlrXok7yy2C/OvQ+O24eO2fo45OZq0cxN/ 3klsHB7krzQJvJb+DJLeKWz8w2job3xRvEhzOgo5241X8dc3nsg7g626KN4EltIWb5GcCjsPlkh9 DapLM0ZEpUN4c9G1sUioZOrbBiHigQR7Ol+sJCnIvtm93ePzzl6zgeZXSQIfyb19/P9OXiOMhBKw vbvTwJaA96RGtbNGG+4mC1GcbrOkXTy7udzKtuO/oGcMyCJZPTk0x37O4V5/ANn2ZFtvUdTV7jys 2+KpxEWdtlJa47aDq9/nnbfGi+17HIlT8PyF7nEUJdv0qKoYVnjrf7NLizzS/CskouQmA++kNTba WNdSKEKLQnSO74mWLPwHz3V98i4Blxp1mNEfW/X6WnLG8XnnrLHqQoim0j8ueovOsdSG9ZDm552y 9h+QLfBNoGPnIYpSbfVHVq1e2K7eNHErJ6slPJKF+XawdvsbrT1F0Z6Xot0SMkcovFHovAN5viRs hpsXQlEIrvlaAoPPzwhufjIh4NbriwG2aNyDzXNeWjwepJC/sUjQB8vPWxTm2ZX2w/IeVIl78ew4 gRyLnB0d2aKWtoUoUWCKi4UIh1rCEc4Qwf0mE/OOvdvYvoNbts+0tdNCCMk2TkTzRTutzqqx5Hlr Bx4PBv8lfaWQIPBg7ue0mijNBvYMh1E29buypmm1FNzS2TpIxNiJV/OeZsNoVR2keRc/r8dCX2Rz p4Hg32ho0ZC5dwodU416PbYCPaQ+ihTR2WzjolYkjFyrdtrm4xEQOX8dRiDC9Hapaz1Kskl4w7DW jS3TatmabADEepONvQyGKEKQ4Cft86I/ix1GAEduQ9mMfk6QxC7TAMi9sn6CSLVX/lTX6FFHu5Ja A8C3L9pq58DIdEk/xffE41H+eJERDNJc4jlbkMIsmza7U1aorGnJehKAZE8HkLTSbTTSo5a22iND Ym7jS5QTOnQoVamTD+jxVOVPWGSmniuNy6xfSwBJj7Js0WuSRAITmr3CsmVk3FIoO8HD8wELco6v 7ChPKb54CtlDHiRdq3rN194rO010tmYAt3N6V1rrdf6kvwq2Ntr0xQu2joSd4AgCtmkJzQk/0Eho f59Tt3nPlmaR+JQgQWLTfwrdNpU2s/RflFtCxdY7Hg/O7fRNjwDQvufbs+0RGRLMSxxEbk7hnK1F X4xi7P4GjImKkR7m2TIiFkySi3eRWpon4ZtSHzlHJ8YSIG+dnBn+lj/nPLkZ0uLd1qO+9pqSsWGr rcLShYOf8zdetsfPB088HwTpFiNqOGE2c/GRPUy0cdqUPgxSS8lubyKVUd33s+D7KEghI5KAtrkN JjkeXn8FD1vqied9OmVJJq+/LGRHSvSvXPCkvGt/z7TPh7DrD+gxFxRbEkA4abvwz6dg23j+X/tN 4t4Nkj+eavewsz0yh5FNzrrGaJOC1Fy0XiKEBBKd2m5/d7ZLU+1/bzfgSaVpCDRyAWwNQnN4Z1D8 5Qbk8c71YSNNfd/o7OarNLbut0nnQL5Lp/YSEGC2WvADIlzb9w030bGTLzHD9J5uo41JHRs4VGWS 13uzjhIoyZtC74DUI1uufJciEEkSWyvrVMrPqXSqwLUH8lp6DlbuY0DY0QXfQGcVYm3l3UGxhh71 tqtYo4Xofy4G2QLPRYVtnng8KP7bryFJJ9jXIVs9Am13Fv8Jyh16lSa0jvUqdSK6lQWAb8Z+ZI8w JETQwuiqauGXZ///RKxCa5AxQr+E/cgTI6iVYlpcteGmt5EqPuVPXFuXdlS31jA6CXvzl4S0Kh4P XqRLbKNtB4SkKPNgUQpT7inyOklbSzx2N/o00elFdh3vN0Qpam2vjAwIWje+eGt7dmDbh9kKTeiN 07UZaVLGbKhSYE0dydHt+wbVrbnnMefmolES9F4E2z6fgMf/9SqpbQvXHfhnGlM7SrpVmACv6CSJ bQZD511iVU0cyfm1Ddk2ot62zu6d6JjOxQqTSRVzTD9xdiMpkEggpk690KBwOI9EytwjSroz6VoU xLJFcCT0UXmjnrMt4/Eg6R7fegiQgxnQVLQQRUk3YpNhO+7w/9Vns0SpU9mRHImVfJI04s42t5vs 4bjYkTThq7tQi6Jri1+GM8lOfypC61EjugQZXmN8SMRnZ98/kWvbRSdhogS2Clu4MA3D8xGM9BfY Bnzo2W9HcoSw7URA8jSDJUC2tquO9G3EG5h7dpvcjqi3DdXPU7Yh1cHCq2Zw/n9eQswR0W075zZU SAaTKbTpF4ad686RAD4Y+IwARdoo4TplATPL9GSynUuUWLXzf3g8aiW17xcJ9rWl+0hyRBk31ELh 1radkJzacluFTC1ig9pOK3BHlHFTUey8kjhuzkIAwCBAMgMd2TlHAoGnohNJZvJJUID0hm2EJwDY RfiMv+h/557dvhhiOxFJSMnKjccj0vZ3tw1idL1fWNuI8u1uStzZeW3nWM1OkZDfNxqSNLNUiKJ8 e9LKE5W5LzeVhIodzEhB/uv4sZmyiAN1OBDgyIKNasuBGT4kOo9Qs9FkHQsaGLyOBrItFa25ZDz/ r/eI/d4BuWRwES1KccLNzGia9DZ9Aqp3ttdUrQKjwGUV7ogSbkn8oL3ii19tp4wd0NBChQvho0qy DQShTo5y/rbzipVnysHhMyJiG4WlZRLLRdf/+fHFtbgnHo/OoxsjvEssb6FObTEKIdvbziOPUSdZ nKd2AqtaMUojGxp5RJBtkbXp3myLNyUbunjnPiOSZGAwS6U7GBZ1MGRR+F/Atn3baNKGvu2S2h9w Bazlz8VWAbj04naEufY9s3k8s79Vb4ii1jZyaOTUe9+6LS/nbPWcHEdSnEQ6ota21W2LkpFCe51/ E4Ak9PBGEWA7ZTghUSkKoyPiQBfxSAoRjkd8RtT916VP20WahYpCfP6aqNx+qE/e8Xw0aPtu3ALL 2uelkIwo1x50p0y1ZT+QSq4eo7o8RgO3lWIU59qktXf29rlY2bbKXB36h7j8KZ2LY71kNOMXbFYr ZgC+17p93SDVBulvUBsKMo2YwCdxtnCEL5Fn5sIPCDoA9VfPDUXjAC7IwhTjSMi1rcUt8kYl1HYR K16W7baMppx229/9bbCnfHH6H9pSUKlDdXvq/tI5tE00s4RffJmPisTWuR32t4csBJcVJicWkkI6 G5BDKPgVFzwfROlXSQIM/VzJK5IZNribeKTX+a3X7jiSUtd6Om5JLYAZSvsRawsApC8OkUygwiJn g+MBtvGU+CZAxWDcCtc+HrLtDGEk7EeenZZ90bGdVp1dpW2peDwIUZtf9z9cEjvAYxaiKNemQxlE WROhI1lmyUSRjEy3G263VTBqxv0/wwZ3Yr+NFZmW228DWh2Tc3BI8qn/t/B/oLUl6nYXdrgNa7v0 ff+aAhQIENpipe2JOKeuMHjB49GZ5Oe28vJT+pXpNdsM5UhItGmEYIj8v7OR/0/SZ0ouMAJIBtqa MUOSJe2iOxcX75GczYSWLQsS2GdDpgfOk8jPMginBU1cx9pQImHGDW6Ks+G1tkVNEtAtWE+cP0rF 4/8VQuJc6LgFPEhRss1MDgxbn9vC9sinAHlfGQn82RWksL0tJGknbZ2LV20Q7cg0LIPmb9/iUGRS XKAn1srlbFNdEp/xF88GXAJfFKKF9ruBSBYej5Q0fxW20OpGwWghipJtiWzt5O9RO4fQ9jTSmdyw NnB+xAzpkcofBwXtuDirLa1Gog3TyFmoKQCBhJMFLuIkwb5zLWS6Tc1Y6o/8iCU91uV6rIu7mP2z 0iseD4aS7TtGKDQGXl+LUZRqUw3x3P7bRWvrw/2fw+jb595Ay50X24xAJF0nEYDHtliMAEY69UKj lt1J34mnhfw4lMDQVACN1XMkGlDOKNXGfYJzKA9fdPmf4kn/oZ1tjcf/GyoyZPMGVNEtRBGIBPbF nNveNJLQZ4FIcnI4MtvQClHU2YZKIAzOpTtKzXc7s6EUnXDY0pd6JJ3ZG4ZxfWDXfQlFF/u2EV2L 7ZElKhLBJ+qOQCVYYj6DXzGq2L4REmCJNxy+FqKQGkm5FFz4fmKP60ld23SPc/6G2mmh4F81tf/m y20hNZbIy2DtC0kAQoSxGeoscIh+CZHNqKld5JWUmSRxMeT/aOzPnR+z+XiE1vrGazcagT0hikAk kwXeas3P67nz1UZ6PCcHQLsKUZRoD+IeTi2WfLnnNRAYtQn5n6A8ysMIhBeQlOHwN5+edtLXDYDI iRSkyZ42G+hSxhqtVuoV/JysueL56D0qXzc/iFbnor3pUciMpG1EJklfIlucMohiO92orFP5RVst lv2jgFSiJwIX79aeJF7OWJj/A5BSqdtegd3DFiOC34O0ir5ulB1RQSqxXctFaKRGYW6WF5vfMUoh v48jtOxHvfjRFWXZSSDbvj07AkvSsqO2ktskte36ESuCkQyKa46mvdbajdG5HNuptIRG2udP2dls Yi+hI+tqxWEkxvlfIYzEjqM0fTHAFv6ewrTViscDZuQjoc3Cf0C/6oYo7Gg3Ef1n8RCJ2c4QdVOy p/aK7bUVZtnLlFmLL7bXUG8uml4CYgUjyUFPjVQls4pB9AUj4brAZ0SSf5yILAkaoPWpDuuiJbHs p2vB8xGobX7FaKKfme5gZIUq2sSzD2QNhiIh2EUy7MzmTIYkW9d//Y0imYsOr9YcaXiNNig6pEqg WMsTbno/VP7v0NwpP6CM3NzoHOz4jL96bJfzV+01Op+xTQa5LT4epdjfR/b5rX56yp5ir5AXScpf X5YF8R+7F/3F2DYgjS9Daq8QqS2J8dGrL/YaFdDbyqa8xkm3pGeCrvaGcIME3O7FT0zbivvZk8CR KvxIWpI0Rl3ZO8eQ55oqeP5fpxESIZiRnyS73PcoltNusrez6dEpSNhZI+a/mIEbUDNupLFCXmTX JjOH7nI1SCZgEHuI0XZCOduUBsn5jRfe9/x1rxFUgQ8Jumya1UoKu+DMrZZCzi5JD2hU4PlIqu3m RzK3Ozlsu1TtFaXZQOydspu+UrIbyTZYAxAmeUmLRr5FKVQhkbpYkp5tevRsIXJWwG+i6vipSeuW 0/ukOCFUkEa/BpyJquMrwpCsqVqW13/26QI4vKWwQYw7AY8HEJL1DSEBfGmkW4usEK3NJltj9sb9 tnq7QUqWCFRo2y0LUphos8nWJF3fvqTrIauEl0i9yJWJtwOljd0UaFGAoGYNJOB5+XWDGDHFhpug FhP9PTdvlX8YDHfweHC17Vv2M0Xi8GrdIIXkyC4ju+5NtikZO/QHG0j/ImuN3K0aWSFem8wI2hfa 4m/SSbxXxywV9BH0bGnplnllYIL4Q1l/R5CgcMKnRDkS+9k0KMFSzI0FMy51ItFmW1GyTY0DXW7c ne2kXPOha6+YHomzuxAhblnScnrkqEZvh5xeM1j7Cvva3HBVdlH12kVB9xdvJZUQTu2x0Z3flCDH iZQ4LQfuVQPbwhQUnxL4aNDb/SYBw4E2GNzRUehc1PySkUp7/jq86RUECVcLUtzV5gWXq9ckabtg W19kvZo48jZwxArTbVmNbGJpudi71IEzPqU+BdsydFVonwFe6SlqkbkA9OaJErWRV5Rua4h0qo/k i+haZ09zdAocYMXjkVjL96FEUbt5m9o7SrcHiWWLGvsStKn5CpGIx00hkrxM0GbH5EiKIidJJKcL 2IIB8MrWsT3ZNmRNhZDEiA3J0qx3iCQr7h2l2ywiJixmfdEAsi/xcyiZhseDPtulkEgiuKCp7un2 fk+3JfqMw/qSbCHUpxCddGZ6absIsmOI/uFYA8dUWyxEgB9gQFJZRpZTq9NyhDrVEHL7wc107zaq /e8QtU3Gf8/5LgIineyoJMn9n8p2v6fbkErz14hHNNLIlL2y3SFou1MRYd8Oyd7pnkfTTJQx514e ozjdLkLXbF8uh+QcGxCaJxH5HMtVfTYOy2qm9N98vG2Hvm4UIyMgT19EkBjnE6QtcrK7zw6ZkQ/u j5g2MhHHDVJIjewq/01NG4pk3iPpg7qVckXga8oghQASJpEgwvviDVu0OnulWuvqCQMx3G19n7/3 eYOBL3/QyJNX244y7i2iliTbuRg4sgB2gc/beJEiBEn71UeipuXj7rNDxHaVQssuPy4eZXLIBCNf PTuoiilGMWJ7qhppvtiLhMIYVBuq/mfI2WUWJScn/4HT5k+dT6sNY1B8xp8gm8pUiaJfUg47ka00 lgI1ZOP5CBzxXdt26CuP5z0KNf8alUg475ESybqiP/VxRuic9jBGIX5ESiSqyqTNpRitnSDWqilb yqeGFzMSPB7KDY9+OW1UY8BnRH1/ibTS6YeL9tq5MKmEe45vnNmhhU3+bdByrrV84do7go8k6clW Z0YiLX7MI7r7jpbpZKQdKv6JRCKsFpe71U7qkobIo2lV+pCzY9t/Oow/YedinDbeVPiMiF9LG81T pPkirFbDtaZG2+Lj/2JG0mYis6l+d1qYaQ8qbMxqMm2AMPpOg5mg7bQOWRPS/nYMHyGcrbS72Fu0 yd1Rx/bkRiMnNiMLOgqN/nbt2iCxpsBnBAlkIo6Ndoda9BZtCDjyNh/YaKG89kzftxokTdJlRu6w qc1bBRQPD9E2WXvY2DBwQGqPujxEYZbNRhvN52zxt4iC6oBggT4y0feU1Y3co+YPgAkOHtlT3/Yv VaQqhA0XhaiXUwDKBboOPP4vhg1ChN95lVvU7jDHJutvJA+RKayQPHqSRuPXDvIOtdEi5MisChER j1w8RP38x43MEG/RSTGSrEhphspGQXFFhJLYrt2h/ggFyBqt6LUoxd4w6OZGK3Pg8X8J2eEuRKZE ETOFSEid10+d9NMiBpNQ9tGm9ZAmvPKIIanozjdzH09hki3ttUzRPy6GQgKeoExYTYI8sjMF1QDK AgOKoUL1c642+bSvbd84cB0j7LjtLG0EeioxUNBwZoPjHAuTPyA4tatX/0TYQFVPbqyKVOgNybep levTnqDBIBWSQTIVNhzLUpNqT3GuTWut0YovXrJBMrpYHtk72BGYtMHZBjIk0CJL5ar+MNfGp/yB jTjnZtu+8IUaQNnKW2vNzOejiuQ7AcgY9FHNwgP1nm8DMUCzH6WSg1mljwDgjuycrZP/uzlCCvHa PLarFOu4WKAypknQi6bP6GwQnSWIpJGggKQolav7u2THmqKUexVhtvhmFQxNu/pC+NlGk4Qfa4qS 7nHlSHjLwVE5j2fzRV3uQRXJJZ0//ePwSKWS3OB3wa7eIhU6RlJAohQas3KxSCU4k01Syc7mgxcp zcjOf4+Ng8FZLc8xTmEGfkx01ZG6NVv2xfLuhrYn/hEoADwfHOTDD3KKtGygCWp+/Lai1Jvayqeo WtdMIvMfN9PK5kSJ83fIvvmiXje8u9AEUC2X1t1845R3ldYa2Hx1gxKAfgkjlYCqhNyQN5Wo8sGP CcYmstVec/qi47yjDBIyCYYSKcSUXKK7nMkaSVLPOxW2u6dYN02nOGmlVcfUTBSbRpOydyBBi6zJ YtVtlnCZ81wujpnI8A/sMrg7Vzj0E4Fzo1LHAkd6jn27b2nbF47AgPKMrtUXBeq8rTPJU2ro+fdA 1dS+Lj46NrT1vFFhx5sibqOP5sOTYeBJ/Jep+fBk7u4XX5SGC6LcC08pLo4rndBeMpJygdcmXaUg dnDeqI28D2wRO8/pU82PiXSlZHHHri4XNU8WXJqYJp5g8/loMtB+vVHnJJ57Ped5lIwXmt3lYT6b /EfHKcENVZHqOTtOWSiNuO61JImLpQjnAoVRXGevspyDFvUmXCQwjMnMQ6AeZx0UCrLyYyI+N0Mk m5JSqFSAUwrcaNJD+3mIz0cEk19gJRzr+0pwUUn7/RrJU+ASJ+Gcg7sZhKIvU8FH2bHc8C5FaiVV h9ROzRfHB6RdoLwqiOl51zIMTE+WDmAeLeDm5U4uOSelUIFbBFy5kNfrQr7locuMsw0+H+y88o0Q 4BCTKloepzAv3+wR1CtULssPxgkyIxanvF33Bj/qrwE4kDe++NYbYIA2SQOeFCuhnXHiRc05XCO5 3OZ3ybKXTxF/chcRlsV9pyA1AwVLF7FMBzPO0Pfm4eJQ/Aacnn5VpnJoMwmfG5TB2YUmRzYGThlU YTc/aY4g5KAYAk4I1oatoS8OylnIwLOKPNyfNNxGq0BT6x/63birCx23c+AziddW/TgJTuXhxC6A WSVYnsbk88ERtb9T80nvu3rvvNBpkmyTsyd2vrXwKrddcAU5OS22OEWokyT4+2D3kovB3zNIWKkR bjqhrJOGsb/ZAln0CHftOxqW5NhpkrVLVWuOi1BwGTBWZpAnE+HzQWZevvnvYC3CJ/2GKUzMWcFM dpGtN2fgtzIbuGESU2pyLe36UVGTV4hl5udcvDk307keIKAFqSBYx1X2DDAchx8a2A83TKXZ9/1L IxB6fFqMSQmgng6rfnY2n//nmJfhhSZ4v/53gd2kuZ2C6OBh6nt7C7OU2bw/B7sQe5uirBxj1s1D 2xd7m/rJWftui9Jl5+rsrWpeDpluFDGLJtzS5RrNvm8kFHCOpZVIgtMigA5a4VSywbCdz/8rL+C/ 3Ljv7ykeOk4unuI5ZxcMyru47lSRrxLr4eoTzBxYTibqy7P1tH2xMJ3KCNM5einMVSHKgU2X0Cro NGgGndNqF6kq5dB00tDdUO/lYiov5zTe8sA5W3rz+WjQ+0sHD1+K4FeLU6TNTfe881ep3ePEHoMk 3gvbmpRUoFy+dl2YkPMQJxvcFj/EAQwdmWODCRGzQYwKHEdhjNkNWWlgpj7tC0eguE4a3Eq+CDc4 T9qnju/5QXw+mED9Hq4gF0mPEW7gO2l+8LW1uaxtACEwSzMhR2ZjugSNLqWZofGkOMz/6WG2KHlc MrMCUnXIvDwZ4jn1F9QcC0B53q9TIyp0npzKmzQTr3cmDr9vSkFBEK/z+UjG/Pt4wp9/tP2c4qGM yeQpPvryUjhVh8a14eITYyw3eM+B+SR4A3KdJNeLi2PjzkE+eqNw0O5USsf8gH8czMx/iMFzf+77 hf+67eakaDAX3XbnrzEELDl/Fj4fvE+XpEOAxSbQ8jorBv6TxmQGemDY+1RoDsrmypbMGSBNUKP0 OMUdcrWhyl18Nn6++Tk85D/dWcWobMGPShywoPp2uE5v9o0jd2WqB23uv+wWjhUSkRJ1gkI+nw/K lvXdMgBYrGNE44GK0vFGn+5EvpT6de1iv4o8KAc5Xy7UnWMTSoItqVlki5O+8gkOZpt4oc6rlQkS P9kmkihMoIhCvYSmaV840jPlMAFpsS2Wjs88ZLGQZ+Pz/6xbOCIHrmuvC//OoRNll+nbXjdSTBB5 RLVhBjDQaC/ehQqtKAXZ7U3spvbFjodb55Co6UnCETT0VkjDX5DKB3LozqWafd+/pi7Yy1oMJofq aHZRlVbm81Fr5RfikiqGj9JCDt0oseeg2kmlpcrTyqmWAKKzWMHv0Pf2OIUocPLeehvdF0emnmx8 rCoVgfMBGQfLpjfSz8LIBzp1Lm0mw+7QjdLmv30uX7TxgEph/nMO58nng67mL+c3cN+ArLthCnVN Fq+5SfAO4ReZikLEE441bfZC7LaFKczHedU12Xa0a9sBpZRz4tKoGCcUzisKm2fMc3JCQnFql+kn FE1c+TF/EXdbncIUpFOfST8YfSAZKJ3Ljz8gSsm/c00orZ0X/nZWAktKSHexk7mMH5fhs7B84zUm 6huFMMQXLFIhHlxl2yAJnIu/UDBd2JMSZ7BRK+jHQXGJRTjGraWnr0tv2zeOkAWUgJtU72LKJUW3 1EnGSSyG+Py/pPJ5oOHNzFeYKoe2lDB3AI53OkSlLa+Ee8vuudR1QTEpD3wp8RsoKaeM6byawnBd AP8X05uGQuH8e2SxUPiqs5hA4eZtcmrl59iYkskBXY+x0FSSR02DcQ1yIEgh8wdEornr+4xCetAf 4FwOzSkJHaj0Rb4oDCtfZisGPABQpW+PVKx0QnQh5nO2+CuVzg3XZLwIWckEUeizJXGokLMEMX0H YGb/vlEWRTrY7ndxHMakQgtkORqfD16o8gsXDsjC40+RQ3vKTo1q9M8Upnb+nWotKBOGJ1eFu0Rh CsUFmRxsejBp8SrvnLInLx+VNt4QryITsQH7zrMGHDYXX1rTvm8woCK0cA4m5VyUbFbog1iYNp+P Wis3KWdIMMUDHMvjFDXJB5vkKXXHGhhMhS0o9r6EWJnFq+HAohJVnvkKVV8c9bznauCHUcwbUieU F6IW9wRcKqOOgbMONp4FKgStNDV/qcEAVUGyBctM2Nk8nM/tz+ejavj7zoPAasfr4HEKBb2FoZvd D6hELpoZnrEzxWq4EGfY9aOiQZ7GUyxiRt63Gi4Yco4muepT1XFWsimDBi8NNBWHp1BShcuhS2Wl dF4ziI9bhpcOUYdqwOfM5yPZ019DdLT+V72Gpzk0qqSrNqac03t1ndccenVV5HAcTy0l7xoETpV+ 41XBfLjY8VQwFgbRk2BDTPhQCBZ4MqBHDkYGMFBT3rmaDAdeleKdQBRuSCIOLgF8nzpEdDlzOZ/N 5//V+m1qxcAa5h7joVklxvPkZNxjnMMS0VZ7dwB0r8lb5LFbpch0lUoDXOx9gp3HpJMQlM8sbz2H AkfFjbKnV7BKIPEc2lWqucJxki06xvv5EF4c6pAHfpXf4t6L2w5zl3wTqNCvcnPbMUc2KH2+IvFt JTueer7esDkwrMz/uygzDO92X3w0VcBhmBKIAR/TsKuo8QiWzhjzXKBBty8ckcXYVRlqAQ9rdYFD uhL/MtDJ5vNBLZw8fyLnBZMu7AOPU4gVl0jcvGL6lI4ya69a3bWCujgWp7/B4miQ+uJNqLOtEuBg sogfHKTjP+OzkXb+lHwV0ErXbCr0rUSZAw6Uahcq4wq4Wk+9QjGdk9hk/oB/4nwRZJAKqTvqoQop mtSuTA+MtZbtrPo6psFYqYnvoYrddKjaOcddPIFqcMnD0QN7734+iwlUgiIizlK0FzlPqF8D9Brm 5PL2nBzj0SvaNOLmSVObvM1G5g+INt8v1jjK4fJ1RoXglUT9gTqc77tk1gC+7yDTTWIoD8wnMLCU IAa8c9tdHBAFqbxBv8iOf+pZ7DrIdmwKY0Km2MH1edkXjpBjbBhQpeNcelAqkJQAs3LioUqa/AH/ Db0eyiKEO3ugopycuSMq1uXYlZW9IO69GsEO6f2wMi/0saREBfyuhi8XGp3O5QQZXbSAgZ0YxGSA y5xTgg5NH3eSh06yvnF069Hiuwne6oLZlZZxnMO1jt5mYGWJa96Pc2zaTU7UvA2W0MuSeLNTWoxm b1SexaV1iwvKweWlbn+jIvAKdfMr8iVf/Jiqp+bbeGtAsz8vFPp/NLM8u5QtQEDDL6el2xf+a5TX oS4B0CYuMTYOcgEHW2KwfKNCHPkvz0+CZGt63qiwWV4k1Th965XmgQIU0QRk+olZ9UCFablAK40v FheHGuQOstVmoFCMgSZBnTgcUnCTgs/S7UXtZd842HvEIkLcyBebKpwHNc3Lq/P5f+ns84zCjwEG 2QMVdstJJENrx3DAU3K73HrbIMGgquwbqDAvV/e3S9qauo+29aD8nQAhhGcD3Wmojk6pssR+vdAr gkOpWx66WnZFqOn+k9c3OizlZDScMbS9Fp8PEs5fFnIZIEganXukQqEUin+z3SscMIWQJbWXMDTO ktqDdK+AY6Gx5WDGiemdL4rU+YuBe0oP+XPD7LoaMvOzF0HTLpD2BerDB1VZCLvA2RKptUTSiCzP ruBQK86/qbbUnnw+yBDG9+CzgUcMy20LVOhtCVDarYOJyujF5ui405MzFDjYZ4IQmFui8U5Jmc1A cVHOWWji2BqvvfM2QRaEwCk6FSRylZdVxHnQUSYH5pbpf9UzaKtp4V+Nc0+I3VK+iXCDwN1SXiUP KgOJQYP8j4cpxpUzTO16N1c2d2i8A+jvrYhpvNP1o4JGFHPyVeUBXh8PcEA04WS+xAeaVCQGTQGi cImtYJDlVekNpVGBv6W6+xgniHuXKEjPGuZUA7KT7ZBvzqHD5RdFkS8ibNL3M1YIPS4rxwqzX4si Q2+SWzazE6c6mjYWqTg5JwGvSuW7zpsewPYq02IekdpwgmcRQ4FJcFTRD3TYWCr2fSMYIvHkcpYv YCqo7phrd8rhIfaLP+BfQteauyNG/ZnoBT6X0HJqkkypDrBb2wUKgXeworgMJ+Hl2OhS/Kmaly9O eYWmTM6dQJ+M9rkh0KGbBvp0JwtvPi5FOfC5dMfUdV5yX6y3iVaOMk/goUKjy1x/5eXwzer7eZ9C RXAI8KI55EQF0sFMV77cwSe6Y3bnxVaX1lzh+8TFeywNY50qI+cJhV8We/DwhskJyKfjNg/m/cJ/ WYID0++LWFRoHm/hEGfl89H79K1TSI5SvpJOOXS7rNDTL2R/mYIKW1/MNmc1F2wQhpvpzOTA7hI9 YAE1y/bF6xcc5afYhYTKKY7bJjnmbDg0jTeGL41xKo9zeg4NLyXCkObSchKOJVJ9Wl1okLly4w8I usCXb6Zip/xQPMEDFabldCtsIuKJjT9ci29PI3lSysgLvcD0ElgAucdRKKS5BxymLxjq9UH51FOv uOwlRRhzGq3KyMx3HqXmcuB7ibOcXeDM1KBS5YH5AMzM2Os8x03m81EXuH3defTd2unrlQpVC+kN omKSW28WF3acFAaRvlMHNMBeqQjEUiV+NdddLk/4pJDgwlBKLcHZieCxtiFYfH67RU8HxUkMocD8 UjatcLxmJsVFJ1SGAhn3zQZBKHC/BNbHT3IObjI0m9a4hnOf1xyq4e8jd9lqDKGySxk3TsvVHVtz ObXXDKolYtOh6khZHi63WT7F5WU36rzqA3O/cjIXecbBKOzhUSGDes2fGiQ+ifOh94MWxQhexeLk nz30ec2emrHGfgCYl+DqeRXn4MST2UgQn63WCvHMkuShVg3LlpGIqCvSmXUwVBCfztSpQ3fMFq/u 0Ogd0PkDcgWKZOhSwVgLIvyYdsJu1jwdlg6m1wD5yGUQ6aVFx/cACJvklbN1P69ZU0P2UzxAfAqs vtQtPq8pU/OxFK1wTEctbwPVnb9t7cabwhhA8XlNmPD+sCu+JmVUuTiPGiSBAmspSMye93psoaTA OMtUnFmeWVIv7vOaLjVnmnfBfbmo5ZsbXEZ+aFPcPq+5UlP2rvCwokECB49Phec1UWquLZ/6bYun 5j1MVKjZgePdM4DXNAlxNjz0uounSYVt8V5M9GKIfpZkpTPggldveNDs+rwmSU2ynhg9UImnQ/1M cIkBb1kOv+Cm8XnNkfCr9mrx4e016U9dLT6vGVIzRBkHKT6t28mB9cOp57jwfGzwmh9he9GXqBU5 ppVHgOecvKAlYgYFkvHaQCaAG0yKxiCNbN39tSmd9poe4QAqpP0oS+Ki1klOKIF+bET+mhw14R2e F0gqda1ZgF5TI2zKIUmw4vOC2ZsFCD5J1TJtNmblmhoESFJgvTDd5mIvENQbBvDiyGtPOdhbkooT pwVgR5SWr1JBYr/yNS9qGN2QjFhY4XIxnNOJtIaZp4b+vCZF7eFNW4DODgOA1gL0mhLhWC8E03OK Ia1LWVtO8su3OaWP2rxT+ZoQIUCNU/GsqXh+puKbNkUcp5xtABgjtcAgwPyziHNhyWZbjCXbazbU 3N9q9iytC3T7mVWnNMWMpYXO5zUZwgG2vo8glBXnOPQAvWZCODk6yYdluTpRIh9IHcqcXTC1wRRH 4sThCc2ra/Tui5e00F1piwzgk82VVHmDyZoqwSoMM/KbW5NV/poH4a8wTUU++2KUMaQQRMqdTOrz mgXxK/odT/YGJgIAQStAr51JbjEezLNdxEBpjqzIxXRmoEyUrOp/7Utig0vaSmd07V8YuVOiV0j3 I0ANsvWFXrJVjgSVXnvubVHYkvm8diURICSXY+8mLRr25BJpENbL7RlKoEGAyBVgPDQxh/nH8Bzo tSGJt7aITlesz1aBibMzaK4HKtCbQU9e25HNZQlazcWXCweHRhFA7Q3jhVS2ijM47OTzaw0WzBca R8+m12Yk/goEVFAKiIsB46D91MXCACb1tRXJW+z7DILkw8niq2dB70S6mwYNeX8RJVBMPB5/9uZg rypFBzIOoxjJa7eZfnO/kPlxtm2XcuNIBeh7Sjc0GeXgPT15wBfPXqTo6C2i9ffSEJwLD+pzfxXV r+38jT/vNDrsM5MkVJTABemSkxX+PAgSPNzQqcjdao2peFEnbdsNB3eh5f3aMJmmBMhcefvixHF4 V2+w/oGC3OdepEx6w/1/illQJdrjtDOpSfjOouN7z9nb+SG+aACQ4XXF6/6cFZ93Eh1Oa5t7K59G lTMz1WSFqY7uMzoS5N4cb6K2Mk+j81/fjAidJMN5BUESMY5cVFvum9TRFc9ENtO3AYi5smkqCTo6 RK09IRoC7AYh6gTqntyg+WIhaiYBBlTZ551Ax4rue7ehkT9z8vP6nT+HA5u1fSfvX1Jyy1R2z7aQ MFijHbEb7b3T5/D1qbfT5GvRvnwtQAZP9NgCVheyD5Rq6FDfmpQ6K7QksBst6esGVRnN42YjUpeL ylaYERE9WgsAqGFePepXjDopq+vutffEGpisxLq1ed4IJJp1aIdajzQvx69oHdooRthBDTWeLzYa OVkv/FFlRnhyibO9eLyzOQb1jFme0chUuyY8jgiqRE8VC54RqvLk1fTf/AE19vPOnLO86rn3M6Qz UHJ6kKLkutOSoOrmJ8Ikd59yzy+fHXTWVdy/Z9fn/ActBzrNd7ns55NuIbHGXluTzEyUZxgxgfxy ksd1TWQGvYjeeXPY0ISXjMHsmguDhNxrKo8cpXzeaXMNmoTDgtQYpAIEHLw1FaQwwa6S2LkaMnlx hksowNpukbJxCitIUYYtnOCSJyEXB3atOSEhTxmLBKc0zm03SAeTsGj0JsxmN2/7uu8nEoSSUFdR q5+L3qSSpNANBdD9eefMtcfbwqv8E3EQ4SxGUY69hPUu030bsLt/XGenmgIoAIreJYqSbCkSo8vh i51IfVBdZXO2vTOYz6TtYJY3MQrJtEc1Gv3s+rrvMZJ0zOqEMlP0k1f2Ro9XOump8/EgiZy+25hO ZSSR/aZI72n2eY9YyY413Yp4ShqVtMI2p3WKBnoB6shGeTZKyXNZVQprcfHNNibNGngiJU4U1BUA gBFnBDAUd2TU9W3fQzQpJLsqrVK4GEf1nKlbrwbUdaI8e99eGruxQBS2Pe6pHWXag2D4rok2gSW0 clE3zc+mijahxyhMtTXQljs6lzvQhkQq3N55+WNOQZddtG86dGtzWv3p7k993T+DtKmGwoVBOgfN 6kmwSCAkolxbeD2EhO8cxLbRorOGY5RqYw8Bw9V8rxkmnvXsuOpfA4wPdRzDVHtoRqtUuz6p9jnV sPVMJQ2Wq1DeKRNjwLkkQHqt0Tdnj+8UOb72ZDR1jh65WEFbwdb4oTV6/rwz5JoZVD09EQhb75tE vvPjEFlg9U8Cs5q3HacLxYzsNkUYPGbLkN7pcbgiF+/0LbTbfkBJ0KRroGBS9gtU6kLkJHE+GZdv JUHSDiR2Ht/5cazZKKST1DdCdVxUj5STrS5Z5+X5eefHtUduV4ZY5++EPNZ32zs9zvtNOJF8ij17 cZmY86t5joRL3N6k91RbZKxdmS/aYlE6iSN8Gzr54JDORksUMic0+gFaDazhS9HRq/SebCdz/qyV 4EkCL8WqWQMyGHSRPank550bx2x7fGVJ0BkEtMWjFGbbpIOfreUusr1Xu9tm2pc2T4Agz6R3ZpzV f1sambY4/h1pHuzVicJNsA3CiCgDBATnzM1p25WroPjJOzEOn0LzePwZfLEpETyzeHKfe+fzzouz M802HE4lnLSr1rvjonx7TYG5h+fbae9kOw4q+7bjNqKkHRd3sjkoWhL7Wo/5N/grPWVRnCEIKWAp Sp6TaoPdAFC09Wll3fTOikOQRLD8T3nrvKhSBuum9XnnxOGeWuP/nUpj3vr/nRHHuo0mhHt1j9Fw KsUpV7r1kRrwjhajqJmtYVrb9Nzh8kAlwXssBGnACgYte7T1B/cb6tg963N0L33fIE0iDolKYbYI 1rZORm/Aork+74Q4vu7pa7uhQd1BubcgvafbOJSWWJW3/t9Xu2rs7M22RMYng/SebqNwM++m7otP ZE9KWmaVdBWQdkNechjXQVQAHf/+5f699H3fD6UlT+vM7cYlKXGmXKrejv15p8Ph183ekGTbCD4O nf+fohQl3Ci5OQvx7bZkbc2jG1b0NhZJzfi672Q4/JGnSjbNHcedO57DGB7UwxQFNkhVRFEmJNyg CWTsMa/cytDXDYIkRkBhC6CDScY0GwJ1m4Z45K983rlwNniyKFU3kkUd42EKW9uYWdZKKUmxcnb2 U2nUas0kmJnbeO2dC4ffgCY6m20QLRYm6newN4/aDTwUXoIZDYgBbmGe+XJQyUD6vHPh2OqQS2rf vhgI4lwzEmE++/vzToXD4/N7wNbZSCpeu70T4dj+59g6VSfCoXdlp9Jc6zKX0NBVjN5z7kz/qX0O 09R8sZwb3YRGxBg6Jevk3FIbGCfHaHjb5WwtbQpibd9ZcHe7tSTmhLzjif8+N8OUfMkan3cSHLPR 72YS5lZ9Lj+T3jlwSK9w1oDCKWBfPmV/txDNVLMNIXu9OLZ3ChxLR8QmAeFpi4Wo4XYbVJEFBGJm Cc0CtvMD2MgPKiqX9QL5/PPOgOOUDG8R8RO2WOk2ISyJjzsH/+edAGcN+K+3CJss3ynkO/+Nry6y pJWuT4pZpvDYrtdy79yuyUIU9rZBlKCUkC/J7mNMFwckWiHp1WEBwjMLpVbDXyGD7IFck6P+oq8b xYhQiCbrRucalAXzBjaKT0XOx6NT+7u1XYiOTjdGUb7d6DRKhrLBa9twRrxKTV5tGc117rR36ht2 ATuNW/n2vvl2o77deYBM77XO+yQducopIBS7wUv3M7sNfdugcOOAHgm8Lypux/m8KiXYUj7vrDcc 2bclqQlv4yjZQxQl20CHAM/Zss8iWbqLvTy6a3ktUEk5i3ynvDXD1bZzIFdfLERgeSN1lB7jubE3 vYsqzbxIys2zPRbySV83qEi6OpK8/AdgjKJenSQmswcFkZTyeee7sU3yPUdCM7Ltdt+jKNferNuo bGv6eWUVA7QvWo4rSKRo8j2KettQLtvnfMatpsWOI+ApzkGQuNfgI1usn4I8CEVvuQc2hfQ/71Q3 Fm0EY206yXHRaYSTvggzMsrnnejGTNvvNLkXY6uBjmEhek+1MQhnQ5J0cgnk1HRhI4uNJM6bt4/a 3nluTb7aUFNgA4CL47IKdF/wrsC8IUOintLgKJxPQYf86Ln4J8uRd5ZbE5MDV5j85JKTltc5hLKU 5FPnd4xeo/m11yAT0nbxMdI7x43DH85sd7/IkbkNTDsxhbTCtpXmR3bY2ObMdinTXjfTriArU25f 0JHRaEUErhvqpwSBz1KKD/4NdfxOceMVwzmS3Pa4GA2kF7Ei6sIf8j3TRuawvs5sqJPAT8KDFCXa lVr7uxtOJGMyX73bloajRzpmtgpS2NmenEMONgGAncTpQEuiPEgjFb0t4axmkNATpqc23Oq9+Bfe +J3c1qRqQyoEQnXSlGQ0wARDeSkzr5NCvpPbGGMvbHner3UtQBmlKM9uQz3JZHk2LPYc5ldr88q2 bfdIf+e2Wc2JO12jkj7udvs/xr4ry5mcOfada+mjA2/u/hd2ERGZYLVU2d/oBaP5p8hqECZNmHOg Em4snaUuyCDY21iVCdc9upPXugFX4ued2sZzm53tzusfZsk/rEuCn2DEQ5AWPu/UNmy429rm9Iqk +t1xUagtPSpTWdKOGwbKHqPfIKnhsNU0ReVtpI2oITElM60xtUlOrNiAFZKZHMSfumD+WLzoFVBn wd2bKBLwzmtjL4mSE0Omcu6iBSNocNtYC9j5805r40veUpJwJx10bj+732ltOJcymwDNrHZRe0Nx 6X8EBy/uAsrWPAX231lt7cp5itW2LqsNCktwJAImuMEMErRZbjkI+G4UuE/0fdfSoBHBO6utuSTz mFnm2Nk14Noe7GeeuKvOzzup7f803DLls7+B0juprclYi13JbApwCI/NLKVD/4QkSZDazhdjKb1z 2pobOM0quYnaL2prLfRD8DMgs4UxCiXjO/QG9gYDaleX68qEHXzeKW2MAijTNThJlLfXbV5OvKOM /uzwzzuhzYoU37Mb1wWyGZ+jMN4mkTRR6ZOiZtQgNPtmgWsXaTWoJCOYfGezNVkHQYr6O/hCglFz Qt0RFqC4uREE0FII9z/65oDN3cSt632Dw5ut26r8rbpPFPLxNKydhNUeRtzp2SqBenCb2dP/dypb M+JELVdy8dx1y3nJWUc3JBcHkBSsJL0z2dqVVZokunNwiHaDQALQgTBvPDflpFlaA33uJL3AH8GR 26KAQQ7EO5WN9UHus8wbbmQTY664H8jWP390qZ93JhvL208IKQriE456NklhdZsFgNUu4mZJDwfZ 7bkfb3Zb3LzxncfWDLnVJBKowdKSc0HDSZ6meydU2CbjVaBZMUCByaBUWnarIsk7jQ3ZrWkEEWbL QTE3VGOGTHRb+7yz2NhB6M+FBN1O0HdsjqLq9hxykK1OYoMOm4WTublkQocNvC63dw7b7ZPAc8EH ryOBaFutJHkiALIqNroOMGKqML29oCRawn7eGWxNpsLUw+s+aIpWq8oV0fH5vPPXmIK1XwdShyH2 naIQStIoBDv3bQCU4jAJUCOsSQKzc5uiMOJWdmtIgPFdRhvYbbhcIa8aZ0spRGjI3zsAqmt8LUC1 iqLK9rl2scVSvYNKbYVXA7+u1c87c42nmV9sNCICkKBu726/89bwFPlGkM/0iq0E4YmAHe7I0Avk kbXTYiQJk1rofNlgdSRmtnNnFQDSpHgEoiioMUPmAMx077Vh3X7eWWtMvHCtgZbkg6Yo5ZPuTJWR 5uedtEaA5fMwQmmsIyG1KQqDbSIkV+9esF3TZALPBc1uxZeSxRpJCwHbqh81wv84eBkJBsVgUZNU 0+dK3Iuw8gMCr9Ct1Owtye7FdwQ3v8KjTCsGDgbaQs4sPfyc8XgQQ/bnWYTzkl4QNkVhoI2rsBoa QqJlaxlCclxfD6xHq5C0qKatKcKV5YOn/yeyXrWRsL5ge4KMGTB31ExoZA/UjTe2tdOiOHtxjshs sUHLCJZZvVtFBo8H3f/SHnOEw2Og+G7EmjjMJiN7ZvktoKht6Syio2lmFTB3sTnq72E2Sn2J5SNt uHJztoLGWhqL6T9gDY2w9kqyTqfYMTWp19dcoMfcRzZst7ybd78eDCCzik+0+XiERf4F2MaV1i55 rUdB9qCzQHs4MLCtLOUIQjpVaAMwUVMUwUjGYjWbN5sGL2qX1sGe2pK+O6cSTR4XCF91sqjdv8k/ BTZ6VNSu5D2iGOWDthpyZAJlz8028Xhw7dfnVoMoFZzzfI7CIFs6imKwkXNM/ybTB2yuX/pN/XtU 1Fb8CDddH7zxfwKvAWllNv4rALKk+C/CI6joSvcyK9kSRNKjIHt2abXQmglp5o9QSGARSu7rrK+C 5wMa5PKVhMfgsQkWr+drPSRCqvY/TBwJCHeaVADZ1mkNRlEb8m2Yi/QozJ7yJK5UKOPgqf8+2f9O wmwn9AKklb+pGIzEuQLobmXbzay2R2F2IdZ2DXoKLBq4KvUHmYBcyPOL4/Hg2E6/YKTQ9kBGbZMU sSFp+HLOoZY8F4FTJyepz1a82FZBW2Yu0sMwm7Ct1USqbV+pyZPcn/9tmKp5RxOOHrLQSFk0GR31 BkiLV1uPSttryI+4G1drq2hWz3MWtZ4bZuL5YJJ+NSOR41Lt0SYpjrMzC2z7ViS3VyRBUVo+SSBx aZJCSiQztT1X9sFxpA2aS2Ykn6A3QFppQmKwcBYhQruHEgVeewTanqJEoh6NiiRSdykgJOh74qo4 +drG88F+m365Kc9rP7Q9sEmKattcSSA/SeMdwTULR7hV12SWRhSJJqnzk6JTSfHzGD44tA0KuHOR 1w/xsE0vIgTg56NyA/h45/rlI029b1REUsufIpONMiJFa6ljU+OPPz9KwQdE2LZn8g9VA7IcbJre o220w4ltG1T+IwyASOozNyi1aZfRKR0Nda6lKNreS3Ct2XzwFgBMwBd+fjBJ0PMG/7hA6QyhSgMO r18DD2px9qi6XcUbxYvZYKgt8L1Z1T//BR6P+LXeAWBoDsj2/p7cEW67c45yqhJKzKB1FltKA4Kr LEc2+q+xHNkjDIn5gPe0NXAfsAMAxF+vQ8r4pdC468wRT9EEw/SqDTe9ilTxLRG0jbVtqPTaYAWS RCAnAu7Fx6OF9ASRZEhJnYPyhkphxE1AUpKLPPW2lKxtEv3NSwAMRG44zFJU2l5CSWbdb/l7v50/ 4NyOaFlCWBJYMOL/cuGGAxu9UmJNBclBFmmPcCRdLMktn93dnGfbzsXHABauong8Wkrz1ywh8urZ g6URxdyTpW0ehgqWar1n9ygO2+rslDOgHFFpm2Yc5zpL2QfHI+XeweWdFGVZZ93Ig+iEBD+UqUBi alQSaXOPKOaWIMIAhMAGwZGgtsDsFkhAPB7E3OOpp0FyXa6+3UYUc7fNk5u+sgyUxCZioDSSVZSQ 3S6Dt4+4sM2KdpMxY/umbq2gygMmP6gka5JSA0L7uRcWhO0ydqprkAGDhy+JGO1TWiO8wCvbbwyU doI5Ae515LcjrGzv53aDqCrzP5ukELmd2T2iGAHP7d2vlRet0qXuQ7FsTVJU2c4qj5AugYFcM+Ru kEFIQ54BCJOWJKUWNMMrYGFl3TYSpGUGviPAkTaRJLt4pL14LAmzepH+Tyw5orq2O3UYZgsu9+XS bUaIJOlCkpSrPLI8Lzk7bbnyyNpO2h5RwL14Xm+RJLe2AaYo43cHLJJSdmi1iY8L6bafjqSYjCS7 /yHag+/4i4/ce04+GGj7ZGzS2oTi3wir2l/lCIadFARP3iAZUbzNyx6SI1flNpXtNInrPdGo1qc5 iuLtKeuJTAGo7ShYWk9AeB3iaZBNhqsnhe7gtHqOAxQu0Awwsu3c9rp/NLcX/BM44G/mdQRroiHV 5Fwyng8W0q/aP1CyvV9k+wjjbRl0FHOfAqrkikuX1bvtNciv2l6L4m1J/MzBUImDZ25n6QDuzwQX Vp5SoU4b8kyAGs4rVw4Var1tsNe2Isg8fNDtf34gucXCDRWPR3AbrwEw5ERyW64+wggx2wg+z3FU fYogGmpndpYpHI8jAOWZkowIs114WW0Z5u1rmAfebYN1MYzguoQRCFiGDNJJRdE0LQ/EdtXb/tVm 22L/nSH/yBAHgTbBkkxtRxhpz2ekXdiMqFelJaprt6JUzfgicENv23EkIpQSR4LERasoirQl4r5V LdmdYJvMrXYW0KKkFyCkA5IS1OMoUGps5/MW8UiaIuCe8B1RdESdFrAtMSD7F66tlM3uBSqMHc// py4bYDZfeMQII+0tI899lVpY2tMckavAOYLvsc1RHGnT/K2M6oP3a89y3MT9INKGdjThEQVdEZbx qHPsW40h5AgD7Y7DOkEL5AydYtRibc0TjLJ8fw7OhQ8I8v/bRDJPvR/IpfsshZE2oW19tnsecZdz s6EmZput5G58pBHWtiUgPcQiGZdFAuDCgoI0uLY1oYkEMHIpKJptqPaU+RWR2Nte969+bR2ywQFE spgQEqRyeJfuXPB8VCT5dWhvkG1vp22GxW1CJLv0Iogh6bSm5hqmRpE0pFHhYf4/Y10//M00crPB itt9n00ENhpvthN2Z0pwoQMARZBuoPbxJdvOEELCLiSZRzbo0E7nl5Cw30kT8HgwRe1Xv/b87v1b kZxRoF3Z006wfkIGCx4pzhwgSEYWMRK7bQnZdi7/GXIkNyNsFfc5OK/tpNfnWCo8tAfQkoLZUJA6 UVS2sLptWNul9/2rA1AFIeFgNxvkOJX894LH/8uRxE1bpidsMwq00YQHOWLbsX1+XJeH7FKhE/l/ +rE9Y4okEVuDMQAHT2thH7LYjkTQNaXxCXosxZEmKyRfhUhKJMywuk0GCbi7PqhCguqCKDfnssHj /yT/ESt4Pg2NBJ+lKNZmHAeHFI+167ZLHyKa08h/UDE2+v8Mi9uTF3/lkcTBc7azZlDpJLU9n3M8 SWUK5uRYV8gJvvamS28bzBFZtl3VWw6ao3MgVYFtT1yHxyMdTU/9JRk9fghntSmKQm0QcShCYpk/ nK1dZzTPUX7cosShfzMKtQdVtmaTTlsbd4oQXmBaqISMSjcutQ0Zyg1j07PZQL9zJWS6Tc2QH5mE +s93EPJvwNiQaVjpFY8HHcn+bLeBVQy2hs9RGGnT8Fy6WLzZIPNjWS31CoUgmcgnebPNCEECqtEm +9gHhyLBpbE3qkUC2Uf9d6iPnyx/otO5Rr0xEh0oZxRpV3LaamU/iYNRtmcnJQjymAOPR3W2ZwWJ MsGl3vMoQpAgcaWl2w2RpAJEoY1u/orn0B7dpyiqay8R/rKEW3L+KrbQe2NCD/EEZAWS0fgvM8qQ RGDs8XU6x3vgO6Ijmw3tTDntCXegqtpIbq0JEjr4iv8FGgmeeMMBYFMUUiOTmMj9e2RPB9m2Ml2L hHw27bRQ8K+oFcu/n4MfRoBrZ6jXNMoTJ4m4Z/xRTBQ7xZEeQmQzKmkL7NfVJenTQTYLebJ8ptbm 4xFU65c8AgKj8Z2iCEFCj8Qqz2vB2XJyZ6kpIiB7YIqOEj8pOIwIwFqNnaTVvpg/IP3AN2NKm9HW xHlVaBi5IE9aepnfinbS6wYwZPKQQZHiQJwZ834g5UibXWfH4fl/6WxICY+yFjc+CnmRSx3/7URk QIdskjq3Oy+1NZz3P0MtElxqKL5nHyypHQ2WUuhdo/l/UsLCSArNqh+ItTLOv5O0il73r/BozNR8 EKCtJVAymF9svmOEs7lzRIAgXGMBhNMkrSjORmCEKVq+2eBHY/FRL8V9knpzRNuKQCRseZyFSNG2 lb98rbPbBgYpSEERgP9JgeHg+esgmuIgEmP9rxBEwuIIHBp8MLjWOaiasf4rHo90f/ojX0N7bXwL kSuKs82Qk0RGTdFayaeoZZ+iWT2EXCGIhEIts/DknuUrEX2OI1Ai2T+C8HHaLGjvlYRJRx/6QpGQ IeI7ouKIZHlZyG64CYed2XM0yUeeucfzkRLZs54NijYgvz5HUZhNm2zwGPxaO0GLCZHhXS4xIrmK 9gpBJMz891CRZAgapL12TqOOgALVESjjS/sOZuQQb/lZJX+Do/Pv8B1BAMn7DLGDD8IinWB9E9lz 3piP/xeJTRgYEKJrUxTF2CC3oAxZbtpfLI09AeSyYgC8zKdhI1aM05b+2Mg+2DJKnSbdi1PUIQPL 070kAhNJTf7e/ES0rbCeLWFNEWPWQEm3q1RbqUVJQZuC56OU9nmvZdQgBwg/NklRlA0IJ48jqWmx 4DYc8d+NawOAbbdC5IqZkU2bbPhg6dpc9Zx2WGYIjwZIyaKOnL94Yb3nx8W22fVfEYBEen9IATkg FVYMieOCYTL8zvB8FCA9c1qsPTb+bJKiMJtQgdLVJqLbiHYYm5A1meXBiWWmXWwrlCEhKAJq9T7Y JJ2EeKFFlyg6DqWnRsc2WLydUBNFIKjKmbcdtXvwLX+JRxTsVxuUr4GsVaTV2vmSkW798/ZHjjrS zUVWCNWmt1avJoWQ4VPgqn8oQNt2S2OaEtkKA+1KuR95tXLw6kgrZxvRSAu1yD3WkpWkdh3cgRHS qIJEF0t8yZ9QpCIYCTCoPFtOolNoQgB4esPjwRx9mVo/gmtBAN/nKIq0y5bHiG0xeEMwjNzUj8LW IlFrtGXUkRVjtYkeEeuvX9ZfBSr5BLS4nCH622FBZq6bC9iETXmNceEjeBN8S3T9J5WzqbJJNw3+ tW13SjtAPqri8eDc3k8SEshFExx5m6QQrU0SUqH/hLX8d7ceGx1zreXfpgkjrbCoLWwNGe0aHGJz zgHcmJJr6wDNgV8D/3iUpMFeR1tBzdpClWx8S4TXprKGIO3NIe1oIlQeoGflDr5k1PT/JfJPn6B1 V1JY05bG1lenBdqqmqQT83UvIGW0hzRJse4fZ2fS1oeDTRIAj7CWJg5pwVurZiojIwwgXGzl63+0 KIy8oljb3HymvEmnKQtXFpyZAkFtG49HC+l5bFPTbt6S9o5Cbe5RsMk8HzEVcomQ0O2PIiQMyalC HtIiKXgwFudorO8cnRAvwemKOqT5hO9oJwAcCd0//JnoWLhxK0vaOwq1m51EZfnAKQKrhg0DFt/x eMSv8QKSBIJhOHaFkfd7qA0vhP0FjBC63ab1/FHh6E7TWm4WsWO3GnbyF+UjOfiBBNz6BrnoLKN5 vgnQOFRrwTGFdBmZJn6xUep/h3ht+tadOe8+CIOElith++0sUzweHNq/xCMQokAdzecoxGsv+d4P k49sKbvoHyrG7hdRcEdqjqJQe4oxynK1hturHftcdEMi5EuSpue3wQ160l1gG2HV58a2Q68bzBHL a71LZasPd2QbK8+ptdE3Hv8XJ1KuUERFeRi5Q07kIEK7XvnINq0mifr8da2BVZjNUYgdkVNkEp09 fS82EPzgDM9iLYAPVJgsEyfhghtZnl8cMt118SUBC4k67YRu2mCwyA5OJL5vYx1F4JGveCTnaKty 6XMUYrWL6CPbXTVVoJBOe3FprcYevuYoxGpb8YhwLQ5OiYRd1l6V6hGIHQuVk4v4vxB6mN8yGzYM viO41Rbra4tpLVqPP9IMO6eaUk1wQjaeD47s9EsVkZJ446qQ71Dxb0p7ZLuczXSP8gID1G48pAHm jSYpxo4QfTRon8XBCX8Nzt8AJ6ENef6zSqoWe0YwyIBT46X74ybFdwTxUeZhPXhpc9BeY61T/BGc 2aF9zS/FH3TEVrmiSDvCjiSl/uOKkA4am8k5ol1zDaBLbIoi7AgFoesUTmteMXvq/SW4/bKCdNbR OY4Gy7XwtYBDHgQXjc0GPzy+7V/MWviW+iCcVgOGo6lwxsejSuR+hpCQZR7jXmuhBolu/vX1+V3u 83tSmerHEaD6IvztGDvCVbTVZdvzHtkdzSKERzgfT8JWOlsn4JP+qFLSrgUSZcTxHYHcf1F1hCib 4cB96DenKQ+kgZ0Wi2s/VSMR+Y9022s7rmjzNErX4zdlq9ASyWacSMjpDJuiOMrmDkvpDt5eO0dM gsoP0X7Q5mE8XllAQkq7HsiRPfW2UXVEOj+9+mAb7axNMWtrHZ8d4kZSf6wi1H7I9bQpimJsidgN FbEVA2SFkoD3m/kPJMmGJSI7wo1Mro2dmNhy8CnCATQHm7QrQVeV8VMFYg+S6ggC3FM7sVS7Q+kR Bo59SlZzuqxmAQeFAWsrc+DxSMTuxkbC1WIF3Zq/cDqvVTZatIzGI2gJ7GcFJJBXRCGp6iPJezyF UTartQCM+mAYpHJONUCREB6hfz1piXBOHr4tukoQzz2Xm1za17Y3DrijtNTq0tfqUOTI6nKURbgc 4Gx58gMi7OgvaiRF5ee8MxUK/mU1a+lwXAgjbc0ESDhpNIaEIoZLtaeoro0KBTLaeQfP2TpASFYh Afuf0smFErbnD8KctFSu5g+jbXxNhI0gVa/Iu7bY9XZCXfBtyAg5aSGfD3KSiyCZrEl2aCN8DTRT 6GiD1gq7/FZKMlAy623ZnFuAsq3uspFCtDZJEd092L2TVNcG0qw3KkifBB9BPVk2SHrOX4S4KJVy QSQyY01hgbsZOYKtbfzXpOzVdH5XchfIB+AHBDDS/PRChCTdyDfsBsU/2H2bfcnuEhIQgPLW7bk9 DCQBwb7iMxX7RdLeF3+0DTZT0DTtROtBITljzqjYsuhFhjWFQ+bbvS32xlEF1yiA1QcLvY1mjhB1 8Pl/0dvxHHybRs3fiYqCb6n/oO5vJImpNiUrb6llI0kM3OK2+aJC90iqAhCUzMGLAZBp2UmudlgG mfI/lclzgolV6d1ZgIWlG37NX5YbjQbIGlQygW8W6yfg4PD5iON+hcm4+Rphm9fhJkURuOlJENxI 9hZydR1T0KazGmXvyLaKjMmiEHzTmmTptFqpXj3pE/0BzZ0lcj/BQG4UcENpgAZsc+xbfkvbXjjC AhIoKSG3mq3yAFA41RfIBNDzgYttTo/gAICm2W78RFZNcEjRDpSqPeqcrGw+v2MkO6/QpUzDL74o DpfiyEyUuefgKwrSG2iFkqCMmneiDlBDG+P8GOd+ACjajvOd/Y3/8kw6Yfv2QdWTswmzmk1nsvn8 v4jciSvq/GbgS92ZChVKJJiUSra9Z/8IlFKjzwM9SgoYwHZIhWVvIksa6HU2OLd0nQCcdwMiy8ma E6hu4EvmDOQ6DLq8hFLuG0dcbi4pcQLqVShDfdg0Ac9DfD4SBXyK3cyKwvf8TlQUky9V40igEspk mpwU4MPLlQEW6uHmCRgJlYgWMAcnioNtPcDb9kYtEtqJ0FEELPAchvDPRHV+z6+y9Pb3DW496tw3 dHRs0II6P4SpcJxjnc8HO+8bSImvu6Emv7+hVMydJJuLMDVO1AkdvbKbJcTZyMJ1lfKcwvI3S7uw QfbhnuZgKaPZC6EyA07CZfu85RSKI8/LeavFXjgqOFEloeryq9uVOGEwIJLJYMgZGt9cRheVi0FZ GJABs4kKXSaXzNq3FbszFVKsdtmGewM03mJmoBjCTVi8XJsrioNnwvCrYFMfAgEL5QhiuyrY1Ixr dvGQsyT6befAZtIP8y4CHAflwoj7VS5IY/L5qHf5jM0nve8uQyCHRpONB0RmaVXZsMQU5eia3dF1 ASVh8xTG5onYJSH/OXjdqWZCKymkkKgfz9gUUTgleghdMt07OpbkP4wmpepCXAUHgeCAdmZA0lap fD6IzH/pKOFFaHzg0xQG5hTAnau0mxHXbBlxTwTp4JfuKPOwaJBDr8lVZQ/AtcLB6bh0HUdrHEaT fbbGhYeE8KejZo+f2Kep+Pv+RVxqssFpkwxq1sJPDk4U8/kRBp8PkuL9DDahGX1OiOtbFthNov9O rfI63KN8gFGkCl1ZVtqEyY9XenPoNwl62Ynz4Jpng5EpRiOQYlG2DFiTQdmFMdD5RXywaMEtTa7h 7/uHIOfiBrNBlSRk1ayOAF7G5//LpquNWJUbk4eOk9CeA15wJpum4qwBEE5duvysq3mnKWRTZtOc voOtpknp8sEyHXgimDkidLia8PMiCrXcRYpKOTSdXOyD971ke89wiKpTbS0Jtqax+fx/cePGLd5A Yfd5iqS5excb99pN5+a7bmTZvlBUqTJO7/qoP2t1izwmDj5P0L+hjCr0XSFnxf+kgDk2Eq/bB5Sp T3vh6HRiJXNL5H27odJJJM0xFTUdPh8p4T/nCT2omsp324WkShVYtsVM8MSpDvhK5Rq7Z2CNFWeG xpPCejcZ4nLwbuY5bc/FS+PJcyuhmowcD/rvPwsRcQGk2St2qkSFzpOI+3Eu1eqDIQcgMyyJLq76 KCDf+XdxHJIK6bueQg0T4mRBufNcOHfnwzVhB4B9gNaTz1PkhSPVoFEIHuTgyLhz5yc6LYIWlwY7 u7vQFWGBV55LcSncAsCFviU6xomN2+ohbO8hrNWIQ8APkyqfj5gD9TlPmzDLb1AQlshBTTrfYqEl ABDbiyt7Ww/45C27eRkqMKCUizohKMMHL9hNCMolZXgAugA1uKHmyFgYLRZ4bTlcp/sbR/5TtDCX VwcHg1k0MscxZ63w+f+St0CbFa3WO1Eh8HvSXiElR1rmZn6UOMinKeKejdmbT1QYjkvEZNTigy8o 2DNSOZYy3VBVbpLEhbYywrJJaTzjM0174agpxRM8y8wku5kJdBmmEpdzCfL5IHEpT/5gphL0usrB OXSipIo/FF8dI3d+UTuhRq+r2opixUhVqNCKcm3hLNne5ODsuHNobBN9SYOQUvbJN9ReaQa98rcx 5e8bXHgSe21kNROS7hrmjZZH5wpdmc9HIoL3IOdhRAHD7wkVulFKjXI1djAZZ8q8LFPSRAbU+BtW vfMUQ8A1T4JelK9Y9wQEDBecnBZW5dIrCyqPCxVBIIxc1kx+3aEbpcWZm2IUHLTvzosPYiagLcfn g6JmebpRwmYLwmR3miJkCitlaPk6nDCzMsiiZs+goLD3kne+0xSG48Q2nwXTfPB9d64EmBBWHVCd GA+oUSUWNdGLRghiB1Suyd44Su8YQVX1qkqvP4KkdLS8ZNu51+YHRBH500cQwvdrrev/HlhSolhH FpgwPLh86K1lGy/ZpNVGiSmbqRAMzpU0msq/7VH+HefV8QRCA4A7wLegHE5mNwMRUHrcedve+C9o QU2qaqZmW++cg3tscQSzXvNfYsIMJCCTlut350UxuQSXO5Uv5JRDQCM1ThuzUqoJJwhdKCYPbCmv EKxa5+vbOk8pU+h/S+SUPTjidzMMhQe1F+H/Y2VyyuTn2Jcys3k+WYMyS4kfAQwA7UexpK3JDwj2 3szPMwrRQf8KU+XQmxKUSLQRzPUVNIJiM3VWdnXF3LGLl1ZCc0ptvilJGA6OCj8zPejbCn7B+aqK Kn5NZKiw0LCuYQ7xsvySv3SXpgy85jXwgrgawxqIcjQ+Hy2oZ1COE3qAiufTFAXlLFVXyD1omqAI YL6LY+2anKiCDNmmKRQW7JLuGtsHT17G3K1tSubMc2vPYopogNND0RAEWVdeWtPeNwBjCIDbyOPl oFjzXHoSxDrTtPn8v5yFlBsihLqssBzaU44kdfPsEtWpm1011OD29EJddr38HPhTAh1G2alF6BeH i6CDfAPs7c40oZez2ByGitXPZJKt86lx39k8haAVInpP0tI1ZOrfAFhbEs/Efv4EPh/Bnq8hHKYY rSIEE3eioqAcEQxjzYuik74Ak+GekuNWlvud5cChEj1XyS/UOzgcs6YTjgHSh4pmpfcp4U/g0yAr IJROIZQU4XLoUFnZShhAE9igXBiXUZOOLnrogUUlmja/dfPOZl/1254KTSqJ44TfqS+osWezUl1r 1a3zeppeNAhcKt31vKulwMGTlwRzgUl/wU28IbyICgWQJo3hCIKass5VYzj0qRTz6Sxw2VQ0X1Dn nNNqODFC5/NREeqXee55k/loJYROlewnn3mq32N8OLO3udH3mSeqYXDjxVaVSygf5nocbD3lAd8F yNUScnZiWZZhGtY7iHaQh7v9c6LEc+hVqcrv/8mFzyVaZFypAnlgVvkrJGcDHT9V+7aFQ7tKqr7V /LU+L+UKxPPoNdNTVARsnqKQfCkwWK37YIHBOmd66YU1zT1RBGdZL28ItACZmaF+d4EG3V44ABqw OQUWjw/aeCfwI7oU3jCbz//LR0//MQD146Z4sWUlY/IkfmHTlDl7xRQsaOudXCEuh56VEqxqm+1z DrbvylmiOO3YmsKJu4kUY+kJgnTn4/LVPytdvanQtpLSt2hKqUWF2jVp9Of/GpGLP9Bb4QdEioy/ bHTOTK/5mKm/+ZmJlVNpoCwrIMCR+RpXAFXhMxXG5GoLCxfdumcvSPIggUKsL6w9paKA0hSCt4SF mNVOqI8GemBeec5UYqLHqgo5cVuJozEpJoaZOqEcPyDafPNxRjWkw+VxRsVBOa1iKRYglE9zPNS5 jbJJoQ2qKaq+UkPo+FbaMpcPjoc6+W+C6DnNq5FTApRQutS+IQ6fm6d56DvYC0fAsfkslIM7aiSf AeWeH6qhTX7Af2GzAgU7IG3jExVjV2hatVN37Ap3jRJirEnDrhRXIMihi+WgfSXq6z44NnojKeYh NYj06KzYtc7NB+WM3Mdt5KEXqjeObj2pD7bkgyoHu60lc6aO0mZgZIlr/hkebPCOAQf0iYrVvkVA mM77yZKQZ8VuTrcegs6yr6gIvNKoYDm2Qu6dboGlnCMqs1dAaYue0MSGlhUOdVYAabXmpJZuLxxV WBQW0IQA8pVm05jhiyJ9vcQVFQLJ70TxP0b0WtN364W1cvZezvca+QdFVdcfTkTkcqKgbuITFdtZ ko1YiHLlYBMFZ7bOYgi8Q9YC4uSHmS9Ev8B9o+GO16L2sjcO9h4zlzZIceFgTYXU1aQ6N1Ln8//K h/l6UFvZ5Xuah8XywXR4VMcBTwZ83HpDvKBNhZRVfaLCuHypmyDbhuK2FmfrwUkJlFbWorBfAOuk VBcmCu1EYKIcDtX9jSPJxv7zf73jQeIfg9FC22vx+SDgLE+aNKk2q18F+Rx6WlJXH2aHjgNGYGtK ewlRcDZji+qI6dDUsjMvOff+9kFLComGlcgbdKTO8QWqB8Bi2HZQFz+5pMt/liyEXeBqKUL4mGRU 26Br78xAl4/sCWr5fBAg/C+KKxgK/ZYOQltLmh6eLHJuZ3FM89gtI2lJMdXjWcIAIfC1hFwGbGTo vGSDlhSqjidKANwebfRWTQJ0IsGHJs95yWUpcaalIL/kr2kaiOo5JJUAOhaXaptEGwTGlpKA+FaB ERg8jBtyaGwpud20tpfsUBFRpncuouRMjsVKDNdT6GzJggCad80HQxskONJhw5EQdP4H6G9smCCe 4wElz4yk3zK9kf2FI8VdysnhDsJA1T7mMNCvZaDQod2cQ3NLagx+cxiE8x0cWZ+pKDavhLbOdYub g0mEyGW13JyYgnWaqVg1RZ47dflgZxRl6vqWUerJ1FNhca9Qza3Q/Gpf1Fgq9r4RDHGLUs7+MOJ9 pR3zJNq0nsLcL35A0M9rfulJrAf1OgArfKKi0BzMLOqlOBBxSJMQoXn1iicALE4xy7HHJfaTKLM2 OGxsQPcbawxJsUzTiTzHFQ3xvU4a3vw6FOXA4lKOrPDiJmqMg5HM8iBBl3ECn4/gdU8AC07G0a/T dQ5MLsF9IQR4zWntvHQtigZsG63vOXmF886LXS4Jle6NZs4cHHBQ9lmlyDzY96yMMzeCjwmDk/Pe KJ25DI8a6aHN5ZTNZRFHqDgwY8B3pUlbZ1Y+Hwnx+DxJaP9cOFzTPlEhqxNszfM1xnfFPybvpE+v S50/LS2nvgRWlzIX2WhQVB9sotJGWTxPNqrQAiYjvZSJaIIdsDbWF8Gy7YUDMlWjHGhmE4ZGrGQj 1bNlhzS2T+jR+AFRGdhniuQPtF3bt08V+F3iyqOsQ71SfI325cz04AtnmV5K5a6oKC4X5GBU0mA5 3AZxOqf1pvgF8KfsigEqjeQpga6HA+1uPSrN5cDzUsk/9QoYdRKfx4DgLOFBUF/PKB6Eppfllzi4 pFzTFQnJoe3lJKh8Svp6iDNsS8oAtpSboWStLakIxFKnJNSp7mBkOanNIedNsPwBeAz0RfayCvKW 0dh7THeexBAKjC9Vj4WGSqs+6IjK0PrTfQ+CUOB8CazPr2gTZPI1rtnc5zWG6ggOSE3o+Xpf9ekq qk0HF/IXiN5pQb1GUB2IKEk7siLHwQHlC1kwjvkG2Hdp8lnDz/9DoW1QyC+NChHUa/zUkaIJ5kNv Rw6aImiDNFMJw9MvE9SBatKO6z/ma/QzAR/pSiyj6aGfA5FzJshTR/OspVDomdDlfisrwfRIRWUW WacUF73mbtsDUk84v8+fkkiKKQtEI7gh/Jytsd3OYelYep2fZIygOXiIc9DpTcEoORUsPP02P6C5 LJsfwXvxKbR15AS9hkznKegM0UrAqXhde4uYunaNChIK0Zyg14AJ62fQf7f15INtMnTWFqcddPyJ PgWVaOhckCnwtDyynE3v+r5+iuTljE49ioGi0cyWtzbc6z6vsVL/ql4Z1wNlizl8/bwGSlg/VLvG 1fPjnikueQmn7eq4cagucnpew6Se/5+Ed5HE+eBhUiY0ZcnBuVboj6Mmvrr+WIjw3unBLv+8BknY Xl1ajmwgwFz0p5goaD6XGfOTs84/rzFS/ypdG8lj8tioNj+vEdJ5aFE5bUoMhCWnPLxrcG4Lnx94 MJutbLB8BoNDmBr4YHXLBVgCKwBttA6rwrUvNxhIb2zfu8H44Oc1POrwJ9BdRp4UB5VO8CPQzpMt 8tfgCE/X4QeQVJ9oArVthl5Dow4tkaWcxB2uVzI3h3MC5assOy9D4zUw6te8SQie+UDwnJUCsBMP aLDVU5EZKMpfOWGblZavVgEdkj6vcRF+T4pdwrHUBwM6gRHMCKmv8nkNivrXdEdbDIXDWVhawle+ hkQ419nwHcvld1C1Nl25WYWz6AIQGMX1NSDCBHUBUcYdnI2Y2j5RXidiLqHvyzYmpB5/FjGdzNls jzFne42GsMfILV/ySl8o6WXVRxC6U6P67Jn+eQ2G+jcY0h7jLKNPrgl6jYS6OTdAbcwt0pLibJqA +L+FsF0yOO9rHIQjekqXqA0fbILQtoaCPGUbQEUdzEEaRcAASPopwPV6bE1W+WscxGUqgXT6NnIw JtQsjbSodiKpz2sUxFtkPy75E20AoNpsgl5Lk7j5Ju319nKeQRI8leQe+HXZIc0KPtL+18Ikdnhj 6ZYsVQ0XJId6TyXmEhmHvHZO/DDot1tptOfeFoWk589rWRJ/IsMftlg4DNFSQU0b5DWcRLl8XouS XT403xWE4hVRHpqg14okVm2Rosxyvgo4oXYGnRl30R1oR5vQRRQFTaEI9/bBoSco/FrOce5IaO2Q jiwU4Wo0q/cwsVBD5PNajeTbFqEshwa7sLHupPBLUOprLZLXWH1MUAayAxrJHidGcfSQp9X8iu58 dYkqoxlpgUjdgYzDaI629JrHHbxyBJDo3PK0PCcqJTM28NNQJKDNMfgxv1TS33l02GYMEreEdznw oG69odWALzzX2+edRsejwINpsndAMu7ybBJDJpglisVUFQCZbUA70sKhSZaiVAlny87oCVcSGSri F3DwsygBIghLBZDsT6yI1wLjlM6feAGrbFfpEg+9b7SUWILMNLbqYiqxBQA6lXC7lY8HIXVqj/sM eQ7Mu3yS3kNqdHOplUZSlhACM7s4URUInDHRWF5ci2LqreqjNIrW/F75NSEPQzZB04ZzxxNDgJ2G 5dB/oGntIdEQZDeYot602xQa7dslOS9tHN+6+XgQFM3nlQ/g0szJo8Z3Ah0mthmpN9sUdafvnLRl LQflJNpPCxoQHNm9SUtWbdy+bncS04BqF3fbuexpMQpV4o5+M4KiQksCu9KSXjdIzGRD1FlWW93L aucv7SxuwSoF/ZHoSJrjMUeE6Nfll9o7e65Ltxupa/c52jXbXpuAddkcwfbPa7TRHNHKshJso8Gq /ifa221BZRkl2gQChpri+HvRx5/l2xyZKj2G5xF22k6W4mPpCVd5ovbNMxAO7J936hx36n4eSEwj hriYnKUovO5E6VaK3QlkUoY3uqcEnXEi0TVXCf57fI0MTbZWrfngVSJI7dOHDKilfnK1xd7IAtIW /D6yYezYJuf6886cQwDIAwlL0wfOEnrcO4knXsrnnTiHZCL/utzQxJooDdgkvYfYyFySiJi3yS0o Mw3Sa+2OBriC+++8uS7BfzaHig8OgTuHRT5/EG3kYJCWmOVnJNBstiFsdZfdLN2BKMhWZtUH0zQO Wkons2U0BxXQ/XlnzWEpWVOki2yBrBiq9zZHUZQtwV1T3aMqcb6ICZ6rmqMm86/ET4rmiMd12new U5u4FPa0eSQ1ececo2OBJgFXN9qjGpF+2uu+zxFrRQvQSQ1GVd0J1uRiPnc+HoSRv4+kjDCyD5+j 90AbJTiW0ITNJbFwu8ku3ISFm+AcFqvJRpH2wM0GVe472Dpq52xrK1O+4nziOaFYOOkoGnVkXyhE 3a6Rve37FMEWELER6yEcVC6CM6rgSh0CO1GkvU1TzvYaagc8yWyOolgb9yn0Xs3RIkPf1+cILtQ2 R2lcJkocbJMT3todvKdNZC5wpbz999ikRbeGX3BDhSat/q3vT71uNEmcnaU40l2zC8yyJI1TCZKI om3hq75XGxqR0/faO00O1z9KuWUz2LCMdl7PpqtqhbqPYUneWXI3IWESb4Pn/NBK3SrLZtiBUwCE JtRnqfM3GtcZnVzPzztLjmGY7NFoI8/BUtqzxwgChh3C550k17+qxF2mlhC33tWv/3eKHGZ2S/X7 oifPH+S8ikIbOLbUFh2Lcf2/M+R4/U9xLasPF959XmRPM7VESW1RJZ0C7eCLo/Cz74HE4uM7RY6B IMWHuhiqQKAUZSQny+mEgHRIoLxT5Lqpwt9ZmpnGxnclReVr2HBS9qs4ultwU6G7byDZlsO33gly 3d0IZ6NmBwfn6ABiCnda5f6gIBFiijY5QEEDYtyXOr+0lN6jbYRJ+amog6CElzacp7qEGU9g8Xmn x3GW07NIC7YsIHw2S2G4PU2kwitIsFe2Else7pE2yvVIeyfH4fuJAlww9LDBKRXnNz9HGsPtAngp FS3OCxbGKdAdQCXUBSsof/LOjWOjiLcaGU0arFF08mOW9eq5Gj7v1LhflWy5f54lVW9u+86MY+mJ 9evdvQKQ8yUy1TU8kky0kuCGi2rZ8qqesPaw4ZaRzgnUCEY6uc0ENB7Q0sX2MX6dE2X77WbOTe+8 OPwSS8boavX3KwfakGKqmVbX550Vhwt4+xxtO5R4a9scRdG2Km2tFnclSNQJogz4oHKWUltc2pqj qJptLMuhStL4VpIoMbQ3S22w3YP4EMGSlPxFvEOxy3tyL71vECUJJJnI0eEgYNuqU8UruNd83ilx iNb7s+WIOixYGD5JUbQ9CynjNfsknaDvesnNq9+cAejSJL1H24glpG0tC/DhxJx2wqMOe3ROUgZ0 KElfD5VHqAoAj90f7t9L7xsUJIfwbILeuqkfCv27Cyc51v68E+K6qXLc3QZLkD73naQw3KZB6hzN J2lRNOF/03LGOZXsSHoPt89vPAlAQunBBstuUXBOA0AuIGsSKIoskoBUfmJk9M2xiCxvK0OvG8wR ke6j0tl6kOfOiz9tpCWs2p41/Hlnw7Fw1p8rCc3QWe7tFhW2W1dGuy+DQlw4OqWMZNutkyCulfQe b6PojNw/Uy1Vg00SDAlR6GdvZMMilfdgpZcndTVmvhTUQWjyOxXOUihMkixSq1MrJ1T6ZHG6M98x KpPsZyxJc4ub277z4LqbNp+F6pg/RcpyJtjp8paAddMcvcfbpnVyLnuc3hos3s47Eb5tOtcV6CwG CSBFYsHL11rKFITavpPgmFQwta3sZHNQix8MV5F09xqfdw4cHh/PHja6Vn0uT9veKXBYRoRum+EO hdNr9gMpkbctwx2xJhI/6Y/UtmFf+eBTBKwJyLeskZzPkohqB4F/wIQIArUu6oUA//NOgGOPTLUR yjdzsLRtgSePrzvL6fPOf+Plm39ltvuHpuo2RSFOhJf/ysaMoGXKusW2lr3YtrrFke/kN04RSiMd N48NmqIT7MF9h5qo/WyOzp48TqwT1je6iyOLr90IOEWvGyEhJDjMTgkHq5DsssUqaZOPBzstPXda oTp5unMUxdqtbqWz3mYbVISU6lnp3kUq3a0b3olv39MIUYcNfhqxeQTcAO3Roa1MWAAcGzrgQ3hz P7Hb0NsGO41YUfRufFBiC+e6pqu/lM87561LgftRj0QnAFGuz1EUaTcagNFHwdnLS/sLWRtjpUKl HHKVOj/pr0rbObWWD36tnYCdBTZpBmSw2JH9Lyp5gdQIgbfrIJ/0ulGzlj7EMBTBAEEUntMnVlqs 50AkpXze+W79C1pz/VSUSNpdSFGkDSY2Iu3sHiAKXwloX3s5oB3FM1tIUWUbKjige+7lg51HmGHE idhsQJMsaKxhraF612BjdU9sUIX4stEUDQoFEN7HQcfRxsmvU3iUzzvRrX9ZpkprK7ZavqCsd54b QzKltVeucq7bjRyb57jB1qw/8k5zY8IoTQUUSDQ44j+dUygNwbNRvx0iV2bAx3GF5vK9+CdzkXeS G29OUrs7O9scdByd/FAGF6h9ft45brwUnz1tGO22XS4yKwqzO9kjUwePxL8dcwwsvRVsBwmOOrLD ojarkCdUbD44sqbAwOo8Qq899AozsX2VGvLwLiqleNvfMMfvDDfLSrGCxO9uXo7coFTxAK8LP+R7 mG3p6ffqz2i07Xv1R2E2PQfPneDM0gKDTseO1O5K+0C/2iT9XdXGtuLA1uDkmZhPOEy7DBQAkJFR w3rhtFso5SO68cRfaON3btuFsDUq3U0kzMYCPBO0Ze8HydDPO7etC//+yPzXtf/kJP0ZZkNE18Js 8BrXzfxNvbLiILHS/zuzrUufbZNC6oOj/BbgEWCsgdkGMgQhSJMRNoqVgDFeoW/wlvktURGJOb/M GzugxqwNV1geDn7nOHvh805sYxHn2UWSLsN3v0WBNkpXuVmlVvttuP/nrEaHr/Ck9RApKmxP6cU3 dbfbg1sD5cAEuVZAjwpqYo0oEsAJoNJBw5rr3TTsfYMuksFE6Sg7xvYuUkJjgXf6+YzPO6cNL1nS M47Erq3JgTbvlDbe/8xGZNJAe2IsaXH/8qpuAArjDonrvzPauN+ogsMWkQavRxIFQf5ah4Vc7zqU Bu5CVLZLnnclMYr9vDPaiBlmrE3+NQYzSQUYKVFAqJ+f+fNOaGOn7Vn9z6lgv30nKUSRVAZJpByL 0IYqqoxSaGhHgmSbHakiVtI7n407gSiSNO/grbazGTqAKDyUzm/OtBYkN3SQUPsCcdxR65Sgeqez 8UsIhswkQtS8bZIKqS1kQJyb4vNOZmN9Yj1jJDq1TS/ZvlPZsJAWBc1YW/gfkd7JvN1qkUjbrJ60 AmIQCCTfmWwWtuOoJ9+dw620nRhgoQyB3UZENkq2CQLG5/KHKjzS1Zu12fv+eXJ3BpQcBBxt7DOo 0tY/70Q2Vt+fu23twh6vT9J7tK3GTK61TReETcnNrWep1QU8CV1lEemdxcYQQDgk6Qv3r75w6a3D b0fwfsiv0vMDmHxAI4E8QjXAQoBB/sM7jY342KFkpPggrM3KYNbjC89rf95ZbP+nro05Pp9xEdpx XZtmzb1e0wGH9Z/obLTiqa02Hn6ksK49mfbTnEmDx5IF+R8A+ehpl0SD+xNLIpk9/xaKCZAQVGqr Csk7hY2p7WD1SGZp1aW5z6d31fZOcN8+7ww2LKT9q/aPUPtEJz5HUV2baneo094wqbkKwNQZyuY/ CtK6297pa94gabTmscGxNnmstob8iBI4zaQCVhbwz00HTRUPt6HJyu8IbjbJlAxCbjhoihY+R7Xb PT7v1DVmxn79M7Y6V1VPySPJd+Yae/9s1LJKpdL/1SgZAk2zH4l4xKYoxpCwwAa6nA2e2QKDROwg LEnPHwGBWuBMIXjRz1sjSvVgW6soqml3FrPR3PJBdbazTJsVSFr9vJPW+tdGXo+xaFf3vdeiYJsq 7xU5rBf+Z3F0pLIiliIrBDy008JgGy1bAPy6D5bXTkjps+lI8fyT5xYGUSzgtpO/A6bkTTZcwJ93 whpzd6jBJ7ZINGiK4L8uy7025+edr9bN9OVuNOB8O1J3m6Iw1N4qrl2oNkDDSv0ng2FPbIlE7vyk YKNBarnRydAGW0XngFnyDkZ8NM406OYDPb7DPbFzozWV2ZLeNrj5ifubnfBRDobWgs8FU7aTOOPx IIT8dV7DpgIK/z5FYZwNZHHdu/gqQtvTsJFQxHUkMpBKWkVRQZtNoTMRNLBLj2btQrWoS09xZwSj 7OfKEJRG8qDGeUdbOy0EkDAsAk7HBy0jIEiMJ1MGHg/a/nU+5gj0HVKHjVMThdnQ2cJh5D4VZWfD bWH98jBCBQl3kZFq3sNsNGYwOaD1+2A7DSQkRO8q+oMqynbumY3zdhI6Xh5ly1egR1F28r5I9UGl yAKFGh1Gm48HXcjtUTbWOCl97Us7ioJsNngBlfYim2rKUo1Q74jmCyXbMuoRfmTwoG7Q/bLBKton sCrQRWqaopyB54WuBEJrIDgyQDee+VNco0cV7Sr1UhkzcdBW64AhSzT5ZCI9CrLTL+YRQu66vsvo 74o2jIA8paWBIEOjTlM+pbTDE/8eVbRZrYXg3PbBW/6I7faSrDl+ZxB2IJKESkWmmiudy6xcS/RI j4JsIdroMIIBhAoTP0Apj8n8bAXPB0ikX/SjPLln011KIQmS+VqnzwMhbSQnCtLWp/0jgKvsH3V+ UpCv0fpzwQjQhgtoL6if0Tj2/MOgjQ7gI8BO5Q7U0GrNS7abWW2PwmzJamwceByG2hwLGacIJK13 PB4c26k8ZgnmbEC2+iRFTMghS2J5oQ+GkE1i1KXPq7hFpKxykR7DR1REAjtEgx3bExpILEHjZoPV F0VKwGYAOQFeJ/UGSItXW4/K2ouRkZ9JkLRh3+f8tGedkgRwIp6J54NJGr+u/wmear+TFMbZyDHQ CfE2WyqGiESxZ/c7Sa6P30M25GA9H7x3GxxACsPqIs1SIGuhX8qu/1lQizoVsKDyQ4nirv090E6W r7Uq2fdClUouidRRkUcFtNSN5/+136Tt3aA+7WFkDwvbStgoXvg/ycUmWUMa9DnPwo8g/tZ2iyNt Iv+A3LPBUv8zPUDYw6AGDn2VCQgtK89HZRan0GW4VKSp942ObtYhN2WR+qJtotYSYBcUxIX6Dj4g ArV5nMQpTSy1ec7W36Ntl3zvDLLEXKe0+JkbOMuy6I9QktLqlWspirY3ySMTN5YNDiIFbqBXKb4D bQ9brX1yCIhtbZzdhUBbeXdQhrNHpe1KOtuavOc4CK8F5IJy/3MF4vGIWvvcbyi/Q43X5ygCbPeu Sttyr88JyIGW0oDXBsuRYEYmlSN7BCDp5Dwgp9fAlyfwf6+zQLuokQnRJMv/XZptDTQtbbjpVaSK b4kiJfK1RB7tTh6lhihBMHRBwuPBQlrPKlJGZbyvi2rrYcTdGE0qiSWVdKzitbae7X5r0Ge3WYoq 23LGQxjsg7PaeptFYkgoZBRyq+DbDIBcRj2wUl5NBUlCMvEtUXLLDdeEJWnJKbatjc5iAAQq8Hiw lNr8NUu0FcoeLI0o5pZnx1mwXv+vY2U7u4eg3I2SAY6PHFFpe/Jmg0S2D566FbSzAfnA2Y0KLpGU gw5/hUI30zkk0uUeodZII0MbWEsbrPp/LstklmcZj0dEbT+SyDdB8xcqXDZFUcytluTKy/kRi1r/ DJSAizDs/+rFWpIjLGxLY7pQC4KDXW+AbE9JKMNPGZyYSi57gm44smUAYFx/DCkfvuRPwYhJ2b85 6dnBSTqfl1jBHchvR1jZXs+FhJxi9luPHDFkm4YBpbriyJ5ElKBROOguTcURejFpkqLKNukgjQYA HPgW7P4D5k88dTtxNtgQAgcCAwZBaqyD6xcAKDa+I0CQNjkMVsm4MlvlOjovLU2N8394PCokPUU1 UOtq5ZLaRowiIUCCTVaDIqN0qYZkSV+YbfatFgXchrTp0kUUnHMIaUObN8qOQGWcfi+7woHpp+Mv IxXJ7n+Q+vAdUX9EKKR2B4P9Af0lrZ6x8fh/EY2Ak1+X7hGnKAq3O4H/uH3sNMqyYKQwixy9RI9w JvKIwm0I2J61Qa6tBg+3watq0ImjYvLYhJhWWIee0wAHN7QOjGU7SWkbUVUbtg7nuESr7gxU6dwq SCKb469yMgc8H62jJ6oN5nEPuvYIw23y7sDHtK12wg6HSFQVT5if46LSVovC7UUOyaa6jwa72M51 fxYRxUdQUILmIcmRKD9QGHpeofJMG1N8R7DVtgwCavfBLv9ERDMFSScejxDtfvmTQILclu4cmqIQ rD3YpUXRy/SPqFfPIxuqqn4awV6dGcmIwNqVlaRMbKgGu9UKCiUVXq3QSc4QIWVHDnz5jiAMWf+F ale97R8n9smJcWJjKFISnYCpsJLEzHaEgfa6CjaKGLD7+11GUV1bgrYpm2MunNCTUyMTFYNF10p3 GUWR9qRIFNReNOAbaZEA5nFqPRt+tKBdgjkCka2TMkUwkuYI1w6+I4iOqLi91AFY3ZWkeR5NSrSg wojn/9VlI40S5T6g6GyOokh7dGVq2dFIaPH4HNXh6T9+NJujMNLejCFZvNXwTdrKQm+VRCSEGfSf QkD5s1DMrWgA+F5jCDnCQJsydSVTrKWQxJ9E15pIbPCPZ+oWPiCqbd9QWzoKoOr0GyGFoTahf0Pw LJ1IzXy68Kv7iVQLpwnbLSxuK6mtxCNx8FISIEircrsBo9KAiGW55Ow6ynjPr37E1rEdFreb3G9M xB0ROWNHCGlN0q3ONVrwfFQl8Q3HI36DZnvLkjOsbtcqSQTL2s6tnBxEAuFFq7dlhOIsAMxQ1I81 2xNKVR+sul3OR2aiSuTEsWYl0BaYjIEmjSDt40uznSGGhHHjHowCOOjYzsA1KbEtFY8HU/QLQ7JQ Y5k3sZ1RpG2SP40KfjqdyIPM7hKUtd1QumTKNsPqNtuwW+JRHG4h6fznG45JjVkgLiLi2jqh2igd F5a3DWi79L4RW0tOlISScNAkodSdNEm94PF/idpQbRQx6VnFPklRpJ3IsenfGKlkb9ki8vOudgIv RsJRMTmSYjatFR8uzbbDjoPl7QKIFh2aofSEvBZAZEgBXaANxRFmXN7GbjurdPugEslZhzwwwEWu ePy/CNiBYzOhn26TFMXaxDABw+hEJPqRew9gm8wGogKfpLC4rVstqzGZ6y1JVvpSosYCmY118hMK kkJ544ea/a1cuvbqS28bHUjsjyQBttKdogW1RhkBloXHI/6IFyTZmQPUAvREm6Io1q68/400AkB8 gYisoSPEH5E9yTB0xAxV/lgYAQzUB0fanuAPOBt5SQwYkBJEitBooUKQUSlxFWQ6Tc2QGUm6Np0K bFDqP5CPSxqpVzwedCT7s/wPtZZBbW/NURhqixnZiktF176M9j9WMhuOc5Cf9F0X24wQJKy0tfNr bh98GYESMWBEjVA7t8rE9xzlUBqFxTIgwh4j0X1yRqE22V0IJYYPuvzPxUlUJaQxBx7/p+gPq7to k5dLQ54RhETuuGldGjJwQx4jbUdsQ/HLqv8zKmwvlmq39JH2I2c7mXMfFKVCOJqcOwn30R/JbYyv yzkucHxHVIskUFtWwquwnObFEYKtehl8xX9l/uLYTIDa71YLaZFD53S7R3bPhtcePbsyAuwnDWQ7 Q7E/LSNUC2ywKUK6d9L9udmRRB0GQcKZItBAEfr1PX5+iZDNqKaNmiylRpMPjvtvBMGcj9l8PJii NB+3GoLXPi4OeUYQEjFH17ykSMowGp5NUAk2CpFwaYqiSHuwKgLrGh+8yAb/47VkHTzhG4G6LkBh +WcVIGrQW7wl7aTXDcBaBI+sofL/oEMBM/9z+g4F2jVXPB9MUl+P40hh7W3ZzpAVScpfJg5Tt9p0 VuTsotjgVsNlblstViFhCAkGlg2W1kJx9GzVvK37X8RWbuiRUEkCceudpFX0un8hJChJaYMQbawO K7/YfMcozN6PMBsEkvEl/K8ozKazbdn7hkeV8E2GR103XJclp6mQrAhEMmh4t2lcqsH3GrrmxbSP GyL6TqWWhLeDZnArDiIxvv8KC9oyLB1SHh0GsEVySdVAYVbweCT4s55HNnduGZ6KrLCiTYRELlec tZFJxzkactToUiEZNkdhnG3qrHX44JttoFi0Nxf2uX22uicIuFEHgo/TvlikZW/7V0G7Q+INnUjE 3FJeP+ffIJL0rPiC5yMRMg+zcVoie6EZuc1RKKFdmcjiBnYUyZeIJLYkNUjQWNQchSgSlqkzAWsY uPK51waq2eDU4kBaoyGVRNpbKPR4YqSSv9HRCcbxHVGVTbxa4ms5CIyUT1BKGtE5+fj4f8EgV+gh gRRlUxQF2TJ1VXeK0dEgmI5pf+npW/MvVmVbIVC767Cu2QePIEF5peo17N7ruUhZGahgHPWNrda/ Nz8hbSsuaAs/QvQfXYEliAE0mDpJfUCiNQSQ/JojlgIHCCQ2SVGYTUiFO9rRZJJON2hDwjRdFTcc 5NlUWlZMi2QyK4NuDk6wKfhzwPUFoa0aYuuE2ROejoX+DPOLspl63aDOJv9NSYd0sHiqgkikO7z9 UVnA8/8KkLBqgLGd7dbZVhRnU+kMlktei+zTc5ExtrkggGCFVJyTFCqQyPUW+YENXtLOA+E1yKyj UbEVyklwsKMuIbR9Rr/um4mK4yuCkCyZkInU3hzMc/Ztt98F/SA8HonWe90fE4pC/kgXi7RCrHaS yE/K3mKj9LImaZpiCxRI7nYLA22WhVbZywc7tdFmzGSfQMsOHgiTCiSbKEloLADMZRUkInvwJcEc 8UgCpEaDemznR4TCKRdSSg2PB1fbb2F/LKRvUruiSLtIy67v8ePOEIwdN91Js0EjBkTRbI5CsLZs 3eHnZYMvpNXIz+McAW5TJfhLknZqm+Ia4+JHkDfhW/7S14IXh4ZkPiwwGiTAcaDMtqJYe11QG0Ok 8yJAxvkkhXBtHEmVKAULkXrejmhf2cNIcs/ZZVthVZv00Zm522b+7rZ1LrZKmWaokGCaKqvasC7N CWZRaOhZt7ZQiBHfEgi10qGNzkA2aLcBNkV4IyASeDzq+j/LbK0qAfRJCmvaVI7sXw2yzEuCCiQL QYiJIqOeq0kKY+1uAu3NByv97xNgJbqHwpP0fGGWSRRIWpuLFT+GB0nURF6hvDZLSF3WR90l8yq6 MdQqgNJ2xeNBALCfJW22ZuYtae8o1h5VkMjh+UjZV18jp68ECZTBpUAey2uzBjkIROLgEJsM1Hrl QkobL0VdBBgg/UycnChxu2trsbeNcjYdSOSzWwbC9iOEQEm1PZEqHg+qbNVvNhJsUEHqydORHbIi ia/hVWyhdndPtsVUSmEkFdA0RaEECWsesLnz4U4Rkg4UcyH4d263RVFEVms3jtqSLuqvJMr87xCw XUUdJcuWg+UVoKGIy3bS2v0eakMU8ZcEyZlwKKv5HIWA7c5QuyWrIJ0QuTowYrp5JITshklr7TjU ZumoCNZWls8ReI+F/FECbKA6A7m/Sh+BgR5vForEXG2HXjeYo2EU5OGDcrax5za/sb4/OyRF/lLX ANsanWSfo5AUCRBQPTGMH0ddmQkFkWZvDh6ZzdfRn+CRk89S84+DrSPA+6AUxq0Gu1FRkIm4WZ2i UV8gMnuu+JJIXFOe2zyVOOjIbuCU8xjeWEcReqSlZ/sob5Yu714LwdpM2XKa1ckR+/JH6twurJXw eZqjmBPJMi1NIDVYgLQTAKlI/8BAnhDml74G9FEmcWzfMhvqQPiOP1VaTBiJSARe2PP8JIuJ9Mhz 4/kIp/VLWAtx+7j64zuKtNEtpMKvW/tMVHakQdJ3M2GtAWCMzVEUaW/i/c6VdQcn/MG3ie0kVLSh Y0ZVwAZGBDUBR79sNjSX+bZRQ5vVgiQJkuQSJCfvAo6dpzeO7NC5Jj+PbKDHF7wmbIpCXe1Cv5o9 vRQ5afUmv1E21EXVAuVQUxQq/S0Rj2fxwcMjRM+5UcgGMfbILH3jjv7p8PuEP4ax2Sj9hO+IhLVY QZrM/DkIp9WRHwwVzvj4vyBI3JUZ1dpxV1EoQCKPXxKrxNTapkJOA61ip9Eo2QSRdowdIaUWuDQb bBVBhPJstsz2GsR+M0/sDj+YtvDP7bofUdMb3xHEj2IhydfezuhEJ7RSii5+bLR/q2pzxQAQly75 eIcF7U7PmppdMypRF46aUVnhAG2QBzvcnZ/0pzr7/A5f1Og6G69zo2XIFmd5sOHfIKNdD+TInnrb 4DCSTKQcooY7RJ07LUPkh+FqHXg8qI38QvuB2LCwLm2KYlFtWrKs2vws6gbxK3CpGrbROsrM2mgR bGSKEzklYD8fG+0cRZl1SWCPJ8rC5ETOXamljvvN/bTpC4/vCMJHdmfHlE/0XH6lJfbImfPPgcf/ i4LdIDX7IiIE03n91qnCWjfFiDNdPK83oTW00E4sQxLr3/VRUR5ShAXtPlgeQr1jWq+BN5KI2WJp BAdbRvMIDPEfZPJYSWvbGwdmYyRoTWhaYsBJIacfQHjkLXo2Oj8ggo4+S0iDPNf1nalQ7A+KpXLR lkE75VOlP3LWWJUpZEdNwyTaU2wLydw/yVmLiYxmapFXWBJdM/fZf4TgwnqeaS3mBOGNC/4w1sbX RMgImUWxmMSBK+r8xUmw57Zm5vMR5f/uOp7U/KlW/XrZpNDMBocTLAWSlZJOuHfrbY8GAO4j2SKk EK7Ny50OvjZcYNsAgd0cRoFLrkpLwNhJEEIviTJb5jGa7Y0jFVJCbUQoxfX/I+xIGoMuAlJO5AcE 4dLVamU5HAUX2BH5TEU17kHUlnrDzN92Wq6PJNc95ibgavpMxWaRLE2CwmeDzVSjtgb08xogqwDJ Z/K2kE1BFwinzMNCqtgb/4WU7DslHyz0XhJ8Q4g6+HzUdnvmJ/TrqvnrtBUF30gPIdxGvDZ17to9 qJqvLriVOQYwp6jQPZJ0yOfwwQvdGcLzi66R5WTgicwcINJp5QAOZu9OAyz0rOTXBD0TK3VTbpOD 6iT9ZONsDUCVic8HScqVk6jcfDw0y3UASmGxm/DKQmE50rcogsaDamZICbBGCV0yIyblFOttC+bG Qi4Hb1EWwPSAOxAWoMP0+yTEABfvBb7DHPuW39K2F46wgCxzN57oHDRRqKMTLnkCWT3/Xwy36rlK IAZ95ymsdwM1AWun4p2TVISdBM2td++cQBzDrr4oEJ880Nck1H/NqyadYCU1gQSUq/aAPDZLcIB4 po0aIHRF7UAnpp9f87eEG8ElHFQ9Qf9TPOwz2Xw+YpauR76SsZjmV34jp1CihDq3RYZ2k/84tsGU 2rIdCSUo17kVRiMuxA3Ra4c3pKkrheJbpxUAjqNzK+LqqwjgM6m6AJN5CaXIBzyFvMkiyCSpuD37 0kBMTh8a1I0an48alk+S0qwofM/v1ouCctRtAS0x9VYKlbhq0pApOVWTUGYxP8BIqaSa9S8natd5 SwQNatu5TXa+K3YlD/yMXwct8R9cV44LlGVSirW3WUbJpfugBVUW0BmMOdvg88HOu3hutr6hKZJa +s5TWPzeDDXVIWC1aQ4HmhR6H3GeUAvxeQqr32wdkUBkwy1btkUELrceYFy0BFywRp9JamXzct5q sReOdIFFMS13sIk6+QvrRXUw5gwdb+56YswJkMK4DqU59Jecwr5ltwGG14bzlTrNfJkHz1tNyYHB JChpFAaY8iub5eonQYfzxK9STz7TtTMROTXjCB0oJu7iIWdJzV842Hdkl04BlzkoFQaTVdWCcyTy +UhF4RkcTJretRubhw6TgEsBuLRctiQtE3gtSJ6SVy+pkKt5ihAnZAi0AndWG7zsdJKgc5VSrmwB 5jWHHHEXFXoWzcFd9o5OJTl2mKQhMGRCfRAE7swvYVaoQFQ+HykoP12m4EE80mOa4rCc5oBE/igh lm07EmL47KhmcC4pBP688UKTSe63xlqoDV4JB5+rEQCPaaqLDKfaceNBdxrchztNpdn7/oXMGVlK OJmEhUTTUJqw4xSvg89HPd5n2QD+x/DLvdMU1cKXcmK3uMU/Jq9hguxwC3Tl+pWGMfmiFtfodzDQ 1fmdG3wgN1XLujDLANYliuRAhK5fSa7R7H3/0OM8oRf4xhoEzjlhn8gb59KsfD6CCzwJ8Nxv4xuR h1aTy/imXqQ7AXPPvun21QoCccenKWRTcjUlRFo22Go6hyR6Bdl87s//Qpdl9F7OakLtGBBJy1wk qJRDt8mlUjgB7BhsWZx7ATBZLqex+XzQ6F39V5zZAcMod57e4/FE0xqScW/bYM5tlTrgjq1St2GW bbsujMdl7jZa8sFhlWs0aZaBejpgLI7DqRUwkBPJCg8gU5/2wpH/rWlOZx+EqzyLUynA+SEKn4+U gn9JBSAUQbXG5ykkVSY64LTscK9eZjK4V859epsOi0VRZmg4SQbT/40yoYvTYI5GIjyihVYVjydi dKFE1CDvZwU7VaJCx0kJKwGr6YPhBuAjz+ipcdVH4fi+Hjiqo/dnxzcHnpMQHgFyYK41PBMWDYXC Smm7sBLSDp+nyAVH/Uxgcnzw0m86/1MCHwDK0/3MBKrDBULLPwsdvpM8uBJuYaeQ3xKsp0pjLgK+ Nei2W0BbCDORKp//LwId8A8hatznKayQI9uBd5/zmIvgg0BYprwUl5+spYy7nmJ2pQAo5Q62ntKi oUWmQsc5rYi42wDay1IJCh1wHDOwTm/2xkHFgHYBwA774CCLvgQAPLuRz0dC5r7x+B+jZ/hlD+TQ fBLxA00DfaJyc0jzKMO2I8wRevOJCqNx5B+4xbIPvqC47YhpRtsRjXEp4oL+xEx8UhnP6EzTXjjo STEPPsfd8MGicdwX/MI8G58P0pbiHResHWDefvb6nlChA2XnQQrDLF9RdTpdh3qjWlEUfFANKrSg XFLET736YCvqPLs7VdpR1pyTHLNz64FjuOgCvfK3L9XsfaMWMPua8jIdpED8UFISWvWMn8rKfD7S EHxWyiVf+M3uQhPKwR7w6imbUve5REyp+0Rx8i+jB/XwUl3oQgl3OMxPyj74NAH8BXFlZsFgf7H2 ksC4WQDPwdzeRc3k0x2aUGapBpdefDDSxMB/raN58vlI9H0+o/FKVN13NYWSJkOEXRZ5uQMlsLgZ jddkrReeWjZNYTROThf4Ij5chtzK0HhnEpwhvogicenobudEmjtqFnY+EWDHr4mqKpJX3mbVBekW /MXQAJ+E3wByzA+IAvJfmIKzBpEi3JkKwSnoYVaoy6tJdXYgtamw79RoOpNW2wL23WYqRIITv7uK XATKQ2spU0kYspSDum+Fql0VNcEMuNkPIJPfK2/bG0dsQlLlUBXlYOrv576AXjR3XtZr/ktKWM7V J4rL9bvzQo+cQiMBVee4upoJL5Vz0zbXEs5teb0gsKPUX4BCgexNZv+GBicKANFlksGzM7IMhuTn QO+DDN5ab42cIvk59qMkDRzUMg7Ecv0IXiDu0c9oa/ID/sWV99igf1WpcmhJ2dg9n3O7vPnsptd9 jqhq6qcIEFP3iYpFTii61OYdbEVlIHTwl1B4sdTNu+78/hDiRC9vr2uVQ5c1fklwRNHVfG3xeLbz eAAzzISYnj+o8fl/rSfWVRBnIJvxaYpCckPOyT+wATm3jXbBuvmwOl3P7iiUA1fKRH1CUMGkLTCu HmxpNP1DjnJOJ/h6yHJ4osZBlSZYArrs0pr2vhESA2XfnRgfcFCkec4nOpBgmjafjyLyJyWMQjD7 UsJyaEs5JHUiOZgqKbhmmfBJmbLDVXr1sm/gS5nYD9ysDPjg6DkQgvtU+WlsOJwiaM+FIQFKIzqe GredzVOIWBHIoJCFcYamv3eeI3ZRYhqUFT4fQZ59ObHeuqmYU74HeRSS9yJP6jY9FSZ/TaCVvdzo bEEqUalw4E35UGDoPth6OkHCOddxVzSwJQot5s/5hC/tgG5An9MCKMnB5dCbsor2NIii56BMmNKX rKxkdNADc8r/rXcKkOjPqt/WVGhPSb4KoHM+TxNidlaoK7Xb8XTyhenzFDMxq0AGwwfHGizEqTLy gH/OIqcFTjcghgOSTwTUlGOumsKhQ6X8cqYW1PwuKBTtiDvotXY+/68SVFcd5odwXZun0KMSwE8c 4+mqnpdsyN4zT9lJdANat9p4oUnlZE0lLRbs0loXBQXLztUXSysnu6vgx6KqkBY1iFAtuFpVQojn 0KUyA9oLZarkg5XH0U79lscDm0pU+p7nE2CiEJi90xQF5Fu8XpdbRN6yvVLX1VxgWLCq33aBUSXQ NzyfhlwoR74J3jmSTmpf5XrWTw7Um5h0AGmzrE/hKccY+AtHLDHWxxvNzzho3w3EYyq+zc3nI1Uv r6wQL414tV81hhx7VRYe3rtdM2+C+XjdlWnNKlgMuoh+Ds0qifAFOaL44KSMDMuwxfYdLJ5XEcSH iuoJ4lolX/EziH7aG0f7jmCMQQ2UTnsZwVYB2VqSfemZH/BfUL6om615ZeJz6FjZ6Vady/QV1aQ7 xI03kq+ozQaCZio20ekkpRo39WIMEgDiJ0JehK0sxNJgJp5/gaZYotCxegn10TsPTCth8SKDWALH J5KermwNzpjM0QAn4gdEKAOfKQJ8sELrNxn+w7aSBI0+XLx69SuDMptDoc4fkr24EvhWJq/WUeJb g62pAaR4N7uhDht0AnzGIrsOwvC5eZKXAVPWt0RVTVmgmusQmjNNN92JMrSkzmnFD4iIrP2x+egS Vvv3kIpC8myYX0P18B+7p8ObkHrCVto0WyZ19V8XNTvic9OUmoPnLkBsNjC9YRN/TkHUvM5EQck8 A5l4oqhxu3hA/+iNo81HTtRe1QfVDU44tNkNaB11zfrvOjmDKJY05w0OQgvL0XWap69AU7W65qjS HqJA03btoRx4WCK+p6ceWZgaLnXspNggopEWdYIp5CpwLcdmZfkPIeDls/gLR/UVc9NdWljV/BlP ugIpwB8awUx+wL8Y9qIsgqGfvodUWCgv1rxzin0td6JugxjZ8rxb728fy0knTw1+SBUwEeGw3UYm /QQY4VKpoX1if7Yr661E7WVvHOy9JDFLcshHTrejgJuV2LqTU/L5YOt9BYhZtzo/2sDl7zMVg8kL 46jlKODFqI177xwuqkpBHWUvn6kwLicnYeXUfLC9B1YFtNEpRAhnMfQFccMRrwm+T0Ze61AolcpD N8su2c8ymw+cKXBpKuu3aKTz+SDgLB4hYFqBUP5ZI31PqbBWvlS0Q8WaKGDSGcyqsdCiia4Wiarf XR8VpHqMDVoRe+V6EdF0LeWOfmEbJ2k8OTcZG6vivKAPMagP3qXKQtcFjpaAVGCKAGv0wWiJVRkX wNeTz0cRwq2wqFcDfsK45c3Q1JLN98ot4ByO7I5E0Fl2gsK8XfTA1RJ1d9SiKomuGrSmGoxLUZOg TgP8vsXMWzBlbfgJgCdTTpwHrWRy4GrppznOJw1F3eF+wiFxgQQ2CGwt06P5wuf2fNo25NDWchAK Bc8dS/WaWueNX909Jd7kGnFBhb6WSzqpi77WOmuUEk/YIlbwg0AHaiehkaAMFSpR4s7QeLVUbyiQ CowttR5Am1R8wLoY/7bJ1Ju1TSg359DaMv1St0YmgpDlTlQUnFdifFb+Mstyc3frsyem58RUnNdE hcH5pivxFLZuPrB1iaKBlSW7RQf5IWoZK7ZMlS9kLBV73wgK1Ugn38RsApugvGNSOYNeKaksfkCk uD8etx7OcnLTfZ6i0Fwn1AlqnTQ9erYkZkJCx3LiBttC23exmzxZU2stHy5mrC3ioUh7AXqMnjLw Bj0vebL8Tgre/LoT5cDe0qzSz4Nj+ZBsOTWC9tlB5fP/gtbx5YCNpfyuTdOfUuBlOssVNbvbywOE wpqelAnTlfeHwyXbLsQ+a/AKMOTk5+K6gTzIiaMQHCALgWzJ2ceonLkCj7roocWl4vIxRFl07Aek 3WEv+0OWWeXz/1QDFTwI7gjlu57CUnkiTLovd7kcvbtG8RrVk2JqHikuD2wuzwHFcHNsUoM5eAJz 5vmsKHgUQUwuQfQcSTFN6DZTkLG/8JVtLxwQqSgpXzsPqIrigbGmoYXI6sH5SRo/IKgCJ994IgiV H4KFfKLiuJxI1uWC12jtGZVjZp8+UjkcJR2YXZqaIwAZ2QdbUeccQbWdKOmzRZIUDaB1ikMcEq8n Kqh361FkLgd+l0LcAM4qmVkkQVIHOXudYOWfnlE8CA0vy/StRzw5igJsZftMhXqFRHNP2tlIz7m7 odNs1NSh0gxVRm1JRQgW2ThkOsxo8CNqIOuscitEQb5yezaQ8gYwRotuDponkYMC00v0wth2yTzK V96OagXtX6oDG9ygwPXy2VbAf5yhDbDGNU/9vERQKAH/P5wIkE330sGJoJIp8aLI8vWXnQb0eYmf kGVSxgWKl/sOdt8BHHPOKNE4GnCryIcroIOgjA0YhH0ZVIifXqInvitC1DG37Jz2Xt6gOtleI1kc HoCfl9iJL7gtbzkLlW28M98oy/DfnB8mmh868KAyZBWD8y9u1lKJMyBwGVV4bbhgfoxHrexuP7O7 s6BgvNANBsUyPFAGJ9+bhAPSWV5mDkvn0usEnfCSp9JOxIxxMAbsCcJ0zZ2d+3mJmfinOsXFTOkg NTYooIb5eYmY+BACPiQo0+EqfSQH1CWGhxJ06tMAUC/xktaPZBwB3bDhBpYIUpE/g4q/18xd3QYo PGUpX3tcyT795yVa4rtKoWjOkX1Qxff8t5Xr9Zzd7fMSKmlyrYo5eGIzx5yUKcT0vARKj+VDIJv7 pXQ33pU+p0Dj6N5zel7CJH4QfgmYN1B7l4NNDxUuwehjXQ7ClISMg4fMOxwCvHd6st71fXoyV88S RUo4B9MDxT3Ho3qdo/olRtKfaurEtnwg0duhmc75eYmQ9BCy2ROuXjABbNktgZt7mpQDaEgWSL7E R/p1yLebc97B0XPnbh9Ips/8dIDCYFEBWBMLTIMMMmS72l88tz4v4ZEOINKCaUpig0onGW5+BK2i Q/4SHNnTvoD0auyLbpugl8hImxJFyXP+ZC+C01BZGcks82rvIMWRWWowQeSVy7vPBm+qnD/k7KtO x72GpqPBVQfhhYipW74yBaSLfl7CIr7tEoUlrzsYyml1WTee0KV8XmIi2yzpOUFno5y43g+gl4hI xzq7BDN76AhTmWQXWF3ubomAxpxSX+IhTZChUEbxwScoAXWOtgXrlCfa41WHP+pnEc+JVNe3GDO2 l2BIW4zcno1JBMQCTC7ax5xVWqrg4ee+/rzEQjrA5vOEptCBjGQwQS+BkE5oCVz16e5oqVUXk4WE 8I/pEg73/3gJg3RCy2mnsuvEwTNaEAU7OP2g1YGZQfEmdIUQMEL2A7hzD63JKH8Jg/QrUOFyDoZB HJwttke1pkj+vARBdonkxwQB+Au6kU3QS2FSW0xWhO5eRYMULyIVndw8o7MzVl7KktrgNP6YJd3B gYT9JGYNd/4JgUAqp69jgWwzvAgqPfbc1YLuufiSYIIIF6iZQnLsE+vmQtwg1mGGAmgwQX3fFUQo XYXvB1UuKIUUzBAEqAHRuaJE8KC2Q2i32bwsAsceiVxEQVCXCyEpvxwceQJdtIzEGvINZ6pYjjwx Igu3gHYWxGqOjKNd00sxUm+bRDhsIhw2Q6Rm+KKxAEpE6ksp0q6x/VhClP+jlJGFiVEcTdTheb3m YK/BwFt8Hq9PVmgKDWcbhoEi0SZkWWqwbVbRTjr/oolsiKsAB/WkKkcChJd6y78E0t9IdLbPaNQE tq4NPKnP1q1NeNSzfz9vHDptNNMj1A8IerG5fnGSomBakJMsMWte/HOZ1h7WsopJEHK8ve8wmlbV qCkqajeaxvGPZkxX63sOy/Int1rCDrW6dpUisb3vX8c1Sz02WAMgD2Hlz/fx8eC43uuxlHA3znzv szcC3XOS5moeMlKESwpgo1wFMAhQGtArmKQtxlOXAlj/KoDBlePMzKKBTAElnRZ7ZSmzOtcaZF8t IhrC6wZT1OWt56jd4j2SvNMQaPBEMJ839pz+2HGTMjy2Bm41P7DfyHMWFFHXcm8vP/Y5DAsHVJGJ f448x4XkBEd2px7xkvg5hwvVHScWtXW0wFOZSbYfaDcjKCo0I7ArLel1g7SMHbc9aI7KQXnrSQsk kHpmHt2R6EQavtcE6gW6bN1l9B5ZAwtJIEDrLra3KXogmvg0tUso8axboo3miBFRo+aHBuMXQkUu g1XPCm1DHMnMDAXgAcjoLN/OyFTlMTyOGs4hWhGvVNApEKqyrSKZopET6mnBHGUjq2odQav152RD 90CKomsqAp5826TQebG5Msq8dcc+UJ9Udv8eXkua5c3P6pxBK08aykFt7yStBD0DqAmde8Qr4Ara oT1oQ/RGmtNuYbkfbQEfOElQP+ryPT4By+eNM8fHm9Uc7WoDRBVBg0/Se4StyhQSs+Et7iKXdGIB ZnPnjyQNi8RPiq42VtB0LnFw/NsYZzMgcIfA8TjBakOZBChynJksnbuhZckSHIhi7EY8PNCrPmgl oSQuGbAT3n3eCHOWBd8QMilh+SG5zyYpirIJa0NRojsOoHdXI/o6EZ3AuPhKisJs+CFQCCX7YJOU N6/OpQI29B0YTSXAhECt/qH0nJPoZ9frvk8S8t4BJaiuoVlDEXlIUiOy8/EojPTtxpI+RDYnPDRt kt4jbRcAnfKQoYoFhdmMVVi0qIhk85psFGrTrglc3eyDl0NAQUGST2r4OSfnUkUAaQBteff8do26 3vZ9jmD+ew4j9A1tMIoqbjYWsTu0daJQe+1fuw35Lw3/bI6iWFu+Fn2PanMElSCbI6BLbI5O0uBz FAbbRMPXQjNrDnZu75Plw72HCW06wZY6kEBMwJCAEXf/1venXjeYpM44EivPBjV+cspykq/ESETR tmBDfm4Duk0leqs5RsF2lS5hXt4FSc1MCGCLXtzSknYCKjqGwTYhy3tps63vZgPtoW0k3FBJA2yJ laXz06O102gacV3RqUT6eaPIadnLE63M4YPltOI30RU9f94YcrbZ5mOOqGu9b0LyRpCzc4zoyba8 cJRHd5wpQEqOM0W4xPv/jR93QzwERySfcHB09zmoTdXj7MfUCmoshJmiXw+CXCU/0k6kbO8bFfep zLRJQmnIcooyknrOCKrXQT3i80aQs8ut/jxrI5WBhM9SFGwDqIjjbzoadynJJca0uUL6SWxddeiN HmfVPa6k0u/g7bQTIZ2DHzZbsIsvha3u0kT6ShBSgoKnk3S0lN7D7aQz6URvZO8OIOjFq4E6EyWw QAtcnzdynMXbz0MJ1bXWmsfbb9w47bchsYrsJaQTZlgEMEudji+FCrnOpDdqnEUw9LIGTM8Gb6UV oEcyod3nhsBxKwtZXMfoSpFpeNUqqH3yxoyzCgDDyKl2/7zt/rMHBlPW887180aMszPtWWZDQ5nl XZukKODWoVBIWdWGq9ZlPJl0aZ7cAk5kGy6qZVsFoBIPyOHyc3JpaKaxVYSGMcniCeHpLMBCAUdn lVq5Nr2x4h7NNNZ0bFAtu0FbWm47dX3eOHG6gJdHANUOJbTDfY7CajYU4k/sO7xKkotrDfVZDXXD WpapW78x4qySJBK4wKXtUnOA6AYCjRDAhlAVt/yJatBEO9sNieye9XtyL71vECYV4WvmHYRrW+dq YcH/BGjr88aIs1T+WfEHzr7v4knJGyFOy4/xrhnJkEmx7pm0ZvFqW00uKfvGh7OTu0nXevhguw0s 4HPGbooQ9k20DbJbdI0zPc2Bi/hafy+97/uZtCQCjhaRDRY4n0cFUT7x0ueND2dH8PNIQnmzQwHE JimKtzVJavTo4G4j+cGdtpcAYLZnR9J7vC0JRXQcmZSUfJOSOtHLRGGSSUmFaCVJXvDCmsAzkFjm mVux1w3miGzBSf2NM0fozRGenBB2SWZo7P15I8PZSuqPSYKDbJvl3m5hZZtFydqcWglekdfbKCer 7darwyPfmHDf7j6qj3ewSYKWWqPQAibphMnC34B6/KMW0syXgToITX5jwlkzkOLWSyyKZTk0rpgx JU2xM98xWEgrP3cbL//i/bU3GpwdSQTbslluHUiPk/rcztLtqNXYHL3H21mKu/Ao2z44gvQ8CzFZ qp+lmdpQuFnoCXAOW1laS5aCSNs3DpztNXV1xY4f9jsUmMFsBmbQH/u8UeAUic5njxZtqz6XH0hv DDiFVoDg1Kq0jc6Ejp8pEMg1Jb0TIrnS7hsBzm42lpLKvoOnJFAporInuthjFmlXTMpOgTMBTrAr eiGl/7zx36xJxv611PQ4KG07JwuNb+HYWD5v9Dd7xfy42GAq1MEBsykKYSKLbdoyPCNZZItata34 cbSzO+29kd8sa8PymeyyadAUAeh17jBQyBvUXdGWBCwJcm4As0AWEZXobgScoteN5ogNyCzLpmwX Q1mgzXLdwrLu80Z901+bnvcadkZDqmVzFMXajTLEVCw2ybN1+fBl3BZJzQ4afSO+2WmEOlKFv7sN towydKfW2nKQhTCjYJP6GZNY6X5iN3vbKGmjasAo2wcltvMkqVOW36V83jhvdmA/kzZAa1q7ncg3 ypumSEDtub9wiKrMBHJnLChR/7s2A2q/Md4sscX6OX9m9eHeanOAHUg4zZmTCnkECFghgyclF97o 1zs+6XWDbIRNyC2P1J2wZxj5zVYNwTNPwPV5o7tpjpM3SDih8LWT9RcnKQq0N44XgC58HfXlk9Rp 9GKTlLwb+UZ204/E+KhLGY6DndhohdReqT8BgYZUtNRQ+CQ5otwDm13pzxvT7dHPBs7bB51G++y6 JsjIKJ83npuCk50epxFNNXPxiu0bzc2ijS3KsvdrCUzUTluJNSRWU/GLaYaisnYyj10JVo58I0h4 lmO6uYzgq5wpNN9RYj1hJ4Kj771Pze7PG8fNeqeMiqRxNl3j7Hwo4iVeVKnzHYNVlJ9Nf/QCoXPn cxRF2Z0yJujM24k9281p23TiFgR1Dfj4RnCz+gwha421SA5WQzqfCNR6ZwCJOGhxjlJWLxLKRKV4 298gx28EN0tKucmA07VBRSQESknNM/yQ71E2loRH2boCEcLe8sgbu81q6UKHeuIP8EJ37IgwfzQi BuZMkxRXtdmw1c2fC6nvk6X/M9eLIhTIaVHTow9vAo19ASSFTozn/QIbv1HblPdzjvqSXSNM50UC TKWjk4T7fJ0I8o3aZgHofKwk1GnwE/okRVE2NdTPd3gNCW3a7ok/cWFS5rjO6G+8NmsiKRVJd7Dd du4P6HFMtf5PdivW7cKQE+17+620ZYK7Pm+0tmcNSY4y5KzLOS7Vs51JSoN5yueN1qb9tp9ASPQj qP5lsxTF2ZSpAPfFcVoy0xbEP203R2e1W7MU1bXRgoWjVa8+eM32vD/UcylENRoW6KZhIxRmCiwh WAVw2ybqA7xR2vSrFiUj6w46lNIA1olVgJ0/b4w2e8lnpA2P3RMzexj5xmez+1/m5LUa2phGFmL+ FfT7ZP1ZSFcgHDKOtHkoVZ3fjxrSiV7SoGwNVE/P/4OfkzZFFWJB4HHPu5IG7Qfe6GzKnXgYLR1N qIkIaVNhlkkKwwmd5+eNzfa/W20/OBEL9tt3kkIUSZUuAMlqZLOx+0uTlM5mOeiRJ2mB1wtW0huZ 7V7OEOIgioSDr6SFuxr/MxQST2zL3A6V27Mz0UFCsfKC1qk/9cZlsy+h88Dk7dYmEWr0XlyZ6tqw W1+fNyabFdqeSBvcFX3OC6sNY23oUrpaEP8xZfcdgP0b/+2JItGkIoX0jcd2T7eNqcg+3EIbLGpR 8gdCInfwrAFqG4T0Ug8e8d1N2rreNzi5BandktTfJn6JXHwx3UOhrX/eaGwWbT+L/+BLtHnDpDcW mwUObNRuk8+H+hvLtPQlqsWlFiHErRrSG4nNQgDikGq6gxfa5oK9SyI76wTDAw45kL7HRUlpk4Jp sxCAwKjPG4stOz4WH0AUMgdhbdaJWJrMc0v9vJHY7PH9SElwIYO55JMUlrWXUMfdy9qLOChmtriI HEcCkJIQ2mFZe7IRSWaWBpukk4/g+qL6DRzqrT4yWMZnMwlcJctsVSB5Y7Aps1Whber0dgn60gd0 EoRHau3zRmCzzsEvyFaGnNP2WPKNvmZ3Gw0repseJskEjKwsmt6KRANFMt5tb+w1648wp9/lDt+0 LZ/IOFEDICUsIBzbZ8GeP3Scv2zNC0faaCp+3rhrlvxLpWR1H6yvvSoLdKBBjM8bc83Sr2eQhMu0 p+Q1pDfimp6SoETeToRIozo+ApUNa0eu5EibN95avvgIdox8sClqZz8XQhoawjy0/gVHQt4NQZQ1 vtafWkVRSbtT8gYm2T6ozFZ0yylH/Lxx1uxia48zGyt9AMdvUxQF21R4rzVV79jSMcnRkdv1blD9 sZ0WBttAap//jhuOg73zifuhuFGI2DqX/cqczAR7e3BJf4Dh8R4bzsLPG1/t5u6o2CE+0qApgoOf gNrnBv680dX0x5ZnmQ2cIcL5bIqiULuzXbsu3x9EKnVJymStUOYCCy0J1kdaiNXm8qmkY2mwVXS2 FuQiKrGR51DqM8tEnfTscwN1brSmKlvS2wY3f1JcxACAg8O1tggqiFzweBBC9mdjBKwweLf6FIVx NgkJqaSv6uR2NPus3WXdlKNzFUX17MQ4G51ZH5yRda6es3rYPTppbEHRUCWk8v8Z+7IcV3JlyX+t JXHBedj/xppm5s5Qvg7PU68vwEJ1hRRJcfDBBliTQzwVlUhraGunhfgRAv1hkeWDltFZmxLJxf2A x4Ou/11GTIjRmBi0bBapJkRr0x6VHFfTjZCxpXQj3KQCLRYrkPT3OBv/4x4jWkuDbbVCaEWibMSm mqzMdk5Oe9YRZY6Xh9kyFegh79F4a4SRSoiOpciTRRMLd75u8/EIhnznCP8x8r7ell9qPQqz2eGt 3c1iCfuzvdan1F9pvQD8gOYoApBACXID8Fh8sJJ2BWFvyaDiRKIn5BYyEFdunRQr60/un+x1o1yE Je3Rtw/abHAcSsrXTi7SozA71W/YP/DwJ3C96ygMs9WF3PsWkVgONImy5joI8O/UrdajkrZuNVoN 2mCpSEJNGyky6yMgoZCNvagvnqnmStcyK9gSPtKjMHtyJaEsxQF3PKeGtF9CAPCv8XzAgZzfF1ue TI7T5bCFLEjyR4ZCaoZKJZm31xyNFYGlyv9SNtKjQBvfiE0kc/R1zdHBf+jopVWxjKeZLjTApTPi ApzZzYu2m3ltjwJtAPeBHspNQxVaZuFcY+5+LuGOx4NZ+oX8QzbQ8/KDu0dUSGii4CDKuvPRZWMt exFyuKvV2sA0VzbSY/wIQchpTx880D7766ynrLttLmgiAPePzPtElHA6edzRCfTGl/zZ0d6kssG0 WdIjsHRKm7k77K3xfHC7jfZrw4GoOu4khZF2J2vThZSRsi2X22rOKwFDz2Xfe8iHHE3No+mDp2yr pd2Fat8djB3icCrUMJaQUCXfQ4nirv091EYVCKdRV5+ECpVd6gfn/UTBPBnbxvP/Zb+xiyS9H05S WNoGFpgC/CwdGcpGVaSk4xohQFE60vlJf6RssIvdPjiobZz1QkWrs31xzzU6CFQcdJl8HKh2P1yk qfcNju4km10SjweUliRoX/O5qxpLuNjP+IB/odqoBpi6yyZymt7jbaCRibNZhNHoH4vmBqAGAv4Q TFZUxirXUhRvoyIEXW5pH7RH+wApH0hZ1IzZZx1QxxymtQWxSgcCr1/nDupw9qi4XbmW9iS7jYMA Wz1T6ZOKBhOPR9n/934DYArKmT5HEWJbtDZUklSQJLhGS2kMIdky0rY1zOezRwgStf4zzSgw8OWp pdwby4/0+94jwZWEc4SfJyFQqdpw0+tIFd8SodpkQ0GpYA5WIqHcPkPuxceDhXRRbfQihkwxYEw+ S2HMTVx7mulW29TO3sIje7Xt/PrZZimqbUOj/SwhOVhysJWEyu1ZqSMTRcpKN1GkA74q9GKvlFdT SXKQQtojFEmXJ5xbd0x3GkQUtoSPLBOPB0vpV6yUE02FbtA9oqAb2VIWfEpnd0seLGHbX7JNqZbf jqi4zcQe7f47ePJWQNmF+jVEyM6jQPdsCXpBGwGnmNNIpMs9oqAbijRotElSw2FhBe3TQiYdNHPw eBB0j19NSYTukJeyKYpibio6VipgGkFicYoUKFm/hGoj1pQcYWmbpnlpM/ROrpWCftse/YRDqwr6 B1Q4YoAMJtoCIJcOh64/hmsRXxLMES0Gdxa/LXGqOElIhfhHDmS4I6xt3+62BDrh9dH83B4xZhvX 25mObGXbvZc7xc5ixVw0SapV20ZU26bXEkj9QwOmaBARDUfejPsaOd1ip5uUJUA9QY4s6/aRgLsa +I4AQkoa8jlHbTCmzYnocpaoxvk/PB6VkryPpOollIrLvnMUAkmGgCTdkX+Zt5LQ/ztdEilSM+21 KOI2rA1oghhIFB5k/y2UNDrhkWejSemPWJtzEgCKRDaSBQAQgcJ3RC0SKo8kegit5Bax5xBSlRDm zBuPR+fR914DuLiPSyIdUbzdi2htRmCjtee2KSpkOYgggUqKpiiKt6GaBnQE1wgHL5TAK5fdYMjf nwOgUIC6Ad9KJ9wMyKMRbXlZ4Uui8wi91so5Wgggf0QhgkQXRS/xiRnPRwvJy7Ys9aOe3/vyAGBE ATfL+oDC+mYDgcVK2x3QM202SGrZZosCbgn8ZCBQbfBm29l4NW+BtgBNYhG8NorzIw6fV6s8bzL/ R1TansLXNgq3cvDrvybRSc6r4vGo13ar/5gjprd09NQchYDtQcK/82uAcbumgqUt8zaDnLBlbiMC bGNno+5Pg4Cy17OSoMlW0BcBi/Tc+nnJixiYe4QCSPwvXLvqbaNWG53y0CTDAO0bbrZ99q8kSJDc jjDWXr9kfvBGMJ+0KQqBJFkcznE7JPSXEWOrGWqyIpcwDumIYu3J2jbOMw10V5MQEiy8AfAHhBTE VlUlEZp2sqYISNIUAb2N74jK/+SPmJMCJfSEayssvPxQnrzj+X912jifUIqcF0M64libZ3a6iKQk XrKkpJODbdZwb8oRxtpSb58ibj24thN8j3N9LeJITrw6SRPdrAv8LOzAiiaA7zUGkSMMtRti7Crp 34pckqVC6lmer8DWW30ufEBQAai/wMiAo6yrxzbCWLtLerR86fpd3FYqzTYbOA622cL6NuValvjY gsXpQOJnpsQyCQQxuuzxOuECcJwr85GQ2Dq2w/o2YcgwUeeAjNt0kE44LEuAnQuej8okHiLpv4Ve y+22zbC+rdS29Ww4EgKGVAGo7ieIYwrQDlQA5p+yflDxyz44qB1lngGxc2y3c0LtKsVNUGagZidQ +3iItjOEkRRuN2gu26BT+0TtbSmzLRWPB1P0q6+9oDkG+LNNURRqV/N7A073f9kPJ6JIoKNKqR+s ZQRuzNlmyI8k/i93unlzsEMbZaSUt2wWC+JUg7YBACYUYGF927C2S+8bZbbUC1MzaXgzCdrXcw0R MnvB4xGn7Veojb1b5l1HoRYJ1e1P3uqgrVKc1d4J1DPqP9IFaUfF9EgmIoWTxMFBkglFqSwSKaw4 0Ck+RxJrxiezhXjUegQiKZAw4/q2VEb3HVQjgdZQMzZyxePRJH2rSIBlg9qdT1IUa9No68Tal/in eEzY/7yH5bV7uoTmDKvbTNoK6kU2ODsSyhgJYB02SmQGwI7bBqRxwCT6MTZdetvoQGKQXdLyQVO0 oGXOzTbLwuMRgyR/TRFtrvsFSMwo1obRBxRIspVtEdB4FFmuncRAecemKNT5k303F4kGX0fnNutp NiJt0rl/NpU2T4g6z5kAyJiSN+kg02pqhtxI3mm4KH1Q7j+wFAnaPtsAjwdNybvX2CsAFKVOr9rO v0EksGm3i62qno20dpVtIRJ+bYsiZwQikbEbdTJs8ANpQilwivg/cEAhZalMS6hSDcish0h0n5xR pI2iKPLZmnzQ3X9OVOl6QHsJjwdR5M38Fyu2CLQv8n9GIJJOLFJO21k2SUbeFNmo7nNzUi5k6Jyi qLC9iLNh+mXDZUai4wmgNpORBkghcDY4sztSyz0ej3MQpvEdUduWldpeJapE3pqKI5TswsoYfMV/ Jmx4DPzxfWG2M+RFwhC3lt49iCxUQOEUoVlvqwhGXLbTQrk/Uh7O9VV98C4SxEHniRTpHnyCGGAh MUXnhaGs/dP3+PmlQjajmnZhuXbx5tdgyP8GbxgW2TYfj9BaHkGyZEDs6Gj3VotQJJOSkYtuToK0 kYguSFtvTvqfIE1ojqJAmyZAZx+xs83hufrnuZd5GiVwGipFAQEs/VkUe0UAe2vaSa8bIJETJTaU +SckOBLGAhA5M+NaNVc8H2lsrK/jqMphwGvaM+RFdt5qqziOpKfspL/RvTwyKMKhvRbqkPBWa408 Ww7OHYW54LmvaBlx4ptaeWQjmzgnpkxQnklaRa8bbbYlUOT2QaC2Bp8UVs5OPoPHgxhypq/Nhntq QGBFc7SiMDstxpCluO9W5Z3N8IjyU5bVTtceXRGMZBCOlHvdPthCOvsU/6+YBzVCLfJs0P85SQr0 UhxGYoz/FcJIlNUm3GoaDLE1U6eezflJKh6PiJE3XVPmD3Dm9Kr/Ckva9DPPs7t6VFvFKSRnI7pW y17uTbZCGAmtWRKoljbckvY5UafwSPDlRDkJHVs5/g6i7y4cCTUVfEdUHEkkaG+zkUInkX8spJV5 Ip27ruD5CNbmk4TZhQwRDDh8jkIR7aoi2xdmaw0vsvXuWm29uUTrCmEkW5o/aWvgscgfNueTDRYr IJ10kBGUOiMNDPRV8hMdrZ+G7wgq2uRnA1jog+BIwKno6m+Lj/8XnWg0aKA44VMUBdm4PjORfV5j Yz+PNbbqhpyAmKSp42iFWO0uB5v/azgCJ/aO6JoFJHwB+wKSbunm/3OvfqLaVlzQ5h4DXBcFJBzt DPuwPCnmADGbguf/C/QvAyKO8p9PUqimjbLWyS9zsfZRqvWi/kvXtkP5OdmZvWJmJNtH56rywYu1 TBrqsDB7n/OJYTZs3imOmL8uts2+/4ogJCNJgoTY/wlpmGpBJDiXsnqfGc8Hm219zxIAqTQ8sUmK 4mykG5hRy17vP1Lzrz+cNuQ2mqSooj2k92sc4/pY/CRcNhl6H22gNJKg1wNlNSDxMs56eJ24tV2i 5viKMCSbspGwrfZBCVtabZHagBgVj0ey9f+npo0j6WZsKwq11/zSHlOTzS0lUXzwun/Z05dSGGrL zVXIdg5+bOMMqnPLZwQ0+U5S25QY6YZX2/ISEjIVvm6ARjIXV0FIWpFBFuRsV2bhv5+cDo//S89O ctxnJaGqY3MUxdpoDbAhUn7cG6JM4480sILE1prZZZFWCNjG1Va3vFj248WCijZQxnlL87esxTkC /x/wBHQToZ/iCJJp7xsEAFRGgB0eB4Tr/Gs7flz2PlFnW2FV++qQSYe7/szd7ySFkG2JR6hVxBiJ Ekzq+idz5oZ4hHf9V1zVlnQ9RUbXuglJxu99fjMGtgWcIRIoz6XW6LhZ6fzt2lHYN3rfYLtlMkeS dMj5gtxue/U5hGsffMmo7z+/o6Qm3vfdblG0DbUQgG0ftbbkSi0n7NgusQF8oM3S3xLbkJP3wWr/ wAyd2yuhqg29EwT0Moo6BzikvRBn3jBp2OsGYRJ97EaVDEk1mEoFdEHeRSfgq58VAkgegCTDbTRn 5o0BdhRu03r4/BDJ87ZanSAxRXrlJLXtUi07ZEduxpBNZ1J7zqQBhT+aKWC/lbEyOSQZLChaV856 m0gy4t5RuN2SzqJafLAGJMTwecyMtPB4RLP5LthCG2s8Ghv7PdqWagVQI/NG28O5yCv3enVIyrbd tmMdkiG5v+aDI5FogUOMDZpJE3EfC7ZIgnFqlnSRf9AG0usGVSQ6JW+sdRsERILgHvtkbZ7Mdr9H 23K3/E5JiDy4EcAOw+0mqLYlHxlwRgdHLJqUSc5ODQFMUhxuM5ZM7Q63839yCGRrPJJgrbjoygLQ AUUfaTdwvW3tdaNSG3OSLYfb7RIS8B1TAAB4Ch7/l3QUk+Is5q8jSHZY1eblVtK4Gtt13BOpmkAJ ECQOj9hRwI27CkmtrGv6ta7JJ8FK4EKS+n+i5LJlrIEzanXkyvOBI89pr/uXXMvc8o3Yt/LftiSd f9rGSoogJC1/05EyhZluyXbHkG2hI4srkZTSktFIWnWltrNt3Zxlx9xIkmySZLZSuoWkE4YCs0AE aYZvK1m2AN9Au4lotqfYhvoZviNKSqj2M2U0BnCThMNOQL9UJB55bjz/L6E2JjAIJsYVj9pRuE1d Tqizub4WRR3MwIYwUIKRUWq1OQoBJOKPshnrSCRxI8+87DXMoCWjd7uoRQI28QAWpF9WG6pNfNsg RMqEIncybWe/Boi9NfFtYbKBx6Mp8mVE0PK5T8+1dKcowo/QerpKGFbpfytur9FmLU7ZQg1RUxTh R8AYgLzGqD74Vqsb7AUuo3TOP/C3UPmHzBaKrT/gQhurDRKsfNuIYbuV9zcfBNY6rzfVHMmLj0dl 7e+GNvbduWk8s91RqF15ZKe8jLCFJuqwnZbr9WgZSMlI/NthWTsRyKYqAAdbRRlaLXtn6qIhPoI8 AVDvaJcsqLu264JEFgi+I9hpVaURWjxx0BQlaFMS2T6w0UJ17V89NtRUzrK+B3ZY1WZzBGhqn6Lh fC2EHO6FvGjzximKodpsQ3aRkPulRpzTYYIPsUiNOAkO2rW0I+XWO3/Z+kKP7Km3jQ4j6z9mH7TR xjnUJD96jj08HlFs1tcULVAzylVF2FGU3QivnYgTzN53Le05MOj9ThsUbNNGi7Ajs0gXiXU2DjZF kIgoGdrvmKJzrNKB/Hxmlab6D9y1zFWbyQW+I1IgkTobJf84eOJfC8v2CDPweFSv/cVoI5ntAv4F 1Xk9QogcHTM1w7JDJsqSWihtEUUCPy2U4mmBnMIomyHkBLnYBktFUgLeG52ANhrQoTQEKudopS0B mIz41Dpl0762vXFgOkYhG5TZOCSLBqG/mxdbJf0sXX7Av/CjbKvBY2X3xzwihaJ/NEYQxex/orcb mqR0lrOyGJLFy234qChroxnrkqrt+uVDMnIHEAxFEh57Wd5aYEfACAlK0lf5h+E2viYSkcIcVab+ GpJqsGDxMhU7eRifD5KSh0SiwmRP39rItKsOHiR5pC4jt1WsK29L9uXktnXxf2rtvV8gzP+Rp9vg CW4rFXBt1koggw1c+obFXwfcBnd+otyWWY1me+O/xDbwchwQMFOk7uSH55phtWTCkDVFcTf5fAqX eCsOilnsO1VhpRtXV90q++O8Oj++V95qchgg2FvuJJFC08jSHJFtg03VCebP2d1lt9UpU0L6FqgU GSWTH2oP3CZusTeO4JIUlIAVng3GTDqTzspxBRYAzwfV7l98yY0QrObHcSuKvsEeQaxkfrXomrAu yfJbW06W4AvZ9ovK3YNBQaJ9r4aLTc4bGKtt6KQMA+ONlgO2X4dTS+/OBoQtlL1x5LrBkoAicA4q m/RViuwRNxwlUggsKb6kGncf0s7HITGnKAanDi8gPkOFyrJJ9sRBNRGQq1DZqbVSZE4W6m4PJXOr +WCJCm0lJqwOMFPncgOpHXUGiLcCNUMVQK/BpW0v/AciECiJ6oMmauAkZNxYh56PrGy/1e6Qrc52 i3DSVXtPImlKlvvtn6j+vamXvKb1TxbKFnb3RZH4VLMSpFEbvHyyQVRtW7JJaIFi0ZVFrfBEgV7Y qdmBTowCv+avMGrUeQcVUNacXQLjZ7L5fEQw/WZOAnD5M/cVmcgp1CppFCan8qAY3WuaSwkU5UTJ rR0HsR9SYe2blRFGlTbYIdVO/gU1JUYJYAKSXwlADihvnYjyfStNhBTyayJOtzoElKsDZ9XqajOT 0kjB6Mbn/ws2EAptiIbvRIVROchH0N/01u5OuTiMYmUDBw4kHu4LGEmWFPZ2cyKsi4NjluqClSfb TQQvUSKgogb7M+gjOB99aZknpT9Nb0CdWD5oQcFmiKvh7PTB54OdV39ZlOLapz2Bz1MI66aP9Cwm 53J+9VQdRFko/cB5aiDm2DyFFXDaJyxZu3BwNu65Kqr53pyb9DyLwxzAX8Df8AMgWXfqWy32wkHB qXDLKYEZN4E5C3HSrJfwaj4f5MHXqlSdF5Qq8nUHCn0mqR9XU3XHMtgkZ6td9raHJcIrdbd1C4wm gadgmteIgePguJyTv6wu77t0ru0N4aRdG8iT5/yvPzS8cVsXWm7nwGgSuhxylSZbYEmnLHHxA1yE L0xj8vko07vJMDce7e9GuRMVBeeNIC+xPCWlLDsO2igmT4dhlrp8oiLgSSIe94Tld/DCU08LyhPU eIHoEG2pKkqOqIIsuoS7AB4tS/IfXpMSmmSEzkFQOHig8iRvq1Q+H6ngfkvgQR1spItgyoHbJB7b PJ+6F1amy5YAvNxMTHmgja2qQQ7tJtEPOQtpCcS85hcrdyT0ejlNJ4c5X5dIFUDdB8k4fmOfptLs fSOAjqhdci9py6Q5xmwyzEADYPD5f5kpidIDdld67N0Cy0kzPD05i1XjaPXqlpMtj3xrdM9yCqPy xVpS43RxMEpFORsvUfIYAmZnQTGEagmpPxgXP4s+3FLnGs3eN9JUgHZJgQmtDQLpwF2VXchzbVY+ H8EGvgMDgD37yI/Ba6gWSKWXPLIrT0OlyHddYbWXuw6OujZNIatSulOjNR9sOaHai/SNfYyCDh2h TFRN7QXJHqqAlrtIWimHxpNryiaAad4Zpq2nc2fRXf0HUiJ8Puj3/pIMhkobmtF3niKF7t6l7n41 zEv2bTdM9o0a5pBSs20XRuRsHdS6lw9+jJ8s70SBhXgmgOEaG+iiIicxhB5AU5/2wtHxJPRpSj4I XwmRPbkqnA/i80EX6rf3JIKRVJ71FFIrSdLty6xL8cYuigP96uWtOtSsFWeG5pNw1kIncycfLCwA f3zAfJpaJohpleOhI43E7OwaKP1ZzU7FqNB9cnZhmlbyweADHRqX/EKu+igg31fxRXxvaiu0G2cG /pNACZkSldsGTv4sslasXbF5haX6najIEKcvGVCO7oPXNnmWZ1YNYF2LeiBSPLgELMgF5VJcFbeA TqBv+cNbeRHQYIPuu4UyhIQ6U+Xz/3Qy4+ttxAb5Zi6BByXK5KSfOnX57Dxa9xBrSR1Eib5Cyd4n KqyTayktBZrrBponAkdnC4xj0ix3qiz8soMKeyWIdZR2UTu92RsHVQPzM6/dBwNb7JOr8l5frfD5 yD/4huQ8thtcHq9XVw6dKNFZBBDFOJUgyN9CVKEhBmaqsQVkMxWDUsj+yvsOjgE7pyvUzoi3OJPW gIFFTxgra4NLPimTZ8ymaS8cdaZM9HX4YCE5tKC1m2bj80HuUr5NljJX93oOqdCO0lyEU/PiJrTI LBkeLF5wSaG+YoWo0I9ysXOHJeOD5y4QwelI5gAogNouMc40D1797CW4H93uVLP3De48qizPaVK5 4wpMnrOQtcp+TkA+HwkKll8LimKG+TE2D+vl1BJYg5VxhlObYQAJtVVuZkgr6jNPIRZ8Xsnu38rd 5x3OzV1o/3Z+6YXKFCXOzmJeCIhBkHGFM9l2h5aUWYYUfQ0fjEABo/Oh03ny+UgD3jswLIIixfuy W449KcV8aNWqdVUamqxrDqi5qQNDb02bpjAkZz9hm9/U+Np34EXkNIG9PIFCI+0MokvgMhLMm1Hv shMq12RvHOV4rBYMDZDaJcsNkBGoFmFFnaCTHxBF5ffWw398ondKrftMhQLeFF5IyxQEUbrbrgQz BDPYlPDY3oAJjCkRRbH0Kz3YlL6k4E9wAF/uIrjTOQ7Zq2rQRcEXIghKX7fetjcO8AVmKEilk96L 1GBqSuyicutlvea/uDzi2EFHpD4TFVrm4LI/sdPoFm72aWw5lNOKg3nOd3tYHphToure1BWuPnh0 kGFKDYccsnkmj2syVc5VQzHRXOutlFMzP8fulDzDMfUcCHz7EcrgHB8Uqmxr8gMiBP36WlGIDnr/ OqJCtEonfq5mh2Kc49vTvElXd6FV1k4+UbHeyRAIo/ng6PCzSFrbQ+UVCm+wvAJNocFaw7rOObw9 +CWRAhOJBkAw2mBgjJP3bNkL1cbnI77Kd6GcCrPlyjDn0KKyuSqMOcKdv88EYs4lTtaJCCtIq2ya QolBAjGaoJjtgWJWVFfIA0c2LFsvBaQw+IHpLCpLrsG0pr1vwDRgEQpO1z4o2Kzn6ubCOtO0+XxU XPklejIYQT2rKaqSD5IxTyjoeINEp3KhVthXFmpll+nTFMqeJBZXqswq61PU3CfQQddTxZXz46HP toGMPXcdIFNZx1PjtrN5ioArQ9Wn6UMV9xR5zKSodz9/DZ+PsmEvQmGGobLKgojPU4gRb5YN+/GU 284OXYG9vaArG0Z8yoYDo0pMuVCGbfrg8B7obVDMD1UD4BpZhOroItJRA6AdC6AkDZdDo0pTqy5C r5Zqu+6sodXlxZTRRg+cKsE2vIJVzK4yRHVWvwToHLpV0lYO55OXoWDmZuW6vg1jh54nY6yuj4o6 eWy4WFq8ro5+2hDk2ZOKDBlq6MCGIc0D/JkOcURCTRnoqjccGlYOY/gOG7KtqNFmI8L3BLadz0d1 qG8fPeyk2S54NYeWlbMK3jPdbmBK4JvCzGAymjAzdJW18/7wrKyvdSiw8sGEhUkMzLBwibPdjrAS UHIoxd0WOrHiOTStNFEmZDw2WJW8dvmfqEoeuFYiJP+98aiYekPN0LaS5zNogk47KG27DnqX5hfj gjS3T1MUkYvmU+ozXARrPQkD0lPQxRGIF5ah6KwFFGpGcHWhBt1eOIAayCJ2U5yRg3be6Evgk3M/ bD7/XxQHyV/oT/U3tq4UiTVdm3gQvK3rYgT7JuEBj59C78pBdtOCr6MNjvRBsjIXPYeoWIIa96Y7 M7yRgKHPVwitdLWnQvvKsY1Ux64n7scs+OrZB4sAu9F65gdEM/XLUud8znoQ0Tl0sCQYqso4UmIo czm3nlOtAyq1dGfqT1OdEweRybIeJksGmrWjYyX0yjmYgDEoxFbiKEULnR2F+tVCD0wsEUIRyJqn hhNRSpv2HHCZGO6zI0fmB0Rgg2+gHa6rUZ+OZ2xjSdHYhUzWLGN5trAQZTLyTCtaMvJ4DnwsvYVO GUwbHOcz8rlrqjrDSBi57Mpi5QqCGwWiDY6wz8teOGoNs1Q+qhT2USpmXgvLPhq4QRVt8gMiLatf Tt/4HJj6+ESFhE1AwWoiGIH5sBFcmQ8XQ9zVTtEnZXmhnaVN1GSIxOHWoeBejDIzXOMT3Hs3URlQ iUowIM593F4exdj4NdHmE4u8dB9UONiAE5OS3VHbDBwt8RF+6WFWpfj9pMM1isqHjFHT8hVVanPt D/QCtKJ6bmn5iorgK036Oig/2+Agu3GeL8hmYGiFtI9ijQkJ22YBsMz0EFu6vXCkaZm/VxRyTtYN kC01bhxoQfIDIgGQb+kvbJrxhXENbC2tyF6NfSBOYveJysPMHM6Kgq62TVSMXiE/as47eN3g7OxG SdEGh5RcKO50zm3UyROa9mDw3FLUXvbGkbAlIXYgU9hgbYVKaiN3YefzUcTppzlDJrZPYZbiMxXD V8hr3aUYGhh9Sd97ckcHVml2V2/Isbml2gqLdoMcLECoAO0udC5ATDxrKCMlFAzrzBSq0FCdckSU quWhu2XX3hsKFIahGmjPSYNXOKQvPh8EnPk7QiB2d430bL4QW06eC9QCDQzcMT2S3KOXAl0J4ZTn 2LHQ4JIsjnNHkg01LhkIl14GMpQz1WEmuGkDei55FL8Aj4IXq7eqskB2gcMlTqlCAUfyOTgYQREu nSpL7cnngwhhfEcI5DlC8sgmKvS4RHsJsVTyFCaxHKOkGF7izlOoXjsITC6RN9K4uRJkx8E66Rv+ XzMVGss0yKSTqJcA4gKwDOep5cR5JH/fKIMROZHralPyhSEnJOWI+hHiIHC5FETvWzAVOk7X5DKH LpdjS38nNy/ZVRNzPlksaSvqEG+3As+hzaWUdyHS64PlxID5tDNVYgTONAg4OIfhmZmBUuWJpoZn ekNxVOBzKVgDUrwpBR781fzbZgO3m9kbVJxz6HSZLiJK9uoZlmC3eBBaXVapOdXhEzVd0hltGPu3 +KudGpQDr0tDjjUKoNvg4NaTwA1EAxRynntQFvNscegoFUnOXuBYKva+ERBRzHIhWCC7rrRjgoTN RjiK5vyASF32Vw34fA4baD5PoSR4kmzKWI6wW92I+BP6lF6zw0Tavgsjc3o51PkMDjmArUxGtRXF qNF74mkPHezzkmc7djLx5mNVlAO3y0TTIAgUz+yDUmJYKjfFUwBEhXaXD9GMERd6g+N6p+TA7zJL Y64YEHGovVC9pyAhTHQ9FzRNdePFhpeDtiCJJjscPIE59x90Cfha52eYlMgqG8BfSpjQoMjVeNRI Dx0vLSwv9Q5iUhGGJomdWfn8P4GtgghhSbfLUwhNLwHCy1CicG/wsa7S3K6O2ISXpyny5MD1EjVg dtCJma7msMhoE7I7mcCjExq0MSjMBL1iKPHSBBlGZBfCsu2FAz4VWS/oBmEAVYYLF31V45zNc9ry A4Iq8MWwSAK6/EDm405UFJZTXKSyM6Jos49H/NLPqvP/36qH5YH3pT4KGV5OPjiGBXAlYuko7gCy B7HSkGWHzTBFC+rdehScy4H9ZbbiwagyCq+AtDAcIK+dlsUZtYPQ/7LMb6w0ij4niek3JQ4dMCdt +SbpT9J2Hm3bTLVlghgnYt5l+JKKQCx1yt+RiAMOdpRvgHXTEBM24UwnXLhTkx9ykovWDponcYQC D0z8jzFBHnfQEVWwQhlSb1CEAhNMMyD+AkWdP2uNq+78eY2gMnY8ybASdOI/1uwLisQwpS9zZAPf v8ZP+QQGkpsbtfhgk9TPW4CkwfZwhksoi8WQfTx/6flYCA9cIhVe9jV6Ou+aeHwj//FBUwRZrWFi YXj6ZYLOC+6lM3zqDD87aA6KzbONEU0PEMN1dWuagxfUb72A+E9CWgkB0n4Lpoc1AASNzYfbEwYS KrOXAFfESnyLPAwmNC0zfebl67B0LL3OD/Rs2bkjOECD8WD3lN7cue3w9Nv8oPRUbH7UlyIQY9v8 vAZMGXAVHkKr9YupY18YUDFkEbbLMlYH5+c1XMo3rtz5Dh5XFkgYVIJVoDvTFivjixI9EIwEeN7C ykn8+GuwlAHpYVAp9xQOqvcCKitDh77b5zVSwuRaDVPTA7QjVGltel7jJCyfblgnbx3kVtymqBRr vEDRw4sDr1FSBgHQvGWbD97ZBJR+UbxxwAmhLQYKvVOaecAJr97pQUT4eY2RshdQdpeJaoegsaRB 4a1JBbRzuuXPa4iURQf9Wj6TRY9u8/MaIGXjmZdVzUQGxV4TcD4RwNguekE9cxnMRttLl1mnLRMH b0ANiO8vKsygQwNZZ7AToaWdsTVImPH9tSmf9hod4fwxUuLKPqhwUqAXRQwPGuSvsRGerj5BW9hN +ELSpZCGdsEMoWILEZ7kIILttM0ylwgJFOGhXB/twIIZmuyNo1Xpg53PYHcV9vtQCEBXmW6XkNAF wBAxdctXrYDf/nkNizIaN2REAfNjgwXXJTWiMgG//bzGRFgNLdsM8TA/C2qW6hP0GhHhWFd3ri1z Azm/5LoeDs1dHAfEGM3pIpygRRQKExEONkFno0IkBXBs0KdXRpOGXfH+s4jobMhEbI8xY3sNhrDH CNnZ8rvcFOqSSBwpadxjJzX/vMZCOMHm8iuM+nuZMmvFZug1EsIZjSTgxCqXBAwiuAXXKV/V1JEd pfoaB/GMZi6L7oUNPkMZEmNgxTWA3aHQwoo30BvwIAHfBs0hi62b3vb9ElMJaeeSfDDS2PnjheU9 //bzGgXxFfvXEmIXfJNqQPHIYIKqn9JeRcrwKtApVNYjvVO9Ef5alszqqG/qx/hglzz8ceGjTRDv WT4FlQ1gSVFvyOxysHsmiNyiWcprUTLLRQMeSRQEOYOxKk6IMjIbAuAhfV5Lkln8ak3QYBUFHiDT o6DXeiSWLdP9WZczDOp0/hOkEi5SgGkctS7CKIjJBm8zDQ61PDsdymDsmZyEuTfmHIPIcqhTsol8 kXF0bnqtReJXUDWkC8FLA1MeQvOcSO1CUl8rkYz42leYCJ2BE8W37HFiFEcLnjNLdomrMcfV3anJ K0d93opIFEhb4WiSRs7BqZlosOHKJ9v3zA1vu7JpiJBwNsD96rda+juVLssLBgJl1HHmwJP6RPxV xQU02z/vTDoswpm/bntkVr3XG0xH0TR1LJADuFzq3CVZODT7MrH0Xq6f/DuNLst1EpZNefjgDYAO 6TQkf8jyz08OJ0GUQ+iTAkkmK2tXqRMLth4e16yDZOqBLXU2WP8/J8aSPFHl41FE/T1JSHMm7lab pCikhoLsmSRw3Y3Ok5afRi2Va7dHFpmAXsEkbaZkRVxfDjZJCYxJoHEocgUiPIUecb0x9vtZLNUq JBrC6wZT1Jv5yXcfbIoysj4mWpuPB0HRbN9R9fnamZNH1e8EOkwsEBF1tAuhGCW7Dlhe3RQuR1E9 m8CA4MTuEiWWvUV67C0Aj6/KvAnVHXBKoTbxmanJoKjQmcButKTXfd9rCE7pklZ9UN6KOjz7uWC8 f97pcwySPXFl2N9ZK0p+67/T5/CtZBKsfmu0m8w9oSf2TjZJ1DqzGm00SbRJG+TyaLDWCO64yqwL MlfYa0kHOxJ16I/O8rRGpkqP4XlE7g47P2eAEopglW13dZDG2difd+5cFvLiWUgZ6hkjPel9FF6j Lg/z2KuVejaBKcrTmsJOJFT8Lb9/j68l0QJXK0Q+Gpyx2k962TETZBHMtSihMlCBoFEJeRd2ag96 Er0z57CjZSY/SvKBkwTJgkX5DCiCft6Jc9nK9poSTlIZEB/wCPudOJcdGp9H8hpRUfuIWAAaC8q5 CQItmqQoxhYJtUrQoj7FWfDNz744Bz+dZFlWY1ME9I0pU6Tk7pZyofm80+bwVzaxxGW80+wCRZa5 rGs78/68s+by45Lqif6CC+jdbVGQbd6WrTteAp7pNkfFQ29Ua4sjcKIoe3TBTaW716/uXoEGW6qV uBI0DVif37DROqkAop1Ml1Sj0s+u132fI1g9g8vLUtoZsjUUM7w5yB1InY8HUaTpgRkSDGj4ufPd be+BNmBKnCTq08iSOLvSB/T+TRNlUL1QNdko0h7UlO+DprvdNwLKRRCtgMUrafQIBVTf7gB6AlMC LbnbNep62/c5ovH3KuDF2WA01bNpmzgpUNiJIu39q56Wgf5pAGPaHEWxduccDdkQUDe9uSPxudv2 tjk6KakTm8JgW0fRlmXKvhz6E0RCkxC5OjUuz+cTtzvh7Nxh6JvT6k993143mKSOuy3DAcIGNX4y upwSzAFEIoq2c9lfk0Rav7T3WHSMgm1TcJQNMf9xEvlGzE3aVwEsuZXcO0cOc0TuF6vlNvhmgyzK yPRthE8nqiTYbO0c83M1sfa9bE1Iy+edI5dd3mPJKZ2Dp7Tn/JTCQsmfd4pclg+HFY7wbhC4Bmja 5iiKtQlCPmHkFUEpyYjPJ4wkYYw3WyL9Atf/Oz+O9YMhHlPywfFbE4oekP5m0rZyZqzdka5lGt6T V+YnEquP7wQ5L+6fDE3mlh3BlDKSiqCeRxJEUN4Jcl4ouyuJGCd0qWyWomBb9k2TFqPi6IgiwCCp uI/8gIa0raT3YBssI9bXNik6HC54ayWYo9ABFAiRLOkhKv6hfYQK4uXOLy2l93A7uaSO57boivHW PtmeihYoCK3POzkObznG1yxB76u15vH2OzcO+412ckPILTYgpY6GGltN7rgL6Xzjxr1T45gBEtKd iQUs+WIBzw4+B/eQEjgg8AgtqU2IlBGFbXKhr2IFBVDemXH4FsAiFu24bbBGUVlJaKQ56+edGJcf c4L5QxFx1JTWCWp9lqKAewkAX7aXanPPDuxu3cRBcUFtC7jfeXHZgIDYpNUHP5UAWauN2W0B8HMz cStwu5ik0oLjZKVaWTi90+JumWQRn6NB1ewGO7alX2V93klx2TBFT7GW2mHVK23vnDie3DQm5Paw OZp2KvW50y34Q69UcxTVszvLtanQu5mDZ25jpkmoHw1TzrPCvgNnCg2+AtxUfY7upfcN4qSsWv8z CNd2bknDSp6A/vNOieNy/44BgLPvu3iY9M6I46Ek42/XZapVlo04lHbPThxs2b0J3glxDCay9K2n D85ggndvRz6AHj/0lhEsnCsVr5pANimA7V4X8KX3DSqSZFauRlQbB3XTzqJKWYCZtT/vhDj8ufl7 kiDgSOFNm6Qo4Lb+Ppx67OQe11Ou13mN9yCBozPpPeAG2IyTtGi8x8HipHGCb6nXQlBgLLLykZSA OQT3PUBWbuZWhl43mqMpzSrOEZTHyMupMD5uRRaxe3/e2XC8XrwIIAsagKZhxm2zFJW21T5CSe0u pekSX5Meg9xvvTt36Z0Mx7Izrv9GfqkGW0prnauHcG2kbhmsU1KXADwfVIuc+XJQB7HJ72Q4/Khs HCFc98FAEG1vVYN25jtGB3f63m6skdz09p0Hl929uXNzq/zfi53bndpndib16XP0HnFn0eDgktF9 sJW0euuIkOhOCExyGnJ5gM8crhsZXEuZglDbdxJclqoDRL328EFN/jNdVeyuvcbnnQPHXP67jY3G Fdiw3saOAm5AErGMli+jRDMOKepdl8sOHrX1seOAG7tsCEszHixNW+ss0lTFFDxhKcIAGRgBC4wD LN3ziEjszzsBjm2yRmGhOnywxA0GE/yzz7H/eee/ZZH0nioJPCZ6rt4AeKe/ZbfcXbW5W8paw2nM Y1/fPWDSbIrC2jbXBm4YHzRF5/0zWvDgPZ4j+9wtmcxUeKgAzwJ2Sab2qpr9Ra8bzRG3WCNum4MV SUqT1P/Z43z8v5zZQFq3nvzMfqe+sTLDYm2tzs2dLruEODJ5HwnXq3baO/Mtu/J+T+yVcLDT6GyJ dVZPoadcPoE4dhfMi6CvCdlu8NL9yG5DbxukbaLkbm44Dkpt5zI8BGKszzvpjc3A71AbLSASOW2K olC7U6TKrFKEiEhOX4amtUO1JXrW+UlREKnaiGZq3D5SA9cUtqC0uGjnGuiUf1mV5gBA1IO/dp3k k143yEf6Zp4l6QAccKJezfONch49r18+73w3/I77V/0fJYndPWt7p7sxocaJXXpxmPZ4FM+SSCXS Y9zWj3xnu+FHotuOVK3bl6o1dnw92wnNNkCaMGVacRBkRohT7oE9/GWjlI0tNrrJatCBfdZHH0KN jPJ5J7r9irMZbmKn5RtCvvPceEswJKIdoJxSZvedtuhY/j+WU4Gr0gxFde1ESbhWn8F3Guolu5vF JUj90ubHBuuNLJLn3mcz9PNOcsOqlw1olb11NTFrcAs7PSh+Wup8x+BSy95oa5pRArPWPY+iQLtz HU3EZoYdIW9RXqm1eV5L3xed2WFhW2FRSXdwtP9ZVifN7gSPbOoOb8L70JJKiLpLKd75N9jxO8ct S32LR1H2QYUkME6lkrPwU74H2lgUDh+R4BCi2HSXUhRooxeDBHxa8l825e4FH3H79NrooapJiirb KkiWrX6kqKVTONqdAAsZcrharVJriAxuWvzAEcxzfwGO39ltVr4HUVLmO2zBKtAG1TMJxnZiyHd2 m4WgX902eLqSBGKzFAbaNEtLjPmkpSv4GrV0U3F5jonLXbMUlrYToX5Lqdtqd8Odo2ezHMB0BHU2 5WyQqcgJFa/Sb7lNHnafd2rbLSShYsvhzDGR1MgA06Y9CIQfPu/UNnaivsttg0rY5d5uUajNAP1c ytsNHCexLlxLa96kbeyLh4yK25OAyJKkO5z6LZEAfNToaXky29kBP640cATIp9RC09p0LZyoEfBO a8OvmqXKIQWh4ipw51xdU9Xt8xmfd1ZbfkS7vLoNB+jbuH0nteFLhfFP1wrUgMZMhGQngzZPxXam wv47p43BtpqRLLq1fCnK6RzbgC1ucZCAhiWvpKBgvhfoRrBksZU06ETwzmnL0scHaKAIO+AyVFCA ykMSXHV+3ilt3K/957tNUtZ3d/ud0kaUhHSYm+u/tdKb+aWMRA2chRYA5MsrVtI7ow0/kmRwRNQq X0StfPbeBI+Qp9LAwaX9hrlCJxawwItcpwjVO6HNwIWQ9s42eLxDDUy20Fpan3c6G0sU6SslwWVB mRmbozDcnnJxWq7jmaSaSwvnVU3Hsxk1ufOT3s8kHIAniJboK4enuH1+wCKnhhO4F3QGd2nQccxk jRZUaG7e1vW+wdFNe8vZ+h2EHm3g9CrgxmqPA24/knhwQ2UN1ko2Se8BN6J8FNta624GnvZ2sdNW raQE5mwz6tE7ky27uEtN1FvkYAtp0eQSxnGo2p5baFMzIBWmbCgxFjjxWQwwyIF4p7IZSHaA31d8 EOAGNSWZE85SP+9MtixNWU2SjPcy0CweA7wT2RhwM6OdwwE3axZPblfy0vYAQcFw2nFpW41bZGUa PJzcGShkYknWCfdSUTgJ3C27oWDhenKrGsk7jS3LOPdbiNmMB87dy60HUFJrn3cWG9sH35kbSNbU xLI5ikrborcXsf8ZJ/XtTRK144UAgDkh77Z3ChurxpQxk0pQe1SC2LoVNxuCCbVl0iUqUWUDygdr XkwSYK/8jmiKyESuK/tgNZJz+U8BH/b4vNPXfNc8eRva2umGku/sNTzVKehSb802zdEcJFGsB4e+ bTKs9jt5LTtrFB1+Hzy5bWkAI8/+/4kgJ84cVBLnySqhDYbMyKNtraKorN23dANlcb26kyHPiSZ9 RSCgPu/ENZ5m3+g/nIKDPGRNURRtUxK/VtFEWLDtVx1oUURPBdvmTaR31lo2xmiDn6UPntr2swhx 1xO1tWGEQ8g7EucGGjpw1t5owwX8eSetEeMAPBIMpn2w3HYMiTKcFTs/75w1g8N/BZFg1EpIglMU htqMjyjartx2U52AumWTCF9xsggJ47UW4rWLitkso3Gww+gc0Oe+R0gNF5TS6UQNSHchobXQsdQs LrkW8B3BzZ/IOUYbwgaDbCGvJCXi3Ah4PAgh+y/aES7a/KyiMM5GdglxAm9FmjlhZ6HYxQLHICeF qygqaUuis6HJa8MttHXIgjcV2k6QsSt5WVDba0BNZWChvautnRaCSIhCTl2wtu6wtsHCuVqRZeDx oPV/K234AbGCwKRzYk0UZncZ7kraFfqrAML+uHaE2wvA/cRwtv09zEbgwSKS/Jnm48/EKnZKoISg 7H+WlLSrM7hkXVLHy6NsmQv0kPuoK21J+265qBuyQvJdztdtPh4wR36na7jSSPbXFEVBNtI6qAd3 twEtw+AkQEGxXlso9eoODD3CkAxe+yezuIOmiMxAupwQr4W+UpWyxIlTKpdx6k/qT3mNHtW0a5av QE4+aKuNE1AQ/VTryUR6FGQza3jmaDJs9Y52D4NsmZ5Q1kh1/9QuzLb5nYbfzOr+PappC4sEqyUf LMhGD6CSd4xEBMVtUiDhzgT2CJrXtC+zii0RJD0KsgnYwt7lgACsSvOnwvCw8y9vBc8HPMj5fSBl CDagUuDTFDIh2fg/R960XKR0l0KYZpK2aL7A6Kjzk4LSfyHDmHgsDQ6OAGquCdYGU0IAZEnw5zSh sA6/aK/abma1PQqzi5C2KL9wSGp0LLiTcfOdXdHxeMQW/V5LCHg6+II2SREdctDMfbclmXeQthwf eZZwc6ZWT1RIOrlIj8Ns+QuJTrseOi1UzWcxI489zwWP1hoFcsFQgOHJ45O+eLX1qLK96CYLhUZ1 a7PUR+jYV+nOBbITng/utuHlkcVlB7Lq8CCyx3H2okCEwY6QsF2kbWfvSpM0pkma95ASOVTSZ/jD wStto0O6ZEvL5kxIJWW9A0u8BIYq+R5KFHjtEWQbDRQWIimDC2njLgGE3IoMr0++tvH8f9lvbCNJ 8oeTFFa2KQi4aW38PwIB6X0lBalCmhY7bcMVpHoYaU/hZ2lvtp/ySD45URlbomQdAvio1ANng3sy N8BqUeS+dCR736iIRMx2Yh3xhAPYB1pLsMrkqXJC2IIPiIBtXtvmf5tYaruH93u0jW44iSQsJom+ vgEGOHNTQD1FqRahJETLfirXUhRtby4iAC598Hrk+asQx5CQBDg6qognjqShLPJqdAeufQeVOHtU 22ZR+9xJc/ogyFZnzZx5bZ54POLX+n4Tv7aDHHnjpAi0DelMxEm84KjJR3G9/0lZAPV1lCMbG/Us R/YIQqJDCT1mDuyEVdb/IWKeFi+4uhAqJZrBJMpGIVCp2nDTq0gV3xIBSUnaamxwc7ACCQ4+VpHO +YrH/7WQqDcCFGt/+H89jLgJ207TLjX8IxU4WWuTGCdrbSgeapaiyrZa2yix+HCpbQiyB1vbrUAP vQsiiROCDaBKgTUVJAdJpD2CkXTutJVISOagVhLA4c0wyROPR0vpZm6L00RvoeoR5YiCbupN1SYb 8M7CW3M80up5GZCE7ruMKEdU254CkmTy2Ue+fPYTTm7QR7iYALbNSScYqIa9EC+5nEkice4RBd0Q OnrJ3eD9USTsuk7uNsKgu6+voxvewgOfaFMUBd2dTFJCxIwkQWliRkrDNWy+JUdGXNnmfqMfswZb SSdth6idRUolzSJwO0Q4FkvqOOddg6zY60YcSamN9CYEAK45TtJZn6uLHYpJCkvb+04Su07n0J4g ttoshcBtKZCNJCGfk+xmSpWqV9iKlW3PQVxtlqLadiaHBBLJGqjHhOwNvuIZChsyDTh7jwdXJcT2 /G1YCNc0AKcNviOAkZKsPeEry8GcBk9avIVO7uf/8Ph/6ZE0wMiATbcpikJuGSsU5PiGtZ1ug3oO pN4d15bdLnaEIbejbTTQGXiw/AXl8pO7NrnFZrqrIp0D0AVgJDKSLAKA3Ru+I2pIZvXYpg8G/Zsm QgxSDx4PDqTqU8TmI/KMPp7NFkXcXWLcqzhtK29bO3D2NFAJWBLNigAjirglH9E6AwAOjo+Eny6N uYAAAFSFTNIBza9Byw8cZca2naS2jaiwnVHRRiaAAKBV9qCsJtmta5tLxvPRQvoOJgeCUVRSbZKi iHtI4paBLqMkEmsMJjGNVVJpa2R7LYq4pfJzzp/ug+du59Y+9zq7thln06L+9Mg0GDtPzStXnlm/ wncEe42aiEs6EutKkSYQ5rLMk8bE41Gr7fvQZnp7IhafohCyPcna2tOnaLSrg1TFSOJxhJSJScmI INtVONsF/QMND7Ktn9yTSuV5rJOkc4rWgIEtBPCR+F/AdtXbRo02CmmBV4uBsRD22gm81NtjcjvC WHv9Av/hpoW8lU1RiCOprPi3J2/L3UlbENCxvG0iB9AqimLtKSzSFuNWwODM5BZWggm6G2eKTli0 k6SUwbLqJE4RkaQpQlUA3xHFR5NwLQpH5o4wRMA2iIMwJjtBRsfz/+qzcT5xWsNU2+YojrUp1ErO qo4jCbOR2NYckAQunxWTRhhrT9KRjLvl1tBQ95NGsgQSsM1ooYDCxvhZ6HBXtAB8qzGIHGGoTSPm nnlmd9bPkkhbEG8iz331ufABEUDiV4TEY+25+8NYm6JjY13bRVP5o+TP2N6yJZJQmy2sbpOKvSUp xsF7/ymlDjosktsOLyHEmfDQOJOFY6rMR0Vi69AOq9tVUCSaxk8EDMp8oPg6aax0cvOC56MyiTf/ uez2orakTdIMy9vUSOhjufPboJ0pKwB1mZ/nySZwfLACMENlv6ReWxo+eK9tp5O3N2033GK5aLsh 2oGkiFDt4+HazhBEInQkraY16NCmygM7AGfd4vFgim6AVLjmGjrbd4qiSLt2qSHxbpcV+t7CkEAM CRhk7rbGQ/tc/jOkSG7VbFW7prKrRdq1n/MGpf5zNqUBZ65E+B/lSCgrW1jfNrDt0vv+2QMoqfpg N9uZfdPa6AWPB0fS+NVtw94tV/pnhnIkNOHostsg+b81O5JQk7Ry2zkDHNg2Q4YksbYlsQfCwRNb 6oOplQQwe4MqBp0lCurbIIPjCnakDSUSZljfpn4dSts+GK0tsfBAQnLF4xGFxE8kam2cKZtAUdkk RaF2Yo2kKAXBNdellTRY3U6Oa0s7+SRFoTZgZWj6E9fG4cnZOgC71NoYi0Kz7LfBowAYhVYuZ5vy pviOCELCxnaVxFa1dhvSvyJRL1BV8HgkpPk7Gzkf1i88YkaRdiXWdncXSDgRi1//s7TqWNvdvfc/ o0h7yDVwajlR8/HHRSSg0kXA1snIUAbYZEeeiGJRBQ31NpdCptnUDNmRqYg8Mn1Q6g9FXIlIlF7x eNCSbL6MyCFBqF+nN0lmFGgjz8qIYtwlt0oOcak64mKsNAbjxTYjCIm0WlCv9cHmaE70JPF6cHE5 OxhnE5wBznRM1EHBL/IQiQaUMwq0gR1HNit3BNdHqLBoonAsBTLxeFRo+0XYxnyXC/2fEYTEFP9d 7wfwNq4dRkjdMMqIkJLd/TMqbC9WjmqX13n/8jqHfn2HHwDcNgBloKT0AGm1I7Hc47E6RycT3xHV IkmPgBU8h6ZAG2JCPDrPyhh8xShd+25sIyc+QdWV+wuZkYRGKruSFEldFh5BAdN8zk9Q032nhYJ/ xEcAX+SDd5E6ZCJzp+g4XU0xmRATGvgVEfCOn19CZDOsaSeeQug/2WDQ/75FNjgvz8cjrJZvNNEE 0F7pjkOeEYRk0vpup4c82q7nJL2MrWmLo0ZTFMXZI0vKZnUfbKOdhYqKISX/MlQEKegC1Ug4waN2 BymYW9JOet0IhkwiOy3GFB2JDDmA5WGj7PwcFc9HUKRfkjaMam/Ldoa8SNZGShpeP+qluzbCTFcb Ic1uai0zVCIhWgvCPj5YBJnTCdKhtUGARAPMhpdaRyZQ5V38TNIqet0oOtrSr72DEG3AYTAraSem w+NRCOnNEZEjANdqVzkyirKZCkOJxAHtLXdjIYMA5cfR7N0A7SsCkQy1aqsUkuq4jLaz/s+lCSg1 FlJv4McA9AelDHYkW3EQiVH+VwgiYVObZuQ2GFwL0mD+dXg8IEZOP45MjxylEb/4VwgiySxD5guQ 6MWt3wGr9OModTdBWDGIhNWj3osPfqm1Artmeo+cNVo2rU0hI0ARZCLvLhQJWxTfEZWzhbNhzrZg daT6KjAY0mI651LB8xGkzecIP+B5qR92F22OQhltskcmTz+dR7K0kQp7vRaTy2sjKwSR8CBq2weG Kthq6czLCW4nz6MzZX157rug9Vh+VslPbHT+Hb4jiB9T1XW2fBAWCb+A67Dz8Yhh86ujfSa1g91u UxQTI0kYFeqISX/uDiCBPrIn/eDo8zRaIU67q4zftg+Xq5UXDJCKUH/wDEbbf8J2HO4RlCT2i5+I thVWs0VBhjsiDUfOf8Wg7+zyxZIO1GwKno9gf+0roc2Ah49+aX8rCrLRqATMf1zEf7P8/3xC31pS YEW4ifmKiZFkRdA+SoNXas8UnwNNNoA7gcaIdVSg/bromvh1r+1hrxsU2QggwVXPAaR1hZCARmx5 vc+M5/8l1UbgXwJ15KqQrijKpihHMRZy1z9eElKeDiCZiGk0SbEGCf3H0GOywScJLXlQUUFFhLFd Z0O7weAkUyqisOoqazsiT/AtEeO/q2t0B6VrJ2umSChSEb5kACBZfiIRGYG+b7piNiuEaifWjIaF RbmRKmqTJMyktptAEv2zwji7EBIx1c+eTz8b9/yJrqlCCvGxhY4mNEjYHwFebJOENFRj63rdYI66 af4ODV1nCxp3izbgIO7i8Uhi6xfJFgtpXPTIigJtolyryUTKHGK6od2JYAwZMeAcZXMUYrVlkbgp QyY8ky2kE1EDacPSyFlPGSbPu6wJQkQiEhqq/g4fQdqEb4myEbZq89aw3IoFoATuFnjF4vF/KSNL RLFCq+We2yFam3CpmoeXtCtYcaZAchW2TrqVDYq8wpI26aNp8GTi4KH2ibLP4dY5SefM6VAV3Gxn oCBdieh17aiCLibfNzDRWCbWdgfuNki0V9aHUZXB40HP/2a1QwU5MnV9kqJQm0rwVbKCatVma2yf cIZuD5KOGi6LtGKFbVtCywer+7ecTzggYeSGDgNtOQE2PLOKHkBemCMLkqiLvKJQO7MQCZU2H0TU OtFENjutWfH4+xxBl80uN7G117k5rqLdjmJt/Ia43B4tm5muCMnorq81cL9KhTzkRW7Jj7HWxsEj gDN9nd1rqP5N9O4o9Y8S8aRneb0NJNlw7yjWhoYWjqKcfNA6gu6rNMhGWng8Itj8YvyhgNQvwWa/ x9rgaQkwMrxY2+awdbSs+0+eFiN5TlHsV8N1VORXU66hRjkbAX5zMkye84TY2I9oTJ6ZQtZV0kX9 FarE4EuC3L92qUdsHwRCGjMvedvOk9bu91gb9te/xOyIObjlkR0Cttljm9PgtBlGRBeC1MxB+USl qFRqjqJYW7k/u0Q2WA51cs4B8xXebEihM/1KO8BcoxLkm+ZjbDv0usEckQ25i1i2JV29n7am1tE5 IvB4BGr/FrPBxkX5z+coJEWSqFVqvvXs4SykviS5JehI93UUQ0ckPSZ7HxYQbKvhAsqDsoigIO0u DBI0uxdlmecDRJ682HYUbW+a/iII9cFwkSfQkSDExjqKsCPUGLw1f1yP7WHX7hCsndigFSLrixxB nXZXbye6yuA1OwRrmyoyfTU4eC5+zqO1cFGjEnk+qnEdQR4Z7eSzhOZTZkPuiO/4MyEpwrMXhLa8 sRG9UOIdpJCN5yOY1vhKSHB+gOLlcxTK/VE3iv4JJkOyquIA1Nm2sdlOPpmNzbZj5Ag2Wc+s/fdc H05kpzQ/S5HnxzinQJmUIaEHO8hX/bLZFkoG+I7I30e0UUkjbLdgQ97fmdeeKGDh8SA+SvsrG4FA wSqXf7wj5AjCE9qK+a0259VE6mXPS9Vy9bEdiv0tmiGk0Xzw8gh0IlejuPZJmstmdAAyBpAjiMuh GC42G5UZ8R1/0EYB80s+CKXVoS5ohTM+HiVs37caW1fjmmjtMM5Wka2u6/JbDS4Ci223H4GZShHh b4fIEe60LpR2f1Da54Y7eUgHXfwcj4ANpi7aKBoli2H5tUBiiIPvCALItJXz30FTBJ8XmUQObLRQ Wnt+448LNZluurbDgjZ1bPVtmiLptTRiw6o5/LYtHFvnJwWXWiK4Bs0GG7y7hv/BgY1GbB2ALXIi Ec2Bl/SzvnAj2942Oox4UA95HY670YBqksfPiZPweFAcuaqaBFIg/1r1av3uKMgWHnL25upjq1hn FulaNy3EwRKCdloEG5kEgkIhzQebo4WoGr+zmdUhqxUpkorqANpst9ROrNXuWHpEshp1+mBZf5ZH GoSyBx6PROy+pRBlOp6vin2KYmygNoBjqw5jnyRfMKVtUEgEgASnERWRuj4quNWYzW907m3QJGFe 0knaaIjQYTyeuooj6NBmQJHh6H2uBXm0r21vHFmOTeH8qEE6ST5RMtIpSQcwW578gAg5+t0c4e3R H+OIFOr9FfVqGUmT1l7oWgMBknOC698iZRtWasspjrUzM9o8fLgUGySuJ3RnhaTgnKr01QJJPKGO VeiUYYo/zd/4faY2OyPoH/iQVH+FizDP7jUznw9Skvad/xMBv0BI9pl6D7jxnFgjDtWuue/p/Uj+ vGrZAogga4QUYrVVJ5n0RhDZwmZqIQVBoZaetR3VJUJI0MNKMPsriTJbZjOa7Y0jgATZSMV8f9Dj IHA0weJEAPEJM9YURd0j169DvLErWh4PqbDGjVSjpdSGpyZqs8loIzlGAoplPlOhYWTh/QVNaBts piCtnHahGMm58trYtCLrEv6DvF2FT9lt3hZ74wgmSf5Ioe8fB4u8UQ+moBQwAHg+qnN/x0ywLEcN 6k5UFHsnbj5otFmdG161fkzNnI0jMRHz2OaLCt2DCUrJIiXlx7f2nEpIpKkBUPcetJ8DKQnQXZi6 nI3enQVYMumk+JrIcIO1ksVSt/CKPKX6XuLU1Q0ziRQCSi7HvWn3Af2Cdp9PVVjtLoQm0f6B9K0N mRieU5M0DxYpTwpCOgmNycJyN0UAIHnlgyMmOtpUm9basKiCwS1QbhNnP6aN+n9ef0vbXjhKeFkx ocuvBs0UIoUmlNvQ84Hr1hUDZM0FkL5zKzxrKjaSXDRrv+YkiQ1S9k7OkkreO6kj+9UXReLSSi6S 3iiP9Ma5S+eJ0gGVw5rKZbEEVfaizR1CNuaTdqAD4KyviUSlpAFMBAUHlU+Aa1Daemabz0fU0vXr QD+bau79HFOhRgmDzcrwmTNVebvL1r7bv4Wo9HA/oBQWvpmzsCRtg5cre+cUYUn1c9Ai9ERNF5dh zsBC5bpvnQnEGn3NXyATODpoWGoPUAS9qD1QGp+PWpbf2S8y9BPEPDHCe1RebO8t+ZTQgisbtAsI inY1gRHlmylgJFVSVWta6n2vp/d9kmuAtwToOtsMcgpon6CACDTdD1aV4wJlnJRixxtTl0g+aEFB LEaN3dIGnw+2Xv0F6cK9n9pj55ZCQPeSedLINk+omtk8gU1u80QPG5unGGpC3Ls879KX513tG2Sr xuMcqMmVhcSF5xwYGhnSUM55q8VeOHJ0yerFDR80UQV1BxV3GXOGtjftV3SOnwqVMJun0GRykq6c hq0c0q28dDmSiwPBIfv6J4ZwE3a/W6F0CQenT64xoASRKA24zw9YiH6DBF1nXLOLh5yFUhf8lmjf sTA3VvdBuTAqElxdZ3VMPh/JKHxDBdBdnsQL2DxFsTms1S5QWSLKuVjBoArexdh8peXzFGFOklDv 0nbpj7YL5O5OeDapV54mwF5kpWSKKqLeS+SS6d7RriT/4TPZXwC58PjsYm60E4vy+Uj/9vsgJ4g+ XcnSHDhNqmwKgnGtnhAnky+FZHkzrWkYzVnRIIdWk0sSSow6NTj7rffz34OpdqapT8jGMCNGeayj ZA9dAp+m0ux9I9pSk56bfNy7mrzgey4K9aH8P/j8v7xvm6b3PDCfXRcVw5fob/UWeicE5s3alZ0y Vejy3r6awqgcZ3ODR6UPtprO2XT+LHxTO6kMrn7Zv+EUR9r3s2jBLU2u0ex9/9h0EHJPPgieQ5Vs 9rdXrnw+Agz4NKlrxRYWcFE+UaEBziR7aQ6v05VhaFM4aRL0Rbmg0u5EhWxK0SgbS+IcbrkX9mvo TwC/jPqf3CY62d0o/CF/tuxFmko5NJ1E13tArydrMJGX0QC81oIam88Hzd5fRDjYVVCF1OcpEufu 5J3kSSYc56mVYcW6SgMoabyDC2D7LgzJae7WIdhuw3OMQyisJMlzwYVtqSyecTgMQ1YamKn7C/9x Pi36DdsgaCWE8KTPdT6Iz/8XGxw0oWoqj1twSKpMssJbxQoHI1e3wivLCwfnJnPj8hwaT4rBnEpe Pngb6uTDBTxvxJkL8lOQqDoL7Bxo56c4Hwx/LC/ZqRYVOk9Kn5tHnA3KhmEeMPWFXPVRQL4veFD5 HDQ3CMHxmQp1TDpBgypiMh/uudlM9dEUnFe4GHrhILCfzCbSkSlyq8G7CHBw25WFA+ATTiaEFZUh QbrA38yluBxuAeZR3/IHzHJR9tQG3XhA+cp+4qRffD4iD/yyMdkIDvIzT2GZfFKYU66TWFFlViuw nFBqCE2APu21ew0cKHGZqGi3tw+WuZwdkiBi15jjJfTckKpP0uwT2yzIAhyy05u9caSMT2DTLN0H ZcMIYuWzsVrh81Hm8q1CWUinrjciD10oEd/BAGE4nzmzA2Z2psUmCm3Ou/XCiJyRZt6k63DwZHiC fJgJtkA1IVVy4xJ+yLNjxg9xqJfS5C/8l54p9FF8sIh8pbm1l2bj81HqciNyIi6R+7N0YTMVelEC KAHttOYzBceOHxeisJonZV8NKJdDM0oYxgDYzDILB89doHaV0FM+M1WgrkgIODTUfhaAsXPlpzvV 7H2j3osAznlrsFQYzCwZdveTYvP5SEnwW9NcIob5maYQBc7GwpIglWJNND5ZhhogK2dW7NYoXi4P /SgHXZbKIDmFg08TSqN9VJEtz2EIUe4zTetcINBsgRfJlTaTZXdoRykt6rXWHbTvMiSJm87myef/ Jf3OKigyPGTHPk2hrAn9qDtlnQkplP86C5uDpDL2X2q9DrmBIaVrLaCQ4oM38so5oLhscEAh1Mc0 FYSduHcQxEGs0A6oXJO9cVSu045T7xzyKXKi6AihyAnre21+QBSVO52QsGjeJk8RKvSkZJPg/B5D my2j8Ft83yUTq6pAwN+ZigHhvOsmOZccvAScQE7dsu6EBPgi+nJ0lQqR9vb0dedte+MAXkAkz5gE q4xZbecllLN4EJ98SK8ZsXi+QapAKn3JCeXQlnJX2Qnsa5aTzDcXEozmM0Qnek+GA19K9zhtZWUf /CgfJx2bHciZjsiTvmQbFZ2TrCPtzyCWeqmcUvk5NqakDEA2SjiNmyRP0VksR8GkrckPiJoK31pw 7L32p/sSelOqarBod2CksH09c0Q2IFgFrSWbqBitwiNqU8GbgwUHM4MOkqjhlda5U1ELRlA1qH+c 4L5wQZi52vsGRxTlTvOSmMdy0xyIenYiw1qvjc9HVJVLmpdR00bz5brBh/aUjX55k6Eh5gndN9PM mYh8nKwC/JbNUyguyM7nkB3seOxgOVEDCgzUqMZFKuVcJEqQQkEMdbWXlr9vAMjIhD51cTH6diov oJikWZ152nw+qq7MrwMKgcYJym4RKjSoZK2spmloOURTyYtQuY3pqBUYeto0hVVyap01zUH7Ys5D jWSe5IJqnu1MIVKhja50PzEBQj+dT437bvkLB/PEdieMmjHkbWWSSStskjFq0lsGkcH8LmpuKnmW JzKIYnIRe7TaNU/LxM/hjp29WJdo08hsOPCo9F5ebazZcbDldGJNyDxsqxr0MxXiq25z0oBGhwVQ 0oTLoUcldifUF9vwQcnwuSpMZTijjR6YVCbpl97TKYNBuFAR8HmKQnLE4jiemp/jK5mLN3ThHJOJ NmX3eYqd4ZkGj7J9uICDiUuPnl7nL8qJdU90KVEkR4eYOKgp61z1hkOnykE/2L3Y+dwQANV6GmO0 ofVUO5+Pir/X8lSlGBjD3NQl9KqcND5fjBwEqCNVQILMzODF7YWsg/ZdbFa5BfBh6sLBAb4LhsmV ihWJrhWN8qiMPRtx9FeuSjjxHLpVKhXOkzp6eXrxF2895MPMGnlgV/lL2rty36Hz8pxPoWHlrtKx XW59DqFKO59gte741X6bU4FjJTA41D7L0qzKD08comHIsalcXdD35nqaxGrDWJcWfRdr0O2FI7YY UWO9bR+08U580cmsqHtuPv8vpUHJgABSP595CvHiFK7I5ZmnmtzZi1bcJhHHOFbzFJMz1e2kLRMH 33cd0FjA4kDOyFuYcoTmtEQCgD5fAbTS1Z0KjStNAa3agCKlkhD4nkq8r/XMD/iX5yDeD7WzNa96 RY69K7NUUG67s9fkgcEkFosnFCyCfaaikHxIvipxRXGwmTqn20BkwL5waQnEd8wUGNg4S9FBZ0eh fnXQA/tKOb2AeZBkX3k+VbKe53HRJc+/Hpkf8K9smO1RZMOP7HCODSw7eRrLID201jFPPQOHSAwF MZnKK4GDpZ9ReRrL7jnLT5gAsQ+yos6DSDLoOkSbRqDsaB/rAPu87IWjzvDWIa4jHQ6FRERBvl/W g+c7Jj8gotd/Y+yZA9XxLKkoJudeOqsouYpVKjQe+sqHiV5B7qUsLzSy1ObL5DtpuHUoaDRBZLXB qg8q+ARqLmLHYD0MT/lL+0nd3jjafAQiAmNgg2rA6EUnReWobAZelqiVf1c2AfoibcQnKgrKFW0W IVpNpslV0YF5/TGZpvasqAi90liwK4U+BKU8PgSAM8MJlfUVqKyCmb6lnkkDcDSkH1pLtxf+q8Cy EUljQEzHugG0MtQ/hHUGPyBIXx5/XdIXAJStT5pXw1o51eMMbECOlJAYLG32fH2a5rwz9Q/0Ch0G NdiSKufeBGCdOJ+0wFHgKYX+BSJ2KIzNemtRe9kbB5sv25K6g7UVYB2uSVudzwd771dgDjntAZCI T1RYLKek9UqmPgxN4uT01pmN9ArZzzl9osLAXOroEnDgYAFChsRKQ2uVsl9V9qjnrkXQkQi7gaam A6JULA9NLUezWlTxgROFracI4ST0i88HEWf+xq9k4CDX+DrOw2o5HYn6ZAOYivJAnJpjY6WUNc0t 4Ook6Fjsa5lkojuaD4aaLhB8A3OTlHJ6QqJqJwwDbGbhweoCoHTe09dErgQEAS9qN3EwdmLvtHP7 AYGAz0cqqe178+EgoEm7zVTobaljKpeHyuHGKWVYQ5Q8hVIdwRKYW0Izg57Nmey7mS/7rp8TuKF1 MFE7gKJZrnJ4RO0gUdNlWU6cGfLyS/5gA69kOV+lNEmilXxZEigg5CBwt0xyhX+q5cip9zW3zKG7 5TA9uTptmro4ijDe2ay7Gbgceg9cUKG9pcrAvRFczsFzYsgPliKPK5zcyH9hAw6mYaLyPvjyyvWG AqnA31LSLDzNZVCMuE7tAfgCU++nQ745hw6X6YLLmULjEk3X5iKHFpeVdukWPVWx8avV7EYx/A/y fISmmqg4ODffneyDo1tPWLk3wCMU3j3nL7HVE8leL1SbSxc4loq97x+2MucMrxK+QEzPP+0c5JDS 5q1XFj8g0pX9RiLSw6k/iKjA5RInFGt2JV+WWXZ74tnLFbwa2cmKOba5ZHuqiyDM4XKozvkNIBST 4oaOIRVmkOx3lPI6mXjzMSnKgculrGEINag+KClm9UvGIEBEhTaXvwF2OBjH+FpOoRx4YhBTh/eH s9TTiWwdZssDrwsXKcyx0aVqLJJQ4+Bdqgzti21AxJMR0+yiwg6GHic/tCZyJR710UOnS7k57bHv ICbVyfQ24ZZnviufj8gvv7p5DSu637A89LpEZIMGyLT8Bf/oEipg8mo5NWpUKSwPzC7vPDUqqHKw aBPWa6stUjqInAYdA4cfTjLc7aWN/SBYtr1wwKYi8ne0pSEB3MEY6pwRiWBaaOryA6Ii8LfoJbTb J8i4PlGhD4+8nEUSVh9mWlg+a9mXbN5uDBVYXmai15DhzTvYgsod2+LkjYyhprycNj4fZ/gQfKXe nUepuRy4XuLqIdKgNympAETGcAAOuYyhToic+XxUBf7l5wxc1k5XkS+HxpdT+k57ex99TvMtJjtP hxVW8yq+pCIIS5U++FLV3IMbgBFxagyAVolthWwh/VNRCjxbpv4sOjponsQRCqwv0aWSispcPuiE KihwcDlsUIQC70tgfX6xqXBWrnEVHj6vAVTBhmdCzDKh9C8VNbFy0IqrqW0sai6o1/CpXG3HurcP XrPb6yyoQg5jo3URVbD6Yt/yRMi4YS+RCuHTa/BUTJFvFckWctAUQd1M+KazxT+voVOBzKyQK0s3 3Yl/UObmvzi/Szg9LKyofGltqub1gjkVn4PfOMyq4DVsKjiW2LrrksHqj9hM7hASXtJPz+dClZkz AYPi/dFeXnYOS8fS6/yc4JK4w9z79EGH99l1hfNTz0x9XiOmYqGPpoNPXSAG5uc1XjoPQSAJLlfX p6Cv6UCxrHYndhklqTg/r9ESlo+iAOE0Odywsm8E4AQedjJR1GyA6mQGuAydEUWV1O79vMZKRScJ gu56B9V7C2rJPL37bp/XQKk83QPrsqNgiGBc0/MaJhW3J6LyhOnwjOZiRWV4CxgrwJbPa5BUQL8j Htpg0es6ywLLc+aiq4ZSYB5LovkE2xWSIRDhvdODYP3zGiKVTPEzyO81Tg9A+cJKoPs+mYD1dU7q 1wjJDxLNjyJQFT1sgl4DpOLme0RvG3S1mFcBvOBa8qbBbhZHvoZHRebK5z8qvP2zQl8RfxZ0XxOF SiZaEpm0O8RckGojjwzKQtpgm9Jpr9ERDiAC6pdoP2tcdiJYkN75bZ/X2Kg8fqBaQSg2ohhsE/Qa GRXw9MjzeUx3JNkiEEEzfafz8jgbZZkaTNAUyqmyxMTBe09pn8NJwrI4oImW2ee2QT0sQdO+tHzF CnjQfl7DopKpzQbqGF3ApgepyM9H5SV2zofyeY2JysOctgk6W+zcfj5BrxFRMWGjc7lvv8CmO13h nnd1YhiFGrLwNR4qkkjcFEnxwRlRkJI7K6ZKnPjE1UuCcucFFwGdQDD4HmPC9hoMYY8VyThmmYGi byGBOGQGhKKc1KR/XmMhnGDLz6AqNVCUKbvvsddIqJgnETDDV+J6ueL+yauqCrzo8U/Xbw7P6Er4 iWSu9pW5gpUsSobUAT6HflKWUlOiKwGgeieVKze2Jq/8NQ7CzzDNu3n5YJyx0RszkHYiqc9rFFRU nXiWECSAYCVpE/RamMTNV5masQAjxIDacOIZ7GtspQ5vxwe9n9KpGJ28+fC0BNasBJucnAFaRZgg 6PqAJM0uB7tngsjRGv7zWpTEn0g8BUwANRS1Hzuga6SnnX8qn9eSZDG98xsFYQTXyCbotR6JZUvJ XZbANEEtmXg84LDNG3ErXRmw6JQWp6mW5oPD5tM4ywWkZvijoIIIqf0y2YBLkLPjdenIODo2vdYi 8Sss+lnsLXbPljnauYXPDiDXhIjU10qkR3xaQSraToTxWEgKFKNAeiQpNmaX3JtpOtqr7eJqIIPA VXEOo0mitzWrrzY4Omedc5T1NIoSIElGupEBxYO+7PlN0/6i2osXHe2zQcQ8IP428KgGU68kcTMH KKzRRrO0TBsNUU3v1aPFdyIdfhp2dDNlhJnnL6qWSLxxZysbgY/jkxTG00rG9ug+eHUtn/nrcyt7 7Ss1QnMydIlgKTe8rl2lTDz0vtF5zW7SZANgz6cBgCUkFHjl40FInb5vfOQ5E7gLm6T3mNoSlRMI mRARAALXSL4N806vnQ6dBvQKJmkTqws4gA9+YHeI4iVpgG8EjcR5jTb1h/4slmoVEg0BdoMp6tTE RsfcB5uiimyMmdbm40FQNG5YzQwF7Nlc/NJ/59DxyF7UlckOyrFqWqfDn/t+nnBm+UKKImvYPcA+ Rt3JdLuTMEQtPW/Cmk+0iBrYojAxsHAV9Y5CUwK705Je932zUUR+ZTINNShzPSlSIWmvFiBQw9ja FK7t0GaxiKJ7nKP32FoFaELkfY4kXif4BDnGnKOFFpnVaKM5InpiNRqkcbDWyJmS82Nt1awHEAjC PKPsNoAEmuVpjUyVHsPzCKXZwifPQE4X/rDVEyIuHDI5oaIWzFG+6SuPbehnAErlkxTF151Mp1aS o3FqN3e9gvvMqOLnUJzm+/lOncMFwNnppMhr8CoROOGsm2GzrTwlSEzVnQHgRIaotp3ag25E78y5 om4+juuafOAkVZTFCbA9uXb5vBPnkE2k/WuSziOz3hD7nTiHc6QxKhrdNXcpE6QIsqV1JfdRg9Ik RUG2VlLvoj/3S38+xxm0UTabIohFd1qU3UMfbhLJCuyP2exm6Q5EUTa4MzjFVvHBALotSdSizbw/ 76w5JsLfK4nmBoO4Ls5RFGUvyjfS9tVgANzZAkwoUet0Ik5OBYvCbM1Rran54Kf2PGlaaUJ1AWMC DtVGgQ2SJHDjoj2qUeln1+u+zxEargOQZZJ6B8v2iQ7rRfboPXU+HoSR8/tmA1ALCF+fo/dAG+uo MEG7MjuLbGLRCimEJJmdnr0mG0XaVIeFI+P0wfm8BZDstVmShUdeYkES0ig/pEVASu42jbre9n2K 0APA3CAG0KB6EcqZTcksJHaiSHsnP7TxGK7YH8YpNkdRrE2n6vO7tGlzNNiLEEm1Wm224txwhFIc bCPjH2ZsPW/ZugM8V8HV4IF07qZC/cYN2QxwcBFx96e+P/W60SQhfqx0xtDASWo4SKtYzIBIRNG2 MKB3HYHkWKbP0TtHjkURmaMv07VSCGymTWO44j6g/FZ1jGNtQbvJd+bgQBJcbJUt/oGzFYpgSPrP F/yg8MLow8vWDO8/7xS5cuVklxBKyySh4CoytxzkZ8mfd4ZckbX6V1kE6tYAl9kcRaF2lmtToZuV YKbFJHcH7RZMAry6uvU7P644MCIvFkY4OH5ro/uEIjqE9yDbk8hMRRqX6XRfyfuzA4nVx3eCXHEz wikxhgnCZVFCcn4L092DBso7QY5r4jshOW9DCr7PUlS/VpfoJAweIy0Klv5/MVJyb6t3elyRMDLp g8sHJ32dH3lQG7VRx3cnVOJOWolWXoEeCGL9S9LRUnqPti1rm+C2YgBCRrSadXLMuoRbK+vzTo7j LH+n/9j3DYVIm6Uw2p6SqWheYxtrNO86jm0+cqMzBcWZ9E6NYwLIpHbL2HLftXRyBBZGJmapU5C3 UAYcU5NRvQJmyTX3TQDlnRmHb1GHKFOOoeQrE3MCiyHL5hNRf96Jcb9LAEjbzgYBKtUnKQq3F2v9 RT0z1fqnR5Jt7eQbDtAqbbi4lm00r+zDLQAks2AFb7dmaiYiAJjoFeFz6SWvSq28m95ZcZgj1dlK ST5YLXvDb90i1887Jw4X8G2HcNU00qVvO+2dE1dM0QlgRg+388qmuY+G4PB6f+pGYnqnxBUXuc6b HREO3lBbULdZzElO2J0WeuGQtgPfhWLyFK+7R7e9bxAmZSpYQRTEBuHa6E3Ho/vcmp93Spwt9ycG AM4ecCufpPdwG4cSxSn6GJ6T0KpM5baanZnTs4Pa3vlwTM4l51GaD86Hg//EuQVYkDzvVDpzkgL/ LYgKnJ8Vfg7X/tveN6hIDsXbVixxufSTf8JHQatjf975cPhz83fZHyrefaW7kqJ4e3IlrTTvyT2v RWpXpVKWe8n4uu9sOPzGpE902YBysDgJ0jIVMqDMSeZiZ2GrsDdh2p0LESNK3MrQ60ZzxIMbSCCU SZDlcvnAxLFsecPu/XknwxU5S38FSuf4bbN5UvLOhSsOJIX5gK+kOp1rMtkk43br4nhhJb0H3Mkk CIcSt/EkbmfCoEixps4kCH6SFrCg2sMidZ75UlDHttcNgsnB3iPlnDUYBgIKM8JAZL5jVCZZ33NE XORNbt95cJwjHUnJIX+oo/uRVEx7COJey/jM7zQ47DbymFcbzQdbSGtP8JhJMknnsM7mhgVLTWCu zdhawhRE2r6T4KxPjgVUhg/q1p8IJTWDJI/POweOtUVPSigDhvrI3B4lvVPgEFsRBYE+sy2j1MwV HXfqukUS9KXVxo7jbayfCXKxDV5JGgW2VrQBgYHjRKkCQDdgGrDhAHN1XS+kMp93Ahz7/KyywbbF Bsvbzh5NklrY5fPOf+Mr/kpJkNXm6uX/d/ZbcSm9RblxMXD24wCaqx9HaEHYFP2JFOlpAeikQVME +0eoD8BL7yxM6BRVYXA34SxgAmSSV9TrL3rdP7EQkwaOaZpZbVlwUyqy3Jt8/L8c2QgeWs9+ZL8z 37CMOEejdQdrz9ynFW3BL7F7rY5mO+2d+FZcfmngULXhOY0AhexKbRv0hViQRHOV5qnoK/mJ3Ybe NsrauIwEF8kXLtLOFbwlRXy23+ed8+atjnv1o4qDjMmnKIq0UR1i7d+14fLOihyh2Fy6A7UrypZo Rb4z3m4VadKVSYPttN7b6lSwBXcZDU51LWnjJdXm2R4H+aTX/auJxJnEwOXHE7ufi4106XPYlc87 3Y01ku90BOzutrun/+9sN/4yzGd3d4Y3HLpskpLyN+rC1buOosJ2YnwEUR8f/MSGhFBF/wbWxBvh koop5w8GduSn3AN7EGD3znQr5k2wyqJXGgcd2CejHPJI7aN83nlurNPdGUpG/AI7wmPId6JbkWIE 6mvzajFCCUJ7Tc6C/2M5dbiowjvPzVJGiCmQEDjyJQSezZVPNiOPi3z+oQ4C+zBLP51cuvLc/JPZ yDvLjVcnr3yUOmzQeTSwlHgepc53DNbRcx7xgIcHSEseHr2T3PCtRdTu6SS3lZLntaB6WF470XHR oR3Wtdmz7VjPNjj6EeVU1EboAJb2SrSTqULXwISllOKdf4Mdv3PcitR8CNGaPqiQdP6CRTTkCbv6 553hxtihfReSzhtM9D1skqJAG4QlrP/pxf+UfZIAH7mWxEh8NUlhYZvbrJkWo7qpE5M0zurMtKkH ve2cbbzZKmjxPzSHBDvQc38Bjt/JbVa9h3EDWd2LmiEKtEHCpUz6ueD7553cxov8O9BG0Gfsd05S FGi3ZvpmBvQDNc8D7e7AkgpYg3VI3qltnG4TiN0+OB0inR96jiScf0lDhruFSu4QTvxBu/KaN2D1 ft6ZbcX4ECfy3AwjMVlcTHSeriw4jJn5fLDhttckcZOJrVPuUopCbapRNaO+c79RWk8w/2oCC8jZ pi+lqLY9WW1DkOXDJQCey3FPspTP9AExyzoSfd1PNgfJdTSRzMCJEgHvrDb8qgIhDeKOOehUOn/D 4BUOmbnPO6kNL5l/5SOQ3Kv3UHqntDEEYPZfp0t2DUIVSf6rNMCiCyg0kSSw/85oew4ls7paj8xL BnGUmGUF24MemGeD4IwHTKHkeVcS9Ys+74y2Inl8pIBDdaSuRhJ00Be9ghE9z887oY3bdX5FABky nl+97XdCW3HxktxxNpEhCSKPuaUMTdLifkORDyvpnc92cZFNuMj2hYtMHc5MmZ67FdwQSGBivyGi 3FhOUMJy4Pq0142CAOp7TyrizOkCrwXQYJK4z221Pu9kNlYofsWSw0RmbI7CcJs6w4mvJgOCTn7N pmBQEUwbvVb84Igl36lsPN0YRBc1AlyeHLzknpLyEAqaoTNLQbNC8jb1znEX3ryt632Dk1sSeVeO Wd/C6v/aYvFhtccBd/0+uKHNgwafTdJ7wA3aBA7utq/cYpZ2LluSeXQDsDMmZhnpncdW5GYPbLYQ SetBJE2YGtfdpLa4VmVOciJ7wrYQNFOw1EIAltM/70Q2lr0kE5SKD0ov1snRVWs7S/7zzmNjafsm t1x2Z13OelES7zw2or2o7E16hoptdAxgdrvqhdvsW9t+p7EVFximLZcNnrmdrbc6YuoTP+UOzyST wIHZDe444Fksu1WR5J3FZjjZARjq8EEVAHhnD+kLt/Z5J7GxfeArCROKAnWX5y7nKCptT+oAlDnt ckOV2alZBQ0MAwAUN9t5p7DdJsmQ7NT4kp3awFTNLgkOAJE2+UYL3QVgt3/WvIgkouk+7wS2WySB 0LwPmqKdtmkAnIvz805fKyYC+GAk0NZON5R8Z68xamDJvzYHs9P+zaQSqrNGT4btdMh38hqXEdfP 5KnNwWu25/SZLNRCYHElyksAazqBUDz7AkGwR9taRVFZG5i4E2Tltn1Q5gZs2xaEv9XPO3HNjrNn inAMIoP3KYqibbrlVARGXrHdFyFJkVVVI2GeZzstjLZZHIEyqQ82Ref3PQdaktPAOcPRPWE3Dgh3 AHvAzPJGG87FzztpjXkX5qYJ1t68v1BA3d8SSDg/zueds1akOKgpwoOQ8el7e42kRbE2SbWVwF6l ttsVgmAC2i21RenHaiQtxmtzh3XJBfZHLhDF2lagKAsTlHaia5a/E4SMOtxVOjdaU6Ut6W2Dq5+I rdzq9MEQWyf5HOpw54zHgxjy4iOH4k1ULy+rJgy0kdie1073vM5XK3ARdm1g5Gx1/xbVtCEtCULW uoNn/+d+L/VMEyttEMzheksksNPJHrrL3tXWTgtBJJRN+v8ky05Igc6UQo2Bx4PW/y9iDSg8Q0ap JNaEcbakIyQ+XcmQ6Fc6Yg3TRGio3Ruz5j3OxnnNLVZJQeLgQJuTl51ILW3CIwscQQU3IS+aUsfL w2x5C/SY+0gxxS3Nsu2tkZM780LB120+HjBH9jcYCXTGTq6/piiKsqm0el76sSReVuwv5zLmucRC 2/Jl1CMMCZSSdz9nTvVBUzRTOgFL7lSNSNB2wEJFURvHNFAcGdALT/2prtGjonaVcmknkY2Dthra 5ua3e1KRHkXZv/lZiLnrSl5o63FRmzWkbTIR5x+7t/1PjD5dvRSsYN1pPSpqC69F+vh2Frna/iBB ziy57hMGgdYunaQC9gia17Qvs5ItESQ9irLZl5uzELY95RvOMPts3ca61UkICp4PeJDLi0isOOUp KRO/2HpIhaRb6hBTlEqBDJEZaMMTUdkI0tqubKRHgTYQadhEu/rgGRtsAqmRjtwfct1oE5zViRg/ Q7K3ArlldVvyofAtQYDE1ggsMzWYUguEe0U67+cr8Hhwbqfv2x+SDD2vO0kRHRJaTOcgGtX0Isp2 59Sz4ZYR/+rZHd2ykR7G2UsIWxJuODjQ5uz7lZqkS6HGuMnV6miXntT/rODx+KQv3m09qm0v9Ws3 QaSJmZ60NCA0p7V0cjY8H11u49eOA1t1eBTZ/wy0C69kQ/9lp6wPNMZskkZNhpDsMSeyq3/UfHB4 xEDtsCSRjjdKxJlo5POKC+sHYjr3VKLAa3+PtBNZjVAyT/KVhfuGFBDybiq1nYxt4/lgw832ayU1 l/zhJEWlbbrJt8Tyyv+w80oi0I/t9ETpW0QAkIe07RaH2kbW6j7cY2kBEkbzQTBsWVAC0AZ3TW6A jIKfcelIU+8blZGEjyCBFOjlH4nagzEtM2WKmeADImSbTxPb2DN1V8jnPL3H2w5JHiDVZvOEQez0 /xj7shxZcuXY/1xLQeA87H9jopm5M7Kk8DqN1wD7XXVkRjE5+GDDmRzADjK3GeYJnfbKxRTF27Rm Bhey+uAlSVBh6F3JY6kClgx25EJMhVZiKYTbyr+DWpw9qm5j2aHwO+6gptDJCdMwXYOJx4P0/xe0 HRr+HZKWNkcRbJu8x3PxZKm1ZUAj5dwMafxlFcnGgJsVyR6hSKxG0pn9N3mRVWn9wNUaYD+AJMdZ VuwlJewtWMOcE0Q7bnohqeJbImgbS5Jfg9VIZs5LIffi4//CSJIkgR5hX+3uuDDmbuLWdre6TqtO K7cleNuo3NY3EQCYpai4vdhrBDTOh1vcPsElVP2pLAmKBK/hBcGlTD5YpcSaapLUF8C3BOntYkRJ foMGNZPAdZLT6QmN8Xi0lOavWQJQ7gS4ztaOom7qTZ39MC9NYplKxDk42oUlr52M8D+i6vZUCWDT 0ouDRd0JhdndiUg6k9Ab6q2QuGkswgPCtZxJInHuEUXdlvvXNn1QAyCdG1jJ20nU8XgQdf9uuEEQ Hwh5m6Io6u5JLp/LWRI7tWWR0lhzGgMA9XpjtIe1bUZKsJD3wRbSaAlNbWIkz0GOtYMgAEjAc78h X0b1zTXIkCHhS/7gSCJCUrxEbUlO0gn1BiWJIUGM56MO9ze8Hd3G2S8GYITAbRYB2HRipHT+oGzh JICa2+q2FSZsmqSouC3Bvy343xZwewBv07hvwWLApl0w8M1KTXCpLaIxr2cA8DSfEQXdg6qki8os GIYHk2l3FmbOX9zxeNQk8TiJv9/5WVrZd4qiiFuorVIuQjJvc6QCIHk2B7ZNd60cUcRtcBtGimj7 +xShFFJQJhGPNLclpOkJtsdPBxqJhCQLAOCZi++IOpJFhP/hg2H/dur0zmsQ/BthYftXQRLWW308 Wy2Ktzt9v0u+RJJzVVtde9RlnEAy/63aNqJ4e1K+DiA2H7xOAuXWAkTD+Z8nLDpQ3a1nl1W4IMKV ajqxLU8S20ZU186wsl59sa7dEVGJRgSsCg1F8IkZz0fr6LuPRCO4fpOSEcbbUtjYplwDVMmyePsk WwYEJEfCt1oUb9M/CXaexQcPJU+IkbL0ylGDJngOzkgoLO9zB82rVp43yf8jRGxLgjuxeCu/e17+ EIHlbNVzauDx6DT67kcyuUV0ZlMUIraH5BDNGAAH0/IjG+IsNkVtDDPtGhFiu7AWO4ZaAOMKlZ9t B65NI0ES2qbFAJIA1XU0qpH3X7x21dv+0WhbRVqkBUWeqlstzcJyGVPbEUba0w9srpiN83F4EDmi wnYjr+1kC1eFpDqH5PyV7cJILkByRIH2pP7IGExtz0B6Hbl/cHyjyCl2YN1bMFPC2Tt5U8QjaYrw O+M7ouCIpL8keiR8isQgnmen8QqGPnnH8/9SQyKXEof1fIKjMNBe7EDO7XOU+depP3LV/TpFJDVH fwfapbBxy8E7thWV8xMVUYmkw35sU5wcsCQEcdD2uFuNEeQI42x2akH9oggiSiTCqDWaV+GvXCcs wAcE+X+9+T+7cjRqmvdACiNtOlDN3JcfSHJTmgIeurRfh1aCdltY3eYCYQZvg03T2RaA5dOcclQk IIuiSFhFmxTe+YhIbJ3aYXV7WAGAMQAiLWLoYCAOwjDW0gm58fy/WgCs9wNlB8VXm6UZ1repajOS Z20Qe/YKANG6KrhB9EwVgBlK+yWitWcZPjhouxYc0TKFBT5CJfBENlCDx8t4oG0k284QRiIDzyQD z2RehTXBC4o/C9S88XgwR+37+kdX4qxK328zirSBsWETcjCFJUKiCkWC/UbfG6xm3EZM2WZY3zai DY/t/uVYgqRmDtwPwP/1QjdHyPvht0ikmhcWuA1ua+/7ZxOANAkNdreRCMNJ6gWP/6v5z+sf2spg 7NkkRZF2oo1Zf4jt5XpLdDIQdShR+VnyUTFFkt0P9ZLq00uCova55Av5EecGAGSS2T/ArhnJyQ+J SY61oUTCjAvc3GdFvpRlTiuRtELBPjKSKx6P8H/fMBJcbLNfgaQZxdqZ6UgZt23bl2UmAATsbF2A kjxnm3F1m7dbpR3sUKPZYCQZDElC26GLtTeVSxJy1A6QQiuXtE1bO3xHMEXUnktNNiUt+RTB41m+ wrMsPP4vEgmRAoB5QdfI5ygKtsX922NY3fYcsAZNQqnN4gKAiIvFkTMKtgcT+gb1LBtsjhqkfzL0 UrHbIOxY6V8G9/qFTC4DQ+9ayPSbmiFBMrHGliiUwMEgySftIaMUIsJ4PGhKtl+ErROcDejI2hyF sTa1f9p83F/XNj3NczP2ZJttIbLh1TYjEEmnNEKnjpYGP5FqR3RkHkoVGBLF2mf/TvgsL+a3ipJo QTmjWBuJH2+25IOu//MNnfWYs+MGHv9XoY1qdolyKPc8ikAkgyySXHwZnUN7uTPJN/kfjXdNUVTZ Vp0NYAgfvPyfJjx8OpW+9wT3T5y2c4B12sWOx+scDQd8x5+atSIjlcK2moojvXPfnUyXrxhkbN23 GoMh1EcBVbMpCrmRhSASj6oz7F0dZ3MiJDdvgQa27bRQ8q/yQusp+WBT1LBekKjRbBn4Gha1F4qO sD356Xv8/FIim1FNuwimteSnuK6fYocpiFDtm49HaC0vsjGGhCr7uOnIjEAkEvbddKUUQqK3y9fa XodEvGauLTMKtU33ZxBEwuFutHP5z8S6f6lQJkvUjQS8BkJHkJ6dT0k76XUDJHLiMjKRJPVSmfl3 fAi+EMZVeD4CI33fapVKjfmuo5Aa2Xirle28v+6SvjDgcnGkcf73ZFst1iLBOlqp3cEhbSerxV5m /3/2QXs45Gw4DRBfA39wJ2kVve6flPYlRPIyx4WCbmlVeHSCVDwexJC/tCOh9jXq1UZYUZiNfAO1 /uT0iNZMcrx0uYjwOFpg6XEhrQhGIvvEnpjecrA5wmG2J/RxcGKfpVDIQ96AUXSApaFGbyobYv2v sKDNuSmD8hgchLQ5d2bPxvqveDziRt6utpoFwGZe/ugKK9pcr+UhR1IrUCHkYqlPeW0pFkKuKM4e PKv5c9pgm613ohmo7l/ZKYFlLtTNqNAPe7Ar7o/aLb8jqrJRpLVKQgQVEZVYd0a5lvWRc0Dg+UiJ zHMR3oGguwz8mjZJoZS27ILLcnDkovSjlNiZJ0qKpDmFZIVAEuL+Efxy4BkI+mGDUno/USrDI1i0 NCq6ZmicQrPkZ5X8REdnKvEdUZmNFxoQIzYIjwTRnGpa7Hw8irKvfMQPDchB1/bEf4XsSPK1JpXN pekv8vFiSmugCdBH0T/FebRCsDYNlXsjZo2DR5A42JrwSDRIQmP67DUAbDr52v25+olqW3FFm42R wWpbRmNDshhwtqO3NxRtCp6PzqOb00qJFLnxI7K5Qk3tLSOkcnH/o5XbiNzK3c6JpEobZimmR7JQ W9VGqvNqbJxrHhO+GSBNmoFTHQmtInIu8tfVxq4DviQotU1ykPbSgGRYUWQ714FCpD0znv9XURtL CbAT2Ff4JEWBdqLN1SDqjmhtlrEUIq2xXRQZQCmbpFCIhIZISbp/6dH9K6TkL1lLTiKrgfsvlAI6 523l9X1NOGmijm8JoDZTfLaVfVDKdvZuUXvkhJifFYJIlq8lknLB18oXRLKiUBskCgjZelkbriAe R26GHSq0JdfYWGGoTf36KnMWDs5qO+cZFOyWpKMLUG0UIsHSQ8M1b1KRhgptXa8bzBHNaxaqvBxM +Bc0ZzYvgFFveDyYo/QdSAKyNcetIa1QXVtnkti17ALMooobNO1QZSZdC5aENkcxYBsRJGr7PthC 2pCkgFENtchQEQPsvQJyC3gkkD6Q9ncECTInfMtfCUkGugbDeU5opA5ECgvbKLStKNqmZvndbdAi nPBttkkK6ZGETNXSPQCowkZQh6TtZn026rawz7biwjaOpGKeku3SIwEPgaAGfTRO2lDpBAyhFU4S oNyA9Vq/tjCdx7dEoG02I1lv08DddoLS2bfQtoMvGfT9rziyIDlsRXm0vcKyNlIM3J7XLELum4Mm bSV7M3IPs7tdYbTNKKnYXPWr/HdOi3z2W6di2wlIT1DKvn9GR2gTfIjimodJ1EZeUbSd1dMWInk5 IrnmxjoY/3VWPB5EAPv/qdrNq2q3o2h7MLNNY3qQZMqtVCLpwxfSBBpBSuQhOZK6kC0pkkxPJAkl 9rNzKUUOPe+z+XC3ES89cXKCU+zmrSxq7yjabjqJhijJw5rCckWkuee5ORcej0g2vyxHsGP7Jdns 92AbT1VhRnyvNUGSBvAGvJ4ESF7O1dqxEgnXTzKF5JruFKVa6NhK4b+dUOTGgYQGzgaNgzJbfrFR 7n/HoG2ybLrUNrpxC09msYsM0s8vUfF4kJHsb7AWfcBRw7I5CkHbRCHN5X5j0Il2uvZ6BO0qrhLN 0d+xNt7Rh5u04T+HbycFJOERNgghRdcVQeXZ3ffypw8vviSYI+FsG1F/HJS1wVVAenbnhMDj/4UZ mWmFkvxi2yEzkj3t0i9Zqy+78c+X0d9J8JHh1iw7hI9wcuBs6YOtI9jjrimyNnx4FsDfuPULejj0 GX+wyJP32o6ibcm1nFB3+mDIyIIdje/bWEcRfoSSordhCy2UNqejR3eI16addEnXLrImQyUjnqzu HAGlPpujEK9NDHKXGjkHW0dnlYBLVYq0EQpcI6iyQVoiZAzmU2jD7sZ3RAkJIVrDAFsbCCb8YWfW m9SqTtCx8Xyk+fd9rTFuhzu1zVEo+Udc5E61OV0rVdX6YWjgcvYz0cqVcxTCR6hjP/a8g/UhUfg9 W1XYCPBIaGp3wiOq96GO3y+jjcRVfEcQHmVZt6fqg7ba+WsLk9zzEy88Hgkjja8pggDAAnLYpiiC jyQhbHibKPVP2ZbR2eIu0zagH2NTFAr+EX3cFrGRHLw+srDbNo2RC1z95iYMCYqb4AD8wJDQGG2U 0MF3BPVaRZBFeW1xOVug9uSSDVsuPP4veW0SBFGFHFfyd0dhdiV1NNFf14x+t5siFFEBILAxaV+M bvYO4SPMZmei4dj0TjMQNgnJ2aSVVtpns6GntSVX0RZ7l9cGiY7E+I7AiY2dtVL6HTRFQOST14CE AI9H6tp+GFlR5YfWLjZFYUmbBSRT1yC3rVrjEZbt7JGArZXmNl7k/hs90ne/gx/YBfqgk5caWfON ISZlYFDk/llf4JE99bZ/KJCsnHi1cbA77Sw/raITJ+HxiF/zi+0P7nO9shE7CrFb10Zrfhatnpw6 2p0JWMnTtI0WIUcm6RCj0IGcg7dpz1lfa2PDHwVrlIZV0N7QVCeKxF21aX6C74jCR8KOk2iR6Uq0 wtacx3Urc+DxfxVrsUOxc0BdsikSUuf1W+kVPYFKEI6ddpZKaBtl7v6HYgV7mmxETmGMTSrbSVGb D5qkDEbHOdmI1DqJyPnYzdIIzmoQ2qAcnYBAl0372vbGgeuYuCNA62BoVjCr+KX5U58TLk9+QAQe /cZp02uvP9YRKdb8o3bEQqeXIiQkvUiEZAJ9ytS/M7qTVHuKQ23G2EgVbbCMDYDxiuqmnKOARCq0 1spEW0GYCk0yV/1hrI2vCRr/9HdB29eHpPLruZMls3UCSj4fZCTtVySJ2jhcFe5MhZY2SMfKlA2S zLbcjBXulNazPXsxW7ctpxCu3ZTU5uSDzRQ122BJQppNOycRg8mE8tj5i3AQJSptmdNotjeOIBLU tF0yIIe7HFdvRVupCmo74ceaoqB7XDap9JHHNyk5p6jEzWWDA3V5ZtK2d7cbHcWYmbTlkmQ5xZ6R vL6SPLfSpQAWmGjOKkOSE3efoxRKUoU6ihkKrlCZ+/KRKvbGEVRSkrb1Dk5JmiKVVIAA8HwEb/uW JN0oSNXybL4o9IYQHTzJDPmHutsofkzRD0peEnM133xRmXsQ34YUwweHJVdskqZyyQA3wJhbnYYO MCDp3XmAJQ9/46BlYpUSKrhyUMFkwAFOWtLwk0ghouQXPzmDwcsirM9UWOpmkgKUmCqUsH5SWRJO ScWPKRgBCeVGDYq/2iZpDx/s1luTLMnNzQdMbpOCGzytN2aNAoBefEvbXjgqCXCGWITTYBO15+xG BdDzke3Wd00Aqep57Nl6sZMkD6manHeTKhvfm2LJqXvfBEARu/iiMBzogk1zXx+8cgKzrabKCajO iySvmju1gDagc5B8s+Mc3Dx9zR8JHXzTsg+qnZxNKxWCky3q+Yha+ntFwTF+78fqLhYp4Yoa22eK coZGvqlZkGWU42r3Qyosem/ptxPuxsGByoBZwUMUNSZUtZtsSROimoy9l6FTbwWUUpu9ccTm1loq IuGWYSW1E9FQRwTtx8bn/9WvxJzMirL3pQXQZTS4bxVkTi/pQiHLARS3pAuYSHZTwEirBMpxm1rS PnjHcgxUqmpjBA5hV9QQIO5xTlLyKOYjLi3jpPS35U0C6sgGLahzx8+miLMNPh/svDq/EhfoRpzV nsadp7j0LRPXXGyeRm8ONAGQ2+ap37Iu8ZbBdUTzBOmWcLB5OnvsRAGFoncgYiRgYojEpSowRBvz vJy3WuyFg2oT44LFipwGTRScvVUmGIw4Q9+bdiNOBuc48RAx2ESFLpMimqTdzT8BV5Q3UgaLXkyD deTKQDGEmwxJlkohYN/woEECChcLMULg+kCgFKWCCvQbqjS7eMR5AtJuLxyc5SqkLCmXLutbQhN7 SFL5LI/J5yPb7e82ATguiFzuPEWheVssXgoxSeWSZF72BafSlQhGUd/mKYKcSI3rLP/mg6PfT/6y q+QmAJ8hF2rDLxgiPWgOESqQHsOS/LfR5CJN2AZh4E7MvJnNwtOCz0cCuL9EAtAsTle1NMdWk3KX LlfgZXVzwgEKblwpJcBXWTLIodfkIiZ3VKoFcPCySgGRcksmuKIsSthlokwwCvaoIfo0lWbvGzGX mirgNqjDC+/Q0hn/oLrM5/9TtwDcN5TlfZqiUviitNscy8sG5zooXp/rw8sGM7XpqykMyqkSsBMT PQ62mibYXYOFlLMZV6XxMGDyqEfB0W7RhFuqXKPZ+/616c71OH1QHelk9UOmXCtXPv8vtACTZ9hM 58clMDScXFuK5fUal9JeVT7Kcnflphsr+TSFdErG4oP+NhpsmsB6z1DCNTPFWkgVgKLyWU34eRGK WOoiTaUcek4usrxOmJU1JFtOZ2fLmqCnsfn8+ynec/5KXapQVDcgDzwnEUWTmJuqC5iVYS1wHE7Z lKdQTL27LgzIRYLbRtC9XoHQxOswHnRm7sxs0ZG7BDcNw1UakKlPe+G/bMsvG87MP0qHPSFhiicB KHz+X+Yl5OrR2/NKmOfAdhI1Njm77suqdNoSowJTVBwDtDWFmaHv5KKWYkk5++BRQWZVtjFFPxMD 20ME5KhqoHJ7dg0U/qxep0JUaDyJThYqUMqImxlPomOwypJiIFd9FI/vJ8NTbbz/kN/oExWKmExC B6uZcjItzjZR6NX9iOq1cPjYREVmOPIwqU14nVYegGVBepp528EQb6H+frYdQGAL2JRMiRQz6K7V XjiCoS7ZBWYfdN2hZ7OEmUiVz0fMAd94XZ4nCA2+JiqMxxf1lYrpukKEqhqgaSbCQgFoghivV1cC B0p3DizCxpUvbNwE8412kRDpgPNEogI1UPCwVoLXe2kXrdObvXFQNEjye8ndB+XC8KORrv1qhc// S86cpzbwzPsp2IUulPJXzlS6UsFOUTiZqLS/4EQluGvaRMVgFLK+hlzNadP8Y91fLCTkRlAhSsDo MBc+G+dnAzRIDOplNE174agppb5drz5Y5nIyw6ym1Gx8PshcLmiXqTDRw+uZqNCKshMiXym/L8IO DaRE2FEVDxO1ALpVGSr0opQMVass23GwiUo0MofmDrbeuT2AXtool/YfUAp/sKxvY6rZ+0ZK1KLH i/qVkiXCFfhyEm6h5sfnIyFB33k8jKhheBucOXSjZBhf1ySnkoEm6riqQaG3gXiAXtTZq3WhHeWw aTJs6qUSnB9hpZm3pulECcz2oWx2vpuUA6Q0Lmwmv+7QjVIGywlqOjZo2xUIW8lDqE8+HzGbv3sv LGjVi9zNoR0l0XUQXEzWeymUAWRRc1DyhL2XKtUuTlMYj7NURw6QDX6Qo3pSFqu/jWLUkByoifCz RGPfBfifzidaTPNrIt6ubAQ3I3JUN0w9uJ7gLAssvzY/IArJ29dMwfl3IY70mYrRKTygYPLBmSqU 2bQSVGNHYeOAqs9MhVhwouXQGPXBT3IoCGbgqBGYn9yIa+5kDegoFBQrmHXcO2/bG0fIAiJ4wcfm YBrwCYR/HusQduLz/0VPGAJKX2JCObSl3Aqi2vagHPB4BeWkShpEBYglC8oDX0rwd0kokHkZh0u7 XCiEs+eZQHcdxDrRV7gzmUCtxcvk0184mqfiHCdQVCh2y6Omo2PIzktbkx/wX1QFERv0frkFObSm bEMa515aqWvs7DiVPqrjVPotGYTelGvI6KQuH7ybRyvQZbrCC7pnyF6oWjxAOAWM2OGXudr7RjGU LJfJVuFgMIwFUBa+76xXPh+VoH555mD7gMl35ymKylspkoMxsEo7B60z53IrLng6e/GaZmBP6Yjn uYig52DZC5SSKsWSz4JCixAFQmihYYmxK4wU36SX1rT3DbAYxeRgqw+KNev5BgIzQGTg81Fp5VfN YDCCeqYpxIVvycFdlepMYzWTF15mK9hW2t2nKTaEJ0sF8akNvu82YpQ8mOTBbwPS0BuAfEhEICmA mQjqZdh3Nk8hZoXVgkeAqShinPnEeKw295r0lpHY2XelDudzx73n8xSF5L2IY1hvpa6UmwwLTcdk eFAQruujgshA6guFBzkHLxqAiwH9ZfKeKK8usioOFwhyQIjEAigpwuXQo7KyZlAyKfQcjGTY2m5S rEQLPTCplGWa3XeY34xUYwFh4RP1HpJDPF+2gk+tzh1zUE0lP4xVWZLtNFFxSK6khWwMDn4+Qa96 dSV5fe1RSFmtKNVOesMRAzVlnavGcGhViZgPqnl0iAdOwhbUOAcgmQJnfXQ+/19Kv1jJUGL3eQrN KueUE2NzZu/clsUghmp+Pi0uPG68P9wqSaQrBDlxcFDm7qnRRR01zbNiGWqeyBBiqI0Q+qtXJYx4 Du0qVfolOdIGneOjw77qxyvkgV+lin3f+w59l+d8Cg0rCS4/K8gA4XSucPlz9NscupqKX3eBY+WJ WmUvTDMKDT5NGSd5AmtuVFIbcNLXDe+BDnFmkjQuzqDbC0c8MTFWuPHys/Em5JDMHmbz+SATTp7h sT+DhiCKHT5Psb43D+++XEWvjORQ8ZYcTt/PxTJ8nmKB76EmZ/HBtl0959PJVtA3GLBqgE0Mep0w aUSx83xcvgJopas3FRpXEvAKzDArB1hDTIABSsublwckdfkBEc73l//5+XPXnM9MxezMoenxFdVn vSYx7dp7lulmwzk2r+TGy6vd4R5QoOyDcg9z745qC1AQGxOGoxQW6Gwn1K/+eWBfeUJNKqCdrUWA HarMXQW7haYexZbWyPyAqGrgoabULhBCXR/UHBtYNmnGm8Mgos5hfOgJMxYVNmeCYI6qK4GDJW5t enfQlEqDg3z2WfBAGDAbhss0rJsrC04bOhQFxR3H1md/4T/7wjupiwcpB6KhTkyxtd9BauUH/JPL ilgTZx1gFD5RIXSFZYMkSIH+tTm5bgwn18Gz2wi/ObSxVOO8lnyHy/dBvkiZT5BZgMjohGRAfibh 18h93EbeSt3eOLj0FqOorCgqm1bWmXYorLBw0FHZDJws/69j/JYP+nPphXLfVaFTdRWC0i7ArrJQ KIZ9S16vC6wsgZGVG4wqwPtWgM/V1HB0kz5SG2AHgHeigHAOK9b/UIK9jJZuLxwVWBiOF3YWMkhc smmEbVJPZgcz+QFB+vIL5MPgtT5ZXuBm6enw+Sl86zVy1zVRxf5X+HRs7yn8YWdJpEFLd/CeQtmD aoSs14GpAbAwq9twagTMClgwL0Vtf+No7/EcRxptg1bUZqKnXdj5fBRwehgl1SLQ2NKV/co1VkiR Q2MtVos6UZvjgGet2pGQ/SzTZyoMzCnUVOFUaYPDxta5XWZjb7jj34aYrYO1ctQqM3obDodSrbxG kPIuM6uiLUghBVZY4Mspw6a9Fp8PAs5yda0QmQMDucaDmA5tLat8rRMDSllc01UXUVyBIUGW0h4Q 8QKOhb6WpGzW3ZmfcNBMwaQxw79qU2wfPD6EUgVaToACbjoeuAAonff0NX+E5nNg0dhgxERA38UH 3pPPBxHCL4l0yHaPryUVW1uicFbJPDISx9ieEwO65AwF1uoYIQTellI33G3JdIiDJmqgKj4atgkM wM6kdAYR6FCeSLrpKFVKnMkm4Zf8dZjnMaW2P60/fMJGEGh+HG4QmFvK9vGrdLCh3nQNQHNobjmT 5FLa9bd2X9mCYqr3hwvl8bieQnfLxdrmlMa15GgUmm+g+ivIUOoPwwAEqAyqnjHmh22eZXpDcVRg b4n9rdpKIpeDJoD82yYF65i9Qbw5hwaXqX3feqCtsBrmExXF5pWasuit3ZTY9ByQEq9LLWtOCsqB xSXODhZX0riD5zBwSu2NJbsTuvJEJ+AARWqaXUH+3VBjqdj7RriMJqkLDRAD4592og7GtLj0yuIH RKL7+2ue6ODUx7OgQlA5ra4mhVmUEvcbmfddb8kOpGTbd1FkPqgENnWUz+coP4keJUCXbOUXqLxM c+hVjly7k4M3H4uiHJhcepOqqJlXbjMPLSrzuc5AQ4Uulzo2Lv8e+qvja9+FauCJiDoiidT0JOVP PYWUrTt8ztp74/3D5hK8RB88NliIM1CbAgB4QguDFRYAoWBw8kNfItfgURs99LlUWM4k2gZxqEAZ kRLviTP4/L/sQLgM6UrWbwwVOl0COXLmibqR1E45n+P6u0mzRxtHCncyKg+sLgGFkUAxEfgcHP57 nil0Q6ExH1aQdIpxM8JWBo68D35l2wtHPs7WesEwgYciyKAW5BP8znmOLX5AUAP+HWwChQiEoU9U HJUTjEHmFoNNVP9tolqaDmCBW6wvqCgql7wcggwfvDm8z/ww6WaTKkOODxOVyVEgmBzKw77zKDOX A8/LLLdCYO45oBCgaABl5yk3Z5QOQtPLsr4lCzLEUTYUcHymQsVCiV/lqzE/tyuESYtBSjNgKvuS ihAslXy7ASKNDQ5ErHueH2AlNl/OBpEe32aBEit40c9B8yR6UGB8CfkgcWBT80EnFDQLRDDYYAcF zpdoKlyNMMwTWujrEeT7vAZQNautXHNeLuyYBd1k4YCsMS6odNO81/CpXunLQhZVL5dFBUk14M62 jHhOoA+w3gagiJbwEx5hD4cK4dNr8FQdkQEpUB/sED/fwND8LHs8/TJBFZAmTdAWQPocnD9zUBqc Wz2YH7JZoNPZf1yMp9+cJbGDQDzrNZh/jZuqt1wAGvfBzqWNwhDTR66nTrVagAxgUgBdnkx7edk5 LJ1LrxN0rtL+3eichnEEmLVtqTqcpfx5DZnqU/a1CSIpe9RsE/QaMZ2nOoV4rmvDydL3dAW1PBwA RblKTdBrvIQFNGTgPJoPHlieJDoXI5rD+WoPAaUgXAz7JThuW1zJavznNVriApIrKKVTOajgey6Z ygUE6fLPa6iE2d3F54f/6YQY7bLpeQ2UsL/oBpbX8h5wJg5UfiBpelE8gXHK6XkNkyqOa+JXv4Y7 PXk0FgkhLQuB3UYtR5a10bnG0evTg7Dh8xokVfVvwS8eGpDrmSQoZOsIKFjnrH6NkaoJoN75gWIR Zbk0P68R0nmI9yBc3a4hKLnaDLip/mJgAhZN6Csb7S+Cm6Hy4oPtL9TRTyTDuBpcXjjxUTSNCMNB Ehk0hbTBWEP/vIZHVd61IG+25YMqJ20bY4Md8tfgCE/XbRMkwSfUFgBZ5wS9hkbYlcxwNy8EEX7q 2A4ikDAYfE0Tip9yTA0maEroMjPNbflqprRGXiWQvmeCoLdL8YaKTQ14IaysWn66mSxXvsZF/DnN be8OQjnV2mWGCODa5zUo8suI88Hi91lPsxA/gAl6DYlwruui9+AHUNVb+u5juQg4Qn2zuPhzglh0 scFrSg16dEk9zJOxbR7i2BLA0KNMCaK4bzFmbK/RUHWYapYyMWrd8qmoKSP8Y0/85FSf12AIB5g5 N2qLgWeEpqpN0GskVF0GVIa1uuIpP8YCZdpOAG6TvzSFiaMTmuoNTTQCDj5B8Loq2K0NHbBcFq/4 zM50Atq6gMXrsTUp5a9xUJUJGw5nmsByEOyyoe3LfXMiqc9rFMRXrF8TBLUAdg81Qa91ySqXX1RH kif9hqYgtUdSBZWmxDS57Pig9zM6MQYqgErb4LCKkz1l0sfPBI3NMhKurUokE5scbJ4JIUd1rc9r TRIThFqGjIA5VAECOwrP7KTBLOLzWpGsqkp8TRAqk2v5Hf9ajqyXGb2Xl48a9dgwQajmGuJrLPxa ErmIDmkyxCqItjZ4zrEgQFCp23RC13PD0/lzIy2BUj4Ys71dYBwNm15LkfgViKdIrUviioa2rGnn Kk4aAamvhcj6+OxogkCHO1F8rx4mRnH0oMXeXMOP6dmaaxL1vl1wB1Bu5xuGFxmp0JkQJg42R+eW 6uDhEmZ58tkl0G7BgsgJvhsFUdgvifR3Fl0VpA3qP0RUFB09ULbsxtIC7wT01WifTZ8lPIY/qktH VnSGYJISlS1L3pa9llXc0wJAhWmd78qqheg84STxrJ7sLHGwSYLV5MksqSPb00k7GHdXHNvovQHV Lre9KkniofcNTmv23HKWESERMTysT9TQGRqdQ4mPRwG1n9bYoUhzJna5TdJ7QH3us74UBjk1rMxH AYzO7DqNQAA0mFcwSbsJRZHu4Oc1eeKJgoTYwRWX+j7Hc1GD/2exUquAaAiuG0xRb4TpWjt32mql cTZlQ3/Q4fu80+ewIowFrSk6wceZonI3WxRUo7CPgnl1cu9MLt000RWx6mMvF4EaRdX4GUGJ28MH 32wnqk6pUCXl7MiCPgb1iDuazTiPkNHeGy3pdd/3Wucmq6kmH5S3ZnjrsUdZAD8N42prjWxx51gq Sn7pv1PnqvRfWDV10NKWYJNc5Lcr7UMT0Su00RxBtBEeqMMHa4xUtqWgEINWUkNyKmg4rnAUyxGe emNkqvD453FUO+uObEEJjXXyjSxXopET6mnBHOX8fa1lCGeMROIcJykKrhEgnNusXmRXnUawOAdS Le4di6jYsvv36FrnP1SH1BYZT1sEHbbdBxGV5yfHr8AaEVA0kCg8weO69MKR7XWDm41oiTp4LHHg JIHBUVkQPv9b+byT5iosH/pX/pFxuk0ZWvNbwwC7MyYawxvcRZhcCshkd0fvJORqkqIIexAqMWu6 g6cgY2/0QmhojZALFP9zICFAnoRngolmDrtZkgNRiN2q7HXq9MHQuedEZVOvnV/h886YYxL8vZLo ZQy/dJujKMamJjAO0OkggMdnr4nzRJedlnwhRUG2BK27FK76o3AFkXpo7VI65pxsCawz1IkAfZkQ e8y0RjUS/ex63fc5Uv+xQUyCQ7F2Ingc0pFPnY8HQeT0TJ8ty3Opnlxv+By9h9kgWLBn1KwMS7jp 5RSOppQE+jptWUU2irMHYfAAIPtgsNxaKhjJ9CIEgX5X+oJXKpNnFvrn0zLqetv3KZr45eGxsX1Q rehcjVW1tA5tnSjO3tkTEbxcRm+9Qd/M5iiKtNFaBkHV1IZwsyXTQTmHaTWlfcCvvBEShtrEty9B SlZfvo4AxRxnAxN0c07qjooj2mo4e2G/hni7P9X9qdeNJgnnNSrUPogWnkcdNFquxEdEsXau6SuK hHUujFu94BiF2rWrZJ0dmZRb9b1WavK9lpJLf73z46orNsOM1wcPkGBvhLIOlT0qiNysySKiotZf ZiaiojU1yD7v/DhGYcxkU2s+eELblSGeFZs/7/Q4nJkpf9dEoGqNUqjNURRps90KyJZHSGU0j7Sp 2aeL7ZwTFmm/k+O4ItUfUqA0yhdwUh40BE4O+B4g0pbFZebfWUn6swOJlcd3dhxuNsrIoerNAWA4 5SMNfknkcUH+5J0dx6vtd2GEGeBdSVHtepJ4stZaHiPRskb40lqnx0grG3n3nRvH0h4hISpet6d4 fTIrWBoXJm1nE0KEiM20Ap4kqQHw074MHS2l92DboyTqlGJA6i/C7gkqpE8MnO/nnRnHaHt4tC3z rwX+uAcA78w4htsm2ti9wiaJdDYda3MFuZGdavlOjGMAw1vt/1nItXMYr5N8Zrmjnti7sAlC8Egm nJaoOleroPbJOy8O38LqWk25+WB9orNCZZR6Lr7POy3u/9WxIRG8YD1rkxTF27twx/XxFPr7Rb/n a0iQd7ICwDsprjogos21fHCeZTvXemnMbRuMqKkRXmnVOMGXzJDfsjqtbJveOXFVlstAIPU7qJJ9 LvOR/RT8vDPicAOv8XW90Z316YW8E+KwkNgM6e26f0nKnQLgiwRaS229lv3Oh7tREvyxffD9houh wIkUonHAFWKtnR1CYSTolsN+7zm6l943CJN4+afUpw8CtW0ALoT5n+vzzofjav9VjETqv8s9ut/D bQg+01p3F6cHNLmlsNiWp0vDIBa0SXoPt3F0S8qDmqkcHFlDYnNScntut8Tj6yS3lAAFKBNaaY/3 99L7vh9KJnmS2h04SSeUSCPb6tifdzIcOgatfsUAiKP7Sp64vXPhqgt5rFL8flvb7T/OcWu9EjrI m2HzOxWOoTBxR5UqFRxudnvi4SRGDpg5qXO3gZiKxBaAFeJFlLiVodcN5khaAqAvYyGdiWdOC6gF hER5ve39eWfC1UfuxFbSuV6gG+WTFNa12Tqq3iTKEE+0EgBoS95bG8nVc955cKw5ExtJHTgNFnBD brBD0BmTdFIH6MkC9IfEmhjDPPPlnw7ikt95cFbnoLxx8cEQEFAk8/zk806Dw+Nzf88RvYhute2d A4c5GuSV1Hxr/9MDpXNd7+xHUsl+bL8H3GqE7/O1aflgCwk+poMNN6ifTXjVUWgAEVmb566Sp7VE KZK9bbSOWNMmdEKDGvznV6hSOdlrfN75b8zAbm1bzUr4/N4w6Z3/huAKER2YIH61pe1VEhibuY0M MC7Www4Dbgrt784mLQebo3zSh06HIlYk4SbBdi44FgQC73TPI4A2+B1Bk19Qv/oMlriBrMfz6Bz7 n3fyW300rm0ZoXmUq+dt79y3Kkd2aJ3VaiHSZqCvalsdN5IECEVTFONEzinTYTHpg6YIRcdzRxJH A9s4uKRTdr6AukTgLkSIAIpgo7/odaM5IgJiU36Jg9VIWh9bpo2TjwdbLX3XSBCqcRnYHEXRdqPm 2ei92FabZXiLpDbT1YPwpFs2vdPesA14yKzR7uDHEYoH1Vzk9glOB1uWBfz4jhwOIacf2W3obYO0 jSz4giqBDUptISBAGhZirM87481agV9bDa0A6D/4HEWhNpHOJ2+bfhyVnF1xv8nIkdxlsLDYinwn vFWnBm7ytzXYVkOAveGRQjjNgpAzOXFA7Q4ycvNsj3180utGCUlR+4gnN22eGftNaBGwjTRTLp93 thsmOX03/CFQ0/btZ7+T3ZhRUxanDu+QjD2TTVKebC4VtpGGaXu+c93wK2EFnYWBe02DTxLE88DZ 4WZDW4yOM6ujpIZrodwjm3iVzzvR7eZsLdGUqLk8H/xRC72wzxeM8nmnuVWp+z3hEbZ5I/5ZM/Qe aCMmIyaiZadFbIoUc6tt3fwsp+5uN/87yY0pIw8ZA2ete2L3s9d6M22c8+CUrWWjw1inYn55bv45 7HWDJpKp5rXsg46jc0Nuicif6+DzznBjre2mtQw3Bzxs760WxdlUkwC2w3TRz6u6Lvo0cRNktYtk WR7ZUVlbHds5aODAweLsBHGIVNn2B6mtkjJYGZeACIizs1zaljDH7/y2W2srSY1bN/MtZyuDz4rF sfBDvsfZ0oJ6FhIAPczibZKiOLvSuiHV7fdaqtVNLdO+1ugruc/uO7eN5xEyNiCTNbBOo9o/OtuV vBH0q9jdxySdxQvkzQ9twSzzF9r4ndlW3UHu3LJy/kQaqTj7/AYSNzsBa/+8M9t4jf9K/ddy5jsn KYqzG0HZ+cZHEJZxQveYRk2CtEZ3jF9Y2CYwYtZ1B6+PDFCYpIdzgiOkWU3tSKwkxNjw4rmmDagL ft5ZbffUzpkKukSfsHYEL5xCMyPgcvl8lNd+h0gAz41ZPWV757RV041rZLXbfnt4knt1V65edVux 7Z3ShvcvMkZX2XY8ZdtNi7SUhVwH8gdVpLNF0AstFekVsbTm20R5gHdGW3WvFHZSbNChlGdhIoM6 QP68E9rwkk9HMqlvez5HahPEQ4bBNjtJY6bqgGOwVUT9q1TsoPtnnyQoAxAZB9tsSarIzeEyRtKZ kvNzU0QBoFBDHKOAC5hCyfOupUEPgndCm4GGKcM8Nbj6W69QtWIEXefnnc9WH8kg69tCvGg+Z/c7 n41AEmp1oaksfiRF/+STYixuAD3wG/9UrKV3OluV4P0mv8gHb7dRV3iz3Qbc0KT0PhbYGTaORQRM jlunAtU7m62ab/PcRar6xVh/aFaVKtbf2Qqfdy4bY4Dv4jZuiz5vm+SdysaFtKUR5O22JLOUTb9o xgCLfsRsSXZ+0vup1FhHqvK3qo+/VcUWA2SUuD8IqEINfpeFIlAmZRTq+k/e1vW+wdktZbwuOcFu eRuOVfS7VGzrn3ciWxV/4uvoPodIm7cn+c5ju+DRXtclaFEagv22IRM+odeJjSz9805ju/j+IRzA GDf9P5HSWSmtJ4lSwsx00MgCfM8TlQF8BIlfCwIoTft557GBjiBC5JSP08xOSD4nHGMs/NH1805j 4xl8K5JECp4FOevlQLzT2Bg70BuBkFirti2vtq2+XEyfVCqBtEOUtjL/vIYPHk4yQJL0eUKRnKq5 LVMcCVQ2+Dp6dqsqyTuJ7fJEEGD7oArAmEWOqWhafN45bGwffBfbUFz/qki+U9iqe+0CyW7Ytr0u kaZ0CzIhA5AsUnpnsFWXh0cp1QcvksDxqjTqSlTI4kwutkSW+oC8MI0bi4RKpt42miLV2hgCFK96 whV4S0vybO3xeWevcdvMXyfSOYxSvlMUA0nYF6lXDy+t5ECSUo0hgWDSQRLv3LWnjiQy5H7IkFCR KK1kKsAiSIJgPyFJAEwBq4Yw2OPtZG/7F2qrAPpjgxI3KOXKTu6cgJ933hqPs/w1RTgGBwm+mqIo 3qZ+OfQMHJAEBRYLJU+G6vafk4Y03Gl/xtsQN08+2BQN7LItZBuOo12IkejoAUE+EN2u22jDdvm8 c9aqxP4he/UMmqITB6xsZaT5eaes4Y/t6TuOxB7b+wZIYbS9dRhd6XzoZ/64w0A2I4YzW8u0zlsI 16b65CpCStB9XocR12DTFJV+DtdpihzAk0P4tHOjNVXakt42uPpVzV6t+2CQrVk7E0V0XfB4EEWO u4q6Qs6fBoq4zVEYa08afe3L9181G6vmREde1T7nYbH2f4uq2okdtin5JA42Rw2rqkuUGsj4IVew kliO7Mz/8+1rJ3vd6FaTeDclhGs2mT3wjlLPDjfA40Hz/+b/+G/B4DFjNPJqokAbu4lyuD5HqSw3 9DjJlK2jtpdDJPp7oK3uzAbqvvrwACSBfJAkQoGEN5kBPcMhQkrHy+NsOQv0KM5OU+o2St3KsOZI Q1DEQhlcVvB4QBzZHkJSWgl3WrunUY/C7MHsfySzscwn9x+21fqmnLQKbWBoa4oiFAk5nycQWsUH q2pP6DRmINB4vwH731XVRoEKp2HqT/ZPdY0eVbWBgUS9pmwftNfO8qK26Nk+JxfpUZid6q+SLZoF lHW0SQrjbNaRsDm89J+vgTwOxR8jaC2n1/SorK2S7VjtDt6ITyimLVoKoMvWocEKgwqAzBjgFFpy Wc2WIJIexdkEtgGFCqjNQtjKpKfmsy6Zx5z/uRU8HwCS1vfFZkSddNdSSIQUh4SuBXL4ysNkzaUP KUeBjiOG6UiPIu1JQxjI1fvgmO0Os1544wIhCRQayrtg+MOFsBNm05rXbTcT2x5F2mX/jpBMqeV8 1m7mANo7Ho/Ioh4hmckjYJKejvSIDQkdAOhVTSetJ6HcFjZcNnDpiQiWQyR7GGgvegwVGghx8EpS RVOt0ovpZJ9nzXUKBsM7jITvPB6H9MXLrUe17aUosulyA+G9SgUhVSOMInXG89Ht5jUSgZcm4P/5 zlIcahcSc7qDbbPyN8SRozjUBogHn6WYE4nDZqHla4MjJNbJVGYRqbae7IQGhSfqOPfxqqQ8l3yP JQq89vdYO9ELlupR0pJCT1oSCADx8lg5OdvG8//acGySIJHct0TSw/J2N9vPzOIRmko5XwkpFk4o oA0osPZbHGwLQ7uSD34sAT9TRCM5Y5o0szx/J4LS3Ao79vUhJE29b3R4q7W92NruDCAkzDImufCJ aib4gOD47l4AoKEeEuV6a7f9PeBGTsJyW2UjhP9alubmTNOWzS44DNgllWspApIg8AFei+U2DreS hPwcs49jKZ3ji1pchX4fQCCVQsCt3DsoxdmjArddcW02Hwy2BcsaNrdPoIfHg/y/fZ/dYMh16EbY HEXAbYLL4SpqGgjnwM4ybYaN5TDNxBOXw6iUYUCEI7EbrhHhhrMBL8/9duJkuqOKQSpDLswROPew KP6p2nDTK0kV3xLFSixs00VJgxVJiDFgzL34eISS9IVUWJOE69l6VlIYcxNym/Z1uU6bRW3U23Ji F0Dks+yzFNW3V5YZaps+eD8JeLPaqFXa90yTQHgI/sPBEkF/pXSYipI0ssW3BPnt4oZbArctd5Q/ YT1UaH+IS554POJqf1dJMsSMBiTUjKwdRd2TRp+NrDKe3b0OF45KwnHj9kllWNQ9ovK2woAt1jYH y0xOEHPOosHyNqRtxxQJABF2R3QAIRjjkkibe0RRd+6miLB8UA8gIUTiabxO9jbCqLt7RClfQtCi updJRhR1N8ly9+U+xOAFWaQ0s3uhwjzYKkkjLG5P7bNRfHC+zWoZ4pFslKAgybUGOleF/TYESle+ GmSIO/AlEaV9fgElBqNLTtJelQAqSOFkPB9Vt78jpZNG/kxZpXOSQuh2IkpyETYy6GrtZBLwEJMV bukoq0mKqtugjm3omDI1kcvtIOAGBrhls9yWTmi0xV6eEJaHvi7WwbUMQJcI3xEASZs1JNWeHMWD yZLk2nxuuI7H/wsnCaB+KFz4FIVYkqFrf120bUn14QBctG1xRPKIIm55nS1ttSXdlMGttkFnJx5x AGHlhpVwwO2AI5GSZPc/NJHxHVGPhKf1ZheAg9B/NQ22M2HRvPH4v6Qj8BgkPvpofrONKN7uAm0z VhW1bTogGbyP5DSJKzA9onh7am1stpM42GnEcGjCyYzVyXMDkirA7v+gkQXCWSPbTlLbRlTYznjm XJU8uSfR/Fs1yT65/PGJGc9H6+he/5yl8+N00n40S1G8zR16fsWrYnc2w3KkRJ3mSAXCpOH/RhRv C0Yy6pg+WIx0IpmNCw39bQBvU9Y5DQR2pwL9VSvPm+z/EYK2aRyUe6k+6PbfvW2RJM/34vHoOPIW CYu8TG8lA8w5ClHbQyqIzeeI5gY6tFsZZm8G6dCqnGREqG0kMADZEiTKwStuJ8IdlK3BHEEuhA25 s3/P28FTCIn/xWxXvW3Ua6OdJ9hdHBpTCxjzpiUjBSS3Iwy1r4iEQoYMENPVaYlq242akWldoZbU l2NJMiTmDUuCAquWUVTbnhSAWo2JySJY7vyXDfwReKSh9A2lloaqGgu3IC13cqeISdIUYVXjO/4K j2BuxQHRjqBtiFiYTqOLiucjFsl3qA2ENDqkPkdhqM3iNrQd/ECiz4mJSSfvIkFm0OYoDLXZYmtE uGmwrZYKiLaZB1KXgznSkTzgEIAwjgKGvtcYQ44w0pZpNZk2Kr5nqUSeAE/0fDiRLHxAUAGotyHJ EImxxPIewIhj7S2JjXRPpNbsROrqAWC3wQTQdltc35bM/W4+ONlmDihwU5YN6tZF2uRA4ZAGj7Ov XFsAndshTVImzFm0NHQuRENiWMFGydi54Pl/dQG47jZEW4ZX3GZY4GabF4pFhiQZXJOsAHRKTLLi 1qjWiQrADLX9mK+R2WeDdyQ71E0grnru//PDrclQk7KbxNAJ2j4evu0MgSRFYWRrPujYzrOrmX6S /4rHgym6IRKTSqg69nUbJTOKtSslyRMMIpHEnn+l/guAJOcPwuIUlxSZCZO2GVa4KTg6FitKHCzW rvA8PStJDjgnDMJdDwFgiiMRAFP6U7ylXOMMeZJqbddWfLDL7XyhJBDPMsXj/zqU5Hp25rpc9Z8Z xdpC20DU2Q6lim4EdxvE/lwAAHpPJiAV0iTZcesiJfeHlAwFhlkyJH6Y/zfIkSP/pxshlVfOmej6 P3lle9+ISNJlV9J8UJEEjT2WZepJTfD4v/h/4p22E8Wuu5KiaJuGGmdmmjOSABrxNsC+jCQYXNgk xfVtQo0z2e0r5wdJUlDPq0xIKAszOJ0ZbX+SAKHLcN1Nl972rxMp07tZg6ZoIYLhSTzLwuORlmb+ ytnAKhrjkttnFG1XdUrmNCEySM0mh0iQYS+TktyNJDFDiiRF7LgibfB1VDokYsm1qfjxr0gCjE1R C92YIxNDpt3UDCmSlGIt5qbkbb2y4OnBZXSmu35mFGy39p2RQLblxMp3r8W1bXb91/KbrUnrl3nt Nm17cm1MAGBGMBLpj5PnZYMvo51hATSJbAMDHOoI8AY4t8wEE5A4fguS/G2DQ7sRs1VVSnK8amVp mA38dkI1PB5V2r5hJGyVl5v6zwhGQuHGCo1ojyNHcmeSTFU6xpF7Jgu1Z1TZXkTanNu9+WBTBF3G E5JWpiOQf6Gm5jnE4Q8NecE9HvEfLAx8R3RiJynbVA3JQu2NX5PYv5ON4/EII+E7jdNRIPjfPD6a UagNEg81F68nieASk0Jbw81bRnXV0Rlq/jW1Y9MdLBuBoPGa2Hqo54IrwaplQleGujYIZX9pkc2o qF2k9bel2LKvYks/qQmX1Jl/Ph7htfzqL+IKZFiEeqg9IxwJHIoorHlBbaM4ZWvlS/7nAaw5ikJt Rs5giE4f7mmE+m0mO/JkOyfnR6Opovrys6AuUdiU9KJ20utGcORGAsmiHgm0tbKJs9KvHjHPCSXx fIRH+iYjV2aRT3wU0iMZaJdevIbUpyu2TTgg2iTlnY1nO0M9Et75YCv44OXak9hWFmchop1pEsic DW0zxNf40jtJq+h1o8p/esNsd1Q5GSshsMDjQRD5K2dr0OqsV2tjRXE2bC2gR1K8iAT0kOYION17 HqEByIW0IiDJKIohKWHPwYtIQsJM+v8QJEEaRcbd0KF9AT16U9oQ8X+FQBKJI4iyxcH4SC1RFpS4 XjweSf/8ov6dn2qU7XW2FYXZYKIzYvHUv6twywiSlHGZSVGGn1MUhtlS/KN+pgbbawBinWi6SxwB NX+WtBfoOAPYWAAkHY6EUwXfEVVHSItc2WSicXOrzoGgjmf2CU3x/L+gfzizwecf6YJsVyikXWmJ JGstgWw9yp6rLQ8gN/xfNUchjoS9f3j+cSA/HPRDnvfgRMjB7ewF3XwVVStYCf+g0nqDo/Nf4Tui Klv20pENwiMRUiDo3+Lj/0VlsyKZTVf3b0Uxdpf7AWNbFdlWugpSeXraTw4rT6MVorW77GvWHXwZ DdBsUASDOtJZSJkrDebvUEcaVCX2mz/Z2/6B+kc1i4kt+gsSxjhRuxkQnoir4PnoNPo+seHI8HNW v7ePVhRlLyqRpj4daJOWmd0V0xtE+6jtnEytZYXsSONGqGibL/cPltYIZSjpz9+AJjck3PwsxEP5 616jkxK+JILZMgUBmpLWrk3Kf7XBn6Nps82M5/8JIsWMAGg7oflqsxTF2eijZYj8OVx7LHccGVtG PyxGVhomYpZiKRIqjg/zZ5l+aEPpDLK2FLXDMsBcSfSXCoWVyjDXgzNReHxFIBJBbVIlRDJ5jAF/ PjDalIzwJSMMiR/b7IZPRBH5WUtRqE2BapdsI31LoC3O0t4u7j/SnaUw1BZnSwbB/TEIBisOikbi kOD26pvMNiTSGy6MeZOMNFRn63rdYJK6WSWq3EbNDywl6HdIv/7kdHg8ktr6JUaKlUSkpOYopEgS 1b5oAiTCFn1N6BBBBrEIWwulRM1RLP0ni4jSfXBg29lgZ2IGlX/HCacBrUV1hG6usAehsr9DSPD1 +JY/S0hbTBIKAPOvhcyZa0hVPP6+39YjasMg6az3+QjbrRC1TemfWpuzbaGibp22OaZrSOBiVadt xRgSyiNl7jcOl23b0DHqnKVZYV+eabtJbYRU5ZNuHdvChAjfElhpyMjVLIK7GTWjvtOr2pFnueLx oPM/vmtIrfZvjOSKYds00GjTQwDkcBZJAqHv7UjoYWmSwmib91srlPbj4NX/WaH+muiChPwKutEw u0WZgPDDla8L0qI88oqi7Uy+dhJDIjlDAnJ8xrM54UbF49FK+oZtszszm6dtO4q2B+tsOXfPSKA+ 9+NiJNX8EOBpbwyJHVW1h4mRUSGBw40B9kKdno41JwoYFL4HHKb8TOgLoJrk7q2sau8o2m4yQpjP oGWEaidjJvQAPjv0rKnfywhtyPEIbez3aBvVOVpG5O5M23b1Wk6A52IkM+Vp8sg7FCORXvsczYc7 ReDZdEYAAwKpgxFAQbkC8vY/lNryq42C/zuEbRMfSUszG9RVBB7Iikgnsd3v0XZi0mRzxAkBfjTd AGCHsO1GJTv3PwBbojvTZq1L/z8RoE/S3+E2bDN8uCUSlBSgnXTW0TpPIoQzs3IUgn/oBnftbYde 969KW16SSFgukTBOgpnU1T6/Bh6P5Ej8/selkin+eQlbO2RHitPG6F41babUOo+WkUvOeYTNqDkK ESTF9NqHD5eyveFySODfWd+NLgxEIp1QEhCoPB848uTNtqOAW/oRRVIbxaU2zsRYLf7nBL0Vj0ca kt/nEUTq27ziiDsKt+cUymYM50gIjIzbZvTtc9Sqy7XvELI9pefDM3s+Z3aDNERaWwoJJ6bITXV/ yD6gOPlT51NqQ16N7/gLZVOkI3VC0RMk8MaGgGRiOREKKng+QEfsXx5RJOvOe7HtWPhPxIg7SaJ+ ysSms6JEW83tdpE7RpDQntXkWGa+JRKsDlBLVCKBh0YSgRTpO0vL/dLaKHKA7wgiJLFre7mD0ZGW nBwRBiw8HuS2+bcW6bnXylX+2RGAJCn9p8W70v/i68jlSGQgASQCpyiU/WMve1hDclwHxBMh4TdH 5xd7bSHLxTpKsHns7ICj+y9aG89FfMef/NEi0F9xdSRohBIyQGMuPB4Bkb4htoBG9/FstTDSbkxn 576MrZl8qxXpSlTmbIQkd35SBCAhcmRpFa1nFcGT/MQFjLSBxtrI/0B6P+ErpJ8QKbjCJl2J8R1B BJlZIekz+aApOqF6V3Q0sNP+rbFthZUfchBsisKidpGG3XThn7ymAiUA2gi6LY/ub+cn/QXVnpNl 2znXV6FtLUlughpRcPozAa5DZImf9QUf2VNvG51GZGp1+dX1x6+uobrMwLkOPB4USH5JI4MeteoV 2NhRkN15YFuFn9zIaekHEB02cSdfo5UQN1qEHZlcDyvNO/hGOxF2OYuJRSR4knQe2IU/YEKIVLYb a6dhb/unCklXLamP6nk/2qT8uvPWeDxSs8tfGw2YaDoFaIqE1Xn9ViYikyIM/yNjG6LZmNPWLR4A 1u90//EUqpAQx4ZKiw9G6ISt4Qm0iUM62esJEtFAOncS0nZBIvCpwBdiJS1/44BCyi5tyoRrJdr1 KgNBxZ/JxNl0kx8QAUj9ZiNDEtp6j3tdTqHwHyWkeyJAlLrkfbkSySTQF9l/L3AlkWB7CoNt7qOW WZNt+XZIzh6AEwlCuAZ+3Bhw7Ia9Fg1ZgalgddHFfxht42v+4v/NMe7AFXUirnOMq50/M58PcpLb 1yY9onRsu3ZtbVLoawM2/0lAhhdvSzEsO3qS1TvbrDDJIiGFkG1qkpMIbYPN1Lm29lrCI3WA1yaW XeXqQbOEH1cet9FsbxzMlIJta09C8bcbmQ+/A5fJhCdriqLukedX1I1bZZQrAIziTZDiYjM1/LI3 NRk+U507SHrb0G21mQpdIytlNOEcYMOtA2wYJc1J078OmgQMt9bg7gP0gYbPt4Fb7I0jsCR3Hyyc bLDIe1FtGbt4DD4fAdy+2wGA6Y56FaWkgPHe7mM/QPkJ/5U6BzqmaP1MpsRK2z1+U1Trlg1yn3n6 4LU3oD9TJ/e2jyb/ToQF3HwdNiS9OxWwZJJKWVp//5pJ50gKb2pQyWQgc+a/brhKpBBUUq6lFD1c oFGwHy6AHNXeq36EJ5Ws0iRIpkuYtzJLYiEA5xRVjor8yeKCt0y31vbBURMV8hKTJm4d4eYmPamz ywJuIHUAZQhUUvIXjvCAZE1mEt5lXqiK0tnMknE9xyKfj8y3vvlJJ8v8YfDu8xRqlFC5cNaSvHvS 2LTc5Lo1I98gxsx+80VxOA5a9CjT9sELlVDfPvkza3Dt5DwdTU0kjkAEwj43Y3XZeU44K78mCqIM fJN8UPlk2wH7A7MBPv+v1oDO8zPvWJl3pkKpkiRgSb+E7m2iUmDguJ0L7GnueR4WvglVAk/fBz/P YQWVUMqDbgniAfQ0zwGMsDVDjBN+qF5CgVeoviaidBMQOKUOSI0MnlLnhyJVDz3IxuejpuX6mikE DHNfrHJOYUw+hS4ZLuh+/gCropz/k1csBzTG3BowUiypUpeqxp+8oi5wLAEsnYSueuapUiGHPiY/ EoCfj8a0/JNS7HzDUlMnqzu7AnGhJbVyu9IGnw923g0Q2GpqVMDLz4KKQd2kUPBQVLWJZ4squ54X Q/h+dp+nGGzCuuViB5yDJy/lBNxo6vI0h2gRy00dPYJJIFHJ8xLfarEXDupNReupDh9su2GpUlNh MOQM7W8uHUdUefCWHn/A0GqSQoqV6vMmN6mYYIiO26ziBNt1d1EMASeNrKX1DF5N6URfkUhRYO+6 ltBdEDKg7MQuHnGWRNPtHHhNYqoEpKzFB2XCE1hWHuVpTD7/Ly0FPIeIe9ZLN8mh26SEOSrrgNJS fkRwmiq8VC8pzzxFqJNEieRdqH/PwQDwpcOhqdFuAqWDssjJAXgHJZBFm3DXv6NrSY7dJrukJsrw QTC4c70X1cHP/8rn/5MoF+RlUnumKYzLKwtylI9zreBl+fBOy0oGIJ4mlQxyaDi5qEi+shLifBPi XjruFbBaIBacM2t+4Akgw8KOA5zEp6k0e9+/uEuZiloY1ORl/74ynoJbOZ+PurweQkmpHNDu/Pgp BqaT5uh13jFlmycAIL1AV9LVVNrenMuh6yRWxz4bFExADbacYOwObS7gThNAwJPSix0mPTi9ftDr d22u0ex9/1LmBLHOBxWSzuFmxPszY3w+qq58q3MhOh1f7qWh7+SaklXaV8GcXE7tOp1Z3HWMmzRN Iacyax3ZqipXwhxiOD0t4ZhwYhfB4bCTOwrnGV0ky10krJRD68klCBPiRQ7d1tOJXOi0ftbT2Hw+ aPVOjwp4AaA68sXOzYH3ZKKtJpLgMe9EtVuqI+VVUu+bwXnXR/3hGdCWrEw4OLbyRF9l03zuXC9o JxNbQFdjlDkNW2lwpj7thf+CxbU+7iBw5XnBJmfu80F8/l92OOyvIBhJ5dl3IbMS6/CcP5d9Oggn FrOShC35uwNGqDgz9J+Ub0Bd0jP1Jhq7UAsSY5QNnI39EYQFFYTbhep2gXu8V+yWv3BEP2HKsnb1 QT3fXHorEg7kso8C8v1YB+A/BgpxXOJADiwoveu7gH2yZHjVbvNkdU2AH3Z2zHcOPCgzq4aQVkp3 8PCpnk+F2Ts6mh1dXzlQJWAHUUbPIDq7S3et9sJ/iSvURcFXDrrvTkw9mMdCO53PR+yB76IBbLFm +ZqnOBynxhKJtapDSRcXOMusbGawYXftTAMfSoSZglfwnOLgRYOUwLKAnwG6Rrmji7zRr1g0WKI3 XruAnd7sjQN5fLriJjS6bVAq3M9WlxzJySX5fKT6+t1twVnZ91OwC70o5bLMAp9p406eVeSiZnPJ ITfOPU1jM0rp3jVS33u7Fx6MIncqNlEJp/WmXTc4jhvIQeJQL6dp2gtHribE7QJEYoMlLgNVQe6l 2fh8kLhcO0oGS0Ap/2z0Cm2mQkdKCeQAgWQzZZavi6qdttDqCaopbY46VGhJiewHYMtncMzl2Q3J jijc5gWh8wbrfvwssNznyk9nqtn7BiUDCeQIJ5cvTq5CoEIq+WVlPh+pCfpJzkObQoZfxuZhrZyK iwv8fdXKEYlYDYrEoyxhE6AibJpiGDhpltKc5OC1lQGVykJ3E9hGEQVzImN0FahLjaaRq5vJtjs0 pQSOG2GlcOA1G57gnHGlJTU5++TzEbn52/8dq+ucnPeAil0pGRj0ZaIv4ICPZlVNTA4pvPTJ8ZZC YEuJ2AbLCAGMD96hAmsKfp5U7ob1KWKHk3Mg/EnsPYKGbQdUrsneOFKl2tpxXE+AwJJ5AYfcLlRB 32vzA4I21Z6/Yk3cJk8JKjCmhHhXkjCV4oGzkCl7zH1Hm1a2qSDgmn2mQkA4i5q9MITiYPuuo8MJ XwqUoHKHHD9LUIkKZcixC+qK987zNw6gBUUGp3trWNp5Kbexp3Ze1mv+iw4m8OUCjPfGmrE5ZZdN Dqvj+lf3FOxTNAOUDAC/tKA8cKcE5Z+cOenmc7AldY4MCP/tTe/lhNoHFh89ZjqLjMjcvE5Owfwc 21MWxgYIhRAbQLmJi2CCOMIg6sTIkx/wL8r8suCg96ejEDpUNrbzvpXOpeJNoMoy5ypoe8zmExUj VcjiaeZ7Mh9ngbPNxq708jjB+Zl/aOxWgA0ggoxa+TXOITSBXxKJL9FdCH+fDYbD2L2ptNJr4/P/ ZT0RpgjIqU9TFJM38nlWMYWcfGIfdxacWeupyTun+DSF6oIMDbaozBxu7oK1M4gMg5q6+X00CjKh ulGR4pv80pr2vhEWgwiDIbzBsHMQUPwqwxOY2/H5KCT/5vKyarGf0kroUjmKsKrJHc/Q1XPAilsO wpTRjapyYFP5wDFy98Erv8C2z8ymy7n4K+RPWPmtUIkAT7tTGpZNl2zzFGJWaHqWl8SGkWfxRJln n0lr8OwhveW/YM/s47F/Xp8bLwrJO3ddIQNSqbAM0JkKE08k1MoahlrJgVOlO+gMCFDZcCuaJ/qu YPwiFR6t6CBLbVXYaTTUFD1+kipcDp0qaUpwsiuCoDgoEyaQgTdfRgs9sKpMXzZDmNOczl4n1tHn KYrI6YZ83q9eWe+RkpXq5pw2T2MrrOr6qL9YK2DN+WCnE8Qo+XNTa7ihDtLYxDsbkZpGGVcH9x9Q YsVeOFhPWzhDeekBm6j1NNt5hrWVc9rw+X9VftWeGXCHuSWD0LBS1N4lKzhh6S5GfLZ2Oy6o22rf xY6VxD+hp+2Dg3thM2u6XuUsJuifoaqAamZrxNBfxSqBxHNoWZlZ8oXNvA86xXlNsLLCAnngWYn3 /G7hUS6rPVFBaFqpqODEM+4Pi6awQ1eLYTUp7+2lusC1Mhu950Tx0wev/Ka9Eywa6H7ST15EREJa wPxBVj8DfXhhBt1eOIAZMG/B2emD9t2suyd5Ms7N5/+FXpUKCEGhNyiIjSt5PGVa0Wme1jbNytGG 33a9gBpo8xRixbcA9apAzacChRUE7Y0kAZQTZzJvyVCMh1rDmZB8JdCgamlvHLkOMCBv5PrmBsIZ K77np9ybZO9xVjA/4F+2g9RwOpO75iX55NC+EjxxtFy6tzqHHJqx8WCdbDXyelk+OfSvHEvUVCZ6 HDwg75u4pURhpoU1xtrKhupcAp8pq5tQv7rngYOl1B/JzuQAOaCuah1iwCl/s5H5AdHe+86FIUk2 vhB2sYclm8I71es+uJe7D67qQl+TdnsqrgQmllhT5NSVNXxwjA9qdJDi5t5LJ8XJdB4C/XkD6wUM 3sXW52Uv/Ads7PFopA8mbzqgPEkqHCVNfsB/obNCWISKpD5RIVGTd15qRfhD/Gt3KOIAYU3AlQGV bOV4oZelNl/brG5y8KJBgmV8pa7u6DB4S6xCUfUNutYniBq3j0fTGn5NRB3jrhuasNENYFewHdlM P7u88vl/1cnlHIMDaj2XXhSTkwcDDJ+zfgq2v5Xr1nDpOKDJfEVFyBUZfvXZmg+OREz7HANoyzdo xZ7sjyzNjn2zWf5DDe4yWrq9cEQfE+yXOd5Joqt5nECPK2/hVbmiQhR5+o4OkCmAungnKiyUN0YH xZ2aID7p8LoqJ1lM1Br5TlQMXBEXkUFny1cdpYLSOsHbJ4UMaCFcOtiRiPcmjnNcHF6I2sveONh7 7E2lpQLw8gJw2UiRebDn1fl8FG9ebzS+33kthnI+U2GpnJLWS2c4J40ZJvfepJQWYcDAJftMhXE5 IT4IB3ywvddHBlK6FnnInbt2EV/XBp0tAUTGOexgKJXKQ2vLTi5ilolcviZyqUL0niW7vRafDwLO KyOLaYUX6Q/Ytnemwlr5oERaaV7aHEilzLVRBo7S2lumtZdrGJlvtej29EEzhWMpw4kCpc0JGdSF oPR8OAvWoIjUNlwBlO57+pooNKdmI0MEDbr3YA/FpgL2Op8PIoRnTf1QnA8iFxfbGtpbUtC9SuRH KXEyAblCSQrveoJRrggh8LeUGgSzuuWDJmoCuA6EATZfgqgudjKq6TArSlRPWpYR50FLmRz4WyZa 4HxnMFXd4X5+CJriGtogMLhM/8dRlu7tEIPzaQpL5alJMMWniSebMuJU2VtnFx2Tp0wvdLhcEkvd DM05eEZMbZNhZukVnWKc9xlM2IFMJ0PZxjK9oTgqsLjE/pb3jgotjML4t6FTMWUECv3mHJpcpivg WISDzXiJu/NCm0swPs4qlYEsj6tlCOlz/HLPs2KXVTjv+qi/dh47KjbcXG/DY1deoAO0ICr0EKre C0sK6YLGUrH3jUCIDM2r4CsoNCvvgKacKklw4eUH/EvD0c9ymCfeeYpC88r28FzZueVzdc+Jz1nl clcTCBPbeGGtnHHUzm364CW7dCZ6ri1vmXbCRFIaKw6UDshPJwdvPi5FOXC6PBuHeFbg1H1QTtwg UCjDIqChQqtLHbCXYoae/Hjwv4HVJVzBEhF18zY96+jeUqBWK1p55+4rfuXFXpcSKqi0c+JwW3kw gss0KDh/zfksil1CWRUeJwDXjVs7mOqih2aXisuLesQcVKKEgCNVC858Vz4fWYJ4k0qtZOpd5Wff hZROHGygJpoJD1aRqTmf7HNbUtzWXN7MCwwvUQJmUrxZKedgFKGNhvy5VDlRKBoALwJFB2xUZHbn /7Qf+Iq/cMCkKuy5tKXhHBtLpHqI8pnWd278gKgI/F0rR6V6tqd6ELheoiJJAhUDNYabY7lb0Wwu hg3jq4vLCGwv8VGMnirhmhwcv7IBPy0doQHAgnWSpJCwFc86a2Sb1bv1qDSXA+fLTF4dMPd1aEim ENIAV1aDDsWD0PqyzIuwQxAFbO2GDKLPVFQun0LYle3IjJWTL6lOVLjrzDudI3C/xGknEXX2YDjY ETUzpMZLlm1hauQBQkmNCmFnSS2aOmiexA8K7C+dJ1yS8pjUvPkCUKgO9Q16UOB/+c2kosDTOEfK ejT5Pq8hVANTjXbzwzIV4DK6A1h2dznekWc1etBrANUgf8lqXSJ4joMX7Wojq18+Mycgx+2ODhUy WxKacew6iQoB1Gv41MDQYX9KMirNZVTgsjC26Itt4emXCTovuClxBu6N4GX5Z4JA09W3j6ZHcHs1 66TH07snLXnLMRxY+eEneDA9fQhcQAIjB0f3nPwNxHIJzSToaBbqg5xjCafuT6bLvCwdlo6l1/lB wyUJ/NR8sAYTeMIKyBeefpufs4YII+kMXvEUcRjV5uc1YGqZdl5Ucx6Op0trGE7s/JruVFC6CxW/ hkvNzRy2sJe7PMsHBiDglBnPHH0XXoGIkhttxNAZUVzJisjnNVhq6CexME45cQ0q+AI9lcymuH1e IyVM7v6eHiAdUZq26XmNk7B8VBQnQ0jF3lRcqKg2izJPggI5ak7Pa5TUsqmCrqrwW3V8i5IGbP0S QZk4N+BEgNuNqdj57yCo4NODcO3zGiM1VFBMVHaLYn7e0TRBT5bAim8/cfHnNUTin9q+l8+kP7XP z2uAdP4B/e1kpb25/a6JgSPeztXSOhAqsvVWXsMj/DpsZbbJy4yD3fpgV44KVZUGlxMYpXcSgztD s8TOsjs4ZWoif16joybpcvgBkYvPQZWT7l627I+/xkZ4mqVEzIe5GePDls/Qa2SEDZZpUEy8kPg+ bbuSw9qP/A5cZ+SaGsyQhMDPey4f/Hze88QvaLlDWe6cyNDs3oAw47onNa3lp5vJeuVrWITfk39U 6s8gkBMsg+VScH6Jz2tMxLto+wzx3c4emwWdcc7Qa0jUDOEKcpIrpsowR4Yy5WrvoNpsLhfRDHWh UHSRPaxN/LoDhREe0QUqZ4D+AvEODxAcm3Ax8E3GlO01GsImy8pAqE5cE4qcTD9SORuObLsTv/bP azDULjy8kWiGIBMZMqphmqLXWKi5eUOqyxVTWVk1kWsQBaVPSM8NqRNHp7QEU7uS2n6T2vMoFPLk lQLQ8yADGGRzsBDReS1gZXt0TVb5ayTUZDSG7F8Mn+0MHzghFTbm8KKf1ziIr5i/zqFO7eiSbYJe a5PN0allXzoGCjJWSOp9GFLnfAaQR8j7XyuTTZCscwB1elxxcIwcAE0dMB5M0KibrDKUXFDcYP2e DTRh5KjW+3mtSzYhzweh0xqyXUkZyrCsjmRIgQYTZPA4P4c6oR3bd9lrTRILt9C2iRczZ6iRvocZ onOnNeNoKy+hiz8DIaRyPjiSaa4B4BpnaC9AvdA3gWIkikcQuAZQ07Fx9G16rUc2qXhjx4+mQYU2 0K37ZKRITOprNbJdPVkEGMw5QHCgipfFilEsPQRJLVffeq6H1FOTCRMN8pWMcxhN0lLVSNZW61pb AeOcNhMyaBLAFicz228A/yWAZwtsEH5Jpb9T6ZprytY+tw88reHElvn/aSfz/7wz6bAMp+80Mg6R 6/d7Wr8T6bhDCJOvdjBn4KaMmInmqPe/K+zmjdITTdKkJHHPd7BJOr9ARimJK+kslDWIbu5A4kDX Wc6Z3tSd1CV8J9Jx4QsfUO+gJkABc0E48MrHg6ha/bcmx2ekOghsfJKisDpl4/A8cdEtbI+UHGIJ kVzHegWTtCkkNwfzDg5+Yp+7vYBnzzLkODuMRrJ7U8rjLKfFeq3ComFv+z5FOAkg3LTvYFM0mddg G20+HgRGY31NEZQfARHzKYpCa+DpIdcw7mbr1Tdb69XF5OkLa+iA4Mxmy/8cZ1KT7Q8ItaOOWmwd nT1h3STeJxMBZEEJ/t5p9rpBbkbaalMOwkG5a55a/7RL+bzz53Akje9l1FkugpudvvQ9vJaYIMAA ZXrwyIq9oEszb4cu9e7L6D2+BikhwdASqoY2WHvk/MjnCJqcI7Ayu2lfAIsA+iFy2Nsemao+RsfR MvgpOYYsxglYiS8kwOusUtTUgjnKN4HlqQ31jAGqjE1SGGFzIUFwwiapkhqiA4nfywNpAmukFP89 xPaK2gYUyAYv+Z9VtbPEm2slApVyckRIAgKe4XpjhzYB6J934hw2NHtIZ83egZNU4ZHGdgxgMp93 3hw/oX+FRxlgsYlYxyYpDLIrO20EuxoeoLrCdcvVNffTvjynKMoWVnArUOLgeci5/uHiRzY9eIaL aJ0EBbpJ7GFN7m1ZsmQHojC7UTumyEqmuJVMgUFa2XIkyvvzTpprkgR6VhJOmkFFOc1RFGUvJSJ9 ORSgK9nnHK1s3MJOHWrNURRmEyV57v02fLiHdoFybFNptqQlSaIJD5BJ4hA9Uo1JP7te932OBvFv JG9xyNZUVH8Kp0zqfDwII5fvNjINzt8+sUhsjt4DbVxHdlS7zsdSIEDR/ZUFMaHXUreqbBRpQwNr E4Hmg+YIctZASVOUuPQOygWVncC66Mi/8N/evlHX275PEfw2TlBSePdzUMUIIETmXyClfN6pcgiQ tl9s9JQkcxZAIZujKNTuhJQMr56d6ZLQzlL7f9gc1eEa4O9MOe61gcnBTWGDndr4aRcElUlsgngC yuqtQiCukwWfVn8q/FOvG00SZqczKdHASWqgGxiOEiiJKNgW+tqjyA4lwHKrIu8kOSZsLFs3F9yt 6thwrxXhAXQeJStbv3PkmgPexlZXf+eLJZnn3jlHNL1tzq02z4Qh65/Az5APlJmKqHBN1ufnnSPH 41NqDMxHOFhKC6EWFgDOb/x5p8gxeMhftz/FrUmE0RxFkTZbP25CbEhTv9j6XBdpSlQBbv93ghxv f+sRNR8sZ2twsGRWDsDp+f8sRkg505UAghGVxD87kFh/fGfIIQ6U7tckiDIB7V0sH8H+JmEAEijv DDn+c8tHm7UROWb4NEWx9uTVgqrqjwmk0d9UMNNmMF2wdJrd/+8Eueb2n0O0r/FF+0Kd73ySqiPn 4AahF9OUJNsMiDFEXZ2mo7X0Hm17mHTiTQrJoaYrZs0C1WJL/KWszzs9juH2+FpMEKLDWvdZCsNt 6sTMnRwQCLSHNx7JNpOMHKSBeCi9k+Pw/ZQdmo0cOQ4WAKAQP6BITA1HJDfkzcOzE3SvhP8oueS+ CaC8c+NYAaBgRUrJB+sV7UQF8fOLzvp5p8a172o25haRyIIzkk1SFG8rAijzxtuQJ7llpJvbFvQc teOierY0h0anWsXoV60CJArknmzOtvNF8KFmBHBemD2jjKaWFWvl3vTOi8McCQG/DW3jkvulw3LP 4QWfd1ZcE0rnq6EmCcg7R1G4XZucv5qT4oqkiSkDrjI3U9vqRvLvnLgmzYtNBIcP/s7nEwd0abGQ ZmNSglobTVILpC8pJHiP7qX3DcIks/7OzQeDdG9wwXm9zfV558Qxk/dQkjMKrH3fN95+58TdQwlG ljZLrdWn3Fa9lIT00WbpPd7OhodYkwQlDt68BisA1STGkmfVQhYP2W1i3R984BOUPx7gS+8blCSH 4LcElXLgLMHEx+Q8TjzzeafENSNz30gJIvds0dskRQE3MjLASJNTTraEYohCqubpALOUbcHkOyGu yZQe2cjuPliglDviRhzsKHentQfrKYlVU3iwUTnLM7cy9LrRHNHfUlW3RCwgIcppn5+BVYeTG+3P Ox+OS8nvN66kc3C2eett73S4ZkL0tSr/YHrb9+OV0rwFOSDmopX0HnGfi0ehNg0+NVjE3VC7mEUS hPn8KZNdXB5zg1qRM18S6iA8+Z0N18xuFyTd7YPBIM6RJ+nOnfmOwUKa83uOYGZfb1byToXj5UaB hnZhf3mZ/xca19W5S4U5D+foPeJ+6iSAqmmwhXSio5PkF7axTx46S81i9J4ro2FmZW4taQqibd95 cFxH3GSZfBwO1uavUyymk2SMzzsNDrHouBUAddnOYQTGlXWyo4i7dZl/7GzrKDf3ATmXT7EwafCQ VSs7jLhRt+2EwtngUFvgilqR6v7J0mB0TbQb0ngQJ3a659EA+uPzToJraJRxGTUhIpqLgdOkhlWS EyyWzzsHrklDUnPEvvfZeJ0GV5qiGCxCnNG4tkTbhU1OhuXkyhNJAkiqKYrRIlg/DRqUNhi8BRBj enTidyOpmenLwsw0WiIj4kDVlf3+otf9c45Smz6oSHIuZ+I5WN77vPPfmngE33EkXin7Vnunv/Ef JrejOet01mE6evg3dyZouVuI9M5+YxeMxxEOdxv8ODqLEBkIAbZwWaDjjmT0qD8EQpsf2W3obYO8 jQSlpq3W7lZr50qp02h/5fNOfGvCdH1VtpEmteLX2jvvDf9sEZj71fIqa/+47P5y0bPCnxvNyHfa G/LGQU048Bxs8Krt7vscQ9XQ2ujfkhk3APwkLxeK4ddF3l43yEeIGW0U3jtzhFOesd+5XHpnnRsY uc875+1XlUQCqiiSdA+Q3ilvzQTAa53zWUdr2iQV4ss5SZB0s3UUlbaR7e1eqEmpwY9sAEk2kJTw Bj1RMVjrZx4rjNARdpd7YoMuxJeNUjYWa6VZ2a9m5cAfoHLkiWU/72S3JnvM7xkiQ+LO0HugjUb4 ZjmyXpuUUi0ZmZSHNSnG4vTJd6pbk8kb5BTktjuuljz8rCAdKIj2phU4eZaJ5wDFj5+Ln5qQn3ei G25OCqNXpAc26DQCvFYeoKnzHYNb7cbZQmizWesl23eaG740c45md2vr1S+cdiZDI0PqpFkZ6Z3l 1q4jcZ3FBy8jQaGXgnMAj5xMEmnH+Q8AQgcdEEdnueQt4Y7fWW5MS3mfFdaROKiOdGL2wVWFv+Xz znFrYm981ZHy+IFfu09SFGYjFYAHqPnF41+vgnxuLRt6ZIH9rEkK69pySik+UPkYuUgdQLxOeRKC EFoktAS4MNQBGat65i/E8Tu/ral4DzIEM3+ogxoTMFGynvCIk8d93vltzRRxn47tggVou+FRGGZL PWgvS9jKStnb2nMmR5BknI+apLCwTf4fuo0+WMIGaNY5LOkkB5RQ6gSw90U/AoTYBevZnRuQg33e uW0tma5goZfRIrBCVZGTl7BoBkn5zOeDqy351caKE3Hw9c5SFGiTO3juiEsrPSvSkpGJS9sbkpTd 5ixFpW3TLml0TZmtPC2SEx+B98daWznLgPh+iOKD2AbYVCWg1sybKBLwzmvDP0UIiXYHHUqFUnOq A+TPO62tSXP0C0gCQvtot3D7TmvDqUSW8lTtiHUANnLJ/8MfZSagJwqsktd/Z7Ux1F5qRSYfbJYS CC9zkChZ4b5G+h+CMajUgUeT511KtPX4vLPacD4k2VrKdJc2a5yZDp1tIfzr/LyT2tr13PUKSQbK ckKo0mYpBJJQ2Yzmx2JJNu5cmaWgyEiW5LmvAC/HWnontVlFVegyHxxts8//qWYqDcNRZtO78CTQ eGCDlrarI5IyoUyfd04bNxwl9SdnKU2fpQKHTrINgS77vDPaWKH4Btvgtujztkne+Wz4h/Ds3M37 m8A/U38bZTJKWjy6cQ0hlHyns+EfIWsBtrTB5gim6LjONoFt0EzDYuOVhOsf/PBNY0JL27reNzi7 hbLJIv5JtZzilJ1WZSy29c87m+13vE2liXNjNVja2Sy9B9yQXpdGXnnMZFqxhtvYdkDVUc+xqzrS O5mtueveCV+qD17bPtH8TpMyXdgOC4X0jfgUxGQ0mQr8HC0KGKRBvLPZrO5FEOkdhLc5d/8yj8tS P+9kNjze9leohHo7bFx9ksLaNhsA+7GUo+e3ju61XFB/kugjqHZY21ZXEjY6Nlg4CRFCHEWNfpLl nOPicuEOH8gv4DHjya2KJO9MtuZgf8rr2qACAGDJqnucEPPzTmTDQkweTuL/oTHdkVDaHEW1bXYq IBJv4eTZBcPJNEUWl4TFo1HO6+2dxWZl43Nio9Jrg83RnnCERoUSdbcM2AeWXOFNBUGARfvGIrmS qbeNpoiJPy5jG6y73SSThfLt+Lwz2NjTbN9ZCVT1cGPZFIVAklnEg/RlRPEea9xWR9s0GuZqisKI G3cb6kfVB09u0TuH1wdt9xpwEeROIv/tcKBY4zEB1SqKytpnAjA3U0mJa1eUc29CvskOwM87d61J 8/M5tCkyD7q1TVEUb1OJAuwQL2s3WVozSCrZav/nuHLI/ztx7ZaReNDbYFM08NPuTVI7SMbA8DCO AiIAZJhVr78la8Sfd94aflCqA43GlGR4O+8cZhsedZZJf95pa/hj8/raaCiSdeAzbIrCaHtTu4xK Y8pt61AF8hxG2XNbGMJZiaTFgG1WkVK/g200bF4U06qZoHQhBBoA2x33Q+dGayq02dsG2D8h2jJl ga6wB1Z7XpyvEyZlPB5Ekb1+ndcAa8HvzqcoDLVRFRKr3KjsKtKiF7lLdWfCOV1VsUU1bU4RzCub D57+730OfojU8ezGgcQqEmAzjfhMWMt7V1s7LUSRENbWhJBoFyFxEpNp5/WJ8fF40Psv30Uk0HhG uTXtHgbamZU2eezSA3QU9wA1UWoUkdJwJdP+HmjjvM6sHs3tg5/X5948a6lQeHKf1alG3CBWSmLH y+NsmQv0kP6oK62X4oOKkWebJXOR33w84I78qiLBTY42rTZFYU0b8OtKFSpDIrdmO+2EwVxRrLMV l9joEYgEZSKY6AEdocFq2r1SN45qZQUQkqZCU4LUJP1vU3+Sf0ps9KimLXnllm3Hbbv2odlHYuLZ PicX6VGUncqv02iSOO+Vth5G2dxqKVUHI+1RDYw0UWi10AgiBrrTelTTVr52grjug2e1J/NIRDMB DVDPQhqEIlPRjdrbJBl6xZYIkh5F2YS1AdpM3zj49tZlYfYwoO1ZpXg+gCPN75s/T1buLjqih2RI spDmSJ6MgLZgYfbWOYWtAGMPJSM9CrOnZHB33z7YNMFz60Rf7LKdGwiVNSb/nSXtToxNa161JU8M 3xLltSSK1m6DNTpONFlmVcbWOx6PCKO/i/8LIEm/2XrEiIRxE66eui0XATNMk0QqrMVHo3gu0mMI iXaRQsh0Q0gimaGvTOT/uc+gFgc6G9iECL9/8nhc0gkEwZcEvUhyseGz9aP+owRIajkn6ahS0toT zwd328X+F6ZnKPOd17tbLoy00WortpaI/xvuuzBQMbZpmpP4P0xTyItEuopaWvPBy20nDhpLppaA DRcpuiRq5FOwAg0YP5Yo8toj0PYkWjtPmYDTgEMqCJXKeiiDnk2N5/+146yUCff5Sx0Nq9uYpPPC 6In/D43lQQ42HSnKCTMEGKP7hour29RwSyn5YBsONPOa4IJx9uHZewN8TUiTIVuEkjP69fXhI029 71+Hd0EQBtYW+hG85CoqL7PLAK4WfEBwfPf9teXg5w2WtU/Te7wNPXNy2FFNzeKwN0ooV+JtJ/cZ fmd6+XEtRfH2poXH6PkOXiQBsr0qs+0Q50GHavN/RbCyfgiCcgcP6nH2qL4tSy/qgNlgqK1Z6dEC aYOJx6Ps/9KQl8Sq0Vy/IXePkNvAgAJmm1U/ojz+0mI6q3NaUbLt1UyUrEc4ElPBzaTbnoFLmkWS k0BuilZAOfEc3ygaYZbojgpxoqotN72UVPEtf4PbFDTt4eJtOzVbVWfx4vF/kZJIJoHZQ4cZss1S HHWz4EaFIYrcZZYeWHADANcKbgOpJEu3PSpwL9b/xxIueX3hkpEgFWFuBwUjIA1U8wbTLONgrFQQ U1VykEnaIyxJp7xk4SLQoIZSPx9LDADQ4Xg8Ymx/33EZ0LoBJTVjbEdxt0RHemtO2e7LMYBnfU2X j4Lwv4LKERW40VkF57QWHyzuhrjguep5MAHjnIzeBRXOXqhq7qx/U+geUdxtwgiNCjYc1ARIwM8x cDopJx6PONvfsC2A8Ee+PYARxd3emUzJgqUt+bFFq0OzZYLwSLZS0gir2yz+r1yGD3bBYW1OEvwh zHICOAkiQWgLJtzQKV35KpEBhfcZMUtS9kvZ6iVoB3GSAJNssljAJIXl7f0NuAFrHJPrkxRCt7NQ +EOFyAz/WCvckojohdvtLuAjKm9n5m/ntJ8aGLkgOUHZblaK/cErFOJOjKfoFQywQFm3mbQBqMF3 BEhSeaA24v8yK7+cIhCFeKPCEhWPR6Sk+nUiNShaQP7NpigEkyxibNE+MLht3d1JAGMuh7bNu9Wi oJt+ZydCgsXCGVgExRQNHEZjY/EwngQpkt4K6HGwJEhOkkUA6MriOyLqP2F/NTcfhP6rpZHGAJ/m jcej46h8HUfndARK8q6iKOTuRCSfoMq5bTWbS1WBo7Ih23ql6TSnKAq5p7htUwafMz1+Aa3Dm69S 6pYI8YKAKkNHa5ABgxDK2LYMX/El0XGEyWGVC7yC/2Xsu5Jc2ZFk/7mWsjZo8fa/sAd3j0CyejLq 9NjY4HbPTTILhAjhAuiZraIkVLEHc/uS8Xy0jr6zN+is0anFJikMuHUeleauVMubSlCWr77VFv5R Wy0KuJf8BWXosiRMJGh7RvG2k7hFt45FU/DcgCahDv3VLM9UasB3BFtNVgH01NVglz/UpyRePiYe j06jy7ZlbI4Et94+0ghB28OkEJsTt7TXaC7IpjrnaGR3kB8RaBsYyM1oyAe71kpCMQpZEhbSwNlG wQnobXUUwZD6X8h21dv+1WvrxpAgKU7X2llE4v8hvR1hrL18jhgxAgMEYKdNUVTbJiiokl1mWBI0 RK38n43Afe6e5SLAI4q1J49qpOIaSGflXjsrB8wqek4kiNtSWhIstB/0Wn8WMUmaIuRD+I6/oqPe twY04QVtw0Unec2TreD5iEWSvrYa8keg7n2OokgbJH2eEZdr26drbzdql7KLRDiC5iiOtCW9TXQ7 By0j+LpA5q8RJAE8aa0yjccBhi5qhf2c7zWGkCMMtAFHnuRPwYUKy4K3GeivUjD4OWfFwgdE9e38 tZIaz7XtWdsII+3OzcbEWweSgNo8kGTLQdEiQUmw2eL6NrNaAbI5eNa20aZFsAiN5DNLSACgjNRZ MsgUGLreADq1Y5YkWVtDlz8KLNJDGiifMTYcJ5TD81Gh5NfVtiHaMrzkNsMCd5V8tFm+AVSC34cV gC4UDglVaLQyaZt/yvvBeLn74AXuExG1AhI9bn8U3ciCr0y82gW2j4duO0MgSdG1z1YAB53aZ26X nEtO9ILHgyn6dbHhFO5wvLIpigLtyuw/UzzgP3QHgGsiYSTnGGFea0zSpYxthixJQbFl/sbBbn9o hch6CBBAsFSG0G04/xPJ5qU/xVucgviWP7sAi8jk4razSDn7MqPTXvD4/3IkDcQSNK3WJIV6JCRI jF5viNSy4SRHongeJ6mlYQYTM+RIVgqRVN7+HGySTopdSInkkQTCJHGSFUCJE51D2UY1EoFtKJIw 4wq3LEuYlXBQjaStlNUG2Cf5n1GoPft3GwC/JBFYNklRqE0g05mZy5AAG9KyWpZFlNU24oc5SWF9 W3Gk4h8OPknzhMFzEkwKpwzYvRG3jcoEtmgrl7a9+tLbBlNEK2/ga33QFK2z/HnoQvMXjwfd//kt SAL5Cuh++RRFoXaVI95yKCkcogxKiiRLQcFZFM2hpDMU/GOrcW7OFAeforMg4d2+6CpcTobbRZCE DyTPw405Mj1kWk7NkCBJTnvNOfugzH8gzuVlVXrF40FTsv2CI7Fxh9vCJikMtSn/fyIy96g+V6Kr RlvnljoSIJTyZpsRigRML+SqVG3jYJN0Qsd9oppJYBsoO3PIIOCsGZqnLuojKUaigOyMQu1KSPIJ EoYPXEdwrUoSRTz5AR7/FxuZYGBACctwFMmMUCTUMAOYzWWQE02eBUmeyaZIKZimKKpsL5VFFrsk HHyK0kL1V9i/89uDYgqgTTkf2aGDssej/QPaFb4jOrI3I22eRgjnFWlv2H5KWPMkmng8Sti+USRs Je9+T6OQHMmEjdewGZM0J2yf8Kr5KprFo8gZiv5Je1TF7SXzY2W14GuVRSX7tkRzxxTRi6VQeW/8 /JIim1FRuwj9j1vYBu00WL1yFcHpF49HcK17GqnIhgbLFWybEYxk0lJxPyzbNYvL2IODYCf2Aj9Q cxRF2kDwocvWug/37u8LIZEshOGE0FhkAwZ+QR+9sCvpJW173QCNTAAJXBMp/UOaEDP/zgoDgp4T veL5CI7ksySzpUxzVZ+kkBxpMhJuz0lSr09ST86yLc2Z/zOUIxErkmTbbok4Y8hB0YPEjuSJhPKu ApKgcsgTE4TxO0mr6HWjzcbosUnxvy1bSSfzm1vsyHNI4fF/JSNUmoSd0Em1bI5WFGcn4pFyu8y/ Tp9hEUjr8s2W4FLPhbQiIMkQdHSUO/hm2wn8eMq0ksrDmncrKO92ECXRHDahDfH+VwgkoTZCRxBv g7A2eWQBoEA2x+P/IkfqGDsHf7mothUWtAGXrrJBk3I9LZ1wHp2rrJqABLSGrYC0QiAJTV7n7NMH 32uQGIHjDP3fEWXzcE+oZY9JTfZ04Uj4UfAdUT1b2NppOq0nDtAfi7yfdb3zYxQ8H6na5K85wlk8 IMdkcxSqaTNd23V4BMks04AkXsIFK2b7HIVAEoFrUSXGwBMQ7EOQ2HF7FC2jkzLkLFEXnFBg5AAy d6OjE0ThO/4qsgGN4oPwSDyPDNvDx6Mg+zYiBSBFtbh6CLmiKJsPnBecrji+0vZCZKeDtIpsvdrV v0KwNtfR2n34YHn/JvZG7EjoIcxNBFxhmRT5CJSJ/eonrG2FBW3x2Jv6IvChkTAGsK5dIJIBrdYo zP6drmVgxM/sXjnbKM6eFP6TGiy7R4l/ntqQvQkpAY+QaX4aK2RHUi96bh5LHLwNeUJfBAMyJYNo AhV/zy9wokcERPnrYqNQNb4kEkck7H+qXAszqaogEiI6UkU+5waejyKk/pWvIVOHs4tPUhRnp2oS 4AbbQpPQg8jNW0a1yN2sXLtiJRJCQxulD1u7ygjQ2W6EIwMecX69vul/m1AhAzQTbNV+jTgT1cdX BCKRJgIdA21QxkYngq5shC8ZYEiuXEuWPwkbkV6NXFGovbiUJnFA7LHlfutsSUEB95v6/pilONSW NcTePjjSZjVW/tn3R35cBG5DT2SzEblJRhqqs3W9bjBJykM6cf/CfXElTaCcpWqXGh6P7jZP2QSJ w1ICOc4mKQq2cf9Byy51q7MtcQDoK4ngT4yttbofSjFkmz4Rmdtu5CvTTtRNK40xEtznQUhmfQQc s0RX3UmZdmFIcDziW/7KSMpgrAR/XjNlQS1Y9puotK2wrv1A26RlD4Fk4CttmkLY9hKCtDtQ8myV S5JczTtt1A1lp22FlW1qbPWtmu1+arYDC6uA9wQ7pAS1JCgkntki3RbMWqgBq2NbEk5QfEtwLpG3 XRMFkmpygaQzT3BJV+zKlww6/+MbHdFI17kwyRXF24tJSe/L4f+5uR7pyaeM5I5AqZoYyQrj7W6e I9UHL/9jhlMXhGScSwBKRhvCpOcBxJysr3mkRH3kFcXbQkfkte6geiTcOpJgpbPi8fc5QkP4Cx0B abt5W7Y7ircHKclKBZST5OE5iRR8JUZS3JN7R3VtabbONu7gQUAuiBLZIumw/2bfsvI2mAi6wcJy E1fWtXcUbzdz3GQ1KblCIt0RN6uJcE3B4xHR5pfzCKoI/da193u8DYGExNy2e8n2pGqm14KGwzWO aMvw/zsUIyFQC0JIPtwpmiVT4h+AptoX/I9hCQyLDVA5SrrYv0I2Pb4kKCNRIRFYDh8ERJrnimKn 7Wy4isf/pZDIkAhZLf5cm6MQuE17lpVMgSTDuck4bWOTfUj6f4P2nOYojreLGH/TBwfbrrMLV7bz iK4m6ccsy0EthSDZDQDox4sv+avURpCKDcrb4AHAXwWnHB6P2JG/Ykk2SC9ha4fkSKa2AtCZC/d2 dMT5192ipcB8SHMUAkhorAG4vw83lMzIboWOQLe7kf1PU90FtOA5QR7GFm+2HQXcu6h5pOVU6+PR siVMflZtxeORPPL+WkcZdpbzKrbuELRdvuQ16yO31YmNbE7+7831o/af3EgE09UHW0dwFViACskD OMFW+ofeSAtNZWDZnlpbt7f9i/qP5I8DNJR4Yc+FKE8Akrnx/D/lSEQgHdAK8Bhpx8J/RcU2J9tA J/THnGzojiZvzbzdQSJEkHRmtXBXtMHqSHOeA7smmmyAjwHjKBJIiUdDbblfWhstvfAdQYSU1R5p zQfttXONyl787OOFxyNBu/F1rdHlDIVbm6IIQJKqJJHvtba6WbZAjqQ7Z2tBKUFTFAFIRpJlHWFG HBz0V8CnSk1eNoDJUUgBkhviA21Ih4vWRlwdviPSjzJc5PRBYK0O9rda+YuPR0nbvdb0L5//gNK9 zVGoRlIZE6VcnLO1m/Payp42Rydrq2Zmu0MEyVCNRCCb9oBszn1w/rNqJAXSPCfBIqAN/81iLcC7 bKx18zuCCJLLqC3iazlojs5PoC4btK4/OxbZ/la1AetwpFtq22FZOxHsX4gdlZuNsyPhZpOMswWc m01RHGZTP0JOG7tfVRsYzKDFv9n1B5agJHNlW7RrPenAgx/ZU28bYf545W+q2nGwWw24Xa6MEynh 8Uhj45vWtoAiqVc6ckdBtt38LbudzaZPNQmkYw671U4YkjyAjNAjswrsx9YHh9urzXnBOpD8kSXd 9w03d0qrZ4gkuL821wK+4y8ZEjCbfNClVhMM4Jj5z4HHo1V0CaRs+p+fqteLQxJa57XURnrkpMyp HNtL2zerpdINS84rGXqEZY0ghqR8RJegX09XQfpc/eeuTJRGXnClqCQjJSykLFgEPrVOObavbW8c +I8RPJSkRkrPINUWMx2YKCHd8uQHRAjSb3uEQXLwdbGjgWicxUCSbZgWyQlTummRMBpk/k+BY5Ns T3G0raQt38GiJCqqn9CImK12Uge0M9ApQS0hoblIG0OX/2G4ja+JnNoK4FpZPrYyZyOQOWVBMtua mc8HSckF2yQWJjv2Xbv6/+k95DbdNvT1HCNZujv9nqTO/UbPFTWMKyklhvcLhPq/m4czB1tTZNI2 IqTh9Dvo531mChDi83GJM1Iez9FsbxypbQghURkJSHRFpaHzucxwz4Gx+AFBvPSrfwtpMvhZ35mK Ct3M79sV2MI/Fpe4H91N207kte9Mhe6RPKPamHewNZUWAi4kPY0q2RVZmyslZxJwcYvcHm6xN47g kgK4t+2DjqkBDXpedoAC4Pmo2P2NBUBhazzirTlF0TcsCVGhXMuK3YvmYDymejNaILq47Jpw80XV bmlvzba2D15WQrTKnxsVEzhSEjDZ8PE5waSR69jKSpnEUnxN0DkhhivJ356DaiZgq4lRsuErkUJg Sflu5GYIQeyanmPqz4r3SYqqTnFKHFQdU7PUMuyYmr0aOymnsORNgXJYJPjguInVYQQ0aVFygrMJ dOOGht4JEAihn7RNaHJx2/bCESIwkzcp8W0XsDinK9hixgfQ85H7Vv+aKCyAE9lds5sUu92QXVoN MAEfC4q3o30yS0/WPlk0x9TFFwXiaOCgS1mzD0+hEnG8PAHSApyXRW8oJuVE4CFSajvO4cyor4lE OLn14Fxng8ongEZb57Lo+YhgOn+tqJPQzn0jzZxCrRLakzbyDjhTjZ4fYuBMs7+BVombb1LcJMD0 EIYrp7L+5VQGd6naEzvfyPDOSYu9V6CkkDP0OZFLeg2FYrn8miBr2dp0K2twUc0JP3hakJyH+Pw/ 25bI7M7JOoUs10SFMTkNSyDd463da3sHbWCvWIIZ6t6AkWRJVW6XGHFz8IhzLyQv1OFuUCFQVSol gGsAGcKqcnSgDJTS39Y3ZfXpgxZUPeuf4j4nHhl8Pth5v4qWlJxKLT8WirFCIC3L8vDq95kSQ1JM CPvaPLGQbvMUlr+potAH54mD1y1PXF+IVAfUFCuIJooTBaKZkFNDbMqJb7XYC0cFJ6ofbJpuc9BE IWCQf8lgxBn631yvKQovVPCWboM3h16Tq5CO283GhXqTXrqcVPqV3iTYtGajGEJOuuRdJRQwLgRu JrigNoN27wnphEx8F5oYsGqk7Y2bu9B7OwdmkyB3s+rUZvJBmfBZGtlAW2Py+SA4+GWkCJrLpOaU zVMUmbNUUqt8gJkLd9ebHlRfs1xYoG/OU4Q7SRScznCxseGZJ+BW6IFX4T3JA4qSgaiBLLqFuwDe 9vf9k0jJdaCB0wRgl/G1zy/P5yMd3F8YL/DpxA3S14ZhOZiUJ7NMtzhHLBry4bOwupUMpingd31U 1OaVlFLJPlhZBTWbCZ1mSuAvSiayZoBmBuWjUd63aSrN3vcv8lJFAZ3DFJcSQZ703fuJZfl8ZIJ7 uQKcEqC7gTr0eYqq4WuoQpdddnqV7kXMMXfxCt0sfoyHtpOQIz+HMmtuGmw50Sx7qYUJsdS0ZN4J rz84wP4slOhMnGs0e99Iw4RQLxOgnta7Ye1GtXG4WPP5CDTw7YODUit70j5NoVjgVgVq+HKqaXpB vJEZoV3Xn10XcipZnyRk3AZbTptI+EQMM4wi1vqqiLMhD3CjpS6SVsqh96R0TBoNGTFUW09kbvJP T2Pz+Yjkvb5OcYKpanpOp0ijW6IKhaojmieCtFWpk1RnESiu+7YLA3Lx4QAQsMGL4qRodAJ1Ktss ZMIDQYCzYRq40uBMfdoL/4WLG4Iyc1CxLoFkxOPpfBCfj3pQnguzbIBYJJVnPYXMykTyEnuPZom3 LMw8sa7VyCtcVQyom0P/SXWheicBhYN3oZD2EHLa6Iac8BmAn4AOj+J9gVmuF+xUiAoNKC18qhIy rZ7hwYGaZro04ePz/zrGpdTdQa+4qXBgQQlvD4Lj5Fo6WbzbrhoA8NGP+F67OwUlBx6U2TqaY5c7 2DwtTNSCbjgd4FWW2CgDnpMJfS+qnblNd632wtE5zsK4GJYcFD2lklRKxTrl8xF94BfEAm358jVP f0bjZbuDCc6nZDRUeA+b4mtv8MS2eYo5ll3lp+2DzROEJ096J0ITdITB14R8HoiICaBgMl4csNOb vXFQMqDMIoILH6xkcDa2+kmrFT4fqZrXrwMKNu4gkNyJCsHf6rbQpIUTdRIHL0JV9akwUTQ1son6 G40yIRdog6ctib5KbG12qOHgw6CNC7wYmD0Eol5W07QXjkRN81uPnE1AfmXLs/H5IG/5JSQIZZKf vfpj2B3Wyqmz0Fa7pJ0xTLdrJvF3B3EeZDahCBU6Ui76ms2tksH+6pPncygtQKbgSAllFswlBJjH z8Idglb17Us1e9+o68IDCvcWh2k4uQQ1ORbKy8p8PlITvPkdb0oqGX7NU6gnKAYYnQ9ZKYeympWg JkoVWbomYJTZPIU4cBZ+56LZ1JTysU4otLGBH2CvHNQgAFIkWAwjRhiSXH2z+77Rhcd9J9EODtp3 BZXXorN58vl/8Qkkio4U7ymTx6aUVFvue1RrvZTSDMA7pySWE21yavNpCuNxhkVjyed03TYnDGEB HNyTlTrkv8BCQZGMkotYEgsQQB1QufobR/kdQ/HchZg/k0+CG069aivqRG/8gAjCc+EpRa5LBYZP 94wKrCnN0Ao3qyICpMJ7eQ2K3Gq0qXqmpZ3mKtbvpillooAJB1tSiMsq8kjM1bllCzFOlSTLXOS9 kPpz621747/ABcCVa5D1ck2ghzM6OOmQXjMi8vzy8cAezlebKof2lCA+QpSierQ5q6GcgS3ZXjMY uLMUlQf+lKoksyPcfbBFBarTCViL3JfbHIn2jMDm/3SkPBmpm9fJKZmfY4NK3nZw3KFExTliJS45 wV2U1ekJR/gB/2LNNwsPen9aL6FHJQCXkBfubgm/tqcvcydD+aBz0pdPVBSWbzZ9V6ZtIAdfURO8 9kTwXO19b1lZULB3oIGBP9chmLna+0ZRFNO7JZ2K5VHUHGjh8PvOX83n/wXFIA0Y0TbUD+88RWF5 I+5pETqBeUJN0DXhS3c8L0r/d0GFCoOdQl7obNhg6Qsc7oB4plQ1yHRTimgopTdG47lcAaY17X0D NEYRxmAuH6y4sgf5JJinzeeDqDx9i8Kd0+WcaV/7LiqSG1u1XrBBHs1rUKhqGmRlX7RqDowq5bp+ NpzgqvuBq/YEreW0acNQTsbB3YLa7zlj5oJmQac+LNsu2eYpQq1MKZ8mxVLghPFImRDYIs8QHEQ+ H2XD99KjHDMm6vvWi8LyXiW/VK7YMHs0Sodp1SKxYc4P0+HArBLxsni9lGLk4GWD0oEQE2/l/Gm7 sbJQCBqBfy1kRCyIkjBcDs0q69L5xOniwPXU266CE0Evkc8Hx9OdJ6rX4NKkAZXP03tUDkzmIjks u/z5IppN8uc1W1FzUFnS5inmZBqQvvtg51NeJwUDjIqKwycSyMAkKs2btIhDKx8FRiLFir1wBKRH w7PVKZHvM8taUCfkK8SN9RMF8vmoDLW+Jgorebarf5pDz0oZxG7pCvGoGsU9K1d+PCuqQw5zbFop Cx2tp/2sJ5BhJ3oKPJ/OAVSm+g2odIAED5W420AnTjyHrpVZ0p5b0p7bAPswITlhGm8w1sgD20o5 8TzXHSWzHruhHPpW7kIgxvBjvFJBWefTdWpEjXz7MR4YV2ZTrdwIIW2waZpVPreii52QLdFQBiQy wP7Ot2YAlx1o0O2FA6DBlLw3+WItm7xX6fA/5XI6Z/vm8//U9+K/TGDoDTRj78pC0d+yHQpdy/X4 6g6xI67uzlMs9K0qFMMCDt7sPFfaBL6AQAPIe0qZidK2CZxvIKa82dnVnAr9K2eSABp7LwWqSlnq Z/28wJYsY8/8gGimfvmgIIGC5aLPVEjSpMsHdA29izeaBQYr1WRY37MIitd/Qw9LMlmhWFF98ANK Ehbs4sF9mJyvXQs7YwlliqyGQv1qoAculuBEq+/SNSD4UcUOlEaK4p4zLPMDor3nMZRQi51A9OvP nGMnS5KitjQ+dF7lZLUosyOkJgqOLpVYAitLr0XNRBA5B0NEnZsblPFNhD1C50SmRh8oIIBIVpA/ OMI+L3vhqLZ5e8LERcENk3cdLlqC7Ma5OvgBkVDTdxgF8Pr4auUFhpbAGtAvppNkL0vC0T0nhkqX 0CvQirY8L3S01KIaU1g72j8+xc3zUZT7PFcM1DGWUBnIiYF5zH3cZh45mPyaP/lj+RkEiCon3Sai /JzOlc//y2QPvyTU/9GovBMVheWj65wabthYusnIFvh0mGEjouzhKyqCr7RmNnvFBz+nNoCNidaf 8Eae9OHBXXr+TRYBEQReXku3F/6zm4eAgoyEbJaNVCvlP56MaPIDIv2PC18RexG6EfM50cN6OatR S47oIkm5/+eAhsCPS+3c8mbsbakTfefsg1ftADLAbmTVrgGMOFgHBmmZNQCQRG49ai9742DzkUme F4+r7O0w6CpsUnR/UJPg81HI+atgfrbrRC/DJyoumGcRXKdDgfu69SgFDoQC95J9osLInIcU6NA+ XPgKgqaSVY9aaBWT/4tS8ZkoSPgA5OmIKBXMQ4NLtRTKKsMHzg4MxpOsm/ZafD4IOR+2FC5n+oku CEj4VIUl80mtNPqls8IJsShX3Z8kbZJ/ClczgcdCk0uB7KrO8/qc5yjX1BN90ORywckDded9dhwS 1IKGALjz3qzKQtkFLpeIEuAHsgBVt0FX30kDk9Gg9+TzQZAwvskujX3FeddUC0vmW8cUK3RMi+u8 7juyTEW2R2NFBQktgrB0dj3PH7590EStjB1H5D3km0/agp8VVfVzlzQ0PxF/KivORAjxSyIbJ6Lw s7gcNChn1AmgT3kwBy1EsFzJNLUkoON06cA59LmcdEwve2+bptF3t6Q48bhQL53oZq6n0OiSnYWe kN7b4EWWRCgRFYEqCsyT1QNI/fyA5YeA6iZ7Q6FU4HSZPdkr5fqCC50DJ/at2Agqzjn0ukwXW85b QO9woWOh2SXZlE2tb2FYWnb21K4GADo7sBES1fVRf2V7a1AVjYNNVEJHCLLpVJdriQrOAB1AsLTQ uSBd5Fgq9r4RNoPNYfBn4ecEiTRhUVIe0rtEnZkfEEERfZ62neX9keDNgd1lNknwpShT/zgvFDHf qt2iY672XRica0Eh07TB5gmqTZXxAsgvG5116hQDZ3GSmw3NaW/pya0oB3aX2DfEZgDGZIOy4jYp GaVuDJ//V3WTIRfYj+MBAQeGl+bCe0LwMr31CUkZtRWmXJ3Y+qzu6Jz/drwE2Xb7YLEB6pppoxGL KTsBZiIRf2DPT9pKoXbmcjzqpYeWl8IcwBvKB2EzmuxZyTWrfD5yBvmG34PqQzFcn6eQ17kY7C0X doId6PReettGND8vscyxKAeul5gnUsyRmdpg+QtgXZUel2eeFvDEmYyOBvg5znJ21S+GZdsLB2wq cjrp0QHEJuEdjKHO5yu/nCs3fsD7Qb6u/IVIQgX41mffhWE5kt1zui5X5COH15SLRjbtawSLd0HF 9E4SFCTsOB9hR6oWFBKfYBo5B0Q8iZVO9JltZJzVu/Omv/FfCk9ZFx8F2yQT0rOxOwCe5fNRHfib TZVh5AdB5TtToXZhETtv+dZbMr+gtjMnWGLzc3gzPbDARDQmJXXqFqf86BZnHlBV5oXwqdg6yRdI dlhXi8YOmidRhAIPTPSppF8ktM80tE/B77tFxthgCAUmmEhDvh0eM/v541o8fl4DqI7IgELhObuc ilE+J+6Qnp0hVGD5wwX1Gj51YKJ43S0JYq2bDp8VC6rWJqF6UH0uSSd00x9+wS/s4VEhfHoNnrrL 8vVOWb7eXZYP2TahgQjW8PTLBHWozeqmU6O0nZ8IaEv+F+d3CaZncb+tzdL4FhjKeUHUh8rEtCaq MHK/BdPTBTSYbBRwcIjPPj8sXMaoyXNWLYBKsFmvJ61CdJ5pNS9bh6Vj6XV+TnDJ3LettX3Q4b3Q P+fhfTbu5zVi6gJXa364paDuo1QF8/MaL3Wpw9La0RXUR6ayAyArJV8FdWD+NT+v0RKWD3z4zplQ pw+GcDuhYoVhSpcWb81CSQ16hcBWnRRYRZWT+PHXWKm7V3Eb9Q6q+NY1SGhGkt4+r4ESJtcUC7Lk QYF3bCLyYX5e46RukCkSVC1OKvmqFVXWBAWxv9yW1yjpfBBI4CDiEDjOwbZXHyBjofRIoAqEfik0 h1B+AAIC0LvPDx77vMZIHTEOJ0Zqzg1lR8Elxok2Fvl+/Wzrz2uIhD/VLGYzD3gc2xWNIc3Pa4CE /YVFV6CR79261jzeLlwFghOgmSCH2WD9SDx1CBk2HmQYfLLgdcFiODRTGtvDEIUpdP9jmOo2TnlT Pu01OsIBRMF0EGh9UOHk7N9GDiZ75K+xUZcMnq2gqsPqBybTNkOvoVFHpEHPdLdtBKretNLpnpa8 /4SanMxTgxma1Cg8C7L44PkIjGAX8CQopcCroLNmQuBDoqw/DBW8n8l65WtcxPOSvE1hxbNjxSvy Ysriksf1eQ2K+uMqn4WoB4Rigr6tGXqNibrxDs4mvk5gAMv6Tb96dWDv3O4HEs4Q+3OVjhccvPEE nAXs5AlXTVAZRKFyQzeAYeSJ855DiCnbaziETUZ14laGElsI3qg60tIQb7P32j+v0VB/oqFMnwsG C+MuoddQCId0lS9xv0aX3SdoiMQlkUL0QSRRHB7S8tthQXflR6F4niA/pUm6wQlOMTFNJW/2UUDo KegPWXBNXvlrIIRfgeyelmXm7L41ZZ95GVlYpZ0/r2EQX7F/3fKdAtKl2AS9Via7l9vA33OCz6y3 eVldD6Sfo9GswF/rktziTNIKIU0cbILOKX1+4EreypmfVpnOoZsCwz1AeqowTcTJcdF+XquSmCAa peKk58CSHDZWyYKU/0BZ4/Nak0Qgstb3HkPtaoJXqxl6LUhi2SaTknHFlLZNIb2c3ZDsHjv/0F1V JoqDSBQbglaML0Id9F4RJ2bOEHDBkiaACiFM5ki2etBxSW8bnNMUkquCNFXV23FO53PuSwoUwNTX UmQ33OQNhMA3+lkI7C1SjCLpYX2A1m5JpE6n9Vw2HZyXvCQShdKS3F8KGTk4MA7E6IF0BFM3zw2J f+VsC1QNEtrWJe0vqr2I0dEy0hFUOVcceFQjishySjlp/+edS4eNNvP3OkJa2Ps9q9+5dN3IGw+B DjIO1ZQJ4dhj19kJWC+OKYyoJU8MARob/DDqCU3elYWzXLCSJeqEmFSghmujNUGVQPHQ+0bnNWNq OaY0L5+fiHQtGcyfbJ+PB+f1Xl/HETKdmQkeFCgoutGWkAHNy7UIiy6YIjmYAootDvYKJmkTOYnC vw82STCEOjNDw3TQjAbAIbvR8IvgzcVirYKiIShRMEVd5tbijVfnjZ8pQglY1fzNx4OwaJSvKQIL fCLFtimKAutGy51F9Cd329zmagHBhuS7DZKCjg4IzmzjFNSRfPApgs4LfKB4qU14y0qdGBIA+PHh UvjcaeroBJutS+e69ztYdp82CaQwTUF7JDqSbu7K853Vorx8jt6Da5TCGC8mlwJvKbFPwiLt9qra TBT1U5E2miPkXGgPLB8sO4M+0QmMppBLJyLCzgJEHBUQSLCiduS9kanaY3jvEwhX5EwAKIMwWTBc KWrJnlvo806fw07Nv4LHDPq3CaZzlqIAG7//2ZP9riSxq3QirWRu6WcGlqf47xE2crTG5LXfwetE CabWnR2khoa3HEMk6Q2Tiwyqgh3bg7ZE7+w5bBeyMeEl5gNnqaXSt0LJcxF93slz2DfJ4yNNUoFX ess+Se8xNo5tKRJlj7FLc+tG2FG58n7Orir/zp3rl2OIdNoGT0NSOeFAJph5AKUk1mZBgXMSfAho mtntZkkPRFF2YypbJQbOQUvpvLTp2M+8P+/MOWbCfmpXy1lQqboRQBRno2aN/ZbcUebEAo6Z6HSy ZyKSwM3XJEWBdpe/pQyKONiZNApMiXENsTZ7Zr4yE6knrZjc7zRKNTr97Hrd90kaFHCehTxMuony 0gYibBI22FPn4/8MJPkvo92w291u77G2iT6dQ7QpAyH02ytGI5kDT6WOl9Vlo2AbJ/PuwA74YCvp XACQYaXtDoDAQFQy2hyMjc9RtufTOOp62/c5Uj9kCqTLQTWjfZYx/wMuic87Yw5B0v4VSgKA16T0 wTmKom0dSVQzdxPQ6RTM2q2MDd/O5LXrMNwGRZXQWx+sqV3n7BuufSwbgR3H0nXPcLzZgMKk1Z8a /9Tr/jlJEKG1QUfSSfmW5JsJk4jibWHRPKcFB62VO0fvZDnkbNxseXbvhBSqKQigJDaBAErVktp3 rpwHkmeX9eqDB5J7phNjd0lcn+xDcunI3n4mheGYjah0TXbr550rh2VP6a86iCjhYA38QlQIKrwl f96pcowfPEgioJsa1/tWr9+ZcpjalUWcnxdtWt25ebTSHW2KZjQjgHeiXBesnnSm4YNDKGc6P3qj c/PCNboJ4qKlE9gEEN8r6R5JrEC+M+W6qLhwACNDPCPFLJaU9M4UCpoh8/POlMO5n/P3mTTZU6FK IacpqmILHmH+ep0iFn14EyQnr7FlYKy0lt7jbec0nVu5+OBlfkQ0QLHh4O5rUkNz4+egVBKEd5Gm OFlHi+k94vYSyYmwZTADXRnRd9cyNjraUZ93mhze8uZuPKXx27Xlqds7R45VEia45cYAcxWvs3Va 2UpMDuUdnkrvFDnGMLJLWXfwQAlteDqjoQgw19rq0ULbEf1CeoVfqo50UN4ZcqxZkFKRuaY4WLvo 5Kqq989ZP+8EuZ4v70sBCupKAA74JEUxNxTkKOPs5VoYm1vq1qndKuV91qm446KKdqeyCTCyPjjG DTSdCc9lVv8TGDWMAU6MNiGNnOHJbuVa2Ti9s+O6TKdQZVvdB1W0T2yxkzfOP+/cuG4qsXeOcCrh 5/c5iiJuVkDqyMkrJWV4DaDvbdAbBE/ZagDvzDiWwsQHl0AaNWZ+DBSR58lqF4UYToid+a/Ukgdh eIiJIJt/z+6l9w0CpSwncEqnFidTo9OCCh7vt7k+78w4zHHp38kb1Ah3u/dbFHFPdfpH8oXUplle lEUXIUtwl/tcvNPiutyOUCGp1QfbbefYP39C3yqUnKMbnKiT4DYV/nHft/5lBb70vu9nEvgWks7x gZM0zolPdU78EPvzTovzPvTXyY0wZBXPS95pcd3d99b4kkTz5O2ENNON5ajSoDPpPeTOVK8D/iht HyzFXcg2BsA6LLr13thqgl6TNWgLcSNK3srQ60aTJFlLcinwk/4MgZQTbKCJ/xt7f95JcUzDxlet BPF+mzfkfqfE4VbkUhIXSFHATF5zI4rxcnanLaX3kDtRo/aktkSK9tsAg6o1KnoVNnDQX6DzoTzl cfgm5iX5UlGJ9f28M+K6cMLo2xD7x0HnNqpgqivuzHeMaiXT54j7DTSM2u9KimLuStmTPrrX3Gi4 aIdSHt4DgOGVTdJ7zH3+F4i/DhcrHyzmbhCCWbjrSdfNkGXi2Q7G5bw219KoIN72nQzHhUSYuwUC 05XQ0OSSOfGJgMfnnQvXje7OGRGDYGOzeTT5ToXDOqKuXm/duUt5m1QF7dJ9s2Wkumpm/xlygwBa fXCsLTpSKPjQDjSdaEYdlTQNELzTPZAgZsPvCNr9VGdsXZXbbpXb0jFFQ4o4u3zeeXC/01uyVc9i 6rn7XnvnwXml7hyszS1TSI2xmtuqxWtuIxsg4p0Gd1O3vp/B5mghdG/STT8Z5bmdIObYQUgFcBoA hUwSi1r+Ra8bTBIlPGihZIMKJTAXzba3+Xh0/3+fR/Bhbv3WSd45cIzSSeea3ZW9ZnPQ9qzbm0ln RXl5+50CR9ARwdoQXbTBz6N0NhSvMKS3kEJgiDDgHgXdPfLT/cxuQ28bZW5YQH0SactB2e05hIqQ 7SfK+ryz33hk+zpimRfkRZThbYqiWLtnprRzuEYcvP4MsE1CrYl68edGR/Kd+9ZNfr+XmYcPfq+N drZephwFFTk3kcodqKZBcm4mpcQM5ZNeN+olsWjb2EuCbPaPGFgnwK4qzMyUy+ed+MY6yXfXtkKd BiG6TVIUa28WBVu+4H/or9okwRnJJonUSK2jqL6dWNgGht0H22sDpM5CE8sBc79F652znsbkEvgp 98RmDPt5Z7zdKSKQxQad2ODfsBqA4t7nne+G8OQ2kmTSg67KTWzf6W79Sg2O20jatflO25sUeZRU CTHTDEW17TSkrQB7Qg2+0wCJMI/rc9nh3qSD4SR2DIXU8tz8k9nIO9cNq169yJGnDzqNoP9MH6+T 6fAdg1st7a9VBJH03pIH2u9MN3ypqQlV528t1QGQ1k6xA9l2xqrQiR2WtnmrZXSfbfCq7YkfWt3E IKHBwcx9n1tCsvsQUkO327mTBPm9E91utY0i3zaokrSR/KuFhh/yPdBWnP41Sec8mCV7dPROcmPu j8A8LW/bnn80B9Czgnez+j/MQH2S4tL2kqYnk5KkaJ/1f8xx3WR3A97fKBt3fo2FxmmftPPw1F+o 43eG24WylbqkoIfVzTg7nWu8ciX1dWLId4Ybb/H0dWgjp5+t3d0WxtmNuX/1OBvX6O0ktcuBBwrB JiksbWfmagTTavCU7SQgmYJTZ3mftJY6vydlA6E1J5SkCyIVN3Ao9r5/HUlN1FKUzH+IpsZ3AJ/7 Q3wunw+utvSd2AJFB6cqn6Uo0CYkspXSHI200/D9lp1QQhKoQdjfqW14/8LCLf1QNTjaBmikuoVg P0dGpt4L3JYg2UcwG0G15uJEpYB3ZhujFaFqVSlZ1rCCNHPrckw7n/F5J7bhJbPDIqUBitJme3CR Yay92SZRNivgMc3RWMAiVJduoBMBBWX233lt3WzlAKlNPtgsJWTIeXdKwZ2Jz03lbZyE53I435Tn XUqDdgTvvDaChwlbbzy5S2OaSntCtOAVP9f5eae12X596kgZ2N7Zsgfb77Q2g1vhwklumQLUnlmm zNqMJtlpk1SxlN5ZbQaGgQgVWwEcnAyxhUzS0X1CPSRL5POfExx8ugzcgcPXqUX1TmrrbuRMKiUH 85UtMGAnagLX1eed0sYKhQfbPMtwvc115ygMtpmQZJ3cbJmogYSasXUDAIjZmaKCnZ/0fii1pi5a nT44UOLcYLvK5hJ6ur2gdAkBEByCyJ3LpkGhpW1d7xsc3ZUwEvmAcBCCdOJ3Nl2O/nnns7HYNr7i JHCbm7xAOUnv4TYgpMSul16t4QYfMGu4zWni8chI4PCCMtI7m+1BJQERYYOd3A34wYWeNspIgBsk FtsKKGIJBz473RYD0Lzy805nwxlapYXTqw+C3OwTOhMOAqXnzzubrT/+A1ZGOnf7rBco8U5m6xIB RD57m0m7eNkW7j9XCac71/ady2bAFCQjLCOV+VVGmn12+rKf6O9ck61QcfAchufwJQsUJV1LbVUi eaeyEe4/pFtSfVD+j3YwW0nAmH3emWysjH/TRaD4C6N7n6OotD0JS6JZoMVJ8gTD5VaTZblwOV2G RX7nsXmPBE2j5YPNESSZcurM207Sn2bhvmx0dgNjY83bR9oIoT/vLDZOEUtsjULx3dFhpZ8Zsr3W 9/i8c9isp/l0tym/kS4q6Z3C1qVmB0PiW9hOaziU5KRUip1gjFItSnpnsF0oSUVVxgZHJUFrMRVS IgvY7Z0wuMGTAOpjazxeoFpFUVm7E2fTK9u3HJS2nb92Goy/1c87e42n2XenDafgaBds+85ds84V LrOL+e9pVkvbsuBclZIS02qR79Q1B5Kcv5hulxy8/18STtfUqfV2rqRGGaGNi5xkBtjseJ/N3zYo kKjvry4JB8tse+2ySz2/yOeduNZNkuVuNCh4drnG8EvDWHtXHUYuXwbBjB+XL0tWIDnLeBujvcWY bcZHmAob7MTekIFuiapcqBVmGATja84R1LHbOjdaU5kt6W2Dm1/FI9LQNThoK/E2RjMp4/EghrzU GkVVJ2IrT3QUBtpAhbRcrxLeGsO4NWN35x6Rt2+rKKpo41JF7Fi2D7bRzpmBrgFXUYPrd6HuMJvb MHPG9Z9vU1s7LYqzlxXYcvZBy+gs885K/jniBh6PWv/fdxp4PKMU32k9CrN7on6EjCrkBJocaYur zEpIxGiIXfMeZktnFFtsFx+8ztZP+IibWaqKIFIT1w2+Spfm8fIoWxYDPSRAsjNSl2RyXUCuoNhi 2pNt8/EIjPxNHkF5pbM7oikKK9pUdhtyjScYeRqbDaYADCtZZateZesRhmQwE6Fjig2aog1fQuj/ ienfxzJ3XmpvIWQ6992T+lNio0cV7Vq01Xr2gXME7oJuiHr+ADwekbT6VybCRjqKzDZHcUW7EDXi /PW69+4OIKnG1wJXu1nVv0cVbSuPQKnZBguyG44jcLEZP+bC5jYXE3A21AOkNZfVawkg6VGQbVZf IiNRM1KVbMSdmVLw564seD4gQ14aEuvJeS6Kw3nFtod0yC7cyHabvapGCeLsPZd7C0wS73Fq9yjO nkaOqMsHz/3LOfKZ8QEheU4OaAKe3D/TjrCjtQpqoxVtN9PaHsXZRZ4CrdigtBa7uG8mE7hT8XjE GfW0VrX/BZTkXUwRJ3JIoyxnt7dMqh0tRmbZAyQUtpSM9BhCUtXMLj44QjLt8xvAbhmJyRZ4HuzI SpICfE8et3RqQuFL/mr8U4aPAstLEiTAWsxsUlp74vngchv3/teWAyJ53FkKI+1B1ex9y0gZzmQK I6fHAhW2goZG6iGIRNlI4SXHwYttGaqeAFgAK4GjmzES9dwW7S3RfvFjqdrrBhGAuEhJaS0abV06 CO2EkaxNn4xt4/lox/0KAVAl3bds28Pa9qb/Z2G9iCAABqSsIjWqDQpEUklf7/yk6FxiH7ZTVmP0 K6uBBu0e5De1eSKJc1BD96ZSzjVnaD/COOHhJE29719lpArBUVgyAYdA0B68cCfJC5A0KfiA4Pju +2vHUZSq3sJtf4+3wZLhFSf1I/0jreEq5bY6FxB+Z1QUK9dSFG/j2IehHpUmV7pKkyhBgr0lrM1Z DB1KTlRPBNYGgO5S1uPkQU3OHpW3BY2A9L4PQm2dMJVsKqgbTDwe0WznV94GkTFisWySIuA2EDAo JJGJtN0tjmsJoK9l0onn1f3sjlAksoXZk2fOnjouRAHApGGN4IjC7sa/WcngPG8ImrZ23PRCUsW3 RMFSE7HtDiokpbKWFtXJgvB4REu6MJLKmuRZxH3dpmSPy9tyO8m61/CPxVTuhgjRrLdNMIJYuO1R eXtRoIU+YTb4FZegzzJ5Lk24OFdKRlSq6GQ0ASoVxFSUJKAZ3xIluIopR/NB7aQO+CVTkxN34PF/ raWiaUIW2O80jSjw1jT1zd5tp4ZEceBWac09GhEWKKocUX0b0k4n/S+EAkhN13LOfi7ODXmpc8mh CweY36bVHsrwVNlwPolUukcUeGceSA0hlw3qAaS9STvH8ZbxeBB498sn4Y5DARj66TZHUeTdGXmv ZVKu9PscXpUcxWgA5+/xftIIy9tTytNr+eBXXIPYd7IdB0QvgvMTvp4f/JzDCwW3fMXIgFDDlwST pEbJ1IabaAXwwAVfhb8zNHEyng/q22v+fBcCJhgtd5JC7DbK6AC0Wem2pWFbj0235KVbyE5qkqL6 NlivG8la5kDy9mBKxSkeJJQWANDhtmwgwQqeZFm3mbQBqMF3BFBSyZPimuKwLKCEp1cX+/8ElCOq buffJze6EvWWk0bMlaTGj+Jr4m2pbijNaTa0WE4qtMfkXouibvkyErSOgaViTBH0SScaaVm1ErgI KF6AKSLgSKQlWQyARB/fEXVJ5J8nMZthGRAu4pTkGgvlvxHWtts3tA2ye330exxFMTchIsAXOra9 kqMpokQxG8va2/SK24hiboQLREhsH2yrQQ/jZICZ5aS1qDNOfUSUz8hvw0lmlNtJftuIatuZ2e2W RgL+bWEdC5Z5KsruS8bz/yK48d8FOnLc4vaIQm7GoPUcC8MSkzU85J5DeAAmoLjAtdWikHuRJboJ ttXgPckOkFaT2s8++UOTiCT+4E4x+itcnneytw1yXNaTWrVWiZkZIqZfVff/iSPweAS4+YYAMMGt Vw5phKht+ghJGkPMLS5gmQzW5CaDQ1IbJ5IcEWpbmLZMjoQGL0vSZnpMTRHc8eR2vSoqgmXT1/Zi tqveNuq1kURaWcAdlRbovNZg6rM8vR1hsH1JSWKyI7iFh5nNUVTdbsCswQ/OpaVzas0bANKSIJZk erFkRNH2HJocYZM2vzEzv4V12pKB/Dklz8IhobIPwP/Q+l/EJGmOcO3gO4L4iGpaJyudHHCUCtrW clXoOkrqeP5/6rVB43NWL2+PKNhGv+e6mBAKSACo+LZrOd+2Qa9UcxQF2ybz12j4zcE628hgT8At SRKkI5wjM32BGhCM3O5eYxA54lhbBtbsbKPa+ZNFautnfXbaTsA5Fh8QFAFu2c389X4Gpco1S2Gs TZHyRQ8B7rbNdFHQrVK6H0ilGk9yhBXuLLeu1XywAwksxkFrwnYOtrPtUESFo6esiWE5R5FkGQTo 1A55ko2N/51ki34y6iJJzbPXK2nJkLLG8/+qlDCYOichQJw2STMscdPAZKggKQtUVFRZAxjd2tzn SALHnnnbjCX+EGmXLdPhvZ5+2+CJxoSk0eWbFkN9EuyC9ySwfTyE2xkCSUj9ayBN2aDOdqHYIm// UvF4MEXtm3C7egOw3dfRjAJtEZIowoYkFifSkhUAgKSMisglBe6GOdsMaZJ7Sp9t+WDraO9zb9ZM oQQoKAxus7oAl86kG6Lh98Btl9432m6aJKnZluYqWycwm1wdJ6ooePyfR1JlVH4CLixrm6Uo0k70 8RzrpmwAadmZlLa1lirgs3YmzZAlSfmDncr0wYGSqIhg1+FMOk/uRenR3pHZgC+BKsm4cBsqJcy/ i9xl0Y+Zg6ok6M5MoyVXPB7N0vgKAABZmM/lNqNYm+bKtVIAXbok2bk2K43twsj95HI2SWGsrc5/ pR45BydJnnxktFmlOlob9Rs2WgTImiBOMq7qKD6E3/HXidSKJLfK9EISjC/ZpIT2Kx7/l6Qmi+Hg kEKVwOcoCraB74YYiV9uQDK7cy5YddYtSdt5JDNU/eNfvgcTew62kNZIoLRVWkvAPTVLJyHj7qce GjjGLopM56kZciTFIl6yg11uB7smai1sTJZe8XjQmPyFbQPaGMLWPkdheZuOLgSemResWt1Ia+En bpttA1PGq21GQBL2iWDRmXywdXQyHJxz4mwVHMws7tJmfcJ0eVElSUES3ShnFGtX5bOVwogcxJDs fSdJAJ0rAo//i4+cWbVF73Z4Y3JGQJK+VWmr3gFIo19M8krJpiilah2AGRW3ecqc3GPdwaao5L4L 8lk5lMAnHAuOUG7SlPd4FIBQkMF3RNR22v9U6bYAhFxVHIHbIpl/JxnH4//K/MW0gfB/v6sopEcm oiJb8r5kI5aYU7RXdrepdT2qZyj9J0/4WZsP3krCOUz94DNFUyVoTBGCVGrboG78S5FsRmXtsuzu bz5oo42TwTch2zcfD6Yo3UaSqmz5pz+qLTNCkuDAYmnt6rYB+mIGb72ZStJgcUNzFIbaKguJ+Jce 4t9JO8bZd5uwNmgBUBgVVbYN/Ui0+9mX9LJ20usGcGRGRkB+YRAMhqn/+Ux2wH5QKsbz/1LbIGiL dg3lTlLIjqQiyfkpXCRpMEbWJC0jBKJcm3yvhYokBAGACemDY0l2WaUkitud/x6MWLp2obVAr8UM zV2fpFX0un8BJaCc44OAbb2QmEruH98xiiIvkYQvB7wFvIk0SSuKtCGzAWPOxwmoWQ8XnqPPgQT0 JlfSisAkg3W2VGWNUC9SYrIwtWF/gwMJWSGAAsAp49Y9n4sCgGltiPq/QjCJTqLO8IiD8DZnluSu edZpxeORIonXRwaFUvF/xyNJssKiNkkS7O1Z4b8NP5JSKa7bQgkiTVIIJ6Gg7aZhi4bn8j+RtwRt O7LSSmYb1TEHKbMtXVASAjV8x18VkuYnEy9vHtvwPyWqqO9a8Py/RMnY4oVnM+rLNkehqjaatPWE 1H4kbTTmHU4yrLc9yanWHIVwkiWCJIkkpRBxCAbiOcCh+QvwMYm2J10v8gEApxyXLxLcGx+tn4bv CGra7CCdiPQOQiXBItWAW4uP/ysbEYr0bDPoLNsUhQTJKttgBkWsReoAZy2yWsh9fvcyLfVfIWLb sEZp+eDLaONyQx1YPi1VLugE2na65Pbn8ie2bf2jpt1I/oMT+I/EMQZFQcgLGdBsjQJtd4B3SVLE Ev1qSKwo0N682pL4ojybBExmL3IXzVeFpYFPUkiQrNxkaGTZ4Nh/zFlDQwR57QkqNs3uMHcAIlc4 NqwHbTP1ukGpTfC23eTkBjVEhZFsLxBus2fG8/+qamNjAZY621UkXVGkTRYTdbV+zHukFjeK4Mlh pzbNDLnZoqq2+togsPvg2K0Tq50rHkybCXuyKUeNkz5ksmzViHGnu0QN8hUhSUxoI5PezkE5G65x hkwnHel4PACS3C4bFaRxJObhuf+KNbZVafPSP2Li4aStVtxNYwIwp5UUx9pka8mfZT3+LEjXzmZb bCFN0O0Gyk3wWlLX9ofK/1ZFYjULXxLNEbvZSWpbaRsdCT3hUmT/mxoe/5falrS5UcB6rv8o2EZl ggLA2wptkGbSbpv0hhVla09XtlshalueLLvewRdSSlCRlocN5JLoJ1E71VMSYkJq/DuKBKkTviXK SNiLlARApeED/9oJ/zwWtlFqW2Fh+xdBAnKQMLXySQpx24RN1b69iNRyz9ZoW4mREzKSMdzufYUo EjlqULdFwy0iZbAZOoUkUSIvnCTob4BalmiZ7uD2wjgW3xJ4aqjl36jfyoG7rYLGaZDbwZcMOv/j dv6TFeag2n73W1jZpnBbX9f8NsMgT9PE49qE22CBpWmKBbfru/EILjfg0YYUyVB6WxSTxgEOmGFe 7cFuUyV5RfF2ZhBZGwlu1S3lAeUdexmAq+Lx91kCseZb9x/qduiAaJJ2FG8PVrYLKY2iSUi9hXok 3f7bSv8QEyWPFbfZj8zqR+anH4mrB2FGEuq2UXBhw/O9/FBtAGU4d3JlZXtH8bYStzx5JmU/+Oo5 4M65KkZfWp8d2tc0P5PYM0Ak2q+w/X6Ptl0iYdfpNZLupiyws3TJlgkLCJuiUI5EhsAEJmvw7Tap lFz4uxUoMmKKaqcyKegcBQU0v9so/b9D8DZRkm2pArC8ArAXCOEMJU8GhMeDuy1/92xhkoKAzuco BG83trXzMguklrNV3QqgVS4AACqRzVEcbbMpJK0EDl5qOwH2ZknkLCOIaUy6JRXYdA16DpZ073+a 8uJLolobi7WLPEkOytvWyRqGxCH6xuORHskvAWAif26RZIcEyU7dqHSLJKNd2/IkZ2CDkBhPYodl 7cw5KqP74FsNdZ4Te01lJFAUIkQLfLGFynueDyaZoiL4koCSJIBkV3nbrdExMbBCwvdtrKMIQ9LS N4kUzFjIgPkcxbhtImxrci5JKzkZl2Tl7QpSzHc1R3/zI5NkttIjs4VS9h6QbkG0Dbm+zsp3gzxL n4SzPcU2pKf4jj/J/wBmYUBni3f2PHGzrEgBdMLzET7il2rrROn/1pF2KP0nN5t6/X7glvZjgiRS /60UJCkG+9shhISsv1JYuuVgJZKWIa2W0qL0z9h04oZtJDr4rC33y2wDz4RvG1X+DWfbfdBWg/X2 EhULR3ZoZ3OPI4mRnlutXOmfHUptF2m2ZEdrwWnApmjK+4fChXRS4BSFwn+qZyfB/9OF/3cgAHGz TUFIzoJkH4V0vQ7lARhmG7NtAzOF7/iLQtrMr3UsR2udu2UVK57x8Shl+z6xIe/WUUK0KQrVSCho l8twASnQYZy11ZadRm2DIUHy3w4RJNNA/9MHL2uvhFIRtX8r7K82Y3JaMjfC4JrLIxcKEOE7ghCS qIiRhLJJLo88UfRlnH0+ZuPxIBm5WiS85HFjoMlnUxRWtZd8Nr4sbWxBAdDGihtYW03aCZ2f9Eec 3XORqn25qvYoacKCZAjzl9ExVNefde4Tj68v/Mieetu/BG17ET+yOD8SKgkkm0HsaODxoDzyCP+Q rN1YBL9zFMtsa3v1h0M6TD/qJIpGCUQDt/rFH8FHJiU2cxMeqV2T7YFqfqsyPwRxZFJAqUEN6MQm ILeX7RbbifXaHeqQVOkhtuyD7jTI23e1IefA45Ge3TcyAsY7vV6xFqF1XueIVGSYn/+4aftYntRO w5RQHckYJDmFQbasISpngIMmCdRd+anAgOwEbKTPg0JS6FCADASfCogMltLa9saRBRmdRmdXuA04 KI/qDIkYabC0PPkBEYK0fR3bKsXPx/4n5ElCpwaR8DYxkrzp2Y5a30LnX/yI2h2MRJZ3fP+jZZp8 sJwNxPxRpSA50e+ETTt8tgAjTCgcgVN85X8YbONrgu4/Q4A0GAJw4IoaEPGQS8Kamc//KyXJrEp2 bLv2zFRob4MAqKzVHSSJXMS7kjq+O4NJ75PgowLMtpna5eKDzdRMbLQkuf3ODOotZwo9GtbQC+of 13fU3zjCScgrSYEAjk8egyCTlGVKSXBnTVHUPR4VIJYBBpuj1ywhRWVuxpQNHiuem8jQjiw3OtTJ 2w7XsE1V6CAp6pbasxx8Uc1CJ2NEA5CSSpPS5Gf5DIBuQCotz0m+CJbA10R4SfnYMj0p09KTCiPb xr+9AgqA5yOQ250pPIgy4wDC1Wcqir6TXABT7VbrXtmYShAVSVbrHmxW2vYL3W4oOkIMpA232A0L ukyvVjjRA4nD8hua88zpSr/y5IXZGL8m8t+QM/IzqGiC0gVvEJjP8/kgSykXfcPth2yhpudIDwve 7C7V1o3IdWJl3J84qE5MssQPPH/UJCiARmVhxbsL57a6D84rGQ2KVXQFgBFsxj0C+gqqdeivUwpQ xkAlpW0vHFUFKC61SSzhoCWVsvnftDr0fOBsm75NuICkmj2nO09hIJ5oSg5Yo1ZUYmGL3RP6P3FF QSOy+NUXReJTfRMaSWvwFXUC19KWjqkTsw126qCNCi4VJMoz2At2oFPbh18T5XTyvWvLB4EnT2LV 1SQ6k83no97APdCZ+JazwhY0p32qQsESbr62km++Rv0GoZVIzmaj6ZEHxEdFNRSe6ACj2+An+uyo JMEDgGI11K/cFeEDiN2ycLiFplKbvXHE7KaYe6IZcKGQGNcUeDjFdKMbn4+6lhePgzecgEulL5PA KC5fSZyu5vhAKEFYzXLOx5OrDPe/S5FwSWUnhTGNDbb5UmrghFAg+Pw/BuUtNhKxcyYDugSciAME ZaSUQjFudVK2mgTbHC3Lbunk2Yo62+Dzwd67MQKnCcCK1K6uuyqtr/NEwylwgC+Osvs8NTG9SeJP rlyaU4w4YTRVV/LB0+Az3yeazESbdjh8TVlv0zUZOSMkp5z8Vou98F8ywaXKJKCaSQCQ3VDEZlzF qDN0wbnIZaIpcOSNdq+90HVysp8inKc0J8slLq2evehE22nzUwxBJ42MkzqXDxfeXU4Ss2hzT6mR wj1a0R/oVCvYVC+Vwws9uHNgOwmKd/5mCbqjEl1j2e6FZjyfj3K9mw6TmAMvvDrnnagoPG904sa9 5Anx2l6dG+qoUMYEubVNVAQ8kTRXgSaYDZooCIyANCnGKRxMs5w8C1Q7ILNJBJPJ4NG8JMfGk86m rD4IDHc2lFSozsdWPh8E50/EyaALyJPLg8ux9SRFgxLb7ZimlpKZcoLtml1XqexswtM59J5cUg2a VOmSh5TOJ/RPIGIt5WlwBLHkgNs5SXEh9yPfaSrN3jcC6DAkH3ILluBGkpdoEazynO18Pmr1fusq IEno+TmeAvtJ6e+jSJdcFGd1C9HPSm7ZVhOQum4/GfpPDgZPraTqg6+mSTw8BXHPfVYJgoPQKXwE GwqvqNKZRNdo9r7RriPYazMqH+5LVFo7C0pNg5Urn48ig29pZURPJ6PZd5piNxxWodrwcm8tZkJd UHh0eaWWy52mkFdZtYza8MFPp3MZnfhySOodc1YI00FnpNN/FeevZS8SWMqhCeUikekkAFVDseU0 3M8RokR8PvLn/iXTDR5jfYwDAw9KNY5wIt3DiTYTqtadFepy72cXb991YUg+BfWq2wevi59TG5UW Fn0HXATRX8E8nR2UKMr3hWfq0144ssWl2Fvrd1DBLmE3E2B5PojP/0s6mKUDxCKpPOsppFcmEpiW 9TBBr2Sbl/TKvdyCChYPFmeGPpSq4c4q66B6rYOIiscEIEtHtelk+GDqDJh/iUkGHyqv2akYFRpR zmXeuMsHgw/AdFUgS676KCLf+bvEAuIWkVA+T7GgSVKUmTwZLqPYPE2KkoLzdbJipw/mwIoSTQR6 vlO7S4PP04kdT2jPfXeuFio2MsWDOg4uRdiaXb/uWu2Fo/Uk1a7cfFD0lFYRwqnVVPn8/6L7AkfJ Wa4RVQ7MKDO1I05k1O96qtnx8XCTMgIhVNK8EhW4UWILM2EZcn4b1/mtQ/sVnZEpY/M1YeB88hbg b+GyBLWO8ii/9GZvHEnkE7QzZHA6mqEIIKwjwNlZrYXPR8rm361NUMc6tB58omL8NxlyvTvcsuTk nN2qtAUTda7ru/FiQAoXFEpaNlwgARjwwC/RqvMkkwhIK4Rzfjb4ZQSiXmLTtBeO+lJs/QKkZIMW FOwtqvbSbHw+yFuujjBlTYiNWY+9eWhLOdhT6GX5iuq5+c7LbnVeT0DtQLkc+lIuFQ26hDm9iU2J M6ji41gCCmyegIUqaOcjzgkF/0ycOrc31ex9/7QSrBTuhkqaIeWg+sXYHAEun480BfPXxpOc4VPX DI0p2T0+PyNd3pnpNVMQQvwk3xdi5bYXy0NnysFi+R7Ep3Dw9QQyAbTaRLk86R0bEAlqQgtyPhBi dI0z2XeHxpTCgVccLDZo21WIW8kGvk8+H/Gbf8nksKJVxzNPoboJLZcGSVT/kbsAGSmb3ipNsl4Q pl7ulhtYU7rmAvXQbPA0OMOjDac3mgrI8qng1aEXlxMd1lAftwOKaCt+zV/6i03EAMA6f1gzgN/V 3II6n5ybHxBF5L+ABajsf4UGgTsluIjsCe8qhaWTCOf96MBsFe6wG3PxmYotcyTQubcPNlMQTIQc pI5yaORB9PMEa0jCUeH+KT2N587b9sYBuoAxVFEGU8TLIdV55cUiBWyL+HzE5Lmpi6waz5EyylU7 y6FH5SYF4+yz8nN9cywqh52UCcP2OZvXVgKTSvM7BRGl+ODMuZVgtcXk5WQuYNxSpZqCo8Qg4/W9 Uk7d/By7VPJ0GpnRAUzCf6QwOek5j288V+zkB0RNhV+mJxs6O/XZfVGlvA3JnA8vQm2augitUva4 aBU3zsuhUyXhKm3LZZmDhwcFFTpRDNEjzvgrgYoB9iShar6ufQ7FH/klUc1ARszcexwMjIF2Dwvl J0zj88GKupcelg6C7S+16hxaVcrPa00nh7WzFVwYvlKzRyaMCIpsmkKZQZIxqFJog7PDVie5AFvv fCZuKprHopHeGIzn6wvLRIBfEgAystAGuKw0qLTSKPGnadp8PvIZ+obQo/UGjeI7TVGRfCSLodyM KcsllqiVMnzbpfyspljWO0uJuftgq+kEAwPrSxaDlPjAIUbzzrlAs9UBxb5LtnkKgSuSYKoyh4My A0+USd9THs416S3/BX5mHQUyLUAs34mKonIpVlSvXiIbXtmxK6pMsWog0GrXR0VGOkQbdmp8c7D1 NBbkA+ArgPAcDA2e9ieIgq43GNB1eAwlcbgcGlbKZmiQ/q7B2IaguRn6OfP56HT67k9Rjnf19ey7 96AcYpME9+47T7tMx69sZXl098JJZfMU+8QrbSnJB29QtQkXAdZ+RyOCktZVaPNO4PXp/oTyIrFi xV74LzB9J1XwDOijckGt3GX2dWas8/l/1n6TajE/s115jxwaV8pLF3JkDqnbzQ8oAs2NT1ccUpdD 50omb52JkA0OzawLtUz2hukkkkhfpSNSAyikrqtcJaR4Dq0rs+Crxhqb49bIhRj2GnngXYmg/DuE omxWu3D6HHpXbqozlzUd5FtZaVaJfK/8c32Zh09TFJQv9RLSuIOzfADETAAxwLy6p7VoudPIQaKd JPSaL9ag2wtHWAMe5CPdQRsPDiJsDNeTQPD5yL7ydtC5TSEaD9i6T1Qs910FrvO4oAoCTR7Xdgsr wAWqT1QIGd9sToEbZoPTMxCBm6MuKsoNDnEb2zFRMAZIkquFVrq6U6GJJZVwccFJDBXVPrItoQu0 5Bh/wlt+wL+cvnmiAZq/5tWMybGR5aKwnnQ+2cdLxTjRK7PeQDRr3+VOVRSW4/dFB7ndwc4otiIJ 90CZpQAh1dgZRpGR4mJZHYX61UQPvCxPsKlDKjHYbIC/sjZYIViyKSGMUJQfEO2+7+O8GxT9ztTf UfkWd9WcY6fVopDuq7YJ8pCXWAI7S/HXQK5jm46DLaqzOOGsQCVLyOxDeJ8cOxSvAO0s0JlwjH1e 9sKRvg5LUV0lzg61YoKiCpRdKLeHDJwf8C+aPWYV+DkYkd6JiqJyRo8Av1XHr4xxc2JoYgpoNwsn Cple6Gqp1jCF0G3w2sHJ5/euVLVY5QRUhE3Bbp3SZijX93F7ecQ98Guie8/Q0d0Hh24anhYASD4f Vcu/JWQojAbUg09UFJePwvO8Fhe1LP1S7aUaLVkr4L1sRUUAFrDpwIxiMZiDraizUUjRJCPhxAWV 7ayqtccUG7o1l9nS7YX/8v6CRyMH9FJkj87Ppslg4ooK4eR3oqQ3C3RHuzLpObC3xN4j4T5llySE O7fNVGd3g32FtMb2mYrxK+Tbdd7+HO6SKicRBrYA5c2zS6C8gzowuZvQWoZw/S1I7WVvHGw+pnoF QlY2qLGQYMW1VRLufD7Ye78kd6CyOWGx6hMVFsypA7LqvnDgsRxlN2XdTP2J5coEOfa4ZMF8kSam wetRfS9IpLHKAmwzagZgJyLNTOj95I01ZZgoFcxDk8uzjUXeyD4IE9WgMs29t9fi8xGn7BcXCEYw iPHvTIUVc7KBmVCqwjkTwybEcSd8K/ImPKuLm6/ro/4QcWjUVbPBgNOQttytEF1+5gyAsXOcn0QW KpWspEOA0XtVWTC7wOgSbhXYdqmwLMVBS+r8xCSBwF9u8vnIn/ASgmWvRqbCjaZCq0uyrc/ZdNOY PGwfAjKazKS4F3j5KkQIvC4zxUVA8WI5qj3lKOyJ84cURucQqclCA23UZRuanwCpKS3OgwYzOfC6 vFlMnepVUcCNUefJYbgPBTkIzC6/0z2ppkLK6amytBBfTi0ntA5tmoYHVWXonS0rpkRx10dFxSg2 h6GPaoNnxdh+CG9UjFp24Hco0AwSk9K62d5QINWimrluvV6bOagVAfHL7JDAVLqHZnoLvXgebXlG 5xnvcHde6HgJX+wz+yvdtHiaYGpZKTkP7/zyKJVromJCJ4l4FE/V4GkM/BvL7NJOS9BqSZeIVxg2 pIscS8XeN4Iiys5pSYEfpjv8006MvQtDKvzZ/IB/afBnP8wBRLoTFcXm+B3ZeameFrf6YBEN9nN+ IpTVbOOFJXNzdEzZB1tRFTjULv3UipOdtpdgwZ73A9Kyk403H9OiHLhe4s6qKth1H5QWQ0E6qc4C SFRoe/kbYgeuzxgPDjjwvcShMul2UdyPB9mGdRbmHmYO2tO+dIXY+JJAzMkaigavAp80eK/NKvAE 8YUq9BVecbCexpU3bvlgqpkeOl/OIRpVu4PAGWe7yZEEji98PlpP38qXJ/r9gbvinaewWo7svaxi dlf4Rw+izi+bjWt+tlHxXlVgfnkJMI1k+ynegLJiKI2XRGHnCXUfAC0BkoI8HbgCBRjtC2LZ9sIR o4oxVKeYOh+UVsHJuwbZs2ikNn5A4KV+DdUkpAosYk/PTIWBuUhV+VrOnwj8ihcp6yO5sNzAPPDA zBYbLISrNjg77ywhKDwog+mokbNXRT/qBDXUk0JcHyz5qefABtPF1KHULUGVZVohKHWxZH5u78zn I1zUt/VcRlQCW4U7U7GAIfXUSfeWzJN66JypYeJPl37GNRUBWQzXuiXM/2jOn2gAO26oA1NoREc9 VRpaorex1tVTndvfN+pUcYKkYszBuJ6rDaFQNnhCgRkmiOvfZM88Tqq7xt17n5cQqpzv/H9TmV5f LvNQ8DdIMCyLqkAJA6jrcUG9BFDngy47v8u+uF/74vP75zwIpwO1c4BjvSkWCmAhqc2tPmwqBFAv 4RPfNUlJfeU7WJMqyWgB0Ec8/X8miC+4bSGhRM4gM//MSRFs3h3R/Czld+R08tJL+6YtxDbKLRS1 DG24YH50MOGK88Hr5OfCXLTSRI1zL2g+AGyAYG8CDZIhVWbuDksH0+sEnfiysi+1yOLgoOP7fHyn 5MyJZPH02wQB6Vlsgnj6AAYzaICN+XkJmfhQH1LiK87Iox60UCulbhdSB0JR8/MSMGn9DGmD7+yD /6LjnHe81aBccG7sSczvRGGrMcpE1V+BJQ2hPy/hEt+1SGeuEAE1iiGgCmT9O4tr4Jl8XmIlTa4B WYtkVCASJGkHTM9LoKTlQ1BPKdePt+TpIPsTRnphnALinJ6XMIkfBKXNDRpL8cG2F/xlThCgeLIC 90u2XmvMyTL4CPVOD+CRn5cgiV+BMJHzwl55KYY4gXJ9a7ymoLH1eYmR7E/1/WWxOoEANj8vEZIe ose8qTeyYbeqo6HLvuogk8UAOs1G20t4+Uy1uZUveLVBO30ztEDlt50LCBj8SsYPiFncvc331956 2+AAKrzv90g+GEMx78bIm13yl+DInvYFVLSyf4A1twl6CY20KSkwj1zLgQQuElomw2trQBHWS1Ow aH+RFsUisQ2OqV8N9TUIg57oe/ZBZ8yzZdGiSQiyC5S1vaPJguVLXMS3XRLgA/jABicmTgk81L7K 5yUo0mIY2SaIr3Z22Lmd/AB6iYh0rBcBVqergq5+I6JJOCgvsF39gH6Jh/hBZglSZFVcrlXxaB3y 0K1IyQneZtDoP9f7hNYlSvZg0fsWS3rZYIux9gZAEwZgi7J04s5RIrlroEs+L7GQFkNNvoIkCgr2 cPYz6CUS0hHNpnhiuUuuKaDfuG36sGKueGCSKY6WkEq5WzfZHjenhbrZYmgGHQ9INlA3jccPINGw KER/yIJrkstf4iD9DBJ0ABndBsEvoQiq6tCJpD4vUZC9Yvo6hDpFpKktQ2HEaI8VCsu6bgPUipyS AaMTP4Q6lOqY9r8UJu/5ABq6WTnuh9SKUluvZN+vCVgwFYoqxdIorVkFaiJQjkTtz0tZUhNkMmBJ YgXJahwdQZxO6QxB0GCC+loeBbGMcmIMTKsm6KUiqWXLWHpTFZAThHzQ6iJ1LGvFnessubBMGASJ 1bSHD57Fnp3RoWjKCRpACeAQIjsHFvMocfd+8XH0b3qpRupX2NS36vID6cXQcQXVQX4jgakvtUi7 xuZXFAQW789CyG9hYhRHg/lNRRC/6IFgdFpP79O6lRtKsMY6jOZI1ruQCrDBq9sLNqmb7g1QBV8M uUENIDUSkpZ5/Zdi+huZzk67/l1ia6qhV3gcbknplQEWa7TPZvlaRgOJfn9i6SiYTnTcK9OzV/BK XJvwRHHe/WYtzEg90SSJq5JZXhOi3G6zcyuiwCZNOWQcc5NbgIZSgixTbTQnqNInHnrf4LgumqRe fVAHADiUIdmhysejgNovfNJScDmCG+Cz9B5SOwWjtu27rXavQg6qLBuYAo0eQ3sFs0RF3XMcsBbJ wVN82IIsdZTOwskLiE7gkfdgBf9nsVirkGgIthvMUWe4CE1LHzRH7HlJgGfz8SAo6j5HLC+dr52Z lVqhEqITm6QjADd8t7mXw8kGyWbgblsQ8TVsQHBkd8kTEzaowXcbnCwx4VhIRHrBdLaSiE4Of0H9 /V5pSa/7vtk6NUDhv+aD5fYn0ekqHAGEGkbW5uCsOYJ24xQRWkXPYBn1KWBXtsixpWK0lDKXnOUZ 9rbh2Mr32Drr1O4d9SkbLDU7cSQM0qbomA21IB7sOLwH/MpneXojU6XH8DxiyX8zcuzAGwqTBaE6 BQFwGv680eduZGV7jeqEkNAYUpXlLEXxdWdbso0LyGlK9XkkpWQI1A5etuX37wG2hFpAHVUeu648 4YA0BgifiaT6E+Alois64I/0ucjtObZpQfp5Y89pR3ObLXFUOHCW8NJdbMyTuH3eyHN8x5b8cqPw B/AQ0HP3SQpj7C7QaXrgAPPCAVp25f2Mu1aTFAXZgD4gmZENaLvcOQTF/cRBu/Byq9C6ZBqLTHcS XYAla567WdIDUZQNXgUvteSDLSUkajy2z/h5Y85ZHry+Ykjwd2BH73MURdlLMVI3swY4FLvONTig BsI5uwK/LecoCrO7NFEqW20cPIo8N/7IqAZCghesd3QrW0ZiOWHEkumVamz62fW673M0uN+W7AnI COKlfW5h87s625yPR2Fk/1pIkNCYsDi3OXoPtB/6QFeCRhq0e1wC8fNjSjs4cFSTjSLtwZutURtG g5VDFvCAOKQkmwZ+IY8k5LcdqDHsoNs16nrb9ykSiBJ2Mj6oXLSBV+cl16GyE0Xa++41nUi46aCl 6JMUBdudorIzuy0xdJWcB1aXS+8DZuRyRHG0jYwWmpk+eE97Q9cIjMxGGEEtxHoPYFs73zut/hT4 p143mCUSVBc6cDboRCIonpUyoiSicDv/KorgAGll+xy9ceV0/xNvKi13HUiluoFT3Vd5P+9bdYyj bTrv1Fx88JwWQpQTSTlQlOejCvu0CenkShS1pxaK4MvFXjc4tYXk2+yucVBOez6HeBjmTp83ppwq j8mTWsqmQOP6zKnPURRss2RWK3v0gpoOx0/CIc+DbcIVef+/8eTsjiQocLHuqkqLamtrQ9q0klqx cZssqlo16BrlDPH8Sv6fnUisPr4R5RQJTnlcFhXXKP+slARURArrQAfljSinUz97lMT+m6zX/P5/ o8lp+VZJVVRrPbbU2b6e6qInr69tLx+9seT0/pW4EKG4OFgkWc77n4CG8sSQXU6YMPp2AuOGnjvZ k87UKfa+f5VH4APFAQBn3tprD7rxUnt/fd44cjbLnrgpuIbOVtt+cr9x5LThJqmWYvHKqNgUm3By Z3OVxS3edCi9UeQsB6QdGJtAGryQDaOL2hkBnDNoNeip0C91QaUJZMx2JdJMBOWNIWc1ADIqqgxm qsuCToiLCZR7gurPG0HOjv75FQKguH5ex8OkN3actTAoj5aug2NpxcVlR3HJ9BOQFEtv38hxdirJ I1bokSe97ee2h4GjVOXhnyIcakbiQoPZDB8Nq9XKxumNG6c5WpbdFh9UzcYKZYzfWl2fN2acrmCT BS2GiUCYNO8chfVsEPjOb5i9TlKTy8qfTKI7lakjINccRQVtiYIueCnYYHMEosmiuNdZSOjLApty 9ttGq4q6XTC/eo7upfcN4iSJpdc6fdChdGYoDyMKrM8bL85W+696JIRFnjjpjRb3HEoVukY2ST3Z xjs/Th7Z09tRfJLe420EE9L0SHew3ZbPqTTSJt4IjUxYgyO9LdTZQhRbgAW8buBL7/t+KFEgG1So 4gMn6Xz+JKYDq2N/3lhx+nPzdzFpnq3bV7mTFAXcU2rXezsGaQ8LmQDm7s3z2+rB5BsnTr+x0GxD rcfxtB7HibjOFFlSgkpIykpKzm4DOjgXYkaUuZWh1w3miIyTkkw97vzLQwjlExdKjqOPvT9vlLhb W/5aSTDbmk93NiptE1lTW7ZEFv21cUW+ivEJz2VS70p6j7hh40hiJf0HNdhKgpoe0EYsSyKwADgG Ys6AeiTwYGe+PFTinz5vfLh77sIrnXAtDjq2AYEVmW1nvmOwkNbtjyiFOdutPmdSFHFXntsQdbOC W5HKPEjZe/biBTdsBk3Se8SddSad7IOKQxws4q6o1u5Eosk5VmF7XdhEAa50Xp9rCVQQbftGhbuN coCz6h3U5MfWTQZLHp83Jpxi0Xu1STMNWcnqt48dRdyNtvJ9LT+SipDc3G2yvZKNo/uBvvHg7G7D 5ADf54PN0Tnj6F+d6AfapWQI5xmwRRCIQ1bAxb2mvW3U6efkLCYlHCx1K2XIWO6c+583Fpy9YvO9 1pnenunJ07OSNxbc079ED8BbkVuOe6y4pelkwQTAguYoBovgRJqTrYA5byxJ+NEJM5Amnf8L9bk+ VEmBkzKOCJxNMAVnu99e989JSu0OKpOgMrzUop58PNhsaX8dSAUV937LJG8EOK0j4o2gq2x7bfY9 rXJ7YhvrtY22nFD5xn97Gto01/PBSwAZV/OkFTik3Dbv/wY5JuiPZdLT/dBuQ28bJG4sIc3CLgkH Jbf7JJZUpUOU9XmjvunMzu3rYoPCLkIqn6Io1u7iCD4o5CKbtEbpM5IpiWrnz4125BvvzUrACLJb oxA5B7/Y5oBAknQ+T6wFi8sfejhC0QstwswIySzlk143qtzSpEAFAChm/4h/dZbtECsRh/fnjfSm 33F/ryNQxpkh2yRFsfamiyNYRDZJSwUTThJl5ThJZyEZO/CN8qYfqSHIJtnHBttrA6JzY5CgVM5v fyJrLLWKsKwgKCj3yAZdiC8bTRHyf6r72aAju6CLSyuwPsrnje2m+GR7ZquMGFsNCaBN0Xuo7ekI 2s2OZm+1O5pdCNIiL1C7+9/IbpY0VooqQN1bg60jVGw7CsNE/W8EMiwknWV63g7VvvLc/ZPpyBvV Tcte5AjVSjjoOAKYR2bpJzX/vDHdrNz2Ox1BaHTbtm9EN9trW+x3x0ZsndOkTqYukQUwT7vJnb3x 3L7atmXJL3U99O6zfde526ied1KTSS9LCFmihpWIqyzFe/+GPH7juVm1ja3IpZxtec6WEgSMWG1b +CHfI20p+XxNEtBhJRefpCjSxjkAB9Dh/NKcHwBJX8ZxA5LaKpJvFDc7kKj2QnuCnsnD/Jks/7Nb m7tAkCfzyVJcAkRgwW2szEelQ5DjN4KbCl+cI2iri126jQuYBtSaCXs4V8TnjeD2X+02Jv9rCUdv kxRG2kL6jWrtNnSAym0kDVN8OelFtkLSG73NGknskUh5onwpT5zMD1FRI4Q998a1usmORMUWORvW s3s4oDL4eWO3fR3bvRkA4Mwz8ZAnUE2rqZA0M58P9tueX3fboEFyv0spCrXJgm2GMVbDJFu5bWYB cFhuq86IeKO26f2zlOMZ/3B49ttES4PazCfw7hBvAKcbx9z5/zWiHK6PE4UC3phtVlSUflAZPuhQ OvGGeIf1fMbnjdhmL+lLiXEk4ARt+d32RmvTl5LWdlb5cswxkKsiAHYSTmkHutC5o8z+G6vNDiWu ocWLi4NNUkLwWLuSNkglkg3REq84lGBhg+0radCO4I3VZjAHKsDJxZlzy4scVBHdppCE/ryR2orD Tr96kuBpzXZBbW+kNiu3MjSCNrJYkp1aUzRNmaCWkiV5wlr0e7CS3jhtt6QKCaq0fHCUBIz3cqFD MaBDk6FChUMhsSRnAwCN49h1SlG9cdpsv2mWbLJcLq+ABsiuw7mu1ueN0WbVNg8lVVo519tcntm+ Edq0kugrXyjzIxvnVc2Dhw17tpVodzVEJX3js1lPdYvoXnywlQQdeGpWE7mVgb7Bdqv0a6M+F7Q4 n7yt632Do7tKOkHM273dDRTifMxPoCP/eaOzWbXthpLYmwuFm3lz2zc2m44yOgAQ7G4SlXt7W5J9 e2HYOyDVvN/eyGx2vxFDuiRqti6LdBZs4VTlLLuhBgGHXqnJ5AQ+JDJTDwKIivq8sdmsPKgQKW8f NEv5hPjKbmepnzcyW7mYdM4JSRpo5c92i5JvZDYLsBRDdq8AnOPC620nf7jW8ulCtePqNgWrGzsB HLyUdBLIntVzwwIFAwXhJKS2Twh+fprO9GI8ZZI3KpuyW4lLDO234WQRQsmNANLa543JZg2EX0HA ebwDF2VzFFW3J8VOa7lQ20SrA15vgIlbpLSaVwDeeGw3dWSdbfrgmBsIOIxEzcUzkT3J64nadgNO TGveXhK8t/kdfxUAhpQAhisBwJZztCTpxT0+bxw2S8G+I25qUqbn3A6xJE1aZjnbMgIi34PJYeUl eqNYBPBGYFNblFW2tllz42BTNMH1azqSwK6cU2IdMDTuJxxARHnjba2iqLLNlbeGLFPHY5kK1zry wMAw+LyR1+w8y19BEs5B0sJsiqJ4mzBagAuWl/97606KoKQYayRpO639jbn2BbcBdNoHr0fuhRbe ErkW976OLNKQcBjAacdbbWSQvRHX7FwgAMAAJa6iWuABSpo5ldY/b7y18l/ArR+qdPwAeudTFEbb m7pcdd7clnoZFDBbnRNHtFDdViNpMWa7aIctH7yMlKDfNkiDBBgG1lcsRw7iFAqdS83qEkh8fkdw 9xOrPRo5kMPJ4OWcfC1P9ZNyxuNBFDl+AZKgW0iHYk1RGGrTj+HcCbdCQi1jdiP3dI/CIewPV1FU 1Sas/YSPe/lgG+1EPqWy5IuzCNLvU4YpKOIAOEVdNG9sa6eFOBJy2acigJnNuR71ly0uxrncBh6P uv/fhxFwZAMi4kauCVHb9Iop6wLbc77GuycbtWWE2q8JK/b3SBvndeIWY+OWg5fazrwXugfQ2+pc zaxbJoDUJCRJaer1eAz0kP8oFrumqN8pwpXJuIpFdDwewJHLbf0LT3mm56nY9ijQhmoI8EjVK7ay 75F6RLnlyNG9Y9sjHMlQORstCxvUgUho462dKKmImIgdclS1ByMK1En6uNk/RTZ6VNWuWVqKIrN5 L5K612ZyXU820qM4O12KTWIKTOq8H0c9jLMRQZ470/FI7SQHzqKFZIiVkQqJDLjUelTV7uxF5v0M FmefmKL1kWl1Oc4SW5AjhmwSAhXQDUzVzWq2xd73L2hb6Zyr0vhXMoSEEQ21BcZsBc8HiKTZvnZb hkIvhIh9mv5mQy6CMGX2Jd2khWmqbi4wJlIIpiM9CrSnYFuNOVtqN2cbKPssmFMjHUknziKhtDIy gREH3YkveX0zs+1RoC1T+a4Ysg/L2co6KVvn7Q2FJzwenNupfJ/bCe2Hi23rESVyEFuW6mXUml4Z RCNSsfLSSbPrMDnqHsfZ3EVjZB/saoOXR4FEIM7tDC03ntsFTJKFuAkC1VdPindbj2rbakcOs4nB eVCFaEcpjHSbE2BMPB9dbv0rRBpzuCkTJykKtFeiUHfNXpIsdbm7JZrsP8ZpA75UkxTBSCZDJEgV +eDVtnOJwLdMsG2csNQ/r7DAWZ0Cqigh2qFEodcewbaneQ1RC76RFisVBOxoZlwgS+P5f+43gU5g 1pjmPbvD8nan8yct7v4jVgmFJal9sih4R8/XRJxk5ydF5xLRNm00H2zD9TPbJxpB5XZmNEKAMZdk ElD44DXj1LuspKn3DTacJOBFIwXw7yebDO5C5wsLeLZa8AHB8T2+j29qV9Z2V9N7wI2chHBOCE5n QW4TIVoV01QlOoLtgLevXE1RwL232vrMWzncWlKCG/iucoxTuejEr6i/UkX/XFEkS8jLo9r7/jlN Y3cf1BeCFy+Jve1sDDweFAAuwF29p/MpOd9zKQJvd2m80sseVcmz1cllo8AAaTwUT0xIk7WWIiiJ NCIy9C05MNWUvVdBpN0qD+8EgU6AkiZbzamdv7Oi6elNN1DM8S1/Rksr3cGqJElqF0gy+fi/gJLU HcFLdZwONkth1K30dphpDv7xVtxKq9UqbqtRTwPRUlTgNkEN2TGlx45pQEztxPKs3Z4sDj0C1JKg CnB2HMLzSgkxlSV5eeBbggR3Cf1nymTLyiQbHAyJcs4y8XiwlOp3apKxOEa/3dsRxd2si0NVxMkS g0w86b5Lpwz3D10jGVOOqMI92VHq4Hza4HWSk45AgIK6CBl4Akl1QmKkQykHeHjjk0ime0Rxd5Yc 0iSvlIOaAKR7cI7Op+Px9zkqw1MTgiqgjZ+vcMSIwu4uafxdnCtxfmcLA058ZE1KCI8gyCOvPaxv bxYlh4jt4xLbIWaXYeYlDCAF6Tol3xPtuKGhufLVIgN6AF8SUSWboDbk3LBNydN2p0rt4EQ5TTz/ LzSpTOYnGC1+v40QvW04/GSVW8jUWOWWZnVWuW0oYWiSovp2Vvt/kTIBqwi8BXKTE32NRMczBpfn XNpbMEE0tkG6XbeZRK9TfEeAJZUq/uCJdAZDziwgMeUce/4Hj0eNkvp1IkGuttWbvo0o5qaiI8xs vN5WenPObd/T2aQFNQpttSjmXixJNhDVMRBNMlgGONnfyV0HO9xgfTbRAK0kmH9IS7IAAHJQ+I6/ kBIjcRlxMADgXkzSYdi88fi/yNus9+KV+F42R1HI3eVrvbIfR4BK2ByZfDmpEiypcI6ikBsvAEQE gTccbK+deBiCD4khd0crCdvx/DgnFhwUjcdJZpRbFmrwJdF5dP60s7FQm9zMm0QmGujGkA96EvOM 56OF5FVJZnodXqzp6mxEIffY5rbkYnamf0+hDaLHJKhFA1futVCKhOg2anXaYEESCrUoZFPq5+xq q//DZeGnU4z+6pbnTQ2AEeK2ad/Vu3SBvYle89m9pUoKaUw8Hh1H8zuOxCUJ0L1NUYjbHuIij+TU LbYaZDI4t7MkZnXq1ohw25WQraomNwdbR/0c0wkddOpwQ2qDJs7T2PebtrYXtV31tlGzjai2QSrJ HMzQdK2lLZMOZLcjDLXXNysJbl6tb5faGFFtG6/I+Mj1xnA2OXOrGs8dXQ3n244o1J7K2IQjhSgn AhBmt1X2gkNbbQMlSzFAlP/Rnl7EJGmK8DvjO6LoCFO0MoFbFPQQkXgiGyFWdpTU8fy/Wm3s8ELt Zz7LKIq0B6mkVT01/mNNntx2Ocl3MreS32pxpE3RH1HXpLBg6UiFym3jkQ312SylZBG4UCtBaeZu NYaQIwy0qadFXTx4ozBWFz2yE1nyAwbNXPiAoARQvoNIdsixu22Wokjb/NDpOMLNttWqZdc22x0H xY1ufnkjrG8LH4pTxgZHSdRzrRXZfENla8pXcFBsCqTTQti2uQPo0I55kmxtK05qWEoS24azo7q2 5+gseD6YpIe4xbseSHoIydo0zbDEXWl8Wku2hGQiOFMJYLIiqppb9hLADBX+1K8dTEg4eKhN1BzO N4TacCGSJwWto9sFt4+HcztDLIkUNzJJpRyEbzs7IJs7bKl4PJikduskjcvuHIqreow0o1i7ygq9 TUFrcqW4PrEkdGQ5/9jYBgDgHPf/DEvciViSTVRS3g8qacIzKGnDnWO7psRYO8FqAMAA0jQudouo eHxLlNoyIek8uTnocgP8THjSE9Dh8f9FqW1AwAM4O5ukKNZODJJmukqRdS838jxTd0+l4g66M2RK FtLapqBb85mkRuxWpkzSPEku1Ts36uYQKwAiqcBA3gE3lEqYcY2b0XZa2QcrkgBn9v8Z+64sR3Zk yX+uJU8faDH7X9jAzNwRrH7hmT0/qLmvg4wEIVyYkMfyyf9nFG3P/t1QwvWKu9cnKYq2s/g21UU3 AEM3v6CVe7Lu9kBp1CYprHATlVTTM9yVNGFFSrPTuiFawt1G2ZMBVuZ8REdJRcB3REASIki6tLa6 aW2BkpeklATgCh6PFDW/uwA0sYKep01RFGzDYgUNEvNczlirwzESwrwJArgsjpxRsD2q4kiS2zjY FO2CJCdTjHxAZADtUrAkkTtB6jHDQdw1kYe9brDXqEgCIWoflPzPscnE+2mlVzwe9CVv0iaQF1Dy 9ar+zRBIMkm3yTvb5dbqtMsNnEbX+YWxjC63GQFJRLcpOrw5WCR54u6aKUeBSSoQR6DiBlyIJvAl izpJCpNoSDmjYBsaFSjb5uKDmtrnfpG+zfm2gcejou032xZdE6B/fIoiIAmVzM5Gu1DSNN2BechP zFDJ0/h/M6ptL0bZ8FTxwdfRuXU2xSTR3z4/OTuYZxHAAXLAp2M8EkCozuA7Iu1aOitubrUJzkNV 1Jyb6L1nE/AV/wJJ8ngGl1zGgZyiKNhWytbW9C7JWQAmkwDtYiuz9Q3JB221UPqPxaMivY3ypbdx Qv7zUYlHNmy55gZKoEFFUuI2ff+XJNkMq9pVquOr+qCdhjYu84kT1/PxvxBbIgucfyMXsimKgCRm 8NZNDwEVt3o5W9O7bRDq9NMoirVHVs+a/mUcbBUNiPRBA4AKrRsJF/UjAZVZBZ/by3yK2kmvG+CR 6cYFchwHlDuk0TogkYtJWicMwPMRIOm7hlSZaVcPtWdIkGxdqEivIQ0/mAqEwv1WK2iWaauFkiSq 02aWSTjcGBJyoYUqv7AnWWzINXDCzoG5iIJ6JmnZ6/5W+R+lZh8E/Tux/GKw0U5khseDILLfSFv5 yIIdkAeRKwq0ae0EfT4/j/qoRrU9m8SVtGG5bfHRirAkutcK0OY2XKptO3OP8gJQ2xCoaLREKKy4 AxpQPIQ08v8KsSQUSJibRJK5uwPbyjnz5HJ+fhE8HjAk57cYMm0ZH0mSFda0qfZb5/DEFrm1H9ku wVE7TU00RWGc3WkPWPLywaOjc8OCC7vIRobrJLF/FRffQA4FiKQjklCcwXf8rv4nZTsYGqrIemIH Beg/sNnD8xGy7Z9rDWhd8CptjqIwuzceSFRCl2xbqulCSa7jJNVUNEchlIRwG1RONZAbrGXUE5Db KpAkiOMS3I0fo+GGQLH4RkdnJvEdv5XZ5lIpaVUnSFZgeLptbTz+P9VrK2791O86ChmS0+qPLpG0 aG0kNZJ9AdsLco88j1YI2O46rVlE4uBtbfQ0E8JRtLg7S7aC2e7zs6Mi0J+rn8i2Fda0pWjbm7I1 SLsw7DsHb9PF1gcUW0MYyT2P8G4Z1g5Q/PZJCqW1qRxNFR7D/td2O5FlCZaMSluzEHKFDElm/FmH dn4ObaAXB6mwvPxPetupLp2Q84Py9gOJiQdrM/W6UamNt3+WAGByAcDaqK6UuNtmxvNRhORLCa8H UOpsN4hcUZwN9GcupJhLkXRyZ4uPJFVyHtoAmmiSfpcjmRDossGBWyfBPjfSWaBw4GTEBERShYgd Ym7e3smN7tjBxLcEaBtm/m1SSZKDktoOwpNlI3zJSMP+O6kFGWvk4Zn/iiJtSFew8r+ty1aKe0QA JXO3G1oDmqQw0qbtdBLllsNtj5ysYXS2R+gt2anZsghgxnLKUGewGhJlv/AlESKJ6AiKUGKYgl/R q1lCG+eb8XhE/h/fIRIWElRAbY5CjiQ9ttZiaiZ7O6oi0SeiEH9DEweE5JqjELPdKUWKDoQNzrRB MWoWltlOZNsWpZROZIKuAwmmFPh3CAkSJ3zLb14aJ/BdGorZstB2iW0hFNpWWNje33cbwAwrPfd/ iNqmtF1Vr78zq03OkVztut9O1P3YZ1txYZs4m8a8noMfSScmPtcs8X8TvAlqKNQBnEOmAipiHGvY FuCe+L6Bn8aybuQdVB85IWHt2S4KPB40/m99RJicfoKAy7ZdYV1bCgk5Oc8mq85mCknFJgnQYpuk MNhmQRuNWB+s+l8n5EcrhSRXPddeIWZrgga2KdoOhXiPkqiRvKJgW86bbRMjyUG1yJP5LzFKT7CE x6OF5AkJwxNo26EgoznaUaw9qjrZPd2EJLst92zFs7bzUxtue4cMyU0g6e538IV0riCRGoG3Pcf0 YJegAHY7gSZCEcBdXFnU3lGsraStbBO4s6ZwPafbHhb3pIXHgzJb++dAQgWpV28i7fdYG+wcS2yf 3N+Vf5bUac04ohtse4diJPQcW1ui7Xt/7bUJsg3TkX3SmrQokTxY/QZEpeRR7sVG1f8dwrargCPj DsIhET/C75snr93vsbbM1b/ESMCOSBeGtEPYdmPGXy5sG4YgttXQvrcqW8MlrTmKY23W/VHhsMEv tgld3FSI+ztLasiEGuHxDwk5uaTrxU1DXnxJVGbjOQR2hQ3K2RZ281YcsPH4n+xIxJFsRTzS/yE5 kgLJlXAPbbXWr2Bb3y6OVGjzzTkK8SMEa5ckbL9jO3CEnhP/hEWKtXciPFI4JJRrEZbOB45MQy98 yW9Sm2RJ22BV/3Pis2oPkW88HgkkfwdIQGufdOnutRCynaSveQ0kmiam88ch/F8qW9vwIzuEbE9B tkv3wSHbDYqwnWwk9KTmYl6LftsPPvinzqfOhlMM3/Gb9P+QFwk1siUfNo3/TWrIxvORHInnI8Qs oTA+6z2yYyMbmpS37TSS3S3hRw3J1xE6kj5HMXyELRHakGiwGtK5xWpiPwmytmurm41SyVkMMPkZ /ZLaKJ2B74icfgSL1KlERKLKbCgpiIWFIzt0svlnq9HkrNwy247gI4n25GuNq2iXhmsjrTRd1X4P h2rtUPZviYec7vA0jxoOam612XaR0zuLHB2siZ27k9o4s/iOX9mjSXybZCEYsEC7ypr8fDEe/0tl m68Gq22wrGyKwjCb3gjwCnHCFmvRImwlF/5H4t7F+9shfITV/qZeJAevaJ8JAzwrsb+2ElzOKR8F QalF+zbXRi60JsZ3BPGjBH/KvIOh2dA85bk0sNFCke15xSN5OuPKeALIHZa0cRKD1OanUU3FpZHg PmOErUZ6CucojrJZHdkSkfTDFCXtDXcnqDSAGzFKXczTZmMscO7C9YUe2VNv+6t81CA8goNdanDv UC+7Djz+F8eGJcwFAnS96dqOgmyhInftLvm3d7tbbbgv2yw4XrXVIvDIFFJLtlH1yzYKbPZNoz/6 IZ8QlYg/KmJ2+GDSt04G24nF2v2HColstjnoUoPnJAtIrczx2VFBu69v6UhC/b5E/1MUZDeh2cg9 /8+Xuw1T2ma1JLAmoJ5CN+QURtmC1qTSfdAktQ6p35EYi+yJQ1rayHhJiNrCGCkBhC7H9rXtjQP7 MV7+ZbK2DSKwKvg4L7Z2eD9TyQ+I4Oz/ICP4097Kf06h8B/h7J1FcWqRFCgZSYtkbeZpoEZUhAKS bE9xsC0V6Tx9cGzkzgUVVvqRnKB94vxF803GNgga4ZPh4j+MtnOKwm2pkeRNjSQOdhxVIHnwjWtm Ph/lJFdFsrMo2bnvrnFLeg+5zdym7LQdtQU2g7ckU3EUCfooZpOQQsh2K7KySz7YVHVQPCFDbtq2 CRIZALcB2qx+MASZrudotjf+FSQxJZMIdVieUZDboA01SWuLHxDES1feVsZKwKWUC0rOKaxyIyNr 50Qplp0Usf+mCDfWLQFUzt2jU+geWasUEJsPNlVEBRYYkMEE8ywjyilXkW5BJgHjJj0NXH/j38gk J0OuPuicOsGLtAdQ+uHzfxHdiebc6v093ltR/J3kAShRe6oCCzC5aQ7b3TLhLAn3lUih182WLjlR Exwu6wbWziiZUsUdDsuN7lugpiTE1KVfefJCYB2/JrLfwP4rIphysKoJaKb854a3RAphJbe3VLj9 Gs6Ci+GmNnswU5gesVcpLZWIPcdJNXVg/oeWJ4mkN9qUhQXv3pTHTR+cVkJQUilGwYUKxJSW21mE FOHGnS9boJLStheOAIGiTEoRqLsiUIExOBGI7dwVfP4vU1veBBtOKfl6J6VQpQSoScB8s62oJH0J NE+mos+JkjKqIXb3RbE4yllom1CmJOcrU3KiJpAjNuWlJsy4Jv3cAKCBewKET2EFbCc6zQ/5Nb8Z KDXwz2wQcjJD50YI7aLnI4rpd5WJPx+N632mYrESim+V7nuvZ6uBnwO9mwUOClx7+SkVG9+wmqsK CQc7pQaJsoVoBdAqoTWBAx2H4Eljt7R2vYrCU5JfEy0plr4Tz/VKuSKdUidkcN3oxucjc4DvWhO0 2sFVvBMVhuVL8JLuqi677+vHlbKBKKZ0IbX1Is2SSgpOVu2SgwOWWgYzXNK3QOCCJQT9W/D9UeSX 8L2wgTJRSr/b38CQ2gdV5BqoT6yknACdz/9lViraLimcF5CTU8ig3Oo2XSfFSSgQ56mzE8ja7pl3 9y1LMdqEBXAF3js/sflqUOjc1m4in5loXDADJmJzKJdd5lv1F45KTrIa4RHFQROFnEKhwmDQGVrg 1O9iwUDJYTTvXObQcXJSkbtIWpKCk+32CdZ2U86OvNjNFEPASZO+6+4+WEVlwK0MCgRkm9CgMwnd tdC0JpCyXn8Xmm/nwHJSdoioO6mpkk2MEGD9IbXXdvIAPh81L78xJ8B2TRBGfJ6i4JzaHJWIe0uH vd4LchdrUNQvYbdG8xShTpIkpyXv3r7k3c9VQR0NinKBDTroBEvxicYoimiB9DiX5F9MJ1lzWnP7 IBjcia51PJ09Xfl8EJr/AzshBh/uET5NYWBeaISjzgD/SU1NVA0WDhVN0yRXgVWDHNpOCixY2cLV YJEBvOZE0cSGg8oPewrQ6zrpO3BWKPDbNJVm7/ubVGDfRSJ4Rac4ziaR0X4AbOHzQVJ8q5icEQBz cnl2XVgOpwre4m0sVaXVnxpdNTrlycqcuZxD68lBn7e+yx1s1y0Q3/KkxNtJlSjgiGgUiNOTM+cf AFZdnGs0e99o030zmLJLTyNLalugylz5/F+bjvBlfAzogz5NoRXOkhru9GmqrbuHaVfVRTWoXH2a Qkpl5jJqEuhqV6CLyD70JcgWPJFhbdx0g1Q4+nKPfGviklbKof/kImYQcAYNvpxwQnDSzvRvPh/d dv/gvGmHATKLT1Qk0i0IZyUnURNF0QgV60RmLuR6sSXe9VFRtU4VzdR8sIk6c4+c2Lin+8RirC4M BtWJnnxfYKY+7YV/Q8WBmuGD6nWoRLFA2M4H8fmoC3U7LN37UFQQ95mKTXEYF+R+yZW7Orly8OdV OtwdX5lDF0q0b6kHmHzwkHyhWtApaLb36oV1GEia9p9zvi9snHmLdqpGhTaUMJoiian7oLZvbbWz hIdiM5+PDvLv1KWhXTOeYlRgRIkKC8PhvFxkaVHUTsIBM5vIEjw13YgycKLM5h+QUIuxwefpBMsp VSosgiuwMu26N8Dem26djWqmcuuu1V44WFFZ8Io0fVD8dGIwaVG1E6Tz+b8shDlPG7HBfHZeFI/T YaJsmmSoEiUwClFNy/nMtCX1eQrr5Esd8rZ9eOYpVVBTSLOE9jM9zSD1i2g40yCvX8hOb/bGvyrk 53QHFQ1OUL+bbrFW+Hxkj3PrwDyOgCHcXysqhH8TbXHm5Orjtmzmb8S22UxRWMBmKoakEGeRyN3l 4OUVeCutLD+qWtn7orwZXPXYf59j3dTFzqioXl6GbN+ISuWgFUWIGmtweTY+HynleRlYrmiZWoYJ nXqbq9CZkip4FCGzuepMz7j7SrX/WiFSblD5HFpTLhUO8MY2+Fyh9gRECufqBGqUM2mUeV4It/BD 3w5Vs/f91U+wMdqE6qWB5U4wu4VNPeEfn4+m6rsQJT3Da02RQ3PKSTLhhvqBKuaLdtWsQ/GQylI3 ydUrdqE7peTNshRg8qMAAwQPZFqlmFcAbWPzroBksLB70BJ2kTMZeIfmlFmAS/kJNvcTrDIeV7Oz Tz4fVDZvMiztAeR5t0uVY3fKQSyYjLuZ7rV1WzBsD6Jcd84Vp4HlwJ4Sq4lbruw7eC4MgYW6aCkI w/SBhImFTcAKIcXFErmdUWS982t+T/KqBDzO+T2lJDxzGkUs+LX5AVFc3r6yPAh07lSffRfG5cOg ctasKkjHfkwJpk9NGmpTufhMhZhw7rvUyx1uC2a2XmRSATGPAo9BCC+hYlEgxFB6Gs+1t+2NI4gB oZe4rzAwzibVufBwwM7Les2/qDyCYJ5PK+2ZqFDGu8i+szgOA80ki8xP1uIGjGt0M2DKgUuliskI t/r2wSvAE7LesmCAAWpNjLQGpGs7pTXw8l4qp25+jm0qmcFMAqZOBox2ABfB2RtdknBn/U9+QMSc 94mq1QIEKBPcmYpq5U1MjDqKF+z4qkKs0LrcECtj+kyFkBVB53u5gx/lfaPyQJHB3plrIJRoPCno Nriuf87K1d43iqMYmTdGCRxUXzkp8WCY3k76xOcjK+Z/aZgg76fnKI/i8lbYP0/u6NmKWunU8uq9 el2zVE/1ArdKbymgnOKDZzAn6TyB+WoUiG2NnAMcY7RCQjj+uMPwCOCXRKAMRgWd4nkcVF9piwrv nKbN5yOjIQ83GVliIe1LNMihXeVgGSovQzrRuiLZvquy1cO+yyl54SDwq3SXgbJFWtkPaeUETpCp oUFMA/Opct9BnunEBBAt0PnEzku2eQrBK1V8FSHpUOzikTILSo5YDP1saz4foJ/vQY5JhdJqr1+R QRSWDy6nKiC9wcW7JcQnrvdyXW/b61CBZSVgeSSt6sorz5W3zl9QICethPgkeZ3S3iLCo536UOhN Gy6HlpWNhBWgjn0Q2RBR2hLJEp30wLMSSrb1KzLIYIihnHXn6T0oN/+dxoq6IVhEf0a9Ll2/oYlw yucp9okn2EC+nTIJ03o6exo/Nsl0JyiD4Dw90FG2m+TbApuMEiPhYv7CEZieQLElS2aseK2ntfcm 3LfX2vl8VIn6Pp6wkGe7ksM5NK5EDQWTc40rd5nedtnysBSf7h5PvzhXskxeSYLm4CDfE42f2KCo rpnQ85RNSqO5FYpQV7dKWPEcWlca63Ayd+FgsDqgrHjTs0oeeFciWfvWraRoVrsUnxx6V27CM6v0 mIlmlfl557bbDmFFMcmnKQrIZSVQkC7a8GBYcX+PSpMviCk0bruNsGUS8bPLgzXo9sIB1sCsYtVN mN5N6OAjC1t3fhM+H6FY/3GvgLbjuEoxOfavzALXdRf4gJ6OdV1gLu7sjH6DgtDAksf4mWVa6nDw dieuUIC7GJEn8fNBIV7Er0D6PF8hNBmE8Wsi8wH1E4Z0UEEmYN33vDjSbsZPPfMDopm6Mh/0QjlZ 01oP1iD2sZRFY80OZ52tWoNqZSqMEc46823kxUaWpLCsOe7gJ5R0dTq9vhfloAj1AcQsg233k9VT qF899MDKEhRuHlFFR1RBnVscxLIqdaaY2vAD/sqGLXWmksGdqTAmJ6qVBhPmikZTHJaitpK/TiH8 7qWowM1S1rWg9/KoSl+cHwjr1o1bgzbNAHvQgYiuDiC+FvTtHWSfl71wUNqkZUwrhI81ahiw0Yke IEXcoIs2+QF/cVpp+Y1WfH1qwIGlJVjrxKzMeeErkzr7zIeHaTah+rK9bBB6WvYt/zhpET2QqAEt 7wRBPOy+dXI8gNu3FSgSGGO5j9vNo0srvya49SgaO7KizXylmgG/XpJfRnEzsLVEufy7CAxuc3/k Y3Loazmo+lXc8JOtmOIlu5Kc11qyK3/kwNhSpD1AoXbzwY+pc5GuRewYCtOjAQsAY0sA8pheozdz qS3dXjhq58lFdtupbjVKmI1OlrKRDk1+QJC9pO/wgMFry8/WC6vltT20BBZbkqHIypCNB7sKBQvE JiqGr7DnSxkZDR6WAzs9mzhAc8F9B3CtLqk8uPKxUealqL3sjYO9lyVolYcPaiuc/FsS/yfJ6Hw+ Atn9Uy5fRDw8cVQIX2mS/9zL0cBz+dZbMpjblP98VlQYl7PxSS9OG7wUBYJjLixsrhMB1IZSTJ2d 4JHVSAPOFxGlcnnocHnL5dUHIaIgfC9D2b0Wnw8Cznzd5IVxhSzyybHuVMV+8gg5h9RiaeWIKqyp 7gPQRmvCQb6DwGOhy2UXgwVrxwYDTpddqlSzUW5JnZqi0CtClFzIA+4EbjK2yULZBTaXOKWwpvKg 3TUHrSmgHEjSBkqXzwchwshfuR7cAUd6TqnQ6JK1vloY5IrNsXp1NgcBAHK6RqNPEULgdIlgg8LN hPpoMGgGWr8nEU5UuukwpepSn4fsNQKEjgXAlDgPWsvkwOlSKQhOJ/HwJF6hmDMT0GyYg8Dq8r+3 XsFdvJ8KS2h1CalHBJrNU71ZhxM6CmvRQrDItKDro4JClHrEck1tj2vq2cwAM2LLyFaOolFwBAfM AgKZGcGRpXpDcVTgdakNDtUmWYKD2yN0ztnIg96GZ9LRTQ/dLtOjmiaQAtZnedrpLQrPUWFAorqW o1hSsurmiVuXs8wa/yJNVUzpZPVAzc/yND9ngxAoZSVBLTtrlkh8UPE2arb4z+lix5K/b1Qul9Dl tlbxknvKedkTYRM8Bto5PyDycvgGReE071/Vg8DyElULNtNncTDiosu7wIhXgH8mao5r58USKlxL Pd/BltS52RCgMz5AmwFMIWbFQJWe/Blv6h09+RXlwPIyWcAJdXEflBWfs6HIESUDFBV6Xv6LscO1 MsYDAw48LyHGQ2Bdyd75PD9U865CXcU6n1R21KUXm14OZnuTWsQcbjcPpSmgtho1Z0ajtkGCkidp NnQpcjke9dJD10vTT++1+yB0BozHtZPOBcbno+X0bVhc4AoK/L/PU0junGy5yPy6858eRYFR4gLq 9MlTXB4YX2I5IYg8oXL3wROYDenuTtWiBXWBxbgc4sXoEJ+/p838oFi2vXBQtZMTTxJ0E8w2xpjg Cac9JY6SGz8gcFLf35rF6GzN/uTEgfsl4nKBW4sbhM61HXRAexlTCMdPbgsq5niyBF6V6dWb6Q1c 2ScWn1QtPpuws9CAaxQZPH6NhpvCdx4F53LggKk6CfqCjakeLksTClkpMTs+qz/z+b/qUYRFZEQl O19LtRy6YNJz77ygeztAXMWT4nNuWScdoU/3NRXhWCptsM+VM32woxxX/rlWqDoHP6UqQZEBZ1s6 da5HS3WKJxT4YMqxFWtpTh90RJU9DC6wQRMKjDDRmPvO9PIo8Cm9No+f1xgqZ/qgs3DnCYzV8MDq hueAraheXFD9NYLKAEWxbJft2lu3m7cgRb4Qg2HjnV++8SiDstHPgH4iesSXTIUI6jV+ysrRoKNe 7mAdqlkJmOCt8XmNns4LbisAIw1nlJl/5iR8hWdiND+TtZU8zLborIU8vWTAXSxhHnDEtOOC+THX Itk3cnCQz/kfJ1CpCceAlRKPMEpAzkIS18xu7bB0ML1O0AkwCRkbnZh7Djq+zy5oBMzXE9B/XqOm LAFNTVDRUwCSL5uf14gpZ6IGgQW74J4hcA8BK6O4jHodzjJ7jZewfsjHpxuVDQ5nBfyCjqn4x6pU VQO5cVKJcYgIq8hyEkP+Gi1lOemgMkPm+RxmjligF2GsqZNRfF5DJUyuHdlVOirw4p6kvWN6XgOl 7JaFJ/s3lL0c51XvrbM54Tyj28XpeQ2Tsvp/OHxkNzfGPYMWmgd1SWgmpZPWUv0CHU8mYxDjvdOD QOTzGiRhezEvmYDsYoDxg8RB1wlDWSrqJ8b8vMZI/qdyOtRfp1N1svl5jZCyW16kvpLlJq24g+rZ C83lQegwJ5fZaHttdp+gB2SD3fsJ+cgGww3ZSGLN91xnZTHLLoVw6+b7a1M/7TU8yjijWbfM5HI2 xTOk/uQ2ZeeMFvlrcISnDXFx/q9FSxuAdV9Br7ERduWSOYExVzIVYB1G0Pv1Ta/O+3mNjLIYXkCB 5zvYCjpxFRRNReJE6CCbgknpf/gX/bCZ4A1NVixfA6Pztqtqhkb1QUAnorJ4AvVVPq9REVaDSRVV hdlni83SfYJeY6JsPuJ156tUtGZ2ebk5H2DvHm53EU1Q49W16vTB+3MFAcwkxAk019rYc0HY/bPg eSa4qu0xpmyv4VB20xSQPTigaSWZuD6ABMEeO1H35zUawgm2u08QZhbxsImnUD06OqOrTAmu9H7R dUbTFGqYmWlKMS+w10AIZ7RkU7sghVd842QcIK0sViTAoMiFFg7mLpuwyM9GKze6Jrv8NRDKUtYA 2nUWHxT9nI80AssJpT6vYVAWVMZmSPjDzmKSn0Ov5UnsMsLYK390wQbg12P9y+68jD5KtXb4a3HS jggoDlKqgINz6xBjtUwU/dlcwCIxrOarZmyOKlQTcXIsZH1eS5OYItbbijyvqVfA7AM2Nupk9wxF 0GCKHCKnvYmQfO7scdBrUdIuB9qke62tL2s3oUXbXfGCWBEpXvwaB9Gy0oabd8AGFs1X9OKgL4KT CqEFpPeY0YzeLzyO/k2vBcnsnbi+pg3dOJputSpY6ms5kjFf/QqEzmI+V/7O2SPFKJQeaQnl5Sf1 ek7qlbdPEV0OjXkYzRFzMwpV2nAr3LiCp1oBZ/FU0Io3cbrQcEQQgQruP4Lp74Q6Xgg8hKpqI9WY MbC1bJltmlYGiKzRTpv3LGJ/Bck+UkWbpSig5l8PtqxLpq7VHtG0Zdp7UNHZTu35dSXRKdYG54mx y43dgQwW6xOweZREJlpwgBw1mhNUSRQPve+vJzazFQ1qA4x8FqmYFZWPRye2R43sUywG9dmj6nc6 HS41ShRXKrTqPFrmVXzOo3HjRrRlHe4VzNLmmV2T4sf0JXV99hhYGExeF300CKeQaBW001ixVVg0 BNsN5qhTdm8MxkUcrFWCio2Src3Hg8DIhIqqOiWIeE5aWn2Ooti6Eiu/aMJt+80EQABatJP8HBdt XcxJcGjjgEdzko6yHGyOTo6R5wJyEivpHEsDlJZG2RzEp+da2w/1SSDw9/BaEepJWyt33aqmO4YC Vx9T0ozAoYbh9Shf6QdgxRNy7TZH7/G1wMgEoRreBOCr4oXa4YYyJ3gtDqJ4D7DxG6P0PwuDJA7W ITlvDSHK1Ihe6ue0oophQuWGTqWzPB2SaW/764kk1z3K+QqXtTq0oikre77n886iw14t+WuOMlQ0 rJHEr41CbKBMwVG91PqmM5xHEjsIPJJwz1mO/x5j6wqguVX1wWNsmLHMmSWcttCiZpaGfvjJbtFq WJdsOOhM9E6hw3aBFN0CXMUHcTJzaQTusd/8eWfQZcmJapLYMcqAqhoTmrP0HmcblKDmnb8wAa6+ D5y2RZEUfNUsRYE21XfPtc5bjoMf3GufU2nTU+b8G7qydAM5Oe7PJMQAHCtz3c0SIIgi7UZEJRQa fPClhOoaw8i8P+/sOSwla4xU1SuRGs/iUeQ7eQ7pD+aonZny+iy7V5qj2Qz+XQfBf5qjKNQ2Ewc6 D2nw9lFpaLFS9gMCf6RUnGQEp/WkhBTtUo1TP7te932OBgsiNPbDYByCcib+vKykrjsfDyoiyQNJ 3vawiZ+4KWyS3mNt3EhsalMNSAzDSRo9mZitar7g7TBMD/ydOIf3J4SZbj82OLcXPVySIlCYBTyO 9J6E8imcilDxf3pHXW/7PkdqiRBRZYNqapAU4f6uHVI7UbC9bsYmH/CTF/WU7v0fhdudjm4GWOY/ h3eO0H0sNkcNZT3NURhvEybRaT2kwc7tc6EghifqFFIoMCtkzwRoDvSVMwQRb5l/6nWDSUKWdUKt WX3QkVQJQPyhWdr6vBPmGGOtr7y/Q/qnbI+337ly2cUcC907dCCB3G4HEvEzAildBuY7VS7fXm0q zQfP/E8YciK4TtUmmFJQ3+rExWd3UnQFv5EXrzebkO9UuezSsiRj2aC89hx5o0proeTPO1Pu/2Rt VLqWZQrnKIq2M2OkKgsnXm3JDeUgrmTw5U6wIu//d54cYyQGR/Qp0OC0pjMPncEjSc9n1w3CTSt6 mTnRT748JxJLkO9EOSZuaqwl6coD22RJCSq/1GWAGso7UQ6nfk1fK2nyiqx3lqIiNqE2LWVbM+ef w1XlFnS7HOQNfqJW0nu0DU6TvC5a8sGRpgDv9bmkQYSqNpGmnfdMobJsfSokS0vpPd5GnCRLEDMp ZmrMtZTOpSkz0BNMft5Jcgy4x9ehBJ8KWm/YLMXx9pZghQcAS+RdNR+TY902aik8k94pcgwlCIpI +w7e80dhBDEA1xK0Uyfl9xNU0uARzsTlildQCuWdIcdoUPXsnn0wKDzUgbiqzj8/7wS5f+rZhC2D wt+SR0nv/DjWn5i4lenyQ8DYWOI2hgnOQ8txW8D9To/L6YrwyqVwPbQKOIDMigQHRg5nYVE2HJJE SEoSNRGc62w+Tu/sOPwSkk/ttJZXF4eZCCwQJarT6vq8c+OyacXehYRDCX60PkdRvC30CAQ7L1ng Sg8pRdChtKeB4N+JcYwk2cSmlp4GR0WcsHbtqZo2KsKw10K1DdNewAgt0rKyk3vpfYMwSYz5KUmd 6QL8zacXXIH1eSfGseJxzySmbpWudne7RfH2xFEMaQSnCvQym1XcqiDMTG8hh6JZeo+3AUNh/3pL AmVfCZR5Iu0zT0D3NYrgUHtto0g5aAmCK7x/+YEvve/7oSSGAH9/G5JyEcjGmDvD/rzz4ngGf+e3 qGl2VGNtkqKAW6poW5bpZnd189vsal/ns7d1+d9ZcfiRV3mFtkGY6txagAQCintOJOh+bRqF/EyC 07AIPHUrQ68bzBER72XRrKigOzcEUwZvTxDAc+593klxXErzKwgAxRqiyT5JUXG7UXSo1VJuEOAm hQCVe3F7rmJorXdKHJv8uNd6Yb2Eg01SIvoBstnAKPdFHzuapncoBkO0MV8m6iBE+Z0SlyWQB+g8 YwAOOrdbagbEPuv2886I45LYXwsJRYRenzMpCrjrYrpGVwTxK9nRk09BvT4FvbpPwTsdjoUSLKRZ iVLmwDlCrbsZrBcqX1CS5YYkpavN63MtiQoibt/JcNYsR6VNye24+vLnSFXDpO01Pu9cOFYXPSmh PgpyEnyitbKjeBv6ZJiidK+2lVxZbxWPJWFPm6yXHcbbZKBSdccGW0YLvrs9MbkdEBdBpINePw4V sgfTPY74h37eiXAovLKsDdqhD5a3pTn5m7Rz7H/eeXBZRJjvQxuIo3zj7XceHIOG/dQkRcVZ9zyS qqzOIzdwfqfBMW/D5MBVzgdbRrCZQx8beVsBVXPSPAR/DqDT8ANHNbobE6fodYNJItK2S42pXzUm iO/2YhpDfDy6/v04YmQNNnXPfhy9c+CwjhA9n4tr+VabM3nPv7VkfZIBWKS22jsFjscRz6HV7uCY EYixVQGzYN3R85RwDGCj6MFhc/uR3YbeNkjb2NFegzUSDgKNpA4MAS//Uj7v7Ddvd9woEv4wDYLn NkVRqM2y/vn84rAIS20B2Wb5wJDt/LnRjnynvmUnnRJCZoNttZO4wQs6k8QM/NSicdiC5/eAw0PO DJDMUD7pdaN8BPfZCVU0AMzH2A+o8M4/Eha2n3feG4skfmTLhuackNDssUmKQm1ZFEHvziZpyRIE k3TOU6d6z1bN6eqd9YYfCfKdfelaW/da6+fCgWpeZ+e/JoQyLPB2FHIL/C7KPbFBGeLLRlNE0Frn qSRJLR5HJ5brKkeee+PzTnhjdHLb2qw5UbjgmaL3SFv3BJGPj2uKZyPL1DpQT83UY+cURZXtxCOb MDUbbKuB2gZjGZHh08TlRZXwgbp7oxbqvflp3fp5Z7tl+Y7AdYXriIOOo3WOPGrMt9T5jsG1do8j obRxEuU7R1Gc3elQtJsbyp9oMruH47o12/M2zWq271Q3lmhY9m8qb7d54REZeoJg9+OlTmzcCuva hdJpidxbApGMPUmM3zvVzWtt0BisPqjZdrIaWRTB2fTzTnSzMP1rkgAQK9nDo3ee2w2PoKJhk3Qy hQshKdlrbXSjYpz9TnPLbnV11svUgJefrLWBhd061SegwbkL+5EnwYWXPKDY81HpEOz4neRGtIIc 5QmQOCdENToghOQnCyTnbuBLRgiA9HWxgWI3UTKwSQrjbHYoCjmOktTVP9Xa3q6NY+JdiZ8U9ZG4 zSrLSBy8QHImGHpKW2h/eItlZWy49xGeF4QqbuOAuuDnneGGM6nLO2UJcANGCjH+5/TfktQ90Sqf D+62lL+ObeIG5/Cy7Tu9zSF/rVJgUvuNe5v7rfRZbL8V9KE1S1FpG7/km0DHPDcI4CR0cqJCEABA YEw2erSCJgOAqXs5USrgndzGcIWnUU/JB2sklSxj2Xo+4/PObeNL1u+UDfIMUGg3WGQYa1OUfNHl TLBjHC7iAALmacpdG+EFhfbfmW03AICsqQ+3/z8gqkOxyrHzOQkysJOFhJyNMnZeVz5+0JDgndmW r8x+035r2zxTKyyieJv2E+V93olt3K6+kDBLGdJWU1rfnKQQSgKRhPPV1YmSXbK58E2B2bmIkj2h dlexkt55bVnOEbDfSdsHB9y0el4OMGhImKwJvxHstwK0JdC2PxnIdoevU4vqndfG/cbpUTmp0aPm R657mazhHwg7ft5ZbTgU5v4OJXG9zdu2fae0MWvjoVTntG5bScucZcGwU+Ot0tFbbNJ3RluWoBUh W9WHB5WEmKgI/QeuM/rfsNGaVEJfrEw/eVvX+0Z4G2P9NR9UkTwx6NxqJWG5x/H295kEdkybw9O2 dz4bezMIJSfFekzMs3m7bddhEpXniHRH8Hc6261I1iYt9PbA2AEkwb3FlTQJjKGtBcQ/s1y0y3Cd vEya4+edz0agLM+kyt7kdAp0zXkntKrR3C71805nsxDCrjeq0ADEP8e94N75bNk4JnWP/HgQTK8A XP0g0JWXFbff6WzZjQoHxD1s8Mzt5LM7A20Ivu3Jt4pp4YBHVBDxAxxn2a2qJO9sNuL9pbqYiw9K 3WClJr7I+ZU/72S2LCX1rwYAkNooeNgcRcVt3IBcP06oSaV7OFnbcOmEBU01Xm/vVLbscvG9kprM wVI3mENDXIIn95mDsYlvm0gXB9jXi2aORZolU28bTRFCgNWUwFFBhGlJHr3I/Krv8XmnsWXzObmX G+5T6Pz6FIWIbZIi8qgOlFSBmMFk2SYhRHcUY7G9k9jubgO0wgdvbp+56CzDkNAGBewmUNL5FdHm QGbk8bZWUVTXdtwWzYnE2uQUQctxqUXS6uedwGbn2VOOxDk4vq62KN6mKcWZ+5RtiqiFqRpJ2S73 NuBjYzstjLcJ+6dgow1eR9pjjHPVUXXq5HDg+gn4Xsib+Fn12l0OrObPO3ctS2oafTvCbTlYcntS Zh7gwPZ83qlr+VEO1EZDdEMVDZuiMNre+ZHeYG47rFNbYHXgjgNpUBm285OCjWblbLSINPhhdGLt mTN1AzFVM8tXrSLKxQ3RudGaKm1JbxtQs6QM34jd4uCgrdGkN9VyxuNBFNnHV0KCiKc9KNIWhtqA YLUsFUW5DRjavwxyXrWKVq9WaWtRUVuuu5DV8ME22knpaSdLXaATXc5BUa4Ng4lG3WO4D3hbWzst RJGQK4qExActo4lesJZRGXg86P3f8xrrDw3WAdai0WuiSLtzp1U3/8wwBXDkf+vjltqKy0z190gb oQfyfgjA++Dn9SZ+kdRjcGvh70GSJA4jgq+oTb0eo4EeBdqJPcgh1UAOWkYn/ErSAzqrCY9HaOTv xj/cw3u/h1GP4uxBS53ZuxfaTuzqhTZJFKjQNr1/1CMQiVBIOCJ8sMNowqYz5S4JvHNYJ0YGDdo9 dZKIhCvfkn/qbPSoqC1RBBix+sA5AgmMrkBAWkw8HtG0PIKczIARuBYPs3sYZiOXhzlJcQhJNfOq AuVRMyY8/+dmGNIeFbWtQDJ5+3OwrPYEEgWatU2+hGBAYqslaiUBjWG6blayJYSkR2G2oLZ10gYF psA/VRjkdDIcThnKVHg+wP7N77p2nrwdn6UU8iFlmU5hMWYjrWzTWT7ThGepbbPE9O/8pCBlk9V1 ZpuNg3ORzjndTOz1xP8TCEBgSHC6nMCy0aD42lixU4NvCeIjZrQAfmsQkaTA9Gmw9X8+r+Pxvzij qtGtH2p12CRFpEjgf0GKrN2ykSTMDWA+aZiTeoXCuoH/eowhUQGJUJImaTXebBk1koRMFHiSmdKm eVoDRXYBipzH45m+eLX1qLS9RKttSkZQBKsSQ6hgcBK1fXI2PB/cbRfZLiLJJB7Zo8geBtqL7JF5 czZItFgUuekDopJk4o7r/KTfsv/emd9y8Fk65+VJYikPeGZp7rRFkTgH1xL0YN4YiS+CLwmSf+60 IQpph9ppNyWEtGSrdI7PjeejDffNjUQjqafp0PYeF7dxFlF8ABUjUEqS15F6Y4ONpq9gMmuSwlBb QhGFWBIOXtxG0TZD+QP/3tADpzwZivknXgIfAhv7cpKm3jc6u3mxZYFIEnIpovZg6HpCJRaLWy34 gOD0HhdKyv8x0Jb1ckj7e7x9McnExgtvWwk+quhJboFHcGRAtqdyy0U4EmVtLBnZcEtJBeopVDVf HQQ3ztOmtgzMK84VRaaEzDwoy9mjAneVwbx4Rf0eMCexnZVF/JPuTjweEW37V6hEkEa+jdseAbf7 EHl0mxbCSRWyKpEFmE3TQugZGAktpghH0qWoUVVvqwRVV7LZz5bLCX5hOLzPX0mzNET5qAuinFLr 5SKzlFTxLVGwxIOpzOKDVUnQ1uFtd+4hPB6hJG9a0liWBF5irXvHhVF342kk5Siqdsu0cYtuu3XH ISxcqt32qMC9tJY2m44c7h2XyFdhxe1MRqdWNdYnVXARNFFGTGXJ0e19owRXZGRJKK7qBoRzL1WW gEPH49Fa8rBbswQZ+T78ZBpR3D2Jnh4SZaGUDe9w1pIafG6EJunEhmOWRlThnioCLF5yHDw3Oafk 2XOSZ4GDrLnzAid40jfUKLezSaTTPULpka6lJLXu4nH3AlKXRyEI0Hg8iLvHd4EboP2Rty+kEcXd XeW2ZPUjZLhtW1VyJU4RXU7OCxmzPaxvqyrJv1mDIwAr8A+bcQB+exjHQc+V4jUkRQNE4WpkCD3w JVHldnsfCSVhFN+E1oIJBt2GwIbB83/1uBPLABN8FkcljRC7TZ0fIPUVBsCD8Dp37OKl26ZgKfGT 3k9uK5FMCtksCc0NJCf7hN2omlOJrKHHRVBOg303tffLStc+AOIi+I4ASkodpJFFmMzdGDeYeHXc zoXd8XjESppf+RvKrET12RRFQTdqpwiPquP/Co2x2Jfsezv+ryg0wFaLgm4H3GxWShaD7sGcc6D5 sUnehsvnFu00YU47cjmSkiwEQIEH3/EbCXA2dkk4GPwPGahZNm88/tdxxCQGONtxGwAjCrkFAjhh jJ9GrRanAMKb0yHJoxjfdkQht4LJtigWxcGCSeRVUIsiJulEUyVJlRM/AA1vCHY3wu0kuW1ElW1K tewMghMGdKu3ipIn9yFXDH7HeD5aR45u4y8If89xCe4jiriZ81XY2v28SGplN6fa1cFtI4q4JfYD I3EfPEjKYD92Em5BAWyFhFv6bIBl+jOvcnlmcRTfEWS4CiVnmT7Y7X8OFW41CKDi8eg08tI2Uxgm uPW51kLU9mCdjXpCmqPcq53ZZNm4QhtBkieQHBFqu3AFnVNm+WALCZHjRGWSJbcKzweW3FDcgXEO vW0vZtveNjqyiWoTTmKBq1Z1rZ1MRy6oSG9HGGzv7+MIlC2YPvgURbVtqNfRa8n3Go02jbeVrrg0 V7eWUVTblqQNiLYaKNdAh44JY5xJigQtFSB8iKrkxEkw0c1pd4pw7eA7guiIckj4eA4I1oVtO/+F NB1olXc8H/Xa8td5xHU8252jONTO4v87jrTKQJ660qJwYY4EROMchaG29DYAlrPBthqqs/CTEUii DxqvAc2V0aYAiATlRd9r3V43iLQpPAb9dgzo5oj3X/uGCBhbsX0ufEBQA3h4W8LmThxsnpGMMNSm /elOe/nlrzou+7Zp2+U/mTlqt4UF7kwmSc/VB5smwI73RrQKJgkmDCgv8DIQJiCoIGzbHAJ0bIcF btJszvlJIgm6mcSjV4YCVMw7f3TB81Gl5OIkK//H64ckB83SDEvcFCmfo2xLSBaREywCTOoSkkxK xz7mbTMW+VPblvuOg5e4sckA1CaZFOi3QQGcdNYN4LeGbR8P4XaGWBKB2gv33SgmJAgxiSEaNDSw 8XgwR4+GVOOyOxHkujJ2M4q1K4US2OhCFguAW1ZCW4BoB5akUfGe2K0TAMywxi1/F4GTqtBmJvwL Ts2WkB24uImGnxTXgJsn0awXuzVRj8W3RLktC5MAv9qg2w3SBVU+ur3g8T/JbebhcXZue5Skomg7 EXM7a3IOYCvFObd5D8cBnm1vYMkZMiUrwVvUstfg9aScie/LSklgkcBzCTJ/5/+2SFgcF3JDrYQZ l7kJcO+0eeGgOgmBk0Jvr4rHo2laX7kt+Daz38rkjOLtLD0JZ7JRpdWCyVVq8ebtBJ1QkxQWuSn3 1yaNdJszz6jZNiobkUhJTsh5ju9OCAB2DWy6HwuqDEQ3vyM6lBhvp5F9EKitoHfKePvcQng84pJc PCmJJ6iU4zS3OYoCblqdtCRLU9QoichTLNncDI41AZ+jKOCWUkKtApTWLxmgdXYY2VrgSZ4TnG2n BpNm+Jyez4OZoSsj035qhjxJsrZhqeiD8v+5mvxhW+kVjwetyXYnSQz3AnM8v95mjCXB9da12xBM wtnPctu9ZrJgMoEYyOttRlgSkUmb65OkJ5jMkGeHCREm6aylRfXRgegZ1swCSlikREvKGQXcVeqj mqPhcwTL9TkNlDQGHo8qt9/wNmThJ3Lw9H9GWJJOS5fcrypJWleVpOTuXQAIZdgURQXuJcxtonpN 09LnOhoJfHesaZGSMkrS4LbhuEQXSALbArgXe9vf2rewstSQFG8D71U220knI8fjEU7yu0JSALiD XbdNUciRZM+t14vdRqfWtlqepgxUoQThZ3ao/0eBpFby9MFWUUsL9yVNbyaMXE50jCkiQA3yNn2P n39UyWZU2S4Sr6WEsgbbabAoYevjHKZ4PJii5Ce2yuBIR4BztjmKwCSTAMAt2fGmqtvltm1zEDxH VKnWvp1RvC3XQHob2eBHdk2wSC1cRufQ2JV69tCU+Fn0QIKx561sJ71ugEnmcbRoOAivzi1aCBR5 Wuc5jIoSno8W0j9atpnuFneSQo4k77WatteRhpzd5IV3GcmVgS332u8igIPmURq8ZHv22apUABkd tWSi385/Pysf1p1cvXeSVtHr/lr+V2uag+B/536k6RoYgHzHKJD8Po8QDY56G24rirXTVo+kOPyP zmmcI3QQkye3s1nitiI4CURBkG8aefs23HaCv1fDBY9j+gSC6BfvttCv6Gi/QlrC5DZE/19hWbtK AIjAZA5C3FRg2oS4qRWPByTJ+Q3/I4/g0SRZYVkbEwupdM9tpyiljCGXASjgR+J7bYWhNu3ga0p3 8FD7XP17ZWrYzw6xyCmHTqwFmkG0dEFJyBjxHb/q/zX5BRK/pTO77KXTCb67eD7C/32jkpAOkF1n cxTKalcJSVVD2p5zbLkse07mHgiSRDJZ9hXDSTYZa2puTwa9Td0RLMJBVNKA0neVKS5Uy06QVH5Q VrrR0fpp+I6gqk3brQ10nA1CJTWAz/yKwOORtF37Po3ghpuGB0cr5EgW5f7ZJZJAQvdqZE9mrgEG ud38K8ZsE2uUFGWnryibDVUUOYH/m+dK4E6b6A10Vk76o/5HbNuKq9qSkTRxW6BNu7YaPJK4jAY0 W6Mw+zmNyMtlRWP06YWkFcXZqwrTVhxwI4QZm5Fl7GtBcs/sFZMkCbjZcsXdlyMxSQZD3EUBexBI 9xS77UxrJpYkpwdvM/W6QbVtSpNUeiSAUFUFkXunZf7vM+P5YLdd4lZ3uO3s5c5SFGhDkCYXEli5 llABdUmy4shbXEvM2DBLoSIJhI8B0V4+OHrr/BLnTcABBN8SYSTKOEiu6A2WWNlzvzvesfiW3yA3 1DW2QWktNJ0EAyydLxlgSS65HctmMme8ZNIVwrYpI0FoloptpTdP2eyfTMuxEjRJUaiNJhnAEUST 5gdNulJZG+Eaxe2gsNGo/4Pe7Q/FlAsSIKsjURcXXxLNEUWSQCQm4EatNvTdC8H50GFreDwSALh1 pGSsOPhF3JM7ZEpWctryql5sk585DSPIUKVhBMWMNEshcrtTS6qxcsvhoZMCsD1YIQGnEYC9Tbk/ yrbMH4JNHUmC3AnfEkRJdJhssuuGcM41aGkyDEY8h8eDs3t/V0jwc610O9srCrd5vZ0QuN0yUpvO lLyuUifSow8lqm0rLm9TkhymfzY4BxAGgGtRknwVCAJllpGoGpsgvwaygbVtC1XQ8S0BensJ27ab D6qRQO2yK04afMnAWaN89wDgqsndb5MUhduLkyQIndq2Nbl3xLlYXSapU5eLkxSG25160nnfwYrb 52dGPJGobwuS1qI5AtsciJ9+MljPHihRKHlF4bYFSuib2qCK5LmM6aqAf1Y8/j5H65JJs9jb62fN 6ZO0o3h7UCWp7HVzEtbu/0twY0D/33TJQ6LkptTmJIqbg5/cZx32k6Bhu51AKFMtfTdg3lBmqz8T DEDzc2Vte0fxdpMNUmEUwMEIpAAEitWXFh4Pam3tny4JQWA4eG2O3gNuY+mAtuW7DULxVo/Evri0 rWE0gB2KknSFiDv54EcSriEIJJsIYKUmZ12M0LGoSh7lXm7U/98hftsAJDn5IDwSZPM4SQg58Hik SvqNTEbLAM4GPkdhwJ3kT1qsSHJCVxeSOtFtq1Zq69UxEvsP/PaQ7jaxV7rcyoZ9wC7ibhf83wqd WiAtjsiS7PlreDv0usEc8XKbc95BidtJw7dI3CfdxON/sSQJr4WcNXoSPkkhTZIoCULLbLO1OWyS DJhsQBJfSDGQhEVbuFvb4Mf2iSBPDt2TXIEbtex2g8LEz6Ioz3yAyWQa4Ut+U9ycFKLWYCDJ0qlX /IMkCI8HRdvsEQBzbwC327otkh2jt1mpTVe7BUB9J90QDypLG2jvaJJC9PYwPYnpgxdt+zmFeidK 8txGsI4kSRJNrD4JanvKbUjA8B2/5SVTOHcQI38kIzbPp7IEhtBw4/m/YBLM+kCIwiv5JIWuNoP1 tsf3555OLCXpv1Y1aTRJIZSkK78VWfKhS5yrHwKRlXcbxPoLOUxIc1EDQB7fL3abCxDf8ZvvD28W G4yYdPazPJMrju3Q1uZKAEiU9Nxs5VlHod52UR3yytvvYuIIMF8nYoJwIRysmqJQ/4/HNZI8H7yy DVNYILVF3gIziZVtFihAjIIUt/HbNgrFnx1Vtouh//P2Qait2YmZZgGNj0cFyduP5LsBjDSvN9IO g21KAKuEYw7AVj9CKyP5Xsto/JEDuEMoidA2Q8i2sW9Kcg4Z+taRSQqhBLDpYO1y8gOUmVBWcrFN Hoz4jiCKlH8dMGQ2aI6gLLt0+2OrRXLbfX4LkgJ2Op4ocseVbRZt8+NsI92fRkny6u6/HUeGpuh3 JEmbrLZx8GXUSQOEjB1wtmdqKpPgYjIlkEq+QJI99ba/mf/A5dwHu9d6S4oLax14/H/xaFugQiM6 tikKxbapR2oyJHT9bdONJDZxoOb667T2HaFIpskj1Tv4FKEK2af5I6OIzjrBon9zBmKAWFJBkVmz 3bEeCe/8LRmg3f1Wa/A5Z7+2zIHHo7z2W2sbIhS9XglJoXbe52g+/FH53AwDtZ8YmNH39hhSpuQp DLQ7Nf3mLD5oks6aQikbuqcwIqsQyKRsCwU+wKk/KUQCHF3+7WvbGwdWZKyRVB9AhJG9Pey9JXtw Qnh+QIQk/c7aBn/a2wDIKZQALOqxocFMVZJSYapBVZJdUzeWRKtXuj3FGoAskBR6t3BwmCTo2hM+ xsRuZVi5INzmZk8QVC4ombgMEONtfE0wUxQAQq3TB66okzaDU49vXDPz+SgtuWhS1SY7jW4ew6TY 5QYUri1hUslu3M5k6tVRbhVfILuEFIK3qW+TE2vYHGyqOku4icqkC39Rpu/vQuEAtphQGB8PnEQu rSnEk9BVYiaJlGyWg7iozulH2TDQ1xY/IIiXroIrtyoWZ3lMk1JU7KbkD9h5hpmEBL2T3chEM5Gy 5NBbfFTQNCk0AERV0wafqb3rud3AwZ0oEjREIHQoawTeQKK8lKeNW+yNI9wkFlVvPMk56JjaEJtj iQloADwfMd5vGsdW/KZYbr/OCSmKv2UwBU6rVbxXIyKASL26m6HdIJXq+y8qeauXW4aopmM+6S4k OCsIQY0CzH3wpNpV5UJgkvtwsbLCk5JfE9lw0PM30ZeUg1VO0toiCW5YTKQQXZLX15rKoBjjl7wz FVa9qVd2kob2I5GphPY2TyokBKIMIlMZRlTKKSx7D2W7VAnk4BXds/caHWxJM1mwliboDaidjVoc QI2yByopbXvhiPXGONwkgvJN6ABWymK9DT0f1OGuEjd18s4dOft1vqNTdJD2bsqGbZdQSsNX1EBN Vyuq8XC3uy8KxSeB74Cf+WCbb2N5UecepzuMvYbI3WhonL/o/BiopdiJjgqUvubXblMWhjJfDCVU h719qeejBsGlvskC7yyRheXiUxXqlgy16HOzqTqZtsPfs7O+z4oDZ93OqVgokOfUouEUBwcunyWT FwGCQCjVRrN3GMpkELy3NBy9kEL9MX5NxDjFrdcSS7stbePXln1+Yy4wkF35/F9SgaQJADGFZewT FYblW9yu4WLKe6bmdctybV2pOmlmgZF8iSHf4LtsgwPgwFetg6ZTA2y+xjXVRRtB6WVeHvySm1KK Rbm7uinVBxXlMPnMXVppg88HW++qKhA0zHZmaus5zkOI95a0a7tgyjqdJ9ibAwVPfL2ST1RYBpcJ EOkSGrzPO2GF0yiFd1YMFkOjFB6UghlzAOvkLLha7IWjqhPFlCspzBw0Uz1V2psDajX5fJAKP1xB XHHU+B7TU+Ec2k9OllSK17wzcI5u9XKuJiupdOguubFiCD0hruJkE9UHg54AxJyqnBXHWUYFIlEm 896hJJ93cdHgkmjGnQP/SXVF3koG6/w2Os3POcvno2TvH+QpWC8TnFqfqChAbyyHW8eJGfHYlu6d eebikm5wd0+8wIISfWNSTxsrKxw4UQirIJwFcCIFqAGIo/07kOgNfTrCmEwQjzYmOXagVBkzjeaD EHEndqUwPXiAlc8H4flTfuItAAxiujqdOfagBNkQ0qLlpsVZhV84FwD1SoEllmZZOcihCaUpdBdi K3u52MoFByp4fbJ00AacEZoUloCFzGS53Xkqzd43mKdGxmCeNkheEUjZrUPxLN3B5yOHpe8yHRAw HURPn6aoKL5osYR81EuZ2doFsFhspo4DT1I/oEIfyiHPgEETIfE1tO+QDp/EfSPePMcQcj82q+By BajWz8pXznSMZu8bXXhkMlWadXIQWKfnwT4HLs7K5//adrwdcX3iD/ZpCo1xpiTNZ392nWtRdVZR VYcq9xwPrCgTwZpYRlTt5mDTVDAzA5k38DrlrKZETXNcPp1OrCjOWwIjpaUcmlHKPHg1RuWrsfjG oi80TROX09h8PuB8Pw4C7H6CG1Prc45Hmt2U7PDZkXHH6l6wkykFJmqoE9X1Ub9V7PpM2weHEKJd R19kHE8dzjKdE4WyeKIX9heyqU974V8av/DZvYNqdud/O6oIu6vw+UhG+LuuiV5Uzes5nqL6OESZ kNz1y0Zl95+h5sxGUDkRVHF2fA4NKRf9qNKQcel4oN9occLhvTMlRtdgEY8CSPPqsMIBs8PrdipI hY6UFpQ3UXaaU3boI8QqHiIRPv/nOY7/MWD246lHBZ6UMgBGqyV59rLWMoI8SvMmuXRuz+LupoEp ZTYNGDVSNPg8gWQC1QAady7gm3CMZygdbpSec6O2qay7q7/wb/fdQFfdBgVQoERRTqKdpc/n/yIS qMeH0OAWgXNgS6kIN0NbcXsxqo3k8KZi8gKgNi0vRgW+lGpBg2xp1MuLAT9xBuxTMAHn37PkvaXb wcMxARMMTMlF7vRmb/ybXD68ZHxQOpyQVnTFCIXP/y+4ywKS3L7yJjn0pqQsxAneDNcMq5xqEzXY atdEVVxONlExMEUriWUDIZVsQS3ITXRKCsMhoRCcWeEIA/QO2pmpXnfKZSdUVDIvanLOlXzQisIV 29Rvmo3PR/idb5+TTFnDVK61QA4tKtE2Akw++VSN5AQeKAFVg8ydK9xrwaFHJVtNvRaWhDnYVMH5 FXDwIY/KCdIcOuZn+4HmtH9gOngbVM3eN2q/CCxHmZMzJMPMdejLsb97Qj8+H0xUuihVxgEINcdz loc2lVD0Q9JOUzwCMZANqxI1zZwCZ/nXPIWYcEkLgCtig2PCIZUBMq/DVEl0bjSWPgE0zLzSVTyT lXdoU6kjig41NqhiB9vSLmpBn3w+KG7+s6DIeK0X65Rjn8rJ5SRtYW5C6QwQW7hM2AsIqLl8msKI XBKU1KDQ4LnwucJTLmQXnIDqRO5V4ELWy9FtZ5Hcjqhck71xFGwyueucqIlYitVNUM+z6uXnyNn8 gL9sTxmAs4eNaphPVQhVWSbCZP2qsmjpJlmYka1f1TNyNpuqEB4+ZMXMph4HO83Pvu2dWi709NyF NRU4GyH9xlFVehrPtbftjQOQQZFnBSssjVmFanZzSdgYtEM+H2l6fMflMC4ZpT9HVKzpLUXG6UiM 1ba30NN2mAEE3r0QFThWOkIcZQEfvAgMUhLsyZnlnf3CWjWKw2DQodaIGNKr5RTRz7FlJVkGiDZ+ qMec1XWCB+mukhs8s8cPiDoL3x1QxAdo+N+JCl0ri/y8uheidjHLGHSJ2wNZycsnKsassEwO3pUN fpaf+EAsNFANO2wLAaUfFRAx2G1gaTkcM/v7RulwpmIFfWI4GCKj5C4e/Unc+fxfwtVZhMwN/aZb Xgl9KxulK3ZxtaFzfgwv2NW9nboCxqDPU6g5yEPqpHLLB6eJFYJUE+nPA6V5slwIF2oMxx+rGKpl 80sCVAa7CStRLmal7NJeoGJ2m6fN56Ow/B/5SjRL+n7i8tC6EjoBVNNz/WpCTF14mHE5sSurdp+n uFSuHcc0hoPDDgachsneGeQAoVWICjAZ4jgikRZY9yXbRIXwlSoRVLnGgHiqO77WSv/NHxCV+Xwk gvZ96YE0iyLZnacQK14kzVQcwII6lOfDckhjPpwZPHR9VNTP6+L2Jh88HwYRCro6rGui8w1mIhQa USs7L/DQ6U0pLof2lZWeeov9Sg1cT2AQ9ya6JbrpgX8lYrD6feNB3ucH2fqdqCguT1T7TrteGEtZ hqlblJw2GEvZXq8LPCyx8dRGF0/DO5JofCbUxBoRY2hTDOFYCiTUJ3ootBKjKiogY8VeOELVc6YK qQcLWt0WNC4sey6o2vn8X2gfswY/58E53m6XKnSyNL/vtO5R3k1B5sQ9yfD2EN8ZfpSHVpZyauok 82hw3NiZcbhYEfED6m/jaU83CMQO4DHfXjpR4zn0ssyWCu/qgx3l55SlbLMq5YGZ5bfsNzvpA/2X 54QKzSw3W2lnuXqPqg6Ta4AoWrqeqMWNGnPgZpn/Hzh0UMxbd3BQdDlhBsgs1B9Av4Q2hBOMi860 APv6Yg66vXCAOZCbRaJYIwdtPdrREaex5+bzEZj1m8yCbGSM6x6fY0PLbBLyPk8tFceOD3bwRdQg F07z9Dt4PEnRioODM9KGQDZdPzfUoHBao+mJnzah44Jqgjc9u3pUoanlZNNzliLwL6aZoHGUuibh OucKyvyAPyGtmGToAq5H/jvHxpaVh/m4FPJJARdJo8xsl95JGcv0qYqdLVmtY6VJg59RUFOgyWvD kTQkt18nKqo5sz2orkL9aqUH3pYQFOAcDfnIAkor7/gGiYgq47OR+QER6MCBLFXaH9Rau8lebG+5 hItuxkioWz4OqEft7jTpScVJlVkCf0skUXJI69MH5/+gdA9oC6ktYNFQJy0R8QIpuYKmiqPt87IX jowJse0aSlo03esqAsBwqhA9DKW0yQ/4i+NKC3DIidanQxyYXJ5fiPYESYU7wliITbKsuLos8URf QKle6HKp8ynD58gGD8whQ0S3QhTxoHC8OFGg8OQE9ljGneksoNTtjSMzEHb0hoSJhwsTlw49FiYl HRXOwOgSN339mqhNr5T0rKgoMif2DN/iznuFikIS3mtXeA/3u6+oCMfSqEoAHpoPfk7BRGgORuZz nsW1aXNVgAXeTB0xZZfk0u2FI5lLee6oEwPCo3hPoGCpzwA7Z35A5CzzD4QTgUbLz0SFJfOh+CC7 QuFoV1RmCliG2iOA5T5RYWhO8kbaJJdx8HIUzCHhJ0iZq5lpbnVOKYgW5MwuAgDsXpDay974NxEn sER9UG+hQClatanV+XwUdH4bXgKtNwGF8YkKa+bE3y8sLCe7Ji9Irdr1TyiCbu/BxJaXW34OLfvg KJYMhstshiADa2oQa4faVkJDPcME05FRqpmHnpfdIFEt+yBk1CDGnPWAtfh8EHNe5lQRMur8vUiG 7lSFVXP68A1I6KjEORU2IY5DQiazQugLFQORhb6XnZqoC1grGwxADU+I1RG2QYo/YTJQu8ubHHNy gnu5/aostF1gfHnWBNVlaiKQhYMuPngiF1Gi9+TzQTR1nWbVBARfId1+emh92almU7bDDqAqeTkd e3v/szbnBufA+xLRBnbdXGqrL/cIAbbu/HEb3mhttAJTliolWlReAB7uWABMi/Og20wOvC/d2WkB TMfBLEL6xgEhABlgB4H5pTTevkzUkTDsB0AWml9SrNkVi+sTSTU68jbvp8MOxbK90P1SFKohb+uR rzjYWYokXVYzmkuLYjSw1UU5CpcDTIcs2xsKpAL3SwTXsgkRPAORrBA6J6aiFhrSPTTUQ//L9BQ4 Bd88W7hcZcccOmCio3Y+NbfheXHuhjyAZs72vJhdHs1UHJ4TY7dJFuJgacxJuzcw2ZXmxWAk0ZJv QXcDp9kPtb4MQJaKvW9UM5cDphQe4cupzOOsrZ2oqntyr8UP+Etydtth3h9ZXt0Nr3c6pUIWZRVM A6teKrV6xizc8TTRxvu9ZA7WtQ9euJsnDO8Sd4RHa5Z2TyIGHpuwk5Q3Hwej3GJJFfYWoNxng7Li 8+U0Y0cRsPL5v9TUMCNQDx7jQQMHJpioR+HYX3QmVfuTS0+dhZWHtT8LmSm8835xwWR9pc3tg/eJ E7rmiXH5glVbT/LC7mhTASAizTmJ81R/4Sgu72p7Th+EzzhBhxkYn6CGz//Fg+EyBLnzEZ3PoREm ZQkAyFG4hH9uVwtHjduy4oIGhMLywAkTbWKcTAlJjw0ebZ6/fRgecUPcYYEqUyd/o1QnD8NHnI/G 6jk0w2xVbioUoKV3/ZKREVjJPF7OGdv4Ae9H+dr/cKsASER91WcqjsvZgnE8FDTDl8XlJ4RuHm4u SMLaigqpnkxgTrB9B2+oA1FOc00gD05oRlIoYGlIitGIIWPZtx7l53LgiZntzuvSDe/o9Eg0ZJWu zvSJjDOfj7BRXpBiMp3xewEIeacqKpqvLNPH7CANvKtN1XIpKKirpOmLKgKzgGqElFrQRPr96izH lVmA/iWd8RzsnE10sdgB6T/r0Vad4gsFzpho6jEnntQPMdcZ1syz8ohz34MuFFhjornwbdSTwd1Z 426+z2sMVS7pk/UjyYc3h2isKv+eTj/jaXSh1wiquOFDQ0/OBr/woP0/spR6auuFZz3En7v0XxfO VSdVIYJ6jZ8KZPoIRJS2yvY6zln5YynnRwPx8xo9FVDI1B9ucjKq+Yf4ji6gQzQ9NDLaZTnxZdXs FYPFuFsaPahMa8MF09OHLFPX9MEPcHgaZmli0UasMB5oaN9OqCaSEmNmD0vn0uv8oO2yRc3vPhgl tuaqUtSJ5z+vQVMBIsPnp+qpc8n1bPPzGjGdh8iNq0RuGGwFkbTJYYkCirY2FIg1P6/xEpYP52c0 cjg4WBxwVk7u7EBRanZAKJscR8xYIUMveWA5iSN/jZYKukqUMMw8ioTCZfiNIIDfV/tun9dQCZO7 k00POzMnImiLrRZMz2ughOXD3kwp/QrzqImHem+dw5H24Alpel7DpAIqHrsGFALV4LBoeEUDykti PgRUKBUCJd3zV54YA9G/Tw9Cjs9rkFTQBaY1b54a8INpIZDEwa7JOkf1a4xUnlDSwK+T1tW+fF4j pGKUmJMcZs/gGk042SlvbNELUpCKdYBf4yP8OtRQz+JtcLBEF4qgiVkzjM4rQ3qIqbUhaUkAGlP3 /UX5oc9reITzh/1f4o5tMKIiVIVun/w1OLKnnwlCzDA2m+SYoNfQqKB6RsL5zJf8sx7C+WxX/JpS NnQICyZoMjAqTYXddgu7q7JlACQv1OZ6pZ0R5OjQ0klUUoM7hrc0Wa98DYsKdKhI/ckMt3u2YB76 WrPw1gRF8PMaE2ExVD+AlHvPc/7Rj49OCa8TZNde3ST1KSKi/qmu+VK89p0QGMr+Ipog8oHbYEGX g/edJhK2SXWQk1hNKszuRhUcImCEWLUtxoztNRjCFhMgpRI0t1CXZPMSRE7pdCCz6Z/XWAgHmDmp NrVS2JqZzZfQayCEI7rKOaV6ifLE5WYzD5naa6KCerIEi6MjWnJF8nWsX76O6DeBiUiaXYOGYyLH lbEFeHbnJLlJbSZE6fMaBuFn0OUl9th82GMnn5X53/m6/HkNgorqE5ohNhR6p/JFsxl6rU3i5qN8 WiOn2ggHybuXi4A3nkKAEintf61MFhkCQ6ZQEnPjkZg7YcQ5I3aB8+XJyEE5ADqcaGO4GDTwwqtD 5RYtVF7rkpgh9nbpNMyhCRnY6RXJXniGPGgwQ331r1MIxSssaJug14ok1m2isGyaVzqtmj7xeddZ zBYM8pmu5hRFQZKXE8mHg3fiUEJKrdA75fxkpaFkedIbdE0ygFxl9AcgRz+n12okfgVqXU45Fk1B Fyh7AddxltkATX2tRfIeu8c0U94MOONO1a+yd1YdrmjqXiDrfIoiLlS0Vu2O+oIav9EPo8vMfEFW 88GX0To5cM7COp9LkVJIGxUl9Jaw3gjN+Uc+/Z1Uh3UkG4cun/luPvO1QfqAcm2tDNBZo622ylc8 BLvBDtEtm6Qonk6E79bkh1HZybu6YF9lb4AT8iRuTzhJ5PS0Pny4ERHg4EsUTUyS8o2M9joEDEHA hiecmrqTUoXvjDqs/MLZ0WbjoCYAZExNrKjy8eDENtsr7TYkOoDy+yS9B9WOSK1SKjQck+uCrXzx XoOYXeG9gkmCnS4UeHS3zat1cTKmNMFCpMUcBMKwqKDnRH3vcxAulmsVFA0hd4Mp6t2q2sUHTVFr UBlhqrX5eBAWje+wCMbTE0VXm6IosK7G3e43LiLTnJttXnTA+XOST1EUWfemxqSYKuNhqjQsolZo CrLP2Y0oCZU1oNomKv4Fv+691JJeN8jMeOPvRTF+Dpbc9y5Je9DdPu9EOobJ1edI8N7yMxuleFRI D9YRck6cSNnxlYm2iGZVUIcHjxJ0Ypk2miTCK3ciMGmnC0PdGyllAUgB+ikVyEQGmCTJozY1y9Me marYhOeRICYEN09CVAxeuYucttEU+ryz6Cy4eg6kDCmNkdnr5iRFETaUsc8OW1c8jc7mToZerp26 oIeuDP89xM4G8BqVhRAO90QCWAZGjWyOAONODh1cgkaBnhmkmO3UHrQpeqfQYUdv+p0BpGSDOJml 6LyHZEL5vDPoiuwgLEDiJBU6qHsI+c6gK9bmhg/ljSFdzKIAN+pmTiQ/aZKiMNv8HKAbZ4NN0oDN cV6EA+CkLbwFIRmGSJf6I5DKkQdv3va670eSI75ZD+GglXTiLtX7kep83ulzRXhoDwGUtKBQVXyS okCbwlFngqvDcMYyHRCYXg8vpYEDZ5MURdqq9hMCbYPnIkBY5kES9EaaUtnZBbngB3Secz50F3Mq BGN+3slzWK7ASmwA7jWIwXOuy4WrGMdM6nw8CCT3LRcxccmIJPc9k95jbaOKow6v5UNnUHcqhrLg j2nugByjsmwUbA/yduam5A4HO5MAZkYDjM4gG0tV4lg0eAN1C3vodo663vZ9jlCigTRkHz6oopYW lOwUdufPO20OMZKhKe1IAgK2p3znKAq3ufLqrGNaUY0eZ5qjViy9Pb88bBg1R2G8Tfu9KQwOB2tr nzsZNn+9SPBjD+uYEPNClS7YI94a/9TrBpOELAtXYPZBR9L5Grpzwzltfd4pcwyxPGcjbQeGLsAd W90xirYVAJS6Pe8vko/hiTSHC4IV9ChVeIyi7c7qPrq6PjgudwKzMbKkm5A7DGHdIFpWUNlr1NkR gpktyHe6HJa9OmudDdvpwpr1fDwMfvHPExh+3tlyLG95kMTTC4rXe3vW9s6V48yaP/H0q03cHWqn 62yidjq5eLj/36ly9/4v2ZA3V815nJ9q5gnZOAjxjUSvFkgLS4wIlzi5bXYisQD5zpVjKEg9lERn hw7+o5qODWbZ/M4OQZR3rhwvN99uBGnj2jhxUvX99k6WYw+EFiqyKOIZvpJbqAiIJZB3d5vZd65c kRIryvsU4udwq/wlweBXSkSrQkGddF6UHijWVlGFcMKOFtN7xO2ZG/TFBfKG86SObmiJkdd04snP O1OO8zy+w8lyQrTWy91yUczdSEPZublhEQ3QrflY1/ZSG4UScCy98+SKePzYa7P6YFtuoVE0YMdK d8dMDRP0HnGQZsSSbbWrxSs5lHeaHOsAlbwK6s1yUNCNW5SEDnzz550lVx5Hx0a5Jlzui2KzmqQo 5kb1ER68jxQ/ezZCwst9FnuuAYCuPReVtAXFrU3q4O2qgyNC3lDPbKLQQykNXUcaqU4Kl0EJ2wq2 snV6p8ixpcZW/xJ02flAQDepxANbp/V5J8gVyZB8RUo4mGBY7ZMUxdyVvk6zmTsR/R28ClBGdg/1 VRw/8s6P4wXHnVZY9+dw6SeznJyw0ESlnLxnbx5MpdJEZRE/1Z7Te+l9g1BJx3aShnpy2ctz/C85 kp9raH3e+XGM2f2GE/epwOTOC9vv7LjiKk3gd9ok9d6cpNNqcZIO8cWapPeYWzZaUPWghDoHj7kX +svQr8EJlQblSuB7mRitJIq9fDmEL73v+6m0eHqDzeKDECPgOIjpfOLhzzs5Dn9u/q4nTUSSq92V FMXck0ikTWCLUlwi6ZTizu39kZKn0cHfmXEMh0nP2Sxyc7BQKe1zoC0wAxoa8wmycmR8nSBlwn46 Y4959laGXjeYI15tFY2bM8BcZwimDN0yUiHOubA/78Q43i/1q1iC/JFFHZuksL69JWO1fbs1oUYY B2wTt8J220aleKfFsfbMeDKTZjnzQ7NckBHIYOQ0Ef8kLbChADF438x8+ahMLz7vtLgiL1P6qHcf dG6j/9uFhMh8x6hY8k+F+1xRvd7C5DslDnNELuoY+/YAUjNI24DehtfcIGOmOXqPubNJ8aJI7YMW EmSd2GhrkpnfRab06I6jq7HN+Vo6FUTcvvPhrFsO3hIPpOUHEqRwi4ju5xcZn3c6HNP58TVFDWkJ CFPWy45C7rboMjPL8FO7VOPCwULd9fU6kno1s+OQG+tnEemgwfbaQriKxUM3vgmfeDYM0N6DvfoP PHpd5AvFxc87E47NMp5Dnc2A1S1khftEb6w6tHPqf96JcOyTeHpragJnjkry6/+dCFfEbEHl/xo6 75Gmn0e9OzKSklKao7C+jVDyJB/pDraMsqw7mbpBVXEOVuUIB2/0D0J9DrkqW/5FrxtNkgxUSMTh oEJJa5QuER7s886Cw1+b5tfFRsPSftPbdxIcqzMsAVBfx/DIxXvaNBcVs6s3J8G9c+CwDfiXz9G6 D14CGAhOKykTCEh3El0HHnfYiOSo+5Hdht42yNwqGvWJ3SQNQo1kxHBCF5fyeae/WUbwRJH4GRus fWyKolC7s01SVnEJtNKaQ7a7KIOAbBfqnaMj+c59u6UkKCX64BUAoK121WnUIN8hqx4aoGML5zxd oDFTtufzzny7CcmepOduZAWiYE0YLXLlnh+hfN6Jb+VBQ17l5x8I2fkkhaE2jmBMQrJJMs15TlJj fRIvIjYQ11FU3k7oAQwJXY9H6LpDpSUtiJwA/Z8TTlVWUiCWU3Cflntis7D4eae8cYoIPhrycx7D pc+QCXKnnNVUPu+ENwbat5GkKUpSL7Apeg+0EW8IqJ33j5unlOqA9taNIYGelMWQ73w3yxlR1Z7d B0f+nw3Uk9hJsGHcTTc/Tp6OVZvLc/NPZiPvbLci+xHcZ2v4oOMIxC7pw53f5vNOdsOCuMcRgQI0 Bn2OoyjOpiglwApe2/5iTy45PZA92bxs+051u33bUWgzz8ErSefqp3E3jqOTu8moB2J3PK2RwAMQ 4OxJgvzeqW68Yhhnz3IHlZLYfJEqI37I9zgbS2J/ryRMENm9NktRoI0yGHR4kif/eSWXla9ruRVv ya6S885z44kkTUZaFeABHA8sboOUNIDhwyyd3QVtP8xSp7c8rtBHq0O443eW2wWz8RzDMN0HjcE8 w9a+Ml/yz44bkv/zMbNdMOQ7ye3ebDV36yUVs74gQ0//pLAuhH+1lMLiNnVi+yZqi4MtpT2RULUi jhsolIQAkFhpcC3EKu7ngNrg553ixkNJhAiBbbADmrG1eh/ij5xE+vNOcbNm1Ff6D4enISc+TlMU a7OyAg3A7PU2oLwN75+MxQz15umoyKi+PbOMeOvy4dZuAT8DYxvtFpgKSPnbKBncbPsKoUot4J3e hp+V8sOYJx+snQTDV8LZz2d83tltfElfSwKfdMgO+SS9k9vwpZtQf8kuEnm8azYW4Nmw0xS89mZf EtDIONrW0U2lgFGvUsAcJ/ZG6WOxkIRwAHuyNTrybdSy87pC8oPWBO/cNqKHcWZTzp2DiaNX7Grm uCeIn593apvtVwuTiJrKZ0n8TJRlbJpCQAk7g4VwTpIldefQQ2URPg2yZM8EpGItvXPbiDwjgF38 2/bFv50nPW9pC3WTE3TqAbGtIBvmBPwKPQocwk5Rqndu291yfXXxIUgswn2Oshn1zs8yXp93Zps1 7Z61hAsD2GifpDDiZhhQpMRMZnetWlZolmShAStwY0uM0ndaW5Ei9omjJ5eUXPVsktZAgiYAF0Ej NFE9qwZqR5BtZsn75m5d7xud3oQmaZI4KOhBxkw3nXNd9887q608noWNrDZwTtqcXiV5J7UxnCTX tpq+yznHJVa5ECtl68XVQU9X3nDvnDZrjeJqK9sHP7wrVeCoOowOYhM/t9DSMQFDVcpwxbxMP6nP O6mNpa+hAKD5ILAtdP2rzC9L/bxz2ghNf+IA7s8JQkT3KsA7qY2lB3YBVrkZ7mxWcYMk1s1w6UDK cykscJPztzLvOA5PSDkxPeTcnig1N7ImEtQMSX4//9vpGa4qJe+UtuJucz2lOyh9m9SXw/fV1j7v jDb2EL6LSei49JU8fXsntLGCRZkXBhoMllK9ArF1eEjZ1252wb3z2dgpYfqWqdc1s+t1nRzwTDu8 iqijO2k5Q5MszMyAEsiisWORcMnU2/42RXvlOyg1IaJEZIc9Pu9UNu94PAAu1JHAD7MpihEl9ONj TZnLKJcyPJ7MLqxEC26bohhRgilanRg3DjZF566jKxuxSbWnPaq4buew7hDYWuPxB9Uqimrbjt+q 3QdN0Qky6LRMafXPO4ntn9uNcRIOwgGMis1RFHPT7gvlweSw2/4USpIZYsKxOBvI7Z3BVlyl67z4 HWyOQNGenAzIvp0thQUP3SX8F7S3Qen2dhsu4c87ga1IdHqQBOeDLaMTaGy/JT7v/DXCty49S3Zz yb0HOEdhyC0gYH809acZPAPj1s2i4fy83SjbLQRvE/k/VSma6QpPnRUEZeZFX8cJ6WVhBTPEXDvt B7jVmuptSW8b3P5C/lelcNVYGAWOl7LeQVCMx4NIsn/DSVH8aQ+ctIXhdqZLMcXKVSfZy5dRyvMq KS3H3LaotJ3ohzLAXbHBp6gsxBeqt2X0DImqTAiGGkWQS36629prIZyECLeUiXBLrllxDqkKTQx+ Xxl4PAABlPQ1RyD0jHK3Wo+ibZQ+UdDe1x6UcahJSYzqBbfhNcn+Hm3jxN7cY4y2OfiJXVoarPPj ODoxZScGrmKHdSKMEGgr2JbjQA+JkOyQgFTgg5YREkXTh998PEIl3+OIYAHcar16gNSjUBvxyFk8 KflW60SYsd4G2JjX2zZbJJ2fFGS3i9pyDBE1WL3t3MnznLVdJh+QEiBEAJ3gH5aAEH7fEgDlNnpU 266ULKO1jQ2aJNT3tjTMTkbSo0ibJhycEupRoaMOPIfNUVzbFn7E8NpwQmlGtlm59OqpLa4pXms9 qm1LqbPLMKZfwxiWSCYQsVNASeAbkbVluhygCAp9t7uSJI3Qo0hbldu2uaCarEUZaudi9nInXCl4 PgAmLQ+1meRlHL6IRn2eQmJkZXN79mEZSevDg22o+PCfcLaAzTTXUhRsT8G3tjyt9vW0WgmROjYy KiXoJMHFGXaOnCcEfzylrHxLeAi+JYiRSBpdJUmHMhnLER9mNPbWOx4PDu70fSghZOio+tkkRezI wQJ3mgbaOn+LGDiLPUnHb+E+soykx1gS0mqF3x5f+O1zKk30OokDPGtJ+MjWCXcBzpzZiktL8XLr UYlb5qBrqPEG3/QqUQQI4LHYeEKZieeDSRpeJzF3TAi73ZUUx9qVhbbqwvmVJUU5g6Z0C5OJHgOd nxSsJIEl67yDraSZOogAmxzbvs85C9ESSL3VH1pqZrL/7FSi6GuP8NvK2ghDAcodJgomiFCp+ggL irrx/F8bLus5VEyepRQVueFPmfE3LNaNgLhBD5jVpEFSDs5ukNGbzVIYbpOVlGH6aIOdS6j0FM4E 1XAzkIEouYHGe/JCcCNAR7nkpKn3jcpJwkt2KQGhRE/43smUt5mjgSCMD4gwbt+JGyi+o/bLtn2P uM1mtk5ymQ15S0uBQrGYTbgt9TWA9eGOiyLuLSf1IhBX2R4qAcVwsjZIEgFVmtbG2QvwzaJfxYJm UXE1t8LeEL4lmCaCbUYzvxgLNk7ac46EJpvLPPF4xLj1vps6UB1syTtJEYQbbWyUkKgntalwA3uQ /yQ5zE5TUcw43LWWIjiJKpNtVRvYvSBjAs4B5B2fSTr3XQFL6JzmIAKiDdchWJdv6w21PXxLhHHT gZS7DyqUFCB8GQicr8bjwUp68CR0HQIupK/tBdweht00jyuVzD9aMJAxzqJbnQZ6PzEUxR8ZUkZV 7pUuEfAfPiDwpNTaaFZ0SwAFnv0B0bVcMFmVamKqTDJOxLe8n0yww0RSInVzl5o/K/ecL4wJQVfF 49Faml/xUgbNfkgsn/TtKPAWgGuW6Yo2czj9ZvZsDoUVMnKW446ozK2a5GqMGDk4Ngm6GicEoIXV xEFLPVjCmCXqghxXxBJJdo8o8FZhUsQdDeoEnNRHrifndMt4PAi8h8+RTAv3D3BAPkVR3N0Ndzuy xUo0JHZbpiqKCfEUFlOOuMRNzmRmctbzpd8CK9FQduF+g6deIa+k84YjWhPhqKuSoeWIL4kok7rh ttG5zv+Pxy08fSX/CYFrPP9Xq5s9pTR/Zp/exR0hhptyP/PK/TSS0BUr3S4cCijL9CVHVOKGPSLU yom/SZIXH0hOFixWd2EY0KG8VJea4cghEPOWla6VAGjs+I4AUNpIKx1LCdwqFk4CPcUDBb6+eDyq J31HSsBltXpzkxHitzsPpGWa+NB3HU4HGJ7VVbqg21aLYu5dZTuYbaiaorPVTupfTfz2/L+Ejf1j ZpZnGTe4KN8IABUefMdvdMC18/LBUICzS3qgQQNwhOXtR20DwQK6mX1cGOCIQu7OkLvxf8XjqKls QqhktXS39tndGnVEIbf8m0dlAMDBQ+6F2BI1xAYbRZC6KBpFmzYy6JH0Gvd2bnvdX9rcEPHeHFD6 2CpM9tIJqxu5ZDz/V2GSew0d7pH9ZhtRyD1oLsRbxvz0tmtGORGAVH3ov2ivRSH3YrGtJ9rHcrAo CXr+CJKpktjbmXmzHUQpB3wuKLnZQtpUAxgheHuryS1v1GmYVdBStloz9YQReDw4ji7PjYEk8lu2 3TRFMXib3dtVXfpvCZcsmpvJtwEAvM1/aUTg7arSf2EMwMHWUaWEFpqrWEcDqp7yYGgE3sjm9kK3 q942WEaVNVu2pjEUSUAt2GKyCMDsdoSx9pUCEJ+Ese2NI0dU3m5Z4kgmMnZegiQ+UbiGsSaA73Lt qBEF25a5law5khsG99pJ1uAFOOkZsOdSBROqbeftJjo67c4R7h18RxQeEQcI92sMQNEI4wY7Q6bw gAPg+YhO8l0CQLCFpNLnKIq1B+VtqkhI/CcripyjoeO70+KzWydpxLE2BYBQoLbhYUqcaxEp1pmQ VICn2xQsx2yCxw0A/91rjCFHGGoT2I6GJgaaPCSxAM7HLUKV1nkRfEBQA6jfuBug60Ep9FkKQ+2W RXQ348qWevEIaUviBhFSQV9Kuy2ucJPAJY2b8qVxc+Ke81ug6QI+CUQ8cPmfYx3zCRH8QvS2OQVs e92oc6t0rUq2Ff7gPIfmuSE34dugduP5qFDyLbWFHt0CyU6TNMMSN5ESk/r6UuCuY1kJYCluAquU PASmbTOW+sMaWqnewakS8C+sgJShmnSOgEqsV4J40kDRRAj38TBvZ4gnkfBGEzGpOTEJ9oVJRown tcLjwRS1b+Ytmb+w0rIpiiJtnARoSqLQ/Z/MLsAwc8HRyPiUECl0hZiyzZAwKaG2TiggB4u0S0fL BvVs9G03/DhwsRHrlGmbnWu7+C0k6HzfqA/AUHuv7oOutp4a5SbReyl4/K8jqSgsbzDzuLMUhdqC 3KAe4jFSc8mtcXZM9TPpEZQK6ZLUS0JQ7YPXuFcegwRo5P8nJK4ESiRTlEIMD/0/h9xQMmH+XuOe ssaZ2X4L9HoSDYvBT654PJolP5IIzxkIYi/FbUbBtnq3XYLkjAVksQSYRE37Nt1qt/72DAvcpoyo Em7bF01SwCAhEJKWXfCPIfIW4lUDWcxjRZWJocF3RCcSlRKalMmbCVedUB7EA8OSLDweSWt+323A 7Y95BZNmFGujzIWj2vmkjY4+CiPbVd44P3kyG90Zqv9VJbQ8kHq+tFuoP53dCmkYmFDVxLY0tNtw 9RP4u8tV3qAuNL4k2GxJyC2WSTgYOBkSZ8zDThyOx4O+ZPvmtyHGGu0qk82wur2EaUveAjCBxMXq 9rTqNrLVqpttRkiSvgX/m9MHjyNJ3loy6srnz5nUS1qwnZ7Q9SZMwmIkOlPOKNSuvP6ftNZ9AM7Z ZGCbE6nh8ahmexVcOou2iLWb10dmBCUZ1LcXAF9oG3kH6jzKjrY5O9Ji7RnVtsUn6Utm6Ou6MYNv uU/u0AjgbmevkZ2DyxppJeZrPNrROAHxHdGZLXyE2tubZRDEzCcLTKbuM/iKf6X+XDKo2ABKY1MU 0iTpjNedigyj4eUZW6F2EatsBaLQ2mqhCKAcFuXDPB4fZpAiJnSEN7Ft6PeDB9c2C0AQucGV+I8y 2Yyq2oVbbI6xfLCdduIj8SXX5uMRZuuy2/huYG3NW4mcEZJk0nAKTgYObMuzOb1NxpTkC/fix1EU aofg7RPqUXijysIMprJI/TuN+5jmwMf6VrWTXjcAJUtLqpECCCShiGoF61OSEuuc3ng+WEj1zpIa KxTav4d2yJPc5Lfn5fz2IQkOzlLvyzFJ6OFqs4XaJIwNF+MfDbaS9gY/vgkocRYZYCRM2lB8RZk1 Azjjs7SKXve3CGkXAbecklE6hCuW9Ns23zEC3PxDlEQtqt5a5IoibebDJzwtd7elaXMkcIOrJXUr 2K4ITDKYrsKO0wevac8OcoHCSAiKQShx47/Timf9f8K+K8mVXUnyn2spewYt9r+xhrtHIFm3M+qY zQxuT58ks0CIEC6goeKqG5IAWCGYZJPZhhPNBmMmrSH5FtT08HhAlLx8EsaQ6AEDdGNTFGJJutR+ l+f+lMeyMxunlaZoXkGpFWJJhppHPLo5eHiUThzfZbSx4N+RJp06sYIG6zjtgSThPfAdUZlN3UhV klAjVJF1Qy1d/NBdC56PJG7a94kE1sJAK9YmKRTXrrTpvK6KJwu62uy5mDHeCZDQXNckhWASViGn pG5m54naVLAFO6bJjeTshbqbBIJRVkKtHAySGx+dZYXviOpsXEckS2gQ3gYsVYbZOADxeBRm/z6P BhT/552jkClZqHFXb/t/l+uIsNLuTy3SBABWiNru2mt9+2AL6WzfEzm2KXDbWbJoPaJL0qmVtClV 7Jc/sW0rLmqb7aSMhEED4jU+IOfBhAuqjng+Oo+8YUuEd4Yi0YC/ps1SFGrPqWy2LGshSdNJvUgJ ugw6CEObh7MUUiVZ+m9CAXDw9n+jHmPq3G5ZuJhz3WGXbYb6aP5ctM3U6wa1NokADyX/0JiXMxls 0RIxfH3PjOeD/Xal/i/eFhVln6Uo2E40nVvDWO34T04Yxez3ck2p2rsF2yuWJaEOeYb1n4Y7S+fM nuCMIKOClDQETOrgG7NBjK9y17tEOfIVYUmkJjG70IB9GBPwJJpDsffJSPiSEZRk/3xXttGNnB4A rFhw2yCktuEaAFuXvDWabzhIFGiSwmjb5A9YTeLgNImFK5PipBSVWij/nOx/UeYWZL4CKLcVkuhC hG8JJknKW5VubtQ6lG4b/OFETDp7G49HOgBXU8qhW6Sr2yxFAXdZEgOu24ptu1Dclr4REreFZnhB lKNZiqHbnJ5MMknNl0wyQdfa9OyjCxBstgkk2UDzJOhwUvDfgSSI1PEtUZxEfgQgLhyy27QgAzRF qYrHg8N737JtUp530sZ8wVsrJkwuypFcogRURC1S2nxlCQFRyQwFtxXG3FxFwIH54BiJsxYBSaYc MKy9Ks+lBLehnADoA3rV+rYF/px834AHwFX0X0xppW+h7DjPH4DHo/7/Pb5VnPuBo4VPUhRyQy4W uhuXBgAPTpukkq5m8pBbNyYplt/Omp3pg62ldA4N5mrsAfSWyLg5vwtSGDBCMrrGHixRMnlFIXeu 5h6VfVBRcqN3S4RPnhWPR0spfyW4lLqbNwzYUchtfZJ2fRLwo3tagnaEkjdorppCeciWZJ2kwdTH Bt9vC+U3MPaQ4NLUgFBJNJ+QIAKQdm1dWdzeUcjNvga2WfJBdZIT7zQdM+fQw+NBue3CtoQBRC2p X6rEfo+58Zj4Ec0328mxppck6/RwMkPAS3MUmtnIRaJKFbCOp24LOQO+C07ulRLutbPZoFew4R9Q 8ij3dqMRwA7x22wiQXXPB+GRoFVJ5EqbJ7/d7zG3VYVuaxs99o7byeYoxG8D+VfPnZGsJpn7lQHa e5pZAv5CX0dxyM04kjASDd7/P9fOLIkaN/Cyz1NCt1T2JROwJO9tF1r04kuCOSJzG60pHwSSwLVg 4Nu+8XhQKUnXLgFHQbZ6u09SyJTkJKHN4JutLT+QkqInA5K4HUAUcy86JXQpJ3PwmBsid/C1YZsE OGv02SDJfc4DehjMB5dMGiq+JCAm0ZCbQF0bDCR5Elw28M/3VDweqUp+i9zQx2pdMeAdYrcTb7Z6 TTf56ka6qcnU26APZYf2DrHbqtgW4UnKeBYSqLGDh/Y4dwR4XKyTwLqnT2Lanoobfit8R+S6Ifvk IsFkKF3wyoYyrA7tExpuPB9httZ3wA28FnSJfJJiHUCGRmQDG3kruf0P1Go0SZP0YU1SCCTpErod d7BJapDboIAXWpJ91cXWbqLIKBux/UK3yZ3BdwQxklqSPXcfVCiZo6YpQhZO7dDeJq3vcDvDM/Dm JDsCkkBym9Gji26BsWdTZPw2goVIDeMUhSqArKKh0++D7bXRwDEYnKIJp5xMAAAEWOCgAr+97vw2 do/xHX+Kbm3RJPbVuUdCyGUEphse/ydPUrU5VG5vI2lHwTYR5jCwzraMimuUApfJRJfnUePl3/lJ Ua9tskBCJM39iRuIMSfTz53Ilr7OoyImMd9vixGlyyUXGhbjOyIuKYEkbCdpMGDbibDl0jaw1ULd 7Zm/77VGh5tr4BIF2sz1QHi68naSKQd3a9K8itytkZbRJPffmG0UZX2wZdShKj0S5ZJpP7eYsuQk 4YT0A36hw0j21NtGx5Fh/6YP2mlgnS/B2uvA4xHb5hf/H2ToR+N+R2E2FdBP5Fu1XoAgtQ43iofF DyMoo9hOi0Akk21tyGr74Dvt3IknIJ5i25zNRFHSk6qhO4GOsQhYQiKzartjTRJWtDNbbdsrDLju e+MV0c5exOORevs3kxTOMbAEtykSZuf1W4caI2t5Wiu7G6W1W/D2c2BjWcqaPIVxdleJhFoJHDRJ 0JA+UTAVriabMMilqSQBTTJikeBHVKdc3Ne2Nw4syYgfbaCMYMBFwqMapIEqxc0TjfMD/gUkFcaL B8S1cEmhECCSpnO/52m6JKURbQNdknOILiNJwHHFNNxTHG3TDigxDuBg0fY4Wf/Jc1CWhcjdWejU AEj8pgTpxMLpMi0ghtv4mkhXitgtcZObc5Op50xy1Q/a6Xw+0gH4DrgzPOtXuxecfGHCaBIlYodK VravROB6qm4NB5ZsE1II3RZsa+zmwyXdnECmwC0SnrYnjp8s4aZC9992DrGCTtF1Ic32xn8qb/Qp FgDFDrimWskkdiD4WfyAIFy6ikDsr6BHBXSIz1RY68bhjMt6O2BCPkpommz6EUiprF6rkhT7Scqi jExuDjZTJx49twAqVBCGGRCXlgI3omBgZsG8eVI4OQOkKPTuRCbhmvNB9RI0Y3TXARCA5yOkmxdy acoC59/zQVeqPKco/AbSD3mcIwIBm/SDasziiMBUavXtF1W8UV7f6Kl1H5xgCkRBR6MTpm6DlsYk KaFID1Al+owuWFbIQeDXBO2TIT83ekxyUNlknm9gpxFexnw+SFOqX3pEvxbgpesF4aos917Qz9K6 ka0ttEowdzioJk5J6UydHzKZzlROYdWbUuVwJfHBMxU4j2cI+qO8NPEV1L+bCC7py4sbUDZBJaVt LxwhA9WF69kH3XzodJK8jCiEz0c+t989XWgjM9DzeQoDcVSYzvVtQMkzT9LC2zQJbNqHaHuX4Vdf FIlPinFSF8EG23yzwfYGVxZoOGPmQbhS7mgQU50pj34VuaBupq8JoihVTqbEy+f1nDhZ9JYa4pls Ph9UUB6lQC2ps+9obe1TFcXjoCYDYlJ8qoZMy9hHUfoCgmOliqbOqbD6zV0HlSofHLW8sCKnbEtz m7uR3b2RzsFyUvZgfk5BAUJfEyFOiO2q7POyvGT53LlgmYr08xCfD4KEcQFwRC4BNZW+jqkQczLY JshPdqdFRbng5vVv/IHXMzCSLxHTtOsK5HC5XYkGwyqlnKw602B6oiQGNMuPdPAFE9z+vlGMQL4p tOFsUE3u3M0MxE7U2QafD/beLF8XHyWoUttP3BkrBhad4c3mabJbKpagWgb8G5Dc2zyFNXBfUc0H Lzl1Jibop2DKKn0xd2tAIlN56dx+83LgyMvFtwQ1pyKLDjafONhEtXN7UWFhMOoMTXFa+gqmRiWD 6e680INSngHnG4ziVdZyx7eTPKVhmXAdY7q9Ygg94ZZj18EGA3lD9vtkSTTqmpBpRqkJzYMOLnsm ptKFgxFN2Av/JRu4lsherpYCwJUg/D/tbHI+/y9Xbh5vk854+bq6hz6UjbABFGMtH245X4tFCh1J y2S4NU4OjSi3VMyZvmnQREEa8BzdpVGiqxaAgNjwhNRKQ3ROGJMJ4tHKJMc+lHJVEI5pXRzTWe9b 1DLIp/L5IDjP37wKoKkHKNU+TWFsDtzgmRr2m6rczUxd6dxSwyRfZkULmGWDHFpRLhMQXtUHCw5y B9+eSkEDSq3Q/0BSDOeJDoAl4nifpuLv+xdQZ4k1sFoyXiVMGwT1Pst38PmoaeDLaRsD6rzC5Z/k wI7SRHVRmvPyCuQhvZCJ0rXmKU+Xos6hHyVasECrzDvYvhsnvRtbdlRgyNNTGctpkbqPbGteqa7h 7/uHvMJZ/AAiaRBW58y/HU8rVz4fbbtfPhQwosYa8Wl6j8qxChlrpqtEVffddUOQQuy6DiyCTVNI r6QG1xQHZV4OSjvhJFQUMnXNT+56wttOKSo2WnFJZvRurA4lnaUcelIuytnsE4NrkKppORfNZosP PaXN5yOlJQ/KxTPolBu88xTJdncZduTpSkttXfn3NbqfThOXkW27MCZnO3N26Qj29Oh2Q9h8glRO RYrUCuWoEjrgI7HO8wVr6tNeOOJ8dxK1GFJwUMEOPmNy7T4fxOejRpRrLLDHgmAE4s8+TyHLsklF 6IK/zhZwwM4c1RPiBKinAs3Ql1IaC0VilCV/x+QnO6iUgGfQSYkAUFFOqEEtobLQqLWinapRoTEl In/E4rv5oHwYdG/DD3DVRyH5zt/FKDKGv8OCUNaEfkuSdpSCQMnORt1EnSMgP+ne9hJLYE3pwtR1 UOWMg7d+KcDcqZKHVnmVklCl5hAwAblR2lQG3rXaC0fXnaDfffug8Kn0lllgQemDzwfr6fGBxz/e iAy+1lMcjiPMTGkVL0WN7timQnN1wuTGU4oK3CkRZnIhUSlIg80TbC3AAaYV/JkuYlvAb8LVkBBM gM5xYTvd3zhQzF/y7xLYYjnY4iQ8uas2eUIEPh8lLl5g4ZyApg/lGp+oEAVe2XHprrbgZzpt2md3 PGGr805UjEmRqgl1Jzn4ggJEO4mXskm/KECBZbboE0iqJdXrUbnshIrq5dYiT4yjOGhFLVPSAte0 8fkgcyl+QlGempqGqTxenqFRZQcTsY5xp2qwrSQzzzRsqkYXpxCVqNCpchG+2wTR5XAxThRylOX5 +UsXDT/hKEHu4/gBCud2p/x9/2oFS7cDQ1fEeNLrJrp8PzuJz/9LXLAokv8hGNGnKayXI/JSO0H1 8rXobp7ZPVQUrj7n8mmKEeGcH5steZALEd5OOg8HL0JUkS0SEd5BcFrAZs2WrtaZDL1Dr0qpnPQl vXNCIFmuawMqHjqcJ5+PiM6/bBgA7GZQ7PMU6pxAeKKO1hxYWKhhpA5MgnoFZeE7Kp82T2FETkY4 j2wbnKYCLiGdhCmevxaDdqCTIHgOsXyWyO2IIt2OXxPV68hQgUEUBmTT5PB02BdMgefX5gf8Kygn cw4XEJ10baZilArbLimJCQ7V/2HUuRNEFVWDQcHJd+OF0HBF2pvQcA7eV4Ao9UKZFSXgE3sCsbvh PQT4MLTDCuqm99bb9sZ/AQwGcx4MQ1uPivNV3gFZrxlRehxhIID/BF3lKQHHnpVFYhXN++eQf7Kw PBNWTE5PQllBYXlgWimDOgjZc+9xsDV1wmU4oFCv+kw+8DCJhBVWDdDYQJPJa+XU0M+xayX9qtC4 IPcJVAGuAgR9jVCmkyFNfsC/pAazxQe9X8OhHPpW0u3v/P6X1LslCcM0b5kPEfAqvftEhYAVsnph uOyDwwxP/nIylFQlpbu3oTEgfHp2wvnn69rprFztfaMwilK6aO/aoOrKRM2UYVSvjc9HvJXvLjGw DqPlZ+dFYXkjHuPcT8YRg9WHV+vOzdS8qtkcH5YD60oH0q/GIIGDZcNw4irVABknhtiqpldUwBqn 5/GKYRORXxIgMqR8DhtVG1Rc6ZtHHqdp8/koKv9VhMJN0vfVQM2heSVYOWwMN8etrNwdt6KqAXEr 6ALYPP1tqjOa9l179h2K2SVvKuqOBolApi8TTcQJ+Z0MpLi1XrJNVAhdaapCSZMJAiE8UsDWljTz CYz1lkFs8MuaEZxZ6WfbPEVheRdKvCWfp1Zz83RYwFWCVxadULs+KmrmXTjmb1TmPvccXJNVrDth iKrkcHv9gY7VF5neROJyaGBZt5T0d/dBpENgq5b8PtFJDxwsxfi3C0++8eBhjf0EB+9hOUqRxK2Q JKty3eqmYo2a4XQIy9rbJyp2jqdGngiIHDws7yigdNEO8kllemeeB1LZZM8LgSkFUdHXKPbCf+Hp YW+sIWlBrTTnFB3qZBp8PqKwfAtY4qqlwJPNU+hkSXuas5wuWnwvN0RdSQ6EOKAAIreNF1tZSgNu cbo4OIkFQtNDB9SZsF23QNNQQWto+YOR4uhMwsVz6GWZi+w+VdZMyavkC60tVgJYJQ/MLL/LUIv7 Dq2XJ9YMzSxp4VFrTS4YV+f2uAD0EzufOpJkm6YoJl9SjhdifDyI8QU78eQKDQmuhFRD2zgUO8Mo yIJc3ni3Fw7gBsb2Xd0HbbwNNWJC5ffcfP5fMFYJ8qArOK5Tc44dLcmJqrW5VXMrV1mPfFMxNPoo 3p4KLS1Vr4NKuQ/e8ETNd0AiGEE5ThK02eum+QNdGwu8Zq3h2dWfCl0tzYgAlAWax4wfU0KFNBZp xuPk2fyAf00VqeYJZ1W5XfTY2JI8jZ7ylUWh6os4COSMShZlprukoqBcbnulsFDOwXEZ0B1FQxgV O2hdoc9MZiuiTHYGcaO6VlP2N47o0QLaERa1sVAFXDlpY5LfHuQO+AHR5vvWs+zays9MhUF5ZxBF UVzZEs40rRa1105W2yxlO9gnsLf0mapLlZblQRQsrOFV65SWc9aC77gRLxCUd/6ezJ6CWckue+G/ 8GOQ/eGAIIKoqAKVWpnJlTT5Af9yt8A/piRN3c9ERUE54WA17XkBLBQps4y4uRwxuCmW54Uml05F 3MUHL7BkNlxg4AhnkC60QStwnMspMYwa190KhC29cXDrbWXEc/igot1A9FkV31Y+H1XLvz1lgaqC adidqFADPNMqbVypXTHAXG/PdVIQzvmKihAsjWlLa+y+cHBI4kksSk10uoTWcgIp7YTUqNRtpo1l 5ofc0u2F/1Lb3bsxnCJ9SdHTguiO1GG5okI8efqFckVe/Wju5MDrEvkwkcBSsyD3bpv2LuLNYltv QIrMJyqKy8VsKVNl4HnLwOfmhvgTbhgqXE0qfULaHFJ2mR0EEAa8GrWXvXGw9wi4H+JKDedKwc/E rhAIdvD5YOtdXIYEnBqSvWeiwno5+RtLkimEA6d0i1F9Ghx4AKXqExUG5tIAS+RvcvAEBgKptdKk EGwUHO0oAwODfCJjhB6g+jkmqvsbR4BEljWzwGPZwWNpnKPGjdMXnw8CzutSxMMt48pd88mJQ89L pKJYSFjE/5PRXHcB/gYuE+ubY5RbjQpNL5nrNXoI22DIaYiyQS0dMLuzpQlx/oFGRSF0DU0AVF2s V5WFswtcL+VZMRadoGzQvVfSMq3rc+by+SBCGN+FYHgmDqQHNlGh76WgWzWXS+Yo5ggKMsftfcI7 xCKEwPgSpXeUBPYYdzBkxjmgzh2HJvkJM6G/I9PHnqA3iysDGady4syqCb/kz8O8EUEGupG1iFPa lMUzyEHgfJkkzaCtx7UD17vH9zKHxpeTqleNcvYq2pXlbjyNLXh3vzZGRw6dL9eQRzj7ChwcctDB 4+gmVnhy50YsbIJ246AMIK4+y/VG9hcOAK5ydfYACKU0gTMGdOaU7KGZHnpfpssOku06zACvYFEO zS+r7K/KcF5HKsbjPNl+KpbFzDbanai/g/M+GUhxcAzL+RVbKXTlhRIGZPnUTGfRFussXehYKva+ f1nNzEHWItQPZKOC2lBZVPccqSx+QORdfFtVzU7zDqkDn6goNufZBv0UT/c2tW3EoW67e9mOfmLa ebGWCrX42QLW4GW7ukEJYqeKhjMobCMrPhlIRxmgk443H+uiHBhgSs2ejYXlg7Lis9VZaUcJsPL5 CGPnO48vB2PW8UQHLYKWA75FaZBkDb1C+V8pzZfb+yw4mHXptb8dMNGt9eGCDpCJAeh+7jtwOXF1 Q6UvIXVHZiDBOenyqJne4sCcqYskHjgYm6rQwp5lrcrnI6fQ7+CgAABcr2F4Dk0wq4x5ugWW+E82 XSjvuHNyXgc+QTde4ILpXKGXYhSBidCeQ6qXaoYHrVI99NUgYgBp+wfFsu2NI04VMhi0JzCA+L3E oUbtnJZfc+XGD3g/y9d1C2VwCjAijOV8pkIHelk9UyWJ8eZqzuuYMAa0eJONDltRf5M861QiM5/y wdznRJH73ER/oYP5sMEzgK8JXbLSuI4qclfPgR8mojVyYIubGSWXCznRE//Mk+dnPv/PQjArnGKZ PiXz0BKT3gQwwPBu+hV9BgHNERqzNCI0uKgiJEud8lTlopr5LiooGkDXS5W7E5p1Mq9OYIiWLzA3 69FVnaIKBaaYYA1U9ofr8EFnFP2XdN+DKRS4Yv4Xt5lBLkBn0Obp8xpEVRQ4aUS/hqd6dRlvoQAc acAo8J+XVtRrCFWvIiZjbg1et1vM8Sn3uLFnqHXUJCELd7fV6sOnQgj1GkBVMzPcsJz3wSHA5zBt VtL5vIZPFdKzCsi7RZkwMQZqU7jjaHoGl47qTfRWb8SyMm9phjs4Nx1ECbXhgulh7bs306Abl3Z+ gqUGv9REBZM9J6T+CTUA3QT6lhk9GjN5WDqXXufnBJiicWDubbDj+9yXuubOtfB5DZoqkJ7L5kdC Y4BjUOIZ8/MaMp2HyDEGDdkNHobk+Bc5QcVF1Ro87Dg/rwETlo/ad3kNHywOQChTyZVB2RcSLUZy BO0Txwpw+BZYTnvX9+UD5yA0N7V8hi+ffn7SJHXnc7x+XmMlTK6hVboY5+iRtMV0Dt/5GilV06o7 d+CtYpbSXQCjEnDCwngG6Ifz8xonVdDweAYlGhJxsDMIzsUdxV35X5QBn1YEYRSQO7sb4b/PD8BM n9coqRokGqIjgvriSK+2fnIhfK+fYPzzGiRVY15oghRcIafw/fUaIp2HFht2udhMAGg/XNeBmqcG KCjupvoaIFVXdaLXlw2W6k7Yj2Vd/PUcPXuIJAyWHVqFgDOm7htsU0ftNT7CAZRVNaFhEQcrnpRt pBZ0yV+jo2oCDZyPqrMKTizZJug1NsKu3GaifoEE26pykNxoLn2d1dGEN1gwQVIrbGxWanDK3QLG E1TEBoXfLWTrOZ3R8UuoKtNezTuaSW/7PkGL7bkBVIYNKilt4Dq0xVb5vAZFNV9Lhy4aGcQSzxsl m6HXmAjnOjokCOSyl7+JvtdF35uXvwFiNuOLaIaamTQlHzxnS3nsUckJxnWWIe+JGOv8SaujqEzA qu0xJm2v4RD2mCBOaFqcgR7BBNGTiqN4qNf+eY2G7AizOwwzBG+OMaevoddQCIc0VXh0uaqcO7vL 8Leybzk3dXO8eg2EcEh3UcZq9cExOzh3qFsDJj5c3TGJ4LSQC8yj5Cu6Jr/8NRDCzyCd4kIRdQ7C X26IIUlhfufPaxjEV8x3DckeC7bO0N/kFL3WJ7HNyF1stEJ0vsG6LB83UO+TsGqk/q/VSR4RDKsz CyUcfIoGOEJIsdGhOzk7BOUAAIMiPkQwsQiqQ+UW3VNea5OYIgbVgBxwoMkK9lZvpgrWM7RBgynq O38d1ChgUZRME/Ralaxmuo6Kl6f8g0k3ayOjuzU4SIOuUhQGQpwg4b7qF+4LldWyUuMEjXPOgVNz MjS03dCMKyjrPQg5Wjm9ViTxK2xZE06pFE2TcjyLtCjTITT1tR7JoK9/RYrUo9mp+hJ6p9RV0b+v 5Z6qImX4UQ2rE8N8VT+q3xl1/IVk5UwWGIdb4oazBRIZVLdPotyomlbos3WysvNxyI1+iae/M+pq MrcLGDf5oLItpKGbhEEGqKzRTpv3vmcOi2y/b19H73w6/DRJ1rule7LP2IK1o1S6+6YNIpjE7Ilm aRIpIDMrDh4S5YReU1MP7uT+jJogh5CodpEoEuFtXf5en3c+HU/sqt5b90F9gHPVDbJ1W658PIqq t68lPIlc5+SczWfpPa5GT5cWDnSDNSDTckDFmg60PAficJxAFFhvHtYDHg02OHYX4TQ8nRE4DkTW m+5yKpadeHSxYquwaAi5G8yRKv9Q+fdBc9TLlqbNyZH5eBAYjfoVGJ1c9FxsffoURaF1pWsq1Ex9 u7VhFDHAXVzv6hytXoSMYms6xvVW6Q3CwZu5cFhG45wihUBNVxJ9oVw3oQdQUIS/t1rS6wbJGaGV 5xhdPlh+j69U8QhA1DC6Ht/RdSd7td05eg+vsUWklcZlxAP8YY3jaLXosZDtpkJtNEeDJpfIZ2yw /GzNVk4WO+nkdGLHwasfrpcTJoUZfJrbIZmqP4YHEuv+SRYzgO4KlwVMjoKNkROqasEcidt2o6OM EH8AjGmzFMXYvQtqkvzgxilrSdoeVzZ1F7cpeufQVZlnI30VCDU/ZX8kIaOi/8wsDVI5ndTVzPAE 6sjrcg3JAPm8U+iqaMQgb0CSToMomec8q6wUQVDh886gq5D/uLO0fxR7gAl911IcZ9PMudQbRZJy KiZPWW6fzpa+ZikKtDtlP6aQcPNBwp0pK3QFZQf3vFMWnWCzWEOMAfQQzYA3S4EgirQbuyLwGfVB a2kizOWZNPP+vPPnmAxP329JecuJtNstF0Wh9kSVoOVknF40b12iaGxJPFIVFIp52nBRrC0xxz7p otLdjFKa12f7ZdIMoXndAPrcYJPsn0l8PKJ7Z9VPe933SaJxyqbfHgfxCAq0aqXX1lPn40Ekue6h JMLFgnTbvdzeg22QDFm6TlOMORa0nYw5dlbpH1Xsac4g79w5vD+aHX0vOl9ysFPpLCPgKulWcD5S 8qiIN88uQzUDYjJP98je9n2OGCZtKBr5oKra+S+SstiZ+Lzz5hAm7fG9kDIJKek5lKKAu9P3YlIb RwbzBAuJDdYMZVLh8uUwgDDiZs1xU6FZgze3a0O+P6qkUwfIpUTIQbV8k3C0+lPon3rdYJaQZ226 vdigQ6lBeFxdWMAlopBb8OLnemuwJelefIwiblhRklxYnsT2HkmprnskNbNPeSfMcY642xqPJA52 JLWTkuTdmdmmAXVZOGNjf8DxAh3zRp0dgZjZh3wnzNkJikib/CYOFiadNZIM+pA/73y5KqLoV/0I eteb1iCcoyjehvbuudGIydPlxkqMXW5uVtSVJOFEemfLMUwSt2ndwQGnE8k/Yojz3+dwR/aGzG1S YQd0rkrOlh1JLEK+0+W8yI/akeCSHX8VN9nZM8r/OwRR3ulyWBP5ZreksCPAnXCOs3mKKtlEKJ0E N9nJDXn89eMWKulaqIDup7X0HnHjL5DjhZBv/SHsAKk+KWEPZ1A4qqBZAp3ZTcGoBh+96w62tJje Y27P3iZw8xgAjZNS0wmJaxaKrazPO1euyu/I5kn+YIvkFZ+mMOqeRWym24Hcw3u1c+ft1TbYefNY eqfKMRFkFUl6vBxsy5386GwyqAFAeigP2DxhOcELMROFS5EDF7CgHso7U46VAIqnlmewnhF0WuWl OuvnnShXH7kmHWdQ51nUmtUkRWH3ojd4nbs6HD572H1SrXJR3nVaseSdJWc3LDR48/LByRULwPxK rSb0Vc+1uRkFnC+aTI1QE7CirXyd3klyXArkVmQqX0piChNzNm2b8nQ8seXnnSKHS/ipJ007mE7+ 6KHSO0UOKwnWuufUKa7UBNCCt0YE32LUTfQQJykqbXcRujYtVDn4JEEOvEyGSuWE1QX0hrPhUOs4 13cHep+SVnZ6L71vECsVKepQr4mDobsnqsW84eb6vDPkvO7BOWGVF7rge3pN6Z0gh/VHgtzJxJNN 0pkPR8H3dHmEablczDs/jqe3HJ1a98FvuAFwN3YGxQlrSnQsRGpHusCZDwjsXH/wpfd9P5Ukz8TU 0gZOEm7jwvI2Kuifd34cu9E3oGR9G+Uk8PptlsKoG2wSsGiap7lSmBUeyebuhOK4enQovUfdMKwn sm0Q5cfBAkpQKKH8hDYbXOc2pUMR3WxkT2ANj8vSQbeSrxtNEoVTl2RUKfEhtPI5+NT47+fQ+7yT 41hjbt/BEsCJ+FlsksIS92LDi2AC22/dD6Vdk2vxkz6mpfQedaP8LMUh6Vjtq2OVVj1x1KTMLGIL 9FxoNM/YmRfOzJeSOohUfqfGVdHX4ewiM/V5MVvAX3Qzj+c7BivpBktCVhYUum83Owq6K2U9Bg3/ 1Aaot12bx3Ae01henHxnxbFeAqvPDOksGyzoRsMfhBDSLMEmS0sMQwqpoulPJVBJVRB4+86Js545 6m09+aApOqdElqnzXuPzTolDOHrLbvy3yEsgvWUN7TDmpn/khDuH3W3ugnUi5ZTcP2VQKokd7TDm Rv12JHSdbPC8ZIyTM6xGg7CMZjetxFi/HQBK7nTPIzYQPu98uKfnn1xJ3RFt4PizpQ0v6c87HY7X r19tlARDu78k32nvbDjmQv1RzmFlcvZux9FaxbUaC13dOEVhiRuVEtj1TB9sik4UhG428aLgR4+T tPHEAjwL3e8MNR0Ax9n2L3rdYI6WdDzS8kGVEtjaDrWqJx8Pdtq92Iow7RXl0u7J2zsVjguJ8owl +16bu7vvRVvNtQT6LBYkvTPhLvRoEwyiwasABf7FCN5R7D6h0RboDczyDqFGENX9zG5DbxvlbjiO wM70QeCRk+E26YSfMOvzToLjkT2+9hp8qs9zd4qiYLvTGrys5dqDpadkyO1OYDyR22r/oCv5ToHj XmPyL7Tfvmi/8yG94G4mwH1CELwbn/Bs6rPXIKQ+XaMxTwp4vBPgmJLA1xGBLwds0q5ge3Q5zsyU y+ed/1YfUKTObHKowNWySYqC7U2FIShf2CTtWlwCbdTsUnGbApBcR1GNO4EFAIO96oPvtpFQs2Wh pA36IBYuNVLX4JVyj2x6S3/eqW/VNHPOdSbry3mLSZWcH37bKJ934lvNV8TSukmUvoe9r03Re6iN Vh0lc1JxlM2e+RoWcC1TdJB/iqYoKnAn1knASfLhEgDO5TWSxCg6+rhVVz80WxnWtUeNgqDMzzvr DcteRIks1luerrKAmq8UmM71+XknvWFB5F+l28Y77Rbc3klv+NYipM1yLteZJDeZ35QFlmb6udrs 1A7r2wJpTeZuU8IQgtqgSHm2cxOQZKRNIAmBE3C/OB8HaLfTKAn2e+e88ZbhGoI1sA1GUMoULkIj Db/ke6itSP3r9ocrWSleun0nvDFAYhMgDU//Sxpe327ydyaUBDrxjLTf+W7VEe0zGa6NbYfJ+vaA 9zEaoI3s3sxK+NkX53ZYRKXNR7BD8ON3tptV8eHlmARCxu5RpJ0SjT4Aact8ySj996WECcXSIKbe JimKtJvY8N1FA4BnH97i1voiGz473uad68Z+UlceUnywlQTE6AZCjqDahNwmUYKYqx7W65Rcc0MH nDOfd6rbAwTYraguCTq0ZgmtF/wwY2Y+H2y4fTcckQCQapjrTlMUbFNap6FD4hW33t2Qt+XuwK3W sodJUYV7qjyycvLBeyVn356AUXLyG3sODYPKgxuSx4uGv9fVadj7Bh0laeQkKlhyuB0l4hd/ABr6 vLPc+JI+SwKgdEgPeQHgneSGL93Ss2aVhA1vYOjFBoRZklS80AhqEt1/57jdUtKSOch6zEHobZbQ uZUeIwimPJUgBYcwkqCS7muJ5LjPO8mtukzzFFISWnMKeNCN2VvQpDo/7xw3btj2NUnQZ/2Z4rhx lkJQCTGAoLMZZxK+tuahsojcRbOk5wWFCCyld4ZbFckO9RyJWdd9l9Io525HrE0TVagT0YzvXHPY cXSv2RQZFJCdulTvDLd6BfcT4ZIwTjV80kSrk8fSWbafd34bqxQXqi2FcJRJmt9w7/w2rCW5zW87 sTFhbjU7hBD/H4mfmQ7YnZ/0fi41yZw12jhwcFAJwJEJpSMIR81dgQHd59rHEXHul7OTd9pP8mbv G5ze1SCAyQfecNDXrE2yG1jwccz9QAFxerPCtR1O+k5uY/iAOsmkJwwbbziX1HhbuDUM0r6Ks5He uW3ccVJEl5TJvFImwO3OATAh+9xllCoFVJRL8rlbz0VRhsvmZVahPu/kNha/mNuiw2KDMLfI2ghA aLPUzzu3jX2P23nrcuTLZ8slhwO8k9sYP6jmtrwyuffV50jdQROz4MQVcjuscXPL0fTTBi8nDZxF aESetAQmENL7ZsgxeAyCxGRJrmol79Q2FgLooDoZEHBQ/jbRG+L31dY+78w2thG+oyVgW1DD8TmK StzUqYBmr0dLSeRIOmAzm2e0tO8N985rqxJyQBeS4EkOty55MjaQ3XF4t1LSppwsEHY/A5i8RWvH IgGTqbf9q1Yip3gNlpvUoaIAkqjPO6WNeZgf3WR4gUb6tLnfGW0EumHt5D29VZLbBUxUl89D8y9b 2+2d0OYp7rnIUCvS4OUk/OGZcm8ndu/nEB8SgDvrtcNBCuQnj7m1iqLydmc1kiQ4GzRFY1WBfU5W Xz/vZDYeaH4iUV0JBZd2MSXvTDY8RcQkmnwOvVV9koWSvZ0j0W6h5J3I5jH3ue7L8sGLkvBxWl1T BNTykpUoLgV0An5WfRpuuIU/7zy2Kh7aQNyVfdAUFVSppqpJ8/NOY/uF4ZLdXHL7AU5RFHH3bJ6g yRPcZZYoyN2KJ7is0bNQ0kL8NutobM7bcHlIkO+Ehfz5M9DZmKzb8jaVNh03WlPBLeltg9ufiFsa utogrMT5ryQ6QMsZjweBZF/fGw0/USn3LAqjbSqZ5XmV4jftw2Qz26wId5YWIzCuoqi0ncixoaif DT5Fg65mBLktYA4EOSlotELYBT23ctvb2mkhnmQTLjkIK+FgXDbo+hJ2e1J6PB6hADzYZokFV9Mo N3PrUbTdSRpF7dkmKffttgP9sR0ohFmRbfMebSP4YOGfHpga/DRKwKAvHtijnRlKWfbPkDfFXYbI 1WJtmQ70P/mQawPoYoPWEax/ZYnSNh8PyCS33Ca4AC61fvtIPYq1WYOqbIObX3Fb5vSBk9WLkqB6 aq/1CFBCpuPJbNAk0qA5OudpR3u+0OgDfn5LVQIA3CuXfyITQCUAym70qLpdaQ26F72tOGiSTuYp h2R4neLxiLTlC6kzDwY8o951FEbahV3IbZqKTG2Hg0lkSITUts5pklw9qm0LBjgzTYhmfkyITiqL KkvNotUuKZ+edAS4lIwXKHnflSSFhB5F2iL+j0xxeHpwcTHBKbfWLJ/CVvB8QI6c8+vUznOpkuDT FLIj5TOfiickTUhlhNpF1UrS80RM6vykv9K2rvp2f9jsO+eFc79QDA+9ATgkwc8RuVCGMWMFNtSq t5vJbY9C7So14UY7xw1Jagnn76rOF/7ejseDgzt9VwDQcmWfziYpokgOMrQzhSqYj4AXoEkCO980 chsIWcpHegwmSZJtaT7YJJ1sNUHgjejkk6v1RZDbhA7YoubAeDzUWV7Bl0RNyf4txQWArWojJ4Qt BIicrHri+WCSLtDd7DGhte5hZI8j7f3oRsgadDsPOS0XRuiVNVaupJAnSebWTrRv5eA4iZOuNki3 qFNyjlgU59pC9WxRH5dZrR1KFH7tEYSbduIL2TIH3N6SRdjTOGOA9OD5iIz8jQBAO6kD6GSTFFa4 MUnnZMAB+j+iAmueVkuCfsH5T4JJcJJokuIKtwyFZvfB83/wCROOybM70CiHQhpYbihLZfwQNeUv gtLU+0ZHd1JBkrBJitUUdYbSue64fOGFjQ+IMG4eKVEnEFVg5iWapvd42+xpzxtSc1o/65LXABSI OiEk1CoD+IAbLoq395JYFKslHC73BhWGkyvgVIKeOuLK3c5vDtAttRZqcU23kijR2aMid6W4+ezU JJkCt5NOgqCC/dvzM+PxfzJLpGsGfny5gMkeobiBukJHkjXbTaEbSpYxSqO7F9UUi6xiOj/pr+bk GFuK8Ky5VRK5ZjtzT0PCc8uf4HFJTHFymrDsyPT21hv+Z3xLEC3RKnxD8tEGq5PgcJOk6eLjwVqa 9Ttayun8eOd88I5JD+NuNgMQGv2YDUMfzYpu8B+1olvapCcjpIyq3ItG6r3nO3goAH3A0g1XevYJ 1g3Q7wy5QQGoVBVTaXKQWtojUEmX0PJKywddVrSo4yE1y8TjEYf7RgJkl8AMdfQbCowo8p40sprj 6pCsNJwW2GkfKd9GVNoZeY+ozo0WK3SdwHvT4KWSk+cOCojplluS+EbTG57mlANycomEu0cUebve JAHdHNQKgBIAUcfn+Mh4PIi8bwYnJadGvfziB/iIQu8ms9KyrjvT8nhprpHcqzij7iOq+z/K3JuQ 7iEdX3En2zlwC6oSICnNs1ZRCYfP5fpZ4MRn9BFcoAy3I74kmKXchU3GjpvIB4YBt3JO1AaA/hye D8rca/6qBcyfiXDYJikEcifybnq1UOB8RXI3j+3ELvieFOvjjqjKjdYHzMABBsSAKRrIT5CarVTZ xx3w2qv0JFq0p0bttDyRwAadEt8RoEplJZAVWcq0lZQ35AZYSTjE8fi/+iVm7fgD5otPURR3N+IB zh/kRTc4ARnMjdKLZv5J0VputijuVsttEciOodoU4bSGGVqZwgPMJU3hgh4J/D1+5gOXhFbZwHf8 iZlo6nc3U+KEhgHwbbzfxsbj/1SV+KE5KlxEPAgYUdTdaXYN0Uw7j/o9j8Z14a36yzVFUdQ9u8ol 7OGu8vRwwWw/MSQrSgveQouo04R6F90z8mK+K9wt2W4jKm/zQDrnEBpK8GC34xceW9A9Y3pfMp6P 1tH6WkdQZkYxxycpjLqzXPVs8SCVq5cMwJoHtxqLcdpqUdS9yJkYU64U81EFAAYdcn8Uns6ECUov EQBzkB+QMdo6IhIV3xEkuXRgWrKtWv4dQN1CAoU5yph4PDqNvtcRU9w67mkUArgHUSUpuUDS6n4a TaizKzFB3GaAiREBuHkMweez+2D3GoTYEzYuzaoaqo9skwiD3zK9bi98u+pt/+i47SyFLSii/8jc FBqDRN4wwR1hvL1+VZQKG1zFOSUjKnBzrSOS7A4qoVeBaFx5VweVoKKgZRQF3Fo/S5Y5i+nF+ZfI cM+vTLF7IgGpFkOYW2cHfqKlc61jJ64dfEfUA0B/pCQiAQvuMRFoJg3rmbSeXY3nI50kx3BNlgxA c4M9j01SGG4TeXPSq22T1PL0RsmkfTEnCRoKNklhuL1Ed2fzloPttYScDTk7NRLBrCf9NuMn3IS3 IzfwzcYwcoTRNsPsXqkEhPMf6uc/5CbDuhfbZZ24Hx8Q1AHusc23I/bikpRHGG1LDGibfiQI7zV5 81ZCnJNMgGJMgBFWuRlmo1Dkg81SJWcFf0I7GwviXsCdnuAYhADAkQsR3OYZoGM7rHILADBZnJw4 YWQnMelQzqN454Ln/1Us0b89MVq++22GVW4qJ+gqYkpyTt9udYC1L7V0I41g5jZD0T9SAFAn8eEy ATLtmhOppRV/EIU5yQRAHUwo9/Hwb2eIKKkskgzKJi/HQNU0IU/Xef2Xisejbkn/miJEIXTxsymK Iu061JbE8D+qJ022jjKytk5BaVz/VSj3c/3PsMjNkuSs5PvP+qiUwKztBNi9yFKhFAZR8GeeyC47 jX0vhIsoKXzL3xQuztWWUQu13E9kajFSL3j8X2cSYyQKAl8FjhlF2on020kvdh1J0r5h7zYtP7fn cO3IGVIm5bK0n8E2GzJQyAFmeqAuyALTdwImLTlTVbCseVE3FE6YYZWb+iSr9+WDeG5p7m42Lqvi 8WiSPNZuzruZSOttlqJgm6WQ2uu1glsAR5qRyUpP480P7vl3kXtlNd5yvvXbjLmZkClFvyQBBoB/ eXL/gbhvfVlSZdCp+B1RJ4DlkSF/HNeprBDKk5b7OfQWHv+XyCYX3aLE511IUbBN1CKAeoaTAKS+ OBJwLceSVFRcNUWhDGA1MaDtg01RP7cO8FZbZlS1sT7QBvSJaUCQd7kCHITq4EuCzcbG7eir+6Ds f8GkkN93Ag08HvQmZ/o6kdCv+cr9Zxhrk3jbe/VYG6Z/XuGuyYi3g3Eab7YZYUl0/8Pj1Ac7tJFy 5rHIBQDcaqswd2L68kOQGoESFiTRoXJGsXYVJ0lRAAcuI/SIk0xPz1GBx6Ni27faDST1qMdnUxRh SQgzP2de8fOIpgs6j8oqDrfJ6OpriqL6ttLaKRnp+chIQx0T0t1D1KRK6URM0YZnNDXNxiVww4WY bxvVIxHSiGiBQbT+cykv+dedlTH4ilHG5owS7iok2vu510KmJAoMlVqGZlgyu4sl1mF8ZcAch0sB hlqAZNvM1ZMPtoqwHIEJ5E6rldJSnCJwQtmD3+O3QtmMKttlsdGWrUZy7RZxXcoe76wtPB6Btm79 SNZn53+YF3I7IzAJs+dzFbTr+Sahe4kmzWVztOGCqjmKIu2hZSQNl/louJyE7awb2E0yZUsQ3wCY FJHyD7GNBWnurWsnvW4ESxbwb2iggTtTfwAlaPN9grGK5yNQ0s1rmQRnqlnfWQqpkl06bstFkwZ9 6TVLPEB5rUHdwGYp1CcRDxD65zYYVALY4xMGj0Kq5LnPJBJMcxyKb2ao8PosraLX/aP6D5fO4YPh /0pvSwyI3fF4FEV+B0jg+6JAZ3O0okA7Uby1tOb4v1FunQ2YfU9syzK59hXBSQYvtHMZ3sExNxuy LZmB9oL+byLFLat6Q2yQx5AmA7BCOAk1Egor9hoEuTkzI7R4O5cmHg+4kvMbljTQ2nzkSVYUaHcG 2t0rIei7rX7xf6Y1VVFatWW0wkCbBcYBa0cbPDqCa06CShKutQ5uNY0D8f8zWMdpDypp2dtGYoDU AAAPDrkI8Dc6tNl+56G9a8HzkczNt/QmSFi4sH2OQpHtJjMSg9lApaSWiyZZl08KmpfmKESTKFEr QwP7OU30rbPTsteQ+klU+E9OVntiSnwxqAY3OjrHFr4jqGizPpIbrzcOAtycuJTSxex/4vEoyt5f 0RGsIQAE9ikKmZJVpvDD+//7Am7mot8M1f5TSaaWtELQNssis5c7+JmdcqkZ5BaWkBLccslwQ1Vi I7DsjxYgwW0rJkr2bxwJz3tVa7MAetC4KXj+X6eRBEqR14zbilxRmE1t1pPJGgYZ5C33vwNy6/Ej mRYfrZApSUL2aKv44PkafGhKW7r8oWvE9lGvdCUBEQPtx4u2mXrdoNA22fcnoHTBAEZygBAqribu u2fG81GElL9mCWhbNoVskqI4m0zdQmUlrqSy09Xdqiv5md3gT6lJimVJWIbdrP9zsEmCfgAr0ABJ nAsIUnc/iCzQcygQhTlpj8dIJVGSfEVQEuFt1hB5azQrj0Ccb2i7ne2MxyMkyfdSmmj+5itLsqJI G3EFiLbdxMlAOfeErcoSntttV6tqrzDSpjEgWk0+eMKW4VKKJgL0khOdTJn5IwtPEP8rkPC3GhLw E3zfvyeJ5TbhFLiScm+b+U8/OR0ej2QAfp1JWEnrZrUrirVRsL9IZHreUdiR3hEbPCl5R9TqtcgV 4rapu90U/nBwUtIWAEmWd3VClJt6wKjwJVaSoPnvOBK8Cb4luP+J2iNPBQOgqPxroRDHGhCSZTwe HNwXkyTSCTxxkreQVsiVnFtlbQ+RmpzNpUry9NmIXUKhbYVlbRJKm8SAmld3eP+fI2+hpcCWdskI i8C5AEiTMtxlFIe3F8hx8H0DGVdxk6fAJHO6BcBcU5Fra4MvGXT+LyIpqyj3M/Otaq+wqj2luXEF SouUtjBJhiyhZjLtKDlJsfo2i/6DKuUcNEnnx1vgkSRa4aYMwBahNvSiXmxGgo5mURIlk1cUa2eZ dGcl/9mTf3igClB/7qCKx/+1kPSolO6qL6UdRdv0TK6kdWiWZnUVoJWSGShUGG2bwM2OytqjmZfN Hfzk3mrSAyh5Zmx0qHkAHYHVDivOuW6rTVbdO4q2m2r+mSkuB05SQ04sM6mRFh4PCm3tmSRO7vk0 HJY2R+/hNjRfdCZdKwAoiXoxchS3kyjFO/87NrRRY4S9Rg4X25Y61P4LD25ApIa2G5BBCerApZRy bzd6AewQvV2lcte2D4ZGSi0PU3GteDy43VL/OpMKVO6BCbRJCuNtIm7X8Lp2k3CxSZTfnm2n1wAn KYy3hbgtRG5daRXwt08Wiw9jTkK3uyaPzsVjln6h3temdilf949aG3La6YPwEelcd0m80r7x+D9p kggmh7TlfY5ClqSobSU5/W/QaEqp7XT1lhP2bFPf3jGEhEW2Jk/J1i7gFmYJaaLRDXzEPoctLzew eH4gTnPutgeVPHm37SjixvEBlYRVfXCMpH7bn3NNVDwe0UnSV24LRCPK4T5HIXJ7VGHa3VSiD3Pj LpNpg5nbtOGeCTFFkpDtqWLbfIptCdI/eVAGEGVWaCgjKaHg5YIczXzQtngM3/GXCsBulptAU4GX 9kpCdpIhsvH8v2QACRSAeMd89lqoAtgkkDRd4mavdE0TKB4v04TmuL8dQkgYJIHl6IOXtXtpqQ5q JcyzwKpUgDKlxdiB7Zfchg/h2wYhEs0ATkJ5B2MlnYxZBokVh3ZocFPar/T/3GsQFrEpiiAkSSyJ 1qfXI8e6U1SN8obooPmZHWoAkhuq+oeGexydK35CQkdVJNgnoGaLQ74zFYacuMhtqDLzbYOaLSWl E7SubdBptKZ5kp4bjo//K2njQUCxTaTENkehLgnLnoWlPCkltXyJW9Cb9uNoGSlphxASKtwQY2nD hdmcYBEdABqSnsuf3hsAjuYfhebNxZILtZnwHUEQKTQD6PA2aI4aFj+lJAd2Wii7Pb+DyILMLz8G LlGkTV2/WgXW4n96Zw10NtqTFFpKgGTDKQojbZEjKxESq14gMpwPxzme65K5bTVFDoQWLJr8rFQu fmRPvW0E+pPYRko+2KWWzvRyZdQ68Pi/qDbi/jf+3xtCRoE2wIVoRLpQElhi1wG4dd9qVO7UVovg I5ONSESIPvgcwfDy3Dqy3WhwHeUcgU2AkvfPLq6PUAg5xHcEIaQo/1BtskGXGuTzlIuUOfB4lNX6 acTDHSSbp8kmtM57qU2WiE9au3gGKa2tynAr3P+MRUIixR/ZSE37DpokJJ/w/Kv0JDvhCwREQSOB uc1Z6tCio8HclJX72vbGgSuZ3FqL3FoL/YSYhZyIMjMUONfl5AdEGgm/IKRFCip3pkIdQMIjh4gk WQIlxVRJ9t7JGBIdBkOScE9xtM1yLDzMbHA0UoODCPIy8tsgL4+CG4h7SG5RpIIVtwsBMdrG1/yh db9gN+ADVxS0czppXO2cFHw+ykr6r9QtF3DH+63f0tE6Bg6caDG53D2csayilMf1AO4o4chdIoWw bSrdNmGyOXhmApBkx6+CuQJjHidYy2SypEnKZP7yIs32xn/qbiCGBweAoQ5XVc9F0QfiH35AEDCV K3fHFwTkol5RCbKz3zcg7q5W29VNOnPlqNtUuguVFUiv2FTFrpIkcLVZfXAoYG/sjBHkdvJ/KHFQ qnySNolmNd7Xkzh5A6TY+4YQrixaaLZyMYw+yhJdAmgAoueDO9nDb6q2bBKeLvY2pygATwQEEFtr RhOSUtzUkd3b+RKw/7QNGPrf8M47yyf74OSbDpz7ZHUpoz8AmVlwlDKVuFDM7cP1ykomwRRfEzRP qHnXxArgYDiuvItKJxtmEynEllwRjqz9Bx5lvbUTSeK/R7ZNm07zA6kSutxnVHTbEDyw0qlAgLec 4ro3kxWd6vM51UEzzefaWNp/AF2h6tt469DWkiA7eQUVVhT4LUHWy0N9bfolc9Dlh1zaYIFDz//L 7tZkKc7/izLhnalQsQRxFK66bIsqJ7//COPXoupJ7iVdHxUECbKaxD1ng9eZGugNQw5mFbgApr6I 3mDYg/rEoO2E7r/c7I0jtSnhJ5Tg5WHgQET3TU42Z7r5fMTu/iYHQMbpBHKPQVcKhUu4psaYw2Zq jDy8lVKNn3t+7rbuQRVF5ep/N2HgOTg/AMxV2CAJjXvOqkLMMrDnGZLv7FP4QUUZR35NkLxs1b7J xhnT2Dhwq2sM6SFp0/j8v+wCyOEFZCpdPG5OIeJkyy6QjSZpKSXDCZy8KRv5DQIq1zkw0i8pWwC4 cgdP8dJI0M4m5ASiY4BK7yY3EiD9pYQvjKCslVKszW145TswWEQDZ2gzlTb4fLD36rcaLhWoTvi6 7jxF4bncgpcgS6zw9mWaU+e/pld4z6LYPk9RIXwQIXnOuOWDE+DoEQmFJxTCz/kNJ4vdBlxxZ5aI ybwEODJz8S1B2Ykl8FUpr8BBEwXxL5IG62DgGdri3CqvAopKv9cbeYZWlHOKUeHgnHLO7WuySE67 CF7YmmayGCJPBkGn0qHgYOics9uwIAvFTHGfdrKdKVTQUW3JwPG66ct94T9kAzcEm31QundyC7b2 fkAq5/P/YgsQhTHpj3flTHPoRinqSa8lWVLcpNDVRRZslhRvisNqoqIQfTNC2GtPH2yi5vk/Z18Q f7IgBS8qWKehMMu8BA6kx80kx26UDH12lZpJdTWTE5fNnQS5LZXPR9q435kM/VHTxXrl2I4Sf/U5 VGu3vDgNgzNDqIN+Qig1tkRdnK6PCsp0xHivxuY4B8cN4tAoW5QB9GMTcc55s9CKE4NuVDZPpdkL Ry0owZi58fboZmw2cQTy8ugnSuDzkUfujQ44vwU43RtFBZ6UV2h5WsEJpToTe0E1s1qN5QSL9e67 MDQHSvckPr364MupwvMrCaaHuqaYlb0RT3CONYh3uFbXaPa+fwiaoBazfBBWZyA0kBlnrnw+Qg98 H+Qdeg1wkfdpCrUDEVDUE5a6zFKTnQB23ZjZ5Lr64K7WNIXsShWhVi4+XAbKQsGRkmbz7EaoB2A5 gTHd6XeJYMSKURJayqEz5ZI0nlWjNqs+qPsyniVLN43N5yPf7l8AAlA+Aar2eXoPyrEKCfTu3XW7 4bJlJbsti9NCS3XG510f9afIYp7FB5unc8idTVjo3znP3TKbuAOUl05C5z24pj7thf/Ax20qXNug +nhF00JGsKvw+cgk5xv3jV4U4Nx3nmKXHOkItUuyXC6RM5c7DZMXbzqLOfSmVMXypa154otztJ5M mAyIs9RRhQIe5YQEkHD9IZ3aC3eqSIXmlHTLQ+g1fFBCjKBzCyPHVR9F5M8x3prE0BCclBuSB/6U CEggAZPyShaSA05rM7Uniy9QXKrbUbs5MKjMpnLeFZL3r5B8ZVAvJQW/aeiIImhrNNUBcCs3ipvK x7tWe+FoRRFqOQVvmu2GmhU2J1xRqfL5YEVdqQV1+RAbrCcuCCNysi3P/yLdclTxclTZzZjNUk6w eQpB4Io0qzKYeikpC6rNDX7ghnCq8GCDbR5+3AxBqYr+tYN3erM3DkTzpduRlOQlT/IKmiLSKTt7 gs9Hqcu3kkCBDtwD382hTWUl8LIIU8iJqpeWOqqr5fYGyUqbqBgHjpylS5WDg00U+v7nvibjEnid yeymVXYqEih1BaSSS3Ca9sZRg4qhJmjYNigbbqVyW2BFbT4fJS/fzkK5sniIvMqmKnSr7ESDz3TX 1CCHVo6euxho7lzZlPJGNSq0q1wUqYKTsA8P/jJlVLUxVWmfiC0he4Ge+g8ZbxD1vk2qZu8bNYT3 L2RhVsyIqinBtT+gmPP5qMLyC3yBWBOKHj5Nsb6gAiciUFi/2920vOeyNjAOc9xhNk0hJHxIzHtN H7xot+dEKCUxb3TzMgXPgL1cKMfPlq7emXy9Q8NKYcJHp6gnB5XsTggrpB4cDPh8lLpcDq91kH9G e4qbsWEl9ZcGbZ8kLLSyqXmDoCyNL/jnAHGjeQpjci6n3uQ13B6eSoUrTwE4icnwKFmXHvBymQaZ LJPbEZVrsjeOSnZJW079qvMZZLudUw64bJHh1+YH/CsqZwQO31eYqNypCsEqFGGA1oamqiyFnout T/y5aFmBRdF9qmJ4+NLplHzwQtSCE3OWCWqCIiObC+fYArEG0U8BJ+Fee9veOAAaSD9/sro5Z3Wh N0jqEgV9ElK9ZkTq8b0nkBT4Kk94EBpX7kZcbzGnM7oNmNTwKMBwC7GS6WXAwDxwrrxCusQ1afBC VDkx84kNARGHQ1ymoy7MMCqUWdDgq/XWy6mjn2PrSpGfRtPKwvHCRXBOip2WJIbW5AdErYX8n/gA 5Ic7UVG5vCniFLOgqR9q0J6VkqXJSPSa1w1C90rkjG8Vu7MpKTK/KaFzDiwgVoARw4E0EB7sx1EH AhJ636huwESPHpYaVF+ZkGXiEum18fmIuHL1dIkTp2DV1YjLoX+lrFC2Y1QzMAEGE5s0LbTK5uh3 nkLNQVx2kKnePjgF6tzhDXaY0vfuwL7zHAObBcY29fGLAfRH7xshMwgQw6Fmg+orOJHlhdbr5vNR YH7V9JX5MIx6Nl5UKldscGJLr0NVFhmEX5lmbYU6VU0+T38b66wsuZj8gHzOwQFNuEV0BsRU0EJB BRhGaBSwxOVl7ZdsExVCWBoxvr3akOyS77DGIIPlXP98PgJCX2cd/JBqn6ZbKg9dLIU+QFPSSwfD /D3LMNIGU+LUTek7BzaW4NTJXEfUupHvgoKHPQo3ZPq0E29W1qwStviA3MC6Fo0mFJdDG8tKHeu0 ZGO5vMICB1bWRIGFznw+OJ/WN/EQ1j7n2/dNYAInS1QjsdsgfutIluxK1ufy8wrwZMPZ5imOy5kS iwzdHjL0AngSqb3i8pNnJxQZWkfGjRTyfBqa31PuuuTU59DMUs56MOvRkK3yhiaOSiznJuXzUSnq WywOV+2cT+sltLPEv0Hy1fyAStnJvtAM8gOqtVspj/0sWf9eWk9rPNoDq6L2tycPctgQ0au5Y/YJ dgGN+YI0i7/vX9HmBlHGBoPXuT2kCuWBoyX6Q/MrMEA3F7nHnaYoKN/svADLavddJeiG59Mq20Qs 0MNsPk1RUC4t75VVuMv5AdiVs0hzJyl6o82DZjR4QMit2IvLuzzMcX/hgDwmGGIjGZGDzmM4i8lQ fs/N5yM0qwdQ+sdw03iC8tjUMouDkB2r2fo2669hipZiagyvRIWulp39c6uCc3BsxkKKAposEAdb 7N/dyJXK0HEGe9x1mkpXiyo0tkT4S974kH3Mlos3BTIae1TjZEr8gGimvkGtEDShx6nPVIhiwbkM oQDntKxefeO1fEPNzYtJKyqMyclqrSLa1S+i3ThBQQGZBTW7c49CrAnNYeBVMpTBfzJQy67VpDZ6 YG8JftSUAKHSF4ouc6bGOeJEkDq7nh8QJcT7a01hAiBDc2cqDMrBGUIp0jUb9u4q3xVImXl1kxQ8 W1OxAjhrK4scaQ5PG/38P2hEokxXcAcCxYJbg6vvp8BKz7H2K9sL/2HdvKgghAETysy2lEZiIxXS Jj8guPSuGiF1myuCzfQcUlFQzjOnIi81DAs7RpYR1+R4u4U2utK80OdSZzlcuHzwWlQFygDp3Nl8 ILcUMoAgmUEy2VlSnRIg4gAlf+No86kWVe+goh2IfAwVAF/n8/+sl2NSdkJruNz0JfS6HM1YZM66 E6HWFPcuybWCrGtLKsKwNNVYsOpt8M3XaBzEft7ZxQDhN9rKAe3CvBFi7Jfi4i/8Rz8PNvVymE2X 9pQg+8i9l7ikQlz5LUapkT4hsfnsvbBeXhlGpdFtoiavEk4UnfhEBYLOsE9UGJcLaoBTzgbnuUDa vDaVN88xeLYJJgp4DYJ9ZBt5y1G0Tc+h5eWkaPoU5I6DWgvngOiyBT03B58P9t78bunBtPWELM9E hQXzzlIdIf5CBbOtpb0nSh7FlZNLOOXY9FLt8aEtONZNYIDlbBnOAnRzPNNPL4fC7060MwdXz1FR KpiHrpedWJ8pANm8ALKEeWJYfQK3xeeDgPNxKhIs6my+9Yhd5dD3spIWNPElKnBC5dzk9xr9sCi/ NyDTZ8dUGJpDoPFESHX4oKmahAvyzG5nv4EDgXSwNhzrML4EQq3ddlUW1i5wvpR1JX1Btg+6+GDQ JXeHtCefD0KE4VMlOXoQFp5cL/S+7NQErypBkdRRW/KkuDPtQ65XIQ2gECEwv0TaCAd1OOP6YN30 3RhqkqAA0QVqOKMOg/AKDUUIbiknzoNOMznwvvQUhqaVHKZ1idOuydBjAB0E5pfSd/vidaDws58U JjS/hNsJJFQu6GANc1ODQYyDM/qW2UPXR0W1KHaJKytyHGyaoL/TSOeHR+hCCEBrJ3SlRiGRGnQV pXpDgVTgfildb6Ay2FjY6KMKnwMk2VBnAYrOOfS/TP1b2aGiWINekE9UFJwTdobYcjiKhX+h2B1c yMyJ26INdtdHRSEnHXm7/Hl7ujC7hVb6pGDhiT4XaGHcohBF6IUctHTRY6nY+0boDBlf0FJ1Qc1B ecc6EfLmoTPOWuMHRLyF26pKdph/aV/nwALzfPGShMpwJjUStR9nUlfD2c1zAHvVLvbAZL18D7I7 OVi2d6KnE6jhfj0ZMDQjsNRhJnJOl46jr5OVNx/3ohxYYGLjsGjQyafioKR4TCH0UAGsfP5fpDMu RChOjVGeeQpFwv00dWueMm5fYc9hUvMdknN26cUmmGrBpFx98DAqAd+RKMoLKjXrkJAJlRn12dKS nJM0j7rpoQvmpLDzSRqWD8ZfPCdfk23jrHw+WlDf+AxIB/b6oOxaSPIEXQpUYKkUA6U7LYqafWx3 52H9SDde+xtc3urcPji548xDhpQuAnMobaDjdnK9POgV0oktSg+QZdsbB9SqxihqkQgDbN2POPnI YVi2RiO18QPez/J1kVEkGEGbAD4Wd6rCyDxLWSVfH4x1lVVMaL1TyOgCDwJDTNWUgcyQwgqDVZsq 2Bh39DrJ0D8ZDE16qRSbM2o8DSU333yUn8uBJ2YmBgzXJb0wmMRzIaEnLi466G58PqhIXV11JnYZ 5ZldyjNVf2oZtqRCuWQNrvr8lhf9YF68vJ8eGGPiwDPB8OHDRUeBtb/YKJ7j5DCTjH6wiJRArEdZ dYowFDhjoiPGCgH4AzbolDqPT0HANvhCgTXmf7GbeYAGP+7u+7xGUQ1Y4CWFFdt8VcoJLB+c8MC8 6MZqLmf4GkM1iD2T0DHF15/5JjAdCxNoYB5R58JL0g1FkjHghAUs4WVVIYZ6jaCaBOQhhklsRmnJ rR4gRCv82IlgP6/xU4Pdko7xwbCgnS+ek1gfhm3B9CzRGcl1Y9FgMcyzxAUxPlV6SvbpeY2dWqKS HVEG2YdLO0Otf7GPt3HXTbo9T9wVkyaMQDWYz8PSwfQ6PyfC7NIxWtsHP7+BUOcBtfD02/wY157T MfUUaprV5uc1aGqZFxOgKsl1Z8fsrjsLtruhVhqmm/PzGjJh+bDovZGt22CxZUVA09uizsO5leqU 3BGDcqY2NXloSWTt5zVgag7tgcCPD2q2QNRclM++2+c1WsLkWsl3CKR5rta22DPH9LyGSthdnJ7S slM3Su0uzdOI2WJhPO9pxbnXQOl8EOGEfcl4l4MdQcKe8WIb60zDzow9s0gFJ6YHbM+nJ+td36dH Nd5SEnUe0U0WYuIkEENxEiRNPq9RUstXSd2x1NRl9OXzGiJhe0mTFwpn7hZqVZMTnhH8J0QBNJlk Oxssn8Fjp201o7ZncNhGJ1JMk5ZzDcakUOeEmhq/uXVureH7iybln9f4qMnt89z3jc1NDlY8QcdU v0dtn9foCE+3aRNkO5/TbBP0Ghs11M9E+KnZG1DJ3Z1XIldLsXbu1ll5jYyavAAgc03k6niQq3sB vQqZEdQCzi8MdCzN5hYx9RBNQ9nCG5osWb7GRc2p1BPhqA0CO+2TgwvMc6LVz2tQ1GR3oPkQ5OCs XcDCNEGvERFO9Syc6lP+Zp3DIqLpkkU5eUvlNR5qydh2L/Lp+5wbZwWyMb4XqOeiRsEUZyG3E2DV thiTttdgCFvMlB1UrgT9WobOSDs7AQQnt+mf11ioPbGQjiCW8uadoNc4qKm2gcrodQYBYddqlG1e 6nTpPkGvURBPaOLkUJS2wSYIGs4n0C/oOOGoqz1RhX91BoywiUBx12Nrksxfo6B2hR3+05iDK3Ju MkM7cdTnNQbiK+avLQZgvGX+FCSLJqibt6yfQZA1uySfke2M3ujlSz4tOKMTi9xwSfHBJ+j8YzKu OUGwwabmVUqE8iKlRZvfcXKL1imvdUlMkOJD1Dgw0CEb+4p6U4qnoQ0aTJBLFQ6pOaN6NWGkrBl6 LUli3XGRp1kdzgT0vh1CY18VngFcu3QvwiCIaWxWGpufNBYFo5PV08gBDqSdjsYtQxAcRg4oVo12 4XF0cnotR+JnYMYBBRsNxtIs54PFkyEw9bUY2eRw8CyhDLQngg4PE6Mwmja85xdtl6PZLaJGTcT9 0ycvHOMeRnNEOOqkJKoGr2+fiC6x9gFFORjtCTjf0flMeAHCcn5pp78z6ngdSOpKxLpirT7YmA2a UZ3QaoDKGm20Ob9iRTCRodzvkxQF0ykJJtCqJ/rNm7rnDu7F29/IKY3YE00Se/9rii023REE4VCB q9AmP/MEM0jxyS5AFTShtl6B6bOeLg1JPu90umZKhdj30wcdRvv8+XRiOsc2Hw8C6uxLiaQNpDlT 9nv81veQGnkKNS9ndl2wNv3KH3Ii4Xm02gP2CmYJ5TN4OAhyUh7ICej7qU4JzJ+bDL4LSMk6latO KINr30OiIdhuMEd4ktCl4YPm6Gz1IZWZuvl4EBSZwOz4EZ/sLKg8kk9RFFYzVTm77ToU7mllohMw UJpcmlf7wZsEZ7ZOJB6nNtgUwc755PGDgN0zJbjj6AjSCf8+71X24+UgEPh7ZA2OBwlPKCPYoLz1 XDRV1nIFKNQwsp6/D22yV7vf++80Oss4UQAxd1RgvMz/+kzS3skiI1KorEwbJR9gCYxCvwINTjY8 Meg5TOmbNtEIbUIQwHsEFo9g09z2yFTxMbz4xXmiMfjatIL+IbYSCgD0TTsf/3nn0DVBWJ/YKENJ Y+R60/sovu7EfncKbxgiZzhnPCVL287lDxiL8vv3ABsZmpi9FL3m4PHjQo62Ra0nZJ7UKKqADYis U6Tdjm3iwj7vDLpmwO8NBzMfOElnN68uAZBRyuedQIdsoqbvyw1A1dmyJ7HvBDqeI4wbe/E290lj Lx5A7rvEA+xLoIuC7E4pmT00SS4NABjDyUjA41Ede+eOmx9Ny7PTJ+EFNXkVpGQJEERRtlIrOE77 oJUEPa0tk6K8P+/sOayk/l0nAoEHzu4+R1GYTQOwltt2d5m5fI5O+Fe9joYMwnZbFGebrUwnTJnD AxREWs8Gd0b5gNYpIKfAMA3KxyiNOKV+dr3u+xxxh26Cgjhkayo2fCUBuqnz8aAYUnwhGdViQcri LqT3UBsEAjqC8vcTv5CvKbvLbHkbUF3JNK/faXN4/0biM5hGNtiRdDbCWR+d/OeJribhb51mF7C8 gpTM0zjqetv3OZqEcmUZzGZ3BEUTZzU2uWuH2k4Ua689v49taG+hxXvv/yja7pJzVkaLCZ776qI0 9ro4SR1MD01SGG6rn40fxgZNUimkEbY9ZC4HtjHFCknpo3YwyfRe4Z963WiWsNvayHfQkdTPApVl DIESUbwt9ILvNrwHN6lVHaNwu7GpXfq1TsG9/yCUup9IyU+kd65cc1gu2o0+2ImEv6DCoYi7DVXC Sa4cvHhWw+c1yhEJvswW5DtXDuu+KIIEVE2DgUnOvxW/4mzBzztVrklR8SmtSfB6+/3/zpRrpg5y rrbkbVqeqXa1VTdz6lvQt3MivRPlsCKbqJfs0vbbpcU2OFE/hfbBeoaTM71TTqqOzB8lh0q6lh1J 2d43uNtm/pa8Bs1ROUlOgEj80PZift6ZclgTZX7NEmiyMLzxWYoK2OTXNVw5P6bmrKhS7ilG4IEl qJsUv9PkmP6zPtKojTq8OojgBb7pmx5c58SZiCYQSiYCIwoihYq0wLk6Wkrv8TbiJGrG7CFuOPp8 w05uCb2ByrU+7yQ5m+WnyAZO8Vnnfia9U+S439QGsSg7s0fqVbY6vO+YgWHlmfTOkLMcEHeMVMB6 v5kbsLjwg6KoI2ASCWc7JJ4BAAH6tq12lXilhPJOkGM0SCQgPZ01KAQ46YbEDeqZx887P+5XEQCT tHrXjrdJigJu/JLon5kiE8jO20WvV5nN01vopGjDhdVsoYw2o2kOzqnAkcEeP5pF58sqdfgnIL+T nlto1lqtVoZO7+Q4rgSDlRYfVM2GZcDQ99X1eafG4Qbe8z+H0pBPMecoircrQwZoAHi8TRsSzlHd dfmhhDqq5igqaPcpmRyBlesDVp6oRy7cEG0iV99JAPjEm5hqmvsxBWUl6/POjMNfSRg2uiI+CNuG RsuQ4t5cn3diXHvU00+sSfsnzDXqKzZL7wF3Juo+M9D1glstNkurr7osvy1o82uW3gNuHN2EbBVW /zn49XYCjDE61fZyBsNuU8gKZdwML1Vc4V/G4Evv+34oLbnLTfEsmQ0zF4HbaDKThv15p8XxDP5V 1z53SEeT3SYpjLjFzamreX4rFwfBkFb3kxuCNzqT3iNuE9M5FyHLJRw8vz2nUm1wkyWFCUiPyjrJ AjEGkTx9ry11K/a6wRwBhXSytEYNVZBXhghetXd3ddr7806J+3/tIyT3hJrYJEXFbQDj4ZpSvFLS ZDBPA5XBXhuL29m9Qd75cM3MnM/OAqZNg01SR8pcukQFyGUS+A8u2yPBUWLmW/0nnOfzzodjFsWc bc87qFIyWxUrtZzU8PNOh8Pjy+dIqjvn9gf8z+YoCrjRmsrYTVf6elQLlM4f5ha8J/EaVt5+58Kx moB0pCzCSTlYwL1Ky2OBbQqh69H6JvpmUlgHOvbzcgbzItz2nQl39xppDDZoivLKhUoekNn+vBPh mtFFHhQEcpI1fBm98+CwjKgmMPstbzfh/LjX5OdAecDsuKN3GpxXbs8KAZxPg01RghI46Fw8LM7R NhgpNIgSn/8EfeEeR5TN+ryz4NCvmCwANJbdckuuM5Qh/UcZtHPsf95JcL+bJEput8t7cY6ieFui MLvO7EVJivip3ka0gVq1bVtO8s6B8zYJhD6WDzZHiGWAAcf13xDOF/aaTpY7mELA+gocFvFwctHr vk9SZa2XYiA2WL0NPUz5OU4+Hmy1XL7b/exKdD+z3wlwLEAxoS3X82KlaW2SCQdA6/d3YnWw1d75 bzyOKICWtY7yXUc47Go1nuA6W+Ecy6xJAjMHXSay0/3I9rcN0jZqOAOA4oMAI+jWcWkgyPq8U98I F/juRlZ02Bvlz/ilUajdE7Hs+05R6VeIv0tkocg6xYT434lv3kka6C37YMuoQtWrovSMimTCGWl2 jhD1QiEpT9dmzJOyHe+0tyZDBLQDha0BjlkELKAThJSbKZfPO+sNc5zq13kEzjl9IG2SolCbhf0q 2M63LwgmaZZikzQIttc6imrb6NhBgADNbQ0G5MgAtOOEAvQfVBnUlLDUgPSCmn65JzZKv3zZP0rb uM2SDzqOTkphgfa5QD/vdDcG2usrOgLHqbUbaL+z3dgKH6LZ1DtDVqg9P410nKk1OLexI97Jbk1u 25RUqD7YTjuxBcgLRK6B5cuqMC5+6GzwfGiPBMVkMvJOdWOczX5k5hxx0Gl0tmAhzq+lzneMVtH+ 2mqNwWO5p1EUZgONyjaSYx83hTIEEXVbXrSRUPziiR3WteUHoryNwwWPwGxhyRJsQY2RTdvJaC5B ehvM+EudJLzvnebGtJTwkaHrbZgqbYV1vWgyiHU/7yQ3Rg6Or5HGOsKRiczepikKtOWlXMq8CJJi OtZgkBhqu57JHKaT/k5yu+X/NVnhXkrLJxESHUJCSU6F55ST6UNjSgt1DcQ3V6VDkON3ihvr94Qa o4yOAZULBdoJm5iB9sk/P+8UN8fs3ZttCUh/JykMtBsjx10sGwHByqVMoI9vQeQ415OtpbC0TdbI ViuNg6Vs50+Zi82wRmUc6MVSe5gX/wKsH7GKmzmgMPh557c1iebDn0DuIIlUMM4S6KuEIp2X5vPB 5ZZuBwCvR8zp3Pd2i2JtTi5awuYwB1SJoZEAqPMt14Cn0zRFxe1ZxLGR91Wfv/BagIhQijGBBkjP C0jKy68Vqf/VQJVSwDu3zX5WNJHK9MF6SZtq66iX7Px5p7bxJb+rbWigDekpEBQZRds9SdgsLwMd U0FFFEAWNqjdNcESlNr+O7GNhSRaOWuS9tck1YUPnQwBzqmHPlvXuUScBApraArZWhr0JXhntjWT Z16rSM4aWYjNTM/Cr5xxft6Jbb8abhIxKQQleX37ndhG6AABtau5ElyHDqv5p1Dam0r7Bel7xVJ6 57XxgjbR4eKDQ27aCWGo8wG9vHOkAKRCtW++JzZXurCkvKhG9c5rc8jNmZ6VNSTDJe0EghNmqaX1 eWe1/QoDGBXhxuhreVHyndWGBTwlu5hN3AzSQca8HYOSzpD07OilyI/gndRmWwGoLekJ5/6spZMb n5itEryFg6+hd3vSH/TKKUhWdtpP7tb1vhHmhj2SpopSG25YPHErTBXc+ued08aCm4eT7D0tLPCV 7o57D7rRoKH44l5OFkEwo1laUKUyGDvyUl1x74w2XnHSdyGghMNltA2CJVBwS33PBaGuM1UAh5yr icaX+4oojG3vG0UCXant8kFAWxhFqQgwS/28M9raY0QwqKIDtsqEhrdNUljf7mTUtHzFcOp1B6Xt gxXcpte33+lsN8Gl4K4NXktaAzKbaJUM6GacnUXaH4HFBeFaJzl5PIWSdzYb0bJUXgQ4zAaD+6ck W5lWW/u8k9mwEJPvN+LZAKXvK3sc8E5mI1iHlf9ZHAeQRll+wZXlwdLeyaoA71w2qx3Dl4GTlL4m aWOKTvpHNQ5c/00+PWi1DWDxEN5b2E0Nh887kY1zRGRSp/4bB6Um50CaPJPQrPq809jYz69fJzdu 1P6gAN5JbHiqK4gc3icRE0zxZL6mzkzqNUVx2E1EEuRdbbAMN2ccHdBlOOsIpTi0js73orTTQUtY 4/EG1TKKatsAP8FBZGUfNEWTxwFPwFY/7/y1X/1ttpZBlPkOAaKYm44L2ElecJutulR8344nHWBh 216LY24sIyCQfLA56iCsLWi+ALxFgCBpbmjbNljdAcDi7Tbcwp937hpB+Agm62LBjYMto2QGWyey m5936lozJ5ibvSFmOev9TlGI204MjVa7apR7W6Fkbl7izHDzYCup85Oizu3iFlvZBz+OCh2DZHwJ O+8t4W/wjMCZoI+pGV8SSo/vCG7/TOXlRT0JDoJJlFK7SSrljMeDQPKWbYdCK3Cf7okdRttYFS2L 5S/BzmS6XA64JfWuOXC7RZVt1LI2ZCWTDzZFsBowhT+UEWE1SRMeqtg3GaKU29vWVouC7eVopOSD StsQN+oqSZaBxyMAwHccSYxcuYlbj4NtgZKLy0hosUtGolwZiULtUTJs3oNtHNiNW4zV/1qf6v+J Rwv08CitiPhFItYTJdiOywzxtYXa8hroIQXSmrb7DlpG4+xjORW3zccDSHL6bmwDM9579wO7R5H2 WFTgmtOnqOP4NAmJuq2WhCK9qSr2CEmCxAvKDuhKanDaFKokA6cepuhMeyPTDfItcNSEiDYufSsA JHvdIB2pRNtsdSO3dSNh+bppkEC5Gzz+r0abXPqAzKh3GYVxdqGMYjIJEnqgNMeRjLo9scUi4aXW o8q29MrgB+SDRZAJclIZwBYapOUEHB0cQ5O77hXIQnn+L6ZWFGczglzwO3GqVlV5sVYgC/iXt4Ln AwSgCQFZ0jZl3udJWw8JkU2d7bYNAdhobwBw2yoC4Swy8lDe4VKKAu1p5ukj++AwybYhO8BAGzgR GnXtcwo2sm1Q2UatxGq3m6ltjwLtaoqvBJKkxeyC+UyHWhmTtt7xeHBsp/YdICWYuN7Lv0esyMHq NrBblo1k1qUpHFGKXXK1J7wcs5EeBtpUCdzsS2qwtbTSydPpfAPFFqiyYrkhl4N7OtQJxuOevni1 9ai+vYglPTcBy9yo3UpV4wSki73Bn7P+J56P7rbv9j8W1gTwyiYpDLSRwxSr2MqDYTlMUhmRgZJh vsGVFFIjJyvbc2YfnEyS4Wm+qMwJgtLoVUqvG8x+uq+sdA8lSr3290g7Ea0A43Tuk83i9PCqpCQn T8qw8Xyw39avUJvygtu7AD2qcA9q2pTVVDMirWSbWv4JYBEYkSgBKXxNUozc5rW+Sd3iYNsNsn1w L4PFLHqQE3UjdAXQJUeHAzXF9dCSpt73j0oSdCYZLNFJp6gvdPI2epH84EvwAcHhPX6BACEXWy+U pL9H28hIiEvmGS6HmEayXwENeRP6D4ZnUikJGy6Kts08fbbug/NJNjTJQKYB3gY9W0BuESEBb4P4 6MSaLuNW2F3Ht0Q3HAtukxkuBxVJ9uAGprrBxONB+t8u5pZuMrgKYBJksxShtztbSkXiyvhlFkHv /6NYABGxFFAs4+64CEvCvA32knL5IvGgCnR7TrqJQI76iQU6gZilBgZiAuivos3tfTeQzPEtUayk A2lVH6xIkglQRci9+HiElExfx1JGFgCcjM9SHHMzu5Xqj4wXSrGCG/yRreCWO+IXxpNRhXspSgTI y4bLKCkgjwpQen7qPlhKYhp99gt2GlCOVpYc5JP2CE/Sl0rb6Q5qKiVISnGWZpl4PIJK/i7e5rOW +o0DRhR1Q7WT3X+nbS9d/oO6TMlwgOhOm77riErccyn5pxNa+XJCg8IoyLbU6e6UoCYTABijjjYM KijGKJFO94iibkCpISwpBbfq2iwLDjwkuZ/TLePxgLd9S7d8NarjF19II4q6SS2v4C4qVDo/efcL bu2q4BK04mTikiOsby/VJMf04bJuzpYDBJOH0vlfTTFKUMFb8N0Fy/TqkeH4xZcEcyTHXQDTBsot EHdhqITaBWuKAxnuiOvbv6KAsw5nX34mjRC+TYfGKSQb9duYNkpja5hdONr67nY9ovI2OqhbohwY WHYYSE1ANxodaM82aBBPc4WOdOWnsoz3BAEbID98R9DlJjMp9WqDSQCeCGPJGOhkYx2PB3WS9i1B glCiAU9rUxRit0cSoMR5AGU6TnIMiMiZeUBtluCOKOQ2zE0SElDOm4Nb7Vxgm8IR4JOcSVnEmzYk hNRwRgDiEQDKO/iOv4jJhcZeGlQDOL/gVIIL8b8RVrbrhbdR/Iuq3Of39EmKQu5OOZDeLhSgy/mM ZIlskK6z3SG5oUmKQm6ozaFEorJt+pK0A+MvVwLcKKpJr4oOUBq2xlnHcOgx1i193vAlUY8bV1uD DxsGxC28j0CWlL0busJ4PlhJ4zuaRJcCGE6fpCjkHksqrsU223nP4lobc7EtQNpwnta8HVHIvXQU dQEB+mMasBkcb/oN7lTOYqJfRQZpu8MkYO4bS27KAIyotj3lgjp4IHHQ9Q8bDVNDGhOPR+fRd+rG /LZeoOQIkdvkk27q1iuSHNX1kGYZ1t/Gn2aqYyNCbiPERzWSDLc0LsMN6wjGCrrXzmw1urh3NOLO 27VMe9uL265626jZhnC7dhLdamdJhPcayg7Zs9sRBtvru5qExLSNy28bUWkb4R4OoTEcTtKqw0n6 SMUTN/wJWkVRsG2J29B5JLelTKQE0n9gjjhFE20Qpi2V/ZuJbk67U4SgCd8RhUfotFWqlZ8B3VFu j/PdS9+IoAPPR/HRTUjY4wVEYl1o0ghj7U3cttxLKMvWs221Mdel3AJXbJMUxtqbJBK4ktvgWw0Y vUwRgAnzq0HptpNdoWYA2iu40HevMYgcYahN2vYAPhia7jS4EQUACS4vihOCLXxABJS43bYphC6U q26CO8Joe5K+pRN6qgJn++2cpnVakFRRrNd+iyvc7BCxCqDBou0E2ymoGZNPcgIbGAZBuQLtggUo O8Hb5hKgczskS9IanYqBGNAFZ0FyQn6G6SlicDwflUq+We6ABKxcvX47wxI3fbtWtyISoCW8xrCM BQrhJFHxk4nbjEX+iIGsZfjgnRLS/6kihWAbQTbWW0XcNZCg4l73hhtptzNEk3RWSta6gxKSc2Zm BtvozePxYIp6+5oiuB/T+92mKAq2q4TaaPrwP/oEIF5ioWR06uiz5FZRNmXWNsMSN2Hr527aPtg6 giHLufg3uVsVSB7Ct1gvOau2U/XjwrcQyPB9/yBLQpNk+aDLbWO78QtPIo7H/3Uq8QRDetNuH2BG wXaim+eq2Q+lnpfVuM+5v/3k3lfFfYZcyUYW4CjdB5uk2dLJKKHUh+Jt1r19NhskUE7ajg4kSHWO uKFawoxr3FSSJmNCgxhuGVxU/udeFY9HKIlviDsSmzmezRaF27R6BcvPxZLOxAxDSbTm2iQD/DGb pLDCPQ0IeAfnbsH0qEwCAM5BBegkrrdyYnywP/PPevRZ0XXid/wJKU0t+aApAkdcuNtzMuPxSFPT IwD15yC5MLdH2zOKtiupWzn7QmqtXRRgb9t8cCYYXTZHIVOymhBQ98HmCNKQ538kCjClwUwXqS06 QKgGnIC53Ntt0n1qhkxJ4tvmEEF52NVQ6FVJdYlWesXjQV+yfR/akG8ZEOKwOYrr25vraLlrdVMd abF326yhBDECQ93OCEhCrk3fMsDZ1wCng62bAKhZ5tBFQR3Itp8jbEJcgigJC5NoSTmjYLt24bXa 9oHrCD4lu8pkcgw8/q9am8q2CLa7t7dnBCQB6hqHdnZWcu43kqzaapS2gyONpiiqbq9k3cbkg5fa IEOZsw7thMo3SfAF3SeI4P1IXlsQd2B+8B3BKoL3Miw/EwcS/bCKKiDPPGHOVYPH/4WS5OJD8e/J a+efsiSQEHahhFmKC0Y32n8wr224ZrXTQvk/6du03XywVYQLZyxIN51VlKFHz82I1jAa8B15229V shnWtdVtw9lpg7EATnxRBXDffDzSAPquRSKX74/C74xwJCpFbpYzzKwzOXIbNiJ2GJ0Y0+psMwq1 JUK6ZbHIwetsjU4Gixq/51xNg53bhZrXwqVfUG24Ve2k1w0QycT83e42SK1cRyfKqpQO/IHIEJ7/ FyBJ0pMUSrlbLeRI0sDFCO3k3lyO5CLWWrdag++YJikWJUFeWwBTtMHWERL/E6h33moL6NEmLxz8 weIg9GeSVtHr/lX6p721DcL+zbMoRW47GQ0ej2LIb9g2FGMH1rfmaEVhNjjHyGaXi7PDKcsKbUll XB5HkPHkHK0ISTKoIbEFyOJwKTcnii9LNaQOVzfWkCpqtZS4gW2yyW2I/r/CmjZVtCvNfzUIs4Xw wnBtteLxSJMkfU0RMFPzIbavsKZNjmRv2ctsi/pGgv6RnW4RZLVy7QrDbOZpcATx4UaQ5xeAT4+M pU44Sd/qtEC8ZhmnPXgkxFT4jqg8QmIDkJAYUJLUkd0B4mG99gTyeD7Ctd3ziOUbFKt1ImqWQlHt 2sVsrw60VTFSWJLurpNIrW2WQiwJmyMZUHkOnCPCthBy0eCEFZIzY1xIHdytBqYj1tiNjk6AgO8I itpbGNLdfRDcpteiKjqEYvD4P3WjpyoGP/QYszkKaZLMRfbcjpEwCUByt9Jyef80txXaVojYHmL/ S4Cc+twWZo+BsCexFom+mnwA8PNDJWlTotjvfiLbVlzVlm8pyZKJeX/Xbptw2mN6AdnWEEfydNko qpgBTBvz0gBXFGlPmiSBWmV4myLlH3Yja5IWOTxInLu1YqKkjIOZlHCwDXfOnZUqxIxJkjjLdolK QvstZNHoQF64zdTrBtW2yfixSJMEiBJd+x2kJOYj57zIeD7qkFw2KSYVaFuymWyWolg7FTqQqBky +J/FdclItjQHknNg2CxFhe2RJE4vY8T5Jbk5UQ0Y5EmfwAueinLVwMVa0PGm+aEZ3tHNEd8SIG6W DqY2fFDWls7hwpPwJCR8yUjK/juURHcQC90nKYRtk5W05zY7GzRinlCymdT/+ZOnoZJWGG1XUrcS Ne05+I4DjguKyEg7T/LWKr0QGsp7sE+UtZfXkRix4VuCSeqUbgFkl4PpAMOVSihoIHvxeKQCML8u OEC3Tix5Y4CQK5kpSiKFO0LdNpu2m6KbkGkhdavJLwKTFOK2aY00hvzfx1W0J9AdZF4SSdBIoNlW I3suQxTwh0L/jiSZ9r5/4EnhZLOuoQ2jwnQ+VKalKLatKOJe6TtvAwJ1lcu2WSFXkmoSJMNbcZsi syZKspwAsGDXJ8XtsLjNKOD/GPvOJGdiI9n/PMuEAt68+x/sITOr0Bxt13xSrAStpCZ7QJgyaUZh sZGDTxJiJah3UCz5fBOvwFaJSE5QYDrRhsPbCzX18S3B6Z2qQu7hA7dbOwGP/K5PcMeXjHSAy9d2 QyF55iu6uaKIG5VLMACuy1aVMQuVklzU7UTEvRhCYsWq2/Icqc0H6wCcvBhWdiq27bKRpVAsGXBB mFtkBE0eKw173QgiwYIkS4kaBEk6Z+noMpacFY8HC+nytkwu6IcQB83RjiLuQa/Elof7KU/k5ZaV LHdHGED/mDB5KEtC0O0cZfngFMBzvUGHfFKY9CQo9OA6c3d+gQVcyFy32yZ37h1F3E3atkNQWRc/ BdVsdjUkR1p4PKi11VuONL/cn4HXtjl6D7nxWCJ2ZDghqc/pmVslOFqyJBffvmPRbbZGRik+OAQQ VcbUKd4KWWQ4AGKzQbIV4n0LJPdy7zYaAOwQvd1kYkPa1vIaQE0JikIMJ0/Yg8eDuy2Vr4UEe1T6 htkkheht+ZNC981UAFUxMa1EA5MOgB5skuKIm2USUko02N3W8wldgJWkTFI7+UOmwi1oLAOqDrlc YZJCLVR8SVRtI0iiMFoSMf+HGkBJcKGzOPrG4/8iSeK8zENr2+co5Eh2+W1up22N0VwmKW33agGO xDdbjCMhHBlgdRu82nbC0A1WE5ltqOyhBACXyv6zKMszH1TynPa6keYmuZGT55IcZjgxQIKrIrmx jiIgScvfKgB5o7hdHda2Qz8b6ttAd9SYEiMbp70AqOW0LZoSa45C5La8NgUk3RdIelbL2VGlnWOc 4XYvvdGxpJ6zT9qtYLJ4uQ1JKr7jTxMAOIQSJ18UNUMmsbHSg7hw4/l/QbbU20YsgVqrTVKoAdjF 1kruSLp3vp42ib1tqtthC2qSQhhJNyTS8sFZSQ1OwI1lW1C4K6VwQdnq8BxrODmvAgBKUPiOyPRH dhL0/tndFQDQwKmGc8epHZralF+VbQglI/qwKYpgJPQagd7m8Jrk8imacMG0KcpEFnKKQgHALdBf 3T54gwTKBUsVAMpsSSugQG4FwlI/oG8btw2FZr5thNreVLZ5Bh1H+ySbwypofDyqbH+rkqM2RLie TVEYaRdi2cbyVVQYZ5C3NSirr+OobSMl7RhGcqsjt0iiyvYJg8+22mRIAqa0OYsdBbxGiFvzPhvV 3Pkdf7JIaQyowWBtdSypJA/stEhvu89vWHsB7OsJIXdY2SZDsuXsDMmTFrqU1O5M/oucJExKav8Z Z58FMpYPTpEA1C8tGiTTL5B7sTcKSyKUX6lcDMmeetvgNFrJ+SM2GPuv9r0UOdeBxyOqTf+61AA4 XCiV2xRFUTZ7cy2nqVMnw9py2Fkk63hZ20BETBstwo8A5ADE6a4+eFJbOjw1G6ERUOpfSwxJVbrO xBYXRyiJNdsdqpFIhgRUExt0p81MHQrk/XPg8f+pZgvln/r4SKQoym6Th5FcSGSqmdy8fa8tNgCE NofBR3IKw2yq+qPa6INu/jnaQtED3Yh5gpdJhiE0JCrNHCAfRku5KfP2te2N//Qh69TanCwVMr5m ksPo+fxXkx/wLyuyzHRpQEJ9XlISy2nvD2be+gCaCUdSFmG1wJFQiEYcib68JYmPivYcCwCdBq0c nEoC+8hmqO0TBVGqCjd2Zm4L8wjIfjoPkOE2viZCAAwajubqA9cUqHM0M/sBaYHPRxoAHnBT5R4t j4XGrM9UaHNDefITCbvMfaOGL8tJMLSwmtugAx1NJVII3Tb3Fq6qcWPuc/3jyJ7sBUAKaKMVB2cy msYlKLEVPHvNR7O98Z+iG2VooGAGVxVgdWwxjQmL1hTF3ePJ4Dix0Myrj/1GimrdwLHnVmdz0SRc FjZVSa622BplXyPpFPpISsSFbAkNXguYsOBA3epswAlawKD8dupOLgUa8eZw8gRIUfCNvgPwTkRM SBKTkdLJESkUgiN18PkI5/YdNQEqMx6VUsbRAVBDphLd2aWAm9tJNUk+J9ANhHXffbHnDX0BaDes wStLlLpvsioDaaIo2d2dawr5CIJNqyzRWIZfE/lwIM1Ffc8Hw3GdwGlJXxoeEynEllzpBO0+pAv1 y64srHircpKcy1VJZBExsE46AskPFUpQ8isLhbiH+Mop++BA5TaAnFjmxbWBfYB60mahkt3SSQuF JlO3bW/8ByzwJNQCmtTuwkAD0Yc4b0PPB1W4G4jzJMXxCfeiO1Nh2XuQ6laye5ZAIM06KGelSQW/ ArPW/PaLYvHJXTdRQLTBCyhoA1X8GODhNGa8CC4JPIRNGnooyU90Zkv8miCQko07jxcNqqCANWQi ykXPR+2Bu6YqF9VZYytdqSlBiN9bylR3VXRAE5PUHbLUqJ0O6tsJsK6ZUgqr34yRoHjng19+6SSJ DfxxBArQHMyDRzptAsiSRexl51Spzd44ylxYZcJBxMGVy5rOCGpINz7/LxwlC54QMl9pPtsvjMw3 37N92bqWZfUm/EpWlMvYTWYXGImX4AJlZpd8cNBJAYgC5TRuubP+JV4+2fhHIDYvC37JUSn9aYWz Fk4WG4SA67g21HBqg88Hm6/+IlMg6E5tP6FnWAQnmPJEzC5fDqlLmydTfyVNsLvkFHXfguCXBrhi U47LpoRlIEBLq9LvfoOhw5sPrAF4rELLMc9LgiMxF98SVZ2IFQBn3AZN1IQ3tXTbGHiGdjgXvdzk 5UFshdcLcug/ScvEWmi/I/lJOvUafylfkhdlG2StGIJPOjVeKgE696CFQnc6MchAskgsHMCDrBiQ 5QXjsgzJSzd7oRV3DgwosfMIF0iyxksuGbRSrRLHaWfT8/nokNpfucykJ95z74UWlCzrnahyXLNX FzDFPBHsRSkTqC3ZPEUIlCQ2HH2DNdg8LUSWtW7hBs4qSAQyTWCjWsEeIW4gPT4mObagLDzLq4AD dbj+JDjMKq2sUvl8EJ3fUq949KgYp/WspzA6L3RUqK6K09K0dgrozC75AtG/qdJBDl0oV+V6ypR+ 4eDlFciY5mbW5cglqZ1XB/Wf6zAXKpuo0uyF/9QNpCkySppblRIYP9O3DtZbg89HfYPvEwoImF4u Iy4HTpTQviD2ZK/x1DK71zJpq8p5qqlWX09haM7605mG6YOtp4H++thTYmbthGRGDFd3s/ygPO5C XaPZ+/4lsFA7iagcBNY5Qd+QQ/fKlc9HMhTfVOaO2c7tWU6hdKBU8UZLvu3acI9livyqEjX5K2ia Qn5l4bnUpdnVb4Ml4+LtqEWJF5dobIzldFZOZ6F05AtElcxSDu0oeYBvKtJwSLacEkwp+Kensfl8 JLT0C2UJMaz6+JoGdpTJ8HFt3eXUe1JvEypYV/x97bx928X+OCQOLq4qDjZPmSLeXeZvHdb1JGMA hoyaUDOcpeGa+rQXjs4nGVKyNV49pAUdZBr463wQn49khMfXekIrin6kPk8hzZL9unNJd0d/FRos LKK/tmfEAKxapBlaUi5eYr2yhtDr4wALysBEBQqRJvo4NH9plHak5fC5Y9st3KkiFXpSmtwy9Mhs UEJMxbStGljn89E5/s1FQSd55uvbnQNXSvTsKBzQ5k2IyQchPS5Vm7JzjKsclfRRf/GaJhJaGxz/ 1ee5jigDf+L9kzt2UnYGd2imLebwGkvBOahviWAERDbtnn1Q/HSybkoe/AC1yOeD9dR93xFHsBEa rFthCYwpM2vPJwduyYtR/N1VYanFnBgrFNs8cwmcKb0B3FGzsMEzF6grTSAq0CUfi0jk63GeiGtK D26nN3vjP0qcQMrdQelwm01ysGW1wucjU+FvmhyOANT170SFOHDJnKc2fKLE2AWAd0iKERMFbUmf qDgglwge6U2zOr2p7TlxPIuYiuRzZsuGkUSkirMIUp+X4DTtjQOJUzY5objjg7JhwFMZTgEGyeeD k/yKLvCEqtSiAyTbpir0qOyUOaV2vPl41mJ7b3aXYDh/BHorKkaFJpWSU4A7jg82VQu1JjSfMFUo PmXuvY2uM6U90Y69Hapm7xv1X2TBkGywXLjtk5IuMZdW5vPRlXc75rorz4SDoerzFJbMaXiyycOm jvemHihLUVCUlI73pIG0zVMICpesQJWuUH3Ql+cch1slm1IbqHBAyza4vecs72f65JwrtTOZeYc+ lXSFh4dB8UElu95aMu5yn3w+ojpfkyomhEjx+rUXyrFTJWODIVlBEp+2CwsBmWiq8KNDNcvmKQzJ CXmGrakPnguf/BA9TyHC4C0MLk87sQsAmJB5ZZXczqhck71xEGwWJXcyY4SLtELMAXB7FZp3bX7A v8A85sRUAOm94WZgV4maHbNh9hAxVRBAkxxTgb6EANBEgiafqgirMjRHAlnMlh/Vc+jmd4rDnYgA fXOEUa0wEUKX/qcgdrv33rY3jlAGLBjI/HSThsxTirAqbb6s1/wXq0dAzEUb0DtRoY9OIfeJ8BKl L9NdYgD8dLzKOSENipEDz0rJZp55Z/FEgwMNznkOZx120fcetSVxVsATJfkq13rr5dTQz7FpJQnP eZFnSDcvSU3Otak0DzLzmvyAf4kNFgsQTvzyrKioXt4oybhJMBUgo5sdCvK89mBW6p2oGLQi7RNy djk41BDzhvIfE5gx4AsAhBheEs3Dn/3Y6VD2kF/yV1x+foXug5rpZz3RC5Caq3z+XwIxmKZJyap2 yyuhcSXLJvQAtLomrTZUhmrbkb0ori6fpljbG3keafg2eP6C2BKi99RjOpHUYNlgogDfIC5SH6sY 0Fn0vgEso4gnJgpL3V6FmqD6T03T5vNRWH5xGdxicEFN+TnLo0o5lHdxgPdrOcjzUOAV9axYrpsO O8iBeaXx6TpAlz447qCDFzZpYLUKyGNsP1C9ciLDzDqf2H3JNlEhfqXJ4bM2DYnOAQCulrJkbZr0 lv/Kh9nQYy8v33w4tK/sg7JMOfv51JlVCsGizifyYRQQLR8O/Csx5Vs0+uqDracJ1aexqe91Uthx 7itSfFGzHkge1vVmNJ24HPpXNtbWS2l3MN7hwvZmmIBmemBgiRjsXnik+SQwsR6aTw48LKE7CZRv SWU4jkUkVpxPVTbpxLFQ2lUTFRrrSLuy0nmBg6MzwRffi5R6wIoQnZPocwI4BFjn09D6nvLVJaU+ hzaW0+q/LESdoWlBAdhQya7A4uXzEdzn+4Dq8PWbVxA9h0aW1Jk5K69Pb7w08/w4X72aN14g/G4b L3ayVEGT5gsabOONBGrvykZkLedUp386ijuNpvHrOhAKMp5DK0uH1VOmIbk9HQwly9Y5zjJ54GWJ fXcb6YUb7xzm/WJ9c2hmKXRGHdP5vi0bCrpA58cciPtYt2AXuFle+QGq62vw/vCYs1PFC0E5cipy EEnX79CAzCCiXuZ4txeOmGOUaFRafH1Fz9wbWQK+MZvP/8vPcpuzI9Ac94SKHS2zMHbFaRrgzxoq ei0jJlS4KnjlILS0lHVMZwFYg228dE4oMKKL630C14vWPGvkG35xqHFbz7OrRRW6Wk7ZotKM6gyY Z/kPAIDDcuo4pyI/IJiqW7OjMcr5uLWu60eOjS0LsdGjuvU3aQImjML2CY+oNJyskf9wtlykIOw7 eFB+kjwIK5GL1IAIhbBDY4sq58wiMKXO61cjPfC2BKGbNsRTLjtY9V2MjdkWnQGASsn8gIj5881q 6fQSf6BRsbtlJ2WjPSZpxQ1SVk7ZWNKw7qu+pmIBcMFYeKbLv9fW1NlRJzIvZG2eIGcR4tb6lGno +TTUEBxqv7K9cFTdpJdsJZhlgoxejKKyOo0VIJI2+QERv9VrB+zmoajVnjAqMLkE4KAQkFGToVhO 3D89JUYvVGg7qAhZnhe6XFJEDj265YNH5ef8OFkqXfdOngc7B7SHO2XsEuyhQaK6FKDU7Y2DOGpP nU/DB2MlnPlhVwBlLj7/r3o5ZhVmdr197b0oLh9dDLLljLvaqvPuO62RCLaDG5WvqAjE0lgof1lR Z9JKWllssiSeJcIDoEIg2ICsOD8El24v/EcdeBfKh50B2UjXikq5E3EwElfUv313pD0LT4j2gF0D s0vAEuEJmrvvvRPONJcmStl9HOB03X2mwsicx9PIAh7kfat2e084ESUWOM+pS+FxqM5AWQRC9oCr jVuPomV6Dv0uAUsd7Hf5YFg7JMTydFydzwd7b/7KiFE0RLrvExWWzAFJr2ubZwNaMFxH3Hv7ooJT dRenHDtemhl6bz54CnPSUGg4EUG2wKbhxdfAQRLWLqOQ57golcxDy0uYIUCheC8fDBe1szKQk6Eu Ph9Uo/LFRWEBZiQda16johyaXlZW7iZPaVY4Z98mnA5k4VKFc+Do9GMqVk/BeV5z6z4YfvNMBCQ1 EUwh057sMW0o+xBmi6C8X6ZiyQLbBbaXiT4t53VAi7NBFx96BPIJOUuEzwdI19W+Lj5UBeg1aBMV Gl+KPlXLdNPiUqe3ibsKn0j3IE9qIULgfAl9CNShKmbXBuumn3QFuEQ6zZzs5sQMTcipE8FR9hl9 K2XFeSR/37+IwVQZ49DVJR6QM6egikAHgfOlnKG/NJ3QQnuML3PofAnCFsWKr/MV22qyCJd7EUEs WAJK9kLryzVoDg7qoQ02TSi0nI3cCfYBWTETOVwhjDlY6mfYo2RvKJAKrC8FbUD6ItciUHasRTAB m2Q7HarOOTS/fDx5uA7RlYfbqE9UFJxDCw+p8CyOYmkPu6NVUy2anQwkTVTsysP0pbB4Z3ZUymIS fNNxeZOziJoNqWZwmevszEAX3tBjqdj7/gVHlNIMpJCXnFROCp8aoabn1iuLH/AvY8dtZznBlj5P UWheKaS259wP3eyqO3VPYtBJdfRY7H9JI/VswjN9eRmYEEfAMEiDoVMy7VY7y4poVXVS8qaU1Iq9 b9SqKmosTB+UFSOdlCtvBioq9L/8raVGr1GIlvk0hSLhiZYz2RUwELa5TEhqNmO1061CV17sgClZ h5m7Dw4FPrMMkmolFPi8FUgqUAlFKxuCM1mKc1LmUTc9tMA0xsIsd3Du4qKHFua78vloOY2vYxyg 216faDP0wJSYairNzR3S1QmdQ01QyqlAqVT3XWCCmVgAfFMv3mCwnYCj0uX5rMuF2x+Z3qBX2KS/ bXpgLNveOKjbVZoXACXD9BEsTQZRFco4EgDPjR/wfpLTDejmxLhLZt/PARXG5fIwVDeYdg9i6FHF qPtMneXRPdNrUVy+iAOGKpgPjjs4cwWZX1UPgLKj1XNaqNhkBP8NtULfelSfyy0KzBUZ4EOkqbpM MAQ2f1IxzCgetCgufwrBzH0yCRaAH/hUhUqGqch91iAa0NL3pDg5HgHKKtm5HYElJkgT/Zdu+BXE hIVhIzAf/w4yyTSqqUTNYYMvWj1oosQWCjwxZd/6bYptkGm8oMEJN8hCgSnmN3KTBPRxpms9h9Tn NYTqyAaorQKcrwuHL+MKgV9iUkaAEdqKeg2g+lnRYp43Ylc52IXXG8JJyNrAo+f86o13IsnmA/5u MFq7lCq87Gv41KUfP3bldafBMMDnSK8W1+LplwnqKHlrJZkAIrD0czJxYSsjmp+Znh23JfpwBXqQ sbJkcI7KZdpqr5FTd9kw9NN88IIBJTE34SsJHIVNgtAu53eYiPEYj5jPw9LB9DpBMtlDw3osH+z8 PunL1AG18PTbBKH6lGyClp6CG0a2+XkNmc5D+FwQN5Ij68Yq5j03q+PtTniMnI3z8xowdcMYjDxE rh5uPX9CIjA90xQv4USYM3P9DFQiodr7A86nBZZsTH9ew6UOaM923wIb1G2BuvYw9+L2eY2VOoL3 6euHUwmJF0k8YH5eQyWsHwKgSnegPRBXxtyAgmG2wnipzsV7DZTOB40S2Dvn0jJijKx+VJbpPOyd ARGgLv4NvEF+wVe8z09m066qCVzRwhNiApIm4guj//x5jZL6Y+1kLjWThgPN5uc1Ruq4hhgYFW+w VEIkBLAf8nrE6VAgBCfL2WD9QHkVwlf84znYiVDOWQjvMURI5wermcIFkLKhtqRMnYZvMDaOP68B Ek6gKrEZ3fvDQLLn0kdJX79HbZ/X8KjLMuCZoKZWVrEJeg2OzkPUI2gyhlEHKu/qSILmuk7nWu4W a7+GRli/U5JF+w5+QBf8KbzFANDfkIum1dwgpH4R1DhvS1M+ocEEGZN6iUm9rooK+l1MSFBV+LxG RdwszSZIkIMJNKZP0GtM1J0YbDpgjIlqc2XHtWd3DEHtbn0RTVCnzMzcd/CUDYaslDzECX0mgKKG DYfRz+osvgOxaluMOdtrOIQtptR/KROh2qJkdU/mk9hJAqb48xoN4QSb3VcQ3o7sBUCHNEOvoRDO 6Er416PDjx/Zq7m5O3W6kvRNMd7wjG7CoCQfvIUJj3l04tBHqRszQxwYnUl5fxXGjBZd29u+X2JT 9qCMrTWo7HZugWUS8zt/XsOgrgKFZoh93l5cQZU6ksEEmVpwdlWH2rzGjbOv2SE9EpmV1E4LDumU xcHf3QffY1CVO6EYweHoccCxcZ8QEUcCpYkolGRAOeoyf17rkvgTob+DLr8cedmO4n2Ehi+FCjO0 QYMJGslv+cE6yvqZUk6j2EYwQWTznhRgXuG0nP0QOlvU9WVGna5TFEVBXVKO6p4kD6UbEL3nGEKr GZ24DZYIEJdFxR/+niL/Ch5HK6fXaiTjRKFOiGlKwk9S9oKemj+GTH2tRf66xghBzaguoqXqgWIU SY/MihEFYVUU2cmLR4nqZ5yjZgVccA+jSZL/3pzDB4dbItVMs5vbBeTy2YQDBe8EkTQFbY+a0xBD Otpn5PtmGvBp4D47CZbZqJ3JB5c12mjTNxqVDBo5fcknKQqnUyvCCdhhfe71aiqFZ0llb3/PSnwq KQ7hJDEQYl9Jg03SQh/X89eBJQUKQhu0e09QUangpllPl7ZSn3c+XTfw7mbWaYPAuwnQafYATubx eafTMaT2tYSdhUQHQbFP0ntMbS4ZMEvJHhOVvP04khY2YsbNuq7QXsEkscgB0OwdLnAXvCRC4Aac +MpiZYm4rgEtZwC+PCQagu0GU9S7APN7+aDz+lwtzJpOprX5eBAU3XXEtAy66VPqspyjKK4G4IKN 7uVhUVqGRAVHZl+ApRuCvvPoeDvySBJmfq4H3HyyuwzjTZaM5iSYCepyKDtPCh2U/Zg5CAX+Hlqj F0TC06TVPAfL7uHNKsdiwFDD0NoKa5Z7dNJXb272TqPrqoahBjIMldMyXWFUpx3b67SNx7vqtNEk ASk/6Edjg2VnECuqaOUDvAR9yS2PnoZUFJonszztkanyY3jxdzEJOCTkD4auHDMRCX4OGBTVgkkS x8bzV5D7QTb08PGdQ9cvZpA6p4IusUxqWo7N/BxGgtGYMvz3CDvzR0buSsZhvoKXJ4CEBRsN55AH n32x6bNeFycJRx18YezY5uL9vDPosF9Ex6+MIDmIkXlSG2GlTrJUPu8EOqQTxa9/BlYZWNXZ0z2T 4ihbNsXVvVMqa/Ui8vTpMSQkvWyWojBbSyk3Gqfmdru3uVEhrzNRO5kq2IGIEqigOtkNrsxk1ZGU AkEUZzcSDCGi6IOW0oK3K0kXM+/PO30OS6nfQ0mXIlzJnhAgCrTpAIVs3GET69EoOlfGsGLaQgan /RZF2iYLWlkt4nDBggUEG9WxG+zrECWcJBGJ/qDkZXctp8Jd83knz2G9YvltmgYOgqSVuMO1hmpv Pydu5ePvEUB/khEGnQvibcvn6D3WxpW0NDGXYliSN0WM2ktzOWKLWZeNgm2VQiqpFhpsIW04Z23A YKGg1qF4SlQTimkdsQ2U5W7rqOtt36eIQha72HJql68KfRUiXWFO8XlnzSFIujVHKqeCbdhT83Tk nTWHI4m34ZkOpxeux8oZBErN0ehjmFnBO2muSwjwxLwrVR+sSpH6ufEhMcs2JGRVpfQEnh9lQUWm 9yK/vW40SdhlZ2U2H3QkwSFyqgELoEQUcOdfZZGOBkPZvo7e6XLM2SiXxrhVtqDLTAuQ1DrwBtKq Vph9Z8vdvbaW+vrrKn1kuEK1xLttospcKNNvdteQBs3o8FnpmuSqzztbDsteGnybTgUcLJCEMaSI qiV/3slylhJYUkLUF0JaTLRNUhRus++KUzl5JDmMsooDaV2b4pJNp/idKscoj2Ujqcdw8MYaIG4A KEkIDPz5TbtLIN1yFr/iOZFYgHznynnmdg5pKV+j9Vd0/oIRpPII9FDeuXI49rMXkCivg4tDxDnO UlTDnhLhB97F1JypV2b+Kcnt5SqppFxK7/G2dFjhdkEk1/pSKj5pSEWkRxkipJ/gup38fwMVhWsJ vcMbTC6tpfeIOzk5HCsbA2Zz6ODu1KWhCv/6vNPk8JbDAyVFjmeaWx8eKL2z5LDjpOu46m0+yt6J hTayhNR8XN6mfSfJMYbRtTa3D7bjoCowIMSPUiTsHSD6uxsV0jITkbbaVeKVGMo7Rw7fslnuR4pg g2KAAplW2ajO+nmnyNnR/xxLKGitVm47JAq5V5VcRb0y/GOPi+9uN8FlhMEdFxa0mZGtQQI9hy9y KgQ8qeh8lieYpAgBRgEUFgR6MJysXCtXp3d+nGVfqLKl6YMVtOdq1lKr6/POjuuGLHryEjWeit9v 7+w4BqGMAW5egoKPW8y3Nm/IXZ329U6O4/2mLjZd9jjYJJ3spiJkRz0JKK5e1MUmXrOgVU4Pq3t4 L71vEChxehK0Km0QvA1AARIXQUf+vJPjuNzbVxUA+SaM4X2S3iNuUE+4x0bylTRmt0laQ6ZhiIZr c9eLd2qcn90AQzQfLJiEwUyjiyfxkh1LABccipMIVnCJ9y9z8KX3DcqSKiTtZ+AkDcikqVRywtPP OzWuP4SKKSoTqZpr3aUUhty0Bk0zeXskV/cGgcCLt0cayD46lN5DbmAQCW0Dj8GGC23rLLMWApXH 5P0Pwhf2W8cNW8Yl6KDvzteNJmkS8p7YH0H3klTLCigBpakBwNqfd14cC8zzO1bS8vYe5DstDvuN AEB4nfl+G90PJRrLWkEJ9S0tpfeYO9Ef6uxVeKLb4HWAAQUPycxn2NizidQbW2XsJM18GwBkYHze WXHd6AHnxE7bB4NrnZuFmVA5Z97nnRSHx9eveBL+MqgW2RxFMXelkg2kTawHQH1izRFbqpqjBWis 5ug95r61EqxMG7wziDpJEZQUMIJWWSsh2L1hZudlDmaqj37e6XDWLSdRt/igKTrvmhQh7jU+72w4 RKPDl5EabRum1363vZPhsIzU69/V1fWao2tQPnTny7PhXR3mnQvHcBZ1pErJYg2Oti0VpzPJujjQ UxuSMwYUFBW+ne55BAMCfkfQ7SfDq6DoaoNSt1JbZ3f4RF/l886E+xVxq+R2TiUg5H2OQrwI09vd 61OX9Pt/7tbci4/S8JqjPwEjiIbuYMtonkQEGoRAHXVoYFlFBSaWDTYvGVgipNLs+Be9bjBJSw3t 2XxQjDTOFb1MZ4iPR/f/LQHwOdqVDb/a3klwvP+pb9Ku7cXKrsU/4Xdp/TaqLnKvvXPguqkxnYyj NB9sIZ0jDlSJJpe5VHvaEq+A+CAoJjM9ZzYhUu8EOKZuWEgN7HobBBupQLUZ+a983ulv/+fIBmS3 tZuTvLPf2PafbNTeKSpudAVLM3qqlMc9pfOTor3G+kjhvcbB1lEGoXJ1EZnz6E1gZfQVsNfALZmu z5jpp/t5p74ZuABwZhvAR2LwhzKz5MtQ0fm8M99YKPmuJYED0vb0y/+d+MZfhogjmmoJr12zA9tX KSZ/dpZRtvr2O++tuxpFh9mWDTZJ6HP3c39hklDPgDYflxqqIXA1LvfIBmeILxtMEf0KqBZqg86j 8yXW1z452Oed8tYFpHyq24g92pOOvDPevB1OvTGH/u9eHNFOvTTTG9y+06LiNqwG0FgB4U3D3WlQ CUMS13AVUVgeN//Encuorj06FJPpyDvdDVengP/acWnXa+jcUcfD96XOdwyutV8ItsaD6Pa238lu +NIsk4Inr93ZjQq3dypxcU+j5L5z3brxTE/sqHg7X4nP1FOak6hisCcbULVAaS/1JBGilFIe+iRB fu9ct1tuq5nWaTW7dVqCKq1EAxZ+yPdAWyGoJolxwlkDU9xJTlIUZ1cKV54V7yib0tzN8QRHY3i5 bSzjJL3T3G5J8pzI8r+g6t/kJOH+qZnCuglYP1TxoG199vRCSaSgoGO5v3DH7yQ3K+CjNiKuG6Gf irMpMcJC0rlzPu8kN4agXiLB3IKES2Eum6Qwzm4kd9fqqi+J7tG8/K1SWenBl6yV9E5xY8bZRbAp PliFBGdFL73LzAEEVlZIYDcDVTgg1pETu5kDTsbPO8PtFpKy8BI5sdDJWSoovNDO8VyYn3eGGyG1 6ztGQvthrNtLeue3OaYWrAfDI8HjxFHskECwDdfh7aRpisrbkx6Fu1KphMOFbAG9vLPg/idOKpPE boZnEDv+odKMWzpRLOCd3daF/4bcS72DNZNKXUv1kp0/7+Q2vOTvnA0f1rYHku/cNpxKJT0nN1H/ C1nZfyReBDU+OoMWYNGouP9ObbsBQFafJD99ktQggkSleCS2JxTE3YD6NlKhBI5DQQfX1hIrUJ93 bluXayVYFbJwYMGONZKcpU14oq46P+/Utv4IWU/pKeB10E7ytO2d28aLOylOysaVHFSVhxrc+XNk mwZQC/CxFWvpndrGzUCFWCQvNjhW4kRENO/EubQqLNSoYwL5YXiDokiNBrdB2KlI9U5t8y23NitK GATXr/ArHOY0k9bnndjGIkX6jpMGiyR+eL/z2rCACSip43JvqzzBSHpZVXjtirDHHHneaW084Vhv Q5fXBpskaDuDptqks38uTlJvUbc/G67ioN5pP6lb1/tGqBt2SSDBboNQpKspzUS9rX/eWW2MuPv3 sbQghLHyRSK/h9wQN6OpI11h5TDTlzZfWWpcEMq+UeLhFfdOartxQILIqw1e3577pAPQPSAXYrDS D0kchEkJEWIp+8YBrBx/3lltOEYrm5KDcSUHtZTOCWpiVCeb/ryT2n7h3EioPPsWxGafpLC+PXlg T68BACXcvAawy4WUAI4tvHZU30b7+CQklduOgweUvbaCNQRISd5wJqDWbqfAEn5VdOwsv1Wd5J3R ZoDZsSj1Y4Nyt1UpSoW2W2ufd0IbWwiOA+BKB2y3r9ubfCe0XdJI3TlbsJRubxLW106qSdVFXt75 bISMM7FlSK3BJmmM2hCd8lAqGWwYOonDdncAjYf6gAXdwAbwO6I5Yjxp+cm0dQS/95FkHdv3+LxT 2YgM+ZXfnqu0PzCAdybbhQGUfkuSknhgPNlWN9T2uQSr9dzeiWx3s1V6hGnwFjcC6dWG6Sqdw2NK QXbDsfAciWs85qBaRlFte9DyEumlD5qicxvITf2k9PXzTmLjefYNA4SQwzkd71aLQm6zR5n7gm7X 8irJICqUVZKZvEryTmC7kJuOy9wGLwFAkhLsFE7RQHjMzhyQq5RcRzfcu224gz/v/DXmXsQl0WtQ g6YIVppJTZk5P+/0NYIAvskjnG90uGyKooCbDFvktNMLADIvbEzd2M8t9JjPxmxvIXKbmX8BPMoG px+dVD8R1tQAbjg3F9NbdmA6Ndq50ZqKbUlvG9z9hG6lXqsPwkkUFIk9WsLjQRx5QUldMafoTzZF YbCN6PNL6a3u6o4DgDT6KtrLIQAtqmsnMpAyOr022K12pgQnxWTRtpHqQRh84SpCiRCqt97b1k4L sSQUvGkOTTLsM2CcBM6w6jHweARvu0BJHl2g8wxUpYxk83e0fVI3r5NkiZZRSUJqSii3Pa7X/T3a RvCBlK0n3m5duEXuNYjWnaVVSBZFUYqJcD8LIcNXEcf28lhbdgM9JEKya0sReRu0kCZCCJa22+bj AYvkopKo3oVLDV9oUxRWthPb/yqT0LWwpOYVSUpjs9jGNiH3Wo+wJJR2GwMkXBs0RVDWBiLwzDwk KCEETHXYjKJlZeoGHJRXACi20aPKdi2ao758sM2WJkGwAFxMPB7c/DV9RUdISukzY3MUBtosJeVs 5rsIlMpyJMmycAAcAGfT9qiwrQ5J6uZe8WBJNwwcucMAYVxA4yKEHOx60XivAHfiBQCxtaJAe4pt nNQFQBFUai3lhHWbYLFxXhbPB2SS5ZE2q2vZhBbuWgpZkZt1Npl6kHtz/RiWhArpM5ALuySdnxSx 2go3EcESHLzkhgIcbItFAzjHFfWWK0IaSPGglphc7JwiCfyWYDHJdqjKjrdacot+w5ry/TqrFo9H 1NFfWRtsZvOtAPSIGomyNg6im48IiU9V6vPVJo7bwexSPtLDUNvrktUHh9xCu/ucqzy5B9wviYKH iPRJm5AFUhTBhKV4u/Wovr0mf/tGuA3piGZ3DXdRaiKMk7bh+WCSLsadp9JEXfJhR4ahNpTGT/Zs qpzgYVb3kMt7VsclozOtlRTyI5mIlDa7D5dQgq2LTlmjygrZc+BKnF9+bUYg60ZJTDHwJUEIYHd/ pjwuS4qmh3BWRZc1Vd14Ptpw5etYwrLs6VlJYYWbHNLK3Ikus502VZLMT9SWalKjbqol9TDYVvAj BAQHB7hNyLU16LJOTM0Qf4tNybONASKubTzUJHvfqJZEAZIq1Ttq5smOqhBhyHbS+XPwARGp5Fdf kuKxqGDbPL1H3EhlxPBHvVG4W4LA/lMosAb7YJL9oWHwU7njooh7c55Wp3T56lcnKaGwdDJvmlyC 0ZgXuaQdkolA4qCJSsaEXD2ozdmjKneV21eSt0cqLuWW4GTLltKZeDweVADqLd+q/9RhXHxnKUJw d6VuZBP9h2aXu8oAtIw9cWhQRRH+sLblIjQJLT1O6KhLTi4ilZx2RJGZkrizQAiXwMqGrgHqJIBJ 1K/GG6gi+JYoWmKdpK7mg+okuC7EOTrHIB7/F1qStBLcKBCQ9lkK4+4upm0ZXnNLs1rNrVdzGsDP BO4Z91xU5F6y8VCtm4NzAfoCRmITVJqxhBKht4XiiSCrnp+/eGFykFTaI0RJ1/RIHKG4OEI9qTzu uB/Wmicej6jbdy1ZARd7eD7s7SjyniSWLGZcJvO63bJxSomLtVV0Uhh5j6jODWFCVADS8MFrJR1S jkCOMIUDspvGqsR0MCyQCMCj2D2iyDtreoR146BWQDubR7WSc1Xg8SDyHr8UACiTX/z4HlHkDaI1 kIDZKBPnPu3Z7jiQEowyUYDhFcM9rHGzFXD+i+GDBQIZjYRzTXLD4cbDnkL9HBR39Haz1AAlS1bs dYM5KjJzJj95U+OeBy5C9sqvhFgrno863RcJyK5SmhBH8q7SCFHcVi4pFgmAmekmHnu6J9NgTUuz FBW5cSpskJqYwg3yPGCw2SA0AyMgpHAwUj+TxoiqwqyC6rrrsRJA5RHfEUBKCd4+kbpoAcsiSmBw 5LLdzz/w+L8ISux0ozpRLz9phKASnDFoljhFuUgTf5DExR0o10/PckcUdqPLh0K4ypO0EeUUlbO9 oGA+tddOdFaZ5Q7I7XRwX1lRsigAVR58R9QqIXBbcsocVAqATNyUhM/YeDy63b4hJYii+75Z7giD bqmCrNvnPivPnXazUEo4jk5qYbzSEQXd1PU7M1OzDzfoHonsBuqY736yfbIBISw1qA+OTNHIt5M8 txHVtzMhyaOy6gZ0j9CzaOsU6fed7Dnj+Wgd+bFN2gBKEWhv2CRFQTddHeva1a2q0BV1JoBsB8ke Bh5cWy0KuteQC0zbPjh+O7HXZmf2BruXk9ROmtuBFp/7ytptqgGMEL1NZnKC7rENuv8LwFyqBIyJ x/+JcUcoiQwXN6pNUYjenpJnq679t+bV/jvX2rQWwEa7h8nbiNDbkORHQkKBUg63mXQ2WyPzBuW7 c9rRGm2AzNPp2lG+sNtVbxsp/+A+61In6bLz5LV2vlxWSshvRxhu7/Z1GhVKEV2I24jq25LCL0T5 CFIyuvFJcEpPh5Qsl9YaoS5JJb2tLnVJ2MHIREuMuXEjF8tvK5YsDMKlmYKOznWMpaEYviOIj+j5 AsFFDmjYcXugPt8ZeY+SOp7/X9SRIA3SpYLPOQpjbUoj9px8jtrOVlACYNznCOR+m6Mw1qaoBJmj NjxMCVhZIL2FMmKhfzTs81AKR4G7Mpe3rcYgcoShdqf8z2R3e/IsTWIBoFFCD5V1vhwfEBQBfh3a jepV+95rYaxN9+HkZFtU4LYVARb+cwuQKrSItdniGrfsu2b2wWcJBoPcIKC5oU1cKS0NAMiG6lFh VmJGATq0wxp3ZYBEE9RF5m5RgN1boSA9s148H0zS/NUrOT/9Aj1akzTDEndloL16+nEBbiyu/xCl mXe2ScqQz2baNkOpPzFuyzM4UwL+swkrDY0AVPJYljv3G7Ah8Ecf9XbcSLydIZxEGqTs32pQOrKR YRIJeC47PB71SnwdTa65BoC7H9ozCrTrlEIb5X1JL82oqqJOMuQwzYpbExHwXP4zLHEnBtou2lYe ExMszT7pOJHgVL9pVkmQG+BbBJDtB3W79L7BdqOgXRYCID8IgHMbpCnpjV7w+P9yJEEv++RJdx1F cXbSOppXb6uD8Wmd29myH0nbm9szZEuyr78rO9ccvOIG6/he5aGAIFuJbYcgQD531fyh95YjbiiY MOMaNycp0cmag4okcDKQaus+6f+MIu3Zf7FJTiQ1x7PZokib/lsnjDTMNoFcpnOzGjVSZF7Sl0Hc ZljgVu22sizJwRsBCw3cMujXdcL3NSWXgLr9oCxOuSx3uvjiO6IDaauuPXzQFAEF3qWWUBYej2CA +VcygmMteeI/o0ibTIWWW5/WK2lzGgJg0iTckCTQytAUhUxJpbNNiNLWH8f4cV6G7Bi0xpCMguSO T8w/FPSH1u0VR6YD1QyZkomcZBQZbEiKdXBcsDFZesXjQWOyeXW7CAVYaJvjkxSWt7c0EW8PoCsw ooT0XrbZRt5Ob5uhOAnDSJrT2GCTtE94gRIemRI7QYKe6wj5CxDFgklYjERbyhlF2tW1pJYPYm8D 9aJ65BwDj/+z0qaqLeao3jmKoCQDsVgluVoHUmZ6qAMpmSEAQEXb5yiqbi+TtW/JBz+1xxiAtCtl A1KLiFNUlX5QBv+RyLYg7ihA4DuiM5vCLZ3KJDTrlLhvQydHtj+DrxglbF4c4flcYAFwCTczZEmy d0vlVHMpUXsbe818ARq9YKeF2jMOtdmRrekODgLAFJWki21THwaJf1NVGRJ8e/xWJptRWbuoFJl5 cHMwFsC5AuS2tTYf/1c2wlcD0GZeHdIZQUmEk0DL2U/snl2ZbK/lwLbUtp/YUaRN4vbADPhgU4SO Uc6TRNITXZ+/jC6xvPUXbv3SnyN7EOA+o0i7sYNEdQJCJM3yFtH7JMLsfGKueD5YR+2bKgGdMrit +iSFJEkVtZ3rh/xquh2emnwEk+BvtUkKhUmYq52ldweLIRFY55NmZbmapvOxZACidjRMqPGZpFX0 un/FR3USuF29W0XJqlKVYOyOx/8VRPLdYCULeTLN0YribDTTSY9wEaBBDj6LbKmZxgQMgJfdayuC kih6zIlE0pwukfQcTGj0I3yCAkCF6w29ETIN6ECeLB5CmgDACqEk0GcHxnL4INjWibV4REAAoOLx gCV5a7WsH50fdaYLIl1hQXtSlmROZ9ysPW4Iude+IWQznsQK42ySJBPb/xpubyRV6awCtA1JD7ZP ChqYbEtA3tghScCD4Dv+QiMnUdzINFeBFTFrI1AWCtJ4PoK2+bXG/u45VQaE92yOQmHtSlZSMwFb AG1dSwrpuodHcBywOYqhJNIAmqyOLJnYYxmlAX97XB9g25SzlxO126iagFI26CM3Olo/Dd8R1dhI 2R6p+yC0zQnN5DJ2MmU+HgXZt+RfVS74Ya5tcxRF2eBSgGHrOklNPdBvO4RB6V/XSVohYrtnsf6b Dx5CnjB7Qd6BNz8UqnTzA9fT0S9G/Oo3P6FtK65nT4XXGgDK6arVni2WTdym4PkgzP6F/c/QjYOv mE9SFGfPJWekbcUR2CVta0Q2WhqaCwm7R5ikkCQpNckhgeTxCCTXc26c0K5n3f2IagbpbV3gnB+w Sx+ojb1u1IdkR6Q3hZIQDVAQeX5cQmHOtM+M56MAKX8vJYBtZ79N7RUF2qhaZ0ifSTwC/5Z1f0lu TT+1IXBosxRKktADguA4G2yWCrBbPVGzoZ/Jgc85IRLIFeHTSXS4O94lCpGvCEiy6DWZFh1bOChl A7TJ1Fs7XzLCkVycJJWSgCQEKMFmKQq16cPdUjFWW4Z/tm+43pv12HAo+YYLQ216lS+AfmzwDUfg wKbY9sn7++ryT1yDrKDOWkz3KtLeXe8bYZKYiWQVSPKUwh2MC3OrUrhLDY9HGgB3lsiLw1paF5W8 omi7bJ5FBO39RxM2rPW/QLoy6lZP1YBbKwRuU5J8iVG7Hvh/6qgkVbX+4YNbNmUAB33YCS+i1r/D SJA74Vv+CJPWptbtGYp7tBTGXz+Uk6p4PDi8b7xNfVno9yxMgc1SiN1uSxwbDwJ6zskC7pRqMngb 5aEkuh2WtoXrx2lsw6XdVjRJcpOi5MlvqLrVNr46oWGKkpV1bNH21vsGHAAik0/Q3n3gjoOKhCBJ AMzj8aD1v3yWisoF55lZL8BtRSE3iq3I14qLAeMbLZysFLKQYXB2fMT6W3t7JWqPLqnNS1AKZag9 qd1SNj0YibaBmvdGgTtDyN+DJQomryjkVrBUcu4+iLpF4UKRS2fF49Fa+i5Jon/IyoTmaEchN9rQ WErFebeLP49ISWNYrDQAljB58pArSXeEXdf2wQ9voNmbBNzAxUT3mgAJ5G6A2iAxdlNXlrZ3FHI3 7TM0o23QQjofToEQMIMWHg/CgEsmkQ8JKknjltv2e8yNx3QS3W5b3/VWJMv0/LbS+49zFAqTzCry X/LBMYCQFULIhDMgTfhjFNpvLhE6z11W8/WdTv6+QS2pysSmTx/UAMgp67bD74DHgwuuOECCwSNi SQhf2SSF+O1NNCdp9FICFOWGZ1Lf5ns7BoI3TVIcdJMCOLHZNDjSJgFoPWjmmqDGAMHL3U/CCKNE qkldZZLC2BlfEtXblNiW7IMQEnCqTvK/7RuPR34kN6Bkx1S+qXeSQp4k8X+Vm8OFyfxEyrm613sd 1wwgRJFky2ynD3eSCpzIKCdzspRzKpBRAvPEn0VlHsDgvJPE221HYfdmcbsmoUmSFyXPQieeG1JJ WEgRjKRdXTKauG5KEN45CmEkvNzoWGdkieFmAGdrVJMnH7Qu1RyF2G35IjXS2zjc9n8HF2DJlaRD uIU0yQS2CxRc4aTtBTc0/fAdf1kBlKSCGyBwkhI7d4+1ZfAb4PkIsVW/jySE22i8+yRFMTcyIeRs JkDGTM4IbivRMF0Kd0TzcpJiGAlxSCQDaHD2FkoltGQmAAD1HlZKYHUK9h5LFq4CgMsU3xFESYVl gMpKCQdjJgFsIxgJju0QRpJ/wUiglvywt3YEI0nsSG6W681UomRbR3v6XoPhn93+O4KRWEcSUrA2 eFVy4FdGhxWyGwmlSew1WOfBP+U8xTO7KXdrets/2La7CmlTHWlDv72tM/vcmng8qkl+K25SlGTe muQOlUkqk1pptjDVVbcE1K3hGxDpIwq86GvvKNqelLihOoQNtorKAucfmA3UJOfuucq/5KzxRuOA 5lrJhW7F+I7ICIBTtGlty0Gr6Fyqom4hTMHjUUZyVxEveuC+8mXb7rC4TXobRV7d4CY5TzKRLE56 26Y5DOcohpHwrJYOIAdvR25A2PBZ6P2fW5pXXx8qLZ9EalG5TSiSbW8biUmpQkLSLQe71ioVExE7 14HH/2nUxuZ/wz8vJ2lHgXYDpPKc9sUUt8D6cKmkUtK0rTb4wdxqEYhkEs9epCRZHiXJnAd2F858 EiXPoZ1k+Y7wDRIWcHM2y+3Euu2ONUk4OUv65MtU9GFkx9o58v858Pi/XIBYtz13U683sRVm5z1n 0zFN1rbqk6nczLYryQX7n05SXR8V3Wsq67OmxEFR5Do3G2QAmY2cKwzAajRts0ykaQKEgLJOmbiv bW8cGZLRVhNsVQy4zKUwduIXugWevzlPfkAEbL92QJgphCrzViZzitmSOJVGrw4lOZdDMShJImmJ JIkBySBJuKcw3pZlZH0G23KE8sL7Rvrkq6sIAPccxNtQz8HP41TA+8YBCGDS1C5TxIcDV9S5flsW mGTNzOeDvOR2SxrLkx24m6u7SSvroLGOAylRE1QMLt12tJ0r02Q34Fjn/hspBG5zMU3EZzY87KQN y0cCSs78T6Rt8CdD/J7BA0Qz7nEhzfbGwUw1kgCa4BIiqTGzhcwQUfxjwqs1RYH3uIpArlM26j2g corK3bg7oJt02aVVxHfaS9Th7NLa0vaZCt0kWSsZhN9q8JmC6XbDAQ1h2ZPpMPJ2ozK0vSuggp7D TX/jqJhL6K3JuvXrl3i+PvFCrwAE4PmoA/dL9h40fjAL7kxF4TfAGuCXmuoGmrlm4FrOspiXMTG9 5k21+mDSqS3VWM7lYLsPFNmGqIDZ7tlkPNEalSUyJG/QaFxeXKJbFL8m8uJAngsLHR90nidkj5KY htFECvEl5ZaXSFOi3DXWhE9VWPiehJxlZ3Mh2raD6qxz2nBRAWQt4ynRLCg40onDqUS8c/BUZQ3E qENGCv380+TvUNtK9KgGplE2QSWlbW8cQQPZIRi0d+NgVx+UvmSlMPR8NFW+qKpEy4E3aY+/W2yB g3mFzaEtKmQW1kih20iS1BSOTrv8omAcyDt4TEr/143WUWaC7WrLNAM+kQi8aVnXxYUKI8WKVsqV 5GL3hl/zV8eJ5GYbDEQJ8QljMOv5IEqY8zvaZGy00Kv3qfqHdElPy7CmpPLebooocScnvq7SOYUl cHopQBfOBz+pBiwTgVKCV8DZduhYwqeaIEH8HtC68JOKdS9+TZC67CHdsq6hqVNwVhIlY6kj3fh8 JBjoU8ULAMXUleuzqMLInN05GK94m5f0bMFOcjOHjllueRcfFehOU3kCPhM+eEsFPfzRadGRToJS GKB2artDZflnz9udW/JVSrEhDmFwW4oBe1mjF5lDW4o72+DzgSNe98ATtxy0y6V/6fMUQryJcIE7 2I+L4WSfpyHJd2rVojxt8xTVwQFLR5+AASgHz4QLsqHE0hyIjwk3BYwV6obVKljIeV4SHKm5+Jag 7KQGpuF0KJTNidrw71WVl3Fn6IrztMSJCK+IzuqdqNCGcgp+MqrhmM4eKc6nTNm9TDstGM1hMcSf dAIHMi0WOVg+PGEFenJ6NsVP7nHiq0Sxd/yQoClmVNrd8oWe3DnwoQS/Ra7cLK9UV7o4s78TMcZg 1k0+HxxST/sJK4rWeI/rW+hEKfbJ8FocJCiQ9kgXZ9Imj3Im+CtsniIQSkpyoGJOzEHzBF7Rhibr knX5OblloAvKC31ACWUySTyameTYidIsKOn7xkHTBMwACy3t5Dh8PtLGnV/ThKbaeJgVOfaiBMS9 gUzpNToiglE5WKWRNQBtltaHKSzl0IwSQoMgsRKHwsH23aroKqG+BiFq4IK4NTtw+ILd04vK5qk0 e+GIysR5mlTsAONUxMqJiIjdFYCc+HyEaPLoQPNbgNV9llNUFAeGiO2VK0Wda/JapnhNRRSU5ssp jM03/QNU+OVgywnEx8QJAFavg33Jjh7EmHGy/0BLwNW6RrP3/UOuc3dDoya7VgtUm5d0O875+smB I+U3gEDq+CgRt+siGDpSLrp2VdKIVIiiQg2LdVN27yhErX6tTQNLSklpgO017uCnUwICZQrWhD4n lj6W0/n5O5zF8uAxnh+lpRyaUi66CJz/8dLg5vYnmVmEtsP8ms8Hbd956QOsEaOGWK+yeQ5cKc/8 VllSL28g9GVGMPju2W/FLmffd2FYLvHAmZoPjvw+66fmzLLmhjxob+wgYNHTvwPfesFN3V/4r8Yv oiQfBCREaCYOwfkgPv+vZpT3os6p8Oy7kGcpbvzebpW3ZzfcztkrKRkihbqIijRDY0rpLMwqEFy9 hgspwa9rdhrBnv0IHVXEBR2tkRMrnnWUrkuO1Lj4LZG5iTg7pBNyUEq8IG6q0JbLPorJqVJ6mU1Y 03QC93kKpU0mMSmqhwvpPF1xKRFFQ8UlGFP5PEVGOZ22XWuvO3hGDAxZkSlNZmt8U8AbdRf8qedb hxdZCsAv+pbovmPglGUr7FZqZcPF0YrAqfL5SALmF5JgIzRY150ysKdE+IR9l3p/9ISKW3jW4Qpe 54rKy+cpxIErzpzs73Lw9ZQARUKwBK3cARMpep1DZFCVgwpct4N3erM3jjTzqyLM4YPSYbATlHas Vvh8kLnMb/ZOgfVeyk9gEELBpXFazFCYfjnuvziZXbJsN4jY0kSFwBTmdotuMBocVzjQzGtsceaN gJCAAlZEIMRy9hgU4i7Jyd/4L0OY//aDq+lcXIIXQH+Oz0epi0+UCD88HUG0u/Wo0KyyU6Vqruo0 nklVCebDQ6Up/BlrOrowh26VbDfBBTb54GAn9G8rrNUACYODOqc1oZq5oNAwV36aVM3eN+rAaDGJ fdk8G+4nMGaX9AcWhnw+Uhi8NF717SYRZveYCg0rpwp3m23xIrXKZdWonZZ0mZAO1+ETFUPDWbgD htwGn6hRkPzuIgTGguomJqqeVb+Qj8tiUJpnsvUODStzJdMZHDUbxMAERGTLH75PPh/xnevXKVWR 5PUn2gwdK1EDBe6CIabEhQgvYH0TfziLdgPcN5+mMCrnNC0C6TU4CVMGs/Smor8TwdHoIaK+CXgq tJn9lGK0y6+JinZM7xpL5xUPkfNW0R+bS7DetfkBUVz+LcPEWsejfJZD08pEd+9CAjFm6pxXyQh0 WNnS9wahZSyfqRAjrikSgoCDF+2grTb2tvMcPURKDaJukBH+/xR86b34tr1xhDOg+QmAOByUwJyj CS/MhlXJes1IbPhbIg4mh3ADvRMVeukUZS1zewIjTQ9E5kDKOLUHCoGKzAPnSiPRQw0h+2ABJwwH NtFy8IVDpYU9Yhii/3SqruVab8WcQvo5tq7khQcYD3E90AWpOmoqZAxQMzk3Bz/gX82FahFCx93j ExWbV5obw3RIhltWoGI09kWtJC+whO6VomQkqOPZcCOpTLivUpiGe6ETJIaoEQtoP5Y6rIPxS/4q HPCQs0Hd9AX4f1Nm2fj8v9YTkeLUrHoyvdC+EihHusV6YfPExw6lO1fVU9hsdz2FooOsQ9XJTI+D JzAJ1YIupXgsI5hZgXAAMmuT9fDVY1r+vgEwgyJ6de3lg/L/Ex23Ipe8uvn8v7yHnIj3Qytsn6eo VC7BoUqjbPkO9jIcvtKn+w624g5NOXCwNObBoAq1DY47QF0NPTxaNMGrEejETlnGCSZB1vnE9kte /sLBRDWxM+kdc46iwXUBSfJclBGf8JnPRxnxhR6QoDGw5fpzkod48cqQq9tRBNGtcTEsk/E6fmxA mCwjDlws0dOTrHBJPjixDiqPdSdaEEGoiUuvNyQTYFD9rOvQaEpxOXSxrHSvqF+DyIfQtVQBOKOf HthYfqt8MCEGfAA2pHee3gNzsPEp+J1NZOBkBH3YQb6qbJqJYyGXQ/P0j8A8zeqDxwYDmLfGjkI5 qcZKbFMtqraixgEWCouMBI0Ve+FgQS1Cojw8h4w4d15GAZ5k+bMn+HxUAP7t93leYT6F8tDNcjbZ xVo5E8nxdDdLOsbpgBr05uDG+8POktpMhQ6yHPzCg+8QPdfh+In4n8KpE3AkoA/AZL4YTaLGc+hn aYXN3YsPOsf3hFXmj9fJA0PL/1b9RttjIoryaYoi8k1IdF3zMf10JCvCE0f7Tuq8a5qiiHyJz2q7 b+TL+TkhUmpUeENEvs7y2iLZAWjDlAB6Ppc83u2FA8zBlG1suYMKUSfnIcwd5jGbz/8vel/cvvPp o//haSkOQnekZhfOgB67siIgnYXoWubDoamlSAgTLR8brOU5gGOZq4sbBQ35QhgLV2aC4mABB8Ja nl0dqtDXUsJ60MbmgOOG04MKxWapHERufsC/3D+JScL9vh7L5hx6W3YKW3fpfDJKSNOpCLDtcFBr SnfnxU472k8r++AxeS90AcOSOqHH+W9obY0qOmoswPqN/Qg2qZEe2FuCJW3gccWa2DbibCxcGRT/ Oik2PyCoHeTvoBzUVKDR7kzFOJYqEflkiJ80igp4JLa4NWFbzRE/gcOlERLIWPbBq1EneQSjnIyK DjtoxFlylMPt+FNye6wJV7YX/qO6uRaYQxhghUFoFPxY2YeEStrkB0RB+Xc1isI0Le87UVFQngcF 0uuqhmJRx1UJ8TYRJ1BeXCs9h0aXIykhLtsHh9uNvhrVB7D7sDwJjRqU+iO9BQ7qlwSUur3xX3Sy mmv2QXXgdCJc4VI66puB16VBnO4xBbByb0+aF5pdDhld9OrWRJUYXonu1XJ5rigs24qKQCxtCBLV qg+evQAXsLu4UsAvTU4Uaysb0dO5y+tDcen2wtG1h/ImIYgYujdS4CnWqNByQrbJDwjyl3xR5RKh P/PeriNIDhwvkRCjYJ13tr0H/wfHJYLEY1ygJQXHpI/6AzQNtanig4OmYew8cItC5xrQR8Sb8GHD KdVAUJyUlFUxirbpOTS9nMSW/7e/DKAfiVK46Kh0Ph9FnH6eM6uDww0sGO5MhTVz+oLvfKtRu/Lf buoTV6uxQKnirqkwMt9K4NYdHJuB2eqotZKjCJXcqVLwou8lqDnzAUapZh4aXxKXuHBF+qBS8IIy Mb9xr8Xng5DzOszxtwTpGqXDm+2F1pesnkCqeVp988zdNFfH3qg7T3GTkhyYGHpfyj6mLbntLucG t53OXVQXNHaQzmDacJSdfc5WGYBOACt6vyoLbReYXyYpOwDpM3ywm+/sjWlwssnnA6zr8JtPkr0g LOQbI8T2l5SYrWVlZ3XobKchz5iW7UEc1AhUOfC/PB9FXbAGOwsbrJ/e6MM8aTeD/gIKEyzEIKdN 6BZjATArzqRv8UuiaZJDGLcgIboMqE82MAWlFuwg8L+Uj8lznONMAfXqTlNcL2dSPJejWHY1uzAc 56wpEMWSp5Ffcuh/CfsJ0F7GHWya0pnwhd6CCEI9bxZCO+6QIVQ+4h4le0ORVOB/KXHvgbo6258Q bhVCB22eTOZ2h6pzDh0w0/DwXP10JOZXtDCHFpiV9Nfcvcxy/o7m+AziARRzdqiF2kT9g9oJFT8b 7IxCGeKsJxmFAsVe6KbSEXSgaHuWWbrwsVTsff9ynLmiM1iI/NPOjO0mSt4JOfgBkaRK/b72aFeG gN0nKgrOkb2D4pGuwSO9k8SlTub4BJB5377x/iyYj1pJ7+TgfKqSALJnPWptpESVF2TGS3b+y/au HgBdet+/yEJQ5vDB0mJY25qOQeXzEemsf59PiPDHE0cFPphwnqORcK/N25/iUNN3Jk1XVTmbxSPz v40wO6q8PnhkvhOKN2TmQXk+gSSwccAD44YrRLJzEuhRPz10wiSyHDoR2wejL45SmZagncTnIx7M t80jlLr7V1shtMJkuFcJ8TQrzDZcKhxgL4s3B7aGLrzACzPpHMdRdwcPzNOqIHew/7KAoVZaPMhs xnYt4+48c1fPoR0mitsQHDd13nP8SyUUNZDFDPkMjR/wfpSvPb5OqAFAYt/PTP1t0pPYoZEN/UzG 7limuEIb+nWjqMASM1OVi5306YNnxRsBTqECHTw1Bqj0qG8j0864AVQ2t61HCbocuGLi8hFEgz29 jEDedUNOKCZYGOoHoS3mg41isyXDgWCDHedTFdXM2SqCCa1tPrjFNJ+qMV33iSrdtqgiMAvtCWD3 1HywMwq0yhMP1iHJRyzW7b2qgfLgoumTJkqEoRYKrFTGmQC12aC9B6iPdJs2+EItCsz3JXfQWgUK /es5pD4vMVT9fwlYFlMMfcTDlymsnkDXlXrPVbUM8/MSQZ0PSl64o++VBq9vnt1UZmG9vLR8rnBq Q0kHDODu1erDqkIE9RI/8V0TLzp6PNpgKODWFYqchBRP/58J4gsS3fQDfOcy26OzSdnLY8YUzg+x Y2ttKxrQyMjyFuhlsWgwie7SjgvmpysTXqJzrIfOkcfC/Qko4sxgwSpap40hgExn6UJ4WFYPSwfT 6wQBEEiTp5aKD3Z+l2zE4XP0fV6iJv6p1bpT1tVClQYFP83PS8zEh8Djh2pocg8DnId2x1XZGOOs aNsl6F4iJq2fbh7P2wfbZPB1OO8gIcNzn+TETbbKiT5obooY2CJLsjU/L/ES37XwemuLsFYO1m05 USpbL+d+aJ+XYEmTu316hHOZiJeSTc9LpKTlw14fuuNWxizCh6HiK01gVsZZWeX0vMRJ/CCS8QaJ hDbY9CCyT0wLEVCCwgiCZ2/QVYHQ5w/gzT49KKd/XqIkfkWmDWaHPBQGpDHVrv/WuWfOPZE/L0GS /tSRv+YHYApgdG1+XkIkPrREzJ/j6oQ0iwJgmuAa2Gf6tjvPRttL11mTClZ7Mt2ya80dJd1zkO42 IcYMsiJknlGAp4vK9P1FjOjnJT7SASTYRRZjMTs6DP3lJXQY2uQv0ZGebncBSQpKnjU2Qy/BkXbl 4uWVR/ZYu5rtBe6x7LE2eLDmOhvM0GSiAeNGH7zzC5AFKYmkc0JYa1JNjZI8KEGfD5+3pSm30GCG Fm0LVufi42AUxXPUVu2bVT4vUZHtluozJOP1M6mzsQGFKXqJinSyV9aWHrX53YZd9VCF8S5dxo0j A4xoilgFyJlnEAebIkDMTtCNZGRmxOuqlKd1zoQF3/YMDI3vMqZtLwGRdpmyf3kXtIrOvhq9Z5HT PfMH7oWfl3hIZ9j0KSpSCkW4QAgB1dujU5ql79LMDITKPA7E7N0EVWqHRJhp8oandBIM5Q5+y58T +lwXtFKFKsJJGRA1DkI8eIMVNN09vmZQ8hIK6WfgPV9lWMRBNSTYI+uePz/r5yUQslcsX+cQBM0G lFw0QS/lSe2ywdOg31JSZ32O51CWkxrOIYgZmoJacE5TpwiMseKDLyG4spx/qd5sQrgOQC8hTQst fiAiDSq36KHyUprUBKneVmiitgrhT9xaCP2Jk8sQCQ0mqO/vgxr1qyn9NEzQS1FSy3bwGNqP0byn G+cbBR4gYmAMlwaLDmqsoPOmI/vwQC5hAQZjoHONk4CIHpM0WTIExYoIwELI0c/ppSCpX0F450nh 4izxQRxDC8rDxAYCm/pSjrSbbNsEkVWdsedYubZQMYqlScBtkBX2ozqv7vWjkh31BQK98w+jSSJK ILVn8GYAZ+lsBDUDEuxT0IhDmfWc1ZA/aA9xRRrqb6w6uxGU7lNNlQP3Gap5ik/bCVI+b6Q6bbR1 7zPZQCPfF/qE0xSF1ID+AS2wTUDtfHNPhvqiObW64BMEIOP3RNM0halI1QfbbADEjTlpDkJ5R/Qo T8jHTkDCZq9fVjxUFv28seosLqJL+FrJB+F3T2yuUhJ66583Up2OluTTRNgSsp0pdo+wi9GlJhlz 1CcMK0C1d51IzSPHkZOrOr1x6vT+TF+JAbTBZimdGTqHciWkAtV6uMsDybQAWIYkzho3MBrC7gZz 1Kl4WRE52KAj++y3xT/7bGw+HoRG048kVpnO106wmmyKouCa2PpGfxQPjazdDV5PL46ybBeOGkXX +CIERYweOdh+mzgooKPGhQSsUWN5DTsLyjM/peyH/iQg+Ht8jXPX8tbtg7IzTLj0iwuwqHF83b6P bXJYp4dGb2Q6SzuLOD0uES4MkUq1Ls8HFv7lRL9H2NkqtUMG4eMxCK/oaKPbjSoIhBuygnAwCM7V e/bFLE+LZKoC+eeJlIsVjZD3GsLyXP1sT54Fj7paMEfCPfLXxMENQY2Ru+ewb0Q6W73cayTMCr+0 Lw41DbvySJbwstp7kO2owVrr9MH22tkg54jFRYlS0fnJsbHRIGFzAv0KeOLYuU0X188bjU67hXX/ 0eXr2LuVipArT5YO6zkMP28sOj7ekhdCCBoEXHUC22mTFEbZZNfX3C8qYLfuZJ7Sk6MCkKlrkqIw mzXHQYUmGxxamXDfo3AGCZ4OV+fGxiXJ9ZVpQXUX3iwVgijObkOYgHIHW0mAXfBEmnl/3ih0Fu/U r5WEk2bMca+2KM5Ge5eakV5NW7NcPMA2qbATwbSr1BAF2p1O8xkdRhtuOY3gXKgVTtabKwOqTDNH piJIU5xXP7te932OBjUdhxmEorWmxmI/2RppKpBH/rzR5+x39DliEwU+NUt8cU7Se6wNESh6Xbai 1UMogFsVTwmozscZFJXZKNgebEeyf2KDLaR1zmaAcxNF1Aq6SV1gAYiooYS859M86nrb9zmaXEc1 E1LJwaCCq8q2BTWEzxtzTn+s4d8sX8vQzzSVWU5SFG932szPNZyzCkt4m6RejG4IN57lPZEw4Kbi zgtVfAORe6LFwcpRg/0FkxbUSigOKkq91/mnXjeaJaykybWgQUfSSU72EEYSYIko4haC4bnbIH0A 2ySVHqN4m6rfJ5G+u62s5KZOXfc/s1qoCKv2GMbbzPiTUAAcnD2wAFVWvF0hWyHl/gTZgYV6Qkal 3qrXm23IN8KclSlIRqk8kTgojoR/RJITX8mfN76chQ/3/lcX8pwM6ZaP3thydpBRZZYGeIojidtU tD33sLst52oVtjeynN2SutalcnVNC9qG3yIaj4i2G0RQKEnfsA+R+yOiKTR11JnEIuQbW85CQarr DLqEUlalKCsBhpzqRB2iKG9sOZ379Wa3ElE94Tbud5umqI5t1k5jXlXn7DWkpSaXWtq4wbWWonA7 FXEK2YLk4IB4OFMhuBFFvKNLAiAXPh06+pP9w0vZ0WJ6D7hdtiltlSJRZxDL5hyucxSpwZT1eaPK 3V6KTRMvM1BC+7xbLgy58dj5sZsXkoBu8FKbbObZgAR5hMfSG1NOL5BlozLvYGd3BcybpiVnmlaH Ej9dVCHpBRBV+2kiX/ZHEuWNKGeFgM1aPytKHBQFgEJAQPKZ/fp548m91LTRCF2S8uMkRTE3fLyB 06sO827uPlNg57YswYXPg225uKrNAkCpd3D45LnLMmtHlJo/iRwBAjnDXalSAmw6PMLsnd5IcrYU KKUKh0YbDBJ4Fi//nzP36/NGkdMcpd9lbcTcT2PkjSJnFTfFR9X1+Ds5NpykTkNpnUvJTdTfGHJ2 eLOUtKR9uR7tywp+4kYprcF+GRg3drIH4aWQHq/wb7unt71vECsliupUtgA4COOGVt4yysD6vDHk LGa/VQAW3aCekb35+EaQ09wa4fIe3pNBDrM3eSXL/3K5T9gbP87iCZZK1KXl4IAkqACQdwcfVfgL oD3bSFjMacCDBzCe6xFu7/t+KoHejyhpVR84SXBnF6kQWJ7PGz/ODuH+NUm4nPpa9+gOg2780Yhe tqe4qpQoxe0+SR2XvM6k96AbnvUsJO12B4e3zXMm7SUrlQkB7MImGwhHEz6quYxL0kHrna8bzJFw 74ON/oV6F0MyNKlO/sNA+uy8zxs3zu6X8X3BSUpj3P0WVrjV6CePQIfSeqxUSnbNr72rVd3emHFW fUawBFkYH3yW4FDQG/G35KB0MpnoYTpYYMCpbvcbtVw/b8y4W4OFdiYLuRwMspWlgwdXVb5jsJJW /cpxKR5X9z24o6C7TsFtW7cuAJSs7Eyq2Qu4Z7s341m+seK+iyW0U9GgOTpBUUP5KFFs/mw31qMJ EWRbw+2vJVZB2O0bJ842m/TBWZ6UXBKniP6vjCf3Gp83SpzC0ZvhcmMiL1m3nvTGiFN4tQiCqPku I+FKyYhznhzUbxwv8kaIs+wR66fXfgeH3MIdvGqzJS5OlkoWzukB89CdylX6wh/6eePDqVtGl1Ac CD4oeasnTVUR4Bz7nzc6nJXhvZVEzio4JuVG3G9sOK09Qd2n4SDBkl9XrtELcZTgNfPrNzLcgxkZ mFcfbBWdaTjnM4QuG+QX9soUdISOH7KIAsVUSker61/0usEc0a0YJT0fFCKdL1hTtnyTj0fX//xa RoURybhzFIXbIsjPdjHJiwB7Vrd7M3l1iH9uaye9EeG0C6hoCVEFH2wZgSUDc41phEGwminKcAJe 2D6Tpu5Hdht62yBzg8EMeE7DBwFHGvraxgAsnzcO3H+f2Pjf4qoVO55TFIXanfbg53a+ngVi4QC2 3aUsQHT7zMbRfSPA2U7D+un0bNBgywiUykbO8pmiBCGdQjohs3nQVxiXX5/5pNcNEhKhRxaVGs9H IMLlOkpnJxP/PE/E+nljv1mh5LsqyWRy3y7JG/lNT7Ui+NF0yDZU2DRJmw0hYttxeds6isrbiQAb djVtsEmapFeCkgkBgQLSdONSQ8MI6gjF6d4ZvCG+bJSzYf2cD0w+6DRq211m+iifN9qbopPt0RHD TeSRYnNxht7jbOGqiF94DFS6S1FkUvwkOthcTe+N9HYz83NpLDpfc/CdViH1idI3D+yTUS0K9kMF oOP0ye2RoiDU5/NGedOqN80zVt046DSCeDIritCK+7wx3rQebgSpaBN9/9vbfiO86UvzkGWhi6Yn achO+To7CLI095p947t9NW4rpKJtuNykddYQK1uTqscgrkIDDy+cgOstpbpSjuGP3/huNy09K4hK whqMZAPCvjpo+CHfw2zJ+TxhNsC8s9227RvX7Ss4KjN7/R87zKtto7ghb8PWYZj9RnWz80jaL1Jl TFT+m5wkkM/gTYBJonYRCryQrco/wDlQw94zfyGP34hu1rWVqG4n8JgKoQqzGzxtGRWuzJcM7rX5 vZKAgiKc3iYpirIb8X6N+iMMj9IcXm2rrZYbQU5fSWFtOxEPmZiw1XQTtlJ6PwcUlgCykJYmDurd KDsPlhsI3vNxdMCDnzeS29epXcScLBB4Ec4fTfnG5vY4h+vnjeRmTaH5dWoP+kLcVtIbw83mNtEX 1G0dgStxwH9b2wD/k3VXzVJU3J6UxclAidvgCIATvgi6jjpM3glSO8D7L+kdMyu9SqjSC3jjt1lN UYAkRpMcrJc0B7sYP/V8xueN3mYv+X3/g31PVR1DRoaR9qaGUFsS7WIZoBoNEJUecwi16jagkXGk jaVUQE+zwTFJAAZXhUioXp/LLbG7jVJbwio6/4kXbokO4Pu+T5LUu9KWJtV2TSrESJUtvvN98/NG brP9+r3fwJWGG5CfSm/kNoutNuFsvRlZclSzU4G0ZG4iS/YKRbKKpfTGbbPbma22LZjE/oJJdHFJ Cf6bu6AygFkiAZe2rbB9vBD2ae8bbTjVbSm4lHY2t1mQu1VGAhrg88ZsswrFLxDyYIXEk7Y3YpuW kvk3dBM4O8sV1TcsJbiQuMBZB/5RSykqbjc6W69Z7uAg0jbAk0zUP01QnBJLmYF+qcgGd9pP2tb1 vsHZzU5JGZwrDhYTjqESLhRcP2+0Nistf/duFzg/61lJ7/G2iZudFzUldBRIilk3nORkTCOMpOp+ IG+ctm9YkozCy2MUDrYZOhu5UBIHmqyCJS2it7APC9W+FQTQPPvzRmrTIcpJamJDNGdDVGArE/9I fMfnjdP2X6Vt2fHln7lvEPBGaXuiyZbThdzQGMfSf7lhq9bmqKQ3RpvltpVN27Z9sGiSx1CGwsI5 rBMaykNiuwDOFooko1Wi3FYlkjdCm+W2rLXtvHxQ4rYGMSZoubX2eeOzVYcRfsUASBzXbUu+0dlu 6QqlI1N8yyA/ezTZkwdKA15Mut3e2GxWNGbPNjNeMoclRdwFtdokM/WFq5q9uQFQCZLmH2TuFnAj 3+V3RFMkVFKePlhSciIwendAQPrzRmTTVp2/ctvzU/FPtCkKsSRdNPPqYVKhipPhkc3GuKJeb4Cb Nxrbd247iEri4N1tuEtRuAJ+fBkmrda+HD8Eu6/xuINqFUVVbann9ko/Pg6aogUfZK6i1urnjcL2 0tyG3u6QmwWnKAq36WB1fsLmU7RqtlrkCf5cogvYb6uQvNHXvqAkEz+LDTZFKIUA0kDLwr5hTkTV JcgQw6iatnjeZ8MN/Hljr1nexdLIZNDNwQpt5760KZrz80Ze0x+bv6eIpXFcqTZFUbBtaVsa7saX ikFvUSohdRupbUFfkhWS9idq+8RyOfvgQJJUyf8nr70iQ6RS88KuAYWNPqZmfEkxvU8LQ21aFeai PhLNAvF3tbORhQWA7iIeD4LI/n0WVdTZnlpkCyPtIqG3frn/rXZHkWZX7IQavtVHWlTRRhHrJCKj 3cGmCG6F/ewKlmsr4qQpD3G0lIk1K8B9WldbOy2EkXCLDUkpcTACG5jg1KFsZeDxoPf/K9AGlwee Uk6wiQLtTs2L2ppj/69qUoE3ny2j82d5Z6S/B9rW+j8xSr2DF9oaXQmLiSsCxk05T0xHx1WG2NrC bLkN9JgEyXK/+v8ctIxOdrrlbNU2Hw/4I/s7zIaoQe/D2RE9LGkn9vp7dl3F0cutRU5ilJBxllls p/UIRAIxe/QW+ffPayp3Dv0TH54oZNBo4ASPZVAwD00lBBRoRuLKt9yfShs9KmlXyb4WyZn6doaT AZi13D4nFelRkJ1q+wqN0AitIFTbHIVBNk+jrKJjVylyOIJE6vnU4WruUdijknYX0bhIG7c82rhA NeWetjzSgLOlr+wqaGhn1FyZwXnqL6ZWFGSziHSCIriDIjbCOcYoG94OU6l/K3g+4EPObzxyhgYR gnWfppARKbKWthhht23JKRS6FS0b+o84dTqk9SjMlpMzqvw+OETyTA0wkkT/T4i8YbXB9WvQn+zs Qhz4VrSl5Rm+JVhLSeYeQrYvVv7QfaxokDB0PosXj0es0e+brZ7Lv+eb+/eIFjlIkSgs1TMXKZX/ FioF8CPy+Cg7RLKHYbZAtindwctIC82S3aTYctYZ+3EnRzlvt4j+G+NRleLV1qPC9pLH/JJIJw0g xTYuC/U8LKWTseH54G4bfiixigSB0Adm08M4m+4CyX0EqDqZDCJZermA5EqB885PClbS0vXPfdfK xSLldf7vZLISnTyX5CgkClTgYtZWhHa9wUlwxJcE93+VOZMK3KBddUkhVGSC1E0+k4/n/7XfGCyc ZQltMJ+ksLSNggEEuad5zIIZbGL5a7C7xmbkpmIELre4tF2k/n4HJ26d9BX2cYM4BOSGiBEgRgxc BHAfZ1+Ph5M09b7R0U0U0lAFoKOAQ8zeyRBO+MUNg3gJHxAc3utOE93joDIA6oDN03u4bYXMuqkc JI+YRFOvQnU11v0zpaixIbjjonAbOHmAtUrx4XK3yllPcN+CddxZPsL/kcWRUwMiEm0vt/SgMmeP yttCkWYV3Tgk23Hn15aKVp54PMj+67JZEoMJ9J16GyU9gm530iRrYmy9WUjdVkg6a4A1OLSdzzGe bMtFIBLlbVTOw6BMk3gkeCbhHxTKP7GJvNPnQsUzsa9YvzpuoJnjW4JgaUnxRzqK3UQpYMKL04ox 9+LjEUjS1xLlAWAn8kOtX5umMOomwh3Wbl5vq1XWqWjddl13iCiJAMCmi+rbK2kxCZ9cHkbyee8G CWOyJdOJu3aVnk3npkNbA7wvK0oyL8a3RAmuhMna8EH9JHAZVd8+eQQej2jb34zbTH0zAHCMtR3F 3Yul27WuXeMu010IV6nObE9Um8IsjajAPYl+6J1QGg5eJ4Hm3QnnlJtgd1Q6fUDxt5M4UbfzSaTV PaK4OxPa3rKmKm9Hkp4zjxavON8yHo94279RkpTIv0HliAJvRNyX2k6EMs17eMftbipJaAJUg7iP sLzNDVcowq3B77gFXjXmG0ElnQpQ3CV3ZBFij5PfJcnQF8eXRIVb9gAqa0oJ0c4wrBYgqiKJYpZi MMmNKVUImD8TjTCbpRC8LSvZlcw6p+WWPFza2yBcsDrZhrgZIVcys0jS6CYwG0PvgQzu/AQ4WgEF Gjig6iLpFObqENWudAF0GwH8+fiOAEnKlVSrNZWKsW4gnLiIde/nH3g8apR4y61KtA2Qnyu0MaK4 u+noLsUFleGRZdi2wTRSHgLYBtptUdy92JlsnSU36z4Peg+eI+ck3IvK+EBMLip1LtZJICDGepKF AaiG4Dv+grd3KW10V9ooc5w/gx2zBgXAERa32zcoCXjfvm+WO6KoG6YKaCBtB7cDiGwHEkFowrYV lHM1RVHUPQncqqq81T78QGLUffJREJPmyQ/B5aadHoD/FDHOuOeMczvJcBtRcVt6LZPArY1O5I/Y RAMdYRYpRy4Zz0cL6VfRDTRJhIk2SWHU3VlGYr9BgZIC8Cm7kOxtkuml2xFF3ctNPpsPjtsu0MSs 9D5LKCF1cik6EvkO2CIWj62jTR2AEVW3BY688mPNsO0ZrBuF3+eSxuNRu21+n0fMceslJo8Qtg06 85mY5unboiicGG5jmEwbYFLWShoRbLsyb2tiuLUvhhv0SLCwpIp0XozCW+cmYjcQXMBSHtB21dtG hzbRbZMiymOyQ8ub7RxDbXqGO8KAe66vZVSg3zAuum1E5e0mjWmKAhp3qxnfBqKkrvnX6j2yo3h7 itiWKbXZJDyWtdegIz7pKH+SlL5lHgcFoPN2Ew2d6xhLSz98RxAgsbgBeCGHbrc4VvxSVAkcC57/ X3gkWM6wIvc5iqJttGNBSx6ui9irVU3AI3Gyzbkft+vaxNG2tH/aHTx3gwbApq3urBNm7Oxtj64W d4bS/5WTIMQYXxKBbYloR8sF+HYUPpLg/1DIZt8Wxn34gKAM0Pr3boOQxczp3mxRtE0dCugYGJ+0 4ZqzilImF0ox0nZg0ohr3NhDQAv54CfS2RYNyu6IthssUqamCQ8sSnA/chJbx3Zc46ZI22awndCd K3JbHsyOf9QlwPNRseTX3bahZ1c9v51hkZu8wW/9bawJZm5oshYvuwEywcxthkJ/uv63cLc736bk OZ7PdC/ttxPOrDVllkpb8uzQ9vGQbmeMJaECqWolHHRstw2DDl7/peLxfy0kLboGaPudoijWFiMJ NX8rlZSWVDVB1kZxZBTd2qYM6bn+Z1jkThKMrNsHB9zQn01925NN7i3bnAQSHUje5I3tB3Fr7/tn JwAqvDYIJQHSU5PEYS94/H8BANCxqV0ayYxCbZZXsJWSx0jJ9SIHxV90JtEWUkpSIU+SmUgW/yHn m7RlTM25xhrPpNZPVIwwCno3xaWkcCs43oZaCTOuchNDQnMmDVb/hxkV991eFY9Hk7S+Jgl4/BNo 3UmKYu3C9vbI7mt2Xne7bRCsk63rBqNBm6Swxk1fZsoT2uBZ24QBJmLwBkj6+RUo5Hp+grNzSPZ6 KtykxOE7gimSGc5od9AUAYi/DEiy8HgAAVy+jvi/pQb8PbRnFGuDQAdp0O2Q2567KyUMcl8FJNnZ mG0zirXhGACYDbnbHGyKOlRNUhG6DRauUgXOCLkXrSLRrnBpZBpQzZAjia7tSWdQbtPAg5YuqYQD ttIrHg8ak/03ArDANsfLSPNvIMlIxR1he94ulAABJdtrRTHSudhmBCTpW4kIs1sOFkb2DaO3RDDp yQeKfJgB30Hp9PxlKO17jERTyhmF2mxjQuNk+yDedkP/WYIbY+DxqG6bv85sygaDym1TFAFJhvwK xEHi8V2yh5FtjuxhJDxLNUVReVvXWhG4n4Mvo3a+JC2WbRPadx0IQYhwnRtlsHPwKAChkY/vCFaR UrVEAdKZgIfjKjoRhQgHJwPlK0aaJL+yETzS9vRQe8b8SHJtmIVKlCQtt6seTuQ6R0V2EukM1f8a 99gidZuDL6O2oRpJ4ZYzCSc/Q/O2n1ATmK2ztRAk/xIlm1Fhu1CNrA6qbXHQTkso5rGHduJVPB4h SbwWyUpIO+nhidvuHEVQEooruZ2pDDurVUdWytU5pGkVn6Mo1B6saJdV7mBzlNBOGoWWGznBVRjC HOgGnhAFNNXSnyObDFZ8SQBIJsumwFgBeAL47JqhJCzSGPScHBfPR5CkX6gtrO1x09oZ0iNR4Icv r5eQEOb7JAkQQLHW1f1aCzVJxP1TDNm/YsjJki3orKBHIgMR3oZKTlWSaM8kraLX/VMuutDCjIOB /07KM5Rg7I7HoyByf139LfMFPGdbUZxNafpaHi37UW6ZLTWHtncaAHKOVgQmMcXfKd/Aubxge6KA VlOeYkmAApRpzzxIbIPdUSseQhr1f4VF7cyEtvPq5yDYFmzS1EVqteLxiB95+do4ClCNmOl2kVYU aEPbg+GRH9rb+/5gkgyPIdMato5WGGgz92fNzIZ7959VRGM/HEiwV6l0C8QSYt8e5TLHJCGAxXdE hX95KrKHlM+r6mQpgNIXxsmwDMPzkSaZ7zX6AiA+KxeUtEJd7U4ISX9kf0mYJpoE5fqfq0ficxSi SRhCNmklsT90ZojC2o2q9GL/Ab1dhqSBgXRHORs0gBserZ+G7whK2pS2o7iQDQLcDNTxBP9bfPx/ KY9AnrHn7Gf2CgmSVZi/YdLj4Nle1haTcFYiCYDl3b9CwLaQNrtsHzzKRk4GSjLv/lRB0MNW64CT b7SVWB0pD4h0xRVtgSPUikRqLGmMMeAKLiY/RFujMHv2b3X2DMk4iqTYJIUC21msLZMhQ/OWTbYt 5V8d38gjsiFJVkyQJNWGPmXDyAriR8Aet2zq2kCBvZAheE5AeFNCfTyP3h60zdTr/lVoK2tIchNs UUWRWOmC2+yZ8XxU0/6W2QDWdvar8b+iQJsmkmVPq16D/FQNkzQ7kikzIAFqT5MUa5GQGEEejQaf JEA+h1j+KCAOikyck5r+7+iylnlBEiVRhnxFUBJJkeYtg6RtLEOA2kphNfJkI3zJAEmyvjGAiJ1H fm62ELOdZajRvcnWdCJhks45bCtpnl05bJLCULsPyWwlH3y7nZlAm22RtLUpGo7Mf1CMDEA4LFqv IRFTh28JJomdWlJPOJgG8FnqiWCqn55Sw+OR3NZtarNPgKX0FJFWyJLsPKypEvsfyZPuZCySTD4B WVuMSTVLIWxbRmNZk5X7bdiC3Ha2f5XN3ahQS8YsEeubyLcFLc5xJMid8C1/yG3t1EhtS1AxN1BS m13Oiai0rbCwvb+LkaiP0+vQJilkSYohMasX/5GIWqMt1eHw/00lBaptx4VtipFNVWznrdhm7ICB TyBLcuIfm0acaJMl/EpIEK1jW5Dp8n0DXw22IavUJDhwvzUyABUmDb7kvzTbmXijUD0R8dgshSgS QpLm2i61UYfrJMMlIdksIZy1Wfpbc3vXvnywuja8ZSq9QVD+b0pPsOGgX8q6OmreHidRJnlF4bba SBTbtUHlyJaSjKhrnhWPRyvJwySWU068sfYta+8o3IbKPJha1z9i9eJ5W2nD+EjAt9pK2iFJkiU2 yuDZ4Mw2QNr2YhnppNxg8wgfcU6EBTg1MCVu6Mqy9o7CbcCi0IYUuT0buf1EFSe7kIHUCXrxeFBp a46OkMorykgAE9gcvYfbJvTSkltyo6K0jZO82njc2kY2fPsO9UgofriotaHB1tEJqU9MigoD1P/P BwG0t8HkJpEUMKd2KwCF6nH4lqCQZC42vfsgNFLu8oBmOQ+PB7dbWl/xdoE9OGpLNklhvC0wJ01B pf9HJr2OJN15vHi6G5HsMN4m4haezz5coM0i8ZI5yUmYJy0STtIFSbEKNmG5iiSF7rz4kqjYJrqW mEnpyiMV2NpocfSNx//FkCRiiy2bfC0AwrJ2YgCpArby/+nySFk9W0BIKpWCOUchhETVEeuTjHRd /05e2xB8Mbc9t94mNBeqGxOlSEhI1Ye2xatth342rGu3QYgkB4NI5kJWx8/JfyoeD2q2JX2tIwC+ 2rpS0jtEbhOwdX73ZEyJ6TbAIOyt4jWSvn2zxchtlv4L4aQcvNh2IpiTVSXWbCdSLLRQTv4P8AY0 mcFR8mIbbgx8x18wG7TZOUBxmnf2+X0Qif2QIbLxfCRJ8quufX6PMS+6fUfhNqCuoLPX7rwtrnbp tqMHZbrtgJFpjkIIiWxbHYp02X8n1kKBHBERpe3BPsOhjejiZ8ik5uK2YRjCt41Mf5j4J8aRHIyT 1ImfxorCoR162vwS/4FYz3p4WztCkJCCUvd0uw0oktgUndzWdSQmpaw1RaHw3xaLpNzBpiizqV1N QjKRUsoOEhAkECrZhEc0ZW1NbxtxSAkc3UxLOAgbicyQV9X/Z+y6siSJjeN/n2UeH7y5/8WEiMhE 9VCVs5SehOVya7oGDZMmzLnf+HiQtN12rSpt58/zObHDSLuS+U8v5v8IlmzIGrhpreSAtl7N1nbH CBKVRtodvKN97sezDxo5SQt5f+fNt9CbFC7ZBZILbYrxGUEIqSpkZ5DEQasIAs6C2A5stFhq+2K1 jMp0duaFj+6wrE2UTSftw2xtpiTaYGtDJRegFk+i1W2OwkCbvK06JGw/HmH7BZv7nrTTUBFbXEY0 6oWbHVQcLoBkT71tdBqxA0n/Zw2aowwbWzW068DjQYXkSmziGKB/xaOPtKMou1F733QiKy/+5sY2 pfmlNilpqp0WoUcoijAq9K5tsCliuWRBKBFTVKFyI3cgWMqA54uKk1ltJxZsd6hEUpiJCDzCQUH2 PL8q29FnGHg8Ktjm76QWfN9eb1IruM7rx26mstUFJFpiMcay2qwEFw1jFOPpjJzCOJsMmkVgmwbO EgwCzx5ahWUkNIOpSQqjLAD/SRylVUCdcm9f2944MCIjPyLJ8o/EkSwLoAZRcqHa8uQP+J8gpFjU 89YkcwqZksRsjQkCJEEkvLkFIsnwLhZDYgK8Id32FEbbKgAkNiY5WLR9Mj4IUhD7XwfUWpS1AX0N IglMgPpDA5RRaorCbbS+xkkyR/WBS+rE8TNzlbQ1M58PspJffltg3aO7fq0SUhRxF6ok57wcSNpv 6xbOKskqk7u5TAIZ8cEFQlQ/xYA0OOUGyLOG7jvyW4gjAW7bIBQArSTMCfwsrvtotjcOZkrA7c6S CV73h3ykCqWOaYxJeLSmKOwe5RtxC3UylN3vTEVxNwRQzm9Rk2uTN6kC0FUClWRjluJn2UyFNpKd 7TQCRDR4Bgf81kgEJuNOOr9koz0Zcs4C07pK2Q3L4OQGkGKrG2uWdB8UerMATvoasAB4Pip2f28+ igusx1giRcF34nW36S4nkJvco2nWqhiTSMCVm2++qNot+S0wd3xwCFcFsWZMCd2UsnEggcVFucQC whbMAa2wlIe/cdA4QY9yscZjg85zkAIZHALKxeejJGV8hU4n2jrxcH18SlJY8a4kTFKYiba2Ce4E ogVCcV1lyiFWmqzKwpJ3JxqgElvCwfMU6NDMpOKSMEqDfQF0e3Qk44iXM1BJadsbR1UB1nEX6bgc NFNnfugZBkaAno/cbf2Ykkr5ySch+egTFQXisNqAOH93unLeLAZslipNAK9y8/jNF0XiNOAcdIq0 4fYGQC9BogAbpX42G3p16MAS8IbsZ/CU0s2Xm73xXyqTte3tg8onm9JDP0Qt6fmoO5B+necnE1jp aidLE+YdnUIpztWWbT77I2aqT57yVKRHsmmbLyx9E8uOWfHB4coNh3km6r3R1afxPMc3mTN99XCq 2ilFDhk/JqJ2D7ECjCNQ1CEAFEbwpbNWG5//Z9uSVNNzSKzcnhghispFfDMKvHAn0+2mIOlgBTlG n+YTGAmXqDrAapENHnMWyOFQ22XCzb2jHQLkNyEj6CnM6+2y5KSUQi3uOd8UgoFKaPzEcx8NPh9s vf69ooirSD09h1RYAB/CLDebp8qIWfM05Dshrel95ymsgC81CNb2wQtOqwIFz+ruWTIAnyzaKZ3P nrweSp6X/UZOLj4lUMEpOqNa8UETdc7WPZXkMeYMbXBG+s7yBoHT7W690HhyUioQrlCaKOhADy9e Zncv7RW3ljkq/o06qZhoG5xKCRlfmNTKhvvE/4mSU0BUwBgHaErXCi5kB/FTIpa3nNyVFHtoC6u9 k8UzNjq5PJ+PUr2L8sKKohfeLYTn0HmyqTjXqsuYdBo4mqficLXgUpzglQPrSZfmQivOB80TFOOB 7zDWCYiWi/E7zEEbQEgEMJkMHs1Lcuw8mQnzmiyvcNA09Z3YIaauHZ8PQvPyvfGQ6A3wtX2aQuvJ wpS4Z0+Jz0PqyZ2UeCyrGpyIJ5naSw68J1HoJfB0puyD7btxrvATe6u0skHT5flkto+IRWg+ZfNU mr1wxIQjunuyUldUcWPh4CzFKh+zOvj8v3q9mt8CiO6z7SLFQODS0VhZd56qab6h/kgdas5TceGg HBpQoiW/0dbNPthyqsjzKnrI4J1C05I6TDTmQDXhZ82rYjpGs/f9a9cNSE/YoFrSgjgxg82VK5+P IoNfDpT4aflr14WagZOauEjFvQjVTYNqrFFtmsDIv7su5FXSXrHRzU2DnU4DJs1gaQikC2HNJtFA gGpRvRiPLa4UlnLoQrlmI+uUBN2enaALFSp2YM9yGpvPR/3e9bWcQF9vX4avgQulWPjQ57haXSNZ W7NM2rxasY4/XtsujMmJr2wIIGzwuvi5CGteS94KMN5Fv/NE6rLooA/Pg2bu01442Ha87kYhuZKD 0INIRJfUFVfh81EXyo8nLB0cmRUEKJ+nkF0p3JfOa+G+mnHiAbFwoc4BfJjS4dCJkvIK4BgUHzwk hz/JagzJ+wChnYS4CR/JhQygQg/Wa3aqRYVWlKywnIDMfJamqVBUyMrKZ7lx1UcR+e9jHBfdRKTn 8xQG5JvlTM0ItQOEShUvLotJgES8NZ+nyBin83hKDJ802DwhM9q10h+3VKhJEZCRqP5KU+pGFSF5 dtdqL/yn7KsQBMURBAX2Ac0ITany+WA9je9jHDo3s6znfAqL5FNC3WaHg6NqOj6+0hWK6K+FCr/N U1wl50KCXrQNXl7BpqtNyCYwciGGC4s8VQ2Ac0NA65id3uyNI5F8qnZPxZmzOdQCuAVr4LXC5/+l bV51AUDV5OYtoSdlber/5uoTxVKMHGBlvYiKHXQxfaJiU8pG7FfZPjjaAoi7BQNViORUU4gBhqdC IhcWHbVeNOGyEyoqlxfuPBY0bFAuPM8NRKAbimp8PshcyvqKM3k2nnTw6pvm0JpSSeGJzi4DXBYV ZICXbcXNkxVUg4Hl0JtyUYuCvRMbvBIF8ebeeUYBgF8b+ZbnHD4ZO7oukz1O6041e9+gaMCaZpmy gp8sAdB0Me3NSBMtVT4fyQo+plRq2uwfKALdiQqx4Oos5KvfvSmHxELUbgyccJizpmYTFYPBWV8x EdMvmfOeYEpQCLwokCmiPMPJkc+ShQPXz+rXeWHJwzu0p0Q0ADjRzj5wRaFW3oxU0CefDzKX314w CDH61abOoT3lKsJblGzFlapjHcUVHMFWrzvhyfZpCkNyxpiJHV4NNk3nYVQdxbs8N93AL7QJ6Dnf KhVC952oQiYxPyZCqBALPhkcNNQ6LAOGnyYb9ecU2/wBEY7n3nr81+CKoGrlUxXqeG8J5tEsZ6F4 RztticFUr0NBfMnrUIFHJUqbPJ4kCZ9+ScLnAYhBJ95pndXFOlTHV4k7EWSeNJ97b9sb/4UwgCyo BmflnLyYTK2z+bJe819sHqahBHHWp1we+lSih4ZW3iievxDSJeHTck2YOglkDMwDo8rzK3Cmzpeb fLj1FWQXQKiCp3p+/pzyhoGONlUXMo9zVcunv3AwUVmIHvZhGmUUqg6bNei5/XP+q8kfEHHnr6CX hwhQGLszFSJWGuEYuzrzCXJGjlhhFm+IlVZ8pkLIyiD0KY3hw4MQ7ydXoAUHCgczU7Aah9cPGzP7 MdGBOKbeNzqlpFJVqw86lBcE1rpSpsbnoxX1rTU4KVbV052mKDKngM3XNDV1e1iH6tXcq1DYTN2n KVQbJOwZxE0fvKvADAjdioYa1BqFQvIwmTihMWLo/AgxrWnvG4AyMutPsvfoHq5BiWmyakYdcT4f BOb1Aum5x0BSS/VZTlFkTjw74PrNoSuzOHRl7HahK4DJ2TzF4t7ceJIaqF9SAzQXTBDdgnRlPdkV a59Ud5vg7WWdUGy+ZJuoEL2izucwpvhZQvx9J+KOKkPTpLeMMuL5fZZvbrnxnOVRaE4bgdrVcmHp YOfuKXEnUgxfNqjelhIHrpVo6HGGEims1e0S6DcATAaII/CJg/4T+b0dbPGB/GENlxs0jbgculbW td54UEiRlH8gUODzwfm0viEsGXjBhZqHz1MUmSfJfEtlkFmfDiWcTy11l/kGF8rnKY7M2XTZdILj YAtqos45KxufFdiYCmSZzJkmNLMKWn0oMhIwVuyFI0Q9EWMo9g6wYKYW1II2FuH1J3nvfD4qRX2X WDrc/HB32TyF7pUUHz6T44VyZMfJ4eLkfPOAOuvcK3axfSVTYkAXfbAD6sw4wDiFlc1xsldQ/lBd QC+m0Sb2+g4KL55D/0pVWGrVvqtmb0QIoEQHVScPDCwRln83PSFcMvuT6IUOlrJnaERby+RT/J5O 4aHsWnHn2r3TFAXlRION8+p38AIw6D0Z9x/Q0AspgMxiQWifiIGgdnE5491eOMAbyOCzafs1l4s7 WUAjUAiGMZvPRzjWb7wB2rpwUb/zFLtYMgveRutlndbvu9SGN6jOMVwsIQ5tLOkZA25G98EqB5Uo xEW2TwVeqpI4RhlR1HbGD9lj1vLs6lCFTpYyIaiTTpYVFzvLRyA2tk2FJbj18gf8y4SQILuEVPOp scRmlpUFTfkQMIBSn5OKKMu6C+e1Ul6+osKgXK086n1p8FrUiZJODsNa1Hkf3Bqw+x7EDaEVcH5X 4A1MpUlt9MDPEnoUXEsU5gMO5vyOImuAlsjo8ZxhmT8gqB2kb5YdQPNIGO9MRRgWrHqcS7bh0HxZ BvZBOcHBPgR6K30JLC2d+ZsTJS04OIAsoc9M/ipMDQtRSNCo4S4F3gp1fUfZr2wvHHWHu9rCspGF iRpRUaAiUK8M2miTPyC69HzzMYGGzAg0Se5MRUE587aa5Tq0f9xBS2ifOqtB7QiXtpmK9VGYDEu7 wewBBSBbKcNNWWifiZ481tQCZQFywOe7Qq3d6T+p2xsHt97mdVd1WtXhheAM4edq+53PRwVzBxzw 4oOLHYoZd6aiuByNZODhuwtbNqlZsnAnPjD5rbiZ7JyKQCw0ABuQ0/HhVqNOZjGwGFCNOjPGhJ3q 4MCRQZV4t4fd0u2FI859JkphMj7H0pJ5YzkbvElrIHFNhZDycgkurBwDsDxQ8/apCnXA2Vwodbg2 wZKqLLF2Smy+pBuTftQfEtcd3E0fHDJ9drTsvUCBbKhxEkpeeFAN9KWAl/GK1PY3DvYfu3rwFPFB iwqenkVmjqvz+WD7/dJvRPQ1kUD7RP2pmELEhGPtmqmCo3A3HRKcroVTjq0u1SHf5Q6XorCAS2OM UBd0Lge7MJsVqQTnoz0fYJSq5qHXJdXkgGKoPhgw6uSjErvYa/H5IOa8pTu84Emxz/03H2BU6HbZ SMEH6tBKnJQfkWh65xX8nylgVPJzKgzOt2y+cvfBwNNwy4HQ0qT7Nhp76JRKxYZSv5gk76eXLKxd 4HeZWJQF9a41HzhTcPZqonKewIPPR5yXG05tU+s7O/DqpufQ83LQZ+ZEPGZWjDLeteIh20ae1/tW pALTS0QcWE1j0oSPg3XU0aYS7xGaNwnAQ1ZkcOWhmf+DJ5UXq4jMD4nSGLpdt6UCwrROMRr4dYhj DuBBYHr531qOTIP2Fb3Koeml7HgGLZHk6jTd+AoWjMNxLEBOKd0LXS+hDQdh01J8sLT4nNnnTsK1 RGD5uQcXbfhIgmZsw9BH6d5QMBW4XoonBo1C9mFqQtqglvpaJxxTAQEt9dD3Mv2SmEfmOVDP94mK AnS0/5HveUu9QQXOERrEPDAv7qQRa6JiGRWukinA3XzoePiyM9tUkOM5e6vSZx78GhZuf8AwcQBZ Kva+fxkXZbMKoykUf7VVAeKjzmwqiz8g2HkXjL/tMO+PSEgOvC+N6wg087qIxOWChbldRGJjh1X7 Lq6ZM4bMrAT3fOsHFRo8qaJow4LUOYqY62Az/nSYm/RbZ8lch/yQqF0l4NhOPlhejAKzbJ4BjArN L/PDWeCKZ8t5PhXOwP/SvMJwvHpfr/bVra+XZ8/eAkXlRrdebIBJ3etUzC7kLigqhYAnpL5e50GP SAp62VSmz1KckzCPeuqhA+ZkM701tWGaYzTOtTOFRIHLNp+PaDDf2IMCxHR9+p+hByYEytBT9ywG fzSz8DnbcEWViZBHd15ggokFxXwP9Q4bbJ4mSmNTaiGQCc1kFZ9wCvctchxUU9MDZtn2xgGziuIO OXNlZTjriJFfaUNC35mzFfgDgmLw5Z3T5wCYRMR8PlNhbI639XOJaJbstupwHhpO7hhj+EzFVE+i NEC3t8Gb6hVgFmg6npmC+gy++rMaGGhk5oGsnNvmo/ZcDuww5baAPhWr5gV9IpcMAfkTZ3lGCSH0 w3zgUYyj4NS0y3pmKtQxrMKztDtTk5pznClXWTuHOeDKNlMRnqWSo98KGVYc7JDqKI2fKWET5oQ+ GysY3GqIW2KOIIrh8yS6UOCJqWzthE/SMuKgrXdSyCL++AZbKDDFhOCO5zCCR53w8/wQD6I+rzFU xtezJBWevSgl2h6maWZX6D1/WmYZ8hpB5RMaEGxXNlcVB7vx2lmM9YSF9FdrcNUg8QreEfCM2z8L B69zqhBBvcZPGQBXQceoGcpBDZh05roZ2AlPv0zQecFt3rOS0YRa6Pn29Bfne4mmZ4h83r1qsCmt o7xlJ5Fgrlo4TvBgerq05xC12+DnN2QLIP1IwRBoASIU7dC1/TkrFj3XWd3jYelYep0faNtiYk5k egc7vetmreEH1+jnNWjKqEAVmx8GEWDXwslU8/MaMuXMnlhGj67YDQd/z4uGyu6l0iEnwvl5DZiy TOEQAfTkg+2xeRbNnjQKQI9z90zNWaC84GmKvCV5YDkJJH8Nl7J7F5+jbvlg7RaguVmEOjnf5zVW wuTu8rV8iGHDwtX0vEZKWD7Sd1y3kInY7MdEeVQfINS+u/71a5xk80y/guyDQzTzaCdElkY4KKMI thEEQMIaPhIALvj0ACT5eY2SslsW0T0DA4WztXygDsrGyfmYz2uQxJ1SbX606AiC6zY/rwFSFlcE rPzZf1wjxA4iiPS24ZgCyLrIcDZYPsDromjSqg+W6i5MC6YIqS5gHGiYokK7iV5FaDzR2dT+Inrp 8xodZdHgEGjX7IPxFM+dwBIb++SvsRGeNt0Cq3g2TbcfQK+hUQaWQLKF6yo7qnBCLAGpyZLjSd2a v6+BUQZJklJFtNvV4K1fZCNZKvPnNlys51BGrSMwgjwRcGfe05RNaDBDi3gnVOx8ENQwbbRsuG9W +bzGRFgNdX6f0GeLzZZ9Cb1GRDjWG1PanZJdYFvSxdR1rCPZBNGOQrYX0QRRsbCmfgevK51kdgJ8 S9QquKEsqKCBANWpjKDktjJp8f15DYZ4g1HQYTMmQjLzY33eYRqUPyd+7Z/XWCg/jfHNWIilvMUr nhrJwQrqFAcpRDBygqSMbBr8PVlwXfsVdI6O6K7KB62sSk63h4nz5+Qg1Co+MeiqjQ6qADq5BH9L F41Cvu3nNQzCt0Dp/bafQWW3cwiIyYt63uc1CLJX1ASxiwJ/cXBsNUGvtUlcfPjn0I+7jAP3UDm7 JA33Ti/YAVJOCw7ppJJkIt8gpcs3OD/kbJtEpdR5vo5eqUTT6E5x0jfkZv3R4Fs0TnmtS2KCNkXl wQHkUHhdo5fYCkmiQMV+XquSCEP2+tpiqF3NTQgKdSSCCWJLDM1vv8WmoAM4g6agTRK9uLJpURAk dcK67uDtAFDDIFNMAH0+VyMawefUB1IDvqqIftNFyNHF6bUcybclfn5S7KrMbTzNAsC+qmwAp74W I3EGmc3Vlo4TEoWdqy+hd04d7ufEksg2K4JLOGDpaBpypwKsPJ18GM0Rm0ulKZymf58tohPFAejF 1u7AL4FCeNuA6JzvBsdbe1gr0k1/p9RhFVmiX5oPqtk2nkT4wBOgfN4ZdVlmXLbPZNMHeB61CcRt CGaJHanasqHhTlRBKrUs09KysxrqJ5d5GM3SlHqKdGb2frTlETtOaCbRe4dlJMwS5uMcWYML9Zrv TIoUvjPqsJQ0PZBXskHwXZBAs9SHKh8Pjuu72TAhiBYJiLFJeg+poZDBQCjN5DERQ1UdR66CdfK1 VS8sLpgk+Lq8q/AU5PGoWpPuexZLaxTg541yngLiy0OiIeRuMEXdXECpd9Vc76qer6CMJlbP5uNB UNTvFEmtf/wQdmRzFMXV+Pqw3erlRKPX41HR9EptU4ZGgEBwZndW/OmjY4PDwM+PBxiACwnt6UQ9 TFpEQXHkp+DrvXda0usGiRnv+wFCjQ0WI69zMcqvGEDUOLTu37ca+as3M3sn0nGLsJqdTfoTxebh Qo7n3zu8su+7jt6D6yyZYki1LR+8OXK+b7mhAbyETgvxTRnqDANKPBCS9ubIVOkxPJB4XCPKwoC4 3NCVPSX+8fxYFNSCORJri3OEf5xpAZBveP1Oo8PvRlvwPpbvNpwediSdb9/tLqC6YAn+e4DtGiBd 0mn9SzoNvHrg5YdsnNKkeUhHZQd2F/iW1nWWIfr8806iw5Zmr22K4zsvxxeWo01SKaOUzzuHDtlE +l5JGVhVuLj5JIUxNvuCVaV9IgJKcWu5uZqHkHSE0iRFQTbxgkM8Qg2W5mMv9wbxhrO0AcMYpGQO BCyTzeCavAxSsiQIoii7MU/roAvboKWEUjmX0vke9uedQMdEeH9lsmDxjDnudovCbHmCwGfX85Cc vBJSppkXVAC9HLMUxdnyAS2r3sGxgn2lQgfPBrjuWVXM9VFU+CGjKqNs7qT62fW673PE+sAJeenl MJ+eIiJVJSKp8/Egjly+kFTCha1cunP0HmnjQqJsKpGulEfZJFmJ5yR1UKbikL1XTTYKtQckicYE nNgGL8kmdLHHVN8IIQAR9AkAro4m297z6Rt1ve37FMFXBLJpvfhgMEGYvS8Llz7vtDnESHt/X20Z pIeebknknTfHG1XiFct5c5QNNnn5ZraXsCfO3gwJw21utlHFFq/L8xGAkwY0qHgiFXSFpIUFU6ZO 3WDS6b3AP/W6wSxxehZqfTboRFooOvJEIk4iireFcfSqI/hFhPla1TEKtyvVY8oo18iJ0qXKaUkt Vk5bPel/58s9m61KW7ZebVmEpg06xZVdkHTy0i5tWbDoiTzoyTOSzLj/886X47pndLTGHRRHAr0s AYta8uedLsfilkfbLDLhjVFMsjmKgm3EwNCWLW5SBIEOD7ZHcbHr0orBct+pclyRYjTVO1y8G0SK Ed2gdHRyHsB3IJ4LU8TMbKTQxVEnEsuP71w5hIKELVNmDU6XcFjR+QvTC4beHXoo71w53m03AqDM xwlFZn8K2FEFG/pviB+9PNJO0D+9T0SbYRXYlgvLvVPlbvqfkXja4Fh4EJzP+qmEmlLhvDO7HSSc QSgNwFFn62gtvQfcyU6lvJiTUNFVBBta1bKbPc5u+LwT5bJ8IL/K/Oed0eHzWQoD7sFZKqn57Tbt EAe4IdmGm+B46FB6Z8nh81U+2iyzcfCOf23QLWTAfaKyNCjQB0BuI3yqoeC+r3oFxVDeSXL4FGIn aQ1sg0IACEc0GajO+nnnyOVHq8kyt/N1rVbuUooibigCIoD0IkBtNV9V8GsL1tcV1nknyLEIwElK qgWkm96q/7QXaRUFpTcSfWGicrKSDmPo8dB4Zef0zo9joURd/tR8UDn7HHp9m53T+ryz43AFr/E1 RziVmDraHEXxtly5ttqyjLfdVgaYrZr8VCJQknMUFbQFHWGdxQanxp1vfLEwegLWEw7IELw1liML zS7gaXiP7qX3DeIk3mtFXIHiXAEUANamfe7ZCevzTo3Lj2iFXW9AtkF20CbpPd6WNiylYtwRdLZp jhcLmhyeuslhJvEnRUc3e9Yql3C4dRKwfhorbqh90vkSSlbAyiWg/wqkRa4n+NL7vp9Ji9usSYqf A2cGwW5jK+asjv15J8bxCL73G02vNqIQQHw0S2HEXWXf7M01uHk7S0Aa1KLnVCeDv9PiGAxPTk+p PnjzEdSZgqMOhrLojVAKE1y7n0m/kTIuPQctd75uNEk4uJN7g4KnKewRFGf5i5+dvT/vrDgupV9V ABZvbn77zonDfqPYVy+3dtvTQzrpXrsdVFjTfnsPuRNlw9Ae5NHU934maYKGDCX3hkznhJbb/Iyw 2nFxAFRmtxtdgD7vlLgsdQ10RtL0waBa6GXKSC3zHYOVNH/N0QnVet333I4ibgo1wOfSlJkqbPLs TEIgbl3aTQY05+g94kalBGnJYg9Wg+YIfF1CjogUaec/JIJJqMbR1gkk5XYtmYpkbxusI6pUdNZY NGiKztOTxxOKnZ93Lhxi0V/FJOxxeHN4HzsKuNHROVM0ml9tnQpO3GvsPZq4Hmyf1MgOA+6plG0O HywpwWrcUOchmObEW4syYIkwUBSHdypX4gs1ms87ES67wvyZ9eyDMjfYmXHTnaOifN55cHb7fq2i 8yv1cpsk7zS4LO9oNCKnswXN5JJ+lzX5oc3jUVMUY0UguJhQ2LfBVlEH3J0OhOf7OMda3iztAnKL FAJANhSju5g4ueh1ozkialsq6hwUIZ3vcEoY4HwNn3cOXBas6ykAFPoC3Nr2OwUuu6TeHMV32qpm 6Uxwu59G4P5rp70z4LALCFsbndk9B69J9rNyVpXfxT4ZCQohYHwDrAHVyZmeE7sNvW2QtjHGnvLh 4SDASKttLaW2pXzeyW+/DmzyKhDAQtnApiiKtBsFKWp72N6pVUNrM7ESqL1dEPI79e0GkYNSnho8 /Udvv5zkjfJnJyFVaovjCDsNBYvp4ozn1E163SAdIZoGVDwMUDYUA2tR3RjrAAbGn3feG+Y4fe81 gEXbftZRFGlvUpRmNy0FJG0WKyHSZvyNQhjNJbSOotp2YlN7i/W2H9ZbBz6/odaI4KWitcb67kDS VlC2Khd/zM7K553yll0thzaeNug0gow2q/dw0vq8E97yw6G0XluF5H27gfY73y0Lqo8CW5kOZs/T bVNy5+oCaAE65zZFUWEbnSjIw9L2sksVWCc2tBXQWGJhu5SapdYPtE6ns1x7RCh4wnzeyW64ORdV TVht1WA127nlxXOOU75jtIy82KZwEyvoObKjOLsLyF5NTgFz5NayYPL1m9UOK/6/E92y+4E1uKHY 4F3blGF+1XAclYzAm3j/TB184AJ+CI119mS3941qbVxCic1bDqojpbKTEGwLX+R7nK3I4evqzwP4 mnsgRWE2WpYosGWnA55o7NbaVvXiPwggCrPfKW7WkTrJ+GLGVimSfY5GIGzWOZ/GkC5cBlioUZsK a33RRBs3nGX+Ahy/E9wujK1syjQXZHcCrgPjt8gv6mf9f94JbrzGx1fGBojOZJCkSYrC7MbKds/d JV9y7t7ZNv19hpBMrLiSwso2uZKNBoQabCURVbsnQSQVgoUUlT3BDWqhMCv44YHrPg6oC37e2W05 mchgrSJ4F5amOEsVtEVyIM+W/ryz26yKY0tJXs7AfZV7KEWR9mS3qxWnwLdMWgHLSF2aZ12JrREi 3rlt2bU9K5uyGhyMdGYqwdmMOH8IKlTWJCFPBalj+jeM6+ZEqYB3aluW9xSJEMkHa0pu7hzKMubP O7ONL/m7cduBlLiYyCjW7oRk72lAYxxQJNIQ6kwvNpqCVlKvCYqMY22qTgzRtUZ5TqWzqTZXKzTk z4W7lP0j+obIBYKNfUOAQVuCd1obgcOqjRQJMbKMTL9L+Pp0RtB1ft5Zbfkx4dVCz6iirnSLbe+s tmwiJrWSskie5KBdDa1TUnOe5LkFgMvDUnontdn9TBmq6oMVkmD9nQ16DAGTutm4zZSvS6hsFxy7 jl2nHNU7qe0GSiUzpiwSLCCbe0JoBbPU0vq8U9pYbbuFJLaecMMBrmGzFAbcm/lsH7aWaqPl7H8o P9SMWFrpfWprKapuN/nL9HUHX0sd4jVoYQP91+CpmchPRn2woN5XdtpP5tb1vsHpTQ0qhCU+qCZ5 cimpgWBffN4JbTyW1vfhvZFcl7vh3kNuUCeY3C43dIaQobler1rsbxEoZSPVvNPZbshdFztuHPzw hk0hUnQKVZ4pIrDsRIDYLWfDnUmi0rfCgEEaxDufzQpfA0Xf5IOhbCFKI4xkqZ93OhtB6fv7VAJP bN8w4J3L5vFkg0iXV9tq7V4BcKWOcy3ddtI7le1SIU6wfYebutWF9JhaOLmgTExZIWgOwBvzh5IN lt6qSvLOZGN6i9MoZfYBkgPmIQxZdpeWZ2ufdyIb+wffoRJiny79BH5oVNzGkQ1wRPW2ZCbnTddb NYQS1aeN5f5OY8tXMB7fiw1PleSsw0rpaujV5EpJ5gUxhjFYQh0ecmNd8zOCKRpEoBeeSBw8L1Gq wHjl885gs+z4K3M7X2oHusKmKISSLNlcZS9tlzkMJjFASrceSYVSmabo75B7wh/ZBpuiDQdwUEbp w3fu6jwFAYAqC6rnazymoMneNgJuUQNdjdvhjdtC98sshkGrn3fu2q/mduW/xv0xVnbE9jt3zQG3 J06+ikpr1mzR5Gqu+EZ1aNtrf2NJ1iK2nYNNEvr/mZbZjTZL0KfEmYWGAfyp6YjnvTZ8LZ935hqP T0zSSlxOHDRJDUJyRZWk+XknrjFgL1/riDOexj2zw4BbapSuO4kgabvrQCouEz8LaTsok7QYtS0Z m7J9cCgJGJWp4RKZoHh2AEDBPEJFGcyCzq3WVGxLetvg8mePLe99B4Ekyuqdx3c9kfCnRVASKKB+ bTUU256CZAuDbbRV0PXKVkna3bI1pFPu84FytK+iqKydRM+uLJJwsCkClpdygaoAdKbSsEyBCAcb 8mgCeGM72esGtxqJR9S1sEF1bUgoy7KplfFpEZCEpcU7RyDyjPP1O7smjLVprlP7tQXNs1lBEtox VkiCXqGBJPt7rI0TG+sHiawPXvo/CwltbYroAw9RpQ0AzC3OuR8S9xVpy2ugR5F24qVGQwQbtIwo DKKa7ebjER75u6sNyhQ7iDZFYV17sd+/i6tsjG1kpIKUvXmtjdaE2Gk9wpHItgKHtQ82RcTEsGDD E/tk+CQrEbtVJ2W0+03ZJlU2elTXrqb6qpbk8M7/CS7OqSIS5MlGehRnp/K9jCAphBq1z9Gfde2W 1WWTDFd2EMm56hwe0c7prVutR3VtKruNunvy4UGRjgH9KqrbJLAioey2N+oVpBiUkh8bR9G0ojAb dSbIby15NCFUZFZRwLhgZ+98OwXPB4iky6VlzoZ6F1C7Pk0hHZImvHuVavi/s94lCHRiyDEc/0cJ ZNqj9SjQnrSsKG3fwaYJRcmTK5BtjDAYFVCiSKCEUNgWSPW22jYz2x4F2oVo2154MpGGLZBkgzsd g+ezePF4cGynXxEScLb5pv894kSysF9Lme50Wfo0MXjwnC3QPgtimSJ1j1EkjTWkOnxwqM25PU78 PhlEgm8BjOzuYDOcpAmB+6C6hgJtXm09qm4rNoIgCwfU1aSEAItoUknOMp54PpikyxslbBQrayLE sVkKQ+1J/siY112AdUGiJGtxl0tAks1pr4fESJYjWU21wZbSxOKBgiIbkg1V3ETL6/OrnqAyE5p5 TyVqvfYItw3tAewQwUjIyzcZhN66/OBO1oDngw23fkUAZ2F1SLrbJIX1bZXbQNxSjaSTDiW5fPjt ZR1Lm+U23G5xsE2UTaESQvKUk5YeGVgL+guN1Tb7wgDbAFcPrCxLZA8raep9o0oSs/9FJakKWRcJ rtSzw72b1GrBD4joJJ7aqvOEglu+FNv3cBs5SZHGJENF/hGnxZkxSA5RHwIk/wJCcuWOi4AksM4G YGs2H7xIAs1X1DgJ3Ub5p5OWpKYtcmaI+l1HD+py9qjEXeV80vcdVCQBbIpwAODO8HiU/3sYUMSz PbOEhovNUgTe7uQl1VJk5XwuO3g0SZgsQUpaAoqA/dqOi5AkytzO7ypekjoXPLwhjZAmRX/OzisF Qqbo4dIDlAYV9avtBo45PiUKlhgBbIGStoOSMuQQRJVoi48HS2l8k7eg3gjbEy9L9jDoluuJAP+0 XhjTakmDhvC00enwc7QtF1W4l/FsiSot+dG5O+c3mRIkbIPYSxnYc8Vxv3BWar02xYNs0h6hSTpp kj2bidV0zxNIJ4qSNcvE49Fa8rIkVUfQ8h7gAxlnOwq7AQgiltGwEhWlYk9wlxMBJ3R5FHaPqMQN eA6cAriWpotktk6F17NkqcWZ0RYga3tkmBF3ZD9YEcYnkVD3CHVHmoQleTpxUBcAQBumi+d0y3g8 CLvHN5+kUR7/Zm8jCrsR05w4MmWJ1tBE3bgSK/GF5U4MOpZ47VF9e/FQqrrnONhCgvcgGlVNYsH4 OpDgVgBvFv3VUOZ1MTI0SfEh0RxJdIQ4AOAXfvhVFCj/MB3nSYznoy63TxL/7UlJ58geUI4QvJ2o ObJNSiubuy+jgFRM+gcHzfRJisrbvLaI8NSATxw/xGhnMJITusrYZFPIE/YSG9jh5QkCQCEf+IwA Syqu5FA3aVTT/1sbwR7xoed/8HhUKPlm/wOC2B5w2wjxJMQBAIpsW626hzrIdsk7k+2KJI4o5taB NCqLAScVaTZFVLXDJiOx6vw38KZGmIAlA58h1ZIsAkB9B5/xFy950DxWg4oAYyr0hW/zxuORgsT3 oY0Lpu+b4Y4o4u5Ek4x1FRLQ0LDTqIqhTONpqo5xiqKIewojUclO4uBsknrmjSk/JmqduJVydlRV G9TTW0BuiXI7SW8bIW6bx9HO9IHZdPvlbXS+zjXYcjhXQcbz0Tr6NUnnlTvoIDZJYcCdRGq7TZLd nHJzstqrpwX0tW21KOBedPOqMrjm4OD2c3/AIX1T6gdeOSjcAhW78D3CWfquo00NgBHCtlH/Qe7S fdDlX/M2rZ9zVeDxqNvWv641preoetkUhbBtidy26VO0JPEjeptJkxIkaSSJEcG2CyOkvlf3wTO3 sQq0NqumCJL1siqmR8ZJLhE0X9B21dtGrTasn1XITV44CaputbN9hZNAcjvCUHt+ryI2SUe7qyiq bEvdtlJDTWCSXV1bevTpSn8NQq5aRVFle0owajcbGH9wigAgOckay7atJiirEN1GFMdEN+daxVJM HJ8RBEersqTNetuCq454xCjCryrhvtTxfCQm8UuOBLn/Kl5MGmGgPUkj2cPnqM/hO421VusiwdZH cxQG2qyS5LKKD7bToGWQGfaA3LZro+gYfifG2wUS/1dKYjOCHGGc3ahEgmbngB82VIO42QgyJK50 9bnwA4IKQPleSSilTTl3cZZiKAmt4HpfFh9lGTXTr5KOR4yPwHKwzRZWt1kfSSq5pafkdg7YBRmX 1MXbOpknbT01SyAFlS8pia1DO6xuVwluK3UDCaAYDSnNwvMbLU88H5VJfnVJNgi3t0oyw/J2Uzq7 pmUjGxQdQUmosG4Ft7at4DZDeT9d/lOw23nVIs/8wq5w0RI9QwdrdFktAA6yzm8mZPt4CLczBJKw SNKgxm6DDm24pFheWyoeD6aoebmNhwEsL06m6QHSjALtylP7HGCLGSxVYpIBSVCBPX9EvY1QN2Zs M6xvJ+lEzuKDZ/8AD+PWp3vJmfhJJ9lC1FhqbImS2maI26X3Dfbb0CwRMMlB3X+oCEx1tnvB4//L mYSIhNAom6Qo0IZM9Fk9rNkrRHpEpIYsrTu1B4qd2zNkSVaWbFHjseGWSGZf8n6DeUIx2VEcKa4i VfeDtsn2vn8UuHctOfngJRKI3v+QlVzxeDRJHmoTmXNWyKTGjSYpCrXpsHxSgeTctr2uX9AJ/5wB AKqGTVJY3pZdkNq27WnbFhT+z6/OODJB3zazcjvpn0hgRbn0dsoO4zOiKSLvj0QkDUb/G2vwQIGe MB4P2v/Ldxs12+HQ9HS2ZxRqVxS3IXvtiNsukhuVfnvqjiJBGUVTFCr+VWFsaHDOwTsAcBIBSJ+C moDfUhK4Qt9yUf8KnQoXRKbz1AwV/5jyo9TlQ1JSCwUsZmGlVzwe9CRva1u6U+WHEhk2R3Fpm2zt fBsAnWUNqUaPZ68hEeXNNiMUCd00Bt3pbfC+7Umu2q60eysIUwc9iCfOrAnuP6r6HiNVe9vg0O5J yj/LBxEk21r0gkFdeODxqMzmeT8bvpDPA5/F5yiCkaAIA2rEctlaGLo7KLkOQ27D7tbnKKpsryqg rbh/86L/CuCeOWfGSCerBScWvW3kbudEoAnFo/+Dx/AZ0ZHNAJKuPhi6Qm0CLk2SbPAV/yVIwjsM DGkcijZFIT0S/VjQ11yQZLV9t1r202jQdVhbLRT+qzY3zQdHSMAZr4DCAebfQKCKKZoLCplFNM7f gmQzqmkXArV6otkNB+20NKD+yhrb5uPBFF3xWiIFoCBx5tmnKAKRzMIaW53OatvTJRJWakbjhrnt 9AM7irRHUY9tVx+8ibTOEkldrbZF52XstAo56AUFoJMKzaeinfS6ARqZqRrEIjmwHs/Ef0FuAFsF Sq14PoIj+a2muIrUlnscxexIika6ZwSqI3v6JOlvic0HIEqTFOqRMB2Zk5wtDl6rPRHETGhTnVsN ond7i/oHgwMxxvszSavodf8q+w+2tjUY8G/3UZRg7I7Hozi7fc0RLNjJH9YcrSjOJvbhnEHDhe1G vQzSNEw04YRlcGThHK0IRjKqCNsy2ugX1w6F0wVTocVr7Swo+XevQZewhqvII0gj/q8QRsK9Bt0G Hwz4Z0RvEP8rHo/okd/LCDN80lJva68ozO6AhQCz7tjIPbfbwY9uTsKMIO04WmGYzfUDIrMPttfK RnJGSu3JNPI5jVDy7gMk08EiTnvASDgW8RlRcYQtyMJbrRYg93VkD3D4CGo/f43ng61WvqE2AHiP ctFIK4qye+N5JFAEKaSU0BGMRDguaZE4H2mFMBJCtZCEaGCjj2TttE8mMrpqbNCNNIsu0MpQIQCL 4wZHZ7HhM6IaWxYsYvkgpA24hob7W3w8irF/tbShsAXXU5uiKMaGQhCkEaoJjjcqyxtlq+ZtZciS HR2xQqw2xUh5AtpwGSRI7utczGgnjA8y+eyQJKD9AWsj5cGPrriczZZRb7zVEABKGONMEcw6mFtA rTVEkPwq1cKzELycu9f+Iaud6jCcTVVIxCYkOY70Hek7+ySF9EimaXAc9sFqIyeYqLCBkDJC20Bv I6VN6KMQ+DIA+XCYzdTrBmU2if3WIvNEyCoqhjz3qMJsaLTj+Sg++t5sANlOGH/YJEVhNum5kHly c5aUvYB0soJrzjLHthByhUokQ7q/RAAmZ+RDWhsqXcj3QY6GpCdI1yd5o3LFufjJDvNc5OSlRe8b AW14FuVyB+VrKC0wJT3fAF/yX/r1PJEAF8/rTlIUZ6MXC2Bb9u0GYxkvaVMyhgWkjjxekxTG2cUU troPDmxDrQiqnURsoZUwaC9ZxbIB8AjAPSsh0VgCnxJMEpPaOpKsp5M5QPUOU2Xut5QaHo+utu9J AmJrrhshrSjSxi0BKhtjR1Yl11jGH2FqIMIWzmGbpBiuzR71anewScrgxCfB/hFcjERvuw199JNM gxQ2HwVpqirgU6IQiU3aNSUhhXXFeLDlKR17FCvweHBwX24ED/mz9haAXjZJUawN7AOqXFdBqlMa RkIkw7Db5xsDdEEq22FVe8lDg5L/HPz+zxD4r4WstgbiHxw62wLAKieEkCcdnNasLTwH8SkB9J85 P046H4TTnjjGBf0ffMlIqv2qI0ngrf/MejOSFVa1AWs/d0t3QwTEfRZIQtDQZyl5t3bFWtt2r28f vPZ/9nCeKHyc/bZXZSoCHWnwOUQ1hMSbx0lUSF6h2HY1mG32QcXIc0xIurrmWfF4tJS+4SPQkFr7 mmrsKNoGMAAA9uXokbXGFSPJHiZBadeW0o7FtmVawwIJB99voNpsyNmBbLsQbxembedeWVAJXGBZ m48rq9o7iraVtZXGrI2DLaQ9xOvBMYXHI5qNhwCSnEARaVYvkOz3cBsq0oQhj0tFGii9mYVyvr4R rW1bSDtUI5Fou7ikHHwhIXYEI5nEPyjSw7oc+DacSZh89hX8dqPs/w5h25UlNuls5auzlbBNeQwC qYrHg9vtEv+4aIAcSVfVboew7UVaRDJmFgqTxRaSi/9SBhNRkCYpjLeV+DeRt9s1uoX+fAPsWOJI 537blNoc0LQfAAjkcvVICj158SFRqY2ErSqHlmoWS5CX7WtLPqNvPB6RI28ZCSspk4x4G/87ZEcO 5f+utX1SCKe2jzzdo6WzSq1JigEkLGc39Ufa0x+BrfQAbZvlSJhuIsUj2e1nsRuD9pk3kXi57Sjk 3jQa65tSCRwUJoEBIGX7jYUUIUgeFUm8Xd4obfd7IoWYbeKQkPgYR2J6KaDAEaN5jQQqk5qjELMt U+3EOZIWtfJ/8KvPMqGRDdRVa6JT8ELFtAOZCk1yr7WhKoPPiIKkIekoNQBQ3OKtvWCYzHok6kl4 PkJHXJYtXg5t+7Eug3SH0n+N3nXFlgz+ONQGgIXXnsZHQknSJilEkJCLPCrBWqNeQfIKQBuigCE3 pLoqfQChBwSrlPkjdURR/4FBwGcEQZKgI7MNH7TZYHRSjJS88HikaffNI5kQSH4oWztCkODMYduo ewEAhQSbInFJK7Pb7nstFP4TBVkuyfXLJVmgyE7xn4xqSRcSCYS6jux6Ex7RlLc1vW1QsyUB+fzA 7YNwkfBaZG7a8uLjUdr2S0ASOG0UaWyKQjmSIhhyvvpI2dD+ZzVRTV/HUachUudP+otDQlVcG5xj C4fagTlDORLmTklXIIS31iCfxDU26U6MzwiiSGjgQcRr+mB4tmyE2/NjNh7/Vz5iJKYfdNt9iqJQ G/p7iK+Tk9p6t6AIBUQW4ABZzGRWcYpiAImIfzqUxMAXnx0im3MQgwwGCf1mz3G7zipqUAZdFGsT fmRPve1f8kitKd5u3mOD9c4yP+g68HhEspnftxqghuuRR9pRoN064aJtOH+0JLNCOsfgmE6OnBeB vCP4iNoi3eQR5Z6iW22g69tN9x/qbS0LqzWhRHZWXXFZhJKGvW2kaK8mrQSktwtIQ20+d+X+c+Dx fwnaEbMEcE29O01onddPHUMxZPO8VkBb5rXMiGjaPhK9Ibt+VJTYVhX0mw9KoTa9DyFcgCASyrMQ I+QyoPMPYiMcM6jGYSktf+PAf4zZWkZpkYd2MVuRc+eYl1Q/SS5/wL8ApMwFoIi25+X/5RSSJAVE TlQjIcMdpX6BSCjnIHLElE8kRNtTHG9LP5rKrRw83q7phC6DdclzhKMewPQWvVpwSCYkWx8GIONt fEykbk+j0cGqUnK+KjMC69uumfl8kJfcoltnabInddd9pkJ7G2a4ubcrttG3gySvNmkFeNiUktTa e79AOEWA79pgMwV1vJwnJVLP6bGotsXeAAzJElyEoX1zTUezvfFfPgBtUDDx/GQEOpbZ9rxEloQ1 a4oC75F9+0m/HU2t2u5MhaVu3FwnMPYGbsMitgZukYQixZKGiyXlFNpH0uUmsbKmwWdq4gtv0ko+ qeZAvW3TshXcbXhkUHPDcjhZAaTY58bgNtUHBUpoDkuFC1iAnMJyd//WS9ro/q1LmJT923tiw3OK VS+dU5IFoEnrysWhgEBn2+YLrW54ihP0bYMXBDr0jDrJgA1aZSgnAio56KMCFhJiKqst0WmHHxO0 TgZ/tSwvt2x3XoUUXGY7rm5YS6QQWJJ/9wVQLwSOzWcqrHlXQs3YwaIcUE6UkAAhsK1qckADjp/C uuUUy2/LkZSbr+W7+WoF4e9k/iRxzYFmU2JnALi5hMpWBnJFtkCF1XJ+TIQJZGUJCgQ2WOx9bgoi Ndt5XT4fudp+KwKh+rJgdekTFcqUdDq3ZzOzBR/XS7qTQiJcUpBCWH71RZH4lERZlr1kfrBcuAZ3 wYQA6g6+KbsDG+s+gySAHkr285y1In7MX4pubTEm56AmfwKklYfxmWw+/y+GKYsD2EHn9n02X6hV QkQ3JIAMYrpKdYdkoGYUJNCq0DdfFJAPgkuzTG7zY3Kbob55Zrqx0rRwB6JLDoM3aLrBaOqc/reK wsCaHxNBTchWh/YmB1fiArOHKIh+HuLzkUKgLymSA86Vj2joTlQINmFUvle+nmXVcRRzq05HdOCu 2y0CI8kSyKrgEUm27HzRJufkPtl4ZuZyDqETDgC6c25YEvRRyJvX12XJRCnFUtwINhNk9m0QImeg bMzPO1EVnw92Xv0GmlJ0ii7zPk9hCXyyKPeUwBdLh5ynsbL7lqCN5vMU1sCZBOdBxlIe5bZ4FS4U qJScc6+smcxJiW5TOPNKnpf5RjYuPiWoOOmIKjTCufbwZW/G/8zxGHOGFjhPHiy6PGoVj3NZ6DmJ UxRo5W0GLycpW7ejAttTM5cGMt3cFEPUCSspfVB0koOju3Gr1cFrD9ycxZiTexCta7xycZ3Akmi/ nQPTSTmMDwrI+KB6QV5sjQO3NSafj1qYvqJoE0AfvH5PqNB1UpwTfBmeDmM7mKGiquJIh9Gf9XmK oCfidw94M9lg8zTPoY3OkzADULhsZuN5/qZgjxAzkB7rkhy7TsotKCvny+ZtVc6SANqFkXmpfD6I zPN30QAVsPF1kMe+k/g2AedflhFnt6A8GfHarqjEzJVVgxwaTwqUC58pH74AgyfCkQz+iaIyVReA zUKJFeq6NJ6yeSrNXvgvAtOZkqGhCx4JXtQmbuqcfoPPRz2D7wMK2JdeLl0gB96TaImvp7PCMibV xyWsLDBKYR7ltd4cmk8Olp5WYieTg+apo5QF2GCXsSIuUpLBifNCxXfxHJc612j2vn+JKqxMMfyV XQz/hHtrGLIyVz4fBQa/REyBqM8XC59D+8lFgQ7Q1X3XiYKKOt0W/LTQVCFln6aQVUmtV2BAfLBd B+77NPEJeoCC9wPBQPiY0X11PI640lbKoQOlTEwAi9ZQfDklnnFYTmPz+ajju76yPKoYAmDt8xTp dBvbi4I9kleqZvACq5pcvVhHXXhtuzAk31RULAw4Odi2m7vmheKKTqe1mN/wZP8hkgAvcCFNfdoL R9uO6MH/VsUfIEh11etW4fNRG6p/HU/oQp2Xe6yDQ3JlWt+6Stx2RvU++X3uBvyCOZJlw6EJ5eJ+ Q8fSB4sz5/n1IRlF0Do40XRhbgvcoAWrKuiQ3pLd8heO+BWyxGX81NxPHvKWLBNRFq/z+egY94C8 qVaG0OSxDw6MKM9zk+qcLXWfqEUL2EUtqi1dijohQ+ITFcmZoAKxR1FPSjJEng2f/1gSczwoONYG TEbPZGNmGs9SQEh23bXaCwcLqvAA38LsbINPnUwYolACT6TK5/9FIeA/3ggN1nNAhfH4oqnoNh8T uL50F+tsZTkVdWG12DzFgiaMMwvPKQ62oAakfqbuuwZVHEhfgfqP7+gcgrTeeWRferM3DjTyVSLP yoWz58JlGGAE5N3C56PExUvlojCDR56+jqgQAV5J2l3pmanpZIvVzC4XTYDqHrmxJeUU/IsBFIcL lUNYkJkMQ/d1ZtaBNxdn2vDoQM3sUpumvXEkbUrc5aQytYxFuKRmbUOWC+eL5/P/yl3YBaZBUHpQ Fzk0puyyEuoz2VSt5gpnU87JPKUSUMvKhkNnyqW6wVSSN58kD/LBkH3Jsu5CKTBd9S70XeZjAZdb s/eNmi+y71bhbu/teDkYzOMT4TPP5yNJwW/AHEQO4dx3pykKySFlAuguznQKU22Q1U2YCod55jRV 8VI5TSEanFKBtWm22iMEz5CfUkpniQ7YdyN+6FCXP9N0As7Vr+/Ckn936E3JIwqmXdkH7bwMF3ae 5blPPv+/UJyxumBTeqcpwqcs6gkDOWy1FXyiFzazAwtPIvJMUxiSU20hL7IvOTjN6cThCfBUUsHh 591551V4ymEOf+jFZ0cU63z8mKhcJ/SFMOFg3xIvBJn2Qrf2n5N8bf6AKCi/ZxQ1CFDpyI/ZeWBQ aXgniiFpqsparr0AdQMrQ/U6yvCpioHhVFwa8w731lsnQZhkqPZ6/kTcGDA0kx94Tr+OqpFfe/7G EcCAQN5elb5UpS8VonaN1TZ8IJ//F5tHMEwwVfaz9ULrHHoL5ly98ZmL2Z+Uk481658PaNRbXB64 VAKtoHJBWT54eQX4a3Rg2EDPaDqzakDJ0cUmDM9y1cqpm59Dm0qVfocUqnC7/khfcsFHtYjEvCZ/ QNRVWP8VH/Tz692JimrlgGyiq9CuRtUy7iXQyl9wleH1utCqcumMgvCaDR5w5nPc0fgCeJWTnC3i VQYyHqph78dAh5oG/JCobEAo5lLZYHnZYJ57RMH2SQQan//XeqIcIWWq+rPxorgcPhiYpu5lzUqI NqtQYlw56Tn5NMXm8OSHZerDcPCWAmSZE7QkTjqc2qZIL9LhCplhRND50WBa09436FEVrqMthaHt CkMLHYolPby6+fy/nIZ4aqN9mq4QQw7NKtnMg63XctjKasNhK8T9GYqueLEucKtEVZPpcBZELD8w OspTNRzZjVkr808IVuJahrpR1vHExku2eQqRK2YyoBAKxr88UU7AULe0T08yxOf/lQ7j/Tb1acZt D4d+leDIIgdujl0ZtbizV6lXbZiSr0qHA8PK66SzZvPBqXSr7AUOBZm9SPkyIVBAcgyA+ddwkUGT hsuhYSXEnFFZl36eaxqXfia/6erLaKMHjpUIwG4Rin2XBArWLM/xFAXl6GKf4/rx98orOVSslTEc wNLqnai/feJhB+uDLaheTnA5O6uaLeEowVyCaAc0O0BPeAs6rAAsVuyFgwW1pBCbpRcL9qnKbuei 4ImOfc7no+rvN8sHVb+J3ovNU+hcCegdrGHNgPG3b0Xb3nY5v46hDnNsXcmspSO8tsGxmQVwM1Bw ocqwUG6RlgyoCGCzw53BsZlEi+fQu1LZcJN/FQcD1WXohvx4kTwwr1SR/XvfnaOcDpY2TVFEzoZn PYvWZeKaaiookqfkLF9KBfs0RRH5klp85hnNwabp7LNdeiMgqqDMMrnvzpF3QmHWd9AJv3zxbi8c kMbEFJ+SRJ92Cp71mlqXse6em89HgoPfywnf/gAkxucphIwXYevyFUIpczlkvHTXisvZxZlyaGEp GVSUAXy4DI0FUj2bLj2hZpPRGJ6djWGcEIUaAmp3drWnQhdLquCCBaMBACca6TTwiZrip575A6KZ cr4PEYsoA0Cy8E5ViF9hYXH0a/VNcSiTQ1FnmGxWSP3bkop9deg6tMf0wUNyeHyxt9wmVDU3Qucz VTBbzpl7Gr+/azSphx6YWYIYzcN8Zp3pkOoQV2NPFdHYmOMPiNLh75iceg9AF/lMhTF5k4BVMvo4 7Cyd1FpTdlu0gd9KyUvgZ+nBQVnSR1+3Vo76MXLfSgZZPgn+oANRTiZ//QMBoYuxX9leOIJEsTe8 qdYIs94f5htQ4ViEe0IYbfIH/IvYikAACLvRLs0+B6aW+IImPRpog0r8yhw3IZ5FUBaQyGbxiYq1 URCTF4k1lW+xpnxWbMIxih1XbYY6lTogA3zWaOeRnh6h/Rz4WqpniQR+ZR9UBQaBdukTUdwMjC0N 2/TFbUWkOeedqCgqF0WqyY+QjZjq4h9jysUZxU2Yd/gxFeFXWpPTnlQb043KS06lnnBb8rET4lBo Z8HaCsleIoCmPdSWbi8cdRUIIsfiwQAKAA9x9B4VkYzEFRWiye9Ecfkheh35WVFhtVyCRHP7RJ1X 9SpwEeels2C37kTF9paMnxptqzk4EHiBNo5SGyArUHzTec4QDlhzZmm3FkWH9BwaXMpLptJNUIOB 7CBwQYpYXp3PB1vvdtFVuToZ5klDnyUV1supbI0ioRWjdqc/kfbeKIYGzowLNVNhZE5cRuE0aPAM Bk4gs9G8GQd6OvciqsCE12YkxBntP4dEqV4emlx22u0kacomFxqt5xA5FzQ/8aT0fD6IOMu3fFM+ wS7qqzfXC10u5Sc/2UJkdXPKzxrpU99K8JBfgA9lp9SfkilQ+G4+2JnRGnitnZ2F2U8mjDMUaAEI r1XcQ3XcVrpk4fkx0SlFlstmqYUDZ6rDwJdMDkwanw9ChKuawiWFEkS9MgU5drokJKrWXizZK81b 6aMvaoTR67qQqIAIIbC6hIYvTqnVKZ/CwXrEe7UO8j3Fbjj9mVUYUqYSFEvx/TMnzoPWMjlwusQ0 6XRSp3hPaxEPGoIKOQbEQWB1maTs9VTLoSCNzoZPUwwul3TKTI44IGKUDjxTDrwEsOBiUKoXel0u IoCHdYr7vqZp5x49CwrUKyDHQCmUsCyqwTj1EU1VT/WG4qjA6xL7e4jLoQEAAmsPQKSQ0AzIOOfQ 7TL1O1EK5aEnNW/BPLS7ZN+m5TkuxYwCHWJ1JCo5E8NCiIxm6h+MTlQYbfAs5uzrs8InGZ1nnWZq P0G6cLBk+4M17NCxVOx9IygibUE6IRqFmSJ/tQUY49KtVxZ/QKS/77des7O8z+fWCxwvUbUo6r94 Upxa3xaaSy1Z7GCU823jhaE54wLyWW2weQJxE1CDSpd5VP9JlEkotrBQ0m+VJQPLpfeN2lRZFLM7 WFIMkwhVWQCJCi0v89VzJJUYF8J8kGOB5yU0+JckQrzxWan6y25ekdkTGp9juOpl/ofpZUn1Dl4C hl7drGKaT1i10iC84IaaUJrJ0pmTIo9a6aHrpUxmKYpsg2rAOa9FjRB8FJ+P6C+/lOYYI1xPxxz6 XlZCNnOy+jj+OEy36GQSy6PNVV0AIwfGl842B0HEB2cqZIRRYxMDTFQjJYsnth18IqRt84BY/I0D QhU6efCZ5wC9rCXXImAYCJ8/L974A6Iy8DcqCt/ZHOk5ysO4PDG9S8bFp4DxcHBGzd4fpteUzVTI 8JT3VWnJB09guoAG9AcZ7YToOKBah2QqgphuNXPbetScy4EFZrbQoDaqzVcaYnO/UR6B9N+M6kHo gVmuTiiDKJoDf8EzQg9MemfLpMqsHRJvuskjqvhMDcyozVQEY5F60cjSLs6PdjGuOuiQSJzvpMiE Z5yjHHRNCFEDRu/zJJZQ4IKJdh7h5ORoatDW6/Sn4HUPklBggwm4zy9LNRwphA9rnj6vIVRxWf4+ qqs89tF8miYV4Jm/UO+MR9RrAFVAzqfkrFxC2uMSUks9VxF0C0Q7O0cxjvoOcBpc4s732upDpUIA 9Ro+FejOkAXbWbKb3Ut2CxgckodPqICnXyaoANfEksFZcwKZZfQ0Fv/ifC/B9FCU4Nxu00yLEPa5 NE+C1ykLBgBbmjDfa+BU/FwiQNwGB67AYrbXIqUQxFGQBqUpxg8N0DIUcszZYelYep2fE17SGITG bjZoCSGIkaT6Cec/rzFTkfGh5kcQX8hEIwXQBL1GTOepznxh1uU+apPcJUNBZafjdUw1J+g1Xire 4wRy0gfbZB2EwgVEDuIl8J4Zg2Y490Dp4QeKDRZYTiLIX6OlAlQPjiJpHWuwXstCCMWEZbfPa6hU LnocPEX+0wllh2zT8xooYXtRtbC67R7+aMpzINKP5W27ngxM9xomFRRk2CQnu1WDba8BKa9WmMhB MKwNKjoTVzKAPZvpxt1oC+Mj3qcn8+hZmfWBBfkToSVmLmQhJ2ii5s9rjFTMvFrrRwcXbuxh8/Ma IWF/ZWZwI3vbYFZH+Z7vd2dHE/RtYOjX+AjfThLdVY4F89YtwUwFdRr+O1Acy7TXOEkFhWtgIIm9 NX2DbWqovYZH5UIuEpkaHKx0UovIimyRvwZHxchznA87q0jptwl6DY2KSUCD6nNZP702R4undYvg dbjBbDBB8ruocivm4NUlrJtSqHo1oKKCDib108C4wXcAZtntZ8oYNJigpYo1kDo2GM6pJEnynCVR Pq8xUZEv/dcJfXYYQaaaoNeICMc6rVNTyi6dukVomaRF5YsfwIKU20U0QaxTtp3vcItKHc1RFnTr SbI2SETn79o5kujQAoOBu8WYsb0GQ9hiWSdQ4Q0GG0bWrlCGTpPl3H5i089rLIQDjErdvoLYZkAH RhP0GggVVTZA8ykeXNfu3rLnICnJgut6K5SvYRBPaAqmLqJ2ONgRlCEnk2EBcgJGaHh3BowbvMHM 66tA6s9DaxLLX8Ogon42Tn8lbM0FwRKQ2yKvnEDq8xoE8RXz1xkEyTjC2DVBr4VJbDH1mWpzRRBo /Tm/h2/LMwgINNNMC87opE6clOU52ApaJ5edjXQMgHlBmAA4tRMvWQgj7Y/63qJfymtREhOkoqRS 2eStovPOc1aeQT1DETSYIBME8Qk6X9kEX1AT9FqPxKotcidOLnM9oRWsM2juR+uCiHlqXfx5SBe1 AsrTCshQ/oA7A9twBd4iCCMhVJSh4gCCOpA2joyjd9NrLbKIUAr3T0qmVFZ+eQYh7KIvASGpr5VI nEHLJ0iyBLhvcTFamBiF0RRwatnF48Dv2U7qKaWbgNMJ7raj4qI4ujNCRMjpg5/TqcJPhvWQBlhL ArYRUucwAQFjCTTX33Lp71Q63gbsfi91v5eF0uc6tH7hiawGOKzRPpt+Ug8a7OD/U1DMpikKp/Gz L/yb8qmq3Q5uNWO2wroIBQGReqJpmgaiaD441uvk7jl3eqWgdcL++u4ZOF/IE/JruK47rPR93ql0 XPnEgVfNlrtDngPvHJxEjAA0/Xln0hWV557dhjxnIh+1SXoPqdHNlUFKcsG0UX0tnUma5rg3SEA2 qFcwSbuKQFenD7aWQE5J7Pzj8u8QzthEMAG1d6LJH6C9PCQa9rbvU9SbmVsnHwwqf+5vNSjP23/e SXRYESN9TVE9H4toxKcoCqtbJmJJRUeCcoq5XZENWny7UbBD0IDgzO6yAUlt++C4ZihjsICJddQn cCa0ASkMS2CXvh/OU7PXfd9taiRNISk4KDGDJ3uSKiMQqGFkLYvrntQl7ySu7ruO3mNrVVYxSdnF wIr23aBEUXM7mTEuv/c9uM4GrNyTsrIcNEn9xKUnKpBeWgJETmJGAOH/QLoYTJrbHJmqPf55ItXF inbF8SVI1lqQQOQhczKTzzt9rph5jiaJmhZIQwdqhzZLUYDdCfymALF2G9yiHJBTfZbgK+wZ/nuE jRSN5F5rkawrkgJDevjjWG8ELr4oBqCJgMofPbbXdZQZNCZ6Z89hS2+ZNxKluy+59+yESewVJfA/ 7+Q5bpzv7ZYBFoP2pk9SGGRTfNdciQkHaJ7lnxtjeAwJTq5NUhRlCys4JoXBODioEtqmCXEiykRz 9sojaSHqmmwF1+R1kJKlPRCF2Y2ie0PujcPdG8sJ1ptIaDj1Pu/MOUuEbZKmchbI/3um9k6cwzYF E7NVLR9mIv1CAdRnVymtV7/cokhbguBnOrIPFxg/OEespQEOAt/XDWUwsHZQypv9odPPrtd9nyQp Ny3QDjhUayoO4H3FV+18PIgkVZf1SBIMR1QObI7eY23cSNQnnk4urPg464iscqV2cm3e2o6C7VHp oANLOBusXgRbYpiIZ3LEz3QLl1rADOmA/+89n75R19u+T9EU93LP4oNjBMFG5tKAzE4UbK/tURJL 1zCXP3ut+hxF4TaEEKFaYcLNEEfZzgE7v5ps02B73r1yHcXbnUfRyjIbysuLjrAfWos2nTiRFsRX F0GEeEVID4hH7xX+qdeNJwlQlJF90ImEbgvj5UqURBRwC1aMKRFdrIFb5+vonSeHHQ60J+J532tl V/eTU6CjpHYMO5DeaXL3QEJZxQc/kHIH7pceV70TAQyJQhpmL/ZhgFGywjWlnz/vNDkue6azlTkJ B8WRJSc5zJxjI3/eWXLca99zhKN3E3mgOYqCbfr0gl4yPY5cw4PtynOQN1tFyYLn0TtHrjg4omiv lWev5QXIwwKuFEpNmBwpplPwGJByskvvgcTy4ztJDqHgosVlUyMEXn3SSSV/Q/AICKG8k+S4JvrX LIHwOCEta7MUFbAnVsZZTrs4drK6M+ESyUGtbFhVaCW9R9tI/6mq0xl0c/BQEv42fbKANMqJSRe1 vyZuOPC+JowFbyy5tJTe4+1kanI0f2DnH+eRzu1EogbV99fnnSDHgNsPJe4t9B2AKrRZigJumim0 VPOFua3pZmB7udL1+Y2KSaS9s+OYAlLwa+7ug++3dS7qCVUhriVoOnOWKBSotuMCQMhUK7a9b7CW ZFG0xh0UATQgyDFJQOx/3rlx5XrLeOIGxidCfpukKOAGq5jxoxf7myr8sihIzbNbqEJrw0XV7M4r C8Z6PthSqtR4wy6DRcGJTMSoaPDhpGZ0Hg95VzZO78S44jYOXfC2fuFtgISnbjZO6/NOiysC6Txz hEOJmaPNUVjPZsEfmggebm9XZ4IRaPJDqbqK1Tsp7gZJpdLNgcM9lBpoJzRwPMsEgBQcSoP8OmIy 0aV/Tu6l9w2iJJWROhcSB0O2lV2ahDrm+ryT4ooEf76aasi4ofPjs/Qeb19jOUqzqOI2zFDmHBcs 7fBQooSdDqX3eFvpOdSJWbQdj40D6KcnxCgsATTY5KAUAl4NCmAJEt1IKh4v8KX3fT+UVhc3R0up +lKauOCkzDTW/rxz4vDr5htwEzpB57/lWck7J479Aia4ozgKqRQXsFqlNz+6p3QFcCi9B9znW57M 2UrtPjisrQIj1FX7P3ETZDvYvD4bdII0krHJPHUrQ68bTBLbj7lKXJbWYEbw2tBt5P229+edEefF syfipjrpuEspqm5X6qV290bF+ZS2H0o0nWY1CYYLtuHeI+5EvTCINsOlQYNH3Ni4C7IdaJBU5CeN Ys4Q6uJ9M/Mt/w/Ck9/5cCVbeXsmtWqTJdFEajUdKicu+7zT4X6Vb7NYXgBAPOFkFHJD6hqyCzVb C4B0F7NNGbP7JJViqds7F46lkgr/KuDMbbCQ+6S6J7oGIAnkynPXNarINHgvNaCC5XMteQrCbd+Z cFxI7JEkWRQmtyicIBur5LbX+LwT4QiE6N8HN0J+kButkR1G3LzckEL7OpJCDHlwSMa02dJw3d13 Gtwt3a5JpgkH32wod+8m9X34NmZqV1Duc0BIe6dyxb2QjH3eWXDslJGlu8T9XgZIPrHoQOMOH3cO /s87CY4B9+0jSUXgzBEkUmyOoogbqDFGSV6WhOWizVFa1sIFicZZE+8cuGJ61zPPdQfN0YApGyB/ gInCyHlKZgBSjUgicHajHN1Fw8lFrxtNEi0Kdr2D9tqsQgqAe8jHg72WfjX8K13KvCr5ToDDOioE jHgdG7uue8d/UMacW+3cSRYAvPPfituBLKqearhVyXbigdHNM+VcelQYKLi9OwQnZ3rO7Db0tlHi xurIoFk6B0FGUNUjSA9h1ued+vb/jmzktRQJsikKq9vies9h1NwTDNmKKkQzGKy9C3/U+ZOircYp GqRScvACAIqpYAcahXmyqQxzAECGEZ9CL+EaytvrBhkJQaNrEFYDyZQf8a8g8lgIljtHX/m8k95Y JvHzSByw/UNqk01SFGyjR0ttT8P+w3zMQO1Q4DTs/xisC3MdRdVtOuqiVNR8sL12gpdzHkGHA+EL vn5GUauy14b89AKQwRfiy/5V3J7ixHPQcXRyQzL1+Gmfd7ZbuYYpvozQk2g31H4nu910ZDNmNb+U 7W3bTMNzCQ0mV1Z457pZpoCeHOtIHOzEXossmkwm/ILoBzcj+QWdUV17BCgm05F3pluR2ThQNWoA pO1uIIARcG+jgf55J7phPaT5Kx2BksntbL/z3PChZHen1pw5KUNtswNtTt86r2PwtXeaW3Fy95Cr 3PhylVsAHe0pZaqUIB5AP3kcJlBXRhJer5KQgMfvNLfionCzcctNVys6aXkalSpV59bsn3eSGyOH 20niHTh+UNjwSYri7EqaTVlW58cfS/di2zaCBGg2xWSE3iluvNZM8YUM78H+3kQ2Al+wNgxjk6Cz RUHdBsjnAqoWzRjP/QU5fie4lStk0roGtA4UZ3fgK1llXJkvGdxr8+ZsdAQ5y51myTZLIYyEpe3u kgDAnhfL2WaTCx/l2rr3SN7pbUUgb1zpxPqN9mD9TtpxNl+hH2iDiAnjg7PEQHfBYXVuplmugwM+ /vPObruFpNZF724kEPzIxnF1drrHOUg/7+w2dqJ8w7HoBHUGcIlslqJIm73Mc3p5IQm2l25K0CU7 QVMCKcgn/qQAr0VEZFs7+XAz21Z7giTsueAajjfUmhr3HUSOiSMZ18aJOgHvzDbWK6z1X33wVlIR mw5q9Z93Yhtf8p5Kat2eyX76be/ENj+WIKcmGwKg/nAF/IeZEESw5QjaqDhGWGQcbCs8THfwY6kD ZgtiH+Lu1CvQelhLKNAk2GwWNE5sLdHf4/NObMMJIU6pvNPqLiaK0Oj4wl/8hHyfd14bduzIXxFA TidcW0Ao2iyFaJLCOIn9iv+YRvNy0xSSPSmyjy71T8Vaeqe12f0Mfjvrbf2xcjrbqZ0bD9cD6m2p wbweh3fKRJMAXwMYk8PXKUX1Tmu7Ow4Ybw2m2AUbHmk+nvNufd5JbVaksA3HQx8pMupLNkthwL1Y imQ/mhD2czcamxTGLSYC1yG0ZWspKnC3JsbISD5cOMmZ7T1onN7PSTCKTK5AToT4/tlcO+0ndet6 3+D4prx3U+rWPHU7IfAQChYVN75kFHLfviT/NQr7EMHwaXoPuqXCTtl4d2zofTrSv1KpW51JRFW8 5N4ZbdxyvOQ60xMOdjCdVC0DGsFIAGqOnS3uUvCjEgKYQplvRQKDXIh3ShuLX+xxN1FK27aW0olZ l8S2YIX4eWe0/UJr89RHTg64rM9SWOIeCrWrY0oEc1QVQIxbltxQQhNeOyxxU1BwyVmGg8eUsJNh +6cBLINIEMsuoUYyCvgYdJRRhqtKyTufjYh/zA4LczYofdtwSRDVuLXPO52NXYTxdS4BTN/3LSa9 s9mK+Tvg7JsWLmU2/HTFjeWuoBluPLzi3slsrB6z4jaJK+Xgc5QqFg8rbjB2TI0InXMqnb2Dn7vm 8LCbisefdybbnaIp6x0OlppAaJ0X3LkoPu88NkuQDQnIM6mTw+xTFOJJKFpdqezOWKlI9A0R5Ri+ jE5iMUwL4J3FdjcbLXBs8HobegxdEsNpnuAVHQV0Lxvy77OfF89tBd1aRVF1u2+TPl8+aIogYEAS CaSrP+8MtnKF8bwHAH/5sW436Z3AZthDmMvOK39DpzVRI9wEE83bZoWSd/6at7hlO7ndfZJzdG6F dlIVciNSOpHllCIjq5Tnv6EbnjfccA1/3ulrTL+IS2qEb3PQHMFWW16Obc7PO3uN6C2fI8wnF2O6 3IgWhdyddG3amruUvikokZ1lhZJZGV+gUNJi6DYz3CHpwPGwj1CWpFQJMUPQhpcnCI1vgXzq3GlN 5TZ724CeJbL2pg8YBwElCkrlPLxPMIzHg1DyV08Sq7mVm+C2MN4GghblMJeH36O4s2zuDm5Hod0q 2y2qbNsUZXqncvApKpUFPcHbIMJMCHOm2RX9cAHs8e62tloIJuF1toDGs0GlbWh5C7nVyvi0CEzS 6ncgCTIPzA+cYhNG26uIhJRsjrLQgJSRGG7wcbLHYWjS/h5ty/T1bDHEKTbYTlsQ2Ti/vKQVVx5N dElQS2E7C6qdx9oyGugxC5Jl/0ETXg6GtzmnfxWzaPPxCJP8TZGgoio6qTZFUajtgsvFq7azjSsh 0TlxVNCkmid2Wo+wJJCIOMd/Y9GNg1XbOrDRhQIIM53Iu2aaPQ4EGJWVdWiEegmAShs9qmxX4rZH obMOB80Rem0su9Z68pEeRdqpfFe2UWGvOAltjsJAO0uFy/S2SNOejiSpM3tqm5yJ1KPKtpk4z3IH iyBRnmrw0aHY8rmJsOBPikX16wx5kPO7PhaO4mpFgbbQbSenwZGdKqXzGEJStYNJ/WwFzweEyHkP JLxenjSJupd/DzmRlIPfOzsGsM8hh1BE2mlbpF1qMlvQHkXaYvu1QvB2Kxe8XTOKCfSnRQkAty3u PlCHAAFAs7Wletttm8ltjyJtiWwQAYphuvoKrk4ZWJ8Njscj3qhfbSTqnCOg5wve7hExkp6PtTQT iUDNjfSbxTvVjHXOmZS3pSM9DLQJkKDohQ02SQsdpJ6bQIBYLfTgpezDYlI9qLChQDvb60YtSUFt t4C3CK2khwBOIcOecdI2PB9cbuNXjIRUF8p8PktxqJ0lHOECG10m14vdUDO+xPqqvpRieiS72uop cfAt14A3Lk2dkoXmHWYJJJMzSzKva/dYotprj8DbsxbnJGGjINoxMQS0GlgMPVc6no923DfmljBJ FKZtksIaN5qZ595kQaQQcuPesgtidTiwqRmB8g6vtyjYVuu/iENavjik+SwreBwWtgDP+4A3v4Fc hrI5HkOZ7OEmTb3vX8Wktov0qBM9+nQwwfQaH4mmHn5ABHGrXzkJWRct3x33Hm+DdjMYHlGOW0RS OjAVxNuN5EhQ/UFw/6nccVG8DQlp6HSnO3jNrcKjdlHI7VwD6LyRwrVRckFx6aeg/eV2HhTm7FGZ WyTSJuG7JjUx7ri1ZRuH2w6PR2Rbx5QSVoG2JJ2DbJYiADdyCWRuxRQUC3CMpk0GJwAzKoaNoO24 CE7SJYNbhyzC+b1UqXWvkzE3uujAILUQw9RZKIZGzEDJ7Om8gWiOT4miJZ7aRViJ4vqJEDsWg/XM Fx+PlpJ33ugmyV06Hpx7D8PuRqPUkgTchpRikocArLmqTvJ6dg3K89xzUZl7UW6sSSufgx1MaCVA VZQwt4IOZKOgDWwaM8QXEORck+JBUmmPICWdd/cQBJcDpymBq8KqCRTY8XiwmJofTIwEEAafQ9S3 3IgC76kt16oz3faajk3arTq5ndopDLxHVOaedBoiH8YGC7xP0D3a0CxBxgCpCw4vQhUh34OY2Vgl 0uoeUeCd2XQbYgOMywZYHaLmYq+d/G2Egff4JgM26uPf/G2EgbfgydXLkmcdJbetSqNNC5ao1y96 e1jjVlCpJm5/mrjAI4NAyRsOyrcZsIANdEH7WZVKmqtcRTIc+viQiHwrQbIsKDc0DnjebkBv2AkY SHJHWOO+Ihtymp8/BJrZJIUYbtJKV/Jg6Zz22cw7zulfBcE550VpVk4aUYmbOcdkK40D439kJxMr MmFNIjuprWpfQqkEitqV/n9uIQBcDT4jgJRS+aEvHtydgspcR/gzF24//4PHI3aS325sioNVBsFL m6IQUyIhEqLvJESi4hsQbnNuI5VCYNbAACMKupHBQ6u1Tw0+RWgdwbhlMJ5sZ9OBWodSCYylQehh NclCAFR48BlRp2S8VdxOfpgbkxQ0YvB4dLf5ccRq0kDd9jZwRxRyY6FAgKQ4X2KKCcAGbsoG3wZm w/iSIwq5QcLCQVOLD7bVdoEatzjcYMjQGQqCUUgxoI2VYTduxFuysPAhUZubhJtuA2BVvI0GAjCC WGB2guejdfRNBQS++IRXd6tFETehKOf3MNcuqrgWK25LaJeyWjL+4VaLIm4J/tDUwQYPJmGGOkEh RQsDIHq5DYJAgablDxaPraNNKYARordpxljAuLNBtz8CCHlXnlMBj0cIt5uVYI6Y4D4s9xGit5mV oFboc5SuiRc4DlYISKDGMHcbEXqbUBFIZjUffCGdPDnVRWcFoJ7P/sJsZtKCG+wVSnmw21VvG/Xb cA5B5lRD+ZE9DmxShJZAejvCYPuXrBaqS21ciNuIitvA8uJb2E4oLduX0TlTe3VICUpoWkZRsK3W 7ZzNBnwpWazbMWpCKHOmKOHrH9JXpjXyRD/nWsUiVsn4jCg6wvrZ2B4YUOPi/jjn5uxcBuea7ng+ arZ9h0dQTe3r2WpRqI12Ff1LnOM20nZprZyy95EKCsOao79D7Zppq1tzudI2hdm5mElw+c6FJIAE PWdkYD8gzN69xhByhJE2y0lZEjcZXK8s0TE0JwvxUIgz8AOCGkC5KLchiO65/cvtAowo1l5U+kvj CZE6lf7k7LluiATwg7ZbWOKusuyihh0HzRO8zuEeQzGAkUnh3tQoB2EAnfXypSmxdW7HfMlKgFvK agNA24QBNlTkpH2/c8HzwTTdshuTGdzo8ECxWZphkbuKbpvN4Kyh4WOQkqwC3BTSzUolM1b6I6i0 MXHjYI2ADXfdAqd3FpQqBfKQTUC3D418QdzHw7ydIaCE6uQdW8UGHdy8KtndrqXi8WCO2qUCksoM gNRZ+J6QzCjaxr/GsTRUH7G+pBAlMzE0QtUN7Q+lbTMscyeZDa/ig53c6fwCJUuPrEBbWvYAlNo/ ASdbEaS5GfR26X2DPSdhksa0jYNAAJDzzKoB9ILH/3UuseiGpgtErWySomgbdQkqbhU/l+a185wk netcWo6ZnCFjksIkbVCClIOdS2fXnrlprCd1yBeyFN4TW+sZJlgECDjshpIJM65zE+GGf2yDFUpK MgPrvSoe/xdSgrH52SkkY9kkRfE2zV7derE9XcohfL3LklG4T5MUF7mFKGVTjYOtpA6NlbwoJE1r R4oV9Q0ng0G94HKJ7jAD5WcEU9TkkSdMibNWULNrm5AxrFU8HoAAxi9+MtS7cSjbFEXxdiUqrrRh VjhSmHXV33qxJChZa4pC8T8uoHNMbB8cClgGaO6ymEAswGJ4ZwtmYSrzLld5gwxIfEiw15JS23YH HrUbJXhedCemqXg8aE3etC27iMtol3Yz4wq3goDWvcLdb4U78yjkZqvFZWxnBCbpQm9LQXo+CtIw 3kgn1+j0gwVGnF6o6HSfpQ5FDxT3PVCiKeWM4u1KMYn/xwPEtBNnSYlBPP4vbjLD7cQp8rxtRmCS QeBtZe6sWJLCQwZPtugJ77CMmzyj+vYylCSLSRdThXWUKv3RlNr23NV328jh+9jyp3OYO0qj+Izo yGYsSYlEDFXh9sb1KRLgeWs8/i9tEq4+GBcBSWBTFDIlEyUl6vRwe4nvjq0mdjRTW8JKtNVCEcAi bDLJWxwcBXCiiLUSUbc410A8wBRBB/8EzmB0/pc42Ywq26RGotiZfdBOQ518qdC2+XiE2rpxJN8N xZF1iZIzApPI6I35tBUjeWuLvDUvwY1UWM1RFG4PVkhG28sH7yWh/N8hMnWWUYe3RKLBYlsojtD/ 9Tmyx7TXDYDJwm0bWpLcZi6kcb7ZRg/0dfJcPP8vVJIUKOlvcRdSFGtT+B/ek1cKMM3LcNvDtRJx OdkkhdokFNUGxN8Hr9ieKxEkNrIATxxYqU0GvV84SSw1jO8kraLX/QsrsRL7bhwM/9fQcWOOsTse j8LI/ZXbQs7iK/1fUaSdRJcY48ZH7Wr+pnmbklQs4RytCE6ifttQi4TDjbSB0gfJBYzbRWoDIm2a 0wKm24qHkKYCsEI4CQm3EKP0QcCtkYdcNs/FVfF4JCjZv5YRxAcmeiQ2RVGcDdQepKKTX/2p7OYh JMFjutUAwdUUhXE2czUoI/ngcTZAvxP1XE7RRKMKfVtIJw9WctoDSsKXgs+IVAAZOU4WtRvyTJVZ EY7p6j+HXMHzEbjtm741USJHqGZzFCprN2oAzHHJpI6YKKu0nTyCzMNgpCuEk5CatAohR2dg/4Fn doPyWB5JwmTnH0hwIlFaG06iJT/R0fk7fEZUaRMnma1tDkLcoA2knXbONzz+T8ENRuTIQvJlk6wo ykZvCme2VyP9j6RvdaNwV0BkTQFghaBtwSNaXT44lASCfROxIdX+AT7GNCaqOGwc5ayQlAdHuuKq thpIW/Y/qKR0VWxTz8MEbgqejxCAt2KLf5yBwB+oddssRYH2FNqWngIE3NQLbQdTzawQYPVg9hor pEqSAYDWtQ+WsJ2p2YlbAzwJREiLPAks9g3HsTwoTGZ4m6nXDaptJkqaZKaIJF9R5DrHC7UTTyiR 8XwUIn0j3JC/zH75pCsKtKEYg5JFGRZogxJjgfZEqUSTtFC21iTFwiSywxVQdKwrA3x+MfgmU8l+ btZAKExCNUv2WQvql+Z4lyhFviIsyWLqj6jUB8tqgb+UgmvnS0bEJF9LAlSAvlVuTXJFsTbEiug0 abPEg9ZnaWWvtQ16ZHKWwlibSpHnfCs+eFqLIs9EBQdkkpPIbnqYnYAALAlo6UI63+tIxI3jUyJh EmJJBhHcTd1FJiRA67K4nVLD45EOwPftBuzWl2fEiqLtQnekc7RmK7WhYmhUkkKO/n8kQMTiNiYp RG5LlrRTLZGDF0g6zLCMCXiOcUKcMUlo6iT8avCGv0gS5E74lChKIiyJjg+bgl2GSjrxD4/egWLb Cqvb+7u6DTjIQl3fJimkSzZKbefyGI9Ua0ietWn+ZCh5ZwPdrrC6zfyrlrx88EmCkhwr7ZCUpGQv q9sFBfyEKLKgg66ubSGIDJ8SobfTr66tVZFAV6G7AwHzeDzq/nsMUFW+hAP2s5Ti2naWXuKVlBK8 VP4R9aqTgrakWQrj7a6rfU4fvN22GvgLTEpId1NSkuDhKsHkzCzVQiUKJq9QfFs8yUn8NgcVJM+Z 2JNJ3Vc8Hi2lC7wdJhv0s3Hma5p2FHKzJUMbjcuVcFWpk/R2LyWhY2YK5SFfkraupMjZ4IsJLr5t Ule6nUgpb7YAeidKIp0EDsAkM3VlcXtHITfIr4AB8mzioKXExgupyiMtPB5RbvL3oYRC0nw03N9D btB1CSTZ3aW3h4x+MEW8ZE2bBLmKpijUJjHjqJ18sCmqsIxMk+EkhMoBnkQbCdU2HErjh3hWv9/o A7BDCPemEZKc28q8RgD43y5+20lv93vMjRzc9xujIpDc0zWz2SGEuxGaLN8aGruWfQ+l6qnboCO6 JimMubfMokrxwdE2J207myypToL6FtfRQsH2nIALWnd3jliJxYf8VW6b4gBOr1ed3OL8CN5OwHLj 8f9FnSQLuHEXUkiUJAIAhZILk2iulVSqKSiBPXkXUogk0RyVfgefoxOqQm5LnkgTyQfrJDh1YQv4 QwKid5J4ue0o6N5NXhvSlVI7iKRbaHkzv91YRxGUpF0IAJFtoJPBn8HmKEZv08GVZrgSuUm9G+um 09tItjYA0WiOYvQ2SwBy1+LgVVt4doGqxPz2hN9VeQlulk5z0tJuvc3f9i9e8mjUTRjQC5ea2IJn giFJ5sbz/5QnYYsE/Gboi9gkRRE3VG0JbbvsrWouyqglJfNGAvTGuKQ7hpKQS5pZLuFgdZKKrHYM QklgLrbKkockSosLaixUS5QQAPrH+IzI+2cS0kZIEgfttQQ9Ph7EFYd2CCXJnuA2FQHQtZ13s0VQ ksTa9t77FgGKHeDQJ9kudF/okco5CoUAmY70MZMPtpBODHYOtEpOyUkZ6uJa6xsGgLzdNnESTZlb 09tGXFLiteTTtjzGgKRA5y5FCY2P/1N3m//2/HleRPIO1Umy9WydmlRLdvbWbC5wBxBLEjVpx1AS rR9mJhy83AaZHkDosYwwd5XIrkKe5CLX/Rok0a0YnxGEkdSTXI14ZA5aRpD5WOawufF4kJDcMFIl KBxu5aL/dlzbJu6fKo5mcVN049OlvBp9qwAcqzkKg21JAaV9B1tGA2IPO1XVknLnVY/8FzcdkPSL 4m1Ckuypt43OI8bYk6VtDpqjE7+zc3Ci5zrweES4+e26gf+7akk7lABErfecfT5FreTmlhKFzSuZ 3FTPR3aEIplbJFJ2Sjj4tdZPAAr6jhBJNWUSBTJMeTrdJYuLJBQqoeEzghCSjPYTiS0fFGcvwC/Y aDtLCo//S9+OeJMT1PZ69TYF23n91MnOP+1iLLG9Ggnw8PmRhfsY9Gnt+lFRZkuO6BRsbV7qVgKq ZhQlbfAW2ElUkkEzqQXyBZpAkHvDSlrb3jgwJCMeuVbatVbaO0tCC5kOSQ79JFT8ARGU9NpKYKYA Q5jXciun0DdyKDLqyYAkldQzAkkKQFaiSSwo5kjDPcXOkUzc1E2qTzfp5CDnpMuZze1G1HEjKGkU IkmgQ9r6QwZkuI2PCSAAVEwsSYjJZBIoECtsYnO3NTOfD7KS9l3kzihtrb6fNRW63QxKJCwrQ+Jo SlftvncDlKJx4tYSKQRvd1UAlOKWrxSXihKCAjakdXuQd4MjPmeoThXAb64JabY3jsASTG7NJBn/ WkJA4BuuLMYorFpTFHePR6KELwiwM1Iln6qo2s1Gd+sy2CRgoiTv4tZhcJwKE587VaGdJPtFRcJu HG41YML7UXaJJ0wqnNQ2sIpgVcYa6Lw5nKwBUhR8g1INcSluPw4Kvs8RMSR+CzwAng+qlNeujMoJ mbaC1/gmReE31Xncnty6utvOqdSKDJMrVP3v7osq3pAigLwUcxQONlELsom5yR+oD/C8WIFLSDwz XOcKEBdWXOJ39n+MfWeSKz2S5H+epWwMWtz/YgN3j0CyujPq9ZrN4u3sl2QWCBHCBb8mMuMY5OIS OMFB53k9D4qVu+E0kUJ0yXW/qdx9YEwhIPGZCqvexJg2ctQoDnTefOqcOklus1Il8tchwFtOYdmb DrddRu79y8h9nk0xJtITOXIVgUwpoJmpSXiiwmE2QSWlbW8c1QV45VWKlnLQkoKYQVZwMPR8YHOb 2tdMtTNHkIq4ExUrllA8rKVmPZQiR5dNHYVqhW+YQm6/+qJIfJI50Tbb2hy8iZLg/QexQxxTuAaL rMsAWCEJJw8eU7r6crM3jqIo8pQy2SYcOFGQCpzC9p3J5vMR2fSXe0lBnQs71WcqisfTlsVLlq/L +Sc1VjlTs00LEk7wO92iPIXlb+YsyNR98FMqoxYnqgkqiw3QGQCWceCdZBienPVWUUpt9sYRwZvR wZKI2UpywqknHs+brad+HuLzUfNyfi0phNMrfy2pMChflMLfT4O3u5bSBJT2x61dPbfLKZIvEU+g DwHgxgOAw9wsWE6ytHNCBBFOplqR2LHz+rwsmSql2A2HOsGN1YLsuN9Ct2YDwLXB56NSigedpOU2 Kjrka6uUwho4Lcp3vWL4K3vB6dx15YKXxjNPYRGcnXAYWfng2QswfKV2AeFhjpNlrHT22+SZV/K8 HDgSc/EtQcmpyFihNx9UukyAy/AwH4w5Q0uc7gnMkp8HOw93okITSoRIFAqwiYJU+pV65++lTBh1 L3NXDJEn3HITPD4bDJ2TUROCGi7JOYisAE45gR5wesCr5F0eByracefAhRJnMSWDVVpZKXu9oBRi NtGbHJPP/8uSm3MyaYw3HhfKKDqHIh2i82HawRWSClaeq6r4FvIW+vCJivAnSaAKJXvrSfbozXUC qDqY7KGbvWksDMND8oKJYjJFvO3vG7EqCfPu/Q6aJ9ZxBLktlc8HsXn+xnkDBTjSE0bFRpQMo7Jb 4F6tTsgrVV6VlFfqVM/nnRc6UarbOwvh8BystAIxkxN7FwrjtJUmTtxznkyydKC2Sycqm6fS7IX/ lA4s7K8Q4SUr8nxyKAm5n9+Dz0dgpm/5ILwlKDJ3nqKKOJO9lmavXsgchoJHlLisujLb9GpvDu0o BRrY8hDcj4fgSFDrGp1VutQqLJYZmEI+ugEpu3iQS6prNHvfvwQW9sp3EFZnoS/IGtTKlc8H2+4/ rN9QH/46nkLtwMkG5qy+67q0Azul1MiQw65LKEXaNIUES/Z6pzzNOXil7hzRUttFFQoKzuSgJlTA QSs4EdTjkSuhpRxaUsodF0gNDXc5nVhoyiFvbD4f2XXvrwgKyMGGCrfPU6TbDUcISKdnl38f0MCw Yl1z+XcU65ZvuzAmZ7V3idfEweZpoPZ0cjudTsDHcDmlgRQrsXjRH0Rzn/bCET6Ox3iVTF61miCc Mzo1o8+fvQqfj0xyvuu+6EPVvJ5tF9IswVBqWap4U1g51xEqolgMalEud+4MXSnpEPRicHYCmgKn HLjSwd+64h5lc/x81EL3BoihW7NTMSq0pZxqjmfuu56zt6K23ZTQyOt8PjrGf6l3n4ge1hB3nkJh E/nAygdOEgL7ztO0f1bZL9o8RT45av2eAGL64NnwSVo3gHNUE24Q1VCOh6pmpjEmtYTk312rvXB0 jDPgqbn4oPipQJJA6IlU+XxEIyhfgSagkif2faKCMB5HdRP5kUsutTHNCQ7631ZeOUdwv/MUEy6V uMzmg68nEBp3oshZhxtZRcjeFl0Qc6ksdT0KML3ZG0eS+cqFxx1UNRjnFpXXzWqFz0fGwuPrgIKf FfA2d6JCEDgFvGAn7BO1bG2dg7wYzQnGXmn7RIWO8FSCb1lOp/lxOp0TgMUteep5JgpymGfjwTrv /EJQu6j1IgqXnVBRubxIs2O27YNW1ASIRv6LafP5oGiwv288no0nHbw+Xjn0qWREUdeaPlVrjksF F8CQdPmSDAqWQ6PK3aqI8sOHi0yZ6+RuNBhoJ8Lp1DtHAArx+rPU5mMJl1uz9w2KBlNbr0vunAp1 wMuhPV/FTlmZz0fqgh6R8zQ6FyBMkO40/UNecGyTqDoRpSt5n+wP/5+cplZX9WkKAeEqQ6kWzMGn aZ97rRpB5VxMg35WoFO1M034v/p1YVjN3/evG48kZxu08TIsmdW87JPPB3XN+l3XRFti9Nswz7FX JcWXZi5W16yVGvQsrZC1pWodqyw2TWFAzvueRA4bLBNOC0okZaquudDRE9UZ2juoPv8UyQoNpcL+ xkGoycxlZvILJzzGDOB0jozM2ABGb/yAKCS/tRUqpCMtL49hdWBYae0IeFZYFapIFEiSMI388K2u sFehAsdKV6rGneODX3oncKIdI/FOZdAFBrLDqNPAqO+nQP373nrb3jgCGLDrmajE1BM7dNSqWIIm /kBmjc9HhJ5vGx1wZEe76vA5NK1Euo7oIN0kb5gZCnDpFqsjBN2eCweulVAAYHZXSemZ9VJ6TrgN G56zWpXkzTUJi0q4pCCNehZWbbdUThH9HNtWaklNAjEnOstSmkQIWwnLPH/A5AdEMPqr6WXhQR9P GBU7V3bGmCykqlyXSvUsLzfDPZ3XcoxhDq0r19RR3oYPtqJofDM67QbORAw4EAMcBmmYQfD9Y6ez crX3/euMOinR8EFd9DVBadAP0/h8ZMx8ec8srlCwaj5neRSWNy6o5Na5GejkYVUowNy8rJkcspoD 80onZZz91H1wXM95GDjASeHqBCov+zMZ0npAyCJodDWmNe19ox4VtYYbjygOKq6cc0nKlQBb8fko Kvez3Ph3+KWeaYrK5GQfA3xzYStrezZ8tsct1qFyYtP0t1083Z1t8KpmhUmMgH0Zed7AvusTTYoT MwxYWE9vvGSbpxC5wibemFnDebBpPaWTrRFKf34QPv8vBDRpGdAcxnv4PMUa3wKr7psNj2ka37MK 5VtoGLs9Gw4MLNF3Ja+XoosaPBtG5N2zanUVBxb7w1Bl+IGOwc8arjdoInE5NLCEaumgr6EPXE50 W5HaQEYfPXCwFEnoW1UX98F68L059LBM5K+SQWoHOTcMz6dGXp8ALKPfiYp949lBb2v54FgxSETm SvAqbvDV6GUF++yf845n6SCXR4GRYLFiLxwsqEWuWBVz7My5V91OhMPiCLp7fD4qQ33jfPqJoOdD hsqhkSVUlIDuqX4+ZbGhiBUXiIWyA/i1tPFiJ8ulKjkBBxxsQRVwcED3IWAMzNMp8wEwyBv0ONZ1 IBRYPIdWltlgmcpdmusOLAAni6QEUSQPvCx/CX5XbrxzlvcndQnNLFGIRQ7sVh9uHNvpZm2lcziz N69qBm6W2UnjCjbHE2yeBBHg80xgHTS5Ehn4fQO02yc76bs8rPFuL/yXhuUs1I3loDJUQaZoVs2b z0cwVl9PTaog5+PW5R7k2NGSOV4f+yLr+/L+VL4X3mBSoXQ4tLSUWxNDRxssMChwXoV4GOsGdSUU +9HwRLDBM4L9aGt4djWoQlfLoR765oneGQESLd5A3KYU5TiBPj8gEkar32sKmdSCf6hPVczXpHZ8 emKo4h2qkxg76eesKKjeaarCqJx7DyaTPtw8L69zSJE/PhH2D6Q6baNklzMJ7JAEdrEmddEDc8tz qi5uu5MG8TgH9JupXj9JH+O/s0ZG5gdE229/pXonaQCE5YYHsb2lGe1kU2w4t3h3ZmudZgQCcZRp uh858LdMNFYE6CkVH2ymzo5b0ESfLNqdBQQ4EZllBOSDNgE3CoPZr2wvHHWHCYyuJAAX9E6K7DzP sdhZEgbOiB8QheW3xoI3ZHzfnwQmsLk852Ni2W65V1rNwrYS7INSI6F2J79x4mYOfS47VS3qJuae g1ejiEOEIFrDHzOSyD/EQ+eECkLuPNXTo7ufA6fL85cmmRMzgxmXSlbL+YatiBP1zcDqUrZA3wRX gBifBCb0uhxk3LVW3JeojauTsrKp8EAs3SWJcmB2KZ4M4FBl+eATNcq5jhBtgJdYYVGZGCDA5gm/ JjA0D7ul2wv/1c5bhW1PaPibkWNNOSmhHYlLKgSUZ09hyBii/eqYT4gQVszxg7aarzrhOSSHA+0k LofNR0iOzVQYm/NEh+KkD54Tn//4RJgMpaCyvFFy2SdzxT7OSEpQIbwFKbqm59DzckoNZLY7aEkh M9qydVydz0dAOw8SpAYO6R+cBj5TYc1cCs69GSK4bsYktvkc7ANv2DtTYXTOmjltO224WcxqdAah mQMws5N64IATZvn8gSLssCjVzEPbS9nM5kEAPgfBos5Bnxmft70Wnw+izvJrpvKJeOFQ+tx9IcCc GPxJGBdl+Bd5cVROH4LaTRZahoMSQ+vLzr5CymX5oKnCZOBMZ/vzxNGrZZITKvQYzn6v/AJvp5cs qF3gfYkwgT4FJW0fdPVhsYpelvbk80FAddsLslqDbka+51RsfikRPpE56YLRzTwFek7b2unsYVrg GbhfQkODlle0bNBg6IzVgVyhIfegdUijuxEj6obwpGMBMDHOg14zOTC/RB4y1fdsGgQfKwPJfRN8 DKiDwP0yfXVhhKeCpNMTn4ful7RfB6c1XdSBozNgU+HtdJB6LN8L7S8lxbuqyi31QjeRoIP8onb6 BoyT/0lCzWPgqs8MfJTvDYVSgf1ltnyvA0mJAU069dNBZStK+NBPDw0w0yOhxvgcyfm8Gy80wKw8 zaED7xOlfjqZHeqyI2clj8YmKmZ1UiWktjt4wodS8ER1Ghpq/DIRzeBDTU8j6MIbfCwVe98InlG1 3+gWCuSwUo8FDwFC+04Mt/gBkRq/18ynneV9PgdU4IDp/kVUknY84hXAAuHZg3PkubbvYjUVwaEY SHFwfMZEQlmIszs3EkQYiEDHcd95Nd9KS2bLlV8S9aqqcBnbB0uMR5fLTc1ARYUWmPnJ99gnHsz3 bvsz8MBEEkOlEHZY1f5MVymkzOHtzwlMjO682ARTwryLhWAOXgiGfdrqxEw3HEswjd2QdoIZ9aC5 6brSPOqnhy6Yc8g0PDcfVAk+0X0RCn/Myuf/5e6gDds29NyfiQor5olmau0KYaHWYmHUTuk21Hsz Yd4cOGFiQdFyZpMEw8ET43NwQ8dzUZk3rZUWpYuRaMFojVJW6UGybHvjoHgnA2OKM25YeP4sNehO tpmIcT1pUOMHRC70V1aFGU/5mWRX2UyFUJZEKEsx0AqhLNkhGmO7jlEHmc5mKgrNRVjA2vHhtomR sqr/Cdt2kIdAXISvXqaLMUrFd/NNf+NgTbFb1ZqEVShA+yPNkNx4wvSMCkJoilnmt7JK7sABlAeT GLpiIiZBMjxcgAamBj+uimWyNKDpDe/rBbaYYs/D64lrioNjXGFPsJPAUWePzMrgQKxhnJJrpTtP IgsFvpioympFkaJf+6XonzUvLmPZ4AoFxpj/Cd2khS3NcjVPn9cYqoK2tkilci86ED4NywLOmXvR le7iYa8RVIUkpmzD8vLhlu5A00OI38AUOTNFIPCCoCoRFgsHrzOqEEG9xk8VJRbixuA+bIPO8QwZ Y3k+Ljz9MkEVxAxddmqXQpcTaAj+L87vEk0PEUnwYbSawebvKCIj1B9YM4AcijOpgunpkp9L+w7e Rj+nJPz5yOWoEK5Ek6YzAJ74AaCu404PS8fS6/zIZw+8BGMpOLxuwid9iXm+8PTb/KADX2x+ZO4I bu2kSR8m6DVmOk91ys5OrjLecdwDhoXqw1l5o3Yr1L1GTFUyVTSEWz7YJiv9bLCy1HPZZ4YG9Qsq DU0AhEL92SJL5rOf13iporHUxaWePqjum5BMc35ORvF5DZbqgyHPAmmipYifQ9PzGipVY3ycWyG5 cVFtw+qYAxUQq413iDFwel4DpSqhCJKEtw/e4dwTtNjF6YHD5iSuJWW6B2MRpRt4o7KJr3ifHoQh UHbkLEH48kegCdgqbaGiTyD2eY2S+KeWr/2FY5sEQM3Pa4iEh+Q5n5rPz5z7yhas7jKhk/uQrrPB 8qH1x0BDyAfLdHuhNSiSpbkgKkQj6EZfVTrc/dB4zTYYy2qf1/gIBxA75U3ku3bJd5U8hP1jnfLX 6Kg+ZqFZWS7pVmyT0wowmCDIajDWTh5rozpnYAIiJ9QkB3pclrPBBGlZtKn+91xXQ2VAaXbhAm5z QtsPHVSgTjsx9dDdmfWqFiRZhQYTtNgoSNLiSVeLp5xPJzkAS6J8XmMi3kX1+4Q+vz7kgW2CXiOi 6tyDJOE0luBYZVTsuKp3CgoYpjK/CCeIvSe08m3wAtyCQlun/eUJq1FsR/UbdHw0M0AhK7eXOZmz vQZD1fkZSwKhi4af0rpasOVlCaDX/nmNhXCATV9BsplByLBofokZeo2EqumDQvbC2061+wyNzlSC 0TVCV5PijY5oMTa7gIX9AgvP+j9/TAfuELLdgBUaHxGJUwYWpIAP6bE1CeavcRB+BmHpFw0dOAiB idONfzUaEZ/XKKhKxu9ZQh0t9nIP6dfaJPdYFnJgOHKgDBfhqcpycQg1GrNROy04pOV3Wdu8g03Q HKCdAp2FAmUqZH9ult5AGMP26o8K36J/ymtdsqoCOzb9n4bsViWECrOxrYAa2qDRLZbK1yGE4tVk D5UT9FqRrCaUfbKO5R3e2a03UIAbdYf5WYdBv17rkdWpv3WygsvB2wEb6uIA6gI+D3wkfXiBHEBr F6BXxHuOkEt62yhMbMo4poZhLM2UZBosaOprLbLmC/rSCpJVQm5+Cr1T6rDJ9pbe5bVx2GV77WgW 1yga08/pd0Zd9dJRH8w2+viycVggwXcyoJD407f2bDV4WOcEkYrSHs6KpNPfGXXVJWanFOY4qGQL oiRFPc9qB5U12mczfwVDsEIdLd1JiqLpxMp2E5UAJ5HBA9AAr7W6cRoYAk7siSaJaqDoh/jg2QYY dVTdB9TyBMFwjdhUVDeRwvrlwDMpUvhOp6uu5zyAL7BBLYA+zPHvJJV8PIqo09dSQpozK9tvAgZF FxolrwdrYdxs8PLw06g2g+dAv9JZrFFIvZvc5QSzzA/MEnpggMBQqvj8Wb3yyoc6/A9RF4B8eUQ0 hCYKpqjLXf6kdT7ouD4Z01wy4Nt8PIiJfu02QHtm3ncdRVF1FaFnr+bInJU8agT1/iJz7hRFYbXk 0BH4+GBTVKCKy2Ad5SIojCyCwHH0AHbwU0ASuzeaOjrBXussPJ4UrPqgvAyknCmVGeBQw8B6jO87 jdzVfZfRe2SNhFOczOqBY5kONoG78EUv9UuGfg+tkeJ1eFx29Pw1aI5Q3T9TBuE7ql3VJpxq45qA vCrOPe+NTJUew+NIjCemZj2TL8XcHquSpTVsuc87g46BVf86jzJq4QMafDZJUXRtDDpW7ARd0gFO RM64dhcZ15DS+/fwOpuVwyJuUoMdSCDPIWmlaUoGgyex4A9z54FSCJTV/dAeNCh6589hQ2/QJChX YgMn6Rxxk8xgkP3K550+V1Fm3TZJLBEBqUp3cpukMMIeBKDu7hE2Dg2HA6zhFnwTaAVNUhRiy1lm CYbKwSfpZNJ1C87cIVVEHUd0LM9fyq4dGODmvpslPxDF2M205VhP4+AraYoLA/mPzzt3Diup9e8T CWAVIFpsjqIYeyENQKPQbzbwO7wMUupTRruM+ijIHtY5onDcqF+WoBs4HKRigJZs1MVR6adGx8T5 l2d/CPWz63Xf5wh8tbOCQHrhMJWzb7SRlkCSnY+/3/599q+FhFrfyskrRe+0OawjosDWmq6Mstc1 3139OhTTzlQV2SjOZpFoUgXDBqsVQRQM3teZOObz27M+NIj07mDZQFDudo263vZ9iiRiMZLEUZLl +mWcGKsMxUoQ2oni7J2+AyT048B/vusoirTh2QTdiuXltNW2s1H6ssoakM2XBhaG2lRs2sgwbLBT +8RGoGFKXG7DpHiI03qmHX4nRqT36v7U60aThAWUEX/ZoANpnV0qpCAxElGs7UwUv9ka6HXdK45R qF1Jbjq3WPV8NnWHJ/VxzyN8tZUcw1BbUpdTFLDZn/MIPjlnsyEdAZMnVXoU9XGmcQHomgFRsqL1 ZvvxnSmHlVAYaS+eRxwURJZGC2TiHfLnnShXH6kB7TXqXKfuF9s7T66aQubZSy4ZgwqRK3y0kU3n egBwoPPonSZnBV7bQTZ4ygY9tDM7m3Wjs9WglwX4JD4SIdkPpTb9QGLp8Z0nV2UOew6fTa+CCpXM YnusTdWuO6RQ3nlyOPSfUJuYwHP4QmTNpymqXpuVE2UmBZ7sqXqTqG4PkojE1lJ6j7Whv8qlVNPy wQPJsxsmNIoxTelEBlTEbDDxxq2G5KFSgUhcHa2l92jbpZpOGNHV8Ycxhw7uk4gXacCU9XknyVXZ HGmaeJEhlfyapb/D7dTSc7nZGU7gpKPcKrXMcCi9M+SqAIksrk0ffMNBhYNdBESUcODFQj+LCehW Fh3botllf2RQ3glyLFdglgAx9UERABQMiKNFqeLzzo/7rwrAOr/cauWeSlG8vah2TSUSE+Cf1hPh TrHctm86rHHHRaVsZhrwZew+eKvozIbq11SWX+A+IwLADzOh4JDHQ+CVm9M7Oe5uuFlFjqvXpSAV a/W3VtfnnRpXBdH5fSqdvLH5HIXF7K3Vc53TRrX2bAEN0E0KWk9GZXonxjG7MY2c7YOdStBRmVPt tLM4E+d7Y+sNUC0BXYAm+z26l943CJOkStjZd+SgQymjtino/1yfd2JcfeC3dr0B1gaYsU3Se7it hjn6+mvdlshy6PsmmpVnEpGAOpPew+3s4JpEEuFKj4jVhiUkG6hYpD1DAQWgEbRkTyCHexPI3GsL vvS+72fSUoRUe/KBk4QyJ1EUWB37886Kq6Y4/HVyA0qYbqD0zopjr2A/zCWmtw8GqcorRCWAYkCI d1IcY+HB6WndBwsmT35S4ZNOIwc0MABvY+P6bDeU3TP2mGduZeh1g0kiXb4sFv5h7vcjPA0YCItf CTG2zzsnjpUzj5Sq/mNEJtu7a++cOG64LDnnJ79tTrFEKG61pAz7Cm2495A70XBj0z/QBw+5EWWk RJ2vcxDBDhThZB4VTFR8LnhUdr2xmvl5p8RZpQPISDKYOBhQC4IY/Bt35jsGS2l5ECDDnvLTUZyw OYpCboR2Zy6EF6XaULHou8i+W3NUmrcg39lwT6EEjp02WMgN0es0Vf4/v/jGrcns7mxJ9n3kdy2F CmJt37lw3G3EssnNabqbE3rtqYnkdaLKzzsVznN57TbJD8DNL92Y+50Lx6SaJ3dJ0xeSAu1BtOFy yB+EBqyPHcbc2G6T2sM2+HY7OWseTX3+RtlMsisgZjsS7HhTufpeAGB/3plw6JMRQLqy1lH2dXTC V7gVsm67y+edCFflE/RESbguehneI3mnwREqsuQun70oma+Rc9Y64rldHEnzzoLz1A38geWDpgg8 gjPv2FRwqDrbLZGAswrgyWoDIJ7s4uHkoteN5kihZE8+aK/NTTYrNYb4eLDX0ndR8sTKCNw8knxn wFVTn61zX3l5ElZV2x7tdtrm9iDpnQBHuBHPo00hy72vkOXJ4oAbHezWVkhaZkkR5UXt9EV+uh/a behtg8yN+s3nYJg+cIpgx7qVnpww6/POfWM38Pv6J4T8nAU+RVGwjbbVCR4pqiO69+jL0NqT0ioE tQ/UBQhCfie+VQP/T8oY22DHEVyWsH+p9VnRwNNOQ0wzaEqap1eSVED+vNPeqixBwKw/kR0GICgZ /S3YipHTPFMun3fWG37H/R1IAirb4KZrkxQF26Kc0gnXNfjNuwmRDQWuiQ4ujkB+57zhR2IpCbaE PvheG9QNOAfN2WuoDoCSe+aR/tlwiygXfwy6EF82miI6OCfCtLfQoYm1ilW6/STl8053Y6h9cWvi yaVf6+g91pZuyzmx6XsrMHtLy3skDJilNVidlftOdrOkcUPDuvtgWw2YDjQiKfE5oD/L/zIDktEZ 17VHhYL2pJ93qlt1haq6Ja27k0GP94lGq5GXO98xKrfNr6sfdd7eblv7neiGLy20lO3D0/8TzDhG NPU5PLFtxdB97zy36tRJCLD4cJu2pQMZQbz/+Tc1VTdaSzitUUqCMMzlThLf985zY2ZK5siipwMH lZJOTNHkCbLwQ76H2pIp/MLXnJtwsjCqSYoibQq6o0PlHLdCIqK5pjfL/jscsBRpv1Pc/ECCBRnF GBl+nhsWTdsBS1AwIRhDwmlONk5nc5IyBWVOT/4FOH4nuFn9nqwRUZYBf1akfe5NfeXJ4/iS/+q2 4b9FVn/yH6+3vdPbcGqT3gbjUYuPsszSqWUy6/BAG+GNVlJY25aGSc13sBLJyOfiSdb+T5A5gYsR mDeD7LYzIeiKu4tDsff9q5DUV5ML30bQ9SNY9ko8tsfMfD7Yb3t9hUjoVdMlyWYpCrUpWdGarFNZ bivTcf7nD7HK7aSupGYpqm4DyUhc3/TB+/+wbyqDQBII6vUqObjUSClNxJCMa+VEpYB3XhvDFUmi s9zGwVpJawqgCreOzzutjS/5jWfDYTMkY01EZBhqb5PFMZry+ZO25PXhduXaXViUXUr776S26pZg K5P5vvJlvgP1eMKZNKSZd1JQSlb11ul3icOXXAJbSoOWBO+stuoisV1Ikt5MSxdmIHMIbnMivs87 qY371aMknGaAKv+sp0ryTmpjvbVJWnAZSXKWlMw3JdNnjDL7DGcqltI7p42AMzoU5Zx8cJQEcgdQ ulluq1iVrJKwwJ0A7iAZwqHrVKN657RVt06D+4qGZaAkgE+5XwAP+7wz2lik+Aav47b4KpK8E9qw lCgc3ykeQPQ6oOZaSlT611LqRIBpKUXl7SbHFJyqNvgkgZPVGutt46TcncJrQEY3uktM5Ozpydu6 3jc4u+WZiuDaBuFrC6iGCrj1klHAXb+PbpglpeLgv3c2GwGkS8zkZW3JzqogIf5NWvKTANJt1e13 Mpu1RXGxleKDHUrn5ZG3La6kAR1shAvAGizutzNJFPlWEEAc4uedzVbFpIaeGRcUB2FsoWJM/hyC +s87mc1iiK9DCY3gfZPbdypbFfETHibF9TgykiPL/5updEB3xBO3dybbd1aSfbjltka8p4Srx3mW JadCHjb4HZl+MkpuVSV5J7JVl/GsicAtDsrcNrIcVqzP+fR557GxgXAPbi47+FWPlL2+/c5ju2j/ BnUI57FNRwHACF732/nThnUm32ls1dXidyPpkYPP0rnkJfoHOMksa7ASTojkQDMOuBILuQna/7xz 2DhJ4tEw8uagvARRShJYe4/PO4ONOdgvoATU9NMNAd4JbNXsUWolE0GECHebh6ecV0mACJk2RWHI rYW0AQHUYLnUeTRlIgsBAjjHtqlPdJa5Fjq47QbcWkdRbVvILYrn2KBq20ni2K74gSHy55279qu/ jbndZGTUu9eigJsOX5XARfMopq2COBHJyO6otTXrkrwT124hiaewDZ5uFmCPG1nI6fzyCYVE3H7g 26LWBwkib7Y1e9ugSJJZAECJ2QartcFZS3bXc37eaWv1Ud7QKgIncSDftCkKw22S+5Jcv5jcTusp gTayTPl84ihXkaSFkO2qjcaiNQenHaVV4FshXs05tEsW7ej8LB1eFp0branUlvS2wd1PqRsklz4I JVEh+0Q25Ame8HgQRv46sdGqa2AO2RSFsTYLBoUgdNaRzgow4shEp9VW0TnqfBVFZe3E7bO2oG37 XmsdnaG9EzVcgChZRQ50lH2jHy6Uwr2zrZ0WIknOLwookgGT5uWu9XMZaBmVgcej/v/3MgKLZ4Cu atyaELQtR9CZu81RpnWQBCQkyIVa2xe55j3URn8GRTbI+vpgO+0cPg1aeoKd0aGVBEn4d0ND6wfR tQXashnoIf2RxnsrMylZ2Y1lT+bfhHJDg+rTo0C77Jv94/4azPzX5R9FgbYpLm83361zm1JZGYtR GUttQ3PU+UlBZgvRpHNppeyDldrK+bnh3EwRvLwko08PXnKZaf9zU7ZJkY0elbVrVnTU72B7reet FPf83p8exdkPR4vHEbwjV/PjqIdxNpKLlruj/852LslhJN3Q7nBIdBhJj8raLhRINCkHCyGbHBzZ 9+s0/EBWJ8pHJvwZAeDN/sXSiuJsM2hKUrjB/hL9sYDjwqh7nIwHzwckkvkrZ5tsJdxyWw/JkLVL Dbd7pE3zN5LXGxWPFGmjb01rtB5F2pM925GIbOfg6T9k6UojI3vQgDQJR8L2P+i0DcmlFW43M9se RdrS11ioUXBojpI8hyYlZqEKjceDcztdlCQrUOdNeil3liJKJL2RztVgQOQLb1u8VJepBMIxoSgf 6TGQRDItRNus+o22OSFqw83duBG7oKQT9xiRgXlQXUOhNi+3HlW3l+C2LatnixDdlBDOr8D2JMiX eD6YpVG+YiQ04ylbYZMURtqLx3U3Hn8GhXYYTrLt6py2tcjL7vykaCkRIKlaCQebpEIcySBIoqBW n+jbuGGhtqjly9vfTqVqrxtEAPIbUuqG3p10xk5+0BOrgz/nct54Ptpwv9L/dEKQtD2z7WF5G53t E6DiBGWNZNCIQmL55L/qWEKHk+l/j2Ntqr8PEdvGY5gKxMSWJgS4DBW+oIDbNJS+4Od9Ypv+2Muj 0I5vifYb0f9bJADU5qRwf86lMWSkPk/QhA+I4G2et2HdUOqsPRvuPd5Gm0/2epymC2/DjDHeRqqW CQIEbogbLoq3pQfYmwBc7eHYFgRfq/JYOtH3+bOAhEebEqUkHPMFwbK7eVCVs0cVbnkU977voCrJ yEtyNiddnHg8KgD8ApTiKoBxoE1SBN7umB3K+qqUhF++mShZprgofYo7Pbe44SIkiXUBkuptSZmm tHDLAGaIV9y5wTb14TtkyoBJRlujfjXdQDDHt/wVLM0hjddh8Gf4NNZCBgucZ/B4tJK+yf4ZglID f5rNUhh0N0nhNre0bIzpUEs6BzDNCOHnNlg85I6LCtzqb3eRkjn4sQQLNzZFwJQcWFeE3SJNywXn YpXtgqS2SCXtEZqkL0ngjjuIvYWa3hBSskw8HhG2v48lXCQ/ZH0ZYzsKu9FJRT6Sr35UNjEk0OiX wQDPoZss7B5RhRsd5nO3r5V98AR3JMjBsSx5MiY4CtM/BnVM7c+6nU8ioe4Rhd1qKC3plXJQE6Dv VORRcWJxPB5xtn9tN4rj34hyRFE3FTAbK85mxSR65KLuyFoWKkEy1VjtcXmbRcky7uD9pIkgMtPr uoOJTF1JKEgh/Ud/Cog6lyIDEA9fEvHaWWibkwKA+GGJbD9XJbH0uNiR4o6wvH173BLrnD/csjZJ MXqbKIAyBfwD5nw5ocTEIyhTBBqaJikqb1MkGTns0kDCGVITMpGn5LXgrLsT5ZJIVzk/T6X3n/sH IE7EdwRg0k49/C2HoT0NOQMej9gZIK7i8aBQcuU1pNWGQk26Wy1EkwzpjnXHbVWZfgLctlo3AGAn 911bLQq5lyqSkyHAlrTDIAsQKNpauI4q5KcXl1oFjLkDXcBakgUAqO/gO6ImCetsjVPEQUWAE3sV ZbjQ/Rthabt+97eBaeyPwMaI4m1IawBk67gtVLGbUyX0T5YLmeRxiqJ4e5JIsxYBR8v1dxBvnwv/ BKjUTz7hPNS4aWsCZM9ACZxsfiPckh2NL4lUWlAhIcjlDFCIFpnoBKhD9t0nAcp4Puq37e9JQqwE 31ibpCjeZgBad93V4u0tfjIq2+BY/5g9Ff47bbUo3pb5xCysbHNwdDsmAa0kESVPKMnSXKJP68ny frB4bB3tZG8bbDUqbNbKqJuDLv8KkKSUgcfE49Fp9N23ZXZ7/l6fohC3PRk7zuopCZrwdhqRQKAi ALAOyttGhNuWSfNudfvgR3ahhnJzKaQJOTDUWRHkNkCwSnlQ21VvG7fa4LgFogwGMyVZJ0Ml3ky5 7Qgj7eW3mnjsZ603bjjNUVTaxq8LjOhVGyv7KkubXQexJJhuLaMo1J6MIndbgiVTSSJzjipA1fId rqg1SImUGs3YibDcvnOEawffEUdHiFMntxrOUBGJJ2IN7rpRUsfz/1OrDc6C69lqYaS9Rfo3KVt4 DnuHBJA6W0fD8v/ET4oibaluSy95XbWNmqC1sbAQUSU5gQxZgh3BMULJhqTtikayJIovCQJtgiSL XCdKZawuKnKHdjVWwrmKFz4gKAD8CiJxSELpxWcphpJY2b95gDRqtQCpyM0aAdI5PJLttri8TUWk SlJyq5eUXBpclcYgdGsAXlCl5Y4gn4675UtJYuvUDsvbrP1Xad9X+H2wNwJuR1E3fZwTHc9HVZL1 lY+gFb3KbW3PsL5NcT8UZ5SOtEQpXkJJSjE7VFIDt5K2Gav7UR85g5atwQLtk79NlLUHT22cTqOp awtYUHFo+3gYtzMEklBdC/ojPujUhtSQuXmWisejKfpm3EIIiGLBNkVRoE1Ry0odMGSwOJxKNRzJ RP0qs9pGzxZmbDMsb7OFBCcJH5xtc5KaBt+BH2po5o1gC+g2IH1TYyWL3DbD2y69b5TXKoqk4jYH 6/5Du0ud7V7w+L+OJDpSYO8SLKlJigJtyLPBgmM5BHCSJqOm7bDDHGSuZMf2jGmSBGzVdQebpAHO Fv0+mPxXCBgi+WeLJtOnELrZDrahTsKM69vEkXTVk7qpeVcgXYbMJk4ih8f/RQCkk+BZ0POk4D5J UaidSW+fzUNtKAA5I2nVy0g6Qb7LbMXVbXbaJAGwHgmABg0AaPohjixg8HTC39M6ueFgs7dcevvq S28bTZF4JJRs52D8v2wBB1p6eDzikXgUyf8WfAv4j9kURaE2kLwQIhnuVTKyr6O55qXbwl3JpiiU +zPrwNR9sHUEn79dOqk2qv+iJgdQAM4E7HKQ3FwPmcZTM+RI0iuhLupJcRAmGYgeAbdLr3g86En2 7zObUsHtQttnWNmWGFnbbgTbx+oWRpYylu81KMTyYpsRhsTUNiYtODjYMjoXJDCFJLYBZ1DYmTtZ 91k5BMAhg/MQiWaUM4q0KwnbA69ogxiSEAPZEtsYA4//i4+cWLDFFM07RRGGBIFLptTyjwsAVMeQ jOrttn6ufkNszaiuraT2XFPVB1tG6/wBcKPgmZ2BkGPen6HP0FG/l6q2kO3I3vEd0YkNakSGbwoH Hb249esgJLOfiBuP/wsfycVXIPp/iTYzCrTHFrtmLpuiXV2zZa5x1X/gRWo7LRT9s17sM1yARCZx mPRIeOIV7slMk1oqNO//ECObUUW7LKvSJh+00cDGZ0Pm/N98PIJreaONTbUGYOTO91aLQCTWjpyu zdqoh2KErWSulBV+Ij5HUaA9WFxchaBkDl6s5aU4qbWBs7UM2pZNkEjIXqEL361oJ71uBEYmKhJZ MQYoEchH8kTd4v7DcQ/PR2Ck/XUcwfae0HGbpJAd2Rgf7eJgpHlrtQsNaQO2gwxikxQLkgCvlQuB fxw8hJxIa5Oy2nM0kd1C/cTxQ8h+FjhSk7SKXvevsv8WJpmD0Egpi6UI5l/H4xHUJv3KRdZ35r+i MJswr7O/ZvKMzYWPUZLx8AiaYjZHK4KRgEW85bFkg222s/HOcYd7kb21WqU3VWCSBLH/cxV5BGnM /xXCSDbS2QSKnQ0G+2tbjfTWasXj/9L+YdayUI26zP8V1rOXZCOXIyTScn0k1CEdGXmSVJ+iMMxm R2Qm+iRy8DC7n1x2NML+WikwpGa/Fg8MFnHaA0bCRYXviIoj0v7p4pAAIKkzWx6NTOZrwfPBVivf 3SMq4JerIbVCKe0mz5F1CaRUqxCKZF4CKXiZNkcxioTCSAlrBAP1HExLe5wsX7bBe58AjDd/Qz2t 4RQGbeQGR+e/wndENbYiUcQ7CGhz/veDAWA75xse/6fIBolLZ4L7I7O5oiDb5mgMA0fgn9kZW7Na yX+SlMarf4Vg7a6tpmJtvsXaCjDphi6eimzQ1MSKy5DP6xtyNziN7OonqG3F9WwTHGfrCBpwksYA sqeyiQi0Cp4PjqNbq6UOAoWnkHD5LIWq2lRIqtpX/Oecw9qQYxuwBEaU2WcppkfKiTNNHzxfA/2I jhn0byuUfjy5FupAwHX/nLS9PTibqdcN6mxTIqTt2o5URZGIBZiUnn9lPB+1Rr7rtYDZzv5styjQ Ruc5V5NpHfynt9ggQm4lbdAsfJJiMRLp/dI3kYOTI4CyqJM6m6AMnYCmgxxB5+ECKhfUmNzlLlF7 fEUYksVQuyMdtEFJ7VldMrWEYiUeDyAkyzccVg0kRUa5NJsVhdpLsrZU9uJ+Oz+wZyNUMlQFaeBH 0ySFoTa1kbtWUn9WUjtPnylZUmyF4RYcLdH2B8UsFQCPcIRbDWnvrvcNJom4vz6Iae9qKybSpVuT zEZKDY8Hl9sja6NGOOSRb5dthWXtxONa1hCUSqYQKikkBbqJomyt7B6cK0Rs81TqYv/1h/1XYdEC OhPV/xokkKtmCVs30ap4PgrSCdsd3xJFScQhTR7hZ2kO82QBIJUtoIFK2wrr2pf8x2U3z7VRk2dt K8Rsi4xUq8tIdm/RAuXbXfwn92x9thXXtQmzSSy49XQx2+eUA2hgUEWqZ9jmZblvJlbawIQYNCrt nCScn/iWwE2D+tFTOpLTdSQruBc1C5E8+JL/1GpPDBk4oB7hExWWttVryzfkbrIspWdEcwGgQbc1 TVQsty33zXwHm6gsE7hE1tZJ+TNp8Ki2DVNJZi/AoyWqJK8o5oY+J0KAOnxQSRJJgVoBeVY8Hq2m X6r/KMM/YpI7xJB05QWlOkmiFU/emixIKEiCcoYkyaPS9pBxTZNxTfsyrgFhuBrkFnkP0gkmb+0s +JNnEZJkTq4sbe8o5pajFsw5fLC1tGHPzfgnLTweUW2usA27lyglzelB946CbqDnKNvuZVtYD9gc DXdvgza969rv2L0GCUld3HgcHNjWIVBZaE569gjkluCNQGZGZpMMG/LecFT+3yF2WyjJxJCSgw7h ivYpv3CeBHe/R92JzOmvaAlpeH8wWzsGbxfWR6anJkWyEjyXaCjD1OQceiaUvOOwmz3txb7tXE/f FuELagFMTU7EV6luD3O+E/iwZVauKEmhLy++JEL/EWg7eIRzEEairqTG7flNNh6PNMl+ydsPQhK8 J7lDjiTlf5rmiJmcXEhwJJ0LLl8YiSO29t8wkpWU6KYrSgIeErx65THd0gm4CXCHV9sPIpwfWLfc ThLvtx3F3ZLcPFfj9MFCpbNA2Qk6kVjF4xGZ5BtHAhnphnaSzVEYdGdzQjLBjbrori5tm2Xmm1hH xe63HeK2lf4rrOTghdsTSY8z40tVgHMUsSpXwEyHTjaIQbfkRt+vHYuSsMs2E0NvqHNLROycadyx JIhsPP9PVRLxSMe3AsAOFQABwYLdz3Lils6hrnKSLaTZECVokkIcCesAe1NRcrtgCFhJA9JRizrJ 6Iq1olIJQK7nFemKdPn/+LHwHUGcVFn5L9JIKq6RhOqV4qQT4S88Htxs5dYlWQZA03bddRThSOhE 3hL1uVSWrFY1kWOlTRGYJTZFof4fG/84cXywdcStDKQR8UgUlSKJNBV6ppx/EyPRlLs1vW3kqbWI 1cp3EDoS7EQruC0+/r+w/6Eh0Oc1ZduhJEkmiZR8TGkkFZOUQGrtkm3q0pCTtEMYyQhWEdTIzmep 1ZahJFWJD4DiVoMIG9hEJrVJZwV8RxBIUnSKzE0btIpQxR26/LHTYrHt75ok2LLgRvsURdH2opA0 D1HztKlJoVKBno15Iw/Y/toUhcVtWiSsRTMJDk5JgoFtUgOg7FXKYnO3EuaOwtKiYJtAJHvqbSPT H3UjVQHIzY1Hy8hyADoHwsDj/xTaYO+/MdC+t1oUaAM1ROCILaNWSnUbiZocHIkjxG1tIgzJJEVy n7Xggye3PcP0kIH22SobiYTmiHrgDV6W7rJNUSZ8RxBD8lIbUMyzQWH2gkEFnTbOksLj/xK1I7gV ETbUITVFguy8fitu8rMv801t6xqe2tYtcDtRDU0QkpxCORIv6/+HO1LJqcPxVSQSqNHjlDzXGWLs nBeVpLGMpnzb17Y3DjzI6LHF/YoBup9Z+chA9onL6sQB/IB/wUhlzQrydOt3pqJom8K+CIyKIUnO LmuGJCkI+MSQ2IBcSro9heE29dhQAvHBZmqBY1PzMorEOVrRTekFCwwkhQzh1ocFyHAbXxPJbcpy lGXu6i1GMC7rZMraztfx+SAtaR5LMr/F/cgWu89U7HCDSkBJs1nl7YQaht06S9kcgSCW5EqJOYXA bTqO9ESf7Z6uz/bJcCngLjIJdKASbsGeKdMM5fKTH/RLk1jb3ziCb5FOmpqhboo6jTBLmIm0UICF +AFBuPTLnwSQG6gU3pmKyt1MacAhcYGyTq9rc9ec1lmaCy1Pm6lIensSd1tVC6hPLaBscCNmZSX3 5CwroZ1EnxIUKSHjTx9HT+FkCJBirxu2J0e+g0LvdeLWqeU1Bp8PjvJf2Ft0ccd6zG5SFHxTx54a q450W17xRpmyeJ2ytuKbL7S7IaZmDKInONiSwiI6E8VmLiwmafRMfhIM6il+DsiFVZcyuaX4mqB/ As8s7NE76DgnWYV53Ia/RArRJeUqcHEtgvUKCk55zvSw8k1DwCaf+02ZEmAwcVJNgIxUrsRONMxb TmHpu5PMNUj85+BQ5XNM9QXOOa6nDvk1lOI6KDlnm+AmBcVJ7kAlpW1vHEEDt/AlyQetqnJ2xlJ8 MPT8/+LE1U7YdvLQ50gPxUoQWpc9qvdRCnkPWFWQkjfC8okV2bXs+qhg+xE/MkQR4OA1ywIH6UqU aUcuRymBs9p4cYGCkwcPKl1+udkbB4EUmd1TIAEOKsCBfqD1cCabz/8vJrcZ+eXKj0VQijVLWLMU aoKg0zR8pqB6aBXwtOa9/MISuODKg90UDn75QSOebTgalSJowJGeGOqWAlJZv1STgstXb/yXbFnb 8iXf22TLWEdcph/d+HykE+iZC4ml8C5d5WumQtSJzBRc6uYCUFCUS9XpJtCKLG4UGGmXFBNSpFcS B683gcpH4RaITpIKJ3839Y4gw3AdXpbclNLfPjgQvfVB2BzIWUuYs7TB54Ot170OjifZ0UyUVLZ5 ivVLplRwkpWcqC6ispxkU1gF37u6V2AKy+AUVTiR+PDBo/OBJvUk5QQtD0hzASwIwPQkV7rkeSlw ZOXiW6Kik5IX8gRnNp4gHD56JmkEYQ6fD1Lhi19WB4Zon3tGhcaTi2TKsr1ccDb49HlKM99cGH1y 81QM0Sds9gJO5IOjT86d2GemXFCFAgjEj4CGQ6rOKgf+eHd6oQd3DpwnneWNAN0HVQxqWlUVgzQm n4+OqFvBJD4GERENsX2mogC9EWww11UyQURnBTqCH0zJpFyLzsB+ElVUik6h1GCDYZlQvQDTorKs uk4wlRnDo6fJQhShTCaGRxeTHLtPZjWg6h00UWdHTmYhYALz+SA8v1kxKdbgZiKc8GkKo3N64mQZ AzP5q1l7EDS/7dpK/Qq+5NCA0synEnkoW7qiKmRCKfWEio2QL0jIERBekCJ2BiP0oLJ5Ks1e+C/V wLZ9kLRioQEY1zBcjvh8lBnvrzQG1LwOmKXPU1QTX0Nx5vB5SvPW6TJiVpsn4AdsOYXm7vjr0WAa PthyWkgwZuZygphSGwJiSHPpLMI1ry7uGM3eN1YzgRZ3Gz4IsLPrw2OufD4CEPSvaYLyz8jXiCqH PpR0iq1tF991fZnccqHLvO06qo7aNIX8SuIrN40oNdj5NMtZQElOHZSM3mQXUjqww6czj8cYVypL ObSiXIIQdibHm7oHWk6LPDssp7H5fND4vdQ4GaB1WKDeDCawokQkzSNpWKMAgqZte8GubJ+nulzS LAdelLjvOEGLsTkHL2oWMCBrEzeupNx44hfGBRDxwK9zsU192gtH204HuOapWURLjZpuzq+r8Pl/ ueNgh6ETVb8cOwM3SpRZ5EVtiG/QLLIhUsCAdQTY7K61lEM7ysWqb1NBqn3ZdZ/PKT0l9uvmBgsM agxghJ3EARK8FUm/l+1Ujwr9KEVGGYmQFA7GtIDstQnkdT4fHePfAHDkhvCNvfMUqpp0alLt7fOU CUziPFXJmi6UDmA3bPMUOeSISDCyTEvyg5KHpuJ5vlC3e51wnPanHc28H6jigeFRrml3rfbCf2kI jEXuLgfr/ta+hGA8/+bzkZXwt7spwBGz7OcYDwvlVFvM1bg6yIunUVKh+edkgo2/0uYpxKXwEgPv xAcvRqFMbpodJ0fuVJU5V9UCcuMs5ckD8IJ3erM3/ksqnwAAG1Q5gNqZVG5PZM7nI5ucO1G6AeCV +2QuoTclJethieyAObL7NVNJETkBc6hW2UzFwBQq5EwVOOctcJ6jCQDVxRV1woRB5TwAU5ANJWBS CoQwLsdp2htHzSm2FTYLnBwM1YV41ZbU5vNB7lK/i1E8HFEqu/7UoUVlZ4Vlq6FJLnhyduo8+znb IZXhhKZ0OPSoXFRe/m/9DmDuUXlolXbwkDzBuusTNOyFrGA+ZnDsqPBLov6L+DtC8oy6DTY3oVXE /u651fj8P7UFeVeSW35DzdClkqE87BdNoAqtzmECVZSGz5ymXp0yn0ObyqH6yq53uMA5JC/gvRJ7 cY6SxmlCrr5QJFz92i8s+XiHLpWZyktFUuccrGYHjji/L/fJ5yOq87e6IIky/Yk0Q5fKxeIKLDas uHJ2XrLiyuZu/j81EYcXNwObSrmCABFP3zwOl/C0C0oq9Dtv5Ww4BKNdFPmM1KlIVmgoF072xlG9 rlAsh/1zSCD+KMI8axPwLCyovTY/IIrJ/dKTgvy5VqAs5jMV4lTIFqnSzkf6bvWDRRPGqUmDVlzv PlMhPpxTNKT3wsGPqAw6Mg7MM1Pr7BzqVJxolP0f9sEEfbZbb9sbBxADVg3gEKaha2nk86lJyUvJ es0I++zRJjH3cHVsT5IXulWi7Qg97+HuMKhCegcdnjwCq+zSvAoV2FWimixfGAWd1Lz4MdWTBYG4 UWXo1bAtiVYBu38B5Jhru+Vyyufn2K9SAINM2NPGfEhmcp28Z5D4PM7FzQ/4Fz28WniA9OpOVFQr bxQ+T2VeNEZu1bM8OcQSsILE2CYqVj6R0QBTuJkfLD0EGU7SkliuS0juWdhLENkYbG0xGRYWM1d7 3z+jqMr+y3AAMUValvjhZwXz+Ygl9l0pn5Srms96iqLyJiedaj6nSCxutW6k7XDM2lwfPgeulWYS PxPSWRtsPZ1ZQDS4cJKfW/gETkJJA7bf6DecHy2mNe19A0wGtQa2/Bg5GOOwmU4mbg4+H/kN/aKv EAWTrilTDm0rJe5Ru0MOaiUBS/uuGHSsgqLr2XDgW+majGtInXmUW1w5p9U8NxvdBiCoVlmt6/sc 6j/c2xmMeOu8ZJuoELzCkGDlKkc9tCl0wycJg5xtmPSWEQz6ez2BNcvswOcpisoZ74Gf6i5oU008 ZsPLi1DwMs+WDQfOlddQp2m6XFQK2TAqKhMJN4SrqOlOFBQctkbZgB262qBpxOXQufL8jJyfZ+B6 QuixiQM9qyrz+eB0mr8izbRQ2mnPPEUxeaJOXBml33M82Tm+mtcSoDLCuKrro6L1pCo5LzwOjhY7 l0BddUq8ciVABZDl0fy9AvaEcijqi4SLFXvhCFWfBfGRfyXcHlV0A26f1ZWzO/j8v2gsVor5mYiq bJ5C/0qZ6pa6rJvQJJFs/hWXWyfbEu672MBSTqhVTqj1NtKRrZx4vmnf4S/qTJjhd/RDB3FwmR2d Sbx4Dh0std+mxOI4qDaZJjSyfrxIHlhYIiBv3+fThktff0AsoYmljPUapbLl80nIjFTja60WFySo 4tg8RRH5EsS3z+nDPccBXs3isiKzqyKOQzuss7yTd3mY491eONJoEg6axSgOqkKBmlbURp+bz0c4 1u/1BOQWWW4+TzFonAnwJgKRSLtVbT3NUmdyjkZ3RZQcOlnKNaYpgeHgwIyzS87pTSfLc8vBi5YQ FiAIM48IAF2939nVngrNLKe6CVnqOmxtsD4JpJ4MUsaJ8vkBwUzd1jCCANTO1r5Cljn0s+xFzN95 ZVGme36fS6pdW9TsSgQ5NrRkuaDRQVaD53jnzRfxJbC1gCwq61CUjcmwrf0BTOdKNamHHlhaQtuM rM0kYWsEnl1sDeBjpXt4Qjl+QLT5vhWtQPNjOctnKozJ2RkuySz1eJY7t7XV/gX2MVmLHLha+hl1 YoLpg83UidBgy0nlL6wqSqBsYDmV7fyUTIKUUPbLXziqbFLNakjbCmIWEteh1u0WeC5NfsA/6faM NtHB6U8lKvC2RJDbCCAzbV1iyYqhfRIuIkLtIG7q5ZXQ3FJoA8KqbPCgPMFHDD8l1b/O/gJBqNM9 LickkLnzTE+P4H4O7C2TKRKeU3/4IABLTeBh8xtR3Az8LSVF8xSBYbENi5w7UVFYPihT3mQCSgrH rlbcPGt4GLelL9wptvkiAAtRYeeRPX3wiTo7d+GiYB2qbhYhIW+VZLzHLPwht3R74b+4ZLsxK97M FgxnP6krSouYyQ8I8pdrBMr1h6U5viYqrJbjeMYmu3optBQW0K5YakxrguUTFcXlNGYYFH62wePy chY33Nh4niOsWpTbI50y4/4A3fzWomiVnkOfS5nKDMnvcdCK2hCGUsS5Op+PgHbfZumgPFGVxCcq LJdz623XJIK63HagXRI5EXjgUvNdUWFgThmds8u2Dw4shwIF+iOEZZyLjXjgc3ihIphZsgGNxyFR KpeHVpedLFf073wQJArMBh5SqMPz+SDivNgxcfATXEGvi1MOzS4R7QEPjMie6vuLCA8qplOZjg6F YAZVP6T+BrDQicEGzVSemyrODDn3uVgXDDU2tLsZxi16OHojvWSh7AK7S4QIxEJV2sty0L0HwwJm tDXtyecjk8LyHXSyq4iioc1U6HjZtzT4rit4GdNbxHLdpA1PQ1dXQWdgeZnZRT3RMsG+GryTvqB4 vckyT9A92Wwmw8H4xNLnm6GVqJwYTrH2vn/lMHtQ7Rq/gKreKLy1/QAOAsvLbzFHhgK4YvaTw4SW l2i8IJKqnuvlUgyYAdmE5PgVatcz1ws9L00wPY3hg01THhX5EGnmMB5fkxAONlYBKUM4VT3XGwqk As9LwRrwZlxPk97vWVlM2cLu4DrlBwS3Xitftx7oCgNdAZ+oKDivtJ4Wc0nIDDk60vpaLtjIVwfK yjZRYXC+iW/dskfby3deA8d1QSyPEDsIqLPMUFCg7HBmQ+HZkWOp2Pv+5TODT+EA60b+aedfrTJV Qy7DDwg6eheIL3NDkMzmJePlwPoS4pcMyEe+WERitBmblzINY4fWbvKNF5fLiYhiaUCDVVkwbQkS alQUPMHrJLmhoVAJhtVPv1WWzPCLXxK1qRQW9OqDkc3OWScZmQxEVOh9mdvVLmKNDybI62krBO6X yGFw06Ve3ZIHvmrWVqjZaAzolt1e+h/2lwQhdjKFOHhboYMFAYzUOZJgpEpjmk4+xMSbZknOSZtH vfTQ/3IOpcN7+WB8qtyl4HYmvPL5f3mEiHO2sabvlRf6X0pMNa8rhJWX+2CdvePCfDig/MoLDDBB mpNmgUkYX+hYzazuDq6nSXd4xplYu2ScZ14aD4hl2xsHpCqaOzSdVA2lwiX3IqTuxKBADZ4fEBWC y1cYNYhFTBeLGNhg2pUHHqaJhTfinwzFsrejWDr03W2mYpanmuhiwsx2s+ITep4oc3BFTRjao1pw 4s0C4XF59sA2z/ce1edyYIWJ24eMDk0YWQKmGTIgq46zPKN8EHphlvWLVA3V/V0eXFTohrmGDvOr QJPlQTMJGXUto7mYe2mmIhxLnQKOCZXYS71nFHqGA/ID4MOet6rMcgokBEYr6KenO0/b3zdq6FF6 JstZXWcvQ77GYjWVHxefj+A+3/pqGYpwC519zdPnNYZqZsVyUt7tyupIvW2aqIauBKYW51S9RlDt kcRkVM7By5vnGECqJ6LCCaASlY4TYrWBQg4vLudTIYJ6jZ8a5GcoPQfNLRvsGEe0yAusLTz9MkEN ZRKVNs97MHGucDCui/+b88NE87MIh8qmy0OCdXOCEPVhaPI0RvOCXTA/XQ1hEHZscCLHRGVzqUwO 9NxmwWCB5jbhPJfRSDOTh6Vz6XWCQLnlGirlDlpDZ9cswn1g4/F5jZoawp9sEySfIhCNJhQCOEGv QdN5ChcTbDBKsjtudpN3gg3Gtn02aGDLCXoNmZoBVtCumT5YbFk2Tid0Ss5EJCjpcZd1aJA2wg1q 8tCSuhuf14CpAdfDyFv1Jw4q+mbIUzL3PXHb5zVawuwaWAWfzf92gui4bX5eYyUsIBKq6xrWCD7/ dJsQkMRMuaAz4eH8vEZKDTUZcYXb8MFOoQoKV+9y5Ttbr1aiM9krYJiCa9PnB3fT5zVOagAFNJrx Vm4wlNSFmIBWt5FbTqL4eQ2T8Kd2nyDZHRD/Vmx+XmMkPDTod3Xlr8/0LHctPoGdIwoWhAzlNxtt MDa/uyww+2OBeQIXFGUz6+FIdgdgFmD4JnrbJRKMpu8wAh8/rwESjiBWwzv+UhusekJGp0Vkn9fw qAnyoAnKOq2Y39gEvQZH2JbCi49+kQSI0myC+g22q8zn4A0WTNAcqsRRQ4aD101QwKyCY55AuzT+ l+APU4QKkjKzXtWCJI/QYIKMlzh5BHEQ1IkHm7bNKp/XqIibxY+gqnebJ4bt1WboNSjCyU69olTu HbZ3t/BxpfT4guGckPNFNEPcW6uybMLhQlJObgRRAZzRCYqspHBOfCJB44iP7x5Letlgj2UZFidu tYT+qnR1Fx0SscdOCPZ5DYdwhJmjY2HfCU5WQxozlLeNzmh254rs5bqABMPKuX0Nq1Ker112yb9G QjyjJZ9OTT4OntaeRSlQwIkZz7Yo1FpDdpIow48SPyipFl2TYP4aCeFXmKzlop5pg9CX4GWT4Xq2 cf68xkF8RV9CbH7jH6Msv+Zfy5PNEJvnBHg4PsMgvKjjtitXzKoktdOCU5oszLO5oIumwbl1wIef c469pkQZTFZxCfks6PxD88GBcovmKa+lScwQC0m1cK9JHpi5WV6VzAjqV31eC5NNREnNECeoQquw Z5ug16oklm3aEnFw3TSmKzqFVjWSBuRCr25aGAdxghol5jg4LrWc6TqHNSptK58dAhPmDeclVk/x RxLPZPg4Ojm9ViTxK8gKNBfJpxGsZ90AcVaIS32tR7Yniy2yKQYvbsP+yiLFKJQeUnMECtawAg/k y6CFnKLqhmDvhLqm5jRsnGVW0B+b4tLBQwGq8pzRCa5maEOdJYRfJwM6SyWsX+rp74Q67rNMmSv1 lpb3luBUK/79OfHAZI022vRZYnpCXnrLfhS9s+nw0xCh03NxOce0zYfv7LTUnJ9JjJzxeqJZkpWT zOM5eMbBhlI1pOWCzwz6AJ1YH6oU1i8HHraVP+9suiYxPEBSmZdxUBsAND3D7lY+HpzXxjoszPOR 6sy6fLO9c+lwo1GIF/0Z222w27bjqMpgHsdRpZ2w4F7BJG2e2OeO6j7cpQQk3GBaVhkvEQKNBP9c Kec9F13UFRMNwXaDKeoyTW/tDgaX72mxanSyfj4eREXDrzQ+dr524my0KYriaoq8QLrWwyLq5BmC KRnz8NzIZV1kTnBkd84Nd5UNDmA6URJtKVky2icEJnEcYOQTltBaJqV7p0m34T20dn2LvesdlJrx g1kRKYChhqH1KF+RI4KNkzXeE+k9tlZxlcgAP5Fqvpzxc1N4YLTk9Mw6bTRH0JSDblP3wfsjuBPK LgQvQeQ0kRw1EoWCz4ZDCOj9kanqY3geURJ8FemBQvtL4MpEu2icMSdq+rwT6BhZ3eiarm5Q0hjq TXKWogCbdte4PF2ksPdHYJZW78LkAG6kFP89ws5U7ELuSlfQ5Dh/EA1PAInSKVYSfoSW4BhKWz60 JlDTW9ddhmX1zzt/Djt6I6PLuGhtEBsHGQCrLudVy+edPteAuE2epLFQBKwqLaBslsIgm4Wi5oJp gAQMN0+B6aJDAvBPzVIUZXeZgUFowQafJWQJqdLQAcXeIiZiBlWByD/U0t19N0t/IAqzmzZaogcP B9WKQC6YMpjJ+/NOnmuP22WR6QUKBtKX4xxFcTaEkTPclgwN0JJUi1kL2cutHGu7nPoo0Baocok6 tx7qHBrbuBsp5Xg2xaiVes4LAT2KmT959odSP7te932OoN4Hvg04PxiGqvYnA17L+pGdjwdx5C2o sTIAmcKc7hy9R9pYR5u8JrPao2v6tp7I2skVdwqoQarLRqE28SLn0KExCAcvy8LL4iymTfG0k4+R VzgGKv4A7/6gUH5bR11v+z5FkrriZ9lgMEHoXbKi1qG2E4XaXuUvwgAkqbfdmmMUbNOt4Byry2tq 4L3bHPW9lLqdTGEvn6Mw2kY5dmZkfjZYXn2m65w5aBOgD7nOLaT2GiK/DhinmPRe45963WCSwNNJ ZdY76ETaKI6rAwukRBRuC47m9z9wf63eU/udKseyCLFckuFnTpudf3lyWjPBhCVotlP7nSl399ok aUiDA71P6jdxYKsRAsoUs37sFNbWM2BKVrqmadvnnSnHGgXVK2obPiiMLFAYNZPC/HknyjF8qN+V I4hdp5uzvfPkOLMkgA1HL9cpdSvcbNgmP25RXE254p0mxxiJwMAqzeuarl5aX+hTD3h+zIWuEanh EFNl4k8NPjo56kBi+fGdJ4dIkHktIE4YgL+0Siup1ExsoYXyzpPDoX8r2IQFwqO231P7nSbXzFa+ UfFbp3YeyctHpW834IMjma2k92DbLUGhkuDDrfGns6cS9fcmlPlYwu4JDKQMqRdA+vbl6hR73yBO IjG8LtZHKriHotcAlSIA1jjB5OedI8fk2CMAuVviLhn9TlMYcKsO2bzK1lJaxatspd3LDVrwPJTe KXJNDH7W15IPtuEgpwRZx8kSQF8ZOchZTGhAAkA1AFHYV7iCOijvDDkuJuhWJGQ4NigC6CsxqGMq /nknyNmh9qwlqAajAuiTFEXci4LXfRjphFGS47szlbS44zhf2nFhOVsivJXwSQ62ltZGhxFqu6hF LijOJvWLEMgh2xkPgVeeTu/sOOuoQdxdOa6jWBHlgRuC72t1fd65cbiBbyWp2Kk0IP9icxTF203+ adX9is6ruvLQefPup1IfTo17Z8bx5GYjaLChyMH3G3kUhYYOOyVIlaDkz6gDQSTOlPJ1dC+9bxAm Jav5Dx90KJW5O/8fJ/Ben3dmXHv0KgrSRRbcUJEcnuC+U+OwAFXVXiZZhcN7O/Vk174cAN9uY+09 4EY4gWkC+9EHDyZPsA1+BsvadEdlyY2Ey7MLAesGj/E6gy+97/uxhA4XteOWD5wmUM93lXrl2p93 ZhxP4Zvh0vwKRrUp31MpDLkJwC3Z65INBl6e4g4nVMw1ltlevvPi8DMTYbNnv4P3H8+8gP/GDVdO oCkDkYQIYEIJPGOXefJWhl43miRhJKkMft71JClyZkD8Zc5Oe3/eaXEsL393kDqlb7fHk++sOBzd aOScJN9rJeeAmtlPpVWcnVOI8OCOe4+5Ey1cwAJRWPlgb88HNuhaUnEItLhzW9D6AT58gxUeeM3Y BUdg0eedFMegm0HSlODXTC5gRciZoI+Z7xispLm+5wia1+3G3O+MOMxRlxvIuHpD1Mc095TpHQDh +DlH7zF3tvwWQuk+WMzdYFYMQAchbfDx3GaOeTYgnLLleS2JCmJu3+lwTXx8lCNH80HlpJ6ryNsn 3RyfdzYc64t+JnGZY5OPNDyefGfD4eQe1KRoFhNhSVWvJ+Ew8UYbkXjsZccxd2PSxrJSqdeqYECO C4csOc0NaAsd7lCVHyA271SuwNe0tw26/RQHp96TDcrdzsnPDB2ejuXzToXjBexhElNhHEVlJp+i EC8yhMpyx4tmFkWCRl7C4MweTL7z4Jq5OZhKgAZN0VkoIDg0Xm4wl6+Kp85aAzILxSTcdtBPZMPf XjeaI6pdd9JMOGir4bykuRdcAz/vLLgmMsGz1QpVIB5ERBRwQ0Yeff56HS+k8kXHi2kWD0C4L6tu v3PgCDpiXrtZd+Ngy2gCk5cg2kyT2dzoTjBIKWcGPtNzZLehtw0ytyofXsmgeSmmdKqw6Pov5fNO f/uvExtEd2IdbIqiYLsXqp+1YZjtihTaMNuiCRPajoBfUOR38lszmiC25PbBTqNzUqBTy5ZtLZCP k6cjsQ0FPxEQqtddPul145SEqnksKNVuhkPnVIAQGuGQJxv6vDPfWCfx9FZogY3zy0uS78S3W5Jc 5OWJxDyybrgyi9iCgAjD18nWUVTeBg0GnQugazXYXsupg03JchtkhBIK6FhqsG0GDaRcEDI4Q3zZ YIoG3Rwgv2yDTiPEEWlapPF5p7w1wSi/CpIFO3N4s+2d8GaYqkxtbke09+bgmlJZbANsocEBSDMU lbZBlIPDJYttHHynnTg1UZi40UtoN15qkKH76TRYAKz8Nkm2XjdoJFHbk7BxG9QAOAfaFNstdb5j sIp+pf+4rHprHme/c93wpaR4p7FuXtu20yfVv1JeO5sDtMLCNjcQAOY+WJxd6XmNQ05aQuDFoJCU qGqLMmxBbuD0SWL83qluTEzpBwK+pQ1WSYI3KNk2Cz/ke5yNtm36ztmARmk3zH7nuTH5J11ybUdo S45H1TYyqVRtgzUkw+x3mltz+YkNIjEGVnUmISQoUPKeQ16bIJqHZGTiHqQGGnCMnvsLdfxOcmsC 7JPbQiIJibwMsyHtScGan7O1+ZJRCPldbsM1Mtvt2r5z3HCv9fIAaFmdLMkdwdRXVJiNUo9WUlja 5r2WmNlr8JLkSWXnyPROGTzwNpWHeVVmigligt3IAdv0885w45GUfHoYPWDmOUsoAKuQNDOfjzJb nyXszYGO35P+v/PbmglgNyqSWrmtTttvk4ZC2m8DnXPNUlTcnuabvroPF40EjGiRDiNppkQjZZL2 C0yLGca7mROlAt7Zbe36p0BzzwbrSoI8IpLpzp93clt7PGa8c9udqkyYYRhpr/04OG15OleRACEf tEwXvVckUURFxpG28lmBJVz1DwCAkrCe+Kv3fm54oKQgX8qrH6I0BZeOLaXB9vY7ta1JKB8OF0RJ ILNVtHMOu0ZA5Qm66vy8M9ua7DgMm01RT4DhWdCyafobTEKyrLiSkwaC8k5BJ5JcyU4gRcVaeme2 cS8Qtz5JleRgpSQIcUCyDaEtRIKhEI9pQi8IDhFnmsAndwA75ajemW23dEs8GgezmQW5d3BhIVP9 vPParETxFUsiTU7pAmzDcHuzJlnh0kfW+9kI3Qil1F/kWjqX16i2lqL6tiASfTO15+AXHGCi+/zw lNiHsHWmthk9qAoA4bjEn7yt632Dw7tqEfHw5iCMLQ6KafU2vmR0Kt3CLSE3QCCndmfpPeJG8MAd l1wftqI7b0B/MLSsL1mX99zeKW3PjmOjUYMd3oBuLeCdaAx6rjRUrcB4BwAhbcKtu0MA8yAX4p3T ZsQNcLQkOjHs8DtXTt9J8eTJqz/vlDYibe8s8VyaYENMD5beGW0MKKegNsPLbSz0Mb2FpquX2y4Y +Z3QdlEAhUY8GrwCUM4aQpeetp0DSQrzElA8BrCOmbYySm9VJnnns90SQJN/AQcF3bhDVfk4Odbn nc7GFsKtb9MfDODvr6UUFbhRxjmn0uwOcTOrMNxwQ4LxXUa8ViZ5Z7M1GS5De0VpbrnSbwMo6ry3 bNQhv5iprF/B2hqwU0Gjz4LuDbzC553KxjlCfpsQudlgiQm8aEiOPBnc553Ihn3T0q8zqYPF7NW2 dx6bk3FgmrGcFUHXP8aTlGDmOuodKEBNURh0c4rKYGGyOGXx5LdQkkirs+dWTp5SBafkEupYQvCx 8ZBbyygqbXe1kgAYtEHVtgTmCCtJZ2193ils3oa9cRL2OZ0ibIqikJvmORVdGZui7fxI5CXJokmo Bk3bamHIzSpbKwRvtXLzEvhRggJOOf1MeqTDBDfSy0FHPO+24RL+vNPXeHyizVYSuVkcrNiGuvZU IWl+3tlrv7BbpEMm/M9lRrQw4N7iQ5Ts6S3Y+bIcyKQ/Kb2lcRGqJC2EbaM/hB1WfLCNlvpaJ8Bg wM3qrzmHoVTeYX7VudGaam1Jbxtc/vRMRSDkg2ASIMVRaecELA2PB4Fk/w4kkUMgLvEpCqNtuoKW bgVsrCIzUge4zSpw8Lv2WluLytqaogTAjA0+RQuYtkpyBCyXs+zDO0olDSkY9PJub1s7LYSSbBWS RvXBGGxrmqHjibjweAQA8FsNPyAiz1FvPbJHwTbdy2tdvowgC5Ss2DbkYIhiG0twYti8B9so9iFh g3awDw63OYuwFnXaCumKggpQCQt3GeJrC7VlNNBjFqTq2UKS9OvHsCDcp57t5uMRINmLJEzwMg7D 7cuoR4E2M6eWm0sjnN+5my0oKjXJS20I3LnTeoQkYV8IkXTxwUptpULuVZokpZZWJFuyofiKiA+I pHsa0fvo06OydiVN67/Qfw3AuaXtc9KRHsXZqc2vrYY7Feva5yguaxNHMoydlSmA6DgS6q8yse0y ckz8pL/62pDf9sEiSND7zq8gcanzf8RzP5GlpIAgGlcQZ1v6L6ZWFGfDw5bCu5NULYoMMoQk3ICa See2+PSQD7m+b/7zAQyyPITsISFS7X+2qRho0+RGFPZW63YA4KJcWecnBTkbS0lTXrwcPP8/kV6j PPy5dMB53VSeBP75HEZALwGZ6YVbokHwLUF8tNWGZAy5ZzVtA9hGJlGMzg+Dx4NjO/2Kj9CIRAZp kxSxItFGZr3NeP6VKCpOEjSfi8VHDbIhzEZ6jCOxGlL24ZaSNqxGK2+2XcFfp9wr9vhCWSCP8ahK 8WrrUXF7yc1ZSwmK+VIiqbAnUMt2nC2M54NJmr8OJSBZgCaxSQrj7Enl7LWWTVLfczlMcrVlcTbI draSYmok+9lAYtvgKVuDFvJmrw0eKMaepKPA2kSTrKcoSbHXHgG3J80IIciIAdz6blIIBTkz6qCl bjwf7TePkaSp1H54xdokReVtsZJaY0Km+mRLppWfwGjMOpUWcdu43OLyNgm2kJ+3wRFJsKTujcyt DaH2QYGyhWmDr9j51VsfDytp6n2Do5uiNm7ngS0ugXvwI7KCphNuFHxAcHhf4BaN487EInf0aXqP tl1EMZXOSJH/pMN14TSxWQueP011KzdcFG3vLY7kzD7YqZRQgWzAfgMEcDJa1PzPBU45oQSOZkHn y908qr1vME1yjUs0r+KgIsmC5gWjgHMH4vEo/Xe0TbOVDsEKB932CLzd2QaoZCeilFSozqJSUgYA QF7FfRIA0PlJf11xazAnW+ydnVfFjgMx5ewzirgt8CJYb2ss4icQTeDafptuIJnjW/6KlRbwzDao SJISlSdQoVx8PFhK82KSCsuSKG/i4rJpCoNuchVAhvmR2F1PjCI3oqXSdJCje7uT7bmoxC1VDSRt PtjPBWx/y/A/BlRynUBSJW5qiBaSZ+S7IMUt0kl7hCbpKiJVlrg5iMA1W+fb4jqeeDxaTN8A7oyO 94mZ/PgeUdjNyjjg/15LShJUpqOl87ogPeKa5iOqcTMQOBkJoRIcLDXZK49FnGqDjtiZJeGYYeoG nuAPMl0jlEiqe0RhNzRBae81fRBSYjj14Gy9jMeDsHvUrwS3UR+/+UIaUdjdK0PKlFV+ZHRZLFaC DZfFSqjVGLM9rG8LbLupi8TBFxKqkrwiyS0BRRltN9pVnXhs/dBL1hXJgNPAl0Tcdqa2qcgb9Vz3 nCZYRetnBkM04/moyf3NBESHaI4bK40Qv51IkWjV/IVaSd1Nc04qr+CgAjbhkxTVt9mUnGo8YuBb EHIDJTuInLBQcoKmbnplsGZKqJuvx0IAcmz4jgBN2gTZKtpyxP+ynXS2GH8V2PPg8f+FmNSwstHD tSmKYm5ZWLaHvd3cTOgcSNk7k30ON9MbUczNAwlCyRpIYRmyHATJbcjT6xxOqTB9Q/P7h4ZP63rs ZmDdBr4j6ktS52eVO6gIsKD2bK7NG48Hx9Hlk4iXfDLcR2VjRBE3AjuKj3i5bZW+nCvBagdRyUJY c4qiiJvWU5AYyz44vH3NBg4JWYBpgy4hGz2wAGGKm2GvbpzbSX7biCrb6HCf9SdzxkqSq4n2ANnB 5C2XjOeDddS/tVqQknapRXGSooh7UKht95It4t7TsRJTFi4U1SJUX1stirhlT4UurQ/eb1uUNSmc pBPbn6OJSpLgJXeAcFe662hTBGCEwO2tjDQtH4SUgEhvUrg0Jh7/F7pdem4FFjleBRghbFsYSZG0 +c+aPClZw7U2ZoLKEzO3EcG2i7C2bMtq8CP7fObJ8+mRDoe5sxaoNpHOvukoDgA6fkHbVW8b99pm QrNUQ/qRO87tdDO5HWGsfaG2jBjPWmiUZtQURZXtxuS2yjqPYBLWP1n8pyitgUlaMi3gEcXauvhz 5mmcswztWSiBmAaotJiiySo3PRuXjETyD6xbfIrQhsV3xMERaKrQogFb1bAkZfUONukP1co7no+I JJdwS3UXKGqt5QjAEYbaqHjByaT9uFNAcWXp0oY3kU62bh2SEYfa9F8cEnUfj6j7OShg/sfyP6KY CcWnfWKOSmWSgbTtSkfStQVfEkTaQyKkm9wklHGzttgAEYqm1qvPhQ8ISgC/WIDkpMI8yWYpBpMw bdNuY4A0y/QAqVYPkNp25cgRlrezDLtm88FmCRBA5A6DxSS4nbG3XRG+bSSzhbBt8wjQqR2Wt1n7 b2TKoB+5JVJTJ0pUMlPYueD5qE7SvwOkc0CsUry+PcP6duUkJbM0AwoQlx3BJGXc1nY7215Z24xF /nCnZfzKNligTfe/cxtMydpCo6KqaQsNsnOYC9o+HsrtDKEkzGwXivI26NSeqOjp9i8Vj0dtknv7 Jy66BjFpP5NmFGmjlUNXJcEhzj9H34YkWZWlABTcFrSxmbLNkCopn5fB9cTBa0kwBsibKVuDSD0i on0SYdwzCaLuoIs9iNul9/0zs22yMWnLZglONUVu6LUXPP6vQ6kwKj+T9BTcZhRpsy5+Vs9wzu2s rtN6/rLqBbeTxtn1P2OmJBuNiZKaHKxI0iCx3dECgdj9grKNFG5wPGRAWc7t1y7chlIJM6xwU+hv dOJJOditn4F3+yExueLxSHTjCiKS4X6CqYken81SFGxDvARm9704BUDHNYlbxBYbBaCa3+kMC9ws SyaeSRo8kkSJOyFAgfAoiibEnBZcGoMIy3IZ7oi0+B3BHNGbcmUtpOwL6SRRq7OqBK4KHo+YJN80 SS7HdetIMwq2kTFnmKiaDU6D7a3HkfVSbjfEITRFUbBN73MEkNMH57Z1iLStLf9O4NAxi31BzBWO SydeLrcmOYe9brDZEnWkCgWTOAgCiMSQnW6o6eDxoCt56yMyZkQgue8yilEkvPVHu9Xt5YbVwDNk 32yJqnbnapsRiqRv6ZEIwv3lZHYm7Jwji+j2c7AtGh8jIUEMCT40oJEeJdGQckaxthhtE4K3Nogk eW45VgvZsMLjUSCZvy42KOgNvKdNUYQi4RGN/o4DbWrxZYQ4xzVJZvZYe0a1bbTOgNmu2wdbRhDQ nPDxZM52NsVg+RvBEqh65G9ddDuOMXxHdGQTGwH3QQ4qBcHpEp6dXBmDr/gvjKQa2TCARbvV5igk SNLKBQBOm6M9q8eR5xBxxFaGXJa2Wij+x5ZtAubSBgcAnFUKVUTDtZ00B/H4qPSMopf2/g9NshmV tctWwZYHNwfttBPlVAIcT4TJx4M5unobvPzbCVf6vqztGeFIJo8jKl1aJdIFtkE6HE4iLXCM0xxF sTZE8TZoQnewrZZohQf2OszLWilE43RQG34W68D9ObJZecKXBIhkqpGMujUAwSkzyT2J/Tr79iS5 eD7CI10kiVDxyJIuJnnGDElGKMnMI+gsXHyWqjGVYP5QfCWFqiS80CpWrw1erx35pJRwxD33QYYg oDJbgOZGpUlvf2Zp2etG2038/9J9MOzf6qreQhwcj0dx5G+exEIL88pIRqE2be3Qt62etQ0TZS/D 4ABS2px2sa0ISoKYDEcRhaL2vri2s7cmwOCF0L8FtjbhuA27TU244kGk0f9XWNNOBG2hOmiDpmie EE8CrK1WPB4JAH0LSaLQNdMVa11xTRs/I+WtHf+f/NA+B6/h/3EoWmK7QigJlf/WnNsHP7SBiIBv DiNtsJBYaKPZ1FAh5wEkIafAd0Tyf2KSZFEl0Ehi4JjgJ0SN4b5rwfPBXis3hsTFhp2LNMvmKAq0 LWPbTrRttE8xKAnZ9C5J4ssohJIslkaswS2MRKNjS4MQciEn6aQ92BSER+JOJTEd2/iGRydCwHdE dTYJ/43ig9A2UBbIpnTMx/8lG034CZQR8tW2WSFDksC2NM1Fg//0KUrbegEQ/YVsEe7+FeK1u3Ya tbY4eAgJ4B8EBVREguAmNmNtvNJwlOMwsrufuLb1j5J2YtU24QiVPMYJRLds2M4vUvB8cBrdsj9b bGUQJXEnKRTXRtNUqaywNiBvWyNyFtMCOocRTm9NUsiQJAh7SbZ1PbKtmJ4Jla1G2hbQI0vcNnIp kU7L1dygNlOvG+Fs7fZnbWOjGqkaUgJdRfbvM+P5qKj93WQD0JblepukKNBOMmCv3YvaWYUjVmwp uM8DKQPerkkK9UhMmF6dj3JJJOf2AF1oZyEkIDgiCzcUgc8J0yh+6tlISdveNwDbLKWzdLPhoKz2 fE2Tx2vpfMlIxv4qkrKdDJgULhWfpijYhtQ9GpDWFcknqFl3mqrpSVfC0myawmCblP7ZWZDk4BWS nWBORYEENZEqBDfZkDt3FZA1EAW2OhJPR3xLME2anyFH85HVaIP0RVea2M92xOMR/X98n0pYS/s2 2lbIk6SW1EkTlpXalBSQRVJ7qsbbWmv5JMU8SeJHJBTJwdbSQkKDOglhJLkXYEgxSWhIJYpZzUdI GgwCvm9UthUTaYiXBPsfRoQjU1iKUlIVjwdH911LqhRURJ73VAph25OBXC8uuDmS20dN2fJJcDN1 EwBacWnb3DSGDx4BnJ8AslQsI2WUTiiSVOkikBoKtIOGpZ2ThIWMbwlsNShLBm9SH5Tbos0loeQT ceDxSLL9htvsTwAWstqlt68o3l7UJN/9diR7vU3bWVwACFQQk9xesea2JP9V4u63JIkucEYxmAp3 K9VSSCdtkE490wRcNJBuHipRKXlFAbd5c5sO0DIPPbQYVm1Ww614/H2a1iMAxDmFxh2iJ83SjiJu ISXNUZk0iVmMdHsmeXopKROTQ2HykCmJMgny/e3DpZOeU4nGf4BIUNpGEAmu97MEF4jEZujK4vaO Iu7GXuQJrocPWkp4vSoCTVp4PKi21W9NEjTQGM7aFL1H3NAebL+AyZVxrKZo1uQlgLOmjEmyYxOb 8Sq4Bee/WqFxS3X7DNNbWkggccssdNPS2i846v/vEL0thkQlPZmD4EjArLKkiMgSj0daift7IcGM qQO4YrMUxdx0oTzbzVlbUD939nbJrgOA2McXUhhzcyFtvIcN3rjFpUVyKwolYyVRks7+Q5Oi017L ZUkKw0J8STBJlLj/b0HpBqscASb7xuORLMkv8PZgGnA3WxR0kxtcYYjpIAlBk3AkQfvXcSSUL+Ec hTgSYdsn8/trxQNYMhpsq0MC5HzM2SKNfnYs/yzkmBkAcm8l8XbbUdS9qwyjVvbBmKQLlW5838ZC ioAk7QLc8QtCdaWtq9u2Q+g2ZhblfxeSQo5ujJtJ/x2WSXZOJrq5/6ZInjkvPtzy/wL+NhHWtkCV qNTcoL0sgFYACnrBDdVCfMdfjGR6cmFIWVTHc3aeS2MYRWTj+Qiy9R0mgQyFHeJzFHraTBbcOuUk mMdpr9HTptFWslKXZBjYZsc4EnYkBbbJD9jmhBTnmFldLRIgUuTN1oAJOBfS/pFKohQA/G0j0x+a /YGHYYORkiCbL5w7Du3Q1ObZakQvQygZHASbowhIAk0awJDdA+BMV3Y7iSQ7AMxRpW8d5yjU/yNw G/YsPniCu05kTQE5FLcrPCCwjsoU8/7MF1ESTalb09tGLFLa2iAdt0HwyLzYTWAFjY9Hxe1vLWno uPZ5raN2GG0j/KwULjCVpOKGrbDNMHIbICzmbLtD0LYEkkVuy1/kNuCRyiSy5VyVu3QBKeHDodMA T5rYJp2K8R1BGJnIbesSbu8u3H7mKme5kA7stFhu+1tsE7XEAXkRm6KwtA1GXB2t7x83tpkmJHW+ 95r/tu7mvzuOtdmF7OsOfmKnjJbvEhcZjFTgR0ZH5/ZEcUBs1Ysi2VNv+6cliWEkR/OdVmGlwgP7 JJp4PNLauAJA7P03/M/VbNtRoN3aVqZmemSt1Ov7W1XjpnELMmXttAhEgvTirJ+0mw+3/E9TFaLa gJ/fg/o3HZiMDqYvdKzNbjuxaLtjPRLpbJJrw0Fh9oIjOHfP2Yt4PMprfafhQVhW96euLczO+10o 49HePLGVneYmua3Kyv3MkYr/XR8VLCR5I1WxROstJVXId2TqVMDh6QTdUyoSWOsgqG78lWBhyL99 bXvjwImssExSrVmSlpQOYCKVzMzufAM/IMKR/hKSGDQm7M9UhRKA9JWb4IEAP4LePOoXhJLA6E8k iZG6F0rwUdGew1SNLdQ6xRZ/TOD23G8Qa0NKcqYpgSQDyWwCr/BjFcSpTgRkvI2viQXcAdimkTQH LqlzN5TCnPp8YObzkQbA1bgjTwLCu2vcQgB9rIMHaUxWb497tOY9btqsCLpNIQKZSqQQuy13u0kX QA4+VQgEaiLqFocflxPSCpDCMzSMCsrN14E02xtHCC7hJMi7WdDIJ+gQ/k6FxoWoyy5+QBAw5fG9 qsCyH1RNsKmKQu9BfZKRpzv/wmnY+ri9mwjHWXWzu/9GCp0kq5wS6/DBpwomgo3W9uf2PTk1bo8N +8QKEjcqlNTesCxOrgAptLwhpIT3jQ2Kvje8SqYW2ODzUQfu2+puEw+W0p2oKP5O1JxDudBPqkLc BB1bqbLDOuUEd8a2X1T0HinwAwRwGILxiQJT5+AdrAjA/JGOKufj+rrlpSxroBTBSwY5JZU0cA06 0XF5ch3XDZOJFOJLyncfLlNb/MzCM1Vh5buQf6uOLovgHQkWTqoz+d2qlYOez0WOZWHpW02URrD2 lqu6ogNoGw/UOzFVJ6Ww/kAhnwv4QPKWZRFUUtr2xhHMBEXdubm0OGhNVQBZZDU19HzkcfuL0QW1 3wV1Yp+p2P2mke02sjdSUtGdBzBOtkXVO1B7dv1F0fiUI5e0lNaX3RRUbwqAuLSbgiAHHco7Chg5 S9mdB5Wuv9zsjaPEjgeVxN1WNUnLCgufLSrNmW0+H7FNvym51CtYOT8meKFsCY/0TRcwct82WWuc qY0WiirgJV2H8hSWwBdvvyr8cp31nlMNmp5Y4SeF6fv8dxDIgXYgfAJAp8iIZ+2cosMQvybCnCCg Gk01ucYlDgRcmoPsIcBsGp+PYIK368T1A/j06vlZVGFsPpThbdcKTsvNAuDs07zLe2W58VERV0Ca U6n4cFsqBSVeqrw1ANYnD/8MF9dJiap5rdyXLJVSqMtN5jJeyAeBc+aZNclzniOLzwebr/lE0daD PU1oF92JClHeXZXwdj2CWVBmaW7W5Ra4KW/3ekthKXwplEK4pMEnCiuJbvA4pyZSYxkrLWLh0KfL 8/Lgqr9w5PZC/FKRr0JxX4UEOoa8JwZDz9AS5xejchC/2m+MEDpQzqmjyZzdMqAC7j6RCOBXPryX +08FFpS4k5gJJ8pzcfCE+NwXo+A2N7fpzLpKRVTeyQQl4dv8XmjHnQMLSpC9WS5Aj94GVQ3aicyF GjyHM5+Pepk3JxZ//mQ1oz8elFGIDnkLrKLu8sqzTVfFEbOdNbrJmrAmKgKhpCQJ81p90EShBrpA YVFaDKsFogUntOQa67z9dg1kZZL/MKFkXtxk19Gmz9M6JxQX17lUK58PAvTySzgQH5MfY7zYhhI0 gQada0uM/5+x90yWY0eSRv/XWsraoMW3/4U9uHsEsjgvg7w9NgNOk3kqDwoihAurzTV2M4sJLMOS ylRfcuhDuWTrjsKbDReDOlKj+RgKLDAPnib3dg5Q4BNlRGXzVJq98N+kAzvk1jgkkSsRomUZ46Y6 +HzUOhg/+w6kTiJkfJ4i7UCAGin6vrycSSlyySzLV4jzVEb35RTG5ptGi41y3RxsOY0ENuvkPJVz kOzKiGsuklKBIpxXiGKMZu8bbTs5de3mA3/dntKJkJnOnIOQz0exgR9PMhtGkfhn14XigUQqshdj tah0d12qtxaV0dqwaQo5lpnnUiUWlYOj49ZasIkmN+4EiK2yNE4dqk4z1vEY5EppKYeOlJhbKgYP Dd2WE6SzuQdBuePzQef3MghVekBBrD0WeYEjJYLpTe7XSH48jdZv0a6artno8Da3fRdb5HCi0ES2 wSYKzOhC3ycKm7XNYgsABfSCoGTog2zu0144RskBZNmyDwISng3cssLyVfj8vzxyCG9COJIvQj4H npT5/y1UA1p20SBkfc2lhMoyZBiKUtOYFjk0pVzTnM2GDxZr0rerFhmcjbkpeHlCEURcBCjX1Mst 3akmFbpSIlSEXIc65cmMnSvUx1nOgkpe5/ORwvn4SYkR6J6j7ZmnWNyEJjll3XmSFfyiD6yV9FA7 uGWWwJgyUwqRp07zwbIX6MfmtZi99HWmDGgB5HlocWa+AOWE5N5dq71wtJ7ottzIu+Cg+AloiK31 lCqfjyyF/wDvboQGj11u4E2Jr2cyCW7dKRfMnU2aehl6dyRZnCX9qKgHzKSlzTt47tLZirR8eGtr 0zAPWR4WF0STLnynN3vjQDGfMjkrE1awslOcYYFcJOa+WuHzUe5ylc54GIGJni9gPocOlcB7UUi4 +kzxFuFM4bdwzNyu2WcqjMib0GBj+uCYgpMuponiAGEXgN8X7TzkDcjIyfC8NKdpbxw1qLDnUAv1 QUtqAU0lKdi0+XyQvJT2s6R4OJ6U8BILc2hU2Wm+BL7W1wnh2XFzW6Y5QzZZxuPJoVOlSPOb7AIN vvlw75njKbw7NiljMHza3wWS3Hws4RgI8UOCwsFSiYXl80lZ5K9EYGGFhUuvrMznI4HBX/E83JPo dt1piqvmajAgR/xfkaynVc3PL0Cf80FZ+Np9mmJcOMmFDKU0OHQuAb7V6ApzMr7cgXvDkQJZAQgD rn5dGAh/44f8DRiOPr8PVrQDmFoNzz75/L/kPOUjixyvX9hzjt0qSVSdYu6w/TldW2gl7DzV7JZs 4ThPYUw+pFTV7nDx83AHQZILYjhIUEDa95MuoYmCklWRuNBQMpzsjf9G6AWkikOCTSFTYPCEFEad y48/IIrK/+DPwcauPGdUYFhpt16FT59mCoWKZBsvS5wZSdiotxIVOFYCiUEZptWaD77x8t4nya2U YUrn0cyNB2lm3CSAXQj+bNfetjeOgAZU81osdcMBU1sPfgzkTX2h1sPn/yU0LMYdZEXSTV9C08pN +lPp3dOX0muxNvoiD5n9T3RRLC4PXCtRUR70h6HUcHosUGBPCT+mxrLBiQoS1QYx9/XboWKZM89y Fcypop9j20q20FMT2AANUalNolWfhhjNa/IHRJvPcavD4oM+1jNRoXNlZ3InJX3V7uZw2Aq09By2 kj2BCa0rcV1R5a76YCsKmw8aetLRaSdnYU0vs/8B8fHNdFiAzFztfaN0WLbVskFx+XAkfHNli0ca n4/W0/7J8yZFq+ZtgIbOlU008eZlKJiIuu3wqG6CetKz6nXNwLrSpatLpQYKBy8bgJyxFltVcBPJ EPs9exPw9Ebb4fwoMq1p7xsAM0qjtZfSvLxNUfccMtyDSgP4fBSV36NcPDxYHl7Z4Rx6V/JcA26/ OHyF3pyCrxRDbJy/Xi6AlgPzStP4QBK9fLB5mnDxwhEln+8ECAsFLMFEATs6dxS21X3JNlEhgoXr CYBFDqhFcNulVCW61s+3y+f/iYTGwbYpxrKeOy+Ky9n7hISyTxSUgTwf7sMLBx2FVuXDgYGltfQm zmkfLB8uYMGgiyQUy26FINdKYZOzCb9ruOqgScXl0MASgApMEPvpHIx6OFcpAtijnx44WMor7FdQ b6G6026eF3hYSnoyw9H1GqLl5HZfjVhJnk+L+03zFBvHMxynoLUGj6FGgc8XMWMD0mhVeR7RTZTr By4RJUaCxvyFgwVF4UFYhnBovqBYwSEr6mwPPh8VovyAEqHjbOB5FYhz6GOJCaJ5kvUTmr5Rx4x7 4wUdEdt4sZGl+HVJIk3p54A6c3ICnCoq6/nDJL8MB/n5a/RI1nUgFGg8h06W+L5gKJaXDwauS00d KpXJAytLhOQXydK4785h3q/jdw7NLInrqNS6NwbCqgbTPKnrckMrePz4PEUxOdA42HDUtOJg83TO JsjqT/LHQH0SLqiiWNYJ6ILQ1WWPd3vhAHLAgl1Cu8IGbbx6/nFSCW5uPh9Jfv1CDtg7XU+9Lja0 bDKMaRdgT0aJoOPOKq+D6ERlw6Gjparfo9Y72D19DtkCnWbWDdq5H3CsQIwCW5hHBAyvvefZq7/x 37wIvG4AHQ6ehPWcfVXkPhiW8QdEM/VrsguDwbWvRHqOfS1ZNzjBkoNac7W8uACTfe1RAa+0mQpj cqJaoTLgg8fkoP6e+5cxOcTpIXBxspeCaxrp95fy8a7YpD56YG0JDxji7DNxPye1G5JfqD3DuIHt uTUyf0BQOLiaBEnJ85fixj5TYVCOLnYr1Tw/8MduFZaTpWbT2plIPS17Cdwtvec5N/NhDjZTcNnL rI6evXdWVWOC01HH41H1LZkkKWHtV7YXjmqb7FJmHukQO7LEFjFfp1QunPH4A6Kg3BEHFG5GrAGd Kp+oKChn0lOpDygQC70ULSFGn1Jwu4yoxSYqFErh7w3vWB8c7wMTnaIoaqK9lMm5Q3kbcDvADsDX cw5Q6vbG0eZL0pBtPhiIpUMCl5+I6mbgc/lbLWcUBUxUe8LN0OhyUOGyeYyJ8t26xHvWwKhNsJYT 73PgdOlGYOgf+OATBZPGPGi6g97k2SOLVWDt0kUA8sNw6fbCUfoir1Sd5hTvl9/e+Qsq48MoZvIH RHIgv21PiEwDGXgnKiyX4/duVe08aoHX6ZIpII3a1qvcIZqoOC6nnFwr1QencNSTZael47wDXgNT +U4G77nxKgXe9y1G0TA9h36XkwyFSYssDeorIEDYwv2szueDrbeuHLi6ySchkk+SZuqvCBYYEznd NaU1fO/NmQ0VXK5OQY4tLyWbPrmkONhMQUf7LB8KFUz4dkD3GFi7wYI5yoYg4jksSgXz0POykzZV V7uDpqecFZVUDFiLzwcR59VOESwqwR70Cc1D10tQGjLx0SbDf2K+Ycrpo0t2F7/DAwoObS+1pkBr 8EEzRZ969PUq3eQhfIGC+QmvgC/mGYwP8F5VFtQu8L0EtoKiV/0ZdO+dWy/Lhu9cjXw+iBBG+dl8 tGCr9UYIofMlOEGQLLBsBWIq2WJOaGF15XpnWWTHsATWlwg2aMMjk3AODs0491wBbIHFgwLCihgy wOenc+V1tmHIexl0msmB82XiukV+RLWZLMV4hJzogo0HchBYX/7metKBPsscvtY+TXG5nAiW9EAz ZveU2HYe01WqAzHVC60vIXGwVRG1wVLiBdAQwJtIiSG81Nh4aGSvVqISEfUo1RuKowLrSxcJWUuQ qMXAXsUDd3ruUHXOofllar+ggwrQXnkO89D9Ei9LSXCnmuXUnWpWJIyBdHUQ46yJirVUiHFdNfng XDM62C9O1LkgBshHmChUQyEU+kUo4dCx5O8bYTNoft2pxY8CjqxUkMDDMJKXXln8AZEa/730hp3l xPL6REWhOQ5QdF3yleOX6yVC89K2a870dNEZsQNmH6+e84PArpS7rMLOThtdVqJIsgYl1a4tD+k4 /JCYK0TQ2PDBkuKTSzINP4d65fORWMgFuPLtoF6zHiZMYIF5ThUQWyp086yf11r3tkLty4Rn+oK4 t+68v3hgsvWJXooNFkadX36Df8nW57kozpFL0DRiPQrsZOguujqPmumhCSYWI/TaxvDBeGfrTAGb 6XAvzKEL5g8PBs+db7PXp8gSumAqKS71hpvoS1oCk3RYsUWMc1k3XmCDiRtP+gXqqfd9i+UJIK86 aV6wQKiR72xlbzDhkIGD24Ni2fbGAbOKwNa+WWbpiM2W2J1wu+GxeKas8Qe8n+XrwsfIrSIa8WqG 5MAME1eezNWWCdDA7Dk7PEOiYWp9puUz9Xeq55TeFQcPzM+FQQcj+oWAMIx6OVoygMID4E8fAd97 FKDLgSGmH+awG9WQXTYkdaUzUHDi81Eh+GrQIIqiqdJDic2hJyYtZiie5zO1XRR71W5YV8irdI+i AlNMUJB561UWgjnYGdXOqXtmfUkYa2XqfaJTBS9ZmmPRhk7zJLZQ4IqJTE0CtDIz8hgKlM+1pPy9 QRYKbDHRWZi/0SYwIieo8L33eY2huvvPjG5q8/gjuVSYJpTWLIFpzZX6XiOo7m4POF198LYCDAA7 JNfg0nPi8zplZYDsH2qqqCRcShUiqNf4qbtMH9rYPhj0MkN5g6fTwtMvE9TR49XZVC3IhIsxAeU8 EqPpmVw6DfwlmqunVpwhdA7boooB0jKHQwXTI42epe4wBy9BnfVRCi7vExBQl5dUDjagJ8Nx9C7N 6WHpWHqdH2icFq6d1nzQEioI+HQ8LTz9Nj9P8al+TSHyfDu84zA/ryHTeYh26md/JIdBzXHVeXsx qZ5K4xvNz2vAhOWj7l3e3QfbY2DOnUOH/KlGo0zyF1AY/sJd+ssEWIHlJIb8NVzq8NURNrNWH1Tx zVifDBTPrvu8xkpdOY6mhwEDvi9J82N6XgOlbt5ZcCN3EYxG3pB0eZp3WSBmadPzGiZ1FGQIypS8 c37knc9Zc0KjBD0OTM8mi565HthPUK7AcvLpQeDzeQ2S8P1P/OMMJ1LSI7xFCdn/TNGNc2rmz2uM 1PMFqVT1ZYAwxjel+XkNkDqEfFhnEleKbI2+vEtedBBRAANSdLKcjbbXVlyUmg9OFC4J9N9MniL6 nSjSQk6NqIsBnirgRLa/NuXUXqMjnD9MSGYSoyxdRtmG3LI1f9vnNTbC0ybIY+cP4HObBhj02wom iLWAlpTXNsHFr/rFI1nUWrcJeo2LeiIhB/W3eQevmUBRay058p3LDN1MaoQhcKDObQEF09uZ8gkN JmgRTE/f1OH2qdQeqqbxBC/yz2tI1B+h+Wp0V7hogPOqGXqNiLrhXHEs3wpcGsNjx5ofa7DrMxPO EG8u1HNsuPgBGF8NtntP/jYX/CfOCY0CydosNZbbxpzM2V6DoQ7XKB5BTfIOSEyz0g+Ii7JxiiLW 5zUW6vJftxnCbCKYBTLKZug1EureSDmHsduDVIbFLOaaGBaFZoGvkRhvdEaTjrhRDbLBiZtrb3S/ KenExi/tCjpO/ZyBaC0A5npsTYr5axyEr2ESsINOgw0CX3aw07jJTiT1eY2CujRenk1G1A6gzJqg 19IkTj4q8UqNVJiB5HUk6QrqFBo0f6Z6WnBK56oWuOgWLB58XfeinvOCoMsNARue59Dih5AT+rzQ 9XKU3LK3DSaIAXUblOFpgwgMaqEmiHUxoIY8aHSNpWkTVFhHgVYhM3585GtFEr+X7IqZK0vOeWw/ ple/qK8JkyWpXkTHdLfzufvgOQfoF8j42YiDIU+TKBjOP9YfC8FMBo6jmdNrNRIHLXG7fQ4btBbO l5x2kZgDUKmvtchuoEndY6JIAy6TbyD0TqjDDc1QTabl1q68gIraZvY5ouaTiIfRJJFDjt/SBz+q J7woIU8BrCXyMtrwdsah56LrsK2u3z/k09/5dFhGg/1vcKtt4D6jcu2UNsgAlTXaaNOjRc7IQLjY aHcpuH4wS6DAQZ1w+1mUeRX9T6qmri8H5MNyXk84SwqFxvDBUQIlIS6kMPhKBb5g6CsN6J6dqA8r CL+79XQnhQrf2XRc+bRyXmrDLfsu4PqOsgs+8HzNn3cyXRdp0WaJtkYnH4Nwgs/Se1SNVIUHEup7 Lp9ujikAMTVDe530MztJLAqrEcaBPUKqWGq3n9vTiakW0cwg+/aTBjIzKSjkofb2Be3Ao6Ih1G4w R8o3oArtg07snQtbLF/gnD/vRLr+mPDqREIRGsqePkVRZA3ZnYyO1cXloDpu+KVVry0IvjLDmwSH did4i2KkNvgUVbjlbfo5rASfp05bENwTE99CqSndS03CDe/BtdiCyIrbHZSaLVQgCacoQKGGwfXw 3cZGOeKNk175sf3OosOnDpJ5JLiPSapAXRl4qV/WOOqCXqeNJglqXyjoZh+sP3Iebm3L0OGklxDk k0/PoHw5moNPf2Ta28YnEijQhMItSFMIlbVPeOr6cgk1tWCSsjGeqrQtIKUxoMBmkxSF2Ao4R+0u MdtdKBzsvWU4k3PduuvFO4GOVwChp6LKF/EXjGmY0Dbk7Xa+u7QXYRbgwMOrAJfruu5pNMr8vPPn sKM30rSyWKnlwElCErilAHJii887fQ4JRfrdbRAgOStp3EkKo2zgFip7DAYIoJMt83xAOi2G3GBT apKiMFtKheeyWj54MQ05Z+pEmJz1c3K2SrY4xIEmOy24UM2BN0t/IIqzG0tEqSiWZB1CKwmVXn7e OWM+79y5/vinWOQAh5FJjiHnKIqz6cLTmpR3mYmM63jZR3UsQENpRbstCrRlw7e34u3dbwSAtmif UPU/EQBA84siKgmHEArO3zz7w6ifXa/7PkeDkHhYlmnwriLK5oS199T5+HsA0Gf7DSShVJhz9jl6 D7WxjogCKNtN+JIq/WQ4OWoJSoVEAaAqG8XaTDFmA3DfBltH5+cghiZrrp5vA3RonkiJ4q5nb+75 NI663vZ9ijCZuNJa98Exgm01LQ2o7USxNsPhWzLKuOh6zp7QvhPmeJ/KpNjkUsFxLm4Leo7UpDlC JOhzFIbbaIZMwEd8sFP7RNtgD1CJH722zcb3IL+lQ6ZQTHqv8E+9bjRJiCDrYo+Ngw6k84mVDL1K mEQUbwtXfDM2mAKjD2tlxyjalsFMdSgSLEKb0S/HKMP2GgpXlvW/E+W6cKCQIWIUwMEra2DskLaK 6/8kJVTjOeHlOXoWdLEy2ntWuKY6yOedKIeVwJrj/5W8rJmCSaxcn+P0886TY6x754gQXuhd08FV kxQF25n8r1VuGAldeSdX9HZ9ipkT4kB6p8lZiIct1KYPT97W4cCeTAasSRW8ViJuSK4oo94TiQXI d55cl+vVIMWFA3xvDEcyS2OBrUML5Z0nx2KZH0mJ1ZEKT4c7S1EJm7KXUP6ypXT2XXX0ZFm53Gb2 NJzpO0muS/KY7jLdByfJgfNaq4kQNXj7YpYKEqZzqyE0BjHdqTpaS+/hdjLwZBuUt1L+z1sbClGL Af45n9bnnSLXZQepWeJFBoXpcats7ww5bLihG806Qji4U/IyW5sX6IaAhIfSO0GOKaCkikX/EsnB AgDWo0hn2jkRUMK1hGyIPtFtEefWHx2Ud34cPoV5bYEQgw3qFZ3DoU8pys36eafH/VEFwGMnqTm5 W7mTFMXbpOHBG714KJmW2TlSAdg23AkGLbt9J8dxx+fXUhsq2lu/PHC4DQa80LPitMlwfDz8XXk6 vXPjuBIYHmWdStlPJcTzwyQG6vq8M+NwA+/6EwHQqBakPZujKNwGUh4V7eT+8tDQtZbI2i7QBHMh n6OopN27ILgt++BzBA2zXDrFQaF0CXzZWU2TrmDk2QL0fo/upfcNwqRMjnOWq1M2dkul+7gp7s31 eefFcbX/LiR8LK0zbJLew+3rMrdX90liZ0LYdyKkeSaxKK0z6T3cxsnNxhHwtDZYKHnWxjnV0fuA eyrLCjK9HKz7A0uDpsL1Bl963/czyYwc5MYzPWBFBaN0om/P6tifd1Ycj+DfQIkFzlS8SvLOievS 1zx5QUvLs9vc3Ty1lXSzWxT9dCS9x9tgKEjyJN3B4iQ4RraGzQi4JDUr6DCPWZko0+bygGvQcufr BnM0DStSVJacXzaBaznRpIRw+on1P++MuC5p8WeSkLO19QRKYW2btk5DkHceSbv4kWQ0gcricTb5 qnc6HBv8iLQBNvLBs9sCV9kqOY8BjC8JYR1VhYHEPYNEZZfbIDz5nQ7HfnsmsTIlH6zFfyZdR8rO fMdgIU1P3GQBdb5JIPJ9kqKIm2seG7paA2Bksy4Cu7L4JJ0gzTK3dy4c6ySAinQluRw0SQC+o5Fa TQstDUjk0q1v43t012vpUxBu+86E42YTxUtsE6/pQYazlGq45PF5J8IhFh3150Rq0vDxKXrnwSG2 gsKqw7dpxMMmKzcb1BK8zZYcvv1Og/OAG8LK2QfbbLBgkRgrkhIER5QZApXmO+A2jFKcq3vhzvi8 s+DYKOOVNmSlNoaJoAHbIuFOoIE+7yQ43r7ld6tByrJMv9neKXBMhCRL8XQAABAwVKTM1ERYgp85 pyisbbPaNuSdPh7v9J1a6rCl2nTh5ClOJC7kx0BmgvUVvMfFwslFrxvNEdk3uxQftNU2aI1qUU8+ Hmy1NH5u/0Ly4rrLKAq2ycw4R8F2jfmd9rbK9qRQL3fagkgGd9o7+62bDe+slKLS4IjtkgEgpHc6 hMIpSwApBvRwwGKb6Tmy29DbRmnbpNtlyj5wijpaVEUcwVI+78Q3ntjzJ7XFfsFd4lMURdqNnuDo 8NgUtWJFyAL4lCnpnayLh1HnT4p2GucGLB4b7DCCDsLJRgYJzCfJHerLnSMbBGZE7ggGr7t80usG 6UhnPRJK7RhQwuY5fWLm0lhPPAd5+bxz3v4okkhyfeP48gDpnfLWzd2obhUhKw3CSzFM+1n+Lnu2 yZHhOooq28CKbyBnuw82SRC0QfER6Qic0SdkxrDUkHNAyK44yTuDLsSXjTI2dv3HM+g0gqK9cGt9 lM872Y1x9m21sUNSuDX95n8nu9k1caZoN2d4J/nLCltD0imVBsmz4RRFde1ExYCiihsHP7ETNGzQ Y2owE2pwFf4SeVZByMWHrqftz2TknemGm5Mo9r77HVSyRSWMSL9z9PEdo2X0u9cIG8F3b3MUxdlY 8Bde0+Uz4+CRnKozJxu0pnVkh2VtkbtR5rXB4uzzZ6SyZG/hosTWQ5yNUwlty3M7gITozEkC/N5p bsSTCTiiKKlarQ1N1C0lvXPa9c87yY1L4o+MDWAUKqJokqI4m6n/2ZjVa21l+SSNkaaTASFIojj7 neLWDcR+jt8syRfy0Scxomdiaprs2fZJZhIhNjiCFijv4Ap65i/E8TvBjcX7SdpIbWKPbLeuRnDC 4j3gWp93ghtjyD9S/5MzzvZcbFGc3Vi0HeM6Xpd+hUymDnDG2dA+00oKC9tJ/jJt+2BxNqojFVhX NkggC4ykriOWPAc2lQQRW7mRA47Gzzu57VaR1rLJIrySszRGk6HzOPfx553c1h9iqUIklAvG6ne/ RYE2lndGXOFgrazSEVska/h+G+Put6i0LVVPCk/Z4C2Scy5BGICCVBAUR2UNglTI/qATh2thXDsn qgS8E9vwrRah9FiRnBeUvVOFd+CX4L/8eee18SX797e2fW5XSFIZJjIKtfFPcBKZUwpqk8n4fytP 8v+QW1NijVL776y251DCpWyDVUh2PaHpRlsWenkQZCYZYgJHCoUA8ny7LyVWDT7vtDYDDlNqeGoY usmh0atu+vng+Xlntdl+1SThlKblHSC/PkshkqQQWgsGsliSE7rBsk4BBEAsyXOygGyNpfROauui 1QEcoRXV8mUorwYv+Cxo9tq5EcR9oj+AzBKq/wWoCceuU4nqndRmcRKESyhXOWcxfeUK/f4hi5m0 Pu+UNnbrfnFbuC1GSr7f3hltWL/UNaPWtgjvMBY0KmlalMAh7FlWPFhKUW27VfKP5MXHwZfSWO2c PcSPAqgN3UrWtpPcXRru8PTkbV3vG5zdFGVeclHjIIRth9qzdDb0klHAfRGkPLthCwbJVZul94j7 B0IqVCSPcfMhOOfDkjkInQSMKvLOZmPEPXXBVR/sVAII6ew32vANqOezKYlKcadAJWTyugMAM9F1 n3c6GwtfFHeZ3HAcBEqqUEcRRLLUzzubjUHE+tlwWNNzT2+TvHPZulTIIITjBYBWxBlhAWCs6tU2 CuZwKYW17al8pCYfLJyETe5ck8SjUuUGgrQEYgqDgsy0lFF2qyLJO5PNwP6oto3ug4H9UZdi9FNb +7wT2dg+qD8nN5AbAMb5HEW17SmUxLbAMde8kl9vqGjrejvXq7eS3mlsXMmkRaIwZIPNEdLQczNP 4rZgJLTNQa0B7w9xSkrgFOmVTL1tNEXk0TQB/tudoon4SEXuPT7vDDamYH65CXXSv/1nimIgyWJK exH/dZklyslus9lb1M4+t6YojLjJykIb2wdL3NBWPXk5RV0q4O2oEKNtSSzQWUEgW3u8rVUU1bUF 28qAaNmgUhuaC1pF4EO+c9f6I7KoIAnx7kAHy6YoircpZd5Sfrw+6BZljpeO/gN61ndaHG/jZuuT MAAO3v9PaPg0OlgAhHbWLC+/szYJoaAfnjfacAV/3nlrTLzIMWkUxuNgye0A6FllpPl5p611yTdb N9JUOiAQ71ibFobbe6pBYtT/cwVNRd6IkSg1jOS2yVmt8ydFTVueRmnewYlHJyM5O2wJI3GyQTOh h4YInYahv2SOl0Di8zOCu5/w0dFoijKa1RgK1awYuZ6Tr+HxIIzsXgBgLwUBbavV+yMtDLZ5rRVp vrLWBiawam2FqsZcR/k6V7Soqp2EaKOcqgafIyBsZt9iH52/qCz9n3Sgfqmjn6Hm4n1t7bUQR7Lp NNBJ8uNg7DVoK/LzzqmBx4Pm/x84ErTLRl2+jnoYayfSskUZoYTERW0PdMWs2NaAuhO/5j3WVuyB TcaNxMEr/+fqH2QLUc4Uhx8RJwhoOo28EGUr0pbLQA8JkDyx05Z2/m4XalOWibu1zccD+si+pTbK dsE2YyQ/snsUaeNyp7WHO6AslQKoH0H7LtXaYFTCvdYjGAk6xhs3YfLh1rVzp/8X5qiAY6PsF4KT DeWnk5PcA2lSZqNHde0qlj845TZoklpJZC8BazHxeETTuoWkKo8+fDPTd1sPQ23utjz3hZHUy0Oq abjxUIdUEC+2HlW26eRIPQ0fbLehWrRTlhAQYLsC2ww6jtEarZT8ODmKqhWF2sK2ISDCgM+sMoo/ l1tjw2TMVj495EPOX4xkhloNyq0+TSEjsgr1Z4aEoOAxjFyEJI9+AYCUOO/8SUHaRqY/RNt8sFh7 7nL+B/XEk5FUsKcJ3CqUDaeSYwMszGq3m8ltD1mR2TqREnw1x69yPnGoAgDL4E+Pqttj+6lE196z SXu5OJIe0SKBOUDFzRQigG6b5nU5kEtYkNQQBjIh6X/FkUwevTZ4Mel8ydDj1O22kOUis8sF9jAU cxwUMVWszeutR/XtpQiyEtyeIVlfTQwBOA/pae2J54P7bfy227Cw5lPf7mGwTUGpUrr7ClBcwA1B nbk+aBWllRRyI9luo4iWDU4l4bEkw6FWYSmURZJIYP7ReWU9ZUkqvfYItz2LBJaHBJYBlZUQQkdJ HfN1cp6N5//LfkMzqed0T6WwwI2yQWPhQlWSUemTDqn8tCp1bFBwA2eKBYAeh9vkbEHn1AYHt50r Dk0jSklBKh8Nc8hFJAqpAxnT+nhYSVPvG283XI0sKcEc5Ct5+4of1NkIPd9EwQ+I0G2elXBKzxc1 wImyaXoPuUG44anUsb2tD8A6W0E4eZb/mRsQhc/WO2uYGy4KuaUtvYkz1uDFpITeLPIeCuWDJ4mS 24Ba2TlWBi0qvA9wrsCi942miQUSqJHbIORWglqzsts88XhQAah+KtlCB3frUpEj7Db9iMFg31ZM SvkWk7gNqYXQ4VRtGy6CkqgxmaT9k/QeTDxQVOsVkpXAt2+WzjlJCL8SUl34tt+2G0jm+JQYTgpy Quo+qEyC64dQEFQP8Xi0ktLPqUS7oJGrF5N6GHVX1tkeU8suy+JNpoT98eQSjWxb7LioxM0O91lD dfvgxaS9Ty6Kyx9kSekoosQNNeVcaJEqzwWpbfn7BimuXE669Lq7fQrMTrJM9qDJh8ejpfTbd8us 3M0Luh1R3A2ILcQSu9O3zgHkALdcpvEAgUozRumIatxTcJLKnhIHZyZBZ3rhAqbSVh45y48IVUGk dVD/MDqJVLpHFHdn0bamRCZndVHlM/HkD+Jwy3g8iLvH+llJjdr4tyo5orC7K6CszaqSJwJfwyKl QqyZzIl7tl7JCAvcnKIE3rUNFgSgm9DLJi75rFt4FODYStCNWUA4ZHBRXY0MQDx8SMSUlDVqlpU6 dgtP2w3pJeK4z99lPP+vLjf/7bnaYODikxSCt8knhS+ggoCTW7keErIHU/k5EeA2W68RFbgz8zfI 0mhghoT8DThJUDBYK+kbDEx2VYC1BiCa3n9uHwBMDT4jwJI2qbwPaeNzWbDH3Uw0CkkQHv8XLYnr CJifh3I7QjwJKTe4pq3ihjzawG17XuuABe9bbbUo4pbED1oWGrJNEeSmAC8hCbCdoKl0QW5wc0KU U+UkCwBQC8Fn/A0rAR6YD6oC7FKJZIBr88bj0XH0SwGAW3aHXrhNURRvgwiDVXRlWtZwJOk0aSTC Ycj64BRF8TZKNSe6a/UOvtXoVThlrgvB07rkoQcUHzoPGVQDI9zSIAsfEim1YHJAUeFAI1WVJRdM jJjal4zno3X0ex7BKLqPW7kdUbxNwfN6XtnF7LbMPiddQrqQbhVMDetwjyjeltZPGoIBjHtkIw8B ebupuA0h2k1jBRZN6byU7jra1AAYUXFbEWQnKl2DkBLgIlMUDuQkPB6cRmv9XGtMbtutlIwQtm2Q G2uMwMor2ZE911pOksgg5jBvGxFsm5Y3yEWKD14D2BBwT9SzaW3DVpDQrcVcAJSXUh7Qtr1t3GwD Y2ssDV3CTwtoulY9tR1hpL1vR7LpFPu2se/NH1W3G6WlLaElc4va+0KTzOVM0jab67VEofZk5b9I k7Qsxq1Z19pZjwn7Vrkt8JGEt6Hihn4wut0+R1jV+IwoOuIciStZoQEqHjHuAwr3Q6m84/l/adoQ /g9e+6MaNeJIu8u4yxE3gK3aVqvlmgueQND6SCOOtOmdUimHyMG3GrwS0mJ0BJgEupKSKV9fYorh mXP3mr9uEGg3YrYaS0mdaq8Ebp9cBvYx+MzzJSz8gKAAUH9xEiA+npjzBkhhpN1EkrCiEfC2pXuA JJWESSvdbLikEda3szy7KFXOQbMESRxU9NhtWwXlJMTkHbokX8LcC2HbZg+gUzusb9MWoMtoqdPo WuH1AmiVS+ncoXg+mKQ/cEnA0S+kMZqkGRa4rd7GeghVW8dyMEmhvj8nCXm8krYZCvwNwR9J3+Lg KMB6JiBN6iS0c6MNUpLGgOngALJA0PbxEG5nCCVhFwn/8UGnNjRqSKc7N2rF48EUNc9rN9fcyWzq LUnOKNCuhLY3Stj/L8lbqRqSZEHBMbPaBgaoMrYZ0iRZ/AfAyYd7+ye4EYpsAwlpiDdAQgoAoZMU MrHeD+J26X3/2gVItfmgvBaR0WRkWHvB41H7/7dRMiDe0S6XdEaBdjJGUrlHkkxO2bedhuE+R1Ib 1redf2dJbopoaXBGEq77OSkAcDZEW8iAzmZbVJGCDw5iwAu3oUzCDMvbxLfNQc/FOdxzsQKLx8ut 7pP8zyjUnleURKpcJ5Y6WZS3k2YUa9MM9+yG1r0JQDInAsmdyuVtTcQ1mqWwui1gP4hVNnjhFvdQ QlOvAbyZ1iQNrkHAlTfcLpfezjsRnxGhSalqg/PRBlWR4END4NZJeBYeDwAA65EA2sr+N7Akl+E+ o3gbNZwMoQQnk471kEnZNRaWBCJ9mqYo3h5VMWSpPthiYq8NmliE3SJpQ0g+QHP8nojnvCyWsssi 03tqhjxJxpJj5TsIBTggK8dq20l48HjQmWx/ELfAt2hXT2qG5W2SFc+d7eH2YPeKsWTZpjFRB9W/ ebvNCEvSiVsvON9scOIWtdgKJbY7rJNrVU5yhon/s2jAoTiJfpQzCrcrpUdRJPBBAcBZgt1U28bA 41GtzVEArD8xeO9XTWJGYBL55ZrNOWPJkT2WnKyKyqYEJ6bmKKpui9xGZxYbfB2BSUJRTeZtMGni dpMM+SYt/iLci73t385tlOs4MBrCMoJ0MJt8YPPg8X/hJHmRgR+Znvs/JEmSkrzJaTR5RBdoZUXa thrSLttqofoflTaIULfBltGaOMYrp2hAhrrQN7AhYBgAh6L48oco2Yzq2oXhdgLZywbttPM/FAeD Exof/y+oLfB1UK/xKYqwJOKRZnqbqRbZL9k276uSBF0dm6Io2h5ZZNs2fPBGUs3n4OkMkQByK2CA o9CWaSS8YMg1n7J20usGmGRZvuIG4M22JJdZKJbPtgwQUHg+AiXVn+Oo8iLJdx2FFMlFaJsEN8hI psOmJmlUU7KFuZapJM1QlETEv0l7Nw5esKVQayaPFM7IxKhQR7ECy8Fa+jNJq+h1o71GMVvI49hg 8L8T0fNaaiepweMR4uYXbUszPeBZNUcrirVZVzn5rEVDOL23idudc+lp24LPwjlaEZhkEBuZLQiY F9uGPsvZvyr9d5h2818qE+ygwAMGY2obYv+vsKidmvL+6YPB/xo4il+y/ysej/R/fhttEB1AJ8en KCxq1y6VX9dIesQ2gB6bdqtNlLg0RSGWpKuHxN5tcmcsnNhpIMkhloQUpURltwpflaFKzgNJQr0B nxHVtMUhEcCdNmNq4+cTTfDmBiMDz0fgtt+aNnbZOR89OlqhpnYh6J+9Q/FIpf5HJEmej7uGi0av EEmiKlIhoKQWLXyiSKH10wd57ecqO59FbBuNKBoqOUjbbnB04gN8RlDT3pJro/QvB8FtzkVJLVwo HfPxf8lGy9MVCMReLhx5RZF2l3HwWlZqO390JsnKeWerRp5r1kptK8RsswuZu2w5+2PLiQusIBpi GQlxKR2YO4KBDj4vip5+9RPbtuKqtgCkyXhboOpws5337018foi2RqH2A9lmkJ7xW4151aNXFGhP Bkh1mqQ/kG4sbG8FSDZLZ5dkC5BWTJMkdav05YPNUj3zvqliRP8R1CSRta3FLgGa23I1N7DN1OsG 1bbJVm2TNCmq51VhZFp1Mwo8oUTG81GI9AcGEMhUwPdtkqJIG4wTuDpUB5KIdCVNspmr9ZCIRtck hYok1G2bkkmaj0wS249QeU/ESAxQq0CSoINSLqy9oN5pZneJKuQrQpIsCttOiFzaYCSJk+8I/l86 XzIAkqxbbCNEAtStfgsAKwRuVzqPzOHOI30wSmJtuzcvJIG7abMUxtqFmX9jlWi3eqsk6RxM0CVh X7uQ/wGSxOhQN0mg5pZB4NZQua3rfYNZIth2tmpDE27mfARVaiAOkRoeD6637TuORTospX0hEiss bk8T3NxWbct7b2OSVErOk7q1sec1SSF0mwqRVH6zwU8lRPOw2EKVJKFQDm1XQCRASqKY1czr4kiw a/ApsQIQm4BbgyghtdCYkRhA1NtWWN3evpRI0D2rH00bn6SYKokiW6dfuklJVNNJmKUNV0kqV912 xdVtlrUbVZI4eCkJ4O0KMRFQJVGgnBIk79ThQ+11XCmpwkI+PiWw1SDKhpUqG0SOgApg1Q03+JJR 999jyc4ayUI79PYAVhRwU7j2rJl9taRodChNku0eW4Oa2Zqlv4tuL8lprnz3W9sVUlJTCnfjHN4g ap1ZmrgrQVT+kl3isRKVklcUcWfxbTeXEgdVJRe0z5qquBWPR0vJe9uMAqVxh/+jadpRzD2GraCH LJGvLon3vE9+u110c4dkSXreYkZ8sMV0Vs05sIFhOItpE7uH9QYKxVnvJ1RZ4BKbnyvr2zuKuRtN fmZlw4SDLaUKz1676vB4UHFrf4huo/h01oI3SvZ70A3ODb3eqZputdtpSwlCGw62Ma93zFEoTNLp vSLfbQ6+4c7TEOGiiwQCfCh8APoBRF2CWGbp6bng6ACwo7BbZzY8UH0QJAmmwFt1yZPg7veoGxL3 7ScKgK5fR2xpkxTitxE7VG1vmSivsu+p1L3eRutPTVIYdS/5REmZvF5l8pES6OgySRwZcC32nSow sgjHoXLn0iSq0+FDgoLbkLDGMwgnAen8KjPlvvF4xJT0lUTS7aB8s59JOwq6k9xBU3Kp5FWL6yTB HuBCSe4chVCSLHx/nz7YHJ3JwFeukzuTUYTNBkmX78JJnNEs9G4Sb7cdRd1gqNHJo/pggdIJKFgp PhFwxeMRoyT9ZLhQ/2iP2caOsdsU3axjOeumuqXNJJ5BrJskT1fMUYjdNqVksW5S/sEAnLNqNnlx dxhvkCeJv0CADF+kdgtuqCziM6LEhJC2Kr0kILilJLZ6lr0MWCIbz/9Tm4QKZucLAdjKJykUAWz7 MZCkNslcrk0C10ebJDiK2iSFWBJmb3K4nT8OtydmQstwUSqJ/YDM7G1AXwqd8K90EqUCQI+0HRW3 kxrbzSAlJg8H/TQpPJ8lhVM79LW5ktv0BYRS8qNwuyMsSVJ3u47rsTmSC9xnXuJiAbbruRFKAMqS TcJt6RFu66ONCaQxTVs3v3NMUQOMBEf6dxMn0ZS5Nb3t37ikNOqwQQhJmFVX1dsWH/8vgtswPYJg qk9RKEzCblvV3U89YKkjI084uZC5RyGPN7/WHUNJWChp+Q5Ot4W4GexH6UIKW8rCG5BMbiRiEEs2 tU0aFeMzgjAycYrYENegVQRBMGocnh+z8XiQkAxfRdyguBvL1ZLYYW07Uc5uV1e2M5EtcLeaGF0E Ly46tXb+pL/RbVW41nCZJBkw2E3w3zmRd2m04IQcQ0PVE1KfDiTZU28bnUbUa8u5+KApqj13Nm1P JD/weFAluVqb/AIBOoQXhM9RFGnjcmen1uaoUZfXnVu6u9sspAnaaRGOZIonuWVmux8iCTBIqCCw i3Qu5lkYZdKDtINUBG9KM9umIDQ+I5IkqUr7sw8KBc+XmQWRKHPg8UjazjmAQrgmCiVdbfsUxdkN uJB2EnK3b5ehpTJb2vXRvn2lbWybnMJAm0TWmcsdLB9JDfkyutI0JYd/O2WS0RE+1xGmqGAdTdm3 r21vHJiR0Zt1kru/YeAh0yxUQ5OCbRCo+AMiLGn9jbahtAw1vjtVUY2bkiQVRsgEkUCkpEm0BVGS iZRAWWxYpSSnON5m6iasxHqwEm2ANo9CHKoAA6XFQqwEigEAlEyotz5UQMbb+JgACLDETyZCiQPX 1PllM4Gd5/uYmc//My/5SvXjxGr3cGKXMUAQIFYqVERTj1uywKgpzdq98kY2g1wlUgjfbqwBjHQH mykk5+iFOGkSKuQEuqH0liFGjWrHY0Ca7Y3/BuLaoF+hzw2iunJbWJ41sSZh05qiwHvk67/hQmWj 3p5ATlHojTI7NBq2mQI0RDLWOBnVKgPnBAGa16Yq9JKUbnI1R+nxMLngx1EAx0SnG2VIYJQ723u5 0KKXAhyWxMkWIIWWNySWQKPYB0Xfu2UJckLnic9HrMBfv8TNGCxdg4kUxd/UBWpow/lB1fOwg6rU 4gRTqmbZ7otq3oMSk1Bv88HLuYOxRiGXa68TPqIQda5CfLkZCIGCPoKVl7KsgVIELxnEKDdUEWzQ iT5IYcEfN1wmUogvKb/0yQzjnEz/Qp+quPBNqlLeJjR14iljcQEOCvre/2gnA7JJkWVZWPkmOJAU ABs8n+tw3MxbclyjsLgP42yc6RJCJ2GJDkElpW1vHOEDqXxTdvNBa6oB+yN84NDzgR/XHyldg9DF 46MgZdj3Asok3a0b0rSC2qo1NWs2gg50WZ20nFMUjE+xuovckst1wOvUVQBFgDqqGa0UrqkNjXi2 eSFd4yf6zs3eOFKb4gxBpMQGASn7CWgVLJ7J5vMR2/SCTXSkn7dYsDf0qQrFSxYNXthBJv0NWZlN 1Ub3VRXwguDMtl9YAt9E5W4ZlO/HoPyEyxCYopX7OexXp1Bur1R/JqM9A/ds5xR4LXrjIHXZWZRT 6XPxdYCCg5Unz+h+HuLz/7IKyKRhIGxYODJ9qsLYfDLDk1op+7z8t4JUUGhefd7s9hzcku/rOnFV mYXpvABm2JWCU8TuHLS6QPAGgxlW0YrA5mXCL3kqpdgNh8jl1LMPAucsKIDyMitt8Plg89U/uuEI j1J/HLhTjPPmOhr9snPadHYOQhSbpzTcAzenGHvChsFgEsfBA/QGIlxZOtGBpWXkBftA9O1pQjkv E47kXJpSvh8XpMJRksAG1S/r+VZZhIJ2I5//L21MbP0z33c9hQ6UKOTjXurWMSg4Zm2eslpSSIfP zeRub4EFJUIEQganTLnn8ON8wnSwti04HHqPjeZKxCJ06D9nnFdu90I77hxYUGLVUupFEgscVDQ4 KfdmSxtSV3w+yvc8QOe+gyneuN4KOfSgFANlrXrtXrcZmMFfaVwN6uXl8ByaUG4qdNfNa4+D5gly LOcinsNkuuZahApi7uBanmkg7pp4dDLJf/GgVOOJttMcNE373NYUkWwnsOHzQXRebsrHFsM5mn8k lnLsQoltBEs2FxDO3XVfVuUZQ42lUbNpLOXQhlLYQfgE+OAQ1FTRnCXBom5Kg2ZV6TaU+92Iyiaq NHvhv6kHIsfSkNUAOFEtOqg8yevg8//q+VrxCmDda9YZWFGa0HJjY8/mKWXTWULEaVrUs3evH+TQ i3Iw1DwR2fbB1lOFRkQuFKMq5ySv6g/DFeH8N9DsmFeIYoxm7xttO6ArIb3qA39dhB8qxJ+Ls/L5 CEDw219BM3Xki/zKoRklSrEZwqw2TQAHF9t2SZ4mKEVBEt6nKWRZUh2vprV9cCoK9u/qdMdpFCNl rWFAzrxTRGDki0WV2FIO/SiXlKgANOPQfDnR4prLaWw+HzR+5y/NEtAMNmt9niLdbsksTDqRSW4p 1adkx9mjMEtyuaUcGFKiZpfF1O0+eHEcutTgxZFFSCthwgjhcTkYvNb+wJr7tBeOzid5LPXsg4CE 56LJQ1W7Vfh8JCXs55P3oipSEp+nkGzZGTORYyv6F1R9RP+qyRC86GpmE1zKoSellBaW+rpLYZw6 5GC5INxHpDkrfIWISMHdDeolfMnLLdypIBWaUqLIbJUoG0S3SOcWITjpnH6dzwfn+LUT4NtBcD+v 5xgPtU3U/e3z0uSoSyrFpbY1ZVhkyIo0T5FPjrXIQWO34YJSAJVGeo47DyYwNIDfhF5mgmKGGy6V VP2Fo/VEevwJnHxQ+HSiAjJrYRBY+Xywnv6UW9gIydOz78JwfAgGtpxw0d2PkmGBM5zgDu/zFNbK N72ERRXgcItR50cRu4wwM50TBvDdc403erFCkGJQoVrgnd7sjQPJfOB19u4iXbgsH5yE9xTabbXC 56PM5R7kjAEw4T91g9CgslLmtMtAmGU7FYAnKejLZ6ogJbeZirEp8jov0wdbUXCmxaIBYh5sA/Tz ULajfmhCwFbQ97lEp2lvHLWnsoxglw9aUuuEtl1HVNp8PqobzN+Z4umYqaRhcxUaVdImte6VvcS5 p1PC4Zt3caoIm5UPh06VS9hL2E7Z4BDMsxA2an+EhA2UmzO1vE6wCcsqWMM+Tapm7xu3YOC4Q0/v nZrJTQHtIv+TfnY6n480Bn+rdgDywvLiTlPonaP2AtE8/2MBL41tOlUFXeXMaZrJ2U459KocJKik JmZYq0+78xwsM6NJAtHztiCmKhxPhcx/ARPjKp6xl5dDq0rF5L2I01u8Yn5C2zm6OAN98vkgdblq lUWShNBhmM88RSiVxdwF+pJWXqmLN93mclpeslugjdk8hTF55223R/bB8U4DJflE3POCjx7lrDuQ JfD0RIFZ8kJDyXCyN45KduTyIoGdBMB8p4BOJ4lM3DYn7N78AUG4WX6dBqhBipKOz1QUle8hdeFi dWC4cCTrLVCmVDpMkx0AzVSIEOc5Ds62Dxf1dL76c44TQIdWI1HSJ0uiWzXQPUXgZ7v3tr1xADMo pHVvuqCuTSI2HRmg68H05fxEPh+UDfqV9+SRBr+5djmrOXSt3J2g1T239z8J02Ybffdu1J4JxK8F 5oFtJcQzCVmpa/lgAWdD0lsS7c9g7HVCKsypdHSRG+fM01wFcyrp59i3MhNGv2USh+ZWl3IFGoaS Nm1r8gdE9J5fdQ9ECB2Nap+oqF5OidkmvTJBMlr2RnGG5K2jVroXWELvSsFWEiwcbPAllWm/vTlR a5gTCgxku8z0NvNhITKzv2+UD4uaMbIPymtTGpqmdq5wPh8xxX4TvUndqsvMyKF5JdVszjQ5Vay1 nh41neJwQ4qq2jSFooPdpOKzD143mGh2DirFFEj3o6YClTRkyAB7V6SvJsq0pr1vAMxoNEKvZB1w UF3znFxSr4QQBJ//V30lG6njCxm+O09RqZyF6lr7dl1dGJU6fOVaM55zt3Wfp9gwnhsu0V/HLKW1 787mqjlLUWeAbrJV/4VkJxSsKLFr3ZdsExUiWOr4OcpxbPBIWWh5ETPYTzrE5yMk9K/sIHCQ/XHW yaGFZWc/fS43ssIfkyXE5++yJ8SYBCXEgYclppz83i2C5r4ETYQFBacR5VDPjIE0DCgUUEiE1q/h soMmFpdDD0vDG0IfxwbjHrYkAZaTZGQ+H5xO61cNBXI/Xzry+TxFYXlSvY6QEJ3jqrXgeGrb7OQw ebn6PMXG8QwKVD1Z8wk1z/qBvwHN9VCiwN1NoyYYU6EWXECWR4mRmLFiLxwB6wkZbyxsLuqZ6Rg/ Z7vqdWd38PlIXPcXdgB88ZxX7iOHVpYy1y1zXyeLktynsefhkPHdqvcTYi9LQusKarI22L6DkfVa nbjaltnDkfjgwl8jxd+PIhox4zk0s1R9BY07H3SMn+0qZL3K5IGbJUJyX0+b2+4c5f2BsYR2lsCM IctzicZKy1KTj29W7CSoyet1gZ+lolZCWO/gx3hOgOJWUyDYJ4RhWIAOLstTJyWrD3e82wtH7DHu O0B7bbA6FMwtVIKbm89HWNYrQsB/XL7UevB5ioW/KdTQZ3J0fetGHwdwrTlR46kbhJ6WtI8BC7P7 4B3Pc1ad75tqFgs4cjBCqbiEugHuOdryWcezqz8V2lqixo72eaEaIZTjWTyqDUgiwtWBAeUPiGbq V4oA6EiKqvhMhZxN1g2Wak5N+Z7xo3dSoEkeAmQobKbikLy9VuxO7jJqPkdgIYYMrJlNYFQiNAPn b0aL1yWb1EYPzC3BkabUDu5+SO0gQRNhA29OTspYI/MHRHvvd6aAniMn3GcqDsmpZclKvsgIY7hq Qx+20sAbmN5FD/wtzQOMjW0fbE0tsO1BH0M6DAlHthRA/kHMtYiLepD2K9sLR7VNqn9CcQ4DCjEi IZw1m+XscQ5Z/oB/heQ0BQQ+u5fnkIpC8owYspY6HcJSeMUyH87pYu0KvKhtov4qkwIJrubDAzeo 5993llf2CXuohAUSNbF2nXCDfBlAqdsbB5uvMh+eKkVNL0VVqk8r2kR1MzC6/DXeE8cVCLSnChw6 XQ7JEpfmHKDer+we7b8klXJiZcePBVaXYspsXNjZB69DpfN4gvIaJa4LpWlhdUkgB7F+Yzz8lm4v HGw9SC6dk3uaO1EyG8fzDTdaBsArZvIHBNlL/hUDgUUrVBLvRMVS4Aid0GCzrZdmnlbcRLXga5ag dU2fqDgq5+9d2SLn4JBpQP7KJn4Monx9bwo4A1Z4zjIwE1PbtxZFy/QcGl6Cony+B1QpbFBfAfrN 0ivIq/P5SFju996DMvIsT3s4dLycrYhu51zXk/w70C6XUg0RXEfz/ktseanmOMCQNlymC3qdq/He Q/icULQ6pzw9igdwYrgJHRSlennoedlJ5DxXcvZBoKizc5ekCk7QxueDeLNd9BhWz0nN4Q86bhE4 tL1ElgOYAWlRhfQgQEqonD5wdNKrcOxUHJMY+l52OewWyvBz0FSdrX1ma9Mc5ATpQJ8DEwTDWZJH B8uC3koXQ4MfE4Xmmwc5MZwcdO8NhbCone/J5yP91IfO+aVs39mB49Y3Y+9LiszKq1o5cSpeizqL 23I9Q1oy6AzMLyU1cpaPPGc5WC8dyjyQjWTtYJy7nPDqc5xj7pi4sw9D2sug10wOvC/FDabttblf CzyGql9rxPIKchCYX0ru+Ol9IlpoP5FUaH4JsBpYlqncVM+0i8uUeyBT4vMPvEccul9KjJcdKBss JT67pcoPhJpFNVW2/dDVRV0RcHqEPUr1hgKpwP1SOxydl81UBjgGwXNWBlObVWDoOufQ/1KdCD/N sX1oEecTFQXngF+cv83G4mCT+GIzeLASmzHZy9NExbTOd4fnE36el8tA/SOJOVlZtokCXBL6k7i8 HDqWir1vBEYkxg53JAZcAvzVTmLaBGofZ/b4A/4lOCsFvzPTqJPdiYpic7wfRFTqrW2uyxGG4JyD EZurhOfYBFOUvK2FtR/wGDT5T2wv6cKOOm8iwhP0pw7EaadQ6JSWWrH3DcIogTMKdYc4KCmubTGo hyl25fP/iXEG8cR1xYtz4IKZmWOeTHs1d+ahCre6CnWZCj20DVy5MMc2mExhzpl/Bw/MB5qoiNTJ N8fuQ7IHt1AY45wdDTK7q/NUf+EoMC8skjOD4SBK1bKCmhwMc2iE+ViF2KRAivuRL8ihF2Yl3KdM F56rRSphFOjpxtM7kfly6ZkcmGE663zxQtPghAXUssAfZlqM5iAWVAeXEXUzIOoGDAccx7Ltjf9G O5+VqQwwxt8lG6NZmoAs8L3lDwgKwcnrUVUZDwCJ1+ghB56Y4hkAXbeKcTsyg2MhWagiy+YnnK19 qkKu55JZSBs+OJIFjuH0L0Krqg/SN4CinkR3d5TNH2cVWaznwBczm6HhzBpwZ8rL6CTFnXWXnlFA CI0xy/wtSeWO+hBghD5ToZqhSnc04jS7h2TuoWcpNNt+c7d5ZyrCslQzfKQ4JgeHuJ4gCm0kKwVP oufPcQ5+/KDGCa3oNE+iCwXmmCrJImwhlIWD7b6ylnbOBlsocMdEa+EXMp0BWF6PVP/nJYpq/y/h EmC8mVxfFWrNRhY6MWgz/fBzHhfLYV5iqPODAIyS/Kwksla/gfk5MDe8MoiXHp1i86iXF/p+nOMJ h8vlVCGGeomg+K7o6KPtsosPBr4c8A/nAbXw9P9vgviCeyobbqo3nHv4OzcxwIxHovkZJAYRgvU/ MdGHd9GpsMaqwQQszk7xYH6kX5AYjWvwVDgDwoJTAwI04OLBV2SgF/aFePaXxvdm97B0ML1OELIO nkebYB8OWkNQxWxiny88/TZBAHtumyAmJ0B3yCoA8/MSNfEhdlIAKHHQylyXbNb7dlcVfPGan5eY SeunCx/d7mCbDIwgemJRwiDN5BTHBWIqlGpr8thyEkb+EjHxXYsMQ/4viHxAhKlK8mm3z0u4pMk1 uEoTsAeo7KY4APPzEixp/VArBImplcZBO1KwBCVjky/oU9q8CT/obX7OyU8GBopkPtj8gEMP7wLO T4PqWapK+FiVpmPDnR9giz4vgRI/AofO+ccQOcOAlJm/Fojai7UCuP99XuIkO0qKTxCfYpHI189L lMSHiJw/GWl17aJF1QExqtlgFaIgObPlJUbS10M6505z+WDZ7oJe2olWG7JdqIQsrJmeBeIb7EGB iKINRhGlz0uIpBOIdI0l2PhqDqNrsDJkYZxt8pcASU/P/TNBjSc9gTyYoJfgSLtysmCy+62EJ6cg rMJ6qeyv6ExPk7BggszaCarWNniJ6VwWi0oO4HKeJBqdzN2lo5qAJym40LylKa/QYIIWq7otUye8 ZdcJL+f8GU11gVU+L1GRbZZqE6S5nAhi/YR+CYl0rssDu1fXCUVDxy564pwMQ9CGm81EE6RWr9QI OXjriQ7bVZL8UIVNdO5DdPFFzxxRye1kMor9vERD2mJ0nD1JPwUeWGmVtO7u+opxX/fPSzCkE+ze YUzZ4CUNTWWboZdQSGc0qmngw3tBl2x581HJ3ZRUe4POjAR5wzM6efXDhgc7AOcPCjts5FXoLKPu 3YkDQ0W9gZRq0TU55i+BkK1TYsRrGT6oiDTONcbQC7JRn5cwyF4x2wwxMgQqZNTqM/RSoNQmq0NV t4sbkOIlGpidNolsYE7yN6igFpzSiWINa0kjda3H1gFuvGmJiIjMnxoBZ9EiSS64xlBdcqQcEZuf l+KkZojFyQHFGw6NFNWKSCtJrSBDITSYoW6YgaYGFRbHSsl32UtdUgtXSrP93mM8JXQMrdmSIway M8ZeqpIWBzE12+pf7rvL+lmVMLlYSDvoeEYLaJ7foNShcUlAkyHk6On0UpO0UGaR17NE71mi91RU t4kCETT1pSJpF5nPkLxLMznbdfld9kar0x2dskDz3c/qZiYYAJxllweZa3hlJIqmkeBRgecOflif g/pkaFWIywbGEvLYWZDHZsBPSqs/fXCRpKOFJDde9Dps4FY730JtrFuf1wefNdprxvy1iIhede3u tTdSnfYIjfjAjLDjKHuSVqBU7HKFJwP1wm0YU88q0uEdvM6Wzu0K8UvaXrZF3cbdF5R+zv2JJYQw 3lq7NGb8vJHqbOkTnVNNkTe5jAp8kUWqO//l541TZ2vRVxP+LS5I4Nl8kt7jagiSTW6ylHy/jXxP JCkWELW27yRFgbVO61IEtHwYBiN1sFYAkDqB44BXCKH1DVIOKJR9NxVUFBYNQXeDKerCgE9ZO8zu MnwQPDCT2c3Hg8BoeGDEchwk/fONrN/IdHZog6JSKVogcM6eNkWrU1cA6wjWBctRJ8Gp3amhfo6U 5oPjmxGk7024/EIQiaPhzAUiDDjznAA5pXutSbzhPbpGgMpdtqUzv11nHgZky/zUgUQNo+vpFxuP zM6KEYXmVU4P1hG6OTiFuiuE17EeBNO1mdmE8KhYG0wSxWMhkZp9sCbJGOdCIuMSgN2Nu5PGxgsQ Bi4m4NesSTJVtfnLgXQW0CqSUQXwSQDLUjjd4A8m1NWCSRK/jTk+FVQB0Rql3qUUBdkoGwIb01xn tjN5lqKjcn8cSSfJtxjyjUenK4CiVxU1DRscNngiX7g7UY5/VQiDEL8ElsqJAxHkr+uiNuhY9Eaj sxO0kY857sBZOsfoKvLAHqV83lh0fLyl3zwkE7LSKVfISXoPtOVtA++p7mXaLktQCvKv4YL8qV9M ThRpS66wIvezwXPZk+53WJAQM7jQlZrEfsPGkvVxnKbmxJu3ve77JIHZAqOLZ9BSSufMWyYNuj9v FDpLhu9S2spbkE3flRTF2iRKteaVM2RubqICPld2TMC+DN8o2AbwDo4OxMmreG8hwLn3z1qh7iVK 3EWcRIZIKDt/8+wPsX52ve77JA1ZOmyK8cFJQ4ESnF/qElSy8/EglrwZLTGY0CvM+ZbU3qNt3EjU T+1mKUecvDvxboq3y2guwbKLpdko3B7AtqH9eAdbSAtEM2ofo390QrclEjQKREBkfSEvd/tHXW/7 PkXSstizFR9UNYIJrMT4OjR3onB77f6bsmWkRD2XGwBEATefgF2xcwwpk69JGsNqtNABLCY1/0ac s4WEbdbJDtBgBzdwtAvlI1aOTizQmsitk64Fzqj3Ov/U68azdLavjqR+jyQQHCRDUQmYiEJu4Wb9 SOq4S+pTe4zibbaWa22WwsLUyVN/5A/LEluiUVV8DONtFkcoiGSDLaQCN94GFhEiSewnnkgUXZHJ b0/Jy9ebncg3wpzWfZFT8cw+CFQC3Us2bFst+fPGl9M77ntskwKM8wFxrU1SFG5zait7AVZhm8vV iuELa3fbmsVOpDe6nC1JAQPLHSxMSqiKZ4QERFIisgT3uS1yVUFegCjBPZJYhHzjy1mVXxyUpgH/ zgAlRfciDDDm540vp0WR80+8DcQTi6s2S1Ede7L7D9uir9vxNYdR1mRxAZrayS63N7Kc1QhZYOuS beqPbFMDDKFUyjBsQKA6+HTn8OBOh2hvrYCTGGNHa+k94k6usDMp8diBsi0GC0koWH2px78+b1Q5 m2Uv1vKUhrDrmHcthSH3FMxtOtg08QhUrW1m7xbBf16H0htRzoIJOvIiprDh0itAw4foJQoluNRg kQFqyySMCqClq8dvgihvPDmtfeZsFbVBG9QxGuec4HydwKJ+3mhydqi1n1MJNhGr3ZP7jSSnE79R +lr6hAwm85UHz7OZCNGQohk3XFTTZt9/wt7KB6d+wal2TtZKoKizVXDKTA8Azs3j4fHK3OmNIner 0sj+ld4OT29bPyEFvVtaq+vzRpDTFby8CCABByrU5eyTFJa1m2SsZvb8tmebJDtaOEn6ZThJUV27 U6OQRoI2ePLW4cqLmB4wt5N5QNz3RK8QEMmVhFvA3+/ZvfS+QaBEDzWkTD7oUOrnNyBA9OT06/PG j7sRu+ZE5CdI8g6vlLzR4+xQ2s5vtqM7Xbs52LrZoYTf2g6l94gbCTrbajBFtcGLAKhJLnBooHm5 UfdBDJDIEKKH67kMXXA2Q1+Ln/J+KIH5dGZnUJWBAydpwopvmFXD/ryx4/Tr5uHbjZEc8PgD+Aab pjDmJovwN8et29XR2tjVc1x043Uqvcfc51uezEgqe7UcvAm50dbvWy2AgtOZ3o7omy+AsXN52CcU C/u8ceNslihzWdlEOrfu+f8IVwYZQ1Yq5zzfnzdunNWY588FBzuzttJdS2GJG9HDOTXT5egQCaUU dxux8KS44PZqw70H3ej048xuEiBq42pdngmDhzKUGc4knexq0pIW0sVVhNSzV24TYBCq/MaMu3kU YJLCbvXpQkskTPES35nvGFVLxu8cwVkSDX+boyjmBp4H/aPmkNLRurvyTcrjq+iWhtEs31hx2m/M cAlLsMFj7jMdAKVTTgfrtJtJJjCBaHLPB9i2iLx948Td3TbPhchiAAcVlOBfJ4XLswc/b5Q4RaO3 6Db4ahvfkV9ub4w4RVed135Zbu44ZnETlcHaqNqRxTHvb4Q4u9wwN33RAZuDTVEmHbzQb/6c1q1s MXjQSocr4xfQLdf5Avnv88aHU7+M7qAED9qg3G2QPo2PO+f+540Od1/x6UfigoLztE9RCBmZPLUZ qxppsNrVtqowgOQuwdVIUxTWt2nqSC8LGzRFZ9XssxEAB0cRA7q7nEz4ngBCigMUF3YXIScXvW40 R0RmVTIsp18NoFmdK1OeRZOPR4f27frzOWJbt2+1NyqcVWcIbm/Vpat2aS7xNVl64FYDhVZb7Y0J Z8cR89rOU4mDraOSUAdAKwhO6uhhd2kSIWfCDYP2qR/Zbehtg8yNBuqgcvig7BbfCSlLCLM+byS4 Cxt4gm1QN1q7t/8bB05nCJHbPWUj6UJgXnFRgTqgCfKjqWGA5DcKnK1jBJB9UA6Gg59GqSJz650A Nog0SuIDneBRyJyYnrflSfmONwKcZSSEjcAsCwNOegkLnb1d2AiF+8znjf+mOU7158iu59w655fX kt7ob/bNFCnlOGq7ekpbztdER3UCPNqyI/uN/aYviQcRkUw22GYDxXRQjBgCArlL1GpS1KjQIOyC IUEd4stG5W32tM8F4IOOo3PZqc+N6t7njfim6GTfdIRF3kIQyfIpeg+1hayCmfN2cUbzvxSwfdk6 Or+Piyy88d6sT4Mpao3kXA62jiDKB+4sbWYymCRIWQYsxEHOxYeup/3PfOSN9aZlz6btRInMBmsB yBUBHObOd4yW0e/Nz23Wmie2b5w3fWgRyqbcxHYmT/9zaZfKVdxI9Y3yZlUagkUpdqrB0pF1omrg a+R+2Tottc9/BzL6CfHPi54Nd1WFhEB+o7w1h20SQFJ8MH4SyqY8kBa+yPdIG6HDH0gkgFIA6bVJ iuLsOo1m07zclh4cSTGyBExUnEH5Rnezchvp3RTXnUVVnUkN8ALBoLSpDne27ZRobEaSusB+L4Bw W/Iv6PEb2c0q+OMPIonTAk9chfQPN/q5gj9vZDcLQcdv9n822gSgwCYpirOboCQkhTJAOsGfsSPm +QWqx5DZ4UhvVLffVlLh4V2dt0DEH3R1RxaiNucKyC5Q/6AsZ6oKop7pjg4IdD5vTLefMymhp4KB ltqcJfQDsmwKMp8P9tutSuIx5C/gOfssRZE2mWzn8Mq+3wznzzZJWt3220BJX7MUVbdnFlxrZB8s s52Qs85WSDqz0kBko7Ejs7VM0PG4vk5UDHgjud1K88lYZObAQYcS5AKE4Do/4/PGcbOXrN/f8nY/ Kd/tk7wx3CwAYHOE5TxijzN1sf8nMlRzgXSKblF0/43gZgEAoes4fGywQwlKKAB1NmrnnRuNrfBz hg3CSRpjjelLabDD/cZwaw4gRvdWYIDlgnDnPCAFFhF0nZ83gtv/6bipUVIATLoRwBvB7QmuTpjU mjMmE2mSYHSw7EzG5JkBcPmxlN74bbYVCJHI8w4+S6WfrQF8FvjvOJsBYe9oA6ChQy2T4dCkvKhJ 9cZvu3ESTCM1WdlbbmeGzutiXfVzQX/e2G1Wolg/s4TbYqCWapMUhtuEaY+cnfxOV2rRStHhMDE4 8uC1lKLydqMSHhD9PtgkzQVfgsHsf6N/CPjIPulbls8LGqkMuC1x63rf4OwmwQZiAj7wfoOJaeVl d0I+vmRUbrv3G94PGNaebsT9xmyzBk3ihmu61WAy09y5aAhcsiiz30yB8Y3Y1h78Fj2IbbhYUkqB ZdnNrnlCqkxVnCGxSijmdccBip/5eWO26RRtvNpQSrFBoXNDxCpht1I/b8Q2CyL+aLqhLbWXI0re eG0/4WS5brOt8ARUBUCa6ITdoPMhxHZY3h7KR6gi0T2IQTh5EsNC2n+b57pGBE4rNbTaRmN5mw4z 46mSvLHalN6q2oa81AYD/aPoqaZba583Upt1EH7niA5R6YaTb4y2J3RA3X84xI0WVLzeZhruEMpk idfbG6Htp0/SuN00WBCAWjcErYf0cPA1LHqpkZ84tegt4oYcMD8jmCIhbidhgBw0RYvyKF/yIsbn jctmKZhfboKenMMIDTObohC2za5X0+XGP15+1qCYKJfRyUmagZLfiGwWTGJuBn3SNVjidmJHbIXE 7BbaaLVInRHlCVQRF5uSire1iqLKtsBbBGzboFoblAUJoyU38o3EZseZH9psQCKqGQBw2BxFAXdW ACDutlDu6TJtxXansSPdFLjV4oCbhUj6OWlw8Nb5BRrE8LDVBvTiB/X3Qd6Ebzut8bzZhsPw88Zg s8wLx1AbBNxwsOy2JYnethNjfN4IbM2h8c8ywoSP3D3cbmG4vUnVrjYZgNyaWBcU9V3xDX7l5jzQ Qug2+7bAUPngtf9zk530rFF5qrLbKgjzuX6kSgljFHlfoqTEzwiWkdzTV7mDgFsTApFiFZWGx4Mw 8o9+JJrF8Cv3KQpj7UzRTmX6zP+zORcXqHe4FiXPW62iqKqdKM4FV0IfbIpQVFvopco75sTdkrUG PvZceARw39PIDuwo1F70HEDLyAcjseEGFg25DDwetP/rzdoI0sI9dY5ZL2z3MNjOYmdNs3as9OUy icU8rZAEZwYrSPb3YFuao2eTSWiRg1fbNmRZiymbnvOoZfM0hpAESm7jCpTIcaCHVEi68JYzKz5o Ie0FVWFO0ubjAY9kX+Q2FW/gNYCKnc1RFGpTqgOcO6dJ7GpNSNjG5O3FNoBiudl6BCUZTEhAwffB K9vgefTGznbd6JJttuQgG96gjEfzDC8AUHKjR5XtKnk3ZbhCeXOS2hhZyiT15CM9irTTg0lKTIPx xVwSQA9D7SrGqNEjcCJxIRFJQjNUNW2LM/56VNnWxVZobanBU9tz329Ql2UAtvaagreDsUWuakHU 7QUAMbaiUFtIEohickDbUWhkgnzp63xWK54PeJF/tNryZPnuhkg9JEZWXmjV0n4kJ8PVzuVsRxBg xVKgUVqPYu1Jzwro8fvg09QqyGyLlgzoTa5GKTyg1aEZPyG9fT2tNpPbHsXaskIpjb6zpQ2lbZBC FqbjC5vIT4+q2+PZcV/KybthDCcpYkdS0BoVt24gwNqyISVPTuGxNs4RAwH2GErCeiTUgm24kNsC WUBC3NEj7YJ3FehMLdj+5kE9U8XavN56VN9e5JMUNSaBm5IkyUnbVmZSBaTz/PT3YFvw74eBPChi cfttPQy2iecow5SD0ZLM63utQYcF2xmocq2kkCLJfluTN1r78UbbadMNrzMESBB5w/3Gq+2c6jRx fcqSFH3t79G29NwH1M8mQRIdsSgLblDgpuA5shQ8H+23/sdKsmaWTVJY4N4suPFhVklGw0+gar6R a1lwQ8uEBYAehdtD2ho0/NLgVZLKhKQAoZVx2xVquhXwOXLZwMZAAe9Sk6beN9hutORdvBX3KmLJ 8Vja+AI4TWd74wdEZFs/vYkdQO8XjXufp/eQ2zXd0mQs1J3vjykrsKgBzgakGOiOfit3XIQlEbQt mXz3I4iAtuqEFhohpeVEYKBg7g4SBRoBhX4V3ggolGPAp/ztjkvibHMQegtBxRLzJk88HpQAbmKy 9XJgcN2zOwJwC5vcpDeCxZ+obPo/aQ0krasKj6VpOy7CklD3bsIXnANhP5WquOcu6ihIEeQ+0fOh kiJpzgmK97X+9N1ANsenRNGSJG1MBd5UYSAPuIQXAykcj0dL6Y8WNzScvmD/+jTFRW6xJFhOYi+X yCAaXCbBA+ArQEEM7bmoyK0ed9r7Dk50y6A06vSGSGeT6xB85L4w2hnfKgMGSW+RVtojQEmnGjUt oW1QUyllRTNIEiYe/9daYpXiPNZBmbj87SjynotZriSRGDCVR08qL2e4j1Qs8h5RmXvydoPslQ+e 5a4zMxmh5Dm/907AgrESUPDCKPuidC9aiTS7RxR5566gUpzJWyxZiJYI8znnW8bjQeQ9fJKYylAo v/upNKLAG9VTQEq6G1iVmuyKW1XtSToVQwpeHPewxs3AuzTJSLQfGQnGNmpPLmjd7U1WCXA4C/OU sTxcmwzpNj4kqt7KvqoRWYK0kLdE2SdkKRQ5h+Ueno8a3b8Q7gFlXchf2CSFEG6aka3pUiStsOvA OCBTQ4dSJDs5G2BENe7M44giPBxYkCAUABdeyRRXrj0VCCchnsISZnYC0Q33EoANAz4jAJRSbCPt LM8TFqzZ5s6QMkc8ef6Dx//ZLOEXCEX05+QeUdRNCdraWBBU1W1sg2+xrqVaQIdRru21KOpeLEx2 iSL1RNnHQf/BsxOwJrnXJnG4VWT4pSx3XflpHsUDnxH1Jgm7AUDeBu21BKaFardj4/H/crmB6tQf RMmIYm6I3cJZ0OFbUBL1Bu6sjiaF5J4BJUcUc09W21qmXmLLj14ifPIWViprtwMFE0q2ANg26IkB NXGj3lK4HR/yt/MIavsYQJkUpYjddU7SyCXj+WghefrGvr/i0dsFGFHQPWTevAz8h6JScmMvGY9S XqtA6EybLQq6JfxTGvWjSksX5L4gaAD9K7RKMqVwKZaIoUOTc6W7kDblAEaI3ia4bQz23Maw/idW VK6Kvk8ggcf/dR5RdQMZLuQsbIpC9DbNPlMyvZ8zWyoEkOaWlvElJ5hwSt5GhN6uSQkJoUltXmgS qvXl/BZF2j8AIkt/ohPrCupLKQ92u+pt444bmFvFhvKVUc6qlfa3ym9HGG7/ySchgOMSuEZU4EYB DEntcPWxKuztlIZrM0RJxxxqFUUFbvkPN9lYNirsn3/J1G3BK17QpEqmu1ntnZOgncgOMEyfIkQi +IwoPiqcG4ZJtH8QoXgteLtzq5XU8fx/6rdB3H1d+NaIgm16Vde5s6lMQ93P6knnUFneS4KKts1R FGwP4rZOALx8sJ12gglIkRJxu/Dj4alLctE5llA7BfTwbjUGkSOMtQfFSRa73INa7Yy3zxvSGu4L Is1c+AFBEeAp4PL1zhKZ5eJuRhhsG1eCBUl5VxL9t6hKuqqFSB09RO22sMqdFRtKtLw/ouXnzt17 G6Lk3HxQOIJQEuguG/ksJSjcL2Db60atW/K3FkWgoJ5jNGNoJg86f0NYHs//q1TCXBi9X8JSNEsz rHI3ItxrlxIpwm5Q2QkpqSVvmyUQZpS5zVjvj/d/Y2OSg8XaiORP5ESaGzDKVMmDdOvZXABxG8J9 PNTbGQJKmNVSdMYGHdvn7gQCg4TJiseDOWrt5/5fpCh1v/9nFGuTBCCZNswLKIFlGZ5kU46YNbfd HXUzwyJ3lmAkZ4qD3/8JLHfEEk2tk0Kz+ZxZ326LYN/94G6X3vdvrYDcibvloOS2o6RK0k3tBY// l0MJVboBoU+bpCjWhkYh2m5p26G0Ur/d27685kYZfUlKxXRJ8tsFdVfbQ6ltxh4bhcbDC47TwOxB 2RZ3BnsmBWB1B91QMWHGRW6Wk5b8zRwlVk9sV2sSfWtVPP5PRSAidBCXzhttzyjaRgKByqBBI+GQ bhKtENfcRnKbJKdrlsIaN22D6lAVd6xLl0Tv8FxxSWQJVPEpkD9wSQ2ymssluuNM5mf8FU1y/soH lZIqlEJIBDznMB6P9DXHTwRADOcEntbmKAq36Zd6dttwE4VJnyFRSke+Ki7ToRIzVACsqiXt4YOt JMg+wSuRKsm1AAuOOaLgEVkVGTw3V0mmE9UM2ZJJBUl2OTgICAjOihglpVc8HnQnx40kZdNYviwl 2iTFeBJSJFPzUHKQxi8taXbPuN06Vfpxuc0IT9LFACSATcMlb9XzIpmOHIMegEnS5ANR5Fkai4Yc ipNoTzmjaLt21ZHmHRQAlDVn0UIaA48HoeS+dSQWbssvWHJGeBI6Lpw4pXgoqY46T6RVumsB7NQN uzWjAjflNM585O6DrSP4suWTPLDLzWSL9iYDZeE+FXlfkDveA58RHdr4zWjyzkG80IJ8yg08B1/x n9k/5wOdpAdzM0OiZGLTlg1Bo2+3fvfatnbSuZSrn9qhEiCv/dr29MGWUZ/QC4diLQyGC0qTnCN0 nAZ8TcCh/EOdbEal7bKku7WWD9pq5z4uDAUADsDjEXLrV44UeWXfxaPtGeFJTAegmfckAqRLuS29 uw5AK9WPozja1hQJvt0ufPvMRYfRDSXcBtCikGY4xxE+aCFoLShV3Mp20usGuGTSks+inm7FLLks SFRCnPYccDVXPB8Bk9rPoV0JS7xtyRnyJGkc22p+yNvNK7bZzWFx+29Db89Qm4REgAFpCxtuxRbi gIuE27aRRi9VkRjFLVbTn0laRa8bbbbO+hFLbSZHQghglxs4WIAdj/8LdcMcDa2O0YavpBWF2hmw Gyg6uOrmzObhXUCtcoBbR5THSVoRoGRUGW6InNzmTf/XOe4SMxRcbAlxfSFOctDqaUBExVU3pAKw wrJ2YlIL+SgbDLy1EjEeqC5WPB5pk/xxrw16LVw26Qrr2pPsLUmO9z9E2mcuJjQFcxKva68w1h5F 5aM7OOAWXAlCehFrpzMj6JAAEbQA6UAp50EmASKFz4hKJGTamtMbfc9Z8E/5xPLSst+14Plos/mJ xJoTrM9GvW2kFUpsN6azrmhHlRI/tasEJilNAqVgTVIIKBHlloE0+thczlxI53iGGgzrSMABwiwM NQI0c5EQIba5AdL6NnxGVGrjiZQpBcDBIG5UP+FCWnw8irSvzB1jhgrK7ZVvDamSOrVTcrH/5DJS 50ASZALVSBro8vJfIXDbqP/Sb6tXv+2cORuVtkEIQANnklr/g3cKIABQIfLLnwi3FZa1DW876ASE upxkMpA5pa4EAwKuUaT9HEiMtMsgOMkjpBVF2tNsztMyxE2j4SzakTgybJI6TEhtkkKqZJWHsGu4 PDkbWo9r0g/+TAKUf6kmIfgyIn2ZnBvgZup1g2qb8tq9JZs4TAsQ6qS7CnFzYno8/1/oJASn9mcl RZF2woUIhowjbkq+ZaSTOGxHbqdiZaQV6pLImnPSZkOaSyojTUidLKZsixQDYEfo4AOcBHY7FMvd /I6KH/iUCHIjGklPPhhRoveRLR/hS0awpF9iEl6S7qQ2SSF2WyfSau5CQutMpSNL4i2oImFp2CSF sXYlaCvvO1zsFnpiOIbAJjlnLXh/Z3/gMDiRHdA1g9itoWJb1/sGkyQUSeLRRF9rodonOuQU3T6f gMf/JbxVdN6fpbTbDQCiaBsZKGRJktfaSskX4YbKMzk3KJYZeGuF6G3JAI90B99v52YENZD7bTVg /wAloR8sSqEbkhvrQklwJuJTIuGtriByaEhyajmxgHECBqptK6xu7/FzctP9pBZPSVaI32baNrLr JSJqTNZtq2qdUHkTxpBS3g6hJJJMznX54JOUEYmieshJWpt2bx3/HSYpDde375ykZe8bILiVjRS2 27Iz6eB9jDCD19vgS0bt/1/tFmJZV7uY0hUWtxfLtsXtcJFW2Syd4GhbkQRpslG4Vyy/LStONvc5 eLctozyVGQJgsjaFgDoEA1GTBOp513ojJUomryjiVjOJSro2qCa5VlULoOZZ8Xi0lMrPLFHo7gm4 dxRwU9y5wiPMUzdJTJOY5GocECdLlrrtkC7JElKeafngFQBkhgUr9CylScV7giWhOQDK0hfnmbu7 sra9o4C7GcBNZ5JUyaklaY7ZUAhbeDwi3fzpRoJC0rr1//0ecEP0hQeRsNpMSrhqOUdrFK8AIPUy GffYz4Zdksye7JbtrhSlWkcVhoWkTcVECbhBfeMseJRogcPz641eADuEcFcSbpPKt8l4K+hXbeqq Qv+k4vHgevuDvYXjl1psNkkhgpvm03ttk5VstEQxqaR5/chOPGjaZDsMuCUGQH62Br/eELBNLEpa SqiqtE9wBD4nMlseLdf/duh1o3KbtAAUTrKQ+pUOUOrytyt94/F/cSXJ9co0on92W8iVnKTdKkmj Npn4EjiS2jA5DiBJHCaxQySJ2iS4023wNsk5LU5yRaFbsNErtZIGuuffBTWSDJimt5J4ue0o5jbt zXPE+eCE0m5Qko2FFEFJWk6/5/YG4uYqJu8Qvl2FSGIuwtLbtE53oQKkMW9yKXZs75gqydx/UsGF gyOTy94nmFAxCT1PqUqg4AS0y5k6CAFZvQ3R4Wf/XZ2EdooQAsS/4629zjIVUAw9GTwfKQHun7QE pKixbglgh0KAYz6SJFVWN2bjukqqzeaoU6KbcxQDSSRwSynX7pwY1iRJsKrsSNaz2TZdAtlHH/BQ lViidACwnvEZkQEQHSW6PMy79ZEQqrSxpAOAUzs0uCm+jIhJzmza3vMoFN6mVmJqfd+LbfsUgflu U1QlBIQpCmUAqdlWBsttHGwZnRhkp7FE4AJgotFkaxRiE4GnJEqiKW+zt/0bmxSqvD4ItIX6FEFX cFPD4//U3ZaIGSqcaMnbJIXiJJn4kVadm1QhVmr8LQrs8zxCyU/cpB1CSThJXSBADlaTTPBnw+WG muTiPS3pSUhMQisDeZGJbhLthc+I+KSb0u3rDlpH57iUlS+UnfF4kJFcFCnvNUhTl9si2VGsPSgB iNq1TRENBETf6ovlfyKqi9O3dgzbJqQtiSv5mG0BqAFZ7EVJ6bOc6GJHNMiZIrTaoIrqQJI99bZR lUR97bF90BS1k5swD2vn9MbjUWZ7p4jM7Yb/3TdAiiJt6dtlCQFRVtI7SmcFj+mU29Xcj2xHMJLJ S78piGw/QeSGXvIaMrTj7bA4R9TfBYpuFxfcLJT+xGf8NYiEFbYNirNpIMndc8IAPB7p292qLY93 0CPaRUgIt/P6sXQlzKQR/8/YbkKzsyLZBWwHHLkKRSIW/XsYmVTWv4Nm6ZzYiPEAK4NtG1pt6Fz2 SmZGhvlwJdh2ys99bXvjgFQqPYkiPQlmYVkZSadkPurlefIHRFhST9xY3yZX+BZKcgq1AOl1QxsD IEhwWlPQFtU+4Ildd2MWl7pPccDNkmyjKhAHy9xO8nFCqEpQ8vmktBtNgCdtjwjjh9rUZQMy4KYi egh1gHmk3Om2MTMrRSwZ5J8fmPl8kJi0X6IbhD++azyeACk0vFnkt9GXTkaS+aIll4xKUO5p7dol pxC+3WyKtg82U+cfnwQrCeeWCb3lTFElJkPMquR+2RJLjq0pxJRU9gG6ktzGngtTW0PykMG2+AOC gKlcOjfvRcT+7bF0SVG1e7DaPea1th2yKCEAbRYzAV4nkMo+VaGrZKNqcu538EV1NtyJ7jOLlPkk BYiTzvaDJeOJVxNj+3mzOJkDpCj6RuOBisDLB4PfbAsQKhABeD6qeP9Ry82oUKVyJyqKv1PROVWf c6o0O6fkaCnaxFzuAZyikvcgYSLL/SY/7jdnEiZ7IiQqbSD5K7GTsLGEIdf6kkhu1aUsj6AUwUug BgNVnV180IF+LhxJl9QNs4kU4kvKL72ERgY5PVQlYrSDujeKJ70SKEl9bLiwEPU2JbnCgwqZqlBv OcWC3ATgbMWae//A3s5UgPAmmOmGpi66A1ArOd89qpVYyLIKAmjX3jgCB25uv3oHrSns5qb4YOj5 yJfrF2YCqhok7O9ExbolJLx1c3WB4h6vQZC66DhGjSBIIhS/+6JoXEAT0Ld98Gi8ZpJM6fBy/rOp enuOq0Q/hcY+SvYTnSb2/JhIcKrrnNo+qAKHcq76IGey+XxEOPUTXWZ4cL3Mj6dSCiNyMbwFDCTW VGUU7L5EvSPKl4GC4rsvLIBvmrtCd8UGRy5DBwX4XkQJwKdBMQkibygrA7Hxzegj2TEFGqneOEhd NtXdF5O8tYrZBp5Ac64pN5zS+HzUvFy/6d2JUdb4magQc7JMldMLl7ldRaU8qxflBur3ZhsYiZi0 JnqXzN7aY/Z2fnkUbSvBV8j2Nk/+Vk4KC90uwC8uTnD7+/4tRKDVnw0qysE9gFl3K23w+WDn1d8Q gQ7J6VzLd57CKvg2zsnyktOo3Zk5+8KXsEN8nsIyODvhqcnJtD1OprD7SkNt3rVghgeRKiiPQi8Y 1dCS56XBkZvLenJQdEoS5so+aKLgxmI+XQw6Q1ecG0uJOy/bT5+n0IYSxKJzLnUvzcE31ecpq96L 63uwzC6DxRB6okSviXnSHubJLkQITrXDUZBILBigTddp2YkUyh1faMudAx9KCQdCM3hkH1QyOFky 2Qbf8wGTz0e5XvnNY1jBGvMxooyi80Z87qYnIhPi1ZIr48zs5tPQMuw+URH+JPEs73Sh1qCJQq4B qd8lFWqk34NhKSWXcEQSx2SieHQzybETJd2Ds/hw+fLhAP/iMQEaYOXzQWx+ZSjFNYCeer/nU+xF mdnIzF7GbNI5psZSFdyrMIIrVjfIoRmlcIOQdPfBa3Rn0yVUf4n3gqQFTrJz9eBrbNW9qGyeir/w 39QDT3w+NHSVSWbBS6rfWwefj9oGvp4YBYB8AsCWz1NUEkdFGECdknyecnVDytKH1Vdm3+60lENH yiEmM9zGbLDllCk/SZGFCU2LjrAQrXOeWOe917xCFBQI4YfELrnznLzjDlxOHbX0ZlTmyueDabpO wjyKgGMe+fERDD0p8YpsHZggVaXUuLZdIkeddSgAw3yeQoolc7y2nsFrdRU/CuajVOxAVY11caiM d3Ca88gXiCqxpRzaUi4imVs228VUbT1hmW6tp7H5fGTb7XEBS8SQMmrlmadIuFsH2lRD044n1zbv AsxTmQUOr7bvwphcRfFEujIHhxCeO7uxZkMPuNlZIj6HJ6ioJL7U/oCa+7QXjvYdEZbAl9kgECHc llhIaOcH8fmoFeX3Hd07EY2UdEPy0JiSdc0GcNbXqF/lSglVXOVCfy2ctMqHQ2dKWSludFdt8K4m tCj41aPq25bkFqFHAZkcvGnq5VbtVI0KrSkn0Tqrze6DmBZQGq8CEXDVRxH5vjan4mU0xibrTlQs bkIz2HUn6uSj2xEpm9txsXBQkk9UpG4itMXZosMHx8k1eC82GpxM0P0beQSJZGhcIbkNN10qqVZ7 4ejCI9qiUTCfgwKo84tO1g3a2dp8PjIW/oU3NUDJanrmKQrIcY4QBJatFtUZu6nAsrZTLgs0uW2e YlQKbeASpYU5OJlw5YLmO+lNZ7919E2QuRRaskKPAlGEQ3fuGwei+ZSApeuHDVYIrmSS4RJrhc9H Wue/kEJs1gFYkk9UDASX1VltzkuR+uKktGCujpar2X1z/+JSiUgz64TKzwkFrWW8S6dczkkm2//H 2HclSZIjyf7HWVJGwMn9L/agqmbwyH1ulb0y26ieKc/wRIAYUQKK8tl5yNKgmEu5nAsqXHZERRXz QkmBc5RuH7SioO9klMK0+XyUu3gHD4cbD8dMbpJNVehVCbFbbAvDgIMwP4zCc27ZWeyQ6j0bRDWH ZpVLfucUYtLg8JQCRqU0PCbw9OidU8urQz2/wR72aVD5+0btFwkL9SSws/QpYKt6rgveeee9+fxf 5RWeRjSZuxoeOXSrZNsGQKQqnSrEgd10qkD8PH/ENE3pCnCaQkh4Fzy1DR+8vHKSamZ2mKaCCJZY FpYHzll+Yqh+fRgW+3g5dKvMSsrQ/LXBCnawQJK5Qp98PmI7f7NUsLoGfDh8mkKtE7BTUZSXGhyw vOwDs7ayiAJLtM9pyacpDMm58UghtMFXE3C2rdIcZp27sMBsCXRn4BQzDtNSq0vDlVyTvXFUriPy opA8T6WnqcLv2QZLZLi99icHjpWJR9wXvADhXHkKm4FlJep1IoMBdsaZSoxL2FcgzF0iTKSV2kyF 2PChO08msfWaxJ6ZOrnUwGUDFaYTHZD7g0sK3xItTIV7tktv2xtHGAOh5oZoBlSFIGIOKrbyfs96 zb866Lwh0fL6ijZD28pNSu+ZqeukU10AdbK7ZDKxtCViVB74VkqS/xzblaaDrbZHJ7ZR2GtRjOFk HJXdrDER6sFi5wdShLdWTh39HBtXGp9n05QJ+aLEJlc/y1KSnW1N/oCoqzD/T3TQx3pO8qhUjtIN dOGK2w0m5XZM8/LeF7GSs09UCFnRSd5oPcTBMaswZoAIk/ro0O1rNEIHXBBl6s1sWFjMXO19gyOq EBveCKXjoOpKmrsJkNFr4/MRbeUGUazXUbNq3zJU6F5JcQ9gPpLNE+5xK0MNnz3CETwdDuwrnZVx Lujkgy0o6PSc27ejUo7mYePcnFfEqQWMdc31CjKtae8bYDJIxqyirVanrZ4A9lwMwhqe6efzkfPQ bRGLzoEg6nGMD+0rR1IbIXk63FLPjlzpfTpypbnrYA78Kz3arKvdweYJjNsEOXQRfE7cAzj9aIys 0XnIaFFb5yXbRIXglSos3bShsjwJve2zZ3lAnQidz/8Fguba2QQbpufSi8LyXii0Wa8h2qo3H5YX u/AruxrEJwceltdbZ4rjOy/HF4QMdCSIqAd+DiVI8lfBZ4KszRquOmhKcTn0sKw8mQpQgDYoHT5B 6i6Ggs58Pjif5iUe/hAsjupOe+bpPSqHJU+mQ0NxwW/qSeqAAvXEMSzMmzVPcVQuM2syxjjYAQUz hkn5mnPB1ALoT6eEBS72RkcU9L2nvHXJqc+hkyV0pWnzYZyxZQuqwsZYC6p2Ph8Vor77LkAXz695 Cr0sZ9M8OY21YQFb36W37MS6DWCONt4fZpYNQAcbfOMh3tybIlZnPJklaUGYzPM/Ix/bjxoaEeM5 dLPE0YMgmgINHHSQA0qSRHJGmTyws0R76x7kjfsugRJ1Q6jQ0JJWQ7Bi6M4+UDhAAfk9rJ9woqDm /anA0fJk1kUA1nwHB45NqFdOmuyNgTqRvGNBaJGDXH4MdmXWlANLS8HjzhFeZEVYmnt+dEAkzT1m 8/m/9L4I6EAzHlKaPk8hbnzQYlfOKATXL5f7mM2PKjCzwPhjNhyaWsqwKUkcJQnvJvLYyVMr/olQ c5y5ACp008cZwRM0GcbV14OjsL1x5EdAEUJav6TElUHaZVsnKygKoXrmD/jLkpAZHRgIC/hQn6p/ EjbrGstjg3Mm2tbbSV4p5CGM5pWof5hbVlJZJNf0IDOgJw7A9aCxLtSyca1uUMsypgqbAfAs12vK /sb/ghvkxOggM5UVYePclrLbhe8Zf8B/SYg7oTAPhiU2uGxsvuzm+iiFQuWkto7STGnnJH2uIZMD h0u3k886pPJzSME+BtOTO2ktsNwFsLPz4IOMJ2BRD9B+ZXvhqLhJMCKgJxiQ/IiEsGCfx4kqafIH RGH5N5EMWiOjXxPwHNhcmt4DqA7FECwFl5dS4pPMFIkSDxI4lOiFPpd9KyUmApiDo6LOhyCGJjBD 7l0EZrCDn8AAzthLzgBK3d442n2MD4C6skEIFoCvpvSYUd0MrC6/mRuYE+Sy/ZFLz6HXJRSmUdI0 eT14gfVpRTsILlnRLgO4YSsqQrDILObc0tOHL2JiA5uDarIJXteLZpcUexwkao2H39LthaMai1wu syzBEbWwdHDiNJiC/tAtZvIHRNYy36RyhK9jrCc+CMvlhI+1YRLyIJjn4mVgcfE67cDqPaTiwFx8 +r588HsvozMoq6tdN5RXcUh1aIKfKw89hdT2rUbRND2HlpeT6kRQiPJBjQUo7zRFnKvz+Sji7F8R JzxbZ+nPxRcCWCSaLuw9kT6j3K3HChrxwI3a5pqoMDDn1stZmKj8YKJocZ6WlK4TSisIEDp6cMBE ASamorkwUfeNI0CiICw8qzhodiDHIT+nvRafDyLO6+icBbRLdAi9varQ+JL4SchZFXcrxJaQdPpk PxiQxLFRPLBTKtZOocduancw6DTckBIVGBt8F5DsJeo4blbrhyQ1vFmVhbMLrC8FrgD3TrYFqTjj 9ZyjMlQ/kc3k80GIMLzCOdWxgeRGuzMVu19u5sKs5Biho+2bFrttMWJD7xIH9pdQ0ECaN8HXs8G6 6TBnReAzVD5AbZDAKQYNdFXgkiLtZdBsJgful0hCWD5AYs3BuBnIu6V6JdBBYH/5ne2JmAjLovTc e6H/JSvmFVh5z/ZUFW7UAE6GzgDaclq2FxpgSorXIAW9XJgd8tUMa/Uu72K4zDV2ieEdDwmSzLhH 2d7I/sKRQgi7eZ3lgwxmmwA6q8IGmzA7yDrn0AIzjV81c5TEyrP1Qg/MyuO8qDdMeEbpnhZX3kGE Z8xWPS0OTDA9kqpVwjz1tokhU362VeOCGvCekbLzhMEBHQ7betBjqdj7RvAMnlGVfPyBFpoyj4WO PVcUJO75A4Km3oWPsY+A4xxVxTtRUXCO7xE8vHHxiOmxnduGUjz3HmiItvNiORXCoial+TjYihrQ yytYAyhInXUKND0UVRHNgBjXqRI6paVW7H3/padSta44KC9uVvg45zpgUaEP5lMw58vRQHHful1g hAlRflT3T+DtvjNtpG6dhbMfDXYAbVePzP/hhMkyy1LxUlhTBpxnRwBzuhe1C2CzTb41NW2gi/Vz frjzhPNUNz20whQQGOecD2JUoYZqpmGt8vm/1hOnBE1PvILPU8zwzDIxcmW+cs0wV6m9e5N4FlPm y4Eb5hXCKORUpXI5VQACozpOOOLuvPMacz0kQwkV8gJY4cWxbHvjyO1ZFj1TFj3onsrHCBIw3IQg DfEHBP7q+5uvMIhHfHhVgSemm/TksV1Xxaznlw5HF1UfYw+fqZDpScHQtJnrcfB2ejkBa9GVd44n GBrIphdBfimsmz/GKrJYz4EvJgJOIu3m0gBEoeqaEMQgrSqjfhAaY9KV/ZEygtjErpfsmUNnTCDd cNNlk+lppbko9jrbsDkFBsQUm6kIyVKZ5P7/vo+rAEB9wqYulf6zL1mSmrTvpr4Jneg0T+IKBd6Y 6FVRoA+Hmg229fBNyPgBVKHAHBOIn+8rL8NHZo1rsvZ5jaEydixpnu2qGc2eTToMZdZlC2qObBWp 1wgqAxfFgl2nXA+HS/hccA5hZHBSlxMvUWAEAcPPuQDzD5oQl1CFCOo1fsq41en7CMkvG6y6uTfl uwFzwtMvE5TNt+kHAo0KMiE+y74CU8toejrBBrwJZbCethn0rLqo7gCzJ3y9doIH0zPoPl8SLeg4 eB5c0PJe1FVEXTNtXnOQP/mBDRT0bps7PSwdS6/zA4FTrp1KrueulmvjqilN7jxnvX9eY6YMenT+ mh+IAQ503TU/rxFTzswwTmhZ2D3g8tnDiWaduSg5eSu5Nu9rvITlM4SOJgqqj4uCWueQKOeK2yz7 VkwXt2FCPbtB8Q4QPIsrifj6vEZL2YE9EE3xQTXfghIUp6fv9nkNlTC526dHcionIYRhkM3Pa6CU 7UKsrW8nJLRHA+NEH8M6d9Ax0Py8hklZjD7YFow7OMw+bZQ0c9P8QKKwKduDugIZPTfuhgItPuJ9 fhAmIkmk7QwxVIJMnIBwmHjh2b+f1xiJW8X3lzTGgFararLQyD2YIfBukXJmq/PCMdRY+Sg6XlBB 8iTuNULCF0R35zTXHSzZpY36hihmg1NPGujKQU2NPC1A0iuq5bbFWJv/vAZI2dUdthwxOah8kgBH ZwiNTvlreISnm68hB2XSwkIT9BocZfDNeeVn57ScbyY9mPHrFtqrc1peQ6MM9Y4ssaLhg7Pu4IAJ qVDKzQEmSyW1ySs0501nu6enKbPQ1wkqZuzURTvvzkGuwAGJtYHF/nmNirCGqq8h9n1RdUFPzGbo NSjKzj5Iw3riwNCZ4RVEi4fDCMD7Mf+LaIZIoIbgng+etAFCMKasr2BfmZjdVpx7a6NyDJ1u32XM 2l7jIewyOhZ4EQAKQlnCusDBsHRyQtX+eQ2HeEo332USCEFXYfkMvQZDOKZRK6ywjrIAG6nVj7mo VBfj72248dVrKIRjmjywonJuecq5ICdmUCyRRCY0os1wFmixzCusgDnj4TUp5q+hEL4GSl+z526D EJgQ/5dmwblwP6+BkL2iHcuczLNhT6xgE/Ran8S0TqHnq+vwzG1pB/C8jrAYIOCYfFpwTssAO021 5eZty6FFN8DswSmUkD0O+fCRRFtQQ60dKGVh5RYtVF5rk5igTfc0FsowpB9RxVBRJ4ikZ6iDBhPU ly8h8gb4z4UMWVP0WpfEwk0scM/kB/UkRIHn0Np+ldGK0XQvgjVkMgVCNnHwxKOeeziDQoYpSn0j C4QuGMhnJEYXgpoMJEdDp9eaJL4H8slRedFQDD1ZgDVm2gFw6mtFMj9uDjgRWbydsLmpPkXvvDre 0lnYVMcL5F78MqvkCmiOiLUU/zC6zbZttOaDb7QTmbXVqVCA24rujWejAcuRYXJ7Nlr96oOLIh0t JPrwUlLBBu406vDzbmsnaPq8s+qw1eaNieSfRq/CO0tRUI0bBLjoKwx2E40C9x07r8Ftv+zDaCnR HLRJZL59iczvcxIVsCh5HJ3tRRoCOBoQB+UKwu9unV36ZX3eSXXZtQrLLMsHu9PSbmwuwebk886p Q9xpsFRxzOAOP+u+icd7ZO2oVDoMGpU1ze0nUt7dTqRZ3fPynVGHnzQkxVPucO99wOMGIYSDtTYA ocdIPHhxgq3rMUcQx+edT4cLxrwKRSTfdvjWDMQApazb+UI+73S6/LAw+o9IZSfPK8lzj3c2Xbay 0TkEm++2mo1mUFBVNXTOSUwvizWKruGGxvbk9sG5KlA8OBPCWggKbMiSoMQPPipadqXSYq647jVf N0jP5A/u2s7G6QHFY/GUYAP8886lw5lkNe3+o+MLFaPkweM7kw47ZAjBNBxGAUUNn6PZk8/RzI5g eo+vRU9Aq5/12tX60yIpcE9dTUL858c31ksyNBbOSdJhznVbJFMFyPA8EvdiytDhLCpBs04y26bc B3NCWS2YI9G2uNVYBcGdMVDDt1mKYmzFU2O6fhr1duxEqjJSwYnUKB/ENP89yAZskALqXd5X/XF0 gvfrJu/5bDagmSC9hwYJIuVKftq6DmrUIv28s+iwo/cUH3P4kFRunaVvO8HL551Eh4wi+SyRoULQ WB+eqL2T6LLhTEhotCBylOycpzyv8HUGcFeTFMXZWkpdGkkcHFsJY5Ekgcl1JqsOcsYnQKOTRV+0 PMyGN0uEIAq0m0CVZx35oKV0MkwBI+GL9Hln0DEbzl+nNlg8A/bwNkdRoE1e+7mIWnJAQKlOd7Ll RUAATUS43aJIWwJqtUoftO6rVZjOxQnZNAYAJ25M6K2fXAQwGLAwfvLsD69+2uu+z9HgWdQT8SU9 dZOzSLgvm5CSnY8HkeRs36E2xAozhZz4oe+xNtYRakZ7N5X4qWtRrYZNGwZzmMNBpcpsFGzTFmVO YQXngxVcC/M+UJEAi+BkbI3w8Az84Ymyz8zu+fSO7G3fp0gtkQwclQ2qGqH8a5Ug6O1Ewfba4yvl J2Km5yeQjILtLhDAXF5X26l65+jkENp25ztGa1N7LYy2aZs2ExsiHOzYHjiQWuvq2J4DowgfB5mP To0u8um9yj/1utEkYQGNRunr4aIipeeEiO6H5mnr806Zy4/HjPZaBwZdJEyW86Jgu1Kb4aQhfh7V bZI7yLQcfNMhzmC1xyjY7vJNSSphp2t5DbvUjpYDU06onII5dzI3qJYuareixWfFa7pJft7pclj2 SvxB/7BBIRL0iVW9PunP550tx6xtfe01Kl6nWzt6J8thZqmZtnNyH55dzOsCjpcXcLqZNOM8eufK McJr4jQNHzxrG6ABYUbgCXmOarIO+yAZQRx6VF79QGIJ8p0sh0CQ6lZbQiYbRUHB4PsYghXC+GJ+ 3slyWXKHtpIWyyMVUZJfbe9kOazfIiLhrH5s7+7uILVs93TqsBDVUnoPtqWSBW+5NXzwSPLM1K6A KZ5pOjNSE80KO6kI/Bc4AV3GjtbSe7idSO4DXmOw8Q/gljg2AM5JKBwSm593ppx1UrxWi+cAPh+3 ivTOlPMqyTmul5vxpHaRpleU95zc3Zly70Q5poC81iTIy8F3HIiRA3a/EHUEJrfKQRUXWwHKoC2i 3fojh/LOk8OnED7ZJoG5HNQwGifqkD/YuTs/7zS5XxE31s3CGd7KnaQo4mYIgCqnY+E76+HS1nGE fB0EEmnLRRXt7sl/8cHXEhrbSBvYMypAZ0O6osLCjNsvj4fGK1und44cdxwhpjj1bVBFe7baq9k6 rc87Qw5X8Br/51gaT1fknSDHCJSbrGd3K5hEZctkvmWvADy1pHd+XHbeV8LJb4PPERR1OmqObaFv Rij17mc54esh5a/X8pzdS+8bxEkq+2+KzHOwU2lZwe1cPevzzo/jav+OJfGxo9x4+50d9xxK6oGw AkApEaZue08vawOnbYfSe7yNs5vxdiL3i4NDJtFZm52+l6tQfW/S9xKFHabxBV2S6w++9L7vh9Li l7/hlmoDJ+l8xpD3NY6Yzzs5Dr9u/o6U4BUy0Ha1SYoCbloGgandPL/tT36bfZJWgnqfjqT3gFux MGan3uECkc5KAgaJ2HdoV1Q2sFGiW4yZy0M8Qd+dr/uvOWK1FQOq98r8zxQVMePO3H3eiXFZKIGv lTQaj0mfpKi6jV0AH6EnvR3ZaSdVcjqoJTXk8Npu7xE3uvx04ytr++CZ24TI6GARAA508JyhrPMJ pqj5hr/gt9sgSPmdFcdzl8d2WnewYxvqGIJCZL5jsJDWbfSzUoJCklDvnKQo5KYIFG4019LhvWqt 2mri83WQxqZJeg+5vVKytd32s93YdZsbtyOseNFuKfLt43V3vp35QNpIs/+8E+K4kKY0+LsPKiZB X1tU1BOvft75cKwJzq+rDXucNpzWzI4ibloStTSG2V6AnmXr6CzsesMkKN+omx1H3Ci4TbFRhdXV e+STC3bUfuTE22AIi5MdAqNQlviBUJ2LfKFG83knw2WXmcdt74Myt3P7F6ninGP/886Fy4/0dXft qg1ZKF9G71Q4Ll7CRLb3s1vpw0/tlm8ouSE5qzkKi9tYRnA0yT7oik24c86Rx7rt+XWgV0xUALgA RBhS3qV2kXFy0etGkyTb61598CpJVs+/tcnHg71mBBNbflVdCZ+jKNxuVM8BwtO22vaiEoK/6ecR 439utXcWHLbBA9j+jdvOaIkkuHjAGQTEnEJNBgAlOymo+bIqiZv7vFPgWK+g8WWityMHJbcbhuOS 6yrl806A+/+O7IqApN3L/53+hinaX+YphLlTAgOY7WH6lsC2n3fIgiK/s99uj2RS3UyDn0YNOgGT UWSHJLgmM6H8T721nKfnbXlSvOOd+8aEhGc1qjcYIEvUdWaf063IpTmXzzv1LT9wSJ1H6IO3fY/s d+abpdQ0frVJOpGqTxIkzByvzThT6ygqbifCRjdSeBtsr6ENleGbAvz/ue8gqcKltlXf+HmQkJQ8 /Lyz3rKbpzRJ6rV64UcQoiNvBjIhn3fOG8KTXb/CIyDVKXRuM/QeaAuvDzxEz45oT77TTmKbbRmd nKHapfbOeMvyo97oPBUfvNbGwFQ77cReZ2+RD78gt9rZVgCs/LZItl43aCNtyp5Rd1KDTiO4tCqE TJ3vGNxq6bvThrp4b+2uoijOdmvQcrPaVR9rUOtxM6s1btI72Y1XBkFsJd3B42x4rwwczec0OoF1 muR4o4N4DmsoThZsZOdPEuX3Tna74RGOTx9USKrbz6OFL/I9zkbTNn1PEgRDW/E4+53pls2Ep1bS llRsU6+NCJJmREGYp2yTan4nut2rv+nIFun5Z7KwfVKGs2tlDJ5pMEPrNKyZhXSaYF7L/AU7fqe5 XSxbloFqJjSNcXZeFcaFuM9X5ktGqf93WgsRA1BTfZLCOLvSPmVkT/0hdWdx9i7NUSSjOsvtneTG NpKsZWr1war/E0AwGoFAVWEgMWFDsgLHm7GjC5Qc3coBhcHPO8ftabct9ntl28tkFo3hwuD5JIJ8 Pthve36dSSggjfVEkVGgPalz1na+pElamBtpcpkk3GQHWLMU1bYn11A1qY5xDS+AjIGM+SQHfi45 p2yAcOCbRqciIHHc0WnY+waNJBKxd+KhxMFCpA4I8A9dCvLnnd1mL/nVJAE0vN8myTu3jfc/S21q GW0ZzssPFBJC4LFRGh0uw1Lbf6e2ZVd8aVDgs8EPpYUKG3r8DeWXc6lBd/jEBNSQh+BcIUZCS4mQ 3M87t83Qw7jip1wvKWeKMtIG0om56vmJn3dqG/fr7QBwo2aoaa98YRLv3LYsKSzESWhziy1ZplDZ kFPpU2zJMwdAeGIxvVPbuBm4ihahbRwuBgDoSDbeJ7pSZRfAJECRwOGNWmcaD4SdmlTv1LYbKKVO hQ6CmDVP57gjbvDcWGl93oltVqT4CiZR/0VN1CYpjLfZBRjdUex1kBNLSml5rBtKoewEFlNU3m7S Ei67+WCTdCLstEdjMIle3ACNbHcAAGnx0rBh0pO52ftGgBvOzpCb+rAlWyHqp3+pWy8Zldv217G0 TqjS0/RWyTunjcGkdtzUtZZB+3QrkFmaOpQVErjGu32ntD2opMqaZKu3Jnl2UweUbCcJVULIAjdc JrY5wd+mUOtbYcAgGeKd00asLDuSTViJNh2VdL6HWmV8WernndLGlp3vOK503Mor3bzkndKWpQUI zI0XJc89ntItAbRq9bYMy0TBtcPqNhP7SRUXDRZQblD2W6bAEuLVwVtwsGsvoxEkbJbeqkzyTmhj ejtk1DB9UNANNLDM7Wtrn3c+mzUQvqBbmRp0HlC+09my26hDhMVipUIXYF5ws20LKAfZC7zg3tls XMnYZ6MR2s7B5wgFDUoLE7p1Vi0E9VCAO9cLIjyseou5N2p9n3cqG2kRTEgK1Rc5aIqgDFmEfdjj 805kw7a5vVu1C/DP3uc9k0I0CSE3PQ2LAiDV4EiJJDZp48G9fZLCqJvbbanztq6F6jkYT65+jmwS 2frZWUXoZVRCT/gHPj77koq5tY6i2naXhOCirhkHldvg3MM7nMTIdxJblgboF2obeQpCN5+jKOjm PX/ug2TxpP+RNFuqsxBOysxEmy0OurGQdiUsmYMVAdr5mqHbieOisWzLOSI7HaYgtMXzbhtu4c87 gy2LgQZ0ChWZOViCCwOQoVLS/LwT2AiM92CSyFO0sQgwsjkKY+4tB6e5PcOVThCtB9K6GS7QpiyU tBC6Ta42DCp8sM121ssJs4DkoEHD2hI1SSArAx1IJ1OzvkRZiZ8RYAA3g0gEOTYIucWWJ2PvXhoe D2LJy7ER23T/MEmyKQoD7swzm9LSMlCtjkqe525zOUrIztkyiirbNkUgoNjgLK1CXz+xtBos5aib d+64jHLXAn77Hkh2ZodgEiIkOqALNhiNLedsJcky8HgAASjf9TbQeSg5bSSbKN7uLEkqBmO9rcgR Reag2RwHOg2pxLJ5j7clOoo99gy21QBo63UtHUeQ35DGGUACHdcZQmyLtuU30GMmJM+h2oYPKkkm yGayon+2BR6PEMl+ZrPUjxC2iyvKT41i7UEXosKdrbLtMuuhs46oxapyG0w0udV6BCbBbt3giWQf 7Mg+sRB8Swi4aczbyHtvMKxpBACmdc8jUpjwIVFKwvr/yT980F5DrMpFBQNYPB7c/fW7TjLoIrnu OopL22za7r1ucluaI0l6c8XAca+1HpW2BUpqnarLHDyKPFdzhnYBa+0F8SNAkgn4LSQl59cpzHDX IzHVo1AbhahxDrRZOaC0pvriCeFa0W/eCp4PaJHzO0I6iwCN8hsi9ZAXWXmz0YKUwfYo0/BtsMKs hgGsUPOhR1qPgu3J47pmAUpyejydc94nLxsE3GQgAWjlOFBczgVrvuV+Ha0omYZPidaSSKNLOhLL pFvOBliSdutnUeHxiDz6nZEQ21YuCLBH1EiexxSgMRCgOacuCBUSDsIQqcEzgRlJj5EkNPeoJGdz 8LXUWOtpbLehuTGowtUmiW3Yb2O4gHdevNt6VOBeCiQri0qNwt+6pUA0SAIl7fnp78F2ug4DFiTB nml+ndxxtL0ebhspyPSalC1ochjgWV9oynMpxQRJRtudQRKHO0uQCUGKglka3BsgSoD1vWT3t57K JDVfe4Tdnkpqiwacml2CCAPEV+rZlbo/PYq3nw3HyQUDALZXNklRjXtIqGxeUanRKc0C0XwyPDNr bgNpICsAPY62yd4a0nsdj97ruRszKq1AaJ2pOguJYvAN1OZzSmFvgDRwiUlT7xvst0IcIO5S5G3A PhbrDJ1lRs0vFPnwA4LTu3+3AihC0S9Qor8H3EhmpGuzNCHUtZmcMVjNs6udCbkBloE7Lgq4N6tu pZc7eKFknYyJ6xIqZaggInPrm+71iV3helsBhdkMPiU6lmRSoTJ3NVY41NyGCiWAO+HxiG67vo4l QIFPFOBV7h7ht3GkIlQaw6pJaeZl1aTKXwOTdMLmbv6WPUKTGO5W2jaVGunnTSHa3aDFvViahOZd B2wUKoqo7RFSX3u/0hqoJlV8SoRwk+cQbUA5WKEEZT7yjdri4xFW8saTpEsk0FrgrGbTFEbdVNYY ySXvKiobqidNdlZlKyD1TG65qMxNE/lZxE7m4FfcRM4GTDpQQGetkp3UGVzA25KY0utWTKAPPiVI cQm3yQhKbTAK19mFhJecDGHi8WAttW+wZGYTGY0Fo25Hcfdk1Y1UH4uXZrvI29xdSWrScA2zNKI6 91xiAbB+Ox/+PzTMz1FUDAqQgVcmFKCQ8opDqiXnlEiwe0RxN0gh5G4NH4RMogADj/FzmuLxIO6e 3gogi5ky+cMz3BGF3efEYEg5LVZqTNRM80faUoiVYNhi9PawxK3CZDJC4HgWEtA/kOSkkQcQPJDj GAhugHM/93K/PdxCnXp8SDBHpP6zITyIeP4ZPG5PMjSaKQZjksIS9/qGlAC8DVCzT1KI4M7SuMtG mDiRUjPznJObdBNGOqFpMqmtEZW4M1cHSiAaGIsQdlPLya/gyYNSCbCylOzsOHaJUqPbvDkJAOCH zwjwpEMeJwwnz+DhJFBKi22i8394POqWlO9zG8fkc26PEFLCQOkk1IZMqg2y3Qa6ndXKACgJGepm RDG3tH7GYlQJfShNEVA3Ez4mXEfnFAIKQGECDGvAoQLM1yMAFHjwGf+iJrcTdPqgrXa+AuqmwLx5 4/Hoatvf2RsK24/Uxogi7s4pwo3jdIlWHbu9Lg9QFoSaoiji1lbr5GZpsK125qRByJxTdCKANokm BW4PrwniAjhpYt1OUtxGVN3GT5k4+DkklMa3SiUnx2W8dOKzjOejsuSdJHIrOyQIt0dJI4q4GYLW 7URS6m0UpwFkq1Ce5K0OY0uMKOJmiHhuDna7OXhv8nzLs0nLdcI+i225MQnngMTQShe+tSkEMELs 9p4SIBs+6F476U5VxHHCCDweHUft+/pngtuyl7dHCN4ehCZRG1AErr6M5HZO6ulEQIKGmLuNCLxd WSUiJcIGZ5SijktRE0AlF341YuHB5ui8xkt5oNtVbxs13LDLhkhuo7BphPMosWXl6e0Ig+31rWsD D4w2n6s/qm63TM3W7mySipDM+Vt0GBGkpC6/16Jge1I8agCGzYERCNES53TOW86nAyJYWYLd6Kt0 oFm512yKYFqFz4jCI2yyQaW+MyAtEMJtnS3dJeKXOp7/i0zC+QSQ9NFtGVGsDSIUdElytzlaebgS d6fiK5Nb2lxrjuJYm5ruWzSu3W7n9iShoB/NTIr7boPYE4h3bUoCI227xGSaLeNDglC7NUq6k8UF TPgPu4MI1OeQaNU6Cxc/IKgBVJ8lHvHQpSi36DbCUHuJKbGSR0jMmxghQRzOIqSOAoY2W1jgJlMi Z4FF803bwEVunQZB51QCWAua32eWgNOAACQNZq5TgI7tsMBN4adz5MsYDhROc1s+gQoZ42h24vmo C/DrbjvZ8AItWpM0wwo3vUwSvkyT4EbJRIgSYTQ1SZJq6/xJ0fXPlq0UuOejwE1dn87ZYEMDIqR0 SYUIwYAgkPDt46HdzhBPQoszQi1s0Kl9jqS6lNmWisejKUpfJxL4ZdCO8ymKIm1KaJ3vuQlpA1AV ESQAk2zezaJupWQ4iRkWuNm4bZs9SQ5+aBfgIxrdOc7pNKGahEgbO4cCSozLHtTt0vv+sw0gmhsH y2zP7c+PPO9f8Ph/OZLQABhAk9kkRZE237TJ6VdHUnvMAeq1Pp34vqUlFXIlW1b/4w52JEF7bMLG U+k/hFwmaiSFIDe8aDmR2UXcUCxhhhXujiWU0r6DwCSw4ZsCk5z0f0ax9pcUkPA5Z43AA8qnKYq2 qdR+3jsZYLJJr4D+JedQTBZKrnynKa5wK82od7CmG8L2QYsc3G7oPVaqJZzEGR6RsD+8LHdovfMz IjDJpnBLu4MKSc0dUM9lufB4pK35rR1Jb9YT5VxVsijcrmS4VaY8nKPJloOQt9llpFdGKVZzFIr/ Ed0GHoEPtt9gB3tWKMEks6DyRuPmgs88V9yZc6AEXR6ZLlQzpEomFiXxt2wQEHBj2fPzSq94PGhM 9u8oCfH56NnvthmXt0m0ERGAMK66LftHe6TbdqPhD++2GYFJ5FnSdXpzcM5tOtd62pSUOt9AKY0s d5S9z/V7TpU1niiJ1pQzCrYrzWDzpoA0B13/wD1pik6shsf/rLWxassp8qxtRlCSvnRqOoD7LNvW LZDcaS4LJDeYcpqiqLi94Mp57o5RfLAT6aygBY8u/gKoYxdikwEMBHRq0KLOMe5oHOAzokObdJLG HdcbcdpsJS1QebgyBl/xL10SMbcnNTp8ikKaJAm3KS0nuF+hDTYznJWEBrjttFgBsAjcPn3w0yil 8/dl6DJxhFNN6UwR+KCwIoMI6i9ZshmVtdGfho8L7Ts5GA0AaGe2Adbm48EU/SIlgRTdd7kbLQKS EKfbRHI1nc3u5DYgZJzc1rzMNqNQ2zSAMlXJONwyW4EQDcva4EvivMDlD6zLgjxcQaHiVrWTXjdA JVNNKlVKbiSSF6XWCnQcg25YFuD5vzQ3JD9JgssNIkOS5CAXSSC2JoPh4ogk9hWlSlY8Z5uhLomc oypPIw6OSFoDFf4q3f9zaA7RktBZGPh1szCSmqRV9LrRXmNLsqU7GPzvXBFF9Ifd8XgURX4fR9Q0 bRffvqJAOy25dVYX25y92M0PYT0TbD1rYVvOtiIoCSUiz9M6lUq+KgmQoUaTjYH2Rko1GGgT5krr t+rAbeP/r7CknbDJWBO3QWibdNYiU/fWasXjkQTQNyB5sFp3VbVXFGh3VZDaFZIAjdFiyJKrx5B7 uLzNCgNtHkdNtkntyzapQuuxFwLbQHujbfHJtlDQRYgEV5ILSFr2tlF1JEmVjJcaED0swkAmqQgm BhE0PB8h29LXeXQSpvP8LdeuUFq78jyq04mkuU2vslUJJpomiQVHK0SSUEdipmkDZ0jUrXNOk4SE WmSG6g9lkmjaiXwJ/JEbG53/Dp8RBJDcYknqNsnVbZDsSGYGasd8/C/xaGbCZ36/uLYrirGxr5D3 12WFyJyf+HF0F6+vO5uS1Aoh2+yt9awNl8vN187pg4JwS4qxdzF2G7jHEHShRrFf/MS1rbii3cRn 52GEMMBcgKFzupRbQLY1CrJn219zlAs0YOa+6ygW2J4S/HWoTctskmy5JG1N0jlpXbRlhSRJmiuj 0+SD52sA8k5AviGRCENNLDVYr+EB3JMyNzekzdTrBnU2Ok2e4/NC22TQMsr5VpiKgEeP5/+Lbtum i/zykvaKomwqdNSiMsjgH9mGXMxE7JgCOGYb+H+FgiT8nRNdfTTcxv/quBTguYXfhaz/3Tu+xxNq AFaL1qc53iXKkK8IRbI4PQnuSjYoqR0L0nBKRfiSER4pfQWR0O4b/QoAryjOBnQRjcjWbbv1tYYj bXZKVkGamDpNUhhnF8ojbfbYOFxsW0bCTxmJvVszc+UEOhDkSTqhT15D2tveN5ikLpUN09xgbkHl flBVpG6XGh4PrraHk8z2N5YSBGZslqJQmx66oOd7na206u1aoK1FtllJ5zZmKQRty/u90vCAgx9K ACWfBI7WyuskbIBX7XMcAS+ecMFk/MMxJEic8CmRUrKoSCqT4CBkI/tstnMhsLOHStsK69q/mNs0 PYHRtk1SiNpulCFp1wh3bFdJMGaGBDfHsF7kCoNtUpFKki1buhzAk3ic3Voz4bZr4LhCvZJQZUwS cBCQFFXDtkBLje8b4bal/csjnEPSUsriVgPkzJeMALe/lhJBrKtfd40VFrYnUf/d1W3OCVRsmqBF bgWSc9M1Q0esWAaQhW2RbznYNA2oJuQt0e1+FgOywd1ptZ0TONRnR9YbKVEpeUXxdiaqLaudlOUX TdDWyYJYPYOtCR5/n6Z1dZJI1qbIXb8atzsKuNHhQ4nkcpLAQbekZI7HjaxXS0p2qLpdpLbJflKt 4xK4zwQXmm2QK3lObsj+bgjCUhSs/eCWdVPXYm8bJG7ZXFqWD1pK8HQ18da08HjEt/nm3KKC9mXT st8DbldKKMNhNie/yi6VbO1IqpLwsqZ4e6xKItsoVtrSU2kbJ3/NUErO1AGEQTB9SidW3vlCUJ+F 77rfb3QA2CF2u7LUhi1ig4GRKjHKFG+teDy43+5CYnSNdm2uXtneIXabRsrnTvCspJZ8DyWeospK pstJ7CjiRmZ20hFZbbQvqw0425RskdK57SSnPCABec7ZTo07d2srNOjFhwRzJPNy2O3ZoMztfBOt O8QNj//FkpRhFIWJPbndIUuyU5ekbZeSWteWe5jkhkAk3XgSOwSRsErSdh0+eIvknKl7DWYl+GWK wEgNvYlF4DgKFd5G4uW2o5jbRDeplq3B4qSzqCiX1TbWUYQiadnjJNz2me4W8262ELc9JbpphH/A ACyCLOgddufb4AzRHMUcSWb+iERssDmCZlRa6pBA9LkhKEO6vBEfL1gjtVtsw2LAZwRRUvlFk0Bv l7c2WhetSHN7bjz/lzBJFpF0fHPbdhRxs9d25rde3lZO0yapsMXHSRo0C+AkhSASKpLDCMMHr5Ik OPSkpO7/iZLhPrexPdClbjS4uhoAqK/jM4IgCUn7nos0CQ7GSTqrOEsDAId26GuTf8XbEEqut669 IwxJKjyPejeVxJM6Zl9HubdiUwToh01RKABIbCSckHywddTA2RqJxTa4dFF6fUOTBnEuJNzAJBG1 bSMKwWfEVFsU2eryQYCtAUslyW0tPv6XAgBf7UwqqHY+RaEsSSEvordulCQkokbbEhWUx1EH/Z+U pB1jSAzTtnywVTT3Oc4glIR6JHCSYpxQL+acHgBJeDmSwgz8jCCKJLa2S5K8X0ny8wlLVPrzYzYe /6vWJndNyEhmD7V3XNdOFNhcbm2zcnLW1mCHhu6/ZwOY++8OISRs+PctbZKdnwYS2kkUbGq80yYQ 2puwvRPEnSMJgEFHkOyptw1OIzJsCzr2NmiKJnpULEeewxuP/0W0oRQYyKurX3rEjuLs1otQI34Y QZ/Xdlpd9zA6R6LvtAhAMqtgWlQC4uAnNozZeh4kkYJKY8ab+OhOEEBxoc2SWLLdoSAJD6Ndaf/D QZca+ngEJZ1je+DxSNiufx1GcKXoAIVoioTXef3UMZ6+P6PJlNzCHaY6wrNPWtMQP4IfFSykJgs/ etxwMJQNDGwn7/sGsVM076giUWiSBJEuaHDhU7iU1rY3fp+nVVQk6TQlQcNLR3WBMByzaXCn+AMi EOl3LUl2BRcgIdbi+2MSb8dL/4/hEr0VgbQBFXVfuQ3H/uUURttdctJcVRy8mtShQwIIN+xsK9BE jRAJ3AHg30K5NV0SIKNtfEyMkcBSGskHrqgpuaEfhj2ZzwdJSfsVS+LrWuNecCLlvT6H9L7WVr3s NhnjCCWZLmq7j+Yy9ymEbVOYvEifrDz6ZHCz6SeuScS3JUgEC0yCSw9M03MO5n5JEks2rSmsc/N8 ymtpwDXJg/sszr5MMgk+rSkKu0e+4ZKLlAEPf6cqrHWzrHSCF691j+0d3AkquaZqwR7Kpyr0ktTp LPi21Lw1VQWXJsgM3H7n/2GSCY8yVK3OaiWtZ94UTp4AKYq9O7mgu0nSvVnJAVI3a/FCh08xn/+r +4YXhFzU2I/hTYqib2hHoErJs1zurSX5OSWfW9IlEBPZ7gstb1B8nDCe9sGLJm1RyoMAJYgoowq2 QSjCmqqwSYJev9WWsnyBUoQrGcOgydUHZW9LCGhcCbCYSCGw5DLemaUU0qRhEOJTFVa9cUKd6Ghq fvLJd6kLlLmmsolMnd8bPD3ZlcU63AS8zXwHz+ZgxTkSAW/7hGFpsqJbCNqAD8JPxi8pf6CS0rY3 jmCB1HVb1FJUNUprap1lyk88hxefD+wTyzcuEDqctNXyiQrFSgZ5brvO20NZuvDK+WWnVhr2BlDo uvuiUHyyMVArcbgcbKJwupeMncE1VdIiMSAlfLsZayQPnlO6+3KzNw6aTZ2UwD7voAIKVGKVk53J 5vNBIHVFlGWAhxJXvmauTNXel9QmtLTm7ihTeiBw90Gz3nTLEKH47osi8kFKN3eTDbb78lRwT2n3 euKHRZ/AQflHqH78ZCTOdkyBaas3jpYUL71FpaAzmLRWg1MsMQLn9mh8PkJRejhFJcMKuFR7wqko LAcYCRiTx9YVBGVDUhBqKOIbO1Pae5FwSWVg0orApuUBm6KnBw1Cgk1xSBFtAWIOWMYyhrkAQbkp pVCUe6NeSc9HG7igzsaG5QSDzjb4fLDz6jc/kL3M1G8XPKewBN5pEZSSmwUuJcFyMCkOy8nDtcuF /nm/kBRFEbpUHugSDLJPDpwoqAxMd6ZYMHoGsJ1ClQ/Cik5/IyUXnxKVnJjBqAZebg084Sxn6RIW WXw+SoQvc5kMHlqh3KJTDr0nGW7WyjORE5Xoas2JKjM7vWvSyFquiiHupEvfpQwfLNEb5xtDC5Nm 07ANbvRyX42KSkAUIVRwq5fmLxyRl+XOQRAzBysYrJ2FPElj8vkgPkjfZ/mkId6tO+XQfbJh6cEq KHk+vLKVDM5l5fN0At86fJ4i8EmiNsds2kltWO1i0MGuw0STlNNzwxZmPAXJVoOmAcAVroVHD5P8 D/dJouBAFLVBYDh4iZARcWKRyueD2PwGnENB/UbZ4N55sQElJQMKCxMq0E2j45bVCoGnFFbarkCd QwfKRTrFEH6Ag2MGO74JgXTgIXwOK5YxiYtt1T2obKJKsxeOJooib00clEZ5Pmp0DR7IOMjr4PN/ NQ1U/yxworqBeeBCaSaoLTv4DWf69CodZLp8npjDaj2FgTkRuguOnDb4ejpH7ImdWKNv0MjpVY5V E0cWelDz6k+M0ex9g22XRGRi94AD11PvJ/rg4YKaJp+PSiy+nkhUBRoKBCufplA4kAjFXlyE6uS/ 1bddGsvLUHA682kKuZWFx1NVqa4+pbp1VtCAjSmPJ2g3iH8C4FQvqNnQjkqFKEks5dCLchHu1TtV hHrPxtFluZXFpZ7G5vMR2Xt9xQWglJ4l+RxP7zE5Auktmlf242kO1zSXdTHnaUJr0bZdGJNLyWyN 4oPN04kESiHkrnFdtimFRYBuBhJ+iBVcVFOf9sKRoXnn+S3p92kBLeo4J5kXCWUVPh/1ob5ZKDj5 T0T2XHexOw73Gp0yyflCV8pgFrU1x36t4abvObSjXAo0J71NZHCtQBOV0jSxcE6giWoeoU8nEwfp q0A1MpH0paKdqlGhHyVAA0jU+/RBqQs0R0XWaVz1UUS+s8+TNNAWPHKf4ymUNKFoF9RrbJ5K3dvm qTcTpwDHInvdILCkBGqTCrn4CzY4HuXstE4TZ3THT64wEIoOoP9+gBxEtcKtlgpaC/qUWD8Apd82 fVD81M8PTPLJS5XP/+UlzPoKQl0gEH2ewjI56G5AgG3nWaSarL4y1V8gAizt6vMU1slZ/M2syGmw 8ubZdSdK64oz4UlRiXAgNe7cS+DG0zrBcDu92RtHYvkUEejjDga2WMCK8gprhc//lbhwTkBKfGRN cmhNWUlJHflC5cZKPlGmVUV105SnT1QMSpGwyb6D11fO796hSoYFNVHR6BLJIQwMveKCo/Bym6a9 caRwanSC4YNW1Aa9f2tFbT4fFQ2+J4pnI67gmwyHDpUkEoJqYVMFyQ13Oi0ypxqsgg3jpebQonIJ 4FxZkeLgew8t1NHZV4Bi17kEsxS8CnTrz6/8WMGRjc4PCYoGU7gdilPn7OLUA4I1lJY685/5fARz Gl9bT1rg5a6o0KQSqmo4lwirIJMXnDZjpuIXz3aUQ09D0xTDwcUDY2mz7lvanCcEPxFak24+gDBo nwHAA1EK9IxXT1fnjF28HJpU4sajCcsdrF6H+qJ4y33y+Yjk/B1BYT+NPp/VFMFTUKhlI8+AhRRJ stLKOQ6rVevIRrdpCovl9J0qIDPYcJGFIG4uknjQWuqIFk9KjAP9rAHU5SjsphMq12RvHJdWCLsg UKWhp2dCQidJZtjW99r8AVFI/ksSDjigDRCoT1WIUqFbRVN5YNE1etilN0kEsHpdcUZYDpwqcUYR X7jYq+LgzMJxDrk5iyzYgOhGGaYPXrjg4AIR055bb9sbBwADAlXOYW2DYVXBlNpM0XrJes2IzuPR gdBRoKrUa1cdmlUyeUOA5slLXXVZVJ5FnSPEQJKeiE8Ct0qgwxlm0kVYg8MwzkYsZ/ux84kNB54+ mnt0HQPmCqm218opn59ju8pEL0+GP6khqpbG5IJYEbM8hGn8AX+R57uFBx0QUp+oqFSOZhqdqotj MagMpCxPmANMFFyVfKJivIpUmITJcHs70p5x5ynLGzOdYwoc3gHAKXSQoJXaLxAzV3vff0XlabQ7 qLiSp0rX5/Nq4/MRZ+XbI35SqupyDXLoWdkkMvCQ6Nq8ToNo51q1riEytGkKhQa7iiusl3NwtAGo mGdZYT21dL7BYT6pYKgg1UNhyXWY1rT3jRAZRcWn6oOKKz0vCzZ73Xw+Cspvh5gBOKDmDzk8h7aV AJqiWHeldJsU4Sg3THixcCvz2XdhmVxk1S7ToX6xhqsAYrayiTFBxDqTAQVIPTqhMK+e3njJNlEh dIXw8CqbWIINFWFWKLVI9z3pLYPQ4Jfh4CZF7InKQ+fKXq1Cd8ErdEZmNjxLtSLU2DL96PpR/7TU aZQc4mDztDvOPyh2NWbJDdWi84ZAGgwIUa7hHl+mD5dD68pqnpXpDkqGC5hoBoDOfD44neYvwCGw +Ws+QXlgXgknHjLpmTIIwMINw+OJhTQdTxTUtHmKg3KVxxlJlXKtmeGJcr6yTTjd2XRwCKKJFSKn iY4FGMCsLxIsVuyFYyF0yDGwj05esq0nqdFiPdXO5/8LgwWrfqI8afMUOlhOQnxPNuxQ8dLceHh1 UaKJg94uhZ5jC0sKDY7K84mDradMwU/5WAHNurYSQZDSGyqbKHE5NrP4+0bHOPebZotDsm3XK890 1cgDE0vpFj+pC+AWQETeaYoi8k0ES9+3l0DLIoUFoJJpOZ1keN5piiLyxeYU62c2+DGOAgH1QuHR kMhEILMOxR9yWPJjqyuLphzYWCqTxAE+tw/adyBcm0fj3Hw+AmheVV3GXVCNBxTNJ+rfTpawOHZc fRnd7rtevIs3gPq2ZDi0spSNBQHNNlhY0M9tl0oiKgP8HPYrIJEy5NME6HXzFVW62lOhm6WYLHmL qQFvEN5cFSI1VHc6F33P/AGRINr6yl7WidAXkKE+UzFXc+gk397vBEzZ9FA0adRD2c3rULGj5RKX hTAWDp69rI5aDdhj58Y4W5Kc306J7ozs+wcGvFelSS30wNMSxCiBDGgjy50qVD0icekRnsWa+QOi dNjvPMk6oIF6zZpy7GrJGApYjEtD6K7VQBsLFlgWtdZtpkJZFAo11sYDiIMXWDbcvjc15BdYG9DF 2SM3yhYvYqIejP3K9sKxISFa5xL+RMhShInaJyYnpWWcRIs/4E+ePaPNCj7iAzYI3C0BNqC7ziSo h/iVOYtnxMukm4BN7KaNkkN7yy4aWRfQrn8B7U6SlxJM2MFlzlDcx62X4JmcoZj+QzaVc3+Sv3G0 +5QR7+mDSgAwPuaknTyj8vn/UixHENeBMfWJiuW/qbGfs2s2QYndana5ba/ZFaDRbElF+JXGQlRt rfrg+JWNLA+XMESbOlp7gyRgnvzUjRjjobb4C0e9PEpaTYoTUHZf/o2EUrIkPBKXVAgnT7cUxQWI 3uAjbZkDn0skxJ3mhMNlmzLlBAWz4y1E3ca+XAUk/8Pokge6cL4cbKbOVmnQfaMzQTk7BLkMysCD Bg4IotJXNYpm6Tm0upxsUCXQcGxQXwEqHbr6zlbg81HI2b/Oc1h5nvX/LKmwXj4sCx4O9BEveBO/ YjsSpLvu9fLY7HKJBtyHD85OqFABq2xynjlLsL4gNxFZV0YzvQB74pAo1ctDt0sEbCBvpO2DIFED 9T82YPZafD4IOS92jEsK39TPWtftOoeGl2j205hwu0fhLC6YPhsF05GHbcBy7JiKVVM6vXVz9UFT dQ4BCIzhemln+ktfFCjqtG84mw1LadxWesmC2QWWlwoSAAROzQfj4MNWg2uKMLvA8xIMqF8eswCq PwLFOTS9pG456oTT2RzDdlwBbc5a6X0+RZbA9RKldxpeJdZaOGiiNtRAV5VGYQU/uAk2RUk+wJc6 VxQZL4MGMzkwvVQrnJbgS4OKdjDEPV8Iu+NEHASul0le8TfbQ7jQ09c0hfhy5O51K3Nh0c41nVHd vD48aXXz4cmh6eWSQkMSgiWNO00Frfi0JA2CTgyiNbTSzzCoZMa4R8neUCQVmF5qg6OKJYoZLZT4 uy3Q5LmZOsScc2h7ma50Gtfh2XMw4bgTFUXnRJeeqCe58RVdTY3WUekSUohy7w5hCYwvXUOlJ2mo pX0hLEi6ZzGdGcjzLrrxnDgWzh6I/da80LFU7H1jE1UQ2DpPKMB6lXgsqDPwWDzJ0eIPiGRUbniQ 7TDHbrkTFQXnYHiRNp08OGdJxrSvru71iRIdZBebX/IUn82gUde2aGNbn4CclEVCEDtxePwkhO4/ neKgUxJqxd43alQRbLAJxuegtLgXowfXDExUaH6p1798/EZFv6dTFbhfwmwOBxQdztX7NNNC9hW2 UfMrSgAeR8X2l+q9CGpXRr886n5CDiCm2UwHyg4KQHtAxxiwybNSEZy4Ko+a6aH/5ZzKi/P0wRhn a3XCwehbmEMDzNm/QSyFmPJ06wehA6Zkumqd1y2cwlLSLqJOqXrEozoKOLDAxDxxggS1Ez5c85Q2 TjvkcvB3AueM/ZfVK13CgH8aRNmVx1M9hy6YjT2qVEmmBl3kZ8m86JxRlZi7kwU1/oD3s3xdiQdx hYBGfAp3gQ0mrjyyFZaZqBJIlh3GsowXA5Rd89gg8MF8UhjKzpV+ZefGAEGvQW4YnSpIImcqz7DI UqBHAPWKu/eoO5cDK0zFm8DXVcHskNUqyEy5M6ftGfWD0AvzwUWxm97RigSN02cqlDDEIX2+puEz VYb5hKEC6arzAtnaTEVAFjnzji531Z48LT7xMiLvKjsscGzZbx87QxWF2ia3mY5M0N43ogwnddG7 Dzqj4EAiev0GUSjwwxSO2JNiFoPHmaT18M8+r1FUMcVaEFy6k6rkRjeB+RdRj+30WeyQeo2hCriY kp/T1VfWrQRvKDESsXvicpjpopkLwVAQWeB1sNrjh00X49cIqsCbh/YOZSYfrL55NnOW+tzC0y9T VFD1Vnt4KCJvgFxDzpV35ec1fDqfuSj0WAj9eKzVlbm0Vs2/aKLHZId4MD+Gh5rP4K3hWmk3R/jK yOdMQsVqNAip8Dg/33p3j4elk+l1gk6ISUn+LInn7BLPBUYIzSLxhaffJggBRfIJYmBKynu1+XmN moqL8626/JJbROEpBZaTMbOJ5srFrzFTucFllTFPvY3OEwpUqKiyM4WeOt0v0Ww4RwtdkdGWtthy EkX+GjEVHCZcP4ubjIPqvhDEbfJT3e3zGi5hcnf26eFU9vOFSbYY8/MaLGF/UbuordqtON6Wuc6c LEUeT+jdLRR0OD+vodL5QZtAuiH3i/G4XyzwXs8CIiv/zBvqv5Ol8cUvkL2AOz9Z7/o+Pwh0TmpS aagOkd8fYSZAOd9sFZ7FmT+vcVJ54klbP1y0zffXa5BUXLGYnXAzURGCtVMAqzvAvtJgk3azwfpB 1xqxETt2HAxHV0+uewKKLOw72gcFdYFepSpVaOe0fINtSqm9Rkg4gZi8pS0lte1Kaidn6DItZKP8 NT7i09MmyEGZUBqzCXqNjrArJ08dmfAy2q5WbgJi3FWdwKm3JvlrbFRcGRxBtQ/eW8knWsygDp0J gpZc5gRtVP0y/f4g+3ubmrIIDSZolW+3gunEnwJzky1BtVU+r2GRbxbNh85GxLC+w16DIpzrPKET 2/FSK66PwQwRoVIrRt9BrhfRBA31fFms7E+xchW45RVguykOdnaWfC/Bzl7sfkErxrcY07bXeAhb rFDNoZCZ2JEs6TApqTJRRrRc++c1HOIJtn2LsfZNx8NkU/Z5DYZwRrNBZ/1eBtgiBkPyejAdp+T1 2W4GwnwNhXhGq/pBfkoTgkZ3/IS+KXr0kHPKuMfZQARZFCVYFPlTu+E1CeavoRC+BkrMNbYfNQiA CRIfW04wsv28BkJ4xe4zRBzUOZJOEOOH0Gt5EnuMqpdsvRpwoHWnG+Abt0Mo0RSLymnBIZ3ItGC0 YIMTWweI0VssRNSWZeQ4UPs8UTUoqColESq3aJzyWpq0X3HAOKNrqDL3PF9lIZ0DHp/l81qYLAKx 2BIibwpNkLVZSKI4UjBFrAo1taDFrEuO/QIDK/+46EW3attrUbJckYImGE67cSJU09sJnRf55ICm NNo4TkKISwZTnZAmg8jRx+m1JInvYXGKoMLIwQByFWpB7A0Qm/pakCxmx6Q1RHOgggygdT+p30l1 xSqSMKa6R7USft5lEirmHCEdN/ZhNEkmwUNUEwdfR5CWT7NRn+Bc9R2p6NkjYxEZh7yv1a9GuAjS 0U6TaxqiWBtUuD3BV6P9DqCvn3dKHdbhPYzYYhk0KPR19M6oK6YkVke6CymvsbwJvjlJaILTZMao PdGNTybGSJRP42DnNahueaO2idMI2QjgKSMTE0v1czCsvLNLa9LPO6OOJzbROXndwW408POYdOTK x/9LUA0ezqz3Snun0+FOWwyl+7q7TargPJBYnyakQuULIb6CSdo8q8Hm9cFv/d4G+XWU4U2LMgtM y84rglYKPLQHRUPQ3WCKejO24fYhWVXNJDbPQcjHg7Bo+InEqxCN9EIVHk5RFFgzfDtr/epd1eLr aPXp4JyVpuumvRPpimBjsJRh9Z+DZ2bA4wyAceEEUhOgryiuUUtvEN6cnpqRdBveY2scu8TmNLnv NE/NcIrS9JUKDp93Hh2OJOu7aRlRoW7QxUk9h2AZoWqHiWkOYGrV6dDnVkvmL39O27uM3qPrrMLa SijI2mBkwwS9j/MNIjbq56jtuRpafAKojqbu0yGZqj+Gx5FkU6eGswSFzNoo2fFwgnn9551Dh52a fY4oeQ0hjQGTOJukKMCmt3UdAphINnU5CrXSGNnUZdlwS/xJ75NEARTsz+aDU+g2SteZYLiToibW zgFqBvu0kzr7oLxIUvu8M+iwW8h4GpuH9nAlxBOdQpyNG2iU8nkn0JVHpFALKRMy1setgoRBNlUK O7XshAmQOig1+GfyGm19eDxRlK2rbbYyfHA+5pmX8z9OYifOBQH7XfZGIN+RWaZKXgeRPdLnnT6H 35IGBVB59UErqaQp0mmbeX/e2XNYSd0nqSllOYk0C/6coyjMJmwBVpoOxckkeIgUVj3MnoPQIu62 KM7u8ootefrgaMHWz9OdqRqOo0EZhwFd8J9JsdfZH0r97Hrd9zkalEUZifYpI20xoCuAPoJswyrm 886cK9J3+yqnodO7cvFy0TtzjvcRU7TStXoAmcjuvperlY6Qzm6n70Sx9mCethuBExysYDTJkUwi 9sIZYA+d7EDuQ44AqnK3d9T1tu9zNMWjB8bJBlWMOlycVTGC2E4Ua+/Uv262DA5DR5HF5iiKtQFS QzrSzTIVuiRuTzxFU8EvQDFVbbYw2JZvCqy1bbBju0JVbiydSED2ScowQ08Izg7Gpfci/9TrBpPU EUZO5W0cdCIV8AzNM2193glz2Gwj/braGhl2XnaMYu1GhGDL+do4VXdzGMaH7uz+J/NNeSfLFSlP begxNB88jDzpNQCm7IRQhY+Q+JOmT/hcw9MzJa9c00/q806Ww0rooqDk7INCJNQQpkKkkj/vXLli plV3jih1je/H5igKtanKXTcxj6qtjeFqKDvbfVfPbzksH3lnyhXZi0CASAjBmh4WSkEaAgASRMDW quoWLfhDnBsYZREQKv1Eyva+UX2fbbXFKnaCGkIRhhK9NrldQQ3lnSrHu+07SAJKl51Rm6Wogj3p KwemkR3bJS33BYEEwW1nd7v/34lyeH8qV6BW54NDTc/VsM9+oFIh/gVYEYBykQjCT/Wkpsj+ja2j pfQebSNMkqgOW2oTMkYi2EBplkYQ4CGszztNjrN89xufK0uqEDZNYbxNRcek7pBMwbIxec/vtB3r 1pb7ObyT5DhN7BQNYgM5+IbLkLiEn8uZpgZFHeS/JzIAbrIkTh/tLvsjhfLOkcOn8F7rm3qOHBRw w+6aIQDcqD7vFDkeau07b0MDUhQ5TlIUcK8tym91/aGerQOJDpX5YIEs7oyBd4Ick1uq71Zpg9eb 3J6IqaGOD07NOdFhLsy8rZMTiK8kj4fDKzund34c54gFgKIUtxTLbRvIv2ZUUNfnnR2HG3jN/3Mq wYrW5ygsaDc1sbefSsvJzWAOO+tr0HNLcxRVtI18MlV0m48oeIJ0I9zfUdFG7x/op90RU6LgAKhM r+U5upfeN4iT6J0G/wkf7FBCHYeVt7PzPu/cONY7fCGxIAl0Wxn3enuPt9E3XzKV86QEfXgDwKd8 AfCzuWDFOzOuiFYOQY+5ffCFBIY8FFXJBT//wd462S26+zlhwxQUnq4n+NL7BjXJQZVZgBJt4CRN dBSm2TPszzszjr/udwkA0K8BmU2bpDDgRpzUaprOHyScyvk5dXp6K5NiHEnvATdWMidpljtYLHnu ekgyFuFrOkQb6ZqKmjIq3edcengn6LnzdYM5kg1PMfOrEwcSQYfmdVVEcO7T/Xlnxdm5+bSPEFqx l2iTFNW2G/F/s1xJNIqZa7u16kXJ0YF31kp6D7ihwU/3vUKIMgcHQpx4uDbku6bokSk8kNBjGtT4 g8Sj3W6DCOV3Tpz1A5E6s8NmwEge2wDmS4ow9887JY51kmFzpAzmnEZt34UUBdwUaYB4iwuDY6M/ TVprQg4WBnQkvQfct05CArgGC7gb4uGWyaHoe8N6idcfzoKGiv58AG1EG3/e2XDWKafN9fZBXX6g LtmlPUHl+LyT4ZjK31hSHUsQq5evo3cyHNZRl2da9goA7Cns/l/pkuHoOaNGdhhwE1CzK52cOTja dp+Yog1aFCMVQeLDTj+0YZHxI5h3fS80eD/vVDg0yrh+6iIBvDp8u4y5ZYwHL8fyeWfCMd72Xj9d CiCARlNSTVGIFUlEkJbk51G5pe3Vpt13OKOa5STvPDjebB31V/QqtrcssIxyx1VGsa82wdiFEQyO LNT/GyqCORX6RarbX/S6/5ojSBH4YOW21HsXnGby8ejMvhESQ2srk/okReE2g/S6dFLT2LF7P/us YIZI7LXRzwB77Z0Dx/MoEa5NjxkOtyZZ87nHJpokSHRgn8kCANAIQG2jb+pndrO3jfI2elQ2QiI4 KLc9W01kVwRZn3f6mxXrnggJ0PjWbrHtnf2GKUIt5iQ8y1W9erK+CEptySDbUjIlEvmd/Fak3r6x 5psPNkVo2Z54u8rMcdM3hfn/Sf2hNX7OhulpW6b64eed+nbbSN0HRlc6s8GSlSJALp935hurJN+h doXdzSZNiZMUhdo4986Z3bqr8Le8HdfeKfJDuHbp7nb1znvDl4QttPJ4BttscBU/cRGVz3A7rKGT i3JUAJQUR0VkRhufd9Jbca2c3k0lzh1Bzi2QVWuDnvbnnfJWHtcUnMCcIjxwr/53xhsvCqZs7cs1 ZTt05CwvU9GbakJziqLKdiKqBo5PPtz46ERfqcvx8iQhczAmTyDVdp4Q6PDeFsnW6wZtJAWPk4kt B51H/UQpJFPiYPq8s92KSVA+4JEBp4Lmae072Q0fqkCb0rtKa7eJxNHSbntaC/yizux/F7bHFoNt P6LWkE1ENYOiS9CfANJ4Q/MKXldgv5wNly9/kgi/d65bkfj+WUKZuK6es/faWqOUIc41fJHvgbYt iWeS0JRqt2j7znNDfEQxoVq6MwJradMBJAT5sNiGbrQC7XeaW7kqg5kx5FC4MokggW1DQxUak9Rx t1FyCUHGAuqgAEpsqb9Qx+8kN2IVaOCUKftSSQ0WzH/NtFgnPvc7XzLq/3/ntaiMnjzmTlIYaAtE QlF+Btp1LzMEW6nUYUEktputpLCynaiAugiR4HALJK0M6l2hRXI2RiHiuKLWkSk7fL4i93LO8OPl p/zr2K5IYjAwMuUsAYVKlY5x7snPO8ONd1v7ytmENpyOkXintxVxDHLrlHVVk2TO60zQDS6BxHYb nvad3VZc2LM3RgEcvEkCJk1FbQMYT7TpwcOBNw8Q7PQoGts1hEws4J3cZnVmaE6wxs3BOkm5NAP9 7/x557bxJX8Xt/vJ+bJ3kt6pbfjQxXxk9eGgY9ScpXFWIOUgXfQBaxNK7b8z24ozkUaVpi5BhDqU QEwHKomobKyCQvXTAuJIJvOpECOhpTTY3H6ntuF8oEdR6VOaea6KDpvfaVpcdX7emW3lcXNSuy2j krXKDbffmW3Mh+UuB9ipmJISnsqUOJDCN8IkRsRYSu/ENl7PksNpxQefpXO7gYKGMGnBtbAQu14W vVNx6Zc0HvQ65ajeiW1FplFnelAQ4mANt3P5AEuCWTpf+eed1sYahS8l+okDPZ9un+Sd1cZYkjpw ojwQeTNXMjpppcGBXBsaFT2xlKLqdmOmAd9GH3ySsDoJ26W2GaxNBNzC1wrw+Q870zdx63rf4Oyu mh013pIJWJ9NBoRnV7WNLxlV225yi+fQRexp3Q33HnEnSZg05eu0bJjy4wPKf3bSbdZjn34uuHdG 27PhJBs/Htn41U8MA22Gxg0HrkiitcWi3ARaBwWQcosCxrb3DaIAdv9L7tUHgZL6OJccRSrPm37e GW3E2fossUoCq8Q0/YJ757PdcBIHh5fbqKYs59TmNrxLK5tLKSpuyxZ06YJbzwW3+1lJGYhkRNyw SqWQGdrT+edETfAGx3ZTeqsyyTubDentULmNqCQO1rtNTYLKIL1/3slsWIjpntxpS1+JAv8XKfnO Z3sg7X15a7JQS0M4AEHayWcDiphX3DudjVcsmbZgxdjg81QaAMqDXg0NQh9s3yagSk/AULnwLeoG cYCfEZEiyEeWVREHVZPOLCVDbO/xeWeysZ9/YyXVt/Ev66L+QzwJxTh6u5BkmAnbHJ0/mcVsZ01a cxSG3ZycPThVHDzFPaHYBHYNFbcF1m1nuwBUKTDe4bxxg24tpai6PcjOatSu0qA5qjT5+zFi5DuJ jWfaL6gEWnag/PocRVE3JfJbJozDnIq3l7crc3mpCOZhkNt3Cptzj+AiV3xwGMCJxE4my/I2/OaX 4nOIWbNvSU8877fhIv68M9gs/YI2OzkkHLTdNqIOzdGcn3cCG2GW3zH3udngO3C3WhRzd6KSS7py +sXb2lS9ctsBmB+oUtJC5DaVp1YjpnR5MQzF7ZNA4CBihrvgnip3BiaYMD9D1GOml6gr8TOCAECV /24e6g6UaKil02bnnK0NjwexZPcqAOsH6Bi3dglaLYy4s8kCOa8dvAMruEED5zJslhXcWlTc1hyB DuyDzRH4zCi7kYKUNyBhMqMHL5mgeXyX3t7WXgvRJFQvG41gwCEcMvcaRHkJ3TrREh4PMACl/9pr 0ObqFwTQQ+g2daqBQLOSWy2PmAQrxhKToNMRWTbvETcmqWmTdR9sr81OD5smT8cTFw3CkjquOHLa EGRbvC27gR4TIbsETasPjgGsibrYJ1bj4wGLZP/K3OAKOrrvtR6F20D5EWV7jYpl1kQhCfVLWHCj +i/2Wo/QJOy2LZYVbbCCG9SZoHm45Z4KJz7j3vafRtY3GhVeBEj2ukFOYk1teoM2VyYsDcqERfJA JyfpUbSd6ndRctBDcnm03cNou7DsT8UUy26bgyQqy19qkgAtxGutR8VtVW5HJZOEg8MkzzV2/j5l y1IdBeJSiCMJ66AMPJmRXgMQWyuKtoUl6avCzqqjRVFFRzopz2K7bcxW8HxAJpnfzW2KjMFAw6cp JkVW6Zt3C7dH3Q4C7LUkAwE2as5wKUXhtui0TRFSeyIk4P73yd66aOywFERy1wlRzCiiQHd7ePl2 M7/tUbhdKIPXtoijqlejCLDA5FHm1jseDw7uCwNkwQCnUal3kiJm5HDWVjYUoDlfAu/TmR8wRGKz lTlJD8NtwknHJlKSg+ckEBod8oUZZ3mjtwTRVyyeRaTmGK7fnclbxYfECIDziy5qcTGEr66JkAk1 /4GMGJ4PJml8o7fhio6j0ScpjrU3D+vpUEn4KxpUkuL2hksGK08rKaRHLnW39x18kkpBAD9kz5Q7 CACAADB7YU++rqcyScnX/h5sJyIWzs+YbEvmcVZMdz0Efg1wpqr706No+6kBeD+p5+zt7R7VuHHe ZjDMqxVKBiXeUChZmQxzAZMXBc5xuYXBNvsAZ7tkHy4q6VxuSHp+QHU+l9Ckb2pHPpApb1rRQL68 pKn3DY7uzA4AKgUDHCfsWK0lVHOHLOFq+fQo4H4gbjiBsP3G0y7pUbyd5FlcqiaE0OTOGUOSTkmN zGCS9SRsuAhOkmgO07JOpbyfUsk5MyBKTPw21gHdZEamlUNiqeRLMZ+W5PiU6IaTQtLMPgi91WC0 JimtPPF4UASo373JBsuvdrHJPcJv907DH/ozsJ4EZUirJwH6IT0EiGMk23ARnEQ3HJykOBDGUOXz hQMOYH+A3OGDAqTgAGuWfqmstz2dN9C58CkRE1BiNqv5oEoJ9PN4qoCbj8f/BEvSuSEnwHZwHds8 hVF3ZbGtbPdfGNdYduYxZcVw0odbd+tRnZsCrmdpsM7dvuvcJ8TpG2iedq61c9+REIf5m3C2LESV Xq/iQU5pj0AlfYl1Y+Jby/tKea26BJcsE49Hi8nTtyGoO3Rf1m2ajCjuZjHy3DptOPi2XPBty0bp rieNT9bFHVGle7KYtEXm2leTExpYC+oXlEiaEFsqVJookIiBPvwPTyfRSqTYPaK4O7MqWQdjyupQ 8bJQPOfygPoFHg/i7nt6s1MHuasB6pXNURR4o2tAbz2xkkD0ya76Q68bRkvnPBkmnjjCOje9hrqU ADj4UlqjAWXA4uSEHkYhqBRhyqLh0Oj7CpMBkIcPiSq4hJUsqppT8nFIsG7tLY1JWFjj+ajOfdm3 FBg+lxKk0nyWYhg3ugHXBxw1dbeuOgd2U+EENK9qofeICt24UTc8rpieSPBnEA9wttbiN3bSk7N+ +iwWWIEqi0xkPV4CuLzwGQGolGWS2hkPnMHEAPeJvArzoXN1djwecSa+8ZJoRDV4TtgUhbiSroaJ 85PPMe4dyplLd6HuxEyLmy0KuwW9wVxwkMYqKgFgpMKLggJ3IOEMdp5KAwefYSX6GxYFoMiDz4ja JaxIyhZGWQE3W8mTBqVwb954PDqRylfQDVhCf1AlIwq6yZiEBsl0ygRNtWUgyyzBDGSdwzWioHvK kULC7xxsr20AuM9tRpTbBDOA1s0bosoDtxmYOc68neS5jajGrU73qiTgLvhdi1SEKDizLHCunYzn o86bn0gUi4ewXAf61mYpirrHpM0uuFRmVdWSYwFT625VBQC/7bUo6l7sBPRNfTsOFin11fYugwKA k+Lvja6DMNqg1DxWjy2kTS2AEVW4Ubg4ZwFCdRt0tQ0miYwrx8TjEfjmVgIITkaOK29GzlGI4Jbk bbGTh+QbP492Gw4sOS9vmIkRIbgLNdpWZXeSg5cCzqxMUiHp2IyuLfGSmXkT6C+IARy/XfW20aGN FTQ7z24cbj8SHTtnHs8IZbgjDLhX+T6zkT2h0OJzFEXcQK/DCzU5prRCoF64kilbRuJKgErTOooq 3HOoJknWBElfWNgwIUapJAEKgtLkyQTRYDy5C2RPOkiD3Gw2R4D34jOiEAnX/twkTRAEJU7xgpoH QeqjpI7nI0qJbzZCUOBG9HQBRhhwT1p8SiqK0MnRXY175GTYm7EvQGnEATeVf6ZIXPOLxLUqA3Hq JZz7bgDBeqJJTPuGimUVEUCbjXHkCOPttpW0cZDuObfbWDVvphWrz4UfENQB2i8YF7Qoy+0njTjc Zq+kLE0NanDFjSvpEKqKEgjkttviIrf62wwMOdgsQYNqEdOOcBtW5pXSG+gBQCGTlqvXLkDndljk rjiSJkQBUI9ZUNtjjL376PxiwKTB81Gx5AICWaQ7WQZ+TZulGVe5abhEViZluIm1MO+8UbrNUkeJ isnbDNX+Cm/+TWAtB4u2M0zMASllZ7LCrYtGqYCe0LpSOPfxkG9niCohwL0pzeWgc3sjlTKp6IrH o3bJzW9F4WoQdvcy94yi7So3OLbI/5eoMA3PZhRLgJLGdDFIalRtPRHADMvcSdDsOn1wGBeENbNa SmticzHBbeZzz8yxXaVynKN832DDDam3UNidgyW4oKwqTOoFj/8FBeAKHFQkSHclRdF2UaFbar8C dK/rESCrJZ5KMCKRpFQYbRPs1lR+4+CwyQrRhWaSrRl17sFTCfOTIT9Q6m4Xe0PVhBkWugmbRNjl g2ol55Sg4RGq+xWPR4jA21WSwtL556rPNEURN50RztxctFuppvsLH5NufMCTuro22YwL3QwnM+Ml Dt6fPO8i/hDkEeFiiYgTbdez4SDvgn739T5dettgklrS7BQfvKBEMh5RJQuPR8SS74IS0QNzX87k jEJuim+1RsVQztGcbhG7rot8pXSfzVHImaxdzevhgy0lsJV6he4OwKX4LTCNZ3UBEUC5cLy7qyTT jGqGnEnmtfBY90GAQPg0SA+o9IrHg/7kxbrl7VIuY14c1wwL3dTZhHiIhZOjb9cEACjUNtyJb8yk ckagkk5VcroU2+CJCZgfUN4ijQsER7qebinynGxijSdUokPljEJuIJkgbMq+CQfFAKA9mYbbGHg8 Cie/wQCo3Q+o79kURZiSLkxJ9YVUgSCyaHJvd+M4gZ83TGZU5oY5DQIqXl0cvMydmGZRaxONrlEI BoCjNWBU1N28aHeEwviM6NzGFI1OkPLorVvEDbmKLYmywVeMJErKdwSA+LMDimhzFFImCzO3asZA xLyVu9mmq0my2arNFmoBVnWTcChrsAMpweG74LenWxCcUEgJQGVmkEW1188vgbIZVbjLUnlk3MEY AYUYQySAm49HEC4/tVVuO38G0M+mKMKU4GsEpmROh90IyUXYDbnC6uHSX4xTFAXcg1DJmfodvKWE oyKhptmALz0hNUytUVk6hzyUTgGrfwrcSa8bAJRpSklhPgzADEm0FZ6sBGmewLvi+b/ASbJ/IfTO 67YzZEw2egoPn6RKIQJNUiEMRmD36aIJM5YokbIU1Lc03EgSeNg95ATb5VdCUElmKxF7/ZmkVfS6 EWCCRSTpI3MQOAl6box72slN8HgUSt7zSARLmFcB5qxZWlG8jWwDonzbpCVgS23SUsMIOGjhwopM s7QiVMkoYpWwFMDBd9uJXyCSzPz2BNQEIW+cUudAgHNMq47iNjWAFVa3GRzxgLbBkIDnOBSHu9WK xwPO5MMrZbd3sSbl+e0Kq9vgB5+rufj1D1EUiyTLbmZgNqHEYnMUxts0X+7YojZ4dfucGLNg/wHF vUrana6ioAcP9A3AUnN0Eur4+IxgjsgDQEUZA4g8rLZCnhgNGOb1teD5aLfdghtutgzT0Et0X6HI NvO2TIlhO5K2q9mjIO9HUrpzFMJKePmvyarsmorqsI7gwQyMNbvcUCzLrCVVGIYRZcZ+kkdIZ1nh M4La9uZRBLy4DQZzq1U+3uhQ4vGoTFK/D6Qzv71eWumKIm2bonIF/7OCIl5sOw8rSQLJp8t/hQju LsEERpEcHFJyUlsIJ7O2Dce4xvgAiiXnWwdzqc97+RPktsLa9pTENg2XWduWXAZlySW+NaDhGiJK 2rf0Jn9rsDh9kmKxbRkJ12G4myY690a9rW8d4LXTTEaTFHImiUvqvRQfPGuj8kIhIXAhHIXbJMhu tO3CESGfc4PdTL3uvwpuqJYTdnu+iaowEqhSwtT7nhnPR12Sb/ktXFCTVTdNUhRp0zWvgkRqkXZh UiqFsl2vHQmQLpqkP/RJyBnRYJN0/oz+jhS3QR4lsdTc4AtqZ4AHuv0d8br4lEhWQlQJxgHFpRnP XkPxxRISvmQEKRnfsTZoXEC02iRFsTa+DARJxbttnd+lqtvshbKSNNHP0CSFsTY5pU3iEhxsuzWs Ism4LUjcbwgB75FpRg21aeKgvJYEQDnfN0In5a+yJEEMPxRxmcOU7VNqeDzSA/gVJGEl7XWP7SjY LsTdVKEBF1uSY12YG/7H/7GHUd3YZoUAbjbaWmYUwOFmtpSWqLja4LMxYRd8JokmiInsM1wyDiiZ 9r7/8tfIgnBnRBCMsUutQGL+UFiq4vHg4H5YyszwKmqSV3o7pE02ykmu7FnbrB5JwmfNlG5G7468 XWF9m+AkEC988F4S+mwNfVVMUi5pqb5N4dk0ud1ABmXntiBm4ftGIG4W2jLbkhySVhJVz3i7Db5k pOB+ASWVVZKF0mS5p1JY4F5iKFnNCBiu5KHkpmuxvINxqGiaYgFu+QCU6oMf3ZBMYoUcAtzniiv0 ksrwvz7/Leqim9rSCpSGve+/GkqlkTLBQacSUhQzmpwVj0dr6RsyScG7nvxU2lG8TVE+eKtccmm/ DKU1ssXbkmZlnLRD3uTWcZSmD77hEmm4dCNB6ZCkLaRukFFHSUYN7vT4de8o3mZvA8yk4YNWEtjR Q5LuaeHxiHkzvs8k1JHWbQHs93DbmW5mjKR2UrZ1tPZ2tbuzDopttx1rlMg6qg0f7hTBiGwKl7Sg oAN65Yk8UQzNoD8WfJd+u9EMYIcwbvKSqAtmg+GSGoT0ec6c7Ha/x9uQUfuGusMVsucL3tohjHuy T1Kyr6OH6TYRxHpOQtgeJymGcYt/K32pum7vtkPfkyg5yLjhXygJvGA5PcAtyTDPuQa49rpRtY1h ZCF10lgSFASSigqJgRuPR7pS+evczgZ09TkKKZODisDz6rhv6d4PXstpOpjk0kp2CCYR3X1QOJqD zdGJsXolowF5G+0TsNcG7j96W50j5PGdnva6AT1JIvcAHdpgURLEJbmONtZRhCZ5ZJMZqENqpK3t 8OQdYrgpdrN7T5d7U1ULKCs72aQCFmrwth1TJhk/V9q2cfCFdM7k3pLKbfkkAZkw70Swyz7LD5wE L7fhPfAZEeJG/lqU4KK1klTF1okKN/seZ19vPP+nTAm5gBNowIsm2VHADeootUmMe+OpGx1uXJML MiXNrrYdokm62FuopmnwJglA14QFEMOtYiSqJANI4xNcSTNRggCojeIzIv1topJBxbNBm63nPAxN glM7tLjJvtkaCwBo3GYPknYEJoH2KAgTzUTcXKWE6+iW20SY0BSFcoDGT6ZmIgdbR2TJwSqJhaSV KoRvNxRGUTGFywSAt+K5cTHgM6KybZYSUPJByC3cTww3AMfE4/9FEBgYEZo72BSFGiWdmhtjuq4U NO2cwVX9PEIYuURP2jGWhLRkyo9rsFWEs78NRNgQlsbxylITxHRwfJwpal6QLPQtxmdEjFIW2VK7 g6YooWmuJBc7LRTfHvv7WmsQlbwx5I5C7bGlktCrTdEapRiDa25mKRTFXNusgHccarOHNFXZnk9l ++Qg+1zOlAI8gXWrnQyuUqAOAAc1wEIdSbKn3vZfNZKWlf1n0xvArVa0686tPPB4RLrxmi1WDDqw CyIaNkVRmI25AXhkFDuLyuxGllxNeS0tXLYrAe4IRzLZH1kyq+PgtxqcpM7Xy4ZtPoHMIIiiIILr 7IYXV90siRXbHYuTEGpbKL+RSnKxBPR3GAGe1x94/C+VO3FuoZj0+CWkEEWSijDbxdPaW0WCJGoT tP1ENTRz7/pR0TqSlZ883cu6fq0TlpEnTO2skOxzGS0quDLoJcut4m/XKTP3te2NA1cyRtr0fMcA 9plJjTX0z3FXnWCcPyBCSfhMEW4jHuy4MxVSJolvW/zA/wl7i4P5f5QhqHWb+Abh9hK7T2G0Tevf ukms5eC1pHM7V0AkyQfcKEsqa0O0nWAryezL+YCMtvEx/8JJpMnGW5pWsjrTfEJ2oUlO9sPng6Sk f3duCXEA0eLOVGh408jjWsWLbiul4vWkbWKc6B8Xq3CTEBBcH5op9nCrEOdaUyxsyq91s3KCSGnQ Oc7yEojOXivSbG8cgSXY4i6kK9cCpTFps0FOnX8cE5atKQq7R/6uTiLkHy0/uy8sdONwbpMnAytv uD+sXzJLthouEng3B0iRELeaSgWOPzbYTPGeLp0GSgWyN9gkZ/eRyHjiQjB8aFSuDE72ACkKvTut k1Km3hQHw96kStF1xHaDz/8FdsOTm5i59Gy+KPZOcm4trNjymGr3mGo5T6dN1Nl880XVbhm79EyF t56vNym0NxLXCp2mEr1dsPnA8s4EcRVUIKyylGURlCJoCbqpA0l49UFQro1ojH/ccJtIIbak/sIE 5ELGNBBLPldhzbvydCI9iqyuUtcw0Bu1jnVQtUryBJ3LwqI3bZRGo3QrB0crQ86XixUo0w7OI6SU qfd+vnzUG4C1l1eQvLv5MVFdgBwchZoctKgq6kvGDtDzkS9X/bX75olM1uPwFuqWkEKRhTFhC1xt E5QQFelCKAimEcnvvigSn0xW2mInhYMvqgnHDYjOYnWRa4C0N1OYm7CSPHhO6e7Lzd44CKN4opdh HUsrHWP2JwvTdMXh81F/4LuCkgH8gz3fnalQvYRRQurDi9+JUincfpnAb2qYnQXiDtwptsPpCrOT Dw5cPjsMgGxULAupiNiofTGjPLv8/BOiiHZOsTDCj4lY3owSzIpbSlJYUiezI6kYctKNz0eNywsS ZFoFkO+a69l9UVy+lACjgW66wc5igtBLdmnlc8dX9w2MZEzKVvO7Zh9uLQVtmSWXaXR1K1knEzjK WQWbvzBBmSulUKO7M/cFo94GLqkEN0e5K53zj88He+9qK+A5Q71eXSVbla81cGbB+XEO2Lcsty4j HtDa5fMUFsGJSZJbiYZLqlygZyTJKyzQjRodlgCKBKWGMovOhCNBF58SaeKQ5VXp9spBEzUQhai7 zbAztMbpvzhMlcYfdz2FPpQoqEG0xgze4Jtl9u4oX24TDsKacB/KwIgSPDW1bekjxMFy4UFDJYhH AXZ61lFnDJ8o2sMcG4gO932hLXcOjChxGLMdvmSOS91KZsMwqRNE4UwZn49yvV+Fp0l7vFt4yqEX JU2EzoKaLrS8r+rLCclv5amUdCcqAp+I8o17yweDMYG+DFvTQfrpyeqbzn1wthpKVHXchoEsTfI/ vCgJhJur+yA8XGktCaGzSuXzQXSevWUgRu+W1rNPUxicZ0GXbeUg9zMpk3PGNqouU2UJFUPWDXJo R4nuyCbrzQdbT+XEscgYMwWpm45hEGvOA53SqjSksnkqzV44aj4xgkLtgUMRu3LWPNkQOid5HXw+ 6hqU7/WEPm6H97BPVFQTX+wbCPKiiaKQlASX03JN6iFGAddTGJsv4uE62EoafD0hzEidDKveEPuw wtA2ay5n50Ph1iW7RrP3jXQ7RWVSMcpPwdIRCBJCiuY0n49iA7/xJPKJAvHjJRjaUi7asPQyrchy MmADyBfEh9nqUBnCRjZNIcuSDNxVqQXLwc8nKBImgDhQZYG1A4+wnAW1Rp+jX0CT5JZy6Ey5qJSP 5EVDsvUEEYcq9MDYfD4ifv9q1aGq0Z77LnCmRCgtF9g8/XhKV+J8kLrIeVq0Tde+C6Ny6rqtMZIP Nk8dRzksr3iOnxCk2DydYeC8gZ3RRTX1aS8cnU84mEahzDkHwSzPJxamfXBQ5fP/RS4fnaha0rPt Qqpl5rabDmtqaNgZzqJKZGiwINVMcDGH7pTMSc7tTM0FDo4hKAU1lU7IzgkGQIykcgdIPki0aiL7 S2U71aNCe0pID5wvECUGGywjXo3xMrTyOp//6xwX4ODcCiU96ykUOGHvF9Amm6cy7jyRisjkZZE/ avMUKZxIUogtMBscRADYThk0FtgpF3oYnywPohRgWJ5PHe68dL7bai8cn+Mojpt5niE6zkx32Dtz PaXK5yNn4fl13+GmmjU/6ylEgIO6e6bJVg48n0yp6oQFUvImAoxIbc1TCAFniQV66T546tJPPL6B SUM2DLlWQDI7qfMZrCQcgOkCd3qzNw6k8xeNTrey4b1uIRgtC55V5wfz+YjfdAMow2riJH8izRAG XrsMc53kPKlixJk67++sFAhw+kzFsBReY4Z06v3WWE5ihJKLIE4AzaFKgBoLAEEJfd2ChOJSnKa9 8b9UYdH29UFLCmbqTaqwafP5IHcpv44oOgfxmrGpCg0r+5ADQ3bOPJh+tvmKew6cQyoPgxXm0LFS pHmYePhgU3WWGEorjA326gOxLfW8NmXsz2/+WMNRQJQfEtQNtvCEhKiW1KR5BqVoahiCn7Iyn49E BuevJUVvx0ubz6FpJfs2MJ7PEqtCGlOMo9rg5ZR5mDO4s3kKAeGcoD6fwZO8c9A2YiOoxTzOv2FJ Qcjy5+SUaBX51svk7vFD4juPdhXVByvaAYImf/g++XyQu9Rb3ZSqJ8QqLrMwx7aVVKpYqW2vRNW6 bn2FUl+JPjpj+DyFQTm7eVCL8sERzwsmrihLorx5zlyqeYCJiYo5lJu+5apyTfbGEZ+XcN7F6IB+ SGS71YkDS/ae5y7kDwjCzXyXFP82zMgfL70cWFeCmEgaRveG1QnFs22989lFfzw7eTWvBAfelQKC wiVWtozj2jLC9gGwBXjFQDEBQDoaoaoFBPnJIuCzXXzb3jgAGVCHoUjXA95q2nwZ6A52BnrJes2I ZvDLL/Zcu6PVfCcq9tQRFZPVJ3rqILJRYJ7ZDBLMACACBeaBf6V7oc4lXYY1HigGEOIZEs8IzBvM wwjFAA75rCzWzcetmFNRP8cGlmQ998HTvAPsJc1JdO3Jmz6/9/9j7LuSZMmRJP/jLCkt4GTuf7CB qprB47W4Zfas7KK2pjzCEwFiRMma/IA/ifQWIeBqvhMVQlZoPpTlYkE8xjLW4TnM1RgVZMVNrHJo YrmIWkXhyQc7zLH3sAEJxxqwogcFeED/E6JIYE31C8bM1d43OKTYRM/KYziowFLalvr62dGNz0fq zP+AxCFcBZMqn6YoMLf6itS9GjUIs3sPQvvOCnaN6g2apigwH1UuXwwPOFy6YTunHODfJ8oA7UnA VqKYEEb/IOJzUaY17X0jVAY5makOH1RfOccenRIxTZvPR3F5+srzCgxRcc36NEVxOdHlKMw5dKVV 0/Uo9Oayet2gRram6Xd/HVw9Pvg0LYiG1iVdhvPj0RRlQG/3Z0IiPkNt05ov2eYpRK9QdogYAwwo KivGRPjP46kmvWUEgvaDHO+3WTLIzzyFat8k/W3hw5kP865iPrzy9DpUgmqQ8uHAyxLNV85TIXh1 lgte3ehWn+hbUtYQ2N28HCmuNlBfWMOlB00tLodelpX8lV65+TgoHT5raRbz2sl8Pjic5jfqIENk Z80HoBHaWbJAgXvcFb9JENDp1EdztBhnz+YpZmeyWJkk0pDSJYuPk/VBToVKlmCYQPQBfk3AoiIj LWjg48giXqzYC0eY+q3OS1bn5a6nbPbQZ/t1Ph8EBtv3HYFUQBfDacEnKvS0pCw8REpcYb+IlEkD 2VWbg6FJJOLGi00t2RteWYWofAtRJ63OsJDJjaCxBTt0+qgUMG2hxI7g3PGZBIzn0NUyV7l+rTvo GIcqJNV2VScPbC3VHfqSsgII/MshPYfGlowLwJx3rO9QbkwJ+ZVsQYHS6o2XwNky/98iY4ydFBtu e3ijcENY1LmXUHGh9Uehkxxi/Pw47cq0KQfWlsKm4xxgYXPkfAtR4EtK7mNuPh8hWT1+Utsd2Pqr 2Jxjb8tCr93l/IO6pvvInnn2BhWkgx2bEZpbCqdZha6vX+h6mIDmBkQTTNJ5mDeg66lgn6GfBfcj 73l2dahCf8thCg1ZA/ocnJ4TF5TB+w/Ua35ANFNeKseWRfls7acEHFpcAkBIZJRHBiU762fnbqf6 OT3KLdmFHpeDvc5aGSBw8Gw4nQiJfOi2QCVrm8ioVFhiYY8Yjgyu2KQ+euByCU0KKqOCQYYBZWTR NcrZVewwQVKWH/Dn5lP2DBjLs6bCmLzJdad35yJ0d5NZs3kxClJwxWcq1EdRjJnZR+/59tERdmbU fBdLLC3RzWfDS4hA6glg1AO0X9leOCpukv6LOx4DQIDNMmJyrSiTNvkBEdve28NYilAaGb3f6CBw uwTggJnWpp7F1j863oeqslQmhtK8J8Sh3aUAB61Ik8jBJdT/aOcPAxqaVldoOACaUdBqzZBO+yHu yvk/qdsbR5uP8VOi7I4s8VgBGHBNZxm4o7wZOF5+EzcIjELRoK1n70VRuVhSA94pOqWGrjoy7ust b9bm3js58LwEeU8rat7B0eRs6dFUEH7HrCCRBtzEhyGB7aG3dHvh6NojEnialDOkpGTg0M9nD/OL mfyASAjkuw6Mvx/yG3ei3uNycEYQPPXSHzpZv0ZOLbk48UB3ziYqjMspBS6er4bLA9onbwUx6UzU OtMvstSJMiGczhgqfRWjaJ6eQ+fLKZrUGt0HNRbO/2RqeZ0t3fl8sPVuo4rRBOLX8tQ2Q+tLUvYb DPEM65MJPxYimDLbRASP5hJOOfa+1NabZftgE1XqOp+F+wBb74TeiQCWBPD9SW9BB4YYk6OiVDAP zS+Rcp1LHmBEGwwV1bNBWE5izOejUtTF+tD5Ag3/tZ9eVeh/WWkOdjJi1+Jf6AFIP30iOKJpIZZ5 9lPq99g8iYfHQVPVQXI42R6Z02f6iwgxSGFJ2cJSGreZLrMSfs1vhNfUZRPeXRVsNHj+TB1Yej6I EK7lLBEKFfKp7a6p0AMTCB1IOG1vEkOK1/kc5GzTkWft6rWowAQT2hCwv0oo79lgvfQ10eTBV0B7 D56jUnyiXOg5yX86VxQ5LyP5+/42TWcrNw1ydzzverKxrawEmIPABPM72dMNB3JZun6qOXTBnJQr 2Ks5e6rSqItJcdNpTlueRAxL10dFfYXN634sH2ye4CsEA0PSpyB32khuQBmd8SECz+rJ3lAgFdhg ZgoOUM9RTT3koNlqdi3LnRfCzjk0wqQI4w2kKpqoRGjYREXBeaVCSOnl0szaWg7OGMWrBysN76YH VpiX1bnUgFm3sXAiToh5oI4GlN059BW/J3hwwZUW8PkLHkvF3jcGZ5zwHDYwGMBNEBgFJSH+NtC2 5wdEpIV/AOYAIiIi8XmKQnPI5OEET1f/qhjW/MxTa46yG+1Wo0IzzEkBrM27X4MtqArz6wFUOWhC qLcs8+ar0FE7pwNVQqd01Iq9b8QTYrUu9+mDsc0g86hTHaCo0Azz0cBmhY83QnmmKYKXk8lRE7Wn 2fpszJesqVBNcKbDcMDuvF/sMOXwQEU+DhZuVvCC0yRoEzAm+l6cKAq0zklnVyhmuyqPmumhH+Yc Amc8g1HOGixK8IUwMcyhIeajOcPt2lCHeoKo0A2zSnOGdu7SeB5Xca4S6sdos0PgTxdeYIeZKM4O 5WIq83BwvsJCJFgKgyiF443SvCgEstlDqaaLYtn2xgGritIFWQ1Qsivd6Bn4D6mk5MYPCMrAF46Y mO0AjfgkMIEnJugYbAuvq6qeWx4OY9nm/lAhPVR8pqK4fJC72CpnioNneud0JjUS1YNxFjvg3buj cg6+ANifjYbG8/FZz4EvZuadh/CpTg1ZgiEZ9RvRqjKKB6Ex5mOIQWw5qmG7Pj3i0BkTvyDqdsvV Z4o795bVW78cGLBZbaYiIEuVB62UVdZVVqGbIVTTdUQtGNNKWK1RsBM7tj7zJK5Q4I3pbSpYtfmg rXfOtiaJnQ2qUGCOKW/Nb1zUWShrXinjz2sIVYGLQl9h1badVKUWMdSma9t2RME01UoHrwFUBSoK ywmcFB+8aLcXBEKlHH5+j9qp0UO7FlCHf+BUcglVeNnX8Km69kxPFHvuycSeEbpmkd3PFYGnXyao osOrBG/qjsQOXomOT2xkBPOzhhiezbyx4UXvFQMg+lQxQKLv5bpgfroQBjKW6eXRCz376kw6xIfO aqLIOtHk44TOPxPNmMwOlbwels6l1wk6GYfcwxs5jBwEqxhgbol3vvD02wTJUksTpObvecIqmpig 15CpZtIzAUBka4X/WLbDe4a4w/RUSd0qBa8BExYQ8b7UbLDBAwHABPNuqvqeC2W6Oo3JzkGvxAJL /k6f13CpAtij+584Ew4q+cJgUud33+3zGithdq2MOVlzgqYLWmQ2Pa+RUjXUFExU2o/7qXSLlIDv Mpz9OYuzrZ/XOKnq5D/zIo20lS4Nlt4qQKVTKBTo5d1uzjfQSwUawKcHl8XnNUqqiHJ47aOdjAEc NMmEnl26xG45Ef7nNUiqD7fFfGq4aBkB0Fs6mp/NXkEtHkmm7G0D9KGu8+x09YvXAKleNR5wJmyw i/+snfNBaEVDju3cQ6Sb90lCA4P6yhKvNhgPrs9rfFQFPgV/gfSfks1nq2L+h0xV0SN/jY6qvHE1 QUOHFW7EahP0GhthV8r9SvqyDLVHuilJXV4Fpz60XGeDCVLK1ktOPnj3aXQ0MUlQhJDXalWwVSSB GaDMAslYb2jKLTSYILTxz0xkKl9wENKJDG1tm1U+r0ERFoPJFc0fVTbnz5QvL82kXicIDXUyx5Tu U664mbbceW0CggUgaI4vfA2IMEGNlG3RM+alZyD3hwTszDqhz1TPyRMar7solgRxQ99iTNleoyFs Md7yQxrzg/ULKTOdu02BRe+1f16DIRxgq/oWw3pB3DkA9NUMvYZCOKKr3IoZHpL2c+VTz7JZ+reQ vVh2x78GQjiiKZt2Ds7hg1coYeadZO2IfmxPvOMLXYQzsEUo9N7guult3y8xTC6SDwLpxxUsgAh1 YeMJQdfnNQyyV9QMJU5mgROfL6HX2mRFT471kbqd47N2drIBUmk7hPIs1rt8rUxih29p8O3tg0/Q gvcGgHvoM40xCHrqRAqf3x0grd4ulHfRP+W1LokJIgcxKRpKiQYiFAM5d41EdTJ0QYMJ6stPaYrL QJJsN19BrxVJLNvK0vZ2LBO4434IbR1CvGOSN8Ff65E3Cmq13cEx4YtlNtYjYVm0O/6Tjp4b6HSI rohlMmwc3Zxeq5FVIsU4WVfToDJbxf+kYY21/HmtRVYDTWqCEsu2qMe37XHQO6MOF3SS3UV1oICy bcmCqMhGWZCZvRgZhdLCCSDv8sFD6dyhTTEYSm8A4ujFe/LsTio5Uu761QAXPTpaRuTa90EpZw5e syUkCgzNASprtNGsFnnmhd0VRIs9+232TqfDDkkEy7M/zpWU93S412D3gO3v+qDiwoB6ysdpNR8s IGonxGoT0R1glgktB8BOKhFksGbGdVm8pzuH4OvRWiI9sysm4qAL7fyjqulwLv68s+lwYBtIQDER VTNbujnHe0wNBvkSc3X7dtvVthvyTMdSbLo+CuwVTJJiQUga+2BLCbIEkIKQ3vXJMRG9wTsNFY2M AHuVGxMNgXaDKerUb6ybgC8OqqhRa4pfVzcfD6Kiu9/kjgkjCaqBcIqiuBoKSBkHiO82yDo5LGf3 6bCchw8dBdadWmgd9AkbHNnc4S+M85UoCvQZlipr5+Blvofyq99p0m14D63V20PtejcfrLAG+Xv+ 3QUY1DC0Xj5HQjWzXJQ9tn4n0eFbB8k83Um+DdUdmyTeQGabQuCEyrThJNHvEtUCG7w9gnpsx5nZ YA5dOlCWuPvOqUmRYFYfrT0yVX0ML35Jy3VJywFoatDKBXwVDpmzfT/vDLoqAKsmiZUisAwHMj6b pCjAFoNuyoRPqqkt2Yl0fimzuxjwqbYM/z3CdjOnNYky4WAnErKXVGQJAlXHVonvIqkYSJ8fiDn4 sU3A+OedP4cdTTQO4Fw+iI9ZaqH3JLSCyuedPlfNTk9JPicJtZQ+74kUBtnARsD+67oUpOJeTpLR NbrTcCxOFGVLXZ6ieDZcliG0BwsML1ZKG9bFAn1DxQN9JXDU3YQ3S34gCrPRe0J/VIKO84J0zzQJ iXzuh/15584xEW5fpzb4O2OVeyRFYfZGqNK6xD665K+s4jhNqqhT77p4hzuKszshAPBn8sEzEYrj 4sSEvxw8GHGwo7xzriecvHn2h1E/u173fY4Gz6Ipv+IpQT26FEArWFIWnY8HceT0OeKq61ApRLBm k/QeauNC6tL5cBO+3C+/0OJLmvDhhlZZNoq1KRp/MjydTPmpF7XNXKrJ8nIRS0EGIjrKXFR7Po2j rrd9nyMJowBO5YMKRgPELEG7oLYTxdo7ze/NhhJYR5HF5iiKtnlsP1qpzExc6GqObjQwSC45piQM twFMPqdy6T5ojnDInVgS/RS4XQEHJyxhpgcumEGk0nuJ3173t0naMiviYCcSuhBMTYiTiOJtAYtv xnYWNOh1XnWMom1JgreWtue07k144mWZFCGnXb0Z//KdKcc5khhRLT74gbRAzU9UjsltzA6qzUn7 ZXNVCYd3mmre7EC+M+WwEgbxW43GYBwUI3VcBeZTmD/vRDlmBDeMVAPyXMNpe9b2zpOrZm5Q927u CZKSWc2eyCM1tyliIYv3/ztNDkuSiW1PTGw5ePX6nBx9oAF98rYzKxn8PQAoEW9l1H+BZr5HEuuP 7zw5FvjZA4FyLga4PmqTgaYgKzSIobzz5Hi5efLPKQGw9pwjdy1FJWwa0zfh7c1gvs7bfkyurTOe tfQebrsx6EnUlg+OM82ohZtu+oalBuqUQOQCwQJDhgqtP6fqaDG9B9yJGSrFv2hUUND1VoNogYNJ Z9ATTn7eOXKMuH2adN/DAQjoaZumKOSuUq5g6VmFtukxwDI9C9xvPW0DT74z5JgEKh2RvdzTzD4x QKf65aRKCaxHsO5G4vovBP0vul72RwrlnSDHYszmzZaSD4q55x7qC5+7uH7e+XH/1AHw2DoBCGpc PklRyL2KGL8re71f/pf0TycHntFkGl6ufWfH1QsgkYsKB6cRTgTZmRbzoLg27kqUIyeygvMjjYfC Kz+nd3Lc01LbVB/ioA2XEU7y+1pdn3dqXM1XQHWaQhoq2uXOURRxS8y51HI1mkZyCHzeJhYOjs7y OYpq2vJxaBKSa4+Q3NwwdYaYC2raYEURCNdBAULNAUCPXr8O76X3DSIl2aYMlgI4aJJAxG+64Ob6 vBPjqlFtbjSJrx3lRtzvvLgq+1/04W9aAgVPQ7+nOq7g13Rg6TstjgkO+9ZDMiij3Lp2BfifDkVn Ie2U6Kq1RxdSeQMuKVNn2Tm2pfd9P5QW40hacNnASTq35qjiOJ+f8/NOi6vmqHcnCVKlIFn5JEUh 9yTHspbm3SPoqN8qQNuW4GaIl+lIeg+5ZUi1UVtJPng0uc7JDc4SFUHb+XvIb97INsFHOefSwzlB k46vG8yR8O5DCFxwjYdyfzDx1WA7B/rnnRNXTa7yNpBgMEuDA5ukqLpd6Z4G31xbSayvWIK7pleT zrq23O2dEcfKM7FaWwJW+3ZINmBHUsM6k1Qg08uCEz3hRkVhFCI8druxU/Z5Z8SxIzhdQccGHdtn 1asuCfbH550Qx1JJ/w4nIXnSblryTodjl22KrOsdgFmrOxWA0m5zBOy7HUnvITcqJSiRFOr/arCQ +5wVGz72nZWSAg+ZJKgEyrmQnHjwbIt423cuHPca8xHpynJQn38BuMTS7Tn/Pu9UOCIhbg1A9hhw PCo3nHynwuHcHsxqm+mfgcU0vdMGwrYfSaghqpcdx9xK2mrywSYJ9cOTQlB/F+yiqVS4QUV95E0r 3qvwhSj/806EQ+mVfaSmzdaGuYKc7GCwvonK7S6fdx4cr9/yHXJDarPXW99+p8ExathEkGYvudV8 S25dSl88kWBfoDkK69vAp501grxEg+aooJ4HoRtEbSh3J5XcFj69DZ7duLC7mDi56HV/m6RsB9Oa Nkn77BsyU0CP5uPR/b++7n9g6+nOZXMUxdtod93yNjm6c15JPfKR2SlJ3W1B3hlwBB0RtY0WnQ1e luzntIAOPK1ToDiYWZbcwLPQoDFfRiWtPj/v9DfsFtaSoFTvg9bRSVOsdHvCps87+a0K1/WcRzgL WxvekHznvuEUIVGJWFxN0Uk+hyG2aU0pWS8LIzs/6Zf0FsLDyQfbatATGvCZo5kDUkOVAEAEGMgJ qO91DeaTXjfISDg5cMvkgOojT2p1PUnROjnO5533ht9xf9/+sFtte3nl9p32xl+GOe0cjtZu3ayv yvl3nLpC55RmKOR31ht+JGQiJx4iCYCDTRKs005YCUFiSFid65KtEZBLzhJAud+BERkVAb7sb9Vt uFv7oDM71SpBB0BVPu+EN8Qn6+ZsjDgLHigeIL0T3nhTKFFL9QLar7mMqNqSZayuXvnOd8MUkXSD 2MgHD5Bag6JSpynIOZ12ajJ9wg/IZicW1m2TbL1u0EoiG55EZRt0HG2YUfH7Uuc7Bhfbk//z3WBW 0O4yiiLtnuUF2i6Fi5hw5bVK5JjXoo6hIzusbROhvuRStL5dinKCOApKSRPROwPHjd0HXVu4N5eC Q9bYkwT5vVPdeMVwJTVh2puhMGoeozKlOnONH/I90saSaN+TBOGBdovb7zw3BkgoRNZ+LfjOedQc Q5IvhoS2VIy032lu9boUTbL85tTpoEkCms0seGfdZA/AyukcDgv1dyC5PPcX7Pid5IbKF08i+Coq QupGB8y7n1OKF/o5pT7vJDfM8fzO2VDImSRHaJJCHAl4WBW4NYu00TW0yz/n5mC/tbN5Ob9T3J5W 0mB+u8b1KdwbwuKwBkGX5CTbVNY/UwXkMQKpE1Uj1XUrB9TBPu8MNyskTVAvSbNpFFblLAHVxKV0 fls+H+y3e2yLawJj0H3r2+/8Np/c1vN0g/ks8BYho9NIzLDoqwYZfae34Q+gHNXMufrgJJtzOJ+L n94gNB/JtAUjNQ3EQyJJXELIpALe2W0sK0p6gpK6HKyb1E4kIUGunT/v5LYqhstX/g8tsH4b3O/c Nnwpkb+JcsoCHgPsIY2z0sk/IpCB1GsCI+Ngm/3ITL0XDnYqlZxwfiTqMG7Ucqn3UomUJrGgECih tURf0s87tw0HRJLg8LDBtOCgQLGkuHHyhc87tY0tt3u/YdlkBPwnSfJY8p3aZhXXEx11Ao+Kakld rgQ4P6a4kmdBgCqGtfTObLMLGno4o/vgZRKiCCbPpT1WG8hQN046vCfaUCUNhyZRS4Df8lukVCv9 rym0LQF5yPuxxw1D+887r41hwO26/QguNtKzlsKAe1FzomURbs8/UqWchNKWGB0R+QPJKq2lqMDd mgwJyOluq93OJM4ipG7sTHYC/YXfQq4Jl1bsl/Wkbl3vG5ze1PSsjZqeHASzTYA/JRXc+JJRyJ2/ shJQN3vaHiq9c9oIupFeV5JrOsok1DgD0v+cWKZ8en6/YQpw75Q2bjieSosiUxwuDmABl8RJSpD2 7KzcNkqGwzICKZQ78Waq1X/eOW3VNRTKonJQWaYcBBvHs4TU4y71805p+6e8LR8+sCHmXUlheZvq rOJVKb2VXfFgXf1agxbKMHElheVtNLdXMg3r8cSTqCVkeINCrAviU4vlJnpjD6SzNC629FaFknc+ G9NbuRWn5oNyt/PlYu0B7PZ5p7MRSFi/dltOqEzl5snbO52tujYsULEWK5XhiGQotjUDSwCCrvvt nc1maLkt8o0NPknjrKKF3Yg6yYZJ32TBLaEEnwtXvcXcUO7id0RzxPy2UGGCgxITxKX8E8FR/bwT 2djQ9zmSyjUkBKFoa3MUAkqIuulO7oPt1bCg+9yX1ecobefVvPPYuNuISSKBVIPlbugVAjGJOsnY Jy/pcuoFOKSjjrTYmFTIrXUUFbdHUn+ELW7ZmHOOzvJJUoQFL/Kdw2bn2XMgbf5G6R5IUchNZwqU 25IDStstJdV1yySkk2uv/Rpyn2WCCECDl9sGVLoSZeGhBIvcF2cW2PBo7tITz7ttuIM/7/w15l6s j0AixwbLb4leVCVpft7pawaMf4IkJHuj3GpbCwNuBkmlXjHKwsq9uQ5Qp4t87UGgZOcn/dK5BX6t +WA7DdJQ5+iRxOI5jfro4k2CgXwWKC1MzfOSLpv4jmAVbWOPdB8ElEiZCF4KBTU8HsSRvX5NEdrF reW70cJguwgoma2yDfkvk6Gcdbgu16zdMQAtqmwnim2Z+DsHz0nAaV9bgJuzYekzSUUqypcRwH1P Izuxo1h7yW5gahnNu4zaPgmylMzLwOMBAqDcvA3/Mdg8o1+gZI+CbcjEolW7XUW/SggWQhKbPT4J SVQnAPT3YBuxB6GzqE3bYFsNkP8TAo1hlo61M8UboOESEIKL1EJteQ30kAc5qO12MlkfDHADczE2 Yk8ghscjTPLdavyvoWgJcVqfpCjUHsS31dHc9xKcFSu3AbDv5bZBYeHOTwqy28U6G2rVNlhpO6Xd CluU5zw6GQVsiJC0oFLbSPqGIqiXAKi20aPSdpVTzKjFB84SJAkH7/5z1k08HpG1vpHbgyaS+85R GGnThLfQqN0wgC52jhq+t7ahiKdrrUelbRcLlNFsHne3AXADlmUlBrBg1ijEhWRXtpelML9dj75U jyLtSR853PscUH4UHwmFYLrNn9Oi4PmAEzm/c9tz3+JzboTUQ1ZkHU9ZkkpTjVuPLgPqJAEFiOhS Fmk9irWVtY1BzdcxrubrOrkJs11LSPZG6HoC7sXzCO8N5Ugv3hI6i2/5LbkdaUu4ZZtwy4bbKDt8 vfWOxyPm6D/lbVDayiUl9YgaSSnrWmVDyOrbnaRzbRt44hxUqF8yIelhrD1ZTVoCAqz+YG6hp7hR 3AcMcBQaC5+5QMV8Eao5hmt358XbrUcFbglKTfG2ziLd4lnXen6KaaikPfF8cL2N8s+GG9/kvx7G 2kxt65iOlZzrApMhyeqxNoR6tZJifuRmV1v45L1vcxs58kBRWBHAzpU6ZZs7NJE6tp66JPVee4Te nspsU2UkAI5FlxwCbFv4R56kbeP5aL/9g0yGmDUsimySwgr3ZncEi1xFEjjfqEiCAJmxEVUj6LOH 6y0Otkm93gRLcjDAzVrnUM/42LbQkcz0+uqzGuDmrKD26JCsYe8bbDdqcqbKgluCyaU07mGbPidb J2dFlU+PAm7y0ETVptkXCGF93Xl6j7i9oZQJI9TpLXuhQslusBhB9sdOQTEJOy6KuE0GIfU7eM3t /BbnAcqQZBwlC1M5Cv200yrjH7l8dkA+Papy64ojz9AG1Ul6Fz8PIgcTjwclgLq+gyVgXEbrHlH2 CMLdicXvZMyzmpTxHlZNogIMZqmj9GFbLsKTCHo7C6tJlnpWCsCfCByASR7egH7CGw180kztdyG3 ns4bqOb4liBcWuY4VHxQoWSee1Twrbb4+F9oSRUmG0SenysujLsbGRODUJvFK25owqigaHp3ECEY tueiIveisPIQL3k8vOSNHj0iVXImoV5a2DBJrOhB0BIhztXW4N2IbwkYSkuYkpp8UFepQNBBDrxl 4vG/1lLjLJ0/e6zbwh1R4A38PeKl5q2AEzk5pKQ5pRvB5TAo4Iiq3DgWQBoZd7DA+xwdqLUBV862 UqfE64mUUQaQPNellUite0SBt3WVGgVIOKgRcFK5rXN85YzHg8B7PIE33i1RtdRjyhHF3WR3ni9z OkCD864HSyRhy6R4eVdp/FHi7vJd6E4HwEqaZ72iKY2u0rnqwOTcA4I9Pwsgnzz6vqJkxV43oriz INmJ3yIflb9FTVS1wRei4InnI0zJd0hJ+M64TdwRYrizvBnvJBUF3uBMQGDyRzo2cES0SYpK3Hh/ gABIL6mV6IOBhbQrbLFQOT/JCSKCkRRPoZ4LZhTY+e4icOZs4DsCRGmjv8nWwb2p+ouFhGKexPHP /+DxqFniuQmBlednAbPPpyikTJJW0udw6i0YVxd2awiBcyJdjNuIgm46oJ3/B/U0DNmmCFZSZxVB nYrwLdCmRYhHKx7NDjCiPAZAjQff8Rs9eTRpkjhGHB6Js4rCBQXAEZa3b8mNxaMBMRRZC3GOopgb /TxokFCSW3IkFysJEzxnTBS3HRxRzC3r5q1SyH6oN9SuB2+SFvPoU0IMETy3ZqJRGbV8o95O8txG VN9GEXuerIQYt12BEdkql6zJMg/8+TKe/4voxn151nCfFwc4oph7LE4SNVa418hD16GdaLrGvdaQ 9mivRTE3QMPnJBosXnOwMAkrJlFzDvgtyJLyEkwo2Q60aLB6bCGxb4bvCFLcpmCScAkOuv4TBOfU vR0Tjwcdt/2NBGCCywKypihEb7PFnRyyDfHN7BQu5lu61wr0nZi7jQi9DTDl2WSZCKWUH67ExJxs IMHZAphkFdOoGMcHImgEAI7drnrbqN+GvbYWdUnXIr+G99oJ6dm9Yno7wmh7+nG09BjAUncVReVt iW7U7awbSMReRMlOF1Eyrm5LFGzrWoPttwZ8Y2a/7SQ0Z290GXVAcJWWHhWS6B3FdO40myIggPEd UXiEVbQz3V+oXZYdi9xJ84Riecfzf6pKsF4A5wnwsmySwlgbcQ+kIr2VtLNP0llixjStM0PSUpMU x9rU/ZFrZf9yrYQ2dSa4ALE2ULWLeuXoO2yaD4sEoL3GIHKEoTbPo4Sq3RlATRDtBmRFyOr+gEgz Fz4gKALU7yIA3vK8jpdvRxhrW96WnFRaBOaiKqJ8PkkqbV4pGWGN20y7pOo+HlX3Dm8wdm3OdXsO 8ALXqw1s0rngUCkphG+bT4BO7ZAw2aiOBPAXhoRlxOAxgePEk3jnguejSkn+jpDOT0RXWxMBCmvc dPY82Yw5nJ0gDAUeAkoq9Wk5SX10q5TMX6X+VgJlzAZH3Z74a5RsXcmSsqx3K8ilsKA1iPt4mLcz hJOwQtIHo8g+LOnBqZ3VFEAUjMeDKWrfqFtUvEadnv/PKNKuMoFbTUAbkEohJI86CVrsaLNRrK0n y9lmzJck5qaNO1xSaT1x9ZiyUTj3PSob59+gTQlSMbZ7uxLl9CfDt/zaCFgp+2CZLYgMEjnsBY// dSZxHWFVSq2VkxRF2qgwo9G2nXlLeKx1bvfyIwnKRKYmFbIlWdw/WUb1wW9/RBGwv2GRBDAZ0txL FyqZ0hu7XcQNBRPmbyVu1NqYtHFQkaQlOfmAnlzxeDRJ3ycSgFerPpMUxdrUokDj81JKpYpM75LW vQ8ADzqbpLDALaXtJfm/VbxXkuDqjcVKCjecuZa5oZ+rZTA1KZfmDlUsfsevU4QcxgarI0EJnlJs 5xTG4wEEYPj9r9wflhX7xtozirUrtWxbL94rAZvRwsjCDqywJPvOURRrD4r+zUaqJAdbSIiDdxU2 +WQ4Z/owjRD42GCSQBwZjR0TR6YD1QypkuxMlm4eLybAWBPAXxSPhIUWHg86kzfUdgWXMW+De8ZQ EiKRpoFs4MPRXUG6XZr7OaXcsGRGUBKdSLv07IOfSCc+alTqodEp6iKYzQzI1BTX/QmS6Ek5o1C7 Ck+65cXh1eEKEA8tkZE4DzweVdr+4QAm9m6vuF2EJEFzI0uCxpAkxdXtZprNkSSlOG5rRsXtxehw yWV4uTYzirbnOoFcsceRazI+yOBh9ckl9fifI2DGd0RHNtsjo2ogjY3FEZhZMTIsg68YJGzdr36G 1YUGAPdeC2mSND9PY1zArYRcuNParbKxQaCdFioAFiLcO3caB1tF65yK6CDpXoPKaeMUQfl/AH84 0vr5R5hsRlXtIvH/TmGy6/JcqNndhG/ffDwCbT1VNuJOzvEBQpBPUoQlEVCitHLhNtvKRufmH1YQ QJPECbczCrUHD2KqUNjgnSRg2U5sp+Mop0FvwXPznR9mU70eKfCtaie9bgBKTrLjog4Qgf/Sypog vDASWDVXPP+/YJIqxS37vddCniRrSGbRSVZymo5wr8ltA0/c7NDtGUuTEK+FWMsGxySlSTVVulAC u5PJS2oMIhHiY7PfSVpFrxvR20VIklnnch0gEC+azOFPRoPHI8RN/ooi0RYa/WIkVxRop7kkTeIH 0qLpEbmkjfk0+7aTzR7M0YrAJJT3gwLl8OHm/uBqw/Qamr9nXVHMftKOrSMPbtVx26YAsEIwCZXJ VmeHm4PB/6p4ZlAAqHg8kgHq33ktMqaZq6+jFUXafak+Uv1EgsaHHdrV2YBQ3Nx2968QS9JV05ZZ YP8yC6RqeaXCHe6frmlMkLg58TcW0wNKAj4T3xGJABKtvVmSPKfPydt0akOyir09dPXwfCRxcycJ G+uEyVjJXvhfobT2oPTvcEvFpvtXzRHucdMlybbZVgwmYfWIZNozKKYjWTQ1aPMSugWW0kndWSYA ceb88POHXSSPj866wndEelIMjJbq/st522eZUicPn7f4eKQefcv+bBecGYZPis9RSJREFamhR2i1 yFyX1yJlGqZaZJtWaFshapsLaYkoysGDyI3NCoETgiTmaJR3Yd2W3r2ovfvtT3TbCova9DUfmFgM kFTsOrSr9UagVYHnowOpfB1IGSrycP/1SYoi7UWp6+oGCBAApv7WRqltmj4JdmAzf40VEiUVYheW Gjl4ylbgQw2R3wYhsrFYHQC8lHchHAmoTGZoG3vdoNZGPtKo6gCw26s4siK/pNrYmX48H1W1v1M2 wG2B2vdJikJtmh/BdvfCbbKVSgrMWd3yboBAo0kKVUkGSRKZKVvL5caRebYT9Dca4p5fo4CaChVg isYUbPeCwqlZ3iVKka8ISrKGAgDmbhw4SfAS0P8HSop4PECSXJ0E1bXRi5zeQ1pRsL14bhdKVXO7 wVzN69plJSsiTdQBNElhsM1IcnTJbvVHdgsS5J1ClRC3WKlqJRGrmlOheSmBW0Oltq73jSZJVX9m tmcwkbvzU5S+JHKXGh4Pbrd9K2068M+Uo7hnsxTF21R9PVfwdq+7stz3Z3UqnfyH3YsBiCdnKUZu s1y7SErq6yEl9ZMWo9xG80RUNRq1NqHefmaJFUJKbhiOBNkTvuW3OtI5bXnNAUfCZjZa/5m9/4Fi 24oibrQDv4q254xb9apurRC7TQW3mYszSmdnCkdZku2qW2e/VWv9r7C0TeRWl6k7Bz+UOjBKyaxH 0CGjOFmGvVs+8WRhtGE9Wwhy6H0D9DaZ2x16YjYkLaXZirT/2+BLBs3/+Y0nJY51ofRhsxRzJcna pgmy1En3tEISfjGfJfDdbZZi6W3stJ41WXncIkmDCUJGDQiiW+cC6tRLBj4GcBfUODdVpRUqUS95 hdrbrNtWaQJy0Kl0QowyRS6dFY8HUcDVS1Y2AMhmuurkUcSNSC5Tx9bzW3WVhuTJk6du25v/O+RK Cre1yJXk4PttAC6PPhq9zDMsX6G8eQLAn3VicMAkrqcrS9s7irjZ1UD2N3zQQjqHoKjJ56hbeDwi 3HxPUUUhad04ab8H3NB8IXGrPLbTO1t2u5MTliu4sqa5sWNdEhxJJ7hePtyebQMNYBORcGKDhNQC FDeEpfQBLEBh++3W7H2DUpJqkV2Vye63Gxp6sqo667Li8ejgdjgSA0dUkRAV2CSF6O3Gxjad8ywr cXYb02ovbdP6m5MUBtxbHu/ib+eHv93z2WvgeyBOOgc4BDKQlTRCFHEunXv/Mb0det1ojpjWDrok clDqts66rBKW6huPRzTJ9J2VZHLKL1dihzzJQd+UZFIbsF7qtpLOO2QvAbDEpEmKYSTMaymw78AX ThLKzee0LlnFJOpKYLNhVmXmCTEybyTxbttRyL3lnCzXWw43TMpbWklYSBGO5JGUZH4LacoGpotN UozdZmdkzcuVWMN1N6RbSNYN+N02Sb/yJOdabftwyaRQKz+3G4tJaTcYC22AKc9PuJH2lnYrbvix 8B0R1oYNJDyJAbRE3toLdxsbisAp4vkII/EtAwRCFFgzPkeh8LY0t5rp2dNs05hJ6GcaHmnSmklz FMJIOjESwHbY4FXJDW9VrBAwk+BoxiSvEDBTGEDnKwKARB7fEVn/0HWjkUrKQZsNEAw2tgFOxuOR vt2VJlksAaBp+/huRDgS9ihgtu4KrlmyrVxH+1lHo7nxRqgCyALJSf6zD7coeZI61KJZApiwAq5M b2k8D49lsElEcAP3mW/7m78W+YQ2CLM1ke4MldD4+F95m5RJULe9fe0dK5M0JrezOXeLv6RMgCla iCnq0G4TLWnHOJIiPNsdrNXWM/x5O5F/56dYpZAK10AobaC7APRkgpt0K8Z3RExSTNGSBdm6FmTr HBBp6vLHTgtVtx/pVlVXICZ54Ug7rG1DZQztSONJ1i0fblC31qKmC6hbaEDbHP0O2d5NZIl2o8gN 15pzOtkySoA5MU4AErKBOrbSlUmkFRS+4zcHoI4mnQ2aowSqi8SS68DjEdvmG44EBuvqV+BmR4G2 xO1KWc6TLMt5kt/2Lbs6T3JHGBLikFaSiUaS1pyutQ0fOJCbUUiiaAXlS4E2PEvs/HNxtc2SWLXd oSRJkaQWq/8ckh1B577kTjvhOx7/U9wOhzsibMB9NEWC7MRzlLe1IDFd9CLbXMBDaglnjnBLEkKS UxhoywFIdnbtsbObMBY4fwQJEie0gC8JlFsXQm/Q22DfiPRhysN9bXvjgE4qb5LMXlLlAWMKYzBD YeX2hOP8gL9wpHjDYe2vO1OhDCAJpRunKuAjmRq0AtuMTn13Km6cXeLuoyk2jmRFFjU0GyzeRkF6 UCMFRMAzUQmBFAgOOB0gqVtwtzoRsPkbRxgA1m1l/Vvc+rdC1VMit23NzOf/SkuY35bzzbBXvjMV et1QLOGspMeAdFUHk86sfwtzq+4eySlEbrPu1sEqtMHW1MhJ4G3C2yFunGhP1gH0yVCjLmisXhPS bG8czBTDJJxyHCoURrmmTvySFS1NWLWmKPAelyvhMmUngb3OCSkqdfOOb8w4VXs7/+j+EthCP+Zo C41jm6nQTJKW4xBu88FmCnIeZ30yFTjbpVdsko1KCrx/AaGi8KTncNPfOAJNMmZa1HHhIFxJPlmr CO/AA+D5qNw9viYKRndjX0oggZDvQVNZkgK45TfRA3lMyZKUuTrg97b5onq3dLhOfJt8cEhAQ5m1 y2QKxBLEPmdJbaDmUCH9IUTESktZ7kApwpYMFuDSpEBA8pwaVZMsFblzEmY+/1eWos1HpjTU/32q wqI35ZMG64PkchVJJQDxRqEanVM9O0tJ3fX3c4qNk0qRDQ7erMznDszYypgqALoa7RMzIW8VYRky QZkEgQJub/wr501y0xwMzlUbS/fgBej5v1xuFdydKCGtx9wt1CsBQBtaroaerE1u25tak9muvpHl 49r1UUF8QHzJWOyhcLA1hcYS1tiQaNlE2ImabkbPKlOVC0hXO9ApscGviZSmWIIb5HVxUAVlna8U AvJMNp//q0HQuaZONrnyV5AQypZQTjGJUCINs+qOyQUNeQmX9Ul2AHdfFJBLSJEgTBvsmMLFD8vk Tk9XmOEBugNyN9LujHYKbkE7pkpt9sa/sbuz1F0yf2kuqT62+hL9PMTnI4hg/g7KETKsebsEOUVR +SKPIu/s1q6l2X13EuC5HHFy/jO350qRfElR+1ukEw6++QpnuguXc6JK83ljBlyJLXxQgjJVShHk ZDHoTJvdcA5CwJ2TkNIGqDgMPh9svfpdlCNT9IT3zyEVheZwBSeZwmUVd25elkN32Uk5vW2fp7AI vtlPUeDdH13FtRGHU9sFKPiJnYhgCj7jZ55QLy55XQYcabn4lqBWQLdAAsZs0ERByIJwSPA++XyE 8vKgk2L92AbjaTvl0IKS7eFKr2NNFAR9vKVCv3Smwru4TWcOPCgRIVDlxRTfL/QEcq+w9T7pAmGn rN9QeQpnSgdUMwOs634vtOPOgQclDmNKmW4WVTgI6pVgZMLgKI3J56NUr3+leiC8sJ3t8xQyKgvx cASLKB0e5o2LtLV5rndOljtPUXS+KT2dE2sGHAzGdLJAkKkrITrQkN8EFgyi40mrGJeeIyOTHJtQ klLZM5lMHDRNZxOzVs3GDZ8PYvP6HZvDqBj8hTtNcWheGEcV11dG6frH1JWINpd48K2s5NCHUlp4 W7kMBy8bbLz7ItEL2Q2F7M6pBbPXTt1CGlHZPJVmL/ybbmAS3CstahkTXXF+GXaiIAPB56OugafF 0neHYkBZz0RFJfE15dE9XIYq9+LVXiAKbKKQrPl6CiNzRAWAPxcfnvUEcyVgBwAb7QDxEjZPRaHz u4Cm61Jdo9n7RhceaYPoQdrA9dRnZzuCvenK5/8KDQhZgQt1vprmObSj5H1Xe71VqEEACbcd2csS Nc/z8X4N2ZVZYh1CM2+vZp7jCajKqdYBmINZYWlB0tYJqBr9Ipqks5RDR8pFziD90DkkW0+dOo5c T2Pz+ajn+53oQfOztfYsp0i0GzgMNuhc/B3Cmlatm+uqmiVomti+C4NyGi0k6EvZcOfp/BAbty+O cXhIsM9QmGTRkg0wcEc13Rf+DR93lmX2QbVxIM1k2X0+iM9HDjnf5Sg0omr5OsZDkiWd3/MyLDO9 BLvBLMy3a7Ac5b5dOXSlXKLFZeEs8oOzAEMRenCLCTH1dqXXgSAe8N2ayPtS0U7VqNCWcjIgSLhh bFDuAoymKASNqz4KyfeD2WWN70RBE7KYPlGhrgkpO7W1ZROFSNwmivLalDaFGblbnAbWlGZvcmYh FR+8+4sWORNdNjYbhTJOLJ2IbWb3ebjhEri39sLRQc6G1GThgIMFUCfUbKoAp8rn/9J/4X8MP7ma nwUVlskBtmBt0NkWSMxNuJMcWrmcYoPaPIV18jW0kqYPlrqcuHIDtk26Zc+o4tHiDAwOSJugDJUf 4E5v9saBYP5WjXxWH1Q4yGUWeZStVvh8lLr4iuLaaXBZmbcUFTpU0gpOcAhTXWqXltrYyJXqEiI6 m6goIhd3B/p4Pjyki3PCofYIxEWDOHGWUg7dqSqVci6kcN03jlpTXFGJussclA2j98V4GqaTfD7I XS6hkFKwOBwBs7prKjSq7ALwMJHUIaU8hofULo6YW3N6Ohw6VS7WN+dm8sLBCwfnZoX28mB9M0ER tFDGi/IdqFM+hnDUveWXRL1gwngne3hFmpPEXk7A9HHnnRCNz0fqgt/k1MI+121Q5dCqEnI+uIbA 8fpPkajnNnYqCrbnH8lCwgFi0xQiwgk5nZDFsOHWV86CTMZ0OuvuBIh0z6W87kk5flb3jZcX23g5 tKrMlgSDJKXBGjC9i392fvLJ54PS5j/yghUZ3ri0whxaVYJDDaRTaV6Gog8tiyu071FxhZb3Nk1h SD7kL1i2Dw6cP7FSw77ACTVp7kHxJbqJciF/S1TRvIlfE6V4tIapsj+By9RU5ReyJ9QFQpueH/AX lIeJCqQ6N7p0PlUxToVc2rWSTVWm9L2oc932IFCl/W68WNCbDNXGntUQc0RTlSe0GTlV5w7HT4iN d6IrChpMSvW159bb9sYRxMC04ZsG23r4TytxHyer0GtG/fNv/hxcHWhn6xMV2+igStdGNTumBs17 C8uLRD4qjfymYVVy4FmJwge7w8hzbLBw8yRx+1wGI6tqAEzHImEFbgjgxGVgnbxaTgn9HJtWZrMZ IpgejSsJTZ4MqFRJmrY1+QERgT79V3jQxwWI59i3kmUDIIcdjOHgVaR5NV/ASvP8JTSuFCwedjk+ +Bl19lOh1eOZqLNFMiubkHld0EJCsWFcKGau9r6/lQ2oFmWDYQ02S4r4vtr4fERbue0XlleoV/U0 qkLrSqwZWld4XbPti+ydNFdiva6X5Olw4F2JpgITF+rEaHBkTzvLlq61DbCwE/BxKgftY2AECg1B F2Na/r4BJoPrCRbDPqi8sgCJ1vfVzecjm+97QnGPwQYVkD+fp6hSPojKgN6g5XnnxbcjV9pFriBV 8HmKHeOZCCcaoXDw+i+g1CgDU5LhbL3Mw3yT2Jtx6YJUb72XvPyFg4lqshyiRtwAVFQhZm/QNMAB de4/Ph/lwzcsxxxv1gxqvRMVxeVUZYKc6/KEeA5XHt6Z5xbhK0QsMyEOLCzl5QpHHaHqxrgJ8YRn AQMyKg4N2L2jrommGwWDZRozH5m4HFpYVtpYwUjVBy6o0RzJChELPh+cT1flkwcDdCEBMn6O8ve4 HNVISjSz+aaKHf9CnlDdwYjgxdXtExVWysm6hD2sD45iqQV1OiqhQBl9J3apiNOHM/EP5PZYZCRg rNgL/waqL4lhYoEBha0okG+1omrn81EBeH2F5YCKz/WEUaGT5aJgTC3FbSwK1e3ZeRkICISGpmOA dl5sZUmd5j3a8sFPKEhUAjrEyua5rSR30YDUpx1l3Y8WGhHjOfSyzIL4goNmg5/ko6rAwjp5YGaJ gp9feDQgANTjC5sRmlkKmzGuvS6JvRYZNObwhPpW4ig0TVFQvhg7rSUvovVANNEp3MCZYt/BVXex KUXydWdDIz/+ujJqyoGdpeBxqEBhHWjQxoOLCI/1c3JsPh9pD/4jrwv1+HWFmnNsaJlJhS7djVE3 i6C88IYcdtkSuQqNOXS07IL5UPVLg6fD5xA4MU+S2CcuJ5RgznE2WTcgLaq5Jlrp6lCFppYiazaJ 7DWq5ZCcgU5nIs/iJEuZHxDN1LcZAV5rIeD2mQrpmghRacXhG6+4BiHIk05pBUzeZyoMyulE2Ol/ psFmap5QJyeAAdqqkLFCWQNSTQwzaT4+KUZQv9rogbWlPGAQPVEbrcISs4uuAZE9eaSdsIQfEO29 fwRkyvoHxBKbWzKcAL/euQhzJ8NFndDaSiwLtn8+U7GTPPMWfKsN33WDTswmLC0nkSdn02+aYSAM QMrkOPuV7YV/FZGZ0+oHcA9XajvOtqSU/Dng+QER2ucf7wZU7Ed/MCyBxyXCXLJbJYq69Y+eExdg galHPMBLtUQvNLmUFKNqlhq8DDxR3zwpEKt2MCNi1Q4IfzSxcWwgBHMCUOr2xpEKuIgbNLngoOIB eJDiZneUNwOfS9kCPf0XwHR6e8rAodElCvMgkBEBxapdGQ4gqxImUHmze9UucLqUmg3WEvO9sR8l 2ZPun2MQq5Zgn0JxZjhdqhpDrf/x8Fu6vXBUBma1Tp5yneuDtQMAJxWmn8xy8gP+J1eZCub/Nd7J gdklsIzYe/KMMD5ZdnUCKFwaDwhi8D5RYWBOpZjedvXBYffwyypk3sPdoTdyhUaqWLAFGko1fZWj 6JmeQ7/LmWQGtu6gzsI5peQPUvPqfD6KOP/R3UP8euLhO1FhwZzC8rjvDGmXBxEsmx2Y4oDgWW7B PHa8pO4+zh4fbKJgKoFa8xIf+PyvFumJ5ObSn6DAeNpRUSqYh5aXkm86O3v4oDrwhqgMt95Jjvl8 EHBe0hTDUwj2nZsvP1MVK6fQG5TEoP8IHLxMfg8NuC2jQkpw+CkVS6cUkViWD5qqAXW/WgFJgAh/ T9DU/Nm9Z5ltUVvmdtNLFtIusL30GCEv6qZz4FThFMxLjJeh5wOY6/gucaISxcjVJio0vhyyvs7e TIeP97CseAvTCRTqhk2Igs7A+RICGigbFMZUGqyZPk7QusnhbfPsUDnC06sv/wDUDrFiS4ozo0h+ SZTCMNjMjM2nfCxZBIAaidBjAB0Ezpfpv6xmYUEELbc7TSHCPBEUVdo1v04mKwfgYHVwRk3DU73Q +RKhMbrDq/hg00S5ayLHG/LsBS41m+mDSjjLqnZK9YYCqcD5UsgGGvFI2LHYNQ+SWTWHUCg659D7 Ml2nOSFi8wkonpw4NL8k4BOJsJc35dStqt3O1yMMznU2UXFwbv7O2wdHsYxzLO1EEaUNff/BfnJp qCpCraCtecFjqdj7xuCMAVxM5QBQT9E8QY2XIjvnhOcH/AlHHHaY02fPJyqKzYlyazDr8PImCtgG R6Q2s+RmxnSYXeyA2WU6LybMLi7udO5OqHhkoaIyJHrEpMJV2wGc7FQInVJSK/a+v3WqaKVkg5Li saCD8CPzAj7/V7mceqID1kXlOZ8idDmwWxRHcp2QJl1w9hWU2EBMhVJKuvNiD0xq8o4sHUzlAkr2 gP5YULI5gTloVUO6hTj5qXGU92OmMtVMD00wFZjPKXLedPPCBVg2NxPNC3PogjmvcqGQYjzDr3Rh Dm0wJfDcWE9nVtzS44XlJnRYWNBd4o0X+GD6jYeLzAdndnRUwdF2OMHBAhyO3jwZaJacwOQ4Gz8/ OJZtbxywqqoK5llsoXMgLNnOnHxrk9mBq5ofEHir7ytiRMMjABKxc3yqwshcVo97DaN2ZApxsUnc UGoTkmW25cFBYIeZaVWJxZTv4CnM2VColS8WEM6fBLffDf4nDLEgjQwBi7v5qD6XA0dMXD+TxxM8 Y3BYmWRIPqei+mPnR8p8PioFf8vPZdRId23PaR7VzCX1XGnPLDMMuapNVjhTdhJMm3emIihLXXJ6 EqNxz6vQv2DxOZZKUuMc89B3hgMtzNKQw+z6zJPIQoEtJlI1Nl8WhbE5aPNhzUoZdYMrFPhiojn3 ncFknAMLMBXN0+c1iGq3T9x9QZ1/bJbqnUh3JodGbRczfA2hGoBRJkHXfbgNGODJ15ANHTBSXHjE /Y+NrCd/MaoQQr0GUE0GO0jv2Fy4lebzc6a2lywfF55+maAGYQud4ktkKuzZREcsJkzB9OBLsHTK No5CSS1b3tIJKv4Pa7Pd3WdfQ6eGi45YjMzkhYOXVsBdyzB3gvRMg2pBJiSjEPlOJpfbzuSlc+l1 foCQYa1OaM120Zqw66pSVz8r+fMaNTWRGzQ/Cku3lzQxP68xU0PgTIkQmTpy+bRtqmoTStNaPmh4 2/y8RkzNDB7OYhT+cF38YcbqBzO/M2JawsZCeA4xOcw3oR5rkSVtiD+v8VLDScL7X9g6Dqr5nmhp sex0coX2eQ2WMLlm76DpqRTDqNOm5zVSwvLpRuk0Vn7tlAOWKE8rzeridCHi9LzGSc0FHveq3Qc7 gnqB5YKLO5zrUnXhgq7mWMIJ3OlBmPd5jZIauAScl05q2QR9W4iJs0tO4sOwe52T+jVI4p/avpcP 1ywti+hyH80Pc92h+gldVGQWhpCbmqemWtCzOxcHy8cuM6axGuzeP9cHVg/Er9FigQopL7OE1V7R T6+s8Wp/EZ7zeY2PmkALuPV78cFYioi3GBqiTf4aHTVxPWyC5HJMCt+wGXqNjZopzTcl1SY2m/ZN Srb5qJ9gLRn15zUyaoku8KjAtTvY+XwS/ZMd0jgVwUslQQPwOfYLCpv6udyOpmxCgxkCGGqAIdN9 4AwVVN2JCYOR9+c1KMJqME+HxRABdJHZCcbEBL1GRM3uvUYtcZXg0Ha2ez7TvYIT1JBbyPginCAK Xmzpp+xHP2USpp/Yxzx7oaApjhMah/PC6ZOhLel7jEnbazDUHGiB+5ADnpWLaoIFCcv+vfbPayzU nrb4Mv4Y8PS7+BJ6jYRwRtMrgGBRC69bsTMa/GOluCj6LAP1vsZBOKNV+xAAnIPN0LkJK2TmkL6i ejIrXfjOFcRGCtBNgH16cE2C+Wsc1G6yZgohxZIQWNgUSW2iwvB5jYKa4DLPKQRFgFEJ6McEvRYn mySkoMFTiqMG6urO8tFqwjFRttPrXkuTTdIvGyfoHbyGew6GghYsweEw4Rk8hfYWCXfCnvVieRe9 U14Lk5ggIlNRZNFgnIFz2E8xBWD0+XktSzaVNZ8JQjV37ebX2GtJEssWlTaEPtYFPz/k9ENo6/CW 5MVyJacwCmKDKcvtMj9ul/2cQx3YafI0z2+xmZ6lTa/iDM+GTe9U4eNo5PRajsSvsAmeH/IGpZwC Yx/4R1MelsDU12JkE/TewkTRWQHpwwa3QDEKpIeqR9XnqFH03WRBWN/THKXhWKYokhYifCWmGxy8 Aw7UUkZPCAXus4RoZQiUDZrf8KGji94/4unvjDruM4Li1kg+qGgLGBBLroA6fN4JddhoM3+tI9TM xnNWv/PpmvWjKjlAWkglOZEVbBBzuziZ4UPsiSaJTsV0yLLBAqKTsLLGSJFCLDGqPIzeSCIHhK9O EuqqpIqH3jc4rwuVZaXnzMHuM3DTpFNU+XhwXu/9daGhdgmHYJ+k95gaTSWdQdPJmedwddIh6Fy2 khKNIoT2CiZpN3k4yO+qeHXtrKQ2Tli051ZQvZho0Mrh3E/Soyk3JhrC7QZT1Kks04Xe5aDzGubX rCC2uvl4EBUNv9JYiEOJHI1+m6Iorq7iqfThale0NzFYDityEimERJ7hTYIjuxeR6xlfj3UFLoAw OT8EIEvgHcLNHof7oGDeZCUK286vtGGv+77XOk+kJiFeDlZYw2+oFQUYahhar/J9qbFWVDy0fifR NYkLAwzQt4MrhcnBHE0/pnCSd4cuvQfXkheH/XC6g+VmID8PSFITuZQ3RFpJlz7rdQy2ANLtjkwV H389jpDrccC5I2wl7AlVzD6z9Xln0DGyat/RNeBBqPrfWYria3kUTyqdm2zqKHYiNUUEFJcFVFUJ /nuAjXqa1Jxa88FOJGQK0GzmZutQ/ubZldFvpSh3RqnITm22RT/vBDrsaGRnYA83H0TIRKOb2Gb4 l3ze+XMNXhDfOX4GUnX2mX2SwhibJ9LozSPIWT2CnJA4dTzAXN7mjoJsFrLl/WiDT9IJIzbglAiy z7+nMTAaI8D9Zf5I6brvZukPRFF2Iz53JJLCOGgpVaAlFELm/Xknz2EpDb/ahHRaKITc+z+KsnEE ZNLeLQ8pxWE4cH51tyuAHmyOojBbymlrteGDZ2odAn6AJMJaDkwwSstudhQ3mlGTKBwx6mfX677P 0aCo7MpkO1GuQz7xAKpwIfXU+XgQRlr9WsU02MMuoO9sjt4DbacXJpldkeK0SrbqdelD/xbJbHWm RRRps7MGx6fpgx1JYLSdo5v1kPOZrck5dACl2mEkBTWW2zfqetv3KTJS4RKnfmXHCOIwkAJfh9ZO FGmvf6YIFpyQlvVQ+50xx/uUPf9iUqnnH3t14fQpwiH+ABRQbR2FwTY4qovL0AbN0bkU2wmHMvng a4GhLOwu6hsDsG80RJ76/tTrRpPEk4hSxRrsQCpZCWIlTCKKtoW/5iTpbmvQ7PNT+50rh/t/sN9P 20WltAxgmdLumrKltOc4tar1O1WuPfq71Njh4HHk+WPWynS7LOekTI1okoXm+cICPWGS01QzkVKf d6oc1j2lwIfqs8PbwRU6AGWoGl3y550p90/Opv7j2fQ53TmKYm1CjVqqxe//dJ3lznHUvDBSEfPx /n/nyfGOnBIgGj44gBJU4dyodQ1nSJRKUDqCNNO5gVFcg5mWn0gsP74T5ZpMvU6s3jZ9iqAmLoWB jqOty1Mvz887UY5323cBEpZpczwrKSpgQx8A59AtHxXKear3SOyJetnb/WXeaXJ4/ylv4lp98Fnq OUH/kNURuMzhNgAgF2FdRQ8CGe/l6mgpvYfbqFIJlsRZOmsJYBdebgsodcZJ527+vJPkOMt+KvGQ pg1X8QLJO0WOVUj5gE534KNmhhXZhhmqgfnlpMt3hhwzQKLgs+Ri8iMXc9YpkCl1s0RSoC63sZaQ 1gI8hcpAc500E0J5J8ixBEDVVBmDcFDAfeY/UdgT5KvPOz+uPcAILSWIu1PawSYpCrjXYrF/G4wb ugnWZiwTpicWSqZbRnpnx91DaQrgPj1fACn1JOoFZGe2+88K4gV45upc9mSn5vEQeOXn9E6O43ql +C5kAWzQdoNVVpfGQF2fd2pcU1/X5shPpSEvR05SWM8mh7CwG6rklm4KmiSyGyTRlFwB5Z0Z19zM YVSBlesXWBkuTVMkZ8DGT+jdUWxDoQqgFXhq1a+j2943iJPYlm2Zno4tm4wBUGVyfQNRYH3emXFc 7v3r6MbXnkD9TtJ7vA1hWBO8Ll5va26e9qXBDwKLVWzfaXGMJpiN0KlZw0WVnnD+7AxUJM/RNMlB RTMb7fa0CcruLjJLFXV+y/uhBIYwkH+SR1vJJUE7lI9tdezPOy2Of66f3PIJgojUaM0LJe+0uGaS qydc2NMz3DTLrQLM5VWAPgw38s6Ka4YpPfNjs3VzN2hEA3a96eYwWFti8xrhBsTkztH0kE4IEv28 k+JYMmPfKCX5y7b8M6S9D0lc/uFnSe/POymO1WU/utOPDGZRBvRJCovbiwDumVwTbVLchRuu12zc wfPDuwvvOyMO78/8H0wuH+zobpCJoxvxSXA3WKmUduTdP4BSk8OM7rex7XWDeJJLaTWag3FQ7nbS QWrT00j9806IY6kkf+clgHC3m5e8s+GamRXClcL3GxTzvU3rHDnQcpLJfL2T4ZpEHIAB4hxxsIV0 Dv2Efh2dQclDpXzVqmQKAI09xpWoIN72nQpnrXIkJOxGclCbf/epU7DtNT7vTDgEo+O7BoBNPogl VSM7irip63rCe9M2gZ+jR9xri0ZBpHvfJqHzzoNrxpEH36L7YFMEg8I2GE3SX3aOJX3ek49SfGan R+ALG/3zToNjo4wmDpAMtcFa/SBISzN+l887C66JMfRVcANou97i9jsJjkuX8eNK3gGoPbnl5XBB NJjPNAsl3zlwzawcVme3X4OmiGaOnXZ7kLueYCYSNgERJJj0wfeKvTy1+4teN5ojdrOru4N5lQRW SOwGnF+Ajwc7Le+v06gwbsseI70T4Jrpz7LR7q22PZ0ouCTijNp2zi48+M5/wy4oFD4b/Q53GZUN HQFikedJsZI632BFdbScWFy0E7sNvW2QtxGCvGu/g5YRSlV2+Zfyeae+/XNgq7bSAJzzWPud+YYj BN0cIBOMmku7PcNrm4QVgpdCOwPAkN+Jb15IgsNO9cEKAFBI7Rsm2hAk7oiXljA1gErLO/3Kx7K3 8nmnvTEhweTAPIMtbQo/M9ZG+Z+hIXTnP++sN5ZJ+teJTVQPtNptkqJYG7EXPS6uFMWyXA24I0YB hbYpyTqS75w3/Ej0lT17/A42SYghB4x3JBwAS01OJzZnQX+pOChCOPHPO+GNtW12/dH3tkEHNhR4 inAjo3ze6W5NePgnhoTuXuu36f9OdmsytMMM+TI6Z3e/NgXCAhDNTq1VzlBU2AbsbsOaqPjgwRHE N+HGjmXUS0ERABht9NbO4YTTcD1df2Yj70y3lk0BvDTRk5q7y+6z0aYutbONP+9EN6yH/L2KoJTe RSHhHEVxNmFFYCUZ8Bj2aZ7WllJuWostoBM7rGvLN7WV4oPXbAcdnMkxhYdXY6dpYNNBe39iGVHK azy443eaG4ttQrCJSEKrSdaRTuIlNGRd+CHf42wsifp986Ou2Z6FFEXZ4iPV5Ry3ai0lwkemOWBV dG5MSeid4ubFf8hRTQ3EsEgpAFcO6iHokMBuiZq60CH8WQhwysxXs1KA43eC24Wx9ZZ09cMLkFE2 MNOLJJnzBXzJKPf3vJYMfdiI4mD0WYrCbEqZVISpFkK25SEkavWOZEPlxpZSWNpWH6nl6oOF2SfQ Hi3BpgqdvA2PKuopoD6cIeELYU53c1Zl/fNOb7t1pD6Zk3RUwnksnWlKU03cce7Jzzu9jY2o+bWW BlxB983Y3rltzVTkzul1N1xJxTYcSmxGLD1B/DRW9zu1De+v8FlauVLA1IaD4eWgNBLadyccVf+/ MA8p0ubYriBkOgHvzLbmDnylEvHHQadSwcIyxH/+vBPb+JLfGw7yuFSAN0xkGGqv9SDaWJ2EUYwU zqh6K+muSSIHQZExkoT5LGJPG2ySkNGiWkdpDhy3/E9Gpz9iZgwPerYtpTHsfd8nqWqrJTIl2URQ c6RAxIdqGyeh+rzT2mzDPoFkhicDvUpslkIoCRXcIb9iNMkFVQMaEgwgA0SThLDgOV2xlN5Zbbye CY/IdJjh4NW2nsvJE+hTSEg+Cg440Wmdiq8raTgoKa9p7/tbnAQsgQZDJZ1XzYsVjnNkrc87pw2n wvKlxNMMViDpYgDeKW1cSpvZ7DD4OhrdziVt01XgzgmyfSlF1W3jcUsOZ1w5nL42zFcKzhFg/3JO VEgFcgvFEVCCCmKom7d1vW9weFcBJXgqtcskxb0sFSm4RH3eCW0MuG/dFk+e4A2aw564vfPZGDwo VDI/cLS3+zCQ/1wEbAMhPKCCxBvunc72oJIQD9pgZ3fG/YNSicptCOAQBgAZiLMb0WSFCZPCgEEa xDufjXUvZm4IIWwQhmScoztJ0a3UzzudjZwGz9yo0jhBhFheI3lnszF4mKyw1eTZrajuuOAWkT7M bmFuY1DtEKudxbJN24cbT6JeKAvFATp6qvLHPr/mmKpu3+xWRZJ3LtuT3RYK7FtnhAIvbbswbGuf dyobGwjfzaRMSGG+AeU7kw1TO4vwbV4lAVjY7redPVY6V/YyvP87kc2QchsA5u2DTRIqR43yOgDC YoogLH8O2gzMdS5c9RZz8xf6vLPYOEds/k8qlnBQXlLQ+GSX5MSvn3cOm2XHX/VINEhGuZstxJIs TZFb8FYReThFQvhyiqi+rSmKsSSYm1qpqM/BMrcOe4GFPh7s085JlGSkApOrjprcYlNSEbeWUVTZ 7uQiz8xGEgdNUU9JDqGkRL6T13iefXfbIKhyfqJ7akcRN42r/sX8V0e3seRr8oFXJf6dueY9knPu QGFZg62iEyVBKXcgdRt7ScoDGMGzgBrdUwlHVq8N++XzTlyz0/Msn0VYMgfPbrPkJc69OT/vvLX2 KG8sBaAwbx9l3DkK4+0lv6ZmZo5QqnbLgZ68SjJ366b01kLQNktoeZPbzsHO7BMHgztC7j/mfZnk BIxETuwk/1Lzu6Q0ML4jYGaxHwklQx+EkkAFoIp6VBoeD+LI7gDAqZjzzOkTIYXB9qTGm6h9spZt htpGLSPZMqq8g7iMoqq2TRHESm2wKVrn/ScMu1Wynag8U44KzQlwtqg35Z1tbbUQSQLsaaITng22 1Uob/FHO9ww8HrT/y3eABCLP6Pc06lGsTd22ilKqFdvqdALS2NCUlIbEosAZ6TXvsTZiDxxDTRWA disAAwZm6ITwVjtT0gB1Z+X/LFKmqQivLdKWz0CPCZCTAJK5fdAyOv+nFU3R5uMRHNkvNXKOz+UA 2XqfoijQJvENF//yQhJ9H1lqA7rBS20yY+j8pCCzpbwGsz8bbIrO5Q7GDfP/s1WQL7MfBxOoRrI3 yIye/1Nmo0dl7crjaFDMWgPnCPyfyrJ2Pb8xHg8u/noJJDyOoCaTkrdHehhoV9kytQsjYZ9BMBKI tBpNa7nDQI/q2hZCblpec3CAxLkPsCSZs509ew455Wyot1D/ndenp//iaUWBNtL/c+633jgACyki 0kYLhhpcsxU8H7Ah53eHBDV35P8eH/WQD1npUkx6JyNtioMIANjn2gYARPVV5mg9irQndUzBd/TB 2VpoJKD/i3wEos744zZCMtiCDkiYQeXGKrd72PsGEZLIkI00gElZX1UlT6giyZaznfF4xBn9LpKg QtPLZSP1iBSJjUltMjcXqNpl0IgGUFZrqbfVTKashzgSbrjEA0eDg9tw5ZcEcNuEXuhAyeeEqqi8 L3ADKZfkklK823pU3V48lBYcsTAA6meVkQT5bCylk7Xh+eByu1VJauTh+H+KJD2MtIUAlK6y3ECb 9yNPau6QZKjR20oKiZGDkTYXlAabpBPv9UbVJLD78yD7es8MQPsmPqWupypJpdce4bYndTlBQMVA NRrJIOw6C2v4Z9h4Ptpv/91K6vk5usP6NpTxG00MVCMBaVE1koUTF+c11SKqpf89rm/zXidyTcMF tyW0bakM2IH9QCp4gqiM9L9C5AiyJw8naep9g7Ob+wwUTjaNUGYpWkugYTHrOu9a8AHB6d3/Ybcl FNxuatvf423AkpM7L2S1AToLbgUcW3qPgOQ/AUv7qdxwUby96RqHY94HL7iduz3ThwfQ7XxOJhov 4O6jau/4Ryo/UZSzRyVuXXFkNNmgKkmHJSR7JTXPTw8r3C1/bTgEyHgPn6QIuy2voS4rNJSScm9e Sup5mhLCOZ8Ay+eGi3AkcobZiY6pNKNAwIK3P4lt7yBtAHJbQIrd1E6cLJJQaWs/TTdQzD89ApIk KtyBluKDEW0AwOVd1xYfj3CSdyVJWRkqS4BL2TSFQXcjfkS0tqUtZ4IR54igxQD+DDSQbctFFW4T 1EiUK+dga+n8BCduRFgEXdEGFABvOJqLoXH1U+UOI7Utkkl7BCbpy1S2mg9qKYGcRMT7OZ8mHv9r LQnkjiLEuu3bEYXd4CkwXEoeLq1qtjALQs5OllhOlhxRiXsug5EkHxzkngELgNAGPDwWEFfyrwCQ Q6SAyyeRTPeIwu5MTFKH7JINBkQ6Ryf7xed0y3g8CLv/bbtRHL/7QhpR2E0p8zMv29SjUCjuN1Tq xpVYtP0Vrz2sb5vkOyUS9nUe7ABH7BM5FjWU6L1BrXV63cIljtBeVyNDCQ1fEjElWYzc1B6hWhqZ 22AZJmrxnUMGkxTWt5+CG8MAwAkGNV05SyF8mwZku9U7S+S9kC1RSzNT8JNPLmPdjKjAndkkOXfl 0oBvHMQB7LMg01BGS63ozK5KP6dIQwmtrMdA4Hz5wHcEYFKK+4xMw91BVhMX0shCopy/9oSTIypv P8QkggaQLrV7Io0o5m7DlC4cANj3fhC32YjJ5yKqVgYYUczNE+mczH1qyDZF571OfHKC7UE6aWkE pSFOwLUCoQucgR4CoMCD74iwEgRJtFV8EHK7TonMwbV54/FIQmJ8TREUtZA4+RRFETebIu1kCleG hDUOUSXK3k6VyC6yMaKI26JIFq41WMGtwEOv9CERiX1WG/XsClAKgz1nFPaMcjvJbxtRbZstbmBf Ngd4X26VShq9xJHbl4zn/1pHXOQ4i3CO2SSFEbfsmmddttXSzq7Slnt36bE+HAE4oohbniZgdfhg BxICY7TXuI5Oijt6lfkLlA5RhMLisXW0qQEwotr2TIIk07FDKQyvf2BKs+Smx8Tjf7XbGEgivcUt a1MU4rbZIzkhmbudUfZMZ3ZK0zmA8J9R5jYi3HbhMXSyg+SDVwGgGtITr7W1T5a2qQlILSqK7UEM 8aK2q942arYNkkg3IXGkfPE0KucX3NOT2xHG2vO7jQQ3rwaEkU1RVNuWAn6TmDvRJFKZBppk9VQc TTIcjjyiWNvyNsQQGIg5yOy1DQCRUFcmuq1Aug5TBIFHOsVyp9kUQZYb3xFFR5wiRBEYcCV2/bEL deAfSpV3PP+XmAR77x0/0u4ea48w1ka4c+7S7j2SLdA/VbW2ORAjccwGJhlhrC01bUCMbPC8DdI+ rVCRpIDqtCg71sBO2HQdFvxfe40x5AhDbWpIlEKWewFSN0tuDKWlOsShmQsfEJQA6r/ApPM56Lrb LIWhNt2DKL5jZpW1G1Ny1WFenzDi7Ab/H2F9m7otgwU1DTZL8DSD6DZvW4DfBhm3lTJlqJOUSVNP GQTo1A7r21VCiOeGoBAiJE0YO6YTzstJ4URjeP6vOgnv3fMTrXplSWZY4G68z9Q1WnKlygYmaTl7 xQ3KtErbZijwR1xS6SX74ND2gf+bhNuGjHCll2VbJ/0dsDHnHFm7jZTbGUJJZF+ymLRxsFO7MWQm UbLi8ahP8j1FC8XNOj39n1GkjRVK/zcha6jTOlUlmfSSzwyQUueRdG7/GRa4k9Fstg/OkGhAN8F6 khaVA/65jLQBT00SaGoXb8MrFt/yWxdgCpQ0HZRUz1LslOk/k9QLHv9T4IZR+ZkkBOg2SVGgnSiz VSh+qSNpNEduFZbkeSSlqxM5Q56kEtomlnt75G0yjBNOnEp5G3g1J+a1rUIph4W5ApyKw20olDDj Ajdi7NbGHVQjAfo5C7p90v8ZhdqPmh2rvOf0XfWZpCjUpvt73alcDYDelvG28uiXtwU9BU1SWN5e LNlO6SLPRxf57Hl0zIluX+eGoMMhVdvQSMIWLZffvvxtf0OT4nr0wcpIoCuym3S+F49HepoeRVLf HnC1CXCizVEUawO/nGFf7BDAk1UMx9xO6yyhmuuKmjPEkVDsbwPbYYPntZAwSIIknfCmL7mVLOOQ nIV5/pMrikzjqRmyJEX8Syat6dRtGLwsnijnN654POhKtv+WbhnzMknm78XtlZaralItXlltb9k2 21g0Z8XNNiMYiXDJbJ/ZcE+kszyAmWOoDQFYUgAKZLYnPd/GEyTRjnJGobYOIkAIfNDlj2XO8kg7 oRoejypt3+cR9POg1exTFMFIgBM4ASzdfDhFvVefolytDYc6m4faMyptLyJtUlnNB4f/Ycsm5Iao /8ORgUaowBSehIk624/xOcpz+I6Ia0s62xDghg1friI0wDhf5+TmK/4vEEms9A6Og01RFGoDRQZY m0eRzZjak2Xt7mBbRMu200Lhv0Z0u5A26UHaoNGyz48lFun5xatc8yrwu4OrPa2ff/TIZlTUxjmG avYYPqjINiDeqUBp8/G/AFt8tXOvDmwfm6IIRcLyZZN0jrlMbdMjW/hJ/MAGz0RTFAXaArWlrgO7 Pwc2hI9O1DKU08KEcLHINhFzEsI7xnxq2kmvG8CR2RgBGoUDvbWZ+J98qpHbC+t5PP/XOmJXhS4E /d5qITuyUbx+u9gWXIW9OlKryyOeaM01fmeoSDIJ1WpiR7aHHTnOrYV7bsj19cySCkhIZgeNuEC+ 8klaRa8b7bVKSRtOEgch/0Y3OHI7+Qwe/1+wNuCrjb58r60ozE7sjrTRTSChqiX9H5qtDb/VTkIx rTiyIhzJIOKPhoI22EKC8yyRErKOOEuS0mWtQlMGEUKrDv436v8KcSQ0o96wUbNBUJt8thh3UGu1 4vG/6JEs1WLj5kdkMyxoS49k1utTtq+pFCgBntRuV9paYZhNPZLNPqyG2xk5e5aWnyiyZeCQUGnK 8IAfCKmAb3c0Ei5XfEdUzya7tjBG6vA7lZpN6tCyJXB214LnI2EbX0Z4DMzG0W4AuUI5bcqNZ8lp m9pvdhhJv2K2AzpAmqMQRsI9VqoPrBgTZHuS7dLR8oTPWT5xfZUyOSggqKOzgeSx0VlV+I6gnL0p NF644TgY1GYALsdltPj4n8URxgtA1V1U2wrpkdL7bVZwxD+u6fGjZMmG4Eh28a8QrD1MsG374Mto nQB47CoiMkwhjc+OWi00pKD27Bc/QW0rLmerC8nCP3TfpSpa0MamITtEbQqej06jbz3bjPU81tX+ WaGuNlrndKMwnA0yEXUhx3Rbt3McJXoCYpJieiQ3GZy0bfBsrQNZO2khlTI0kqmPNLuMO2FBQENz g9lMvW5QZmNchGuWs4TZVQhZYW/CUuTZxXj+r4I2sX+Q7BjprqQoyk7UA6lU6hHOZlw1skqBGYaQ U5kIJimUItmylE7JBydHIDJMldI/DUlaJ4MEi+v8mLwwgX42lzs2nfAtv6lstPEMgrOd8CgVS0X4 kv+LgD3QHwRf2SSFcO0iLbviNhojXxMbM9lC/WgVBpGYpDDOZg2SfFMbboSEwtqg9u9ZplQ2pWKr +Ow0LCVia6jK1vW+0SRJHZmcpCxLTYr4wV2Sx3ZKDY//L/LIQGyhEOGTFEXaVHJqlTqVLLIVsdrZ q93kabNvsZxAskLAdheAhMZRHGy7nT/o/OLosoKxtSaqDJgkeMznRMEiimwYgAR502dFsbYqSCAT cABMhhWkRhnGH2pIVTz+vt1WSl9h5FnXJ+y88kgrirV7FrXmciPmNHsRxN+uRwpqkUFtVwwgQXAI BzwfvH90EodzPUuLAIrb9AMYFR45Z9JQckVtTc3aQuEhfEuE2ebRnejNzYHbjWzVJuj/4EtGWu3f k8TUeyEtsFmKGZJb7ohGswE+20pIM2dz3KJDsM9SrLVNtPoe0wdfSmWflK+xFVnhv7pZjGwUjD1p BeojlJFWnESF5BVF21mCrUkwkuQqUmeKkrhIJxesnxXiR7ZzSK60Xb8EiR3CRxItElty200dncxI NiWdlbZBXI9h0o7l/3BkL0GSOPgkLZhEQHoDrjU430hro+DWwvW6aNSWHlPuHUXbjdssD0IjOGgh jbHXkmZrWng8qLO19JXZouR/EqA7Re/RNp5iiN0NCZlpouqlSGI5TIwEU0PJ9ti5ZrOXL9uV9VDb 4YpeOgLds44gRrV4uTFqBKeNbrHzXm5U/d8hbLvyLPJym+tsZRCo5E53cgw8Hlxu6RsfWYAfZbtP kxSitif7/n36Oqo0PZP47/W5PaHxNhjSDsNt6dktLicOjrQ9V8GZMzknQyKdfsCzgOk42JxBrfXa 3A697m+FNgB2fRA2IsGsWuoZfePxiB35rZGQB+9bP7Z3WNMuLLS1cdXIeSIws52PtXvp0+AjO4q3 N3H/EEHwwecIGDPadDby2TJ85KEpdX4ByIicq61dbuTk1bajgHurg4QTwgYLkkD/JxtpYx1F+JF2 Ve2Ig8DuQJzrkxRCthklpbyv2EYeKkEWktCNatPQ0dUkhZBtSv/Q78gGL9gWAFQH9UgBINmboUKD QulASbDVi40gDA7fESUlbK91CgAO5JxSDztz3UlSBDdk4/kIq+VtNobm5wcZ+0r/7FD5j7U2mTWa IMl0g4Qq0aTKQ3v6HIX4EWn+ZIIjODhjC170a6hii4lPFMBvkDeehcYi+TL/kUDiO4IQiRhberra oBIJeDduV77w+F/qSCxqQx+5Nr/8d4QfQSMduJrkgnaQnfVlVHO2KerZ7RF2hB+R289eBCJz8GAb jpFd4AgmIgS8oUSJt0OIDg6JSG0gPfFto4otgf+tJB90HJ3wUOf3SQn5+F9Jm5r+qNjefvYO1Uia nH7zNf2V/y8JW7WJowTJpFTERtoxfqRx+aw7eDES8scN9CTI2rR1DiJy3wvSuA2XoOYF20KFWHxH EEPSgwQKCj5oihpEvFT1wEYLVbbn+tpopUE9st0pCovamZhIqp5KY4sUcRK29sxO2Cp5GWFrx4E2 YWw0rdPgdf8CBwmUF9Dyn2puAoUEgjt8hACQcPDInnrb3/x+hkz9xmPqB/D3Vje7DjweZbU+Rezq gl91DllHau0ozJacHTn3OoxqMuox1JGS77QN52fttAg7ghAHEK2ZfPADu+I3LlRWAdidRytsgSpV CU5QVVxfE9UmvW0UQVIyspXpgy61fO4Alq3gfI3Ho6T2H+QIHEge3KigOq/fuuT6s6tntZKL3PQa ZYkSnu0bSGVCR3IKw+ymGHJ3H6xAMqDUBusiqvuCLwKO6clIwVMpcJ2tgFEA+omltLa9cWA/RhMS IEQ5+KIAq60QDHz+8Dz5ARF89JvWNopcnO9Mhcp/JANtUpn/I8c/B9mAn5dMZgPiz6Zrn+Jom/LR nZhtDl5KGgWmFo0MkrxR9kzM2gDLhMsBBFvTpf8x2sbX/AaPqIsymxy4os5O7qL+tzUzn4+Skn/4 fyiTLxJKbaZCbxs63sKP12lbAgIIROr+tYNaLlK3TyFgexDa1lmZ5HBBW/VExwi1Guxd4a64iCMB puP89ucchAyg6/+yCoCviWFb52AqzN5OoIXNwzWVYSogpiSMWVMUdo/8zbpFY3O0S0zOKYq78Ytm aHN7nXuW7e2S2YdyuQptqekzFZpHFjIfCrWSZLOkmaonGJAV9dl956iaDKnONQxkOqJyFM7WzeDk BJBClxt23HJjO4CD8CRIGSSWBBwAno9q3d9tJWR9TP18oqLYO1Gb/ByA6RbfqtW6V6epnogSfQ/f fFGxezDHXUsIpXUpN6gFQJCChaVzop+FOum8RZgQdyKB11ZYysPfOOibsNxdqrTuqsslQwh4sQtb N4wlUggquVR3JnKFBOk8nt0XVrwb7TbrMmWpWsT9Rxl6QXhf59RIFLunR1msvp2Vxd3BY/B6Jmcb iauffwS8YI9JuFCCFCvst80ViE4BeuMIEMjoQLzJ4UVjYJSG2NznsNfzgalt+wdZCmILalQ+UWHV m7yvIgNbdp/L0n0HN127Besgy9quvjgSp7PEENxtfLm5jQJJMSoDnmNlNQgVnzVFqYFMt2J4WNuB vnOzN47kpTolk3rzQfWTBZEhOQQUPR8RTPc/B/o5oWgO4zMVa5WwB0eJXYmVyXNz07oakajEyoa3 43IKa9+s5xIQY8PtokAQYFD1vjV4AoHKBRe5ZoLuGYrpdkyR6MKviTjdRBktqnEi2lOpn+XjbKrR jc9HbctvXxdAlxekK3yioqgcXSk0UtqFmszmNUu0261mib6H+wNGiiWVOGVmOzZ4WI4oHV1Q2gNA 8o5xF4in50XlJnDBgfJQSrEQ92KwOboPAr6h4Cflm/Mv+Xyw8x4hBRxpFJ2idr1PVBSas7uASr5X wLcyXxTltoS56BCwh3sEprAETtbyRGpqg3d4wSYq8uMs5/SqW4KTCNAmGHoZBAVnvpGNi2+JSk7G new+aKZOBKWgsw4GnaEHznCoAEHglRa6j5VizKEkLGdeXpcpBrJ42d2Us+/tnYIcOE7CDIrJSzeh V/ebGJl/BHQzmcNUFE2I78KXQsT8/Lbl8Xeh+3YOHCe1bKkUXHwQwivNKqh0O7EMn49SvX8MXsAV B8Pb5ynEndDhJTHOkwxOTl6bS51cU9bm4Olk8xQBTxJvu4I+tQ1GNu0wVOogXwMQB34A5wmb8wcd KaIbXAKP1iU59pyUgEkVBr4aBr5sqODwJIeGIJ+PpHD9ymsK6SXp7NMURuZq9I7lGfHZATqUGEZ5 1QBXlaoGObSdXDTnAufQhxsbwE9hE3e2atvsQO6JGgKYOVBVXI/Ee2n2wr/Ql+CBVjWo04vC/RZt EPhxPh8sp/ytO0FJBgTbPk+RWOCSFQ4vcpUxhxngFUj6NJunSSkCLacwLqcuRxM4tz3g3ALRoLVV gZonFChaTos+FBDCA4HM1blGs/eN1Dk7uEuIt2zgcuoLxEFpBufK54NpWve6kxg+qsOX4Z1D+0ny h0/KVVxZaTRjCcCDZ10Z89S7z1NIqsxketmyWt4UH9Ag6HV3yk+tBd9Yxg5NMnQAUUNFzupQ0lbK oQOlFINAGtdg5FxGIizcnf29+XzU8F1fcQE0pdvjYZIDA8pkBpRb2AEp4o9i1brFI4brCZp/vu/C mJzluhNiZB9snsD56dxQmKcNxwb2DhCbjkwKdX+gzH3aC0fIOAIrN6VxDZ5D8CAw8TzGzwfx+cgS 5/u6Q9oCfs+dpz88ceSuxH+U9hswFqJ0Eve1UdlTPhyaUC6aBqPN6IMFmifPGymhK3iSlhNJ9kw/ MyB3fs5Fdz4/3XO8JBWjQhdKKU8QGW6DUpfzuyQxBxpXfRSR73/Eg8GinuVr38ViJhTnHOmy4uAQ r3lCj5//WNEarz5PkStOlymeAvL1BORozI3dwaSAmikQNVs5HioMmQfk8AJLYY+Q3/Ib0nJmWnZw UPg0UUJVAThVPv+/aL6ASXd+ricsiOLxRSvqk7c4+mvIE09Snd0FhDovIM1TTLKkvCREGm1wkiUA NewRQIuqLjiCIHFBeAdBEzSj8gPa6c3e+DeJ/CGhxeFF1AohFrWkUJbl80HiMv8plZ80Cif5c+OF +G94LdQpQyWyUShYzJnqqTsbhTeezVQYkDMfWbnc4SJ3zvkNP2F6GoH6t1lggSFspg7M+aEunHDZ ERXVy4s1OBVJ9ew6+QlgIVtSm88HuUv59jbh4QiM1T2kQl9KAAGRAOdrcCpXUx5ShdWEKRN4k+7K oTHl4qLasubc83GBPVOOw2eqvAkkLB0HE/jYi5fYYwFHF2J+SVA14KarlZqLEPZ3KO45CKm33MvK fD5SFPzGFBbqEtbH4DyulyPFqwXMH+hSnbDzklI721esbebctk9TiAWnANwuI/vg6JSTuCR8hMS7 BzBcABVUeOsBzbW677xM7xB+SXREsVYwuKw4GMiJ4B983zkw+HzEb/4HVYgMD+ezT1MobrIEc2qu JcTYT7WVQswhayu5UC2P0xSG5IOZMHueGmyaFmjM8G2XOWWezAXPAkAWTkmsb2Eq9tr5NVGKhxUw IUMMhApK55Qrnx0NbDZgzjfyAyJfYb/0JBx/Ahq0mX2mQgXvxWBTjB3MVNb9Z4Q5VYbrEChJMxWj wuUEy03F4dl350ZKCg4a1DdVhQIWP9Pq6Xx9f269bW8c4AukA98IDIeIsJZGOQGGTM96yXrNSFn4 2lSwrXAOZ5Sj70yFxjngwZ0VlR2F0dYwFAbEw5Nlw4N9F4blgUslTlnmd7AmtMHTvHXm/MT7FM49 22xU6i83FM0hn4O7b9xi+fQXjoAYDA+W6XhBp4SrYE0IYbFN19bkB0RtBb/2qsUHsNm7ExXVylvT +V3dPhdT5XkerY0NrnLzvNCpcnWZnTI+4OBLCrqtkBiUM3xLqFWAr7IRl9Mg7VHPzdXeNzqjiJwb xGNyUB8dDhhMPs490fh8RFj5RtBNqlSV54yKwvImC51cHbLSc3UI3VSE3qQKU32aQo1BilUXunVq uGUD6LZD7oaecPDAYJMUlcIGUWbo57sC05r2vhEiw5iYywdVV6A9wizvTNPm80FUfg2rGVjC9RSw Dp+m0KqSpl6t9eawFamdEraSfDX1ebWYc+BVeU09lsiY6yFjnuPpnLOF6CesMlbiUf09CwiKsT+U NbTGS7Z5CpErJDzPzsYL8Nk/ijARGhDk22vSW/4Ff8bbgSnbEaf4PIUgcRKgEoVKVKwr5qgDBR1q VhO7shkkdH1UJMYgCB2lmDhYNgwb6XO6TroNTbSLyUyocAIboP/t6iKDpgyXQ7tKgO5oxVR8ENnw nOiURAL8OfP54HBa62vX6aea65mnKCanr1mr1FdVtW6aew6kby9SbLORpXmKbeLZF2hC07d1tx20 u2eGIxqK5CgTEZYp/GkHWCWh+j/VRieNPoemlULTQ0mNA2AMOp6GuO0/kP3j81EZ6ru6AimOuS6f Loe2lcSXt/PRTqgrtF+TJ2NxT2b4X/jxFPtWUtUjT7mgTif5nAsN+idbkucLxOxFSALlx/FvMD0X mUmoeA6NK1VcmZPWHhzsFD83kdmgoUYeOFciIL+4jMp9d6Z7XH/vHHhXlv9DUxSVWlOqRjbck4UF TbQDHLFQHfZ5iiLyRVuGNNIdbJ5ANe+90VBv73TCTuzQCZHeH5p85vx46cqYKQfulU4Zgy2fD9p4 5zmqS8MpZvP5yHP4H9tByMWvK16RY/9KgPEpBeCw+jbXc90lo2fAIdhy4dDAUkLfUN7wwaKCBBhG 6fQcricCrFTTG3SKx0ULqazmWN/S1Z0KPSxlPTAKF9ZA645zBUnDLNdMYIj4AX+hffF+qEiuPZ4V FfI0l5w+n37nbeNBPcuprJsOQZqpMCQnqn4IPTYe9NgGlnsUlApg/0gtVIhYVTobQfrg/K0UIPh/ xq4rSZbYOP7PWfYD3uj+BxMyswo9j+zapRQhMKjXM70YmDJp6lcLPXCyhGIs09dFIYIKuloXUePc 27PJ5mxkfkC0+b4JrbhsAa+9MxUCWIoJ7LtOQ5PINzBRq1UFned9posQ5MDM0mKDucaePtzkpZZz hHBN5Q7yKW0IKUaCVhYgUf1C7Fe2F/7No7kVmqKc3/U87YhoKEH+UBVt8gP+CsnZ3UR6PPqTvASe lgY2wB2yDL9SKZwlsEGn1Sfx3aN73SA0tRyCjWFN2GAzBRelDh8r3HoDOjSDMvtoO+SENC/j7HHq T+r2xsHuE0aaAnIaVDk4gZ5c9s4pWPl8VCz3zYd/jKS8t2vWnENjy0l1lFnyFZDps1rJrrqHc5Ve lC2pCL/SOmmbvPY0OGsDZ/YkknyVE4hkCIiCAGwURlwi42G2dHvhqJlHgA8sBDBggbNwUEEolhZr 4pIK0eQXE8WfEqYIYz7osdDdkofqCQqbw+xKtQ7DiajSclVLA7kmfVS0+ZiVlFl88ECqnXAzbZp/ nfN6VOjUAc+JO7Sga1/TVzFq+xsHu49VzToZeXIwSFQbBrPLq/P5SEdufy0pdF1nGbc/HBpcgpXN 0qYVo9BmdZgdQDmGBl5E52qiosh8cu+tQm1CDrb3zjEFCY1d5N0AuV0hN0Enz4gTSqlXJm2rXh5a XFK88eQPpLlwUBUY7GNRyvZafD5qEKfvWOr8UrCUu1agOXS5rFz8a7Zlsvu71GZS6QtpLK0Jobjg iMTQ5lI4YPiu+6CpGucKnoNyIg3GTtiHZ/dh7oQ/pqWM99JLFswu8LlMPC9gk9buwKmCZMpaOrGG no/kUq9FMSe2Qrbl8qZzaHWJqxtN4uZNYhAjvEmcstnvnB20HOsTeF1CF4LNdBmic7BmeoPz17lj aS4DrTodZbsD9gqsVOeSIuOFPB9+SRRM8RxPSxCWZX4g5xMTcTiGOQi8LtOXuayEnynkdCOE0OuS eoQn8i/X7bq1aT3iLhVeuV3jhZjthWaXW657idADDpYVQ0gOuscSBMvQOaks2p0UfwxgQ1Gps2xv KJQKzC7xwmzAbC4rCsKr5QQ3ThayYKC1+QHBvdeuUpGC+Qxg1LOgovi8Slh+mSoRVCnS5XWoqkAU C+1rNVOxggp5ikhVbfByFJAHjAfAxAOBGgCOCcO2H6SzP2092LFU7H0jMCJJaYV184xPUOoBKp5E W+CLzA+I0Ij9O5TCcd7XJePnwPPyTJRMZpsxyvEfpsMRqzvPQWmm3p0Xhud0TivT3OYvZfFMPUoG 0JQBeuxkXOIQD7iFdGgxdQqDTgmoFXvf32hCq8psphqbvZw7YuiPRKbE5yOQ3T+aBQN+RV/TFCqD AwBdc2ouM0d2kxoLrRRD4Xf6JerWC20vRRGeS7SF5agogMvhdQIgHVQL6jnkC8GIFbuHDZH9OKhM ddND38tJLd6d6VvIQfMEmVwVEGBYmEPjy9n+6ejR0ukKFubQ+LLS7gXkC8uLm5B1NHQYyV0dV17e gAmcL123AGLrPtg8IW2BhDEjc9jlUJF2VOoIJWproDV3YSzb3jiq3KlezkpLw+2h4AleyJWV4BPL NH7A+1m+9nfBHNSBOZ44KvC/NHenVtI03AHwY9tbxDLEQMA5p6vO5MAAM//fYjd9LpnOrCfbY2F7 V7aIwRmunQKYxAHnwgoMeCi+9Sg6lwMPTNWuJ3wc0LFK+BpZFy0Ug3npZRQQQhPM8ngXka/QAcet /VlUYdG8SlZlGVmo1dwtNl/nWJxGgcnI+m2qIihLVZMKUbwNtxgMQaQuJmMbaIVT5BFFpEFzkdrv RO1s7xu1qihhWKiu1mUQligmlLNh8MEUCqwwzYf4x2WMMjrwa16Jns9rENXRJla4aewplKfMybiA JubTlEoxD4zXEKpDCVPGFn364FRGFMd3YwIDuecu6f6CiHzA0BXtRNflpXfxawDVoTzHwKCl7oPV N0sjLhY4Jzz9MkEdbV6FmftHemYVjgDE3nOvR/NDUEZlvk2KQqmXogAjHlUNVqndJOdfY6fuOB+C N2yw/TZogglEFSEZZ+NRTyRBlHIiDs7tms3QRQzf8TZBJ8LsNFPvFAzlIHQdoMrqpZ9f8vMaNnWA PadNECfj/CJjUZkPX/kaM52HOk2LN8kPxskrblo827I7bpIVxvl5jZiwfrjJCkJ4GywEBxQAgDpi MYDFplD2JCTjRPzWcVFoOYkif42XOs4S1nsBp7ZBZV9s4aa65m6f12CpPxJYW0VfyHHvOz2vkVI3 mTpItBQvjcteloo8tZpuAfxTLPF9jZPOBw2ZOQzyzrPrd6H2AHXrBhFtSoQuws8QUILwLU5rvdOD zPXzGiV1CHwySoLRLwbgftQsh6EJO4XnGs2f1yCJf2qx+WE8xDXLc5rGrcH80BicikQWSaYxvFE+ rr/M+ejqbsXB8hlJTlfMdTnYxQ/iF3DCaET1PLSVsYaoBYBtV1nm1f7aVFF7jY9wAOm+L/UORlLc 8MH7sTb5a3SEpw0Yth9MpsxBMUGvsVFHz4B1pZKGV8JL8l5dG8uZP+v2Vl4jo55M1IG704bbgTrJ wsIGAIv6zBcvsUHfXIB/EVvkp6cpa9BgglZRRlKrD5wgCLMRSINot3xegyKsIOPb2QTBqKYTjIkJ eg2JcKyXIWMCl00/e83dwEgWNAxB81bBa0DkE7SAP/LBJwjG1DNJzekcPxTA2IS8qaRDFwnfYszZ XqOh7kZX9DfEALGSxQnKOYus0U/o+3kNhrp86TVBZEUBTY8IQxP0Ggh1k06tQLp7dC2dIpqm6Aii acrMdoO9hkE4oQWTo6y8Bu/NdWjuoxZxDvxK0cvEqjfWbkaPq+D699ia7PLXMAi/AgtuC/RhGxx9 ucVmAjzh8xoE2Ss+KwjJABBRNkGvxUlssSLYwLqSF8B4O8cnLef4UKaXqmnBGZ1FFZvqic/LhIKf fBULFFTptgtl5wdtCcDAnXBkvVBeOrd8XguTXYrjwBEy9y+D6iFk7nsxFKDjz2tZsj8Quc0wHBo6 a1PEmRISwQQBSQlKT192ia26vS6yWeITXoAmT9S7iGIgg97I4fIKy5yXOhcYPJYzJ2hwb6DIPYEf Y/W1EM1k8Di6N72WI3HMikm+ecsTWiDc5An4qH4vWOprMbI/OOdNiA6cQ382tFYtSozC6CHDPXWU eEynp3S0jJ4BgkGazjuM5shUwFjfNu674ugBEOEgJhVyNaXTm3iQ6knV+tLqVwvc3jfYZmy/QQzS B6vZVqiX4gvLAI812mfG69FBNAbxXr6M3sl0+GWoxT2olsN1VJKzWOEA5/3v2lyh6J1Lh0maSsVY POJgk3QuoBPGbO60WoBrojwhXLPQgMvUESje1KXa3+edTHdP69UZRHHQbQYqhRzTc+XjUTzdvw4j VBVxhvgkRQF1kg3INOQSvFK2MQ6h3O3gnAw5FQN7BZMELexzk0FoxwZvvp1IpGbg3mBxAe90oglo gDnhzIeszAOiIdBuMEVdRMMhsPy4YPnZh3h07dwHn3caHffq+o6p0XMp5W62KKiGXT1YKt3RlSio Oi6HNH/icjJjJ+FNghObivvn4BSzoDwqzifTAH+nqwgCpRlKyyw4H0w47BWow/qNJsmG97gaO5rc Xsk4c7Cq2kaxmSsKGNQwrh6et7IP2Vkr6ncdvUfW4nNRJt1rtD1dW0KqJJtVSr2b7T20zmojnSSb 8REHJ652AGnzIiNzoACi8iTUO85UIVrItzkyVXoMzyNClxK7biBCS8QZp36S/e4551BQCyYp5+8D CVo3P0OEJ05SFF13uiDM5ZMEez1Pz1AxtBMJ1FPL7t/Da1wApgQ2fPATCRglMA2x2cAa57+knDOh yT8wLPBTm9W8zzt7jgcoNmhGUcAG0TEhKrtFvijl806e61KCei7/DJzqhISETVIYYVuOVr08O/t2 96Y2x8UDQPJJkxSF2GxyLxB/fHCO4YavaKXpDpgXcxnoG8qwNJ7AYWqGu1niA1GM3ZpMQKkJOlt1 CjQAjQSHtJn35505h5U0fbuJnQH+zqTvr2YpCrPhb0oL6vRzXYldcoeEUAFxaAmjWYribNrunX+4 mw+O0Z0FrcKBGjbsX5aS3oSq2YQtVJ7E4YhQP7te932WDMO8KS4HnQ9FSQlq3UmE1c7Hgzhy1a+D G3iglbdnIu+0uW7KKI2UE6MX5m5MizNZU7ilsx2hF6qabBRqo0ixoYq+fLAziQayGYA0JAoAfG+x n/ELVoTdez5to663fZ+iyQjy7NTmg8pFoBkysz1Be/68M+YQI22/24QIzKC4QdPGZykKt5G2ABuY XeZqj9s2Wq7jBJfw5OC3ON5GMRZ6oj7YLJ24cp+bvxPtPQFflsoTiBLQ4GVL5CnxT71uME3YIDmj kmODDiV03qVgQaREFHDn9h0lEfYqPXBWHaN4G/kkbGWKJ7WdMSyT2r2sQoLORbLW2jtVjvekVC5d g/fp1IIFXaHnwY4R1MEhmr5R1KLEGItiLgTGDuQ7Va5LhhmQXPYhOShM6humbAyTSv68M+X6Iyxv nXAqXed8JymKtylg39LYHiel1b1Nm0fz0kijGANCgHeiHJekBIhMjqjfxA3yMB2dXiRuC+4F1EKh FPJZb6iuAdvsZxLrj+9MOVxvkt6DNyAGdBysZpT3FkICYijvTDneb16hJTAQ8pnjpm7vRLnujSIR aM13z5wv0FNbTkKZwJloKb0H3CjwkaNTeeJwcKgpmnsDAP9zcJ9Dg9RKgHKBtCA0F2zAS9bRWnoP uV2Alyr9GDqOJIVKkNGmptwJKD/vLDm85S0icUaIqH42XBRzVyG4yrhltm2nOMps1ax3IeJp6Ml3 jlwXKhH7Uo2ifRtFYOrWBAsMEugTPDUpLU+9Q9x8LFBe6QoqobxT5LqzLmEk6oOC7vMzADlFWan6 eWfI8ez3YjYmBAflyd88Bnjnx+HILyrxbwfC95Y8nCyEjTGczMWVnN/pcdzxdCkSvWJfUR0caK0D UJgpLQ9RD0IsExCDk6okYzkuwpyc3tlxXAnU1Gl1+qDtVnIx9dRW1+edG4c7eJevkxuH0lc18p0a x5ObeYnusSZ7Ykvepik50y4dlqaao6iiLUwEXPd88GrSprw/kd0kXtLsaqAICjwGy2316+heet8g UJJAU2130CTBnZtR/snV1+edGYeTf38X/fG1o8w7Se8hN86kRP5guxW3bl6FUDy6nvKrbMtL3nlx OLlBu1klSfU69VtOOuc2Ym21HWH5rt0Gr0KQ5jslQV1jNnMDfd6JcV0MYbAQJKezXa7i7OW+tjAz a3/eeXHdJFA1SZRF25C5RCdbsxSF3EDNocV/Da9a9lkCuiB7HWAlg0q+0+K4lJm90YNHgwVKMEw9 WW5l8xrNo0XH9IJKx0I/OpeHdkLW++edFcdJonFKkdge4NzURTtbQQwLcUs/76w4Xi/3THJfWVy6 PktxeZs5206uwT9HcZJlJ0mILchZHXv7Topj6RnTUwvBkhzs5EYhDwKUbNHCMLWQnNNgkzYGcmYA tux6G4Qov5PiuvMDtgAjHJS+oU+l8uvO/fPOietmXvXsNxDl274HdxRyo3tPULIX3Vax6LvMXLwF AOdtP7jfQ+6z3waJt4kKlxw85J4Fcrtjy1i+FeqdwP1q/zB4pXOVSVQke9toIcm8mcVbDrrbEn4F 7rbz1Z93Nhzz+e8pwiYnkMEa2WHErSlabr171vzwAGA3N7xCVd/y23cuXHeloUaRDg02RWtlCgSq 0w8zPyoNzUZGM1BI6RH4Qmfo806FQ6dsESOS0h281V+z3OXOuf95Z8Lx+vU+EktuJ3kxdzlOUYgV GcQZpeW9WnAD/TwayeuS5+80ftc7DY5ThJ1G3UsbNEXoMKCh1pC4jZNiNRS2IR2+G1uV8LxC4iMq Ti563QgOQUPQzWYtB20v+LKKXtImHw92Wl5fy0jytrn4HEXRNuVnzwV9zS4Scekqb+fb8CdUkTvt nQLH04jrp9KBj4Of2ecaAM2nU7zj/AiUm53QxwXarLBx6kd2G3rbKG+DPWXa0j/brn824DTVjftX Pu/st/6YpmwvrnBKfYqiUBuSfyeKnK6yABUgl+AvqrcV0ildgv+d+9addUpjRhs0Rai1ICWZzP8b yIfEHM0zXQAjIs+cVz2WLJHPO/PttpJ25jKiI4QoWAtux016ALl83olv/YFCaq9BPaftC8t6572x uUGANu2EuY6AI7RJmrRiEqqd1F+uo6i8jWLz2WQgcNtgk0Sy8k4EtcNboIsNfqKM84cg1C6Oishg DPFloylihC01cA7CZSU4Kgg3MsrnnfH2X4E2PNBhs+4z9B5oA6wvcd3qM1TStUxB4d5maENUVjMU 1bYTIRFVRw0H22m4J5G+EntUmamxjESVZHSwMvLn2yWx1w3i7EQ1oc2GEgeGkAn6ASLcpM53DC61 7OA1lnihqtAu+uid6tavHyiV8uVyXR8/+W6SHQiYsuX+70y3u9Vgs+ODt21BBGTO2WhLQVnPfX5S atqiLVIKtbzGAzx+Z7p5re2/iMuQCUBkiv+48EO+x9nyN3waADSGaPUe2VGYXVn/hxqVA0go9yUA SWuW+kMQ3sLsd5Jbd/WJjL8XA2PeyUnqYOpN9v/hDwK+BSZp0CsNuRlWk2X+ghy/U9wIY2MvsrHk Nhj9KsxOuUoD/NzufMkIATC+dhvu6inxCU5SGGU3EbqbA/1Y6dXdX3ZzjETG/aOVFJa2rZM0tw8e ZacJG99OAAAogSQLjgUaSIba7k9BaOUmDigLft75bTySWNzOtLoCt/tHCEgQ44TbOhcQn/8zr+U/ hiPo7hcOGQXacig818L2Ylsezi2dxDlzwy0ElJqmqLZNC/SFV/fB67aloxC5CQHI/ST/KiPhv8mM uiu0U93JiVIB79y27uYp8JTwQTESfNNkM3s+4/NObesSH/0ubp90qGc/ud+Jbd14I6gSDsMcZyKp qHBWKYEkWXSQbqi0/85ru62kPFfywRFJ9ZxIDVJqkOc4/5my4AO1YCh3YfbnvGRJYjI/78Q21pmr VAJscNtUiEpP9Yfq/Lzz2rBhh/eSyJREIQeld5+lEE1Sqa43CDoqRK5TTSlD4aDKmRB1GhRZK5bS O62NmLPEUuSuPlxK6fkcaH2TXAOhM+K2FrKLjLPlfNxwWFKmp97nndbW3c0ZRV8NJtoF7DflV86F ldbnndSGY2FddLYAX+NnpHt4v3PasICBMaxLu2yzENCdTtopUyXXBmSAWktRdbup+E/nGA1eklwL i5GCnhDRLZUqcAXJz5kw4C5RY7uJW9f7Bqe3jm04VdlgEFsAUxVx6yWDiDt9l9tQe+o5ebntnc/W JcJOILWutTNJrQ0D+S9C9aEAd6LkbMXtdzrb7XBD8NIH23D7nM9tIUoAVvLk+4so24nIEWqe/Yd+ UhYGDPIg3vlsBMpKQ2EXHwRMAoiqS9Gt1M87nc1B6RepjeAHVRufpLC4jUnFan2qbbebtEizF6AE F4+g2mFxeyhlo2I8hxtPZgrJgU8Dt1ByouB6dV6R+VAmLVnZrYok71y2C/ZvfSwflLotgJ/4fWfr fd6pbIx521c8iYMMQIR7KEXVbXopQ/jVsRJVsFKhAKpT2WZzOsQ7k40rmVW2TKQbBydGTtgOgZoD wD8iS/YKwImF503hqreYmwLLn3cam8/RCdoBcNOgvOTcko3uZ+f4HJ93EhtzsH/WEZpIo3gh6Z3D xvITBXPrtBCgDqEAJ9NbxyOfNH4YHvmdwnbDSYgm+WCZW+mQkwYJALW2DA9eVgCILTvnFfq3N+JO 9rYReIt45LazD5oinL0s35MS+U5f43HmywiPwcZj7OwVgHfuGtFMiWjS4titAiSatlqfy90uN/nH 3GphxE0EwGhE3HBw7BZ8rav0lE5Qdh6dLCQhgztneqYZnrfacAd/3plrXcwzpLLM3PZ0z4EJwRMW 3s41Mz/vxDXr1D3X/4ncfkCH8SmK4u1Oo4+yL1e7ZgOQUhbMWO3Y7F1FkhbF2+wfwYN4+mAbje5y HcEYfQrbBi4VuOXzFwLdQPNSc7tESYnfEdz95LIjfvBBGAk4ihVttNLweBBG3giJIBkEnWy++ySF 0XZjFXKas2VWgm7CXA+WlFVjraOorJ1IP8Km8sEnCREp1AwwSedSGzXLWB3raFLGfz4ylMleN2CP ZFbbgCS2QQvpzPMu6keWgceD9n/5po+AyQOHe+fXhMF2Zra28hVXdC0lqkh0LaTOLqUINu/BtpC7 Z5MV0kQ5eLUNIvBtsNp2NmHJlrugYtWBZBlS3HiMBnpIgZxCDQpPslyoUwq52mubjwcEkltJwr6i 5966de0eRdqIRiAb8bhW8LeRgkStriDR2bXEXusRjmQwEYEmpA82RX22lCgd0CCoNNTWXjQjboQI weHCCwAU2uhRXVtu4Ogg+MA5anv0qVutnnSkR4F2Kt80SODkGoDrNkdhnJ3lgGKof/C0ileSrs1O hXiMXfw9qmtbzjYIt+XgTL8KJ23hI2BKWUk0GbMiG6EVRim53/xfRK0ozkYlaWzyCzAgDiIUGVzd xBjwBCkFzwdsyIsiwb/Nk59zmSQ95EM24ZAMxQay/HYEINwTDNvWkEvRG61HkfZkYJSyUJL5QUkO 6NLD84vpCIp7VIOvACfnk2uNH5ayrHK7mdr2KNI+wShLtiTWLlEcWZVMJVHssp9IDo9HnNHvtYQS TS+Xj9QjUuSgazpWqQEAq9gACxoFBKljLfWOY5jpSI9hJCxHVp43Yi5rLeUGbV/6x01w/TJztsl7 bNGfcwwX7s6Ll1uPqtuLlC1W784AtBm7P+dgQPJH+a2TtOH54HabDtsimQRdqa9zO460kc2eo6PZ JK1rwbCoVWeR9mRbu/OTfkv/cQP74NBttDOJOUKXJGHz0cAKddfNbjy2nh9KFHvt76E2VNxJ73eG Nu5uCSFYYwqeVHXj+b/2m7eS6C9ikxTWtxdbIyzJsEYyCBNGjWRlMEKymrY4oJj99zDWnmYt23yw 7P+kjxBIYrkNlry9ywZUZq2QZz0/0n5oSVPvG203BEiMRWFuSSdRraUCPA9hfSdawQdE4LbbKiFF MnVXy+c8vQfcyEnky1QpeMv/WKS/VVatNPHIZNliQ3DHRQH3NuDWMzjpBtQqfhbKkgmHOSpug2SW BOOgb638RGHOHtW4dcWdsP0OqpKc6V/T1A0mHo+ItrcsqV+xQ//9zlIE3+5sBHT5ChFKxeNctSRg 0aWgSD1cbbkISYL+OqjGhABAuQ9vzy2HFQXKHGfprMtF/lbHaQSSG2KA/bTdQDL/9AhKkmgpsSvF WjioTLJOSMbcrZ4v+fQISpIuFXCyBHB2zqjjRgJx0F1VQVLZCJySKvGIAksX07qDFPa2PReVuBfh /XvO7IP3lOC/fo5WriWgAaAwuhFFoeIGeEiVP4z0tsgn7RGapFOWbKbUfFBPCY0+lRpPWv7pIWHy FgEEcj831cD1bqztKOyeJN0WpHsWL+3p8VL3/7YC0GqpyYiFRzo5ADQU4GAp7oBmFJxT0FQ6w2xN xFyU4VFz2PtySiTVPaKwO1N5uk9WAbpzwwsav9IYgt8IHo94277f+GqUx+93isKwOwspyckgskQ0 kiXpEVOXROBvhZIRF7i53fZIPvgNB7JlBtgT4pIntqikMCd0XBcFUxBHuRwZYGP4kqhyi0Mb5g0C So4fUgFOBDXOp/Jqb5ikGE/yPUlsB8OfxSYpRG9TsnDLUY+TRDtIkiXqcP2aEz8tp/9H9W2xJEBj 08C3QG4CycfRYHl60jfUobtac2gVM4su63EQOO8x8B1Bk5vaGhQf52C2g3vXNCSMf/4Hj0fVpFvd JswLgHIU+G2OQr6kipJjGTkZ7hqOAKT4helzL8dKjCjoXipK7mWDzxEEeOBxVhcppZvcduw1cFc7 9t1qj4w5Sjz4jkgBgDKJEPO3Qei2dr6BWLEG9b8RFrfrP+nbgGvIlWkZUcgNwBWoEi5h3tJ0kORK QLcaKpm8Bk5RFHJP8Wdnrz54cbu10Vjpb+ewyPRolC4ng97zuSsPp91OctxGVNzOxCVl+pVBYSEr 3EGp5Py0yu1LxvP/C+kG/klMjW2SwpCbLsQ5Gcj20gGIlpA38aBPe7Ue94hC7iX3l7y3D36zFQji pyK0xPn7EOluyADidzwXHhaPrSOGPfiOIMNNMg0gqaRW59wUYCyuPjAej0A33yhJ5rcAUNkUhcBt QgDVjuYUJQpz8syWIx0D7lrRAEMgOSLgdqHIJurkPjhNYp6MbTcCSTc9g8W6reTfFZnbXth21dv+ 0mzLmWp2GVcwS7DojzQptzK7HWGwfRWRdIqBB9zviR0Vt8nuhwn7cjiJlg6pW3l2h5O0aZibEcXa cwiQRBLgGRimYYoKvNI3IYkoSiKsaXTXaziNzl/GnWZThL4qviOIjshApQwOBsR23B7ntMv0RoRc ecfzf/ba2CTBj/TA20YUarMt2KiaZXSb5Py2udasvo4AcNYkhaE2Bf52UiyZ0mNJNfIJpQtpNWQl w4vqJCQJF2ADjE/4f+01xpAjjLTZZavyD6KWSU5iAJzUMG1xaObCBwQ1gHoPJKFzz+2P2otNUxxr F0/WjFDahvt61p2rlZMAdLHtFha4s5QAxvbBp+nEBSejoX4U6ueDAh0nfkMwhWCBkEx3CdCxHVIl 2dXOZWlA1Yn1yHVum6RKyc4Fz0eVkm/ILYD0WPY2STOscFceRGqVLIHcusFJGtUqOUlUTmDaNuNQ m0BSVDZtsLsN0lqw+1YXADxDnkkDu4cwU86RNdzIup0hmKSy4ZbGHXRsn7Crqwlw/mQ8HkxRW98h 0oJJLf5cm6OQKknDiba2JoZueVYoARoRcRH+gNRJJTn3/wxL3MnEkZsPF76F9jxkQAAmAaORMjig 8IEbTG+QdiE3pDTjW6LUlvTtxTuOg6W2kF5WAaAXPP7XqSQPtDNJPXk1aYbSJFSSZmpp/iX7CgHU 6iW3mVd1JamQKdmYiRSaMqdS725DOQmyyLQNOvd0BdwAhxLoh9RLKpANdcRNtvcNtpt0EGfvPqhK gpOOHXwoPeHxiAP4rZUEus2qyYOkGQXbucu6JN/EdmQjAZwXdnGSSQ0wTVIcbFMTGRGjDbaSOlBN A8qmjADOXwNuBJzQF+g2Z2rL5bgvyn/OEEkiS6Up9xLainGKkIewDtRmWXg8QADc0i2z4EyFzt29 wj2jcLvSj7mhBKJJWhLbmBQCsDwFJL5h19sMdf94+WctqHztmM8uLAM+l7QDSBv56JasJuYHPDhU Xq8wMg2oZkiUZJ2tNyJLOQibDFZVYkpyznI8HjQmr64dU7wTno1ZvNo24wI3kVvVBElQUupmB0te nRW44cGpu21GUBLeWAuQRR+8CwC3+4TDALDbs2I2fOEmeCY/oN/8rPHESdXeNji25cC8pbqxTXXj 7NNFEXgspDHweFRr+64iQURv9CsENCMoiRwARu1uw2xtXExRdmvKij/MhKNnVN6GegdoNMzaFO34 9Q8rvLSlJ7HBEyfaBmdWp7/ueMzP0RXFd0TCZNTsQ6kJQ5GnZE1wXzNpn8FXjGCSfrPx6CmU/78X W8iRTOYk/ABut++0Vi7CfV2E+wzl/4iToDepDRcnUfuZ4SGUJDUSmbNtt4Ecaf38I0s2o7q20bbK qD6ozkaIMk/stfl4lJDcKFKhwlnqA3ImNkkRmGRSva1KVpt4G0WUxNssN3oDGcRQyTOKtoc6bUps y1diC8mlnhc1Es/ZvYXJxZl7lhD6kQWlilvXTnrdCJQsV64lVy5qGCn7z9SrOGFPzRXPByupX5VE 9aCQuI17s8UkSQJuaRxkMoDdIe6VdCjGSAt9Jc1SqEsixBYUyGy4cWQDMZaQmxOLnSWERsGcqAIB wS07bZ+lVfS6UYhEWNIYdxAAELVzAQDPPsfjUSD5j1rrOR9g42RztKJYO/Md206uS7Km6fyfvZ2W 3f6waTNdkhWhSdAE5rW/ffDbH7JICSQtCEpBIYipyyCRFKqcrToJwAQAVogm2TyKwLe2wfB/50Jm FHhurorH/2JJyt8MH/aobcZlbTbY8qUAtMu5Ocfq3p7aQoROUxSG2vClPsfNSD7YZjth2rnOgI4D H3nBZENmgRnCZOgJtguR5PbGd0QlEnpLNXJtxwmMfoZObdg2MIjsuxY8H1Xa8nc+Agek0a6o7Qpl tYmTyNslN3HvbD+217QA6UuUZMVwkirxH1rbZIUrVEpie35okk62gBwUuS+bbyh1LdaRsivcNXxH EEVKu34I3jYuvC3BQ11M0sXH/8xGeMYD/Vern9or5EnWLZGE5uXI7sK2cMpaVo5soG3y9l8hatuu /Vx88HJkgxqSRdo4l1FQO7c/JFsG+E5ohPvtn+xtI/j/Fk3SqFvnXzHyA7GwcU1h4vB8cB71G2oz 7z7/FyWAcqcpirUnGQBUURHkptEABf3Is2VNgJOWNFYjWSFTsqldm5MPFiThfIXcPJfSmXDYzoO+ BbQipDnOPdPbg7iZet2g4CZvTkToGLAGFUlCOpqNN8DB8Xx0uX0XJYG4nQ8AYEXBdqJIcqOlkaxa QGQ1Y43hvCSI7PpailVJKALMaEmDT9I6P1mvNN2ik+mgP1lBnAs3r8K14J53iULkK4KTCHPTN+84 DiIjLUCeijISvmTES8pfqS3SPqg1+yRF4TZVX8EOs4ykkcWicBtifVZIWt3l21YYbhNrAzE7H25x G3gbsSUBZ4C8BzBuPEYT+qhnDoeXklh+wLdEOgmUI6kSJ6mucUd6GM/Bc0A1PB5pJX9TAADeWlAG sUkK2ZKTRZJep1XbqsT/2bXNVl8CSaJbB2CF2G2Kbu227+DkLRCTO6A0cNSYk0ZMZ5JAnDgziA4n znKHkmBx4FuirITVEcBAMaB9z0rSSTaXeICot60o5F6PCoCIJydphEiOzVJMl5wylryaklQcMlGS 6UpJM7uE6wrr2zpjKCelwWYpDRj3bdbbChpAhRaTUCsD4AbkGhTZ1LgtUI3m+wbOGlsaSaxzc9Ch lHKqWzSAwZeMcLf5K70llnU9qtIrLG/PL7sfSresxz1imy01ZUkMJLHCiLvTHgFNZhu84AYNvQUE EES3IHFD8tZATxvOVaiTUFVawRIFk1cUcmextoZgScNhSSAMiwoFHgsej9bSt34bVe76JUvsKOQe lXWSXm/BreSrlZSat25X967kjqW3WY6sut+uedRA0R+HFFu3BQI3jRluQvEJW/FnYX+YqSvL2zsK uQWPKJU+wRw4RR2/pxC4J1vG439RbiQFAI+PC+De7yG3lekghOFZyWaRWDXJma8wCREfFHAPhUnU a0TUaIOH3OcVFj15oElUwedOhCQt8tsy8Rjz3m70ANgxgluFtpV9MEgSKs4qJp38dr/H3I/3ptGZ YOQKbzebpBDBTQxgEr2mUzt5J9tsrbuA65mt7ir3cchtJi3JB7/dEhABhUWAc7KdqJjpbT3p4LmA EfIDKHNdb4deN5gj0W2GMG7DaOLgASDP4eLoG49H0iTfAq6Zd8ltJe2QKMni9rhaUi1Vg9icvHot 10umjpvmKC5us+C2WAnI63K3wFDpm/B/wCTqrszyoCy64bZxvnS2y5PkTYEvCZhJ7CVNFbmn6w2f 2A7dF15XG+sogpK0a4jE4vZmwOBlkh2it+nRlqQEQLZEW24FUGQoSUub3gxKskP0NidHbtsabI4K bHIKiAqottH0DzFCh/3FQGWw1YuSAIOCb/ubmiSdAjEATcE7e0F7YRtLZOP5IHdL9/5nbH5+EHSV fZKieLvO8iiSUJwkF5MBOrlDd88NHKc2Sb9DSaqaJdU7YeySQFoTCRsg7vMcTWyB46T9IWfixEtX BQAnI74j8v0h/b/QD4CD6iTAFrBUDPdyPB4h2/6BkkAsuba7jiIoCQIzlJ7dLeHcHATecB3dchuA tz5FoQbgIpVkUniTg9f/SwEuaUl2o8H0ScVtystDpQN8EjHcKH6E74gqt8xrB+eVg44jKDN1q6Dx 8Uh1+0EkqzYHIeJnHYXRNqkSsHly9lZza5ITFjnDDRH/FjVph2ASbra6x/DBa5LI4iB6SxYA/Hmq BCdxZsJVZzcv3BZyED87ApMQ1AiFruSDQdvYEOblj70Wim7Pf2pJIMePcjnJO6xuJ+pJCzxK9a09 TCoJYisulWROAJ2f9CudhAo2GnyvDbhGldkkuXX+GgoBzUqWMkRc0lVJ5A2O7/gV/keEmwbNEZhx EiU90QEe/4txg8dAkFv9lpJ2FGjr7i9u9kM26fbjSDruPI62i5LuCEcyyf6rBBxp8L1WkXWWzEbS RP1wkChJjGtm09bVNksa9rZBDElJUngV+KAwG+Ve6qCcX2Lg8ahs+y0ADLwCC1CaIm2/11MENQPK w1tiC3XsH/Nw78RtwaJiI0UhjAQfFUWRMkqiSiQHy0bA3G795CCokUB1qZDiVirXPFpvhPfCIREr afkbR25kRCQPo97gqJAVEKrnnLXe8uQHRFjSb2kyHY77malQB7Byw+UiORKIlAwD3Iw++jDNDfT9 TOY+xfE21ckr2TccvJpUQG6G4gnIgIDMswYwaAuTQEMsYHs7GZDxNr4mggGwCFDU5y6euE3geGXj smbm80Fa0v6JJnGyodhxZ+o95gZbZgh24z3unbNrbxeX4KwgFrpDcoogJVUAt0qMPwdfUxsGfZMB ZUHBBMAG+CYhD8yQWWAtxtWAWQfA1wQzRe8/SjIiXpoAFCoTI4brh1jexQ8IcKXZ+0qEV0DG5dHg yikqdvOWb7PubbU3+48UTmJv3sgAOflMxVaSTN4AVbfBZgq9kE3aIXSTc8VsYfdRxrAgZINZ9c3h ZAuQQtsbotwQYfig4DujyqflNQafjwre36kuRAZQUL4TFYXfNJk68e89pmru3Y4pKTajSAlhreyb L6p3w2uLDhzFB9986ObCnUxcLkANyOUCLRrUSvQ+liuVlyx7oBShSwYr3lUc0+qIcfwONGPCjQCf iRTCS/4R4oSfHGg4l6iUU1z0ThSa5FRtipWQKJipxYnjUtpAuxroLaew6j3UQVFWt9NNVajH2bZo b7AwJndgbEIZE9CLNGmUSxCwovbGUWGAcmWLwjdzufDN+UnO78n9dG5ZPh9Yct2p6jxK8X8XfL99 qkLRErTMz9sWq+rWTodjkromFY1J6iqQTbHLL4rG5X6TWruDN5v2Oc6BwaGNYq1ErMEeGFREopUy eJp2pO/c7I1/S+xotmaDaigbhgxCVRQ9HwRSj10yV9WJOVYez5Eea5cQcCKMMht09Erj/qskhZJK uXlwaP+FBfBtqi3FB9t/J8PcUIbkQQW5brDEQPHmr0TNFdA37KAqtdkbB6nLNnG3oiGrttZQn9Mq OQ/x+b+8Apje1bOk5n4OqigsBxYQMWd3ykmVBQVBJ2xmsyiXurtz0OgvuPtYRSkkMnO40BxYwaPc 3GBdlnZiAbjQp7UxtniQgrJVSqEw96aSUmLdiYNAcP38tQaCa4PPB3uv+sVH2UfKX/avUyqKzRe1 8NO8+uUn3zLYyVTdywwD5p2nUDOw0uRFycl2vSNWL8dKdLVsoAxmacFBFbnAtI94Iod5Fdr58FuC qpNJKwoKlx0Kl8EkYmWtDkadoSNOvzomZM1VKug+7oohpZKAQYrnaqJwYHlPRdoKwjDX5vaKIfik sZpC2SQNTmKCu+LEKceG+NnlrIR3lCY6y8zQUnO7F7px58CEUqcxJYOHD8aqbI3imT8gZPH5KNfz 8JyLEZjKOft1dA99KFuxcooVDVq6RQMk4rdCV7uvqMCIUshQiJjzTOegiTqnB9B5W7Kv55PQTcOi Awmvdd549Uri0cok/+JDSWxlZ1Wcg+apnzOeiAmUbvl8pI3bv9IYCG+xF+bTFAbnhQnfemp0bOJI YqkxaEDdYEHDlnWDHFpRLrGYMgsHHLxw0CFzRU2TSRclxe8DeU9vlFlcj+Z7afbCv7Wf6pDp+eBd L4TFnFn93jr4fNTw/e6Kg6XRH2H8HNhRogLJa47CVyr4zuv9WmdyoeWT819b0yg0n0Tp9MXYoK/2 tZxwSm1KUZx46cSFsqkGh6SBpg2Shct1jWbvG4ksQO6Ntl42CK2zztyTbXnuzcrn/9p2pIjBhTo/ VouhISWwj4ibGA1Q1lwqQhT3Va5XqPvmLNQcOFIm+oWAFMfNV8cFNJdzm3Yku1W+Zr1I+G0AYdap 4ITCqRWiJLWUQ09K0FmmMkQO3ZbTgLwMkV9pbD4fNH2XxwUs7eFyaf3ZdpFud+9MhF0zGKdTcWXz rVoUlhNbCbbtwqjca5rNB9t2IK5vsHaNQzgzmywDwhuDdQviLA3Y1Ke9cHQ8LcoH7uqDquPUmOUX ng/i85FmZ/laT2hFwU/kzlPskaPmuMGZse2aAy1aKc5GZeavjDj0pUTjecOVY/nggSY4uRtNT2wN pPuEo4wG0wFMX033GC9p+QtHRRb2fBeNPzkoeSlney/Tyet8/q9j3IQHz7TX/CyoUNyks6IpPwGC U5KBU2BLwYyYv/VyTGoOrClBkxZhZ9/BwRagbVABF4Hmud8GofGQ3PoB4xtgIa+xFAqs8VuiBUW1 pU7jRQ6KNOcikBMLKlU+HyyoG5DzH4MkXR9X4cCd0oS/4RPuGLB5MZfTTKp4xtKYTvP0O+lyiXa6 bhX4LKgTiEGiivom4N4nOcExdssS7cgPdKc3e+OgciCJs9qbD6ocZHixM54+O4HP/2ktjH98FtRZ 8M+CioHgdM0d2fWpVpku4t234XqRT9W782KHSrmdk5vDwRbUOcdhjDRZtZsQOaOs0MDkwyluQy7n McyxIyqqmBd2yc+KbT4oJIekTNERlTafj3KX28HjGYXTEUTse0qFRpWdm4+UODulhCbkKdXzNMzc xtZQOhw6VS7VWNq+g83VCZrOMuqCpxRgvwBkndQTWDSHxYXnHapm7xu1X+gGk9g5pxSifIUbms68 9E6MzOeDmUp3psRJoKXjjQ5Cr0rxVBAnS6mqsUIvkuqoCNipB5N3Kj5PUUyOnwV48LJ8cKwTWuRZ iOd8zkAEtIQWdOp55Z/Vfetlxl78kl8uPTD6kw8OdWqyEYfwHJ+PCM8ea1ZCCfF/x37CqNiucpB6 IWkTkla3aQoV2JQWE4fP69ZXAr9KW1ATdRMfbEFBv7PvOgkKO5sSxEVA5+TqifzxW6uKQvj8miDe 5HG+G4/zzRIeAZUnyt/UtYUm7+YHBAHnxWHwH2Nxl33P88CyEqgnxjHTK8HQEDMtBshu6789ASeK EDZTEVoFDKbzcZsS6Bxsps5hPUbFFYUAAVTPTLFBSASd70bNSXhVu/j8jQOcARdV72Rj9r6WobzO rNF942y+rNf8Sx+GFOBzG8PL6U5U6KWDFQIDHfdk6tl0B865Li8d5MPQvbfIPPCtvDqxlfbMHCzi nGjoEXgImiqqnMZaAfc46fYbt2JOJf0cG1dm0Z5Z21yIiSU4uXaRheT5u9fkB0SCuv9MFBYT6ks+ UaF3ZZMbw3b4k2JjtYmJh1ebuKTqExXCVijviZ3mwz3Mywk0N0t2CRbfm9ZMZy1tMNkLMuJHUDdX e+HglCJwBQoVPqiZfqL/JaGFs5n5/F/y1aJlktD3XHpRaA5BQ6jDLGev9KcSNbdLxYuyZPMU6g6S Rw9ung/eWDi/NTwG0o/8qzL/JcjHFMFtgAteVaY17X0DXAYrBpAR9UEFloXqsvyZ6ubzf5kP8dSG E+pX/yX0r4TMGQmTVjiAifV28IqU0AleYaVY0xSWyucQRqz4YNN0wvKTdyzxV9HhAzh7zwLB/gUq aIYQi7Vfss1TiF8hGWoNaTNQYlXLaQF/i/OpJr1lpIPWvrbd5hRdTZ0cOlj2aj7Wjs5ILmWFkuq0 ysFsiYi6ro/61VynP4NVDuATPwc1bE5iPddm0gw2Ajq6QPlUlx00sbgcWlhWusWA4ukDl9NoaOYo 8EQ3PfCw/BZEZUMP/AEST3ye3uNydNPZ71SqwoIdqUw8nqjuwfUEp9zh8/Src/w0c2EOdjzR7LkB loO4HBU8hFlj05ICAOqCBixqjMSMFXvhYD0tBlEjy/AbPFStp1SoUAYaWOfzUSUqfSV60OaY60o1 59DKklFSq21ech3VjuTT2Ks7WWS0iLXvYi/LzUI5sXIavLAJXv6C2x9RY9BrZhcLmqYNkHHQrRyi Sch4Ds0sFWuuTdcPDnaKwz9OPGfUyQM3SzS4vqmskP/78kXPoZ3lblSMLW5nCfsjDwugIWP9hAqf NJumKCRfVGkAp8QHP57GOYoaLlS6owF3hUJUx23H/mfOj7+uvJpyYGiJQ5A2jT1XH7TvzsEmujrc 1/h8hGX9nif4tw/I1vk8hcDxTaLvHu6MakYfuO0Wyc287SCTb+lw6GlJgB1tB32w1AXVmTMdENWn N3qnMzjqddRFBaEeXV3reHZ1qEJby8msZQ7BowlYZg7SAUpklXCcGJAfEImj7a+IHIKwa1/92Bw7 W9KAe5fqFjKlOusH3SKfqb3nnanYaKeTydKTD95FP8fV6pm6Hx1JHjIt0BMx6cDTnr+VegT1q4se mFsChEsXmUndxjYA/hJfo0HWkpHmGpkfEOXD6Ss0wGE5dn7WVBiS0223sZUrkjQBNARGrW3thXoC ouq1qMDfEsHNIp1FkrvOOCGNDHo7wGOgbNBgmkV3K7b0FhYP0gBH2q9sL/ybMyFk/H9UT/7h3Vrr mfO2TCdt8gP+5NurjU5O4m3mBTaXZtRTK6WABGJRjZywRFqLUZR4MGtllhf6XGpNgSLmg8/UuUfP T84yMKT4WiaVjD6jcDk5bwAkmDOAUrc3jsxASG2VJwgHlQ5WY67I/mDl83/Vy7FpNymJT7089LqE iwtEZGbx8uYVTJ9NRE6WNyHfY0sqgrBQSPfselqmpPZYppzErENXrhLtA7AoOlln2zDonBL8fwgu 3V44auexaAeZMQzowUq2EU1QpuAngJ78gMhZ5h9h+RNpjnmF5XPgd4l0GBtuUDGCULuyq8lcndSg Ga8cRnHNJyo2vGT8BLUHG2xFgciH5iB5rmdjnO/DiqoboX6BLsOJpJ9iFC3Tc2h5OUnefOIo7ywg sdbJnlfn81HA+Q3fhGfrLPMJEMKCeZMywbiI4LJ863UJYC3KprR7SIVxubz3Et3Tk9prijfBZ4Zy OgnBORfEA0BvsmQwBu1Tr2raVsE8dL0cxLDUrg5xNwDI+QHOldHVLFiLzwcBZ7lVO9qCQZt5gdDu UxWWzDfdAoCxkRD/Jk+Y0ukQkpBZ4WR70k6pWDyFNBZ0521QjDD7OTcQ5meiohAsoBAKTX4C1uQx 4830kpO/cRQjsAI8yJ1qfsHiIE1S2qiE2gXWlxDn+EZFAeKK/McnKvS+HGo+soctQscqVmKBN7N1 P88G2h6bB+aX0j08t1ti93Ok2/2sAKJV/AR0KIJDKMtVGZraND/tXFGkvQx6zeTA+zLRlvGc4ghp ODRrEuO+4MkuzEFgfvldiVLJgapOt2QXml+iqAlNxz0s1WtUGmCTeIzuTWLAti3VC90vjUC1hfXZ D9bnnB0blWbG5tBThdgI3J033PgAWUf9xlK9oUAqcL8EsoE9vaTIk+6xEo0F1IoYORjY8QOCW+9K FnPx4R3qdXbMof9lrZJ2cjP1dpLSy+xAychcsKnRpomKg3MpX5HWWR65GeibN8jsUwALmDFqzJ29 CQHTwRbWAx5Lxd73N8MZ6uLPxNK08o4zT03Kjic5XvyAyNvBIa5U4MVh3teDYgk8MEF2JN2sOXqs 5b5cA6slZ+RP6Kr5xovlVFis6/UOXmQ5SXftwqyc5AUqs0J4gqGDfn4ft6WHJF3v+xtPCIr/Pjh4 TOJdANxVPv+/6IQgthv7weEHLpjwncPpnWU2Z+QFV+Vr1HGhmgq0YuzOi20wWdgFZ8MH71PB1LGl Kk7uhEYItcJAVCFTMwNp59I8aqaHPpioUJ98D4RDG1Q8OHfe2NLmaZXPRxyY76S4sJX3gA5CI8wm d940XCu0p+QJzBjdBVU2dE914QVOmIna7IieWEDh4HyFCZHQSoOHCkg/uw+Q05momiE8A7b7wlj8 jQNWlWhCe0gP4+SHZL6gt1obBRNODtT4AUEVOP0jhwU04ngA04Efprk9tZNrObMjz3pxLMPWWQXf 0Bt6gSGm8ADEGBYfHJ6RyGJkFAVsTIcz31lXFL8uEP5ubVxPLBms58ATM1v5oGSdUUjAzMqoZhp7 /0A0kc9HZeB/rIwzFHDA875TFYoZFjWJpwvQVAlkc1HRTp6Red6X2xEYY+K4G6rYLR8cQrbBYEMh BvJqJ74awPpAlGRCa+E8VfudqJ3tfaM+Fc2MO2EaHLT3IFolxMAGVyiwxkRfYX3deflcyT8LxAnN 0+clhurnO/n7INg03Vn+R8v0cM+5cUi64uEvEdT5oKtAW5jncfDq5qwLPn2y6SFtiAq0uBxmRthJ BVqJ9NLL+CV+4rsmivNMmYfM7Mc4fB+KVPrOz/B5iZ74glsNBXrDIMiEkzGttbiAo+kZRNuLC7Tl QuMd9IGZYsVg1ezaai+RE7+dZliLzh02OJy8nWT+nGyUngHgAIRbuqtNAN9J33Lrmby06F/nBy0X TkwiQY+DwHXIH83McOHpt/kRMUDzU1UJRUWz2Py8hEx8SDZPVqXj8tntUs12d2BdWi6o8hIwafnQ ybAVuj1ysD22zoo8D1B0Ip25gSUmC57nt2vQemSzRYHlJIj8JVziu6LXNoUHskHTcy43ljRwFbfP S6zEF5wqzqEgzn8KQvf5dk3PS6Ck5dNoWtxb97I4dRJY7i1lJC+LywUr4YPepscVHmkFYYOD7M85 B7EwHkGwx0qER3XUuAA+Re56pwf4yM9LkMSvEIsaMgUcIISnRjkU2vl79LOQPi8xkv7U/r29Ctcs EKycn5cISQ8tKhXldjVCtpk6nwOkWl5XARRz09loe0n4CqaxNujeh6YAgqFCJTW0QAY5ZQUNHTQs Klen7y/2mz8v4ZHOH3IQoH7og4EyC+oOP9YhfwmO9HT95/xhexQNKE7QS2jEh1pn2aTnWwWHSK4m qNd+3Z3rsu74S2Ck5TvETxzZB++NT4KaGmnUC2ESKIwDJkY/8LLYJDrcdqacQoMJWmwSlLHuoJIJ yhZVO2yVz0tMpMXAig/mo1iV4ge8Cpuhl5BIx7pwFs18LlC1pwkWacFEwjAXQQRo7hfRDHX2eaVY WK5iIYhRaMJpi4H+2wo7LwV/0oKyVsZv4nuMOdtLNKQ9lhlgo66KAT8u049z8M/K6YJmxOclGNIJ RlVKLiGpg0DV8R5CL5GQzmiG16LJKLzOfsWPtS3oxhVXXNY5OqM7qWOdVNfsWFtozIBmlYBChzg7 KMaIAsYc6qOgQwPrYg+uSTB/iYNsoRJf0WQU2uaFXyaRFUj++LxEQfcVn03WO6Q3W7YJeqlN6uYD RhUuThczUFpyjo+EC3gKYTNIOi04paH9gmA63cEmKKcTBQ2UJRqrnZnX3YB+F0m4i10iB8kRH/F5 qUtqgrZIdWlrmCzEwq0zN9aAzheUz0tVUgelBB3oXndW0FmIXMKaoJeKpC1boiyLN8HRNLMJOomM s8XWydoMy/RSj9S3S6Zw0BmUgycdHVqO6CZhhKFtQnrWuvh0QJuj+eTYOLo5vVQj9Svw+KmVTCgp lTD2aUBmc4IAS32pRdo95itIyqmooFIVRHFiFEfT0hLlBC+JFHW9eVBTKEMH9bw8sSiQForpZETJ B+9/o2a4EbdANA2XcdYuQ5UFqq1nl9WvBriokuE2I+CkCs1Uvbo9zm8yGZyevwVE1mifTZ8lyj4h WASgwSYpiqbhep7BuXJ6ZknN6ZlDAvOUBENwbrSeMJxmIIQdacPFUrSNCIDZayowzEUPYOFEQp+f WNLiLd1JjcI3Mp3WvdCoayQfdJ8taM6y+3Z+lM8bl07HtTrfBn2CiuSEVpFN0ntI7QJ8iaRZxUTp Iiz3btNWUikP0iuYpF0N6LV98NYbMIKrkT2OOs9KdOBrla4DAl7ckMjf9n2KOvFd58WWDzqukVGS ct/q5uNBUNT313FEMBS42zZFUVhdSRKpc7jcFYQHLiindAfljEsPi+LqXtiWLHn74JwCQE/o2Agr p7NtGxV4YaX+MyH2V0DR8xtNqg3vkbV2NDQu2aPkYGW1kmlAiRWF3mR0Io1/7jTWiroHjm8UOvtS 2qbk6QdS9yStIKHKNkd1X6bhe2ydpeO4IDfhg/VG0ASBOAPVrgplZlieRKFlAKmI2or3RqZKj78e R3S3xgDxHtU8NuTSCAk498znjT9nN9T4miNE+T+DuG9NUhReK31FfmkH0uzrHkhXgh+ymU53eo+v s1DfUEW9gx9I59youP2117CuMh0vxC+BG2O+h/agSdEbe04bGrsMpr3VB05S7+B2MS0dpXzeyHNK JnL92mwQTDoBNhpImqQ4wqboLslQ0t9d1cEAXa6OhH5Aj1KTFIXYXdk91Vo12CRtGDmffQbQRMGt Ly0jxAU4kJCqpeu/myU+EMXYjTrXS0KOy4UcC3RYRThtM+/PG3PO0uDv+78SqlLuQopibGKr2iRb TdXGOt1cLq1shdkFAT2boyjIHkQvv3g5YBn2KbD32Whs4SINGahnbOpYkD0gOv3set33OTKjC+JC EkWOzCleYDF0Ijsfj4LI/HWxQWxywb7E5ug9zDZdFJQzTVwebG7XTa/T1XYm/G2tIhvF2TJyGo2e jhzsRDr/dq2FagUQzBuuncQKUNIVASEE5W7TqOtt36dIMlfLDqY5HJh7/lMSJ6VDaSeKs3fyvSZD cOBOgY60OYoibWQskNxd3jIiuEoto8W+KecodbfgeWPL6Tfi5PS17mBzBCXKc4HRNh2Sfmy1AxcH geAswev9lPenXjeaJCSygCP5oAOpwX5NvVdgJKJYW5ji52ZrEOy7Jcco1AY5CElsdz3wLpV5nEep XO4l/GGt5hiH2l2qu8OH26UFfASXM0JtaFYwcclgoS+Co1APdxkwdh/fWHJaCeXVfi/DvrHJo7jk zxtJ7gYPT1mWRyeOMZujKNIGGg+lkOawZXRu7PYvdXlVpO1tEdIbQ04rUtpDVRvpqsrRWB72jTRy OAc7ylGIkDrAh+eugP5bzekeSKw9vlHkrLQv+T011CApUoSd3HCa4ykDIZQ3ipzWRPourhFAMG4+ 8saPs+aHkEjFZZxleKY+9vIYaU78wlpJ76E2intZcjrFB4cDora8kO6jm3bObOmkofGPSw2BcSUY VxwdLaX3YNt1muA3yQGzNBQl5ZwI1gRg5fNGjrsNFJslPgcDIFRjbJrCcHvICdT4S1hX9ZbYkk1e 5RLQwf3GjdMLUOoS29QHK2Mn0LzaIL60gVzSUUqB0cQgcmryv7+qFdveN1hMm9huwJ1sUASQEFDw opuzft6YcXao+dGN/wX5CsK0PklRvL0YSlJRWaFkV9GWpX4XbqpIULvtuKiWDTzHhrhM9cGBgB2k JvQ/0OtPJ1KCgOgkkm0OnNxj3Uqt7JzeaHGaI1mn0LJYg/ZbOflaVau/rs8bKU438PZarUgFiLef SuQbKc4StyJx+Se3vVYObe57LA2Xcn7jxFkoOcQC7z7YJMHzM50jg6U21OCIBTj7ApiVmllqq19n 99L7BnESS/2QVfNBVRKUq5wlsD5vlDhb7vX7fgOuDbYkNknv8bahKFoet2m0xjZGHBBQ12EOt5dO pfd4G2c3s5FJJQYOjpTEad0h0QZUBGSeM00K6HuCgBCKoC4xi56A3vf9VAL8YaaWuaI4cJJgob0Y KZ2fc3/eCHH6c0lU4yThNsQqGGh52iyFEXcZIjC5JForY94awLb9BuyVYbnf+HD6kRd7a0uztO4s nb2WiaeRGiic9pjfUjZ6YeHm8hBOWPn7vNHhbJLkT0BeTqeukgDKZ+qlFtnH3p83OtwtLX8tpaFC qU9SVNluRCAtbTKZgngYAGyetY/GBCtDS+k95EZ7n/3Zzf41B29ADtDh0XE7N9tJg4rIS4MQavq3 Ife1+420vM8bGc4qHZTPqcxvOejgLhCHZsi8c/+8ceEsCbtzRGQJrZwghqZJimLuSv4EOxS+30zW A+52V4Q/p+En93vMjXIC4smJXMYGW0kDHlWjQ1cWXXHmuPQygGUJqg/QIXB9CoJt33hwt1EOQiXh IsvbVChJdslznR9nfN5ocIpGx/eRhE0+yk3d3lhw1oacgkNaoAQVD48A9jSP57O48rQm2xsJ7gsH MSorARxsipZQKIWqMLkgcyMeHrHUyGhqp0fcCwfx540Dp7ore0djUk9i+LF3LsQBFWR83Tn3P28U OMtuPSthJgxp4lruFMVIEdYk661Jtuxezgu9Qq+3jWFb7Y0A9zVFu3KrcdAUnfO6zBOcnHC4QVO2 z6ryN0150W0CJesHy5nN/qLXjeaIk4NFb4O2Groy5Eu0Nvl4sNXS/FpGhT2Ju9Pe2G9aRvib63b2 OyxB1i1tg5JppW0Kg2CnvZHf7DgSUHsvH+w4QlOJJGbCRbAnKhMXwkYz09ULh4TuEN82SNygoYe8 qfmgZQSdaPnMnaPu88Z7sxP7O7nFn9QQuNsURbE2fOtRbOuOaT8pUTewdp3DBOJA5jMM8hvr7avY Rt68DbbT4P6NX4tThG7MpsYliXH0R86oAlxveXvdXzISaPN2uhTAi6gr1gZRCF85T47zeaO8WZkk fcXa2GbUpLRJimJtqMSikjRNHu5kVWzYYpIWRT4I1O5XHu6N8Gb9B9z9i5pCGjRJjJFS66xtQ7S3 ZNYK6DNc4A1anOKdcdPxZaMpYq1t5uKDYEcZxH0JBozyeeO6WaQ9vk4jaFO1BxTxxnSz/hxrbWNc PcZ2dQb7bkaDV2FMMxQVtpPqSJm9fw62jApVrReFdQEP3I3CJ0R99Znl1P50SLZeN+gi0TKtVxbb OHA5nKCnEYL/c96e7xitIj+NeMkDnooA3ScpCrTBsKQUnKf/uXfHHRea/vBWG2P5kR0WtlllQwfc B0dG9AVdDua18JdpaFRtMLMRaKMBXgqFvMYDO34juVliqs22ug/WaztbhnvlBK/980Zxu5HD19UP uQ/0i22SojibKVvFbWBXf1PVFuiRLeNL3DlbAl4nzn4juNmBlKToydatlFMm0RHQ7kpUGFzn3mzn DEyqts2fheyiYDlZ7i/A8Ru9zcr30j9lxjYpEdW1b86nFqHYMl8yarb5dqOByEJ+DCEIm6Uw0K4i c7sBD6SEXKAZXf/btHW28hu7zVJOmRMRZMPBSyTnV14TbTW4ODSIfm92JHG4ZfBiCv5qd3FA1ePz Rm77qiSt2iQKR2UUriVcDrzcxvlJPm/kNutE+Y4jXRKmoJTo0CxFkbZipN778nobgZ5CizZznKuy FdYsRdVtqXqCSuPDhSIlKOkyjKwnhCQ5EYUktIwLPYpworqXE1UC3nht+lWJn2ysr2hQkFQh9yAv p50/b7Q2e8n8nbOd1TfQKzFIZBRrdya2mXpIJoo+hqmbVSScEu2acgQBJjKOtYVb14rq1+7qnD4w hRIosqD534i22WTxZrjSAr3rS2mwu/3GatMBkShh3Xi/EeAg59SOrc4Nd+KZzxupzTZs/5okSrCt Ui5wNISSYJZqJ8mSJMk1jL99AjT8OSRJnj8FJDEspTdO2209oxhJkSUOXm47++lE/tRfPCeSfnNw sEEUSJCGK2k4Jol6lvyW3zbcFO0WUlaaJdgdVO7xfq7PzxujzaKA76WE62Kke3a/Edq0fqkstqSd u5m2bWeSQn7MTBvmifJsKUX1bXMgKOUO3gWAu1WBZQBwEufuhpg/gFv4WVHU+6E4303cut43OLyr Dm+2xqcr7tQTvDTWvVBu40v+FXELPw4ASsc32zS9x9wIJxM7JEP3GlAA1Qwbzp1J1MRiHNBN/O2N zfa941BltMGOJcgKZyjisOB2wstGUs2mvHimMgM8WiwOoOzj543OdguECJN680HB81hyqD9neamf NzabhRHp+1iaIEKseyzF9W2qTMj2ivltXd5RAnDa8tsGSVRBtUOsNjfcmZPig91wi4E2CtltnkR5 kqZDA5WO66igvn3zW5VJ3qhslt+yk7TpVcjBagDYbuq6tfZ5Y7JZC+EfPAmIIjM/cPaovo0yDhv1 bp5aR7HW5MkmtrcmV+3WUHojslnpmFCJRR4pB5ukUXFtD6q7nINkYsYxSVhbA/fb2teCF5hnfsdv hAhCc2xQZkLKlxEixueNw2b58ffJLfjfrZO8UdgMBUAjmeEWvBU5u8UAAvJxiipAb5qi39EkYxG7 xcFyt5RQcwR5iZDPkwQ1dQnOCw8IPSxSRxVyaxlFte1OAea9yx00RScSG2QikxH5xl6zA+1W27DK 4XUjx0vNURRz0+MLqqZeSyq7+14bBHbK7mO5aO4bde0LBYCCmg+WvZ2nqYXHvdYhm0pVhUIKMlTS F/HIarfhFv68Mdf0i2a229qePliCezKTaqWk+XkjrnXHxD8lADS/mGTbFEUBtxzmIC9mCS5cFFQC OH8MC0wUb0f6yjpJC0HbDCV7J/y/96vXWSoQkhU0ffSCEYuLXQKRnA7ra5z25nhJtAO+I7j95XK9 2N6WNBb+rp7BamZefy47PB4Ekrcj2UXj2igHepmkheE2vSsgAWVzdDa5GaeeaHu4VmdjG5xHdlTY VnsbfX4fbI46MJYZ6DucRmcpTcoJL6qV4NyG9vPtbmuvRdE2anrnHNpt+WB7DbohWkdl4PEAAnDB JDh6wOT5giT3MNpObNtec1lIrHtJMpF9IA0JICZFsHmPtkXg3GtuArfmvsCts25YfoPV7vmvzxXd iKXI6Bh3VF6H5DYen4EeMyCZry1JVHurqnR4aFrXdvPxCJDsXVt6FMIhDQV3m6Io1Gavt7U17zJa 06ttI03XjxhTysv8pKjTxmMIyCsb7MQ+6cxZOchQgD4/W46cY4i4VKhsUMj0HkeTMhs9qmxXRo+b VBsNnKMGRUsGZHBLw+MRTesb2zagUg+Uhs1RXNkmMXuu5JltS45IPn/W8p7tHEb061FlW7da1cGt 9qa2WmG5rUsG6FwDmwKesLciROIcB6UwvV2PsFSPIm2h2zJKdvALokQApybDYpxIktkKnn8/kcYl 0+J/afw4WPjTNIV8yCqS32oWaY85u0EAR97d4G2dnopcSlGkPeVfRbKsBoduZVhtTFSST2h0Ut1d iCQh1kzBZe6Xvr6Z2/Yo0q6ZDQPZeiS39YBh4KI+9Nm/vePxvzijemwB43aPpIgUyaZprVQ+JAaw 0pcbkzTMB6Uhv+7FKm49jLSXzDxoxcvB1xLEcOe0Yxs4CaqbI1wFH+jM1Biu2c0mJV/3l/b/uWc3 5wjtLfZ/ziXVkwwU0HLA88EkDb//+W+B3u03te1hpD2Y/6flvPXNPEi12/5oRiR6XHZ+UpT/Y3Y6 5Us12ErqC1XJSttUmIPTwRPIbQiUIWpAan0PJeq89gi5PSkYMfbSwPqGypLnt2DEdFK2jeej/Xb7 bSJSQsStXOhWD0vcqAC0Dp9OlUkosM8yyUlSkknlT2j9qQLQ42hbKq+sX3OwCkA/+Sz68wiTEAxA LYl6EQhKKwzKTrqyH1rS1PtGxSTZFZOLTHcmqdtXqDfIvGeeqA8f8BehJEuGugOF4PXb/h5xI5eR L1NlV43/sWjKyjmEgTWnE+FCZle556KIe7OVBFMeH2w5neC7gRJNkmSCgCJdeNc5sZBgAvT8JZSf KMvZozK3yG3oUPmgSsn5zC2llponHv+Taot/C74GfkCbpAjALbchSBxaOekkmMnLSSy+UD4RgFzb cxGaRJdcMYVl5sjnTVEnqa1SPYqTtM9CAIRpTOrbk+N2Vt7TeQPLHN8SYdx4egukzMGajNjKmq/F x4OlNP+FcJ88m4AFm6Uw6kY9Fle9/AMQAE+3/2ysEtJKoGfCt7DloiL3ou5IbkYI3I8/+IZPGeKi Rs2kteW5AEEo8EIAKmVXSXJbpJP2CFDSCd9aIqRzUFepSc8LteYyPz3kS9ZvJABUHdEO8IBpRHH3 lEP49EoJTFW899aXNQiQpA7j3I6oyk2zvJNSCVjSL6e0Qimit80GJYwuWXOg0xdsBFDu3ZdTIpnu EcXd0Kd5EWeBiOCUdulJgj4jjLsvg4vbjdL4N1gaUdwNQ0nktcu1JRstnxUsuQ02tEe8UjLCEjcT jjKl+D4fxXc4E58DSKpIAEwkelmWk6OeOADiDBBudzkyYPHwJb9w28/d1pXqnocZu55gCZQPtoka JunvErfqAPNHfveapBDCncSSWFfmRwIJ1NhKhgg8Kzg77GZEJe5MP701WeJek0X5geRkFgoswLfj 7LQ+Kn0HIRgLlizkg9bjHoBSJb4j4t2kf1i3zrvBPSnXwfM/ePyvXkkxK0ckfJ7AjSjqbjSrmlKN YoFyX4sFANINmtzpNci9FkXdEvnpkkroxIVyjoBhhz+g0FsnX2pZFG8AzDrOFIhjewiAEg++47dO yTaxxLGuys8ikhBX29h4PDqPbsmN6+gsgZEuUnJEQXen9l/aV4kEDR9L4CAv4cjk7rWSEQXdk6Cs ho6wDXYeIbkftcs4FhQT6Uh0aBYMRsEwYTLa7STJbUTlbR5I8FnvHHAxbxVL0pA5whkynv9rJbF6 BBXIjq6rzVIUdTPtg22RRd0nldrzIiayqUVNBvDabVHULV5S2eq67dt1g6BtO7naFmICiDdCkwdO n5GACEh3JW3qAIwQvS0XYtIlNej+h0fYlOL0mHg8OJCu2gZWHVNc1NptikL0Nv7kk3feUztVU7dF p3XZxTYpQs3sbUTo7cJIsgOoYYNN0Yb/LdRsyODqfUGxHibF9Bgrcre92O2qt406blxAFAU+A+ls 7HCD5ZY8wR1htP0PpISOGPPWJUdU3m7MnWiXYZCS7jCAsYmrF6QEojZaRVGwrd4t7F00kNfK2u0J Xs4qYlKCTb0q0aTAbwEalH641WyKIA2A74jiI+L/Kp0r8cFClJSNfhsPbZjl4PngQGrfFHds/76f MzuKtRfl6xJjN8ZHqU0nue3p+S1QoNYCGGGsLffXyVg7zWtjcrIs3P8EJUMqoTQIMO7B3g+LS1Uc AG01BpEjDLWHkBLEk8DL4oeaF4RNT+Z8P+e+WPiAoApw1ZHYVUEsAe9Zm6W4wi0RxGb1pFb6rSe1 3Nwxb1bG2thsYYVbcohInmzw9Dafc5ukVUi3QH4DAcKJvmkuyPICdAjcI0DHdsiXbJJqJYa7IAYk YK1SqYhYB7AC8XxUK/kuKOF8g3S6zdIMa9yN/e00FBhRubUbooS9Hs3SGJRC7Pyk4NSW5zArIBr8 bqtUPZQT1Zky2nTBwuSEZwMsEFRZvOVG4u0M8STqtVkZtzoIoFCyTfd/qXg8mKJ2O7f0mzihChys fI6iYLtSiaxtlkSol6SuSGYfAMmKGaKTVHru/xkWuZM0kk0QID1MiQotcrBScf+fzbd5JhVmzok/ Um0XdYMUne/7SycA66/4YLktME/yPe0Fj/+FAVBg3hAC+N02o2A7NUbY5O3pUKoOmJym+9uZLm5r b8+QL0k4aUkz+WB325nrhMJ0I34LDh06lLY0pCeBEu2CbqiXMOMq96YSIhm4090dz7EGCzDW384v hMejSfpPzs3CXW6TFEXbFPGlIau3AphLmHFJcY4boR+apLDGzRgJrFEfvDN5fn2krEuG8QXSBoiR oBk5KGBWLs2duTS+47cTqUktoblawpmicwFpt52gHo9HopoXLlmZ/m+gSW6UNKNwu9I3oWf3wWlm UolA8uyyiyZBGVqTFLIlJXJzFogPtpKgcHOufUZJ6BkxgoSwJl4W6y/DOsaVkek+NUO2pGgS6xmE T0YXlUfK+XUqHg9akyN/XW5IUAdAljZHcYkb/cg1H4/h2yw5P7UFT2cjAJnDy22G4iS8+xvr1xps js6qAttf5C0oAyQaBgyC+YAIWOMJk2hIOaNgu3altqv7oPs/TRQFuJDGwOMRNfm7C4ATZvTbmpwR mKTTtpNGv5qis/hdYbvUbYDS0arrIc+ovK3Mtir75+AANzQZGuX+T+bR4ZdLUBKI7x37BS0kR7kj rcZ3RGc2DmswFTlUOSKBH74bNzc4Ynj8r5yN4VCB/P+6l3/Ik0xLktH5HkfFdZDRN7Yzew/HksxQ /o+rCHGCDxcogTQtsdCGxHZsknMHtubgak/r5x9ZshnVtQvxWoCB+aBC21l8VHs6H7P5eATb+kdj 89wZcBLyKYqwJOiDgbq1r8cbCCZOJU1OuN3TBW5mFGujdbfpGOGDH0YQRVpTPICy4UnRqSGJKAF3 UUGh4pa17XUDVHIShpTOgbC7dvtkuK4SdwXkLZ7/E5TE6hMQ5fOiJGdIkyS2rV+jTuBKvUJCwUdx SXd1iPsMpUlIuJ0quHFwUBIMeGHmLeNXaCGzigRLoIGyKc20fZZW0etGxX+iSSAMbYMAgGgWTyMB djweQW5uZsuXO3ftgJy+JmlFoXYmnKTT41tESZFt8AP37robJ3VPpk2yIjjJIKytZaoAcLDddi77 VRs0trCUAMAhLMl4N6jAVWcBmAjACuEkFErISwDAdQGApZe6TASg4vGIKNm+52iguQmrBJujKNQG uBY5iHlrnPMQJXxFkSfAdtpNT67YusJQm+ytsrjrONihvSH+BZwl5giLCpAryLgMdCeAwgOI2FBJ uKrwHZEGIINHoIvOQOS1Tm3gFaS3eZIUPB9p3HyXSCZkmVHKsTkKdbWbTu3q7PZSWvVTO1+hhLmT FdpWiCchBqDLVbEbII/sdrCr5qRzBHKrOkjMScigz+8+f9jr9PDoLCt8R1Rok+L43j4IlpQgsGBq x3z8L8lNJsMA/50A1KcoZEoSS5JHvbXIcTnJMJ20WmQvxWySVoja7rrYpN02H+22uiD2kygAcMKk c1JRBojC7wMtWHSb/O4nuG3FVe1vv0l4REgkA6qy8tboA7KtIZSk/9OMhHb42BcmsUKB7cH6mpKR SfYWfWw2TsK63IJkzWZYkhUyJSVI1ox4484a46Qh4LrLDRcZIes2uCul0AHUdm8P3mbqdaNaG6lt aOJjAIs9aUks+mFhs82M56MQ6TtjQ+49IcxqkxQF2qgqZzigNYsiIUhrVaROzz0eSNQq0SSFqiTm ppGXDx5FLvAuC3Vsztl0znMQmE9gCoGGgm40DHLd7Y6tUHxLgLiZ1c8iGzhJ50E0ypWN8CUDLMny ScL/wjgUOjs+SVGoTQJVKyT1crsNMqIZau9tZQBytyzUXmGoXQiNmMxGOHiBJCc00HizNViS0UJj yNM6FRqXEro1VGrret9gkqSV1NlGooGDaEnnUky8rvpJ6vB4dLf9Q7s9K2k9au0rCrYLzcxPFjKs 0nZydF9Jo24j3Jztk5JNUgjc3hKU4tXGwa+2fkLtihSba+psEgCXBh22TzQJTD6yF4eRIHXCt/wS JCXykDFAxYdVpHNlSsp1oNa2wtL2/kYBAtZKy3CbpJArKXNJ5OEWI+Vpyi3TQIA8L3BsSG47hpGo tM1J4uCTlCCpOiTZvmBo3VhFovNp4hJFfU1N24Isiu8b2GqoEzmJ3eKgMwkexAwEWxt8yaD5P9fP N0ACzYcHmLzC0jZlgEt3QWlIblxVEho5MQLIF5i8YtFtits2SiYkl3rALMHIPCtva+hwI1ZFrQ0k MYKf866XTLKolbyieDuTlMRNYoMOpdar8O41z4rHo6X0TXCjzF2/Wsk7CrdBxM9MPz25rRYOQIFg uYDbWh5u71gKUJVI8227fJszPQBLVrkpJ2SizEnQ4YbTev9BJ9PdXFnZ3lG4DR8h7F3muBw4RTBf WMz/oQePxyO+zXfNtiKvXreKtN+jbTyVCR0prpaI48TLkbW4gXmleA6120Ndks4WCZq/NnjLNg8o iEHhYiHYPslSJxwJqSlYINSuuJcb5f93CN+uizU2FQKWT1KWnAePmZPc7vdwO0mH/elIItLO90ja IXy7fbX8ZaGc3KeluSVJPT86QYGYpDjcZvW/pTt407agD4JogmJSwLbR+A9y0Oeka7Ryfexuh143 mKPBpDYzmMyeG540vKZa7QTfeDziSa6vYzsP6cn5HIUsSeb/Cjek3SYZN6S2a/lCOinZXUgxioSB Np1YNHg5ckD7vXCvnQcnvMsBS0atbZFuNtslSU5ebTsKuHFyneNa59G+51FLHb5d+L6NdRTBSMRj VpGE9q0Qk86317ZD8HYnjmSm6WQJNSc7f57pXhKjuPDGDsHbU9X/uXy4fSQUNIc0d04O2istfyZg lNQfBBnBy23d3jbISqjZtqgpfm5B/Dve2oBNq0MC5gqejyAS34QS8KHAR/A5ClUATXDrygDRLdS9 ErLJAK1ET2bOUYgiIXyEdH8bvEhyDmzU2yRye35zJL5njjpqr6pR5ysCgOAV3xHESEV+7upwD9s1 hW1OqtNBoRyPBxdb/m7ZIrkEFd+nKEKRJLVsS7/6rb25709NyfUkSL/RFEUoEnOOKmX74Jutwjq0 USkJ1irwXSDQhlgg1LEKfcqb8ram1/1FcSszU7ZBc7TTaFNGCYuP/6W5XeWjdDbfaLcEsENtkmxN 2+zkrWUiEoWiVpqlvhtNNzo/KWi1kf3YaeeuwRvb57A62TO1SU52khr4jRsQjHPbIe0B5MEkN2n0 ie8I4khAGv9b3W7tE8STfXNCs4HHg4xkfhOTIOc7yrUB2GFtO/GolnWLJLcQTZEnCeNyI2+1Rm+b zk/69TyyuuS4dNsTcmUYTjYuJNwQtcnCnHXcs35WuiqJCC35Hb+a/1Spkrr0WYFLI9nm0IceeDwo kjyHNp4DwAjmgD5HUajN9hz8TqrNUS3mJoUEa04/j1Bz1WaLUCSTQKTedCy1i2o7K2gDPEoXgBPV AB7OOWqwR2UhvLjcZmG7DN8RiZJQK+ncaT4o0IYmDJUgzj8YePzPoi2O9wQ81PBrTaCd12/tS+F1 tczWNG03pZLZUeIfADo/MSQ5haG2KCRYcTZYPoKkDbxPFkkqiKaQCEAFjtERrn1IcqPBgKW0tr1x QCelW9uYct0g40E+QCA8dWHb8uQHREjSb0LpKAII3ZkKhQATRe5ylTkkRErQCQHaZtDhWaIbRfot kLlPYcRN0za6WdhgMzULnH8nXaRbQt5BueRFBC/uO+i3uvZ2puExvyaCSQjdvrYPat3uRWUgRKwz 8/kgMbnHt4zuOxj25VlTodMNYqXa5YNIGHcbj/5W8gouzlwTu08heFuu7PAwtOFWJ89BOypZN4gt oZfEHBfV4AxiHyzdrhwwKwFkHwZLwqJJendAykB5G6TihfSFPwA/IAiY8nebG+cv1GruTIW1bpq5 TBluyxI0PTabpjNZoSziTtIpdJJsXMeUBtbAmeo4r8751On722c/eVuicLK4VKhY1b7WzeJkDJBi zxtaTxb2Kjko/M47SxcQDWQ+H9W7vdmNOdmZkeUzUVH4jdCIVr/VAIG1zm3HFLxWVaVcBWhe23xR wXuw81bqnD54LRcQqIJsHBCl3vOkNdBCkTAjT/0pfblWecnyBkoRtETa0j1zSfXs0BKI3lSzUIDP RAqxJeX7PIeZHEg44zmnwqr3EB+wqh8AEZpqCkoTYhkuDoTU0E70uOythI6Qdw4eGpSz0zqcAqF+ n+YmCnVmMBdgPHWWMiJNeQSVlLa9cYQMZEpX5/ZBa2rslrIwb0PPv09Vzd8RVJ+Et/Q7UbFiCSbq 7C27+uDhYx7A0+SB8GsTd2cTFQXjhNaep9v0wUsoZ573EIkS6wuWPlhTbHKd6GdDRrn5gQ7FDX1N EEZ1QQO4BzmohoLyn8wQzmTz+ahD8I1XypDhYY3WZyrWLVENrkukDInd3X2NUDGpTva1ffeF9W+2 LRNhpBps90GpBGGkOikQ6ajkvkExFbWm8kPqph1TUO/QG0d8U+y+VklaAtRHXQJwfgbz134e4vNR 6/JbAwdl2TUv2DSnMCofgpmkZOldbSkZlqIPL4EvCkOZW2AkX1JINK1JVO+0LkjwRJ+z05OBBacN MRPQl9H6Bu4b6fAFCcpSKcVmOLLn0CE1Lv4NZmvMgc8vPfh8sPNu6ZI6k4TEM0zxiYpCc3LRUWly x8A9squ8E3ytAm9ltVwTFZbBWeEFctIHL4M3uPkpEa7Q65iV2Jwkwz5sADjYGAmOvFx8S+T2worK kNvLuG4vFUxE1XgZdIaWOM1nikselEVsYZ+o0H+S/CFw39w0IHevzp1UdWRD51ABxqwVQ+SJkmCY F9jguTCkPwbuDZIqoBqNFi88NtCXRZGs+BlVyEnmt0SHOZHLkBq2QUivvHJRV+X8Rnw+0lb4PqPA eIGc0Z2nkFRZKIxLMrDyYWprMddT5qXCCkxZbJ4i9EkifgnnkQ+aJ7jIANNFDDOA8Y3I05NuQ0qg 876rVxFv+/v+ZkG5Rso+aJrQEZf1xrmC+HwQm+dv3AB9B8p1dc+xCWWmmjnPXZXonCeIljidKWh5 nLbJK+XQhXJR7aVtKZntR8nsLJ+sLl/DCT/KokzXAtaqg/V6zpNH8r00e+FfiEyJFEYO5cdsyIET Y3Ey1cHnI5ulC9PhgwvSgZeAkgMrSpWZsS2aS1GTTmSFurVcihphoq+nMDLf9FmUARwHW08Atpy0 TLp4J4aCjSC7VIXdkPIDgoVrdY1m7/uLWmdGDuyDoDqwUaMkKW4dPh+FBv+IvsGBOj8+i6Eb5SJQ FwTIH5c1ry5FlaV0giSsjF19mkJ+pfS66riDHU/p3DKdjmWkxcFXg+spEduIG2j0i2iS0FIODSmF iaNtFYdu62mAfKfAYGw+/2fXl/oVYH3CqM4nKhLupmJxSz15bTwJi9poJEjwBet1hJVp48UWOZwh 4+ysC7OEi0wBS5dkHUh3gKwNss45a2AzbDBLwzX1aS8cHVAs/Xbg4zRwQc3czQ8OOpF8/n/xyEEz itmiz1NIs8Q9fw5zo5/yZsqGtDgTbcCmc65Uz4hDV8rFDZfQNbHBEz2QvsGLQ0Z8TvFVFZRD1ebc dAAn3YNcLWN+y28hFBp/Pih5oeqlUARc9lFMvsu3eSfVGmp+1lMobMJeC4qXnhDnMhyRwpY+1Cik ym3zFPnkSOScdTgbPICCO8JuhMjD0xHUTmZ5YO7idMhteIml0CKT3xLJCLDEMumZy0GhJr5R4Kaz 0fn8nwIwfL3NsPw5ocKQHJU5gCC3sy2K65zPvR+XU7D+baLCSjkbm6mzzcnhosDYalkEOBWA5jpz F3B2UTkHIiU/2J3e7I0j0XwyLsRy5mCFYKwvHsTnS/h8JHX+TbmE/TaduX2iQhw4TTxwwtpEwRPY 4burdqel1GeiYmQKRbzUCM5fjeB+XmTCB4QllhMzDBEtaOiQ8GuUWh/HHDuioop5IQF893kHxeSA 12YxCtPm80H2cpUFyXHC4QjUzN18oVOlULx593EPKWrn8JAin0aOp6N7PhxaVcJ8/OR3lWynqi6/ 8CkdUnCNnYUKhzvmObNis5zQ9hw6uPC8QdXsfaN2MAnzY9kwlQ1DSpWOf7AwzXw+0hcsXxMli5l+ g83QrJIWF3CFaxKpgqxnM37q2IRcIA4soJHYNMWIcEHBdUat54xC1NoALmTTvKREQyvA3CrEvE7e 3n3jZRLT+SXRlSeXylF8cKjT2CwTwpqEz0dcZ09dqP1Slxo6d55CrRMRwtYcXojKV6e6whVZyvC0 4bZ5CoPyJXNBdRbq7SzkCWX4OaTnDWMwJJ9gTKD0nlGO+lapytXf+JeKHTYsB5opEAo2oX7ZBZ5f mx8QwXmu4gnXHxRsHg5mDiwrr8owxaWJey5tuizMFEYMhy2tGWyqQnQ42Sp59uzDPaRS3bvRIr5D 07tvGqDinqQ0ncNV7d7b9sYRzEC6OWVoMKlBmJMmKpf3kvWaEavHT3OR7cBxuKreObSt5PaCf44n ejxKTCM2rWL58KQxMQPzwLcSCHF2PfO4g8WbszSw6LPqBgkQxEnGyln+A1JD6Ibfejl19HNsXMl5 2ku4HmxoqU2eOyIPVljO3z35ARGH/rsKjPigz/Sc5VG5HPLCiAarW1cWIVW6eHTJm8S0dNdEhagV ilaiheeDn+VosCJlZeEAhV5WonCw/wykZzmXffGYudoLR6eUHAco78VBvfR5rjO5oJ3dzOcj4opn MJQ/oWjVE0eF7pVgH5HdU2yeencftJNhXCEdiunbPMWSgzjFR+rLh4s4QBQOOTqIV0PNwOx3oWUB FBNUOlyRaU173wCVUUQQa9MHxZsJHZipadp8PvIe+g4OIKk+8rX5yqF9JbgBOMCH+3xJI8t0hx/o CkulmqbYV4cFlkzkIQcHig3oMA9RV0GORmH+fCLkkBYFtAddrNh8yTZPIXqF+N69aYmy0ZBrtpw6 lUlQLtFbRgnxt6suzWJABPN5CnW+JcyYu+fDWZxx4ldkGk+rLyA9lQ8HFpYQZjBhpuSDFQ5qQadi SHJoAB6QSPMBco9ueLu65KAJxeXQwhKITxB8cveBuw4yK50R+knaMp+PTqf/QIsXoYx9nt6jcshO Ug+d21wYFuJseTyNvrofT6BK2zyFUTk7njnzOM/5dvNA+YDiDQ1RKhBpk4hg+jTBWvkHYRVrjESM FXvhCFUvgwa1P7EetJ5oc0ilnFo7nw8ig8s9YDwPXeQJFTibqNDKctL0q3ZzrEAXZl2y7zRj4pP4 9+wF4NjLkhwNGp7bcCubMAiwC6+cGVpD3SzayS0GmRehScx4Ds0sVV85Eer0Qcf4gKIozyfWyQM3 y2/N78V9lyDFcuOC0M5yN9lZOye6UsXF4oLc3TkWDjw+TVFMvooOpr18sGlCEQr1d8bkJ9Lf9AU/ eR/k2ii+kR9/XVk15cDQUq6ZkKDjxsvzbrzdS2dQXvfcfD6SH/zuo0M7YKynUB5bWqK8Aaa3e8gl WTfiutspu/A35f2UDYeelrruUi/LB295QkGctX8oK40x2Xk4N2j2skEZzSG/patDFdpayotgASmJ gajqHzoQgBJIUNgJ1/gBf84UXhG4/7WfGnBsbVnZwKv1ulpI0opMhJLdsTmVkn2q4picbeFWtg/e Rz+RwOAag/t3moV585ioE6I6eT5wEmZfv/rogbsl5M2YmVFA9gxQfGBwAB/2LbjPieX4AX9tPsue oe/0nFFhUN7J2WjNaS1NXvK0262u/EHOjs9UiCKneGzBP7DBY82T5XY5vyF5nJmofEjvqMIAXFS/ WPuV7YV/Q5Ahb+EAVx7p60EAOQs+lyY/4C+4D7t5FcHmvt28wObSjFJ4FRmGReGPoRL7MkVimpMp zQt9LlXdzFXeDHXWO1GI5wZ6wmAlntAMmTZ191EG6sAPdQKl06O7nwOnS1xbijNr8UG1g4XPkxso ypuB1SXK5d+usgAQ9P6Uy0Ory1HGw7kb8gT36mab2113BnypbUVFGJbGSlQh7VCDTdTeG8qgk6DE 0s8tKBtHoCc2SkuZq8kZLt1eOBK4TMrvVAZGFCi/9NmXiucn4Jj8gCB9uRPFuhPtyOfFAufA79IT 4pnnFZRtzYXlc1quultQU7aZigNzNhYWk5O0HjWQDtJmQcX17D3I5A8EUqOz4lZADEMn65ajaJqe Q8vLmVVnU1WqFIfaDfjZKuRcnc9HIef+Toghd1jms/fCgvliB4ZNHkMEb69G9bnVjEGBZdzjPIzM 2fnMasRw8CUFq4g56XkJoetC9CLUwHDzwTelwHvaYVEqmIeml90KbZRy4MCJwoR3ohzga8/ng5Az f8s4nx8KFnMP0i60vQTT6/x/E2FRwJJtXoXUTWc3kV6FAJwkP6Xi2FyOaPBr1CD05tmIBRL56lWB OU3D3pFQ2KEbKSbJu+klC2kXGF8mE3SA+KEPnKlh+s5UTtXzQYgwvo9zlAQGDkqbqND6Elw4lNe3 d4l7cmLQOQQIQMDf8RWbB96XCDYQRU0pX3OwZvr5YwDFmOQmgEGzZHy1oc2DLdm5oMh6GXSayYH1 JaaJOgWVjkWptmlNYqQUVVkJQAeB92X6MpsVqAOKTvOup9D7Ev0WyLNX55gx+5Uhz7zNdEjmGqcj h+aXKkX18f+MfVeSK7mS7D/XUtYGLd7+F/bg7hFIlt2MOjM/6NvTSWaBECFcrOWD58QVKpGLWB/W 5qkOAolH5MRIIuEobrneUBwVmF+6PsimrMMZmkHxAfbpmzDODk3nHNpfpl8mocieBpQlfaKi4LzK JCxPLx6cefKaXdskVBHFAvMFm6iY1ylbXtGo1o04CxKjDS81JjFIjijGOwcPBiyztS54LBV730j9 is1hUTsQnslKBba1pUioOZXFD4i0Zh1e3u0o7/vqX+TAABP01yktZ6d20mhROXErzQWwJmt72ndh ZM7oYGBt2uAL6ixS2M4SdIAouEpu5uwQQHFRCboNPboC8Ev+oAmd9HclH7R/CpgR5L9mgKJCB8x8 1S+7/Yo/1BrzeQpFwgtdL5jp/yf/mWyK/Ce02AbDB9XHm+mxCaZ6noiGbPBmeifrbEmSH3qhaPkh HjkRIw6cvOeld04100MXTNo8PYpzzeTUVjmf2wQ6aJXPR+upfB9QyMuRifg8hQRPgRF7svzl/GMx usKao+vfQhqw+IUX+GC6gWGa8p+l6iEvPFiew9uR8NZWEuFkzPQAUEoAtJYBrwFHsWx744hUZfJO HCDjwTLqOQTZEUFOco5BfkBUBv6lzAs4IuSIfKbCuDxJ3MnjckeSsUO8mguqLyTNNlMh0TPLcaZX H7z1iQ0HY2yEBjiFS6ZFL24KeNJQwOI6YslfPQeOmFkJTKoQo+Cg9sDJIEeSPP9ZIJnPBynxmt/V Aygq/uy6nuAgrJhj7bc6pgvQ1NothYFU3A3M4UVrUxUhWSorLV3QaQ4OISvrJHBAsUITqyYIcJM2 jOwBOEJk/T5RO9v7BsUD+mHgQvZBew88UpXdNqhCgS/mN3JTwKhzsK15o4PPawyVDZGOdNLPKOhW aZoA2Z0OjMIdzjPqNYLKiXaMdA3bPviNB6H1Ord0w9OZIrbzOtu79H2g+qwEeulk/Bo/ZcjPcG4W ESwcNEWd5sk8nhaefpmgbIIEZzpwxzPKzD+wouS/OT9MND9yVRFRmBnMohPmVmusq2QAGTAnnQXz 03l0l1qmD14mL7ueRIVtF3Bj0TSguRpaA4C8gL7lPg9LB9PrBCXG0SDmm0WP9/AmQYjini88/TZB CH+2TdBWKfSs4e7z8xoznYe6khXauIqUJ49VVApWN6FV4Ga2FTRfIyasn0FctCSexyPxTDGvXgFe Q8R0tl/hkZXQXcRxpXaLIktum89rvJTRUyI6k2rxGgx7CLFzHkvnLv68BkuY3F1teuTAOkHobjY9 r6HS3V6UXvO6eHcvlbK74cfP4VqsNPcaKGU/rruEsDnY9oIR4tmzhEXnDvYXRcUmrtBzBpFWd6cH cf/nNUzKqKGs71oTOAtqlQMxRXzXiWrz5zVKwp86ku8vw1Kzb2sT9BojZSsLwEH9xpK1LIu5V76m sxPWijKdDdaPRIuzuGUc7OZfOkOpfn0yYIg+A3dBgWy0LMgZXb7BNrXUXgOkLCkUtJ9Yv+RgqEww cggNQ5P8NTzC07X4DNUfA2UCn6AZeo2OsC1VOFn1ys2uflt1ybQMUAd3IZXX2Cgnivvh6KFNGAdb Qin1E0VCLZpEatjOwJJvsUmaKaS22CFXS1NWoa8zVP5fknuKqkvtVpfOYYlfmRtnlc9rWITV0NbX EYSIY/bme+w1KMrXjG80FwjNsi4iL7i51fyENpl5X0QTRJ4dYgYf/Ao7KRVkiqh8s/ZuiXUViAvD /7IjLHnOIGZtr/FQNrxqzpBLw7AsrgElOHeB5nrtn9dwiEeYn9GSB4GsI20dMUGvoRDOaCqotW5u 6QAPEC9OA5WeDat64hjPQ14DIZzRElDd1FHj4CtoDJR9zPViAi+OltMGyvmExGg5Id726JoE89dA KHu2dpbk8kHwy9ypFgCw0s6f1zAoS+JFE9RlkFXczwEz9FqczBJJuOuGMHqc9kbzEWdTNB8/hV5L k1lCLKgXEUTPwW75dV4A4FQsoXM4bZnMn1gIYVuBXAJ+FQfK8ZL4vBYmGWqJtVKnhs4CI3S0q8jS ILR8XsuSWR71miHmKZMWrj5BrzVJLFuaOlPQyuTlRrP+7oYzjB9CVIGj5kUUBinfaK354Ilsg7Kp uL/niOjQ80HXksdawaXGmpvD45LeNjimKU3QJsOhJuAygp+eZbQkYOprNRKH0N1jTRxpFAX79F32 zqnjFc3TeT4Ny2K9N/yeybWcNmJjIx+GsSIJ0o09Ew4O0aG/VaGaY4PuCKRlzz4bQsXBCLzVrx64 CNLhRiOXjrhwDVa1xUpkOH3C0s87oy6L8qJpoqnRIODL19E7oS4b4/7cus11CktOTjwc1Z2vYZx5 iYfRJE0RDtku4eCn9fkfEwLXPwTQQyUP5e+EpB6SuhQTKN7UpTD9551Qly9fJSk2Sm53eX7RVtQE yJWPBzF1ql/n9RJFtPgkvQfVRiE/ByZRTBTkadUVr9Iszjo0Bj7RXsEkbda1G4GwGrxVsiqU+FqR dlpaSyAmrK0JJSfcUB4TGZgomKJOyZRdJHZRqh/YECqiFtM5lPh4EBV13248xSBTX4rf+e9EOkws VcEtLyMleg5n+g7COYTL2dOnKIqsO2u0xCbb4G03+KYwQMOlBndw3voZ2mBIqX4YQ/mdJt2G99ga h66p73cfrLJ2shk5FhegUMPYeqWvOaL+GpTFbI7eY2ulnBmWgm5S2NtlQ69+tRwbQn2r00ZzRFW5 tUgn4GDJ2Vk1MJSDEAEONrgC8QIEGWUwCECv29ojU9XH8Dgi3wJNKvBTsPQMWolmmMTlEmpqwRyJ 2eaxI+VvRqEADycpCq8704AlbAklC5e7OQB1ajCTseZlhr3H15n9PnRFCKHgYAcSXEBgNE/AII0u sCtR8MOfih8JxRs7tKmU9Xnnz/H8hGYaFEV84EICIV1g5HO2ls87fQ6BTv4+jzJwqrOvu5LeQ2zk PRT/YI7jGrzDsvy+L4kHJio2SVGM3ZmEjEJwJQebpIHNViu9ZU62D5YY2eIsiCEwOyvr+u9mCRBE QTa6mEC/jeSDCv7nW9jvAg12f965c/mRBcfKVcZC4oZPUhRmw7MUqjuW4OPX6UYiIE7UK46z+yRF cbbMiRvl4zV4nF0ajMGl+9FXpbXfng3g5QVpljxJIRClfna97vskyX8BRn4aqoKkBOEHYiB66nw8 CCNn/1pJAG0vdLhtjt4D7WQCDUa+nAqXbgG7GTEMsPjhVdko0mZrf61CpBKHeyRNFARnpQr/WVUy DsmQd+9k3+/5dI663vZ9iugte+ZGMvNlOUgQojVN+uAQ24ki7W2aA1mkXsRY5+y+ycg7ZY5HEoV3 57aabJNhG/tGay9tvIpwxPFcYbTN3TaBVbbB2toncsAtb9SBE/9XFpZIZx3QbkFP5KnxT71uMEvM 1NoiopKDHUkZdpGYJeIkonA71993W4Nqn9//71w53P+UI+ptuk5xH8295eBLbSdSBtJSdcd/Bdu8 uOqD88ax3U6snar05cHjxX12burxgxbCD4A5VwqMPch3qhzjsCY4fPZBMRJCHSW1Z8993plyv3I2 /regYeXsB9I7US6LeCZfdq+tdVdOXzVtB5uCEaj7/50nl2UJCTbTuoPFSCcAO7/YoJHzud8hQ4DS 0YA75ZkvwLFrTvdEYv3xnSjH+j5tnCZ1rwf4nUUZyUkaOmuRHWoo70Q5HPvJK/yFe2tC3WF1P5Te iXLZMd6l5/Tj5vLN56mX4fO0puMn33lyrPAxY0u5+XCzW9Q4p7LbNE54MDRPXMbY3vDEvlwdLab3 gNsSt3NOU7L4HNeo4/B+O5lgky3oWaSfd5Yc59l3HI9p/HgkRmmWwoibjg5ZonIqs41r5kwNFnZp Ry1me/FOkWM0IUpFv4PXsgHgg0wINeUg7ohA6UTLgJwVCoSu5lJppoXyzpDLQvTNDPsGHxQEAMar dXXijc87QS4/bo7acigroSTokxSF3DA9g+ByscwNUKpy6/3LzQpkwc0tF9WzBTCtSWYz6bo5ZsiK wwK+MlA6GWki1KaShksT6rFusVaOTu/suCxDZljuks3EQYDlMgDuxPedX+Dzzo3DHKUbAzQ7l8a+ eck7Nw4rCTlZg++Fp7d9G35k9rmKn0s7WbXtnRqXHQOfB3uPeVyvghMjnYyLWj3nXILJSGY1qW0G siDl116/Du+l9w0iJepdz03LQg52LJ0PFMJ7z/V5Z8bZcv8KA/C9oyyPAt6Zcdn0RE/CNlyiaU2f pfNXFEfZLGjv6FR6j7kBr2PvCHm6DZ7hrorLXTF3gYo9Wv2TaNuzfTp1QV1oNqM4z28JqpJ0UEEH ygffZCe2rFoe+/NOjMOfm3+1Z+EmSpEtm6Uo6NYsSRWea6lVg9ugUev8wUUXWJ1K70E34uHE6Vnb Bw8oT1pyNtog9L2cvDsxM4FK7w+km87P+vBO0E/i60aTNCmayhY21TNldoENvrU8xt6fd1oc19Kv qHvoPvFJisrboKcgZ1u+lOj+5kW3Wq0LOVfxpfQedUP4mhzLwRYJBz+6Sz9n3WCXtgA4QM4FKJwD HrNgjdRbLCH26fNOimPYvd1e3gad3OdmKUOG87l/3jlxrN+O7zkCDQNlZZujKOhuhYg/4lkkHZey 1wGKyEyVqNttYNJ3QhyCJYIkd2dAufsNKIFItgizgYVyUgjZPqAEcYJNSJI9IhWE3L7T4Xyz5ZRE H0zpIrXOzsuGTR6fdzbcLywE48mzx8e5UryXHcXcFP0GeGp5FYAtPfFMtrXfzomEErSa2WHMzdrt ypQcWvmK64H9fSKaQc5gRmG7DNWTzqyAMvCz03WYpSbf550Jx1aZam3Uv+ag5G2zl8fK7S6fdyKc 3b9PkNTPWkDO5FMUwkXmkKNTu8fRNDrzmg9dEKI0NkVheRscwJ1ViuWgKcK9BlUp9ACwvU5kzEy4 j/NrNwoGJ1xFXUycXPS6f87R1AU3i/unwpelClEz+Xh0//scMR6SxcSdoyjcbiJ2reV45DSGe16k 1IZXt7OXbt8pcNgF1NxFu9EHP7Ir7DoyJatO4s/D9cdUiDqg7uic+ondht42ytyQ/NOY3QYto15h 9sbLv5TPO/sNB3YpX8sIXaDWpt9q79w39sG7UBEmO0hrc4NsN/1bBC+dRjRAIr9T37LLWE7Ab2yw ZYTwGQBn+Tkk/DkkEoKSPXBbgrr7uMsnve5fnaQ85eeAyFEUrJOa9Mrj5Py/yued95YfNKS1ALCQ 9y2TvNPe8JRML/ZybcYO7WFN0llcy3Hty3X431lvbEFgkyUC0zT4JDV0xlDGO3sNChfIubDU0AyB 4nNxpncGY4gvG02RGN51+GDYo/NZ4uWeDfx5J7z9jrQ1RXjgNv7fCW8WbmCKtve1zc25ixHcNEXn rhk+RVFxOxG+NhY5ShzsxC7YqQPTTfxRQ9OHboZomMoqeT2df6Yj72w33JybBBKJBnBQB6CAushb LXW+Y7CMfhW3AVLtxLRrjqI4m+gICI3fvJZgJ3nLl5HtVptpGTrineqWHfTfG1Xle7uq8gBolT7g 9QTvAxAl6A6AkAnCthCChlCIsyeJ8XunuqHMPKZ2WfbB2m3neGLZ4wSs/fNOdPMl8UwSBAvbLbe9 09yY/NMvxcUq8Y/lQkimAbdrxxGjMPud5Xav/rYlq7BZbpjyvICvpOq20DWBoAJxkJCThuQgRAI9 9xfs+J3jhty/Ewg5mbFRoFd0wBNAoliMC/0s1s87x+1/QkgiVaGEYJMUokiazFNcKwAdVq8jNRnP 8mJD8qeVFBa3idHqymv7k9fWk/jR9XgRTFthpU3SJCJqcCTOLBl5S3Uke9+/6ki7q3GLK5InX2U3 mDt8nLPq805wYy/KZ4n/LZxB97yzFAXalB5ucrGVCR9FxmVOML1ye27z7SFSVN2e8pYppANycJhE O5dyrZuV28HlhOx/wmjzrADgtAabAP2RCngnt7Flxlwt0z+dg2IkeMtQCAvKO593bhtfcn1nI2cV DQaTQkVGoXYXZ0u9JEXdq5rGGdmH0u5aCdp2hEXGoTaXUpX4RN0PIglmTtT2AS4J7BG0ANgKAvcd /e05L1mSf+rnndpGnMOg4HCzweSoYI0kjXGglT7vzDZ23NrXJKHVAjvpix0NwSRFMrFjGFNywTCA ngSjNfqnTpxKKAlULKV3YluWjgoAEoL+9ZvXIqY5h8bJ+qmXt6FeyFlaBJOgCyCnGcOvU43qndhm 38JjaWowk9kz8bPzVOktrc87rY0Viu/7jd7i6R7d76w2rF90c9xYfnOS2jI6KWQKzLhhMfziUoqq 202uKVvqSfshKFPoCnBGKk7Mk6QT3HaOTOw3lBULgvObt3W9b3B2s6y9es8+CGNbT9hEwC26np93 TtuvgJuR0lnioGg65Oad04bYoVCHqnZ3vp4CuAHnv4S+AR5mIB3gBfdOactXX2mP7YOdSvTz7psm c/UcBPjZsZSQaWVq958M6voVsln3eee0ZVGtznEEQKENwiWdi2Dyh4Enweed0vY/1W0IEkBqzScp rG5jo0GX+Ga33dVLUOjYnt0Or5G889mA1mYt8sS+3Qe74M6Z3jNt806aVPCry/kKDeaBbJZcQ8tu VSR5p7M92W1+BqsAUPEF31db+7yz2bCSLuCfezMnajFQ8pSzFJW3SdM9GVvvFiuBU/PjkgAGoUC5 3t133tlsWV6CKLOl4YPN0on9AW2mPziQJdDJQbUN0PaB2Vz7GvFupBefdyobJmlI2pswAA5KTM7V I2nJc36OzzuRjUmY97iJq6RRcfUY4J3HRhgAUYCUv+QUjXHVcytfmFPU5JGS+El/7TYeEzZ4JWlD 17kRKpnggQjJGDADBlSrEVOSQKqIW+soqmwTvAU+5PLBaDXw7SRQHbTIdwpbfqQWVQJABWHsevda FHGreUsWkAHcynThqenU2wqvbwsm3+lr2TmRezIt4WBThP5Ige8l9tr5tSEyTOR7Ys8y0xHPm23N 3jaokmRmI+jl2+DpbZbebTv3wuedvEbs1v4+tCEONsoNJVsYcEvFrLds6W2d09XPB8M6prd5LWO2 txC3zb98ondpg+00lv5pHgQxs4FKiIRfILIGzWLEV+Z6iaISvyO4/ROvfVie2yCcBMhx7JacS6Hh 8SCQ7N+BZEWxDfA0m6Iw2kaIBOjiNZit5Qp28gpnTtKLVwBaVNa2w6ipAtBuBYBY2F0aQyRsrzXl wgN2XGMmVect/duRHQXbS8cQOvk2aB2Ncxdwb7dWBh4PEADFyyR4DFyeoSOJBJs42J5q2toc1Tac g3SWr5syDIhRGcPmPdj2zjbFtW3w0wheKpQqARfpBI+d2C7Epj9oef8gvrZQW14DPWZBqvS/pg9a RrhxxEE6FwMejxDJtxtJxxjUa4FcszmKQu3BrdbLNRooJWcrtg0VlWhcQQERbLUeYUmQJ6J+jQnQ 4MW28zs0yJXhOIII4WLvmxoXJ7ujwcc9jya1NnpU2Mbag08M11Fym7RyMqfFftfZPycf6VGkner+ utUGilugftkchZE2q12gAXtmO+6RDcVf69huxE88sntU2Nat1qt5V5THtAKdVSiWMbOtKAETY4sK ZkYJ5ef8Lv3m/6JqRZG2ZLjKZihZNgk6P5KEr7JhPG9a8HzAiFzfuy2jcz/QWLRpCovbVWSbbDLn dbAKJI8Bkh0Ib+udZZLOTwqyNvaP8J/6YKF2A7ImF5IiT2YFpUfIUg8kbxkurT9E71vpdjO37VGo XVXWFlAyP0BJNOQta+sdjwfn9kUlyVAUMMTSPSHpETFSElwAHgsEiG7h1CwBOGyxNnC/lpD0GElC osSqd/CDG0AkmOGRWZvWhufaSa7yBicILJgxXLs7L15uPapvL4aRmXrU0Hpp0iI5R/iJfokXGydv w/PBLI3+PUsDVN2H+tfjWLs90hGkH68rHVGhdGbSEYA0ai3F7EgcNsg4fXA6SSuoW/cpGyv2tNH+ x6mwmW0iFvBjqdrrBiFAZVJLkkNa8plQxe3kNgwIAKHG8//acYbVBV7ynkthhRvwqZMy4NpimWRm fALKJOe+pUiL+rbJikk9jrYJtElEAXDwCgCwbQtCBe1EpzUzhoRoxJS5WKXv4kNMmnrfv4pJTecS 6Ck/lolU1FGJAIC2DD4gOL6fxUT/uLMOzmP3jnsPuS+RdFFti50A6qqfKSuokKEcmXF+g2xdueWi kHszADhb/Q7eCaB5I/AQQLKhnCgC16LFHaF8X3r5idqcPapyV6FJC4vdHFQpATmFClbInvF4RLb9 LrrhIgZswycpQnBTYYLOcFZOosaxyklDUWRmsDST7bgISyJSSatbSYdCYFHa0RTrNBXAUVkAM9iw CMHpAOQ1rozbeAPRHN/yV7jECp4NKpSgB8NNXtvi48FSWvkreUPn7gftZZ+lMOxu1NIoXdYBNECR 6CQgbtnKSbCH6bbloiL3IlPixDPTB6/fogu3gEnGLFFuidYL+KJzx+HkrmwqSXOLjNIe4UkQh9I/ J/mgphKqqBQqBlwcj0dLKX1HAkApjXWLbiMKvGnATgcgB94q2qZGS3LhnxM1ZwMoj6jKPalmviqN PDh4e7JBEgkE/waRu3oWHYuYIHUOYKD3vqwSiXWPKPDOTNzQ7fRBjYCTmXRZ754M8TOiwBsyUF/b jQL5Vz9iRHF328pru9nngM7lhkyzuek1jieLKUdY4iYvqfW+fPC6ZOtjEWcoxdJMD+NZ0SjbgGxn lONckwxJ0meEdMmsm409E/Q6f1hKh1JOlT/xCV7zZ8Ql7u/uJEgzc1wNiRECuDNhJNRNMubNWkaY aN2gbhWpu09SVOKmVxck5yoHNcGQnIyBfi04SSS6ndiEd2Bf5zpq2GdlPR4C50Qa+I4ATtqYlIxa NRS7zAvOe1bRzv/h8ahX8g1MgjVy6+lOURR0N5rBIM93CGBxLgCkQqoBkzsAftpqUdBN4d+z9qiG hBqCTRH8GeeJISXUAjcQWu2erYYwBVUzpJkeAaDCg+/4k5q8SSlJ2ykl51AbSxpsEAAcYXX7Cm0w fQN2LF2A+4gibpSYz50vKLukSIZjt/L2KaLVuU1RFHHPJnYbld7Ho/QOuuq5viYbkwUCh42atxOx 5KCWPxIVo93SzAxfEh1HgDHRRhoDYratWgmc2blwc8l4PlpH390k2Ot0RCE2SVHADaufa4g6HuAE A+6Rx48rkDkqeUQB9yI3CQAbHzxGgn9iR5xHtMSJKRYlEnEeDRTqVrrraCd72yDDFZWk0hZ3VCtL VsDv1eA+CdbE49Fp9EttA9/fHjmSELg9uY5Sa07fasuP7Ez1SYbbkAdQ5jYi4Hbl3T8J8NdwW0lU wxO+DdwkarSBGw6fDID6S3lg21VvG7XbyCTNhJO0zECI7W2UqKpntyMMtZdXJXWFUebrYgBHVN2G 4xz8TBx0U9t2SRJIWS5rAKTkOMkRhdpzqDnC/vaQN2ImVALBRAPxikqb+Bd0VUBARBFsbjWbI1w7 +I4gOqJUWW02AJcofNv5fC1cwFfwfNRt+460QXbo+zKTRxhpT7Yk5apErwBy67jVksSTu+hb1iQZ UaQ9xG1v8pFvF98GX6RBp0Sz72rsy0FS5kwVQNNV+H/tNYaQIwy0KQMwYDYAbSSEdkkMgAxiL5YS SD34gKAEUL97klTpqlefbYSRNgXask8NIG7Jq0lNOn+oJs1VzQ1uxAVuSkcN5bhjXjJJPfdromRb gzJZBkoJ+kgLEoO0Fcehe10CdGyHbElCJOqWLiJKT0WgrZOLS+r4LOWC56NCyTdWEiVmoNRslmZY 4q48q/NUCQn7HYhC4knAHLdZGtiFzNpmrPPHM2muO3iknQDQR3gtQGnP0uLMCAIBoEdbwBtu5N3O EE1iodG4g47tPGrbEu8rFY8HU9S+cUmoIkPH2acoirQr27bUdMO8YJ8jBkKV5KxnQibw/ghrlLLN sMKdWL0dJE324SKkgNg3dG67wCTA2m5C3GRw3wG8qO1CbkA35Pv+ldjS6sgGThJEKxRpn0yh4PF/ ybUpKm8IATyvnVGknbjbGs0ZdSZNo3KfSHut5ncbHcopJRVTJXFjVSIhNXheS2sDgFuRjpxDYSr7 pwNYxv8gmccRN5RLmGGJm5RS7hAbVCI5+3mL3H++HI9Hk9S+Jgkl/CU7QU5SFGuj3YBmUfJJKrJM MOeS4X0AVKM1SXGBW15miifTZW4h3MPqMb3tDEIMJCUWFF4GTGElqml+p0tv+9eB1NUM4OBTNJac hk9UgccjKkn9Oo+g2wCLl7vbomC70gi9K5nFHK2vwu3OlygBTK/mKISS0HgKgEIfLEhCBfiE2uy5 Aa9PqdcN1RzQSNB72bDQNWlk+k/NWPhP3D/K2HIQMrmj3MJ8vvSKx4O+ZLu5P83AT3Q25jNJYXV7 yQkgmaIE5Faa5bWzrOXVbdSTebfNCEki/ehRSUzm4J2ScxanmtUDADiAAl1zEUGLvHCNJ0qiJ+WM gm0cXWCRruGDXf8nP5UO0InV8HhUtPVgmwqQaElBgPRebRGWhCo35zTo7sQ8aPDDSao0X2coSQ6Y Jimqbi8GiL1S4Z+DBwDnbtgUz4HO7wmNcficSWJpQq5ml7s90cvCd/zBcT855mZVEshidiNPgDHb lgDC4CsGSVv/zkhKgQXAuusoiraJxzortPuBVNNFJI3k6wiLyzdbqABYRZIkFqCLlG9SyOdmbp2R JKhOOVPjht1WIt+h1PpLl2xGZe2yZUGZhg+qs9F8gaWScy7h8X/BtlRnywjOvUcyIygJTXZbFfRf cJvumvYzXbhNam5TPcNgOwu0LZu38di8QQ5BOHCUIvM5mFBCOrc8ziPk7wWVilvVTnrdCJTMem1W vZaCHfJQXiAmMew5+Q6ejzBJHiLJ8QXQ70tJnlGsrRCpX7PO803OkVytugslnNmszjZDYRKiSNbi xcbB1hEYk9AlY7et44Suajkx+U4y/LiTtIpe9w+96FwIt9Ug/N86C5DXBLy28XgURn7vNcDAzWQK c7SiSJuEYMyRo/92ZWbLn7fkm9muZAtpRWASKdpCut4HR/+hRwL/FyykAslZHkeQdDvHEeQcqlMA TABghWASsLVP+FCmD8Lb4IAg7Kq1WvH4v0SAeBJIUmh6FLmiUJuPneN0GpG0dZoDyBOeN7Ey2+n2 UisMtSkn2QZVozl4EWmfBTk6RQCBRy6T59HELzDQywZ02zFJ6APhO6IKSZacNskkuAWGndkncpeX 6cl88Hyw1y4iGfc8dgz1522OQmFtJDGtCJAs+7vbG+lju0jCWh4grRBMolStcY6AsMEMEWuLFTmS MraToXWlvidOGoA0EoP/xEdnWeE7okIbCTdd4Xa/smR5N/Ftzsfx8X/BkXkcnfnt9QJJVhRng1rN WqRL/J9swPnINVUv+9Mnizf/CjHbktVWgaQ/BZIzFSfCAxSJVa0Kl2XF2efXGagIoCPuNz+hbSuu aVcStroGsN+76rUQwOAyGpBtDXEkv46jDGL02Bf+v6JAexHc1lq2+giYW+a7BWEM1SghfD5MtmWF LEnJI6d1B68iocw2srj/qUF9E5NUBrFJyBhHbw/YZup1g1Ibz2xKyxBIOk0FEFCCwtyk75nx/L+q 2ng9snbHzdhWFGjTMAh4EY8hu9DbhCS5FDAYDs0C7RUqkoivXtf2wQ6kAkUtnuKQIe9A3FIBCJ36 XEAlhimM+90lypCvCEeytM9krrGc1Qu8ZBqSYjnRCx6PYCRXcpP4CDC3xi21rSjSXiS3F8Ft8Y+j e6S9knyWJ/ERxcTbVhhp82qrlfD/Wi/8H2r2UIRlGHk+fMiRCxkOyomF3qVUuBsqtXW9b4RJojES VVcT7VHEm0m5M3k6sXNqeDwS3fpu/QO3hb/SJymKtYs1bE3WDoEksmhbSlS0Ya4wSG/DJIW47W5i UtsHW0roG++WWNmG/dlE+fpMEnt8CVEAkroLIkHmhG/5y1RjqbmNFSuDFhwOFKDDMVfxeHBy719Q G9TG0QfyWQqR20SRzLWulAQa8qZI0kxB+ZwYw9uRK0SRkP1XWus+XOZW55Sw2HZS2bPKVbXVLKFf jphAPdtClhq+JXDWYJyUiUzSoFMpz9ay4qTBl4xk271LUlkkWShLPmd3FG8vSf/v6oTSNZa7BQMs bjFAyY6PWH/LbmNn+eDVtlnKmYJU5IALKzpV22hXCjxQ3vVySRbFklcUcCOrOLdgZTDAQSVJ+NoR 3QZNBzz+PktrXIQ7YxRw8sCR0iztKOKGWwGpfx5N0qRI5bZzsd5yG+4vRko75EoSIlElK8HBZwne 5TT5PGtpIXNjlaRB8Z5+6xCzcEtX1rZ3FHHjIkbhv92Bc4RKlZRukBvg8aDcdlvb7BqgkIT80abo PeAGS6dLi6R62TbN5hVJlW0lS+LErR3KknRC/xBG23AjpVHOWUMvpHHufp47MNgC1oLM9ALgr99v tADYIXxbIXdhestBcCT4/rEQ1ObJbvd7xH126yO8iQnBoZ1vtW2H8O1BfoQ8zDlf7YFItJEsCKgQ X9AkhRG3yLaSt+mPvA1g4KnQ/AHabecwOoEXshLUmgatdEryfluhPS++JJgjym4WRDc2CCMB5hM1 M2rpG49H5O39FSgh3kJPwucopEmSNzCnqZFguvzcHrtf+faOvaM5imEkirWFdG/zhgDnMEr0RWcl CaghykjD9QG0NihJtcuSnLzcdhRzg3UKq811B8VJ58AkHv8H9WU8/k+1ZJ7bWzxmn6QQut2rAO5X dSNfgRseGeZpg5BLkxRCt6WWrF4JB5ukMw/rrBqCkss5jdaiDsAG02agLIgj0MttaA3hO6K8ZOq0 ZkUJrCvpiG3olDOFP7fcxvMBSGLf2jaJ3niDswJ9kkIRwKZm7SUmlWXcf/jbLNMkO/+u+24LgSTU kZyVMSUHn6QFT+nGSYJ462hUS5ZSPUDbEKxxEQAAWvAdQZRUKtn/wpP4/QnPFvO4qRWnduhrk7/1 JHDOr3qttXYEJCnKb3WbCXaT3ZWkEn8oV5I23HQjVACUDcAWvX0/sm0QeMg9USfxJCEVJivE2ggO 1H+oPGX8to1VjC8JDiRyAJke2KC6LSSFWJg5kRIf/1fmxl8Q3ilgcfokhcokWB8nGErVyFtdsqQk b5WrbZfSMtPWHSJJZP5LGK0G50kC1oYp5zqCL6n0JmHz1+DVAAseE9xkCx/fEcSRqNticqYPNkdj ZxZBTwo38Pi/6m1qrDW421TH2e6wuJ2GZLZcKSkRW0aeJHygjbzVO9UAOj8pqiXxZtss33LwhmSC VJgIbue32DQ8QfcfEoXI01a+9X9gH/gdfxsA1emD9ho45lIBqnXg8UhwY3xnJOCwwo7Y5yjU/0Oc 0goVisQlbdmNyNQL0nnE446bLcKRTB1EkrifXxL36GpD8p69thO+t00MJWpCsOw5R31xsc2SWLfd oSgJof8DRRsbFGk3CDEyQDp/CR7/l7id6LZQSrowW8F2Xr91qRDZq+W2ZQwXSeiJWQr/gEazyK6P ChZSW44NtcGLSXAjb5WA7XlSgEl5u0ERrgwECNqDsJKWh/va9sYBn3QqkCSVZEFkSSSsM1GpT5G3 8uQHRFDS+hVvD6IVbjeJ1jVB5xZHEfszQJBAB5j3GJXjACSU6EZN1300xRE3E9zNwLu45xzUWzvP JkZuULmtoP9taAMixcSPWkD5cS4gI258TSS6SVdNYm40CNIOSXi5AZ255POREMD+qpacs+1su3GP JtEJ358jEnBUNyDdkm5jEZfwIhlIzun+GykEbzfO1GLBhIOtKRi3nySO+lsV/W9sbdomYT1AkqFA FNDVgFkKwNdEgAnaShDjDncJoJsZbjcWr37IXlv8gCBiyr8Q3NBxae1ZU2G5GxFQg7mBld/mSC6c tJKZBQBH5i3KnEI3SUHdsiQRcr6l3Hm2yLlLqSsLhlul19uAodiPLDNrX+tmcTIGSKHrDTjKiZvZ BoXfqDjIeBuIADz/f6G8A2g89u2ciNT7DtagZ2ur0yCBtZuO0pmbulQIZxrvLskpqnkPZikQRvbB IQE4kShMDsmb0hJ0NPYJKJGfZ/SKSl8uVV6y3IFSBC8BT/ic44XG9xzsOD9HO4ka8PXk8xHv3RNe wl/hLXd26g2ecoqicPaBUR3TMZ5rndtAb/AT6S4OhLaHneixFjeFk9voPtzQAFyuQqwS0l8YBdJH gfBJZMAkxsslqJDayq+JSgPkBCyauHIw0luBOymjg6HnA/57/SVYgnrlCYLvRIWKJfS5LRItXWTA VSd0LVZxcPUNE3bt+qggPlAUntgp4OAlFFh6wALYTAIKGTgzMVXKJOTCXM4O9J2bvfGfYlNb9d09 3YkznQ0sEOSZbD4f+d2u7wIB0OonhrvGXCkULkkSmqQoF3En8ihnkDARfUuUA5vJdl9YAScSJ08y Tjj41YdOXGlMWyaUzJi2nANrk26K6AZdLjumaA7Dr4kAJ4S+gerHQRbaZ9ZB7CEm5zzE5//pfIvs 7kQMaz7GUymKyhdNJ6syF1mYJeebDJaYJV0OsKX5BUbyJVVqimNUHxyWM0cn61TyZXD72dQuh8Qm bh6E8I4TlKlSimW5GSEoB56eA1eK4DQ1ndrg89HO++UWINDryM9EhRBvJ1RYHgy5/WETlWRligIv 8K4+UWEdnIzcEyIXHy7qtEEijRPVQPGBWQPAOahlIweBhcQlwZGXi2+JNIOpMFzSHYxNCU6FarwM OkNLnJsKizhP7OENz0MDSobIUBN3jpfswtVUyS5hPkpdw70VQ+wJ/TmHTN05eC7coWLeSc45iTA4 y2yIw4Wg85DcxY+okmjHnQMHSvFbIKnQsg/GqDzHMpPZczpOPh8dUe0rOKeK9bzokxx6UPK2hKLi 1cQp/bq9itnE+lwa7h0YmFCiikr5qdyLDzZPZy2h1ZRJYkoTfTr2Oov4epMgBxfEo5FJ/sODkjxv AeL2A4gbG3bxDM1L5fORLu43Hh5aRqNcpleOXSgzrRVquRU6sSqpriRr0yI/06SqQQ5tKBeh8EOk gfGQBmqBBeXo0sXZZVQx5xMaCx20qQx4o89TafbCfykHDrJ1MEyV/08U2dVaQR+Kz0dtg/V9QOGn 6jCN9omKiuK4Tn+pLNNr7NZ7vbyyqCqj9RQF5pPraUtkioOtp3n+65NTNUgqbbC1IPIOxBdwOYCp g2HhWl2j2ftGhTokMI04Sw0C66BjJ6GFlSufj+AD3yRdcJ/PKX5DqNCOEnkd0HuzWaVuyFaBlbqd XGapkhGraQoJlkzxJtm6Gpxg2do5zFujXBfgg/T0nugM/9AhLI9+MU0SWsqhIyVUrqGJ120Ytp4m QKlaT2Pz+X91fbnvgEUDscrnKRLtpsJCS/uaUYOJavsu8yhWtY7xs/ZdHJNT1ky4pvngmiqYUYgO yB+AcVSD1tLZy7CCoCMbYZYGbOrTXjg6nwSwZHOcg0CELOPwGD8fxOf/1YoihQDRyDky7zyFPEvK LZdqmC9su2HoeKZklg8nqiIzHw5dKQVrLoW7j8OT5m0EKfQ3g9MZ0HJojqPDB3p7TfccL0nFqNCW knaCqQMoa4NSFzATurktdD4fnePeaSlSHUQboz1xQahrwgVVt8ksI+asbkwppRuIUayKhrtNVGSS IzsBym/Y4GgLoi97o5owBNRQGTzxE48pTGhuwysshY0sfkukIiC3ZTGcknlf1ESoiyrAqfL5iEpw A3Jm7G1TMeY5osJC+VIOPJIVo+CobsUoQzh1yXZUn6m4Us4qlMw5ONhMZcjRnhVEcWoUu0AO2dBQ 22iUo6458oPe6c3eOKgcJNG/1vbBKgfnnyUJfAY+Hymd/+reQU1ofG++EAou0EVrPlNbPFWqeD+I ucTGv2YqjMlZCqaqtQ1O4IGjV5lFTDA4MbJbnricYL4FsZzHMcdOqahkXshwPm+5fFBQDh3EYYtq 8/kgfSnfPTyej2dpzlu3C60qQdWlbU6659TVO2syYiJsDmeKEuLQq3KxdNDlG9RzerZfSkiICZsH cBruoZDygqvRWnCbwpXnLapm7xsUDuTg1SnlcQbT8j5XRN/CqZ57iM9HCoN3onQPqLZ15ymsmUMO X9xCylS1K3QOqRN0vshSQd7v8xTCwk3He28ffJ7O1j2bjChM8oOxfwAuwDGVUOLovvXyYisvh3aV Wc5CiSr6HAztlF2rMvfJ5yO+83cYVVkrvU3hHLpVLoqeq13ISlSXC9NmwTwlk4avUL/TzgvsKiXa tuEhdAfbeRVgJFQ9pJ+XNnJV5hvUhq/tl0wV5V75NZFOFSEqUGGHBBP+ULbM50IFm4S4s/j5ARES 8/LnqUgAL7+vwDywrIQMF+UFacFF7DNoKj9mpJvNnBkLO98VFat663Tqd/BDCrZQY5LVA4WJzdoC /gW5KukiVu3m2/bGEc6AiN6SBXxiYESYV6eEIfZe1mtGvJ5f5CfIijwmejm0rdxiY/LGcCsdV0Bt s3lGjEaoheaBbyWg1BRi2GX4YCEnkFvn/JJRzEbHnhWWDeO/gfJGRjHaC+YU0s+xcSVLBonmXnDo OVG1ZCvQemZV5/zdkx8Q0ei/WwsIEPp8+nqhdWWrYtJNxz+VZnSVsuA67V1i6CDaRMX6J6zZSZaa g1NXTnQARQ9iMs5XDbAazuQh3htgk+fMPrEQmbnaC/8lyLQhCmqDmungjTT5DtXG56MF9Q2lm1St egKp0LwSBqgQGajZ5qk/yFWIB1vJDuVWn6dQc5Aw6LkYm3Pw2mZH5woXKKTie0u0TDvHI+B10AmA E55LMq1p7xvhMng2DTHGhhfLT8x33rZqmjafj0Lzb2FG9IJg1HqnKSRmTrlYmzcM2sTN1Zlzqk6o Sww2NE2xr470qHb3wVEH4FFVmHmBwlIgYEGVT2Qj5IvnQRcrdl+yzVOIX6F/VU7UHgKiTs5vEJ5f Uos5Pwufj1Jinyc8B/WL3tuznKK4vNOcMXfbYPjHPhzAImcdWn2VbOrDOXCwzPylgaXjdHGwCks+ h1XbsIqQ7BCSMjJYz8IdyAh2dc1BU4rLoYNlVTjeFZz3ZNjMMWonZxFA6Mzng9PpOjTzdyT78WzZ dCfqPSy3Gw/pth/kNe9r+LWmn084OX2i4lI5IeMoHtpweaznMlwwM2zwHQAAjnpxBMcDesseHsqM xIwVe+EIWE+8AScsEZRnC+qkqFWWArXz+Uhd12eqqlNz3mFfHYscellOwn2++b7peqOP7Z7fi7UP 7bzYzJKEqJlK8cEbn7Sw3MTWMzdLbCPnxSreYpB5QZpEjefQzTJLWLdr4/Xmhp9yg/7xUnlgZ2m0 lIs5QEUAuME7TVFMvqljOWr3lsLYeXtgUKySd/7/a3nNLjC0PHErVZr7lqb1/tK0hjbTmGy9oBa8 CFMEXaP+UI8i58dfV2ZNOXC0VJxGYv30QTlIKnCHYBlubj4fGe3d5EXyIBQhuIHBv0wtxa0jwl6H FS68rTonqRpo+ikqD10tdZJTx9sG73rCpWHQrx0Uy5O1EhqFVcbKASh9zWG/patJFRpbziT8ivJh qLkzBKngRtWpEKpnfsC/QK0MwNkrSl9bL8Sx0CN9t+Zbr3TX2dt0wDGqPboCNlWxu6XmaCUfvJ3H cnuWZNM5QiAwhwQmsZXON5hE2tevVnrgbwmRM6awi2fVQA4h2+/etuA4Z42MzA/4V0q8lT//0N3Q ZyoMy6kABiSzMxLGcpEUqsdIt6ED12Yz9Q87+dGTD54Rz3O4I+okNuoc4hR3nln6BZgSSIE43H75 C/8ptwPrQ6NKM+UAZQJOkT8USpv8gAjx86scBRbdGE9cHhhdqmIIlivvvc1/xJ8o0EGr2VSJ527G usuh06Xcduh9ZsNtEZ8jBD5p2H7n6thN8AwGcYkKW51g6fRo7+fA69IuvkwLSBuMwononwjOjhpn YHb5XTTn8gHor/fLBMqh3SVNxOrFi7GGZyVOsFxcn2Au597nwO8SqjYCssiG7yEolt7B/gGwAchE FO+4pnBbUVuGhj8PzaXbC/+lc5mJjcpU55SX4/kbElNT2MVMfkBkLnPL5viPYbYxH7hdYHkJ7ggx dn258m5d3UVTpGAuuN2+7YXY81IcRdmClMcWBNUnOILD8Hb1tCHkw+IBhIFBBaqQGLrGoIu26Tl0 vZxM8tCw8MGgUUjERL9bnc8Hm+9qXRcBPhskgJ4lFVbNp6DTuTksWAJOxNu1PK2/kIAQs5kKo3NW zasU1Dhc5lQ6P8CgdzrUG89CyJenSHfCAv9px0apah46X1qLuNXtg7BRsH5RVnz2Op8POqDZZ4q8 ILiirP3ke6H3JcFuXPOmxH8O2mni6VslTpSCyyheCg7NLxklAHhTfeBMnZ8dTbc62NqD0dQ5UBAl lLyEQ540+/OeVRbcLnC/NOJrAhLKBxFfz77IdrYPPR9gXec3NgrCJKNfLb4c+l+SKF3RAvDEmFR6 JcaDGH0kY4WtaMadgQEmVCJoxkNJZw0O0UABaaLSBDL++TCxGhq6Wo0OHVxQpL4QdMEv+es0zyi4 cXDoATh+1J0R9CAwwBQJ68tJfVPX6YZSoQEmDfHO7l7L63ZA5pgFdtrb8+JFL8yujwrKUdYqXs2H i/iBpc2ktcNZROc4p7rD7gtAZ5S3YNFn6d5QJBU4YGJ/D7kW0Z4HANxsUESIvrGnDmXnHHpgUqnh KZqfvwtt7DtRUXhehWVpDyWv7uF1u9avETYM9Gyi/pZT6eIKc/A85gSGA2c3CwiA6hdOlOyVuR/X RZClYu8bIaPYDRhqFVM7q2ie4N/VeemVxQ/4l+TstqO8o33s8xTF5oSGgWfmdbtzamRLi082cOt2 WlDcd7GmCiWecCrb4AuqcaI3MRrnn8+0mKEo5IsYwtymHjBdet8gieF6Kiig2SBo1LlTC3f6yQEq n4+QdunrIEctd+z1HE+hVDiqDvXscw+iesneWOh5mPLMIEdAN17ohCmKZ03kLnCwcBNaWPjYpTIL jNUoXwgS94R7cpbqnOR51FEPrTBRv0KYmaYPKh/Uk7+QvUALwxx6YT7LiRE40GP9SWBCK8xKmYe+ p0kYwyHcJYzXMO1HFGGS6arkwAvTrWf46jY4ZQEYrdLJquoASfHAP0ceUokEUCsMVx4sy7Y3DohV TZIq8uqDXbPqdfgFMpmaJ0pq/ID3k3ztbxVjlFbhj3ZnKgzLFUNVD8sBZvEmMZHJpqvOSEczFZI9 CbarmeBpDp7ApA3lk8FW1clYUkNAOsg3yDQCao1exvOxWM+BK6ZrqzfjeIAYyIJJ3ueHFWo6o3wQ 2mI+6ChyFrCcdn3w5aEx5kwSWFnX7KGtZGuK7RGLy3u9MxWhWegrA2H16YPDyMZZUVk26/lcTDvp KEf5elCytvY7T9vfNygeAOQKq83ug7beOeqSWFEbbKHAG9NgmF/oqJOWLETqmqfPawRVLDSoG8Kl ludtrx3sRjKY0FEbyvA4ol7jpwKiPi86FFpt8PLmOelO4NmS+GcJWSXw5J1aKUifqUErmV66Gb9G T0XSn5gbWT4vM3HlzzmFLD8/8ec1djovSPzpT6ffBkLM/LMyy1C8OqLpIaa8paUqAeq/ywsGkzSC /8h7LsuLUMH0SPCpZXapOHiZfNMHi1QquM0BXwWowcaVNfDOsG4zr4elY+l1fuCWzO4ddqQNmp80 lywfkQx/XkOmIrin5kcI1jPZ6GrZBL1GTAWmYYv5ycwO8OkmIXZSlTycl1egGM8Jeo2Xivc50Zvx weIAuEl2WhZAw6DUWtVxANAS3oZquCiunESSv0ZL5XoYz9V8UNEXmE1BDc6a/LyGSphdO7HLz1IB FMF3yzY/r5ESFhAlwyabFDJUYZvPtXlM5GGcfzKR59c4qYCLR2z0ynew/UX85O6CkJ+FVAElwSF0 XhOq/dCPufODktHnNUoq5lyUWRymVMhUxbag8rdJOwd36vMaJJV8i3LaYDgDkVLY/LyGSMWhdJON V4skm3fKqXbqkILtxrPB+pEMZi26zsq9zhLkQDMJsUhJBgBUAKl0/BvkjkhKEDhrh+2ttw1OIPGp gZy2wZiKowiQxjb5a3RUhNrQBNUfw2Wm4hvsNTYqaJFTHSx3n6BaiquEjGE0dFhKJQu1XyOjgpop BbBTu4NXlwo7fbjXKTmXWH87oSOCuUwxtZXr7WnKLDSYIKCOoKhVpw+qmJzETQy2ejKez2tQhMVg 1B8psEGRY3YK8mCCXkMinOvCECxiCCgYSjw8L/oifApykTGLuzpFE9TY681cSCNfgRBZhKROGhlA nwmOk3vCHOZnQbQgAw/vWyzpZYMtRiRKbSznwuPnR2JO5Rwng8ymE5f2z2swhBNs+hmEV4OnCEw4 bIJeIyEc0ahrV7NvYHRN5hcm6PzIVi85R9/2LOQ1DsIR3aULQjVeOxG0gthbQLW0AVOwSLE6aQdj 6wx6XmnpEcAkxfw1Dioya4XwJckH2fWKcKgRmA+00s6f1yiIr7i+ziBw4geYX5qg18Ikbj65XnSz uaYmj3fDC8UDRfSBcrn004IzOrEJflZL8sEr3RNljGZ86RMFE1I3Zl7kSwND39sF8xIi8XktSmKC 1GXKJjVTFPCd46uVTU1GsLA/ryVJxCF7f20xTDbkJm2CXuuRWLVLio7LRGarNP8wQSclW8PhTLu5 UlEUBcmCl+xCDd6z7Oi2IVhvwP1QSh3ZGVmWBXZ//OMcHkc7p9daJH6FxdO5TLGk6QhAwOVOk0ks gamvlcjysDSLYKkUrtrIXixQjALpIT7rMlFCJGlteumoGznqzBFUhYx9GE3Skp1z7j545aih511o Mt/hwlLYWcqdZHIo3JRWv1rgYkhHy4jeaexQ2GAVW9SjRNMcYLNGG21mnyYmsIM+hb7T3jl1xTj3 AAq4NDjE4CwcGt1EjOugEb2Re6JZmgQQyhhkPMYgZ+UAElwFSl0g9xAnUPDpIJVTTqB4T3dSqvCd U8fzmu03xJo26D6D6qUAX+e2+bxT6nBe7/W126gw2p6c4z2mPjcahcHS1XM8/5j8ypejOc+jtvaF ewWTtJmyDmZZGuxA2qXDCqFQ6iKd3yAVKsyBXzVx5QMd5yHREG43mKJuGEse2Oke2Oc/rbrRIBf4 eWfTYUX07wOJlseQyrIpisJqouzhCeuy18jFLy6nXFI0ZKkMcBKc2dCmhYqjELvrQeyeGzlRmZ92 Tm0Cr01fEIQlG8KNaNf7nSblhvfIGqfuUlbffLC6Gnzmu1YUepPhmeSRtduCTPBmbI7eQ2s3Bmtt FQdX9uJeBWv6XlsNlr5WpI3mCLnZWYNQRtVguRnLaoDhgpe5Sja/J/b4BgIO6IJ4b2Sq9hieRzJx JIAJ4B5TdE4JPSmq6uSEilowR2JDMD3DsQ0pDSv5c5Ki8LozC1hjZ7/aUnNAzszZDS/3A5N/j6+B GCTLsPJu4+AH0jw3T1WbG+JUUAcnYrBIw/onA4lmp/agUdE7h67IMfoc+Ch/2yDE4In+WRNEs6t8 3il0xfz0bvyYiRbr657aYYhdaQc+3WKuoir140L8DjAZ5gye+ElRGYR9IwFyOPgkne/AHiOxHsKj iaoDG2j/mVlkSNeBN0uCIAqyG7U/yG+1wYjQ5+OSQsi8P+/8ufLQ6o2OD/3MRH8ZzlEUZS+0Itsc PXu9cfdpldmSstMMa6pe64/C7M7w8ayZ7oOdSFOsFkoVnzzz5MdUTgdX7AcyLD95kkIgUv3set33 ORpST8M+42DsnQTyIi+2njofD8LIVb5ObRAj8Ef6HL0H2kkwwSYohxiGyfshJ5+YqjsCFk/TC9Rk o0gbqQAUrGDqpMFPpEUOmMQccekv0cYA4zpBwdl4ez5do663fZ+iOZSJUBVUzVPVxVJVYnti9vx5 p8zhj03lO0LKuOi6bME4SVGwjUTgbGblHRTky9tdikHptopaHtuRN2G0PUQPJ72JgyYJ7rLnFppE SRR8V6d0Cl2bAYpjQ+Qp8E+9bjBLDI0QUfgglvg8P4NOcGIkonBbeNmbsCFqQzpmRcco2K5TDaOb 0p4L2tTAZnaF53MUImdX1TEOtmlRJHpFf+gVkBpLhY1qOPFliM0D541K5A/B/iA+XS2wYq8bnNrM +nN6BuW0587b2YwK8+edKYfSVvK6EcMppI75hkjvPLkix1Y0/efTo+0OXa4zuU/xMDxJ/7zT5Ipc IQGzkQffuKE27uVxcn/YAi2oExeU4YE0RS5USLxt1LDQicTi4ztPDoHglH4JW2oTGVdRRnLyuUks VIccyjtPDqd+8ruNZgVQ0MQfbLMU1a8JrKEcolWPKm3F5J9yJa9BPzIq7ztLrkj7leYyywebpXN5 nHVYKFV4liTs5dl5ZDHnxJCZPNzL1Cn2vlGYlIRFYnILpyMppCXAppIJ8a/PO0eOPZT1FSYRJDyH ZyTvDDnstyEz0OazlOsFuZW+vEFLCDQP7neCXHEllAEWsw223zYoKGBSY5awwTIrABtM5wzLc/Kg r3YFtVDe+XH4FqIAa38GRQAQrxAc6SzXzzs9jmda/TqUAAhfwAzYJEXxNnKHcxLN7FWSE/WvW+of 2UJJOoZpw0W17L6lw0tDZw62lFqDAnzRZKSz40DQ2FBkhW8q2lFj3UqtPJ3euXHeTcuUO7RB4U6h 1B++7/zNn3dmXBFEx663bqfSQDpmkxTF25WEirZ8khpQPl4AePyKZvJy9jsxjrUkJm5Nxbb2gLo3 OMknbqw8lRpMbSZVv/DGQMb/oDzwHN1L7xvESfQp3pkVfw46lPBB6qmdSP7zzouz5f5VTcL3QnDa Z+k94IY2LAnga92shA7mxL7nZrnKOZWA+tep9B5wKztHOjLv4OktpE2zJBxbIqWJ6S3bIqCnQhbU dWZZ2+W3vJ9KwKMC8k7TAg6cpUkU2NTy2J93WhwP4fUdKsHwbvQbTr7T4tguEDnHczfkui74NZOV mGAZ4kYz76w4/MrkUoAr4YOHk8g/YTWjDXcOokIxNMTNi4p05SGdoOfO140miTcbU7gEKOHPEEIZ uAHV/sfen3dSnDWfNCcsYg4BzH2SwuI2G2yrGCgLvbadfMPB71gNyIlOoZbSe8wNwj9mZ8kcdD3m oDjyzt2TqKZTocQPKQ6oxJyLZQwkzPDstvuNsObPOyWOQfegwTwlv1o2VeQzySdFEX5o5/55Z8Sx X/9dTUKERmUCm6Mo5CbeVjeOKcdVrybNKgt1FNxO7mZ03Xc23K2UZKp8arCQOwOyX5GowBuU0Gem dwiYe4EC3HwkKgi2fefCsfTK1ghuQhtUTCrrpAdMb8/N+nmnwiEYHfXnu8m2UScp3saOIm70KOhQ cEsAbVoEADaPNZHQXzWcyDsPjtEsDuxNQTgNNkXgmp5DnVqp57dooNWxmARncIjo7HQ9ZoGD4ncE nf4pXFbePhi9C4aFvK7Ouf95Z8H9zm6V3iJza35qv7PgGDZsVSKTn0d9ue/lCeucsdS2i528k+CY uWH9VLJPNWiOBky7O/lqDU416CCykoK6P6BbPxT8Ag+P3X573b8mCaBAH1RwO1u4S5iiTT4ebLX0 XdsGcBP2gD5HUbzdSNFNVPKWxryOI9S20xjLt9pedv+/89+wDYgU2aIq7Yeq1GCWtlvlOoKq20iC BtC5FHIO6Jv6kd2G3jZI3FhJ6pUKXxyMoHt2thP/yued+sZux3c/G1L3QCj5FEXBdgMH87xtNUE9 VBuKobW70CPU1CDfDSDkd97brSRtGuxpsK22EDF1s708U3Z+Dvr1IBuFBTLA2l5JypO6He+sN89I ciV+7dyGOMZ0TsPql/6pM+XyeSe9/a6T/BAq8EPBe5ukKNimw0srzeQqM0QCTXXwJIvNqd5g5to6 iorbqPduxNTDB9trWKRwccgUDijQ5+CRBYkCGBf9FCd6Z7CF+LJ/9tpyv4OOo1Ko1ItTeJTPO9uN obbvNEKVC/7722p757ox3KB8paaFWEgpo3bSgYk8osTd9EvtnepmOSNIbTxqODg1IuNE6uLCF6KS qBROU07p9Kyn7c905J3ohpuTANFF0IcGP416Zf4GTdHPO8+Nq2h+32pwKWjLL/53lhujY8Jp5XLF vJa9GanK9+ucjlabndhhYZt1JBjk+OCMpASZgDbl5QSqzSK+D4SSnLiWwMt05iQBfu8kN94w6LXV QlXr6tUqyODlLn+ZhR/yPc7Gkvh19UPzA4UEm6QozMZJiu5ySXb1t+0Ws6Cx29U/ErQ0GGa/E9x4 rTHrH+S3D3EiJivbYNxOuJZTf3jXzBaJHEFQhSnIqi33F+L4nd6Gulcn/HFRTX5Tp1wdtlKykWxO 9vl5p7dZBGrJPw4veA/OcePsd3Zbce2JNC/Qb3Qr+oM6krI3bZH9aymFte2kljZVmjk40g9CrjNT PO9MVz+/yRZfEpJwDZLinfpdcnLAFv+8c9sIB2MDALkR2rbQm2VNGyFeYqMYpj+fd25bMQmJW24D Ie9E9jeMjCJt6SnQMshM+Pp2PbglM9XOclu3cts7sY04Ic6SQNnjAWXj3gG3Yk6mR8ADNGoxkmRQ gAKGxtA1dKJQwDuvjQ0z5mw4pW1QOgLFNGmgns/4vNPa8JL5u5CELQqkp0Mio1i7s5Uk3VGCjkXK o8JZZd2F0l3glJ5JAiYyrm4TVJtF2srXh6d0SICdrJ3U237uboCfsJQQ1GXIN5LSbEuJcdnnndVm yGHsOJ7gZ5AAKjRMwFv9kRva553UVsTctUnC9GaA6EHd8GkKsSTFpHCSsSTXosFlhr7B2MaS7Ki0 /lSspXdSG9fSYjVSYi/lhtvw3oH0LbSDFs7u1HguVaKewVU/HzcclpTpSPJ5J7UVc3YGkbdrMFzS ucuBTcI0tbQ+75Q2q1F8tSVhB5KqH0vvjDYs4CkVhSRnC3SJi62ls+HcZ3ZgddhaiurbjVEkCRU2 eL1tjnPjZdUjUJLGx6ILgNY2hMHoHvlkbl3vG2GQCSNJqfkghC0Yq0TYAvPxeeezMeL2DYd1t5Bc P/i/dzpbMeXrlumPRAG4Oc2qAfwjnuhAw8xSzCH8nc1W3N1iCGvLwdtJDUpa6JHCaHhOAwFUFnUy QCDUtLAwYJAH8U5nM5wseiRSFuzeT4IzNBkC5ygv9fPOZmMUkb7CAACpQPnxSQrL27gXT0q4HJUk AwFecJAdu9ntMI2Xdy6bZ7drg71ug0P94QBOA96TJuWddiNOIJEqgjj9JCjTs1tVSd6pbMxuqY1X pTFRXTQesqA1S8eztc87k40dhO9QKSdYaAN2YJMUlbdpRQP9FjcshkCzBZRZshOEk4BWyvvtncjG yvF450VW6NyUc+5QBwdzwzZBQsY2M+pP6RrxYrr4HREhAn9Va+wENCVrRO7XRKNDnp6fdw6bocu+ 1hH13m7v9p3CxvoTwSR1mclsJQheIUCTOABDveqIm3cGm282UJeKD5beApm90pC89zmfwAAnIhfp LYDdi9RRRdxaRlFlm8itTM91G1Rsg09ekqNzq5939hqPs/x1HqHYhQTApyiKuInlP+tzO5a0Ks9l ISnlqzqFdoKmKI64WYiEnYQNnrqdFZPOhkMsmWB0OpcU4VKHzCGNp2+zDZvn885cY+pFgAS6czZY dpvtN4FB7ueduPYLuNVEWGYL857ZYbydJLZx01sjaUHrbZLuxPT2hAJG1W4haptSU1uKlBy8JVmR vzYGSee4WVCM5zKCMgHCSYgrmOklakr8joCatZWNyKJhGrsJoqCtV+u7NTwexJH9NtuSgk6kuN7c bmG0nakGVLYX23IvbnrZazeZN/TazcGiRXVtldCgaOqDzxGQtYDc0F2ioFpJEZNMlDL5z/PW/u3I DqEkBCM1SS83l15GIMpPxUIqA49H4LbxdRyByDN69Yykh8F2oU3TotQ5A8pUr4SEaMiUkGgO/u/v wbZij7PJGkFGHPw4goAccXY0Bj2nE6m3xCjj//eD+NpCbZkN9JgCSQhJkYBEMZBBgfbCkhrL2bx4 PMIj1++k7dwOxEfZFEWBNplvrTcD+iMgNtuh89swWrZaWzY8co+QJNDhOD9MgiiCBi9IdugAJS2a ExHPRTTlALagkQKVmLipAECVjR7VtSHiQJhN8UFzlNuUvVWtJx3pUZydynddGzgOahbZHMV1bR7Z tXklqdw2G86Nq1YGxRIe2T2qa8s7ldhTG2yrYTbOvbwZZ5/Fc7ZTZc6GkJ3YdKrAefovnlYUZ4MJ AT42HS9PBoiX+zFFeLVsx2wFzweYpNm+4yNAQ8e+VZIe0iEFSirGMcblP92MYVCklso2I/Hy7/yk KGeTsAYFEXq/gggn4konvz+REZWW2ybwDkVbVFELWMOg2XrllhqO+JZgLTEPIXeAQ/NKPgjN8gXt HY8H5/bv2jYUypBM2iRFnEj2cc4Zb8qAUCmjugY0oifRmVhLfdZhcgg9jLSXTIpT88Gu/5T6SZe5 uKGMKtVDMCVOSL9oIQdhNxeU4t3Wo+r2Iq227Gxail0iJIB+za5K0kna8HwwSeM7Z0OaO0HcskkK I21qRoyUHG+byvRIu7XszgIwGrGVFBIjiUmGb4cPzrehxfyitAYK9XT9hTAZgirI5gAIfQ8lar32 CLiNuiBdmRgH6O9TuQ2FHrL5ToyP5yP2sU8S+0ZYl6Ax+SyFBW42AXqn9Tfjbqw/GTeWQe89tm0n RRRxdMcFbmooiqbNwdL/mc4qq52R5AJ7eFXJRaDAWTP2BjKLy0qaet+/SkmjbA7EfVuZreJmwwKZ J9/BBwSn9/yuuMEnaYAjbtP0HnBDG0CGC7zju/5xcMYKvE2xzTLKkmjNVe64KODedI4jSNsG7wOc kzzPxpbb2RWLZAyQt1BHTWhffGvlp2rvG00TYdudNuEcVCVZoBEKUJonHo94tpclKdLymaV2UUk9 gm+TQVVH7s1qSYWzzVrSkAtoJjTqbrkISmJlktxs4FYglKShLFlZJgHpBiJAmCVaYWXCJAES87Yb OOb4lj8QpaB4dx8UPKdUbFWdCcPj/xeoZEb9YdRbJ+lh0E17PWrQyXhhyXtwE3Bj4nfnDwCQWFsu KnEL/9D2uMMlAkA8cxN3C4PRAZPEc5PhTsxwXcN+yV6WHKST9ghO0re6kjQjzNnAhti4kw1DqDdM PB4Vky4RkAag6HmPnTxgGlHcrdyk7KuEW4sDSs4fMPRvySm13GRERW4IOYAEwPCbg2NuThh5ou/Z CCghqETOz2e9UXcd7SWjlEiqe0RxN8uSYDh1H9QHaCdJlIDU2d94PIi7Ly2Zr0Z5/BssjSjupnzU iTOIcmfxTc0SGjLtZEwAsK1N13XEBW6hbp/BaTdoIvZCkhs0KJq8ZDsgLxsSrycOSFeNDL/VZ4Rc SV1xs7HMjXucK7Ymlp8JTW6YpLDAvb/zN+LAnxx3hABuRpSJ6ZYmKV+76567aWxBYc8nKSpwM+Le zFw5UIcWeTaaVOf/yLs5Mc3AFiN9Cf1KbLSyHgcBSP98RhR2I3oDilA+AimbPt256pqMuQDl/Yyo vP1QkwiqpEnk5ZOOEFCiittyEfOT8Tcn3XaqyLIoCfdA22pR0C2Rn52p6LolODiIuSkg3UBAC4CS BXVaWadu1AM3LATaDQFQ4cF3RG0Szo0MnDmo4nbiga3rCtJ/Iyxu1++QGwtoPOytEYXc4DBklp8d CMAuM9O30q2wBLJEMV7yiELuSTzSyqX7YCE36goliQYItQUQlSmQeGZx0GcEkCLj3E4y3EZU3M7k 3FZMKgYgN3gdQcJxq4yWS8bz/ypLEswG0lpfF5k0oph7TFlUTacmlVKdLAG3E9trIOjbXotiblkK jcbQm4M3Jkurs03WSip2E0qBZ5ag08J+10pXDJ+YaHxHkOICVZkm65Ia1CU5MdgQeOvcwng8Qt3c iBuRJBLcdrU2RojcnlQjIdVaaQk1dXlm52Y4k/MXDpeyGxFyu7D3sRbPGg5eBwBkBwrTzN1AHs3a a9RERLBTyoPbrnrbv9aR5FowtB/Z44C5QCYX09sRBtsXJ8nTmdp6t1Qyouo2jOwAERrDGgA9Tz+x yUQ0PMlwYfcRBduAaqAcybNmITZDjID0NkNSaxBtWyZEeeT5gogQssA/3Go2RYj98R1BeESPjqZQ uyFKFpd4180aMLXKO57/V3zE+Tx/HnNWm6Mo1B5SbO3pS9TGdxqscJ1wM7yPNP4OtZu079ujfY// Fk7MJNzAx0kwwZlpKIDlWYX/11ZjDDnCSJuSbHRYhccZcagWOsJVF/94hoUPCGoA5eK2WTEg7oJ2 S5qnMNgujCIZXvwnJtfyEKlN+7eASiwTdB9xhZvKmkjHbHCSGwwszj4gMQkqrdDOxcRh3SToKEG6 4noE6OAOS9yNpnmVSnb09mSZGApyhQX5EzvnguejWsmv4uRZjQvGy5qlGda4q+yrp6pIuN1AliOg pCfTa0NTmrL3nZ8UBQCSRyaghIPF2qiVANTGriREuAeFyjKKAScxgZZdvS038m5nCCcRL34TqctB 5/aZIKJ1wJaseDyYonanqHHdNcSdfirNKNimd1LtCVfWf4mCScg4UCnBksZ04Q/IiAuZts2wyE14 21kzwwc/uHHQUeUEHgowMeFK6tRvScBVAlv3wG6X3vevTgD7wDZYcnsCi6owqRc8/s9jiWdYA/D3 FkpmFG0jI0QeMoefS7RjV7TtokCIL10ucoZ0ycp+o1QTOHgEQBSxnCY61ieovZtnL2DJk0iJdjE3 1EuYcZmbYJsuqHu39YrrwCQ2UWXF49EsfesloIq2Hgb3jOLtTFXy3Yof3sWTNYDT2uWUAuasSQqL 3JTTmJNzxcHbbhOyLYAZ0PwNsXcjCGDD/A1br1yaO0iZ/I4ITML4KM3pg03R2nVJLaEsPB7Japav lCQDSLLSLd/OKOCu7Jd0CohzjhYtoBRKMmpmv6RDekpzFAr/VSW1e/tgc3QO512Q9GO7oVoCYMlG tovyLcqgGy66pozsrxvstsR1xCKJBqGTRwImE993wi88HrQmL8SNPYNz1Yx5+wAzLHGvJBuAaX2A xYYflQCmLjfWL9a2WHJGYJJOWPJKVB/l4M2S86cIUXvWEavfSPyhkVhg6lB/1ngCJZpSzijcrt18 O7cPHgGQeYF1NAYej6pt32ASxO7joW/PCEyC6AZgkuryo2PubVMEbR2LJQfoIZqiqL5txoHwnLHB 4ySIAlXIcAAFcCZp0+MzgdkOztKP9LWFcUeCiu+IzuxCVhu7t6TuqJZ/rjmK4p2VMfiKQdb24LY4 H7j4840lZxRvA5vLIOYqIZPgqEpbT8srbTCy1VYLBQDZbBviJY+Hl1zRikpg3RAned4HgDZokALw Aq/cNZ/0nzyAGZW2EaECsCVl7eoS5JCCGYop1+bjEW7ruy8J3PUotxg5IzAJPaqavGkEuOnFmVsn uLuAm+Ld2xmF26YC1OrwwU8jlB3REGNimxDt0V+RhzWQ7wW1ilvYTnrdAJWc5Ge6VP6nGAbTfzSn iIQ+X1HxfCS6ccFtLIOzkHxhkjOkSQJm5OqRYiW7lu0Jtq9WawIUXrMUKpMgqT1bancfPIxEvy5t qm6cSTm5COVbiEsejZbGfd1ZWva6fxHcW17DB5G3TnJjctEnuMTjURzpKUmpoktgLV/djRUF25Qs PG+5rbAN8xZL3ca8EPezKn27rQhQMgrXkpGTx+NBeQLsDtqwraUTDlB7Akrb50iCpgM7SetRAVhh YTvrSKIYNwdhbs7PmLvwbbXi8UhO0k9tFp0WygnTG0krLGwzH2E2rsu/K6WlK3zNTiYdrdlCWmGs Tdm2E98nH7zdVvqG0TIZJR0KkjXRLbDjV4RwaisXlgRdS3xHVNcmaKsqlARhd/ixDdQls/pa8Hy0 2zwhYZ8LXpKj3cL2ikJtiMwi1XcNgHOCL9e1HWXfKHJMX0cxooR4W2lu7MKWeiPeduBnzk0ogI2I lUkwllODUuJiJSm7wl3DdwSF7U3cdqbBTXI3gXP3pzwMmLT4+L+0o1WZgyQJInyboyjS7oKTUMNB BUnhAcjfknULCpIDsFhe/ysEbkt+PEt+PF/58XPx1DJIsUFi2xPkknH9n5QLOEXEAA/Fjfi2FVe2 WdI+OQCBEoj+GfqdNT8kwHlug4Ln/5nZItQGxGXsy99aocQ2kQptGsqWVC7z3Rq7FZW5YaJVjL+1 Qqokwcgnixo+GAbgpLxjy+cXlNs5yDihnzDx2z+srF/IzdTrBgU3dm2LxNpZYBZAcpywWZfbOXQy no9q2+k7syU6Fc1Ym6Uo2KZjUKUriHm19Ja82ebCNxBbzxZsr1CYZAglykig5pvZnvyjAHfJPtKc S63DMxt0E4Ji1g+DNDO8o/UeviVC3fA42lTa5qC0DTADpW1nteLxCE/icZKq2zBQzN6RXFG4Tf9W CMNaRgJG+rBJStUF3ICTMB2gFYbbvNsANPfBM9uc06KHHpSAO6ARCCULcSsJHAnUTbyURJU0fEs0 SRJJll57Zl+LklJzDmklp9Tw+L9uN2LjzkuefX8nKYq3cTbTBnBata1Wz0kgVGv0rRPaLnNvWyF6 W24Rk0SJNvvTJTlhe1qTK6mxbyv6ViaYBMzxk4pcPWnqB+BbIpgEk1rQZTGcX1HIpBNLJplxot62 wgL3dpK7igUnZ6xXUWpFEXcjOggZgkVJqxZTuIGGojlInZhnGzt5hQVuNkhQP/XByVtnYWWobXCS 2jmxgZKAalbGJIE5ghqbGrcFqtF83z8A3OfIVZLrIDGobUKTiffb4EtGwu03LaEVIILE1W87aYX1 7b2UsLmm1JbQ3aCxcve+JMyRbZpi6W3p3O7kg03T2cHouQ0aAYIPDPT/JgAboBfUFHa9jJJFveQV xdyykEiAXNmgquRJGiiRC9G7isejtZS/szcUaoDP1iTtKOJGrRDsv+T+t6WXYvW2NJObKm/oWjNS 2iFfkrPzv6ZthYo/HY02UG8BvpmYJGQosNkhS/laurK8vaOIu1FRiqpeNgj/f8L3oXRspIXHI9LN LQKIr8tCiTe493vIbVwdeAQ78TbVW5OsFLYw9pYLJu9Ym0QgGvbeOHiGi+sAGw3X2zizBT/rPRZ2 IG6lzbTiXm90AdghiNtRJM0HQyVBbpDJ2zwZ7n6Pub8lExkVIS8C2MwmKQRxd8ncJaMmteb2UQWO 9d51a+hRaZLCkHtbiST54AW3nWA5RWoSBKUS8DUobkDkhpl8Sd51K7TnxZf8VXFrcknkIKTEWbg8 zX7gd4bHI65k+zq482A26YXbHXIlqVq40vBSSe5mRkY1gOZgkl4NKbFDMIlKJYmACQ42R2j9AsWd TZpsQdr+bDZYfGy6kCGE9mYSL7cdxdy7iJyUpw8WJgGjwkNmYx1FaJL2W1UaDcl8HUl2CODmZst9 umeLLgx5AQg+SVMbwOQ0RyGAGyi1NSViy+EBAaSGJjc9ScqJVomogAfZj7zf6xW5QQGOb/snL5mG U2cAnJK39oZ5LtcBlOPx/L/kSZQMA/gFzXibpFgJMFF0K119EhZdZWwjOFeVPomxSnYIJmERgNrO NvhCWueSTIvoNpAmwQnEJIGgPBGUTYBJTAig29sGQRKlpCBQ5YP2GshPU8wJnNqhs81VuJP+JP43 +ME+SRGcJKlz26czASEAYZNUlZVUCm8uQ0nuUAiQmpIvzOSza8fZuAyTzkeeCcxU3h7gKQIqyb6x 0dw2kDr4kohQaiW35IOKt/lEqETc4t/h8X+lbizk4KYciEdtkmKBEma1EiQjhauZMDDVgJMmaSRC TtHe3iGghH3ttakHwMEqbnPTtIK45IyScBGjFOyVBqFv2PCY7ibtivEdQSSZ6ULGcFuDz9EqSfDQ NvB4kJPMm5PwGmvAJd3MbUfxNvkDLafpuy1nO8ELS4jG4Ro0C+IcxYASEpOEBOBw29vnmEMVkjr3 Czxuikphe/ZMv70HT7Kn3vZvE6DUfdBuO3Fkl+3GyaLx+L9oN6yFN6JP7oEUxdqtGSK5+IEk4B9P bTqnmdNW8QMpwpJMYkns7+fgB9K5rpCXEyZZKzcGoihEgZ2KgMUlNwtvWHxHEEaSSjo3kSQcFGnD EE6758QseDySuLsIQNbCAfjp61EnT1Gw3dRNEoKUmoCTYG1oJUjx+z/+CYPw9q6PilIShpCbrFoO Tik5W6wjhQalpAAN0GiX1BBvFaTxFf1FSANjLa1tbxx4klHDZQMlhgF/uBkC9VaImTnnxeQHRJDS 76xEMti3p4QG3B+0yfOtzGBpqk79JaBu4D63THoD7nGmdp/CmFvebaMuHywvQd+tophEVkmZQNCR VYJ880RQcN7qjyQQY258zV9oiSV5ieVwA7QGzx/N4/vcPnw+yE1+CUvAQPhnPewbXcyvzzVycPMF uqfaLpdrpeIY7uQmkkRYBFcIywDyUOLgxbfz+2a4t9D/F+VsrL5J2SRpshdoA7oqMKsB+JoINMF4 ctAeKEPXqlvAfX5ZYnGQ7/IDgqCpfAsDAC4z2mMykaKCt1ym5kxe8J7Tze6gB9SNElDpM6uZig0l LYFrPthM9Qa18s27Dqxl6rhCPxnQqAKkNKXRPI+TPUCKrW8QVvZGNy4OCsChRsetAxQjn4+o798a ikCrD5zQPlFRCJ6o7Gay27SakC73pm1iVbeJ7jvZN19U9ZZg6UAEaINtvnKOvkINXQjfJMqXYElx G2QoPdKAwApMWRZBKYKYoJIKNRdmvBysdHLuPAKQ6obdRAoxJndFKUGGrxzFlJ7993fte6pqwmZB Ss4STHTo5EmFZeFneqzKTfxEIcOdg0cHBbTHTDGFE8P0Jv5bTzypqMC76BXQ5PC27Y0jjKBIAvIr r8ZGrJSNYdR2MiM9H5jdXl1uBsKIac7bPvsvjMdZaqrFCrpQeWOmstl87/rH8y8RRtlMRQH5ZNbS F2MFDl5HqXStoYHZCX3WkuZ0gRgyhDr2D1Uj7UyngQS/5o/sDi7ewwdHU+YhM5sz23z+X7a3m4sK 6inICn2mYg2TxGjKrjzIT47sGxCBbpb8ZL+e7imKyrUB6ZBog2/Ak58APkDn0g24dKGzAg/XmhEt QEndTqpSm71xkLxQZagDGs7BLHHOETu2nMrPQ3z+X2BBIpjOvbceUAXT3CA8YYm3GcmEMBSrhK+x nHqyisTNaBwYKZlUKQf2egdLX9I6eQI9LOCuNApNXc5GoVVim5Q0v3BBmSulWKGbyBwdVxwEhVsw qSV2u7TB54OtV7+DBKIs0ijPPEXhOU4H4E1r8lL43o7RSev6mWBB+DzF8BMyKguVzDk4aqBSC4fV uX7SPaI9z77GGU9LkrP+HTZQiALkt0SlJ+p0T3IsOFgJszXKrwJNPPl8lA33r52HFia0rH2eQiNK qnvB88JROtQXNUezZKLCCDv7tVgMASjEey3F6RxsPe1U0Glkz+DM/pl+YeJAAOssIatCJ98XmnLn wIkSq5YQZhSsbFBCDICLxLpPMsHnoxPqm6eL3GvOy4zPoRUlpPygj7uqZ8SUR1JppU4Xx5nz2uMF XpRuarJZ79ZgcCa4UaHXSHjlgtwm/5OO67VBvROdMhfGo6VJ/sOKkkqdnVde6sOnCWRg1pSQUvL5 IDgvfpAT94w2X7kc1BybUZIZV8cjI8zckIWDsYbLCCNFUOEgh26USyJCjXU6Dn48weClZgktoE5X We/EqQh4BcyESr/zVJq9cATXQTkTvUsNoliCGtfzVM+3Dj4ftQ6u/mtWiQ/i789ERYVxOqLiMPGJ KumWD1rjbqRKTruunaEn5YDWws6QmLDB1xME3hpsNc91AfcXqlZD5pTw2nM1zytIQd87fkm07RAY 9E7MFgfB41JiUMzqceXz0bb7bQGHMvG+gXnoSrkoSMlevKmbi6SLYh1cSDRNJxlC5V3TFFIt2UBY cK6wwdYTwNUVmuRko56/RyYxuVOfHucj6vFWipLiUg6NKSGOBWZMnxqGraez9umFftbT2Hw+av3+ AhFAAKKtZ54i+e6uXlRdt2Aniaom5Y7uBTtVo7o+KrruxNolSG7nC5JDW6KCw97IIjjBYWaTOKPu RYK80JYGburTXvgPmFym+4ENhiQ8/7jkA7sKn4+scr6tO9COqoAP+zzFVjmEpEghx+ipjrZo2/nf 52rqBt3NoTmlSpp1U+mEg8eZbTN8YpzZkBITTEhu/DlWC4gA9dbtVI4K3SkBdZtpiCbPQanL+Qsa c8vWuOqjgHznW9w0XN0Jospz34UaJzyfmiIAZsS1X4BTZV8YvzV2vU9UZJfTWR/vM28fHJeyBqLM xTY5zIqJOpiSQ5FE1vAaC458e+FoQYlQMJcPCjRhBLPEA0uVz0dSMN/WgiAT0ibD5ymMx1EIqHWl ZECwKfgOilHwNHZKAU4wm6ewVL4Fp+Q8cfAFxRJUYtlunO22Ny2qFsq/GbAYMs0ufqc3e+M/pPMz FepsUOUAPgr6M8+PwecjxfPvE6qcBTVKfgLNEA6OQP6cT90nakMq0WpR7SLmWAa0iQoDct73PZOm 0vNVzwdaJIG7nUzzrCyRwQDZhShr+6FCwGU6TXvjqD3FEJOhgQZF5HDAXGorpM3ng9SlfHNUeDgi pLul4NCvEtVyxAb90lRZ4ODe65S/kfEpZGmUDIeGlfgbKQvffHC40+gnr5gMoqAp3basqjLRKYAv URveWlTN3jfqv/BwamSrEoatfAW5sKSqz+bm85HO4De0AI71+Jg7TWHFfJGGUWlsIm8vRgGUf9ik Y3YdUdunKQaGs1rXZDfYvkx0mYqBEAWqCrosi8pnwBltVFpWv24Mi528HFpWWqhp1hV9GbgAivNq CqNzy+eD2mb9tqys/Jh+r7zYs7JTyLvVakWoTlFtllZadU3v81cWv/IC00qsJrLDUVa1wTZeabPN qkhznCsOcFVsvMTaZq/tl1xVrsneOIg1FRtslssr9vC0JCSnyipwP5EJPyAKyp2xouWHtll9oqjA uBIdCUaby6ThMrIM3XRA0y/dfyji5bvxQoQ47c966XewqQJmou0hF9SzsUHQh+EAALwAWy9HrNqt t+2N/wIabCATOCRtvQKdH/JQYCDK5yO2wS+pWKxDqP3emQoNdQrxvDSik6FOcbuY2QlEYjq8eZQx Lg/sK1FOZhd91OKDxZsNnIm65Rczzy+wKOJIidjB2wEAb6+XU00/x/6V7KPnWkwR9RwvEq8oFZrY KJmc34MfEHUWPOCsFh+cUObGUaGDZZPz0HrAPazdCrci1S/DrXgCE1pYLoEx0kg+OOmg4IAdVNU9 24x/E+p1QGIMRJ05s1EsSGau9sJR4aDI6SP5oGb67llevtDH4PPRirpxlNycoF518T05NLFsLGxK iJQT1eWMhkLU2s2xq6w/2kSF4oMy+SrjDl45SCch3jOZjPU5FAc7pUnC1hny3FeaaU173wCYQcWh loj0bXKuQLyJjJF/+Zmnzef/ZUHEiAmGqPmpQ4Uulp30jE6zNibEI+Xu6BW6+kh4IKW7nv52jp+j DR8c43NeoqFvQaIPRPYh5HSmHjGfWDc0s2LvJds8hQAW9j4LyhIcujCWKBAq7e9nzvh8EBxcDQv8 xxBg7f1i6nJoZAmdbGRII3lCTKUjIVhkR1xo0uAIlhw4WbrDztT242DLiRYfo9m+q6CK435MicLt KBfXa68rxbgcOllWsjNLJ5SFg+orY5/5J8I+o5keWFlKs+2p12Vo7az11H8DM0sY85B+sLfXNasz 6lCBtGonGi+oq2ie/jaQbxK04OB18nOrZTplw5S5z4oPg18TpDvAY2V8yzwGmDF/4SDYTHRpXNLW W7hHtZ7OMhKi8PwSfD5C+/yys0A1eV9AVA4dLenNA5PJZceTeDPi/OZx+y6J2h/Yd6Glpcy/lujR HPx4gpExHdbPvkvA1rNWBXjsD7HF0CxylCZh4zn0tFR9peCetkHHOIwC+1MmD0wtEZN/axBgG33Z WuXQ1HJLH72N6x+bktfrYLhrx1OTrQynKYrJF5EzU3REDg6LAvaho2XP6y4DqsF2AhStqH2fH5dd OTblwNZS5p2IMqiPUl01syDhK9UcZDaf/yecVQb0IHzuS2rNsbUl/vBz84yr2ZS6G6SkNZ2w2bJr o+TQ21KiTbCh9eGBHEC4pCeyo8qiuMeJNVE/UOEAgATvePbqbxztvEb4SqVgOtr/4hSceMCyYzCw +AHRXH0nxFQHTF9bL6Rtsou+e/fIoAxX20M5zen2sG3xmYqjckKikqzS02OVDlPiluUlM0+cMKGW vOm/jAQGwesk0r5+ddEDk0vICtBGBpEtP2L+dLE2zhWRJJe+RuYHRLvvm7uJZHPsp2gX21w2WlvM 7muqLSeTr727FaPAJLprKjaVJ7dlMNUzBTwV7dClOr85beXOauuSua6oRkLgKgMZdRl3qCLoa6L2 sIgb7KJvVMCYclS4E5EpAEGGyQ+IwvJf7bxKZuJFZgR2lyZpCGEfFyduY2zHJUJzwjAs0KJWphf6 XVp7eD2DzxT2xRq0loMwGLzmgczANXUia8Q10Pd3HlDq9sYRpWy8HFS1AXnH4BOSkXw+Kpj/QkYB agBshE9UFJYPle3cnZAVPFPemWaiivrmBknYllQEYZElWF+t+uDVA3DISXQGMgrBNLRVT7gJYhWk FDODKGe5dHvhiFPGQGqKNo1NK0PHytCDWLvEFRXCyn91FhC+UjDZJyosmOPCPLd0cd2UJq8C7D0U Ih1rV26ZJTa+1FJqInK2S+SEXNdZYIM3X4c/aFLtALgDxNYV+cdTj6J3eg6tLxHSoKdQ7qAVBbsx /o8T4nQ+H4Wcv/xBAQ6pD4IltL4khuPk9tnl5U7AeaE+e5l9GvzPHJQYe1/qkEKlwwabqA5vzYKZ w9bD7UTttEyCDu3ACzyyHBSlinloftnJdZmbMvMcBIpCgCZzsBPH8fmgHJW/K+YZcKa11zNTYcl8 UBAEzSrJ8VNVWArqaOPKsnDWpxwV+l8q5swqmedbMu/QCQPToYtljvAT8Cm4GbFkPWk14910gsr0 xn8F5zut6gNnaoB4tbQNh54PIoRr7jCkSw9XnivskEMPTCQbGehmN8E+IZGXo4rOLiSskMSysDMw wUTiyDY62hA2aE2do/rciQVQXxQPIPHZJUcLXecCDTOuKBJfBj1ncuCBicOcSfGU+tVkDJkYIhc5 uAp1EJhgYut9Y8wLA4x1I6nQA5PYl3NRb+csdJpQ0prnXFQOOphksjPZC00wVQreWeZ8RKsqiUEZ LdNKDiAWyKeyxpKhOTgmiEfgQ1qyNxRIBSaYKvHDlWdqwH7QH1yz8BLU/eAHBLde+z6jkD+N+rSJ Qx9M/DfoJ9TrYTTzrdoJqEFEAF02NVExt5NVlkrg5qwXuNlggZ2T0GOVHb7Cdnqiz9LJmnp6wGPJ 3zcCI5LXkbeEVaHEWlTdBChKl15Z/IB/CTwuO8uZfPo8RaF5pcRzfqqbKptJ42k151Iv0ii072JJ lSxB/umDLyikyGXTxxjWtlPtP7SXME+I9W5LD+19ve8fnSrYmtzBwGM7SQbzrKvK5yOQ3S+9MJxT uLF8mkK9cEpRXZFwqIUUs+iZEkahpgodunTlxW6YhM7RfdEGb+jVBEaHep9pAeXcGUQBQEBi3p63 ejDVTA/tMKekwr8GVQ+AI1JxEz6GOfTD/LIMwXMIn/oDww/9MBG4oHrgOsbnxB4uYrQp+skeMXyt 7cILDDEFiKHAw/TB+QpwjmqZFd+OElklgZEMpJwAZy3AJV4Yy7Y3DnhVVWbG5tR3bgBySMDS6RJW ORlQ4we8n+Rrf+fEqKtOHMQ+U6FTD03WSk8uHF7Snt4ill/WIGd4V5+pv6mebe/hg+cvJ9U7Bzvh GfDs5aLdYyUK0OBuhqnr3XoUocuBNabrq/c1BZtGvZtBVDrX6BAmDMWD0Buz/DI0zgB87fp154V6 hpvh5s5mj9W4MUwYa21H/JQ1PYgK7DFx2hHZqmbxUmubRxRcbhKhn1B9mr10A7iqO3fChPbM0872 vlGjSppYzPM4aOudrdBF/N2gCgUGmejM/bKiBW9nzdv7/LxGUBVaGgwwk5GC7j/CjrmnC4x6NA3/ P2NfllzJjiP7f9Yia+M87H9jTXcHGCetAtJ9H83q1xVSJMUAMfjwmj9Vt6FB38oX724WmPGgiQRK BywykTvMXWkIinYLRWil00tH49fsqQKqI+twUfQkPc/e5gl/BCa2E6c+r7lTBbJJsUkohUamKbtQ DInR9kxhDRiDttrkzmM8H7+MxDGq3l7dBdujRLwjANviRXCe547GV3K255zRBpuVPZGJnxgCOaMG UR0ZPiyFpdf9Odll46wzESLNRftD2dypYhhPv+2PTOye/QFHe6CJqv15TZhq5iwpQ4XB9bD2Msqn ZCYtHlWphiX8oOD4DLLzuyTD+yMZDpcEtM8yJQzWTpn0xopI0tBG4jemtHISQ/6aLFVMlJBNnvC8 fVHLt8HWk0VK3+3zmilhc03AqEowHEDPDp1G7c9rooTzQ87iKX2H98XrbjbdbE6zxhdeDP30miZV 8PCa0PXLF49BEOelQGuDWFQlNB7pZO0QosQ1Ve/+4Kv8vCZJ1W0eWi5SwgRXr+r2h3s4ARXrhOrX HKk+4nyWW01qMy7bn9cMCQ8tivK0q1wgfXsk3Js4U42hEjBR8p4Nzo+GdT1Rb4aL3fsnxYZzBUkt UC5C1ko5NU0sMAgBT9I+MJZIn9f0CAGoMN2u8y7OUuwzySOtts9rcoSna/kOQPhYU/ED9Joa4auc 4phnb4JXcao5p6sOuFhQjTNX1WCDJsuxPgnv5eLNpYXWRqP8Bdj4pX6Rg9kRKsAO+DxThqHBBi11 vrPo5vnSzWmnTguDvsrnNSeqD8Kwqm0O6smE6qt26DUlQlzPsuJzp/CWmR1L3TH36QCC7HqzrwkR dog6anA59MV2aOOrwi2DAmn0Lo1MCK3DBhNxAUmuf2Ms2V6zIXxjhKO0LT1VZJ2EXlbY/Qqu2nvt n9dkCCHs3mGIj/gjmp0qBaWjGF0l5+iuRWhf2XTg3MrD1a9Pwe4i6lGMJqhpqF7l4m03oP9GI5Ie tX4lF08080wCYWnp0b8kv/w1D8JfYVkfd/gi9GWBa7wknXb+vGZBfMV7ibGd0ql7UW2HXluT+Miq ath2QQO9OykDxGNDNaH2NP20IErLHqQJytseKC+G4BmqKUvY8AoxB6peoUCGBt0PGYsGlFtdbxvs EF3Cp8zmptqXjGJjLClfZuiDBjvU1/Ad4tHDsd7IrLRFrz1JHNyeJQ/+qDqbLm/ZmW7oikN1uVhR lAgxi+6JQnw9PUJ8GLQliI9RPwCDvwS7QjU8Ic17ftN6AHJ0dXrtR+LvsBmHFn1UJzmBakLCy9FG a/nz2o1EFDOogL6ycwjPq0LlzVLFKJUGX5zKIHde2erVc6KZu+4yYMiMehgmi4ScJM4DZrqjpbog BpVx16G/DSo50spzDyTGakCoW/2agYseHZ0jCs1WIXSq84cw/EqVekyQ8Py8E+qqMZm4JzTkHXQr 9Pv+nU/HLyQJKGAX/rlfrJaFQsh2l7nWsuMpwoyaJLklnMB6cAItYRx9CmMOAYCar5xUNhT8sEGl mEDxmS4/7887n66aXWGuIIjZohkABjKsceC59Hmn0/1PUo3Z12xP0fGeVQOPOniP1aueVpeDc9il MD2nkoqDvYJN2iT5QtTQlwumOGUZ7efRhcQIVj5zMHkD5vIHtFlPioZwu8EWnRuLqmmCWC5ruZwK H0U/SRWnqPy8M+lwIsb42qKKzm8hx55bFCXWDMUNI0PPi/a8Wvx5DMflUCxPgJMgZvcqNUfCUbk4 vLIDbpxpDHpC60kVK10vMRs4KdP5aeCk+qUm3Yb33FrI5HNdJ2IouFhjrTSphJ4LAsPJKDOa82uP OrtF4+7Re3KN1iqxAEScC1o5ikNNUGPZHrXhMfudQ1ezNWkxq/XFqjNQesGfIocOEv2V2VPrtHTg ODff4ci0tw3CkRSds/gppFcIWXnuRwI/fsa5aD7vDDqmVvccsVOE2QSoIb5LUYbdaRG+pDHLm+2L Cd3WtogEHryV+O8pNmo0Safn7stl0C0ATThsO2FnwqYafSKVojiZaFNZ1B70Knon0DGA4iRhdOeL AIOlmqYd1GY/7/y5isZl/TpJmcVeX9k3KUyy2SZaxRzT0SbKDgeQfbvgALgBtElRlq1CjSQgW2yT cAWd4MxC9lz9mLdgHxOppzDDzbzaZMSbJT8QpdmoJ3GnUfqSi/r9J5coVHRuM+/PO3kOR2nU76ME Bg+yK9+kKNFeWbI72wWKWrqSziBzORSgusnsO3Xudoum6To6KpROPAXNkMJMG8lfpj8IqewLJT9P mVPqZ9frvm8SmvXgudSupSkAn684y/sHdm2fd+JcFV9Um0TJjB/QZoEQ8l16T7YBVqLkR0tXc6eW 28LuXX1/IE+XS35E2TaJlepA22JR6XxCFSZ8xiBIqdGvB5IKP0IN7PmMjrreNtgk8gor2KK26HM7 V3QXO6VDbyfKtr3NX80d/CS/veabJUXZdqfIHFRq7CQlNlTVVlvFLVQZiXWSwnR70EOF6mlabI/A oIOuIPfo1NCp8rBVzEMGsm0MRZ4m/9TrBpvE72xOQuO5cJMAqCpLfGYAJaJ8260v/HZrEO7zuP3O lsMeZQKE6iw+NGITmCGpjOugQkSDWo9hus0RyJDC3Hj2CPSNc4mhP32+NiAlE+vagV4+TLB+Mme1 JgbGGeQ7WQ7HnsIMpa7ki+paQMQJTzrHNX/euXJ8R28/MjNHCz3TroB7FGXbRNmisB1ekpDaozkt Ve3UGynOGnhnyjFLkoRAar7cLAmappXg5ROS4AoLPefNZk6BDkttVGxSSGIH8p0qxw5/o58ToUkL 2gMC144MKgvn/lBDeafKIe6n79uNQKd1a5J3phyOL0lNlV7eMpmn7L9ZX1mGCXJ4MmG5d6IcW3xo IRG6Yovv0oZy/jlFKG4hZoDWD4pb1IyVl5pEPsTV0VF6T7hRuJmoY+UCoBdnaql0ChJRi3993mly 1byHblCiDDCAJbZLYcZtM/8re53VlCTQbVf3LJ78qyFuv3Pk8PspZ7kSzxIX+97A0CIpgdrg5MkB CZhJt6N9K4gEV7qCUijvFDnvAWRYN/hiM/8KH3h2TGb9vDPk2Iz8LkvA/liN1sncpCjlpvzS+XM2 owvUUbObqLdiTdwTqa42+Ds97qYAYzzLJRKe4zFLk8g8tHqUAlAgh4YdY912rXyd3tlx1UwdkHhV X5Tv1GLOzq3V9XnnxtV8NXWgYWVRaabh3bZ3bhy/Uro6ujEBK92bcfexHD1SsmmgvFPjqvvxwNjS F6eeIKRtQJSBzIXEJVwdBs0UTlBEm63Xr9C99L7vuzQ4GDlf110sKMEih8OgUxx83plxPO7eKBFw 6dyvwHnbJr1n3O5ZbG1teToUR7/naQEdTEtHv7/T4phMZGK1avLFThJdU1Km2RxE3eciJKJTATmh CVFk7pzUtl163/egJBOVleXIl82Rr1IZUPzBU2Z+3mlx9ZG+rrJ2QieIBh+2S1HKzSkO+gveKcHE x9sA1U0dzk0yzGrmnRWHPzKH/DsxC+LiA8hziWEQK+D7WmLnwFGr/PDfmctDO8HYna8bbZKAAfIJ hbHzEDqynO+MYvznztifd1Ici7/vdhIAUBA88E0K29vgX9RFMIwq3JQ8KI2HnDOXDyHfKXFsPQul 1YsvFrkbqagUGFoNAbDQsicD8DjovIEoZdfbIED5nRJXZRUDTmPJvihwQ5VEePWTrn7eGXHM2Pf3 HiWIN2av3d75cLefROCrfW7TD9I8mVmyllvd3fqS73Q4fG44QSfphPSCFgeSbuD+Ki3Cz2XWKMVk /d1e0CCaj0YFAbfvZDieI6LcQUKyRe0kiBVzi9q5Mz7vXDjkouM7TcI3juaWj7KjhFu+F/THMTMe xWpARVLNN2zvbEXJOxOOrdvMig1gCAOlaYtO+rL73HQIbQljXxqtN4Qw8AkxqbgKX/hEP+9EuCrc EBgmqfpiBK9UJL0GQ7jPOw/OWvDfgyRYg6Nutz2K8SJsuUkqnfFIxGa23IYn3IsUDO1R3N5GVYsr 3hftESSvly6ydnaqAqwlSC7mk5StwlQZClSc+Be9brRJOECpEhnBRS034OQkTdEmH4+CtieSTK1p o1i8KHlnwFVXUE+aY3PaVpN3t3O507YGsAs/tXcCHD4DpIfnRst3uaARtGmbGm594o+guqUTb0b3 oRuy29DbBnUb2yNjMEXiogbAyeK7FMJPlvV5575Vk4J9utsYSrTbbnunvmGLKAq+VKxR/my0bIDt U9Evw7UPumoBh/zOfKviOZ69aWqVtMuJH2B01bXpDY7rB+Gf9T+8wXn68/SyjSoF/CW/FCSZjBUs KPS7Qva5GnmTzpPzf95pb/90SYjFPXGrw3zDNinKtSmD1KiKb1oUMlPr1CPOblaws3vMvJPe8EfC YHs3Kem1R0kPFqA9rc5527nuMDxc3EcMXcE7JR9eNrMYvX7eCW/cIkLZpbPLxcFHRWhPNOc/73Q3 Ztr34te0QA/4Fr1n2gYKPHfzdrmOWkd39Mhkd4lYcxS52qKot828CClo98U+tVN4LFQfxGdh0EkC PPwdT4rL27Lt+kxJtl43mCTJXGahManFwtFMgmudqMF3DK617ACboT/fT2/7fmtRot11jOSfTtGO 1J08ef5pLlAFGRIL2VFru1MGYEkgbn15XsD1EiAaZkc15wzBXlgVgQByUtP2Q/VV504S5PdOdKuC 7AM7wsEkF43b4HjAkwtHrc87zc3y9K+7HwRMpAy2SVGeLYR2L93v/l7ydgzJTN7/zyRcI89+J7n5 3b9WYc9tyQB+Un1i4AuulBlsbNUD6zZHwVQFUzjcoF77C3X8TnEjlI1iJkv32mKnTpsE7gVVmlfm S0Y5pJdseAx/Jipa2SZFeTbdClumcpWK/7X88h9E9PJi6xjm6iSFne2ioXbrvlieDYeUU4DQZxZ+ woSJbpjYM2Aj89p7XScHvMnnnd/GmESgqPyYM4RNmpnFZQZ/2BNkPh/cbcnvNiIA4A4KkpztUpRp o6OOi8g4pACVMC+SINxjNF+y71LU256CHGP2YcsdbsMFXh5zYEj0QphEpnp/AQUP87zr6USpgHdu WxVhH47O8y4qR6CpqWB8fsbnndrGl/z+3qDUMkSJICwybG4nIgBA+RTuuJRlriCyZZR4F3AlZ5OA i4yxJLKXoTYOFwceNwhSFXqD9YJhQiZMgp4vGfSlMuflSjLp+LwT26rLxObSlxYD3EAEs6muPbfw 553XRnTRdyKJYPmzSK3TLsVgEoaiRe1lDihBF6IjwWh7GFHyHAWAz3CU3mlthjoDQIKKClwuPLsA 0UZaGyZLGOASBIAIkfD3KWk4KimTVvd5p7XdPCnRlBeLTdwamnj8w5wjuz7vpDYmAd8AUoTkke68 7Z3Txlko57XLxN9Q2aZlbNIFWTsdpVwoDoCjFHW3mxjckI60xbpt58bCrampZDv/h0KMLaSDAJ8C 5wEttlu4db1vhLiRVFfpvghk29auMgfdesko4V7fmRLamDT6sl16z7iROzB29+H21xNS1Qb0X93Y yRNOFLrg3gltF7wFBz9ffMAN0HFO1Ic93zdRk/jgcCoyWaUFJFzLAgapEO+Mtio2NXRwmCqtmyoV 2BAwOWuz1M87oa0+LgQWlSamx/tuUtjdBrukAfnk1e0cLoaztzduTzTxxu07nc2Gx5vWgb5YOlkA AC+ZrL+coDJMSk3J/HQQmjZCrapbdUne2WysbkkU6WX4opwbnbcqEkhrn3cyGw5iWl/pZOY8oSS/ 397JbN5/YvlqqVLT6B/5ZF7NrS8hAa/77Z3LxvDGxu0WNWIPzyd7qtiyTmElyFZPWdFlqDtQlGin m3KDkcvfEZEiELE7rhRbtEcD6qCcKvU9Pu80NlbH7StRgp9JR6VqWxSiSUhwn6REcYumnBwny9uU LJukIqG2KE65eYCwl7ZY5Xbu9nxSNolWJ4D/NjG56E+g5PpZ4zEH1TGKOtvQIwEhYnZf1GyDjKoN SVr9vBPYjFbxZEmbGmHNE+53+hrRTE2C+tXxbdRiUSOpXOxWXtWwJO/sNSbcbESC4mKLV26Y/6cm t8KMthsNjQk3aThKgCH7rA138OedvIY/aOJwe7S76BQVqOsl9ZHm5527hn9sSV/RCAcAF69vUZRu U0+lNQoIsbht1VClBS7Ppn2+zjee1CRpIWy7kYPMJECLp9tUOMLQGhLxA6bgZEuiHQeyFm1MzfSS lAr8juDuJ4I0UyJBizASkBCsSpZKw+NBGjm+A3ZFq2087Jow19YW9exblKexAEBA3l6RDLpcMGBH XW3htbdEXPYj4oKjczIkjSIw6c+DWhPwDYLc/7kwwBHzwba+tCjVXhRvGYVbxUXHaJ+DJGe5VgYe D6b/5RtHAsja6NXb2j3GkVC+W2iI+liEUkNCWRM1JNiCIcPmPdXGHi22/IFw0+LBqIIuVGmemve5 34ZsVjeaXA1KM+PWbDIa6CEHcqjlL5m3YdInBcjOJO/U8+ni8YBAcvtILNkoOdA9GPUoz5Z+BPy4 7BiVZQO3AujZtk4bqnrboghGAimwszeUp9binf/e00ndsoIR1AHl14C5beMfNrFsU/VPmY0edbUB 9EaTjXGbi/bofGwSRajnD4LH/+RpqQY+12y6LZIet7WJIgHR1VAk+IO7Q1N3FEnuPh7pUVvbMsil Fsm6zOzzFzj70WHe3Oi12EGIANcfY8GTgJ/wDIyBV/+iakV5NjuSaWRKcA1wEascilE5MXce51rG 8wEhcq2vqz+jfTz2bZL0mBFJSFJbxRPt4ozRBTE2wf8gOtjkjdajRHuKJfG/ChuASIyRpW0DoJMm tomSopBS/2kYt1njlnkrfkuQIFHote4t/a09HCWZYSbCmq13PB7E7X8QSdQgKZex1SNaJCemJ0k1 pB80karh/8aqSQ4f4NKdL5LVSI8TbYKRK3kAXDxunyTi/Ll4luo5k32T1EaVqU1D6TFcuRu2mHrd aBwpMVw2txtAUFVqCOfvubt8qfbE89HlVr+jEiZ3kg7mJsWJtlB/7ixwfv+2RtLJXJIDkqhvqJMU cyMRuFPdd7Hq/7wGgBcckgBclTiPnFCU+pFlK5xXPCpR6rW/Z9rJ4LbnOyPBfzJVZsmWARUnn6/U jecjArJ/b9YUJ4nJNynqbg9i2/qkPGkRATmbaSPEnSWWP3cq1kjqv6bawEBNX6z6r+e1tffA2gDz CarpKXbxuVWUIxWMzEtKmnrfKHaT1TanOajT1Ukhey2SLNCvLvgBf9FJsFHQfKaRp23Te7rtCooU 08g2BKAhbzGOxtmbjG1C+VD5wUXp9iYfieHLFm9KloSf2ggmHWBl0Nqy0mQyYbD9rZVPjUr8lmCb qNaNXMIXNUl2h38OS9s88fhfTFsBALqrv3OTIuQ2zYZQhwzrJMH/1TpJAzbLFEMYgH/YBxfhSHSW Jue3WBgbiSNJYE3OKu0RiHtCyGUWnqUTBvHz9zNzA8kcvyVCty2NSO7CTYIJ4eB0G2xAPP4XTJKe lpCUokqa7VKYc7O4Xfuaf645TeduTnl7IGRM8Jb1xUX9bW0PVQhtcb4trDFPig0kyThXEmT/ccGh Ys8wzsTnkr0pObq9b1De0mK+kG2tRcBk+FAL3Xa2H4//hZOUAPX5V419KW4jyrqh00g1wHmzpcva BpzIpgCZSHTs0oga3OgiAEXSki/OTVqQrhlEk54IdapbaSgBykwHA1C3jU8ime4RZd0kJaVdNJgs dpLKhhWAKCLnTfF4RNvO358bpfEvbGtEWXfbLN5KvXSSZV4eUL3eyegk7eJtRtjdnmIBtrt4S/IU zzCIG6STwGWI3P8JLMmmVRg4Fi5GhmwEvyTYIxZvaet+Q8olzZHU5lpKAho2Ke5uf1e4oAnNp8Id IXZ7UIBk9iu/2YYTk/pKJr8JbykD3Iyou41/7qlJGL+xMAMG4OZ8axD2IZ/03Gbnwu7Kqc6/j24b UPl094ATkcZnRDn3EC+pkf5fKfDILTo18+Cnco5F/4yot537d/WGFLr12ycZIZiE7iYru8MC3AVd g4SuPWpIzuzQthFl3MLb0PT7LJyNc4tO3DnfKWgETCahpkXMKZAHPx2VAUjcngCgvfMZMZYE4agq peSibhtUSLJutrE/47/BtiEyP1AX2RZF+XYXTiKNiwEoF0bK/pHxJEYyDMCI8u0p8wmZBuzHNKBD 7TH5eLulDsdB6iNOZrwwtgYfTYzbSXrbiBrbptQCkQIswJDxNsKHmxjARy4Zz0fn6G4SNSdAZRnp iv2MMONOkmjZ2T620q9OW5OkJF300PPTNkUZt4ypVinDFye4rQzxozSIAqgnLktGEuyI84rnJKWr ZrepATBC2PYmyrbQMImLRiSDfjDMBMbE40E8ukIJeIzlbWv3JIWw7Un/CVajKkpWssoNEoYmtjEp fMDKbUSwbSpEnNhcmi+3cgPtGRLWQH+conmb2J04HJnmthe0bW8bzdrQsJ2FoRsTYCbNBfJBhV83 i9sR5trrOx6Vncm38y2KWtvQ44AU4r5YkrrsFKHCbo4lKd0FW6Jcm5o2EI20hYb2HJCk8/ju1JKA xuMiwmsx4nbw09Z4cO1AR+N3ROkRDVAH3eA63Z0N29arbBlPLtHx/H8JSCBHjQfbNsJUe7FLspaD JPK49oIlm8YtAtKwCckIU+0p96B0Fx/aro4eMIxZ0C059w96u7OAn7khZFsF/ten1u11o64kbadJ Sk5Iu35o/0vNxkkW4w+03/ADgg5A618fWztZ4WwXSjLCVHuTSprXpZKKeEMqaVreS0JfyT62sL1d ySCpJfly6W3n40C/iupIUIGuLEjoz5vYcsMpdIMAxe2YJ0nJbaK3zwIcnABbmOOL4n6SOTz/1xCA Mf68Ai8U7dIMG9yyxCHLkMLbvF6EJekiBUw6LCXruM1Q448Xf86UAeDiZNJTdjZiIjAEaGsn+g9W SLYOjIvR4fFpGwm3M0SSsFqrQnDVbC4p9WTBSDl4/5eKx4MtukgSHbr2A/q9b1GUale2kqjciX0B W2hZlwR0DVS2dFcCloU12wwb3OS2QbnXl4vc2gVwbWIA8bcf7N1O8NFPasYXaBdug2YR3/e3KQCt dWxRZQuNBbnD1l7weDT9/ydJOjfJ6M85ilJtsvCg7HAJgCQeyBIAokSKSWO5JcAMaZK89qe0IrjY 7Q8luPP/0eR4Cl8ldm4rRkGApHWAzNtF21ApYcYNbhYkRO9pUY9kwp7SWMkVj0eB208SCQCwza5X SWpGyTbt3+vupvzDEtdpW2bITC5pcQzgDNvbkkJsxEtysZM0TlQa8H8gc7v3LAWTjGH3CeTJBDXN 63TpbSMUCeEjNVdfrI1UM2tNoEgWHo8kNb+3KEOMZT2aiDPKtitRwb37+P9E+uEICbCgHUbSnCQx Q9W/JtjWzr7YQVrQWEE3g2kkmj+ofU+aTXAEuoqbAw+pIlMidYYkSVZr4ET4ogbs+eUyKT1pcMXj wVSy+TiJUF2A/wZmZrZJYa69ZALg1T8+jfRjnpR5WIMEgAQbAcwIRiIl8i2BVi5e/acJ5yppAM2U yGYEaQutIEwKgZ/1LImGlDPKtcUgqTxPWuz6PzkY/yrtpCp4/K9Wm8gCZ4vWHSXNCEYymEhOjbQ1 xM3WIJltmMESiA3JJH5n1NtGjg5p33GXC/8DRRL2BOggzA57KEfadJAU8Qk4uL3Y2wbHiHGoN+oA 9EYmJCdC51A2nYzBV/wLIimCFvLsXL34nyFBsmpea6qjGZCnRwbZhySLlpr61kL1P+qurknbQC53 KnlCPvGpwEX0Agj0D0sI/hnPLTyf4p8EgBm1tTlsS1Cj8CVZPTIHeSDAvuLxCLH1T38kgTR2OaQz wpFMySTVWhxqs5yzfUrT6lCbkprrI0a5tkRad953sWPErgcMCFmPEG07xdneP7BShPb4fLraSa8b 4JGTjBWFJ0G7SiJZ4DgJsoVAjuf/VNvgjmrkfm//kCDZhrC2lyCpXvZgFplNqRX9UD9IoSQJmLa7 kJGtxRu2p2xDaSy0zalIGuG4Tb4g1NLv6+7SKnrd6GsjexTzH1sM+9e27ETaqWnw+F9pZBZXEHls 84JkRam2bv/hfDb6vFmKNChDqIhUBlJ/bNKKsCSjaGDLaRIX26QMmi0V0Mm0PR8ZgW0VPR3sIM1T TG1D7P8VYkmo/Nc7AbdctEf9hDL9s89HgccjimT5CtpiEay7RVGqjcY3ekhj2BZ10UeoJCXrV1a2 yVttK8aSkEmCu9AWv/wrP+EpzDZMwdmNSyCBwpIGh+kiktAAw+/4rUFCzWnotqG7q6g9gRKiJvKu Bc9HyLb8fbGdJ0YbfrGtUFWbQbtSLMVMJ1vxoM32vZJINJ21R79CSXamFj0W7hCP0bnkG5XfWLH1 PknY7mhpQJrhZ7GJlF3bruF3RH02ArbhKGiL4DZw/yRd8hSEfPyvBglrYQyS6vS+/4ry7E7ivwxS 1IrM13arTjPjgnZrsvRohXjtLj/OlHzxY3RuPGw3g/aJrGnRgIRK/tDdhunkvfsJbFthU5v+I60x ZjdU4hLHmJik8+7uA5qtIYrkamuzU5rhbTz2pSOvUF57stWWrMjPxFbbLDIls2xBXVt9l0KKJP/t k5wsLdYgOScMGDDMQqCVe6I1uTZ9Myxhtg3/0Iu1mXrdoNdm4xEy/ytdT5lGQsWzyzR4z4znI6jt PwBAqL+OmyKtKNOG5BxEkZeNkM5/nMXnbP0K29S+zBNwxXIknHpMGixzsU0atPnpDNrnBs3oc4Ig AT4u2DKNGatb3SWKkK8ISLLFtZHTa84GAawAFwgjfuoRvmSESGrfIWld50RuUgjZTmy1kbjK723M 5a22RNsrNpG2in9sUphrM0nCm/tykaSgkMCjkhpJZCcB3YbebU7gNp59vA6TaFzyfQNIEluRpxBZ WoyQNE6x3CVXl1LD45Hc1oVtOW5rPVybFdIkqWxTNwH/S8LtrgBIZRxybYCT9e8tRG1r6E/rFS2X 24aKtlCOpI+JIQiqkEpNh4QkPWMO5zASFE/4LRFCgjWtpDcTGiaCJJ17WB6aA822FeXb6xIAu5S5 T+LdbsK9Qp4kTTjnbq7cxi6mDSS7S9tgKGaW7ytubpPWv9lt5GK7lOGIUAs/uFNxYxwGedvCRl/C /LOgxaapbWGrGL8lgm6zF7nmXRSVyqniktDtgy8ZTf/9LIlHsigndTXJo4R7SfJyPAl3dYXbSXKb ZpJ1u7fdiiW3qd3Wx10cR3LOEQynK/W2NnLLwhEAkAeJeta0MrdUiUrJK8q4sxm+S7g9uXA7/gFD elt5VjwepAH7G0dCgbsHvL2jhHuwSyIkpga3w+7+AtieG5Ht6z+yYxFAhSWamU/338EmQbYdczxq 7aAtiXHTPH+DBoedTnqwm7myt72jhFu6P+d6Gb5wizrDIIuxk2Tg8b/INvIMQHk9vHDb7wk3NADk CNx9i1K/W4RQ5N020D6k3B5Kktj1Ru+/Nq/3H6yne0f7ltcbSonEzggG9rigkG7U6+SaqP+/Q/R2 FUly30V4pFMNDjmYn48Qjwcwydy+I3fB1ZYvmHSHKbckt6WUyLLkKiWeJLJ7EtDpRchdClPuXdRE 6r44LLkqv9xUsTvhapNMAn2JcwWD8FuSD9wKnXnxS6J+G1XtlFByEUoCOAPC+GvpG49HOlLfhJus 5O1+bCFHUsg2Z9lgPjlcIylN/9gGWD+2RyGQhL3ItfZdvLfdZoeuCV4IYsCQFMDHBrzexkg6wy7Y J0m83HaUdG/6INUi778yvbkNIgABk23jIEVIkpY8IBU2cvA/+yMBuMOcW5kScWFWvPXrIakihTYA 1R1bdojdlslmn3fxXTqbnMAgZIGbcCqxkWVndLwqYElX3gani2/7KyV5FGYANOK2WT6EF/BvPO+8 8XwkAZS/Pzf0dsa+YpI7trVRL7IsY5TUxysBPRrbpALemTYpRJJIi0yMkvQwSuDDfK6wxKNUMJRS SwXEJ/iFA9CXrwQA/kL4HZHmdmM/Mk9f9LVhAjaEJEHcDn1t8ndZgv9tAeNuWxQhSVLRrLb6OSou mUDf7GQNt1ncTmJHSBKYZ53PrPGQcPEtOjX6qWzJSG6nSlwUdoPZ28l0MU3Jhd6RTbVb0+v+SiRV rsRFrduS1xzWQ+Pjf5VuBFeihfyl/7fDhLvK9TdVJ2+NVp28ladpSY3M/x5m2zvGklAoeUrnZj76 f1AugPATAXc0SGJPruH+J5cjp+IFbmFvEb8ksAHIRGyJCsDFN6mPop51G3g8qErm95gEEqAkJNoe hf1tOiFSs+i626iFBC2JZiJAJ5gWc//dv8O2N6G2Wm5AKidzWTIhmySRTIlJdQy8xg9GtQ4l2VNv G/n/EI5MKSAt9q11+u2hv1kHHo+q2/Qdj9CzXY++/Q4FtzMLN+m2MR7N7ppkI5kvEIx/i8ejCEky F8/RICo5jf2Mbc81kOl8jLsWSinsSmKAAseeifvN/bYTG7c7liThmERBbFd3boFl+FRBcpIWPP6X crvQf/DSXddnS8id11/bqUQyjK+VqY+tbhKE9eWLCDORbISbnMJsm4FGvSItjkqSYxtlyc8Fjt7U QKeEStaQOEJ1CmcSObivbW8cEUppuzFU5gJiq3K+zgavAlxYZ8v4A4LQfcMSe9w81fm6JaRQBjCz YGsQiv6/7C0lQm7QbDHNjQFjQNO4T7/qAK6hLj8XRyZtqBl12bSeqrQleQGhNZCheAv11nzJgEy6 8Wt+YwP+j/QWrK5LFtp4Zj4f1CbtH+MtGqivcXnuEl16f5Ay7nL5FWCyeiO3E+EhAHcr1usmpzi4 Qyb3iOJJXLz9dir8c6m1TO9fqkdh/AZo+jkQGIAX5GiuBsxuAH5NcKgSZ92JAlwFQHF2PxACYdD0 Qzzv4g8IkKWXfUNQJ4TKRruTk5yinvegcwIsVG2rJnl5ppxkXgEkvDffqtBOsqpFyVqlzYvkop00 NEpJ5uqAqWYKJ7MZUJCR1r7WLeXkC5CiFJzckvP51eqLUnA4XnLuhw49n48Ab991CuqlAUk736go BUdL7LZOiAtcZVmgGlRMo8UEer7++UV970FkAI20bbGaNwHAApUqat6cwiAlfn606sn4c5a+XKu8 ZNkDpQhjgmkmhGC5X1wU0WdnDx2XAmwmUggyKVeuNPHzA54yAULsexV2vydZgbOa0hS678UIgjkb UJBuGs2DepSKDypIrs2yhYsjBHDfFWDN4ciFXu4kGgfADBiVAvxOj4Ama7dtbxw1CORObpATFy3F ZL0MIQSHng88uW6HgDBPCMwQzuA7FbbAXavfcJTcFDtVaRBKgb/3GMQtd/2oIEkwY5fWfPFOyoR3 WeMIfGyEvZGk7wYAHHSpaNRiQR08K/2a34q7vDSg8zIblmtzCDRwdpvPR5TT74ZTBkx8AYrnOxWK l4BBDxp2FpwSoC4XUxrNiJUY0RJOye8vdsKRtLt8k8uVCj616QY3irqTIMF1mGqB5I15cYVsVAbI wgIVLWr4ayLgyabFT5LTjxsGShmNY97zEJ+PhpjeCcefEoFuAVzjGxWl5jtbrukOXWgWGKhiiiJP +fLhPV5pEr2eagp0LLDFbLGPb1d44GyOVTCSLTmJwwyEbadF1wMXlK1Sit1wKKhIuLcWQeHIYFbi 2QafDz69iz3hp4f0ByJqz9UX5ec7SzWgurdiojoINyqX5c3w3os74qWwG85M6iRkzRdv9C4wTmaX 28v5oYNQ7wkb4smBUCmOGyhkkPC3RM0nzjALebpcrIuJAMKAPph4hp44F6LL+d6Qp/cNUqEJJU4d SPHFpwbFh+FQ86vWfTrpxrr+iiEEBWPMnTCftsUr4gK9iE1wZT3Zy+wc6ZUGF1EcNGvSyfGFntw5 cKHEyIyQwUF1JS4qic9J2k10yTH5fKSvmL9vPnBfKCzlGxWyK/nplTFcGjevMry9wjROnq/9eiwG TpRIN6hjzo6vFm0UhO93MQfBU9Wcf0Snm8cUc09oB1fFo5tJjo0oJWpSy120TzZ8Z3ei8vkgQS+e dAqZuX/GI0SRYyvKzCnUHi79Uls26ZdzcZHlpFCO3eGlF3pRLjGZEsXfudzB7/kzrE0AIegtrUuK GryezpsP4dz3qTR74V+4cWnjVudSGMkLROUb0QTnohh8Ppr8flPjC7L72m5tHPhRwg21ENbU/DiV 4kpLEB27EjnbZ5o5NKRE0+ScGxrlabHjBI7mho+gBLsAMidBHAox9LVdj0TOGM3eN/rsAGwaGO/Z wuN00t3Rm5V+lc//pUahvm8mD+qmm6EnJQ2PEX/sPJ0U56otgSukfRpoTPo+hVTLzMDU910sPuG4 lgTtaUhSn6tQQrGVHXzadsFz09pR0lvKoS0lRosYsTRNWqizKulMiBTxPI3N54Pp76URkg0NulW7 6O8cuFKa1sKJSXne82T6imCkYkSjph0spey7C1EpU6xdiZw8aXkHUwvgeE5/IEwp6e6K5vxAxiS8 pSGc+rQX/g0pd/5pdxGYELpX8n85P4jP/zmSSj6UqjilvlMh47KyK9WLKXeenWIuThJYfkipAEio Kg69KRe9KfukghkXL/ZwYqk01yByBbY2QRcNIR9yJzXdSF6SmlKhOSXYNyDL7eSL6peOOSobAo3n PsrKH5lzXo+A3LerKJwDd0pM7ySX132fapsXm9KH6VIsSmTZPkVeOZ3yZaduqb44fyfVNYrM4JAx J7ozY4B6NrZR8mF4n6VAZEi/JRIU4OBuWIKQrCUFGGYxx7xU+XykBHP3iX1j7Hfrz0ZFSblSqLos E0eI8jbLKVgdoYo+3j1QYcOcoAIgLX1xXsE5tbBZJq0wn8tDBypRlxXYGIqpXxRPb/bGQfeAiLDa mZ1zUfcAGjNSKz+ZLZ//Sw5Geuz4nyc8PNlBDApHCN+lOHRuT2Ndngs3F2c7s/S3rQrT8iZJvDp8 cZZK2emURdRgGv18bbCix7eH4jSzVqn1Mc6xMBW1zguFTpG1+qJDBajztkO1+fxfFQzlThAgoen0 WHqHLEwCDE4JfcOUsKsMU9XgvRgLd+Oq5tCvErk7pIWJeuLiqKfzj0gFigIoXNeJI0ik5oKKwiIc EneeT6qave8vkNVzHtFsweKi0+dYCP0J9Sk+HykNfpsxQLEeRgF3m+LOeaJiJbrC/8cuwq6mVg0J iCG9KuhPdd+mEB/OCg+NX18uogekm022KnCMmd/n2SvEMwCXVr9mDIsDvRw6VvLaS5gY+mKoJ8hG EWRwKmM+H5Qv9dJ6mB9IrODmm7FnJQ2ZqbCtZlTf01ucjTUYVb3pWKovLzCtlCMEipK8fXEA9DjJ 0xBg5ZQntRfs6MR5hUI8GoJfilU0luOviSSryDYozBN6BoCFdfA58ujg4ETttfkDgpTzAjKUxwOr 9ih758C4EixFBnECGgmCrhIZoJlu7RpmoSPtxpU5cK5EVsY9gsaNLZdyMGDwQTL9PCnWBs4aQutF AOzl2FW7+ba9cYQ3SP9IoJtOfDkZSOuiimW9ZkTx+e5GnSiAaH5z89C8Us7MAFtbzjlYbDE3J+Dd kCvTDXVy4F4pDc3zNQA1Z4vn5mebexuEHJzDvlci0LVsFaQbNN90u+aU08+xfSWB4kUWn3mfr7Zb V7ObqC5MRPkDovmCt815NVNyYPbn6wsdLEXQkBCDMD41O3ylLB8XF6B4badC/Aqj1BStbj60Okgn jDabKKynROuUloFgA/RiOcrkwFjYzOwv/Js4UxEWqjgWChjJ1EUKOZ8zn//rRLHJQgWr8UTzKDfH GASoOitdYPuRHcO6yzWxnGsu36dQfrBT3ytTQJaLVzGpd8iOUVy3w5mGJrxAav5Qqh5b6PJMa9r7 RvgMMaJo0sxF/c1zMvO0bdp8PkjN020eqJw+X15+mlGhjeVQmBD6EP9x0MuUX17e5t4E8Pq6X96v ct9rYyBkyx3CTHSDqWV9kqfNmdg5T8gQF6pgIL58BpNto0IgSxOojl2ECrxQU954/hJs7PSa9JYR Jto/PDaqcUBOHjPvRoWa303ssacsrsXbUcgUvc1Suhmj5cDL8io1DGIQudiBGoDTEQqCD++Eg0Yr B47QBzGbN9003bgcelnWpRqGXTsuOhewNRK3JWOsHphZKgv7gkWdbLfA0uKJ5VFmTtNZVHtujlbb 8vbm7KU6oAWEeNuoMDNnujkEexrlUhHhTwfdGOZRI3XMVlntoSqYMPwokPdjMQP4WLEXjkD2NEW5 VoTFTxSKLvZZTj3D5yPgzwNErGrL/PBJ26nQ1nJqWLyzW8jWspz+O2t1U4uCcKVvL/a1JNsOFFNf PEadCu18ipRsqFQ3Jfulk3wLCg65TgbYJIY8h8aW1mjJpJNzEUBqofpmxsmGeeBsKXXsLz8CjHLG dZLLgbNl0UwdWdJVkZPPVSd1abmJbOsO/s2BtSVQOYSRQcfYFg9RA6ZEibqWrYBxTWGHUlG1owGV 82O1K9umHHhbIhDK/5vqDVzUkDoVmKl/nuDH5//SI5QuBvC6szzBPPS37CRuJNgDulF6XT6rWtN9 Uju6eErNQ4NLmTeRlGmLN6QWJjKAHIAr1WEfjHyTyugZdQEckBwDTAVk/Zrg26O0BWzcuaC/xGIE wHLaK/xAv4Y/4K+9oucOZALTM6wKbS5xmDJmCz7VK2CBmFjK6i6Wgn+Q71TsumM7tX3xmnhh+ztt riB4XSrnxAU5OYoY/FsJu69fE/XA6RKUaY4VUDVgOdd9F4XjxPPOO3+cvIM/IPj6Uv/aKbBYvxEt sddlk/OOdZ8yPZZ+zHs3dzdN67MW36kQVb6lutOHL96TgrR4lRzIKXRmImD4hCr2OBIMm/LDv6Pd Gn9N0OVk3zyh0058+RLY6RRfPbVuummTPyDivH6LgqPLy3LEdypKzdndBRjk4lnkCkrsQTEUXsW4 aVi1F3pe2sXXVe31p9oDkbdQaBndO3jNdOrwFxqnUwIQenFOCkrd3jjIpQjP6K00XwwkBf0jJZ3o cwa2l6iCvvvBVCbp7QlToTI4bv4qewH17mpyOScKwKp3RwUDO1KhwzybdtBb9cXhLIBegQRDwwJY XWcCf2C/hIYLbpSL0eDflL/lV9VLiRWC7Wqmjuc3WGt1JJ6oEGP+jxcvpvsDOg++UVFyzskEvJa3 we5aycP6wSfPbA6767fVEptf7vSKUDx/dBT6gxqzAwEPrqR7Mt8DLajTceA2pWignkP7yykRdUoX ajGEVOrStjlRsfP54NO71g4aLJ8NZ6izjQqB5o2zYnT+jP2qMd9WzrltwkAAim1UlJxPJucwpfPF KQuZYs5TjfOeVxP9FX+STE/wgg6TA6TUOA8dMKnqmIjTtcUAUrs11Xt7LT4fkc2+cyl0In5oqew7 FfbNF434RvEuZ4KourqcqfQh48JZEWQtSMVqKsRebI5kuGinzu0EeMMk3nzNCXE1mPKdK4Jad5OO Mz5WL1mgu8AF04NU6nR44sKdGpACMgrM0PMR5vV+eySDVJjzPG3z0AgTVRoE5Yc7YZ87IPm8mC5u nBef69Cz88AJE1FK9jxIvbXYXH2eAIUsBNn5ievA6QtLdeIFtL1O6bGtMM6kuvOXBPu0lJ0TcQ44 vo2Lz6UnlX7BDwInTHx6nkltw3f8dABIfJ/CvjmZ56X4PrWhYXpjp8X3aS74f6neC70wlwbFizI9 XBz3c5LARdtKsjw22ZKknTVImqHyQq2nem8okwq8MLNtVAZ/Cgum8vy3bWSdBFR3CD3n0A2TdPqv wXo+ET3f1l1oh1k1YJAkhmRWxqXnifRBkNegFmTXj/rN73kndVzSRZKhgqnActqAAbIGNDEESrDD F7qnB0iWir3vb8jEhe8HC2J20T7B2V5S6WXxB0TDvX+QGojmfV9VjBw4YoISs6WJ7b27kk1EDIJP KVkZg+vdv7wwOR8UDJ0yoZ/XhL5DUH3XJv5pwkR4qS5GDAWdqI872QOyS+8bEYeSCr3uiwHJIEYq /jkAUqElZr5Yc1mN42BC8Mf3KdQOrwSc5uQqdH11V6ED60fpwSio1HXrxa6Yg+MFGayOx2CV5icd sAPqYiaIiXfmUedHEYNO0oLL9VR/4QjESSNDcWO4qIEw12Z1Lz/DHPpiPoI9fO68ZX8cjXPoiwlb HaR7Jl4Md+ycvYRpJgYNSeVqan05MMZM1JACdpOQVy4GCgbTu9B4BmnUpDkdZR8gnJvouAldwAtp 2fbGAc1KbsZAMMLRACejeGYu4fRzPzf+gPdgvvb3TuG2n2PeYi+wx8zKKsCzutpGJV1ZY5qBkxID kU7fqZj6WTjUo7jRmI+4EfxQV140xzh7jqFVA88KEr+obTARoa/xfOzWc2CRidsH9fAsEl3BkZCO SDrZAZOqU0RmPh+BpC6xkQbQwEbCU+huVShvmLqiucvSIGmy3Hyy56fcvLjgSg58MkFJpt56pzEI FwtSFTY+U+nBOUgzVX6mBeAfcKV+cA36Ru1s7/vbxCp3zhm46NsbuJj5j9ygDgVOmUBx/kvcx7Aa N6/26fOaRTW4rbDRkpeLiW7XzIbAdbMYtfIlWb3mUM0RUgC1+uIYzt7P6ZExPSwzpJO9iM+YyGgl SivdXlobv2ZQTQZOtFXpvlh/E7A+0jtOHfN5zZ/OC24T7mmSEQEoEmVfFxwy2p7Bsq5XaWNRtuea P8v3MVOQdnt3JdieLnmsIV7HuHiflhrJrAKxoK4HoAIVMLokMJnMkCgy94eluPS6Pxi9sFlXRD8r 1QmymIQoPp2U/vOaNTVTKOJ2sDY5fxDESduf15zpPIRi4aSslL4VRy8vh9il1gxid2pw9314zZhw fEiyJqvcFkvBIRu3cyfADg70uW0Tq0GDHG/bhmeWk4jy13ypgYeOfRmCRHFR2xeiiyJ/9t0+r8kS Nncn2x52iOEcgjxT2/OaKeH4tCk74+Kt8dEdc994CNgaH6MaL+81T2oYA3JqjkmDLc7hOKnmToMN pwohyUKO1dnAfv5+UAEHhc62B82Jz2uW1NBEkY+B2Pq4TISbWD112sLAEDR/XpMk/FO7Hx8ra8Dg 88/rNUNqagXCEav4hAWQaKXcmOQ6riA3J2+85kf4vBh2xhTPc14fI7A3kYDR7wFS2bDHor4amkTQ 48Sntfz72tRXe02PEH/Y212U69Vi7TjIhbMfglH5a3LEp9vXASI4M1U/QK+pUQOcIIukePUe17hw gt26wwlmM6mH18SooWdKS0yolNhiB6jWc0cWoG8b9FYaXJ5lPkfveYR9QAh8qinn0GCDFtmci+mM FkGeTkrR6fMEY8rPa07EjyV9nSC6jp2bwzboNSNCVM8c++Z2RyrDe5VgoDj9Z0LZSHYY0QY1aan0 u9gG0XccdnNofgOPBIjpnpvGENDaxRG7nxhrttdkqDnWgtEGLsa4kKTXRPVwfmK99s9rLoQANpdv kDRDKfXoO/SaCDWTDAVvd3p2zV4Bu7lpm7YKMKXDYtBrGoQQTYrKatwoLrdFec49bi5kjCff2F3m s1Cyhq4BGvyp3dyafPPXNAh/BrZHcNX5oln42TP1v9tJpD6vSVCT5Mtzh0ErYAgATRW3YIOAEQVc oLrCA9mURtDo2a2wt+wxIacWBOlUFYTKXXyDzgmqedAFBzBqyPYCAzbQGaFhCFobDpdjkfh5bUxi gwwc3roWjYsq0k3BCnuGXmiwQX31r2+MZNM0l23Qa0+ymS50K4QwmsCzS6lsMw+pcpw3TNNrRxK/ ncpXYwrJOy+StyCYAsOCJGgirkFTesNcZ0LbAeqpmMo4SI7uTq/9SLxt4iAOAx0u5qQGTu42iNzK n9duJBM+P0FZeqrI/SHOYnlilEcj6lCY16XCS6+2RydpS75HoNc6DTHaJKIplgnP0yNKp+jccQV9 EWaK57uvnfNKGkZhLoCKu34NwcWVjo4Ru2sU0LZFXVu4U4o0dqrMzzu3Dh/a9NuefjODqK/umxRl 0wnjDyAFqh2k4iYGpzQnYIYyYY0a26L4RJskqhhCii0erTM0rzBMgsPzSBlN7X12HfudMIit+Kfb UJcU8c87s645zwC9cl/sPqupc7oLme3PO7GuSbP++dgWsC8t3016T6nBxhAy7qqEZUk6kX7YHUF4 brTeHfEVbNImNXrPlH3xOz+BLoaQA8e5feI3BSAZYcE9+MFEy1OiIfBusEVoTKGc3sMXzUnwkRrl fvPxKCnatkXCPEHbp3S/0t45dQjZ5EBBSs32qJFaY+zoVB2Zgx6yQU6CmN1lOFNYuHNxkCW8oXYm KO5cap16SPQJOf9guDkVSBn6nSYRh/fUGsMkonbZFtCiwgwIDdKhACz6vDPq/olJjO9sFt3U+p1P x2DL0pU6Q9yjTlkm7tHO2xnkMAb2Lm20R2zSNiF3ufh4pMLnKguymwDwkRbNCT4qHqFt4+ORqX5N GI8k8CzYILMpASxLkePxzwnC6KgFeySSG7aE127G4cNgy3cpSrCh94cLLVkFAgJB95C0nGd/0rpb 4L9n2CjRGJI2OZpcrEuUOwzA0ZCBC0ZLGLjha9ustLDrIPtb2B50Lnrn0uF7ocLMaiRnchFqsMKq hrnkybo/71S69igXKiJhrnxy7OUJ0juRjl8pNgmZr6WQu9FOhfIytTqZJ3VXnX/n0fFQkhCdVe3n KziLQWTu1ONqpN5bmY/i7WfKhBN3mzx587bXfd+kRghqq8TKN7dsg0vYGIQvQaD/886is0L42SSE GijU+B5FaTYn40DsXU9eYQEWsQDVG2l1uHXRO4eOdxuHR5lUZ3XmLI08YQZeYZxwJ4AoENnnRkGy 6AIzicIRu352ve77HlFW5vz9qZhShpN4ElhVdGg4VT8fD/LI+Z1HdggXtnrD9num7VilQkK7iIaj 2EAE6Z/5zcFZxRv9UaqNDvhGm/suFpLKOaQbyAZimRN2i9n4wjACKT5E5u7cqOtt37eIbEz8HZcv BhM8b8h77iTt+fNOnUOKZHTxpukaJDTPG5Qbt6NsG24baKnVK3s1rnVhEoMADS90hu0ghek2kyRu ty22SYPD/kopJyjxdcVt0EyBvVqciDwd/qnXjXYJlxpcV3zhLkHWrFAfpRIoEeXbwu1hS5pgb+c/ IPpb2zFKt0G8x5yoDi9qVZ0gIpEfqaK2E3/NvmOYbvPm/1+Th1Mwn4OYAA3AHKSfT1vQJEiXLXLF wZxxZTCOIN8pczj4xE9WiaRwUZaUAIMTBbrkzztjzvIHL/z530aPr3SPSe+UOd9czIg8BWAdrhTg 1NGugY15kVKAd8Ycr0kCuESg4GJp0qBK72BRsuEazoH2ZC2nHnRtFHBSUGIH8p0y16Q4dWo24Jmw AMCrqgQimNy0Dm2Ud8ocr7f2FZUmvOtkFsZdinrY0ABgY99N506K4y2kyaRfWFPsgc7Se8KNe5LK zvIKXY9X6Lk6x0J5vsgSB+uSAjJibVTeahL8EGVHh+k95Zb02QNNAjeV5IFTlZwkhecDGjWfd7Yc xygemBiCzhdCQwrbpTDlHpQd2FdPPXsYL8tw8XTnmY6efKfKMYchAWUSPMnl0itA3yjU5YWsHH0L ITovBexKMVoXTjNZlHemHH7LZq+tE2XKRUkAiMishKBs9nknyjGsze/a7fxh4c3tmxTl3IjJ5/uu JjsE9UJnDMzGZhYL3E7wHj+4sKEtC6NGt1Au/sEtOBOhZ9SAhjh/TOIBN3rJkxMpdJWsXSubp3eW HL83Zkh5bF8EWQYmgf/LyaTW550j1wTUsZzbgtJMtwnwzpBrxgw/xUh+tMKnz0QWe3wS5ocvo/Yo 6mmrap2JGh5cfI/OH/lk4BMzow1nvgkqDzoM+NwypQrro+qIm/3zTpBjqpGlq3MXi0lTwQFsgfV5 58fxtH/HJPxaSnXaJkUZN9VFW3nKkp3dCANcNafH4c62mPSecYMXxbIEvTlbHIG7MLfrnRk3+v0g NIM2jDOZ0CorsnlO6touve97TCJY6zzE5uTurlxxzqomOjgd+/POjuMU+qbc7GyfmDb6TZXeyXF8 TLV/diBSk4862wC9O0GHfkGKSe85N45y0/bcxVKljtr+FL3UUoW+xNL0GtXIojgd8nEv3srQ60ab RAYTLN6AlDwf3xBMGQCnpOtt7887Nc7LMO3Jj0IRhuu+SVF7uyWiuYubGJ4/aHZt/tGG9ZOgPGX9 /3deHEf8QmlR9kt5oQI3XIvPrT04IikQW9wyVT//KPQpIU14eyWDEOV3Xlxzb144p/uiuN1BtRSH N/fPOy0Oj0+P27LyKZgC3Lgd5dwUmmymnU4RueS9ktm1XSg+23bJr3dKnPXD9gZnwhfvlWTIwwNj SNH5SbsH2EDgL4ikCYR9l6og5PadEOcfG+Rwmy/WTspjCkN7IsTnnQ/HBuN3fYtvHJqlPsmOMu4m lYo6zHce2JdxQVpXZw8jMBtlhxk3hdQr7Yu1aIsm2BPApNPA8JQkaxSB4s9nIpnjNK/YF4B7n3cq HEdldHhGameLarfcqRqBzu0un3cmnN2+T3sbYJyOf7htUQgW6fMRamTHjV+1ddySJ5IDXVJt0e/t bSg0+qItWvCxhww2ekkJkk1J7SawQSFcDWnXDtKR5v1FrxvtkaBGPfmijttJShdTVyDdP+8sOAZf 3yN+lXVTZ873KEq2GykTaa3qw7arJLDyHWiDKWKzpHcGnAOOTuVCSiUXi0Yb4kINrCSydNmJYdWC JLfQF+V6YNBf9/NOf2PDAlFoFSZIy7vDZ4W8P0lLJ8f6vJPfmqkN34CNj6y1lX2Lokwbf26YqRCH VR8/LAC2h+g3yF0AHBcO+Z36Zl0a6AySGV8eUYrZ4Sw38Hk1HKnzo4hUbrTApFNYuV6h8pn4vBPf iCwgMx55PBbop3VF7LalinxSs/J55701mdZ9tdtw66d0z1GUaQP1g0t4+dB20glV54g6mgRrp+5q lu+st2b+hdBQmL7YJp1kIg8IMuFbO3+DxAnARo8MPO39c80dMsDXfNmoYiMiC0L4tigaoTVMVCwG cJ93vhuSkws9muoa4L/vydE73c1rkYYJrcPZaR/MHYL5qe1QbT5Heme7sWBclK+c1Re70yo8owHu onkxPLAoO9hgbD+oU9oZsW1GsvW6wSCJfPgs0U8uNxpVcd1Odf15p7q1R1/Ba5HxQxat7VGUZmP6 w0vNGtunpi7W2D6liLvyTrBnLGKHjW0lkOVZfCAJQkSSbDq0AqFPTIPnToVbDJLQTnHuJAF+70S3 22wbkhzkYtM22PIq48Yf8j3NxpH47tqCHzVb9S7SO8uNlT/9QUli5c3fWzfPWeCplgNIpnNx30lu 3mvbKVEONSVibKZG22OOlInSOrftYnG/gQSACzZq/MXxf38gx+8Ut4tjK5ns7pLQhWWWXSBfPliE rZNBvlPc2iN5ZpU/wF5jeAb5znAjUIsuYW35aHuWW4oMoWwqR8+OsnknuOFr12gbDg22eENywP6i sqqFjlDFhbIh0AekFmitJHm5rQMK1c87v82bSDAYJWSUbVcFl3Jelsi28x58Pipr/fbnfxeycPjl tktRng10D4T+cvXvTXaFHCRN91I70TbZIOmd3NZM4nOxCWaLz7YhH3OCUSJKYgOfTVY3sKHwZCA1 bV57J0oFvHPbmK2YPUj1xahXtS1poZ6f8XmntvElv682eCejxeWYyDDTXrJ3Kt0wx5yHiALY4BEp Aa8FGcJCUGScactxRiiJ8UySwAQZQNqQNXLOOMDY0OegLR/K9zK5U0uKsUvv+75JlYDaIrPw0hki OTdrtYuudb6Gzzuv7Z9xG05NhpXVKsOzpHdaGw4ggesd54dEyXNJQAMf7gSnaN9GlBwJ2XjFUXpn tRFyxn4k7cK0ODR7AhyxKTpxvrw+Em1nJ+nOJ4jhxYajkqTf8Hlntd00iUBLLpbutAmBGc71z9/2 885pY4PinywJxiDpDtzeKW04SpPFbB0OX0/Zzs9JhlHX6iiVTmXPzp/0HpUMOCpljvkoc1ToLp6P q8iblyUuBwAUpi8A+0Gx4Snbut43iN1VR0mb1I2pdVKUuTiuQK+tf94Jbc2kbbRJeA6z2P7g/975 bMTJqJ89XAZuCgAIkD+aQRy+fbe23+lszwcH1UtbHKbdIJIHDWj4zAwq25GmNemDeT6Tgj6NZQGD NIh3Phubg1ROEOYmPZib85MrQ+/5qfXzTmezJMIhbnLmyz+LZFZtU9jcXhQOAuLUum1reE+Sd7fZ hTaXNntnsz2DSShe2+JgfyjhtMlOP/ThW6P0ILIaFmBwnd1e3qpL8k5mI9yftT/Ay7ZYCwDVDtVw TvL6eeeyNel5fEElkEdMOVxwk6Lu9pRpylg2TKqNkhaSBHC8Up2QaNEN905ls8Yx0m02brlYHgDs JwwSpUI1ALilOLNEXsGkTHeUhIKOv+O3PZqFgGQu2iPoF2uodALs553F1h7bWe9t8z/fzy2EbAtN Ii1mbNGU1dxkQ7L57HbC61NbFCbdrG8rnNxtsdKtYCyJWERgUgYjWUodQARDi2ONxytUxyjqbHfK VvdKfz4uarbBTUv2V+BEvvPXGNG+8yQorY7dvdn2Tl4jhjU/jDXC/tnLYTa5PMeEXkK28fY7d625 xHfbpLZz+cIAjFRIiMSAZEnie0MXrGHihtGCj9rwR/m8U9es9sKlKPedYc3zcm6Jpbb2KRLn5525 9g90i3Uaht2j3uF2CzNuTJ/O5psFH6BuNlJCscDmP+pbMN/VJmkhbhuY/5M1kmNTnlyyA5IMu18K 43WIDXMqNyCYdvIcmZqaCSaaSvwdAQSQx6hUSk8Vt8w4Fy+w/5JUKg2PB5lk//7SEOXbAwFsYbpd 2JCcLV9k+3ZlrqHvj1DSNAxv26K2NrIyRKGVfbEtOj8H0zXquMA1dPJjXA2kPsoTZEBWfbCtTy2E klBJYpK4r0WfGgTkKMIHRgEeD6b/t9vGaw1cHjQDnWETpduQg2PEtnMEFti0TYKQnbXbenKFxf6e bqvddz4yjJdtsXCU4D4EmgSNQk8mA7wEev9QFQVaDRm2JdtyHegxB1Libjn7onM0U5Pf1bl9+XgE Sf5msQEN12UUzi2Kcm02oKDQea0+aEPJjuQi+JoSEht0Km1RhCPRnK0Pqr/2cdVfJ7k1KVGrsxAv udUrALkWM4KcHgsLGm3hlwQFSVa7TZ9cc8OBDu+nqartFCQ9SrVT93NED8yT1mNQ6nsUptqSVUym QYJ2W/Xm//l6PTsqLdnEtkeNbdMKhFmYLd4AgEIu5ISJ/4NQGRVuILcIfATkwXG3eQNAVK0o1abZ sdy+IGYAvh7xo3DpToxI549S8HyASboIQEboTFWvlDxs95gSSXg7hfaUbMs2RmYDpoGOmFTMVa5H yfY0nCSVgPajBIQG0tkkENiAtsGkFVt5/lnIzSBR8dNKcoyEJGjxW6LqVvYeJNX2xiYpgZITSjqs 23rH4xFt1DESDPIQrniK2x7xIkdm6jimK3D1xMANlYJ1x/8d/QtVJD3GkUgctzdfPHCnU86UrmFb Oy9VyTtJuE833J7zGK7gnekmiV8SDSSlrDGks4Fyz+QQwP5ipDmFG54Pbrd58W3MAJDI9unVbQ9z beqUnZDi5ONMtyLm2kMId3Rv4XllRylkR6L7AC/G5IvVbS2fuARhHhrGnDg4CCfNDZknJHigk3bD EiVf+3uyDUF3wv81KOF/uUsLAQIgrG5P2bbxfPTFta+4xJEtLGRsk6IWt6xB+6K6D2sTXnh0caSU LWXzJw1G2E3qcbYttdeefbEWQCY7IjMHOF8TyOwZHNtOefUCmkQb1+WZnlT4LdH3Rp9ZuCHAUR3A kCLueoO4CedJrRb8gCB8z2+ePzqJYzzh+z3jNpfak/rR4VKTAICFzo6BBEj9NhD9EyU9+MVFUJLE aSO4J774WUqwAs2cJ53gAa13qijSazYhif4WzSfpAb8luuOYcxdTW24u5LaBxJAZdp54POoBfE8m MQIa7aKSeoTfpss4Ov1N7aRK/Tm2k3ALqf0GT3UWt50/6ZfK7SR1XRhA2eTKQQ4CPZPOAhPzw022 beO0+YRE/Pxd7twN1xZ+S4Rvw+70TVJJ38ndsDPyerNx5OMRUNJjt5yLEzI1MB9sm8K0Gxy/usW4 XaKXmB3onPQ/oq0A8LL2yUVdbuqlLpgz+nLPEpzCi9hJCzpGUKIGMBddbgBEauVUSYpbZJT2CE+i 0VsFg98WbtN5bDbp4Z5CAo9HvO1vWBIE1yGG7UnliPLumcWY3D57qxpKMnoP5yWDlGbg5BG1uafa AIBp2OKYGyJt8QkSULKKNpJqYPABQw7qnBLpdY8o75bEJIEutmgSME6ckHDiyhmPB3n3+Gc8SZX8 W+KOKO/uhTnlQwU4scI5JWuZsg3VR7KR2//ocUvtZz9qP2B/AQwgpe5doTGIccnaUIlnZY7hlyuS IcfCLwn2SHm3DeBAQBE+EmRJ9a/O15PxfDTndr5kk/v8CXHzfm7jd/x2VoZE5g2haeon1e2iSAwq 2qWoyZ3Zt+1jqjqhNtgA7CaD9JEyCdwnUxqJDjuUtAddAXdpu04C+Hfjd0RwUqK2JtPKNlOyfBKp hVzjzv/D49G05LYlpdkGv8jqPbcRYko6QYDNxNkyvisHuA06nRKYLMEZfmxR1s2QBBsNQku6XM3x sSXovZ2cmK4UaZzMj1qmMCP86agOVr9ASSAJx2eEkBIC3ChZYIsaARu0Z/Nx3ng8CEi1fMdtmPEN OQxxj6KkWyyz0lrz4WTfTiqt1As1WbttTbcRJd0U29hQWvTFAtI5L43xh+Dt0TqmGiDu05oSreA9 PSLlue11o4jE3cnqT3Kus9W8XSUR6Xj+AvkzQsbkldtgiAajBf1336Uw6U60qBrLNW8LrYEZtnvP rnmbtte5I0q6KflzgtG8yx3hVgzeyCnpp2QZg6bYkIQ574reRbqa+JtaACMEb0ucdKbmi41KTiFt GsFj4vFo6ja+qjccYsjY+xaF4G0EmJZbd+kxIKItbJdkZguQeQepCrnkiMDbaDejIBGOK8/LKT3F LJiEUkVCVKVdM/iTDAWZbrcXul31tsE54rW/FJfW4AQSN9taidROFbgjTLfXP0lSYYy8TIkRdbiJ A4QcjMNJO5mvApUsUwKsg/KfOkahLEkSHHkZOJlTZM5J0kkXce+Td3uuulkZkID/75ihrfHA29Hc w++IMiQco7GoTEpmczaM2zk+RGABqYzno3Q7faVIiJ0jpRu0w3SbHe5S2kUnyRiOs6RtYC4YEU/X tgnTbelvUxtSi5MATm3UeqbMJsRuO2AkSLfRWYLsRRUHQN8a08gRZtt0r9wE3pzPH5UU84zzOUOt AifhvOrCDwjaAOUeJbwdKpy2vXIbYbbdhZEYErUB4ZfqftREbGVYQwkANPvawiZ3pzibrJi5OJ8E 981YtCEmfHeQeNuoKJ8AySzwi3KngG3vG01vafKJrAELehOkSa45UO7gLJ2yF88HuzS+B5PImU4p 4GF7hl3uqpg0FIjAutkOKunN5DbBTFzWK5mx0J9Ukjkt4eJd7oVED70gxKRzY4LJBYYKbKmAnMeb +9CN1NsZQkrobwaXQl8UthuYU1QBOn9kPB5sUfPe5OKhO1ccTMpsi6JsG/kbhJLoV51kzrbUKYGH GP5jVUiqKttmyJZU73qrg7u/OrgQcZlopwNRUoG7miRv4eQnkqpruxrliFd836i6zdJuuYuq25Ll xH02qRc8/ldM0tjtxH90BX2Xomw7VfaTpOGioHSz7SnTQQYlKl1STipmS/Liz1IpydV3qYHNe/Ka Sc4Nwk4BD+DEApnALaLN28XdUDFhhn1ujrhL4lHiokYJFO+b8ZMrHo926Z8RN45UvbXtjNJtekud qFc9lax0jDP7kmEogEUTXG1S2OQ2XUTN3x5fADjlwTNhSHJ7AQVAzg30PgYit2Q1zfp06W2jiMSW G4D4tlgvaUI294d4koXHAxTA071l/X9eAJvrexSl25XDya5BAPZoS5ec2Ntek8NJkLRpj6J0Gwwj ILekQLquLXrDYCMN2F8ikYRYD2Fuiykn2VT4v7k4Mm2oZsiVlOroIOhGCB3Wbeeobp4jKD7h8WA4 2cZXSIKGy8C40/Yo7nBPnSNXZ7XBNhSkFxsd/NjyWiaYMCM0iYZKcNf0xRsAoLjnRrnf81kDrkJL dLQiJ3SEkaZ7lkR3yhkl25WZZEcnxRa//lcnbRIjSjwetdvWd7J9LoyxHnW7CE1CgD+IhtO2aBKt wC3qUm/jSIyERm5R1N/GwAz6vgIp9ysF1NBfbUlGQaeyPVGSMICKUqgvYgLviHuiK4Pf8QvDXTQt LoXJNnSTMu3qMB3iK0Y1m19som5jxn5z7RnSJNOQNpnZVp97YXrJBgn622lzi6AZ5tplC5ScfLFo hAH9+Q6KLrZzSS92dsssUAI6/z3kzP8ok82os13UYku81rio07ZmHbwj6ikP8HiE2/oO2O0kKxBY 9y2KwCQkoDbohDu2jVMHAW6WyZNCUMpJkjNKtTFT56WffblEgAlRQH1oC42SLh1JOsWjFESj4ja2 k143wCUzGNVKllut7DezQ3IepFEWbqmK5/9S3WB7N7Ov5fXIDFmSjZT21C8puQ671c79M41JigLJ z9HvyiQNbXFbrEHSJmq1RGUygkmHFF3ZsW3VfLV9k1bR6/7Gbp8Qc7DFAIDnviA7/WRJHY9HSeSV JuN/d/2Afmd7tKI8O23qys7R7VZLVD7Ftzbo0aBwVAE34R6tCE0CaRxc+XX64iBJDFO3hEk6qEcQ nz4hFobO9JBodKFcjwDACrvaEiRdTI64aIvOv1SJPczP8fh/UQECKmjmy29bIZpkVInYu95GJwJU JIB2LzVav2qLQjSJOkir38W+tXGyVMq5MRyd0rxRcrJA8Jsm2hgJOygJ1TB+R7RFZGuvRMwtzcYU sgHc5h/lpMIFz0fotm9CMjy0z5G+xyjU1ZaNcO2utnHqku4hW3pAjKfNYaQrRpPgGBWRtoHsxA6x hYQmHfSorF9LG0toA2PX8IEstpCy69s1/I4ggSQaqUousWb3jYBqntwV2/kVePyvYSTHBVDVPnmf b1GUY3cySUtN3mUrtblsS6M4M9v+k0YzuPhXiNo2qo0u/vZc/BMCQPAeZG507jEKkpzABHM+tBZR NPnFT2zbilvaHEUuWU8CgyKBDOjg52XSNgXP/xWNaINQBrV/7zmKkmwUR6hpWzW0TVcCiVFkwlDI LEhqNheEFdIku+Ch5S5OAIDk4q6ytDk3fkK/HjoShHqhRgZS8YJtpl436rOR2d6aFqRHTCFhViVX 3H5+Ip6P8qNvxU2gbee4w5EVZdmU6DgfgudHlbWUOkhbHST6j0zoO3GTYkUSmmpQnUyLbdIpAOH7 ScINLryyadl9kj7gG5COQRDe/e4SZchXBCQR3KZkdmxLbl6vFUjBFpUifMkAR3I1gKm1AerWuBnS ivLstaTPvpp9buhS2SalZYJJ1JbwTQrzbB6htQkU5WJJJMy6T2G/2WZjrJXALfRhTo4ADuZ4bCap sIjfEmwSHUfWpjAJFKqMOFMxBpbAXWp4PLjarnobM6RzklZ6bv8o0wa3E+c2V+uy1dF9kyAdIr4N en/TNinEbVOHfFXp/9Sr/wOpjQ4r3E47DUjAoBE3O26mc00BeYlz6igS1E34LRGaVKQktrcL7m6O sitNKTg7Q59tRbn2Kv8otp+7ZbXnJIXA7VFEajfJ9irWimaRRP1qFjm22Uet31Ek7KfZ4m1t7otc lUc631fpbGtnaduBM4Lmmia2hQoa+C0BdFt+ypsMAC6KSedHSFP31A18yf8y+ieMFWwy36WYKikp MheTgGmY71InoIPTyLaWsW1WrLotW1d2Y2e9si3tfGsn8Feybc7dtii8d3YJHRTI1EzQbR5VMmol r1B2mwDJKq/Ser1KQS6ToHQ92TweD3KAS7dhexeM836pyTvKtgluq/y6rRlJqQ/JJKVlPaSJGKI0 aUddbSKSFxQ1fLFNosZWLuz9j3NRTqIDzuk9v2jB1AcMYfdzZVd7R9l2Y/YJHKov3KK+EfX44Z23 wOMR26Z8hyS0kE5Q8S16z7YBbNsEjkwfIqUrA3z+/NupJKLrU7w9FCWRenunMDkXByOdL+T8H1lF bvjywLFmw0470UICyUa9Zq6J+v87BG+ze1QE3SrJxAbqKWwHUz2It1Y8/pdUolSj4DWN8Ga7FKK3 pW5TppdtXcpIlAEuq/vIdjtTYsf5tiTJ1SKpT4sEQJpJhjYogB2wBppFIbEfQxJ3PmorNOfFL4k6 bUMF210EkIB6jpx+zleHx//kScosigmud7V3yJPsxLuO+vj+FQ9JuezkgXu7osSOQSQkSHYWJVw8 BShgbzXq2wx06GnoNneBoSK92nZ5mFu83HaUcm8Jt0p8m4uRSfPoxEueWF7x+J9ayZr0k0qSPHDv ELuduDW0AxV3azS3k5j5uiWUVE2ZZP9Ok8yAGdviY6STDZW66fHeYe2xSJNslBTDfw+dEu+24QTi d0R1ifQ2GL0puyEhsU1tUiOJbDwfYbbuzJb6reffN/byRGnHIoCFrLbizKQ6n01yvhLFSYx0s0MU CYdspQmP1B7Z7cQqcNLYhkAANGJwyZ3YQY14XN4uA4AsDb8jSJMIbEuN+HYu+tx6sQEJ1PPweHC3 pbtHiT0ANNUvcnuHqtvEI+Vldz0+N9MpgdTXcqkkNGtsj0INwC33KGkAlodKWmDy1smxWRhHZgrg AVxwIia4YnTiMobb9teNuKTss8GE1xb1bSv0JJJaaHz8LziSRk7A+vTrj7RDcRIcpHOFunlL7Q4e Qe+ZXQAObcnxxlx7Rxn3HDpIZEpwsX5bhZAW2ahQS9ZNRJV7WIDC2PCUc64EVAirwy8JcknCtkei yx8X26Q9Bo9VO8cLj0cNt2/99tKAR8r3cgub25OUGzaGjQZoWWUBeCgZfWuJvtX5k36NSI00dy4e kcYGfbirUwKdPM3+4V/VwcBEhHIYyZ562ygiUSu5q8Xdr8tfQ12s2X8deDwi3HgLAHsLJBL8V3yL omy70XSjSu2X8Wi7EdmijYAHbcdH7vdsuwj+f85Pvot/axhkp9mFj+qVoD+0tk90gEMA+CTuuU16 HX5HlEgK1VbvopsNZPCiWeQceDxSt/tmSOCiOjv0ONxEybbEJMokPlLaUsyRNtv/TRITgEEPY27l FAqTsJl0jt7y5fZJ8LVhPHHOUDplXC9UboXubz7pGbF78CaRifva9saBHxkdAE7KQOlWVG7y+ZMM P3u3Zyv5A/60JCNGklSzku9WhUqAmfN/vDzwIxiaLHNxH+wzsQ+Ad3Cf1hRn3ZSJVLLIxftup0LM zB7BBhz0A7goiYSeW4F4kLMBmXXj10R0QERvzCF94ZFaUCsj5/H8kszng+LkMU1gQ+e8GYZ+8/om pNjvhu2AnN04IdfkOIBBATmDb2d34Eghfpt9t6VW5Uo3hNeG7iEgXJROOhUJCV/o6MGhDIG85P6I uS9/4wgvIT+gKXcgqEOSvwJZT8I7wWBb/AFB0nQZ79nuGNBvni8wbHkTEEgxA23VVCDn5GQsx95W AOlsq0JDSRqRDrWZxmN3d1LLU1D0QS3XfbJYCGVSOxlxlfxZ0FBvMSdzgBTl4GzoJvrl2KJIRaYV 5yiABOD5iPe+vponOyO7vBhlGmsHICWll707JpDKBwxVM1UjmZ5aiaGK31/U9x5ClWA2bott1DrR D+oA/DTAtkEuuWev9FNvmLn15WrlJcshKEXwEnQVoFm9hy9qnyxM+1jRbZhNpBBfcsnvWQMCIAXg U3X3Kux+V0neJCd1Nf4sEgRzJ+yNmllgyFlYD9vfFFJIgN/Z4nffRCNIuHfUwG1RJAgurnhLDK8W XQKa/N22vXGEDuQsJXH6zcXwSrVKTf6UXHo+crq9ghMsWSrQCdeXI4W6JVCQqsBa6/6rs/JD3Nyo rKvwpIGSCer6Ue+Hynhv+Pfa4micWkkcJ8V7o0nZ2N2l3wR9CU+m4NPdDJaVfk2kOcWeXM3dF8Eo 8dF1L/P4fMQ4/XYKwITwB3zqu1OhfEnm51d4/TGxUgqFnRoUpaA0R2Hc0OcX9sEFWZa14kyP8cQ5 Rh2e5Esa7+d0krhEhB/GKgN98Nt0KtXfOCheFKA6eRh0RjN6IHiNPCXnIT7/l10ACaoQB19fGxVl 5qjt0OQd7hrYhMwh7MQ1FnAGvMRjAzv4FxBsKtUgLvbtwfMIAMHOvhNyWIpP8MROAKRQ7DlQcPv7 RlkCJYMrZXG5qDl3vlgKQZ7Esw0+H3x5t4UpHi8zlXZ9g1KUni9yBTO+AtPnpiMC9ynP4nL4A0ou tk9hN3wR3T1z8uVSKjERL8qnTiGc8Yls0H0ajGgRWIuDBkomOxe/Jeo9EZRLdQUt2qjzrvQ5ol4m n/+zGkY6UZnH3lwqdKGkXTmZpTY0ON+8d+gMxkRRn5XdhTKwoQS2goABPG+Ll8Pl7HIeHGU2xPJJ 4YB2bjtMZ6e36GT4QkfuHNhQguDCGJ7ZNOCierj3MhTK05h8/i9Xbkp8A8Y1V7qub6ETJUmlDary Vu+VtN2us8kBjp6vafuBCqwozc5kn29h+WJ4+BOeQGOnCBVgKINl81qYWrVehHRwXTyameTYiZId KGamthgo7gS6YUTAyueD9PyiUHj4zh9vPDIUOfaizE2K5vu26Yp3Ds6vdpXlQgQHr7zQjJJT3xNh avXF49OJ6ud8NQGZM1oJ7NOxdoDnUsYv8n0q/sK/CQhmYHu5FDVKYJOinApaDnw+Gvt+c5kLrPEQ 5Xyfos74Yoel9Fz8OLXH/TVTC44CObB+tOMUJeak6O7G1ECLHSfMVWBCidZ43icUNlnEdCIKF26c cgW77vv+Jtm5GjVyufCfi0Jpixh/EjQ+H0EI2ne2iauEsmq+T+95OUAEFBCY2zSp4T1lbd8TfKft 03n7Z59CjiWRzMUYcs+AHDaRHUZpEqU+JVKi0VDbhFsDB4CZvPWipLaUQ1vKxRwTAsVals7TeetF 3NgP8OV8/i/LblENOvSZnvAUaXd3OgmUMr0dVYYZdhZaAHjHDrYa9t2FOTnnUfRwt8UphLjue6JK DjjkvZFl2U9ldv6YGE4Qamnopj7thSOUHOVxNrGWfV+s5QKIR4zdVfj8f3HJQZ+71id/Co0pp81a DH4CkFB/uF/ZegcrP+6doTPlIjlwwnHaFk80RwUChXYCG3LkvbHOK3igYBKVbhwvSR2p0JpyytoM aq62qHQBAqdLTZCnPsrId/ZRAgGsIG4Anub7FKqbyJmiGFMHM6l0cSnLPBgrvICn71Mkb+LulC35 YvnT+aefkngm5Zn9fFs4ebCVOQ80EJzacM+lAuaqfkt0npg4oZdvi/KnXMmQxnlKlc9HXIJLkmM/ FD8HKmK+UXGrnIZsqzjhYl6n0xNTbDpcicH1jYo5l6xcqg5UfQ4UHM1KZixY1F2cqlxQXp0vBF1g KBk6gqf7GweNgyQH730XNQ4GbhuBwlvh85HcuUco7gnQYD1fR9jQpZLy9RUSbtaKSvmKeG7OHKW9 lOY9UWFG3kwMb/viEzxqwcjq7HxvcB4gHgwG0SfVQfJd62OaYyEqapoXTu/aJAODi45U71kBBlok fD6oXco3bI7BMUNDy7cq9KpEvEBkqsODFMiEFqQ6LYemyGAGCsuhWSVZFTtVGjJw8TMFQyGqbULQ Gw7qGJgz7flZxEHivvMRlb9v0DUAbj7hB3IhiJ/Se8g1mEOda4TP/6UxKLE82sxcdkEO3Sqnkk2q ev0f6RiYioiiugC9p1LVuchX8n2KgeHkFO6afPEir8021tDA85RlVaJWiZzfhDlVv14MVHjnL/nt zst53sUQT1OD2p92Sj0+H/Q2/1HPrcDgAXfk2xTKnSz6w6peWUSrWuvOxjDS9IaQsn15gV8lgKSV 7oJt++LIMIie1knqPCJgwUh+z8bJUYZo3LdUVa7J3jjq1yWynQq5T2B0MIQDf96tu3L+SvwBf2Xl jdnmuVdwuftORe1yhM6vCA6Qg6Mx597WxqsYvN4DFULECexdK93FD9QcEHpgVg7PykllmMmUhAxi x6zatbftjQOgQeW3MuQXM4aJDZYGEIaIg1mvGbUNLkpciMwFx4F2dyrKywmPbWRKmpsOe7ASipUr WpXesOflgXElNp19g0KEDxfPy8//Bs6c6OG90HCVdd5AMYrZ3Ey3XU4x/Rw7V7KxAq9fbhQAJ1Kf KAiL1O05J5c/IBos/JNIbUJXbsIZmldCogdKVcsbdrVdTMbcpV7cSh2+UbH8iZq/ufviiMOTqEGG kVy6VHaSeBM0Xn4G9G9y5qRYqMxc7YV/K4jhdeqLGgeZ5h/4hedr5vN/McV4v00qV61no6LMHMNt 3HTVOlHnBC8j1E0iO01MhxqK2qhQd7DLxWoUXyw/4H3UQKNtmFMijFPAYZCpiQKw9avKtKa972/A jFkY06cr93NoKJfPs0+bz0f+Q5d5wC05f6ZRrhpqDi0s8a6Q1NtW6Z2C3xx2CwRezTdmkMhl+xRL fRdqfM7ki+0TbbKoXgeUHwhEtJZPm1BkHKiB0KvhS7aNChEs/OTYv8ICBTrljWMU3lm9Jr3lX3Bo HHiMZGEbdfcpSsw7PRpOLG1PRXwxLFTPVIel0Hyg60dFxDqiV7Io9flS6k8WdeIgdD7oM7zo+QG6 T6GABRhktXsSJbm4HNpY1q1tqtkXdVigsJWtF5z5fKTy8U0byzAMXvup9EIny0RgHZxXvGM3zFYH ld68kbyV6vsUkzTJB8PdYMu986CvX4WuP+E7NQpZ0tFyYo8KdNHQZCRqrNgLR+h6zhLktJfRfeJ5 AkytyxflJGl8PmpF+YeX1I75QaHm+xTaWVJrBvD6G8jltye55uJejQDH2XcX+1mKYVdp+cnFOywn JYew1WaHBeJrHLrjPPzA9wXbc2GahI7n0NAy0zuut5l9UYNyp6xZjxrlgaOlMVOejh2QOxOCsr5P UVJOLO858y39uK9V9fjUrq8V0As+UQhMLYHGqe9SFjB1mQuAHMo0bFh1caIwIdSE1kUGStfZ491e OEAc8KZDvuSLOlEQbktm17z5/F+m1ky9UFaje+T7FALIpfkloU+GquTY6FWWY6MnzRWVlYfGll1E jU5rKy5e5MGuK0s9ZvHzwKcJL6oOnD16N0Dz2ciza0QVelvOLPtY6RHim8nyIYCWHM/DSUUyf0AE /b2QA7wghQFRQvlWhSgWlHJ1C/ArUtl2RkJ9hp6Y9/lWhWm5hnnVzIkec2voxiDJZCIAu6JEfVQK uUP9FXN0ou3r1xw9sLgUqRv99y7jZoAqxNwY8NEiT+pcsPwB0df3zQHqoI9+wVhik8ve5Ljjh6pz nibP3drNeQ8TAO9GBS6X5k+IaDF98Z1C/Yj6FcGcqnI8VIPM0YTrpOSHdIc2gn5N1N/UnEoqKZDw Yc1RK0nZhJOfrIg/IMrLv5UJSLd+GJw5MLs0lvUptwyFwf94EQfDlK5OEYZEX6Ve6HbZt/SbhSUr l8N5boh9/l+n0e2ijjaH5x3drpwmuh5oxjkXKHV74+jeY4DCKMQWR0YBf8WEEx3OwPBSY8dvqiuE Q9uzUaEcuPp2tXmDc0/jBsPxrTrR5dy33Y9UBGJBdv2GjMJMD9XKINoH8jKDNTHUM7Awy73IDP5N +Vt+K2DOv433H2XMpNu44XfMMJV4okJgef5us4DVNtCF940KW+b4lNpihSNVWTCTTHxvzuxYO1BZ bKPixHwSj5jv4pQgeKfkoU7wuVgbOzGTClG5EMCUvvpRNE7PofElcBAzoaXoi07UwtSHX2Fenc9H Kvzju9Sj1HH9SqXCnnknxIdefyK9zmFy1zIW1HCBFp62U2Fqrh6wWAhcvBUMuTrYH3OnTvZyqj2C XdHbohl4AePAcVHd3zjydJI1mFRB11UFhf6LPJ3Ob+fzQc758F6FdU0wm7v66Tn0v0TOnCE45i3O 9Fg7Jtge0LTwfJHthqk4O8fV18ik0qKtgu7uxDB1kmp+oh/dL87/5LXbJ6XHfaBesrB2gQMmABYS c87LF24VlAu7G4bo+SBJuBrqgu7Dk2fdAWjogYn/jlkVWFksLCfTzspime1HyA4o7QxMMJFuUGuG ajtaHJ8xEnXT6YB1Qt/GNQolWrws/fDwr2JVnMnm4i+Jojnnn33Y0m1OzKSNTUviDgITzO9yT7qi NE+65yk0wZSyU+nF+3bDzcEwhSnTq2JKa7HaC10wl9orXZ58/fHkK2kUiGHLKOQcJ05hoPBYYY6D bwCVnqq9kf2FIz1eNjgZo87CbIz/YEhTskkC/Ad/QHDttfaVSaGAAj/nblSUnleqFrfqJk/wz0te FjenwJxcKHn7IHDCvE7PS9zF9XAXoTVQClhbnC1Ao4fAA5yGTjRgevBjqdj7RnhEZpyFOJaEkbwq j5N+ZBlLnRC4+AP+MnlcFss71BR8n6LcHH9GAA968tycQyZJPPWrgb2rm2Hl2AtTgKhOAUMu3mY5 WdXADyZAY6c9pU6LIq/DIozIXA31MDPQ+/4yq8on5Wi+qL05CtJi3nnARYVemA/OTi9HtPpNzAMr TOtGnfsim/YM0HymGDIJ1DOVJwJOeeXFXphkVQPm7YtlURnZKtxVqYK5TrBiunkyq44WBybb62qq Tc3TQzNMGYf3RfwYF7UPTn1ZJagKF8McumHO9g3cRJOtI+j4PoU0z2UtA1d5nsWmoLDVNYgUlbD9 vgvsMH2fJuXVtFgBUxMsETPJsCeJAg6R3A7aeCUkxoTIXSDLtjcOqFWEWhYDkG1aoyovh5QQUaun MuUPCDzWH+EQUYbOkZrXXDUHrpjiaIJT0l1fpdR8p8TTeufwWHPpkBzYYmaOy95cQyocmQG3lzEW cJwc62VUZrlANgwB/n571KDLgTOmaaufzaeqASoiiYcU6KvxxuoZ/YPQGrOsb2vMjI4yroG7U6Gc IR2NWu7u91DFEqI2Foc/ysx7K75TEZilTvk+7eSLD6sAEdhQKsSlt5G78tJTGw5nsD37tLO9bzSr Yu40yvBF3x4SEGntbbCFAn/Mb+ymsFGYUuPe1T59XlOojnKAqiE0IFKlV5YhNOCKnhwbNRzz85pA dXd8ALzHF0dunsy75C4/OkyMVQoWdpbQNJcErVR6aWn8mj71q0PTtUX9btGuiQkNkE54+mWDzgvu pSCupAuU0wV0gmH7ou2hp2GjbBetsSv9Psz0OYu4B/lZ1zF+TZy6K/XsSpUVLs7lgIBzgikaAOXQ gsSAZm4YU06ZKUNZR2YPS3HpdX8wdBEnVm5PvbkzZjul71AWvvD02/48qPuuDh9GSWPtbBv0mjL1 zKoVolXdVUOT8iQKPmlSPChAsw1n8Jow4fwIQr5lYrCviQGIWBv6+U0qfSeMcxaKuddPo7tfG55Y kkz0eU2XOoZKODgwr/FFTV/4LBPCBFPPz2uu1B8QeVcjk96ziRp9+J2vqVI3lwe0KR1rvzQzp0BP HY61nyhauT+viVIHFY+k6kXRcC7+fe00IWGfRKqGRAuLPaRkA84JM9W7P7ibPq9pUofHA+597AYX wF0FDQN2hGCGvk6sfs2Ser4svP4jQA/lGf38vOZI5yFkcpQIvToYJdskCqi2q4NR3DT0NUPq6V5n /MC42HWWcj9fDyyR2k5QhW30eSRPg7AY1qn+hW2Kqr0mSIhAFL9edHjQouYJOzyEEGJO/poe8ent J2j/GC4T4HMzcw12CHUsLrLkLs8tG8KwYPi4HUzAL5E+YcEOTcWepEiULgWhn/BwbolESf6N5saQ mxr8ncGyJnWt3pmmHEODHVoc/Kaspkn2pkktp2ay72aVz2ta1B9Pni41VZiPdjZM8CtfcyIE9qxE yE1CmjxxeNMjmXEQARngNMCINqhx5Fs1garlgqChN3MuYYJ7oXW2mQqAZo1hBopGzF/8G2PR9poO 4RsriNHTTIwJm5NOE7rmDNL9lA2f12wIIWzdIE1ZPkDqEWW1Q6+5EIJ0l6jjGJZg08bPbFSGSxeO 0q6Iehik2cXdksHaj9McTE8gWIhLfqXzX2O4QtcbULABo2KoEXt6TZr5ayaEPwOxlz39P2NfliVJ bCP5H2fJp8d9mfsfbGhmAD2y5ajUfDQ16vaMKASdxGILW99cNAlvauHh1N/585oH8St+X/O0cscU WwF6bU52Q222ogBV4TC98O95O2AnUTaaMmrBKZ2qsHLPYluonJRukq2EqxDtBLa5CwC+cB8+B1Bv V5CPai6f18YkArSJuYSmOJekLsfJOOF+xJQaIqFBgFhC3AAh2DtNf8deW5JdSGv4EzQbhEN00Qa8 GLYWP6Yx2pDyRZgHsWnbiHde7cE7n58W1sXmngIED4nSlUOxkiGZCnSOQ+Ro6PTajsSvsH53bqum tFALljEIsamvzchf9xgPLODHfvZ4UsUolR5Eptbc/CormGiYOkjeflBDacLph1GQiBVIXQzp/jCk T+qTWJvRiIc9YlT7g1kGxlk/pBT9klB/Z9XxPsiUlyGIngvfs7HhGyl9kAE2a/SizexhokDIuJgv kWWCKCWKXgxel9xJhR09aai1JbROPWdu9d5RmFIvlWW1++JROv8WeEOz1geuN9E/ZfIwSuTUIWA2 1qUh+uedU9dd/Lq3fBddaAmtNemDVz4eHNh7fd1ouI2mxC8ZpPesGkjL+nTV1GCbLjQjoxsSD6HT 6HivIEi7EedlqK8+L+wkAeO1B+eUvdAEUzAmEFmBxsakwpOiIehuECL86hBQ3s0XDUqAM5DpRd18 PEiL+k2LOH9Ck7zMu5GizLo2NWuLYwcbtRLVrKWRJAECYOg65iQ4tFEpISMilGI/XkWtI2Gk2yGo c2cHYZAAZxDwdqEsC72Qe6lJu+E9uZbkILCos/ui4uykQ2pinPwFA8roULLWv11r6BeNJ0bv2TXa YXK6XA6igKSgNWq3thTdU8p05vh7ep0lMLM74ahafD5y/mbek8ljhe/VEk48UQofnZNZ7nxkqv8Y HkgyvSTIsuGulK7zyZtryVKZS+iqBTESmA8hYasIYhqjkjfOIEUJNrGN506pDl6i8KrRoZMrzZ60 7tLD3jNs3ABi0YHzpcVeNhT5k/hJOjpRUwYv26IkOoK+8z22aXH3eSfR4XVJyLA3RQi0GCtzmW8R cKCfdw5dh2ChB4mXG+kJglYySGGS3dkcSqVdRMDOzuTJV/06z+Z8gijL7lJQw9zJFp+MZMyRRpfJ bIOEEr2KgEecwKdn3m0y4s3SIIjS7MbRUe9827hoJ8FZW64OJ3afdwJdfxTCrVIDiIaaPRakKM+e pryzXaQI6hbWDDFFLKEB3NDpnT/HIBH+BpckW7xd1M6LhapfGQBQzAgSYEc/6Kn9ZHrMGa9+2td9 D9KgFl9Vvs1aXSazs9ekKVvqfDxIJM1pHrAyZZ0LLmrTo/SebJtCShNEWzRDd7sGCmfqv63AfLvs VZRtU0F9d3qjaLHGLPyKuxmENHQXpuzWITrWIWpEWPudHtnXfY+SDUVof6XFoIINIkjcHdDcidLt te/tJuOrc9v12u7RHWXcVBd5ZHgzcrL8c5XUbS9N/mzaS2HKzdmahL+02NENgfCNw0jGBT1TX+4k FeT20mxu7afPP/V1/xElNGyWLzqVTgLQujCSAEtEOXd+WiO83toPp7DWe4wybhQDmBa15IOjmryu bS35gO1UFQZTeqfM3VyS7k22+MkNjNvJuMUVGKdeY5+JakSLejs9PZJgnEO+U+a48SVjsZcvypPA LEziFpb8eWfM/VfhRvFrqmkoRlG+jQEIhv/j4ijnY8fTzaQHxpeeSr7T5bgjtxpHw5eL9N4gT5+/ BUnH8zc78+0qMTDo6NdG3SYdSuxBvvPlcL1NkZ4HPVggiltUlpwyXHoQHaIo73y5/khcdWIkYAw+ F996RilqY08qfUC12HpITZbgnBYJwEygN24g7aT3hFt6rOiNjLv4yb0o89HUATi7Eo6IKHDpV8Rr TVIfYuxoK72n3OrlQYGP+UOCyACnIZDkRzfmh5r86/POleuPi5pSJaCsKdBiUQpT7sG5v2Zq7LSt 4Wi3OrLDAlfednK/M+WYxFCtuKfui53cJzkC6Jv8r332J1Al6EVC0iOXRBX65nppJojyTpTDp2w1 /Hv3RXN/+I9SgQ9Up887T67ny/7SC4fMGUIFHqQo516NJxEZykwnx7YxGsa28xa4hDjyhYtb2nSc KW35YltpwmUC7x0yJVCeYWJ1DiVmSgAKZnDdrF8rc6d3jhzOB9YlvVC7gou6tGf/iwAPPMznnSHX H+/r51CaaXii9E6Q6y7sPHJ3I7VC3Wrxvsry1y21aQS5d34cLzc2kqbaSvOKOZ4EcMMiHDfJTmAM JPxfTqKNc8XwqPZanpN76fsGmZKGs3JS46Iz6ZxtTZr8J1n5vNPjLGVXkEiMBrxNmnsM0nvKjWY4 mwDFT+7zW9rY+lQixcSbKlD4lgG8c+PY/71wrV+oLZiBnROqk0Q4oBSKcCCzX7TohBkiNG+vRfjS 930/kxb7STnluzBIC5oIGo6MtT/v3Dj8c/P8OpJAfBnydmCQwpSb11vLV22+re7aaJM4dHUBRvUj 6T3lzlSrQXTS9sXSpDLglaJ20jhvLVAeqEsAWl3UpMOP4cVbGfq6UYwIeV8CwKOEGsIpnx93E1hw Lur9eefFsXvWfcZGZgyxgGl5f/udGIcXDpUJcup6x5DDXziIvNgYcuV7v73n3Mn4OVWpd33MiwbM 5c9eLCjfOhR1CsXTOoqSAfNV+PT59TaIUn7nxbHbgRObuGJb7OAGhkfYx9w/77Q4PD6/DyUA6nov 9+COMm6KVaBkc1TbOX7uqJasIDbdzm6wZsk7J44NMRzcgyNJLbaVTn3bS4LuElSs0kmNLe8Eng1S NrBGdqUKYm7fGXFdSEzoYMlGtTp18Nw+uwsMsdf4vBPi2GFs3+d2399H0jsfDsmV+pJtesK9prup 7URTUyWT0EXXNDtMuNlh7GXcRSFaBT4qMJjFNgJZ2gSs0IgZADzuNK/OF4rFzzsbjsOy/iaHduoF 6CLj4865/3knw7Em8AOJtTAEfOu8IQoRI2q5teltyZ7dI4SDHzuQBiAgClHY32avDfWrLwrRie6E USsJAUiJalMvpUuwAkwrvBpdXJxc9HWjGGVR5JcvapScLKLK/uL82J93Hhz+tcnPI75V7CZXbya9 0+CwjThuy0TAm9R88vZ2abv5m1ZdqPGdBXdRR02oiPagIgYrtXVeAeaLCY08AgMwQzqvIG0a75nd hr5tVLYhNnuwy81F2yhhGMyO9bmOP+8EuF9HtrorgM/dfts7/Q1HSCJuhIhNQttX2csw24MeloS2 L7gLE4r8zn5jFokcu00h2+ct206WfhJM2F1RQQCdG5YuMA75Gez3lfHlMp/0df9Vj0wl3BN2vNUg 6jCtISAy5fJ5p74hxulObQUPPC8bfA8sSlGuDbo1yrbsCmic+thGYlVBwHYmhJwbKepvJ87apqB+ 0zM8nEcnEqdWY8MN0qKNQ/8NqT0QtaGffp1mQRP6vLPe7ly7FllgFesxoCjoVeLpp9r5vHPekJ88 +CPJfeCB2yN557x1iQEBVVMvpp2WeAyRiniGqBEOwxBF3e3Es/pki80XR2hBzxqHNt+1c7cXliMd Z+DJMQmPqM+YZOvrRrMk4R9390XnEZSnNUs6Zdznne/GfeQlW9E58AMbPI9RlGmbSai6IUQC6KA2 k/npdS2yN53ZYXObV34pRLVzsUx7VrQk0cI5p0aD+jN7JLvQkA+1dyn1kriEP35nu3XpCE84dSxf 1EhCArckmoMf8j3TlqCPtUh4GuB/Yk7qYYpybbXbupTgOmlCuziMhExGwUjGMJz2O9eti1q18cKw /B9MW6cG3Jy30dxxJOgJbNpfAyABmdQf8sOs+hf0+J3p1iVzA5O5LPtr9GEZmwKwHC+6k6fxS0Yj t/V1cKNnP8fwLPKd54a7Dd1lQJA8054kNZhkwL5Z5G42TXqnubHo5F6CyI0tnmmfyuGcrNkIgduY k4CXgASPOS0MxNzTAa3BzzvL7Z7ctZBhCnLbj00jIZPBjglERT/vLLf+aDIaIomE1ZTvsRQl23OI iGQ2PDihbusW4I8fc5sfzXGRUXt7Zhlf5e6LvXIEPI2WhUmCumORPBUiDh0YFP/zmjv59w0GShxK lpKyL6pI9rlG1aA8f+PzTnDrotF/dQBO/jMg4WfYyCjd7kS2CfVL9HHBqSsiIKQoTMRrVxynBEfG 6fZ61UFtuy7gdHUuQZkYthpQo6icoAIyN9elTA7OuN/5bd0FY+GrqWUo5TnV4OpLolx1ft7pbWxV e4NbaibnWFhl+On9Tm9jx5WQNvYsQJdEKV1kTTA64IOZ7sWQDfmp2Erv7DYCqzhuG7zhuDi8LQGY nZkqnT8EdVWSlHEo5ER4Wxqu35XpkP55Z7f1ZPLokBnTYhoShH9zrt5bWp93bhv7FPU7n4QrSLp9 kndqG/YvKVtJmov8jyN155RuU9DDOAl6idxKUYO7VeFH510sSOW8WifvXnrfCpXOKDwB/FUhQh5t tlu72feNDm8mS0PCVKNeCVQYT3R13Pglo6TbkyV8PSDlOjg7FqT3pNt0U3F2J00mAeq9aP9aNH5D f5vOKeeCe6e13feNzCBbHEp63rFNbipat03qE2C9Z2Jtz4FboOllecAgH+Kd18YOIdtISLFsUR5w suklR/WT0n/eaW1MI757AJ0spu6jkndWG7IHdHxhFXrdCPY2TZyd6hyOKZkOc3sntdkAGSUJAQFc 7vgWj2eOb88fzbuS8d0HNwUgsGApWoGrPsk7p+2C/ksSlzQZ373Mcs7uIbZxa593SpuNEJ5xUk7A 7UKuzoIUNbh5vUG32hG3EE62jLI06zBVQO2tC/DOaLPeMc7lZ7EgnV/4ZHvovwDAdX7xTvcLlu3A 8/3A+dGSbnoBfd7pbN1N+RaGp7YYMQKMEzvCx+edzNYfVyfbR5U0JM8m36lsRJSwrKXrgXpJkjeb NFN1jYmxRrXh7TuT7e6j84JPX7zCPdsIsJhEDilyQeqdLpRbA9nAGo9NqLZR1NzuUuAYzCm5WLuN FLkfo0a+s9hwnNX2dR6h2wHJOA9RlHDTx4Ny7k6OWNl5tmvmO5XEVaIQhQk3QwSWvy/e3D67pMzB EBWSsii9n4BHaqimFh2vNG3DFfx5Z7B1MdComZt8MaAEhnqmWj0/7wS2LqHUp3TDNxroyFqIwnRb XuqPRShF7qX4hsGil7cEAaNP0kLodmGfpBB5w8VeNNjZw0OGkpQNnWRa8i30XDvElSCBZu6XdArB ZwQgQBK2T4mUfWGIMNFWqXt2ZsPjQRbZ/eqfyjjZU/YQhak2gI/nwE7Vwe3F+rfwq2zebZsA9+vA jvraCpEsibX41HZPuF+TMtqhljtIhBwYs3WqxKML5aNtvWkhkoTwCJjT+KI3rZyEeagjWQYe/2v+ L8lXgLHGzJ4g9TDXBgIAI0eTxDu/zjI46WyUSWHD7Rnb9vdcWw0/tP2Za49+c+2zhWjTRTgp5giL FNHzAsI7omHg9fTb7rf9B0oCEhLbF22kCZ9kMbU3H49QyTeHpC4VwrPmjVGUabMFBY0jl1esmpBQ SELoW7bbkvtf9whLgi4q+2zDF4sRWhhpgzJ/YpRArFzivRWYIgCilla6DQDqbfSot11JFK1ZFW52 Q95OLhBxffWUIz1KtNOFk8qsb8F29cYoTLSzwUeKF7Z7Tj+zKXemgSQAaDyze9TbNnx7bcsXr/8b MPNTlFHMc3HDQR+Bmx7QjoIy2Ov/ZN/3H70kAEkIuwFi0ARbVgVNif/yVvB8QIuc3+3tPGnllxxK 0kNeJIWTTrLRLdWGuN+Pew1sMbXPoYRGHG3SepRqk8FybtS6fHHoFmU0BwUDd4LTDka70NgHlARK legDefOWXTR8SpAikbA1ZDUAtpN18/cJGmEOaO/h8Yg8+g3fhoF2L/f+7xE5EmXPyRx2dxmuXpcF aezuWeQ5fGG3jHqkh6m2/D2gv2jLPblPcTUTfXXOZXbeOU5tKfC66Ywzhkt458XbrUcN7sWKdmQl oLQZkioCvFp4vcHWAM8H19vtSxLrPYlM9nqkx6m21CMuAzk3q9/g4tiLNW+RMdtOCgmSrNbQEPHF O0kNZCuUujCzgg4i2pGgFpwgkTuG38UPJWq+9vdcG3ru4gE063OjKGXRVnYjdfoHpDA8H71vfr+p MQ79/ItM7mGHm+yKvte0HsmEBqTsGyv7ugzShmY5y/8ew7e3hF6rL1b+g0V6LruJyXPCnoTiF3i2 dPMBq/dU79feeQF+gE8Jjm42bRN2xIT5G/2deCz1BJQ4wtRqwR/4C+BGcgTmlAMkdYvTe8btA6WC Wj9rEoBLBCE7qf7kWZSJuUHjkG9cBCdJBK/vTVU3LraZztVzCk+YSSBVBTEZY7c5oJCQM03QKiWl ZOxBfc4e9birHOQ648RF6K0EJ2zTOZh4POgAtNsBIP60oy+Zb5QiBHeX3GspMrbEr1utLzkBdJQq wsiDdUnnX/pXXZKlvpVNK4xJNzRQdyWtZBH3gabciVKiiim6YxAd88kbri18SpQtZY4lqR7MRR1b wFCk9nqSDTwe7KVLuiWvBBLZo108SQ/z7ralnCjNH/igcEay0VKdZVs3KWFKpHcuanGbtkal6RcX 30to3jWd3gvfqZJV2oRKAkQElrPelxxklfYIUQLYCJ1S78IoUQlgy4W3TDwecbe/r7iMLHjsu5dG lHdP5kuVErzKl3p3L50hhx0OAqbPJ0fU41Yi0IshS251MmEsitYJq5NR82KUFt7in4GhEoRIjVci xe4R5d2ZBth5ys1jWt4KaRYzMQClB48HeffIXzupUSb/NrhHlHb3RIybALZsvkkjYbHGNRwXOm7d RkojbHAzNuin+mIb6fxS8HDoSSOl2TNFzRNzULoXPepIhTr1+JAgRsSSYB7CpbQfsnxrgnDslmQw ghQ2uHf+1Qg4idd89lGI4EbHFzjAGyR6IrGdNHY1Jc7JklRBihrc+OfuPUWbmFKSGMDdJGgBpsUp QIXj0JRYCdJV0hVgwOpOAud/NfAZAaCUUhsDKQWX6elk7zTL/oGqPB6P+Em3fpPrevmBIYTHKEq6 6UwHUMlyFGAziVKUE9m4AOcf2owLMKKk23A3lSL5gswxRmNBlzQBSwk7ywnxPgrD03wDtTPwSZ4D oMeDz/jXZHLobht+txWo6clRokEEcITd7epQACQAMCodad4QRSl35wigLBMhAU8puZhEzw7fgiGX v2tRyj1pSAHhC1/sOMrn70BfgCO3c0kW5Qgtgf6IriQ3j1FvKWXxGSF6m7n2yevkqIdEjtfRKcP6 YiN05JI/I6RMtl/v2qmFIQzqQYpSbtTCQKDlq61VkrMlRu4m+rPyWP6uRSn3YnQywRBa7EA65XFH st0oOJ1PJUT/rwYqH00kgWuxfcRy5jNC8LbUJE09Klmv5PwAqeelNsCYeDyat+2vMxv17Wi3dBsh eJvz7fPj3qqEasuiAjYjUFQAAmyUNCLwdhG2LXMA0PIzABhnC4HuQaTkgJId7YeQgcGuvNPn9kK3 q75tdGRDwD7h5OMitjomJIlWiapuR5hsX2kt0ZC25qQeo6i9DWQGBrfNhyR9d8cAlGHKm1Docajk iNrbc+olI2LiLPReY3kLeeBaNCQZJ9eumRi3kdC2beBw7RsjFEf4jGgCkDh80pAEw2RDIk9zGYFo ecfz0bTtu3BDP/TcJncfham2ELeE9Bo0yRG3q7q+BBC3xc+jONXOVOCWhEvrl+W+Tw3STYEMcsoL xddJtQtVgED/BDzY3zXmkCPMtBvbSKAqAhaGm5zZ6qm/0ZjCZ0KnEH8g6AFUP5AE0J3w//Zu0ghT bfp0lFHc4ZOoYZNFFLaUoOF037a4xS273L59cT4JMAB0TAcxCSqJLEg6SN0n2YW2NJm35hWgYzvs caP6hzyaFpR3cn5NuDHZ/TgJGZ6POiXpay8BTL+AAVGUZtjiJleCvgaS4C6puHVeH817bitP65TM WOxPI1umk20+qfbZHgnUfarbgncNqC9UW6H7uAG7/Zq4Ffu2QWkrSfdV7qLh9kl+N282gBrxeBCi dvuSibvupKHtpkgzyrVx7WIMXxUYtNyyxWiygMpyWhrbIDczpEsS41Y4CdFi53aCQFLO7CZBmhq2 XnRhpBTp4OS4X8gNkzV8SqSJSMX70e+iy62erFRiSbUXPP7XoaS8HIDNq9k2o1wbEhn0q/ceN8DQ dnDDuMYPJchsSk8qZEvWJLnI6Yszk2qn4ZWUbuDn2HgoAaOM2BFs3i7ihpIJM+xxT2HccvUlWdFv RqIQ0sHjERAwfwWJUqT1FiQzSrYpGuwGJkK4mXgCDEzMlgoIEzcwmXGHW13bnnyxnYTBIUoSWnad 7HYkTiY7xiewuDZpTTM+Xfq20RggkbVFqmR2qmSF3FBVsn0SezweQACuGNBg8X8O/rOh/XabUbZd KSvVpdeGGBn5j8BbdxM6P1IqJpgwo2zboKSY4tliG6nBCbZBqhO0JAgbFHIl0SQG0xvZ9roCybSh mqH8H6U3ahKwNBkIAO612UAApVc8Howm2/iu2iDiMqRkzSDFDe7OjVSnTQFg96PL7bxtzeWRM/Sa ebnNCEsCRgvyo7Z98fIfwgY1k13bz8kBUAM2EiZKEwrDQD57mkR3yhll21UIiUxe0sjGS4JIf+eE 52ykMfB4kErObwVAaOkNpGgWoghLAjYRsCTXkHmlaR2SOVwY8JwV8F5UiKL29hL9Tx2S8tUhqR0M yU0fgPP/wcRs8l2DwMwmmDtdjDsuK3zGv4a3K7F3S6EcdtfySU/3ED508CtGMMlvFACasGAleIii ZJsTKPzG1Wu2cVUST7bdLuDWDWFnKAJYOZekOosWn0vOBZ4cXU7PVZL6ZEECZeTzK54DBnJAv7TJ ZtTXNupWKXcxuO2sckaBkCceD0J06SSiDFDP4oYowpJM9LnOt81XUapuh25vSUZzdNuKkSRnlGsP 7aJBEzOlpd5nw7WF9xfstgF7jEElSRzcOEMKGhW3q530dQNIMgyb6faEBe1+aWWdd3dKa/NcUxXP R7X/rdrU6M0cb3mUYppkpm7qzNZE2iO7J94g/YBwkjLc8maGwiSc/ncKRmnxDgl7PJlwkrrAiE1V HEDkKNRL6FeYhFUFPiR62VivNXbauAiVBCw1x6Dt1DR4PEojvzUAGkWtbha5okwbpw9mkqbxT/EW e9nOWze8sm2AAjNGKwKTDCqSlK0NtS8r+XwLmm4IcrPOmyUBXArodOTnjabn65EAWGFTm5bLi/xT LQrRhoe6SQBUPB7pknwrbg4SCi/BbYVN7dnUXfMcctS6PIdcyxskCyhPhSjEknTOkPIevngPieYt 0OxED6mliqp/oyHAJv7AFOeCkpZ926hBQg5p13mE/g9vhprOQbdEojkXKp6P8H8X2m7CrVDXXp5E rlBeW+yt8dAk1nIV+1Wz09sLhtCKUowmYcnW+M4BMIcYcdI2z31Evh86tqcWqUwiQUo+/2t4FrGN lF3jruEzok6b+ZgmXwyVBM1ibaTFx/+XYgR3L1zBPEQhU7JmcZK9GVlkzMkcshUXSgLNTnf/CkHb XYSbnH1x0HYauMZo0QkNzipHwQYA9QC8DoWT3/1Et624qV1Jaee7NnHNSSRjYXbHLtJJxgqe/+s8 Ih4ZbeVBe1wFKUq05afWlSBRDHg3c74DEFG33LnidrMGyYqJkmKs7+qLNUjarJiBdZb+YMXQDX0u lS142wVvM7TN1NcNem0yMO1SSsIhJCXAk4EheHzdZsbzf+m30cYUFyWouhakKNFO1CI/94l7IYzJ Btsisn1cH5LZDW6zYlUSko0hXGCLBQlahmdrAXt0/mRtjYjck9SQI4EEmcgQc70j+wCf8i+8DWVx bVFZi5kyiSCgNOLxAEmyvENChMSCiWK553aUai/r/TfPIzVPZ6stZ+NwVUwOLdVeYapdtJN69+WW tacABUmSs39CuMRvQ4MW8gAg9lyzSdJZ8SlBkDhpS40nd2rF2DZM6HkmnTSg4fFIBOC7+w/g1krd GyQrSrZBYIMgaXnM7tz5Bx4YwkqcTHLjwmGQQuB2pwZQovcIFz+TcgGBfiCTnCMPCLkhSBxgocH0 A9WSCyPBx+NToiSJbJteZCBRzKQFpF45R0D1HI8HB/d2GAm/3HlB1yNyu0LoNk0macduBIBqLiSn aBvD9G0GkdcS3Q5b25SI2NKW5uJdpPP1MdOnEjDi0dAmwNQFTVskcpQ80My2JByf+JQIvF1Y0qbu i86kk6SkLgrA4JcMZv/z+3UjjJX9ZYtSlG6vSlWSll2/jeMZi1IbPpAceRvFfcXS2+z8Z3a4uTiK ZJ/rGfgtKZOB5b6ItaFlKZRz8q6PMhn1kleUb2eS/5ratu22bfENMzdIPQc4Ho+2Uv6q/yly169Y 4o7ybfoH1k0FSDUkF/nu6LXlslwLmC4QTJN21NlminguDHZtuXj9f8pCUGOKbGzODuLhfqoUGO2c FwCYUHd1LfZto8KN9hE9T1/Ua9uodJhWjrTw+F90GzkHoLi+OgD7Pd+2Dl3rpXurLWmwjRC1MZy2 NYifp4J7bGUzRKJZvtx8G0JbhWgk6HFmEMMwHttUJwdpDk0sv9xoArBD8LZIpEW9Nu9VVfRWErlp J+GreDy43PI3SxJNirOp/UjaIXibeMHSq6fbffbqAIlVXeWWoH4FKUy3JQSQeMdx8e4/dE2YrUJN apzcGCf8wnjs3L/IQEryaVvhzBgfEsRIwnY1b19ElViNZoCclmw8HpEkv/vaABJBB9RjFJIkKaC2 tgkjM/X2A+lkffkBkdixvUMQiQjuVXLJ9SK3x0lUoUQuhATgJciMIXKLaFLKCphrHyPxattRwg3K KaARUnHd3tg+lU1jY/AkYthHEYqklW9uG4S++rj0vx0CtxNt2rbJ2Z/zO1mdCznJ/dglZAPa7BC4 zUZkTX364vuoo70AaCJG7S1T6m7DngSgNsxN6hW4IesXn/EvI4CSeWQXjCakI4aGlITKz/fceD4C bN13jfTl84Ocg8zbbTtKtyHNjcjU7tQtP79R215jmwbpbwUpRJGwxIDcny92Ii3YbkMtScDtlGQi OYCfm42qzvkKAKCpjs8IUqQss/KWfNG7NqdZ6cBiEI9H6nblqyKZbKk/51GEIkmqbVN1AmBBcW4+ ACNZiOYqzc+jCEVinHZCjbT4PoIk0KhSt0MQAClHbQuPPWzVDAE3o7eRMYsPiXik6rVJdrPti47s Q2nkyQr5+F9gJLaggBwb/RJJdyhLUugoITdSMbdMoeRcNxT60sgWhQIpgDuEkfDWbxSu0+INyUVF +0o96Y5UpnKsjYElLMkgVtBdcJN+xfiQII0ktH02alBN+WrxZBlN9gztZCl4PCpIvvk2qPPGk2vv sLUthZvsTsmQ+3GaZJeyFA2MNOzu/EtRrq2TiEbAXLz7n1mqVXEAV4YyCd41GspiU2FI6yiSPfVt I6UkdrYLtYC46F07m2EIqF7rwOMR2eZb3PZchifRvhiSHSXajX4SFAW140h8ZNq3LDukIMNV/F6L MCSTs8gGlQBbPETQH6XeOgVJz+/NhiRUbkCTxAjAc0jh1PAZ/9QjkUlSuSZJ7dycFqJTHuDxaBf9 JgDiSgPlVzESZue92cZ9tKyaRSNgSZwEThbUAeCPPKCmRofkFEqSENAH0wJfrEeC1LRQ2KwhA0eS hDSSPjG5AFyGhADHHrfS2vaNA0Oywtl/lwoQxLoln1UhTdnE3MqTfyDCkf6CSDDrv22SnEIdQCRX lSQYsCHoNGeCG4O4drYARsNRLJX7FCfc1JIeRNJq2qJITVQkU9Z/C1o06CfCmRzwoDQRE2SXTgRk wo2PiVAS2lL5LtxSC9IeLKlRQ/P5/0UGIBcO/K43Gb2sg+cS+X/LG7gn2dg+mfRmHBSTqntsphC6 3VjiTg7VuFikChpMTIqJbz/XAmU3BnTJIad0brTcHx13NgLwMZHuBnPKxqFAp1A9dQABuyX5DOS1 xT8QZEwlfb9+0CkD5OWGKux142RrK5mgNP5juUOTbcaSgKY5yD2n0E/Sqjjis7goVLJp6SCZnH8K Zg+kApxkExg7TvUqJj5exckWIEXpN66rmTa88Wyxc2oCHY7/CDgAng/63RdYwm8IsAxpCh6pKANn bdOAdTdEYEvJDypTl5hMLlPy1y/qeA9plQs1kR/UBIdwbRbOlxbkYiHHt9GhxqaCJW3py7XKS5Y/ UIrAJVS8P4kFee/ZST4QBm7ysa4bPhMpRJdc/WRCcHC2ZWpDe6jCtndlQUddfrK5Go3LCQukUrFO qo0Gs53pYd9bJq6NWSaXi3kDFncQzrXYWG9E4Sh3QmcpL1oENLm7bfvGEeuNnbgi9kSxmS5Yb32r 8V2Hng+sbtM3NaCjXynzbQUqVCwBw6k2mZQt6rs0I3QtcvZ4+YECkTxQUTo+GaicS/PFScsA/BVU C+h9n4suc0AwkQwjw9qQUfbBbiZUgR8TSU0xkQJzyBZhKDGOE7rvBJvPR2TTy+kipBoN7VW+br9Q uQTM4ZOlkUeZCMht2UJ1DvJFSiXmli376xdrBVLafVF0m4sPnM4JDFlIGruW1jBGwSyF5OyK9lye 5baboEKhb/wPgndaOq8oVyZVrn0yA/aLzu5qfP4vswACl+rZU+sroYoS881zCk1X7xQsb+/CTMtR gp0uozINjARMikQVJfSaH6FXVF+GFKPK+8kUOJ6C897PRPsROYjDBOWrlGJlbtKXaVivRW05QOv5 j2znuOLzkct0+q6DMc1Moz1JQtgGH5LCc1nFxqcUp1K6K0+PfZ3MUtgH5/B2C5nM5U4LoFZWCRWE GStw7ATmol+LbUjPYCfBkZiLT/l334kaFFwUqJVO6qEmL9PO0BHnonOYoIs1/rgrhnRK4pentEsA Qqliw9NZYZiacD031/I4BS6UyBBY6W0pT+2HeLLP/bl2p9hzOyVjwbF0Kj04A3fspbzLxZ5wOshP +Zdm4LlMmy/WMyiJkNVTDo/J5/+y5SY9B/ty5evUFdpQNhm+1Hw7K83lXk5dUKxpcH77MTxOEf5E XiawvPVFcVr1xAgFvsgnm0bUJPACtEMbSvq9pMfJJP/DhpLNudHuojCdL9uZLmLUwueD5Dx/s0+Q h41yGTo5NqIkQLeN4QLL0A20vgFAR+oboFvX1DfIoRMlrUp3pfOrFj+ezokHFgGtXQa0FXGQARp/ thN0Aoj/8ziVZl84Gj8xQI1vX2lFxMpyvuGJPm/7VAef/9NkSQ+euw4QJA9U1BVH7QsGynZJ8zK8 CQXHv+INlkWtBe6nMDOnCuVJmZYvTq7gkK5SFg+IgWXDqlQw3QAcs11tXM5P+SH/eu32lpmgv9wF 1DaRCM4mrXz+L/CArN9QGX+9dqF44GTelJvJdZ1PKdbQnBDNV5igOOseuYEjpeu+UpLHFgtTOkUY 0NnkxcG/XIqVA9Tgk1+dLzDbxaE+XziADxCrO1GCcym2nxL0m5QWjM3nI8Nuj5NS+POl+vVZyIEn Jdr+Rdtp2XY6ifz2fl1m1sntNCm20PWn/iVF2ZLwX2ldlcXzU6P1QSxq69Bb4I0IQBho8cTCPLCm Pu0LR+cTYd+LwB0uatmltJIMF88f+uTQlvKxyPFZVP2qXQJbypMWaNDC7jLLvHOMJwNa9GFccDQj XW05h76Ui42oTQV4LV7mgZLdaSUABhi8zDAen4DA7EJs0j3H1Ynjp0Q8C1Z5tN3UonoY9wRnU+cl 6Hw+OMfLd5zABaREkscp1DXpwjOvZnGqM7vn4hKWByQIdJQ8TpGwiVmW7H4X5xHmNis1uZBmdvhI 471L5Pg0bujhhkvEZOhTonOc5G/UprYofYJ/iuJ0TjA+/6ep8A87GT/0XPU4hX1yXDe16mYDBIz8 WvWiEvcEgbsn9xwep7hRToHcIrhFeeAW57im9OuiLtXCFc4xObS3zgtCU6rcLnKnN/vGQduAitRQ EPTF8BYT8DK+g63w+b9UzhkToMD6V54ZosDZNCCuz+wpqSWvQI1Hdaml7oEK83H5m/U0fLFATWh0 7k7XXEAvzubUiweKHLFmp+p+/HLshIo65kV251lyAtmlcpBz7i2X6rT5fFC5lF9CZ/QmSeAMW6hC l8ohv9NGUAHPKGhs2xklgw8i5qAtplo4tKlcTMmRGfviZxQMtxKYWg3WdrM0TszZlViY2oG3fudT zb5vNH2ht2Bi0+Asjpg7SaDUnntZmc//L5bnhfj7cq+80KiSOl7gijRJVDX0DKwNBTFuSVRhQFk9 TCEinNIdWQVMHndofjb2+fu70RVmQIe5sAtKobuNNApDYBc74xgvh0aVuvFKlaF3dYthDqwFLMh9 8vmgs1m+7YUqQZxPCzh2qlwE71RDpp47ug/XqB6Zaudsqlbn8eTAqhK7ScaCOfvigDAY20DwnHMF sJ4odz4gVJmZR5daPVAl12TfONLyEmN+yIwRGPGuBPOcXYI8nwOSfyDINdOdCzPjgsv216UX2FXi 0qMT4xzDQM91d0dhplHVxQOmuN8dFULDh0CqpGGkxxwO7GCY8ZHMC8fETGfvqb0LmphjVe3W2/aN A5ABWXMtCUOXXEAfziTkkZ5XL+trRtSwbyoGyC5Q0riBCm10ECikII7EmNX9mE4x3bwYpjagsvLA s9LsT3fdZD5xsWxzFuicJuKeULN2dIKQbZ7oQMkCrN50e+VU0M+haWXSNK/RJeaEGkUay+FzAk4J 9pxynn8gUtL1ly9ZetDxnAcqapXDgoaCzI7rqfN2DVZ3qAGgJl6+hMaVi2SDrJcvf718HRQWYJ1o gdIH+PRkraQGFRuMFuplGqLFqC8cpVGEPSmb4qK2QTk1fZHzW218/i9fZrbrKFj1mMWH1pWNTLpS L5MO7vTehdKYuMlQZ3mcQr1B961avtiGGimdT8BhdKrhU4txz6KhRxYrWiytXzWmNe37RpgMMqAm seLXRRTE3rEkp9vr5vNRd+W7CYWagBo1HqaQk5k4GO6OgDpn0d6OXJHGF5p1ldtOYYoFvkUOZ5Ol 7KuBPiDHCy0A0jIaksGKGSn8y3/A0zi1Fg5eDV6yxSnErmjwuXg+ddgx80g5l8fqTBV7TfqWkf7Z d1ZO3kpfz50XZeXAxQBOt6/usIZ5rIbpLMIuVEolWTUc2Fdq8noOpkxTtJq3Z1DQqTwlyyQNqsOq GbMV5Oao1HAubwLFmUG1Uu37BjcekeIdzSNb1FxZcE4kVixjkh74VyoD8yaUgcXPb5au93AOPSwT jXVbX47zqWu1i6lbDjy0wUzXn4rqF9p9D43UH6PPfn74WZAfEQkN0jXYmZD+ODsK7aqC3wEtRiLG in3hYEcR40MJUvNE0Y7CIajcoNbO56P27zdfHMXDStfoI4dGlkb1zRdYV/tV1p/QJTNe3d5+QIVO lmrXndM5+XJvPDg/7yXL6rwTYfXomsqTGDvpIjSLf99/tle2uAc7OR8aGCLed+qSB16WaPd9E6Ix /5Eim4UpysnpAnR+G+v5shw2HCsmuMsOqPO++HQqcLMEDmdJvWL74qCok/qOOqj43TesIEmsH3jF O+/pDHSu08b9CwdwA1miVd53XIztW1s1r8a5+fyf2oN8T/Ei16ccjh0tKx0tZfUlDPklRdflpign k57eNwgtLbsUYymyr8VrPGAwoPctPeveKm2/Gl4x5J0gF7cLzOiaT4WulpPs8Z7J/KHco0AGvffE 3XhSqJ75B/4MFdtzlAQslz+eY2tLtODqXsNHnoX1t4RRanaF9PPNbqxib8tELgtnw1xurBKImXRI 31DrAfEAQkvssQw0hyZh9vVrjB64W8IEhpbWtIDLkA/96cbYAEOA6eapvfgHopJ4fL1+UPod+/IR 8z/sLReFLIdzNk7ojEYOnX+BpeElW7dHKhYAZwGzSP/lcjFk0MvuJP+jc5sGhXYgkQbtLkiv5Yds R0dtfkw0HmZGLkOZSsM+vnUVRCwOLc8FPPkH/uK3cpwHhdbRnvcvxLDgnK5KcIlhkXm94w2SY1h6 MhvwHNpcsr+59qZ2BRe/9/L511VZN53/xXnvsO0mFUJB50LbOl3FPcnu58DoEhefzBv5/k1pNREY BZtgZeZocAZOl98Nc1FcIRnani0V6hKuIjGZ7n072ROLdn/7doVaFNpSEYalqb2S6l28gjkFNbiN DBT495UN8w3q+tlZFP27uAz+pvyUf/HJKAKDpZvs3imHzibrAq9yR4Wo8vSdc8KjdWDm4YEKO+bM pFZJywLV6D8qB6c96wO18z5L7HYpq7Ql3MG6E5iOdjk6WgzUOXkLdG43GtUw3yUsJ/VrCrromZ5D v0t09OHAm4cv2lHgYlUx71bn81HW6TVx1UD5FEP1KYpDx0sKrUL/1mBRraWS7N1bykQXZVOeUyrM zplLpcGRFRcnckCKp6nYI0etELYy6LZNH/ACDoTDotQzDz0vMa2mFGjxRbAocNemGgJr8fmIXDa/ DqlM+w2gADxSYdMceX2DOZu1OEWuFNIOM69MAT4y1eyUirVT2D0ZIv2MCyCDbefJEwBTBioK7lnI zs8ZCBGziq1YMRezcVUW0i6wvXTOKw89WwQfIFCeKBYi7QLfS3Rif9nMn2RioK6wQMXGl5vJJiVh WBgjRfG8U4c829np9lkC58vzp6TvjXTIFkNngPcCux85zUChhRlqxtymwbCqc5pO3sug0UwOjC9x mNOQVzTqmYhZRdo54bJIOWeiDgLnS0mXf7PMIOl0KZ05dL4kxvykT92nxHBLs7rYhjC8j8r2uji0 vlQvmOAnW7yKQXZ+DnGm5wmF3xDPjNoXOMwh3W7V3lAiFVhfukAIWxtYHIxfzif2xAqzQ9M5h+aX 6Qo7sDF6/hz03G+govSc5S7sg5KXxdMonhh/Tg8UCkIP1B/ETqgC23L7UadyBKVPso6g0w3BWGCu lAkgvOCxVOz7RugMbKQ8efdlmFeo8ji/dKHU8g8k8fgHImOHC9xMdpZ3aHt4oKLcnFYgbn/N3Jz6 56xj+ramC46Ylf3Fi1vmlHWqlAnj4p2WhNoTnjXna0005aXuhBtXgRp3qAc8l75vNKuis8NexRfV xecGrV13HmBRoQVmbr/G6bgQ0nVUy4EHJlznCDEdy5KDOiTpDPmOOVOyMXEVDSbpT0VhYrk3lSPM x051ghGU5Mx3Ljq4YHS2WTYkedA5RIblwjwap4cumAICw+7CF+sfrDUFz4B9YQ5tMB/nOe5D7KT+ tFlCG8xKiYc5nHQO/X0vYEqel09dhymq5MAH85JgqtxnH7LwKboLpOeIigJos1D4csLo4ZwumKGU MfODY9n2jQNelSQwMg/0Cgj5kocRgMA8XzAR4R8IzNX3b7rQ2VHzmqrmwA1TarEgVm0TeQSM1oEs w/keVDBoHqkoL7dSDyeRLQ6YPpcRhB+ShJ4L3LUAmEaSlwtaGED63VeP6nM5cMTEWc75cOWWIjbC fIzOi0jMWs/oH4SWmOVS0HDXZIAO9uOqkkNTTEjNQltleAVTKSYkutBI7oYBTWyPVARlqXQMafhd bbGznG6/MB/ksGpioMNm8FZ5mw1ppzjtbN83ksQuHObl7IuQUSgt5dm4wRUKfDExW3DcAeXk0K8f SDc9Up+XJGr8v5Rp9gEX7u2H1KZ7LF++PrNjo85RYnn5Swp1/tDVxBz1Lj5bKCd9qlkEmLNP22CH syGpOsfKNv1ZSfTSyvglgeJ3TRwOA7fsi51PZckUqLWFp/8rRPyCBH3/oPnWBOTIPytTNZRpWxSf zmJl0c3QSpjr9lzwetHrieYKOsSD+HQrhOUenvYzygMV+dz1ApSjmCyCGxCljCsUiBZzelg6mV4D BPQs9VSmmBzTmBx0eZKU+zkR8fRbgJRPKEB8qwbE58iIRXxekiY+hF4qlAunV8C09TClp5bdUaWD Q8f4vKRM+u7s+TbK82hxQOs5i0A82jTDLifXoILPgu31+YcVvWZKLUm1+bwkTPyuhXnALlR52MVU HiTawf0KhPLnJVviF3QM+ZCiyvk5O2o0heclVbLtQ6HZbkJFlC9yrRBxktgbB/RL4XlJlPiHBh13 q5QeuThAE+JL2DliVK9JQAvsVToajlAvqDc8Wd/1PTxyU1+V4wP4/P4INIFBzmTteC6N/HnJkuyf 6ttHUcWdPT0+LzmSHT+ULe4t+4ilmhs2x8/GRIDjuPGpXzIkvV4SB1cimZ5EEt/h1LOMD9R4z3u1 cZ8RjUG+LV6t+35RduPzkiDpAFLzhJJqWtQ8QS+myxutts9LeqSna/oKEFGZiT0m+pMGAUKSTY3Z 5NQf+OOpKNmcyQhMwCSK/mBBgOQ0kxNeGC1Pfwk7pxHhgKyeYGlsqkqzeWT12R0wS5JRaBCgRfM0 XtO2CO5Um8wOzmuzyuclK9JmqPU7QFCr79sP6JecSMe6yOa9uPcFgOp20zfenUIRoJ8h74soQI2v lux2a36K27zPJTa6aMEpA46JLLMQu0nKFdCH/oqxaHtJh/SKEeW06aRyFqDmTBUkrc051LkO+ucl G7IDrH0dQShUx6b2JQL0kgrphK7ED+SroDa2p9fnrZ7rliHNBYvDE5qtj1WzL/cGK/zXZM2cIBNK CGZHlgvQxakF8IpZck2G+UsipF+BuMIxNMocxRWdoILAK6ydC+HzkgbZV/zeQQDiD4zhFaCX1qTu PbYmeSA4K+Pqp2Ai4SwfeHpJPC04oxMlHVKmjWrK63nFzlEP5CXxXxutEw6aGhsIkBg+70W7Mnxs WH9e2pIK0CbEBqN2LulHBGkahPFfnSENGgTIlQqHeip0w0u0F6U+UhAh8nVgl+IT3j3Magan9GgO GOg+CH9pSOrjKQiS5AiWankGTKid+qJ46gZBHo3iLYe5XAAjoHSlI+To5fTSjtTPIKnLRpgcEbXS cjiX7OS/mtDUl2akXWPTI8QeSi4QDJoEyDFRjDJpery0WvYli63sck4Gv6yaWHpXJEqlQS+CaPqz 2EmElstJjeQKOmYtFXSEuYAgzPQDOf/crzG4CNLRRhrSlmHRz0Vd2/OeyWIL78HnjVKnV8049zqL wEuHj5IHKUqnOZA6m9WaRNB7c4YmBBKNyQrH4cuoi4JkPryszuqaj8QMVIl6p+YlYBoTLAJriZyf lKiTUXyqO/37Bgd2EfGwD190oWV0XiT2Xfl4lFJ330w/EJk5fwwajRakKKcGyR7bp+e7k/qlHVK4 ioJOmxxmIb6CIG2li5SY1WIn0nl/W2evAniK3grBzWcjISsBGjunlG5SNITdDWKE5jla78Iz5e2D kprLUmPxZC+fNzKdVXW+kXiQAepTpqdFb1Q6RZaa161l70HC6MdiNCXoIBn+1R10EpzaXac2mM+2 OGq3QOasC7ULXdBJv4sEkvqEG+h5/ca91STc8J5bKz2FSpFk+EsyAiuGMnkLvgsoaphbj/m1j5Bu zHFz6zce3X1FCJb33LH7SBJulMmtCmDs4X3aKEZsE03q2mix+QgIjH1O3mzwUWlA+FmLciwq8pY7 H5lqP4Y3v9wuWcMOaB1LzjlBzomAOOQwnzcS3c2tLEaUIYCUxgABw6IUZdjoGQKfPf1yWwIL8kjK 1bVTU3EzxzcSnW4AoVBlV8zFjqSO+5IJNTXmTzJD4kXvJOoCZQZZJTu2abH5eePQ6ZXetN8D4tUW 42Ti5xUHo5TPG4VO9YQhArSTRDETupJBCrNsmoLSQM4RAVeIH4pCjgjol1AQpdmdDOhGGQYtHiTY ZxA1j2r2XPyFTEPUJz/0Wc282+TAm6VAEOXZfEXPhmPHn4u2EmQaqumC7s8bf84q4e9ju1ICkBwe xihKtCmffhKX5TEyPV66OcovhGCAfFETUabN/PnsSBpec/EJd0d9I1dQiTnRz4ESkRRZ5X3mpPrZ 9XXfYyTHS1pmcan6x6Z17rksnGTn40EmedshXX1ciBWmmyW9J9tATMgKtDrHEMgiC9LMzZXB61UG f6PO6fsTSzkmFXfGfIxBzk9wju5NJ+cGKAl0DoCpoCTJpOrUfGZHXV/3PUiTlF6otfjiUME0BZfo 0NuJ0u1lLCd728A37LXdgzvKtzthJewJmtgVnaOsqTZMwWm2W7K90ebsCmCQGhNuLnZwo33aIQtG xDdECqsUnxOsUyuVr/fT5Z/6ulGQNj1pS/NFR1LaFGjDCwukRJRxO33AL7cGGrDH6I0wp1e8kiTe S79TIxLmcCJ1Ib9JwkRmqsZjmG4TSAIWoS8+rD1xAOqq8m0DWYRwL2JBQM48hX965MA4hHzjy9m+ J0Jp0tKBi5KkUmkWSthD/rzR5f5v3Vb0A/7wxrIgRek2QZFnr3r7scnXTjY89bGXg/CdgvSebmeX mJ/0T+HibDnwUApUztBfy0CyqnKr0mQoQGHNeyZl+75Rh18EN5YmqWL0nXSlAQXFcwaCKG90Obvd 2tdWgunGRJfOohTl25N2zk2h6cQAXDvnJQQlc0n0jxWl93zbiU00WbPFD+6KZjGaZ7B0aGnRIQNR aqISAyPzqKdrL70n3FIgRWWbxC3EScmp2tmXoy0pwZT1eaPK3TnKU7oVSI/gB7UohRm3+BXV+0gw BvWRWt2GlECjzcXS3nhyVgSyl10JujECMk/ukiEJTKtCDHUqTX0pVQjji1QFkr/qFVRDeaPJ6VNY j2yJMnDRtOgkIGq1gf38eWPJ3UPtaSWdXO0HyA8PUpRyL51Ku7uyzizVYMvoZQ6vb/HbMeV+48jZ /cpu22ZDm4uXJadca3t1w+KixspsRwIHgqFIRnPG2rUydXqjyNlO4MRfTipcBFluvUjTGWoAnzeC nKUQ/at0axw2jDsxihJulW4wXfTydqxph1Ki9ISg3W0bkfCNHvfdTpKSXHqU5OBtearaydftpK0Z hloYYg9CxgrgkqLQ29G99H2DRCkZ+6T6YmfS7jZVO0nG540dZ7s9fV9vQLfVdN+294T7avGyLaOW WzOX8AKfWPd0SqgMdSa9J9yObWuS1GmPpE6Hr/zKmZyKSQcNaodmoElzAguW8IJrDr70fYPRoyS/ unRBr13BOfdwTmh37M8bN+755zImCAhOIxN1ZpDCjJvK1+agImJ4c0IFJCX84EbepiPpPePOZBhB zXncxfKkhH5khgEPggSj80Elflwri3p0kHb00q3Y141ixI2Uh8FGgHNkNwljI1aL8Hb4vBHjnr7Q fd1QSPW07u0Wtbd51ACDfOvbPJxmOabLzAPqaGXJGy3ORvw4t8/LlXyxRAm1xql3qYl2qvwTMbIs JzremJ1AjvB2SihX83mjxVmrQ7rgffuiY3ug5uSRsnP/vLHirAhrX8c20HS9l3tsRwk3wS1Q1bvC cdtyb8TIOZbnSOzWu30jxFmnBMFZbMxquUhSiBMP4moaaFFSBKPEdqfT13xUKgi4faPDfb1rY6bi i5pJZzfUbsjk8XljwykVHT4kIYL7RJiqfDbIDvNtiQ11w2OdbbTqdprJtrMcoL9uc7Y3LtxX53Ym DiRnupZOqNAmoBb0vB7wLZBXz4JbAQQCdppX4gul2OeNCmeTMkKSi0FJDW1x/tu92F+BoWP5vDHh LN0e37sILVsKjSlEIVZEu6i76SVu0XL7bd28is7Jsa0keePB3SYJOrAgFvjKIJ0f+1xmVEWAmNuC ZKqOLsl6oCiBlhzGthz4F33hKEqs/RNZJs1FxKAWPRtzV2TCnzcenP696bu6LZT6q35ov7HgbKKN 0OQ6XEK95OEw28Iqiu/aWC6q90aCs/OIqO2hGpdGqMojK3T4U8sU95obbrDiCpyP7pXD1+fMbvZt o7qNfTb49tqijUSdJGP/lc8b/80Kgu8sErnz2eDe3H4jvylEm6gIQkslgDaWUseTlo1tSORzkGYz K3jjvtlGJqK9cWbLxd61BUxKBn4cTGb4Fi9TWs/wCsxQcd6PvXzS143qEVoVN75zq4E7ooP65GSK 2Ey5fN6Iby9dEniT9FS8tn3jvdlsQ54pxffR3MmREYVOnYRr8zDVPoq621KHgxyeLwrShmFDS2jY nB8NzkXMkDZxvAUw9XKPbFCG+GWjENGlIO/ki0GPYPgu5MgonzfCmyXafqtNtQ2kEeUhes+05f0H EkZxSnyrxV+13anJT0T73qbz+cZ3u4XCufMzUTZc7FWDe3AjmxqvWgHdgqYXoAPSIiwDmXGHJFtf NxgkCU+7RfPew53Tocqnru25LD5vZDdtiHscWVry0/EiWYyiRBsNJIjDdS/+C8FVwo/s4mXtRnWj QzvsbNN+D0xLXxyiNU/mMJc62xhPYeACP2eAnxJmewW+Ds6eJMTvjepmdSnObOSovmhHNHixaYKG H/I90caW+JUfYYoAOzELUpRoU3sbgmPbMSSXYzppSGkYEvzgTLTfaG52s9FWvm3W/pRT/ZkM0kmI EjxgGaQ0QD9ENULt+TIldeylv2DHbyS3278HAJJiXg3AHtEBIYm6KOMFOdHPG8ntZdxGRu8Y99QO E20ScSXu9x+jJvn1v65a7OTkTjspbG3zYoP5hC8OOT67CA9gJ61+fj3upHPwAYWZNzq0mA+5lwP6 gp83gpvOJKbYTRJegHT8cOxfgeiQnQr6lJ83gttLYQuSy0z5AiKjVHtK488n2oCVbO/bQuNGW+kc t93ft6i3LW3PKq9Z83sT4hhqKchniEdCed/ZRqqUiQWvocLo3i2dCJB+I7fpV6WlE9RGfdGhBFJE NZOQ/HnjttmX/IVIOpsZLVNHRYbJtoD+qZraPirSYRxAHvzS79rI7wphkWGyzQSgFaFry1VU6BCL Tx3/eHT/O2D+3Eqg92ZWshh/+lYaHG+/UdsM50AB607oaO/J1eDghqS27XkbPm/MNntfv9u2TGAX anCLUggmQTkPg/QtpmTdnHj/B5fzIPlFfsUUGsdWeiO23Y4qARLVF28kwQcMqH9i/8ruhWICCT2h nOA5U9Jw5a5MFPTnjdhmn8Lbv+6qRTKx2P9DIiQoCz9vtDbrUfyaSqJXggLbghSm24vpdiF2a2us tJ1OKh09bKW2OEvq/Evvp1LjwA0Vhy8WpHNYAwUoKveCgA0G4bMDXZ8LYlvQYruVW9f3Dc5upedD h9Po7gUyUyOAAN22/nnjtNmhdAcl7NueIPfWPFN6o7QpdygMjSMj0STZ7gSyu00ocaBXsyJ4Y7R9 vXCY6vji820g3GgnCEWcBLMBbqVEBR8Q0Qp6NJYFjG3fN8gCKl64AmtgW4RLShD/YOP25GKfN0bb TSIsSvgV8dqsZ5z0xmizDIv2oLm7zWynKDibkkmsGha4tLTgsRR2t7mJ5CGrxfLJgSxxwq7x1JKU EcLxfo5aEomwl2EVZuWt+iRvfDYrbzkDakRZcLEmwEnCqhzCWvu80dlsgPDdbssQHJ/lNkre6Gzj gfxP4mOZKzVl2tQEaG4zO1P1ftsbm832cme2PaYvHqSBtwGq0VB/oxQ9g5STIDQ/O92ce6MV+3mj so0vTkR7FsUIOPlpnIjxeSOyPUXYV3Mb/5kCEwxRjCZhUbt78l6SFACQA+BSs3SSL55CFObcfNsm XyUtVroVWDXVTrvinmqZlNiFVxHm2+c/r9Fuxq1tFLW2ZTA/4fdki7XbKNr+Y7TINwqbHWjfQEkU KVB88hBFGTct0XBVFJ/cXide0PJ8JlkALFOI4oybrUjqB2uxFgDKsUaJt/OqYWae+FJiUIX52/5Z NLvSrA2X8OeNvmbHJ7F/m7uIi8Ek0DoYaiTNzxt7zRAA37sIA8QhTQmGKMq3e65q+bsgZc+GdCuU 87Hqtle3HWgxcJsdbRrIavFGEgSEzxNZuni4JAlvg9N1B1QR7VCzveRMH5/xHqLBXQRIqi8MUYeK 4xTMqDQ8HuSRl12Tpaiw2TH1GIXZNrUo8SZ4s607fQTaz8NqkoUDVyd21NhO3D89kd/HxeE2wNSg /CSlHbZQ6iQlxgjQ1LGvQryd2CGSROA2RNkWvWqnJpH4JSideDwY/5f6tY/A5YErpzNsomQbcj8g Zjnv/2z57cKmnehMdtvYPRPF5j3ZxoCGzf/Cc3uVdDvbOKVPtkq3gXMzn5KZto9MGPvoi/hpS7VF 2Oz/JkHOTCDJzDaEgYdYWhq0nXcXjwcUkttJQmyBG+vrUkh6lGmjOQjgUTFHBhxM0161pHESZQuU iiNEEY5kNzXZaDTAxQ9s/MOxPRGiE0jMf5FBdfmgEJCUbv2f7OsG5UhhSbvItuGiGDWMucSDPOVI jxLt9AuQBIGZltrdRmGireOIYgRCkZR1T+w5fBjZaJuEE7tHfW2r2SC4asst/yF52JkcrQkdGtz7 AGASHwHrNSiKevkvqlaUaE/SIJNuN0jI/rCzUSH2pJ103u2C5wM+5PzuJGViLdNNj3rIiCS27VRn 5rlXiR42k4G8pKNfYUBl9UiPMu3JwQdtbmxx3BYrEDZJ16aRHCl/nbsTk8QflNbeud0sbXuUaau0 BdZSy1L1BahTImu+t97xeMQaXV9JJOdsMP+xIEW0yEG34l6SwFpg4VUTpT4xLk6TSNU1gHqYaNOJ F9N+X/zYrg2uJxKDP8f3gBbUXhV40A1eIthXV1WKd1uPutsy9JitiVECzojpIbRE7OAP2nl4Prjc Rv9KkU5+C+LfZUaGifZIgv059Tjv7DYMkz6m7Nz2wc5t51+Kyn+6MlHFVIsTburIhdAHuMRAxK2T u45ycVPrH7+AH0rI+PEhQfVf1UhiE6CixdelhQDKPRNXYIXwfPS+/Sr/8Xy5oOQetrcnlZXhW6oe CRJs9UhWHTK7pvrPqOok9Ri4zdSnS0C5+0RyYAwJW71MsE3r6C0R978KwTY4kIBZvaykqe8bvG5Z NvMc3NLfWCr3J4MZEk35wcwEfyACt93eLTGAwLOO20vq7/k2huI8ltDtyxoD6LovPgYo5PknNCQq 37go397MIjPnaVq84zZO3oeXTdKAJ6FZsPRYqFrOcZLpVLGvpQelOXvU4q5yjpPzEBchtzLVnFnb 5onHgwZAK1+b6ZxgGNbcIEXgbbDywZk21cRM+Kp6SRM6WxJDGLRb1hsXQUmsSyI3QpDA8OUJJZnQ xplFUvkpo1RnkDCSzBjFgb96p25gmeNTglyJDQDoNPuiLsnJU4oGaafywePRVlpfx1KGAw5Mgj1K Yc6N4UdLFOKg+QIoM+olTRI21UsCdM9euajDTRIk6o/mi20lUHjPXUL0Nv4jXgnccGg65IItdjKc 7G3JQUJpj+AknUDJoTePC0Nzjj3AxPEfT7TweMTa/h6WZIwTxr57aURZN+UL0Q4bPgcY10QHLGuf A6CMYEo5ohY3qWunpOYcYD5zgFOBwNayZl1xg6xu4kkgkl8k+eaEksTDe0RZdyahpGZpkklwWxJS mVhhnG4Zj/9F3GZvjgr5twcwoqybKJST5FUnlPR6U6XdrojNAC5M1Pawvy2WJFXZtPho8qTWm2kb BkrpVOuTEHeCuMlMAtbQBckww8KHRFxJVrinZmLndkH6jTfVAAOKWUBDkML+9p7fpcn5903ITliQ YvA2SpNMowIFic6Z6iXV6lwJGMRbkKL+duZwfzcibrfgbQOlCTbMIrTwLG33LS2FxnoO1xoSfTcR OCfSwGcEWNIuzem2tXg2mVJSf6+f/4fH/yImmZvjObqb5wAjhJMMDkey45JgfpsM3bYk9yOGW7Ym wIhSbkPcZIpIDJkgD3YkO52vUpeLJRTtmC3g4u8gRgGZ5BkA+jv4jGhKonYbLa656FXDzqpiSoyN x/88jli9naiOByU5oowbDEeKTN+xJOFQPI566xdvmx27NaKMewpDuvtd/DiCk0JfmiXBsrGSl9Q5 0acT8aZoiuC2JLiNqLWtCffYwm9DtE9koonMV8LcJ4nC8//LxA1zrJGL124jyrhRCoOFl5K9a7WR 7IYgDTJnJRYF2LCCFGXcUvuhXYktdiBljEenhN4nAkI94AWYO8xIYb509ew2ZQBG1NqeWXqko/ii 2/9UfFLTPv/d/IwIt73z/KpvUd7CDNFDFOK28e60LEoki5LqlRvyZIeTDIAmWLmNCLddSAAAMMuX 23A7N36ZLG8RrUZNl7UxV+wkrJUrMM0f5TNCPRIM2wqG/1oqz5UKs1C2VVXcjjDXXr/GSIBZNpB+ LUZRb7vJMGAUn5CMeo/s2pxMOmC1ZtsoyrV1r7WtIncTtJAVozmhHcUYQamtErY9QWUf+NrQkfQY naczPiNKj3AQSTEZVPj8YzBkwNuYH42SOp6PZm2/8P+nOiC52WIUpdpDsjbU+1I94iZwkLUprup+ bg0Dk4ww1eZsG0H2xZJIhOMkwrjWzimLFgOlyhdqRUxvoTN+y7bNHHKEmTYhgEmayQnonpzEsTm/ BJ2Q8OIu/IGgBfBbHAmvbrviYyNMtelJVXYVgoTqbP62oZuoZtICFtTetrC93WUs2IsvnmrDmrKC YnCihGYCxhOnSkHpksk5gYDYNQnQsR32t0X41mAyI/SEpFPSpLLOPalzwfNBlKY3uBv/b9fPQoqj KM2wwV1JJ53uBifErSAAna5HbLnB1FVl24xF/oh/lJYdF7vbzuZEVUUtxAHLA/IF14KN09gAqn+N 20i5nSGWpCg3Ig6AiwBusGcwU89S8XgQoudmK9x17Ye6HRajKNeuzJHOBa16Fh23bIibc5xSdZsu S2MZ4mZGHW7uj90apTe4ePd2FTQ2OLXFfd8rzXPUc0gkJgO+fzG3S9/3X0zJsxurL7rcTm5UBd2u veDx6FDK3/f/idnoV3RjhsIknditbnhtGA736dit3pofSqBdSEoqJEpWlrRDRPcxniZJOkV7n3SA w4T1VKDyA9gUkV7EmbcLuKFWwgxb3AIA1lZ9saJ/UWaCxOSKxyMY4PoqSIDJxyDKgxRl2zRZducS BklVG51LNBJgKlyKzQFm2ODmyU2hf1ssAygZmXWhKvn5MQoUADj/X5gkoYwpl+AO1S5+xr/gpEXO sOU6w8IpXI2387kLjwfz/4tJKuqRnPd9PYJSM0q3K5lbfW2/3nbdTrlt261wME/0IEXpNioqtJBW 9sV7t/A77ZjlgJSEAg7esasUKqNOptvrSiPTgWqGPElSbVrhQKk5RRxaCUvqDCfnrng8mEteWTI5 o55UcmVPk2bc32bzaBqHBBZIblsNXVDvb5NmzMttRkASuTGTbGyLl/8VyuEgGTaAkhF6ikcCmTEr JR3GTZNoSzmjbNtK2sLChIvu/w5xQXFXxsDjf7Zt2bVFiG6HZEZAkgF51Lq2eXiD5uaCyLDe9hBR uk0hirrbi1U/zJl88YLk/J1TszG1HRnwNhpWA+qOZA65QLr4dlq+zSjblpUEXcO5ZGXbgIBryNfL 4FeMarZv6RYUjD3fseSMkm0ygnFlVq/ZCBBTn82NujAjzS7/F+r/cWb936hkaLWDPyoRcthV4ApH zYZkG0Rl2CP+EiWbUVu70OmtNF5rXAxtew6jzWitzccjyNYj3sYOCdgstd59FEFJkJcR2HaJpJJH InNrXbZtS66TMKNsG+YGuPYp3cLFQclwfk29shlJWRLgtIBzhkF8IWZ1Pn3tpK8bYJJlyzWluCUJ 5x/iJE5qSdQVaBl4PoIkXZyE5O+Jb7kHUsiSbCzW1KclJ3mbQPT52VY2MduTpd3rP5YlMT2p6ott pQ3FplWhAHACNNJGGxAtW9CmG+3E+5UlQS7GD/kXuR3keV8ESoJEGSvFdqoaPB4lko4C4E7KELS6 vbYV5doUMwGayvtIWUDSyclttRPp1CbLWiQrApMMcraa5kjtmSNN4AoyNSJQ/7ddKnfS4mgCrmyN fufr4f+vsK2dGSI5bnAR3iaB2c5e28le8PhfGkA84XEaw9LCYxT2tTuFtEtzJYlBTrDSyGoeLjim sscozLVZrlURuLj425apY1R1JHXqCnNwe44ONLl/5pfaBk4yfMa/2tqlEFMC05yfoSYJ6Hf8xH7y Djwfgdu+dZGhNTLW7UeuUFebyi0tpQdNUq8myTYALjRJirW1V4gmYR9pgG5+FnignaDo2D71PXIA vPwdlwHhSwnFREMHbpXy5EcnRcBnRFpSbEcm+W+l4YCb88dk4tjO64zH/5KO5kR8ADh25/8rSrQ7 /VqKkH5UTCRoWjnkNFlJzLvdDWGFmG11I3e9i2+jU+V3dJY4QTpZFzp4EH5ehJZVVk5++RPatv4g SS6WtfCT+ZFABmyF5OV2Ks6C5/86jrJkSQcbAFfcNlbYrjw0TRwJvNtkpnd0MVaUzrE7PEohTVLS /l2wGyJ2VbOdYJ+LAGL4J0r7/J8BDLjBTQa/jXISg7bdhreZ+r5Bu00CwFuObmR8MwU4h8tgK/UH +FI8/1eWhH0DuC1s7j1KUa6N9wy6pNMTyZmtWwKX2et4Z1MkRCnWJKHb5JZVy36sWuAuiXu/UCjp 3CcT2m0n8yDZdnVqDWR3vEsUIl8RmAToZXC30l1U2WJaJeGWk1jg8QiV9D3+nwsGio9OcpRtL0sl DYgModVthjYrk4lo3bZqCkArzLY10VaLm8tNJeELV2kWsWGVhH8NCG4ARCUkV1Rat04SoBb8vkGQ iHDLSgDOspw4k2Sh/dNP4PB4dLvdHolVe6e0HU5wWyFXUjZSFDdmt03itFK4Y+BBuIE2uU0AVojc 7tlBorb4sYT5AXjbbJLAgJCS7bgBSSg9/xPjAUeSTPu+UZrEolYYLuqa8BCu5w2vjNJAv21FKfe6 LMCiKq9C59bLkhWSJRvLNY4QRQFo9u4VUf+FcFvVFTfWX/3tehc7lk7VBl87CSXtUSBNhs4tm8YJ Tekyq6tJFQwF+H0j+DYhblVqydXVks/tWQvZ3OcfxC8ZAQA8SnRnAZR19YtKWlHGvZQFLGP/nzdd ThKDw22fSoJdbAquK8y4pd+GK90WjxKQJAlYOkwB1qT7H44pqgDRenDXJ1fy7xvNk9iOzJyVcFFX Egpx01AlFY8HecD+zeA+X6Rf7taOUu5BDbXN+blGJaIEDA6Nt8vcplptVLKj9vZgjgR9DV/shUs7 Qc2FTYBVoKMIJdwFBahT3J5TCFLM7unK9vaOUu4GCMmpU2vyRRvpFAeVjdfzHxcejwg33yd3ZSvp iiXu94zbTRJ6Hd2rEoKJGKImVDfbbcP30Y5lt+V4S91RLjfjHiuhFsH1liA+uqkDuIHzyajBC0bz fr3RBGCHAO5KKEmnwh0X2zwQsBK97dS3+z3lltqyBYlpEdBIJXlCuUMEd0+SAvCcW0wW4STK7SZN 2LcrSv9GcFfoCdniM4BCD9QpDAAEstFwWp1cBXRu4ej6uN7a1w2CJB+bwe72dvxzWRucF863TwKO x/9kSuJIGpMlt8coJEqqKVm9B4Bi1AXuLP8WlqQYlmTHWBJ2tzNlkLh4DnBSyfPfLMolYOKGzBLa QNhzmJnAu+cOk6Z93YCYVHVsk5jMxdmkWdNi6MN9dgQmacU3kpwV0fy/EPcdorc3KRI6kORDlvTi YZ7R3VCCx5RiFKK3oYFwQqEYrRujkwzvNldnnnRKrJPIUJp0wQl+IJ9C6estNzBf8Bn/UpOsYDti wYiXlzaEh6XNOM57h+cj2Nav5jbA2+nqAO9QBHBLtL0Z6QaDMndLgLGNxahf+N+OoSSV9a3wfPmR AcAcCb0RSpNkKAIwRiLyUV8s5SsCgHcenxHkSIW4vyYlQEKm+KqBl5olAoBDOzS2yb/SbfbVL3dr R1CSJD2J0pK/anQ5kw1AKt5uW1fgZocSgDqOJqdtXHwbgSE55zR6O0jz7P+DyM8zKRe6IjYVbk1f 959MUlGSt1OSYauyJJV8Sh4+HtVt1waAHYoTVgpSWpDCbLsV9SSXk7eGsUrBPhy2j0ZLnkfuEEsy yP7bYtvuy7ZdmZ079IbRJ9mTHJtz+eG47hxkJZ5HFNykXTE+JEgjCSAFJMUXBYlgFw6SUht4PLjY 7vyf71X75W+zw+52ZlZUt7O3StvL2Ft9s1NJMExyY9sd5tqU2mq7F198IzX0SQpz7QFL0s08YQFz 0DHCxaTWoSR76tv+6zxqW7PbPbwneTKJwinHSbkHHv+LcMO7Hw2bNW5PckeZdiP+r67nPOrNPTdm a9fiZrl7y46QJDKS6Lt0XyxGp0AbE6IL9Nw42SUNlSCWeH5BTDfAKjHLbbbQ8BlBEsk8u8p5g4sN tksSP/lcXAOP/1nZSq2bCyjpFiaBd97jRJgN2QmqbkHZk1DCoPfHfzZh2+in0iQ5hdIkTa19pZLr ppJg2cKCtEIGOJ/XmRqle54dDP0WvmgF+faUj/va9o0DTzJxk9G9woJGgmQ3AFOQnXTLk3/gL0Qp m9zK/K9BSQrVACkpkaS2QQsucLGIKBmTNgz/IZ8REC8p3afYOzKxtp3VFyveeoGcaJPzFqSYOOKd EwXjeQfRjIQYixMCmXTjY/4Fl6gyKOfCXYX57WSJiiYBnw9qk/YNKoGx1SmO5rW7Se95tz1XKYhp jdzSHXu7KIfFRu5KznXPKcRwk16au5oB/WkGZLRMKpIvVNM4vKrAbvA8ydBphGDAVQRmMwAfE+G4 2KSU2i3lqbsS7nXuI+G4JvxaU5R8jyexrDodoAs0bqiinvdI9JjQizb4H9tF4MgrmYT0Om6oQkdJ mXAliSenK57c6CJd0I5BJXe+FYHxEFWkKiA8bzD48UpO3gApdL6hB0eSAB4Xy8Axtif4DbgAPB9x A++MCd8QzJKxHzOOFCXh4DSAp2yMUhhNECW4eaA7zxRSrMVfv6jxPYh6q2J3c7FNVbFVl6xbT3Q6 D98NLwUcMo2v37odpiyLoBSBTMY0KE73RZFKA4ks/uOG10QKUSZ5/Hr9UF2kmp+TKmx+V71/3Txu a+vzkQhytSmg+qef6WH32/ATa/tyqcsgBFZq4J3thdwMA7mTDMBrivpyCzJNtAoq9G/gx0QYQZLg RYLjItQSsGMczZ4XQM//5cvF8x89S3QFPVChbgmwheCMSQ8IMeNG2hzjcGCAX3sP4t+7/lSQJVAn uGwxvPaVChgQB9/UXztH+qDtEN4+CLlA4g3j4nnnu5m2MvyYKJmiItfW5eeTLagFppytzNPzEen0 uwDOgMGucjFwKtrfgRiiB2TpS0GEsk1/+zBayRIM6Ou+fWEXnLKKiTgJLfb2FZACAO3iQAVU3UTg Ml0IK+B1eZbbceL4lB8TbSkaKk5rz2Wzw2kblrgE55yH+HwEFvQaD18QYO218nOgh7k5+bnQ0LAi r0v0jciTZJ5UFfPZ5a6BkYpJZfey6lznYg2V859hsLioPEXZ+kyjcoiOTRp2zQcuKGOlFBviSFqB F6DSZXbmoCAghY7SBp8P3rzav9NzTjXTI65IY8AgvpTEy8sDlXZ18ElZbtIBL+fkgYp64WrzZpAf bPFeeMe0ctPx7SQJOIPZeQK3mf1MwAAuG44EXXxKpImj1hOd37goUjj9hubcTDtDW5xfJlTgAwB+ 4HEKbSgBtAYAxQ2Da5X2BN0Viut0j0fKPAc+lHBYGuTDk13BxTbUgppZqqLF71O4Ul/4HPFQEtmE VpYLQUn05M6BDyW2LXWVluK0LE6nJN40jkFJPCafjwq+79GKXNNvTZxDJ0rJdZibO7srpGrJrlPC C2zSpVk9ThEKJRHxPWlWosU6B5tw5pOmi4AK9hfnnhgzU9NEni/pcTPJsROlxEwq8bpcFCZI99Ag 4RR/lc8HyXn+nq1U9gnHs53C3Jz0ynMKPhLCZiYEDirRzJQQhrckewc5NKNcVfYBEhJqV4iKDfUT KKrjdBot0R6PnO+Otxw+QjdOpdkX/tcIqprXAiEIbB9g+lE09K2Dz0e1cf+KE1zQe730gRz4UZr4 sBt1sscy523WEYOtHgsqTttOYWLO9w0nmS+KEyyFTw5bZGwGD6bNxDQDU9RxnUNcxwW7RrPv+w9Z kwLlNV/4zx1Qy5Bn1/ksPv/XW0foCqyoy4U059CSchGNMuuyNgsMQoa360S+JLkf7GELU8iz1D6i Aq4W304Ap5ySnn1f0OOhnYK+L+orpnAg7lozSmpLOXSllPMbKgYt07ZTBnGGlh0nXePzweB3/XI3 3Xjx0xOnSLkbeTRO1bRtO9Wey23Z9duyI9tFr12YkhNrOTK9O7l4WpBA+O7Uf4OtFgRn8NoB8AaP JNibPf6mfdoXjlBynLBMieTNK5J3EkGJzpyjtvD5aBr13SLH6KR+lS6BLyVam0MEsGVVHh02BLYA uM3q4VKSUeRzaEypeVTOhDdz8SbLTBPJ0qAq3oAePFDfi+4UBZdcuse41J/5KVH+RGETiGXYYp27 83NUs1zofD44xovvJ3k0Y1+2a02RA3NKzO3oTSELb2PKXdmlUkzgdDXVeEl/KpgmyC9vUOeEy8WB DZiPGugyZYzNUeNBt3V3foHhpkvUddCnROc4d5JMBbgofQJUXhOCEzA+H2yo/j3ehJfUBDbF4xTl 4yBTAEQypwPB+pWlSNAiM1tB0LgtTjEUnIXLlr8ws1XFCSJe58I7HwHIxVz05jmFCw7+88uzusvt wnd6s28cqeYTeylgIRfDXMytrh0Io3w+csy5bQObH/+MfiHzOTSprMzhk8N2gCnoJuQNexIjg51z o90dFYJTiN/Nm3ZeXO64HMNs+KVQDQbJpsApOJ0yCCoFKJhLdZr2jaM7T1bnrfliqILRZhKzMG0+ H9Qu7ddoIdNwNYETabEKzSph6Mr5nb99hdCq72OKhWp2VngO3SrXNJBq8cXfPtiTUtsDY3Owd6gv 3HCSwX6GFPY7pWr2fSMvZmRPEG/Skgw5B16PZCrOb8zno/7KJWEyYZoS4rtxCnvm6AKcC7KpQYAD C22P/xisoZlaVW/A/ClOMTScNBXRnutDez47s0B9blNhoMBXaFL4DMnsRi8Ps2DXPeM0L4d+lbr1 6mQJU6fDMOt5vavGeblPPh+xnr9bdpXaoOl2V2LDSvp6JJ1MaHaMOZsPYcbQPIYWOn5IxY6V5i8o x0oXt0UtvE7w+6IQ04KaJS0b0DBHbxNZQ6nVA1WoX86PiQA9sqtgw3xjaEuUKrUiyhSqd23+gT8h Pdx/kDw43++GKszLxRBzu4pzq1Q6fRCz6i/hqVTX9ilMYFvpztWlsBHFxXdUOTlCx48C28qeJ/0/ KfFBSu920KpdfNu+cYA14MXXmwS+hEAh/7knEel6yfqaEbmnfGWcDUbsvdyMM3SuZJ3VTE2PdjqC znWKxOTsA1C+2szMA+tK/AvYN5gMFBfLOKGfd25v1cNnEzERR6GHL8mebJ7ptssppp9j70qCDOFQ xQXFBzfBBmqU2Bw49vIPRJOFb2sGZAh9XtXhHNpXNgaqkUUpdI88K2mqo30m5MroHqgYuqLub2++ OJjupCpIdcg7oGsuuasdw57Bnmyul3VIeBk/JTqkNKzqyxd1DuBzwH887Af5fERg+T6kJsWrHsf4 0MESbyfuvOxuaKNvb9ihq20Nu40xicUpSs3HkIs1pwpcbEMh4oCvIOOsYB5YJpElsnf+R+tXmWlN +74RNIP67nABtsVQ36gZ5ZRXN5+P7Id+WTSjMBjl68ULCZqJsBUKbLAknqW4/HBLjhY7KcrwzkHg YulQaOwfXyxOCakgwLB88cYovB0XaI4/UCU6BRe7URy+ZAtUiGHpHL4UCTTQPpj7KVH5AQfUeZX5 fFASjxso/F9vOsZedGYOjSy7WcdvN/yqkrVuhB48LRbaObMmDpwsT8yzcHXcV1y8s5mgRzuFq+u9 lpZJZV0LOx/6oLDDURLVSrXvGyF9pYZOwU8uarHAb43zgJN5Zj4fiX384h+cTLNAmfXJNt8zcyhQ stZTUcyenXgawtZNMyI6h/oeHqgwMycaiv0hW7yGGekkmJmWn3DprKx2oGqwfyC5i7+mNmO1jJ6f 8i9wfU9JkB9Iif9oNJWYzQBm1fn8/9SMOmflSvXGKbS0lBRxo2aANPaH433XYuEjjh2A6HrzQk9L lrjnrrOb74p+nDvmvA3UEkUzaoJZj3c0gSJBRQIQ3RyoSeR4Dk0tM5OoVZlNc0kWpin0jDrlgasl FKXGd/3CjQnRPY9TlJVvzodnufpxc14R+aGiDyfUgBSCxSnKysUhhzmJLw7WPNkBaNfkkOGmgFgz mtsYeRDhmIHSdRJ5ty8cHeVdEZq+MFCgqVVp7e+5+XwEaf0FsgfNuj6jl9jZUg7p6XFI9zYCCInV sdFopllaHlpbCrGZNvcTF6/yCsW6mRkAjLAydh48xWDbhPlCgcSoDT27ZlShu+WgqjWdRLBgn7P3 CxOPUiUN0zP/wF/GhHRKg5xbub7NOTS47JUaKXs5tJUniWmk9OZTzwLBGYtUmJVrPLxZFqc9L+Kn nzMKKSblraDms6kkOwEIziQkkrLpwk0apAcel5A6YwmLugMIYAwsjbdRN11ef061lfkHopL43npZ BfQPNdY8VCGOBT/s+RG2Uzd6sR4ebtykzBPjjlU9VLGlPOktXeS7/sjJ9gEmlLT3Vzr/H7b4MKwA uJWZQH5Yd7AF08f8U3VHwvINXIdiantQJ+amOicW/0DkdXE10zkjrqAnXtH0HNhd2sT0/ETDcSw9 9ws6cNcrZFrJuyyh32WnMHGWtCwXv/c2TJagA4H3bwyMmES94w2N1By5jHOBUrdvHL1/VARJ5S7q BsObvSk1R5MzsLz87prLW4b6oTeTCj0vqbTaUlrOnAbKy1Fk3TR56qw8OLSnIhwLEuz3EiYB/Fqy vArOSU51dZjOED9JBcALzuBvyk/5l9zlypwuLPqKsX1wqrC0SCw7b/XkHwhqmOTtA8QEhOCBXeaB Crvm5N+ZNQjFeIk/YY+zUiRGcDv0Iy1QYW7OE506tbY8NIVKsxPsKAixMDefFJvM8q5L/bqDLrqn 59D6UqKXNBW1RTsKgEfimmpenc9HRfGvtjkCXp8xceh9iSIflXAbjraT4Az905IpYAIuUpIHKszN dUo1puhcLFAdwh2j0WZ6n2qvDKHtOnCzNAQnV8KRUWqbh+6XnXiDeo5BX4SMGmnTaQkm6ovPBznn NXYc4uOjh5/m8/KFffPJNw69J/U4eb0b2m6y3Qk1vvM9qp9SsYwKB3aVYxYuClU97zaEhDvJeBVy RgDuU3kQx+Jky8tH6iULbRdYYD7WRUYUdl/eiYY7S7hKtF3ggQlgY/7OOyHjMsaVwMyhC+YgKX+J fs/KuHXPO5Vja1jMRg7zzsAGE2BXOfOwzcnFZuqgFJ7fgjN10KphWs2GMXoKFQqUnKmTADOSf99/ lTEIihZ1zWHZDUXEH4ceBDaY6ct2VuUPBJ7WvfZCG0xTeGr1GmE3y0AxiOkWpkXehYUpAppLlvfk 9N0XL2NOJnn+FZR46DjYq/HN6LG0qM23vdwbyqUCH0wBHMxxdtK0UykR+HDIEFjvYageOmGmq/Gg oTpEnq5heA6tMKtPFzxQLa3LOZvJXYzIxVBdHHhhetbZ5F/YvvwLwVEBMGaIUdU7LPXoqz5gOkwY 4UWQpWLfN/LC4NmUmaSTTqjSA7DQxNLpvCGLfyByebhxSnaad9hqe6BCwXCBWbhphY2a7vMIr5jL qM7bW5yxHSYBwbiKfLkoDZiOJloY9EaONf5PEsCtCtS45ur0VOKHROMqUmA7O1JcDBuVWl/qtAAb FdphPtwzphW4eSfoWB6nUDQcBxvcK0zsGf2D9mMKfcUV+gZHDrr1/jDEzPLF5uIY85mALG9IONdJ AqrMw8HU/Fk0uUVj02V6NFQPHTGl9ni+2vJFgYIVYLlWhjm0xHw2FBOBdrZSf8Z6oSVmk8NaKm4f PrerPSJxvsTqzlExrrzAE/PGCV0zWyxOZye188LxIIdqGMA6KPcaUs0MalMZMz9wlm3fOCJY8crL TMwbCN9LjkZ9bGpq/5wqqPEPvJ/l6zcNDe1ViOTdUIWpOe68VpOxXghocfUQcv2UcZ6MsHuoYtYn cRqpdF8cOI2rbG5i8fdE/0hUfU50CuYbbMT4y0ctuhzYYyLjpLzK4nA9A0/JNLNUkEkFDkMLIfTH LCt97alz2SeIcz+HVNQ3p2L02a6O1DinuXvSr7ksY0fK6fJPObDIBM2bbbvFrhQXbwdjDLqhr0b9 p4r7iV2pRnRhNsSd4rSzfd9oXkUofmEy1V3z5hTxUN6eOtcXn4+QP/W7gUCL7p0uRurzmkVlIx9D k8mKvSrvJr58fZs0bQU3w7pSrzlUTlR6Oe9tlTtdXY48mOfFovJ1IgttoKZh0zxTVh4pBeVopdhL X+PXDCq7Fk3P9S4WI/w1gn7OlfF5zZ/OF9zmsjI1+Tw/z8pT/8X5YaLw8BVtu2hqDmAUodOsXMh2 pPHTKNXE6F9zp4wCL7MEJmiai9Utja3ywZMJiUCjDs2qpMpjSAU7UPd9WDqYXuNzMkzCEPvuxRfj xspsm7AfPP0WH8t/FB9G4zwxII6kAL1mTdkuuZaJ6VSzYLlZ7wnv1H8LwLnLq7zmTPkml63dxWDS +G0Hqy4ZY+xGVgxGMRj0n5ey3dxyEk3+mjFl46DDOmv6osbvKRfLNjfj9nlNl7Lyd8VHVQ24y6CF Kj6vyRI2UKMiRkrLuuOL3h3S6OEWJk0/kXqC+LymShlwewJaVaP0p0bBaQFZrK3pXdrMJ3AKQYAO xhBgEHt8AJX8vCZKGYmOMiSeQRjNi35YyHZgs62vc1i/5kk5Xy6eXrBCtxJi6mgxHcQH42kk+9OJ GxVwBGbdmy4WBrSHAposaIP9wxk4LqvkixEWB4DQnTNfFrP0otuTTkS0K6MEsL9hm8pqrxkSTiDe +Ek2vcltes+9D3ccJocYlr/mR9mYdIyHoJz4aQgep+ddECDMszH62NUBBfNKYWwqwQpQgL6mzFWD AE2y84ualuVpWsKQL53QsBWeYVwBtMuecKWl9bzAMD7XTPINDQK0eASVyaKkSEcN5w5E6GTjdi7Q z2taxJel+yvGWEKI875hrzkRzvWiGoS2M5yriJ9BoUeiizVXgT2MvDCiAPFsBuzLF4dCn2wRTJlK 1ABnOChvoeECL/WGtKTcV4xl22s6hFdMMAKIR2FB9skKBLQ+0naRMvfPazbEE8wDRDYLcPUQWVKA XlOhbAYPdfQnv67DRWdBSPY6ZPsd9poI8YimH0836917RA84hQp5gbY3fgvU/5OHBqT10KrnMEXZ ddO3fb/EJPCwMjXVl2jhKNFOndRMcn7nz2salCX3ogCJldehgcFWLmUlgwjVRsxz4S1PesbOTs8Y ZftIPLOrRyG14JBOVOXFEeqL8zZR5k3KKIERdY5nxPJci0AjlA51gd6uJt+incprbzLL92+Cu2tL VZ8D8pSJoI6eIRUaRKiv73eMFjkqZim7EQSIsD6AwrcdQkldW5zSlUhEYQZ6s1n4a08ye8FfMhtu RZBYw6dWCKUkBugcoh0k4w0P+oU+N6QuWckaSo7eTq8dSfwKlAmvu9kikk8F/qex3iI+9bUfmTWg Ujw2O7ecoKLtoEQxSqQ5OISk4JW+2usyxiRZWMU1uAzEKEab0s7ZNOfzTRXPw30kyV+DFUw17w3p OuKdYQre6tcYXCzpf75nO1ECi4vatufmpFjnz/mfYLRGL9rM39kiHMrRpPEoRfk0rBvRqS0uEl6L q/HNJWk18Q+zgyrChNowFSw7+ky+k8aGUDvFF1jq82RCSwQYQAgW4L4H0UCT3Wk49ui8FnFlEHvC RfcZ2iOcZmHG9Hmn1eUH6ayXbUGLrRW/0N5ZdcwyshSwnXxYNWLiVsr3yuec0TBfQZA0eBP7S8sd K0HZOnWSMVImopdIJtRWAGTDc/DmREPw3SBGGHoBH8ASX/rBKvEnSV/slPPxICsyKobSRgxMJwwx LUZRXt3EPOzFm5Dy0lG3lsxzoXNWGo46Cc7srhmlemvl6a2N2qBtifcPwF3MfihKUHBPT5iDlvrF gJJ8w3tqLd7gydQH3cK4qDSbqALUEQEaNU6tx69rjf2i5KnjO58uqx1GzvhykGVODjnZvGREH2eT XZ3aKEhEN0Nf0RcnsZ53QvgSOHmcal86GBkDNMg6wOr5Tkj+P2PXleRKjiT/eZayMWhx/4st3D0C yVrLqNcfu+iZ6SSzQIgQLqbqj+GJJL2GJfWGbrrxFdnNkt9mTqiqBZOUs08SS0UQ1Bi4UG2SogC7 D2YgZRXP0Lrpp5wjKY9Hs3B7u/s9ws6EPp51UXm5cfAAEuXrDoYry/5AtcolZKk49kN/DTu3B12L 3ql02NIIreErm3wQbhCYYBbxQLL6vDPpshVbbw6SAVidnYIpnKQwyJ6sDRHcoTRf+G8Rn2p3TIAU GxI/KTq3hdZVE6k9TaTSz39GeE16fT2pGs/tWU4SCtYma3huyJslRBCF2U1CvHvdQSsJMB9pFZ07 7/NOo8NK6n65JaUsJ1F75iiKs+eW+o7bzeB0GlacrVSCMvWdO0dRoN2VgiAitMFTNXC7pxpt4MxV dLeRiUAxmKKJk6VZUetn1+u+z5H6tiMzl0WNRVESstqpMDJ1Ph7EkfOWi5i2ULaw3El6D7VxIzFM at09+VpdPklosP6Y8A7yddVlo1hbBZEl6WIOdiSBBAiEIBm+WFF0Uhc3nK3uc6nPp3nU9brvkzSJ lFiijS9B1ogVhP2o8lmo7kTB9trz6/6HA+JPB73FJikKt2GdB2/QW+bPtByUTShFC1RUQ/dQKymM t9kQQXfXB+tsV1h4DOFKBiTCNUnEKA2gM3Ja+yny2+v+MUmlssGtQUfSmaHqRmrr806cy2aKcicJ wDNuEas7RvF2Y+F6ruQ2oZM7m1lt78sIhoOugCo8hvG2zME2ZVQ5eFsbAEGEMZSbh6PzYGkWCewa +I16ekTB2IV858xZJMZ8tvpgQdLJeYbhHvLnnTKXH90Bq4zgPcotXr8T5rK5HaAeaHNEQy+7/2fd fv+X7O5O73w5BknsFjWKD3BwxBvAW8VkdlY58QxW3LnKga4vAM5Qp8OPJNYf3wlzCAWJXc6Z8jGZ BETBKCuQCox8oIryTpjLptqhWUqsj5zJXqQ/c5qieJuUAI8lCaIUSHeSs9M9lmzZWb3vfDlG7uQT DlVIxlMh6RUMXpCWoJoGA3Q0/s80AecDNu5PrV+eM1pL7wG3RM9AKOQlV2EAz4StphPJL8KZz/W5 Pu9suSzroydQIkVjJY+437lyyGUksdOmz1IBqMM9Z927aLLUzZP7nSqH72eptgNDb4Od3On8ymgE sxKZ0czGnoRgCxYTVCVh1HdFLKiJ8s6Uw7dsuhdDFtAGdYsGsjfO15z1806Us0PtK3U7p8hq9+R+ 58nhwCeEavFuEBgetHIzLiAQRPntFS5+p8k9p9Lcd3h2XAY8opDJO9rZc4tsHRBKiN0E2NeqtXJ4 emfJccNRVmfzeuOggAd25NxCuJ4/7xw5XMHLI25eLE1+4x4DvHPkuJKQ30LHzPPb5B6Y53ubMyuY i2mS4po2c7fKlcTB9lueIBKiK4ii/9kiqAdA/YvQch5LvZbn7F563yBSYhDQsjww1LbWqaRME4SB 9XlnyGXDaGslkZwKgFstXrV9Z8hhAZqBUb5+akSrCAWfrIAC74RsnaN3ghwPb2pgo55gg59KmLQx Wbc9C+hkpuw9FlBNzo45fzbN2q9b+NL7BmVJ6YNuOj1y4CwtXDrqjZzT7vNOkPNG9E1M5gZyst2l FMbchWUAVOJdIK24QBrxL350E1mKQ+k95s7UsgOqbd3BM9x2gqgxJeN4znHIYaJUMhBzU8+o7CsY Q121zzs5jnPElTSKMPDd4KEV2oSimvax9+edHJfFatUk6TI8h7bsVDlJUXm7sdO1XCPm/GMZ03uQ aVj1Fk4RBr99Z8bdJj+EuHzwUAkZOwUAz6EEmF4hhRB8gR+gkiBLeGsl9HP7vDPj8JtKKhw4VBvs 4MZKkiph7p93YhwfH9/hJKiDvdyDOwq565AHxq0nZSEmOUfDy7eQzjUW0zspDgsJyJOUErWJbLSg +1yUaHW2rGLJqrQvBsQUx0JGOf6i2lhr/7yT4u5ug32ZD97rH2Jxw+/+886JQzg6PFJiS+UkGqi/ ey87jLk7kX/Domt4qzYHi2S3x4RUb7dA6Z0RdzPcJacnDjZFZ2ucOAz3ATpwQG/LmR5N6cFzHtGk iX2hRfd5J8SxWUb7K2o2alDuVhss//B15+D/vPPh7AJ+oiSwrXtdfmq/s+Gy2fI2xDJ+IFWvJqHk 5qc2aJ42RWGBW/5oVMjzMal0gRoRoEeDsGQoOHa5+A269WHdYXt0EXJy0QtHszSoJNC3DyqVzIV+ DkOAyceD3ZY8eePkEn5f7yxFETfFaD2rZcOtDtdTr7U5KmJWd5x5p8LxRMLyWBK/Xo/49TpnUILL HBPcDtAzQaYFsI8u+/hrO0s2zeedB4fNgkZSKbDTtUELKW+RFxhofd5ZcDy059deQ0ugEWurKQoL 3MwMdPwR3r7TNj7zlIML4Mig+5m03jsHjnuNyX95BttrE6KAJ1QinXniPGc/CQkeCl6gqiM+csf5 pNcNchKuH6rVw24Gz/BmSwlgDEzSSU7K550AhzlO351tWAT3dKtJ7/S3bJK7aOq5rt6S9DzXEQM1 CckCPax1FBW4aV5/Tuttp/euXnQ7u7aUk/yDd7wqTDcbMW5zw6CIgqLpMZ2t9r5R5sbNRuibBq0k uCskncSjfN6pb1nQeLvauJQKozi/296ZbwatQve2VEe2j+oYm/RQ44EDsVmKKtyJof5YEo1b89bc OnQo2iKKDYIiUN/V/V8Rx3VAJOrTK9l63aCfxAZAlx5Tr95PSnyQ53bqfMdoJc2vcxtQ1d7TPZGi aLvTcr7seVEky3GQizYeIlICxmLndljgFkJrtuSDdwFKSlC2ZoE7Q+UfvPkTi3HpgYxVwKp1HiWh fu+cN7tnJqjd0wfVk06OoIipLvyQ79E2wodfQRI0G/a+Z1IUbbMEAJS5TVIdSkmEJJnGNiVNRtH2 O9+NZxI7koU97l6o6zupRQHtALjz4v5feU3EEhs2qB0lLwrSXRlLgY/f2W4XzzaKzEIhzite4Alg KhEEoBLwJaOu2/fBvdBMENGUkxRG2yBknQutX8RfuhEAXT0tkoT7uFZSXOGmeOUkK56DA48n7a/Z dDtZIVQ5Ny1VgSnIEAE8v8C6xg6IaT/vVLdbTWoiewP/Le3AWuAXQqd7GG9+3qlubEh5NYldf7wK DL58mqKAmzCLNkXHZW1SwunqKI1kG642h22989zwBzD7ByTCB5umDjQkhGzYwG0jZ4L+YT+CpE1w knlNnigb8E5zw89KnZyeKc3Y3ZCsJt7RSuJ2/ryz3LL0SL+L3N1l9AiODAPuzbVUSjb4Mcy9jQ3Y Se+lW2iCdkMhOjIucrPthkzDBkcmwaEy4WYBE3fCerxSlhHbJaOjgzaoryV6En3eOW75qjUnIpAn XZVYKUkTRXmG0XV+3ilu+XHn1YZjELvKcPTWO8WNVVexayhYQjg7WgFAZheaWJ1/pIkxhOMqltI7 wy1L2Q4lSbYC+uM6d549Mw/9Eh5LPbXMVkABqzKn0fFxw0W8ZMb6eWe43VipN+oq9EZLZbJwgQNm snGuhs87v42VivW1lHBdQGbAJykMuaGt2/IsRn9HgmuGM46updtGouFM5ye9H0uNXmpl8OzmYJXJ Ae3vjbbj2YVtw101EcNFkBtu/YJK283eut43OLx5ap8kqPkgqC1u0KSmEtZ7GHSn7xo3DoUOwK1N 0nvQDSypNDqm68GtWlw6D/I17MHVSdsZXnDvzLYbdHf5EvTHl+C8/omsZxGY9FzS6A2Q+b6Ftu3A SjoSMNMz8fNObcMhSs0Xwu5sEDwJt6h0dWepn3dmG2vc62u/AcqPDo5PUljjniyVCBfJFLd4zQ39 w5vitmrl23dam6W4FA8sPlg4iUmCtQwmCeihDSeAk5lI5hwbBmBVS3BVKXlntWUJSiH/780HKwPk Ll0N+Bh+3kltRJW0X2eStRN8kqIatwnt47hWqHTSUbPiWaUX1zdLwDPwenvntNlK3tC7Lz7YJEEM 6Uwz4YCSBATnZy0xsSFelW7IvbO9bVQEKMQjE1TCwfIScC3V5t7j805nswT5ax1RXKk58OadzMaW KGVeHNuGalK3ttvZYdld1c+pbRHAO5ftXm5b6NuLZWxQjUdJozTTV4JBBJmBeOGBW3WNdgNuLaOo vN03M9vKMGA7uAcn5/kVhOdv9fPOY+Nxlr9TNyjVnszy7rUo4iYlH8oJjiqFLI6Xk9JtTZ7LySpu 7yy2LKFvliN3v6MluCf37CddLpser+e7Gi2OW6PH2pmWRe8rNd2woD/vNDb8piy2zSIHnlI8wQXb YohCOufnncXmOOPbBMA6HPVG3C2KuHuSCI67PELMX2Xcwr/N81suOF5tMX6bhW2cujZ4NQmIyQJG wslvz03dpyDeWDQdnTfQtcwJE7B8fkdw+xPZPsAqtoFT1GftArjXs2DxeBBIjvY1RTA0JzfEpiiM tisP6jFcMb6sZZDSCasAS0o2c1Ge2VF5O+kcmioBzKcEgCZePRmblHNPRArqJgwM8QMCpZ6RvXuH W5stRJTolAUK3wZtNlBfi4qSZeDxAAdQv4NtuifPy2TrUbDdiSjBOWEVt0ENfxeUsDLJ+aRqkNL+ Hmyj4qciEmAEPnrNDaT8VdlNOkfHyYBJbIdOxXm/cx+Pp+Qm94Ee0yFlE0q0BActJLDDkuiQm48H XJL9PUnQt+zrgtx6FGtTTO8EYdfJosn+knISJbtKAk8sTVIEKUGJHJODfMNHr7id6HEasRa4xoQV joAcWUrH9KeVbhGAuhs9qm+j04q81jpvXqjuVEsT9+akJD0KttMvcBJCb0AffZbCYLtUAW+nJbdN yrk8ttvVDmxQgOOx3aP6tsWR0qLsX1qUvWfYKpH5v8/PQb3nE0eykFVoWQEnWq8BiLUVBdsS5SqJ mpR5T8QNjLbTHkJPnsC14PmAG7m+KyUZWuKgNPo0heRIeRhTaoLh9pS3M6s8baq0dIIoQECZk/Qo 3J7s3sIZ1AdvTIJp3qtussXGCfBJE2VPkN3OgirJ4RJ5M73tUbit9BZeoxosvYUdhMzsTrDR8Xhw dP9iA6CweWJcRyf1iCE5eCx1ImXJ0O6kplFGIjWz2wFUppjqeQ/D7SluLeE3w32qeXSDKT8EKQGb FxIxG0XdDE1RHN3jUT3n9dajEresddZcGs6/V00bAdBYTNI4mRuej+63bxT3QHfq4QD2ONyWcMS4 wgiq2dIkdHSrTE4oSdpKCiElVMmdtd/BeSUnnESXXrZWqDHQoZZSbBvQ63N3rHso4dvxJUEMoP5T k3SScEWquZ2AlWW0k7dtPB/st189bizLc+Z7dtvDGjd2aRtluefsJCcedRJobw3Tz08w7WA1qf9d 485qqXGwEsA5RFbjj982XAwWAkKQbXEgVh5IAOZeipK9b7DdqFaGejIGlMskeg9BqUUj75/ZasEH /AvohnUDF4cxLmqyv8fcyGYIdEOSltUKQP8AlaUCDAWqtuD8JxQLKjdcFHPzrNm1ypShPpRb0AA6 DOWosXHy/Uz1SRCXfwz4iMjOPT6o09mjMnelXsssMtdxZniFqW0tpnUw8XhEur2USfy7HZXJJ1iK cNydyRvQZyonnWsW5wTKSZSxlTACzL7NFbRHmBIlbz0R79aTmhfUADqHRytNzjoggCaaK9MKLEO3 DOW2cjtv4JvjWyKkm/K2Z1ClpEzwVHlALT4erKR1ue2JZYAzaRQpsGkK427cES2xC0RD7NSu6GTq s1lBCRRB23JRlXtR9aBOgwWuqxDYNxTEYGYM2849OzCI54bDp2eA6hHhZC9N8izEtwRZrsg3XXXc 7pYVeeI/MeGYZeLxf60l4d3PfoeImjO4o8h7FrHc1r445eHskvO/DesFnD1hPKURlblli8YSiA2e 41IZtRPrOlm1Z9yd4fo2Ciuaw8klLCDgO4LKJOPuBsEBG4RPmqClsDK5csbjQdw9vuPuRsH8eyiN KO7u9AtvNOnmBddb6xYq7WHqG5UyNMZyD2vcPLuB1vbBCTjlHKvgl1BBMSd0BmgRA7tsZuZjuERS oWI9viTiuQ9mt0KEgvzCwLuenUC3C0gHY5LCGvfT6SbxC12Xh4Q7QiQ3MvtGbxufpW3+TDS9MeLE LNvKJSMqcvPvBXhr+EgVXxSVVoFafklTKK6aC5kog3gckntQBzBbgXMqDXxLhCwlHQAmBxyKBZQJ NBx1Zk9AOaIid77bjT8hHA0ezOQIkSWzCE26HVhaTP2ngLJvrABK8Nlui6JugW/QptVgU4SAEhct qZNr1HmWapJvGsD42KMAKXkQgCoPviNqTxINmDlTHLTbStpmogM1wBGWuGv5ut2Q/tF4xaYoirkB TILs9EOcKC6By26rA93aNjTAiGJuJSZjqIg7bqS0UNSuPZETCCgX68P7nE24oQqmfFNBRdBb0t1G VOLObCgtKeRPlBVFLZp09GMp7cQteD5aR981bnSzRr7NyRHG3Ik0ZekAkmgyXXv6/BnDj6QK0X9N UhRzL7XdihS2yqP7vs5ZfA5tJrnnoi6dESf+sSKNwDq66nabmgAjBHEr1h60vOZgAcBITf2kE0jg 8ehAcnxSkb4bhCWWJ28jBHEvFttSe5hcV7Ctlu15CVHzTN5GBOIuLIFMCQVzsIVU6BEHPjRutgzF G9Ld0L2kvc4sV3Ga4nSfEaqTQAygFJXdgLLlpjm35UoUM1B+O8Jw+1aUJFhGSat7ZkclboJKzzof w0Elc1unZEK31EEl+AW0jKJwewq7PVm9PQOVd1m93cw9xqb1IGpwQMRD3a5gpUOo7Zki3DyfERW4 kfmg/9PpjArpv2w4t2lVt1FS/4wYU1K+thpoXQOKOjZHUbStMLLm+XgHrKtxM12S+3z/naMw2la5 MROdVPNDLaXSNOl/DS3cE84AwTXhIkD1P2Tw++41RpEjDLZ5WOdSxeMSpI7BYwcODGcTUEr4gKAI UG8cKZ+0s6T6VZcYYbTNIKnSMkzk0jw8SBJfU0Z6SHu128Iqd54Ci1YfnFgyEPZDxxDaySd6pOHX 3IlGLLCloLWv+wbo3A7L3OTdlMUSJWjhP8T4wLdvS0HvRM8FzwfT9Es6ASfcQlpkckBhnZugybx5 ubEAx+o8kQCjWi0OpbhmVbf5t+bfKmyqcbBou8EpnopPyHTPIVip150AKjmx+Mnnv/puZODOEFJC gZITDXUfBHQ77yePgvN7VzweTNEvSSmEHQMZmE1RFG0jCM6w2UycFzBxm6lwz0KIqFyXqJGGEGmG VW5OEaqQPjiG68S/m5zDRi/rTUM0RNtYSJRSBXziom+X3jdSvEEAMBcNA6ZDoCC7pZbPD2TV8HiE A/guTJ4FjpTkykqFMiVggLPJ6kGSuEpEcDXXbYWEgrW459+0ydYIu2ntgd2c+Ii0WxW5T8QHvs3Z ffRuyrhcCkyTHXZD5YQZF7kJJSGdX4MQJQBWG6JkVTweTdL+iiTBvWEbxyYpCrYhT45kc3onoLZW nMVFLWKxuED51iT9XeLGlPjwoAEB3uV1O0DEYKiwFnRvBqrHEtg0E9Sltw2miL0koC98sFJSz+Qu AE+y8HgksembjRDSjIBg5WeSonC7EnYzRGrDJO1e/HrreTuLizBHTVKoAlinqkjZB09uExtJnVHS BB2w0/+UDpdcyZvHtoSS6Ug1Q9YkM//eqQ3Uu0nnnstzIKbH951LAY8H3clfRRLEgwMZlM1RXOGm kOTcltrW87ObRfNcgk52qu4vu9tmBCjpCpPUUhpfLSWwwaAwsSQK1HsatA5gEb5S4GHcMIk+lTOK tnF0IaPlFHHQ/Y/7U2ZUcww8HkWSXm4TkRuywWhY2xxFiBKkUECU2A5DQb55q2ROa3hDKG0bG3BG Be6VuYA201YONkcJ9q0nYei62c5uBiT+bDaqp0OLguVt4dxpATejaBvMO6BtDHvDW57dJCiSkila Bl/xX2BJsbghR3rVJGdMmFSprSxP2pZH2zBh8UOb6lbaaqEaYDEfz+yDn0dnLvoQVhLCwdUcKM5n nF/x3HJoq/8SKZtRaRuiPLAGFrV0DWPeJjSEJb9x8mg8HsFJvjncAFyD/O1TFMFJJqWlLoaEVbft +Lbq9ZHVEMxoiqJgW0aC599LPtydJvMFym9V5CF9UscFYQJwSQWVilvZTnrdAJjMMxsTwqG4qzKI VERXn3sqVzwfraP0NUln+REr5ZMU8iURRNItySYpFSe6L/CKDFBCZRpNUihRQiogzKp9uMTbc7fn QdZ/h8ikjD7poDQaRS37Q7xZRa/7B1aiZHooaxAuqY/COBhcwI7Ho0D7G3SDXPssZF9IKwq0Qdii RIkHSFhKltmmvpdltiNvy9pWBCgZlZ1b0UU5ePI/Eqy9effDkndSIB2OzAPHEQqSzcU3pAWwQjiJ hKXQbLJBmBvGAWwjneWJxyO65PcyOjcaJ9qnKCxrjylZkmpTRIfrG0M2T2x39ikKA23yRFlQs8H7 tlCGK6iWk3aDsgY0kwagBrNSY/YR3oB2E74jUgPExQ/nCA7ndBk6shNAqsS3n9QNz/+X8wiQJMhb +xyFEttkSpzs35fROZv8yEaBz88juGVojkIwCUv/cxO5fQb+qlKy7yc1AksOakAVetvEeFdwA0+M 87NKfYKj82/hO6I6G1MR9BFt4DKCE2QhVBzHKR7/FySZoEqIonToUdocRWF2rxIBHi5DjsDRI8jk VlwTPu66+VcI3B5i24gCMB81IMg/wf9JIImTP4C+B2OlToYM+4H35ie8bYU17SkFchaRzlIFHklH 9rka5OI9IOEaAkn6d/v/rEom/15pW1GYjaIlstrucJvRHN1+vnaZe8T5L9njxiSFbEl2PPgv2uCN 7ZGg30KjzrlPMlvQAl8Fgnc5QZ6W19mF29j7RrU2pv7VjE2TCwIOEFYYb/Q9M56PbrbLBCQOEFnN vJH2iiJtiixAXctqtqhaGSoJyOHmNVv0OzRNUV27i7s+zNzt1mwhBQikrWAS5/BAg5Ke8OiqF4TZ ZbLXRv878sfwLX+KSiz2bDkor4XHJQGhJ/zmS/4TlcTFDjmQMW/5f8W62+yR1GnBdhNYhsW2POst trVlwfYKg216kDS5ALUvF6CNkzyjpQXwVoKRbSfPDbixhK4nm4lWSNq7632DaeJqqspMSDI3+swo pi2VUsPj0f32nf4DvLXShSavkDFZpE1qRX/gt8fyiiTeSASu1pwxuaJwe0iebDL95+C0Uopjjaoa Cfikk0BA1kgS0vYMHX3HkiB2wLcENxxTW4gwcEBzmoWkCrUlVrdRbVthdXvvr5wElCO4iPskxfok laIkDxGgT29IznQRbms4P3n9jSWp7Fhr8HMplRMDbBlSj3PkLpa1NwWrwTUBIcSVpUrCEYpvCXw2 KCu5es4+6Fg6f2+jsuv5m/mSkYj7dwuAUFboFPosRRE3+KdoaF+B6UysjHRKa/K2LRTLbJZiUUA5 vbJIwsF7ACfIQPGfUJIzf2NRghMAZuw37Lpdn2CJ0skrCrkzaSjQKPVBNUkgetUzOacEHo+WUvnO 3UC367e2vaOQGz8NGtpzebktdbPbADDfgbcJWpCMlXZU25YnYKsMhFq9ssBnW5x4j7c+YBJ9zcme JCLXk9meMwjkQ3d4ZW17RyF3o3Epf0cbtJBgZpCMObnw+L9oN8RMsZC0vEmyY7Yk6VvtViQz/VU5 RT23S9+ioxC13GMJbuZjKiTlr0JSAlxk4MJuTPFPJoJe0oTkBsClCDjqtXdNzd43agCQLbkYdHMQ JOnkO2JLnpmveDyASqZfriTnbodfik9SCOAe6rIVz0sokGqbrT992+58iR3H3KyPdK4jDg+AGzD3 MWXddhZSkm8LetsjE8XtSMlCt158SVRuYySJZocNAkmgnCfP7tI3Ho/Ikt8gd7oUrIsj2SFZUsLJ jylALQYFgBqAKeAhtXNnkh3iSNT/X8rd1s3d4M/WJwEEnKMN/ir2GgCKG3CxvEu/jSRebTsKujdR 20Ds+GCE0m16gGjo4fF/CSe7HeUPjdZtksKYO08JuF/7FtWVqFM+uPEqQRJOKtkhentTMbkzeeNw F1KFwhULN4hvBySi0d0+Z+GAk1irD+IW9Rp8R5CYkJLc0taATSPBrbOXEzv4I8+N5yM1oFsEoM8C CsfpmaRQgrtKNKk6gQsyhzZJu21jJ6FlvG2SQiQJd9tcLHHPdVXcTloJc3dUR06Ge47/gbknBOC8 IkTugGZxJQDwOvAdUXeb6m2jVh9UKMHKlZZ7xakdmtw8m03xNgrrwCzYJEVQklSkv1mvwQRlmjlJ MLZwChctDTlJoR4gYcnA4/twJwm+0lnFbYjUgrEFeS6cmigJ5EKTxKbkrel1/6KU5rqzDzqSYD3E 2lLLi4//C9qm6lxGS9LpkjsMt1kVnDmb0k2d9IAUhStncZbOr9y7+5SEWJLBFdRpoMDBKm4LYrRb /O2zYDE5zO7yNl0p2Ji4/CbxFfiSIJAEWxJuQtsHzdFqFF9EyboNPP7PkhsnpKEu2jxx23F9m5ik MbPtNpRBuaZgIsluANEwcxpdcof1bfZth0IlDn5u14l8IatWMqAvgYg8oRHUUb5Eq9axJNveNkjb uM3+vw4AjDAGz4tzutWBxyMkic8RA4cFr06gxm2OQgVuuW5l9ox4Iq3pm23leQ1vgPXQQoqQJJNt konjxQafo3MlpyyZclgoj2WkinnOS7Zwi8eRJbF0u2NtEnYi5U7GwTvbQqD84KzA45G49D2ReGBm KAjOUh7PmyjcBroWuKTlUJKq4wnw9iErHNo+dbLcuz4quN6a8Nh7+mC1kg5TkhOhQGG6sM7aVCvB zVpwsFQwWuqUsfva9saBQRnTkQEwEbRnKLrAucoN9kW4tE6QwQ+IAKXftbdBSsIVc6XKf9C+RdSd 6DRF7s2gdhkQJahzLBUCwE9yP9IUht2y4cop+eDYJABMCRyCDde5CCBMdpJchsCJjiVA9DslsPkb R6ruAgG06gMXFSAGDGG4tfn8v7ITRulwucI9dx0CUmx/w9atmkis5ZLiafVuy1Vg6FZMFwAfFaC4 uagqUiMbbFEBmX3SACICz4uC/gae0qZgf8bdVXJ/tN1ZD8DXBIuKG282K32f8FtcCWjQDkY953dd /IAAXZrbr2QXurCPl0KKqt6UGm2rmvHv+ceyHDopkxY5TsBx1WYqtJcs3HcAttlgMwUbAhStUIND XZsCuxAzh2BfQVWqwu7cc7npbxypKNAfEJmNDTqpTkYuoTdw9Ph8cJz/Ii2jkUF+qk9UFIWDiILj PF1gYOnZzqk5DdqNJffsvqj0LSR3I3xEg+2+k6eCfTFpMQ0dnAEnnPOH4YrAr3R237olpiy/oBRh TAaJSpWWURo0URnVKVZPNownUggy+aU3lQtFRGt+Dqqw+g0MJSokTuxqvB6IDjybxiqWJ8dY04/0 sPzNg2pATccGr+tCTSkhgDxX1DnHUwPRdAGugbdEmwJYWtkGlZS2vXHE8FYdjihKDgZaOkcc6QIn 5dLzkUmXX3+EOKNoieK7T1RsiYNSU6eOxv/oYN7MJbgs6bWT2pUQbdtERQH5FG15UL+Eg00U2YEF ouVwxUNXUxQ4LPwTcaJ+PG+DN4Nrqa+JqBRdDMHsg6CUHfQGrocz2Xw+Yp0+mQsX1YkQzt33hAmh ikmWs2vSQgJSkOp43H6AomTJdK66ffuFMiYEd9NO0gbbfin1E5gjN2vgApwsaMvhncVllBAyNLzt nMJb642D7IVIypx8MKb3OfwWTbGhTtr4/L9MBMkWODHsWjcPVofj9SIZtDma26EnJIibboBsPFib A+HDTAQjKRO63J7sTl4LX2Tvk8KhKFoT9cxhbCQ/bgi9CD4wH7igbJZSKNUtSGVuwwfV5lCLYVxy 8tXB54OtV7+LvBB5OqtvPIdULB64xct1Y7NcskF04JydvRY+vYaZU1gM14Ja9FngcPneJwECHFXn OdAPrPOiITF1+5FYITocKbr4lqD4pMNp8d5by+891PVIJwKBf/L5/wLSGVXXp89TaErJFA7zYNWn 2lJxlI5EQ9VZKcVd8gJXSsdXgJHhg60nNOUKnbfP9blgJgY2JZZrQX8WPYNyMSgUMuK3/EX53jD8 sMG4lY10a2TEY/L5yKT7HlH4t0HwmFAA9ImKwnOzE5QXlQ6rW6abjExUppveXMmBMSWKqTSkYoNJ g4HiYc8KNXgDxdOAEWEpDEobHYQJREmPt0mOfSnJ+W6Ko5rHUQXTPgTrOAcznw+C82skwFoJzYtB 1LsT9R6cnwepk9sF9lKpbmoTQmupXa2lQuh810dFbV8uJZFvOfiKQhUCDAisqD2RP+JfSRDz7hv5 F64rn6nS7IX/1BGUClwvJMZQtwvakGr71sHno+T4G7MDQGKvl0CQA39Ky35aZexilsLNF9SQUhWL LND9sQUVhuYs1+1e7mALClJn9BACQO6EU3OwgkBzNXig/eAlXLdrNHvfaOOhBiUqigbBdqBXKOru ypXPRyiCe0ARmAFrajgL+TyFYoKLui+DsIr6uC+yYkeZfoounRznnlAh1VKwpl6qD76eYN9JqyWs J+jB8SRn7wU78oenl5WjJLqUQ5dK9qHwPwwN3dZFLaAFcT2Nzeej7q/3EXgDbOz99KynSMMbxU+c StnmCbjd7EW7yVQP6ykvl4PPgU2ly8AA8+XDBRPmtqFahHkCsJBTKduCQTOe/mV42v2Fo32nPksp PhicsLoF4/kgPh/1pH7pLiIeAQLO5ykkXFKfqiZzp0TxgI3ORXJTKpYSE1+rlDh0qgSS5oQEm9PF wcEEAOcslBIbmwULvWpkehCEoWR+uid5SSpIhVaVKOKjvsIuZ3PIAnykCU6kYl7n8/86yYXRPPdC m896CkVOFGl2s/ACMIXwC0kvZeU0PMfdrDJwq0StlOydStAFB69HodKOQgsizROOV3R1NshgZ546 C2LDPZgKEZv8lujGI8d5EYLJQaHmue+y4Kk1VT4facH4AUXOI4AaxHX5RIXVciwoeNi5/NIc1/aM OuXmM8hcQhMVo1NIKpz5Dk5zhp8khPPlDocyBEWqUJ+CDxr1ndqF8PRmbxzo52/zGOw+CHiBgplU pk6myuf/ZTbMIABwMMZyNlEhIpwCw4mYPqM6D58okKy9ZS4rpqSP+ksXtm4WDjh4g2rSej4tSsJA 2kv5MN1LMxXV6aXnZCd/44hdIOU84XiG43jOcjordGhJbT4fZC9XG45eumCUAc1zo83Qu3IUogso YaRDqlm94BxSJdULnZsm6ZVD88rF0kEfnLHutHZsPkTgHcUxpHmYG8LCOjBQa0Oka5WnTdXsfSO8 KvONzvpm6XsZdO7c0F2C1edc5/OR1OA34qnQ5fH2qXJoX0lLsBPMsFlHDDTsBlSKUlGb4PBOB0RN U4gO76bovXzwLO+ElSceSKJhwnwAE4r76gwUIO+P8hm7eTm0r8yCqKRnsJpdlYL7z9kSk89Hvaqb DbPCR2fep2oQ+1duEjAo0PM/kemRfKu8koYKnWfnXceBHBhYAokhlSEpVs3nzoO7KvQB6YW66RJM AB1Q9OcSh+NJrT5TJddkbxyV7AhU6aI+oecoCX0UJyTHdBbZ5gdEqJ72nedBunM/vN4cmFjCrICq 3n0sg0C3Wd1+uJS9vGgHBXtbUjFQnGzVLB2dfHV0QIA6PwYBmVBhOK8F0MqEMyYOCYjBCLVq9962 Nw7QBg0lljGFyCSC6kceFkv+Kyf51mtGlYNbCOb7nfBzgD/gMxVa61TSMebFZPAvUmC+mJ4qI+7L M+LAytLpvVNa6PPRQodcbCsUDkNgDstPAsoTyPQDUcK5ztOtmFNUP8delsyFe5dtDIgH0p08P8aQ egVk1/gBEar+W1cfAUL/qgOHbpZN7rF1OS6j1bUcvDLNmQiysmP5RIXoFea5bZIs3uYli58kOJcJ DTTa650lBslj1OxAqVODoV7a4crVXvgvbaZJ6xgNqh2gy8OacDvbmc9Hfs3fHdBJAatLPsihn6U5 7ND8j/M0psPFkAZ7bRPcPp+nUH6wSzC+Lh88g0G4k9CeBGcP3eKlGD6z2nnWWetXmmn5+wbgDGZ4 1FO1QdzDE89KDwLWbnw+Csy/fYhQeBjlqUSFjpaS+5zNoGGIN5chD2bb1bBQqHd74SCwtESiR3hG UxumXQzL+c3x/BBjHCaymwnxQFNs0fdusBzF9kte/sLBPJF+sOj7gqEzGjpTfs5W0g97TXrLKCH+ xfXdRELnG2+GrpadQVTtc3pG3Pe+GTGwNMqIWzMYSw5sLdHTI71OJU4OfkBt+A+JE3n+OKB8Sa3H TzLBt8LEWRDVSrX3De48mn4RqG+DKiwAEYvXktFPD3wt5XX7VWEhKQ+KGneiosCc6E5UPS66rieX bMay8AOKQaYmKuZqMi5ItNpRkm133lmOifoPMOChHWihk+zZfwui+wWwY1QZiRwr9sJ/4esHsjOD amhFnS/sRNud/9/5fFQE/raSRc1ipWfnhe6WU1r7bTlUsy6Xajh/xpVqqFK3xM4L7S11j00h7OaD sFswIka1iZZ7A0qfVHUoSGEbrS13uVhNgsdz6G+pyibtaG3QOY7qRBZ6DLXywOBSasbflgQAQc4r spNDi0scQjiWktXKqTtkJ9QgMYUnFJxNfJ6isHzR4Wq03HzwRK/AfCrTAATqsQu0+hMSngP9py9a E+0vEnm3F45AB5SQa9SR5WDk362fFVYym88H6Ix9E2J13s/n1EtrybHL5RhCbF5ZS8dkQEUuO9I+ IRpWXB7aXEr2i5g9G2zjlZTgIDfZIcZMUddyknZz4nKQxqAMb23PrjZV6HQ5k1ibZAFNAqxZ++2w 9aGg0DkvMj8gmqrvPhUraCjs+0yF9E3aOKecbvulNIsNTOtUNhe1ezUqdrtctAQfqrWMiwCGHU2D 8gNqByeahVJSRwYDmkImK/Gcv/kqN6mXHvhdQnGHYSa4C/BhAaxJ3A0IXHArjjUyPyDafb6ohhLo n7EvpTwHjpfeSu9k0HBN9ZZMKvUEOdWICSc5c9G9HFheeuezlZ19sJmCuUUydQJoNiYsm3OYQ/ck J57b+aHd8efh10SIO/aIVxONA2tdzhXzJEmctLOIJz8gUgT/ZnCApjVgyOwzFcXlRIVRBdmALHIg 8ay4OJAFCYNSvdD2slPgqnaJpvVHNA0WLGgTk6BIhqfMnJB0Z4qpUa3A2UCp2xsH9x6x0gmQOhtU Pxi4sKm+01HiDJwvv0vmjIixDHu/9uA59L5kAtFg32mVO3NxYomzJitxnlOhV19TEZIFksiERS0f nDWVT6pY0L5oAPGd8IeOTpUcIjgUZoZRznbp9sJ/3nysG5xhubFjgywN7y2Ex/yA/5LCQDRogPXm ExUWzRvxdiqPDzY/m+sU1+ryskAdLZ+oMDZn0Zz9HBtsohC6nuhbB3qFczEONDSxOxlBJzwEyMVL UrRSz6EF5qRdYckMzov094kMhodZUbWz8/lg7/0ydoBV3awPPiP0wFRFCrxjx9s9stdbuODFidpe NI9NMLfqK2P44NgouMF2qEdioioE87H3EprFmd7gBU1ox0apaB66YKq2CeNuHwTQoC4iYeYn7Ofz Qcz5SDsIG5VIOL/ReeiDKbvQxMIOzAtbLs3xdoU+2VTjI8zRjqkwOmejOGVaF3DQVJ3YvgEujQYo qpj5/EeIFoJcw7I1Z8k76kQN642jY4pxeSFrkQOnap5Ao3CBVeLtAitM9LNvmVN9wHIO9AfMEpph EnODmHx6ZjyzV6QgxOit4ta9VRy4YaIWYSZYJd1R1bGUQOk8qWunGRbsQ+lOx3ukMfxkU50UGIbA /JpophSaw14Xg/WK5wmYteOFPQj8ML9TPlmMdsonPwdV6IgJ+Dy55o4+mGxfMuUztkehuerFR4WW mEtGGJOFYQ7eVW8nIoTFuithUf1KNomQN0TxbnvKNxROBZaYwjhAvECcKgX4TGbODGXqhXfIPOfQ FDP19BVOgRUw6pUNybErZmqP1pPsjJIbiOOnt4kaLLIwNw5sMT3wHIVdYw6X6FFOgFPoH46OCaGz mCjQUAAF6+nBkaVi7xs50HCCuprGKEop+0BZdZhUeln8gEih/5eFOI3ogSP1iYoidOCayRRqzj5b 05GJo9ebyww3fcyxNSZ7MYvccg22os7Rl+Bqy+QYdbZCNHphKZYTRdXQKW21Yu8bwDQag6i9ug8O kEqSDa0ZAKnQGvM34A59ahjA3WkKhcORlwCo4N3iIUfxJU9DU+k7x8oNz0NzTLWsalatJT+1lrM/ IH3KohSgrpAzpkV2AhwXtBFaG5taj9rqoTvmpE7Phr2ADVZEgEHCtTXMoT3mbN/66ri6+kPTz6E9 puxDlquE8h89jQEO30LO1V2vJwf+mOjDGICz+2DMhXMIodZC5kIqg7biSPhQ0MwZ3lxMpC+eZdsb Bxwr0WGWZMTR+F/ma3TCV5Ksztc1fkBgub49OpdK9FlRYCz7TIVl8236866yXqZ7rq8xu4n2rCQr Mc5UzPtkGkMvVQ0XqNGBOGwMpc6l1jctRM6OBNYOPsGt0d14Pq7rOXDKVLmEQivD9VbM2+hkjkT0 Qk6Uz0cF4f59RGViktvXooq1DRNP8DE8PM/Dp+okMpcOI08aTlWEaamEZqIM5YOdUSffW/jN2YlJ ONCJ/dmNkFz0JdozUTvb+0YdK5LQpG44XN2woNQyZASywRoK3DLRYfhN2wdAMF1Iy+c1jiqmb1jR 6bYzao9s03T+aSSHSPVt/KrXKKo4QIqiRTY4v2OeyzMl5TDnvE2FzrTYjxASnaZIK9FeOhy/RlDF PQ4HibIa7Hg6V4Va6idr/bzGTwUUDW25Jdm+CjVahFDi3gTTQ8Uc9+6jnri01pm7DIBcaP9EpqyO 8GB6ugorMzUfbHpAFBog0tP9CWc4hA8WXerO5kYSQEKjigY6l17nJzHQQ6WOnqIchLID5LuKi77w 9Nv8APSZbX44GecHGXCg0vy8hkwl87xFlrIvzoeEJOF8cpckDRCd1aqarwFT8cgSrA8fHCidKsIj Oq2duwBiXzziIXHQ0emGrJUFltS6+LyGSwVHCeCsYFT4oMrv+UW3XJ/6bp/XWKkkEaU5G5xJwAtQ odT0vAZK2F0UxoQC+o+7qxRXxVgOBwYv3a0fX8OkAnIfcdFJXrTpetFOTtAJBNVDOCsaSwbI79JR dDwbJtU7PQCofV6DpIIgh/iLZQOAUpIOpd8WM46TqHxeY6Si2OFr+dCuhBByzM9rhISHZpOO8VUN GcVSkw0BGscVZM/iXuMjLH027SrdrjT4vX+WTKmd4mow5wFKGrdZI9QYeqIVkj22v7gOPq/hEc4f 8u+KzHqLm/WeC2CZTAl75a/BUZGdsCZI1sf4aZi90WUymCDKQ7emhI3ZSXIqAnDMDrzoi1w8WIYF EzSJCUPjzwevnJxMFDpxCIxK6WdbgbKAHlmhBf0mTNf7mknWocEELZnzbZ7TEjfjqdNxwGmHrfJ5 jYmwGOr0FcRshGqc7P1ihl5DIhzrpL2SIGBuGEA2udgjq5hg/yAuMzeMaIa6+r7yMn66KrA7gQw9 JYzPpKMmjgtsAK68sfNo4+J7jDnbazRUDG1xjgBw787QjA4NRRgWMFGjrf3zGgzhBDNCy/qRVggA F8mP6NdAqBjU4qzv4tH1ZC/RLFXKIzzrqqqvYRCPaEqEVC4hDt6fA5tvoobb9tliAO8sKvOh5F2w 7snN89iaVPPXMAi/wmR5pLOjwsG64Smr+w8+3Oc1CCrCzDyHEJAho9099lqfLJ7N1u46IU35ldgZ AvVS5qwWA1m8VieLu2FXAPBtuBPUOsoKwohXbDhJYKHgUJjx93ZV+Rb9VF5rkxZnUR9saGgsxp6/ Yavsda4lqIUGE9TX9ylNLJoyWUzQa1USq5bo7BM/OxExVde/2HXZtKGu6Kf0a00S777slB4+OF26 g5YxOjHPDRgR5HFzAaKMSjW+ac2LkqO502tFEm/LFninTQyGIYwc0D9EPQif+lqPLI8lD0AKLN0C 44FCh8WJURxNXjRA7dNvMgmDkDNWqgO/CPYzEmIUKS7JzBPWxMGvepz9k+hURPaNuRfaJQSGI7U6 2Vn9+SWm/s6t433Aa76P7oPqthliSNIJHeC0RhvNWrtaR5CrHyP7SfROrOMG4VHEZgPDobMVjKnp EgUkByL9N35PNElTYAqKhU63FEB9jeaOkLI9k9QgPI/q9okgUJpIcFihnaQ1didVC9+JdVhKhWim RdQlB91nrOFJ/Lvy8X8d1+x/I3oAE98m6T2kdjJGpdiwVtIj7TSTUaMgkOkiYe+cOnwSc/shQTUO PkmopwF+Ry4G7jaIQK6FJA/OVSyD35hoCL4bzFGnhCo+0Qf1StDAb7Lk23w8iIqMX7DkEgLO5UQm YpMUBdZs80EZ7Cqp9SsStmjoKhlsopcEOwkO7V7VUlL/O9/IeuzRFzDORH9CF6RQ/7IudDzQ4y/1 iwDlrxtkZgTsAkzhgzKz8wUU9Ic6CFqU4aFUv681VotIE+OXvgfXqIUxYMzDq7SjlGtdQKUY8ceR vFmVNpojJLpojNR1R+uQAFaCeJv5RwfRXmc84CJAE6KzdDskU+XH8EgiR0UcKKSspvCcEH3JTvIs qc87ma6IFKRZosQMyj3GYuU0RSF2pyYArl1HMFGXUTlatsAb8dP2Pu57jJ0N6LUKWfYcvJaNM7p3 JmkLAPkhVjTqD5MUISDn7eAeNC56p9JhwySRMnv2QcjBnqRpBsXQ8nln0mHjJA8AWE6jiH1fHiK9 M+kwt4yREjuXhgooXk3L3DRCBQBfqUmKwmzVHVl6scHrRCmhmkmbMJS4qfUHeiAsLSYLn80PpZKl RRDF2a3KIJSJCAetJLo98FA6//XnnUZXZKFpk9SUteBnuodSFGmrWITa+0UEJNMKh7/j9pIjfn1N UhRqS0x1FCoWDYUtJqa6QHwpBAiCM5HZPqKN6YIuLa8059fPrtd9nyRhdaeq/SxrMlA6RziPOrSi Oh8PQslbTyPRlY5A5R5K78G26YSeOGk526mN4pTM5bUjaKk1V/+Iou2BLmUCASzd0WpGtBoY6BxA TQ1KpHTBrFU+ZtSfmk/zqOuF32fJeiIt3cHwgmebiS3fob0TBdxr3/sN+40Zf2237BiF3J15P9BW Xldb25cSgJVeV8PS1FKKYm51RJDR+mCTdALttUpTJxIhQBK3lY5vBa2rtPZT5p963T8mCZzn6YMO pRN8kWsON7X1eefOYb/V8nUooTgBuK2XHqOQu9IEC/o33jaSwSMS25HcB/OstGpIpXfeXLmu81NG YfNJ/QEARg1EgMqTLuHU26tjXy9CWkGQdmEwdiHfeXNY+fTl3Sw/arBAqcjrHNiH/HmnzZVHl2/9 XAnsMj1ze2fNIQRNlLIA3trsQiitIBhz7RfGLK2rxE8KYoBWFSAlHxwgeBZL2lDWAcmin58chZS5 aFJeAMABYfaeSaxBvrPmilxjUVsrQiqBRiskZaVEww/NMObnnTXH682321SJBLFav9MUlbFRGUVF eA4HUtZHZW6aDshZktuxXO+cOUtxILFDVXUOXuev4DaBJoAawAKFiVAuwExhs7aAlHnk1LWW3oNu 1aqgsVMp9UilGVal01yNxDUAHtbnnTGHt5w3feOUFJyU2avZ74Q5VkrY7JfsHmtttXu1/wbgUJ0F TB2H9ztfzqIY5CR9+GA7buMkr4Trn8WCCtVkn5ZugiXR/6m5cJopo7zT5YoZGJTCSqIG6/sXkRJR oq6fd7YcT7VxwwC8IO73Nagwx2mKAu81pQBWvepPLQ5FlGKfMc2dFx7xTpZjWZsi2MKa9i+s6fkf 4DvT5arMLLexJolQhbo3YKVY0VZeT+9cOaZgOphy8YFT0ydKeapV1/V5Z8oVYfJsLdlvSCaZT1IU dlcWlWZtydPc5tnJyqz76WSCeIgmKSptd17uyGJ9sC0HXTmgV1GXrGdDF3DmNk5x/D4FXN5ey3N8 2/sG0RK3XFN/tl33OTiRCRNYz2b+vPPkivnIaJbY9QbODWAym6Uo7p70VTkpefYG0nQNMIQzbmGQ 4bing+k97sb5zeQkCW+T+hXDRugH21wiSFI9H0tb1QamQKb0cIEk9jUNt/cNqpM6mG7xzS65BV4q /0hs8c87TY7ncP9K4aCDDXlmn6Qo7p7mq+JdtpPzlsvV4d2q07s5uPudJFeERqRUePfBu5DAwOCm k/dsoVv4iWnAoF1UNSr7qsag987XDeZossWfKWI8EiUVWFbqfTU12s4O/rxz5LyK9gTe8J1J+zZq oyp3o4vxUrTdHrwNfVWKy6qf2LmZIsM7QY4VaK6krY7tvsjSBfHRPQBEaAwuWqHUaoLUxRgoV4Ff bTccqZ+fd4JcMTG5QiSsDTq6B8g/Qrnl/nnnx+Hx7XPE3Xae6L16AvdOjuP9RjeMlb1ikmXQw1IA EXUsvaVyz6T3qDur9pIS1c58vBWTs4Lg9EWH3gKHXoYMEBQ474hk9wLbaKfweefG4ZcY1KzYZBFy UFlpID8Wb3mNzzs1jrnY7ZZITu38lPRStKZ2FHiDsUbokVGZKnBCTjupw6OlVL0Y8E6NszIucjfQ a320aernCzK9f5im9EWg5IaAgtCcJMiZ7BfO/M87N451WG04Vt/Grb5NTDCrbxBS/bxT44pMO77W EjQY6u2ZvBPjbAEjpFy+ltj0serb9TFaVO7TJIW1bq2lSvEZGzVJ7PYPokkajje1gODmB2ITm2FQ rQD4iu3/oheOZokApCEA0rj2qqskqVyeP4aPBzsuza/85JyW5wWq53DvrDgsJTKYsjoCVEZDWUF1 3Cot3kp7NefLv5PirC+GJVRKvaMd3gWuMq3TOGTCgKbR0LcxSoFQBY4XP7vb0PtGSRxCyZrnHbSU Tg5nksXn2z7vjDgiCNJXogvO5UkwL4gkCru7nIz3Ms4unFB1iqNtUg3rDlcl4+y+8+GKiCaYHF4h Nvp+qzBMhHA6JimpfQ/xddQoYU4H0YHHgT7phaNKbiXQVmc4peu71d/W4vY7x2D5vNPhMMv5u0gJ ZZ0OCptNUxR2b/IG4WVga2nl6Sp7tSVX2St1+VqK6t3osmSUIzHxNto0nY0Bfw2aY54TqppKBfCR +BqGTNeGFi2NzzsX7ra6R6NsKgetJdSfqQjXTsDxeWfCMVa5BYGkacILjrvl3gNvhGhCQ9p1BnSJ M8Bh9+WijUMCvZimqN6dKHqyBnEBa1zT55P3Qj29MVqa/QTxEhRPWH5n+lA2KfVpnWy9btBfWiSY CHHLQYCAVCjYD2Jz5zsGSyn9LpuczdbTnaMo7GbtAjgAd3yqqSYHlUx3fUQU7TdcXO6eYn0XHxxV Avx8SrQOAeu/4eIEB3mJLYisvF5qlwDJ7xy4IpUuMAvgkK3BCUtZvhjnoumfdwYcI1I/llj2BVYX zGGbpCjsZjXghEwtObAkZRecp9WzAUuK66i/89+K26yaz+xQ+XDSivakgjihadc7zprCwbMXOSEb 0lHwTfJigKDI7+y3IgPQc2NaK46KUTyUzibuWeKf59s+7+y38iihKTeBAR/oeT5JYdhdKek12vaw u7Yr4ly3aclOCHrbSvqr3r0pgOqDo5BHpcoTewKAHfQum1V89QkEEZbBEsWcHlAr/LxT357KUmVv oOtM4Sx1IApYWZqZzwf7bXsYwE6qhEDyXUtR5C0N59kdpHSO8eQbDtLttuEarkxNU1TvFtt0uIrH 1QQ/h1CCfqxQSvnsKNBnUFlCYcHhJfPaPlFG4J32xriFZze3igYFS+fHJaQKeJP8eWe94SXr74Zu hwyTpyfvnDc2vRdrbkQn8YAC7FnswF6NKAivHtgwEi0Zx93EkYp1Mx/WzWyIgE4oM8nM7agGYMNV QnvA72NT1NfS8Pd9nyTxk1ajthD8FNRgGmf2Zbrez7r9vBPeDJD6VaRkKLuATrBpClEmYH/Bam4Z iTJBtxJAbSjYAt1AZ2PUa34q1tI73Y1xzFJ5MvlwaybnPU6szeRkEuqAXmVjIyMNCBOk4apeeU17 37+23BiUlx/D5eUbmL+kYPUTvHzeyW7FCNsPMBlqWek2mN65blhLFGgsjGC5lihop7VUqZnyP5ZH SapCTPlOdSNEVd6YRL1xsBplQ4GLRlY4lxLi+UpQF1YqKqEA+a4nh+t63+D0ruVFMIeqQov5/Pk5 ++ed6WbQvKdmQrIdELg2Se+BNyJK8txchBE1k2Ye2SeHa+pb4vDe5pH9TnN7NlylPhwHO5XKef3z W1Rp5ZwgHyQ8UOHRJ80or5eWHBqYB/kR7zy3Ij4fSkpsWV4OxtnCaIELPFnq553mxnp3+lpJyM0X CL02SWG1WzATYrCU6NYr15GzlZvqIqdeGO6o2g0UGrOS3O7oKVxDJ4l6UjD1QDWGpaXF1B78UgjR WKKrqsk7y815AHlQWUGDlQNOVtWk9Nna553kxqbCN4QyE/hULjjgneRWzATivHiz3snZKNlxyoVK RoKZVIeZvHPcirTtQBvlDKyZPRDYvRTQ1SmxCzHGURV2D6ptwJg13agbgmT8jmiOIGydF4IlDZab nASYy6r2PT7v9LZieoHP/carrXlL4J3dxuRaBKR260ooRbuDUZ3WXqI4hKYojLqnVtKCSYmNlsCd n/nsYsMG9HVyNFEHoFKActzPGu1G3VpIUbGb/kUlda4ADlZ+y822G+iS79Q2Hmn760xCyeYEWB5Q vvPauPwofkoWs5BvaXmxG9JR3jdJzkh6p7UVaVayNrmf0bEBvbLijTDgBDe1ZhqOU7MO4iY/i35Y 6sFht3/eeW2Wy9NiNflg+AkAn5PKSvPzTmsjqGt+TRKi9lFvjtvCqBvsDihvOOW9Xzk42OCWm+PS dq3zk6J+LkKkDXC4Dd6oREWyVTYkAaYchcy3CsbGyX/P7ziXu2MCqc/vCAIAXmqzqnNW7W8tnXwv QUxKw+NBMNm/U1xIbrex7xSFETc7SyO5gAKM+1xrH+J/XuvGL6ZjO6p1a4qW9M3Wo2+2ofOTgMMh 7O2s00QbmsybF++ZB3W7ytehHSJMCE2t9LjQoL2GGjp7Jij84PEAF1BuRYklLxB9oPbj9JsQ1l1o Q7DG4yHqYNxvmYlJmA/5N+8ht05t7DISLm20I+mcSAulACowVtatKFCM2LuDJw58tYXcciToIUVy mv5b8UFAnISuhQTkNx+PEMvtK8eFjEkHh9omKQq4cS1nUPOuDcheV2CiEKJLGxAaRHKSIpDJUIm7 0EnbRi+8bbi+JAJNez/TlWSBDAsQaDZQbfuWAqjF0aNSd2X21gcTk+6yYOfbllHGaz2JSY8i7ieY JPgdJdP0LKW/S930C7EUd6enIbC9a9JXtQZcj0rdnctjDqlwjEeFoxA4l+mOmdBhg5EHNPYbaRSA S5bcbyVAXK4o4hbwrVaiAyhopErSSUALnQLOX94Kno9y3AuiRJwEhXFUfX2aQspkZWJC8RjF3Gk6 8m0tKwogAGQzt/OTguxNqK69ig9eCSjnSisUKzkbqk36AgOKg9IbayWtJEdPqAWIb4mS3MX4D4UO DHYKwypPqolQH8bjwSy1+Z29nQ/rsFW2SYp4kxAMgHq0wUpyVRhLZYm0zV4c8nOOouxhzM1JWpmT tPKdJIiJ7kWrMAST50SCLMoJN1Ga3hD/OlnYI4bOC65Hle6lRkChn8wJNEyopCJmrSx1IxvH88Ek jRtOEgaOwvnDDOxxyM3DuxoNGS3L4iYEWyxBslRH96X0N3vyLJbsgxUC1hDlJFE890QFkH0HLgCs AtQtM5FddiphPeBLgjCAnvRddlc9MxRk6Q1oE8YBkLXC88Esle+gG5WyXi6yu0elbph2ZkCSs3nR Loq1oFpixWhW3nJuVlTqcdBNFbMsYbz8gJXaOXRwGhGHA+xM6uLgyo0PCGM4H1/i0tT7BvutkN6V u+yNa7LmdQXSVAqeoDXiAyLGiU8Tp/Qcguc39HCpv4fd4NIQiAODqSzoW+eVX1h7g8sihQAyyFiV Oy7CmCSzHaC+sGQIvagEwuM5m0hdaielpuF6Jksw05ABQZMbf1C9s0fVbl1x1HWzQaCuUpNUuUFQ w+NRKSB/Hd7QTx/9Upd6BO5m2lWHqrhQWSws+qGodA7ZLbfjCr2DZBsuwph03m1j+sBfmMVu5OnM 2eCOsoBQ65ykShIcqmSoXnkHDjR0fEsQLcm+Cf1VG1QvOXNURUdqi49HK2l+RUsZ9PAh3wHOUhh5 N2kmJHNoaEDPqqp0Em0yLFBVaoXwCey4kE9JNYmuHhMHW0o9nRgJtnWsdUO4kUKwG7W28x/P+akL XfVJsqjwLUGau+SlOrMP6sBNEMlZeptl4vF/0ro5SycAGft2BEYUec8iVq4pcEGAuVc7vM8M2uG9 gDFXUDmiYjew6wKXPKPDuhrU6iEVAyIclDKo8ULA8pAX3XDKCXvl+Ja/2ksjy6EhNwcs4eym4QAO BzweRN7rG9TVqKN/44ARRd7IMxFINnfHPpHxsmAJBGxjnIyeTb1lhKVuMU6QbdjgfcpUgL+QqikM C0GUgnUMjnlylwAVccUy7Hx8ScSnJFewUcubqslyk4NPjmwwR8MkhaXum56oGHBmfF6MyQjB3YUR pZqTnCTacLOsBJK6cSnmdC+iEZW6swqTgF5qZEo1gMQZSE6G8Mknb5q7sRwAGzWam+E2vWYD51Qa +JYIaVolaDo0dIsoz3GTCKc9P3LH41Hb5DuHQ8e5PRjKERIqOzslDkwC0LS6PHVKjqE8IUa1Ku6I wm4dSiiPaKiaIljKnpcBHUf6+YOSBPBjQLcCOCkAljwIQKEH3xEBTCjoBmFGG7TZQKbY4lKMjcej I6l/ryM6/11Y94iC7k7B10o0tU4kKQUSFLDWVb2bDgoYUdA9yQ5Yja3K1a4wLgrauO87xYDOXX1O NyqdF2wcMJm5doyYO8mBG1GZO1OtBKuSHLhlCpvn5zyntjbbyQnxfLSOvBtAKAngHQMEG5ulKOhW MaCuqx/cRNDhub3HlcWF+o1mKQq6F3HdFNC2wW+3E/htqQUs4Es6cxL0K5lI1B+cVraQNrUCRojq 3mRQrN58sAjgzFdTJWBMPB4dSN99XKS448FzjRDUvZTiNpcmk3CReIJXF/AcSNukyUYE6i6bZclC 4MSW8hxP7YWjOKHHhuztnOKZl1mh7zSE4ma5StQT/S98xx+dN+jWdw2FcXM9R5GshJXgjjDc3l4s YWi9JVToUxRVuRHIw/jEATjn5ClGyDmx7GiOLQGaTKsoqnJPEt8AktDAYgSPowQEyRTAtCKXozMR 5bYGUnfcqj5F2D2fEdW4GSGBYLI4IH8S3i1DKIBFohNefkYcbf/aauCbjdS8ojTCcLtv+Qg4HQeF GT+QXKIDrDi3+hpxuE1ZoC2Rsn3V3ydUPyA4rlIJcK/gfy3qvG8kCZC/u3uNceQIo22GRlkCuJkw tCQSTh8CnmHjrs8IC931KrjxagPJoN94e4TxdhflNF/KKWFY3G4QgFRFaVEAWdstrnRT0DyJA5cu B24mUFchIwbWUkVZe0oBhzhLlMRPArSunYAO7phMSUSqOeMV1HrV4AYznXfFiZ8Lno+qJRdkyird BjV4XpmgsNRdWSLJRlmmD2E1RMBwm8uKI9yStxlLARrKNK07WhUXh1KBTIpalJBtoJoboCIDmPX5 1X0jMXeG6JJC090qbdfqalzIDoqUgmqpeDzqmjhsYnPhtXN0Dz+6ZxRwV7YopR72P8BMRqHlmfrH E6kIG2ONAt0nTJphqZsdJYhL+XDhXHmdmCYl8nEyGgKZFG+IoiQmj9B6uUhce9+I20W2aZaFejbd hkqYEs+ok2EVPB4dTD5JinRBDR6P4lQUcUP44+RDggSIuL+cTpnLvLnbdFHJGdMpESlRiNIG53ad bQUsbpUYDhYWzRZgWoYqLCwIwcgxBA41FWZc6maDvJJOyUHgEniFSaBrr4rH/zVLrPeeFbxq8XBy RhE3RQYAHbrhZJ/DqV2ieDMcxiWrSQoL3UPWZ3v74EtpJ9hBd5nM06WYavA4IQYRFOXLH9XeNpoi ZP8d96cNqiflXSmOCWjJwuMBIGD9P9AE1DefOYpC7kpm16AgLucIwmx+w7WcnERxg8kZMikrK0mL pRIONkfnZ8ijANcPiCnsOmh/dk5zaGOhdECcmAko06xqhkxKigSMtbYPRqE4KY5MmE7+hMeDJuXt BZBociK0sa6iwoyr3ERNLLOzBrRum6ICGGzFURPAWvF6mxGwRMpT9L61wefopEcj0XsLN2Znifis I6jvE8y0xrihEi0sZxRwVyW3g1ove1zdqYGsnHXJ8/fg8Yi4/N1UAhMMsa5PUQQsoeoZBPCrTdHO HgGcvHO6ekmZ2XjLM6pxo2cG5XtS4DjYeQRh8gzhUk7R+cEXqbsJTahOMXxWuIV4pzncjALuJOwN 0mIOFnBnsC6m/IEGXzHK2+pXcluwgCCfaVMUcyjlSZVtik6gP2/attr0I7tuK5LMUCawmVpy9cFW 0Tm0T4aGgjd1FEcBA/JMFWmeKO4hmP+lXjbD6jZF8Gjda4M2WoYekYptm49HOcktSfIOTDAIaHeO IlSJPOF6v+aeJsbJDq5EutjBLR5vzyje1uU/97iDL6Pzz+dXTnRJB1wM84HLH4VwoJPoVX+r20mv G2CUE00YEaBgwB0rQVdIEzKCPuFpxfMRPml97TX5Ho5794ccys7MrSyXLyP908i4dJgl4L1DckyT FCqXTIIAMnpfPnqdpKG53Vz1tuKTVbctYjBSuNSnaRW98B+q0oU9CRsEUBqA8Bo7sOPxKI78vtnA QUaTwmZpRcF2mr+88lACqA51y9n8vJDfdkNxrQhXMuR7mlv3wSGTFf3yRhdGNHL7ZnyQ0R4ZUBtv tJJfj0LACmvb0lGo1AzkIPBNSQzcqRBQ8XikFORThHv+XCc41/xeW1GozadO/pmMXtLolOhBpJFO KqihVm5bYagtz9MpDNslc6G7BGVz9F1JfU8dORd08JB6IUmZX4oc2PX4jqi0TbTEJqgUgio/Q6d2 gsAS48JdC54PNlv51gki6Gfd5u0K5bcJlqCglAXayysA54SdXpJsy/WlV4gpoTcu2NscGB81ndrA 66tMMsvZeHJuprJeQyF1lfqER+cnxnf8VWyDircPAiehZWgM08XHozD7wm7EIwDQrt51FLInebOV Xd0zgd4YXiUxe4Bz0qKmzMt/hSDuLtFJqnJx8HV0jqYiasmZ6Xq2MgsFCWHugAYNfiC//IlzW3Fl uwlxS1Qx7M+loAHxUlbDfuCHi+ej42h8X21nWaECsO9KCpW4GUWOapd+ZjddTclJ41bOEtS0h81S TJ6UfqnElPYVUzoZBJgQidRkJLaTITZogiwToLo9SDE12M3U+wYlN3Yj96T65EbrxgRd0MLIqqLN jOejKGl8XW5AYrH/Y7MUxdpQ+jiXm8SmB/Hcy/ptG8bVFkiu5bH2CkVLqJ5AXo0NBpagNueEThfS z4F4G6yJuZAKFWhHwNLVzfHouotviaQmmK7BVswGJbYdFr1DCQlf8n0xPRRTPAZa0QABzyYphHEn CphCMEobbgreTu2yNKyQdFLyYuCkFUbbkppevOI4PDWScvKOyrJkBY+OKq+FrIkEBwvYoDpUIut2 CzVLmItAt48DNR24DEcj7fKnnwMDj/8XSWUguFa6bZIVkielqdxzt2pbU+tNOng7G5fr0cFbMYyb MLdGiFJv46IBT0hxVhmkEGkeWGG+QRtmgiwpVsh9Y3gSrAd8SwSVEG1SCqbD8WoVQrUkGQ1U21YU ca/ssSQD7nmC/da9C7BCGDcPpVUfYyB1bqlZwshDesHA9kmZOy5ws2S78/DBDyX+6Ynp/zlNZifZ a2VitxIiOehEWOcW7gh638iAg0pKgCTYwO0G4zhTvzk/Dx4PMABzfsUAxLOuBwSwwvr2Yi4iO3jK KcDn01SV7ywt2gVolsKIW4adFADSYLNUxwZ3nxRTHdxN0CRqMCIEpW2Jh0pUVV6hQDf9EyA96INq kif9a0LdnJWLxyNpF+8CMLWkEl6/lIAdRdxDMq/SBu4S6PZyWxYAh7O0syVvOyRPMo6G6p0PDpU4 WwsFKnIkSgMFB6cSGe/owv0gonP7Vxa3dxRxNwngdVYkOWglnSyMXhDnH9PC4xH/5jaUBCEE2r34 obTfQ26A3DIT3NvhzteuRGh01yxZphi8/9IsOffaIEabg89RL7CjzrzegCIBnu/8SVh6GVAo7Lfr /UqOHb4lmiXiSXK9g5BJG8Q56nOf/xKPR5KK34dSgf5dSR4p7RDH3cSWyD5Jo3qCC3qU19t4CWiS 4piblZKmYkB7uCWlA0yfWW/bJx7IhFZCagnumBAMBAHi+uMOvW5QKlE1aYrJNZ3JhYLF5gY6J93+ 7JA4mb5r2xm6fOsSJ3dInJSJMPswpmHuspOz1e5kt9JdnnvHaBKB3NP0weaogQrRoBeOOTozQ+8X qFmfqaJZORa8t5J4ue0o6GapDTCt5oPCpHPzSBkAUQYej0Qnf0nfkHtzY8kdYrizKm71Ernalb5Z axQj4ADdYHMU8ybZ4q4i4NRLwDlzBKWkxHUExiRUrFkCgDEG+gQQavSKGzgw+I4/JF1yR7EXGGUc XLy1IcjUi9Akc+P5/4KUQKdjpmeOQpHAyX7tLteRc7erVZKKqSfghDX7mx2CSQTdHsQoc/A5Qh+X ViztRBdo39I4N3fYk0H4DnPmogDY3fiOIEaiE3Wf+w621VJr2moVh3bof3MdpjZLACeQxOq3KYrA JImWwWU8XpzbpDdwvLpm2bmLtqne7FAhUFIlRbXtcmvbZ+XUdDbzogUXsO1V5q7oKKgtWWid2JS3 Nb1upDLFUhJcQ2xQ5Rb5IEHK7YQZePxfxW1V2zJgktXnKAy2cczUOfI1C+4mGlzOPy0zMIF9lh/Z IZqEWe0Wcmt/Ibdmhu+EbMomIopO7bsK+AcdZSFY44KcxMbjS4IwknjkjAvXBq2j82tSzP+npTbw eFRuS19ZG7QPRrtgkh3F2ui+XB8l3nDJzmnYTnQ3v80dFwLa/zuOtXkOdVbdVu8XlHQylQININlO VEfcFLSzez0HLdq0jiXZU28bHUfq2BIqwcGotybk/wNwHh6PkCQ3Z8MCRMsCf6TPURRpN5UkhdEm rbS0co/s7k5Bfd51FCFJJo1LdqUYPoe7106GfIJKtmyxJZIMOom8I86reAypXBrfEUVHEidh798q bOxqg3Ugi5c58Pi/ZO/wA2aU7rFjbY4E3XmvSRK4XbvD28FDMnj7QHse/4ikrpuyBD4qKpKQUVIV RdYbRZ4PBEwSB2bDFqPhNA2VMPGlkmBE10TZva9tbxzZllE/MbGbRGsPFRhh25BVu2158gP+hd8i 6WUoEql3qkKNwEQrhcktRXkAitkDTXJWQhPGBIWI7WL4KQy4eb+hG+KDs0qgAgA3KhK31wQ/kAku xRboYwJBLOcEMuDG1/yFlOiNyi4cuKbO91XazxLay+eDxKR/63CAAv7DdrXPVIgoASarrn0x3DWn 7oXunpOhShP+OOnhpxDETYlEXPQ+eOXtrFkgBGl8W0HqZlEpkzSR2fjM/VF7ZykAXxNpcYgukaWB A91/CuGXLU0U0tgWPyCImMovHDd0XZAH+kyF5W4aUS1WpzlTqBxbZ2mL1038LUgJNlOx6SS3X5et Wa/XdLrA1x2aVUjizpE7JpO4Cc9SVJyhxLFvgpLkHZCi6Bv/6oQt1PLB0TdVMsUVkAA8/y/+O0u5 57ABkPpOVBR/g64MOoPNTobK9bRzCjNlZcoOISrbfFHJe3AtASjpw918G6wSFnNxXBViKxYETJDt ogvQ160u5fvGfxl2QAjfB01UQbOHPdoNK4oUxuCXGcBcDvyiM6v58TYLy95lPETcTe2SZLyuc2Wv aucUveztSI/FuimEs1k84WAX34SqcoVCCXjRZy1xg0JtD6UwVJYyCC2yEir0TuXXBFkvSwIbBFkb DK503txcqYaeD8xwW/mVrgCcMMqdqLD0TcZpp7DF/ygCP0e2NdV6EeakgrdYfKKiaHzymBpVTcv6 JL4A5HYop9NtEQ4wAHXBoRmGnRu39ryd3XN9NXvjSHyK4lyDfUsOQlF28chJqtDzEen0ik+QBIft tEp/pirUMaFvZ4VdPZGUrc7uUzUbuYJFOibDt19YAV/y7lKNN89LPD0n1EntqJJ/wkJIwMOaaYKn BHIiAhVgOuycQtClN/5LILc07sLC2jTXFO5v3iLnyxqfjwQEv9vg4LkvPOcTFQXmiwneidrc6qz3 7EL5e7vB6RrTc+CcIjGTwkBqonVrg2Uv5w8o57TK9F5qYBpl0pjhxjuZySCSMqCgrJdSrNwtWVPa CnFQUa5Ac0gguDb4fLD1LlqAaTDqgukRWiRc8vW5zY5KWdOBJxnWdJonOT8qESbhWfMU1sFZ4W2D tScOl1d5/m8s+lQmFCOwfHFIARkBJdhcyKsQGY4MXZpzBIcF2Us08dCgrZfPn7BU42XUGbrm3BYm YRU88YqDmHLoVAmzZbC8i1bOOczdsArpeFq3qVKamzCG2BM2MZHP+mDrCRqr0LSQ4nKHdyqLKmgp dBYH8eluCUPX7hxYVYLKTNmATsczDkqHYezC9K2dX4XPR8le+gqkQHyZ8GL0eQq5lVm1p+Zor8Z/ 1ywGe/JkD8ekzVMEQEkGiB+l39EA8QMOZ32zrrrOHzfpnrN57fHGkClMetxOcmxXmWUHs5cPt25A 5z+QASuf/5fsMpsGc59FdeUWc2xYuUSqzDcrlrM5xZYoVi6xJWDYWDnIoWPlqlQU3jKH21fZBOr4 Z042dRYm2DqLcoN5cUFVKtj3O0+l2QtHjKYlifPEoavhS2XfnXSQ18Hno75B/goOoLbboTHh8xQV xUG7psySFzNrujI5J9nbXmHJ7jOcQ9NKNFQAiataWLlaItZgDQ8eTqFk7siQPqPoUmfqzpO/XcVc enPxa6Kdh5y/sVOnQYCdkyUm5zRXPv+vMgtnNSM1vjKnOTSuXKsKWtGsXLeWIeJg7FVMoRpt3+QT FTItmbvsSktGDragwJneoLDxhDrh52JJL6MPNSBTQ06eFaMkupRD50qKAReqWXGotjBgPiYsytnn fD6SXfImC9Md2I31JywPnCuR87O1QskiVceLmQshhGI5ipfRWnfjhWG56pp2oI/H0+OECSfgQBB2 5gkWPUUn+aBXBI6+/uWC2qe9cASSEw1lJR9Utcud2s+JTGk+Hwkv/f9mVK35psSheaXcvYDQspS4 0NOWWIshx2b8CTV76zeH7pVqRzVwo214+CgImiZDTSALJ4tABSWSTeX8dA/yklSQCu0rJyly3QRh Zrtki4xKzg818zqfDw7y8r2eUAqYbT3rKdQ4oX9lG+aiBwz43o5JEX1uUcdrFp+nSOQEjEgQdqhU Ndwnga66AJmtItnzdnIXyp73DLp8Zwg33JKpwLlP3xJdeBRgrKRfclCoOaAVwcjgHIx8/l/mw7Ku QiGiPyF5YGKJEAqnEnDBFwaWXJsi03WT6F2ooPtEhcVyci5aY0je2g3Jz5l0gpvGcxwsZoBTKVdN J1bE1dR3uuid3uyNIxV97bxSfBDmAnwhRYonRubzUe7yDU0p9Cx6ilGhjSUbHy236UznwhIfJ6p6 DwYodxerzLGPJQucfRCn2j2jh5pXP1cmCCTUOjl7EqkFmGA4nOBV/1Pq+qI5TXvjIMkjzmmj+G2D ltTaEA/Xktp8PsherjkT5iQjLjjv8xzmoZtlb+Rc0pGcm6/07VIeQjQKNTeXkcJzaGepIvCsghfU 9eTDq6P430ktrDCxQrMcjsv5ZyEGBYP99qiavW/kXkG4XCLXqSXXPUNTR6KV/ewFPv+vO493pciu jzl6qDWIx05g3CUmhONqOUsV5C2Tq+p7eck8dLQctK+QgJAG23rnNEKsnYkJA4yhNk4TBJU3Vcj7 o3zGVl4ODS2zmjC1FR9Us4OWukyXzgHP5//ZqWK8xdrDjcljQ0tuvLRNDQa6lQQ+qbpCp8ZNXx1n YObA0RLBDVYTKX82+AlVaLpGQf10Jo2CRec3YCcxw+261OoTJcNFfk1E56WA3kxseiJDYvSPChAb hAD0rs0PCMCq6dKe+W8DxNauD2EOTC2BVSEVg8kGkc8ortnGq3kur9mV5nXgwNUSxU1qeq2xfHCA +KxsUtHVElJqk/0qKlZAx68Arzqea2/bG0c4A/WruKYWybYsHJzt3Nkjg9sen48KB9/5C6jq7A76 RMX+OvRoIFGU4eacZvkJ4NNVjK10E2FYHvhaOpGe4EQbLCyH/XuC4DDzPHRV81Se108yils0z3Tr 5dNfOKJjsgQF2T3keZAy5yJA2UZpGojc/ICISf8LbbAJXLmVqNDasi2xMi5PTD6aQq3UnB21AgUT m6hYA4XUFTNIITDvx7CGJxBvqQna0yahc3ux3sw/Ned6CYeEWfBbojiKgNUkMH3qDjg4d90goQia 7nw+Iq98n+WT4lXrRgehuSUaJQjGsyOgpnyKWYlSf4+QTEI3NU+h9mA3QyuS5DXeDKaWhLwbwrEg OBTeixC3oPLHD/QzXJlpTXvjAJnBhLhsYuo4KDpItVQqfgEbwOcjN6JvxbiCSkt5SlGhweVgvXpu WzrUaPSEuK9mUDEkIXei/rbaWbJxXo+N82onMJ8wVaJnE1ixWHrgso5z36H9MliNYvsl2zyFCJZO YT1qD2EoP2olgCHEHLyfeJbPR8HBt/wgFFj7+Iqhori8FyXEzYWI62o3Ia7ZK1EFKBolxIHJpRRW oc3EPhUHX07AsZy9y4QYjkSALYELjT8ZRzKqURZDEfXFLwnuvDWoh0YCwnTofoEJ50yij6GbHrhc pq+oXKx6iLimB3cQ+lyCAEVN9OSFg9Xyc0D5ST5oE6d5iu3lFzNhNdXnvtHB2VVpEtLY4HfYMxWI IHbVfiDTgE9TkZGgsWIvHASbbCZArUdDtfUE+Y0hP93a+XwwUdk7n0PVmB9emzZPodElK8c4yJMf 5Km4edOa7tmwWqsG0cyx0yWjqF0oecbhtl7IfaqTBRZqMyDRAY6JcdUPFZcMo0nQeA6NLoXRgXuT DzrHwdGghqAq5YHTpeSknvWEIslEI8inKYrJWW5AZ+Wxls3DjqdZt8PrFqEJmqYoJl9yKT4LwgeH RK+EtjBPcXCZa1YBGDFAJzcq7y/2eLcXDhAHtEtL6IfbwHma50KmcjjMZDafj8Csv/wJYdxTLyg6 x2aXTffdNbmqa7vG3olE/L6j+7WC8tDtUn4ywJ/5YCneQpoKGydkw6zEA2kGsyLg63ErI2zwlmdX iyq0u5zUkFuli0KO8hsrlB1OXcSznPgg8wOimfruo1MasFxzohw6XgI+jcTrOjrX2qznuW0PklAJ HpLN1F+WlxQaWj54xa5CwCGRHkXNpkyrqwwiVCYTkY6prtmkPnpgeQlJAZk4Ud4C9uw/XYyNUhCN YKbWyPyAoHBw05ep5Bn+BM+aCoPywXyYNw3XVO9eijpx1RYSuC7mHjZTsRQ4SyuboDsOfpSvks4K EjQjUUUGa6pSehVOBgBGeSmK0mD6mqC4yVR/Fp3lsAfg6j0n4EmRtomlTX5AdOtdGAu50hUGCXPf qQpRLCgdwOvPUSydgBvlxMC2GIqlu014Dp0vTXG3qFdX+lfVDhB5dJsbbT+AmEcZGDIgcHU89/VI V1ZOCvw58L5MwpCdoI4NUA4qHuA4lDRBR30zML+0vqPOqSKaK00ObwYT+l8OWqkm6X5IrdgqUChw Vue4wObTV1WEYzFQlE6q8ZxUZ5mcQ69LFTydEwXIe1SCKwWdKXA/LjaDvyq/5S+RwkSTAVAZpynw wYBMNlIjcVGFwPL8jY2Cc+uY47n6wpI5tWVXT462a7O70lXVTiTaDr+0TVQYmbPp2WUR1r8swqAN 0dCwkpjzqC3xSM+oLRYCKdJXQWr7GwfbD+DyDG6LD+otwAxYaLu8Op8Pdt9FlzOgAMDqMeTJoQ8m +D8oF7XtaDtFVZsolnZ7C7jWbaLC0JySaSOxMsDBY4RzUJ3/11z1uoF1ygJ354l+JgRFHEdGqWQe OmH2JWnQkn0QPOPk8pXNz3bSbT4f1KMunQMvmAEjhF/ZnamwZk4BVe4y2Rdm8tTkzJfo8ki54tW9 whl6YXbCy0m0tkEzRdrLSe2qAJxn+hvrUdDqZ82ak+T99JIFtgvMMBVdTxhqDh84U5ArmsZ7GXo+ CBJG+QqnQIgaaOHbRIV2mPjrQAle15tHVA62iZX4sZNUmmfFgR8miu9ZFlgyD88XFXx2y8noF0Bw KB/gK1QIHTj6GmTVO/vp5L4M2s7kwBAT5zmLm2VpmAY8gPOIlLAEPAgcMb/zPWwzHBgd/5VPVAwz b6IteLq3upnQnBW1UnMkCxIym6gIZr5INt+dGg8cLI1BsJKJLyC3Y/OaRT8dmrhkKGekekr3hoKp wBFTXBTsOXo+cusJpUOHuyFLOTTUQ0/M9IsmBD4AvfB8oqIAHeVqIFmGu9FTGl4Thdq3I1l2M6P1 HLhiejA1od5lg5NgMm64IlMsifxKlqfybiaK8CLIUrH3jWSweETtLPViPMQ/DfE+f9dz65XFDwja eu1Xoxg94n2FsHPgi+m0vEJ7KYXnxB8rkVnmTHdu7tQ9L46NMYcKd5R2t9GWVD3ba7A+BvXCngl0 IC9920yN7m099lL4NX90q87pL9qZU21PUlSLzquaAY0KrTGfkjlxVFRBTLeAEHhjoiAFVket16dn SvQZwnObeFM2imE8ZbdebI5J5mIXHYiDN/VObAl/6anovKGrQI/s83cB1vnDqqYr9KijHnpj0vSp JNA0bLAKQtqVoRstDXNojvn4hmjHntfs/UEDh+6YqF4D5+NuDxW1NUtj2vCO+mRDVpdeYI+JUrBS Y6oYcrCJOu8BpW2K9J5fe1UWrVZiNp/xgcDAPliWbW8csKuIt+yyE4dJ+c8yTyNQU5iYrNz4AYHp +nbwAY2y0Zmf65mpMDQnLFFkKAOzsEdFob40HMyCkN2XVEj43JIMkUHW401/JiTvUUGiQBGhITDs gAADLpULUA1Aidy9Rxm6HNhkuuzTWZxDQ/oxWyPC03CYZ9QQQp/MRxaDCHOwjTfiFZ+pWNKQAXkf Dj5Ad91mapV8Y3Ngn2xNRXCWKtpw5Zri4GfUhKxhJgt9godcCBDKhbQfVIbbM0872/sGJQRyqyFm 54P2XoZ4iMjWIAwFVpnIRb4j84zsfKdbNf+8hlEV0cGm3UNx/dCtSw9bb7TsCwpYTi2o1yCqQq2H d92UqOG8oobwNESsaY3iE8NCxBhyBviDme9QhlZKvXQ4fg2gqgA7Z24QIdmgKSoToRmPp4WnXyao AqiqqGDrcEJLCEko/5vzw0TzAyGQc44UKxs0lj8sc1lb1D2EodlrUcH8SBNjyBiEgzM6FnQx0AbD JoFuMWby7GbkaARDNpIZVTPQufQ6QYmhNFhnPfsgcM/KmzV2yKzg6bcJQjxRfIJ4UJ9/IC5CE/Qa NVUI9dE/vJrozPlHtpXkbPwIrI1WLQd+jZmwgLrcerOi8ZRN0xtR+NlbpQCOBmMMWInQRauBF8if ZrcbXdJM4fMaM523JXvqhACr+aAZSi3J/a723T6vARPm18RnTCMK4vMgfGiCXsOlasJ1yCK8Pk4O gimGsDdLZkIRwiDhg94mCGUZ4qIX0axrXTQrSNYDKHKGSp0IYGZ+8OuFLd0P2kk+PajNf14DpSpS wXkTaONgQHXOEF8n4GGx4OTF+fMaJ9Xn/gc4lKlNJyLAJug1TKrujc3N5HIh3WUeKuvpQhZUd1l9 DZLw80wZYvU72I1WgY0F8xmEYcimAUe5Jw0PqXWH7bV8j22qqr3GSDiEePPzBrZBVbkzx1TwULf8 NUKqAm9ohuRai9+G9RNM0Gt4VBEeJbHNLweo1uENu7luw44Lk5ZhwQSZzVMW9CmPWzuBkldJ2Tz6 zqevSvIraEcZ/pJkh3hjM8k6NJgg5N64/CTSS58zFQFO2JpVbVrl8xoXYTFUP4QItwM/bWQ/g16j Ihzt8ngoVyy00IFbIo/DlLHIYHRvnmiCWNJdlUVwDs5PRFp45prqhecdkXfhkAZ7efNHJVvD9hgT t9eAqBrc4mxN+NCfASiQxQkqpRcCF0482T+v8RBOsDm+T2l06Ga6M/QaDeGUrrRRadn95y0EoqNK 6stSkbGTOaq8xkLVbXlgeeGDLyFcY6AGE+QEmG8lMXGQFQzsdkGY7/E1meavsVD1fC2xEKDBYJiI 4oRa2vnzGgnxIvE9psmEAsbINkGvFcoqZXJAnrMn/7gILPmf3ZzEkTW44dxrfbIqjsPpo+i6P9pX YBONEyyikAuzmMWI4CQ1+ObCvL+3K8hHu7vPa3USfyLFCjItM6CMrD/1vNy5w1lsOIlP+bzWJnFO PpEQuYjzxBvgeGqGXiuTWLejqo7kx3Si+wRPodb2PYWqw1Be65K8RTlDQ8qF48vV4fzuHQAwzhBK toMpGuw0TkyN7iWTWcPJ0dvptSqJt6UH9kDUzSHpyoYQckkXoPpak/Q7+170GdyBPbtvsndyHW7o JARKdi0+JP/PIpq+iFTHJQkxvMqkeCVxsPElDpbOT1UhOcFouq/BNBYfipMadjGt1p9fQurv3Loq J7Jzz6d+B5VuAb0nF/gcpOC0RhvNuJoWUcOnHLh1n6UopE7K9tNwCiI05X7cbK7rv4XmoCsWvjPr 7izhNPHBmyaQTs2A1KAXsDVhqIoAWZRQl6n42627S9T1551Yh6VUST3M6i65SfI5r0GE4jWeKx+P wmrfbXgM6KTZnkmKwmoaxkP/+uEfTq/aTipYainhzjbQVzBJm1AJlphtuJN0UuI+RcZYhCPg30xo QczClmVKNygaAvAGc9R5EsEHwQe1SxpUD1hdPAnC551Th7/WRBy2KFAARtXsceM7pQ4zS23nNpfH RW0mnyNkDA7Q6d0pdVFo3Snq3PfIPjh0F0i4c78SUDFwFtGOpwOvOyGqVcDN90vNejtRdrbkxju7 D5adgSrGfmUBHjUMrsd3ZNRZMWIZVJWqIDQSqabLdod2KtWdHc8vXXyORq8+R+/RdWZN+6wIJPB3 VH52IhoQSBajoxNCbYrOgWuwoYePTTrL7ZJM1SDDq5+7rNThEEIpO6cGbT8iKXJCYS2YpZz9TGK5 CD3+UVlY4zRFITaXSN0uTojGkqk8FwCRXbIwQdxHaf57jI07wGyvar6jw5sHyAR9ku0LqA7FeDa3 2SRJCJKhdnSTUPt5J9PxEJUhONTmNAg8eNaFkH/AnnzeuXTVKq7PBUeeQt93NYWBNlbJuUMvly7n 6Yyek3a6d2FfyashUaQ9KFeITpoPdiqhkJYoNgJgAAI9HlzAz58Fv+Un5a68WWoEUahN5etnMXlr MgE5JJOwmffnnUjHbHj/SvcZc92lFIXaBKi0VfcDCkhO5anynpMET/MSbRRra45WTnewUwnJ2/mZ JcibUdwWRQWdqYWUlFeaE+xn1+u+z9FQUW0RkLPIUmasjYoI4VInPeDjUSyZv4NtugGV7XP0Hm0j YaNK2KrONWwruUj4ambVh56k682/M+jw+o2Q78J9b6NVjRDDn0/rwuoWFBjIZQF8uaPWD/2Up4XU 9cLvs4SKBFIRIZuHY+Qn3O2z6kYQ34ki7n1jAJ5KuO46zhqbpijkluds3g91ldJtWkqM0FRbg/ic llIYcy8W11it99GLa1hItYjjuyB8Jf0LFCdGodfx2k+1f+qFo2lCqDSyDGtFd8OpVEGpErsZqIko 7M6m1WCL6UQRlHi1AmQUdUNrDqjTdnXC23V4Hh4RnHiSEnCsQP4z6i4+2Mldzl8DrzwpYANCgRwG ktXthyFVBtrHtcHYjXynzvEM5WmERqkNCpVgJ1QNApE/78w5vmP/OpSof12mr6R34hyTN3VDUrYK SRtX9mOrYMtQqWa73955c1yT7BetXX2wQ+nc7ABtwk4FPZIzWTi3FtRVoc+ACABSuX4qsQ75Tpy7 pf4qTdUKlE8RoPIcDpN4rA55lHfiHO+3W6mdrJKcqBuXzP8x9p050uTIkv/zLIUBtZj7H2xpZu6M rH3h9c3sAuzX6MiMYlK4MGHTFEXdaIMAN7vcca6rVkuhuXaRp32649w7bw5/gAywUk8+eNRdYYJN xVn0VeEm0YjnEvgGN3Z9+pBLa+k97HYa5mjKcpHvkG4DaQkQb38ozL8+76y5+lCgDYpC/7LsBe13 zhw2HM7OE+9dg8fSq3uqwCjWUKdEvvL0fqfMVelL0wM7+WAbDojME5OxBwkFg4kJ25AKWKwmIWdp Lp1m0ijvjLlq6NxS6ayqwdr/kF+T2Mmsn3fCnJ39T+AN+Z81tidw73w5JMdVbnzTLdXnXpblzqny JDVwpme573S551Sq8p+p/YYB0OEfA3k0EtsB8+vMMAAlf1ApMyo9VrQt/rrRhmOotAhO4mCl2rN1 hXc7R/HnnStXTcvy16k0ic3Xl0ZRN6ojoIdvT3LrvJWAUvo1MCrbkpN3ptztrvVNvTQON1TaFVw5 VN0aEMYoSJ9dR5MNpPA/lDa8R/fS+waxkqA2TQCl5nVJKNAS2QHmwPq8E+UsZn8mCV87artH93vM bXb10OMdXneTwA6dQvflyZXhlmrvNDlL0qEETZkdDj5JZ52c6S5UUz/xGzncUEJHPEEacYEW9nUM X3rfoDYpBbBJ8T0OSTk9BWm0OvbnnSSHPzfvr1CJ7oB93EkKg+4ikFvzypt1S8jVGcM7AG25ntw7 RQ6/MSvbG1wTGzxOagO2RKzfnuB4kGyJckkGpQkXAQTWPHsrQ6/71xyBDscB9Fazm6/gD/B62/vz zpBjdci3W/qR52xPN3t7J8hhuxECv5ZP0vlNiie5c2bXSIOikK2k96j78Xie9RkdEwEJetJxzmTD U3Oxp1Iqqak4FKGbYtfbIFz5nSHHVIrQd4JwNejghs4RIVDl3Dafd4IcHv8VKqHC3rHpbJaioLvS mfckMQ5vKy37wQ0GvFXeQMO0Q+k96M6Obqs8HG20xbTxU+/JHTdTw2ZUzInKIBAmuDdctCLZ+0Zr iZ6qmyS57TBfcIpqGrIvWOPzTo6rRoC/S6lR2OdO0js3DvEVFQYQFFnMvalNLuJJ37fjNtzB8J0a Z1UxJG4AbvtoZaVzOxbhUWDyADL4FvECnmrUlGPtTapfqEN83rlx1jU7O23KMmR6YgLbDYpSw+ax fN6pcXYF20qSlhX0fJP3A96pcVy/9HbayY/uLjFsFt+KxwAnjXAi0zszzu63MzsdQDEfNUvn3N7w nBtkOGdooBOEtKkhx14YRCtgXc72f9EL/zlNcsTgoILJggGASQ/x8X9GAYwdKwAb7QIkoqi70Qsj r+bSAmUmB95WMpF4Lk34mXHHvRPjsBdyE4J7rjvaubTmOSL7lrcqwKSZ1e5OY5xKi7TLIBxt6H2j DI7CaJm6Xxy0mAryIUGOS/m8s+J4eH8fSzCzBRzfJykKujt91VK9smh7bVfoZ45FdDLK5ib39c6J 88V8JmfJeS9fZzXgGU7sB2YcJqkVsAnp5IOyFUXfMsWshpnPJ71w1Fwi6BasUgwda1DVt7Mt2PSb KZfPOyXuV82E9RUUlVL1sPudEcduB/smMnoidqpYMocqO51ECLAeHna/E+LwMzWupWYHU/s6mNDJ hTjI5pZLjfw6TGVP/B7s0ceAFnHW550Qd1O4OSk5xEGLCdgCMsvOoVE+73Q4hit+MvVmWvWk1/s8 vYfeuDUkej2HzVPPw0QFwBx0w4dJcQrOU1TwRnaFGKCJPZHaw56oyE8ALOGe6w13EmOBjoAHGN5e 6tM9sRcOOkxiy09WBOa04BU2Saha4ftS51tGq2n/SlDGiQWeWYpi706C11n+XjaptbgD/SjLmHBL zlU8wMN6t7Uqibbd+aJtUXA7QSRIN4i90yAkDJ7PCC8S1FQKVBOdXtntfaP6G7sCkydTnXYynXc+ J0yWZCN+yvfYW6HEV8CkHpcXTd5ZcAiYeN5PYZIJM6lzOraESivSQyu9KfZ+J8E9Be8p2QWxhybh NxlQZJD2CQA8mYSMsSEttkEOBhjTqwFCJL9T4Bzjdg5t6sIsTEu25lujhgKJGHzJqA/3fXxT/HHc Atw7Aw53HM3VcPjd2Ht5FpfSchZOgs21VlJY8aai506UXuBwI+/zF6C8RIQ7iFwU16NIl6TBy+Ys yesBZ+Hnnf9WZXVzUpFCjslAJ4DtvpMmnn/HwigEDz/v/Dfst+37jWYH1Akr95KLYm/1TuYat0zZ iwO58nLmKcIcy3XfyW94fyl4VMZCHLwJdy65LrDkyfeh+TqSKkv4VUAdquNZS9ISeOe+MXJRvFSm D96sBBOAGd3On3fqW33k022/FZyKN/Z+Z77hSzdjpM4G96ao7G5GEewsRtM8NJM5RLzk3/XuPYgQ 4OBgLsRLqyjXBTdg0hq7UwMgswmKm8qW0mDf+533RgCEMpNdNJhg1cAFSgWafo6Mzzvtjfv1e78x mD1v5oHAO+3NCrBAvPGeZ+jEbsp/MoR3IJiYZWlMGhGW0jvrrbrr0+rP4FicPomWpIH4WmkOQt46 oL4QBEXRejzYdupVvbPe+C08lhKX0qLnwo/8enalJdF5/fV557wxDrizxHAJhiHwz7BZCkPvqfrt HraWUPuxtcRqCtfS+a2HeTu8U97qZXrnVH3wvkDDzl3sC4DykekNDcQ1w0nEdyi73Tyu630jLA7l A+ozGPr27GsiTU/w2j/vjDeG3o6hwFJfZ0V22MrbJL2H3ogpWQZAximNuNVNRQAYii5KF+hJ1S64 d77bs+FksrYfk7VdGuQYcXPBPHRMgN6wlBh8wlMC6EkHB1Jjj+8bRAGKkXoaPgiwlM+KkMvauVo/ 72y3Xyhubs7za0HBwCcprHdLigI4Est1m1fgNlTmPdflvuSpFNa7VRHIUzkvR5sm8JHKSJRf6puN DxaXhux22g+xkJbrqm7yTnZzKgCmp/igwBvKR6zsnrivfd65bgx769cFd8LQSQydT1NU8p4EmkxZ 83WaqXS/4fC7O9AEJQrecO9MN65lcSbBiPLR427oApBTCqBJOmuLihWFcOqM+y7dsJumSp93ohtn iX/XLskHzRJQiN0IE+PzTnOzVPm75I1/bnctxXhuCsA4LhmkJEcr42R0o/UC7KMm6a+wG1Ul2qba aEkc/PooZePSSxKpYBl8wPkW6nsedid73wDZRb4EqSc2WAkOxrnMN8CbfKe4VSnSP5MEN/oBspJN UhR20zzmbIeWHoTg8pZuS9M2HCkKmqS/wm7UJ7mFbbRJShDEgQ099ORHRXFX0nFJehQ/i55Y6sLh Lv68E9yq/AXgr9qmD1pJHYrN6jDN+Xnnt/0CdhFrCh5hHZ7AtTDs3lLFGa66T8q8uROs6mku7nJV TVqI61blLbMXZaOXlhawDjIRXdBrKAQQgK5+7hdcMvBPMawpNj6+JYgCaK129mz2gZPUYX8g5+ez SvF4EFBejKCUmlCAm/nGSmHYTYn0UR5vgmZbDxt93JJ3qlYMaFHJ22YpARbmo89SQawNKDO0v0+i k1gMqGBPdAApqKDvfe9kLxzccGQGtEarTA7acND0EXD5XBN4PEAH3B4TdioCU5iWOAsnirtR9c0w pjLB/RNcDvN/RAvTHBxoO2Q0nPe4+x7dlS5CNtqGO0nyQCKR6STaoJMvwgVuYPTG0MyyuFvGBD2m S9JIVGQuDgLjADom8eHzg+DxgGiy83dECeYxG6s2S1HcTT51WzKA5I4jdZwFOECXbcf15fKnPUKa DEGQASy9o80SIDgbQFMcS+cLtlgXYOOCf4VZXenWA6jL0aOad83qV1IZR3ghbrnRN8nDZxed9KRH gXcq8ytawg3esABsluKSN6dmdE90u9TOWA6Y2TsDYzjttkclbw8pn8FDSvQ5ENrzoEYJBW4YwB0i 7qb9AGSdvBwgTlcUd08qm6FcxQGLhqQlkK46kcxjtoLnowbKNz4gU6kJbUabppA7KXvjNm7kXYbj BFGAFk5wQgFf6UmPIm8lcXPSv4KDTVOCfVeHW+o5qQsafDTpS3Bxy1Cf/mklOYoCZnJ63yjVJa+0 05KgUDeVRUqYBcgK5NxFeDzil/paIpUNjZfzxPTzu0cMSnSVch1pGE6wAqCmacJesVBgIFtRgtLj 2Jvd783qCYd7x2VYgXHHQQMuMfJdONF/NkkIg6muIm9ecj0qebP7XTJWLgYEjxJPYOrDbXQiPjwf 3XLt18mEA06SQZylMPQmz5TYHXcRLcXBXcmLuXOhxaLFFPModbuhY+Wj1QTOqQERrsyo8iQ7RUoU NPjaJCyA2u8nE4JDfE0QDMhRfC8NDJ5VgwMFk8XRUjeejzbddzkXa5PW7TZNYc2bidzAVpctLf0Z WDg5hwe6SqzBFfRHWF7qIZuSaW5PNAjr6RqEzXNs1LQTK5WguEAoFHxcFNfzSV4m+bQPiWnqfaPz m0ATuHDC6DjRho3H9qy9sh83zzGFD4hAcN/5Lig9A0xim6b38Bs5CosCk9cYiyjEoJzL7YQhOAAK hQEybTq45yLECfR4gYAnB4WDraUzMQgiG8kn9XwBnAc2QOQo6NKcARGem4BQzbNHZW9ec0DOLR8E 8AJQoIuhkyceD4oC7eYowgmwVnlPpgjo3WmJOWaT50CmgJrqS3OC4iDVRWD9bM9FkBPdcydlY113 iONAc7B68vTq6vq4jsByhsEIhF1qkwrY7caBk45vCWIm0k8gquSDSic17zp1SC0+Hiyl+Z3uZkS4 o3XPd3sYfzPfVZZJ02yUmFRggptmtwJTxz2oLReVvRfrIbBq8sFhp2huLFDqGgjw6FliLWWqXMKk ln+k1yoH2ac9gpzw5MZduH1QL25VyiaSQjjxeMTxHl/hN2QyT/xdPBgYUfw9q/zEjVIJtu7wZhxa ytYcgHC9IssR1b2nVQUotmejlU5QJj7ZBb0wWaya4vOiCn3uGO5UZ5+Q2oNviRpNRFF0OhtzEHpp IenhWjrTj8ej+PuiBWVzSF19P5dGFH93kuI6Tmfdcn0MM7TYRHaJfgJhSePCx3Vv7rje7uAtywI1 pUnt3HSC5E2K1wKs9WeTx3TSvKtihqYIviRiw1PvBscLHGjPiU+64Il8Tv6x5dKAWYrr3r/CbwTx wCzYJIU4b4bfIotokq6F0wlNp4uWnHveJykqe9Ol75zgi1BJjHxnlFhhP7UbgkBgvEB3Wa5wMul1 9kP4qXkPnHNp4GsC5CmFOVLbNnRn6VC5BIHl+R8e/1cPRUpvhagCn6Uo+m5EwqXWvKSbZDxAou5y kNdksUAbLoq+V3bHwm7Fk61ZGhCmoe4PMQKJPAtqu4AX3iFuAQiTRwKo+uBbIsQJjfgWqwIctOGg QSCiLnQDR1j3rh4HEDh/1uFI+05SFHvzhmvE7NupRKCIIALZkF+onTizYkSxN/1GsDx6v6OdSmci 4OVYKQpfIFBJoHNC8XYiJeL6MaruJCluRHVvHktnDZl7Gvq0vJfOK+5Bf11UCPD8v9YSp+lksCNf ZsWIgm9EVChR9suKq7fuvdq+rLirhTei4FumKOcQSj546H1ywnPuVWp0nFCpD8ng9MmEov7A/ctW 0qZ4wAiB3tTzniBh22BhwAJbhv84Jh6PDiWvL+EAQrI72vIoYIRAb3J0ivydGVGmS4pjHm/5CVIk ZnEjAnpTyR8wcRV0OT7EirLpPIQ8bsJpdpLtdR7pzPLLFaiG/jvfN+rF4b+m8CSGKX7EOfQA+yie 7I4w7J7fk4RqE2zJfJKiqjcOI/RP0gWbqBdHsheBCQKbDCd7jajqPVV8S3U2G/H6mWcS6pZZgkq7 wHGCzMGKCtRAWx0hhk8SLiB8y1+tAeqKnGFtl6ZOKHEyPAPGAs9HzTiPAtgDPgf/SO3utijsHvK8 XNV14SvJbDqUkgkJnBtoJt9tYdi9JVvWug/e/B4oOAzmcKD5507lbvqqUyuj4vrw7cZ4coRRN6wY MkVLgRJJ/EEYRo5URTGD+uBnhHXvB0ghQYZzLPZ5z+4w7qa4W91UCBINlSq5srzkiT4p+tJNE36E de9MMOmiwQcHS3XPFisdCvygeyFtX+x+F9jhQilyIxG63YGtozsse6sg0AkZ7ID6KQ06t+ciku/E 0bl8Rog3Wd8FAcBcVr3VpRnWvSsz3TI8OwHL3DACZwE1myUgSZXDzVAj0AyLhUrW6I2ms+fO5dA6 zyUIgTPspMHcoM7NVzeOXN0Z4k0K23CUadWgwzstodFAsKx4PJikm+kurrt2Du9bDphR2F2HJOsR Tf8HlcPRp4FyJguImS4og3VXxEozLHuTE4cl4oMd3TRkBawPpxKgggQTntSHDeAlhacvdO7S+0bN AV7/DXATDZqk84Zd5gK1FzweHUvfoiagVw2cuDZJoahJlYfcbBfe1Zw4WIhk+48U4zzsniG9krjk uQin5GD7DXL0FQx/qimeGw7SCHCrAHw6wwsZCosXk5PtfaOqNyECmQU4DkKbADU+hDZZFY9Hk+R5 LkkD54lVb8lkRlF3lsaC6G88u12cs0jx0PhexZGCM6x5L7ND6/OOtpY6WuE7Nyp27N5pmgBC5Dk5 2aOTKKeZpi69bzBJSnOTjD3S3F5YOv88jaOw8Hgky/lNisvg5a18FQRmFHZXEr5GuWH3nh4HQKO9 OdykdIsDZljyHqooteSDdwZg05nQZQBtLJ05IlN30rQXlyjpBS6tTA+rGdIrMxu6lZrmHAy9XHjm QWmpVzweNCx/nUngocP51ucoLngv8gSGGxWv1bu1Bczxskt50rwEZoQ16abPXQ3rLUSa4SnPSZFo u3AO7vNrLlboCigJE2LdqPZ7rFTtfYODu4mDqjPJL9GThp8jVBDvE7Ph8X+RmYuMCNHWTR5Rzghs goIvUlsicqiAS99fqXSDdmBuJzhTNEtRvXuxubQl8bq/JF7PSbQh3cAcdy+kJIu7DZUKaGFsVrsF g6dr3IyC7kRKXAUXg0NV0J0zzLAkDDT4ilHyNr4WEgEK+epPzphdycob/aKUvKUr+7JSqx5OXjzO jOUDKaVc6MfEwbuVE5LArZA2OKBAnZW8VaCHzyWMS+OXqtmMKt2FZcnRiDfloF4adC6Y356Qko9H wK7fle4E+4DuKhQzQpowBG10CP3/Gag7a7ooHYBloTkKQ27NkdRMOfhWO3cbZC6Y4AI0uWTSOJGd ALFU1IOzQnfS6wbIZQJN9qC316aKipReK4zWMGWr5ornI8zSbeiqEkXAwr3cYnIldfBpHaGSEmNA 4+kaZqAiLXUdyljRJEmGquQ7Wix5LhFaiErRpG86NgCPg7WELngGx9PnaRW98F+8+Ao8ig1CLZ3s R61KtHY/M4y4r7CZSIbQw7q6LyuKuKm6Ah+9pxIwPcnNc9uRBL1Nu9xWhDSZzEugBeyDVZROAHWC d2DNkHWfNGtQXoBJ28mj4W7SXKuj+NtGUoudbJOWfBAYp/DO/KF0QMXjkYKQ15NYykEJmEGuzVGI M6EobuvGooAf8a27lbJvLFlc0XSFATf322r07Fjt8ZY/W+3EfIWuJhuRZaaVDiG/E3VbVOwcsIQW Ar4jmCOycxE4YgALfdi5fXJCVtb7uWvwfLDdyrcgJXFA67ZyV6jNzVCy7ebxds/mwET0m4eSffiZ tP5CmSBjY6mXI6WOWVFCkNWBEwVnECn7Vi4MrADEC1apT5R01hu+JSq7Zam+3cEQS4C/CbG0+Pg/ VTvZQ4BswAPvXiGzstBTIVd3Cqh5bw8lGbKwGbCrVwFWFHB3Ut1B+fPBVlKv50fPmbvtfGaZlQ6O GSLeA80IZFAeACR724gnQMW3om1S0BZn/AeXMSKuz58L7dco3n4yN0mbgqWZbuq2QpFubJ9zZOZs SJyxl3q6ZdIyipOEJLDbJMW8ykUIDuuOc16pDijjlPNRk3QKFLcXkTjn/GbwiTRnML81JM7U+0Zi i1moNw3gTSiYzGfKWDfre2Y8H2y4O02yzoOiSvGC0ooibugVEaSUPeIuHnHLAsGNTIZF3OsfgiaV ArC9XgFYWI2e/1zYiQrhNyBKYSaPsJSNXJokmXNeopb5ihAmgFaA3jW6D0pwhyqWTEv4khFe6ep1 4V4EIXbgxrNZiiJuMGGQ1l4gzmTLgmW3k4ssKyiV6VaMK4y4qdIx2zM4Fw5gYvZ1geqqlfXPc7ir twLgQRnd8UpSVcO3BLMkW09IWHFwAhMuUMbM/ZzhePxf2DdC5s4fRXlDm6Qo5ibqsRk7gP8omS7W JuE3K5ZXh2iTJimGd1ODKj+DpyXIyEpJtKuElzhIe5BhQgOdvaUMGoLjS5BB4Vsi5AQyXJR6OSxR bCoUrVozJaqKx4Oz+/IFFaDUn/MeV7Q7ZlUyUOrtGget/SiaVAe+Ab1mqt1xnZv0pcG7a4zHehiF hfPqm81uHLF0VlkFhhoZTgJUkbA2biGTE98SnErbHCqrD9xvZxvT9hcX3OBLRpCA8jVJxLiSj2az FJa5adExnj5uSXV6H7dcsClsB22WoqDbrBX26j54NyCBsI7w+Oy3DtwS9bpqI9IZjbi86xMsUXB5 hdrdLHPDAcwH1SbJkpJJ5ax4PFpKt4+7f0wib1ys6Y6C7iGfjnaLk7XYtXamXdYCnKXi6jg7plVS +03WbobbF3AiQ9IMcQSocB1QcqwlQFZ+INv1A4SAu8OyyL2joLuRVNmEMWnZlTpG3aOa93BaeDzi 5XzjcaEDfJbmVYB/j7lBhCPHa0zPS3ItnuP2fuXxZveFtGM9E2ZkEMK2wZu4G3k6Xu9cb/1c+I1G CzieTqZJnj32tV9vtBHYIbRbHO8mbdM2XTMA1yUJipC8wOPRwX3ZgrzKTi4F42ufpRDb3bR6mlG8 0Mq4AkLZTCrr2Sx+KO1/YLvzvoOf3AO1R4Dm0OoGwp2k8IywcpDrVLLjJwsDW3xJMEnU7Cw6k4qf SSdBOD/CMLzpxuP/olTKd2pKmc/mKIq5E+nwaVxRs5ZNGRfN4zkutGQYtGSH0JIiVy62izhc/HuF 4XBn9raI7IKwwoJ44K444iD94i0lXm47CrphgwTPzpx8UJxEhVqe2xsLKUKWtPwtkovdTgEfm6MY 1U3JgN7uHCnOph9lMoETGtmZBPz+S0EQZdsiGA5HrymdawH+BpwldBX6UB1ggQUHx6X6AHFxsOBb IvgNo8iRBcRF0CnfMliFc5ZGnhvPR+pBtxMg4umAcZFnJjsUEeRS6sWclPCPyZVMUnf1IPAf/FAK kSXWlqwinGQ3YcNiAjGgw3cOJaU80Q79kbTgz2xD1WbXDADrA98StQLIN9kKvfdwlFJCn9g7lXg8 mKXyC60MweV1hUx2hC1JptdVXCq/FouZgP916bdFsTZNUoQtGeIuJ3ZNOHhLiQ7cSPiRvp1IflJu MINrMaBElgt1TZuyt6bXjXSoSOxCaGqDlXBHydMqaXz8n5IBTGLORuJ6tEkKsSWJwhysJJql8M5O 8BKEmUSQPt3hJMSWmNwyXtZH5+ZugieyrHLxQ/DopotBZxcZjQYT7aTHHb4m8nvjmY1MxgYtpY1g T5o4beDxf6macW81wJTanaWw0N3M2cRocAC8KTg62eei1HmRyUkTpXLHkG7Bk4oB38otmGD5wBCp CKZUkZvK8Y1kwRMFAPfl4JI99b5/CiyxEqDByLnQfhDwvQ48HtRLrisedtyCwvrMXlPaUcjdyA5o kujioSTNfJ7dvfnZPeiex6UUIUummVIX+Qpk3wy0fEOaTmdF2gmMxdLKyaALmB7nQiweTpbk7xuE kxLGWxQSnstx7z3NLcELuGfi8f+J3pULaVBXBj5FMTdoSuwfOrREmkUEvk92h/6Dv6CqadL1UX/V 3uhlZYMVTHJPuW+AJKAAuxuXD3SXWTABLrAWGizKF375GwfuZjy9N+ByGFAwlU7HiTwo+gkFrskP iFCmv84maKmgp3ynKtQSLOQIsN1BWs6J1IQ1QdstF2NSnLlyyfwUB99McjuNFTjYVG1wNXH5I55Z IATTBo5wikz2b+nJ2wKZFG5+zV/oifOpyweuKXipTQmdn53E54Mcpc2vnQeLrB+6HvpMvcffYObI y6N5ZwB5hFW9qdbiHrlOjM8pRHfLrXM+g80UZE2QaXGmgFUGHYgzBYEcespmEI4EM9msCuBrIlwX F1WRhGfGaiC6pCDOFyR3wvw1RUH4yN/+AqCJ0BLCZyqsfOOWh5KG93XpSaY+E1Q1bKZOfrZ9pmJz SnkrjOWDzVSZ5WRvVbLwkLvOoIOdI4aKuUgAKoxHPKGTv0AKq9+0SumV3UsOdk7B+ZobERABPB+c 5vM7eoKPEtCed6KiUDxlqeUZWolK+tPOqeV+p5ioVn3zReXvwbo3euU+ONurgZBYifGGfvBkYIAm IDYf2lKQK/dCU5bXUIoAJ8NUmMvyIdkxRTV93AkwrEgh4iSvr4k6Bzoyh3ovPvpSvU9VYd07Fx3e FDjphhYslCKjolAqRDF3fdRfNfA9KSnEwS++DMko6NTQlalTQAKnKI7BBCxlRiAix6FCuWx+TYQX FN6kZR8MwARCk6yCh56PDL5+aZygdDnHs/n+9NKBy6DYOZTQ9TXV6xAEpU46AdpERTH5ZFkOsss+ OIQpwx258EA/CVJDSow1RStVSsLlefu8wIPZG//Br8gUDreBE1XO6dfERzqTzef/FW/SBaWcKAFN 4jtTodJJFgwuizkIYDMjKEYJ1K5QxFn3vfrCWjgrKuPEmj44bvD81H0Tf4rI4GwTOnyc1YTlDCXb k8jcuhNmVG8c5C9yh13ENcNWQ2W2s25TY9G0n4f4/L+Qg0zyYC+/yrOkwtB8qsnbHV3Rx/L6XOJh aRpMKbv/YCR3UujvAZypD7akziGOm3DSzvucflu6aBAZ+pm0rp4XW7Fkz5RiVx1CBycZvBxUnysV 5RpGnW3w+WDnXaUqsXw3ZdeeeQoL4pQZrPSn4Txl5S0oiDd2mk2Msd95+guGclZSLXew47zi4IMc Kpsr5zbFvoBlDFJbCNFShMNJcuTu4luC+hPpX1kcXg7aeSXtIU74YNAZGus8CTG1Guhh3B6nxpBy yVAKmhGaqPM3JZfVVwdWalU8mmTVGCFRhnHlt9l8S9yWKXEZ5dwG8BygNDNCadagqF9HKWcYCrpx DP29c+BpCZ4zYd8ysshuZAFj2kEVoB/0Tvl8lO9941HR853Yxj5TUXhOWFGjdLsV65qJWKJYV11C p3eX0MmBq6XZRICVKgFijoZs6ideAKZRQEJofrHsySJ5owPxuMQUmaLk2NaSekyjSvekXrbcdifQ k9xUPh+E5/kbSADr41HXs6TC6DzzYJIAmvRh7LhCdM58WYpM5Bh0fVTc/aX7gCaMo1dZ+onLGpRJ kBrX3dFe2/D3w5JCO6qUfmeqNHvlqB9FcmqqUmisIl+CSdMpuQFh6sHn/2XZxPgS2Xm97Msc2Fue P2/xrqvDawjVFYeL6LuaKfDTfEmF0blEq2qu7Y4GzYYcd5mVLqDnVk9jCJ9BjzICnqBbZgpfo9kb R/InKJN38sI1CL+zkGZylaxc+fw/8QSY1ZxkQOYTFUoPYqJQuzbv5vOmtXvdTuYyhafUco/LwORS srGosiQrBafLUDn7sM4Gh1rhnE72SHphRaGFzDMKv1lNStJMObS5BATixGVgAnMYtqT6idZ4bZ2V tfl85AL+7ZICRgO3v89UpPrd6bvXVPuVnbPReJHH1Fu7GywDd33Un6JxahrbaCHCAlQC7DDM1Flb I9FxppLCTu4yNCkd7tSnvfIfLMMMyWcfVL7LJ+NW6+V8EJ+PTHd+ycciMMH96TMVkjF5TFWKKqqI UC4ZE7APS43rWm4IGlpdEsZ0NsC8g2d8ZYI3B60BnEwn9egoBjWo7G4K7ad7nDN10rdEXiniqoqr kpyr0mtRlw3yep3PRz4gv5Cq4OO3WzLPgdsl6BtcUVRdU2ac23CYipgH4EhQvcbmKdJBQXYLXlOv PnhZasDZfBLyhLZMVyeP3LPdKWQ/3MKJ3mD6lgiJKdUhIDE1KOaEQIOMrk6gzOcj2uovWbTzRifs rc9EhbE5zXcamROChqUrJU+Uu2F6c28+UTFAnEoxaUwfHGa4OwwzNlEYYHjgM85Uwjfl/PIg0lOO xgA9vdkbR4r7ck8d3QeVEEDr0Z95liqfD5KYC+zVf7x/FaVC00vgPSCYVb18V0hIk7uc6lOEY+J6 sIkK4SosdM5GocHZ2i0goCMDRfFkhc5Jk1mce4Uog/MT1PVFgJr2xpFGKuE8lFfQYDCDRSEMLqnN 54M0pvyqnldibCGZYFMVOl+ilpRlvKzNR3Udbb5BOU4B6SgVxMQ4tL5cQxgD4cVzHs8hBdwTSQew vWrAfED4C3Oz2MRc5WlXNXvfv0TloSDPIe8LpCNmAOSVlfl8VGn5PqMKGWft3nqh+aXO8o5yEEvn OK6sJLXhOGSKVnTGtmkKseLsMsAk1Ye79TIav4tkMfQ3QdXe7F1BbXf9IJ51eTR29XJofpmrlInF qOvOqGslW4us5T75fMRg/RWZ80b4cpyPcCtrceOl4QWpWVzmGvo6Tc2YObdT63PgfolOumSIUvHh maYOTD5FCSfsc6m+f/Y8ClIU0K61XFxmTfbGUeWuELMCR/VC0yBy4dAw2qygnVh/bX5AEHCOb/AK yodoxN6ZCgXBF3lidG0nGLr37hbPTXwolu6g3WkLKoSMs8Y5B3vlHHymkGmAyYqzHIIVk2QfMEN5 RBRHsNqt528cgA645c6ZWjQYhBXc800Ly16yXjOi+3wvKczRAHfdJyqsm5NbBz0lizfnGo+wrPXZ QXTJnsIELpim549WusWbOd9kDy3QCVEiNovP0W6ROTA2o+IazTPdwjll+HNshKli1O5FnVA4NTMv bisXXrUnQZr8gH+1GKrFB32O5yyP6uZNDr3UhFABYffiMBYpFRPGUuqdqlghhadTITmag60pwN56 R8vxHFInboZcEWt3E/xtFFpyvUREKhnxW6JASiyNNX1Q/aA1CkNDI642Ph8tqbv3KGVIfav9bL4o Mm9VnhfLoSzTBZsQSK0ruAMqs01UFJnD4YYK863d0XOYSgYZxWQG1L8ac5gBxw9UqX6AlHDtpjXt jf+CaVCX1gbFB5T1qZqpzeejSsuND5ry6ZMXX2+HHJpiolaHvHhty4uXfHqVF+fieJZd7pL625kH ADsfHGgPFgvrmWC21JzZhFjg5P/QJiEPlqXYick2UTGehQJOCjwzEDv8e6mOpd56TXrLICse3mDA c1C96aCR+jxFoTlk/ohA3J4Vl55vVtz7zYqnl6QCY0y03VSSYtHKRpspEGZYRVBJCkBiHP0U+ZnQ RQIR2AIpemjxa4KLj/pEC3m8DSq0QKkmGUI68/lIQdUDKfzHtD0iotBnKorNyXpv9My7rmHTD6m9 u8Nadr4z9ZcjPcS/lBWXJyuGkO253thah2Fv6wTcD0DNFn6jgssM9UbiyIq9cAS4Z9mAWiAZYmy2 omD3yDi919r5fFSRur29parMz0qX4ZJDf0wJFzfad+s0L7dwvlN35l2DEZ62XmyQaV6GLkCfHlHe DZv1c6XS07CgxtnZhadlJiqsuO8dvEk8eQ4dMqEFAcwOsz0OOs6BcCVEVXXzwCITDaMr6ji5+c6R jpTdZyoKzncxnpShXNGeMqTUCTV9SQ1UpX2mouB8SbV4ScN4fRFdWFsZsjU8F19WGA+Btp9O6f+8 v9jl3V74L2ZZSTSB5MCJOkHoMG2wPTefD7Aa+zs+YERWL9MlxyaZU7C7ywlutRmqfE3JFJBCKOnZ pI/6swlansEKLWC1gKZA1lQjkpUioYBIZLpi0mnFmqBdTavQJlMiatBk44AIkkcTCi2kNkMbNfMD Ihm19TVTUIc6b3WP89gpE/DMlurw7l6lU7cEVOplKdTs2uo5tsrkfdcVUHUvPqIkdSLOnCAJezKN BGwCo/MCChrQnufFYbXqsk5qrAdWmWZQj4CLMwVaj1E4UBnmpME8mx8Qbb7viw+eaWM/HYbYLJOn VKe0p/jBI3VHStERh4nx7MuLd4FbphCA24XSOHgfFC5ZJ1yRWChIWYUmWYlMPGCdDCklHD743vya qGNMKgf6GRggSkOkFPDd7OFCTm3yA6Lc2G8+dVFxUA8cMz5XUXyeURIHKKQaruX84/DsOLvr2oCT nOV8oWOmelFT9bv5Vb8D+wg9h0oWFcSSF+EakH2lfO+JptJt8EmyPweOmXb3FSIcbVAZYYI6zsp5 R6UzsMxE8ja/cj5k/X1dGlUOPTMJS2wnP3I6dZaugzz8LgeWdEpbVBGuRZ5iS4tqfS2qCc3/0kSk grAXsuKN/hUK6EVSXA//pdsL/0E3K6zyYYAURle9BY5ZPKcS11QIN8+/NGjPx1EG2ScqLJ1jKQJ9 54XOJt9DTFRlaUnou5zviR6H51xR2E02OL2jgNKzqwqdHSwJ7L4CIWCYQKDH8FWYoh17Dq0zJ3T1 8MrVB/UYMjTOOGfnO/h8lBr379R4QSHoK0SIYS2iwhqKExOVDSW8U7GKJ5KbfQ/0MD7XRE0dU/Ou KNDue4IEN/WxKYlBAiOU+zLdxSnE7kgplc5D90wJZJ+o/g7Ca8BxqogvtBafj+pS9WuioBFx9t9+ DvSwdg58XTs7IpvpYVaASWEXrGWaHk60mPyQ+hvYguzQB81UbwMFF3QAGjC2527D0Y/SVWNhigVd 762XnPyNo0OqU/+53oEzdf5hNgmuE3wXWGhmmcWpycD3O8HEgICkzVRookl1eLh+Oddj0UxQYWfv 2XvGLLcx7AxcNM9HCdlSGfPbaHiNgYAMqwlVBMhJ7abC8Qk32QrqbK6TEjNoU5MDG03M1KCpyLTB UAjzBLSbdWihEAIfTaH3vm39+k//is9DH82ZVTH3iUKa734+0Mt0YEu9EKDQSHMJ+pOLtY7Lelgx EIKAqQ9l1+tggHjWHap3A7pr54zdnvQNhVOBlaY2OahVZH8OeNYJtrMBNeFt2yEEnUMzTQrmPUw0 lEtHrc9cRTF65Vx1eUExPU5lXCoayws01Z7Ug+z6qGj7Cfvatg92UJ10uE/yD8AuqcKoormOHiRa zf16+xVi5PklfzmzTnH2sVplxFJwharlO1JZ/IDIFeI7RMCBjoL7nacoQkfcDDPk4ThFINk8lynF revyKMvXVFw/Z1m4NANLtfYANuYJPbaUxSekRFEzY8N0Y6ZwQXdv8VFikV/zR+fq/8pAIdfO00GL fP5fciKcKJYj0j3PA1NNCPjglKpERv5Hylne4ENubJYHYyCi1M0XumpCNPb88ZIVHY+s6AKpLrWi WAoQH9QX92KBcIHfyPqmC/ioux6aak5R0ai9pkHzdI7zxLOdTog5dNV8esaipG0I2z8bL+SALgKo hcvoQgBZcx3+IC4GlcptyAS2mqgJExos/CsHS/kAnMctuigpkvaYDM6xGsh4QkNmuu2BGbXn0Fez EdYyKvkMAyIMS/YitFbA33lSocYPCIrCD+qcUSdaYfMJEQJvTcNhtjqGcz5qcRekxcaJos5SyCNK +qhIFZIJjOqYHG4dYeCQVu8K3i7ShiYE+cwUQNZod/jeo1JdDtw1UTDhcS6KGsMMd0EiVg19O5QR QnvNBytFHhEgPfsxZcmhwaZkM5oAZIzPW/b4HE5IHp+ny7gKHDZRrx3GA513vM2rCaUT6udh022B FmqibQiALu2ZqZ3tjaMuH1syaJXZoN13PmZJQ2WDRhR4bH6rQmAtZnJ60gVLfV5jqYYQgcoZq7nq kVSLufvGcDeNRaYrl9RrJNWgD01ZyCFK/7jd9XPKwo0ctS+0jVOtifci9Q4mYgTJ1UrTl+bIr1FU QwWbjdCB6p0GO6DKEpYT4IDPawzVAJLiMQ5DAQab0KqlvydXcDQ9XQR1ozOyFtw8fWFZgU5RM98d F0xP76IOPYPDWU580Oms3MAHBThmEnwAHzqU8yA55/YQSyfT6/ycuKPJpTVPHwS3w70spuM57z6v gVNDAJRsfjgZUM7AmtL8vEZNDQ4aTFraVRfNstikkl8zpZrzSyPC4fy8xkxYPrJly6BT+miBOOqZ ibrADfDpSWl8lPSgD4u2N5JxCy/p3/t5jZkaThMKsArsUz2cPqtnE8GOdHi3z2vA1FQT1QRtlULP t2efoNdwqVmUdTKui8Lf2wCI52gTdxaimRW6GZyg12AJHyT99TQMMD0cMI0KwYJwsjxsT5KaMwMF EhqhygIphjtBSMQ/r6FSQyOBVge4RzGgNaMGeoJ3BeuT65zXr5FSE5fJlpD4G9D7BUlKc/QaKTWj vtQtUTqGlMstbBEle/tcCC9a2AaLaBTdZlIWf5rns+JkGLA6ahtCjriAQHZBnyVXQKMrBMtsl20K r72GSc3hGI2uPhpUmkOznohE9s5fgyQ8XevXLiNUE6G0Jug1RMLWlD97M4txlH3NfPTcD4W7TDIZ TlB4DZBa+q/IsCvX5oOXUBJ89gDfA9E6EWGnEgpEYhsCJNQPrcWZZDgaTNBiPWBAcMMGTlCFCifj SCBgPq+xERaDoAV0CMBcgnGTfQW9BkY424vkVrIrilPiyzwzykwXV7CMDPsaFnGCrAdsuy0/xMV2 VjfaozyGYJJbOUVSy0IrKZPEYduM2dtrVIRtxkse5UMOSLoJBa/VFxS4Jp/XoKhJYeO5yaAhOBE9 aIpeIyKc1I0eA2SWSG6te5g9KSJq5iuwkJR6b3RSM8heBlR5+iqA90Ijif1LOBKhFy7CYkMZDAqc QJF7kE0G+ms01Ez4AZH6HVSES71pW4My/HmNhXiZ5K9NhtNsIG3TBL1WKhsURBQn1ssCUh6CYsnq T7GETA0qrQUndTIfv118sGxtoZCP9jWqbydCq4L4AhRNivyZF8hLGICOJdrPa5USE8S2E8J+DZXb 5STnsAliczxDSTSYoL5+raBz926gHTRBrwVKrFrri0/XNMrJZLIKPPbKPYVwC1AXI4yFqGZYdvPB 09kTCxU6UsFwBXsOt7swsPnkWegC0oFVuDn6QL0WJ/G2smHPFKJbKtbgmD6pO0vlwqu+libbJXHa XQ+RJZZJPVqMomlE3TClmVeqpy2rTJ6TNPsaqjgUjZwYzRFl+nZVKaleYWNCvxf5TAin4ZlKsGrv xIlD1aC0Wn9+ya2/E+6aivqMhKoPquCWTuIcSJwDXNdwn92QkXoYiBkHSD+apSioTrx1V3UK5/lR 3CVjJelkUfkRRqHG+YlmSRo9MlCx0ecJPh/wv8U8bdQ40YNegzSapKN63C7vpKrhO9+OBzY1xGBE YoOuNJ7YvPNz5ePBgb19u/GcB6d4Agpn8/QeXHuLF2BCP5G6bzhoxvhqauWSyKLoeos7lppda+25 1qBEiXOZyr0QXqUhJFRJsCYk1J5uZDTshd+nqQvThF1gg07tdsKtKr7P5uNBbDS+r360DCfWiM1S FGFTT7W1bb4hPKHuub27K2S27VJr70w7vH5Rg2lnH7y3eyYMtfrM8tHcPG/BB8Yrgl9NTz+/2KTu 8B5iJ+p2kJIxfbAkDa16FUcAUQ1D7NG+rzZWjrLf/e8sO54mQyh6DyAHe34il/fi8dGYs3nRNpoj geSI+ffR8jSIZnfwpZmGwIc58SLE+mHsvWe5DZOpWmR0LjFDy+RFnQHAJa4kmGjS2e5nnMvn806x a1dyHbNEm1oobsCX3OcpCrShZgId0e4nE8pqlq2duEeRQQWHzIk+75E28Jvql1DX3EavGhXktNAp p9dhhnImdhzaxpPMIdwUdoAPuhy9M+ywZXgi9UQuYk+moFjGOSgS62sAUn/eCXYe89wdlwFjnf0p ioThdrfr/2b9EoNk1i/cHGACkyVUTlMUbytXTMzbfXQU7wQMDj1Q4ARgZE4uHt3XzuYkjMoPppKl VhAF3I3O2bk8g5ZTTT3TowPL9/POr2vXeQUNZqUv+J0883+n1yEPopvvWTb1YgSS0+vqTLcGWZpj BKKQW/X/LT+/PZ6ACV3JkQD8wYKC2DGVL1A3/wGb7IcXm7PvZ9frvs8RVWdKQqjBwXQv4O62imit nY9HIeWNudmjRFV8PHfce9TtsrR1j6vOs80zG3dc1aqq5NZaoTYKu4FuQwt3SyiTo+24ARUjgGeI tTxRZZYzIrggncjg0ufTTup64fdpou5FYWBlg0EIy6CnNGL4/Hkn1uHvTX4yUUeFeFTQXWyaoti7 MxSAJoKtpZP192ctXdNjqKZrLYXB9xCdvIslzdEOcCgUnNQ8dzVycetRbKygMU9fk7T2U/mfeuFo mnAwTamuTlddPREZCjDSMAKKIoq/1YjuNPrDNYeW+/LF9M6pY50kU0fcXI3wj9d7ZarYRqddnL2q RobhN2OALYkGDn54Q/OgwLsJCxVM+c0qABqTi2IB7OGadhg7k++UOovKUJplcFmytfUqp0unUi35 886oQ7XrHt7qS7KS7inKO6GuGRauNapKCYu6svdv90g3XBpe8H/n0zU5lJD3VH1wgCULPahLoJjU IQEIolhGRToX5L8got5jiRXJd0JdM7NDVKBYbsOKLMJXDkBOWCuBfso7oa5JbPtZSdAhmohDbJai uvZskgQZw9GV+6IreftbwNS8oPROp2viPsGCfU8fPPAeEP+bRSW3k67soWpAY7MY3vJt357k0lJ6 j7yTM8iFyIFwwg+D75p2A0XzhxL+6/NOpmNrpX1nKDAmaHSp0zSFsTc5BZWhhupus3mbrVeT8q1A 3U+d3u9cOgYy5uhb+h1ty/UOrc3G5i1adgXnLNxqob1dMmmb3TliJp3yTqbD9zD87tD9skGRAHKi reR31s87l85O/2fPwZJvjRsvvVPpkCdTiGqzc6iwsqVyw8pcPOHdXhZ4Z9LxXDLp3uWDl04AXYJE KjmsCYA7pChAC/wIswI5KavfyhTqnUbnfbZS6qw+CCq4YBMgp4O6Pu8kOnYq/Iqbdi6dZPAupbDG vcgHe5oAjdEsz6Wy6vVgS15+e6fQsbzENK6M4oPN0aD486S/KKSiNnVHV4JzXa4AQdEm7x7eS+8b hEuS4AGw0AaDfZ+4Q822E4993hl0Frh/5XG4YaEVZJP0HnhbgwWyMk5NScUQqCeq6dmPpdo9DHin zzFVF46LgHsbvUwJE7m+KaeWZjl3BaIlsalONMg6Lk4nNxpfeuP3g2mRNk5XHRs4TSAlV8ZOoHh8 3ulzTR5oNk2UdIL5Vn8uuSj0BtQFl1xy14zu2g2oCWyfp16bAb7fyXP4mZfmh2vERu9LnuS30zcR 4JtzlBS5ZwJ7vZiBQkrO87gy9MLRNLFysii7ilLhzxCOOUE3mapz53j4vLPnWFLrX4kciMk9PedS WPKuSXib7ecS3eZ1LtViyuITwru2nN6Db5SjGVXCPuSOdnxDFzgXFU9SBagEiNjzf09WC2FUU6+i zCCK+Z07x+oHNXdSuYMQALCMlynv2X2fd+ocHl/p62RCwb33m6K8E+d4MiHgHvMi3oogzCxXtuby YAXVL51M77E3yAPMdnsTpISjLSaUOSaM6+V7CB1AogcBW6EyGUozrmlBXO47b863XEmbNQEOqjBB +Zbw1nbyns87bQ5R6fgVVsJTsN2a7jtprjkFWk6OQnFJ8Ip0lGU9FYDvpgkQvHPmnpoukp07apJO VIKSUKchuyzIWbEbMLMZlYiXeYXBgED+vFPmUJJdxJJkKvlLvZNpHALNIh/6XT7vjDlmu99pXM9A A+c7SSGaZBQBS6/PKB0XvQznsTfQYzZJ/yh8z6awiaMmCcE2oGm0Gh8JGEoDMDGLkk8CdkgXaScX vXGEmOD8nGjOB4VLa0K6iaHA5ONRKNC/gu9Cp4ruFd13uhzWEi1rz2nYDIprUSVhyymb8sCcxIxg w72z5QhKUpLLibfRUcvS7ZfwwImSWWmiqR0y8kp5knt4t6H3jfI4SqfJ1q87Mrrgp+hduW4pn3eq 3K+zO6vGCVjA8kmKQm+Ulc79P637j1BgOfE5sfZBEDxpEcQrvxPluJasImCT1O8kwdf9xKRgTZ6o MtNwldAbuHRQpyqXb9d6e+EoRVGTqQ9hS87MdhXhSh7c4yiNft55cvgt969pgkL9edSnKQq98dtQ 0NGF+BadQbiW6hwuxHdWtvVQ3lly+JmEgIf1+h1tmjKIFUMimEh3W5U4QaWDOYJXynuaaS1+sc87 S47TRD1xCRZKfZKLCRzvKebXKJ93jhwDpm8UF6I8ovttlt6Db6jwjYelU594iQCTydqugd8N1P3O j7N0HQEAbjIf7YqDgkg6U55ogXwmZ1KgtkGpdRCe0Et9uihbLxx0mraO71l94LmU4Qtk7hmdbxnc ceUXCudMee/PLEXRd9cdR+aRXMZGdrDb0JajlXZLBqF4J8exdKO+Gom1NlpZYJyVVHfVHTdArSD+ ljaLrMWe5BznrXEuCQh8p8cZfHHCFqD4oArTOfeymk0LP+Z79P3d32UVroNAn+6Wi4JvIB4g8W0p LmoZKzvSZFqpAKykbiXdd2rcV3uXdg8YmTFMokpRE+hFUsagVSRiKRaUus6eB14JtiCqCUx/4aC7 24m5rZliaQhPRSKsqEbzH/tJfj7v1Dhe67+KAmCwjJujvDPjmgiSAAgkD75B2/VgQAa/7AKhYKvV FFa+1WtKSwa/HO2Wg/IQwG4sV6azq7C9Nm1sUTvBYb05T3KFQPT2eWfGPUWmQhuNSZjGVMHyHKsi 8J7j5/POjMOuu21w/re0er81pndeHNGBiJSWm6+xv+vYrvIQnUdyCO47LQ7vn2WlleYz2q7bqZxp w9sAu3SiqcQObyX+vNIRZDzrSTID77w4xi8EKBed5GVYGzz10WluDTvE/HmnxeE1i0+TzKVPOEqv GQNRRhE4M/oTKvEcoswOIKriD3Y1vyni2ok0BYryL+wJke4j3/GiTzKSrUr1/oaTit6sg21O+Ksi cyy+nugc9nlnxeGgoEVUFuEELVHd7OOcpp0ABTgFfN5JcQZWfbpyDGpxj/s8heiTYsdTM55lWpSn yBDnoX0q3ZA7mgQV6+mdE9eMlYdjafc7enGX0B9y4s7FDSdqcDHXHESfgGJawB132Dt1rd45cU1G 9FD+YsVpV/bmZZyRk4RQzt/weWfEtUuztFMcFwdahj5NYRCOU7wB2WXLqRDcpOVUu3tBoE4mi4N3 Ohw+qSmwpmUNBys0ZZiJdjRJeDghackkOA8GlsgUUYS7CV3X+waHOFkBC7+uDQ7LpXEC63H9886G +xWEY0IXaieIGW2S3oNw5Mrcc81FHOvS/UZ6wLRGJqzIYLyHq+6dC/fsubQNgMp59zP8nE0Z2GLU mRI0aIYI84OIrw5cpcMGM+1vP+9kOFbGqL6w5h2EZMISkt7lCc4/71w4BhQ3vOSBjRt6tYvPfSfD MZKg+JB0LYVgLlZDOdfeVUCZtArl4RRWwIfau13lAY7e5t3n8MuFOPhzE51PbmjzEoDKEjSFSS3x VRHlnQzX3AViy117u7s2uPIiWv3AUObzzoVjm8H7KYln0ya17m66qAguodmVkvnY1kbfIgNWXGvN DOoz77p3Klwza0/E3y3d0eLwBMlwmK8gDu8FZ/dWQY5q8qCr3zAcRtL8lr8IFZRNtMGSFTSPJRiz x+edB9cuZ+kWwcFfaveiC9EnWAEnvreAm+yKZZOUS3eP1obsUJMUok+06VpVQMDRUrpzIk20I8Sp gMNFMdAqeuEnTgIdwoNwLaWoBC7EF4uGNlg9bhBaZ7zKdwpcu1p7FjUhAYB4lE9SFIRTYVCJqItY TW+nbOrSqFMwXHfhnf/2AAb6kHgjR0d81Y0916g/32Hg2tk2X43o1DNJvd6+HLb+553+RlwE8RS1 Dx+0kk7OlbsVmubnnf3GP9fPJQJRgTijOoUmKQrBO+G6yB087d3WMShbLXilvegMsobSQsy3BL4q dVdstFMJnY6T0MnL9oSVSRbTAx5CvbFIvy4MNdv7BoFAEnawbB8EqkhZzDAYHzU8HgSWfX0dSqhx tQc72ML4GydJG/3WBqpYldQBpfO55SnNj+6o/O0qaLAQ99F7vAmyjTTQwvJMI8sOETAFetDnQZWv 8nV0R+E3wrlz96Kyb4P2W0e9SPutDDweAAZ+t5yQNCMrd4pOGH535nCjWKEJbojJpukk7+aNMUFg M47Oe/gtk1lsNGToPnoBHKf3zvIxgMNfIdNr4d44V/EmBNuCb9kY9JhMaTTv5YPWEiiaW5rzm49H kOb6FS+RobMvJK6HBfAsp7q2fMNJ3ovVOJEsaSCS3cq2R+AT6kKDBdfmHW2STsLUTkK32EoBXSQX Qz8jbYGEJzDPtzZA6Y4eFcArTdimLAzmtTA4CTQsl7mNTo7So9g73VwuMz1eKEz5luth7L3I91rb 9eJ6N4IlXRCTR0vwveTh3aMCuKdyKa87Or4SIhsDajXADlIyjlqNRPgWcuIBBfPSgChfUfQto9Y+ KI5ArqYmBzfQJMhinJ8CzwdYprm/5ikjhwTWyicqZFd2U07PHn+36RBLAzNNxN+TeN3OTwpyOWpZ bmGaONg0QSMCOL9Mtbi+u8SFzkWO0haQL5SHtOIu+6f4lijjpRTFJvqk7uQYSxh5E0d6DtGOx4MD PH3zmpA+93axTD2iV9Kwqo7mTpHn/E6GHTybJVtQOU4CYM3wHsbeOpHYW/PRY++EHx/W8AgqzzQs 6jgneK6C130OcOa7ir2zvfBfDUyaTaLLi0Nf+goILKZMsPbE88E0jfoVMYHEfv7NnaY49q7qgLuk V21lOeRr3LBy0wqUaylkWSpFKV1si9K/SISQSV7w4YYWT4e8HoKBjM70rlWYxXs0oZGIrwmiAaZy Z1qprTDo78hyXMf5x4bKWWN4Ptpz4zscgPBS2Z6i9LgIjgNpqKCUpfnZVT5Z+GIT5C8DBwiP8DD6 JpZpAPJtg2OZoFCFsB44nXUuzEWD1gQK4YmGAUFuMz0Up6n3DbZcFg+MdnWL9Nsibapp1FBwcQo+ IILG+TRJ6rpDbttDy/4efyO1YeOScF8h48jl/09hNa6wv4tpIqKWmy6Kv7cU9Ab14da4+nBrnwtz QZmDrBSQ8LHlQFNAXYBODojx3DGEip89qoHXIsaFhPSmq571CjcPxt/nd8DjEWt3fZ1MsHwe/dly Efi7U5dxUryBuozF65SoIkI5jrqMrSyzkO4R/sTLJ03pbqL0wHlXhJZoOfbGlAQV/VRp5tfJhcow t4VC7e3OgbKO74miJuVyJEdxUCgN1attBSc+HjGc7jVHcThkzAOOeTZRYQxOOKr07+m1nWnJTAFL BCBWZxrnGLBNF9XAoVFPU8jpwxccFZ5FLMadnZEKN11h+b2gIAaym1cseR7iW4KUd7GV0qliyUHt OUhRyB55lonHo9XkUZMkrk8YQh1EI4FHITi9M1qd40KblcNRHmdbTQW8w2FyZyOqgE+h4hrdpW30 6gmEdXOhjd/GF0jtDCKvP6PoLHNWCgkB+JagZGmt3rR8EJoJwuwspUDHHo8HIfgF6hCvQg3+i5Ef UQjeZagi42Olv+ZXi8PKLj9KslpsOcLy97Tyt1n51XrbBOcIOTENrjKElfAKocbnpkATKU4QW3el M8C48DUR97JQEYdUcArjEKwD5dBRFFo2TFOIQbmVXUYOsEycF/Q1QgA4cQOFslWapsXuHBsc1VA7 lZAtm6ao/M3SxMlQ7Iga8oQZgOoUlN7OXloEfZ0DpWgqSQAjwKasdp0Kzsk08DUBHhV+tTi5q4Zy 6Turyp/n/A+PR82U2xEnavd8d3uaTiMKwOkI10AhdUBqd2IhTDvdZLTVaQrgIwrAl9Vzk7ZelbTu YAXlRE0FRUt6hMwT80r9GvFZh5IX4gOPBlD6wbdELUwqm4Cib4O2HMSOiigXY+Px6GDq3+c3QtoB c2mbpSgCBz4M8aSJL0Kp4sLkB1uCkqcgXISLKYrATZ8CvgF3dHjFbuc2S4v6FOtMThGtEEH7hPcQ V5AReSf5ciOqfp/NS6JzE98ZaAleTScq27LFGrlkPB+tpl/3HLpeIy+PB0YYgidZte/LmJMnCFW7 9uw/rqo7jTE3ohB8GXJglHpHO5tAJhtbBHqYhKRCEGGFsOFAqwBiwraaNiUGRlT+lvnxytSs5GDN lCSLEwigTjz+r5MJpxBy3tFuAD5CDPgQBrV4caBUQghoYLTWzVOEGziR5Ygw4EV9yzLXM3o6Byb+ yE0c1TyySetBJKrrjCkPArzqfSNNIcQCk16QGKwNeS7VbA6syHlHHH7vr+P7HJd8I5+kqPwtZPNC 00eNlLm8RzAHrVDl2Fe29QhGFH5PFSuLKcJIl/P8t4gszyedEHCIczFbUqezp4xjAYFWu4LfE2A5 fMtfAVMvlLPuUPUTBOUsznMo8CQ8cRSe/2dfDjN6flgY3PosRfE3hAdJrZo3YNpXNoc64wqY0EHW LIXx92RY2UhU5eBJCvswgExB0iNtWleD9byo7HGiViCMfbsxqhxh8E3JJYTaBI5QWJ0b7qT3VSj5 s3cXPiAoDFxWIY966KQBDWGzFAXf2Gn0lXGnvk6DIxWZKPlEimobhH1hw4UFcCnD0JlBg83SQG0a Zo/kgp2THEpMcBNFap1Qwobb17Uk0OEdUi/ZCafEJQZkxmZt0fZmLwTa4p8R4k9+SQwA9gJJc5ul GcNPElvhxDYvoeRdvhr9RpulBCIfc7kZKwmKyEuRGhvtjgOBpA/qeK5zGNU+WWXqAKoNrJ751ZUj j3eG4JPKSABeHzZ4JjfkygQ9rM+MAODPhiNe4NzsA4LKNklR8E1EDoheSm2xlqndwc7yZNEbpcQB whbzuBmSL0W4yM34O835O+dRgAdowI5AANKOYLFiqdIuqLM58YXaXXrjiH4pnnNNPmiaJhvSnKZe 8Hh0Ln1XLBGjDhS/bJqi4DuxL8cKgJ1L+9oQlOWn97ntqjUvZ8y+JF9umDhlWs+Om4mFSmQouNYQ t0ImBgX7DDxsAZzHATpUYZhh9ZvFpbnJUeWQrF45pWl89nbF4/+UzeN9eP7A9ZDBZhR7k2LUQJDw qJImuOaVMr3FW4An0yyFxe9hootp3dEbcw30zil5mHQOvrO00JijkdnAP5cvr9Wl943OJTqldCFQ vMSOEtMqzInQ7cPjkX7nJe+odnJCgVUuHHVGwTerqm1UDwUg32+k8GVJHZspbVnwPUN5wTpuH8lH b6YkcMFRQgbJaaGX2ei0OklKmQi+1xVipvHVDOmXNBXbKNLboOLJ+Zip5Kz0iseD/uXN5IQePFEl fDFslqLQmwAdaDC6Duyi1o/Uqgl1Z1RZGK3ikpsR8qRbVJmNCpadCjZwoYHGP6h/PqDIkylUSSta KMSiI+wBE10xZxR6QzONJp13UCQA/WKCqdsJ3PB4VIi7ih6q6qLJ2zwUmBH0BB6HgD3maQmKMKRc S0nO7JilDokQzVJU/F5mhVnMxrD0a2cBag0kbRXpnkXXqBLfsKT6pnBlujD5be8blSsJzEEcz2Eo 9oaziLbcSYX4klEa9929hLh7z1escobky0QxRmo9KovLTxZ3J+lEVe52NUOpwZqsa7nv6KC4c0dA WjBRjREAOdqnVSQIgHH+rPnlCrb1vhEVrMkudPmgfkju0KBnGW7z8Qjq5QkKNRfQthj1NghmhD2h zxR6vMkRcbKkVY+3OWGOfnCapSj2thw39/qMtpQAaz6LkUoMCQQSYATACkedEiimoq6clb7thQNU M9G6aUunGtmBJLlOfNGFpF4njMLzEY7pLibZzUg12+cpZF92pm/kg5jq4ANkomU7yWADto+ap1D5 xIq6VrfkaHElXG4h5tvYlpu4kbaIhRPsR7r2PgSeVfTCwZ6jqSquAR+EZDo3p1Rx28lz8HiUo/hy 4rvBQwMhm2ZpRdF3WmrFbQfJlzZsz+nMVr67s6+mFaFPhsqV2Xu9/aJPUPk+GzgJ1FzyzFRARbBZ YDZBTKSrekhiYIXoE3YGehp3EEYHHnlNXgO14vGIfvkrRVkyePBJiqLvQS3mBm14HUxzFz+9yzDR GBlQ2yRF0bfr6dFiwcZbXoLEIAx2gfxubI2TFY7jBVsc3WkHMiEmwbdEkGagKQZVuWAxU3+Gjm94 D3DxQskYz0c7Ln/HlcQHYT3aNIWa3k2a5/WiT1q16Huj8/Tj2ifVdtz6B/qEnuEa+dMyrswJRgVr ill41pBsnahijTLrKvWJl06+h28JKt/EV2a6MmgQkglKM9TNBFEfj/9T0kP0gwrot99yK+RfVkkx 9OvF0LKHlYP2KWwQAFerUGCF0O9uJLDR7+iLaaCSD5gsmk2M9XnLIZEZ0LJBRuWhAFFxK658o8LU BgGWDUZvkuKg/phigQHF2BB7cs8lsi3g/T6BU7ZpiqJvWOplmKAUQ+jQNYydS3QFbJrG2MnIYCvk X1JwGL0xH2ySChXhjRW+zgWOiwxcC2nK4QMH811D6Ey9b1SIY21zSJppnD9QyoMT2BZBdPbMeD7Y c1fDknsO1+Scl+S0ovA7ET34aMVVMlHUlWv0aDcTlObTFKqfsAQ3UYO0QdNEz5lzKlf0BxqQg9iV 0BvCVUBweZnjGoSyEIhvCSA6g+Lea2UflPGemCwRuH1yFL5kAD1Z34y585OZ654mKQR+y84qbXPU gZ7etEIcPHysxFShG6tJCqPvokUkea/6yHuVgroc8AsoV1YQk4mpIFI9IVEvozuOqWRdcqH2Cfu7 s1OqeTJ30FKC1hqVq84GwuP/EmnODvdaWM02S2Hpe0imuTpWoOmMkqLeyMYD6yrqYpZC5LfU4oGI ssHDSkBaMf1EngBVgYYcWllkOqPIhbDVkSdIp/Atf0EqKBFlWIGhCtPZ2eyoDRTiVhR+r/Sdo6AM BlUWn6Qo+pbwP6KZHydfLhOImas6Ju6EPNkIzytGnlDFGkUfG/zs7jCa2UW+JwX0ZxqCJqxKIlAL Rb7Y3S34Yfm+EfKbaF1CBTRwv42RrO3UzsmOxyPF+CunxyOIX79gQmXzFAJPaEo4VnVx3dJ8nhZ+ aa8xgbuheYp1B3W/y+bygTHR6QydPop+9/NzdglaC3sxUFbe9QmZKNS8QtXvKoS8wALFdeNBv5uy JMyz4vFoMd2IiRc/RfUgOqRp2u/hd/kvrv6zhvrygiW+0ZK50oaLV+XuIdOOhQdZqZz9DjZN4/zl JxNZkvpEf47Qb+reLLziwl9l7rIsfe8o+GbjI/dOG6vu6WKFRn7hSkB8gccj1k7/lcgV1k68qLtj 3qVgFCnZHOFksjnq6woPYvuZfHzspUPVFxkRcHB0ZaVVH6tw7ZzkVILeC7KWcLEoFOW43rKEjONb ggqT3HSkysuBs1TIVJjKGyse/6c6I+8zgOPL5e3sEPotha8yfZaGdCvpF0OpfFaYFhM+zlIcfCs3 2fMZb4UJQR92JFrg2F60tqwASZ4bAvjv7PjKQrdgfE0wTVM401V8EKLiJC9dvMvSNx6P/FB+xUuZ zdTr1bBD4uWm7HB6RL/7bDZNfTufUBGmpinEnVi+m8u4owUDGd4cKBczlYO5V6em3gLnmXat0Kr1 dhNvuR2F35sNFBiK+mBowUnQrESO8XhESslfVQGsg47mrc1SjPwWX6e67Hdz+w90LlnfpYB8qobO 2THyW50BxUEafZaw19YgizdR2I1dzn7u/Z8BeTr04r0S1+19g1uO4eTcS+0UgFXlfHaO1laNaLLx fJTKfQO/6baabllgR7F3K2Ki1HRJKdXth3KqLrM/6UzDWQpRJ2pJV1tL9WstlTMfyFqIYZobKrJU QUMxfDaUQWD/YfICONHwLX8ZEIGU6IPWRAKST7ETju//EXUCnWbEUDZJEeokyfZTvuk8onq/zhZS amS+Pl3CckeokyFNprT2M9okNdSoG8VHT+KEhIsyvBUHPgR2wApHhtKUyDW9cFTVJaKip+2Dqro4 9tlAannx8aj07YDmrv84Q6zurqXQZWeQhVLHtSYuqzkLrLplwwm9m8WVO8adSFFvfY2O9Brnfx2U LeDhqFhCRmGbFJNG2FTcd7DQRhlfE3jGJUrpbYp/c9A0wdWX2gDI5/B4lKOk73OpAcLUPNvdYe2b 7unQ7LAdV7etK5jVXd5lo1cHsAI7xp0IB7ft9P6KvzfgfR1KkGCkQN4LGNRzisMGAGhZnPwOPNlT 7/uHHcH/wXlh4Z9YXG6CdeDxiLbTv46lc9z+AOvpkxSF3kivUIbLDznVlPVhPXgPbzDSbSlFsJOp ySlbVx1HxwpU6CMAvUP3D1gIcCmBbdlhW4K71OzAqTWBb4k09hkoFfoSc1B7F508MXhPvoPH/1XS NSsCkn+uMHqKKt+N8jDn5GyW7laXrIA5kvk2nh25QD2mb3MKY+8uJDftBTkYWCCdvYVYEldcz5Vu BCidQEwYnqgLkkrwIJTB/Nr2xpE/GjPdtTQgPJM10ZlBGrxAtmvyAyIUqk8VwZUFMe5cd6Zi9UGU TyCLYMwdMlQJPkFI6GyLtb3GlFMcgdNADkeMDQ73gldjhp1s2ygMAK2DpHcRVgHQJZbYJRUyAsfX RLiKoQ2XfOCSWpDzJ3Ib1uZ8PshUrm8Dk14AYNZ63FJSaLwjg3lJ6cjRyapLBQdqMRwqujumtp8i AIoYAQtbyAabKaCYE3tLsK6ptEnFTJF+mUHLLCgSuRgxywOknr2vKXpS7C25ChTKRLOA77Ek2MaE fWyK4vBxp0owaKjE9Muglw3e+22OuGCRMKSaHG2mrSPuBqDn9dzfkjjKIFpVz02mA9sVLQcFYcC7 7NRtPvFBJjouQdAyIy2CzMTNV5KMCVJowMN27wDj1AaDoUz5GqKZOPh8BI/7hlqC9Pylx5BTFIuz Dt2acwoRizMT3nQkrtmKlx1q7bb7okr4SGoUJGscpP5oN+PKm1OSOpBORcyB+iWNrmHyXjo9HLrq l8veOeisTO6/Kk2dejV1cF0r0tzwukghFCV/1+ZyYUEeMkY+WWE5HBpe6A+VHxHDGglmBBOWMoeJ D8FbwA/1WA3cgHIr39EvvxNU1Ya4El4O5xQrPNZh74T3RP6MuoQsi8BAsXcOEmFmwBmkbRu0rtAz lbJOHXo+8N8tt/ZEDWfWdeYzV1FknungDOt3XYDViM+b5IKlfwsh0Fp8rt5D8/Lfwjhyz3UHLxic owD5DAu+G3NYKftFpaaMpD/P2/s9QXuzNw7q4onsMPqEluvZVoEtk0EoIhQ+H3UP7g7UujrnwCrz 2YNRdF7YAK4q6lJ9D4r7FitAaioz7qzorNkeDGvjZhLGi5CD7UDmeiNRSQ42G+wxg0dHm3ussAxA nh1WpTZ740iGCIdVL+LVl2ESaR1SZctErBuf/5ePITvAsAU6q/FOVBSgL/Lqe1kXnLKSQwtPmull XzgouI9hpIxSPM3L+Y6Wx8CFTxJ+EJMrJ4ZOdHjCOhNIel7QxZLBU4qVwRl2wh3ABoHmyqoGKCht 8Plg99VvoCoTlPSIOJqd8WvFmPmLGGEskKtiMNhZLaZVDPPh4jMVVshp+bgSG5wcbPfBFnNDJZc6 aeOcaGSwbmDGJtn9hWwMsenI88W3BIUoBtZFp1WpyyVBC7REWCEfDD5DZ54vj2eEFZXCD3fvhdaY BEzXJU0LdFw6NXLk7yBtdeB4IKngpo8hREXecwW/uY+eGjNxLZRtOn9pZl8ZqHcIuGA5QeniOs/Q LDwH7phQa2BSDKF6G1RoOTmXAqJzd0w+H5xT+xeyALcypN3vVEWBOgrVVEjzNdVkr8ayXWu+prp0 CzlVEVAlJSOyrmfUVCF4PTfFNBu6daZqsikKPlqjP+a47BWZquQ//DELW5yq33VXwAb4QRTNkwBU Ph8E6vma9MjGFqLz+TESDQP1xPaU4gTmyGNo0goIk67uPAlq4t0XWmQuxQm0tvDRzylYc2A1Yabg JNkpFtLBn+q78Qbqd6pKs1eO6FBZksU2GLbyRCLdxBxPlsTngzx5f0OfILLf63hmKiqYI0Fk0a57 yUW+M6zflWFy4UDk+Ike2mROocSbcew42po6sfFstC1q9KbbXYcZW2hwhkRG5oJgo9kb/6UOOmVJ LwAJ/rZz9kHGgXlgrnz+X7uPCr4ZmfIt4OXQKlPARjg7WQVv93EreL1ZbQracMsnKiRriqRZADjz 0ULPDZ76hPgA4E9A0A1Rx9BMo3Yf9DCsOiUlpxy6ZS6hfHkUo9u4bWn088PKTfysLD4fdYe/6fag PTRIAvpMRaLhHTsFnrS++QDq9ireYNOTVTwiOLX5oiB9CLoKpeI72kxNgFUgFcoTvSKlkQQ9OKWk H0O52zFQfdorR+cUay4A+NmgQh7a76ZysQqf/19ce9CsqvWKX+bAL1PqSIg7rYjAzdcMkgHjQEuS GxhMSpJDw8xFiiHlTGywuHOebAb2SeVH/ZhNMuK5JM43bTBpIO5wS3kqUYV+mdTqzyDY+6BcBvI1 w9T4Op//l766/uOzk8+BcOcpFE3pcoA05xA0iMuyedr8W5HKnL15HTMDy0wcUsxhkE3b4POEWjqL Buwx0IwVwuHgKG+Wk9Gvd4vxWu2Fo/XEKiXFQjUomMqlN2YyrabK5yMawrciNspJE7gvn6f38LzQ 2xw+cXs4WkzxJ4Gs1Co1tO+1qQ1cM8/Oy4Zb2T5cWMZZrnNThOdkl2TeIY9p2MQnHJ8MFC/Gpzd7 40ivXxrPq/qgSkLuJwDRHmyFzwd5zBXhEaAeiDFEvj5ToW8mwVDoS1t5quy5nfzTrgdr6s9M/Q1g WTTD0uDIjJOJnevAyghnUTVMJmqemCkUQAvsWS5JatobR3pFZLR0WdV2t6otEH4uEp5Nm88HiczF adK5vlITHjIBNlWheWaXE6vMaaYo5o4bGwrb6XGNRqyS49A9c5kyiAuuz/xsv3qys5ORTQqE9kl2 50YoWn7Ooqo/kg+31lWzN47axUlC9E2DqamBCDol219W5vORhuH3aV5YEHx2X+igiS41msRDslc0 CSgqTkFBpZgCFlWibKKi8HzIUyThhPTRsSwwmqzCsqSJ/gWl6RLBL+hqo0vsemrN3zg6pti/2uMO 2n0n1M9bZkd98vmg5Fm/Y86KxkW+Dawcm2jidAPNP1tlCoonXm5RyoemyTlkvIweuGgCSon7bkOg 3wZH2TWgrbWe4DqWcLJvSjxg88FmpdZyAZvV3zhSwUoSMlY3FDE6K8JngpMWRD95Nz8gis7n1+5D iL2/Lr7ARxMXHyPN6S7IZ1dsv/gaXU5Zw6tXoi8HRprKD5DFCS1dHun+c2yM8wNU3DP1BB1kTOxF yy58YXVoq9182944ACFQXTUNGoycwV15gHEjgLefw4vPR/31u6S4AM+JMdBV8pkKw3OW8FYaHnRO 6YJQjbZmB2tY0IkgJfDSNG1OtEPNqmY/7LKNiDNJ2Hif9TULS6IdJ/vAIsl5XugmVbn4PcFUZcn3 N1lpobMqq7peamND6Fyvkx8Qcc2/xTElEXIFaXPoqCkbrZ6vo2Z3Huf5U8su3kBGhGhTFQJbhjlm qOKS0oMFrucXgVSjPNlGolrXBgEIiAlcBJT8MPRmrvbK0UGVGR+M5YPBnSA8Q8zd2dF8PpKB/m44 TEpi7ZvJhLaaJPQib/WZmt0w5rgD3Z8Vxj5exAt8NYWZliz9vKMtKliPD2oZsl8GxmJmEL8I5IDt V79iT2vaGwewDdQ5C5FuNqjciXZykwRf3Xw+CNCTw1vkkwE2d7myKjk01kTIh+w4u8rxIhZS2XH2 NTXoc2wz9ZepPUVEd7mjQ8rQKm7I8BoVNvpmkADl4J9FUtFgfYp9mWxTFSJcqnTXCQlGZkoCS0Eu WZk+waiYz0fZ8XcuA4FX6I7dmYpK6IMs2PZoi7fZmmXHMqxidtwzS+hdHxVECVpLefV+xwsra30Q Msvs+MwiGxJ1EtuLa6jfcAo4N33NHwTPDPSwD1ZwOT+G1MUzuu2Bu6YhlrT5GHpCuJUyzD5VUZCe UOk9WVd/AHgmYwR1tHoPKjYzNFVxkA5o8CpkTHPwYyrDmA2hSyPytxZ4Q6AwdXIvckcBq0PhkdCy Yi8cLCl0ZU4SPdTAgjawjqnzEzBg77V2Pv+v0lRTdeZnpaeGF3pszjaUHl84Zx3ea0Bd0o6pTrQ/ N19ssrkMVWY2ESs/Sr5nQ5XWKcV+opCTv6jHJRZxZv3g4jkJNM+hyyYWy/mwQrYnB53nI+3vCnpg s2kKVU+zAT/WnJdbnUOjzW10qnoNt/fwY2pRjpfHFJI0n6koRF+GLMOR56OtqQXaSC60/YMyK8EE +yQ35990Ppf3Fwm92yv/5RJRGyNQDtp9C4h285PefD6y2rwCflIcKaKb+lTFgHMCO8c1tUNj3BYV yGE/11LaNKBy6LapBtbcBJNzcKxLOlt3VQbpFf1wBsbnX1CpdgKVgFvLeqJdHazQblNn28liGFax sSarkfMj87Q48VTP/IAIAXvzPkQEMAkl1cinKqR8spiQevL9V9WXoeDK6PuZKi9PxZ6bi/WWRQDV XA+Aap5TWNwXKkHVLiERdC9/spwTQFh1JSh12gPPzbP/EhVGu5yjyGplnHBmm4EIuNMj8wOCakK6 4gZVCfUP3XZ9qmLbzc1uTHfRla6LkBziefmMEwpgNlV/iY6DpKeCXr1a2utEhRDM1LF0oihoqFPA HlOVYCzAINTx+WhK8Wv+wJoV5u8YYILHfQS1D6kJn1t/8gOiKP0bfE6xm5SeQz2K0lnChO+82bZB D2d4kowQx6AuzO6Z+oXemwDpozRF6ud6ii4kn4NkQ4rV+f/QFyEqCAFFZi456sMeSt3eOLr9CBlu zABrq15NWHVL0K91FD0D801c99+tdiT/LFL7RIVFdDJj1IpUKU8ybCx6Cn8GZswEmNWWVARB93IL OPk++pneSfqgWvQJEeCZCQDVIiqNFT1GU06N6fbKERuNlreJCoiVLAu5ugMbJ25j4poKUegXE8Rj 7SzDMS85JgcOnEiSO5F4yaeqSdUeU4XXdlAeKA82VWGUzjMdbts+WJiQ4GeYoZcJqyTIBULqaBEa e14bcUL6qlDR1z2HFpyTlomjSvGgVm84QAde99mJevl8sPlukK7sBxg/xLM+UyESvStK79M7Dts2 IoAu2QoviweZzVQYpWumGpUQOdiS2nAfrhCvh7L2hkcmEEGd6uf0UIB6+wVPqZIemnAyTjiHnVoz zVszAKYOuduc1crng9gzf7cccknAMKabz4Q2nPRNgVfvNtvEQo8zQvIakYjUO1jqYfGcioVYjCCU fdBMDUjBNwheEDvVyQv42XNutC9ql1Gpd9pLFiAvsOGUWwYuvzR94EydNAygqR+qs+r5ABI7fwHy 0CAc83rc5NCIE6q52BjObqhwWvHgs0535s7dTW5y4MSJmjw7yL1JhJSjtdorqXtoynCjo9kjnh+c tRvkETp77eTKDJrc5MCJM5mERlWODJCOV8TB51GOAlBCYMX5nfoxSiBqcd41FVpxSmS7pwtK0AUi o/ft2j5np2wHJYRenEtepdVkWmv2OGHCf7gXsnDbIrsfFFTI1jQ68jSEoNtTv6GIKvDixC4XJJ3G 04tqD1nFvAF1JeZ+aLaHbpypfTcdKuju9YElhHacleD9kyO73TtSTM+RKbHGcsJq0ygzOfDjfFxc sFB89MJLB4s/U/4AosnEpxiXrxM5f60BJYTLr4lq6XTcgJIpBmB/+Medb8iTZZNx8k5+QBCmP1Ia fqaP9JxUgSmn+U+f3Tpck7xeojEMWK8uItyNbFXF0iwyBixaXe2W0yEeiYI6fg+oWFUw4BXLIzrm VI3uPT8gv/TGwUlFDm2nEJkGnlTQ1KeCMXCMlc//U7ydBymuhif0DEw5BbiH1Fhu3kZOt+NH5QNp s8ztbpP5D1dOhp5CxS43fECQDiuBbIB0doCgi4yqIdx6kSOjtudCP2q3h6ac1NksVVxaDlYezkic 8IVwUsyhK+d89h7nBF6T/cENh7acldZAm5wTqknDtN6ymb7bsGwG547dfYEvJ1YUhZITj6Al/0cj OSDTb5wo9GTOQb4lFzHJhjozMqZ7Jpjvew6NORubDYMFE0wOJpuR5xxpMxdB2Z8f8H6ir/1tzYly K03sfKaiIJ13H9gslznTiyu3rtwsSD+ngdtO5sCcM1uKvJEt2ODVhH7+iGyS0vncqehwwDYYNWey sRruSd97942DNZXYPd4EDk/4P8pEacBSEd94kuf8yaE95wOewv/LAG2e8Ps50EOVxMIK3uo3Ru/D iTNrWeSOu686Gytw6AQF30wnjXacHtoxcr0zA8LDtt6yGlkI2QHbrQbI00ztbG8c9bGos6WWHwft vgyvL8H2QTEKPDoB8vz2CILfzEn+Lnrq8xpOdVRrNpVJuyuTQqjANt/Yd6IqIKtcUq/BVIfkpsro WUFV1sFoZXRwlYZC9Ab1J4D2Ea1DmBQ/aXnMummw/BpJdSF6zuwUqv9x0CRV3AyyVVx4+mWKOjRu teuydUUrJW4n/835aaIZIhCvV1Igt2BT25OYSq3pLIVb52AFM4RokvmwuSekeevnGxp3DYhoImHh 0E44AiNH/GW5kQGpCoJOp9cpSpThw9zwtst+2xVkDqnLUnHh6bcpkoWeTZG4VgA1pJZsjl7jp/NY J389U46Z2y2X7hYcptCC9GUAtsU5eo2esIoE2aj0KLLREdXyLZt0vcEZvqiVPIF0hS/1D9gmFmfS 7uzzGjt1WPtMITq3D5qiTII8M+PdPq+BU39sAjNTPJRd+e2aoNeoCYuoyVX5Rk2pDu+BJhlPVdKI wCzABL3GTP0KSJZqKH25z4hwNQHlhA4STqO6gA7HaYSS/qCkGMJLmyAk35/XiKkj4kGZrvXJeQJw QNgKOPXRJ/vEYOfYfg2Y8Mf24jPEmwxvBKyfpug1XurimEDyd0wPLLfJtJz9LRNzRMd1J+u/vEZL 2AHUql1oM9lwg4CT0hGg03aHhzmFWlfGXZjrGPRvWb7NNjXaXoMlnETwm87Ep9ugMt0+twGZr+yl v4ZKeLommyE7tM5vM7NN0Guc1IE4IMxgbBeJoFWIN/LW08grNkGvURJWMCdoz1p98GMIRm5rs5JJ ftWakm1HuJIRaxRsOut4JpmWBhO0qEc+QXOzgRME2E9aolmt8nkNkPoTS2YJBAKBRPFKzdBrfIQD nga4EGX/caGo5JW5QZq8IQ2GO9yEM6RLjJ5nNnoSBxw5eng4hk5eAlY5uuf0dwUxLZPdYduMWdxr cIRtxit/JNrdDUxn1gHdSleF68Qn/fMaG+EYM+8WsdZgbg3FBJui18AIJzUiwvN2zcySXKWN1i15 PtYt1SCbr2HRc1InA5Wn8ghFnddIJMoS3Ap7u0GZPzAncwFGCgftDbZJU38Ni7qcYyecFpsPBths Sy3vdgKrz2tQxJdcNkWaTQhoDD+rX6uWXd4VKFW2N12f0b1uMobr+rzWLLHLKUKzWZDUYBPUYSXU JV4HXbOd6CFBDHkWhR9SBQarW/Rtea1Y4k+knn1dTEsp+iGOT4VzIYtLGcKjwQQN0/fLytkgwrAz KYyYoddqZTex6XaCcZ+hzJoyT6K+qlOoFnsRFM+IjupEOB16JDbYDKU24No6hLcfSGiBtwenE0sI tJZNK1fB6ZLeNjiqeQSxP8ehqTbUILDsfbf8ea1T/rrM+N9mAFb2XDdqjAJr8M8hzl7vdTZu9W1J /bdKOcOb5FFkbZI+2VhmOT/M4Q37VnYvUVk/DyMlPSm/DmyErKXV+vNLqf2dkNevhu1e1QdVdCv+ D5E8B9iw0V6b33ERrNLHaH6nvbPx8OOkLT1Wu9QqHCZ/XAJxmFA7cgiHPIXhdWNYXYoOJo7eTemA AlETfQNDSQV4oswxo4hvIHHubd9JEcR3Nl5XOYj9pjvoYqtwj2WF6ESnn3cyHk7tPb9O7YUPaze+ fqfi4WYz2dF+Fdm23/1Qlmley6WlkZBhwTRtkYEKNMJ99HMb1ljIz1CfzLi1pYe8qGJkq++GR0Ow o2CWuoh4ULSyQef22RGSRISa4Oedh8ej0+82IYQHEsXusxRF2ZVeUl2+pIyQUm0+SzU7sbq3S6yO wuxO17oTD3Uf/GA6IVLG2cRC0jzRJZFOG2IcC9NzlsS8V5sUIN7D7MQjArn+voOlamWKvloLkKth mG1G3FpJnUWk7Pf/OwOvo5YofL3RzCGBaLXIE95PIg9JPkftwOq30RyJyzlG6Xe0XO0cWedKIOoS vV34cIupePb2oGzkLLd7MlWW/PNUgpknB5TUVL49UWmSd9tJVT/v9LuuLvFzLGWIcoyW7lKKgm1k atQcTY5xopy8JGyn0WBBqM7eD3+PtnERKKVFSu+j148Wuho4QsBCAHpSsnXY0JMEEBTO7PQedEl6 J99hXxM3MEDysYGLaSDqIuC0jlI+79w7JBfZMxLeclTFh1SsTVMYcVNrjMqrDhuYnvjX6QLkuKO8 Fx6F3HZ8o4Z6R5umjlys9Cl04blGwWSDSxKSr0mtypbcFThLyiCKuZukx1HnsEGrqZpDCFpa+/NO veuPcB32eVUSc04mVLo1T1HYDamRDDKFmyNYA47i2jtf783unlvv1DseGt36SvuOjq6AskcXWLye Xx12D0hNkK8thgSTlVvR82fXC7/PE9QZILTig7F/MnHjPG9S5+NBXJnH99kEGcTx3HLvkTdWE6G8 yQxtcH5n02k/26+5y92J44dVbaPQG7BkFPm7irccrWFZ4Iw0tyEwOzgDLBR02haDfVT6fHpLXS/8 PkvWMsn1Dg4rXJR4RxyfP++8O4RM21cTL/6MW69DVNXmKYq/O2kaEBT0Xde3S7Wz6mP2yesqaEUB uMHEe5GXBEc/w2eDMnumggEQfEIU4CwH1xvRwNpPH2DqhaN5wqkEJQofdDjVXfXLVMIqohj8OcN1 0zVGD16TjCLwxgYc9YastF2Wu5QapbOTa54NJffOuGM0op5umvuOtpryRs9nUTgatJZR2VFJ4Fwv gDRzT9fojhKDn3fGnS1/JLkSxqjJY6aFuow0jkr+vBPuukRzNEukVVFd+zma3gl3mNyu0HK4IUlT z424Z6oPMCBAYdqm6T0CF4jlbKRF/YZ1hQvQIMvws1E2d95JtMRzXBH9gcI5uKr3ZGJt8p1u1811 C8RPavcAUVCEupw7K2zq0Fh5p9t1AfE1TdSAQciznuLbO92OjRIeSDIno3S00l9iLtlkMdEeZ0+/ s+3wB0i4IFGC0kYvLp0/LqfMwkCHRha2+MnEEG8iXc0/lH9wvo+W03sIjtIJrQA3EToLLhLsXAG8 AW/GH2r/r887266bKK31AjApEI5dzUOCd7YdgnDyEis3ucySVMed0kzI3s6FyCYP8XeyXTc9AMoh 7zs66gTlst0oHt3m2W6JmMs2uKKQyNH9ysUwKLDyzrbD95A+LUtXDYoJAPKTYvuJZD7vZLv+GEwq dAJ9BMVGn6YoDqd64vl58wXRr3qlyFtyb/dz4Voc/s60Y+SkStxSzySt+uCdK2yBAYIF+OeEOPJx wx4/kRMiibFuPVemUu9Euy6r6HMsTepr3sZMhbVXlQJbq+vzTrPDjbxvU6DZ6TTxjTZNURyOnxJm pTtfVkazdGWZDYCM3JLBvd5Zdl2S8bS2aT5Y2NTgAtcbdZE7xKCSOt7Q2cRCmz+0v7ln+NL7BnET fSWnXKWmS1SD4l8pyQKiwfq8U+wYxd+aLmt3QMVV9rs5S+9hOKBelMAQt4DtpX4dEnq+2PmzACwg eGfYMbSQRkiVOSBHr1rCK7HVIkGxM2eAUp/Ul/zstGHKCFTe9S1feuOgbEnsBCA+PnCeIG0iAhYa EZ93hh1PY48veYZv6Gkuj5ve+XX9QQU89YE+fTHBHcMXE9oeOpreo3BpN1JKZZY7WtxUEDsNkAJo JQFCGWW2eTCQgw3ejSd1ZeiFo1mSoop4wCiDDIM4t24Wk2Pvzzu7jl2q/l3/RukbuFKbprAAvulW 2qxhAmyOWXIWSFYMa1ZmaAtqz72H4SpOC9nV7uhhOGTDKb0HqYLRGygwm8VwaYFnMLPtphsEOL9z 65hdkQFVKRTCQbCAea65YjAKvuX/Y+w7k2TJkeb+11na1qAF738wwt0jkPWGGd1cGhdj+01WZaMg QrgIFtPFBXCWYHrbb+XynVeHWeo0uSs1eYG3TAfCZRLVVOCFnJxm6T0IzyrJpDSl4KnRFlNH27JU imMt0JyL9mfOTBUyaj7til8QsvvOq+sCcZ77FxvTBpWbBgwr2Yfca3zeaXVET/ha4vndISJWvQz+ zqpDtEXRmUranYO7HF9S2AiUd0tx9MQ7qQ7nt6KAkYQa5KhZqifpndSQo+oM9H2BvdnwQ0WMQ5Pg qyCGnfp559ShPLvobTPlw+m+JPAPh+U6q7u7fN4pdY7xuNUmwLl7K76U3gl1WIBgWQGRfbnkq/vx neby2HKheKVJCovgmiTgR++oSWpnj3UooyM0gkT+LsKhVMhGsLKcE378LkJPLnrj36dJIqPTRUYT 1BTJXgfR7fNOp8MfnHzHCeddmWR6RvfOpmORis42giUhLiisuAvRTL1BHkxzTWOSv5PpsBeEzu1N TE2OntGdcynRUBKAZtB7eDBtRCKoOULG5B7fbeh9g4SOxSZuExtsMaEvzYP8RF2fdybdP6c3ay8J HPblEdM7jw6TRIBuTpfvm3jJkBpNxIMA8nSVJZb5nUbnRRwUBfYz2o6jMtlQRyXhZ6+yC0JZBVx8 9MmSky4mdUHeaXSs8NI0ccrSdeCZrr8Xup68309K9Hln0f1TRWH3HNpqD2LpnUOH34a9ApChbZpW m+ZLAllnl+yr7JNwLUV18GTH9lI+x9GnCXuwdapADsz+Ui8PtQIGsD+UAjXnW6yPzzuFDtNETVEw 933QYtqjCp5wDvHyeSfQIWJZ/9SaeMHV7JHlO4HOO+hn2RWfpj6MX3jO78LJIzKeqs6cpqgOfn5M HjerjTvalgPCu0InjqWmcYJwsJ0AIUDAg2JXL/Vpqmy9cNB4WlKfq8WHpMIlXDApcpE63zK45fL+ WkxAuvaeL7orir97scJlcuDJvjrtU0qjAuZmh3eFZXAtkDK05zhefBe89yANg/i7wQid8XcnAgNR OGRmLyOTKMF38lyXmc+Ei+sd1MVM5xKYCsXxY77H34glbleFIg8dJPvbFH+nziFmmvQr3bckJx6z 0CfVzcsH6+QMwN+Zc11OopifLFOgE8/gO6fh4E5qi3IzgIIDynHKU2Dpxp74ItepPwDmd+ZcNz3R kjvdJDJhjjybKo1uCGBema8ZhZbeVsG/u2BxIt1jTlMUgFP86mTT3dV8N9JKcy+f6fEF3B40hXVw dQtKV1zA0ZbTPAHHSWMqUblznCnctLpoWIGFZMItTphqTfbGv3TpHg8XxKtTtUvgK2mkMTOfj/Le 7zP8pH4uFsZvjUJwKDpBtiR7qekEXL7tKuFB0gpDGqV5iurgDvjapkOw8wV8wVkIwB8C4uEBRbmU 1aUMTyeD8awnCRG8s+a6mQOW1Fn94KC4abCMwpW18+edNIfXLD5Nwq10pL3e8n2nzDEiyBScW0aC hkBmNXLhqEQMUO8V4n2FyMooBu/brLcEZa6SLxXGAm5wGWUH6HRM0NxIRRn0JkHAVyQ+tyRPu/TG 79NUZciJLjEHi4DOETH7Eqipzs87Yc7wq0+qck40EDRu5PROmGNhVqdTcxJmJj3kfyic0veQJEwU Gs5vjuX0zpdj6VXbjlKSNjpkJ0MfrFMh86T/Z2YWbe8quLIJ5TgYclw4PNWv3vlytzlOmWkO5oGL W2LKA/fcSZ93tpxXMZ4AE64kgNzYNIVRuDyBHAUPVUNbQ2c5oZaq5ZQyU7rOT3o/nRpRAdtY0N17 T+eihiNgTk0oCyjwQZpuVULhoYWE6GM9KV3X+wZnOBUtlg4VDgbVxQbcKsn1zztRzhFK92jCqu7t tgveaXIML1GRK8PFVuuCuItYA5mWIqQ+p8aU7tx07yw53nQmIiOYBUevoZxj6+QYlLM4ew4IS1ps JCoPVNrNJMcRZoYSn3eaHGuIPJOQY9mgiKBDdlFIy1I/7yy5bgr0d8+pznsz33eSHOMIAZmro1H6 KPPedNnLu7N7FfydI8dkZVuS0u/ozYIN+GBi5gsB7ZOJ0QQH9EusiB92FizxVRHlnSRH0C3FiQah u0k+LUzpRspymqytfd45cmw1eEo3eDIRtHHxlu8UOUzupry/X2kZuoz9XnQuoTZR99VF986Q66YG dQ7rpAImR6s1nZ954W4gGqWfZbeoBwkRBXr5AN7sQfjGT/N5J8hdhkVPTFk4eK6SE8+ls6rG550e 5ziAp99bSff0jO6dHUeMHKsDyVpMSHznchKKEJfo93Y0VzRJURDeFVQO0Pd99IxuQ58N1xkKFuuk tlOimWe/DDhSYEV7CK6lFJXAO3Wy62T3iYPV49b5uVkCB9/ynRrHY618nUuQnBt73zsuisDpuQIF jkflaj/d3ualpgpLLE3SHxG4HPJstJU02/ktWhLEAqbWwxrog9jCjKyh3uZcsxcOaii0mER93gct pXPUCSPfTiD+eafFEWN/aygEqANzN1iX0zyFITirKKQaK++F9uKPeR8UShnS+6BWE5hrIQq88Pxu pvLYvqwmFxhOlFEF4BJimHtcQf9GQui6qFR8Pb4liAREiWs8UEzOEQiL3FMrFhQ0PB4Eljefk8Xp xut5OtfC+Jttp5PQeKkJNcofkwvd2xoFJ69vpoHZohJ4Uj2uWi+To19yqIxAiRSTBMardMAGFNM6 RB3z2JdMYKd3iENhoDSgJmWDttwJW0kKPYupDDweQAfKdzsFBKFBxULRdqLwG4pWIDZ19zxYLKRJ sWKsx/Ng2Sz1GIeiEvhWVjd0TOhcOufOWT4ryZrlbDidYakT61gzEdkefW974V8QFmBsDh+0lspJ ntn2b+eXwOMRwvkfIDiW0b4EuR4F30ON3pmuBAPXgOpxFC3jhhutWW23RzAU+8tldW6jzRLUN86W a5QVOMlKoeswwOJo11GEBTUcrw1Q16NHNfAq5dmzw3zgNMGDXXx5MDDweMQBK1+XHK6qBkkfm6a4 BM7DqBjfAkdUc7J8dsoKtmE1XGqPSuBdyqCliePE0XK5BoTTubeTZJ83TStxLCEULvi7OWFeGhAP LAq/iZEDzoCOgAsIctZpG3JeduHPAVLwfNBSuTQnYuRYBk3ZQ6YeMi5R74Qo4vIAfBDhtNBTSWMY lpCFVGYpPQrAzWAkl/KMF5l6dtrCTQg9OUgaDxJ56KFWIZjcAH23Au9m0tujALzw7O4tbw2WzMGw WmiF3nrH48ERnv0I13kPfSbdIZyniHaJ5kCugzZeKslJ+uTME/7J3Q46GiJMVHoUgVuiUlt5Rj/D K86hpXZB6WNM4usLVf8hMACryKtmxZuuR3VwQVJby+SoMgUU0rJkGuIA1rQnng/m6fJUzLjzZz48 lR5G4IMiFWW4EyeLPrbviqOa5p6EXHZ+UrScpHaSdfS0XK84KLhTmVcCWk9nn6FNcP4LLPNdCRha T+Wy2gsHAQHxcTI/PwNuAUkv9N0b7w2IfOD5aNu1n3+bT73sO01hIZxgQpikmgsu4WcsoSzqDEu9 H2hSlZp6WAivROVmEQey1y1BT60N7maNLN4O2BLtgiG3JgvA2mZ6OE9T7/tLoelszGpKjih9cjWh HCbG3skYCz4gOMWHp71sq6A4O8YtNfX3MBwZDgE7qVJPSP/YWXWCrSQNEinrTYgtd10Uhm+V5ACY v6NVUVCpHGkOiZ4g0AQe/KwvXJ2whwCIfV+TEQqD9qgSjjY6UKk5+8DywDmnmsSMW80TjwflgfpN ezoH2YnCLyW8R4BwwpMrHeck3yiLx/8RY000D7QXBtwcbNdFSBTvqxS77QqrpZXlAZDTCwHhu2LS Br19JrlUIKShGFdul+7kexXfE8VOPJaI/9KgKsoosq2nkiMejzCX7fsQzzAMH8hFbKLiSJxOLNzY 9OmGb73KTatSfpgWBwNpvPZdVAmHFSVRhC3d0flhA+puXVW5ns5ZmDpBl9jicOdAxJO9dDlIW+0R GmUoYak0g+CgPt2GZxB7ByePxON/rSfyVdAqH/suqBHF4hSnhVC1h08Q2/hxBZ16G3V9Gbd3RKXw qQJKn1K55Gi33QAWmSq8aGcC80WmPaR1foYsq4fTVVK19436T6zGdcFRXEvs5J/nG8SiP5cqHg9C 8f7PrpNivxdSRhSKQzIbiiem63X+UbVLhk5tu0H37NmUq0ZcB5daRTJB7HRhFgmGanCwY+1yIMQB sRbKHieCok/QGI50LpTMx9cEs0RZZ6bIINJhz3AFpZMgJSmBNkxTWAff37VLLOc5b/dphIjwJMJT d05PX64xBCXC7CyMWXyaojp4FtCC8oUcWW8dyFjWBikTvzUo4/lE42LdEesJqQNAX66vwXl+4GsC bGprEumXVv/cFmDmIuzBTz//weNRV+VW5ZgEwgyt36NphHgUioBm3uDCpmr30f6hmozj+ctRWdWW iwLxJQYdpM40skY9WEnJBgITgqCMSn0rSNeiiNIJy/aQACUgfEsEm6dLTVaYSUkEbjno+jZZRI2N x6ODKX+ndWchDuhE2yRFUXhXPNCHKzrX4TJMMEv0OnhGQK+1FEXhwAOygrLyHb3c1E9ED1ovW0+A hlNNtYGIOYExBJnF+b204MLX/NYYx6xwQODEu2mVs5hZeh9nWeH5aDGlr6wOclcDrXybpjAKZ/bL C8dodPMe3wYsJI1uDt9yURSO+jk7cwLNl3yFhk4chMYf1W7RoZsd6wYutwtg7A1vqKupt5O9b5D7 SiG8kYnBwXoqq9E/FYHBxOMRZsfrTQxE8f2o7NkkhWDwUQS5XE7AGN2O7wVsm91xaNgqoxsRGBzi +9hoXbEAR++pnJt+wAmMzYLWqIQFzT3Ka3QeNA8UvOp9o6WEDbeaWOaNfvfMONZI6ea9I4zA/5FB KXRHvXXwEdXBCSWsq3kgcH5PZ6lMYLgt7d2o+molRQG45XPVyk2AjCEiwSSdVCtDRo293oQYnxS6 ATWogSY1Gh0+Sbgi8S1RuCQoIWDzZ0DZUyA55FUsgowTH+D5qD33fSyB2TtS93RuhPH3lrfKQ1vt 3ffbmSZfSpSQ1SxF8TfU0ykTo454enSJ98l34Wy0Lf5unIoThW/ZGCBkRhhoG45R5QjDb4ST4Isg 621TFwWDyQkIrwg6c+EDgupA/a42Ea6BIrzNUxR+y/+39W7FpoYla1vu5O9ebOqtmNzgCAvhkwaI Wyrz+1GZH5n7gRuuw1pz0WCsg9AM3ADqNfuxLtDpHdbB1RIXS2WhCyktHdSUG1FumH08H7UL1nco gFIIVQpNeyishFNZ5wSlw7MUaE0JOTDHyF6TW8VqcjNWGxR+tyqdU6z6I97qeXPAEa2NidCSxhmD KQKuuq8OHem9M4ShFBIKOnUaOOj4BrFDiPmTj35mBAZ3zwJDDpxDcbRbQplR9A3+L3Je3Mf/Y/qb KBFDIbVCkx5Stiop9SdemmEhXO3Lmscz+vENIDikUCgcmwGeThIcBFonURBttgfAu/TGv6kyIjf3 QdO0YN4jpcVePjMEg89/QoETpI6RPPqeUfSd6L+2xo2YuohhbIkPc98Gl2cY5HLGfEw1J4syOY5O eeqY6NUoHHMibxeOoThGBhqlgG3iYB0qNMy4EE4iJqRFbFAFBSJ+hkNZFY//RTN0Ls9C1mzTFEXf VJGA8KBPE6hhBrBoj9xHze7rMMMyuNoqtQtBwPGWdzNQIplWPWdlAcwJ/O6mCJAskh+H1qX3DSZJ vDCqWWjQJKEJITPNEyPg8QA5sNLXNQdULCQ+72KKwm/YwOQ22uVAQ2raw+9UnD8HkonNUiw+qIw3 S1Oeo8WVYGO2xK44ukUpbYbfsGMDQWUh/F5XrJkmWTMkY1r1RGqx1dRiKz5ryEK69IrHg0bmBcjx R4R2zFi3+TTjIrgkGreLx+00TMViAtVmRXAo2OiamxEMxRAWkHC5o03TWTCooic2xQHoVPjdUbqZ YB/CpseDJvpozij8rj0pSbmDYoE9aEGDrxsDj0e13QtKpTgaasUoq9ksRTgUFL6Q8BZPUhI1DriY stQIMEuMAjVLUQ3cEt6a0rqjJyn9rNEG/TLMEvFOiWCdcyZ1SB1u1sCFmCf9eUbxdyIvrEv0s8ux gYj5niQj008Cgcf/AlvyRivQQx33XAqpmEmM1asY22ouXohr8xbiilOeZihEqOO7MO2x0SZpQzC6 L+24c4YMSGhCv/Fs94H7GL2kf8TRZlT+LrTlhcSDDwLuZlQb2DFYm48Hk5Q8FJAvG3wJ1l1JEQhl FiFP0lX8dC1dEBqr61n2PQ32NcPyt9N5U76jN51OWrrRbacI0VlXZ2WRI47wuyASU2/Oqt9JLxzA mwXWyQLrZHZBWRUA1pzAy3PTVDwfYZq+E17Si9ZtYc6Qicne3NyuIddIPjJMU3epvbPhXFxnxnqE XEuji7fK8TIx0TiDLileCbxO0sIWjqUBmgksVO40raIXjpoEtCLYrKF0t3I7K/d8sDBNJ77E40Fc 2b4zXjSKuZg1SysKvoHCy0wNvCywk+VyE96NdiwllPA5SyuCoYwiF5BmpaY2L6t3AJQy5UUHi196 o5/LFAHTABIKCtCm8yG9gRWWvhPlGCr5PBySLaWapNTUasXjERPzn5rukkeiT1JY+jYTyOSl75VG cgzh2u5FAFttm6Qw+FZ/IBsUnKPtuJyQjMIYAoFABrMXS6mCTTiRmSB8ckATQNH4lgjbjGNpVmqi QDvkZ/jhPSlxcy6DWvB8BI9bX0sJeT60N32WQrlvOvr27qRe+M/ZUoJ8y0XtQgtMsxSBUIYRxJcA gm0R+NIYVJ4Nda6jTjnrjQylEGkJi+cGwPAq9YmWzhGPbwkK3wazlVftqK6NduKD5rLxfPwvCVB2 0OEl+iDAVxR5dyYotduJjZJuvjElK2msVSa6qCMMWCEPsyzdbGrxcvSlBBeZnaiDcv4Phbrre5On OhDeI53yMIDYuBXTMLnfMt1E1ShkHAijhuW6OgXP/3UqkXRREMin5KWTFcp+K93tqxpOZ7KThs4l 0l0r6Y6VplHCVsjDVJqbzaQoPyZFJ/WdKAUMprsJX9EXVRv5r4LCz5vt4nSm3jjA7VJaH//ej9k5 SZEQWODKKvi5kDOejwKmiyLEvwzk7pyPKnEUfEMKLdPU0YA6S+DURX/M6UdTgsWZJipWQmFvBAmc DQatmOjEgROLGhMrsp2+fFyAFc07qzHRn48KZ/iWSG4Aee4EFMoG0S4WnHwlJ9v5ksH5fWvfPJnO LI95uSkrxIAXajdTLkvnN1nX0tmTXPqkEMoyL5kVxt7VVHdzuqMzwgq8ZZrkm1dGeIxpmuJsIs0v ozucCcKeeuNomohASQos0zLnqllN/O7nBPcNj0eiX342sYJw1tJZAR4xrZCHWWQNVrYV4ppIqhTa 23QXp+qqqgKYplCOUNOToPDm4yXOnXsfnnP0pUUTk3CBlZgaI/nNKCE7/AQrGN/zq/MHuiYYzk4W UPm87uSfCQoxHn/fc+j5fZV1z5mz2hMyRfE3pEAyw7kf42HqyiOXbY+r0URwGJXAw/hbIPmUdr+j TRNcVhsUXShOPCpk/VHYBaoaXdMCJxZHy5eEgxTfExziub2hdMYJM2Up09rga0aS8t81JmJh177y Qyssf9OXaLrCLkNLKzKtvB9SAXpCmqdQj5CWveDr+OAXHYgpYDkBaQlbqSk72kWNMLT8USm4URMl nFcUf8MSdMLhsPigownaD1mFlFnxeBAPPCJN9ceE9gA81yztKAAfuJ9gauFs1dqTGxyXmRy6SwN6 Rk07lEKRr7gQ4zbe5iVU4ybFrM4phAoJoqZ89uLJdvFf+0o0yV98RwE4JGExz3P5oLU0ztGo5uVI C48HpbhLCBPuEGD56+Cw3wNwHOBsn2j9kM/Tb8o7ZGVoUiim97Uj7EmXrCfU0GzwKWL3CWouDVDI LGPxs4aRfJ4/lArt14U20Z5ghyDwygMpk7DKgZNU8jTkCYqseDzS3/+WHiqANZd7fO8QBE5Cby3b 4+8hCjQFmqpJXoPztlx/P4y/ReQ9Kf64o9cF6A87yHs+3wDKbmMbvGUVdeFD+3j1Dr1wVIhTiYmN lJ6t2EdzKwqVEZaKx/+iYLKqOwDfH3eWogA8sXqSq4kMIa3zssCUlTlPb6ooaZZC4IlY4WUL2czR Et6Tv50VVBky4djphMrDE/RMFp3tIDvg7SbecTuKwEFpBeEiVx90LBGbyXtrYy1FyJNW8teGQ5rU EbjZLMX4bxJ3Zr7K8oowpSxPFQscq63cDRfrEAr3PcwwbTyau2cx1Y3Tmn9Cgy8KOTywIRmVSKYH sQuwAr7lF0OQM6mCVMBkUDpmkFkhlQ2Mk43nI+W42yFgTgP8d7oqqTvUIeQV1wlqNHrKMrmYTXKS WV5cFNMOkSfd+GD1GX0xFfQp1xb+O59dR8fHAbb8pPEkMJYmNIBMGd8S+RMReSLS83bSM7jHSd66 teLwDv137o6TRuoJK9flXOwIeZII9ar9Qr3q2r6W5ryOs4VGMJykCHnCiAqpbn5Gb102+IjphoP7 xUbdDSnvCepQfgJBHOdSUyrX9MIR5YIqlALGcVBV99ycDO9QXOPjf4KYWEXIOBlvJrdj7AnZvOI3 Manr3aYJNpBiHJ6UF6Z8mqYQe6JwEhbYd7R6ZS0AoEBeCGazedHaDACdE3dT6jyj72nSn1wS+JoA fFLITJHoFwcrftdOgfyfltrA41GO8l2KQxVutGfHhcVvtlGaIM31yeqk37IuIYyXA8ACOwy+m0Em yzN6Tw7CJssseUEuaHRzmoioOjBCQJI49GRPve8v+kwnCKXjJQfVK08O3accL+rA45Gt3D9ggfPK IBf7JEWRN/XLTjz80FRLNQYmLOPTPZaGH0sR8GRKMf1c9PWOfiydMxOKAIViX2cF6Vha7GDg9N7V Y8qSmO3uWP9EIgN9+KD2bpnw+2VRYA48Hqyk5VccMVbw3YPun82S4or3diNrcZNkS+a7VC4hPH5K DnTzknPgSU6hBEpX1UROs/26Xq0FjRiol55ZGggyE2WHCoHiErlGwIacBotpbXvjwD6NE1Wo8Cif AjmCtBOUVQkPnWuUHxDhUPfXVGEH73WBTNIjeT94p4Lu7AQeGnoSfZLAOmRlAMgIo4NR4uR9ptQe SGk8o4OZJmjuEq5A6HECboigDGoPZYgUywXLCIYMwfFFEbBCd11uPnBRrV1BXsQ3rpn5/F9yAxRl OF+N2+5xeApNeagAmtJyO96nh7mLm/QCNTzcGTSFCHC5X6SsI4qjzdX5xc9GRhkLWh9YEgBUrIEA L7NsWTBhLk/M+gC+6FeVj5FNgAjHnKIBaPhwqUz4zKYoFqcB5UN4wt92zrdrgJGiaHx0OmDs4g3f td3leaU+hk3WqNcpJIUemKRQLAk6c3BqGKj5fXBZnaEX1J+p5MyoABdU3+lmdvIsSLE9jzSt0vDB GE8njUhiqIzB5yOQXP+KoeBNOGWwromKAvJEgx4YzhqWsElEBmfVolCysIQod9gOjCriwzzoh3C8 HC83DKlvYhRVIW4AdA1IT+hCZFQ4YCLjVacsI6MUwVFAipqArzQflLk0mAlI6BI+GCnEo1xivXoH VFSs+VlVYVG8Ebtbq2kRsbxsiMJCqzccV0ACTD/Yo7i8b9O0+hodRwDzsF7FECvk96A+lTbrLzjG KDwgQ6OS0rZ3jkoGdAtJTIarm5SDSTf7lITM0PORR68X6ZhgIbhYD3hH6/T9mEMVf6iLKUmCtfwS LFO9BOijkOnb9VFReC6z5yZYAUcvG3SYalNmdkM3vxddPSAF5Ex9cwo762gHcUBfFDWlKFYsHezs 0hn1JKO1yyztzDefj7oI+fu0ykB2Q9jmTlask1JcxpEozBOHkg7FXQiuf5Y8KO4A24W/OfbAY73d wfZgSQsujVntlnl2a8k0pUfke/7GjgL5LUTBkVNvHFFZs3j2U8NQIwH6w423ST8P8fnITuw6QOGU AEQY1Zk7U1GczkZJE6dYIJVd8s8VL/ayJoT13egwkkox0fkTLPU7Wgw6zzmPSJRV8pP8kh8DGX8c OcCvQbzOIYaygEqxaw/l0ooEVctyidCaKVqHILQNPh9swIfig73GxidwD3eqQpbmYlLcm8v082ZS nbwPF1ZfZ5skn6oQqULz640w0Qbbfwl+bgkVK5B+TxSCb8NZBczJkH1mubw6cn5pOv1+ZNAiu9Jr RYO2X5llE3FdB4PQ0LjnJsgkQ1WaJd+TKvTOhGEZVLuK1aTghnpNH3BOC9BTmmvz5sA8U7ECqSuW 1vQnrTmnOoqaW3aHiDpZKh9oaXUsJ5SXrikNHcVzYJ5pu68AoOWD6i1wv5uSnR2TzwcH1WMLSa4P 3PwAC/GpiuJ16YF0hQhWvptevhO+l2a1nCFNVYRYSaZ2ZWjD8aANzw8Bet1QMQHeBtAKZVcUkB6K 847LZJHbSo4NNKmbAttKH2yqJj0cyCysfD6S6P1WB4PT8mhXojfHFppEQoOz5BU8afRC0al00sio ZNyAJ+T1F3pomhQfJNXvaIsKvLEy0O0GIANu3Z2LChC9ToWyUvqdqdLslX9B95RESBCGLojlGjC8 ITLz/I98Piq8fOeAOOh6vXXzHNhoQmFD2EwXLEJ1ON8yHqFRPEHWuNsvDNUlMjMwvz7amsIHIGnu ZLbujONXikWZ+p4nZG6Pg89o9sq/bb9FBJUGIepOQCjXoHOqVj4fbb+7plgVBpCqPLZ+oZsmlebr TlYARkyVrrZTu2Lrhb5EmqqQuGms1i6Rp9avf20+YSFtfKiSf0I9UaM2xXQGcSHAEluZilB/fk+k n4Lwsy9p861luN3zo/fE+OoMm8//ZTtOGZ9N4aSbLAeGmqgGEJnxCKr1PIuX8yABo0XVRXbp+qio sKCZmu0Zb5hwPqwl8logW5lgGg/GHdqPHbcpfloHRPVprxxtP/LJ2WrQYNsI3TCe6eeD+HzUtvpG RuOR+iiu58BTEyk6Z8qNNFlFHw7OaNmBYx2xszLl0FRzGToj6fZLKd8E8OxjWCpmuh6dC25SFBNh 2hlghlSpPWJVPVWrQldNUVv2Iq2Mg1Kacfa/i/R1Ph8c6eUb7NPgUAJvL5+pUEVlEYeYx02V2zaN p3OvLMtoznHpDPwc2GpmR/7m0tcdbabOB8BUU5hWiNMTIA1M4kDUWbGm9rUir9VeOZIqIK18kvPK wSKqLWF9dMIqn484CR57qiF4PqZfT7YcOGsC/8IwKhlFmt0Hr76UlQz8CwHJ5TMVQ8kl2ju6DzZP Zz7SgKgXIBpQoKsQeYKUJEq1m9aj+ZGb6c3eODLSYuVztTuopFBPqC3u3Pnf+HzEKrtBOicFALJR nxM9rqhLLHt4Ua+iKKk1RbFs7b4sjkvSR0UVBRX1ptQv0nzUL06KfK6EKVHxc5znJTgLktpMrDDl 1y5nato7R3KrqhL3O3iYnsdWRSFtPv9XQsMkuXIPrCtLm0OLzdFFNS/TNiBlmTVZ4IhbrQpITsuS A49NUwKGSK8UIDl6rSrDhqORIFxqKlNmIwW8VXp67tGeTlazN/6tS7OIB8fgcLtcBoX0fnpZmc9H 4obfGQ3uwomv9IkKy+pNYTpuhP/x0JL0E+Le1NXBout2aj5RIbDclAuKwiWOF9mCWy+xUUN2byf1 tSDuOUdsMdlVqayx5ZdDm81MDn9SYJWuUfL5GwRb+mknLOHzfxGpWSolfabc7RfbbNIoOTPiQpGq CsauItU0BXsAeJOXiQOfzSvxkJZBE9dzpO+RZk+LZOqKxHZtbj8A7ZAIVihjlYvgrMneOaIJk85x jjgOxQxYkXWds0N8+7X5Af9/rlEQBni8twOnTSvogY6XDDo9SvdAwQzeUdCrSDtsUYUi49ucbdu4 oyPvzolywg7oKmyYtG0qGK0GNCeOiuZoV7v/tr1zhEuQ+xjB5pkGDj9sP3Rq4mP/Zb3oX6rHzBTn OmFdv9Fn7LbZ6RShmIpCo2l6nI7am6Nc6JfBOD2w2zRYEaLOnO/o7BcI11NMDo1S4BRJpQIV6oeN DRgh3Jo6pf1z7LdJNSOkTBwg4MeFAE962VVBGIYfEPUf2n9ChT6vWm0OHTcb5UO+63kUchTSZXrx ZS24EdpUxVAXBVNz9Tv6BZjxG1CT/SQzKY/MlKbuE2UPlNdzrjdQRzVLrxxVFNgsZelTgyoKIDOq ot5r4/N/lalY+YRMFk5qn6koUJcdmQQ/OFPzVj5XWa7KviplVDRToeK4br9e3I97ekH9BANgeIIg AzFtYLIoIgL2J/+XH6SZLgC1pr1xBOTAaT6luDKzR59n7he1KzBRm89HpZdvUUhwM0fZz6EeFdMp vgeo9jDAy86G7zxJ1bSCzDlA2ObWRP2hOl7baHd0LMc5rjPEAIl4KVkHFZrA81x8WIIof1qTJttM hZAXBejQ9OfQhV3ZcGTkgujnyOLzQZwwxteSoko0kHY+UyHinM2/XqwWjH+c3YpUqTwqyOgDKksO vDezg4QrZG999H5WPfF5ps000xiYIgBC1VmSO0sPBGILqKj4zK8JLr+lOIFsmCT+C8ECg0xCYqkz n49OKY8T5IgAVmX6uvyiOD0h7G48/G2mqENsxmQr+zHV1/aZCuN0/MXQK7+DhwmoJDHqgEUSOcRo vRNOt8BNOp+mCiShZsVeOFhRm2XdTCGfAuCGVlTvic5zZ0XVzuf/8v4xWggst+aN0UMHTjMuZ8il CnEv3nTY8uMmiXi4aWKOLTiVJLe++h0vKm8MSNlOQhehekwFmy2YNWq5+7L1hDvPoQcn5gClqXQH Hedo9aenlh6YcJpslWaqcfOdiZ9XeCWHNpzEc9RFgznRPUpuHiSk5G0HYKN8pqIgfYnvAfeUOzot JrWGdsKSWele53mUqIDb7hSRyvuLld7tlSMuGtsOS1WFVQx0NuG0znAKFyCfj5QP/1H5BSGijmdN hQD0QrgLix1mvGV1KdZ0fU1RHlBReujEKdvfjdPJBi+7oGxaAUs4cWcesHVF2aXTARf9wHP/tAtA 7+pkhVacU4CXOnVMIcZhXtI3w1tGUz3zAyIttpvP4KBaxHdfm8kc2nF2BeljeM8PgCBrjzZGJ5oq AHZtqkIeaDLr8urDrVClCTFFhuiwBQI2GRQiZEAZUkh59kcbSj33wI8TRHGG6EmZH0Co3UhECfcG pupkNvyACKDg5QRLqOFM8sxUGKNTw7bPdqXtqUEoie2He70gYGMzFULRk5P31h1trlalpe6k7uiJ PvqEvMgJZ4FPSmB8lQKhUEPsL3/nqPApfEITPgFpD+FU0Nyj0zBk2SY/INKIuqc6XhBZ80SV1icr itIJGEMnuRjwhZRky5N5Tgv4AqCtcr/QmJM3JPsu5Y63pJdONtMZUjWsMFT6T5A5kSZS4QQ6kpdP lLq9c3AD0kURZHEfjN8AuxjmKB21z8Cb87/1dFQAYL54pyoK0xkrtRNSOSe0jJKs9lmFkSVbZrmK Rg7cOaFlKrzLUhTK0Y7182tjBppKChDOoPIBcQGbDUN2kp0u0+2VfyOotWxBaHG1P3R3aS0OH5vJ D4j0Rr55/VB3hdXjnaqwoJ4pqrWs244y1c42VQyCXV/zOaxi1IuKnoY9Sxd7BkboOUzP70H0Zy8F Rr84rlBHgbvC+TXSvBpti97vObTonKKpUR5Ig3oP4B6YGMLqfD7YgbeixyWIcBZNFp+qGJ5OVNDq 3nvoEtbahL1Y+QpdmnSvwDBSt/S4iBnC0YMFUko2nTjqucMakWewHVoEf54/CUJxjqZSTT106ex0 r96QiLVBdWLo6LOm3s5ByOeDOlX5rlNl2GLtfCn+OfTpZBTUyPaVsWIpaxhKrzUSi6A7u1ubfljF uBeAPic1szToqIL0SMKFR+xnhRYjxO7nxsUPDT1UqJZ33ksWRi/w6QQan9igKTPhadu8TriHUS3z RCf7kwOjTgAiv7lYOKXZgrSJCp064TN7PnSNfBPlnDwCRZxo7WTNJCLQwKoTGh1ypS7isXM0asiJ cym9CCkb2O7uoUYF7LXOuyKhZ+edDBrCa/k9v6U1HTErh+qF8S4Sp2EUAq9O8LnW1/br1Gt+cC+h Vyf6GsiOr12QrO6JUeh5OdWor4s6C806CWMAEautOzpECIfvhsgvCnrYbwisTv53VtIgmisj+VMC OBRXBWadWdcftKNIyaqscSkD3I1mIkBnbH5AcP9dnHpXaI+q4hNYhYad9f9JlUe73LWZ3ep8E3HI VDlw7EzuJ1zrTHd0jt+J+1E4Jk2RbsKFW5Uys7ynk0uRFGLq+TURL4tzhNiZOK0hj5dCP9mk+68s fkDQ/Wtefhl+qp+F/CyrKFqvRClUCARYtE45PS6rlS9Hmxaktqxi305pSCVtQI5e0oN84gktyfNj mFtYekCtly456Kpa7w/Hht44amghVBjiiwwHAlb48YqcUjPQVKFv57/ybSQZ5qsAlAPjTnM8rwK6 qaHcVrXeg/zFKNuy0zLFxBw6d3qb1Dp/6avzN0ehrRnWVEEjC7ZT2I8n8FnwzWTF00WA1HoPrTtp RFW6KDUcVFY4h6AQC/RbzKF359PRYlAOdFB/6i+hdye7qXWPIVge/9HTGpN2J3ZnFo/UA/NOcI/Y c590CeRg85TOJJ3rvLCdvOnZhkIVQT5gSZ0/aEx3UzBr+By6d0LZBFCaxAEQZEJkz67YdStNOWuX HxCVif/lHhXUX270GRh4ZlebLsvFt+q6kq5rJZ+phhPF1lQUqBveLJmCIkfvJlewm6D8i5wGPyHO KXgLVzIPJjQyruCd/OFzYOKJe6iL7qdDPVVTO5holbFOnFFWCF08ybd4mA+QYdnt66AK9ROzwvPq kXqb7Srh04lWdJpEOg1XVQR9MdeJ5sZUcz5wqhN1lAZnINx/iEGWILJwucm9GkRPM7X9jSPJUmKJ M7efTGu5/WCgoYBog3gUOHliUX13/jJ/Nfx1mqnPS0g1/0+CWAc9J9LN/pLidGw//glG0lpumPcS UJ0PghinOg/pinJeevsJflD6ZOxZ4Yw9uFHPT7KAo88/NKs2kWC6ML9EU3zbRL4D9XNtsE5WqXvo jFp4+v+ZIr6iq98WYV5ahfpt6fxfzk8TzRCZWZ0iIvTz5vq3TGaQAIGjg+eBtl0wQ9bEymumO3oe cxYVlF05QxW42IUz7ByiJ2xcJLECbSbriaXz6XWKEolknJvkg6YIXTPJKJ8Y//MSQ/GPJcVAU4Sn BsotLdsMvQRQfIhuQMh//WASPEzoKeHMkL/QV5oz9BI+aQ3pWCJf10eDw7IQDH1gSpSdSQDgDF2+ s8M7mrywpbdIcxKM/hI88W1B5YHeD4sHHARbPIdLW8qNd/u8RE6aXnOoLoJ3oreOoqgm6CVs0hJS kXNfEYk0q7sKJ2hTmsdLaraEXoImfpC5eDfqYNnom+ys0ArlREE7pxhrJ2aC8/HiD1nvBKF/9nkJ mfgl2PUTKvTEawCaI4gFVZfVXDk5xOclYrL9sr+X0Dk9G2I7zdBLvKSHiKlOuV0xEkknIwavk6Yc BCCwSkCr3GAJDa/SlXZHZyAjseMdhHAggfQGpEaBygZEBiZSx+q7bG+9b3AQSViS+1ODVs5ZsywJ qaX+EirZ09mmyJQ6EcfXYXP0Eilpb872DcvHP7oFLEpmt6VHuBpNzII5uvQ0Uflye6h8J5qhcjLm 6ITgMy12FSauzAyOHzZK9eZnkrVpMEdLqFf8ZDaonpkTRHQ5Xat8XoIk2zP9axkh7phIyzRFLyGS TnjWyEU4MJ+g4QW62deFHNRu2OCXAElT1HWbUeLMRu8OT9ieDDKIUJxrlURbdOLQ8gCGej47Lel1 g51GRZuZKEd2UvaqiwkOCmWQCtB77Z+X4MhOsmFTRAEFVvrUG6a8bnRaV/HWXR0JBX+bozlEX5C1 S7LT+iUw0mltIiS59zveZdT7Ij8NHZc9e6bxq1wbaKUL+Y0bcJPA/hIY6adgkampldBcQK+e9GaI 93O+IH9ewiK95D+nEVK+cVJ9m6KX4iWniBatuPKvsPStnvwjG5G6YXxeSpfa6AJDpeXx9uV3nHAx EYDaOEVQcqVhSaOxYEH7GrQux9gt+rq8FC41RSxcdnhkcqgsFp3zoiw62Z87CtKk0ZWWp08RPTqh tovdqjl6KVpq7aIuDJFbP7FpLKc5GoqQOEeruJhNeGILhzgtv53f+e2Ew1QaNHWBYwIlEjvKTCdt h/oihCIcW0ezqZeSpX4KCrcmkuzOUMzdrZVK5VxDtr4ULO1SKz5HEkGAG9+ic4IogsEsgRPGnM3R BXVur8PBbcrvNfwLRmmMokctoTeRLbhRw2yS/GuMnXIRmz0tgjJKqw+8YIh/Ha0lhtijsb3JQaVd 1GHYGDlpNHiy0X5bd6LYWqHFRfcA6Y2np18nZ2ELbDXB08ixYhm4G4mRpeU77o2mp3mSvBYg3Xf0 eTqbDOEWhUk3XCg7mQqTylxIweuk4n2VaPLQG0dnd1GbbvuQdHSvxt/158QqfDw4u/f3ubTO1pnt BtpvJD3db5TUODHN9IOpPgdTuWHSKMnLulGovZvZcckhiKNjD1MDjFyK97tBXnMR/sQTWGW7csOk IfBvMEtWokQGa4MOb5hBdnnfbD4eBEqzfM0SIJWzFo+T3gh6lsRolq7OltXBSfoc3fW3+3Zluzd+ nl5fUeTZ7uuOlyCEwhi6/Nh0fUFFmUTis+KB3DxBc5r3hpNAxHvEraAVklQsUlZHrONlN6FuZ8IA ZQ0j7u1Jm0oqLCjdA/yNnGc7hYlt745kJbXXmOnrBksom3oxN5omySOeY2ze0fK2NgoA4sNkyisO dAXmwCavs1mhdOvNlKkS5a9HUxM/HY0aU5ZO0DtlRHn+ABTegnnKBhFT7pah2gFQls9TFHd3OQOI 5EncE0UpJCd55dzPH3aBF++Bt90GhK/OO3orZXTAVyflJM+0rUR9zlGgvUZaCHi9doQPOim9EfO0 ayidMVXJnbeSOwYUOURLL+XzxstT9JNuWEm+C23QUJ+1eXoPvh1yWJ6aW1FEwO74nFZKOtFg85p3 FH1TEY3zU+9o81TqyWhbZ0iA7uiEFgtAiUjIUPJF6ujuwVlKB1H43QiIruiY2KDlVLcsDvm7fN5Y eZYn5++rDoXsCcNWm6Yo/qYoXaM9t4MIqtMSmmCIBBGs4sspCsC7HLkqgwcbHUOAJgMVrVTxpqjo STAQMdNDMk8WcUXen10v/D5Ng4CUKivqM4hmXXldC5mZOh9/jwj6+i4pdWgljuemew/BcdORsJiX szw7eY7iLqasEiWS9unkjSgGh2whOrxVs8XRNx3IG6gl4XCC9XO3WLRS2APTWvpT6xYu8z0I9/5J ayybcBDWcGcIhv1IwubzRsjTH5z8cMqy7MYr4MaziYri8N6olCEPJe66lYovJylvYtet2pwOGwXi FjkR9+6jn+LnPyelazLBOesMgAET1hiw76Yn3u0JTL3wb/MEaRIfdDqBXCJAClEWUSSeb+2Eywm6 4HXfCmUUh2Olo7W0jbaI49wInucH2s1mqZDbxBJlGIdrGZWhs4mjtwNOSAPYSCLDE4hokkBh+Paz GHD1dN3wGL9/3oh4VskgtgknqA2GRYGvqDiLJX/eeHj2ltVmqav49bNr9yLcGw1Pc7vYYdpXOLkn 96KG06u74XVEKJql9ygcRQY1lrYka5KbiyFwKiCId5aYztoBVgcNJmC3YIsBoYzJxaSziaXKNxqe BYhIecEQpaoPdKgFxtxgs3KFQILljYanKyD74aQKSgVo/M5TVPAmvqQxfnSB6WQFb1Anms3TYibO eXoPw1EJFJ0TOAkfvYaSWc9lc/eEaiBss0AwpboPnUTQuZ0DVOyNg9CJZ3hK5OondggZV2a0GLjr QEf+vFHw7jw/oVOBLhpKEjZPYSAupYy2s5fjqBomj5fc1+3tNuvCvRHwLLZQmjLtypv3EC9nU53d hjgMqnbQqpE/buPxDemthrKLK2VQfeWNf2dVAuY4SWbL3sM62dCZJDbBodn1eaPf/af2XeRp2n/W LHeeokh8bXXeRr/I+nH7A9XiTtgDbEtY3th3dtlqHVmkydH2XYPwXNmkCcP7F3gm8n+Q6eNugyqW V3ZlPvVGvvvqw2Fd+qBdh6o5e7Kt1fV5o97pSt5+1w07naac6DlLcf0bjIXVb1WuDYuhTlK6h59O g6prnKWoAN5NnidJTpKj7TqQ9HsbPMMH9cs2oAKV6AYUINDzqc8hvvTGQeQkKR/V5ThomiBiX41+ sD5vvLunKKKrjsIjgMnVZ56iOFwc4Zaap7/pURzra95TvDXL695odzrFO0Ontk3QZ5db4a3UGDeH XP45ELqFcSemFqYYBWbc1+J86Y3fTyeQ218MJ0Dp0qQBEft5o93pD74hATYdkoDRn5AgjMNrEizV C5ikfzrxZ7iVwqhu8vJGutPPvGyatPk4WuCUYH+Ceorg9OegIjKzEyRKbnbZ46Z1ZeiFg1liqakk eSmgGDeEeq5nRclYaez9eePcWTH6+66jtikkAmyawlp4Zbi08j2bhgWVJ3Jik0JiY/PuuvdAPMkX BPAC9Qs42hkOoemRMTfo7na0UWhKDf7OQPlJooi66ggG/Lwx7mz5E2q5ZNtJrOgPsXENeA/+Y+Zb Botp9a+zCX0f8v5slqIoHAWMc6jSxsnqvOaRVxaNHq3Ou+4svUfhWUJAIK2rpsLRFlMZaKyD2EJc XN3mMAjroB8Bt6YrPVBxky8cLSaKYUhpurjSNGUpBPA8q3h83th2Ck/n/PnuzkFk7Fac3rh2WktM 6qrKl2w99XYVgVHYM4sXgOPVBw+jcP3l5K35aLN0EimI9YxNPZrV8bOo4nQOpVGJi5lXYsxfOMIK kDJdyB8r5fLHeqFABYq8u3zemHZPHeMeTNijvVHkgV8aAk7EMC/z6fMa5Av+BMXP7wV0hGYpRpxg p8FMat9Rs4SaA0TFcDBBtGz0qruwQ5a7LR78+PW7eD7Z3jiYJrbozjUwfVDUtCGHpnBg8vFgy/0T hKNsa7am/NIoCG8U1szyokTeUmY3husyF28W9Alcw5Z749gZdMkw4Hne8ZblxrmBTLIA8mzTqFNo /fVGQvBlI1JX7fPGsbOSO8ty0COyQYupjTGKEt9SPm8Mu6e89tTCYQAt0QLOUhSCUzylIV+wWToR rvYZ+ErFZP2goN0Ebn6j19mWU/0kCYPC0bdcToAJJoaWJ4erI0uCGqk+7LDpOGssjEm5kDd6nWUq PL6nPBUgkMcIoBJ4zrMQmhufN3ad1VHmV+Zbsd1S85jpjVxn6TYrh6NdAYzuAhirUYtMerZ0Qudi imrhSTETVHnuaNMEVaR9UmESC3ofZZuUJPShixz1Ho9cbJzPG7POauGbCiHM6wTD5PlNXyLWLs/n f954dRay3GoTk2QU5OpzNL2H4AjUFDORVidW+bwQlMEyCkUiwRXTNEWl8GSl3alCAUcLBs4uWwPc QhWbzn0BjfATkg3gZdCIpaKmd1a2XjjoP7HrS8UoG5Jql1MSVj/npOBbBovJBB3smgP1t+e7mKIA nFVEVsws7aU7kAF182wO1M3dr7m4EC5GeTUznPplhoONRd1MqD4VlWr2pkJAzkiHCwpzTtQkZvCN UPdVkyMn2gY1M9OAIeEPBYr6541OZ/nrbUAxtDxn48r5nk1RBE42QaUnkIHkWdoSEmWNq7oG4hsj 8Dc23XfpskLamCMFYCaZ9zWjWbQn5+mE6o0cFZhSqSYGuxSvDgjO/MaluxV/qq1pQN7EQwZ+4RSE IZPj88al+09syaYviMTj5nNvVDpddI2lcPYeFTXt2T1q6tWjphPRGGDnjUln+agoPEWROEdbTuf3 6icM72xnQnWWDh5rs/UED5gflg7ccgIJ5eeNSGdnuLxeBvUO6zk+WRQ400TCyw/Nd/l8cNWl77hJ Jk3dE7o3Gp3FTcTJrnZZ991tl0/+3rzaNOeNLqNKOFqL1AQRDpWjzVM/tzxwv4guz3XRYDiCahML LrCJwIK960n6BG80Oqs+6hAfyQfFTef4E8q8ns/4vLHo9JoXuKO2b/9BE9GRlmEQjiy11VSMGi0O j9iGYzA/obJQbSjyAmoZQ1JUFlgKHTlaeeCsoDMlIOeA7ntuuklZxMSDLAPRU9BeseU02B5/49Dp nMhsqVQCdxuLiiqjVNhbMbKu8/NGoftPnw4vR1MHmJX7PIWQFJKSz3k9jJWZdfmjdHr2xxQrcwxI VVQspzcGnW0HLScwzHz0Pt25Nk8oRYPqworypnWCbNERdpfE0EngeGpivTHoLCZgTleyovFskSKA TbJRgE74540/ZzFB/46c4FyShvef3thztpykhvwsp4rP0XJazZj253hpZjHxRp6zgF4ia2WUO3rP 4OS6C3+SeL4FRk4AggG7AOVZYPGR0XtS1/XGwSkuQGrdzQfhUvdIhQnSCZb7540795+qHEu8567s bd7V9B6Hu3EndOzVz6zgDhiLACe69TNxp+uueyPOPf1UCiD3O3q2sqGQSQPIc+qi7rdJshcVE/un tOSYwjxItXhjzuk4ZbqSV1k+KCaAGhLrIHBR+7wR5+a/iDkiM1jwaveyeyPOKZRgiaDfclPrtCNT 8ruvaNikdgdPp7AULpTz2CqocLQIs4JuCJohWgPnlkqFQpBzSXMdvLl6c18VUt5oc8p92e8tSfWU ZF9y8jtAyqVY29rnjTVnDQdv1DHpRI7OQ87nKSqGTzY011NKkR22Lrvq4B3gYqxI8Maas/WsxH+p KM7R5unMxTwp2CIoBezJJno518Q5tX+QfFsgDgsZfstvrItRmPxysO74Toz/wLoYnzfK3LzA7qeS UskBvVddCAdn/4mwdXPLpa6ZJql4/+nEDdXUMt8IcxZgKumlpLKNnvx2SiCjY7AAcYYHnMC+KETj zFrjqjVMLaaoEE5PJUCbpg9WlTsZF0t05GC+seXsZGtfs4TsklZMNktRGE4xDCgC3L5Kc+zlBsfE ttw5vCxueqPKfYfhO8vqdOfmWw42Lv3MeWJtB/SwJbGsWqeqBia+qiYdLuXPG1XOsjJOD/icNmgt DXxPU7lpft6Ycvp7/0nqxjkFRi+erLQwChej3v0nYfmb1OhFPZkah4VpEs1JOj8pAoWL8TwUDnD0 g4nchM5kBZoEszejsZw7tYNmgiqMA1SB1sPXBNFA4sEELr4NwlngGtU110vD40FwOb5hO3CQQpbg sxTG4FRTG3Q/kSulEASdncyLnC/QFNXxHdXBk3DOvY5ntFmCjl7qQ9JpdVbenxtGZhU1OXQyd7uN ce24EI3Chi/s6n3QjjsxBBEiP1hfeDzAD5TvmAmcIQQrTuSJQnDkJdC+XdfhdBbDzkNu9epYjG7d gv4eglswkiDVm+7o59KCSOmuxOyAcGZ9l1KZmddMcLZH4GSn9pB3Kc5cIlKuu/MJ4/rOwAClSDz+ J9iZWw7mgSf39mmKIvBBisFiWqFq03RTYSgTuSfCmE4K6xEcZagusMl9sdGn6WyycwMRA3b+U4lp wsEE/72G9DqDZecFAsp99KgQXgkKTzgrbOA89TUb+f8oFUw8HvHCLnyAOfJCecrRKD2MwBHow+Pc UTsjk1ig+sD0kOlEqgYo7FEhvE8rN5V1R8ddFvBToYoPUSKQxCh1RTJ2ZhWigDvq9QERw6IInOWm E1JuhlulA8XCEPxkW5uJ75it4PmAsTK9eklWOEXL0z3Ce0zDZPspW88JkqumAg2V8TpuDF4sVelR DD698VbrHb0ud/72DPkKic3VRuXec7lNFghgKFHqZYVvZr49isEp9AHX+aLBdAjR/JVYcD/XNh4P zvDkNx2Dhwotot48V+kRGZOMnHOuTndDmFIXWGhW1FSsLncS/KxcpcdwFAPypnnHW3DqbaxKMgb4 vAMoWCDEIfdYsKMHEaqKwXnV9agYvqSu09XyhX6n0BXnMijSyBknp8PzwTzN75RuwPN8PNMUhuAs X26yh6THsB3vbHR/1ptS776cYkLmUhF81zvaNEGNDbo3iQ0oWFQi8909oTwHof9cCZbT6VSzXjiI CJj4wsYXA4UsTY3h3A6UbTzX0MbzEfc5fR/jKHb1mpzb08NyOOtyk4cKPXNPYDpUSFl0EZK4/4l7 sgpOPYzCl/m16HziaBWCBS9FeNT9UK+pI6sGbofAMVquwxj94UBNvXFUcOqSeKSxFESkpchfKzua hAqewxEfEBzkFwdGyj1qnmPefKW/R+IAPbOWkrvmBP/INBdwstEp6U/SJH3uufGiSHwrmSvZDqh8 Dyi0eiE8OilaCDh0grDvWoNsMXx3QbTnPiTV3vi3Cw/K3j6wSNAh1lul7ZUnHv+L5MuX66hg1hs+ RdhwifzOR9yxkhpNE+Zzy5kiw9nBsGnlxosgKUaqq9v0muRqXwlJOUlIByWG53iDSCanabOCWRsx YOV2685FUvE9UfxEsYEuyd6enCw2U+6Mpc/Vx8cj9OX8Op8yhK64HGyewmCcbOiigHJR5HG5SWfd wzT4zuEDMiA3XlQQtw55KepHcXS22AKsFH/gmadzAnJzn/sOsXAuJPfIK0IiYOSx9giV0qmWDUU5 H9SuM4BnItAIj/+1nEhcgc/VeOLMEYXjdAI435C8TCDSoPp11fIXmJl60jKiivi0HnnTuuLoqd35 c0Y26y0URu3fTaiFDGmxDyeuEFyCrwkqmNI/kQSteK88jyH8tOQnkjMeD8Lxf1K7Bj3/By83omi8 ifDbDBF+wsxUhkdPjnaCDkq3YsqI6+FSSXMV6HXpYhBdh4N0JUWzNm5tgFQTfMpoJYQd6TJpeA5f EzE0qahTxPKBbrt2dzqZIuHSYH9lPB+hVMtXlAlM0pwXCTZibLh22kxO71EnE03NVZfzMSDsb9MU 1cNpRQlwats2Eqd9pun8vwr0IAuYiAVz2qacck4K2K4BtnBdD850D3xNAFKlk01dDDXrIjSXpgfn 0lR35fwHj0fdlfF1NgF03cY9mkYUihPs31ApsJoT6NyO5U3dtcQHqBnaclEobkeTUIIci81SgWN0 XovgS1RQEOZQwp6L/WzN1a8t4EIZCN8StVYYWpKNp0FIworyKHcRtAlHWAyv9WvHIbAd+RbmRhSH UwYH7TlP7Kr06AmfJ5BdcLkrNzuiONzkLDpwfj7auTROeEPpOHSgAJZDtfIcRRDvnBU9rT39XMpU nMbXBDuuqTBH/iGW6I9oS/D4kPH2yCXj+WAtNV9L/HdPPDjyhTiNKA4f0kVbzSWsIGBi07SlrkOt L8EIEj8pWEvTZHUUNUnAXQcTMLwTfQc26kA3rORnYtkN3LAAVtta2hQiGFEpfGappsq2dBq+E8FA yltFgjHxeHQs5a9jCbnviQXu6R2Cwgd7cy1vW0tlN2cdIq93KoaoPSe0HBEo3MADJy1pd/Q7LqOK L2pPhncmfIkhxQdRQG2h8kDCq943atIhotzCpwLsSmwgfZCYgSrzHWEAvr4r4YXuTM+GiyrhrUmF oAxrqqyWHZByruvkgJThQlYjir+nmk68LTVi42edSlCzB7jyTBLoFyljwy2UT85yPxPWrlcwrfHw LVG4xKrlJEp1EjcqrBwOBfLSRkkdz0c9un+4dPvcE+lqNYww/AZ2DP6knvd2YpQVLlF1UfsNNDIt pT/C77zF6uHoPIyTzCfodCCsxLLcNH+nMx2UG2G1tu+GY1Q5wuibIgRo+QFHslUDZDC58OGYp3M5 LHxAUB+4caWc3c50I1K1eYrCb5pVnHNp6jBC+bKvW26yoKBq92me4lq4pFEEEdR4ib6Fxl3kq4Bp D9gGBJtoa4N/seA93NtA53dYDK9siReJNsB8XY1eugUlrqedC56PegYXkMJL+Pyc4PXZRM2wHM6J KkQGMU+pfHtCCOa+E5XRpWU+N2MRQiF5s5pLU5eOKKwFmIipbtPJKNAQpk8EdAiQDM2vLh2ZvjPE oxQqpW6G3xx0gA8AEyVqUSoeD2bpdsbFEWs/SFV9kqLwWzqpsyGx/x9cKCdCPCW9nfc7TaIm9gKT uRlyM7Xb2lQwwNE7K4gG0IUibAdUq0JQISP2jP5NhkfWRfIuvXGU9JK7uuRn4GqHFeQ21qrPNPXy mSEqvPumk6L/ibnGQxyfUfxNyaVz2D8osJmuRn81tOrZdSeTN3mrkJtZrLir6hxHZz+BX7jRj4Oi ZTkpFMWbAFyFowgapXB+dtgO5RpmWA1HIJAh4eODaijphBTNCNH1M6MA/GnU8Vo8q3jVK5Q2owA8 d+kQPjlvMd2Gsju1mAUqRC9S0xTXwkXnoYKqjU7raeO8yprKeevsnKZNpZuBWwstiWviuvS+0SSR 9aSeb/aeb+3gfyoAP/sBj0d8le+eb4YY1CrJj/AZReC0XKBXr2apJxBELQIvV+96AiKhWQp1CTU7 1Zpw9SE/ZepyVPAIgFCFHxsDArDQ0MOH9Md0awxIMOqFgz1HV0Rim21QAeUcfElWtyd+wuNBO7P5 0UQ6GVzBQaCyWYoCcOROZy2V5GHTzt5XOcd3Nmca8JdMq2FGWBSGFkhjtfU4XuAlnGQnsU1A6ueq tQT1vVk7UBbjhk102ZxRAF6Z8TZVmdqtMoGuLfXl8zUDj//FhSbIGHS8VO8lF2FRBotx1A00LEq6 WJRSHpuVnHySoir4ksRlm9atm9PP7wx9ebQ3OUnlXH9VRhC4ZDpc61DodOQ8mdAzCsDhnXYCYaRA HJIC8AzwIwvY/fycePyvVI63foFO6gUTzpCTmaRrPZwwfk4KP7yXS83jVBq+30JxQoVKJ0ytd/T9 llHoTawxnfwLHld0LUBHbWCm1vwyGNt634j4pA6BROVWdQAvOMKirazNxyPw1z/0ggTDgnW3W4RE kfrAyPmKgPXmR3d+HOtGaVaIm1H8bWKpPZmtZnrsChqqMKkSwAsuSgc0EgIyULkE0KKMRwV8EDY/ o/i7sY9S0QfCgJuH1xIKD1IBPyFqxfPRWqpfARP48GD5+zSFlMxGSkGuLrqb23S6+N7uaTvR8rJp ioVReCqh0H1HhzWdnLckpJZ4pYq+pqq6rKqiQ4GU+M7TsjcOttyS7yi7BByEa1qJqBayDTsej7KU b/RAgzQL4KaaphUF35TTP/vBTA+B361WPZmmNY9zKTe/4laERTFxYhCQ7nhxTRkSFpnB94TsOv3s 0E2BVUVFKOiKH5IeWCEUhYyeKVEiDgLsYPFS/6idvwCPBz3MR2YHQaX8Ab3jtKLgm0j3k+cms3MA 1N3PpZq7t3pbWuaRtUIkig7tatq79Ut7d62TZ5XG5Q1qXqI62onnER/yv8ZFWxLOhW+JqidV/u1s +MKXyKyeTma1yE4/oVjB85FS2je990SlJ0VKVzU1Cr3ReEe9+/KeRnICBmDu/UZLfjCtCIhi8hUs cnJkH6UxpjznN5R12BCfEPETjI4yZQ00slXqEy2dFYevCUrfBH+d3635IFgT6mRLQMLFx/8UBZWq GuBnty6wQkpmZ4670uPj4DvuXDfd5NJXztngFSuEgssRi2JTPnq9cqxkqNQTCWS1Y2EJhXMJFUjk Ux4JECK3YkameKus301CfRgJAnhVpmnsFDz/17nE2BuxDtS3fZpCSXCaPZ09MR2tI7DzBtJTDsC0 VQfGXdMUUjKbgG/ja/SAqcPZYJqDSkdUX2VLV8kUgd4r+ReG1pl646gcR+sUscRodC99QnSq21CJ bWY8H7VSvtuXhO/OGzOtKPrGHiOdwPpy+MdmZaZe+jVQAclG8xTKosjpiXIVPhq8otcEzgtcthHR w4+cLvc4ljIIPkQuun8fFTzwPZH2QOOxREs6DkbAaH1wxqA1hseDI3zNr2mCVpSZ9/FLQyw41Qnb vO3LNdeV3RM9moJyrZvJ2grj76om+BQylaNHTecehTk5QQMDRljUvWYpDfE+jWauqHPWTReqorD2 nSptIRP95LmazhcosTv3UcPjkfjAPcOF1lk/K9+Ud0UhOEB5UB9gHElguPQ+KLxH8T/yecaovu2i EHwY3rQJMy8nCJsnaJrBf4fyhBs2EVQsFhCKQuiIch2DggQH3xOJETGurOT4VtRciGo6lzFa1D+U tqp4/H3XrRsRFMV0P6s9YVMIBx/Sr3hEQdcwkYaT87rIDiC8Jtm0wiA8ZRW/y7ijRQQQmT1xTSfo EogP0BD2mvAGzRlCIBDntT5vQc+Dbxwc4ywNnL+v+8BdNxBdLok0DL5mJDafv6aJmNi1r9rlCjEo NC9hb8KEUWorFoWX5dJWJnvOeQqjcLN/NMk0jhdzibApE1vRB/ADDaJNUGI+/yr6iHnXJ3aisPOK onDGTiXDwNIGnU50+1Q1ZVY8Honx/ksZR13wUqF3FIWjSoI1VK5S2vB+OO4lL8cVsMcZOu2oBG7G orVoOXH0mAAgpgrRLIArBjjLBFcAHbfAkAaP281qWQLfURR+ZkNn9/BBiwmKUKwznY9feDwox7Xv EjiyTKLTbZLeo3A8RaO+dE1CJBjMSRq1eD+cVmuSnP/DiifZEZXGU41L0PI7E8Gb7jwL5TcQfBNK 7LQDRJfr3nS0LtghHpzYk9Jr84HTVCBPw8AfCGE8Hinz/+PGc2a5l+0n+A7x4I1BZdk3DO+u/zW5 M6zSlJb5hOwQD66TGynhHW2aUBjeiOqZrOSV5L49oHA56ChQ8nUfp/kwviaqx2GWRmb6y0HgirN0 C8OmWvrG4xEh8xtESPbjuvHAjqJw0nthuvolpe4H+CQxngf4AL9PsxRqE5rS/NjP6AXwsx36GPTB OKcd9NFYRan0CsFJAUk17zrxmttRGL6NFEZ9lHbdac+JZ0ch+lp4PFK6/JZS5zIaV/Bjx1DwpFzF iyhtWRsc6uTF1L9W6y44v2M6ZjfoSbmjQ8ELeupFEg0o2eBe2Ghinqucfj013YIczmN8S4TT6QRV 4HgqCWmjhM3goV24Qs7a3Hg+Alfc05vdFBRB062A71CacAjptZozVSjvKgvRPZ32BHqJTVMIQdGJ NIbqlhyd3bvOPhpd5S/IQ6/OaYKH+aQzJWDBJjzQ7X2DkImCVjlLSi6buQ2IbJti0JBqWHg8uOPS /tpxoIWtlT1k2qE+eFGyO6/HU7FieCEL1yapwjxEkxTqEi55PDQx6TjellOjrtUWnGmALE6bVVmc btDFcS415XNNLxxxVimHMqhOyMGqu+dKkB/0OavweJDOjX80eDMIV+PuuFAUJTPX3a5EVI3/BG4Y GpiapbNf3Lxg/4FBGdWWUr1LCbLLEFQq4hm2DDcG6jmDu4a7PePHMi1Q6kDjayLOKk/vzmyFg2ap lMJQ76edIxGPB2nK/A6/S4NBz9X62GENPAnoVVzWqu3rPmM+a1hLENIXG3OHGBSrfddV7mgbbkIH ZQG6g5bTbgt+V0APnju0gwq9CGcSBGVPve+v+ru0sdKgquW5N50lXgcej4g83k3BQY9YeaHHZZMU xd6tWT1u2SSN/hgbUx2ZkwReiS2lCIAyFXOfZT/v6JABlL8pH4ZJgnZqF1iFs4TTe1ePKktixrtj PRR2dwd9MLIrZ8GrHoJ1LAzMgccjpflveR0YuxL/a9MkzM97LtfE3kme8hYiUDf1mrb+8RwZgzTx ro/69ZZLRScURy+h9LPU0Lik/Ry8Z+BaCHwMjFUhZH5CNoKSuJ7WtncOzNUKspSzQ/k3QjVbWf75 /EnEEVbo5AdEkNQ7WYRXFBYqrtx8CtUJka42YhrE5oHwkOFQEq9P1AfOv+XNXnzUr3TDbPooHB3+ VeD7g7ADVaF+grVCgAV6ppks/iItddENGYXjiyKSL3ffppg6B64ryKw0WYGeS4nP/5WuyPypQ7yp POZP75E4nuvk+XppDrUUV26i1ZAqvSBJmzZ/CsHg2lW82H20uQLHMzGXhmPvOJlbJlSO9y3LlwUg bZcsZpUAXxTJfnAPqpqS67k95dVHAv+QdhOMaFMUjv/HTgw16S96AVXk494/uIBeFt85G40Vpezl sEIgWW2yQpvM4jYO+Y62C1F5BkyVu/AEIPRmgL0xKk+ocEGIIt30TlYGKYrJO43X6MBug9Gf4Egr ufAx+HxEPPxO8DY+JqVnqqKoPCWTv06GLBQGQAfWMmMDIgvdMzuF1j1KWmBefccLLQTKHE4CtDM4 YcHmGQHx70zAfIEwllWfsiyOUoRMGUPrqi4flL5AXXcK5QR7jBRCU/I/2EJYUZ8XftrlOYUFcmha VYrPiC7W0IgUvLBABEYnFq0+7HgPK+SWEJtqYXtUCzMkaUC2Ig/qTAbEKGgLyRiVliuL6o5NXnXb 3vk3iGEra/lgCytDk4PxwtDzgY9v+a5CQbF8PXr9QkW+Hlj4u8D83XYTpkGQExeWBFM2BVPanaoo Rp8GdCp73tFrBxAPHZVK9IDnJQQ0G/asqFWDtSe5Zx3ujOb4RVHKxxrLXHdQjeUcZF2aeme6+XzE avWpogAPRH5XuayDnGLZFJNZN0BmazS511zRb4TqBPBj8U0YVsqz2UTKYpyj81pbQiuJc3X+tTll yNqBgBPbKwNVbOdVqc3eOeKTk3HfVLZr2ax8ej+3IsPIc9M2Ph8EDbN+XYRgtax13TRzCqN1Ss71 boA5Noa7Fe7SnhdGN1t2F8RIPKVWK0mldUeLRHutMINIRb28RomJvTuUZiaQZJA/drShnKFSbOZD Zb4x7qDKb+1pCmVQ2uDzwf77x0db1pbj6xIMy+XksqDHYzMFNo2Vy4ck+6ooP27wl0JfTRWC85Qx O0fvK5x1dFYZL8EGZCtSeLRhYGGFDujZBeWy7Kq/cuRUQxkeQQ7bhRyiIZOpRFsHA9HQ0Kd9qxjS nzNfqnQOnTWpaVb3NN+H88sQfsWZopeM4D0VeGVzjAyBK90YLQL6cnR21NkZaZBssxNUA2GkCbIG Vj1ltRHFu1cNfcdzYK15FgXVnTqK/Dao6nJmMJHsfI7byeejLLB/ZYHg0sz13H+ht6a52VJUQHWX Xb3uAjCuranRUvWZitArSbtuLvPUcsgECNLlHAdo5jEPPBFQkTQNPCd+GhV7x+W1yIUlx+aazABT Yd2cwyW4Ko1rJ/zm80HA/lgdqGcKMNZVgc6xvybB42OZkyZkBg1lVyio9yPFmS1+QtdHRV1iQVi3 poqjLap5VirFail8xZ+/sN5JlCYyyFL6narS7JWjdpWOKC6qPIrEMU/eeX5OSdKmOvh8BIj6TpqB eOiIUXymIj1DYJOQM7uEEdJn1+ZBD8hmCvInvqjCaF0a/svI5eshl59ltKAXjjrVYr/B8mpUHaD6 ecKG9lj7jGav/Nv2g2qkD4LXoX2hg2rlyuejQGF9BQqgMIxyG8U5tNlcso/s1WfqRAxe0Dv7xRXY KxNyzVRI46xW0TOuq0fRQNgBCNNFnD6nSFqADWwwpUBdxtyDxGTFqj66vXIE0KAoVs4CIuZ111Rb VY5/Y/P5oFe8Lt2V229DSak9Z3okL05oKHoJ3cvorenQAhi++aLqs9/tF0bqqi2M0fYdbapWaWml SswPJAHhKMo6OtS74PwDrPnFRvVprxxRXnkSZwYKQnRwqiqchOTTtgqfj6SOv5nBaF6dCGffmQrp nOzLUMvaMkDmm2aPaF6ASJaBfWOyHJptLkmHURPFR4sTAFfqAwfRCT7hfAW/iL3UXof/QqXtn9X2 VLEK3TZN5biwTpyL14nPDwX06Q9V+zqfj850j9MNmYew6jGWDjVVppp7pfpMoR1nM8VuEkQw1qyz +0xFPj99PdbbPnoN5kwbGg9s9PWRN8rabPchWkfyB/cPNyqv1V45Ei6wRuj0wSKqM/NDZPNU+Xyw psb3kQ7dhnOzPAdVGKUTy9VrcSDZEhiRINfm7NdJNymbqZjYqbVUldDk6gkN1hSgoABno3PZR2OD BvxLaNSCYwUbsQv96c3eOdD3X/QClrpoK/Y18KtMXUoWJwHj81FC861eAFXoMS5hMYemm7UTo8Hq rkM4HSSFQoJtvzKu6WbsujkMJSVNI44XTXaiDkj3UYLmRAloCrEIiqlC7AUtvodANe2dI64CBR7E eqmX9XJuvzyHWhBp8/kopfnGbGTyEUkwtckKvTeZ1gMC6TzYmlrzyaqAMbBadc6SaolyaL65BLmD qtcdnZLXQTXbgpSd3DyNhqAKRd6fDR7dHu3paDV741/068//IVUNpoFJLfsu29GV+XwwVVdhVL4s MO7K8y6r0H9zUvChy0ori2SdVanaFAqQUhYsM32mYpy5ZsrBCSldtFQBGLRIPhPQV65SzhSU18B/ 6dc3YrH3l0P/TWmsELpgg/YfGqRbZOk++XyQ0lzFWpkXE+yImNGnKpRZoWVi5qr7H5mx5Eqy+jIX wfmoVMGg23Zg4MEpOCphwXnd0RbVRBFpwGwKRb3UQNPDDoSFwLnTJ7WyysVz1mTvHBX1yKXKUvND K0O99nNkUI8T+OC1+QF/kRfkJ3V+L/TFfK5CqEtV2NntCoSiiHspj9wUN8AhYXq5OPDhvDrIxWJ2 jj5XA9VJoIAbcMdrs+SCa1GnxTmtBs2U7Q7c9s4RQkHlvNQ0VG3BCmwWT5pesl40kkL2uEpS5VDp uFjqHDpxUtDxJJfDE0AY03mwPpNjFCg2omA9sOK0sjOC9F3u+FQVAI8awuzgPO+MQLEDCWSDRcIt rFP0P8denJL7V8pccPdLABPoNYLnT+q7Jj8gYu37wZ4sXKATok9VVFYXzKwTYisA1ajXC0iHPTEv 1F/XVP0BeqlEQdrod+A4vzGJU8AGoQ2fshf1Buz2cq6X0rhytVeOygqMFmgAoEEFmPP3Jhm+n0uE z0eL6lKtZUSFcKE8UxVF663IzLx5BWaVfp1L25wOgs2ONcuBJSdSJHmUJd2EHL2scBKn0iBlDXvA RVcgVf4qxW1hGNavKNSa9soBqoO90rP6iw8qgOZeZ5PnX918PorWv48qVNRGTc8NGBXV+2ZVjzRc ol92Ha6MbA0IVPVScsnfHLhyXjHycwrlO9qi6vgtZh1ShRloXC+KahboMaAPOVivYqsm20yF+Jcm 1VEb6mR+Aj+KUZQs16T3jIDV31wrBMAdNR+fqShaF4uIJvVuxdW8VAUOiOXKi+4nzJUDY07r2AL6 Ykz+Oi8adiIur4nGGydpRqcZsQI0sBDSnK3YXQOxkC7CrwmuvyUKf18+qP4CRx2JI2d04QNnzn+a pV0s/oWA5clsQnfOJBr/mhcIQ0Sqjqpt8BhgrsrwuQrD9W3VdJViODp/r+MWpCjbBiKDcA6ghfr+ OWuXf5RqkYSe+SsHq2qJ1FBtqLaqBjYj779aO5+PilXfht0QHGfaYDMVOnRCSozek26/0WTxKi+A ej2Wkexr/8UWnXIHGiBC++ggvQ13S4hRAslYzv9wsf1ZZgDgNjjik0j0HHp0Zk4RfBd8sKq6hFS9 qh6YdH6Lk2/uP1CKroBdDl066RgI1bzlBBCy70zD3SOFCRMfn6koVl86pzsTDRsvBK0AsCqpe0gj Zva06EoEPwWkzF9k9W6vHKAVCBYalQgPDtqASC6bEDFz8/m/wLH6l4toqT5TIR5dtSqZ3JBH25ZT QB6qzIkBbgUmdOp0wagm5UiOnv/BhIu0FoSfE85oKL0MtpMppFVwUlujtFd/58g8QVbUZkyNFhW1 I/vuBGwlohT5AdFcefyJfYsKEKtmPlchCIam1FIS0P5L+Xp31+5eb+f+qj5XsU+Q2SlZFFAuSBYb eY1zN7AG0+GpSI1ywMrRe8AZMMcjGqUGfODYCdo6I0/CkEvBz9ydDNIG6Z0QEeEHROnyd70KSK+x n15p7Nk5uJjWdXfpa7v+NuNgER+hJ+lzFeuUqwhjdsLlsRM+K+BcySy24FRHkZ1yyZgtcovOL1PG 47MIjhu/6DejxVrZXq44EvmrwMMbNrA/VGyb/IAoWL+SbcReo/uTHiha4NwJtAKQL72b6RT+cTtk aK62HLaHS1Y5YGjd2UVdJ9jAR5+svU48lYjk77mfkGBkFYwn8ZiIrKpXjM0lIAfmnX4Hdqlr9Kuu cS4zavcgBkUdNHDv/G9tHbiZ/sDUc2jfyXYwgXRe3MvZ9e2axKTYnaMpotZVBIJB+4IJzdp3vBRI CCFALRalhQaNYzRsCgqtm+klu8pOoOn2yr/dgT1RjqTzypE1fIcLBXPAxGUVYtUv04j/MrzlsRJ8 qsLiOkm1SyEUI4fsW/CEje1i9pJLb+bQwtNokKUZu7bVmwPC+PzsGJaMz+/SN47dswFpkU4t6pNa XPG2RXv4HJp4zixzSiCsNGhVnYmnjuAJQ1fn81EYeuV/1Ik+VwR+NJ+rsLzeBVm/ZdAu2c0tyHq3 RoTJASZ9VBCwazkVVOJ99GW1qEImOW7AEBM7EAvOKVAmO38TMGqOrVJ5PTTyHFRyzWpycUiqwpxU VX5U56P5fBCFVs8CeeNlBMfoud3JCgvsg/rAQESqElrkuMiC/sIpTRXlLbU7HldhxE6/14U/xQZB qxYwrnBfJLSqtZKgNQuyJgUd0Oik74K1t7IQe4GXJyIGFqwSZ6wk+xpUIOtgqnNC+c3ng4BhXukt knErtLeeTmBo5wloLcS31s2Ye3Eb9ER6otrLqxqrJgd+ngg+ZN+VpKLIUcsKFS9QmgDlWAtJbhdW hkbrDO06G/Gk1Qya5OTAz1OIu4mq89QgYvu5dGEnIxQaIAuBoWf6QqEJ3AhcxzVdyqGhJ+Ezrdfq tb3NlIQz1Vt1ktaJtx0HEzp6GlBvmJQiR6/trZ0QtXUmMqAYDSKGYK8w1ORCBqgscCi0Chw9tdEn Nao4IJITew/SQkTqwhuEHxAZUdR/QnYoTD3YxtDUs5JeAxDr5bO15Alzzebzva0M0/VRUcKspCaX eUcntCHmKaiTApF7cg+4aW02uGikDOf2i0JL/sYRuIO9mjWkxIUiQlEaeB4Vw+HsEX5AJOZy1ZO6 Hess0ftURRG7Tqo6tiuZUyTagDC9e3ZDnztbVXFxXegqOG/66CybPYA/6svMvOg9wc4qsDrA/qOP aJ1AerHye6L2FsKEpfbWKoYLhIf1WCwnos/P5/9C7Kloin5GfsqggbcnbM8YeCbD6WVSj6wPYfpA VBqvbseYY3NPVw7+Gj0GharLAIgC2hKjET4GDdOzD8E0/WHt0wWC1IoP3T2nWG1j3cEqxpDqISMJ how5tPd8REzVyYKrEA4Ln6qQUDpl9F216/CPxZkjkzhDxqAF14Tuv8DfE6tKFauufoS8Cn8ELgY9 pALFSo+TvmAjcGaH8kukuZQx3W7BPORzaPEJV1jonzVSQoFKVkvvbCUSnlFYyY0fENSMr+23bOII cLyKJTmw+bSE5FwjzaPQRoU2CcC36Qo4DZ0IW1cxs1SRVVcnovTbiYCWaUKky6T5JMznb1pEYqNq U3C8t/YAjOUknwOnT4tC4am2NAj9CuwPKAM42DPqC6HVZ1nf9T14t//s9kTsodkn65YnLcgu98Zy m8/VuhE7gghbWBEcxsmAtsA4+iW4IbXcitSn+hjQTQDEiso/51AUbE8zRevBHLh9yuLw3HxSD+Sg LVjhidp1Gy4+H6yqfOWn6BYH1vpOF7fweQ2sskOsUsluKwBpFt+C1OMTeas4H+k1rMoAWEmdy4BW HG2e8oQiGyCHyAvgQLPoA9M70fUob6+rIky75teYKgPzIyAMz6vm6KozNXCz00G18PTLHGXYRWk1 VdHlTzaqijV/0s9rQIUZkhB8Z7OB6kp9Tc9oCNWgXdVK3YtVwQx1NbPqMBum8ehQnlMpZbI/wfA9 Kd6iIcw5ayrQz4vpp9tTLJ1Qr1MEPSKaVJWWfdAUJYR8rO6dU+rzGknlp0hVRWvDrz7TnaLXQCob ZKwR/KVFlGu+qq99+ZU3AG7kFL2GUVhEggnNKjQ/R4/Nwf2jxgfwHAOsa8npl0qQALB6N+KcxKi/ RlEZvShG5pOyiByEZjwzq54L0vDPawiFCTZnCpsiyHp0soA5Ra8BVPa8ONdLeTh/jJU8V57NdTpH nSZA+Ro+nQ8a1d3z9h090jyTcq5QuleiqDtOGCvH2IniJH7KemcIR/7nNXbKIPUzaVmkRw6wMAW7 AFewUEbj/Kr58xo68Y+dPkVMec4LJRpUYYZe4yY8NJXi1WvQTLIdo/ETbV4RDuq20VQ3WESjGHp6 jTtaKDByPUd4o+zNRIEeDJMNYMIizWJCeCz7RtsUeHsNmnAW0WhhIeCyQRWWepYtYbhss7+GTHi6 p+9VRKBnJniY1mHBHFHzB5BbD8MHEw1lLG17crdGdUvdYI6mrGLRvbujn0XnZDq3B/MVWAasRqsz c84DjAjRtLdDkzxQgzlaVapudPLiIOBUKUWkinqOjs9rpIQV0ZrPEaswkBUYw3faa5yEU55EEKwe K5jXXfwwWrk4DAFUELM3ieZIhJmedfNz9DkC+hV3AklY81y0xGucGymjA3Kewzv6VmNS9xoiZbeA WUlIBOr1qI6Cmg1r5Se96p/XCIlnWfU5olFO5v/fNkev8REObNq0rmsZD1UAt++C/4+DYLPHkq/R EQ9slgiqgYXrAxaGgOm5KBMzlA5lEeCYgf4Bqqw0JCPtK+wmuf01OsouLDEyg6QhEhBQnS3DNYff t/PnNTbCS1rSW1UvhsTaUC0Tc/RaycQc0eKsr/Ugf0qzvZZ7f/aacz9e65hZIC+iyNRML+M2088f AozBZnGOLarG4txg4lfQz64QNzXk3ep632CONkq9Ay5AGKj8Rmo29GG5jjL0S4M56tvPIwKKgQ3F AWhz9FrAxOpzLVw/s9G9tTma8kQn5GC47t1r+RJf34VKzHXe0TOSVU66tWkc0EE4xj7caCrAsBS3 QYEHjyPu6Er1Wr7EZmtseC6x/1czZugJ6RoVMAR5fS1e5kcJV9daLnyP5OfRO4cvG0+/gcbgtRO6 P6t2sowFgtpj8dpJFGObcUBred7RwQbI2oiEQ5J7diL0IiHxymoaPE1Kqw/aYIiYHS0l5muzkfM4 vUeBcuhkYPdz0gEQaKP9Nj2IZEUKUpVj+HZ7J/DxPuUdnE1B2QjspqHoUQG84fol8EXTZGI3Q4LB fVzB4JIyqGcA7cLYpFdiMja+dBjOu04a6FYJKw+9cbCaKL3ST7Dug/oGi6pD+MITbnze6Xv/xto/ kLs5nwJnCpumKNZOk1tu5P0cS47ySZItY313jwtIDM8lATK6BIM5ei8Kll7LlMzPpUm2GgBRLDwu OcbcMGkIEBzMUu8q67btg53dc4lGe/YDHw8CJVNOcukX1KgqjSg4TVG8rUiptwvx6c3poDuxyyGI z7p00CjgtorS+U3LHe/R1PespakNdWL3TS3zQso4ZggMhHvDSTriPeROlKiAXmxZPljehgtCBtbA t4Yh9/g+m+BpMqWdpMpfECuhUU7vID+/Bw3rZLKQb7Ng4n+1sm40S4NWtCmvfUejNyI33shOiK5b akBiAQGOMyj/jtaZdVX8hX87mWgkiwGEM1V1AaBlnWTkhOpbME0iiPnRlJFhQB/F5ymKu3k7IrUq DoOSMj7FJiVXxrOJ+G/WAN4D7+ya7zMrGJj5BgP8JIiWV7KrwFigSvdCtD/JFoHbt53g3P+fd8Ie dg37dEudleUN+TJgHKq0dZTyeefrZXlZWKWE0wQ/Iqm6cZrC0JtdOrTmL6agWT13wULUikkwArJp imJva7wNkwsej1wwBGjahBwroSrw/EEOB1FlWNduVmiSWwxnKSBEwXdjPFA3sT0ctJqQoEz/vs87 WY9psk/TsGTmHOHlBgRR9E2IZ1urtIsnaE5rbPKlYHUS+aCmKQq/jajQk1wqOTr6N7MxoJvuxOEd 6OaN4meGtBrBT/Ny+mfXC79P03CPM4kf0P4J/ZPz+yYGB+eL+XgQW872fTZBSHE8F917/A1ZKRoL SuBVat3VCVWbDnQm/1O8ORAF4Gaau23P7a89N4AKnItWQqh9ly4cZ4FAE2HHFMy5LaeuN36fpknM b98snvTtNdwJjogwFx3iP1EIvrOncpRoQc+5133Lk1EM3jlP8LSz1VRYQ9VqGtM23YTipK2mMAhX vHTu/XRHO8PLCWrOqUvARaaYjQAsGbXQIYzU+moLTL3xL/N0Ntm+gw6nE44tgkIr8RZRGP7sOl11 DRGEL6d3fl42ZdgGiYJb6C7uALPpbsxpqt2pVO/0PE6TyGa91zvacjr76hyWUsbv+ZxasO04m47G 3khNsvTcBI5mA/Odnsf1T5jTok8OB0WXGXVWca5L/ryz87JBuDkpjLEA/Qcez2YpCsKpf956Wq6q TG6eIaNJyxWKFe1+zdJ7EI5laTwz51I9kltA22/2P9uJC863wT92w4Uxo8eE2ZlcTDqbWKl85+bh pqM42YL2GwaAx5SsnBvTSpVQZnnn5vGqu/El9UYyQre7696ZeeybLJbf2rSJotOfwTJnSjZRC/e9 JioMw1XQrfNr9DAcCUQCTK4RYdGAD9go5OOWQ12ey9V5QVpP73F4cmZ6lcVgxUQxrMywrS5SpSnr 807Ly4/ZsLcGgOmTLBInKgrESY5u4N15Oa4Nb1uOsYv3eEcxH5h3Vl6+TpVdjvEaLyloQkShNlL4 zx5sxIOhnI4DfBHQ80hoUJflnZTHFUU35sRQk4OCAvyaTJGgEfB55+QxEm9fsRNIXwv3hk1TFImv WR8RRUaYJB2qP5CWZ7+1eiT+zshD+duKA1X5SqtPvlJPlA7nU/L3ob0vNCacBFDxOb8Oklir7Mqh 6p2Qx7wsKRJvPggODQ8sa8XV9Xmn4+UHZV/FXORcL4+c3tl4XExFSMxL3NjLawSN4heS2CIunbMU FcBNG//8vs9ouw7eJgMq5jiezgWVEL9ASaVQCDSz51OfU3zpjYPQKdFlOLE4x0HTBMmXJNmQuT7v XDzM8i2Biw0D5uS8i+k9Dkf7mz3vepPfLIEfWgj06hZVo9y77j0Oxyku+3MD64wHrENq/jneaHta y5m0RNGoWogW25DkwE6/RuhLb/x+OC1SEEqtdzDyxYLdsFbI/rzz8Hga31IKERgbtMnkad07D4+B SFJceUu8uxe/7dL2VsFAcq2z6T0QR4SsfGUJCs3RGpcTjtUDtcMzT7Dp7aTsT8DAFvnaxPZaWleG XjiYJipAVGEKK879IQR0O0cgS04nONmfdxZeNjuAJ3RCLyVtbzq9k/B4RU7GS2n62UQIMXddknle 1dlkxM53Dh7ePxnmK/U7+hEORdeBFhH6uwurV87VBeXDSrXEW0thLvl55+DZEXw+UW2n6W2nAuud KTTgCWI/7xS8/MhlVOlq9XPpdqDWbJqiQJzG0Y3uQ97AvKp2gJ1ZMQVNEZum90A8qyyD+SZjWKO3 wVH7ILGHEDmwoyQVyPS8Am8zXQGCUpx84WjT4QivIgrXSxQ+V9GiXCFwz+PzTr5DgDq/FxP4f6Pd ktM79w6LqVMcQ9xNmTKu5IHTyH42FdT91AgP43CJP2yLxzlaunIWzPnjJyFfBYq+U2UXcFIQ5iAa n1d7jAiZd+YdQ2JOD/R0bFBW13tL6kueO+DzTrzLJqZ6K06ASPR2y5fvvDuuYBbmpBsiLUm/6HaW 3RnDS5xgmqUYdYIT/CQlKsxx1CyxxHTCVhgvLbig29GdBn1hFmsK+PW7SD+56I1/naZFxBcHnuCZ uosyXpp8PNhzt+Ikq1NKfE3P6t5Zd9lFzTN9pgnhLSu56l/Lpm9wsgl4MHDPvZPu8hUy2ElEao5+ NJ14ewxYEUBK6xwn/5exL81xJkeS/a+zJArcl3f/gz2amTtDiQnPrweYYXVPhRRJcfHFlqFbEYW1 82uisJ0vPXG0oRcOsjpuNtSdfbDVhBJB051XPu+EOx7g5Su4rFAObzf3fefb8TyhKzOZSJwlJF0/ UhyrmWc5qvmTID8And/pdvkxiV3CVnC0PXcOvhOzAvsJw+HeR5GcPipPEBGYZkohTsakisg72469 d9Z5ZxNEh41Q/sEd1DTspHORls872e5XLUXOOmDrkULNeYqCcCs5qQZOXYxhxxQKc6z4Uu7vqm2+ U+0I78Aqyln4Co02TzAxKRNUTey6dHahMmUgEqiU8EMVUfPSRW358060u33xWsSfLtUNFwBa5yyh O/R5p9khalnrO6VDJeksQg+b3ll2uDdWEgnKzDvO4dQdN19TMa2/xaxS0xRVw5MYBux7+WgXXc1s mUw5oUN2hWHTxsQMuqRRbdN7K1svHLSg2KGrWIM26Gw6gU2mi8DJpfmW0WLyIFxA8LOOeI5rlqIg nOlCswocO8C9ejFcFXnhdZHn6QgPi+HmFz+lN9Zd/RnQyr5Rg5B3HrQ+CovhBYL/sEE7M4LinPM2 iRx859dlp20uup5psIrTmR5CbeFQ8Xln1zGc8D2HcwgWKQuYT5umKAZHoR5QFCsz4R9dEB9XU3co SivmxPjOrctXDLHbXd+Ju/mZrMthK6PSwRicqQvwOhXHIPjmVMnz8oBAze/MOpQfZU0lMmJjAC8v odabyUuvzNeM6gPf5UuAOyfskm2awhi80rKDATNXU6JpmEwWhPwiZRqQHq2msBoudduRTA+YFVGt JkhorlTlR3H+IW/aCiUShtkRKZvzJD8KVBE/76y6LA4vQN+Ug0r4cbSGKhTsuOvGzHw+uuoctUOy JjpZUkzmPEVBOEFbMHMvXsBc2ZBNMEB1WutqTmt9Z9Th/YtQBE3HM0ebJxLNulTYoOy/CkHyiz9S RRBex7OeJFjwTqnDL0sdRFh7+6By04m9pZB+YuX8eWfU4TVvRzOrHN5hROGn0zuhDt8qCW7KxRDV XOXaxfgfyYoMUCGIf+YJaMs4DDcNUlOCGs68OGfr6KvXyRIBgrRdWSIoFHSjKR3SPl9Pgw3ydz4d 4RKEWUzZD0+G1YRGneiMX9nPlvy80+myWYvoECdJ80RvP6tWj53e+XQXlrLohPofYc4UHfkvwwkG QTk5mgOc8p+KBfVOp7PLWjiLcsfbrBuYKLZ+K5otYPqAI8FODPo5dBd0jDy1st7pdHfj1VZskFZy hXB8Y/ZyEpf1eSfTeSnjQV3i6hjQoLF5CiPxKaOA0n1Bzes/MYFH0IKi8CpNFd65dGzKykshSSmZ o3cOkL7kvWWYUwHcAgYLCSuiSyi7kUvgiV3XG0dgMJ5MiYB5DgLwIiFUtLmx7sNQ/EYFOBcWovC2 PLF7J9Jl+RtCG3K53zccE41NkLfdfJV60Lru3nl0X/uu9Wf0c/zEFHAWoprDGqPLarCYkhgg5i05 rDAPUi7eeXRE4TKjk01cLU6yrBOiUt0OxM87je4XFpx60hPd52c1xeXwIj7mhe+sW5krebpCCOkb AoNH5XDVUoCMmne0EJMAzAS9lLb2CX7zIl5+QxOBAIMMpWlLf1VLeafQMf1VeaDewasEuWShnFr7 vDPo8qNMoHJ4VqTa7zRF9XA6SVcSjlRMkaqOxGypE2f+zMVwvO/8OT/mTgQ+1P+e4+oYVTpu9EnA BQ9x5LBnmtAunmjG7nQD8Y1U//NOnrvMi9lZeOKgfCVV6Apz9+3xeafOMXn+BSSo5IPeqy5EpVBv u6TsIUGWhN9UjWBYhHnWsymovPPmuOU4OXvVZ/SsLmUYJ9OWI41zUAO8S5GVTo5fBubhxuFaS1Ex HK1biKz15oPV5fKSUQvpmO+kOSLK89da2mhApOwB5jtljmBGGgzyElb1UtIprIUXq0PhHkwGcXpn zHGWmPvSgcxHm6XzH3c993XBjoM+a5fEbUdHkxw9qbKqTYc7+fPOmGNWJt2UeQftOEBdqhWc5ued MMd4IH2tJQgmkFxksxSG4QCCnTmorkc3imEJi8FFmfpCmEmVlBaiwnVsryY91tWuHmvZcNbauCIg nDk67HkNMHd+xkZv5HUxqrgz8DUBHWwTT9gIVb0WorAcpnQwdlxpeDyILsf8ji4rynKz3C0XRuG8 5YzCy3WVp/smJNHFeWT0YgJrLSqFJ8fJCXTK0c4lgCsGJQ1Q5D3TtRSxo+eJstyZs7Hb7Y5rz0VR +BJNfJMTxsH2HKALYuiUgccDFEH5Xk0gDg1IshubJwrCocAPHSw31K077TtNlWuMqhbDQar9PQg3 d+iz1wgGstFr4fjZq1ZTQjPEBN6bFEpqJkLbY3DSVHvIv4TGM7R9lw9aTfWkXRIUON+Bx/+FeCYw /Pz1HYeDzVIUgQ9CeSkb6xqQd5Yqjf645zjhmqUIkzJYtQTnO9/Rz++TpWWgLOjB0QlTEHgc3fJO tNNKt0RA7Y8e1cKrjIc3a+EcOE34Y3WAQ/EQj0eZ7/o+msAOSd2bvj0MwKtgKN2vOfLcrEKQlkN3 SBXnAd4jFqZdcyOJ3MPR8fNAV3TcFaDydqB5kdFlsCfOdKK0BFyPVwhEDosC8ElJhc17Tr6CLH+g nHE2N5fICT7xfMDEXJ7S0bCSmSHKjzZRIRWT5PDa8vYQnEVAAOY21TYtBAfzhplKj0LwqSp4M03t 9mhq541CL3IJlgh6ZssSak5o6VV0oFqplx2+mfr2KASvTOXoQ8TBq9qQkuRv01vveDw4xNM3iABe YiAa+TRFfEz04mDF1qYX5vI0S4kT02SrXw4gHZWp9DAEl9zA2DKsHLs8Xd8qLSeJo4xOIcMTgUND dENY9sRn82pe8arrUTFc3lxj0YJxkMuhC+7ccIn4pBP3TTz/r7tOSw+Y5+4JXY9DcKBCtng8gl1c DYsiLzg60tC3lasp5GQOC8FNGGU8wigNNmOkuKO0Rcwr1D4GtMx3lZLTvodTzXrhoDxQ5eaJNZU3 6hrd6AW9yUIUUGg8H+26/Gs10f/YM5UeVsOh5HKOHnZOaBXEwi/KKOCqJBP9b3h51pt6HITLbWP2 Z7TywIknz5mHyjOQO/3E5/TTpT4jtNXOgdvmxVrQAgbfE9WbcHqXzCsPBcwf6fTXBnSwcCbnt8YH BMf4L0oGKrdj3mylv8fh6KpTaUdCOsTLsYf0X+FEwY6UcgOVorjcdhEmJYlET+60j15vamfx7Elp 6A5r9E2cagJTHLwPNGDbY09CGdEeFcRhpYJTYN5BdRS4wySlvnni8Yjl6wVMxkkQiR9o2Ng8RfBw Ek7qlCIR2KxMuuXQvCu10+jauofvuwiUYtdd54HHkT8zQ8zJagqbUIMWgqg3nUSR5rCVqtC73Gbd +aCK74mCpypSffFBhRQohrAPXNvi49FyWl/HU0aQO3C+2DyFoXhraovLCwH0jGzyFasJX7AIDwdc mxsvKoivalxftaMuXQJc3/P3wGKxqiBO9CBuO6LpKw1coZNo9cvR7Y2D9FdKq3PfQYSxs+2aWuTn 3sDj0XoqX+dTRmQ89lUfGFEsPuliDftfb9e1WyU4N0vzdl1L1vsdUUF8ar8h/LmjpyznKpx028M5 3lC0k8AVSioDIt97D+euEIGAr4nKl1RXxRKwQefxCVMpDMRLB48HxPHxHWQ2iPz3iyQYUSxupGgI 2Ou2G9n85Cnv6I5ci0a2JNeH1XAldN1IBxxtNZ1cmCUHVnmBcUJTZG/E6D+bHkNjOOq5kNGPr4no 9aSuoOSAAd0RBuCs+3X5RmCawmr4+hWLg1Y1r0zDiNHhKBMUdVfI8NkurjpX92maYELZNEXFcLpP pUyBS40MZADfgZRnBd0AiV0+uZBJgkzoRcOQDTv8OiGcs2ngawKYKou8Pa2rsCqGz1m7ixHiiWE7 Hg+KKe0WeQn9hZEFSEM2TSFJcwzpXzpi7hwNFzGHLSH0zuzNVB1HFImb+tBqgvMiL7RpgkVrPZdA kWUnEFwCH9L0jmVNYLQ9JkAdCF/zpw5BkXJM8SrBxoqXERCECkdYC6/962hCZDty8ghzRIE47ycg 6P1kIgbZEPTLoeGL54UWUxSIm6IFwr473lo44JFcOejSzZlZ5J0ge01oep8V5CdTnmTVjagWntmA GknQcGJOeTutupKkL8/PkfF8RPDxaSKh88R3sJrzaYoCcTY3Wtv7UemdrmSVuNVN82tbmXdEgfga jreod/SLrvM6o/0P9x5yUDjlQkixn0sPOH5bS5tSBCNEhm8Fljv5YH2VRTNZSoBMPB4dTN8aO5NQ 4BuGjxAZTrxcHfOKo5CoK5Okh43BUiaTuhEhw82aemUpGnO0tXRuoAQxJaIvoek3pHyVB4VJplx9 LzDcXviP87smEGs5ZIJLKgz2Go9vpr4jjMFvsiJuL0H95U5TVAwHpg/VOCetwI3MQSmrmXrD2Qxr +JaLiuGe1GXJiduWyzyYSNkpg2yMk1A0cMNO/ourgj4SqI35LOHXxrf8FTLREpOUFcekJCaO3EUn g8HzUcz0jbXA8iYsxGYpDsFleH79ATt6zsbQnKYoB/Z4NmrPiENws0Ta+45OMzhLGx0IFlIGjLyU qmQ9Al4X1r3vuW5vHITgxGpOwBAg4iPGFSPKDcdHmhic7Y4PCGoEv0pz0N+Y0DeziYpjcLLqSlEM gAZCm15xUlUFFaeZXJZ+hOXwnsSma/mOTls5G6tA8o26TeX8hw7aymJZlHxNgD2v3YGO8JCi2ajY WGm7fC4WbB2GieczVOVCixnPB/P0SO7w310/YIvYPM2wHk7eSpFWo9LgUQxDsGrNXplDoZ853Qzr 4cKerq1OHUer9J41mmFjo9Pp5HZb+d+Jrc6+g93C/OrUkfE7Q0wK5NUh93AHneFQ7y4mcF3xeDRL 371xBFoDbUObpSgGJ6OugkPJqUESnM0/kS6z5x/JTirJMroZcjSFsBi9rDveM3xUqQhRuD/DFQKI OYZi1JOhGfdF8y698Z9cMfgD26B090T9dNs509QLHo8gBN9qBABfj3HbBjMUSsGR2bZjvohSdQ3Q nkwzBUUR1+GdITRcAiltqh7O0TYdGJlQ4l2qh09IZuF0gkYqhOgnkOyX8bso2jDDejj9MkDj98EA KWVMAt5OVFvxeDRN62uagPtf52j0aYpi8CxyzzZtK+S922Lwk8pfPkaTBm/iJ0VXnZV5DZiyb72p 4FBNI1HDKZ/J75PSFogOfgaEsHe5kGfsTH5LdDKhvFvUI+cgQh26EgrBz9d9ZggM3z5JQoFtaIDe GHxGMXgla2UM50V3GIJ4DN72xaMgJtEshfRMQ38t4QM4epX37BPkLkSBZXiXdqrKTbLHEcns6WYZ edJaa8bqhOz7lnQHQZ4HokvCmEuveDzoZ96iXJMsFhqaz9EUFsNRaIUH5yX3tOvBmbT9EDc1uT+c i25GeBTzqplFoLlZrlgqdF7OwbS2WKx5MOnbqMohVOxAWowbN9GAc0YxeKWQbBcnmoMKJ0hUGKid vT7weKRNNL/yOboppcvtmREehewB/CQXjyKYM0E7pTke5exIc32YUSl8ieo7hjhgHL1RVzPy3s7m eCmQP8rENoHuhyhpz3TR8+RDzygET0rn5hQlg7NB9XRwSUlqOjEsHo+AFt9lcFSYgbDzSQqpmUpU thlyA45S3J5mqWDQ+UNtP5ZCiUIhm0aVYgXHS+zJJxCqbNLVglWWzT4Dgqlkbn/Zjm29b4BsYoEJ +DgfrDsCghvna20+HkxSql8rCTXGge+1SYrQKPKxG9JFkRbYcJ4vWjt2KtHaV5MUBeBDkh9jp3VH m6QTtUKPUNsNQnloasJGMuPYBoteLTorgSe9cIB1TqovVVWbUMrjvQTwa+NaOiFqxfMRtOkbc3kS cJS+rthlSMxEzAIZ+UuHZrFR0Cb5mLPMhNtI0xQqpKgicJZIv6OFlefTsdGocoUuMm1EmKCcgwRG FuxA3XlaRW8cbTmyxMDPtkHYpnOhNwaZ6Njg8Siu/OYcAllEkwtN04qib7odtpSuinNV73f+yHTV zqXShtV2VxR9j2J1JmEuZ34wl6V3/NqFTrcnzIS0HEE7YO8PdhDAw5H0hyQIVlgBT+SrTCa/HJIt JnChfihBUPF4JEvUv8PKJQF2n6WwAi7OuFi+mKVV9oVc1qdPcO0dVohGMX3ZKrNNjg6cP/nJyU3o MHYOJhiOFenvNbkRgh/qwCasQXxLRFehBG+mtwPUsn90HJ0YvuobTwxVPisKvh80IetMZ3F8BQIr VATvIq3uC0YpfsexbubxUvc+wQrBKMvwcVZnqjIOYpgHatLq0t8Hvaeqm4JSOJn3cMJ44qUTo+Nr omocMZeZMF4OQja1c/C5QjMfj2Lv36LgAwi0facpJGZ2guPS8j5By8nDytm2q+/nvSxeWv8gZg6r M428r5jjBjweYkE0lwZHieLya9H/LDdmVB4KECW3wvr3+MVeBcaAoeA6N2k1/QGIzIZIlP6NHciU k0z3AF+xNHiXV5W6leCImRr/yXjdaqye+KtZ/WSFvEwTRWkCEXD0aapQA18UR0F/vWNaQKUjgLwS izLTg9iZeuOgIEehhtGmeUA10yk8GT8ORO66mfH8vxoqMvU70z5v0LSi8BtZLWLuaz2zZUeKOlPf 6fqpwD5W8xTLo1h1TalvyVcbFH4Uc8FxASALGG52ZCmLFmOZ/AAonrmnH08AfM8fuh9nMkfyQSlv gqDPVprC14y0+L9pvqC2j/lcdCEgnLDLc6X5Eb7V9V2sDHQvM43CZA7TFAbgqiC2aj5hdTxhE9hg 5PigJX6WS2KnIBHukDawg3SdEcQi66aL5VGwnPIgwimPYQJ8E0Bz5qz9fDkej7TAvqEDqNwvnME2 TSEzk1jnXk1N7hxOJKepvItGnRg9E6KDmqYQEa6bDvJGd/RpqsBLodcHymGjkj4AhWAK5EzH5Fmu AnZCUoXv+avOdF6TVznknYlsagAOVFO4qng8OMSvJlFRsPKz2mUcrhAS3oncnSYXA6GU4ca/qSwr oKDcb03M9e8qeL2jt8QrMKewghTxiXQJWI5WluM2RLCHI+cLpLr4xhEmXOW4tX1QCWVBZpMllDb4 mpHk/C3HiayyQH9a9xQPq+ASb8ruJX3iy7q929u3V5o6TUA4UbFQoarfBvjm6IRo3BJzsKky6Jfc uO0KC8EwRzkH/RM7UeB5hXLhQ9mcIGDVFOxqg/2T7AvyrHg8Wk/lOwyHBB/IqJqmHYXhlAuHxI7X Leu0QKDginKmbxnVQqcdMjO1jprp7bbdn/UEUYsOTiHMRgtOKiZ1+P0XlvK6npBmTb6jKLxRsRjY KB+0mjZ0a3jejLTweMTp+aVBUFlpulfdfg/DUccjEQOVV8vpwP5ziavSPMAkrIfK838LpKRs8CaO d9edyLvihMVVd6JCnD8bwQ6ru8h9F359u+poYbBDUDjrJy0RadFcRboWIPDIyoHgHx6PzvDvjgrc qyGF4dP0NyuzVi/InY3tqe857S67/vyRhp3fcRwuZasiCadR0t1zZwG1kzsXsVczLJHpgwVg6qAc Heylrsnv0AtHFTlSw2DNaIMQFjA6twXSNx7/X/RRsH+/NAp3SMpk5lsoKKg6yqxWR5nrEd+b6EJr lmIcSjcyZrmj18Aho7C3LLYh/wZ+HrY5ONGcf+Cive/Ei25HcfjmnuuwiLXBwibkR8x8N9ZShEN5 5J0FrwSjaFzu6g7D8MKqwL5q4WdXuNjORh5/jQwMIrdjUqa64lYj4WjT1BfdUBYLBBvWDzq9Epnt MIRCeOtFOaxrfM1f6UopjJ4gKyfjLzQ26AdIDsrG85Eo0TciHNczzL99mkKTnixNlLacI5bNTvv8 PWUZqun8aNWnKQSidEMzjXlHp/giMZ2ogMB7DtmLSlKJfpc0q0RX3BQIsArxNUHYJAWCSqUGK51w zyVOHRqaOMFDm57se04Cqie6XFfwckdIlCR5FAmoim+Yii2mpQ4wFxNtejlLERJliEN4Ut55Ry/w nj0GaLzqKCe8RKkbqe+J7Ad+SkkSraaUrumF/xK4WgCo2+AV3mQ+Bnnx8f9Ftbhl0K6md+d2GINn pnE0txNDjJkCZun8KW74dNbpdoOVEIgitTTOgI9WkxtoQ5HTiEyIwj4y04R7QadgGzJL0wUl4BPf EwSX1CueaM/YoGmCjCnjD0T/ePyfWuFchA1ePcMr4TushE8e22VeK9/iyk1wavY9R0cl8jL3P9Dg ZF/76KupoJKSiCSEDHqtNk+g/XbEQas8SJQ99cIRkpAZ3aTgJQfVLs/p2RQNnCAfj/+L0sOi+flJ Foq1NkthBD4kWjwve3Vd75CS7p7byMq1miIcypSn0UqaLY7OXq19TKAj2Xk6y978DjPqTXBHO7dd dr/xxLx3h9oolOJF+9gH3XOtT8X87cT6eDyKmX5BweXXciU/BP15/dqls4kEema+jfO06XY8BJ8/ By5IasSh5BTG4OZ1YVy68nDp0vkzUEplUxyCs6gkUwa7UbQY0rlYxIgbsZ7WtncOCKxFrRWKWrDD IsYuGqZjSPYjT35AhAH7RYiGRiIOTp+rUKmwEbOTzQgTULnUDI0CTJKqBCdkdn1nAgaDqEAOKwZH qQ8cBf48Z95lSgepPLZ9zvuRiQ+gxU+Rsrp4h4zEybj6A2hRrGfH+v2P7CIK89ATNM7M54Oc5Vd1 jhbCdHPwuXqPxhFn0uJw3nLvCdgMVnjiwmv80EnAoVR/CnHhUiWqaJv46HOV0JxrW+SedZJeeLOD 04xaAaCfBWrprl/MWgG+KMJbdE5SY4yANgLhA9BnqzrdzycufkAAVC31VxY8vvWJWO5+fwxbClhk h/PaP6I0nuu84MLb21QL9f0NVMw0l4zyuGScs3wBSqo9mGBZtiq1nnFmwSsYPKZ0Mzz5GqTQw4fa REkk8uQkcqhFowKlit3g8xED8fu8Ag50pqtizI5TgPVIki10p4wmIRkcVzvN5fXMUZJvwdDGRwDM Zlgejs4ZO/N0EnPGUh3OtFiCqKxsJsOg8wCHZiWoLLejFOFThkorXTuxdy+toLJcpYsNr4wUAlSK dxJYscqFherWngMrLJJXCs5R9eY/WbXvZCDDmk2+qE56otjhHlbJTXqnK6bi6GnMmS/YQ1H6asNU GfxfOPrijIObLxUJ5HpUUtr2zn9S7OTTzkELq8/dlwB0Q8//L1Zj3IvILXyqwkI5mMaVhGPdgzkN 545tkuc5VbK60lRFQfoc5lAjFEZPDwrjbA1YXC4a/JHoyqnKENwEPoPSz8PPdmI/+EVBYMU9WKu0 HquXowq8uSQje6abz/+LVE4XFhBtV/m6B0P9lCX+2BAUE841yCcsZqBOWKFA39i+CUPiphlFFoM4 lwukgyMyQNakaUykv8ClwzAy0zwP6TQoLHZeERjCL/pDUu3McO8arK0AczlilSF93fj8v7SdqLQI 17M1nqmKg3U2FnAcuYtt9tpdpsCHanfJw9CcIhWVapTyLBQBR8tqzg21oCDJesuE5RExhxRTgdwu vBsv5lA2USkWFOdqyukOQtO1E03KS7y0weeD/fdLGpNo+zT2swH/DthhU2czVXp3v4NRmltHZkoa aKbCmrk1FZq5j7cHUAcMTCvKa/q5yHOR+zi8IycNJAvzGvHtSAbG90QCx7SOTmwRc9D+gwPIMqjm 5PNRnvwLOU6y+qUi5NBkU2sK4vqaKWj0+kz14iab54q8JpuByya4cJbTKLTi6GsK9YQxt3CsZxWZ DvIJtwY6uhtFvHada+hCngObTVBoSAjeRB9yUN1lz2ro8XPc8vmo+/nd1gOnZq71TFUUsbcibbVb xuvS6ZE14nLT1gHilU1VBGFJAvjsoUYMR8NDzTPZhe1foA5wAlrrHbluo3bvuPQWObLk2GmTXFcq RNqgokKyzAyMw8rnIwXfb+IdGjmjXahPDrw2TfgXugLT8+XWdTqVXeVnR6Fj1lZ4/wVmm4lO2Cy7 KA+cD4YcfIqJ2nOVcLbyA1Y8T5QLu1Lsv36nqjR752iqyAdO0mFPWTKZuIOIJ8KZXgef/1evWM+d bLmOZ6qiEvqS/GNZXlpoJScv54mkz+uPpuxaVFG4Lv1e6PO0O2qqqKOShlFeoYeSq3WWQfBmJb09 Nj+j2StHtx/urIRrzwaB7EDq46GO3c7no+33y5slI2nu487Un9KGYMW5NlZa1WfKzq/Ck2oln6mQ z2ms1yzXEY62qM6VBgwQo/V83i2b8WRCnRg6vyeoapf22ke3d458R2hSLjgLRDpscUywHFlbONcZ n49axjdhlr8bNJW+LIEjpXEAo8EwK7es51dhAdzbBLIWNBp8A4ax+jSGsPzIONpcoeOsAB0VK7RW pUCaQBccQGlkcLYdItWnvfNfjPNarNjkimsLvsA8k0HN5vNBC+s2Z3LzJlZ9SqA5cN90S7K2srPM Wr+wlj2LVxemItCkj/qzjVWttM7RaWYJ3WJUWJAxQ8GNmr5kUmzUMCqNAK28p6JV6L8pxXHoh/mg vAY/iKRDGjdAFKwTyXOZwmCYndjqBqCBASfqMFIxSHemVnecVMoGmQJx0aXZc+DAmf+fi6kZtZqj xepgm+MazKRUTyi6oS1akYxx+8PI7/qWV3/lYFWpL9pFOOumoFTB1d/q+J1ogs9H6iHfME5QfMiq 95kKQ/VFVdG+XZ19l+oAxZatq1xnlntb0kf9qQJVs2ynOTqLCnnsCaYSZVbOGgP23uVD8qY83RcI qDd750jtn81RySB3v2jr+b27bBjOqxc+H9n/eLCgfxn+Q1/neogyl6K27jpiOdW1WTwnu5vf1tmM Wp3/MOJsgpOVecdLfoEOBqoroMNCMAkdrYVu+w917VEIffIaO6ui+jrekHLm2wcL1snX0qrafD7I a8pzVuGoquznrsv0zKEdJ51rJXOkLVjJjNZsicWAE7diCWi2Qj/OpSZyR5XbR1tYkOhBzk3d/302 Hmx/4F51rr4Nv2hEV7ev1eyNo8IC5goy+BpMIuNs8FJZxTwLOPP5qAbzjX0tk0zkuwNDR04Ebbj3 6K1FGnFNxomFWqQLZ82+vL4eWnKaSVmf6Rk9BYSQxKgUpsESPrkLGqVnx0BgLA/TZZUMGxuAOXTk FGrqbLXqg/YfoLrycjupE58PqqD1l3cLJEnaRSvm0JETEPncrH6Aashk8YcFmLlvXW8Vd+TMgSXn uR+SwTpzuaNffwCN5TSxolBrp+w2qqB4XepCQm/kwjprsnf+q643lQiiw/XDPhqvHvqJnvh/bX5A FK1/2ygCqU64us9VDHihAy4dQymBOGj4JRmIbYBP8IqXV4wDV87btGmmvMLRj3XojK1OS7cTcvYy 6PO6kpQF4Zk4SLW2G3DbO0eMRi4reKuipcXWJPsQpckfrZesF40KCzexYTPrHKM/aE7eyQpdgSr1 Ryv5VPzH8vTg1/auaUdaooA98OYEfV+ADmsur6e5XEEpWdlwLwXpiGWBaAqMitMvz3TL67QAyLE7 Z16aLHoADBzIXAsbDo6SEGpr8gOiVoR3A5fFC31el5IcGnRSOKP1uS6SauXpHeZRqneY0U6yuYrB L3K7qWPf0QFnacJFlqqRFTrR2Ed7T7QRhoncX3YjZdD5PVG8LvInQZ7dQZ5npkB2k4dSbXw+Wlff MSiS13OQXtfu0KMTET3EIte2mVrkmcjKNF0AY6POi2YqVETsZso1n9FrC+duOjMlolzFzuuK7JEa NAgPow7iGlFr2isH0A4aA0FM1gdVQbMTQQEW5/NRtP5dr4IAGdxi70xFlfVhrIY5HQPj7knAl4xh pb1z8nu9KrDpxF9gNp0KFca6oUKBqARM3zJBMGAVT3QhBsTqFmPXwZoV+zXZZioEwXRJ/VUNqKxy TZ14qVPV81zies8oX97fcRXkYRHs3amKwnWuhLOy6i1YKapqtE0gnKHI/cYLVoFV50VVzWKGAOUq aBQY6+WcivBCKIQu+iagqDThF767ayICGGpvHNyAi46vaPDYYEWYVLf8RzJa8YFXp4kOPIBPkKqB zbkzFUXrpCKAaXehMCrt0cOMTpJYVJtSejZTYbQuLtE5g/YdvWc6oROwBdvHVzRxirDxwPXG52XW I4k/K/bKQQDKm691MfvhFqhFhT5QlyFC7Xz+X50tuZqeoC5d7ZocGnZSBeu8+bi2pqu1H7eEf0xy UZXV9osdO5dV1HW1cXR07CKIYdJkAs2JKmRRmfqvcJRfLp8Q6Tm07FQJZum8Wl68QLl40YfVCuuB Z6eh7p5yFeRp53rCqtC1k7iQCgy0NSFOfJ69tCejAJxUpTryMwe2nYDzCEC8NVVjP1MFVYMJayXe fug8UTN5041yE5q+v2jr3V45gCwwWJ+T1SoOqlYl+B+zCLrn5vMBumN/Q2GgJzrrE1PFvp3FIAvT cOl97nUXVb7M9XqD9cC482HNpF3u6EiYev7+VqsFoHkCVAL0Jy7BTFslCChZt7SrsxVadwLXhHuC M0boMQtMdaRzqrO1dTZ45gdEQNmHJEokY5bhKiRBfMJCpmgr6r9f7HVxltHua/iE9TqmT1gYsWsX lu0W6OPCYc5HpZN+04xjQBAXVThoSC2qH2NbzPFoSKkVH5h4njOWPKMbhJ4zqqv9Us51wqYapKz5 AcE+TN8MbbTuBopdPlexjSdXlGouUv7JrgRIB2DzgIP2o81VCFTf4okWhU0cPbvZwIZqcZ0wF/uk E7ZgTKPzbWXcpJkRMb8oqoTyVBeiv9EkizArRIiV/eFxZo0fEFFrv8lGrOgASONzFQXsaDhklHu6 o2HkEkvYAjViBd8bNxMMzTwNO3QmIt/R5mpCGp8eamddnd2C2WPRGISKjPuanjxOOErd3jnaiMya syDGznSuMG0o0rbpqIUGfp5Ijn6Ta+nY+JxZUcRO4fNWoU5p+ggjuW5Lp/SKtBN5r2tZRWiYptZy h0CrjzcOnTB4zgQOkeCHEgx1cCFlDoTlcBGgwt+V3xM1A5nbUMTzDKhRd6uF1sLj/ZyIkx8Q6ZL8 kr8lY3w+qyossOMXhRHScKBjTdPLxoNxKLU4IQptUxW7CakGWqzOUMbFY5+cKWFdERSa50SXA6cV weDw/DxX/rxSbjQN4RcFO5CUowR3GxsMYzWaZD7OZdL5fLAB57XwYj0egS2zQpuruMQuJKjZeRK6 vn7MEY4BLJsR4/p25NDacyb3gh93vHTkAQtxRqK9wTpiSMm8sm4Mxj9grA6yUok99Pbs1AkGBt6H pFrMCRQI0ThTtvh8EIqW78MqI8gmB9vnKoraGy6ExlKRiqHQmzfsXieig+sqCZDG0yqO2kUr8r7o SBa1DyTC5YR7oBoBLrQYwOO/owwBYUMTLhLqcWVh9wJ/z8QICSXieQfOFaRw+5LOKyOsqMY+bt1K Bflybs1Lr8mhwafws2k8WfNOzWPR6Q154DTMWygHDp+IPaijbrgEjYbygCx0oekwFA9XcXOhTh0F mAx2NuRJsBl0zcmBw6ca6mhucVWdYViXGdZqJIgJuhBYfH4beLCKCiZH/+pFhBafrIdDvNSz5nOf e9gOqTEHxLTsRavQ43PplFpVHh4cHTs04VwulmQ+d3qVqdC5KCdOVd6ESASVDA7FVoHJp6yMgMcm 2qPw+MwqW6EpT+wepKhzaPPJUuRX4A7FqX1P9tDms5K2NeVT9VVhYIGPfnhYVpCg9QpD4POJ2Mo1 p57RmTbQ8hijSiWowz9Y1l7ocsBeGXbuF5GWir3yHzgPINh5WMGN0XCg5/cgtAe6Q4sf8C+HimUH +zksnh0YheyVXea6u8tOoW5iy2o/hkytlO7LKgzZVeHbZh27H+vYirMaXTJCYtCxad5nBWqHWevt cWVgxPTKf/W4NptTGpQ4g8Ujf8YMnFVo9pkvh4R9Hvo71QvzCNw+zwEDGNn5I0x4El3mUawdUUW9 Gazw3dZpbPcpmludokxy9NYN9mBHcfRs8AFiIARyd0UlZUF3jwVQlwuq/sp/xesrk+22cvKqcUeo ez0ac2j4+bhUqEKMy288FJLQ8ZNmP7AzcgFvJJ+W20wV2KmLly7WP7D8vKoctegm5Gh5INKb1QEw RbRwzneQWSE3weoCOBISL3FEzLZ3DlhckyIvUEPFcO7wJSMdmGSyL3FulMYPiArH3hFkP3kQ6fg1 WbHpUBdL+XozteWWaMmdPuA978r5ObD+zH5YtWptrvq0ueBn0qnWdP4XnkONgjgz05QYMg0NYCPf glTIy4H5Jwoq47vKcKJCVkFrkT4dmnwoMoTun2V+F9kz1LGAGLhzFQouqswnRxhFpM39TzYLbgrZ NzlvXFgRJqbK+GSZdud6tDvBWDh5CEgt1KKCPXwTgBZ8yNwdwKepohlhDhxAUcPFwd53Lz5oD1Y0 h6bdhnw+WFblsiLID0SjYcO1QHP1eQ2tioXZLdXpSI9UXVl4z7kdaEWjTC6r18CqAGYl1LpVkDl6 kQ/N0wROKQhvKPLReYgQsglrSPpcm6wwDZxfo6oC5A8bW5OAbA6apfNX1Cm1l4WnX+aowI5KYUKj MgfiT3i0IrKaDFCiKeLO63IUQEuwjcfmmk5GdLFa0MfRzgumyM2ZqgQqOToU5sSxZ8XQ2BJqlBn6 BOcGBHZ6AtEP00i3rFg6o17nCP0a5cmssHPQHGV41jL3O1/2eQ2lysOucW9VlF56tRl6DaTOQxLP JyxTiwi7wfZbmQ4XOqcFzEgwQ69hFBaRjnF2X3z06HwxJO+bkhO4AYfVSnF4IuXY7UacpI99XoOo ArugqYsu+aA6MYxXKbQFYNLnNYIqD1S2SYAKxiuZ7taYodf4qbgjUxZIwdxhqnVIgdL9cXeYbNvs NXoq2bt+4Nje0QNNhARFvFKYDiGU5nkESRYIIqGKdmcIcfXnNXYqqKSpkk5I1YTts+AXUAWVgmc/ OernNXQq5iP4I/o7GmDnNgFHSlP0GjgVV8lNtfkUNUUDiMbblQcAYNd6M69hE34gqxwkBZotXUGO k6auARtrLCIggBfqxXtRiiNTnqFC1s/22abe22vUhLOI8MVUecdxsBoLzgpCONFrf42Z+PS0KeI5 SbgnUk/N0WvEhN1JHfhR7hyN4tkddBWcQ3OiE2vKvMZL2GgiO7Qt9xyOXl05aXmplfHS7CetQK2a qKDljYZVHJUHaXe+7/scLRagsoqcHASfqvUkK6wJn239eQ2VsCIMPGWvhjU5qIhHu4fXKUJ8pSO6 eMG8uSkFeAPTW3ytegnqNUoqKEKraZyEMON4gYs4gxGKAWEGhRIU6zYZQoTiZdKjbKcxpXsNkbDT 6COwy9CAOMTqKGlTNPMHfMLPa4RUHv2yppQZXl2ANdscvcZHOK9p3Ap66o9JmwvZQksYTReRsDVb LPkaHZUvuOJ6RieNQgctgQEF8bKKjn2jZiBbRugdl/YVdpPl/hoc4adgzXfiirfBAcMw2OD37fx5 DY34kj5Hmk6ocCy/9F8rmdhpRcI32xPeTqigRDiUBnOnEQdCgbfgwE7iNZxYo93Rgm24nPUpi89B by8y+RYZAPRbrShXGf5u0Q7mtYrJDY1ffg7mJXNQpJIcbZhEsYSSIWYaTJHqU5gR/KugAAGabFP0 WsAsZucGvmO1KSpEC3CK5nDHsxOEZiP0vZYv8e3dyuI13dHzXIjTLCQLZ4rAuEkygsEPePIR6Pgk lnoFu6NL1WvxsshEA1VLVuZq2lYtggx4XtJ+X/nzWrrEYWQ9Kd1pSMB/mP5Z7BjF1ypdtlEcGtVy d8NvpqAGuGu3cBIF2C5aVmWXw9EyEViCnb9ny9pzn5+azh2ZNDXqc5eVbzlgq333HmNfXdzNM1tO 5azxnqOhUA+R2m7vRD4sxOl3P6tRYzswUUD3YJpM7dXF7oB19h76yf8f4UlowBqR6O8ge8ofXaPj MsAEbokIahLVdpEqLvGuxMGyxqiO8KSe4juNrzjUjkqoNuhqQ7VlW/F9fd5ZfDi4jZqm5QRW+mzN 4+x3Eh8zGHWDswtNdalL8VRqy0+lOaZzaKNIe1MJWL1rH70VdYKtnpDonry2n2UF3MVJ1/DItC5f uTHSECo4mKWzt3jzK7yZzf1Pe6rLyP6bjwdR0vRshAU71IkBXbVZioJtWvw5CkP/mF0aKMlTvhGX 3JyTHUXboHZKOqLf8fI8zrFEeVZo4i7+7OhtnuPkZyGSRH/kXm9SkHgPtwHlo823mVO4rA6yyy6+ fC0AuMbhtp/elM9FRWk+a+k93ramEUhojoUaO13meitOnV0lO8DnPeDOBpsGdHLc0UiOeTfqTdOw EuTTrvXTcEKdy+ocU/AAsp6KlXXCKIA7bhKyOUEAkA51Gj03eaLnhNJbME251K/EDefiCQSKh9zv vD3+dVlVyu1AKHGtqImbXP4dZi1eeHsPunEXGKZVYBWOXkvChbkm2784QxrE6jZrJAroqGNmBzhz vs87aa+IyHzuhAQ+mgal8uaJituylM87Z6+Y5N9z0QECO6XuxmkK4+7JHuZuLkRdR3aLqjFuza0g bdI0RYG3LZE11S1fc17GEOUBZ2OK28aJjsC82CDBoEyiqza553De9sLv06SUC/KDPmg1nRt6mflS 3p93uh5W02hfIRPIQnwvm6Uo8obXAUo5Zg2LBvlwqlDrt9xG8KBmKQq9rTkwjKw3HrIeYNLQUCKm FW26UXg0wfkE8moEPs1L7J9dL/w+S6KpD5nnDSrH48+FQ9XMomB3Ph4Flh41EfUEPcXRPIV7Z+ph LfEAbyxXCUYgGCLZn21cUfPmoubvRD28fhPveulo4uhbDlnvLtI/AJHRyuJYoz+QhUcMPp9uU9cb v0/TpO7BbPUOBj0sSbqvWKufd5oe/uCUvoKmTL9KNpw1T1EE3glNKeyE/Se7QdKvuZqm28RO0j20 msIQfKotLnkpjX6Enx2bWFyAUuDZypRqQI/lxJuD5AeyZL0lMPXGwTyhOHnmGq0mDTqbBoQ6BNEE 2CIKwoWc9LMJ0WKr+1Ynoxhc8QAFlazG3bYjeE5eb0fT+dW2TdM7Pa+YCB9i71zv6JyX1oE9I5IV ll5r03T4RCEnUSBLSKJugkezd/lOz8Ny4DIaisQ5CJJC3VeCwk4+/Xln57EAdlcTzzGIdbfqqco7 O6+YIdH5K9bFsVIxVThWZKkGy5zZgE7v5DysS+HsWxNygKOHTQMQi8HG5YJyJ+QJ9sKIhG6Q1rbv 4cQq5Ts7r5hG/jnmbUCZUqBMEGnkqAuBlnd2XjEIzj2dTlpzIrd95ymqdrO6eW6f6aLmMzkgbENE 3eYJOEmbp/cgHFmPoHO2rDg6iTEB/gxpJ2AzGxDXk/PESiXYyugxX16Q1tN7FC4CFDjpZHIUyCEW 0RGAa0xCw5X1eWfmWdvlq8oEbl9b0+Pwd2Ye9h3bAo10cFN/X9cRhuLq6u82N2h+J+YVQznCfElq UjPXixlYAB7Tg4LlSkDl2N5FZAfnBXZUHh0NirO88/LwPZv6GfjlbLCY4CxYMz2Z9fNOyyuSALCY gFkdXdfWnacoEscWgJTi8BoBMV+KMPNMtqAm7KwUib9z8p7z6SRt44628SBGNncjHBodoCKH5tHR YaIa9kDeq6quzKreGXm3DTe7gPbdgPYn5gBGnVldq+vzzscrwvs8p3hTveFuu7D2PYnDbOVmdc20 J0Gxr57VbVSkNUtR8bsvgxSa4MqoN3RKOKpzJ7BpDfjIA9y7JlUGK1gtlaVdP8aX3jiInYTCLMTa c9A0jWHGfWf7rc87GY9xvB/jrAfgTxx1eSnlnYyHRWh0hHxbTaIADdFrlme/yQvg71w8S94pLyK5 LY62mk5Uif/RaoKGJeoAMNJLtDpBs7iN67rAvP/zzsUrZpxTcIP6wHlCJT1NgW/W/rxT8cqj2a28 7rzJz5A5O6cpjMSlHCUfJhNuc7/BJPgcpwlisDqc3iNxUNGVp5iTB0drWg4IsOR+LXUL0Zcb+fXP Yj4Kn1dP68rQC0ezNJmo2HBOiGH4Z4rM87Lb+/POw+MZ2n8tJ3RSADO1eYoK4eRCAxXghfCkNcT0 d10eUN1eTHln4REhIGGt1p/RqZ29gE9PetQ5ghZ9TTLUqCmKiTACLgZ221Gt6vNOw7NG4iTi3wdV U85CHfLo3JmvGSyn+auaAjlsZDE2TVEoTrUI4IweCfjqZ3i90wTIieEu3yl4TzWlKA3W6MspoWUp 24WzzhLbK5l+gyBFZxZ+rl4GsbzvDLziNkyU47NBGcu5w0RlwwH4eSfgef3wOcM7Vch8Mb3z77CY 8LdBIrPfxlNPzmbZ3TcdJPysDR5G4uK+Z94KNlrCAiVi3HVVQvmrTDvngWAb0B/ZrMxJggwHzOed fVck9gQB1DF8EKWz76mgtp1L4PNOvuNNk7+OJjT3u7TaOEsh4KQLw7vmPZpmupW5ev1goJOiWYqL 4ZylJnN0jZqlgYvypDzYcgusq1215RbC4Ca3Bvz6XZSfXPTGwTQRa9krzRc48ATP0L9hXeLcR3w8 2HJpfMXh6vZMrxK88+6wUXDuC0Av+G6h0ytbK00FA5xMkKDWlnun3d2TKaPHe0c/meoGI4ptTKB0 up1iDYjnDu4L6C1+grehF47SOkJRN8Pw7Q5GwAS3ueSJXsrnnXL3+wBXUHpm9Sa/72Q7zBKrYter 44QDOwmuC9UjBznPJdnNzk/6qwEFOti4o2+5k++W2mXLeG7gTMkFyL2C4Et0Hc0pxMiYVBJ5p9qx 8c4C7yTjlQQ+Mr5qouYRM7VzLH7emXZEAKSv1QSZpJ6InOc8RUE4XNvJB842T0smTFxNnXAm0M1p DaTVFJXDk2pO6jDaaPPUz5qFjA83XT3priwuMwGcwAf/UCHTfHVBSfq8s+wwTQTBNfN6HcZjOLHw qku+uucm+bxz7BiEr++j6fzZvTaPmt4pduzuyUcvpwuZ797zrfSsl4pkdWnEd4Ydc3eDeEnoYedH 6GEDkAtWOIGVYFvKDyZDbXyggc8I/fZWtt446EDxaCJ9w4ZkBcwtzE476+rzzq/7P4sJmWrHMrdp imLwLhAK7WwFQhEKjgBUqrMIrJsdMvjOrnu6vlNHk0bv+oJU0DHpJ7isuzfwNCHLnVm2RzWkXPiA AZvf2XW8c3DD7T7uYCWnc2XzP0CW6fPOrfs/XV8Ui9YDQ3mn1rFAQAPU3aph5c0sZ8oeOjsOBf71 jMHfmXXl6hagCqSRjQ4656J0tdhIQfI4TgRGqDyP3I1CIPDTXh8QovmdV0dcHC11W2ZU0KDSJERl B6CZf/rKfM1/deroa7Kg7jYvAPWdVmetCiyn6Q7EicGHKk5S3Gwyq3L0YFgPz7ac5ryj33R0qJcQ DQxWF8BI0PZL5EufCS7QL3dbCpQRP++kOvbqhPzmfJ1Q9CRA7DzV2rBsUXE6OfXnnVSHbbfH1+kE tYeJ4MvmKQrCqXaHzXDJ0uIckqpJ2AO3HbraNk9RPXwavDKbc05+xI3KOcW54cgrR3RPcZWEVCVX RgJIUNyuipoF74Q6/LJ0YGqZd11zdVgQD5fE8Ov5jM87n46v6ShCPEZXMflREGgZRuGbZB4KmAnQ TG9SMg8npf9ohlo7QFpEWsZRuFLfJuQ7R6uktAp5mNqquL8NGQsYKpQvzoC8AlDiy4kyyJ93Nh0O CpZQpqA780J3xgK6k3/6SQA+72Q6bNt28ahkv57Idv2snr2W8s6mwyWFBQKkQqPkPUH+lXhvQD4y IwG8BvCyFQvqnUzH29oOqPKM3q47N3kffeocR+1N53giqA6+NTQadIA81bLeuXTceJ2ke6J3IBHy YzL4J3Nks+L8KOvzzqTzWoaS361a1Q/YRT5PUSjeFmtOIkZrReFn5opayYDzqIXQDKbzk94PqGa5 GqBVPnrr4CRymfQEEHnOwa7WwUZlHO48m0nkk9h1vXEE36ECYhYyNd95qic3JJjrhGr1806jYyye vs6nc6LAIt3P8XcWHWNMpr/ihlFehewMMgkKy0akR5fhFunvJLonLFjmab0eT+sKAAHSk0lVv/MX IUI7y6kOaokh4mjphgW0Dvm8k+gIweVy6i35oLAAheQ1bP1+3jl0jCp8mrj0zh2yHujlO4UOwcRK v4GFI1XPf0ubXsFc0xO7dwYdpmkovIbOkI9WS0FONOdikxx5CuFCCDIbjSQQvyJfs/xXxZR3Bh0Z BUv1ge6DZXbnkDEHtNY+7wQ6th1+QS4SWdaXdfHOn2M0QRjvNNIqtG3TFbRthhEDMqXZdfdOn+Ny 5vlNCKGPNk9QSjnBMkVayznoWpNGTa5QeMSljJaUxeIEpn3eyXN3mpY8hda+Kcv5pYc8hfoen3fq XHnsde22w7E0+r3tQmAKRUdBOrKgoLg8ARzSbe4qHAgNv/POm3sS4DxSuaMndmdhnguukXtx0uGa DfaLS2acOQTu4YbiWkxROXwQ4DSKLK2LIQtxNZdkltatft5JczzZ7mVHwSd8Gu47m6YoFqczEMh9 l+E7y1f39zJ8QcjWNP2NTMmQh7ujTVOCssVc9DFJs+dluj2oRmHmsmmzqleHa/nzzphjZpZ/Xtx1 T0RbknEq5vy8E+aIXnb8DnuauJlGr17nbVEornLKoMSYEmCJ8FCVLqWrSgfSC8spLQSGF51Jee87 2hGObkpPhQq2BZpYVcd8g8oegk/gUy5OFdcVviZYTVv2umwbcBDiApj/JmRKaXg8iDD7NwcDMCBS AW2WwkCcpTkGatdBwd1106iOLUT2aid4VA23FjkcAO74lAnQcC9SzoRgnPpUzE3OOYWW5m63Ra5N FwXiiJSAlivdB9t0sEpXBbMMPB4gCcotE+A5MIfG3l7C7FEk3mmvS2MmE7aQMiTmCaGOFedac5G1 /h6JW0ByNlvRauJomw6mFQCfUHuulROSm2LPknVdJkrbA3HyVPvfBMxZyFrhoNV0Ig6rppyNjccj 1POvHhTY5R1kCpumKAynbuj54OSbru91a3PQ9XXrhGTLqUfIlLF0Nm21Dcp+2gYrndMtT0JVEwmY QtZN9GqbujIr3UIB9T96VBCv0qxVeseB89QBUaiWCU88HrHD/KarciU8t2/aXk/pYRieBUcpyfPf 4WUnCEFa42AnWtnhDO9RRbyrKjenDh6OjsHsHUSMSvWdEyhUiMtAB3ISMVepGn3Vd6R/1aMwXO6V J9Oh2SDEGIUC6xll6sG/vRU8H0CdpiNTKJlJw7TnsusxHZOK7dP0/7HvtgHnTC6BAEPYkStf6VEg bnndqOKHc7SJmnCdKmr+jga3wUnFvsFCAcubpd123WYG3KNAvGyFTtOGqXwF+WinilRvvePxiLQ6 f757B2eGTrDo0xRRMnFS5yrTKNXn6DSCaTrreKnKgiy8m7B9j4EpyldMqWj1+QDn9llOcMpj7+Ac vZVejBMRIbUzWBxw4Stedj2qiS86EdM7BgPYF0XpLy4ILqezYvF8cNtdvgHLTihijXGPpzAOnxKv 6I4vNOwlVlOlwwPLToWKeVxNMS2zKv4uz2jTBAM4qNoUGTY3NFfAykBohiIgq6f3dKpZLxwUCZj8 JgllpkFFXq6m3VlrpdThxvPRrruxE3frOU7Y9LN5CqvidIBbCS/7H42DaI+EasqCIoQpXtGwlHWn HkbibvyWxh2tStAR1IPUhQb2RJdzdVJ8yTAaoPgig7mSolNvHNWdZMAo5liRfRX+4nauAokIAOyL DwgO8u4HOWf1HIZw/vWJeo/FXaUdgRkdEfCPhA0UBiTkGWQ3lKjcd1EsvgV16lPlFI5eduoNsglW 721Q1wY2JfMR/vcw6LhGJRQU7VFhvCogaGwfcBDUKW/olOALzymCx4M6QXugTkyXe2eB2CcqAopT S+gcUFV6DCi/Tq9kJhgDU5pBgj/aeRE4xUgHsxovCn8/ophGZAvMF+XoQtGdRtMbtFRRUCH9sNyu 3TkMKr7nD8hqoQymDUYbAy6WJbeTPOLxCIl5aWOJpYKGjli+F14YkONkPit1yBkhw7vMhPnOfhsq Qp1ItyUr+faoMr5UwOwWIXC0FdUxGRQ0PhM1EDiDd7AWq0CVE4XFYIVMCiDge97PKPXtak93EHMM p1aSW0mZePxfK0oF37M+TzLgC2pEAfmUW3pNlz1ONVyxx7czoxd5X4w0R1Qan9uYB4o4OXrp6Ww1 gjNJsEMIa3K/ACIOtV2Gs1i4tvE1QR2TSmDnc4YPQqyiAaiSyrkG8XgQkN/zSUJPVPy/4LkRBeRN Ri7jCuwMuj8ofnIcHerm3mgZYV1c1aRp5qccbTkhlloIoNRBKGBvELGK7UfDoTGcQE4bWX5NRLMn w64QGnZOJajU/ajRMuXONRrmKayL729FCyjyzHm75SNEihOKWQj2FNtnOwB6AnHj/Izmqh8jKotn 8TJ0vGHkCTsA5KHqR0ncdQk0zWoiKlCihD8bkqRri3CW8MDXBIhVxk8DySMHZ/uUc6ZbVfxEmSOq iud2RS145BcgN++ei4JxuUeUeuFORSkd3SPosyocDxDn2nNRML6SuyxK3NF+Wew5qGKe2ICAVejm pVksdKCiBJhR/eJ4wCQb+Jq/GNKopvgggGE7UXGSsNDYeDyStfgmsYAcPSBNa7MUxeKgF8I+t24H 099eOeDJFzqHm1hrKYrFp/Sf2Xb30U6mVuBQudjbhFNXAqJpbzDIwLw9n7inn0yZdWd8TXQyMRbo omtSyc8My8CgFF2zZDz/r8XEaToH7tm33gIeUSwuYeOei9MQe03eKqdfpBHscIBrmsJYXKXJ2fYz em8TmhwT7FVYceG2kHIB1AzPm56VteZlaxJuha8JtpyspXAE2KBCG6QlFJWfwAKPRzCeb1Ir8l/Y DfoshRjxqRVULjOjZfdMmjl7o7xANZGJ3Ygw4sU6Bqs9o1cx4fdKs8YzS1Dgb7KAq5UVnymb3wsR r3rhqF2H8lymngyGwYbSiVhr5Y+q9HeEYfjFYZqyqHwMfZqimjhFGc4PPKbDVTN1Z3F+72KcckjA u+beiOJw57Juy383ce2Z4eWEJi8MX4B9BjZWrCnqNoyKaKBdc+GJShi+5o+g6aRjZNmd2HAaPiVB jIB4glFSx/P/6teRX4fVnMZdTWEUTjxBX82lCbvUP2RBaWRp9Kl2tdUURuGC8fRa0x0vqRXAwkIP ZqCoN2rMG2Ekz3pUZjuNkrTpGFyOMApv0F2Chg+GJrF3BksnkJC19zobHB8QFArqZfrg9YAH6tvb 5COMwqssYK9X52CaYWUnQ0IDT+JGgSMsi1dTlRnzjjZRuZcJpiAm6uS/C9Ry4FMG+w1oBxWAodz3 YNsbR/1f9sc7Cyq5g5vPYnjqzYTAzm9R8HxUUNnfh/hZKKvduGmGZXH8/HCq25at1L5cGptAVSvP QeCRad0MVQmTIgFlKxqt3guE3CCaEO2DDFEfzimgQudN0cr9atmR/jtDfAq1wNYgwHc5nwhqJZ0K ASBsVjweNVl+9aLQHxsPOmVGUXgdLM+h7oS5UfalaZq0EsgMnBJ6L0zqZlgWLwYjMLXLvC7KcEK5 kQK8iMLZhQScoOfN7BexG/wCLrR36Y3/bB9UKTnU6XpgCGxYSkLRA4//L3ACcLvHuHIgM4rCYbYH hwuTDOeuczAmSd9moVFdnXCGfE0dS28al+fcPjF5o/H52S1VWQqKBJua9BOw9sv/XVRwmHFVnMSx zdyOQ1JRHOo1PNBPHoHH/0VDdKLPOVC8szmjMJwUNwgqOMiwzW06F3vkyzro1em/M6yJu3BDfhgF ztasDDHTVOpb09SmS4grB3CChPC44+vSCwezJFBK4hHVUjUIz4DyBOHwoK3j8YjBclsHmyWCc36v cmUKZxSIS7V4LKvrnlSCNX8JXaziYMyThxm/boZCheLXnchy3NHmqYJ0gEoTQavn3dBCOnE4EFab iix7unNGnjTbmiFbkx3yLpEZDsIYnvhdgMMT5tTPjOLw1m66IucatDZvxWnGRXGSxfAnWOw0i911 Kw3TwDoxIipavOtmBE4xXQYwnu7oEOgFWdwkUVAI5hWhyhNpTYCKIVH22ImmnDMKxCnhUYZUZjgo IoDjDoX4Tq498Pg/OdKiKKAT9Vx1ETqFa+D8AMXJ5CUVP5xani7rDMEcm6aoJr5k/rBMXXZ9qcsu 1k8QxvKqq7OqHJxJJ0WstGe6eHpSpGcUiSeqQM3WbUiKxDNu6yIU6uBbRlmdA3t5+QPPkK882IwC cWqutpa2K4U3Um0cTz+8LFeS8cZmqFgo1fkFUVsfHQB9ruGCnqb23DxLTXYaKIwPZHTwnrmNg60X jtp17KEstjc5qCwHwumWLe7m4xEgrH2FTah3gknqsxRhU0RpPSmE4+a+jMhKNnNOcBC9/TujOHzY GhqGvhjXBhAOW6mcbU3HthMSsiuyIfF4woICZv0Y8ymGJ71wgH7O3GudUcFg/MXbCRoTk/DAs4or no+gTt/Jr/ld3sUUUzXZLCu33pSpsC2kU97uwUmJck1TrJrC6DLrwtPoSCfQl7NcH6jjMKRLBzW1 E7mwsdrvTYdUj9/zV9MAUCAfBHVaHaZjTEB2x+NRePldvESmT7NQTdOKgnCIulAZ3CspjXZSTH67 RNQ7JfmmTdOKsCmjGHan9jtawelswHOsZjZ/YT4HVR4G4cDB4D8jInQ1EKkSrBCbQnQ4TBB8MELU 2L0KEFYrHo+4mt+QXtGqLgZzRTE4PYcayEQ2S6tuP7+ZkNrJ1FHB5yyFMbho0VL7stEbK/S4heRk Q4cGKV2iIh8ggpgcpHiOc8LtiG8JCinMVMiOxVDREefeOT8FGcvnPqgFz0ciah4NsKGZkSrdetMK qZpVJ9ONLUf7AqYsl5ah3rdmKQSmGAkxm4+PLM4az+99/ir6FSO2hMaVGd0RWg/++Cr1iZnOAYav iapynUcSu0/Du08FSnO7SuFi8fEoAv/m/SKx6FjYNkshVRNwHIjl+Fpqzb3+1pIPLiXUEHoyFlgh PNzoUEtlAo4eC/TMCgG1i1PPbnGX6CEO0uVoFzQnpOoKC+FkR6PKwAGlYIaD66zH0ZV8QHU2CsG/ DiZ2M8sgt9ODphWF4DBTQOyS1XMCQ4PH9kbiS6Nr1Admpp0jJyrkaorfM2iZYqPDdyZAmFvaDRM9 EOgobWBVfqBpiP7TTA98Z+qNg8IcGyuQO+IwXLsQSr1dMs/nd8Dzwbb7pT5LTC8+xOYpisEJ64aZ o+N39ioOTOGFpAVVkVVonkLJFPNBhAWVjwa4gNk0+L+Yp7k7GOq0DKGrfYUvTQGo2Fz+SAb5rAiZ srii0iTMiYNlvlvoP+QqfM0AmHJJrZLDPgtzPPy6FQXh+FaaZjWry514uVquQrkrq8tl15tbYRBe VRkoq9zRcxWAwFAPJxys1z2EmxtUP4ZLnXxohLfIuutCyRQGlmWpiL6Ye1CdCFQuRuFnQ+Px4K7b 5Xue0O6mHInNUxSGF9n7kQbCuhz1XkyXbxvy4swTBHU1TyFGvGueTNiJox9QwIxA6ILCqtCK7+hB VTpQAnT/k2e585SQWeF7/io4DXIVMBSpNbaaKP1J4auKx9+33boSPEU/4g/SQZ+mkK4pPJjLFiOI 2q5UlJhYipdxqeQrrocLZNFU5uXo3XHYgmAViQ2VgH6lDSlCdnh9/NAMV31fQCv1xgFIXCrGgATa oNMpnaBI8J2zdPH4v1Tomwgs0MFPVw1zxXxNGpv05ZJOKkio81vHk7A0F1mPJcTl+eAet30/btzQ zQJlnaISMGid3HfUiaJnE9VmPHyi6vOKInHUwU5igMvYBhUwC8j7CqRmxeNBXPALRkBhvnWvux1F 4vT6OElgut263bwyV0f2ilNFl4vR0471C0W3mOrEcfT1dE5xwGy47VI/+4L27hPGRGvuEzztq+ck z/IdBeKNLd88qAbCwVZTSXWoRpcWHg8Kc7fxy+CJ9aZ7hu/3QNyegjWGJ7+SIlP5cjaXB1vQrJIa fSyaIjTOFimKo0/SAmZrsPGLiAwyWEQ4oTUOZzG0JMe962hqsEOMOBOV3tsdhHAa0LlYPya0vt8j 8SQq90U4oRvJVrdNUxSJj6Z2ryvwNKqzc5rmpscqs7rFWgKn6e9IPKEReke76s6tWURlAIwgod+0 rD8OOSec+ahhXuPfoTeO6nLUcdLZtPxsAtUzzaIV0jcej5ia3wQW1Jrak/zukKnZKafaLxtq5Gal lHPubtejR1XNpinEpGgVrSR6IUdvrYwOOwiW5ahmx/r3Wa1niQJXcA4miMNZB4oX3Y5CcZMMXQyc OChwgm1LkZwTFlOESfkt+gxRXHp52CyF+PCcnOtrBafkvIwTrl07ms7WAmcpxIdPzdLu+Y42S+fp E7aiTgqpuXMvUIQY8GfkKghd29P3pZwUvuYvIUxEvBwSCCT8e0eaRjc4qTGej2Bgl78iQutZmukW 5nYsXTgllXKNRovZ04D3W6zitChIonkKQSnqdid5iGp0HH2CQZZAKeWEN7PKPDPD02rSvxKy/SZL gOwMX/OXt1EdJI1xsD3XB1PCn5MyLDwe6Tntr6MJAfRaNxrYESglSYGnzhsNTHOGhFVGqTZLjRQ8 zlIoXGiQnV3nHb3I2yHStuR0n5GuyC0qQRoLq8CUilZTVmdvHKmDsS6HOM4Gq/IuoY5Qa+PjUfly fx1NqPCPR2h9h+Y9m92Usa8ZssupnRN8N9t01MO1aYpBKUx6t7kb7MfdAHw9qBuTlVkQCgyhoc5t TGo8VlZxc8NC52Z8z1+EVppi26BpqjUnzllLbeDxIFm52jI8rRv8e64N1A6L4eSzdmD9jTPW5rXK 2MuNkBcYzCRq7jAIV0zJF/fRzya40mWISlC2v46q2AFg4Z8OHZJFiJMwKXvqhSM9J3LFqN6vQeVL BNJTVhl14PFICuS2DLDBFsO2SzXYUQjeaOw72nBq3dRFx6NpZFtNOw2Xd9wRJGWadHiitZhGv+iA R+liQlUwu0o1wPPJejvuHkCzzYM8MfPdsWIKu75o1Nqgiw7qVWxGtpON4PH/RZA+5yLpUZsmoYDe czqeSJJRW+pAJQPQL6F6IT1BP2dCUnIKg3AZi5F95qPXUsAkz4VY3gWMah9Sx850hIK2JzpLOCJp 5LPtnQNOaxaMt4pPXsxXu55Io2cWQ6BkxA+IkKrfQALoRu915WUkHP36GMOC0rlksmBzxvOBZ24R K2Pyl5Z8fwpjccUDPYkQ1dMlROW5EA3AnwimRzAUgdI6uoGN8lfnTwLQynmIjMXxRZFoqG48HlUc uKzOzbGnzELXzHw+SFp+9ViovrVkba+5Ch19Gv0yk0tgtV62lZ6AkvWab9+e2+GjAoy4zVVVTYWj zdWGyAy8xjhX45zfncWChjA346gsuV+Jmb38nSPgBecq02i0IqAlg5BiYYlgeGjW8wOCMOqJNtnl A19ofFnWpKhCDgPiDH195x3sUb1Cnt1Ug0Fi89kKjTTtQpNDhEabLejmoK9ZmOUliOcM7MJEhitO GVjb3SxPjgcpisxFZCkicHLQgQVzZZaQKnAFeD6C0F0cNI43HAWMVX2uouA8EVmAsN+Kmj0RTrBp opG2FzWhNmK7MKqSD3O1J9vWRkcbnivvJFQpyfagw2wCK4u9l0Ije8k8dVU1l71z5DbCUz2RpsFB cwXISFaQNDKfj7Aqv3ch2cvtij+KD/A6WZWRVMpOJOv4dYU4rDQnJD+q4vyx4z0slUuTdzXrerav vBjtFlLpoEk/QYXEZA0qQSdC5IHikB1SSWnbO0egQ0IwUm0+aLLGVFkWNAQ9H1j93lYerbhR3Fzj 2YOhnkpj86XMbDchyBRaV6Bsd5uqEzLcmzCK06dVgZvKAxy95Xk+CzghsRMnLsVFNbrBSgsemPWe 7izR8Isid0QuKPaXNAifCeMzETrPbcXnI8Lrd0mKeg2rfpn/haIqMrIhy+o/SWTN4VEDmXQULjh3 S/c9GFbMzbS9SGqtlSu1BsH6AfGZzPOqn729WJUCDRqqWVxWHoYWRDt65wi6Qrf2tpoG01rrYGoX g9U1Pv8v818sKwQ36/GKyCmM1wUiJ+zDrG3rY0eWrujTdZPER/3JSZi7tTtaXnNinzM7ydGsmaZR G5La51XBt93rtvTW9jf+K2Rgd9kG4ep4PwlX1wafD/Zf/QbbU5Igjf0sqrhqPlkDTl51KcOV68/+ veIqWYq+nKmobG64314UinL09mdLQOUSgQjZmIwLEwhEVBP4XGFqI/Yd2cH4nqA65UfV9kGlzgpc uirCDERD35/mfT2aSiIFeOQgc2i+OSkklmgzROu20ZeLsg8FV0iVe3M2Zw7cN4HOkEytddT301E/ vzLsECbRGYiAyPdD4YUME9abUSZ0Sxtak+fAfhNMavZgtjoy230lwVTbliyPyecjpYcbWxGHCDdA UJB8rkJKJ5sMI6ULHsvX8DaRXiABe+BLbK4iJEsS6D5LxUCjwaI6QqsJBQCgDwrqLmqUgqvSqOo7 LtlFZi05tuAkohUJpQ/GfW1tylxylcrnI23fX9pGkxr2d//FJpz0vaVliVXzKJpDCSioa/9QjQZs M6ss5NCF09rFeyhk52ir6sRVsBgSTuNc4l39qvMhwExuSRH0O1XF3/kP/tR5lMTO5sTOEwh2RO08 1Ovg81Gz4Zvvgq52h/+RT1VURl+sLjRaGEgHiuo3VtKrrpbVMykMXFRhvL4l9K8KsUZN1YBw6oJ/ AMXXKrgdUj0AW7YDBIIsy1XFhr9yJPkAk9GMgoQNwtqdRTzYCoXdCZ+PIAjflXRw8QYIGj5T79E6 IAh0oOcBLJn2XK5i1rxKUL1cZ9fAjjMJBgrwantGh9tNVCgQZuGoKpkAIyyqkx//DLZKkNxZwYo2 9vyiCKxBwN2ShTkbHVwc6xyAxD2c/Hvz+aht/AutAX4ErFrvXEUi5CygQBSy+AasK9kGzJ2hAjXY iBrWBvwb15JJkfHR5gqKYhtUTwofIuNT5FVQHB+AauSeH4fXPu2dI9wdo4RGdDkHVffOySqyApja fD4yA/plP40IBSV9n6q/zYC65OoQNrTlyBYcUDdhrsMdAkNbzp2tvGCmZfVigmECfFYrirKgnJ0/ D0sCklBQFEEuXukQaPU9Va1CX04kBcDepu6D0pp9fhP3kuh8PjrVr5e5kAsn7+7jOdZD0ZXOgrED y5Evs/zJqepZOBf64SafqsgRqBv6pwnzy/FWrWAPuU3sF7TX3WiclKmODMJmSdfPvFZ75egCnFSD IlhRbUmGVcMh562myucjzsI3mBMSWBMFN5+pMFQHGqX25XpH509Y3TkLozky+KGi58Cf88lq7CLk aAFoQfluQomehM/RQK4+8VCmMyfUj+oo6eKAur9zYANAQD7dnGwQcGOiTqZjqxU+H7kC/QqrQOsa 4yLwcmjRWSUowgKgNuBwyueSsy2rMG07ZCr/4dEp8IZ1rIYKsEYXWmgvErwxFlt+IsciTic2sfT0 xaua9s6RTKssE1g35qBldSLpoT1V0+bzUWHhm+ORaY2U1lOFCY06KesEeyDfgpCLt8marEpysuAR apMVOnUut4Gvz+inFaReeXw1MIbO8t0UHMMRvTuL3OXpbPkbB1dgFgCvCI7nvPSVsSIJ6zzxLJ8P puoe6wyhJvnI1/c1tOqUvmanKOZ/FDxQ65jU9pLo5EIicfO6QujVOcSqmm0/46UNoWt20kviEzr8 BXCsT/y+JyYdJtYqYTa2AHNo1Sm97WY5s3caKw5Bnrg/576dfD6ogl4WmoSZoMiFW9RnKsK7LMpm 5GK6dbnqD1QFRoSGLZMgrxgHbp0QhNDFt9sz+lmFsLzpAuzw92tDWlqoUWQ4lhdEu47trMneOSrs FUJdGLODOvAzRRlaaOrTZeKcg/yAyAB2fR9WMEraKG74ZIWoF1os5mJ8RkxWdRzsXNv0yefkq2tZ hQh0wV0gPHpHL+1BkRriQbQ2xfHUebCzcFTBtC6DyEW7A7e9cwBVYPbX5FPdxjBhxArsDE/lXrJe 9F8cIqJkAIL/OtdDz86NC6ut1j1eX6rsMV6X4j2hL809O3Ng2ukSt1xRd/QYtEElOVHMrqCJUyUQ yL7gqNjUeaZbXac1QI5tO3lY9UwkVUfdXwKZZ0ejxI7KSluTHxBswvHtQNUoSDpvbhM6d2Jf4LTy MkyTL6l6zGs6TGjzCNJcxfgXnVK5pjs6MQ0FEpCLkTBDrADS9vvEVAUiO4vi95fkSC8Efk8UWbFn CgyUDQLAzDQqaQmwJOfz0apqX1fgpKzW1RvJoXcn+3ENqY3N1JrlAmLb8CJoz89MxRKJasOPPO74 FEEB5BE3DbyGqUyxgxLXWPJDEmiKUWvaKwfgjkzFZDT3bVAVtFTJ7WCmNp+PwvXLm+WscGFfx4kc +ndCmhWEhuUbMCVDL56zfl4YTAGU0qYqKq0PwYTWkJokRwusEK93TB4T5rOUgGM68QKi9sVXGKxZ sWGTbapCHEyjMWUi92ohD+LpcjZDKzIPOhPI54N8+RH/O//ypmxnve340MFTUdWow2dKS1iS5YSd Kl2e1OXs+qioESgQ4yNjc9mzCJXamIKfATE0DfMJSN7JezKuIA+rWqn2ysENuK0BuH1QEQYdU1l8 Z3TjAw/P9OXhaXj0cwGW9kxVFK0zyz47ZDsaRubrPKlaNsTVyUk35Ui6PupvKRvvyuf+ZDbILOs5 rxAtlJPZAFUCR6qWfwBq+FF2M9WMJ7k/hz6eOqI6MKMcui2q2UttikBr5/P/qhcPVWzggX63X+jk SbjROa6G2+dSYNxItHLOo30u4FrafrGVp4DEe+V1R0frndMF0khcVMhvluWLXVxfbLtL6RMqPYde nrnIfjHdwcrFkJBiWsPKemDm+S1evrj9KLd1Y/XQzZM4PGT8ydkgeXllLxO/KQPdUe5MRbG6aW9q p9poM0X/GBSwiGtcsAIxFSkgCnnI5v3FXu/2zgFmYRdB9YYPqlad160yBtpz8/n/RS4RMj2kqfpU hfrlAJdhJU0HpydXAjw/V3EPiglqiYL10NLT9Fxhs3hHz/86QTCKP3FCAYsOfhmqISeIQvzZL4i/ q7UVmnoOltQhcMcBvx2VJUdGxEYwzFmt/IBorrwNKPIMi/MJZWSfrpAvWshzeKyZW19OZB+slYk+ g51p0/UPP6FmSA+ONl0n5IKFB7FDs5+9XUk+ToP21eAd5DkePans7xyRtOkUm+k+3NGb6Wq+wBYz iXkNiEfg7omM+Ze4zbkCxn6aprG9ZxcEO1/eA8185TxMeQNZw+GisrmKtcyNu2beJQ5TARqmQQm1 NVlUnUnKJNGUwTI7zL/PDTYupB+scn5R1GBm9AkACchrwOkQZ3WScliJ/1DNbfIDgmtw+TVIJUFI NIAodicrCtjZ2js/8zSDOODh2oUtYL3+R/1dEJaVB4Y2n2bd2U3+gKPngaAMg1XJfQh3qUnDQUoC nbsdZe56/bxkJJADn0/sQybN4OjZYLjQMinvcOJQ1EIDo89vRy88ucGk+T7do4gdpu0ZQJur/Z66 K5OMbnz3k3SA727rKkLDNKkAT+Mizy8u8ok42/nhOu7Bc8dDTgJHVodO8KbCMLvLzqfp9sp/lWJG I25hUH3VjOQhKEASROKyCnHrv8zPED2TsuJTFRbYqfO6FUYR6ki1S5WNl4E9oGy7vBTzh92n00b3 HX2q0tywiSkCDtWxqZyEMi8AYQgZ0ry6botW8jk0/JwEDpVSlg+GsdqpbWnjrs7nox34jfEgpobb 0aYqrLA3tW1m9l6E9DmBsYJXlvUixsr3tApDdrFAqJzooyc3GbEV7DiBozwLDDfJhtAykMIQ0ECo ejFW3d85wjkqWJcgdXLRsnqSgSkPtLNS+fy/WswsWmXIF2/cEz5ZcYmdMCeECiqGFiZ0xO51dgu4 rnDR+2kV2n4Kml1Mf7I8+pMoIUMJKA1CsylXfJKK1YyOga2Hp63JlYXdC3w/zxfJjjhTRYmDqprn J6FG+w9kVfh8EDSMXwJBJ7gYKD7bVIXOn7zeW3boCxrO48aigvGxyzyqof1zYP0JSQ9a65UhkAdH A3mgiQZZcypSnxuKXicswiM7hPlgZz+eFBsm2/yev/KbNQg2XlT7VCiKngetq4lcCLw/v3cg4wUQ Sdd1Psmh8+eQwnmvjlzY3XkRcGZNDodBN8dmKsKwL6vrAXjno0OHTm5e4IhG7xNI/2u30m53QDsN sHZPBUf2dw7Qs4t4mNGFYW/T1W5PzJsIAugQps6h+2dq9VfYDtmpfWvsofsni5JgoF16W2tOtamV 3gwsuuXbjw/sP28/cA1jS46HLVkBcytDep3w2UU9jJv0TF9fQEQnFy4p7LfxeyKQIzFDXfpcIlYw GUxQJKS6ZCqLHxD5C3zbp+NgH4Bw+1SFWudy2SvDS6EohDsgZhqPEgW+6oCY2AJ0kAKYC9uCGm2q gBgBk50FvgE7b5OLxfV+pur8pMPJW5n0Bn7PH1bO5ydGSUaD0mZYDwm/noGyCj1A87iLiq+HDmxu zw6M8Os909KSeB11mfsV5WgpuXg+ITo61kMb0KEwoeOv9tEj9nUmu2CZQW1in1+BarmdkhjYhSyA umiQ+vGhDSgugIlKUfZBVeNxtjnFbuncmEMf0Me0QnsNFavx4BxDI9AqwQk5fPEfx7WzWmWa1iJs lrwdGDiBAlujlbKFy+NoieD5nXNf8t+FECl6LIis0F7O5//rAiYOiNn2zhGPi8rCaUrqFPVUWTWd 7H8QS3vSo8YPCArH6Tu0QvVkfhFuAjtQcE/Kw7JhwL4M23FCx1U8YB94B5urkGZq5jpbojgcPWlG FbGLQjIm5Lt6oVAAvYoHRGBgpeVbkDJ5OXAEdTUq6nlgOOe7hOFhViz0XkaJIbQELb9srYgw2chD fa5i2UXpvfR2yUnF/CtOajttC65Zu/fjA1NQo0AnBgF3vJfgme1UpQkLH/UppBHYuVY5bs9pRX/C HLiCIo0jwAPBuQ3agq322ozrvfh8sKrKt6oCorGf/ch4fl4DqwqcVeYOrL6qzjM+Uys3z22omsyA /TWsqkmKM1DvXOuOXgxFXyOJCI+MxwsQCR0D3I+mnSuFYfo6vwZVFYKCtGvKu/igWVrw0zTUFJ5+ maMKUyntvC4WPPSeqOHSabn9GlHVLG8z2OmokgCQrnMhdnaGxPlzezUZ5td4qj7iZln+FTNf/4ra WJ0qrKyfaCFTLnkDm31CIgLDQLIx/4qlE+p1is6dIYFTUUamU0bAHQZPk9tv4em3KXpwHV3RKvoY 6OJqhl7jqJr1h7WsMIC0QPpVM0EudEvmjTcR8HCGXqOo6tYVEHsfd/TYPENtexEpdG4jaKPooEfV HfSkH8AaLOBkG+3zGkNVtKOI+2wr+6AiMV51y1l2t89rAFWRAVWbIRk+Ugg22Qy9hk/YZhR5KYQs m1VM7dYfraO6dOdarnD6GjzVK0m5q+QmOTpZmXPUlnrurYI5yeNoMsviT1nvDKFp+XkNnapCHwA5 yKhZzEHUcEfbjfsMBuGf18gJf+0Y34sIFVhkbpqi17CpXs5D665uCkl1b2HV7ioKPV3r3WibSf22 D2tkjSt2nuloCve4M0XnxEB8CQTHZLH95JQQ34O3qfbZpuTba8yEo4g9hgxWmQ1WYYHKF4m36LS/ RkzVeHuaov5jYE/RuWk7GsxRtY5o8yhctp0CJBBYLkBC9t7xa7SERTxUVKEth422jM7fdRbZmSTU CwBPOZESIUFE7kPMrlAbQA3RJJvUYI6WMjpVC+qtFrTzI3Yu3HMBl89roFRF19UcsYSClTEncxV8 52uchEOeyOG2ivsNobL5405oy/2GGkDg8j6J5kjR0RLBSaMXoGC0y6rTOYzGufihFI/jGopvtFQG K9G3GlO61xAJW60qS+k0QIG0UrYySmdID9WK2j+vERLOstm+1xEY7OReaI5e4yMc2EjB6q7D3eKS gm36wwieTiBsdyDsa3RUL7QMVf47XmgndF4R2zWUy89LISDa4LugnglaePuKuklzfw2OqqVycL3v PhheOKchkvu5JD+voVE17A1nhKWEXggXsil6rWRiqxFVPUr37mfXRcatVka6W23ZcfRax8QPbMfQ NqrafqhqJ7tKKJtxisAahXM6AGUoyUCz9eyPdhUDF61hXquYVbZ9M6vziUFlI3i0FUFIe4aiaTBF faevExtSf9CzsCl6rV/yL+MR1JK30ku/zKvlhJBKpI5JcEQntosq7nlHT3PP3QrqJ06js8vQxmVV HHcD0t+zTFN/cOe0rHqtXeJ9qZEAsTgOzbQn24A8IaeorPx5rVziNOr1a4oyRI1ByPTgMQqw0WDh cfRca8MtwM++2H6tkZwl0mN4rynCTsbTdnQJrv6Fy78RWzAoTFbRkaogLWXGZWXlWw3Yat+9x9jY bHKUb8MHlXgBfhQ9FPJu7zw+7LZ1L382kQcgZOyyiJQTzBMp71A8tP12jgvHZay6t3Bldbb+8Iii eZIXzK6aJ44+TwmOqIAfwlZloNGJeWosrGcCm1hkVEt4UlLxncWH5URu6Kqybq4WZSDCKHLrgXfV 553EV2WMrXliI3nBqQi5l81TFGuTTdfgT+shQCkuXVYoYiF5oJa8EBcF29vt9BQLcLRdN9CgqYlx UoUkglnKg9fAE5j1yxsnDcGCg3kiwg6ms9kHHd6Q8Sb0EpTgzzuDrz7Osd0qnagVs3KqeYoibhak 0Td3Ua6RvGAJWnazMGABZmLoleAA7870/BodEHUOhd4bCXwALmR5DA0YMCzsHBjF3CtOGhLvMbeL TZ17s/lgeRt/V84YMK5hzL18mthEgdvJnOsup/eoGzkp696pOBSDfXZjr1PGWMtpXODme9iNmIKM NMQtd7TcDeS2yvMKYMRz2JZkdyINKiC6Ck8g66tM1SrD00kIH6op7QwJIJV1B4CmrKjlhPJbME+u A6/0LUPYgxxem6co8u5LdZLtjOytXgqlccdwXcXWskNW3kNvhyJCpazd0QpKMIHI2bBQMAPq6qif O/DsHXJGQP21Y3zQi+mduIftDd2WChkFH7iexhy9SPH1nByfd95eBa16+jFOMgx5gDJF5USF4fcW /2W4dWxLtxZgFAZKd2d3X3jn7WFpDhUBepl3vH0CWPVNUqzgX4V7ihMFxuqkqSWEEcyJOEsPIYrA G3dcr6wKcNCCOvmb8NOAXn3eaXtYUP07dKIZT6vZpymKwBezlLP+L6ag7ulNAplUyO4z+TRFIXj3 gpvizHsRIU1BEaB29cmhg4GYZ6vKoQuCgu7G7/cXfp8lSSpCHUWD/bm5754UPKXOx4MA0/z0tO1g /M3OqM3SexCeBEFsANhcOAHXFXW7xxLI4MwSrH9Ux42i8EGkOLq96Y5exp3pnHRdDrsgZHfV57oA D2Tt9fl0neyN36cJUJdJ4QEfHIA45GuEiP7zztbDH5z2d/CUQano4DrbREWhOHq82OPVl1PZl4DW prvHQuPIj6cwFh9GV1/zjnaMnzWboKxNN6aTwRVrdtIjZDB1TY+f/JS8VBSMEyMGX/fsg46ngW4E SwNEXUTRuLCmKuWSrw63VlGFWPqLwnHqxcK9JXm9eyU/nqBxZBNFkQCVKqNwfGQTaSn1js5+OYcI HBsnw8yelhwYJjC/G1dtRgrromVsY74T9bgFWMedbPhyMHQK3CvMoTF/3nl61ehMip4kWLbQlPBo /J2nh+CUWQuwEFYggDrBRUlXR2j27daD7zS9r+hJklYaLXo6G5d6oChYolcBWy/xqbDlBtqX2H1+ PrFi+c7TY2dA/bilAYhF4TN7rSjS0tJjft55elgYyQspbOGdZbJy8mP8naWHVZyJzlRY0KmuYV4M YEcULzZt1I40T+/ROAqCWk7VXHTq46KzzuFV+qD2zzm7TzpXyDvrhIbiC0+ity9DSOvpPRpH5a+K ns7KHCB4JPWcg5zycT90Flifd4oe53l+HeQAlpF4Y/MURuOToUBtvp5a2s2jgm2OhPXkQNM03d75 eVV9MmYr5oO2rw/auSfySVwmOS/nCq1UcoawIs7zMrtIjldPgyIt7/Q8fM+mYzNaxTZYmJm6ZEPQ z/i8s/PseHuiAlSg1rw1p3dyHjY7aqyAOQ2H3Eu3c7Dm2m8SPNxR/p2bh9PJeGbTpKTmlZIqaM/A 3lMi51XCticqwC87aS6Jc93qu7Kuemfm+Syhrtp84Cyd44+uTyhs1/V55+VVAX+sMjfsdAK6z6cp CsYb2S57XfsFWgGaPJIihEYhG+/IvdPy6vWpGNXYnvVhe05AC89Rh7BgQ3oNdye+Ewuw4peqLPL6 Kb70xkH0RCh07pQJzA7jgHPfpPIxeAnr887KqyZMpHkSMwYlzBsVvLPy2NyV18ntOklmnKf4XO3S EqDBrnl6j8WzSb8irUt3tHlq55hbzFRgN3QWBOQ1Tw4MvducKTrRHgcGFNX5Pe+n05KAoqQnOXCe wOJOyZbI/rxz8ngc+3pi4AUf2DGaV8PfKXnPPHUjaqCmMvNz2yWfJ0RZOp3eg/HzO5tdsylIpS8F qfOhA7E9odCVbq/mIHt+3EU+ZNnjJndl6I2jeZI9zNZQ4busWibK7gyIxt6fd0ZelU66bbMfOe32 nD23eyfkVdkeAqNzBdysW4d9l4vR9FCjc83ldz5edXMBSAXVOzrJE/ZVkA5mo7eg1m721p2/Jmx0 6i2qEGf3eefj8RjG8U1zRBtUVDnbdxvGMPM136dp3X3HKT3HTu+3pvJOxmPrgAzYfd0F2s7e7G0o k6imcradtQ7euXisqXCami2n9rWczowDDLQrtaPaw62CzVeHYd0kWlzCGUT1vlPxnl0HRQUblLSA UEdzl3PWjs87Ew+31vxu94K/QxyldcTDSJyVJ9h0ew9K2DiGTmV66FTZcWRLPCyMEwkAmNczapbO 0kFPKrFAhxZKNv41PIoZ6PxsFuikRQbayOedhlcFRgKOk05fHMTtRI9IDd9zC3zeWXjM0+f3loN7 TrtH0zsJz1vp52ja8x5Ny91hQMD0ALP4Ef7OwWNiR9zzqNpyHDVLyComGprAViRAbaWXC7M4Vsrx AH79LvZPLnrjP6dp9eIDj3AAxJvKveeW+Lwz8KophNw4HFKabd+q0zsBz6tVrWRrgWeat1iXhemp 4yu6OVe98++quxGfjJ/uZhrtZDqzNM7/lWg+an1F/teDbsQQ3EKnxQ/wNvTCQVpXGerAe8kGW0wj yysLsdfnnXtXzSBPsyT4L7LffldTFIZTqKBR2FjTVFrT7UZ7RYPRL6hKC/D8zru7TZYTWuvC42h7 rhQYDxdWnSq8BIZpJCVAkoi0o1OF2Bm8Xj/vtDumKwwwm5AowOCymVnPDq5iVszz7Z931t3vggrr VWcZdxZVNFFhIL7oaEmlFKlk7Jx8PckanPq3dDTneorK4tKJRI4472gTVUnrUZfl3IFw6DRb3kRq EeKnK+qKjh5f+I+mHeh6ywcd4ZCAUCDeR/m8E+4Qt+zvqjiazp3IJs3Sexzu2n9WuZQ9zPAGcJUT IWZpQ0FCsxQVxdNQjXf3Z7Rdt3B8L3A3oby5Up2SkyrIXQfLC5Tf9CbL1hsHvShyffpiUYWDDqdx ziQZsqbO1wxWU/5GxyHT6Gi02DRFYTgL2CcMH24iCz83S353vybgnQoyPMPDkrjgOmii3NFL4gMK Wo3clY4qfyWDs9PNMoN8WkpLl8FJCOE7045VTMZLQAnZoKJThXcR99HCr/kehiOe8ORXIN/zaQuI BJunKAyXufRWkYDA+Vqno1LydIuvMpdZyr/T7BgRqAWcVVTZUuOY5Jt3/hebNLsCTQPq2J1zN4Ma z3vVhWkM3/xOskMRsslltyoiQPjBw6mefZDZi4KtxuedZIdpnv27SADC0JyOKHjn2GGaupZTuqB5 +RAicDKneVExkD1wOYVF8aQOeev/n7HvTJImR479X2dpG4MW97/Yg7tHIOt7zOhemnExS05WZaMg Qrh4Rr/rMrQV+zZhtrOe0mannOVwnN8n9vTaXKZT1+edYcfDSfivIqMY0AR4xVWYz7AGOWbm83+l v1LAkOvjvtddFIhLcHrPu/HkncmN113QtYJAb8Xed34d/oJi+iHmL8/b4MekSU9uB3kP2jSeyw/x M4wEcENWrOmqekp/BAze6XXcAlhKvRA3z0HnEwh94qycz/i8s+vwmtkxKkUKUhD8LN4rf2fX4YCi MHAdYzvCuQxTZVtzsvOLLd8o/kzoZRyKG0p+7TtezNyao6F6AipwzxDzwQEFj+qcCXyV4cKS2u3S G7/PU6W/9cqETS6h94kCOtF/kn5tnZ93bl19ZLk7OdMZseLqxaPMd24da7SkTBbAsyx8Ws39YUiq ALt1bITNFQfUO7WuXgertX1dlYuWPzsMiHmCwjoEygdtP7vYeECP0XjQ4fKUznpn1uF72DYg3ZrD bVKi48Kz+WSOn3deHcsZ35kd7o4BPQibpjAYN/HkXe5ywpXN5bTcfQhedmh6IMp8Z9XxqGsGuah3 9CpmOTfbHsx/RwEBhtsuETQKw2pCYp/Uzt74FxDmudty1VBsmjpkudg7OOvp886pq49Vo3Xt0Jfp IKXaPL1H48gB2CqH1K1U67ak8Tcz4CbO2Jmnke2+e6fUeXcVCjTSb+V4D/IEfTXCeTtqmpVFcWHt MpSuCoitFhcMsi/eKXU4UHU8LRYKOAjydEIEiTWjq/N5Z9QxrPDwiUvvXCILtAabpigWXxT3G+VJ gfPy6hxhbYbELMmizHc6HVNg5XS1ljvaNIFqIVeutuFmsKuZXKETNmE6lVGusRRY9ZR3Oh0JBgwI Rr6DVwpWNcRTa593Nh2L6t941ZyY+9zk7p1MRwQQhcUUAZCCuDx8Wj15z+6krcN6Ue9cOt63Q1U5 FVRyuQWVc95NuEIrGm9nNa0hg3WaKkHeeacbjG/85Z93Jh0rBQQaAi1hg3KWk8U3Vldq3+PzzqPj 9tlfhxNkEvq4RIx3Gp1zgJoUbhgTlO4dO/AdTAN4IYW1WQqDcRVJKmsPNnpmd3LxAb8OaoqdH7sk qxSgcn9OmJ81rpTD1GKKSuIiYQzJSg+XlYb8oIToxc18p9DxaLsxJsXX0PdL9W66KBan8nFDXuo1 zFVvA5hSZgoyIZOlaYpjcU5Ta0puW7tKyR2srZO4UnoNOskT4gtkT9NaNmcTalW/Dtfy550/V+WJ gBZUaT5oz61UxjL17fl5p89xmr6rc5AbGP256aJQvCd5N18xTUgm/ZhCXWlGIF8k0rCe0qJQPBWD XbR5Rz/BO4rUaKTQegK8YakeQoGyt02QysWsIjDF1wTxQBK9UCDD5iDDAQNc9cvPL4rHg/jyHwx0 RXFu1rvlwjCc7ZVJxy+ZKZTSrZiSxoWsIt61Azyqh9ssUUXGR5ulUcB9klMcJC42Soc4mNDVOQH6 QFuz3Sa59lwUhoPydyIx6AjZ4HsuT/oPIkLG4wGW4E4TD2vwiL72XA/D8CRXqscgdV0f2bzcHuBk G5fd8x6GW3kwAdxS7ujVOViHQq+cR9O6lpZz0A64ZiK2PQonabXHbEzuuUJhOg62mprJVuMAweMR AvrB8PzQwRj+IJ6t9CgKZ63qfPD25TTS9OVknDFsutncm6NH2JRB6Bwwr+OONk1nkhPSRbVXoKEh 53kYirKWdv7LeuoE1ALpUUm80miiJxbnOGie4DpWjRE98XjUrFv/zBNUN/LlQfUwDO/UhCzjKoet 5diUlnL1LjmgvTzCe1QTFwr0hCXSG+XoZacCrfbGuGkAMU5T1N1IvgOa8odaNF4nSPbGv4Hniiwn ChLeKo5lAVpDdYJW8Pz78TQuvxeTCgnUH9rL2USF5MzKQymN6nH4ugYK8Nw2lGEFTYX5So/i8Kn4 exqtbn7R6joAcXVSvP2cmeNskkpX0kIRrDzgunWJ9Zvpb4/icKDK0c+Udi1NaDBPBXV4En7RjcDj f1FY1Tw4W65fMGaPCJoU5D3T4B6W2GJS1j6RrXsunR8aDopMV3oYh6t5kGQzodG7v3uCZkCjF8Bv 06DE6G60lkeTfMybrSxedj2qiS/j+Uo3E9AiMQjOKQdoJpbT+To8H0zT2N8xAQBmY3gY3n8Nw1sa D7I3L19N51WSA6DRWNJqCkmaU0IpyeyoHg9ZoEXOpstkQ+PwOP9vrrgEz1zZsVdC53Q81aw3DoIC ZnVyDoKuiKnunX2bWYeg9fzG88G2e8qY2btRvd3oqYd1cZbnFnk6/xV1gM1udy2awQoDTYUOHuRx KM4Dqq1Z7+gUjT1R1mV5bnfoTtBjcFL4AAAceGlcatTCr4bv+a3s1HqTzwQ+Qi6M7UzbVpH5/OH4 gOAo7/vrhIJ/7ZgXjdnfg3EXoKsUJ+VJXqE8jzlDSIK2/n8kLW2vO/UoGN+ihE8F4xptSeFIGrmy 7nT2GGp1cnbJVCA/N145+di1LaG6aI8q45VSfUtkDQ7CO8HsLEtRLjU8HjF/v3EX6KyOeWFhPUKL Ey1xbqQhU+uTkSU3lzjnCIWJ0HbE1WI7L4KnmA/Oufm7jfyVGWcS2oQeBVRF0TSfiPDY3MxZpZQH BM31he+JAihKEEzuPA5GITuXsi2sxccjLKbXU6rqmGddDhnhcKLCgJzunucVpR8DXEn3ibI6Cytn 0EzSxovq4isL/NyFn+No6wn8/QkPAzI3oWffwbVL1HSBzj3qa+6WgEBIbxwlwaJuMrtr1bK7Chme rcPqpDN4PCo83fW0OVHnrca+beARReRTsvatO0alzVtSoT6/de5wQjHUHLE8ivEPar3jbXCCqZHY uatgzlLGEEZVG/JADbM2nMuSeJSPKCLPTZKrEmAlv5sHMvRduxp3OePxICIf6evGa1T/T3eWooCc ja8TbRtUlQIFxtJAPd6twOEFboz7sCxu1M1sTnAPkqeiCnoyF+Z38LoiWgeC9uADkxo1hrPJC/t9 +Jrfyr1zEskDVdIfQS5ygXA3O0wN0xRiVC5WnP8ugjGw3WyafseK49rwaboY6KkGgDga01GrIyqL 0xYuZZoaaSTTFnnLhghIyfT0zIBhFYGjEmr/DcEsMP1ukYB7CF8TgFYp+z8Ho/I5ePtylkZaXY3d E2WOqCqe76ZjKogbGK0xm6UQotLJZCG3wUxihztJdHbPWCxIxUnlIwrGF3VAQOjxsdos9ZO79bNU NksqFX02E1orDIPXIGLbYwIUg/A1EUKF/F/0hmwQxLARcMhi79h4/H2WKEJ2rzpEjSM/Wy6KxaGv AyvdK+DQVOAlnr4m52cUrE2tpSgWn4a4gH6Aj1aeAz4F/1EFKVilqGuVqVUMkf4M6J+xgPkgvieS lOE0bRGIcWiLzHR+1MRD9fzdJeP5qGX3T4HurK0BOKfNUxSME2DeZA9s0r0lWw6c+mUjzpysjDmi YHwJrQsvmzv6TXdu7vNbdKR2J7sfNGXGPEGtaADggXjUyfeUKBhRTdz0VYXv5WAdlvM5JgwyJh7/ C1CPxyYRMhfXO0Kc+CTaKV8oJrinxh4Dw9muOcj+K7MbEU686pqjCbePXqA7axvdRgo4nduOnu1U 6qNrAyOh8sDEq144OsCh6QgHBA1J7mUJGvnsHDD/HWEUvvz8ZsS9M1/JZymEp2QZt0zrHJxfvHvn AEURg6dUhZeJnxTsua28F0AWjaTYsti7kKGAbNVAOoDrovpQiMYp/LPb9RlmmQFfEwRNpMqjsM6h mEZMTaD6MIQaJXU8H0VNntcxqsN2GQ9KfMRh+JRcSvc9N7cnwEniF7LuTFYnGGEYLhjPTOIZcrxd clDsgB2Ac+c+CzahrVkrjcHRPK2drknadIwuRxiGN2qnzELtFCqj00agLmQQ7HKucyvgA4JKQR1f CwqNoIlgxyYqDsOnhOXcNXAMUqVVd+rL6k4LRoaaqLgubnLiUmbg6OSxc4ycTUHQ6jlORoJ+ImR4 6MoMgGOBd6W7IOgQD0mbVVIOpLFA80I4E5TOIF+OFXViMTz/V0WFB/6ZIrrEaZ5mWBevlKqvfXu6 QvgE0xUwdm2e2AtmXjfjKLyp8SvnsvJYwfaziuZEx59nASR45OrSUAOG28YPfidv2RV74SD7LSw8 DVLtOPghnmWhBSA/Hg9m6V51iysP5I5yJZ2iKBzpFdL3KbjO+UdeQwSnLGaKbP/mSvTcCZxmSNnc ZhWf6x3tEC+wQOtrisRy9jBED096QhtUlDGhPPmF7V164yj7Za9O8eVyYGyFRHlKipx6wePR8fTd /0WwOiAdbdMU6qdQncu4BqyKj2a0gzVom2d+Gh4RzJixKUs3s/bh6KdThyhKo0PEgCQcLvKNhjlt Tc9HIrK6GB4KOcy4Kq5yuIrjyaeplzS3LFtOFoTH/2IiUkzsBFgULrNpisJw2im33HO2aULMbP3f 8dgkAeho0xTWxM2pulki4hbSKPaOAbsnUsdgFT8lDZ2gKP5D6QMJg5r569ILB7NECmIvRci5YlLg o0MzQHLrZeLxiMPyXXHKcJISk0bTFMXhJL2jb2SR07mTHrWL3N33Do7JNk0xYdPiy9Lv6AjDE1LA xpRH0z4xVU4ibMIeE9LIeU830cgEO35mCE1hYW4mInim3xOVNvQ6mkqvnxlF4QRkPTjxE7CNXe4B HpbEJ2mtubo9EhGXii8hKmyR0wDOkxfdjJApfYtXt+q4o81Sxl3dAXeAkdSmuAYXE4j6UMH4wbXl kRPNOWcUhVfqYa9K463ltwQg2jDX5veN8ZkhXfMuJoJbIQBIHW2bpgiaQqREK3t5GE544QXw+NGU u5vjzqgeTo5qYs/gjt6HOolcAz+GbpNj5qE9V2ECSB7txsVkaHpypGcUhifGAQti85PyCQrDoYJE /6sfGDDh8SilK1/JyskuoaR6t1wUhqOLDwhBHrcm1z31PfGfo+lhQmJbLhQvtJbusmrTemBOcAYH G52k1hM+jS0XEqqkDKRzkI+8TYOtFw62HCUJei3TB9XkIPMiK5Zzc+Dx/wUNBltW2iDaLEXIFDQa AUeZ1+ZuZ7e5K606uw6WxjZLURA+bKu1r9Gbv/VEBKsSW4h4aar5u0AGhmgf5DzmUwpPeuEA+Sxt x5KE6N3W7MalPAb9tUD4wfN/AXiy8c1oTeHzFHI1UWQjy9DmifGL4ZykrAbiGBpgNk+hcIruudoF LuToLERogSU5wqMf1YZM3jr6HEO0h34vOvSq+D2/RE3wM5g+GNBpJfHKz+HX8XgUXH4LE8CdZ6zh J/iKQnDSkwHz9BJva9s2HfSk/ATHatA0rQiaYgXwNPK844XwLIRLlAk719DJrgUHq2AaDJgPttpd DkTCBCsshGdSV1DGs0EIHsT5yllOQoHHI7kiX014kCI15VZ4V1gIH1WqKV7hXbwteDRVwvx0NKFF rFkKkSmmzbcMJ76u6jzx4QtQC/LtO7TU1PoF8pluhZD4cJzTsheO2gU0XqkUna+gww6d4EANU6D3 XLgFz0diavXrBD+5M/I/v+dWKBcuadU+9g3Bt1vaUYTZgiZY2WiaQmDKElB1Fx95Rv7IwwA0YsgC gUbUaYBLCCa8DVBxXKU+QdP6afiaoBAuUX6iwjQIv3P+AkkUQHUbj/+lHEoHyjPFgJP7LEURuEwS 23S4BXpPxRbTmi6qziNY0cAK0eGSVxUNz0ZbTEhs20BJCVkvEXWKwKG4Oqao3DcaIGhuhYVwwXmB PeeWmxKrgMDLqryoTiJU8PxfJxPROxSwTzfvXVEIjqwfsOekiuX5x9zN8+/8VKw8QUgoL9cIWyFV s6kpvsQ6mGpyKGpqIyOYYd4LoYjJZmZflApDD8pwKYbemXrjqJnZpV3I8gBAdJIwnAuwQu26mfH8 X+wVTBQRvXN6RLCiIDxJ+YpmLFK+Ugi15LPknhitObtuhaIpoot1cX81ap4ygJfnXdh+Ov8MnGEC yglo6lyp7oNioBn+0W4e3xPgd+jyQGNTGyzx3W14rsLXDGApF16oWviG299dTiE+XG4Psywryp37 eluuQsEAK8qlZTYrKwzCFV7Cv+2OHjgtujWpNw7LW+TAW07NOaPyBOa8Yy2yrrpYM4W9cTU1m/De XE0lqwEFqiAe/0shjO0F2Aflq1+4oigcXURME+Mmqqfk7qspwaRKlLG5CCHANIX4cIk+zyFTGo42 TdC4ObGqOD68TNkMbzykMi0Q2DMwSAruSHxPlKxQX3VS64L9WuIGYHwqcaSBotwKi+H7xpdDb/ez 2iVrrpCsSTnMVK/8cxrFtUBysSYnJFPcN2vFmBSVmRyvW+rTG4d1a4EMhrhQ7HfBkBTXs9LFPbzr W2iJiu8JdGi3GnUEhXHQ4XSyOnObPlc3Hv9TkJ6FFIi7pmc9xVRNaabMyzfoHodDmaB7vrKXYZ9X LCYuwblaxh39tgMEdm9pSsBNBHfnRvMABxoLZftLSI3yzytUE+d+q5K+qlf6ChZpCgpqnhWP/yUt 48pXe93LbkdxOOUroQp2k99cXA6k7qvLh+KgQqcdq4lLLrQreuTolx3Oowy4Pvx6IG+8sO0WrOoW nDvXo+ck7/IdheGNrkZQm/LBFhMkptmMOskRHo8oPv+cTSw3Xf2dHdI0s0AoxVXU8rZL72yDnX2S NioO0qWPNVOm5Wnljt70xVrNi/2Cs6bYKaBd66QZNxz90rhm3HQVxfcE9SYWUk7AmnwQvAneZVv1 ppP77vcw3FL0y6vDtd6JwdQ0hfhw0CwabRksDJ+GTj139E5uAkEHRk1THIYLtjNWvuMtEcDoCAoA J8BcML0zjywwe0iNy+jgXAfgoTeOynLEyYGpYoOgFuf8Ezwc/4DH/6JpEi0HjtG6dIMdsjTZzqzp VlLGqC42h4KQrabERi2nKQSkUPkZ7Mx2R683dSixALYEqAWza1ZSYMOyyadFiuPdJ150O4rDcQyc G67TsJyDwiZUbsTK2FhMESCFVJlbbyqgF0Eq0WYpBIczbGq5eB2l56mCJeKB6RwfYto0SzFJU3Wm aYWC+SUN1qizqsU0EU4KQlABkBs0Ynt6vpS6w9dEsB1KgFQSojp+vGIua63IV+wszo3nI8WU/nU0 gfc+0zNNURAuabBRxnDuSi3XVWQW84FaiHZsmkI8ylbKqynQ6ODwk/CdWSeGAEDDbhEo6JQ/5w48 6yJdDBgFmvA1QdSkqqWaT92bTyDe7qotV3GAhxY++XsxTcg+rwsM3xEehe3sJvk/E1Ar7va752i+ mKgFylkKdQu3Ul7UAXy8i2nBvIfBADg+yeooBdf/YAmfMkWrKaVreuOoxivC2Bg+qMbbcfJvFdr4 eASVu20VRlgZJrq33rSjILw2oublcUDC2N7T5ilNo5FVKLj40RQiUoxqUGX5y9Ea46UkuPDmzSbd 4MErkD1qGJ1ytcVtDgsxRPieILgsLA7QgU2D5qlC2I9nU2oDjwe5yj+MDHBPRxv3ooticBHGOqvI 3HR9PJYZisFlmdGNpbnjGNzaT9ZXWY8MSEZnJZMdhiJWoawjxbFh2gwIB12zDI+yp144qqTIoYbM 33ZLvAt+M4wNoK+PxyN6j286/IgQOSIC32YpisB57kNZ1sWc4Edgs0QWvLn5tGQszR2hUaZV46ac tjnaLDU6QRbWmxCvgtYvrDPYh2wfNI8tCw1u8DVBbEn/ld6JCeegew5AYWpbtDIHHv9Lj68k8uzR /EdP2iZKEKDXL55WAR+W+xo3GlCaLQIwkgiKIBKPktPv0oU4JuodvZSCDgpEHulV1xJtH0+oWeR8 hDwFKo+orNHTZ9s7B4zWKnvRKv4vdq3Mj1jWI4G+5ckPCE7y+n2SQ9p676uPLc2V9wuAOiksqf1H eSeKzRCVQmWTTE4r1LJ9rsJg3FyituDxHA3kBMOUxoAQRlpYuosJMKKoTCZUAYLGWYgMxvFFkWgo dTDZO9DAhQUJFlE0EMjy+SBrufVeErYyPMQWPN99st4jcg81s1wipfu4khVVACKxEh1ECE3FP4UQ 8W5VAgnMcLyTVRvMOintBDRPZvGp0lIrQ40ECsVX2JjVAqJhwuI23bQ4gFzFqins0xN1OsBwWvyA IJDK+3u2IKo25nh2YVgfZ2Vlb1cLaxDYt/p46dfjd+e+fLJCU01p0/cmFgtHm6yE1h/WEzXqT47X 6fu1Ft0QAZeryKQ9zZPnQYpC865iQaW8MQfRopAPFVXtxuDzEf/+bkJMMlq6J5S7niwpis6BkKUC nSMN6fBsJ9bcxYqaE4BL+WikqEg+ZDxKpTkfba5A2DzhU1rchWhXlHJRTwW1TShFexkqyw4pRUiV wUuwy8e2u48tlO6J1keUNDKfD/KY8o/Zb5YBASyCfLbCSnmXDl2Vyy+syGimhSu4NdcvOnE2mBM6 s8JSuRnayvJXo2fGHfDowT7eyQJOUNJBJINhH94TMQsQUHJFKgTE8YsiyCH75p2UDQ6arYkemGwi hp6PfH+/y3ZY5JBqulMVRuqJlLthAF+UWpYtrM1gyJhkMnDv+qggapCB+2qp39Hrm9BTqVC5wInV Osx/ZNsG0WzER2g8+PG+c7N3/o2w0SQYwiEpjxmd6uSoIjQ+H4VX/8jzAGq26lV7yimUVEnMaqph VxFBrO6bMFFwrVBSJbvZfYo9fwzvq83I0TbhOY5O6Cn11XNDQo2OphrsJDcWRcFFswMLlrN65yCv UQtG1OAixR3qh6F6aqi6xuf/wmhKkP3k8nCnvXMVhewAjwMaPbyEN+pwWIakUOXeBp6AuSZGyipF 4HG4r9zRolFwEtFhxbrq51JXbAUbKZB5EFHudZt6Sy5SKZQa56E+ClvqHIRDOb9H1812/m98PtiB 9e5A8YhZk/6aqyhwX4JHEwtqjKlarEDFbrfSG9pA21xFtXPpPp9VU57R9yA6lmwBw0uq55P9L3pJ AY7CznJhfiP+HdnB+J7fSlSrpOqD6p0NkSJ5r4PBaOj+0/4B+yApmvk2Y3JoxilByLTc1JWCgT5V s7kN91lg7nYXuHHCD0tavaTF2WgZ8/m3seGqCJ0nZzXuELxo0NrdqOa162vT/J0jzjkN71KtPihl PmHKaoa+n3w+Oq7y91zhNpj7kqlzaMjJ+xMcRd+CvbRb0VNphir22SWQc+DIiVtCCllZEDKOBo06 gfpZQ6NtARDAPla3FEFzo7DvuHwXWbbk2JFTLVCgwG0w9qvOV5IQK5+P5H2/C8Swbx7tCdsDS053 nJ7c5SrqgYgvFagmdDl2RaKQOi/B0JNzWepMrpGNtqpAyGP6zdQZtlISAW5AqXW5c5d+p6o0e+do qgqzZjYeOrXhVWIAK5RA8VQHn/+ra6zNhvQdd4rPVVRNX8S4mq4YS8bMxlTakxEQThGaddqqCqN2 OZRBEOmOmqsJeMYJz6hSVyAkkkyQBeyIc3ahzPAYAY1mr/yL6APKnM0HAe5Q9GGZ7Pzdlc//hUOQ MCmy5/6sqlCCPLFdLHU6zJS5llINSv4kVIOC3YfNVMjxLH5WlTvaFbhw1k0UhnFWDbQcuKrAjkUV 9PzKyDatbtWHv3MARZisf2bqRudMnjdVP0+6JBPqk7bz+ah57OHCVsb9A3rUnar3gB2VAZauen02 oOE5AWov0zcgedPagLEhkKZqmfThFyb4LE9muESUgV2/dFtC7VkWx7nnx+21T3vnyD2YYlCTZxUH 7SNkFVMcoVX4fKSJ3L6jUMQoTyk0B/acWdI/iKDbzQQvCigzybCseRj9JYf+nEs1q8l/w0YLQgcE 1+A3ieboufGmBeyohW7KrUAY2Mt8Kl2F/pyK19lPssGSZuKEf6js1/l8cKpfmDlp8jjR57jI4BxY dFoZB+uv+1TlXh3iwgoj5VmXkuakjwqOKpONTuKUcbSp6oN6v5SKhLrcAn0U/jSNqr/glJR0/c1r tVf+5QIEvzP7YH1k6HowBj2HGZ+P5Gm+uUKwbZrguPpMhWDzSQ0rCdxTuF2tLBIXsvWX6zSCXtJH /apwP3p6RotAIeuDNICuilBMGKR8JvKI8VlwtE0XDdSbvXPgBLDlZC0OWvdV1SaM9XRqtcLnI+12 Ly8sXZw4Ap4INMSbV9WO2/ZFpVmjo6mMSnCEdPSKlQTGTp1dd9pa/Y7ejIB2+8gSqTn3T0e3kyW+ zKmCj1j6YlZNe+dIp1UlmDl9SJYlj8kM7qyqzeeDzKb8AwmqNIhG7GqTFZp1EspzEubqh1XtxSfL dMgmIYt0ocRkhW6dRjbfTVUGjrYDzxLtc2WawsPjdFcWYhZChN0LgTlPe6vZG0edG2LxCqMrRmaM JM/PAaVcXIDnn/j8n5KIjDbPpTLRjfaZCsvsCPLBMRDjE5XjZJLbG/QG6kYBdri9thAadg6bqSxc HkfH4w0AHoqEDs4KW5PeCSg3/JyQd5lcq7TZ2AjMoV+nAtBBeWUNhlIAnFZuSn3y+Yhy3b9DhXNs zPbEn7FhJ/2UMotLRAtPqUBsLqlVrbZHVScdVYFj51lSJva3TEtrXS2tE/aDftZzN+Di3IQLU/Uo E78BVeCL76zJ3vlXOrEQeSeZPBNH6NQGG4e/zgkCNz/gz2CdQRh4g70++y+GvjDuvPvvZETFsbCc Id6AZ7Jy81UVYtCHKgrbhKL2IxR11tOC1CbBsHuc9XY2AW0E9fsADDvacwVue+cAsJCpeE83ptLF V0R172zJUURazHrRiEe0v6/As58HtCx9qsJonbI1UAmwEPScb25AlWp2z7c+pmHOcmDdKcgFQ89U 7+gMmcHWlioL4IJY0bShE0goCUo0t8JOb4Acm3dmlqpksQQm4I8kMjeWP/fgWbuTH/AXnT9buNBx APpcRfX1RgbfSIbEwz+aQ0cBUHw7CGb25HMVomB0B67lfJl+i8YroS+bmdm0c8Bs4t1SkkoaoWq5 umJUJsedXxSF6/ub6mg4wAL68pRFXq+Nz/9FT2Nxj8paT+MmdPDErkCOfB08F1m6shJkRVIy3DMv n6pQI1FpcmmmRNaSZzbwEkylIi2GA3oryUKLARVqnMg/6PK4aNSa9soBxCPRMRelXhtUB6WUTNNM bT4fFWHyd2A1wEn6OqtCWihbXKkWd+ySqqg2YL/elJWAbM3UH4rlqfV8R4dWQZ50N1aMT1g9apfD UAI/cLEsP1izYtMm21SFaBjhGTObNirtcFEBzKCT+gTxfD5Kly+EAdNC7eTxtE5DH88ucmhPbgM3 eh6eL6/kCspoH1i+HBh5oh0oRe6Z5h19rs4PXZGxybgL5H5u0gIm+4RE2e43sAKYQ98T3IGL2V9j MbT72i3IcqTIfZZa5vPBUTX/9VSA90zpz7kexeu0HqJFoqNikvHVofC1sqNiGI5oqsJ4fchrGEgA H/0KrIihNs+qAT7ekkkHYUMVUP2Ey32qI0+Gfw7dPAHumwWSsKT4w+dZR9VJwJdWVe18/i91YJme jp+VnhA09PM0E12Soa1efG06ThjnTpWgpdgGjA09Dds4Sruj3YATGROYudyAJ+ZcyxycmReisrQv rU/g9Bw6evJQryfgTD5YvXjQ0tFL64Gl53e0vrj9qLh177/Q03MzBzzXn8+UOeqysrf7tdFl20Az FQXrSzOU+qx3tJnqJwHUvQbkNbJzYY1qg0kGYPBwGXg47P7OAXKB1twJaCMbVK7K5zAn0fPk/JvP /2UwyOJWRV+xXUu4HBt7Nq4qOrUIpO4KUjw3r4U1Pl/xeujs6aWF0eodvV511tIaEkU4Me5JAhND UIhpoK/VSfb0pmlXdyv09tQGpDMKBhRUKdOCNZoJeYRNBD8gUnH75mhndTDHemYrxMSwZJVRGXfX ju2zZf4LVG1ZLvmefzH4lJRUtVCgXkfGAqvo8x/s2axSOxMvSEpNdQHPf87xSEqpHR84fKIt3qhV 2mVlDe1DcUQ6UEVs7y0APQKLT9Tnr9oGM2wUVlO5R3vs8TlFE8nrLq3u2oBFMAYqAXUQOTVZIWbd BMrWLHf0qtX5m6DjN5UKoixDIz1WQeg8Bpm/C+3Hkckv+q0WOtHTx4B/j2gr/Bns+kLSbfIDopD9 +8hCL3yma6SXA6dP0OmBgxl1ToPEjDqTYxdo0A26H5AaXrYKrT4Nkg1Zmzt6jzlBxBqdVWzDjqyW fD+wIHIGFSET92jUo+TvHBHZmC9vkvfNFZ34UFivKxBFMTQw+8TV77sQz6GjgOrQnaooZEczmm4C zrNtCq4kzenF0EX1ZVtWESTGMVZ7pDt6dgPwJLqCWFbnl4fMGNBDyCz2QsGH7WWn1fgrR7UYUkUy UR4zX6HAs6rWYoFhJK6qEL+ebj+eiL+T7JBM5HMVl9iJ4XONYJStrnxSZ19A8pwpezUmtvw0ZfPV 0h2d9od6Q+p0lB8nLSwg3uC8IpK2IWZI89oxLTrK59D0c1Ko5Mzs8sGQVhCa4k2YV+fz/4urABR+ Zp/P0R7W2BvLemVXLxx3Q6LBHchgomjHt+xTFcbsYtrCU+aOFjSgZ5BnI9JqAnMMEd8NQx0QtQCM K4iaHWmlGnvo+ykKUh/pDkJaVSDnxURai88HndN8g3ZdhAmYx/XEoiGWndoJhbhrlkPLYC2BCiBo sMhZAHmbH1exrItkADmdNmqy4DmIyG1lQ2iDk3Q232CGzbCIPWlrc2Uh+ALrT7dm6qXegZO1YPHY BKllhBVV2ce/prtQlRkAuthcxeafCGIb8I6eOI9LtimZexB/yLjuTDlw/zwf1czqKz2j4TzOXwRJ CPnpoMKbjSuI2n2DbH5nR55km0E7nRy4fzpFeU/WZDYTDwajiFKUNxO8ENh/Qmb8n448MAHryQVD +0/oVYI80py8tUd17MJIt8ZHiqnNVIRlX8KFotJyRy/GdCCZuxz2JlzZJdvRANMfEPI8/6ftyeBQ dBUYgGqvEz7LbnPBeZuVDQ6x0NCR2PyAqCXofS52MvAO9QkYQg9QCj6cv2Z4OYbdMavxiWdqRlzN 8ubABPRmg/Ss8tGPq70hAT8kQIWSoTTfTghc4b08ftAGcVBaKvbKEc4jC+9PjwGydeRmkpiL8RYs ix8QgR3/UYE9J/uXXG4ObEDP94pOIhPn9giaEWhVjQMHSEzxcmjsA9oNYOWAq1uNAbwYTSHykwoE TruqMSB0YaoKSkfeESRkmN8TtbmI8+jUX+agxBn2Q8XKfZXPR3y3f4rs6GjgX/aZClXPiTbumxff kEHatH6E2c1Cjpw6LTrWYytQQzpWCSxx9H4g3AXAeTJLq1rJjwDb+2cBeMMSqCsHqSMfWoHSAaUm 1HNssLox0Ma8AuHemEMv0GdNifG2/6nwhV6guKDB1JoeWOVHnmPnx3K+t+wzFau9qMAyJBjA0bHG EFQgphheKHkP6XMU/qtQAfxBW+FBxGx754DPxZuvlCkTXipwMrKCmipT3nNuN35AUDlO3+Y6pBqu +hzrYcCejR7oAXtnzihITFsesE/sD5urKGAfRa1A4yXPh5Rb5q4AwrDLDE9tMCeoF5BINIW4GZii vgEplpcDU9BsJYaWCM9u0KKRY1OrzU71jApD6Ar6qHTQDwUQE+gc3rmKqux0vmi8x22u6nbv+dQe PX1cUzZXESamypswU1jFRgelndNg0Yb5XIEdGsNq7wAnY5Xj9pxV9CjMgTGo3PMoZFJ80A4EsnUZ 5Xvx+b9AoQJaodedbrTweQ2rmjGfW5rZZwru1TZT9BrXTPVRrRTzGlS1q1mdcq93tHkq558B5qPM cF+A/rNYc6I2AIAAc6R+rlSGae38GlI1qAom4mDkYtHvOQXpcBGY28LTL3PUUErRzhvSqKwwbt1i xZ+fJpohcuG79PSJCl3zZjS0AaGE0Jq3FR/MkJ1Q5/Zvd3QgzFnoe6k2fI4qZDK0kyPuddPjFuIj crBYOqBeZwgiG+wA/n9q1VDT3JS2PLtv4em3GXr4NfJQgXjhTFRhxgy9BlENBmks5602/BQf8+oJ yl4W993EIuMMvYZQ7Qk3pwJzjhaYQ5ny5C5V+DNw9qaVSVFCQ7oBjXiLNiex6q8BVDOcUIVblg8G aTzBn8pU5+r+vEZPmN+dbIZk/XzVYDFDr7ET1hAZ8GUVVwoo4wL6G2VIJM5RXab6NXJqOLnVakhS n7gUfjTdT+hEmhydZcdAAUmF9A2Vav6U9c5Q1uu+z5Dax2w8YUDxTx13iPKyUIlE5PMaNrXHP31Q lRJGWg3iLJqi16AJDyFiOYn9SB5eiqLMDpZ4klLmcDGF15CpSbaOBTuTx2sXb13Ai8RdVn4oo4A/ kKSjQVUnsEiQyfg+25R9e42YcBKpsFJr9sHqK9DAJjAWnfbXeAlPG01Z+4xYT5B5NEWv0RI252Yl uFWPwEd7AAlsowqQULe1rl5jpZbIHMYJVGk5pNG7oWB2Lfl/nlxlDmwrmqIRvQjKKAUp1Q5NMkoN pkjl3//Dczg7eeWtjbbK5zVMwoKovoqmqqCwr5q+016jJBzxhfWnZKZnSFi2y1Hib3MkAhpncj+J 5qhLO6GL6sDx3mfnrG+NLSuEuSB78rQmYJ/C/fPZaUznXgMk7DR4cFTUgDig1iz3nLO/5EAIRuHn NT7iUbZsjvADwiFsZnarMEWvwRGO60qDGOkFUuZ8XJHcLSobDWLulf8aGuG4NsHOpCTFrDUkoHDi 6kY/ZwgsJrjqiAqZWcuEQEn7CrnJdH+NjJrLTFCtzAbhOsdIU2Ic54r8vMZF/pJ3pwGqScdITdFr FbPJFxKNlukNqkFajaQ4enau2m7bWp+vNUzuc4+01x29mZ7HWSuDaJa5IZ5fhCcbVBXFBkPaY+C7 RWuY1wompggQ6JI6YQdnSHLMXWeCBEU5V2b5vNYvcaUZU1TROVJBWNPZFL3WLpuZu6Fc4odRYYTL KVqsagtxAN1P6XCEYZFB7dK+o6e4ELElKRf4/HwCJOKDSyaUGmq8FXUfh9zRsuq1bolfYk1RiLYG FeMq6qOSCgcO4PNatfznShOZlhXU5MvoncjXjIV+TqPuLfTWH7kpNdZZihu1OecxmKUhmZY9BdHn 6HutAWyxlbKdNYW8DZh86HFCKKH9ENHumi5q3b0H2InAU4AMTCjIN9uJUvrSOQyJt3cWH3bbTF+7 DRbtY6wbYUchNiB2QEIZcQ8urk94RKwc1Sc7eh7GIYoWE8vgOVsWkr+ykJ7hdHeCd+23sqBIAK9d qk+ii9NYXlQ7eA574+Dcpt7UtqOJaTBXE7rpTUqJe33eGXzNyL6cFbwe1Hlno0scv/Q9znamx2jr Srz17KupjHzvfzJdhB0LpmmbSL5fcvOBufaTpqCgK6YjevBTcCgKchW128uNkYYwwcE0dUaQjZw0 DUb0QHdDOdjm40GUNNrXwVTpE7b8envn7uHwJsb1nzDJvOEKxHq8ULn7vgif4PQ2I/mV97ij98tP WriJDW2boTLKMyaLsKBpVsC19/tNGhLv4bZBEc9Kn3dQypalJIsJA741DLeHx5JEBnUWlCjcrfZE sJooYHcutu05ySzmBV5MH8tW07xtgmiaZJnTu9QjOFradj7+JOdDBuB5ACRmDEeYxi4AMqiIoH7K VJHy16MpDUnmA50nPeo0TzrDfT5yQuEtmCexeTAruIMzhD0GWtc2T1HULbCK8kTBoFSjpDSui3eC M529Uf4edmf+zrTz6s9o3RT0GkGbImWhZNoQM3kjqJ4sCBR47Ayn+evnnbOHbYMw4KwZuKBp4Hoa E3VCqZmW8nmn7DWJoT5nOK3A5ljZ5ymMvMlurHO40E2j4LaE4Gv3SlIDPVTzFIXefQj6K0CRRj/E MTN7E9MzGppN2qKV5bNlmAZ3Ic7SQoiC78bEZGSmcCN7CgfJOFakcdftzzthj1ny/ponnDpTbvKc pij6nrT63K5kjgZ5cZOhnkwRAQ3y4tinKPyW+zdU7fYdHXZxbvlzXLGNOU8U26uCLBg2QWlt//BM cmq/qqnv8TcUblgNqCx4gxyivzePUUQBPfENH38PCfia9xBHxr1Gv5HTewR+VhPF8Cih7TiCfQlo uwqpgmmq3hmIQnA6qtNZ+hm9h3lCJKhUsYB7pmZNaStSeKwjqM5oRd5uU9cbv0/TlIdHb8UHgx7C O5RJ3QnnP+9EPfzBySMn7NCMkBFSZz5PURguh90yrcoGXyY3tT6hkxNlATOx2ts7T4+7jj3es6n2 Hf0URx98NUpxQfwdOHKh7Rac3CvVy+rTFJh642ieKLCyeUhx0Ol0forCAKESbRFF4gKZ3nwOxhly HWSFMgrEK/GZORsoE//YvERZ0vZpGqguqUQZBeKmtbxnqXd05jWlebAOZPYJfVTUBcAF3pRBQDPT BcvYu3xn6DFCY6EbiYENhkkZ4g4AOJE/7wQ9hhTTZ0mtywV9Xj+c3vl5DGupZ1OnlwbobWM2Q7t4 9WQUP8Pf6XlYl4YJM7MKjnY4AUbQGDk2mDCdaJC63ZmORAXCbhUuCH44ZXvjqCGAw4lWFhgQeAmW CaaW8jpotLzz83jZfYeYqFCvnHzXvdPz2DVhiEngNudp0qjWrGGWAVghKO/L6T0SR9tHRM/v0eYJ KwplFNZQSD1jwgJRRexz0J36lwy81tN7JG7BU2HLEANou6IjnJtdNx9cIz7v3DxrvDzBE0rC4Pj4 PIWh+Ng6xV27G+7NHhOo0cT+bnFBt3diHlNEs4ob6Y5+ip9zJ0OxgVJSA+qjyH+JmMukPaFMd2U0 KM7yzsvDDgC6ggo/PigmGOf0Fs5izvp5p+U1aTJapITE7hwFC6vbpikKxemD13JNHoqnfqWRCpNL CzGXlQneSXm8bFUm6PkZnewJlcY2VLXEzYcTkLiUs+4hV58BHLLCrmyr3il5XA2861hT0cBZGoCZ iZFwsq/POyGv5StNBnP1ZcfT7LST5zyFBXBULjsEim5mlzwUn+4hx7jP2ijvhDxPfpDReYb3ZZMO 1ZKJrAdNAua/7IAT5cqSJlycn2N86Y2D2CnJgjhnHzRRC5qyDArOTfF5p+O1B9ur5YRFAa8Rn6b3 SBzdJsoo1naVtiCzqVN88WtNlWx6P+49Ejdme6Jl3h19mtr5c0aB9gmar5t9Y7h94n7MaGWW9vgv ULHu807Gs8bfOZY2Q8y+jR1SzwfDfUcrZH/euXg8jsvX4TShsj66J8DvVDw2GBhXjnX5nWBWGGeD Ehbm5QGPOx5O75E4ImTB47J6BSXfQ/yEyAPZXWLv+1xTnfBwsMsGgCOYLKQ3ntgVe+NomnDLTRQ+ MZxjewgAjeXKcK2PvT/vRDxeNu17OUGHRcgvfmtYDCee8NxqNwGeyatz+mHJA+olGfDrnYXHMjUT OoCV7miHOJbSWT0MCuCOCzICIXJSrcGKxu9ll90g+PmdhcdTuBHwVe5gKIFNyVjVVj7vJLwmibyv EPNEqL3fstM7Be+WnbZI1CKWGdAExftHMz857PKdgYddp0xlsMRuo7fBF1XIeKede+/kdUb+RP7b K4BuhIhLMINQ3ncC3rPpRrmDEpZzIexusOfxeeff/V8whTzYfNO90+8Qb8mmYl9f65Sn9edOVlf8 bEJd3jrhYSAuCaQmMotGm6VOX/pMhVfAlbaABWkS8Q8N0s3inCTI8NznnXzHFhtJB5vxEwcxOoFT 3NJ33eXzzr3zC1lriaz+jLRu3GmKQScsDTBYMWHJ6YF4XtUZUvTc0DSFFXEZLyxbTOtZTGdDI/SC //AJNIkPUSWh5KzCwfkv+Pm7OD+56I2jeWoSSbyDAJZ5DbHdQY/5vBPveIR7SMBYUt5Hd5qiOJxm rycUng7frdlLvbCvdIoitr323DvrjiEY48qm41mjHU1nAZ0DL0n7D1TCbUAeKA5IwSFf6TGirj/v nDumdTiSimoFHLSaaGup5LeUzzvjjlGwz5IU3eB9BGi1TVMUhnfCT2q5mvky0QaRellnk1KrqLAT 5fxOt+Om0/Rkcc85apomuK1QS6CxNfwRhnqfFPWZNAOkUYU4GZNiIu9su5uuQBuClHOc+rzpUmfK iFv+rNPPO9kOP+fuX0c4MuYO83ObpygO3yKvpOZadjsVX049XcJ5664l+c60ww+l/tPgzNto81Tg IkCUNCgGjTKAvA3R2Ya17A9FMs1kF3Hp551nx2lSQFDuoCMc1cOtY3mUzzvLrplH9Y2b0G2GXI7P 0nsUngjroHLPlbGrozoMhXZZouVD90GzFBXEk+w8XvBeneWgvrccGM451AQyaCiFDMalVN30BsvW GwdtqEUeIlnBGnQ2TZhbE4CTOl8zKs3Nr7MJyu/9KTm9E+zwpfQi7qXfWkq+wu97Z7dJHyVZjeCd X9eSu8mfk3ve0UpOE4sJjkwML0dFGI42FPUOMliqBVUDp20SOfjOr2tSQYZxby8+qOZ03jwRoQ90 8eedXddMu+oLaXFWVb7tlXduHcImkgA39HNclO22DdB1tMpcRfOTUfg7ta65dg86uctGutoTqQsb IMCzKVwwBqjUSFbQJzjzlhnxe4VAoOZ3Yh3L/uS2CoI6aSzDowlMycEFfO58vuZf7Tq8HnQBvmBN 77w69iqo8pebs4DTMIrdualqcrO4jhRGqymsh+umy9lyu3xVNvv5nIYtRn45xN4zScAUS8gF1VnE 0NefAlvn886qI4BqE/ZFVh0xceplUhFRHOCZ+Xyw626PnFT0tVlq8HmKonCE/jBJurtujOW7bshm Fz9ymtO8rd8ZdXj/bHyeNu/ouw5m1pCrQ5ByZhBYC4DkqAvK0LWqltIfzYJ3Qh1+WR7eNMuwQYdT A+JFhLqdP+98Or7mPzndOZfGKN5eeWfT4XCi3wlosw5qhiqnmIeLei3/CfVBC3CgLeMwXIfSLvuO jmruAMpkYnbxh6EkjsOJflDkRZe5rh7+YJP8nUxHZLLciPfWYPidCYpmV2epzs87l+7/NOtIaIeE vc9TiEwp5EjC6z4rcoIVHxTw4dmN3Is6bASiVZxO71Q6u6qlPNPv6PSwMc//F6kFDnHQzjqxBPRL TOCU0HHQAfKUy3pn0nHb8ZKDMA+H5t3JWaRJ2k8q/3nn0bGUcedJBWL4nJTbr3sn0mEVs63ZWCDS gmJnigtqk7lLh4WWqpkNvfPo/KSDsraJkJYrQgrpJ9haV4Euzmt1EXkgVnyWGrY0mQSe2HW9cXCO sxQOdSQN5kfcAW1mcRnq8Z93Fh1DcU/s6F6FWjhOAZum90hcbR10qLuLtabSTNcPYY6LtdJnl7fd O4fu6f7mufcdPWEZZ+ehZ0c2AcTGKOsHm3H47OKGwBlvQQFN7T/vHDoWE7mQEtE/HIR0Ovs1NSdY fd4pdHx8fx9PJwGAIJhPU1gQl1Jye8wsq2Mu/kE6UyuGx1NYEFf6C3XAO9o0nXOuIUKhIM9ZNyPL svjE/6DvYEHTZlfpr4op7wQ6pL+MxFn9tMHKBJglEZ1b+7zz57CatsdO0n3aklv2eYoK4hC2PMcT 5dcFnMvrKtpO99lF48Buu3f6HCvNWyW5tu7oofhCsaPRtvkkkeeay3LWo10uyA+o5lgkTnuHzzt5 jtMETEreFADmYE3yJQFjcC/G550657i1J8QEa26Me4j/gUyppoiF9BcRtGIC2Qoxf4e1nGYpjMSV /vbC9opGT+vKoKWFJNdKzSNbiwEFTGB717jiDVOLKSqH9618jujCOQxdWMBXk5sl2ZjvrDnedReE iSkBAveLxfNOmmtSuEH2e4FO7fEjzsR2K8Tsw9oG75y55hJiGWqGd3QoQS/F5Z5OfAnYoqnY0UEO rs293l4d7uXPO2XO8jIo11DCj4P23IJ0W1PJaX7eGXPEg30vJjgcEcpgsxQG4out37pu9ssHJErX tukQnMCqmShdC7Hh6hZUNwgY6wJTzj6GPTf1LUAFGE0gzAmpiQ5CD4CuDlUFFBhfEwQEiUiCNe8g vAVuOZ7mMPXF40F8Ob4RvUSozXq3XBiGM76ctA2QfwKVIiRzOEyl7hwZ3Q1kW1QMT8X0IFVxKm0+ 9xwKBgWQJvjsppP6ypSjQ96HyIU8drsNcu25EJaCPQeBmu6D7bll2IXzJww8HuAIyjcsBeglczwj oScMw2nJIVdEiVoU5xmcFbyt4HQCwWWtlf4ehouOhBNpye+Fo51MGTwA2BxgMZ0Ub2XZ5mwqrIFm A5y2R+GkqfaYfymXFxZUOGgxYReYyP3m4xHu2Rt1gmtO+Mz4YupREM7ou1kUyS2Xr2ZmI8iDW25O b0D1CJUi46A8en5Gm6UKEmFGfZYHE6SLFLCDaNgESVxPjSDZGwfJCj0/R6cpHAdNE2yuJLJWT7LS oyD8X3YYKBYtJ6/y9jAEl79Lu9fcpL+JSgTNqCzn3c+lp/O7R8VwUxalv5SPDsCEtVwfVB2YXLoV seUoNHZBn+6cw+mWCMQPi0JwyYRVgFLp9oieDA+kiuNPJYJW8HyAclrfbNWMZHKmh0YXsjErb7e6 sgfhdFcgk76mPH9crx0NRhzhPQrCSVqEk3yZd7SJOgk8hMHYg1rQaZssOWUS6SuO0AaBEqvzbia/ PQrCUegHP6yKLVa9rI1OM/1f0YbA4xFn9RtGAOfDjgqqTVPEyERjIZPQYJW5tR2Cee5xF54DQdBk tXsYhNvZXQQxLG6ojDMcfY+TwbO5MveCt9IPvc1OwAK1IniG3FyFRsb4nl86mpW1HQxYT5JmAGgK ZyxEYSaej+669RWFQwlmjsvt7WEQDjh3y3k5tpAWiWaHWhxbuHra5sPYY16m8APAlfno2MIF9iX4 ROiuoMySNE8wotqoSdM/1o+nmvXGQUhQxF2lkFpFXa9LlyFN6Jn98P+88Xywnua/6wltsIt87lFB HEoXaNMmWQiC7ZjMY3dRL1pqV1BXVM2px2E47/lh1i7jkR4/73I+drHNiiIgKNWk+BYWciAuwBbF lROdeuNg21F5vE/BUgZdKbigiH3hDQvINz4gIrDsr/sOJZ8hi2tOVBSIJ9xjDVUA+iFg2wOKjKIT dGmRytHwHcEYi049CsSJooY5gtTmdn7U5gYVfDMdYycASSCKwdqKiqvw4yM/wW1KqCXao5o477sK vJkPgjmdhTqFBztBFB6PigT/sKE7punCnHoEEScxowLlrJJTpQ6nSk6ZLlOYpkEXOe27CJdiaV2S HgpGvj7Op07KXGLye74qsyVPYQYIXEFCraIQ7+06SAXhe35Rr4Dh8B1USwGWN0kgc/HxvzCYVEOh QHy/Xc0el8Qza05D1SVcH8PnaSb3kjh/Gr1csO+ikrg0PtJOa9/Ra72gZbAnAaomPOpZc2Kmd647 4Eqh7G41zEE2a4+QKcp/CUO2QbSxPAl7Rdm3TDweMce/+3WIhn+w2p05HgXjNMpozWXlkP+W27Cj F5nAqsR4Yp5GVBOfW5CUJtk0jnbfTajVLykXnnvvHEyW2aGUMEpDgXw4fyXxGB9RMJ4pG8D+sQ06 jvMJXaYMXXLG40EwfrGqXIiNYv/Za3MjjMZl0rx2susOOlQ/rkO03dAanB9j2IclcbUO0hYcjKOd Tie2PGsI9ido2CFjEaAVwcPPpn/OGM4fL+Q443sikr3ABFUy9meTszxXoZ61yHDFQYXng5r4+q5h Alo/562Ijxgjzn4BO/+ap1Kt6oTekfGhzj9Ww9KPqCKela3MpvAJLCi8B7KWs1NAWinCg51oQG4v gD0XWLMRUXAtESA5ha8JsKr0Rt+ZwhZbLmGYJeilyUT3/A8ej6pOXsLUgV/Agb2bLorFkYOyNudA p+psDHRa+rRCQSafgJsuisXNh6RaEFV1iGOWxlk0+QSspEM1YJ6aVhO10qAcRKS2xwQoBOFrIsgF abDCYHIQthBOKt0crDce/+ts4tudhTjyBT6PKBQXV7Ol6fLi7aGvTLposzQHHqqtpSgUn8at64KD lf6FLRwQl0fUDGbrSRCSlFQqaHQnZzr/BVrUxvyd5NaNqB6Ozj+isUGLN+DoRWE66cSUffX5VTKe /7Nfh0lB7DXKxaqOKBYfU2pf1Q+n3nuyHDgJEMYYs3oNc0SxOI2oobAjijRHu+vQ086lUU9uoBM8 sjks4i2B+1jzcjVpIYqvCTYd/d0GSbsarL1ygicLysfE49HR9B05IQEePXtBZYQA8aEEuHiTnMUO kcam+GPUfi6OfB4RQLyo+1Sr1TDrU8M898LuKwmEuU5MoKWXYCR4XpCFpPLgw6teOOrVAZIiHjmG LJVP8NbzvvnvCMPw9Y0Phx0CXslnKQrDeUicCG8a5KLufaVkcKtrls6bD990URg+FV+WvpaN+M7M +BIq3wmXP46PdTaH2gYED48K8Z12jYVxUmV8TRA2LXJXRiPvl2bXBpo7GdJkKamkjuf/F90m6CgR emzTFIXhiJcokHIJrbIVpv9kNzbwuWeR/mkxhWH4MnckOY+sL+cR2KGXlqQEMiG0B03A3ROjp4Ip Ql/T9xzDyxGG4eT9km5zhqIkkuHSPCkS5wwOWfiAoE5Qv+sEYGnOcaEpI4zDyTcY2QpM9F92AUdE IlZ2gv2oTVRYE89mpbif0SZq9nNM7kr91D2QEuUm4R0sQdiZlVUf0wMd4mFNnC3ylimdgmj1R9TD NCdiAiyoE4vh+f+ld4AqFBIDm6cZFsVJuK/O98W9Z8r9RR1fzRNEQpTXzViTUPF31hnO0S47nnMn RCUb6mRS9GXH6QT38In1sNJjd0Dm7wyhKeQZJKSENtgZnoZ8hs5JW/F4MEv/tMih6zseeOGMwnC0 pVGxrqYdjog7q5oyWajLZmbKkOAETjMsiid5Cc/W7nirTnBKooIbLrmT7zH7Xfxh4a4AockvTO/S G/+W/aYk3b2UfZoArZblbe0Fj0en03exd5yXHOMe4jOKwslm7qns4XiwXbv3fke77hnL1RtmzNRU 0rv7M9qmW0hNQYliURz8nApqXWd9C+qX4EKtC+ChesMMi+LEzZ3zO/vAaerw38jGj654/H9hIOL8 XfX2fmcUhmecJGejZ5+mLvU9OrK0S8uYgF9pmuKSuNkBSuOZo3N8Ogwn5ctZN/wOXDL8/OhkCex6 kc9AGfNrIlgKAyaJ8ZK4xWTlJIVzyz3qXNx4PCKv+AmeRbenjO+tic8oEK+sYQq/iHnqppzKQLzt 4bwMFBo1T1EgPtRbSVvzxNHhhQMXngJxODEm3K0n+MDfDNYP4vBLGZu02ZohUzNRVpZCohpUSkFY wxMYNGk8HrQ12/emQ3h6crp7gocV8cnVxABWYNXqYFU4R22LnMYadtPNCJbSt3V/JapevkTVVwMF rpGAyDh8qCrVgJuZSCihZeeRE+04ZxSFVyldqtDLweKBujtrXEAufGZI07yFORJ6IPlHXzObpgiX 0omZq+1qFRaJzBC9sw1Tj9C8+DRFBfFVbNOJiMjRk5VzqraVKC3bzmGeFyOBxGy1I1JCB8Fx9CRH zygMxz4FhoDJylrZbINRedU3ntyHbxnkdDe+ZIiEdYRb12YpCsMhTshk5XLISTFWWa6bUgHKwNW3 3O96hRlc8Tv60VRQOoGED9isCQaKciFDoWcA1Yj/vV2DrRcOME5LfqXUK+SgslynrhnX1ebjf0HB CGFJcDK4goUzgqVMWokAbeaIuXTJrGV48/f8StN0L2cUhRs+vBjGqTwYJ/D4O8CWBFzAhNokjRN+ nI36inQLvRie9MYB7jnTTlLqoJsKeFUVAgDEcZ+f36Pi+UgIZHwldZUZ1O39zpCliTJGXY6Yh1Zx 9YsuFVe9mnBWsHkK9VKmYcBauqMFl+Aw5zyILDxB0smHzAMd3q4DrbKs3ormaRW98S+bDvrZyQeh nHaSOg4IiB2P/xVdkrySl7SANU0risHTVk8zGSvjbLpuJzgYTH6Cnz/altOKgCmmWFyqxME4eoWg b9R8lfuiwmI6a9DQPannSfYh3GY6IFIkWGEtnHIyggBoEHwHji7ZFAkqHg9omvMfxAW9uPqdpSgG 7+z8dmTpmqXVL/xSyjbqGCDO0SyFMbjJWtjm4+idlQInKGDdcDSd4IPOXaDGsM0NQOW48MuF2Adf 80sd5SxtYp4bejNCgOXeT6CgrL+Wz4pi8EdCjffcuQDGvuWmFeqDk1Y3qIJnMXj2ohzYdj+ulpJM tmGFwBRVt78gc1zUWkznv+1Ce26iVIcsbxc6BojRf6hb7EHT+mn4mqgoJ+Frclm3c1kLjj+ybiDY zMejTOX7BEeW1PtVclohSZPQcIKPrY6Smi+m3eyQQlN4meLVCqHhds81c+ZuX87cVNifK+kEz6UX RQPoOY8pEveNBoiYW79yNE8kSRH/AiVyCXesNdhXpvROwfPBydQ9apKm6mDkdOcpFApfrMUV83Un /Fl2fyekHbaw0HF0wtiKSZrqjpsX23682NrJf6C+Q0wqnAuqGD5THVCUBQyWYuidqTeO6nLKeDv1 CwH7lHDhhE474x6g4PB8VAv3ngG1jYjnndvxYCuKwlOXn0Py0ymJX78oA2J4esp9+0SFailKeWc2 Gn0eV1J9QYVgZDpNw1ylLsIIEr0AYTaGm8p7K8Rq8o0j/I6AYHX4oNT3/OMkTOnE9nzNYEFdniYW FDSkxsOsW1EUTiOBBuUaleUguumCfINZGctNq99pCqNwaTZuwXc0Xp7mub8WQHhAg2V0agibI78o 41gthKUIbZF114VqKV0m02qPt+lUKGBVCXg6h3nD45Ekwb3rGDmd5bTQbrF5iuJwWPqh72vYJtx7 uXidF1BO88HI0+cphIdLjBBEgjs6vPC8+dl3LBFMnNoNCQpNUAC3AFlvXhvSkvyNI8lnHuN5ahgy 3DkRIHYzu0qoy62wHL7/QaWcP4s/oE1TiA/XrlPbiWop5KG6WkpxSgY9nygdHpbDh9T5TbyCo8cE J0SusIPCNKVzjmz6HzbUM09eA2LBRs+Ifd9CQTJ8TyA/u5bw880HnU4nrBS4sLXB14xgBN8xAUGy UDP3eQqr4VNyKf2yDZb7cMOUxVuahqPHPP0hIL64l2z05QSKwRJRE5WTAYwLCnPozaOKbRQfC56G vXEUPE0FT90Hq1+eG1UCj2fp4/FoOX0jxKnIt4pnvzsKxAdRBEklOGa/VENlvnLCnGTTVPcy3u8O FcTV8YXw9R0dqwq29xysX57Q6hzYMleBaf3PgjrZeqSc5Fa+o0C8EWxx/rP4oNVUzveLADzSwuMR w+cWnNSTQa0hXSWQ/R6K4zme4i07Y6yk6vM01XKRYIqDd3Zs06NczcSfOd55gsmTBB43fFHAdsLC otIcpIUqmF9+2dHVYIcYcbrZLlo/aNDfC7ALAzYqre/3UPxb4ZEBJaiH9QoX7hAjzs5vHTvfUDxZ mWCZrnFnYDJctf8vjHgXxomjV+Z6XokWvw0i22gbyIlmUsspsyieH9dfe+NgmqTlVKg4x0F4iwqt TYqgnEQNj0c0zdv6xbkGtpoqX5qnkKc51YO6UuvUYLZ52i7wuPJwysGOYSman2H18PHUw8+u3tCS G5ynDhg06+HnU8oPpX0yYLHehPI3/lUwFLvaBsVOafQlfNfGcopwKYR9PpyxwvAy3eMpxogTW1i6 Kxca4JkGBzKlo2sPgmXN0x9EzRdgClRCT0ReJTXXoNohH/OOO2Rg1ben98v4BF8TJS1ZVTnauOO/ FTNXO/+cjJWy8XwkmeK5HRMcJNJnPfg0hcKFnRkwax9isEgvlJL0uzSbpg2wiKYphKWolVmtMM7R t92JdhdyChbCBqoo4rNSYYDy/eliwajuga+J3I0ES+nJB9t1WSVXYMUXHo8EHq822GShANfIrafs CJeSOE3NFS9pcTh8NZGUrdXEpJXTFAoXLu229jX6rjtpH4J5lnpP2F8McdEAYEPp0XSKVlNq1/TG keoVc2AAEm2wWu9ZlqybtnMU4vEos/snJgAEdD7TFEqmWIi5XM4JMbPxoQStZQ2TnTZNUwxM0WrK qhhwtGmCoyHs41hWRUrSxMcf8LntdFlmJiu9UALL8T1BiFnI962E8XAw4gDERigOdv4Bj0fVOe9p Sn2vQQdz35ggCsXNCHlWJyH22a4RxOPiI2ASgAT791A807XNR5unUiAEjH4f6iknCYO0BLWxoUDZ WHcqF5iyp144cjpi3XHJBXmZVxAK0+czsIABlMTjf9F8smxFEtRnvSS+o0hc0g3nsF82TZPld+k5 LWNw4jeeZiuyI1zKNEZr/xptmjqQtici4q5jV0HUBNpkdnhpIdA3D/LE/HeHiikEPIOy4IOuugF3 XpUJ5sDjf0nyER4OFbeJzFLTpLDz/UyZPJ3q8gSY7nsoPK1N90ni6CdM0YhLySkUTbEYM5u97yOj VnqC2WrazOITbj1aQYJI8SMWU0XeDRErUhO2vXNgwZbpKZLJR1x035LtEYoR/HVwofIDIrzqFXUi J4pli8dcJIUChlO4XpRC/qOGCixNBU+BhjnlVACeI3ms66N+z++GCYOM20GA8GnLhH9Tcf1cOwkk O/jYAp+CJKXzkBIbkQE5viiiI/LSy/Rr58B1hdBAMAw4vvL5IHf5Bz93QhjIO/VnrkJfn8F2eX8I ZOsSyAYNuQVabcvq4/ioACpusutrpDvaXJ1LCWq6lAo7f+lCYYJzhWImUtiTROxHnp41A3xRBA0j RQMwbwxQHJJWESB5y+hzix8QBFJPwPkjUbUxL9dOkdg7WKk9eJ5BNejm0IJKCQDM1UmgvOWCj4o6 U7YJZanF0TbhTAVGvzSG2GMAtDrogozAA26z5BTeRE+GBykKzeVc16Tl366W/6ALAYMpoAvwfFQo 9+sPJ91Ggo3c0qcqis2TJMOKKdMD00Nt2s1oqiQvbEIrSxYaKaqUm3PNicHGHR2ZWc+ygo0GtbDO wj13Fd3HcKucFYWO4XJFB8Dw7Z2DzguvviHfaA462qF/yvJXyyPz+YiGfzkt2oPcD+2iDHL6tVqO vW6KWJXqCEIddmlkQumpLZq2d31UFC2o/7LaM3r/BXYgqMRTwSjhJMyyjsRtCOM+6hTIEKmktO2d I+AhiS2bPCAOmq0FIRKJzgw9/z5b9YbpbJHCtZIoQp+rUFCFxf3NpcebsLRUbGVlYVjIKGv7zlUU qE8VN/e2Xsy+anR1n6AHrBYdWCCGrErqHRrvcBM7cwWAlw53iifxi6LETzLH+Q5JaQy4wTLZ6o3P R1zzb+mCjF216iUk5BSSORmEtp60mEAwy82jBsLnKV7Qeyq+C6NoncUGiIC4h9YF21ODH2KlbMMs 9NTJJEMsh2sYCcC6/lGFNV5+UZDVkJTQKmsJYJ+qwdCBsqoGrmt8PsIg/qPHfo7i86c+UxXF6zBU JGv+MW5bzWp4WXUq1vAgO2FuiZG2Sk1SpWsGiGoXENWhiob/oYXUSZFaE24MGJSfiY2414NClINU +tX0p0wTXaOIJQvBo5Qug7tz4PP5YAfWfzh4BGsQ/eJzFQXtq5DJ6Tq+lB6azpuq03ObTBddzVVU Px8iQ62V0h3tuDqReO9IL9mOAScIlanzPwBJs8FemNyIhUeOML7nF+1jEKWGDyp4KjbRjTj5/P+S LAMFceLmew2GHpxcjCdKTKbLXontcdOIsS/ix0ueOTDhBENObJcmlAbHu6qgiCbNNYA3xyaaGn0g iDWj5o46j5va0KA8By6cWL460SV2uE3aDR2f83cQzZLG5PNRJti+o3YsqAkyq89VFLVLQmTk4c4R vTY3dU2zeZ1qgjlkcxUhWpJS5SbipkbN1czYV8BBE5J4rqgs0TG4IsDNqRIz4Sp+hCTmX5w4i6L1 6YOmqkB6kuYiq1Q+HwTt/6hA4awb7TZDc+zFmZk0y+1OWkdNB9Q5PNMyTZpzFyLT4xUYmnGqbZwr mEw+GpAs06harggNNspV4M0BuEZn3gDEi09VafbOvykeQoJGgzDTKC90ylmdY70OPh8kzv+I1CHO 43M+VWEtnUeVtNJUhuFfqEVFz0fegEOkBS6qMGRXOa830c442qKChDq87rkBT8iDJgYjCnq5ZVpz PyZAo9krR/sPegbQcPFBmDtgyZKsleGCG1hy/rP/MK0ZiXN/FlUoe7hkrzGTzZTk5FXYo8GT5KCu 7GEOPDmT9PRxRAk4XR/gdF8JPpyJvlK4nhbU9nFUDcB/EuoMEGSwklUf3d45ACOwMVNas0F6o2iN nTCUBYY0Np8P2sdzfiU3LPL39ZzqkQg5xARQtFrF95+6DY2toVF8/1HrR/svDNcF5KxUE7LxFkEx Wd1Oqgyn6KqSekOCiwSy58fmtU975+iokpQm3XCnu+GWRVdoEWBW4fN/SY4SMIUIhWLkNlVRVZ0d qPMVw3lnnTRTEfaHOeKdlBkyZ0qZQ2fOVQ2SoNSZo5diUOKBEQ0iUKhIZ5YXWDnZCEMr6g1e4FPZ KnTmxEyC1kGgCwfPmJe4bq1x9UfB+i7XwlTSbQRJXtPJwJwTFRwCOrcpkOP+o8o9p4oFIcqz7uSc /Ry4c2Ym/UxozNhtP8ZuA2B10s5pYgpW9aQauRCLk9r26dqa12rv/MuqqjBH98H6yK1Nmf+dv4HP Rxo1F4anNuGJFyCI4nMVIs4Hs+TiOhDn519Oj+2jLmslV2wvm6uwvL61rOqsd3Rq1T7HSKV6+F7A 5GI1YzJhLlFw+Z9XSBcQ1Ju9c2QEwIBKBAYOgnCca9bur5M68/kosfnu/pVFe/NnqkLQObEuJ5l5 KjF4QtCp2r0SM6RUgxwwdulsYp8Ny5vHAwo64QCOclI/YalXO70AOtco4RAoLj/8qmnvHCm1kr6Q RWbIN1o/QUY1tl7afD7IbK7XFPNluiOldTvvObTqNPqn4NPMXolDJR5vSTqS6mw7e8IcenWuYcYJ sjvn6HuwQOenVToCgPTZRO9LaFWBiQ10ztPdavbKv/ZtsEo5VPFigAaXKks/9wafj+aqfwdWwPXl i+/MoVvnpPvNyGzz0bmEXeH/SHEn6Jrw84Vqks1UiD93FppQHRy9ZNWhMZcJXRx1r71UY05I1zdi bCm2SqCNfcAc2nUKgH4S5eyDKlYAueuwyn3y+aAUWr5RL1AbABHszlSoxbJJ9eikfgIzTJq9ijBr pGHFPcrK66wKDDuFUaWzokjqHG1NgfiZi2bq/DaNyx2SWjTIBei61PqAPGuyd/5NUwt1SAJfgCFm krzPd6rnBX1BfkCEELrtQP7bMKjHEvXJirEvMlUydCfYMo/1Mq9fVvcSMEe2rEIkutoQe/ipdT2F G075RvgC3E1h+JYTPRQKc3QAM8ZozyW47Z0DvEIhUAEKIRiSVawqrNeoC3wid71oVFv49gsCpJZB tk9VaBhE1tUa01uny9Wjyrmnildh+r4Be+Dcib9AgTrAmz46TwZXa9oC7YNhtazFDFHnQRRtnumW 2OkPkGPvTur7rNLUEkSjkSthLwSwJPW3NfkBUTviG/CJeKFDcdHnKiqwk6PbZGan6l6engZCtOJi YG51L3TvXMKcnbN63/ESHACWVOeGzl1FQRXAt7isM80pL+IzV3vnKLbKIqcVH9SQR4WVCGAUSPh8 xFErX1M1qbDVbn09NPAkzhklY8fBbNmaERtb3A92aZ1rqkKpRCE7QHO7oxcXTjRwElVaU9Z07vsh SUmIwp2sLSNudgzxORinvXKA8KCmHch7PqgQChlUnltnpjaf/8tjSSWXAWRXf6YqZId2KkmqKU8s zK7JU+ZWvbrXSLfWVIWw9OnEx3FHh1aldsLwSfmRhruvqQ5Tzj+d6w8F5MGylexnba5CNEyVbjlB QzxGeb6AeCqk1ck69KJRyuwbkEcbtGPh+3nnKorYlTOPcedq8DxWzpyujvKuM1vOHDh53oYgYNB3 dKrDgPZMIkm0boTWYo80wJaIdECPxyKrVqq9cgD1SKpVte2DCjEnniLmEJjrzOcjBZJvSkhGuWCX pyUfmnkm5sxLPh0sL9TuhdBeXb/8fBRmSFMV80QF35covsbb4YICJxIAwPgTWPloMxOwvujZACw7 apJEohV75QjGT4q/WCELDQ+tqpNsLkbv5ybsfD5qcN1j3co2P7TQtKkKHT2VMw/VPNtTXmDXhmhX Afmbm+bl2NLTQI115zv6HYhSD8IE7ECEXd2N5VmcQSVgPwRtQtRz6Ol5QhpS1uYdVDPOsLp6yuuB qee3jDkPK7RD57pq7zl09aTqxPnsccnHycSlgNjNhm+ctGS0qYoCdmkkgU4772hTVZnPTOq9A3ib rRLaAY8AHvsn50vJMneqHPh6yikbjNrafVDRKu9K+BMcrDefjxTKvrWSEElCQO1OVSxmziuQhsjm 43Xx/CYhoVXVHecRWnsKqZ5ka2WjF61OwDlLo6ryOfcqBPAQhOJYP7c6NFpmujiPrgZXaO4J/B0A XIwW6KMhrfeBpLwosuqZH/CXHyOx6oUw57GePRhyR9HghRj73YPlWnfMaSB2cLPS9Nn63Vfo7Kr5 jD5b6XwEquxk00B1pUtbqrAPgdr8HI+2lBrygcUnGuOULYVNFFqy+CvFFIGFPPObc6JlfkCEXviO 2XESz/R0Tn8x+eR51dOlQHQuJzoQVwseKvDGXrcKXD4xV1aESfuOXl8YZ77ONSigB2RwCOMb6KVm uqlAz+di+1G75hf9hkzb9Hc+hw9uBskFT4ABTdlt8gOiezB/54KQQ5k5P5MVBe2sHdVBmUuhYuZF eqSyJSNMGJ9JlOTQ7HOodrzZ3bHR28yFTIpFrXxIQSD33DS0ZLh+3n3U6+slU4EcuH0mSSqee7VM H1RjODm/OVZ1FEQDu8/vMjutPNBpW9cpLod+nxCyANDDLCshH+zSk1BMsXUFDenk6yoCxTRZWqeh e5Cj5zeAK4DRhHUFXBJEh9iQP6+66KU5XBuo8Hfl9/zWEGR/EQNyYPnJgyjHFXZ+lckPCPKbJ2qn SSPstfHz+FyFdXZcQrAXSo541J3I2nHN0xGPtd3zKo7arR4jGT+ONlcNEoJn85FMesKwrNO98WQu COFhEnBLV/SUz6Hv52RtoVcBiLwnCJ/xrDo7SHR8PtiC11mP6RCaQP1Jm0Pjz7klx5W9HEoujWGt VivWkgBZ1qcqDNq38dzFruN4g/ZxEtrzBxDF11E3IVGykw4BN+NS+hV62yqzh9afaBSfBZUZtc9s Ufs5XAf86Fg0WIvPB6FoTt/xFZwPfvZXRTR0/yRH4Zwe1AvMRMV0lw484c8yiwFQrPy4isN2NUXN 7JujJit3HOawEwVE+yR7CUhfFLGodb4BDAVd3XpdWSC+wP8zuQIskCg2cLIWghAi8E7iufl8EDRc P1muK9j5rCv4lmMHUJwtLc/hlKREqovFogxLkXqIBslYNLAAhXIFY9CR1ZXn6FAPOIU0CM7AY2+u 3gyYxUi+4WxlV55cGxZi+T2/HexQJNQwrNWMLgg1WoRfCDxAkzpWNxTFwdG/4NmhByguP3JHHL+w p4NiUIJxjtvMTi3NoQmoqNy5mjhefcTxzqRBLhuNUUI9oOQkMRxYSw6KXiB3tmRwKLgKXEARdXNR SaV6DD7tlSvRbzpkqnPoA5qumjcLqRsqVPuG7aENKM7ukywvltSr+KVOucG+tLZ8AeFDeXPgA2rl a5RhyjNahgNcca1aVQAaJ4PwdUhjdIgYQlXGYWmp2Cv/gvWAeR97zUS/MFNJCb8JkeypLH5AJPfi ceiyg31IV1xTFcXsVXYDO92YXV4MnXZ7xRnLA7gtW1ax4MsSxiqlO3reDPonZDlo/sWgzcoRCJVB 7UaCZz1B8n74PVGfa0pgOPlgWKux9V9OMFr5/F8IPjYQQRF/HMJz4AZq6ufQTvPIytDri9DsrmJD nQzedar/Ygeqrk22rnz+6spXALKLzGVzgnIUoNkLNKwFRiBroC4gpKZ8aAc62bUpiXJUHFQ4RiFD fS44OObQD3Q+WEfuWyDSxgN2DA1BG8WYc6tuOpB7EYChQp/cxfKgcOdTFYu+KAFs4ipxtKkCJgHo R0zVoud8QhAqv4uTsKF3M2+RXa7zOfQEbSTCV0CdzkDFUrk2FTzKdGXlxg94P9fXTZsTM6Ez5+ta FObAFjTrgIFa9RVcatVpN+Vy4VG4qj5XUcA+PPiUMRFHX1Y4lQq6WmeuBmi5DUFooZxKmSfobCjZ +Q6kZl4OnEFdLh5qXaTdnD9cEQKkwahHe/ZD5vNR6fgfYChxJlDRvpMV1dnnFDFpTp+s7upU59BN V+W7pOmTFSFjqjDZlVr9Nt7TKp2tNZPq7A2RuijMXbXjZiA+zRWtCnNgDyrq/4kToCpmg/YgmKxq MmwwlAJ/0H+QofTbITIAUp+aq89rZNVxH1A3fl/jD6QhNlU7FdddovkgI/bXuKpfYGjeY9/RJgpH Fwx1yI2o55DqIjFVBUdAO6LQa4LDdHh+jaq6i3puEeM5aJrOGl0K1tvC0y+T1NEs1oKaOtIr/Fvp +sEWSDBDmH6UjNM1CycxVjkNCgySEqI3jnKaYIbEo0Q5Jd/RM5pJ2EKxRHmkJNEAKFT9TEtAk7tZ LJ1Rr1N0QhFeeCkT6shBUwRpmSHa28LTb1OkAENTxPlAnJ/YhscUvQZS5yF2DRAxeYacFSiQ5zaz qcEhdbdF9BpGYRGJstXYU7TRQs65TnBWwfSDmCccPnR8LTbekHGgVmYRJ6nTn9cgqgvFCfgnQWgc VCWm2YpMZnf7vEZQmN+dfIasVANl2GlT9BpAdbMrODfdpYtUyoupSzpqtQAqo+3FKXoNnzqIgGa3 J9vism6HpmOLJfI6EJWfidmWFoKASCHNCdqeTREaJ5/X4Kkj+BHojEXiDdisEBgbvs48nOA8/3mN nfyv5YwwTEJ7JzVfRa+REx5ijJmET6DIErToLByng4vJczSjdr/GTdhoW1JdWRYNHI0reQ4imKzV xJ7xmXdk/6AesYUG1XSoXflGo4XG5zVswlmUJbFEf0IOth5GV9LPfvtr0ISnDRykjUbQJ9SyNUWv ERN256Q0R6+XdNSXS3OYLRFhCdPz4Nd4qSfp079Sb88BdO55mntB32wA6d5ViCIyrxBM7yZyJck0 NZiiRZ0XytXZIAgj2vfisfVVPq+hEhZES99TBJgtRFQ0Ra+BEs74zBJULtd9aFwjlA05HzMGJ9+a RijRFAnbAsvJO/qFtlj1pYzCwO4tKlZlIKaI4c+gJ/pOY073GiRhp1FtonTqoxayMZcO6ZMJU3yk 99o/rzFSf2KkKfYayn65+5X2Gh/hvCZkI+Xtc8Rio+YoDQO6AIeQbI5eo6PuAi9pm5r5Huu59NdC HYJXGqQjdyIhsiFtUyG4tK+wu+l936801ELOXYbM0QaDd07INvD7dv68hkbdlLTvMkKmMJCCa4pe K5nYaV3KNw+9rzhU49z0FyxF2rUU34LzmtsGU1PbHW2n1QYH2UQ2MmK1iZPtTFYjcZs+12gcGwSP msaf1ypml4sfqsdEdbLmKebHWVFLmLIMedPoTks+RSwnwO9ow1tEc/RawOTSVdGkzXunlWlzRFGu f3AH0OIIIyPNjfrDGi0jqTCsGGi/YY6gV7KB1K/cKIBWQL/mgZ/Tv+q1eImfYgufQU086qRLXgK6 B/KMOzHS57V02Z/KCdipi4XeSaMDP7PfOX3dVABca0rigdUlS+j7ayU57AljP0bzJEsm1PDv6FWm c21CYs/w1BvTiK4UPSDY3iwQHHdpF7Xw3qNs+a5BIQioag2q8gIcyruUam/vhD5suFm+ziSs46G+ sEDcwTRR/KzBH0iHEow/6xMhGY4MYhBeuQwDbU1PEZRAo01TaQWdiKoEdyHRwuU2KeiVWdBkmVFd 4TkElf/16D7fsH3Q7dZm2l1CEXt93sl8DLW/z6UFiCM46DZN77E2WB/EUa8rOzXWrE8I4AI4qaeL 4gymaYvxX9pqd/Rm1Mn8By0uKYADJ1DmIy2zD664qtwwaQgcHEwTTlzKbmwfjPMBDT/GSed1P+9E Pkv5nmmqAA5V6gRxmqJ4u7IOPkR5bPrH5/x2E8KdWrnoleAA7824MVaN2w8kCv4g514YuOPOxQmL aPY3obi0oI1dQO32K05qEu8Rd2JVBiktscEcLG1LEI7RhKG7GZ1Oo3xfciwrZT/A3zl83cQfEI0Z 3ZjeuAbFKG11v+WePfcec6PsKbvPItIjR2+rlHpCEkBJYTBwYpotB8KNWtqAhAMQx95WmapVhidT k3bZlpr3NnVq2CUm1rFGTii/BdMkYh1mhVUSKHyQsWXzFMXdfRCykrffdEkaEtTJ3cmCytkBFlQN 4D3wzm5Y0fKsd7QMt8DDmsRr+FfVyu9A+pYJgAByel9ZBDSu9MbBXcdCUkOF2AYup7GQm0vpvZTP O3uvA8q4PSDQROGom5RF4ESF0TdlXFp2xRsI5TaPvpmHSca7dasEvLP3+pVYRGnqjp7nnuS2wa6e 9aSJdSRrJuQlrKaiGue+xFmqCFH83VjJHdKe4qAFJUS27rr9eSfv9cdI1k4nRKhY1jZNUQDuesJP NXeY4TXCvJIcUsDuA6cpisBd7C1ZtpIuEv9cPucHG2ZDWGC3y3sx0UheCEecSc7yn11v/D5NIBic 2HtlG7L+3jxZX8CRkzoffw8J+k1TCBkGD2f0GxK8B+GOfmoEHAlOMLObECb2FciumjhhVceNonAi r1MeRX06jraaYK4HcDH9T+a58s1lAFvsvOCmONZ8uk5db/w+TcircTCl4YMBEOGrohXS8uedsoc/ OP1TW8pQO6Iipk1UFInzjmpFjmj0aaJfO9cTetlegINXidZTGIqrrNRXTXe0c7yhwwElR9oxrAng rlB3Ay6A5A3V+jQH7I2jicJC6pX2nxx0Pk3w19TFBeoiCsZzrf9cdw1SDLdMGUXi0qKkDu5tOC07 nRAW2jTN0Q3J807W43oWWa8bWa9fsl7vaIz3lGQuv0Gu4jEOCMvPJqSup0e6jF3Md7Ied4BkSCiJ x8HAKVAsVhG75M87V88rYbcORxlvAPlsmqJIPA/RPj0Sb71f26FMzoyavUAvaZreI3GsSzOtEAdo r1tDaVlglPPL0c1lgbWHxK5iaRZcNRWpgJ9OrFa+M/VuW4COz579Mv2dUCglsAul3c87U4/XXf9a Tmh6Gpqc8xSVvVHcQP5rVUro9myzRDu/m8t4w1fWl9N7KH75VDpXbfQiwZn7s4q6eVZA34HzhPAS xLcGy4lHFV7L6T0UT2bXOHo3H+ezO4eO8XNUucvA+ryz9P6JxXlk00gjeVDwztFj1ZJBwc71luTG eoICBxv2OY2j907RY1SjeZIIhEY/xlOVQJK8ZMcElGKfaMpal4kA86upQaGWd4YedkBC2FQhl2CD ggIAcWVCNGf9vBP0er5WsnoMAKc1lx/i7/w8dtDYDqdIspkxOC560ZzEEuDq/Lx3el6/zkM5ycaK o6csqIxO/BQIMheIVUWly/NHSg520P1zPj5W7+w833Y1F84WB04TrE7HNh+r9Xnn5vV8JWCnAJk4 npCh+zyFRfDOI3Qtr16OeecJNuC27Uii07aLquDmCLdXTnd0lC9ql8hX2CiA68dAalegdcp6KQQe 0nOKL71xEDwRjUmJYxs0T+dooD0gaAnr807Ms1j+67IDaK5ljzHfeXn3dDoZ3hXdEsNssLO7vBC+ ceNrmt5DcSO5o/ed9x19msBYHfKsOJETwEeb7p+0QKGuZXvcGGZbeuP300lSwlCd9CEpEEBbzlbI /ryz8vAHZ48JeGLjFQYo+DZPYSxOl9S+hqvCj1GcjkBVVqMjgB6s0+k9FgeOTUZfKz2j5XZA5tQq dO8AC4EKjvD/PDfkgqYfCRSe25WhN47miUWYydtuob86hITekMEjffp8x+edktfNkVvJnf7ls+Vy u+dTVBJXjwzmCT9OCOq2oEDQdSXvk0/7+fQejcNmQG3eqXomR0+CT6i8RiO2F3WJc0tJRIp64+ht A3Ph190gDPqdkNelgEGCZ/FBx3g6+404X0gPfN75eN1gJJwV9qpQUeujZ5+nKBivVMjdo1xdMv2j zGJconptnFqap/dgHH11nuNzCTHA0eZpoedUhYGG08T2Mx/wFlrGTKLFJZ9BVO87Ge/uu2EuxVL3 QdICKrgkafYan3cuHmLUcWsF+Hdly+ZB5jsTD6tpUF8yNw8yk2zjGDxJvQbbDmZa1hCPY3HOzrCY nKOlLGf1AOUHA719bvETV1lAiswboQ4wMleRDOShzzsPD502CuPiX/NB7M6ZalO191wDn3caXn+E vBU6AU4DdJ3PUhSKSw+wk/ptDd/soXhRj5OH+Han1HcOXpevG2rUOz2jZgkklnI+luoGJ28rXfqm mbImjaAZdsa76D+56I2jaSL7B118GwxreWaMTtUoj3/eGXhdxIUv/AkBjstDgncCXpfIOsy6s5sM 1Nqdq9ipRs8tl7JXe9/5d9gLqqP0/TVe0HOfi/qrLIrDX1HtKjhI90bQ1+2wUAvt886+63IAggwV dp4GW0xQizbuYfm8c+94gvuWE/r3/HK4522Woji8bWJQphnHYpa2VtC5RdJwbbvSm8mQvfPuvJzD 2Sl3tC23EZRn4GhgXQOjJpVTJmvS2O5yrBA5Y1JX5J12d/OVLUY1be8ZB5xAPC0GEz/z/Kafd9Yd fs198zpG7WcVdxiR2URFkTh9nc6mnq6XKOitLSc2DwrZUc1gFu+kO/xQYryuKoU7jrbrCtpRCC3P RMGMg+kuJhP7DGWbH0WZst2t9sJRWZwypUnaysmrKScc5qfhVB7l806460Ya4KTwnoPoQ50OInin 27GvLobP3XSjTW//Vtle45JOxc3j3tl23SzJUdBTNaU91RSI6o5Fq3FgmsCSqhYPDIQ9nfJj9emx bL1x0Ina8gKnuvK1qKuAiylFgmrs551rx+rc/jqbqGb3FJ3eqXb4UnbJO4EKqqbIFG1K2dyRuyxJ 6ggPS+LWqHuBfsFgKkM4kaZoDe5VlOpmNSVDOrvA8tkZnAQQvjPtDBMK1VTJY2yXx6jnBx4Szl/4 Nd/jcAPxfeEtQM1/4oEoDFeRILEfYrj54RjCtAxND0nzaeTNd5IdD6eitq+YPoVnzFnZEL49v/n5 j0qiK4olRcpkCZMPPSTSGbxIIHzzO8eOpX8uo07aCnUXRUiE4a85pa7M94zCy28EGOCrX/Cmd4od +xWMCEr3olOaO3nc1KubffVx46awJm7e6WuPO9pygorvOfPoML9wPyEP2sDbFpKnifDw4lwG4ZTf 82vRSUqS4yzNH1nr1YF+NYtO59b/vBPs/kl/xbMmFujiLaMoHN8Kw4Xs8zSEccZ6Gt0xTifDG1bE fCfX4f2L5onKWDZ6rbdCcTPR0uME9OCZJKrY4X6sKC9UUvLNxorqBe/cui46xERXPPug0wmapOSJ QmLi806tw2vW9r3tTg47IDVkmMsoDO/Mfi3gVrcFdQOSEBcsH4Vu7vi8QtBlHIYbQt5Mv9z8HZDC Dlko3GEQxz9zBHrpWUDAEGQ6WZZJAbslvdulN36fpipGXd1Lg3XeTvZ/lhbxcrnOzzuvzpCtXnSS zEpBM+oGBe/MOtZoiU8BFCkL7VRw0P0H2QW0erCK8BqAqlQcUO/EOvxS09zly7yj9+xA60FVDuc4 dLmRAADdzkrVRqBEgrNh5ame9c6ruxvvTG/VUKxHeX4OPnlu+rQ+76w6tvz8HGdlF3cH0nOfpzAW n1JQLtNXFJneXFEb3X6tqAYTIvotvJPqeNRZeU7mlntdc8sKREqHHQJWFKLxTOhcoxhJQemnkFPg mV3XGwcHeWVdDuZeHAwX1k/gUSjg2s+S+rxT6ng+fQfjYED2nv0cf2fUdVdjo1+BepuJeStpBRCo +RFVmtkL77t3Qt3XxuuKNWu/6LkBSnGBcAunadaOphHCAhQUMuwBYfHiYQGFEz7vhDqcqJymVdLw QXCnUuArxi8s9fPOpyOa9zt6QtK+TI4NXxoWxWdRSe7ieLq1xwtggtvhTsmLTu9suu+cRecTR5um viGvmdkpL5MKrlxxA3n3hM6inHeVAKua8s6mQwJMbjSlFm2w1C5D3RJfWFv7vJPpCFL0KqY6wARc db/u3rl0XSLEdIQZjp5rV+B2kERrCJVlUeY7lY65pQAXvEBtvPMEs9RC2u+JfOde4mAQ3jeRxeKi slgcdrP8moiEUf8fY1+W5MqOHPvPtZS1YR60/4UJ7h6BZEkZp1rPnnC7dZPMAjHE4AO5PwSocFDK Ai+jImj4Hp93Gh1TtF+rCQw6HHA2SyE8pUujdU0LCmotHmROCvMxFi/N5VTeSXTdlRzyTPUZPQMG t6KBO0UzwpOoZreNIeHvLPxxhRymFlNUEu8kYKxG7YvVqqtwgxmtxA7UzHcGXZd21AN3AlDp5Ple TXmnz7H7xc5BvspPHYvSK+LTS3MjFZ+lOBTnXpswofLRZqlDw3tXhk4nDgTcWcSWUqmRlU2pVe06 3Mqfd/IcEzPEAllSdcqVueXWkDUYak7z886d66Ybc9cS0AEDgbTNUhiIb5k4r2uTOqYOKSLEr0Bd 3lZNaSFEnNbyMNQtd/Ry+IQ7cV9Kf1fqWWACXBE/pFRCBdPhqmhf4WsChOFm5NyIWuUgyEVHIMs2 MHrkLcKmzH4hF5bnbPDHL6snDMQp/Itsy+apFaeOnz9tOMaQMg46waNyuM1TozaGjTZPuIXqblw6 IGT0JA7QxPnZGxbo2O12ybXpokBcICek1D7YpitVvN3zJww8HoAJ8vfRBA7RTM3Dph4G4sjG8Cdd iYtxJS4q8TGSuGCXi9Se90D8HuCToH4breaEnORcScSmnPgVhgpibRZ28dFZHDeto5APviZq/qYX efKCIlCRn9e53vF4QFzZd9NRjefswb4vNqWHBXEgpM4tvbw0N4rDnymfY7tuJVcb7RE2ZSwvya07 +jRBp3oMFp0qzJHEwEftd7CUdv7DesoEVALpUUG8SsIWsjQ2aJ7OyqxCqdSTr/QoCn94YoOZ8vph 99mmKQzCqV97zg/XDYMgl1cJllcJNinVPMJ7VBDvS+lcsqZmuk3Njsvz/EfapM4NJxBCeBZS/EzF hQKVA68SiCkWBeEyp4IzJgf6q/JMqudvYQiCzhSeDygss3/HTcgneSnbRIW8zJYFSHG/iaS9tsiX GI+AOy0aOz8pyupEyjCV0fyojPa8ISU6m7ToKgLwLqwTxObxqtQks1ovAeb4nij/bWKK2VCVraCW RacKtCL6p0cl8Qd0oV7oSVT6JYz1iJtJLRiABQw5V0/wLXnyE9gKPg7GWJquatFjbIoBoM1qKV+o E1ThoEROJGaH2k1Vkxzcip/zhbQRuUVMgjU+PSqJk+5aCaeG08R2pZRO3TyZcu2J54PrbtzrzgxC f+aYXk/pcRy+CDGsVwGLPyfXU98PABqaOFpPIUVT8jtNzp8abT2d/LZmujUhDm8n0JFIViVxq1GB hNg5nU81642DKoGy3yXDT1YgJdOQU5WC5jnPN57/a+NxHaL9/CCge1QVHwQ7bahG03IX6a+cAU56 QTirJBpgPsa6U4+r4pKqZSXIRgc7QYq9TKgNg0wO7QocUAmAYiJycTZ9iYvaG0f7juiUTJf5SaSU jm/47FC2EtDvgg8ITvLhE6XGVcdpfk/y92D8xLYycoaxSlbKsql4XBCQ5F1VdkIqnVV26hE8hTqh ABemfEfn+4CbDxYwoeIZOpyYqMmTnORocBOucQmlRXtUF68UrM1SjOYgtNNJhRWKQKEajwd1gl+Z HVRaQPP0aYqg4hRXoP2Yqk5sVljViVhkLC1U5mGozH0XgVPsgDr3l9oIg6lpZZQJkt0cjMYRgRfU NIDwRbCRkerXeY04WHWq+J5/geeKCE0cVE7pqfcswczFxyMoZvpaTYT4DUC5bJ7isjjvO6nryJVx enVu0hOKfgkFTTvtu6gsbiCexGapjbaczoxTXCX9mGbt7LRLqLJiRSll1AuBHiS29gid0unaPLpc lrpF4+e+A1RYhsRl4vGo7PQrLgD2aexbKRhROD5FZJG3tRjl/enauWHQgnKq4swR1cWnynOjyK2E o114ULvNq2fVU5CmDCk9IXAhBR/0UuOxJB7jIwrHs/V+aUbMQfd7oZE2/nHljMeDcLz/2nUU/790 nxFF4wTXgibuPJbRt9nfoMvoZuC7ZuMdjLAmLus32Cjf0bsHBYXFJvkYmiqp6pQXsIY01BnDmeT0 V+f3BNNEsmZiTRxx5RC2q0IXQr6CQJrh+ahVfkGGrBVAk2DceQqR4hQloLe0zVObVp2bkL22eYKh j81TVBPPylZgi6uR2OSBrKXipDuriFlLgkimqamgINxwwCBrcouEczgNfE2AWCU9I1HJCEM3ug/0 GlhROf9Vx+N/saLIoEKAi4PXZinEp5CeIdikOizuMo+z6QqAFFaKuOeiWNzOpt6bV1SGzdIElUx5 79lzJxBs1eKHpWLKJF7bQwLUgvA1/+BJow6dfRBns6ciGxacs3g8uum+OZtIQcaT2o0oFAcADB07 E/5APaXfGqbzXc9SxvGhtRSF4lO4Xlon++jwwlXQq5nsQwHSYwr2HakYxHd+SLYwAjB/G3xPdDSR J70Jw8ykCPJ6Wrg4eYTDiQjPR6vpV33u3GAD/pw2T1EkjmCdMmn9cuymi4BlypaIYze2QedGFIkv VcLLVMWAozeAEXRDEJymCGf+pYZ8svzz75xNdFbTvJTNTXWCEVXEoRMCkxvypFd2nnSDZiTvc0jX 4PHoZPoWt0IcAh0an6UQJS5uhuC7Ci+Lub2d3782u+dqmabBNyKUeBHbvsMq10dvQwGNAMsI7Dle n8KEraGCDyoj5QGJV71w1KxDQsdPx4DqJ+85VMaYbTD9HWEQPvdX2FS2CoY+S1FFXPjCNIYL/Ke6 ndbKQ0LpL3ybbC1FFfFpZ3IVEbFVllOyuisAMCVa4sEZaGedTJMxS0Wo2a7TMA6qjK+JgiZ2V8gm r9SoU8029aXbGhrsHc//V826c+COfAGGIwzCh5RSsovJDVphia853bxzLZR6tZjCIFxhQOraehy9 RV7KBLlVQfjZc6syTppkngN4WHtNd88xuBxhEM6oqSxKFJYpU3EGS6j8GnNsLnxAUCYo3+UUrJM5 LiNqhFE4XYYHxTRYJYDNtFedmh1TdDn1XRfWxLMixmHSKeM2fwHqQEEFHXvsvTKA3AeTjEsQpZyy 6uOAoDM85muaiKMGxFe82s9+Ql8KC+qEYng+Kqd4usIKOKpQq18Uzwyr4iRz10WVlKXmgaV1m7+1 lecaqWOdnxSFBF1t39XuaK2osTasynQ8nTOJznq0a0ExEgsC8YQ37EgAniE6hcoEGXmDDXaI44Ri aH1yPTweTFP75kmvDmJt8SLmjMJwVFhPXgU/aSqJAzxsxRR2/eWtCCLqUFI3w6J4MrN4wxK0x6kF cXFehdXec24PJKrEzjUZH+B/o958kb1Lr/yP7kGlXYINmic4JZkRbC94PBLj+YaJA0VGeyibpygM RwoFONVwKOYsy5X8obhvmLB0ZRzmH4TNbB7fHG3bgZgLhglpE2efJVCoWUuBqlNGwbKRYScID2Uc 5h9V8bbuwGnq55AoydhRFY//RURkaEe52naL4jMKxOl60Uq+111fyUEXK1+15wUuuOYpLoprPa01 7uhw+lzOAZC5nmBDk9gAhIY4lHASyNL9yqdC4Z9fE0wTj/E5aRM4ZzOkE/2EWEsBRg+PR7qgftvx MkbvcMkCndMUReJ0QoU7pFFYOitotpwIihT/t0xfTlEkLnGwTP8eH73Wy2oAJCvJsEOXVyoO6C9s SAZCqv5KPdN3a4aEzcS0Do1UG1RLSQg9KJ96th8eDzqb7SLCOKknaBv7EqJmWBOXesosl+oDwWWj 3YuBj+MJOEHddjPCpsh7GUoH8453niYcO4kxPId4r1W6PSegwh97NgLuPY+e6NA5o0icMh6VclA2 KCaAmlq35TvweBRjfh/iDOvzzX5nhE1h/ftcddXzulpLdwTP7F5xguWQzVJUEadiLRCYdog/MUFH t+Dsry0V1Yx5EYIHyhAdwdKe6aLpSZKeUSSeJMKLvgSHpkg8Q8V0ycZ08C3/AmJy3UDkIl904QzZ msp+W79HU7oSDnsZKBqwuWHKKTPULizm31af0X7bBGlhkJe459pKVJOCsvOCkOr5efH/b9tg64Uj PhThcsyBNagu16FhIzD95uMRHOwb1QuHwVGveOGMsCmmTDDWY19ah9PGpMiD9z+HlOW+MwrEh4gr jVenjR4QQHWp1Kysbqwy5CJfYCuz8YPC/vWphie9cQB+ZlcTknYc0KqQxfnJXUQsP7Fq/cyoHP4I grBrTMuh5tnvDKmaCCOwp7zipIuc85SugiEkdQ3pNEPdFHV/ZxK6kKMjnRBs7k7NubNwk6kXnDCB VEkUgdRc0Tytojf+V9yEBo0PgjrtRgYoWYgdj0fx5fpeTnn9UElO07SiKBw6W1AmyOtSWIalv3Pu Xe0AH3eaVoRNGQbdkb61Rt90QM1DdQfL6UQ321SdJg2OyXRlz2A9wgQrLIYDygt0QvVBCJ5RR9MB fk5XPB6pFX0zWGDXAuKCz1IUhQ/B5trwIu+SFzyOJv2hOpqA+tAshVH4MGU+5SwcvRh+Mq7zcY1E cjSKBkFjsBHGBgKfcFwI5sL+x9dE+oUy80nkZ9RzNEnb4hzfTa4pfdeC5yPc3F1Mkk05y3kXb62s UC28DdEx/KIDbOBiU1xeZlPnVfMUYlO2yrvNSk9UrPlpxBKcK+icIlOuK33MrSMcQvFsDf9QxNij pvPf4WuCYrisfAgB0CAED4QCtOfa4uNRrvJdckKe1J+cbkUxeJenZE0u6dRr8dW0qbgoIEF2fPgK 8eFyVYYRxh09HIAAImz5eIQDQVJV5mXhe4rKfcMBouZWXAzHAgQOBQOk8qTgcdJpodxP+gEJ2igI pyT106jDhpvpSl+tUDV8Eo5Cy0oawMvPAjj6ubTGoHNQSjdjjBVzNXl0Z9Ppz/16iHSASgtCWNKh IaXWUEoBHPr8q0y/6SHiAB5746A2x52adJKjfixn5DNHK1NW8ORoaeL5KHD6DgmIfYXvms1TFIRT C+Z87vRWXVrkAaPktEiQ0HoCOFPzFKqmDAlippHvaICLDj1MnuEdBkfnbGkw3URKhpb8Lux3ueMf FazwPQGCh4SxtihLwMFyX1QfppIVvmaAS1l+OmHpgFw75o0vVxSF40sp9mx4sJOZeglzTQeLQw3k TlMYhSu+zOYTLF0f23YoLWLdUNR47I1/Fxx+0jJwrBbiUgS3yLrrYtUUXk+TNnZjbst9zz/srlbd uR3weKRL8D1NKEvDUs+nKWRrVsM2OYygt3aV+QC2EskHGYZNUwgRV8CUc8p3tGlqE9yVThjmItKa SvQTFNBMv3SWwR2VQqOTFcXhaZrBimhjZ58R5dTA8qGc5UBhboUF8d9x+PmzVrtkzRXF4TT0O/cr qyeML4tJ9EEyxQxwIemUDPu8YlSKQDtdugQcPSTYKDZkVggoo5bpBdWRyeU8IF+80TVi47eAhsk3 DkDiynmxVW3gpgOTZTAKgTYwHg9gBMtbdURcEiZ7FsQ9ncKCOI0N9rgCq2ylaKIoV2Y6F9PNpte/ BQwhyrDv+JCkz8UG9QFYIWZ0zDMrcwRBAztHDzUPnij+vKJAHM59QCOwBczBCpgLTVKGUbPi8Wg9 5a/bjsp863LJdxSIs06EPpTzV0AEtnwFmYZNU1uOI9ghW1OSMiUZqTU9pNYC15itihPUVJvJshfA nBbqE+uRdJJ7+Y4CccWWvec7aDnVs+2XYGFp4fGI5eOzxMOzUkJiekyw3yNxqWvQJsNXU8nb65ez bjdbQfvIhOlD1ZT9pGo+Oqj3fH7JYN1CwBB621WGrai3F0hiIVa8d12zNw4qTk3qzyv5oMIcSLJC EkBxfb9H4hKP/qql4P6t2QPxHQbiXQCwcgNxHr7cdKl7Wnd2jHtF7hgkropTzeOOftedoBwRE9sG A1+m3m9H/RJGrpis61rOMgW+J5gmNGTAuy0+CHCBa0Kk8vMD4fEIS/9L/Vn1HQ8JdlgNZ2GupUck e1Q/m/K+jiIKcjhNISyl2fRYRF77vet2RbMUERG6KyeorVp5GRJ0GzYUGbQ4b0LxrttROTwRqrpQ mrVBkVNaeSlh2VhNES6ldQ8JpJBCYEzz/HdHkbh8DlpdPk+9FTenSWwHEb4zUjKfgx1TNa28W5/x Fp0AJVCVYMBNwxqgdE8YrPs8zV+23/A1/4LvQLuMA3r3AgUA0V6ES5kbz0eIi2/kM4oGE5USm6bY w4cRJrl8YrDoDKdsigwiyWgt1XddiEuxVmaVkH93ABJFjVGHLUxYGuitRUbmEwEZjLnBSbq6BPhS fE1QwcwqXUqXwPH652URaEjJAWd46OLzS9FpQvp53WLKjnApiSxyksQ9IGh3MZHnLzMoNog5SxEu ZSitq1lFFY4XR78qlDSwIUZDiZKqxwmVcWD7yCWn0o/SuqY3jgitg4kv8SkcrNB7fiaCnFpefPwv kBO7n1C7mxemuuM4nAEBeZVijakFRWPk5t4iE76zNk1/AVPWnnd0MBi6u00C/g1l2FENag9aW+ec FW/VFbo443sinwNK99d+B01TQzdQkII28HhUmvObTmJgrXx3yHdYEM9cQ2u6LXJfzeZpQ77BltPa TF06P+mf6jKtmj59HVfA/4RIZ1dIDeRke2evFc0Tuz+QpF+EOQmYsu2FA0orWeSzPIM2HXSvuqCF deDxoJiSf+EI8NXzRuE7lBEHjh2Wqb6aQDSxWaLIvhn6kBvD1RTBUqY2XS8qi3N0lk+Fet4gzKkj r8hSYYUUMLSnThDVPLwsibnvjiVTeILj1LVBFx2mfqufOQce/0uTDzOagdmc7XYNhAR6/Vr5i6a8 PPsVwx7aDUkOGgDRw5lAqJScwjjc/3jmdxqtmHIiAHY/CgJMoAsTxWUKu9UFCsYVQR5iIlr6bHvn iNTKmCCLSU4hPPGhUqrsVf+gOM4P+MuNjcjnAoJIvj4sKSRskv57jiAcQDyhKqraxKY0GqwLOkeN Ks1VbKDJcnhqAqlwtBy4lHNLLegrQKvy/A8o03uTnX1OL8TdnUeUqIjN3znSDcWympXKThwEtRjQ 0+I3noOEz0eKmO07zjwnG+68azSaQm+fyUb5vjW6QSYtqyqsrDt+brt7Rgpx4gacG+rbcbS5Opsv nWNqyyqqgXkF7RSmSznzs/N+BOpZL8AXRdALWvzRegP8WOhaMibolbcOqXOLHxBEUVeNlhsWKjNz PusqrI8jte2JgmSYK6gkueBF1eHOUPlSD3KKLTW3HUD9jl7QBFsThQMWNBGKdoANcYZBsRfThEza k7z7zv9AZUpe0walwJniRUyMx+DzUYl8fW1BBMEzXdRTTlFgzoD73BqsQrFux7aFHVdzeU2zTbfR SFGN3DTD8tKy4ui4p4bOMq5HFDXhyDdxXMFxBkw5WC2D/2BlqCw3pBQhVcYQCpqwQw52ssM+mgvl xHF8Pshhav+aqnOy46d9KnY5ReE54zAcvcP6CWyMiSDVJZ1Jk9Ceh59Xsby4eUePeUcvbZ4p2klW rTjXQdZgulfZfOg4A6FSIFekktK2l45Qh00wuuqDZutcIEU4zTr0fGRF9juTqZCje86rUE5FfK5u hxQdSKYtrEIdYZHJ4PllcxVF6dPKwEZOzA85ERsb1JjJuVoLnpIkJ1JBoFCSjvRene0UWOYXBUkf 9+AAksIGThVsFZKXEBqf/8v+V2f7mfkFlUWfq1BUhSCoy0qE0FpXoIAMnSwyxIYd1HDbhFGoLmGH tOssd3TGa5twRBqA2aJT2UB0ggwkjb6gmZbX9ZAqvF34RUFKIwN31L45GNWcSrg8I/t5iM//N6Zk Z+tCHSvfqYqC9ZXkuL2Ko1f6Xo5eQXHbyncIuswwMZJWMVICKN93dADXiUzOEUzHxFlOVj61BRsj JWiw7HX1V5dMpFLs+0PV40IlbQ5cVXBlXPK4K23w+WADVl9VWD9E36dZnlUV0zlVwrs1l+KtvLJG m9UpU8k9k3MKC+di4CVDsnC8pU5EH4ky2ov69PJ7RasBeAMAW4sXzksmPRhfFKQ2Qh9ChdMG1TrP 1yzdgudC5PP/Tf8TcnszPwd7aMPJ/jLMf2yqcNe6h9ui6DJT5cXKuPwlQxRLN6qLckGOTnU5meWJ 1bM8uM9Pk+nXnRAiQeAk/VCSwG1t6FOeAyNOaIfIbkuSh+4nUOlCyDocoJR8/q/DihsQ2dK+Bhs5 tOJspCuOMr4qeduLL7u7ZA/U+n2qIihLUqwOFN0dDRd1roh8jiq29zpcNop6XASxNqr6jst3kWFL jr04md5MBPk2aKZqmq0qZC+Vzwch+zWPsO7pD5FCPlNhxI4j6MQ6Vt1E8pzU1TtnZyUXVs0kgfD1 UUE1zxB225hB+1qUzYRVdA4QIu3ApSKmH9IrVM1B5aaUfqeqNHvnf8kdLgMm0sxTpYVzGDIR7KkO Ph+ZSpWv0KogTvgy5AwcOU0WuBGrYyWYdit61B+Scs8kpkKLKgzYpZQ9bcFw1FQhAB2NXd6GqAFk c/kiFLg3ACUIRXeXFRvNXvlfUiu9sifKQWC7sx16kRspfHADU040Ux1HRvR9Rtp8YeU5dOWkM3ED RcdmCtZ9t6g3rhQUja01UyHFk+7TuVfF7Rz9/jtrFYuF1aoT7+whYPlEX3qw/goLdCtXUQqHXxSg EAhqAYf6x5hTXFRoPpQhf8Cx+XzQOJ7fwESo4bT+5RkcaZB3NthnS9P3H9u23H+tNVtUyMyy778w Wp8OIkt3tKk6ByfQxY3QxPPLLxVJz6Feka2iyodKuOOkur/zP2yDy2rUNeAgcOL5pyY38/NBfD7S G/2OqhBXngzlWgQG1pwnqpri6s+bBZJ7wuJCKd3M78753gwTnENvTpd+MHAwR8sCE3AHDac+e+yZ eoPQhIKYAfKvCji9V/dUswq9ORHdTMC4kg+WL6PUxzJ54+qPYvV9q6DSIwM4st20JnTnxO0NyPTV hOqi5FHVQLKaIFzscUsLgT1nvo7dsz6jzVRPGwy4rPgTfbMtPlVuOtzrD+W53Nq8VnvpaFUxwk5s jXJQVAXFc66Jcw1WPh+p03yf6uT5oS7kcxUW1pHWwPGrOayMomamO5qnQYMbqGw2V2FlfauslxUq cLS5OkleR4mkSXgFTUDK/RIgWzJDhZIuEqg3e+d/2ADUDAa9DYJuLChNCHXeCp+P0prvABSxMJH2 PlUh2Hyqj5UeN9PtCLycarIyzNyO6MyxSycThJypmGCjN5ITnJtR0sJUAQE5SY+leQHEpX9KT/vh Vfk7R2K2KoFS6p6DVtXoptBxVtXm80FeUxz7So4o9CMACrpwxRx6dQ72Ilqu1Wbr3AkOxGNpSbPV IeCm2QrNOtcw34SW7uhFq34u5LLYdp8LW09OlRSu2/gP+wr6wJnUXjkoLUyVQinBMhbLw4gR2M8n Nf1cjHz+ryoMA6sJ1+d9t2Bo10l5ghMcOvuzcU7EkT2JUVOFHcXx5DMVAs+lVVO6AiyOjuMASppi izBdLifRlGlegd4W/ZSwxV2bjT3AHPp15kqcCwwGbFC9CnJ2lOQ5Gcjk8xGNwRs3Foad/AioK5+q UIdlMJyavVhxb9IdVEUYnpksWKXkBrA5sOxEwKOtV6VjdymsNF4egFazX7oqaj5sRkyodRF2Bl3E B95Zk71zlAOyU7pleY5JJtEOT0J0Ectqr80PiOL1KwwhefzyQ66IT1aoUM6AvVAVnJO1CBRQ2Vh2 eZisLNEDrqsYgq7rr4hrlcuXVtSZaxz2LBufk71VohcpgY7a4vgpEv2zW3DbOwfIF7UhUJjEQOQ9 FTaLrvMf4Ar5/H/jK0zmyWMNkEPrThTtwPdYHrGfcNgj9vS0lweq/IrYA+9OsxkgmOMZvXMK68CN mUDEDiF0NQ0nzIIG7t2cZ74VdpoD5Ni8U5tvdiHPELFxJWwgdHjfn3U7+QF/dSN45UnMfd+YPfTv bF0Q6+ag2POHeXWhNpvCE4jSHkGTFSJgnCij8IqjV9jTufkq3h5WfGuBzM7y3qAzBgBrubpqFIui eufowGJlHchoG5LN1aCrxw+sV/h8sK7KL+bspL7WeA6sKGhvMqfMd6526Q7R620bgPicy3n7XIU6 iQKddbN76Y/dyzhXw4nTJ/Mb5JVpFeu0AuABK/HWHECsXJTfEwA8MjNBdKltUCkU6tJSQOh18/k/ XZZ4jA849Y1nD0ZRO++slqVazmNeyH1mzeS8CArDkFxTFWLSh4xO9yh39GtwwRcMxUmYoGMytKwq GhiL7zAYjrJvk5e/czBXUiwHtIlD+hHgDvLDLP/0ExPw+SBrHt/KSJvyf1d9JIdOntAlgm1u96x5 uG7U2YGlXulyxmDMmgMrT4RpggtVh8XcUmgfCBLGovkEYEMtqb96/vuMku1GgOWxFa3N+T3BLbhY tKrjDirFNIJyucDQkg+8PJPylNtlzugt7HKxnzk08wSUMoN54KtqjmQn+7ldsoEaIXBXfKpiZLpa 8gLAavQwdEHLU/AFQK7rFEUUKfTPInwB9wLKkgSiFXvnf2D4gWIUmBhGBDqsZhdOA8q6fP4v5oyq gTDwSrdsFTp6TsWhdXjb5gQZjrqGzKG3bcr2tk1s6SmlrZ5XvaNfgjDJQelYq+rsRiP2LXaekd/u h5tNdHoOPT1ZiqmVXWYNqhqf+CSpFMP6emDqifaSn1Wd+w88o3ZP9dDVU1XjnIrPFNzR7aiqvdkN CLlhP6oCW88T/CunaUksI44ORztbrDMMoJ7USEUabgNncocoZM6XjGXmVDnw9cTqFeNYlrp7OKUW 9CTy0HHU8/kILPu7wFcAtbpVq9jZk3qlI2Xn+0/hsLmoaNBmUP5q1OMcWns6hSYJVczR4UOoWg2o 14BNA5x0RtWqMdMvwDRQksPapl0NrtDck0YLZRQxsoALFUx2tIJdz8iqZ35ANFffxzqdoXMa69mC IShGPqhlu+d377PZbMEV0GZrbeeH5Nji09qBSabfHH22TkqT6b/ZzwmFNlAywhGRHqAAzfEIS6kh H3h8ilw+aa4gU+sqhaiz/E84xy89IVbmB0Rp8y979AKTk3Ljhdjkc7DKR7cxs5GvTkOuw9waQUN2 I70cuHxeY8ZcrRxTbzmmoWuK6IwCged/Buhsl+oHxCt5YI7rRyODXxQVRNkznSxgJdCWJSFBzQoJ a5U0+QF/mnggDEUnKOdnrkJQTGaVT8A0qCtPCSgyFdzZ6H6AangqGHp9Gmc7LUm4cHQi28n9KiyT uAvTSQUWlZNAgUCwfh6EGLMzj1K3d45uQQori5bMwRBEu/WmOBQF0cDt0+Syv2i2sHO85PYc2n0O Sm8gOPxx3vawZbV2yi6o2KFJbcsqwsQ02TDTjchHz27gf0ZtbXbkIX5FLEgG72hzwthidlZNt3cO rsFOUChb6WfIrhbIII4BN3we+AGREsAvJdNzsA70CHyu3kN2IAFo5tGNnMWK6LS56iSvSqJz7rsF w5Dd2nxVWCuOjh/aqK/2LfTCyFukZGq9Aps5oB75lK5oKZ9D10/QGVDdq9MHg1qdmWdUCtU3Ph/s wKs1JSJCQxX6Ydfk0PgTFQUc6Ok6C0gUiGCrZLVRTFZ2cGjs/KnwKo9U7ngX1kBFgbqYwIDlIvUy 3kEF7iUFGaiDrVRpD60/+9aKqt0Hga36+RBWRPElfD6IRG8Dh8c428T73DB3ssJaO/FOBRGdaqLn /naZ8076lQSXa1p+YIVhO9sS57CVnhRHTdY5uWEPA90yOhCeU/0sjr22TOvghlKBOrFuVxaIL/D/ RNhQpF6WfeBkLRAi5MBYGWFFtfbxJINJbUTKc90YK/QA5TJoRdRkZs6UIVA4Sncb9ptPeuLVq8AE FNoeXFFzmxzOviCic3aiTz9oWlETQlJtWUiU/zSAUzs78+TaDHrq5MAEVCnKRMBeNGRrxZwVQh0/ wzAELqCmS/cFeDwxOyqrPlOx+5DU8Kpng3tdk6YT3V1gDEtcmqkIzL4F9+hTrgwcDe5xzvVzIjca yJ1tAiqg2s24r6VziuzZssGh+CpwAZViOf0ahaRFhUJ4j4W+I4EJUKnOoQ9ouqpvitwznVPuXEWR O+uRbZJ9qMw5Lcd7nGOseWuehxEz58AI9MZXdZsH0X48iBZ8vdJoxrlBkZqZMwrw5zdtYOe6gknh vuD3RHgP7kBUiDBAfpAcCSzSJdXcVBY/4C/Z3GVH+8iX1Z0DL9DzvVsOA9vlunp1v/ktLTXJdbXq FdHYDFTiJSBA3NGhaRUwwMZKO3Q2exHiIQF70WGxjTPZGoPAiumVI+4ky3tLXeflJh9AKqUhCS7g rUI30Ef9jW+HrnV+MufADhSGA1DLorC2tZtZ8mVXsLOIK5dwACJ0rsd+oFaO0YLR6K15iI8ChUF0 9slCsI5QjgGLYpHThCqoqwepMx8aguIKgDAOJfU5qHY8z+HarodjDh1Bf2sxFwD+x4N3DB1BUa4F dKh4Z/5s/aogAVe+iZ0uIPB8qv4AsqdilavyVK4qkKEZQuMNIRWse0gmKexGQFmoUBnHoTHb3jng cwETWhqLDOd2XZhtRlfoMnKNwZyNH/B+rq9LyVUydKYcuDqfqyho79sAj/MGoqk6NoagVM4V3RZs rqKgvQtxjKrBHe9c9ZPAZFOuxrwNzFVlJloWy+zztublPp8Da1CXix8SZh4QDpZtU5+5NuHNUGQI vUHLujE7KRLAmuz+INlDe9DJzvy5oeudrGxR+2YDyllKufpkRfAYqxr31vodvXp1rvWzNreAtLAW kygz2YmUjRWQT3NFq8IcGIRar6uiu++DtuA4l6MQHxscpcAh9BsdiknJ0FGG351N1eclsFrnO/8H tW9ovjRfVtmBC4WCwJ7fYNkzZH8Jq84HyeAUF59wn9l8uYT3wOyDoqvsBqVScZibGez+0Ajb1Ibp 8PwSVPF10xIieycfDG18rvJsCCo8/f/mSO9oqqdLrVOclNgLXW3IaIYaof65uf18z2vfpAbpIXWE 2KVUUhPMUB/Cdtj24+gtmxObQTtZ5lbA45tbGqrK03LQ5F4WS0fU6xSduLNtWcwWH7SQTuRA/aGz /xaefpsixReaInW2NlzAlk3RSxzFh+hHhZqbn+Pnx7BFtM41brg92MhYBfQliuK7GwxmZMkFjHzl Ak7EA8x9k/rEWHBctOofpKCQcqCeYQHnJGj9JYbi6xb1/VZbPhi6EVRpOTru9nkJoDS/22dI/ypF YbvN0Ev4xK9klbZVtdzlG5PmJUHc8AmmbZqhl+CJHzRU62zDrffGLaZDJQDZSxJYvdSsZhbUvlGg xE9Z7wwhk/q8hE78FtmhpEmyVgKwXRAMLKIhtep1Tu6XyEl/be9f++yEqWDMT5uil7hJD7E5irq2 TRHZLtbGStYHBPvI7axeoibtM7k0U9LKR+MeYYsN0EiRDY/zUpsGVpU8CjhmUIjA99mm+NtLzKSj iMTuQXdwDaqyLHhI8/vQb3+JmOzpZVNUhRJdRIPYHL0ETNqdSy7OdxmNeVt9FTmBW80PUw16CZc4 R1OgzzQtspwPigqdbCA4IJAHC1hI0KPRQOvnghp3I+BCTdEky9RgjrCfT5piZYPhUl2wxtji4PZV Pi+RklaEIV2WLJrgroaCmKboJU7SGU9kLARtrWaOm9mLm2SkcKd1qN/LByWaIinhvLiAojC6zo2p ijmP62THNWh/DeVVQLV9qzGle4mRtNXATzqn0NCAOGTpkAbzmXigXvvnJUTSWWb6AEshEkt/eTSb pJf4SAe2NDn6cO/d7I4e0H/2CvAkfEOiwtGB3Q1atp7Rb/2Buj302Dub7htn6wnAcRycyw9FJ7i6 ethNvvtLcKTfgkaE9Fi3QRjPCaUxLo4TXn1eQqPnJe9xhC8dQgJhil5qmdpqRcI38zL8JKBAOY6d XN1l72UaOC+VTO307B2XdUebokIncABh0aXqDUgsTBH5ugVCcjS1MhTeok/MSx1TU8Q6Zu2k99VO cyKW5rKk7M8tBWnTYIr6vdTYdMGOL80vtZcKppYu1ZRmtusrw67bT+xN0pwBD5LLBIWRka2er9FO 7I06QxELGTTkAX0U8N1RNgV3A0La42LvaF/1Ur3UL2FlptY1NFWLzjHXpT1wwrD8eald/p9LjTBh VCb23n5iv3H6dGGz2dJHvv3O/HCKqJosWRf+7aI/hveaei1be67sR5oLd05a0u8+q/NsCoT/eyL/ yBmaDRUXjuu6qIX3HmOjbpIZlYsnUw2vVonSZzRJobc3Qp9221xf8RFI8oOXiaYpirITy5bnsrYm +vlBs6PIRqmSWIJ58EXchWG2/Dza92gRUs48lMT/H4BQcDHJt5kmCo01RnWFCYj+vNH5tPorzVEL 8Rkc7G5LSKFZMdrr88bms0DblxMuexqKoFRs0/QeaaMjLAZf8y13na0hf0Mn0KqNcBFkUb4mnCss VO5oq+mcSJCtkv/ghs9Ukh7elu8bo8pyo6QhcHAwTZ24/DFlkTrXJX2g6cUS5LmJP29MPq2LUb8O JjnRrruaonC7dlVzux/e5x+vgJnMUFjDR6XL0CvB6d1NRWLMeseH8XH+E2B77EPNtCRglsEpWfTF gnWaX3DD3vh903UJeCN6sUFZW2mr82w6M4b2ZnQ4zXvF6SBDVan4Af5G47ODlwCDbgqBGbGNgzHK 3E88mW9VN5onHd2brWIbLXOb5zaA7lRXURc+wqKGQMd5oIK2oWBmXZWpSmV4NrFQ2YqOKOiaqABA rVrp4SVU34J5cj14LSeYIgHocucpirtZiAUEyqvfaVSbJ9wjBvGZ4wFivAfeyN/EdtzrGb2nQnvU ztrbuft7NRPZAc+TSUbEvsIIedCV6Y3BZ1EH0v/eWQPnwPV0rs/zwewBj1I+bwQ+Pt5MEc/nCYfc LNnnKQy+iZsmMN7qbmVe65O9ve42enZkQRR9d+Fa+1Jdl+MDrwPmbbCchHmqWzY61K5ZBK41L5aU LFmEKPxubJLPlZMPWk/d1QXge/d5o+9Zojy/jyeQAaGaaNMUhd9ExMFS6gIwZB3LFgErNu4s7yWl KP7ucmyu0xqVcz9t8hNp4js4TRsuOlxy6VwYUIbG/YkzyWn+s+uN36dpSNW0UKG6sL3wQ0ArhP2Y pKTOx4Pw8im8qey7YHXkZaU35p6WE0tvbVluQj5osZp3QuHe6Ggg+auMGwXhw5u+Op442nLqqHG3 TE/idn48wKlut4X8NxbIb9Op643f50noJzBEfFBpCcgL9tYQ0H/eaHuKnbYvJyq3ADLBboXNUxSI dwrnF5ffoqSDO6R2cbRZf7vezW+sPdt2/NunkUI5WnMcVCsQuhkVTEh/LLeryD+DGSUBcN4amHrj f83TGICjatDxhMYW27WVqIsoFs/VgyeZfDUUWzyje+PrKSpgEa6k7n4VeS+3rzL/xkEQwbJT/I2u 9x1jMjj20ZZThVDuQkSJDkqGfYWkqVFy2VDTyKCBuXQZe5hvdD3bALQCz4oKskUFZ2GlpKbNuU/z 542t93+zOgWkIDjflOWNrafZZfTUR3YJ787LhlFBFsycjA4ECJqn91gc0ZNuei5QGx3yRKYxPIg6 tbwzTqANm71GSVMc4KBh2/HEcuUbWc+iRJzicByEggg0z9rU9FAdnM2js2Tb542up3ug3DhTdZRz RuZyl1RU+Sax/lwR2Qspk2Z+MooZfVqgeUJDX1Lv8bgJPwNB157RpipXxN5nb1DhDfD7zoocGUIN nc7aCToUT0hL6j0etwiqEC0PPUow/YaOcrgaMoI6Ycjnjap3p/or0gTSqe3skcEbU88ql4m5b/WJ amvdyGCMbe3LURye+UbUs0xR7RPjYJeHgw2E4Um3IaeHnL62zLbASTMokwsEAHyer7QG9VreeHpW 3UDxG2UfHxQZjAUjVvzjnPXzRtOzE27bPOExHJvrKV6+sfR0AVTJK150dC5XyLupqcI0GBR/BuRv JD3tfXMC3+bXuK9aGbXdGvxBWL4siAiIzCxQLFgZhIF5+dfmY/XG0dPPwWZKkbQwB07TgNM0y5eQ Dfi8MfR0Me/xdZLjhJq93H5TWAfnMZopZihg9G4+TWsW45xBQdLizDd6np3klt9ZlW48aXA5UeeC mglWE0qbiyeURBBYDq4gGN+T3N44CKCyBMpo1MVBCHKErfJiONv680bOs3A+f5/kqMWPVjwweOPm WQWdxSfq9Eh7azY/yaHo4MdT9UDzjZr3hBhQyVVzheM9yeEXpuITmC+9iRnExgFiggpEyLVjACif X/R+Pq1xNUe+pEfOhQf9kq41sj9v1Dz9xbn9OschuT6efRdF5BS/QN7idZWxqhOD8sqXGESuKo+n 94gcwFUldiSm2eiJ8FmO50Ki4ddEHqPWQhqptZ9FohwwrJ7glaE3DuZpUs5tE2KxcW4MAaL3WbmU nzxJ3/688fJsRfVfKwo9gzzuhReVxRtVqnO/HagstSTsvFq8nIm/1TpQb7Q8K1gLBtZbu6NNFKCX qCEoEz4ROvQmqFI9YMiA7AlNHbvwxrY3DkJNsoIaVINtMMjACcgFzj1f9Hlj5Vlb0isGpiZ4pqrf jRdF5Cz2N6oPW9V3Jy8YDLnqsLDS3LfijZJnpQdmLttsCTnewgoA9ovyLO2c4tWcUip4tPSontMb dZTq5AtH207S+cLXZ9MgPKfpLk1UhBPuf974eFaX7F+3XZMrm8/SGx1Pi0nlgnb5LYmut4qe5jVB OReCBZpvbDw7xrmYFgVMbHRQ70KzLzNOOdFBBwaMRz2CA8Q6wMlcYTK0ez5vZDxDDjAQxwFngyie KJtJa+TcA583Lp7F47+rdEAyteVF3zcu3lPcg5PVPZyuLCBYqcVPcchBaZpiCAqmp2RJmWrUNO2V JujoRPSCGNaGAZ5mk6UcHjg/fxcLKBe98b/m6cRmdxDB+gROU/N0puvzRsSzQ/xW6RgoVSrFeFTw RsSzWg7j4XWVtqq7EEEXdzmCIN9q5hsPT7tB9sQEy/t4kc9r8jNkKbuZtzC3qdCGR16bryQZpFT5 wkF6R2517xJv61e87czRKiIslvJ54+DZEd6+YicU6du4KcsbAc9yMqS+rVQXuavLCpiQICCqvtDE WcLn/KRo03XNjqR+ONqmGxtqgJCTw1F+LrhNn9C0sd8msFSyrRBHY1Jf5I1+99WQSkMSk+jIsq5y /ptzSXaJFeTyeWPf/Z+qilrr7LduT1ne2Hf26zANLtuX0y51+XJSv5xyuASlcjlFxfHEVAVYiXJH m6jzAwN02dFEOCt35LrMnRfWOVDNoHSmGe8CyfB5o97ZPMkEVP5xIzmuCayWpHN5lM8b8U67Lt0U mEU6CCvU53R6j8URiEwX9HGzmH1B9NR6I4g+dedUv/HurD/LXXfett/Rdl2hD6iqKrDzqM1MCc6c ncAH80o1Tu+1bL1x0JLahDWB22uDTqc5N6/mE212vmZw1+VvOAHU4IFT92mKQvEuO8K6uhdVCIHl XZfkJKcEOPldF5bGNU3Nfa8fabsBAbKTwvBwOv8J0ubS7KZ/XIa0YoGEg3M5CSR849x9FelYYLDB qk/nCpUz2sLP+R6KG5jviZw6OPr97rooEq9L0JRbG0+kQgqaIqIBinR9um3jG9/uaW4lTrRGRv+T Nd8EWhRW7pmnc92d352ReAGjcqNADj6eFwoEc36j21m5jCF4J6xg02uGx1NFvM+CSD9v/nmj21mE eQGFNBEHtWONu6DCSLyJhtE8BzYPRwVPaXrwhPKsFlRYHDeBjK6UhaMtqBMIzJYatUo37AXgNrc3 1BjJoybUw6t0mSYdnzeq3XOOlymnvYnsUGrmFeqVkvM5F+3njWqnjbf3d8oiH8jnwoticdrvnjcf l58vBPikdbthDJHBV2MlvvHs9AdYrzwLNcfRm1IQ90jnwBYxWBh6aNpVSsqd06qSnm92VlQyeKPZ WZKFcuau9Q46oHqH/xqnbOfPG8vOXvO7Z94pJnSr428UO30p8ZeNKifCOaNnLj4iFpThnEcl0xX4 yzgYl3xPM0XXdqMn0J8QO8ovdYyeZQICMhSdPSY8V9YNDMh8/7xR7HRWoGt3poVN4UzTXxZVCkIP 2jnnOj9vDDtr3n0nLfj1fpaktPitIVQFKe3JtzBfgj0RlPsfKDDASQCLCC8B4mbFCfVGsNMPZYo0 FISw0TEYUMhcoIEioU9bdjg8yZHjITahE6Gj5v2Vo51HnZVFlXx0AzVRA41V6pn3s8U/b/Q6q2nU 70AT/iflQp7f2HW2oNjalDC+nMIpxo2MG4GOLSgqjmtBRbXxno0EPJ/Ra5kwji1otOGAAv+/Eh/G vjGKgQQtP+ld1xtHkB4W6RaT4L6KSbOduyaJr3oS6/p5o9ati/TjrGDDQrHRXNQ5Te/xOBjmRSjV ZU3OJBIU+AW98O5DTWhANYoX3huxzkq+qtGZxWV/LC4nfmgw/rXvUpNDE8n4iDCBiy0NUuYKDCio 93lj1lm1bPCqI6+Hg6BPZ9cSwAXicf28EevWbyQd1w5KsAsVTZuosDbeJQl1Jd9Z2FMaXFdut6Yy rfT0xquzyIATNYd0xjg6zeD89Y3oeOiM5XMEilO9QNacEMngPWFpsIoqb7Q6S4MZaG6Wni4OHeUC pEj4wtra541VZ/HwrzQ4o5o96Q2siYqq4xK8hae5Y+nmpWOM1a+l83DGyhurzla0SeOndcc7URkZ nvgYhQm2rIkQ6E4Aa3a6ATk0j/g1wTyh7F8RHPugxAWGWEXsuj0+b4w6S9TG930HMt0Y9xiPsSpS bx0ekNd5w4LVqhPqoDBhsxQG5MqD6Tvso6V38FdDC0ECY5DDFAmIVXAyEBEleziu1RRVxtVC2GDH 2mDpXYHP7I+RNN/IdHbb5a9DHC2BmS4E441Jp6eyTu7rU9xL89pTnumq+7XhsxSF40OluT1VV+Lo JbqJPougBS0BUV9N1W5ldReBffa2HY7EzxuPzrIzqUuTwcJBe25tTLtKT/PzRqNbDsd/kpaRkF1W 75i3KBbv5I8MMLxcrc5w4wCLF6+p7FZN472FaHEDqAhyqdHP8AW/T/g4ADZ+4oFdXLL0nJ1tC3bk 4FXseHxNEBCwlpIXhVs5CH8Bpt4Wr6k0PB5EmP2bSIcyelsPwScMxPFXw37CZ6nV6wSaJJ2ME6Nm 1xRrUVE8sR8Ao5R1R68UlEYneCyc89NVA5VDxGfC4RKiJrvdZrm2XBSIy9WEPuA22JZrBiuGBAIe j0AF3yg60Ilman7P9SgQl8UlRG5smjLBlJymJv4vdfZSMkHN/h6I4/hmnnLOinFHP5hApsuFBTqQ meXnBRUBdM/BvEFT3sNwcld7SMocKsyRRM5Bi+msCdrgnlnafDygsNzyHJt9g8a292TqURjOyxuX tEuCDAR5Nk3SNsKeW/x4TlOEUhksucHB7BmtKp6gQAm1ZEwTyg9Z3aoGwlZTb2Y9hQJKgvSoKk6Z 5ALwlA+aJ4A9mhwJT7rSoyj8oYxRwQgHeb7Aix5G4eSxzFlu9lvdhHAbNqypZmat8h4VxS2ta1T5 sdGy3wSP2THZ2YTKSENWsaEhRv8zJHBQK/cygThjURTOstO50UQeR3JXdSKhU7Rl19gKng8Imr9Y vpnI83RBdD2kaFbZOS8XL0oEyhJs2FKZBjYkAZxOcT2Kwy2tq6XsO3qB7qQqgF5As/astIoWN1FP 2MMVSugQkfd67x72xkHYtOVk0ooGA2WWMnfnvusn+8LjEZHV950URM6O692xqz2iadKJssrQDfW5 uqkQgWmaKxvK/kTOyzUbeoxQUXkAxRAfvckyAAoqRYc4XFO3GZ+dLxBdQ4rbisJ51/WoLL4EWsVt CXXy7ZIp/RwSVPCiWAyeDy67cVF0iYvvLE8pHXKi4ig8UdHCQm/WaR28SsNGgXw7uGRaTyFZc1o9 XC3g8YU27AskolHUZzkzJUJn6uAUw4YCmuj7nk81642DoKAsUVmzRCFP6iq+eD5LlmfiSes2ng8W 1OUiqsPeAF71OLxHhXFJO226Sv6HolQgw9B9dycKhJk3QLMyQY/jcDHC6TVko5UJOiqiHaiFngqs ZFDw3TDTYKpEkd/1JTQ69cbROc5eubx3T/BKbzkmwCdf4bUNFHjBBwQn+fzuIECxaQARZBP1Hooj YaEnI1U7BKGjLTXqTouWcEX1FFrYcOdFGBWpHAM6/4wOekIJa6FLQYvUDstzmOOgpZLRoP+hIbrb mFBmtEeV8WoUqbZ8EDYMapPEdp17ouHxoE7QPK8jerVjmvI9xyPQOOWFCBlV2anC1snqmAUmMFha AF5lX08RQmXIkZElUY18fcbi0M0eIDbCQwi2SGKSMYSAMRPQq+X27c5WqvieSNQC8VOllZAGlVNO FtuY2J0rg4//hcjsrBKc3TP6LWP2MBrvrDvJnXhpZVl57uRfWdqZZzEgB9M8RWXxlbSMxtdoy6nv BRwdXHp43+1B8cfOAL5ulD5GvWjoQYZrjzAqgDRCdk6WS3eeEFrIWfhcShOPR3TyX9wfbIKxb51g ROG4/Ct7LhcNzR9FjTsmsRIp6sv8K0dUF5/CGq4kjSKOduGNfaKNhcLfOcfzOeuGagkJMdWAoBIg oUZpSTzGRxSOSyo6ZXU583bgKu7nLbuXnPF4EI7Pbypwow3AZf6MKBpvW1fc1cm0DJjyRKk582dP r6aMuCiu3VZLvqOtJqitzjoo177OGVKrBHdOaAivaWRbY7iSU+Evhu8JpqkwLMhSi0bdknqcUDbP i0jDE1FlPB8hVz3MZEiKTBGMUZunEDCeCblIq9556l51SgoQqPp4Lc5GVBSn9+DZU+yKcWRTjFR4 MARWYdZCNQhm1IgczknGpBthp5slnL914GsC4GqjnwSKiRyGMX/OoViyauInyBxRTfzpsUhuDj2/ 5LHTiGLxxlJvE/aZGLFUh+N75fbCSgGwlNpzUSxuZxOua43kBWCWTrCNeRH04sRmvVZVnRJOJOKF EG16SIBKEL4marBws+kk5yD6ZgeolMhmCBiOsCL+q4IJ1NJ4UrsRheJsNbdWr/A49eCssSl3cORO 7D5qLUWh+FQIPrJ4LRztZNrnR0drmY2ofeKUSSoVYPCs1p4Xh1iRkYHJY8P3RLoyTFWAeAY9Cicm ryecnmweQ4ww4/loNd0OMP/ls3VYRLWJCkNxpnZkNF++nbfKs0A94tsV33RRKL6GYS/qMzr5AAAu HDvySz/5CaW24cAIfhSai/OyN4nGx9cEKXBigwXIVhsUEZyPIoCEPXM8HiF5vutOCETOTHlmN0Kw ONX44AXlBXG6OJBFdn6cWxCHfBIzuxGBxYtBe7cQGBxtOSHMPh9GLbBxQuhJTCTub3kYVVoAX6h4 1Qv/i4E/QLjkYGZm6ex56Tgx/x1hFL6+Z6lQT7hfeZmoIE4fH8CIvW2Q5FEy2a9Inv+O7AXxERXE cVtws6m7OSQwkxkOLKQoqOoDeQnwe1IXCpXaExpA7Or6DlMkGl/zj7YB5NcLBxRvhJ4D95hs9pM+ djz/34g5gZ48IHNj0xRG4VNOG8klwYaoP+vxZ2Y8QP1cLqYoCrfuSsmz3tGJURmSxrBja1BxOIEA AyUsK1yJaIV0Nn+15xhdjjAKb1R0JMW1NPlmG8jpXDRs16HJhQ8I6gS/6nPEcMDJ2yYqDsMZOJEm wDLBJAGSE0VvMZaddhp3ouKiuEn8C52S66MWiq54AgoJYTic0USMmkL+QEikrPrYIegQD7mbjYpX VPg/A+5ESvCcvJ3eb2dBnVMVz0f1FM9+CZbD6bbQZdVEzbAsTsXqlu1MIs18O5xg7Vufg5Y96ykz isOXGGSTFC0brSwObP8Jt7mizp8GTQ2zbiEH/ywIiMZ7v45c4BmiU+Tcwq6tBh3iQAXzpzmhbcXj wTT9ipwwsWhn+CxFcXhV9ksFZqiK101wJKop58/2asq5w0H8Q+Q0Q+7mFoGlmBlXecy40E8uBexs wudA8eEsFRogZPwCGTnDhffaK0cMMpYHOsWdSndxpxMxJaW/kLrF49H55OAU2ZaceRoXZjijOFxE 3Ew/HSNutu3dX/TtBQqjkKEUsELipqjlVLry0c4nMGRThf4XqCDQBBuFxRQAcAqKpSezWxfDQ0WH GZbFieHp0lLrV0vtzNdKqtOdgw+PR9N0weKM2s8tv9r0c3xGkTgpSijoX9BFNlFVmDU14x6s8z8+ T2FV3Mq8Q1lwH1d3fUDPCXyWSkz9iTmXuQayB4BEZ/crpAreIr8m6h6QOLYJUVl0PWTNae6kumk/ UR4ej4gs++e7SLCh73tpUTMKxaH4CvUUF1TrORUXVFt0ORNFY7jq3IxC8dEdjDnu6MVe6BMkkGGA CcOvzsJUQm37Z8MULe95+WOTFlwz5G2yT47qmA8qphTIhJK3WXrF40Frs/2ilkNw5oR5V3cujMSn zOK3x5hpuzDvqtd6BGIDFmPOCJpix9NI4xl9nko6n7WInYNCz6LvLE0o8Tef3wgO7B490a5zRpF4 JfegNCINiyMZwQHFNWrHIR6PKr0eY7J8zuV8Y4IZQVPYyjkzPB9oSr6I1W5dTgiXQnWJsxSVxJeA O72ldEfPVwA231npLyRoi2RUdCogWNq4mwxRT670jCLxRABP6iIgdFYDWCQAn5ZkgjL4lkFadwX6 GHUXSqp6A3iGnM3GfGVYZoIa3XTufSpXEbuPK2IYqhiqUUeOkY9+NEEyByIa3HMTQlnSeIaX0fk/ nVN0Pl5u5B3MqBxeKNq/JdHHQYW5UfrWCb42Hw9m6fIzWA5PNDnw3HdG0JTZpBO6nFEOsJaj5rhp dIAnN/uZYTk8mySP4OIcfS0BA9ZxByCrQ3tQ9Azw7uSGUlDUuOXwpDeO0M+kkg8qYRfYKUnnC7wr mbrBfvAzo3q4rD88cKpMNZ+AIGRsNvoHt6v3mOe0ktM2oUwSWxH1aJ5CDRW1fwk48dHCy3r+hAVH ALwSe5HKATN8J5jIZnVXNE+r6I2juAlHU9PR1PxoOtn5nkVIp5Py4PEIw1N/pSvrh9qKmqYVReGZ 3ToTTuGmm77pALEyMD3skKzmtCJwyhBZta+d7mjL6czRudQAPVP7t8teKoGpcbJPyGSzabAefYIV VsNTk4xh9kEQHiDWiKU/d2rF45Fy0U3q5PNGCoMXMFcUhpOj06S7xfR3iZVIReyy1j2bUrNpCsEp CpsahQ9tvOHlmYve2PyVWjNVe9JAMRuVlh8kZ450wi+Gr4kqc/rDEoeT8P5I4wJWsVM+r7sWPB/h 5ub3RYcyxb6FuRUKhzeJ8w0X650l+RHek1sn7iSd/sRP+icpahbhcyatm38ae3WpQQeGNOmOMoBZ x59zaP8Qd71KfaKmE13ha6LCXJPaY/FBEB6I9Msrqy0+HgXhXueVWxK6T2gW2jSFlM1CEKYcaQSq r5c7VveFEkAMnPHACiHi0g6F7scdbdOdGKMtpNKMBwrMDOQmhYtqIPtAbuXxAHFzKy6Hd1LG2IJq gPdKymNtqqwz/4AabRSFk5/8MDMQgM90OXYrlBBHdFmhn6sSAWwrDEo/dzZhHjArgBVBiWDFjE0T eJKKSlXVVRCes+VOiliIdaqJuQ+h9BQlAQUB4JT8YHimXjnoaRIiDm0tGhoAVcaDvGGiVME5k10n PiHYeZd6TxAkgb0re6FgRZF4niTXreo18bRZvFxM7KYbP0BV3tZUKKEiNH1auss4Wt2pnbN7U7cD GtDw46RVW2N1sELMH1gl9wBM1FtfETxFYuJQbffBEmAYbgxlLHzNCO70TUcEToZBkU1TiBKnufKo piGeOyUbVBSfvRSrOsEhxaYpDMV1gEPq+453651vmGg6so6ZAYcFmoCEjgzZL1pQGegi68KLBVQo 8JRYJ1hpGyoMovTUNEEJsOHxYJqyJ8C8aAALW3ldteyQtlkpw5Nmtepc79482JnKgwSnQOfY5imk bZql5E79jh5mZvDSAUyFtloZ5zwh6mKwIdSoCwLTIwOnIH7D90ThU1XmS01xbF5BLTqIEyQjojy3 omicmdUTjU9oKl4MzwqB4kRj5rKLXXj5ERKtNRtpE+Iw1thccVlcuk7FMDzlwfAMYF6hQowTCgil k1+w2kuOndit6B2x/1toeYbvCdoHFKYtUyC6affFOUTnOTzY0z0bAo9HaIJfyo9Ey6IB4BMVlsUR N0IVPTnvoLsgz5r5S/OiGVZ8heG42OS5lnlH33c4ywnc6ITRdxZS9lKmQxin+iyKoKgHvaJwPBMO Rk0fG1TGbJ1qzCSYVzz+X60n8GeQlmqadhSOD5LvEz0MTVateHmu131JwNMVVHZUFDdDEbpB+njR vW3hvKXQMRQvivx7GgRBFm6Q9cg7yc98R+E4HAjRNUjJBy0nUEy2dGnTwuMR1eebngH/vpmvRMF+ j8ZdyWGM7SSWUn3X7TnrVekj0ItC9aGAitknJ/NwTY+H65JgK4JeVMtPsLTERGz0zQB8C/+233X0 O9ghVJzKIDmxaceB0wQ2w6TyFjXY93s0fn7M5AUVRt4AzdXbAN5RNE7OZDOZOUbjrZha2Mqs4zBp ybgONE1hNC5oL3Sx7+jFuZMbjDZZKpigR0+r9cLhd7Ciiez12gIPvXEwTV2iTvUOgl0gt2OmX8/R h8cjwmb6mqZMltYFhO2QsGl98uWiaouNQolBU/dUsAtIc2maQnCKEaSzVHw5egqcFvxnuedgyp1z kXov0ozdsEAByfFOFK+6HYXju5IyNiQZRhIsIycs3yqPW6ymCJ3S8jc6pZBo1Pyq2yFQXDozbefn BHep+rRnMgzPqK7ov2O6pqZnmyb0HjdpyXujXEdO1BjnhJ6ywoXu87nA4fn3NIAXitz4mihp4SzJ rQ7CiD9SQdvsxwucMjeej1jS360DYB7ZMLRpiuLwRhON0a8H6RjO9jnH1pJID+RThkUEO8SmyGBk yvZJo68m9DIJOhd1bDJpONcSGMETXTYkti5OABQaviaIm8iORl3GB9tzaXVjseAED7EpN76sLBSc 8HI9ey7CprD2edZSuYupXJGZpJodzaEo189ZirApQ8S6JgK0Rm9rnih7Z5E1YcY0RxOCBzEaQ1uJ Fq2mxK7pjaMTXGW5ln2wYm/CRaGKGx//qyTODQa5blJ3bZ5CdIrk+Wp3zSJ4nRopqqp1jirmQASm eYrRKYKEDYOEjQcSNs6Jd/JCNsnPskyAS1zAPeM0dr9MP5SmzvieCJ7CwlyR/1ExDghkeVLWTXcy Ljwe1ed+gXgaoE5XF3NHYfgyXUxbOPhHl3aCE7ubsmxUkcjX3GEYvgw51/Md76ZLycV4BisrLAgn mEWAiZi+605UUcfXRAZIzOYWGRpL4mDELJVm3N9aBx4P6ik3uCSMBV89rwDWDmXF6Q055WRbedFt d9Foy2dp41ezxRRBU2Y1USvxNjn6LEGXt2S6Q5x9Bg1ilREg8tCxnnfz4LKwUIivicT8eTThX7ZB 99wEH5DbqMyBx/8reb4MsU/6ZGueBAd6nagsbaeSPfnVGb6Z/LJJDij9Eseu66P+mf2mKZ44Ryum ZPjeL7KRocwOb0dIzDQiiAo4mhX4izrle7+2vXNAbYWFxjm/aXwAnMOPqk3gXJ/sTgHRuaj5ERFq 1XM7YmoLkP235ptTKGc4hOglLqWSaDeFVimwwNkGUeGWtNmKw/EtTJjWFUcLxzFzoyQSXGFRXoCB PrkdNEoh/XgWcechJUoiw3F80b84iUtpy7ppy4YQM1PidrJSPh/kLf27J5XRPIRjzJ2r0O9ny1nz VunGdDNpIG+sSreJD5QDQgrx4lZXqdJkL+5YSAXfOoBwkuvvSdnBp8ZcoaKZF/Zb3o9mPQsG+KII HzapIVrotoUtK6s/WFB00uVONLL4AUEgdeNNGQRBbwaYLZ+sqEwOGhyRF8ZB6NhA3nQBK0qTlfFj yAYhhTabzaDgtg3Hsw2h+3kuuUnhejj+VTKkWiIPDNrzFQeJ53nyQUhRaD5YzUQq7IMoUmVAl4Sp 8Rh8/i8wHSnmaJ1SU9+mKgrOE3XrezfuAdp4REJtklq2O2ugXufrKiqVD6Hp6hDYl6OtqxOCAnTB qaK/HU4b1FdIC6bS3Vwu7FDy8HcOmAhCQyP8skGn+zoRKzMCoA34fMTG/6aTZS7u9NTscopCdDYD WmK3h22FQaYTmVKj+PF+fglCNLs+6p/FqF7VruLoqcyELBIKXqjanX3eq3roCU0v8fMXZdmbHL62 vXSEPiSqbrQ7JKuugKvNiGHo+cifrH2dWGhTkAPqcxXWy3G41719rlqFnrwWFmk6qgNDTMHnKorU 7Qqswwrno3zVWs61vNi/g8baCXIzC8E0SaJDbYb8kh3uOzd756BiTqb5BFfVBiu27MlAGlWExucj 3mv7dbifsAEo+TtXoQunFNew3TArzG9sE54zN4vbCW2V6pbuKYzWzQx5tXFHp74uuJ/DUBx1qRN6 QaLsnFdyQ6HK76q3LlVqs3eOuK+cpJyWhmnwugL3BTb2zkN8PjIqu/7SeEMITUBd7s5VGLFTh4a9 fxd/MjupE7zU7jAWtUxkoxhJrFTDQiVzdk+3BzorcpteJWWPggLVKKHRjw4kNKr2umqsS85S6d9e QGlKjnWadBJ0AuH1zWi0DT4f7MCHFCRKMe7tczffuYri9kXhtcbCvqn+L9PsgeaeJ8uleh0PHxVU hZOChqyCHkfbg9CABJ+DezADAMrKcaqQZJyUKy/F/V0Lpe34RVGJSv4R/Q6CI56/lf6i8FqYfP6v fJmFGiQC+cI0cujOCfAKvA+zSWufXVBdzn5X1xRD76y77WSIZ1EsOpaWFUdbVsBKt7VNvRbObs3K L5A5wZLKm5bK8rqheXkO/Dmv6iHNbjQoY4ZLm5CbJ3fi81Ej9FumDnUukLzuVIUMzyIX3PF0ZFqy bBBkA1tVEzGITVUUtouyeIJuIcqWa1lBl6ZBPqsQCnzyG+Dv1I+Akl6jxi8FQdLj4JJji04KrgBl 5YNmqkJtmzN1NgGfj5R+f5lPgorb+jNTYdCOAlxb6oVSfaVlHVBA/XHSimLDpepCDl06rWk8zIya o1dhCvW66dJ5It+yl5TqNkU8Nt0k4CdiU1WavXPEparykZBQpEkfIhikqTXO9Tr4fJQ7/7J8R0/m y6czMOqUNgctWpcXYsb1M806qijiQ1coLaowZJczCSjGd9RUbRhD9Sne8IlG2ZHjcbYgKo8/HUa5 ri82mr1ytP1IN+u05uIg2F0/F616Dgv2uIFV53e0QBx+RuY8nkUVqh/SQCm37XJHhfm6Kns1uSqU /nzNVMj21KKaSdQ7jraoQGjMpNIBKwUd7N0NeQdVJvy8WIJWtCKhkl8UBFaryDtpa/DFcYLEPYXF H5vPB83jNb8CK+TscEG4UxUpklMHBIQ3L6kTBaH911Yrvv/AxrD9F8bry+BkakFw9P2XECIsdtkh eQRCHacKTl6Dc4y6kAOm+rR3jtjosnNh0sxBMMUOwy+WQc8H8fmglZW+jyq0smp7DBYDw073L4N2 04+L1HApLbmcd0+ZZzIMdQ4dO5cIC2dZzjtaCLoavFvoPIf5mURQnksAMKXzi3SgTPat8alwFTp2 gq01ceUkHyxjbsSfU+Cv8/noUL9poAH2fuaj+psD087z3BQSiHWE+RxVRLgAuGVEPTTHbapCjyAd P7UJrFjbtb7pcIo7qanw5jAD7VI+Ip0BvYgKpft0Dc9rtZeOlhUReEkbMV33Zcjxd2WBqfL5SFvk GzQFFyeYjd+5CkHneOk6U3XAYprlEhjcAw5KJ+XOVSzCIviGwYTzAxPupQEzgxAVIiyVjQnoZGRc liUzoirpwoF6s3eOXAHEP0vLB+E3NnybxFJvhc9HMu6/1MnLovH5swWjaL1liYpsL8WM1F13jMop VooZ2QigOXbvVHWhmqd3fTy9BxQ2ZXPfAcvH71FZisHBdpYREJrX8y0vO62iQjtEaGEEvqsPWlbn tzUoXkXlOPTvJEvxwcFm0QWQ8tpshR6eXaqtzYw7AVfY1fB4e5qlYEWaYzizHJp4LiGogBW4o62s 3nnUJ5MpPxkNxUtTO6vshxL/e9WnwdXslf/Zu0lMmVdixYVYuLqZw/6Assrn/9JH5Lqa1CG+qU3o 4jm5rgb5Kv9hu4u63+TLElchXa1d9p2pGIMuLlo1J4V6BW4Hi6P0bEXGPCFB0W2mMpTaNpmrLtTG VmAObTypv32NXobxSyq4SZXYjrNXJp+PGNjzK7PBBTQhHe4zFWqybHIc5QtLFojYQ6zDyMSTtxME PHVaBS6e5nkBFU1z4kr1ktUbrZcT24HQiRpAJZ0dyCQjQz251PqAPGuyd/5Xea8B2QfkC2qichE8 B+KU+MH5aH5AhPO8YSilAkHUgbyzT1aIfsH13kDtcow1VqT1uubaNll0/LNlFYPR1YmwVnx5WvEd 9WKAHni0w+E5UyfxXAaFCO8Jr+CvW3DbOweQhcICH6gUHJryuVqniK0/0Kji838xirKlqTAVvCFD 6OYJpXBq53nMvtY01AKE0j1lHvIPQNQS2HnqTyCoIz2jd1A3vMwKgfuQrRltii0DfvwAIA2eC7fK ft854oUSeVYkEAxQlhQzRWBmo6+tyQ/4Uy7RQoY+v46rEAdTpOH6qLu36giPOk1YGQza7uWF0NPT PONbNj+T/PiZoGM+SCcBxONsyNlkwVhkFEn6uitIZfx7eufovKIDyaD/BAd15Xdpqg7AnoXP/7Wu 6FVJqa1r/JJDV89Gu++el6sjweHWiladtEJpcpdnWUVBu9X3prFpOd76QgcRJONkhyZ7A+KGNUBo NbCx+iTNOBX0yhHKA/COMxvFB9VC0W3eUverm8//VYmRMCkoKS0/UxWV2In2afKdFh5mGPkKrOCy HA9z/XRzYOx5aX19mhPqvF7Wg2qCa3TtQOjdiRJCLMximX8wGmXnJttchYgYyuDziuZQKNh+Fqjl aWdDJr1oBLleX1kzS4zz62APoekiiq47VYNNBGbNuGisFLOXY2JyYO0ps1uCYWa/o0P4z2KqSbru fUAEikpKacEDlSVbiXEysqIXDb8nuAS3ilZExeyLipltMNZRsZ3PB0fV1eGUv8ICWXX0O1VRyJ4I jDlBcvMC36pWi9mWIBIYA/qdTdUfZFFWvH30OxDWlU2WQueOOPEQUyHUS+vP+UJ+IJXABUcr9s7B qlpCFDcWjyGnplW1IERNr5wTffD5qGr8faxDlWAh97apCi0+p4718aUfUa935TI4HwAMrtKdQ49P Q+6NnZ/RzqqEYHpXxusnLUcPT7bOMJ9paEbW/bC0iVDPocknXpChVfNBVeNy8u4s6jXq64HLJ/pL 3zhQGD5Aau7OVBSv05b0hM3NYcVZwsrUM8/lEpApDKGZiuL1JelEqNze0WcK6nSIqcgZhaSijGAW OnEd/cecLyPLfKpy4PMJZK68dcVEztPJtQXqp4yxTkzH5yMNxf11AaIZfjKIGyvERp9FdgvdWTSz T7e1rvQvkKY5zg8F7KHTZ19aKFOLiqNVrWBhuQZtfMB1AE8EQI8BLOe50yHUQksvNU67Glyh1yfc FdFdRgkF5mdnWzBJGa1vIh/YW+AH/GXPyMOqUKvgJBF3tkL2KBu9pd8t2Nfy1ilNBKx1eqU5c+j4 OSSQIMkxG+20yifa3FhbjA1OnFXplj6hrwGnuPPAHI/ElFrygeUnrG+kpyjnT3T3u3giY1O7Ckxs gD0Cz09sQu9HKMWm7eilQOTY9JOEmrGSC5QMmafTR2oPN4uDk7dPVghbF5CxZqv25au90VPB/6OE P9hGUPCZJPzhhM+gLhSIIzq6Hz8Xv+hfjWbKwmJA756AqwYSAffkOOE8PyAK2X1psYCKn2wiT/DJ CjmkiWW+YqgF/GO7uMdWBGs464D2UswFQ+/PbsGlAa7KA7jqyDwILsY+PHlyhrkPzAVwC2cAEvK4 EFEzF8iB+adooCiFkvbHwVBEgL6xJ9hREQ3cP3H3j+/oCgD/VZ97MFQ5p9pyp86OSVAWY7qfkCq5 IMCgFpvWVYSLMaGplnW6c/RqDGQGBmDF6MlvMAp5uhe0KbawOcMVggp/WH5RdBFO8UU0IK+TxfzC CcJ1lbiuYsuhexNS6Q0m9etBiAYeoMibmdWIS8PJkmIgJqtvKyrD2qN7QSY2AVVtoaZd7+ggIlig wLiRk3UOqsV1xZIREJrnK+Dt7LWr7e8cbEJkOGee5/RB6wq4yin63+p8PopFb3xF0CMqOpCe9rmK 9V04QSMNb0us6Ui+UrbbxwHN4nMVhu1ia9XqTOWHKZlABavybTwRVqeGC/TOcROzcnYi1yv4tlVq D41AR5ZMLu57DQJcnTCRvy5OxcXno9rVJUfwKjybcJ+Q485VWGqnSlfBv6qSaCEDi0C+Tl9siS+D 92HnVah5ntVsrzy3NGquIHtwTi/kFh3GvoU1hrPCWOVpCdVLkCut3ZWF4wu8QGHmyd2X6Z3KgXOF xuBwn9nN54MQa17VILURIdR1ZYNyaAdKiGMrM2/PnBU1MBxV54s6rTzSGY4GfqDILLmu9lCRgaPV 0Van+w2LDPUEM2saPQeANgifnnx/WOKcucb5PVGIRZGuvJU/72nt5gwMotrNwDAEfqDf6SArolAt WFf6LYd+oLyHzhHVnEyyWcjkTJHBZ8AYijxrpiJgzBKtdHY5e3H0cgylyuoU3GMSlyG4xzm1qGSQ cdhbNjgUXwWGoOKqkeTGVUWb+2zp4BiMUzoEq3NoCZraL/OYTTGqG7mHnqCATaMfP3xVwfnXKSUS ZWZrnjxfZs6BKWj6H3Mb6Cza2ehErnPAAGIwJUd19qCuwXMhnnB5NcSoLmVSWEnl9wRFBiK0d6Lq 6UZ5VPYxWMiDfapx7ld+QCT6cqdq2MlOKxifqyhup5pP6zTtMsBVd/pNSaNa3D7JLdC6ip1BhYmR Xr5GR3w09ABRqIIk1QAwVP17/KWSjB1XexFgMb1y1Opiu3kpg17VoWln3pMUbzIAV6EzqM5b34EN hMzHMjwHzqCmj3PevbioflrZWBILFtY/5hkOspbO9X9Yg+qvH/La4WjxAvTuT/RSGLSvBoUq3gHE UTLQZhnUhYTUmQ+9QSGrPCFyegfVjiHfpdox3BxzaA5KXbcv4tvZe+MBPIbeoLih0evay6aqkMKO GIFwdItDFzV7NFWx7ovceLcMHTl6MoiWxMgCfMCxZtM2HG6YCNlRT6BEjmNjtr1zROsyxRfZE51d tGTgVCHXJDWX3PgB7wf7uohHhqHoBq0nXAgcQo1PAlfH69UghUpKM7dUPBdkxqW5imJ2q6+XLWV0 jj5X8KGacNJhZx7+2rQEo/sMHYzPFr2gY3nR58Ak1ASqYCVhPechVRMA1Wih8HO+L/P5qHi8v9Ib Qk12f7DsoU8oiLzQFhxXokpxOiX2R3aJKl5gNlcROqaqJDNL2nf0VhcaKieDY6EdlJU1Rfem8QLK zMjhfKroWpgDp1DvdBUgQW3QDkQOJhOmDZpSYBUK42Y/17PYcmdhQona5urzGlllY0C3/Kh5cZaJ W0CLOHl2I5WqE7G/xlUZiCuV+bpsHnvPz0ShKwjJW8TrUMSf28wIWCs4P81GpdeEh+n2/BpVZejk dFUXmg860xNWqA6qhadfJimjXaxjautEr7ByZZzALR/NkDTRa71W9PXWFUptVlc4yUezGXqNp/KV 66Cnno/eiABPGKEPZqggoRmymIO04WQhFLU687VYOqJepwjaKZsS32v4oJV0znUlI/VcEZ/XUCoj wBg2RQIO4cPSzDZHr5FUhhz6ZHxe70HezUEc9pd72ipKQN1xjl7jqHxhMBOOXD5adH7ijRNfNolQ nOxwtG4gLNgpIpCGz6aFnLRC+rxGURkWz5ibXnjrcVCluCECHMqU2+c1hMqPdtfWVgN8qCO+1xS9 BlBcRiTV5LUtgKr826QhVB9/lFUsNX4Nn3KWJhbsc9yI7/azZsLl1vEVCMs3pEfMbjZRH5bek3eK cA5+XoOnjGoJdliWjSrc2wWOKoB0mIruOmf3a+yUH23Bi0cGc96n6DVyykbHaWkXn6LG7ghjTJNY IEKhbGslv8ZN2fDfsJITAIaj1dHRHYM7SqH0doGmEwlItCkHux+hWfadtikC9xo1ZXkcwMOnDB+s egcRCVYO0HF/jZnwdK2+jH4M+VlIwsUUvUZMGfg8GTpf8aAh6juBCdSEMtv5bInwa7yUk061MzVN kgEc72F07ufC1kdC3NwW4yVK9Wbo6/80wRmnqH/0Tw2maNHHAqAYH4RjXLCAaJqt8nkNlbAgTNcb kolCMMyfBUCiJuk1VMIxLwZ8nt6RaQzqVN6k7aR0qKrrn78GSpikZuLCltWtJ6sjP2sDNASDnbxM Ij018J028SNAfvteY1r3GiZhr2UdR9Tx7BAdZdXtRHVn47Gp0Xvtn9coCafZvdXwFNLniT9dc/Qa IuHErnK3XK7VdVHDkEDoplcNYW6bo9cACSe2tCaqvCk0OswTJfiOxjR4eG1TLhalciR1BUdLhbCH B95kvb/GR/gpJm/8RjFZDgbyRF+GNcoTYX1eoyN/ybvXsPtH236pvRYzs2Sv0C0ezlw7k3HFcNIj hnORLa+lzKzYjj2XOe7oZd+G0gm0Gk68ffJd4Pqw19AlhjJOpaeC4/AWTWNeC5mYInh7FHyOhqZq yIL6FK+4niFzGkwRtUM0RXg3nMUbN6Pm6LWIiaWLdhKO1eq3WrM+aEWhfzv4YPiR/VrC5JFtWEUh q+tje90g33xOYrU+TzCJVIvLiDfwQoOYAHuD39HM6rWAiZ9CqufDLK2SGTJ0aC6yfNLLyp/X8uX/ u9UyiGF7PwFkFGNTAK0J467aiShYqp00v9d28UP7ndbHWRI6eEk2gaNttg7BKcBbZAJaCLNDYwob HQK6AA1cP4atLt57mJ2oijHPT6kKSjeTo3MWYcNSpxqKb++kvmxEqnsiISAdSAVtmqJAO1HdNMs2 rqOBl+dlik4TOIOad3F4VBhpK4o8KcEz2jTtE1+NUdkZTp1y0oT8ADiMbidoPSw0qjU8KbP4zunD 8i/U88ZCt0HX20DizAr3+cLPO6WPwfZ3CMC7slNaQgjScJ6oU72GYzPm6s597LU5iqUSnioYWTBP W3JKfV9Ns8cbfEK3Ca4wwN3h7iYmAQ09ou1lsXPjpCGAcDBNnSpmC6hyG3R4n9tHygknGObjQaQ0 +tc0VSY2y4PJdzYfJhf9vibSvKaJO1zVXWlxtIf4SAhLcH53We6iQXtHL8NRJiiTIXpmr6Eh9UOd BIDN8b8K6HB+xUlU4j3mTizxkG+8fVDmhtIJ60JnxtDhjE6neVcTT3tWlppHlO9cPmwWKgiOMe88 zTIdv8KurIWU6VZ2o3liubKk3esdnUkLmb0ioUUgLutcDx4BEvs/kL/y1spUtfKPw4lWVg1fWlUE WN3cfkdOqMAF85Szx0u0KM6Eb447T1HojXgFOKjilOO0utuAQh3Pj6eSvA/8HnsjgzPLL8UDHC2D A67yBGIEis0NxWqGoInuRpOkCKBP7RQf2d44uOxEDkVlxgYlY4lx2g95auXzzuJDrpHm17YDoRtR gQeW7yy+LN4E1COuqayaIWZRvLwWMFv2eYrCb9PynrM84z3GczoBQKGq8JmkDtgH/WIApVgMbpsX TE6A1vTG7/PUaPS4cBraoPV0YuRGWMC57fbnncPHVHl93XZgD9E1z6YpCsAJi0NHaNwaZXPuUBe2 h4W3WzJ5J/DlC5vuQ306jheCcSYa/TkcT5OFBk5TPynRz2IyiTPJuf6z643fp2mootQYiVeCxn6I aj0/9RQru/PxIMCc7TsGh9YiIgObpvcg/FxRch/atoQwTZcTmijyQYrVhNO9SrlRFD4UM22DTO8H Mj1PknIupfOBOJ1aamS5suFCgu+mSNZTyhVk8z0MV71wKmm2QcUlWPvxKxHRf96Ze/lpD1gcnpnu NkI2OVFRJN5ZX6qpOaanFu8PLBODQ21j1+XAujAUV7a7q9IWjsZdz2ui3rYLo4IFCwVOZgZdbXTs fKLgvD0w9cbRRGEhLaW967GvOOHMLuYhtz7vtL0sw9WnmNtPJNaAmrBCZRSLq49ZuplbQ86Mchus VApjzkplSQape2fs5Ssr3E19uT/qy5A7z7QgYiwO2e4mxh5k0Dfu8gxzVpcwYx/znbGHHUAkHWwy fRA+5RxNSoPxS3/eCXv50UKwqIBa3vLf5TxFwTgNToELSz9urdNcyzt3s8IGQsKRPO98PSxMsySs /RktejrhyQJlCPMEJkzbzOzODZipboo6HMredjyxYPnO1/PWQGmLp3gDGr9JgATYW+mA5XMSts87 Y+/Xhcc23lkXC3QZm6koHJ/0Q5nlevDS3UX3HYT4HP+bhlVS3vl6+XqmNuP3t4ffDxj5gEjhNHH4 CW7fmanOXABF64p+qVOFtKLew/Fk5o2bTCFwBAwLUHFbNLaYx4k0P+9sPc60p3fK5YrB2m2iwoCc ohHnkLgWacmMUguQv9lRhyuZkdw7Vy+bvkBCqbjf0bYe4Bqd4I2TSXXQMDp1KSG3jo45jinAj0xe g5ot71Q9fA9DzD659fr0rZegQsoe+bn36uedqZcfrMUmVxYvsub2OPOdp4cLoEhQY3vZCfmrxZnA nFqcucgcRDz+TtPjCWWujdJ34+jraZ9DNlVqli3IcwKjgBMKsdqZpfxDVx2r8crV6p2lx6JKZfrb 78BpAthFRrMg4n7eOXq4mLfnLcUOqNnrnaZ/VsJxbV+3JocRnFvpAsmhWu0tp6gU3ocR1M1soDxm A0TWA6uB1ZROLNKIuu+dCtCsE4AJfw/ypTcO4ifJlMGEwgahyNF2SFI7nevzzs/jok9fxSfUb0cr 976LonHivGCz53h7mATpkju7KLt1RSagm9P0Ho1f4BzBAT46KPrsh9QXneTWCZbBUWcWnGnywZCj Pa4Msy298vvxtCg/uWfOPvBroJDTJRB/rozPOzuPHe1b6yWRkVzKW6R7J+dl049taMJ6Gpyy9efO Bih+4W2wDnQ6vcfjiJPVu6xaVxwtDcZBh1uboFL4aIptfNKiRGvXKSqFp3dl6I3/MU9nVdITJe2a BJivHW4rrMKfbb4/79Q8Xjff+w49sg5RbpunsCpOnUCVWXU87eb7rhHqQ2bQgiCdjqf3gBz1aglv Venlrnr1cheiTiRROp5GnUSLn12NFu8Y9Napt6wyiIZ+J+bl8j+J+W9vxIFx0CmOSofRiTNfM1hP ywNyUvA6FD7wE9s8RfE4sBMnysvXcWDky4udyenWZ+Mt45q9s/JYVsGGKwTL+2jzRLMmkKxBiz2T 0xqlIYAxpMvGOXCmd+qo18kXjpYT9xsm3QblLQAlZvkyrPF5p+QhTB3l63g6dw0c2vx4emfk4RSX fcVcHhNkCQXSc4+MS2MPVwvH3wl5TC9VfGJ1x0abpQ1rhlmJrQcu71xMan6uSU76iYhZo5M2GWrO n3c+HpttnQ1x5sIcVFPBmpWD47kGPu90vGzOgJwUrqWM3O42ot7ZeFiCaOOfBdS8MT7YCdLZNPv1 H7qw+ncyHu86zk7tBAZo5CxBMBFlxkZM77k1G7oUwv2SSsyFhV+/iwaUi944miaWMme9g1GsC+1C qIvEx4M996BQMCWVso5+hL8z8bKLApoaRCXEwiXiz04hnpels92skvlOxCOYidvohI31jl6hg8Eb M3mkwONkdiq/VNAbegOiKV9NMmD3+cJBaoeKf52JBV8OWkwnAu6siyP2+ryT8LLJMNz4EtBgMEh8 lqIwHCJvcCqernLXGIqAWr3MNJW2Egs5K1DP7wQ8r+hgdpQJc7RCwVlX56Ogn4AtB9E1xaA5oTwG o3u5V4iiwfXxeeffEauwKC4pFYiK53jRpTP7sk09d3X5vNPvWFL51T+AkVXaftG9k+/w4xRDPPs8 bUoVczV1Ln/OU+cRxtUU1cUlsFmyAPUabZ7WSStgJIsdVhEprGzCgVByXWhh5Au3BGWJLxyldWLe PYMd4AmMHB7Ko3zeeXcMw/N3OABPHTRnbJbew3B01gmJWxdDz1jLuiyasMKjqfqei6riiQ6feWWJ i6xcrg7nSUDA5yyE0PcCdrUU0VFKGb1Thqw+XRZ746AZxWYdLgQfdDSt0pPYTqnzNYN7Lq1f2coA nOAeTVEY3qWrRai7ct/aH3twmn4x96UMOU/wsCguEcBRzeOjPh4f+2wUSCwXhuEnsRfZMxViM9Fr Obl6S5fJ2e2Vg/IcedS9tzuo7nSuEOnS1YWf8z0MRw84/Qqb+nDrRn5rFIZTsQm4lIue39mxO6XM 5LiUy+J8J9tlM2PBBDUbeSJOCUue84nllTNRO/XUlvysaHUJugqsMrxIIJTzO9eO5X+ygyutYxKk W5wJhZI+//azqT/vXDte7r6guGPhxzLRTrKJiuLwxsSut+Zlp7RvNaUtU1s+Kyp3y3/fmXY5O3fl TNS8o112c7WCzcdCZjlZxexSfUis0KG1WXAxu3EFsszPO9Pu1p0W2oIYzh3Pb8JMQQUCdaeZ+Xyw 9Z7SOPme6rrf6CmKxLHOIax9Y8zJdFv6f80UXoHGHMZ2fefZ4Q/Q4dy3wgOOXvKFIEYHigW4gnke ZqVgUdK8IoSq5OebqxWlDN5pdqxtbGqOKbMbVt2qCCdkWFzPZ3zeWXZ8ze+4AFrFY9wF9c6xw5fS 3aMROWs4Zxw1lGhLPJQplj8LQfNAX0ahuJkwCMRko5OhVoIbngI6UBg2a54nkqkUe4Akt1wYluRv l175fZ4kPl1QS+dgUlpYFH0L8VTn551ix53r64nFYcBj1li+nt4pdgRuIjBIJFEbkhclh/9AggFu HFhEeAnaTuGIemfYcUOoY9fMiKH1C8IEPSzRWwm9r3n+EOELi8RE4GFIUR5HzRPl/86wI3pOQisk I7IoJ6F8OvhQKP8cuZ93fh0rGt+tO1wfoyzP7d7pdVxQhTSVNH1BsSDKBXXC5eYLKvdqCyoqjLdm wAt1ODla6QmlXohFcEGtDPUyYgxRlgNhhfSY9CR3XW8cHOVVFTpCXMBYMXTYWsJEnFss1887t479 9v21nqjV0Z999x6Pu0A37iDrcGbiA8kv6Gs4ZxoOcLrx3ol1DuFDZc64KulyVSD4NzY/AgSDExsW uckVIKzPF6KrgiTHQoNBEsY7s461Mp5Pi6JQHAR7woZmdtZmqZ93Yh0P5AtUkZr3iTTOQelB1Duz jrEmAZn7chAHVZaYBrfcLyBzuT73O7HuQRbslp/RY82Fxl1VSeX8HcOYP6nQQxGcRSjpWRqsmso7 r45EA9J5slgrrjyFasGShR06g593Wl2W8MgXAoPtiHKhve+0uuyeVlgABqTjD28XnuB1QqpMCzbf WXXZPElPVFzaMz6IHlgqLJQLcDwVc6dPIIOyug3Cj4XkQGTza4Jp4vx0AjA0KHOBBDyjRwgPf94Z deyQ3ggKqwkRwYMseCfUsWBFYwraRAolTv65UOJ5OvmwgSKrWYpDct4eadRn9Dy4QHu6yOuy0/pS Rxi+dqDnjUaeB+TJXvj9EO/EPqdGfAEHzdICmp6JC0ia72S6/NBZjdmDPGam57aLAnLqFoIwcYm/ zQh0JP56XXwg1NU0xQE5S0hZRgsabZoa5OnQZ8I0AbrKihewLA053/kXUfDyph1u5s87k47nqaSy pAblJlYFsFGJk5xdPT/vRDqiw76LBaCfg63osxRF452zdP1MqFcneCZAdNTvoV4dqossqrQQLK6g aatooNEO8XMqnf9czJ1w5a0FB63SijqiBIUcuYqAGl8TYA0ZCtTMLcdB4IsT0+4lClRpeDwIMfv3 yQT3toY/2GYpjMQB9XIDCpaeenIBxJyvcSqoEHaARzXxJEm1OdUBnvN2gOHOMBA4UrQcXkArm30a 5EMBfMpjt9sqT/bGAX+FAtyjc5o42J4DNplF8bPC8HiAKCg3Y8H7oQg2oa5kJJ8oFO+IJyEb139c KLK4+lqvyYoqi/1bsXzeQ3Ec4Nprib0WjbbnIP/7v4x9aXIsOdLc/zoLbQz7ovsfTHD3CGS9bzLI kZmEnlYnqxhEArH4Mpt2E9T9us0OOCrvYIzjEPVEnPTVHtMySRMr9Pfiot10UkCJXbRTXeDxgMFy W08EH2S6O3h+2cOuOLkHmHv4O4e4WZRImpVdx3C7nB5BVJjfsjHX72pdcYy6piGeoPG+ptpU5+yu bKid/7GeVgFFQXrUFa+s6zIFkrUoTODckuAEFWA8/r8wxijYlbNnAz3uipOi4aKQmSxvH7HUOygv yV0VetQVd3vZLg0xrrf8PaEojVxo+MumRXEHEJiQh2NiR9VsbxSINBbl4YTQnfRbUPOERFLQSlTx BDGMs3PxfMDQnP37qstwnJrpJpg95GgSbNgFJCAfEK1ngQ1hHm5gQ+Lk6RfXo1R8ioFAMo6vVtmt luABM9gpOH+BifSBQZvgPEBhs9LfcgpZv/SNgx3F8wmQIy1WsZRTXlEeAxOJjseDY/wfAgJ+GGU9 LEwRT3NU6l6vvL1HRx1nhGnScEQ9uvPqW4+u/4FQmU0akVw9D0cvqEMFi6YHdFT/YXa5oeU+6Cty e5mLt12PWuMalddNvVFUCCab0s9dV2jXeH7sxPNBnO5wM2v3AQqdPHfqcSKeNCBPtp9Gze7SMRzV gx7dGrafQrqmmKwrSbJhpSvZcD4jo8GbKHqfMJMi4A5G2AVWQlDFg6GJnVA16xsHjQJOf+eWsR4K ri7JBrh5cQp8KruN56M3b/18tegwk+owFLFAhe1xVnaEoqGPghoYOxMuvHCHSG4PUKe1CnqYi+sS 43vjq7cKoFLVoQrfE5QJExq9kJjBNJhyihVz/as2OvWNoxdvOnjuLMB1al4BXkKmsPrPbLXgB0Sk Ft9SBBumLt0kC9R7Nq6JIRwnpoyJzz+S5oLe09oYX7D3pBOKvaceZeM2kHu1UcggC5ysnFPXvmsn 1Rw5OoSdkL7R5dC9TCg22qP+uLznOR61ReiwUww1kX/OCY/Hg2bBLe44Nu6IU74ZVAQbJxWl0kOA bpbAfbhLKs5JuVme18WbmT1Cqfi8JQm+OhKzW4JL8UXaSOr5LtxTjOXZWLRJxKAJ0rN3fAf9PnzQ r2BDQENsMS7ZOeuqSWjy8QiU+W+v4GyUQeqtAhU3x8XWaALVIyUv1qRba127CXDBLFBRc9xm5vAz u6ttKMAMKiwUKMeaN+xfyHJF+6WK+VMvHnqQ5dojnIp8XjbMYm0RmewcDzxocfNMPB5xysc/cTq5 0AC6yCjlUUpOREJTh9bw0NNMhKAuapzXE7FsvacRdcenjM72FG6cq915uOlWy0Rlnqu5t2mC3CiI BmSVMAIxUkviST6ilBxQLAzNuau4CPUEnLB0K07JhceDlLz/M+akG8DFFowoJe/VbBkdXT820046 eLVk3B9opTjzPmyNG4Uzyz1o5H/cg86uzfQ628QscyyToBn+s2mxM4brORXK8eNzfuPeV+lcVMwB +eeoOBAH+ZwYd+L5aGr+b7vgRHsOP55GiBmnACs8sCxOkzot7D0lSB8rMSA1QHGKWuOKTyl6+0qh 4PQPJmsbtxKYLexkIjvsd7K+4OBGxN71TDgfOvAxAXa16/SmzWelvJiiNKtEfZE34vG/Ji2ESGFC PbO3C0aUjrdpSJVirafWhkum7OF+CdTKtXcuSscdz5M0E96JgiBDsjIQulrSTFkbTVa9c03asJPA bU8K0A7Cx0QDTmqIbo7MuYjA2TswdGz3jo3H/5K74F6CyeFT340oG5eiNlop3seULSP20ir5EZbx bu+IsvGpK25uQ/ruq+UL0WOIo3SmBOeE7sucEtCYgJ3iDw4ypwNP8u1G1BWHitV5ZhPW0+hLw+tp IeHkzGLkkvH8X7uJcTpbk9W4xSlKxvmmwifv0fH1MfA5wed2wl3tBjUcUTK+ploArT7rrYOBFc5F Xl27T8JloQl2TusBoAgedhI+pQpGiBe3ad24ixKCQi8+ZuVj4vFoaNe/TnDkIVDK9SiFeHHq4ACR abupSj90cTddSb4KaTbWdiPCixeJHFOc2Ve75zLm4g0dbEg5naw+C89TKr8g6ZjlQYtXfeFoZod3 bi7CeSZQJwLDLXCAklfAI0zDp3fFhd3ZlOC6mynqijd2MrOsKoVbnY4pqKW6fMpMV2ImysOnocTN SIKlF1IHontRsuDUBp4H3ZSpURTMRAcS/83WiMIECAs+5pes6VxznNx1sOWyYej4QT+UZe94PprZ 3bOJQT0v08jlXnRxHk7kqsgsYiKwGKafYO5eAe/qqPoR5uFKCOoWjZOr0w9mRj0NSYBzIgFIqZcO WBZcio3sqHRfO+aXI8zDO9QK+6Q/Vx9sCfLFW9ADJh/lHFMLPyBoFhRPnWTrDGW/0e9tF2bisrRe plQIz9jlzYJWnel68ptmAo8jbI5nkxlXhlkfZmI/71VFkKClkie4nfR9O8kZIoWNf/bvY4ygczwk cFaqjNemBfzqrWQRNuJUtzxfF89HXRU/oejkdbYAbmOL0wyb45TlwZvhFQvRgIIV9OJNulG6Nelm LFmoUUtr+6523xUKW2HihulmgZGZTCYA/J3YEPgFfW5HQvAMUSrsOsGnxRc7x7FrZXta6mdGkPH5 D0oFBoaD4ExFKcrE2SBrUBCUujjadUUdlUVvS3ZUwEWxum6G/E1z48ryPp1yYFDrCRSvAXQGcHQd fkp88RoBvhlD9Iyz6sJ8l77yb9qOFcKxtihO50k6FZw49YLH/0QVMGs/cRr9ymCFYioo1bskqnmQ y6RCU2CXg8Zhs6w7PmP2pkA8RVl2Lw/qCdzZE3UZCKbWOdVmQ4WGp1BZOcXdumCebF856o4LpZKS L4xTH+cTVNntVfH4X6xEYhPP32i123iaUS6eiRovczqIbrTl2IuNI859W9xEd4atcadFKc/m6gPO s3POTiUa+qQvmGwSpbIh4DMxmd39yj+vvvSFo8NpEZapaTnVydh2WkOev9T/w+MBpuC2UygSAnrq khsXwxQl45Wg8TlNlO/srLycXg55yUu3ywYqmFEyPkQChoTDXZ3j2tAVysoL4LLeVQCjRw7vAeTT 87LIJr24ZkjeTOY0vH2xdsq5umkVAS1PPB5MOJuf4ezFUXQmXS7wDDvj5ALLYEz5U54uP1ebueIh nWum0DsjiIru+vO0Ji1z3UnLPMUPhMPUGW8TeBIJ9PLKATkBiomeP1X7wsEhrgYB1fW0MEzQZFui mZ99jcf/TDMp0peg5lJ9IDUjjAocw1ABL+86tbSmbycpGyEbh1+MhSnqi68kMf9iYSpfYWqtZsox 4q47e3OLkAdwGBK99gNEjoPryZieUTaeRI8aqlnGMHthVBRSTernJcLjUWX3XQFDLpBqphalKBkn txs9cdcy7LV5ZZf6A67P3c/wUMxQDPwxlWRy9bOpwd07UaJ3dXAFdNets8GgrQpy9+PqNuwLR+wo Qsal1MtFvbnzd+5LfsybjwdRSt9qhi3R7sBLlhlBVPAqIb8cFz0nrTDqPImC0Fj/gnDKKEWp+BAq bI72rBYlmFVBHYyv3AJBWUzgjk/fUAooKMBvRzzpG0cwaPYWUlf+hLyvWp9gcOD3c5LO+plRS1xG PFeYJ9Pm8e6mmLiJ6ebqy7tOctthnKhcbQTX4kIqMxRS4dgEaMtntfwyoavYIWEFNvD556bSDo70 PzSbzcjgPE6r6Bv/ljjRSsIWgVTOfyp2SDs1z2eGaXh3pkbVf7xOLp78CF9RHm4ZQbtv3biZ09xr mTnZpBEi47QikMpQH2UO6z+NqxByLtJyvjxeNRzhBUwB45Ghn4K5aePgYD0yBSsEqWy5bDFMLd0w jZGKfvNWKx6PBDL/6ToZNsGjFOXhxMKj6+R8lrWrowxbW88J7jJPKwSpCCQ+oJzvq+WXp6gACBrK vBv6uGxnYgS8iYzHYTwuFnPhMsHHRJKGnKsgFcUCzX4d4aNnyg6fK6EWPB+8dCV9hQny8rTCsDCF AuLAc7Up5DNBKmX7Ed5lKGwiKtacWzFIRWzybS4j1CH7aSxX+nntqtxFTkHeIPVgeHI0pM/NvEp9 0qaTheJjouac8gG2P6trP5dxCmzhV4EbxeN/tlMkxFbBkLqKtCF3c7VvKR78o+us77RSuYAC7xGs ECtu9DHTgebq6eV5aWm4TOQFWfdKm9AZHcA0oLjyfCDZF4464mw7VUYLji4/EvRYOPUoOQ3PJTwf nU1+1eHLwS4buZO3nVaUhi+N9OXhQ7YGO/7A1FNiTz0C6H419QhWyN1cBuAxCeh0JaBhSjhgVUrM EwbM62o/4r/FL5tpLOJInqmvHDXoJP4oJB1QwU3+7idWdZBoBM2NiZ8QvHnjpgVJdoBgkpW7qaJc nJPtk1ju7AQEadKi8bTWdME+wmC1qWIllWrjynHXy9OYG4SigboLygToGkFUnLc6sKtlXqvXQhc2 fE4A55kkaKDIscUq4HLqrayahV8zAKnck5yMwAV52ptlrigXh1gAIL7FlOhAY3LBvpXKtP4cNPgt TGEuriyzZ3XIe95PaYehQId6PMBhBUk4FfwzdcsyDtdCkIqgF3nYV45gT5Q5RoXNxaZ2J0XrspeE SjQej268bz4L0GErL+9jrigbpxdso6yC3r2+SJZmy3cAJ02Iym7JWr4rBIzL4KG1/ayeZ4JoUKd0 szHc7EnExCKIClC+6Ig7RAX3Cj7oN+hFabQ/KtSuYOfpvG+NkvUDHboV9sb3d2YAYcSFEafFKSRw AgdwUtztuMySuguEtFJc7hj8VJMX/wOi0kw7uz3a2QOSeBCPN6tEzDdplUgITAa6vmwUaRwCF2pv 4HMCzPjiwE52uM3NKyHUMspQAjX4NQNIwZjfNTBxsztfgtQKG+OYCUB/KTkDYT3OGeMRWIN4jQIV J+SmVW8N8no9aqBPe8I+dT5BkEDJKKQycZbBVTVr2qIkirrQK8rIs7XEe/NFrczzlxoicJ6PwOPR hspfBxQl+zBvVZx2lJAPuh4Jfa0yOF8h35HmcqbGcELLjgmc5mZX11390oNMPQS0qSi6Rs3ynOyY W6En8bMeoSeZm+8oIccFB5Rhy75oP8GVPcmPOi08HtF+vlHjFZ2nfOXV9ntC7rIOJwau83RKeY/S Su7DArViEyzYf/j5gJd+V3/tBqwhTlrO3YTxDeyY0F0Z7PdCjH3Me9vR+WCHqHFI/55jSSxXF5+u QKuICEkx9v2ekOOP+S3jC0BAB7HAwhSixhsldB1R71pJfOkk4MW6hcLyClOckFsvZT+rn+IQbE5o d9N5GqWLVHwBDRjyHMvX1Jx+xvic3zp0vZODwMXAF+eeVlOl9I3HI/LmPw3fIbtGD1NI3hySp02u zLP68EO89uvq08lXYZhCiIoBnbZU6LjeIriBp7sor3ZKjcSTFPMpAJ5Is4X9l0+jeNftKCPf6ot3 9la4KHeCkwJfunMvVTwe9Hsvt1xKaiQdPXEKIeMkuTaaxOoMH+YxAhphygblGQBzKE4xdVNjKMHB tTr4AkzpmqYcoip8gkVJhNPSgDd3e6bA1MzHx/wG5UEvlAuwLLzIz0d04efGKYfx/F+ihmyLkzua bpiiVJwaqbAcSU5pmddlsw7zdAV+ffvhFGJUuqEupL7K1bdTIsE3UZunAeVp/V4ArSYA4zBrcKUC 9GLwMZHEOBktqIps0UsH5ya2NcFix+N/afUlNgtOhgkwgkUpwqhw0oax700IqB7BKKWe3OO29/vS hZqGqu7GUiOA6+1kYnPNLQm6MlELsQpGpw5byxSMVlNx1/SNIx4wD6XJ7jgXa/ied5Z9qHYOPzwe tcX9CCcY8wRtrAso2KGUivKBsZ2TmHtzth10HKyROckRY5hijIpEicwsaj1mUedrnGOoNR7h53e5 EDuKYeovQB0ECYmSYYvPCRJMsVnSnL4oTKDkaFKe2sDjf1rY8LxugDzdIcuOEvFhOgXjcjeTzQzo 8+Mww83fHs2CHWNUDEAnAQyuvp3mCUHqRVJqo9OLGXFC87xDq/6r90QlF3xMdDZxbtCkONOywwwz hLWYENQ68PhfpB8CCvDR84qr7SgLbwSGyeWMUUL78sfN2ZYfTZXmW9xNETxl6mVzSMV6ANCThuUN IkgAQMNEsBsYM0NcDerZzbPLQt9mfEyUXfKim1SJ4aKLDv0CG2zOgcf/3Ew/dD842UW73ChBgl4/ dsnzoBcvf/cSih7l7xoGqKfwP1tPFGn6tZ3SPAiPcjaMWCoVV6Gc3YF5QoI5CGUpYGtWiLvWueT2 4985YLkWzaPWlIQRZsc8vNHHzxx2Qyp580dENI1v9bBBo69yLX9SyOHMTSQWuJFXtqGqECvl3Hz8 t5J7qtujFafjBhBLz+oAsQKpRwhJoVtw3u+TotO5aRGmgtut85ASOZHpOD7oN/wFEgFfNApe8PHi Ab1m5vNB3dK+GS351B8/4BPfWL2n5Oc5/IINPn8OGlePnH06YKAErsdMxqwQUoga7yagLXdbro4Q QxZG1WRo10MMA+UnYoXGCscUeV/dmc2OAT7oFxRGIUBhyo5Srn/wx5YQJBQR+AOCPOqh47veGjXy PFhRpxzE/dzp7sxgQaHCUdE9uQvJSZun22uk0HDT3LerqmKu/hpClqXzcEqloFPeE/WhybqjWy8U P73Okx9CilJz9HFmIYXEFjGlKjQzrTQefD5qlo+vdxAyKbhxbqii3DxJ4WEaGhonFht2PLH4nQmo Q6x8X0Xd8lGso6l9xdUxUOh+wOZBtNdEGXaCNPGXwtQEyk+edwK6YV86mL8Q78vpvi063SVdyixp ZD4f1TH+EhJuW1gptPWcWGG3nCOY1Px8P4eGaWPBpYxXImhfYxJY1/WjohNLmIwsdsLMj5wY3ugx QEUABa/tLlHpBK2Ms3accYsC7cbd9i8d1MaFag+QGrJFOwt1AXP2dqolPh8YlT2a2gSNocWJmteD FWqskA+UnPWaG/5AtrUaZJbUC26NmPuuHxVkDTqyuqnU9Uel7mRS55Tq4IaCy3Hq/SLyeUKEQD4/ PxO0cTvfgbTWJ0Xp1Xx7DetJTMRpg0I8n484sP/IipVzHC9QkzxYodJKERXPRLJARJ/LgpUKMa6F /gjdrW5SbAkkYZChCQNXO7LONzn/ApUxTDkhRd14ZG3apXEv73KPrFKbfedI0YDW7higcrEJw4Bz KIc/+BA+/5ejIrNYTFTY/PFYhWAWWgWPMt1ScbDtIVUah25UDH+HWypGgivGUxjmSMbVeQrnJeym f7xWAgZIblyc0kGCf68L0lgymUqxLxB1WQtzLS7cS/n8nE46xrm3B58P3sH6rf8/iVmej71bCtvn FGxvJd2G5/aG59lLptt6DmYMUyxUsTPQVLpQ0139eIfTJMg8PLBOSppJc0wdxgLnjUK5zG0lUh4p w/igoElFT6BTHN9F792Aa5h2WJ58PiqY/2HlVfYWbqhCo076/Z63fNmuAorcIVKpspnOGq205g6U IaZF5R3cSu9qZzuGqhXkTsr4FNimi9aBHLozJ930V5brDZ3Mc2DVaUT0s5/S8EU1cz7Hq1AtaUw+ H7mZ3zeQyEREfl+pjBy6dZJ02Uab7kJ5NrG74+Y6vKO3IDBjsYqQLSkJH6VZu1bH4Z93g6pH7MaS ve8z0/3TKPqLG9DU/YhNzLFbZzYBreKLtRdOPkL06jn+Kp8PEvf8jTCH+M5oT34V+HVqM2eZRKpy nuqqNwr8NdOGOr9qs/5CDg07bXK8TIFlPQos66SI/RwHtUsG4oS9iLFAVY9Nawl4i1ioSrPvHLGq tiS3r/J2VoshtSzByFQHn4907v8RcMc09MuyM/DshLiynHKv5nZfBi8rlj5L0qePu6nCrJ3tOkx+ 210ZKmr6nPdkCg/YoYM8TPkItg5kxIAyZGpjo9lX/u39m4PibFx4ukzYBbHPe86LyucjMMI/4nUZ xfN4IhVqIS529NbySJW5XZ38nJHbIgWkr0cqpH2a5LjRGrn6BXiO3AX8Mbt7MLgVNTSDV8KZIhQQ vG3VR7fvHME2OKEZRLpgGG2bA2ShJUj+2Hw+Mjqv38kC6vaG6ZbHKtIoZx8FAyxv8EnHUC9grttf QCm6dv2oX4dZO9V2V4tVgRplAUDivIBQG7MXECi8U+Xif/T8WMH2ad85egHF26e4NBeBFU/q3YUL Oj+Iz0duQVeylQUOcpR21f5y6N5Ju2hMILwYHC05eqN2U/yBWHI2IF4O7TuXu3RpvMDVklCoHs2k hgxk7Re7homqET9stJ5Ta99G3/Lv/EtDpiaYHNpidfOi+zUV/zqfj871b9Q52ppMWDxUoRYLBSRH 307Y68upsoZFFSBobjc6DSw8s45L3GpqtHO1xCoBNGXyPufgO+WtrHCqwAwcRKAscfvzWu1L/wLv rF1eXf16dREhIEG7E0E+/6cXMyOLd7lf8HkOfDwNxAHEnRNBc15O+FhAghhhNvfmwYrhLvLrmmnd 1YJF7EsG1wFCIyeNATr4ZKYc05bMHh/5aEIF9WbfOXAKkGTNksn3KlYInlMqCdmGiofP/2XILG1y As3qc16FCHTO3jv3rslGdSfNFoZYDRnAV1UIxl6eOq9PFZbu6uS9kz7sTmIqKsRTzfA9RY8BwUro uPbHfGLZgRW12ymPiyHZ8kUb65wC3Ty+0UAO7Txr/X4JM2x0s04IRSt09OxirK/qom1tFI9Wav4a rjmG6Sfn0NJziZo2CLaz1XbWifvuHLwD7IkO8hSxAZcadPR+9mrPmKvZV/5Fjvucp7tpyT9G+si9 cjTRz+HF5yO9xG+MNaj4pAF6pEIs+pZKIroj/8maeZko0oa0oatszbU9UjEY3UDoxlJLj3P8PEHB qGMK1HGKJakidagqbuxkcFhdt40DwRyaeord/19mHX0ALafBaZ98PuJiX/CLcrFzq/aLH86xqSf7 C4UbgF2+JdFE9WJWsSkOzbh1XAWunsp6cP31/Ky+qfYCgCERiJnO6TeaeKHQm8wEMJZaH7RnTfal I6WtQejLkmMHKkL+0htGFGTQnj/95g/4yyuWXb5zwu+Rn2D9DoIB3sKCtcl0YbCgKGvBqurycV9F KJghrdY2lTs1ya6rwwCD5gZocmeeMHB0YYRD7Ti4IxYJAto96N85AC7UrO7e0mKK+PX8GkMKByXr i/7FVNsSPF/nbJ+3yRe6e5Kr3qCAZLnolj6+JHCXd2MG8LnK2wN7z6sUDIO2u15g1ck6YJXEFsMp dZbOf5QJBLNid+Xba6d5QI79PbMAaGpgARHbpbGR4KtLfGxbkz/gL/lEzxn6uuqJObb4XEpAp+M8 BisMDea3xwqCxdtjFcJhTE/aJvP9mcxjEAVrDsJhICNyshk1+dCG4O9Hc0xXMtdcIjT5zOxcNZDk bdFsfp/avEjBrjY+H+yr/n20T+puXXhVDm0+qW7X2BVRqMjcMdPYYe0GtrNuqEIFxW6uXmKMcr09 hvPfE7IPn/STojeRkytGk8i4gAC+ilJr2lcOwB6khozJhJSL+qEAFrDFALskPh9l7R4p5pwnSKOV 5wUMyaKzi9PnOIZzkXjhXKnfK1RMc9h1Dow+AfcQzKPlcVeHogECtcE6B8aqgGLG+c0E1m0R5jiw BzS+yRaqEBdDwEfZ9CEuGBDweMGEqGggU5O+6F/Ia3w9wRrTs6lCYAxxVibhxtRhmwMh0GjFG1cp uah5Drw+0Q41dZuy7uqjrnFOvtRE+u8Jai58/zbYZhOSNxBOsMyKlpD8nOAKXPKIq3dRN+b8MSQ3 XzNm84HZZ/oyY5I64HnpzxU2bqiilD1RsRszAm8xpO6QNLB67ahq5GAoVGHKLmBjy1Y251s2D4hT TMhLEc0PQseQzy4cfyhSXXAp1KnZPIn/OTT8FNyjADCHYx0NVR1VpRZRs07uwef/7Byrd/Oz0Du1 UIWWn6AEYitdf+tBBJN4yM0GOqD6LcM45tjzc6sdOgxRNC58byXI6DUM6dC5OrfhzIb9T1tu5XU/ dG3i1HNo+mlFM3JzW9Q4LtNkGdRjD1w/v/N18rJAYkMO7JGK8nXy7jDHdgvZktrt8Y2HicxRiyIV 5evLKbZmETMeBB9zpzm3iO1QBrBxBNTuO2Hw+TKzzLkqB8afSiERI+YKXNS3ghY8Idlg5fH5v1wI hXgA/rZd0nb+xfszS0bR9UkWIVryhlld6i4why+esIfmnwDrYqeYXCJX61ut1eH9SD9wNLRyppDp KoRdAf5X6PKl4WnXlCu0/wT1B0Zom8NTKDDKV+9ktqOSRDrOlc4fEEm8/Ysk4th77GdnhTxSzuXL +jInnm52vWe+JIg+p0crJJLqHazDvJnGPdnBDVkNhwnRMSf9B/kAisKLY3Fkd3M8YlMaywcuoABe 6EzncZXRuerCxCxwLak2tQD5CGxApZX+dV4BEpEfJFHsAypMthRyZTQ/nJrcineuTiGYu8fqD7nz tiQ4xdV31oAkhxujrXyKuMqOKDQ6M9XlCqCFDvJHv5yf9NuwueYuew8kYZIQPlUBHW8g9zb5A/4i 3nJP0vEJx4BHK4THbPb5qCMD0y9ITDUHE0FVgIgP7A1j/+XQENSnzUVNUa62s+Cdfo6qyazzRA52 bIwW8AhwujyxGxcpanYDObAE9ZuwV46buajLAF06dt5PPVX5fNRsv70r7MONgn5d8+scuoJCbZ2N PgOpndcwuYdj6tnFAaasv5J+VGCRJq5kn+ICcvUCZ5baT2pMriRwo4NtriTh80RrEA6bnWbT7UsH l6G67ZCJw5Ld7/IUB1UNM8ik8Ae8R6tffIyolaciXU/iHjiDYoxKjfjdrpSgRjfoII8yXDN3TdfM zbE1qMEeVx139e5VARIG1hwI1vn/qI3wxwL1hYIkp0Juw9tXdJ7PoTeopCw3cg5bNJk4ldMU8T+v zucDE9XH4ZnNLgAE59NtD81BgQvBRN6EYDFS7Q5CLuqJLg7n041VmLkrY+hplrv6xjqZ1YTqNj0v CfPiSCLRvanA6rmUfs0ct9rtoT3oSOz1tbl8Ee7q3LmZKiQYgPD5IB8ddzy4eR2C6go+sEcrVnyh kolyc8PYZoP0kdyUpcYMqSw7s2KEjM1H1W/gqmi1c3yjxQ56S6qoq+fEzqqEwXE4ViHGbjOvnPw7 R7mDINv5LgzWidWQwiBUHPh8kDrcklC6xOVnPLKnOTQJJX/7/NfJsQylTueUdH4Ljp2BvraUNHAJ RQ5CtEcWqUSroT52rYDV0jWNYoxL41ayOVrD8co+A+uEQc+dHJiEJm7giYqG+gq8epmRQoplPFCG wCVUcMCvtAE9vnWVOnLoEorkjlQSG9BDddMbfWMN97qCSI9HKkK2L9GWd1USv+vVG14dA6GKEq5B eq/CZVCoD6hHUxMWFbRVhEMpVmATmu0eBGSES7ZBe4G8hTr8kPDgDwguwuavoJJ3KlPd5D10CuWX BkLGwQyzNmfgNELM2Wio1L9l9RxYhSbO7FDgdPPAdEE7aCucO3XUTkzt7FMFDmKFKpqySXCQdYRa KvaVg3HXlnaX8McgTMtRJsGNNkkLviz+gD/lKpMd7QMMdo9VlLpXygZ0CVZKDeZ2Gkorzjg96YcD P2K/0C6ITKn9rg5mgAgIsFconwvLQ6X58OHruPT7uEqMdBri5/zGV+qsc7SofDb5UdyCwF2FfqH/ Rz0eWRr/ZBapUBid7hIoGXzkXLrpmqxJ2DOO9Qm3KrsEY7/QqZ5MtZS0rjuWMD4cO31o9S0xL1ND kwmoyh92Ql1VSOP50DB0Wt1M0lKvRlrCnKgXNUXh8ZhDx9B/3VJQlvbxAB9Dx1C7AcullpS8Nfmq Sd5K5m5xKQCBZShuYWkqDb1cdUyfOHcAajM6WOwVwwsa7bUtZydSNQr1chwh49854HeZVdGiVRGQ Y0ueTuemrXwD0dvgDwiSqzvtovwwGvfrWhXlwDcUrUyOTinBbpLWy+Vy1ONnPZjmTdoD49AseUfi zvZdvR489x/EqohkyAMZI7KFk0UWFPonc2/twR7Loj4H1qFwL5GoV51asgROCC9l4dszGg2hd2iZ +ytUxJts9BA8VlGzHTqXlIq9fiA82FjgSPZA8t9SIea+iiAyddu0a867OqEZ1iIt8xIcQFdOHVYJ fR9qiqF491DRyTAH9qE+7KqdVyEXvYETZhqivIOwFPiH/l+QKI0bd7qyFJ/XxKqgFBSeb3opmKnj B+gCIGTZI1WG8ZVe06oC1FU2Msm6q8Xp3Ey4Y6sw2nBLZJaalpQDz99zo9lrIsS0gH5Nqopkk88T KINs0ZF+fpaQGtidn9eUqsB7is2FZSdD5Uhk6198XhMqRGhpBEjoHuvmXpPXNOi6sbNw3rvqNU0Q oa7cfJgU6viSQq3wkATxm+S3Dg47B/IDEj+TvVBML83mYumEeg3ROQgJM25CpTVHpbFntEjHOJUN nn4LEYgV1ULEPYcbFDFXiF7zqJLFWcVczjcRwApWIveZfBPBsE8hes2isImYGeQ9FCqulpu3if+9 CtvF4+QJxlJlYtWRRmNIZAnnJHj9NYcqOFUArEK30xf1ijmUNX++9nlNoBBfNdVPQOQNef5mp+S0 CL1mT+XaXY3ic1JSTzUnXaU6bwTOsYrQa+5UMBvTcZT2szq+H3T1XSjPfF7rOoguQEMdxqYg3oOB 7RFCx+jzmjkVZT4Y0VB1GGydH4Ewdm3o3uLgPunG5zVxKjfHNFdIuGw32MwrRK9pEx6aPH5UuJg5 mE/de2+urjCGO129Jk3lpuLNCDZc7XY7lQqkbhsyAcjY0OQD6RM9WnAxVDQg7T1jxvh5TZmK1CDY RZ++GBMQ8kcUNMHE/TVh4tPTQiSg6DKHdYboNV0qSD3UEi4XmLD7rVdIBBAwgaUjTdOCEJnNNZyg 7upHERAuhS5JCfYPPTNECxOOXNDlbgRcaC6aZKgahGixoIPVhy9JHTvARadaneXzmicVgwTfXYRL cCVWTAjRa5aEI54lXX8EPRtp/tLVn81fNJiRmUFKFCL1yucwf5Rx4VPn2s/Ql12UPV1INWnEfjLL E7WNUXHGiN7fNFZ0rxkS3rSiQ4gScAMhIpS1wolykAEPtZ3Pa4KEo0ySgraNqBIO3rxi9Jod4bhu lBd2uxjSEprFqGD/GyYWgAopDYfHtQkKWq8u31bKSSShEoAO43nTKlQ5CkwvF6GOBViPCnUPz7lJ fX9NjfCnIAqvQr7KFkE8JxqmEqLa+fOaGPFL+nltwp8dPSfYPDNIr63MIpEw6uDcURUOCQMBlXHf NaCTpP4WnNhJp3AzBlFb9zgCs+FU8iB4ddz6J4VbDBKZ3wXZZIXlhsHwFv1jXruYCBIQ0eCcaqHd ozXmehPFA4KnQZBMdcKufZRKG7+jQvTav+TelV1M80utDvMlqokUQQMfFMdJvXYvyyO4uCR2ytVO bPT0CyLOEFWoBHDyyd5UQSlVCbA39B2drV57l/i+GuGZabiMK3EGgW+6bTaXP6+dSxxHwy+1wS4v QTjp3vzv5D7c2F2i59kP7f5oK2GQ7xspFYdIRSl2N0sGI3hwtdftvM4nzab6I+SXG/12OZjqdPpo bBFdb4atMd57ln0bJ52tSy5q8p6CQvkkld/eqX1442b5ypAAIhoAilicojybxrQtjypPS0zw6pVb 5EBfupSjOEIxSrSnaKJgd9zVc6RxIj3oNH+2U4MVL2dShX+lnGC8wzajZsOTcovvxL6bJp0cu/mi ++0UhSoTzwW9Pu+8vnIHwxQD+YEiztmeQOlaoN6zbQyGyZhutxO3WEsL89Oa61LqwBGSLAjUNnTG 1DnO1e+43iEG3NngPZcc/Bk4tKNOF68dTB89Uxr2jd/j1BuFYMlr0GLkj5K2uKLnr/J5J/WVazHr cYKD5IRxl8UpyrlBzKeblSeUU9apzJaGvY44V9eFsQRHeHfh3FHu6tCokkH9txcPaQVVOxKAWD8E YxUw9fyeE4rzPe12EEuVZ5okC5R1l0YFH9AaMOGMTqi5vhKmrsZS9jC9592m4gr/L+dp09POcVGt 36Ry+/n0nnhnK3BLmfRp0GrV24kQCY8EBuOPkraG62hnDZz3cuPVZGWqWRmeTqLzdSJ+CGdUE2CB 0SeJvIQGXBAmQeYRFY7rMhGc47YBouSb+LiGKYjFSdaIklxs9m8rzIS8D/CefWfKjXGeouuOq/W/ J/ojIzNOmNEU0T0yqpWT2iG5RaPHjvFBw6Z3Ll8RPOBcmKndRaDEcwE2dQJONfl5p/IhA0rf2ykz U0W7yOL0noGbC9w5OPr23ltyK6Kdu4l5YlBwEVFRCt71uu1uLqr90Sk5x/gpdhcxdegIJqXgmXoV kyah0DYzy+IsiYQoB28apsingYv2U4fzUtV1tz/vNL4iYMJ3Q2ABOeZ1yjuLD7URcL5Avt0wUVSI U4JRr6T+AiVYYYqycFd/q1ax1IvuOZXiSsK4QoBqQ7RScGBsehgR/PBIcsr/7PrG72EaMpKbckch e/dHSIJWZcKUOh8PUkxaTnE78T+G6CK9ExWn9zzcb7ueCMafGm06MTSNZfaE5wxPTkqLEnHpKZ0S ll1vrT52Kuiq9WljJ2DIzKW+cTJGuaynlSvY5nsmju4Ha7nWmi8GRQTERz2Clj/v7D1kT/u+dnhh z++14SV6r7soG++cD9Q8HKdSwbfQhup9+NgJxBXbUGE6zrkvrIOf1SfkAxxTCHnQs+mc5d0krQGn 4VcgEM7HA1PfOAoUDqYt/AUXBupcB82c5wm8iBJy4d2e666h4+L76Z22x7qOlQpAIv/dqyQwTr1K tOrUq4zTcR45Y6dnteMJMJuyN/mg56Zbe0uVRKge9JkyhONdzIxzzHfWXhEA6aTznQ1dLsKntHM4 iWZ8kvLPO2mvGFCSUVGqBVm13rxseSftIboEAfdVr/H8am5HJEdFNlJmnUacfefsleyiQD1/rV4A n9oOFuvgY6Px1OCidqo7QvAKLpuKl8/PJ/Ys3zl7TBORXtKSCmgq+LQrCW+wpuEMJePu+7yz9njj fe8oIKUWbj2LVNT9noIAl+3653NnR9N1cn0FLOegkZF6z8fRFFSB98JuhFReOWGBsjckfzKaAidS lZMuOJbVTuChZOK1o97z8UdkeApvgakws8sMUecl6ZqyPu98vf9OyAvVRatnBu98PSbkWeMTR7Si 1eiww2YIaijEbmPMvtP1mNmITrXqvKsf5ZjwjpMCoH+JNAQOLGjx4j+lVjoV4FxlY9s3DrYUrbAn bBxsUWYw9xTkEF2yzztZz7v+dz+BjrEwBrQwRQn5IjoTfs4P/P5yqqguyURz01oPCfk7U69c3O8w /2au/ubBrr1v/uUgUwneC0+oEz84TvJd2d7mlcPVO1HvTuXQgvBFcEMkL7zYW6vr807TwwFxJyp+ QE0I+FqYwmY4bifIPCV/7aDfqjDt6ysHQyl/7aJuuPzSMiiLd3VY5qAXGKEDZ2difgnVspmocYzR ZQVN4h7kS984SKASS2e0J2wRkBwjIJ5U9ZTan3eKHjf9d5gA8h+gVVqYonR8ynegZ6+Ca802/05p 27+lKbyf4+/pOBIMOVy5KvN8cNEn3hXZvdLxjD69oL5IbzO6OsCEXFw05Qo/7ww9vACDY4MtBd3t aVpCJb3NmWJ/3gl6+I3vCJOiwhihjnnbBe8EvSJXaXZ5bx1cypV2G80Dlco0NvE7Pw9/Z6F2dtrt rnY6QSLp1L9yewe8SZ6G8OjdeO2m2BRe35WhbxzFiUSqTKtZehfxLwLiyKKlyg/myJ93eh4rtfG9 oc452eFcbnEKG+OFWdMjwFVaan48pWb9TKSK1hh/J+fh+yu/hO/CXT1ONNrZJMduEuKFxMxoJA4a QELRwq67QTj0OzmPnVvarnaagUk3hac43GoZMWzdzzs3jwCA71Fdh0PhU7e8M/Nw2eGPf+IxnvnB eNxjspOIM4GhDNN7On7bKjWnZ7V0vMKOtZ1yHsTYiQa82ioTwpxwuzoVu8/qqNvJLxztJpa/Y09f VLZsIPl5iO81Pu+sPGSpc34f4vAyghuSjcXDbFwpAQUR5Mdbl6cEI19750kmCefiYTbOKrhkAlps tSidbYluJpmegBh62tCgPUHDqM0WnRTKgDP/vFPyiB2Y35RYwyeU82Nn4nHTzi3weWfk8Ub23hNt sCAz0u7R9E7IY2OP0K9abicz9eItumTcaygwV0cPhBgUtpOgOt3uKhhohjdaH5WvXDv/vLKgBhse SxCPxwMgBIgIlIu+cRCmRe9UKd+17G4f0IPsbNievcHHoyPcrzpGV+wk79C9c/GKc/FOieW84VZd GnBN8WKpOLlcGvCdiseTiWE6Gfe+6z3BARRoJHiiznOnC4o7d2oV5KtMRsu/zzsRr4jidRJJ2Jjb 4ptpZZHMTur1eafh+WTxRqmeUxgkeY9SlIWzmwaoiEPp23R2NSbkruAGN0+Bnt8peEXWgWykpHpX baYEUkbdKgzmOXgSqvsMVhlEQig2QBcLMTSYCn3eGXgsVwRDaSIMQ6mU91waYtpCryCXzzsB75+O SlUTfUNj5L51UR6+RWZRuULJjGasu0IFIw/U9PnBO/8Ofyiy0KEpue5qgerl7Fo6l58ff37D2bXl 4Kz6I9bAo4UE1De/cBAnuqHUJvmoZn1MiOc1q4T7KJ936l25FFhvPKGZ0+v28vedeWdZCN0GXNhg 8KKxMQtTcl7SOEYUpqgvnqRYA7mxu3pfnAVwYoI506mkLGfnpQanOYBD6zNm2frGwTiKNti1sk/H RYfTeZUbMSrt3Befd9ZdubJt7P4wGR3AaNzTKUrEadvVupIluYWP5NVvMagTxtvN5gfvnLtnDswc z1dvPEEnYwKiDLmo2WphKyE1Mm8zhtAFIoRO5ySS8J1yx/oVO4msEVvUeYJ+k8rfhb/neyKOnfEN A8PvDyC2xynKw6lqAYyRN8bPP7qCftFrR3TKSGY38E6388sWOPK8bGVbR1q4yMqp5AhmH/UNfmht dU5xZOjUHPUugWDO72w7joEFKCCUR11Tnk+gm3Zi3/s5zz/vbDvmp/0rTlQyg4C5xSnMwyfP8V68 QZclZ6e20/DUaVT3C3+n2lldyvgIV7DyxRVAaGWAjd3ZyDy3NzXiEqSocExggl1wM7uFBdqJn3em HQ9yE8I1Hxm4HzNQgIYOuTJkPv9XBYzreLA+bD5peafZMXtiJr6X55hztGwbCjaXBpyvlIVmoKLG +NRGmiabyPW+eJBCQ7e0g60CsKpJamGKRjx3JUXf7K0oZ/DOsrNMhqrK0xedUBOlo0Ho8+edZOff 88YJO2TAxMvwl1Eu3tlKhEOCA51pWEuZtgTLF4nmn62MXBwAzLgzbh0CWe+tb+u9k9psyrBAMx/o W8KikkyMS6LhWfOWb6bL2uedY1dcBBeEaS1LI6mJMl4y8LnOzzvFjpO7bXGi9x4AMuDIeaBCpIrc BUhNElI1s9YtFHyZPL7PlxCUFCfUO8POkG3cSPlZHYCxYCADkiv4PdBJZxJxMivcfOwSCNZjsHlq ab0z7J4UKgvQK6V1qpmT1M7bPq3PO7/OOxpPaoDbAyJyHqgQF16rCt9kzgIDBE667IA/KNordtTw HRV1xls3hGEud70gugybGAJWM8RE0d8Cc5omDARU75qe8q7rG/+C6EG10LQYRGzQ6F7owVw/7+S6 YpYmT2oA8wdCly1O7yk5auf0jMzphN2LixeMXmVicQ4VNMZ45b1T68rVEFlmr74ee/VzkE8QNpGt ABc2AMnghsKg/FyRyAKg1GupATncn3duXTGDnVoSNf+K+/jUk990ihyfTyz1806tY2axv0oXDBwX YIQWp7Azjm0IHQyvg4fU2Ci2ouScPRWS5rmfws74UGHHF9dW6xYADDY7oCjt1GfQxhNrvyQqd+BI gzKV1cFqqrzz6lgHk69S9l2swjtf3SzTWvu80+o4f/jOoDL9otF/tDhFrfFJheDU0nAoXanl3ng+ kQKj31rj76y68iWRn9ddLU5Flk9MyVcC10pGWHmRILfAP7oZOSdin3dOHc9xZJizkA/ERZULrcbU 09zj886oY6Xmcxae+ZgdjOkTzndCXfnyUHWrWWTkDhXn9cGEHBbyFqUwIedbh8Q639Xqu4KhHXyc qYiYCnuVOMEg2zJA1oCusqfj2kxRX7zLFJQoby2K0inwelVTpdXPO5muXDqrH07Yf9DC9jBF+TgN qhpbnoZf7duNi0urTvyFzqCF6XegSqmy6NDqYUIxn5HRAR+GsY6EXuGwzgmVibdqaIeb+fPOpLP6 bCLxbr7onQPzjO1eVMOfdyId4WFeBhNKdlLO0Z/kKcrGlTwNmRWbZp3eM/IeuzULeE+xq9JCvHgx 44A27upVMDLX0uS1UOF6PgScBmKuN8rkrItdzfaFA5oYjySIwPgi8AWQVWxq13OQ4/EgxRzfjcyK Dh3mmxalMBUfzL+pBKTe06iuLIaMyjp0KCvtAI+a4iZCuvued7UoDVAmMbTjwXResdJ0gKM511Hh 57GvsKYd4FEmDlojwHNwk9FiPZUCrVRupjLweIAo+GfEQiZ6ulCeHmbinABL+VtqFxRrk4YDB/RS uyBKiTyf90wcLUJBMZcsTrh6i66T+ywH1ZPp5CS7xyE3svN3AXLb83CyV3tMy2QFvJ9Fm4nzD3bF zzGLxwMWy/6uVyD9O5CcWJTCrngiLVJIXr5y1ABTf64OU2845fS0CUsPESpsqJQ6KH2o1bri5zff 53BlB3oAXCWB8DzpkUZMW1pPo4CaID3qile6FpfB/lNR4o0wnZdc7iaAUeHxvzhjiaXy+iHpy8IU d8ULMSk13/I3+4ilE00kGDQAbjy/e9QVt57bKqJrcLVqZVcglKFXCEmemakBjLKuIGUrqD4LjKS8 TyDaWJSFU40A9q5cMKWpglaebbHZOBqnkMTzAUVzfjdUMvui6UIye0jSVBpeRrU0XO6/YtgrbcKY aMDNm65xPUrD1U2C53y/qxfAIHsNClZCLmvQ/xCcH5wUFWRjtqWs4yvZ3x5l4YXDur4pjUWtJZYr Bf/Mfsi5/joej6is3whfGvagS2dhioiaQ9ll6d0adKmbXPm5Y2/edP5AxeTce4xPsQmTDcrLHZQD SzGANeTYcbIZzH7KgvDspkiuVLeVhWf7xtFok8CUzTlLQ1tZoikjAWXP/VT3xPPRZef5JQktQAPh r2ZxCrNwTu0MvCNF6WJxOn/W6cJho7FB1/mTIrqmNcZF1+TqVd35JVi/cNDSd5qslM97cn4qyRrE ufr5VLO+cdAlqJQNa9QoPy/aeZvVbAIBYcqmq248H7137Z/91HDd3nM8bIyT+LNp8fMfcoDqNC9e qBtm2QNA5a2pS9DjPNw0Ic2Sd3SflA9IEp77rBEWdt6+hDbr3oMtRQjH42j6Uhud+sbROS6AypQU HUU4uaEgL5spg4XWO37AXwA6jjggkzFoxatIvafiqHMGcyby6VSxYGeg8YQawBpP8NGdajz1KBXf oo/3JaQhV8fynBuiyXIajmjjVJHSOCQSG+QXDGS9ZilEY+ODglDRdvBUudkXQcMmRA6rZSJ4POgT tPSVjONLDej4WpwizLhscDdInuw71U5hAQqrtUqjZ0BU7MrDjoogKnblzSkqwuTA5HxXSGCdcg7S Y4mIzBOGwt2XaN5w4oS3DjePz+6g3vfpEUZFELpRJE5eDIFWGzRGKCV1zi0+HmyoB6OS2Ck4G51I F4tUmJB3WoXrLF8cBpPmo7FhctdweFdbpKLe+JJLMUtaX+3Ky4uuO50OCvDJKbnrysv4M028e/Wi oUm5wOcERTCTpySl7eRK2+eAggc8AzXLxON/bSh1VFCFn8LQWeVRRg5BCTA3tw/vhmR+JSgztvUK zgtqnLsR9cYndWTKSe/3Xf3OKxla2zrLx4b/oKw7MqBdhRbP0zktiUf5iDLyzLquzHUXwwica7nJ 9SVnPB5k5P+ieWgHUHw3jSgjpz0gYOnXKZxGcjIQmtNNvNIshjUcYWN8GXVzPqvtppNdbtJ0qJQJ RyTCD9KE2s2myc4YruZUOMvC50T0+6rMgAu0UyViiCxZVqlQCcfzf0FX1Sw4m3JeKtkIEeM0Wqqz ujzRbLlb5ymLSjZojbptdDeivriUL8/tTHgFunEUJMapOk+SAX0LmiZAr89uRaZoDTCrcm2vM5rb Ax8TIFelKdOTLWa3mzuob0Qynf+DxwOi6wPwlU89Bn/1SjlE+XjT4ZQ4omtPr462qGLjVyFVDFkw onxclnDQkxPiUNDVQQhdpqwxC7w5FnDs6qngSu/znOXoa3hegG4QPuZXXP2mbDsXnicggDRODwDQ w+P/i+AF6FYD/o0WpSgdp6hsg4+6tzGFLqTDWRvJ+nOtZFe8iNLxqbpuG8B3PwDfc1bjl4ClEH3B S29SM0gC5SAv4TkuOjCPSXxO8NIJVlDJ4xy0H5VPGeT/tloAJeP5oEN3cQVMx89PG+XixUeUjhMa 2dBQdsJdaq6nbYQWEu6GS/CMKB03U5eVRddY+UINT1mA+pE0cth14dPYLoABx/mmZ2uBXOssfKoV jBAuvq9Bgi1KCVpO3ebmY+Lx4Gha38UdMhEKAlmUQrj4pGtzW37RVRQSYpGt4nr2q6JUY3E3Irh4 2QZkav2uPmLpraA1xw5dh++DzNVbpgEwukj0bDaweNUXDjYTE4GtPPMsSZ5mJ78v5C6pBB5hJv6P mhNkIDAd8yjFGBVygekAKOxFK5YOwA7DIAVzQdNAeylKxI3fu4cgqXBNQl5CznSpkP7SOweyPNpH 6GOeLXAK1oY6uN4oIQHEx/yWNQ25NQ824A1Cd14L8rHO/1/H89G8zjsqhJWfV2nk55X7NQ+HkdLl uFYbZqKyK37PbbaHuJniPDyWGSffoGj+u2C5QRgLhlXMtNDo7xwA66VjejnCPLxR3RGEqrMIEcPX DjZXwl4A8YcfEPQK7iHO7jBaDuMqqYwoD19Fk03T3iMJ3z09m/rA5oZogoUjbIy73ExJd7VInd/n VCE4uTt40jmpCkwsZDNOMmzfxxhBp3jI3qwUF/cFyDLhn88uppz8SaxzwfN/NVVoC7B50V7porA1 XlmlLOukoHbZ1/MtG50M+Buan3b+pN8EC0+C2MpdrTWODgtQY/Q+PTd3NZ2sSqc7bAjwFH1oRzbw DCEqnBx05KW26BQvndcB2ZsVjwdRavPrfEINNfodlM8oEa9sqSSSA6ksnijfhZbKym1aS2V2zNxZ 1s2oNd4NwrMEeeLqrad16oIqk+bz96/UnAaGjlIhGdd7luupgXyXvnJUABPNNcQhG84hO/nFuWDl 49kLHo8OqO/bDrZPY3TPnWaUiKN5AUbt8ER8zS9MZraybhOjLyWsmLopccctJA9X7/h2IN6mrpZ9 LlFrZRay7ApcXQo+wJE8FHWYYW+cAk9rPEtSaxyqAbz69qp4PIqTD6Q4Kj9/o5Py+vE0o0ycsBsI PLr2BSW+jUTm+Tl9Wyx3mmEmblriyVqayQkIaKjQpozcKAirlCF/swSBeFqmAP15bWGXvnAQJfab TqLYtBiOB1MAYhRxAk48/r+QWeAHC3KWd8ZnlIrLQHeuYaMWeLo7omDNhwnMHhrDFKXiQw4Rc+ve 4+qjllUwopX0RVqZAn3UCgW3vAAKseelkE2acc2QuUlJLDp022LdlFLUgzrnVMXjwXiz1e/CjpIz 6UJXZ9gZp15oYeJh6dMtWSoGa0qfNtAFvO1mhE8xpOFu+1nvSAqyByi1G0JGajDxKbDsQxL0Ay06 T59o2zlDfIrqX3w1Wximk+Gf/ysFujHw+J/tXnZ7ISR+uVEzwqdImKen6XRp1t+GT0mGZq1rCHGI KEV98WUA6C0+MFd76QpwfBsbBvSujvJnCO2EW2aflw1bz3H1275wdIQbN6o5N0pWI+CmJabiZfBb RmjM79YcMrCetzcJZkjbpDMEzNYcVt99L50grQurRwasdy5UM6wab/b0rA68KIPCmHJJauBlyKsM efEAKx+l8R0ebH3haGZHmPhcyRd15mAHW82PmY9HBYsf4My2ThIzgLyxKEXwFEqitaF3qz2zKIk8 +RAYNmN+0UWZ+MhmBmGFS70n08ojgWbHkwllnZF+EsYJP5vjQDQ1bkPcvnEAgU7MmzrLu04Frqom Qcs0bPg5qWrF83+indSr4rVyt1OUiJNVjvyx/zj5vjjaKbupBlToslV2M2qIQ0BEYLBxV88vcYEW HAENiTP402Kg46cO+laDG+KBWkXf+LfJwaQbtxYhVNLMc8mqevfPDNPw9o2BRmE7qFvCMK0oDWff sRH6b/3wOb0ATstPcNRltp9WhFAZxfaTST9LSkgJ5jy32drUoDvpeEvExSRIL6I1ttDG7C4OIomC FSJUtlSLiFDhoiid407zlXOVVTwe8TbT924aixNSL4BX2BDnwK7PKzazJdQ/6aS4fGwwYIqsMIUQ lW5Sfbvc1S46CLUvmlk2HOUn/+ZBlqieDd7OD6QrHe6ELYGPibopjSNN2fugITh0hk+4lSTW/rXg +b+01aSgsn/gc+xhinHibIKX6VSWCdV9g6gI1ysFFXc9XyFEZVmjtyxbWTqQygIrJFgVk8xayywy 1SDnvaE6WaU+adO5EPExUWuOVud65/p95yAELM0WNNDw+F/oZ5lxgXTfr2zvCpmbhQnBLr6bOpMP dTCphCtAASTxmBCsECauUcAyt5r1uNXA4Q525wzTudhOUiiDxQyBLbwtLK48ISB4bsX9cAmtNyZc eNOl5rGAYGCx0gdEaaM0/Dmb5DM5mDw5fG5FafiixFOquViPIFHuDj2Cczh1MxiZp1gZ6hGskLgp S9Kx1MXlanFKuZ7TAxClnqSRlVz4EduK1yNdRRzIM/WVg/4coQQVrFSM6zKgXfygcq4GttOhx1In fkI0Y/l+8wjuha6UhSrKxOUfTFdLYx4UViykdS070pFjVt9SoYiKQVFrKXd17MWAj0iit10FBxVN JkiKo/quSNk56TIfQCra43MiLE8jOGVVX1T/nvtU8janruDX/AvyRN7wgjbt9vHvCqHiydgG1p7r uNC9K74sePAOdp+aFabipt27pWnI1VPxfM6oQv4RGpk9J1HIKr0tM3DJhRgVAS+yLrxQRIXwZ2qI c7EKGDBbqtpSERCPR6phlwxMCFDC8ZjuERVl41WB6t1fvZGyQ+iKFI6Z4KKkV6BCsHg36zXVLhKw sTzz7Mw50UUB1w5AHM7JE+aRQF7g1YPqiyNUUGHhg37DrkLmmMs5cvgLn+uiyp9xoEG3ws74/mdS fuJMd3qLU0jeRK0DtyOvWiSLIBEVegAxH6cotG68PzvjihPXi7uAHviS+iPwpltcOx7lGUBf8ots BlxII8YHBYBxmZXKPIMLXzx0RyXdBzw6Ho8QBe17QxE1u/NtZa4QoSIdlT6cfjBoUWjOGbl4bkCk AHXY/1IZ31+r76iTmA28G/RInPDDEc01E60BH4OsYYuSKMpCr1BnfFDuGAM4WwzL0wWaRDpV8Xi0 o7xbUEzs6Gc/2PodpeTyhyLuyArhRp+aQbihy4atCfEBbqkd0je3mk5V1uVcvamC7jNAQpQ7Xucg N/BFhdsRxhfrkXmSvfmOUvKW6Apl0XJN5TonFMcZpnNr4PGgR9f/kSvAfTPLrYT3e0puHkDt3KUO KjiFg2upLI09lWtuw9bvWEtFJhqGOuTqLV8M3vuW+0Ghsj9uvA35R3R8NyZv8954dD/YIWq88mSa UoWepgp9/i2kn64a+35PyfHXnN+lC7ywz5Pey9whbLyz5cQj0IzNi8VplVa9wqtkiDFOcU4uGn7X EIXrHSGcdGNPFsL75O7FjH8S/I/GqOyPX19zGhrjc6ImHXVXJyl3XAx9MVJSnl36xuORmso37wco zq9+wY6pm1S/qLexAr0kCxMk9uytO9WqAcN2jFFR22nMZ31AvufgQE+Yqg69JB76p7rp8BhD5DH0 9IEU77sdJeW7yOeW7kdclEBlvM78wI3tFIFU2M7QdpIrGcgil6yxQ9A4VR1Q4zmLbFoHs4BG6Lak Yw9z99khaNwaT80aUe2ZtJwbLhcSddHyBZZdShmFHgTnl/1pzyAYviD8wlHtQmZrTwJhoDUshAAa rs14KhvPR8iwbxMEMARRQnuYQlFDIeiI1zMxlZUsTJWoZGO4ugnSDkEqvOXgs7fv6oOWEyb8H+7w StVb5g7soUwK/KeLDFtI5fAxQfZETH0Z7NZxsZeutS6cYcUhHnr83JeOf0UkL2tdb5YdaoxjKgoR cycC95pN6WmnXtwrqvdqScEORQ1NS2XUfNfnrYPqfeV8Ew28LmRYBm5ukIZPDaMl/UQMsvA5ERFY 7Tm6l3Oxri+02qo6b3w8gjzNr7IFvZ6BQsjCFGbjlJwpqXbbTZC4MYZUk2tyJQ+4eJhCmMoScK6s flcD88C3PjUJFZyXZuL/cliOd713CmkXn9tRsoDfOEgyBcSckvaYJgh4qorRbR51/hGPB3fd+KaV Y6I/HmWeHTbHOd00W3e+dMrAZfXjDgjnYKfzSOdP+hUuDqzcXb26ayeNBPiWZxNsbbTzagVpCrYd X+0nuonhYyJDJOEMNd3cTkpECs/Z4znm6sDjQVslze+XDmwS2Cd6mMLWOPksRiRDmNbw3bS7E1/h GJb8bIowKqbRl1rZd/VMfA54ji0Kh4G2MTQwbokU14z7zhNMAa/xMUGCqbOJp5oW3XQwD1LiVObA 41HP9x/DH7ieTcg5KkyCBb3HyQSxHKJy8pjtEJXK2TAG/TRPZ/uJwti/9engkHtXBQpN3gq6z6CP OFhGJLSsQmEeNCjRTsKoQoY/275zQHMVZBXgJRgXs9QhmB4j5s0mKnoVmz8iQq96gUcYAWx6Nvax hyukcRaBVvG4DimSGYBVmUOwFbmRQUde4QozclV2vQs33/vlkw2gAMBApI8U9Nu2CheajeQMyh3U EC9BkSk5PiliKEqbls4/abvzzwlzXuRrt7PH+HykWHDxdIwtwP3n2cdMKjb/obQ/LWENKJbdDmHT dIidqLJcHQs/KsCOX+5PvatF65xbCbZYXY7Jq4nICBs8OLtD6Z69C9c9ZucAn/QLVKxmzWAyjEi6 2K4TpgiEYk+Y26YoNx/5e4CODGWsx4kkRQ1zevf1PBzeg390nEGf2Rh4G0wgs0RIse+mJnQSwtBq b+KCFclESoLmQYUYcqNlMkaDlY69UG7wYk+eCCn0AFosh0lZ1MKdNaCnwherAmqA5yNY3bdkCBCL M/UnVFF+nrrQ0X39uM3GcFwdJm/WCN5jVd9XoQ2QZuMzW/sgP+0DoH73wjgMLc4O6AGb5hQOOwds o+ahq2SVLLukFKFX5EiS+7MoVgmSlgIjjszng1qm/kMGKkQqt/W8hWHbnFdh0pQY+l6TrjekTSm5 wxE/oRnvZ1bYN++m2JPmXf0uBN98j85e7cmKzxvOt/D8A865gZ+Jt1+2SYVzTH5S1EcgS7hSrY6L onWO2c2GbjuR4/N/WQOTWYxOJ1WXLFZh7xy50Kka2WWhrsEmYGzLHsH8k4H9bB6rKFk3gsJMxsZL 14drktjSsG9wYoG7ZihgjrjUV4Ack53v0O7SJ/1S/aE5OnxRz6XWLXGXk7U1Ph8xYb9hmxlpH0yi brBCsRUqZnXa3P9HbPTpwZKoOjUNIEXhr2GUsg87qeood/XcYZ/kakNbDe2ptCu0Ss6JRduNhnkG PUnsxMJxpu8cifdwFNN1YpGRqhPrHO1SPzwP8fm/sOX0MK3YV9fnVTbi721S5VbTbfBQl1sjz8hm xCRSVVS+ipHkStUwBtfcXa26SRivn7tOINdy3skpHzwAjtD7/tnrIjWWfKZS7AxEGSjNZOrwFjrs OjqH4620weeDN7B+t6jkUDuvNg374u+fS7ydDArlAQBsvGmR52yglgVJRg9V2ERfNmZQt8qAXTrc E4wI0bmDBgQc36eOq4VhJLnh5Wq0lkzeMDUXgzYVkgV4EPsiZOJ51cdWdzhPPh+hydrXaYVW4szr mryFbp2LM/bKixKhOhtouRterlb6VIB4k7tQhsiW3qy6yXe1kx2aYrtsVTkFjm9qahUgQzsFxzZN luV7QzfzHNh14mCWGDndlrmoaM6dEhoomsfk89FM9JsDg2RoQkrCQxUl7uAsQnTFQ4WWnpfNNEBW qFZ3ue0cOHbKqQ4Y/CUhLa6GlQKfYw+KnWzIR9YtCUDeWWAu6/rTxEF2Ljk27CwqnFf3xdoLvQkW fJbK5yPp3+/kCjIFtMj0SIVJO0kLizOz/8iUMqlzVWB7ZZLbEFrvajDk0LJzycQsMbm01Y4qvL4n paZkBuTZRtO7WqlMvuktUfoNVWn2nSMlxMLdRAV3/EGFyoTJx5CP8kl1+Xw0dvjHAx4GmSDGe6ii fvriFQQJMO/FpNsnLo2bijpaLGu0qcKUnVCpc/VSIkqrNhXJjadGqlRlA8S5u/YRGHIAQUFqweXG RrOv/Nvrt0zMfXe3WeySlGXDufL54PVb//iYZdTO44lUKE9O4GuhZgojVYXiYHNPSAVe4bX6/RcY d0Iv1qh6e9zVNtUpNKAjSJIHGETNIEPIc9EEPTsMAmXWt+qj23cOQAlkpQ/8xlyqbarz/kunrZ/P 5vPBEPnKHIi+Bv3mUR5r4UijXN6dZmzK1hWSMb2Avfqugv5M8hcwNgsyCrGd7o9n7j4vAwDnmZ65 Gx1LWQMU/k3ZPQQD07FTfdp3/mWKXGGX64shFjMvCKTrq/D5SIv0m4iGBnL9Km1C+07uBUcK813k bhATLRv0DERLl5XOoX/ncpcucT24WoPhvHPQwARV4KTosPdgtgq9qJNRAede4QbjfT51r0IDT+VV pGPaYjXzeT26oAnc/1G2rtONrSv+x0hXrlB5Diw80YvhBbiM+omzal+5g7EuKgj3hIUqsgzqsgyi FIWvFqp1Nn3DLJEZKOyHCAU9RT01XhMO+V5cCLikWu1LR1egWNc817moskHorXecKp//i8vAAhuu 8yM9b2CUraMrBnmr6dhFmRsZmaE45WPl2jxWYZPdcJs617VarCB/dCKjLh90MTO+JyobTpLZ3yv5 AoN6s+8c+QTQYTiV6otNSiGSwmN5tcLnI133ewVyCxFsdimOOXTyrBIbYVFur2B99lUzUN5OdJdm ERhbeTpwUe0rrj7eShAyoywaCI8wQVXFTKTOSTrPD+85P4SraV86GnCxCVOkUVoMngzD6iy7i/Nh m89HtY0D86jFLTj4gx3OoaFnJ+kKbuUWrbabU2czqfkyiIX2kKIVOnoumZmeTdjv6jsr43IAyBH8 cMDR5HBGK6eNKdde7RlyNfvKv81vkgTs0yAIL1HiqpB/eFKlUfl8pJd4LT2ZaYCBm24aGlp6znyR +mKFjlKbCbwXgmYltAWav0UqhqNPwdBbuatjXxJ4xp0JO8AKLXN2eN7McwtuzCMk5SrhtuZfOboE qewOBwhbHO3JJAIdvj75/F9s7MKhYMUuXZffkENTT0BUT3ZFNB07fIsjATVihMDekmiZHqswZdeu Gk14Ia4WKzhuzmx9dnbghdArbEWeejVDNvGBfFb/0pHYFo3OJHc3wNaalib1LYj3OVQ2f8BfXrHi 853/F46/N1pRoz0RcX3+8s0R12kPi1Yl1pE9vlarn1iBtecdoVIVz9fb4zun8NTpfnICYKHQtjrZ UOdHEoH/dRFu+84BcqGwxddMZLKYnTWSJI7ufnrJ+qIRwei7GQM7PHhF3lCFaTvlOHfuy1LRU2AY wmOB4mdVM1pNlrYH9p6ugXuuMYmZc/Vp8zgHe4X1BxoMeM2WGOyYBUhyJc+nz07zgBz7e3KQWkEj BAINTVaJbMCckXyd82ea/AHBazhvo71Z1gBLlBusEA5DI/fRl7OxxphW45y/mHdjpCVhwYrxMJpK +HSiP54UC/XAKEuUByhnsh+YNkVTWKUgLXEpcw0lQpfPzFEqZRZsUY+BxTp/+14bn/9fbK0npbfG 8wpGeXuTW1W5xLVN2KYQxaNUB6KVvD1UoYxilzJCbvOuVuKUiusPyGEapWfUl0zCBuntmSjgKyq1 pn3lCOxB3zP6NmtRO7Sf35XT5xOpzeeDtP26LTCTOn8Xcuc8UlGPnXMTjNId7kFLMSucm+MYToKU p0cqVjRXorCtcbzn8wZiekPaB9ns5FYyXQcpZhFiO7x5tdHxsu8chIp89jookF/xv3i+nHoATr7M GJK+6F/oa7yt2MJ9XjRaDr0+O70+T9Hh3ZiZ+rC6uQpTXJgxuFdcDsw+EXXx+9QV1WqhSvCcWaAY AI+WT1FSZPZJPjlYHeAAWm7VSrWvHNyB7C2URd5ocWPoAkeHyvMRXDY+Hx1V/7h7ZbSfdplPrKKs PRXKUQtYXNXv87NqVpPyrruxxFOs4iZ7VsE86109u4JF3ADuk032Qi1FVIMwYoD2EH5gYWuSZ1Wx 7/wbpr9uxqxu6xXURCEiNvlO9sHno9bVt+rG+TP+rEeROoeun9K/m/VisIfyLPp4eOudWj3euopt P5fuwGp90VovTaRDov28HmLZduZXDKfc9hCl/ZC2iVXPoe+naZb1dBed6hC6lsI5e+yB8SdS9vrP Cwju0Xgi9Z6yl/+XEmvldSXwCisraZyXVPys2ml4pKKUfVHcPO820l0tUiePgkOyhlxoixeBrgoS BRC5gfn4Esft9p0jLhvmEHAq8EWNK1DZtgqdufn8nz6E3I2oKNs1Pcm/2H/ysBLag4j14Tfg7nub vTzo+472CP0/u87zXnRYcXUg0ckzRwJer7NUhvQUklCq4/IXLBB4sNlp15QrdACFhj1kTPkCJhxx bBVUqNxSCAYDhswf8JcApSAMxFOMy2jLsQ1oVc8439SqjSvpUj1fQNmbPVphym4zwSafBa6esuu0 goohHAbWGrKZh+gixhHABszxSE5pLB84gcqLhGhsDiUayZcIFzq7m8UhVOj5A6LXcHx1GTodfcqd Nf9iBbp5+ZlZHLjKV0O/9ZVta+U5b7BizXPx2up8Vt9aM2ESXAu5eRjEJ8L8C9SmMm+i0tYjPIXP 5SdFSkHkuhdb0M/h+4SRhwQEYTzAHxBchf0f2ZL6b5shMARFYYT+jVoCAHxUqCI++EcJMVcI3Hqb IXQElbTrKZDESOJqO2tAJqPCYBcd5JLTdBIgUpBMGuq4UFFzHMiBJ6jfhOe1a76o0bChSUngcUdb NDAF/e62i36LPXUlXnJoCkq1Fcg+ZGuLnqtl2sbiqyeWzdruQZQDV1AUADKmGIL1cbVY0eUUnt5U oDoh0mgsEedMoCQEJ1wzqPBPy0+K7kJ5fyWi+ki+7NpYU1BXuOZM/oCgxEnebydSFGoO69K6c+AM ijEqMHyJ3TWTE0zNeshjJNFuMBvs1YP1R+I+ihG8y2VHUN8HcgDMsCZctykUd6KFcqhwggPwv3ew 6D2fQ3PQySIndU4HuWg2kYHdldbw6nw+ykcf82K2Uc/5sfIDQw79QdVo6MtChOlEva/hMHAy2lrt nllh7q5O+5AttlbfWjBgaewREct+smv2Ralodo5W6HgXZu/LMzp9UvAaUmG4CI5cvFtdGw5KEeL3 Wnw+SEivJTZJZucLnJexXBPjHJqEVootneN1Wm+0kmNCRN8AgSJzb/FcsUMrzN51smtmbquidWK1 zpErJfQTeohFACXdyGVsmQq6dDth2pMF6AtcQoHb4DXopo72R4F4DW22CbDdfD7IHcb3ZYhey9jX 1DGHPqGDg+c6i/MAiohJdCdiNcrBc5lu4pQDo1AT/oATmIapXA33AR2xAVQR5avP70MQBZCiqBPB k+rsNDBJ4cvPz/m1zulDVO9hc+fzHk2CxgzMEBiF/lMU4j+mt9yTvYdGoZhE4nQfZo3NoZRFauZr d7VYvChSEbR9MWuH0Ue5q/Vk2rncz/btfDnSqXiHFDsqENoU6qMygpWEQylW4BTq0iZlkAlQkNxK P+ns1U5tCAwnNn9AcBNeTThWNUj06JjjwYrSd2isoWget9fQ+qWX5OUz+sosk/Vz4BaKNrZKnSKs AtenLQOBlEmxHIob29uK/mBfTCfaxailYl85Qj7yIjSb3jzdVwZznKS+6HkV+QMiNwJ/A6cd7SM/ vb7AL1TEFhztN3fvGnkRJLNSsl7fguWx7aswd7f9JCC2VgepQd17Txo8DgIfs9ErKEoOG45x9RiB GtNXjkZe5L9hSmeLIa9KH8oeMpBXoWFovn5z4sSjMTRuLho4hhps33NRjZ2Jp6Vwh8QZBxlw08fO sWWojW/MTXzkywA4p8t579BCZuIOX7UhN3H0/NgGYi/UtYU0oQ89Q6UiPxpJE8N5m4WSal0AhVb5 fLSpvgcT8FHvkBDzUEVpO7pjZ6cC36pQFeqJIkkgfdRTUdBEdAMGrqFoIMtdpuZ1VwvVOIE6tTg1 iM+RPjADU0GYaVCP0o+yOQ6S2falA4pXM9DxklPY+CGOtnb8dP6q6O7zB7yf7LSdvic7sERzXcui HHiHGsehzdznzdtnd5RMd23Gc/NzRJ/0oyK6hJKrrnSBqwcLv9im3i9aWxDYA8drE8kMlPMPXW/9 FZz+naOTXZkoFXY5LJLQCQxvZRaW0WoI/UPLHF+vIDEnAE/dWIUKjfsLRqS0vVWrcUozdC3EY6sP 6AMH0bNHp7p8i+Nnrd7tmydBpyi5e2NKU77Q1Ao6Hrtd7cFJO8McWIjKxxn8U7J1uegVPPVNl5fO Bl8p8BD9xolKS6BAHOPK7H5eM6uaRcxspVyLgpKb+DhV1CuLFISBuKte86oK5JUJfo5+V78AJ1XR 6D93UlFMpaSyO+gzcP6eG+1e0yKmCfRrVnW+biLvplGKWIvhjiHuJT/ahadfYlShnKCRhPWKTozB ytO/+LxmVIgQzS6GiDf0qPd/BO45y+/pfPEHRhREiNL08G2SXBVXi1BmMYkdg4FW6UAfc3KDdqK4 0hhgmtfF0gn1GiLo+FIhrgvM3s1XEsSXZTY8J9f/vKZSVbbIChFPcmAhMMdViF7zqJrFgW71OcdP SXar5GIH1jleMbNniF6zqPqknJakc7XkvAI0WRtftnM+naTfoH5wV+3Io5GQWMY5CV9/zaHO1y3c RJS7t0Xd4pNsug/tbp/XBKo+0PWsaQ0gcRjbW4he8yfsoi6c0PT8CWW/zUo31ViYP/U97fR+zZ4q CIZKMJfxvtfV7NhpnCOJ8hEn0axbcvLsqcNDBuhZuMt7iNBN/LzmTlVIAypUcfze0cPibwbiothI J9HIn9fUib+tv2h8q6COQXFfhug1capuIZ7zyp5iJi/ydl9lOEwhueHVa9qEv5C38HQkcbXr7VR4 J5kQCBRFTMP7DwpzkmgfRfqKv2ibunCvSVOVNyo66D35ojZLgismy1tM3V9TJjxtxBqFiABQ2azT LjEIEXDDVBJy/oP4aAIn1OwDv0zKKL3TghBNUQCH+cmMx09mwQ2tDOCIe+qloznMDAAKVLmgz92I utBsNMlWNQjR4vC4JLULkrcLcMhtyujV84f9vCZK2BA3RKKUnFfo3DLDYvSaJ+GQV6VSrvlsW8UZ uKYvyDcNrAUZpYQxklVo1bnN1cfH69QO0GLGYTTgAtc0aT8nByxS0J6cz6vGmu41R6pOEFnA8RKS cD6MzrMN1BJhos7793lNkeozZs/qmGeSjorF6DU/woFdKYE+2tWCG8bCLUtWxILGQjVBksPRgd2t Pafx8Vh+twIPBO9FmaY1uK1LgiER0ZghlUNe8U27SX9/zY7wt+D4pUpoqbrQErCLVfIpwMJ/XnMj fsvvdw2lwtjETSFGr81MvGuc7Y3VLtmvLx+uwyTR3rXzaxm65bWVyXNC71jfz3pH6+e8X0AkAut7 dqd86pHL4GXDGV17u+hhyj5+XhuZiNGmP0OiTOVZdIWTudlJXztVYvm8tjGrTdRujNB92mX4efTa wqyuyQwItcWoUrsHm4dK5Q5AINac+hxRbiTViUEvAVvtyD4ndumaL54Y1WIDR6KGUZJA8IA4e8Pg JX3h4MwWVGNIn2PQ/Ie12zm2OYDpZeXPa/eS15onRwQMs8pOONUsg4yS7CGCg4o0sm19Ngy1l5V8 K/HNEBkyitO2jqQYaVIj1F46qQW8eqiTd273kyLZdCoBWlbYjwPxy/Ve/CsHm0kdgcGTmwtfuFMI JBZ9UoB75/fhjZv561SiFtYsN05Rqp1UjCQ3aahzmsRwWSs1O7onSHnOL4riJBjLXmZAuK4tL6YP AOlTKK9w8MJOcGoQzMpM4xtbjZoPU7n0807vq+7mCN8sXzQ9oOiAlCP2+ryz++pjQ29X3AL1FGJ1 Fqj3hBvDYeq+sRCQBNxKrig4y3X96O3BkwWBknD3udzkVMHVMYrrJO8gdlFbH0INVKbMOdGzeWno cnOlIaxSEKcud5QkrH5yrP7ZkcwuUY1tPh5kSyZfndm1xKRsQiHCwhQl3ZXM2imNEoYpzdvfLd19 6CslwQRlCc7wbmSGXfNd/QyHMOlGTYFee8HknOfTQJt3kTBR00PBEpTzPe9G6srCdjO15KLiDS+e yo0C1GuYd4/yfdGpteTJwDutjx+qVDI9YfLXbmOociGvT283CtOQNyg7a7Za/dZQ41ZgRQGNQq0o waoBp044qv1sZEw2XJnqV4aHk3H62K4c1LZkG2D3rTbAObDQggvCJP6Yn045E8Z5E4J3Sh9+O47M Slkep0zFbAkvZkPBnuMpNe8EvKffjkyEU+y4qxVxcMkYlBVGagmYlTQsS8EbRMg/Wj12itN38/NO 6MPbvZEvkQFhi5CJcPcp0oEv5fPO56uSS322E2xskBg0j1OYgXdRs28HfGBSZN03HpEamtdqnkTv dL573eHKr3e1Y7xBJKOCTwulYczjODM/aTlsz+j5BHyyWRdnySREOTh6CBChkshZdbMdeElkieuf zOzzTuarDy7fPGpRNLeePUxREo4GQAY64oapFmMRQYnzWhVKVynxJ0VhEr4uG+A134IX0gUrcQKH YTn4aFOVCsQOzq5tPzySnPY/u77xe5iGzAc2TXc6vc7x+0IOjtJ+qBj5eJBiPpcds9H1s8a67bf3 PBx3nVDm+YIKMs/zRVpMF2eUWGcnekSJ+NDgqeY17uqtk3N9AWJXZI9yahdLRDHK7sisM/pYd/LU 9Y3fw0S/tHMO5O6LoREnYWSJOf3nncOH3OmGifd/xt3X27xJQZSMQ3Yws6nhXThqoWg/pWxW2Kgw HX0RZuPsLZWiqq6UW9UtqLpjZJ4Ge0znDpahI1rzEz6BtOGrz3xg6hsHgQJCpSXARmxJajq2tDi0 rYReROl4rv/edg0dl+W9yigZxyQAsem3WVlN2Qyv3XZIT1neH3jn7lUTrH9XGR7AFCeC3mGltk7O JO4eeD7s90Fg/IqZcZL5zt1jjsbBeB13Ue4E5De3MDCVn3fqHr+m5078ctD27s1zp3fmHoMru4/u Bil9e8NyZwkOU9sbVEKF6T0Xd8TFOYdqu6udTh3+KoWjwgSABZpPqn8pzoU7CvfpPZ7Ys3xn7vlw oEACi36qgx/B5lrGN+YdNk8G+Hnn7v3XhXfSlJ8FgoOFKmp/i78+W3LXtHUtd3avllXRVcl31Hs2 flsFU31wrRaqAizP6lSbKufFacq14IVIQAx4GJ3gQ+nFa0u9p+PJjqgylhawL3kwFchXSUzxZJqf d9YeQ/2dQZ0T4gdFlQcqzMcJPeyjVt9T3MwyTpvJ2k5rol/No/ydsldlIkjEYX3WiyGYADfCOvHc RifpqbBxhB8fthTEdsgUdaUNyre8M/bwOaxXFlQfbFFiAC3bLUGWWT/vhL36uO7Ilg6K+gC+e5ii fJxNtfNOD2+El9ZcO2nMK/CdlgtXv9P12NQRSSipPcf1oujyyjikhPzd1ZzTVjqvzwIDlFY71uaV 1dU7W4/bgcPLTa0NLmqFn1tVI5zW6vq8c/VwMS/fTbwrcURNQK0sTmE3vNHwsnW3H4B4ts9U2sWT w7rS3ruoHW7tgrH6s3p5dzYOrH2J0gGMBwaUZzsBgAZIGg5xUtbtJF/6xkECRdhOkn8aF2HJz5ek 9iF4CuvzztPjrk/fFx7Qc63cC+89HYfaqQaYw0dPtc9ksPvcfPS0CURUmN7TceO9A5nR1129+5Rh 67gJZjqZVE2yvkw0CcvoRv0UUFiuw/rSV34/npaU0JuOp2biyu1coicDydoi+/PO0qumrvxkULjU CSC1QIUJuTq+9C/VdKVtl3erpSw/x7OjyN9JetzQptiiRIqrJVC5nrKcXFzULbCcFYUhQy4F5hZi VHh9V4a+cRQn9sMLsasVrF7tIpyrK8lud+/PO0cPGyqvr9QAWMWeb9P3naKHW5LC+kUtJ55Pbs1b FkFaGtSl5Yrx7ww9tqxF9xxl3NUy8nP5JtA46H+5z6VhoIEKdNEAzD7jorfrbhAS/c7QY0ZOw0IU VbboGC+wWBetMfNrBvtpju/3Dmzwse57F+XjyM1OlremN+nGatdGprkU+q506GCY3vPx7Ig5HEV3 te3EdmyXxvc5DM55K3kEXKLnhDjhQ7PUBTWI7n2n5j1vXU7JFzWfElRUmUjtNT7vzDykqaY9YhPN DqWy5lF6J+ZhM/Ec7TjzzZh3Jk+eThWcHViI9pcG42E6PlXeEWtrq0UJexG8Kbo0QB0uZZs3nL/R QI9gs0UnmTKU/593Wp4N3EBu4SiKi3oqO5mS/7lMy+edlVeNaHkzJ+zvDhKjRSkEoXROEHQe8Wgq 12nnFDSXOrW8pfLOySMKha25s2nmXRUlUDchcEr+8LkM2hAX7ewE+mHqLNsw/haAoOgbR2EiJ730 6gtfOQCSuyF1Jh8PXrn0/cqBK9FT8hP8nY+HzUQCQp0X0AvTWUc/k/4r1UlsTr5y73Q8wplkobrV geLqHbqE7BtfCQk5MHDJuApIEmhykq88GUesn3cyHks7JE5bjA0u2kxr9k7jP+Ren3cqXjU5BkWJ uJV0joFxG77vRDycJ7T86P2aNHQHXcDLgskBJV8TEITAPb/z8KrIE3jXEuu2YlmMBO9AmOqYbgH2 fErgIebP+YJAx1EeaV513EmdkXcW3q1X6qCEVGVC3dWhA/pYFte5fN5JePXBXFqHDtyRXLz19M7B w1+nEO817nbaoneSdiCXhkKBA7cfeKfgVXMPScBStLtanE4AIAVCYBM8RUYlEbwUVCgcv1BG04x5 0T39vPPvqqv8tNmzL3aC41DlCX6uks87+64+NNgslwZ0c05JecP0noejXpHt3poWpvNzHBtubk68 pOlgzTBFffE0LB/Yz2pv3XnRzl8arLVzEoH0Yfa0VNcaHf+jX6lXWDroGwfTqMU4LVrIcNHhBJwR y7qWOr9mcNPdNgFVmiEOD7kbj1OUiIv/2tvjG95unyDV6eXv6MNQF+/Eu6eu29TGt9XKX6QDJ13q PDArUg7VdQM8SpAUz94BMdMZncQSvvPueO9QKIOzBy1qPaGtzBMR7m6fd9ZdlXPm12XXQda/Hd93 0t1tE+BNeBD0Dies0GA2dAqGRszD3zl39QLoQbvQyr/NlCPRSA3CH7xYpggatJUD4BmkLmh5eZtA QOd3xl115dJM+70qf4euOJ3C0eBymd8zmtv5bcc+AX4MndwUpzAPl2jbyL6fcp5OEe7FqhhwT9x+ 751tVx+ljGnxmlcvaqfNs7zSIrSjASWLUFytP4AWygbiulgU+8q/neP0q58Uof4hhrueb1n5zv6M mfl88Obt+bWhNAB8cswoE2f21DNZU2oUrMvi5IYUdB40LQtU1BkHiIFKBulZHQ0OEgYcLFAgQAmA QFEYOqIarZAQhGb/NbmipME70Y6ZDAGY61l0QsG9TgT08zM+7zw7/55frfGOkskLu3eaHT50qymX L9SZQAOqtWWWptTUWmA2FyIww1zcTLFbe1bHF55Kd4D2I6OPU7vI+LKjd59L0q3nPd88ODB/p9lV 6agTCT60mKTWqeFldg5p3fl5Z9n9M7kjwQBOz18zqXeWHduCdI0hAEho3kbmYQHWtdNk5/xEcHrP C4gT6p1kZ8A2bKQkrSiuDjLME8QOzhAS2+/cfCdQ2FC5wfuELBMDzlNR651k92RQWbpamoBz/nuS YengtrQ+7xQ7djR8Q3EuAzuUevPxd4IdNtSyjso0b4HRCN3JFMynLJSklZH4cUNFnfFmgNUunApX 74z3TnV5qkHNbmqS1MzobKawSEpPedf1lYOjnOAW9Iu1ZI/T2LsJjZnr551eV0XjeA4o2D/0fkfB 7+w6YlbVGJ9m9ozebDKOwcymLXlevDHsxnsn1/HGk55yrfWufpJDSWtAz6kTqZpSU2ZAEoNKx7Yc bJhJnvm8s+sIzmXrqVIBnouAT1AT0FDqlKifd3KdJRbPi4d7Gs7mHqe4M85JS2m3Q5e7457a2t5S YfQEEQ874xKwgxj6Xb2lgp7+AhECgmO7ZEBVM4XHwPxCYYiyzcpg9VTemXXkGrBNAOyyLd4tKGp2 tfNvP+/EOj9ons54TiBUldt7emfWMaGgPuEc1ZF0vd0bb/QLVckOVXkn1nFDqzU317qrtzLLOK82 xJMalHzWMImDihSBADE4XrmGHeqPzzur7sZpdVKBuWhmziGhepp7fN45dayjvwkHKKb6mN5VeafU VfPzRSnvvadGvWgl5H274eypMCwhf2fUVVfvgVLYuKtFCSSh1UT/RUW9lyB3LIFHOSkQPtTTce2m qC/OwNaiOUvxOUtZOCTG5Wm+0+n+GZhTEQpU4nT5T+9kOjyVxPct3sfskp+m68luyzt0ZXmU4nR8 qX9JIKHWG6Vz9y0Q1MH8PXdRU3kH6RB0gItJuGpo1+wLB02VJFxYKr4oSrDpJCwA5JvPO5OO6LD+ ddUBkTfw8liUomRcPZVTARjxvk2qC0q3rm8vghNHzOiptBgvLtRFl0Q+V2+KQzOrIk0D+uLkONVE vCGB2PHvOdo05CquEHxMkBBQVwy1oi8CXwDbKOHaXhoeDzLM/t2gq+iGrX7fuDATpy3FlFcOG3Sy /6IUKY0k2aBrTIt4gEc9cY3KwUdJd7UonSM1UeeW3d6TfmOkic4Ta09MvPLYV1zTDvAQo0LFC0gP +mKv3CLZA5upDDweIAry/goT9vEknkc0nygR7wRCt3I9ecuw6ThUHNirk97FtnuuvyfimPAwPG3U Z7XGUwEi6VTWib3dDAMB4ViAJehIXYHb9jR82xcOBsBsisMpxxdtJsC2puTwNx+PYND/wMXPVTFw 81mUwqY4TVTVNVXfaTa3o+h9uSrIpl4hoxRBVAb7KaU3Ygi1WpQWdL54B+H4JqdFGTstxCXlQEK0 +gRUBelRU7wSEtYSdepacp06FCu5q2NwqpUeJeGpfld1NKjJ+YYpTMLxFJpczatf2hWoTTDr8Pkv Olg8v3vUFHev2S0mC1dHXYAslmnyDrnDU2FIrLzzNKMtBbwwb5tAtLEoCZfXpYDmNW0KAfJEGnIb xS/fCp4POJrzOx3IENWd6abhPeqLC3jR63W6NA7LIn12JIMaDujW0DeuR2n4FKBgKR3X6ryoeXbp efmo5kdpzaFypaK3cQ50WIbjN1bHV9q/PUrDKy85urJzsfq3tKxfAwOJjscjMuvtZP4Q14Im3Y1T xNTkuLbhZbAGXZL5C5CGSbqaaNCxxc5ypf8OUCkcqftqpzjEQAAwpELkiViWUDn2FDh2qO0kvT08 4+c3jkabvOVoNIVdlEw2BfgUfeY44cfzwW03LiZTuw9I6HzP8TgNT6TUj+zY1V7cVuHkBw7JHNN9 eXtI2NTYLvev1QIF0sYADZFa0g0CwOwlJCSTG93mDGddP6H+P2NXluRKbiT/eZYyGfZF9z/YwN0j kHymjKqZj0FL6iSzQCyx+FLtjYOogAbPackuYEGwd+hcWqy0gWJWN56Pdt43gg7Vmd4ukqeHlfFB KHShzk4RNTFbnSATDCebAMjWs07Q48p4pANy5vjMDFhYBIahOJ5pQQgVgfO/kX2wviRHp944OsmF UOkkuDLbJj7wzNGGgQom6hwT+IAIQfd9lkNSgAxtm6j3WNz9X1zQl/FTs8LT2lt1XtTkURpm4alH sbid4ZN2BTY6NGxNILeyLE0g6sMk+URRyH8yEqNCHVvzNKHgaI9q45UVp97b8EHYsBPttSx5udTw eFAoaJ6z8O3O3z++Nl4EGqfFaUt1WeEJda5u2mqdYh8UsYWQki2oCKLid57J9m1ldpXK5LP32oDp 6kCMl0FFNywsRLkZvLIK+qj37oAVwxdFIZTkip5BBRWsesbTZ2nx8Wg9ra8QKkM9Z8glhxMVBuRC 15PgRxuFnveykm/KVggGq5OGQpioqDSujjkkQp/xgsNOqEYjemBXM6W4eeVBLixDEevMUb2VzEGa a49gKp2iMucLtg8iRnX4jHAPzjLxeMQq/4fUcs6IH2g2O6s8CslnFvfgYldHK45dLSQEmJNJtWBz RLXxqVpBrQrNa3Vpw5UKIPV16Cg/qW83R9UBzYMBx240QIzTkqq98G8SDk3KmRyM04K6n1h0OePx ICTvl6wh7B1RV54GjygmB8YPyjsrWWjAOpBuvJqbK++QSyT2fVwZ18YbViEfTwg1zhJZA+p8ct4t ismh89R/Ns12xnBBp0JZfnxPME88nwbi1TPAwsM0MsG54EEMDCOe/7NprnrB+ThoxthEhaBxymw3 Qto1UfO6U+Hft9DgxMw+UVFpXKbXOH2WjXwPJS/wDU2UuR+sqy2r5kEkhA7061ZVAPAZ+JoAu0o5 0b4oV9tpwqBpgoySCuMn0hxRYTy3+j1NbaH1d6EqIwrJGwuZvZt5JaLz1p2G8JijTuC9te2ikFzW cIX9WJZVCOYeP3TW2k2u9iirQLtWwrYwM0VFZRG67YEBCkL4mt+I0zX1OwiCAaQu06UGLcMR1sXr d10cWKyR8z2cooCc9FQAqpys0QQTZ7l3diNOL7h62WKKAnK2StG/nO2OTv1JQI1XIQtAkoJYDhh3 mX8rYoNNOVEhfMm4G1FdXOL2sxNfP4m/5hW1YFbBvhtA/Hg+Wk7f/ahxPm1AQd7mKYrHhxqcO22n 3OXR7RCXcwE33QJ+RfMUxeNmMLi3dc73pdyBVAcBhz3l23UCtCxZMCjzQFzlB+YKTsNP9sLBpuP8 oADhg4IC/Bw6xE9wgcf/BNYjyIS3TM/ePBghYJx7Dk4ovpoIujYFw5WtLF4JkkCQOSLAuPE27XzW eNM7aI7AVh7pXdvVBLep/d8BWp30Aze4eNULRz27BgLZbDZo81Twr0jfVxI8wlD89jYZdtNWJt1Z isritG+CXNpFX6xqAQHcvF1DBYpJtpaiUFzFgm+WK3l/DIwrFI4Tm+XnaAcTkWE7rafGCQ6hNnNn CdEuvua35sHYjAsGGA3ZMXRD9M1RUsfzf6lfECx+thK172yawkh8SvviaoONlr2mkqlOJpbrdim+ EUfiyli69NM4esaCDl6h2a8uwmKROITAUPYEOYotYG06BpgjjMSbmmyNeiqMkAnzABe30mHo3Adz 4QOCasGt07FjDBUGCtLbVP0KU4HOf7JqwaJdpMpPNMz6z3SRZk1VXBy3bvmad7SpSiD6c+XAHuGs raHkrrA0T+WoAic6t0fQMR5Wx6ssGFnXzJmtdv7FICdROR82hng+qqt8M6eB4l/otmqiZlgeJ4Wz pzk8aZG0yhasoFudbkLmlWWVGasWKgZP2oIcvSN1Tj2YzvKAKi11K493EDUmVgQyHu/bkRE8Q5SK OaCSp8FBx3ihkjmDglLxeNRryV8HFBpho99ey4xC8drVA6ZPC6Jy2cKjqLIKlYx5QA05SJzQaYYM TjNpnjnf0Y7xAuhWS0XmQBu6XiK6kkyToeeQ5X9qMN+lV/5N3rELW8DBrjtoIHJh1V7w+F+gAq6m hg5G9203o0icm+VEUNt9Ynf24On8Pc2FVQe1OymGFdM3xb1oUsPmaEEBZId3VgqcTyAIwXSdUDjv wHz5oX69g3mo6zDD+ji75cCf+aCG3YT3DesT56TC43/REomi6bQHu42EGcXiCZWBVuvyWHzmdP1b xro819qMqjHj8ri5fgsOzdEWVEVJJaNjB3Q9iHcyxKGX+oSD4O5XAprNQ3zNbxgVCKdqmAYOyxAi YXH8HHt4PCK0fPfuztLOP4s6L5qmKBgnTAuKlYY27LlXx17s7CpGu9BcmtMUChmaOE8zA7P2GJjR iwW7gfDVAai9dB1KqT8wAUIwfmlkpLThe4Jtl0x0dvlgFZUz91xbwKfj8aDH2f26E6y9q8ruEdSM q+OUe6KirlF/rlI2IgaLM4Hx1303I5CKHG5AVMl39Gi8F5ZURP0pQKlLT4V/LZrBiyJ0iqBo4Dmj aFxSjyfsvQPnaZ+YhuajFFDG43+V6FjxgSwg5ZxtmiKUCh1Sz0+f3GTqQams8xu7SjaTKE1TVBxH 143bre47Ojis17lOWEDZmXMYLrK5AK8/X8CyAZRJHV1P0vSMwvFEHlkrVUSpauE4ZCM2axMn7ONb Rqndt6wDm9HgWtssReH4ICSzl+RYHtOf4SleV/dZQkFBuy7UNKwOoXtGT1rOvp4jUa/gBATgWepw gs8bko0feDvcDsLWCweIJ2kZolBrg1PL11IrYW0+HiHDvMXJDZpgeXB5ZDNCqTAePud2dgDd6A+P jOAjFgpA+bNZiqLxIZRKMgBd2o+32zr7eKD6TjtmoH+55BME7s7ZBBQ9Chu3LJ70xgEQOstgmPiL QdY176e1kbzjG0+0WvH8nyIhqlfRhuEup5C8iZOE2IEfd8GrV/6iM0KnNkV3AN2MxVQEDUu739Er KmfSoBNH1ZkJFLHsAgmtHa3SI+nh/qyiN452HRF0ctHgIKAKeFdVQfnuePyvGFMcxnWi8ZsErygS p61Sq617EjzL8rMpLxaeWDhbXu5dEVBFDiKwlCl39BjzhKvnD6KzMHB5vagq3rCuBpKOxubBepQK VghUYcjUu5SM+tV+Rj7MBdJOgPNZIXtz+hFOqiZ43mgi+TSFVfEu94zeb4g5vD7Xdr3Mn7as7rRC pIpc0Lf5HWx3d0L5cmaow1VuO+glZh1OWVwCLI9x4ZgLJ+JnxbKG7GuWrQFKAzrDz8ZWG7if1Oiz okhcNu6PkMo+q6l4XrdCHXGhw+q6gg79QapALN6FVKqdTitEqiwpGLXiBSjuAAaYJ/oFe7YJQweN FQnRIZhk8LNKfQKn89/ha34rzw2p03IQnmcV0vmp68zH/6qoEN0C5n2/2r0rZG+SlThSdfTFSG09 N53rho3BZvmJB1bM3jQH09zv6KAC+lIvEn8x9y5TgJQI8Ae6RN54gBC6FZfEG4WwbADnhSHhTrg3 pVIAZdooDqd2+kPSgLDPTLfytEI98Wn577IqQVrb3MhWHobDQP6bDHyxYvam5FNG63f0u66287tV kQ/Sycq3ykWDoooJxfBMcxFH80y9clCi47FUNz0nK4y8myzIym5TZXG0biY+4f8TPBHie25cn6oo EqfGYMu1DQc+qbUCI5ZE0RgVVJKHmCtUUpFy0ch93dF5GrUgqZ9UUjln70BDnMLiuNPbCaDLvJav hbJ4+J7fAD3ko9ugDHihRbGVsvA1I9xT+ScUPyfOWFdQdEWh+KJD7CQPEvN0kmYzrEFzZ0yr0IHg ZPMUhuLqMK1hcg3kqVsojlJEp6lxOrcZMRhYUqTS5oyjtRCoIvhF1oUXS6nIObFLgp14WhZCNzUs JP+Dx4OJShdJJ59mHI+3hbCiaJy6tm2wOc+9N542cOnXNAMWRjZRIWa8m9LxWnf0HHhuTFZnHo8i OZHq58+RfTD1IFCId5wKjmd80W/x0wkpsjjB3bxqJuQL2AZGjW6F1fHLMGeT72yU1a5W/QopnJzY 0q+BcNnWEIaUylVZA5febrywOr6sKi4xAo4Ov8AZhYYOzihQpyjlD5NX9JQlSwZEhvrABRrZ/KJA onabH3XzgTtvnhy8SCvzJBV4PMAV3CRY4obnyxFQ+0SFtXEcpJDzGp64UEpRYio7uTZPWcPgFyuW Gi+67tsz+oJCWTUnLqi6oT4jGxY4LuNVgRxRv0UxFKWhVxSQZ6FXparCQcXMBYnromiq4vFoQf2D K6Bu37rVpx1F5LSjPOFOvrp9c3qGB51iZ2sgiuOK2lFtXDdYht7RHR2Agb8HSQbRvvkcfpzUtGl6 BNkI2Ie7Dy5r4zuKyJs0sRop+Ry0ns7HSLD2pEALj//F/CFGCqWnkn057feAHMoOhFyI+8v0TuQD zNJa3THReS4D2O/f9VQy5SB89JrvAG51EKbSAENJcok4KR+Mu/HlFRVvv/HogLBD7LicoTYlMDiI Bjxw+HGFQJF9v0fk+DXz176DlzSNPWyeQuw4Aaw9Z2dOr0fyuOzLkKIwh+YpjshVc6qp3dFjTaht mgsCWnar6gwDKv4HotGYrPxYBQ+98W81unOKbx8MfwEaX1VZc+Pxv/ibrDRlynq3u+tCAucUMeoa IYgZrnPc6YoVfhpuhBDCVNQqTxKg1ejHU4Pj9JTkMYBjnWCNhEsQ7keQhobUl7WkeN3tKCjfXE4o 8fpgnB+Qr6nCt7GcIpxKu/o8mt4GnIzHTzsMyZngtXWVDTvt16kUkp3HAaTxNoufHfM3pRCS976j TVMH4JD6cyd1Oee1aa/iAjx3OiTJ29MKZo0CX/MrmkeC43VCUIRX+d4nxFPP/IQYeD6SMVpfpxNY ADPfPHiHwoZd1iPb6T9jmzpI2SY0zuYsRIE1TTFMRWCnqqoKR991Z7HCRZJKvlD97M6Sgsx3o1vU xYbR1gNfE8ROmbET2akaHPTUFJrXijM8NPrJ7Z9qwYkx15Vj3xFMhepErQER6dCw4bIzSRqjWEyd zn2cpVDX0M8m0zWsN2k5c7xg8bEpQ3fiJTdvrVAQG6CHSMVoNaV3TW/8K8kV4nU2qOQLCLpYUnnx 8b8wTyz54gWoDqBpCtVUMjkIzdSvWMnczpLy/xZU4OXTFOJUJKzGQNpHq2RW0McLmhEQe+qQ4jHj ViRVXSgMb9sVNsHwPZEJArvAjQVyDpqmRsojvvD89Hg8Ulir3zddK9KltmkKK+PEY45ikEL848g/ bvfT3AQBQAnxN3cciasZZSYI+ZognEB8IG6Ffj9AT2k38wTqjDmR4H/Vnriw8TXB0cSAqWqWqs8S lA5EwTmnXB14PMqAbzMKGxS371q3qLKjOLxhDWBP+DQtWWphmkZyAucGJsdWU4RRmVaa46q20TPg XE92Cm2Lxj03J6f0ZHQLfJJzQ+7m4WVJzH93KKQiwYtFghQH0Q8SZGqZqpU58Phftkj4ETOcz9hC 0jQJF/Q+T/M7673uUYSoiCTMRn+mW2vXR0UJi9nZianBURN1YqO0BwTrZWd3tlcRsJ7ogoIqxwkb 4dGy5Pmz7aUDuzYWisuqkl2lCQ5P7w2iYJGx6E6bH/GnZxsuxwKv+cdiM0UFcgEzpTHIZYVMWFgV sGm2YVUWaCc2XWFALqUQnBx3tET4XKkQ9M3U0EZbebNMnKigCILZWcWdx5RIigzI8U2/sRRL0qwl 1+jZuy7rJayZ+XyQurTbTEgsbHYohrRncYX2P7jVW13V5UKWYKws163qQDFyCWWJkELwuNKWSTti G322Gtz/NFsnjIJEVtFs4YAlcrXk7cZbENmyl/4VjCH2RgGEiz8M8EOTUrIoKy9+QABmfcQOlRCj 5Vqf2Qrr5ZuVul0N3wOGi5kBo01moF+AK6z5go8Kui9VuHCzT+RoW3FCqueETcTVbUJdaZsI3T1c AUAgYjtaridjhBRF513kFmBibdCpdbb5Mo7LGHz+T1wdNjCTxnTpZTlFATrTn6YjRoTFwg7oJhHP gIlguXhvgSW3YNqlPb7yM1qEfs7es+U256qA9sZuK1YW5rUAPwJHHS9IZXkmpQi+QjbmWVIsnhfv 6SFWINMTodLIfD7i6I/vhZWVygNv5NMV1s1JrT4/g3ZfPhlCGkYzowcNT/l59tDwY+v3wjny4nlH 7zFsHA3sxlI2xB2m5qQ4KYR6EMybeVJJadtLR2BE08XoPmi6sGL5pece0fORRfC3izJQJ+cF152r UGxF9q7JCuYZjdZkSwtQChMXG9XbMWSQx30zaBzvZ/RaJ9oksyf5l8NDU343DfjBcyPiR4Yqkx3x TD/5TUGQRfZUEmaag4ouDX3bpmpC4/MRHfZfLxcAAFftz6EVi65QEQrtPCln9bY9fmALQMpZQIj7 RozCdhFe4PH6jHZorQLlslHo0XlikV47xO13LbxVJisK99ACoEfvHKQ3rAwTCcjBJcYG4I3Mf89D fD5SQbycDkwtwrOFW8XnKgrdl6RX2r5C26KjS/fL/RI25F/cXjHSXinbqlNz39GCUph+NtpBQeH+ bEiVIDKV/CYgfntdrMaS31QKBcl5ZFFTwAauK6xHggVPVNoGnw/24D9KECD7nGm9An8AiP8GMW+9 ewGmluyWCbPzhqRAMj2INFVRHd3Kw7PufsfL9zxpQiMcCWpQ8FYTOBHZw0S3OJer1QrVCHvnqFJF tzcxrjkInbjO4a4QYuTJ5/9KmykuUolHuGd7aNpJVga8EgwqVeXqLNkjilBoqrprsOTAtfNcUE0i 0kVneyn3bIcscj/xe2cVpvQ+TQgQB8xPR+h8dmC7Bjj0Nc+BayeO5qly1fZBmXOpRTvw/CaTz0dJ 4fdUUS1hP8lO6NsJRge6fbc5A06gl/VWtnrVgvK6T1WEb0kGyDd4GUerMZw9A/niwqzwRH+zqPS+ QF1voMDxAjSVP0IUc+zbKSXpupcPmqkOZxdCOU8mzueD2P1aTBDLgHPiLPN7C8bOnZvg4LrdCpYy EpKJGoKYcVPsZmWGHFp3rmoJtNX2LmJqwcezwVGHWLcFISFJ2DWgNzqB0JhHn6vS7J2jRpZJ3Zvw vZifaOQC2sxzvQ4+HxlQ/QPoBMKlPr5vgXsnPGR5rNMKWBUZFnm5qgoNH0w8MvuxHtp3jiXBMZMZ aVdmBG1wVClPyAMMXuuQ09C5RtgElhh+ChceG81eOdp/FQw0IMBt4OkCSmPr1nevfD7Yf+sb1AmY 4ShP1B4aeK4pjai+bKZIIbcS32qmPQZdp+0zFfI/i6ox3RZVfwrGq+OsntRjO9F5IeqFgu4Tek34 bAhEWPWKHQV+UYBLoIYdEyYOyxZVqmDgclGNzeeDPvKt8gnXCU20cRmgOTDxRHlAnrDmuoyOll2G JwzVCY8NWPPqvgFj1yATaKv5jj5XgKEsYFqAo+rwLC52rE9YITMjfUxh+7R3jtB43HmN9QYOBloE QUzmuavw+aip9Y9vNUIUkLN8qkIeqNy95SfMZHBXd148+zJZ4ky4khLn0MhziSZLRpaPFixAE68y hD/HSJ/Dk0EEiz8b668mdEqt2qcSVujkKcHyqsCq3sAKZDQqYEL7r/P54Fwv/Wuq4F9ChzufqlCX BegL8GG2T1Uty6aqyqpDlW+0dzVVkXeQRBLPraawnaNNFWQgKC5N+Pm5EHUFQOiHI2qVHT6AZoVe q710tKyMfD18UHKTztGnaOGcZ3w+WFaXRsSJRa1ipGeuwnAdawBi8o5fLCm58sEmbVzEWcj42lzF pfat2NOWV32MT8FZGJP3XSpor0gRN2X65sEI9aeKmCZwUG/20pFjALNlqWlcVFsFFLloA61W+HyU 29wWl/5tyEw8np6hqScuSqym6pxQUF19YclbkAWZdfPA2NVTJb7zb847PkgqlI+9IANatthpCxdS AQmu9Jwf2tW0l476XFQD7Ln7YAsLeLakhbX5fJTd+NlOwKfacvsaMebQ29OIob34bHUQzI1svIYT Q08eb4yiHJp7LgNaU0TaRltaKxX0cEihPVEPrneWkRfUmTakyPdqT6+r2StHlh3Uck00XWLPhyCF 8+CqXBX95Dx8PpJO/M4EccPPcqnGObT3nMqZgacSOVTiRdT9AVOHuuZnXcEz12YqhKQPQdGbmQe0 ax6wTpAwl7iqZ+cNRMjchKCN/mx8o1RdJeHGrmAO7T3tFgQdxwaDfMKLgA2v3Cefj1jZN2RXKDah iHBD9tjec9BUKDmOGAzH7aUY6llRIglpvE9VGLKLVLVKSne0qTrbpKA5KPuAbXkltiBroijqAfFx UZ812UtHqlvCv9BfaCVsSPkLwr9BLL29Nj8gCtrH92xBc37DecBnK0TCsEGocJceAon6tZytRmAD y3wdlTibrVDLfEg8MavCwPFWGADGQA/+zBa8XWnwkwhGw3ciQpc6oN2E2146wC9waSVxjWBVr8oV gJ8EYZ5EIOtN/yQZ6dfE4f6srNhfCDuP1TbzF5IDONVwZ8sOhyGXmnF74PTp1GMAPNIdvXwMC+qE ph6cc1YedShuB7lB/yHPp9hOG4EcW31SXLkrdkCM/iNUFSygl5xj25r8gAjNfz1Rh4UN53LNd7Ki Wjt8rZFrJId7cIoNFNNacVAMYb+arBAVY2d7lSMVRwcPnX+u1AMD7wE/iBw/MlgXY7DzVa92sMQ5 c+j3qSrDwNVlg6oMaRtfDtU+Ph/RaP7Rb6EG1xM0hI6fNKMA8dWJ2rualw7wK/Uaw1DYXlMV6imK UXtumXZHz3EqJCyBO4Zk9wmxzEVmArJ29mYDEviKS61prxxgPjL1lWXMxEEF0QE14aWZ2nw+qsfc dNAoOD/0cPepCmmjRKTxrDB0DM0VlTrXMhwdM27pKjD99Piq0PDRxwssBr4BNU+Q2mlsyCIDPMJ/ iHwE588bONnmKsTH6AYs1HNp4GjJwvLkPiQwnx2Z9KIRBPtbAY8m5cDe+VSFCuc8rWYuPlUzm5HA 2YCJvUEqnKsg2vVRQcggIYnc6r6jTdUcE8RDui+sdKauCtgOLa0fal9DvcWCK5r88nuCW3CNf5jI zesx2B3EFmd06APjT7RRr1CCodXPLQhug8/Ve9iOMh924CYw16oM1xJtjmqgKxCti89VzB8VsH8I A8PRAcZQ54RWJ7cbyg1ZjcEyQYvdnJTC4mQ1fSt+UQTsZzNitKmh/ojxkUaWf20/FyKfj4rH/1h6 JJh95Vs8Dg1AodoEph8LMureTHOThXhtc2R/c3xajh1AzaxRUlIanUMKRG5fhBTBOQwlUXbDEH83 1PqoaGqgUCLWc2gBKnYktpsPOtZrW4V8NpXZAw/Qf2L2wh0IBtK8h1XoArrJ3j5/1zXedSv189fs mvyw2ssrooENaJZnH7SmarmjTRWk1xsOP8KMQT/SymsUomPMki9Py3yscuADiuXLSap0SOOg2tUJ UGsVuGhuPh/JK3pBZllidCLZK36TYytQlDjpt+zI9X2tYob7ogCo5ubgOfQCNZDeJPTPxgdRdFL5 ITWldM7wTIQIShFArePJAp0H66B2tbpCO9AhcIx0zwt9eSjyBhu/kaRF2TM/IJqtb0NnOkkDIPDs wphUyiSnrXajq3a1XdRO1d3UvYD1iyeo+ZvseUcP2xNSQHCYAZJJ8tpFkgMVJBgSoIYMCUkTn1J3 PnAFhXCbYfs0UIiXmd3J1boRtIH8CGxBpZ7+oNVwJcx82dw59gUdsuC9wiVT/RwwcJsj2iuUDrww GhiD3goWdWV89DJD3Sd/g7MCSDYzGzQkNQKhoMMMv6ZHguq+dKQZROZ7pXgJwlx12ZlF6SIbJU1+ QBS43xCL3UGklpSxty+OAnfkoFk5KDPC84/FgR81MVpPBMncElboD9qXaQsbam09ZBvYV8Dam2Vk SJtSWxisScQPZ8eclx8XNWoGBDlwCE3/lbXgSmw6czDYKAQGeMR31EYDi9Dvkrt4uAjar1FhDi1C yaNpQ7BskQDm/LkCla71smfpvrIikIw5pu1sBax8C1gLdKRdN2VMMuzDJXKdpjoa0KTJzJydcdPt pX8julU5PlfQs82xKSF/NhOdyQ8I0px/zJ7B7ifm0ycrit1p4g2viavm2c254WSiaSzrTySsPJus OHZXKznVekevYUGVoTWbrBNkDyktFShHnyAbuw9hnFex6ESfQ6vQSdhVBvrZBi0s9KDZ2EHnic// GZLi/VBCy+O2vUKvUOxWmjh5ZZRyZoZH3kMoZSifluVzFQbvdmQNY+SOh5ELX+MN5XMWseATKReQ hizwJA4QyCsM34W+UtE9dAvtCt/FXebAuWoZibk4Smvx+SAizTfOInUe5TYcOXe2wqq7NVR3s+oo slDD9Y2GeiAlFkp2ZaocOobalmpNRSyOmq0+oEs1eDsnmGrBLudno8o21RXBqbi885UF6wscQ5NL xbZ8B92F54xshrRloBUV3cejTvVDQcKf8YXcDj1DCfNsJyh1R6da57VcZ7zD3nPdF1EUmIZC/oO8 EgD37mhd+gqJwY64B558J1sthP4V5Do/IBn9dNYaJA9CE54ceIY6gxlHoIZiuIRzwG5GTsIzBKah YF5cM3EhIBFePW360DV0iBRfpgEaEDJ6uW9Wm0C4PHYP30PbUMkPw8Fp3dGwHw2Yq4HeHOhvDUZF or+BCzZo4wdzAUsKh0KswDZUyAkoUogHR8O8rHLfhGUks0L06UPjUDqf3/PqfOMP7XN8rqLwvbLY sMgAVrGhz2kJdK8O/tiVxXkm0IF1KML3bPWYfkfPCvG7ZdwPqPadhVvUI8Pl/mPWq+3i1FKxV45K 7uw5d3EFEX/KYwZiF0VaqKksfkBkdXF3YLWz/ST0z1xFsTtxGDjbnXxqRBxSl1K1DHptQG9tXYUl d2nIVwVaGj0tPE+hdYN1NdHTkSUN4nucEggExxVmBHRMrxx1vRCMrs7IgcOleDVuwZoBvwrtQ3Xe PlOF5Zmv4WMODESthgVasGt9Fkr8S8CDcmI42AG59mvwFwdRi0HnM1rkfmL3DrcDAraBuynyJ0Dd 6WfRnhb1UNcYUps+tBAVzWRmGvVxENHrXK8U3pHpYw49ROfFP/I55Krja1XFJFQWG9pyzarSDNJQ ceC4oN6+Jk858BC9kgtT8CuN3qY/ufNAestGDproSW0vVnkyOsGF+jmOlNn20gHbi1C1nFh0oHEY 48/zt5yVze2IPIMf8H62r9v2aiIwnYW11o0YAivRLJA1DA2uKNMy215oN2e3BylsBGthRYH7KNYk bPuOPlvnlkNtYXC2RhnqvUL2EwZE+3wmpOHvLqS0Xg7sRNUEZu+5q/e8TfIEjHIGpj2j2hD6iZYL VyM0jdiT/dgd59BS1G7CPe5sUc2eaY4hthlM1+rxVeApisPPgGpt39FOLISmDXbQYjhDpUKne4N2 CXxuBO3TXG1/5V/7XstcMK6vw0IJUfV3sJcCV1H0+r4TwgwLtf14HH5ew6uGn2hqF867C7swRmcX UhFKOQ4+nuvqNbhq0BVQd2LWfEebp3ZSxVXTFi3uXNhDqSP60SysoOZ9lYlpC/0aWjUXcB6SZRpX lgloE0YaXJ6f18CqGYrqhxJoDEFhCk0sAy+TaIZWF1k+Ga+kE0ig8sJtOG9YgdnOC2bICu1bMZVG m6GTejRYNBLxsdkkSurfoARsbdzk1hdLh9TrFJ3ok/X1MaQlOyypYVST5PN0Av7PazjV1Nd/pgiC OzNRdwFT9BpMtcwaLECh1asKABE6A252t72gxhun6DWUwiKysEDy+hotQk/wNobRI50KVkeUbuHB eT0E07vdqHMSx/4aSJ3XLYTEQHPdB5WMz+lXeOHBrvbzGkU1+dpqhrKO/glB4mlT9BpDYRV1KQjM 7hB2qmqzZbrVEkRsflIim6LXCKqhgqPCejdhiu7CFAvCL9Ai7pO6qOc090Y84Ad0f4MUnU8RcGKf 1/ipSeYNKlWdjS3wtgXIgESISVuvc3S/hk/+13JGuGTOH91kj4kpeo2d8BCAiS4SxykSC4LU7zyK oxXgMCLv3mAVDaFf5jIw6Lpg0BOXnCBpgdDTzwsh+lXNMyWW8yGgU1GHtJ1GBZrPa+DUJOw+AWbq PqjUgkJzVw2vts9r2ISnDYSmOSIStEzfaa9BE7bnlK5QdX7NdH+Q89MICMPKNmNA2qkFczS1NKas sjV6M7nT9Q+SBvDkOUkxEetgdlFW6OzyRviFeqRJVqvBHK2mcsEzCFQFpRle3+euK5/XaAlLovqB zSId7O2B+bJJeo2VcMyTXXaCfjekbfsK7oNa5fCEW7d7jZQwSV3ez/+rGbumatwkL6ezbiYJFXAP hUVYQyAK5qJvtqT3DTYbURx7kOe9B7Io9o4biARM7ECc+7xGSe1BqtuBBLb+xOGiSXoNkXBmV1mH FlfWrZmQKkrGdnclQKfDAsrXAAlntnUWxsx39AAJZc2KtK1DNO9EfQonC7CNuaDNVlnftOCbfPjX AAk/BhsLTXoU7ap2FKheJP/Cz2t4hLfs3SZJ84nq0/RD+7Wo2Sz2Q7/4to7H8t1WZ7mQIG5lisIF h3aSZ+EyQND6AgSdoHYC/FPklzIcl1eItkYp6myRdnHEi9YyrwVNzBG6CqC7Fg1JRZGTmlSJU0H2 8fNazmwmasspoSoDzA43HQA4Sa+1zOZMUqgo2yTVbbgpdCuzT1KTygIUO6L4SDoUSy1OjZaXpD36 ObcnS2fnlCQAj21QFFIrS5l59AvHo+nVayETP8ZiXS65V3YTGO8EynQcY58uf17LmO3R1FWABJl/ 4QI9iowC7SFALIM+03/JxdeSlpXW0nC6XxRpW5Nqr1rueE+lAcHBTiPMPuAfmqylB5w163IIml0B xtic0WqSoC40pmzgjjvzmyv7xlSEeyf7YctNX068f0EZGrPeiYribWg9nYOpLt39qKY4LxJM9StZ KQYbyRZhwK1QaZjn3Og3dTtr6XwFDEfQ3wF+ikW8JJRy1h3XrksRXb4+72S/5sjhUaQhX5b3EfYJ NigRCMbw553rh2PmRpTFtHLAYu3bZyoKu4kXOF9y1c52qqZZCdfhaj31zhxP2LJgpvY2e+yR7ugt l7ngIknlpQ115q70pVD4tdhhdQOmIdhwMFG9SRQu30HAfej1K2I6993nnejXHkJI+ZG/KHTEsp9P 7zw/TG8lPIPIGU7TaumWeld1W5nWk++8KPbuRV28XsYdLxK9NMqCcef1Bml/zAxPsQWJY5g/3ZtO uM736BsBLBnJRT4gZXoKd5ZRlz1wAQQ2jL7H8I33Y24pq3nQ9E7yayY02U507xnKKpflDqUWP6A2 7nlVeaNpogNKYT7ro/dZOhxSqJG3IfJUlxnZF0q4n1BCPtnqs0wVLn8NCOaglfiEwHEVppPfKe28 hFpcME2iSPG6w0meCem8ue47wQ9/HTO5MosHBUb8GNTan25xcS5zk9R95/c1L8QVGCzd0VI5gFoQ /zHbhVH9klVP3ZT4w6kORKqd44NuTu/0Pu7uDbooZc80CKV4stZOOY2zxsrnnd3XIMXYv5YTnXXn LHeewii8Sjv+6Z6LiUxD43WdesFAsHmKwnDjQVY4hvvoDZazrc/VxEYKKMe7SUq9Ej1C+lprXjgp WaoJURwOl4dzLE26FRXnxUFClTY6vO/2553a10zqSPO0FFBAyuue4lEgDjBgPvFxemqVt2Ugwpnm CXYzmqcoEjdhOIpe++iBAQgtJ1Pj8QSRAxkXZnK4F7FsmESXAZhdb/w+T7bjCq0uJl0lue/Orbqb sJmdjwdh5o0LGGxBjXEQ8sppeo/FIWaVJFjiEvJDeuikXjmFFE2oZaah76S+5iJLpW1JAHC05TRp A4H1BhQnzvFppQSgpGjQB1DWbUJ1vfH7NE1KwgHt6YMhE8+/y4YU4vrPO6OvPZ0CO55w93UpAHCe onC8E+5ap6tRNehf2XIaw0vfkIVwhE8Yj+sYb0MQfY52jI90cqJmDjxn/unaC7QrciqqIWaC4rxN MPXG0TydCWrQF/BBx1OCk4YwmUBhRAG5kM3PbdcI5feKZRSOC4EBLbU7TW4FAk9XgyYuRE9WsgzD cTUITuj1jF74hvRmgzsoaI9nQw4Jo+Pqg4w1WNrp2tLvYm8cnOJUzTv/N31Q7NRxrIn0WPLnncfX Hn1mTRPVvgFpsGmKgvHM1q/UQ+VKn113GOBzi51QUDNts3cWXzOLGsRMArsu/bSC1hVo+kxmLZ2t NDqmoInAFLiwjlLu6cTK5TuNz9O7wlr3BB0FPuCySinw9iFu9UTE7fNO5MM9kD3Fa0UVlQoe4V1T UTw+Jfrdq5dUFrFlspfp82rIg8ugyXqPxxHZSxJ91HxHm6x0rjyEc5V0djgYqoKZCdlo+E3OuZKu hnyxV45SPNZ50xAQCpAWxZfnF6EsGlwJ1uedxYcXne3rkCoFRvDPRIUR+WIfZYyrIS/zORbo5nJj vgmSGc/ydwofk0XjhqZntM3XESuRanpWFcEJi4J5EKbO9Eof+E1ceYN6Lu8EviZrStTmiNrkoNDg ZFxNAsRz1s87f+9/6uGoRS0YR9g0RRE5/ThgreD5XVnmhwnX4G7rabFuxoj8nb2HM0pQ6Y2wy8eb 350Tqk9J7SMErVVS+/NEjYu5E1AAVuyV+9U7eY/Lgd3LLgxwdwPDc71v6ZO0c85+3ql7uJlNDPWs tm6H1KRUguYpLIqjcNRzf0zCmuEvgJ13fMpuQtMlflIwT0Mt8Wo8WtLaLMGDl3pF4e4sp35upyRL gkSlUKCuALL8OsyXXjkIoZJaB7Bo1MCJmj1XhjVgLazPO2+Pyz5933nA0rVyt917RA69DdpfpXor ddNcs/G7Zz+fYNht8/QekSPIcE3dckePNKFaiYuTnKGBkgQrK4tHRYaoWemQLHXn9aVXfj+fFnE8 LanomxwJit7N1Kl+9uLnnbXHv7h8HU/YcudY8xDqnbPXTDn4zNPlKszpvbpNUwo/x5OhB94pe4yU JcjVjN3YnN2I8tU6dwok4wGQP2FGE9y14C0XRbXBrvAMrwy9cTBNFORqWYw9VK9GtwCzMPz7QVz7 eWfscT19p3ioBHSYu9k8heXxTU5HvrgwKBjavhvt0osRtdv59B6SQ75FalwU5bXR4YaALZ9fhBT/ k1UvM6tFRPUzGFDBJNwJs5jgzztfr8kRdtalDG89GR5waCqM7MzXDJbTujFUE46Txae78aKYvBKy nltyAcFZx23+1pa8RC6VSkzUe0yezQ2kjKGSAUebqFVAAkTL6uQuZZm3yAmioFzWKRk1vWmXF8G+ 71Q9rie261Su45BscuyGhW72552ph1B1lu9Ys0O77EYF70Q9hF3yuGBtTF5FyazWy57eOIfKm6MI 3nl6DMm5nMw9VaNlLiAUn6N7Jertn5ttZkklJDisAum4WaaTcNm0F45wBE1MxuyDEryEUJ6H0/mH zztL7992C/PgM0E9+6Z75+g1V1gUrsPW0nbeWdXcNYlpWn73TtHjLBFsATvVO2qWIAHKkhNmCaTG bnqVZVFaXkDX8+t3MYOyvfFv0zQKWVQcVKZDM0BSeOes/bwT9JoJ59/FVHixJI8J3ul5TbLsKP3U bu2WtpPDxtn019nUkqsrvrPzeDZJ023KxJCjn00nCT5bYmbJ7deyjeYh9Wnk//kKlo029MJRetdR zYbngQ3KgneZrMPyN/28E/OYMXyf4HSfHLfm+87KwyzJ+nkbDx3SNk05XZEATTIVWCmk85Oi2pOK BVN8T46+5eBFMdDIRLEgVzjDsVhAQDME6mVxIc7GpPDIOyWPCQu7wML3Yu0rVDyJNriFvOXPHfp5 Z+RhmlP92nQAxXckaTZPUSTOnAEUCl9NmxbVXE2j8ywvtMQcFom/8/HwOzECL5PQextt06UTOCEB xg4bsARZzdQEF/Wqz0+QL/QSJCa+8G+tu5EIceKgA/yErlJQhtLl552Kh7hl5++jCVCQlu8svcfh 6LHzaMrLV9Nkqq5GSxomE4iYz/dcVBlPct+pLT+j7blzFwBTJuW7MeCuIE7Z+ZdO1MNU4Gq/ZkqU ft5ZeLhOlxottKHloKNpQ46+K9Lka0aLaX6ldRCM733faYrCcBgKYqcNd6HtPbu/agKZwOApIHrq BI8K4wI6ldy1UHK/Xk4bdrH4G7og4mtJpTlNVOhAVzzfBuSbkzsJKXxn4DVXvduTOiMcVHua5xvE IF74Od/DcJlpfoWXHcx9yrJwnqIwvDIeKNmEAPGPozhChXmDECoXxPvOvntuumxCirlL/5klOtii sZ3dwT2oQy3Qk2mgPj0LRZiqVwkEeH7n3rEDwM5BJQKzMJHm4YRutRTvYHfweefeWXj6zNNC0gNX AZunKAxvlBjpMzvciceplZ3od2frydO6d+Yds1JecVmpiEZvmJc68YcRWZBBRWcPNI1MlQH0KQr6 TG5tgYri551415JRDmolUQqwsB9hwQDJzlNeDZnPBxtv34niv7wEB/aZiuJwgt6gg3V51dtbUotN EIHoKzaxZiqqjZu5TDbF5fyluNx6SaKcANBz/kWpyCcolUHBH1ruiCHc+Yr6Bu+su+b2Mr2yl8DB TqgTVVEKAnP2eSfd4T1z/d555/46R6bHmO+cO4YFdixJWAtn+ugm3sY+kTS2cJmfeQISM8aqyCc7 zXpHKxSs889pwjUBEhCwBiF74/xX+IKSBi5XnlBL0rhLr/w+T5W0xD67DYLBQ6J6EHzHa/7zTrn7 t3f3wzOVTSlfUO+MO0OAnv2GRnVmME4SHKTzC2qPaABnvAQSmYoj6p1wxwtb1mC7zjvaRI2zIKGF RIJwQehPVZs0UYzLGSq+BYKRDqCnwNY74c6An2DaFTlBF5MiO/nEoqjvuenT+rzT7Zq8ML5KdLg+ Rr2gnne6HVbUGio9JbMbYCuK/jvnr0OZX1rLjc1grKioNC5JnxNiS3Gao5fG0c/MUDxAceBEHG0J ncKCfoXHI9RSn+yu65Wjw5wM6s7Kb2YFVX8wNGK5os6S+ryT7dpj9KguJ57o/Z5Q71Q7HGxMW/qe Lokr9XqSDaaUtRbnaRgp6p1p16SLwh1X2h3thNqkRKGYBrZBP0d404Kq7LcQrNKHSwFm6hF83ql2 OFR56bXJg4qDwE/zRP4sHbVzBn7emXZ8/J/23QQno3vi8s6zI1GAeritPlnw9VftNTuartA8gOsp LI1bl3MqIOfoFRU4YrKyi4oKOrBaex0QkAn1s7zrzYJVUnln2TWJYqFKUIsPVixIIt/8gDTxeSfZ sQHh08SuSpYVYr6Y+qg2TiehlvOy4IBSY3blbaI/DK3ihMR3kh0XNI/yatpaakv4RGXAEBuLKhBP HEKr9Ea73AUq0g3JscT5NdE8scUi97k9b9e8n/tdFuEn9v+88+vaY9dbpGyDiO8E2nc9hWCVRvmC Xm5Mnk3JAOiCti3WHLtZTP7OrntAhyONfkfvmkM3DQUEoQuge6SzDNt9oGSEHp5H5FpOUWF8sITZ qqTza3V3vtSA4fox0uY7s65JUuNJ8KDGO3PyzOWdVkeoryybukPEBuUUVXtygS3EBdUizXdW3dM0 H1MYDI5eLkBBJYMdemYJLDrmAcarRgXBNF3VtsNu/byT6pigkdoKVQobtJjgLlZMrXt+3jl1hBZ8 t1mQbY3e7l0XxuNLbZbh2mzTG+UQRWlWLDhzTsWCzk+K2sCsup2Tod/RtlyfkFNuZciEFjRa87GH UAACODY3Db4KTAW+JlhLbBvMQio+B87SSSCrpPLrWbV4PIgxh68lJYX7vF/35mYLY3E2o0y1h6Wn bCldWUXqrnRXne6+0KKaOEtf50DKCqGq+tZJtqHD7gMcTA2G31JlA9Suo/mSx75qm3aChzgVwejw QjbYlitdNcF2fg48HqEKvuuYWMcz3YCgR6E4BU5am1cUsabumg5LHSrJXwyTcO3vobj1DM9Wa6qt cLTKU2m4/GEAhW7LOV+3zngq2/SJdtK4qR0RzfiaiKEpbxieT9VbLCccO2uUWwaVWDwekFn2NzgM ZvMjJ4f49igOp/8GnWEdXL/uLAHXb7O0u6ts9gimMiTmMIv0bqeszzlLaBGgjrxI96Vrr5I/9DSb JI7IjVapgCIhPSqKV8vr9vBB03SS6MQbHggfPB6Ve28CLB752eyt9Esfi8vislftXiuYq/o91wne VvdgFzvBe1QWd/7haM/otYKRSf1hraC2c2ZKGh8gb3ik4Q6t9WZ2ksrqURw+O1GZEFvFgPTZ4JWT cp3441vB8wHw6cINBf1BYPGQEXtI2DQS2cUbZhZOjXDvHpiLYiO0k+tRJD5NSsb8etOXX2+CSh39 4lArOPFAZRSaKImV6a8JqTEv+koOuEeReGFMuKB8zSEpYyHCUboz58TD438SW/EY7AD7uFsvYm0O ZixJlpeMNQHHEN4Q4BVFTpOWj8xYehiJW61gmsrm3PccH+gAbySRJP+eWFznOPWtNgHKUuNWJM7r rke1cTXLB5UezzCS6ahAYXiyBXD+t4nno/vOUSoqPqFjM+tdUGEkPmSO3asDWPt2AOssy0nSAz+y FlTM3dRFV7WwONpEZUglZWNrDGhJiZ1XYL4OuVPwafY9o2rWGwelApUzC0srOOZ+uipOrZ8bCRsH vwqej3be+vm3J4XnfJ7C8vgUHho4wf+w006jJpYKzmmyZNWLA6aoVNDj8rjU/FJfd/RSQYVBCFWJ zyWczv06Co0JE2nAuu2+NEin3vi32hNc7XjlZUpN8ojKNUuE9IRSBR/wF69FMtwdbG3PWfp7NI6c pfCEwl1tOQv+GxSf9vlTWcIk9w9IbO68KBrfgp7spvYlR4eH5XP2wG6WvJbd+qpyz8E9CI1IJKTb s5ZCY1d8UTRT3HpNVnvtqh7u0iW+j6YqHo9qBR6PM8M5C4+Jg81ThBzvbEulYVIN5w8C8FVia52e kFS1xYlpCyqCqeiOh1Jas5ELm9z7c42BoZgJy0yjNslDUggzcwmjR3Lbd/hHfFGEo+MRXklI4GCs 6bUlFQlOPh6PUJnrK4jK6DmOcWsFPQzJ1UggK1BKFyrSMcidU8XgM00o+1YGB1F5fBksU+mdRqfo osO55frc0LvZopBBQBKbvbHudF2fB/muPQKqdMZP54q7g5GCYf/Dw+rc43g84pd7GEUyJ8q0sDt3 fnkUlIPIAhXN28EbYzeLos4fZ/jVE0Q7MHNE9XHD6HSqvttoeTASvvNHZIILFnBhwthPnDMDhGGa uYjZkniSjygoz43iMk2AlTa1veuJyFqnbCckq/B4EJQ/Nx4BPfQJuMHmiKLytkV5ra4tM4nrkrdQ 684Ayr0bHnqE1XFvSPVndJgvfZ3V6AT4vi2pP4C187OZwZ+1fzXWML/4nojIqUyYpV8KfgmMeRLG mnhj4dDC8xGAdX5nLyBczdtFGCFuPFGkgLxRzdPe3pYq1JFnZIBetM1TVBzPYkitrPxtSZN1MHsB 2iZhk6Gscv7E0h1z1xFkkr523RTO8TTwNQF6lQ1Odvc5dCMADQi8sodw/g+P/9ls0ZkPiH73wGCE YJXBS25fOHR3kkvBD+tw6BMd+q6LInKH9FRNV6uERAxGmugtk499dh04xtMd5cC/maw+PXEBKkL4 moigyBpdXndQweD8rZIkaZA2HGFl/IpfMMkZSIUvCGNE8Tg0stiHuuIXsK6xgm9y8Oq5152EMKJ4 XCb0J7xcz+g0TqzWVrt8OCa49JJwpxEQrzwQFY0UTPQsvifYdFQqWouQ6AX4v8hNa5O8xDJAyXg+ Wk77K8EDS4lGpzZPUTg+hDbUTiPtTm1h0hNzH7bp4Pdi8xSF4zJdAr283dEvu15A86fcTILK05IQ RENJeQC3jTjP2fhULRghZJz5Sm/0BuCgPstISPAYl4+Jx//C1pPScsaBpWKzFELG5bbkNgDnH/PN 7uCxZHXxuptldyOCjMO6lGCm3e/oZFdoX1bp1KJ1nrbJb6Mw2Qn1KrdrBxdqvnCwmOBdAhbZ1NBk d0YAOlcIc+ARRuL/AJ8gCtBxytksRXVx9hdboQyJkKu1VNtznYUgIVXwG2gtRZG4jKxLlbg4RgYm 2HPnekAjM7Frh9+kEXx/QvAzs4g3ITtzZwm7CF8ThE3E089B08GJ1Dkbii7tTjGVUVLH8xGf5TsQ 7+clR362XBSIq1Qw5B0rPe1siwk16st0LRQfx2IKA3FJRO+S8x0tYYHOHHCYU1IhDYo/SljMmxf8 qJrupmN8OcJAHLJMxIdjKFLmxkydt5xq7UAhAR8QFAtuP4rvh2U5r+TcCCNxFp9mNRW1LF94Kz61 Ip0eGgAYQWqExfFsuv9yHeaomYJVV+k8sRGJw75UOk8NpbaMaaWNxjVL0CkeVsfpgQNtFg74Cakd mqE/SoeGcaIxPB9M1MxfSwqE4NVvTDDD8niTC+ManrKIqkFxvmyqT3VRNIpFlRnrF2p5SHVc48N2 rdCGY0wARRyZVp1wB7VELAjcXd63Iyl4hjgVWbsMJsLT4fu1VcrPMSYoFY8Hs3TvusWlB6LHJWrM KBBHLoUCJlspQLImSqOhpLLkvMUaHdjPyoBnyOLU6V067zGN3mtJ2neEqZygP1dJ42yi7jKx+DJE NZzv0iv/KvQ4hMwcjsxsJ25pEno8vwMe/+uAYuzU2nfCMkNBFbxpL8L24oDa9QqHgQVrB9RoHojP mMCpBorh5jnaAbVRfSbvtwP/ewIeeaGe/QeNVbimngv90cOktsP8vTrONNkGVQogusm/8+TaFY9H 8zS+jicsJVR8fJ6iSDyLmDivTxAiQCeSydRMBKltXYQZ18bNTtCIZO7fQzXDhAipqOmLMrlIsZLA QGC4HyVa2A3xa6LDSVZmVcLs1SrjENyTxQd+Cjwe8VkuztfAF/kHG8fnKYrFsdmho+LRU9fpYOCL ducJAAXNUyhpKFhYrtp/HB3pe7YaqXyMC6CWTqBGAl7oZ4NRgnL5FYMe9sbBtmPbri91p5wRcn5S GK6z6FZ6xeNBj7N98+2oPINj0aYproxTf66Y2ixAT8tuu3PCGfX8vP/eBnqaEUbFWwjQn/bRQ/GT /JQpetQeUBvXId5gSDkxv6jyevhEP88ZheKVl9wUPWo6PeoszFTkZNbmGHg8CDIvzJekS/7/la72 44xAKsSgAeibL0ilX+B4bR5mrrJNAmNGlXET6y0ubkxLBc3TyU5PVFB5PJ0zabQsmTEQ838GNAv2 vOxynpT4mt96wV0s185DTXUCaN7xwCmDb/lXYseVA43VfFnTMwrGsZnAKN8O8h2pe3Eu73VnCVVr bbpQ2VDAgpIluVpkOa6UpWaE9kqAOwys5SXbcLsNeLqCuXrbB1svHLTtVB+oxKpwMG752ltH+Enc 8fhfyDBeiwkGCPkeTRFIZTIWt76TSRs6x7Wlyy2HRanNUhSLjyxor/GjyuVHATTeISowSNkq9N2U LSX0Jan/WWYZT0086Y0DJHRidzOTALRYWpUkbap7s4CDmAPPR6vJI3G54LCueucppG7ye0B8t3kq NP6yotNwHhnEUGye/pBT6fNrtADzBN7nAEKDAvgL/NxbnSvW4Rp7weOh/vgb/xY57S2pgm1SBagk 5i5nqZP04PEgwrxSBVnx6Fn0xDJpolYUibMji3qN18TnbM2LmGM7gq5sJ+GvCKhi3Nay0zM6+SdB GBDzAOtAynkwvRnlROskHjVS8NejVbBCoArli05UuHzQPE2AF2j60GrF43+pGMkHTswPn6UoEu/0 +u677xthJldd7fQ7Ec4QB6tmKQSqdIsIjAP0Zci8sT+QyGOWJuTWOJOZyCj4BOO+u6AnkAs/K6yI V+F4eJVXFEGHzvCzNgd3Td+1fFYUiOdyi5jsbVLmrfohvqJIXHndbOtKOoz2hVMxjhQQEXY8rRCn ouplK4bzNQNNZiwnsTu3/y7Uy6YesGQfgIRAs+JnlfoETuunfVZYEidMfOWVfBCeB7ySLTzm4uMR 8yd9H05Q4wATzGYpisOZWZ377VpojDTvVUe0lSAFwwsqK0SLd3M2/Ro9INikMk0pHbM5IpcDJBsD Lz5avwEBIXQrZm/yUKrcLRvAaYl6oDC9yVLtAwK1IUSlfxcJMqzgZrqFpxUqi68mAE+xKgG6aaoS nF94G6p+co2xSrBi+qY8fhrZTxq9EdXyWQTgE3c6B54fg6j6VRmUolST6TTiWJ6pVw4qdDS7awbz PUfST2PE1ODL0ljEyVDIwidE9903nIcQ3128VLCiWDwRAJGl3kDYk7RU4MqS1KGalObJZqa4Qi0V 863Z4xkdfdFPapcX0RcdycxgpJVEpKkI3dDudH/AtO2VIzwP8sHSeeFxEKgA+WO3nIXvGaBU1j/g i/OfBso5Nk8hYDzpgDKzDMjP1GU5y15reoWukNCCeQpjcXlUp2b2Ue2xj0I+MaA/SJXaXZJY1okl VLhqZpwwTtQoWRdeqKUiZGaXjxtdqxhcQmDLZPtSw+ORXIFnLWyJnvW0ylWBXCGJs8rUO23beoNl CfWAiaOQe8ak7yvmKYSMq71CAXcffZ5mgtIJVSAriOUquyS25U9OjCwHsioOUkGGhS/6VcRoM97s 6MrItWZRaPCHklgVjwdH+W5f6wk4qdXWVRqPQeNsIawLzKzFrH6gpbKu1lN1OfYV18Z1iS215Dja voNXxs4UmQDFrMDLDfMEbSSYLkPpAkA+dYEL4cX4ogg2XpT+Jh+47xDHbmXB58fG4xGowPedaioL iXC/B1TM4RzYbSrMyc67XC2VsV2cB2mHabL/pTfey76jLSi4hE1o8lC4/sRWRTrafdKXBSdOVrdF MRTloVcUkWfpijaypzkYmmeX7tFUxePRgvrmcFK5j85xnKcdxePD4Ia3cwcxXEtcVi7XtC27TO0O OZzqRaXxNfqddzYa1CG4oNrJcIRxzQkEXwocwjbHLXFZGd9RPA5oMoh2pfug5XQuSmUi50RfePxP 4o9Qr2AelSte/x6Qwytiq9PiWk81Gd8cmUq6Wk+QTpd6fSinInjOSkpJOHrt6QSUJ5wcma4jnZqN hPlCATsjJmXr7d539EHYIXRcFLJNTj4HI+Of1JgROWXZ93tEDlTkjcgZL6G9ibKrTVSIHB/SX72e 1ItEAO672q3zWReEkW2i4ojcOMG53fHWfOEesGVJDbGt5NbwAxC2Sv+R63ZOm2N8T1Sls2Bz+mDw i2TikydY2Hg8gthfpisPKN7CywsGO2RwyjOiJBdZ27h57CB36TUU6ZbJaO8QpZJNXK2kO97Cypg4 qSszvE6Q5o/L+W7AAUAQvy0p3nc7CsqRjgG+mpsPOp6gtEFAHU5APB7JQ/s8MVbaFMr11GWHsPHG AiYlr81TcuvqK9h23eoqc00z+9kxgVPTtCXry/FiwzrmiSr/EKctWSKkI0P98RwyP+3pBC9wcPA1 QfRETXbiauiIhN+TcRAgVOy8jXNG4PlIUuXSf9hwoI53u8sp1DYcjJ9SumQyYrpk+FOWzCTMEFPz FMNUBLwgB9tG33YwLYaOLOpP5+5eU8hpeDz9TASk6Fm7YEG3F45MkXgs9bp9sF0HlAhnrOIYDx1/ Lm06898GLGA/tfEdAVUgbY31tJ0Q3IlQ5zwlqlHIX+s5x0Ntw+3ahvWOPk9QnQbwRdtuLVpRAQEF ZcFEdgtabctqE01vHBFdoQ+egJi2QWXfc+ZJr+ckXnw8SO+uoY2UxjLKzneawnCcneBCkz0up/O+ tu3WqD15OZN1Kk5TjFThNNUt5CpHK2eS6VORpIKbOM/C0ymO9fDTCb5Ot+xbaP2M7wmizCyJnpR8 0DSBMNMl0dMGHg+ylhtlionYgHu6Zd8dheODChjDTZPxj3c5VToccdsl2uWhYrBjzLiQPEkdPI5e zQTLHtEycU8n0CQmDVkwwP9Iwb/qTwD78muiygqJwGjm26BtV/tIqvrWOvB4xP351vGF7MZaV0R7 R7F4I2t6leqeNmtvP5zAZLNZ6mSrcTVFMBXjAb+sprPR0OyFNCI4nPAwl+DT5MQBUbOby4kiONUL /66mQmAmB5UK6FPAmu9JuD87qo33fyLxTNuXdvubQgbFsdOg7JCS4C+USmV9ZbvGCetP+KhfFWrn nOOOhudplChIqqpkmLny38G/BKoS0rUKxCtUHmj+s+2lA+c2onvrlkYPosbKpKzhE+WADNXkzY+I EKzfBKBRzGrvzleocZhklkjxhkoKEJqQwKtMehwLr7IBKLP5iqNyUVpyqnd0pFhhJIDQN8E9b0yi ys7cMYnAt5fOc0o0RUbl+Kbf5P5xK/ugpvAesno8a3lmPh8kMM0DBNU2O1RDLl2KtcsYnACL+uVY MSfgwShjW8XuJMTLfZRTCB+X2wHrsz7aZEEiGiDtRajESfqy8YBo1Unsasn70jY2Swf4pl9FQzLn rJHhyxTvLDHe9qTVLX5AEE5d8xaVRaFDs9rjwhWVzNlc6qWY/wi04lx6/CTVFqmfn75eV5IUOnGq WzB72nf0rXjeZYxBrCYUitGS4dpn9YJOe6zDecIng4QURejoYaBykJsPOrY6ArSiosvg81HZ/LvE CQ+tmS6/jGWBoJOYJPdQbGWNVpcdWxSP08pKKK/byorq5iNJ3qgLU87Ri1Id8N/J5LjC+VbuwGcb ItUuODTLogGeDK5lnZQiBMsgx6UOqo1y0Fydk0/6DyeXzHw+SGfKNwL4HPGImonWstkKK+dN5fKk kz0DXlsNZLdEPGc5o9GhmwZvYencDMu2oX32F9rnbMPzmzMZLfgDSW9GBE90FHBQGSmcLJRKStte OqolEMKyWKHiYCsrUwCPFAU9H1iX1f11aMHxcu3yHPBh9ZyUPFZsdSHCzcVW1qjDPJUXDaHsgI/C 9ak+aCLsw0ZfWQuiAzjC6f0O0weVhVlVKCRqwgfADnjoLeqbfvNKKImQRA6qu6wCgS/VExqfDwov j2jd5NI6K3sBeeOzFQXtReqjFDOneBYkjG22MrloUjfIvfs+DIvoggFP08Hg6GfWBO+lsjjcwDsC gQ5nFgKFc6sgNt3lnlmlNnvpYGURzlLg5sfB5bMAcSF5BRVDPv8XMpFtmYqV9ZhzpRDXosB9dMdr nF/ZPfFqXd0bx6hZms1ipL5i7CBWI3y0mLTDwTSXLXXNigKw7LnQeKD13t7X/mbJdSqFouRs9Y0q tFw1wQmYWg66s56gtA0+H+zB9s9VqEU++3O+h6X0Jcm65oQqGoeY02IeXoNp6zotprCWbp6URcJP HO8uBM4yU8C9FFCYxKhKYIRM5vvlCrZK7oxfFBSrDD8976DJApqIZ/0J5ief/6srymr/gKkOwD02 V6F9J3C29AvyOnFKFwdUswtnLIj6uytliG9RIwsakXf0dUUtQdDrgE3KUlclx+NEdwOhc8YEuBEO Xc5z4N8pyg16yDJPcED7iXfOEUuAQktj8vnowPp2oCIEZD9hQ2jgKTbMWE+HJl0f7yKzysrjat+p ikAuKZmQu6BAvV4o0JlyOFGjAgbwRj2rXgJuCfdr65yldqX+iFPMvxh4Mhwd9Q6aqZmnFCuR5PL5 IHZ/olFGYxC8n9f5LTDwxGLGaa52vop7vIGpFAVm7o+Uoipc71llyKGDp2lF12XKyeuq+yRinE8E w0XVgKMV3Z+hQ8cVC+e8O1Wl2Tv/QrCqXU4KXVaTKDTA1G/KFKEOPh/l0NcKR0E64J2IhX2yosL6 WvJZWT5ZQ0E8l9WcroS0swtG5dDHc0i7hthvHzVZcKfcixDzxjbZfqyYGuCq7Qc5mauPjWav/Isw RMsINGzg8TKh6CkHuAUD3cDI8xuagCch9Hly6OsAFzp58qr2RpYcELOrIQ22PqQZhR/AZirkgGZD T5V1R1tW6/zGCeUlbsAN4hBnasAWjp2oPNtVv++j2ztHRi8E9Z+IRIMvq5MpUPsKOh2bzwfd5PlN jEHuDujynapIspwqotwjXsHqdhvCPps6d9yBLd8d+DveBbzqdUc/1tNCy4/19XNMnYBBvDUqGg6S vXDQO4aqT3vn6LAiA1QzxsGAi6UKx3H2eOHzfymTsoqFIKXtZ6pi9yAxPa406cwsLpCTxgyWmfOJ J4zMkENDz5UUJdQ57+h1hkoBeZCtQUSDIZJidiglnO9BVQOdciv3qYYVOnpKt/zcBtMHS2/ODmf7 A9/A56Nz/RvkCUQdND7uVEUBO6UyYU7gIKoTHl7Qy9rVEueK8M6mKnIQEtMRLeF2R5uqdv71tVzi HZaeDBbSWnIZxCkPiq+botdqLx0tK6lHsYLMQekN6RhiXKXK5yNWwzc2D9oPkwUQm6swYEfNqdJe z8gfZQ8nNVD3X7W+fQsygbEnrhaVreQ7rNHmqiNJm/BHwvV0LtTEpZcqg5WSWeYjOU0Iod7spSPf AEklSgCh2MEOZiA8aLkbW+HzQXLzEIqc6w7K4xMxhBX3zEtwru2bsJdsK6uVqfoyGEXJXVBje88u e6CsviBHby/XAqnTUlWSOSd7VmUUp/059dEkBXThkq+mvXQUh9KzI5Xmg1bWySxNOLGijBw6fNbr bYJ5zpUH7L6wzxyafNJzsul652x1mh9ztkqbywtYwIlotkKXzyXNrVzrM/o+PJfgWqq7ZyjuS8Ds /FssSST5pc+n39XspX9TjaiFJZkzCB8FTb4TpvEmPAuYz0du8t+yEbgX6entcxXX3Iu6y9k4ooMY bIr/4EymwDmu+eHFvtDqc5hKiylOcrSVBdb0ZI3szFU6Qe6oBhia5WejcSpxV+m4sTOYQ6dPqbV0 qUn1qybVzwFY1M3JffL5iEx7WezSwDmbej15c+zzSWhVLa6TBPhc8bqoPKtwQzVJbHCqorB9m0DS aOmOF8WfzrRL9v3sj8mCHKIs0MXyWRfrH+WtXJO9dBSL0sGyCoFN72a5CkEqiTCPfg4gfsBfuCHZ VZ3fCz+0T1aIhyHQqMmEmEJcEnDZ1FEc20t90xXNc2D26UiPDJW2O9pkDbBCktZVndDZMNOFQjtk aDgUKQTaXbjtpSMQA30ZUUvkYHndmerF0tvJBLLeNKgyXKO4pvf7IVfc5yq0GcJqgIFqtmh0d+P6 FdoDWea8snfnc+D4CSqzRHG3CUrseVExEALa8ApDioPAVDy3TMMCYk3PJd9uvZ12Ajm2/GRTYlTr z6NhVVVlgFkcef/nROQHRHKK/5jFsTffn7mKyu2NZ/tYD4DIqUdnbcvnuoo/7cWr0PVzCVGcU31G mytkuD1tCnSmE5qwlIFCH2yqB7UC4Lbo0ubqTYSun4jJgYMhYJ2Dygx55CQntF4bn49Uu79Z7ZMy XFetLIe+n9CZQTvVrL2wwpr7o/adXQN+XKxVDow/Lwi7GSa0dceCsnbVNkU7YRC3Tpg+LRxj6e8s ExwzLjC1pr3yL6iPRrkqGzhTEAcvBG2gO8zno8D9okEZeIKV2cazqkLyKOWWa26+AytLTkqdy61d QXrUp+oP06EyhLfi6DsQLvUUrWDqDENZRqzYMj/0N8yD+riCntpchQgZ6k7iPuVw/gI5WQJItCWC n/SifwGx8S9jDfd5iSI5dP/sVAucpXmVYRYD0SIUZZwlmfNpEJkc2H9e36Ga+7qjh6KzA+NqelxJ ptGy/2w/1P+FgouFVohY9T3BHbjl1LinD1aPwZm7tMAyn480SvyoKhJWBF8LVlo+V1HYzvZHg7HQ LTNURztOnVsEynRpeeqjfr8EpS+p0WHGJ945C7awRw+VVoEeEgBwPws8p4KLDeVJHlb+zhG8n7j+ zKtwIpdpOqxwOxDCWGvn81H1+JsHAR3zla+UUg5dQGcReXS7x8DMFxjaWUo1hWrHz+bYBlRSLtUE umTdq8Mq9wxr2bp0BwJD5s6NWGmFdqwXGUrgeg59QDOlb5AC+KBjHZJ9cktlnT0wAv2O2KkGAFLb eqKF0AmUKllQnbp2zsQN6qyi9YrOqnJbXYEVaP7vkto5UHV3tJk6hxDucmEeVy30iWBLAgVRRix5 P4p4KvMFXqAiQePqYyrIQbUrFN1FhTiHI5+P4KHlKxWkhPOjUZ1jN9AuoFpx8Pq59bx7M9W96QSq NRN2yaEd6DRazZRG2ZrtdrpANNq9TKbNJ8VfWx5plTSbgsprmel2UHv1lw4SwSz33UVAA0TmZbV3 wtHBkwTEy8wPiCTf/in0FaalYz97MOSVZja7VGXgHkzNkDEABV+P2V3udMW+oDymUFS/o8fsyP4S auQAyeAEFvCjwaPprFq8PYJD159Sez5wBgUBnae6PPh6onQbszrUzFgrPxFi5gdE2/Afl8ICT5TL 6M6xNyhRMpN2CkZVzoYogvSRqZecyRrZJytWQBdKhggtG72Atek1uzL75hk7lAUsroRzLEKlEGxp h/wjN+U3RYVRyis1RqJUkivy1knQEKcC17nC+QFR1H5DUTadAfcuVwQ9Bx6hqjJmmHhXQ8mstqpD IXfvjpKB37PSwdAkdCRB+7qkNzh62H7ORoTrxDKcKcE5xtkaGDOxMki1nZmUur10sBOrJc3TB5WR 08jGyu0ojQY+oagHf6c4mwjI64edQ6PQoUbqrC6tP7N7N0Ew2+0KH+2JHDiFAqkrtYAsEbys5awI K4OxurdQMmmWLHLS7ur5ALfMtNlJN91e+rf+YOuEQbLkLmnBjviHkhcjcWWFwPbsuTNOCgjzDKA/ fbJCNXT8ZRDe9skaa5k4zppCF1FcEGwXm6wwcjcwA/MhG70oc04ZqEsTfgXvhykYZJ0E/4MvUYGX 8wrWXvbSwTZMLPKRA6BBC6vhBpdMyep8PgpIv613INu+wJTyuQpr7s0YN+5tMZTlcBOq/C6XueSt nNgy1PsTddzRFta54FZedEZIUIarSh4TRQpyIcaj9Ad+pZp76Bk6pCJUifzgwLlq7USySp5PJMfn g3j0XyUKqrJuVMV8tkIJGAoK0d1UldEKYqj048+hjFIM2pwVTQM7suLgXfyjynNTo2YL/4jPpXxA hxE8BNcp/E0DoEqEjOt7cvL0zlHwLje+lnwQGJkhiqrvjLOikvt4nGhFHoBpSr5V5NA5lC2YRmkI y59X9wrWkhtIofpw9eg9sA5FfimeyJR1KEdr0kOXuZJp2KCmt1oRh/5E6qgyJLAnhqXPedCIJwfO oZ7n9CKJdLr6MSQ9+cIixVyAhsA6NImQ/KyrThLOupsw9A6FYgh23rapwoVl5Il1glovNSxiJTVV EchdDb/S+tdoMJmTk5/gAE0iaFeeTHeY+Tp8LYV4ByXKcsKhGCswD5WIMCeJoVbBLyRrj/MDrGXN ic0PCG7C5gfWVAAPz+6nSxj6h1ZHyniffo4nK2RlhcUGIsmVQAcGougSmjacQCDSJrKs8Oy3AsQj ch0Y8hVB1QaqlwMMXQCBHamW/JV/RV8hS8MAkAeh2glnl8L3VBY/IHK7WF9pIQ738bhm58BCFLbZ QxJDj0lfcvhVZVNDxO900R+xh+gQTqaIYsnxIiAXdiThV1DO6eieUG5AIE3YLl5lL0DH9MpRy6tS q4rCCyZ6xpnqQCLzGgT8KvQQze07K0Sdb+YngQ5MRCEyVBmA1uatZ5jLuobHNkTDPGewX4O/uIgK JdTNSaVf5+wF5BXMvMiRL/g+OYyjb/qz2H8Gx8ZlhtSlD21EJyN2oHV9EM8LDIMhR8NW+Xy0qL47 Ezj0OsTufarCajs7E6WPK9EoXwLAMc5pYAn02leQOAc+olhUWZmgdAU5eie143fDd/VE3Kk6+Scj LCy0I/ejhI4DZba9dMD2kiRxNwMxgNO5A/vZ2orbT5rU+AHvR/u6iG0yUlAJWw9SLTATzTphIAHp 62pSelxIGT6sjBCSybauQjKquPLJnCA5+mQNSOyWwWrDmXigcNhuZpABTykAVq5qnKzrc2Ao6gJW sK7RkEz15CzOwtCoZxQbQkfRsvxkFzUMyJMNuWCfrajkLhTyLNNdQsauJom2QW+wwL1Asc+WVgSW MTnLVvIzWjC64Iu1Vd1bk9JiWxAsbCgAQ4Tr01zR4jAHtqIo59K/iN0JDXcTDgmXbJCXAl9RdCcu 1Ytcr3FivJ1vePV5ja46+HSJlN19DyzKjQLEkKqrgJljMxfWa2zVoWwpc2hy9210uiVQx0DLyPBp VcIAIMFQGVKeX7U84sS0hn6NrDqEdFhBLgQ0cDD8cV7CTmF9fl7jqm5+lT9Us2EECmNo4hh4QEYz NKxc5Upffa/umQ1FdCU3tO+RHsyQdW9yl302R19KAHz3bhScOemHy+4N4J6gSGSE8WZ+sXRIvU7R CT7panh+xeWDVhIygWaMODz9PkXVulyaIjonJx7mmKLXUKrjSGGteBktIlPSzRNl1+BFbbYb4Oo1 kOpXUABl4Tta1EmDq0yxVmgSQxZc1dIN31XE0jBctqCTFdTPaxjV0Z0CeBZ0bx9UMT6HrFyloHX9 eY2huoJ7zZA8I89vVqovotcICotIovKyWycTtV9t1DSyF9ahz6UZeo2fzgcNK6vXPO5o22yctQwQ QaaSZcchy8ihI1ej+9sEnc9mCM99XqOnruiH1HgeSujXC4yxoYuvo/skXJ/X4Knna99bf2T7hv8P nRebpNfgqaNlQCE9gJJtkur2MBP0sTtJbkz7Gjp1NxKF6dy6o1GSOjCgiZcIzNexklj0zPJ7hTlD BdHFttqmTtxr5NQd00HWlw2qtZwsfOoPR/P9NW7C0xY31QcJyjOSc/QaNXVIo1C8o2Tv0FiLlBgF WuMKo9CLheKvMVN3Kx6A0Ocd/TSCx9xCORYI6ZYY7qAiRXAtNXIawRdqkSaZrQZztETLnVY98EJn R71Z3o/navi8hkvcN+VrswGgQZaw5ug1WOrAvEiuuV1R67Tchycx4RA4AamivFPCORJNa5l87MpX zosOAvShB1J2DQSymMcMiZ1NYxAE8L7bmNi9xknYbQTzQzgUw8Li5dEC5qoQHSdr6Z/XMAnHmSE/ NUdQwZ6F/saYo9cQCUc2fvZWRik2RyDq2ZHd23RtXdgvmQjx70d2amve0e/9CuItRJbPXoM9FK0w Af3EMwU/P1jGN/YmG/41QOpqlKNAoJaMO4pXOnuTpYVu9ec1PMJbdt9rrCj0AlO+aXP0WtPEXdgk lHMJWkOqL0x7twsKnXs/Wzf0taLJuEPn0B7P6NXfjsJ7IxoIVjyt+V5DZRMx2A/bdQbJI7Hr81rP xByRR5o28VNnEG765FCj8Ob5Qbf781rN7I8ao+ZI6Mnu6+i1kom1u1hFUXRE7l9alrpBxdzFhEBX ML2O6MzuBlfc5Y52Zp/9jEsIbpMUa4Y/AeeIuo0nflzQZisXiUfTq9cyJn4LeV7Vppov0+9Ef0iU an7Ypcuf1yLmPzebqNzi4LOlxxgyCrOHoLCpXfEXkbp5bDtVBMd288pcFGd3kZKzUn6N3qICQgp7 gz5FgNgq1x2khZ9sB5Fyu431rYbee6jtoOEtuyIOqvdWtLS14cA0eo+1wb7pXzMFddEBny2bpyjY 5k7BuWfHUl2UM5QUI70jpFm5x+UZ/R5to8t9x8udgfsY2XRnPW3kPNxzc9OAFkZfjfVGdYknpRjf iX5dctRAs6Tlg5oIG0UsfuPJgz/vPL8uh23NE52fYBM5ab8j3FF0xQEB1a6aINAHazr6YC+HtAw6 VQlUFszTFvyubDnPc/T1BPBPywQfQGF/stKTuI7PHbMlOHhDpSG0cDBNncVwFJ99UM+gwXpbXJDN x4NgyfxCFSzBqmW2fJdTFHZX3MNARvk0rTGXR5TNcJ7ndCq07CWeJTjCLWlLVgdITx1gLVBDJwXF QP6YRQDZBL2inwWcIOBn95YToPM98lboOiXcb4OyNwDuyeY/Nx5aneH55Okb1XdZXmoeU76z+/Cl SnEpI6ppalxCdG7QjFXCS1wR7p3ch2kSp2GaQT1H67CcAGkXIF8JuzuHEQXB0AvlbTzgAlJvh2Xa C/92Nq1FOUa4k/xUgTnL+bHlvHkW1eed2teFmv06xjOxnPsupyj45vJrNV8QS5GaF1Gvq7kAau63 e/4efaMPr7bKIuRCoyW6wPiDI0rTq/PLoAqnNA6QAWq0sfKvQ5x2X593Yh+2DU6lE2+14YPgiQB/ LymglvJ55/Ux29i+66pmqpwPWuPOVBiCd4mdtXLrle1W4LTO2DoHpkwzFcXg5nHRzMaQo51PZ1Oc G5yCRkjyIM/HkjmYyectq3iq7mecpZkQBeGtG6No+6DK0gQiWbLLeX/eaX39MZapAq/Q3U98bc5T FIbz9++UVvB5Ss36BXPfSmWGGYTmKYrDHRvsvah+4yfMTBoJNBwo3J24sPIwy6xYL/JQcSq5BMDs euP3eRpi1U5yP9Z0EY5CcVWJcHQ+HgSZNyxgZxM2RbTk1DS9R+IQsqJGSe/eKxiyuqLN48gmjb7Q t7OCbhSKj8ZlNMzrYriaD316M4wVGtv+AAk3I/8NeN/QVgyYqNuA6nrj92nCQXySOWTMNhgqEU1r rZCWP+9svv60CSoB1BBh++lt3VJlFI0zfEQI7suJMv+2nGq25QR2jm+7MBzXthvFWubFQRiroHm9 J24IWPBA/02MowXHh/PDdPZVnibB1BtH83T2G3Acd9ABlQb4JAw4AcGI4vFc91f0hEsaeb+XK6No vIpA1JoXvZuIV5O6Su47h4zVuinvHL7uTbozAWZykR+G9ok4z0kJHdczYWdqqgmZZIDMNjIBOva5 uhn7me8MPmwAwnlgUOiDoqezJQRbabXkzzuBr5u56Z0m6n1jL9k0RcE4XJSpO+wC8uMKyO8iLVTq Dtdqfsbv9D2sy25CGn3f0el7JxRE1ITo6czMCe2JJ4OAPBWVCoso5Z5OrFu+8/csTIS5KhoLBQE4 8kdW18qQHyw8Lmb7vDP4eOV5BNVYTakwDfX77p2/h2VMMIHiNUlZF0KAidhc5Wp+05+EU/Uej6um yLyupTtepNg+8VMn96U1yN8qvzs7jUr/IBl0QhAlIa8l9R6QS8EN8qnSr8RZR7ZPhSj0yALKlfV5 J+/9E5Hz1C5o23cvqbxz97D1JgtyHoajTJer1+ZoYc660wT5iyf5O3WvGwISuMPd7ni3HhXQ2SsY M8HJjhOVsZqATaznnIJkpWluUMrlnbnnFYMTlcHZWIMigw34C6dszvp5J+71R3VKNx6s3lHm93mK QnIWrE5K1C4SPy8nWJGJqL1XKHaEkPydtse9r4XUzd2p95vgAYF2/iMTYbQywTTgEQX8DCgMP3Tf sTqvzK/eWXs4LUTXA1eEQxfJeAL0zZP4pEPt887Z64+YdRW4E2fU7Pt2nsJ6OM6cDuyRQ6Xb9pB8 Lyeibex/23hRQdwIU0jb7+gsmHnmZ4FKfNbTAM2DqQtavSzroKYCJfl7li+9chBBFal6luoD19Mc s6mFAGbl552x103AiNPCyvC5GGjnZvP0HpDLS5ktcRcxqxRrJf4esDSbJwpFaT29B+RZ+ibnmS73 9dqv/OnusCPPwg+ATZxSUyaMPk4G5umn4Hq9vutLr/x+QC3pARHZpYFfc+I0uCdrjezPO1+PR/J3 qY5y2qvc9RQF5MKxzpZuKkzJQaXC8hYXT6H5+fQekCtQRsKSS7+jpXgTqt9DBJizrQYogVhz3H+L HZnx+KtivfCNf52mUsVVmFCjVnwJxzaWu08s9Xnn6nUjkj2lcdSe8i1AvVP1eE2Kyrg9Fa7VRfGg sehQQ3J9tZ7eI3IUra3XK8AlR5sn0IcH6nM0lwH+w2jFmJ1BeUboATtVFnHK552px1QLVfE95N0w vIEAw5oq1/Sd+ZrBclreiRKAk4XxG0JFATkjzU6FNWvXLXOChslkSlZZoc+gpuk9IM9yak3oCD2j TxPrjiidQmBqnw1oWAxEx53EqOndunN4bb1wtJqItd/9Dqo/VUjPGCR6fN4Zejycvi87hHkUHbPm eBSPG/E6XaNHq6tMUk69TLdg22Ld8TAeX6o7JR1SHC1tGQOtwyrTufNrlibFpI0MZUC4G/ZMLlmG LuHnnZ7Hlhv1FRfJnxyU3Z2pl9IbEsnPOzvPa/iaJWXBGy2piyEIkSiknA32ULWYKIFlBqtr+tk0 ivlhvpPzujM+z9rnfa9R03ROEIjkdJoaj47G5jbxKch4Zq5CXHNdpKBc9MbRPLEozixYg8p0E/Ug QQsnHw/23FN+wpRUmb76NEWxOB2jceG7hLx5GhMwzheWBiVpodhz78S8fu1SRlNVk6NX6WBND+l9 Cu3PwgyShWHELA3bJ1+lMtbdPu+svC6eyQTgvPqg1QRxZ9K1EHx93jl53jNRcrd1op27+JmmKBKn pQsaIdOnyXn7sKFl3EnxuzSMbP1OyHsizCEVHY226XAvddgSoOh7jh78jz+07gOnhwkm7S3E16CA 1uedjseMhaFl0uYDM5WcsJrmWIMh5rlYy+edjfdPUYXNLJwxPd+iyjsbjz/P5uxcg4utqJyk2J1M 0G3pr+F6iqrjSYqKhCz5aBO1oMtDA/Gz7Ra863SGd7RVCqhdFNU0u178Zp93Jt6tjk8mLBp0hsPe r0oTYpTPOw+Pkfg35gLidb09h9N7IG44gDaEuZSrzJXo7OXK3p0DxGga7yS8LotvBgIz39ESO0jd nRy70gakwtWpifUCOetB97V+hV/zZMLyTsHjjSqgnKbJZYvryX5Hl5LbuT0+7wy8bnaNNyCgZ0O/ lcx3Ah77SFxM41I7+ygXm7KqC2dQA0FneFgYF02qEv5ho01TO7FFJfsZeR0SOQKj057iKmLl4Auc 2Uk84Tv9rpviXUvQ37dBtSfYAHYBDPBzvsfhWBj/tKQ6SPu31fLOvWPgtIRPuRpuvJKFT5mmdADr 7mk6W+/Uu3vXnfkRT7GSsf0z6ZaSUT2hK0mCxPkQXTiD//NDOb/CVovKBII7vxPv2AEgzFnOfJWy X13zhIuCf/vKfM+3eSrnGC/fdQLQYna96ymMwxshqSt56AQAtxeepoWdWE/d11NYGRdwFyLRd/SG +bnnNv3zoBt1EitZ058oCoFMgXEnuWxua4GK4uedddfF84XflczpQccmkLuiXT1le3XC2c876856 Wl/R02BHeXoo/s656+aHfDK4p0SnyJJF32FqpjB1qx5kRrVxUzDFBN/RK08J2Zwyu3N8wJae1cxO 6lmFCVaFQJ0bX1Hb4J1yx+IGhZAmo6jhnS/KeDeRWM5nfN4Zd3zPf3ot5+cbo10QZhiMMy7o0/TH 6FNUTLWtZDbKiSladHkECjMOxuXAsySCxNEhhmvRNZRUuHOjjl3N2XhQiQzmSzCptRU12DR/59sR tGzg+a3B/GQXkBfi25119nmn23Hn3mYLXg8384/gj5qpEKwitYxEKWXiVQFLg3L+OYsz7dYzioOQ nq04o97Zdryx1T6Yko3i6DUV2JcU+YZCt8fMIKHAgg0AGh67Dg6fp7bWO9vuhlBgj2hwCf2zAWgV f676tD7vXLt/QgOdbbBIqTe/e6faYUktUc3bNreBAToEfSxgyFjdbWDykMKSiqrjuu9LyjJ6TNmN HucJ7eeJ0Fs3Pc5zc0hhkt2Dc+dtprHlyfC6Xjk4zeVgmMhOhPCUTRR+EB5XcEj8vBPt/mEa0PwK 8L5Og1XO03tIjkjT3FGcRF1UHN/00M0iIEBcslrx6Z1m168hZl193tEyl4RK4yKjFd3gAYoaF1SW FyYoKn26bimJH3zlIDhogohTUWRkDw7aYoLNX6bUzzvNjody/gqi8LVSn9U8hcXxIb7mTDcRXt6Y 6mQGCq+yHdbzTrLrru961s1ed7xFujJw8pDeAzbiJi8f+B4IU+CjcWhZIqyyyjvHrpszH7T+ig+W 4o1B95mfVlv7vFPsrAXxTFNOBFNf+NM7xa6bsTEjZkfTyXJOQriGZYU0draCwTvDzu/ccww1y0ra Y803AHObXbSDAXE88xMD/YAI6Z1uSA6MM7/ml7pKQ5zqg6ZpwCBZaiJ7fN7Jdf0x7FXXvKM8N9M9 nUKsCpvmXyFUr9tnKat3x5YUegyapRirwsBgmq3xvLbGq0xI8jdoE1B0DE63qheAisDuOmIBD8i1 mKLCuDBi/Wuw/O6cH8rvQNh8p9XxaPun+rRxF+R8D6coIoexFsrWVxtqtOGQwxOduw0KDmWbpj+g KqvKFpOjF+kK9vI0Th3mSdlNQRWzoxONC937djhgPu+UOiZoRK4qIN/Z7EMIGDfEYZvz886oI0bs mwkFKCdBJzZLYTwuomapl1VerTB3Zqnm5mkwdKZYVWkhaLxIpCCrWDDyLRawuXnmoamqcs6lVuVU hIikQ76N3U2DrwKQhK8JIgKG4Us6dhw4S2fek0Bp4Obj8SDGvNldtoD0h8KsNk1hMI4Qui3Njdga 3U1Da75Un0FDNJ7gUV08mY0AddVttGkCRkfIFZxMJwEcdoLjXOwE2o99lTbtBH+PxU96RmdGhlo2 aNOltgRUQcURjwewguInOB4DrWimG2H2KBZHHxZFoemlJ5k8qJS52fOk9gVRqWT7vMfi4peybSAL Wo5W8U0nMgHvvph0ZLMgi2LJzLWB3vZInDzWHvIz2Yxqa95BqwkmE2yytLb5eASGzl9hE4BdA2Uv m6UoDudxCyfP5OD6PV32YqRxbTzWsF55j3AqQ2pZdLz00eviKwPQ0yTvh53mTDwksHJqIDVatQIq hPSoLl7JGBsQ5LFB04QiDjVJwO7H40E4UNbXYgKWopUbNfUwCteeK4+qGPUaec+NkhwMDQEiHeA9 qot3OQfUKhtxjjcBRqMTdGKUCs7lNIg1PMlKomPoAhqaQqTrkcnqURQuTbFq+uWTijWKAmB5QZzv 2Yx4PuBqrvodN6GHN9NF8/SQrUmHbDg6WBxOX1Zj22fnRy+INclLrkdxuCd22Qy/8jWxOgE9rzqy 7FpiGilpPzTdMgmEDaYjVvOVEHCP4nB0aeD5TGB8AnHb7ON6U0MB5x0eD47x5KUCKXIu5CuXixgR NgfZGrleo4U8q8Eyl1wVabQwdrF8pccgFZ3eU+5MYjLqFO9w8sPlJuIvrMOI8qW5AuRZ4Ddya5mL t12PSuNqlpOHgwEwVVYwx4mwTUrs/Op4PrrufEFRbQVY2NnuARXH4STZ1+qmAWOy9Yv1ZO4ng0U6 mCpyPYWsTemO9uRN85uvQBT3bIlVpCt9vmEZWwNH/W50CYXNiR1QNeuNg6igVmo2bAlFnl0nrub5 x57pF3JCnI3no433zW1FS6qjUmnzFBbH5xYaurEiwNrKsjoBLhCZ9NLbU3WC/jtqPK/JlpRGR9Gd H3jCaIjsVvhEcU5TpnpNS1xL60t+dOqVg5Oc4ha9SMUIxoAS+QewrlGRF7jWgg+IYHTfHXPswwGz JZupKBjndd46fd8MRkcBd8gIZ5h2FBXpCq4k7rwIppJUzExbZfLkUjmAh2VYp24p4J/LePDXgHEO ZixDsg72TdfhhOKjPaqPVxqi0WLbBsGeIAyiXvBKDY9HMLqr3SDP6LP2qJNhMxVBx2kP26jRx9rT +W1zN6k13Le0g64TAaitqQio4gj7bUW6rXYr/dBAYtqda+pkFa3yBjkzBWoKvAhRckpP/+5cIRVf FIlcMHFpAqw0t805q2tmFgZPZMXHoxV1u+Y0N4FAFgIwn6m4QC5X+ta88MvOMgu/uTSZLZxTCqSQ yvggKpCvJEhmkx4WRzulMrK6XMhF6LkbMRQY1iWvW+qNXtNnqr7hi4JMmC7GJ926g5GC15KZIDRC 8Hi0pm4mrMJvxppal18eheVzqYi5ukdSsuggu2VvV9HM9NHGmhpRiZxKNSe5Mxw5R7v3YL97krcq vMrI8laj3/j/MfZlya7kypH/XAtNhnno/S+s4e4RSFYr456WyYR6T5VkHhBDDD5MoGp0CTq7hdaR +J6oOUVx1iFD0OGGoGmfdF6ElTNheDyIy8f8CQ8aXQK696ZGFJc3ydHtfcktfXsYVdl0FLlluyzI iOvj6iRkTRNHW1DnBq1SfKUjL8zdODMF2JPNpt8Yru9UKNOP74mY+HJT6NSSRjWfnocVcmOVzM7R ME9xfdyvPeKnzw815+11jhA8XslB6D3ZPK1SvddZU/bwoMmbPvGT3g9zmcDhZEoaWY8dzF8K1Dk7 C1CrQ9vJKGig3zSwhcq+rU7kTwNfEyBYm7S2WYY8g5z16kkY907SKzjB5oiK4/luO65DMEkoTWnT FAJWpgArxb1lBz3ZRUdYXoA6d57jekYUlS/96SOpbDISq5mYpgxFnwqnTIoblhMpyk0IXknnBc/Z AAS3xwYoCuFrIhwGZSC7zPV6cRzGydxrE2ljbDwenE5PnQ5vN5gMeww1opi8U9wT/WyvZop0jsUE wLfNUu/TuC0jismlEFIggHtHK9OBegw5WQFYNxx5WfPtagcgkYHfpTGDKS6F7wk2XSdHSmYTG8J1 bmB2lq+ICLlkPB+1735LK1D3o1eTzVMYkxcJ1prEFZFQjjks1UCakJ/bVhsfUUzu/qhdPSmOzlCE z2MRcBwFcTczHhBbHcBuQ5bH+fjULRghbJzOQWOx6stBnZYBxTnzEpp4PDiabipMoBSEDRmvaJZC 2DhjAtQzbTW1wg7CIpbOSBzn74MnGDO8EcHG5VxW5Dhs44X5DrAzqYCcCyIo0RAgnv8F65xGwRc0 bi8c9e0aiWSw3W3UQmA5JecTi1EflHnwCKPxeWMnntaUfC9300XhODmUsJzzaSrrbrrhWB+gobup 24+oNj7N8lMuXhgZmXDTndD8fC7RKidOP1G+FLDmgJMzkvDd6p0mhFP4mihwIn2zUwxjUd7PoHQn 0CeRZpyrFM9HkdNtSFENCk2MPBxzOMJgXEc4gVnadMMLBjtvcxA6mw8ymlpOcTAuJtne/Y7OKIP3 A8DCLKycWVukUkGG3MjWJ5au6W67bq8cBOO86whNhG8XgfrceDtB+1acnrnwAUHJoHpMwLQFdKt5 W3cjDMZnFbOkWslgSbhoSZ1Wi4tYX994YYFcf3WimaaNlgpDlOeEBo2a9vT7YRkGTFh4cAAfg3L8 tUvQOR5SOWkaBEcrDEAKF/3FFYggrqmTR+D5qLbyC1eBeCQZpZqoGZbIm9LfpXVEoOaV5+utWa1u IQFibWWGCoaO9tXS4ugwVniILPoYndnZWGASn0OwCez9d6XHKIHM4BmCVRgOsGlgg85xIJKJoGgn 8MfjwSz19ntCwdtw9CsbNqNYvBKemXt1L8Zz0BoEY1XKAssTlZ5QCJ5myOU0n64qPB1HL0GdMK7S XABhQT/vJXgmK4Tn/yLUkCWqwX2XXvlfXM7ZySvjoInqA6gRTlQveDw6ov7Tv2toY/Q7T1EsTqRk FyuLJ5T55bEZrAsPP/KYzZrBMyRymkmXWcCVawFHO4xKYA91VjOsxiVAA6mpTMng0vYjiUmNhxkW yYVREdy3Xn7iyT6KOGx1r4rHg3matwAlrbGBJusNx2cUjqOHShqZKz9NFTXp6HIt4BAnWgA1wyK5 cGLNbGPbtY1dmU7qDD8Asx+wiJVV0NzSH/juR4929aUXjqAqCKDO6ukaTPkJnE6didDexON/QXqK OPknNljg1Ns8RQE5xRbaasNAGD1L14EgDJo5WdvFzVxmFJAPwccZZvjoCE1IJoG8IaYUfHtZ/MXa +m78MCU/fATCy/A9wcaTCO2i8hMHq6qU0ti/AI4BjwetzvZLvOuSR/KK5gzjccQgSIjWBfzSY3ex TrccqwJ4ti68GWFV7gElJQyOt/qES45Z1NltVDpU3oIiyAReEsG8h1B09JxRPF5pBL6wXWxQWEDI nvSxxsDjUaTp244cOziwApvl0xSBVfjHQYLWUay9OYp1y9RQqtkoSGmaohL5EqSnZVGmOPqua0Dv N6IzQa0dcn2DaChE68+CQK/VUfZkT88oINcpPpYKUIsQJ9YK+lZH+CSsfMs/dVW4wVDGLLfqO0Ma J6+78y95FgxFTs/uZnZMz758/BnqGxbBommRa6N3phaEHbBvYaAEzD07eJAUnWjvjy9E0G4fYeuF o/4dawVDxsPDjYcznNAYTuM3xeMRQOyXKQWRUBSqfJYisMqsxpj2I3ysdQlldfsRDjVCm6UoHB+W rhRDY5Ry+1Inlp8wrWIOXAF8KQoKAFXdA7T7WeZTGk964wARTXcbFmMw4H2q8jSY5zAgOl+B5//C PqmuRw+Le4SHLE42z7bblDG9W7fy5HjDleGToHmKZVWEDBMsXKPFmA0xc0Jz7bwSsb6mXotQgxTv E0A9LQTKes9Q5XBQ94nYJw3CPp2LQVDQ810dj/8VZDIghdZPupzgFYXilBk8p39xvZBV8q1j9nqL BegMc5pWhFYZy0SfDNQzH1APKObd4KsJdniKswDfgZsFXD1B2ZFaiCQLVohW4TKag81ODpolsvAE pKsVj0daRr+adGh5TsLUNEtRJE6oCGqxLj6zm5nJQ3k1+yxNNTkTP+mfDHOC/nx0cMHekN6mrOg5 wUF1YBmzbTaV0IMbF5TJTY+viQQO8UhPEmDFjTnsCK/kyCD9rwXPB3vuEvHZj5rnvhr7CouuWFRc IN/sN52gMQ5XcbwhnCVtnkK4igIBLz2JyfdtXE0ZllJV9iwQO95SNUgQh2c+Joek7NJ9DV8TFejo NdLVvevG1jhLsAm7DYlnPv5XSYXHPchbD59sRVE4Ezr3YxlaWMnzlTbNo2yNUSweWCFkvBtbwxxz 1yNltM4NUSAdwiO89ATJPpQxwf8a6HWO9ggcEke34qI42WSwC8CQIAmhExxgTyJVBkRqQ6RK803H iDsDiM29YhMVheGThDLEBFYnOG8vhgtKHOTgQfp7dXLMOz8pYnFmYXnEU+To6Kd9brukPnCBM+CS qm2iUXFCPTzTbcQxPVOvHBTppmELusB0/WvWgPVswp0EaTofik+IoqcLw7hQ333FQlYUizPVaaRS Gv6J2pO0Zkl+WoH11634tEJRFbMWHiwsabTi0wRZtDOBSrB1H0k8hEpztNpQP5rXBhYFT71yBOzh JGWmLhwsCV5rsnEHhBwej/AqXnuqrI6fIwp4UJunWGhcqk+OE+vSsGaRDvReL9ItFlUwT2EwLpGQ Un7Gu6aAvGhFCtEnnNIRBXgPiiqwVizEqwiFkXXlhaIq8rpLwwZLgqFLV6uS4NTw+F/KBUX14pO1 lEsAWlE4jugWgWbNtvnGWvXpBC/z0EDNzSYqxI7LTjgvheMcnfUKsZG+pghACwIrUxOFZzKXImRg HK6CwxFfFEVQgoh1AcbQVWL1afXzC7CYiTLdCgvk+7eYSaMguBDYPIXocaqyVxoHSpW9LVczmsll xE7EWTw2+AOsAr/2Ozqj7HzmghwaIZoLAm5kB3cCEOnVWaHnrV6wdELxRYHdiDoJ7HVrEKwHRW7p ZraT360oIC/rRpqqqizmwp66rLA+vsjmnFfZd4raImGVq2fUezJk9IqFx1UXr+0ZHx51B2gUKwqW cAlYetTpeOsmNv7Uc1EcRaHoFcXkRilbDBM4CNaD2yebVXzF4+8zhWbzc0RRw29d1uuOYnJC3nH2 JU+E29XwW2TbWoo3rB28Y+Fx1TM1BxodgwHhuwVmSIf2GuQxJDAKtYcNYiH+WnfGZXl8RzG5Mrwi knCBAwMPmnUmt/IgPpk8H48oQPfGY5CK6tNjz7Lfg/LHcXlfeaw8bePt3bZjowvbTpSxD6VVTDR0 qVAp0XILys/eOn8eEb9A/gK+ieWExiHLvrhfZ7o3Hv0QdoghpxXZWLX7oPJ4gQgJbzzos+/3qBze p78H1Lk2QJL18tMOMeTSwhAJiCnebkYCWtDRtgOKaYbmKQzK5RcBfYo72nKauB3grsE2AiQQFT10 HGpYZ9Dwu57nNDvG90RlOnLJpphlc14QxrTu3YlnNx6PyOZeWKFMZkZXkUq6mqeQyTkI8+3bjie0 1mzbrbGqH+QbuFHNU4hVSWJyTqXEHD0yWAP2xKTl5xN4DnlwQOSDbkjnIzcrK2pL8b7bUVy+mQQD puCDnU6ryOocfpN4PCj6XhEa1WPQuN+eC+8QQJ6o65tvD2GUpnQPHZhutggLKCibprA2LlBmm8qF OfopDmn6k8kLQE6dTBZW5omhv5AYQ0B9y3Q4KPE1kXYB0Re5aUDsxZMbEiSNV+y5VzeeD8KCh1TG 4vikCo7HBTsKyFlYPPs5FWeV5b1tnrg7zD4CvWzNUwxWUQ48TWRlPsnLyarh2bIToU8V6GUROdGF nXTxS49yAfY6viZyRlKZgS4bHBz6tGoSwSUtPB5Nk5/inRWDhdTFo8wdgVVIizmrabvYWu/XPypT 0Vuksitjv0ONQ9GCgUS4462NJ0hFN2mGFPSnlAtDV3Owqk9Fo9WU4TW9cXA44ZZrfMAGg2Wen5WZ 0tlWfPwv4QJpjuUvQS42TaG0Cj3gAe53Ulkx9QuIRJt+D3y2h59NIVhFjahz97c72jSh4Y6pIfcu zcZuJ9GrGVz4JgCeS4vS/RnfE8SYFBsfe99B09TLHjqbUht4PEpavNXCuLsB+rTvnoticbHKRrv6 WINKl3L/WQw2sefSoPtP5ydFsbhJ17dyR99zoBVnWoYndBRJB0Y1E7LFvf23/kRrDnzNv+CGtA60 QXvuBOCJVCdoNOLxyNfuP7IqAM1ADNunKQrEG8qTbT0yYpvIazNtS340daaAXE0RUGWqd0BtYB+9 AJUHonG6bWHcfas2DuZZB6ZmN5cVLZQRxNcEAaZUQgDLsUGVgnKuIXYA2gk+8fif2n1fGiIAb3YJ r8IGvR4pWdCn0i0FhlaZ41SIXP8fuhcVyqp0fVSUsQiS2s26rV/rNmpBwv+2sajSz7YTsqACa3ji ccQ5lahxIjCoActvCjQzVfpVc6ptSrdyihLRQZTTHjttfkSEYvXNJ1QZU/J0DW5SKHZIeAHCZDI0 cG2TwnGmBICuQsmV84tLrqfro4L5GhILmf0ZHSs2oAJVZA880USQ/dZgToyezxce1ZeuyJAc3xSp 2ZM2NVgq56Ce8JnnRP2BE6FlPh9kL+0/iwuVlbUvb4rX//tz2DIN8B5Hi8nWfNGFaxcrRLXqME18 VAAhF0E4G2Mx/zAWoVqQQNpmgeWcjNt8kxJEpYhfLXlf7gaVwvhNER5DLc8qlDQuLtmTIvYQF2/C 8zZFsTnBeA8UCgiLsfqzFcOaOU52eP4Yxgf/eO1Key6O8cnXxiVFIuRDTrbb8GC7tlvfpFTWzpyt DjcJingkws1Pcgqfztr3TWOSfBJSbAyUWDYg3KAuhxtABbeIcQa4AZ6P0HUXvoJKMAjItCyyuYoi 9FQl9dCXrawhqSwcW3DzsZWVa78rK6qbD+HrSrFCQnHZh5MobKgakJkLh7deh/GnNlnD8AsuoDNa SSrLQylFAJZB8/c2mSFz0FwBzLWFSRyZz0dk/f+4bxT+uFgYPlth4RynRmOVkRSqcz650NGC/INp ZwFfIZQdW6ZB0KB8bwh9z9Fuw5O3VpSXCw+tOdtOkq2juGaGqzJscc1LqaS07aUjQOIWEnH4YCvr rFFWXE5mqeffZ6vmCyBjAgNMpFlPa7ZikyD5vbZqV2IjuIJrazhh74RaM90jPgrY7Wg/2eMz2toC thxoLx7xQEmZJNuE2iIc/Dpmq/oRD/NEfdO/bBMuM3a7GuIJs5KEt89y5fN/MWPlIHhu2FVvbpNT KL+SpZHcu2to5XQ3IlyIqaG1KQFiGzGsoZvCfRMQg6MdWsDzVaDs6MYx0AaUyxvVUChEUXK9hxbo VXrpf6FaKlI+DkXdhrOt85I0+XmIz0fyULctg1dEtZeaDD5ZUey+pFWzslOFpjtRUcnagS3oKbvd YiTDUk0O0bxgOVqOU04W3sghBVyjNsL7UacirgB9vr2vD86S/VQK5cmzoV2zDwLdASvKe7GdRc3n g134FD4xU0zdsSt8qsJCugBlTwmmLkMmgEXZXMi9T88Gcwor6WYBq1RPo2/C3OcJfadExzBd8gyC 39J3svVdrnArV5jeOahVsXu1E31OOWgPntx8iLA38uTzfzGGCNxAAFIuPzaHJp4kOUEdxTXvkzfY ob89rOewSCczc8oQ4DIsYzZIwnjYMBWyIxkFBjLQThpONm2eEGTgpqecvPvh0Ok8By6eWL6s55FE o0Gp84kEm4XyY/L5KCv8RSWAhzMfgmwOfTyb2FUSGBFXr7jfKYCrtqp2d+GaHBh5XumxiQKOjwaZ KutEVoCXy6ByWZu9FBjDNsR3vAJN8I84xRz7eJL72bdmat+Zgk2K8sKTivP5IHa/12BRBwyorcfa LLbyFD5YOBfW9mjMSsWoITgsNkWlqTlvwdDLc2mK4Ll8R8cqnu2XNj4OEurrfDAlXE9Gg/SC5Wr0 nH2uSrN3jlpZ7IoWTtksWV1kGMClLrWRkyDw+SiJflSjGKXDTAzVRZ+ssK5Ow5XB0FFFmTK82lCk 4EapH3yCraswdDeLymHratx1VetJlHFpTWr99E7bZRLZUagpZ02h6+EqZKPZK0c7EMW9CvsPG3i+ 4NAjJpzAQT4fBQzXkIqqdpmt52eqQpHEZWW+bFPV6ii3zMeYi2VKHlKaqpAJ6nxZtUo52rqaDZ1p EGoB0D/3bFKvZoEGNEing5qbVbDoV8AvitAJCkVz1VBsXZ3PKbzsIdnB54Nu8rVCF/v/xKNtXKhZ Dow9EWwzac7T5wrVS9uDfbftexDEK9uDcdw+DGTW72hz1Saq65TmP2EaAtCqAjsUtgdAsIiwLo6q T3vnf8HypnwrORh4EQIBCttX4fP/P1YdaGxV2pDbVIWM0EIs3lieEALrZlCO3rMxQHa/DeUcWnta awuuAHe0OHTDRRKoFyTPlHkl4iwtsL/PrQGSCTrlVvFTGSv09oTOAxwDUvFBGQ5kpHQJNq7/KGbf V0jZYHwnulvPyf4esntVZlIyTbnzdvLsbr3fqgy42jZVkUqLwTjY7PTRqzKV0qRqSOzVs7BEJ19T rQ1BCxBp7o5e/aWjZcXYSurc22EjFVIUnbFVOwcjn4/UR9LPsmpIAEZ65iqK2BHSnHR5bqdjs9Xu vIbmDFrkvj5XIRbdlDW3nHLSvuXRE/Kg+gexSpiY9w33WEJfMt09MzsSJV+IUG/20v9yEFiZqq7L CRQgl/atFvpqhc//ld4oOoCSeUpPdBXi0WkiAKNpL/elunwPFnYGF8t9yXPBf/h8yhVuS/mO4wM8 g5MxC1goyqTdhX6pKPfRXIiZ4mVfTXvpSNt1iHdVfLCF1aGtp4W1+XyQ4BSfLGZgWW4j+4Kqcmj3 Sbm6E4GaIyPoM4AtC30mey/+Bfi5NV2h36eDY5NVZ9K8NawMHPfo9BeCXLPpuZ05JGCm4TNzS0/L q9lLR90cWn02wqvY42XbFAfHpDBNP7cRn4+kFH9VERDBUl7M5yrWUtTSqst4ogPoRokAVUlwKXMe 0+cqhKcrYy7myVSug9uEmzm6ClxakHbdVRUsCFx+YQPwpYe2a7qxO5hD088sy0G6PmvQNtx7qXrd TvjL5yOGtlfd5cwLh7D1uIGHpp/EILbq2NgM3ZBbkulVLGQaDQ2fqzByn6aYxNKMRpurs41Rdxmq jaaZdW2eTJe1UaiiwFzu4j9rspeOpCfhtJuG9AJBvyEkpoIwwKPjZANr8wMi4JD3Cfkvn2/e4+l/ BcafKPZx55XmtgIo69pkgWHmxT4I/tpkhUh1hVjZzOZzd1umeZYoCngiarezQ9qQr0BTNAyxsyK1 QLsMt710BGMgp2PxnKdvqIQ2Ztvkk4GLpjeN6Ea30CBE1gKu+Bb7AvdPxGaYrC3Lk6p/9BZ9cdFc YOJumhPYf6IkrTh0mnL3vMrdCX7LCbZAqDQA/DG2UWkSSOUA2kPs0ovudBbIof9nShJ2Ix5N9tA8 saDQJFkr4JP4ARHpaP4/kUNf/dmFoQMovcHHvo6N9JIwbMyq3oBmTcDmKgbHCNlvWKvyg7VCK/Ik OqS2A6hR6CoAQg30cSGgDpb7lTlXgyK0AM3Uf92TbD8OqjVAIYv5AsA3fD6i1PyC9yb1uOaN3UMT UMGLu6jIjeT2kR2VhlPUzGJQx7epimJ3E+oc1QTM6gP9YDOPbjnIns+fN5IFZIQ5FEKCr9LUmvbK EfQDxxVQgD6IF7kaTTM5U5vPR6H7rxEDZPjHScPuTEXV9kWSFrprjpFZzSWFZ17X3pLGnZqpWO1c qiNZYBmOvgHPksf1x+QZqoBdrG0AzND4hVwCyWzs42SbqhAlwwZOh50Rh86O+wlFYPehiCHpRSOR Nz/YsX54hoLe5VMVRe50tmmTv4YpnvereC4fVe6JzE5+10dFHUJJSizlzhwdE1ohbjqEAEknxTFw GtlH/EWAfbPYihBnfk9wB5ozGk0uOShGGhCyN8G3zOeDk2r9x3Evn/f5bqCTfa6iwJ3+pW1L8tXq DGYld1KtuR0sQ1lAzVUcuIsIUaTSxdHmCvSsPRPn6sTXiUa7yAhRzl+g1xQ48qFCybOq2DtHAH/q lTTS2qBRYhLBGc7zsiCunc9H9StH0DZVBmEAdsUDc2gKOgmhnfui+aaTI1FoIN+NugltGzY0x66g hlPr6kBzdGQRKlYbGQ1Yt2feWbmGhgJqR5ABqZSIzw90PYe2oKrxYXn64Mc6jBC/XmwPfEF/Y/bN HUgm0k0HA1/Q8n8Iv2iif6qF065B4ezzHlbtRguBMeg594T+aJKP0HiJt0jKC9VgUcjbSzfgJACZ elV5/9Ddu73zv7htW0BaDqpewS9c9NI9N5//S2lRhb4z433cYCH2Bi3yHejZ8Ou7ZqdDzNbNfh76 fF69Cs1BXYGq7XpHp7ad2TiLhRuwwW1iUZIiyT61oGyAPoZ3UbvaXaE/6JTGsNjKJ9s4PzEPKbQ+ BvsUsAPjB/w1WeQMytF1XAhkDj1C5VaIVXv7qEVw/4pagTvO0tfMpisM2q0pOKUUwNEJSRMOyezA pT7KGAz9keHQDIymAJAscRkqdegDm9BzyNLheTQ2J0ZlsYdpHfsfxBUtwD8Cn1Br+d61BQ25mS+v O8c+ocxw5o8V/Srj61Z8LdnaArrFJytEs4tjk42NzPGWsFan3SwP9wJhGVVGac0G7f2TDq5HjAqx Cr/pX4g1nhIYgEuRzw7aafzWcX4rfkAUtfefAAuaKbPU53SPonZCqk4GQ1YEkTKSDNqM2ld3pAz1 IZgOhn6hzIORM5dxRy9hwcUkZ8IZznV18j+zmeOkZUrzoEnm1KTU7aWjq5CkZbr7alAdGRtcLcKO 2mhgGWpp3cVC7vTfDCf0DCXOrbEyaDq67Ro32KnPWDr34gsrwsk0ne9lWKYzXAwO9Mk5z9bKbN7N Qalm1mQSIy0k7rk+7CT+tPymfwgx1i69gZM7bVMYRPV1sD8B2yh+QJDjpP/0U+F5O9bTywmdQznJ 57CcLiQ0S06G7lu1VmtQ5Dmmz1YMlFFxNAuuztEBDSdS2OAzYLbgCNwFtD3BHVBFG+FQeVzU1l72 0sE2zKz2VTafOViH4sS9W9zA1fl8sAtn+okczqFwYqwnIQzdQyW6NKCAbx2Kxs4qQcmjqaYM55TV fa7C2H27w8W8482d996T5kkJoi04s1hIJqaEwlSl9AeBpap76B/adb5rrsadK8hKzmkW9YvPBwFp uaEDC8kwOt21PvswLLsTr4ZWiNVGocljUvKwoD7/iE4nJdHszIqhMkz0VhZ5hKNW1rlhRxtU6gVL +/wDeICYwEHqXafwkut8lixwX2AhmvizYP+N7bmhWRuzP8Tw/Xw0PyDSjb02x+r+wEKl3aUV2ogy 5kbs42lhK7f9jKq7tZ/Hdc3OgY8oJB55wC8V0jUaAgTWIvAkg2jO+flPZmVuvyhsNKrEsNbAmvWg KU8ObETteIdx5Naw1H1eBV574sYD1RD4iCbtpiduILp23bghtBGdJMENlZGxKFO/fvWTUm3CysAc z2YqQrovKeiNlp7RZgqH1/l/S+mEEjrVACAVQGsEqKC8WlI4FGMFPqLY7YqxJBHHupKMPlBETtae 2PyA4Cq8SHcZ3GcIN99iQ+gkWul7Ibd3FRvWZZuQ+q9iQ+MbMYEOrESv9SNLFD56qrPgg4lQCqnO WvSal3EYCH7qSl+wWir2yhH+o+qcUjjaDMtYwUXtRd5yZfEDImGYX8QozvaRn9g9MBM930ut3b5v sW/kfPlLTLhEQaXtiZZVLA1jECxlhRwdAwmfPrS+5MheCDFCfD8Y2EC1YjjNK9Oak9/zL20Y2FT6 oPx5zCzlk5oBwQrdRHWEeM8LQdJEU9ZnKpZMX+w9r+S9Z5K2JePRrxQ43DzsFoz9RFU5zln4Po7e moBoeutDsgvnZld/GmANsK2Qm0P73LWGqr9zFLerKiPQdjM4QAFvc7E/Sg/IHDqKUnH0MixJZxxP qSF0FK1TtuzDQ9EycjKiSWEuKl09UN50BQaWomDlJC2U1u/4TFUHmjZLlxj2u0kJIRZgxl1dKKPj SJltLx0wvsgwaYmgNWhCfJcsesaui9haSKjzA4IicvLDSglRQV3m9lIDY1EkJkwI17pxu3BrWFcj FY/biYu2dRUTUrWeprW+FOhwshh7ZGi3nskChEmiaYnd/xOJblyEj0iqXOxzYC6K20gyXxIiTPAj YnR1jjGWVb89o9YQuouWK4omQQ9ATzamzGcrlmykc2bf485WdwSIakKM22uGIoKWVoSWqSq5K+uw 0bkTdJKdQtdCu5ESODjawUY6H2bQPs0VzQ5z4DDqLa8lzvxyzvyZ8nPxSlxpg8AUWIwC+jl+LsF8 4nAQJ7yX+nkJrfb/SVm+7q2SK8CZqmSkAMSQgASzmSq9WNT+ElidD7qK1w3lWB+93t5R6muTrIlz rnfN6bkNxQU8P2p5BIrpEf0SVvF15Qm55DluakwMFeAMI5W9haf/1xzxHfcU/FEQU3Bo4OnI/+L8 NNEMLdZf8nKxr5GbN5srfmDTG6qX4RXMUJesQC1qc3G0GUI3/uzwzqym1daXVlKlFNVm5Wwn98BY OqNepwjKvkQQiS6/Hro8BCTMD3Lh6bcpEsJUU1Tkkclgv06bpJdYio91ukM34ma0jHa7NLh5bWdA cdYkvURSWkZD8NBlPcF1zfvKAgKy48ZG4w+dWS//gU2F+xroSgs6uXQ/L3EUX/dcOogKsCdtUMUY hb7mrpCflyBKM7yTzZH+VcjIUu4EM/QSQmkZNcVNzQH/Xcaig+J6yV1qd2qmj/oSQPGDhrx8u/nz 9JxvAHUCmzW761lWePOorI5G+gDPfALHbjOEGtnnJXzit8jkaXYeRxMqVUJj7F5he/ZluSl/XqIn /bXdl9G0OBO3sE3RS+xkO00W48OlUbuAjaR/r+VTtGg+SiPfaKepjE4RBB+tnH7u+3LWBkXAB0QD Gd0TbpzJwoBwX3crrEzo3eclcNJpRLBQE3ekXe7IQBuoWUO5fV7Cpvu0bbWvIUFx4WmOXoIm7U95 QtfskbhV0YVQWMO6fpm5Ky3ogjmaBnipssPi6KfRiXbTqCR+T1gLC1SbtOSIF22EXqhDmuS7GszR krQQJGtsEKDqnJd56h9X+bxES8+u0YlN1YGz1ygIpUl6CZZ0zpPf1rsVCQAVKl7kTLfdt0igl4VK NElSiGsQnPXRL7WVewdQk7yzjsBZ/XboeW06PQGp4puNid1LnKTNBghHK3ATwYAS7VKgdIJ74hnP V/XPS5ik08xqBTqPoKU1JWuNOXoJkXRiV138JvONw7uaOcE5Bk0S/ATFuE6kQxyd2GoalyLYGUdf SBuemItl4JPfUxmQC2mTpAU7RTCNb+xNRvxLgKTfgiDGIbrI8JIg/Pn6YhYM3OTnJTyyt2w/mw3n ytj0JaAgXbTZCAc6mWZ64EAuKdTyI5Uz3CXspaCpra71A3eiO97i7zz/abKg2c68QHJBxV+EAOck gcMMBGAEyVt0mHkpZ2qOBC5T1QlmWlYRgW5hFuMDeqjBHPXlBxLlWjHh9MTVJL1UMm3xJvIdutOz WvbcLeXh+DK0QV13KTq1DX3QTY6xu4rXXOh5jykn6A6ebbVJgohCZou6kvNnUDy6X72UMfVj6CiS xvKgPDRP7Q0bE1YxT87+ealh/j83W2LBlw6BkFO0KDIKtLuDYR2GJ39Gk13qLgFDuJdxI6N5kr9x bSKzcbQNV7pU1IhJR2IoaaZzWDVuOFSa0riFga2G3nuwnZhFnSsSgAYbuOM27hwhy6AK90b305a7 0TbNDs+bDJSfbJ6icDvJ/qq6Sxg6BleMcSZXO6ulbqcaRfOk279Zf6o9/amMUw3LRuvpHN2Jay9t sm4zdN4aoDzWJZ4UY3xj+2kHFAZJk9x3DrrXElRpJbC41+eN7Kfje//GAUBNzDluVvIecYO3ugg5 KL7t0EGyeVoSYUKhejDbEKosmKcthl8jONtGR/9AsKVm7rsEuSUxkTIdwmY1PZgbLA3BhYNp6vTz 603icM0U0sF731XL6RxUnzeen6V+vxuv0pw8320Xhd28cU5gVD2mXLCb0LabqbhjygCU0PAswRne q6nFpmf0phRdHhc1rdvJzrJ5XOB/IX50Xo122XbNCdD5HnkL3AAYixx7szn2Ano3Bgse509CkyU6 n0a2aWpfc0yR8pKK8MFqIgLM8QacppmMub1hom2nU03b6cjvsTcyQbMN7emORoVM0M+DbDZxd7uQ q8bKJurZgC5mQr+swzJV4wnDAVk80ihsQ426qqpb4b5ID84zd583ep9dW/3ndMqQARk93eMpir/5 Z4H9+Ng35OGw12nYloo2j3eE3wNwVPRkDdbHM1qmi2MbMSXFAApYE6J/yL8KB1MGrdFOcYqofN64 fdreROfzhWwQQPEcsVtt9FHK543ax9dsOf3UTDLQsXMNj8DfqH12qJBcS/KvKnBKWczW2Kl9uAZt nqIYvFtFoNd9RwfcdQgNgtoJY8WOY1ZqjMzvIY0JwJHbGudtb/x+PLUmLyeWlqRK+hVnewnpA6HE zxuvzxJmP54ETcCkrztNURQ+6VKfH8n9M01ma3ySuTZu+W07zicKw40Z02pqd/SoYJ0joEtJHpbS swiN0cAmW1A8y4gTXAVgdr3x+zSB8QBAYhkauqInVGHUygHb8vNG6bODZvtyor4L3gD1IZun90gc y4lyjHR5kzXBLG7/XJIpLlF62HsFUSg+rAFcdZwvIb/Faz8b4YTgfZLDAGM2sY5yQWtjktbXn4Ku AJzvoXim4+3EcVd8cFBiktfWuQLz543Opz843XliPTcB5dq21+He6Hx2PpmuRLGJar1Zn2BNx7Gc sKYl5z6G4bgwiSvrkOZoBzlChHkmIInA0KGiJ9wiZCPZqiAizrsEU28cTRRmiJG8DTqfYFLO+6oS ghHF40I138SusNLk0dMbjU9hwWKmsrq3U5oL7wNRUHyaBuJc1SvDaNwce6fKchy99bs3hM4mU7vz c/cqL9oKXf1NKBQjcpM4Y0PzjcOnBcG8tw+y2jkoekI7cxmaIn/eKHwWVXhYwH8Xit8yxOQ0RcE4 4fWQpXEXnlHzNSsinpe33RrdIK5vBD6LnlQlSHPd0UlpFQ4zsBo5kQbYoXmoSsDyHbC0jPfv+cS6 5RuB76dDkInirQjogYNhfQ0qtKTC5Zlm+7xR+F5uPBh8QaffpyqqgBOLer5qOWJzPS5hA+mFqX4P 94B+4+9ZXVArqfRyR0e3nqTuHE2LGMR8Vi/lZ89UAW4slEEl2dFE5LWk3gPyK0C8p6RQgfhjf64U YV4oDrE+b+w9m+r9E0LBpqtBTccmKozIGWp2uneY61xJXpxbjkBcE9KyPMrfuHv6fiFTEMjf0bfe OXTO8kHD/vzWJ+EDVZFlXqThZN8N9JVdd4N6Lm/UPX0RFlMrJMVoUKh5Dj87y+esnzfmnp1w82dB oaW+5r57LwrJF5H46I87Er9n929Y+0mESQ1GSP5G27PQQJ5OkojQ6EDNc/3DaYTQw5pgz83QAGW1 74LQFT14rNIrC6w31t5dD/S3oIpEYqsScwMZAQotn+O0fd44e7qZ1/jtzsF1rN8L742yp+UEOZWO FocjpYcFU+dXpiYp990JRf0kjyrilrkQzOmj7bsz3+dCQj0EyVAGs0DLCbWkk3qD2ah5sqN86ZWD EEoATSQ8NiStoROaEThUz5b8vBH2rELiRzmvR/R4Rmtey3wj7P0eUFL4Vp3Ow3CEQXei6GzN9fQe kSuRR+epSSiP420dNNAUmOLVczhlTWpmPHDyPFh/9Po4i7elV34/oJYA5WkmH4Q3nKOtZYtkf974 ek9vm9OC9Q5YGq0NbJ7CkFyiJOS2KhVWd46pMGVfjKcwTRDhja1nobLEh832kaNleOdpBOUEk1fI 7ksdHPqF5zSdWFXIDz3DK0NvHEyTKuONaoIDHCOJB/aTxZCRBTzO/ryR9WxB9d9YExK3VOeziYqq 4yRLnVS43wNqVOtortm2E4sLyg/aee8x+RVvGXM8o01UF09I9sZQT19ZvGK0ygbJaOAJOFc22RsH oeYgpwqVHBuU4vU8ZOyKjPjzRtWzZG38hpqJOBSvQL3x9OyAomhK3Xc9VffhOZlr8sBA2lHcd+8R uZdWKiCXd/T1dDYz8K+gX59jL41qFg9YG9T+hlybq2sQ5/tG0rsteCynXXxQAQoNNTVazld93jh6 Vpj0sq86dpAu67c7HgXkbUghPXlUUMYlvJzw0j14Und80xtB77fsu8wGi6PlLTMjPoeaOfT2pxxC 2Wo4e2MAOArmt+uWoWn8eePnWdONei2FzQQOyu/gG8V9fn6R8nmj59mdnH9iJ9zsvd+19MbOs0hi swvVvfo0qTku1cB2tSgrtGM1SzEYBbNzUgYqlmnULMGToMHmGT/0ybJPpreEOICoeq/k/mMxdVEf ctEbR9MkbKHUbZpLnIIeswgjOBEHH4+O8PoTE5AMlNKdpigWB7gMmW92Ca5el0segPtsJ1OnICm2 3Bsxz06moeRXU7C8f40tt9o42TbJUxmsp7EsxUHLjLweCnDpBG9DL/yP5A7SuMUHLaY0eB2zfFU+ b5y8J2O4BxMqP20mz1jeCHk/5/egg4Dp302tIFBOsuOfUyLVs/OTogBTtZSS9x1ty53Tu9YGnjBd siuMjb90ooUYDcFitLgQXYMWoJ83Np7VfCVTpniA3qzmHAcxNHwjhPA/b2Q8/Zq306LW8Ub9yQOC Ny7e0x9pQ6qmxNRTKE+Y+sKAoFBtxPn7b1Q8/U6sJ1WQEe/oJZVzRZ/FeX6ABvLomNncfRERloVw M1/cJfhLfOF/5XWr6WhqfjSBGVskwtxH+byx8CwOX79HE8SO23M0vcfhuDVUKriyd9bqJJq+VV9N pGZqlqLCeFL8TbN4Hy2t2wsbOPVlqnewLWI0gF712Y2VN+3TaNl646AftUQbJqJ3Z48GICdbkgLN ztf8s0KnksqA26hHTW8EPH1rpkau7NK6ipqGlNs5uU/2mnCl0BEeFsbVB+7JwvB0w3AUAKGJsxmG 5wGpfUZNUPdibe4snfKIIgn0/Ea/s1tHghm9+aDS04ZJufzE8Xu+h+EIT/10IgLhHIyrJO9IvVHv FDaRss8EyxCFJV18iiBixKdABo9h+BvzzgICheEGwsCM4KSQAc+51cBgkcfqgqrPl65XC770lbum epVAcOc33p11AIgGo092JRRS7ZXzWVO9Z7QIP2+8O4tOx0/gBLwb5GJ9nsIovHER7ewuapm/iZjC RKHZenKm8Bvr7rchJdahjb6eTsyE0slWByF1Ae7PcqV8G0R4UZi61hYoKH7eSHcPrqCOIglFtNzV 14SElMSqzvHH54Odt3/xTtCEmPVied4Ydza9bLWQaasCXfa605nrlAxBf8Ifq4y/Ee70/tk8eP63 ozg6vwsWq+wEn5NauXKaREfRmwUiIdf5isoGb4Q7q0SSkVHJPuegEwplsiH1qJ0/b3Q7e8/fuhMQ 3GPc0vgb2U5fSnPjvjk5DDd7d9U2SKUY3hnC+PIdeOPa2QElyPyVzHjUak4Y2QlVpZB+nzQmprkx iQaNvqHjeqVwv3/eyHY6K0gwWLS+AmSt86SBenbthH6e156fN66dbdzfwhMCmJOCD28Fv3Ht9sVv NpCWKGSHk5zA1AJXmcQ8JcMch3xInFBvVDuLMtWza6KxcHSY4agN0tScqAYZfcVPlFzIGSutwPTN 0fMU1nqj2m0Hfc7aKxn6nZXYL6FPrSdBn87y/7wR7aygMX7jJ2iI14steOPZ2YISA2NctwGKusPI Qnql7jaAmj4XVFQZbypgZtPDzVcPd4KweaKnSaYristTQPLUUffLJD6XXcuT3HW9cnSUZyolDgkm 4irSPMEsg8SVnuvnjWVnBZXxc0DRXKPfesobyc7izCZYmCtxwu7diAZrXQdoSMzoxnvj2NmNp4LT i5faWY7A9BHbez7rHOq28chkKKD+lP5wzQfZGG8kO52ppIzNwf3HwZBPEChm+n+iwM8bx+72SJ8C Hb52jQsteKPYPfEEQIHXkGElR4iN3JdjVaAOJJh4WBhXZADd6jt6dgfy04L3JEiJBYGCXHkKircw is+QhrMkWBWVN4KdJcFMWCaRTxysVgAVLSGfWvu88eus/eCRJjnmiXzZ7A2EN37dM7utpN0cSTf3 jTTrlcEt6APywnuj1/02WmY2zma+nM1zrZ0UfaiQeeLIXE0filZT5KihVeHlOUTKnzdunaZpEKIC VJYNxso46co0Vsb4vDHrbP/8ZsGAYXfqY2uWQqDKYBacbv+AJ4Zmqbi2D2xDt7U433h1v1nwTmqc c/TCE2EkJEWmBDHAKWWojHrTgGDpGo/MihZTVBQfcvVIvOw4KLsDCUrlObA13zh1Otrqb+EJuKkJ TI/NUhSNU0ADHgyODxvrNoIbHS0l5XqVut/4dD/98ppkxaDRZgmZ/fmDzvEGPh3iArfORJEFdZs1 ym3ZYQ1+3uh0+llxJDWC/W0w+IWUgFh3mp83Np3+3rx/QgJ0lAb0bGyW4oq4FFWyK/1JrFLydYOU YDP3Nkm2FgLGiwwFzOZxPjaPeaMOlzc1XE+IBN68gcoR2wFQw8amIVeBR8bXBPEA+eSQ5/CBs4SU W79L7aXh8SDAvI3NomD0vN/wQLyFgbh49/OK/J2NYxQW9My9Oje7I3xbVBC3WRpG0+Bos7RY1Z/y Mz6HOZXlcX5j+6hdPvbV2LTzO4rDUQWcwA8kH6ygMrJsF9CmxeMBoKDkn2kCpWgCzGpEnxAwnhiH j1ptmuAi50VMKUgWQVRsmvp7HG79HWw1SiJqtC0HhU3HXtCdN5k1Ee5yVibGRYZlis/ja/6FvKBt rQ1aTPP8EnIdOicFHo9g0PUnuBznqhj5kg96FISDR8+K3C07sSvCWRoSNWdwiYqDZilCqAyWeEG4 WHe0iviZ+j4yMPQAhoFDJhkj1DbBScKUkRWtMgGlQXpUEa9M6uZad9A0kWWnfVQnHv+LNcaT6Wz1 BrlGm6YwBq9ZnUyvOi2VmohOae02DoBr5fndo4q43XI92xS43B7chqDACkoFYvCEE29bUodvL6gr UuTUqwTijUUxuMzRemkaAJ+3GID66PzjW8HzAUtzld+sbkJIMV0cTw95mlggAM0Vi8KzxGyph5/N fgGeieRpdn7SP7M6+MTd0WEXJyI4ERzxBCe7OHNR5R0wEMNUSHZizXm9VxLAPYrCSfcBX6FpMB1D +IVUirbgvMPjwSmefifqLHzU6Pyu6xFZc5A4rmBF6g2CiQNoWNZQuwVt7mVmjj2GpyQD9j6jR+Hn 5l5tSycSvBNJBJ/jpCdxk7IUuBWF87LrUVl8Eec0pXbBKFziKfDmlGDLqHvi+WCebh2TbXIkw3Qb s4mKw3CVCdJ1vZw0UcWCYs9IUMPZaLbX+UkRZVMIaDOjGNeMYkH06YTJlYVMmkaWJUlbysmyuUoG gp1QNeuNg6hAqr+ZUQHE+75Up6vnUKdDeaIsH54Pdt787QCjHXV+Ly+n9LAwjmQMGk+JBQGY9ILr yjJBZcLF+lyZxIXhJA8DcVPTNDnbdOVsJ6TDzvFK5COs1yeU77HxivrPNKYEMu0qj069cnSU0yV7 kqoxiMAupgbSciFw4sQLBR8QIeh+CyowrRpgTdlMvQfjJ7alIsFIBOqohbDl2Yi23WCdF3ceYKYs PPUoGDenDpxsd3ROy6xnzWKloKACddAuCxiWiTN4iBBVvvYm1B3tUW28Fuk2jOyDIE9I83iW41DE 40GhoHqgKVG2s/QGHbw1URFqXGYdOZvrBCQH0XCkyNoA9hdu0BXmWVZ56hFCxXotbRYf+TuzNr7A yAB3EvZCuDqofJ8WDHkz2bxsTnrvDlgxfFEQRAleX416N9wG5mQ/m0CGeqI2PB4tqBsdkJ4INYEx rnxDD2PyXgWqz170FcmeRd9CYz0UVCHIdZZU4idFt55Yida/5Oh0jXNzn7OBXYSOmZh0PE5wH1Hj Aqtpei1zkOraI5hKp5vJKCxBcVBXilrSm6urTDz+15IipJm3CgoWnr6MKC43HJ33gOkH6gUDVO+s j1DQ4WPEOaL6uFkDrC4di9XLT1mlg7hBU5OzjaGgIilpRKWyU4AqjDFb2NHC90TVTBxTqDb5YPDV jobqlzKcGY8HgflweFhhTa7BImBfFMaIQnPYhmOi2hXh0fKiZRUFrcVtqV4zGFF9fNruU5Sk0dbU yaZOPr/USJhlFPpeAZABjD3bw8DKu74aQH34nojIyXQYFVgMcMeTgvvJJmeT7QRmKqyPr/lz8YEH MYE6tHkKkePMh9u6kqzIvq0xVXt17ZRepymDjKg+LkmQswN4AdZsVhlIYU7GciJyoO4hMJox6woi gNkD7gpF5mukcM6oga8J8KtNjhOtajAnXhREZWfdz//g8aC20n/bdzD3QDHXZylEq8iGd8wrQdem V6CqaisEiLGRxG0Xx+WGrJDyxRTacDDe7OfGK5lifYnJjNTqEkh0HUQzoLc9OEBVCF/zzy7n1DE1 LZssNBJyK+yNx6Pz6bcphWNxoChlsxRF5eQDNOxrr2YW86QCuGQ/trLd6IkjispZ2wH6Qj0pjnY4 gcQAAUB6hkMDS4x9YLDZLwE9cQ5nBbPojO+JDicK9MEwAgOySl5SGzcoO54jl4zno+Zd/t1zcD/E XWDzFAblBPkOmTQKeVhcIKyQick9t0f1sykKypdUnXqRXWxX2Gd+AB02cHibs8zOHTV1Nk2swHOI 7y9aGc7Fp2bBiGrjEPbE4Z2KDzzD2wmlOvnaJzqfeDw4mW5xBSsPufDot+o7Qsg4MRjN7UoAK8lO JttXdG614RiMEUHGizp203Jijg/Cl7UBkjhP+Iq4gPVMZqKEfJXbt+MpjK8JFlMVl4xIFbK7mIHk 0uk5YZnwCMPx5TfdVH2PKHafpag23iShNpqrzSDDsD1Hry3NUkWdVmspCsenKrljCkc3piITcqcL rH3wwbBZakvNWxquowt71hLaHz5LuIfwNVHkRKugRnT9hniGgCrndoBf7Zc67R3P/yWBwdMaMKNR yp2nOBpnp2VdMe3BOE0cTsrFMR6gs4hWUxiNT/NWyvOOztTAxU0uEwEYaUjlEE1ToVsWPRXvrmOM OcJonFfcyWBx4RUBY7jviOzgvlknb8UHBDWD9itaAKrtnFe0YITROJ0lqHJtnoyVLCDWoFZzk0Hg Vmymwgq53HYRwNzRO+ZrkQhVGY2fBIad8oRGFTBiCYTNBWCuOSVse+WoEyzatIyvAa0joLix8sEW JfRV8HwwUeOXdAdww+rdr7sZ1shJ8QZ08uvafFxd0uYzADnsANJSbWWG8oXFoKuSxeLobSkUoOZm iAmE6symO8ckDQsC57k378gKniFSpUhLpW8fdIyDh6XmXS0Vj0fLaf4cUDjmBmVXNUtRIC4oHbXQ pTWep1kylrOY0PWVH2phSfOETjNkccp4Y0hqXKOTpzfY4Jlp8DmtWma5DKXfTjowAg3ZoRrSd+mV /6nzWHTdlXvdQXVc7ggnJcbj0QmVfuZpNLQxxp2nKBAnjLrDYscOqP1wEEpKfkANyBdIEiumcKrk 2/a6owcFC/oUYiaeaTv5sNtIEPmEs7l0WjAK0ENxh/nvInmTrxIHIXPAQlADb6+Kx6N5+m1zooC8 EErbPEWhuJrBZJsaAIPOa2KStemaKhB2s3mKQvE5zdhathscvc1ZB/SwGDxlsOSIgqAGBsvc67sf IVpYqfJr/nU4TXopYchKWGCQZ9aLJyTB43/JhqqmsuF8lT1jmVEsXguFsSjghriAdDhbTqmNh0i2 fDlFsfgw+bk5n9GmqZzU/XwuMgOkPmd9cSrPf38+dSPQoyeCK0HTn2uGBM5EISM4Y9hgOHmkWNx1 pVc8HvQ52y8fmNIzdXl9fIah+DDRMA/FaftuigXlCtHh/NJlNyOYivFcT9497+i77mS4ssuDCjuk QKakehsqksCsAL/u4ROdPGcUilfWUfbgLHFQSACtHoa1JygfeDwq0f2iMoHbBQ7ZZymCqRBL2Ua5 6NWudUUwD3pRJpY9nTU9o+r4ykJjEvBlo++5c0q2qvS3nHRl028dYJ5zOw5oY+55yeWTnOkZheKJ 6e+qNrAOxyIBqT9cH4Nv+ZecCukLNHQq+x7hMXlTXI3psfgQzICyM3U5yDcVZ+HPUNZQtaY+rc85 b5/z/PM6U5KzkVznpgA687qJ1v78wvLxthC2Xjjo3fHkHii826Da3DkqhB+CJCwe/wsaJr3Rk0SO p9cyI6DKrFQRHYZOQdd8OCexofvobLtsKcuMQvFhq2nteUc/mjrkANbiasrQoOuSv8De20AWFpBs b1086Y0DKHRixFTkmiQ0NXO0UoEeRjRUc8XzEezpFsZZ8SRgLd0AMyRvUl9w814SxzWbsv9ZT50l YHl3uq7xDOVUDB1W+zM69yeDSLZoNJx6zTQ3JS0RfQ7QnE749DQQVtEbR/uOck8oXtog4FPj3mZI vjsejyA96T8Jy8KC9tNpRXE4lflbI/5G8AJRNkldIqyIp1MHEJLTtCKsyhDEEAK0d7Rtd8LLBSvF QvbmuRBIYMN/01D0OUcUGJCmEiKpghWWxCnZiwzIB80SLHOWyYVUPP6XihHjS+bT1XfdiuJwdjhx hjulBVZuNkt9VJ+l2YYtphViVSQN0lx0ff2YUJ7orCKNw67ruy5lK5lClzhivrBpc9wTblp8TVRO 4SPmzILusuwU8VPkIX/vWvB8hKL7vepQ1Rj7UoFXqCUui7LZboNlzfpgVbzSC6CATVOMVdmq8Mrs ZxKZ8204nIBN2Us+UudoGnUs1RRQT2xI22WMlF2xr+Frgnr4ptQTLgQbhOhBAbnJP2Px8b9Q0AzY kaegdWCzFAXhoCzjpkvdqyk5PQHB9grmGHSfPAHBCtHiUuzvwwKC8QQEsEFaTH0gRAfwpCqY8P/8 0i4X6aMHBATRrbgebvQxaR2DMSxbg3PMLeYqfUCcNoSptN9cJQMRMIlN0DxFUTi1FM45VoaVCISm +R9WNyR7Aclv2HurRLBC9qbJpyxxgTnaPFGRopQhI8UzY0rqMjFjObFWR5MRx/NMvXJUn5PdXWdJ Bc0+OdZA0w3n4JcSRnV+1t9FcZq/JlLJ7ikeReKZLj952DrK8HBQJF5TGlYfP+fTXFagW1FRfMgH KRcXYr9+phuGap2FJQiwF9Cm2QQmuywzUy5ompk1YKIA+4qgKpK+6AB722D5LzySujIWvmcEfeo/ tx20m8a6QcGK5cV5KokiDYPAvKcjehLR/SzPUZhX8xTG4oIT1K0tyNGjp5nRk6CUL7DQVTqRkO1D eY4unESqCH+Rdd+FSipdEBV6Q4iUxtjy/NqLfz34tHg8Ug/7j+PB+bvOm9+tF1I4U34O8kUOUPZ5 alQvJk6lQplQ8xSCxtWMqqY8w9EZd6h6pExzkXY+lTkY5wmrmS3QzPVkOBVkWPiif9edhlQdztfK seb8rp3N74H63Aor4/s/BiPnuxcwMjZPUTTO/n+rLTs4s87mmqKzu0YtyCgmYbTCwrgQYsXgKhwt LkhrkeZFy6hJciJV1kidBPGnAqnlXNeS/JUj4HhRp7z5IDzPuTRH0ZV3srsVReNl/iKiCZ4FBtIn KorGF+68MyH1iQya6/rnmq7mMRSjpcceReNDIr4snPjoG+9s5nNws9MyzpW0gcCmNjSwmecwh7lW e0IoakOvKBxnR+qs11Z9EJ6nnfSXsUHNs+LxvxaUUdIljTc91txRRA5RWIjTjnkTYZfFsqKXMrzl tcwdsjh1hrdqctp13hpdGhAxB+vx7D1g9xiUnBMKcl9nahq5b26Iy8r4jiLyRmPqk9ptDaajCrlm 9kFg2srHgxpd/QUVQBV7Pp4s+z0ix1NMfsdont7VPH2WlmusQVnbFtT+t54KlGTaHZ3rCg1Omrbj xqNwZhfYF03Rgnyu0tfJbjy6IOwQPi7KHVV9NagyXqHiIsrdyYP3e0iOX/MXPl7w09UbGeyYwklQ 9Fqe32Hd2wkFsVE7oTrPH87TX/DxKnEHjraazmY7cQYyXcAKpsqlOMehvMFrKqNsfj2Dh944KtKh UJC3QvO9r6fdiW4k2XeSIzweMTh/M5cMOHS+XLIdMjhHEkvKqgVorK17kG9fTknAVkxTiFExc5+h vh1HP59Qt6bsDhI8+GoJE92Q6G2CUDfLKupH8b7bUVC+SUkcVKnVoOOpJ9Wtv+eXrnj8L6lMFWPa l1BUm6YwJGeRrotcJyiPE4AALTGx8QUJSJumEDoua8QBJX8fPS44qYqYzqwWnORE4qssPFHGtD1t YEiU8YWj1IWUu7I0IO9UHETjDh5OJ8bA8xH64mJ5SE2c1Aa6xhqhtGETcTpdbks1eSy0orf+W0qq +DzFIBXGT9Ncb+fjepvPvsOdvZkIn+QC2C3OE1kF4NDO9OgVoNKGrwmip0zhGQAnbHDI085F1mNp 4fFI7OluOiLbAX/Y5TZadgRTgRcpkE77WmtNk3OAwtrw9TTQJdI8RTAVr2YOwb44+jwlVMpJIAHR 8lzBVbbLiDvUcqCQ0WrK8OyN/6GKBTH25IMhMsEUzSq88fG/0jsJjeWv8DOapjAcR7GogbrihDJq d5EqtWrzWmZlnMNpCnEqwjxNU6idV6H23PFpoMCLsxKqFyfVqGbJCWurbpI+LihKy+fPjoAqg1W6 uRlsTm8Onk0AJztBUdvA41GV7jfKhOn8GFd4ZkfROIUVTvTauk3TGD5NEgfRrktgpZDEuf+AqYzm TspX1jCfE2WfZG/Y6bRYmCNXAS/Y/lt+gt4JvyY4ncQkQ45kg3Ydqu+kmp+oZuDxP+k/1J1p0J65 zfIdxeKti1F23ZORW9k0DUka0fan30M8wqiYcvZsuus42jQBqYB8lebJUKHZ6sbkRFnDczRsiFXI vpwtDHxNEGCqH5mV4WUTd4XhdK88ls9GHHg8Euz7T/kJ/mcT0bimSbCg168V6mlbpYl2UtkgKo3q wf/DgjVAbqw/4aOC9WR/fDUXqXpdpNCNGpSeYYwJos6UMDRl7kEVAjIeZAeiL6iLy28KCK9ZoNUp u+lFc2fut5MYSt4QmsmbH/EXvp6iCoOZATD2PmGhxiGz4QFj3syFVUY3uZC52RZgrJk3oZldH/VP Rd9idE2OFpQXiNVv6dKdpVtBqxYMA0FnRkBSerkCNJSl5zdFyqK0ABTNhYPacWQWid8yM5+PtAv+ AzE4x+iXCgo+WaELUJdI9HK24qJ4IEt2WWLak+rH09rCOYXgcZuknZ/xguoGqjQE/La23YsjLRQj T+p8EiUgN6/88X3pf8IxaCUiBQOyDCsNK5eYnfC6TVF0Pq7eIRHk0KFZ41lZYckcJ3WXpzxK5h1i ctZ/AVrX8T2gYMkbIcUmnAyoTpSc72iThTrOOZmQwCbA1Ss3NOpSqHiRyVaRC3i6J3eEFNsBJfFf tw86tqAwRLQAHPT4fMRavPsQ//YG+zjt63OToiAdRxPuvu3F4MEEXtA6tqb/R7XsmXxlhZZA26qb QvnUB+WTQHk+M0ZF1nNWQWM0GRpq4NwCmRM1HqtKZVknpQjAMrmkYIfugyYLurLsN7VzTPL5iKnv C6txG7Kv36+on9gN77NFzVqZBKOOvToNpUBehAYt/xG3zjCIHXWugkNL4j1NJSqOfh2exQQjXx5a K53Ik3nP2YGLhxb6iyiEyEFJkDV+UwRGJI9jjztotmaZLLujn6rnI6/gW5xi++bcFiyS+WSF9fPJ 5sKq3a7ELi9FLK1JNQuRzdQH7fqoIHZQYbgVQTI52tKqUN8okxSqdpZg21bHI0yI7hVQqfETfmd/ 6SgHpP+G6lMcVHdJIxEwgYJC4/MRKXb8hlkZ8mmr7me2YumVKT5ec/ks8fW1EQHkkMLB3veIjz2C FDjkNe9opxaEMZDY8NRaG8xkBhCV4uUd3fWS662+sATLb4oo6QQkqt/Qbr9hnl+lVbkElcbn/+qJ snN8frmd8nMdRrE7yyYn+7hwjdkfmZq0rkzNXsNNFiMFFhQzmALmdUdPBYeUNMXrGCfOZnqd2dye uGr3vu43a/srR5ED41GiGjUIc1foSsiwtA0+H2zCyxMiMZuX+OzPiRXW0rsclptDgJqwZfRN6BbV wyM3d5+qqJhu3atiytul3wrxudvPoik4mGRIWbK75G6y5ysZspeeRxIxvugf1arG89QGo3yeo25J oS1PPv8XqEzEIqTf5Ups5tC7k5pWra3lyyopiZb60XIbnFlccSwH5p3iziHJsRh+3hh+ne3YOhui TJ2hx1JUsYIn++D0gwLsNjg0OM+Beadz02dKywflzg20W8XyY/L54Ly6IpucFqQncz9xQ+jf2Yrw ZNtL6qOYNCmYVd2LMZudcc1VhHJJIgvRfcdHp6Cd3YHl5E7naJFaZ5B0xq470MT+iFTMsX9nlm/J HD5oqs6R2KZ9YeXzQfBe/uNMOaF4f3nEOXbwpBLw4q/BRIc4UKlFjc0MGruikrnCazC08Fw1W+pc 72gv3lAtYaCOFBoeMvJpToBwdCARMrg7PlXF3zlqZy0eUzzXl5/rBa4hm6vq/A6Dzwer6oomC29V oNF2I/fAxVNtfqykPb5Xqjz7oqLaLLWQNiuBWlRh5K7W6Dbf8/34niNboxVEo/4YdLiXcdkH4art C6ys648Nf+Vg/0FaqzX0dm3gXzzPPUZDNNyklc9H8jXtd/+RjFzrM1XvcfuZKuJd6lg+VfKUUZVP 1AZMVU/uWZ0DK8+rczvnKnf0Ml+ugNNK9o8FV0atKGqdZAz9XECrvYDVR7d3jlAcjEAHlZPJudSq ArR9KloYm89H7eT1G1tBOYd+Pz5XkWY521UsWvoOJH1HOzB3F48C5MV3YBi1u1x7Lnd03GJbQKmy NoOrj+ZNpO7Bc3Syp02nAKGo+rR3jjjqjBcWqeoctJMgDLfFjFmFzwedrfSrgY8yWIXFh09VSAVt jKzW7pYOzpGqYTn67t1y5z6cpJ5DQ0/jX5dh4cLwcGGeNGaBjy1wUEZzUDiqBAbeia4msCf7FvxU xQodPad4DF1XYPcrcEAPPUu2jes/Ctn31W3j6wEFOdZzrofyLKRbrXQVpGa9XpWd6guqySzHoOfA 1DO7qWc1dhrHh77X52JVnUHoyWsYLZRiGTRdZ9K1RK/VXjpaVggeETr6oNDqBG89q4icKp+PWA35 J2CHBMocV70tB76eWcoO0KF1FGNRZCUUY+8Gic3warC5iiVabFmJwVfGZfCh8peLPNegPD3gros5 GvyFQT+GCW6+GKHuLx0YB2xzDJg+qGkKLoBSkxOc8Pm/hN71L5/o44Gi59DaszJtnmLx09W6s2aF dQX1OOaEAOOQZ4xEMPb27MZLW+2OjumokH8EfJoEWrCEm2p9iC3YsSmQe73Mq2kvHWm6Wq1v+mDr Ki3N1VlXm88H6U3pP+lNlh4DCHg2W6HDJ4NGiEQ0r1+V7uojFRxcr18lAzPm0OLTuA0Ipu5oKwu0 BlgKSRh/bNLM6Z+HJlpqODVzS0+/y186Mjhh/QqCqhyKSDMbNjBbqraj8vm/NBSzgo0vcxKfq7Dk brqlTF9E0i7N1H8aioVZafNynn8OfT6HFWRwzvnotb5UkTaLNnPihUzz4zNXJ9P5QlDiHFjtKk8v tgZz6POZC2XchjSVh7vJjwytYCL4zwXO54O6aPWgnUsIrZO5Hz/52OdzCaHXbRfWrTtR5Riaxm2q tVQvxwRGn7crX4v0yjg6ir/vNWm2DaPPs6WhXZ6Z6lAfH+X8Rpao0J812UtHySAVArcQ6phaHvCI eCtD/pMJrM0PiGBDHo0yyD/fvMd8FlYIiOniZ1cdTgAXU0Bis0mYrqwUrnmbrFjTXIZmqEz66Jvw rNfVIU6E432fX5a00jQAAjq7D6Iakgm0q3DbSwcoBlyFtRVzacxWuwKWXlvoBPN60z+pRjzgYFS3 nuJVaPi5qUmyufDVUV3dI/dzHm/PnJFaK3IPHD8f+eBmwt2qJAkXc6YDXS6SjSp1T2TIBLwPRUnO Jd9vwZ1+Ajm2/JQ1hbRuALL5dtP8O8tTRZYTVPMDIt7/fwTLGk/28WzDqODe5NKY8uUgJ+OLFEhH T8fGEIOsyQrBMZK8bQZN4+iX4fkzam0i01T4VxH7D2kyYC5owQCREZM3V3ci9P1EpIngCgLeGgzO cHIVieCdiJfPRwvrFkVZLcZ0j3mdT3Jo/dnoVNH7tf7cdJ5irY+NVveIKXeyQm1Fne9LMlsaPSc8 +xjdEngQbhrhGBp50L3ifHajwpskpta0V46gH0ifEVH5IIQM+G+KG85O5/NR7P57YCEKOaHAM1NR sZ30MzijuifaZa8hwyoXI8OKr2YqLrargLxnuaMtqzPP0Cdjsf38CRCMYdTQYTvEvm8epLKxjZNt qkKUTFWznrrwA3U7njDnQK+dtSy4DPD5KHv+DyEZmIZ5yWw5tP/slTtQuklEp7FYZmLnxQ2/0LSy 5Dnw/7zOQ6Mo3eF40dgJxJpO479xkoNMOHJe7B6fsxxpoYdXaN/pe4Jr0BzRRvdBNZl5tnOThhm6 9IEBqGWuTy81S1z14kJz4AFqjkWg+zmSD5e7nVYn1PLTaiSCZbo+KroHq9rzIrlzvNS/BpPOkqW/ DNlXLqty/jvgevhHFRYoeVoVe+dgWdGycW+pBKHGzy4XlLEzXeBOiNP5fFRAvgzurhLOd5ULNc6h E6i4yUtbUPDsXP1kX4+6BE1guAVjK1DV+maxont5HK0mFfkN9oivoPIyBKjAI9243CkPnx/oeg69 QBmLgo/afHCcmm5zq7UHZqAI28tPQggU8VxPATl0AwUVFryR7W6g4I76YUXbPx1W1wglB3agYFYI mNfyM9pMnRUAXwfuQOC1dxIHkG2Jzgwa0gyX7t7tnQM4AyFF5/RPPqh81RIomUx25ubzf0ksZsmb nMADmik+V7ElaCNcbbgw3qZBDVs4c5hcHupXzdy/cugJ2pUOliIwLUcryozN/5UW7FmjjiqCcdBX hnwFYa91Ubv6XaEt6KSvzmju79xlNVgn6zuEVbWe+QER8PgiIKkkVqhHM6/yWw7NQQlfOLffbQ/S 1Mw0Xub0TmojUErzFbuD8iIszdBYbdwePYpKo8HCD1ybEzy0ZSgsVCmBQfpSs8Q1qLK/dLAPKZI3 V5PPDsFdzO3yCdUYup8bJPMDIkSDVxt4b0KsCbvWJyuM3OtUcdTpEUuJIBnLeVgVC3rlDiwKLELv kQUhyjt6moNSAwyVeLyff0y8NtOmgkoGWY32eY78R1+S3xRVR1lIHixmwVLxy8yknnQTKN4vVeAm PyAK3W83la1nqIaX/mzFkGaKyvDZHAwRNv9xOKChQ1daSWFey6sNoVVotwZNkVgHRzu26jlIVodR wPljKs2cKIyToEEA8Upc4qjMGDspdXvp4DZcjN075Zk5WLlhFuIKTjyK+mjgFmq9zCfIIgy5P5dh FLkTfQDvNFfZn7M7y7v27GLDGVKHtrIiqAyNIs9/b5pU7dGkynBuGNjitCNI57hncHFCRq5CRA4Z oeml3nR76UjSk7DtShO1WQkg+YpVMgtNHEbiygrx7bdLSE3Ps7sGkjSfrLDwDmZsz2Ba2WQp4yG+ b1+BQQLKbLJiz1Btv2yF0nyJAHBNa0APTYIhQffeqjaQJ1iR/VdUk7yOtZe9dCTsSVzRkCXfmM5g nic71xV3flw+H+H7/kM5RQyR582fQ9tQ7gaUR6uXR6GE77DkMg2WDE1ln6swepfKQjUsFsenmJxO XtKzULZnkkgASLtIGx3oBRirOQar+0tHxHjW/LqMHPp07htUO5r6Dmvx+SAkzb9xFl1+Ade8kxVW 3umUXXFcqD7aXFKezAn8I3qd0IvzEysO31VtWdYvXeNiuM/7nF+hkCc4oSTOHg7ceqj9hIJ2FSuA cU8Wui8wD028+1Fyp3/KZIVdoSjCDhI2Tng6+QFB8DB+U+hW6Z9yJyv0D+3yD+1mxIcGdHY2DmRD rAENn3OLSgMDUaSY8snOjBw0amWduASCJkjIUDE7iZVoSwWKu19sy29vnkHnQUeeHPiHYqo4R121 5F4laF1WhdX0g2oIDERNxu42CuEH1EFX9JkKy+6JxNzhRlhA3rnD09xmNw4R4uTFhthBVLEVESQ+ OlTmpE/djBzyAhTBnLAAZhgb3BTkWpYVjuzvHGidiGwyihk/dsH7TxzXKL3NFsXmBwQXYfttUWBn yT3Q5iqK36uBZbrDGihoYXQTTjGrDW3KKEQfFcXvIgum9owPBGu2DZoAsJCgwomCerLN8R3EtibX OylE5fN7IgQIT6tVpaYHpgSlp2C1Se3Ecw2WxQ8I+oRX7XPZ0T5+ujmBjyiqHDjPVW8SAottfU6V LjJW+04M4mCZ2EhUDir0avPRUQ0bTjPU9yMSPW/FFUUgFTj2jSvuRbsMfk/U9xIRbgwflEDPDZo0 L0EgsEIjUV7Tz1FFXdYHqxY4iWaRtq2DK+nBvB3UIHYNz3U2LXQJxlaiqnXWZJdgupcgINxghAzF 7WdJJbYnMgWMoPv6zQDWudaQGvWhl+hU5pwYL3AwjuVo4lLT/jGHZqLzMk0E4cNaf2wyc+gmKo+O QgVJdeqHNaIrFkaxTv05jE1vKAd2ok8CXa2UVeftESYc5rgmsNHOz5NqEdOEtWSAjtDKyQ9YZttL R5wvSuhIW6Aj5pffxbn5ksSgzrXb+AFBxW//1kYHQJBrP1sw9DLaTXi+dCNR3oEmYeUJIc5bX1hR 2G6whuoRaX/qfZDo3NAnloLzObYaoR+DjBMsCYBWbqte9vU5MBbFdcQ6Mi96qJkP0z5hK4f5cEax IXQWrdf1mIVVok9AprmzFYo2SoVhZxcG571iFvbJFC/hzN4d2BC4iwIjocbXEMiRoyeE52I6y4ut etoWqC4BQTkYv6Ri6D7NFa0Oc2Av6n0v8MZ80IEFyr/siDYYTIG/KNoT16s2CYd1pns/orKf1+gq O3e+ijDPI4uMKWAZzs3a3FSFER5X1mtslQEZNdWvku/o7QnAVxsarOfAQs9+m79DoZvq+UWBTnWB YjpEv0ZW2dUIYdjmQ7rRQpPO3sLTL7OUYU+l8tXZ3oIgwSAaPyBl617DqmzXJtAMjpMZ1drywKnO bbJDUMu1zRdMkW25nkq6oyc2tM7GasOZfpKARTjpiUIRdROxi1amWWAsHVOvc2T9m0aImw060WdG yejL1jOefpsjY3VzRngYDcqnVJui12gqZzPGau2WFRr1zpUpt2KraPXkU/QaS2EVjSpo9mx39Ai9 nDNpQXuM7hcn0anC+yGQ6QimgVizsJM6eZ/XSCqbf3Zjq8MGFY0HzH/sQG+f1zAK82viVV0tZoAC euk+Ra9RFFbRkA2WOcsAP7ScSlLSLa7v4b7QrzFUBlVQYeYydWJ3/IRg3IS29SD7LUMPPjULN4H2 BdoGBr0+RTiDP68RVBa1YVZON2ChvmUQHKctetY6p/drAJUfIfB+qwit31X0Gj5lUEikK5/ckqfP 7YHmuUGyTxGrzjTyjTbaNmxHGXe0inqBd2xtDDPPBVZZfAKxubCgD03ik7E132mbcnGvsVOWdyrC gdV8UK2lAf7APxwN+NfIiU/P3zmiv/n0w+g1bML2pHLOWd4Xp9CGZ8M9W+ZHDQ/rZr0GTRnCrCpx 5jLv6D3SXEH8pe8qAEKQ4WccwCIDes3fRgSGuqRJvqvBHC2aX03xTeflmwL+3lgggaz75zVeyo9r UVcgfv5q+o1pjl6jpWz8ifPvXnWhTh0XCaULW0x4wvBo6TVWyiYRCXUO9fs42hwNMJiz5DtQnqtJ feSOu2EDmECpON9rTO1eAyXsNcKoEClxwC/HQl2DrhoVnHuv/fMaJ+Wn3645QnGE06w5eo2Rsnfb USC0OUJWZyf2lFktTWbgFyAh4ujENrQLWfM2eoQEgzTQZrHXygBdnsH3JFCvIBkE2fgG3+TEv0ZI WXVJLKB0B/Hadi5LqhQnxvq8xkfZ6hm6+TmdBZYg2ebotaaJvVYlklN/MEHeZW9125EN82ADUL1W NLN0bNR8eUaLuaFKhZYrE5Rzoi56JGGvAc1WiaDrjyzjor/Maz0TcwTob4WrhYYhH3WQaK2WkqGI GsxRN7cinUcTtMOWfY5eS5nZMEROeRDtz85sCAZMA5kBx29+2a+FTHy7AJ7/u/Uy0f/E9qJqB2oz Y2gdDYKHzt9ZwG/sF49H56vXMiZ+i8U2VaLe2RmmM0kTUYPs0uXPaw0zm/kup2Sy4suMM7GlxxAy CrOHpCsVUIsIP/xqa5Qqt6U0lrMjo3nStd+zVE56Lo9q7IBAI0rJAHmeSD6x3IIjb1IDAj/KuJWB rYbee6htfnMwZM4+cMNBnFEeBRSGe+f7Ycc9URIy3QFoGc2NRd0J5imRnFzHtmOpyiiCUdKJgB1Z Bh0XZxuFwbawP0OWIBy91LT6ydwmrUBOZghNRlIdEnk5QLMBRX67xCwffd7ZftlNHhcqejbogstE FbF8tNfnneyHQyaVn3liq3nSLZvz9B5wozVFQCe5z0LgtZJtnqiLb8qVFFAUriyYJ/OxGk0qxBzt bILNUa4UZk3IYFNVOEm8ztR/YDypUGkIMxxME4iUYEPKrV58GaQio2+R2c6JyseDYGn4JWdkNyQ3 ++67KOpmsxBqqw5pgY+qh5R9ZJ+ncfddFHZ3k9Y1z5T6eKaceSqtTakK9RNTri1EC6QVzi8KsCvN su2aE6rzPfBG7IpgIHVRk7vj0BOAtktXHgCwYeA96s9yomfK6n6Iv5P88KWD/g30DOQ0rd09OTl7 ZdnxBCy0l3ijaRqyCk3kr2m0BG6l2YCC7uTYStrV8JwVTrkEHezbY5mqW4anE7FRiUipBOxWFaAT QrTsRJ9EBZW4YJ5y9sCSrTsIgYyebikgCr9ZwG5NXoXm39C/rsjYTTH2JKvbpHXfCX7Z/O5PAlEF 1udoE5XAoMm67849eP7N3G4aB3Pobwb+x47xQUend34fT9St4LL7IIxihW4FARqjlM87vS9LRvVZ T2QQTGnjcJ7CEJw1k8H0yUpw3jo//6/s0jg0s9Q8RTG4yZcsw9ytH8zdQFQ5N3UT4CoAChDPJ2jI zFX1U7mpcZZwQhSENya807jbrt9bz2c2KTWf196fd24f1lPPP/MEWtFs605TFIXT7LNDCuBOUzVF 67WrVXuh/O1FgXdmX74wzi6AmEY/nuBZiMoGakugWSotPutowX8WPzCKE64DMO2N36cJNFjsuy7o ebe/t4D1JuJH6nw8iDENXdCJxICYxpr53nbvgTjqdupAFS8vjZ2cqVbqFUhP7fKJokhcqMxzmpLI oNGiAhQ2JpCclEto2TOaiqJVhzsRIVG3A2Vv/D5Ns8s1JU0fVGECFYsXLCTHP++MPgZPt3xC4SDY zPd+g/F3Sh8vWRqsjqcOt/Ky9bT69gYUUCa2nsJwXNtum3UMR01UO/k+BHWXfFPOrlOVqZDcMdhc JiLOuwRTbxxNFKLMkYBR1aDjqUCTlv0CQjCieFwoQZclh0hyoweHqpVRNF55isMZx6apkwChAgH1 vjhNLHyqXBlG46JcdVOG4+iI4ImfG3kXtsScsAXBtmsQczmHFhv0nrTkzY7mO5EvC4pELYnlg6Kn CZqKatklf955fChZphsVsEh+tvoWrIDzFEXjhBW28YBcR3OZZhThXKZ5p+Xz9B6NZ5carMtYVw7U haTLibdnySQvnCAThXZVCYgLrujdVfS8/Xxi2fKdxpddcL9IsQtKC+fcVl/g7IpECu/JZWb7vBP5 cBHk35MczM7V+52qqAI+JVOyk0/Vao/JDJVrTfm72YX3zuKzoiIKKVuwAo4Ob0Wy2+H5eU5t2Fk3 mmmnSfgQDRTRnLxS8lpT7xG5qxCvqoQY9VWWSSvqpTLYOJfR+rxz+P4TkvM4KrCCn80nKozIJzcc iz1WnFt37+3mhadzERqF753Bx6qK+FVWyeToGPNzsZ5DkxkepN9WllYXjEK+dKk90d68/vQSdHkn 8OGLAAo/6QkMjzQo1EzLLAHPNqyfd/5efrSnLMM7a2nN7ZHmO3sPV0BmNQXNHAfjmwnPef0xPdJs rBMhJH8n7+XrWkSNMR8dqAnUZtpyXt97s67GM+r8XQBFfenCY4VeeWC9c/cwTYSVz0kA8Jw0tcDc YMXSO+Xsg/Z5Z+5lIYF+yuFo9EFg0aYprofjHJVrgiwcWKngEYVerOPKUfbVvosK4naEUzzQRz+i mPROWhsvIFApiQaeB8VYYapSNU92li+9chBCydN4rOmDQOUJck268ub6vLP2GNB7mU7oqALQ/t12 7wG593nnGJ7htdrcY7Xm6QUDKFjYcnoPyJXIk/wihIqwxFbwHScTJvkfUFaIcm1LhBGLZ0CWCjLa a76+9Mrv59Ni7/Hs5OSD8IYncdxTQp1rf94Ze/iLc/0JNdFJGqve9RRF5BQPJhHfM2Fh6JgJ9z19 nkAJ1vH0HpFnCfQBMqD1xNESvJIgQFOIvBeckG3PjCL5ORzIB8NysgSvDL1xME1b7ATJNYMlNYSO HhPwVayRk4d93ul6vG/az76DAn4/sYvPU1gbF4R1X839uqcnwouplrhC1w/knayH9xeneJXxjD5P BXWtRenTs2YgekyGPy1UxiKboz10WfQEPu9kPauMnH+bPl8ajFTVs2wy4Rz2eefq4fHltx0xhZ0Q pyeEiiJyhpq9rO5a8otO1db2Lc3WE/Bvtu/eI/JsEfnZq7IA42jzdH79hr3Lygq4CNJuAVCso9mB mqK36/IizvedpmedEoij9zsIPdBRyOKuO5fr552lh0B13iaCWLUQL5ueuLyT9BB0dfOU2XaMl12c l7DL8GkC5cm642FEPpXhiVis0RKXCk5FkWURpGDn1tIjsukktvm78yVwUEXo807Ry6a4DyGN6YMS PHgnSkr+XAOfd4Ye72RfTUqa0a/Dm9o0hVCUwTZCrpdFtasH5DJtFJdxZEPrvBP0eNtxmqqIeho1 TYClNOhqgrd4ztpF+Xiy/lG6E4AOy6mLFpSL3jiaJ01Q6j4odjq/hrRVoSf2eafn/ecQ5/RWga18 mqJgvGXlvlezrBOcRyD0WU7WbQGCxKrj79w8Hk6Nqyhpujj64XTSt10XCUFwIdymggq0xLezB5fn PcPb0AtH6R2qKo0hgQatJuCCiliMpXzeaXmMhP0IF6X2ZMEz+VX3TsnDgaKeVLoQ6KFKbyMXaLhe YGK3DRDod0Zefrxot4pQHL1YMAsAcQyd8onph7yNz+ShwI2yq2wuRNggHvjzzsdjD5gZyyIt76QI 52rvKtL1JgOHE/yVzzsdj1fl+llNWMlUCrZ5iiJxlJ2oklsdVk+AqWD1E/uGBIR1abHvZDz8TjqT 4LJzRz+boCJUoVt1Nl3tNQ0JUG6EW2VRRsNlf2BGqBeOEjvK3y3y+DnoCCf7QLoQo3zeeXgIXPYN CFikA6Gl3aLKOw2PFwcrc21f/n5d7nPRVZEizJ3Kb5ymqDSepLi1DAPN0Yp0a4EVicYBkE4grym6 SsBoDymxXv1XljX5xkFLaskDOlcftCwKCoGcp3N7fN4peFgXyYt0+pdRYAXpyeYpisSp7HcS91Q9 ARayfsq61BO7BaqAzvCwNO4IsLruaPMEOEfbgETCSe0cepnWKpCLryzPnc8u1JEaD+75nYDHDDZT NeMZVH3ac2dXO+qfd/odt135OZ7QQoGIoc9TFImzMdLgxu3I1NG3I1S6dfHQCi5W9H0n3/20gqf5 p0z2PSYLBQXV/Ux7mTrOOqJ0Fc2v0neD5FLYalGdQIjnd+odMXOUiS/0buhAwounCKixfpu+Mt8z 6t2Nn8wO18hEH8XmKYrEcVFjPV0Tw6wpM7LwcLTT6lZ5eifeEaSgVvk0V53ZnvVUoJqRRFhJwDrL /YoNlgz1JARq3kTIJEd/3nl3PKCSiFEc0HFm/FTPq5/PJV7gpNyfd95dNvmLB1tA1Mu6Gy8KxRnB n101PMZcJI9yQe1Us8PCZbOa+EkBLixr4zVbWO1KsUBGGZptw/A8OKTYJucXnP+AdBUS2G6ANeyV o6YUNTMkPLmn2SKd0AMO8kVrK3/eGXd8z/82g/uJcu+Ceifc4UtJuBuaEa4t2ldRva3QhoV4Z4TQ 8h5459vlR8TUdFjmjw5LBmBhmM3q+fe2sCpZ6Q0FySruAVtQFF/8vBPusonj4jiaGtJXIIGJz+Oh U9v8vPPtuHHXzzzhyvlSgsomKgSrVClMdgnagRjF2TnveNYa1TgzEIAFYus4od7pdtwQAmFklcc5 OngereCZsxTPoe/NOtWZIkgaZKgoFNCVHD5Pga13ut2NoAax4RisfTfOUVclCHg+9vNOtsuP/oMF UDBKqd033jvXDgtqsjCHf12OAzCbNjOLRtytAs2yki2oqDbeDCQ2TdVgPn60UKwEwYvklQ6w+JK1 KdHCFSX8smt50jt75eAoJ3Wzb3o8SZTkK7+BsemRei7B+nln2nlp+qILcP/1vvzGeyfa4VjL5oti PtCQUnOiwW7WoWIyMXXjvfPsfjZe00nO0ductSYopHZ2g9fuDFvBzcc7F1wYFNy3yGCQjvFOtMty ZYJmKV0sdnYXiw6GEpFQ0Jf4vPPs/ldpHHJGa1xwwTvNLpvOIbbGRatQvppZMP3phVYBoMhw4mFp fHh6l+/oNRXkMRtVxwbr1rMS5OWXKKJCo0o05SwLVlHlnWSHLFimDMKwclB+d464xgJAO/n2551j xwbE+I3I0agGwNOrKu8cu1suKG1nR9O5XDDKKyk7yHd4O/idYscFzRN8LUNjXJr5gq88MJ/dOK7Q meQhdv40LIrzy0Cf2mt06D9+3vl1WT7O2MZE9XDQPKHkK+mVs6o+7+y6/Jj22nLCBM1bLXgn1+Gp LheU4i2EkS5drKZ8mYiUw+QshQE57/qKU/mOlt9Bkw9B4KZo9znIp3CJEGYA8qh8cV55OK7VFBXG +y4vFh8oslfBPkjZfOfVZVlIP4cTGR4oWdksReF4VrWgPDJaWktWGHcD6HzNrt45dbdnXvMc/Y5e LVgnQGrkkCYwqf0A69DHO4ndAF/rdu1wMX/eKXU8TVnoXbX5YHsOPfmiytP8vDPqCOv8RYzD55Fi ljZLUTCOHxJn37y08mmKR6jJoimqJBhWW6yptBAzrjYwzuc7+hEOK2rwc7GW2snqh/Fc2AcCqIa9 TUOvopOBrwkCAnhhtgQpChs4S2Ob/B6YGg2PBxFm/8WMnxgCxnQ3IAgjcTajzh100dBpu1CB/MBl 2Jsv0Scqitss0UPQR5ulXmiaNVmfQ6vWlF5zBY6G0pSDkmzl5wCPAvFFFB0CFx9sy0EIXYupDDwe YArqLx0KqLiZhh9MPQrECd49c3NB440gQSlfsFQm5QtC48j1eQ/EcXxb7+BntC23Tyx4DhxKjFHY IcnzA6K05wXRXrzgsEz3NnzNv8AX04QKqhV7y1hAVJHAc74OjwdEln0XEzbrea0vGoo+TVEYToNV eU3ZnivVKFEnvSK/nOHl9Ppcj1AqZPahLsdcRKMVxcuZEITNRIc1xKpZNDxgZpsOfkSWViigQEiP iuKVdpiAgvmgeUI8xo5drXXi8f8f4hgk+wn9sGmKi+LSeDehSKS/F/Q0xj3AK0gbPMB7VBTv2kjD wDzjAfOUvHpbG5AbCPSgpS1oPZ2e4U1/ziFYiHqdINkrR3UnpinEHJ4BLUzOzsCxR6LmOOking9g TxdtSHlN+I3MdCt0PaRqVuFShmsZZYlH0nChZqNHnyh0mMVqj+LwqTi8DzkVcvQEOEHqb1CqDirs awhPP0GXzcxs0F71kq+0gHsUhyPJAZUVIFYMlq+cSSpVmq2tdzweHOPpP+hV9A768AZCj/iapHoj jb9mC433HtCGdVp9E/lvNyhPjyEqSruLnL84OhgakdK5Fjppv2dr9yLyOPxUNsQFsrS4FYfztutR ZRyOWwiZxNVA9C8JFRQiJAxyQqiJ54N5Gn5CJS4+eo55naDHYXji5BgCmvLi29bTlMQm4YbXsreH pE3506/RntGDgvPPKsR1qriSTod5AikM0q2QXNv3gKpZbxxEBazMDRrLnwFmTwSmgKO4iV89P8TG 839tPK2nhvV35ymsjHdiebCeUBHAlDWvE1QeJCzQFRCXWCfo/w7ET8pmkpr5+tMnuMEA5oL77mS/ lUsLWJ7MenOhytP60R+deuV/FZ4gU81uOdFlIpCfo43SekhkCj4gOMsvUZqzej5nrIu+6O/BuAOi BxDi2VIWgLxQeYIKKLX7eOXhF+LOi1AqWZoErUvhnaPjxiuaUotCT71CG4sQfCLHgeaZ1Ifc1+WE 4qM9Ko5DiwKSDbv5wOjyrFKJxhHfiMcjIrCX6NTAOvO0L/2nR8Bx+pI0rClVnip7UhJam1QDQ6BJ txtbURFKxQQJRtvdRv7MZN0nBAGV+PpOt1+6p50AAuk3vGLwU6QHOX6mC18UoeiYtmSx7/Kwkm8H LbdJQGXx8QiUuX6Su4yK/cDNYhMVRuSyY8rmpkCj3mo134rbTxqaG+TOyuAgqo2vbOIWakpx9Np4 h2/BoHDRhMG4AolEujJ+JladppcyB7muPQKqsHtXaYxlg5pS58JjweP8rmXi8WBBtd8gKkuAJfmK GlFMziDy5EbZu3eULjNtmdq3NRHqSFZ7GlFxfPLOO1/J4rhGu/Mm8kO4EPLO20kWZowX6PCFmYUw q4gtLNTje6KuFHsIFBLRIAQGcFoKp04wgseDoPweUELf7bPxbk1lRDE5zLFY8nWEPRxH7MprzYxB cZRXk/sdYWnc+JtNSHuOl//TLyyzVfSkusne73Pl4bbLAMq6vBowf/ieiMapRFj5MOjx/KIKErPy gNEwT2Fp/EH0sF5wTrt54asjhI2T79ppf8l5WsulCuCpsSw0GGVbUD6i0nhWaldl81zRdMR7IHc5 UzTgwpQInoE9hAL3BVvHRgvpfbHQAHANfE0AXm08v9Em5WA3fN4bbkQINM//4PGo0+IB1NSZAH63 Z3gjCsgp+X+yboPLIYAyvSIQ8ZPDnhKvRW66KCAXnge1mG1jtVk62R4uvMaqCjjkNaldXEjshKIa oNseFqAehK+JGpxTYUHyQVjDtJq7VI2Nx6PL7kJXKes3kAh3R2CMKB7XpmOk5dD64YVMNlfUCYZm tS2mKB6fKjqtYQiMcREYsDmA2D1JdygYjS3XpV0JhUOIsKefTXmSdDeiujjPJiSvmQNyRN5QG1LB zJVgkYPno+XkE/V/GfuuJEd2Zcl/rqXsGbTY/8YG7h6BZN3JqD7zMeh37SSZBUKEcNGVDp6Ia3xt uygiH+7/WZ1QRlaWCuPr0hMTPds4U1FEvgR5GluwJo5OAIIjRErypxqIyzrjgg2ECouJeNjJ+BQt GCFmXOYJVe2W6hZx7fyUlH9DaD7xeHQ4fZPMkQeTGW6zFGLGB0V40pdGSGvOThRrAxuCqSLzuxFh xosU+nZX327f62TVRlVoaB7DxeucIi5Mg4i2s2NSbteOxVR8TdS1Q5WO3VMMwxgIGYJXDH2YBY8w Fr84lalFyJarz1JUGG8MXpq8dimqItkLal+N4u0D6KTaWooK43N4ETPbiDnKmCVIOPQ8qGOIVkiX PthJbM5iH/VkMxAh8VnCMYiviQIncqbpqXgG3K9C0Z3YdZDPMk6o9hlhKH5rvjL66tAx9Mr4CENx UswHfXq05TRN4nDObFsO8gu2mMJQfElpxmCpHC1lOZuqNQBU2ARGz3TJ73MMs9qDrWK6m44R5ghD cULqgTuicRfbFdx2IJ2yjvYDz0x8QFAuuIU6vh8yoJlv7BTG4l1Uje7lgiUjHPoypratDQzLQ5up sDounAosEe7o7fINSnBnP+oEdGjXSSsE1CRIV2wUcfJ1StA5HrI4K1UdLA0G55+d4AaA8mJFc5wj Hc9HdZX0NVEoRi4g4TRRM6yPV1HJlopzlFRz4f9GUXnW6SBjobrKDMULs8Xie9zRW1KAbyBfQVjQ 9lk+y2oKm2JLZw2kxyOh2AsHObB8Xaa0/6cJt6FtM4spEpaKx6Nmy4XzJK49KGNuX08zisVNabXS 9lsVuyrh8XPENCIxGNdA4ZZJ8Azr42b/tnVAcbTcriQpJYmL31FjIeCwifoDUYcsS1QD+i698h8y jxUUeB80UYMiLZyoXvB4dEJ5OZObDLfWgA2QTVQUjFOX8bz68Khgk2OhqKAYxEB+gKaJ9Q8OZ+8p 3dGigpO/QHmn6IiCbgFxKmiYEwuNqkrPlxe8qO4w4wo5S+OZov8jG88cHowlTwHHV8Xj0UT9apif H4l0J5unKBzPuvF6cmriolq+vFz2HJcj5fTpGdfHxSHrqqpwtAU1AVbeW37EqIdX4Z6QobCh+7PX rakg8ePXRMeTmGQsFazhDozzLNiquOB8Nx4PYAVjf6V2Gb3KVR+FtSgcryyPLwIXEBh0VuYM6JuS c1qAk7ZpisLxURVc9j3u6DWVjAodVXEBi0ZjUAzOcaYSjiU/hYbUJgZNj64ZMjhTUUPqDoaTP5Eg CcEnRq94POhy9htlXvGZ+pxPYXlc4qH05BPQtw1vI8ztamLnDCaV7Nx3M0Kp+DIyL7P5eJnlATfB DnFTtOHPRZGMSkapDhwYCyeTBVB09JxRMA49d9QIFED17cTEdD6GxELwLPF4EGbOb1QmhBVYP7ZZ ilAqXSXfvh+UyvTCkyz9WHhKuxkcekbF8WWntyoFGr3ZAhHumgmvh8P5uXEYN6UTQ59U7AQwkFZx dD1Z0zMKxtEOBRhzbg1DwfhJrVtjwbafhfyZIUql/dKhRbZSLmt6xvRNTk0vtzyXhh/hjT7c8rdB iqA9F+oaNpsd0RJne2iJdZ3ErSjKbPg/mF5ZYjeRLoEYeBsIWy8cNe5IaSlUEuWg6lwlbZbVuc3H o5Tl6qmYGwcS4OcIj3AqTLLapBGd0DzFLNNZG/GzKQH8rnmKovGhei+8pO7ozeAMFB1u/E6+4hoS NQagDiAIdCvyVVShCh6+J4BCJ3niYaIgzmJYOAhRtMKZOgu34vl/KYWQI5VpX3HPppC+CXyOtStE c6XbmCpPNGoiUgWZns1TKKgiXmJObdzRQ8xOdUzWxQF9Hm2YFmIiexIyGOMh/6yiN476B9R7ovO3 BsGewJQZSkN2x+NBjNl+CfcCqJAuInNFkXiiKi15w+aGR2Ed5sA9b6uKr1GLLacVIVWG+lArWYky PdiCOXAiDapflAVHU7lIZORpA4RGCN+ZTIi0ClaIVNnk/EC4xAYDGoIZjI3eWq14PJIx+gaMI2Sa 9caXKyyKVyYpLeUbX7qt2+riu1L6Al6zmqUwEFd9bvSv0eNLhKcnpmSloCaovzEgWNxAOLpBhHbU k79wVE+ZMkZidtdxB+gMz2NXs/muBc//S2RNUiroHVypghWKiXf2otYtY869HqCKq86cfe/StCsE qoggBba+jQxiSGg5f8FCMZy8RAiJSU28QoS6oc4ka6Tskn0NX/NHca6Rs2ADFxM2jozv2tnkePy/ aGXzGBiXvblC9qZQq0+yItKAosth5XHY8U0Di68QLN4VD1TNFkfH0IGY0Qpl6c+v3vpg8pmhMQne zYD2xI0HCKFbcU28kT2WeDDBR0KiHvts1UzsRB9Qpw0xKuMbUyAef76KfSsUFScXuJC7ziJBqSz1 or5x7nQFmmcpLCrRdX5SkNQJdNGHyfiPJ7qcJyA4i43gi4a72xQg65DjFA4z2ow4mGfqlYMCnWAX aiLQdL7JzLymQeM6ahjV+VlxXfziebB+iPHdFyK2okg8sYGQ5Q0/9U9Vnti6yFZQWQhxtKZCMZWt ilP+Gq3yBOIK/H0QPZ0zZYIx/0NPU4CQKhC0Be0lMwdMVGBfEVJlSXQmUSuEgyXANVXKQKKt8Fkh UGV5LZPwi7VxmN+DPIrF0cuESIg5ZdB/22TWtnBEqtCNad41K4zFdYWBKXZHr6i0NkoHlAtiT+fm 3FIYL5AbzpnWkgSqCH6RdeHFYirMfRObwUumWQgu0fNfErRIDY9HegV+khP6DIQYyAs+USGHU5jD lJttvkkzY7WBIRYlKpnp0WGiQtC4DqlezQW9rot8giH1ye/YkeqQvd5EkZ2JopsYAy8uKMOpICTC F/2Bv6jwAJNgwZJrDeCMZONAFKt+VhSQr/Sd3AHZsh6Z2hVyOFHsPtFa9+TO2glSUzGtf+j2bas8 rX/gVECFuqNtvAUfdHQOSLlbZ9aZAKZF2kSBVG7F+lAjuHB144sClxGRp2nKqUF4nnM+CZp5lm/H 45Fo/fhK74idhfeLT1TM4QTWt1BOQSBWaiwrC1YXoVE/qZucyor1xk2RzmSf1qX+nLwFNFHIycA4 EQuILanzkixtECCNNNuDKOpDryggz51Yup3uIEDPWbNC95zUqOLxYEH9kqPjQgFixiZqRxH5yFKn 7Y72PYm9GZaen904CQay4YraUW18qEwwiuQwOd6iykb3QK41C4tARd8BPANleEF9c0fcYi8c5Xfy 0qBeCAriJEHTkSxNs23l40GNrn2TWipKT+XqHu/3iDyZ4/kU5kLp3ao+S/mq9lUef1SvD/VU5GyH Y/qOHpHXiqgSXek0Z4aynQQOK7qiBYW6Smcnu/Bog7BD7LhZRHE57eLLCf6VpYpxd9Lg/R6Sm1fa 0+M8wRHb0jZPIXa8q19+seO7mZjoyVzSFYIcROlxnuKQ3DDjJje+rtz4ufzOammNiOgG8IL1yxfY CoN7DwTiaxo89MbBNEmrINELqToIDb/qGKqrnLsVj0cEzu9jHJ3kni/qaYcEThITT1JnhfEOIQk/ xsu8KkZUtuQ0xTgVVVOKep0c/XQ6MwS6JqsqDYT5JKso5BGbJdBdrl0wCwD4noAkpQxvEcbKwQ6n PTMr4yeTr3j8n+rQjL8J5Xk8I0KgypYB7XZjjTG6S4UoVJTRD/GBnKd/OP1Q3MDHGxb0s3AyW+aF Rc0u/g/wcgPwqFavFwLjLnxNZKzBKh22Kp2nkLFzObWFa+eHZJWN5yPedPmOxxng53XXUyhuyMr4 ZKotZot7/SF8YlhAsx/C9zlPMUxFkIKtKh1HmycIFqAUS2YiCixJqgYJkqYTTZ6ZHr0C/E74miB4 onr2rqSXb7fLgXh9z1UMsbTweKT25MuJBvDo8TxONjuCqVBZoZHRZbZR29CZKC8mr9FNIj45S6G0 4Y4q44VqNqMPlVWwAYVHTLAwpgyodIxWU4LX9MYRzVWCoiP7oKLviS1MrTYvPh5ld9/WGtCr5TFq 0xTG4mSTQSfY2WTZNFjPcmDhmdMEIKVNU4xTkTRRliT7zreUiZ/3xJMQR6PcU81VLRmsMqjjiDjk gqI0fcb3BCEmMblLJHwOWk0zAeWOL0xt4PEoZ/lmcJYGJlu6gVMUilPiAKBIlzG6onSoH23fc5mY ZdQLdhyKy8uuGFmjPGSNjlJpaqz4ok50MguGDZn9zva7+gS1E37NX8ZIS30WDqIm9jrox3eOuTrw eET9+Q6c0PVY6+r17SgOl17fUkOTViQ1+Z4TBk62P0jPtZgijMostpi0nzheTAFsfSjTdQ4WMCZV TSgL5THAaRD1mX05U398TRBessGyAfOzQXUCxK9cvrCixeP/yn/p+wNW4mxX/VGwoNevnUsaa9UT YB6K5h25zPAWsipWfaLV6p8RZrKSSvKSytywmD4/PKGr8GU5K4YllUlTsgIoP7YisjMuqLXtpQOy q2AXhbWCKdQrFxLitSEz1pPIbH5EhK7P34HBYAE2PVabKVQ4zNp+1JzDwqrE5BCskgirYKR51kn2 CYtCcqutNAp62+jku3MdAZFZSNmAzl5Rhkd16oxLr3QGUaIpMiTHN/0hLVoRjvlgDWGoRQjoPDOf /1fyQsAfzLQAsLyTFbsALR7pazhSbFqlpQLQtawO1bYjxXIK4eM0Rignv2x3dMbUSWE68D2YLNwa 6s3kpE4/2ADn4BpX/VjuvCmErBAnvIiQB3j0LFp25FoerTJrGhNetymKzUepXycWsAK0tvTJCgvm WFJA9xhbkRili9P0gvmeFNyjN0IKLTi1sorR8YoExThZqAa0DT0fVDcXmCLkuAB+cII/JjCdtHMl e3JHSKEbEEWix6TiAwdVWeBjLfdEQA3wfAQZG18rC/bQM+1rI5GiAJ0a000nIxfWJH+bx1Z1o0Ac W6v7woqq5lY+6NPUx+ejPg4hGonvwocLTA61qqAOiWMLqKfVXO+hZBknpQi9Mih7OGQ4yUFHPP7J LXRWWObzQTJzdyER1eeMR+jCkM+mK6ybV7IVuR9IMyNkTcTFzCYYifpI44Swo/1IcMor8UsqCHO8 BeEC+Q1psAGMMSSZVQkPyrxqENfLQKmktO2lIzAimRyoXtmg6ZpnzXCdtTr0fGRc9ks3+uzADTi/ z1XsDLREGm4/Ypr13pstrZO0XaYZbbN0wEfh+jQeOtsWNvoBP84eHIOVqQ6pEjFmAUQEDx2k3Qwt TDvgd2720kGMJSJ65TnPQUUXaMBKbbv0xucjPuwvshk0r1bdz2TFoiuSRa7NlLMGCRXah2hSSjkr 46qzfRgW0DVZZUtck6OdWWCio2qrAlXPNHjCmUUvlw50Rcn1Vl7ozMhviiAticL2JnOfm6PtoFvE tXIe4vP/wpczC6xwSymPw2IIaaHB8mRNVZAWt8EBSWh58aU0T5bxUX/SFSaq2j46zfokOFBGpCR5 74K3oEiFXg/t4dGmcViiLKdSLEkuah6ZjByEt6tgXzK3Oxcxnw+24MMTwqzIrnZ+rau/IeaQ9La5 av36vZ3U1nPBM/fXjjKsoy9rNIjvydE24Zq4CQEb6FxLy8Q1O8iLE6lORlHM+XnkD+OLglJVoX57 o/U7B+N79i09FrD4+fy/uEJELeMOR9ff5yq07qQaJtgbbvmWSy8OlVpmz1EhUdXckjIEtygT3HIC 0ujgllpRre8Stt1rmZZyRkdmcPo3LU5lgtP8nSNaOpPBIhh1GV79BI9QClFpTD4fnFdX548q7mDg rPS444X2neLCTErpqrBXu9ucyqaXaSH+dJ+rCOLC5h2g+FSA0uj0WIBmTwg/6EsJ9pBUos4NCEI2 at/zkmHk7ZL/sO9kdSFLRDT7HsyQvZaz0vll+XwQu+dvKBAEeka/NOIcG3jSL2it6do+q5h8O7Qb holK75bJV+/6qCjP4ckOeec7Op4TfhxrVc3UGDUL1VgIfWO5msa5NlWl2Tv/IYoItNTQoD+ZgEHq zBKCzOej3sM3Cw2hMHXZfaqiqjopdmcl1eUVmZqXL6pNBFVhxNDvogoD9+UCbfmOBsIb511anUvS Y3SYY8DaEFJ1yNij/evSY6PZK/+x/6B9030QvCxh8tjzW/DODVw80Vz9FnTvGcdVfbZfqFSOkwe9 46t7n6/u/VqEchSyiZcf64GP55W43a3PO9qiwrIFIpyLCs7nJg6YO48qLKrZLuS8D3/nSJJFNDQg DTFMW1QZKAUtqrH5fNRI/rX/dkdG+Cyq95AdcTb3X61eWl+r+v4b9aqVIyn1/ReG7Gq579bXHf1U bydy2VXq9ye9SUlI/gw2ySA9rNMkQACqPu2do6OKETuQhjZoVW1UbVnDOh/E5yPnoJvgeFerUpnf 5irkgVKXdDEVUTY4DTeFubps2b6rZ86hm6eZvbQmW0GODk84J36jwQfYVtD9FjEGPNofyuCTEurl PtWwQjvPKfOgLaWfvW92g46N+U50Pv9fjnXIEAIKd6cqFGYhkGOV5dJRcyQHvPTRvSLTIZttUxUp s1in1IvmHO9UwaCNjHD44pyDm13nc7izYwqiBDBJ1xC9Vnvpv6QPzpnXfLDIapxFyzp7TZXP/xcN G/JKR36WVRywVxp898v7yLNdRoNj9WEtX3yuYkqozupmKjbtS8XmxGW1DfKtwJ7MWoLnHAaNpGR2 IzhXQgf1Zi8deAZIRmNTSIqDGqYVNyJvsBNU8/lI491P9qW9+0OfQ5+rEIdeeUYpa+YSY/4mCSmJ J+KnHhDBUyIYO3uapGav844WsEMjD4g8Zs0LXgFWFpV6G0zXYTj/sK6mvXSkepultrV98Ii9b6sw oIgcmnvW8i0umSvP1/2Ur0J/zyEh5WkVUBBCps9Wq1b1g/vw9YsNDT6X6f4MqSJy9F24JviylNWA EU8lxRnpDRvNDcljbulpdjV76b96zLOyUQF+ifgy8KmX2BBU4vh8pJ74DbYuaAdB4M/nKlZPzDqm krFDB40nqfzTpL+ltNlJ/jk0+RyiygzCG2z0XXhizbQahV1pEcg6f6KSzs+GJFLu/VFxY18whyaf dKFGCbn5YKDPTI4pKn198vmIl/09VTTARAXIpypCwSyFV4J9khdSar3VGB7uuJ+Y8dpUhTG7euxd 2A2NDqo6Z3DitcoDK4E2wU1IaSfocv6UlsvFfdZkLx3pbpEPkpg2F4h16EhH41pOHn2vzQ+Iova7 CxOD0fIDoYA7WyEYZsu0mfV26jttcxQ4b8E+iwp98zYnAqfPi9WjGoOPXug7Me6mJ2eHGElaU06f C+4m5zthuSR9QLsKt710AGAobOF0+uz2PhWN1kbNCkI3zs3E5/+lbyP45wKe+AbuodknUvsMXw21 l/HP7b15wAMdEMNUiIF74PZptWj2UfMd/Xif0J3e0HpGYtjHVHMeyFMJkmTc915up5NAju0+M2Uk UB2EoBuY3zywEjSMpMbS1uQHRIB+D0eLhQ39y1k+dPxskkcgZcCEqIeDYnrZ3nouMGuxuQpRMYZH yPsZHdHfkehI1O3cUudsr6rz4ewBYQFtwsd6UK2J0PITRxG2dZ8+WD0m10lwYuu18fmIvPbdxgEg YaDR71MVBe60SzjpSPYy317L8Whj9uqlq5a8dBW4fl789TbyKMdLh2QxhtEoRA87iD4sBXbiGwqg wFdeak175QjzQYXlTdVuDiqJniB3Edpy/qbN54O4vXjcrmMcgmkwOvOpiirtlNCG9dpFxygf7DKH mY6O4d+vqQor7Qrc5zUAnReT1k6QMGFRK8+41YXzyxOGEZvAfjBGrIOTba5CfAwNvia7ehiK2b/h 9GMy18+xz+ej1NmrfIjMsIbPy90cJ7T+1DU4xdcmKq2bzy5MCNkyLFeGhqlz4P1pKGVAY8xeoORr pHN+Oah205qYUko62ABe4Nl8wobhhfZCYhe/J7gEBfv4Hx+dAm1UOaJBEpvPB0fVo2fKDj0gr7td okgO7D8h1Imbb4/xlBkMQ1vAofKC6AC/0+YqJpAKxjA0VxztsOqbMONJ+tHGupICZcMKOrubf1Rh cZKHVbF3jpD9lCepdL3O53iwZYX0gluwn1XM56Pi1XfqDF4GQIY+VaEFKFyRUJFJjsWeVFMRpCi5 4TUsYA3an0MP0MHa8dm4qrOv/dTZB5RDmlCh4IJNBaKF5mJwTGMJyVGhxV/5jzi0FYhX2WDHOnik zIVZZg9cQL8Fz+nEAEbbfkL20AWUkioNv6R3b4rDQteuZfhZBSy7zVQUsttxDlnOO/pMwazqnETi /g2q2xDxiNkcBEjnr6ka/s4BkGFMiU5tH5Ily+QUMtHZfP5f8ooq85212dfdf7ETKJ0GltwsCVzn E2zezJ1cD6Cj/a0aQ2gFOlQRbWpMaLTsBniktDuV3gYw39L0POEcMqECzYYCCII1ULs6XaEbKD0Z 6pIax9z4m+Uyf2K52qRo0zM/4L9MVqYDUprlWVkRRmYR1teYqpu/bCrGhyCCTHsQp4xPVxSzmy5A M5wsR8d9ZF4fNHOFDlIn6I24D+onIxpDcOjaU2rOB66gIJ+bKB6bqYXu40zrALNk6wuKh/yACMlw 8bQUg0EGnq/XRw6MQY0kgOO3OxmpuPLbHjIG5eICmsZmK8axK7Op5Rk9w4HW/ers4aBznrouwkyo SMZXlrYeCSpEMPymSDGIzealqxC2v8xKKtr+kwIBo6TJD4ggRbeLo/78/tVHDfxBQbkHAGrPLCAR /kmbBuSDw6EzleeO5YOhQahtxU7BJhsd9Y8Yt9BxC8yhDp20H5IBsbQzS0pwOXFSUvKX/sseBUUy H6zScA72psAdpdHAI9QkpR6tFzUGn7swCtx5gZ09Uq8sLEGAJmPilkS71HxXVgSSaQJpjyzcx8jj 6c8D8K9E4kzUglSKNLsqWcswH+T1fxk3/tJRe1A47SnRru66gsimG8kkI3Flhbj2C+xTg/4kpeuq nubAKBSlegD7siwspDW8bLLWHlxj2Bm15ntoxaG7Dqu91x3voZWAtCSy/SRrJ4icOuPhbp7ZWEUm dEtYe9lLB9uQagxjs5zMwfoT1cza6vl5+HywC9dVPuX7tR+w0O9cxTV3oohG8/7EWHcTIjIyPHKC u4nNVRi725E1+rqjl7B2P3d8IsW7D7YLmeZkhhmloBNRer/oK9XcQ7tQCi2BfVN9UM0dyEsjKa3F 54OA9JcbXwZnbtev8z0suhNRVLeZFVLyzETRQVXDPwf1T5ujIEPHUOsR5qE4i6OBtxN0ZFDkhSFB a2dHSokRXArC44iQcTxDyYL1BZahOLGI+WikCS6AnUwYCBaIBDYCHs4PCIKH8V1rgMEGmgI+WaFr KCzAUO7Df2C953pZOOTfySWbaGwGpYFt6Pmopu68CO8arUt/Tt4TU50IHq58rcM2tAonecLeEwef 92yeP+dBF54cuIZaogNn3KIhGy4BoXURJx54hsA2VGaaxmFmmx5nwxdsO/QNBVyJ7Ynm1b7Vb5ue cZJQMuzmaapCjLtK7ntZm349bfpzmENqgSxmGLCPJa5cntCuRlmWtjaWFA4FWYFxqHY7rNdFNmFJ 2qw99ppWbECfPrQOTf0qVRErcr7z2+oih+6hBAWfM2R4uWGl7in0UPOwMMrKZqmWA/tQYA2k5bUE GOV4kTKMPJbEmgtA89qxoG+PzEpWv1C1VOyVIwSk7DAVmCI+lM3MiUckKXluwrL4AUGXcPmJNe10 H+XpqAYGorAZk7V4dSbckIS8rE+n03R3LZ4Yxg6iW46Yfaw7Woi14ckzlvAfGcryqg1CLeSHjmF9 XFUvNBP1yhF7ifU+JH42KIVeMIWQtDzgV6GD6CMTJx9NyFzIuUxTFVJQsVrOqinNm8+juEFBydeT B7gzuwj/MBFV0CADJ43e9kLRneUxEOTXQNFPhZnzaavTRHRfEupUnz50EZ1DvWcKCuxtyPAKUElt klxolc9HsvLfOpZ0WZzXhz2HLqK6Bsu6Xg5lJWeZ1ERUEZUHMw3GcQ0GNqKYKq0UC9zbE7gn7OrW J0W30f1K6ryeixDYx5OOoJg784OV2fbSAd+rigVAp7UBetWS01MCzpKJy4lx+AFBIfkXvB2R8UQB 2icr9DDaBgBxUMMcjxv7NJdDaKNeUEPgJoqPktRJkXozRwuwCmRBIFSBycKtyNWZ4JUFyxm03hpk dXwTUlovB4aiONy7M70wnO+R0UwDA1sINNQbQkfRcoNRlUchXL7H12yFVfet5GbmG7rvZLPVXery zNYoDmsIXEXBldZNWM3ZoSafrQL199TAqkLNb6IswP8mgZMzgSTeXwcWLQ5zYCt6215omdigTVhb ryI9bLCXAl9RNCj8wML7ZfQdWLPTVH1ew6ti1OizqHO551U3JXCmvJbkNAJdsa5eg6tyEVisnvno 3Qmo7Z0bY8rwST64KLmzeYz+J5idLkxMY+jX0KpAwBl1PjC6fNAsgRPHc+ssTzz9MkcFrWMFVkOd wQpx1qr/4fw0wQytZkCGbd35wTqbUhsSMKg1VB84UTBDpl01qDVjo3dQ0Z3fneJ6DZjILUQ7PMJ/ JhhZsDV184ulM+p1itC94RQxa9Yg3u6EQIiAMgtPv02RjMc0I1xzRLsxpcEUvYZS5yHaop1dXVwz rtHeW5mysAzUjIPWKafoNZDCIiIkoQJIfEeP0EtFk3lKkHieWF5MpoYyeEcwjYvLos5JHPtrGFWA H0J5HSYjPqhmDJsq6mGASfd5jaEwvzvZDDGdhvpZGb6IXiMobLMh5NB1OR4PMeK8zvLQHIaunKHX +KkYBAnOKTXd0eMnwrBLk6I8wN7ZdSwLQuJzvoDPZzOU9brvM4QjGacQqRHYXD+CY+zBWsUPy035 8xo8lcd+/SqR/kB1xaboNXLCQ1OCevkJMi9BnqQOx/gn69O8xk34gTRFjXwfGy0YKLCem9CcPrkR cNny4jmnCjva4AJUSNjaRiOa7PMaNhUXpSBnxwaVWtA1ITKbzffXoKk8ZqvjAYLCSkdz9BoyYXcu YT+zK6TbsUSMArG6LtzhlrTBHE1r+u38jF7pPButzM05wif1ojki0C4XRP6N4Au1SJOsVoM5WjRw oKSbDSqxQL5VOvLnnvi8xkpYErX5HPHlzl6DPqtN0muoVOwmhIz1svJ5V22YCpbdmlsn1wSsXMYp 0SQp+V25PKNf/QU6JoN03AZLEGouwT000TIFuLP5bDbmda9REjYbb/62KPvdiODiyYJyAVXIfnqv /fMaJOE0m3chSd2EJpp+IL1GSDiy6UXbUnXBs35lv4FYd3H0huahBIjDI1tIs62WO0ebJDjw7Cxi 6Uow2ZElpvR36IIMmvENvcmGf42PuGJZrGukSnIQgGNXUMFU8sqf1+gIb3lPJM1ngRlIsTl6LWpi tzV58s3/saanZIfCJO620o328FrSxGQPi7RNjbE8sroVSuLIsxBxn3XaCW/DbkOBtBJk4cUNOsxX vnAwR4QwQLxVQ2OcQ/O11hRvQwk1mKNu/fUhGP+cwCJ0n6TXYiZWL1V1V523adyyicYDAVYciZD8 SHotZZZHiTGZt3G68BZa7swBdit8xM+JUaaw1hTuqHjJSr6RgfHoefVayMTBy6Rkd3kS9OSmDefT Kjf4OZzy57WK+etqUwuUbLr0BJFRnD1YmyM+8cq/XMml2X2aiPgyZmQ0T+oljO1G4XfDwU2H9PZK 4nuCJgHx+5ly44WVpjRuXWCrpfceawNHR42zLFGhbPLDgLmYRggV4d65fsXoVXemcFQSGmbzFEXb icaFTd70nd28kjxQKm7Jt/D3OdUomietI4HQbLSD6cwUfIOo+D2gfC4jDGj/QqYDGnS0/7NG8Rz2 ysH5XQgCKiLdFqc59ALUI9Ea56z5vBP9cMrk8jVPC5nNpEc2v/Q95AbdnTlBrx4JcCVrnpbHB2fb 0VlauLJgnrbLCIk6w9FDgXNQVsrudBiwVCE/gJWihDAB/wwpdcgMIYaDaersDC8wVGxQMnIOLYX5 577j40G8ZGYpFgtAm2SKEMl5igLv2jg57HvbPOXkQCk6kUjYs9yaeBR5m2UFpuSOl9+QUDeR4MSJ 8QEXZjkO8nPA9p3wee17zwnU+R57n/CVwNeCQMIGpbgQVRcfuQD+Gsbes33F3vRK4Ytpmt6Db5Nv RMHElfN2uX4Egq/yeIJkuld4o2mSH8G5l5/RsgCwd2rHkoXu0sm7SpI4TCMsGkhJor+syzJVtQxP J2Jei80WFJZEsO0jyTH0nIAoxAXz5BryFjblTERnuTMVheCkBDWUdX9c2nMa8gdr4ErqQqVU5YD3 GBypnBDVW/UAjt5jOZcR6KKVRKwTvAzJ6CT0pCd9sqFFZ+f4oJfTO72vCDAPgb86fBBI8aTUdH0D 7KB83tl95dFiVNEkE5uG/W/zFEbhixKo7VZ3hQFTCc7LTb8KllEYbhSZbVaY+7HCPIHXybtofHDC 8DFgk8kIK+G6gbUT7xI3NM6STYjicOi0oA9cug/aeHBJbmqq5v15Z/YxZ/bwyfKaH4pS2zRFcTg3 FDAyd5rIbpEX5n6m6ewbm6YoEDdTgjmlFMvRQedzQ7OEQhxnezR41zFbgenIomcteI0uAjC73vh9 moY2Hig8HHRvnQO2QKPqh715Ph5Emb/PJ7gUzXxX03soflYTFSuHJGGHhIOyt1WGeYIAX+DK6O+U Prw+6Wk1r5XvaLsOto4L8FAqVp4DZiqEIDi7U4G79K8OVNcbv0/TJCa/ysOBg4pMg8KYXCEtf975 fPiD0/6aJjT4TtaS7+kUReOdKUvbxTErPZnSPuDmwytxbbiF2judD7+TGnR5MczUaOf4WUEn8IVf WmdbKguyUho8wgbFXQiK8ybB1BtH80TJu8KKEwedTlCUYKWpEoQRxePCNd/ErrDU5MvpncjnZxra /MOnqV0rkKRWwRCBware7zy+chmib5IuJ+oA6pjozQ4Uzd5SsWZmhR8DKj9X3owNzXcWn0VpCJvE UGtl3OgJvgmMnkr+vJP4WBO706SIdP1s5Hs2T1E0XihAZbAeSgaNq1FSPaYCJss9aN85fIz+DM1T 5h3tdGoQ4p5SSFgnT0zEUQHlik2PLBKBeLnHU7ZXDtI71Dlr5aV3oo2CY5vN7kYWCZE65/Bon3cW HxeHF1T4jnBWXf0WC95ZfFjIRcd3cnuZJUgwO3RteURe87aKyjuJz0uDaBAIRM3xgjbPVOxJ6cpx JqUQ4A+t/cRmKqRiO2GI0m/SonoPyZM3flcWfQF/MRt05aziLJvsE2x+3il8nGufKZ7b59BpT1Xl ncCHkJyFXqgDe+npMcnOrh0LuyJnhr7z95gsGonWpOTHo6s70MeipSJOwJPcb7YWzq2BAwN49h+S d114g2ou7/Q9nDIJMIJeyx0UayKnFsr8XLefd/aenXFfKd65yqGu7fMUxeSL3OxOEylVVuqNyaEu aZtvUGwDMfk7d8+je4hsmqDZg09BsxfTT8z0iW/KSqL8D1TNF7Mn0MKs2Cv3q3fqHn6PJclY8odg XSOq8eznF5iCAKOxEoXkY33XVnBGzb5v7ykKyBsyt36yT69kzpWv5hQNboQtL+5I8E7b45XXVZ7T vuPoZboJugsOLYjS5MUsBo0DGid02ltonuwwX3rlIIQqMpdp2weltLn2nQVimuvzztrzZf/ceedo HI3gX87Te0Ce5fJ7zsLpPJimhoEw+Nt5MG06iOeds8cgw8S+/38aTAK5BtsCdx6Q5LVIQYISVXnh PoU0yPVdX3rl9/NpidyB89AGQQ7P2aBy1Jn9/Xnn7Hlv+8ZQEAkb0NWyeQoj8iqkxbUwXM5VoE32 Y6+6DE/+TtjjeuaxtFt+RguhYGtxgh3CySEvxdXJlniGyyNketDZ8QSv2Bv/MU2oPG1ZN8C+mQHm zMSkwwFr7887X6+YJNaznoAYFeaX3xpVx6l357rfOp6cAgNmozCIFTZ93vR9D8kfl+xiklzlSnKd OO0c5aPRJRt29cWOMALuJ6l71OUyuixKfJ93th5LI+iw5M2CJgcleOd41FeiP/R5J+vh8fVd0OyU /AY7WdMUReRoEOde6TViy+np/LZi9YK9BAHGtnuPyLOBnFBBaXf0aVon3eiVinjQSc9WRJ8wVTm7 8CyM6R27swm3XjhaTSxn8vjVIPwAcJ5kop07fnzeWXrM1NLXpgM+Xz+f2uNhQC5HAnKfeIjXkj0g 37T+lFpEqsaneufoeX4JWytWCzRqlhAI9My6Ox0uzg5Tykx9N0a2ELpy0TIsj887Ra9IHgoavIQZ clB6V9EgJWLwXAKfd4Ye04byKwtGdtc9bXkn6HEBE4pS+11L+a6lrvKKDLKz2Tm98/N41XGWutwJ NdosLWhoTBTU2t5oishrDp4H50yQSz0WUxctKBe9cTBNUuEaEoMdLgYL6I+Q4O386J93dl4x1e67 5cTsSl5TeSfnYTEV6r+W6ZDxQXYoa76JXiRSoVzOY3zn5hHUZMkvCf0afcsh9R2L2d3Z3b3UbLwF YH2x7uEz4Qd4sxeOkjusoj6fQYspV1RtGA+U8nmn5f1/5zeIrm0mj5veOXmYpS0TkFZ9llQ1IN2a IHPRraXV0vlJUQ4s9btpVrRz3pLvOqlWWYV98gLOwVS3oUJ4iHqEsrcQYYPErc87IY/pCldRFtcT mkUkhdUEiT6CPM4BUj7vfDz8mrt/pSvU/8/bO1LvbDz8ONVQ9dlR9cOMZ9Epb18ygdZpeSfjFdOz OidPYQdBox9NC80I0LnPpsswbM4qT1F4aVFCwxV/MkpYfOFomggAS8LIJV9NVKgd4paN8nnn4TFq 2V+zBM5Eb/3uufcoXDEI9fVd52D2q9DJnrZWk4wbOUtRWVxG8zVRgM9GK9CdHTVh9Fgkc3A+10SH AfQcKKvlXurTZ9l646AftQSQYx1TeafqmDj7qAB/1tTnnYH3q0CnZGXAhuTec1EQzuZRGzVd+7Qy vCpeqJSnggq59TzBo6q4lXuB/b6jTROcPc6BxGSFqMLJgkruLCygB/MDCsdldhJO+E6/Y96wiEtZ zQdVns6XqFUI8trnnXznkL4v5AUA08mTlXfuXXGHoqZwmyVNilkLntKyw1N6dZGfd+od4wFx9nVI nZHLaZKbkTIA4axj4vRLEv7OHfIge1bKrFcvEQjs/E68K9eOr1OdmlKtYilWAI55z58fgu/5r74d JpgI4n37du+8O/YsNu+35jx0CJTfotMt0EF3xtZTWBdPWk9dyQpH57AsaMug8YP2wckgK4XITgyO tQfHEERp3j/IlHD5vLPuSjJTiwWIJll364dbr7YMXBuLTjPz+f+S/Q7T1/WJisLwOdRnyV7JXNW4 ioComr84sjovjL9T7spVMF1WJVhPlYBqXZ0+cOgDo8ZJkuxZuoiaoHsAsv1jekVtg3fGHX7bbCfT 8sEOKNeXPWsrf975dnzPb4DhSTBPiNs8q3un2zEq4IJKQ5Ja1zKFF8jKjnaGN7gsB97ZdsVskqDI PNIdL07lTAj8fKiij1oWN2lmuTbT6RpiF76giI/9vNPtCFnmQV5038GskOsJBqfsDJ2gtc3PO9uO fbvv+w6/6M+iko4mKgSqsDQO82oq2REWnqmbX87GZekSeWmiWhxOqHeund3XyNW2dh5H72/C2CNt +cmAzi49DfB8FlrWIBEhBXDsPKW13rl23jKHEUPVUBQvnh+4Sjrq/Bbr8860K/l6Gg9jncEgpd5y 7zvPjqkdMass0/2fJLaKm1g0upgwMgD52VZUVBdv6rH0nuYdvT63+4lkEwVxTy6Te2c9JVHhP4Oi Sqrak9x1vXJwllfaFhKUi8HU2hCvUh8Wrbj6eWfZ8YTa30c5ijxPr+WdZFdMqw1oQJ3fWFupG89g O30FicDMuvLeOXbPlQdtxTveFudJWWYi3BAZWJdUG8vMAPdCrqRPFwHMbJB93kl2RcQvpHV1+GDI p3PgiR08S/28c+y8IvmcUBN0jOEFlXeGHXFlhKq05lCVEw+Y+NhGrmFQlV6aZXfvBLuvFufm1afR 8hbotG2QOpBwQlotyZuugOo8qf4CB21LglVReefXMQkm4hA0DhusVtCzeYnX1j7v9DoCFr8vPJhd oLN1911UF6cMbisUfxaSrlVvs5RpalEgdBfrR72z64rpkSQgB/sdbZ7amYY2UWSkbUWZ1Ds781TV hptQH7vlOZR0Pu/UuiK2F2wLOcPVUR4wUZorGS1jfN6Jddg//bvwhMO4z3RJByFMRQ60ayYPyNs1 fq67uZAPfJZslv4OyCuKxHe07A6Il0pBXCibA+RUhCU72x0ycuUH2B8Px7WYopp4V/Z7xfKtX75p TqNGZ6ufd0odj7bb3MSBDQcv4olsmqJ4nGY5AHD5pptte+VplNtkKbNa5emdT3dhmbWqiaDRiwUg 44zJTXe+cDTTT5zUd8XFjvqLd+xwM3/e6XRFkvVErVYftJjgwFit8DQ/72y6Ikn0ryATzHboyNos RdF4r0n6Yg7ynWttK6n0ehXsCumJKKm0KBpPbJvUXK20Ul02YdVx/pwTY2aVVM4RfdtVuGNYSpy3 8AQ2AL8miAgUOtHaSgNn6UQEgt3iLG94PAgx+3eIiSZiW7fW28JQnGYeFFRy34VpxPvV6NvM8pxc XXiARxXxVEQmLyPd0WbpJCXnftsqPMHGsJmnGnWeeUOO3W6fXHsuisQXsZh9j+2D9lw9px1ZLK2V gccDQEFJX9MEFMgE6cyoPlEkzhv5vHVxewr8DVZRyd2dBBYOcOP6vEfi9/yujVgVjXYyTUg7JCgJ dLiEjjyEHhubAQtaixcYllncwdf8hbxYnSa9HLSY1nK597b5eISD9lliDnhOmsGUU7MUheF0tED/ 1Wu9UOyyxTRoPqYqZnaF2x4hVNStA+tm3VGzNBKsYWqnAlgGDTFbBxTZYlO4hcDSCgXJ3jhIVwpL 4jUPHzRNJ7IQwaieaxOP/xfe2Lkaf2AQ59MUl8SZ846v9De7Jv5Y2wXYWvb0t0clcaMfLHUONDqs /vy+e/FtEophO8l3rw7qryFVLxUtEasTiDkWBeGsO1XaA0GtjhT9HzHt85I39gnE8HwAeZq/mBpU Y0m3QtdDpmaT67NJPUHqObkpRRd2HMYaLBvRR65HYbhx7ARsttHb5JCtZ6+fogQDdx/TFbL2KRfS qBepgq9kgHsUhguW0nD6YaBrAgoFw6pmKOB2PB4RWn+d4vDA7cPbBz2ia0pKrBDHJfWGfYGrJxd2 lwULnE660kO+JiuYUMR4RjvFF1CYaGgxvBygVlLRDwXSn82TTyLcCsN52fWoLr7MFS2zW96Wq6fA IpL3NNzbJ54Pbrsxfu28s38fQkuPw3DNU8o/bnmZXBBkyWGBUMOUzZewh5xN1gmgjZHu6BXfdhL4 nahEUCbmTarSG77uG8XpTAKCHVA1642DoKBox9GtdwJR29mDqph/Ik9PVrfxfLCebp2A04t+3dNA 6GFlvFNtje6P/yfbyzGtTFBpM89AvIKXwDJBDwNx2sgWKIfc0coE0Hoe9MHuCTZck+KwKXXRaQrl GtaX+OjUK0eFJy2oQfgcriDJO52bgl6tPwxBCz7gn5wW/LfnPBzrAi/6eyx+UxZAp7P1EFiiK/St amyQ4+2h68HKU49i8W2KBE3XGUcHZSInPb8BCwVCRbPyVOiVnLE4SuOSkr0JpUd7VByvFLSFn4wP DC7BJJMaT1up4fGIB/xdUEGjjWYSNk8RZlxWTJllNbqnTpqkSGaN5CXEmWcPLytl9gig4lSyMk1O mhFgZckXfXsUelnyhXuqqD+FiVYBgBaKWw9o/EwXvuiPEArebNMHBeHnCtpV2imLj0d4TM9aqAGC 1t8Yz9YLA/I2xU2cXvNlv5s138rVyVLmxllfGRxEtXETARlz5TvalTfPvgIwjhzO88+xGJADmInl nDuLTtNLmYNE1x6BVMxvHawtG9SUgrcQr486y8TjwYJq37WCTO2VehGZIwrJZxaE7iJXJ7WZxWkh nkhNBISgDDZHVByfy0pOluft617cBnSdTn6Uxf2ZeSskB0YIwpVYXtCDFKeFmlz4nqgrhdAgbVl7 bYvVKirti7vwnHQZjwfc8lG+jvIGf4B9m3cjisk7Cfizm00qYCrZSAi7qdJC4Uz0lkXAD0vjOspX tQ1YH8n7wZbU5JXXwRE21+KJ+BM/AUID59wVRtb4nkjvouhg4r7rA9c754lBGpGrDfMUlsb3r9AA FuTzIldHiBjPCjWv9s5ZBd6TYq9WocGcjq4fUWWckuUnQiIgmyPfg3Cec+EVWdfRWh2lcoYPUNcA tB9xyHVROOfPwNcEuFWW5s5iHRpMNBtSCE2WL+f/4fGo03IbCPoNwdl30NMIcSo4ls/pdL0/Z75M 18a7/f+a16q16aKAXKYIlVrXGqvNEmjTZ9om+wdo+sKZhdCwhhdEzQCobQ8LUA7C10RtFhHMW/XB CZyVWwIG2BuP/0v0gutuIA++BboRheOd7IN+q72AEXmCl8hxYiN4AuqmtRSF4zKfr/AHuuM9ms6E l86+HQs4pZqZLENr5C4Ie4wPTEtpfE+052ggtAjKBE5UKtkFlwR5lMj/M57/V9+O9YIO2cfnCI/C cdYLIKXnymCD0bDqvTKHG6z3Dt9zUTguAlmBUeUdnflz5mOvQctBmMQRWUXU6kklB4QOQI53Fj7V CkZUFZ+kHrDfakNSHLCXVcVPaIHHo6bdZSUS97BQ0Sn3CA/B4lgE4Io6qJ7EDh3hiUahzO5YnWJ2 NyKweE0CMtU872jLqWQIFGei+nAdAMjwc/l2AERA5fFCxateOGrZYX4mpCAwsBbHi26Kn6sceISR +MVjGg6YLEafpagq3hgPAEnqs6RaAUEFuv6IUunbN10UiVMfihXMYSPeObMqflY1sL20g8NttBVk QVgZYtbUm7mzhOgTXxNwyyk1k0eTihpOGoJUGLBymnCt4vl/EVnYYzk3yQAB26YpDMSJDZv53nMz XfPKQvIy9xyuQltM/wjER67PaAlLRxeqL1KBmaiKNpxL7aYnwjj67jrGlyMMxJuMugot51nAZbaN 4n0iB+4HSCJ8QFAsKL7v8IaEcsx8ZyqMxK2BcA0GFzmQckQttwdckftppuLSuKRmqk4ejt4rT/Dd QOeoA7cK2DgjJ4SzgBmCqrlqvjYJOsZD/qbIPkNVX5RtGcpCng3WJVhSJxXF81FV5TakGLOcYwoY ZJupGVbHCb/oq8h/EU0E6oRQla/cMt3OBIh1ftJfOQshyXf0htQGHAMQjw7Z7L1mt1AcTTisiJUe gwTygWeIUmHQVCvhhhx0jp91m6RfVEvF41GrpX7tvNXB8bjY1RlF4pW2sYWGwFQahwG1iirnp8If IytUergidpohf3MLSzDM/m1c+zeJzhUcRIDRoYrJ/yYPOqFmhJZZTqgG8l165SgFJu8Aiiw2aJ4G uI+ap17weHRCre/oCc7R8/bKZxSJkwhwltTVC92rXMvYlowmtUFkMzWsmLupoq+4LGU+XJYzRwv9 ukHvImy9LdWZTEsDmEbBBfURwqSqwwzL46w6zU7JJw6cJ3hw0ysLnJKKx6N5+l/OzxoXyzOjWJzu rw2g8Yu9mMs5ZD27mgoaSzZPYXF8mJxqfUZv3e3VsNdwPp0YE1gHXngJiAuURX72ugWV1Zde+E+E ijB0KV8kDwweq9F4Jh6PyCy3QDdZKEAiABcLm6coGmfJH45ZxgU+2fbwQkEe6frdQL1R8xQWx4V5 Wq22O/q+m4R7MgMGnawOmb4NEOw2eghEFHsnmO5cMxYzrGpHTR9UUAH6RRiVc1Hg8aDF2crXdUfV mXoT4BnWxrGNICh8nZqnuw+v1adHmX16D2FGEBVD98rx1UbH0JUTC0BRnH5TSFuqKbAzTUcwjoPJ 4if6eM4oGK9dBQJOFgcREs8tIwOlNsfA4/+q9xJ7Ae2LnDwBnhFERRaCbBlbytKHA3lqutLPRSkL ZimqjFt5TtURGx1VAIvq8wNQUfxM/ZYC7Zm7gr7IWaf7S8GIbOkZxeISVgXqTkNRLA502ZDj8Alv 8fi/ygRmdQAge2s3JIiJmwQTrOJQHnQPbZ6aczYoe2ZY6BlqGiql20Wbj6MDw1BtaptMuxOFEV3P 1QSb5JnO/Q2h1ds/2HrhAPBE/5GtIJODFefOpyYh6zcfD+bplzAP6JgD2b3NUoRREfpiyj5XSJ5c nUPWktNbobtgsxQF465f1AVmkua99tyJ5UEhp34RCDujiQY80FzZkLpgt9D5LETWzygWb4mQuy43 PDLnJEbbkD5gNZyzsOL5CPL0LbBWeRBkL4rPmLeJJZSQSZrO07wwwzYuiaz2ZozEGSqpCMPDgquP Br8AWnmDM4h7qKLpLFG/hhtuUG0NAg8+T6vojaPICYcTTDl8EOQJnSIJrJ1IA49HEeYv0V4I3Kfh hYIVxeFZXLs+XPViU6RMNcw9PQWe1BDGNK0IpTIknr6X8jWOdjjBafbcDImFgjFgqSAwzzrXDk9D 1HNMH0QaBStEqRA/l+tqPhjKEOpFvOhOdI7HI+LmNyNx0Fu9+qZbYUW827mdPL4E8E6zNIr5vNW1 cGBplkKUSjfWAT1sNNqmw3EDQQrSWUD8acIBM+yZtCodF4u5KAu/Yk1DPrKoULDQrRg6w8/SpKXL Sf5rwfPRnvuGPOHMGftKFKxQR1zp7+7OZoF9w0WpLA8voWtp0/Q3SqVmIQjPSG21hsUEj6UBLwPJ ZJdiXjdnCeP+PpfPKvWJmtZPw9cEBXFKq9VZmg9cTEBVETsKXWc+/i8xUVZhoGw88l1MURAOHCmJ Y93jAebZCi49loL8RjKBghUCxbt5esuWjKNtuXO0VmSIi7U5AHuF+zlTD/s0aCm0fuMBwudWXBCn AB2tARtagT8S89gQEuWeO392wfP/BXiRiXNBR8nmKRQUH9bTH1YiKBIGQXmjj2aeZIsitSwRrJC4 aeJO1iefT58cWiqoCFnBEO4D3JgZtBasPCTUtBdxJM/UK0dtTTploJVxhoKGt5SvYY3Ts4BM50Px CVHw5OcTXpHw3n2xhisKxMkgbvKoEOhJgnSwYsnJzCdxPlFDBUsq1FBx9V7pNHC0ulM6G2/lzg4w ICon2VUHGCDVLP8EYD7NFjBRfH1FKJVFtYslPxYOlv+edUboOGwV8XgEUvleUxCfOmf53XpRKE6r qya9UszTyS2GVzJzsdmDl3A1jsYKQ3HltBM9Sh89eJon+EaYQEgBjJ2H6HbIdVEFgUzTIwmddd/F GirqRkkjpA+LglBX7iwyg1H/WRF9k6LvmieheRaiLceorCgWL2ZXU6ftvYlOraHowEsUjaz14RMV 4sWlmT2zBMQ4Ot4QgUDOJnc8UWwR3hA/Z6YAe+aCMozKtFf+01oE+xfD+e9YRUFPtW0ZR5aKx4Oz /BdL4+QeP4s9fM1TyN9kn6XliyhozTTpcE1ZmQV7ZVirfP2zNK58hKNtPPTpzs9NgbXegcpktwEy DsCooFhRqWDEJnCBihO/KOghLPEReedxEJZnzbPbdeed7G5F0Thp3V9sloVEeN/DPOZvVpZR1lVX Y+imCh3VuySjQgAltdhjYUPB5Ud+Rie6AjxAYxFYJkISdpsuNCp1gE6D9vPEUMNe+Y+WVKVlkw0C 83SoHCoVmRWPv0/USr/MashVAsBHE7WjgFy4zNoejEpxkCF8Li5TozmoYEeF8SHHo7lUCeDotx4E KQeb9wAetlq67A9QYUDLgKw398JlYXxHATnTu0pOGga0k1i9zKQ5cN9NPh6U6G6kuRWgwjXYl9N+ D8itsAfUSPLsbqx2ZyldMSwQ4qRaHyup2EU3yh09IG8dtatJ/4NzAmZBVNKmvU2BO1aloZNdePQ/ 2CFuvNIZCkeqDSqMgzRDoBjl2Pd7RG7Z+tPhBPQY5kI2TyFunKJhY7Tt6d0uBr5YXecTE5dUTfxi xxE5V9PaxjN/yHYVpX1YIA2xXM+tIV3ohE75TBQJWXea2PPD90QlOsoVQGvDBkNfsP7OJdI3Hv8X d5MNWOgco4Vk0xRyN7t8WWzh5J7dqA3l+HkxKsgQNE0hRsWKKknTxNHrvR2+c12NYEQeIh5ARK38 bGr87XKNgue0N/5DcoZOMT7ocMIdyq9EjQuP/0sUeqoUA3WDO00hZlyyqzs5lGfA1tR8JKmtI4sf 3vmcpqgsvov5k0qCjqMDDTNTusTMZeRBco/65Wiane9u9VogLBwA+JrIdIRR054icIJtxrocwg2y KcFT2Xg+CArShUKzkYVLmh0Mm6jQ56fJpzu5N+m3z0/Z7jxSs8ux7xClYmiebVSpfdUKEHCfE2OJ IjUQZqonc1L88+ciIEV84FoFaGXga6L2wZJhVPPBdt3o6trBdRiPRzpPN3hivwzSSbtekfEdwVSS UE8rX1utvN1Vo5S9LpXsmo+EmobLUuHxjL7vVq8oBFKD7py1ZLggFUbCMAi5o4bRasrwmt44qvk2 WgPm7INqvmj1W5Fu8fGorvJLdSYD9LRuTBCqqeQi/phLPbV+p4kCBSbV1z142jFOReBBATOryXpK EOucTwC9cDnVgR6g1P2BrYEqBsNSVxKl4TO+JwKqsB2VWaXjoPUEPXnznW4DjwdZy69GCwSDxri6 YTsKxkmEAf7W6ZuDCGx5tPTmuy6zAIOCwY6DcZXEV+53tNUE5zeQEVXLRBam1lXFF5Ca8FV+onAS vuYvpaeEO8IG8RLPhkiC1dc68HhQV0m/nUcgOzOv308UiTfitXHC2ixtNcjp05bN36aCFewhQYRR mQI/p23gJxZHLLU7UVMd0s4uA6Jo5gU8cK4DTgNSkFmXJ2bAO9RRKUxYaNemQZWCDhKAWptz4PGo 4vtNZ7G8Z17F+hQiVLjpZjaoE5mJ2REqlcW7Jcr0UPkJHxUsJ4EJmsQaNGqiEnyAzxlLzXrYbJ4t uFVUQbxVYGpfAZ0FPIO9r20vHTBdB+OBSds20GbRg+J2Q69RThknidj8iAhb/4ugSC1r7Fmfr1Dc MIsdhUoA11WliBKxKolQaabCFde5zVcck4t+YDWD8VUzANcHVEsy7xbId2SdnUMQ3RlYpv+UzihK HEXG5PimP1T+AetNPuhwSkjA5LE9M5+PRAu+2wmo3p/M6fpt5hTa/3Qp+JpnG3zMixU0zwU+ihWi zuVrnfOcQuy4VEJGG/uOTpc6gf+YmAPQFCFqKHHoAoTvCdkhUpb3I2HPwgG+6S+pf9h2Sc4X5x1T mHKOjSnlJ3jcpig4H/m7Xg5a2xdfKqewYC50TzVd//PPlrvDNNknI7oH8stmipBC+031Olvb9Y42 WXlSXqpJxj6fvJBd5DQZ9cIIh76iN9uTLUKKAnSVglehrNhyx7gz8bNLwADWeXw+ONzndwcGVNOJ iqrPVRSiM/9ptEMyumJd1+22JF9YdbbsCyuqmpui2Gzyt5nty+8WVU1SQWjAtY2UkDN9PqB4/8Pe nlWkshyTUgReobwomCzTB53w5yiipuUJlEbm8/8i6JMOVCgd2y9XOKewbl7UV6CQKIrYW6ozVI4j yYgcfVZjilzdYvFxccscVv74ksC6Fw4VmQXhEyFsSnQj3kIWhAznZH7QSxvSyE3bXjoqJEj/ODcf NFvrHPuarTr0/L8My9gHPFHezu3ZhaHSyhCrkxQXiYylZCyznVk/oLIYwh8736NgnTBkWNxIlIej 1zozjFqAX0JNGAZoFD84SUhmnN6pGN38fOdfz2+KIiyi7DbboRwMtXk2uLyTznfx+SjE8pU1uLLO 8QHp2DtZod4KqUGqfZhoFsUfFDwg75eSyEx3G4YFdJXvYDh4RwseZh5kuiOzWR05HpHAaaLMDylN FIqomsUjC113vXTEh6WwGOiOE8tpO9iugCP5Q7Bd4/P/wiQyBwSKDRvM5ypEtEhgrF7jYFYhDalB MQZVPCnmq00Yya7U5NWXdkdHJY5SEqGI5HQg9eGxlgAHnIC6wjLXUYlymkqxOZCEs9YduKwKSM88 vQDU5fPBFqzfcLtJPtP6WlZR7M5qAOQivezZSHGWVwKkP0wZmRpGmqqwjL4FMFeBQaMH7ydC6FS3 BdB1V7p2E5jYzvJPjWhXbx5zgemdg0oVj6si8fbiCWcF7cVKC2fK+HzUEf3GtQBxOct4fChDrucm OW8sLy1ISl+KR2O4i/AGy9qMKENki6TIk6BiGj0bPFfHRN5E/ZUzN03XQCFRhx+5aWwq6xvamufA sRPLl9jpbg6n2x3MznXe5USZxuTzkRJE+oqvQL5ZD1Iqh56dKGiRP/yYmxcvL9RseeI52SmtoamK 4C0pCSOV1T0v+QHj9wQfBFnfFKQ8U4aVojMB1sNjygT+iFDMf3h2Cl5Op1wOKsOc/zYVEdJL5fNB 3P64B/KI26gs3nghdu0kdR8XreXOq2wXiJqVqE7sCXgVqcKQQ9vOVbWo+CY2ej29j7M7umw7gbvo qoASoNKZbdMt16aqNHvnP7mxaNpykF8uVZ3p8sA6Bp+POsi/SsUoBs387L+opL6I3pAkgYox7iuM RUUgB2W3pwv75NC5cxgAT4IQGg2Ady6nBZ0xtsFP/rJEVzuLChk+dGH3UwMdo9kr/7H9cFNnHwQt gxhOlyYpDHMD684kbuKDyc84rS7sNYfenYtOi02mr/URr4EE0l53puZtPuTAvPOEK86MLe2OtqgW 8tLeBVUctMKWvAaBpzAOhZ2gF64ILeAXBagEeXC0ujRMW1T5rA3GVcgL+HzURf6ugyJ7aOPxpAzM OwEmkHYkEijtv918/w0BzrX/pDeij4pKfCbQLlF3jj5V49xGC90ZEBhmTobqrIDZDJJxIELj4Kk+ 7Z2jNjJdSwA8tEFFPuTRRJKeSSx8/l8dLZYYEKDQbtymKiqtD3OEvXKkpmVHKlq3qAFH57Q+cg4N PMW7LiMLaMfRwvWCOsBY5MSAxNLEYM+k6m3Uo2si7lyFPlWvQgdPKzCo4MfB8sA5FLqfH7bz+X+d 6roDwBqZj+liYOKJY52NC1eHAtplu8bP0AXIagy4GjZXkWdQV1QJ1uodba5wrYJ9TVYxSBNZoOo+ FbXj8u7kWckFvVZ76T+uQDAupg+KqwDeZrB0YtzK5yMywyXu4T9GBQzYA5+rMFpHzlY325PifPTp GE+q16ijXHGG2VyFVXaTrykyx+Voc3VuGPqbkw26aPBDTloCvAbFPhStOFeCBvVmLx15BSwF6t0H dUvBexRHYbXC54PMZnyDXgDXnOl2AXPo5lnZfYfko5WQoT1gWSC7vvhnxXb0LDC283Q+2npGJ8iM 2mF6PsVLO7+H9mChZ32Bcl5BMfsyrqa9dNDhGiSsp3YHi9fRN5laV5vP/yu34XlVWcPdT+kqNPUk mvUkfM3Js2NN34VGX2fpqhHvidkKXT2XCgurGmihXsXEPFNHm30S+jIaqoosMJi5HjWqc0tPn6vZ S0cdZtb3ZLq0FpVYcNZB/J9CNv2EhXw+uAhvdMVACk3bcqGxOTT2pCzAOdIZErDUkFe3YkyT2haR 1mlun6sYkS4k4xRygaOX+SAtyR4/XM5Gr9NoIHCeE1cLrX6Xb2NLMIfOnuy8nNUuNeW7CWEjnoT4 PEchnw9qMfWXLhlgWVTgs6kKVVqWkfy7bcJEPXqVYvrSPxFegQ+lqQpjdiuxT+XOHO8mPIfuBsga kc+gHwUroovkUPiGlJbLBX3WZC8dsY2JtQZeDFrK4DxwhtAYSqSHnst28wOiqP3pyDMYLecHeyoM gbknqnz5AacTf01/BB1Ze2yv8uFutdmKZczl7jmtdTzHs7DQ5UiL6mTQ/D7/B7te8AxGqg4peQkD 2lW47aUj9IKMGKV4R+1dVvkSeHH4S8+1qjeNuvK/hG3OFT7QjvG5Cp2FcP4AU6C6y/0nAvc6R/O8 mYwYBu6BwycK0cVKC+mOl4u1oVjGuaJ3RN8K3NGHGTw0If3gpXZaCOTY4lPURzgXMnA/wQgPrARr Jx7vZyFPfsC/wPzVwoYO/qXPVejyScDetwpQNfTZyURH8q5zhQmtzVUIiZGK2zQ/+fntJ1/LPHuP AjdYpFMKeSeSQIa/kQxAUcv1zNWWCG0+M3U569dg5ZhRk7AeJxDn85EI9fo6sECyoKCaT1UUuTci QAfDCoLRUKQ0MBrKs165ojWnpiqUUpSwcpYpjEYrxzT4VubNzBk1BBqLYpumJjYbcMBXV2pNe+UA 7oEu1zk1KOIyipP+ISzEqiRmavP5KHC/pxWjg/PDjC+39NDrEyWyky/nW2ToZbsU9aZEiIAx2+GN OTD7NMgJTT7HHb3IVyuagUKk4YOZKp0AEJj4jVMnwzvB2jfZ5iqExlBwY3NVUdiYbRh8fJLexkmd 9KKRptstXbEziM03+zNXobj5Esaxe+1qihBJcXO6IKslwToMc+fA8BOdQaH2tgJ3jn5anSRnEmQN BmPasF8g2GpQlfS8J4ycLbhqpdorRw46XRZoxQfRs4Adp/QROPR8/l9nFYEPADJBIvlOVRS3J3Zv WJq6ZQaHyKyZHEg0gAC2qfpHmX00SeFz9MPqRLqTLtmQ6DxR1uZ/m89pONBlavjAwuIkD6ti7xzB +kmvxYtyKLasAJIm4gptZz4f1Y6/kQwwtl/wHbGpCo0/zTWdLp061+fwqRoE4SkQ3e4bl2PnT8mb p2ECd2M/oCtYM5F+iTvwBHN9myzOCdoaRCmptmyYUOLVc2j9qcS5VQIkOdixfkL1JPgHquyB9yfK hPs7tEKvBSIzd6qimB2kogyZZ4entZH8CkwslOuwIqdEUxXF7Eu1u73HM3rxqhFlLI23ky3PIhlr tk4HFb3z11ypzhf4fyqGgymTNmLJzklGYXd7psPn/2VGqP/4bGQAI3yq/nYAXWO6zR7oo9a8WWkL c4ziVTFhrhxagOpSAS+k3tERHydeq+C2s8gwkC8zWkidLS4UvgswdtY+7Wp0hS6gJJM+TC20AFg0 noBLsLsG2Tp+QKRC+Y28yuRgITy+0xUCZLrpcDjXffFsk6zLvNbg7E3adEVB+5DEBEBWd3ToFbw3 4JyEbnM+8dsS9CpvHJel4IRDjO+6U2rNB26gIHJL2pShQ0LTmRiZ8zTa7MRmL6A+AjtQ7MNvhEwv dEK5GU7sByr8oxwHujS6vIBFZzytLXje+2SFBkRL+IVs/NJ8dSYgqXmCBcmbDsDJtlmksfmf4atb UKZztD/KwvymP3Bqj9kls0lWsPKeRE9D+G3yA/5l9aHePOoM8zmzQoAMeYCCpip5lqUj08G8ZAl2 frJ64UShL6jfhNM6NPN2cRCzn/+vkqw8YTmbWeXKlQ3NLBIuajJGSErdXjq6ClkS7RRj5mDUUkDK FbejMhpYg/6vQyFX5urPVRhW3NVG3cMro3Cs9voV+fpSQAcp3xZWBJBpAj6uJPwxR48a+oKRB2sy YDEMC0aNOMhIvy43kCn8aflNUXdifAP/cbXJe35Apo75YOLCihHtXu6rkuAnvfw54sOaOzLeXmhv q9ly1bdzGzKDYH8Cbkg+WzFCxlaWl2cuAhL9Z7QCpdl1dlkVAB44mrOmKjZsRSXJS1h72UsH25D9 ZhJobbAGxQJ7lQHp6nw+Ckh/wf9X+1nov/hchTV3Wj2PlW4vhxgxlbDGbAZFhmi5z1UYuqvmjgLc HW88eqZjgTwCmNrq7GyhjrxR4ikwi4Vp74VeqeYe+oR28iY3ZUA1qOYO2G4WQ2ktPh8R3q67HI0d y9mHX5ySHFqFVjYJKSKo2igwkKYbf+JiwJPR5xwAc9mZFUfvjNqrtHQ1crbmhl+DuhzwpadbK+Gi PYkkBdMAlGet9ZUF6gvMQhE8UHILZR4MeNBoEg0/LAt+e/IDguBhfAcPsNaYqd8aVugXihCU4ovl Np8vpUsSdmrT9+51mcAwFLoWsicarM9o9DZ9OQlCE7fk7Pg9tovvwuwc0AS2CoeEoJO/8l/He0qU p0qJkqaMSRflVx3QEBiGpq9mDrNttHnB//OZCvHtjEnHNukg1FLq9RHv2ytYZwF4rSF0DFV7ouYh 2W+OXpYB3Zupz/krzpbvWbzwip43rUeoiWJJ4VCMFTiGZsIXMUdTPBP84fKIgTsiVQI7tKxz6Bma ri6ckXp/qOPicxXF73BFQtbsnuvoflbPCiWJilpDZ8zIBDowDX1UqqZpn82LKKpzFqBNFwmVjVGI 9enPNI0sdvOFqaVir/yHGQhmnkr7UHmUu0zOa1ZWpcZZr/yAiDdx6zLFDvdRrlJzDnxDYZWdWO6r F33l7uL0PbvlvnGBarFxqBxmSlnP6GRBIEBgMkcLQ7As1ajusGLqiE76uIJeQI7plf9oep0NLaWq ZkpVFRWaboLEQF+FxqFfCnHixoP0XZ4tGIqk09sppezGBC1tl9et2YWIzyYqZvaY//AONdaEUUxa f8DtQDqWybTwrNU52Malrfj8WdQ4gqC8KwypTR+ah06K6aVNpAwHVRtA+jYjw1b5fLSsvkMGoBX7 TM+qChmoRIoWmVoM/jM5waRWk9hDibx7HyewD70qQ32NeUebKuhillV5WsH5tqsiaF2vzKyA6jkG lVGWEzqINtYImeBjyKhBMb5KsCbFBjqf0PgB70c79R4erCiaaGs/6yqM3BtlYViOlMD1cnmvc97k ay4OGyRbV1Hkbv6pokHZ6LEopBzq4BaEIyrpcWh6oQmZ4S8AxMqVGpJffQ6MRHG2N3G8mM6hm8KK aOtwtSJZOaPaEDqJ8uy9GSFC8Z/9vbJCmUYWR1cdywN3FRso8pUcALJZlLeVFYFlqiKF83uPO9p5 BQXrjaBTGMgteyYY+EJPH/rZ++u82tle+a+mF7hjPmgP0v5bBHjwlgI30V9oUfZ9AGSFarvnhJ/X 6KpmtaxbS8kXViWfBTiGJOESJTnbCzOvsVWFrIC6EjXtOzqwfVE5dhEqU/pJvgR+p2n9hOkhGmQu SkxD6NfIqrqETs37DjZPnaALIqrw9MssnXfcBn+cPyKhQJgV/UX1Q6IZmt2BDKYDPpunNp2VFwkN ya4PWy+YoS5p72UyhBy9vADUBxrOPND7LKqsZajo/czNS2Unt71YnZH66xSh00U0O4j7NgjNdw6P psPqHFyf13CqyulPU8TIaVhLRFP0GkxVo7afn2D5rXdiwmsNInSa2czYFL2GUlVSUggLkiCPJV3I Y4ceDNyKirQpzoFY7bA/C6Yjr0SdzqJO+id9XgOpCmhnoX7lmD6oZHwuytRlr7bb5zWKwvzuZTMk 9SageJnzYYZeQygsotacbWMh1KrOIKl5OoA90x4dM/QaQFWc3mo90JDNRgvMT2yT9oCqAiBWayVr GCKSAo0RkLB6ZwgJ7uc1fKpu6pSJGWqEzQiOcQ4hyouz3pQ/r9ET/trhi8he7afBpEZT9Bo6VagR JbK3ljNHBHxTS2uMeafIo8zXwKkmv+BQILijRQPnrtvQAUFpChK4kKvHBXf+F+QAAHZVQDxto20K xL3GTVVC7AgCJBOXhrO+W2Muas3316gJT1tVShuNQFAi1zlHrzFTRbtRDaw7R6BmO0ZhTp8jNB3N izaYoykJ1GHi3xztLIKs57kmqSm0YXlRmQtiOwLWDyR7I/hCHdIkj9VgjhbF89Bc88FgjQnOiPzn Kp/XYMkvJ04JKwZnq53l12yOXkMlHPJkeY9WXVBoKAsm/JMVLGUrKCPJMiU6jIyitVVi4fjwJc/l XjlHJ2aCRCMbDeRd8NqlNpzvNSZ2r1ES9hrj7lEZAYyC+JAKMFDJoUXXTz/R0uc1SOJhdudIQqig 1TRfSK8hEk5sYq9bu6b0NCIxwjLJsHLfAVRA2sPRJJl43jQpr3mvfUrmoCVFNUbwL7uF3ihn5sL6 E1qxHno3vfD7rWY6OQAE2CD8xlms7JOg4JU/r+ER37J+LSSkCxBFtjl6rWniKhTaExU222xl+YHU djHoxkbDzgThgjM7KT2ZSU4pHL0JkyGiOkgmReBn4goouTRUfwEc6LcJUxY9ZV7rmVWi4mfLyB2s T9qHsbQ3acSDeBsiqMEc9f09RxDO3637HL1WMrF4c39w6U0oRls8KGA7wGxUJ2a91jEZHOmwNqlc jnZon9Oiwxmjq/cyhrkQJPZgKgyZKtlGBsWj29VrFRO/BcUX015q7VErmh1QXKXMSc6P/HktYfJi Gz5HLCnkMpV4Dw8iozh7iPVet/NCxszeWm8sPet228OrmFGgfe2xVW7i6GEk+m59UioWNorDpScW oBOgtEFY6tF+UbL7HmsLmzIh5HMHbrk9wRyXFQHIRlGwTcr6jZPAZ0T27fMURds0Hwfmwfvq535x /qj5P1OuUkBPci3CeWIJM0miQ6OXmk4gNlgnhfYmokX9jK2iDE/qDKgJ3icmXuTzzvSrEiiHdhdr Ahx0x5WypE3bzmHzeSf6VRMyvcESmv90Z7J5eg+5oa4m0EHzw2n35eLx62moT4KbhCsL5slAihtl CB+dZguZuQZAEDvFqxdzmQP8YNLgFp1Ij5aGEMPBNHU1DpacOygmomQEJnzqCGw+HsRLI30dT2hz TPEhOU1R3M0fFPGYgzoJMbagshtJmUvaAbBR4G3Z26xypefoF11B73c0NYdP9DSrgdBPHLyQdJX6 ZW0hTOd76A14A7GctHzWoAy3ACHMymUB+jUOvX01EdpBn5T1LKf34Ns0Jt1rx5aTb7ux7l3X5fPE Em80TwT81DbFGuXoPRZIYZdCygx0m1uWysIgoYOONkR/WZNlqmwZBgR0dURnlfOU1I6CcnvaSeaO CZW4YKIESfVtByXWn0HuoSYqisBhBQBcVBs/btpgQBYoSjXz3VmA2ls14D0Ex40gAfmlCeN4WywA MQiaceIaeH8YQgq1f9w2EDTxY5x1hM87uw8bh5zRTk1zDYIonnCmWvGylM87uY8pR/41TzAZWzff fSf34VSpBjXw6i41uK0GNwyA8O1P9M7tq+btB7+g3e54z/Fx7m35bZwzfZma7gkG0AVfVLFH40hG xlmSCVEc3kQnAprHBmsZpN0YjJylvD/vzL76mIVOkpCpEfp1PkVxOCUeunqvmiYyLuWCKRMeTlN2 24Z3Wh+maRo6WFXIrahYbfOzbsA9YNscmSpt+FBdOmfaoooY2v4uATC73vh9mqiE06gUzsHOY4Cr pJwAlfrPO6evPu4WFonDoGjmezy9h+JYTexsUh5E5e+SHbgC+oEKlpsqiaroRrH46NYCVoOTo+26 nM+OSI3qp+zQDevAgKUGzRcEfV8dqK43fp+mKZHYRapa8719orVRh3TOe8ufdzof/uD0PU2off3Q xdnmKQrHO9sEXRw+FuJ4TdHuahNmxEJcL16Ie2fzcTmx8VuVs2m0Yxw4ZwiKV4HNBzQTVIlDU5PH GiFx3iSwN47mCffcqkRCcdDpVGHGxgIbMRhRQJ5/VVGg/E8ZRStXRsF47RIpyW7Gd26R628xXK5r 7eZF73caX71Nup0tiMqX6QGrP2hQCV838LBIjwtKNdBCAW/iUTZjQ/OdxGdBGoLLnH2w4OlsYQI3 0Sj4vHP4GFR8B09Q+t7AMts0RbE4s4eTqOzqlZSVkhctR3fp+L2nHU7vDD4GT8Y53s/o5aazVhI4 2ig3jXMS0mDWIa4VRbYThpd7OrFu+c7g8/7ASRen4tPzNaIMNVSnRdfO82Tan3cOXzVui6aKyjfn nl00KdFcRSXwKTyBur1d0OBy77vlEkH1Nn7fKXwsDIoZ2tYzejOzo/4q2j86dZud+TNX6GDShRPH Srrq8VpT7wG5uYhDrrIrFT5blBvupJDQCfqhH8H6vBP4ONceajJYOn9YAwvHJiqMyBlp9m01Jgrg uD22zJLMqIh2Tucof2fvMbBRIlydSnuPcihGjJPt0s5pL+RfXIDwBymQ+t7gpc1rSi8tl3fyHmsG JA0J1cNBkUEmvoPlg1k/79y9X9VwiqCeIJ6+2jZPUUS+dJQ/mQu8Ym3zSRlMduvNNTfemXu8cmXs OIQv5+ibr3bYGlOguVeISqhgsNDwXbRbR0HPSr3yvXon7t29t82cnjhrzg3U9ZO63WirRAE57X84 K9XOqDmS33jvrD0uJ5qkpHHNCHb2xkoa2c3mendI6ztpr1561TSZ/fkls19gYFwhgnDW1Fl2qbFK lRbMuEGqRCUzp+csX3rlIIKihtL51OGDUOXnqlwCP5189PPO2cM0Z5+nLNJM+RmNNmqcqPeA3MFh zAW9UleLI/Bpgis/gu3cjnfKHoMMCX6Pve/omXA/dyaJQMCU99Eleg3yGdAWWPYF3qHXcX3plYN6 JjXM8JP6IMDhnm0KJX0C/s87ZY9Hsp/kQ870KGT16qW6d8oeZ6o/Ei7Mhalholy4peVLCoUbnVDv Mfn5pYmMgzDgM1qwCR3Sk+p3pi6NoL1sPfFz4UxsWZQMPcUrQ28cTJRUIya9whLciIcg0ie6WhSY g2r2552wx6Lbd18cVr0nhLzzFNbHl6x6e7knVHLnho3qn9hCA5extt57UO6dlkrtYB9tnsAsrlPy LX0CTCxj9goK2mQTbe2HL4s/9vPO1uNJTHjFYGzOQbWVkZfxzc/h8Xkn6zFZ29/Bpg6nGxlEMTnB vh3epM9y8oO8jO2RwaIvAzfee0x+Syu9CJTSywNfRb5awA4heA44aTnzLSRHHbkhasyur0Gk7ztP z5rwCAhm9UEAAlw1rA2ea3583ml6CFXnr1jz7OGTb/o5/s7SQ9g1jXLtdV9Eb4ZDSTSzMYnFbLHm O0mvOqm/tqwMj6Nm6ew3qLVW1VXqHkZmR4aXT+ZyFvLOl8PB+O3zztFj361RLXAsH4x3dhamlPDO PfB5p+jxUl6/TnH+TA+MIASjyAakX81AuQLLWxWUOrfHToazfyfoPQmehDhttGnquwM02BHQoRw8 KCoHzj8o/pgeGDuBgSEkQdEb/zVPGS9kg4KnjR9bbpiTjwd7Lo2vPVcq8dl+NL2z87CaqkkrumDZ EPyZmPHpbamzOYqVfd/JeV4mZJ1g3tGOpgVcGZJ7UvkbXDYVOVByAAsflQ0/wdvQC/+R3yG23D5o NZ1LQD1ghF+fd15eNZcKrSZpJZw8eJa76aJYHAUqEK6zg6BRAPkR4boJciFkMu4vgKDfSXlcTVxF tUklgqMdTUjlIY5E1HtFhFHdgRbFTHrvTM/v0A7VG0c5C4EpQ1JlmFQpVQOpQPF7yB6Vzzsjj3WV 8b3tIFDQS/Iu5zsjjz9PEnynPMj67sj6PU0AD/gkQ9a/E/LwS0nUbaTyjLbtTj6R9m7UmkRvE/L0 nEx8bQEni7qa5tRb7YX/6t2VSmU3DjrD22hFSjZ9lM87F6+aeM8TEEBvARBom6X3WNzQW4jJfNcB 5+mY+nqXU4XiqWYpqo0nHU5ZFuEavTYO76Z0bk5cwCffrJKpLJAPPGEPAqde6tNs2XrjoCfF/Vaq IHOkVnJVwISFJ3pLna8Zrab5fdXBXmo80xRF4l0AlVE8ZeH1YwAVhsQ8w1FbszM8LI3LgzZV80yp t2l+/oAK/jBJ1rChSLWJuj9FWMSUlHaVkSYhhe8cPF47meAUthI4cJ4aoMHmI46f8z0SN1WiL/gF UNPPIR7F4UztGvVqzbmw5+EYlWINYuqjmSzSO/2Op5NawbULxcs782eSoQHtPkBdFYcXOaclYJv7 z4l9qLNevVAgxPM7+Q6XhbS82cOFKPUyqiLQC0VWmCvzPaNKgc8TW8HEfl7w5Tv3jo0LolLL9IoK opxberJG1dkeoJpoPYXFcQcXWoZXWrvr6Sylc6izTHcCnDMzBPwgmt3m8AiE1HW1wLH2eWfe4Xha qmMKGY5yDEHOJ1g79wWTtXHy6c87885LP3dB8dasFzz3Trvj9FIXc3XfeEjDfEG1y9lHbc0mKqqO T/O6ShIRTumh/JzNvLH1KBx17jrit4HBQFqVK9QoKjJTd72ivME76a5K+BipSkk+6IAqJ+TcUoff +fNOufP3fDbe+XlptGdAzCgWV1iA6PjHdPRnMnoiIEhXR78M8xx4J9w9YQGk3+9o8wQk+AnV+xKX 8+xCcTl7JVcfKUNFhdEWFBXRPu+MO5wV7JrDbYxDkhQwF33jIu61zc874c4r3TfKRN70A7SyT1QM VpG8JLEoQvVSRATXNdraZ3bIwkFLreKEeufbVWP8gaNpGj/jEoTR4d51UVA1IZcmV45kn0RvBjgL ANvi+HnKa73z7Qj8RPFpQluWg+OfEjTnmIm0tD7vbDsGBuVrQeHyGPUC6d7JdljFi8kd6ZfyGnDq KwTukM0qfEKvSAsqCseb+HOziFDN0aF05wQ8v0UR0yfBe46080SbU5iiom1RnvSu65UjVCadPrYw vtRR4zxB+GEJlJnr551qV8XneBYUqs6wxfV5eo/HHbo6a3LPndqqtAzQjizD2pwFuGzeeO88u2fj Za0Vjd7mBH8VgqTqBu/SyHTNwugVItD7dB3AzArF551oR4guA81MLD0HAz8h3RYus9TPO8/OAouv A2qCkXGz4HeWHeIJeT/PNT0LVqA5KAGY3eVR7kxcT2Ft3NO68oxP5WmRvYuSSgehrsjeoii2BskO +0RZsGoq7xw7ZsG0ZSz7DlYtwAYglq629nmn2GE1/urf5UQdl3r3XVQcpxJuq2IjdoriOsoX9t9G sVvgL+nCe2fY8aQTMUP+9BotvyNQYaOvjrO2N5DQOE+ZHvMnONnpxuNE8H3e6XV3mppIwRw0TVT2 F65ij887uY5p2j2dxBzOWFIeaL6T66pZZMFdYHhAvpe5N238ORYXlOx6K+/cumfbtakAiqNN0yau EJ9Gutg086ZzhHWahpafDU0MC8e1mqLC+CBNjAViG5TdnT+YIDwxNt95dbztfDUh0toI6h9Y/Tur jlDfovaKS/jMpxs8VvPSE3ANNkv/CMd7XeWONkuogi4WhuBWceJNLTjEHecFYR4DE1dv2+Fi/rxz 6pidEYLROVkctJh666Idn8+en3dK3S+AGJtPOM3UetU0RdF4F9BXaioklidrJEBGknh7JsGJkULn J0WNYKX/0u7R+H2Gj05eObyN+pbi5oRYCaH87G4a/xelDnxNEBGwMoeSvQ9CYEBGXaWnc5jj8SDE 7OM7IjhXfFtP5BSG4pm+jkJgygA6u6NAr86HWkIz8QSPiuKpWImg7jv6LPU2YfVFoAqMDIdbPMIW jN7Gg3Zp5esEjyLxRTOrKWm2mY1RDtW3rvYSdNPweAQr+J4mUElmuk27HkfiW7AL029t3aXFIHnS zU3gTFN3vs97JI6ApGmvySGGo5d7YdPE9djRzUPFX43ixaMT3aSLDoOwj1446gGTprHm8IF/2nmq CjDb2ubj/8JCEzMO3hoQPjZLURhOVwuo57hCyOxXY3NmlsqLama2mHqEUxmsbcPuZ9zRZgk9Ipgu 4PzOdAStSpIBGm0yykZkaYUCKoT0qChemc9lRBs2aJq6WY1CzHzi8Yg79r2YxtnqcD3zaQqjcB7g pg6p9Jdat+KQr9s7aF4n6BFk3NO6LggrR8tWTkAH/V8qtJ7jchS5x5ytiJJiQarOcqTXCcQei6Lw OeQh3jUgbWa1aSTYGsnPsRU8H+Ce5nfhKcPyiEVcm6mQrYmftgFRanF4bheVCcyp4nBgL6aM5HoU h09JG64tazSOlq8M1D02DCdA1oAdrZSAE31V0fMEIr57wVdSwD2KwwsZ0b1L90LuxCgUnN9gKhg6 /yseD07x5JGTOqLrh59h8xRRNlGPyI0sJSOPt2bztPro7rSQ9zCN9x5jVJaBe+cd7RTvKMYCl4D4 soAnZ51ySNBsyAhlCXErDudl16O6uPU2h0C+qLtKQ2VkMyA/+eyeeD6Yp1F/7bxzzIEvavMUx+Gd 8CzbbtDCv+uJQCfhDVcFiJvrKeRtaufRp8tH23lnZULTnYUnYExLydK1BWMT7Ryoru17QNWsNw6C AtnzZnFaUB7pxIadxbjpYvNz0rqN5/+18UzjFevPW+U9rIwTFJmlgEUvx0EBP9YJSACRPl1nExgH eRyIyzNuyJSCo2OeYHl0Dp4CMA+SscbIIU1kuvhKWvN+6Y/aK0eFJzXLG8VTFoKRYhTys/kYi8yz RvEBwVnev48oVJ1J1baZeg/Gnf4zqG2rlKVXWTaeiKQKU6+C9VDlqUfBuPTHgbIod7SZqtAbbYMK F+gbzELzwgyTKYg8VSpE7mtxQvXRHhXHK92xEWD4IOjcgCqLvjE1PB5xgdfXPJ3D7GfsfQOoCDhO WiE6O8nsU0G5dKW1zFImnavB2NGKijAqfSoRkQTyGXlWVpZ8IeptVjAo+a4lE4q6qNIKIb8KQPdF jp/pwhcFIZRsCLHGbVBFBQgSoQ3PV+Lxf6EyCXZFQjCeplQPA3JqTExVwZnBJJ+oXWkyz1LmhtZk ZXAQ1caNNr1M85Cj18ZPyHrOPioUnNvzpHtZnjm8Jk+8zqrT9FImcRT4ovczqkuedVF4lIMw0RVK uUXVuonHowXlPQQpphAHi8DM6OVRTE6ZL2pWexiVl0vxwDTQuwjZu5wjqo7PpcRORhwaHWN/rhb4 W2YygM7ZVIQG7ugPDrIuoNtjzJbEw3xEQbns4kordxAEo508QFyE8zt8RhiUD0eHSQsr0ajAi3Qj isoZHEK0/1JbWPZSEFUfZRkKhpOHHxbHVcRMrILZaCvqBGHnwqiVpiZonhYe/JDJBH8ZJzuuIldY K/bGEZGTRcxBijBYaT8sZ6L9TCsDyCNjouLi+K+u1Pn7pyxT+a0hcpyM1w7it+ZpS0WTQdSul4yQ h+sVxFgVBk9jyWNvSEh6MHs537JHY7CZz/2ytPMqKApoXKM6ceHQEAIe+JoAv8q7Dk0v6ri7CS9k +TvhzOfQ7Z8RlcbzLy4+2JFtXnz9iELyNpXYrWvB21Jx4FPrDl8ttPnmrotC8mUQlSwHQjk9cpZQ jzgpXjG+65gzFfP9QkUDNRZ0vzwwQEHoM2KkCku9SYCVNNyaA7V10cmgazjCwviVvuDbDWTCj/RF FJDTMRGdO2chDPqOCVzfTQH/3E/4M7SWooBcAiG1FJmAFnfMgNdnx88AVoigBef6FOsOjfJJ0PhG 2CFWMOl6+J6/zqYuC8JO21ZeUbucnUwIBujBeD6qZf4KyDvkHy+4fkQBuawHB52QuecYc1lhvBnO /rx8atYJHlFAbopFSQaoGp0AdOZsQ1YU83SipWw29WiDwhbnrKb5cPGpWjBCzLjccLo5NVYPCsDH 1BF+ggs8Hp1M7TvIhPMvjjebpRAyPovSYK+Ld2EMSE6kIzrTO1QwlN6NCDJes5UyS72jraaM445K Xh22OPn8EiIFoyrSqc1bbtOOBFt8zV+LCS5XGqoYCLkP4d6VBI8wFL9JC8NJyijcpGVEdXESZKH5 4/CLhqaWiV+1daVUrlr7iELxqWZUSaosyV/x/LcIlObJhBbFphJMHmun4mNpqFEMrCF0FnyW8Dvj a4K4aRG5ivoVhuyC2hncRyNqpI7no57ddzMKBjcDsEGbpjASn2r8Ftcen1SqE4VzNScE52tyNuJI XJr225j4+ytjgZpA36wVANC1ZCGr0BLhk7SH7qZjgDn+jMTPviKcZ4g+w20HUDplKc59MBc+IKgW tF/6Ree0IWHIZioMxSsbLX4isWTn227oEKfL4OqmDj3C2ng1qceW72gzhesLSFp0EBZk4rIU56Bp CJwhCJvw8HCrBJ3iIYuTDuqQ9qGK70I4wb+4Q4yTkdPOBc9HZZXv5A71dOQGNlEzrI4TINbp6idl PgSCJjpeqskYnsgpLZVVZixeqPNpqAzF0c6nUdHIQy8G5mnjHLiSO+4sJmJBrPSYJJAUPEOUinxd xHkVcYL5XEcZUIaopeLxaDl52bdy6aFvuP0Un1EcLp3VCjKcxMYLifEoqpxDkWwf2qGmZinwDFmc Ivzkpv4dR7vrFvoHSYoF6C2kIXgYhBAAN8TNIDdUQ/kuvfJfKo8J94oNmiewaG2eesHj/zqgWNA7 B+OY1WOnGcXh/4+x70pyZUeS/edaytqgxdv/wh7cPQLJms6o02Mzg9vXOsksECKECzTVMzQq0o3D 99UN63vaATVXNYm+GTI4pYm1bftx9JjgBCelGEgFMhEUBz/bDmK+Z2nBDLPnRwyT0g4zLo8LncID arnH1Umz6brBk2pVPB7BDX2etvN+FohVNlFRKE4xelx4zdEXpESKScYCt/hRzRvBM6yOD0OnGMw3 PTBfyJ2cK7ArFD/HVVU5AWVzEgl+9rpgaLA7+TXR6cRHgDvl0A3Lg8/kKQ6sEB6PCC0Xo2Loiwxu pCfAMwrGazUhFcOv9iqXPC6oMkzKiHZkNk+hoqGC8JTHvqNXfU+0SmNJmsWCY0YkWV7AL29E5oVu 1NYKpkXXjBUNGV5OeSlNs/SoBM6SknJ+lorHgx5n/44yKT3Tbv474+I4GfhpuVIIyeCmWSCKvmC+ 3dR6ZwRSMXsu4z5p9DrBCTxg4EL1UCIx1AomnHHiJEaA6PETzTxnFItX+gGVojAKg2iJudOqHJCn MfD4vwp0eGxDAQPAEpulCKMCv4VL1WD4lHq3oKBRrETVFCxOzVIoa2gNc5Z1bHRSInCrcJfHYjrH QbficEW5eaCsvL90jEianlEsDqIDw0uZvmVjA5eCbFrrY/At/yWnwnsNuQDOE5ulmLrJIJOeLmID z+pQ6O6aYgjfkuV1M9Q1rGpx7l7v6LwfUIyBK2QsDqyzGlIFRBBFBPOrfbD1wkHbbpEWlRk/5WyR LFLFNAxYv/n4v3BhVUsQzeAbEUQQFaKCwQ64woZy1GAtZSZvBJ9oxmBhMwrFh1AFmTxoG2+t96R5 4LTImRlJa1dEcE6+DbkLyrk5nYXA+hlF4i3JSb6whUCtBdE1T/Qn/fITq1Y8H8mEfIs9STAo34gg Zm5iNSUphjGI2tOJwAgGDaRChqHmKVZTEReRMu42Oi7sxH4nKyAR+Nx38FIxU+JzGQ4iZ+t4aD+r 6I3/CpzOMVR8EOQJNRHeU+3kPHg8wvL8om4Cew6araZpRWF4TlWKDg6r32tZ0WkN8rmk6NCqTdOK QCrDjqa+n/HGA7CNP2ESqZt9ziSHz4brdaBxCAyMiYRIqGCF9XBVLlddPhjKEIhPAcNqxeP/i4QR qWj1hpcrLIdLwmh2kzBC8rV9lvq8swRBVc1SCFIZYrGk1e9omw5EKawmRgMJKr4smZ/0rsoP6gdm Dw54wnP4mggBTVuIvE0PC61xneCz6zREixPP/ws/J11adA2uTuYKpcQp2rVSuSCVPOcFqczqKEOA WTRNIUiFAWMtW5XuIlG4xqDpxHAnoyXph4dW1TRNwteQta9Sn5hp/TR8TRBcJik8EQfNQfA5VJKH FtPi4/8CQDeL3U8cfmVXV8jcFOenLg8HRkuO7C3srUpxfbh5xgqR4iY/Z4iC/CAKTgoNKiv0GlCa K7DLka/3+Y8g3eB86jccIHxuxeVwrKYBiBQG1J8ZEJ4l0RWBnBcpeP5/OZoy1exy9oBgRTE48RIN 96mVCARDQ4lgDUkYIew7+VJTiWCFxE0xD3YRbJfj09YsmQBTqF4gNhjikMkkUOaAMz9IHnvloDw3 uVWJVEEHuf809oAb2aFDQKbzofiEqMPiOw+LkTBYpGU2VVEcnhaN7XdykApMeDQ/cFhz0NMaTrdb sZKKdNMMR8hRU4X7C15ngw6dOKyI30loU+Oi66Ct4+Izb8BEAfYVoVRQvaAXWfZBe6gMqsQzX+F7 Rkr+Fy5OqScQSNG6t4mKQnGgGinUp0oc6Kbbxehyt+lDY9ND8RWG4maS2/q8o+d1WDcDdjOQqK0n CqFA8vlpEUZlGgASpSLsRdaNFyupkISfBRhH6CoR1fMjrCExi9Q+K+Jv9v1/0WF0FrR5ioLxQv9h wHBt702FT2wA05mVGBVmfpqnEDDeTcyi7jv63ju/dR+b5bkBD7+S1MsnBC4TB8QFZRgVvMFnReE4 Ykm0fptYd+cd5fEAvgzZ5QMFuhVWxvcvPvC5rRbFczVPIWKc9INzG3n8ZJwD6qjM5U27AW9iXXlh ZXyLHbXUkBMIXfXek0+ALEX7jBMubYgT/5CWCGwH+ZsVR5xawIVwUHxRQEAQj0WCMxesV88O2tKR aO0kdysKx8utqDQWVBby4H1DqCgeZ88a1gGuEbJ6ceQFVLQtNlgkxFKQPYzH5Uo9KWFj461knnXa ZPZLc9Kqw7zhFM6ZNT1U2jyIojb0igJymJtSsK/6oL7dwBpTLjIrHn+fKNal78ZrLO1AekMTtaOI fFCVvdIkTWkwXYBYoYNZpgdRJVsbeEeF8aHAaE+pH3P0nXdyOTSYCb0ATVGCKxlyd/g5N2lvbojL wviOIvKWqIWFq4VDdjM/EJjZBK6Tj0e8n/aVBsMpcpZxpevfI3L4u9LCuwyHFKBV4LOUHkUscMuk XR9qqSguOrfZuqNH5CdIm4PXL7jyc3YVfDNNOAswrJW2TnbhNXvloPRUiSY4L+WDCuNtnP3M8BaS 7Ps9JMd7frdZoEjE1W3zFIbk+atdhzomiE12PjFLVeaysY01T2FIrngI7sl39NLTSVvOgiRXY5AG LlHRgoiYvjkgBj2ewUNvHFXoZPDTtg+6t/LoFAE+S6RvPB6xy7+nCZxGSQlqmkLyJsl2c02TDTsH qzvCry03EiJUrmDfjhEqYktPZTAcHV4/Nz6Bp1OHtmpTVWWDlLjp6rHLNQuevO12FJNLdCbBVMIG HU5n6muR0BNWUwRRab84roXn9+1u7hAzTvPZUapzN+VLLCME+ubICAGpvqbpH9xNCH7f0aapn5sf 0gfGSTyhuFULUDoaiNFavf6IyLj5wn8CeQqbURkhPRXRQE4vhdBBlH3xfIS9+CV+ISLoXU2hriFr T5Mi6KalYnxNpkzuF1FRl9c0hQgVQ/KY8jFH23RERhTqKVFOrJnpLRRjfuag7t68SgVoV+Jr/nBD aoiLfTC808kXWa+tJzLA4xHeqX3ddDgYzEmZsxQhVJKKKrk5J5ES7saP2tMl6DZ795ylCKFiRrfJ qgXJJXYRvyJhzTtL2poHylQafG4O+NqZgNFqurGa3jhiuFJCZRC7ykFRwAlbEkGr0MjC41FqN7/2 HKAnY92C7w4hKowwYWV+aWTlWijrpqNSHzUiOU0hRGXaIkr5jl4WPz/zOvkqdZ4QKRh1sw8R37lZ r20U/Z7xPUGAqd0m7mbu1w9xriz6fUtt4PHgopv1KyCAmC11qW2aokAclzGgYMv33NjtHk0ruX9y ppsQigU7hqiIzjJ0kudRntV0cp++AK7G0bRRX2Fo1bGIO2SdIGjkAJVtLxzJqKhtMKsP4iTC9yXJ UqMOPB6Rfr6xc4BRgIXksxRF4dDhJPneRcN2L34yQRHeZokANy2mCJ4yhcAsU/JyxQERDC4Jxqw8 mdCKnOpEMQnqbP82Dy5FmcPXBMFlIV+/ieDa3J9ljIrzj1WCOfB4VO715Bf/3QzYKJTKbZqECHr9 2s3bLefq6W9L2dEpXjGAORPxBF0fFeW/iiu7jmeOVlCBxFNqWfHlCVu3qYMkZr6F/FZYi9VJ4AWV NflNEcuVrfJkSqIJxTEWUs6R2NgaAmc2bX5EdJLfqgo2qpQV0m2X5xRqGxLOA9gWWRmIx5MDVU4e JY2Vc8oKIdb1UVFoYNCw0e7oiTBiNHQjUFg56XzvRmqBai/k2oHAYAglgmLzl44gGMSIoR5rg5rB 5w/OyoTXzHz+X6mL6podaiE93cmK/X+migbNQWKSsF803nIL100utRwRUggbF1xlwUPTR5usMzsw E2Tb/OT8ldJqxNOBS0q51QKkiQsfs2qAb/oTiNHYOYcwxQ+phfX85OZNCllcfkAQS+VfgDrIzzxk qZyiajkfO6mOoQz5j957IXdazXMID5gtQvrbf7OMQu0CjbYVwZwtiBCxFQkHWHJPRp6Wz5VYwQrr N9Wb/tIRTFOOd6n6oBrLCU3k0Q3zPD4fVcy/i1Gg4U6Yn/lcRfF5WnK9W76wpgxueGzReVbVTTjK 2cKKSubDrICLazhc0RBoPDQMdOBaANzRzfVE/agZF2ivQhzFy1FZnkkpAq4MuQNiDdmgI37CtIpf mUfm80EqU253gYd8YTMElC+frrhqLgpsN2kjbDmXNipUKSJDv/EEka9bXDZXCGoCtkk8SxWlYDlZ IKoJ2NiESA9xrROW3Pn8bef/Y1HIOulE9NteOsIhUvZwzOqDpusEvUTigpug5wOT4Fx+pTT1LK/2 bMNQZ4Ucs5qmOjCM1jVtNQnb9B/uDDCH7YCPwnWD75yfet7RMcATnoB4RbAWkYlUnVmL+kYMNhau RR3waLfrmyLBLDmYluSDSi4TQrjixNbM5/8nCno54QPU9O5kxXIrzPoIe5NmVps3fNi7m2ZWbXv7 PgzL5+IdjCZmHkc7s1qCXKckMs7+TGD38swC8wGnPcpEFM3iL0MDHn5TxEJPbFpJPKsRdcG6MDUW f4i0a3z+X4hE9ozPD7dTeRZWCGjZihy6a9vPPfMFauRrvlGSmwamSHTF9DGSQRM5ektmA5OIlYY8 8KQ+Q+yg86nnXXHY7/1obMtsKsVS5ITaiY+e3e2yojsmTOKJVAefD7Zg/TVTZHytr2UVgsvZlIGZ gU1V59kmW4lcXRmZ8GubqrCIrr03twRYOfpUwdZgJ9manh8fBB8D/+yfBUI6YdROyyNxGF8U1KlY WqhUi9QgWCKAN+xWQ6iDz/9L74h8OHBoyoW15NCyc6rHLmsJTFWhFLNUxtiqk05rcdHfHHh2gjKn TGeUfceLudt0jaRIDW8sTWdDM2fwIzfNTWV/Q2PzHHh2Yvku0dG3D543i5p6ItMx+fy/dCC4rM6F s8CL9amKQncYxVLgz6dquMpIAVv/FvVEvuZURegWKuedmdiqMHB0UmxeZ41DJgvlqg0nQXHWGynq 2+wSTN+P8MQcu3aKsrDZGeWgQsy5DjNNNHCV8PkgcM/fgTucnke/diU59u3ED9r2VZKGtKr6MSqZ /EirplGMgHdgaNy5bDFN4YE42qICvxZCV5OLCrX7piIDYooOAEKmY65NVWn2ztFUqR06bLD2wT7P JSbQEJzg8/9qH/M59CDnRd/lwLsTUyXl9ja8HAPzDltUtbmsTyJ6XIsqjNoFlYIW4R01VQN/PUCp +Csy5Ha7vBYXLtaTCM6f/dRAx2j2ylFgRQJaZUWdg5BlaZFKSuJR5fMRIuEXtSrjtLqo1xy6d5Iy h5M8+Uyl5vU93WCSiUpeU8+BfWeSTQwYeukZ/aQCeiMjS8eiQn11SlwDXiEDMC1USr10xXY2vyiA JBCjeO47FWdmt0WVp5wfmHHy+Ugq6k6VOFn95INPwB4YeKKWQlSCdxqwF7vrs4159dkaAwBtwDBg N488+P/4aHPFPh/wZJQew72nKh+QbT+DlG+cx46d6v7O0QYU1ZqesBxU5zv7uQnVdj6Iz0cNre+0 GQ0teGrfqXqP1wvREGyQOiJoUedYRLTpnI89WjeccA5NPFexGKGVO1q8TkN40b0SMp1RxEQ7edTZ e9j9NRF1rlqfClihi+fkHOUlCsNyCsOJwpuKKeBd8fn/5VgHkmDOx3cx8PFE808ypNWVW0Gx/7mc vWZZ88AH2lS9R+soVnCKXG6Uo5djoGCFqgwi0LOWgKllGij2MeJQHl/uhF6rvfRfegdd5ePu5WPI x6w8RVFPlc//y5KZ0GJGwflx+A6jdaohpbxd8KCli108v78jYdt2dEIO3DwfL/Rkpav0lK7qyVUa 0Jukgp6Dqw3pcCP0ywV3fBUjTcCg3uylI6eAoZrV9kG90nNSSE0eUQifjzKb9usO3DCqeOYqhKBX nVZW28tNQhTMAnHG8B/PukJLQllg7OjZDDy1yx2dHQPhF7JhUV7gbUjRNpqgn7kCfQFKIZdu5S8d 9bjEUqdpAAdbV3QC0brafD7Ibcqv46qy+r2f2lXo68myb5M1t+ls3V3Yu+GIzy8G8pVmKzT2XO4e P/sdbWVRnKXP3QWlOuGDIB2LQAlQ/X9ybunpdDV76aDAIBkbFUVTI54aR93eSxfKiXoqn48uwl9M GTRtywXG5tDcE8V8nOjsjEr7pyQT/OlI1rNy5pGWz9XfgHQUYZ7R5uosV8BqWG0HYxbeNpwrCIBv ylf1/oi3sSmYQ3dP3YOw3fNBh/uJd2T+clbb5PNBdnNFNaR6c46//ZgPh96ei9wr+g5pE2ZhYTaX FQntWwCY4VMVxezbMpZOpoNG34SjnCgRnA3kzOeQJ2n2nGi4AmEfcH6HlstFfNZkL/1XJgjEEYdz 9+lIP6dOJ4Lu5AFr8wOiqP0Xm+98855PgSHw98RNSNNYeVejyFekp4HJOlGX1ft2BlXOJiuGplt6 t/MdbV0NyNSPKfo6elOqcYH9SOgyitNSBbSbcNtL/wFegC9y01CV1J3pPukm92DJetOowpC/Fhbs tQF0vnMV2wrBISSRb0hbocTEhMKl8Ce7DedigMYcmHziL6hKAo3tMC9+ARk0Ti3FouigJna8ZMA0 iEfD6ealdvoH5NjlM8v3bJrhwtk+spzqqOuIcL0mPyDi+v8iGREccKUmc2j0CeQ7Sgz51viai7zC ddLnqnICNFcxIkZmC8oGNdpcFUI/C2t8JweHFy5vTbjuQsMA2Ov+2A2qLRE6fWbyaanNaoPhp0tS pHIS9cbnI9radwsHJZUxr81JDq0+mxJnd80DfGI4YG+l7Ei0BHa1TVWooyjjjlos1SlXv/QcCKPA DUFFXbhSmLkz4jswIwECvpJS95UDvAeP9MmelwaVQ89xqCr7manN5//pxMSD6fwwo3/twKjKLgXT Lnq/RF+bW1adC8bLofMaxeXA7PNy/E4IuO/oOKtKXwVW2WGSULKYEQknzabON2wtrXuT7zsHc0X+ MRkTHJJpbGZR3BEwJL3ov9DXbAyeqYJP6J2qEKZO42b+uoZII3NOwuaSdmM1l7AfJs6B36f1Y6Hy mscd/bBquCYoGApIWh9DvP+KMtm5v/MPcJYWWlGVl98T3IHEyZIcYYO4WWvIpAzo68zng6Nq/bZd oKbcUw4NLD+hqUn9CFFpVGNYDiRau3k5dKbkNYbA8xOrSndgVTa46s0Ga65gFQ2eVQMwBjPymIAx LxQGCiBlKE3yrCr2zhGkn2dVFY8NIbRWFWjghJucC6Tz+aB0dWGOVcWbn1WenmDo+zmJY6CykR3r D85x5O1maJVMdG7A2PhzmTeFBN7K40EIK86TLTMshgvRNkfeMqzuTr2KiwclVj2Hzp+SgO9T6OJ5 a+wnHmVGZjX2wPoTAfs3GRmGDRMdDp+pKGAHZfrkEoPY60qaSL1FPr7zY7drMxUF7KsIMWuV4/xV OT57A6bB5B3B869IJq9AWvpnUEU+f02VinyB+acqZMgrKP3KQfXQ82Mkmc3vufn8/6KoCOwXmQw+ VaHSuQju8zrHnVzZ/WGoECkZgFGduJ0D/0/8BWKIDPnpcrTeKYpWaasaM+Ff0IRhKDASyZSMgYuC 9067ulyhBajI7eB3sCGBY4+w2ZPNVUW+ZydmfkAwWbciiueyymjzqfPFNqByS00XRavKNYkQTcw/ CpWgfWrTFYbs1hNcKndyvCE7zFsaGUgIQwxCA6VzINUAj6E+iStOqS8fOIFCrkdwviajS0p+MakD 05ffOhYwH4EVKJSbv1WCwCSa+bkG/2EFuqQCTxLSLq7qslTI4tparuqSAy9QZFiqXu1U7miTdaLY UkZ2uz3YxfBs71QyyCBvwWHpAv1xQvObIv9GbkDgwjAAR8PTvdM/mF3RkiY/4J/0W3XmUWV4QtHA EhRnJQ4txNiWOifRj1S/mpYNogdmXMkceoJKFOCkzLnd0aN2CFrmSide8A074H0kAWJmYbp3AixU ZIyMlLq9dHAVLhrHVLsRt5cZCqArTPA66qKBLSjK7d+4WlLJUDLzuYrCdumPL0kwM4BIFE9g9Wo7 gWv3ST91zlUEjzGlybMgn9HLDJmcGgZYgPSlwe7FScepgYaeE+rxD9Om20tHJRkd7cVO+GZigh06 jlJ+S1xZIaK9/IaLVuZZz8oKK+4440G9TTZb8I2xfUgddpstlFptH8aRu+ilvaU7Ok4NW2/T4u7M 1vlnNVLRyD6vTUseaBDfAtZe9tKRWIAwfbX5oJUFEwDewTWvzuejgPS71oeS4bmGLlg0dAiVuPeQ NpfqolY6Rr47q0GRaURicxWG7qKWrmXq3uvhJgF/DK4bKcvUr5T1R6P3zYkkzteV3i/wShX30CPU 5L133j6o4g44qlLCvRafDwLSC1KjEDo4UzDruZMVltzJ42p0GvyPLsa0XTJ+sdeMJueAcrJtw79l X9AgTXfUZJEhAWlJ4rZXaub+AZ39zYyUzUGX9CxZiL7AJ/QsjMyoYQz5WuDSEw65ZwZtqPbtyQ8I gofps2UAQDilXFnmHHuFSkl3Fm+ndpJcxcAZeXuTvt/Wc2AWCh602YGJKtJFG1KT/gTabSkqzZAX TEkYyXYONlhC/7BPyPyZjph65SjTYW+widfVhnWezxXSJJIjOENgFmoeHF/oxw4N1GemQpeiLVn9 bbyJnvN1KVrbPel3Io9QMxXB2010uDbF8Ry9KgNZiwnWOEsN51JUa6yfU/9nshGc900Kh4KswC00 202YFWRlcg0ZiCfUqnnQd6hY59AvNF0ZL1bcN/lKtywTGoaScw2UjHNMVnG/dXSevUnfhR/t+qi/ nWWaCZ63h9h1MnRU3clg7mh/se2RTFQXuHaksQ5SS8VeOYJ+kAu/NGMg3clZ5hx8cy0JwpfFDwg6 hM1znWrv9wOL3DtXoTK6rEBKH469asWLDXVYYQvODePuwLjgrvVktuv1y3Yd1StovJjjXCLzABE+ mtsdQgN9XDUvRkn8nuC0IrNwDLa8OAh7BcWcrGsQ2KvQNfQB9DHdRsu/XJuwHNiGQjQHZ1VysTPQ J0hikoZedqV9lgB1Df7hG8rASlBGG709OOE6v9mawFYcklBHkg1ZW5Qv9vasME/16EPjUIvckxAg yVteKZ9DXsh2WD3m0Dl01l/dQVRk5rVez6FzaB0G1fYefU3JxHLOydy2ZTlUfNUlGFiHooSshTKM kzPGzXLOnjtbcCmDnr0AYM2UEEUOnF3s4txyu2U5oXtok9hCpQs7RP5+lsydTjhSmPCcT2j8gKiK 7JVR0nqhI7D2DdwD/1CUM7PEKK42486uSzH5xZwsSDb6ugppqGlaWNXveCkTZ+dRjqvD7CRR/ggt L+S+uYIJ0Ng9tj1IRb0ceIhmuwX5A2KASO4PNXbhJ6qOH6oNoYloeUozZIWBBLjnA2kIfUQlb43a iQfutbrO7vndPYEmydmWVgSVqcZGnbJh5+hne0rw7CNjAqybYq5h8LD/YRdpf51XO9sr/6WLCp1m H7QJm2En6YDK5/8JKiqi0BWw9a8m0+c1umqwyaQeTJm+slrJhmI4V3B2BBZFG7myXmOrBlMeU/nK 644+U+fTTwRK2tKEu9oQ1RIVU5TbYLL2CBLTDfo1smrm/ghSWvLB56kRkIgFiqdfZqlBZ1d10aUm aoUXdNO/OD9ONENdwLThLEvz7lVvfixrNzfkLdp7wQw5+0YiKxqdjApTQ9YbwCg5oS5z1gSBYiAI mFzt5IYX5Eh9XiOq8zXQFMIaqncQlA9SbSQpQoD68xpONbmNaUa4u9DXqAw9MUWvwVR7ZNJLt0XU eQTLFCTVZtfeQo+OU/QaSmERDTmnWPmYo4Wd0C0cNJOB/jetO+zUR+EDjEKQGi3qnASxvwZSDXZD gxJx9HIYLlmP489Frftun9coCvO7s80Qu9L8du4zzNBrCIVFxBBqAmprTQiGpqwX11nn7cMP22av AVTDTaADKUlPnqPNEFzO2u70voJQfZIPTcH+E4sRm9hnCFHQ5zV8agh/uHgqQ82KDqvQGOeyWV2C 1me1fl6jJ26ZZVPkRYRmQdz5ztfYqSkOyTCNcM3YE4Z792FX44Ibq1GGvcEiGoqxUQ++oxXU5wAj jE6mWDpnezB/zpgvBG7wIIZ+pm00Atg+r4FTEyACwQCQdhocK5SFy2bv/TVs4tPzaxkRBgqah+bo NWhqUDEiRIGyj0ZD6jdrYQBvmh3D5ug1ZGqJuu4EvJhraLtd98o7ciHkBXFnFXiDsSBF0b+COLQR e6EOaZK/ajBHi1W71CnKyMFAjWgtsj96vuHzGi1hSdT6vY7Owlt5+By9xko45CkmNAR5YeKCcpBp eM3ba0Duam4p0Rw13WSym9PoPeRCmSsRtcaC2BkPIzBN4ZMC0A3U3m2vMbF7DZOw1yhEMeXUO8lo 5cS0BnVadtt77Z/XKKmZBp7mCAsJVtxTSR0V66MTGzv0vCeTNxrv7OUI2aRAnMY71RsyrwESTmxz ImhOl+wXFTRgkEOxIAhT4fRXHbiyF12AJADB+Mbe5MG/Bkj4MYT4/L9mV+c3yCQSw+Irf17DI75l /lpIKAMNIgY5R681TVyFhZE2wljHA+WLB5LpMw8kkKckBhec2cncrukHaKOH3NAiWxBsgkhhR8ej q/oL5BB9b2u/XZhCBaXPaz0Tc7RpI4NGNgcviVQoybCUkiGAGsxRt+aeghI4M+1GmVjM0Wsps3n3 ZY3sBxLKBFo8UCnze+0kpi6PEwZHOqyHHUxjXCQeqM/rxCWE78OoSDCgTDEAMHELBNn6ReLR5+q1 jIkXZvOzyFS1VDLLETZkuEGRFHKCr89rDbM9WrpaR7no9mDnRfTxYJoklzfbQ5+hMJl0X+adppIu CCgKs/uysqSpCbVHJLZDz2IlKpoghkxzSleQIJgC5zIIEV/lF+W675F2MppD3wJ5bovm63lqFVZQ KAT3TvNrIt88MwV7d2EpNU9RrM1i3llD28/uVK0+gEqT1Z/gdJWn04yieRKcLG/TydnzpiMdftHd 9hyE3zpRxfn8SNTnOJ9NWLq1iQld+Lyz/LCgqpoI8w664UpPRdov56j5vJP82tMj1jyBbTEnsYrC /UV3XKPxBwuk3HZ7rAs/2NdofYJNbaCyYJ52FaZs1nxHmyeqyBdZXbUNwq1KBhW/CThU6CY8sdIQ WjiYpt6kIMT+cBYGDKnIToPyUHAi5+NBtGQexi4re65McSHVDfvzBN9zuI/M3tnDpbW9cLkXOf4C tARHeBe7aFMQxkbbdicbK3C0nzyeyok+dSqc+VrnB4UKc/3ytBj2xu+7rrNmgg/yQfkt9GypXVQL oK9h4D2+dx39Udazmt4jb5O5g+lE8mla23fdVL1EekuXBvkeemeX+h5SnNJoDRZIGG/Qi6W3BGkk nfQQgp38pQj9sg7LVNEyPJxQ123iazf0lavquwOORth148T0n3dqH2Ou9lUIoKPNAFLSJioKv7sa d/sihBsV1STAWJZJx6PNYwr778S+J42r3bjI/dKKOlq8HYADWqRkQDRUV0K/cDIIRwPMTnHevJ93 Xl+T0CSO7zZ9ED6x7TKqYQ7K553W18x58LnvgFGlz6HNUxiCS/e0Da8HQNfByuBz7mJFpXMvWQj+ zurj0rT5afmOF/K6R0Wvhnqe+yQXrK+UBjbhpNoL/FzMwDhLLSEKwhtrAXmoYzcMrVYzWvJNUst5 f945fe3xCF0qVaJiMnv2IPyd04ftOinu3arP0xoXj0EAoRXf9i0tRWF4nzq+S1p3vNSrjvVEA+NZ oM+lMnk6S+BncQHixnH6/+x64/d5QpHrLKQybLDwqZwArIlKlDofD2LM+Z3QoUa6VNDlNL0H4q5E NUhEVfW7Dp+mWoyKResS75dHkfjQMurUS7LRltOi6g3U5TpFOVZ2XCydN0hT61/9p643fp8moDQh FtKXD6ownVtaVx8W8uedyteeLoFtO1x+QB/5PEXBOGk8rbd0y3B9XzfVZO7PuK/cc/adyddcX5+C nXe0czyduIDqeZgn0heEAJroOw02KoiI8x7B1BtH84QgkwmzDTqeJFOheHN93ml8zD5uVKDQHYWm ezy9k/gQFkz5WQxfT6O4nQzsnb3mDVMhK1eG0bgEYtNu9Y5eIgCprpWuovdZWWbrkCA7uxGHZ/Z+ TdeM/cx3Bh+jNGa/UlXK1bQ9KkwRDalyvuHzTuBj0vAdPaHuvPv2YPydv8dDjdsOZVUrpOzl6iRQ Gr+FlGyM43f6HhZmFUgsi5O28+WknfASXSfASVCRQ7FuGokWJ1olvHxxPel4Ytnynb6H6252GqkC 2APu7VkPhHi0sxUq3bngbDHb553Axwqbn1Cd1ZT6c5a877x3/h7WMYgIAOx6OWXNW04ZDNyM6jh9 qt7j8cuySkUHeirzOw8+IRhIGWCkzWziJJASY+UUCrGdGETpxmtJvQfkydu+Mmw418WZjqGjfOAs /6ETwfq8k/fYifG6E7fZmYDGepgmKozIqSMxKKSnuhMJ9OoUDJNppgWIcULfqXv4fpPRzesZPTJA NF7A8T1rau6VpN+cgRmDyPeuJ9qb14xeOi7vzD18kbyeE688DgoNIOQ4pZI36+eduMcjrn0neOdi ADLd5ykKyam84iIbZA217CG50R1ps05IB0Lyd9oe974KBVVmfBw9MoDPVUVj9uzA86m1N5GG5L+B jwYjzAq9srx6Z+1x61UlwEODOWNPSP7yzxwFTZUoIp/peznhiJrjmaYoIEdwBz2CeaHSKfkRZeQq Ei9Ws7bKO2PvBpon6lbPhKOjDs9ln5imnOW0IN4juAUBlRm9FtSP0nOU2ysHEVRiu6BLdLG76CKo I41EDxjAft4Je77snwjqBD+jUWmKX/oekON8Yv1pu3J8g7GT4e+r3I05T6VahvdO12OMYdSXOu54 i+KdQovUPU1wZladblEYNKNBTI7odVq3V34/nxY7vRDZ9oFfg89b066x/Xmn67GvfSNN/JAwEaAH oU1UGJFbPbPeikFNyRbUmNPJQn0Ogw68k/XwOxtyYO15R8vwQMFA04/0/rwB4OG9iJIR/HHhZJz2 zfDK0Bv/OU+lXiLaEDx6rtYpwNDH3p93rh4XlMcGZFXh1wOv0OYprI0z1bEqOM+nUvx8StIoIasR Uas23ntIfnEDdaorztHmCQDYUtxRJoN4axI3sBVIlTKLD1UWXYvPO1OvZSsZVCB+bFBlZdQ8hHzb uX/eiXotX0im7TvpcN1piiJynU+1FkfObbkSDPnJuNfcpjAz9917RH4rK9P6vvOr79sBRMqKyFEl SMI41cTOOPrBcz6qgYT5vnP0uJpEdmH9iYPAA2cxlS0NljU+7xS9ZiI1Xw27sxjb9OjpnaGHmKvz dBpWEoevjDnT0ybFy3S1d4ue3gl6TxrcqV5po+Ut8MGcBYxlKNuccJAcCrQ+z5E0xonRWEYyvTJE Ip93fl4TMgm8DToRcDDSWe9yBAYd8PNOz2sGGL3VJ6h50EvKZulvHAo8TGwtnV/ea76DOh5mip2s +PROzuNdx1AAYmN31CwBBJ1AkUWxAP2DKYQ92P5nxVfGElhMXaSgXPTGwTRNnklbbsbbzDMqOg9j Cqwz+fi/QgJOSd18J5+mKBRv0lSk6KJIxGmnCxd3EuNOtdmWeyfm8WTiNupNnqEc/WQ6tyYMcSix f+6MaV4ym/BHorjyugd4G3rhKLfrbPy24YMWU55rqkR3Yq/POyePCcN3yRcNLWrP2SxFcThMw8Cv 7k71PEmY9hl6m1xixCSvZvDndz5ec2oL+h35jrbl0OYvmSSuRNMsUn/QFzxBzKSNOJMWsTX4x37e 2Xg3X6n0DWlYQz9sG1QirllgOWu1fN7JePg19/c9hxS6l+SB0zsX71YyoTTrkPrm5AN4fiybpywd ZqymqDSeZI4y5853tHmCu/oAHAULfIGRLvY6wBg/+gmyi/1k0Jf4wlFlnD7Go91BBzg+WQC5Psrn nYXHPVe+jyaA49rwRvk7B4+3BuWDSTDSatrdxTnHLFdyEnG6ZimqiycVVCrJIjbeunjF6dQQNZ0o AdwVRk0drePBvmgv9emzbL1x0I7i0Y3Clg+CE4AWU+V03fmaUYFuft9zCMDHuJsuisKp29ToUesF FVNzK1tAPbliI7/QCR6WxdUFZlXbR0t+ASGA+4oFTfC9VBTOlkOu+OzSriAS7dY+7+Q7XjqVchmU ZJlOiIRUQpawNGK8zzv1jgvDNx3rcx2U/VsWfyfeMbhUm+UpEiirIzglFy8S0KqeQfg77443nYLw LM3lIineyS7wucRHAk6Rye+ZOPmEbvyaG23QwjaLagTCOr+z7hwvB11xElep7c7D6Zx6XXrnqHN8 3ll3DE6/rzoyPHb37PeddIdDvH7VxTFPmZ5Cqjptd1k/n1Y9Igjr4oI3Zym3afT1lOe5NhOrTueg 4pewW06MGW0dCxAn7maBcuLnnXJ3T/FMdTqgyMoPW1K1Yd5JATv5NZ8Pbru0vk7xQejdRc29E+4c Wtj1V5mto5fn1p5mVISK7faJigrjV09k1Ts6yrlvqGs1oucruHjcnOdfoOlQochZ0bB3sysKG7zT 7dh5o0pGKnfQAQWSP7/zrK38eSfb8T2/A3F0r8foXvF9p9rhS4m1H2lJcIwwlWqKbYV7mVDnBP9D Wg28M+34/ebe1MYdHaYCv5JUKRY1iTGgWFKeFIorkA2F85kvqDHsld/nqUK0pTXDhUMvUqfSOj8r b/Ve2/y8M+1s4341gmFaBTFxn6gQp1KZpgDbls1iDn/of3Bdp816OPNSgDwrTqh3oh1xbdp5TR5q HG1BnVgSeQqVp2fqwGOQGTzpKkwSYgFkxYHz0145CgwoQDYkQDZcgAyqwUtlghP5f95pdixn9O8w E74o9SLo3ll2zZ2cpizkGCNA7EHeFfjXZjGAY9cWVFQXb9ZCSMKJ7XRBvaCwnAAczD/I2p3Ebgwz nkWfpwKvTFTxze26Xjk6yglVzVSpSRILoEbGyfflSd9z/bxz7FhOSV8HFGYWBjc+T+/hOMJMUzfX NXeW1mBkvuFbMbt77dTWrOz0TrBjOK6bbptEzb51zBMTZJos8SSneqk0DCAe/EP5qB8gED0yGCRi vDPsiM0dDJ0oYcDBcE+r0wKZacjnnWBHQLxHBkSTT1Axnn0XlsUJW93kuKiekrbD6Ff/kj1ym7l3 el1zet1ZHLXd0espgEbkTRw9+nZjTZliZSnSFdjzZs+BVVB5Z9eRayC/Z6XCw/qoQNFvm6bzo37e yXVsPngZk91M2WbVC8t8Z9fdYkEtDqSrey/XEKnLb7yT/nW78d7JdU+fpWXVMVu+dcyRoR+SJDqG VA8UKEbkmy675+fY6QbkgOzxa6J5Yqu8zjtonvZJILOIFnt83nl1zTRTvsriGUvKawXvtDrygQin J+VIwAI5oMg/fJtCzUnQk/mHv7PqmgnQA/CkWsF4VDfPcTPRwee2g0LtZg8MR9hZcudSbj8QcvZw XKspKorDDBHZb50+WHa3SKMyruY7o+6/brsNbFC+zYN3Ol2TiDP50Ve8J7t7+DaJRLoYV29uvrPp nk03rWc3n57diU4QGUyi6KhIve1CRGeSfQlwg7xjh4v5806mY3ZGB8w072Doi5SSynNtzs87l47g sO+rDv7UAzeJzVIYjC9ingSzIKHctcWgrcLGC910KP2JikoL4eI6utv+Gv0In2e/4X8p+r6gHeJt qwZYO07SW3dCT4pfEwQEjJzq1gG+7QAvKEUk1nDOqzY8HkSY/Z5MslhDfQ6NfZunOBanXN1KXnka hMewonLW8BUpeGg+UUmcQdhrraCd9GedK5tMVoJYpzoHAxp/nSUr+mJbp1ybLgrFF7HikJH3QZuO /XwJvpeBxyMM3TfpEDnITMOPph6F4iyVAXTmAn+9dS9jauNL9QLoNDF93kNxHOBFHQPbdOluukn6 AchuLKlswJq46UjU6ag+jYsMy3SSxdf8Ab2ALO4d+Kedaa6ZNE0k9Hj8XzhoJoHn7B65egbcozic tAI0Z33TsRCrxWTRFMuY0PTXLEUQFWvXzW19ln35BwQmDUTgOJrQzOxVsdWJNEFKwipEaGmVAmqD 9KgmXiVua94Uy5ZsGQP0IoF66sTj/2KN8Ww6m72VC6vvYRhOShRxB5b/6tgWfXx64akN9w7oUU2c IXUi3u+Onv+WkhB4KbwEnqAor5PEO3L1Ak8pLxSINxaF4ULQJXVaEsrqciQcwHkyRzqxVMHzAUtz fkOeMn1s0y3R9ZCnWcVkrW5IQfFwc1mQORNkcygsRgO5HgXiU6dSsr5mml9sX7T9h0p0Z9fNTtVf JCxUl0UDuFEtUhVfaQD3KBBXBozit4ZskExwbni8nJOj4/HgHP+FNQTyo/dLZ+0RWROyLsxSkkVO FRRuztO5y4fzx2rNpsHdY3yKTu9e5x29T54yJI0bW3YZGjRC4Re07AiEylLgViDO665HhXF1NvMy 9w4sREk3gEKrBXUSBzwfzNP4FRUA5jIvza7HcTihWStfv4BSh60nqoAbM3qQjNj5SRFjk4nKuduf 0aGrjUzWyW5Ux0EtolmB1d4m3ZwMBDugatYbB2EBGRqpUYI0obbQ5UGIViALBedP2Hg+2njt53c/ iv0wm6ewNI7rq2cCkP5TiGbD/lGhgO4HrNBV/JEsFPQwEld0PXnm2Wj7LoNjT1+1nrFiu2CbaasB Tb84AJyv9OjUK0cneZY8pAhlWJo8DNF1LkkdqZOB4QMiBJ1HUHhD3C9jPQzg92gcmQ7V75FZZTUR pk0amOSCW7BlCFUT7rwIoQIpSZxMSSsqpbuiKOfXh0zPEELvyuzvHIab8k6V4pD7WptQeLRH1fGq Wia17zXwojsrOhF7BLWyhscjFvB3uxy/FbmNNk8RaLwzAy5zuG0qjLislgn9dTpAn3t+seaLFRUh VKzDmW0HZgpznnclBwHo/cwmwon3B30xME9stpyDCgspPYopgCvhi6IQKn21OEs1aBhUoyoBzugI 4vFgPd2dp1pmA67hNu96GJBzQc2RpxV9JfvCiYKUkXmmJtT9K4ODqDi+5OiVWip3fCCZCOkhFZtg PZeHHE1KZ68HsqgkrVstc5Dn2iOUSqesU5Hqfbmq9+dLKv1DUa6beDxaUN+tYPxcICB5bDCikBwF BWjJNMdDn3zMsasz9+ldBBqcYkWNsDo+HVnwjE6T2iBaJXp09LPddpKy30QpdSx6p0LAS6wW2mzg e6Japmwte/FBAAxEa0tEupzxeEAt/43mgTmAzEw4TVFM3iQySofg//zyFjr3kcjUg1pFl38f18bV ZNml3dGDTch2wAPOulJpSOk2Qct/wzwpIwJ0ZTUEbvieYJokvgOzCgznQwebCLC32hJWa5insDZ+ i3QqGJwLQUapnKcQM57VvTPcXIbh6/YQapfkLITqZLIR1cYl0wQm+bCRORRzl4JTfNF3qUAaeU5v sC/0rVGduEBoVCkGviZArjb1WLpaUt0q4xBwraJOn//B41Hx6ddqWmj9bQ8MRhSQd5kUr2uROh+m 60A30LBhhAZy00UB+VLrDqJgGtmiHdSWyYDBFhpNtAGkQTETOYQ/oDoAt+1hAQpC+Jqoz0IEXSct mINwhieYTeQ9N+gZjrAuXj3B49sN5MEXGzaicFzMnyGjedO8cGRBUcRJhT5AmrSWonAcMa2E+dod /Wg6gR/UqKpcqTagT4RjQkBtEi4O9LfxgScJdyOqi6vNAqURDrhTeEGhh06o+/m7S8bz0Wq6BV86 wnToPl6K1IjicYr3tMGXU8U3dRcHqav54ZS769SOKB4X2/AsFBGnOTpxGkpITFbORMFGT2R9WDMO 2OEA5PvQ8ClXMEK4+GZ4SfyyBsUEGSLNDDfPVsbj0dH0nd0hC4bOgc9SCBdnXRyv7gCMcbMWaBo6 S0NH04kxRwQXL9s2Xc93tOzubJQTfQ3juZZzZJp9HLQqOpqws5QHLF71whEJHyHTZnENVPUtkFeG hS+xPcyBRxiJr4uh45SoleiR04gK402uXS05nqdL9JhkFmF+OU30R+Riigrj0+RWJWqPEWFtxq47 oeTZy6amPfqamQkz5BwqerAZejN3mlBAwNdE7HI2ywtrK1BpN5gKmTFVJI3U8XzEZfnVZTl3+cCy t2kKI3Fyfk6EdfccQ1vRN2nO+x9lEcs880Ycibut0jNeLss5mWYmqgDOJCPLjLHTAevsRQbS6e46 BpgjjMQbu+QgWJ1BFVSDYu7aWbQ7C3jhA4Jqwa86XYN28ix3puLauLQdt7eBl2TGZYe6q7WBCcHR TIW1cdW719ztjk5ngefRHFzjGzQZgaNyo6iEWvELoFxzSdA5HjI4yTuA1DAG3OoEw59/g+I0yyo7 FzwflVXKVyw+NgRML65ghtXxSlOl1dy0ctSm9AXlgtatTLezl1VmLFsoSWiVCzTafdegeTVEnAZg jLLGZLqycQauRnr8EUgIniFMhbnvyGSzjOwETni/064ABM6Kx6Nmi89S4tJD43B7q2VGkXiln1JV i4Bqq2gnsKiC6niRAePZLTyfTuw0QwKnyfXaZVe/LruB6xs+UySzTEi3iudauJxoMSgXVEP52iv/ pe/Iw9MGm6dC4CDmqRc8/r8cUAOSo7In4ZdGkTjEN896pR6j5Aqk8CjFMFPGrGhZGR94xuxNZcBG auHo7CiI8Y9CT8+z5YAXlXgQWMAZ1x8cUB8ZTOo6zLg8TnhKoUUJB87TuabBb+VJtSoej+bJi5ki CDXQjrzbMqNYPFGJvefqTkprZ0tTUhPrnC4uydWNZ1gcN0P5JYm1stpV5wFiEj5YCAsaRB4LnWLP aiKNALKq64Kh2TrE10SHEzHjifddZS2DxQGIdXTpsJf5mVFpfF/0qgoqyANq82B8RsF4lVznMu31 s7IomajltJOT7XC/2DSFSobN4Jim6rCvqgP4oAiYiIYepSTQHLjtAJXdUGcvtKC2VjCtuWbI3SQJ +IQBzQfVUxIQsgSNn0QGjwcdzra+TieqzrTkLYQZlsYHbQiq+SZRhNbCgrVZABLKF9JevOxmBFHp S9ETcbc2OoaOABU2NBK7wmzuI2dBfRvHKuRMPHqih+eMQvHKBYg6qQ/8kgTnxiwJujHw+L8I0/Rs gfZFTl5NmRFEhQ03IC6u9oW01lhNKcWlVPpYForPqDBu+S/ENO/oiKeTo4xGcg2kVAbSRwZNKOZD SfRnfykYkS49o1BcCn3wd9OQjG9Rz69bpNIw+Jb/UlIRrfy8UrsSvTOkbSbp811kPfjxtuW61DLZ PhgOd5qhnmF1d9N2R4sHEuCqvVK0H/KtacqNGPQQxAPndJhfzYOtF44YZCTYTVUJXHSrgsFQzGVq 8/Fglm63vGgJ/lAs1WYpgqhMyfKUywKe9HC1Wkp2IE/JzUUfo0DcCCqFyiw2emUONjk0s4Y0JioI XZU5LPYNLEsBFMzZLMTVzygOb4ReoBXIgUBqJmjtJFi852BSiOeDearfXeBKd77LP5gha1Mq/vve cyfgHjZPnRUdklshDWnzFOqoqAk8muSeRstXD+ucROfgoBRAgvkMiOUM1dFuGIRmQuXG52kVvXG0 6bCcZqEb5SzbUYbjrH2mr+eLOx7/F5ZHHOv1Qy6PpmlFQTixiYi8/Z6DCoOh6mcz97KzjpvLzawI ojJUB4dcyh3taCodaraLInQdsO6qohMqsj/0gEbRy9RBJFGwQogKo6VGnxINhjE8tw1/GJxaeDwS L/ouYEJsaNYbXK4oCCfAtI3t2S/qsM49GKS1a5au0uoKISpd5fBlkobrkTQ8IRkkjIm9GGeeaBsF qT4eMOSpopJmcCcEt/iaqBouDSxWeymuOuwEJ2qYiX8tnxXF4Ewnb0YH4NXYtwG8QglxafbnfBVU 5KJoEBVnt9K3QdMUQlTEjWqkj3LkGekK2dkI5Q3ReVPJacOWDtfHzyr1iZnWT8PXRIW5Km2n5IPg c426ZlxMi49HEfgv2iaQKWiJ2SyF1fAp3+XtMRMYXh5a1uwKT3NP84lfIU682z0nHdEyr44ohHQn XH0aDTo3quPcctQF4FYErdCjAWLnVlwNp6pxIysRXYMfaXkAEkn9pfNnQ5M2RKf0b8RqJsEq3zLv CrXEcdS06lVLyHpR7wKljUm6P3xGFm8UzVNI21RFjs68PnoIPhaUSEc1n7tVJZS9UxNHP6MJlR8Y z9QrB7U5CYgCzz5p7gitCya8J/Go3IJnsuvEJ0ShU/46xQmC3RfJs6IwHFhPkLoMEIZ/XELynNO8 FxNXW2vfqYolVMzkLq87Gp4AkcYYoHvBiyyfINNkjgv+uxUgaNa2zRKQoH580V+SFwnJpw1KfguX rdIVvmeAULlqWMTUT67qm9atWFecFblmVETo9l11tTzm8OJcY/aLiQojce05WPzd0ffeJBUOMNoE gP0yieEJcPWJGECsI0RFwIusCy/UUCF7E0w0Da6eekJwpS89pYbHg4m6JDJWiSkKdNvkK4rFC13I yDDS3lvZ87ptHlKocJAWo3mK4eKqooiZqdEvvDNH58qja+LskOJQuXdWdJIys6az+i9ABT/PZ0XR uLHH1AduEAcl5In690uekaV+VlgW39/AC0Cyz3K6Z3kIGKcBEtRpvXtQzbCG7CarpoDlatWUFZbF h24xLmwbnWxHcnkx9iYmjhUqlAIX2ZuTtRvrABeIHPGLAvrB0kKawwcBeTZ8GwSsP7ndiqLxMr6L 4gTNohLvExVWxSeLKEqBu+R5vDxXy20Br+n2YysMx02svrCgr9EP8wkCYmcZc59jc1AzDx7CKNMh dgfr57JZFkWhV6gyTv+MXMn64aCuHUizrP5DaPmzQoDK7dpxQRFBmi4FYUcB+aApdaXoCSdq8jVZ n8urXx2VPa3wtEMdFXV/qYXt462onOQLXlpskU30/BkdgCiFn3OT9OY+uKyK7yggb6w7pSx3COaM LF2eUJOeofBq5eNBfa5+V3vRs5/l4p32e0B+npKfT1uuyQM7AZ8lyqlLR6WyQA7J+r8NfRDGP+Ot 9p7UF0rB0AwDXpjq+ufCW0hfCrh1XNB+4dH7YIegcSsTpOqDquLMj7jvoMW+3yPyJMsvTgvjpROd 9vrMU8zdJC5MTGmmd8tymAKKrLHwdwIISvMUReTGcaWdtI+W3tWTQZ2MhU3gCSjmXBI7pqx6okTI utNEZ2N8T1SgY3cl0amUg6AXyJQEdzrZJB6PqJsX4cvzCSQNXAk2TyF3k6TplV1k/Fyz0zSeYIno Gk9KyThPIUJFGUsB+MBHh/gOgBKaSfWtJF9KGESd7AYCwT802vBmFK+7HQXlW8tJUljlSmH1Vc5n 8ws3llMEUWm/xLMJ+Idank3T34jxIT9EAXmS27j2asYI2PrLl1NYFZcoLbBZd7wcBGAkiANBteCs l2m8n0GuXaXpl5fokLPha/5SUvHgCVtZyr0JVGNmaOOEGHj+X6gwWm/ozry7LlQ0pHyRXO1NSmX6 NIH5ZdPU4IOjaQoBKjK7ZSXWR9t1eaGznKiFdeYspaTmAb9gDh7H8woVAAWCrwliJ/KAacRrgzYd 5NS6RDDSwuPBVXcb5QRMo70jC2XOUgRQQbsRefByHvBYw61ZKuFvIpF1F5zZoZ7hMoO/2u7oew6c hgyDpbOYTiK76Q8B+s/59QeMKyVftJrSu6Y3/ovfOrcqdI6qquAG7GZVNz4e5Xa/3KIyXW49Zdmh kEqmSp8Qc0YiGzZNcsSQSt9apl60w7I4Hfsqtc58dBxPPefSANmRfsCw7HTEKt0H9aCriNLn+bMj hMqQPcuqd9BqgmPHVrulDTwelOhux47HUCtSpLZpiiLxsYUES8X3XBouX9TTY/Sj7nnnJ0X1Xi9k /pdt8rnaziW9SNKA+UiuRWJYIEv3c7yf5Op2D4gAwddEZkhF1IPhgxiJZ0NMxk3wbMPjEeXn4lWZ UQGED4Fwn6coEG/s/659qZumgNUp6598ngYCQC2nCJ4iAE8FjPeOHl9OULwyS5kwCuk8IeATAbAo CuhgQJhpOX8nfE0QXxa26la7gwoFY64pAbrzbXg80un7LvjmTAzHBacIEfSe2XE95ZItA54tLUen NFKBWK6GhALRKWrn/BViVsPz1NtAQMUGpx4Z03ufaKewmX6SOmQ3WFfn6IWC0STwAh08fVPk1KY1 RRB0QW1fjnYtgb+5ZSq60+ZHRNjVb2fEwd8tXdUZWaa8Pid3JEpscl01ulwQp1KIM5atBpQGbL7C kNwyvLn6HS0kX2ixnMScAIzR25ZXwplACqwBpM6Iy/mJDMnxTREC4xzoJ7Oj5xYHHU9ncyvfg8wN n48UC74LwBldv7X7NSNNIVgFa6W1YSAn7Me1rF5XqBXLMlQfyc1tU4RWKdI8ZpDqo1OlKjM9+Saf VZalj3xuQOC1qLVa8r58DVoO8ZsiIIbOc2GjwYYTZxxYrWpsTvjbpig2H/m7kYcNNtZ6VlZYL0cQ ibaRY+zrcijGudGyd8939a5CTqHxpjR6V8rzjlbdXLsiUbBkb5zkiAWZTJsjqPVDMHP3m+zJEyGF HkCLDZhM5G92vQ2SHGTrAdc8Ph/VzH2uWAcG+SpdCRqBO96xS+QnSjdMlrfs7O2n68mF1cZdWFHR fCQrlquvx9EWFhhSs8A/BLsQurKSp+u0kSjc4qu50kPJw1866MKY3Hi6g074czzWplBpZD4f5DJl /dqFRJAAZuqzFZbNBwmwZPCQXqbMlYTFQo7mfxgarmTKRjnFdXOBoJroUxy9KnXSDwjMJkr+QyOQ L4gWHiWgsCs2xNLomlTIueE3RShEXoRVqofVVQ8H4FDySahDz0ck/fqV+SHYOTHxc2SFlfPObkxH +CAVKNQZqSV2rqs5jGEGv0s/36NwnQC/BLbHMzoCGBQ/6CDjyBqzl6KS8ID17PmaTrno5uc7HLP1 TX9ZTEHJzQfVXE6w3KcE2Grm8xEP9rvoQnd4MAPuZIVqKxJ+oGK7Jkv+gNqGiDylpQkRINuGYf1c lyDr7z7akXXSlQ2rHhrhIk0arOKhJsvWBmRYaeOiI4usOn7TXxz0lEj0TCqksDAMKwmCfs5DfD7q hn5XhqHAuVN9jvcQ0ELjsjmuDd6is4iAGuX6u9WRk9sqRqIr1dBR5hvI8SISN6gKXFgQWh4EElI3 LSNb7j97P/ra8plKfzsDlUX6SxH9lYWpswsFcC1t8PlgCz41T7KG2cBe+XGgDKvobInS98IU22vz uTqbxnNBwAN8rsIyunDQe45ndDQwbPUQUvF4hzTbNDTwuf8WpSNJszZeHnnD+KKgUMXzqhONr8F4 niiuEuU68uTzUdbsp7v4/eCuz+saGLp1TklIt+ZK26Ve/A/8kH9cp3Vvd6EMkS3N8mXVqtpTq+rA Ow60Z5jonLxtEmR9grITalG/mvGXG9/Q0TwHdp3YgSrmre6DJc5dm/cHEol8Pjiurvsrd2ABuD2P O1Uh2VPcjlmuaTc3gAox6xZiMjo2NlVR6L5VYuike9vozDOEBCwEs15lOgFwxyGXPxmtw/T9iE/M sWGnKlWFQhAcVIlBH4x4c0hd8fkgcL/OEmIaw3AhPTMVWnZmooJzz5Y8L7dxQfLcXR8KLiwqMuTQ s9Mwik01YI0OlypnK2f2ilKD/m8naAiOWmem2CSlVa5NVWn2zhGtihp/U/DgmUyJHQDRxLsEOiZ8 Pmo8/AJzAncDPKVPVVhRp8v5GNOnagzjXIN9M1ycPDF406KKovaZzJBStgkckyVn55Pa3gSan3D+ LDgtQDRyfk4iCOmMWwSlpAm/568LcFNmRoP+4lyhIfnDBVr5/L8wCTLGw2nVnpMq1EPkod7ZidVM UcdQBb7FmN1mqvpMhcTPYky9Ue7oTZoOGGxtKsmcNFxKCGXsulEEh09Qu1jzPrq9cwBKmLaouoZm iyrj6lGsMDafD7rIv5w4oJPTxhOwB96dZnpAOT4vXmXjFJ/9RzQEpwp/oe+/MGCfNkVz3NGm6pzY 59KDxSXw5iehHwzYy4AE6mDZC71UB0/1ae8cQfEIf80GTxgu93cWZ+dleFKDwucjteRfNQZEKL0+ R1VUXUezDEIH0yFBSx1SIjj2bJY1j5mtj5xD/055KODESHf0rLlV5DaABibY7tVMuEeeMBU+aSaQ CUSdJ1fw0hdFcZVpZ2QfLBGEwQunqnH5R+H6/iWYTEPC+TguBg6eoIgQtriL6/ugYHWn6lpTjj3c wTOw8EStQmiXbWiXfdEu0HeEmSBrx1DnW3BWB9KskssOgwu4YF0H9Frtpf+6AQdctm1QWFUhNivM Wap8PmIy/JorCAiO2yfNgY2niZxDork44aNV1yRtrtuGHM7NunLg42kOKqA95XZHSwPTplKyXPJO 1jcKb8BMiWjYUYCyx7kSMqg3e+nIKICVqyzkSzZkDXz2cpZVywlN+Hyk7N5+XYEbNhXX8TS08lQb cI3qJeTdLsFxspRLENXM3Xzycuzl2SXvM8WO4egohXY2cUJvB0JkmDaZLw4WXUsGLr/jdne21bSX /lPrFmVEG2xdNajbaV1tPh/kNuVXvb2y3bYvkiqHhp7cOtCTvipb0rfjLhT6bPrZrtkKHT3t+stb +FiOXhOlVzmLlwmeLy0R14DcBv9dqG/m3NLT6Wr20kGBwSrtJMrAc0+tvZRQoySR6ux5Ph8VY8ZX HFpg8/R4K+TQ1VPFdriMGCl0kuxDuR/08lRs37R7srkKAenDcIyC7XO0uRr17K9GNzG4L5YhRBHY xPtnM9ZAqd6l29gUzKGtZ7Y5kpFQciOhIfopq3x98vmIjf0rZMCW3s8mjF09iewABNo2IXSdbBPS dU+bMFXnXuXA1hPLSglLFZOPoze8IEo7YGnPiuhKqUpDShA9JOyl5XIhnzXZS0dYIVl9bxl/g+vA sgKwIo3Ys37OD35AFLR70EDfivPNe17bpRw4e+ImpJzNIuxTfqTdm147saiQVHfbPlkxNl2TVAz/ Wa4WJyj80PUncmGxg8agHXqjuFEg116kCWg34baXDsALmWaMuMU5mHbiCbUKTd5PHpD1phG/6Jdw BNRMBhazT1ZoK9RoSOG9ZdDnq0B7lE/P3nFWFRCBS+DvibK9gtCe9h29GHOWzTneJ9NmIvSa4nbU ggbvBWDuvNQ+/Z2juSJtBgQ9APnhH8zEBgFWZVcB7Wd+wL/6Eh42nMv1mauo0k6ORmOdzYFDw7vO Q5wHIWIcxJBDk0/JZwGJ1e7ogOIOJ09JAJ31d7ak+j0VNzFLcxmpu4uZD3/nvxSlzgGeffBqDKyB 8Y0nT+Pz/1K3IYcGyltzPWd7FLg34j3Gcnn8sxaGQ/agY+uFqwseyoHPJ/agltPW2c7RAR8LJEgZ nYBEM5ZqfNClYIAKGPDVlFrTXjkAfHBVrfUMqocWyFfKRLBuPv8vJyaGned3Gf3qBufQ57Nv89dN XreSlSUTZ4GvKxVuxvSZ+pfH0N7jjo75mDgPJ0na7cRtjdnu+ctIqcWVn3H5Wvcm21SF2Biy2fOk ujnQyipAofPPFsHZj0kv+i/4NYWo4ZM++xNcRWE7MkaK5KeLSOvNEufeuf9YzJ2OjsmB1ye6uAaL Mbmbdk082jrHyyrokqDEB+jYFtgKZFQ6HiCetNCKenn8nuAOZBUU1jI+iJu1tjrkgF9nPh+Jkvzq CuKYBuLuTlUUtafCTZeaA4lYTdeqQnfOjioIQfhUhVG7yQYnywrTtYvD3oNiLCmRak1RyPMcSuca Iz+l0DZ9qjVP6n8OHT8HEWldOi4dNDCtqkEXA66q2vn8vypXSbWbn1UumSaHnp/knSFQ9MKxtbl4 qj+gfjSTbAOGpp/WbW5ZMRZHb92c82nNQetIyHvBC4utsMn8sBLoffGgBKvn0PVT+J+ZWJThYIf6 yH0pdkeJPbD9BDHmWyYQ/ST0x+5MRQH75v7rKV0Qn5SUeFR1v/8W/TZspqKA3aTv6BLvox1V5ypu 4LRvAYwhSi1IaD27ZNAFNF+ClplX5cD5E6tXmeC8g7Lfjg0teZK5+fy/bAhV4zvhWb/m8jn2/hS0 fy3XLduCe7Bx06rLAIyRjJGcQ/PPLuDwru0ZrRqDq+Jc8DL6gpfzYh547mz8dwsOroI/wHqnXU2u 0P9zCvJYVWigYzBnCMIXnTAg6PrxAyKg8Tc2NMt1G90Tn64QHUMXK0iveft0XCYErFBsD47lSp05 dgE1vBWdnmz0VBDChxMoPmAgT1bYREGSDUNBnkl9EtebUl8+8AEFiZuFviWt3IW6E7fhyQqyHL+A iuMHRHnzXVs83gpdUO49GFuBEnO881V72+WWr5Ysr7G45nATuRx4gQrhg0N9rHXHm+DAqBEakyC2 pTNHhIlCejsDggjaNvgTjvQHnJ7f9Fe7uW6GV8RBMSeBStOsRBadw3byA/4lDYDnKKjD/ohNVhS0 ExvUksgji/94wUSoDigbhLug169CS1BTd0nAMvpoxzuOsD6kPQXWAyrqP7IcQC0G0jIZFWdnI6Vu L/0Xva2nfgfjlZ4XZjZ4/mXl8xGB5BfwihI4/Tm1oqidvVE4WTmpe4MroerVJF6L5fZZb0Um8AXF AajqlU4vjT5X82Thso4Ce+uEDbRPQSrD6lWmRvZ6qDbdXjoqybAnKLhoBZU7m+18rYX8Huil8AMi CZNfThZ1Isu6BffAGxSZM1oohcYrUhdelwyI0NR7E/k54sPA3UKrWusdb/lqVMpycLJwowgeU7GE Mqk8FQe216+2v3SwC4ljOPHD9MGaEyf7mVJgXJ3PB5twfsu/AYK88oN+DP1BZ5OHzDWzmLRlEZSo OMsb2IJ7voeRu23CWZ/Rw1FIzcNyhxg1sOtJlc/U3Tl/LCgRhSUZ4a5UcA8dQjtj9yxborwfX7mz EwiyPZf64vMRafnbpyEj6t51PidWWHGnUwPcea0u2lUipV68SEmUVujZcVehSWg3v7j1NRpoO4NJ PAiaOxcJ6I2szieCAdjJRYnB9TxLFqAvcAlF8E6e6WCcRcmOKhQyqGLEP8L1nh8Q2Tp+ZzrwIJ7p ijLn0CiUNgBt5uQ54ZCLDDv0dTiYQegDxqSBUyiCEHmCpfWMBvtYdZ74arJDn1GkyyY7hBZCQxLY m2fPtMPUK//JXb4h6VDbeXUT2zYsQ+AUKiWLrzwHHKXdb4wVOoUykm2zjO6VPqLDZSDu/7Zusmdt piKAjCkO92yq8fmiaqkKu6V/fHbJuf+c97Yh5gJqB8UrLSUcCrECq1C8M+vsUxg1qkbJy2Mgy7fW xOYHBBfhVe2Qac85aMdXqhPahdbKVlduvqyWMGpMCllzU4+enUTmz4FfKJJCKelmhVocHc4Ai9a0 eRMOVMhkVgt5dACvqO/1QNRSsVf+E3e1hWzfiKOoyoyDT02vk2wsfkBkhHnbOM0O91G+tmAUvFNB A5o/DlIbvXkCbWY8jc2JVHxhhcG7EucX5BUgMqggEKSGEATfyADfgsCzC8YV8yIKjN8TQWqNA1d9 EPKKMhc2a5XP/0vghMEYivblKbYHpqHnhOEWzHSM/Y9y6eXCHST4mmgO/q2uwdg11Cqd2wQE9hUQ ONvxxFcnYZtSpMBBn9Wjx/tS3mhvTwrzVIs+tA2dVDyr8pyrLhBSaWqbJFXVKp+PVtU3UpsFmZme 0ypkn8o2NN9QtBIlSXJJdfsw+PVO02TMgW8oCshaKBTJttHhDGtAyYun1VlipSm6yHAgRtje2MS5 xXbLcULr0EZR3TQytVBRrlxydkJprEv3PDd+QFRD9mo7NfdBhNrpOa3+jttpK2OhaGI1hpJV7bqK N0Q8tq5iFupUWJWe0UPRARit3I03ENVTSgJUvsggt//QzMP3IAX1cuAgmv+faQiUYQ4q6vKhEpsr PYd6RrEhtBAtjwgaXjAjPd24Iny2QolG4trXvF6rkAm02RrL5T7RrveMMLARPatUegKttXJHP9sL MoJB714UysZibbTQlGVCAWd/nVc72ytHHS9KWS4ScThoE56Ldgi/v0FaCoxE/y+mKKP0vBEbaqo+ r8FVx96nMEzvVxi1mRzx+epp2gJnpso2YZjX0Kp/aVmKbVkeuXT4X50/YhOnRmKJccCg/vRDL1lc IU6FoxP0a2DV3bVoULBBg2bpHDglG5gKT7/MUYcFr66/LamTCh9oVNYE94pmaKt4XL26MEuv3phn T4u95t4vQC2YoS60Rym6+ThaitXS+cUpvIXzvEHdkCFVgUrDlAsXlEnkdrG63vdtirxzA8UDH4Tj 25DUkLvawtNvU4QQY9sU8anBfsiyKXoNpTpaLcTQ5p1tEQ0K/CtPni4Ls/a1fHwNpLCI1Ajs5mzc v5yNFyi1M3OzoQlcKXGLYilmDbbNYP5Y0EnY0ec1jOo4VnAsDV17HFQwngMGW0wAd/u8xlCYX9PL 2SoXo+ZSpk3Z5zWAwiKiyuectwU4+9hWLIb3hUXmFcwFztBr+ISplhcm9N/uaDOU5p6LotUQQ0Xt U5ChAUu7AW482ng+Q7hIPq/BU0fwQ9sdKGVjQOtZUqgn42bDEsWm/HmNnfqjN2j77ESZDcmTpug1 cuI+YwxQ1m3SZANio+eULkxhORHiNW7qBgSnxdy4owUDvdBXBuDiE2tAUCi7NBxLiDAgxnqwjbYp DfcaNnXDc5woQqxAx+UCKCQ3P/XdX4MmPG0iC1uVYYJAC53mMEmvMRO2p/TOCGAwElK+SQsRN8In XEPj14ipX4fHVOq4o2OE9oYJLdAS58PP1AOThCCAHtEFLLhG4IX6o0neqsEkLdJpGK3boApLPWG+ JE76Kp/XYAlroo6v0wgdlwU4geboNVTqptSEnpnXzse4CvtQCHZgAiRJ5JQSzZEsrmqTGz1H7/Wd O35UWivDk34tcW9KOQcuPFJQN5z1bjbmda9RUneE7FJrZrHFyYmBwAxxMj/ndu6f1yCJp5mf2Fg0 iHymXHqpCR2sIwnF9mqqOPRwWjZH5nAs053q6vrRiW2wvCx4Okebo47G0IBLQQcaDFAjsm+psHxW MLwqcTl45E0O/Gt4hN9iygBThZXm0Fi0uidr51AS/bwGR7xX0teBBOG1sZcfSK8VTew1IvJMHUdn dvW91oUm5pldfa+91jOx1QVrSU2gDY434EbTrtCddyd0KwS2rgBsnvAGqIF+OzCFoevntZqJOaKc 5/lbKcPbtv5avuGisv7Zz+XzWsvsBnO+c4QqMK1KNUevhcwusCQC7e73WpvVsNXnh39UOqACJZWO fxzaTXpLHG2OKuaj4waAnucEWqpojjCfJ4GrgPD0C8Ojx9VrERMvrCRX9qC1ExZIvvvKTQbGZeXP awWz59ug2lbvnaZT4CFkFGUP6ijM1h2rMeZ00ZcmErz0qG5bPQqzuzRxiuSBNN5zGxZUlcS1hQqB kGd5NHKS4VoG/NyVfVGm+x5puyLVLPMO3HBnifaVhD8EySgKtdcsXyc3nN3HvKfSO8OvmzkKzW/s WEJM42FSs8jgHEtwbzKKUTRPU+iDaaW5eZGKZ+sCZQslCEDW0Pgm/OUctVRjQ94GToL3iBm/ft4Z fv2BC9flg7UQoP7MeTpHzeed4IfTe6+vFbWAd5zzztN7wI1EhoAfOT1j220BWjBP4I/ZFTcZ3ghR FszTrn7H7TvaeoIY2NnY9OUFDq8JsA+UFA4Ydv/SteADxIRv/D5NXQxI+aqU5qhqhipJcP3Nx4No aZTvoBuiFRBYs2mKou5KD4K9W3aI4hMtQc3zx8U850WzBEe4qeSBO3RHn6YTR+w2yYLcE5w1SRIj bjo/KISF65ehhcCc74F3Yq8DHkfEcnJQflvBIGNUUAB7DQPv4fFS1VlWoDi8fZ7eQ29DFZ5zqF8o Z77ZCciKDiXjoaPybjRPQlGvIoWzVa7C2aCiXO9EsiDDxbXEBA5H4YT7UibwyzosUzXLP0+nAdlM DADAqLw7K3qoOHJOzPF55/X1Rzd+/2C55/xAOTlRUfjNP6+Rr2nXXe2Oed3SzsP5NKab8L3T+ngj qPxdrM9SrigVqkd7USeUmW5vW46OzK8n1YrQvLZjnCJ4n3dWHzYOWwZD9UoOQif2XOVJWs8J+Hkn 9XV5YjzHeKZcP2IJm6f3EBzJjTHV3IVvO7kI9dh+UQbL5YbfOX2877LNT7+jzdO5+zb6BEnQRPjw NZXfgBNY7EejvC/34iythCgIbzy/2bmxwdoFgJ8lXXf7887o649knjO2UNfKHjy9E/qQHOG8Oaup XXwBTZek8i0ItbiP25sFURhuGIya1DLnaOcTEtJzG3OaVkEuJAVnipQsWt7O2zEvKLbze96nabD1 NBajKNU7eSiR78QQM3U+HsSYVtDdxjxeP+fN7m33HogbDANqnt5TmZKKX6JoS2OJjhyOWYki8WH9 36wqyszlFnMBvz55VqOy4Mlc0rYjn8qVKzFl+eo+db3x+zQJCTVkEcrBKkxoaxmnL3/eeXzdOOmc FSzDDHAQwc82T1Ew3llk6orA2XsSeBrzlFgIVO+J3Q0upygaN2nBsdUq4Kh5gkwuJPaLSMcgHCwd 44UW8AjICIfzDsHUG0fzBLBlAvzTBp1OoHR1dXOBv4jCcSGaMSv0VyuoM00/xN8JfIgKJuH4bC6r WulKZmc5JZ+mDdSlVSvDYFz+1mXmdEeHmM9NOuG2Xbe7XK6oSblJamXj1yTN2Mx8Z+8xSJOiGQuW 5ibHOu4oUuxqJxH4vJP3ukmoaNcxUx5oTCRfTu/kPUa2wl0MD8ZJ+fNgvDlqOsNTUvP0Hoyfhdkt epLeDUdL7mbqcITdlNaAkFxikpwbFSkguoE4vN7jiWXLd+5eN239Ss4AdPLOz9MkNdXOMcGCEHwt Zvu8s/f+68JD23CNG2i+k/ewjmnOu+pjKLOzu/BNL9ZRdMqX1Hs8fmsFJeV1R5sqRJSAB5FsvGHP IbSmTAsb5WE7AYhSjdeaeg/IEUERpZnV+yW9YegoH2vyzwca+/PO3OtygXqO8nKeB1bKJyqMyOUp Iwnmrk6B41qLNCxpUNSHkWffeXsMbESv2vsZnQlzzvGTpQxWeU+K30xvqrCtAAW9H0oTudwGNVze aXv4Ivk8K9TkYJEBFE6kkDfr5521918lA1i7r/UsqCgipzdzG6KAslSnyAB7r7Z6ARecBUTk75Q9 bn4rGJj3Tn68dxKkTtC6RACVyhziIZcTBBSZT9Jxx+q88rt6Z+xxPZjSjQ1DVnxAKDNGBtCkfd75 ej1/dVW4dnBGTdgm2jyF9XBiQYvwhdx4RChKaCpdPfSBAF8bLyqI25WXDCLG0c8o/NKA3XA9TViD sCBOsECmMz0EE5/DfOmVgxBKIm9z3kGQclgqFRXs5vq8s/XYdfB9x3DrLMfR6B7OeYoCcmPApOkA njaNrFDB1nSyXmeCw/X0HpAjyJAeUDY13fyo6Z6zHOoI8kk5h/amBFfKezG5Q9BYOnXekgq+S6/8 fkAt93acPvBrznfuvVRYWfvzztXDX5y/23S0tO/t3nlRRE7933N5V58nkIJsPY29fN9BodvOp/eI HAtanMahRgtHy4SBcoMICX1STsCdp4R3GzbPotEjrmdP8MrQG0fTRGy0UJl1nFtzCBt9QlZGqT8n 3t+fd6Ie1lP188ndeTt+NpunqDYu8mfvl1EF53jfd9w6ojQCmaJ99x6Su2hLFUHDRpsnQAe2cV+A 9E4EREPfBmFjqtRYfGiyKKN+3ml6+GVpj9IyExgOhq/oYNH8UBSof95Zenh83fOJTHVAdna651MU kuOKgdvzs55q88pKzddpblcnyb5z9LDv1GBZk3Og0ebpRMsnGOgwUUxwzhvbisOo0XWkhvjjXVcj 2Qv/teuqlCirK1FCik7lIdzy4/NO0EOkeqMCnuJnYkeb3mh55+f1q2G9pkeaZDcJhHIClMvPy27u +E7P646ZOzey8mGOlriAtdFXZoLXQesc3vo8MeWA3yHMvVysDBDBzzs77yIIunhBHJTfoapFsYV2 Fu7nnZzHosb+lQYjvbtF33duXjfdynOG35hgXY+Ufa0uANVxhP07Na+bPBWNQJ9Rs7Ry7/BrJ8wC yh2lbsM9oXqP2TnROiSGxQjKRW8cTZM8MFktaBdYWChRxQVy7ozPOzEPf3Aa3yEmiZXJQ8x3Xh4W U5Fdw2jWa8E6dqwqSfgCQdNlCVvunZaHvZDNWk98BI73aJrAxVCms5+/JZdt6sN4Qax7NDv9BG9D LxxldziSyP62QYvppHZ5GiWxfN4Zef1xkrHSOJjas3hA8E7Hwyxtav6Uyx6e26IAWH+wNE6FQEHF Oz8pCjCHsPTlGW3LnQMIDXdR0k/YmyWPRBw8eHDLTC2E/GJl5PPOxbsdYGqRY8Be7RaIk5kH6YJc Pu9UPBZVvjtSaA30ku7RFAXiIuJdwwEIqt3OHdRBbJ5KdtG7dx4ea6I8kuD1dEc/mvK5PUcnGBwF 3blkADlQLyuLkvEu9ZPBXuILR3kdLzh5fzT3/gB4cCqGOld1+bxT8H7H4ewgwA5bNgScpvc43MQu mixbBaZX1ku3Bgr6azmxfclpigrjSQoH3RrB/WkED2Dmyshs3J0cEmtL5h+YmUEDhV7q02mxNw4a UqTANumfc9Cy6IQY/ZDXwteMVtP82nW4wPoYd5qiMJyda0yTQ1OwRbz0JGn95gg/HeFxXVzmHzs9 o8PowRruNJmEadqsZpqWwK5Gce5MSWlXD4nwic87987yV8BS+vCB84SkgYI3pCl93pl3jCfa164D 5mOVWxh/J96xTJDEPfe0ruvgJjxFRU3CU0YzfZ933p1XnIGTU9pSxdqd7B/ADI42sRCBpMqFbK6A cAL9ACFh9SqBsM7vrLuLmDufQ1w4THDUXTmx3dxs450bmu/5r84dywQLfqrdywTvpDuc4k2+vHbB oRTUn7qTiwev/cAKw8q4CK9WU9Lo6wkigN3qTnC3GmzunTwPuLCCzh0gYxfAiyrO551yd+tO5FRi OL+wxJXZOxdJ+OTSn3fKHes+3xNFolW9UJ53wl038W6gRLPXCcY0+Pzauzl8nrbImqioNC4QE7jA +Y7ekMKFkCg6mzbCgiJy4qC6dUVuWaGI5l5X1DV4p9sxjqFIRuHG46ADqsB6VjjenT/vZDu8Z/Hr TrXxjhjXD6h3rh2zewp3C3mpMjnOYxITQaY2sHMadJMBCPMftXGE8nd0oEqC+WWTEiAUOFWbyogQ 0GXBoYvyoi0oKjB93rl23UlRvWkAz5zraZ1VX5nYnfRrft6pdti4fXzNE4KTH+IBbKJCpMpUe5FB pISigHg5t9wJ3AZpBZmVa1xIOKHemXb4oRRdomJ5Ry9kLuQTFPIHo/rsSqNRQ9cpk4VIAJAVnujR /nln2hnkEw0odJExWO9upkYVOFz2aX3eeXb9sZhT4QmXB7BrPk9hNL4ImQcp2RwGsFtlXdHo1SDy ZkUHmwsqqow3zU9a+RkdRdfP+TNExADdp9dtxrPYBLz/4N76JHddrxwheohVbZI+arzoKZExR6cw ztny9fPOsftVoONJjinq+26893gc9A2GA2M7fbqKag4kBxlQ6nFCqEM33jvB7mvjSQxYo5/kJ4w9 x51o+WtDE4nIp81opqAoAgssjwwGqRjvDLvuPjtdGhkcDNqb1hak50Q3n3eC3X8VxoHXol6yzVNY GKcGLtW/vKAysyOfKCgrqIrMK7CewsK4kAWzWP5SLipzFABvx+KNd5KVjkuPgeYW2Av0OuwTJcGq qLyz626toMl3tommKS7wOZTFQ2nt806uI2Cx/zqfcL6RdKB5igrjhDTBlN4BvgieLTJoap9T/xZZ Hy+8d24d8xYe4CP1cUfLW0DZS2nT5erE43AF0zyhUEeNoJ1uPM6O2OedWPeQMtKcPmiaTs6ehhyy 9/i80+qYRPs0sTx3zrOzkD1+emfVEUfHWKAWZz9NOnFJy3UMZz9BI8x2XRyPM246m7bf0WbpzBjy x8mOeUlAIKodCp3OATt6RP4ejSd74QgfVsjqkS7ibNWzu4KQ7ceomu+Eui6N/afYi5YSs3CbpSga p3pGW2KssPDUhjeCF+v/Kopnz1reyXRdUk2YnS0VLY42Sw16JxU1xk5gxihqGhdALzoyJmx9b9nh Xv68c+mYnJH7O8jV5KC1RGqGrMPn/LxT6QgO+z7Bgd0dOFRtlqJYvFOFZpVrJAC1Cq+oVGIymQIz 2EFFpcV4caEvhsSUOTo2bAOogtIBKiqoK6tsnpBZdfAj2dg03i/gCPiaIB5IZniyfRD44lwNy2hQ peHxIMDs31ieiuoc5ABtlsJAPAtfuFwZf0p/DRIFg94Sqs6hZ6jzOyqIW6ecslU+2ixNaFx0cLbh zAupFp1LC/UJdRoGpdjK1/kdYlTQOmq7kE+3y+XTtXyWJmu9J43B4wGgII+vg4mIIHThjOYTxuFZ ftjX/bIzTTerk3o1L7IDoft7HI5wRFtutme0Wu+JsU9wQFY76innvFOIVQpPzt6J2/YonPzVHhIz pUkwCRfncBeTaNGtbT4ewaA9GDCv4/N/1YOBHgXhSrMz7wdtuWSCWWXJM4+zVJPDoHuEUBmLW20P dVk42iwhZStkyuBgSjBskQgukNFNbSvElVYmoDJIjyriNav9RDV8DpymgZy6m9HXxONBbFm+q05I M+CC7NMUV8Q5N7vtm/xeNvTsF0zQqfOM87tHFfGuumRLOps5evILLkLfSco8MKWXFD70bMA+YGe/ XmUeyWP1KAYXNxOhOwe6WDDroI4NmfNnmeH5gKQ5f7HrMvXP0w0ve8jTpCjISMPF3TOXsgIC4BUZ hkMQM8s9rkdhuPvQC/el0fJfaJTXxZrhCZVBBdkiRjF4AFkHaPjuBV/p//YoDC9cSwu1aA7FIJnj /ArEE/STbOPxiM7ql536oeu7F9UjsiaFjyDM7FDD1syAFjTa3C28bG0Ywb7HYbgA0NTjt/E2WQpx F9ROgQZ+lsbRCcDPSQrXhSz5bYXhvO16VBdfRIzDt48DsHcSbigQmCJJ5aQNeD647kb7tfXOPp7d 6049DMNpBFPouu2qWNuB0HNkg2Su3c1ttseETbvuBEvl6Ncd7HfmoBrIiS2R17FPTg7nZo5HBoKd UDXrjYOogJxfSgWfAb9OV7FpovEnv6668Xy08/av9dTUDrN5CgvjwJ10dsokiFUWWXUsE/AvkLwF FhzLBD0MxE01xfzT9xdBKg3Qnom+yufWOzcTtQoybU8BoAMecz0SrYj/8UVR3YkNhMRYE0fCj9DE 0KCsidUuqKngA/7FaSHh7hyIY61LAH4PxtGQYrUp0fVTJmg4jFF4ghQPynLsGOKUZOGpR8G4aUgz /vHRCiroQJSiBHih7U5QCrQOsf4z2HzkYLqvCVVHe1Qbr2zZwVbGBy6NiZaNfFNPjI/Hg0JB+w7H wUkcODBtniLQOAlgZ6OP4Z6pyWpQYLiyq0kkkvRGsaIihIrppZAzqJEHLAt0FeFNZ6C5gBiuKo1P YoxRRkCx9NFLAVoJXxSJW7DDmVj45WAFFajhcGmdnwaPB+tpOsl1slJAPPZVJuhhRN6+XPW296Q0 UY2uzTRMTZC0rYwOotL4csW0Wu9oR1SuZwkV4HY66gNAJjVdeSTe586i07V4HuS59gik0nnXgTzr g/DQ59jqYknNMvF4xCr/jqJA4AT/yEt0I4rJZyb3vu8L8lVMzjBqTNcrkgUsVtSIauNTmApYE9/R SypnbiqPj3PnNcg4CJO5cbFrq4P8aKQW1m3xPX81pbogdN0hdBUMNTFczkmX8XgQlI9fPU4YA+zL QhhRUN4FoWMTVaQWIe0ZQpXiNZUOOofo93FlXBvOrj6Otp4qHQ1zF6nl7MHSBF3FDbLR08u4iFxX Dd+K74kI+JomHujno3G9M4RKQJHIbALzFFbGl8/TYr3gfMy68PoRYsYVajJsoV144qq3EMoqUsCp eFVlRJVxmuYQxlk1kq0xkLxAOxpOwIsC5CDnSISGYRYxiWXfyADVsIGvCYCr7ARDlJhDssT/hP2V DKYTOJ5Ac0R18afRwtV0fplG5WHNUohTGXIQarf2JAwrQU+zFoeGDRd/HFFAvtyVUf2WIblazNKA wdbJUmkki95BZmwJaiIKLec/E7ftYQHqQfiaP3H1ov5Up/6cOKhPuaU0yBmOsCrevsu9OBLpKWWz FIXjnXy7Mae3N8e4cg4gtThcHHmf1lIUjpuuDLN/Hx3Ncz4evBxaUvW9cjcPWYCQJzxyMwSWjA88 ybcbUVU8M8ycdDUG8q+Im4JzbqusMs6yxvMR4c4vO8r/nkMSNuw+T3E4Tt+gPZz6c45eP8KhTuJ7 bjtsdUThuCkVtVTnHT29QxgHOhmO8IFILBtsleBcsO7mw8KnWsEIweJM7lxSe7tjyTnuduoCGIyJ x6OT6fZYMLvIgse4SjwjRIuzyTJq94JB38U9GUuaw+EXEKLUNEVo8bK12YbMADhadtfh9FSp6pjQ s8tTEDqgxH464bqlPFjxqhf+g4QPApENSeQDWE0P9YCRA48wEl/fWPFC+btbKxhRWbxlFuZWaj5L vebLZWmXy0JFXc5SFIkT/HE22+g+4qfNLIunDivALWUwtEPMVAK1lIFFtFu7s4SLCF8ThU2s9A51 yvnnGoLuTABjtVFSx/P/knXiYgdXfZTbPhhhJM7VBGijb7p6aYm1XhVtMHZUKxhhJC6OBm2NfPTc LkM9iRAswFnPKxFTD9gO5wt5PTQAfdsxwBxhJN7p0QU0GZTQWY/nxjuZz5o8hmFNhA+IiuPfNLJz tPywBW4zFYbiuwg659WCtau3o6aIUmpH8RjHTMXFcWextDs6mwVdhAUdFJhZQ5+nSfSfhwVwYicA qflaJOgcjxmcJt9LhiIV9nnJwXFPks4nZix4PiqrfKNXUYxCY9QmaoblcdKj+s46lQD3zUKsnL+G zFGW6QBfVVllhrKFybG9+45+360TAM5Epmun+Vu2UByIemjlr/R4I5AQPEOQiuxcGuUdOAhFN3Jv 1gQuFY8Hs9S+I3EQQIUW1SxFkTjxWa0ulk+YDdMZF0UVKDsJuQK0BH5yxE4zJHCqVAD62x1vJA6R JwHGwS1vNPpI4NuD9IPpybJANZDv0itHKTAb7LU1H2yecMOS9HPuPjwenVDfBzli9zGrX3czisQT 5bqqjK0Ziad1D/J9o4JVh4HDZsjelJ1u6aPf0Q4ooKoXkAtSegLSQ/YRYOZntMxhf9ovlifbK0fb jiLsCCZs4DwNuFxwceF18Xg0T9/rCXSAE7F4lDmjWJwwNhjAN8deJG+RpzaHk8gGYJuap7g4bhgV HVMcvZjZz73aYHLQkdXtbZjxUdjNPdOy18VCr770whHnh91yHuONqAcheVBgIFW7pzLxeERm+aZp ZLhirdqvtFoUjGOls8VpwXivZGwL5NuKO92c2NenKVQyNDWHIe4mR2+3nAscjoF0ad6At5IPW3AN /mxgygr9p60TTF+uGXI3GRE0GSlxUD0llya6aDs/Ah4PWpztW5yHojMt+WU3/y6Nd6oAqoVAnVup FUich0EmCHi87GaEUOmqE/Sk04mj153OlYT/6ST9gGsuLPRA8DapgIFzycKnai8cnOFy/R77DvyS s4XZagbeaQw8/r8QpuH4ufKtEswIocJslTryXk1JVyLkXAFXIiR78DSjwrjh5kAguqM3WqDqiFkh 0W7ulNRogSrhCTLhH7geBSPSpWcUiosH3KFZi6GqowtaeWeC9QMJFzwe5XXfcEyUi3prNx6IaZuE Ejz576rD87rRl5fmQDeyLRfqGarI20zIiKPN0omaJqpWbNvls0uW7LpYUZgIqODNdZsH9sJB007s A5lYc7DK3AnOzWJq8/EIFOZ53dQSPPfcvrMUIVRm/QLvsOj7kMpHvWTEMrMlLDMKxE2Fts297mg7 7hyzkAkqjAfAjJL/4llgJxHbYDhD2+aSWYiqn1EcDugrVpEGYCml9fX/GbuuJEdyZPnPs5StQYv7 X+zB3SOQrNcZ3bM/mB2bJLNAiBAudodKHCK1E6lWPP8vvFNWokOCjc9TSNqk3gztr4ws3d3ZFHaB hlGBf5mlvzPWURHoIhveKT94p3Eizw2he+mogJhodETIpINyc17+If2sojf+26ZbheLhHAR4AgfA 4vHd8XiUrXyjL8DtnfhETdOKgnBmzY0tBt1zaQyDFayVzR8C7Q8PB1aEURmiRvW0n9E5P+lE4XPy lxt5I7zkAb6AVwLu9we4YFMHkUTBCsvhiWfSIBO4j+nUKGgjJsmE1IrHI9bmt4gonKwmJMxslkLW ZpGW8b6z1F0bG2LNLkWfUEDSLIUYFbl0VlsoHC24XFBRhz42g3BgwpsMTQHLmsjkcNs63gnYK3xN MEuMs8oSQQOF7GEn+Jl7EkXPui14Ptpz37henCWst9k0RTG4lNWWTGp4greLV53dldV2y64YtmKM itBzTbH4oDnCuU3QuYGaU53ChdGMTHF6ApET8pw/q9QnZlo/DV8TxJZpU9vJlJ66A54a1Nu45c6f gMejCPzXyQQN83HVVlcUgbMF2cYsXr8cs/k9V6brqgGeYijxFaLEVWpq0nbW6CjxhnoQgioU5vBl aqWPTR3xkwoCUu7RQLIXDqrhNNhicjG5Sn8k5YEkIfGHOT98wfP/BBniP85E4YHFYxMVioljr7Qm zyj+Y9lWIIC9mqAqFb08A/aukLZZzXE5lzt66gt0OKUa6HI3N+xtUUBZxkLP6ELlB8cz9cpBdW6K byDfNhwHrGnApiBTSIraRXXiE/4VOzHCBDBh3/7viuLwRJHMktOyolMRqmfR7bl1r6UAHqGpCiVU bDeVne5oRSc4lyB3oswTiGOb4uy5wnMN6r5nVua1fC2JwusrwqgIy1Mys18Oyn5BwJc0SOl8zwjy 9H2QQ+xorH7nKYrE1xYK2k5v+AJm1zTMZK6RodFQ0dI8hZG4aTwJ9qXRlhRKwNDWon/p+dNqybJb RnPq5DEoJROiIuBFHvbKwTx1dQ+k6cCKKEPLDltaqfWlhsejC++7SgBo2Hra5CvkbrqEitfmVtkX QtcI1dyk2iWfpxAtrh5L6ZKa4egX3pkzyUey/3t+bjNAIlc/Yy9liIo5QAXBLb4oqjoxvuycJ3Qx 5VMDZRDZF8FID4+/77t15frEeqk/q13Gzwq5m13+ysvhBH2uYlFmZq9eWscgGOrGi8viAvDILkuj U+2gBz4WGfgrnahuWrEXOwzcTUhsoIHADjB6xXrlwFqEDYRan0FAnnM2TINCn9xuRdF4We0rtyNq FvVln6iwKo4yErjATpoGWeDWU7KXncDjNB32MBwfpt6rOJPj5W4C1QS7V+CJMyNnTNSiJLXEIna7 ZJZFUegVqoyLJ7TI3eSgtt2EaLPMx2b9rAigslL62ngiaaXLQNhRQD6IpWmyWJYYluUt8HfY3gVu 3W1Ydkje3MZFNOhTeRota58AiwLcyIJngoTcDytR5/jmz7n2bdvJ5XxHAbkQF3myPpfRPFDpEoXQ on03+fh/4fyA3ySZU83Se0AONVHCeOaVUYFqks0SEgyvYoIFLsn6WEbFNOvNr7RdfRCwHHfG7oZk GEhqyFaBdwJz5UQxOJro5WT3Hb0Pdogar9S8yOkOqooDz7FVeTpZ8H6PyPFrXmV/Lh1kwPVqOuww JE+kbu6buWRq6FLs+KwDK9BtyqJqouKQXEFmkS4RR6fa5ZbA6BdsPBd+B0V8kaxRDAKL7xoFD73x 3yp0A7LoNgh7ceKJzabbOUQ2Ho+Ym9+U6Qxzj3zvux0yNwfR9TR1oHh2KdPP8TTLuLuumzfiDhEq AmJyA/voVfGzkxEEMtQkVIh0Don4bqTBGXq13ozidbejoHyT4FonJUI46HSCFi9xp21jOUUQlXYZ +EaEOf9cnAi8Q8R4EvSi+rYDAdUYLZ0Zkzkg3dUUIsbNQbLkfEePyCVZRI2nAcU2ClGD+HNmhFS7 xs6mSnQotOFr/iYxDj0lDhBcIbQwnZxmEXw9ToiB5/+pXsRWA22C6l1OoaJhsyqvyxehaWTzNGod Nk+tu2b9jiEqXEajGJ2sXM36c1GcTHh2+o31swEHnWPTucZBdhqkqs6rU4D4Gl8TBE+F8wRilw3a dBW5Ie+6Ezni8WiavpssuAPMQZmzFAqMF7loLBdinw64oPmj04BpRKtZCvUMdcVZ21KjJ8KQtahi /owBc3dSYSG/kH9o+i35otWU3jW9ccRvxfycjXUHVXxbXyr/ghqMx/8Tei4D77TvXRfG4pR9HGkl p5ERb8RpsnoBZfqA6dM0xRAVcRHND3g8fsCDnkUndKQN4l7EF6hPnvGCCk6vXRRBAfieIMQUQaqM OxgtpQNRgC9MbeDxf9XoOCUNeKfsCJUdheIUPWq0N3BSYnZ7W2qemT3LIBq685P+IVevJgtHP8In C6SZcjMZ1TQ1WRZQjB2ufeD1OT5lT71wpIVlh1L3wWjAaw+ZjpyTAo8HVZXkgTh+xEVF6iustqM4 vDW5RNyTCahnmyUy490lovliitApU5yoUVK9o81SwiVUSEo+i6nVXtVk2SeXgRrV/kFnyRzL07AX DsLLzO4KjG5sUJkAuLOsMsEceDxaS7etidfLmRiOC7sQIuj1e2mnMdUAZgzVqMpDdEo3RA9oid3Q KTmFgbjaUBSh91EzVaCdjcojBARPfouIQCwyurwX1KIq8pk6CbzY96UDoza6kNVFrgb6Xj/K7xpr XoyeoJS8+RERrv4XlodEpXQLKzmFJfKs2i/AAP8r6rdUw6kUCj7wlJpQ1rD5CkPyrhTY1ETzoyba wDkAtKPT2/YcDV2p8CSYCIYkP6UzhhJBkSE5vilCYAwqDyHkrOYRgdkCZnyJ1DIzn/9P4ILSoRXS rwNJCq1/VNmcBjXEhlzbynWYLitDgSZuZggpxI2zSYB7Ot3RCUDskBdRFOEKXEgjhlgYUD3QC6H0 vEses26Ab4qkQi7fFQPKEY0ZzEnqedSCULf4AQGQtaSvEwtVpLEuYCWnqF5O3y0A+w16eP7x6q2l cjH2sKYxR4QUG2/K5mCVfEdH1J38DPi8ToM1CLASZA9NyPPf0tOz9t1vsidPhBR6ALFYXkTP56Aq CxSUh4lqDz4fQeq+4RgotFKBz+cqCtCT6C35Ul9XLcNOrfEo0FAc0hZWVDMfuv3KFPqQo+/CAQ+g yQh9A4s6usy3SiZNWIKHLvTAi0AvHeCjDS+2ig864s+dtpoipZH5/L/Y+bwQzhGPc6N/TVdYNqc7 QqV0M4WNEsrmYixWMB4pbHRioWWMRQm5/OWQb9l6MvkqrHRIzgB7yPrdotyYzKUYY9H8B6bv5ppU UvKXjmCIYpixPNUcJ1MBIFpNccPQ85E78C//lgnN3K8zK5RZwXSA0txdBKoNcfGQm9uxbyQOO+Cj cH12r50/o9c6TwqAjyBp8cSdU63iLCV7Zsp54VbUAU/RW35TlP9pTZHuwkFFl5OlDwnSnOuVz0dE 2PXrgD/RAyiod7JCtZXK25Dutf+TajsCV+3Dwn+LyYLanO/DsIC+RGPZ4xntzDrx3glQFuAH+ays CVM2nlnAldI04Yf8PTuz6OPFb4pI6CzhwWCBQ3ekXVurG9Ku8fl/tUMxs6Dd7FSfuQoRLfTmnvRW FzuoXkRLH/XWXnLf7qsYia6ULeBPlU4GxwtJrNT9Z1UBp6KaMqXTDQnsr70ffW35TKW/WwOhA+ZD UmHqJBeMss4PMfh8sAXr9+k+GR2v/DhQxlV09ovXtVfuwxh6J1Gr2aP3REEZTVXs1al+Q5dWJEdH /wyuLZ5XJ3pHQZXV4YJYdzEbJ83aeHnkDeOL/uZ7M/IzGM8T6s28CUeefD5qiN4tSDYVRM3LFYvM oV2nzdW8bgnofdtcrT1cMKMkF/3NgV8nSHNb+bLnz48NwJmECZF+FmEQbFlosYDAGPzITVtTOd/Q 0jwHfp04mllfApjfBkuc90pkEp+zZ/L5qCn6fbSDekMFFZ+qKHZv0q1jAGiFmFq8EINalqXO3QXu c2DZCRSqIPhtPKOBpdLOZ7bIjk+d/kEmt03LGWYkOKdM3o8AxRw7dhKgSviMDarEnGiUgrNIriqf DyL3fCN3a3+Bf/asqjByJ0lvl301kiWU0eiySG0RHuwo7rDKkEPXTvAzuJrUaedoO/Ac5TvxhIIx CRrhQrwucuaBQcg0y7W5Ks3e+W+NrJNZLg3TGFInwpUYRD/fzuej1sMvZtXZ9n0+vniBcadMLXhY ed1qsOEm+aPNf2uqkW5IGTp3jsUkB3Ykd7RVtVGukgFdQpTVtqTuCvHCMHncTxWU/h38nmj/iXs2 lg+C4JUEh98frtDK54P996vZDnj6qO1mOKF1J64bVPjmLV2l5EWZQidMzVT3blYOvDuhbCuzt26c vX5Dq1FGAhp/yt9vuf1wgdMlyuDotreLNifrgV8UwBLknpBMf8xMBM/7nlVLIDUg7Xw+cjz/JVlz XhOV4DtVkUY5awPAIt36VTHMK0ge2aXcAevx/RebBWn/SY9Vo03VeZOTHYPJBdbQ6Ogrc6qwCgbQ 7tCQv/CpPu2do/3HOapEunBQoa9SbokB+yp8/l9qybw80dPCSr9zFTJAJWbXU/NksNTqTLS8PHGe wK8qcQ4dPJdFCVUofY4WhI4GvZWNcgAqRSj8s0u6kB9utLhwf91q333nKLJicqO+TXOxgApesCQA YXrE5/91rvP1QFCG/5tPVSjIQoT+JgHY8+Z+p2ony5uhc+xTFXkGmRZSMY+X8ni8VDgtTjAN6Bl0 sjShAs6OYdwKyiv0ra8Jeq320hH9GmHCySy6D4qsIKHBowsO2Hw+WFf9u3qFpT3H5TfmwMkTRUYE VujGOudjZeep9z6dMkvHTJursNKueD0P4fI43rka7eSVuZALirXAyOKcWcg66YBWRUkTOKg3e+nA KUB90sxqctVCSLTaW1N41pN78vkAzXENOtR+R3MCqEKfrBiGngWkWlZG3p0CEZuymywu47eeQHYo EYz9PLshqMq+o2XNgD4XcDVZ6YP2l4AvkxZ0BWd7gRrhZVxNe+kgEdTuq7KfqN5brrUb9/ssrM3n g/SmfNeQc+U9sy+3IYeunhT6B6PBt+EknUewM7IzVRcdzp3Noa3nMr2RoboMR5staLaivU7c2UlI amF4cfIblKQTM6Lc0tPsavbSUR+HMSjydA5Z5U2U+QUm6XVUPh/JJl63DkwLeKnlCdpDZ092e1Fd cmIouWiS/BkF3A4TBBx3rmJQuiQjd9t3tLnqkBXvo1EvAqKVaJbiyIJWzqbTGtaly7exL5hDa0/B 0mET4INO915bU739pOh8PtLD/y7HAHV37uhrPRw7e7Lc3lcrtgkBMbRNOAtDCVbAU1s+VWHQbnDG rDir+EkCUFVNgJp09SZOOJd1EUIHl77D5+taLhf2WZO9dFTmIzYd3YAz0MGDsQLtZzlZQFzwA4Jg NPlkkeif8XvlWxMN3D3RmyC9X5LALPlReYeTtWlqTG2y+pxYgb3ng/1M5RltsuqZKHDkESee029W QYYAvKGWFPxNpAtoV6G/dIRfIO66E8+fCXpinS+v1SRrcyItPh/xHb4LMmjCDZSefa5CYyHkGj03 q7ycfyShidqlVpohHuYKmefA4vMq4QKkekeHMEAJa3dFo4msBtUY8K8GSQB59lttp4FAjj0+FY0O OVPgvpZmKWClBtg79xU/4F9sf1kRoXmJE9snKyq2wz8Pp9RVoD5BfHNQjKzlCYqB3LlNVoyKEXsm G7QxP9DGDYQNdHFJfJibRV/U+XCqDCr05/74Dao1Efp8IiA4uw8Fexu8IJO7DqxzdPH5f6L58V8j hqERvM9VFLpDiBNpoFdkztubcTrF1N0mjj4tNlehlqLEosaUyA1HT3PAwCItDcsKOOOsoqBRkokF vsJSa9orB6APQoj2ZvWYg2qixZGn8PXg80Hkfr1OeDKdH2b0+oQMUaWdpW4ofHntapCHrty5Tef5 bTlWcKb+YTPUKG9to23BfU7zkyej7JiAhplSMSktSR8fWRnsk9TByTZVIT6G9JCaBABBmYGN1ATz ZAIc+rlK+Py/cmc2B4GzmP2ZqlDcvBY1JR5/r9wsd4apouXO52ieljsHhp9AKUthKov9wNHZD1AI Gm1MVUQHUMuYKlatT45dfwC2tNgKqiz6nuASJE8bYhs+iKAFMXbHYGc+H5xV80ailBBO56ENMpnP VRS3EzF+Iupeb51h+7KiNJ3hZDgRmqsYsC6hGxMM5OghA3rnvKFQkAHbRtXjM20FjaaFDyysTvKw KvbOwbKiDteQatlAVVXLit6i5PydCJ7PR9Wr9RWIwtl+lQf5ERp/0m0KV/p6znWfqlGvVEKjODB3 YOz8qSnqa807+iU4EFtv8rRObIWSuwwYmunisYbkqFBC1nNo/amKzMpka3HQsb6zsAVWZw+8P7+V znlEgJQz99PqCt0/t+giLbu9QOdprMOq2r8F9W95oT2w/zwJaTFwmoPV1iPKBZTxgOw70sJ5Lh3G YeewP/9qsCeZL03LHKxy4P+JU5H6G4k2qRyUAPc8q/o3e24+H2FDfa7UcMXqHNcVLccWoCRCrL3c /GTv7gTuNcxUrgIebGTSHHqAmu1uTporjlZmALx0wI8RcWhJYKST09bpFVwQuBVkWtZC7Wp2hTag wntQZRYDmrpUoZyFIS6Dq575AZFB063JsNZXeMB+ne6hGWgn4b0z3FUblYIhpERAN8O24QS5zuYr dgNVWaZJ2SW3q+xCt+gzf40Um3muP/EEIZaL+aJUHL7XhafUnw/8QMHmxg7sZcsfjQwCZnbA2nAn jgXsR2AIip34q+JeaIbyTFbsCMpaTB3OsoEYgdWwAJuyxUWbQ5usEMm+XSO+3tHBDGehnkUgOX20 BKcW16JWHLUJClpXjvjHsuQ3/QWtBrJZVR0Z+SSLWFi6lNUYJU1+QETE9RALM0s8V9nPAR8F7qzf nctob0uf07o1LBpKMyNcHeIkyghDY1ADahczCBX4U5N1/nr0wCfTZ8ijlSyfNHoWoUzzA/T2ZSWl bi/9N5pb153IweilgJjwiO8ojgbeoP/fonBT5LTfuCE0Bx1V1lat/7gMVXKC6Vwpe80dfRqbqwgl A1ltJDdVsRZHjxtOZl2m1fs6WDJLwkGNATwaT7mu9TBuur10dB1SNDdbLweRsuzngRRkkeZcuJMf EKQ5xfvOFP08Hzf2U0kOHELFYTkZ/zbK5Jm3PB7xxeQqwwMi7rYL49jdZav3Hf3IAiXwZF0yluuQ oSWkqBMCgdPtp9bHvAmqVfqmYBeSIwHrLx8MfnXuETk959X5fBSStq8oCxoh59e78KvQJZTyHifo eUqjvbqcZx+1GR65ADpucxUG71ubsCvP4ehlGeCxC5YNFhaaFVU1LOq3F/CjSpnXAGSr6h76hKI3 jgxnTR9UdS+ltKbiwVp8PohIi5dGdRmeXUjtTJ+ssOpODcaecrLaKO0wVBsFdf38oyQW1vBd+Hf1 FyCY6x0NvH22FVI/KA5niJb3RPkumlvoO1FmcGHPkpO/dBS+E6sGHwtCRhGQMhTtINqT6b335AcE scP4LvhBOmPmB6sW24VuGaybbxPgdyM5+kPJYpEwi8fvgV8oYhAGWGtJ5YSj9elhYzyytD2BkhlN qWPFtdKQB/bmCTRNMfXKf8t0Wk+ShiOSHDEp6FFU4RKiIfALVcn+qyyDw6M/Kgs5NAydSTsvL6/3 jezGV3tOL2HBMt2nKgK5WyF5mN4+R4vfT/w0odHMRj1M6EQKKJBf+JkAv5MSZVnhUIgVOIZit5OF Q4F8OF1AgoDJzqxlEKHeIWadQ8/Q1OrXgXW+EYf7rSOHpqGVc7XL9AzaNKvINKFYPpfVYHmAGXTg GooQi50v0q59tLlKNLddnKsxT1KSpVy50EeBCzvzWIeqpWKv/DcXnpvq4FhSjQEMfJVGzyfzA4Jc 557t1Q93qGfduYpi97qJVYOaghWxqJVsAKzlwjmZjCStqzB2l87C7FLO4eh5IQxD8+RcNUDYKbGP fAjCnzA/6eOKetFKgt8TZDrcgrsyJuUgABa0C5OEmwHACp1D83AyAHFtwOmeO+HOVCiSTkyDGmsM GXpf1+xRip882YtLDOXYO1SCzbkbDK3vG7gjnT6rqk5Zp3BHq1F/4tXFqd3bk0LkwfbOf4vbWxeT qXvvOcHCgTgK+j3m0D10PkVkHkwwhZ9XJz2H9qFwGD0/QKV+DuaKQiRimRiHgpXd5iIeOfAPvZ2c TISIjZZBr5mG7D2BFj3hov4bGBeijwrfmEIBHQPLKMkJLUSbrIuWRFFh2L7MkAd1O1wnkFnjB0QI kO+YASJ3c6dnskKwzGRxdCY3w1ps6psxSHX1QXrI2cKKAnc23k5c1VK+ozMnKmzowEPuVGtOW+a9 jWaaFQBCwCnuJqSyXg6cRHUfge81WG5ALMoSC8WlyANCQ4rP/7OQTHYcWt8bPhA+W6FWo6BFu/g2 XKNdz3rZ17M4A6dcW1oRXqaq6g4Lrzv64Q7f1Sa2JbRDGl17wXAGwQ238P46sHa2Vw76XhIUKERB Di0lXYNpVwNELj4f9Se+BYcy4uz9oLA+f0ZX55mEOt0k1Wv5Jmx0FwSOIc2Sx81xulUa/oyt8EFJ AjXkNqc73u4EpEjUnVhIjUkZhv4CLrS+4KbiGOS8aAj9Z2Sl101Lrqs0neGgWToRWTJ1mIWn//8c 6R237j9zJQdNf0G/uQvMG8wQqxKEUjvTEjG3cSVY5WXD+eT+vvOCGTLpqpYFVmv5gbSngYNod+Y1 Bb84UVoFmNRzPjQJIbvtBWIzfM3bFKF7Q4GKPO8gOB+aQuTdwurp82c0pb+WYRFnhJkeNB+ReGqK /oyl9FAf0kc36Q7aOiRPlKuX+ZCMmMzQn5GULSKd49N8eTl6gM7/sdABjaE9nH4JWFoHIgVO7xZ0 UlLs82ccpdcV8fsEGt0HlYzPJqjsw5zEqX3+DKJsflVcp6aYqhCo6/kq+jOEslU0khCOt/xJKpOx SK4iDACLmqI/Ayh9EIJsFNSHcMR9tAv2J2pyFBaLx4nMZ0teWEehEooLIKnZFGFvf/4Mn/Qtsk4Z jd1SLW2Jop4pSixb9hPMfv6MnnzPLJ+jYYFmo4MZ5+jP4MmeYsOiDgYE7NS0aVEA5COKwxXAS5Vv b7TTtpFO176jF9TPFXo+FdUWZCkor7OMV9E/zuyXViAgbavRW+fzZ+RkpxHb7ydD2D4YXuj8tzzA 2X7/M26yp9k86Q6rx4yjXKw5+jNosv05zfnKaSOA9Xg3SxwlohTgnCc72mCOnORmwA6OfhoBjN2p zgoPw2za4LnD7Jkyzj+N+As1SZNsVoM5WlYvoCbFZWnCcC6Pps22yufPaMmWhKyKsJBkzDd/Torg 59GfwZKd86SZzmL6QZCEaV64qyygKV8hE5SuKdEkNTkUFSGEODphq210izP1rDt8BJKObHhNY/5P uALVd9ttTO3+jJNst1GQgnA1DMmUKGuDiShZzL3X/vkzTLLz7Ne1hh9p0rFXItrhmS09uOKKZ2BH 2xzlYdIUBCa4nHV4Zgv3uc3YefcrlQNzhUSZbpCWAScWw5sYiFxYgUKvwWNv0uH/DJDst5hiHe07 CPWZsFwlULXz58/wyN/SN5tcxMoPO9Caoz+LmrbZShHx6LaOqSUtzY6nnnIyYFOA+bOk6Vtdwp5F wCCOnsslYL/aZH5yNmWdXUBi+m1VYgf6bcIUtjY+fxY0bY42PWXWqBqy1UTk78iAG0qowRxRQotT wo4zUo5NG3hO0p/FTFu80qZipVlaZ8uKA0AMbGdnSeyOkh3Rqa1LHzy+O95OFRSRgCsDk3SMLJNo mFKcCT2hUwOSp184Hg2v/ixkegzJfJdMvTMUQ2uelFW697jO8+fPKqZfbfVrIaH0whWdPIqMAu2R CJnqzUk0Y02nG/VseicokM9LI43mSeLDNGL10TZc3lDdBDaP1EgUCShZybgDEtSZeIurAKNk9z3Y BpKOMIRBkjIHcWFgtSkYAiThXth+tuVm/jqW0O8f8x5LL2Q/+3VYl7OyCc6lXJab8bHSKMnKzfkU 2SiaJxFoehPbtrfLtkUSt1LBCsDUw5a2qZmHtAkAvEVygreJJ5UYX8h+tgOoLQhLex+sjXA2Apvq QLt8Xrh+dnyrp24rCptnYuvZPL3H3Mhl2Mnb9RqlEI3Cedp1JO+nz+1YjSjoljdT7cXAUuVLaH+A Hop7CU6h0EOvMkqBHgDBUhCNvMHSsDd+n6ZOlFQdojqMC9s/9+iaaglsPh6ES31/LSfg5SaqbTZN UdwNfhvNdhypmMrljm6xQTBNEnETpCU4w80UuwzJv3D0aYIVH244LKdungc0SjlbfCEULDTHtmtO oM730DuZ6PfcLMdxUIoLU60mz1DgX8PQe/SvaeqqL93T6T30Nr07r4Zzmur01bRa85tujnErvNE0 DRmFJjYONGqasONOGD8EvTtbsE+p66HVCXdcgg72bbJMlS3Dw4luV0TtnQHmvqrwnhSIdnlQT0Mh LpgnEe98nnIWoNMvuxeC33eq28eNv6EMYBN1UneDsZxUcng14D0AN3v7M83m7jgfd8cBWYGTHnYZ 7zRIeqqwhHLcpIQHbBrtFB+0cXqh99n2ZnYyK/WHOQij2M9NoHrACZ8+L+w+vWZLPk/0CwRAdoJc afP0HoIjNSJshUgE9c7XSFYI32l7XWnly1iLYnDzt5jmKjvTuB2D3Eo7VwXllhZ4+oOiX+Wcn2ee wPbIAN6akXGWakIUhDdZzw5qVHHQegIrXtS+EyF8Xph9tp5ugLmU1JzjqdxpiqJwaNfnTnlkhxis 64JZk08TE1ZNUxSGd0FWcNXc0THnJ0g+lzbtUs4l0YpqmWfuzo+Ey/yHlVwXAZhdb/w+TdSjaDwT ONhxfD6syHsWJIDPC6nPf832HYfDoQitHJum90AcV5QiprRtmqaqlLR3lHsaU1FsQNVzo0h8GEXb gqf1BE9jnN3REm5D9J9wySn7o5kFLTgpQ3sbUF1v/D5NqPfAJkUQTldZLWDOV9k89pY/L3w+i52o JXlPJ9x9HdVvm6coFjeP3ny9Z0cdPk9ZUvJsP6GfreUUBuMqVpIZ6KNV4gCLPn+FPAvhC9KqS143 SCFmtFXq0yOYeuNgntBI6Zl9EA06naDySVBUJQQjisaFInluO9SZ7uH0wuOzoGCIvrC9mcKOsdUH Rls/btFbbZpeaHy+nGVW2KQA0Np6gOYnDCvD+FYJUaZI2piTnw35kszer6mbsZ/5QuKzDSBxszqb D4qdzqUq2000zz4vHD4viH2H4qD17pF9Nb1Q+HxyZaq6HYV4sheXKOm0BpZESUnGpH1h8Nm6rCbW JeAFR8fVnckpwtXlvCd9Ppna0fwbVkWIwts9nVi2fGHw+a0Ox5wN8WdEleeCbAotoWUrObUMScPP C4fv/993m8WU+rNA+7WpiirgcuC5itVUzPPyLnICL+8CDaGpeo/Gr6tqXRLM42i1S8DVR28SvsGp orLUmSqsvkad2E4IovTjtaTew3HXHm6m+10hkCOJc+iNCVV3Is3PC3/P02jvFHCbndduT0Xlhb7n 9UuqxY7msOlRrzt2gX2tWRW1YdKCL+w9TxSVBk/Vnzh6XHASul1R7O4Um8riJGOKqPV9NuKAtrHL bvgrB/WCjbs80QdTg0MJcDewdDDr54W79xaQo4+21s1bXqh7dgEQXj7oHiDIRb17Dz1zL2Fmh/K8 MPe8XCCG8U7jjr73SgZ1kW5zc2yEl0STVTAkJGmGbMTqvHK+eiHufU1Tq51hVCXrVnOTQDDGciro qkTx+Fj3xit2Rk0gNW2ewnp4Y1+4uoA87tpk85QVQFFpH11rbbyoIN6lnlSKnVHlOaNOxHSiMdRr OoRtetaay7XjsoMGDspH6TnLl145iKCY4JUsoGZ2MetV0OVigrfn+rxw9nzdf5/lAHuMRoAY5ymK x2eR7fOV5jo3ld1zJ/Vd3n/qyKe0nt7j8QuiO4fOuKNfefD42Zve5shi5lIbMw/0UDNaxMSfX8P1 pVd+P6CWrFKkQ8yBX4OKuIB7Z43szwthz/5iKe1bpEnRcdic2DyFAXmV+8f0edqt3INcCpWcpzkM IP1C17OfWXnLCTjrHS2CovDNAtATkCeoTrMZg4Y44mFMLBaJ53dl6I2jaZICsfxVoagwhI5eeQra cVKD/Xlh631las96AgIS8D2bp7A0ziun7+aqeH1fZ5kTEMwf5zVu62e+cPW8Zm293rXveEGZ0CeC QBLyu40NLWYxKk+TNbu1H7Issv7PC1fvOYeBIh/LB4MObHYoiL3tnxeqnj0+f01T4gzdxngUkOPk g+1z2Xc5dV9OVRb1LD8NV3l7oenZtpuqq9C10UafpjYBcYKARYIJWV2mM0gTMxD7AfxzcQ3CfF9I er82XUl3EHoApWTKyiGR/7xw9CxO/XXZQaJ0IACz3ngUjyNIxuFUPSgwJ7VJ7U6v+Z510Cx6emHo eTzOQ2l3pW4crfrUzgQM4NuosDiGlNap7wYFdxA/86VvsNv8eSHoPR03FFNY8eWg7A5eiCITny30 eeHn+ZU8vkIncPIJALdZioEoUv5pdy1RMltHU75H+Il2DKrzQs77qvadY3+wMq7RZukccgVSy1hL qJLn2lwGrv50wVKwmLooQbnojaNpkudVaz5wy5UEby3e8if8/7xQ8+wPTr9CAiBxe7pJ8Aszz1ZT lrIik2B59mZvkbfkRxOuH7vqXoh5jmlSErxFcVn7UlwQmEHOlIYEI8PkUfNUYH7VsfBhM+EneBt6 4Si5Y/tX/Jadfc8tcExIKUbw9Xnh5PkB7tMk75AGezA/wF/4eHaeCCI3r6jbZcjC04Tbj0plqPQT //xCx/M9Z6WCve7oFd8TM+3GcDd1usaaxjzrYziD5W4h6Nes9sZBwkI5U2p5A9iEQ79bhY5ofcBb cvm8cPG8pvJ9NiHt6LiobJ6iQHzTuvCELsaJ7SVfewug2Zx+AMVAraaoMp54ybdcKH6k0eYJemmZ fnmEjpzTvIipANJtoQd0dsGfjKiYL/y3PvlQHM5BJzjQQEvMslE+LyQ8j8N/VVSgMgI0s83Sexh+ 5pbhgKrLWk31SgSimmqz1LZLBL5Q8DytXAoDtFI42p476wjVGXKsOxrnbgKSYRpKIeJe6tNm2Xrj oBslmuJo2wedTX3oVyWx5fPCv3OIyS/wDiByJI9omqIonC1uQM68TDCFmUP229oFppQ2HQUWVsXN j97Kvf0p945z6CBxJFIOzDvRtcHdR5DB1m0p7YoiTaIJX8h3T78boBR2EfZ0jFMjdp/8zoWf8z0K Rzzh7XLBWEDax32neYqicADOAE7JntX1VVxTX3awAqfMZJTOF+KdX3WaH0PR985sZaoJnFdH1oso /GzfTj2JM08IBzdAZoVdFhUJhHV+od1Z4alRJD6zOl7YDOiap4TeIP72lfmeUZVgfGUr2E4TUuY2 T1EU3io1TNnH4TyV0objnL+a5UC0aT2FdXFddZDHuOPtRp1rfoPSDiJvq7PKoihRk7OgbwfppQvg RRHn88K5+wYVtDSK037U1ATvlRaaP+fr+Hyw8fb8Op9Io4XQlk1UFIdzesHre/wdLcI8p7jbOuG2 S6Y1+UK4s/fXyXxi+me09BcyfyeOw5GZNlqSk6S8rHYwMhuUCVwJyZQNXvh2HhTzHK/M60whg4I1 ALDzpj+f8Xlh29l75vp9jp9fb4y7oF7IdvalPMdH4W6TPi5lzakHmlkbQDgCNz05Drxw7fyAkjOY KZGNbyUyyMFklZ1WPScXwbEwHMjsseDQheqMLSiKRX5eyHaWYlE8fwg8R9tqrqd1sjP4QqFE0ubn hWvn8KX0q9NSkIFPP6FeqHZ2TVWTlhwUskP20iWbD6dY8jaRmDZoc1ecUC9MO7+vJSqSBJznaAsK xf1Ogx3UUxBkkD99DnIS9EFDpEqu1Z0WpbVemHbf8VMWaBXL0nBPsCkn1Apqk58Xnt1XPeMJn2CP gpKGzVMYjE+palEGULYMy3x3pP0np4GswjgWVFQYb0adNmV4jragUCfYi9nuWZkJehLCGVKtkn4W kLN9kruuVw6OcloXlkHBxDJob06NjJO7E7Z0Fm/9vJDsPBz/3ngwKu3QYLB5eg/HEWZKmia7GC4d lSn8l7JMUhD8UZiaN94Lw+5XCgwFojt6ZDA21HbUCB7o2ElZMhPgy8sLaB+PDAapGC8Uu6dUBnD4 Xj6orwlGEbVU2jn/Pi8Muyew+EqCJ8gYt475QrDzeAKLaNMLSUlwv7L5uydHGy4yW7mewrq40pad hevZ0vBiQSUDK4aWEYi6CymN8BdboBOUUlH4siRYFZUXep0lwazPdcENOSi7G2cXV/FQWvu8sOts Nabf59O5/iayJ5unqC5OvCtIog6jS/P2WXo1FCvah9vq4i/cOq83C6diSfB8kmCYVJLcT/VNqK40 yY7RvGRWaY/d8hye+7wQ63yamN1N7joOmia4e9C7sPY9Pi+0ui+EACeF/GocBfiDbZZCoDi7UfD0 tEbwOXGX9csFNGEjuM1q3agXUt33amK0d0dP7tAC2ZvGAgDsrTRN4GCbYeh+5B2mFlNUE+/S0Cqz +KDkboAZw+8DV/OFUOdH23fvAGHJRA3JZimKxpk3oDbkUMMl0XeCwxSNN5UKrPD0QqbzaJwFpyQJ O402SwUOkujcYpY69WPkVAS3xpPWncRhlNuxw738eeHS2c/KDgucnXywLbfqVemenxcqnTeRvwsF oKOwW2izFMbiNHgEHsJT4L6nF1QmCcEyINpWUGkhWpw0whM8fI12gkMxQUb06ERCxUS2xwXqZUKI zFt2QkuKXxMBDZOohsMHQS/OiSMBGehE4fEgwPwFhIblQc/PjgsD8Ux04WheKJg8ESlRcM617jBo wpl4fkcF8VSsQCCCJkfPWBDRDIJmznTNvHi7wtoQlShu1UE1tvJ1focIFZy4PQH6Y4O2HKtZ2nJl 4PEAT1B+bTkA9CnJIZZPFId3WZ4w3RZanFJExrjf7iOQl9dT+nscjnDE+wX9jl7rJXwOeqZYTGfR FAksM/To6FQDtO1ROPmrPYrCCbw4Z8/aPthiQjJMJdK2+XiEgf6FFT9XxcAatFmKgvDBkk+uy2dp VfdUPZdGdWmQSiAXZykCqIylmlwjUEWjZgkooBPIAP/EgwnXnshA4Mw2nfukRKtMQGmQHlXEK4+k 3lPzgdM0oBHRzfBr4vGIMuZ7Tn6G6wcBqk9TGIMXIVJ2vcnvutxxP9UBA0h2fveoIG4xU1/SJuDo mIsB+R15Uux0bqZU5SIHk8sT6oCYXOuV5pE+Vo9icImWF8KXUWZHFYNZR4JihP74VvB8QNJc32Dx DA39mS5vrIcszSqoRR8WhVv3ST4LVBWbIh+YiVyPovCpKJzuwj5aVldTAbOV226f029uLqiTDGGL kifdKBapcq80gHsUhReG3ycfrxqKVbdh0ytVnrPO8Hhwil+4k9isMCBDadQmKqJqUvkI2FszvQTp xwwp1iLkiuFlB4yWgVOP4SkSBMkCGs78AA3PrK0zL5kFugTqKSEHJ1I4PxOcn+A18oi7Z3vjqLFJ 1k+rGkCElXDDyVZqE9xpTzwfTNT4TlcG1ieEM2yeojCcT6FUXW1ByXHKYNAXkHnuO7Pp7SFfU4DM lzb5OMFf6YtSeQlrlzcf5gnAs00TD9IP7ISqWW8cRAXKfjdleQrRsDqX5sl5CAs7Wd3G88HOm9/9 A5StervsqB4Xxjs9a4a7BZS9l5UJYJqNiIm0ViD/eJLHgfgQGFWythytTLBRpixU5KdjaqXqwwl2 KKbXaBqHWvlVHp165eAoT2wgWI+TOKxiUiAQaSR68PwA+IDgML+9cvWuOqqZngD392BcSFBgV+l1 xtNKMUBhd7NRiY4aT5Bc586LgnEdO/CVfUYHhpF1RaWNdNYWolqKpkDGAupOlcqQ+7qbUHa0R7Xx SqTTzBQQ48BCwRwQ4paNcWp4/J8cYPy3CEtQbrZ5iiDjXFGtsv6PwhMcGqdrrCm6JIMCXXWtqAig 4pde2UYC3twQVP2dOOwGO1Ln/jz/n0FpZkcKdpxYSOnRSwFYCV/0NwRdTy37YAUVyeGjSL74eLCe 9t15jaWCcyWMcXt3PQzJJbC2qCfDxUUfM84UsMbchoANggzH8CCqjRMjD8yqSpoc7YxKMN9ZUBHr J1Q8084WCS49CgfC4bWOcc2eSa7CFwVpMFk/XS57PTvjrjb2vlStm3g8WlF3piS7DfGxeuPNEUXl 0ldTP0eB1DYnY7jlFJeVmdnRPCOqjk/hxncSeJXj7ZnvVRIkG2BpQla0hLkhGDEWK5vLOXcl8TQf YVguMZCtZvC2ZnDNJwmScMSZsIzHg7D8dqXUEd3QVvPDfERheZf1C4IkI7WkeW1yVmlWVRn4w0S/ j2vj1pWq9Y62oKBACKUdHVEUSnZSSwX/PiM4cM5d4Y/zGX9ncJ4kgGUVFDZVcirY1YyiRsM8hbXx Xb6O8gHZI1DdbZ5C0Hgmvp4WhRJj3WYBc4KocvVFoZ1j8xTVxmlNmBpXA0epwTHJGy1BupaslnLy mG8+FYDYiESuicKZ74GvCZCrrX+XMp05XeDqzl8GQEY8HtRV2ndl/OTPOPgdkTlCoIpsAahipupT vxC6KcQ4Eb50aOGmi2Jyh/PU6naNxWYJnlvnUgHrBiEUmgjSqcMyPi94Qiukwx4YoCKEr4k6nDyV upB03fALJxI69zMbUiC/4vF/Hk54u4FU+BYMRhSQs3UGMkV3XL0sLElp6VdfJpOMyrUUBeQmDDKN syEdVwXkew3qnKlx12e6aoapqCp9VtBwOvAk325EdfFMCbVd2ebckCEUrWlXCBWJwFkyno9Wk+85 cu7BlhzrFqBGFJGPLf+g5NJgs95kGOLCtulKdSGeEUXkJlbUpwqVHC3Fayh8AAuIM7ydPIHmAgCu TrzpOYZBoXQWPtUKRggXZ9h0Pif7oKgAEXJTH3hMPB4dTesrb5nEOrZ704Vw8SWaRr40DSHHyUtE FdcAGIiNNEsRXLwIeIE+7x1tOYHMsuZi2+6s3FKGSR6SX4oaM1DrFyxe9cLRaiLhMi8butgHGV1T mcgiDR5hLL69y8INxlTqNoFHCFPJNHcp2ZssqMI7mYX2yCKzZIdfjCgWn+5HbEVNZVoZBzgc3wqh ntAG6/BeSSpmgm5X6RjS7iyBwIGvieKmyhCcYqtSQBSELp9zhst3lNTx/H8Rv0DnbZQLXB1RKI4K Ogq9t1gAIJEtpoqGvW05hCcsFow4FBeHxaQKavkiuZ577uT1k8r/EAlZ5FXnLRnpgsQemnS+6Rhg jjAUJ2Q1LbbMkyRZuO12BX0IC+JEYgsfEJQL2tXkIeYOoMX5RJhhLM4C1ComV4TQqV9P1EeOr0Gx UlMVlsdNcbxYs0kK/Mru6gn5M8ApJxaHPRXtcVNeDacUEFAnAAEq10wSdI6H9XHaN5OnBtYmXoyX XAY8j/3JsXPB81Fh5Xvr4bhatADgRM2wQM6oYKSs2YEqdHZVvtYNBAXkE2ztUFiZ/xAuXHuMO3oo DokQlB8pf4FEOCkUB5STV8hKjz0CCcEzhKnQf2pthpgchKMb5WwjYqFrqXg86rZ8t4EXAY/bK78z isQrzfIaL1eWVRr49kzt1iYOlfiwMegseGKnGRbIpaxqCa5Gr/yeOzyrDp3Oz49dwx1XuZgz30FW qIbyXXrlv9PIzKKkNJ+nVpUk4V/i8eiE+p4n5DjUaLR5iiJxQnNPoLNuJE7xIUVPebsV8ULfUWJY MX1TGtBmBd4eK3C0685eQaEI8LBS5PkFBwnExQVk1dJzv2geyjrMsEJOOgsKpD5wngCEmVLC2Kvi 8Wie2ldYgAARN7HPUxSL0xrpbK/iQtA7m+YjfhzT7aub7VLNU1gfH8aOWv2Otp5a62dPDqL9z94A boWoAoSfQC7tH4S21yt26YWjw4mogkT1uaH2CVUiz0bg3XF+6YnHI8HQ77ZUBlhi1Usim1EwTimT k24lI073Jra09OeqB+OwmbRpioLxIfPv3vq6o6MNE4xyOxO7eULlompmqUiPNroIhT7U1gumOdcM yZuJTeAkI8HkrhEn/0G5HV9YesXjQZPzicV/THSmJacgzLg4TqJGvgLQTUprVCvILgB9gkzSfs5l NyOMSl+uUj/vaNM0J8hxWZldBxVYptYL4usT8gMgE3r4RB/PGYXiVe7fbdyBX3J+iFp4UJ0gZ+Dx fzGmcQ7B43WhbWqzFGFU+Le1Na+mwyr1Soq7Sg+qKcWipxmVxlfS7Dg0s1+MCgC+EH5kwrIyFs4S 4olGh7g/9peAkb9wsJZ4MlEVgoMRgQukyeTzdgJ/PB7ldb955efwhl2NzVIUijPNATcgeWluWM8F nbtxAb7AR2vLhXqGwgqclC3f0fFOZ/V0QCUoZLwREhgfsTEeOKfD/GofbL1w0LcT/2CSzcLBKnNg 2rGPcIIEPB4lLN9oTPA8GcDbLEUYFZMpGGN4LYVGu6yljHXZ0rW43MyMInGzRB9WTeLopd51tmyX Fd4sYABR/RDxwAIAohDEf9ks0944QEFLpJeyQyqVSeoL3erGA/hEqhXPR4gnz1iqzG+Q2bUbEIS8 TQC94D3qkKfmfvIAhjW37ZzVhVRmKKRivE06Ntpo4SXuoIrtTHZU7aNXccigcDpI9ISQm0/UKnrj vyljA6vggzBPcDahSsG5ODsej/IVb5hP/ceAht15WlEYnpUBb2duUsNS225BkNgz4DVNRXRFOJUh b9cxS7mjBwQdkPdJH9gBi/eadTiBpTnQtgKr0wRCpFKwojA8EUB3M2ATJ6M6zkqiJNaKxyP5otuL Yra8smTwbJrCing13s/2+BL4TJsmhIxmdZBdNWyFcbjTDkReNWkFocU3RNfpY58g0TWqydHDbGIi wELM6KAnxFv4mr9hoFtucmVB1cgO8UmZH+T8tXxWFIbLj47zJE1adA6m33UrFhJPYiI2P8X7rWJO QKmNCMw7lPMUIlXkxbLUZkJbiLRcosMWLEASTaT6YjPQjKbO7mjoNq5Sn7hp/TR8TVScowxWoh49 B8Gezm8tXXEAI/B4FIV7fDkUvINFdmcprIhzlsa+8kUzXdZPrbM6qADSj4wIVogV7xZ993lH23QV WqREM+IASSdN2ar1gvIAcAmsIm9EQAjdiiviDdi5PKigQu8yxoRnAzfiJc+fDV3aEKRyD6ci0VWI a+Vn24WC4oNHN4nHLBKAiKAiwdrdSnXnFOcbcaJC7qb45G04KPXWekcpBYUC829DksEwq7hQJFJF Gow4nGfqlYMKHcu8ZTALLrCBaFlZbwYmgxp8kArEJ0Txk08WkTQA+a6UvKCyomCcSSik4bzyZCoO cGPJbNM79MmC8RUKqUhwptB60UarPMF5arKfBUuytLPsgHKnyULFlinAW5oxYKL6+oqgKkuK0I1R OQelwHDsk8FR6XzPCPr03dwEJG5ARtLmKQrHQbL5ii5PRDjbrYxncxk5gSbeXfMUhuPFfLxVVOLo awoa9yNDdwrFzNFpCgcwHYL7TDctIlWEv8i680IhFRlzFilflGHEn9U7on4mwanh8Uit4JfwKtYT ilk2T1FAXtUEntNtM5Y8IZi2QICA3BYHHWKeQtC46t2tqQTF0a48mL3OuSnCfvJhAkp+aISE/i9c cs9uwXoynAqSLHxRFEKxWIBOH4azj4SCPknwltkrSnQrCsnXFZxRyfccxEipfKKimLzTXwSZ/G21 XCPhkl3pCZe7dYFXWB1XE6EaUIWjV8dxkXW03M5Smqj4yr10brBfzm6h6VKzPnChXC6+KLAY2ZSc sXTYGZCoKu/OZsvJjTsej/Tqv5V52JuGsJtPVFgbxzqER7iTXRFB+7U3Lg0BTtWmxh4rjYtCtswJ aaVLkToZS5oV4e85oRDNEhuWIBJCEj6aouCaeBhFZegVBeUWRkEczQb17k5wJmc6GOPg8SA8uLAC vh0LF+nKqewoKKfpu3wHbaJa8ipdWcOzvJ6zragdkji3cRKNRZ0ulwzc7HOEz+HyhovU/gT30vmD pgGpb+6Gy9r4joJyJXnUuudQqTVLMfQ5tPEmHw+qdNWDciJaUH0qt2O+32Ny1xTdqhgwThimsFZR 83JcwUwu7LBDORUXrl/PeGu+aINXeXDuBU12qhsOuucWbCSiEP3CowPCDtHjVZCCOX1QbbyfJclq JgXZ93tQbrYoT2UFp2a9xjU7RI83taRcYa1nT2PKMiVfw46YnMqOY3KuppHFMefo9SekdxBqUWVF Eb6stM7fSjtmzOo1DB5646hMl1h5kkJ0G9ZCQEhGgWuoPGw8HpRWipdWaDoGuWrAh2yaQgIni5mL 8j9U0C66+ihEN65Y5mARkNMUwlS6MmAThh5fwtCo0Zw/gqozC77ni25ApeEnkSQ+DnS/7qivtqOo fHO79foMOpzAKuE0Qb4Zj0dCmd8VqBNJ/PR1BY93CBzPlF4tzTsIqxTXYx9CjNG/hvUtTlMIHFcG fCLxdkcvjRfu20YjpI1AfEt2JpUtxl1je1N1um4v/De9gt4IpDu3G3qjCoMKxEt/yFbZeP4/6amQ 11A9etqxrCFDpjwvmQzxlE9TXT5NUHTRNMUoFSXCuvA12mpa56bLC6QMwJ1ATKCGUYEK4M8EJQa7 z9UK0KTE10RWSAwJ5r6D9lwF9U29hLTw+L/E+ohdRcXqBBOOLNihyniVkG+zTdfgeuI0qdndVCPP O02hqqFMNYbB68cXvP7cowCvUtZh4hiXYUJB6QAi88VEjFZTftf0xtHZtEkjS8sHlX0h3NeFFFh8 PFIruA0pvl2G2+2V999hME6Q7WiXKHVyJJfEqj17OXNDak/zFANVlNQusfA5OpxnQnOnkQEEMFTL Aq6mxbNhamJdTZSGz/ieIMQUUWrpxpOCCnfdQvCEL0xt4PGoTndzFnmSAvZ0wWE7isVpPX4WUS42 T1P62TL8Sc5OrKxDA1WwY6SKqdXXdkffdjijCSJBZeVcoYBxYZ6QDHf0PVe9XQQWefA1kdiTwGH7 DipnwmBzilxeBx6PUuBfZToo5p806k5TKDNe+xM6MYpayzWxVr7b7mxGX04RSsUAvnPnZ/RD/CRb fQ96j3Tce0no8gz4XAdACmhZMy8nOxJfEwSYg/helemGl+nQ72+UifoB+QOPRxnwrzZwJjbhoi+E DHr9Wnq0TIkZyjqSOTBBKrOKMFUhBDkFUhHZ/y/Ip4xLzUdNFPx4OnIvwnk22OaSnYE3N2PMxkbu yc+Iv9jyTk1RML4opAJ/DpzkSLHE5gRNqqQld9Hz2/MjIgjrNxl/UGABkhw+X6HCYeKtBykXEFoy 4I5dLKBFq3pxNs6VdecrjMkVizNb9NEWFpK2M2ewKcZrrUGw41n2FS2aE9+eeemMosRUZEyOb/qL 0j9+hOmDmsLpZG+lWnjL5yPlgvIdbpYO0ZCr1ENP8PfnSHBBbudQsbyqFewu4Qy4aFeq5U0VlAwF p6uqsHC0wsGY0OUcpFID+Tt2VT5cqPNPvaS8L29js3CAb4rUxBhG0b3nDJApFLEF2E92mWBDxw8I wqn87R8B3bWx1jNZYckcF/+5wveyBsy5nByRoT4im+jn9a09zBzl/Q2kF5JNgY2jTRbSYkB86bO2 9/kVGFXQf/CHlDOE1/1me7JGSFGALrTmCQi2DyqzZJRqWD0A3ADPR5ix/jVXqJLNdHvEtBwL8h+V 65YvrHO+NDu1Ri/LFxb+0RZWVDYf0hxv1mfgaLvwpNUZNA1autFJeRoaCsipQmvG1VzxoWSZJqUI wCJbkjo5ZRzshO8khiBUGpnPRzT972wmF9b7H90ewsWDELQQV4cjjwJHidwTMRdLliZUpaaMIHY5 hYVzA5RvNQ6603UI9hkZ2nXahsiRWes7BwxWVh44ucAMlHdSSWnbS0dgRLJgJzGJHDRbG5mZURT0 fOQS/EsMeUI797ZjaGwbH3Wo3xYXg2J29D8m5xQBNpVIEHV0vkfx+jRetQUQHG2uygl0cXU08auB q1TxnEo/hcg3hKR2vu/c7KUj2SytrFl9UNEFXcSmzKZmPh9R0cuvlXWusdXScxmGqis0DFxs4nKy Vp3FtyHDbxNo7cW3YVhBV9cqTzuy5j2y4IbakFGyQHWC9swMI+FXYnMDMqN0c9GRhf+vl47Y6IRt Jmoe1URWACvDY3dOFvRN+Xyksv0LNg1s8U4XcJdTCGwhX8FUWkUSSi4LNVK7jWPa2WgXRuorRlgY ueY7ek8UgIEmvylWluQQVIAw++Fa3vsR2pbdVIr1yJnaZKMyLgMEl/Ondm7IdhYynw/2YPluy7BF mtaN3XP6qxQigtLrLEH+pCTJ03KrN6p/2VSFdXQ12as6nhptqpAKQkKExzuklpO530CgHhkQdqI7 v5ZMAjG+KCpVGQqo+qCNRyAtmXrnC/l8BEf4nivy18oVjcyhZ+ds1l33qSqUrTaxsV5tVZXp+iI5 MO0EdU7yBnIG1Oi1z5Pzza5qVQczoVERH9pK9WfwIze9TWWAQ2vzHJh2Xlq616tassR5nz+Ylat2 NiefD46ry4ThsjpLY0Ex0KcqCt2lRMrTyr272xXdFqyTmXPzanoOfDuBRRUntmgDcrSpOqEPABJZ U4XdPMwERtG8FfVM5u++ckT6LMpwtg8qxcAFg6kvoFl8PpIA/iWANFFa/JqpMG6noPRu+YrWTKPn nV+8Uv+P5zpUiFljyKFz5yqi6G2RFaf0iCQUdaaqNNChzkwNtCHkG58AvO6bytu0VtJUlWbvHPWx uKg20S5935rvOfKEmurnoOTzUefh+w4sQHLBf96nKqqp0z7k7PdxyzH5UUGSQ2zRrTR9UYVRO94A 8DtJ33M0GB5I/GeFknANAnleFOiu4KrBCxiFhlsGHaPZK/9l+0GubPogGF5ZWSXF8wWVz/8X4Zqz pM9p1a7LaejfuWgLP5oZwHP7uf52JXJQMwVqgM1UyP9UErhqf0ZbVHCBOOdeoZw7DBfV8zvpCFxo KAeMI9NKV310e+cIvsGiTEs2FFtUwGFlOQmOzeeDNvL6DkKhmNPG5ezlwMETUTY3HeDXVryqV6cN J6bvP/4S2n9hwK6izOr5Ge2kgiwE7gXBzlemahGmqkxAdZFigbDk+Kk+7Z2jo4qQBIT5NqjMV+Xl iHh9FT4feQb96rgjQOlXazOHJp5EyACO4qKkZj6x5Gpm3VL49xqPIYcunsvcuqwmzNGy5g5tYCgn Y7lvyGjwvynwjfqB5zPKvvtW+lS+Cm08Ed6zxjd98FJfkbv32Ridz0enuk8Vq8zn2p7ziapCI0+q vradxrxTRXNhEfemV2Nmun6ngZMnnF7MS3CXO9pULYjZorhLiCcKsILpoxGIGhvjZlKt5INeq710 tKyEGWaBYbtoG4plAIZzWaXK5yMQ+i9CP8DD4zHzDNw8LchvuZpR18nV8gVyCG5P3sekzIzmKiyz i/gBpag7PnN11iUCNhQYysmeSQQ9gSnOSiJrqmhpapb2Zi8d+QUwTEg1+6BuaQMLh3f9aoXPR5nN nSvdCPuHgaVPVoxEX9IcKVZD3vQqYBq4NIX4rcEAsjQw9vS0KoxRsdtDxT4h5wkHdiezgXb0UtwA WhHVGBztBYXRy7qa9tKRmKvIDRK0cUOQs7DONUyiC/wG+XyU23wb5GQqCwjZrNkKnT15ImPxFtuG U3h0CbjN7LUrYBs1W6G159Is9ab+cm9PfzmPBLvqQb3pUkhNVHLDUw47MOeWnlZXs5cOKgyLZZhN OCNE9FgJRgUZWTMuwjoqn/+XeCKnBX5P5aqP5NDek7FAW5IwZ60BICzp/uC4FDn0xJQQfdRchah0 heFtrGf0asy5lSjjRsgC5O2k01LBWdl8h96v5DSr2fyiv12E8LHzQad7701wmJb75PNBSbSOr9Md xgFzlxuIxvaeQ4lyH7YJYXNnm3ACk6lNSJECm6owZjeQ3trpjhcsNCAFt1US3eeilxXqWmgzFsBn Ssvloj6rv3Qku0XjbyGKz/kOAzyuq7PTEzF7fa/ND4ii9l8c0QxXjHxj0cDiE7pi7HqNoWoVtDSz S5kn/vlUKAN80ycrxqersLBUEeXoe/Bc9JCv5vE+gLriusrEwvN2+SlSB7SrcNtLR/gFYs8S285V nnhypaB8PEhrWW/6rwoD781zXg5m9DZXobsQsK1d4hZ0FwIJX3E7BEyT4zyaYxpz4PNp6jpgOqRy R4vbT0Q9xkYkjWQQNDbtV8gtQo4EmOLZb6mdNgI5Nvqk7vsJQri8sCe6pCMneNO4UsYJgPkBUV/C ITHVwoa+rxtxDq0+W5NCd/MSAzoIjolB8Vtz1bkANFf/kG5pW7Rajr4JAcXduDUgSlIAduPZPgBn HOxTg1PiouZqS4Ren5mwmDmku+HHIqoxPbMjeS7axueDZfWrLQGaxZhX+y6Hbp+Q6MlmTEcwGuBo jtlbdXrhSpJ4nKpYT5HnFFLkO1qO05m8FdoJoDhDNS4AIOUAeq5H/GQuLbWmvXIE+KADOMBuNqgc CgttOVz3uvn8vwyZeN+Bttrrc7KHvFH57PIm+Z9Z7jZPnGUli0XFDNdmKqyym/FCF2eboyfOCHYz vTXTWBCTEISIHZ1N549BaAx/lWxTFWJjKBDYaEgMdssS7hUklLXkeJL0opGq2zckDUu4P1KmObT8 JPcNd27zwhUrGhI4V2GGasssIDBxDjw/ZYErzF6/o59VlN/anKpzLABsI94RnboAZNrDzeULOM/6 nghYzIQ5yVQguakAFHe3JM4zevOB6ae81IwVyUA0UW3nQX6Evp80TUCvw/F7u10Pwj2uzPmkVIbm KozapZRQDYtd+xMwDMDStikJn5AkC11cKuh3ZNEVkAtRmuRZVeydg2XFDGeC64Ph/D8tqwEwnFwV aufz/6l0lWD1dfk0OTT/nDLY6/VWjhn06ljv1yK1Dcem5dj9U9ngHKocz/G0buCEt7Bi6R0H8yHj 2pJ4gCut7oe6Tbh6Du0/FYVC0NIHO9VnqVJLYI098P9EwP6d3qBqO/dzVoUGoLRibH1dY0vQX+5Z 1R07m4jW10xFATv+QsxQzuuOt3KVoO2XKPJWAOqbakfAJGjQNghqCpfl3u2dAwzDEPeIMQMHZb/g HglIu+fm85EH6PexXoFzG/3GoLEH6G5CqBUDrSdVZmhZsa4cwCzJSwyhCWg3dugigVujlRhKarC2 7izU0tWyilUDSCWc589WA6vLmqddXa7QB3SyEoqtwAGpCntbs6CdSShV65kf8C+sMXmCUsmaV305 x2agVIjvvd/26TZRjnOTlOtLOGutPl1hyL5NoqvUO9pxBVzSOeudWzPyVn4DfDzaEXgSOiWuO6XG fOAHCroW0XyaNRr4CmiMyhtxdicEB+YjMARFrf7egzzeUA3L6zabA0tQAGSQOMPvxPg1W/E76ld7 WkxKHZ67uGL1cyvFtHLHB6Z2wk9GxwlHUhEfoCTew+fOogbzIz+Fzi2/KaqLcrYWG/SDfHwe72cj Dhp0Qvpt8gOiqN0LoxSOpbJOvUj2HFiDAseAdDAX0z3HP1bPnbEXlQ6eQGh4mSH0BrXZqsuuxHW1 vWHJhU8YlH8b57YtXUVk3rAZrOg8UJIxQlLq9tJ/uwuf3oTDiQoYxDJRRWU0sAf9LrhjrojQhn2N z1UUt7M0CTyGiVP2JMdClK9WMdoNPMCKF/sCg1BAXo261dodfR+e+ByQ5MmVBcUGsUtrFuoJkTT8 3S/bpttLB7chOQ1DmsyD4YoM6Ce0JyjqlriyQlB7/u4Owt527KeMHJqEgt6CvsoyTN8586tj+sq8 Sp5zjHtoxaG7ysdbCrFVfniarALmUWU+uGHDMgWQ4b869xYgavXxb0KpRt8UbMPMw71REp2DIa9O ssEaMExJ+XyklneDrK5L6IdKRj5ZYc3dnGdz98Lo7N6fGKlMhyKX54QPg/dtZWQJ5LRHIIdC8efG yJysM++EPlOEEaVnGIRA0Cdd6JVq7qFXaF8ya2jNB9XcS4O+I2sHa/H5ICLN6SvOyuVsQ+hv3ckK a+5DMmdXNq/L3ZlCIYvlBQosgGtl2/Dv8i/n3pO7I0dNVgFx+ezCxtIaRE/tOgSZj7cx24Mu7Vmy MH2BX2gyndg6qAMDcacfdQbPfZHIGPpBWYMfEEQP45ekEKir+cGphZahAEliOTVvPY9VvfWMifPW M3ihikoDz1CTSTkTMlq/ozXpce6epLkIajA6/XlxrsGJoyEP7M0TaBpj6pWjqVJaSHbuIImIQelA 11bJC+AMgWfo9yak6DU7qZdwmkPPUGlUzVW2F/t28ZlKMnUiRgbtQpupCN5uBaxlySFHi98HtAV7 o0XYmKj0qjuNE/+ksTjhAL+ypHAoyApMQxFSkg0gF9pObJxUAIBYIre2Q806h7ah6ZfoN4h4Y1/1 gBz6hlay4lH49GIDu94yFKckNVfVAHZVCXRgHHqtssds6Y6Okjmp4NnmZMCh6tQsyE+ItQbP1fSA 1FKxV/6rt1OpklnAg6J4w6GeZ/s5aBc/IKJNeFq47GgftFWzqYqCd0ooKrKzBDolJy21vZwXXygM omUVBu+aql1mvqOj1GDKR+8LmoXVacqNhUL3C2i6cUW9KN7E7wmgH6jLwJtt+SDoVQEzWrcgoFeh d2i+FSy+HXRlyoOSCcxDPSs8J22ziIHCkG736Oq6az94hr+4h6oaU/O8o2WFG84+aciNNqNtxGr7 ufhOiLAI8t7bk8I81aMP7UMn1Si6sXJWcWJlaTmrlQrHxxz6h3LV30gU6O4+L003h/6h5M2cdCQN m6pKJVOySxqt9sT6njcSDQxEH7UFMUc02lShRro3oEodJfZy1p86XjQ6zlBhK9TOMZiMcpzQQ7Rx kmpmZwJQmx8p6Q1auknlJTd+wPvBvvYv50cABL86E4GNKCghTT16BzSsUtePy1a5G9buozi7JPAR vSlOyzII4eidVFQBMtxaiJOBgyyi1qw2YUUHCy3Ruwenv3QkXaWSjKg4gLmxIIrcUNYgJ4zLfD6C X33DHzO8J/f82oRR2M4mAZxTrufqmHeycvWVBT02X1kRUqbqmLLASaMdV9gfUCYh/gpND/LuEwRv cOZARPbruNrZXjlqDtK5aOTqg/bgXHXLt2KDtBQYiqI38a00lLEMdr8Bw+c1sspo0LPel5IfV8hw hGBIcyZXJUbSpWX1GlflK3E9qgkv1Cu8MNCBqIyM0ehCcqvDamL5TGAsN+MqUeFoCP0aVWXoflJ6 UAp7HJLVj5Nog2d14umXOcroGuucyhZ9wg6asp/8aaIZGgTH7CsyNGmmoL48qTFsNc/i8oOv8VSG RL1M2I2l3OUcL1m9QQEB0nU3OLqrqyEIgPPcTYVGN71AYIaveZsidG7UDkx30BShVs3mxEkl8fTb FKFe1b6miIbJlWheTNFrIJUhFkd1dFI+dOeN5p5FCDttEaXtRtCvYRQWkYWaez+jB+edgoLsLy9A a5Oms6BW0iHwgD63RZyTAPbXICqrNwXKIRumHFQvnpuOUdSnaJ/XCCo/4PWs1gUghSgPaIZe4ycs IqZbixhMFT/lLj4kUOfckYFX4wy9Rk8ZvUQV05tYbxztOIJq4vlUth/mOZZKa4bxP5MzgHMGFdtn CJHI5zV2yvI9OYtnkT5yTqDzUjqCTu69iPDo56f4vIZO+RH+zj9GTm0/rS/faK+RU0a/ABlxXes2 aVQ+6FCHk5YAcQoEbNC2N1hFXeya2iQOx9Gr6SRlAifbUd0EYg+rCDjiQl7dOYPw9rbTSMX4vIZN +epR5GcwoBAwy7fx/ho05UcCxg4jgEDL9nX0GjJhewp71h58Qts3ZSEe2TQ7kuETXgOmbPgIljfT He24PqHkShu6Iz0DtlAzgyuAYWA4h8SuEXih/miSy2owR4tkXAAtfVB5BVFf0T+u8nmNlfITWGYh Xs4Pv/L0OXqNlHDK00ocqZaVziGAYHut1e2iSxQ+lGNKOEem/iIKkmkmK6k7q2qJeoTqLxR0WAru CDl2Y9UQiu+22ZjVvQZJ2GyZch0gGU2a1PywUVbhI6pC8MmF+uc1RsJp9utSgx/3LCwDY45eAySc 2KTSDDa3bI6GB0ilWhsZkAS3630Nj3BiL+NI5nRHX0cl93NSoxZ2/jHpaELgDUgctt4kufgG3uTA v4ZH+C1YLO+dVgQchPY8Se/kFXeu5fx5DY7wluYpo3UEUMPYggSdr3wtZ2KvtSR9nHsererCL4MQ Iu214sIvr8VMnhOao2TIxbS+EWbAQXYhzKDAN4UwI36WamVwQHY4HrFpn9dSJuaIfZecCR/OasLj GDsbdYhBk6GAGsxRX8PXEWmREzdq8832WsbE4pWcgiJtiVhXP7RrddWXDZ8bk+mIDm1TYJSXuEZP SiBhDcMl8Uc3SJKaJHRxeLxXEo0Mhpf0wsGhTZxGn6qgzGpClfOsUKK+cJvnz2v9MudLd4drEsu9 0zQzPYiM4myiUU44Nr2v/lVA6bW4nMmJaryCGQXafZkVmFZTn3c1ocoIY5rE1YR+PaWbUlG3vuCO q2hfue7LslcOlhO1zfbm9caBW26T+CUEImhGUbC9zNci6ziDzfuY5M7wW6NwmxPQ6FOtkynPflm2 bbvGGdUyjWUUTZRlbMXM5soVBjivjxINirJnRcFDtFP3pWQaPgMhSVqCd4knFRjfSX7YA2K6N7r0 crAWwh5JccC5Oj7vHL8sd+3nAAeicwLIb/P0HnPDNmQTcnDhdynlhzc6r/9H2hfVGczTbjkCSp2/ /KSB2GC4n05MOaeMLQBYmJWo9TRvtDQEWAqmqTOopJ+BDZwm8uT4ledy5uNBvNT31zRR3YMsaU1T FHhDRfbMTTZ8wfnH2qqDpOZw1AER0YZnCU7xLrBB01+t0YE/eaPEtCk5DE2wYm4E6J2vRlv6L2sL 4TnfY2+liWDK8DDnoPyNGgMSnwTyNYy9503gdJqxvuTxwDu5D5uF7ahz/Qyfp958262VnbG9iIBW gTeaJ95gjT4nPloOt7A5yAGCGNxIrUmt8fxFWE7AhxL6ZR2WqapldDxRoZKuKxhwQarAuyC+hPWA ktznndrHsOs704UbCdCczScqisBZuwBM36OCnq9rQ1ZHmFK66dbh3kPw7PYWpq+o0VGvkHhBrZIW gROdH7rSb+SRhEMDiOfn+KCL0zuxD/ubenkrs3Wwcnb9+N7qZH5WRymfd15fBr7x9zzhsFvzzlMY hU8uqOI1AVg3eTMY9pvGklmAT2qeojDc0jkmzz465hVI0AWDGhr0ngNLMhzwcz9vKSkQz+ZKllpC FIejDwTm8dg+WMOgpS2u9kkkPu+kPubMPk9VaQ3IucOnKQrE0WO+gsPj2YKU+l6X/rjqXU5RJN7V Mh/NJD3blfQ8q6lD3kXMK3AatoQSBgRVThB6/s+c190Celj8nvdpGqyegEOpwVgMBSwf9o/O0cHH gzBzephJFDEMitBmtWl6j8XdkZ6e7GZHQPoup6m1tG011ep2BO9sPrw+O3QN5Ko7+q7bkN4AnATU 4zGo0IXjCe2BTuPx0r/6T11v/D5NWKXwoKScxJ7Fi0wwXVbHoLf8eafy4Q9O/StjgUwQ0pZ7jEfh OKNoAdWsEkf/VvNUbdO7T2gBaDmF8bh5yqiIotGOcTD5zpWa2bkEQabLT6Ug+RtUXyUgznsEU28c zNPgRoHgug06nZBIyD2c+IsoIM/lRgVce4WlJt927xw+1lGKlBcd1zMlg0qH3vLYhaKYqoplFI4P iW1AA+iODjOH4t9qyZAq6GwzeurMrWBhldn6NVkz9jPfCXzcAcx8d98+KAFGJ9F4tCV/3vl72VS2 n3mCzvcexaPMd/5elrg4Et986wTad4NilZSFo5kT5BM0T+/ROFamaDB9PKPj6sqgCmNSUS6fj1MS jMw+s8dGRzs/n1i6fKfv3RZBkvRNwv3TxNo7szYTY4RzT8/2eSfw8cb7jjSBpsLF4lMVFcGnPMRH c6NemBj/uId488SlJy87vdP38BeoidnpP2qjNzPBlq3wier0YD8HVdFUYSM3pKO1E4Io6XitqfeI PFGmB9WUogE3Mftz5yYlNJhuBOvzTt6zqX4OKUjPt6es8s7dw95bjKD2rT2NMdwdu9bluGkoAuos f6fuMVdUCmxCjBw9MkBzDtJcKGJiI3drZBKpAn/dHzpIuuQGu2TvzD180SZxaFJYl4NCgzPBlch8 aHZ93ol73kJ6MrxzZeLQ9HmKQvKVueF4JIo2NLerfWPL3Dpmt5D8nbbHzS8ck8lucHQ0HXUcEztP C5rYWOk4owDuohQDjXes1ivjq3fWHtcDKbNid3ApVM1NgTE9llNBYyWKyMf2ikGzI2qChmTTFJbE N5XQe/F9B5VjD8j7uK2VeZtPUU28qzHXDM7avuCs8LLoC1kkjqgGOCRR+J16/HR7q7j97lm+9MpB CMVeL/CyPghUjuuGkSZYO593wh6Xvc8TEXfQRWnrnk/vAbl3ek3j2+zmDOybmiQX1YKqhgx7p+sx kZcu81R/haNnwmcRQQGduJQOPkyxTJhgCwj5lE6pt6Sa79Irv59Pi0f5UAVqeAXq7GsEtLZG9ued roe/OI/vc5zk5O4h1Dtbz3sOMGJ0qNOmwJXOcSpa6hyfrnn6TtbDzyyTopMz9ztaqLkBzDt3Abcd ikaUsTs3HZpgC8aqsC66CV4ZeuNgmkjqh0cyh4JEVikIIg5ZX+39eefqsT7dv+aJDEJ8ls1TVB6n mA6MKpMfT7X5fXfCXFfjwj6yffcekuOgY0ggVU4bLdQcqMUVqhMlHHqpK2lOGah5OmZBw8qZsvh1 Pu9MPfyybPgOeG3bYBALCKrzzzw/y+edqMfH8/c0yXv2tsajiJzlol5HvnbGvV8pvH7Dgs3WN7fd e0Tu8vGNh7CPNk0VJT8gbJC5oE5q0WinbRHONZSFXFiDIN93it6z6Vq/A4Poc5rrYAUgenzeGXqI U3/FBCfm+sGh5u3xKB5vlGsxPRtqDl8R2HMXZScTd5igqj8el8eJ782FQZRGzRKAE210IHLhZow1 ZOUnejyC8gUglsuVoe3zeafnWddt6pSwwThnQHSaFWb5vLPz8uN3hQOCaTDSu+Wh0zs7j0nlerAD WkzVfZxAub9F3+wwgrA4rmlqqhZotGkC1AJUYnIWoZBUjeq4cclUzhlWUxcnKBe9cTBPbPxOXFk2 cM9BYmktheWTj0dH+C0+CfIMrGoeHhS8c/OwntjOn6R4q92y15UK7NXLmaV62fedmkdck/JfyY5o tEP8xHBQMpDIfsq1ZR1ODVldx9KHIJ6f4W3ohaP0jnRPVFpt0Ho60V1tRkssn3dW3u8j3LDTsKFs foi/k/JwpmRW6eojFLj3FQokGJkQaJLyCIF+5+TdbsuJmWgMptFOp3NTjgq/OdYLWMURzTFxitib grmFAGB0lv+8M/KYs1AZAnESBdCwEK1MVxYZ1OenKJ93Qh4LKx6LayGe9VTuKf5Ox7Ofh9JkRkAg PcTWUxYEQ7x0cq2xnqLyuILLVrbqKxz9fDrLBSKRuOygpq+KOIQE0XoCkoKSmmbVi6X9eafi3dRu qvY7HUeFTBTKQjyZR/m8E/F+heK86xCFt1tVeafh8ergrtOtxtXUujvKTGGfKHtHCjlnKaqNJwHB dhKqcPvBgV13ErteCDI+qwliZ6Z6B3D6ILq8l/o0W7beOOhJURZpTILlxnSwHLy5mGGB2sLXDBZT rl/TBKX4Dl6dTVMUiePMBrqpesYy5/VWBTHvFlWyRU7vBLynF4xf+I7e4mRtbrJIt1A0aHSpLQB1 sD53pqRQP0rHODGF7/w7XjyQnEzAftlgvbvWxBpGWf7zzr5zXN/ddBCDOcHwDQqiSJwYiO9W8CjF NdxKu/hw2OkpEn/n3n21gqs4eJNxxs/UPE1IXdP4eXG9UBLvfP5ZVmQAFbZaVCcQ4PmdecceAKV9 Brn7ldSwrnnyqi9kGj/vzDs279JXZocgerKEoXkKI/EmfZHh7o4qowrtzA2glnn2ou877S5fLf1p Gcv8yljqOa5P0jvEQz+htlQWS6bIVGF/Agmlw3iLvfJfK0+1iPIzz09BGjq0JmVGO06u9Xln3VlP 69l4ZNLWB4QZxeL0OoTriJOqF0V45dBQW7qw8O7hU1Qdn+Ilnsur3dFSYASDS1YkJ046R6dETuFd h+IrZDlBHr+eVxQ3eGfc4beVuI9IwvOShAuc37iI6/mMzzvfDu954wJuvLMux+gXiBlF452y8KN2 V9eC6ItxEyv7gYQ850L4PJCY/wCrTAP2zi829cCnTorCJELk1GAvUONBowWHLgpKtqAGu+bvdLss twC6Y08N2N04lU7UlJUC1zY/72w7btyL6/mR8RaycN9572w7YjjpIEPQtMF6m0Tz0bvr3G4wwQXw ueKEeifbEd+m9oGRw8ajADFgwFahrYWJOqlwo5LCOckTem/QCfwB0s5rT4vSWu9kuxs/lUJh3CKb VCLLwWDgIjmRzeedauc1jQeFAW8UFOBsnsJwXCLLmxrK/EfmHzSwgK+Q+QzAqMoWVFQbl6gR0Bfr jragoIx4Xj6zNo4OPEmX55yinTIl1oCJehK8rlcOjnISN6EcomHZPFXghxkU9Vw/7zw71ui+ewjQ 9ep9ewD1TrPLRuI481TM+Pn8tbTshaxdlk7NJOSw2o33zrJ7Nt7K/RnvSZ4WJAC36BgnYkpeo0Mk DiRF6fPKJdKG/PNOs2NZUYGmWAfbtXDR0h4GzCz1886yc2D8g8ycoGRMD8ffSXaMJ5i2yBGMefC8 NV/qPRhaRfrKWE9haXwqrdul39HSFsx4Rv8O0mNnQ8KZGXPJojL2Dlx6s+fBqqq8U+wu54BmDjYo vxuTaDO071r7vDPs2ID4PsehpwCdKr/w3hl2bDxUIiCGt1qy5CWpglusU4VDclhk8E6wyyY2dQJx w/nuB+eLoi8SyEXvwrXObS7hn8wMGNqyO914nEXczzu7juUC1gk6RV05aJo2uJdstJxd9Hnn1jFN +8aqYJ92pJM2SyFUpevovlrB5/C9lM3avRlM4UHtur/H45DEL3f05K5ROmSR2zrPaWVNK4De5Be6 H4WHqcUU1cWJvkNXdvug5A6Ldaio0urnnVXHyy5/7TkkxJSttVmKonFKlANvVX3PpUth2WU5rH5M d3x+p9Q9e64YxICjzVIa528DYwyzVGGlmwzNAkQkannIMb1p1+yFg5JKFqBebpjetIPQRkpKgU/i 9Xkn1BFZ8L2WRsKvdAuZLYrFCSQFN/Byyl32r0gJylLg4srvLUSNFwFUSpl3dKBKH6hZJxVU6plA U10GGqKDJcnWppF/sVPxNQHcUFsN9WkbhL8AWDkLp1IaHg8CzP49S6SS52fHhYF4oSRp6rdQQIMG SpKuR2Wszm2FghYVxVOxAkHrd7RZanBRPeFfpQfm+fEMqpHh49SpcDSox1a+zu8QpsLuEQNDG7Tl 2jk8WA9prQw8HkAK8ne+ghRzpulxeI/jcKq8pzFsmk5I7rJ154t9MYHUZGyf9zgc9cGkngFRGBpt y3WwxqasKUaBboPaMGeXwlK1d0K3PQr3F/4b9qKv1H2wxXR+yCR65ubjERLabzkixjP0EZoHlz0K wgcO3Z7nlaxbw2dpQejCZqlRz4KzFGFUho7vs6HaHW2WzgeBD0fDL6iVnWNbJRfcUY2LKZEXrTIB xUF6VBSXisPY5EZx4DQNSNmweVBrnXj8vzDHwGxuuMBtmsIYXOd3WTf5Ja+YscBiM0znNzprPL97 VBL3aspQDM7R9lyaoK4uQZ6AwpTMCnI6MhDA5K71ivNIIqtHMbjZoo2lAYcQZ+ds5EJZkx9wJ/F8 QNW8WGhq1aECM9Pym66HZE2BDYkJYRRe5FPIeGBZCQr6TcUs5HoUhU8zJE5ScuBo2QrsxwuTaR4n ZajBcF4SqfbJlCfw8N3LvRIB7lEUjmgeKhdzajBPtDPhVBhBVtc7Hg9O8fQdEUCzrj98zR4RNgez X5P/oMdCWSaEfzaes+wRz5t+Qw+jcDHslrkSrseV8CQuacp0DVBoCBEI4osqyw/VuLIkuBWF87Lr UVV82fm05fh8DgTJp4yCcIML6oS2eD6Yp9G+wnBMswoYmqcwCpfORe5XF0sm9IRC03nKQZnF1lPI 2pQjIfyc72g77+QUI69OM6bZIOhODbGCcjn49VhU4CDYAVXtjYMigRwoqoTEAHpl+ghFlpMK4VY/ Sd3G8//aeN6M6u027XpUF8fpClwEen6UxKpEd8rmkhk4y3Nt0o0QB3kYh2u/lanWQJk3duowIDjB N9mtraCKycOeYvJE0FGJ4JFpRTyNL4pOcso7SWptFFrOaUWltum+jJOr4AP+xWrBY7D/Pjmr57/9 PRZHxiLTODIPpSid5Gd8Zgomp6w7nbUFxXXuvCgW3wYNqyblVy8PuEPSHYBossnQ8cps25VEb/pM 55TGJSVzEyqP9qg0XumERhEpG1gnmID1qBG8UsPjUZ3grii2WqBYk7IHmj3CjVN3voE5qcJTFZOC lcxC4hXdniG0YEsqAqlYujYF1MFIbJuk78/pTMgzJ+rsERKmC/SSacaZWX1/gONnvvBFQQzFFHgk SqdwsILKCTS3iMGLj0eYzG+sIaKYE7/d1l0PI3LeeXOZ5QRwh7XZRDXMDrYhaXfnB2d0EJXG1TCv fexn9NI4JHITlSopwzS3/HjJJzlZKe6nMa7RM1Hs+KIgC5Y2a2U1hoMQ0ZAQy0bqnHg8WlH510Qh AYLpt5HLo5ic2KwTxdYrzKqMmGHUSN5DIEWS0eaIauPTEAVLMTlH75hPAMEAkYahSTrHvESz0Gw+ BwSJMMt5d4VGQfieoJLJMHPVZxB49dx/k2I28KPD40FQ/iwoWbTvHzoh2DxFUTmKL9T4dXGnXT04 2PQsc1+vaeHmCEvj1tysqd3xhpvzhOIoaaMpdZZOlvHLgKjHhkoCFtkVV8MtgO+JaJxNeiBdPKn1 w0OqFqImGWo3TFRcGv8VlqOlvPIVKwhh41lWegarhzV8MWbLWQc5OxOhFTP1GlFpXDrbrVI8kiOD FJhfJLJ2i5gtNTdHYGCloW2N6sSNDQBtHfiaALxKpcxRyUcYcojlLG1jZvXzPzweFZ/qVwjVzi+D 6M5nKQrJG0MoS15YfKLchuiJs3jLfA7H84woJHc8T+pm0siC1yCKLkF/nAIT6CnvWlUwqNRvx02J bNgDAxSE8DV/I0/PRLUwDsIa9lplmgJnLDweHE61fK+lgUz41gtGFJBLhweyZA4sKPsCC9Q7F2K8 +lqKAvKp1G6bjdd+bLwANmzwmR/i3J3oX6WXRM8W2mjhWDdO8CTnbkRlcUC5ACjozFugryBq07lz QFhjFaBkPB+tJp8nxlrj5MznsvOSwYgi8rG56crsTv+R3CMnaplVMa0sjXU3oojcDubZRaLmaLcd iD7wTEtULEJh1CYKta4BtVq4UzoXP9kLB5tOktqZVbqR8w0KwI6RqNOYeDyC89zlhIWIRHiMCywY IWR8sA+8LrR+SCuTjTQQvw2AURyoMiLIuMzL0Jyrd7T1VDIj9K1pGpX4SaIyoTuFGwr6fxcwXvXC UdMOaXCFTiMH42KeSIKYakuDRxiMr2/sqlDst1wwosJ4o7D96HtfmIpVDgroqZfPQpdgzlIUjE8V VrYJ820ZWEJrYnGFjM5mFKKwPJQyUyp4VHqGtDtL+DvwNVHgxFB8qGV+dZ7JdEWnljLt/TPCWLx/ 4+rBOx3l9g9GGIrLsmvVS+Ec3amJTeEU9lxtbps3wlCc1bbaDb7L0Zmu56856yYxIIDLTabW4bn6 xXhFftFruruOEeYIQ3HCec7Nxpa5TJq47zawnqxRgFyLDwjqBb/6UcRyzCfEDGNxiTzVazAIuuUN nZKHThR50kzF1XH1mK4CzUP8ASUx0T+og8aEE1fZHah8OVP9fdXHKEHneFgel7bD5EwxlSYg/oT0 56hl7HNu5oLno8LKr9jp5Dlcl5qoGdbHGWMO+r5Qmk+2tZTma6oHs1CXaYja+Ul/Uy88c9uf8cbi sFjOlHqa5cQgpVlxoVFu6Rzj6XFIICl4hiiVQvwcwIs2CEY3KrUQSeKseDyYpYtSEY8MeMftkfiM InH6EsjyQ2WVxqIsU7tUrIQAaa41lQPPsD5utm8mrLYeTvAkB6pnCtIu9CH3Fte14ZfNmJ4sJ1QD +S698t/6CAQw22Dz1IvsXVrtBY//S3+Oh9k5GMez7WYUiZvWPyMWKRbU7Fr/xEYYFV+K7ImfFG07 cYLT2Hd0ME8FdhU4RFoKwh1Njhv0bi3gmMC27YJ5KO0w4wI550lueRw4TydPqbphoRyAx//TQX7i JjIZbJ6iWDzT3JptRovFq0/OiZ538kbCKnbfzX+Ux1eWdAFHW08lwdipiGN+Ur7Fd4UChkSu9g/U 2K5V7NIL/62NMDspG5MsP1YHoLw4pFhQJh6PGC3fUJ4MQR0pdmmaomAcbCiAcIuFBR2wzBuMJ0dl rt2NOj2jYHzUZWjMckdbTiMPdOoo0LNqg/00l1MHhXoj5im0obZWMN25ZkjfpPLMlMIxBxVUct+L wjEn0ql4POhxtu8znMIzwCvaNIXFcTLxR791gt7qFcDwKgtAvhCCwWU3Qz0VYcWXhQccbZpOWADQ ONtSZzWcmXK/KdQRUU8GeNajJ9p4zigUr0Pa6+ohFE9kUwbJmEiec/3h8X9ypvEcnIpWvgIYM8Ko 9C2dteLCDovXqrw1xjRhh7OiPSaYUW18mZF8NbPF+vQQ9lnWo0uqfqHDPGS0BMIldOLzz/6SMSJl ekaheCL/fom7CfiLQvFC4TOZnQ6+5b/KBIwnIdjZ+l1MIXcza2ouYnzt4kK0k7+rAL5wUteeC3UN hWFaSfLPHC0g6DB6xaxTTQV15GUJMKIfuBADH3/7B1svHNHIuNcKRYyUrSthgeoSJ2xtPh7Bwr67 B3A7JkfcZinCqDAqpXylHeCrFkfyTPLlxD8AwFizFEXiQ1ABPu+jBwTibaI0d04mMCpkLQXbdwAg CvWSLpuFuPoZBeKCP2PmOVAWghnayevov3ZO5FzxfLCa6jdPo7KZeKUNZ0jdZPOg0MNFUk/SXeU8 oRxElArm0VdTLKbShAdjQUmjAQtAl4aMOFAqAxaCwwyoYE85IJ2b0ZPxeVpFbxxtOgaWiHdtMMjT AGCcAfnuePy/gHkQ8pxT16dpRVE4fgAUUwzBgwpms/z3bL/umy53F75YEUpliFiOjsodPR4ghoRq ywDVm4EJIrSzmAdEfcBvMYUQyRSssCBOMuJSPMBBWJ5S0lAUfn4OPB5JqdwonBIZZz1TrtOmKYSp kGo3XdMpwzPFp2nN5GyWklwCeoVhuHp1M6tbPvPtlg+IQKcpQawFRnCVnMPm3U7RvPFAnnBM4mui ejhRPGNoOB8w7AgvRkTo52fA85HA2jfpBwIlY98ywQq1xKv0+q/izAJE2GEq/TKBkzturRCmIgj0 Nr31LdZSI4AOgTVF9uGV2/pq03ymEpgoJz1apT5R0/pp+JqoNEfKZqYnEgdhnlA66lpNi49H2iC3 DaXGcYXJid90KyRuVgIJarvVlDpudJkuZjXNYvHACpHi3by8R7+jt1dwUKFqQGQv6k9acQlmFANK /cgkPR4ggG7FBXEC6Mqikgp+SCl6wI+Bxtrnz4Y6bQhR+SXhmwsMTfOlsqwoCp80FG57disRQHfB SgQ4YVQiQO2/2jzFxE3JZPY87uh33fw/xt4syZUcWRL951pCSjAPb/8Le1BVMzjjtluc7BJpZNVN Jz1ADDboAM8tKP+gqsIyE5OVZssKpz8tRhzLM/XKQXlu0ooMp8M8mWKn4gVz3kxT2R8KGNWJTwh2 3viuEwDgu55e+YoicRaLWqnVyQcmBA07llKcjg9HMiMfrFBIZZiHYq53tLITipcLlsJoAZMfmk1c nI6AUPQsc0/3BeTlji/6C8+Du8cH5b8QTmZx5WQsfM8ApvKrYweJ6bFukLmiUHzJo1p8Vqi/lHVD cVRQrerU1bHDPIWheJVrVDfST/8Kn8BKxGmO8hxMyhi0AUiH2zbT9ogwFYEvsm68UEhFYg6dpjVn MDILMB4SGIUBCB7/lzQ0LwCsp1puXBAF4/TlY1ZhW+87Zelb3DI41iTTeVohYFza0KMoEebo9ZQE ZVQCHM7CIr2OBV/+Jjkz2ed6MpAKEix8UYS9MBbZ1lDlVwOXmj5MD6vi8fd9h56gqxUwEYS64hVZ WyF/k6oOVsZULyE/SioPfxMITl15cWVcsh+pzTt6vRftzYWkHh6TeeQlNXZQg8HfrMRpNWsCF/qY 44si1DiJm4jlbRCaZ5+0n/bwiPzxeIApuNrQorOcWGuX2wVefxbGoQEybnpXPb2rc7si1m5ubrdi tXGJ+X6PtqLQAC+LLkNnWgDVI5isZAbxmeJs8MjxIIra0CuKyNmROi9M8AUHNe5Aw2+ybpsVjwfR wS8ePlF8KV0HhB2F5INqcG1ekMoa5htV6SPmQdR0nZAdEji38RFVLeDoW69TfrcS6wtLwK6S7zjJ 8g86BqS9uRkuC+M7CslbUuOgqI2Ash+rlzBlIRRnnF/hs6OQfNdvDwRCzcptl+/3iNxRdOiM/1zt elfEAmbQQQWU2qZ2fSw1XpSz7X5HmyXwo0cCD7dDUuDcTWQsnAAUrYZznJ/DhK5OduHRBWGHyPEq WEGdPqgw3qssnKTJvt9Dcvya++sgL6BKQiDK5ilEjrNbvvocnuAtswkuwJB7g7MMF6Lb/wjJ59KB ztEuvLUJzqzSqG3yFaGUL44aVtJRLLl+wUNvHNXokjA82QehL85+riSI1JOX4vF/kTd5PA26xdxp CsmbIiXCJUUS2rCh82N8XI/E2Z2UuGOMigq+cz2jU38gbTmT7jtgluVRWgYC4s0SKPoTft1RX21H MfnmahqTiTAHHU6gUBLYdfZ3xeORTua3lEoBDXjd226HqPEqexZjHGBhXS/XWao7IWAF2DTFqHFV Muecd/RDfIAWA2cVoMYXyMDL2uVk2w26f3mNDqsQX/OXVsHYWwxONPeWwiCArokeOiEGno/AF98m Np1O1rcbtUNZwy7FGbOGoJbKtmmSRD+nCa5hNk0hRKWLIjXVveNoq2miCsjwHApG52YdUvKlr8Uc xAnMq1QAagm+JnJDEumnNx+052BqIjjmmTE8Hik9fZfocDFtiDPZLEUIlcTQaZbrqzVH98XUS3Ui WSHFmbMUihqq7LasVb7SV3rXYO8jHd+VYdSthZdAQCRfRvpFqym7a3rjiOFamQCnOxgaE2j0qrIb Hw9Su4sXpy9nhtVtcnTvDpVUKIc1dr5EsmE6q+hFbd9zSFltmkKIytAisoXC0bsHq6FAR/vkcbIf yD+qUY4XXAJrDJcSpdkzvidyQSAtES9ug1YTehLiS6c28HikMv4dYJYGvFPxEt2OAvGhSiYZDlIN 68X5doPuMKIlMswGnmCHgbh1NA0MzdH3HE6jidwNq2lDLFOepdSiQiUTJUIHqOypF46Opi3sc/VB J/E5yqkZeU65OvB4ZGXniwlvh6PxvM49wKMovFGWB71pm6UN5IBmSfBiWf4IZYDFFMFTpgj1W9Qn jV7IpMo4ui4oi0OLw4zKED6dxXVetXlwWdhfx9f8qaGSeTJxUJVggZXIqniZA4//Uzr7hwYIssWx aRIi6D1sIo6+7mn576TvsNApmjJUqysKiESn5BRG4c2E6Eu7oyYKlkJoZ8FpAKc2TEjFIxsUOkai Bi2xH0AO6Pmz7aUDvzZG4NAqJ9CQUtKCfZ8LKfNrIZa8+RERdvX7vhuEIFG/3uYrKpBTNgC+MIlM FkAMqngHVNxNxtUA18LnK7baXMp/jd3iRqFEZZ7c9pxVVAk5f0grhE2fb+0sGMC+pjOCEkOR8Ti+ KZKvx3xlVX+zV3/biSDT4l+K+4PPB5nLA2BlWbNDLKQ/dlKh/U+zhGU6RqzMZMW6CgUdFaEGZLJk iJBC3LhphRqVmqMVDRCbnc01yWwpJ7ZNzZgtWFwZlcWS9yVsbBYN8E0RSozUlkG4yuiAVhK7evYf eTWk1C1+QBBK3SCBLwj1mXV5ijmFxXLc+r3VlKz10spyuG9y2QfK7Bkumnf6+xuYJUSV4bSMOzRZ hTYPiZLj5w9qKNthJw4emBXk5tp3v5mefBFSFJx3tob72HdQicVRADTP4/NRwbx/rSz8YDAIvXMV heewJUNMvpysuCaDzc24szr5FebHvrCiivmwsqYyXo3OLdvAvyc4AeGQH1MqB2dr0mUD2jwF9i1W jcqyTEoRcmVQAblLAZmDn/BjCpORR+bzET3/u49+jjnc3v3y8HIKa+aNgDqaAlHYKC0/s9CiGhI2 Wgs2BEW2bmHR3NW0hSbg6JdhAS2h6swqEyVTbkPw+KEAhfklMYvGSYWmY/ymv4oI1Pu3QbN1PjdL Vvtctnz+Xx7BSmjqWV392YWhzAoJlq2afhh7oVlSYqTzmghUZ29I53sUrLMQeFbUsMLwuHUE1FvO 7wfIFPQlzou1Llkx+gUXtrihFGnnO4Ap+qYowiLaR17B3b2CK6wbM1VVUU7j81GLof1aWWfXABx5 JytUW5HdOzvh/1MdnXj7LQzwMj7eWRp3G0Yh+5BLQvUiVZ/XTmKf8zxz9bIzB8kGdmNGSZLRPEuI Vi46spid85siFjrbMYhhMdQLtDsJGMsuwLzy+f+CR0T1EiT7O1dR2A4VAYj2ZMdprJm98DLaU+2s 7kFJ08rgL1DFZY1n9GYoOocnFBUnb8Fkh5sQVKAfVAJ+9n40tmU1lUIpcqKjaEphA5dVAfBedbyz hvl8sAVvjEUyNm/lVZ6wIa6gc+MBeGmi7X26cFZL14YSBqc+VWEJ/cZW8462ByF/A2uvjD140p3Z NFUdOdjKZElPN34tNKHlF/1VpZqAK9kgWGIvsHHkyZUnn/8nRwgRBnhr5WLLc+jYOcVXqNkpZ6SD qTqceMoJJdXacCPKENfSrfKS9x09aR7oLk0W0QeZ5EIrEOkzULkErvBa39DXPAeWnTiZmQjuNX3w rBmOAwxMx+Tz//I2Jxv95AIrlWeqosi9ZVnfLAeU6fJSFWZUtwKAKpVPVYRtkat7yxL+1ei4u1w2 GXqcKoSP2exfMsMIq+eZuh/RiTn27BSTqmofEjvBMkyTORqZiZXPR8q/361jSBiOnh9z0zBsxx9+ 4uiVvKq3q8tDrXEVkrEoVGHIoW3nUi64Z3pGr6Xn83+sIjIitNpmZIaK6A/I6riI+p2q0uydoxZW Vd5M+HRuOtVxDYHDwFO9Dj4f5c/flT0AQDobPDZVUTkdND4UY3bxYkxbLrndVXyg5HZ2O/gcWncO hlQtQ1bdR4Pf9XVO7sJwAczYDHaeFhXeE7Jmuz0uQaPZK/8hQooq6vRB8Dt4UqrtsOCYG3h3fgcL MtKDndrTn8mheacQCUPdK06VusZSu+dZz6liE09TFRI/s0mO735HL1wNSmoRaw6kYu0SdS15sgR+ PnveRlbuo9s7R6YlhLwu0ojPWeurCjUk2kScL9l8Pmoh/9JxP68Jf6Q7VZFEuQQhNsUqVLzqdsAX 2MFW34DyYe76qKj9IC5jreuOdlQVABQLDHl7OnH7rI2iNZBQBkS3Uv1sXuRUn/bOf/kLTwh+2aAq HyxDjQ2zCp+PzAH8AqRnNSKUfrVtc+DgiXoMM8F5SwyL8uKioY3thL05nZmeQwtPK4Oyv+qjZYJQ 00xouAPoMmCiIJwwVZo3Cl9Qdr+VPpWvQg/PaYfUaj5YIngSwCpcApd/FK7vO1Ws3cApZn5dgKEe y2AJJllVgR5Uj4JkfpLmdKcqMg3qlB+vQzgWjTZV0IQ49x5KaSeqQurWWLpC6w41NhI5ybGSD3qt 9tJ/kWUTNPBsUFx1DrvGWA4W2Hz+X9I1rDRvRMFPCBpYeWazNcvTWNYo8+XmGP00Bfs8S3vN4nMV a7LIz6wIxcHR4S4ZwlE4VlBgqEPaPClDxRMit9Qg41ypUdqbvXTgEyC8S5YJI5E6mKt+ro4ix63V Cp+PhN3nrztwQ+rnWVchAn1NkRialZD3pOofssDVCR/GT43quGWBsZ+npcrZMGe5XlmWAvUjELFZ XgDVTeWFzHitICItqItettW0l446XNyD4vDN5Eo/tVKsQ+tq8/kot/lVEqWiAOmENluhqSeXw9l6 tpygKrnWPbAMKYSc2fXIcujquaZTreodbWVBxrPRmheaI2zhEezZO/us9EJl3dz7XM1eOigwyLyr mevSEE8GF6/xHnsdlc9Hmonf2Dza7ZTnxAqNPRkSwTa7GCl0EYD5v+TyLFkkPhyINlcxHN2Mhw0g 274kEDK8b3E6glAET2Kjp6GNuXUP9qs0TcESflF0DzJbrin7oMO9g8EldkOffD5iY+evAwuvMoEf 86kKFVqYM4+WHUJcgC+zTUjxT27C0nr1qQpjduGB+5A+WR9XDxBARtx2cvbcDcJ94mOD3JULiuWl 5aciWpO9dCRMRghjpTDZKjzqua5KZeEdK2RtfkAQi6bvmxDbfxPEZJMVg2CYChKhSR2plstVMN+t mnXAqM3rVoG751Xc6sbr4+g3YYcFDAUiMpXIGsPVvOjw0HCzFakC2k247aUD6ILk7hqlzBs1BX9k RrFoQ3YSgaw3jehFfhNK8HwBR/zswdBUCMW9LkyqTIVKFsQKqM+0HeJRXN41Bw6fLhYMY9h1R0cv 9HXSHBRBELanwhYtYlEwvU40AXr27Hdd0T0gxxafglQtGuYsEk+FCT4XSCWpA64z/ICoLTG/zqum QswNRkOXT1boG+UcrMaX97iooT59ruhnprkK8TDy7BhT64qjbULQpdG3HiQ87G2d6fNznDUxUEJB h/BqmasrEdp8Zu1B2Q5ysGoMpGH4158kkc9HCtS/4OkQ3gLC2Kcqitu5fkF3dhwazd1V40u6HFm4 SjdxDpw+ETRIDkHBqEZLcc59joJxpp75ea9ehT1ugJGRnYPCmEtKrWmvHKA90FPvBB3boHJoAW9B PoJ18/kobE9fGxCKZSeGfRZVVGRng8qtv1ltqPPmzbSKFiwG7qo2U7GmuXl8Sg+Io0P2Tl46Mo5B UNcKODU82efIlMZHSkZcDJs32aYqBMZIfitXGxKxGxXQtkyOaj8/LJ+P8ub6dQliCXf0sH2qQl3z qrTmGuqtZiaEAPNfo7iWaYDW9VFBvJCN5acYi6Oz/M4Vsc6GIOpjAQWiagzqMdCWaj/QLLbIqpVq rxxcgcvo7NkHVWNSVaMa0OvM54OTan1TkFFf/9n9Yq1y6PhJ9YeeRM1SiaH4qtrrVkMXT3NNVcwb ldJNS+mO3hM8O3xUhlaYoBMALXGOcMkyLCm4FLCgeVQVe+dgVbFijKa0hmqraoj+hlVVO5+PCse/ vVFh8HWZNDm0/bQSwxjJT3VJwhNwJUuPRizo8sLVH76fwhA1iSRwvBsQ5hpUoQUwDRorWTBtun4B bbUfwjaB6jk0/mQxphPcYYMKx+dfbaowsMQeOH9ah0kl9qYdmH5Qr71zFUXs9LaAye66GL7pN2Ar /Tmsih9WgfnnScHFz6L0hI/3WM8jj4ETIe2ca7EMiKoIiOcB+7iCEjKvyoH7p3pERHokH7QFO/Bp qtvNzef/ZUMoMZPyQ3ynT1UIWGfz9Cxj45D2RH8uWVWk5bplE8B2lRhC+08rMZjnkkZvnsI9bCOk R5erwqRERl8Fv0CBFmgB1taap11trtABVEYMI0lXEQoFLDed3HOAVMjIqmd+wL9E3ohaVysTKkg+ XTGXFAkgdsmPO3ukbJ0u6ER9A9dtusKYXdWrLusljd6YP9FC34lywqWev3ASdwRXOUwX81jcRC44 pcZ84AMKrTYGV8Ug/lCX5oJa+A+5f2MB8xEYgapX9i1XArL71zYMg/Yp66Hsam97Gz8ZbcHha4su CjZZMX5d3iY8/228RQaI3JMHjNJHSzRIRlWUtLbCbHA9slPLX/ovlNoqRZMF1C3P9w7TLPJ9UYTl B/wXZQCcBbPWexUGhqAGLWs0AVDqLE1fZoOJeTX90RZTM2aDoSPo0LnejZDE0VPnkYCEI+TjnF0J JFxOVp/UnsL6GkQyioqUur10dBcSelxIluSgMsPZwTIIPZFP5fMRe+Q7c6ba8RrPXfi32CKsGH5c fqp7DXm1q/Cy5KfOuYrgMU06CmNJq5rjJW1VyLpt2g6ckLFkgrRP2MBmjli4az08m24vHRlecmEl ZTqJqBEurAVxK+7CxIUV4tnTL+G3E16OXZ7JCgvuOIHgoWSIvrZndvp7LaP7ZEHUznZhHLkLKzrr vKOXrxoINtkU0M9XLOZBmXHSubUAUKuPaxO5cfymYBcmnfAp+WC4K4jpbkYRq/P5KCD1hbV1A50g 64lHQ3tQ9ERoN+tygsDj2MIazbYmzXbuiRWF7tPcmPJ6xruwyswwDqewWVsCwqGIjHD/rK8FFZ/r /LFVcA8NQiXu3bcwatvn6ly/fQz1G9bi80FA+su9KZfzAbtebbMcWoQiYc2Ntjeqi7IBa4LxrF1w YWW65GkX/i36Uino4aMm6yyycyLD0wBuSbmlWVm/yZWM9IaiDLJr73plAfoCk9BEOdsJJ97F+hWQ 3kIh96Wr4fzZe/IDgtDhl2h8g/UhsEc2WbFP6FbMnp2jNPPlS/TMZhj7zuOiiQKjUJP9SHJa8dFa 9AXehytTRxcxdtoCtAFvDdulCnMJS5/zoPNODnxC4XTC5kSTgxqZjYxIB6RnqUJBMENgFPp/hSo7 SaaXaZpDo9ApiFp2wytULz3RuTZYSFp28ZmKsO2LtWO0m8sdLXg/YftCpYQiOXOBmKG2M47xSUIl vsBywqEIK3AKlRsSaYGkAkwck1mlPrgUEc4HDesceoWm69lAojNKDvvSKHNoFkqv+XMf5VtqgKaV lfpUFqUnPe0omD8HbqHpkrp6f0ZPCtMug4z3DuTczMZJzXS8ypvYmQtRS8VeOaq2i4AjUBFUyuUr kyE0x/IGCq/8gH+qwNjRPnDP+1RFkXtl33kWK+oRrpYu8Ko58rEmp+Lk2DB0GPCKZEqNjlHrAIYO q+uNQuM9JEMDe2+hdT+ukhf7uvyePwC1KElOH5Q/w0Fa3lcZwKvQMFRHyMOIB9W7PRswgrU3PICC vnedB/4X6w3u5uKeCcYwugT/sAxVUSYLgGWsGIbt61zIm4xl9gbTZCsoIfMpP1Saynt7RpinGvSh Z+gUYamQG8/BWMwAyfAbYfOYQ9PQWb9R7VCb7eDY+FSFzNNFtOOjyFhb20YtaVL9lERENd2OHLiG Xj2KNmSWxtEb9O0EXuq/5ZxBAVAgmihsnaGeWaiZYxiZ5S8dcLyYNlNvDsZFZwcuySmdz97EeJ5P aPyAoISc+tdkgdY40fv0yQo9i1hCXhQpMF3GuSwQXfnKV/btluI5MA81lkoCRaTe8aaDaJYK54hK TG9SzZES77kfF7Aqj8MM1fRy4B+qMA7NZtWQ20l92RBt55ai2NYPBE/4fFDDuoEoUR7oYf3srypy 6CE6s0xBpu/CRXcYYT+ylf7gQbc8xwlMRKFqbLDHme9o1+B5ui65AyUcx6WqKJ8h1Dgxi/vrvLqv HDW82L0R+5uDNuG5y7ccvzYoS4GN6P8FimbQMne/EcPnNbQq+IlkqFZ9XXXqcrHMsLKtNlTPmjkU vAZWJZHQh6uPiCMb7Vxv0GKiCh2oEidhM4nGjVrqhFzfbg49zos20K9hVYFwDrFETT4OrTvweKaq UspZnnj6ZY4KTKi0nop6ghUm0NQd5FUSzRBliHdNV15I+rpsyyO5UqeZOhvaecEM9W4qCxZWzvSw UM9Kr9PUhc4mhkTxj+z6znKg8QU6mOZ1AT85fM3bFEkrE1NO3CMHTVHucHnCP56Y9/MaS5WnWoUf ExMC4i9l/jhHr6FUsSMFOiGuEmdtZUla92arCK56mqPXQAqryD2KzD3l4TNvbgpDsEORohnpEt4w UAFm18RjzkkA+2sYVWA1NNhcZnDOQeXiBUUQxp4n4/i8xlAFof2dIv6r84cuu5qh1wgKq6gRVlWL q1HsUq7G51rp1j5d9Ps1fiqoT3F/bVOH5+hhOY2bAHhFqDlgvcB/9+xoEhjR2213hgAB+LxGT8Xr LSACSGzwvIuEUDfKXARjr3N0vwZP3DPla4oQZrZObzBM0WvoVK6JeB639Z5c1DPlfftZagDTrDfa aMYYyQbbY4ao99iDFZaMwKn2E6gjuACLWcEIdEzgreI7bdsLB4dRFmWybB8MJ1R7YQDCvvtr1ISn zbOhPBDQsn0ZvcZM2J6b+V3fw+do1AtPaLneOXIK0mvEhEXczXxX/QaODuRIZULzDUEAkv3G6B+o GnxoAeuxEXeh9miSt2owR7B2IJKx+6D6yvmPKcf3VT6vwVJ57Ilsjs5WW3n6HL2GSjjl1W2fPVnl HF/s3KM1nVADkIL5pPw9R8tMitZ8wC5jnvCb0LBzGKEHOxmkg47bz22GFA8xqe+1pPcN9hqq5Z2W Mxjwg8h+70x+ZTDez3R9XoMkHGazf80RXLjPr1dtjl4DpOLqp/R5sTmSnBAPbNiRmJZuSyYF9xoe 8cA2UF7Od3R2Fqf7zAxJf/WcJXTqg3Y81hGLT9C88cibDPjX8Kg4fHHmNH0Q2DPVOrPQUDt/XoMj vuX3XkOSNPbONkev9cwiEw2KCc57HlWvDwh8qFoKAwSqwAVHdtKR3Zeh8daXO+86MTY8RBBwwwp4 b1V+WS6nL2ntt/9SFn1kXmuZmCNAo4EaLBqS1UPg1Mbtfb6jfF4rmcVqGM86mrhPm++11zIm7wk2 E8CeNOnqVGzxnE2xxo9rdHSrzL0WMRkcWVXczu75paSwUukLyDsom61VRV3Lm+wuaOoBwdMvCI8O V68lTPwWS8y1boNd4vNk7Ozdkovzea1f/j/3WpY2dEk+S+8cv2KOsyjRfBUwq9dP6FEqwRdsN6ND /n23TesmcLR52uc3T7DB4e+cV63yIsp06C0wK6dqgEu+KNN9j7Rtw0FAt/qgPjq5IDyNoQD3zvAr hkC9MwVnd+p+2TxFsbZEAdTA57EEcotHSb05TgM/lhOMonkya6skJghHLzNBYycjpoEH4vkNm8DC lQodUJ0jI8EbxJPCi+8EP57eZGxPqVLNxzGNanwsHe31eef3FRlqa55wndGIZt4b7p3eV0zNoyem PITepVydXrvntUZZ9c5TFHBvEYbWlGzSmrcePsFkh8gwQdVlQnhCclTglc9KdGOaN1QaAgoH09Rp sXOC1+EDpwllZTmmoeD+eef2Me+b32kJBcY7YcICO4RH+MbkFA+XkDL6EU5PDhPylK4CsSzBGQ4z L3aiVDzhaPNUmYMA3AfAAZAHvAvhjQISPrAf9bGzWKnqjYPsjXpdifwiDcreINhPd5+TQaDDEh1Q ww8oxuiqLjWfpvfIGzVZVcLnnabZ73LSDiSSbObk5d1ommgGDvOheUfL3yYaruZvde65E7d2hefg 4kwIC2Ved9ZfmapZRqcTq7uJaAqIxVgnqsKOXM5NZ+WiDBfMk9DgXgnImUjO6mnuO6uPfx4JkLLf 5XU3ugN+zu/twrCpeBb3TurjjSCkq+gyGi2N6xkC9LS0AZmh5j0EjaIKbsasAclsx/igc9M7p48n amUG17MPvKPm+aGIJwHeoHzeKX3lkV60C4+gtDXvvgtDcIUFzQ7vbB4RcqKXROzUgvKaSRSD6xw/ iVlvd/QY/ORvIw9agW2wG+XBV/lrTqi6nPTFUzkgPvXG7/OkGiU5dDZYu+CEXFL4nnl/3vl8jIO+ g3BQiZjJ2zRFUThZBCcUL/OiC1hxo+9lK8583NkFmd/ZfOUqoa9sWNd8sa44N1AYKOwVnJ3eq1hX cy243xH/Na+lBZSp+T3v0zSkrt960eBCuP1kDUsc7c7Hgxhzfi8n3LRrkhnDaXoPxKGfK4/Z4tWl RW6WHB2XGRqfQByRn6q5USQuh6tW1FrRaLsOSmmwCyB9YaLy4QAgnJ+SC+pf3aeuN36fJhyfUJof xQcrMMGplUF5b/nzzuLDH2z63kXQvExVs15vWBBF4wQjIC5bP27W0PNdT8uhPedU8eZTGI4z5W9F 0lIa7RznXbRJpD0n98lgTCSnwZRnDNx9BMN5i2DqjaOJwgydIy77oOPpnLEiSlXCL6J4PP+qoUBE sfV1i5VRNC6241TlhNMkohU9LfjLyiH0Woa/0/e4nlXsTv0ZPXoqJwzo2JfAqcBnqalCgGrYpjsX G7+maFbsjd+vuy32emdpl4OyX/T0l2rZJX/euXvF0JJP9AR5b2CBfJ6iaByJTRbm0dS9y3Qz7NGK R5kNdGTN03s0juhJQLEqfUGOF1R3rrSCbu45ik6MYOL7AOiz5QTYPIUq7Xxi2fKdusc4Ee2TUjhk oKab/IhAYZADWZ5pts87eY833veSgsDPGjeCeufuYR0X0tFW9sRlt3p9w9NcNlUdGbWm6j0gB6pf 3YFqmsz1ajLjusP/R9PZDKmulTVVRADBLQfnSrqRZrFXjkIowsMkgDogQ0GmTy0ExZoJwfq8E/fw ouP7LIdvR3tqKu+8PRYw5SfzWIenxxE7zdv0hYodz/J32l4xtQFMkHq+HG3vQeFkD2lzwbShqTGX J2Ah50rfMDSdj9wGNVzeWXtFvlPnnFoU3eCg0CCDicYpm7N+3kl75TEJ1TyhXrZW8lr4O2cP+XNd 0te4Ujdl3L1H0rupAg2rGLxT9ljX0UJqOqNmu2fUiTsa4OMEXMDzvkmOqnAuF+Ieuu1YoVduV++M PVZWuJAa5ZMA0xOW/szNUnALJNrnna9XhAL6KvUihINxgU1TWA/v1A8b3VHSqxcPyIE69bYKtcS4 76KCuEF3+jL877q8jo7yVqZEF4+oRac2LCcAqmhIC7nE9JzlS68chFBCz6kwzkEZbVmGdgLP/vNO 1mNA74UV+oyfx0e73ad3rh7PJxYwW/G+QVdADug9bZTNS7U7gu6dqmeJPAoqXexGjp4In7u6E1qG SHNK8A2JMCK3s2ah19mp8pZU8F165ffzCfRJyJA8A78mI3rJtkb2552qV8yiUdOCcxzGh73f9RRG 5E2eOzt7m66ZxxWAA3c99UmPORxP7xE51rP6c6a6vPfV+T5zdK4BJMPYdhUl8ibPWYhq0EA+Iz30 BK8MvXE0TXJRZV0Td97PMGR0w6GBNXKy7c87T4/H6K8QaiBN2Pe+C2vj5D+PUZzKMcb2Ql0d6Qp9 A8GhffceksMmVAme7jKNFmmef4ZMbxfKCYKLggOfg7eglYlGyX5YsvjWzztLj5URJXi7+GDIAbiy MaA+2fHnnaRXTAmSsyIbI2JQ7m0XBeSVUik8HGw5wbzLpkmRZqMgrHsQvDP0sO3k7HjWUbrjxa1i ZS7W6QZsa6b59SHA7eREzUcwkBDfd37es+mmfD8con6S9tZEdDtp0vi80/OK6dNwUsRf2Dic/K57 Z+ch5OqMM/t1U0VsbhiUmrLHmf06GL+T84r7ozSYsN7RZgnRBaCcbI63lE18FYlYPWkLKJ/5UjdI Ff28c/OKa+snwXw4GN1sb+lv4RD8vFPzeCP/OsKBtnq64++8vNseJ/nL1tIqXqRDH9trvsvZU++0 vCe5O2tl3ZGzdC66DshIp6IbbdH8uAdyoAs0zTBTdKBsbxxNE12uGtU6V3Nl4QLKGFPi80Pz8WDL Jd9yDCaR/qJFY9MUReIQYUeAmaqjesv1s+jUNVSrhcJj2HLvlLznZML2uqOf4GQNJ9YyIQpCjLPK wkSJy1jCD/A29MJRbsdVhEKMDVpMpRH/yuJV+byz8YrsMJ57DuRT6rnYLEVheOP5fVZQ+nHucNM+ A8SDDQQcqjhyhX1+Z+Ld8BIpV7ujbbmVaNTVhI8D8C7JtoixT6bVDprkAn5NKo288/CYrhCKQoI2 cDS44hiGV3wp7/hzjH7eaXjlwV2eA1yrcKNe4LWCdxqe90eAslgOqJ/ZOwiFJFrx0aEkreUUlcaJ Uk8wy9p39LMpNViFo8OZQNLIVGNMZylDEomA8exCPxncJb5w1LcjcqdTaoSDTvATdShbAVLl807A Y9jyq0IHadV2Kyrv/DteG9Qwncl9P5ZQ9bRqYO1Ikssso3GWorp4mgoDpu45jrbpzg13fupGXUAQ K4pRsQvEMwZkKKAkfOEEzFbeyXe4T5cIZfsOWhXj5ItUkkJJ/vPOvSumr8lZYW0YHt1j3rMpisK7 kCm8u5X87u3Jb2/TLzqUQewID8vi29Bfc97RUanAYKD5xLI4M2kWnjp9eXLFlBTqRukMJ5jwnXjH 7JXgFJCsbLC+3dk8ylYWfs73KNwWxhfwAnz94m3gd9YdC3RkRHmvDh3h/bDuWnZ0CiAjjMLfSXc8 ncTSh3gaR9ZOJ7UTQaqHzQ7nae66xROmUjHU9BARVq8RCOr8TrlDIVJuRPLs6BQz7j/W3ySg7edc +nzPqG/3nf2iMs+Mx+YpisKbzHnTRTqVvuYFhd9sJUE5S+spLIyLcLdo92Cjr6eNzuOkdjf6UaAn sl1OfQ3QKdhMvfhdlBM/73y7e4yPmeXQcP4bS4HodtA8CdYMmc9HEN75NVFwO5v1AV9GcThpL+dw WMXLBNqDNGbohuqBi0X2jRcVxvXHn680J/r9WKmiWnPyOWQFZ5udP022MwWl5h84hm6UCVwByTQN 3rl2xc1kVudxvlxLHVI1o1Wv/n7emXZ8z/oVFkBNYIzufbt3oh2+dC1RVhzrTCsHsRLZphLWOYNh SpuBd55deWQybGFxtKpTbbBZybztTrgKMXKRVxIdrgBNqygu2oIaVD96J9oV08I94YziggIYJU+l XZE7skTS5uedZ8fGnae/tH8E5vpsvxtAvRPtWKYlWJ4OeorGWRQ61xyocRQd49lY0TLEEfXOs+OF LSMwQ6xwdCRmBcccwrpk5++edZQ3FBABqIctSt9eeFrU1Hrn2XHroURQkWZxaAZ9ShAIYiZysu/P O8vOCxpPixOeKECj2jyF4bhMjOmfJjOGAQSFjCvoXvU/Md/gvcwVFRXGW3X1gnJHW1HQNQLIWTJt 584RKhGN8wJhx84GWHmyu65X/gv73HFPTfON5jzBEkp29CdI/7xT7Mpj6mhHOaphcvjgPL0H5ACt TiEMXQS3kd0iPbvZLR3eJN3yynsn2DmOD4rKbd3RjnJzLh5UEqmgRtHsCsEC0GzkGuOksdBgkIrx zrAr7rKziwLzYgU6QHtzVtPlHICfd4IdH/+eJxipQYfJ5ymsiw8in9hs1r7b271UUxkOgN6oYQkk HtbFh9K7qSy4zP4UnlI6QTLSIGiOzV2WaugVCx7tJTjzZs+CVVJ5Z9chC6Z3MWWKbFB+BxsUk79t 7fNOrmP3waeJVaYM+4NZb0j+zq5zJFBrlGTglZcpx2gUqOQIX/zhuvLeyXVc0Jyg3Fu+o3MyNsoy AIF2uFRCRVjGYTA+ALAOumO3QIeU4PPOrPOiCryg7qDE5Xy91cX7Hp93Xl2Re8PXckL4ixjOZikE qnQj/laHFtR8taf7drpmr+5F/86qK+kW6Cg1ZaMVVUDJyFSbQ90AOY2BpuFzMuDDsR9xh6nVFFXF R9KmM35GM2QBxGLrUqez1c87o648rFY9Bl+tCTU3m6UoHqfaLxTM76ar7eocreUwOgDWbC3FOBWW nlrR4cTRqwUnzJyQQGQZc8xapCC8YAjQISKJve8tO6zBzzuZrogMh7JMvYP23BzitKHyND/vXDr/ e58gM8HK9YJXWxiNi5uxr0rBpsOZSb6T+sskmOgO1FRaCBgvSv/3Xnf0ytOEzjTJGeAc7pGbsTkT ge04SW/liXVnfE0QEHAtYeZ9EPgC3OopQ/rS8HgQYnYPMWUTxgJdv5FTGIvjQDv/YnfZulv4LbBU Kk5hIUSCJ3hUE89brYOprcfRpukE2qDkbh5M50Ifq5o5EeqYhP8MarGVrxM8CsWXPFThGmSD9hw0 962OWQYeDxAF2UsqfD9wiuhuYUyfKBbHZiLNN/+4DpvpjCFy6l6iqzXZPPX3WBwlQtZSmrhOGr3e Czh9B60AYJ7zw29VxScdPChRMG5qxxYPviZoAKsTVVv2QcsJpUyBVM4X4vEICf1deUL9aOTm8WWP 4nBkgBCjv5tu0cqUs5QELbDKk9XneoRRUcPuvKMECjjaLM2T1/U6d1OHpWzp86CKPMBKwpSRFa1S AaVBelQVr/RiWo1YntWsCljGJKDxRwrmeDyijeWvExwRU0Nj3qYpDMPledm6t1g2xeBVKXA2Gfq/ y4wDelQVNzjBlieARoddQLKvENCXAfFNVaq2DTBdWISiil+vNI/0sXoUhnO7NVL5MQw0+ph4JHp3 8I9v5dMjmibqcQ8UOk/2DJcfTz0maibVVFzc/Sxgx9CdqMTF3c9FOuQe16NAfJq2u7usr3SpGp2O atDRUU0lUyAGCUthqeDc5I1akSr5SgC4R4E4hco7vHg0VANlTogBqYzbOx6P+KzfNTowB7/aUT1i aw7aK/RmQPHzjzO5CH7K5qBT0Uk3UGaP6ZpW8i37jh6ID3DHYQwJJ4p2Vo/EnjaQjpsCxJLfViDO 665HlXHrbbakRjDyHEk3nISoEWFyEtqJ54N5GvVrQQEsP2f3hKXHcThqmaYVxnLdzBcK3ZaBMBYA zLaeQsqmsJhY/ne0626c/wD4ac3Nhpweay4BW78BpsmkINgBVbPeOAgLZD7RZXJJVDyDAWDRrNNS 6sbz/9p4Wk8NHTFHXvSwNg5c+4lfWjNBrAohESsUwGE9S+ACpXoWCnociXPftd7GHS/YEP6WbNKh R4GOsqxTO20KaBYHx4IrOzr1ytG+swUlHT/gNoRZHWnIuQ2FloIPiCB0d6bwHMSbSJy3qYrCcYoO oDRXrPZEw3XWngDG3Ko9ARfQVXvqUTi+xZCW2ZaNXqXLFHJkUJfOFVFlv3AiQ4J54NBaGteUjE2q vXJ06VGNYBFzyIG1gjmT8H/nG1PD41GtwNMWyZlDZSrfozzCjaNwdlJgaNaaaWpazauZiZ1Nsrw6 DRWwpCKQSpdZ3rI6wFpKSGnXmDY6nYs2HWgJwr+B80RTE3QrTpb9SKYAsIQvivCrtGCqAvpWB/rC myM1eRgvPv5fMJlIQ39gau4TFYbkNHmeTDNopkCIsSaq0TKLJqCQuDkLKvGTop650RNTuaOXx9GN rPC5Bo0TUvdbTjkU4mnIHNh6s2ImBTzxRe+HlFyLJxlOGlQTh12YRLdnmXg8WlDlK4rKaKLPevk/ I4rJyf9E2u1FlS1E3aTgb/eiitTZsaJGVB+nD21q6Dfe0QH2I1MCNLGocq4/W30gEsLwAUtwOe+u UPkW3xMVM1kvkCQkB4FXz/WtexZKLXg8CMrHd7sFxL+xm2fCIwrKYShH6NbDa6nVYyhaa0k1E5VI MfDj4rjaCBROtvGCfM/qSlkbD83hJpeqhQIs8EqIDfZVVgPkD98TsTjZPjDtFNwgbALXkjd1iyCK jHmKi+P7OzYAS33dPucIUeOJPZZhqPqTFYuIsJAKu4QYrAediDCi4jj1ylMzw2aMDJCZvMBbD8Em ipnnrBpSYD3xa2PnmpCPa6BwroyBrwmwqyzOzaRdRyN3zhKAQoQyn8S04/H/wpI6JwuOfY8MRohV 6RKsra4LsiEb7tWn2zVHUcg2XRSRL4NV0A8ao6I+RpoIYLFOkAhDGyIbq2WTjQtx/v7EBagI4Wui RotSO5rDcRA0p5/vk6Yo9AxHWBiv34geHIkjX8rdiOJxONghuGxO1phsuQlYv645caUoM9dSFI9P URKzHLw02tEEVZA+YTMLGRX46TFZBiMfjVhcC8CnGSV4knI3osI4VsMEPIhkOcSnIjZBT0MelOcg zJ8RcjgvsJ7786ySATstm6coHpch1TksipN/ZvJWsAzXbM81E1IbUTy+RMvckkfRaMETmo+ZbBBo FbVck2qZdE8fHRyA+RDxKVgwQrz4ZkjQZvXBQoKJehkvvTHxeHQyfasVIQtm191mKcSLC9CT1kVg PNldbdsb5rCYsVmK8OJVSk55zWd0QA9syAYgZrjo+shZNYUOzbUOIVDQai5avOqFg8UESFlvYtw1 NkV1zy1ItXgOPMJIfH/LFRTVDe9aigLxlqV1tZx9MMZ0FRX4kjuZJXtVZUSBOPEfyOmy5XYSvOOe O9OxWPFAuxxM2qJmFJvWuN4A1vBZAkIaXxN1D4RYlakE9ZEEokPisE2fveP5iMsyv7Yc2rejPHo8 YRw+pJ6S5t1yrNGRv1mH0xIpp89SwYjjcG45JLt39JIKzPLAXKc4+4KNF3ublZ16KIhQlOFuOoaX I4zD22CTnNrskBo7H8FtBwCi/hE+mfiAoFhwD3Ee+OhHzIsqGGEgTgnt1W4X2EJNOQsmDwh6dlez EdXGXXOG5QcbPQmGK0Kj3WhG1zOZwTzB0RlTBivhxyBBp3jI4JTAE7Y1ofRdfUq0GxOt7OBnVfB8 VFX51WvZ4CRfGtkMi+OEZY7SHKgyaG5LUb6e7OKDzKpX6WaoWyiv5iLreI3e3VwDaOdFQyU4JTQp +DXUPGc+e2mlxxqBjOAZwlRUnMP72CAcHfyNzVu3VDwezNKvZjnkjChCYLMUxeG0tGxdKiCJrB/D YQDahkWNmGBtdTdP5DRDAqfZNMvRRqPF4VTQmwhsUJvZuAeZAE/8OABCTahR5gfmu/TKUROB9LHM pjkHm6dRiqD1tRc8/l9ABfCwGvhCm6coDk9Yg2ea9pUraNUJwXU8PHz4WkgNK2RvVqv1jnJHB/NM SM8W1nwzXDdWN/cIzFfBzQy/ygvmoa7DDKvjlDDsldXx7tzHs0TLpIYA1V/weIQ39PuORXWc0At8 UZuoKBQnsBHZxVUxbE6/Tx3iRdYIBkdAE/WP4jhKoHe0sKCg/YtuF8H15+g0+6CMEBfW4D/wU7om sUsvHGFUpKrKJ9dm954ikauylg8mz8TjEZ/lezllRAnnwSuuFsXiVNlHAKEI4Ly45MKoQNf39Iyl ORt4RrH4MPoF7Gx8tPUE1bkMNQ3uO2jFEZ9RJnr8GyyyQv9pawUPe+NIJoQww6XelHOwKpzLkgw9 S694PGhxtu8WgooyyYPMGZbGNw/xfeUKOrcLb7uyLKgipNQ8EGYEUWH5Fhr11gmuN8icE4qF9Hc7 P0g/YcE2lRCqXqOgA2Knh0808JxRKF6hVnBOpd194JeceKo2ioiCSonHo+rcN8gXId7KV/1iRhAV ama4xBohKrLBo60GwxgeTmM7Y3pGhXGiBs/sZGsgPD5AJ5Y5AQOmB4tpQMZI+7KgNX5uqPyzHwkj YvLxNX8c4Wd10mQxcf2xSHACTvQUsD4G3/JfRQJe/qC1tWfLRaE4HbyQeXnCAmS7NzjljE6E77wn U6hoWJTOLcmuyyjnx5h2EOhLanBCZ6NKhe3E9wwIwN78ah5svfCfsLBZ7mCFuXNhsUp3riM+/i9Y mGyckfymGzZFEBWil+Ef77TN1a9MAfSZ7GCi+5hmKYrE5RpcNyWVbfTCHOjYDSI8FOpdVPnhwXR+ RlyiiD6ukgqtifE9EQyaCk+AMExg/eCHwwztxAPU8jwHcq54PioS+J6T8Q2Oge513hnyNhFGAE3v 91xf+8o5MDdj54Aui5qnUEhF+kW1z3xHh4Wxu0mAKKA88KxwTdYJ3iTkL8ZD+1n2xtGmozA2Klo2 GOJpsY9GSmLH4xGW55fQKib9gaisKAqnKhvcTazmBLdky3/PfE0/wMURwDStCKJC5RrIO7VxR+cf FLjDEbSa2AIuYlZXXMQDGgEoEJo8iDQKVghRAfYG+UHzQUie0uHXh+87gR8ej+SL9tfRNLCD68Ve rLAaTjGsRc07VXl1gHOW6p2liraeZimEqLiPojjl6+GUn3vnXHTwcucBvrGdeYwlEi7oQT4evBPa V/iaqBgukVWKqEyIT0mpF8qiWlnnHC+fFQXhv+FzM6NpcAtzKwrCezXq/cPRqJfMQrsdl1CxE3yF EJWt8u5QwzwPQf4YW0IVk452Z8+N80sM0/PDyoBQ8M8q9YmZ1k/D10SFOVZRgEy0QXgnoCemFtPi 41EtxUNw9YwrKGRe5l1RBE5hvQYco9dSxvJ7jgAAV1p3wOoKceLdhAnmM9piwhW3sqIBGHuDssto ACppA0chaM4eDRA8t8JiOHVET5ZDERUK48nNAB7Ioh0MqNKG6JT/I7lKbm13eMqKxcQFTzFHESQt 2QsEuRi4hzwncwRcMW9Tm04EV433aDoh3RomrXZCzSFv3FHktDjZg8oPjGfqlYOWJnu/VBQE7+As 8ya5ppP5UNiB2kV14hP+he7FksKJtlK+Gy8KwwkPbKWV7YinZt26ev7tkbyWIqdJTFWooSLST/8e NVV9ge+bINXcT8wLp5EkxBOlXCuYwQVVQfMDTP7Kf0F5RqL2Kgdlv7Wgk6B0he8ZIZ68TU6MxoLh eb/neBSIs/lP9yvNU68peVezjOo1pz67UTRWGIgXW0qitHD0rXcWlICLHUyWSgYvTX8prEa/IyJU BLvIuu9CDRU5+0Dri4NBckAnS6zNnUuw4fFIN2x+zRPC0gUAls1TyN6ktvhabKtwylTjZcKi0gEq HH0kS1hWjBYXJUoAVo02T/D469h7sgHcdIHEPOFvzZkWSFxPBk/B4YYv+iN6OikcBbSJyhL8GY6i RNANVOdWWBbf31BDtGhWL/coj4Jxaga4PxS7B2tuCzJrb26Hm2uz7u8Ky+JSfxzT7BLnY5dYznY7 Fx4FxgFVSTT4oF0iTlN4oBFZov4vSQr8oqB/wHLTQMHYBsF40BhsQkKf1G5FwXi5CfBgOeX8SLS4 tIkKq+L4w89t1KrndhIFYXluT29tbgpGUIg9ljWUiq9KJRq9TnD+OW1AgXBAnVfb1j+oKJVlWtaA IewhFFWhVxSOC3bBfqQNatqdOE2HInr6ePxfC4qHGVW5gDDWRO0oHqf/4bnyvO6ExN56BvCbcdEw Vpy5onYsM65LT6mbxlvHrDCQbF1uPmfSJBq2EHKgXUDOm3vgsiq+o3i8kWM3ZAd/hrObWbo8YetS O6pOPh5xfr67dqi6TUD4bJZC6iZtqFHP9+SuTNN8TE2O1CakYqI8+x+OPn3ufUefpXPjgXlKzbCB 1koS2oncnwLmV6WZk913ND/YIWbcSFGqGfRbFe89UV1EYuz7PSBH2+9bFboAJvqQpneIGW86x4t1 N4Gyr3Y+pcfdHALFNk8xZlxweS8ajHuOrwXHgKR5SvCeq0qCJTwPQcAMqdFrEzz0xsE0DatiTh8E vCio8jYVofZnx1Iq3wzXTDpp8ebBDpmbWATfWux1rXuMr+41lc0ThNMUw1NMWq22OzqfBZUUymZ2 emnlTUAUwE7jZ4MUlsEg9duOymo7CsmlJrqqqOXVD6ezSgwrCzIzHv+nJrSa5dDHvWHBDgHj3HZz NVc0XKu7Nan9r+T9oISneYqZm6r2dnMm7d+gsM66OrFzJ94sXJxslkMjJE2aznqFDiQafM1fliPo uBPGgx4D63Jo0lPSE7icjecD5MX+jsYV3V8N3x0qGi6KYVEtyaVU3OsW9ByfJi4WTlOIT5FkNthP d/RyL6hRuSsNXnDCUZsY7gE/UDhEuHiFCrq9cOSCRNvITH4rB226VuaqAo6nhccjnb5vyCr+2wYw 1GYpwqewlI7Kk3uzIGd1chT1PE3lqRkmbId6hqYQsiToIEkki8X7BN2HqHqkP33KBKAgaYS+vOkX rabsrumNI88xwpyQotmgei+sokjvg1wtHv+XTkGRwBhMbi+/dYexOFXQZ1lOIptimEsNa/hqKuxb cp7CsrixgFFq9tFZGnlDMJugmQl3v8V2TG1A+IFhxljLZUT5p+N7ghCTy2kthuIcNE/pbB6R7VIb eDwq0f0C8jTAnao3yndYF2dfEyxdn6Z5N92o3f1ZGsW2ACfYMULF9Pw1XRx9050T6cTjqqqczyru WpogxQw0JnWODZ+yp144OptYohvMW6acIajylNnGRdGgDjz+Lws7nNYLDcN05ep3FIc3VsXPPWOz BBq+n+Cr3xN8okCkxRShU6ZBC1sdd/RCZquzwhYWi+mc9DsJSo4a+U9HwAjzEzMsT0x/9z80VCqF LzjoooPnM+8MMD7w+L8ckdgCylT3QX1B8yQY0Ov3EtGObW4Z8JzkSxOdIibQ0nIqQqfkFAbiKuN2 2a1otJLKWWoJbC9mLEDPsawBqzwLMTsauDBAIPCCKDV+U8ByzdJ8JEVjVlrisnGAhFJIHpRwNj8i Qq5+Yw2pF3Pik+v6k0IS596KyAtZLCcTTqhkgfsDM+Miosam3YzNVxySKwXe6RktFT6rCerrhhAb mf8IAAZyOfj+nECgM4gSPZEhOb7pL35iwYFjg5rB0h2xLIDPB8lLu3QyEhSB0AaT5M7We1wO0g6h PFJ9IkisTq/XVTHKJlud19w2hbBx1TbHqu2OjhLr0PnvW2LjqM01zZbxf6g9sy9dY7NwgG/6Syxk Mr44A6BworMU4GOxzsaEv22KgvPxS9AIimsD14xPVlQvFz+4sZLK5kujCryUn9iiPf8rlIe8L5xT 6LxpWzFLoZ2jbcUTKcCCnTkxIePCbWB3nt/qhFWT6hU325MpQooC9E4p7ZnSHVRmyTi3mCEDZ4Dn o5r5+oo80SVic9rnKorQVQimn4MWFsCndmzRBFYLawJ8ZwsrKpoPKT2u1J7xEstSpaAacVBnjRX5 kZy9BwIdyBAF5i1WkcrkvuKbgi7MlJIYkSscdMYvwG65Dc+s8fmInf+tMmqqsA+2LqewbD61DbOD 69ibMMIikUZgngN6ZFpZOcXa410J33xGvw4z1KJTc2mjNZkfn69CKEJgHUHlsk0qKW176QiGyIJU J+qAg9+Ia3ejJuj5yB74m8GBAGY/zRhRHsMcuREFZSpQ9DQCTTHRblIqUB1FRDvgo3h9Wk24pWe0 uTprCBA7xAkolE+K1qKER8v3QiF+aP/bAU+hDn7TXzHWkOIKBxVdYNc8lNjUzOeDqstF3ut8L3AD y882DNVWuumIdJsshkC2DalsTDbe7F4/x0cFKI0kcRAVfTXakTXhjwCtJoran6mDNxQ9EiprPSgR 0sdFRxbEWPTSEReWJWHc2xiqiWaBjEVXBwDtGp+PuqHja7IQSGxQ8H2uwsCdFc8lg1cWFXZybtBY 0wGJnZxpbcJIdKVmbb7hxap10VGIqSo8FZEI5gnDDQmRo2U/EWjt/Shsy2gqxULkzGyS9KCS58sn LCyTWWErbfD5YAtWLytgARHwmpA4+FTF2HJOVbl2ppYKEpS4i6eCDY1sm6qwjC4TyrF6uaPnzDBw zpm2NxmZbVe/Adzxn0VVLrKsjZVX/Z2DSlWx2ssdBEtEGJwkQ5cnn/9XQ1RNHFDXL7g8h3adU4ZT JJJyqs7lfUXGSEwVTCp7SS8Hfp1XVYTebT46af8kSufVqUiOv65tRWMw/v0ZNDfdZVzjGzqa58Cv 03UQz3QnH1SG2buyE3eCnDH5fHBa/ZJfAfFmgd/nUxWF7q3IADZfRBl/U2XO8l000+7r2BmhW0hr fxdkQ5hQKayCCkMe0Htkg72osZV1TJm8H+GJ+Q/HTmY48GOywSoxEIxnxnPCNj4faf9+cz1Rr+ZF 6jMVhu2ZmlAy2+A/ZjMAAHeYHXfsibFYTu/6qKh/3K2i1+5oi+okAwzdpbl9gqql9LkVFovQqqFX rk1VafbOURuLeGC5B1KISxXfibhMp3odfP6fxlM/1DSHzt+6UxWV1BGXo1Rclpdj1nU57+uqkzf6 r2hRhUG7kMHglN3R8HcnFhpnkxOnOADkaELCEH8JG+AfwKlccGw0e+Vo+wEF1Lj9NAh/d3bz1km1 YJcbGHciWPD6HlXYwKAe7RbVc2jduVRqqI+aVk13qlq9ytupunVn4N3p0raNfEQf/aiqEJ+SY8mE vb1ZTFTyhenHPG8zK/fR7Z0DVAKBZbtWKbrXaquq4s6WvcbYfD5oI6+7qqTgci7gtp7IKrDvNMhT o16hbcFRfQvOfcXcB1VmtAXDkF24zpLt0MrJy8YnWmsQrcNih5vJSQuEpa5LdBTKn80Ln+rT3vkv MN6WGukuVp0+V/ZZoEnWb6vw+UiO9Bd+CjEK7jWfqtgwSIZmw2WQkOQ6E431DVH4UzcEbA4tPJ2s N9X942i5YEJ7cQx0kDJsgpZY16Wh2AdJdIBO9q32qYIVenhOO6bUBXTXRYQnWUTB1rgBooB9P1Y4 wjKcZfplTxnYeCK72UJVO4BqqcbAuarFtVtPIu81hsDHM/9/Zuu92N+w0eZq9AX3N0H0TxbUKkvN hY5bwHYktmivDXqt9tIRYZbsa/qha1BohdICcR3wwObzEZnhF5cf5fpRrkNl4OWZRfdrcNd8OB+O 8pzT9LlP1iyDpaSPiiDo0hpJJmKT1p0r6GyWhUY/tGiBLCB/rVD9/sTxFCHjXKlf2pu9dGAWIMJH WdsHoTnOdTKEZ12t8PmIbfWL0oCPQfXO5yqstxeh9YsDqbbapEgEF62hCaRarOkyEYz9POVuNrda zBwdqYBzvQNpjgrDkEYB7dBxBBQc7gXKn5dwNe2lIxlX07VLPti6OhduUmcCNeTQ0rOWb+hLJoUR 7k8+W6GrZ1cqSHVa7UJps0h05MIYJ7aNZiu09aR5PdlW7Y5eRIYm0gnVJYt09gpqoJ7f5ATqU84t Pc2uZi8d1BiWJYNNQ3Y6B5zpCdCro/L5SDTxJjiMEDD55QkbQmtPSH9C0RUD1baAxDBmKG4sMUPP LnTMZw69PcUePn++QYXKhQqNc+KRkMi8eVSal/DIQjq+2U2FJ5vLt7ExmENvT2m0zEXQJwcd73A3 Joah5T75fETJ/iVgDkj8viaoObb25LoaQlwvqoSR88hdKOr/pmAE3ak4VWHcPpS1qIeq0WtX0JRc zCPOJoReCxNGOHXAspL2nC0/VdGa7KUjphpjq8QcB5ovP2LxnX91Jhb5IQXDD4gi9/V9F+IA2PNx tA78PU1RDDVkl5Jqo9lBBS+vbg4C/PlstmKAugwray939PO9oYi+gYg7eyPRTA2zlTr73diARcKA dhdue+kAwlDEYV/isC8TUAQQWkWC87voTSMb4u96Owq+LIn4XIXeQnnLlcKgHr10czwp53gvnjuP 7AqvOTD5xF+gmN1sc/KXbQ47gmg4q6E6q2H7GkK3wUkGVc4XFj0EcuzyCSIWsgkONFAinDGBhkXe 8Il2Jz8g6k38Iq5BYx0NCp+rqNreaJ2zar2FPoqjCRbT60UPwX7E5irWbRG2UZbyGh1VPE6cVYG7 AvFhgynCmJXa2lLZQy7sguZqTYROn+oXJ6w+G7wk01eRQV5tfD5aVuV7DwKkMFZ+1lUUuzfqbUzG vESklZRdrjsRFScpoNG90he4faL9zAY9wR0+3jSnnvtq0iru5IfncLDWqzKfc9IDQOi6UmvaK0eo j0YD8FV8UFGU1J+lqdp8PgrdHakuD5iBpb2eqQppo6VKKql4UXROL1/BjcHxMYTgaqpCsLpxj/lv 2OhFmYZuIWBqoLAB26E0Z7dzt2ym5oMAmSa1mGnvHMxVE/ZDukDDQBwVHck+JH6f9KL/RdMNi7jT 3cemKorcjcbW0wNMu/WrQdCJijJ9e/IceH5aLxcsPwPJtAckU4icLbQkPgf8yROqkGm0F4bjAnBW Fl21Uu2Vg1uQIoELSF8bFH9DbYjtF/Db+XykTeIzRfwHFIE2KjM+VVHgTmvqnlpzD8I9ijGQU3o8 CFed3acqDNwF6Z+snNt4W4MF6hs0ZjoX+bn7pOOCI/6HGjIFFxsKlDys/J3/gPafg50i5wl3rVbV hLEKNSJO7sTn/wUpyqrg/KzyNHBC70+iCTxeUP14ef1qpnqN0wFk0QYMzT9N+w6+OHe0VQX8Ckw9 TFKCPhxCbFfWMKkse2GhxKzn0P2TXl+dR6ENhlDDoacoC5X2wP7zu4fKmBMSWqukZ6qimF1WhGq2 qCqa58U8luHhQmHpRlMVxexLVh4nh593tKnaxAX2IqRxwck11JU4CwoQYYA/rqyETKxyYAEq+BKC 9Vx90A4cuCdUu5ubz/9LV5FRBppe4wEUxSagXFVb0QI9umlPI8nAmh26PtetyIQuoBYtDeNqjYer BbHFDDFBbsA2dstdUSiIq0ADICFLDjfm+a9vinYg5wpKVRjwE/GMOjcrqJIMrXrmB0SA42/sY6ag Ptold7pCUin+eugfemtwp9vvgnu0bUIghX26wqB916g1CIQV6H6kADLUJOQW2CIq5SKRhkyJ606p PR8YghqX+5ysUhaW/hDzOkTMrD+dYC7zA6Lc2dcWWagFnPd1mxOxIyiFqlHk9bWVr1tx6sZeBhtp Zp+sWPncqjFCFnG0DGfzBANgArLCcCmWjNkJwYhEXJRfftSnkJ3ym/4Cq+2uOcOBzqyk9pN/E4YP 4bfJD/iX2QfbgwhpH73cHBiDIoQloTSZrgv+0TLDkwwOqlZT6aJUT55DZ1Ajkcwq8iRHW1knU0uZ rHqEWR3OPSz3IX2DBBVq9UhDnZSUur10tBFVQFZHVQgg6cSnPmWhitJoYA6Kkvs3loHGLDgnfa6i sH2wNLp3c52AJEtQFrDkOsuS+7jwq8Ae1Kg75/Yc8xkvcbKj3S8PMFTDJLoI3BUWIXw/Tjq7HsZN t5f+U1+40n5+o1edzX4eBUSzz5n8gCDJSfNrskCjHbs8kxXW3MsU1cZwfW3vy4Ov6/YnNgB4tgtj j1Dtwm495V4uVg30pAXSNU54zM0mQuuc8AjHAFP+qfXxblp72UsHu5AgGYpr22DoqwVAF4OI1fl8 sAnndwULUM/10CdzaBOqmjtBl1YZzdtNrsYyhjzsT0f1uQojdyH75xaBkqMf7w0KFCz2ZeCRz6mu OnJGbaagZArS7kVfqeYeGoV2Uk0ncEg2qOZeIC6mDu5afD5oqOb56zI8uxB0rDtZofSLRKlqGVYZ HWuka4FJw0IWStr0ymjoFWpwPphg3FGTBTwqAOmN9EDUGUqRDDm0Vc9V3NkfdFnPkgXrC8xCk6Qo oBmwOKARIchHhwcVC3jnQuIHBKHDL6GzRn/ua0SQQ79QFZ6X+JMMSSUCp0Z98u5zoyk7Q9LAMBQx iBzBspkUZTcpOhHpgIpgBivo7MHVqCKBujwMCxuSwN48e85UCuH3/DVVlNPikA2aMEH+FCUekIbA MNSE625O2KnYMZ+Z+hvivtraXusb1RkmWYGXXK+29+lDw9AlWZOSzXmdZis/hpM5iXhO8kuB5n5P 8uODgPVMSDwwjZYSDkVYgWEoNjvz5loIAkHYnM0hBjBzwhQgZJ1Dy9B0SUuMx85XQj7+7sHQNLSy 2Lfn9mW1dvdi38nOvE8/xzB3+hy4hl57eljG3NELo+jUg3VAPg6VKXmoVcDbBo5IZLGOVEv+yn/g P9qUQtykPT3Tm7NyT8zLumgqix8QKVd6Dbnb2X4WyDNVoTi6LKBdLgC1rLuuRr2qOZB88HUVhu7T 8FeEoWm8ULUTgE5o0Z78Ga7iqVk2hIB5wWFiXEUv5J965QhXi0oDdCp90NVHsEzTP1Y+H4H6vtnM sJaZrEPbTMX808bmTS0WMdBqxcIriXqYAaPH7bF1qBbKmHXc0eN2WHBnqtpnukBT1uhc70gSyNzO e18G6lSTPvQOncwFlzDuHFRBPvNE4Si5PebQPPSxuTBcGjS91hO3h+6hVIc4AYHVjYGA7EZbSlhi P67s1byZGtiHXnD7aPJO4ehtnBOro4tK+AeNQAh/KAVIT5ID0Ma59XZLckIH0UaWfBfAtkODiXXk 81dIuQhSL7nxA4IqcvoFF0VXaF+bmRyYiILsUB9VPSk0Xn2KVdfVHiy7+8oK2ahW5pMeuEaPRWs/ /3Wx3HeiRagNqdrAei79bFpbj9EMdfVyYCSavdoAeRkaqHRJnpyZX4VYpp5RbAidRMtvezU4T8Ll 8k5WVHGfpIEvSj9psmZyrd2ZR/PJQhXcVlYEljG1z9wNDvkE7gve0jLXoxheL1WElKpmDDRkvw6s ne2Vo/agml17+aBdeMK4os7CBnUpsBNFc+Jb9zPD4w0uCjZVn9fgqmb1q6HefOVRa9pWaFgS2mM+ WEmaOMvqNbSqoO6aoqWJya7rNbOwp6Ao31RDbpB4I7KdeH5cnrs5BPmkNwitXgOratZFnfr+NmiW 0OU2z9WFp1/m6LwjE6sfsvQZgMINmuVjHpDRDAl41ZdXFxaFtdWapwkNm82UItLGC2bI4DG7y1t8 u58QZHY7BBRxeQDwAe0qofmogDFpl4kmplleQEYKX/M2RSf2VCcQzXQbNEUFYYmsHBaefpsihBjF pkg9GnJ/U7c5eo2latatLtc7E4wr3Z0fW76S8gWwY87RaySFVWQC6V3+mBwdSQssJ9B89Kmf0Jw0 nZ1NNWC0TZJHnZNA9tc4qhpN/sw3UUQcVDCGAwKrxyc6a5/XIAoTbLo57pExUdbbNkOvIRRWEXVO 4PPj1c9+dZgWCz7Sg7nOKa8BVEVbSwDaJGwoRwvMuYoKzhyINeO2M0fxRvExoIDAULMZAirz8xo+ VSkBnNUzBhs1IBoKjLH3SbF5XfSz9j+v0VPN18XQX639NLk9YopeYyc8xEXUmpntXFvaTnGV7g2t kR1l/Bo5YaPpfqMepI9OSAKcbqG71xFunC0hbz5EZOcboWlSQeGynUbB2c9r4ITDSIpnRQWXkrzS 0ocgrWq9v4ZNfNqXUf4xHGglI5CWZMEcsZmHTpYvI8loq5M1zcUXCIVunazXgKkmea+gDiV1IY7O rqnn58tsFkF+buwlUm4uyKsLmoON0Av1R5McVoM5WoToAT7lgzZNhbfesCLe5zVWqvJ9+Jqjs9XO n+tz9BopVUO8gHeta55OIL7VusAvJNaka5gSzZHpxNIHwka70gDoONl9ozJcgWQzVTugdwFfMCT8 AG/7XmNe9xokVaOKnLBi0kectwQT2Xb+3qy9duL7z2uMhMNszq85Au55luGX2muAhAOb8nljjTtH q7upTJ1XyZo1IWkPhwe2VPOyUGcc76W2xjlmgNfNkK0CZZ3rCMRblNAnKcY38CYV/jU8wm9BOD8Q Sz4I8Xl+lEZV/3YCrM9rcMS3zF9zBDjg2NR/wRy9VjSx14oACA8aiIblynqV1klLqBhB5LWeiZ0u eaBpnqEcb+33JLLoVCLehnuveN8l0behshOO7rxhiFfXCwdzRDmTKoRZXcu4Q5SAYAKM8OvzWsvE tZZ8HWFCcMLsThFrfOVrIbM6jXTn7sws6A7bmV1n8zmiH5/UOqIze5qn46h3vGjYTYEwtvRazss7 oMTQQZ8MGJ5+cXh0unotYlZ5MAEES5T1SlQ7ZPvzRBHCtZwg5fNawcR5tJLNEZUqyjSZQw8hoyib ytMwJ7olTMrMaCklaliSFslWkmiR0TyZM3Y1r8L6yFJN4O8WhdfIiqyCdZzDKVOqA9caEFMu/qJE 9z3S1gUB4zQKDxfXTjk/5Qb248fU4N6Zfthxv0JtVH7HvBHAO9GvGsIQxsLudVVGKzdKSs0LTe0y bcNg24BSFDm00c5u2BCMc9UT1wkF4ikRRlbpAL1bpCV4i5jZ8eed6MfTm7d/lk2vdA94wcFJhAfT uUA/7zy/KlttzZNEcs41OekfLtBRdMXh1O2Iigx8B50f66Un6VYymhy30BsF3LJgOHebsJ3J2fqU OaMUeqIm+oZGjs76AtH2KQOeNG+oNAQWDqapS7mrj+IDp+l8YRPI+qTRfDwIlsY9wNn5Q9G4V48E 3jl+PMLZO29p+zxRGFMYKULgJJtH3zihWYIzXDFApRSejx4LgPQ/gHLG+VQRV5gVAcn4SKXq42tB vN/nneLH2FWMmZl9UPZGI2kJUAL5GgbeM31fdCouefb2zu+rpv9zlr9NCFxlllvJ7OZOBGeWqoN+ 3kNv8COYt/Wq0Imj5W8n+JtnW7Bacm4qqMApf4Mj0mQrjNeddVimvXF0OqkAgNIl3OitFwXvwzJl pXq+/vPO7rOYS/OEmw2MlJ+B/o5NVBR+83bChnZ5wSE/Xsow1uL77hwilsW9U/uYxinBrWPf0fsr J8fdkP8jB2tDXlxeBMCSTHx0RsHQjvFBC6d3Zh/2NypwQI/cgXcUYhAyDIA4KJ93Yl9FifY7cMrA h8w17zyFITizqc3ig1AG5ZbBmU6IJgOvT5unKAbvoraXovOplHs+USEjNSyULlHdaQLyEJeYDDJB cjcL4yzFhCgIb+SJrsHTnIPW0wkCq8WXeX/eWX3Ml28QXpTU/LD1bvMUheETne6O09PnKZXrgLmr twv2rI6JiuJwVxgkKszGG4f3hZyRnmAnzjonlYDBEBk4kfF55zmvuQWdmD7vjL5qSjgdGtsauuKn MkqlTx66p3z8PSzo69f5BHeiWe919x6J45KSEOO8te92RdF7ve5EYLFZOTcKxYc1gGvrd/RtV8mz GqL/M2lUagyfbfaZMy7Z23/qeuP3aZqapi732d7cKQVBGSEUAFt93rl8iJ52/952Cbrxvd3rLorG e5OkRPF5mvOy01ppybtP4yISw3Bcx1LdSn052jmeB1YXJM/APJ4Q4xQaD830MbAiCIfzDsHUGwfz xHOpIgGyQccTtMGmBZzr887js+DricdRrm795nXvJL7qwE3JRWma9u0O9L58mpATW7UyjsZN17P2 O3oV5Vx2CdBW6QRV6Kdw18HN+NyGQBbR2FHAabYz3xl82ACVBUtaymhQ9ruhOmPGjvnzTuBjauen uKDDZ6fDAsDnKYrG2cUFnKBbNL6eaHym5bo30Iq2eXqPxhH9iQ2TJWnD8bJhNskwUDU7P163SLSc 5BfZHRT3yUf244lly3f6HuPEydxXzqqY3MavAkMM3lo/tLeY7fNO4MPiSN9b7+Q4P2vcCOqdv1ef htO4zmAj3fKuh5/4sbahn97pe0yBlOBlURJ2viaY54iFbjGLO7TFrsSncaoIgIRzXicCUZGm1tR7 RJ5IOQS1o4voAXQ163HnnBiZMl3jxJqfd/JefYzmTFjhvHZ7airv1D1E5ENu9Ll44YnXqzoFFGZQ 4Wk7VvOduYfvl9zUptiSjZ7h5QGwZ8eN10/gNGpXlRcEmJPznVBggATvshvUcnkn7rFkUHk46U5f Viit5AQuCeXN+nnn7dVHdErzBBzsojyk5ikKyZeoaNJiFuRiXs2pvV0/vnWvGLyz9r4qBnk+o/NB y5m13JJ8GwjF597bCI8X/cmAJrRCr2yv3kl7XA+s0InmCDUroenhfigt+RNUtc87Za+qVWxnlB1R k/L/mqawHo5UqxPc7UfUTDZNhboGApUj/dS+iwriCjTrHFpWc1wVsxNhogExKI93Ej3wsCW8iGOG CFrIJqbnLF965SCCIohnpJl8EKIcLyy89EnEPu98PV/2t0wHUP2AQLXN03tADgaM5DWa91ZOblkd fJ8ugmegianl9B6QI8ZQP7yKXsXRaVUQDMxA1+LKg8FFk1PRxtIjXqV0qr0lFXyXXvn9fFpD4Dk1 6ZLDGk+of66hrDWyP+9kvfpIfts5Dh4bfTc0T2FAXtVUuUin3a/Um/ChmifKavJ4eg/IEShLdXjs dkeLNEuBKCiqo9h2INeJ1EgyxaK/Cm4PT/DK0BtH0yT6mZTexkIiqwyELCSskXNefN6JerxvvttQ nZfLvvsuqo1TGBGFOmdUTWomSOetXaZs4bXCffcekaNoTXZQGcJXcLR5mjAkBKObtg0QGBfGACUD tDI7lBYfoixKb593mp5H5MKB2GDIAYigySws9887S4+Pfy8nsgB2vrddFJBTPeXcGe1yXqSowXrB NpJsS4nEY26794DchCeScEc+WkB+Ttxysngqo48N7JwU5DcPdpxrWAeurEGQ7ztB72vT9TswiN4n 0bNq5tl9n3d+nuf9Qg/g3z1pC5FY1hoP4/EuiM613oFqmWFQ6gMvHBB9UG88jsc5S4zcffTy0zny SkVpDvKK5x+tOzwBZB6Igna+5A3etZ93cl6VNtTsWckLByOclbyzlPN3+bxz86rRLW/NFzih3rcn d+/MPO+pt61CL4t0qXuIuR8S40n0HEEQ1saF7Z2bfV2NmqWcAQZr5CIkGL/U7CpwCO2EXWWYKRRj LnrjYJqobQp9Dx/Et86QrhKwcPLxYMuV79oTKHE9Dz+Z3ll51Tn8lAlyBnHyDnmfRmuEAmU2Xvo7 KY+IJk5TS1YreOSFNxhGJ9VYhEAPuIlLgnjiNO5tyGPCD/A29MJBbte4mFAitkGL6ZyEjfcUQq/P Ox+PzUU/mKpw07CgnN6Remfj4TwRe5gkeLGHl+EuYBWRXSgQQZTQz+9kvGrW0cDSS6SsXZEy4N7h ItMmp6mciMDEJzuTDkIu6G0h5Nek3Mg7Fa9Kbxqus4nDnJbMVvSWK0tPM+XyeWfi1Qd3abobaEuD ymDzFMXhuyUldjZPvabsKPEiwAWl79CE1nKKSuPS+YFSyb6jzdPk9YWa+9l1oEOtKiNffGph8zq7 2g/jK75w1CjnoQQkiw12glfQZXgqj/J5p+BV0+y5hXHQ8fvJZX2W3sNw9NcJEF/TNe/QPXIsfWOJ nJp3/Ns5S1FdnIURhAHpGR3eBBWpAglRrKYBQx/ecxRCHNCbg87JhRMwW3mn32H90+XqpJzdB51N M6XNDA+6j5939h0xJt9nE4TizVeV0xRF4V1t4NqmZyu1eeFp5HYLKqh36giPyuK09QP6q/c7Og0d IkcNygwwLUTXgNNEVwKW5s6UFIpH6QwnmPCdeldN7q6XQaQmB+vbQWlJDuL4Od+jcMQT9Tts6iDs l+zzFEXhdbO3wtqhTAtZfzQvvnyB4a1ZkeCddve0gXPShsrKsCaWE5DnC4w+kV8zGraYp4UYYKOg U9hmUY1ASOd30p3V/4FvmhKIH96nBGgoiRu1Mt/zv/TtYAI693PXhVE4UTwTotLWLpdEhtyMm7fL c/c2yzvlrrqUPhQR9x0d5XySut2Aa4Jo1CwncyT8olMYVrbbG/Ymht9FOfHzzrhj1SlJ5bWJ9jol RVrb+dxN0D6kGz/vjDv2s7yaQroLLs16q07vfLtq2niow3vVaefi+NS0qtOpz8dZmeCdbof3zxIz mKYuMp/0F83HXdmVPgdVhmyl8BconOQKEYo6s4sgmarBO9uuyjIA4NS1fNABVRNd71n9zZ93rh3f 87vqROT96BeBGUXiECzBxsvdsM6TjVzyEhu8IYV1Lqhh0G7gnWlXTdoL5QHZp+UvnAokls9pOVh1 AsGAXSQgGApVyLBJUEyyBTUogPROtTPA8okHKAUIceVKxXJYIlQp08Eg8fPOtKuP5ZUhelB9XeuG Be9MO2bNnKgCuL0SO9pinlsOTHflKT9AYgFvgxPqnWjHDSEABpGdNnoLATquuw2hwutsmadZob8t lEfgjtK3150WVbXeiXb8Ijo/A8RByp6J588Td4i30s8v/Hmn2Xk94wmfYI1Sb2DwTrLDKp6iAq/k ngyLIUAWd9M9GQrwiFpQUV28NZMxMKDYdi8clDHP6/AyQF0cLXkpH0kPHQ7R1P19kruuVw6O8mpQ 1eKODJqnilqrbrFcP+8UO8bjt56C0wg9hz7ynaj3ePyCVsksI326FfY1qWGwzYUHPaltjOB3hh2v PCnUkENqo3fMN1sjTVceXOfUCZ5AMp/dMuCbPq9W4iAV451ix1oZI/HWiw+GfILONSPNWernnWFX Tf/+YsSB/6Ojvc1TWBcfTH3zvlkwJXOFfFKnCukdLWIFEg/r4mpxtiEl6jYerzks0hMHSLT7BB+w nmPeUtiHQ+8GnHTLglVSeafXsVjASHP05YPyO1Aci4jQrX3e2XXsPnwXC6CmgBvPQ6h3bh0DCpJ5 yvCaSt7NQ4NJjg1vvHx5Pe/UOk8wEzqYz2h5SznbGeRDVAv2GWaXFERnGw6NnZ1uQM5y1+edV/fF yaD+5vYqYAFQqCRhKvb4vLPq2CH9dd9BlFeqypylEKcyzM7jARYUdxGX+Cc7wb33ZrsuxKlo13U6 /dhoNZVzwBbET4u6UCcHsE7MBPdgANW4H3WHqcUUFcUFD0u93kGzNBFpXq7mO5+OZ9t32gJ7rQnh BJulKBynm0LbczoQepP/rj3HgoVxV7LBCt7JdE84PggHttFz4JkQP48m9u+k/Q2RLFjs8AtBbdI7 driYP+9cOh6mVPiDmqoNtuXO6cFgup176fNOpePf+72WhrLLu+OiYBw1YUKh3U9gFxM1omOiV1QG KsCsqLQQLy7HhWrY1Tq/Wgcb6jZJIn8lg11vbSvcxeBHsq9pxF8AQfE1QUCQukKm5oOwF6VCAZw7 rjQ8HkSYfX8HBCzPXTJdCyPxQmV66eCLdd+sUoCC/wXVT7fyaFFF3NRISy/1jjZLSIA79NyIXF0n cDV7R9ypnYoZNC50RObWGwfX3BYCmsVeDtpyOFuXqDtl4PEIQTe/rjl0yCfws0bzCQNxdvTPgm0O GE+3iqmvleYFj3nyfN4D8TtNXQL5Gu1gGtCwmXCWxWJaJzXb2nIQfO7oVAO37WE4+as9JGaq+ztN DHE5zrAWqv1gljYfD0gs+zv/hazKyBeO2aMofKjm01p/tly2WUqDVRZuOYKSOEsRQMUcdMZQ0MTR ZqmfZKUvuT2DSjblFdoyoChN8itkRKtOQGWQHpXEgdSATGsZPnCaBoRmhXc6t+inR0F4qulrMSFc akgubZrCIDybDUXy7LfM5eCU3Ry1OvayzkGPSuLWX8nVs7t9MRcLuhdwRqMaPuT7kjQJ6qTjHjjc tV5lHslj9SgIlyZBX/SzJmROqLkBg6wuJ8dW8HyAeFq/cKvQ/J9p+enUQ5Zmb570KgqvLBgJt0pQ zP/EeUO0hzO8R1H4lO3um9te5c2XulS455hEiADGg9et2DuNSpGq90oAuEdRuDxhCvQkOTQrb58c nnq4J63rHY9HZNZfpzhMadCesnmKqJokoZ7fpV2ZC9mdYJ6ooy+DhQUUKuOmHqNT1Pala4qNHoWP dRKwxbsOVPu9hfQByehno8mcJb+tKJyXXY/K4ovZ7wL+ilrl2aRTBqaMO++ksxPPB7fdLWSy7oR1 KdFDzlMYhTO+RLXU1tNcJlIH+LsRgGFagLCY6ynma1p7vM87el0cuHnU0kj7hbyP5FwzwBybosLk H9gBVbPeOAgKKtPezTB8wCOpy4XwBFJE2UD6f+P5f208k+xuyJe9ktnDyjh4A71Qup+CWFWFp+wO QxLE6pV4ApzkMWBcpN9a8h29TjCB8sUKQ6RyjnKROU5shqZ6o2UctMeu7OjUK/9ReAKkhiuKFmVF SypNEyOYrRZ8QASg+77zEI1BkNFn6j0YNw2DNimFIgrCpnRYIdVe9UshL6YqTz0KxvcWZZOmDDY6 KLOcgxw2FDzMoQMhyl1DPStngvMa15SsTSg62qPiOH6CSTq6D7zpToiPVj+/MTU8HhQK2vc8ge43 06Xc9QgzLkmCNnqzyhOlEExiDQV22j0D3LptRUUIFbv00mhyXWcD7rwrjQgzeIMyIgQsqahCB5s9 mnEi/EiPXArQSviiSNyCtXEKOGhwrnQWp+Vk1Hw8Wk/eCSbMFdqhY1xhgh5G5FUlOuJ35FCPyJ4T hbTAar5Yc2dBJX5S1DBX+GjimfsRz4SswQkKepMs6wL/Uihfaoc18EfrGNfomfaH+KIgC5ZmGAgs Nih0ggJFMj7nxOMRq/wbywNBPOiMeulpRDH5lAxHSxfj29ewkspuyfV+V3eW1IiK41Ppb5MOikYv qZwYE1uEMJWTLjcRyyFkDDNqymMsJ92VxMN8REF5FrQgUwuLg5Cr4xzn1fziMh4PgvJxS5ks58Ea YF9K8IiicnHLd5suvrP3dfU615/D65dKmYmfFM0T5yft8oxeyjz5aobtJIOoVZMMqgpzyA3eT4au hwuroaqD74lKvuqZC1qA0h6zPJgTjVolioyJCmvjF9BDedGzFODg4/MUYsaNFHylWNU7wzwt6Gw4 DaFWC8tHVBvPIpOdRTxsZECD7AUoaGT0YGuMUVDLVLqMI6nBcLDsGxvQaA9fEyBXzcyS226ubNxp cLIyWQj9/D88HrVavssq7fwyND+wWYpCchoc91TKurCn1L341G/PnC187booJF/qcdYhIEYd+mUR Qq0z82tAXIjUR/B/zEaOvcVzViEb9rgABSF8zV+4elJKbRDQEF44S95UY+Px/yJ5gTNx5Eu4G1FA TlvzM53jwuoJRBOlpTUv0YHqamspCshZnoJJqfCrHO1sAp8i8UZF427jfJK+DMpxdIcEf8oJwaxa 4Xuis4kU3lVFAMJi2qqrNKTDrAKUjOf/tZq41k9KcHbh3XNRQD62rDiKy11NiftqnrYLFVXc1Jqn KCA3pSJAB+5o0dPJDvpYMi2BK1jrw3Cr59OgRwfS26XhU65ghGjxratOdoPTedNQSqTM57n1xsTj Ear+Ow/GecpiiM1SiBYXSYO1Uq0mialIzml4u7zA0kezFKHF2SDFXkvP6OkdnKhHpV3Chr+ggcMm inWdeh+lPFhxe+HoAEcNEwUVGKMSjaCL7uzsepPgEUbit/rEs5pI2lssGFFZvLFlN2u9e07QMK6l 7F4cKEn5nosi8Wkk4NEsyWP7KxNCB4upmoZhoEcVcRj6AudkwPUGdTCfJdxD+JqoeUDS9Owa6BH6 IwQdeJ8/1GfveD4KxG+tgKf9OfPB6fB5iiNx1nnLcLrdotWdWInL7MFRy/Qmywgj8alMpUsSk6Pn doupGJDrJ+4+6dgWlQWsUYILIKRS09113V45iMRp8tk2A3J8+o9QjTgZziyIyDMXPiAoF1xiIvtA KAJPFMxtqsJYnFpzq5tbZZbYufejmqvxdTDSNFVxdVx9Aab/NvpUwSh7QkIBegUQYyCWpWhp4R9o KXwtEnSOh+XxSuTT5nVH4V2i4U9If8Jj4goQ0OL5qLDyq/ILBsRD4ZxhfZxmEqON4UCVlNwvj4BF FeogIKLCyox1C9X+Lb3c0e67dk6h819pE7QQ7Ms8/AQOuO8g2b/SY45AQvAMYSqqz9EiQYNwdCcC a3JOr6Xi8WCW2jcrEVpNRCbYLEWReO0yP73oi94Nw3O2B3rZMmCEQa5y4BkSOLdZdLV8R4/EK+jy 0C2CR/pGbk6HILIHoE67oEaZH5Tv0itHOTCNSQZtETjYPK0usl2rveDxCFTgq4krj17I7a6mKBJn Jaa39NDwebKYzv9Dw+/NGpwzZG/qZGKb30fbdgtbqVaSEuEyXAU8gLQOSke4m0vP/aJ5qOswwwI5 gatjUp+RA+dpQEaFIBOQkfH4vwT6nPWz0O62eYpi8Uwl9i6XSrGjanMW2ciON5xofmue4vL4FDjF zOXnLRVsCLPAoIKg8Y4FlhU9VXpyQlV1fRnFLr1wdDjJFW8THMauM6sD54cojMVPYjHxeAAqGPsr xszQjlr1kshmFIzXQmz99n4Lgg9XCQEz8qYsTkqcUTA+qsEy1zM62hBW1fDK47YDS5sMobKgirUB vy40obZWMI25ZsjdlNNi1nRlrzyhhGzOeKVXPB70ONt3zkLVmUczdMYQFSlhZY/FB/0pJH4xmkNU CnggvOxmBFHp2/zR2zPaNEHa9tz2gxAVgD37kJgKeuVAY/wsnEsWP9HCc0axOAixEKGgnS4HfklC +ttkyjkGHo/qc99CfYgKV77aFzOCqPDfh538lTIeV/tisszMs2niONcsRZXxZdZlFJew0WEFfaNB 2LmYKuxzpFHbEHEBQ/6zHwUj2n7ia6JOMKu9LBZ0IjV4HMF5fQiQeSJBPP4vJRXe/YCxt2fLhbxN 9ct3u7W5RgV/IqFX8ozlBCsWi89Q0LBoltS402iztBvUVVeVifWeZggLLX8I85yNDdWz2z3YeuE/ UGFnq7FCl7Kp4J+MZUHqjqW5zcejjOWXeGhC9pvuPRdBVMQCVl1Wioa0/FIjGG49plKAEqdmKYrE R7a1ZJaw65HlOTcQaMCFFvLn2m5b8mqsfmyU8GBGcdksxNXPKBAHz3RChpSFTFDlpPUFPmJmvwKW sHg+Ajx9t+0gr9eZS2meQtomK70UuTGZp9J9ngASN5BKeuKBWNZQsLAqhwjpbCu6PPfzOD+3nCFI iVomgYioBZq5GX0pn6dV9MZ/6F6cCerVByGe1rmXkzESOx6PsDy+6fTvAhd2sTwrCsLJDQTrx9T6 zs9zdVTA/bJNV6EizGlaEUhlXHWndEenH+Dk6OAQUdQY7gcMrTpqugOCJNjppg4iiYIVglQI5eGa s0FQngphK35fqxWP/xfxIqLLUOuyWQrL4V0qKi5RAHOZyz1IxY+migauZikEqbiRYk13vNfcxl8k AXHyWYjsPTsddDCsOgSMDnhCFIGviYopYkpn2UIABmQnOCDCBOuej8fzEXzOoyYaCmd0DS4hcYUS 4szoFmUEdYKn7cIgi0BZU1DpBlhdMUhFQNUm/ma1/Iq8TRB/ieZFnRfWZ1lWCEAZNCRZq9QnZlo/ 7bPCajitOXPVCVWNUA5ltdnI+GnnR8fjUaZSv2YJrlgn6/feygppm+wZzG3MX/yj1QqghJUdsFpu NLBCmLgxx15O8HMDLeBsqCN+oq89lc20MqEjvic8Im80QPTciqvh9FyGJwgGqIeIbI8KBHf5SRwK no9Opu9EhduUEng2T1EIvmhj2nkJsz4AayqrD5Q0mtUHUtsGUFn/oG2mZGLQyRUNMU0dvLHJLjkB w8xUyrI6AXGGMz9AnqlXjqpz1OMpWciLc2q3rMJAPvPPKiRM4iY+IQqdvi87oGVWutCLFYXhieiw Qv9d1pyKJLAgqVjm1epLuIE0VaGEikLrWWTGwlFTdSJLBpYk252AedIPkh53+H3rSbjPztnTDQET dddXBFJZTH4nyzAalPzWM31cU8AR4fEIo3KLKQS0UB3/9g5WFIkvuqYtRQU0BhT1AJF4eUQNByZU ExVG4naAq1qg0aspEOSjeCENWM5q6Ezr4Jz5AzJ1BVrQq07nPN565WCi6Mi5FtfUIgSUoWVHM4ec n7Oe8Xhw4T1RJgvFWFH1gldXFIwXTZQ8hPmPLV8rwGnmGTjKix9SIV5cK2qv+owXUUAq6BCKDrVg VmlRQ6eKL+5qriiDqCDBwhdFZSeCoKfcV6bZtZ4gY40quCHKcysKx1f6biBAp3DR3FjzFNI3p9RE k195s16xGbRK7Moro/qV9zdEBfp5+44O5clI7wbLTgCq0huVrETMaQEduOKMUwsYNQW9cmAuQt3s uekByEFQnn1+YonytJPcrSgcL79S4PM655gqyZEXK4rHoe96ttvo+dI30xUNy+aFcLYRStdSYo91 DYV54r9po4dQ5/g4G2VRrn73E7NwMgu4hSiLAyYDd0sPoigLvaKAPEtPFDGMDerbzcncGrnIrHg8 iA6enWdSR+hA3Lr4jkJylvAbiN83iipO1Wg8VQX1HclKTzsmcOreazvf0bfeGEADJkJ9z2SVrAMf ZA38nmK9uQ8u6+I7Csnb/8/YdSQ4kiPJO9+SB2jx/48tzMwdwZwNz+o9LHpmOshIEMKFCelmD1lp oC/Lk+YEmHVJx/d8ER7/F+1H7FjYtN1u+X4Pyc9Ti/LZqXoe3HtzElmjNrVLqZgs9I6lVGSqjAqA j87erI2i6xQ2RNd0banFE2RMHYNKOye78mh/sEPgODPgRKySBtXFOzQV2HCBHPt+j8nxa35vPGrE 1ys5s+OYnMQfej8ydSltTTugEv3omLoga7J5imNyYeZb7ne0qgqw9nujZIfVNADnkpNpAgY8USRk 3WmiachnR0F5Jbt1CyAmfBYvrhPTUP8UWcz+7Ji8+Z3hgQ/Z6V+haQq5mwSvpu4mCL2VO02trwei kn05hRCVYqJqUsHgeDkt5/BJS1p9hLIOtVnQQd3sr+M+9euO2mo7iso3XVm22Brb2RrnygEvmM41 G6spwqg8Gpm82tACXrfLskPQeDKK6xXDcq8IioS4yviQpxamKQaNq0xQ876jnU0INLE2ib0YZy+j z8l++QJKBHoD9TojwryEL/wHkgfHhPbceVYoTMAVEudsnBADz0ewsP0Vj3dyGZ5piiUNpVo0nfqz 5HFLix/qAGia+CNwmmKIilRkijj4HC9mHGKu1RLhkwebQisRWROhPiJ/VypAIIeviWyQWC+QlMq6 UiqwPa1CGZ51i8cjmSdvHvQf6SadwO76jkQQFQheEb3zmLO0hyDFWotknoZZku5Q0NC4LDrCNdpi Yq+/LfLINsA3ijFPIlxg3oofgPpFqym/a3rjiPpDUqK8ATmo4gvgi+oFefHxKLn7pTiT4XJ7Ca47 VFJBhVrqrm48Mp0h1Wdxv6hCsjinKcaoSAkaL+6jVTK5bDKo61DDOllRlczvAKa4LzmfD5cRZXMG 3xNEmBn1lMSSlQZN0zkLq8FQ28DjUY3uTpPcWM6GG/NKZ++wNJ6FBru7bj5uwMBy+XqiZhoABTsu jatVnte8o+26CvBhl3Q2gGFZDlMVykZowqKafRsILFjga4LDiV2oTaMIDaplrpEXJw3yx3g8Aqh8 N8pXQ72gOqBgR4F4I/kAqtOaJeAy3cdmPezNcyV5QBDhUybrk61mHeUcbwNhgLahswnkV3GDwOM9 pzr03dBtM9PyxAR4xyoqVA/tIt+7yVkd+9zZTLrB+vjsqC7en0oBS3IZlh4TKawmSqig95mikw0p uAKobC4tAlT8v4V2NgtQXR8VVeoE4UnrGa2sUtMo4CYP2tkBB82ovQCeyRizo4kLDwRiL9DE0zdF Zm1EGWKBgtlCCTvGlkgpMy8/iCVvfkSEEStfd96gQDVMh3y+QnXDIkebJkmQkxZTiwYEIMhCGF3j nB79ztc/fDbX0M3P0ZGZiJoGSs2orpxzKhXxNYi8O7kMypOQSjWSImNyfFMEwuCVDt67DWoIZ1TT hr4y8/kge+nlaxOep5A5rcdNKrT/YeGg5+liIQDPWcnunO9e3ZyZMj3wQ0ghdNwmyxXau8Of1kmk djqHehJg5cQDjY3j0oiuz+AqQeXoyh6zcoBvCiar8cCq2ookhlHt+Fwlk0VksOoWPyAIpy6Tuv5I cw3N0jtZYcl8C4LhltwdHmVWPKCcBv7bdqK7bFWWnCLJ8SGE6liSA+FoO3ECBzWRtstYcqvMck5x mpQRZN+/CpyyRUhRgA7RgbMFi+xIituRTOhzMiaCcx6fj1B133gxeAMLVmtzFYXozH9wAGRfWNyx PLUmnQa0sNDWtIUV1c2tFAX2/R1tYUHnOLEiRzZY2sxOz2fARvXsSzTNkU9YSYpyV/ymyJKEc+X6 4+Oe8WnQePEESyPz+Yih/8tsoxCE+6Drcgor5zS/63Vvg9flYfxF1Dl5BZL/1cjupKtbWDo3NHkR koWjXYfnhj03QFOhE/3cKlmxSetmQusgPGbGSYU4SH5TBERscuDqPthsnZWlG/GkgXw+MizzyhTx U+evpOSFz1UYr/PIap0ymUlcBZMTSzDQsrnqBC7pfI8C9in52nPL7TvaypqA9expXu8DHqMsdnaK /RB2iTzaz/edm710pKXJBsNiNYGDii6LZVRmNjXz+ajRUL7mCnzAE2nl5zKMBVeq1100WSdA9224 UILBGoPgSsq+DcMKuryjZhrP6EcWULPG2G9jFgiL8MgCVx9SmmcJ0clFR1apzV46QrSwNNwpbw9x Gus1QK+MnXbc7nz+v/gkQGJ/Y4P5XEWBO5saQAW0W1WYXnyZ1CdQxZMC3tqEke5KMSpHm+mO3pbp 0KFDtRiROwID+SwVgDYm4qy9H41tWU2lUIrcolHuRA6qTA3qsjEobYPPB1uwflepJNkIlKpPVYgu X6osFIe1EKVnxrjzGpo2apFpqqI6+lCHcwmgqNGT5gR7VoqvANUKD3PuQbA6f1YmMXaOy8wjdZg2 s++hTmGJCngnG4RMBKnJ6NZ58vkobf52CAJiYpb9+FCGXM8uEtXVt69q+6FCjFKfoxGGC7fnwLET QYMS59LyHb0Kc8L0czRVAswhEyk1BBxi7WdQdmmXca1v6GmeA8dOFBhUrsrDB2XO54UpcXmCnDH5 fCQF8ctI+MwuzTx8qqLIndcnQGXVKzGtXW/TXZrXqwDXsqmKAC4pSUq6i4PG0WsMGdJ+ozEpRLK5 hApGX5oIWB1TpvBHhGKOPTszF1XjibXbuqWY2ixiWKXy+Uj99xuUgCra6F8zFYbtjK62mHk84aut JMgzsxZaCOVk26Hro/5EKSLlu6MXGcr5tUGn0UyhbSbGEBQTO1Xv6ZZrU1WavfNfwrYUjOEw1UAA eC3JU/jEwXw+ajx8q/wBdN1R4vOpikrqiwy0pfCcpxZ9kLSo2nZlnwZNU1tUYdCukKoXhQ0ctagQ 6mGOgOFKEy4MeduiOscZjIB/0OF0zbHR7JX/EiLtgipy0F98DqjFvXgWaOXz/0Ql/JDt9TOgceIz FQoiUmFzznHLxbPcwhXrr9JAIsxDMxVSPxVQQWTnjraogEsBGI8lvgrJEd1/HW+NKvgP/butdMWo kl8UwBKoUU6A/CQs2xbVCWEpDEalJT4ftJGv5blIWf28Yr7xeuDeiWoO4wNpIkrzPlXbfyfMfhTa VvL9F7sFaYqW+loc/VA/wUFJFCU+N+Gq/BU5VWDwi3UH/KvBp/q0dw72XyEkv0s3qmdXHztpBx89 4foqfD6yC/qldYAABZeMT1XIAE3CusxiieCi14M4/PkK16zqlhw5dPBchklo1nJvt+U+wGZsyATR cocA9zRpaeiebZz+NRF3rlKfqlehhacscOhXa4Mym3OWtGl2E53PR6f6dzUG0vtzPlFV4OGJIs5m 1Fm9GLNoSC+AfssOCwLkyacq8gzq2+wE5QfE0TIb1MPA0OPJQEo/78pCh0FAAyr1c64JevWXjm5A mplBid4GhVVwFCtaVqny+WBZXfG/ovv5hz0Gn6uwyk5kUGnflI8L0xevgWftVZLMgZNnoo0WVWva vKPXF5BHQhwAEShdsOVDVRMuI9jlQfcp315pb/bSgVXAJt8D0AobBOWgdx5XxVnTfD7Sdv/Ve6d3 UX/mKgShV1tX1SrIJ0bwCvJir4koqoUYXkngH26eWldWv+LoKIVzdc/SWbcCe38NqeDDvBdzhUIP hHMu32raS/+p4ooCtw1aV+dqTbzsz7rafD5IbcqvWgyKhSdgfSpXoadnb1unVPJd2KdjzhbFWLUL R/PZCk09jdmfVntGm61+Vh365UR8jgFwjeU2rDUjCITz2dPqavbSf3h1NB50GChIqvrx+YVIsa6j 8vlINfG7voCOySzPiRX6eiJdpZ6ri21thpGkhVJNR7TQc3W5nW5o7OmQ9JTaHf3ESohppQuK22+0 rhMLmcVm8QrFZpdvY1cwh8aeWXlgIU6Igw73AX1rdUT75PNBQfSX8m0F8AHbyacqQsDQGB4wcecZ VyIruAk3a/zchA3rVgdWYOwpay35L447etkqnTlhfgzy+lkVQykzUNloCwB52/JTEK3JXjrCChH9 slVwJ1iWtA54NkimAlok/IAoaL9BA11gMn6wxx09MPcEECapAFN/JCXV6X1BEfNKojWlpBZk3mxh RUiY4ZG4XYnErtkmhHlXBikf4Jwze+pdlILs4uw+WAVIGNCuwm0vHcAXCgtWZXcNhpEFIlp193Ot 6k3/RTES9nNBpulZWaGxEALoc9dmy3BOujF1/4G1w5XFtHnfuD1w+DRV0BOEWtpcb9oMM5iE70hy YDp7m+Casx3RSx0duxciHr6w6CCQY4vPTE4fbPhMR8JE/s6Psricx/l9+AERmv87Gm1EoOV55yoq tLciFPH0wtVydhH0npI350ddXo0JbT6XkFUnGMh3tLmCtvnoAHN1tiRqEzmk5HPZDvRQ0CG8cubq SoQ2n+wUA2ZTffBqTFWGciL4xuf/JdVNFg20t1Z+llUUuLetbHlYORSS9dlqfADJ/LjFUJk+VaGS oqwGqwAcGr0aAw2DhBroSZxPEk2HckRhFaV39HsbNMhNVWpNe+UI8YGC1Qlj7qByaMXFujVTm8// y4uJ62dgYa9nUUVF9lHEnykXQcRyoKAx7SKIzs9/N2BYZDd04zY2+37Y7Lgx1kYVD7aDtW9bVGgR A3JxHhzExrB5k22qQnAMdUl2UeUYXAe2UHm4civ2mvSiEf7a9x8mGUu4z6sfnEO3T0psQ7nWpc3X YxY3K8sNMkHbBo7Jgd0n2oKGiqnP6FPVJ3TMszBpi0rLBIKi1DehZYGo10IrZpH8nuAOFOUfamc2 qBqTwYjjpGW05gO/z0Rj+l9I9XMF9qfGEDp+ki7UkxRcWGPAMcm0JiVR3YmQYZCpqQqjdgP1b+Fh OTpEBtC9SlIkOlEnwVWfiwa/5z9MfGBhZZJHVbF3DlYVTQWyTAVAa7FVNeEDRZZIrZ3PR5Wr396o MPl6Ojeh7ae1I54a+2rJTeOmgMay/Ux+VMW+n5KZgqfMHb1yhV4BtUOg6XTeqVlHjAQN4tL2Q9om WD2Hxp8mWlaQ3miwQ30JSWAl9sD5EyV6T28YcSJegmvynaooYt+NYahgxVIwm92LfCX7BahylKYq itiN71dIk7HRK1cTrtBEWRlclP8ObE/yz0CcBFnvh+De7Z0DPtssOqSqD9qBo04GPcjGNp+PpMvu XKnKVwBMTXeuQrVzztVu3VnJiUoNIm/3Kyux8g3ZQwdQ24HU0vPRkVcFnquLXtfnHJ5lqB5TKKZU 0B8rqGZZ87SrzRWagNKLATy5LOnXJsnNetKkrF/opE+ZH/DP2cJ5RQpWmg/wKjYCpfxrp5CK+qcl e6erq1XRnpg96aOiXWjNwJ7u6LEVtFkXvKd6pqbgJpz0rD5CPnDPU6PENafUmA+8QJ3InQYFzdIg 8pRpHQzZktjZwHwEZqAo1n+3UM/xAODxvQj/dgM918l81lYytFVKDtOGyOKtXwV2oJB24dradBy3 0VFquB7qYGMLeknTxHI7GKQ5oy9R2nqUpxDg8ZuiuihLyEQxAKCLZJIFLBTJSAvFEcsPiO7C78Io NXXAufTJiqL2TFPQ4tVQ/uO0bLBQ+pbZYOJxy2wwdAW1dvOW2KJGJyN1XBFDNWSAbUjUStiPtLtE kQPIWqcjpW4vHW1ENbpy9UF1BthnNtmnojAaGIOi3n5LMrLYIQL6OeGjuH1IM0g+zpKgWrfgvq1e irhheuoceIPiADTGlnjwHL3aB9Q/QlozaVid9s7ndqQiMQKcDMj1Jdt0e+noOkzahhqA4jHv+doS 18X54SY/IEpyvruDENam8rxPVlhyF6SP5FdNFpvq4gPWefW6QH+1bRiF7ig6U6va3IbquFUZpKZj liQR9HNCL0LfSwFI+9xb4ALWx7kJ9GB9U7ANDclQsw8ORW6VEPETHXY+H+zCX2Vk6IOshzqZQ4/Q KXP1vJ/2xHD1xVlbMSByL04yzbFJqHwF9kzP6BUsWIRCGYxl5JZXnhKMh0LzibkB0C7zun9sldxD l9BuqqflDiq5F5BWWCM9O4HPBxHpNeEjahvAJgRHd7LCmjtd08bEPmBldJbmldEGdznZEIDx6Lsw jt7VIO08uzVqsjrI4oB9ECsKyrcBr2bJEuljd7B53ysL0Rc4haJti7Oqow+FAWG6YMisYVD9dO/J Dwhih1/tVPCjJr7TJis2C92So7oW4lPCJgxKR7nuOyl7/B64haJ6L9c0WRFotFIDNl6DFieVe05I k/XvJMQmDWlgb54/Z/qS8Xsi+vKQb1rWkKwlM+HOy/yZaIbALRR78Mp6EtFGy+cnbgjtQocx4um1 w2Lf9mLfLvXScDrVEDRVEbrduhN1s0Cq0aYKnG7UjqkdDxADPwXFPqCJIZudoeBnWeFQjBX4hZq+ CcrHvBDZkjSLmJMOkvfQIWSdQ8fQ1L67E/AsHESl2FxF8XuV29xeFyWTL55o0Q6cy2oRUcoMOvAM Ne00qFXqJiwPc2LhOKhQSqLGSZ9L3R5Znw1QUJHHOkgtFXvlCPrBsB0yihgQpIviDfHIrnuwLH5A hH3c/3O2j/rU2wPT0PO9LHhP2fBIGObWRQclQ1Ts4yWnZRXLwciSoM90Ryfowi4cfGZk0GmMKjl+ eAecq3GhiEu3XomcpGSv/EfL6+Qcq/ugDBqOv0kaXYBeha6huf2qIEMWu1y73hzYhmZF263KpUim 2dUNi/awCil4cK61n2Pf0GlaC/TD1OgRAzTieqe/KjCiu1cThKkQbcV5ufdln87q7xwEDJOHFW5S G4xXeaKmKYGhVvl8RMX5nioo8NBIy6cq5J8iFDxRVK02VbBJN3IJFsCPyzJOBx8H1qGYKvXoieu3 0buDZ+ud7Bn6/xmVJoikM2Aok6qxcMCkdo7BZJTjhO6hjTC1KXPjgcLmMnAosJRSecmNH/B+sq/r K04LBwgJ7KudkwMDUYTtgmcnX1eLGocCNPTtCeHoz7r6m4cKs9FyR4+uegdYl4SJ2lFjkpRASVTP gUNra+uxmZnJXjpYWEsGM0XFhvNnEp52gh00uHGwZ9QaQhfRcm9B/MuY4Z9N406brFCkMUmRoiWf rDmdiUN4zJ0s7zsHRqII1OTlUAz/WB78IxRaJ4UNUXGHL5bUKRqh2nzZceUH5872ylFzsEvFcvmg PXgmb8r1a4OzFFiJojfxDWrP6DnA29Km6vMaWjWwURkItu04md5qsTrD1WwEPmhbDes1sGoAX6kj oQRao5fbM3DrY4grcS4qY+yckxh/84KNimOPMx2DP69hVQO5g5xmVF5s0CzhZpIeU1t4+mWOGtQ4 NEftR/QTGEFTo5HxSTRDnW6rc08rLqzZXOBr7T6suLBrMpOZ13iq4YySIkUZz+hV9tyhM9SUAJ40 t7BodfI0ABe37ICS+10gMMPXvE0ROjfsA659B01R6YhD8Y8n2v+8hlJN2FJNEeeDos8koWKKXgOp lqVNAsP15nceoOiWJe/iGD6UnjRFr2FUc+RCo7q+j46gLWDT4VKDRX2btOHjUQ88NIq+8C63iHMS wP4aRDX0plTTkx3tcDvaBd1Q5nxonn1eIyjM765fM0S/G7qYcoZe4ycsIpWKgR212uccLtQM31iv fTafodfoqZkf4gkwzWKGowXlwImhrANsR4JoyljmJQ4NAUizTzDTbIZQev28xk7N7ZyK9CgKoBVC YpwUoCpj6usc3K+hk2+ZO0UIMpv6pJii18AJD+G3B3DSRTuWoiX0s6rqoIIpdGu9v4ZNTfJ2DJek mLPGlTYZ4+zB2SDi0YmISHRYTydcx55EAesHqqi+0TbF4V6jpuZwjjXG9kFlFlThiPxm3/01ZuLT 0+bIFBx/qMmlOXqNmBrgCWJNzitskoqD1Ve5PT84yZsNbTBHbhpKFJyNTkCCK9gGEfpcQ2nlVUgV KZUehgX+S424C7VHk+xVgzlCMgf5Lsl87OWIxgo5Q/3jKp/XUAlLotbvOTqfhdexOXoNlHDIkyWy 2nZhqiXlgEFAf3VcwvltrHL+Gia1x5+oSpeRo91oBbcWOIDUZ64Vdus8rys1AwBoBF/R9xqTutcY CXutqMVHNP/J0M+XyYGvgXSPf+y99s9riITDbLavvUY4ZKHvDuboNT5qLrc0XRXnauWorHnRsWUV l2eODmxFPHsrReFo66hjgiZd3DLcZGplOlMqmzeFtSccgh53kwH/Gh01+da8ZCjn4h+bBFYkpJ/X 2IjXSrY5Ysx5TqfBi4Rz9FrOxE1YJPRd0oUCXUGTKY63RISmqeO8FjPbNUjZtdY7ehp31nSum4pU FRKhxQq/ZKBXNsJxchp+eNFL5rWUiTmiAhxKJRqmVUPOyk2GroP+aTBHLkBh62gChUC2LaVmgjki gR3kImdkmTIslf7S6I5BYK5PlY7ozB5m5Jie8RZ8AQ6myjcMblE/aZojYEUITaikGRkIjy5XrxVM /BaL3RbpKGxReRA1nJy1EA4ELs7ntXzJey1/zREVeegs6RFkFGTTf6OtmhzVMseet37ZblGOCHvR IaN5EkKKeoY++n6bgGuuSRGFNUfPkqkEsveH+nDnRxmPG5jy3PdA+6rpDpJCOKg1fi4cmqBLBe6d 4YcdN79nCnfjmDcCeCf44dehpuDsxY/umqZHSQCheJWp+/X2zu975qn0/oz37D6/NinWSHFPLJya 5DwJ3KXyO49AaxAzTvu8E/x4ejMIgK6ADXbBYQtznsZen3d+XzMB0ztPCw6/k7IcgnNHVxwRnZIs klNhnck66dagavqtLqAsmKddq91t5Y4O6DzZPawaNonIFdR5xlW0j5+VSUyaN1QaQgoH0wRxQuq9 LB84TQ3Ivi6rws3Hg2DJqpYKBOoSNsanKQq6oTiK9mYpPk3TBFArKHjCLbYzY+liWYIjvJvP7Jz5 jhd2l+ilWog3mKkyW6M7yglXoHFXgBBzLc+ktlCw6zpVYSuEIWxQ7gaxPJ6ItQD1Gsbd83s1dZWW PHl75/Xx7CX9Yz3TtMv1ICBNXIf4dLfZd1of80D2g2cWOIqjpW/n/cEaEjz4ZENjWmkXhblJDXne dtZdmarvhIeTpqkrcgJrQbVdHKG85cf5+s87q4+b1ucJEwxXVyCUs09UFH33zsp3vaz2ITEcTBRo x7btqHurSsB7+I0LQfTjLB5kyy4scWYJQtVFgDtYKsDfgFlcgrA5kQ4AatspPujd9M7pw75JLCoJ osiBVxQw1EnQjFHK553S1x71RbvveNateY/xMAIXn6jvizAou1pdN6Vu5aUTgV1KXxSCm91O3aYv iNHRdmfWxMpFBRwG2PIhAF3xLHt5cnsmV7K/8fs8NfEYljgfyzkfKH9X1zzdn3c+X3sU8856tZwG xNzt8xRF4cTQAVzwzNN0JEbj90ovCEmF5ikKw82III/d7uilpRN4g+1PDsNZRKuIxpah+Q4J8B+c 85f5P7ve+H2eBnsqrRUbhsKngt1sKt+dj0cx5q0L8F+GMdFsdz29R+K+niYrqFbNvbxHWuaaV+G8 LKIoFB8Er7ZhZPZxyeznCO9wawCABGZg61zeXWc+dEQ6q5ulP9VcwTffQ/FMl5NzMu1nUIUJ6rMM EWCr+nnn8eEPTt85HSHv523u+RRF4wLYzXX7KUumH2Z/2b319KynMBznPQdPpnVHm6eFbUVGMPU8 O/VACFnsSZavmWA4Q4xJfSoKxxFSIAlC3KPBzid5GpDCsz7vHD7sO5Mn8fsOdSY0D1WsjKJxYGCo tXmXE7XnmPwOMlo4TaNWm6Z3/h6nyWrd+Rm964t87tzWYoaisih6EdW9Nw262PY1RTP8Tp939h7W Q6FEZR93UPaLPLTLqrDkzzt5z0+Hpxx3Ht9j+On0zt1rxjYCoHJ6jWAvDwuoQ2N1FKjCaJreg3Gz aU+kx97RYWJQUSrw3EVBjp3KbMUmIJ8SQgIqVdrxxKrlO3WvmTdRg60zPVXPizXSZhFuGP8mw2D4 807ew0WQb8VJ5ZT6s0a99e+oAA6hiay00sTQ53Ak8FJ/kwDz6kb079w9FgsYkKclSgxHK16CgASP 58wEr6IUamRjdPoaCkFo2d1QU2vqPSKXG+qk3AkjcljRsTdX4EbFa3ac5ft5Z+5xrvfXooJpOmjD PlFhSD4lftq6TdQcj3s4rxDBnrp777zT9hjayNFiGQZxPWI3BRaRdUD3j0yPRAZugqI3IMDQloAH 1pXboIbLO2sPX7Rp9TwpE8tBsQHU4oaqB7N+3kl7dhU8qQvqZWulu/mimHwVmaYlx1ustL2IOVfy fkEnrw4x+Ttl7wmhANG+o51RBbTJAa9JVIbObSzKGtpqZr9Bzx0r9Mrx6p2xx84cQyhIwGBoZow9 IUxKmzgYOH/e+Xq4mX+Vn3BGzdHuvosicr4jJKBuHXNVP6NKvSYEC/UvLaeoIN4NlWmkKY5egILn R4dTfIfeyJknJs0n5+s8xdGSRd31nuVLrxyEUK5atnwQnvwkefQYBllhfd7Jer7sbwsTSfDo6W67 94gciFA1VC58p5d9off5cvVmclTYO1WPibysrpL8Qmu6clznKIGh5zBj7AT9XJ5P5+Q6vxJT39Kp 8pZU8F165ffzaUkSvcootLoGdIY3KiH4Z43szztVjyfydwFqEsXfvbDyztTzhgP4Kd37K4KBcds1 Yg55jqNdw0jznajHSFmqw00sUI6e4aHqVBYL4zklRCJN7fAJX0dEJ2iQe4ZXht44mibznZVpA5zq DBg9ACPGGjnT/3nn6bHk9r3vOnv0++67qDbeEiNNAkcEB+uj2Tyx8mwsIUjVaT29R+Q46IQCq975 HdcUG9W0E0ax8Hv2HHqD0rDm/Q6Nsgy6grNkk71xEGmynnkV9qtXVs75tBUCnWX1eSfp4fF1I3Iz 8z3/odz1FEXkcPQRbcDX02rV5qlRk0oVqJmth/BO0WNphXHB7CJVcbR52nA9Gvgt+jlpwXLTXEI3 4uQNi+6zV1aDEN93gp414CdA7ssHRtEb1qZm17DG552fh0B1/G7Y7Z/Rnt54FJA3Acep+MFTvAMM IwxK7b3dXedBwTs7jwE5Z2kYCGVcEMqGDwg6EipnnsUFZv+PFLjOGQrXIoimuVYZ8vDPOznPem6A nxA2V11eodCgfEsiYpfPOzevPe6OtufQjUbP2mYpxqEwxmwWeaNasLaX6dqtPtHPWrMUFselT7aF steoWToRwclWoAOMTL6XUshvN0cHGByf/8A4U9ldLnrjv6YJkB8fuOfAcidwDDJJfDzYc/eqY9hN eY/hxYJ3Uh5LOeXJfNmdSun2WmQizl5Lw0bClnvn5D2Ipj5lasHRtlybZWSK0wPTe86w2pJB6SA2 PeQu4Sd4G3rhILkTyKLwiuKgxQR1Dkm/ntjr807H4wHus5TFpW00DPRpigLxTnUwayFQXz8ZqAAt hO1ibieyMoWydy5ecy4eEu9yR99zi+7XqD2dCwq0DCXBk3qI7E/R1ULIr0mxkXcmnicsvcg/jVob PMQBfU6FZxMC/c87EY9FlV+4HZjtEKmqeYoCcTZIzsZoJnp3Ii4DppbzP5HKSOpBcSXTdxoeA2Tu tpXHM9o8jXLWTIbRC8hYdfYsZ4eOCKrQXIXxpfx5QVb6vHPwOE1cR50agRzsBB+NZ/8PbKc+7ww8 xC37O61j0antu+ne43Br953Pv6zhReiMcCly7aXeZPJOyzv/zlJ4xAFFkkgcHd90Yt8JNyKsprPs i5mFDpRfB/vXvVzXtMl05Z19h/UvV+xzZPugs2mC1Scngs7XjBbT+JWuDHiqetj0Tr1jG6kIi+pl gjVN9u6El6ldz7SSrDD+zrxr5rJ1npgKMzlaGH4OjTM37NvB5QpUBPbLCR0mWOUHMPtboSOY8J14 Z1Au6rZlH7xxVwxFv/BzvofhCk81Tyz4dhD2i/fL31l3rNAlaiHt7VWCki/rrufsVQJSShCGv5Pu HNWA+Rk2siM1GYYjk4aeAYlksGYWTxb+MlCNgrY6Gi0qEgjp/E65ay5euvJiNQWBl/iJDZRFUVhW 5nsGd92vagrO7wkZYJunMAyvKqFcW8dKxSTOExgG3pCCvLTWU1gYVzVFZFkbHeW8cIIjTqdP6Lm6 iWMtk5jpgm5YgWCE43cRmH7e+XZP2WkmqxagRMeJgna3CCwnl/688+2w8fb8miiaRNZbdnpn2zm2 kEIyXp+TMz2dGXgQc0FNxISaqKgybsqlpZuqSH9URSphuxQOyLgl5hZ2vpNLXCGWWhHou9cVVQ3e uXYG9YNBaCk+6ICqiCeayr/58860a6LqfZfGO2LcC8GMIvEun/VEzNNy9QdjJU6ayiWW53BLE4MZ A1XUsSNCyEYrO2VoJi5w6QB2BppXbh/ovKHJgk2SyPPhghqUP3on2hGwLCN63nfoOv5wPcF4bDGu hdTy551nZxv3CTJRrTi7r3vK8k6zwzVV2f9lXUXBOH1wzi3HLIDIQrwEqpsVJ9Q7y45ITKEMsyEw 8hcCo8EZvKg+N8rZlUKHUfsos0hQ4KpihadFTa13ll0zjzk0TKuGYtCnBFAvJQBbWp93jp0XNDgt 2GS4POgLZ/MURuNLDZbUzV9gojxmxhWpDzNjKIstcyyoqDCuvx21vHZHK9At2PPuKSfjtZGtqIkA Q6JcAWMru5Ynuet65eAor4ZVlfHsmjZPtc9FvkE/2/vzTrBrZnL91HsBMxzZw/F3fl0z9sZJu4aO 72yFECkY5Osi3kk45XoKw3HbeIZ8ql/IJyhewUmGSMBxVtHKVVAVzBNcLH4K+F4WGdD+5fPOr2Ot jNpsCqQ4GPIJnCFBMkv9vNPriIi/Nx4XH2pf457k7/Q6Dyichc+NRzqtoE+qFSC927T25IIKC+OK NEl/9NHTuwyi9KSU1jzBwUrqXI1NKzQckhsbRVmwSirv5DpmwdKeVmVlD1frPgFUFnS1tvZ559ax /VC/8zuq/8yvnReVxnlLn1j/tqQKnV5MALc6BarAEYVX3ju3ji0pJXZZWLGeHb+6M4DzGSqCBKuc P24OM1AD4Lu2BeGxW6EDEOLzTqwjFE4XHnvmaXrP/PwaezMCqn2PzzutDjuo/SpkQiIY1VybpRCq MgxQ78yV1a/T+tI1KGjBMjGMd1Lds+/ggn1HmyWAMEDDJ/bpLLu8lLhsrLABhNV+xB2mVlNUFu+i 9UwypzholtBLyJeq+U6oa4/Wn85OwvHydCDdO52OMF9JhiQHPu3VvH1wIsPhbZaxjL3yzqZzBEiS m6aPngQv8PvgPgzuZymUQEQZE3PfoTaL4qT37LD/P+9kOqZnWEQnmlk+GMPnXJKyHjph7OedS2eQ /GeWEF7D+s5nKYrGWSpxg7QqBJRSOiTBnCUmwXIS6Pykv3dclwMmx9s8gI/xtiQYCM2mtQQtlg7O ITubxvsFHgFfE0QEaYsilnwQ+qJMujBQBqPh8SDEHN8tFsnv9nsuhaF4pioBaZSapdIdVp9oT2oU lmb1uRaVxJNK4eBA3dFuOjKtQQIhdrV0XqE0JYKsORH7dCx0UObWGwcclkSYYZp30JYDg8TU3sv4 tAik0vJ3xoK6CHkXRvQJI3EhClSJq0JFuw7bOf7TrTtt69j190jcAhJ0DFR/mlISZ7W3owALrV7W 586EVZOVRB+wo1cNnIjH4SSw9pCZOaYaUd0HLaZzaVbVnc7qxeMREPo7bAL9YeTmYVOPwnDwxaFn m+6WG9VlCVRw1pZDvVGzFGFUhhYRTbN8tFmCC2GhLAX6K9DpsRwQd1QTq5OUaBUKKAzSo5p4pa06 IBA+cJrGGqNwl9daJx7/F2uM6e95vNFURNMURuFy+WKRSOlvqw5P2XQrV/u3eizQo5q4R5clP6Pt uXFCJsz/JtDwrHrjja0srz04qQNS5XUC8caiKFzOVRPCOxjgAsoTabAGQZm6E4jh+Yil6YcTVnuG kPpMt8nSY54miVGCriIOr2TpunrDtjgcxQqZx/UoDp+aqVwFNeRoeV2C9jOgmJgp2MRUNoBPao9s CZTyHzJfXeiCCXCP4nC5wVRJJdeVHJM5Cy1TkNf1jsejQsF3MwoUld5v+6BHZE1qD7YhKj2VjLo5 zRajujO6TMnli3oYhoupcSa63NHuuhOabAgkK7pEyV93XYUO5WbxS+rbCsN52fWoLr7YN0j0Du8Q YDbllFGxRLmgzg7A89Ft9+uAQutPooecpzAKZ9Ou9rZtPa3kjrwwmrLM5fypcF/megoZm6pgtraf 0dOVcxJhdXKezpQ0uVDUisNwA0uDqOEeUDXrjYOgQEaggMhDRxHBIVEpdU6rZIKkhueDjTf3r/XU kC97JbNHlfGRuqKCZHJYoD9anaBT5ZHr6VzdTXWCHsbhStVWFpBn5QfIs7HD0qazycmAdllyA2UK CQAdRQgeiVbUBfFFfxSeUMLstFRAcmQ2cWk1hnvnv68FH/AvUgtVQ84MUILdZuo9Fkees6QWVlR5 amwcsPIERbqsytMuVDDmzoti8S0p2zSU13F0ZBhqQlg/4pKVYj2EwXM/oylWGpeUfE2oOdqj4nil 7HZp1LLlwErBnFW4/PONqeHxiAV84at8PUx4utiLHqHGaWaNbHVY6SnxD1Ytc5HLgtR0lGKeqT3C qJhwQ1Go3YwAVwXz7egEJ156C1CeJU1I6iiAlYDd/eilAK+EL/qTnbjNVaG6GF09rztVJl98PIJk rq+0BdfzDzUAbKLCiJzwevZl5KUAZS+bqC6bbAG2cH4wOoiK464Bkku5o9Okzg+BlsaUa/FZqDTs RkRAK4VOyPj0WuYg07VHMJVOrXtySWwQIBoN563FVSYej1bU/DVRiMlq9UtvRDG5rBp3rw/KNy1v twxTpANRappV3Iiq42qhnPtTPXOOlgafNXBmmtAd/C/ofLm0EWyoKZ61nHZXKO6I7wlqmV1bjjB7 DsKuDsq24R/P+YjHg6C8/wKOwxlgX07wiIJyVFBIHEyX1pKchrDT8prKrt7lHHFtXMz7UccdvZbZ Z8YVRzA0LFNZozjTAUbLpjIzbiKXVQPiD98TlXwNgqHhXH3szZ9QpMGfEfd9wzyFtfH1KypHJIbH bJ5C0HiV18Rwu3B+s+YpkRWp2hMKRJqnqDaelbRsWaxj5DsjeYEL2oBmNJKXiVryNOrZORga6Bo0 DDf/hLMsB74mYv/Q/noh4MSQLdKE3RUrbP38Hx6PWi3eQdCZX3Due0tqRBE5jnuAbI2uiYKvi6id LDN57UnUTG66KCJ3PE/VLJ3RZylNOHIOuImyiAl+tpnV8wVPPItk2OMC1IPwNX8xp2HA4IOQhgOW KCJrjI3H/6V5IeY0EuFLuRtRPE7mJTg+Fwhdsld7AQS1WUJmY2spiseniAeQ6LrjrfbCChz9EfQP YOhF2hnUPDIYwefBjRhKjODpb/yXxEyvJJhDykkOQOd14TzPv/1k8Hg+WE0XV89fsUPiZnr1aUTx OIB9VCoalyK1hvMP1nalIkrEaJ6ieNxAlmULqsPRoqeaYLEzSAnG4c6DhcDVRaJp/4G2svPwqVcw Qrj4Zlkl9+aDQoKTE5elwHxMPB6dTN84FWTBY1xcwQjh4sJfrCuhgoK8z1LKl6WBDaNZiuDiZnTd pTOj0eE8sJ/NADeB7QV4psBhC9YIHVRmNL0vWLzqhaMDHNPTcQdzGCIfZDhES/oCOfAII/FfOQsb rmndtRRVxSXGM1HxsFmqd8/1Yfgn6jybNvSIInF1TU7ua27EldXTbLJXBaGU0Dy580RiKworHoL9 aHz4LOEe+oyoKq6oCSxpDviRhaCDx4euuZI6nv8v8hfA/Q2sbJumMA6nB9waj3AhRajF3mzevIM+ nGHqRxiHb1F+irYeR28Bn0uhQ8qVQioVzXNyD0aTRgdCQYjw+qZjeDnCOLxBHoQl6InGICo53Ha7 sUP/AyLPXPiAoFhw2yyUkIarDCAoNlNhIN4kL9fdCnV/NaOcJQyiOZXmMFNhaTyb85sOHo4+U2dK cMZW6hXUQQFmyoQgDUBEDifhK3+x/ZWjJnBlmaBS3gENImLhQYXMqj5BTgrPBxM1vWunf3f9UEPD 1IvC4ngX+qK73v9kiZmSfCOnh+jKdLjzk6KYgFU6gC/v6OBVEDh7A7nmZI6tkAnOigKOZHzLSo8z AvnAMwSpFCLFd50+CEV3Qg15kQFgjceDWerf/Sh4vg1sJ5ulKA6vhNUPCHMrAR6i9+CEq8S9MCbI EMVgAjxDAqdqTvjT7+hx+Eisd4jMcrI5eS/WBOXCrOqnHFAN47v0yn8ROEm5tkHzNJOO2TNPveDx /3JAwaxjkFqqeYricNrN9CYFLOYr41rFtmT/LTT+p8XhMyRvKp3LTZ0pjhYT0P5rSa6gDNgdUyvj /H8wHAv7yz33i+WhrsP8uzq+VB1fkuRH5amZ2gmy0IrH/wsnEXQAXbqapygSp3/b+Xlzu+Qo0wk5 Ac96ugjThdVmWBsfWkdt5zs6evUsTQjxU1MV/ccp1ayeKCULebX15RG79MLR4cQQHE1JDlaSXKD/ LKPxTDweIArmN6IAvLOfE2B73WlGoXgthNPL0JruESM5dbOx362EBVefpikKxYeoraUbeLVfwVBk eFg5dIxIu7iTeoVo9g9wrT+sG3gfmLZcM6RuJhkIknHHQdWUTOwFvvDcDng8aHC2+nWGU3UGNT6b prAyvthpkSslDyqKTJn4xZXoham5LrsZ4VO6ZPrrzs/4QDJR3CUTWCLGLomJxhAuWojOe/RE+84Z ReKVzNY1JGE0/KIAUqEx+z2h4MDjUXHuO1+BTt7Kl1g+I3zKWNYiLx48sQ1rnhrVpS/wQjZLUV1c WK8G38k73m45+/Hyakmzm4s1JPtOvgJExl774urJlp5RJC5JXzqMckiKxAvYoGx29zL4llGN4Jf8 LIzT2rPlokhcpgeKclSYG1fRYZO3LHgvQPHacqGeYdUaqiKQmf0A85UBVjOVwokXLye1KMp+4TuG 8hPyz9s72HrhCOvErTbK8kF1uZkbQTaAjvLxCBP2rQ/SEnLfSwKeET7FRAqGndTUK3g0aJMfTANV P81SFIib3gUqJnf0HQeZfnptnR03KE0/FQ+cgGlD5wINwstlIap+RnF4o3xRgVEjBrQ2JUN70ngm 6+dAzhXPR2inX5QfmCqed/J5CkmblFuuJD9K5okgb1NXm+6Xm0uz+uWMZVR4cE+zoJzXghIB4xow n5d2OD4rKVRHxjyAXIGCwZ2nVfTG0aZbLFz2OzjcqTUWCU7+2PH4fwkvG+h6+W66FQXhyaC9Tmbp 5r9FTH2/DmW9uCD9ihAqQ9NTl9vAXs0LEATmojk1NI17OokNiXaDNrWIXFHUNnUQKRSsEKGyJRqu WDyb1c+Z70aJayBUasXjEWvzV3AJ2CvyC5ulsBg+5MeSss9SXk7RSOMeTe0WCVaIUFHQVGovd7RZ OgH4uT0p15nB+cls4KCoAj053Hns3RjaCXcrviaqyxGGKePJhKB42Ak+wOFn4l8Lno+wc/dskoIK mga3mLJCCfEqQ29zFSHlbt4jPF/mfXWB7BVDVFRyKjrKz8hZosYT3OEzZIYIxB1daJ8KE6FzfZ99 v0p9gqb10/A1UWGOPRUEkjYI73RmiT7IkHTm4/9FIpudVlTmbZZC1qY0aUu64UBJVz6FlqJKfAG/ ZTiwQpi4KaqNUu/oITh0yCuEHniEV5RDuefQuxkwHxvtribBVdffxXAAFDgAPSYvgyWlCGQfEKUN 0SntuzIH7M8PVQVtnqIYHF8LeMrMViAYlWETCgRl2cI6/xXUnjRPIWuzS6x/1Wf01HcT4VnkRLZP xJ8pJr6ngkyiDGd+YDxTrxwU5yYrKVPNFahFqVGOUvSkRAfUWOrEJ0Sx03e+AmzvShd5saI4nCaG EA8aVnQqrPgToXLlVytCBYvDVyihImfuc6ylO1rRCQcRaImLPmQQi0vS8GUmWqGEVRAomBsgtW7w RRG6VyH4mj4o+z1xyKQcPvRe8fh/oUbhxxoIV22eokgcLFaQyYf2G4jA2fOVKu7m/JFBpM1TGIkb yHL1cUdbUoBewdOQXjWokQ+ygsoi7i/T7GhcP86SdeGFEir0OQfOSEOy/PfsCxMWP5kfHv8vsmFA hrG/ZPMUcjcTL7zdtm29JTnfRQOTbaSWM0+e160QK95NVU1UYI73wivsZZLxA3niJG3xJU8fCixw PRk+BcsYX/QXdLWQWYEhy6Wmn029CV0dqM6tsCx+o/EqYeT6s/qt9q4QLk5m+ayjevtgXVBmVyOv UUOlWtKyYnyK2XDSPFijQ57W2XmTCkHw4OyombDaCzvqXEAGrqh2qwF8chp75cBaZG96lQryVLqJ qMy9sXZ5553kbkXheBnfZSdqV8PxzCcqiscX63NVmo8KDZL3WeAe9WMiKmR7UYg9jMdlF7yX3KY5 2oo64fgJl8smPmXCSp0mSYVHQ6ZqX8Y96EEUVaFXFJBnCYm2Z1DXbqJgKgfOWfF4tKL8hGL7gMFc up2WHUXkFI1vtPuwicquZohU1pvAY3mCt0P25jbrAx1VHK2QifSugQJHlacTthVRfgowLPxl1r5t O7mc7ygiZ34HgY6lof5I87FCvE0SdJOPBwW6X/KPAI7Oclvl+z0ih5jiFIPMySxdfQSWMVNxKzsU DkyzPtZRMc0woyS2S0mE/+35cxKpdidzrUueW6VSsK1gI1Ukf37h0fxgh6Bxukiex5oPKov32WjU LjH2/R6SS1X6ye9gut3rVeXZIWhcljV9ex2zkLHM8wl9c8/vOn1LMU9RRG7k8lrSvqM3gVMDWCfJ 3LychSWXXNwaPzNRIWTduICmxviePyp0UKC9g5AX51JIrHadJGbj8X9JYeH4p9QMgEE2TVFIjuIp lMWXExJbTy7L09O1G4G+g01TiE8xTL2pZ9ernr0A7m3oA/MYh4y5eFSVpaON+jJl5/y6o7LajmLy rdWUW/dB4VM608Te5Dk36mdHAJWW19cpjkJqhyODTVMIGCc5armGGigIw5kaihRZVJl0fOM0xbxN AcaTpB1aWjcihxE8gDZZwAuA1aRNiz9yQGuv1WuKCJY3X/hPGM+oJEdhilmlO3saQlXsVp4Q47Nj IZX0dYZ3qiO2u5piQUNFTzTUkHpRcfvWlZYbapDHpGkK8SmKLnsSr5yjb7oGXD4qfkiDF5J90VuB 6gfjHJSLK1OAMgO+JoidMo/wXYYP2nMgQJpMQVp4PJLpa1+zhAolDk6fpQifQuZXW4JbSNlwNydH Sdmw6mgyTNgO5QxVLKit5jt6ejdZo6PHT0LrdE3JzQBuOjLNkAjjacru7I3/VAyT3jEHFXw7+pqq 0C0+/k+Bcf67MLitd8+FoXgX475t55ANYwCdOarJF1O97KgdA1S015ZR7tajPoeD6eRxmxwyaDIO /jt1miE9q5rDRUTp8YzvCQJMVnrzpioPB01TBu4M2+9csQOP/xcPG4Cv0WD3aYoCcUB14IA0TOLp /HX9rqZ+TbX62mYDvMO6eBNmLpmYYboAlY11CZ+cZLDeKVepOmmMhpo8ZY4NnrKnXjg4mthcgdqm DypkwgysyUyjDjwe5L/pt+cIagXXTGNHUXjrCge6jmpoh20/mbZkZ+gSASklLaYInDJVcmoM32z0 tuaCszfY6aiKD1pGCIeJ2xjtdHgkmls5Lyt8TRBcUnYuyVAzXUPNCREEEshA+MDj/9Sq/6H3ARqG F64qPNDr184l/bnkjB/mScKmVCf/OKO866OidEUUFrXuNFpeB0zFiSIJu+iZQpssFBQJ1ZPcinyo TsIu0L/TNwUU16JTXPF4Z+dYIljnFy1MYSGTvPkR/2Jp4NQfRI+m8cxXSOHEbkJIs0hiyW3QwA3M n0WHcSmEQJfa5yuMx1UwABjzjg7JHB3ly03TnxMibMKKwdNAVn2m8JysKK46OZHxOL4pQBZQhKEP SqpwUC+Yv4X/RHw+Eiv4LkHBl+FkTevxkQqNf9ia6vLbEkLM2sEV4oPVilATdW05IaQQM2662fVr 9KJBhkA3es4nKJ8na5+WC8MdM2cQqAo6Cy54vPylIxiGdqDsNqGZTxRrBeaJUECw6RY/IAilnoKd 8uHxM/bFP0lZ6P1yn4RfNBN36KgIe4eqVpU2z49Gf0v6IaTQdrPKbJTMERtttsigNi/AkyOdhUpM WclUJq+E1/ev2qYcEVJoAcTwa5OLoEHHVkafihEoYAZ4/r+w8oFKnvn2hnOK4vOU5XbX78qixTmP rfkQX2ef21dWVDJnGQJFKOUzHH2uTuBwklaTsEeb2CSySM3CSju7vrnKQyHmkd8U9GAGCwdVZSk5 tVCHG8LAjkPk80EqU3yu6ANU6Nw0rt0tkYRhwzUz/TZoXUnVZY3obK1DK1fCMmjoFlfNle1JGlOj 34YDatDU5zj7cKwliU2UB+lahHsU1UGZJpWUtr10BEJs5G3kO9hsnYNdDP0T2/L599mqv1xJ0K8g 4cTnKpRYIaW6icTJJJCNCnDKYJVdbK4GMKR2wEfR+jRFkC1tI8F47YBfQNSpBYpNODObVidCx26U VCSE/+2AJ0aI3xRlf9qFAiKWC0RcqHqySHWuVz4f0c/n120IFPcJtPJzG4ZKK0UivyyZy0WpeuMK huNcY6CYpeXbMCyfb/HGRHLV6O2Y3dmSpkECwLPVDBJ6oZ4+fgmauOjIoi8DvylCs7BnhcIah+E4 O3T/2eM7D/H5qBc6vpPA88U73R6fELmvQQs5Lzvl+ZQUrlj7Xl7unMCVmatiJLhSDTpW8rijt2RW 7w77AYNqMGCBzxRSXgRa+6Hqr/vKkQo5Q3aAqm3gsmIzgzjeVtrg88EWvBVPTCubozS+8KmK9Q8l c1S8+jIJdpMnbn1EkXl0a6rCGrqspugz7aNnzBlKHJA4ROHsHGSdhb9zpJ3pXcSik2NtnDySholl D+q09OikHpQGoRJ7r1U2W+dt+fy/lP1USQZxfT8WlCHJU1PVtku2t3bLw4VoGq2q7M4bOTDrPNeT 4FFtS6yVo2XNJ0A7Pz+YZJinBhUtxvpnPe2fkTMLeuPa3tDOPAdmnTiZhzQQtw9Km88qpbjOCXLG 5PPRaXW77HgQvJv1pIQ59OskRx8w4OtSQplUy5ynL6tJX0/NVYRuSSLnwXPmjs6I7WAJU4kAkOC+ zD0PqBcqHuicMnE/whPzH36drOelWn2wQsyw/iIIn3w+iNzzL3mDEw2NfqmeOXbszFLQMvQYZaJU vAJ1mD0saUNhyfASDC07l2aIEgM+2qo6/9cA8weoDMKtNKhBkQFxb4fIWaZTrk1V8Xf+o4kFmRIp ZixnR8GJiNQMNBH5fNR2+FWNAYYLaCCfqkgKcRG7sSjHp3JMXbdqRddaThVCbV9UYdSus2oaLp+j pqrAsLRXla3Q7gO5UYsKwXJZqDQ8DkHDXzkSOECGMzopjRyEv4Mq1xScE2a5gW/n/4rVQElFXFSb qVALkWZKlOqwRZWrS2lN9kpMdXssn6mQ9WmKYzT2sNGrxTMBFU4xxE3JKwUWk3kYBBzo3W2lK2LU +UWRDAuBwYPNh8LlzkUFYxcx9U5yzueDHvIvi1Oo5GAC7lRFAuVUw2xbwln8R/frhLLT8EUFZIrv vzBgF6gTTkB39FMdJXTWs1BYx29I9lkldWhQZwGMLodO9WnvHO0/6bIR3MlBZb6z4wV+beeD+Py/ lEjTj/pZtT81hsC9E7YLSUCX5gUs1p3EQqvL+XqrOxIvh/adyw7qrHZyyvsigjoU/2CXgX57GrUP 5TYTwDRWGWsi6FylPtWvQv9O1dXZ+bFBAcJa525lzaBx+Ufh+n402uQXt8AOeI71UIxlCo/wFPt6 dQr/9GIDjvXUfa4iwyB39jauFUfPbWZFoFmKOKAnOk3KAzdFy4gG7+RYyQG9Vnvp6AqkoVnfd1Bg db6T3gK0v+bz/9KtKVr2Jwyuz1yF8HPaDJe1nXo9KJUmhGefjhcuaMHYXMVEUBX4hivY3Jx5ZaSC Y5Kjfq4QKCtxrrD3oXELtxHx0dQq7f7SkU0A7b2XUptV3N6bepU65Fvh85Gu+/x1B27o/DzHVQhB l4OuVG5ZH6XzoSQ0RFTDT71AsVQaGFt5Ws5swnb5CtsByZFpD8uc+extIIVY55uoixSgfQtERS/b atpLB2kgDTrSkhXVetZVnVKvQXGDzwfJTenfUWiGu0Zmcc6mK3T07Kq5S2OT23A+R9ZYy7fhTj5d oaXnGmYYv8YdfRs2qNotlUXP5dfWsvSGDQ2aO6Cqeltd/tJBiYEu5mlvpYRETbGGXKdcCnodlc9H ion5+8xC43yW58wKfT3pjIZcZJvU1mYqR1ropuaqxFyhnm6TFUPStabmyHf0fUj2z2btKhPra7xQ FDHprpDhWOXabWwL5tDYU1chbB190PmONIF78pxdk88HRdErm8jnABx9+qc5tvXslENih5ZYRkBu tPcSyMG2DanroCMr8PVEO15H1pbcO0ebqjYWwfVMm88yOqdZUlEU8R2h5aXlpyhak730H2U+tM/k bMLiOxcW6mOMRvtemx8Qxe3fTDUcAHteo5wcWHueu1ANwmozBCAae6eYrFa85Afl4OTrKgSnD/P2 HPmONllwRj8XPLXez7myqnQDS6NNeqM+ukQB7S7c9tIBfKEIda2aqJDBcqNYi5Ch80foTSOG0e0S cveexT3W0/UK/T3hOQdiovece0UplaH7OVX69sx5uIx5Dgw+H7FgyW1q9IJMR4WdSCaYLJwwxWRw EQ4PQtHy7Hdh0T8gxw6fmRgGyOxiQEpJZghUeMRiP0fi5AdErQkvIG8LHDqKHD5XUbEdtGhAPKYX r5bMdYmJEQeSisEwTLG5ikExak3Ql9BGvwwR3A5ErBCbhF2wkGgVZc1BlRccjq5lrs5E6PKpA6uK WcvhVmSyAtJeG5+P1tU3tJFkp5Vv7B76fEInhFobBozpZS4viRZ1vIgA5QxpqkIdRcUNaJbf0dKc DWxMRz2Z58veWzzuRPnnE8wDB3w1pda0V44gH5gpkm9sUEn0RLmSuDwztfl85MT0nRBCVno8+qU5 dPoEQ5EqSU5mX3V67jzSdjxavSjQHFh9Xk3zOiUhzNFRHyVDhWIyIYQOskqCZ9Fmoi6gUkZwDBs4 2aYqRMfIvHIK1g8GEfuoKMLquj8rTS8a4a+/a1dYwn1e8eAcmn3ynDg/e3d8zM4mKIU6+82dz108 LXcO3D5REVV9fRlle627qBKQ1nmL+L/WCXiniSaBOwToHBUtFVu1Uu2Vgztw0Zti1u6DYnXoxmfD X2c+H51UfgWyMZjOL7wfFdMc+n3iiCSY4Zb5djag7PkDmwPToM7pUxVzRxUoTB1Z1xCBKJmTTybv daE3SEog3NL7z2qN71ZYnORRVeydI1A/GWyDvgsFwotaVWdpEDN+VlXtfD4qXv0COiZYfD3dm9D0 c9LxZJdxMXykkhnScTSvs6fmN2Ds+mkSwjM/o62qPDIaqFZnP/efeFygyLF+SSjORYQSrZ5D2086 w3SQwn0wdFriCehl9sD381fETg2A86cv2lraVEURu0m+an5YEU0P3DHfC7Dt270JrD8B9FErIovO xtGmqiMAwE2OiJ01CzW6KEo7KJICZ+BLcO/2zgGMgTaEaTBYSMOOxIJbnPqZTMf4fASf9cBKntgF wNS7AWP3zyb6vzui9dS2k2r2vEUGC0KTPuqvY73uMZ/Rile1AoCFFhGqMRX/GxPnJoN5aPOgpef9 065OV+gAiq0A9JV6zsT48IyaFb5Ig4FVz/yAaLK+qwzI5X/g834TnD9cQIknIjVRLdTqJNKzEqZv wnUlKPMfNqBGQqrpjhZaDdrQTIpzYcdX6cNCzhPTBYQMJUpccir7S0c8blmgkO0OibefrqwOnGjK sqDvwQ+IgAx+D7LcDhGGx/M6/2EFKuuhPH1tVaf9pbQMWQudoHwnKxY9bwaNeUafrHMooaVGRgRC GfIwoLyIfmZGZ4JtSBeeQkOE3xRVRnmsF/ZyCpgXzEnQRmt1C6qXJj/gX+IAjEQhqVOf3kTgCIof iZ5DcxVLnWUXyWywZp7pbEIB7qJsMLQEtYpoWq3c0XmAu5+IquFmRnK+azYruYV7NYMcloGtdTpS 6vbSwVXIsAExhw8qMxRYrigWRWU0cAW1JuYXA5cA6JsMhragcrFIDCtcgMqppVCCtYr7zhfVF/iC mhbPSbeLzD5KcbOPk+BAk3BN0lrKPLlzEbY2VeLaidYCGvJybbq99F/dQdhkEaqN49qM5wEw4aGV uLBCTPsve2dUnscuz2SFJfdKTaVWXTWXnUljA64L6sPd5rswDNzVycnJssH0ZIMFYnZUVket75yA sh5A9QFejgAX1fqYNoEUr28KdmG2Hur0wbDIcE8iqC+vzuf/JZPH1gb+4lWeXmpoEGqKgm31Wxhd bmcx9xwGRh6sUmqywtBdBVGa6vjoBSy4ECEL5MpKoEBv1ZGRuRdoSMH7+WKvur90hIBk6ADHJxtU c8cNTqGZdvIDPh8EpL8cLTKCv13XM1lh0X2TI5EMfpzNMkc66KwYS5EKwBTbhnHwLp/rYkoAdGrn ZJ1ACh57NAvO5/Aqk53GBPslYgo7+4PNO19ZoL7AJtSid1Cd6OqIgqzwxwkuKbJ1PNPGDwhih/GN c8e2grC2T1bsFLpMcuk6Pj/58xTilg1VRhiMSQOrUAQhXFkn4Gx3NOhHP/cqdAOYVwBYOadEUJAK N2SBvXn6nAedd3LgFIqpYs8ZAFwOw3oy5zMb15XwDIFVKJLC70pDp9jwfmYqrLcnJjp5Ti/11evk BIFBL1/RCFQzFQHcrYjcp9LoPh/LwgVO1+qN8AE4i0yicCsarT/nnOmI3m9OOLK/cwCs5RbMdWtA ZdE0AE5MKhNMiFjn0C00XYC7Yn0QlvrFqYV2oWwBnZuw3lJDzddHXFFqUTyaLX8O/EKv83OVEKxG ixqgr7FwabHUt/q5AJnpTGDwBpwjkcU6TC0Ve+UIe0V82mYFGT5v5iuT0e4mouhs+cUPiFqEHo0u O9rHozKYA8fQW+ojolv5M8tH2oCVTN1GmEzyAlZsGWpOmKYfMK5+AAhFGTdUYalv4GyUFszedAhD gYROvVI4Scle+S/eEsQ8fVD+XPOU1wXsq/l8hOj7rl9BN3+WpzERWIaemdo0cuqmrgAa3F5GmhBL mOEVtopdgn94hqoxUWu+o1+CJ708uUgRWBvJOg2g4NYG9XikZHtf8ulUjz40DZ1Mn/MQTM2dWWoi TklKC63y+f+ihMpZWk+lITQNrYTUnsCm2lQhF7Gp6u3LeJ0+2bgCA9dQ1I8VrSexADjaVCVUeICg ZQ8HfCyCH8ECQIAD5BcBt46TUYoTGoc2ORZ19XBwgy358GSYjBDQeFIyfsD7wb72d3cQaMa5n2J7 4B3qKukQf7HJWvOaiq+53FR8zDF9XYU0VAEZMgpuPno+eHLTXPGjd6DoN2qjmKyK3/P8D3kBrvI4 zMxkLx3JVumcyktDUX0FOiSLuTP4ZHw+wl+1r9MKjp0/Z+HffDC0ECX9HQA1l/tca7oOGo9im6w1 vOsceIiiuKuORBKqiKMdV+Oc5DNJdgF2pXnIMWyMpGzlZ48rPkibMn5P1O/iM4hrbUh2B25FVhC0 4fMRqOgXyeuExT+g/dlUfV4jqw56pOLAZdlgo8KCygznQHaLgrU8wXmNq/qjJ1B1aHH0Yvs55GtH rHl2YCachYEqONU/aO3ANuyS4egD/RpVdWjnsyhKaIsGm6VS9zIwFZ5+maMOAJWWU7foEybQQ//F +WmiGRpVkBhX91oKo0CS2NV4JijEFG/LBzNkgvI1mQBhuqwuSjpl6o2hDEMpXLUDoQQwxcPbyb0u 2Cv+vEZTHX2bzY5pv4OmCDYvUxiZhaffpuipVp3toQ4XTrs6bY5eI6l+dQfzvkpxabokqok1U3Wf 1W7M0WschVUkUcZhfMGRnSdIzjsCWKrroh6aqhXXsck6OC/w7rOQk529z2sU1dGaervvzmrKUh0B ha59XkOonm6xWKsICIROEwfO0GsAhVUkvMKu19R420lO0VinjyzUUTlDr+HT+aBRxGPWWaPRZggJ DOSfKV8Jcp0Qe2fVnA8dOMlxLvoMAeL1eQ2eOvxO2EqGvBab8Oc2VPcdtUcSA08kmz+vsVM376pn o50jtKlNiil6jZzOQ0vl4XSnaG2/1lA18nbWBKxbXr3hRmsqCht5pC2/3yZxB4k0/QxvFKGVEwSJ 8eVo/zAys522KQ33GjbhMCK6P6lCzMFwQrDGIOgAbffXoAlPW9Md3/tjKNDKrh+tSoNJggAqytrZ SRDotHvOMqobGk8ox8mDNpgkcwHJSeuIo5OQTpA0N7Vr4cO7mrqCpfGyAJj9pxF3ofZokrdqMEkQ PqEqVfPBMI15yqQU6uif12AJC6l+7zXgoRYQDZqj11AJx3xhiWBfq5Q1mksPTxUOiEuAu7msUqI5 MocUMnVt9DttLsj/EZ4HqyXCgGhJMHGdISAGZNk3G9O61ygJmy3LqEHwDeL8ODGwQ1qEi/Ve++c1 SMJpNufXHMFhdZbh6+g1Qurei5mtTZ8jViWssGmIlwoCjGGJX+MjnNjGjpzWXJhfeugQqYTMIMK8 CnlgqsQUOjjlwuITlqFH3qTBv8ZH+C2mRKxZVsnDmco1n8ON8Ws7EdbnNTriW36vI/xsA2RgzdFr PRN7rappXO6BtLZXnQD99b3WnPHwWs30rc49Nu7oiVza5yUS1dDRJ6bMIJt7eKayEQ5muSGIqSH3 ea1lWgw2G+FDHFTsBi+k5Cl4HeRPgznq916jm+gECoFdPaqqBXPEkgi0Sb0wcKI7603BWsjnCCrd JtQRHdqqNmU5NWq8UNgK/PakWh5Skk7iJKyyz4cSJVnJNDIUHj2uXkuYeGHpmOQpxWGWQxA2nOCL Psyk43xe65f/72LLYlyUe2q/8/xwayfWw0d1RshKD3lGps8sy/HXFyUyvNxk07DqMzoAr0GSFBVA ZLpADKtRPMnEgQAxGq2PF5gy3fdQO1lRoA26yLThLjLgb8tXizJw7yy/bnShO1NoMSFd8nmKgm2w ytm8dfXFqn6L1NCv60enMoJIRtE8TXN4rvWODtM4mxdga7JBQKlpktJd7EpBVYOkBO8QT6ovvnP8 sKBK1YK6gy64Sq47S0d7fd4pfji9TS5P59k6cf+Jr3zXvTP8cMV14vR7MtV4/GPyeWq3Hrdru4Cy YJ62Kictqy7e8uN6OQeag4xJEE+uc7fJHYV8dSV6IA9brDSEFA6mqUsZJ9OBT91H3nHn/GtmSrT5 eBAtjfI1TZUVY3JG1TeMTnBN0+h3mgjgNoTU0pl1copefdtFYbcVmLKOHo0Ou4P1zthUsAZpjWIH dEdBcQgnY4EAomt5pqo3DrI3lSup5anBSnFQxZQ0OlCvYeD9xAJCGyB9rH7RvXP7/FBpwKT6PO16 s5Ner9zSvPP0HnsjE+Q8LZl6aDQSZEWpiUoosFk/x9QsFp/T0JGQn7Jvf2WqZhmeTpT6HoyZ0JtQ J6pmFnXY4z0f/Xln9tmutYmS4hKhnOOuqCj+ZvUaNV2/74Z4IPTbmdnzuJrc0vGd2YcrQQWTbkCD vh7JvI2aSd7022FFRrrgJ95G+YNccJR77BwfdG96J/Zhg2/6NMxxB15SQFwlQnvrKOXzzuvrUE39 Pscz/QxomKR5eo/BUc5iXMA4TY3zuouXwctjc9Vcb/id1tfdYv0c02oJc/SDHGySAWArhJnP7pPT 8fmDME8kPyCqNffirKJhFIXLtjirYcfB+gUnCexy3Mn7807qw1EzfEEVJTUg597aUhSGL+EL6rpg DIJz5EtECpTwBbt6cSmKw4cYxbVJPI+jIzihwFElVFmB+y3sUlWaMrKSnee8vhaEgHze+XxdnqYn CtqEJfZdLH46kVAvTTZXnY8HQebyrJd8BxgTAWFs0/QeieOS6pJycTbfTtuZj0PwFXoVQqRG9dwo FB+qec8trWGOXj5p4GYDeQ5twZO6pCUwLMxXO9A8Z5E99dxib/w+TYqeep13EJ0WyuLTM+DPO5MP 0dP+tetAgoQwiM9TFI33pt5cunVvIV7pflndyRg6T958CsNxNTJnU7duSkia8wS15A2EP3nH/fwU gplvBnkwec5EwxlkTApUUTguXB1DABt0OkHwXqcT4RdRPJ5vEYXpTUGhaftyemfwdavpo419fXn3 TX4nXPPM52puS37fCXzYdcWcm+RzzdGjJ5CFJvvQgG2eTIMCKpXQtA05wczGr8maIc//vNP3sAFI 9i+pdx8sekqzcAVDRebzzt7j4eBBplweBnR85/Z5iqJxNnFhC9ssGt/SgqOHE2VRrJCyrOf0zt3j whRnb8gmhaNld50evI3q+h3IfDWIS2OrmiKWlWqVdjyxbvnO3etmTgRh0E5PVfSrxAY9Ufik4zmM LWb7vLP3cA88kQHLKfVnjacAHlXASWKFSr3r69N9yiKo1C8XBgYQmqr3gBxVRfPfM/BJn47mmecM GoveGGdJDXATaY2FqWrEP3YcK0+oqTX1HpGLdgDgIXVcEgQutOGQV+8qGZuyPu/MPU719xmFuQWc 2CcqDMnpib3SfowI+nV7ntWbvi27bPw7b4+5olCHXUwYjl6d6+scRIXowzGA6hIvu1KUqQBJMNAc c8UNyri80/bwRYygetfN1w2ZDfhAMyTBnPXzztrrxuu88wRr95MhJJ+nKCRHK5wWRZeHphoL9x6Z lbb3pil9v1P2uPm1oNbXaHtvAXa/pItwQsoCpD3PKNTiFoyhabljhV45Xr0z9tibI0S6TJOPF8X4 zA0w2dh4o6CrEkXkM5WvoxxH1BztHlFRPE4AOAzdvY4JXRqbpiL3QvZVmvuCvbP1nq6B2cxrvJDy BrVyKpihazDEgixUDwAmFIXMnJ6zfOmVgwgKFVpcEMUHpbTnumgCPu25Pu9kPV/2TynzZGQDgHmb pygen+rQzeLY+16XYVGgTOPzNMUVwnJ6j8dxlKtgYOxGRakWj6MMl8iqOhHASWk6uerIXlFYwcUH 5+fHZn3plYNqJvcbbMh94NfkDF/frjWyP+9UvS4UrOYJW2wSXNY9b3ln6nVZTGMRmdHH+cd5fVIm PabtHO9G7n8n6vl6BkNWMs0cPb/r0D+ohM9lYEgyI034EOQfgjkyQK2e35WhNw6micd4ruTpYQv/ WAsTsn1EVJ4Man/eeXrdtDhvZYUV3/yEUFFtvGWeSfSP1PEkWX3TeHOWUK+OyHxn6eEakrzGKBJq 5ugt3zMx1AykZASiqJ0N4TrRy+xQWXxYsmioft5Zej27xD5UOG1QZeWsjCV/oZ35mlFlxU9xeRkh Fr8FqHeKnrfroG90jQh2v+WCsr0Ala/C/jtDj4UHRlB0APPRpmn1Bln1BDrsuVrO37aFy4chaqfE 63RN9LMJt1442nTqr8ik18Gx6Ps2dS3aXuPzTs9DnDq+g6cGebn29MajeLwRCLb2NSfq1FYiCAWF 7LvpssEH3sl5TFs4S8tsC9e1Ldwwzu0QByEOc0IwIwszhnLrQIkQ7ElXK8Op/Hnn5lnLDaQ8XnUc jG/Wi6SOkCF93ql5TIJ9LVEgAdBQ+dBzlmIgChFgM7v3R2r7hpgC0bGYidnULIW1cbKsuxGC+kMI gpcfmk4wAoOGMnRNtT07NTIrWWootnUld7nojaNpwplUQMGzgYupQGC/CVc4+Xiw5cr6DjCBKKSp m6YpisQbqZ4LvSEXv6vu/cGitbVaeN9jy71z8rAXLkS83tG2XEFwNOEvArsGGBK48A2gc23IXcIP 8Db0wlFuR5CFlO84aDHBrWbKoqiUzzsdj+f3dxgOfCD6lD5LURjeSKFJ3bHPnV0s7rMTPW9H1G/a jQH7/E7F45aT7exYz+gV3w2d3MTGXcp90iiZfFjYfkJqWKYWQn7xov28E/G6ieSe96UqCzQzZIAG 6POURguUHz/vPDyWVL5bdxXnQOkehr/T8LoRgNqtgcMJvTpGvG7CeUlH38vipncWHn4nAS32VoOT o83TOS1OkpDJRj8nUdlJhFmKTKAt9UM1TXPnRSfu807BwzStShQB++R1ecOEXrZdoJRRPu8EPASn 92hiNACgTHuOpvcoXDp7IGUUb29CRdRmaVMixPgZy665d/pdN+Pyc9yYXUO/dg3ncD4578nmNvsH 59hNDAAADSqIgRddo65n2mSy8k6+6xJAm3ALTj7oaIKVgsh3qfM1g3sufXftoE3YSbLRNEVBuLRF 7twQx3Nhqbu7Gdg8waKd4GFRXGjUZpuvySOIweUJVDbyZ/KjBv3giEwBRJWVuTMl2H9enyOY8J14 Zw1vzLXaLemagQF7zsJEXfg534NwLIz8C3cBun658xQF4arPfZsVtuuw3qmTLnAK0BAMwt9Jd7xp ZSEzJFtzDg9KGXM5DeRbxXQN0A5haZgGqNKMKmyyqEQgpPM75Q6VskFeBsOmtnm/d83TmX/GIOdN +J7BVTd+1QhO6jkJa9Q8hUF4k+WOyeBSMarYPO19QYX0PdB6Csvi0k5sRTERx7ueGnqO7JZDZzlR VjcVSnblgr4d4cqO30Vg/Xmn2/EUJ+ZSDOGMcgPTogosb2LxFFHe551ux27Wd/aL02rWW3R6J9sx eCd6fK1bJaCPjbmHF8eDz+WIsHeuXRd7nhNkdjLlnk9gV4LqNIidP5dowy4jMRF3AyPPiqTUra6G vXLQjqJuYl6ar+XmRAVGK9w49XzG551o1x/bWdt4JyphiGsIzDAQpznRrP1inVMqTkqs07HOrRHH CwhmWBivVh3Y+Y7ejjrX7tm5lbYMA8ABQjTglUwFMmySRJ4PF9Sg+NE7z67LAfac4BSG7NTf5OQs wKqmKiRtft5pdo5fulUnLOsflKR9okKcSuGxJG8B4S/4j/BtO4EN3dXPyycgCCtOqHeWXTeeX2rV woJ6wwL8BYuSvp204BNOkdKRKuzU0LEGvR7/aGWnRUWtd5ad7zzI17L6JAlHlOdyPsuW1M1zqH/e OXbs+30H47g8Rkt+kr9T7LCgFgMDLGazY0ioU9K6YpTt1hUVNDgtqKgs3ryDIM55lqsSy3MT7bqc qPhQoVzQhiTtMrCJEB9AsFae3M5eOTjKK8kqRW6qhdkB5TFgFcR5Ot/yeSfYOYLpOcmh2jGyh+Pv /DrmAOTX0aCG1OlGOSoKGJxjxP2eTfsP6ykOx9Umr3L64Oj0OnAA4A+q9ZSIsSBQBYuh4A4DW9gj g0Emxju/rrvRDhjYPhju6UR5UkmcpX7e6XX/ryqOrUpbPZunsCq+pIrRm+fAeV7cU78YcSC3DSMe gsSleLSyyk4rP2Wncz6xw5yp1n1CLQqkwoeH7gDY+rAZsRxYBZV3bl2XDTG0MHryQdndWaSdFeZz abfPO7Wum7LFDTQzXaNhZGvzFJXFVe7tDwQa3lMeGVQzWcW+W9bhfGfWcT1LIn9L44GjpS1AO5/r jfyns82AepeF2ARIBOaSO914HHJB/JpompY6m9MH65efNZqMkjE+76S6brYvX0XxDMeYu5pCoLgq Kmk6VxNSV9YuXyV5HxgNJNt1YTwuVbYtyUPTs9YsnT/gHFDQfQGqaiyUggUhm1a634+0w9Riikri fYskpjW1h88SrBYFggZR851Ox6Ptm/9EY/s8710XRePUf+6JPrq25y7WEGpgjjUszjx859I9pYI9 dJZztFnqKPqhscIa5kwSKIDsaYNC/kkwgMLwfh2e+7xT6ZicoaM5FGpy0JaDyj9DxHOpzs87k67L tOErxDx/68DPa7MUxuKb5V32XJUCr+G6dVtOHiKTZ9N8byFaXA1g82zU6I2DBYY1+5qJ2KllVE74 S3cwDtnVNNYvev34miAeyFLW5CxxEPKing+TItQJp/B4EGBeQGY2VtlGM+qeTGEorjy9V5dy2Ozs sFSQlLMQVE88Kk/wqCKeZA86zP9yXP9LADtOQofCAGu9kGTb5qiG+5jLkKaFDsnceuOAwZJYSpF0 ct0WyJ6AuMuJEtIQA48HeIL8nbGAUTRz95J4jyLxnroQma5NsNL1pVgyM1ZFxQ0w+3skjvqgNltV H4qjpqme0+jEmFMOxuf6XEM9vbIA7kGnGpAej8NJYO0hM1NtqFaaD1pOJxMyPe7zu+DxCAb9fYDD GQjeBj5LURhOx8Zz2te76XZxWYK8ri7IglShZikCqAyCCCQG4aPPEguiiwf4mijGbiXL0N+1Cgwp 0SoUUBekRyVxoKlxdFOpjgOnCQ6D7M3C6mvi8SAcKN91J8TkrUwvYva4JC4R7jJu+rscx7N7v81f bBie4D0qifdp5kJWTyHIxaJLIFJ2I2gVgpeLpVOw7zdl6pBaoxTldYJkr/xH3aktXnhn6NiszDsQ yrA4MU4khucDxNP8RdTAXzah/mUzFdI0KxPgVZbF4bWnemGrxZpSJ5lgj6Xzk4K8bpq/enrGi8cs cNcusnw/+1EehkW86Ir+b6NQpAldMAHuURxeiE6Bu6GGdQGZdUlopvWOx6NCQfpaUcim+0PV7BFX kxlDG/ISor3CouIMIqfSDdsDwbVmBPsexuHLGL/5GS0OPxEyUJlEhsHsazee9OcnQdDUcEFIfFtx OK+7HtXFzQomkRZ9/oVpyikDmAUe4yc2mHg+mKf1zSBDsXfOy47qcRyO9XSWvBtdnrDyqoG07oDM DOMsraeYr2mWVSabUq9sCqYIsf7qxtMoSQqtWA2g1i9Ire17QNWsNw7Cgkp5SHQ5UMlEUZsQpxNU dK3hk9ZtPB9tvPFrPTXky5fVGlbG0bHuhYgjqmFVQkZYJzgX0TI1rI6rmnWCHkbiPKGA+Ml3fGA8 54HKii9sSrPENoG+GITP0ZH3xk8AVvCVo8KTJO/NBWZRXJIrCmIsXBGonOID/kVpkQ/aORAHUbWa qvdwHElLVo2uqfTUTjihOko5v3X10lNFdYWlpx6F41tIp3Nwpzv6EZVO1AlrQiIN12rdDHNYJM+g lJTGNSVjE2qO9hAzLmZrZ42OA9fGPCc4xZ3PN6aGxyMS8C09CVVwrgZ0yGyiItB4J2i8D64eJHrQ jfNiJvVMEBwsNg+1piKIindZikGfCrOISpQvQDYDojGdKliFhkaJpxWdOHGxpkcwBW1LfNFf8ha4 FHywmsq5S0XAb4uPByvqdlv2j3DJPzSQtIkKQ3IrPhVVnHD/0QmbEyVyK5vQjHIYHkTVceuYl2V+ JuvhagDZY8ZeCP/R7+KK6oSRNpTqzmKaXswcJLr2CKbSGYyjG+uDchAQQpogmWXi8X+tKFV9ATGr zYPyEQXlOs2VtiqOWtnbCOf4db3fTXggVtSIyuPTmubb6Qj1onnmhOJqQ14OqNtAc48nGTKPIYEM EIJFaiGNFN8T6TiQWt4pTc5B0NUxGjmWOOsyHg+i8v5d9G0wBtjdo/IRReVd03R1nTqwQxYc7Nac rbGXw3lGWBy3cLMpJW7OD2giSOW91JaCVvSukkypJwKlxF4Gwt111RBb43siDif5hD0JW3Bif5ZW EBrMxG4JpNzxfNQ1/8bQkcO37kk+Qsz4lMpFMUmnXiCPaF2pkdNltSzXKYiK45TgTqBj2MjrZyB7 QVa9mM5AU+3MWJrWYd9sXDMHuPYJZ1kOfE1wjg9qOSw1O9cw6130UZlFnKv+hJojKo3nnr9m6YRC OPe9yTlCpEoXL8qwl2CS5XnLT6aD0aC/ZDneiEJyA0BPgZwxJpsloHrRVqtEqgwy8VkWTpVVxJ2J 2/bAACUhfE3UaJHbII8oYZAZCQ04hNHEDGqGIyyM118dzoFM+PLtRhSQd+rLrNEdWkAVba2lATds I04j69BaigJys1cahvO9BpPITlpvK09K8BQwp+1oAjgahOBzNEHm1QjBk3y7ERXGsyhya2rAncIL 6rzubMbgLBnPR507T1z473YcjvOupjAgz5Rd3Xt5ybdmL4yfmNf9Bgmh0jxFAbmU0VozSkt7KC04 l85lmmRHhcC57mbzBHQulPjnQ8OnXMEI0eI0TMhSdcqqXyIk6AAGq2AwJh6PUNDfew5JzBhXHGT8 Ay1euwMLJsv3AmDs7ACMBmQb07sRocUNijqtWMfRi5kFhbmZsskVQfmRew6hf4fKEHxCL1a86oWj ph022xCXZVQ6peOem1DFSZ4EjzAU/5XcUc0prTtLUWFcZZW5a/dZ6uuupXybLA32plpLUSQ+JTL3 JME0Vc7CQEPrCkiUs+cKKn6c0cqy3dmAFWozd5ZwD+FrgqhpSQtTloyQxMwGoVtKnyHP3vF8hFL5 rhUQv4rKmk1TGIdTEXPtq4i5WJkWeTOPdq+5ZOLsI47DVZ5M0nQobqgMFhl0dZc8muF/mybBYWWV ZmqPP9Tk9E3H8HKEcTgCcJwSSIKniuzcdvukRIPb5nzj+oywOl5/4cMg8DMvuXyEgTiNGM04nTY4 qPBZP6rt248aLs0+wtp4lopK0iHO0WYKcHfACii+WE94OUiwLjNTeBf9eRidXX8EneIhf7MyFsAN hQFFdHZYzkmVClOzcwEWPB+1Eb7rdEhWqF6qiZphdbyShZ+2t8snGUbU5BvTHdQ3cEsqq8xQtlDl uWlOjNOBNqhsVpxw4FehiYAqhOAXG5nLBAxtpccZodgLB8UCJiodhRkbBKM79x67D+BvVjwezdI3 KhOZxoDth81SFIdXxuGDlBYmwKNfNA/Cb/NeLGCJMAGeIX1T0oXdFNX6VVQD1a7T9pIUsnGOpdZF c4UQO7wYF8Qo8wPyXXrlKAGWg4tMp0jF5TwBRixg/UmE8Xh0QH03OCEMPiDibvMUxeGJESboEp6v 0FRLWlhlX5F/aLtLCyvmboqEn1e+o8UEUE+DFLSsYqcQdTSPQKRZANsFYOWCeSjqMOPyOPdbJRyA A+dpAOioqOCEIHg8mqdvsQLQAeg2a/MUReK0Y3FQgbhRzpVOI02PMVdyg8oZFsclPteLdl0vd9el iYiI3b8OJYeWxMKvzHxRMPrZ68skdumFg1lqDMHJFsJg+crZ860QQ3f2w8TjkVzo9yGeAQhZEsvm NEWheKXbInq1Cgt6o0KHOD87OSpzt25p3QyZm+abRGcRG33bwYEQIRQpZOf6bqLanWUG9Or5U8lE 8VYwXblmyNw0DI+0Z6drz1ZIaTEoP3l3xeNBh/O3uBqiC4RGNk1hJG5E4OGCxoPdMGkV0H1X0VMn NOxcdjOCqHRXBhn5jj5N53KDZgkbwRticV0MsoSlASczdOk9eqJ954wi8SolQ9wCNvBLzp43uMe5 hQYej4pz37CCDeWLfHnlM4Ko0BCxbUXiCp5uSAC8449LFWQDhs2oML4UW3azTOrtQa6ewLt08PCx mM7ZRxVUxJiIQ8dZB2fP7QusJ1l6RpF4Ip6gSUalkSvEGkE/vxJDTLi+4fEoq/vGrdL2E/Vem6WQ tVmM6HMLczs7YHzP5mtpQadWWy6UMxS9juG/j5b9ggRHKAHVZnamjzCF/AmkSRVSJk/zYOuFg6bd YqUJ02OD6nIT7HvG5Gvz8QgVdsFzKswh+c33ZIowKrOJgrivAVf7knnazimf2YsEM4rEhy8mlUm6 fO+5mECMQp98Sqf3xDVMbk7cfL6dqggFyaSzWYirn1Eg3oi9aOKUN5Yjq4oEoOhiOZz8veL5/4J4 qjiV0o3DZ8japOCytX4p8jStXgDvrTINo5I309/ET/pThW6RzW/jRTwNCKmBew9b7nQ2i5Ct0FMd NL5BRcXnaRW98R+yF2chiUOWLodsnSCWRd92Uh48HsSXbf9KV7Ce70W3oig8iwO8k190hckCd10R wL6rfmm1lBVhVNhOxsk9n9GXEyq7fRY1N3Na4plV9s/hEfGDNqqJg0ihYIUYFZKi2tARNRzytM/5 P3XPtVrxeEDb/IUpAPYExlY+S3E1nCBDOntolvptGuRals1Sh06JZinEqAyzUxzljhZdwsIUOt2S o12D2rqImni1Q37uS2h1LXvhv2opNLhBbwVNJDvCTzQs1f6TWeH5CD/3vecwsWTH2TSFEuKdFj9l uvLjdjA0MSrOjSKZQtMUYlSUpMykvUeJ5zNJxIWdQ3vOqU556RPQIGExE67vs+1XqU/QtH4aviYI LhP5iJsw6OoNnHJSkF5cip6PR/hnL/MK11J/+nhmKQrBzUi4jSu03povplGrtwxOkmSFuRXixKXb C9nhO3o40ApqsIUdqBMWCFQCol2boNws1J1uOEDw3Pq7GN510YGx/CMpDyDb8lT2AU3aEJ5yTyaW V6mRP/MF8qxQTJzUKAOoLAJUWA9HhaBS6JVAntKXRZcr5m3KPdgF1jD62XT2cptQtgSFbKChzasu dwodV+IMZ36APFOvHFXnhqQxSTxAobpJrQn6EOoFn9muE58Q7LzhawqHGVKNlS4ic0WBuDRXi/SK UEwpywLxCgNxL4lTDEBTFUqoDPNMNMOa9WVYgxIdQMRUeUKZkWXMgs0CjsY5bE7iMd0PMFF4fUUg lTUkJpqrD0p/66I2IRMWvmeAUVnfLRZIMtGOxOYplhXXQT50x8FaqzrmqZIHxiU1ulfnVhiKFzlB WOLC8UZP1MemhMr5IUbJbuyDJZXp4TOuIWfJuvBCCRXsFiRTkuQBNIGxJTxSiaoHlAqPR7JhnrKw pY71VK9Y34qCcYItXUJlSfbYDSatZLc5T8vXU4gX13qqda87Pvnv2dsQ0wBOAga5uvASGw2ZqDKu JwOoIMHCF/0FXq1sY2FI+oNPKgukwg+VsCoeD87y/c1lQet+AZdr8xQixhftodZwVOby/hPO8l68 ZVdcCWuFdXHdYqYdrtG5UfXEFSMRCV0QjdMunaRElJ0QHEKo2xrAJeFAxRcF6rSyOhDWkENSQeXc rF0uBie5W1E0/ijVF8kanl8JtDafqRgzzu22bjsK8oNeoKPsl1rAXK8UYg/j8fHoz+VvHbqdMjwq T7JIHboJkGkVzxU91Zzp2rLb5bPwZ8MXBUEUI/E0qVbAQW27lU7auCzXw+PRivqGQxODgyVvE7Wj iJx/VusKBDRR3l/BFe+lp0l/diypHfI3BV9tyVSe0mMIP+bMdPHE1ktnoauBAGPqk+DhrFn79u3k cr6jiLwl3XlNouyo+rF4CfGoJVDm5ONBhe4iCmj8h8oTMmubpfeIHAg6gVKu0Ujf3jJIna1nE1Jx 7aIdCqlI8yLn/ow2Sx2Q7sQ62Lk5T9RpywnZGeHQm323e+HR/GCHsHG2f+FZ5oPq4h0Wu+QgQIx9 v4fkOMj3V2BAvXroutg8hSF5anI+MkwBOLt+QEHQ0vM72mRwnv4RkreteWrb5wlUjQ6Ti0Xro5VG r5LJHDh4JxFtCKevT/DQG0clOskWERLNQdALWPsIFnbmDo//FymVTPnAejddFJPDFA313pEMoIKm kU1Tp86NAVRc42mHABWlwdi1d/Rp6lQ5meICw2NMkALsup/N2BSyc37dUVltR0H5pqZ/aWP7oPDp RF1bCJWN1RQhVB5JaKXPJ3Jat8uyQ8h4Iv931n67B1eXZ6NDZBrjBC9zmkLIuCSO+yrljjZNJ1Y+ 00BhLqQupZmy2kJIOyCX2eq1ReR98tmxxPhQMUWlOlTrdXAnkEvY2jwhBp4PQGH3DGekBcR4u4Iz O4rGEehT97E7paWYPgF0H5PXnia76pymEKCiDG8sEX84OmI8oY5r/Kjz+wPVw2lipxp4MwhAulAB QpHPjsriUDoH44cQQw4G/N7mzwYXqc8OASqX0VJ+pJokDolmKZQXJ09jzXYXE2MezZIg9hR5EswX sxTKGSq2pOadj7f0dHLgkhiLn119ttUwwZlzTgxITkq+aDVld01vHNE0aKfR2ODkYEjMJK96VN34 eFQX/05ZcK2gLObTFAup5IdzIOBTc7eose6ea/gXNE0hQsVIdqbGztEWExCqBf1+FlX+j7EvS3Il V5b751rKZJgH7X9hgrtHIFlXGXVaeia0+nWSWSCGGHwA+nUIeo8qAS3pGTyZiChNnvE9QYRJnnRt u/igaQL0hX8mZOjweFSiG1+rCXjtAeSQTVNYF09cTarLkWxHkVcz+plXMgylX3I39z8QKn1JXpyj n+CwmoZ3OUFhrFUKToAL78SlKGXf9gH/VnxNZIYkMkvbPugkPq84RUmsdeDxf3F+qFHQUCu4JhE7 isKpLH9O7WInE2zC/GQ6J0iyWdq0FeViitApU1oXQ3JgGi1sgiz1gjkYq+IzJQh1EYgJ5Djbv82D y5KY/e5QQoVcuzxZJeAg2gH4MllVgjnweKTS560oqkajrDln9j0nQNDriZIFnhvOIVtqrRCcst0M GH9oETglp1DOUKpyqddn1ETNDTBH3uxGnTMkEb+uggrLZNgiFfYXk7gLNPD0TZFNGysquyqz6+xA abvlKj4wZJI3P+I/AVf5G6er1yfu0Huug9jynLCDjAwKP3Vxf9aSSl8hjG5Mn68wHld8CWHeOzr+ 4izWDpUnFlYyJGxE1EDCkikvW9BScHoi43F8UwTAAPJiEjiuQc3gfPJq1siBcuPz/8pcCsuaHVoh a97JCrEqiRiMRnECQsRmylasa1C9UBFqzunOtikEjauwCWHjO1rV4OzAiRWcRP9JU6BxydlTKfok ETheTPCYVQN80x8gsXOzJmkZ4bxjvkaXCtYlx4S5bYoC85F/8RAgPrNvkCBXvhC21GHyYMAeaDh6 68Utb1siSEl2CCm03RRRI/X+jA49PH/SOVwK1aHPKh5iK5QKNP/59zhg+ldpU4YIKXQAot4xQlYf dGoV6CIQ2gOYAZ6PsGK/cQYDvelrKJWi8DzJ4X2Piz3MWA88taZbTSPunNsXVlQxX2Jr1CxUK0cv A58jMNVE8CGKviTkY2GhGQcTxfNDwAPLylGZ1Fd8UwCOJo060RZHgxKZ3ZJp0Jw/gs9H3Pzvwt05 4fHiIz3bMKyZd0LrcnZdI3W5yVdcjH94ZpWyjK+Ij/qzyAl5/zt6SSpjX3RGVnWeUHTLZDIj+WZz /WR91S2TSkrbXjrIjuVUhnzeBq2sdLJLxVZnx/P5wOKmjF8JTf1hMdznKozVCc5okPc0DShKYoNT Bksj14AahbJZXR8VBA46quDWd0c734HtKQ1caYpAwY5bhXO0niBTsiAW3fx8hzmivulPcwRyDTWo 4IIKPVsxJ/POfD5qMfzin8OkY6Gv7pMViq0UUcxY/t3C2G3bhhR1xBqD2MpzvofVc7PBHbXc0bZh hstJgqgpjix4vjNXhJhfIQ/3fDg9XHRkldrspaPcJuuskqHEg7MDuV2eQKXx+QiO+A0gA151p0sz A7om6vHxnBIdSBWFuazwsoYZJ1Qhp81UMdJcqYIBt22uyvu6KoNGDcg0O1dQqNm9qEAFM6CJIH4/ XP0lj6kUi5BLpo5eUxy4rMo53WWN20obfD7Ygu27I8PmaMI0+FRFsfuSTadKCabc7sifISdqZjhl 3amKSugK7OAo2u9oU3Uy2YmLGVMFcZpcprDASLAWM2ySrI2TR9IwviioUlGpPfVefRAqsZ/N0cW0 zpPP/yeCEAyryi165tCqc2UZTBUvLTT2bVkdrhQa1qqiEJ0sKENYizxNx/waLWkGYw63KSswcA9k eYzaWagrgaWE6p+b3jR/56g8zPWECqANyppPhtYFL09j8vngtLrWr5hk8EPWQ7DOoVtnk56tzJNk qTRdy+erCjOXO3bnwK4Tca2E23NJd3TW2fmJGSpwqhA8qP5HSTNqEvCYMnE/ohPzH26dNFISE4aD ZqqvTJIqaImVzwdx+y9dTdg7D6AwfaZCv85MTDBF+1XVE2GB6lB7uYz0osMm78DQsNOiq2ER6RiX Opzg0nWChkZnrhNSnUmkEARd9s4VT0b6JQuN0uydIxyQwnXhgEieVJHh5J0SQjyHIJ+P/IQ9aGfM iWLjvKTYHHh2glfHmpWL+bF97MWY2boLaUF8yRdVGLQrAF2Cs2rUVKGgdPYyGvg9LXhTGtW4QEEB FsA/uAJcb2w0e+W/pCDggOeD0HcN7V11SGGVG7h2/m+wgCr1aO2ZqVAMcbLQ0B+l+57uTG0Ws7io UOywmQpJn5K1nYQI2Gjb7xzeZ4Um+oKcE/7czknlPXCqBkwV6dxthas+/J0jGRaq1UA4lUOzRYXs kE3hs9M3n49ayN/lYqjktAf4mgPbThQGGCqgPmC1q9ym7T8DMFJOC/Iftv/CeF0LZdb1jD5V8DiA SgnL6hONdRaMNyB1g+yunudFTvVp7xwdVQRzFmGEi2GEoV2R+5Ln2yp8PlIi/SUdiQAFybZPVcj9 rBSLIjhL5avNq3DJeMoR5zAasaQ5tO40yHnekiDjaBEogEXn/2XS3NDE3AwVzt0F/gLqXjURc27K E9veOQirplX6ig/KA6HPIdeSxuUfRes8S+5R1WDIgF/Hp+pvKZZd21X3oW+IkC4SRGLOjEjGpipy C7IOaTW76nrtqhe4r+f0XeTJIkLvi1xaamwRFoCeIxlWsj+v1V46kipHrECrNRsUVjXoRUmQNFU+ H8nWfNcXqLs86rMDwxr7JKa6LicyzDytqJDSoxhVESHbXMXgc3PGEyKPo83VmaaT9tDD83wZQs5k GtzoURfswyo2mvqkvdlLRy4BzGgK11VyUfTaYfOiSsoJrPn8v0yDGBgANpP6M1ch/rwurSvBy3Ba XVfYXUczBBUsuS0JjH08u2owSTrcHG2uzpk/RofyLimzo0k9oizCrQg8Kz1/ca2mvXSk4ErZg6qm cvWm8kmXhzSt8eV8Pqou/MqYqV8MzwefrdDNk49gn9xduC6/eO08bBcuoC00W6Gd5zK9kWK1mHLt PM9cn7W5N8VuK1TKhzyZy5CJDoocgLHcNlezl/6zg0PebGcOxbZNInGMKXMdlc//F71E5FmzPCsr dPQEFwbLCdchdbZI+xAlFOrSRgmFtYnPVYxG14pqIqdx9PJxAYcd6FXwiSDGYraC6MZv8lF7vw6x NCnjF/2JR1dyk29yM0B+N7Jxn3w+ghDP75ABW3pfVEcOHT3J9j3xWy0GY6zLKjEnAKpWnwG7YRiN LweWnlhWEtiSC6VGP7AG9rZwHRXWp8KEljm4CYHsg0vFLYjWZC8dyUuyGb+HzAN4fHFdIQWXRMtZ yPyAKGhvv0LRcn6vC1rIgaunUSXbbnNb2QoyXzZZTVJu5KSiYW/rKgSmmxqZWXZw9JuwDdSPibdu KLNXugycWcrS94KSJgXM7Sbc9tIBcqGw2ZV0yCcvWzUQopOojFlvGpGLvk8sqIGO9fS7QmfPLcvK alq3GWZG1pQHTbg4EKY5wiMH1p6Xbzy/R68dDxgLNrnljAU1d8lI4RYabGPw1LF1Re+AHHt7UuWu YSuBOQPID4ugCeZFEms5URw/INiEj9z7trChQ7jCJysqtDc5Wq/HQE+WgywxsOBvcJhxJyvEwxia eCnH4eho4orOJ45vctawD1mN6Tirxma1vT9Gg+pKhAafOQvqQQhoc0MveJQWoa7P7mh8PlpXt/FM 60qQMNdTuQo9PmWjt1EeERCtFoKtBgHqj71QnXeuQhVFaZRP+hfa6HCPtMA3Bq8AZjAo/zAco6FJ B/2zQYDcBKXWtFeOml3yRyeukYPqofUcH7zwQUzh85Hu+0XscQWdX2bAps2nKiqzD/Xo+wXGGEad wJiRqwNjhmMZcuDzmdxysHdJbnC0ZbWRMZGW01nDWvJMrQ0lW3QSTlYG6yS1b7LNVQiNEWoP5W4O VaDXBFlCht0AqfH5IGQYnjpjqtgpQVDuUxUF7owKztGeXYl6N7OLpbbOVaLmUmHqHFh9Znci7kMo bI4+VShUzkz1YOQ6y9yYGNVMrCjgqyy44nnJ7wluQXmg6TLkoHpMAXiIk5bRnA+8PtX8eWYqAz2/ KUpkU/Uet8OciO4UFA5VlSFtEwVMicLhQshA9cymKgaqWzkqPaNDZM65dH59Fj+B2dzEYyK6An8N nlAF9wBqkzysir1zBOkn0gpNVwxnF2hVLXBONldV7Xw+mKtbZdiq3vyscp2rcmj5OdlA3Q7ev77N OtevSMLiJuEGjD0/lToPOvDYaGfVCcsTRElUJ4bLjOnhoGqJThUrSA4HLf7KfxjDdDra2qBjPUNn mUUGFtkD18/vkJ2dHuCFV7n2Cznw/Sz/NzFzXgQwGzqtXUQoe77Wu7lV9sD488S01bT/RrrjU7vq qGWR3Z4rIvilhsT5s0fCTYEGzqW3+zsHXDb56gn0yEE7cBbbjqiK8PkIO/uLeYQ1Oi7pL8fen1Ut U1OPyCB7J7sB4YhmRYYFcW7F7KH5p/no5KaOIEfvnpZGGnim0RfAREOcGtpBQanmhx0v65529blC /8/JfjxSSg6QtRbMo0L7QrFVz/yAf00WVcMkTz+/VlaIj6GL1Uj79m/aMLofSKDJNyFcCGy6wqhd 9YVSVG/g6HyRUSqYmEvUv7PjJLu8MoDwBT05CpS44JQ684EPKLTauKYWUX0FSjZdJfZz8lHWC1F4 5gcEhYb0yysGaWXez4kVhu2blZji4q8d4aEXsLb5z1PV9E5WCGAX55YSzT46TO1EqSchYbHvHDHw 5GI+uFVgQGMC8rBXdgq0c35T1G+W8OuSDCxS1aYK1obS7A8V3yY/4J9oIpx3qGHP+pRGA0dQ8J+w nmpuN3vO3Zh/ia6krilcTPQth5agpjVRTCmeo5ewyKtvxLF3MLgEzzqzBYpPZkgCZK2TkZK/dHQX yvzaWCS2hCu01rtJT6M0GriCfnt/McJKcH38OrWiuB2YZxrwmiYlqR5e7pPV1XBgrc1VBJBp2n4t SUuC45UIOH9QLUZqWdAiLQob+N8i1UdJ/mHa+EsHt2ETZbLLPgbRGqlbfeE8MeecyQ+IZAKuBhXe ENHtySuflRUW3SmvdA6Ufmfr0abE/jBQH7nm2odx6O4y1euOXmoAwW8k9udrhWRDVSGZuut04qv1 8W0CKV7fFOxDgvrOf5Z8MOjVCUkk7J1X5/P/EoAralK3Ezs8LcLQIXT2LM2gB9VnfhYI3kdxLPJ2 ieEcW4Rah6IIVsTRsVfnyKoU8QKqb6ALIvFFHlqUAillXuuPrap76BHaCX2E1I4PqrqfqL0X0ZPW 4vNBOzX/xl6dfciCpk9WWHZnEWvCr1jF0bnmdb8cRMZI9TQ59iq0Ce3SvAFv646aLAhS4cRC7SMj N6z0tAOCW9BeqLuDNmCtryxQX+ATijML+dFsi3hkCPJWIZEHKpYUOdt78gOC6GF8twlBj5oAithk hVahjLrhgb49Kl273gCeZz2bz3DTVVQaeIWamiP4QrL84qiotNQCJAhVohMssofRdBoivUaJiuYJ NBVL9MrBVJG2DEK0BtX7TlC6lwr9AjQEXqHfaaEsC5E775sVhl6hU90c1gXMQry7SVGVrDVLWFy2 mqkI375Uc+c28PGycE76Txt6JNA1ZTmwQgQTdgnI0iCbYVnhUJAVmIWCESOjOd6EBaShLHf5nXot 1p/Y/IDgKmy/hJcgXQ/ZTJ+rKIAnxLTjAr/FhuHlPvrD2qrCWlMGHRiGJoc/9qEAnqND+s41CQAs NStLQp9euQ7+0AEUPfJYh6mlYq8cgR9ZcodL22RryIxlzhPyxUD2tPgBQZPwqWH52T7qU0cOPENx XEk0oLpKlWnBdHWfHf7Yif3TuorFYLahrtYdnbZUz192NkaifSFqmML/ZcpIA+/Y6dUrhZOU7JX/ 6nr11u6gFBq4U2PFA30VmoY+br2cKXQ5yjXrzYFrqDNy6npEv5P16SEhb7Kf52Cf3dPCP2xDVY+B DJyPzvgGu2CgUoJ+6kRrVWowKDYucLZx2V91IbXpQ9/Qab58KfkgWmUHXZpFZFg95tA4dP5CPxZw LlZ7DquQfkrl75aKGzu1VJJNVRfdkm36K0GcA+fQu6gy+T023mZqaihcqZFDbU6JoRKFBX1UNHJu wd2ynNA8tEkGFZ9nvgTL8KEbVyzylpOV8QPeT/Z1XcWZPwIjuB+wduAfmkWrR2nUJ2uJUznZefbS 355KcpI+KsJ/cLIqb0kbb3QFJSkZq8ngeMg5hTaaFfTz8x88FjMz2UtHoSgPqiS+LnpgLLE0+ibz FsyoNoQmog8Ci9EV6Gb7q9gQ2oiS6AtKe/fJ2tM1Guej0XjCvbuyIrBMFfRYfEwb7Ro8O6WflFby sWMAtSDvXlNQyD97XPHBubO9clBqqI0oNcmmZweLnkuwZXFuN2hLgZMoYEXt67hCY+lnP1ain5fQ Cp22/wuDBRgXLVdG7UJATvXb/LjaqNtwWb0EVkhGXdwahjt39Hp7JlC/cAee9VmaUH1jsL1wVuNu Dj8++QoCq5ewiq+bdKhXigxx0Cytcx6yqHlWJ57+/+aI77hNel/BGBQ8mZeQMvl5Cak0Q40FmLxd YmjzRBNLYha5PCH5raap9xJQ8dsl1IX9le7oZfae4cAuQkltCELVE0T7bO7J6CS52QWxAZ+XcIpf I/cG8IV80BTBW5I9PuCiPi+xFP/amqZNEQ8iAGwED8UUvURSfIj+1jASyr7d2Ig0Q5B1teQhR8Ip eomjtIjUNp17rjtadD7KuVPIMkXdEoJ6ktzL0Frr4Lzsnjzk5OXxeYmi+LplyBczLx9UMD43TU1y o93t8xJCaX6tAjrUvEB9SsYEmKGX+MkWkVo0prSEf6zZi8U9uRrqlodhwge9zdC5BoRZH82Oo/Yc RxsWeqktIWFocGx6FKCmA+qMze4zlPW67zOEExmLJ5nd47kM1YJnSQwr4/y7/HkJnfTXdt9nyYLM Jp9HmrxHU0SN5i6VSgkRJ29oNcEeCVVg75NmvdE+8/KwOTeUx7lhJlxZwA2fT6l9UP4BVb2GfdwS VxBsGbXRWBH/vERNOotUM+hkuuVeXBhuNAhJ/1jr/SVm0tPV56j8GAy0Zp+jl4iJD8HqlnlKuUX0 1jwRVs9B6d2w9sxLvMQ5mm6++zVeYs1I7FphjmaG3iSheoOpc0FS3Ii9UH80yVs1mKNFmHpa9Lzi oPIKfEqoYnqS0vJ5CZW0JAycoK2GesPK5C1jjl4CJR3yks770mdWz4FMLaV1RCas4k5Xf89RN20T jk5+mPDcQSEeSmcQjhf4uq2CywyFMFAWfa8xq3uJkbTX8q+9htOF2QkvCOZ056Lrn5cQSYeZqVCc A5sAjkxSW7JJegmQdGJXAs6m9UGpleN2Mr0VbzCgF2yqw9GJreu+Gle5Xq7yThl9JjpkdPA/IGFI e5QJpEhGC5D04ht4kwX/Eh7px5iWm3QfhPg8SXCXjNAJsD4vwdHzlnezAXAy9j2zX+qZugoLyVl9 3s0mwBQ3G+HLOpAIYaUSXHBmp2KlXzWEOdrF3zZLUER6dkhHSeULinAdpd/KHXKlBbkEPy+1TM0R Kr6NmgAcivEWTkxHMh4rEJ+XSqYdm+3r0MZpvDsZt1TBCuaIfLSGS97mqOsfeWiv5JCpDd6SdDqi Q9uEAbbgGhwd4XkCok2d0DNHQDxIvPpEBGDvVJYhC/lrQuLR4+qlhKnfQmaOddhgKpWzzKxFhbL+ 56V++XKxUZEHnq7DQ8goyh4st67dbwGzVSevTYKPdG5zpYgSGc2TRNBbl6ACR99viAZo5NlBgT5L aagyztSloMUEY7gr+6JE9z3SvmK6nXR3DtxwG/LgUxhE8IyiUHvN+hVq4z4a0D61eYpi7ZSIqXaM IoiduViTWCh4CTOj4mQso2iehJQCgOyOFymVzxVHTxtwGdKQLsCJKYewd5u0BO8Rz2GvHBzfxTzT qg/WQIBPEJEaY6/PG8fP4u30dTIRGTPXXU/vATfIIEWAg+rhJGX6JBo/jU1aIdHjBfEo4t4ibPet 5ISjzRMoa2jcUUTozMKUc9gJkGBwXmmrAvKwxUpDaOFgmoDsgmQXS0wcOE0nzmjGGq2bjwfR0vhe TpVt+eppyRu9T5NL9GvSOdQe4TxhpKowB8BXbK+GR2G3LImRf8w7+jTB3YJqQWc5LVhgstNeC9ob q6EohoaQS3mmqjd+33WdXWHm4DZYJQ75s3QnAX0NA+9xE9z0Y/Yo+6Zvb+Q+2yw8xXkv2TxVVxVE qdFvOjrWq7obzRPRKXBT2nd0FmRv6YTbm9w+SECvvKysee4rXrZEfll/ZapkGZ5OrANgRWLg0caS LojglKga56M/b9Q+u7f613rK0P4YktHlREXxt2yeV7rX3SwX89PbVQGAkK7VAt4DcNwIynD7yne8 oNeBnkqm0jc8CJo5pAAXMEmnAP/EjnFqD3zeiH3a35SnRIfOB95RE4oCnKd6YoPPG69PCUcaX8dT pgQRb2LNUxiD0zNta+NJAHXuH7eiv04yPJ80T1EQ3s0rrUnkjKPNE1icgNwJm4iy5BaME42yuale 3zyXK1lqCVEU3rrEEpj4lmaGOxWVfEo34rrbnzdSn9ZTH9/nk+xGb2UpisIRsNGyKV14QXU2Ua8p ObygQ2aF0xSF4dp3rRfpL3J03MpZrvAiamwVADBJbEslBWtBiwpp3mX/z643fp8mieDMQkDGGdyV 90QLuwmU2fl4EGOu7dOk6u/6WXN6kPnG57PlJCeC5rXv3UyI6oRPNXl9abYrVBKF4kOYFQasPtpy OlESlNmLuMfQYNzb4LBoPiXmLE85VwDO91Bc4jHgCZBHxIHbDm5jEn08KXD+vHH59Aen70JlpkBJ f87xKBo3Y95mMwL05qXTdhLj5Ngw3XPnjcpn64lVb8ix3PG2yXcBhJPoaaBm7SjLkKIa7PATD2eg MSlQReG4zvEhnOtwnGuZ3X+aSvhFFI8LFOHzhCSj9e0FgjcS33OoQRXBpwlYHm+lrHRPpzJsmt44 fDZN2m7Ztl++ywkOzIDCEOPaWIxjpgycZf7Z1Bpm49dUzdDn/rwx+KymQeMP8R3r5TuCatWnQSny 543A97/bjgHpAiLfD/E3/p5Ftuo4leKFFMo/qJCiAIGFlJaskPJG37N1qUaTLJw1esOpLsJH0EMZ EwpTUn8byOpP+ksbVYDg7HjK9spRe2Ap9R3yUj2R/JQ9yiwnhjVbi9k+bwQ+WxvfgQF0HBelPzRV UQF8lqzm3MVrpuHq1dsrmtBCXxYXvNH3rFag/M4MmjhaHgy9jUngSQd0ZwKDqqladNKAz1lvT6Sp JfUekCdTBzpzLvwh+KoSrabSmFRsyvq8kfcsIl82UQKTo7sjAxDOVBiSLwlWFrfeWRRr/P98jOf2 RRWF5BAVwgzV0u/oe28iDMdHANJV01T4XhpLeQVAgtHnI7lBHZc36p6VDApvc6Yu43bHT5wJzxJW D2b9vDH3rGbwnbrA2p1yBjZPUUi+KkNy4rkFuFi9vawobhOE5G+8vZtSsFIgACJHR0tDqxo6Log0 J8Ru6tAZhYuZ4ugghFmlV4ZXb7Q923uMoETuoM8rWwYn2kA9HOupoKsSReSuSzKkfI1Dao55z/Io IkeVC+Sz7YXMrUYddeNFgxGsfHvrKSqJW6RZTDe+7Edf/4SBJ78DaYTrCdIUPKRaFk4bTVkUXu9h vvTKQQwlJxn1DdLtG0CmoghefmK2zxtjz9Z9+r7zzgIZiA9tnt4jcpxQbNEt05SCrOf1U+0j36q4 eNlYT+8ROTJ5rqNBCJmNXhaHrT0AYWr0EsHDVJjaXMx9waN9fNaXXvn9hFqMDcrgvuPAr0ESkqoq K2t/3uh6+ouzx1CULyM75NYz38h6Nk+SRJ/u17Bpo8f1NHdP9yTPZijzxtXTz2zaLcld6beHUCco Evu2qX0AKLlk3qCku+hAAriHZ3jF3jiaJqYs4ECT/oKzTTnINMxeH3t/3qh6t4311DQ5RXl7BeqN qad9t63P63p4EFL2eRJjnUQhGr9zPb2H5Khac55WUollJZcOxAylWgs1q3NtEAcakrGGPclkz2Xt hyqLyO3zRtSzUJP4wsU+CweDDpwJ1jG8M18zWE5zf08TFWGrZ3hvLD1N0yCAnN7M1vcdfoy3ZsfT SWYIdOY0vUfk2Y6nzlPIR5smwORgNyf6NXrIFkQMGMywWofYwKQ1iPF9o+jZajIU5vJBs3RWiVTx gHn6vDH0rDD5fdmhDTra9ll6I+gp6KJ5uLk44RDvzDcIQkGA6WXf7dq5b/y8r7JvT3In0uj1J0gJ 55LkFXrCewrxoKGNLXOCqJ+dL3uD2jqfN3qeNd2aQIXbByEIgGhpEtjf5fPGzrMr+dcRDmyojOg5 SyEQRbO0nEHVE60rVfQtJjHVUNi0ou8bNe/rqgOTIt/RZgkLCSBMUBYnywYm/Ub6bqX5E3x2u7K7 XPTG0TSxW7fEjV3ZGdd5N6UA8Cb4vBHz9Aen76JKqZQf9x7CGy/PeuS66bYL7AMi7m27R/Jgjm2a im+0PO0FRZi7CgfO0bEWC56PmREm5qt1EdMhOwKYuNwl/ABv9sJBcifk19jbBy2mk951HeUn9vq8 MfL+5/wWarpR6sNnKYrDwZPACnrow7VYRgeJ3kTwM4xkqJnb+UnRlttC0gsox9G33ICLJ4IkcNIb vHdkhYW0bkLLQaYWQn6x9vV54+JZO17zI3tectm7lejG5NF08qPyeaPi6de8/U2RQoGdLc9yigLx LYkyur8LUb/N8Qon+EqGEp+EHHI5RbXx1HWCM1m00SYKuvcnLESZJ52oFzokkqikQtWiEHq69rxI vT9vNDxL7KRvoBZCvS0EbGc2lNAO/ryR8CwOX99nE2C87Tmb3sNwtPvM1eLB0mffdHBGcSw9Ebyc pagwnrSM5pTPHEcPm86mHilLnBM+n0MSBxtJLMXdicJxPAHTlTf+nTbAFgk9NR90NkFiXbIh5ys+ b/Q7LYv8fTaBONCfbOWNfacvNcXlfbEpzD2t8jS8b7fwH+iiC+vi23Fg+Y42TSwQwQiCUVNGe1Pq UVQZAtPzBxDMW6EjmvCNe2fpq9RYqFHNwUpPW800iBz1zxvz7gbxX8upAy5d7jxFUbixo0bz0tOc 092eO72UVSWQx/qJwt9od9+F8dGq4eUYhEwup7N7z4JaW7aOMEeUzRU8ezd6XYV9FhUJBHV+I92p WMa0N4u3n8k35Ol0crrMOO8HUoqfN9KdXe53PbERDFoai4eaqCgMb00i53t6w3xuj5wSrU64oICn sAUVVsazHJySAD0cfd+d2G/Cd5HAgrGgD8+GOaXRCy4OUN4vghdL8fPGuPsCFpRJglSBByJ7FbVB Z4J//Dni+Xyw87YjVEkpxsegG2ATFQXiMFg+OyM9ls/ahLRnWNsBTws1NU1UVBqXEmKjopCPnv+W gk4t8xUkxo36zuAm4ratAAtXsDPd7IrCBm9sO/22BIbRuM8GnVAnu1qU16rnMz5vXDt7z7uiVBxn dd5X1BvXzgKDxK03ssGdVx3TiIkjmU1DVXuKbgNvVLtfR1RX1ZejFVTk0YsmbOfNB5kRrig0TqFO gPVLqg9XFFsBnzeunWEoRDwn8LmiIsIFBTUAdV4Axvi8Ue1s6/5utpxHWGyziQqxKo0mV7x2GI6f lKzQXwAsP5UvxUA9PzbOqDemne0IY42pF8zRVlQ7cwLRYBKpz5QY7reyA5xZJSi5b6880c/h88a0 e0IoCP5PDRYyTtiPsuMJCYDPG8/uqWg8kSacUVrys/yNZqdlvKQj0qdbMigIgH3FoL49Q4NKVxwu qKg23oSfq814K+0B0HeKkBVpcQ7owneBVlGyyUQlQpfqSe+6Xjk4zAnmoTkHB58nMDt4FJ8YoX7e SHZWoftut5CVD9i2zdN7RI6IoiuEcvp0U7sFKgZldB1WpNiZXOIbw+47Ip9ZYJWZL1gFhiNQ625J kg9zm59q4Y1bINxbOlXtZMPtrxzEBlSJAsDcB8M+rboMlFnq541hp8fbr+7dBB3jojLfCHaKKDqF j0rfngbn6Y6qqW+nuO7iBr1v/Lrv7t02hZr9KNSAIF0GKrKQ7D5x57mZeNpD8fXsHQRUEB6wNFg1 lTd6XXaILg3m7qAEDyRjwq2wlD9v7DrrP3hETmZPIgEt3YM8qoyTQXzWkIHo4br+aIjkcjstVDrG jfdGrrP1LKbmlAo1Ry89DbpbTVo+J4BZxU841+A5k1G52+lG5Bvr8PPGrLNpkpIW5bo5WM8c8v6C VezxeePVWaL2K9KE0/OsdzWFSBWzwHyYYvMRLaAhkqgroJJp14UR+RT0aY19R0vvBs8mZPadIhiP 8vI5UQesb/cj7zC1mKKqeGc3CtrxPmiWTp60H67mG6POjrZvJPRGMZSCoJqlKB6nsVcHFsL3XG0X lzmmd6OgQGdrKY7HJ5PfRg6iRpulCsvwRsXNBGJVMYc1EhCg8vsDepD37HAvf97YdPpZWeVdmTUD Dtpyq5FNxcrT/LyR6fT35u+bDq2HAeCtzVIYjK8twdvkNZXsKR0ckJxQvit7dp2fFHWBBU/JY9zR YSr7BPmJ5q4ZRxN581hLtL8DQ5KdTSP+AlmErwniAV5wrauk0qeDw2ovmrLzDw2PBxHm7WxORaM/ LDfYLIWReGWevrJLjG0p0HQW4MuVKEAYrfM7Kokn5b7TpN85+iydTAyqKir2LtrN6lzC1Qc5hTyo xla+zu8oEF+apq0C3fYC3TlOK/UvIQ4x8HgAKfhVKgCnaObu09TDODxzy9VRHDKuGIAVlV7SXUwO Ge/vcTj+Rx2DbB2EPLwR1WAMX3DmYZpODN6nNdVBIuzoVSM+8CicDNYeUjOX8joCDjloMUGNaUsX f/PxgMdiinW25c4GHLl70NSjIJxdY4T3zbdc6V53Km25YCTuHpulCKMylmZJJA2NdjABGHsuNh1M DbU+icGPs8LASsIpRU60CgXJ3jhIVqjOcz6l+cBpGsDDslt37oaJxyPa2K9pOlu9URxO0xTXxFnt Tet2yWcpjiZYt8GyIJPP87tHNXEj141JLo9Gx9UvpNfAZp/YcoO/ZLnKBEq/oN5egMj2MoGIY1EM Tp89bDbR7ZHjEA92VkYVFHqcOAzPBzTN2b4mKqOMyBaszVRI1OxMUdLuFoXX2dzzMvE4ZRRe0Sui gVyPovCpQmZbbdzRKXZQN06bGkZwpdzqHhTqe+cTii/A4a/RlzSAexSFFxO0TUaNLo7JbJlScSer 6x2PR3zW/RURgKLb++0f9IitOchEnKl63DTLcB0xxW6MLukOz7iph1G4Tua5JOHA0aJL1tOWGC2l EonLCh1UHH42S3pj3kImj398T9DZJJOlsh16BhxEEm6oUBzBb3MOvYnng9vuVyVzIKZHKcvmKY7C B+VAWrL1tOp61tNMFl9m1Ia0nkLKpvAp595Md/Q+eaZxFbPfkk96twXxnVgTm4qRcNj1A6pmvXEQ FEgCv8jQGN/CHOVMfzU+NiAweP6/bDykH5QptnkKK+Oq3gN4LT0sBM1eJUiYFK6nAUsLVgl6HIfL CMak/Dg6jmdhvdDD7hwIo1BdkO6pVP6mX9xYF3hBbV18UVR2kmcVld0zgQ7FpEBO/Mq49lx+BR8Q Qei+z3KI255bwOtO/T0Whww1C3RoOWTjIPAfUa6GS73Vnc4huVV36lEsvpc5UOg64/hwyc5flnVC Ybtk8RI3YRAZuVahIoF5m1B2tEfF8So6+WS7vE1rl5/kB70Wor1Wang8ogF/t6Rok0nRDc1TBBoX KnIgkFXdKdNQWIVMeqYjNIC6kxUye4RQEZ+mUSWcozRTRf7pKGaqNA7CVGUZnTa2dOLEtZoevRSg lfBFEYSOhnFLkdRyMXfwNiTbWc/xgcf/BclUIRMCU0w6NVFxQC50fZXXC/0a3aejo3dn8pmA2lUG B1Fp3BrmzcicHL003vAJnY70HU0XFZ7KwPUKgDQR49MLmYNE1x6hVPqSZJgJOVh2VHGSE8pNQice jxbU/DVRmbUKD8lHFJJPsVrElO7CYmSvqKSrKrOvseWISuNTWMw91XTZ7hePO2/1isSODkznh0hN dmk41qE2i60KQrBYLVSRwPf81ZWCposPgq6ejKhUFclzxuNBTN59QUlyep8D6l55I4rJKdDgcuRD PEVnIezVXDQT1X4j4IeF8SWoYe7PaOtpAbWYWVs9wWZCbYIdBOAYfja90ICOcV01YBvwPVG9N/Fg Eldq0kCNIdS5ISQ9ORrmKSyMXzyPJNhOyLouFHqEoHHREKTsO9idst4dcpfuocFJa439M6LCOK0u k3JqjZilwdylIwxAR7vDIgA+geqrrzNFDbpq9Aw3B4UTsg58TQBc9R5n01At0IRBEWH2/fwfPB71 WX5hw84vgwasz1IUkFNltic5z/MfW3XgqoSyhXqqXi4YUUBup9PaMhFatFrlLBX4vCQQ7ei7e5ad ZK9O2kQl/p2J2/awAOUgfE3U32Q1fEvkfrvuXDqfuZJ0h8bG49HZ9N2NwpF4Mh4/w0cUjrNaAD0B L/YuAF5N8KoUh0GjEGlrKQrHp4pubCn7aEfTgjnzyXSlU3tylrJFTEThfBLquAE0FCF4knA3oqI4 um7znOM8mhYUDUVrglUYcbbn7y4Zz0er6Rv2hNrIQPfL5ikMxytLKSU/DKnmwmA0TdSeg+aNzVMU jm+J8g2UvXy0sykBUzoXmyzlrK0inF0l+hpCvz9gxzsNn3IFIyqKTxYy0cz3QSHBgGoES3Rn7+Hx 6GS6ISYltc+mG2gv2jSFaPGxtYa6L6eaHX8xlpXrIK7eTT9tRGjxIvQzl6OPDitICJ0gCkK9Igg7 aNMBrAA8Bs2BL1a86oWj1UReomiuJ5JeIh9knFPsJDEHHmEkvr4XE0pRaPv4LEVVcUMalqtWMIU0 1GLq3mHhR2kxRZH4XN1yXx/Z6uHRBDm+uhk4QX9v2/GFPjHE+pHgXZtddmjwNVHvgGy7kkVPTQ5S oVKLdLRL6ng+orL8wq3CMaFcqPgIA/FJHlmproi5RY5aXEzt3nOo/WoxhYH4DFyVYBt64o05qFp0 7s5zlUsNOan6CR2b2kkm065jfDnCQBwCqxBXYg2qcl2KmdFmFlp8nWsCHxAUC8ovxh107uall48w Ei+SzS5efLLaHJtR64kI6OPKmYpL4yKzSLJBo5N+GOIQuw78QIGtElEPmxR8cLjKqlf+YusYDwmc DAZYQIFrJQi4sskb0ETkBXv+HjwfVVW8WrD4366fRYYbJ2qGxXEe41P9KEzU3OYGB9/m3G2iaP3L qsoMZQuzML5m7MLRrztAVuUKiZhnk4zIigJE+TKO8fSYI5APPEOICgmctNiwQencgHc5O+W1VDwe zFJzclTi0gNg/WKeZhSI426l92m3DHjApE+JXcMpntlpqQKrnNBphgROnd6zmEVXuRZdCUDr82MX SmGhutXF0WiUNs/UeJULqoF8l1452HjDPCrvoHmaUHDRPPWCxyMU3a+g4OziMZsH4jMKxNltO6mp S6t2KFbaOd660aZPiNk9YZn/Ym9uJS4cHfK0T/TVAYYBHxiankWVAgJuzwYFN4rYMCF5KOsww+o4 iiCQKa8+cJ4GmmAqQu1V8Xg0T/VrPYHzQ5Egm6coFKe5AZCBLgJ9jicPxceFj294Ado8hbVxIZ4m Cw02Onp1gB5cqOqQcW3sreAJGkoTjQyoeF6f2KUXjg4nibB3AS+6CYQvoIPMALxMPB4gCm4l04AX Jw2AtINNUxSLw2gaa8gpib2N4rzptm8sTrVxTVMUiw+JPAEfd0cH8pyQubAccP4UmKDyW7ntYHuK iIMW1NYHpjHXDKmbiZgCmVNyUDmlYCPwOD8pHh4PGpwXQMdIC6IzOINtmsJQfGZpPblo6HRva9iO m0PeiZ5O/KzLbkb4FDu7Ac+64z2dYCCInqA0jKhnTacp5B2I0SC66tETPTxnFIpXGZ2KB5yva/3Z 85s0ih+gJj8z5G1eoT48BtVRAGl9liJ8Cj3ZQKB9ZJ/nlb5QUsyQYFNOHLMU1cWX3N1myc9oi+mc RhWa2TzDO8r8TH/hqHBCPIBV9toXV0+29Iwi8SRlnsYYs7csmWtYQk5x2XsZfMsorfvF+cGhhO1r sxSyNqlivN1XkSCMC4MuLiMKGyKXMwz1DF3mWTceR8eEJdieQVCANi2QfB5KfzPjgXOIzqdIQPbB jCriRX6dVdSfak3Uk69gazOtW5uPR5Cw7x4L4M9jPTsuwqdMFea0zThhElkXgWxcDvB2EdoZBeLy ocYaqne0tVQrkt6tg+mkE6MKVY9GKsAPRNVfGZVBVP2M4nAGzqgq0bkTCijS+toT0ghYDScxrXg+ Qjv9kg1DdpBuGD5D0mZT43e6VdlM957L4t4jbirD5YxnKKMyTQYrrTs62gk4oNlkF7hSnWlbqI46 LzRzqU7o87SK3vivsAlKZj4Y3AnoDtZuT8aDx6Pw8ne2AlBYv/KYURCOCBhH085ev+xXZL325UcT fQc5TStCqAxRf9Etu6ODCk6eOoesRjIooCZUd2I2NGHPysf/ztRBpFCwwmq4FBwgXmuDcDwN8pES D68Vj/9LRYVqBtBTrpfys8JquBxZJFgk5FP3ytw5dr1RPq58+AoRKt3aT+kZbZZOYj/Jj6aQwwnF tgxZqHU/iTV5hFbZV8LXRMVwBk1JZUyoaQ6d4AP9ZCX+teD5YM/d1JecvIymwVV+XKGEeJNqb7sI ld5ck2ev6UHThNebpilGqKiAqTvsjOwQNimroeS0WEtBdjpN/bAisIKh0c8q9YmZ1k/D1wTFcNpr 9Tq7D4I7nTVZmWwD8YHH/5WpcHJxKI1nlkLWJtUJ1jRpMGqu3/LlsvwFeW+1mGmFGHHTVcvC8cx8 cTygs46TvZPbCmZ5HxI03MD+DAhpjHahc0Krrr+L4X0Jm4KanpQ89jphgHgpA5q0UQj+u+IE5Ycf sW41T6GWOBCW59gmAIxUMsUDm7vdTilwDrYVUlZI2lS1rVcp0nP0o+l8QIWQu0gsAwcv5glNYG6+ gR5UflA8U68c1OYIwsw4Qgm72OccTlYYmGocnMmuE5/wr/6KPF/PLbCoHKa5iuJwSlG1qnYdLz5J FAChUqeLhOyiKibmKlZQcUJ9vqMjL04IXjMt93CXpXNKUZHnBOQAp0AJqyD+NEPAROH1FUFUFssD eZiEkQFhTqABpkdVvsL3DBAqa37lK0BqfLUOVhSJL/JYtvCFk6jDshzx1JJB61Fes0h8hZF4sbVk AuP1iq9nerwmyEV0Eop6NV8flCEya9nI+hx3kXXhhQoqnfbdpUkahHBjqqf2LYvZs3obHo9kCvJX xgJk2Ko3Fl9RLF6Iu5BinAhkc3q5l8rkIpCNTcQT5inGirO10osx7kq+e2+2BpAN8P25FYTgakVl 9Jdzpn0n15PhU6a9coS7IDBFDsINUAsins5p2xqRXQPVuRVF4zjdvmTqz1+7+riBQRSN21leL5oA zZAfV1AxcdoKspZJg6y4LJ5VDjeL1/VYvEJTD6X3rDOqdXUYCrVEgamjUHezBnChQQi+KLAW4Z1X 1Lor2RCyqKcg1OSdN/iekVS9VzHZK0PY+7Ph1WgzFZbFJ0G+u1/chW831DHTbQEv18JaYTwujCFK J3f0nQcuaqOZyDlRcD81kVwJScNxDsrP5bKsYa/8F4OspHYHte3OHb1Un8uz4vEgOrj5HWuerJal y8LfUUQ+KEPXheThRNXm1CiUUD2IAhiQQdSOyuLDttyS+V9f1/zvhN4JtxQLmSgVnABXyAv0PNBO IOPNXXBZFt9RRN7YNsjFh/wjvQt4BrOsfWJOPh5RfvLXNMErcJZL09jvETnEFAkUH9mli0auPkt9 LdfkSdBHlWZ9LDIuhVACy2x0iC+aUT2x8DTmgkMRqcCTDrgFERwpvX7h0fxgh6BxYjLRcPVBZfF+ 1qmksCDGvt9DchSRvvEp1Kt/KNM7Jm6yXEAvE2YutEbRAVWZPclEayeDO+0wJJeWw5j1Ga9YAUQy wZDDAYXmzVRRhS4GjE1woVyjYHvjYJqkgQWlUxt0caFvymk6YcjG40FV5ZdiWCZ55KLCdhST0+4S ObzL8rQ5XQmrg6DrAJVkAJUdAlS06cbQsuLoMflJfKcxyJhdV4rT1o7m3UaJlKpzft1RWG1HQfkm YrWaRekwBhZhq42sO3whHv+XJrTUZaDovW4ivEPE+GDJKeVHCqsYkAD2mMOqKotKu5ynmLepLrlc RzXeSiaaB3SKRCXz3HCqDSewfdCSpOms1+jQUcXX/JW7tMEeSxvsi/LkJrmPrc0TY+D5f2IvEGpR k7TfXRcKGirMrLk6PcrtWKC62ppPU55Gb91ROG6+Nct2H0cvZUL1KWeVxclqNnprhtoHzODgxuIy BUAyfHZUFk9Fgo/zDtp0HUJRIoal9dmhx0/+DjIhrkBTJpulCKACvg21HruXMkd2R2CRajVLyfVm dgRQMcvWsUUGHnvcxQSnyHNIE+9UWoI5ouTnAC0+u2WafNFqyu+a3jiq+DITFniu51vxPeuqEDoB J7PPjuvi37q0EJod+2Kgd6yjoh7L1cJaJTuJbNa9bZoabaE5TSFCRUxEcADuaItpgJ8D7Uf1ydfI 5syG2Lmz5YzoyVREaTaJ7wlCTNnWbQpCc9A0neCsk8xyEqSBx4OUZdxKJs8mOJ/Nm7PsuDJuAfj1 Z9nPppPwqmmGLTE39z9i8XMm9TteTiK0+Br1ZkrtRBpynsSxaChm3/4BeE/8mr9EnirNSDVo050g eEquvtaBx4Oyyg0IuA4BwoFqrk9TFIjTxho/tp1N5xeZl5O4ku+6TV17LqcInzJFWqWku49eVikN kgUk/UAPZDdNJay5f+izsZvHlydw3HrhIL4skjGkqwYHEQ/yPMuIG+lEHng8qvh+n+CZheP55fYT cze5nOR8OB9g5lbTTlUD8gib4Ck5xQrjZpKR9x2V26EKuKGoRSAPtElrMV8kdMMKgoVzrgOPSeQF irD6poDlKumiJYvpTi1E6WAhT+JVD6XkzY+IsKv9K84cbKWlm99R6Pn1OSKPwAsQKQNAOvIzMkwZ SfMii7Pfch0+6k8fKSQpd7RceLcMGSjpZ28YtLEjWkguhO/P+XCUWZyfyJAc3/SHdP35kVE00GDt YNpY8ytn5vNB8vJ4kqm22bEJLyCaVuDvDxKcCabfRYmlbgW71qxqjrtvb5+tEDhuiipLlyBHrxxA jrtvafk2CF8kY7agoQEtzfPeOGBM85iVA3xTMFu0LK9ZMWdG4YbS2ecHAQHyh4y6xQ8Ioqkrcyg7 7hOk7/RsxbBijiMIF4mD7Bs7s6b7ZO3PE/cM14dmfha8wbzwVB+9vDlTl7IaFCr3SdGIxjgRKL4e zqsQr3jKmzJFSLELkGrBvfmgY6uUMppUtcfg8/+iKrIQDCZf/lpYUYSeSMVZe/qxtVlftGOrugLN RNprCysqmwPkTADUGHe0uSJfrWQ2iBGsV7XcC3s/59hCx2U9JSlaKvCbgj6MEGMyBuagZOb8CJ2G bmAA8vkgmyl+ZrEmVajqNb4O+ShQL+QMwnfG0HWFlxoZi6D48h+Bs2Q3nZ5uYeHc+C1j7zt6oXON NIuk7IFQ25KTBs8MZSnScGGPItukktK2l45wiMSwNAr3cNDKSugTy4Zr6PnAruwKY1BY+sw2tSl8 rmKVFTq9gzpgGlDVjJMrU3074AeoQ3YhRvH6VK0F0JI7Xtw9wLmZBHQa4E3CFGpieFvQCMxIDe2A h2O2vilSy2JVSgjX7ET3SuR6k5FyzXw+ajP8ih4AG17wiPLJisVWhK1b0lpDwEVsxlYrdNvCol20 bcOwgm6dmKbkhqNtQwpKwO4dXNg8YDROoHQH9R06mlAWq94NBfPDXjoiofPImvLEnTSWYWl4dOpW AGrX+HwELb+BO/NAYI7SeCYritwXjUz3+FKDMvjvmazSrhNe9dowPup9ZVXxg8ao+Y63LYOcebJK Vc7ZYqLuJwlCfx13/37o+ktOU+lvb6BqjqbkgLM0dS5WdTjwO/H5YA/W9HViEbuRVn82YSyByKlq ZoFL2UifqklHPkm2U8NbUxXW0VVVqeaVUK9Xwpkq+LvSeAvuirAmkNk75CB+Fuo8mTxrI+aROIwv ikpVXFaAydhgRM+JkENVq8nn/xNLCDw/XMY2VaFfJy/QBuyJTVXvyfWOmvRHWdOjzqd8KENsi2Qi V1OItZqHWGAlrgWmJxPnc3oNI8AktDcGLeo2fU1lfUNP8xwYdmL5EvxTeWpxUOYMs+IqmNiYfD7S gfjGlIF6sx6WdQ4tO5t0akp7bLvXvqlzuvWqMaZPVQRwsZh9L6ljcXSFA2BfydVCWWmfa0+0q/N3 orWFIBvnlKn7EaGYY8vOzMIeyr02aKY6/KF5Ca5S+Xyk/fsLVXZO5NEv3TPHpp2JZiFY+S5M7r4b KcmYmhrJjaadXR8VdZC9GvOMXlCHhsKsdMtNYKebY3zOLNGimVjKJQxRSYVfFPWxzG95a1hGkNrg xepYr4PPR50HvwMZRp0/HeXZO1WRFuKidt1Sb4/1GIkjyy+XGCHFC6n5ooqidmn19HOXPKNB8Oa5 dKC0TV0fKEjKouucXQhO8bLwP3DBsdHslSNdHxznZ8EXHwTBA0p7G9W68vkoWvjefki/Bw9Rm6lQ DZHkKitX1Sdu4PabLPZJACn7/Rd4dybBQxOpCnf0k+pcSWejsKx+FhKkEEzI/UzQwL+nfbfVrqha zy/6S4mldxYcOm3luaiYm9GD+fxKfD7qI3/rHEAopz3o1xyYd6I0kKR8dKtX1VqACBV41EujHJAo 7b8wYFeVb+cy7mj7b0MiFL1oum2fOevCXZ+DHjkuji1EuQ6f6tPe+a8+cps9+SDI4gDqQXW+Vfh8 JEX6DTpHS6tCacWnKuR/VnaPy8yeCUregES02d1I8ERohqfOoYHnknhkHar01eGVvoVI6fz/NnOb DoGILOAUhXQ3XNprIu5cpT7Vr0IHz0mVzasrfbtaEJPQqd64/KNwfd/un6CgC/yA56gK5Vi2mjTD OXuLxGdNVc4ONVuooNlUhY5BydwEVfDk6KnNAvI4scBQCxj+EkyuFFuApiti9nw90Gu1l45Mq0kE XfkOCqsagMkEcpy8jM//S7mm6P1OEPwVK8TBOvFlazkTdI7tkqQZejVG+cguI5kDI08D40KzxjVs 1s1sAOuDNMdkBNoBFW7CvGDOChZZFSNNzdLe7KUDowDFnjNtHwTlQEtQGINzxvP5yDjouxiDAIP8 ZJ+rEIQu3wnij/4PA4dy4WZbMrebYiPboJ45NvPspkAmRyqODuY4OSCQ0NSv6QuibNyCCTjbM1dA CUNb4PKtpr10kAUSkVfl0cHB1tWs5ENjXW0+H6Q2ZX2FCxlkqxOw5jtboaXnkFcl7SGt4k7jeM4W 6xLahdVBjDn09FzLhPCN4J+SV9xP2oeUeSZZ6faz5ptxGzjCf/TcWvlpdTV76aDAQDPdWuREUQhz IZtjJLZ/fs4UVj4fSSbWr10Ij7VZnjww9PWkJUMXPpVSW9avYVzHi10pM0u8mqtYNNHshs1Fvjiw 4+zC3mkV34lYwDU1dWKdoxLuCniHfm1iSQPnF0X8fq6oqvJxvYf7OD8CiXjt3CB8PqiIXqSQQrH5 yxc99vUcBDCW7lhGeNj8mCa3vIQ2JVqqH1iBsSeWVbPYst7RqaFjbvp7qm51fo2uUjs1vqAI9FNa LrciWpO9dFTj07IS6xFbmOB0nIOyIjp/9tr8gAj36aEo+Vrnm4HyupMVCpgvWVbuYnWrk641mywY eJiOFPzJfF3F6PSk7M40FOv1FEqZGE9UKHFNtARID4FVRLQ3JPxlUMHcbsJtLx2hF8Tmy+L2MTdF kQ9UTZYzIYXL5/+lp8y9eyLTsa4eZw7tPUH/yzghXbe00koLYfvaLo1LKSnrpObA3xN/galy53XH i1A/iSIZ/R0n2Q3b015Aw/B0nf2uK5oH5Njgk4qAJ2OktXUGc4m9iIRLaolwvSY/INiE81eERZHJ 9pxXUaG96SZkj0cVhnIdmMD5uYiYducqhsRIya3XZ/RCO3T+p0xOCnYN18I5wlAXH7T7ATjGpczV lQg9PqUo1bdSnF2XF2OgqcLzqtfG56Nl9a1QNqm89dStQpfPVlW3Sl4NrbN6ia9RMNL8hW6JL7D5 vEEDkAh3vMUYNBdBmTpfdC7aRAwPYntkPWAPADPrmlJr2itHgA+SshKhjRxUDT1XqhQuof/N54Ow /TFb4IV3fhg4r9ypiqrsrExAy348kI/hJYZstmjwOXHl4Bw4fV6c+qwmrVXzA29Et6yRxgrdZdgD EkI0QFXdBBXTyUzdm2xzFYJjqHJTEb9xqAwpCVHWmug16UWDgGHMr2wQa7jPK/6eQ69PZGKXLKoa Q603ce5ejVmFElxdH/VnijOb+oMcbapA8sP/IfG/J+mRk14L9AZWFBBWFlq1Uu2VgztQ/FoUJWxQ NaacCEnVmIzmfOD2aWRprSpiQSE+sfula+fA8NPSQUeDSpB6G1IWV5dwWA31vu5zFYbtatq0KjgV RzusBjQTGs0QTz4F6LVoIpQlhmAQPrCwNMnDqtg7B8tK0LTEgx1Rmy2r1VcbMlOonc9HpatflnEJ Hl/jxuyh6yebRG3v5jhswL79XK/7ykkAg6AdGNt+iju7inYix4u6OmssUXwHCg5n4sXkKgBboRqL OuJFhBKunkPfT5VDh7B8HHSsn30udKVq7IHx53fEvrkBz4/FmqjNVIiPqbKG+TqrzMcKNfbpN+Bk GqKZiiL2JYWSOaRQwtFnKtFTfi6RSFF+tHYEGMmJ6kBfU6UiX+D9KeAS2lvEYnPQDpxd8Q2R43w+ 8iH8ZTYE4ZxxiX85dv/MSwfUMNB6ItjcOO7ZneOAV7SIPbT/tBJDLRIp4WjQq5OeLTAYCY7ZtZmX 7EnBUG0ulKxA4dmap11drtABVD4MRcd7GfiJeEadH2ElEvdwOPIDosn6JnCfnYolDv66T1dMKMUt OMq1md9aZJR0GeNqlZRUfLrCmF158znb2h3tuALeB+o5NHAdFX7UUhMGkg9qATCaH4/klBrzgRPo +R+hHhVcsZok2/TFAJeB6ALmI7ACxTb8dWABJJj3c2CFQfvoanVdlYk6mpWvTDKIa2vl5pMVi56L 2pa0xXq6bgMJOiWJuxrsA+gnMFgFBBaCSgUlmXYpgCdoz/bSf3WbbwUZcRhPd5i+J9bKR0mTH/Bf KLiU1HlEcHLgCIomGw6qSn8Xps6V+F3LBpNng2XTfgjZYGgJOsWXbFUlZI5evwIwexWKmfWZ8hbF 5Cw4XKuZ7ElsK6cjpW4vHd2EEhQ2eeHlYCKYE/KgPL9U5fNRuf27icOIfX0dWlHYzh4xRNSM1t3T qi7yQvirlduxxWyuInhMM5GAKd1Fjh40QAgUtoQsM5zokd5HCdUUGYMUyMSvh2vT7aX/bE00Ymvp hJvddx4yvD/0zZn8gMhkp31FoyBxDBKEbbJi/fNJGN9ePlm7Xt2gWV2t6xw03XdhGLiLGdGGOl8c vSaTEVltOqOgyDBZ2YHqBI452uXV+pg28W34TZGMJ+GPRYZp5cFdVUS4jCFW5/NR6ry+5grqIKs8 9avQHpSqmwBlXERfq87FhT2zA5HXuidWGLlLovLMdrqjc93OVJ9jS/WrXnCFqYhcEeEVAECgI3Bx Vyq4hwahXR2cPaoPKrhXkEu4CU/Qw+eDcDT/1gw4u2rTQsomK6y4k7gMqo/VRRfzGAnGl5zMgqCX fXdhrPuiOsuyCOqayQCdn8DupWPaPGdWH0Jtl0aMXKfRyW3QlyxAX2ASKtTGhEfn5gAkoGDIoxSp UvUTrPADgtDhYkXJroB5Zr52DTm0CR1Jyc16DJ9TuVSAIjADYoBhBJwc+IRCIUWWYFkdeo7Woc/9 JIF94X3OHIJ0qHtzgF3ekAT25tlzHslfOTrczcK4aFCpr6wFE6T041iGwCc0yVDvKYsycd43xAp9 QskZAUb7MRBvXr6CwLSXr5rTTXNoFLpUOz4B5byj1WQ6pB5KSRLTXedUp9BZg+PjzyRHEfNqGeFQ hBUYhWqz051IWCIqOarUVyrvI7QmNj8guAgfrW/WCKEc8kjH59AslCTJnoRi57Ki0Zu5Xl239bMy jIiTA7fQqwMzzSF7fjlkQx9gFLBiQToGjFNg0gQe0MCBgSTWIWqp2Cv/5T5bJkmVBQ1N+cqcOzap H3LSgcUPiGwwvzMdnO2jPsjHwC/UbMVg850dzke+qJJCGtpIP6DdWl9sGNolfro1VRy9gNV7xtam TBXjCbObAy24k2hJn15JnKRkr/wXa2nA/cwGpc/nF9jMFkDY5PMRmu8bUQuUziz92YGhODrOqnNa FG87E4YrwoRgdRL9RpypW/APy1D99Xv2O9otCHUvsEUpSbHODUDaBVbXiRgWKdh7X+7pVIc+9Ay1 sB03gQ0ieI2ap6xVYfOYQ9NQAk00VbzvgJxb41aQQ9dQ8mVbA1hMc9XydHLJSNcnG4RauwQD29Ar B1O7KsgcPbqCClXt1NvvA+VQrrzCLU4SIZo4t9puOU7oHNoE0W7sp56rbv0sGfGUc8GyanY+ofED gnLf7XhRhRggeVSDfbLCuH1IqSpf81AJelG0Kpv+51kjZXixIXAPNZ4KiBJp3NGxHyWdqdzEfqAi N5KYOBMwUWqCA63yeMzMZC8dhaJS72AoSjQEqzEn8BnSXAD5i89H6Ktv9GOGFeH+KjWEHqJoYhAd arYg6E8Nm6xJoVxNVkcqq5UVAWUkigNP6XJHh18VuHiBTgj41Tz/qGsgSZfy/LJ7XPXBubO9ctTx YhenkAHOQZtwI0PjotjgLAU2okAv/4pEz5Xzsx8f0c9rbJUzy0GgxixfVl2l98le22yeDjZ37n2N rDKq2Pzbh0nGjz2vTCPWd1/dyHDnntKiOr8OqyonzNrNoceZIpif17gqAwzE1o0cDYc7GlYyUQnY RnL4eY2qMkR2dVBNQYkqTKBhZEb+62tMdb4ScAHi94YVF8BCdorEbnIvguZC9xwwmCGjC46+1x29 eTqwtWCSTqJMBl6UDUEWgTmldMCS2wVd6z6v8VRG42aoD7h90BTVScEiQGQWnn6bIkQY2aZIyCrG +iPbHL2GUucpiuXj+PCTfFEXSPutDV9Fs7jw4GsghVVk9tjTQI9zenSAgLzNIiPDskraXWaHJLtB ceoHVh4WdHIRf17DqIxjhQUqIY05qF4MLVrFkH23z2sMhQnePkXEgUFRq1dW1jFFryFUFimRWrFX kiKb3tlZRvOGULssw+69BlAZ8CP13ouc1EzTXex4im0BoQ4Rgb6BwuCBRItTgHVRG/ApwoL+vIZP 2S1TwCHngLRaDfg0uohvZ0fnz2v0hL+2D19GFme2TslBunJHU7REf3B1E5AisvWzAHV0oAIZuXTr jXbatshJcHSOTjU98wuPIlxwq5yNlqa8wQjAbYCkUXrGthrV8z+vgRNOoyzDFPUdkmvAjDPVKiWw 8/4aNmUDUzxzBBBozT5HrzFT9sLBnl+6uukCFHpzR+PVXdnkNWLKKLWq0DmM3DCupwxSlvMFhaDG kwaeg5WBwpk5CnnBy6MRTqD+aJK5ajBHi3JCJYsWn50W39HOz6oOr/J5DZbyE1pa7nm22so0S6HH 1uscmVTTyYKLO8psctG01dKVpuKNJK+UaI6aNa6EK56PpO5ZprvDRI5iZ3WWnKWCOrB6N7RXQPq+ e4153WuUhL2Wpes5pPKJLJNlOqJy2ZI5/7Z/XoMknmbtax3BN5YkHM3Ra4SEE1ttPmKNNUfsc0nd kyeiue40O45e46P8wFzYkLfRYS4nYhkQQCBTGY1LtkPN/aN0mDB+acWyc/55jY+yAD2ol0mzQ3qe uMvOY0UqFCfC+rxGR3zL/jVHiOHGBvKTc/Ra0cwXFrvGl/DLLRC06SQ2Uv+kBRcc2Um2es2EOtoj 1MFzLfesOUKPJw/r7qHqUNnehQSMAYgXvWReq5mYIxboNh7lYFpliOMScYeIUz+vtUycmsvPbIrp TqAQhu+110Im1q4i7VX8zO7XsglVftev3iCNSKYjOrN14yPluKOd2XSamHTozmiPzMVzHRE3aj4o ZkJV+cLw6HL1WsTEb2Ge4eJwCyZGvvtaY1mLLn9eK5jZtETueURJnvMi2VfSO8kPl3ZS5LguyWgW xx8s4fkl+nK76lGc3VUbh1L1He1MSgtmOiiInrQKPWRDtSTiq04MPpnUX9kXZbrvobZKkJMOGT5w w2G5N9nIQAfuneKHHTfHV6wNB84xt59K7ww//DrccmtdoUpI0lqPOGNdu2z8QzEK15NZPZts/Hpk 4xf91zLvt3GOvUztFXQQNgU9zyUMToK3iOcQej46vUlzr6UnH6yFgI9mEDD2+rwT/PLTH9bJBFnO Oe8N987vwxXXCNOfw4Xg0uwur0+PUSeN5osoC+Zp2x03TURoPiJCWEInrlbpcqSxCuMq+P3Sg49s kHVDpSGocDBNXcKwSxIKa7jbDsDtVWoAm48HwdLwYIkVBPZOqwdL79w+nuCyR2kGOYBP1HKEVL6G jrq8BWYJjvAu9lXP6Rl9mujNCk11IA5OjCJBinOPF/yggMxXJG8m5pmq3jjI3ti8G3KTkUsgw+7e SbwBWhG41zDuHsVXE7sMrC4RT815eo+8bbPgz6i+nPZKHjGNfk9xQCatvhvNE/Xyeqns92p0CuQ5 jsrZV1JEb2d5aWtWNDRnJean7NthmSpa/hkODGW5kApSLwp7OLF2D9E0lOGCifKGsLZdhvLH6E8t IAq/NVGr3RRljupCuuPxvjznQLZiwHv8fW4ExQRbQkoaLY07OSjEF1iEg9B+LtYy4BlDT3bQgu0Y H3Rvemf1YeMkRZXUTODAO+q89xYAtp73/ryT+jIEGOfXMZ4B/ZzrJrvvpD6eKv1R72LjnERNWdGP 4n4Ne19SXxSDmwDjZoXORq+/ndy/U2qQeiXnfBJ6ZUOLY5JaClqr2RdnSSVEQbjquogDfNB6gudi UnyZ9+ed0cd8+TtRAWgF3VafpigKJ1Ohn8vpwRckB2OcP7w4vgA3p6YpCsPNsGEmpXMcL+8K0JjE dt1JW4TIR6oCosWiftic19ciza43fp+mwdIJm78cTOa7wEaS7SOArz7vZD4/aG6M2WFMNOe97d4D cawmWYSW7eXccV0d6WNp7h9AlaqcG0XigzsJkojzjrbrznsMAP+pkIC8dwp9QHO5zvImeqDXXb3r jd+nSZ5pK6U7iEsL/T3DRLX8eefxIXja36WBTGV9+plrnqJgnKY1cKPweTIqO90vR3UqLQRGbDnF 0bj64yKmabRjHMKtjQcQ+dkg7hpmEUKRbFMQDWeQsWlvHM0Tdt1JMpMPOp1gHFoExgT+IgrH3f/j XnfnNgfs26qVUTQOdy1owtbk62lnF/k+Ke/y02luQyK+E/juPJ0IT5J5HO10QlkP6GAiVVY721wk jxNK559NtWGg1FzTDPnZ552+hx3AY4lyIDYoeoLqAvt1mP7PO3uPBbFfpaaz07eceTlNUTBO2de2 Z711lF1vVNBz9TpKXj5N78E4FmZTcSDvOzoEsaHu2oVRYZTTVSSoaLjURAldgODseGLV8p27h9tu UqWG2R38/M7pSQIq+NitZXO2mO3zzt77deGpmoJ+6g0038l7WMdF9YG0HK5Zer4B1G6etwB5qql6 j8ez2zqOojIvR8uDz8k9cBwxv6sZDpsiG1MXBfKSOFaeSFNL6j0gly8iOHtsOFUSQRhaF1QXm2By ZX3emXuMyL9LvHjNnoonwu/EPWw99jLWGIZrPTuj37rTai70PYBU00RFEXmyhlMSv4qjAwnOF6SN lkAHuaqmaca8bKlTsnlgm7vcBjVc3ml7rBiM71DTQ3JoPpcp7PSsn3fW3q+SARO8czEs2pprnqKI fGXVntb22lO2Ux3Cq8W1phZVdxGRv3P2WDCQ2HBVEMnRYQSrLNhWA3DBYqaUd8/xuGg/mX/IQbc6 rxyv3il7XA/sptQsHA9TFMzNQksZf+YoaKpEAflY43+OqDlolMJpCsvh7KpUgt6t1FuchFbFZ286 ya0r/k7X40mu235KnZqjIwhWLxNGRziicKLDXhpUR4jjA0GEHDin5yhfeuUggqIBASbFBwHKazft JGAkP+9sPdwEe3/NE/7wwc6q5uk9Hsf5pBZiviJT49ZV+nr4L2RecDm9x+M4yrmMViv1jt7n7aBf Z4F+USFlleIkfLDzycx8S6fMW1K9d+mV38+nNcTmkB3BdIE02PxKpRKGiZ93rh7+4ly+z3Ht4e7z FAbklVcenZdMOH76lbfkwWPzZJCUd6JelmcX5mcrOuLobbqC4BuNJxT4Icud5EMAfMOirzq0/D2/ K0Nv/Oc01SLQb4dAlDKQRX4N5J73/rzz9Lw2fRM8psDiJ3CeotI4KoCEoFwlrpTuPFHWQ/XM5QWD d5Yea9bCg03L7+aNyGsagEmhHAdcNMJarbm00ONAIyev/dBkger6vLP0WBkhIrOxvsLBkAOtZPlV nXvj807Sy1J8+dp2VI2vXld5Z+hlQzjB5edp+i4PCxr0C63+NJx29k7QY12Fro5lWl1lPnWVca4J eZigKoWMSNzQAbUitHDhB39VNYjxfafncTXJlqgmHzRLsPztsmtY4/POzsPhdKt0/G+xGKEXbp3x KBwXGhkwLTvEsY4Ng9IovafgCZGPWuNxOM7qU2bCaKPN0j5pcO6D/PRy0mHJPpPquJG2QLv2cjdA 6ufXRPgBFul6Gz4IP3BuUPmlnLcun3dm3q9GCz2Msb5HuviBKBqni19PyaACSB6WH+E0DnIrmWoR wTsv70nuOl2CbdQstcIsmwpz59+eV9vallR2I8oBTgtg9AhCUPTG0TSxr/m/NgTlHHdlysJp8vHo BPfKOGe3ktHlkfg7KQ+LqfAELzv9OCl2XreGVC8Emvc8pynEoiRRVfLMd7QTHPAW0Kopr3/iolSS mbFvwsRlLuEHeBt64SC1q8LrMBPu2wSYkf9sGQIj9Pq80/F4fvuWY8/4nGukq9gsRWF420X9g+2z 1DymhF/HdEg9wOrEPr9T8biYVEkp7MlptC23Ts528n8iL6H+14r66AVE8EnnBnpaCPg1KTXyTsRj usLFtNUrX9inXQU6HH1YI/NEN593Hh5LKt8dYFC2uuzAOE9RGE7TznNqF19NLS9n7nddeaSjs9zN 1RQVxpNke6q0OTX6pjs5/CCpt6cFxk5iTx2B5bmVF8JwRpdy5632wtE08Uxao/qgAxx3huzVzxn4 eSfgMQr/7kYh1utt3z33HoVLZI/6BtdHRvU52u08pH2Gn5qlqCqeFIW7kN2WD4eiJljL7UnKMPw2 gSPAf4Ni+c9gW7SX65nGlf15J9/hOl2CWRKY2i9HqkD9TAWDcy5+3rl32eQ1v5KVs8KfZOWdeocv zVsR5eV0IjC2shMxAaYf7CbG78w7v0HeMWDI3xfSX1I6C0QIpIlLSXnqxqOnd+tzxBK+E++yFLDP BA3mdhysa5eMRloXfs73IBzhRPsOLjvY+uUupygIb8TQr1Qcm7JydzThaMWhzlYjOEH4O+nuaZav KiDYotPRzySJJZ2AIiEvAuTy3G+qoJ+bDdUxUDsLmywqEQjo/E65Y/W/iU1NrBMyPPEToRQ1pV16 /obPO+WO0eX6miewjOe+9bl3xh1bFlSMGk+znAwuSdzV62NM+pzWU1gWN4mM1eodvSze2W5nsnIu 8QGQPubpLCuACqCiBhzshe+imvh559vxeGJgWcXnREAn2yZoCNME4+fEUHw+yn6/w3C6irUndIrC cGD68zlYDU2IukrPtqBKMdIPKs3FA8yoLm66pXOUcUdvA4OHDvtcVucG2na0TWPIn+FnjSqBKyCZ psE71y67G31r0g1urhsM+9HZhHza+fPOtON7/qoSnLt9jHvbvRPtGBVQKHH3YlBnQmKMlTiYCKPC 0VHlps3AO8+OUcH6vfFuVJDgUNiKrGRaQeRdufEKI7IChl5N5PlwQQ2qH70T7YhXLlpJTOtQj5Po 2tncjVCMc9POzzvPzmCuX3gecI/owWQTFcJUWO6lMWUWroC74v/ATuLcH6w1kXAO9XGcUO80O/ax tZDku6nRGwgoNeWhBsJJg/paIvnQUYklgpJB4VTZaVFS651md+OnnGU0kImH44qE9SzLBCev/ryT 7Nj3+77xcHmMlvzGe6fYYUFRpm3NNNyLoVDiKLPaW9yLAerKtqCisjgNO9A5mOOO3t+EWQkc/nhC gWYlzgr1luDSTNfk8uR2Xa8cHOWV6mybFx8UdW2eTpZeJZR4MpnPO8GOVem78XADwJ6042q3iXqP x9HfEauuughumzzVKf7nWHpcedsUDN75dTceJ9L5jnaUAxQm5hn65aNRG5RAFQAxCkjVpVPObqgs PvTKQWigvEV+xhwM97SbgAXtXK6fd34d8fDfDXMg1SjIbPMUlsVVca35WjCUMj0JnvlCxLtDMt/Z ddn8tk6+suq+o2d351Q/Hwe8IbpRq5rsXWlsK6GEDEFyS4JVUXkn1zEJFhO4VB+U3kGOtgn31Nrn nVuXpT/yfUBBjqSli6SP6uJkHLcxi994J+5z+ZCcst94HR6cvPHemXXZZCYS3GX3HS2/O3vyrNgq GbsJ43VF72i4/MzaqDp2y3PZXjiaJjGle/VBDfMELA2z4L7H551Uxzztu2vXAX+e1avi75w6PNUJ Xi39kjUl0UY95b4cVzB6Mxb+O6XuKTyVwRnQ6NldBdJduALIFzpRH72EH6rK70fbYWoxRTXxTt5T l+Ztd81bcNpmlqUOmJrvdDqebd+zhCQGLR+fpSgcp5dQh12x77nHoKLIV9WwhhZmvnPpnsJT5SVg o88SIFggTtBK4NzBbriaUVEBsOfsytuww8X8eafSMUjELG0ZGe9qnYNzM3fRklB3mp93Jt3/Bw0D mZagfpulMBjf6gXV8VRUrK2STswzPQemahMqKi1EixftOLFXNNrJBN2Wk4uwFJap6VBNbrkUoNpx kN66E1pS/JogIGDk1L38ZN3TMuucQj+dwKDh8SDC7NVnqSocRah1D/AwFKeFR83jCuOna+FR8rhi pCkbELpFFXFN05n2Me/oOUvtsHPOdOYdZy+PqsITi9Hcq7hrLiJz640DAktiKQUL3QbtuX6ivyJ2 dBl4PMATlO8qJvhEE2R0Y/lEkXjPgofk69+RjOaDisq4VgugDIrm8x6Joz7IPQf7lztqmqCoccI6 wHZQ3BwElqhxgAgYVy0Sfo/DyV/tMTGTjYPMPdey77mJSG3qZNp8PKIdJl9NhIuDr5Yvfq5HcTiF Yk7GsO6m682VQWpaxcuYyb07eoRQGZqeagIhHO1ogp3M3IrDQbGvWRSFCUJd08lPSrQqBVQG6VFN XILJHeaHNnCeznJslSf4+fyJx/8LZwxBecPNadMUhuGkIyVKeFj+ux0tDm63dzUBJ+cJ3qOaeF/G hlbBYPWrqYlaChyGEh0WUusmllwrzJhgEXr+IthJeaFArLEoDBcwLDeF4Y1wVyYetAAmnOBEYng+ IGn+IkWhbQ9lWz/Fe8jSpGsAOqceh9N+jbhVlIksDu/gCdI8rkdxuCV2w4CZHD2xG7BBSPR67mPj 65Sw0DqtAg2KQP0qXTAD7lEcXrZ0f5cNXuAGyn4rsesdjwfnePrukzOY65en0SOq5hi0Tm1pWOQ0 +3SkYXXByJMAz2SIzB7H4cb4FQ+Bo8WX5284ixOW4CflyggLJbjG41xerRRuMFksXnc9KozLM5z2 TUhXcLBJt6EuStwB7rQnng/madSvc3zAAGRePEEP43BGmE1iFlMp3vD1VJYTowvIsVpPIV+TVKNz XeZ2R5+nhX4aeOqcp7Z1mMEdZ4JaDyIZvE3sgKpZbxyEBdKWmUn6kACdMvyuC/IGWE8nh9l4/l8b j9ML1HF/WK1haXzQVIQD9bAq+dIqFFCzUSXf3qxQ0OPSuDi/TZYKHK1QACmsc1dTwO9kdSPNJhtC OkOzeERZ6as6aq8cnORZRs9JRLJFaixXVC5pEDI+Wy34gH8C6DAtJxuHKJ0X6fp7OG7KkHAz2ao9 nV245GV8YpIq7UyAfBu+mId5BFEx14luUjz9keJJ8DU5UXbJrKl0iOJzSeHqgLoT2nRUJDBfE4qO 9qg8XsmT7gBU28BSwdlA6KLhG1dqeDwiAX+HUMAhzHSTux6BxgESggShmX/CViq5xNqURQBCqJTv koogKt1qdDP5yIiXQtIQWGtoRGCe4PHFCjrExBZtOBu/4kGNn+nCF0XoVUZPdd1BJZWW8XvgH9vi 49GCul0EFQvOuwCS4DMVxuTU3F69y+gF/ZZabKb6tkmrkL1otqKi8riLgFQDr9Zyq5kQYYIEcJVt MTWleemx1dey/Dmux/Mg0bVHOJVu9V61E4pxRWFR1KQtDhVgPB6tqN/4emzl2hzOM6KoHFsUO6Bd wV8Kz0qU1bsLiMqrhZsjqo9PmlpCR3Tc0U7zUipZ4MQWoDpe1eyrwK4MdtTQNjRWS+JpPqKwXF4m fbDfwkHY1YG+hyrlOePxgJzYfUVRW4XWAPNOUxSVd9PrS91ZLdKUIfknGV+K4AJjtYywOm7K0YZC 4WjrCdgz1GsYRIHnaEKQYAH94PRDcLCvsFqxN44Y+OS6Fk7TQBo6xLVDEblJFBnzFFbH16+wHOWv dbHQIwSNU7Z2T1cpwo/u/WAsA6e14OzVPEXV8aygoA0ZEDaJdw5kLydvWSA/0ecvnYlIEsfYqDWf /y0R6tdA4QRxA18TQFfV5kQdnIPpZUNws/MOO0dIx+NRs+U7Jkda0NYtZY4QqiLc03pwTyxBiuo6 TND9rCbK6nDTRSH5slLmZDkXY7ZZqpy6MaScAjfvpjMf7e3zgicgBnDbAwOUhPA1f0EwoMvvgyAY EPyRnBr0DEdYGL9nk4jTyIRvL3hEAXkX4a6k6imemK5U4JmrWIpH1VutpSggnwL0pKyMmOMt+EI8 ZbNIB9QiRAYEyMQxQ1YNO14iBNObDt/z19G05aG30WAXrwneD7Z+T/yB56PVlL733EnIBjRibJ6i gBx1HyR405XBlgREOU9l+J4buG81T1FAvrr0/3NKd/Toid05aDcgIF8gDfD8glDq+UXPuYhsxGn4 lCsYIVxcmsdAJNugmADt8qrK25h4/J8nE3HQCy+wvWIwQrz4Euegef9gsf4jxl0fLj8+oAWnaYrw 4kWCz6n3eUevZlYIEzXddB1C1FvVTPSPOjARcAa+aPGqF47adpifuYjMnLBF4txkKDrxPmcWPMJY /NcBjro09cRslkKgSlZKt3zTrfLIXglgz1ka3WcpqozPaYeyHOe3qgWZm+7c2jkNarSfJbNnlQNO gYPsaB233fXYJXgcXxOFTTi556Dw1aRMkkB0aGpWkTRSx/MRl8WLBbL26rC5vospjMRZLNj96jzu OtxecEr8gvdcJU6l9M+II3G1TsyLuF8vYhi3DFQa6QHXS0NGLXV2YaUQItZe0911jC9HGInzpsNG xkAyDrc3JJkrj4lzIcyFDwjKBc1FwuQYN1EuuMJFYSReeHRX668gwGyPteBINlNTaTBmKqyOm8x4 lxU4R0+D1/m/tB/p4EwX9l0BVCFlgRZe0BG5Dgk6xkMGJ21KssoGGWUDouEbdHkW60fn5Ch4Ppio +R1iQsB4yVKJYkBhfbxRP3ta+I2dR1QdRPlWKl5XaZPUxM5P+hvl2+q4o0PGC+jlVC0BZDzvJe5L BZ5moi2GoMQ7dyQEzxCnQnHVodtuXMfYNs/dLqHxWioej5bTN3oV8zoeyPiMAvFKS8FJMA5T4MnT WIkdS3hstjRYZDAFniGB01gaxk7kaIH4QOdgy1n35HsDHlQMxKH0ALbOghplfmC+S6/8B4FTFX0b bJ42ITaYp14+M8SM92+YCuLVQfyx5ikKxBMKIDCBchp+hma6znHgEHmOA1rv7M35L/bmsgR43QQ4 nf0/mNgxYanA6W8dUJVKQtD968SHCc5DWYcZ18dJHyvsI3DgPA18EdlyOE/xeDRP9WuewPpZq/h9 N6NQXBp5Jz+6An3b6CyIbvsVVkOhQPP0dyhO8as7WlRwgsxegfWlYPaZwrQlbA8yDZW19/oyiV16 4ehwIiexc7Jad9DT2fNDpB+wA/B4RGfZX4c4LJ1+Vr1dqRnF4pT0gAuikRJ7U/A0CBrv3m7ZwApq mqJYfJg4z9Y0cfT8FxuhTt53FUSpZtxNxC0bcUCh/7T1gmnLNUPuZhJFQzDf7DDfk6CfI49feII1 PB70ONt3D4GiM+1qYs2wNi4tFaoAqocwp6csY8wbPaEmystuRhgVo7jubD2EfHsIuZ80t89EVGaB zVuVQAYwGjDdLj+QIvLoiQaeMwrFKyWeSh934JcA4c5u1s9JGgceD2LMeWcJ/zHUrNbTCp4RSKVT JdukMCX8PNz0e0qxh9ETXOU1TVFp3Kpza8s9abnnDCRnSgMQrEvOECIhKqPnAQ4XQON77YutJ196 RqF4opz4UMNlLN5pqBKcv7OoSlAG3/JfkEzxyidCd4+cZkjclCnz3N1Lc3RGVGmut+vFBc8u7blQ 0ND0VcfX6LiC1doA+YeIzDxGGpbXQdzq/MMPygW3fbD1wkHbTgwEyc5wSJawmNhGXZuPR7iwb4Dv ScZP9vtsuQikQvgyxOOn11JcsvfM0jI+Iv0h/KKLIvGRbS2NZ/RGcAflt4reCu1+mQtW+kbCRRX5 1RVSIVIK3xPgoFlFGUtUO4SlEvsCeJ+KPj8A9eP5f0GelA8isRt3nkLeJtIwtO2c3jpbda5dSc31 sKheqXmKhVTE16SDgo0OeRpnRgASJj3qLLsuH6WGLGewqQOhNZ+nVfTGUdwkNKb0ehkB/xDzBKAn l8hJefB4hObxdIUvh34NIMKaphVF4WlSjHZVT1fqvkSNRnCMjqbhF92KUCoG0NnZusFP0QnGywA9 4do98QAIB8LPFWAhBtrXOLZMHkQaBStEqbBXPkEDsUFYnrOrzXPr/M54PCJufh9NwMbPemk/KyyH a5ZmsloK0E/b2QfUvpMYPY2jOUshSKWboaI1pMaj/ThRJ2jSDDsnR8OeZA8YhdlJvfRHaXUh9sHX RIqGLDn1qajpzNLQCY5FSmjuWakFz0cAut8SKugabO9rrlBDnGwWiM96BXNWZwGnUi8LeDo7av0N UumsSWtk1ZUlJwgcrirfSXIOsnkhnL+rIctapT5B0/pp+JqoMjd1wW0fBHkCsDeZpDMfj0Lw+RVc Vuiay3SSsxSF4L1MFXcfG4i7mJB/25brdVn9coVAcRn8nBNi39EWE0TEYeAGxFOBrLiAFy2j8jGA fR7twucEWV1hNVxhQCP+ecAvQ2IekNUl3u/82RClDfEp/XvPZXb88gXyrFBLHBGzH9vKgtOyAgGd WAXkaXVYcLli4qY2WzaFtfwAeehp0ZX6wjEKzBZsum52LPMiVAzIY68cFOcIgUZJTOHlWZCKvamu xvLTmew68QlR6FS/Ljt0buE95FMVxeEU0mkAR1gtpZbt7bpWmp/iBcRyTVWooaIl1cwGqT02SCnD 7QEcYGiooCBaGUAUKlBn+jVCz9cNARN111cEUpFMQS21+GDZ7wDXSPkK3zPAqCxvRBF4cbbKWBfh u6JAnPQb0Jp1xUF2hrLixDzR/4xLapZiHI0VBuJmjJt1k818HTkLaLo4aLv0oJc7clZWfTPBCuM6 cpas+y7UUOmb4iDJBlPrOzH5KnJbPpkfHv+XUIFjw1a9ofiKQnFgfijE44YZa/fbO6C9DllkE8Au zVOIF1c/YFj7d3y1f+GKeC7/vuWAhEowASqVQhmZNzXXkwFUcFPii/7SLuoWkwMIJMpB6atKuwjV uRWWxfe3qiFYIqtftt36B31zrovKJEtOGipl13vhVWNNr7gsLgNOiw84OtuuQZ1qMMhsOGlNI5Oq CCfiAHUXxW41gAu9xPFFEWac+w4NJBuS6imgSwtZP/iekVJ9/j7LkWNCPtAnKqyKo1DQpWajierF 7zxaiLuK7zblixVG48pWekn5jn7nndU1amIARTOHxr7BiWILq+LIIxAkeAhFUegVheNikVWJYXFQ 126VxhQViV7F4/9pQZEbSFsPTtSO4nF6iza4B/lEkQPJtKXtne+KKibYt0P+phq7s4v5xNHRq7BB pqVhB8PoZKuZkeZEDguBPpLe3ASXVfEdxeOit54Ds2tIPypdnguTcE44tfLxiPXjVXEC71B3olin Zuk9Hjc10a4yKZO7Ubyzkgbtq01IZRmgYMdCKq5Z/4w2SwkWPqvQ82jCshTCV7jvKM5DXCy0Ze99 1+yVg8pTlXov23ccVBU/r0vap7TY93tADlHp9DVPlKsH5NjmKUSNN2pfLN92nd4Epq0mLXaxppNx EHYYkMsXaxmogqPdd1AfPveZxGkrukZNHloZOShVMsu6YQFbJfievwp0UAL2QciLinffVoTC45GU ii8nrELzmL0GCCF1EwEqS9VWU2muegyLuWc5UWCO0xQXxW2a2rijUzcTVLwK0XMn1e3UHkFNBbJi m7AfyM75bUdltR2F5Ju9uiYpFQ6KniCFL0bLxmqKACq/FTKhCd/XzYJ3iBgnzM+4dmJu2sUHftS+ EuPVD/Edu/vwbNrViD/1If5UNEDAQP2htG8DSYq1AqQfA6SaVm96t3A24mv+0sccYrSMDPE6mScn IA5ZADgRBp7/L5gwJtPtVnt3KGjYZXxEydD6P/4+rVyCKy4qTVOMTyElEYoWd7wE1xONAwdA+SLU +7sMXKElOxEdQ4XNhQpAK8HXRB5IXRDM7YP2XIcSIDPbEzfi8UjkKX2H4hnA/ssr3xE8BW4mRBRc XTUpG8oReDmLjFR8zVIETxmG3ln5GT0UP9dCo0kRGptlEUSXKVxPdflp8kWrKblreuOIpZFIH8vD BwNiAoI3VHPj41FmdyMnblBILUNd0eYpDMXZsFs6wimq0h/f1nzdogbxFJynEKAiw+RUJAidyiMI PRa9fKSsVs89bkd4hYpoX5y06r6thTbPnx0hVIbqvTJ44KB5qvCTFwi1jc/+b/riSF7RnPZpiiJx VHIoQJd90zlVCkLHpv0Ew6hq3M0dR+LLzqR6R+9rZogYdrIPCkCdXW2YwtQTrWrKHBs8xV84Kqqw NLdZW2lutYhOx5wqPZ2TEI9HnJ9fkrQNtYJxF1MUhjeqqCTR6ojwJWlUJ3ie+rfnoivFj6YInMJm DaCFtdzxVntRryOQL+dBxRme8hXH8Tlfyg/MPMywPDH73bGGiknRbh9EOzgRvXS6IZmMx6Ps12cJ 2ytnlNapF8RpEh7o9Wt3VV1uWT0F7TXHpgBsy9IKNMOM80MEYbgb8Mdv6fJwNLxTHyR4FepmjwUa J8Wgp8y1GGAi70Ctk2Y/2146YLnSw67IPLJQ6J752NluCDaYr8Fmgx8RiRh97z3KTcF//s5XKGyI RhukBgcpGcDy4Doi9ydhC4uoQQEaqfunMCAXJrNPRVIcPSA/m2PBM5mFlbOKu/rlmab0GciLgizH 6YnNXzqSE0XmAjy1D94LLsxIz080M58PUpdfgJ4MkbSdLhiaPuDBc1LvHcMX1+63WDcNysqjyq0Q UggaNx0Cq7IY6FaSKvD6qUWeyRWad0UOSeRyZoSM5za80jObVQN8UwTDYEA+k7zbEFMzMoCPgE7I MWFum6LIfPyi5APpcNbitY1IUbGccfFZvs1Yih3SaN55Sabv0BLzevkhpNh1U3jwLTAKR0cermUi +NiJEGmnlVIh3/Tkk1gD/au0Of2l/8JolkmiMAc7teAQyPT4/zH2pjnO5MqS6H+tJXHBeXj7X9ij mbkzlH3C8yv0BVinukKKpDj4YANQBng+Kpj/EnnAGZi/FlYUn5MnDmBXeU6tYafWFEaD1U30jmxh RRVzUxMbQpJr9IW10kJTiiRhdJFW0S7EBsgFSFq2mKwcxRSO3xS0YJZUj/f2QZnM+QlkLnmugMzn I3L+r4IUjfxoluqzFdbMcWadXTJd2Kjy+OWZhUOe/3iO605HEvq5/aNoTjdKH+3MoltLWUk+2VB+ UKml8T6ApOZJ+6pbJhXiBvhNf2EQi4IHDlpZaFhIPaQOPR+R9PvXhYiiI/qOd65iO05q08GjyUSg qInwf2SHUhZT5/uiK0nXRwWBg/KUWWq6o8PrUH6Z8r6FXkhL03TqABsqFMSB8L+d7zs3e+kgwiJk rKZ1B1VcdqXeJHn6mc9HNNjxa2Wdn2pButAnKxZbkUZkV5mc2qPahuiC2hqD2ArIltqGsfihWptF Dp0c/ciCZC28W8CETWBUU2+zsA2C6YO02M2US6nNXjpKbqh7uEQdJoBGZWEeYD+E2TU+H7mWXQ1y bFrwGkBBvZMVwlmmzFueNLDd0st+SC/7SiLnFImuiKaA0lS/o9deULTLTS4JdQAhT+DmBmQT3d6f /ZD1l1ym0t/GQD2ZeJaBjcHWVyP1xKRt8PlgD9bvIIsiWmn153iPJRBFXSzuG7xGu80GUYWY4gCE YFMVFtHJXWzAT9zRU+Z1tmEp7Mqg2bcWOxOgLsK5l+krIE1GyiNrGF8U1alYHe400+JgJM+dVrOS 1eTz/2QIqZaMpZXvHgzNOueQ2U129E8njFdmCS1dxllzmmcO3DqzATix/Psdba62tOeJazmZ5znC hGKkv7LsqPeNR0uioXkO3DrVdKMkVPJBeTNsUGRFncbk88F5tX/ZJZyZX4hjfaqi2L0VE4S6PgBj e4Fht0ePrbnAfQ4MO9GGliCb4nKNVmE4EU8Gi6ZTqKasmtTwGmhXUdKBB5Xp+xGdmGO/TmaFvT2D VWLykP/UiXErnw8i92uYoNsT4sOl35kKA/ecZIM3vcawvcWXknT/pJEMYh5vwdCyU+3jczPIEyB5 oRshWz8RKFwXMVOwOTf7U8gt4hVxFZbLFkIAoS+KKHqspw9VG8bsVvHdJ7Eqah/Xwecj26nvJKcg Qp5X/jcHrp2Q6iCWkyJCVo7JLuG+Bs1NC9tY6ZrARmE7pTLRU0/jjga/A1jgfALdck8oAbu3x1EX t9gPCmyuODaavXK0/VCJWZN60hwEvzsZjgAJZ4FWPh8hEhy5IZtmcheuq3Do2wm4KaZnXP2jvF2e fFdy0bioeCVrpkLap4GldKVodNjr2ZpnV9HgFDIsFBZg5eps+wEwDr27rXRFdiC/KBJiIfksMRg9 Q7NFdU51VfIhzsLnoybyN/IVOjntQb7mwLnTwuyz6catXvWh/UejtnL3H3Bz2n+xVZAJtJkk4u7P VAHbvJqMAfD3GFOtQDOCdq6QbbvQqe7v/BcQD4hjHwRXPHGuk2FW4fORGOlTNaZ95yA59znWQ/qn mjV0C1UyCJ1A46Glx76T5rZMnEP/zpXcF37c0UJ2eA7srqbWOElzUaRaCxLIDZP2mog6V7FPFazQ wFNS5XWxgMzBckEIkgqOxw0QRez7l2EQ5PnnUzrOgYWntf8guXPzZrUipCHpetwnmEh3qiI9FpOu GZIk1fhkN6BeU+IHema1j21IM9YacAB2kqxkgV6rvXS0sOi3uFrzQZEVEJB04YT/NZ8PFlb/hT5H b2N8RQthvC5L1tou+TovFywvfV7zt3JdYQMjzycI3RaE7huEpgk4ys4U3Bwnp8cuJOQFgpu54FpB 1/k2S3uzl46sAlhcyKn6YATsMvO2U77w+ags+i0xDdMC6u76XIUQ9Mrcpi8DMAJU756nW5y0Ta2R YiL4OTbz7CRbQcbujrfCMNB9z9Kv2fToYD2GHJFCZ0fAZy/dyl86SASH1LUk9JNc6AeiwBTmw7ra fD7IbooHDIyTM3x0T8w6bioYWnoSitHIuf+fbbhrd7wnkG82XaGnpxH7Kfzhoy2tDugpOCFEBqDz ZaQrE0vAZYZW3e11NXvpv0ysYafKgar3JHOApkRobB2Vz0cFmXu+8w7FzVrGYx4fVtxlRMFiLtW2 zqGerCKTQEnKlje7y1kOnT0N+jKTTVZ6JmtDjbviPj15M0KooooMiOdwWMCR1a9RLDM6flF0ZFFH eezhg4531HwIbYXXAp+PGLS/DJpRZN3tWVcRCIZwnUe1DbrZBJyhHlPT8G24wDLVkRU4e951NYpM KTg6Su/cdn0DMYB6ewHVcaosirEAh1laLrcsWpO9dFToKwLwc8o6hV65sIARZ4kAUiT8gChw9yoD SZLnm/d8zqzA3BN3oSD8U9MiwKFNFmoPJiS1IXxn6ypEp3cRaLMRavNDOEYfB1cVXmz0eaaNNXng k7GWkbTAo/G5C7e9dARgYNXKoGg06WWlD66hzObOvao3jRhGv9TJIOq8LqYxhwafchaq9AtjPFqp rsfQPQn9ycwZNDKF7oHDp5OO0ebod3SW6ETQUFRkQB+nCslfJo0jebzOftcVLQRybPEp3oyZNqOd Q6DpOdNht4oJGG1NfkAkoui9iWVxAw2vfK6iantTQlinF68YeFqaM5d7em2Q2WyuQlTMtAqy7kSO 3nqeoKoV+gicPXjSONYEaa3wQ6AV4USuZ67WRGjzmYs4RukOKjOchcoS0rnhauPz/8Lyy7OG2lv5 ObCi0F2aJCbwKtzsdkdU2FB7TbQOL14FVp9ImSy/WfWOVpEp5yc/E8+LMMGANIlF0rktQYtE+uqq UstfOcB8cOsNabdwUE2UwndDU7X5fBS5t+8I6/ww45GQyKHX50imcePgmF1Ts1U197zgmPXM1N/C 5o1Bvo+WEfZOlUMC+gtQQ7K2Ah0CVW6KmAKErRZOXv7OwVSR0A73MA1J5CFWLQi96zXpRYPk+W5A kgJZFfrKnkO/z17yY11lhYbu2fN+HL6yI2RyYPiJXq4kbnIud3T4HoR71H9DmWqtpq5rQlGRQkFA WVlwReNZfk9wCS4xjpgWclD8fdYVPaQAwc58PhIm+QbNZsBeAC28U/UeuEN9nnAGEWckBD8c6Igg yGEyVH/TVMXkUVk2Zwvc81fgfgK9USrtq3qpZ90ocO9w0VlwSylo5aI8ycOq2DsHy2prrgR5xFrU slpQhdCyqp3PR+Wr+bUDUWRb5QKKcmj8yQ5Cv+Q+hA5tO6IoWaEdbh4u7ZZj58/lsrjjjg68OrH0 lp1HhhZDH9LvbnLcQ9S9r1CCAOs5tP7MVrZqd7BjnWLVP15nD7w/1Sp7VtW5N384wz5TUcS+K3s2 e6Z7Vu0LeKTQv9o31QGPOXD/BNJHFNsm+AdHP6smji78BzQmLkko41bOufQzqD2eL5DBHKxyYP/p fDaUYnzQBlxML1m7m5vP/9OLkHMM0sm8sPUcO4CKSLrHlQJYy/0qpFMoKYBevMoQWoCa8ms3NSWO Xrw62yTDuJF4ogIvK4oJbzoclAF8BwrU1kLt6nWFLqAik7bEYkOjVAS+qsJuphH1NVrP/IBgtvav Zhcghuef5tfaCimlyAYh+u/e4HsmJ7sD8uW7EBeWzVcYtQua3a3S0L8qDZCGOqtTKBkkJZAzJf4K PdlScXNCY9tVp9SfD+xAIdhGdcWTcnNA0Ka8DpuE/Ihzb2d+QLQRf8GzCxjv1+kyx4agTdqme/ri YiXWjXUE1aYZSvbJipXPlQ9OY7nNB3+1ADmAVCed1NGRIKToZNO4KzKAteQnufYUgKv8pqg0St7I XrL6gFBIUw3L9gZk3yY/4F/yAOwlUlYH2BmfrRAmQ0M5ZrPKnusabmvVySli9gzguSWEoTNo33YX 6kgaj9ziOZILZpzc0nO6w5RAzgMoDORc6ffR0uUkpW4vHW1FagujYGWDkUvTatLv7CiOBuagv6ru P0T1AQT9bMMocKeixvlkU3QBvLbdmvtorvOy4Q5pcxXBZJrBZHrbd/S44WQDQNgqbkA5jpzBsxTM MrtALH49hJtuL/0Xz61vEkz5Z2Z3nx+kxMI/Z/IDgjQneTeVZ1xFptVviz6wCEWlIRPZN115Iufs il1DEktC9vV7xsexu5uelDt6BQsqgrDgI/6qy4cUZ/wiaRnkoFof8ybaLfCbgm1I9mRrUvlu5t5U 4WQ/s7glq/P5KHv+VXU/R/siodrmKqy6DzVz+sUjj+285U0pCOGRkZbaXEWx+0zeoSh39KoMyAXN 7C8F3CVktEAOCPC+DC2fa/+xVXUPjUKRMAG0Xe6gqnuFQoe+ci0+H0Skl1ci/NV5cYZMPllh2X1T J29NNyJYZF/KAhPmpmZEUMc9seLoXaUWM6HlKHzBQuwOqj9Koydvg2wnS1iNxFRAcVFm8D59yQL2 BWahOLEyoECIQGZj31dTlCDAXiXYsSc/IAgehvcoCJrEps7P8R76hQ7VkceTQVOSVvF7J/qD9jtU k2VUGhiGQtBRBfRNiUWN1qiHICy8UeBJv3EFZpM/2WfSGtLA3jyBzoP2OznwC0WmIgIOY9NBfqGC UshgKnkBpCEwDEVW+H24d6lDX/RHaBg6JYlOWQP5iJfptYZGz1xVsMpt1IeOoap2At6R7uhVmblG gRMfxc6wHrYgRfBrmNR5wE1rSeFQiBU4hmabKrpC0Hg9qctwroyTEJAOCQo4PyC4CJ8SFuN3cJau 9lIOTUOrkDKjXKTMyt1znU1tT3NSy5ZAB66hydldO5vmuRSjjO9d0RgmqKEs8PxISmknrloIh5TG OlItFXvlCP4hH4IpEhzuBTKSUQFfSZrwZfEDIjEYP66SHe0D5WufqlAbPRNPu/YtjNIWRlOV0pUQ GM8G/Lvg3hko+XhZlWiqSjD2xECtmyNBp3ng2nA/d35XRryjV456XlKH4/nOwQheLavGXzPgV6Fv 6APq49uBhlGeEnJgHApPAkSijerTZvXIViF4E0XSTLJ6zEaNz7FzqIkQz/WMHomeU6gAyEJnpwwB WhOEOWfL4mrc23PCPNWlD61DhRUdpdxBLK8Bqr3Uqlrl85GSvMcLEoSD0PautywTmodWuvYCMGxz dQ5gn6vRe7EEGovN7sDAPfSC23tT0Y+j91LRHKTvILCiQIoqas9kAJ/1sKyNY1gZ5TihgSiwe+zP TwK2C5ScGLbjrmX8CxYDP+D9ZF+/wGoACEz0NHyywrCdZvV7GBWO2kzJItHz8/tkTYhZ2cKKwnZP CEefd/SEEHLvRXDHMRP2o3pewIHDS2wDsfL4zMxkLx1JV4k3MSWjM5P0TuDPQg1Mxrt8PsJg/XJX QyXl/IXPNRiW3JkP7pHTjyuB12aTtVr2yVrN9a1z4CV6FqmBsIrRm8tDb0aT69xQ8qVN8G1WySvL mQH+IOPqD1J5lt8TSaNS3usc5T5oE6Japq77BnUpcBP9f5sTGTwigjo0VZ/X0KqgRY+11Lcrgbe+ THfofLUo4cwGp0vLvwZWBWBRKeyume74gNUWHMRJmdjwclW4ABUdwBg3zMMuIY5u0K9hVYGAjoTl 2UvloFk66dPkajirE0+/zNF5x23LaVn4CS9olo+ZT0Uz1Khl6VLybN9kZ0qwVvl/iS4z3TdeMENy JoCCeLqjZ8sLCt1FShAb5fDJM+r8FsAPTDlzuuEFGvz4mrcpgpYvS8ZlNB80RWe5MyxEKomn36YI cKBpU8RTG2z7xlgKU/QaSRUjtzcDgnK7lTp9u5Xsi2jlYVP0GkcV75r2LP0cjRZzUni/o5DdT+Z6 MhVNEVp2GVLA7Qc5uIWc9AH6vEZRBc0pVhHaHj4YYgqKNeTc9N0+ryFUeRDs60fmtec3q2wwY4Ze AyguIpXzenUGSamucXIJAKwV2zZ7DZ+KpUIQ2TEp4r387K4tIU1fNO0FjX2rrwo/sgLhsRMPgaBm M4T69Oc1eCqZlM2zeISFoeCsQqYEuRkKUJ4kM39eY6fy2DdoihBlNmI8OEWvkRMeYjTQpzeUoffp SR7KlJ660EWAjr3RPtvGF5GmEEePBaAtCH7bmaKTA+Wx2HoHmRlfngATAurANhrJl5/XsAlnUZZV SrmD6nfzfHS7nffXoAlP12RzJCAkcKC1+iS9hkzYnouHka5+CVJ8ceP7RSgAwiAj2mCSpuFeqhSq ONphNOEc2ibLnPvsCsIkEQMgD84FAjSNeAL1R5MMVoNJWpQ1qZ2NZA6qr5x9l7qV8MrnNVbCmqjV J4nzCXLP8gP7NVLCKV9Y1cymZ4JqgUXjKHBuV4/fxT3pX+MkzJHk4HYTt4Gj8x9GQRS+CdCD4GLt 6rZX3masGkKzwzYb07rXIAmbTVod5twAeUQV6RrtEJn/npn7vMZIOM3M2EJzhB7dBPhGc/QaIBWU QargZneORul2Yk+W+Ewrtlua8hoe4cQ2tcqkOeJ4L7W1wSuWNFXF8mSSIv8PABZIMb6BN5nwr+FR cXlPwLh9EOKTikVSw9v58xoc8S3z1xwhtRj7Hkiv9cyCpLcJgDD9QFJRQHttNW+wp2177bWaWa5H CtvePtocVRC0zo9BIhvgHCqPFxn0VPZ3QdQ2DPGim8xrLRNzRDgs5l3DkFzZbmiFsjqXoYAazJGL MNo6moAh3ODotYzJi2KLYuRODcMFckBha9nnqDov67WIyeBIFV9jJ4+HQEM/s4GjG+sItIJsODyG nBD+hiTbuDg8+ly9ljCL9/NaafJ1ZJkCYcPJ5IqgsGXlz2v9suTbn1pW7SXgm/q1CiGjKNsCpH4L mEsCAWQa7Tm9rc58R6zIaJ5U5F1sYNloZ1KaqDzj5EdVpUGzUMzkhhi/IMuraTx+YEp03yNtNAGy kAfJBzXHkWtmbTgwjaJQm4VtTQuy3PPTDBrcaJ6iWDuZeFc1Rws0L4a1iAtt9KQbTzKEeEbRPAnS ss1InKOjD2AQSmlH+BKdt0oU0wH7rxB6t0lL8A7xpALjO82vPHhh5m0crIEwrXjZxl6fd5Zfkau2 pgWLb531OCcZyUL9RVdcJVJ/+dEEB6lp8ySDLdOCGz5PUcS9tY628ZH3Fx+5dEh2mS026LZJdYOM m3VKFQ38YYuVhrDCwTR1w55TN54Dpwlg6jqlCbD5eBAtjfS18aTa1Dzofif4IVoaZrLj0RKIig6R qt0Jtpl1RqFZgiO8N/Pb6c9o03Ri1jInEI84nvqmaglqcUj+F5lL7JSYnmeqeuP3XdeZk9Dx2wYl b/0cgLw1TpiJBkt0Po3vWIAGKcK9cpreI28Uz5IOcV9N2WW/0bhrX0Glg37eQ+9suqfnty7ljtZd Of+3ZhpkgOQJqbAi7Ry0b2dFzEbcl7VXpkqWYTSAZbRksr7QXamq7iIfokrVOB/9eef2cdN+33Vw RfgZnS7rnKgo+pbV89q3DG7aZoNR0/Ay+IAurkoB7+G3qRQmlrnvaOtpjRNwQ6SSMgBzJGO2nbzl /LUwZ8vA59opzhLI553ZxwOVcnBbVfBtVfByFhbSXdUty+ed2FceCUafJ3jZrHWPpzACJ+Y8pXLt 5cYVD0ru4gAFr+F6OFEIrroujrdxR6++Aa6CdoGa5ud6mCabB0DmFgXMU7mSpZcQxeBmj5KFL8gm OleBr5wSeDlRx+ed08cwyPddUUqD2b77LgrC2d03YUyzAyvbGuZ9W6/gJO9pemUpisLttoMLxx09 wpyQi7d+eS90ZGamgkbMghwV5F4u/X92vfH7NKlVh2KMBjuOy+KRiCMndT4ehJi3/ib28XmBSStV TtN7HA4oFKkxFOVWdWktn6ZV1jUm6rdVHgXig1DEXo1FVb8sZ8cJ485EUDCooA2sIPNc6DixqJhK sTL3V+964/dpkqjLFiJxX0TiWbwQhuUKafnzzuTDH5zK1zRlio/35kW4dyYf7yhODln6VvRO/cft L5N7FRK2ouUUBuM6xk00SeNtks81oPaAeYJHW2JSB/LP/KHEZCYWzgBj0qCKgnE1nhZvAA06nYDz SerlAnwRReMCND+33bnLR/KM5Z3BV8ysEPW36xqei5NDU8oO6YELnxUr41hcu27MdUePnRZaTpXV SsjBTTWmKt17N+EAiM5d1wwH5eedvscNQGTrEhRxGT27opSlOKSdNPjzzt4rhv/TNLEqdf7+DSFc m6coFs8qWabp7nKpODgMKqjtxuJuJ/PO3fsOnka540VrjhMS6HSCOFAjwjsR7I9+E2ColKu044lV y3fuHpM7JsCFLbqEzdymmgITzDnuppM/ts87e485/+9iSv2hfo1NVVT/npKJXVYeAFizzTtV2fWB FsiWmqr3cBxVQTPgk4D8fOyuALlfFXuOfUxgBZIU9pWDo8dWe3sCTa2p93gc6V0S6lAWPNiibM2d Q051V1gRrM87c88aMTZR3GgwdUQp0mYqjMhneSQ3vjRj5WQ8b90JDBnNVBSR668/v68I2hx987UT N5FvfRbVwk035QFCmdqCBuyAgKprblDI5Z23hy+iCcGU4s18FG8KJMSFnZ71807bK48D5qKYGcSS Fyw3bZ6ikHxVnkzl0btpM/mKGk+/IHnm8k7a+zqkzLNwfXkW4jhPciRBC7CXZl0n4FkXcYqgg1md V5ZX75y9ItdBnE5Mh+E/ICM03hZM8M6abZ93xl4xxN+tF+CM4nKwaQrL4Yi7+jkh0oWUl0tCS0ZC Mw6MllNUD7fm3Gh27TfXXYQmwrkKOlrsOKPO2iyMoAooj6I3/6Ah9Rzm9spBCEUSWpXpFQfDk6NB qjtvrs87Xc8C+q8QiuzK5857D8hxQImCNq/S1NzXULVcAgyzVS2n94AcZ7lJ6s5xR+e/sKa/2VyB FWZZqj91UK4zM9/SKfaWVO+1V34/oNag5vDad+DXZJQYZYI51v68c/WKORA+BznT6qePGUXkEDbF IspOaky1LVtPEOD8feedUPOdqYefeWqeBpMSjRZq1jUAWy7m5lQZdaIdjnLi4nkG43RP8MrQG0fT JNFhskCB/fwZ1lWBQg52EwT9P+9EvfJ4EWjfMWnJT2wQlsat4TvuvmvV52krsmI9c9/19B6SQ7ZF MDCHhbn6GkVhYGcBWGBX7dSRcxCJnbTLWvvhySLA/byz9Iqk/wDEJE2WgwEHBh2PGJ3zNYPldMuZ 7Kd3emLfDsI7Rw/nE6BGXf5UbkZwz6f9FKD2MDjmO0WPlRUWDFqTzyNHC8krpPEGL5Us4UDpxy8I 9uAohiX8VdYgxPedoMflRBBm73fQNEGpcEmDZY3POz8Pkeqv+hMq8+cg9dX0Ts8rRiPwbIVwlObG aWDPXTsZstnYHA8jcuEHKlEtNtoskfRU6pa6YsqrZ2P8nxBogKoH5KkLliHo/byT89hyE/yEItYc BCCgTodE9nf5vHPz/Ep+8hb8cCN5UeWdmlfMchw+WhdCwN/S6GblsZNxEcp3Zh7TO57dQ2BwjZol sMvOiQIlv/PxneuJ6y6hh4CL9vwP2DN3pXe56I3fp6kmUWJNat+VktB3F/nhrGI+Hh3h383fwh7Z vECLKBYHJRXtzN4NAZ1GvahemsIbjKBbMfOdlFdcUQocg3FHrxbU0RbMBrGYzh0xxpT0DTTGTx4u hwk/wdvQCwfZXX3D65xgdc0t2YMTe33e+Xi/DnAhNBpd8HyWojicix8/cfZZ6tY1OD8aaxRC1EM4 hdjndyre15ZLWkwc75Y7cQfqV6gVIAjvomLDCOlMEI3B0CQX8Is42c87EY+ABe65LE0ISvvI3wqC VQxC5nnzzzsP71dRhTE7uj/QibF5iuJwyqAjCnD1jEYHZa6mrqOp8KJrBkp5Z+Hhd6JP6pkCUhY1 2qZLEJehpBCIB+c8mAoesFHOQkDPkeGl/HmBrPq8U/CY1+FMWkqBl+sW40ed5h3eR/m8E/DKw4W1 cABNDYrMaZbew3BTnAGP6fIzdvM+S6K6mMkDTrvm3tl3TCsVBhTVfXO5vhYVtnljJXrunBAjIXnF aqowEB7si3Z6qgpNwGzlnXuH9c+kbnQKCnPQ0YSvYVIHdMrnnXrHxfRdeSJAbtxC5jvzDl9aTDvY DXpTXdc7vOSbreCE1T0XlcXHUnQpZIFG52acGx+lcHHJJrIooi4GuvGMD06y3tKt0BFL+M67K+IL IHDmGcXB23YqWkHgqH/eWXcMJ76j8N7B16/3CI+icBUJVk/TiwSjZy8StAec0l026p1zd+vikLmW Yg0Nq84BAHnthjgDV3EnG7Jbt3xC2hlJHiLC6jUCAZ3fGXcGg0CAQQpLY2mCh1M7CXxmpHa+iO8Z 9e18nhhKosS3Ur4TFYbhtA9f+zo7ntPJScJFhTsETl2Oqomf9Fc/Stemj77vat7wIiKs4IQD58M4 UUS8ZfhkE8N48buIdT/vfLtbd+prich5blBC5UC3K5KAgNDW551vh523v3ce9sFsT+wUxeE07QSn 78Kd9nqssZvBDIHv8YmKSuMzmxnY2nf0slMpY45OHbIBUc4sl17aPmRATH4YkLrfFWUN3rl2RZYB QDpJiGWbRGMtZ/1LWquez/i8M+34nu175xXEuNPDgneiXTF2HHhi/cctVanTndjgXCYQj9VRZTfw zrO7ZSfsuH1HLztNdM0XFQ3OpgO4nNTghnYdbB+JU1m+oMawVw6CTJnvbIZPzKm4nhYNvEkxOJf3 551n51XuGz6hSHh2X79Y1RCoworvYgdMLfOFQ+hccygvZpZ5gbwCGKniiHqn2RWTquJCekYHqsCs pqJoSVJwQmuYBRUUAOFadN4t9+uOwkrq551mdwOoAvgsh2bIJ/zeS7d9Wp93kt2vyGAI5jpObnfx Ye8kOyxj+mOvZaJs4LniVqOBxdkMw0wZSK/UiopK483USneZd3Q61Dm9z35hhQ6GDKVKUQt2ThB3 7LROLk921/XKwWHOy65CYY2D0QonBOd5553fpX7eKXZFRI5n54FFCaUbn6f3gBz0jSmyWP0ReboJ /Sz9v6V/Cz5wM1b+O8GuOM+cG+uODi0g1yexogIpXOtc1cGqYoFsb+nTFUszsbKfd4YdwbksqWQ2 XYb3UtGDKI4QK/XzTrAjJP7WCjSr53ha62Lp3hl2VvFCFFX2rdHV25dSL12CdsuwT+8Euyc6qNxO NnqCl8//EfDScTKd/5J5MLhqoECjTAjmsOXBKqq88+vIN2DjbgpjMKtneOeEkkoxlGo/7/S6YuIW NybP4NqiJO3zFNXGJ4WoZ8l+55Hp7cHBZddBXk133ju5rphxO0oMlhDX68l3dm0+CWijwyNYE1tu kOeiRQu80m3qxuTEqH3emXWsqsgBU3pa2UTgThRGgUourT0+77w65tG/brwT8gBa5rMUQlUkq1xd 4OHceMnlb7karWmO4137LoSqKBFmwcpHr6qMUuGFSbX8BY5dN8NxVMiAbN2PusPUYorq4l0SWpvu TcPpHzDX2H2JSdDq551Rx8PtG5cJ7toku1azFEXkYIDgrs639jQu3rCU2T1zQcVDaymOyDlLUEW/ o6fBJ5GDqTQRYg2nktbSgmAlrHZ+0Dj2ph2u5s87m84StBP0AcJtg7Yc5p7dpHbO9c87mY6Q/F9R 5jkdmWDaLIXhOBXZ0phP6clKvCf/xl1nRRW4C7Ko0kLEuPBzhZZ1NjrLB/HSSrzrytneKydjcVYi 23GQ3tITFbvwNQFVjDiecyB1H4S/aGh7CKdSGh4PYsz+fTBVFOjwGTZLYSxO1FMd+Sk9JZcYK46F RuA0nOcT1cRTkZNH2/2OPksd/oTg6hJEV07YYSZFYJdzESIQuqDMrTcOOCzUU5nI0G3QlhvnFZf4 0WXg8QBTUL6xq+AUncDHU5YehuJWoVvbayp5dq+pDFtMUCfoVlPp76E4KoRssTQrGnC0LbfODX3+ IMJUCg6pNgQgA5ixIw4GctsDcTJY+9/UzK54vLsV/VlM54LhYoA1Fx6PgNBeFKdO1FkkMErxWYri cFJJID1afTGt4tXeKkqLlEGqVXt7BFIZwoTRJsBHmyXcDnQ2lrjtJKKLWXKBgDhPNHKiVSqgMkgP i+Kki82qcm/1cu+AIwtLgieamXg8CAaKn99VyfICWN0P8B6G4abE/YB50m4XT9Cug1Xt1mLpUVW8 LzPobc/o4SUgKXSpAOipAJhCqGEv+PYC8ccCIohXCkQci8Jwqf+WTdyFhe9MPGpt2f74VvB8wNOc 34XxjDBnptuy6yFTEysF/sxufAkxB8OuFnXvGIhjfdBFrkeB+FRPYLXyjJawIMBcS1ZfMB8uwree b0CeWNEDbvUx+5IGcI8CcQiZoDpHTssYzmmBmtuuyux6x+PBMZ6+zye0aSDG4vMU0TVhJXTCy5kc lTkpqMvAqdFTieElPAQVOPUYoaIWcF3rjnaMw3e2V7ApQfo9Z9FW2QVmhT+b2d0geFVhOG+7HpXG l1RH1dscaLtJPGU0SKDh6jjzNfF8ME/jux9Fs6J5IQU9DsNZmKPlDNfTeoxUM38eBpi1F19PIWVz CCs+5fXF0VGZ9dx2awszvjoM9iRou0SuXxBb2/eEqllvHBQKRGgtIrZkVI2G1ZtOkIZvRIKN5/+1 8dR6aEiYPV3pYXEc58qJdKw6kMEH8ULBIOtZRd/E4gpO8jgQF/+3uCPMTVcyHe9rYUfqZD79hIaC 8pAI1ugZN9bFXqB8zVcO9p3sZrNKT/wo1cRP9pjJYz6JWi34gOAw799hJkyEB7JKm6n3YNzIP21J hLxxE5ISXQgbF4EcVV8mpzzLo2B8G3ZuCCPG0WZqwB9wAcmO7AWmlyqp1EItdwg9FUoSmLkJZUd7 VB+HpQiOpn0HLg2U6LIa5is1PB7RgNfXUY7zeKZ6V1SEG2chpE2yu1h6gvySFTMXcaaMoHLhCYUV FYFULolMRYDNXul5F7AQTmC/qT8KTUiIdUiJp7JAXMAzrOjHXuD4mS58UQRgFYiu38FKKufKS5JO WXw8AmV+d+/QG0M1/U5UXB3ngho8v3n70fdUWnSQopedQtlA23BBRdVxIb5gvrvu6MVMHuaFoeYE 6U72FOfKo2xglkPH8mLmINO1RziVLs0wkykfXh0/pyhMG7m2ysTjUe3JawWLE5U7ihW+80YUk08h 7L8Uf7PV64CQ2beNsKf5A4yoPD6nSk7VgCr1AlX4t29SIGUDilo5zzHEihKJh4Oj8VoSz/IRBeUS cqA22HSJMDTNz/5hAIiTLuPxICj/dUA1egNMD8pHFJSzk2YelELYzzWc/pPN4hLVAhe7GGFx3ALt mZ/R6T+zw3Q3s5a5wEgg9+Xcfue7NoR9MlqyLqyGYjG+5y8K/mxV+fCJkodQAuf2kobjaJinuDj+ 3edEM22u4qHmCGHjQtjnvm2eKpHx7EpVp7sg3U8mLjqi2jjt9kCEVwg1qsR2kbx0Nllaoqga4IRT lZcMR0oAV9C9uw4K5+oe+JoAuyoVh0lopuiynKWyprSYABnD41Gv5ZvXAlcgYNR8lqKAvLF3l9Yu t/Zk7ESguIpj6GByapsuCsiXuuXUyOZIvOwgO/FcDiiMb3FdVwGckOzERVHLTOS2hwWoB+FrohYn 91xdzQfFQRBflc0E5AxHWBevPkt8u4FEeN21FIXjCB8BwyxXHqwmX0urzKvQBzFkraUoHKd4C1Q1 e7qjhZkZXj+o8tKWCvlvk247ijkTUqXArjgjmEYR+J7oaDrPgF6VOOAM4AUFv01aiZy/u2Q8H62m b8Q4EAIgc/s8heF4ZeOOxCSRpKgGKGzB8oW1zz3t4iBROL6k7u86RBw9eDrLpza54cBBAuW/Hynx nLeE6yD8ip2HT72CEVXFZxKgnpY4HBQSwJOcyIJzR0w8/q+TiYH72XO4UXyWQrw4IwIKvbrY3JU9 XqzMmzxIM1z9iPDi1YxRq4Ho6gOiK4OKoeyxUG61m3gf6m0dNyR4NRctXvXCf/XslmZpLcoS8J5b O0lCBSnwCAPx+X3PoRSFA8dnKaqKs7CHbP6SWeb2tbSuw4RsrzVLUSA+zYu4NatksvuVie7dsBmU r8uJ9M8vI0/6BgD0SV0r5GbuLOHXxtf8FTXtVDRguoWh6yfSl4p2SR3P/xf5C7jujnrBFyOMw5fE VffdcmM5L3Gl5RnwLvRJKP0zwjhc5IxZer+jkTTOJBR8iVQeBzJiolSqKpowDKy9prvpGF6OMA4n MRE7DENhVMttd+5T2rGDyTMXPiCoFfyiJkK6H7+cz1QYiItH1vxwOrHBugraKkPxcNrDZAtHWBrP 5gGnjIXjzYFBzKDuXZ7j/OyLZgqVyiHstQF8+hgk6BQPKZwUZq9AjEAVE5ozrPWeYx12HlhS54TF 81FRJX2ldqAlrnF7wDPGqTDv3U9RhdLzJ3mBGWr3JXVilKWiyoxlC1kUrzJY7JaO8rY7BzWsN+iF ihpUaxaIQwd2whB1pXm7dmQEzxClUhhZdraAZ68uf3HyXzJzQeGseDyYpda/ltP5Y3GL+MabURxO V8szS9uxF5OONMrrUJfL7JR3FNWYAM+wOC7P9GQRFEfP6xqatamTpcHMjpuzTnpBZ7JtR8kPytde OWoiqHtAOguHZFnvojjzmade8Ph/OaAQrg7IStk8RXE4RFzRxK5mFwtms6PDukCt8NqgF7LEsEL6 Jvt2J5WWHC1H23YLBiS8xuDjcjLsRZxGrQi9gc4FO4roMIF5qOsw4+I4wSlzVR8Eyjk/zZjGnK54 PJqnb70CsH7WurjMGUXimRK0o7Qbiae5nEU2uwurLTRfNU9haVy7Df/vjh4VgM03KqqOJ1UEAM3k L6CNTTMQeLtcn1h74ehwYnmgyxmo85Inx6OZpipY63g8QBSs74IvsqefVcfddlEoTsrEiWWG91oa Ox0k/fRyST8JoG5NUxSKAzektLfe0dPfEy+dS42nOLSeTpS5tO0yNHLPtVFoQW19YPpyzZC7SefO 82nbB1VTIFzD/9FOzoTHgwbnr7yOqjNsbWia4sI4iWNlOuuHVl5OBb4x5qqu/jwjfIo0sVDEz3e8 pxMVK0GIQF53/kWSpTxqDxOpDroCHj3RwXNGkbgc3s4Hbh/4JSe26NLMxvmKx//JmP4B2ejcdE8b eEb4FNgu00nDray3tHkYPImFL/ELVKk5S1FZfBWd3dMad/Np3O0NaHdiyQnQ7lZ101X8jAMqvXvt i6wnXXpGkbh4wKuwibAKiayoEQwmEVwfg28ZZHW/TnD0IADS9lmKeZv5W5+PBPxbmJOiKAtzYGFq y4V6hkVyz3IE1Ggn09l9A+oZ1HQACRv1f2Z154qhSCrka2/rYOuFA6wT8c9DYuIcrC43q0R66jlF 8XiET/EdpyWI3Dd7K2pG+BSzY15tXTZi9fM706DUzu9pMgUzCsSHIAVnassdfS1RUlcqtA0sJpOm J5kJQT7SqyukQlosvicAQSexWahitBAMS+trT0iJ4htXzRXPR2inb0wYiJ70DLV5ilmbEqOfxtps c3nsDWUY18PCVrR5CoVUhDBEgeSOl/RzYsrG8hI8NPKSj81JVwB9gGZuBr3W52kVvXEUNrG1WURn Kds9KBeYwSy2nYwHj0fh5f46wLHpZ74Y6BUF4bgPEQO41QF6hZ7+tjm8fLkgG8tpWhFChb1kqjul OzqpfJyQMJOIhEZNprMbjiZoug4IqwJnZ/IgkihYIUKF07O6jqZumCoYo1T5J8PzB49H6kXjO1WB njI2u81SWAzvUuw12gpYCNODy0rnepVSthu5rTAI72ak6MaK5asYfu6JnCVHCziB7Ckb/AHhogYU 3BVapRcGviYSNORakvAj6fxDJ/gEXZBwghOl4fl/YedYccroGVx97BXF4F1Coqt6NLBVAOcJPgxh iGig2Qm+YoCKoVWzVZyIHW1EhQ16uG16sZw7b7Rh1ngNUjGN9KQnZlo/DV8T1MK3LriVfRDcaeLG YZvy7GE8/i+JbJ5MiCrH9s7KCmmbuufw2i7xtD1mAg3S0ap0heQshSjxYboE6hhwdBjPgNyFHCdP lnLuVnVhKiJwNqhHu9A5oVVXXAtnwpuYrsyErjHjQcjxVOmtDmjShtiU9l1H0XLO2zGGK9QSn+qL 86ZbapEPqw90anQSxAOApqKmFdM2TVnNsKjrlpygdwE5viYG2YledXydE7syxsSrD/JbDcQz9cpB bU5ubaTr4yQ9Bxs325mmXsl/gxpLnfiE/1IQRxt0peGl3hWF4SSrtTou3qnS2orNukaNQOFToCek qYolVLSZEuGYGq06t3ATJYJTz0V3/qRMfAr8rxMIGuddy7yOr4U+BfiiAMhDSFhDtcwGS37hvJGV rvA9A3zKLYkToXEisbFusXdFgTj08wEfqWIiZhMXYCBepeaLn3mO5fMUB+K2lHa9o2+9DgJ1FS4M zoakX6XaaW6XabQyrh9nybrvQgkVMn5IreFg7bqz9RZFan5Ay8LjwX23v9Nf4MKEB9E8heTNmmTQ 5lvvfJXXMJfMhFHgoEyn5imEiksSehmWiaPj5yChUhEFQzGkk4PAeaJ6eiaWiOvJ0ClIr/BFfyFX wfcQ8WDKpabDTp1B5kBxboVV8f2taghhjgUSjc1TCBaXElYZt8UyzZGziIdoF97appy9wqq45Oem mZjPJ2U5c3Ayx9KVskwoqS0zS0RBsdQqiQ1r/9L3h68cwMUlQMfGnYakcgqI/dJzGHzPAEwwvotO GZX/jc6kTVRYFN+MLUtyTWjzQGJ1rgzXvchkmnGiYo1xtQ/SrHf0jXdm5MTIiRpPLc2cCTQ80Qam PwNtRBqnh1DUhF5ROJ5Zd2qTqCcO6tlB5MlUC2bF49GC+gbQEb0Hz1+bqB3F40NWPqPfierTiVH9 K71LHo/vkLypPsuuhneqt3tQSupb8nZwZzn3QlafhfALCPSR8eYOuCyK7ygebyaFlYgqoM4AK5cV y5bdqDr5eET4+ZbCQp4zy22U7/d43J46GatrQsP6wiXGYWro1Tli46hYH4FThhESm85xjjZLqMKe eSIt6qyVDVAmwU58hux8CFfc+47WBzuEjHPDjSEsz3Cj4DZOMFuvFPt+D8jNLPvmLdCU6O0ixneI GKfcTC7WxkTrv207n5r4ByypsIHDeYoDcoWaxbK8Uj0JPqE5SN8KyHGGzCrdw4z++KRMJn7SaxI8 9MZ/1ef6aHcQ7qIigiKbpfSNxyMhlV+a0PJiuv4HIW8TBypEYLwm3vY9xkeyfwt0SjI2yw7RKUmR uAmEpEcgpFCjMAlPcNLdOrRB64K0zKYzHC50v+2avfFfaqJUfbNB0RPqc0UST1hNETylPcRyy55/ KElq8xTCxWn+vlnoV+3pGvwlK5WTtbmd9bNDuLhJg7D8YKPPE3yBl8LMEz0DbCA2IjWeoD4D9Rav 0KFNiK/5C8UzIViH4bw3Q6aTBJ3jkOH4ONcrng+AF/uXUB/Q4u1Kse9Q0LCrkJmLs362oQsgTstY QdNUDWG4Q3gKReXOXKxn9EImDLlhC4EEL3dqRTDBQ5pBD08kTi5TgJ8JXxOZIE2RWocP2nQ4Lsi6 gzs6Ho80nr65BxBN2g+1dUfwFKqkNvOUFgW43Flqo/ostbuYQjnDpc02TCDk0b2ABUuDwYEW07k2 u1LBipgFEsimXrSasrumN464rTy7t1TotjWZ0W0e6tehYY7H/4Wc038Lh9t2pynWUeE01V59MSXD qALKu7dN04Tjn6YpxqfItH0bTmU/XfIK+f1sKJ6EaVIY2ml5u7Zkj11ElB7P+J4gwhTFbhFnOF3F vJ4McTHo+wHq5bNjfXFfTQwmG2Ccj4VNWBZXi0VyM1Vx0+Optb7sD4ZomzuOxAWdS3Xd0fbcWUcn 6ENtF+f3OU+U2TV6cHd0qilzbOgUejbuUEOFXkgEe9qgPZdOup6KagbjsyNsytjf1V5kODtdXbUd heE0CMHl7UpYOc1599y6Tj+jmhvSjrApUy0WgiF9tD2XoXs/N/PfAseaZCZ/pHMjkYUYvLmVszWJ r/lTQGWRRsZBnIMTUHSpQpc58Pi/RPoYYmVUiCea3Jon4YHCwAmdxmUFld36xaYQv4fayl7AKhGb klMYhzcBTgxO0B84wfmPz2/WCe7PC7avaZhxFDDqdBquqIACAUOzn20vHVBcOVm1UscIkcuPhG2g 917pSgDCbNr8iAi3+ovAyW5jmtdTI4W6hoSh0UsNhAwSEbIRfzIiKNE0JjgWkvdP/3DYnC2tO3rF AGYTsFCl0Ra0JImQOtcgAo2Me6AAG+vcREbk+Ka/FP5ZI7ZBjTikQ9qCa2Y+H+Quvw70XCiUcAWf aAP+/hyCw7PCmlXrOlEEqtb1ZCpZNEjKPlkhZlxeEbNaNlxvNnyO8xMR0JgVWJUqQegv8g8oWHlf 4ZnNsgG+KZgsJS5ZdyANFRgZgIPfh5HpFj8giKWuEiSnFtIz+0YJOUXVcgbGVqhgg6ozVpTqU5nX XwOlBRkipNB2070kRSPnaDsRWoTboOMQbcQfhZ24KNwHqVEIVzy1TVkipNABiAXgOtn55GDH1rmV xA8GygDP/xdSPn4qmKXeuYric+B7UULJ3U+tWrOdWkjHfWEB6GcLKyqZD0Hnl8n+c7SFBQgLOIRc WKPCNVkoTfgu5AJcKPJBr0fRyoLfFPRgGE4BnOKDUpmzSyiTeCKlkfl8xMzvv3Yh0bfjQldyCovm JAlPWP4KWccME2cW8QZJ/wh+fReyDh8VpTOWFe9n9NtwDFgmTVFcCtimXQ0GrMaTNDUUpZJ5JpWU tr30X2UEvKoPWlmp126thqHn32er/hI2Qm0JsOw7V7HCCm2lYRVmClC9ioZXga5Yfr6jXWD3YRSt m1A2br872sqCWe1aqEvgyJqjJ1epGwzUMcsLyZrOd7hl65sisSyuLN2HHFRy2Wiky0S5Zj4fcWB/ GSfBnpgNfp+sKGQHqiibqvr/7YdFRbNpqsachQUJkZp8G4blcxXx+h7PaEfWiRGg1sOFhW5fpUId GHkoenWq4NHFRUdWqc1eOkhu2Fevmygysh0NZreQH/wQZtf4fNQL9SOLDZnzxZQS9bkK4/aVfnkk nOytXCEoIThZeqG8tDZhpLcivFMXF9FGD9zJJ+hNau1nipq4jRm6Dycvmj/7IeovuUylWIWckTsZ rBq4rArwbmoalzb4fLAFb4zF3Fq30bo1dPlWvcZ3EvDRYiLuTgpQFLZnJUQ5TnEvU+a3wboSWbjN Z7zYH/AtUgVXAdaxhHES+3MukMVKcaE4jTh55Azji4JCVRlvN+E5pKqulHpCCj4fJc3f4B/I48x6 6WY59OqkLhniKjd5G6kkL6OvZ1lN19nOgVknEBsinIllrtFrMPBHyDrby0j4m4zWAXIZruG8bzjK do3e+U8FCKoeaVDeTNUSxkznGuHzUUr4yy2hlJ+F3NWnKgrdocFGHfJ0AcHFi3op1eQ5YV53qiJw SxInD9oed3TWGXpYG1krZKRPJLYlmTgX2emIGnFOmbYf0Yn5D7tOzVQZPlghpmbJaoL8zOeDwP2X ribKHgMFD5+pMG7PXFTzZs+pJGvxJegP/JiaD7AJrDHk0LFzefqsAl9+rCihxVZPTMPwqpwd2FT8 Q1sORwTiUgIybapKs3eOulgkMOZmQzVy1DkldPHC8IfPR32H74J6gVHfvCDzHJh2oruv3lW7wkcj XwH3xqNKktteAs2ha6eBW+jm6qPB7zAnpWmqEDlIEBOUdbRuIe6ynxroGM1e+a/tx5ezQfA7FMFk EbTglRvYdpqc8xNZZVIXrqlw6NtJ5iFUnK74UdvbZ2rbTFHE3e1NA+NOyMUKLNVUBy3t0f9FcJA6 Kx8nQh6w6JKK+7kPz0mFaQPHwkpXfXR75wCTQCz+6rJ8oxMBF9UoIhMAoLn5fCQT9T1V0Mhpj2Zk Dpw7URnQppt3qrad7+ekqtt13Pd2vfscWHdeTOebNttC06+Zx0Rm24CxQmtklCNF6MC+GnSqT3vn v/YfrlQf1HAZmyVoxOur8PlIiPRXjWGQmPsc6iH3MxNYxh/SMsGenIRWmyPOt1hDSR/1l4MCTOH7 HZ2vVyblpmjqfdLpWSVF2kAe3lixNRFzrlKfylehfadkytEc88ESQXDnpdDG5R+F6/uX1UQ7O3+i r+lTFUbrssGh9bFNlWGnCuS8VADEje4WeTlw8ETSvJUs2zHU901tzo7LA/G74c43kWXn7KWvktqq VL6X/zmFUflN0Q1IxCL5tRoUVoEgMbSsUuXzEY/hu2UDDZgT1T7LKorWwfSHn/eyYgxgqgaagkLY dBwscnKbq1iORff/VIFhzq8Cw8DRkuhZfWLqs5jobw1jYCrcwmxklHJbpb3ZS/9lE9C0vDgIyDFQ yrAzvvD5SNfdCwzSG8NWzl8xaIhAlyPzNP9OnOz9KmgkVvw292Bzy9PYy1M1ZKDu7njrC7vIugIq ZCdaH6QWn1nDAoNENyhpX2SraS8dydwyUFDdnYMtLEiYZC2szeeD5OYXfjGTvZjTeMzQQzboFJPI oXng9N9tOJLBiM8KqF4UDS091zDb+L3v6EsrAyTbDflyjhXeFUhuTE4C1qctP52uZi8dNXEEUxC9 fyT55bUTK+8t367zc/D56CJ0NBUXERyJyhVyzaGrJ1Qv0XwvyWS2NipIqsYkSqhICHC7t0IObT2H RGzXqvmOTnZEQXSVKeuuciZJ2xAwRJgrIFfo1yWWIDt+0V9wqiHaOged7jAStTJfn3z+v5CxIbA+ UfDwqQrlWYb4VkxpkHFjQ1otBl1P34TouOjECmw9sayKBZf9jr6s4BrQqKqTkQcOGVrWikLxyXfQ JWq53JJoTfbSkSYZG/Hb5CKoUMZ1VaEPTMD12fL8gChq93VFQZfzzXs+zuiBsSd8Gbjx2AmhhhQE Kmyy4MJpGlJA7Pm6CqHpXd0bdWg0OvqsnJh3QZmd1iZ5T+JQkOhSRArkgEEB8y1xpG0vHYEXxKIl C6tqmaDKB3iyJPFL1pv+F3oRXoteWj5XoakQW6mNiukyFcq3L59m6542EyLKuD1w93yEgoWn1uiF q7N2ABlW2nwSEDMHb9CMGJxkKMr6upr+zhFFlJbfmXCYTVSE/KY24f0osLQ1+QER0f8XSpaMkKeb Gjp8knPf9hhejaE5kred8/TC1bqFq9Di0zbhNm0Ejr6uxgmwO+AiFGxJlLGBfiKKvYPinCAmuo75 8Hf+S01q0eVKg1VjUMfhN/ba+HywrMq1WkA1hqpbV8UthyafJJChsHChaC1nq/H1um/his6JmqpQ QlHskGKteo62rDa6XycrbHQbRF+dCi+Nushk1zWIj5ue1Jr2yn/jPageMVf2eug525N69OfF+XwQ t5dfFnHndwFZ7M5UFLcPxqKbhuMqMSzzq0I8VHxRrXpLfIHPJ2JRVY63EDIcPXEGOjMrYACTtmVV jtGkhyw+xEvhnKTuTbapCqExAoFKFRcAkh/2UM/Egx7CeCHpRf+Fvib9GI3wr8Q5dPoESw7hpwPS EDq4UVxSYUaJMzFEXR8VxAtmBbNJj9FoU1Wgl5mZcp0QHYmhRG4K4pSJfAf4KousAAPR9wRXIHEx c+3ugyJ16EGzkXO+LPP54KT6xfPL0GQ8Wf1zrL8H7WZNBMpU86mSUTMhjsSH8VjP7AVqqsKgfdjV V9cdHVqMasKu1hQ880SFDfhWnddeIMUWXAqoTPKoKvbOkQI8he/EFCHNVasKQG/G2/0sYz4fVa6+ V9X5i39QSfOpCh0/ERuwXOUg7L1W8lO95wvCLtlvwNjyUwi+LFkkjbdydcIlqEjwBjyh4jaXRmBN OlFp+0okCKueQ89P0SKnUsF5U8EM250udUSU2APTT8TrXo1p3H/pzNSFzebQ9ZOuFugXLD+q5gMI bRfDt9mN0UxF8foy/eCS8x1tphC8r0RMxQlzz/xY4Rg8nZ9BzfF8IQzmXJUD30/5i7K4vn3QBjzL i6go0l35/L+gs6rxwcA23Xg9dv4kkChlFy3rOTkJGeqAjzrnMhmXHFp/SuS8DaUtGr1wdVbRpHEZ 3EjhKc/Qq2Z2LkACPMlYur3TriZX6P45s7RJRK1hbVEgj5OpT4ZYo/XMD/iXLxNPK6aFaV4eRI4t QKkYOJqrAZw9mLJN12RApkxwuBthjj1AxYCYTT2s2a5kYF40W4ZATUdCiLNJOsKV6Q0q5xldUVeb Ul8+cAGFQK4kSljoI8Owi4ME12p21U9SmPkBUZHhO2IgFRzqAj5ZYcg+uLbEtrUM2q0bsxszsNfs lb7ACNT5ybA3fEav9AFcUKn2eM72vvYQSK1RFTtTcr5d+l9mLY3f9JdIEKWSMaDQ3FS+As9QKL00 +QERlui2m/GGlNNp9ZavAj9QCAUlap6zWcrMmVxfJoODLh3MnCFNaslgaAg6kjhbUp7S6JC+Al2U TFbELCcWob8mrEvwTK40+WjpkpFSt5f+k922ZWOxh/MiGpo3POA76qKBJ+j/W27fWFTrMpVzaAo6 1EPVcqL4VGq3eCU6/FAPtfpcRfAY6vAkhlN39AQH4jpZ8OMBKf3dze3SPVR+qLt/mTbdXvovuUUy sTEQiMGVhe4/S2Zn109+QCQS8H3EA6w1dn8WVih9jowYJjeO6MtibAHRRynCi+i72zAO3CVVnQVX X/lhTZ6lNOherG0IpLGqDJ1sZRK36mPYtO5LRwqeLMl0OV/2YZED4btZ8ejqfD6KR78lPKEMsspT vgrNQYmqQQXzNicIxlENuex9ccjV0Y+xO6gxldnRtdE34cANMbd04k9muKtwtWQ5lw4EbZnX82Or 4B7ag3o5tN9BBfeTaCZZ7ZxIkc8H8ehdV/w5ATD82Y8YVQ4dQs1ztlAWXpaFfbnzJd1RzXPWdZZy aBEqvhssH8od9cstcHHJXSbw6lyHEtYrfVOrHlhATpy1vbIQfYFHaPr/pKefAJuc4q5UwZBxyBJD 3Pee/IAgeLj9CYlkQMwRd6fNVuwTimAW9uHp0pRa86iU8BN2nk8A1iwqDYxCz0dR4wye6e2OBvxY gLFnKMiC4V0KlRtwsMGHmLT23jx/zlQt4PdExzuW1GOzbmiGBU46z3qhGQKj0PRl0Sv0I072fetX oVEozVXc6Jm1vj4dzYBW8SV0bUczhE6hy4oylj+XJ39uacH8fCeWGsAqmjrVAFH9mfTvQ4nRksKh ICtwCs12E86ejfqG21RZ4Zm4pVoDmvShV2i6cpWMNzboSpdhkkOv0Cpq7ipeF02pXMur2i6eoTkR JwdmoThHJN+1e7ujXYULHzDXkKdMXnAk5VxBQW8wGEzjYtRSsVeOkB9UGJwybJjo1hAdCnBwITHn BDCLHxBhH391U8/hDtLznaooeK9b1K52y6KteAVrr75/XMqrLl9WsV+oWRC0Z3Q8EToPFA2FnCH0 T3UBDGTrHYK+fTi1KyPi0SsHmQ6RH3MQJcrBuV21Lqk1A3kV+oVqY9yZAj0T+r8+U6EwOhPoJqF4 NXTysmtQtgsm+O3injn2CzXcmRaXRq/KJCibVpIFT8ypTAHxFYDtCwaCee9ypYVqtXeODOdUZKjZ BxG8Tr7ZpCAPj8ccOobO20llIEWmYHumKiSfLrXos3thNqoCcapmye4Y2lEm0h0YWIZiqkyhuZU7 WsSAdsv51eknjstmN/Zcay2FYTvcCtnDMZSMkpzQNbTJhKcPiZyc/3rJg6cC4cy05QS+/ICghpw8 geZ2hYzArs9khXF76t/VGLY5XZYCNQKbrFUu9iNwDs2O1F5NjQmOHooCVVQatZhwxaN6jMlKbI6h rvjDv9ntZWayl/5LtKp1ORahByRTmTNFsk0BDZ/PR0WsX27ZqM4C83snK9RnzJL0MiAtau/Fdat2 qlefEX+GTVYElKnTsLRfo2NqC5AyxFecKD1ByJQTStUTkJ5/kNX5VO1srxz1BpMCheKD9iAs8uSk s8FZCixEASn6rvdBk/wHfBCbqs9rZFXNmQaQY9f7RPnECg0WZDEdRAeOM/UaV1WYXajKPuq6o83T qNDkBuYGIBnoQYpfWDLPnbMaN+MqMeFoAv0aVVWofRLHN3P2wWbp/BJmjbnw9MscVYgnKE7Ywj6c c27JpJ5hbzRDi/WXOr24sClxKIpEMoFruMt0BxIFMyRWJTSQ1h0dGVNLXlnquvRh0raDDv/ZOVt4 k+RGF7xvP6/RVPXGzRIsZl1YDKebqnHg131eQ6mKDxg2RUJhQ6IX+vCao9dIqmYRob1Vqv2WPU9e +8HwXSmY1zgKq0hm4lVmTRo9Ou+ouGW6PbZz1JJGh7OeGxCMF0BQLeRkV/vzGkVV9KZYMEZAYYOQ jgnoiKXCQvu8hlCYYCsXQ26N/+10gRNM0WsEVS3wAj73y0a8eLVYPMEmfRODOb7GTxXilSJ9z/WM NkUoHMO+nbod6NTYMgKkHaJjcKRsd4rw9p/X6Km6kRNqwhzgmqn++wnsxcA4yzR/XoOnaswpzZGF mY2oNE7Ra+hUTdjqHECP63O6FrR9zkv5Lq4f8Bo44Rcy4aUmNjdHp5o2VIIz5WI3Cp6L/+05XJmQ w7wDqCHfapvKcK9xU5UhMORnc/NBJbyTarcl67jaPq9RUzWpv5+L+AIItFbfaa8xUzWNBYR7jxTF Y9M7x3UzBg1UBrTBHE1DU5nYIkc7jQYMM2jy1/FkhnMR61FkmJ59d35NognUH03yVQ3mSJCght/W BoM0QrpSRbxVPq/BUhWV9+s4OskseD3bJuk1VqqX/VBNVgELqbmgbirDheOBDjKjlGiSzPoyW9Mv r4endW70URDQAyXLYENWoSAosBoCBbS72ZjXvYZJ9dqBWSMZS4+w1pMZneuJeKrea/+8Rkk4zmaz SSJJK5PT5ufRa4iEI1u6eSptyltu3CM7bw++FwIEiQ5HR7bJ5rF4b+O91sAsWiyoLEAHBnO+WpA8 nhUEA8YvodhJEvxrgITfYipAklZHca2OeoJ30xw+IdbnNTziW86vA6kTZnMPpNeSJjabqFk9D99s +WkbU/pRmw3aKJKCC85sk8ddJT2jzRGBaxUN6jNHCCK6VD1h3Irib+UOudKCZN98XsuZmCPG2yd7 ahqKpMo2fL0F2shQP40uNhM/1RzNiXU8fK+9FjKrpclgkNq9dgKxK7TUecdojnCoSqcjWEfd1o8M sTV6CnfeA9Z42GvrHEB1UUMABfIz4xV6F2cJjAvDo8PVaxETvwWLmOfVmgZzL6YzKAPtXlb+vFYw cSCN+X0gUZInw6jZo8go0Cbg3nueVfjhh2Z0BakWIyAxIsPbjbnuNrzi/sIrQgZuoV/MFtWZly1z FOgJoVmMDYcaqeu+KNd9D7YT0eQonehYcsVegCorKSzSgXsn+TGWa1/3G4zdB5Igm6co3MbtL9tc W0+duq48u6vLef0qYEbxNmHa8Hfu644XKnWWUMaxQzGNQSlr6HmyOpxhH0zylnWJJ+UX3zl+2AJF Qp7pDtZEWGPpZDp58Oed4lcfZPVmcozq4Ll7fdu9M/xwxzVBDnazsyk/85SHqS+iJJ4upiyYp11l AlbTuqMX5M5MnFUj1w+0JnFEZ9qoVRjwUXNl3WBpCCscTFM3hW+21DlwmtqJYMmLgAU5Hw/CpXFP Jx5qcmQtPk9R3I0oG+4oN+7OVG0XSqo/cp5XR+id3ccbUx28Udsdfd+ts7Ot3bmg5VnVSKci9EIN DdYmV80zVb1xkMARHLUWz3IOSuBQDBdYowD5Gobey7edDjPUl0hq4DS9x94ooImFfMnt56+4IRP1 kdU3YH9BBd5omsRp74vTpNFIkLA2gxEIqX2rwDxaZTtESecWJ+hg3w7LVNXyz9NpJwIUKc1QBeY8 8SjRXRBNQyEumCcx7vy2Y/I9+vCw6Z3Zhz+vyzNtGer1rIPuqNeVzW0HgKNm+vrvxL5q+qnp3DYS OePolaXzsWdNklYEF8dqbG2QP34gAv6Tgbi3Y3xke+PgwqPe6erPwDvqHGpTjqRgKH3eaX0Vnhjr 6xjP6FvPte6CCmPwqoCpjh835Z3JirvZw3GQRYfDfaIg3M7xMqwPNR4cJ5g3Ez6aCMIh5riy3Bro 4IEjhtaG5l2cpZYQReGtSiyBMt8c1DAA3nWb7On+vHP66qOZZ6B+YmFIZOA0RWE4nO8gFJSvF5jW 0KIXdvb6W6kehr8z+uoVg0sj1Tt6HI6dRylgEa96l8R1gmLXQnibkV47+X92vfH7NGFbzpFoLYfB 4ifsZ9pj/cBe6/NO56vW/uGssF8MW6K5blTwHolLRDSTm+irSSUTqnzT1MULTE65ikLxIW2EJqVA jV4+aQN8qFJo13D+NPerh2lfp6Iq7LSutXrXG79PE8DF6NgA8aVBNaZ8Ijypw/aWP+9MPgRP+3ec mVD36t3LA+9MPl6yko1nI4WVuGl8Ivjy2kmF9lP1NkEUj4ucd1L2/Ix2jkN+FsJBW06FHVPEPh0c CaAwib7KpVtNKVBF8fikPhD4qz7Y8QQ1cQk0A4IRBeS5fCctKLrDCcDrlVE0XttQmzz5epKyN+0/ atp+OuHWVsEyisZd0bOaNVH9sibaA/pKndtuDIClmdrtcaZrF7mWz6tqht/p887fww4QHjhTKIiD RU+9KuI8h17+vNP3mNutr20HjW9e5TZNUTCe5Rheh4syAzDhUcFsT9HSV9M7eY/XprK70dsdHdIz 4T4vcQ1y/0sR/AnnekbXFHF4v8cT65bv5L3q4vpFbd+CMlUTs6Ot82cQYZLneffPO32PF57XLikP dO7ZNZof5O/svXrNrlK5Nad7LJ1Lrq7bIfe44J28x6qioU50SO8vH4I8wBWSqiB14IpgdXmxAA9C Zu3tCTS1pN4DcnmhgrRHnTxq/CkcgL224Gnnslifd+pelXnms/WYA6dbwHxn7mHrjSm+//JGZn28 nkkZVOEJc6aJigJyibkhV+x39AQvrbPNYDl/1tRJcAYZzqiKYtoLgJIDPgYuuEEVl3feHksGDJ0a IT0cFBlAqo7R7Qn06+edtscTrn3NE7rZC5gTm6coIl+F55K8d8REK773ct777r1hifA7aY8JRbaG 076jV59gOUExb5CMKcUleX2A1hc02+i4Y5Ve+V29c/YsQ2Pv0oYhK74JxoKwKQVtlSggd5+U54ia tCvTNEXxOFscbgWmpsFVmmrz6uufA9j3XVQRV5zZVpbgFEdvi5890itMUaBF3KfZ955vqCKWIAfO 6TnKl145iKDYW+nS2+jZzXlP5t9q0o031+edrlfNQYfTItp2gdS45y3vbD0swiL/JstQ0EIby5bT 0LbjcqJLN5fTezyOEEONJ+Ohc/R6wZiQ1E+CpVQsWQlyEcnJxLd0nudJBd+lV34/n0COh2Q8Ez0O /BqWlLmEzxrZn3eyHv/i9LXtJplH4x5PUUA+RUBL5a6nsaatpz1ufrch8MtI852qx0DZNG4UaXK0 SJP+H0sFTZh55qSzvqA4uSC9khHEeH5Xht44miaCV/PUcgJ90/oqJ37KMtzd+/PO1GPF7TsRRj7W nxbLO1EPx/hWdLmHH0/70jmSfOaqgANW933n6VVXbQHxa97R5gkW3+cOosD++dFPIC69hAYvukli KeJ358kitf288/Twy6qB0Gf1QXWVtUi/ZXDO1wyW0237sq4Cb7J0u77vJD3edunxsuByain9uDlv TXY8FQgqaJre43HUVZgGEzHoo8fjSa4f9Jmj+bzKBZu0ISq8IjIwWY1kL/znptvPoFk6+aQ0YmB6 /3kn6CFMHb8gFh2LMXl6987PwyE+qjCFbiXTqdPLcLxNV07a5CWqOR6H49xsYH7d0Wbp/M4gWK9O 0tmJB2mxBbbjuZEHUIdIX1ysDDCfzzs7DzVbVh/XslJdd8oZ+CFDp9Qun3dyHm9kX0vkPCKRkr86 ZylEogxZO3Yv+ULT74aY3UuZ5wyxI/ydmvfM0jIsAUebpX3CohPL4gCAlXFe8gM6oTS6CpXNGTgz d+V2ueiNg2kifABaBz6oRFfKFjS+tcnHgy2XvnO7wqb9xeu88/KwmCwSd33983ds58WKEK+TiTA4 TlOIRtHJ1CT88zgrQWK9gyYFeA4Y/AMJjdqgrPm0IXMJP8Db0AsHqR01NNY0oSRD7JQTmI0k1MUJ vT7vjLxf57cBfX7ofGyzFIXhlAoDf/wSrYdz8BKaeA5+nrS56vykaDGporJttlwOEgVf8JDHopgp KHgjC5AyCKfFjSVPC0G/JrVG3rl41R1SVhMEDOQj87bqSO0kXZDL552Kx5LKd7pSUdMp0/t270w8 /jhioRv19XzZsB4w7NrmVXIDvE2rKSqMJ4EJhhgrGn3TnTt8EnoKfUmKA+pO3LAqJuyC0aW8ebGN Pu8svKcu3oSta3ZLoNlRi1gX5+74vHPw6sPvVPZLI9xOMixn6T0KNx0jltB8NZXmnhbgqPtqIlWO sxRVxZPsiGqS7B1H33NnO5wcpFA1owLSLdo60J0/jGGlS2ZogmFvHAThSZ4fwlsux1sCzp6GJEw7 XzO4535VxSERf2JLD5reyXfYdEWVgfFVJBhedprz0Xr1au879+7J6Qy+pNHJGQi4RyE2/Bznay8h ChbKAyjMndijUMZbR3i3V47Kc5IDJOOAg+pO5azIrUYcfs73IBwLY3zfdJ2Wt57UvfPuGFyyyZJs DaHy2x2/u3rLjt8FHplB+Dvt7qsJvLrR9snfnVQWgUhNERQMfba+iOCh/O2PSlpssqhEIKjzO+kO lTLiwXulbE2nyUPXPNWRKd12vo3vGVx18xufAoOrlfI9xKMgvKnaW8zWGWD66i7GRWB6xE0DbVut p7AsnrWOWmt39LJ4P0FsgdwVQAW7UM4AeoBUF0TpjvqfF8CL++vzzri7RadZJcEClRCiuGtjSZ9V gpNJf94Zd9bNeiYKSqazPedTFIZTjx1/x+VTk9cva4Y17oJCoU8TFZXFp6S7TxJa7+hd4PO7M8Qk zhk6xUtuA5DBJA/hhyau7nRFWYN3sh3DGAZNjVhVDjqgTrwqyw+AMD7vVDt/z6+6OELc6d3yd6Id owLSEpspcGayU52WOLN8Zc6tBLgPjQbeeXbV3Mux4cq+o83TyelwY1Mp6gRhYzRxOAdAvLl0uoSS 6MMFNSh/9E60I2JZ3M1qQ/qRAu4+38hQGg7on3ee3f+07XD7/pCKaRMVwlRwkrdFs1DG4gUq9rAW OJsRtIXyfwJeIQrECfVOsyOuzUyc9rqjlVMKIs6F5AgsauSpWlATwUvOIJ8WABus7LSoqfVOs7vx U8ty6cWBKuBThpc79ZVbWp93kh0Dg1/hE0xR2kWHvXPssIqnOFDwapYbA5yf5bYzG45Jhk9o5NqC isriLiipG0+jlefO4d7Qjigy2wGnjPOEsxfCjh2uIrU8uV3XKwdHOfvAj+1ssnlqdbLn94Oa3eed YecApuckPzcZ16bN03s4DsjqJAm4qQsF8b/LMqilm/bDya633Xjv/Lp67S9Lb894YQVnW+2t8lxq uQ65zk6g0s4BDzZMn65WmgepGO8EO2Jzq07y6YNgTy0ztUK/vNTPO7/uf6riUCI5C/zuu7AqPhSO W+8J9ZTmJgw5P/jnkh0jHlXFpYvRYSBxR7vxTkiDQoHEuvO5H5KSO6Zj8j1GG8dyYBVU3sl1X2QD xuMclN2dNLsvwZ5a+7xz67Aak6PESTBP4Cy07O2od24dL7xCG/rSPDKgwr3kb+U1wC4LNRdw4b1T 63jhcp4at7CNXnfCBT4n7dMQJTTr8p2pO39zpcnUjcexwvk1UamAcQGf1GD98irHDXAyxuedVedw kyfOhGjIfHZdCFLpBGW26SLBsiQ1QWVvt+xJxV7uun/E4+cKe8ab3DWiVCiMeO7QVs2QHQKQA8nV fsQdZrIXjsg9lDMvq/mgWVrQPJWWdKufdz4dL7vvkjhymJkvueedTWfwdBSbsgv9pWkdcog+Pp6z o/haCqNx1Z2GeQpwtFmasBOABT1rmPsE0qpzJjQuTlp3EodRbr8Oa/nzzqXjYQpfUZRdfdCWO3sO ORDLTvPzTqUzPOez5UCmHf0CV1sUi3f185crZwFznbygwjKkUmBRpDs/6a8GcEdt7I52MuXecTFI 4w+l3mJ9dZK4AfZjV9Nov0Bs4GuCtbRZvBwE0N2GfEHIMaYQKqXh8SDAfCg+YpWd9V27F8RbGIkX SkUmt1swXT7WU6rsTqhaR3I+D/CoIO4WHpTot9H7KwmaDTIJhRlvKWIcDlgLdkKQIEx88Zj2xsE9 t+UdwL7B8r5B2YCLs63Zzo2AxwM4wW1D4U4EoejkAH5+9zAQp7gY7maHi9dyi5hfXgsAkYvn8x6I i1iKvcb1aaOmCeKOrPaSwMpGXjZoOSpRSJmB2vYwnATWHjMzBZvjouJgqwnKsDqZNh+PQNDfRUyw ByFC77MUReFjsSJXtxcxsyBzWEzwYrNZyt3BvT3CpwxFTRMqhD7aLO2KOibCdBhSAOWpElWG0Err /B+kRKtOQF2QHlXEK7Hia6nku6qjnRJOVfkX14nHg+CyfgeXwBE15D82TXFFvOvUvlwfVIktaErj Qgkkwp34SVFWJ6z4tixYGbtYvgOmASrSI4Uv1LWEXCR+2gLtmIJN7WUCscaiIFxlpyYsQYPUOosg J8bIu7MMOU4ghueDDsvK32ndJPfxEn56yNJcjALKNaM4Ifk01Cp3h8JwAB9lHtejMHxOwTDz3He0 /BdmeAlq0ZT0q1Sj/JFpAABPEORu9TH5kvxvj8Jw5b+zKX5qw8vbE5ZbbFWesAyPB8d4+l5RwP53 oF1tniKq5qBM8tyrWuC0qIjBwKkL84vd0IrLuvc4DOeGQ5/3jnaKA05dsPVwik/Yq8oNEyDyn031 sUHYqsJw3nY9KouDV3N2XJYfDDW1pNuAe5q41XF+VzwfzNO4qDDqjeHjIJ1kExXH4Qy+VfGVZUd2 Z4UyTEEMljco9HNBhYRNmyDZXPb62FyeHHucXIsALOA60I8ilIUGl5tLAva6fkLVrDcOygQEGvbB nddhhUqs4bnfQd9k9fRscjwf7bxfOGjU5vpFO/WwMs68rmxEB9TDamm5K+9g2cP0sFBt5EkewsVN S1N2CRodaXii8kwxfHijjUoWIUo+/IJ2TqwfpsRXd3TqlYONl6Wz1lh4ordn0ZI6H6WW8ImlCj4g ws99bz2omo/dvETX36Nxa0idgK/LO+H8IyqOqjwNZJSqPI0KoBUP8ygaVzUJHYN9RzuiQCQ//4Ki RUjts9V80fynthOUIbf3EApN3/BF0aXHXgs40TawUjBPmtd4rAMLg8cjEvA3QIVmhqndFRVBxjt2 knHA5ZlKy1OWMjfvMVaezo3ebEVFABUvZdakSp0CzUqc4USXFiE95gmUMhHuTmrcaMOJezU9eikA K+GL/lK3mMIZzoszhHnYrlJOWXw8Wk/fJV/QIM4x9Wy9MCLHqdqWnHL4jyyfcaJG3d2sFCCYYwsq qo0vOfGe6+wZvZQ5Z6KSGiD/5+gaoinUwk0AFDMNNryUOUhz7RFIRTnLTKzUceBEgXKn+Pxs7YnH gwVV56+JQi+iXirZiGJyUEYBLFi3e1cI8VX3rqoHA9C4q0iPqDg+FRT0pK4LR7/0IBUziL+Aze+s lBiEmdWg2CyW15pOaUk8y0cUlKuGOUW5m5dyB7NMo/6snPF4RCz/Lhc0GgNMv/JGFJQrdcHt5tj6 lYpdeSnbv8U0FUNkjrg0rmZUV+mJo5cyB/n7jaVM9Aiy5G3Pom+IDQZig31l1QD3w/dEBE4hCzhN CyikIZ4ddKMYlZ+wM+P5oDS+v4NNakquywgeIWJcCIx+7cKb7FLRk2rSTxkqq1hUPqLSeJab3qKK EUe+B5IXgFaL7G1BcUM7XQorgPM0UDXKvpEBftyBrwla5t0K40vDtEgTsBrO0jlyOx6Pik9+3fE3 PL9MW7fFOaKIHCYZKBLMC3qa3XVT8l5efMrJzUtGFJGLG3ZmeS4bi81SP6FSBbwNq6mdGWvDlaXp tXl+XpzrHhagIISvidosAhYw0eMg/MXACStbqrHxeHTZfauC4AcaFGjWLEXxeGf7YJPAroZ5f0D1 ENEw9kHuBiwYUTw+BTOsQ2Vxjn40gVBeRN88ScXJXiVncI6pDDbwmV4AKY0NTKkPfE90NIE/ViDL jQGX71YpMw16AZ6/u2Q8/69SJufpvMFYF4AxonB8SMdQR/gQZOUhH1QPxxc1UDlPUTi+hE/JhvLl 6PkdVHnmJgAD9q5jCmjYkMoMqPhBv8A5+BQrGCFMZZumdvdBIcEJ+YfEfM/H4/Goafe955AFn7j+ XnQhVnxs2cE5rGCXMe5qKt48gNCdzVKEFS9baqvURbbRS5l7AAgzxHHt53+Zag9MSiA/Q1/gixSv euG/WnZ7UcaX0GwJPJ4Nx6RdOfAIA/FfrU2EiT1tj5tGVBaHfhhmqT1EFqDITJGvPJgCZx6MKBCf hnUqYrieESdTpm/siWkAoq6y32gmRdNIqx6Qf4cQgM8S/v/wNUHUNMjchPIkBhInOE0jU8KE+uwd z0cdu/21mMC2oQa2TVMYh+sApyKjthxUtX0xGWacNkFG3RxhHK5ttJMI+Bw9s6stIRdLxKicuEEd O1SwKe+ESwmKN77pGF6OMA5v8uliswUqWj+ZbbsKdevJ3uRZagsfEBQLbjeKDT5M+LzgixEG4lWH uDU0Sbyr3o2a07mbC3+EZiosjZveTJIy+0qPMnuigEqj5v86R8hmgxESIfh1Mz0LV30MEnSKh7Vx qvE0XBIYsNIJ681c9jyZdy54Pqqq+G1H6wmIYo6bscywOE604SrFq3RLNISttp1P1IAlOIsqM1Qt dMyqdl57NI47wtaTZ1A3tMGJeCxzlQVOF+HmSvO27UgGniFGpVBGLZMUzkEguomQTz3gUvF4MEvt uziO4208cPEZxeFsbJ9kbieHXqikQiwPDvRMSQf6NTABnmFxXJTyYhQEjhaHA68KQSdq10PqXy6N VRl2plnQKPmB+C698l/kzSGMGAfN09q0HcI89YLH/wukAEWwgdPZ5imKwxMZP42+MaLgt+TneG+1 WFrHcpCUsGLmprjATYoOqT2KDhBCGDCxFogOtdOsQgFmqMCwpHRiwwTlyfbKEbmc2BSg52xIqmWW 3KpMbFbF49E8fd93+DVppmnzFEXivMMb60quhjU9Eh/yJGYXIV/FsLg2znkqxZQdyrxgQ2DlGzGF UMs+oWvXEQa9nh+6RyDmvw6xSy8cHU4kjk2e5sRAcmpWOqmwLE/PD4bHA0jB9FmarBIgC5BWNqcp CsXJb0Wn36GGZ/VuZ/y4AxVUxT3EnCFv07GYMknPXybpWCtn45Gr1aF+Okg/h8cJDDThOET3aWsE 05VrhrzNJCymqgTFqwQVLo7adaVXPB40OG9eR64Zcun2nOFhYXyau+K06GnNcSG+wyXENmA4uuxm BFDpBDFhF+Q7+ul0pmKlSp/FBjLCkmZWQzFlooO9cC5Z9FTthYMznB2pbipGF1V1ltDOJg8yBh4P Ysz5vefQN2MyZrMUAVToAALVsOTBk4DQlHOQTzpDAsCsNUtRWVyGNr1IXk6j11JAx0EJm/kKomi6 fDaANk+Id7b9fkh2bAHga6ITnJE4+G4cjAMMs+ciNGYZfMv/gsaE2UoHh8xmKeRsyvTgi3vPSpEK c/tSyqmUpi0XihmaAWVRf5Ojsw9otw63c8LFT+5ivhtoeZ544Byi86kRDHvhiD5GNL07HuTqdbnV yPcGfJWPB2tpf99z52o6uW/2GsGMACpkMXVAAC50riavpIzlJLsNVKZmKQrEhzC9NBvz0bvArSxA wVi+3KMADcuDCQfUhn8m1tqlshBUP6M4XBrGwJlxwCfxckLORbbSOZBzxfP/Be5UZRh644GQsokG UoMs+o8pPJXl8wTo7Y+ksOgYqnkKJQ11v40kTWyOTkaEnZhJ8tR6DqelPbcgUjQgmJtBHfV5WkVv HG26pRbwHQzvdCZJOrQn48HjEZLHg3BaN8OkmC5XnKYVBeGJLkwpbw+bWr2az/064Z2f3LkHK0Ko DF9Gq93RlhPimLyWwoGdTHD7HE0IcwZw8K1f4SLJE6wQoULhxz3HHYTjAcSMOR1sw/B4JFz03V3B JTMpIKhZCovhVYlc63Y0VSlAYpbqWK5Ev67r3QqDcGOwlPyMNktop8B7W1bxZ/sVNcqhGQcXNVTa rsrqwpfia6JSiiib0pk5H/4zdIKf6zLLovOEH3g+2HO/ECqgDIx9wXMrFBAXy47i+sbP6O3H/e7S rV8KJp74SREQUxWnpOCpJV7UjbHlBOYWOH06saBZpzQZ+M+GuuYq9YmZ1k/D1/xVlwNs0QfheDBx SYtp8fF/6WMrcEeTfXs0sELOJjt1e9cbDazpW26Xvh2uCpC0ZikEiQtMUJptufZsOeS5XViZk6Uk +ZfgnoMS4QDuebQLnRNcdYW18Cl2XTVs74mtuoq8gD4RnDIgSBuCU255gNFKRk9pluQtqBUribNA IArw8h65CgQjO+UOjK+psGnFpE3BeGzCONpEgbpaWR2ibRt0M4mmPycWJ3WyB1UfGM/UK79PVSc+ 5ewtognAJm38m8+ZtAD7/KFuUZ34hOi2+z7GAe5d6epdrCgOT9SlrULyTP7jtW3rNF4TQGU68WDF +ilmmWh1knW1+/OZ8yXvPAiKwp9F2W/GxslAQ/0AIe12gCQW4osiiQKZcvLWG/nJfndWgfzkK3zP SL//O8aE2MZYt9q7okgcwR1r4jqWcJBPF+oz58nJzsE0qPgKI3Hzo7YoiqMtKQCUgYJFJD7R1aTK 7UlgMDnANkKi6RpyljzslYN5kqvd5O7rs1gYBEnRwe4tpIDw+L9EChwZtuqNxVcUi1O+GSf5cAaZ qNMGqU/GINsormqeQrC4yRY1EVk4OtPuTP/ZKZQMG8RUc04rm+TQh4Fu0b6i2XwZfNGfVadNd8mB Lrl8HSqEfNj9RXVuhWXxX7zydnY8QQg2TyF1U8QoiYSpQn6j8SQJbZYJiHKmtvjf8JS21f3V6DAe EBH2pF7YGHDK43kP8jTQShUsS1yU6v8WaLXwlSPAOCG+nfAUDkn1lIGyAe+8wfcM0ATjN+riRFro JvpEhVXxqeDS1LKxB7s3o6DC4A3g5aiLFYbjw5hj1JfT6Jce9FNWYtoCOi3mguW5hdIr8hdQftqN oSgIvaJ4XO0ockDmQwWpQFSL3Xci5YrH3ycKdeVvbjneJF9Fhx0F5KTwwu6v3YnKXp+j9a3FUC0Z x3XHCuPqbf4vx7XCEGr0QmT9wFqrwl2A8/VDN4G1r76TPM53FJA3kqWbipkNv6rEr+ou1RCZk4// i/KjABUGbfcc3+8BOXQUJUZ79XuHDm9WMWHw5iIqy5zsdiiiYtGjAnKNtu9mgzPt2pRSqg26vcyC CyK9EzfA8I8QVLvvaHywQ8w4LTSmMOPTMeO1QdSOBBrqsO/3iDwpvbr1uQKpMGj62jyFmHF05EET nF7G3MV11Xq1qh3QKd14GjuOyLWatpC+HK2mcv7rlYoctAazOvWAK23RKAEBh9TrEjz0xn8V6GaS 5gxVbHlvwWBEHm0nRMbjEW/z+7rLhD62634Q8jYX5cXrct3s7tgCRJrLFR0A8rJpiuEp26Zn3dE2 HZC3aU7Kz3WQK6RmcHKbswQ3nUwhOeenOFXVdhSTb0vwqGDEQdFTHtVomxurKcKntPy7V36W+Ako fJpCfMpmKCB1AqF4lhu4ykrVNHmqqajsf9A2oaB2x1vtReUyk7aJYix+b/XKzy0zAIgBOMwrdCie 4msiFA/FeAZL43DD+ZEx1LkLJpXRwVHZeP6/QMIY3LfL+tmhu0/bigSqk6OqwQohwLUvu3VVUwvb ITxFR3dd+xm9kDkg2VsI4wGiekug7uw+/M0D1MJ2lTHJssbXBKGTnGv+Z89BYZplKChx4vF/CTwR nnISTYKQbZYieEoqX90nSfSl6osppeKzlIfvuVDKUOK9MGC5o0cEHRrvidLiyGC6QBqtUS0Mi0zS RaspuWt644jcytWU8h2s3nu2QRVEYPHxf2V23J85u3s5pykUUSnEp6y1nUI2yl1MopRT0r8un6YQ n6JpqtNUieYVEO21gbuEGA1tU7TrTAqaCqcS2a7u2Vro8IzvCQJMwXgy278cNE2QrpnqtrSBx6MK nRdVpA3Wyg/51jZPYV08q5RCw1/Okxp2nKf1ONiUbEpYOwao2NmkeeJ4M7u2WmncdA0hbBaYZaB2 2SEQRoljg6fsqRf+0wlpsccy/UugbbtEYj/B8vjs0OZnfxcy8WdTNt1mKQrDm46m6qvpHKf1mSX6 spC3mZxqtyNwytRmq9be5OjRJcFO7B7mmpGSTeEwGXDCgRsECXMrJ3Hts2P9FE5P7dUHkQ5wIllX c47PjoriY3x3NXMGhWH2x+YnisLbYuitRu98YHTEptRipRX0NYuwKfioYDmpijvr7nfURKWNNueu bNlttDaraWbD8wwB5tnZ4KfUSdgFkd/8pj9N2uD+AdwTxNaZjzWyQjh10Eje/Ih/ObWRNF1kDXOF /VMoasjeXWGA/H9SfbrEn8wyKWkaFM2Xsn8KA3KZAFNa0EcPyCcuDDoT54kW507iafAuQT/vhwal Tk5kQI5viqREce3VTAWMmp2JhQJErXI8nJnPB6nLr9JKLlRKyM9kxaY/WxatBnw6r9+zF+taMemn vRtNgDlZIWZccio7yzF55+uYXDBRcLAWtv6shFF9skj+gTVE3ld2ZrNqgG+KqJyykVJ36gQfP4Q8 1bNqM1vYINMtfkAQS10pIwlDD4DKnskKq+W49nsvZrOZyeK1wkFphvVFCuINqpxCz00pNsxmx9HD yAezH4aqjBPOAQbOIydLhgCwOj7zlNJN9WSGkGLzH0zWuSuWD3ZqQdqOnWGgDPB81Mv75kpthGeQ DvS5iuJzlrnx028/tTArOrVWyV4wX92rdjlFFfNhug1Gy08PLb8gWC8LjlFQKz6Z3SYP5kzSZHEf Fd/1lKNY2eU3BT0YURQLWVMclMmckKdKqjaPzOcjZv4v6adCc0Kgqn22wpo5SqkwsdYUoWZOEfb8 Q1vIpH/c0PoQso7klKCIoMjT1J/Kt/pTBoxAvU9UqJf+20qcOqwAOmpSyeySSkr+0n+R8E5Y1X3Q ykpA7stAYuj5wBm4/M5ozl2cLxkop9gHCNFV50FkAlBNgERUHunkivOd5pd2H0bROuF9UARRbsPR VlatRKajhGTSa/xvz19E4zv2kVCOsfN952YvHURY7H6S/GqDKi4n9p9T2ms18/mIA/vLWwq6ZqQV +WSFSitLh/oUmvU2juk1PYYWFooujgDOKYrZzSVwjv2MfmRNhDOdWMRztq8lHAcZ7j/0ADjne/VO KNDC9tJBcsO6OUxgNAyH2e2mcBSXLJ//F6ycKmQQXtwwUfXJiuJ2QBYBYik3DWS9URX0MW4Ffffl joqR4kpNaoPSktdGL1Fhjw24HUGqHZx62XNUmINOxNL7oeovOUyl2BRIIXvKPnBdnZypi859UoTB 54M9WL9vQqVj62sTRsE7+pxIkx36QzjTj4var5sx49/aVIW2QFNxQ1VXmKOXqSqMhbLZdNaz9ZgL ouRcYds7CXS9nDxyhvFFkeENXRIETuQgVOI5oEnnQ8lq8vn/0g6lLmC9Ngk59OmcrHsmydd++Zmy PoxKpRf0ik9VYNSZHb9ZtxAbHJ1KtcZZuCj0A4oAbXJCq1GqQnUBeh37hqOFdmn8okgCQtoGcn7P zcsL5wJpSxCxMfl8pAHxzTkD+m8hafepikJ3yYqcFfh4UTevw6S+PCVEsuJTFYFb6GkBIqza7Byt wgDkdGGjB0ipXWezlddJsELUiHPKpP2ITsyxVSc9N1add9BMnQScOrigJVY+//8z9p1JzuTIkv95 lrJn0OL+F1u4ewSSNZtRX++MGXredpJZIEQIF0Hgnn/hNiYEvMqzqMK4vUjpqN26XiumupLA8v0x NR+KhfASDN06l2fPOd3xMqo6TB8o1HrywUr9D6pts9yP9jwoEz5Vpdk7/9XEmsIlTNm20QiA4lw8 1uvg81HbIX0lhKjAg8x8pyoqqFMw+Gz3fJWkpYHERVVZ8pPwUb7+r1HUPpPB7mRYwlFThc5JR+0Y RxWs8dqUceAmIxU97P3UQMdo9sp/bL+RWOTTIPhdm4WlbSzQyuf/tf1kiUfqwo1CQ8vOJQQ1cwTN FDFtpnM/r/hRvZadgWcnxGKNnifAIkdfVIB0jsS9BpNleJdwUaFIPRDh0rfbKldkbvKLIhUWcs+g kcmhWBdhgKU8uKjG5vMRI90vQGr6oSICI9Y7V5E+OdwRcAHmdKtX7ardp2cD0hJCGzCGuYgq1M0f r9e7ASECUpCIY64WfkQ1IAba3AMVjAyirmOn+rR3jljpJuTefBBeceI34Vl1PojP/8sniNipQWbu c6qH5E8ZMM96FWt6vQCOmdyRkitMaXPo3Cl2FbwRnvELEYSPQMB+UpyeNK1nX56v33SGT1RiUalv +TtHJQaDJAwfdDyfCN2sOxvXfxSv7/ydCTZEduy22VSFUiw6q8ouPlX0JrWp6kJU4QIEMUxTFZkF Wek4NXPvbI97JxRs1lRYNaCSnOXRtRRflOq693I/583Cb4qW1RQ0v/iguOrMeaM8D5yv+XykMvJr rsD8G/U5rcIqO23fOk21hE6QujstXqgCY7ZvDoTNgYXnY4BOywUbPQ88wcH51Sd5oOBuVlZsamXO WBDKsbfswKDe7KUDy+olpBn93zgIyDELkjAd8oXPB6nN41nNfxlEgSezCU08yZpre5kWKfgMc1mZ LwnnuXkHNq+Jhi6eDqIqoqkrtVS4DsfctqhsmSf6OFNgMxoGnblCgRpN00u2mvbSkc4tVWsWs0EO Fq8ncgG5rjafj+oLv+BBVATI6WoA5tDLkziMxmK47UJNEXehbkcWRdEC0GyFZp60sYDSjzGv6m1N lFLSiREWiY7nEuwgGv3IzFM2XwDotfx0upq99F89nDHZpBgziyfTQKZsVAzB2uXzkV7iNwWkkC1/ lVxzaOg5VGBAOiuZLViEWTUmkY73rQTIuYrx6EvwReXOaaTHDv3E6/McXMyZpaUsZwUwBDbJ0b1f f1g23vhFkbUCF5YcFqbrw1UAtrpw+7lPPh+EV+W73wWU/NzXzzPHhp7SBq67GpCx1+K1mNbtH2lg 7Yd74OiJqEd2XKYDwdFr7QAOr0KHnEHaOnkgqBsD/MLGRMvllkRrspeOinwEXNcmRh8XGdcVoEfs FPe9Nj8gito9FmWIn8EmnM8eDGEwXb3m4RpSsOizyQJU0zSkzmd1X1cxNF2RuJHQ5F9sJ9aCMZi0 kdbJ5lvbEpGCcReEXs/LDeqXb4kj+UsH4AVKtEAXk4MrJ7ZaS2bhvZ8Lg8//i14k+t+CBMmzsGJH IdStGom6chRSMgjR0pxnvp3U7hlO4OxphWiQHPIzPqQ+IA8tbsf/HlOx6IL3Kcn+s991ReuAHFt7 SjOiAudxBpQyCboDTI7sUnCWJj8g6kvs/4kagNa5cxW6e8pXiDZ0//dLfYtx+/ISw9zN5ypGxKgf Yc359NWcP2cK8OpZgi1QwqX4DZSCCnpN6Hr1x2ZQbYnQ3hNhJpKbvnywakwD2g3feI5fPv8vBg3L oVTdKjfFCQ0+m7yF6DLJqWpjXsDeXl64SuRBa6pCCcVhKU7ud/QUBwD3E5jgvKKHZbV6YEpk102E 2ldPak175QjvQd2tTQeB5eWxClGgKWDjOTv4fGTCNL5mCjT48dW+CS0++7bW6U2c65M4pzocGLPG nanYXkg8GlPV4uiJ80k250bmAn2bdtJodlEbi8ublED4Qlj3JttUhdAYwhhWkvA70nH2UM8vsFuS D2zSi0bg61/0Y4BOHreFHLp8sjNwTsjqqI8khwrmzaK4K2+m+1nXR0UOQ5qqIVVzjj5VgLx1kgsI IgIvhFgr2FtP1EKAr7LICr1tfU9wBTJm32S9aVA1pi4U2H4kf8fng5Nqfldj8vnTTj7aniswCtpp TARhrFu4gpSWVlUp1bF7GWKoNlUxb9TiBOvNz6c3DyDDOQ+5/8D5q3KVO/NyQsAFP6iCCAKVSR5V xd45QvQPThJJRyRRaFWdxFblULix8vmoz+WnutVuflZ5bsDQ7hMqIHdVCcuXim/AfUGziWxsbsDQ 71O54AmWx7yjrSpqTk7lNyeeGjQ6J1D7LOqO4ACipg4HJVQ9h4afxP9gvqcPdqhvOKz8eIk9cPxE vF5+7b90ZurCZnNo+Smx1z1b90WVd/f7TwqLatw4Wj0Hnp/WA0JJWH5DHH2mIJO1C+k0cNyb2QQA cFcMqprkvR5ue7d3DphsS2qKXFsctAGh10TgFYxc+XykWfYdKgBGMWd6FlXsNMSjqiwHrJ8/4goF 5ukyLpQqVLwe+n52YRhXL89odSv0URG5DAKJwA+RaVxFZwEq5/lH6Cv1TruaXKH1pzwYZipSeUN/ hK0t4AMl2jTO7csP+C8447NT8cZQEPfpCtEx+Ovb6M15EHtfTXi6s7kmvMuU5NAAdHTpcRXDXZUH dwWQ9KCv2wmqYD4wlQn2LAIS/+DxqE2pLx9YgIJzLq+hxCwHGNYuChKEVRiRjgXIR+ABao1ehew8 sTDv5elK/OECqry5XLbWMP84NHDS8MU1n8UVqrroxCLdy0ebrVbKRK+ri64FVi5na5CMASOikwxe +h/9QvVNf6kELet5sX3YVL46QSlLBKOkyQ+IgvZv6woc15N6WDZZITyGYH/ITVnm3J5k8HyAsA3n 7F3LREty6Abat6LLZpJv7Uq+FcjZnkiONyGCUkptcrJQOBQQElAT5yKlbi8d7URpVO/kg6oM4PWw 3dU6yqKBIeh3tV3WOkQ/PwsritqHQAzjgtRyHs1LfeyCWrX9Ij4CS1AgdCUTJBqyRpsrKDFPSFYI HrOgo86oQWqCHY0hAHAu0abbS0etQQnlNjnxVhcSBKqIMT9McyY/IEhx8neMhTCYMu0+WWG9vaty lF0gD6bChugb2ptC9M3iuzB2IlJotVu+o+9CdLzI4scuBAxtyMYRLZgMu4afWh/HJtDi9U3BLmT/ BgGVD4a7goCM4tHV+fy/4lFWGU4CsCi3ZnMVq71InXJlh4oSTqeFNXyNnb+7LZ+rMHIXhyRViQVw 9Mx5IpCvRD+eYOGEi4wuKjFTwLVmiPhc04+tentoDjrozjA2mVwcVG8/syVDFtAZ+Py/es6M3UuC T8TVosqhPSh+SihQ5exl0bK6SeUt6nyqOTHb3YVx7K6Wn+lRj2zAlZOonZO/rzF4vIO9PMUKLHRQ y+DloMTgDXodO/ym6MTC9subp3xGVakKhTzOsc5C0PmzJz8giB1+5YTYxhN3gU1W6BFK5AFM1a+/ eprOK2Gc6H3nZiSlHJiEIgiRJ1Edz2iwj1nOxYyKCYSrUz133xB98PwMcFyqPxSXYvYsBhm/J1pX FF5UarjqWtaPAVKQuZWwDIFJKPbg+tqDXSnOjd5Dk1C21SE5NLzSt8yp95xXqVw617zRe+gSuswL bJZxRwfIgGyQWZPLDQgZ6U800HR/Ju8lCJRZSjgUYgUuobL5PElTYgVZUqvZKn1Z2vpQd+IHBBfh L7eG840Qjb+91NAolHgyGF49DuL1FmUm5YeLqqJmn5YDp1BA1FRhmGPd0Wk4kJwynneDYmkxiAzV 9QtrWPMi1FKxV45wH4TxgcmDoRnvCMzeRVw+cqfFD/iXCky2o33Ur6kKJdGL2N17e+i+vH96pqov T5/zrL6swmK71HJ6Ts/oaL4TZu8q5eECc6q5rYsPAZ0FV57hxK6MeEevHLS7LCeUCU+zJuS5yCEn KWIlcFehWWj+pYqODtksl06ZA7fQM1MAv57AjYeSnJ2YPtPOiQVgCebs6j2c2C5U5fNd5zN6kgP9 nKkNCJ5ula4l1Dz6zyIeHrZvLixUq73zX1H7lsr+zs4AOEnZTOItweIxh4ahv91SSHnZ7QaioWEo rXIbVDZsqrpbYVaUMe2Ah2ec6ZvkwDH00VnYEoLh6O0uVHWg0K30GZ0EqQ/DGSTzyijUzDGIjDKc 0DS0kcs8srxVIVQkojdsS1TUhEY5PyCqIN8dyLAV7bN9nUByYBxq3BI0T7ejGVRCpuIgQRAm67Ud JBM4h4IvoaiKgb2NjmaY6RydMKgBSKbCEUsyApBIz/A/AFblcZeZyV460qwiX2InG6p0Ts7GbkVA 7YxaQ+geWub3ccVC5p7zuQZjeUbV2/MV3ZeBIWWrRs+ePENM3CYrgskovOw4nO9oRSzoMJ5gfFIq bkFdXgZQvVH/5kwiSBo+VTvbK0f9Ljab97qDNuHZSU1aABuUpcBC9BsnSuEmOKPufoX3P6+hVbOA 4cSM1TchocBcVtBZ7jcbdAmP18CquRPP+aNHuaPNUzmLCMgXC9lnLtKNgeoGq8BwDLtEOFpAv4ZV DVAgltonCwwcbJbOHhoShFl4+mWOGqQTcIDgeJQCdj5nJdDPukqiGRpFNFSDxlAL1xkSNED6v8S1 1G2GXgOqlqQhnmhGdEdnocKbl8a8nU713doRBYHj3OZo7j4XdPr6vIZTDRq+0kPN2wdNEQT8mRmA PPZ5jaWaIgxNkZCNmO9zLtgcvYZS56k+pcyY+91va939NlzSa2NZc45eA6l2PS4oaeGjBZ3j5MMn R6JdL1DHaWVJzZOZB5gx9rjFnCxff17DqIbWlIJzWWJWt8Q8ISiw96ortM9rDIUJ3r6KRApAUxlF Kk3RawiFZdTz4x3eHhoJuSPFtKyhvuQeRa8BVAMzUAdSF+uNo8flgJWTU0MFAbAPDeMPhakNlyBQ 02yKcDh+XsOn8y0SjkcEzo0GCRS13zMaSuRjrXN0v0ZP/GvL9047cSaccG2KXmOn8xBgOecAKulO UfUoIBFEcLUoLMp8jZyw06yGZzo5+9HJySd2Splc0g54Ff63aMyNgA6c4BWsL9tqm7pwr4ETTqMq EGjNPqiCd3KuziY8++6vYZM9bevoxyCgsDTWHL0GTQ1/nYxVH0n0sW4q3NKFcuTrPxvM0bSicJJJ L0c7jaBqRwId4CiZyDoGAbCVAaD/rKFGMIHao0m+qsEcLfo1oCLvgwosaCWKldtX+bxGS81DS64j 1qLOXltUWeAkvcZKOOcl1zFMLuCX+2xal1GTUAqVT0o4Sda5SvOOnqwsOLwg1wVGNqOLT3GmvCra t7Q6mTcHnkzsXsOkJvtvSL9kOoXi1iaotYJ3PZh297PPP69REo4z6rmT8ovDHibcs9577TVGwplt ZjK3e5VmcTOwXVb1GAl2NhIdjs7sbmp5fdzRq8Anjj+nPrFm0F7OIj3Uxk5YwSapX0KxkyT41wip OYBxgY1tg+Ce51vocPoDh4PPa3zUDIzDKWGRGqr1E80dTdJrTRPX4RAEIa97as/9bLft242wW0rB Bad2qpLM20ppOTrr9vxga6Fqd2IC+NFXGVpU8h4qO7yQjTEE8aKTzGs9E5NExbyzeYeGxsPlJCdl SeGsZ8ifBpPEg5NTgvMHxNMNdLTm6LWUidWbhWhpyYoDg8QKHdtSSNeRdHW7omPbkMMp9zt6hRyG Oq1RtgvqE0WkwIpfH5z3QTm2cXF4tLh6LWPit9hq5iW5DyTV5qDT0eiEgyZd/rzWMJuXULiQWPHl i6CjZ2FkFGmPLJqRyeCQETmsNLe3oTUg04FET4zIaJ6WRPJGGXe0tTTKPGdsZdtloXMvLb8q9Yky yHIYV5JqK9t9j7bvjqsEWC8XA6GUiAmaQAbuneTHYM6DAFamzk8z5g0l3zl+zdpaSNi8TYwaph3e Tb120SGTlzDDgNtSN2bCNlpKklanExFbea2WJNVdiK2jKoBEE6QE7xKzGvh55/jd83taa304V68D WcQ13MZen3eKH87v7UcT/gO400Tly+bpPeZ2KghbtDqazs+bHX2w59WBo+ynMGXBPG2JxddkkWW6 +NeGiHKg00Uu8klwJ+3YT5yMTpyK6OAOW7Q07I3fp6nLG7RJncO9RSpZhFM8kM3Hg3hp+sZj2L2k XuzTFMXdtRVJB/kJnmu/eE4SokzMc3rvIAq8hc1AJviMvu3OLQcqatYR3tifoDkK5Ckb5KdATHct z1T1xu+7DjZnZxcjmbJBCdyJDAaxZediQJMlOp9G/dp1NEcBls2m6T32xtkrHbg87zSl6SGTlFAZ exP3qwpvNE1Kc6eCcI3GgQSZqDYEccRH1bEsQEd/7Ww99A2A/LIWy1TZ8q/DaYBA56DXKiwnxGRY PjnxFCpxwTwJPO+7DlalPwPZpU1UFIDDtATH0/bbDuoGBnrdsMkwFAsQIyoHvEfgKMMJQi2pF422 7caC9BSFujLo/4B8MZHDFXkStzPDOLvsFKct4+ed1dcEE8QMteUDr6gJBVoZrZ+U8vNO6kPGkeuv eSqwoV+e7r6T+ng8CRxV3Ws2reU29LlYSQDJXHO0TxSEC5vYWzN9/XYpMu2skXk21q5qnJ89KBh1 p+4dcH0Z/7ZZF2dpJURReGNRaaKEboM6Bh3u6lu33f68M/qa7EWffVeZPz/LKYrCYcYJnSDTkeBJ NYxKNBSFE2Awspd1ozDcpqmYCk55VHDOUgSkZKpdUAoigCZg8FmCJ40/59kkiUHc/9n1xu/ThG05 R4aK1hmGd91OXJplM9dT5+NBiHmTFbpkooA+152m9zgcV5SoMdNBK0kxpjS+t7dVHu+mdyofXt+o 2QYx4GjlkwraUF5is5+stzjvH3liX4yg+lPQFX7zPRDHMcz5QQxkg2pMBSDKrfAgf955fPiDc/ve ddA67uCo2jxFsTiuWHLZr6FjnvuZJ0uCN310tZzCYHxwGQ2RzjTaMQ5FpTUmZYIaK06CtCy0iAex K8TDGWZM+lNRMI5weqLoPH2w06lailcJwIiicfVkn9sOhaacvFwZxeLE1WCdbp+mupwaip6kH05j 2SH+zt9DMdAw5sl2X5qPFRg05uae5hC60pCRaoamCrxjmHNfTTMEXZ939h43QFUM3nyw2Olk30Qv nSA2f97Je0wZPMQsUjQ7b4DzwOYpisUhiIlCilNovwVvctkXNt2bnU7v3L12cRepSpKZozczy9mD EANGSS4n+qwztwMX7IQhsgbt93hi3fKdu8cokRaqi/WmMz/n/dg3bW2DMMg+0jxx+eedveeL40mC Myzb+l1SUQl8SvBGMbimalYPoJwMgxMqmfbbO3cPf4FOqNzE8ODozcwTPlXcTUrvaCGuyhw2AOQl mXXcQFNr6j0ev6qembDpmUEZ54YrJ7jncoQNwfq8M/fYjBlfZ1TBeYC1aBMVBuR0K7waGyhBDYfU beKeZZ02vZ/yzttjYKM8uEmYmaPjy+c66T1gsh2gii2g88lmNlpPBVxhIIOv3sa2V44KBmypYDHZ YFiCc+k11Q5m/byz9lo2Txk/o+DrDj6Tz1MUkS8VMWdNXnpKefneY/HeXB2XURbeOXvc/Go4TTH+ 87zd8YqZOJF4yuIYn//dVMWEm/RCS4uGO1bpld3VO2WvyZ8LZUyhfwfh9pgb4PCXNDrRVokC8rHG 1zThiJpQHbNpiuJxeqf11p+CuMDRUo2/rhZlFN93UUVc3mkAZpY72r474Xc6Gw8gyAKca1HppULu iF+5KP3wnOVLrxxEUGSfjcH2EwdBylujKSrpCuvzztbjsp/fV14tUBq/2+49Hsf5xHIvTRhNZar5 chrb8mCgM72S+c7V8xgDmsy139GW02zw9ZHGxsRZaGCLzSOdiS/EKx+P9aVXfj+fFnEpNM20gV+D VK1lu8b2552r10xW5M7T5CmHJE/zFAXkU9uurqsbT3yx9en21Xi7qJR3ph7XswB00l3WaMcTaMuo jbOsctZZUekFx1ZFPHwmC0Gi53dl6I2DaZokFS9OUweyb1gPE/uYxe4TpX/eiXpYT7l/HeOdHPpb fnrn6WHfbbOQuYyq+vQz977qzABbaT29R+QoWW/1eke+o0MyR8knkG4SWYR+hAoGg+QoEtHWYxRK OsnnnabHY7gJZzh9UF1lnbtGNgInlf+8s/SaOXw9207dzLvtooCcR+jJ7V3cTSoO7s072z2eXDLp naL3VVfZAttztGlaJ/Re507BNJU1EgD3qgwjcSE7CpGBqWoQ5PtO0LubbtW9fNAswexezo5n8X7e +XlM+9f3IY76XL+N33d6HkIuKR+wacqYoKurSamWbUvsvAsoh2qOh7XxqdmpEivjaGnLyVtY9Ked KtgZzL1JdkR1ekC89pI3yGT+vJPzrOWG9jiP8O0GKWfut8H02rkEPu/cvGZcgGfLgUEMQwGbpRiJ ItPZcfvjq94Qs9+19MhPvjPzkLWwnCR2vY82Sx2KQrIGzOjAkAciLcET31W2HmDM3JXc5aI3jqaJ nYOhlt3wlh3IqXMrKp98PNhyySMCRt2VWtp3mqJInCK7Vp6V8lYdt+KbV/WTqd9pCtEowjSNriRv uG1ug9two6U9CfyIo5aiddZKO/pRgNj7Ad6GXjjI7VpWpXf7oMXUgHCSQVEpn3dCXpMjxrOY0OkG OttnKQrDCVQF+rk4+tljSvijtKvllpqRh9/JeNxyjAOG7Co0+mICIYIwXgDFsQfltrMoDUztN1pa CPrFUPfzTsVrbpCy0W42ZIomJ0P5o0u5IJfPOxOvOULuFuhgq4zzxOYpCsOlnUFisCHqiQAUop6A KhNSzAa4eKfh4XeSiPCaardwtHkCUAuWQZvUg7OpdDRB+xc2MOiLMrqUNS8yp887B4/TROGMpvZB u+0DJDkGohjl887AY9TyfYAj1js3smMJ3gl4vDXMW/1i6ft2DUUpE2k1gUGiWYqq4kl1p7bSM/qe ayfl2nJTPbluLzXJ+QO1SGqwSZXMwARMVt7Zd82FtugVbIPQBOdf7TzO25n+zzv5jhCT/LXpUKk6 C/8upigIp7zFiSgfYMpu2+65XLPj6PNlDL9T754ecB06djhacHly0cW+CwV+zj+LoguI4mZl7iyp QhVvHeFEE74z75oksM/Zvegst5Y7y7UCmwnmdws/53sQ/t0DJuml0/H2xgNREM5rBlqG6dYI0rWd 7Ws5NgVVJQbh76y75hahIJBsG0ks5TzVCe9ZLqdxMokse8yG/oE0owqbLCoRCOr8zrlrMjc46UWj WsZgEtU1TyD0EcJzTqzPO+cO0/z0yjGpJ5r7OT+ct+3eOXc4xUkjOxPlDnyd7sNcULVmdzGeqosn flKQrUjQpxZ5hXK0fXcWy0mBGyksS2El6YmTaigoGhDCcBG8WCOfd8Zdc4feBZsnDOds4d6r5whv 3LM/46TSn3fGnVd97ooCdX8i47eJiuJwoXjrnONCwvJ1xRZPkSsqDas6vdPt2lUuLbs8o6W/68R/ g1INMFNNyOqY/p5TDJVM3G91ZpdAMlmDd7YdG29TW677oOAJRWYhT89nfN65dnhP1qyfyvg5w8cN nt6pdgwLJnmJpLYS7dzScl6ikJmb9QlC54DBjFEqhk8d5Y7ej9ppgV5JuDNssSt9VCBLjQXVaRJK qs+SGu7SK7/PUyWOh6aKHIrhA/aZs6QSSZufd6Ydka7fHYQMYYS1Lq7gnWnX3JFhsxfLG08UiXPN QRuMwvmknENxtuKIeifaWRmWC0mBNkeHX5S1Bzkq8EVZ8BFSPaWgNA69n59ykmKvOy1qar0T7Yhm RE7XB/PgLrshrshJ0cpEl8bPO82Ojb/v+hxujwFXEpunMBoXeB16c+bGIOUsuO1M/jl0YzhfXWxB RXXxVs29WKldenSoy5mjWkDZpAjnCTJN27VRprIC3HC2eXmSu65XDs5yK8w18afZ18U8tT7VQOgn Efi8c+ya+Vs/5V5wMEb1utM7xa6Z3kND0/FHmpKdahykGdTWLUo4x0szCYN3hl27nmAgD9zR1lND F3iBnoKNBzI7S+j1RCFwl4PuIXJkDw0GuRjvFLsmWy3gVVXv7U7GQKVr80xvs9TPO8OOkcWvA+pk DQuOlDZPYVl8Sld5Xn22fuuYXwBoEFYNJB6WxbXvZhYSeubuSTAozicqF24VLYMmGN0JrYA2aSiN ImmzJFgVlXd63WUbzMkkmIPSO8ByrHXX2uedXcfmQ/91PoGDjZaQzVNUFycMqFHnUZFBo/mwSirZ SgjoR2Wri7+T6zy/TKos+ngLT2mSmE2cCuyGpb88O2hylSZTNyCn2trnnVnHWgHzumqcoKLw4xym rRX1pfoen3deXXNv3qcqniH+fnddiFLpRfFTduJKfvSUKbMkuiYWlnZdjFJhrWAlNaNWus0oBICQ 8sySharg8+gkQ7eLrJ/9iDtMLaaoJk5wGGs0PmiWltqCxtV8J9TZ0fbM0ob7GoQYbZaicJzeHOen zU/hKXvhCa5wXniCfJ3WUhyOa3bsjOJos0Q93QKt5478tyLU5H14bowTvpwXX5ArMGwYzuHPO5kO Pyv+sFEmBAY0aMvBiF6CiGcrf965dP8fNGwwu9xeUWlhLL7FJ3/qTkTSqKKyGTpJpWAbn7yFYHEh Dc+blzvaCQ5LJvTEO61Uz31WZedEb9IOtB/bmkb8zfbCAVOMNd69mdptJzbCShopDHdcaXg8CDAv ol7+ROcQAOrJZikMxIsESJfXnczJGPWUJg+dSkWVYQXxFhXETYq0y3hJo51L5zc4t9zgLIFztXPx 8/sc6SxYjT0ePObWGwcMFnqdbK4pDdpyUBMief0cUAOPB3CCJw7Hc2AUTWhRGc8nCsQ7Kaw51y+4 +KPDRpgm60503CDR5z0Qx/nNeVpFq4qj5umkERlqHnQ6gdPpmN4qRmsK+ttAbXsYTgZrj6mZuuZ2 9UGrCTeDLGHa5uMRCPq7vYIW6fiapSgKH4uriYGKrSZLhQsSfPfuKMCNa5YigIp1686tvO5os3Tu kbNqUSmFsC0kei1HhtBJk3QbOdEqFFAZpEcl8Wqw3pR90DSh4ax65skf8XgQDZTv5i8IWQ1VMJum MAin1EViR1vZb09P0LSuBT2RyjjAe1QS7ytq/sJCfQ/AXkjzrfCpIPdgkfQDkM9Pgbm0lwlEG4uC cFV7e+cZ1SF5zTAWymRVBZVxAjE8H7A0Z/86nTLlIMDat5kKaZrGiso3DK/NYauNHjKyJVx9yDyu R2H4nObwpWOKoyPDziKgXQ5hPA1MUMo31IUgDIAJQOGvx5fkf3sUhhe16loyssYFZJ6bg1VBENXw eERn/V5RWEwd5SSbp4irCWNnhJfddS5WKw7I7MPVedDpLgqceoxOUZt8iz7G0Y7xgSwP9Ci60HcE B+zYVXAkwL+Hx0i+sljZ3jhqbPL8HkkuFIAES7nh3K/ypBgn0MPzwXU32q+dBxh08TC8x2F4Ui6X ftywY7jIBQleCjB7Zd2p85Oi9aQwvEqIgKPN09mUqXQ5gsKUdVRpjZ20hdx65MCwNrEDqma9cVAl qIKDJQofJrh+D93vZ78xKj+H4cbz0cb7Rq6iHYVfzucprIyrPkcxbephNQjYWpkARFHTw8IpzDJB DwPxrRbTTPWOVibAvXsuJDDtCrSEJbSdoHQyCJ9jyeniLhDO8pWjulPVDLHyyz5R0YrKaObgLz3H YMEHBGd5/y4UQHGUKafN1HswbokO/qxE6wTsPBpTofA0KfqqCl2BVyTP8gigAuN6XPZFfDuOfkIt tHo73aoW7AAYjqRKAhfEnSp0XryHUNhFxBdFd57c4lT5zRbNVmTTZAL8QK4Rj0ckYI+gxKnugH7c Oy+CjFM+p8F62QpPddg/0qxqWeGJdUmtqAig0pX4Fmm0o0DHAiOlLQaweLlLL2XgsJYkJES+4MKJ bCU9eikAK+GLIuwqmyybXqDLD0LgzgaZSj+1LT4e4TG/1xPOyXNMXaBhDyPypspTlqoFFhSaJiz5 Doh3yUmhooilBRWVxq1fnofpQo52g4NUznoag9pOICRU/i648nD1tSxzjuWVzEGSa49AKp0cjaVu Cwc17wC5NjnbMvH4vxaUJiqzWOE7b0QhOeMY6CGv20NY3r1Le17G9CgGLBhRbXxK3mIMYXk4+lmO hTQTW8HgrVfziVs4owa96hBiGaUl8SwfUUwOxiOwToZ8Gg5chXOLlFlXznj8X8Ryou3oCzAd8jSi mJxy40BCt8v8SR5Cnd0yHVxfXelixJVxNe+qrr5a51eTMxGOR6ThZu1bBgqQQTuhAaRYQb03XTX0 S/A90TRxfrpgvgBoURWwllrYiYcmMuYprIzv72bwwM2JYpvNU4gYT5ocmxGkMTVZS6o7mA44lW2i KSOqjNOu49wmWwTqvbnvBjO8k7qcH57q0eVczZPakgizkDpgx5V9I4MN0QB8TYBblZTD4DShqmWB 5smDZH1wFm/H4xFV45s4jQJAe+q9I8SpUDclp/kCejJkAVvm0DbXposC8mUonsxUHFoUzWapnxWU M4SJaMdx5qy7sHSjrnUmatvDAmQR+Jo/YSpZSkV5uP7OuX1UrsNFhMeDs+lXHRNkmpHXXUtRON7F j1rraZhnhxluWQEQQDfdOmhE4fgUdLDX0e5oRxMMKuhvJ1u4E4UrHIduNMjAZ3pxPBgZmJhKfE+0 55AH14bNMqhuK1ITlAUXj8NxAhI8/8+2HZPBs0wGYf2aqDAer5ydVlzvakvpkcgCcaVIJEtXGiSK x+Wfew6lPe5o0RMkH+ts7ANDw2gXoeoJXx0oGq152Zs8YPA1wabb0v/fyQfFBAsYOt16Y+LxCM7z TZqmu8y44N4RgsXHloiTwwq2UKvSBummknkWtOunjQgsXmgGfjJK0cj6flREz70LoGfuwmNmCulT chvyc3PJF/hCxateOGrZiT5WyLaT5Rt1js/3r5sDjzASnzdyMiAw0I+eBI+oLi474qtShA3Yb0Cw khsAZARmmqaoLj4lR9ute9ClpptRFz85F9S0RdE4EWYa2fzVC7X62w+Oe58mTCG+JuoekJSIig+G aSpfFRDzxrLg+dCO5/+lfUGZDGy3erEXI4rEBzmuqffhAYF8XLiaarsBwXK23YgjcXNS0jRx9Nzu zMRJ5TdrKplq7AKpsGJ2opEKVed0dx0DzBFG4gLTF6r4gtP/k3m7V3BBG+ts6yxRfECEvviOxQnl mBd9Mf4OxfdcN3QSr8W02b1asOY0musIi+NZejOWBe+vLBh+zbNSVj/vBqN5NloqThfgDHHyrfo4 JOgcD/mb6pJLAoN3qrjSBTK48pPYueD5f5VVtP5OcH1OVr/wZlgeZ51ukQuuukqqapcXcFi8cQfJ IdVVZixcaLBVIwY/1jcjszKETBthwdnGc0tfFKW7ef7ln5XmbdyRDzxDlAo1IS/sqVsOjFbpXBK/ KBWPB9PU7nrS4mtwnroaT1EsTikhQC6moy8adS540ZL4QdGZBXkiJsEzJHDqmoNV3R298Hsu8LQ3 F9RA81f18YZmJwCH2M6o3F2Y79IrR30EXniFiuwcNFFniy9rl/eCx/91RMkjDjbIl705o1gcqjzZ xIhFwxcXgbH4tHImkthqvOkZsjet3FuE9uVoYcHEsV6LigUnOze3swqDFwChIY7YCQ8TmoeyDjMs kMuBWBSE4RSEE8mj+KCzalU8HpESPX4SQ6j9LDxm8xRF4yCJnqSSTEtF42U6IXhmUzGE+sWyRsIM y+NW9k3pGb17N9pJWBaNBBt0+IVSOTc4sQ9nJaGqfE1il144Op5Yxtyskc/NWjdJHicKkhDY+Qvw +H+hs2TI1y0IZts0ReF4ZQoMZzGrFJi0MVk/0/QNad5iUeaMwvFhfZZipLtyXSMoIp0Ju4Hn6cnO 6ze/HJFAof+09YJpzDVD7mbSrmNNU0A/xpYnLkhUOG5nIePxoMl5xS9kclOEkrFpCoPxucQhGx4/ 0SzYZUOnF1SgYc/rbkYYFQsMWtMhztFOJ9hEntVU1ERYaNayF0xDGrIK0Rnw+IkGnjMKxivB0DOt 7QO/hARjluNPVDbweFSgu6uJrWCIX+SrzTMjkApEyRCN50dT3MyZC0Lw6TkLxBM0TVFtfJm9Yk3j jg4NQ/mNkceZJiiPmFKdKj5cUg/Tbm574egIpzQPYn0OVdH4WUvKRc8CGXzLqFDwDVIpZYpQY7P0 D+ImKxFmmPRg6/O4ms8A+2rPhYKGTcjVbdj6fbH1lMDKrE4iGIeItYzmO+B7EykD/Fhv/2DrhYO+ 3ZLqI1GZHKw4t7twq/Wsezz+L1wYyylg/AAjbLMUgVRmllHZKBc+t4eXU9K8NOC9rVAwo1jcYJit 1n5HW0to//eVWZyDmGiRsl9DHgkAREWGdYVU2C3E9wQw6MSG+ZTOE/XHJIeN85wl8lVzxfP/Kjtp emkaeuPLmLfJvt2dJ0CivezUhtngocvSPCAIhVSMkWjSxhwdGAZW+eqkATdajphTLHIWcgkypPx8 nlbRG/+Fv1hTcdPsziIDlptxD3TW8HiE5vlu2wEwcO5zJ2qsKAzPkg2rxeMmthy9hukgsQ0mvqZp RSiVITh9K73f0XsH53/lEw7haMLaAnKOGTDsMgagKigSmjyINApWWBGH/xp0ZooPAvPAhNAYibXi 8Ui9yE9wVljhw1EfEdGwIr7tPLI6wYl29rZZamoCU/ICnoqapRClMnQkJeHnONosnaNpnbngpjtr 5xxihF80yBfASQ0iYA/kCc/ha6LinPxx5V6Dc3zoBJ/g4Dbm/rXg+WjPfWMKYDk/9lV+XKGKeNFi So9s776Wd707CxjwLpumGKXC4LsvFca76gSNVacMN6pGaFiDANpSQpPQOGizkaD0BE3rp+Frotoc QTyL2kUchOWBBKRyurOH8XgUgv8G1FcQ9f0EX1EI3s11+SqGUXneik7lKxpwiacVAsXNdDkL9MXR U7oG45ok5AX0bZcMKgfwOAPY58GiU3kgqyssiJMrvebQwQScNAPCc8WIP/yD8gGej06m+XUy0YN0 lktJXFEMzpuCxXxjspyQZlqFYBC2JEB9rwbtXSFxU6dykcyVRt90GcXqRsGLsccuS2ZcKRGF0GkJ SIarIXmmXjkoz00p0rKDMED7bAwUz88B+oJpF9WJT/gvcljAKq7nsltRHA6R3twoeKoAs5VrCTjI oBdIBawgTVWooTJUbhoMoTRa2WkUiLRsaaiUhtaaVMWp+wjLg7NztoMvSqLy+opQKhK9mINri4Oy 3wG/dhWgOt/znxr+OKAgj7+eAyoKxPnjosuixYOd0bMF4k22IgSHIWDXPIWBuLCYJ/Mpd/R8ZZ2Q iVoWPWO3tCYD04XqZc40WxnXkrNk3XehhgrDgYm2K4dh+e+Ga5fU+lLD45Eg9Pd6Ajhs1RuKr5C+ Kb82GRysJ3dhs1HMjc1GeTNw2Arx4sL2lqxDuuRbdaqzkSZNoQKUM5laEG6IWk7mFYAr3SEqSK/w RcGNJ01oRHYYUF7DH9zP8syEsg6U51ZYGN/jOxg/i3P1q120QsR4E6CgXVLi6C51TBtw721mk3ha YWHcGAVrP6NDeToEFifz34marPj65/8O2FCpJNsBp8IecIHfO185OMwTkRcnHPchqZ5COguvvMH3 DBAF49vzIEMxijm/TVRYF5/1iS3VaLlduz6ba2GV7AaAK9YYF1x+WKTNHNGylkbJOVioFsDpqxQ1 IVDfURfHkbYxURZCURN6ReF4rpIRLdsH69vNKfPtk+hVPB4tqF/NcpYsQMPQRO0oHh9E0wC9/HSk XBSaTsjeB3b7sR3SN6WPefKHdkdbUaszk9+UEz3XUknqlheUBSDQ97P21XiSzfmO4vGWTbxoaMg/ Erxo0CQxq1Y+/k/Wj5SRzy6ut3G33wPyK047Dfl8NmC92d0o4+Kht9sk7tjUx0TDZr2jTdMo4EPA mIYX3vmwZuYHQGMXMNph3HcvPJof7BA3LpYdtLptUFkcbt1DpaeTBe/3iBw9uO+DHMZYvT3LKSZv so65q4sa9nrF1UZKF3zRXTRshxG58e/nfEbvAyPUpPLZWU4Z9OksEd8GRi9VvxHEXafgoTeOKnTy 0FAW3L17gHZ8Z0ZZS994PILX/0KND3bw/RzfIXdzUzG7TdfC6o8m9Fm/V9YBciGaphCjkpTX0a3C Ro8LNjG/5EcNKNYnxVgFdlQboALqzvkxTmm1HcXk20SwiBnfXnuv48QJizsJRDg8HmlCf5M1Cu5g 1GhtmkLMeBKqoDl1M9M6RiIhZO+YUYRTN3eIGR8qEnyPHpHjcMpG/WmNgHK1y5GFQlIUOs9eoev2 wn8JZNIwDgPqVnKOhOgBrz64zeP5f2mp4D9IBqh6b9MUKho2dX7X1VIZxX1J2VJzz5E7TTFEhVET 6cc+3kLmyVLnkpbK2MLNYZoAGz5p7zls2pXHZLcSXxPZIJk2bfZBew6OumTu1BM44vFI5ekXDPoc jrtdCd8dQVRgJ4cYs/eLC5t3ltp2Z5bzf7XYaYd6hgJjtjzSHT0kqCcNKTKb7kiIFa+3gc7FIC2S +kWrKbtreuO/eMC7yFLLwdYAYy55eJ0EnI9HgCcPMQkiy3C57femi0Nx1lTauNbJeV0xrDquITDv Jk5TCFEx/kHX0dT7PZo6YIapTIbiZwUBzcNbcQHQ2KHQh7jcVUTp84zvCViJmc0DzLQNmib0oRjV gqiGx/+lL87TGl5R60qr7bAsnhSJD+duJtj0+mpa11Yr0Var85P+NB1pUiDQ6C2W0SHFQrX6fjK5 c7EKToC2YoejBmWODaCyp174r6LKLtxzHLTnUG+3LnkdePxfpB/8u2h47HR5wDsKw9sUkKdetl1L zWcpl+uGBICMFlMET5nN0IVOarnIXpJaExQcyEkEqFWEjs1qFLBhoLGZY3li9rtDCZUiRdpRfeAs wbgkSabvJB+fHdXESXZ7ur8UnZyP5UiKovA2ySqntLzAKbShFziFvbOTCsNaqxiJjJDU4KZTISUb Ni6va2W34G2w2diEyhphKSyoZNQVC63+Ktosk7ALtrv5TQHNlXPVJPTUGtUytN0A3eLfCgmxzY+I cPW/sBdF7jDXKiKFwobkl5+jahr5ZzPhIfmn9OZUjY0WjNT9UxiPC2+YFv18NHo8fn6/c+RlyhhN QOx1MA1Kd2e0kAsMzJ2fyHgc3/QXQRF+qT6oF4wyamK/Zc3M54PUpf1KhQvFEvIzWaHvT5dN68pW hEr8OhbrOrX3WIQC1tcnK8SN+yT9/3A6yDwgFiC+vnP3idiCZZWp5UAXRVc8ZtUA3xTBMIpk1gSK 3tBI/JHo06L3LAh1ix8QhFL5uwgM2asB30+frKhYzjok4uZkxfIusCYKB8Vb55BfXSa4llPou1ml Fipgj0YvbZ78sUrm8ATeHTcgS1GFfuj4/znzhIVgmZ4cEVJoAETr8pENWWckGpxaEB/kP47B5yNI 3Te9Bd3rmb/mKgrPIS8OLUsrjaNZOxxSt1r/H+orF1boAWQeJKm2O9ouzCepahW5GIkuJ90gEOj8 bZj5Ao+Esp5yFG9kflPkRsJaeaYvAgclMuewSIywTziX+XyUyXx3qs4JQUHUy1fMKayZD7YX1OOU zwyqIOfMgt1K00kGl8hi9DJ81F9nPHCA645+GXa55XAbDpIZuQpToU8ZdVw3tfwaV1ba9tJBdszD fco7a1Yz161AsjQd9med8vnAHTj/UvM7qxvCeHeuQpUVHOEnKDAuGZrpfRsVr5FHwvN9DqrUdX1U EDgIdV9MDZmjzVU56+oEj2ij5QkFxCrvO5Opowr/Qq6m8x0OK/qmSC2LemKbkB8OKrica31yD6E0 zOcjHuzvttUJHla7lhs5hWIrWWraBB0qeO/qWwFUjtzwLCw2mO42DKvn7BJDqjbf0Y6scs4VWkzi yKqdIkc6smhTCJhZyTdRPqut2UtHHHROVmaKM/JwmF2GwCXXynmIz//LIoGeGxULKz8LKwzbFxsN 43ok4CC5BfTiODtE9u6pGGmuFNU7z9Ha72hx+5w44U1QM7HbxIgUjqknR9mARl2y/pLJVPrTFwh2 jNMHLiu0viwLLG3w+WAL1m84C2HBaT0m5ikWQOy/eFQncrzAn0TrYsXujNo0VWENXVOFc/KOt0jV 4OfHssLJ+yAJxUwww8B0McAjy9poeaQN44uCKlXhRVhls1yra7Sef2QWy4IVn/8X9EcdHKysyzjL oVMnGvnYgRf7Aw0Crw6jDuttmX0dKENUi1dgLN8Z17sMGPDWadQIcHkay+yBoAryM7gN941GEVbY O/8lgrirCJ/VnC4r9GN4dZ/3HZPPBzFD+lYZA/FmpfpMVRS5A0fBNNA3IO9Ozwjb4497V1Xg1mm2 FsDfr2f0+gJOKxhPUUYaSZ/p21NdgEEjjikT99v+yhECSJbm7Ihy0EyNEw5a3F4qn/+XjLRCMVQV L9kzx3ad9HvK+VFIFjyR6lCL/1jkK5FVYcihX6c1j3u1Skx9KjG0yR2ZvqYn2VlDWMYTZCOfBpQz oyHhU1WavfMfrCoQ0Y2W7l3gc2kvA0/Xweej7vH3ogLQqc9rEJQDy87z95mpii0f3oDFF5UUR4rK DHdRhUG7cFLTQD4cNVXAJ5+jCM7OUPUBEUkYYsBe4AAM5YzHIGg0e+U/tt+AyI0PAt81sCyWrsLK 54NgYV2fc+JjUf88C/5OVaiGuFiRyfnRHKteBU17+1QV2h9pqkLip3RtzyJpd/QOTWmVTWQKb5+z ty4rXJ0vY9DNYrRVrliM5xf9Ad0YYOyIi7atiTABXFesMDafj1jp31V16OSg0HinKpIo7zrVpYHE qer7yrPNK6iV6b6iDRg7BUnxvorrwdGm6swTWNd9qRQKYs3SVIH9BXh5Rt/dkVN92jtHG5AAsw3G tQahFdGkIar+/C6Fz//LKsjbWWyu+VTFXkFq1BjyJ9Pq4MdpaK1b1kzxcmXNoXnnSmaWW8cdPQQ9 uUyHPjZNczduqqZ+O9rInVbVFGNRpU/lq9C9c/KQmntMH5TanENQpfbWuPyjcH1fmTahGBY8U25Y Ffp3AjnBW+8mzfvanFrgPmkvnO9URXIsEhI7WZLJjOTt1ZgKIwiIJpsO8LkBpXaAnvsZC6scgC3K /px2pfymP65AKJckHxRXndOZaHB6X/P5fzkxFznC4HPG4+wdhetgFYLyawYKrPM5dNHQwsTBDteR zIGN5xW5zVXidhy9woDkr06aBi3AMgtFSWvvSO4LML9wvL3AoN7spQOjANnjjVV8EJAD/FshxU5a x+cjZfdbRObRBEwtSAo+WX86BzXS6giigkHH5YK2nRxEhSKN8sDYy9NQVEnadhwtYD8raAO5kyX0 Myi2jU1IF8SSgX1F0/Syraa9dNTjYnCFuMwGi9gbLg6trP3JoZ1nvTwibLgMPUkaYvhshY6eUPDC eprJ96ESaImOZEd77pk8aw4tPb33nozgn64CwjmPyliCCMGt5NyE6uBkEwFgIbnlp9PV7KX/NGBc EpJa3tpLJzGi1upPP6kOn480E/3MkoMn4KNISnyywnq78HmdmtxZ/YkrjYTIJxsJBC5RmqwYkG6Y WIMJ1S+YUJ1gNwkbu/bsWn6NEsb0V0A59qq3NX/n6CpkK0cVZA4q9M20DLFwQlw+HyQ4V/mWz53k YoI371MVIWC2Wjm+9ehM1awa0wsFJrccO4zgkANfz7uuMpWpbbxFUar+kcp3MpI1uJJQFKVdJQSo IMJ1i6LVXzrCCrHftZgMAnD2o2Ah1Wb+3/1k//yAKHD/JaZ8vnnPp8YQWHuiNZG4mNhF3QSibdcw 72yz/19yE3lbVzE2PWmSpBuRH/thtFALAGL0qevnxBChrjYa/jXsvzIoYr4lkbTtpQP0QpFvABFo g6cu63z15M2STzxXBp//L5LKEBYb6yIac2jvuenU3KQGKBHTJjBM2QAa3HoMOqSM3AN/z0cId4lC w9HrMSfwOKsLPqiwRy2TOvxsOZ9wlK38PPtdV/QPyLHBZ1bI3kieQXuwS2YDUF4W6VCi5gcEm3B+ dyZwicH46M5VVGs3+VJhz1Qd3bc7P+a4VYbkBZnQ5HOZKucwAPYoX7X2fZ7bBFihG08JRBBoUGOW VCCwYK5mrsZEaPLJZvFJBef2wQoyfTbe+VAW5fMR3OPmzoSoU3yrPnswit0h7o9YoTkupm3TaynQ 2J5evKLlnuYqVFJU8YqFNx8tzaHnUiGZLgP7no0FmECegTo/wu0rK7WmvXKA+EgyPhvZB5VE61qT +siQfOXzUej+iy8KvsNXByd0+hySc1usXVXlhk/tatyKKPkJmql/yJrjJ72j7UCorqGoQyRhwhqW RD6hfZscLdjpWAMn21SF4BgZDpYh16oT+rETQf1vFqxPuKIXjXLnb6UyrGE43t+pigL3niVtfuEx VtuTtHm59l48gZk7B26f+dJGDUbUvmBEJ5w5vzlWOs77Bglh6UuxE9rQIMcsKbZiOMnvCe5AQNhG UrFB2DDF6rhKDHiV+Xx0VN0ch6Eo4Pi7f0WiUdxO8XYoaD/LqlyUY39KotuN9XJg+Sm5Hl1+5Y4e XM18IlwAAxExQESuqtAOQvJqjR9YWJ7kYVXsnSMleGaCAEtggKw1l9XerVb5KdTO56PylZdkrH7z g9auT1Vo+ykt+OuOTiJp9h3YraaMs6oanijHvp/G+Gs6q3p7zqpygiuIl5NlC8FDk8lDHIIKJtVS LyKUcPUcGn+yIgP32OWD1lJBBCiVRNTZA+fPb5lzbcB0ZmrdOnto/bmFvJJls/z15lWD/3LbhbKT zVQUscvh+wSW4kY2CUGqeozYDOeKGl1nGYn1NxuTCixsiF9eenu3d47YbPJI7cMH7UAsXu3Ak3ny +UhV8fo1stcDhs4jZ5pj/0+d6zgvBFo3h4EhGukVAkD4r5A9NAC1KgPsee/oAJlzwZ/EmdHCgkQg VQtOFIppQQU4/wiCpQZqV6sr9AA1mTd8HgZUKqRj2sckhRGEy8wP+BfWGNeAelMJLBKfrpBPirJB kw25GoPbZanPWijX3APBoU1XGLQrC05bVHeOnuGcK/JMPqfrnEaAfnC6qNl3gmv8Zujtu+iUmvOB FyjED+QxX2Q9dFZaV1oHqi/rWWMB9xGYgUo0/Ut2Ch0cdHnvbMV2oEsd50vZmsMgVydyuYsrUwhL sxULn0std1spa18ud8WeS5nnE+oMEDyXlH7BBQuP55MPXg7g+WWyvXRQG6UN70ajBocWs0nVsE5q STLriZcmP+Bf4gCYLFSxJ64Yn6wobmezr1FFzZJnxsEsylC1WmZyK1P8HPlgaAva1RVk+8dHmyyo cp3/ScT/Bt5bInpQFoZvKvyYM3BgTkhK3V46YrhxC26jwLsxKHXSqgJ3FEcDZ9DvmrsouERAP3dh FLePYnH7NqTa+ZW7F7Ck9zLUH5w+VxFGpjXlNi/UrXPRF8kWA9Y3x5kX5oPJzOfQ8sBpeck23V76 T31hFbA28UtmPQ/3YoJAExdWCGq/gCIqfqI5uJ9CcuAPaoCiDm02nyz94yS326hcZx3M54iPQ3ft PrsO83MdnlC9d9GJsQurMZWBlsFirAiBKVzqFay97KWDXZjECORJb/7XBF/NvZnjQc2Jz0ewvl+S gudHXeUK5eXQIpStT1T4puNF2S/mwqJGOP9x792Hz1UYu2sTFoIkbbQ0B0x+hFqUXzzvBiMQ1pGp F1cAwClw+HLwlYruoUmoCXzneQcV3esS4wMu9IvPBwHpXVcCX2GBtScnDG1CWcdthNBbZZS9MOrl bV5S6lCgGmC7MNZ9Ee+I1GAbNVmJmgkQsiDT9HxsowbhSaTJkMItWddt05csVF9gFIoTCyuqVlID wbX5YcSNSLTNIaXOPfkBUexwyYFqKsIrpd2oNDQLpeoWmAw31SnpNuobQVlyfU4evwduodl9elcW P5CjZuuERHWW0ovM+EBd1x1QsdrgEwF5qGsMRvedHJiFJi5hHFVqUFAdk0HpGrVLw5qQhsAt9Dst xH/wG/e9n5kKfYq2HNSmQxo6WeWqykhqUJ70zlbKoV2olZGH3HU02kwB87jREUICXTHtXTwcmHOd hHTQusGTwqEYK7ALxaqgfFedTUQcRB6WFRYBjGCnzA8IbsJfKjDghQ2U032uovid2+xcf5dlAq22 W5eZ+66q4Ql0YBnqXiDgB6472oHVz1xVRKKUhctF6FQYQ4DggXUMywsHqqVir/yXFQgVGuBHgBxJ Bzqa6KTTIHviB0TMifk/Z/u5C5+pimL3Sp9p6OB7Al2+CqOPOHpzqmCOXUOFexym/83RpwpOWp2c 4ZPYbhAcxBsAZpLBTR/O7sr7vvKfnEq5FXFQAg11zCYxYsCvQtfQfDuETJAaEqbyNVWhQnqioFcd F9PQlzcIabZk0rrDlapybBwqEaacyzNayIDl02hcBluCcqIg8cMTKoKLbw0hJJcXqtXe+Q+Jz3Oi tTtwrhLMDwgOoNljDq1DZ/NiA6NO2AjD8NKnKiSgdt5C9Zpinpf3UBSprrXpJyp1ugQD71B0nk1m wTLCcTPCshIIqDTqzCeRE+YYGSGlGWh6XCidY1AZ5TihfSjQ92e+utyLIIu9ZMbT0FmT+Hlu/ID3 k33t7xoWAALz4VfmwEEUcbsqM4ZQo1S6USXQa0uOaQBO3tZVTES1RFDaFBwdAHJW04LEKjWb06CA F6oN3NlwQgBk5fGZmf7SkXAVQ9FKytc8x5TUTujnRQwkCIp8/r8Yg2Q4fgCTdCcr1mg0JfCrb63c kJNVjQwOZG1xtEzgJHoW6TQaqgnME8xlBb+G3uxU02sA1yAG4cw8pkmXv+qDc2d75T96XpBnrD5o D6JcbY4FIC4FXqLfcFESTMY5K2g0oqn6vIZW3Shx53BrLsk0ZL4OzQi0pDwdhFINZ+o1sOpX3roV 46OWy0eFehw4g5NUHFTfJZkOyirzOTAtx6XD0Qv6NazqJto80pLw57IyGVWht3rPZyt8XoOq847b llPW7XeOhDXYQuVPE82QaPLNMhggPlZyngQpkGo2oxWstRTMkDF2q62k+qwkOCygEF3Ylz+LfnW1 Txu7e3ux3Z3d8YJiDZ/XcOp8DVfQgIa4D5qiJkUeoGQWnn6bIgFMNUXM86ilhnOdU/QaSeG/8sru pj18+6Y6mwz+3yQqwCl6jaOwiKQUBxTHHT06Px/a4ClO2UEQAdVZTcCQQ/76B6gACznZlfy8RlFd 4kBwWF3JB+6OfRb5YsZ2fpr2eQ2hML8mwWTdQ+CdoXGvGXoNoLCIqPt9jo9HDjW5AlMS543Fz5ot OX4NnzraWobJNsXB+lTV9/mgXLJo3wtuET0pIwTbcyN5Q53YZgi17s9r8NRFaIBspQ3FAHioa1OX C7Wmc3C/xk5dGMmvfXaizDaST9Fr4NRNpLmN+jRp2nLS9wCb1lU7XKTqNWzqT3V4rXJHiwXoWUiu H4z5IOw1ZIhJpOGJnjoq4c032qY23GvU1OV3i9oWwescVMA7WYvYYuy8v8ZM3cAUzxyhz1Obb7TX iIn3hBpZ1l5nz+8mwnIGE0AB/St6zQVzZM7gWd08jRaFF0ClB0V1S14ZCEfZNkAg99yYZw01wgnU H02yVw3maLFWMKtKUrx5uWnOJAmXD+Wiz2uo1M0kVXNEzAZwrgvfq1l6DZVwzJsLrYtT9STshlxo p3caaLAkH5BolryFldodHfByMnngf3kcnWgwL5VhKnhN6HCdr503BSbh4/MaJWG3ZTb5gEzDgCYs ofwnpp9J+LxzW3xegyQcZ+ZAm6UxiDiiTp+j1wgJ/61SsU7uMZfTvpLou25vXsHwSbrDfx/Zpai+ ydF7xyc/aaWJ+3cisJEEvO5sjBaUI+qXVuwkEf41PrIVy0pK8kGIz/NpVRnaibA+r9ER3tJcnLTb cAwDz2dz9FrR7KbN3DMplCb9YkL70BHK10Kc8AGqwQWHtrntMFz20euZCzKOuGfRmLnCVRXqF6j9 Vmm5OYh40U7mtZppMRjMUbjpBr02WBCB7mkS3wMCqMEc9eXriOarkzgEX0evhcwuuCRus7ysqzcE teapXedtGBMKSqmO6NQ2IN5UKYWjraPSZoX6KQXz2P0amiNSnc82yRBkGxeIZy8cbDYvodhg5nIT sg3eosuf1wpmf9wssmpUWdHueUEPIqM4eyQzctQZjYLv9rWU+Kzp43RvrEeBtiEQKgFYNtpiggF9 owMDmA7A5/EqrMygz4lOqsO4qlR72StHO05aChSL3RdZtpGB1asE987064aAu3ES/N1p6mrzFEXb KVmlyaIA2Cd4l7hR+EhnN1lEIhqF4bYZEMoktO3HJBQ+jq0PIvfRfhnyez7/10JJzyJmgjeJ6dz2 eSf6cQvw+M6q+LpwyrkvwQSQquJen3eeXxel+TmaCI1ZN+J+p/l17w/jP3Y2mRYV9fXbVRZUw1ug smCetkzmm4UC7SsUOOcJSLd7yXBnrdmkzozKDcRtfqC7cqOlIcBSME1dkAOQ5G3gNEFyvRvHaPPx IF4ysQkLu6ksQIkctaT/PsLreqZplnuEp2eaWnI8S3CGd/PbyXbP5XvPndhtWbcLPFsI8Umcmf3z hd+lwE/P9TxT1Ru/77pOAfXcWBTnoPTt/KFL6VsB9DUMvUf/yt9okKJauKoPwWqih3G3fJ/T1M24 sKIT7G2DtD2ufGf38VeWNSiV+2y0DG6fkwsuhFTvKgvtKAXoAI5OctyI/LIGy1TNMjyc2C0gZRTW H1m9qApF1ZVk65hQhgvmSQgvX04ZKcFAvdUmKgrAEbNgoqZTtvcwXzkw1urN45r7X75z+7qJFALs anZg9TrJQBsJpTfKnOEWH4rWz5cOuiWcmUW1x05xpkafd2ofD1RiqWEJagOvKMgJJCW7o5TPO7OP GUf7NU+wWlnLc7l3Zh8PFZUBmhfgMiVD1AxuVvBFMte9Ch7F4IZNHNIN1OjH+ABDWwrWJ+XMXQiX E5vDBo8u2vALMgvjLMGEKAhvtb2q5tFfpOq22593Wl9/nAh0ild6rdxE5Z3Vh926KRtEsyVNU+3S WEK7LnnhpGy3A3sn9fG20yluoSbHG4aXE1RW2lxBPq8SqXJSlXninUUX5UkagwQAZtcbv0/TIM61 LK4q6qhnO5NOgM/YOnU+HsSY87t4gqgRfF+fpvdAHDAMbjVKx3h9ado07WoGtFUKbmoURJH4ECdm NUWZq90oc1QoC5rF+skluqnmdTaYOwVTIRZ3Hda73vh9mtRRQR/RB5WYTnqUl0pMLX/euXz96RFo NRFr23v3fOWdyof/NuEu1rVs6M114/da1yUFSFAtpzAaV6ayiqSCONo8rXNyLBSYCDQHLaKr3gJX kQFKZSYcziBj0qCKovEJ0ObZ0yQxcLDTCbE9gYnEX0TheL4FAi6ngkJTvtXKKBYnVfrsrnS7A6I5 slzpwCgCN+0Qf6fwdROBhHyuDimO3sw8Sbh6ox1aw2dyigoEWGG7yIJkXl0zBLmfdwIfj1OeSoPq Nxwsdho7kQ/Tasmfd/5ef/QRrNY0YNtW/Ax/Z+9hcrsqTN3LKPSXtTIKFW0VimfvZL6T93xdEsfT 7ui53UlRgF8lRGUS3UrxalgVoOEkf9B+TyeWLd/Je909HVH6oVYe4njJLyL8kG5Unucn/rzT93AN pLvzmAVn2LaNO1dRBZzpCNJgh2tm4g4MC1xdOJ7nlubqPRxHrUDpHQE/Nlr1cp8MDmIuPDghWCj8 a6WJO5BpE8fKE2hqTb3H48kEgha6Ehho98CjfKaVWBMf51j/vHP3bK5tpiReVqhF4LHmO3kPu0/N Akm5dPU0m+++UoZXnlqxfso7d4+hjXZfkaJLVY1DCPOz204ggN2HSL0XKqyf1cQsp9DAGzx4l92g lss7da/LAu1MF64XGwxLsKr0us6NWj/vzD0ecnf7UQX1/M+FwqhNVBSUr6aFVG7PoLrf7Pm31xWd YuEcQfk7ca+bKDvkVBVzcrRjKmGZjMw1NYEWy+phVpDYF1mKKB5YsVemV++8PcyTMBdrC5C/VUmq E3ApBlQnl2yfd9YeLudd/ueYIg3epikKySunqVEPS9NEuIM8MPf2FK8278+9c/b6g7dgs8ZGO6Yq KnELZV14g5xzl1suUc9FrQpIQOTnOF965SCIUg7MZaVBOS0KKkJo7rk+75Q9C+m/ipm1QG/8Lqf3 kBw9caIG6IJnXgTJq+KzGosIep7Dl9N7SH6Pc+rm++hlcbQ+pqhVE9OmLl3LkGfNzH1Lr9e0YVI9 /p2w16UrjmBcbeJiuUsDOYROcFgj+/PO1+Oh7Gc5ttiUvsJdT2FMbsj74SL7uVxaR1rPtqvQTEaw +c7WY6zM9XRSuH5H79VB9PLsPDJgzr4yp8zW0UZalIbBJvUUrwy9cTBN3HajKemoZNwyxNyolTIy OiHD552s19XI+lpPo7HO6vMUVcebrrzRL1l2FrfoVfVW1fHiFc13qh5uIjXsTEOqm60wg00I65+X F84JdOxUhJ7bQM8T+Lceu1CkgnzjINicLBaAzGaDgQdyM0riznzNYDnd3EV5DgFOd9tFMXkd5F9P L2hCdKj48TSnFzRrci3rd5YeSyu87vaU4zNHb46f4ODkDOT1n3OoryrkXIUdX0fFGC/v2hqE+b5z 9J5Nt+cdNEvQ7mQEBVOczztFr5vlyXOIo0TXb+/3naGHxdSppTGWK7b0ORxBYCYX9JPpzWAo7wS9 B2Wxk/CFHDVLExTlMVSAGuc3394FtcwFGraXvgE4B78mwBAAMT5Y/rSBf9qaOSVVM88l8Hmn5/VH 8dvWErSiB+1kOEshFGVIWf+JCG5Sl9LYHmVmkv+wlt7JefeqG4UqQTbaLM1VQEFgHpyhAGS2fZi6 c9mw+wB/5q78Lhe98R/TdJaq1tR0kf1z4PXM/9Ha5OPBlkvfW+5sfxwDd5qiYJwCuSBMXfn4eZEE 0rvXybSd7vnOy2NDrSn9remOjoGGAjeCHPp/AGq1TIv4bGT6COP68QO8Db1wkN411ehS8UGLCYRI IokRen3eKXndBJVv4w5iOG0/iymKw1XzzfmBP6+qM4pCgVenrKKECfjzOx3PizoJLjjpjraYMhuc +Ag2KEreqgsntuHwW8rYQtivSb2RdzKeN6TOZpssjSfgwQXkPZO/qch8Mu7yeefisari95wWIWS0 p7cQ3pl4+HGKQeqrQ+pphipI/SAqrCi1M1zKOxEPvxMPZRBe1x1tnlDjpcUOuQegcSoWRY3tLATU PnO6Br2AmnzeWXicJrUOWvNBB/jZBaocgPjxeefgIWpZ+ytqQgEJCbXP0nsUjluD5xGVqmw1Tff/ OGlrt1kqLHxwlqLCOPNK8A10hXG80QAcZ80ttCzKjiAaWCjeDgq/UJ3M8ARMVt75d/jvYlI3DPvs ArkAyi2Tsel8zeCeS/NXsjIATveg6Z19h//ilzwRpTWhUPBNw+45iidaP4poDt5zYV3cieil39GC ywFxwDxpQDAHSKKqi2eyOzPkNUqhmLeOcMIJ37l3t0QHbL8PVns6F4XizIWf8z0IN3zIRaecWwS+ t/dwioJwlqhBJuteJEhXwS3RGVhFguXOju+8u6cNzLIJRy6nSVzqXgszvci7OxHSGO4vNyQcVdhn UY1AWOd31h2rZXJ0LJJvw+rg5CCyT12Qucz3jKJLX0+8/teCpSpNnDhRYRTepKuVpwdONTvSua6V PHDCb60FFZbGkyxS0nhG23dn1y6AT6iElBNoa8x+N3UmC9S9gRq6EN5ir/xH4Ql8H4bhSOSI467n ziwiPJ2Yg88HO+9XcRzH1WzJWy3vjLtuJsjwxam+ooTABOCptnXLTsNbLe+EO7x/FipsWOPce2pQ hQNLagw2zNfcJ8CQp+oJBc47V9xvCM+v3xWVDd75dvhtlaiAIWeD7q0BjJ6QvDt/3tl2fE9v3akV fNbSWNlRmGEkLmZiqtnhztLkpDvDzvrHc92CI0C/gXeqXb+8816licvRq07jnHtziXcOslYj45M+ 92izNHa8ly+oQQ2kd65dlw3s2XMoMWBAeMBjae8hplyvbX7eqXZd5OInfMqQn1hreJ3gnWqHe0p8 V3aSeOMhRTIzmUzfI07UYPkMR9Q70w4/lAQ525TvM0evp5z77zyyWZ6DPDjl5cCjxg7MaHaUE/54 3WlRWOudadfdauAswKrBsU8lofnF2z6tzzvPrucrHgyK7Y+uD/hz+kSF4fhk946YSLoynOB02EQt 6jnRlWHwGOGKimrjrZqb8XpGL9AVqGRuBpqLiHpCyCtpY7kCKsJ4/2Z3Xa8cQXqo/peNHUzHbkzU OSeGGInn4v68s+y6uBxfkB7onIzqIdQ7yY6wVe284W5OnbV/Eg2qhAER/k0c8Lzz3jl2vPMUQiXr mafbMz9RU4KBcZHRR4X8Oeu9LDzmAune0qeLlmYmlZ93kh1rZeTlE8ChwaFPYr2dk73UzzvHjqFF /Zon3NRrFY+h3hl2LHdJT2s8hafqGIy88uW4AlYvoHiIFBddc22anWj0UPP80AWBOFW7y7lmq9zB UIWBpvcP3QcsC1ZJ5Z1fxyxYYEM6OS23EikLIP2t9l1rn3d6HVbjkwXLzRjtrVa89PTOr2NIIQ8U 0/uDLMaet6hSblNquKbkO72OCzrfbMRHLz0BH07dcmLEgA2QbxH5vJVuUzckZ5jyeefWYZ4oa057 KBuSVeWmOlKQDf68M+usS/rVDQbjb942yzuxjsn3VunJwAXnRKvDm+aXpQEWoglivPPq+pV4gM7I HS2/w4eeyWDTvJWTYbAqSmVPZC7naNyPwMPUaoqq4p0q3edsSz5ols6xJ5VfsjXfOXW87r4Pp40C Vr5Z8DujDk9RyvVcjnfT7eSbruwLfMIJYmspDMiZ3yH+G3f0WUpnPnBCYdOtAqKGeNXQJWFHGPey A8SavXBQU2FlDhh0H2zPbSD3VXman3c6Hf/e77VEamvfN3iKovGuWSLEw/TrtoXgqbBNao4CySjl LYaMC6ACmLmPdoQjPR1JPsbEZHb9OwjNAW0/O3HeyhNw1/yaICLIKmNSxI6D8Bdt5pLURCgNjwch Zv9Gh4H9Dytqn6UwFC/WsXOv0DyHixQANWsHONSc7QCPSuI2S8M7CI/2RT9bF5fbosrmPhlME+kQ Gj5nlrBVcbldUObWGwc0FlXmrOjrFIdzmiI002IqA48HoILyvZjAKpp5eGrXo0i8s8Fy0qvpkPE+ bxmzVRdDTLRhI9nnPRLH8V241RJzYY2appWo5FFpk3aiG0iDqJmO/i/QAURuexy+7YUj9IVEI9P0 QYupw51aavebj0dA6G9sGIz4xtcshTVxBN3wq+u+mBihaTGxZajCE+XSOEsRSkWHzSCXwUebpSYO +cRiqpsymArZQcVsEtQnK1qVAmqD9KgmXnkknZi0+qBpOoFfk5xDrROPB8HlL+TqeS1g1X3P9TAI 555DPd/TX/WehjyxvUuO7pHO7x7VxK3a21t5RkddAJffC6dp5RM0bLcPkOcebMJrveI8UsjqURAu 48sB0D8GtHlZCx9YtjyCYZCF5wOi5i+2b0agO2GTaTMVUzVVyZzNw/B5oas4bi0MP8HulIlcj8Lw Od3iK9/xMjXO2pwAaQLKw0CctpedoTuUBH4oFuFiF0yAexSGA6F/dlyX5Re1EFgoWLX0pbyudzwe MVrn9yl+FlMHCt3mKeJrDqpgL+K0GTct1n2EXRVUE7th9Gy+AT0Mw5f1ffu4o4Myz4Vwbkt6d4w6 6HjPPjksYDcEojOkHlwZi5ddjwrjOAKoPioOOeowlscmFpWBeNoTzwe33fhOV9Ddn6t44amHUfiY T1luqKrp6wkmxBZfogdn6ykmbbLgRMkkHz1dOafHSeFJsT+L6ZyzSusGWoGwS/uhjLYfUNXeOAgK qsQhuwBiaCFLtiGlLdmyk9VtPP9fNh69s3q5+y4ujSN2quQRUhKrqaOCMsEJvrNJYpH3zTJBj+Nw 9ZiqUJkcrUyAIC43EYBPSFCSqWlS5jczzoRU5CM9OvXKwUkuHE8XjqcB2GaVkQyaNAvN50jHBwRn ef8uZUK4bQDrbDP1HotD/p7FcUIhiORZ0kMudOyhwTq1ZuGdVXmWR7H4liU9pCXuaCcUjg7gLStP KFg6iry56G6SEfIXwGbd3YS6oz2qjldSXBcOcRt41KAEmLbM0FLD4xER+HueoMw2U/OcpUew8a78 t6ZlhafGdjftJ6AmboWnhuBEKypCqNid16aJSU9tCHpWwbEYcmGojZcC/UbZ0MOpGW6cSFbSo5iC awxfFCHoCFHparZ0b7agGk/HSWin8PEIk/kbN97gBVW8QtfDgJy+qTKJpJ/CIiGOanRj+5whNKy2 oKLa+FIToVpwUJ/gAB9/rm4ow0OMbi/DHtROamTLsuhYXsqkAgq+KEiCWewF6MUHZSDQ1m+CZJaJ x4MF1b5bwRnoxIlIzIjlUUg+xb+v5WJX262onNgq37bUMmTBiIrjFhss6WJq9LP8JBVzDAIwUJPq rgqMFtZYbM+AESxaCwv1+J6oLSWx+z18EHQVfFcREVbOeDyIye8BxZejOcDlBI8oJqfFMqZpOcB+ DdN/TOePe0RlqqGhR1waVw8hq/Lb8xWhO3sD0mVgT0LXCfeGuncIYhEaDIQG+yqroWKF74ko+EPS VyxAwRibNYJaiPzEpI2GeQpL4xdaIDHSE2Gcc8fnKUaNqxs8fJ6oEm7yooWQTNF/rlBBVBnPDA0G 1eAxDsIhBooqGx70gMAgd6kLQusKt+CV2bDjyr6RAUR0Br4mAK7K6aVQcXuR/KxZKqPLw+T8Pzz+ r04Lj/Ezy+2p944oIG/k3OXswNWednVsfWFTycx376aLAnI7neaWWRxBhJqlE3NiRhIbLf2c12dB if1DlcN9oijAtj0sQDkIX/MXToWubDYorp5oefGyg57hCKvi1dcS1x1YZPmG4yMKx8lk78ltGeG7 awkeypiGM0TfLluCN6JwfKpTPrPNUk6X+1MAGt4m3H7ylrLkUDwR5E7Wa3A8GCF4knE3oqo4enNz tM6ovIGAYDpX66SQSxTOkvH8fylkQhN7rO1cshGG4/SGww/uZ9Oz5zbRbMYlc8/BEYXjyzx3S8l3 9P4muqbAMEqsCJIENk/gR6DTvuYlcG5/4SANpvY4rdNsUEiwTnAv6bkTWuDxf51MeAxJ8BjbQ6cR gsWHaHY53dVEaKbkQbohezBhfjJFYPGarHUgrCVHO8HPNpqwT9u66M7VJkmnjC4UfMroD3yh4lUv HC0mnNylsVBXID8lx6DVDBnDFHiEgfj8vueQNPV8IXQjKoozygFQwtE8qTQnkmH2LBwg/VyzFAXi 00BPy1jBi3su62QqJ6hBxRjaYFAGk9gqRXyxb36gbOCzhD46vuaPqAlt5MWB1zSnCbfbFEMjdTz/ TybLDz2VYHZ9w4EoDh+iJS6jZQB6sdKzmFxFm2Q5TVMch8sF7nu0zA7rZRfZ7J61NKgehThc3XVw eCt0U33TMbwcYRzeWHRCBgUeL1Ec3HaksXMPrvON+ICgVlAdBs3+HgjJ84IvRhiImxejIcTpxZg8 cGre5YSHcFZNZYSVcRUoc3OI8912Fad4w8QgEIc8z+xSCWEgnhFQllWv+sXWKR4yOFuVHiaFntAv IxT+pNYnRWSaBEsUPB8VVX6d4ieQX+OmdjOsjQPv1ZY8lcyGcf1cUT5XWYVjjYoqM9YtVA/YDODW YwAHj3kI8UwKqg0gQIW8T1A0nmjnrDRv046E4BliVPwUnz4IRTdRuDEx7IrHg1lq34ndgl7oCfJ8 lqI4HMVcsO3bsAR4TZou8pIdNObCT8wFwAR4hrVxneLyYLbxIgrG2biNFl0DH1t119XC5USyLdLJ i/FdeuU/ewhDTLLhlrFs2whRcPIhPP7PA4qnGYop8zYRZhSIA7KfzeBcPHzGLhaI12kHOYAApoYV 0zfVsUxKXDg6OIxCc5kdqRN5FkJzdUKBWw6MeukEhwnLQ12HGVfHGYpXVsc5cKIGlCS2jqpV8Xg0 Ud81OoAa1mp+Ps0oFM9SC+3tcu3owykOWSvLg0wwkjRPYW1caPk+DZ4570kO8srJ5wutYjsk/vOS sj1W0PmW/APjmGsUu/TC0elExjQOBw5TCQtsCSUJfa7siccjwVBPWIoKKsgD6oU8zSgYr0WdqGx0 FgSHw4Lx8+ckT1mgEq95Cqmb1dCYrd3R1tMC6iJnVp7ORsk5ywGgIrDeiAQKbaitEUxrrhmLGbKe kvL0QfWUk+NtgcZLr3g86HD+kr+g7Ey7pfEZl8blN1yvpvHMF+Xbt8dPJVWDXswIoNLlODzKyne0 5VR3h3F9mSyNt0VjZiwn8FkmIEhgPHr8RBPPGcXiCL1QGafyIweVRSoiEea051Px+D8p0z8gG527 7ulwzgigAtwB0pR2ASrVjG7Aap5X1aG5QfOMCuMUsQAebDyjt+5OfLZRrMYsFbhlktx5boyzAAcs H/bDs5ukS88oFodcBYLw3jQYL6WMYZq3547lW0Z53TeDrOCHg8SbzVJI20zMf0u5oo+zObI+1/EI Gmazl5qhoqE1NqdKcxz9aDqhPvRw6YZXAPTNZr5RGBGcU3Q+VQLyD2ZUEi/LzGy2D6rMrROAEVEM NQk8HoHCvuMmGHsMvLjNUgRQkU4B/a4dO5eT11LQRrQDHOI5mqUoFHf9oqyYiKNX5mZtqFrxYFrQ HCKNCpWnAvQDcfVXSYV3PL4nAEFnQlM2C+OZ/tG8nc7R3Ccjp3XmC8//q0ogpwRY8U2vOc2QtdnY tBsu/XiCqOQkYAhL/IgsvfI9wEMlFTWh9lDTjqOTfjbsM4YoZKDID/MIwj4dzF8gtuvztIre+E9l bIrRaTC802I5k4TEjsejAPOXHG2m0JTXw1cUhjPK6fA1sLipoX+tuKnL041H0/TltCKIyhCXfJim A0dvHABguDZpm50FuS23EWiQDOzO1j28NIWCFUJUKOFwkp7mg/L9s2aXzGzOKsXj/5LIZHn17PNZ LypsheXwJq7mdK3VVpdrrba5lx9NIE9plsIwvJumocToOHoY3tpZakVH05mzpZC9DZXmqGAxL9wJ 1S98TQR/VtOgcElBMXLoBF9AUsvopxY8H4Hn5teeAxFp7FvBXKGKuEmJtukn+GhOZSmEprmGip3g K4SoiHkw5euK5JQYLYLnzmI6mXuWdU0ru7oZAryEIUG7Sn1ipvXT8DVBNZxNlQIveBsE5DmRvNLt dvYwHv8vxRT43gDs4rMU0jbNd3ld8aJZvAWVCGC2LbcsVVkhStyIPtb87U/zF4IyLUMgkTETfHgI 0WgL62cA+DxYcyoPXHXF1XC16LKEe1EKYzx44om62BqBPC2ejwoETpWW4SSitnJFnlYoJ075tzV2 Nx7LEr0V9Yl5rTOgwmpR04ppmyapppXC0UNw2CDRhx4YRghZEih9jk9JPtASkPxWg/FMvXJUnWNY 2eUEgQu+ZUt5s9hKEGOpE5/wr9AJwSTaHCtdvvSKwnDKKKCdZlUnON0XqzqNvbyYMgBc11TFCipC ora072hVJzSPi/QIgIRF80/iRZA/OccKBAwhJ2eOgEQF4IsiyQsSyrOsX/P07Bf0ex7qJ13hewYI lbW+5gmx11j7ykFHgTi9UPjmKjr1VorL0LW9tiPDbrqywkBcfPKWhadv+eLpz5meIUfbcY5vSKZv qfizb5rp/lrGteQsWfddqKBiCUtRwkIJDkaWcM4jLexctHg8uu9u2YmBN6AUC6epzVQUjFM2rsOO XJsPhm3jaQCLRAa0+DIqy4rR4gISWMuOo3OjTlafpxn7nKuJDmL0QKKBMAmyYAE5QgUJFr7oL+2i VYV9AiuRcIsOeWk2AAYKdCusjO9f1iJnz69+tYtWiBdPKofPKxtGqqhRWbYr1Re06qUw/q/K+JDs RRmPrRbRI2duTIluL1F+AJAYYG9WIhubtYB10+KLAnuRJaxh6z5w5y1Ig1JE5ZwefM8AUPA/CJVz r+xyEQUrLIzTAbCx+2V84OQtBOnqOG/aesAr1hnXBLl5aWv31kuwrF2wkIQW3Ynyi+SzN821Mu8B wLM8iKIs9AqFxqt0s1VYKdm5USiuLHVdZsXj0YLya0/2Y3jbfEu+O4rIae/aIJDvJZU9PHEZzt7A GZUsPNghfXOL3mqOuK2veXceqpYpieaaAMVgwAX98foD0NjP2lfjSU7nO4rIGwVXx2aFbuA25tzA RZN1fBjU8fGI85O/TnKocs16g4P9HpFfedpVPb0b9UobYqtcNssylfEdCql0M9IYz2izVAaalo32 NGkB1yMNOnTzUO9F7wBG637j0f5gh6hxdssTjykNKoyPs7ZYVKEa+34PyZGu33IBlw4CYOh22USF sPFB261aHfDURURkIXMVnyhZAHOiwphciqsngWt3dELLgDdRFg9/nWnL03y0cHvRmgU/xPUKHnrj qERXlLRUHwS+ABmev00tfePxiLrp5zgJY+xE531dEELu5pQc+3CrCIK2bJ76uMo83FScpxijIj0H 9u1ttHki4jctWknOEyERpU2XqHM+bUoOQHjOz3Fqq+0oLMe/OeEWWX1QBJV3UooHd1o8HulCfyuv AkwJTXefphAzLheETKRce/hRVAmpe/w4dTOZBt2OqZsqO1WrF9RbL0D6i0KBYaFR/rCGOalJIEuB PONFOty3+Jo/tQqmJmu6jXtFX0s1lrPJN56P0Be/NMMAGW/9HuKxpKEYrs0lZ9KyYjgEjJoTpEpx qb4dYlQkZThbe0avZS7IH3f27To2xWDdsyGjgfDiOZbbFcjE5/CF/7JC2kirbdCm64tMGcqqLDwe yTx5UKB6wfo5KaYHTzvCqFBWDzwWV8PKVO3WYqKZsBbTdmGeHQoaLsuARXPl6OH4GKCQV/n8gIUi GV/42EFifpuA0WpK8JreOOIBczVR/lGDgTFhGLtVd+PjQXb38A+Y4mQY3Q5PW3aopZJ12fXkq6mP duepXIsW4GY1TyFKRSLjE6ZnPnqvPJ0fu05ZAkMWKcugLNH+Bxp9iBlcR5S/Dr4niDE5QbnvO2ie zl1KWbbztm3g8ShrKd9nEyBX60rQ7bA0LtBqm880Fd90Vn7iNDF3A6Rgx7G4SfuL48vRCysNQqUo 9LHgC1VSKhidoDyjC7t/KHZsGJU99cJ/OCINSuDYoE0HzW1Gm63Wgccj1s+NxDG/EF3c6VbpdhSJ t2FFp2bTlPsVejJzjaqend90EURlFqOzfI1e8j1R5cnt6IfIO8K0D9Ok3V4B5smRYYXXOr4mCDB7 VySwfRD14AQEVfYsJw/67Kgw/ij1kXtPQ8Z521FCBb1+rVQKGKj9n2TZc3aEShvKhoEN60YjU0Pn PcaU0+Ga446aKDTqzgTA0BAx5gn+BWc5f1gnpgCGf7DHQgOcjj/bXjrgubL92ylEB84ODjaeSyyQ 8uqDVvLmR0ToVd98+DUlGJAeif8U8ziR4VVyNkj/2YAGi/4jUWKxXZuXDHKKxQ2VC6sYp9Fz4YGE b1OtYKNAPBlu1UXwOK3KSy9XeIYyePymCISRaEPTig/qB6NzxGoqqDl8Pshenq6LipuUS7jKDrQD Dx7s9NqiEqTpsDpQbJSusjm4wfXOVggdV2hQhhncjNtTgAlZgantoHHrWWZDqscUQj7bEsK9eV/1 mc3KAb7pD7UQBFPmTHoCtKYcplZmicDqLH5AEE1d6qtslQawZdcDKEUlc+EPIdTkMPvWvEtVSPEi /rDm7i4bKbTflPRx5ma10WF18xzdGU7MnSbTqWlpNcrrVvx/VG4LS/dkjJBiHyAKiTU5KDfXiJ4N +i1sZQJqgOcjav53dwHaMmcbXCOgFEXoZKShnTQdWDeNFQSwQb81Tvjv2MKK6uZDMhhv9FdY/mDr Ufq0zDKzkaYotFkIi1lPSSqT/opv+sOUBH36O2iu0skph0Klkfl8lMzcfhWL7IWWYQ8MMaewdI5Q AzjE8iPmFA4XnlrQ7KnSg8J0OcUMH/WXLgYIFPuOfh0ipM6LEJZzoJzDXVoGmerjmSEp1WiojFFo tcNv+hOLmFgU5qDpQm+FTaxzTOr5wCM4+xFPhcgz+UCr3bmKhVZEhDVqGaZtV6PjgR1mdDzIpvmN GAXsptDeqtWo6r6hQ8FEndOE1U6EEVsou81fo3AxQiveTnjUYvRNkWQWYXZsGGtQFS8l5ObMbGrm 8xEX9hvyAz7gibSu9watJYMWqkWjVQvLvYMRPqB2y4WFoL3fAz4soYvkmY2nkB+eQoU+8gnqdWZl RMnET4EmhNwKoTPk7v3MgnCjXvovHjpKExq823ASs8xaHADyfD4yL/tWRUbStXN+LsMwcleoNb2a Byuo7FX0Mh+CUN7urRjJrhThyLrZKXH06suZqvMICbEnW0M1mptwQGURdlo/+yHsL5lNpdgfiEFp kYtZcfRmWffEKm3w+WAL3puQM0VsyXqszFOsgih3t3xlfOqV8clie8jS9LGhDAvppne8LMpaT9J8 1s8+53tfirLG5u4GAOgkNytvbsR1qXmkDuOLokoVmC9zSuWPeCXuu9EEzUXRavL5qCl6VxXpXpVL 655XoWUnXayxBfctEi+fq0FnR1tWzS0WA89OhA0CJDShOTla4txhs50gGUId6ZE2e6fwqDy3NZEU G8r95n9DY/MceHbqaEaIRegdB2XOZy8LK9JO3MXng6ghfUcNoN+sdF2pc+jaSZcHbAv3DzyL0d1N paSuZVWTL6vAthM4Jgke9bruaFO1cKOz5UR/wrMBhT2rifI1CBtxTpnCHzGKOXbtzIxCN1W0OGim xkwSi2onGOHzQeh+Rbd1eULn/gncY9/OQoWo1q9O8s6CA6MCOl2uhkZ9rDLk0LhzSVdz5q/x4hTx g1NWnuKwjOGxqPB3dlD0zi1wSUOjNHvniEOsw2pODUPHegLfVHjOVAefj+ynvoV9QFqDOdadqqim jnMVK6mUWwWtXizOgiVQ2Sd7FTSH5p3DQXgimFkqS2mfDCP4hUQPcAWo6AvBXyuZ6gtc4scnaDR7 5T+USMGvuYP+YlTf2GM5C7Ty+QiX8KtcjEZW289MxYqIcjF7ZMdavkpRAphhpmqv96QKyZ8K2ucU 0oyjbb9zSNVRwdnGBXJWFKU1UbvCe5IZAvyUFa/66PbOEYIDi6pAUopDEtoXxmSZpzqMMvh85Hr+ S8z9rMO2LmAxBwaeqKVIB2lc7bFt1tXYf91V78+NnHz/hQH7NGk28xRM7VZDIeUNMhoPdQQRskMF G/u8Mwm+UPdyAFWf9s5/EWWL5IA5qOdyNp+S3nY+iM9HfkHfgGq0tOhV5FMV1dcpC9QJ/3PhmuzC NYkRt2h7wMoqbQ5NPFcyA7i67+ghaGsGsaB57mzDpIDZS+60rKYgi2p9KmCFLp6MqzpQlT5YarOg hfRD1b/O56NT/VsLGNiAOcdzqkfROlP8TjdaK8cQhyq70zSKlWNocGhTFZkGWbQO4eA7Wmpz/hxQ ayZ13U+eWScdKlspSS7k2fTv5YPeyraXjm5ANrUUvnJQXNX3bkZJS5XP/8uRmS0esI7mI4GfAzdP 81A/Z+OVLN/raruP3K8S97wulYGd5wVX1yz0C0dbVydzyGcTswu40axTA/pcsVjWJIHA+faig3qz l47cAmTyLf5edv4eAENJtLKT1vH5KLX5xgdBFnzmr3AhxqFLjGxfINXqV0mDNAwBqVLyNDC29FRd oc7V7+jMtAWfJQRQZKbN3Yr2ILbbmSsx0744V9NeOpJxnTJl7j5oYaEe0wRoRAk5dPWs5TsIJVnh RKyPi3Vo7En4ocsksnjV5oWd1Zq9eLXJ7cdshc6eW0FVm9Je4WizdW45uMZXSU1DGLgpu/l/jL1n jiy5kjT6P9dSuKAWb/8LezQzd0bWN+Gn+mIAdg86MqOYFC5MSHMLbo90f7y9rmYvHVQY6BtP7WFK Iy0dPYgG5V10PrDy+b9kE7mycPKVS/DPobundBMTn/ufCEZXHImnexbaujiYMYf2nkO9+CYtLY0O kC3gsxTZC0KQfbF1ePKFsxpZFQPt8uq3sS2YQ3tPmHYDSyXUZ3lQn8VsMlvuk88H2U3xYoxKN2fm V0r3JgzdPZe4Rflq4g9ZwGyCqla2XXhyWkOm58De03xSEF2WZ7QTC6qugOdlX1e7qChKNiHkuH5K 4y5UUbQme+koFt3yV1DUDliMXE0A/GNSBd0kfkAUtv+iiIK7M58SQ+jvSZhcl8YoS6LAfttkdaqy /y8Rxu+6gDkw+Lxw4lrsLizPXTjPnTEn3F17QVhKr4sMh0zkhA37j71iuwup8cFvCgAMRe4dS+4d yzD5J0+fg3VY1PT5/F8sIy7DeU52oIV9rkJvIWR5UBH1aLSR9Uvx0hNQ1wthuJWrwOPzEo+nzRlH xzCcv6OWTG38TnaZRaMLNxDvBYg/+Lqih0COTT4zw1DqoMON/SxXSW0kgjFQYWlr8gMiQL+vq2Jh w0nIbtgQ+nw2wT1ED5GR3pXDLaU8oJiSfa5iVIyQ/NWMF6gdoHXVYXMyEKIAPHQOhyby8ahEWyA8 RHHR9czVmAiNPnNWklObD1aOOVehdIN7bXw+8rf+Veaj/FZ9tmAUuVP0Ac0pp2n3YgZoBRI3jkYr sI+wqQq1FK0cYzS/9dD8Bgxja8etwcwZZT9pmoOzTJId2mwuLLWmvXIA+RDNr5F5xEEFUfZOkmZq 8/kocP+m+QEYxWalz1QUuA8pcGWeUEwHy7poBlZitQHZYtFM/WE0NGrdd3SAI5QkKMkJcSkQtYn/ bwDKQB4fCgkwBlL7JttUhegYUfy6gI4oRLKLCp9EUvB/UBDj839BsPFTkryyynMJhlh1Va7q9HLM CWy2Z86dMAdmzo3mg10fFalJrAggsyBrCd9BagKBE2nkf/S3JhTw0Ly30IqpML8ngH4QRwS7Cx9U jml1KxBFwsDno5PqV/MGP+DuX3FoFLWTrN/VBDAZ+Lz8qFISrSo7G4RdHxWtKmU41WS56/W4Bg4b 2OiU1elCrrgUWzU0mRo/sLA0yaOq2DsHq2rzjCqNpzusFpud6jQtx6qqnc9Hpatvzh+IDesx18uh 8SdDsp6FltWp3i/qKl+33cKqHDdg7PwpR7RZBAnlaEcV6q1jNTYk0J2YKZnFM+oOiFjwNzoklID1 HFp/yulrb7kSb+82Z4DTCIhTjT3w/kTA/ksF4Pzlq1zobA7NP4l0h6n5BYXudGdqjNu6KbfGHrh/ ZqcfjaaEhaPvv5ZWy4MB+/lJ58klZOdR6EWGdYr+zaW4d3vnAMRAj6+syjEHbcDzi/QpnP/cfD4C hn7ff+iIzEfONMcGoH2K2u5MUpbTXex8OOGWiazi9dAB1LSpS8r7js5om+BVoUcHcBS62Wwangtv ChU6fgTAUvO0q80VmoAC6E2JTmbNiMJYbqpniZ78hlDj1jM/IJqs8hWDZrUyV3qmK6SU0nho0O5c e1CmoFR1oUi7+cQ80xVG7NqDxayvOXpBBuw8whTOLLZ21tk2MNGmiRyK9wgNXXZKjfnADBT6B6z0 0UwBFatzt4qIBJGAJHo2QB+BGyiE6fr3gQWEdxk3tgrsQFFMVRmmXCF9yeGJpTzzXVsgxGqyYt1z q8iUfkevMdSxYM7EGkOFYpvARCxdnOuRueB6xKeWv3SEU+MswUcUA0KLpvJVhrTCD3XfJj/gL3kA IrRBbYKRok9WFLMTlAB/BE+c++zdCjK7GR8X/OLtRYbQFtQw2jWpIMPR66LlrM1FBC36QnDiFRGQ hquZqj+o5zshKXV76X8w3Aa7KTaoytBxhLEz2FEXDZxBv8vtmCtot/b1FGRCa9CRywPTpgZVeziT PbloLilzNlcRPKZJa6KN+oyXunXOkxN/UjWXHgRVQYOM5SivD3mZS7bp9tJRRYb+17XK0qIYkBE+ TSVzQ4KrxA+IpALaVzCKKJhu7z5ZoQQ6G87A9Plk9ZYN0jd2KQ7pS8+RFcftJlVtteT2qMWfJbVA PSLuKoPSM1VkgHrgubVg+FIf3yaqUPObgl2YVeJL0weDqUGkVzHE6nw+Ckd/aXSclG6VB6MWWoTO JZnTcZHIwrizOVGGtiaqouOeWGHgLp+dukRO4ngh2zjg9ySy9iyExHz9nFhMAwpYlQX8B8ddqd4e moSiDQLFrrR8UL29JRmxonW7+HwQjv6y4IMCKyDjN8sJbUJRYEMJud2yKGv9JiyYGa9T4fvirkKf UPUHUavqd9SbZ0D4FgJc3IUbcaMouZkUQfgz/xARa12vLEBfYBSaJEQhVXoMSJKFQ4aEIUHJ54ac /IAAWDtuh1D4PzoU3Zg0NAtliRC8m+Uxab2cCYu5iGagCTBj0sAt9Pyfisd7p2fUbM22wH8CjQfp OQrMAsSjZgrnpQqBqGsLRvOdHJiFKk+BVAM95rLaJdTJmbVSW01ghsAtVO7az4GFKHakJ8YK3UIh bMaZui7ixBKqJJMYQQjMsL16FdqFmu4wwoI72kydKApw98rovYMQrxADP8bPCaYHnRs8JRwKsQK7 0EyGCPg3rCATM8bVcVYp9Bs4VxCyzqFhKP0n701IpZx95ShyaBha1aPvd65MyktwBkYNRenzsPQ5 cAy9SjDDVF44WqYzKpbOlHEhEEAOvELUNSikk+bFqKVirxwhH0WAU9+ZMAjJTUFGmLEkOJb8gMiQ 4Bsjg7Md6vZ3qqLQHa+HnNmkAlgU3Z4U1mvrVPLFqMWmoeoPQnz7jpcEh5Q2kSqIDPNszqZsCHVS wED6cG5XRsCjVw76XVN1q9x80NVXEfBaJl35/J9wPjbKENqWp9Ye2Ibiq2mBOds1JUjJ7j4UAlzl BLI0dg3+wzdUyeCW8R5HJ5g09Gsa+YIL7moWixZ4RiyysJGyuMBQrfbOEZyBO3BJ/GxZdxtZGTra +EZYPebQOfS3bwqChb6vy0UOjUOp2WqcRCI/+kweMYCMowUGDRFv4QTOobd+XKTNodHz55PmABxD EsCJo1IzliAUAzNKy2jhXO9Qy3FC89BGbwJI96udiuhIcfv5VnnxrNz4AUEJ+badtWGhJQBXTp+t MHBPRu9qjmcYu1hGmJafXCe8AjFGCysmoqrKZ6qfHD0jXFCRZxOnIPzIS85Fg/klY4nW1uMzM5O9 9D/O9k49YAxQ4PihuwwU1vCVOH/5fAS/+u46ZxSH91zPgRXKNHqW82iBz+qTtbPLNJJlaZMVIWVs SZFQ7aND1c58ZbDhJBkHjSrGYktmcueHBqzGp2r7K0cNLybPVeTmesnNcOJU5rvBWgqsRNGamN/B VQbP+ryi34Ofl+CqnC+VD8PZYp4QwnvBKg05jZEtx0nbpTxeQqvzQUBfmaZe7ne0kx06n2VLDg01 YvDAWW5HsAoEFczDLhuOZtAvgRVfF3U1yKCl5EOyYGE2k9ZbePr/TBLfkbWzH8q3MADN57CkLAzv 3WiGGmsx3fx6ifeoHqzXUa3VjHjFFlMwQ869qUIycPSGYEUrHocBdRnnrEqYKXcwN/k/UN2Q5QUZ Up+XgIpfgzz8nOMUAdeglXSue/lA4xT8vERT/Gur1RUKI096JXfSmjFFL7EUH+oSpkjlKsqvdWVR W/dFVMYwW5CXSEqLSI2INVSH4ejxeYFAAsAc1KUYuxqlEBFcR2sWGlYWdNKN+vMSR/F1BR2CxLQP gjqiLMKcrZ41+XkJojS/Vlk3X3tyhWuzGXoJoWwRMcQc6/aV+3bFnDSadyASFCo5Qy8BFD9oiF8z kzldpCsFMyANh7IUFVFJlRjCY6MlMVgQQKHYZggnzOclfOK34EgGCnRpwA3M9vuJjLsse6Eg93mJ nuxQaTZFHme2cRfRS+ikhwZPorYva2QUR2KPNS5MoTpr5CVw0g9kXJFheNBxu1nn4DkRJrkgMFJJ 0FdgSkyBzBN1wn0HL28bjRYJn5e4SWdRttut+6ASHg44rin23V+iJj1dfBmp3AcUaGVpmB53wSSR 7okMzztZOT+drJby7c9Uw3K8hEycpCl1hSpRJY0Op2roanSQ20o5R/TZTSxIUSknF3S8Gnvk6o8m GawGk7Ro2XBu4uqDSiywOSDtqfZVPi/RktZEHTZJKonOn4WnNEcvoZJOeeJkc7PGFQ6mdRszhSBH piv7GqZEc6RqwWjeyapetINKTgV1mUKo8NqZhFqdX7wDUIy7ECGHbzZ732CzyaqXHb8zoEvEY7qd PUs93h+YBnxegiSdZrPbHOEkIIGpLl9HLxGSTmydRzUln6OabzjJao5VoKqFky/xkU5s+TjVbfzb /WU/C4ewBSlRKJ0Rx0GS5AREJRcQ6EEvvqE3afAv8ZF+Czb50pYOhZPgK0iEhso7EdbnJTqyt/SL X9NZfujCpTl6KWnqKuzsquP1fK/lcnuh2XWEanXYxktB0w4Kc7vWOuLoBU1YmQ9UL3GurnpiAhY0 x8os/lZukCsvyIj281LOtBCMNG6AYThUq4ic8EvwlvMXlM9LMVPhya97DQk0Yk+bo5dKpq3d9gh1 kL42hldRYIzu5xHBYBTqCIMjFXu7FPM42hyh8dITmGWoY54QgBQ4zBFuDOD6Ick2LwpP0iLRob3V nZL1xxrmYjxhkMFiIC7Oz0sJ0y62/jVHFOUBJN5n6Y3l99zaZ5rGLWGW/OiZNEcAlXzb6lGY3VVj Qo57RydEgqvYUFsGyWGd7H2pOM5+C8UaaxpXlGor1X2PtLHhKAVXhAMqRpBD6UJqBpKCe+P4WSSX v0IA+HGNSUsLzlMUayeTqlzLl5OAr1RE70+lqd1SbxhsbwGlptXm5r3fUBQ9qwYiaR03PEjEhAsn nmHMMkFK8B7xpALjG8VPO0CqXWjH22A9BPjLEXt4DsHPG8PP4u3vkwliXFPddAFpoiuOrLWTrXtF jhqkmqeabjhZwVA3RFkwT1tdvEHglY0OKGuguC/mtzMh0mZF/OT/8K1i+QZ9ao+VhpDCwTSZ2Wxl tZcDpwliS0vnd918PIiWRvuapsq+zcg+TVHUXZu0g64RAdNiL1yWGwlIbYloluAI78WcdoRQ5Hjr lmd1w7qJ1xxgLl1I/fNlPxT6LPCGdj3PVPXG77uOLs+jbGYoxWk5pKzozqsFsNcw8B6evbFwzuLS 9FjgjdlnZ6+o7cWJtXk1T06yGA0Speq3bRBN05AzaPkaLX8759MiXIa8WjgGKLoqWO6zEvMD3Jc1 WKZqltHhRFxw7kSzZNwdVUjO89+SsALVNJThgnkS386XE0QJfkYf9xiPom/+edDJu4VwcWaYxcm7 0ExCnVT0Hn6brz3ofDqmODqM7OQrc4HkCTG483Ob/YdsczLOqJ2vejztYT5vrD5t742FVJEJ2sAr 6hzugzcQ6UmfN1Lfk27c9QT13XM8rVsxCSPwuoQiy944Z89KzeB56wEZ1DnNUxSCG/1RJRAbvbWy YcObaBO6zkLb8hlvC326idSNN6s5GGdpJUQxeCN4GnmmD6or4ebj1Xduu/15Y/RpPfXylaeAS4QA zKcpCsJpS3Vi+2vEl5thWLDzq09TBZlZ0xRF4YzdaHC17uilpXIC64R1AFkXoF1ZyWwdyf3isT9J YRD1f3a98fs0Deqb1U0GsnpZOpNWJRn4BxY/nzcynwUv+yt8gknOms9qeo/DcUU1qQXdngqbXZym XXfzngq4d2oURIE4+bZUKN53tOMJ6JKaBcnvUOc1kFmFpninp2/pTzVX6M33QNyFNxoNvzWowHSi qNqU9Lb8eaPx6Q82QKLtOjgddZzRNk9RLA4zWeqh3yqcMCrE9gxzlmlgYHmhMgzGudtGogSMjTZP UKxOrDrRwrjNbopeCEQHfIQz0XAC5E/pT0XBuM8T7ikNOp3GCUNYt6zEX0TReG6/llNBmSl7UPDG 31NQsLK6mXeaqP3o3haO6skQvVexMo7F+et0k2LsHvwhxkSgfLKiobYTugSMMRsivA3/qMzGr2ma FXvj4BDX4bSF3dwGhzkrCRVShuInlvm8cfesHubTJN2ls9M3hNptnqJYnHRXBxOoRFBuGaWaDA6Q MtVamW/UPS1MazQt6d1wdPDTyRPGwpXQ0T6WOTZqBLToOcEsoZr9Hk+sWr5R9yxKpJMqhJIgMHhm uREN3ChQzvjpRLKzfd7Ie7oHcvpOgjN828adqqj+DTFZxAWp+VS5WRFFYj1toR6Npuo9HIfcrOJL s/Zo6v2YTh50p1zvBso3JGSD8IraJXDPQC7dQLPYKwcRVCeIYGf5pmwrQ9ZzW8CY5YdWBOvzxtuz qfZqgRyJWMEot1EQRuQkDcHww4GtOsvVxuzdO3PJSY5vtD0rqphLkYQRODq8fO56zjvgWgsrvjqj zuygmVcWHIVR2nC9DYq4vLH2rGLANgFhrRoUGrTzexMxVuesnzfS3kstHH/iQk3U5ikKyVddT7rS no4KEzwJMirBm5bgvVH2tPvlktINnNIfcEo70eUspJuBN9ugvDhVxCxwoEQaAzaY1XllevXG2NM0 LcM6qZjJFIX9mBPaU5XkbMf2eePr6Wo2odhiqDL0+Wb2O++Nr2c1uk3mmaW8qPVOneAnhCIVjvME kVpbT1FB3Mwdq9k5cbR5SrR2JIewQFJxin0FbH6RJIq0Lu5pvvTKQQwlGWvDHnYPNVfbQ+Shuuf6 vLH1LKL/demd+2p0ylNynt4jchTSGUOtXLyw0hyMcnLWPC3Fk+4O19N7RI4oQ6ZDL4JAJ0aGQBgp oCewa4IyAvYLRCdT39Lr9WwgSObzRtbTFhhZcujLB34NlOUaY6CzRvbnjatnZ3L/2neT4MV511MY kheh7Wv29dSzzxNdhq/ctyEz35h6+pktY1kGHFgPcICMl7Y5T6AYQ3NI/fDOiPj8wNi1nuGVoTcO pokmRRN+GBO/xsn2DRxdmiTfoO7/eSPq2Tn6XdIE4Rb8E5+nqDbeSFbPad15yrdkUMi6UW2cFVKu p/eYHEVrZcLja/SW78lN4TjH1IXXumBOZ2d39DInRBYfmixu388bTc9KI/QLzSIWZ3dKQV1Zi6Sc H+TzxtKzXG19ZXhdYMwbGEQhObzJIbjWb8GAYr86nigzo2O8bVOXeqPoWemBaqFZ9V+NHpKPduKx wnomGpmjqpZHO+COPAaMepfVIMr3jaBnm46nuGRWsqPUoS9DdCcR0ePzxs/T4XTrT4w0O/TK0u2N RxF5kyH98mZUQ0vEgoJejbQHS6HtzfEwIidaB0XjfEfDcYMLBv5Gl8b+WejNEjxIz4HaBkF+Fyub 9sIBgGBRK6kIhVIMhVIWOA88Ws4BVT5v3DzLGzwkILoXAmroUdsshTiUYd6z11V1X1tsy1tk95wN hfnGzPvqIYy6lA5ztFlCZ+RsOB5NBWJsTRzGiWJ4rzyn4M/cxQjK9sb/mqY0BcKcDsI8MVnrrHe1 Nvl4sOXS+FpMhWwSIqH5pVEs3sQgLs1lykrpF6xKeUKeTK1U23JvrDztBUEt9haBmKOf4GeSEcRS Yb/WXJs03yoS3k5ltnz5i6MNvXCU3XXW5pgE1+xJ8Gprb8XkJ/b6vBHyLgrhqzKO5jxQ7TZLURze iZBDM98bUtuIeSCYsf9bZCVjCmVvZLyvRotK+j7aYkrQAdhjqlYwUKtjFT1DwmpmBuP0LVyCqSa9 cVTyldwdIfUFUWyVvxW4ZSQBnUSofN6YeIYKuNgvstLYTtyesLwx8ezXYWGOToGC1Lv/BwB5y8kH afhF90bE0w8lvefCdrGNd9eluTkXHSqKZ67EqdqohheW3XO6Fr1IvT9vLDybJyLkwIm0wU5wCONQ 9PUkxp83Dp7F4eMrbEIQ3GEKabP0Hobr2sDZtBxMn6k8wU1XidITmJ7Cf5ylqDCe5Jy2qvwwOXo4 AIs5+H9w053UZKhEh+7yuWdIQCoPkpfpyhv/ThsAIiyDaEMbhCc4aYw0gAEG+rzR72w1fdcJIBLf x/Ko6Y199xRCeP14nUBGV6R1rputVIBFddGFdfFt0Xevd7SzCUo4YCKywDpgEE7NiFYRlqE4dyIk 3HnO6iSY8I17d9NXkO569UG1p3NHq7ZSF37O9ygcC+OinBiKdjD2u6e/b8w7xU0ziRXlBZVUxgXw TosTkF9U09h6I97ZRCXdXyoXTFk4TTZaoLqDWIrEO7quc6IaKMgSU2ejxUuZSW/8D8QcLGiWaHfV OIowRpSmJmRNPm+0O7vd19eCWgumqv0e42EYLos5ggAUOfWLCm9tXSM+qMloQYWlcTmCjWVO6+tZ UKud0xuiicQV9GV7s2U2RWnbVjYNGgTgRUXx88a5ewpPI48i2s/ZNKr0wmkz07Yc/qqfN86d5b/f UQHYAzBb9omKAnEFTy1PL2ZatxNUzkqjaltQ22DOb4Q7vb+0S4fMijV60fds7Goqy1B43WuIa4Ar PldccADRXbsr6hq88e2sFCmUKhnC+TKET4ZeO5Xo6/mMzxvbzt6zfB/k568dzwn1xrXTl24p2jUz NMYeXD9yZtj0YKYIWUqU4wQIMy6OK+9NUs/naPOU0Xzf6B6xJXVOQaGdQVhEm6Wx4339UQb1j964 dhdBcVYlVKE4pB91DqDtyMQMtdLPG9XOWnff6ynDF2VJ45UTFSJVgEA5s0VZYGz2Sq1YOslQKCqT dD5hbVNxQr0x7e6FTXRhe0abqFLPH1Qb2WOLpSfmLCgDLxrJnE88e9MLT/R+/7wx7Z7IoMOXXEMy 6BMU98nSgev0541npxNifp9QhKq2Gz+90ey0oOZQ4WmaH8NGkUvztJguyo8BU6YFFZXGWzc74znv 6LSVemYAV5xowWUyCQDScNH2eeCEquXJ7rpeOTjKK5X/NE/7mSeYULFzcVZs/byR7F4KKqis99Ec a/jGsbOAghtPAbksLFirA7KhEeQtJ/EFPAnXUxyQW+FJEdR8sjtIiq5W8lDLfJXRi0FVqFsPfdzS p8uV5kEqxhvHrjg4lzJaywdDPo0s2mWbpX7eKHZ6/Hf37sz2kkIU5yksjKsrJQVXFXzdjj6V+iUC vwyr8save7Jw1AhquaNFmgVIiQnr3fNL04ZGbRlRBhpqo3RCURasksobvU5ZsKzpYXxng/I7pEdb wsqtfd7YddZ++AaInUTkHHMAIts8RYXxubjZWLRRZDCrLSIkaddXYDoI+o1cpxdQZLCNtrIf2spE 5WC3TQe1AS/5qjQ4Z6KQ6TN1A3KscH7Nv0gZpUhGq7iMFgJ54egg9/B549XdLPq7LJ5/+AfbLIVA Feo47pVM4YEOSw4tmC0ZtGBJ4QG77t8BubBIPlp2R+33uenpgRtjDJMwxZXF+th+5B2mFlNUFMfN D0FEzVK/s7QGFbKNq/lGqLOj7ZsABZvzmdddS1E0nkU6yLeKSW8Ya0ZBSshhT91wKm9sugcAcnLf zG64Rq/PwXDo5Ltob57FupOhplkmPTfhSRwgWGDwMNzLnzcynR2mKDyhI+GDttxGSCD78Dk/b1y6 8hsdxhDzpBvwP/ZZimLxTm85aAx5DlwMUEeRMc+BoWKokkoL8eIiR59Yu97RYnF4vp1QUBpj58wc 5iZ6Qkpk6tiJ8xae6GKCrwnigcQqpnF9XE/3XJ4AqYgGVRoeDwLM/iseQHkO3TibpTAQZ6u8l+Vi pCVfMdJBZW0rzy1n+UQV8eQUcuqTa7RzKaE8UMsWchVwHsVYUFH+oWkv77YLydx64+CaI2S1KBEu 7nYCPuM238JzIeDxCFGwvuJwKknl4VXMHsXhnUBogNs1Teh5OBkqk7CrshO7geT5vMfhIpbiQFp9 39G23PnHk/ieySbm6QQ6fRpDEcxy6JYB7+VROAmsPSZmGv55+aDFdOI0OUm2tvl4QGJ5bjkKRZ29 P/Ldcz2KwpH+gXs7rgbiMmn3gsDtelK0ZgDfHmFUhqIBooJ91DTtAmjZwIIEQK/WtkSgHhA0aeQ+ JnKiVSegNEiPauKVWV2hd4AGzRO4AeJD1Trx+F+sMSoZne9u9UKeehiEZ6kX5Vt3SuNCeUb3YKCA tsYDvEc1cQMaTsOtzi/caqLDSWFWd277Cb7mj/zjWOhFcIlagZcJRBuLgnDw0yBYU1hWyRSLYtoB 7DUVy8cJxPB8AHqa+2ui4PgOapS3onrI06xsstTZPQzf0z0WuvsVUrDc7ON6FIZPB4X1ekdP6wqk FOFQiYIK9eVI1JhEx1Q0gFt9bL6k/9ujMLzyGE/yOEnucXIisdGYu5+0rnc8HhzjKX8f40D74yS1 eYrImsSUnfCyPYGTWQacg7G7L8yZu2l98h6G4YaANrbmetiaM6H5WxMLT+c1obq91CcnZRyZ4pj5 CmPxtutRXXxZ/5cqkQRlSTxlDET4hIyf98XzwTyN+nWOs7wOiTubpzAM7xQnH7072FC+qVhPjdR8 BpioZdt6CgmbVhjfwl9w9HTl/NYJkcUPC8ob8iBEQgN0uKnTzDKEHVA1642DqEBgwyLLKjRpJGPf cI9SBOikRxvP/7XxWHxBvfWsfY8xe1gapxxPJdWSzryNWk2qE7DVLzUQFBJYJ+gxZFzqkLnPO1qd oE6ZiEPPHc42k3CXcz1k7JpGu7ix9iM8OvXK0cYjFxEeDUTSQT9fSwryn2wKn0yt4AP+IrUQSQ9b tCGRck5VFI6nxIBANmfA8izSgf6HGV+VPvSbwikQYeJpHoXjW0prYLDc8Zae0tlR6IODJCV/bKyp VCh3CEFaIqLc2aTaKwdTJU+FWZYPLBXMlXOZ0plLDY9HpYJf3RaghtOzpCLcOOlKbTFGYOmpwdZZ 5hOFjmcsPXWkY1pSEUbFSHfDyNKDMP3zriypQN6rU5ZgA3rYuU9BCx104kS+kh7FFOCV8EURiI5d qU3bKg4qqZzlSnAktFP4eLSg1velh4LYQJ3bJioujqv2lGQ3ceaM6vn/Y5RbKOqEuhn6b7agouK4 2TQSve2js8kQ7ZyLHNT7jB6O5Geqyikty6BjeS2TAs34oiAPXtJuaNkHte8waWy/wX8Cj/+1oBIn CkD92i+xPIrK6Qh7EnbTJSBTMf24zoUBpdFFcB3pEVXHJ6viI9FNzkY/zc8iqVNtKZiOsIajg2xD bhYzC0aweC2sfuF7glpmNyBP9kHg1Xku0SR7kpzxeBCWj2/waqM1wBVMGVFUDks5TtMlbOzxJTJ6 iQjbiQgjqo0vBVFjK+zk6JlwLSceS9uEkaVQQ8kU4NspTgqfKJdWQ+iG74lInGpKqZSJWiRx9bXA U63KbgLzFNbGH1Am/2NobQDpZRP1b9x4nneiiFBWV2pSj5ET1fI2NPSIiuO0+jpbSujVM7JVP5Dl nQ2xM2FDgKXDWyGbBtuZqIYtV/YNDvCTDHxNgF1tvOuWfKqYB2ma6ibBBFd9x+MRYeOWDPgrnt+m rVvLHFFQTm1LICOemm92MHTpl+2aAZHWtouC8mW6jwIS9i19mUEUHfB/MzOIOjFMS9dyFqrP5wok dtsjA9SEPiPGqnAdTWIwOAiDMSEGLtOzsfH4X6oXfLuzFE9E4bnwiEJysrx7cj0QSs9VwxruZRVf yCAPa3GOKCSfQyHmlAArR6/4FtJS6fkJjl0dQy4vgK5OVOeQ0TgneJJ0N6LKuA6nTj1DCB9Dts6q mSd5IWY8l4zno+XkpzgXe4fy44WujigkH9aRMvDcDcmJLfCEBi1OV6odUUiukso5lVa+o0WaG0zg MorUiqAt0AVdJXIU8qFrXg7npmLBCAHjW9IXo/igoODMETV2ce1NPB6cTeu75kv5NCAobJZCwPis j+Zjl1bIJWrM7ur2J2Dx1RQBxovtNbl+a7Qz/BziDbxZ7bk+TyQucxec+x0tDAjxX7h41QtHXTvM Up0sQJ2hi3+Q12y69pgGjzAWn9/dKKjEdJS9bZaiUJyxS5etoVETsyNXJS6vgGAmX0tRKO7p3RC8 AN66iEyw5wY7NltE1wQguUixaNhBrh+58LXZBZ8y42uiuGmSakcyywlSh8FUIE5KPD6Omo7n/2Kz sGGMQkG9LI0RRuJuFXQDAqpMajH17AFBQZ1K0xRH4hLlLWoOcLQtVxasWvCXoaoC5zLehbg+qdJB 2GpNd9MxwBxhJN5Uf6KU38o0VONeAw6tMSA6Z/nCBwT1gvZdVyGYQ9KFnKkwFG+Uecx739CpXCvG NezWQ8Goqa4ywvK4FlKtat9xdN7P2bXrhDUDoTjwJFA3phtqI9IQgN5VrwDG1ike1sel8LSK1LCA 0WZVvGQYHlPkcueC56PCyi+lkBPqnIPN+wgzLJA3JsGkdcuFcd1++RWiqyC2NRVWZixcqP5vZWyg 0W67VTqqBU2KarmuVkxgFEcyaIYrPfYI5ATPEKbC8ykxNNQgIN1KkpIHibPi8Wg5ta+YYJ2ff+B2 sVmKInG6WgrOY+iLtLpldrughPI//MSsETEFniGH0wq/ZlnJ0Qu/J+qESA/Op8mCvxxjUXAB4BCb GQI4F+e79MpRI4GZXZK0Q3KyKxRzppTSai94PDqgvksFAzTXeVXVZhSI86jofUznBBdKJUrpf2W/ 7Rql5CmHFRI4ZbPRlzHy181YOjogA5U7lX0RpBPNQylAcENpgNovmofKDjMskAtWgJVgA+dp9NUk ZH8Wf8Xjfx3kTvtB8d7nKYrEseRh4nLl51K/njdToseUMbx0uxmWx02/0EQxOXpUsCsmnUrsZ5Lm FDUIYIYG9EX+gQrEtYldeuFolljGhIY7B3OVXyg7VQkWlInHI8HQ3+AwZAH1gp5mFIpXcRDW8n5L l3EEaT8EJrs1nk9TyN4UD3hMoek42nIaFf3SgmJmnhATldsnilwVMrln7dKC2nrBw9442HWpqDLX fVBgeS7VxD+zlV7xeNDkbN/zRN2ZVhyUOaNIHFkUwoLhDkqJAFArp+xpu65mF3aYEUbFwgIScn10 zBNE93thIXNs6FsPOSaAQjChQICCgUdPdPCcUSRe2QNOYgRzUFmkqskFxNMYnxlF4ukXxhdQ4ZWf synCqBDBDHH97K2WnrzVsmm9q5BgLtPJnlFlfGWnJK472p7LZ17SmGq1oApfhEYkdRrGpz/7YdpR YQdfE53g7ZdIyFQkXk5WXZvWx+BbRlndbXHyuC5g1Dx7LgrFmdKfmTEOOWLM4WldHqnehKX7ngsl DYtJPtuV156i00yAziMxAWQcuUWVNg+CWPYXQTC77YOtF47YUVxFS+yodSXqF6TadEptPh7hwr6J GpD+HLCLslmKQCrTtTGLH+CUyjX4XL9Fgr1NGXtGkfiwxbTTvONdTED+yTNwgqUryGY76eQAAoLA +qulMgisn1EgDgkipEAkIFT6/vJ2ghSJ2uer5orn/wvkCc1yilDZPP2TuAlTYeOVI2r2eRruRFKB YTbrnxlrqQh30eYzGv7iXNaw4yK8t6N1Ppors/cfNRvAfvR5WvbGwa4jJIyKKzYYzBClCNlW747H o/hy/UpXsJyv8MWKovA0pY3dPF05szQsbjL8hWop1Sq9K4KpDNt00xyGZ7m08rXhMjJokISSR6mq KCQqdiG1hsS0KYRIpWCFMBUSWyuEkG0QmOf8CLOJklgrHg+Ym1drlbkK+I00HNEshfXwJsxq9XAA xGafpTLv0QQ8iWYpRKl0NaDcW3E9irQnOTwnHmT2OkE9RLKjCYym3nlLlCLXhTyB8YCvicrhjMGL 7HJBHhk6ws8JsyXcf+J/PB/sufJdTMGZM/bFFKwoCDdNWkfwIPdt1/SOIh2monKnKUapSDapmt2P 7ImaAHRlJjdkoRaNFlOFCBYU6X9WqU/QtH4aviYqzJGyKR3xenXE59yrEYsDuR88/l9CcMBqO7TF bZZC5ibdvDODD6sQrBs09Xa3XHP5ohUCxWVyR91THz1o2jjCi5RB0gKZwix+ClL0s54HS07lgayu uBiuhE4wFUTUUvNAArpIMO8DsrQhQOXixHGkUVIQPTKfp1BOfC41NQW3QMO8OpNlsSJIQD1M3hU2 rZi4WZTKsQik0WNwNOAGAG7QTIREGetSrZq+H96B7iKO5Jl65aA4JzsE+rFg0Z7Fpz4J1HCpPQxB ljrxCVHs9B0UAN+70ryneBSHk7GAdN2y37NsudsWxUFc9GlPVIw0VaGIiiritSgO5+gcDTiuFNFu AULMUrAF3gJKx8CmFRSPzBOQhFt80b/QPP9Hrw/2onKcOfkK3zMAqSyfJ7IBFw6o22FZUSROQzqw 7Qwcdg6s5UJ0vex5l9QwtPgKI3HxCbr5kPXHhwydnjYIRelYWynJuBM9QEpkojiH1zfgRdZ9F4qo WMYylLGw5UNyVKV/JfLf1PB4pAl9wTw/AoetflH1K2RvyquGzVqRyNoFPaWVppHIskyQME8hXrxr 6yEg9tGrKRNETTDkATdsEKjlPBWyODPl+yCK6wAV5Ff4oqh7QIBKEkAF9UMJOULbacg0slQ8Hhzl +xuWCX+Q1de98EL2ZjcZhwvLbI+D8Li21LVU62uusCwul5rWVPTl6ECe1jqUUtknL+1EzcI87VTF 3iQUrVkD+GzYplcODvO8dIrfIameUneXjO/5UfB4pFX/PU90Gd3lEhBWWBXfZG/OO1EpGyOq7FGv vlplOMqJimXGrW+gs9wUcgRQgUlNwR/IM2TC5Y8bj8S+zBIhpCg9hKIs9IrC8Sy9Y+SSNqiMeQ7C UQ2DUfH4XwvKJftyyvfS21E8DgADRLPH7do9QN+R9+UDF+cD75C+KTkspPF3tImqkMyGnyTdx+DC KeHVgSMQGn0/ONLdB5dV8R3F47jg0GahA+eiHx7Pp7NoczGzVj4esX6+607QrZsPHHq/x+Pw4NL5 VD25G224XN/oxiyDkkoy1PgOlVTsvhMwVaPdd9DB6gCmYDnRkoxhBKgIAApOlEWAh/D7ju4HO4SN i2tnImvJOMcn5pzC1kiNfb8H5PZrPt1NxHUAMdk8hbBxdL4R0ziiwJbQYPY9XXGm8SbnPMUBuULM vJ7RcTwbdpKDUiqL8F82o9qCgCFg8VDs+7IKHnrjYJoUa/Y9fRDw4rxkn5L96BuPR+h6b0Wx+YoV /gAvdhSRU6qyi6bMmkonTtarvQ88xV03dwxPUWFOjQGNHhZsZPLAzAF4AXNzaYbBc/WH4Bvqzvkp Tmm1HYXk2+BO7Q6KngrLovxCrKYInwK+/XevvMGe8e66EDJep4wPrsp4Tde6hjZVklYbjuLZMWRc uJRc6h29FzWgZ8QqD3AXiDx44J+zE8JoZyW3eh0Q6IKNr4kSF07PbgTzbMizLpWQzuJkYD7OT4Hn I1DYt5UWHKBnuwSpHSoaUvoip+n6RWjI+jS14jZIBe0hTVNI3FTvAPyVO9o0nZ/3xAGFYuw4YXfR cY8KAuoEeNWrj7m6vXDUKVdiN5YP2nM8/AgBP3EjHo90+sZXiInrd7crrLYjeApZsWgd3MU0ridw 2Y83C+IEzVKoZ2h06dme0euYE25ymAXUMQEREzAKYTkE5rfpF62m5K7pjaNyLxVURE3kYFHAKFq/ J0Pi439ldqrPAes07mKKdVSkXlScbZfmuNPU81X2724XtUN8iiLMnXXjcbQu+UlQSoFalfyAx3bH UnAIeDJAA8NlROnzjO8J3OtYc6Idug2appNq0TwN983A40Fil74F6IBdHuuyfnYUiIM7g7mpvufS yvMeTfvuOUmrdX5SVO0VmyVrNa38tZqAEy9grhJgeA5xraYMAlbHIUyhY0On7KkXjsxZSGYpjMY5 aM8VeDsLYFgHHo8s7H6JzTSUCm59bkdReBP6eZdLcJ3LldWytHs1S8U0aXeETUEjnxwWKaxx9AMc vA8CcTuUvfZu3Wz+zhfQzhplCrMsZ5yLrwmCS0qqEehig1gHJ+gs0p8rc+DxKGi6NGB2fzNi+Xk1 RIUHeo+bBBPfXicA8NOxKdIoWJyoaloOOYVhuP311m3hqJmCnNMAZ4yA+rNRhmTI6yCjqtDrD2zD Opfsfra9dGDUNuipMhiQY039GLsVMP6cZCp6lgk/IkLVf7daJCacHn3/FCobErlaaf74P+5ETgi5 PwT0ZTGnsc5tvmLbn6IEeDyjQzJhFZlQ2obAKSxWk/Bh7NbTp7z0clVnKNTJb4oIipu6q+Qpckg2 W01QK2QAfD6SytzfsWaRWML1jEjvQTkgCVMQjOKLa89mxRWywcVQzH25G0IKQeOmQ1Bru6NNFrIv mCuQ/tPbCf1JfTmLFrdeRtml5H2VZ6hEyW/6l1RIKeS1FCwu4lWQv5TE/OUEyosfEMRSV82IdXV0 zfcVC2GAFD/WuwjTBNiLc0fZpzwd6wvwhFkipNh4cxscvN/RJqutE9Q2WIfSBmEmaZPXTqhBbUSr YCFYqidThBR7ABFziA1rgx1bjU7Via55fP6/EPMRDE3QS3yuovgcOQO1MO6plWq1gvmmKJGor2jE 2cKKKuYmJtZ7Xnf0XZgrQKwU/AfrpRWyEc5iQxGw4I4/U3vLUZncV3xTZEjC7TehCqlBc3XWae9S Yh2ZzwepTPWMT80FaTRe4bWcwpo5KpLtvGD+EWeqozqKMwvETholZcINaHlLR7c/iuaztmf0mlQ5 Fw57Z2cbnuShSbSmVYA9YD7dTYmGshglpW0vHaTH5lVWsw+aLfjOdGHrhp4PzMqu/Z0ymgoQS7tz FaqsbArQtHY1oNhxIBHvpGTdiHgnbevCahJmFvfMErSgyx294LJGTWfrbc0VWFTin8MFCDDXBrno 5uc70dn8pijEqjIK3D44DvFkTlK8qJnPRy2G71YxmIAn0CrPNgzFVogdoxQDFxY0L7cHD2UsW1jm McVtGMXsQ92FmkycNhXfhtggMAJarE4tCtiyOgUDONR8II6Vb6YMhTB76SC5oaviksbRIkX0R2Xh k0eyxXce4vNRK/Q7u4GjyM5X1F56nGGVFDnNJQbl68eVXTgac+W5ck6R5oqxFGie6aOFpBVNy3M7 Et96cpJRhEdcgxaJ5xbcD1l/yWcq/dsaKFelgdXTQIDXCb85MWkbfD7Ygr90jtgbTevxME9R8L6o lJGXOyueZTVdPbrQzU4Jc1vTpyqqoQ9BXNtQ0ZOj5zhgWyNXZvNq0XODVSp64WXDuV5SXvV3jpSR CUqUCfl2s6aT2YwiCQggIPl8JO13scDi92NptRs1hG6dvEDB7bympq3f+vBsLtOaeQjLhTKEtQjR s4vExjk6zxNOipCLZKajM0ulqrOiBgl9m66mcr6ho3kO7DpNBfGsTBI9S7pEz4yqvPLmMfn8f0kJ gb5Z6WuqotC9MXTP+zGiRCfNMufcvA5T9jU2DRw70YWWOVfN5Y42VefigxIio9ETSK22rI2zqKGB +2g92u1EJ+bYsJMOJSyR2qCZGuDWcKZWqXw+CNyLwzZY3JrQuK93A8aWnXITJjvZ5KGqy0NVXtyS SKYjGy/B0LNzFZOCsGLDwzs7f0PdaWT6UvdNRWYpH6Fi0Jk7QJXKp6o0e+d/caoyWZ8YrHsMufnE 1h9KYXw+yqB/VWPA/Z7X+yYHtp1o7q8H4qI6X7+LamTX3C5rFl9UYdTOhsPJ7WQIwNHQdxuKGQsR H2V9TvgonXeQrOACfO6gpwY6RrNX/tf2q2PdQei782umZTzr+smBcWeS/NADoM6gLuxnpkI1xKWZ IpyTM7X5j5wpWo1ypk5CfU+qkPSp1vGJ0J/xLio6SLMmAxtSmBBwUaEmgwL5D827rXTVR7d3jgxL BA+uggeXbV2EibtnclGNzeejHvIvwfuzSNq6glo58O5MJjCSIefpvgDuLAEr+PzjUlGM3bs+6p8i SNCsu6OdVAnymnsVHuoNWrbdpwpYxcpLeF7kVJ/2zv9QIj1zRKQwB6EVz1oaxiNehc8H/axf1VD0 s+rjqZQD+04YL8hpoleHmKVVHL8xjVSM+68b+DWH/p0rJ0PX1Tt6CApIE1rutD6HqXQT0AUlmt3p Vk3fXJX6VL4KDTxnVxeiLx+U2pS6aCYCyb/O5/+0B+B/DPupW1/PgYUnjJi/ws4pLKxDp+TRwqSZ nV6bqsgwyN0Ex8x3tDQwrQW/sSwjHKh3EcPRKrFTiYbDlL6XA3or21464l3Xty4prGCbTF7Occbn /4qreF0SUvuU+QIfTznwIJZiymyi7o5cnOkRdUerweYqBJ8nCz01V+2ZK3S1Cn469W5PFijo4lkG ONiKdEZKubig3uylI58AIczy9sHI16tXCWCcrI7PR7ru89cVeD4G6FifqxB/3pq2YDYIFTVKbF1l 6o8QQtWG8UBzbOY5rAiz9h1tXQF3ts4hQQwVjA6LifxwXZUsRtoX12raS0cSroysGsOF0pqTiOBL tXRcoX4c+nnW8t0KzCQv5ge/mENLz94J0Wd1TGTQbka64Beb7i1U25LnzKGn55IE4hBaQ6PNVoab Rjn/Y4Hh/OQsLKRzsAw73H4ofHwbXc1eOiowEJOXhWTMZKYn9U8yZXPAA+Dzf0km8spDnaKmZ67i YruI/cxdVGxHbMRiTCLTThS+PrzYHvp6jmEoRmH3OTowtmwaJBLVgUqMuEetoRR7rnqS4uqVbmNP MIe+nopDAYTzwdCeoDuK29Annw8qouV7E9ZzVmHV36l6D9mLKqJnqtI2CPHorDRsHljdN2FDDqcD K/D1vFIRPZkLY/pyYUTHIMuvg12dJRuKBCheLqzzNG5CVURrspcOml5VPJAl7RHUGbj1wDNl7/UH dp/8gChon79C0ZPnzKfAEDh7op+hEyt5QRSnpU3WoGzl/xLLx6n6ugqB6XeS9h0vy6G3STIAQHrQ BqY5+gmDwMxo2H5lUMF8Sx1p20sH2AV5MQI6ysESnHYeXfxSYLf4fEQuum0JTvIJTSFEfycrdBUi sqrt65fTBEaDbmnJzU2qqMiuuD3w93xQHlI50OiYoZNsFXBByC9CdU6Ba4Zu6CD8H4ZavrDoHpBj g0+6WddJW8YKck+XwgbiD37pOAE8P+AvBcViYQNkVe9cRZX2JkvGuR/fM9eX/MYNFUp3aq5iwRaB GlOfd3RQ487wP5VgyznGkRRgF85NcDiiNvBgXMtcbYnQ4zPzpKroIdpg1ZhVqfD/03ptfD4i0PwC xVB360mcQ5fPxsIVslpHorl+y0mv1mM3+BSuAptPpEtmflae0XKckx+Xfk4qABnauUdWT4rCGsoO INeh0u+CUmvaK0d4DyqZo3Vsg+qhuF+5vs5MbT7/X4yYUB8f46myhz6fQzzIuj0bhDOCL6rSLjBm P4sqdhgS1KOo2bXKbXad+wk2brjNoY8/oLKiRQWq0wYohm5e1r3JNlUhNEbqZEsHPIpA7KECFTDZ Zujnn/l8EDAsnyqsHyzh/iiY5tDqswuQJtMq1bCsBAr1dxaRi6w+uyXOgdenzTqLCeuODgMdY+7N gx31pi6higT5G5DJz2LDmWmhFWFw/J6gGmMsv7p9UDWmQUlYejfozQdmnwjNbt6MqcpIfzc45j5X UdhOsW2Iqtx6TC3DlpUUWAyWhinSXIVhu0R+AEW6o3cFoVPV0RZCc36e5URrlBNcgVIOEZeCCg1K kzyr/J2DZcWSMaHLHJqWFTAyFBA7y6p2Ph+Vrr7rMRAux6XjUxWafnKOKc/ulWPV+zhVabmUBMUz tQNj10+rxyTTy0+PXj5KoCdK6FVF9rXsXKvQV4JaE3hNFw9KrHoObT8znRopNGiDTvVyluUQ+gM1 9sD3ExF7+bUB05mpfVvNofEnrS26iW6pHLq8HFpVxFKNvfipHjh/5v9vMWPpq0rFhaNvwHXuUohG U07ixLRdrdYs1WxMPvo3l9ve7Z0jKhthfIMXoG5ppb8QqOWs7bn5/J924PyPzxnwCJnm2PxTRb5U vMSQd7tKgaKQdsYKt8QQun/asV6n/Js5OjimpHNcYWeff5pACgnDsCrqYAUlfsGv1Dzt1V/6Xz4M dHNiOaZJRJLUo0aHgDNkfkCk8Ha1znlcCcz7uMzn2AZ0EUxEkRrFVmV7V3BR+FKdLhQibb7+CNoB hrmjd+ZPWF4GCa4nfZmbqrhU5kqkIKGMiva8602pMx84gUIkl6lNl9M8vRdFQgJ4jUUH+LPyA4I6 w5U8lwQMUBH1SuLl2At0avdVdyVEyPjjBOXuam8IuX22Ygi7ijJN9JreHnrN+fkgJcygYZ/Lq0uI smVm7hklGijtX9kp3Av8pqgwygJW32bM0OTEeD72fE81xbfJD/gvygC8U1H48MmKwnZC+EB0r548 87ZHTQYKY8uSZwrmKR8MPUGNPYK+3B0vF6nu3iDgiK14fvZZRdoCjAnSrWd9A3LrbKTU7aWDu5Dy eAXpqg0qNIwT4rKNc/Zj5fN/FdzxhqDK94dXmkNfUNQjqZLrkpRELHjBvbkObK4uhJMDY1Ao8Wyr W+U7OmPyHPFnQeWlhXUO/6z6lbGXkEnXb6pNt5f+Z3MiUealEONjxvMwwGM+mLiwQkh7+rZoQCA8 EJT6ZIUld7K3+jDbL5wDy9UUphxkiOmD5IHtwtiOyDt+5Y5elQE9LItvsxBRNHYyziGDLkVFjl7r swvXXvbSwS5M3IWpFh8MeQWYCvvIJzDl81Hu/K2ogFxllf6cWGHJnU1nKC3f9kR2sKiZyyzKvbjW aY4NQm2uhkIIjl6UadB/gT2TkLXJ9F6o8ghka4WKz3X+2Cq5hw6h8rgCrcwHldxpOMpq316LzwcB 6a+UMMOefQPe5JMV4tundATn8sqoZAK2tBcJ/Wd/ot1dGAfvgvbnvu6oyYJs0IBsPC9Dus4xwDjp EyYL5swoMniLvmRB+gKXUAQPwmvTfnaA2VcViU7QSgbLfXvyA4Lg4VZl1PmhP9FNoEOjUILMkd54 jz4Bm+9kAIKT2aMnlI9BaeAUavIoaWQR/zRajx5Glx0pDIz4oJI+hcIdwAE2LA24RLgpGJ13cmAU ikSHfYleZKFWvCWzTlRclLsAzRA4hab/p5XTaUWfnpkKPYq2JJeG54SdNgsqyvRrtQ6kp89UKIcu D6dUSrmjFWVWg25epszZCRTKNkm08zHnz034FTIIfcoJh0KswCpU74w5Iom5kI4o9aQE/BalX6Fg nUOzUJqdPxV3sAMpLW5zFcXv8IkASq3d/Dn1W5apxUEyQisyfw7sQtEiNCbOqnd0QB+Ajw3MaIqd gTuhJn0DuaPAsSTNC1K7r/wv5Ad7cmTmLvOVQRlgDBnIlcUPiFgT31oUONpRZL1TFYXulFMmoeGW ReflLO1r8FhWvcsqFoJZQlxpA94GC2mnMF6HqCUqZNDft3QIpVSKW/bh1K5MaSB+zz8gtbDdHj4o f664O7ql0nw+CBhuZ4JASRSGyn42YCyMXhiJ9uJwBjHfyJiQRx+jK+CZdQmGnqEjiSkxLdmZt40D vONZTF3wY4iadAJqTvwF0Uiuxr0fZaFa7Z2jqJ3VmMzqaM0GhZM7fRVCoVU+Hy2qb/VhXJsd165P VUg+XYQ7pix7R/xj2R60e4sexJBqSjA5sA1FAdlsesUFaPnRHz7hU8EnI/VK6HErwKeaOIJ2mMxR NcdQMspwQufQRnLJmWl1B8+ek1/ogBAdOX/nExo/4P1gX1dKr4i4BPBjuk36wD0UNAfiswkHp8fq ZqVfUkx5FssHOXG2sP5gofamogtHzwdhwziQ84CH1Rt1ViEksFHKQrkacJXHYWYme+noaFfbuWuA 0vIPdYhnHUUdPxQbQgvR8ksoHUq5P5Se9MmKKu5ToD4uA+3CuT15zq1mT56b2xPkwEXUVinBtOuO DlU7tyCAop3E5oT6qISrmAbD7hgaTD5VO9sr/6vjldAlskGb8NwgAqdCHJjPR70JB/URzzDKQNHP j/bPa2iVkSsIc2xaMOcf6zaczLlf+j2vstutvgZWGXICMumb7Rm9M4GmNV2bUGs4gUMyVdTCePKs kc3ASlQ4+kC/hlUZcp+D8mez+5C8fiz2A0jUn9egKqOmojkSYwDrYLE7mXg+RjO01JhvxWoLm+af itRZ02KvOcPpRGspmKFuSqj5a3zKMAVyzehycVVtQrkbPcUnFIhpp2NOF3TV/LyGU+drRpfpoyzo mgPZqbZA7OBJJfH02xTBMvJOEU8iwuHJjsAcvYZS5ylAFVBISLemUC1NRihllQaU4JLtt9dAKnvT 9Jyve9zRwnP0z0rJUls45/ouRruEe2YvxPEljzkpNPp5DaMysEOcmz3vIKhjRr4lLabdPq8xFCZ4 p69VVDskY6GhyRl6jaCytKFJ9a4eQc3kGp9pNe+WJsiocYZe46f8tLXMcX09jusnIEBM2IhcnyfV gF4LQ0348QxopuI7fYbw+35eo6cMtiZjgS2kMSwnGKbBaKIuBrd9nZP7NXjyv/bZaOcIbUOV/fOd r6HTeQiIL1RY075TdInxM03vZ2XGRXTrjTaaFBkbubY23mgApkQokMB07pyuOt7rgFPUycYABEW6 ZzttUxjuNW7CYZQp4AUkkg0q4KF/vW7j/TVqyo+7an1AoLgqNEevMRO2pzLhlh2fkCk3qUBcDHnh E5qJU71GTDn9f44/NyoSR4dT1QqzQgBAkQada47k6rqIuilIWRp75OqPJnmrBnME0sSZHHTAbDBI Iw7AbbXhz2uwlM0h9Zmjs0BOhuHr6DVSyhKcQV5XXJw5qw4lB/HsEjkNUYkMQKI5Ep9mFdtyJV9N kzNF5+iXz9WCT/YmPO1EZw3dW4r0zJsBs2P+eQ2SsNfIkCxdKhQkaJJvdG63XAi/6L32z2uMlB+I utSsoJZvMrGYo9cACQd2VTTZXLsL0bcd2GncACmT2ULJ4fDAFpBY5DCNXi4HAH4hJUR2ehZSYTu0 sj6WS4fBGfIyj7zJgX8Nj7IkQQCIXN0HwT0bKuf8whNgfV6DI75l/lpH0DmgmbDm6LWeib2mdTTm 3WstLW+F5ul7rckwFEJwwZFt7ii9yoaAo3fX4YyHAgohZmjwDSmYkBpZ2d5FjGEAYqJUPq+1TMzR pr4Za04YspEWwL5NirahfRrMUTcOaRUMaBKFkGyOXsuYWLsm0+GFgZM7DJfpICfWBYRcLO+1iIlv H3Yeme7po5XXFnwZoAbMdQTFiak5YuETKwBibPPC8Ghw9VrCxG9BGd1cpLNE68gf8t1LaWy6QoLs 81q/5L3mc5RFtJ2CxN8YMgqzu+py3kAn0fYWUOpwXBk1NIwRGU2USkxjj3lHO5TwIicMEMkBWVwS uFNMc3pv1zSuItVWqvseaqMCKUETluU4qNaLbI66S1SBe+f4YctN33JsuIDeMLdHSe8UP/w8UvPk Otd6qu5DlIag6ARqZNftemf45evMu0zUhONTZ0Kj4FzzHaoutZEhnlphwZdZJkgJ3iJm7ejzTvHD FpDwy5I76HJ30JM7S1L6hD57fd4Zfji+TfVUR9NCXWGx0CvUUXTHdabD+TJsi1gzvOPmnadGX2JB yoJ5cotnE+Xi6POEYmxtFByekMFMspslPk/+4fC+8FhpCCscTFNvVo9LPnCaWgErS92AzceDaKl/ T1Nd6kD4NEVRNzQIyIPMfoTP/ZD7mosv1vqgWYIzvEtFqFv1lqNPU2oL0J8q8cUMoX4W46Axs+DB Vyq5RZLy9Dd+33WdFZMmvAEHZW8DPRuhFYF7DQPv+X2I0xtlPxnue+SNw1fl3e7TVDKnidT2PesT VTq1/T30tkQwwUSu3NHytw4Z4AYdCBoQLDpIkE+DJIPFeOK+rL8y7Y2jw4l9lco0paBAIp3Tkihc 8EPJNJThgnkSg4XLieUSSH+M57p7J/ZlI39062bKk6h5bJnn8n0HWXYrBrwH4NlZRVuYco2OeW11 IstK9LiC6SiZV+dYxBnDCAEtPTvGSRP6vNP6sL+JxWiTzhYceEfNsyfoE0SC0ued1Zcf+UXtu4y5 nWvdFRXG4FWs9umsvsxNrl4wYbrG6nPrj3dSH89xYYJHH3f0IHyjgznIf1zkKWpzJsCeYRhKG243 L84SS4ii8Kb2E1rHNhhT++w31hkBvPq8U/osYf4uCiAzvJnKO6MP2RE9eXu7DnywCfiRyDcl91wy qFiq8k7oe667if3loxOv4I7SodxKK7BzwjDno7fFzyIFfJLFIO7/7Hrj92kaLJ10eTr2RHIeD6WN MxBnTup8PAgy7/lE0hEgpPBEsGl6j8Sxmjbrbi15OVeJC12JmjVV6t79QlaiUHxQGmHQQM5H23Vn PZ0ABaV4gILB1Vba1/DtnWa+EN663updb/w+TRTsgpNI90EVJtiSE+cKhMHnnciH4Gn/2nW4/ABC 8XmKovHeRBD1jsrZdesS+Wp1tAp3sZZTHI6Lyj7ZWNHodTjstIrQhnKeZ07E+YO5/Ynz8C9EwwmS P6U/FYXjMDk/E9SJoeag02msJNXKSvhFFI+73079UeiOOlP2Gso7gw9bPUvJ5TFSHUaeBcBoXwQU /jtVK8Ng3AzlhNfR6BDXBFhmE1isoE1K9B2g5+1nF+aWyZOWTFfGzzt9jyUN6kdAJM8GBU8nelpF teySP+/svWxGpneaIPC9Z/e77p28l02xDYUBd0zLz11X5vY6SinbWgPv3D2uy2kwnnFHh7eeJBPZ IYIncECbxJbqIkKhJsoCAQJnpxOrlu/cPW8PdDp2wOSv4dTm2X1OjKppyzPN9nln7/G+86pcYjWl /oCq6lMV1b+pZ4DsuvhUzbl8qla+/XH2FTlV7+E4qoKighqBdjwE2lZwxJZNDUZIB8Dx1KYKNx3k 7iuOSA80taTe4/FkJxT1XzCgHT10kgMxRifV88+fd+aeTbXld4y+UQor1DLjTIUR+ZSM4HJYa5rV rZ5zS1cOHUapmqkoIk/yAhtjP6M3nDoBrFTXr+ibd4IPz2EFZluBZeiAXYrrbVDE5Z23lyX/y774 8kGRwQmmGqumAE9/3ml7dhXYWc4MDyaAq92OShSTU++h0xbHK73LGwatp+IZHvVZEJO/k/byBZfP LJwYR0dpLlx4lWc5FKaqiH0n1MmwOkPIhR6CVXpld/XO2cM8qURHu9qhlIeTs83Z+weJ9+edsYer ef2qh0PheWa/8t4Je1xPFF3s42oCVTMrAkBz+87roOJrPUUVcVKPWHmqd7Sdt0FRBiYFh9RGKYE8 SHQNmANAugXk13uYL71yEEIJnrln80GIcpSsCQevJ2X7vNP1sinAP+sJgP8Bq2mbqPeIHDK49DDe nuOdi6i4ztR8RKx5FWo9vUfkOM1VKTCaMUdPhVEsITCBSp5oK4sshJpkZu5LgNd1WV965fcjak3J V7Pmm12RBLWbajJTY+3PO1vPD+WnoLnHd0j+TtbjPPH8zsMbdXnOK/O2LlmvgPjHWPOdq4ffWfsN rgN3tBjqRFTnbxisrOwTcaNYoI74+XkW+jgZbTDP8MrQGwfTpEtvk1c84aY0DBtdBxFtsPran3eq npenn+L4aG5EwHmKquM8KYBiaz5PvXrNoLLCreo4HXK4nt5j8iRhPbrO1zveWHPgiMuUpKz53BWM S8/X4o9l4X09VqEkSX3eiXo5m8R+beyz1DYvwqJlaYaWk4N/3nl6/7e0whnK976LQnK+cEcLyqdJ 8lv0a2jtFjRRPdM0vYfkKK2opFLVIq/1wgfW+SCsLiJXO0zzDDJ2fnYkDtCJmt6vO5tw64Wj1cRu FOJ7GzRLhMMu+77PO0EPkeqo36c4LAhORujN8TAiH0Q4rGWhJsJCBzf1PjV3cMBsVlZ5p+dlZzKO Iq6LRpulNc7BNCEUAFbpAm5O/WG4LZzEBfK1j7AbEFWfd3Yem27kI3T6YHIQ5WzSr4an1C6fd3Je NuX2rzMcQD7wKW2aQigKmfzQ+vXFRF0lnU15XgbVrtYhf+fm3XYLaPnljpomaEQM7kLIMeyE0phS UGAlgcX8oUwKggPCCIreOJoneaS06YPKdAVoZs7TiaI+78y8LCbDs5oK32n6nnsn5mE1qXEn5jDV t8TnZ+NOfP5K8ext5cx3Xh5BTc1Q4umOVi44ASQk7aioiK5vWqq8dKCsUdSkvYSf4G3ohYP0juwW SNH7oNV07oWkCPMEX593Sl42S72n9oT+PMAeNktRJE6ITSdsyeHPBkMBzG+4nFummCfgz+9sPO45 nkgnfdt3tD23azrX2ZAD5jLPUDIcWcrEkUpXC2G/JuVG3rl4LPpy08mO72SFWISq0dWqlGWmXD7v VDxMc/pVo6M74C0+vRPx+OOwOF6Sr6ZejXxwIkxqX3Ke0vbW3TsPD78T87Vzo7FWp9E33cmATzAI 3URAp4Cx1MqjXiLb1zldc17snM87CY/TxERlCc67LpwXdBlmsKiaft4peIzDv2tPqBF3SgRqlt7j 8CTwDuCpdzXVfMnoID06cd/TlXcCHhNLhQO51zvaaqqYidWponi2ItiRzP0KPOUG1LOkTGaAAqYr 7/S7LDoqKGVy501OsIYO3KZ7A06Izzv7jotp/UpXBsDpHjW9k+94iS+2V5LjLooCTVx0cKf0rG67 h/E79+7pA+9kmhnp0cyABX2Z0BdlwXf0QnoGRLGxjipIK6WlS+oknPCdepdF64JaBvGpHFR7AoVw msRR/7wT7xhP3HSF5eEOyv64V10UhkM3CQzh6XZg4mYJoMJUQACV5jYN77y7fOlRi0VkjkwHBOCF Hwr0+NFAOAumK/0dwB2DioCQ8KuUmfTG/4LMoYXPgRUhFelSpnXnz7n1+Z4RtuB7QaHHAoyUz1MY hjcpeDeHhXeqhytyygalA+nOnejfOXeWlmJ+vkdbUOfTB1xRWMtEWzOZMnyhYxr+5LJp0CAIL5bi 551ydytPZUq5DeR7hgX17KFRiGY864rPBztv30IBqwooirZyZyqKxCcjcVj7+IpSLgwqJ7XoXBFw OAIzKo5PtexWnuOO3pKaKBEJq3I2XD23lNgGaEGf06qSh+kySKZs8M63Y47Fsu+iHiAHRU8w9OER U89nfN7ZdnzPG4sTtA5E+ljFS0/vdDuCw5q4iddSlbKNQjwTwmd6gAhoCMSMo3EvFfQ72kydeBUd /0xQOFqKS+CCSkxOYSEzjeuQQsO7zzvdDsdFIr6QJuIdTSz2l4AYzmuLQtbm551t93+6d3QzX+uW fd/ZdriqhKJLtEzFpVc7eXXYDoVpLebspLHnz644pN7Jdln6fFxKdkjl+qiQLdjVbPbNT4K0SSxA TYUADCo5FBhkWfFpUVnrnWzH4GDQYkBq54xZWaRDQM7e8Jn49Xmn2rGm8V2kwwUy2g2h3pl2WMe8 mRNxW/JkYDWK84SV4J4M1I3hgorK46ZwCy7JHa1IB5ZBPkuJ6dSG9CeD97pJvqxQIGPx/CZ4Xa8c gTIJe1J0kJP/wSe5G+JEQYbs886zY0j+DThcgGSN5oDDd5odTrZMUjALIJSW7A8puLVsdfJNjA4v vXeWHTeeVGqGqQaPO0/jnJUZ/Vp5GZdz51H0B3AVRONQgSt9umZpHuRjvNPsbgMP4AcfDP20oOqM bzw/9eedZYfHm196LKqcBbJW8aLvO8mOMcWUitZTGx/ebgGeztF0xRO8d44d82D1gwcd5zQ6yRUJ 3mr0Mm6s+5vvKhynzyQOc0NRHqyqyjvFzkC6wIjvOyjDWxQXwBeeOOHzzrDDaky/6gUZHPvZngUV VccBQyFhxcLNk1s2jw7Gvuaz5Yab7ww7Vp238pH2jF6kgw/fHGK4ouJYl7kXoeRa5TV1a3RYIZ93 eh3niWJayyTtjBt6orBz3zEGqmctf97Jdfmx6LV+MM5MhBk2SyFYBX2Ok4RVraFM/y6HFzhYBZYx s9u2i8EqLKvAaOiOluEVeC+SXkKQB1zOVC+AxARm8Gd/6axoNUWFcYkWtESvOQ6apXU+nrc6CZvv rDo7257DCYnMzOuupSgiJ7m+06Dai0/dG1JiYlnxKVmn5Z1Sd7EqQzVPG22W0pnvQd0sqJjDpU3M 8inBh/Pz4h8cI4aL+fPOqLMM7SzLRONZDtpzO/PIY+1pft4JdcR1fh/hsGcefd+jKYzH5RVamptg 5mLKRqiq0I6eVZWWzHmhhahx8e7P5T/uaEdTAyFgr0bfQgT8LalnBRpfR3zA7qaxf5F34WuCgCCJ 9TuqD0JgNMAyxYUqDY8HMWb/ropXVOhAirVZCmNxNlk6sVyq0DUr/YJv0r150IsrIraoKp6KiGJ1 pDv6Ab4QO9F2COaRlbw9HOCQeO3cqrjcLi5z640DHgsXU6ssqjS3C4GRABrmXExl4PEAVnB7LLhf AE2beXgg3sNAPOues65TZir2c3Uvki+m64nd3wNxxCPacl33XO33ntuDYTPwlsA9nbBIZbw+0dbs qOsA8+VhOFmsPaZnss675Dvk0X6ZkKEweubm4xEW+teWA5In3y3Xoyhcmhe9Li89lTSWLyaZeRTy NIotph7hVFTphpPwvKMwbWBkJOi7scWyK0oQwpWf2w7EJIqg5VsqoDpIj6rilYXeOrT1vPZecNhR NAp2XxOP/xfmGPgpXJA2TXFVXHIXVxEffll+ftOi1KD1xXosPaqKe0Vl1me04HKlE4evTMGZM2kg IzBZqeTkFXTyCnrCXikQdywKwilMILwiBkRacmI6/6JZQzSL5wOm5m3Z4bFzCGDbPTMVcjVbF+PX zAnbkHsO6RPUh5bC+yZGpfOTorROt1etz2hp3d4kN5AdBbxmmlvpSgbEuKIH3Opj9SUR4B6F4WXL YKjKmKkWL3EnOJEyresdj0eU1l9pHeSW+/Y+S48ImygFQAl/NY+bWM9g3LS6l38RV1m60sMwnCkI mk7rjg7LXBB3bxTVnIAv9Gbk8QXeOP4FZUiXxuJl16PSuBzHa5GsNEB41dQbhnCkPycamXg+uO1+ dTfRv5/A39g8xVH4YktzXYuTUV1xrbvxCfZKMkvCHrI2hzR55npGn6ed4BdcRI8uJx/ORtaokKQC uAUnpB9QNeuNg6CgEBW2WMvMKE50CTckbDzyDEvdeD7aeO3nd0cKvpw+T2FxnAJiVIagJlZrpFqz TEDeZ9Z6wh/JMkGP43CZJCxprXG0fXc2W4IBAk6oumqR52+qnQIKDeEtC/FXe3TqlaO6E+VlClkb RNFJ4R+I7SQD1dlqwQdEvJbvqi/g+ScmuTP1HotDyCAJED3poABv0Cbn4oImerLC06YHFM/yKBbf 6oELyWSjF57gxZxRdcSk9Ub4M2hSVAnOEJEvEFZ3cxMqj/aoPF7N1KQ0H1goOPunb+Hrz92BxyMm 8K92C+Efz4qKgONdmV1CgZKFp7ZIYWE7jC48LDzR4FgrKgKp+J1XzE1cooMVd965Zc5CARwRspBn iygWBZtz0YsTyUp6NFMAWMIXRSg6BgVVKJXqKBXsH7Wlalt8PIJl/kaOtxM+4RttosKAvDG8VBkF NQN0bjlR0A3rNmeAx3dbUFFxXC1zUOzLHR0SPU/KgkiKPqCIDqauPGig5ya3HJZ8pRvW7ZWDJFj2 Z0mxVHKm1MkNm5Td6ywTj/+1oBgK0/Lmq40wopjc/Kp6vgBWiZUTwAqopbURkFYw2hxRcXyaXdwq 7Y6ODysD6WIW8w7G4gK70rZ8yJAQrGAxW1iQxPdEjSkiepYMc9ZVwkJIpYk6ARseD4Lyq+Ug/ifs AeadpigoVx6cenEFnpzYYpHK6KMrg8BTJPyoNL6qwwz/3x7CucQadjHZ0/DCGnIJPWn9mUpZXCKk d3E1YP7wPcE0qcvS1O7EKiWztgJBLDYy3KTw/H/pmw8UCZGh2zz9GzleSvd56nt260qttJ2y0btz EUZUGs9yHbRmL0YGyEzx0Lskdh4aLRRzaoqzzqJv2HJl39CA0nn4mgC92kULXjZYA+FEyOf2I6nn /A+PR52WX/iw8ykNUYbNUohV6eag8HjvloeK0L32VLKDDUcUka8k3cdeto3VZumsq4Q4CVYFGaWQ Ao4/CYpnuSNeJ3bb4wLUg/A1UZtFgWa9gzAYk+BI1nvHxuPR4fQrzoQsSLmk4BHF49QwQc/cWQiJ DoXSmEmteC+4O/1nRPH47NJ8FElRo2MwJpAFgzQpeNnQ15MiM8j9UZkD5sBJwZOkuxGVxZGdz7P+ eJQPQgW2KpnnCOR3wuUXz/+5mvgzIiJAHGcTFQXkgy4TKacvPtlycEHvTgDCYWMTFQXka0iFZ6qY y9HDp3OInFXE/sE6h+isahdDg/z8pOd8WPOSODdFC0YIGd+E1hvCPjlk/LxfkqvzWVQTj0dHU/k6 wpEGj5kc0jNCxLgpkE/XUTE7Rt50w6QwUPB1R6oRIcaLkL1ZVhwavZQJpsBmxqkLaTR322MVM9Me +OLF7YWjnh3ZdoWLqhdWdil2vOYWAANJ8AhD8Sukkq3pAMEM7x6MqC4OH6YvWC8uveFsMtmsSGsO AaKmKYrFp0CZJ2ZrNuL9M88mWeGw4ruJJxlWyzz/7YCAH3j8Pk3AzuBr/hU4deD/MFDak/M0Vu5s kpwl1vH8n4QWzCnINPUSNUYUiqOATgkV33MmWij9wnqpruVOUxiKL3OAMzn7/cjZQ2j3bImWZJYA 4ivhF/wdMgjsZ9PUdHddt1cOQvHOupPEBnZhuMZ9dw64XdlRXWeJ4gOCckH5lbSgRyr1Qn7tv4vj qZVLCqZflbE4U7+nEyI+lFVGWBw34RmLxfsTi0P0kSxedFUgfSJ/cQjkbxohAtKz6tXA2DrHQxqn PPN0PiXo8RIQ3woU8/nznGO54PmorvIdYwIStMalSM2wPN6YBQ87u3H1LW+X00zJJqoBxo26yvy3 eCEadOWOdt9BhWlVZsEnuoDrxjSNUZTcMo7xNG/fjqzgGaJUiuriVLFXrcoSui53hHZCBTwezNJt lvO2W9BYpZK9pikKxYkTwflRHX1Re7bcbi9CxasMKy0JniGP0+yah8qaHC23Q0GzsXQBD8aTCEGf V3RXEoIRSqGwc5G+S6/8L5lHBuE26L47R7ScPlvtBY9HJ9SVn2NND+jQeTucM4rFE5G+nXqA3HjU BzWx/zYccwjtHhPFClmcVUnwNDb+vGz80QCBLSnLRGJCn1bVAqCpIHhNG9R+4TxUd5hhhVxyKjiM bEiqZ8LQlLXy8wvj8b+Ocplhnz937av2OKNwnBbo59cuVw6aKBE5ufCQkZghHL80UXGBXDiVLMLK zutJ7gqNJWkEPsFJXSLmJZbx0VmHLuZ1i1164eh8EnGaUTkFKQVcRfhf1OEpE49HuqHfNPOMtGxB JtWmKYrHpT5T8nYCNSTjnJvYhhOoa2/GdZ1RPD60npbq5Bp9PbVCQCbVFE7A2dZ6Nt5GKFBoRG3N YPpzzZDCKWqi9l26+459BKK7WukVjwddztsMZmRQ+DvdaYrL44wzoTLoFRUGCQygXOgfTDJHPc0I pGLCj3uaN9f88uaqsCSBSJLK46mqokLNmQnBXuAPPYCik+eMovFqltar+aDKyNmyohu1k8zg8ahE 991s2dDAyFf4cUYgFcJysUwvSGXk5SCV2m5UACs7zVJUHF/JeInlGR0bVisoJ2VyllAOY4UOrFdE eWda9kO3o5QSviY6wxmGN8YEvfGwRqHg/BSU1TzrY/At/wskE/pu/UEazigYHyo7tX1zlr2vSrbk jKVruC2zm6GwYTG9ZwXaHD1nqVCwXqQhQGW17mopMPYNYIeA0t0GwtYLB407BuPUYrNBxblVSqf2 bV2bj0fAsPbzXZzLP5RVslmKQCpTreCW3Q4vzXHLKXVdut12dZ4ZxeIjGbdVMpAcbZbIaZxNpjao YEmOtUHa44dqqQVgMOe0EFw/o1C88WBqmcXxBrirNL/OAacXPgdyrnj+v0CekDN3FNptnmLypiTo qpWdWP6weRr8rRQ5IS/TPIV6KgZ5KkbiLDcSP7lvW72irAytSUALstl1Af/QcDGioeDztIre+F8A DNoR2WCYJ7TgGWGepAePRxHm/pWwIMIdngGvKA5PyxQN3fNABXjGTV12bzyaiotirQimMhQv7WYH eHsO8NULAMISo2tQ6FEcXlC6HKhMoN5rMiFSKlhhRZwmeLgVfFDC3/FvDC/PFsfjf4kYMbPB1oVZ ls1SFIbT2KSLCsKjCeILPkuz3KMJFAjNUghTkYD4MjmV9cipoI89FvykyWgBSU6LCToReEssi3Uh Twi28DWRsKFEZ0TXoHmkTvCF9gZBBSfJwvN/aqyh6oSwcl9QwQqlxFsR//7CVMry2lz1nYhSb7Y9 t0KYylLVybToMFOYJLkKg5o4ehct8aweM5oCDA0Ilp9VHvuMM2MNXxPV5nDPNWGe2oN5WplOCZR2 5uN/heCK3CvY+h4NrCgC78UQPLe9UobPEjXSXelpWXtlhUhxO7m7/O52v34sM52krk6vYMJ2Szo+ MHQ7vyXgxyw6lQeyuuKCuLVVktZUl4k5YhfpeoIAXfD8fzmZKKQ6y2VMr1BTPDNUmuuK9y8i6Da+ uS8RXM48JRpBnKhpxeRNE1ib+Y5O+lmgI1apOZykrgzpiXbbfDjuaDHiUJ6pVw7Kc7zj0iY9Ebnj j1SlGszb5LB3JrtOfEIUOjmMjmDDBCbZFYFcURieKBDSi2e/cB7NVnai472hoAs7UZiqqChuhPG2 FDpxNJLGAmd2Ib5Gzwt2NZyqM4OobVToMJwXcPRFSdRfXxFMZZFdXrIcD7J7ZoBoXKcKUJ3vGaBU lq8p+bbQe93L4isKxHnvdAC1rOrUevNAvA8nKe4N3rTmKQzEFWLCjvWOftshSmLfiD4sHZ/Hbj7B jmwhnA0/HHuRdd+FQipKWFAHw0CJCbKjaFLN9Dc1PB6pFfy/6LCF0pvNU8jgpDZOLj07i2ymxw6Q Sk9gkRVUvjRPIV5cPjUAt9/R5ulsNhzea4vqeu4HRg6tkaGYeQWAiOUYFaRX+KIoeqJYPRYgB+X7 J93b0miGJFbF4+/7bj3KPAwiJsTDbp9l/ZvC+RUYII93YGbdzW48Go9KZzwGqciHs6grxdHpdue/ Rz0r0zax4QRmNJ6ozF0ATATHyJrABdPHVw48RhZDgy47zu6FTDAhhX9qbfA9I8l6X1BUZEPx4sQs XihYYWEc5wr6FsuTu2pslhOcqDeleko1LZUVhuPyi4Kv7x09gjpZyXlk8YSCrkBlL7TVjPYWKuTg /bQbQ1EcekXxeLbCE+UyOaiQuWeX2xp8vPB4EBxcHUhOKhmS+dbndhSQ80A5v3W/FZU8vBE80Jm1 /K45+GKHBE5VVFY2D+/8eHifGKqc64DAzD3riZgJjQLCZ/wAlPKz9lV6ktv5jgJyoEpgD1FtaPQy qHBz1kGOt/7sKCAnVulL2AGh7oX57veA3EB0Hfgjy+5Gv0pPYy7fd5lattSuj9VUTDrMosjy2JVC cu7MPhAEhZ1O6W1XgmIzIN9Qkrs+uIkmCDsEjk9pjdOwTUXPHzKnV6Z2jjTZ93tEbjaFT00FeBDU UW2eQuA4QHSgvSVbTWOaWxQYRzci79012Xcckat8ude8o9dUTohBKSwqh51JSoL7wDTvZyYcGOXb LnjojYNpEvoCbWYbhL5ohbVQLJG+8XhE3vTjif3XIYi2T1NI3pxDamFeVOmUmJLS075F8VLcpHTH GBWVnqrwJ7vOi/I9V9ooDTJkaLOscyeYURSE4zfhGlCf81Oc+mo7isk34b01CWqYHGp4ZhzdZ34h VlMEUvktDQ0llb5uGrxD0LjwczBtcleNnIzTUlSho8vPbibJvv/N3ZTxjo9e7R0FIh50ZjuZCzjA qmMSuQaVh1avDwLTcHzNP1VnklRVACWlJlrN0MNnuWucEAPP/yc9FWDGoQ5k0xTqGo766Peankq/ 02Q2EjSMMIrrDiEqXfDCNMsdbTWBQrpYeEcCA9MsSR6XQZdDZCDt4sJAeOELR2ZIFotnH7TnRiYI lcoqC4//JdanYsH6gSybz1IEUUmycO13z5196nZIVV5bFKGrxQhSOxQ1tAaURQYcPRafFSrZlgaf DdiELi9Axgwai1PDaDVld01vHBFcueeqaNPVTClwRhVx/uEmj8ej1O4XGDMD73R5ZDsMxbc0DWey xZT29U+Wxa72HD3FOE0hREXgwrRTv6MDw1YiJYb9OgSCY8m9FQdjX1ueLa4lSrNnfE8QYGYyXMVK bM5KrGDEL1lrpTbweFSh84ad1I1bQV38LqewLp41OSw4CfyU3M2mytJVm26aI/COI3HGS9TQ8NGX U4YqNBoVQDwh8laPpUIxtaOISr1jQ6jsqReOXJGIga71Dtp0gFcPwQzrwONBUeWXvBp+/Z1uhW5H YTjTMEjP+Wqi16x72WRfTZViu1xNET7FTLhP2lXu6LWntYouMBxNABhVuUUAQ9HB1UFD0nzLE9Pf Hcqo0C65DEo6cBD1oJH4xjLBHHj8T7G+H1ognI/BxGuaBAl6j5uoDrLrtkJBVhS+SQWe3WpPZLlL tT6FYXi3P15FKI5WUYFH5IkmKwW0ES4TxZBAbSGe4OSkdBWqc8n0Z9tLBzxXSmdPyjqcXQSEKvMx 2FSh9c6A6KwSfkQEXs1fSYvM49LN7nIK1Q0JhW6kn4L+A4d0V1OhyWMW23W35PMVW21KJqTUZ7Tt d5IwamnLrSXDNN5ZLZJTQWWyXN0Zmuzym/4BwIDZ1vZBp9MJxZaEINfMfD5IXS6mh7FmkVrCuJMV GgBhatpMZfriKlTDQBo/uyGiIFOb3RIhRWCVIn3akVWtHK5wTX5R63PT4hIA+3MrClzAHZ9hwfkD v5srfMyqQU4hWqUy0pxSdwCtmNzCer5mp2GUusUPCGKp+r0VwcYlCMUnK6yW08K1r2sH3Od26Sfg 1n/cnnQbXiWn99j8vIGa5k1HlkafLMAxB5sKKBy0k5Vht1LXDz5lqG0j+vVUT84IKXQCIsI+yeo2 XatbFg4YwsE8j8//F2Y+FJ7ng2bNKYrPk8wRcvPyJqhxdmpR90sLK3fHGagF/R7qq2ReDQ1V65MW 73224qSWPVxXR5I7QiYSr6AyW9ZTjspkv+Kbgh7M5C5sVDXioLk68WGXudTZ2Hz+L3q+ugtN6qjz zlZYNB+CIA6VM098MskyzxSupZR2JviXRXP6uoX645LT3vS0sNFhGbuf0+ksLW3Dlhu3bIOTAs4s HLG8DqmLUQhF5jdFMETG6Ik4aQ6arbxGVtn8rFM+/z5bNX1XpRBroQ525yqUWSHLS8ILwiESvk8y XsdxaypQ0OMjXJOKI3HTjKogz+grayYs2UR8XYXafTNdsUzDPvQkFpI1ne+Ug+U3RWJ1VDRoTAKz V54ryhNVNp3nduXzUY+h/VpZJ3hYwDT5ZMVqK9x7Yxkhryc6qQjbSkkQLqwsDjq3YVg+F04aFZY7 WvBwYqF6Lo4Cye8CCVL6D54jq8lfDrJP+WbKhfL0/KaIhZ5Rv2sye235gdm1IW7QeYjP/9ULpURj xcK69amcwrBdDt1q8rVHrJWyyE8BPXfPlXOKRFfEVAAXON/RItKCf+l9y6O7tJmlRZ4apLLBp9gP XX/JbCqFWuSymaq1+MBlBcj1ZgP2rJHB54MtWL8hCDJfXY/RW4pid6g/gG7Wrmo7dUOdSJVuwux+ nUxvw/sJ4UJVU5ijl18Sam2ZMPwM8ssWDJ/moAuu7wC6Xl4eicP4on+Z30Al3wcjes5NdOCZ8zz5 /F/tUEYYaILBN86mKrTsnF3I1lVvebje8vBOyet5FAGUFWUIa2mGRGCzU6Nlzefqg14rGPKwLBm9 KWSFe8UPmDIo6M1rf0Nb8xx4duJkZmTVJCzSklcXhJhRYDr5fKQD8WsDnqtskUFsUxWSPQtXVW5e hslqMjAjpNSMMkLKq2qqImxLkuJ2SSPf0ZH4SHZgiQzQxoYMm3VxoL7Ss0dWJu9HcGL+w7UTJD8f NFP4ZXnxnmOy8vkgbn+0NXl3Que+3v0X+3bK1cU16li7snYM4KP4/1ImudHjvOujIl6sFI+m6SXP awRL3f6CrNkgZZ0Gj+eSBTOpbxbTy6ULkYvPL4p6WGyvb7KrCrGOLDKcLx1ZXgh18Pkgf96/RNwB wQUAwKcqqqcDnURlv6so3fKt7WUGXJwq5npaVGHQzn4D1K3yHQ19dy610hd1q9Aths6o+epWegGf K6g9RkGj2StH228SUCYo0HYo0Oq1EGuCBVr5fBQs/HLiABah7WemQjnEpSpoKT+uptWv3v26i2p0 L8jkwL8zKQeH064VjUu/eohjA68BbVhgplofQ9uvstWWUWKEUaIVrsiB4xf9C7rREztZMIq0JgKK MoSogFPA56MW8ncMigJnWxdEnQP/TiukUOzZp0qGi9p/1WXKW1nJ91/sFyQyY8/PaFN1DoeT0gJr j+IV8AQy1yXsE3hhNooucqpPe+d/4fBOvDl9EFoRMtpTZJhV+HxkGPRL3BYBymOslAMLT+DalnAu w5LmJCU74jfSWpY0lwsxy6GHpyHOiQX30SLQea5xuJ1bOebEhnSkOD/AOSIhNgODlnwLfapehSae plVeiHPkoMwGW1C068blH0XrO9+p4vvBJRwamj5XoR6LDHTl5yJzQQqXL9ZiU7GkuZLdo7mKXINU YelTRhsanVE8sWwSGTHnND6rivL3rUuyu3TXv5cROgmE/KZoXXGyWr6DxVXQnmCwcPYEn4+kazxY 4H1JGOS+DrqBmafpnINT7xL4aQx3gJsru4BGAUzc5ipmgg4FAHZm99tP7uOce1BKRuWKatKToNkz Ul4a0EE0Oi8wqDd76cAsYPMOTILEpmId5ZPkwOuXh3wrfD4Sd/99B25YCz5zFQLQu9jptRqGKlNr XHuwtWYYqoz4Wllg7OjpIKpc7+gl0TGByGIJGTC0JMlJWDdhrrIoaV9cq2kvHWSBZtPRqg9aVwuU pKp1tfl8lNukr6M90+8op2v7kkNfzy5VpGkkK9RltiPO+PubbltrhjjLobHnMuHyUtMdbWVtstax vxHHLehrEnIGxQ2uQvQIWnkaXc1e+l8tHMjPahjaTanAzZak9XMv8flIM9Fni+HB2VUT9Rmfqz+s hCRSnnW6uzJSotSKKHzw1LK5iuHoOqnmJT3ePnw/OSXlbHBiDZSNVYwZyJk39QO7VH9Ua0/2zv/i 92eAQm0QXuFsaWXQsLvn80FF9C4sqd6AuntxHTk09yRF9gRjcxuGeBJJzlIMsOO2CSFdYAdW4O6J XrxK7cvky9eV5e6ogC2SpbGsRussl6Eiig1b4ANaGjehKqI12UtHNT4KKYtxXCmJwFCBQLYm4v7a /IAoavcAi+Ds8817XtmfHPh7Qk+M8I6yuxVEh5D8mKwhndJEaugtHwcGn0leKZgkM/hcXwafCfbV Xa6x9ayLyQiDlFMoSaFuNahhviWQtO2lA+wCs0C0qjUsZTigVrYkKmPWm0bsovW1ByEI8aVCkkOL T6ZSUJ1xFdwuLBrY84UtPlkNop6muD3w+DRJUMTrJhpcyu2kljMtcPNg2nxixrJ0A4DD9jN0XM1+ 1xXtA3Js8qmO/Gbc3tD+74IxnrBE9QYwc/gBEdX/OxpF1ADA352rqNCugmROOXmJgUV55Tjaj2o6 t+FzFQNiBLeW76BGxzRmWbCzNw/7rc5OAKt/+E0nMMWP36C6EqHRpxSlaClug1VjTmhCNHA7PwKf jxSofxFoqLz1JM6h02ejfOmJCbwaM3L3ZbVmc7GkRsCapirUUZQ8fjbdSVkqWY6DUtyY8hw8uc45 7HWm4awhua4hGzRNqTXtlQO4B6eoZXJFOagc2gr84TRTm89HZkzzK2SgvdAoz8keskV1svd2qzEj z1u3+v8Z+7IcSXIk2X8/S6DBfbn/xR5FRJXmMc80sgYDMKu6LNxTg0bqIsvzAl4Z6hyYfaIalDTC NmmE/UjcTDDfUAhyU7VWeWXD4+m8L5vtQ3THbXiTLVQhMoY2QxWARC6ZZ855BRrkGpkvJH3Rf2Gv 2c7DHu7r6VyFfp9y18q7OyCtJDMhrpgXuLB5I1STlXNg+IkZqihH2wF812n3JJynRsbRJBDRqkVY KzkIguG3hzfZCx2h+DkB7kNn1SIMlIvaMW1mpVY1YzYfOH4qNfvWUjxvPfiMN1RR1k71hy60tzX5 xu1cienHs4o+QgpVmLXLYB4TwLvaWQWoL0m2HHQN9+MFXTX/LAjjFiheozXJs6rYdw62FZMq+gZy 0VVWSTnRFVhr5/NR6+obxwA7g3UC7aEKjT9lylRyu6YCwi4gVOjtObY4D59HxM6fovulNfddbVed 3/ge5NV22r+PLtM0GqJ1QPrrvp0rQdVzaP1ptMjEHrteAkaqQNRSvnHosQfen9/TU71/6QekqBup KGGHEyvHNRebRiUUa/LNi00bT6SihH3JzTKZzHlK9wKc528xYJFJ0vZJ15MZ7pYllCGShb0ebnu3 7xxAGMyJcDVf1LlK5xrX8PTcI3w+gHvc2kZ8pRPxR8s0h/6fXdbEyqBosiddIBFq2rAOA0OlhD00 ADWXve4I/34ZNR0V5WoyBQefaWhyAwYv51sw1CP6SrPTrilX6AE6NZBIdEUDxveH3aZ60pNS2dc4 NUHmD/gXzphlc2HLcV2eVg6NQDERQ1EzXFwRhHQL12rbget0pLZwhSm7wjXMQmA8TqAdJmJoKNFD /aS8exKS3chWBaHt/BOg1i44pbl84AWq+TimEfmKmnZRkGD1IVT2AuQjMANF3fxNakPtfsrDO2uO 3UC3pBOGd69yNygRwITTWdwVsmgWrFj0XPVyUerA1WltA1qCu9OKu1ZET2K+Dd9aoqntyy4G25uf FE2bTaWaWAZcqbr+AFhb5LFCVJA/IMISfb+IVNNp11cnB6agSIALjeZ7t8p5DObv4LxkCZ+zfYXL XcVg6AoqSm0fpnvH9RcRadLOFYNBeFgpWDgyM0fZKHmdipS6fenoJuRIsJP2zsW6DJnCeshF0RYN jEHRbq+/EqyECufpt4fWoKhXKZN7Zd9GTd692sP77XnejkzgDYoKoKprlfpdnwoHoFfS2xIkctiJ RtbAvjuUp3L9Jtp0+9J/8dsg4siFAqSMFtSLibyCKhx/QCRg4jUOFXZJLL/CHDmwBzUsEaBEzYO1 8vxxqa40LFgAtPhrGGXuhlIbW05DXP3MKhBFg2RsB7YxSzkqwY4AjUmol9b6vIZrL/vSwWtY+P5l FjuClvOEhwkb04iaV+fzUen8LRXQzvGxUGx5rMKG+5T7nvnHQaCyPkTcaX/cTMMtVlHmbs6X6H/e 1Vsyk+/0IqIPQKls0ouU5ClAOBS4eznuSg330CS0S1G/MnfnooZ7g8ccMWrn98Tng3z0crhYsmaA Tsh39GhFybs8d1Lp3Ruj7Hcb8IooZI4ngJC21/Bv0Zd+8p1xV0Xr/MJnWgOZJ8A4E0J8eP1q4zSx deKu74hefsH8pOjMqkRt4zY8lSiY3IIhz147L35UpPwBQfLwuPT+UIYQwi83fY+dQkUsadmLQohD WFKaWQKzKCy1OUYmsAo13Q9Ypkn8lKsBP9Y6aR+11c9HQe+laTa20HFtqAJ78/o507aWnxNRl4lB XkUm4mXZRAbzIjJLhGYIrEL/b1EIMCMEL2+kwn672PDSimevb63blKF4vdAM9aIZQq/Q1WW3TpcX Wy1S/VxTJylKJHStiqmeuCWQ8pxQd0PzyWvCoRwr8ArNhCMAp62UlM0SqSclOmewKMSQPnQLTb80 vKCYMPYzxwntQuWdrGrKuG8PnGhfZ/oG1TPVz4FfKHKsbS2GfFfvi3ak6lN4vjIcpNbZLB9AEPY0 L0YtFfvKEfLDmPBE1sIFXcYymT+ODOZzkfAHRAPCX9qeONxHfXoNgWWoiXvCle8C+sq4ZWH/5sQ7 9OoPz1CDXrV8V2fnpnrymGHuV6cqbDI06l3crgnz86tvkpJ95WjiZUzK5otxuxLMylVLVz7/X5Th Tu7xM8t+3sBQHb0UzZ2zpwxpuqzuJJZXKQMAb7oGY9dQnT9DEdPqmXvf69x8Zkkw6JLOGT1URRcJ 2NDSdWmh6t85StxlN8dhTpNUJRU4IGrBXBRGjzn0DZ0X+sjk4JzCkPu7oQrJp7QNHXJUo5RALcXy dsLiVeSkvH2IE/iGJnn6nI0yhRbl6gU0NyiSJrArabPABlaGGuPJ2zuHONc51Iqc0Dq01W8lvbWB NFXijntbCi+58QdETWQvcqSBDfDjQ5gI3EMB7qSY/Ggm39G2jJ4oOcgEScFqLmydA/vQ7KfVLCYI Ux5BGCTtUI4lngHieolSxRUtm3NkAFBIuSk3mZnJvnSwseRb1GTUBzqBWcucu1VSsBnNhtBCtDwS aOyNnt/gz55fb2HUcp8SXVBvxjparpaeaTip+hmUPotWhJRpzkHdz+pYNSQHQGCx4XdewyRKfcGJ SANt8Kc8VjvbV/6L2cyJpS16Cc9vQZx5eFby+WBflW+NxnxuGnT9/Br8vOZWBb+iKdCxu6vRaZuR wuzLSUs4NhWp18yqQGZIo4kmoDZXP9cb3JFKMb30XAyoRl4vlDh+0HZ0KhydoF/zqiLjIXSOS/dF pzpkwzR6bgtPv8SoQDxBMWqWf+ZzVhJ3xQwlipDQMbNfcS/qLIuqBCSRZs3QCrS9FETISN+Yv9/V 3zs4qE1o8mH2MTCc0PCGpFIQ3sBjcbsLWpd+XvOpgtENbzzJVdUrV5W6inoWk3j6LUTIivJXiAql ikqyEL2mUsUgMbiJ7qVHqoyp6S1Xje1glDFEr4lUceQCpCGe1dPzcwQB8U8F4rHg92cm7Y28kvOy 9eQ5J+ePn9c0qgA6RJnPTpU4LmoYnxt1KEJn+35ecyjE14DGztGBZGztFqHXBAqbqE0R4n2wnOYu Th7RYJndz5KsofeaPhV0qNwrZd7VZ6Xn6l6lyZtvbRi2SrsSR9bgzAVkK4sQDv3Pa/JUlPxATlej ZUx/ZEQGmKFo8ic5yZ/X3KnIrv3rPTvbt43kIXrNnPDQ5Ekk+S6GaKVsOeaU7qDkA7rbrQebaJjJ XDLTOVpCWTJwDmy0VVEQ1w0pAVyrIEfzw4E1rOj2ONOUwnCvaVMRhxGUwnEXowOCSMjmAQbvr0kT nraxu+V0wIBWQosRo9eUCW/nVH2Xr6wJXHYuWv2OZygAS6e5IEYyAekz5We1s2hQKhYuOWBmtbRq 1sRh4IcWaCQ3zsg1Hk0yVw1itFjVJZHi0yXFn1/DalNO66t8XnMlbIlavmN0XjUaNCpGr5kSDnlK e5ZykZ9552qvWkvpDvuKo2Rf86RybVW3VWxc7wArFbDY2LI7wS4adrWFGfemyyGydX/XWNa9Jkl4 1zKvtMy2XWOnkoE55R0MEfGu9do/rzlSeXIkvWuw4TaZWMToNT/CgV1I9uvDZ1eZCn2yJhJsXfZy V8A6PLDlv8d+lq3eAx7Q3ISsE5pPhM8R5ZIzTXpBFgG3+CbepMC/ZkdFTUn0yVvyRWhPKF1sSVLt /HnNjfgtvy81UDlmujF6bWjiXaskvO9Z/V2j37LN15e/a21VA7i8tjPL9UeB0OldHbm4aay+OK4C 0ozskkQMN1q/lS/IFRZcdJJ5bWYiRsBGd4zstXQjLUCXoApeB+3TIEZ91+99NIlCsJfv89rGxN5N 5hzj7LWZ1j2zRTTieUQtG4p0hLmRal3BEbU61RbdvpVI8ysdQoySykvQfDwpPpqYheQ1ofDocfXa wsTvgnT3IvXTQiVDntmg0YsQUlb+vPYvf91rdGgQaqQMP5HeSX64tBOB+3M4dSYTuG6KL/MKCAGe a3zIKE4aH7yYYk8UypnfCUgHqMwJqVHpyl4g51zTuE2BrUL3PdFOhEwgQnJ17P7rgNv2YK+bGnDv FD+8cdNvN3ao8MhYxa+3d4offj0SqeSUQWxI2e6QDTkopMs+E1I94xiFgZLXc2nPai/dAjom12Wg fYBWuKEgUwXkXREnwWfEk9qL7xS/Ivgc8mypnOXq2ovnLihZeop7fd4ZfuUZEOu1I5p9pbuh3hNu FDKkQsqAgDCglu4d1y5rFLIwDikL4rSboTTavKtvqJPsnRPHPD8wPN9sHFBFf7IliILXihJATPiN 38OkjUQkmS0ME6TlSDaADTkfD7Kl8X06ySuLeE7Nd6IjvAhNlqYf4XteeTNZNnA7LRfLe+f28cI0 XoMqV65OQ64VlNOpMxyaukuzTlTfCyO3As0lV/K0wVBUvBFwMGQ3O67dLFzid5FRKHCvYeJ9w8Tz HmCPTb62WvDBburGK0p+0+H1sN1UfJYAJMt0Zt976n3CxMnleWcFEOZqFEhQP05uSRW48z9AYEuT UKj/TLIxiPuy+cpUgyc8ndTVLTykoPdeBeWExDohq+P8Bj7vvD7mXN9JUwbuazy33TuvD3+9rjxg L99P6UoAlDHTPZ6Gz4Pf82+UcVlQ17Xv6mXcPDlYqRJfhEo7E9qTr2IsOkkuRUJrx/igc9M7qw+v N+zDBqzGfOEdNSeQ/2YhXj7vpL5iza+vOCHea92OSZiCD1k17NsPaI7IEMzVSX3Jm+BRDi7A68jW heNqcYKoxDr3PVkfkCzPsmrINFwgQwDcJXMvztu+8fvxhEkDpk/EJ1aX2wGJATBFXXf7887oK49D 6C1vMCC9p3iUhVOIoncBMCTrWRSmcyuU4vL657YzJ9V3Ol95cMFyD9Rqx1MdGPctSsOClJyzqI8L EVocV0xyGET9n13f+D1Mk3BEVIhaXAp3Q3sDH3lqCj4e5Jjz+7LDEBrwIw/TeyJ+dlNn1613DxO4 iBam3axxCcPZbVLo70w+vHU0eR4sO3z1mSZ04djQRp6/KyRQhYUFLoMXBGh+11296xsHYZIp0WLR 0td1/iiQRZHYd8ufdxof/sLpu32C3+cPNXgsTlEy3pU8tXbdGpip6a1LK9+3LjtoLMzGRfavmkBp 9WMcc3agdBGnc7+OrBux03ISH5iJhhMif0p9KsrGqf82iAGwRafTwO9DxQvgF1E6nm8LReA6tJmy 33bv/D32UNiKW+syjsWi5WygXC/sDKaBmpVhMj7MVq7tu3rudL542yCPYOrUMUrTWwdy1Sbfj3Nf 6zPhmvy8k/eKYEgQNGPRwkW5Uzsx32bomD/v3D3vhz1dlAHDtn5bulEqzrkkENHXIYXTHsFbS7lC w9CgUZjeU3FY0hiMJ+W7Wm2H1uSGFRhyFSiiL8F5EkRQMm1nK+prP53YtHxn7vlw4BTROJ0wAoTJ ikHpzmvISBGY/Xnn7vG++x4QgL++xvAD6p26V9xKNbfqivElTa9aKq8PpQWp2mzunblXzB0DAui7 3NVbl2NjelkGkU8AlXdvFWAciAYm+yKeZ2pLvafj7hxeGvu8BcJkOpfKqkUc9pOqrc87b4+h/k4M CuRYS/fy7p22h1dvkF1Mo3LzTVtOyjYrVe6p7l3wd9ZeMfgjLAollMfVp3LQpdib06ZWYUlPVMbJ wVG9F0xdqOXiahuUcHkn7eGDiNNsvSZflBgQ4s+QndPj887ZKybvw7DgFwnG60IzyuIUJeTo85xd NB++wi6+oXq/It+0DGNC/s7Yu2Uw4tHv6v25REmSQVMLNJ4K51MnMTjH6iInHN1Ea/PK6+qdsIcw UWkKuCAtRn49yWweAmgWjFSifNwlSdp1IJgz3/cu7Ibzwuu8Uv9np5UOdTi2VS/vRko+dora4d08 P5KcGrh6+2lNwAipIQiF5la49YDpUg9V2MrnKF/6yu9xGkKSS8KFi/DksI1k5V3PN/+8c/XKg/pt RFoA7G96QIzTezp+rZ6zT1bamNeoYSkd52SFGovcTu/puKp4Tp18CvWokZSBUXgXJOVsrpWNhA1W RIar+E/p9Vo1kCj/eafq4Q0Y4p8xkarXYQTKelvAzLH2552pVx6dbzvHNwGLdz+F+bjaBe1OoEq6 WlxG7tB0ZVqi+c7Tw6/Zy5a27upzzI1dgm43yhbAlzWKwTz8pMPYVKBAeHlXhr5xECbQ+qGHjxuP 1CwC1jG/hEcr9si5Nj7vNL0i0MFXBjXEBPA4hZ1xKuHlVftzPHlq0CTpWTlhcernO0cP3z9pzqu2 t9Y778WEDpUuIOQJotzMsirpm5Bspr6Ok2T9G0cJuWzTyMDmYuCK3qoc0k8F83mn6BXDNj9hShgd 5HvbRfk4dYV7r/V2C7KhwmAkY2c7ur4uG//Oz2Nbhe2UTuKCrZaPtwXFe4A1z+nXgZ/bMvHFd+5g yuAPrqlBgO87O48vne460WS7I8cTPDGYdJ5fy/i8k/O86n+mvtyMBKBwMB6l46gbiWaycV0byfRt KljTOsRhaeK9gndqXjHDxDROijTvalFCLw3p8mQrE46jIoXCyBBVC5RrH1E3/F0/78w8DtyauOnJ F/E9J0QIpA+xy+edmOc38r3qAGTu4wIs3nl5xYCboEzdvVS6ZwQmCCTbj2176Z2WV1zv4NzQ81kF SIbyEgDRGR2VChUVP+5h1t0lFYSpZhcdKBd94yhMzDBL2r6oQ1cw6pR50+Tj0Qk+v4qWQuW0eTdT lIkDsEAvbFdzq/ViCCgjoJNpwK1OYQqRKMlKYAOM9/YFfz5H7cI11NHOXdMkJCZ6lx2uGzCW8AO8 DX3hoLTjYJw4YFu0mU4+W6q4i6V83tl4/9/5jcKn7eT5wDsVD8dJ1j33yAPqYGokpFMbqUhzawj5 /M7Ee165SpMjW+2VG8DopE3m/oQ2ektGbkRXmr06+lkI9TUpNPLOw0O5IgG3xmjBpu6HJyD6c0Jj nRshl887DQ+/zb2/XrpKEte+uylKw2kOc16F4gxrAmKEpp9le5yolqTdFPXFEy2b0GLed/WXjrMp zM0JTOm7Sg2h4EwvnFzfLJwMfn7hv6o6ZpK26AAHsFzv3PlFfN75d8zCvyEpePk71QEVpfcsHLeG kKn9Djf7o6C4GTByDphdMUpRUzzp4Ib831297QRXVrSbaBl+/gfK24FycCI7pBpQHhAvi5V37h32 /5LfRxu+CEyQVXkm2kx93ql3bM8tCxObC/hO4/bE34l3xRk/9CG0JoE0OXHPNfFZVKw0a/a+8+6K S+KONHe6qx1N0CuCIS+RloBcJNZ/beL8PckVSBhAGTqjk0DCd9odi1fmA7kOX9R3On+HTd8OnH2f d9LdrxmwUBcg648bpygJh5LyFQRk3eI+A0hEHm/1mczP4p1y9zUCHl608EWf6hGcf1wgmmMkmObU KL9NnOpwpEdC+NXFTPrGEViOWPAu+quGaerPAeHKrvjK/J7R0O47uzxXy88CItDiFCXhUKlAG7Mm z5sIzLO8yXyvzpkLOqD2U9gVN4SzmOVabT+ddADA5lnEPp/nHWGcOk0xqChdNp0ZhN3Fx37e2XY8 ntjHXOoVQHpRJW87WRjl/3/GzHw+ePF+zcrxzp3f1A1UlIZrytL4X6mRmc3EuGyAGGxDFQyVFaio LT6rKYrUdlcfRmXoS0KaCOCL8w9NxpcFpqG54kkKcbjLFSUN3ol2TGN4gCc5h6fhudM6v1iOLOr5 GZ93mh2+Z/lVrkCiZlU/x99ZdvhQ6ZtX1m8s8KgD7VrwrgJYBqdRwF/GibiwBAI8a3XsBRoOIPbg gDrJcyYvH8gX9NALG5hpXF+UQe2jd5adgZXnAD1BS/pRC+V8wJhStWvz806y+zW1o5YB1BAWOP4W qBCkwjZBqtRVYZK5zI2hbEABzh83JxfQcMIJ9c6x4wshhOHa464eKKiYj9HYnVuLpFe2UyijRAQo yIredqLszOedY3fzJ8I1uEyDPRVInZOI0dL6vDPsvJ1xcU+4PCA05XEKk3E1yCgm8L8kcnAy14qk QoVODJsibdhQUVuc3rXU0HpWa89hHlLBy8eGWn1bXdOkTFGhpAFKy1PbdX3l4CiXkao7ziazMAZ0 dXXdYrl+3ul1TMe/B3ewKeyjOc7wnVyHY42Usa2+7iQv93KB29x2qO+F7I433ju37mtaXr9WP6BO CX+iRA3zPRd6j1kolUr1zZN6ERVnmcEgC+OdXEdgLlOCJs2jdnnTABx1onlmqZ93bt1vfDg336k+ ljREGKi4LU4WIi0CHZHpIPo6bn+ucXrCDRW2xQ2n0uiCotVTKLiHN6AnKdlVyLJD3QLlkon5S8Y8 zopgdVTemXVkGvBkYq6oReXdAtFfIqWtfd6JdRw+fHdUMhR0Zns2VNQXB9WILZXpqUFnX5zat/OO D1pyOsY7r479ZqYGZZte/vYh4jmSyslSGqfjGSJMWbXguWjRGMExuNNNyKFpx4/5K0yQ//HF5uUo KasamuPzTqljEf2ri3nqQWgGeJRikAqPcXqQmo5rzjYuTyVNgxWsmfy1+wdIpdNz1VarW6BXB8Mb tudml0sljzDImaM9tr8EVrSZop5436x+OydSXBSlhbuCY2DQNN/JdDzbvkHQKGLm+cV5lKJ0nLKz wO/cGUu5xJWq7EmNJ/TLuJfCdFzqfn2q18vVo3QyS0qNIUrwEVBf+PzSM22uTuUwyoWG4WL+vPPo rDqbg+W5LXrldjE1l3Nczc87jY7IsPmVE9BXvV+ISouScSH+zYVelqrtdlQ0LGenAHQudlRaCBYv EiaopD5rtTeuAaQvZ0J0VABeFfge89SONI9jTeP8AnCFjwkSAt5wPCltEfIChBJB6XppeDzIMEey KIlQhvbgnZO3MBPH9SjClon7rZa9n8IkUd05bEud31FDPBWRx3Ord7UoTeROyM5wLp3EDAYuPJfQ wpYtFC63C8fc+sYBfYVwVbBVfNErNzs0q7iZysDjAZzgjqHwegFwNZ8mZo8ScRMW6zaYy2g5ph+X u1jzbqZpIOj+nogD7c4wwfTzrtZ2OtnrSQey+qro9k6DHkC3tUOMBFgeT8PJXe1/kzJr1vA3W9JR Jt9jtXo3H48g0N8HEyRVRt6OdupRFk4Hjd77lbVFXWGbaYjNQvmGfqlQET5FGwR3ZL6rogRjS6gP AEtAVdumYd1IVMTsfJB0aPUJKArSo454FdFn1e6LwpQgsc92Sq0Tj0eEMW87JVbKCwRWP7973BFv kj6cXv2O7bPfuu+MvEG1g+d3jzri1uuFEMFdLbk8+fg8nyDqwTm0lixQWud5VxYAZ0D4eptAlLEo CZ9dFGjlTpBQUSd8AGxGQtQp7gqeD/BOv1i+8DyGJ6FPNXtI0axVuDDzujTkJ/OBMaroUbQkzDKO 61EaDvEH3F5o5flqZd05nUA76RR7RndG4N5TfWGbVox/W30MvqT926M0vBDdW+WtwMGNwTE7qYwo 63rH48EpnvwUV1MBbnZj3C0VETUhwwXtouw4wySPAOIx+7xSBNvxmD1Gp0wd38RaarVjfG/qWywc 4+COt51tTA4LeiTBJ5/KVxOLt12P+uKLAEPUzk74rS7aMCW9htEFno+uu/J13RHkAmUai1OYhhOY NYqZmADtZBxy+EUaVxoJJhrV3FAhW1Om6qWZZkq7ZJa1GxIAhBp+M6nMLJQdtyn6WxBau+7qnJXg c4KsQPS6sgS+ANZMgg0Z43d8IobyeD568/yI0n5qPzg9PU5hZxxtol4BIKUWVmv4famfsuiAiqsP qXRWn6DHibhKtaF+ClfrE5wcs0hn7yQ2QCip5wI0Jd51OPFUdBSv5ujUVw6O8iST5ymSFDZ4MTT9 akJCz5Op4QcEh3n/HkihxBj78ln6ezIOJPRkOgB8MIE8m3yU/7ELK50idIM2Kcw8zKNkfG9znBDw iasfUfNc97sSuooPa3JrbBXJGoSdoOu4fYYgXSh8UHTpqSsu2ZTu3uFztVKkTr5Sw+MRA3j9fGMK OmJ137wIMc4e/AmOeU2cvUutE9pOVLgAqfN0zultb14EUNEVD0Wnbit/zQQ8zVogZypl1pOtZSpt njjRzwTmh3WnRysFYCV80F8AuiafDi7WURl7FY1dFh+P8JgeJxo8006PavIKVJiRV0HrzTwBIhOo Ev/HHBeQCMZsI3m2DRX1xs18aRkhmKsjw87FAr9wqtCdynxp87VMD/FT1dCaY3krc5Dk2iOQSqey 2ql076LhHVAcI1lXE49HG+p7KEWPxK8hwohycnrYQ1zW0dAywtNUahp2BaDxYjn5iJrjU/pXMpSz 9UKeFvR9mZOvkxL0Jk2VDuzyWJyO4hchRguxaficaCrFDVXUqSs+vMsTs3fOp1bOePy/0MobXQEu k2xESbnNEMa4xJ9CoqvURbcLgWQwLsW9D1vjNkOgs62tFibgF8+x1MjfFCuaRFe4m5wrD3n+WOlq qgHuh8/5k75ZkkqYc8QN0ezOG93ZiYTGJJ4PWuO/WnSk76EytDiFiPHECfBKzkEYNFzjTGqn0SxO A9NtxSlqjWt+MjCls5XVAUo8Cr4sOElATg11mSSMCkjQDeVd2TczADFy4GMC3CpFZQpMLbh0yzR5 4RFneP4Pj0eTll9CDvj8VR0GPaKMvIlu1x83juJsn4TUwHtPzfEXI8rIl6JEr1J2VZgYDPSeKrQc El2v8dLNPYfJrXXqWWaitj0tQD8IHxMNOJlgTmq3cxH+YkJEsLHdOzYe/y9yFwNqIA8ac0TpeGdX 5dxryY8m0vwYpVQMVI+kYJqC04jS8WluqNUk+uoVnNugjc2Oy5xkfMitSsYQp9CkfDuk4owLPLd9 478G5sCGc8FBKAwmoOdZ7M2S8fy/dhPfOeDp90WLjzAdr5JcXX42pdFcpChtUwojCto6mSNKx5fg mKmrK87VkqcGPQBCAdh9WgOVCeMEN24cD2te7uamVMEIseIUpxXeVYuRC0/WJy7wSS3weHQy/RIG Qat3pvvOhVhxUTRGvuopAvQiSkW8OymnLaNojAgrXraKls0IaPUTHFKXZIwBjglUvewIB9TrOiT4 oFPvSHH8avAx0ciO1FapiA74KMsp6FTd7IGqBh5hIr6+m0+FOp3ZW3Qj6oq3/KXioL00142SafQR jmn6KSNKxKe6KoV21SiBCazJeOdAiTo/ltL/VCrqwh00nkI4UHa79rrAtmZ8TJQ1kQe8KPE4mKwZ gG4bSfscNR3PRxO79BUmdsRrvko8YR4+RRy7nYKTYF6q3Wx+zbV0X7k4D5eGyrJJ8Gq+mU75VTH1 peT/+aEnxWTbpYGwjqE+Eas13ZeO6eUI83D5fzd2DJKUuPnanTQ8qxm/zsfjB0Tgi+82HZEcpEYq UlEivqgQQqiSRapWlw2FuetzOFlPZYStcanNjD3KXZ/DCfiwApR/zaegSNMUQjbND4HlXfUKX+xt XzmaAdMgr3U5C4IaxI54AUeJMoTnVC54/l8zBLLSYQS7gMRWpGbYHaevbZLkjExQeYxvJgWpPCnm Um03Q8XCpKRA+k5aL8v1FH4VNxOk1GYj6Z1aYiBAwxVipXnHdiQDzxCkUuRovaYvVs6NLJ+ddtIo PB6EqfWv/XRqgh+O7C1KUSJOUuDJAa3sxXATw3AZLzIL4qglbVIST+o0Q/bmlkJBM8WClq9e7yyk ZUi1EBiDyhkCSK6ZlgjgsoBr6Rjfpa8cTRGmuGPNF113GX11ThFqL3j8X0w77ibAQucdb84oEU8c Ag8ORvjeFYoDMxFfJCTzIEf7yISwYuqmmr1dcAGuFqeTwJ5XIUm0/tz/hf7QIH5soqBxlXaCw4Tl oaTD/Ls9XgSm48I4nV0hEgWOqorH/0ucAISAiILHKUrFaeLTQIz/cXG+/ri35Ovegh2gOMXNcSk5 JMOopItRWaAg9olSG2/duUeHmMM0WcTJ/gP9+usPu/SFgyiplTkJha6Ts3vWhOXkNjKPKvMzo9b4 nr8p+CgD2oU8zSgXr0XI3urTlpHNaAP66+siL1q17GmGIoaa/9oNpNW2E1wGGpMbtMbh4KkG3YKu 4UYiUOg9bYNgWnLNkLjJTubZnNUXtVPQ/5GN7vnb4PFgwvkrFSe0v12o4Yw746YX6iULjtYft7e5 3ZReXfl5RgAVeTMP5PV3tTCd5GhNGuOiM35+E0NneKFTG1RdgZrw9InenTNKxeEsQDHV5As/BMhD aaqcEnTg8ag7901mgUPYylfrcUYAFdkeJNERCVDRTcc5y8h+02GMZ1GK+uIygh25GsC+XmYUrraB fi+jNGsf5p4OwP4Pz8X9sOyIu8PHRCc4dRy0paBHplS8zJNnCD1XBr/lv+CYPIWgFNT2jVKUirPX fzKnPG7BUpxTXtIjZYhrSq9cqGVYRNZMKu9yupnTgmx809gubw5vh+gHaVFzsf9AYOXODra+cDC0 k09ZYWO8uvh2RSGU5HK6Nh+PMGF+frN7jooO1sEWpQigQjpVZ5bm+rNjeyulbhfFTMnlw2eUidPy BG+aoBeytVSUUFuXWqWKCatiYvnPwQSY3t7MEPPVUKFeEj4nwEBzFxHIgQWEY24LHHAcIP+c30rF 8xHc6ZdiGLrPaXoePkPOZqMm/aiuGAaDEYsTXeGS5U3O0pihhoqMAiE5e1en/OxzeUGnAvccBLqk SwPUfoWxLcyRxsP5WUXf+G9F7Fp8MbwTchmVILvj8SC97N9YcSjgzXwh0CtKwtPUHirmgd5FNmLa hMm9H03dt9OKICpDjKhcaH2n1dOmc24A2MzOXD67qEtavOF9o04wer0mDSJ9ghVCVLaEiwjkMWo0 JdVPytJkcVArHo+Ei8bX0QSo6awXFbaiJLx39lL6LnY04WzyKOXuySW1OhSlEKLSRfVpbd/1HuAQ kC685uBBeeLEA7zwnBE0fl24E4R88DF/NsPN/BWzr6ETHHxENsP72WB4PhLk8bOJTBuoR6ebNK1Q PbyqNTAvnZwZmrKBvZ3JQjUYhSmEqBiegDkuVwaJZ9Nc54QdWe/cyTqXqdHA5+nceedKLvXJmdZP w8dEjTl2UdTGHLm5qNoqY3HACTEHPP5f1LFB98OQz6MUkjZZ9+ZRb4eg19uYG91fOWijW5RClLhG BbloHMDVc6YKIs7oQl2AqiPN+ipbaExH2HIqD1x1xc1wXnBLmwlDUQl57N0TfRfPXxt6tCE6pXvS JGst8GrLlRBdUQrORhhoLNtoLIl6Q5LrH1v/FhozSGPQH1ghaVOn8q5y5eT6pOCUDCM7Y6+2m3pO M8uECy8yRVAcxjP1laPmHOkGlSNgCmlSLg/TgnZ2FbVm58nH8BOi1OmbVA4I7EJmYqGK0nA6NTUh if+nP+ZhTae12zCAyk7Nmk4r1E/RmHx00+Tvj/8KNKFLw6+/gy8GOSwmBdTNzRXMxzK3Ay8KAYP4 oEjwghSyJnmQZhnauQPOX8LLFX7PfyGeqL2Dwe3YN3taUSaOY5NERO85abbDTLxvmyIgE0/ZAhVm 4kWMnya0JdfbJWjlbFCB6FC4bO67BuYBpBfRnaPnmnAXWRdeKKBCxk+ZuWm55lildV4eJw9peDxS g/51RmFDgQ9scYpycSI5cZLfd28XV6DTUIgUsnodfVYIFu9653J5Vuti7nqOqUabA8ztAB4n46dz tJ/pdzr31cxmfwEf9FfXKaldcBJEgy5RlZbZ+EB3bkXZ+LoCmRzvTQiGpbufYu6m+uLLaxYIx/64 gEp1UH0vLt+7wr646pBFjWdbnUFW18KPoKbLOun+ZhXYCp14C0o72mJpAHz+E/vKgTCthlFCQldH Qp+b4Py3VUjowe8ZwAnGN44n43DaMKi3QIVt8ay0qfk0CnmNZVAztZsabIdCr1hgXCJ0bOzYejOo fs5qZL1oiwNnz0ZBA5gcbXGcrRuBshyKetAryseFu0DsfVEb85TsbasUmRWPB8nB/j7JGwmCuVwd 9ighR8oGrGHzVDOV258btJO2IXCx7GBHXXEp056v29JdLVBn7yzISOnNw7CKPU9ixM6vEyXpvgJP MjjfUUIua5GcqKtGdybOo+CdUPmJpwTj4xHlx6s7ydad66Be6cf9npBfQYfcLdM8hd5yQMFM5SGz oBtOufpYRUXbKH+t9t518F2hV4PtVOCJIA5+BqQOiPINF7rrfUvBbXxQ0Hli/QuLCV80PTg5KPWa pcO+3zNys519xpuY36OPanGKmZuDtNbbU5mlu7AahOYtTidrtdduxxm5aRQYt3w+3PJzMp+/grwP YJje5GEHus9JHHmol2+L4KFvHISJSqJNU2AuQl6czUSrzrNF+sbj/4W4id4cSVwWprApLtYPx/gs XE4qlSxMM1/dR2CXLUwxPkUpebbW07Od0O1Aikl9kJNNnetFMMNtDl8nTNCc81McBzM+5y+5mdYV LYee1YEWUJLfLXZTBFBp+aZP6sU0mE57HbxjwDjZvy1fJ41utPsKCzZX5SkgVShOMW9TLTrbTvnZ ThPM7bO/6H50brpam7T8GhoIkMwC1/K26FAY4mOi0kUCIcLxEBCy1EQ6W5Zdbczu8HxwiP8S8KUw BHrzFqZQzlD01rauLE/b13BELyDl567hyA4BKl1AHpObKeux0WokbiL3hpDKPImOMq1WOQHAkLJd UBhBnPiYyABJLcx6F710QDEQEwBpMjweRKl8N1WgmbTbc4ZHAJVEmobNDiRWn6ZFCcBAixI6Uhal UMxQ6sa59nlX20zAdPeKNwyzgzXTEm2zEiZHjx6KF62m8s6+caSExbdtCdq7HNqbF2y/u7pufDyq 7X4hMTPtbT1z2qGMCq3Lgdv3zZTaVeVJtfk7l92xdYcIFU2gyhDvh6uNyaEuwqObAztAKwqzrA5K A2QJfyCU6RKiNHjG5wQZJncT+MO+KEyQlRSL7Px+8XjUomtfuwmJ0FgX17vjvrhQqt0Fw2hLb2Fa 3cPUKPGMbsH+G6ECiHu/q1d2wDU1SNeDKr2hH8kWHfTcfkDkhNzqxafsqS8cHU08k6q866qnlyd5 AZmITYM68Pi/CmAc1kDR7Hw7dDtUFpd/DcpA49rl5YzEMsu1+QGeQZvpPQ0v58rUidQ0a+F6D3BY aRaEJAOZklhNAnMB0gZEEsGVMqtyaqLgY/7KLusWMWpnZx20EzFhLsocePy/eCFxoDTno+efoiwc /QgKiJqSA50urPdUC+889J4w7jSl+hRqqHT7y49ntY5KWQ0IriRqNDRXm5Sg6Z5T0Biv4GxACYNG P9u+dMBxLdIQBa0c6QVizI10SuNeSV2DSPLmj4iAqzdeTN15CqR9fSJSKGvIw7zhciT1p5NPIepP W1P/Fq2iWT1g/3LXpK+erd4xWBD0wkAGhfY8KXo3RsuSlgrwF+WKznCUwU+KABiQkz1n8PJFw+DR iWUFvnlmPh/ULrexyd0FI/CdLqSHHuDvzwHe3E7I2t1d9bbrBPSdNNuiIjuDFaLGZSkyZVWj1YIF jHPbZgFczuW3DSRGvBZzTYIUXe94+ZeOcBiVmg7k/yDl+CEQukK7vgmFPeFrm6LUfORfqqKYTe1y nX9SlJuzjO19m2Y9/siEHJ2D83u/0MN+rVpSaLmpu39QyMZWxx7Wc9xChR+ssrxODsVeVN1EQIGy 8wP/jVvryQ4hRek5nJnhKs0mS75Nltl7l6MkHCv4fASp8+aBcAYDxNfrr5Gi/JzDVQj1jAupy7dl LqnoqTom+caKeuZDuuyo1+76UF9P/gzc3HkLkfebUk8FWSMX/AVBnvNKhiJd/KRgCDP4FhZq/nNR rDAyYibazovN5//Fzddb2CSte82SUtg1p7+kbltypijbKVmj0e2PaHK6rFFOf8uOnxpOExmunoHu Al0j8F8L0IiExVDJj00pHrG8DymKUVLa9qX/AiHWZoTh7dEq8C2VEM3Q84EtcPrO1c/XAYrlOd// 1Fhp3LwGQ6RpH2GIfaCIMwmoZsMYzk8CzJD6UsOmMeNOY84p2GcBkoVmG+DkacTQMXyEZPiAVnTz 853DJ35SJJYlpOazqIOXTryb8fQzn49YsN8SImACnkSrjBusWGqFjTuK6P2PIFeqB0qAjX8JXYal 3dcwytmHxlBwfLqrz2NQE1BWBNnDqW0K+wlnD9Hwo0DzKd9KuZBxw0+KKOiV0kbElyeKMvwQa30O Rcrj9fMQn4+Gobe64fwGGcGu6cm1wsx9MERzPcI0+Toqt3QdlTFgMk/FSHOl8dCSzLGvDmlZq8Jj VxDXek4web2cPALK2ueO3w9bf23/yn8hN6vuQi7cWAVcWIqunP01+HzwEl6/G5xNk99krecujBUQ mbNjXGmhql/+JOXqtUvRjqEK2+imLp5zuaujgQElKxBvwvneBsRHif4Z5y+62Nspc11aHmnDhLO/ nxyUakcD1RejeQJYpKZVnnz+X2Ay0ftNk8pCFTp1KlRnVz0GlCndDvF0uQy6AZgBZYhsMW0a2Qhr daInjOr6mlTyOal8LknIFs6fCOfcdDSV6w3NzHNg1YmzWb1PohK4qHA+CYTsKJHJ8/moJvyezQDT szAX8lCFXM8m1ZV+dxWnvtZhyNfUNHlRmAOzTmukAm6gvlVd137jnEodFjqVLYahXcadh+B0po3r UW4nPjHHXp1sMbS6ly/GiaUeDjP3Uvl8kLn/ahMD3w4x6hupMHHPkidut8mwDTHNBjUiRakacm55 C4Z2nauofmbf11bv7DUMD8ENotNsLUOeur0BUA123rmKLl9oFP/OEa2K3SrbWxQLZJ8BTh5D53od fP6/DJChKAHjpxuqSAsR2FyWzdNDNZp3Gurg3MZCVXxThWm7wEBsuPlqALzZ0QEFDgYeafNsXDVB F8ZopxIEjfgxCBr+lf96/ZAo+CIAHhzxWD2fDVr5fJAurO/GFQW35TChj31P2j1SaoSoJ0O8NiPF xEeRmlBgt0iFvE/THFPprNU31TwHMTVFsKlazbojewcRHXI8PzTutt4VJYH4QRF6gyS9Rl3pwc7z j+S0IFHI383YfD4ipX9nVkDgtTWe9y9SKCfg6VxK+bavts2wapJLBEPVee3p/YuBLhK8L7vd1Q/1 U8cCcU4kdZli7TNUcOiZgm/NC57q075zBMXrIuxtXwRYPL8RufG184P4fOQUVL6TUCQoj6NSDpw7 0ZPPQrpckmxlBb2UVV3nzj7duTO07hTn+mTmbd/VctAFCkTOJMQUYsOoHtEaQrYxrq5p59vrUwMr 9O6Ui2Adefmi2qbWLgp2a9z+Ub6+HxMFgjhQfUN21WMVqrEYVXZ6PybtXS1WpQ9HBTVS4xSr0C/I hu6y7NbqpL2NQmbRsgD4bACcWAiSXpVo2kXpe9mft7LtS0dXIKMkXCgXz6uAheW+SpXPR1SG733V mHPs57SKsvXF6M68XP0+p+IYz9WHi3BXULYsVjEAXSloFTmIqxeCuBcqUid4gNTalhp9ha0bHgDw u73QoO5fOmgxJCbqlRMJLgblOCWmBDNP5s7nI9ugCw7ifYfuTp7PxgpB6FLR6NthVJmQCINRjWkw qoIsXoXgH06eRRuqPatjGPFLH7AroTwctjzbyA0GotDtICvti2817UtHGq4E6mcOJrhoY+0CSKM2 1ubzUXFzeWmUsIGJ7slZnyZDaOjJ4x3KvevyZKa/h4m9IKHzZvK6OXT0XALrp2TK5elRLt/wvulw 5qNr/PlH3oTQ8evq0J+v28oz7PIvHc1xqDS9iRYqm+YAZBSdspBZQ6+j8vlINLF8ZQ1wJJvgOHis woa7XANQXVnDvQ5vyGQCMFQ1I1O0WMWYdCM6yteFq22tAquUCYoSoB3tZFhGBAFZak/ivaX6o357 su8cXYVshwLUbYvqPzjAd81P++TzQX1zZTWyMGtg7z53YWzrOVXVTDXZAVDv3o/ZIhltTUm8hRz4 erpiBGQ10139zDo/6qQRktvC9DFVyUgR61CAKCmNr6HaojXZl44afawCl/wq2XGQowl4umwvQmae PyBK3L+rQRwAez4W8oG151VTbjSCU1d0JgsWilLuMXrl+JkVeHsm0SbgYCYHZq7PXB4SN+z0gSya dhe2imi1hpeOZFG7DBNZfTk290QTZ5REXcCzWJFzDkCqWPNk1Df9V5NBHMD1LUSSQ3tPmnF2yUTL VyhXkUFgEX37MTBXttQ98PeEXIFsqpJVzo9x5aR1ZW9kZ2NGmC3JoIrL0Hk1+91XNBDIscGnlCNk hzqQ0oqrRtA7UQyosPgDotlE/z+JA4gGN1ZRt73JhhjAf2/zzTugp2y7jZ7n9Fj9LdtyDqrZ7+rI xnPonoDRXugUVquKcNNp0gxfcACLH7NBjSZCk8+sWGU2kLmozYD/lsg09DT4/L98rSUO6NQLC1WU uzchY8hnYqioN6NttUe5qD25MDBUoZCibSe9ilq9zDmFLc4vSuLCkNNUqBdeNlDCkG5fVak17StH mA+CPdie06KOKIZC3F8nUpvP/8uKiYf4+b2McSkiOTT6HBI1H+NaVs3mm6qsaxNXaP6mSIVA9WmI tJbuapGqaI/OQu33dfYtlO25qdBA2WSXgGdoE5xsoQrhMU2YvSmPxpNlcI5K53XOBvv5M5//JwKb CTM2cd/zeQNDbXNx2sttyZSy6u1eFff3asydWD0Hdp8ocxQszWe0OvqDvb1BmCP+QqfqY7AqXveJ jgFOC0uuyJrg5wSXILFsI+Xsi1oyDa6YOrYwoQ/8PnFWeZ8BJwSVG8DzuKGKEnfCSDvuqLuvpiP4 GnWx1RItEjXVj4qAsy3IGCg7uQgFRibaMiGJyK4w7Fw4MM8PLGxP8rAq9p2DfSXhJCezVdtXIl3Q nePU0Xw+aF9dPrK8UeHyte6uCm0/JzGhha7s5rWbL4xPSTsPq3ZnErHv57JeqNTOudoriB4M2n1b jfZaCDhhM+JED5NcVMAOCiViPYfGn2rJwPrbFzvWz6spdz322QPnz2+d8833DwzmCzLOofUnnZF+ Ifmkp8RIte6HVSOlSJGKUnb6FEFfX+ISXD1bgC4G/c0JaE6rix/ZBh0AK7Ef66G4d/vOAZCBKLWe SNPqTqQ/WS5c0/kCnk/k85F22TcLooK8Oy+YL8f2n8aRXK7SWcadCTZ2NdllaOiaK2MP/T8FDu02 HdVqGfuJzbkuCj2sCjhouiVbBnoBuNDxIxCWJqhdk67QAnTSY6A1Gc1TfoHiblD+om8LOJeZPyAK lp9W+G3K+CgBPOLhCimlSMvbLM3fwTSGD7sWVcE07GLrTeEKc3Zpa8ytgTNXL3AW+j7ia1VQwtU7 hZ5wBQ8J7RxgTF11KvuXDhJR6b9WoWmhN6Nx4Fon4ZGS2QLwI/ACxWt4syseb6gu69fmCrN2kUco Na4WFlX4jKZ8jQkbaGMWrRDGrinqTinf1TPRDBOxJa0gXFOFTfmGmSqQiID2ty/LGGx1flLUG53k lHI8T6AyqxI42IIb9kPdt8kf8C8WLnNR+GMhv/NghSAZtBLa1HSCtXNyc51TqBOJzBbW5NZCORi6 gnbRQlat7a4erATLPaDUoSzcJOOCYAGpimIaZh/tjgflO5ADX1BdZaidV/bFGg3u1tA6eqOBMSh6 7t/lIGYMaLjdWEV5O3YtSxwXU8jQe7d2X8mu/VYA07JYRSAZVktUq5p3dfjVqKjEN3sy6BVphA8x wapSx5TinW/T7UtH88H+XQ8C/EwCF9QwJSY+EjdWCGtP3/grSprtpzca2IMCF4CGaOcFpGBt06cs EGbJjutLtykT+4NONZBFJtHqZ1Y5pd4pvOSjOoB8Y58BXeUTJtaC9XkL1172pYO3kGVOaZQ246IJ BYBdBG0DLMbng5fwyk/w+52raAE16rEKITKceyU2mjWg6M0drnKlzwUABhvAUotVmLnbiWV68fvR i58dLvRwOFXT/RwYZumIGh/o1gYtn2v+sbt/6cjlisTlSgtMLmq6w2lHUkvnMOPzQT5avpUqoZX1 A73UG6yw6U7sdiJyim6FWQwu6grKUY5UU9lf8i0Mc3d3QjO9ktH8LQQN9KRsoGOjUAcQj2/f+XBM fhomIXXdKX3JgvUFRqGJ4kdAPwKg3qniJBxRmvOUUJ3dvj35A4Lc4XZlNPuBuuHTlQm9QnmBgcbl fldpXEaXWt9yEOeshjlpYBYKXQt2sIgQ9tWwHycDmrC3oIA15ARbM/edgS4Drn6O6WULRvOdHHiF OoEZxCEtDkxAIz+LEA9AQ2AWmv6PS29n4ZyeSIU2RXuLI+gl4cjXQ3y14ZGquC0sUhHCnfaHQMfU cVeLFJRfMH7eajXAKVBm6zhXJ3UecHJZSTiyf+dI30RGReKYYMKeRVw+EZpS7oCOdQ79QtOvvuj5 RJztN2kIDUMrBwNSmvFKZz/gD3dRo9iNyufAMdQzrNe2zEnfARZtVKmCrkLVZYl7C9kQtNMfmFoq 9pX/An9UeKDT0niZs0zuJ2NrspAriz/gv4jB4Ggf9fLic+AZCpdsMnJ6c+opZ8BWFKZ6hQYLTnRt q7jdrm01RdXlaqHKGN9VYG0w5saUwtJ8JO0dt14fTu/KxFTwc/6aeDWUvLYYvyvbbXIyw8rno2Z7 +8pEqQBS9i2fA9PQkxJtpp+PC08eyZV1V+ueXTVYk+gSjF1DHXomtROuljAsajfTDbNwsLqZVDRq /5JUQzld1xeq1b7zX0BRMA18EclrQctLhmqt8vlgU7X0FSoALzpSfw9VSEClbcpwgzD8sVgimsle d2Mn+obiCgyMQ7GpRDDxMlqYFZXP524D7rgxXwBjgl2JRnOeDCeVH/J9HCijCif0Dm1m4MCyEHz7 n2XeTrh/kBqdn9D4A94P9nUHXuIulV/TwcA+9BySZhdm995XnnWSq5VcdzBBe9H2VUhENTOerZYn V99XFaKq8rooGOls0QAy6a2g/QGv8pjMzGRfOthYSXIwVEOjtJD8nfYpLrgtcNHy+QiAdZt9CBe6 dj84UW+0oo47GdGQQpv+FhaDzVB/8MF/pO7RirAyZm5clkEg1zcEEkGqjbLNkC0yV5pGFyI0sqHE 5LHa2b5yxG6mJKowa1z0ElJkTbg1EJcCJ9FvsCiey0bX9wPr85paVUNgnTfPTEAoRmjS8tlwIL91 vl4Tqwp5azX7Spl3tTjBOfQcZqybAQHYU+nCeV8wLz6nKggZToejFfRrWlWBByJArakcbNVZuycD 3Sast/D0S4wqZHaVVHWd6TVDk1X/4vxqoggNga3IzqV/ET9JPAmOOThqLr2Y+OBrQlXvzQds6F0d 7dHw4hZRSqDMBNUXVMnA78B9hl5J7neBxAsf8xYiTG7yd45gvBWgNNeUY9E5ET+vuVRFhrEtRIwH wt2Lh+g1kzoPdQnsapY8Hpj2JOJxu9fFQALPEL3mUfXmnC1J/bulq/69IZ4CwQAKUwA9Mpd6f8hL 0Y/52T15yjmbvu77JiqUihuJ9x0XtYsx0U/m/9w+rykU4rs8QvpPoRsLyCUj9JpAVfESiUJz0UFp 0ok+Uq63TL6b6DV9qnemVSB77Ku9ZhtAmrTzMG++tLWJzoENpAC8gvClLULAZH5ek6fqlinAa3FB 6s6/7Dk8c5HNxcn7P6+5U81X5bPfLLPpKEKIXjOnCswecRyahypEyXPM2XbxKSkKRtn1Ru/ZNpbp THc1JCi8i/EiJNryoaZhzdyEwKKLZaWbnbFNqQ73mjbhLFLPINe7GCUQdbX842r7vCZNeLrM7xgB BYoTUDF6TZnwdi7m4dOm68SrtwtP4L8lPAHetHKh/fO07ktZuFaf9+2BsclGDnDuzZMxSWa/gBOP tuH8aRyRazia7Au/x0iA4jzz8kXtlXUqu2Gt4fJ5zZXq41BkMTq/o1VZCeMjXzOlavjac7cMF/Eq ZbtBUeM8VqO+ku1Ve82TahJ4DcVcr3f1oi5hLjoqxc6QX0+BrxPQubuTWQcRc3vXWNW9Jkl416i1 1MGQwILimWIm7ZR3ElzovfbPa46Ew2x9v2s02q5EE9MlIjqwlU0OE4XlEKt7Npnv5CqPqzscHthC 4xXVKlwd8EmrrMwYcWxVmpqaBFEVMKHBL76JN2nwr9kRfhfTcGbbF91k/dxXTVp4O39ec6NqAjU3 RpQjSjdGr+3Mqqk+Nk93xl9Jj/JLfWhsUOGTGFxwZJtFylp53dXftXPhLYyKOayamJjoXaPwQOVw FyqzhiAmSOXz2spEjNCdGxw/c5k2zRywt+DsKUMANYhRv/sIscVIYHd2BqirFsQoy5iomZYZ5QIc 2QLvg4tAcP7aaw8Tny7UvgvAcvVJwmang83xOjCCpuQJ1CsHSO/oYZayLgiPLlevHUz8LpYkOqSa t9lTpVLHPJvK5nP589q+rEbXu/uImjww4PIT6Z3mh0s7MT/KlxECT0E/tll+aSvlccmjUZwk8w1i 9l29MQ7tvASQOYZ3C77Dsv2gmVIB4wUTmSv8okL3PdEWt586us0XdXpRgRIgSSG4d5If3rhZvzJt nMUklVicolQ7FestuWDeTMWZo9N9+cAcnR6nMNeeasRtJZRcPU4LFG1R3QuEbApbwm1wzsUaE6QE HxBP6i++c/yq+4FBztsXXXBnk5ouwPmozzvFj+m2n0x4DCPquchGZpze822gX+XnWO/RxPeFcZLy u+KEQsUAZUGcttrheUnCmqtDNE75nMTczrtAgVE5Q4X/4awMMDqXqkmAL+E3fg9TF5xMFAcuDFM7 BUbRdqqbjwfJkqHJzAcSGKI+bpiipJvq+hg/XtCPX23n98tRj3UtL2c7yrp7sTlUzXe1MCFrg14N 5XFO9p0IIQJQv0LFFuIb6LC6mmeq+sbvbx04GKfebKQYcVHtBlSZfMEKUK9h3j2+izfao0BOxcL0 nngDPWPNb08qyypem9QyynOIe5jeM2/UgcyYhvQAtBoHMtWFcVTHbpqk6WpatdDROLeG0AZ3ujLV sQwPJ51K7R5OVcEhMkKOjglNuCBOOd8+ABF3mUjOW6G8M/uqK+zjdPX0W8x/Mdvn9g0FnKNaAe/5 N24EQ7qWcVcv487FuVuV3w4E8GWJ1Rt3RUbTFApTdozTOfHzzuvD+83ZCobxvvCOmlAJnZqgl/J5 p/VVSBX07wsPaf9cNyt4p/XhUyktmNYDMSAqyahqyZtKBahwxSnKwU3Qkwqmvvq04LxLAACzZwKB 3SSGSJ3A16N0y8AnmH1xllhClIS3Jh7Rrr6orzRGEwL6XHf7887pY738nYSDSzT7LVTeGX18XQnM Z3teYVpFU/KyZ8tuX9xupfJO6Pu67pIJVaZHqDJDPjzjUgHvKlU6SaNUgUHpwhiH+A8n/0/7xu9h ogrO2UdUsYb/pf6+ZePCY/qUOh8Pcsz563yCMdHc9617T8SN+IhOvmMwYDVsYdpUF5du/L4koigT N5erbjjz/uDMNw3NJm4M9HIz6FbK2htOdqZS4D5ed3X7xu9hEgxqcFyuRQ0mDKit6m35807kw184 fZe9mYJ0/ckyo2S8S4ORagbqwqVLTCtqXw4KdhXH14XZuLYTqRu+WpwwLTg3QaG4IA5x0z2FnvwP /q6YqVQH5E8pUEXZuKi0axBct8a8pxNAVZrlAnwRpeNOXPDrDm2m7NfdO38PWYE0N7aJcuGcurOB 3NY1Ddd2SvxJUZhMwKXWu3rydBKBU8FTnSSvAXwPYU8J5xCGnJT9uH0mHJSfd/Iek7QhkmP3RckT /hKU30A5+nnn7llSYWEix+G8Tht9EItTlIwTndMlT2cAxOboVui42mVHJXPF6T0ZN8cVZE3mQlCu C8EJzIn+iQDRmgvMLTZSTkGJiR4+6IfAAz+e2LV8p+4hTZzEp1DTU3OtxsK3dfbSSU2fabbPO3mv ygbqu5uCaerwE+qduldNWrbLoUQtJ7KEDQicbqgQNYXqPR+3CuiEaI16V+tdrnz2W4JaAQaZp56r vBsbxG9+MDyYOFaeTFN76j0hlx0q6OvDLHgwBVV+eVL1KhGbsj7vvD0bxHzNCXgLd29fvtP28O5R RQnIWd9TtVwj49EcRwCmsAUqyshNwyXVr9VR+MjuVyd1ttPgvssCBB7w0BiEQPN8BDeo4vJO2qsC Dp63bikzWDczOJu6Ser7lACfd85effwvz45EgXdSlLWeOEUp+WJmULNFhAOVq69P4WBTRM+mtvHO 2KuXrZDMbD3NK+w51jm9wS2ubGJCaHdaE/NEaKHKZ01gfV45Xr0T9vjqsTO3SfRvBB8wNhu+tjS2 KJipRBm5I/C7vNlxRk2qEylOYT98yvWyOi6FL4MZYPbkhg1jTptevrP17lne15T6IleLUxvILSsm T7AkB2KBSsNAjDEbycRWPof50lcOUijuJ4DxfBGevJ9Uk6D/k4aszztZj/v+ZuRCRpWzmXvxQL1n 5ICEMiOX+giFpnb3pjhkRKzGY+GlDfWekSPL0OCpmpN4fWiNe4DLgSsUqJRzyVXBWBf4m5k5aOn1 WjZMWqq+k/X4DohTLKe5i5ZF+ylxBn82yf68c/X4N/YkikhyIhcJCmOcwpRc5mDz68VbfukB8eu1 MIhHzDXfmXr4PU9RZW0eXp95OCQQUbwMvnhw9FxqKxS0yBZcgjLE07zCK0PfOAgTGXoJjTK0AHGv s66DZOHW+OBcqp93oh67076hpJ832K+/gYq64xi9U5j59lbKulfeupOogSGZNtR7Uo5RlEq8Zdzi dVu/Jx9PMOiALjoIehhSSD0enqYTctZ5PXahm0iHd5aezfENO26LASzGbLIY2plfM9hP0+d1JjMI qN0djEc5eSVPvffHbI6G1vJsoNihdTSbDTXfGXp473SAj60BMFfrrQDKlLNMVSdE/bK6eamzckDL e/q8Dkm7vnD01glhn+6iKJ2raEtX4+Qkn3d6Hmu17/wJeLdBTrOG41FKTtAcEE3CfJ3TCUml0oLz m1JfCuft9Ol4nJIrSkmC31wtSnC9A7FqsJ8J7sbWDBRzRfSqfpCGul4Z+uqfd24ehm6Txo5NIlzt inABaciMDSjDzzs1rxrb8nZ9IRnZR/Guyjszj6kEo8Rpou2l7UlB1qycyVNxZt47Me+J0rZ9wlVR Otto9XMBAV4PfPjgoUeaI7ZXpf8xJptdfKBc9I2jMDXJjSxf1KYrM3WB69vk48Erl75HUshKWfxY mKJkvIlATEUFDlvqvL4WXcUxTqbFnjLDFKJRSFk5ifYYd/Uj/FxubdHEKW/mAJa440DsULnBrNtP 8Db0haPyjt2Uyd44F22m88UXCXnIvj7vfDyDITwHE/LgBiyYRSnKxHvJz6ilCiGnaQI09jnEI6Se vEyAn9+5eE8VDNOeu9or185Gr+dcYrOgwp5KGhsTxcpEA1K+FkJ+TWqNvDPx7kRqbL16oMcR/YX6 U3j3H7DZPu9EvPqQ9836CQpXyE8sTlEmDlVZnto+uus9LwPUz55cTDFLiRm7KWqOJ7UwUUTc1V46 oFHREQeQEH9YzPIoZDKxEfJPvok4Sfz8wtGkvEkyY/uiA7yAFMnc+pw1n3cCXjUZw5s2oaXU+7Ob 3vNw3Ro4mvrdTaO6OGfLV3KywqhDUYoa40mtpzpqvqu3nmqlFUOSd/ipiAX06Rh0DM6vqUxmeALW K+/kO+z/JaH4tH0RoCDD0YD33PnBn3fuHTfT/jrBIRNP1WkLU5SFd0FTdnVZsrKHwy56zV6uzOQd unfmXXUCLLjA9a4WpnIOjUWTbmTh5/e5+d+0RS+6DKcWajo7pZNgwnfiHS8dNsan0CmzNO89gaam ygW/zvcs3GQjlQ9QcLGTr38TgigNBxyKp2p3tLPEM6xHNx2fIiHvk4a/s+54OhXh5NowvBzhDUxj IOTdU1YafsKeh6yu5rmeN0qAwkGLtzKTvnGEmCMKTFZOZfL7M1B0vCfW+Vyqn3fOnaNMn0HwgqXq 7RO8U+7qlatJJsWJ7nJ3l95RsqeXuTrw8p1xx7tWagbFyrtyGSyAVMBUNRFmeU6RoaEMpH83nR0R KFo0CMCLjuLnnXDH8ymrQ6Dl/AWI260NRZEaTzPz+eDN2x4oeWODIVzvMR7l4QLxtuWB6lliPuBx 9ryXA8LBZlegot64qZfmKfVSro5zniRjsII6deOatL+BVAJQG+fwy1LFdLurYV85GEkRM9crR8Jc fAA8qUwLsbv8eafa8Xv274P8/NM5E/wgf2faMRMXMX/Lb5YGRQ52LvJ43DL9GHIbeCfaPUiVUlu7 q/UJIAuP84gnFBRDNiecbbD6LmxipnENUgb1j96ZdiixKLuSJVhz7h112uqGL7BgT2dvfd6Jdnhx x8X00PgZFQNUhzxSMVblWldkXnlU5TAvmYw8h5FKyIErjqh3op29EZSnMfLYeCwwz5ZacIalQeBC GUn+ZhO2lRJbBeKd1npaVNV6J9oxgyIPo0jknKgH9uigQpR13af1eafZsaMxvt48XB8DX8fiFKbj k3GCQpyIrom2RfSvoFwi/3hud5Sk3FFRb7xVMzM2s4/2mH1grqKaAmbGxhki1hBpbuWcGRSpW93Z V44wPQSJqeVb6SvGOI0OJwNeY7l+3jl2bNGtrzcPj/fRvJX5TrHDuYbdQ0ggj6VTfK3i8n+dPGKc 6psFBq+8d4Yd37yiWbnJnOenNX5qhZMBbGJ7Ke3aaXjcoEAGEc4B1/TpiqWZk8vPO8XOmmUnKLK9 6tf2qp9zltK052Qv9fPOsCMm/nducF6Dr974O8MOKcUSmO5B9aQLwmjl2js2Ena4ocLeuDULMrtK Wu3OO/ddPQUYS86BMVQVw2yAYDcxQaUQgJXB6qm88+uqdKTP0dRE7WnWeTp52kkXzA2ltc87vQ77 aZev1ABkW4hi3KM86o3PIZsd0zkCmC75nHON7LOWDoNPXnnv7Lpn1tKG6mCuHqfz/+eGSNLxmVBD ZIduoM01EbSdbkoOYTt+TETLYGU3mCBwsal5SV1Wxn2PzzuxzqakXw26c9niuPQoxVAVVi4kNZia azOlAhhTNQcX5GUuV++0uue1G0xQbLU6+Lx3pUFDS9qISDt1hPH8RC95f2msaDNFbfFBCvD5j5Yv itKCHoWcsVv9vFPqDK/4NQxGUTyhq25hihJyqrmjXXDtT748Pfa1EIfbu22mf0BVTkY57mphGis3 2J/Sp+IcU3UqaWf1DfDvDzQVHSKGm/nzTqcjCwCX3JLFFRe9cxvNlKTW0/y8s+kILRhf7xzwu+eA u1GK0nEwuamddS1VyTtWU6U2txMgPYlNlRZixkW6x9l2V0eqgAq84cDE4Twk2UzeB8c6Ej0ON436 i32NjwkyAlJYepJdWrrwMEo0k4XQS8PjQY7Zf8EycVrWOy1vYS5O8sEgulENujJdOHLV4bOoSWwc D/CoJ57UcmrSlNF6Rwdn92T6yp3U4HzRmuwAP2kA+/G83S4sc+sbBywWdsNP/r590Tt33rgi9sG5 EfB4ACq4cENsdbSdJ8TojeoTpeK9yHSvmOMCTttH9GK3u5mGdZ76eyqe3QUTyIe7ers3IUEYRf68 FX8PAcshdQEZQGK3PREnhbXH3EzqOAhr2B6sIVjEwtC1zccDIsv+7mNCmhFCUh6lKA0HbwUYuumv 3PmFuODF4CjW5CKraWv2CKUyBDVEDXFXRQncLvBKN8Gr8OWZRnbd5/5vaoCSFa1OAaVBetQUr6rr tjpP2zpP5bypu3Ome3LMicf/xRtjinUSkh96vVqcwjQ8S7h/X8bPHjdrasMJiJCR0AHeo6649XtL 3c/qyAu8SFWUH5iqZnL1QFLAoKFgqgD9i9sp8K/8F4oubxbA5+tiOKPCY7XEhGCcTAzPR0TN8rWh MrT+J5wGLFIhVbOqHV4MlQlKosPoZrWuAbTE0ILFId6jRFw055F2elYvgVen4AWlxDJcD6vAPHQI rpgBt/pYfUkCuEeJeJGCypa0Hz+OrQIOvIiNa73j8SBQ+7v3BDEzdPc8ThFfk+8JbAOS4+jYSlTm VPhv2aMr23p0PcaoqNe7Zbde97iwzDn5skknEoyiLIp9AZJmIwvOSBRdGIu3XY9a40t0VpQLePMw EzXtBpA18JnAgOL54Lqb6TspQDr/tFR6mIdPMuz7dljmeRGSxQkerp5hdhys3E8RRsX2UzMH8fY4 iEOLAOK1FqcTjix2NLQWTpxQuVNtzU6omvWNg6xAau5NS4V0hnQbzkWRiFGB7QWeD/bT/L2fzvOw wLU4hc1xYZ5oOwuvgHZql2kNFWC4TBELiZQaBT3MxIXlmdSBt9UbBedTTu2TSW4twONmYXloK9Dw m6njytLlhWwEHxQd5ZRo3cQ+CcBQtKP47rHT3GrBDwgO876/jijQswckIyxS78k4ZAwIH1WTidIA iwyWgjcv0caYrSdUypVneZSMb0OHpTLv6jSpk4IuDADpYgx5NQIPWqccB+xOfujQ6uYmlB3tUXtc qdOp8Kov3Bqw8FtyW1+p4fGoVZC+Miio0M6nO94j4Lg5dVDziHagvWM6R/eJRnKQ7EDhCq43L8Ko 2J3HxpFW/prZUgFvbEM2ASc5fPem3ECZviJy6EWWBzl+woUP+oufSPs0W6ylsidxEKAF8/F/ojIp zgplrDHb3VFhSk4/A24j2SlsMs3/x8KSznv/I5OUhGbuqKg9vgyPKUKn1tt8gvMSsm7gY9fKchGA iQ76XZm8++FEzjxIdu0RUMUGeMIW9IstOA/3KbGC82PxeLSjvrPNDBQUXlXnlkdJ+cwybKx3MMWe qwCsNE3npUcTKaabI+qPz2nggvysfpiD6wj/D7qAniKvykpgglgCnDzSzenMlsTDfERZeRazZVGw qLvzdj3p0NxS/l054/EgK/9V4jWaA1xK2Yiy8m4EoHUJQC15V8X+KAJQtnHLiJvjNr9b7a4WJkiy nO+CdOUka32VJfUnajpt8hKQ07u0Go42fE4QJh3lS+ZeE/1/BqfUkYjg/kFLC89HAFY/oSYbBifa ADtYnELgOLPyWm5uMFP1gbA+lnGauxtRakTN8ayqZfGww8qOF8TlTgIGdFDCKB/4ztwckghULNCs uGCvhQJoS/iYAL3aOnMCSddCaECZ5onY5NV3bvqOx4O2Sv8FDzu/mfZkUCPEqkwJqdULoqs9efOp XOBToQgDX7ooI5dAEzgUw9ZkUYLH6tmu8uSAptMwLysKAHeMK5Fyel6AhhA+5q9By5ALNhclQrMv wXsaBA1H3Bj/lisA2Yqe3xalKB/vtKBP0pjj0VSrQ3xR4lmUEp1duZeifFxOOAOIlLvetkrBjb0z BwjQyJRCa4NYxiRQCpeWkYInSXcj6osDGDLPi8O0HL5zGsEh3UMzgl2AkvH8f2HdDfjtAhBvcYry 8SHUNpV+vG5Jji3I3cmJrDQUp1BEpVsFLLprcZFBWFIRaw1QPAttcM6lb49OCI1S1rwczk3JghEi ximf1nSQt2zTwVOyJOSwuvQmHo8QPd/jA1TBY17c0wgB41POsfsOzPvwKJ3XvdtFh5avRSkCjFdV v2/Tg3Pr7sLcHii685FdQOiFoWyHwBMOFYeLY3SGj4mGduSSZUIyFxR05byxoVgzvAYeYSa+1leU cCD3/OylEKfC85v1hO+l5T6DZVyR9tKrRynKxJU1nlqi+0pbOpxMeeRzb4vPcmJ1EqgtC7h+/tuB jjsQdh4l4LfwMX9lTSupLc6GoEB0MxEJQ4H2jucjOst3CcyWeM33aAoT8amO07yimHM5nOfixqFl fzdTnIib+9uud3U6C147gHEtHaDRABLxySIHbseQi7kvHdPLESbincO6TveNLJIjXzt0uSQ5c+q9 hR8QNAvuIc4GMRrt8wKfRpiIU29cTEJjTu/LnC7L9cY58mRTZYS9cTnnnhpw3tUOJ0gLnSqukTMJ 8FBnO/OUeMj0MxLKsupVwNg6xUMSJxUwyqR8b6E7HcdQoCzJzfScygXPB4G6yCee4ucOX3AiU6Bm 2B2v+WEC8yXkZ3FcftJll5w7hUZXbTf/IVzYZ+53tYEU5PChxUPE4cm7dxFkfOL+nydyPyvNO7cr 9oWDCpi2gq2Q98PFyrmTwLJYg7kEHo+20zcTHzIdFGq0KEV5ODtFmAEXB18kK4YhO0fwBTXV5Bl7 MqcZcji3hArk7KnV6jrkfnub1P85pvPSQCpRWzqTpjjAuHSY79JXjohkpvQ0fdFtl4UeRpx6wePR AXXjROUZAC/m9hNqRok49d9O8jc8Ea/0iCM+bM3hglgDNF4JYsUMTqF5mmZSpiioQGXoG3eSgstJ BFeT9+KA7HOmPmrpxIcJzUNphxm2x4mHbpl2sVwYqFNBC7OFo6ri8ShQv8jTJ8la+/JZZpSK06oa /fzkqXi6Seaa9m854dwWp7g5LsChNotWTwsKZAyp7ECgb9W4BeaCQPudX8FeX0axS184iFKTT2yS sEMyLA9Mo0aXYkGZeDxSDP2l+ISxw2o3e5pRLl7Fjlrds6fhVI2znaS6JuxFNULLjHLxUUWzS7KX 5+rgMAwUJvJIjTgrJGb53hG/ev5DWun4JJjGXDPkb1KqNy8NW5ajDespXkR7aOcPeDwYcbbvNgGV Zx5U5owhKsRsU8TKUJnb8wLpbuitw1XF225GEBVpPp5jSbhorvbWwf0bhL3C1vjJxUfWbkLDeaLW gbatp0+08JxRKl6Jhm4yjeDCDzmv8CBs46edvxIeD5LM6TkBOwLoqELsxcMUYVR49sJNwzV6934E MNZ2YYe0qmFUZtQZt/q35v6sfjit80ZugCtQsYzzCxjyk4fWA6y7f/ZDtZvkTM8oF09EQa/GlGAB AchcHG7ZOWuDDH7LCJKZvjYTuhUYlnmUQupmUQJ+wfWZGGbTfC53HgWoo965UNTQtFVXyXe1owkd Gjk5Ihcv8LmU5DPyJYxwoWjyTA+2vnAwtZO11Gh3UWduwcKDdd3JDT4zhKik7+qXhxJsgyxKEURF RGB0xe0AT+ui587l48xySIhalKJUHE5t2EO0PbLV9tLufUKdYsuQeWa6TyZt4b2RbKD36IQWIutn lIljuA0ILEuWTm8a3k77XOudcEpcrHg+Qjx5z0lWCaiprjbPDImbmOrCUcoBT0kcThGmp/NbC4oM xSkWU+GRNJYQ9lwNfYEBYIWUAPNLmHcmk2ZH6xBE6lzHQ/xZRd/4r9EB0WS2GOIJ/o08wU/Ng8cj LM93gknweb6jqBWl4bQ7ArrXG71tG1ED74bVezBD30ZAWBFIZWQ1U8TK1GrbCXBnSDLzaDo5WNPA GMzWiWZGg8CHS4RIp2CF7XCGZ1INWYvqfXBmp3BhteLxiLnpJ7jYB2h63aNpxe1wnkdz+NHUVfQy Sj21OzWYHqUQpCLyWJFmoVY/wE9JR8M7Hk0QNJI5XoNJ7qwUZlgX8LTsC/+parg4tWu4I+VjWtag 6i1K/1rw/L+UeXAm4MyZ6WJUVigj3ovCdEGG60kHRLszGRVLLleIURH54FS021Z8j8Z0AOrhqxGL uaA3M2Qycj7qvNDIW1epT9K0fho+JujM8UyahcDeWRzYOyGeIbJ0W3z8X7WKAPXoed3ccsXdcFn8 jDtbWdOjVOlWJ5knWGgrSiFQ3LOAtO9qmwnyY6D2ZCZNzJXl8EOuHZiKgz2n8iBWV9wNN1E1ZeLo 4HW1ec8O5aQNKRmej04mz8ER0pMWEn9w5XujHJz68M5JFHO6desQgNrMfwubTqgxImtaIXOzavrL 6aqtloOf/QP+JtXV0BcoMvCEm19XJ/OHmhoXxzP1lYPuHMe+nD5Bq+9cOI2asvCnNuQFTF8mfkI0 YLlJgUwB0aLYd09FiTjQa2AcGBoFf7zzOvqUG0YFPE/FKtRRGWZWoyOaqzXoSp8ZCmuY14Fqdd4N CtQWpIu5ggZf5r6WgIni6ysCqayptElV8BjunogXXADf0vk9I3DYDRT1QRZdz73hu0K4OF8+AN2t 7XQKlmGp+NAYbzIVH1axrDAVt01VpADJ1U9yIC4qtK4gPz33FImxQQ4DIoxI88ejCp1144U6Kp14 zKT+k+CDrHWsb02iNh4PAnXZLMPRYecvd3dUlI3XYoKGw4lkysbZyGyGM6CNsJn7rBAwrmlU2j3d 1SvgCYuCTH0QMI5dEmvCJypnugFByN4xKjig8UF/eYug48AFyQ87T63lSuYzSP94/P3Vo47JnQBD FXyBn2VxChmcXWyW4rhMUXlNrX57Pg6ehamM/41RgQP1vKufUmuQazmMHrUo5Q/PRJCOSiXhrjkx UScNPihgIEgK6/+4LUPhbpcpu6jB7xkgCi6Kjjs+w41h1ztrWWFrHLd97y17pBIFhGTFKd0+Ilhp i8xIxRm5hgdTE16u/urtvhpNFdAabwAEkHA3KP8Ccd8fdv89jaI49IpScllDQMfLF51R5yVkawhz l4rH/7WjmJKzHgfATIHaIUaFZrj0dfNB8HI935M8O/EnYabMBGGHHM7tcKd0V9tS0IeG2/Sip8/5 HwT86RnB3fjRoM+5E26xLxxVeNQHQYHFJUmhYZ/rkO8F7Fr5eET88TSK3qboPdXilcsO+ZscIGQX U4MiVvJO5mxXmaeSMEPp+tjXx/T9NeLl6pOWU/5O5ky48lqS8iPydOCICuXVIGnrVx49EHaIHJez D92ltGiEMMHFVvfpFML7PSkXc+irrYLrBb1Ui1MIHEdCc+rs4rtp0jpGsAKRExCnlYcB7HeclKt2 6WpCcfW2ysmR0oQcB22oYQgvWAGIjxMC3hmOetcseOgbR006FsKFPghcDIYKAJXgKn1/dqyncsNE vt2YvF08TiF/U5rsVKhk8YJsx1q+EKtwkMpysfH9D5CKuKu22vE0gLcmNoKNFWis6a3DG74hGkb5 OT/HQVTC50S6M5IISXfR6VRODsfTqW1spwil0n71n+Cy3h/0xQ5R46zx0pX4B6zH1XlqWdX7KvgP FKZ/sDer9Ve4evVSUVlUDlowxYNYDyfmoxIn0sG4vF065BP4mCB9YmO8l60F1ctSH2kVlZXj/EI+ OxZU+dUZR6nYLrh+Rwl5JcRX1qkmqJKvY4T65TT6wfRDYQpBKkPwiy43G6721p1rep6fRZDKok2I OuMj88KCiXu7wDAg4/iFg+SpCJ2yii966QbYLGK1pIXHI6knbxgwGz+1/m5XEWtHIBVChiDKeOUf 13KBf9PpoQhdu2dTqGq4TV9cUr5cndSC/i+tWACHOUe4KR53BGegny0Ro9VU4TV944gKnNid4xSY i/V80VYparzx8aiX+e09Bo6tuZgzTGEu3kyr3u2Tcx71vnOXMV3wi1KYYpQKm3MC/tl6GQhQKpwE rUIzF2nzj9D3FeathNm7e2shigmfE6SY5JCNKX21afpquCpH5nmOkQse/5fOOJNJdCvWlTTcUSq+ ZGbTy/POtStiRCcmUckmkeOdP+nvo6mIv8rVd1OtsC/XbjrnXTFx5AG2U8docNULMySFGB/zlykS N7oteudKa8z4zilXBx6PaD/3ZCKcpVEBz0flO8rDWTlDp8otpkur+e6mfiUygd/WboogKtS/P7uo rGf1EzxDuneQcXf2zkpF/ZS5lbZMnA9uW85+DD4mSC+LPLhFcnXDb5CLZRD6c37cwOORfPavETAy 0jkfcf8UIlSyupl7W6sAN7YjVHar1n/qkIGRbH0K83CTTSOJ0VYFCn7rmN3RCfj81oHRJ6ieXCho WfUfWp2Ark3Xn21fOvJrw5ai4/nsWxhFvW8z8f1FQnQOQf6IyLTN03Gm7qw089UJySmUN2RC3oAZ J/+nZ4xpxP/ptD08f2yYC0+PV5iRc2N1aor4ahn5rAAgAgwGuxY0DKbRWpBx8pY9O+9qz1D9nJ8U gTCwqdImbDxtM2wBUKRLVLGdrczng9rlmZtTnRzACjjJ3miFFkCobjFtro5/2jNbf2XJ/h2NqLMl lkcrhI7rPoNV8l0tWqdQnaeOKxzpn9R/iRzbgPcFCQiaLXlf/Rlq6vOTIqiYfBGWfBHAfmRqAG5t FvpwwuQ2Rbn5uExqCQCfdHNfmEFOUcucLf5Ogye1zAfxAWwdnDfFwWJEQMoZIYX+mxKhWerzarX+ JgTqwEbE1oJeO9upeBVBY84g7qD0r7fYkzdCCr2A1AXOpOhXIelwbPUNQWv+cQw+H+Hq8tcliHOT 1bTHKkrQ2eTuadpMAa8hB8Rsm9e2bGNVwaO5saK++TAIlAzwtHpHCs24CeVLCgefymboNQQnIBew KQoaOVbJZPJfc4rQK5KxT4lIaS6K1cnShFU9yUnm8/9i6POEK40X0pUyyClsnDMDTWQYkjiFzMfU jUgWljkwQIQC2JG/+lefs9DGw1a7DQvQrBscJ5gPIUhiC5ukH89Y3oeUxigs5fhJERRRPGG4+WhR tAoMh9hqOvuUzwemZfmXnSIaPvkxBUphto78CrmP0/HOr9+YZTh1qtHxIPshyKYQDuFsB+C69qyO vD/3A6CbiRz0k11VNrGsg1dokbXIrNaFmJt96SDHWuLCUjyai8ERe6/y6TwvPZ+P5gzfBm/gA55E qzwbKxRcqVtA4KKNBUZY8deQwvO8DQswNvYahg10G3Cy2WurHVmA41RWWqiiIJ2QlT14ZXX+UvmW yoWoVX5SxEOn3m9iQlokTMvO8CnHeTj38xCf/y++wSDiQ+3kxirEtJCrkORUwlKnXG2D3B8VxOXq ozlFuivGxisOuxv1pqQQPjyZ/OSoDwadRhCii+TE4HY/hP0lu6kUOwQtMof3XbitzpkHnVPmpG3w +eAVvHBg1sskWz6idRrkvn4uLfFqsv4m89M7bihXewUpkocq6qIPYVX2GvuuXuSc03YVqfacGqfR qRD4H/SkT4rJknldZh6pw/igqE8lm7e1fBE0cZ4iXRKkJ2vj89FM9BvpihYQhaksVKFpp82P11je IB43VLMMF2stLPZkRhliW6z30qSl3VwCDJIu62RYsKKitjXArtkEtMCLw61/sqx5/W+af+fgZGcn fRRJaBVrRJ9NjXFrVWY6+XxUEn5LZoB8s9BE9FBFqTvwmZhctWdXda+ca52+q9pVuc+BcSdwqBI8 GgoVV0fj5wER90nkRod1mfKKBLs2Qnt5TJnEHxGKOfbtLKqdye/o3hSrUDTv0mRbpfL5IHHP31Uh eNEDupUeqTBtJ7+jXFEfWpD+SCEKoAHvMcAIjj2GHFp3GkqRGb6vt52OojkTMHUOvZM7yGxxgvdI Vvm5iC5lCKwbfVA0xWLrs1F4rDdWXSIQ9EHBVUgF8PmogP5GlpXz0negsj1UUUOd0sFdwgJqx+yZ bjsmu6Z0p0ehNlWUtE910kHIu6tB8EaDqD3w5Ni0J0cYIhrDKhxmwGASP1ZBo9lX/kuKdMLzxxZB 8ODPoMnDgmlu4N/5DUoglTajWf24wYYGnovN4iLHQApqSaaGbVCB8hGpRTsWRSqkfuqVagZ9bV/Q V0jWpC0U3knXKwbjPKlQ5kAK6Yce3ta66sO/cwTfoNr9osbIZKH+I0mtQeX+H4jQ8vloivx9qCPH a9BE9VC95+tIsqtm7MlDRa9Hf/9coQ3mYf7+hfm6mnwnRxt3vb1QvBow1UKTjwCCrVDhiJhM7dCT MfhUn/ado6OqE6u4ky+CLIJVmtXmW4XPR3qkDoClwC0SlPkUgoGJ57UMmvnyZHsb1mHIczhpr2Yn 7eXQxXNJsXVtAwftR5AFnGGgCNiPOb8d6GMyXcfhvpFiwVT3dvrUvgptPCUZ1bawLtvMPyA7P4ns h+pf5/PRqf6Ln97gQrWfUEXJOp2RMfu7ZDSdT0st0WQ1c0c/2UIV2QaJ2AitqGf1yqYusOxpr5SA PKvyNduZvnqlS+HLjdCp9s9PirYVYwUwpS2WVp2DRK5558vz+Uhr5DsFbdydX7EKk3UAfdBDv0Jk aq1P/qKnK3G3nm+sQgS6mHspSZWFqzeugMFcyPyg8nOCMTjYaSTeZciY/5Al6Mig3uxLR24BRvjI vhiQI1EKgM2Kwucj86BfjAbARs9heWMVgtDneAobgqh0cIkNOoeBqGoZRvzIsaWno6fyszqUA911 IGtInIXAT5EcdyFIAZYrBb+NS7ia9qWjERdnN4NUGTvMKQ65mugmZ19tPh+VNnf+TsAjRE9y/uog h86eQwoIyQyGIWhzybPZO35g9k9TJMuhtadR+7NsO7U66Kw1+CAkSrcVUMLkFAu2KA95DAlaeSZd zb70X0OcVvU21iLjPKCcR6G2L1oYfD6STfy1tSBFVa9hRw7dPQEYwSCwLuu2J+rXihkKdXdjhvJa UqxCTLqcqU7RXe9qheC5PU7uCUwoIG7w8No6spDFU+safZQr38ahYA7tPaWAUNSLKbcXczItCXX9 nA02+XzQEX20b4nsOyfRSk/7OLb3lOJ0atpM5/TiJYxeDEYb3V7DCT8SHVmBvyf2lQS35Iyn1Y+s 1SDVVBgrcClppgtFRsSsIIsuja+hWqI12Zf+S3ELaRpLQYCm+fIlqO9LF/+8HvwBEVzoVjiU5874 jT1uzYHHp1EmO+bj3hKVVPCmjz2KFbZE8Z/4zgoB6mL1pW45eb/N9gVRmwXS0Q9FLSGTz51VKXvd 8NZBjNovQ/rg8JMC+AKjVTvfQrmy/9C9Yw8ZFwDlxuf/KauM73f+wl9SJDn0+STh/rwByTN3TA5+ pF5aZnWYR6FOGzP3wOgTeDaN5Kf8Frj6MBXWsR2ccVAeKqb0zMcmGsVDB9a86E/ewfygiCkq0gOv xAkpEIMyAklJOsopGyZ/QCSj+CtWGzvxabWHXp9oJxGwd5sMdV8Uw7hzZ7FgFatYuEUeHhriaPX5 4Dy1aa6TxIdzacKEi10+QsDAWsso5VzRXHOJ0OxTw5tZiIrhYv2YU1NLCKjXxuf/ta3Y5qP6Vnsu wih1p1PC2cTbQVYzdQdZgQz74z5DdXuoYlVz8z5TqHp99LfGPnsMs3mUzvDJ3MlNizs4dgXZ9tWV WtO+coD4UOmcieqf2YXv0JWWTNmJ1Obz/8XdC/1fzhc9UlHmPqTYPafbe4ET5JsqlQtH4/BAkYra 7MOgQ308q/djVgfAQ/VgBYmGhxXgShMC+TD8hDOQxjfZQhWCYxr7MTvbksz47RSkJL/B10xfNMgY ruAGScgnVGwJeahCcXN6fJV+ndDo5eql8/QuQycVnKVzYPmJRE1oK+kfPC64aB1v6GsDvIAuA1jI csLuHCoAegWgpeVWgK/qc4I7cGs3UWCKi/VjIHS5rN/H5//LSZXTeX/3IwOfQ9fPlKbo/966UuNW rauZHHDVlX11/ag/uwypmkJnvQqdK+OfKoRe0UWE7rLshjYgf8hS8QMLe5M8qop952BXkY082+J7 CM5206k+acCOXVU7n496V98yLqCfLnhtWqhC60/6LQPq47DZ80t1NFGf7R5VHG/xBYy9P02uzFDr XO0FxDlyEpLkreNuUoHoU53vimx939aV0Oo5NP/MVRp4q/lih/pCl/3Hm+yB+yea9N8jwY1fFpTD PFJRxr6XSKS3H1o4u1CkNPDiplouPZkD/0+gfAw6u5/Vc4Xe8AZLkmuBBpPUuqrU5MHsFnCGy3D3 7xxhGIhzrIIYV9c636ksyatDO5fP/ws8yxQD4/35JOyxBeiaT8LOQSbcdWzKRfMf9hh6c1muHHqA iknaJUBsq7WuFoKSZM5UwR+gmmNqbCxkmmgWkJNteNo15gptQCfVu0lzxoL3XSiPNUGlZl7VM39A JEFZf75HzYS0rmd8E3uBbhY17WKy0zMU3GRo2qRreEsmNgO1cPEXZ6vXNziqFvIAWHnM83ZKHL4P 2cih0QovA1ed0mA+sAOFK46MCSn71sGN76IhrXMrcJ46FjAfgR8oCudfzXa0DCF64MEKU3bSkArn FtpbnKwZSbm7UtDJ64YHK9R2Ma3cKdESrt5kgHYMzDcEvOqMG5htvFpQVJ9i8MszBpMjflIEU2Pl vES0gb8hD6oKpQEhGAHI4Q+IOLjfIgropSL3u8GKcnYiOM7OGtMr51asgZUxm/cGFsbhqgVDY9Au kGjK0gtKOT85e60FgmaonCtsWSUS36h7kKmGRLsYZyPdL/3XTTiaMNqem9QBJzbhRTsao4E3KGrJ X+c71MP2hdXm0Bx0iDH5/xj70iRnclzJ/zpLWhv35f4XG7o7wFC+CeRX88yG1d0VUiTEBQR8oX+0 eN3YA6x6JZEAKpq16cW+wB0U/VjZU3TR3zk6I+lkW1AU5I220tiWjKQ9pKhH+4GdHqqNv/RfJZnZ 1ZuQzp8qo1uGwj8oy/IDghvO7aNSrgso7f2AawOD0Htx3sOFF0tqjuib6zYnUML2VRgbEmn1NUE+ OHqw+jkJYa9O3mRetQjRV+E6n6meUyWrr/rVXvbSwSoUlE+qChzUnYCoBWcLtF/4/D/TUcnntpNj jec4DEvuAqkRRMf2hPy0fGYtQyKfC4/Xr0KbUOuOZvNXz+6vjorMwp43iqmblSWaZRsy/8AMxn9/ gVcquYc+oaq1o2Tkg4BXsB9d6jisxeeDfDSnr9Qhw0Bptyd3D51Cm9pegLJKMu/cnh22fY7UbDYE qKL5Mgxzdx2Go+Z9RwWrwdr7pGqCbZ/zKpEvjeJFJRN/0DTNW/QlC9EXeIUCt4G9CrKhHACFEQ55 sfnPYt+e/IAgdxjf3EBgT2Z+ajKhXSihZT2PW7+ydiE9iipWr1zE6RTLnDTwC4Wgo2x30nhGA36c zwQ5FAKD56vAzRZ3/lx6OqyXThDZo5cvGN13cmAXmkyzg6L4HIa3ZM55yrRBaIbAL/T/moB07lUP Ri20C4XuM3ap4T5Fo1j1CgKD182pEYytSEXodutNdG9A98s33WdJIF0Uu6TiMrUE/ACf7OQOg84N fiUcSrECv9BMXxgwA+XLR4nErDth60VNeuhY59AxNF2Rfa7dTbrSrTSElqEiv9EuyK/PyWldLVOj gpUGhE3X58Az9Ppk117qHW2/2ic3bg1SzOB1ndmVWJXoUMdlOvSDrdExaqnYK0fVdoosbFlgwYpQ 1jKZFpt0NT47Ij8ggj7+WoBn9xn16nbkwDX07FYsyoiHbpI5oz2VBmfnkuhr0ypWg5maTl/jxRNV irXQmA9Kg1WXwo0kvEMssA+ndmW6HPF7/jKA7qYKM61KVmHIXKfdpCufj1S/f7Piz8SGdZhHKpRH HxT0GlepSrq2kjjJ3ZWqGrw6dAj+4RtaDXe27+i49nMpLFnm69DwKI3bWSOpYrFhja91eaFa7Z3/ gjMMOsZpEMELsObH6zGHzqGPbQqXGhLkr0p76BxKu4kze+zOjKttNmenTOy0K+kl76MG1qFYfwKJ VokJcvT74LltQO+IFIBz3J45zPJVZwOYJg6F0jmGktEVJ3QPbarKtKR2KqUVmYkCXtSl8ZIbPyCq IHsmyuVK7OMDkwkMRDOP3kuSYJ5VHglwzSsGCzmizauYhCo8w6z7jj6vcj+pz6SiXu3Q/hQRh1Ls mZXq1mhBNx+z+hyYiOKlCf2X6CfhxzJ42ihpsIScUWoIXUTJ2Ht6g9ihf2jy59EKRRoT96vdnYmD A92iVb1e07hePFoRUqYaF5U8Qxttv4JHwVqNIu5rMvhGbGYb9LwnLg4eq53tlaPWIPWtN/MGDlqE G1/BdbXBWQqsRL+RomR4DX578qrM5zW1ali7Td5z2RehzsOJRThW8usgJA0YqdfEql19awjP3tGP QMqWVracC2gTpZjQLpwZ0H2FZoxT4WgG/ZpWNUmbYqXxAOSgrTydS2wzMBWefolRQ89YicKw9DND k7X8WC4XRGhlual1a8vj9T1Tz+D7qtGMXcbmUhChrpSzNGFkON7SQjm5U6YL1slCK+p47Nygyz0Z Ukwz87ug5dfnNZ06XwOQN9BDrFhx0ETCWhuikiw8/RYiVI3bV4jQ6JnUYmOIXjOpBq04ZlJ7+3Kj TLuWWy/JiW8TnUKG6DWPwiSSNR/RpD5adl4IrxOCD8JXjmDfnSTLEy8oNlnKSQm2z2sW1QAdKqom DB9ULsZNX45bfbfPawqF+BoSxnr3qMu2ui1CrwlUMx+onvPDHUnVS8WZv5FzR2xDek2fGso32o7y 1+jdh7P37wFVZmblJz+wiyERvpSixDXVIpT1uu8RQgIJiLIEGpAhyuvq/Ktl8h7Q19m5X3Onlq9h r+0A543aYOuBPu/hOlvMAeq6IcqO7p/S1JNkRzL5gNe8qelKz6LwSHe0Wjrgs+cnxlWuYJsuheus sR17Mr5B5mO7RFNqw72mTdiLyD+qlUVPDsYGPNsmSUTsur8mTe0xWB0PBhTfqhi9pkwNFTfZWow7 jbRFs48sWV2CE1CPkg1tEKNpACHjTqaHjLvSOTbO2UXbhg5R1KLSXcNdLGMD/6EWmjVHaR/1eU2X 8KNWM+SbPqi8Avk8qcf3VT6vuRJXTfEYMUhnra26fCK9pkrY5fG3fZOPzh/jUkK9be8zTDG8YQMS BalJ7buZ9HB7hAPQcjgZNidSmlgokkMrkKvZlB5CPccXG691r1lSk6cW+sZEMk72iLhNn1R1d9ZE +snKPq9JEnYzo/4N0zRh3bxbjF4TJOzYVQ0Yk1TgnNq2Y+dabjoJdoR0h6MdW0ZgACbe8SIYV8X+ z+IT5MyIKjoTabJxhUY/uMU38yYH/jU9ao5fHKVnHwT37KjFCwy18+c1OeJb5q/FBgHYmQgipq5a ECNMcNY2fEMq5WoItZUdCTTSMhLbazWTG4UIROZJz9FBsWdJnAxzVXar1vn1p5p7fOPKLlx/NBgp 5/Z5rWUiRsodE3m3JRE6i4SB6rMC10EANYhRv+ca3g3E7N1Jt6UKVhAjQlu7fjuy1+zmixgt+TwK gtDNZP21iIlvF3cNCiV3tBh19FIWvGpAHe2t0EgYMaJIN4RfainrYvBoc/VawsRvsSSwNCXWQUME bvoFAuI/7NDlz2v9kgdbtRiR41B4Qy/TZ9I7ya85ZLjQMEP4H94zNZWoDmJNdRczeef4IU5m0GDm ehxtT6pAPJ9tiSoKZ/6wKYvKOLejAk3nmsatCmzddN8zbTeX66YtmF0AOoEE3Xi6QQXuneLXjCx0 VxwwpmNVn03vDD/8OklkrFx8OnVDWaMaMVymcuzanGIUxcm0caz5ydHi1KBnjXyJFYGTzPemPh4B uGi6kpPgHWLqRH/eGX5cASowEafIQSdch84ciydjr887wY/5dvladQsXopXufHpPuKECl0kq6tW2 JghROUhjLIezjOW50ju9D5/kcDJ1XOoX9nWhcpIhNIBfpKIGQDgZysvnjOFmhtKlLiW4SvON38PU 5Q+6KbXEgWECZ7cvwfU3Hw+ypbG+k24oe6Cvb2GKsm4qI6BzflE/9VZ4zb2pyRAsOZYl2MKtsCvC uo0+nc7WBCol8FHnxeBizFQAnPCfxX6UOp2S8kxVbxxc3lhXWmobcNDlbUxS38jdRoMl2p/Gd1JJ f5ST0HmY3jNvXEyl7DLynU3LgRnnPPJNvO0bpvfUGxdBzqJVpXO2qjHO0V2pcJ0Hx6RDNOgERiZ8 m7x2QCIyUV/WXpkqWYabE0FRU/6ghHMIx3lWMYthP/iJPu+0PqZc+2vVodABt0RPLN9pffjzehat ttwyePHMspWLYIESkJUC3vNvXOM4n8j89NGbKwkCP4PEDyTiKam4iT4U2mWQhs1XOn7Qvemd1McN FbkALBx94BE1YcJCkMFZBuXzzunDfeNXNSAj7Z9rZ49TmIGzQZ+eeoBMJUQoWtmLSjXdtnmUghvK tYnZr9EhZOdwQImPRIZKDogE8yrMhbC9n6OquX1xllJClIM3bk8DmA0bVFc6/1hd83R/3gl9mE/D 80tpOZ+zAJrsFqYoCZegRF8l3V6BFcCBLtkXXdC6JeHvdD6ediotTTEZOHqGmWGwkJQVlJMd8VIF Zcwzwxb3tUkCg5j/s+uN38M0KFOCXF2D+/LuDiYAF3rn40GKOb+nEyC+a7KnwjC95+G4z+Gn3MMc iM7EGvn6Es2yLUywv7Q+QZSID1W8pw4yjbY9nWP8LDSAH9HbOhtLq0pI6dGJFgQY+4+9etcbv4dp 0tWRMrA2qMAEr1ah7XrLn3caH3Ing4zZqkuY4n3c3SnKxdGdxmlnFmBATi+n8dXdbusJIdN0CpNx ORDMJIAPR9/GE+D4pUox7+y1NOM9rwjd9UEuEbFwguNPiU9FyTjdfCdszH2w3eks1yFxZoAvomxc GF3fxYFia9gOrVYZ5eK61mWqN2k67eXe6hnUeKt440qhYmWYiw9bbdqkynwYaWilzIYmFnLxsfom EwuSLudeVez2fetMOFA+79y9JjUHyHhSqWv05bkTVKyaeTrmzzt1r5mv11ONOwt9400tTFEqnpda KNN9notSTCYF63FJgeWowvSeimNemi6XrngcLxkNPo4yUz0biMuSNMiTot9Ejco67u6U7ZX/ag6A S4MBxbwmhnEHSIwwsTzP/vd5p+7xvLv5E6WBMrqp03eod+Ye5nGhBuO+nmDG/Gde8FScANe0WL2n 46gKCvg0zN5xXGEEFj0W9lkCn/rZEapdg3FIoy6LbeVJNDWn3vPxRFFMGFps2VvAEWgovTzHX5WC TVmfd9peM/22p08AE0VIYFugwoTcMs05btkpe6OADRQX1x/WbXrn7DXjwhObk+9oCRRKezAWQUJ+ 9gNTWUCClinwjeJYn4/aBiVc3il7+CJ1CSoLBhyUGUBcdah2MOvnnbHHLa59zyiYu69167zvjD02 QiYFNqZjpVkuM3F97qqqYUKZgSn5O2HvVsMhg57u6F0nJOJdBr3Y1jtpSihinh180dSV0vEq9Mrx 6p2vdxffKALzlC2C8Zmc5wVkz1vQVIkycpcEUhUTm9QJqx9572Q9rFcsk7MmLPXGBa8pTHB3v/WC eY2x37l6z14OmYM7WphObn5+skp2MaTi29B8ymYFCJ7ezE/TANXTzztXD38oncEQax+EJ++7yfeg 7rk+71S99sjGK07oHMmfW3F6T8ixQcmgd1SvqxCWobqKACqymesGoHsn6tk9nn0nsbE5ekK+W+0w GiOYfJ4/iTVxYDaheYptilwu98Cko+o7U48FJPEURvOBXwMJ+jFVV1n7807Uw1+cv+tPIBRPrHmL U5iR8xct+Vl20tXVoVez1+nwczPVfKfp4WeegjelPu5oKRSUmSHFzYvLye3dxAg66UiI0b1P190R jXy+8V9hOitMA24ghoxOg3fDn5PM7c87S4/zqVucKAIw6Ehy+71haVzMFwqM2fa0vZ0J+R4vjU+v GLxz9HAO6cZih5lGixNkyuBY09xOZheT14ecHQTDkIFejiwKfJ93jh4rIyTnVaozc3B4Rc4sNaP9 +3mn6PGq9l1/wtVppKctHmXkFcvpXPB82flxR7MG2amxTEdxBYbpPSM3VmQaNPX1UWFifn5+CbZ9 V0YVs1tpGNknwMEws3RVDSJ839l5duyAlqczr1lnHC3qzusXzSE+7+Q8liXbd6aJfAB7gLXGo4S8 UfcgFSNMgclfPSkYdXuVLpXmvfEwIVfRd045znG0KJ3csU24OIlwhlKUOqDolw+0iKFJ6VJl+Gk+ 78w84geaJJKGD/zT1gRDSIr5u3zeiXlNbLWva0sGrLF4ke6dl8cJXNQT33cuba89lT685NuyG3+8 0/J41GEOTSIQfLQo4ZK9mtx5e0N33KQEgfCAghkeOCddFx0oF71xFCYqCw9B64dD6wsQBGZbOPl4 tIP37wyz0j7CM4J3Th4mU6WteppOX2yArqnkO5gTc2facqVHmEIsihhAM2sH52g700kPTtrYmGGm cyLt5TJKZ5voyEZgLeEbeLMXDi53dbCWwt7ddkNpiLmlziWH1OvzzsZr5gOvKLHZB5fSXTwheOfi YT8RQG40xz5Dn/VHLGuBz4SoX2iwAPv8TsXjmuM6OnluuaPNpvORGXC7LUBK2vLJBJ63QZmom6WF gF+TSiPvRDwiFjYhKezfLbDCqrgsQF6ycjDPKv+88/BYVPmeTgSj1eQ7+DsNj1dvMg8okizljFGT 4emnak+FffJhefg7Cw8/lAQztmkJb9cSPhsf7monViyNA2dPgfDz7wyq7rITfNNwMvj5wtG9Tiah o/igHRw0CRUyz2bzeSfg/UrDec5huzxTw6P0nobj2DA6521vDvOgRV2ctYJC/p0r477T73itVBrQ tKB6M1wKK09nURccKnQlJS0Ls4nMk0EBCcqSGZqAt5V38h3mP6UyYKnjg+AE55zoVUbXna8ZHHTp F3jnxLyP2yt/p97hSzObK9UsdvCP3Ld50NFFSbeVfQ+6sCxuVha1rzs6Ti6jH2WUzvP30IwI7ShZ y+F+VHCSOqWTWMJ34l1zAeGrwuLdzXZSTQIioW7UP++0u/+vCwzp8YXPsDhFWThbi6jyJsc6z+5Y Z+sk0McYmyWz8HfSnR+1sPzIZv2hjYJoObiTQ8uCWfhEXZSbE5WiNorktGy9hcykN/4LL9cAdaQt 0TJ+YkONi80EqMR93il3zUSAGRa8H7SHV7oF33fCHc86iQA6/9ylg6ckkLzsdF7H51NYGE+Kj6v7 pHqX3VlJ0EajqWOFjHMhyBlSHNBXrjiZIIXm8F0UrD7vdDtuT4LxkMcywP1ii7O2iRY2iZwnIfm8 0+2sn/XkTiRZteqNlneyHbN3nHY9G6fgemSDxtmnEaTIirLK+DvXrl3h0mo0Mo7OXplYiJB8hvlL otEM4RfoveQKqFVFTuNGV9Q0eKfaNVkFQNdOfanRrExwbgpp6eA7n/F5J9rxPev3wisd8Avfx995 dszEl/p2VwhQDrT76dttxanLZuCdZtdkMczygE2snG6//Bxo50aapdoNXG/L8jKmHBz9CJCq+YTi SfJ559kZXhl4+W3o+UZb1AoDrVKka9fm551mx4U7v+YTeaZLAucMVIhTEYmayoXKMmdZbiJDLWUG ipf8ih3qnWXHBWEaNUoL6mMxcO62DSI18LUqGfNUjoYoBW96yJQfGltb3WlRUOudZXfzp7Nzdw0O fKoNCAYe9ml93jl2rGd813txeJhmMOMUZuNUlsyURifLNU1TZsOdkixX2AvQA0MTKiqMO9e8GCO4 XEYwYPMwHK0UqAG6UBip1lArz6QwFRgc3Mtd1ysHW7mUHnTH68VbnBPCEkygkBB93gl2jmC6cYIw ZEeSbXF6T8dB3jAdSTNxap39Ly48osu5qZ+bZjJTond63dMJbkuaRxxtPp1pA2ezTnvek4KPzWty B94AApwDnkRsBQ/Vxe2Vg8ygWkrQfRDuCZIJBOQA5vl5p9e1x5R+sEyMi/tawzfyd3adVbsyUYAP oOcqrri6FsWhTMbnnVzX3LtpiAhto5145xhPyHAkOHZ2kWZmPLg4T7hq5I11okuwKirv3DpcgmkZ Q5VcG3S9O5t4XmKhtPZ5p9ax+/C9j59fbUIR427kUV2cpjwdUtyWGUzgkk399umz0FaQB947s87r zbiKpHpHr2OeLQn4oMGSSoNppRxqM8Hs5yDc6ebjVFf7vNPqGCbMpgXrbBusY17ZqiElY3zeSXVN 3g3fVfEMxrRf7t45dQQWMEp1OQERx5I1zB9tmrPqmpmfvFPquOo4m1DKvaNdgkFZKSTNdeqzNeoF ntBD8BG+1j/7S2BFkymqiXcyNKlpZIOitM81m4c6iZrvdDpubd+TCVJ2LMRYlKJsnH5xsNrwNZfb us1NMtEl2zMdzPNOpeOaY3R21V6+61MqOKd0hnok5tKCZ3RSCY9+EmeVz581ygWH4Us/70y6Jo2+ s10nFFY0aMltqJ5M1Z3m551I9/9hwwDIG/3i6VuYi0uioOV7BW5pekGlN1d8p7Q4CyotRItXrbgt wRCOfrcDQqWBL46CylkcYviMBCR0R5bHvqaxfpGv4WsCpCE9YahoZIOwFx16piJBlYbHgwSzf8+l iuocgmtRChNx9ev2LacA+27llF0vf2Ut10FsUUE8qUHel4SmOXp17kTmHG1qaw7UfJmMAlx9AscD EmfbBWRuvXFwzDFMawmQuQyQieJPb7wkncRk4PEAUJDX18ZEhjULzmL5RHk4G2fQYHP7jqTqAPUu tJNrMjWr9fb3PFwqqtyQtI1z9Fov1HN2K+wbzHWCXiR3AT29jiYC8kzPwsle7X/TModwYRxsMm2Y nDNKm48HFJb9Tc+AOeJArmVRipLwQaW6vvNVquvTVW1nviYnqHxYlCKEisnNvGxM5fz+0P/YrD6f ww7/SYDy8wVNvHMSolUm8DcOLiu61O1ZfFCYMiakClB14vH/QhkbZ6m3dkGrPczBaRBaeFqYkpg5 hoPGsn3/PjPCeuQ9qohbqbdlyzHz4y9UUShIADRCCJ/NCd1ViPKlxgYuxbdKINJYlIMjfYEaD1lj LCDzPK3odElkdZw8DM8HFM3L8yUXemKPyxcZ1kOSpuopdJthPjBLcgDdomKpPAkX4b0nH+hRFj6n gS72uKNff8GEZgOSLI0CYgNvK/ydKjg8rT4OX5L+7VEWXllxQslJg1mhnZ+DLhC41XW+Z7CLX3wv 44uDZUyvY/aIqYnzl96NDjRM+/oFwPbGyikZf7XiFGbhBn82oz2O3v0F0h3Cv4RBY2kpC2/4AsAz YTGSryYWD7seVcWtr1kNy4M0wlQbIM5IvHjdE88HcRr118oDDPqWU3qchRcS6ufVAul9WZxmHsWB q1IP6/ykqErADWqQbmWj31ZSgpEe5xNca6F+Txh0OZ+2eQGXdpg2qJr1xkGRgD45vbHqSyumLsUG +Dux7HQudRvP/2vhqetwzhbou1icwro45kdv2eoBGdVrr6ZsdofVAAY7hlWCHufhwssWshI1WpUA VIazBRFqeLbzMwsko5kJwW/Ql66oJ17J0alXjtYdI1R3v1UCJU1Qod+8DJ+Dr+ADIvxc+tqiQMwe +6H/vufiaLBv6apNxgRdc+Q//2MNdtO8eLMyzrpT4idFWaY5T8jei6MTWjI2y50lOAoUgHhkk+jI DMZQAajRTU0oONqj0rjOvLpNA8RoWPWcD+d3lgNaang8ogDXn29IQUesvIPQI8g4xeYpv/wjc4DO BIh1p0bKkzxAqb7LlRfhU6yQ+aUhzQ2W9TnCb89pSo/nMYpSg8ZiCCw4cVlJ5cGMn3Dhi6IUisgU 4ec4WD2lWHEFzr54PJhP01Moupkk1AgAr7ZAhQl54yzS4bYJs+cq5K2SnN7/EZGGDE0TKqqMLxHt SjWcYb04w3WWWj9pMpqJBfgE1U1x5E2aKJBwP5zAmamwgi8KLsFL4JScfFDmdN5RUTv/NPF4MKHq d4EO/ppYqb6XjyglX4JsU51ELQT2SKS/I+9B9qRU1Dx71IhK43JFgDncvKO3OM90ORNNZx7wsFMC 7rReGsSEIicySkviXj6inBxXcxx2BI9zEHD1/FCJV8qz02U8HuTk0zcoGbPDE+C27kaUk7fNtkFx b5yTTW23qBLjSMyf5p2WERbGTXenmqllvahxrLwzCSY7CBUekDvLIhWJ7fnfTszQ/XJNNWwC+J6I fS+5lK3hhEmu1xAPbgTQnXQn4/moY/7NaUGBlgAsi1OIGCfRtc7ucZq9Ogkh7epx2ii+KE5RYZx2 oGkmMvox8ocexBVkgF8gew3TwQHoKosqcKtG0xp18ZsZUA4VXxPAVqUXPSku04VqZpS2iXOeg77j 8X8RpPgbnl+mreoFuhEl5Dhl6PJ55S5av3DMdjFP9IbToosS8qUG8Ni6wtjdC1FK5x8HEgWBeaBO qltgAtuto7EE0LanBSgH4Wv+4iXOpa75sq489EuksgvL643Ho73pppnYxZHpQubNwxTl41TThbPS 1QZrzY2Jk7tzQIWrmfzOiPLx2a2q0uodPc9cQC9CYRMeS+c+xBQc1wzQ7Qjsh7ySsYEpNYnv+WNv Ope5yRIdLeW2VTKrhERPipvx/L/adlx0OOeQr1iconyc4BYEx9V809zdcQUk0nPRZXnOJ35SMJ1s c0qGK6DGtA47CAwCaNh1b4Gsg0TBkD8P7A9rXvbmplbBCLHim9zyxOYBB+UEG4cm080TdzwebU2/ FB2gdIWmvUUpxIoTaAi5JT/pdvco1V0f7bQ7myKseNnWO1CPZbT6bOHzXKIhTko1J9rtSrkP6Hle A1GEdKQ4ZiG+JurYkY04WKLboxnzIFMQqfodeISZ+Pqu95Lul/PVmImq4qqq5Jp9Az/XLu+wlNVc vLAAOKIoRVXxaZCnKUWnPkn5yZYPQIm7EqOCOWqOSmfrLJDpbzjtbpTQ9cTXRGkTAYaN55xuVcLP 4SY6RNBIHc9HDbtfEiqoiENL38IUJuLyNCteUgEB7qZNlMTjkqN+l8IUJ+JikTWJqHC0q925cyVu b8oHYKAiQYfBJh9wqOf2mu6iY345wkScJ10Z1BovVEVJ4mWchdv4j+eAX/iAoFhQfqlfQY0bv41F Ki6Ns2tQavbMad/iU9nl6rKvbYLQIyyNm9zMMA/Gkb+udicfyPKrhDJple1Uk0BxRkZZVr3SF1u7 eFgbr7ramck8UOXMFVGiXiRKnV254PmoqvKr7HtSxwWBaAVqhsVx+tqmZcQxJAU1Wa/cSPgil3e7 281YsnAbZDXf0YrjxEWvTS+z87unk+yL5go6Bv6nn5Xm7dqRDTxDiAqNdfvgDbi7EMlJ8/PSTwN5 TTweRKld4Grn3ANevfuFZUaZuLgHabfi0Au23/4nMKhVDU6YQDlnUWWG1XG1fttWXsTxYp7gM4Xm BBhke6IuThAdOjyZlqukMF6I79Ir/91FYLyGLNpw3OXF1AKB6gWP/2uHkovJidO6x92MMnE2kiAQ 634IFYQ4YcN23dk2cihTmxJWyN1USYVWzz7ault7E+eUhA0TtBs7FBX+C7aHsx77hfJQ1GGG5XHS y3uXUzqGpGIm3BCNNl3x+L+gF7RnPEnWwqlrcYpycaZcqAFdeb6SvI2wae+sNjANLxinuDgubNgQ 15Wjzaez4GAWIlsgSBaSQATtC5gZQG4N0hrXIXbphf8CqIzW5K/RDMhzzk5Kjqi7g8cjrdC77Egc BsEUpTGPU5SMw5sJ0jzJTYGGm1gju+1+ZyGOXHGKkvGhJLyu8Yw2n2AXeJKPqiQTMn1KMimHsYGx KnSftk4wPblmyNykRkhR04WDtaLOaSGjqdIrHg9anO0XxheaM+1e7WZYG1+E7+iMY5a5piv0nmuf 50+0YuNxNyOEilkHnjvlvKNNp1namUSJhYKE9i2NFSmlAosn0O2wMVn+RO/OGeXitUvAqFUf+CWM eZce1hh4/F/1OTy2qRtdvN47I4QKsw94HLqQSqL9uzotpfpZl4snBTOqjK+k3t0y97K176I72R/M X2fjZJqohbPqNEFmgo/Lz35odpNs6Rnl4hLxP+t4arBcHOK8mSd6L4Nv+a8ygVjl0Fa9jeAZszYZ Jfr6mtd387lUevItvCgjSPykIErFvd3yHX0urVXPCSNHm0KddPkw43iccM5Y86t7sPXCAdppCf5c mg9Wmht0q8Iutfl4BAr7prI0nHHrcqVnhFAR9iKPlGwDT/tqq5Vx+8DoLlmUolTcEkviqX20KJ3/ gPWQhTEEY0y+itQO20hj6bPpXBai6meUibekrVskDUrc83Ta0FflXfL8/xXP/xe8U824U80bp5C0 CVBFo9Kw69A2xxhuNsGksdpd5GmGMirqAq+uKgFHyy/hObkbzibKRpx4qAOa2H6l4GgdD+dnFb3x X4tuJYoXrWRQ63NTqLs5H7Hj8QjKU37dVwALu1CeFaXhiRRgwEhtawK21/Im/mS2NaVpsIIVYVTo SIU8QCYaHB1WcH7qBccylgkAzVR+CW8IFDPOhO1Xu0gCBSvEqBDJs+nup0H3/ZOoyWX6pAgVj0fa Rd+iPOiSzHq3phVl4YAi8irnOqsdaHeL0qyuswo/MYtSmIVLYLUZN4yjb+CTtM/K0hzwO5JfO8cS gZjsKK2Ld0IzCV8TyRlKoI/JU8ctbmgHX/D04uzdteD5YM2Vb3XsibQtXYzKCgXE2V3JbJwK16tz TtnAurogyZWwVohRkT7mKMoKhsyQG2tO55xsZ5YQr9oAQjP0HJBy5/g7O3ipT860fhq+JqrMMaja oTgIybMAJ5BlxuLjUQr+q08OiP9TmVshaZNkRKvMsZjCwo2iRCtBCTyBf6QohShxqfa2JdxqW/PZ wScSv0lF2rPLniPQsoGCGgZtoVlzKg9edcXlcJ5zg9SDcxJAAEJl3pMiMoPqA4q0ITylf0+mTHd5 aCdZnEIlceUDuzWjsaRtLvFQ7M9uRMYLGrOmFZM21cx0Sv26ZjUnGdhQoE2WD8ys3b6bpDb7gPQV cRzP1CsH1TnKGyP/+qGu4dkUrDRwcthVBWNKdeITgoXXvzFPmxWK7VWCFaXh9HlsA/IRZv+QzagN N5jkYljpQqBXKKDSzaUm9TteggaQiiD9wnf+bFECk8OdDEc6XD2os2FmgFTmwhdFihe89opJxkFr aEHn2K4rfM8AonLLvcyUqJmcLmF6RZk4kjumTMuPu1xchE78Y2LDMoqyClSYiSvHhLbBHW3tnb+8 DeCrWSboRc7p0KKhVw2mPjXUDXmRdeCFCipMwntZmlpMU3TTGVLwRVcHj0eyYd+IAgBe1khe8F1R Lk4pC0AMl1PIiNaWCB2BDESo9HHXXogWVy+qWFpQnrRgoVy86YIFTUPI+lehDWFwnDNvhCAjO0Jl 2itHZSdCUwDgwZBdxBE6P2SiDNTnVpSNoyX4xKlNSIbdwvgK8eJEqFBpyU48t5OsSJuvgi/Nfiku HhbGl7lvzmf0cm+tqO5nYg0JbGQJDyp5ACxVUu2aUxILtLH5ysFuTm3akXv3gQtvocfBFnA7kxeP R4CCq1xEq0TImu56nTNWWBjfXG4sYhjywm53uAhccTVhCBmpWGNczIvW0h195Z10trJBClWbNZp0 e84hjmcAEwPpp90katgrRx0pnnuLcEMO2qLOtpiEH8qz4vEgO7iCfa6FBSsM36J2lJGT6H1+guG5 ZurNNcOkXuEXPMvId8je3EZKFBWBo5MSIQUCih2nFDDq7CCcfPwswTOFUEy8Ek+yON9RRg7wMu2h 6o/ZQ6l4Odik4MKbfDwi/XyXVNASg42OR+k9I4elq+gs3VJNgHyvFFbK+aaaw1T9d6yjopNOV1yN 3gQGMKIPAnnaorkrp1MjSgqAbzjRrXvi0fpgh7BxChq2JEcfl2sBZxrifz8mxb7fU3L8mr+k+nA3 b89sCmHjSBE71oLNppWHi0Lj9LM4beD7FKc4JTfyvdV9ywN4Kuhql8nSUwFCTAkXQP3nfoV7X4Ze wHUJtjeOKnTUvJjCPU1r5oAYeG5dwvT0jccjJaxfVLsxKTvlYQqZm2TaFbbjuY93laEo8TTuoqv0 2WSYQohKUnim1aDmUxbvEJZZjYvupB0TfxIXXT6n0qax+S7rbuM43PE9f6mJzi67kd49f4IDFa8B J92oeDySyPw1m5DUPdCLHULGZXdLTLqpFxlO/JdxzbljmBLWjombgqZUcTZ0VtrVJZ07KpVWcX9M aQjcChRLBUhkoIF0S3T4w/E1f9kfjM7JBP+DHx5xFfdskf6hGvLZsZDK9x6O4sEEYMnCFKXjVXzp 0b+EVOoNU3f9uYrWh8IUIlS63YN10xuOhAZvc0CALsknaq0yKsXFcIDyNotOy4WF0dMQXxN5IAma 0qsPWnMDO6jaCGnh8f+i8QRE34ako0UpQqikytxpZYeFQRbBojTI+meUiPNXlEI1w23y4u0Zb1Fl YiFkVsXh40sw2okSZc1wPkm8aDVd75reOJLCYrkAZFobrODbskREIXiLx/8Fd2JCkLO7lzNMoYwK d/CsArjABc+aa/2KPg43bd0xREVUxCwC58jjkfUvMK1NUuWBgOiU6dimRPQiAqi6aWuhxTO+J+Ak itiaxx0UppPqd6ab8GrA48FBd00iuL4gY7iuluEO6+JKCORYV59OlGx+losXoS8s5uaOISpWF8/P 6Ds48F8THFYge0Gzl9TqgvJbRzKynq2JOFl8TbQ1WTll+aA1V85eSM7O2e4GHo84P98Kojit9oN3 2lEW3pb8DrpHqczmRj91zauM2R2KuSN4ypT1wUjq13G0KKEV3Bb0otn+BSmjC3WBo+8kDtge3Kw8 8fa7YwEVCtFKL4yDeAeo7Iu4WebA45FI33dXM7NVM2/zQIig924ka3SJEaFIpuR5iE5hc4e1pwES r8TqU5iFG4lF2bhGq6gkUPeHfFrPEXUSV9OCZpW60OcP/BYk57T62fbSgUtbQazOsYPt6ewS2NaE +p5lCCwOmeTNj/gXT4O3u8EfN5cnYKGuIaVUJPj1PxbLSQoj+WdQBpsSIR27lAUsTMil1Edvdh/9 JnwOi4EWDE1aoGu4xNWgs3UG5bT0ckVnyPPlN0UERZx3RQqHHNQMBjeF+3E7S4LPB1eX9kvhH856 ZFt4sELfH2SR5xKR2p1d2Rllm07arEIhH/VghbhxSYfhwnhHm137LOnaiYQEPTFBjJDBIggqw/e9 ZCLHJXjMsgG+KQJi6PCrkss8C4u7FPgslYRZEOoWPyDIpW5mzioDtGf2rRvkFJbL2aEa5bqQDC1F FA5az+6HAEMx80NIseumIHXmcpe+XO6AgsKy01LcZ2Lx322FYqj4I3Hxr/eqJ0eEFGXnnbDD1hgs Dtq2BhzDiv5x8PkIU/dNb4GuDG1lPVZRfp6EPkylO6hONhIqmc9kE6vKO4ITK6qZDyMATTMCno8R cIPiE64wlHQAULYYYwpbHSGXBSU3u8gwzeA3BU0YLr8ky+R0LZNxb+zav87C5vMROd/TKob57PGY 5vOqQqqE/d5xZX2FZSDSpsRO/V8mU7gt8wQGZVzgOlbF/9q0WjF0XflC10FbYAHdTlcPoKGaWgyT mxaCxwORshglpW0vHeEQWZWS1AoHhQuR5m90Zpuej6zK7qZFYa2T/e6SnrkVyqzgaIDLnYhlkN3r 05CIcNB2Mh4cpAnYzCnK16dMuKuT8DHeTasVMDwLGegpZXObPOkEap1kZC/yqnUk5mYvHSVZkh+f ywfHIlKQk/iozOcjJuz+yrLABjyp1kXZ5RSqrfTxQA4oKZLzsoXIn0nHYQFa1hZiLH6oTSvXZ/T8 IZ+b9gb16Wxa5dzCGgN7UiL6FIM6XNBQtE0LjQe9dHC92dIWSzYsg9ph0m5dFErj8//yC+Y18KRn rOR7rMLMnWpQaY8riVyn817yzC4cDfFwd1WMRFeqYVyrSRvUR9oAvtYTzCNVFc72zg3+rFs0VtC2 3Q9df8lmKsUy5JhWbbQ7qHZ5TtgliGtpg88Ha7D+aq8DqkLigYcqLKJTHrX26qE6B7tXPbv88Si8 Qn1FhSqsoqtrno0Zy9Gr6OeQUj2V/SvYJnHDKsBerJKEdb3EPBKH8UVRoYqNq0oLYQ5G9KxIdFmz ypPPR7fm71ihOj7rxU3n0KuTxENU3NwrAZAaL6O3i0Uoj1dnYNaZacXO4ouJZ5Uv8SzoE2akyZLy cQTnyiovgBEF0X4zvqGfeQ7MOl0FkRmCDbo4w/aM+zw6SXw+uhN+4+7ARFlQ3fBQhWxP2ZqOfhfg +qrqXVvTRrSzQhXBWzjxYMklWXaOFqoFlHlGuxWhOs9ueQXA2uWnM21cj3Q78Yk5tusk3XMAw2CD InWOVhP+XaXy+SBzvzmDZAEhcd+u01ts2EmKXln7atU0MxHE+tuuI92RUrPIkEPHTusfj5ye8WIU B9V3qDV2/uNoxhcCDb0DQ59RHvNQlWbvHHWx2L7q3NV5YeWfnOCAsmSDUAef/5fvFOcP8v55yzE5 MO1Ep30pPi5+hGPdJlWnygJDRR8sm1Rh2q7Ow2oq83G044hnBTrSCBV6WlX/LtRr4AEMatVjETSa vXK0/EiqKlyFHATA6xOfyKMQXrmBbec3JkG+eKA0PolV6Nu5ptzNq0+q2svVuhceCJFaLCAoUiHx U5yhIbqTRu/RnP3nZC6LVgWwGJbJ58kuToDoSkr7Z6tdscTBL4rQG7IOLJK7L8vaCHOioMtvHJvP B13kq5RMWBqAMuBTeqgihXLWUjq5TRaqtrevPxaHNKlIEdX6CxN2oTqRmN/RQoXpedY4+u0gV22I FypUoM9PEpdQlTHwVJ/2zhEUj6FKbNRsv3KWvcYqBg5ahc9HUqS/SlhIUOZzFQzMO1HJUVaVL+Zc LvEkouXRnV813R8vh+6dBjpP1H238V6ba2nQxvkhCKJvSSi2BTf13UEkTzvfWp8KWKF9p2TKR2Hh mINdbRZ9CSn51/l8tKt/hwrs6Tn3E6pQjkWi8y0XvzWLqo5QYd3ZrXkAPGShivRYrElaTIqMo92a z70PfCGSh5AxQNeT18BNDzR6NlH5Xv7n3Dj5TdG0Yj418/LB0qrWc9W0SpXP/8uKWe/H2XkdqwMX T4AacAuc9D8WjEOYc0qSOriDpasbq7DOLs8g8lp8tGk1C2QOFtGLZ1bhP7Ia0+ldXPDhNH52ZFBv 9tKBTQBhnl0VLA4G5MA6515zLnV8/l+67joCt2wvPFYhBF1CZKx/CES17rwqVKo1He7pl8DYylM3 5rd5dRJ3lBuafNABRGO20CYAtyfdAgKv5y+61bSXjiRcWebLgsTmS3NMZ54qB62oIIdunrV8d0wz rQ1IxbdohYaevbG3nNf1h01XZiu37UVRzjdFK3T0XEOy7k3MBo5eu9rzXP7akqPn+dkzedudjBjw QE7scitPp6vZSwf1BaEYDaO3jCgDPiYVsyBiOyqfjyQTL1qBUwscCRR4PFhhuT1Lp5TGXBIJ3ttp oQDKZXWYQSK2YIWIdImSla1glf0E68xycKUrt6y1zubOZLUDuvCzKcGDienibewK5tDXM1MEv+Z5 B+M7Lkj9sM7XJ5+PCNmeXhHYd2Y3+yUeqlCjhUW+QidArMK26jYY8ZmXpLFvabT4jhXYemJeqSaa zJ85XX/mc9sYGUhY3pnTuRVoPrVJ24ACoj0PGK+J1mQvHaSipDtO2XtOktjVOEWrgJnkyQY3PyDI RX8JmGP97y+P5sDZ049CNMqtIjrUd0bdavD2w4ooymc+r2JwugCfTUhijhashfM8yzvgJO1tZdve oX2SG4o0kK/1ozCR0pdjb0/K3VHJgoOJ2pyb4NBlEI0UPh8RjBy+IOjnyYf3dfLKobnnJhOrP1rB XT4wEC49mXBylEctXowJ3D1Rtxe8o+d5R2+mQu92opgGX/GOXpfydhxKRAlmnEU+r+gekGN7Twre nf2PrXkAarpUNpDUFckkrckPiLD8d141yxvOTHyCFVp8DjH6zJ6Ke9f2GsNsLvleISZhwYoxMYI1 mq11TbfUvlrDEaiCqCwptKsjU5W2zPnfHq9BNSZCj09sRSdKZd/ByjGlyoHmzLDG5yMSzffNeVJ7 q90UK3T5pNgwfFSyhYreKAqVOE6sXC3YtVioQiFFNz0bz2iXnBM3Q0uDmM9yqHkWw8AKxmsQ2HFV qTXtlSPEB1bgUuHqqhOfqwEk57oitfl8lLh/G+egp0GvFI9UVGUng9GBHux80YJbk4rmdHYKpu2R Cqvsug72WtodLVIn6Lgkm03cPvlopsUQjSk2oY5w87L2TbZQheCYJoHAKYMvxJnlmHNVL6xW45fn 8//CX7MzCPD8vo6oObT67LJEnffmTBEauznTd0o3Zy4q3pwDr898eaOS/9N4i+zQQnMY0bmmVlnF jUnHgzOjIQpguRV0APQ9f0E/zmmdfLByTIacs8p9mc8HW9X6RTvSjfQK5efQ7pM1zHNwtFvkkxsx K1c1+awaEGe0UMXUUW5VJavxXLTohcBO0LGHAggFhLFDESRD1ODC2is4FVCa5FZV7J2DWUVnryXh sgU2TdO2Ds1Bzara+fx/Kl0lOnzddCH0/JQhMUoA3o+YxYGOo1anP0zaw3IBhqafhvYASeOOvgBP /gNwhfTyG+T5Je22AH7EjEVG54BQgtVz6PqpckwfrIpysE19byphWI09sP38vyLn0PteNT2RihJ2 mVQQb2GTatxJBYnsC3acxSMVJewm8I6bxR0vbzudWY+aNLBLJ1MYYrevTHct0DPzXg+9vds7/8Vm m0Oqy1SEZuUq8ZRl3W5uPv9fXAjJ2J1XUiL/4f3JhJ3YJfnrwT3COTXDbb5ORukJe2j+acZx1BTw 0UsMJ7ks4EQgB01nFkk1vlEMOEPp4AeEXO+ddnW5Qv/Pwd4pZJU5IJ+TiOlONsnGmbj8gH8hjfng mY/YOvATebxCgEympIubx5Iy6fHaUsljpwu5vcUrzNlFu81NNHaO3pjf85yRVeqvZ3nWPYQmajKQ w90A249rTqkxH/iAgsbNSZVkjLaRRoqGdG6Zi7sknFH4Af+EMTDDB0X6Wsjl2AmUZdFSu3vIlZ69 fsWKnNWv0I22YIUQ9m3MtvyMtrmPBe+iUgW9Ak5J6vAyZ8iA24NQcpWnEGZ+01910TqWOfGe9+H2 Dn4FObEQfZv8gH8xcClTjUna+hOsKGnnsXXuQzQrXqr76R8rDNy3F7CoVMPbYOgIasHKSzccjpfe ltHmID4G1MtWDPJBk7HMViI2S2cjpW4vHa1E7lpmbDUdVwvdhsnGbesojAamoN/ehLLXwQUnP6sw ytqHlAR7uazuREMilq9I/ZXwGzJUm1gRPKYZPKbmZ3RGEsRYumTioRE/mXsk2dQwe/jJyJQu1abb S//FcFtbpry8OVtHflfaQp8/mxMrhLSnb+Q/0uCxH3htYA2KqzMxfWm49UAhldXIgMNVJ1p3ccoc e4MK6gEW2x29JlOg2lnkoTrQSqXteusgbp1jC3qwEtVX/Wove+lgFRJ4hYK0D+pO4BuqEQE6nw8W 4a/MHd0q3LpurGJgO0FqZZqpHFzy7sSayaHICcG3WIWZu5X44Hzho4PUgF4vlZKCdSS2CLkICUwq 6GMWaEk77koV99AgtPPOTJyhDcJdoTFEbTJUgfh8kI/eTg5vNFSixu3iRiusuXcW2ltOppcHBW4r YFXVSEnIRWvYtqy/dV/6SusZFS0wyvI5bjo9OcFYFnP5nIlsj3RCr2+LvmRB+gKX0MREaZ7bgaS7 mFAJiAwIL8/Ffo5ffkBk6/h9GILn+aX9kkOjUEFrTdubBkUrXYMi5RMUFyTwhUlp4BQK6Q8uQ1or +aj0HfWLBrkgwBnODDzTaJkS2uzwXTrLkz16mYLdV/5rd1+V6fuqPG9MJKcT/Sg0Q+AUahyYJ21g F+fBqIVOoSx8d/5CihS9WszxSqKDiBQtXyxSEbzdisjTrPjmtzc2tGiKTJ9Tzs38absgCSkJtu13 wqEUK7AKxWongzJzc5+Es4q0zFa5LoVo0odmofSl+srfyVe6pYbQLRR6sqhfzeFXHd0PycQZ1T3U RnEPtRzYhd4UqxdtWL08Gxb0SKakl07uc/LSrd7E6pTbTihiPRi1VOyV/wJe9U2nog6hZBnL4HcW a3qksvgBEW/CF2CyvX0wXhaqKHXnba9zY7X7cxkO/ehtueT3uNyu/C/H0Fn2vuOFfpx5eZIsWoSt Ps+lVSpVSEs6NsU+nNsluVp+T9TxkrMFUX0cjNx17ppVtWQgr0LH0AfOJ1b82arKFWDKgWUovNRI 8l6l3IxhNKdMDFP9pIyficPl2DNUpb6sbEDj7aUuyE0nuWSjiyMiM9uYZ+GeXwviJC4uVKu9c5S2 WwFr+CCG1wLdVepCrfL5f3lccKnB8wb1Pg9VyD6lX9Gs6fG/qpYw5NJm+3GPi+ZZe2AbCiqeQpRF mODo98Gy+obWHUK1zzdIHq1NdjkyIJqFwjmGktEVJ3QOlbNqxy0AKNEBFacf6w428pHBa+UHvG/s 66rpcWMaBD+OZ2eP8nZpM+byuNS35RYOpXePFvjCPrFiGqragmZyzPFSJk7AetcdpwEyzOt9a1Do ypDy/aG5qJvMzGQvHcwswh97pf96Z/3ph1rEKGlQkCmj2BBaiMoPGaFhUwNZ0s9++N85dBGdWai+ NO8tp5mtMdIrj9bI0285gY0o9j6xUalhbqNtWGfbPbkOBG5BIGyswWMZEtIAa8sf6DB5rHa2V45a XphZVAmzQatw752lPbVBWwqMRA3z+YW/4rcn37E+r7lVt9Lo2ROH4a/OPxqGoUJy1zesAo9ZRuo1 s+pX3rqpoazRzsAF9w9ER4rpaakw2s/UQ5w2iJbjkuFoBP2aV3Wo5xAAVAiR4aBtnUeJoanw9EuM OlR2Baedln9mKLJW/hfnpwkitLJ4gs2qCx1gfOdIEPLKXnNDyU9zKYiQEXZblk0fR1t3tZ5FCOAs zdcbqsOssjfipoiQATDA7C5WZ57+GiLv3HRs/jZoInWiBfGPJ93/vCZT3agJN0T0k9Z9BiF6TaXO Q0TT9dp8EsEbp9hyG/kKx7KsxBC9JlKYRMOSTRVEpxNpoUS7z4E4qJFeADL1ZCtXmoScWwxsAS3n nESwv6ZRHdihQYwjeajbGcGFZu0yxuy7fV5zKMTXdnC3zIJqLPmBiNBrBoVl1kRzG1fPmralRh4Z rm9S8rDi52v+1C838Jyw9Y6eP23ogXQp657orF0E8q90LIFXENhWFiEALj+v2VNH9oM5dO6+jNCC hA9zJp7GLLCfL8qf1+QJf61pfE5RKM+P2HALUIheUyc8NJkEpEe0I5vELlihhjrmTmRJ5mvi1A1T gokx8h0tG+hMBiBYCR7BBGXEmMzUG4dNXp00CFM7i9pwr3kT9iLmlm1JL2f5XjRPotcFFELf/TVr wtPVF5oJOP5Q3Egxes2ZOtKP9qgHNF2K/c5Se6sXy+E34deMqSdJQsI3TWk4R+/4IQy9s+OAa1nd 8k9TI7FAB7qxRa72aJK5ahCjRd28s7ttH2x9gerLzaiv8nlNlrr5xT3zCB3Hun0evWZK2OR1rxOM n3odKbmNE1RULi6h21J7zZMQo6alVka+40W7nLUF6CJBsmghLwE4Mru3qDZiHfpa47XuNUnCWlP/ eFDbZPHOw67x+Y6p1Kz32j+vORI2M6upaMOGuCiNRxWj1/yoWxkErhYXHrtGsw0b8BfPvclsoepw tGF3K9FpzXF09BQo0OgUsviE8jmR/CenRKGqABIEdvHNvJte+P1Qm1MyS88gGHFfyMbxhSe/+rzm RnxLX2ukkkJ4IG3fsl8LmlhrSfiD4vgWYMD80lumt0IH6bFUggu2bHNIKbXNO14K255pZsYIrbEu zm07qRE0mVn9748E46KXzGsxE38lMdbV8YsserL5WTi5kG1D/jSIkQsw2jyaRCHYOfd5LWNy7loL wfaj8yvcPVtcMMMgdNfpiHKjbj6OMkcRlMFyI3RYTMzkpCKFCr2MEeo+NGarpayLwqPJ1WsJE7+F RPKayMmNEEru+Z1Gn+zQ5c9r/RL7kYHR8f0s9xLCXJZvSe8sP5zaqsuN1b3WlOqdS7k7Bg+qLU6I jAK1TJA5lTvaZDqLDcU5Moog8k3ANmrj3JwKVE0glXR1X3TVfc+0H0mqkn1Qrfd86JDoBGTg3jl+ mIzzO4+E+99Y1afTO8WvC/dHZ3WfT7CP9B4xxGts7+bVVRyjMNnWpt3SM/rmnYBqaBKcALa6mZ4n OaYo2ZCU4C3iOQSfj7ZvTqWZScqarkNbIU5UWSaCsOfnneGH7XuvrzQAilATu7LF6T3hhg6cCLbZ e+kgVDwwDe+lQw7SEWVBnLZEF3sTroWj8/vyOY5geGXq+mkLg079zVl5m0HtUpcSWsp/3ul9OHFW +a7ydksEGlJKQe/q5uNBtjTy1w5egQvtM3mYoqy7SsK65a8t/KI5dy4/bkZfHc0Zpd29mjRzbnf0 6dQ2iAuU7jpZ4KJ3O91RCvyToCehVqe0PFPVG7+vOnBXwfKGvKQGXd5gpcaeLqTRP+/UPubQ36uO 7ihY5ham98zbRO6gopvubLpSnk31Oakttds1iMJEjsykb4iP3l7B3QQFXrJFEQmzViPECZiITNyX 9Vemapbh5kRYlLLLDuE9dTYLbCllo3oW9Oed1+fnFsNC9kcmkjN59v3O68Of10nm056kOnj21LKT Mqdll5qXAt7zb/xQIh4nmRZydFpfQ4u3UUY3A4BQZDO+krwSoA2br3I8T/bPO6uPG2qS52X1gUfU +fq6BE4cpXzeSX3IgfL3fMpI+ydYxRanMAVvVYwi9+H7YhTVbJ5z9OV1Ul+Ug5v+Iuyu7+idlZOB Qyp8EGMwYRPKLtQElWhudjhRs5Z9cZZUQpSENwKiViGfgYPqSmPXxRz8nHb7887o649knuYTqvCz P4WlKAuHbelZF3QptypldpXv6TIAwK24Le87n6+bFh1iIYxP+8L4bCjDbglKAKKRZJ3dAWc52xM6 NnNeV4s0u974PUwDAiUnY62cVWK6YNmds2IuuVx1Ph7lmPXrPgdRpLVIuWKY3hNxl6GCocKPU0Tb tDDt3V1ZCXVXaxREmbjoedAWm3e0VXdOOujMotMEUAEMKARCQF8edQJcWZ5irtCb75m4uDzQoKLu BgcVmM4sbcXkvvPnnceH3Gl/X+kyccpsFypOUTLeG7t0c3vR27D5S7YWbtgAeWCbTmE2LrLxIuXb RtvGx/nTT4pdCZ1esLtqEgKHBt2An0wmGE6A/DntjYM4oY2CLa36YLvTSeiFSyT8IkrHc1m/TjuU mYonBe8Evi6fH/rJ+XTKck/j3XenW/GmUQCLlWEuLrpCa/kZHQt8/nJYmJAZuhd88wiAqlCRgjsf p/GtM2FX+7yz97pgSCA5iuCRneDRoHbFmJ35mj/v5L1utl7PrQXXMuBKPU5RLk5EIZrWjm81WAGz glS96VuH91DeqXt++4E2lzZxjl6Qm/A9zyRmd6VRutxB+vFsVkB0wW3KtydWLd+pe+wOYN1RbXtC 1WSdbVuFuNynSlz5bFvt807e64/esHYoqPoAJOmhiurfojkWcrAUqnktsdtOnmcScKNQvafjpowG Kmgud/RQAchT8bcB+rQa20Jko+EYaZS66e1JNDWn3vNxFADFy27iZePfU3p5cguq/MGHYH3eiXvW iPnqExRWVO/aCxNyAQlqW3dOFYe14irgCTm61wpUlJAn6VdDfu2OXgdHWbyJtndy6LMJZTUxuexo aTvAeHC5DWq4vLP2sAzEMF4ETnOwzODsG8Tw1bOWPu+kPR4FXsLkhgZtwyUJJQYqSsmpJQ2FjeGZ 5thXXV+QFWaaLDUiJX/n7LFgoJSgmIl4mbfbezLveqbVZqaJG9xeYgxBU2mhswF7Yy/0yvDqnbLH CcF7MJrgHLIkM9bZXCXHOQqaKlFGPu6ZJxNIyMbNZ0JFGTmM3mh6+cSpawcvoBl4nBZ+a02oqCJu m3kpOs84OpoVQrOsqZ+Ph5jnILUP5kvcx0HVm/npGgAL+Hmn6+EvNSkE+ohzEKIcwtgSmjrJ3+ed rdfVJ35STeD9B/qRFqf3jBxt3i5I5vBCXe0P+D67iHUhA4Hz6T0jR5ahgsrWwuPotKqzAdbWaCiD faQPSX1ndj4zEs/S6/VrmHRUfSfr9WStg1na9oFfc3Y6B0iPtT/vXD3uyd6DwmMwuZj99lfeqXqM k4ncuDVYLc2Vy0hpNf5LGuZQ9M7Uw8+sFuZYQkePlb1Rd271Zwahxok41ZMvZd3wBtzaFhvo6Nz7 Da8MvXEUJiQHpTI5KJA1kKfjgBghiznI/z/vRD1Wp72dKYcsljHuiRcXx6eOucdQ5orhQTvY44SO j+bTe04O3IBavlWcDo4ep7ohhU6Bt5M49yYLyL7YLYPxQF6PVyivUZ93mh43YsKbWGDR4PiKybo+ +r98zWA6rfada1K18+mLRyl5JU99gPnsTnPDt6fl1TvoHt9t/D0lzwYegPVeuaOFCfAmENoo7pYK 3stqxGDt4R6K1qrrahDj+07Q42xijrlZreOgKOWzTw0DRI/POz+PAIubFRBhAXU5XPOsOR6m5LQn StSfRVrQhvwwiR/gNiEvGUgDqTsepuSsyAO+m++oMBE0ALZAkj1vqlPM/wzcwIDhNui9rlaGU/Lz zs5j041iSY1JFAdxPs8lOJkW7C6fd3Ken8l3bwJPtz8Xl3duHmcwIWCilgnn2xxDUOmKatXMYmvu nZp373fz7PbPaFFCuwWabphM58Q+s0bY1gHFss75DAcrOMwQRVD0xlGYKHXQyWXkoCrd+RbpFbSz uj/vxDz8wen7fld451x+DX7n5bGU06S45WjxNrqjxedwtnVO16/hnZb3QJqWILsabc2dfLzyrs3a OOgHUhIsEBjvmM9IOn0Hb0MvHFzvKosqg6Lvyf3Jy+oQtdAtuJTPOyPv1waupQr3yeIdqXc2Xjc2 XhfeUZz0nl0isMqKj5j63M2H4J2MxyXHKO2iCHC0ycTSelq04ksVLXnzwFyV2kTDXC2E/JoUG3mn 4t0by5b+ssyeu9XocmNRBAXzzzsTrz/Iy2km0ZsUdo9TlIjvKgMn62XSGmwbon7uK+VWiqNS3nl4 +J0oiDEpg+GjxWlXQL/ZKj9RQI9AZeGFrgIVgPPNw0ni5wtHpXHWngY75Rw0LQDzNDWIUT7vFDym Lb9qKuBR9gvdeWfgOW4LeILms2lMb7Q0weo5m1hUY5SiwnhS325mUWFnbg63zBUtHyIVMZvOAjbV jI32zehSR3uQvLyuvNPvejbmz5BA4PAC17n6nhWc1OnsfM3goEvfBV8A3+i/ZWGKsvCuW90ozurU tdXOuXHbm8v5ZO/cO+sTZXqj1DtamIAzP3vCoq1jOQm3cCy90GSC1FhUzi+pk2DCd+odS3Si6tfs g9We2nlWFxf8nO9ZOCbGr7Spk7B/wXJRFs7eYkf/yMHO68J3r1/KWXStmPHAO+2OJ51I6MQvcyS6 gehdaOYNkV/PiZQg/8ASHRA1G9qhhX0Wr2QmvXEEmGM5pTNMdCQXQ7GhrEUQI7R2P++ku1/IAvyO 8IEhv9DiFGbh0njshh8EeDf7bQWiWI4qBFxd8ymsjNttbun2y9Hn04JaKRyA0ElFlFQlSDxCzr4H 8cR0KXcLGdfnnXHXXel8AgODIaGzwkCBVLRkz5D5fLDw9vw67WQfdXEF73Q7zmIEikVYqzslcxmA cUJNNqGAWrJARaVxlvqSGZza6DDnXcxPBMjzs1sP2aRMIhYr1k4FIt69rihr8E62I9SPyitT9ZTp 9xWgVAdP2Ho+4/NOteN7fscJSq2goTkEM0zEF2mJpTvWuaRkImTn/B4uQgZompwG3ol2T1bQl4Ho xOQSh3OdxLIjU0eZAMRzKrWcc7CSw0mf0HFZ5zx6P+9MOwMsw7siTQ1Y3Uzhwaplm+nMrc870Y4L 17MCBBhgHqw+X3nvRLtuSlhnAfB6K1EtIOHkI8MSEgOFzthPxQ71zrPjgtDKy7q5cPQJlSC+mnjg nbvFmVpJNB+CWjLIpyUDsqq6E2/gn3ee3c2f2qRFUSO58UeqpbmJjHE2xM87y44Fuu+dHL+NqQYz TmEyvoguJOSPPNdM2ToJAJZRzGAgw8ZcEyqqjDeh56pJd3O0yjhsQhtwyjzxzt1lE00GwXsgxIAT K/upOw32ON9Jdv0KUbcliNhyiNjslM3AKZbr551i9ysdx2Nolnfk/xan93QcoFWqSiYz1YEztkn+ nRxVEkhQlUxXKPGdYPcsvGFEO44X+ATTYnR7oZPYaEbK+bS48EDFhVfYXXhkYrwz7Po12lGzhYMB n+oWbB+Ers87wc635KfudNK+tcbFiId1ccnPjn0RGPIhkrPANQYbyetO7/S6B1mwrU63x6UaoB01 IB2D++bcUDZXLBNa5hPGYOBo+B1YFZV3dl13odIq/BMH3e7Ohjh5h0XJ9PNOriNgsX0lmpl6JO3W 597JdV6ugkD0tIoKFYZF62F9yApP2TPNd24d683qBQvVpPHW506iajZXCf01GaaAn1rhflB+drr5 OGhb/JqoVEBORuXutN1ms5BmzL+y9j0+77Q6XtPq93GHpA4kBItSiFPpMi4ej5br6tYxz5Q6ELIg uZbrO6eO+bjKclLy0WiXOxx9UF+kMuJJxmaZtoPhmEEXeH9JrGgyRTXxITgP2KM2KErn0k1BN1E1 3/l0XToaz94Ed/mTznhB5Z1Mxw4Yu+Q0zxLpgHuKwcPq5a5AvFBzKc7Gp66+ZB5qtCjRB7sA4oQa D5aHFFhQFaR/0w8QwI4Ow7n8eafS8XKGggo9xmzQkoOxX7ay0/y8M+l+gcPYtkrQx7+I+hbl4rp/ 5n7l8TNJICyolDndc6FIC7fzk6JCgS7/UzahHH3FncNpQ5QUK66du1ytJrjciGs/K3HeshN6d/ya IB+Q00JddxD4Av6mdC44C7jh8SDBvJh6ccpQTpk3SmEinqUUWbycAo0uKxScfal7ce5KObSoIG6d 8mkkcY5enEvnjmh+Q0g9UstShGfRhwcjzraLyNx64+CYw447kc77oCU3cVxpyZWBxwNAwS+MCghF xKcZzSfKw2l/QfcnCxPkKSxMhZA/TSZo14nn856HIx2Z2pAMkdkvIhMb0dmD0HWHCuICp1+e0Lgb USsasG271rH9jK+Jur8iZtTkgyYTmESCqLTNxyMUdPnKwuGPSPcai1KUhNNwo58f3qtORRIhrM1J l002J+4S2kOECpOms2BId9aoKFVoQMFClX4wBQ60WnK9nT2pdfJ+yIhWmYBs2x4VxKtZzlICeGUr u58D4ewQQ5e6OvF4kAyUXzsT8C0t+f7dwxycAsCFF3NdfpvJE1Ao0vfvjtYs9+8eFcT9UrdVnOPo oIuzAyxwe7BZn30+LzGi0qRO3QJCFXZSXiUQayzKwVV1apkWoY3+HAKrThAQefM/ewieDziav3DQ eSL5yrfD0kOW5kgP5IlsROJNmQ+svZNl4R2znflAj7LwqTO+Gf2gfdEPYGGVYTJL+kE79wx2YRqE dVAmOPO2gcHlQhe8/vYoC5cZ2hLyaaE1w9sKOkJLipqt8z0jMus3IxoCj/jtPE4RVZNegB2yPw6h S9uRhmkbxB7y29tcVHuMThH+WetIo2fhG+inLlX3AoYdYTy4TfWfDWhvxqu6KhYPux5VxZe6vyK1 LOxP1XQbzrdI97Du+envabjYMA8uDPeBk2HfOIVZ+KDkYR6uBpIJJmac5mzD8stMBzfkBD3kaxox eouLyNHrcw2o+kSRJ/pJZvY1O3ioJ0447aQepg2qZr1xkBRwA6d7PS6/A4gAlueQmhHeiEswnv/X wuNjqaHmezfysC5OG8KzSFQPOPuiOwac6c0rH3coanGzStDDPNxs4pJoLRydR7ZAIkdyBVjYXpt5 LWBnFCIp3MTXhV2Q5owvispOjBARrICl7x+RNUC7WhQIQGGy4AMiRss3txUghwF5AovUey6OG4uc U+n/SfDRYEulsEe/b90J1cPKvTzKxbfadVWJgca7Q61ENUCCV2tF6BCpzRoVpKWh8uIdhMIY44ui M49qRZk+4hxYJ5jnF5EfL0heeDyoE9Rfmg2oNgAQbXGKMOOaUalmVS/PJQAoLdWd2NByF1DUX7ny InyKEe56NtyTFPAq63PnoEOvV3GauALRYPYcFZkmnFhxqTyg8RMufNFfKdSCqqINVk/BgWSMYD7+ L0CmCgXn0jBmv0svTMgpppiae8sCu9wYKBhRFjPr2Cd5WDahosq4UYBbEpOMowPDAAHEl6AyfqZw ox3D+c8EPrWsYq9TODMhfPiigCPFytxJv5cPat3NUWV5CJ8OPP5fJhReDCv1ssqjlFzSajlZ94kI 8ivAIxxUp4h7N8rdiErjczq6/hn95oI2ORXU4GJ55s5SVTjBxAHdXCSb0zktiXv5iHLy3KwFnHwQ chVdZRYLzk6X8XiQkw9PNllBpN1quhW6ESbllN7B/11SS3MFrDKK11Qa5J9Evw8r49rLh2isGi3b PEf4mZ7iSFXqYRG92Vkt2NgkMvxSXVat2BtHBHwCMhuVWM8l9vzRjA5E4qUkhRQUz0eVcb/iEabK iEGy0gL1N2i8PcnB2b59QmUXEMNV2LHQIyqNs2CBm0lbNlJaisiCiQ4LKpWk4EO4wfTXJtgSUA/e NzdAj2/gawLgKrHiM1MUZNIdmGGCHLjIP+f/4fF/dVqkK3quZk9HakQpeSOyIK/loCc22Az0xHum WAgNpTkuuyglX+JGrbmXjR4lVErOBa+T6QqYmA5VQMOwZWE3B27bEwMUhPA1f/Gmd93NB+EvzhSV NnaDmuEIy+L11xWPIoHZj7sRJeQUNYMbxvCGeb9w8bN3uOZFAgxWcylKyG3RTWOSTWeSEbba9xoE FRYo/SeytRN0sM+MwCGXkcEYH5gdS3zPH5vTJDIYAw7nbaXMkaUVnUvG89Fs8uOOPZlBiZuLgx5R Rk54C04ilz9OKzvSMJer6EsnMAUqysiXAtWNycnRjrvS8gaql8TpCXCS5PkWuoGDKd98aPjUKxgh WnxTzQn5vw3KCnYpcr+rJ/B4PILzfHftcA8ecpxnlEK0uLyoZr0VAyVSU9KYfr8D8saiFKHFi3mh FmVPHH0Pb1A1TlLGXLiJFRGCoSfYAUwDK8Wx4jhg8TVRz44MMkCqOBRhvE7gFxVfdAseYS4+91eU YJ0AfSaPUlQXb029zXStK/fwKOH/bkaQDH0xolx8mihml2UCzEmRmpA2DTu7ATYcyMZn8zMB6QSy 9DgXJRx3N0rAUONrosSJrHIw9THw9Rkm2LwwCTmLuuP5CKRy1xxCCh5NveDeEabi5rC7r+J46c63 q8WmWKMXtYUpTMVFR6xF0rQcL5VltnP8ySYBiGjhM5pygQQgakUpwRcdM8wRpuKkAcNfFsNi9ZjL DrfGyRYecg58QFAuqFdMhVs+rkA8NxSqMBkX2LBblsRQJcudal++O8GtQXWVEVbHs2nNrGf03an0 Nguk2MDYqp2YB9xaIBeQeWOmxpY7JGgfD8vjjSW6zeOuoapFMDxENYRog59VwfNRYeVGSv8yBEyn 71AzLJArUmJCfalDo1/eVnoihcIZ7ncz1i0UUjxLt3Dn60WMNvDsDccvcPsDYtxSFANoFUXiH9x5 vHNHSvAMYSqFFuBb1rFkLfNKB7EoHnftrAU8HnVbvP7EBhYcHMe4lOAZJePNk/Fx4Rf4b3gNrkKs sELeuPZO9jRjDqfkL6fc0Ie7k/K+eDZrivMDVnD2IFGCTyaNXCazeDBKfmC+S6/8l8LjbHQK4qAD D0AzHljt/DMe/xesQIn7iRN+YYtTlIvjVgeK6HVFgCKQ7VEp1WV71IJulvSw/kHghAHeHW3h7TrS SQJ54EEt0trlsFQCL5HuFJ34MMF5qOwwwxI5+XZDZhsckgqaqCjL9WbVz4yy8SdOogi1n7XvJXhG 2TgYj/BwuULQuV4Zw92a8zdL75YYzLhArkudy6vWeXWgTybQUVHmskO6kacKmkBksHUIXsr1iV16 4Wh74mVlMUpzLSuPr/PaSTZm52zA4wGsYHwnBqxyr3aPvBml42w4ga/r+dN0CTqwEqun4/SyU5hC LUP1gKUHZqOjeVrZQAqz7tsGVPht2UElF22EQgtqawbTmWuG7M1EeMrq3QfVVOqA7Tc39F7xeNDl vNsTYwrdGVynLUxheXyKYbf81iKOqUxuxvIEClRnHXczAql03enOFj3vaLMJZkAn44Fb4MmiAMEp cknnnwwdRFSQPIGiheeMkvHK8MzCggEHQ4q381PyoD9LEY//F870hvwF1BQtShFIBTUT5JbJCyqJ 36Vmi0NXoMuFg5tRiorjSyJGkKS/o+9N54J9Xl6iM2C2lCpsPeC9A55T+2HaUdoXXxPMJdyA4fjC qx382US4OFuONMxB0sXj0c3u+wYMBFPvN3WaIXEzyUe+XUXD1j0ZL4KuEDJelknQzlDSsPjO1O5o c6lC2p07EE66c7voVTdgClIxIZhfDYStFw4adxLEGnn6YNW5hSyTm9Tm4/8FF4b24ljPiotAKtNg q/nK0ArfO2j1nZ1ClrDBKkpRLj6Mn5EEreDo+3cH1QSI+zONEmqrw8QxTyRxO8YN60qpsC6J7wlg 0Fnyal21J9xZeDjBqD6x47Jqrnj+v0Ceasal6rZZZsjbxL7fJqerVZ1c+wJMCteibcu9ymYopcJG 8Ew1tTs6/gI0slzYkEoArtr6pEjZIHK2jof2s4re+E9h7E7XHw6GeYK3pe4gu+PxIL9sftARH0Wl qdsHXlEWnpey8Iufo6+BwepdsKCBuWNhWhFMZYivOdood7yLrp4jYPJqlzYV4+Q2glAOLG2cPiYQ IpGCFZbEU/2+AQ/Hz41mu3lrteLxgLc513dyiRJFfXREoySc5sddYsLcmnovN0q7+QW49BulMAmX zFNfwmRy9CQ8z36mDrMBqBpW0fHOZzYqwhKreyFPyBbxNVE1hcdcYu40Nl1CuIOvVfrQ3b8WPB+t OT/nJKKCxsHlkK1QRLyxTsAqv4mrrZsNuIRfS+y3KUwhTEUtu6WJgpF7JLMByIfi2GRueaZTN+Fa 5FENq2CV+uRM66fha6LSnEjA7Q4C86zzmUzTTibOx/8lkq2+8Znf82KeVsjbLCrNTRd5KqK0sjQn 6X5WUyCMoSiFQPGuJTc8d7qYJyRfHawMnnPznHJVxPsNGuLAGTtYdCoPZHXF9XCTVCMAo0C7p6vQ u6xPftZHwfP/2pmYgqPmMwEUtDiFauLqG6TdjMmS83WIL5mE4MW+AZksJ2taMW9TxgYGNGzjgfKc LXxBMp5Mlkw1XwpemNQvad40F3Eoj71yUJ5jh3x0puJo+aH7wwsvvCSY0UL5YuIT/pU6sQMEHOzK T6yiPJxnON0+DPY0ZHoOJxZNZW3jIEopVqGIilHqrTgurp4qdOncL5FfY071XVSDp3wRIAoVRP0C AINZAlIQEV8U4XlYRyE4U4Nuv5T+z7qv8D0DmMr/KYtjhyo3TlEmvuRDlm9rs1eD80AArzSDh5VL 0lhhJi777raUiXO8VYLVKICGKsFZfVt9daS2MKyBakgZjyR01oEXi6iQ3zrlXzqrCfad+K42JNiX Gh6PhArW9x6V6C7k2dMK6Zv0Oy8sKYtFlpPfWFCcNRbZgK6Z4hTl4sNUjqtEQjheMgugmVXGPijo ZtmdJ1JpMj3jMC8cpIL7Fb7or/SpNfI34cXxYzJ92yR9YfT8WWFl/HLLs8QioRtWbqBC/qaOvJn8 yKt5OLKebiDG0mjDJcbj0rg4BVWbOUdH85zrxCQKlyJGZSVS8tpKkBKh0vb5WZyWiImhVw4YCOr+ VvnCVyMX1VWrOLrn0Bt8z3+p1RNIn6EcvusVdVhhZZz2R4O4XF3vWJaQE+ecXnhiTVSRirUNpaxW x7qjRQoXlwzdOGxRDd6ZtN7qCaXwDBg5iD/tZlEUhl5RRo7MAkCeXHzQFgWCtgAGZzvE4++RWr+M WBrfNt89akcpOdR0zwYucKGawtnBvrMnV5zJAAkyP9hRYXxYkllUU+HogVoLnp5AjkNTdCVTN+zI /OgCCOSnG+GyML6jlBxnHGAXhPqCqymF53NWbqkHQ9sNj0fEn1tTkX7d+Ri4ZVuY3nNyaW4gJzc7 iIzUz/WL1rj6am37ytuxlop0w5p6dxztyFs7VyDGyb+FYp/04s/MwvUSqG8Y0q175DV75aD4xKy8 SYiuXSG6c1hAT+XHBNn3e1Iu6tDXFgWwcQfy1QIVZuVA5nekN7ZFiemnioFoHA15CnGmDFSYlcvV dSST8k3lXvGofZar1DLTyXdKtssL4X64XOM6c92Ch944iBN1w7bQTzs3F8BoJz9bmiN94/FIX+2b sAFOJGo9HqaQv9lVv5wepu6OWmCKbGfi1+YC/zuEqSTzGzP+ZptXVDQj4aSaDfX6Ji/eKKyg8kuz L2rP+UaOHRbfE5CkhFMR+Gnn7P7ToGbr9rIxnSKcSku/qnSQeNlPmGLguIRPfdl1uRlLkF1CT/Sv IbiIYQqB45IznFkAA44WptYLiuyEr2bU1oyrj/n6Q2gzBP+9SocGK74mur0kNQ5YrEPbja15eKNt 2c/BUgbP/xfWNG74E/B1C1No86O23eAlT3IqZvnOPvC1+VmONdwhSsXx4soLBDH7kZzK+Z1hGrGI v1jn+kIsPmxFZbP9Azt2FytAyx5fE6RPgtAtiYouV/eHDVyfRkhYeDwSevrWNER1crcrrrYjlAp1 rmA1drX6BC+kCcKurtW3WHpjlEJNQ9O4siOP462r0NlvqsvSAKLj1rS5KWSI+xWCeZpueE1v/Kds 2JD/2BjDa77jJGlJhTc+Hl3vvinTKImY0S3DFGbjXY7vj9JTfUwQxpU0lMwQwxTCVJQ6zaVwcXTg asdVeFBKNFV2tpqAq6AQLC7S6uathaI++J6I5cr4dF7uOChMzO1ZpTu7IB4PLi1XS4XrC4seNs8W prA0TiB03lc2TFdQWbTU6dbJ0JATf3PHiHHNoq0QcPTCSjvHMMwi2WapuMEwuUqAe3fola5na2I1 B1/zpyUSyjY2aM0h9EOOGnXg8Yj5c692iC+EP3d+EqcoEW9drrbsAMsNwUCHFTahPpvosKPZFEFU poGdVip39IOun9yvJ6pCJ65gKbBRqKKDgL+bQ8NK4g14xzoqjM/MwwfRDxqwZwzTuZPg8X9J9ckp giJtd9EJFvT6tUQUlGoyM7gOs6ZCQQf6wrP+NIvXCnIKpVRMYb2q5cJRGSZ+93FuppShG+j98qaC 2ZSprXYWEtWc4SxHy59tLx24tclBKtEQuBCRLzXDc3U4N4bKhOj8NPyICL/6vUepH0uomsUrFDcs VFHZ1G0gXxHK0OIhTDoMUyhkiirFeIUZebe7sIyTOXrBLlMVX1pGHbKbScwWYPmhqAIIBlMosRSb v3REU8SkqoNQDA7qB0PElHTMtmbm88HlpX37kmVU7/e5Rd1gxfY/IEktNc41uWrygl22ejBUMEb1 YIXYcenz1CIjN45+fQFKgnph0DPqo6UlTB0lXDJuXqWkKz9DMTx+U6QXokyTwdqo1vB3qW0tKLr+ kFa3+AFBLlX2rxvxQCn6egClqGI+KMY1Hsjv6MnbL21Vw9lDscH0/nMKzTer8F/Ly5z93vWgq9OI M4G5FMp4RG5AaSDDpQylyJHqvetNf+nIB4i34tmrD9q1IJk17R8Hn4/wYl6QwuYOb+AJbwuPVZSf J1KFiW8zWF2nKDur5rVMm1gN25pNrKhsPqxkZ8AxjrdsXluH2hBX4UqsqGJiQeooU9ylYEbYRSaT AYtvCvowrJvTaN0GBSidjSOpnTkyn49Mbn7ZbRSJot5WjOp/r9GqTKfKUIhQREjaqEAXrs2cgc+l pwpdh4+K9iy7HEunjuNlVk/cWBM9AhP8/YqaDA21rsxVweOQ2hiFSCN+UwREVNqQmw92HrLPyrRh 6PnIINjzBvZuzkzBrnpjFSbrWapGzYSggHpzQt4guonpVQKXgIBNsjODXVczyhTI26NAviYuf23z hlwr3EmY0UOKFIw8rkXogNr+vnOzl44ks1jsbLP4YFjETFVjAqQyn49yrN8z6yQPq30dhqHiinT9 WLsgKc+4ZlqG6JvwMMTJ6MswlFyRUGQ2IhlHC5aYw5lXG0iPd+ncNjq8Q1npHIYwAbEtC50HvfRf THQgrjVcqF0nvU6CsHw+6of+6smctbXr7TXkFObtLFCd//2q05DcJmflfbWjS8/u8ZYi4RUjK4zc xx29prBSpfewMK61UrHiZBJNUIL8szmthEmU11QKpcgJIzvJ2vCB06pAAIdl8XbWNp8PlmD9ZlKx QZrWekIVFtEJRGji47HDPi9L6NxAXbR9a4YxVFEVfbg/iapVHG8Vfaa5CuGbLeVzt8nS1UTCsGC7 k+lVYtw8kofxRZH3DRt9azcfjOzZW65WUJ98/l88IfKpQG9HPmihCg076fOHnrEBXRvSXi+jz+Jl dJNHphFlCG2RBOmZp/2OTt0HiOnkDizBoC1A1xsIaC0UllAFQo/MzW9oap4Dx07szFRGBpLWBl2b S4d0HRPTMfl8tFt9Q8oAFVsgTXuoQox5URPrsTbf89b0VvaaXlvjenZG+JaUDIMv6B3Hi8XvLD0T FHyuM2AxcOYNsnWQNK5HvZ0AxRx7dtplkNzW6dYx6MeAnMe8vVQ+H+Ttv2zxEOkB8y2PVJi2Y9HA R9MrMbiz/UgiqtMkWiUGCDmyxJBD285lk2kJ6sLxAl7BuAUVitWMDeAlawzAK3UcGWDy3VCVZu/8 lxripGcyhqw/GRWf2vWNdfD5yHzqe1cH6BHHwQ1VVE9fOoLYYlOo5jU678RtMVSTTDVNqjBp3468 q3e0Fz+RWdCXWNT2gROHJh7umT/U7d3t8QkazV450jngSYCarA1C4OErmJOeCVr5/L8wCTSpziQ1 3utNaN65pHtNfLcKMmNlr4IKko9ILfAMLVIh91Patii33NEm1dmGTzoNYgFMCiGTLYIRytE/g74c gNpb5aqPbu8coBImYXhVfLS6sjUR5uoENp1vHJvPB13k9Wv9nW9vYFR6qCKVcolCVPaPFKq5uq2/ IQ9rTiooDNn6C/N19duXQ836XX/ncOsoXXEGJTBc91UfO0f0VGo3L3qq+ztH9sJ0BShp+iDEInBH vH0Cn8/no3ZW+fndzqpgCXuoYr8gZaDVmWjn13cIRwEqxFn8zpPNoYXnytYYNav49lQY4FVezk1w yCIeAENmoBvAjQ1sOzdLL/SpehV6eE7tUYPHIAe72ZScksn+dT4f7Orl2wGdHjNzP6EKJVlEll2j eDGGRWqzXdzb7sxLlaukjwr6EKoGtzbaHS1UZyPagP1RC7jj0sm0Ap7ilC1magfoolzQ2YXmN0XT ShBP3QJTvmkVnLvEUk+Vz0fqNd/gxcZqxVesomSdwNWzvLob5Z2dw/zfcqpfXNDVPFZhlV0WCZWm xDZ6LQag8iFPurOcIV9DxMuEoPw5/MhFgxOZQYN6s5eODLuqOHvJB+Wdae6q++651PH5iDbbvzZ2 gFongNMeqxCD3iTunh4U1V2C565fDEXVrzhpjv08u6mQtWe0bB1wtrogJQcljQzz8G9SGrQKYcv4 0K38pSO1W3aVxWrYl9UAE9u+pXaL8nFo6VmvXCKLzThdMuWxLFqhq+dgLSbVK0lmapKUcqX4uLB5 +VauQltPdlUBeJGtJ0fHUo1zX0uL0uW419IBBHcb3i4TNYVzK0+fq9lL/9nCKU0DRe+TttWk5Opc xfh8JJvoOxazAyT+9aLOcujsOemQk2XGoYJf8VpMmeSKsr28q/cmYmtPs0CdZkA8HzTVCcaAqh2Z 6xPW3wQ7AuJzNneW9EETdP02tgRzaO2ZKUxW4WZugxbhAiqCbZxz0vL5iJHt90Dh1ea53NQnVKFI y6Te9DYa9tm7hIfdoJKw8IRFCJEWI8zkwNpTfnbYqJZZfK5ysYwEMACeyUUIOgJb8efL2MYBcqY0 LkIVRGuyl45KfN8IGBRVScGDxCNwC5hX50DhB0RJ+3fdCssfXjc3WCEIZkpRw2pV59I8mpetJjV/ WQ9F59PnVQxO13zKBpDND0B29QZZfLrndRTzYZKD3Z2g64aGRhlUMd8SSdr20n9BF2DQy6EZQvYc veB0/7DvrzeNyA6/ZG3QGqRYk8Uq9BXCTR+COX4XPN/svkJVrvLV3XWVtgcOn5dyTGMVH2/tuACe PmkyttmGUNqOlTdITMFF0ecVDQRybPHJg3AvAtQ36k5dMhsJojpU0zl5OD8g6krs/5M19H2FknJo 8qkKw8kVrwZQaw9qaHnPudKqTLGK8TCqHZvMTZsXoA7EyTz5j9xQC2SyGNc+AM9FLQKo4nLlzNWU CF0+s07ApPMwGZ3p3JvO4uQhdu7Pjc9H3tbfSQMAMgO9UQ9VlLe3LPOz6ji0OYffBvNKjiiGcbeH KpRSHGaWLjgDR7vidKTulJE/0yoP9JktGYNXRTpTFm4Nriq1/JUjtMfm1WZNH1QNpXr9VqQ2n/9X MYb70vldxngqDKHT55Cq+Z63GJPShcXU6ySgxqgiFdbYDYtmHnAcnQu5zu20Au8JkNVkYfxHVp/o 9SIfg0uM9W7y8ncOQiUSzeJFZ6FuyLoCdC4LlyKa23w+knT77qBiCncgYD1UobQ5z+pCiJJjPvat W233ijPwdddHBfmC6sZjr3FH5/nVs7QA8RDoo0LFBaFa1IgCqwMJhGVWgJfoe4IjkIT/3akjzMGK MWj7W7Ev8/lgp1rpa/mBBQOA5bOtR0k7EZln/TWvxpx7miP3zjHuxNFzRFQPVQxUl+GJhJQ1+lZ1 1u+ZQrzg1AYlaoktV2DC0IfGBxYWJrlVFXvnCNLPgvGiI9pGI4GzCsVvchB+oGTA56PClefsIpTA 4+u5C4amn9TIAe47OXwvFS/xyZBNW1W7u3rs+mmSblkUrZWvFnzCteNEe9D1E9TdZoQ/GH5SLhBU CQeDEqqeQ9tPxIDEyO6DphLKYZxiqrAHvp/WYPpafyAvP3eb0PhTHoRF6m5cf8Wc0XBbmz6pFoum ilSUrxvxiFx1H32rasgFOOWRCYDg3tSMoKw/0pz8FSqV+ALrz7OAmIOuLXnqbWj1stEyk/Ty2Xn4 /H/xIYQf4pxXLTDH5p9FxeLiPJGz9yZn1OzrHEcLdeXrofunSVIXmX1r9ALDWcknOV/kaK1aoF/G FLQYHhRctnQ7p109rtAAdChIeHcMOJjIDzm5CFRAmVf1zA+IUMa/5M4LlwNq5x6ukE1Ktggja5kV W2LSc5nd04UKlrOFK8rYTXqjGlWrPlQt+GkBqlY7M/bVt1wL22aGVYhVA03UFafUlQ+MQCF9QDKz 2qcEQXXd6c4yZ5MaSqmZHxAtw2/zRuC9Zv2aW2HK3uQ9VFxiworuJCj31W1ugZbtwQplXYRnBELz jg6O2SdjaEgKzt5+UvQu1G1nyp7Pb4u74JdhDG7C/KbI9lqsEbrNwwvjh1eSCmWPykR0lDT5AVHO /i36hvL1bE8DNXAERf5bcVvOM/vFWaaygKg1mYOyejVdSj+HlqCGIMIr39EvzicSE6VVLMSz1SfJ UcjSB4Wt880wi3EqUur20tFCZNLOmrsGKzIgWEpFURUNXEG/i+14Djl2R/HfYxUl7SOrJ1BcSLC4 AR+4ysP5t7W6wU4OfEHBKFTNKqV6R4tVoqDzIKEF9MLEdggcIDb783Qf2Olh2XR76T9EAmDCznYX GI8SExwojLEAfH63yQ8IbjgXSMRZiGLMns+WFZbbeRgOAZAVrLoNznfO7+mdCagsW7DCvN0yq22A UdaitWVhv9rNTBrg2kO36ASEF9jK0MGqUtVX9Wove+moNSG6MmkkY7qt+qyw9VO2tTqfDxbh/F6E sFc7qfIzsUJsjKmgb29NAHDgRdHdhoGQ2duxWIWJu26Bzbb59qWwhAocWMvEiZ53SxLyPFHE1amg gH1uctf6Y6vcHjqEErSIzuXwQaCrc3PaUso7Jwqfj4hu95Kj0/AsQ2iO32iFBfdFOJ8A/sy4uhX4 0MgBvkNsXGSbtmX9rfpy/kU3ROu+DM9aB1AbHVO2/wG2IfiRPlW5oYRc1+3Plyw4X2ATChcn1kVF Kalgf1ZhkM8VvXBi9LPs+QFB7jC/G4TIzSYAlxas0CmUm3KHN47lpIl2wHIoEqyIjs/49ZWTBlah SEIEuOy53FFT62TrrfVOrcqTIZxltyWRA/QojJfaT2eDXq5g9N7JgVMo7imklSxapexlVimSyGnS ggOUIbAKBf3lV1UUYtnpAaiFVqEAdlDazCMFjXGr9EGb3CIFkziPVIRsN9wx2jB3dAJOReduk+Wd gDHqVaS3jZtw4moAmU9XwqEUK/AKdWmTVUy7g5mHKn2jTf5jh4p1Dt1CU6u/0ndSlW6lIbQLrUuK cN19rwplVXR9TtV9xAemna7PgV8o7oRCxkjdVKOD+SDLDeAoa7sLN8alDv35WKRDP+BuO0AtFXvl v+wtTCyHMli63kBOUr3Ccb6aHxC0B5ufg9P2dvQ3bqii1L2qMzHWdDCfm1+BftWdET8psqdpFRfb pZOzhRHl6Ai1os2eBWQYWy8Z9hRc8ztIyH04rSsj4dErR+0ueYmzNsNB1+fz+S1NK4/y+QjLd7FE 7GegtlqvsFAOTEPBxJea17oKxPUeg3vnywBoN2X4wzVUdIlp6LP5cEugtt/RXGXXGaaPpMn1CrWZ RSULqOS6tlCt9s5/OWDtIdLEqM7uWtOqWXR6zKFv6PyFe4Qjcd/jWYBR2l7/H2NfliPJDhz5n2cp CNyXuf/BhmbmzsiSwqufBhi2hBeZUUwuvtgy6VhE+0o5YPVqzk65SkGHmtZoTusODIxDMVXKn7eK Mhw9IUThhTQS5M+Uya/qdwGqdiLLihbOtQ61HCf0Dm0UPRt9qZPDApTi9r5VbDif0PgB7yf7ugmh RLCBfLzqZzmwD70l5HbRDGnsZglhcbkvIFyoc5L0UX/mOC3LjYejJ4RwQwKy/Ude8msyXIV5w1lS AIT/ELHtLjMz2UsHC0vGRYP1mYGLQEonCWBBxgsZtYbQQ5RowttzRtkcmn7PZMUCjbwGV7tJTnNH 2oS96ZWZuu9kRTAZQ+ktVltstKN9IX7D5iNMFJ1CU66CHzr11SHC5FO1s71y1O/CHuyNRzsHnVfQ 4ZDn1wZhKTASRWfiF/x/nFAWwZ9N1ecltKrnO9WqbpNFZu3BvJKBZIqaYEoHswGKXgKr80FAXqnY PsS05OjFvjkAmi/yqoe6tQ6rBfkXCHX+ICRyGhyNoF/CKr5ukjMmvAFt0CzBgL3L+nHh6f8zR3zH bctpWfiZIcfa+H84P000Q2YKcsW9CMkwm3oWP9loRkXZ1lIwQ8PUmrf6VxwvVRDehfg/QIgOkutD EA/c0BNNLag3utsFmWCfl3BKXyP5pSoxpuoMJThTikN9ckk8/TZFaOcXnyJuLtCdOolvmKOXUIpP 0avxBIHpSloj4NF+O2FKslUEBJDm6CWQ0ioSfh2R0B0tOpj9nN30uQJIBpmMoI5QKSBO7QcRkMWc k/D1lzCKrwttb2BB2/ZB9WI0LZtOqN0+LzGUJninr1VUT5oINzmboZcISquoZwkJXZFPAl6NObIf MWsXHHyJn/hBtDw622Cat84c3tTijgJIhZbrrF2p1pDQLxgAkMD5zWcIQKPPS/TEb0FcNCe1NDHg jmT3HZQpykbBszV/XoIn+2ubTVGyMLPx8OcUvUROeghXTGNCaFNUpsfjq9zOQ80eZL7ETfyBvHaX 5zPeYjqqUlCBO/dkp9gnE+IOmQK4a2AFwZjRaKYUhnsJm3QYCc1RJF1Z/MieQLLJlB5t95egSU/X /j1HQIBWcr1pwRnMURUBaT7LaKZHrKPfRnJ1S+OXgIlzNLXRWpF3IUcvseCkoNf2maMTAtAXAuUo 9GYyxZobO+Tqjia5qwZztFg4b9RC1aACy67NfMFOxv15iZXsdvI5ohg/9LNb8q32EinplJdUhzS9 pY3eXJVqrOW6wxsifPIAiebIRCu3iTPvR5y5oIPZEwUGCzTh2BVBZX7zHKIOe7t7jWndS5CkvZaF Scjms35+EDnw7fMbMFU5+XX/vMRIOswMl7cE3sCnUKGZc/QSINmBXXiT7X6xsc2u/ZTHE01WP7Bf wiM7sNVcGLaOxvXA3Gh7nrSJgfcALpLAv9SkkVKgjQZi8Q28yX9/CY/0W0hyGIUqG4QhhlGbCnUn wPq8BEd6yz5sjtiEAaQh3zl6KWhqrzVGjtucBigy6PCWrm1XqargXKOXcqadE2Z1PZ7R8WUp032+ Cl8G1blk+LKK0i+KtP0RYGRp7/NSzNQc0b6pFdU0C1m57H1OviOibYifRtdaGt/r6CyQLSd6KjsF c1QUaDPxIXVtic7dFbk6soxuWVLoiM5sw0qpP6DR+y4TeD4AQrCOgESRlcVZ5g1sdwA1SlkXg0eP q5cSpn4L1uVmE3y/OcXoJKFdRkjn/8+fl/rlEwvedZQlsYamoIWQUZQ95Iq9r8V6KaaeC/OBPX0p UcxSZMjwblNNcqVntDMJ8fqZG/WnTnJIcVf0p+DomdlSBkvnKr4o0X2PtH3DLcymDSr1njdni1kK cG/8Pu04U6/W7Qb+wFjVd9wbvU+/Dg9OFB58OfVlR3dO9HLR0Y3ynvGLonmaJtUljvvM9WKFz7Le wLNQl6OdbM3UqzPKO4DdZRISvEPMAOvzRu/T6U0Vz1XbHXTBnYNwS+V77PV5Y/fZ6e3zxEI0TKXm ovKiIH/RHdfI7lOCyztuNq+ISwPI8CxrOqAsmChKB1DTLN/RFlQHzCoBCwwe8p5VYp59oSI6kYwA teNZCYlynzdun24c4chw9tsgsD4UHc2qcPPxIFoafjox/6YHAnhQNk9R2I3fA72Vtu8Znl1oqRFr Lzt6BPCGZgkO8S5xs2YWxs0tjBEM5EE1eqoNn3wkzaRmJ6jaiy0p9Tql5Jmq3jhI36DkOZFw+qD0 bcK2ToqCgL2Gkff4TnFpjiLUK6fpPfQ2FSvA1e5yuvsOzc0rtJQvA/I99kZggVUx86I7sUbrrzQ0 W0Df/qEWJtCvqmvSDBXeH5m4L2uwTNUso+NpyBiUceUEj0QE7YIQT5ak54r4vLH6tG2L7ztWltiF wtKwiYri7+6Qu6sb35sLALB1ZPsOiYQm6j0Az/yd2QwQU42jk/qgfT8b01rI548ik3Vc5D80eGfv xc7xQeumN06f9jdgBpPGgTbwkprQepYmzgnRPm+UPr5mS9/rKSPun1BYs3kKY3DZFRJqYsa83Y15 a5u3rITurYomURA+5PC8mgQ4Vku3Fwxdl4FiL1WZCwwO5JCycXdBXC2jo2MGxllCCVEU3hqBiVMB 5vQA8+TJeRF4cu67/Xnj82k99fS1nkAlmp0qCZymKAwX42Ok6+pYSNGSyPduF1+AE13TFMXhxg0d LeU72n03keu0mYyhNuASyuIS9EoAUv3Jc15TizS73vh9mkC8m5PgYQ7uy7tRSWP8lDoffw8L+pwe QCkgXT9Y6z5P76G4OdHTLP7HnVTvckolO2gl7eIkoigWH7ItTsTE2mjbDlS187GTHqHgPVbFDlS+ 7FRGB+TjGqx3vfH7PE32yNdkm4CDSkxlydEIGIP8eaPx6Q9Ov647bP6TtixPfd94fDqfeN/B3sUL cTqfGPqlu6Am7kUtqDggV6N82kTNO1EFggV9ZdL4qAAwVIkr2M0DHjuZeDhB8qfUp6KAnJYNkzxp G+x8aq1MqTMDgRFF5IK9caLkBoZSU/WA/I3BZ8caWR41+8ajTIF1U5yIDA2WZFjENwLfd6A5im28 8gUHbhk+BxKAmwXxIKu6VKNCMseFfGtN2BGfN/rejfjQnJt3UPwE5SlWnk4Olj9v7L0bVnyVvs9e 33N6Re6NvKfZHez75uUIVyphWFxQhpdSKtDzmqb3eBy4C+V1PT+j1+TgtphUbxr5rF2CaiDyTAOm BJgJykh+QLFw+cbdswQPDd+yqfRd0K1rpN2cb0Qyge/MZzW3zxt7T2sj96+j/GQO6BJsn6qoBA5A HZq9ZfpU7eJThTqaR5qpWZvgjbunv0CwaeCn7uhTVU/MX9Seo77VZNmlqQbRKHWDar2HmlpS7yG5 6w2PyRIdYqmfogBz9ywryZPErM8bc+82Y541JQr08BzvjbinkHwJYD6vER8VHIRszd2tdyaMGDVR UUjuuEPRXDR6KTyBxF55ls8FtaksNXTWYgqi0gGDJZfboIbLG21PX7SJO8RGtUGxwUCcxxrLObo+ b6y9/xWTy0713LrgOtg8RTH5on0aUVYWagIjb6pAZXvqMueyUu8bZ89qBjqasoDAI6eLI2ioxJ5l tcmbxc6TmQx4fJCHha8FcnyVemV49UbZs/VAaPliTL4WZTsJ8WwzGfoXbZUoJHdJIKxhO6MmvC5s nqKQnPoFJ+3ot0y3pofkpI1ZzSD7lffG1/uuQdW98x0dz4qMJWeq60948Q3mN419J9DmUcvMT9+g 2CsHQZQaBzIKVUlaOe0W2QI1u/V5o+vZuv9uQKEaw46GzdN7SI4DinLou3htZV6buSTRGLUOqDnN 9fQekiPIEAVtzGf0kPzcQueYpUbs+XuW5YEnlCKlY0FXsddr10AV9s8bW8+KyyyqdHY0OfDnACOT +GWskf15I+vZX1y+5gncsdnnPcjDmJysjpqLt1gqQWg6yMe4BJjivthvVD1b0IIMjPWMdj7tNTHr MpQho1Xc/gUdoEUDEtyCnuKVoTcOpolQpzpZ2oSfwc8QOjpDGZJUvbNcP29MPatP/6qOD94udz1F 5fHGFkLZ+yqiE0etNktbXvpdV17/jaen91dqt3Pdd7RQ80RMgIowhILeMIQR2dLEPgEgHBKL1ysU moJ84yDUnETcb9o5cXCIRWUsgw4wXzNYTvP7ukNxFSr/Pk1RRM4yGWCYznoR/Ez2vHn6dbdEWcc0 vUfkWbkTRJwZRWq0aTrRTu0dKTaIZ6gZiOtx1lNG3oAQ2xt2mY7lnzeGnm06QixQXLdBBSis2W1x yPi8EfQMY/F9ONFdsmffdG/8PDvE2Y8aZlAINv9wdNOUNCWdZAC/V3s8jMdJ9jxRGyMjjXeWailV FikZHalpZA/QliHE/7PzI+uGQ+3zxs5T3VaqUlNAlGlAlAKvGvU127kEPm/kvOdKfrYc9ImBBLNZ CqEoy/wZLgyzXQsnqEB7jLn9CH+j5tlVx1lq9Pu1UbNUUdqcsKMHDBou1E1Ig4UaWa+a3oYKt2AE RW8cTRPVJxu1qzmoSncOT3XB2okNPm/EPP3BN2sRRUNxiu+5N2KeVhMNUupOTow91553yRcRjTia cma2zHkK8Sg8mmZyXbdxQ0zUPrDtAAsvGcjFLK+ZBlxKh4sWmCl+grehFw6SO/Jb6Nlng1ZTh3Eo g6gTfH3eKHl2gG+bJnbUz5Zt+zZb3vh4Ok+KGr+l/bjxR1XLHDW6elH16iF0flKUA7NWkKn8baOt JnihgbTPWUJ7sBZnOlbYvg510Qz8NSk28kbGe4q+k+QFDNhdXUW61DI7nPMcEZ83Lp5VVX4hd1A4 hKiSzVMUiG8pQsxrkNK9bQ4SEIBGnCc2tLWaouJ4ktpz2SzAabR5ggR+ObdbQU0M2IElctVG6Ut2 GTcOJ4ufL/zXNCWUMWzQCV76LASJn31YPm8kPIUta3/fc6Da9Oxh+BsHz66NxHIGGdZfx5Q6Ld3R 9JC9sFmKKuNpWGV87zvankugT8DylAinhtSCqynhZhpd6oQPlJfpyhsBT+ufHHSIBfkgSAGqQlQK gIbN541/Z4vpOxzAIdnHLYy/0e+e2LlXZwfjCEoOvJjtAafADUAXXVQYN/22CQFUHy26ROB9wmzy 0Bs0+rPUDRrwrpkq++CmX1on8YRv5Dsdwp3AlCrpjOpSd1CpnVvm4fg536NwLIz6vZw6GfsXLhdF 4VXVsXl9jNN+LNZTd241u9qMwt+Id1+H06A2CUd2PSarBFhDDb5faCAs8C5Za+0gQm9sxsJGixcy k944gswVdaTEzSBQi/OEUimLgucffM8ovPzumK+1flYaN3AKo/BGatQc1bM6UvtVJRi3IVVv2emN dGcNKQEKh3FYnpAgQ/H1rC9CL+EEUfmbQuAAzVCU75BrX9Idgk5+UXQ8sTTeulkW5h/Wmmqbuwln gFn8vHHurKM1vhYUBSVavbFTFIcTL9mtR8eyU11SA4SfavIF1Vd1AGZUGZ8mh5vGMzrSGVQDaicj gxqQjyLkaZN1W6FCcbJJ10AyXYM3up0VIjlDiyL6HJKV5ygThLNq588b2U7vmb/TX9z2wCc4CDOK xLsq4z1fJUChMAl31sVHLjWOH/oMvFHtbONJ9qEL0jPdWwkQQ2hDNaS1/BnaSurcnRyDXRbahI7L Ox/DXvl9nqpcHRdlJjt9ZRgyZfpEsUTS5ueNamcb1+87ya8U9KT2nagQq1K1imj4JT8ZLLH/yVhQ rJxxohrep+KEemPaGdRGLYRdxx0dgjFhw6BW8Fk0q0ngFJY7jR4yBeaKyetOa9or/9U0H4km4kO0 OYmWzsQFhc7E541nZ4FB+Upa8NuMnrxO8Eaz0ypey8mbYrrmds0YCi9R+QtUmhNhQUWF8WbKklP+ FRwdI0YQdWJLCsqrXZsUmgDA0QGNXvZTd6Jb8OeNZmdNJYYEI0vjNTtIDC6hxHjBfPTzRrKrF+/H acFhtM5N0Ef3AOqNY2dhJutzJZkdShvPxhs6oCAqmZobGb9R7GzjKWGr+RnvxtsDff9G3GoCl0dQ FT5D9xNEiXfjkYzxxrHTmcrC3N7iurq8UsXxtCWCO0v9vFHs7PFfgebMZ9+NO09hXZzs/7LrhWCs dCEYEhchVgVgTcHEw7o4idMnkej9jl6fQ0TegDQ/K/f8EcVENKCr9YP9AhuU7EmwKipv9Dolwdx2 DdxLG6xW0KdKgicIaZ83dp11H77vu0xFEkgv2DxFdXHq350ovBjE9/zU1eVvc7p18QXjCV54b+S6 elF5CSzmfEdPglGQW4Ago6SyGtBn+G8yjJAm3AB3uvE4ZO34NdE0MV9B3GGDtcxH5R8B1Pj4vPHq rEGav1cT2omwMrJZCoEqnCXkYo4sENOAqgVtNkcWQPFXuy6Mx6eSukoClEZPgk94Br0sNswltaku KO9jnKH7S2RFiymqiYPcAGe52X3QLG3GEz/G1Xxj1Nll9z1LGyWDvJ118Man01OE+bJ8b7QDIDqt DyzRYEaZkMHVWoqj8aTUVzgMjjZL8Cg8YSbk6yAjBjkR+RQtkqfRkBrlwsNwL3/e2HT6Wc+ZPdEl qz5oy+1zhBujdc7PG5mu/kaHEUkGykF/ZimKxWF7jqlp+6bAfXpBRUYoLBSwkIyCSgsR40VlJ/Hq NXpud1Kws7ATi5jnNGpV3ZgGf2W66bKvacRf3Fb4miAeyMyASUDQIOxFr0uSwGcDNzweBJjj+/yG aE0HpddmKQzEec9N3hacpTYv7T5TR1jFOXZ1eX5HBXFrlG9TWeNo5xIsvbo5K0Bqs07RN+nn3HnZ 4W67kMytNw44LOg1zLzEiVpWKAAiPSc577Uy8HiAJyjpazGBUoTyqhN94jhc1bnqkPFUkyNXCwWM tZggey2mz3scLpoSDyRddxxty8Ec8tynhfYBCMSH9PM7aFEQQyRy29K6QQZr/5OaOSizZYMW00BZ kQ3dtvl4BIT2W46d4vNXjZL8+O5REE7GbR+62aTGtprV5mbfXpujYpZmKQKoDOuqLNUyOWqWzo97 UtoEgi0SlAGxM5USIAbcJOxDTrTKBFQG6VFFvHKzca3OZ8kWQDOT8E5nseLxIBgo31UnSKm3li5r LIzBhSVI+aqJjfL0NLe3V0Zy8niPCuLmN0tvUx/tZELV8vw/xeAdfDF5MRWe+WUBogqUj1cJxBuL YnBVCXpW54CC90x5B83j5ODYCp4PAE+zfUdNVEPKxYviPeRp1iIxHsGfkZoOjwfYpDW3y+Jy5T2K wuc01IUiIo7O+sm4P9mbK2gXLEF9TOG9zrWBhr8NO8n/9igKr6RoUDGUQ7LjGHEG2xUnduF7RnTW 7xVFi270vmyeIrLm0F2XjFkHAF12I4pMYTzV57J3yXsYhUudddf9jB5dbgThTbTosruxFdElPwdp Q8CKKN91sXjZ9agqvoTs3ZTBR7hk2ilobEm+ftQ98Xxw2/1qbA4wY1e78xRG4ZP8+nYBmYUqK5yn VS8QOi8ifDs/KWJsqks+bZ7mnacT951pmAJkngnfRehWWGFjnhBuSD9MB1TNeuMgKFDyO4m9AMn+ h127E2YA1kIkRakbz0cbz9cTTzNg1fq4J1RUF6dkSYcQumwCWh9mPQgUD+5rNYChasIqQY/r4mow oZDno6OdWGCcrIuv0iAZLxNCOtc3OP1Af++RHZ165b/KTnPzhJoI5SXtXwH67Ft2ebXgA4KzvH/H T5hps1jnTL3H4uoVooiCe8pkNJFx/E+hGBa9FbYkns4fwrM8isW3zvJe5TTL0esEpVCtj1CQhZiH cXtPyBgg7lQh8+IdhHNRF71yNFMSS2Ehk4PwToBnSV3nZBZ4PKoT3GY5oy04GuLCtYmKQONE5vZE BUc5BODLzAWU0BG5gHbKs3Z+UgSgMwKwRO9NSLoS43sOggoaOSbqbDxAhjlRC6E75QrYh76o8TNf +KIghtLhhKqADVZQWSghcxcuPh4BMi/Id7BUAGnyeZsIPQzJu9jAxWYK1ibFZgpFX/0TCpi+pKLa +FKpoM/5jJcldSZrnWyXLCk4SjEuPZceZqpllXsvHHqQ6tojlAriEJCmy/BBzbsJ7UkJ2paJx/+1 pFRTOQuDhBtjlkdBubBhV5eAgg7evatpuq5MpaMETqkRFcfnNP051uo02q1XoWmZGzUKMmq6Q8uu QEZnLF4DOKREa0k8zUcUlWfRWnCu2CDM06JjN3dhzng8iMqHR1FSnD4flu5hPqKovFM1S+0N08Cy Xgvk7pOj63t1sYsRlsYVHMC2+Y5O15gTTOpOVRmc7Sr5nr1y1txmTW+sfJXVsCjwPX9w8AeObYqm nByNGAyoGrbJvgUUq/F8BFTx9UQnevQAAeu0eQox4wC6g8Pk87RYglSTc0ybJ5j8WFg+otI47Zig Z0QqK0YWLpDjLcjcV0BvkE1WRJPSVoHiEISdUDy6/gkQGsTXBMDVpp7dkng0+wGYpUbIGX6X8z94 PCo+9a/QAI+fT7qrKQrJm8TnUnLUUx67O+ppX2R9VVkFmy4KyXU6nc3WrKzCVB6zVE4oywYkQ6gT /85e3fJzQtUyE7ftgQEKQviaP/EXECm1wSicMCJiKAI9wxGWxet3PwoauuPBPI0oIO92hjuCroux I4GZB2eYs1M1RhSQm+X1rjLw2s5pRqAJaTm4XiMgR1uqcX+e+UFVgzECqNnGCKaLJr7nL42ZKm3f Sk+/rVJmA62FVYCS8fy/KHcUAIZ77B53nsKAnMLaJzy6BV9BVqh8UaYzpDK9pzlPUUC+rApg9owc nYqPkP/EBbSjGsCc6QhPCcgHHA/A7DoRn4IFIwSL76KjqfmgmABRvuk5jYnHI1T9N/EHafCYt64y QrD4VMFgtVswuAJqANbZRXcOEddQicDi1ZSctrrBHG01sUsHCUgi6IYR8EEIBvgQQnxAcDtUHHsV XxMtJhZ8QRniUMQ9QCGZOs1KgkcYii9fS1qCsPkt3mIZUVm8CUHnZkrIh7ujVisVdRQOXNTqiEJx T+6KqAcIJBGXMBzYA9hCyjqUXYGY4H9L4DPXA8i2PksABeFroqgJoN7SKwU+KKBlALqWKxfTiTE6 nv+XrhN7LCBn1AvuHWEgPlSZy77lCt3tNU1jDF9MIF5pmsJAXJinE9SvO97cDl5UMM6mlDK0Onk0 ZQrKJgBRK/5u33QML0cYiNP8BtYnbNkRFiRixj5XraQazgrFBwTVgvqLbwdBwHUVZ0YYiDcFTtdY kM1Ys/cUQ5F8YOwZVlVGXBtXV4DgBhu9+gT1311pr3sC7vNjiA9Mx3m0Bs+UIJt1hwSd4iF/U3Jz iW4lAygg9r3gKt9U8oK4PZ6Pmgi/yiob8qXTz6cZVsepzZPrsGa5F8o3z6fuEWaDeAVzuxnqFhpm VROm0QJx6C/sgt8O0r1w1jK7CRCn6Way0tO2Ix94hhgVWgqu1qoPAtGdVZtkKXhOCTwezFJ36MXk 0muIC/yum1EcTjjbiZyGi4yzIaW8rnV6NNODGKgrFlVmWB1PUinoxsbv6UIKIFIBYybedX14q6V3 djCYIOVR8gPxtVf+q4uwUCy2wfpQwL/IgrgXPP6vA4rtY9R3BiBfNlFRIJ4oGTbHlStoz0EOKJyd UOf0dTmsmLypnqVMkjQ6OAznyC6U+T83RZ4CSFkpp4AjXmjWbFge6jrMv+vjE7wOG5LK47OIt3Zy gIrHI07iN6gAnJ+1b/47o1AcQVEGsO2G4hRNcguXaUFmgRaM5imsjuvCW2nUO3qQWUjPoDcX4AtJ mgZ9EzIOIypkN9cj1l44Op3UlEo2mFwB5O3oWsxtjscDTMH6xq6CDPFzrt+7nKJYvFZ5oyePC2a5 7fLkxDJGTxZjzigWl17/ORBNxqhWb3Gi5gG7TWINC7LFwkZw7wBDos/9U2g/bZ1g+nLNkLpJm8We yCTjoHIK8itWBU+oU/F40OLsvwpPlJ2RcQvnKYzFlyius98o8247SMf5tmt+3c0IomJtqVUVG3G0 5YTMEJDeSurIOslqkaAC+l4Tixaiox4/0cBzRrF47TrF6x14pwJ3xO36c/bGwOP/rM/hOehDrXKl Q2eEUaHVXacvnKUsrZhOdhLQSmIFJfk0RbVxK88t65ivp2N+VhIAGJ3BOHLtaeSojK7kAMhgP0Q7 EsHwNX+p9BYeURiqgnH0ZqE2TyE3vmWU2OXvYBymvlBVt1kKeZvUMIK5hQfjvXtiV6TeK4Hs6psu 1DQUrmCZJNb6ksSCKssa4iMWEhK08M6/0F88Pyu4r7d/sPXCAd5Jvgd7JR+sNAflRDYSTviJxyNY 2Hf3gJKPqDnbLEUYFTptQwrhy4TLj6aaL4XsZAMWi88oFh+GLpyCDHC0LVcaZNYmUWHnZMLqMnXM 82kQGUeGdZVUBnH1MwrFG4WxV0rqtiAD4PW0AVegzOrZ7RXPRwohv/qbGUnV7bLMkLaJNdhWuwJr Jy+yolPOfV2UISAAmqdQSIXo3lnkF6jx4sLQA2m0Z0kr4wyXCmIBXJS1lToe1s8qeuM/pbE3yVEc DPI0utTWzsXV8XgUYXocLo41gGHzSmRGcXiiC3OmNwiPJtZ0haofa91qCticnKYVoVSGmlDLlJ/X l/JzRhYPRqiYdjA5UAYM9A3w9z+QczR5EGkUrBClslkgID1Pg7A8Y2RRpU9oXvF4wNtcXsFkfRUl ikdsdYX1cEHF03bSTxf8QtyDp1m+skExVxiHd8kaXrJGeuAX5QRRGVa+sIvPaElKNQxwayrd44R0 xBN+MHxNBIDu8mLhQ1DOGjrBESTKkmXXgueDPZe/8XM4GSZI5jZNoYo4s9/SLsqwiEY+Zf16JVRw zGqaYpSK98i7jTwjWXRCnXjA44LOy0hcbM+dd27YBavUJ2o6/zd8TRBdiiNdGUikatdEgSJt22K3 Lj7+X6opyGU7PtFmKaZtLko8XS2sKhtFzhJ9W80ZsFhzZYU4cSP2trLuaIup7pGbed2VftKWrTgd mAWYQw9Unm40QPjcCsvhamouCtJv3FFC86LLLOuJPqBLGwXhhPs/QTjsVWe5Ek8rCsKXbrpigmr4 J8EqKBEUhiH0I8MJrKhpxbRN5XIU37bR++R11dYnjyYgzsCcxaZr0h3t2Mg0F3Ekz9QrR+W5RSgB SyqzUWhb/YI5KWpH7aI68QlR6DS/Nh6a9Qv3n01VFIdTAKKxlybQ02A1g0YsuaXtAWb2OHzFEiqS TBuScuBomgFo/oK+sFl2qtDfVv+3khsFVEABn9AsAROl11eEUll0t5tC83BQ+gsPFsnTnjsUjwcg lfWd/uKknOnWe1cUiC9RWby+dM5x+jtLrk9qKpMh5jKw+AoDcSsTdHFcOd56CsgsVWUCBOIMYFJn QzATW0UjJoNeZN13sYQKO3ZJQWZilMK+MVKHom9seDzSKfhF+Uk0F/Igc4V1cbkt0+RbHDLJiS46 a+1lHDLgSmyeQri4SbCLwKrReywdp2DhQV4x/aIF9cIiamapGK1bh6ggvcIXRdET5uncy0yAIdAj H4gOM0b8c6A+t6JgfDUvFDCGmNAMq57YrZi8yVtuXzV/kEV/XEKlXxVfOEBKYTwujAtvkZXYcbRy 7x7kDkoWOicprYGTSKxQQWaHaqB1gAtbPviiQJ6WpOk15Ic7jKl2TsHeiupzbfA9IzzB/JqnjGW5 62UDr7AujkysD5ZNldvNG0DBX80mSmERJyqOxm2CdPf1fO0zgKrGpDTp0J2zqMmEpcARJzOxzPu5 9RZ1oVcUjmPXUqhv+6ADCgyTYU2XiseDBfVLd7XxWH06UjuKxwkVQXvAI81EEJvowLJnYXoHZjCD gx0Ljbv5QbmjTdQ5Ws8+BAeY5mPnEuRJbsiLklhLvDa4rIvvKB5v2ey0toYm1OC57HbL2neTjwcF uvrrfELd6ewhn6X3eBxPmTGbq9bPVXyWNh1zFGgu1+TZsY5KVXtFPWCOtu/6BA18EbwKu9eWhXda 7IgWVMlrbuved7Q/2CFsnDLjXdbKHNQ/OEd6V94COfb9HpCbaf2dJwhf93aRFzvWUSlMVq602s7p 6s2UZeTynEc1CbodB+SqX9q9x9HJ5eeOOUcUG3dnmtAdX8pbQBDnjQWB9usUPPTGfxToZhJJKrl5 M26dTk22s0T6xuMRc/MXaHwIguXTFEXkfAov7yLjkNH7kcTTyvcYr9NFofffAJWTeKV0R0/vxpgk yDPSTHXITeLM11mCm5KZu6x7ijd740hvhokLpS8cBsMMJBfLgjdWU4RQadlrBYwAzh97ZvfuutDf J8k9y+gGSIjLMkYLENBWUqnEBXKaQsi4TEeWSV+sL+mLczgXYPE4TRORh0jVheEd2iuA+XmBDgVC fE2E4+HZXQWhA0tGSyjDX5XB9DgRBp6PQGEeZBKFj05Nu2DMHVr8KG+Rfc3/ElKpfd1pWo4x3CFA RdLikDe4oyPr0wZsVVZRaEwBXoGSStHffA4bMHVMpgD7H18T2SCRVc49p0F7bnR4bf6QirDweDBL V32Onu/nx9/tuegigEoqUjfuzgJGCGizhMahzdIuLuy/I4CKMXuXtD803mrvmZixWVI5ccG5uwUE zmgED7rOF+J4mpK7pjf+i956Ht0+WLl3VmuxnDgDj0fYuW/rEahmjH31oHcoo1Kn2JquhJXpLKjF tNLwxcQ6HqcpRKhMIVTMgISjLSagLU5YKeHsc23vqQJ6AQQdJITzv1Q3bi20ecb3RP4H1HiSKVJ2 TmKVTCwDzNQGHo8KdO37ogNBA/RRm6a4Ki7b5OS2yaWaFQJqKuglyQoY5ppkbu44ELequBIXjvdo QvcDtjznm2D7swR3QoEFCgHjhyrHhk/ZUy/819G0JrFhHLTnCkxxuJhqHXj8v3B+UG/YcHe1WYqi 8CZ9JXT3NEu1GngHyr3Lzcfkp8LFFKFTpkwiN38tG28Z82y4hYrEOZkgLJ0VgLLg1YGEhRK2GZYn Jr87FFAR2mK24gNnaba9qoibZQ48/q9iLysvaNzOeVsHAgS9Vuh40ZVhjlrI8FhD2TKOmlZ5wm1u avUpisKHbjoo/t7R6in9ZL/nhKL7ARAcLCSjnkJqQ8HlQ9dOmMrR7mfbSwckV7Y2G31HThwLfcel 7TZh/MZ0bZy/gx8Rgeqvpwb5eSQx5wvmUePj9UHWx8/t3ET+gdN0I06lwL+3mUTIguiuTdg/AvIu kUyNF5R5MpS12G3p2I8MbxORv9RSAf6iXLrrYkCOb4oAGFR12DK4c80WJKEkvQHfPDOfD1KXC+nh 3EKZbQPP5pMVWv8sijkMUwShQ5kx704+3V0nZJCjo8kKUeM6pBAP3NGyF/Dw2olyJglAcLZlhUHh GwhAaMymKzzDEga/KdIQY3OqJEnSQaiUkUHb5zsJTRsT9rYpiszHFWXPEv49EQKYVz5bUWwOvkmG bK/3zs+OmlY56Lm4Hfco3hXGR/1lHTGqcaQ5+myVfgIX3PAdXe9VFrWh2oAMxVloqEOOVG+uJ0uE FIXnJLcMUM190LkFWghRAvDN4/P/qpgLZjCgYn+9bVIUoCfSEXJvvrKylI9ZMSeAhCurYb3ZyopK 5sPcEAy3Mr5wKxVMUbm5nyu9wetma2XRFhBS7KjEeCZDO1B+UwCPpk8ZbyIbNFe5MClCqDQyn4/Y +R5+bm5DSTQ+TkApLJpXFoNH1RSdX4YwUTIW97y+wLM0E8rKKa6ay4Nrqa9pjoa6DhP2odK+dlas H1oNrnOZdZzMC5GyGIVhJb8pyI9Z31w03dBgN+KE7BTjhqHnA3vgx5eE6KlzuKOUfCfrT5mVc54k HeuATNfLxdvEJ5KLNwlh6fqoIHboUskaopgNl0ABBhiQjHPNszB14i1YLbKCB3UrgPthrYlERCc8 5Tf5TX/JZS2UcGwwLOKgbCjxUZnPR0xYR2iQjQcSx3pIZrL2fO+eimXWbGWdf67bueq92nVY4adp +zC2BeJkVSKtbLTJqgBFIXTgmdXgByird0ONgqSYq7MUCjnd/Ka/0CxDSr+D9KsfFobh19iUKDQ+ HwQPV1yMmE+wkHctzzaMQnckMUAgbE8EKT2kGlWBh4RBEnnKaBtGqis1mZKmpFc5euyOelfdjB1Q ugNFkkWqIg9poKP6xSTKaSrF3kCkw0opUtJerEytmY0fVNrg88EmvJ43nCkivKEy5lMVVtEJtzsh 3nV5I85IxeHsiu2gj7lpYIrK6MPsJ7N4LRy9OrzQrVx0DTxhCuTGGDl0RKRMfzJ9SoyXR+Ywviio VEn8sJbhg/E8Zx1Z9mV58vn/oneEnswJNu8WDA07adkBu7ruFeJU7lTRqUclPfRozYgyBLYIsrHN sXM/jp2FAGH6xEAcuU4GCqgv4AIfrBfDrsGNb+hpngPHTixfLkVQAG1Q5gxS+BR9c0w+/198zUG9 WQTY2FRFwTvhlL2s28RSVmZlPZMDBmqjFp+qKHjfMg3E9XlHx+KvXBEiELZBULZ1vMBC74wb16Pd Tnhijj07pVFzrlYfNFMTingML1epfD4I3X8pa8LkeaCG6jMVRu7VNl33KsPKxaoMQ+AgVhkq8+eu j4r6x5I8GsbRGw9Hr6PVsNMUqOzMisWthS4vEB6m15VPVWn2zn/wqgZF0zmYZCRwNLvKB6EOPh81 Hr4b7bjH+ryVhhz4dqJtTQ/vMl0kqup8or+pCHuYqrXm3X9R2D5F+izsJNioqWrk6cEQHtTYPZEb uvwR8p4FatXjETSavXIkckCtsSqAWd2OvwM1ZukuhGFu4NwJSMJ35Qo2vudYfbZfFLQzFe50NLKZ mlfrfoy7qDb9yTRTIfEzi4tOYVAbvUlzfrkC1zeV+M5FJQAa0YwnETpTjLa7Fa9o6MQvigxLWNyb JO+TOcPFAbgWSfTnG8fm80EX+ZdUMqa6rfksqkiinALt0OHzqUIp0fcfpS61qEDXs/0XRuxLTOJq J1a9+I2z9cCoQtUKJ9WZiqUuBYVfBsm9ZHkYdqpPe+c/kHhnuuXiWU1CF2WRQeH+E7Cvwucjr6D8 NVXoaNV5q+s58O90Y8pcW7O0OcsDjv6dKTsiqK1lILMcGniuZC1SMWI4WgiaoMdXB0nXMGUujYVj sEr6z0ZWVNPOt9inClbo4GlJzU53sNxGzDZq/nU+H53q32QPKPag/H+nKtRj6TzVaytejxlXMapv qwGCOzTdwzMw8YQivVKabKigvG+FobeWKXdOqfIBlw4GoI1udZCB+5H0vUzQSW7hN/3tWt3voLBq wd1MdLRU+XzEZLiSLIsvSELpswXDQjtNWWX/bIaCxRgfNCu64MV511UsyqKiVZHLEkebrLPvzmW+ JQ1xVkIqhFDBRhV5YCEbDT5kBg3qzV46MgrYQsMOHwzKkVqWoNFqhc9HXKtv0Av65ifafsKFEIIu oR8ynAxFlS8ZVDtzU7TtFvpiM0+FAKOov8zxlmPOX5TATQUt9/wei3LdndaULNX88Hsv32raS0dd LgqybGkfbNc+gKOB6u9nYW0+H+U230EooXYnDruQsxw6esqZOV3hNpBkks3W+cGrY/OgE6DZCi09 jdg/9tfoYKoOdYJCsMKJpxrzPyQ3hVUJVp9zK0+vq9lL/wWNXZ3k2TMMwccSvNlYAIb/H5+PRBO/ OSAF1yqwOD5XYb2dBYbCTSxeaHeRn5PSEENVebp3r7eHvp5DPL5hAgccPREEghgFPmqXn9UssOOZ q7PCti5CqNKZehvbgjn09RQk/Wzh7YM2ITLKpjpfn3w+qIleSVdiZ1DZXukSHHLo68m0rUNYSLvw /OTdmjm5sICGXQi9HjNYyIGxJ9aVUpau7hdHW1dwGB2lMGhosMVR0I4CTUFvAM33xl2oomhN9tJR mY/bD2Yb8PACTYGH+rmQ+tbC2mvzA/6FF6Kqy/nm/dDXc+DtCfMvXEPmMciKn4wpULmadTeriZZ2 K8iBuSeQnwLqbTEgOTpCdqFJP7kJBzrzret4ZxBylt259QZFzLcUkra9dIRfoPzt3kvDVIrTTvCs bBDsbz4fEYzuJuRdiA7hrs+JFfsKCV21PR3s6lJAuxSgckuc6YmhyD1w+HS2/4nYpT3N0blYFfjF Spro+WGgy2ldZ5jVALScQfvyhTX9naO5IogBAi8YQI2XzgZaT1WU6zX5Af+F7s/kfT93YWzyWeUc QKYDq3ytuxpulUkMcQwEimquYlSMQa/XM15g47lW26yUtynn78lqTSx0JgfomifQfuTMh79zVGUQ 70Hc4+VlPnjYSTX1hHCNz0fr6lc9BnfgyY/uVEWhO8XpTxa4vCC68p0qiyDMYaj7VIVSil2J4JK0 OUerx5zAEwUpsmrPIjsHvEgSMBwHwa4i2r6qUmvaKweYD6p1Z9QwbVBB9ByQQ336Xjef/y9WTGjD UdnRZyqqs9PYQdeg1WPkxSSkVb+LCgQ9m6lY1lwgolXLHZ1/jHpwWrRcyJCjlKccfpb6syGgSj8v a+Bkm6oQHgMsA4707ic7bzOI5rQu4fukFw0ihvVtPIgl3Pd6DvYobqcQea+k66jKUKzgXoHz2ZY6 Ez2t1Dlw+7ROLpAxZd/xwX5ksGY5VSUjhuMlgJ4hCOXnFhpeZS9o8+h7gjtwseUMZTYbVI/pUJAw AHbm85He6yVryxdm4esviy2Hjp+JUqaV7mOaq5QcmgYGg8Nk1JHo+qioMSi9GyNHcvS4HYJJML1h JHrCq91UZs8nPDl7m+9WWJzkWVXsnSNYP0sxUK/gYMvqhN4AR3NZ1c7no9rxt8U11EEWMJg2VaHt J9dAr+tKu9VaLoqvtXtWZUcU5dj3c7tJxbyjF6827lOZwyCXKKYqKMl8VLlAB3NMKPHqOTT+lM3X 6lLC682r7LU0g86yyh44fyJkr782IOnLz0xFITtL4iiIOkKt0v1GlpZt3N5Nbd67Cbw/T0RrG28J IsPRa8fAZmRAdtDmKlTiYEMC4eigH0P+miqV+QLzT/EL0Rtb2QfVruCjSa7IuQg3n4+ky9LXoqq8 HS6ZLcfun9XEzt1gvooOQVbN6K4qMbcTJHNo/2lawq1JfpKj167gNUPDpXOaNJgTc6828K0JCz1z AjantU+7+lyhA+jY0oOn7tRG+SZLxRRerF0ClD3zAyKwsfckWOgrNNQCR8WnK0TI4Cc+m3w4ev1E JKYNf67D4cKBdbk6V459QF2eSzBZjo6QOanSiQiA4QMw7pz0UuvMBM/T/Ckjm3LRKbXmAydQ0LjZ wZnFSDaw82NWt3ujBxCEUjM/INqG30RJcGpnfVrzsRdoJwRS8gmsX1EeS4ol6davJu52m6xY99yK faXe0VmlJ/EeSRjIM2mwpaZkSYHHBuSekQ1S0FvSU9hZ/Ka/+s2oXHCARhU31PkSStpQ9W3yA/4L AxeZ+2xPEzWwBEUtB/nyAidUqTP+LEOq4WfyAhYAusoGQ09Q85DrpibI0UsyMNeFwTxhfR3tMxaR C5WYKXxOtxjnI6VuLx1sRIUNdTUfjFhawC1gLIrKaGALitu/fu1DOM72nZ+5CvXPTfntCimU1l0n viD9UMG9Lidu5cAY1Fg76Cwb9mrWywVcqFnQ7BmSvAAny6KhKW4FMiAD/nHJNt1e+g+ftFkSqw0l EYnByTpnPPvB58/mwgpB7fk7cB/kls/nhA9L7oV0wHI1PEvNxUB9SwB36guDmWCT9Q93UFpF+egL C6YfDZERduFJq+oQcRLlP0BAOgrmdxeu7S8d7EJJmlWmgxzUnjiZGluLoAJ0Pv8v/wGBS9vPKuNZ WGHFnbI4SUtosjpTpy+sPKuBkXWoaK6iyH3aSYVClI9ekqltDMzSD/HhZSTx35naANvaoeOTLvJK FffQIrTrqKJCrAYhrwCKErt7r8Xng3D0EpQKL8OzC3evz10YItyF3Eb0rMIoul2O3F6E95GQu/M9 scLQ3aAcXZPFUQsLnLazJaToOcGqlzRMqyzwNBSR67ot+pKF6QtsQpMJxEJOhgOgEPyizByBML29 Jz/gX76OWRV6OhTdmDS2Ct0MF7ZRtyC+VLz1bF4zRQoL5uSUA69Q5JdsPUOg645aWvXs7XmyJuDc wTlNxc41aDTDeQmsgHVtwWi+kwOrUJurSQdKDslgCRtqKaLDA84QeIUm7aYnbIA0VnqaE6FXKK1Y YWHmMzVG9VKfeK6cqbYv8io0C13dMDJt3tHhRJB0TYl0JTS3wQ1lqY8qeNDPBnDbU8KhECswCxVu AqEohRbQ8v/JElBKU5ZvaE5sfkBwE7bvfirUUb4YSzn0C600vqo6xutDpCDLhBIlKjUAHKj0OTAM vXtwSQlHo5caaBY5lkp9Z/UUgf8KewLcm+kBqaVirxxhH9m/SU0iC3iQdOR80nNCWM4fXRY/IPJ+ /q7K4Gwn5NenKgrdGducWKje9Dln34AzrasfsC/0KvYMHSr1aXNpdHoXZL4rlPlQKzv3a1Kx69yI +UdA7uHsroyAR6/8B3FppmJ8gO5F0TZNQR71UT7/X/B80Aic9QmvAtNQqKPLAdOcQnHGs+9M0kS+ erF93r5z7BoqPydauvpotyBkcwG2G0aaKIR8E2e7IZxxfgUE4S4uVKu9cxS2G1Nw+iCOFzSYRM2B 02MOfUMp9fa0nRvRXxdQFPqGMn0+PwdFvodw7RYwZG4YpTiNuGnegYFxKKZKafMQZJuj54MnrqpF Mkz9nMSDrt4nZKP9eAZGs1A4x2AySnFC79DW5VZEYUYIN/8sM3daTQL85xMaP+D9YF+/7ecIfny6 g4F9KMCd7NGP7flgEhBrqpOabLJqdvv1HPiHWh6ORpeUmzl62I5GaQM8ArDsVRaFTBP+MgTs58MA V3lMZmayl44U5WlRv6bUhrAmGF2lbpha2H/z+Qh+5ekgce3nVICo3w3bQxdRq/aV5Sq7pnwifa8r g0ZVEZusCClTTSf9TVUeOq+YIhlkgsUk0i5dK9BzBd/Ap2pne+VIF5W6FMCd2qDj6pxcSRWVDdpS YCT6v5GiGY7nG20HTdXnNbLKiBdIKKluDIKahhmoZMpqWjY4vYT1Gldl4ERND7W3O3pjcEH/rkjF A+L7VYICG1IME7164N+dDEcj6NeoKkMFkEcUNB1t0CyBrSJ/p7bw9MscZTSNdU5JmgjaQWvQO4Vh bzRDbYmxe9W98ugWqVfkbOo0U/VBaymYoT7M0LCMOzphEOYvE5R7hG4ThimsLKyCKh3NoBrgaXK7 QGCGr3mbIgj5ssksGb7pOD5ENJWENGKvPq+hVEZMNL+miBz93rJN0WsglbPk3U7EtK+otbuIw6oo G/UtEynOKXoNo/INOXNyqfR0JdJ7I+mGXYgCG1Shh84BXKQH/oOWpUWckwD21yAqO3IogyZng6rF eU16eqJe1T6vEVRO1+bC9K1gntTuDL3GT1hEXeCX+nSVm9tilnJlhQo8iTlDr9FTRk9LcGwZy2h0 OChcLgroxpASOT+b9b/yZrUDlzvYVjZDSAU+r7FTvh4XkwD/AooCS4Yk500WS9Cp/ryGTvhrrfW+ zX0H7j8UN6H5bTRFm8dPmXeK6nz6Dts533AHNLfeaJ+pJty2EOvNoWFgIq3zc8P3ipjrE/ulLjtM 9luBcDvzA19G45pSGe41asoSYEckoI02faNNnL2kRLDr/hoz5cdedSsQR5OnMmfBHL1GTNidU0C9 5jI5efabBzM8NGET7yO/xks5kSWLwkoytbP0tPvOeQffHeZ2q8NfvasYRRocNE/OVi5uGVqSzFWD OVq0eGyLot8cku2vTeGY889VPq+hUjaL1GeOIKB99ojN0WughEMeOwQn0NXrmMO32pzFtD0zdG3M AySco2K5XL2jzdHGPdUhVHHO64I4g7WXjkY5ziEqsbe715jUvcZI2GtZ2PTKQgEBc5yYs24noQE/ JyTrn9cQCYfZ8r2Gd4PwiunEUhE6OrCr0FPLG1dFpuG0JlrXJ6WMarf+a3TEA9sEK9XpG48VPZU+ 4PRNDW7E3WKQoOkANThA5EEuvnE3SfCv0VGWOxscruYdhCKGmKqJUu38eY2N+Jb1a44wqTPfOXot Z2YHxVZqCYhttK57+EjzalDQDotKcMGRnWQPChjGHR0GhBOpgs8Bn+R1djDzl7M5EHUy3a79UWBk 3vp5LWVijsAHGbSv59ClVLZXAdiawTbET6Nr7V78mBAQWPegRCx1L4I5ylIzmd5VRwtGougo3pTt c3Qd1l9rmNnEVDA3Bj9YXwahFVpnmynJCbd6UiQO3agFxjtwGqWsi8Gjx9VrBRMvTG3v1atIRtVI RkQ4EKoBptTntXz5616TPizJY4UO6yJDB9NEjnoHyugWmu7VdsI1x2msdoFSUZRt3anZTZ2593sm rXMQDXKH1CZeeQlezTktA16eaTxeYMpz3wPtZKYfhLHbwCs0AdVi4ENwjKJIe1mZaVO3Cz34If89 kQWCeUpekNu+nHZJ1iDG2rpHd74Mo2iehM1YJJja6Gd3wVWWqLgGdyI0n9jF4zwxHAQlwfvDzIE+ 7wQ/LCjS3PcQz2+U66MKm14eTCde/Lzz+7IctZ8bDtqeFAi0eXqPt88Vh3Vyfofp9Foc1V6NG8ml 9Tc1MAUnC+ZpV9M3s7tu94smqyUt1KgpOAxVjiV9s4SbTQ58rD8qJwG8hG/8Pk2d5L4t10sOAutX MuOYjG0+HgRL5l+MjY/ncBtA/svmKYq66SvYKVBjR/icVwfOBb0Q9V3caxR2d53ho9Z9R19P4Dbn zBb6qGAuqoXe0aNa7Eep0Sktz1T1xkHyVnj2zjl9UPIG6eLu3O3PO7MvP147OsRpjrK3B5XvxD5u Fk3TdqcGoEgsYupSQtUpnhwb9R56Z6/tVikmaLTmSmPPE+haOhB0+oSSTQM2I31sCPqy7spUxTI8 nXh+16YOJ8hDmhwAR7kHQZn5vNP6GHP174M8E8eZ73qKwm9m8P38knc9reVcbaqgWxV8XhDZe/yN G0HF717nHb0IDo3KLFb72Xco7i5VlVCCzmAWgj9mxzilYT7vpL4snjMKDfkOvKNOUj6kqFtHKZ93 Tl9Gl/L3PIFGCc0Bm6f3EBznuLLdebvm5eH0qSlMTt90k6t3Sl/2EvhMzM9s9KrSLBnttCE1z3PO qltHm8eJ6nfGDjTz4iylhCgIb8QXEHJmg/G0C9cnr7v9eSf0eRz0VRM4n4Jijk1TFIUvgQtk06Rp GiISgce73YOvy1ou8ZP+vu6KtBU52vF0LqVzNvcit8Kz7ZKMVKk5sYBPzXNeTwv08fg979M0GIZX Ns0xWPhUQFeiTgloUZ93Ll82CMSdJgiAocTs0/QeiOO2I98qV++oZGHFaEo0erJpOnGOaaG/E/nw +kSOn31AjRKNtuuoO1LQNQR54Vx12dggA8yDxY51f4q5gm6+R+Ko9jBq6mpodvuWcgL4LcJiPb/p 553Fl58Oge06XH69L89X3ll8+SKnZ3VeWt0OgkpTAQLmaaGKruUURuOyCi/S2Nfoxzhwb+RAwyEF 1XRVNRvGQYcLQuEEx5+Sn4qicWTYczayHjXodJq9irdQCb6IwnGhme9yQm+CwB7VKqNgvMpIppmd HLnH1wpb7uGseGe3wn5n733tumSWhU+1MveTWwBinAgVg8UFZYn7RBkZiRwbOLfOhAbM5527hw1Q eCoVKg5zUPB0tqBkSlot+fNO3WPOUL+mCQLfe06ver8z9zi5ZBiX6zhb6tX3bjIPx13XipdR3ol7 2REX5341fe98lQU3cuoEP1bgUySVRzWzDUg3pHBQHqjjnk4sWr4T97I7OjZgleYgLaUxgGoUCiHy KZ9UtX3eqXu8774bBKjFrHHjp3fiHpaxpqoVb83Rm8PjJxevTuCJ2lS9h+PmDgnI8sp3tKk6K2dB Bp9py661sz+NNBgxV6PQTW9PnKkl9R6Pu6on/LLo/wHC+NBJDoM5sqtOpPl5Z+1xqv2IYqxEmB8R wJyoMB6X1kYerhlfNlMYtuW2FX7pQuBrKorHKSAHl8K87uhl8Ao0JexHz+qCD+YgNqNNdGcz5SsG tPldbIMKLu+UPXzRFmGIIM28HKSZ4TJThJue9fPO2OMJ933jgRC2aKaseYoC8m3EqnrjzJ1vCfMh Vi36miDOfKfrsa6ieVrpGS+EYJ60OEkNHWXeJvWkCUD5Ql8jo5poZV6ZXb2z9bgeBLaYS0OXD98q 5k35MwpaKlE8TjOBX0fURDvApimshrMlTniOg1Ju3oJSr6fB7F9wOUXlcEdaTKOCzsftead6NkSn Hskio90EF9EcANwRZcz8tAxwrXzemXr4Q1knWKkvH5TPnhtvqTm35/q8E/UwzdnLBQy2oLSIlMvm KQrHJ+mfmdJMbkLQHHff0qV/Vs/v3ml6TOOlLGX+RPvxJzofenZHaew+oTbXdOwDkQIuB5B5BVm0 21/STfWdp4cQSBZOwAbZIKBhB/Fyao3szztNj13t7y4mltLst7nyztLjPHER9ex5cHMUD8p0y7sr CwEpA813kh5+ZgVQ0AO8owWa8+zBvpFCIm1JaPLK7wokzkVhR7ymp3dl6I2DaZpEYmaWVUiCkOEH aDRCR5yVvT/vHL1sbN4nguI93Dwgf6fo8ZaUJPMVwgPh3o+nQhcCKqLDtE7r6T0gR8naIIaWDu90 sWBnH7TVl14JnbgkCVmSYSfMnWhL7wxZyHx83hl62XgJZ5cK4NQuQTbBdlbOApmvGSyn5bedgJto QZV7PEXxOEtkJ3S+dJcyzfvjBFVzeFiw8Adpmt7jceuvg9bOrp1Gi8cn1Woga4y8JUOHUjciKsW9 89ryXl1e/sLRauJd18cdVHyC/C7xbu1cep93Zh5urfELXdFxzxbfdO/EPCymrlg4m05LG+TFCTtw a77wdbRW1DsvL7vhzrSerkafJeSqDbh5ggdOhqJaQW9QVBsD0rWPphtujs87LQ8NN5Q/JwUrbRDb c5HIzVNql887Ky8bc+lZSxmYxuIVlXdSHhcwUc973GbUbO1G480rTxLHwFp65+Q9FZUTJpc7apbO 2X1imkLfNDAZZ5rSk4Je0rlsWDdAV7OLC5SL3jiapk6TBrqGc1CFDgR+7vLWJh+PTvDvxQSdLGI8 bJqiSJzYgA5Femu09F6ckb6r98dPlNCtH/XOx8NeyNJy66RQa7STaZ3f4awtypkWVLBbMwlihMH0 +8yXuUhLr887GY+1DeR0VWrVHIw6DMiUiIulfN6peDy/vxtS0Dpqu3qD/J2Hh+NkTbHRHfd8IgNX J6sqlhNNv2m20/lJf245tU5stMWUseEqZRcK1Gk6VQBOqocTG7oj6p8Z6mtSZuSdhJdNveZ8vMri gD9U51WPzQMYpcHPOwePFZXv5iYR/jXfTReG4dJdlt4ksfQpJ8PSL5KVOU+FVkNcTVFdPEneoJlC IEebpwHQUSKUIKPt26dhn7DBsdZ+8o3CyXHhC/+R1Z2Tm55E+fFHKRD/lA7EKJ938t3/icLRsuk9 +zX3zr1jc51cn/W1mqo3N5vMLcg4IMOesxQVxeUzdBagThqOHg1AMhOqdCg7rV0H0BsA0aMGM7rs Ih4M77A3DppRi0F4fgaBCXIdo8viuvM1g3vuBuGMSVGAHrcX9U67w5eiWNRbS14kaKz5855b9cJS YB1g91yISxHPZy8LxqlndIPLDgwVYeG7VYpuQiyqUN0KynEFPjVO5+z2yn+V5/KSIuBygFMDeCIX y1s+75Q7xvDfdScgG1a5ue874y5LTIHg5mXzRO9lK88VqxwAKdfMTPWdcPckdZDO0kj5FfB3kaks nODylQO7N5uvHEC7cCgq7LF4FTPpjSOwHHn6Q9JagxZdnKcOrg9v+XObfN7pdpjm6dElVhScP5ds wzlPYRAuv53tUDAg5x7d4BtdVppJcT2FVXHZEm0j2+2HbHfuyXL+nsz1dE6/VczpGbUHcKlxMaV0 yXZoaPCLouNpiudjmIL8o5S3oRslC76ztD7vXDs2s76Rl2zzoG9jExWF4ZOCheOxxC6UsJUl9uiO BYfQoU1UVBafBnEW/16jZb8ncwEzUfXes0oHNd/AhUREUgHuqqBlus8V9QzeeXb4bbOEfOiQwkGx 08ZJx8LK+YzPO8uO75m/Nx7CplU9dnrn2OFLSfHJ5DBIBHDwVNpslrOTQA41Zo8uA+8UO0YF2nhF VoUcHaSCSuxO2ngniUpbho4VSpYnLgPFK5HjM6SEu/TK7/MEmAYAqV1QZ9THf5KBW7a0RNr8vFPs 8mN0pYMcSB5U5zwseKfY8TIfQhUkqtedtQWvAyjlo3+EbJ4ThXvwp+KEemfYEdWmdt0QGpOjNxDg UFxBK8ZEVWjbS4UTHWdYyHR4KyYvOy2qab0z7Dx+GligGrLBnvATMPzBjv688+t+BwbypUOpdzxL KgzHt7nRX/U/IjpN/Y9pb5Yn2LYlFRXGWzPxPwu1+4Pp3Yibm9wvUTMFTZ+FcUosn129f2g1eLO7 rlcODvMqI6cuI6fuM7XOPcHs7oRE9fNOr2OBzpdU5Q0Hqmff20Pyd34dYwpmwS2ZtGsbzbX/AHF3 qx3q5fDWe6fX3abUWZy939GRKpQ8Ao4E+g4A94gJ1cFry/Q/OfFUuZuPTIx3fh15ESwTlFJ9EPIJ 5HXZp81SP+/0Oj7+K9g8C3St20F4Z9dZXZDC5heq8l2im4/i0TZQ5ju57smDIUp6R0/wYIE9SDfM MC+ESRRWXUWRYlL1DJhyy4OXv3FENpD3Qlk+WLkAqTenqbb2eafWsf/gDQRJQyEWAwXN5ikqjc+p ekH1qspqxTotWT+sak/LERjvzLpslqOQGSGaUKNHmydyLUvIp4IcQ2nOmTHwukFE2OnRsAMM4vNO q2O5QEEmtZA5eMv8BLJqme/xeSfVMVX7tZrOdduB47dZCqHiqj1R/F9Krt3d6EGnqI4sgFaOdl2I U9Gua5Lx02gJHoXgSSzhSYgmgjDTYIsN1BVAi/GI3F/4/RzvxKcgUfFBs7QHrBx+jKj5TqfLj84f zsUfSIacTyv5LqYoJKetV+eaN9bBSt6OapQVN9fZbPSedzLd1zRNKeRz9Gk6swBfq03u70kwtvBh axCTcU5THPEOD8OrfN65dPhdWU5p0snnoD137oop4YHzzZ93Kt0vdBj+WxiQDP5/mqUoIO/0CaVZ teXBjPJUVWlX871ORIaoqrQQME7y1yxlPqMH5BsiN5CxQR58zrxs0it5Eth+tuK8tSe6sOJrgqAg Z5HIkw9CX6B+xhb92cENjwdR5m1tKntGTWU6+qKF0Tgu537uHpesAzbMqgUl9eEVuuHQ1RYVxWUl NzMRcTZauRdOVnDAZI8Fu3eZqSGoxp3oFpyBF5JpbxzccxSsqyKNcdCeO/u4FFEOy8DjAaQgf4N5 EAqgUeY8nygYF4WkpOaLKYlFLsGL5Yup0ECIRJ/3YNw75RNeqXe0LXcuulZY8INaHXotUoMHbwME r0ngtuV2g/zVHhIzhzQQZVI0m0N5Tlacm8q9m48HLJb9nbLgLBvlsg96WBUnznA8UnVmToEC3Xy8 O0R05ixFGBVDhLVR6h01S5A+POFGFnB1IoZ2L+iz4Jp027DfrFZAWZAeVcURaYHAQrghB03TSd93 k4NxnXg8iAZq+9pzY8L/Mt3FFIbh+StS6moeJMenrO0tFtiH6PzuUVW8LysRSO6DowPokPykRtuc DrRN3UpYSA0q1HQDtdhLBaKNRWH4lLlXohQ3RGV+qhKP2cUUOn98K3g+YLPcmgpTu8mac/Gbrsc0 TVtFBqE7YVM1bSwCvCwMB01LAUGPwvAlW8IFVR8fLQzvGT6ag2Rfnk+1CfTECnlFiaUhMnedC+bA PQrDq1ishcjMTGQZl9HVeeut8z2jWsHtADN3xu+HS9gmKiJrklraczb9PoDosms95VYvgaw5JrPH bE07vuVzwtGP8bOxJw45HuN1UmULrXKgTTbJMjipXBWLt12PauO4jCnEo04woLym21CbRKxH3RPP BxM1vlvlWF9zNS8+9TgOZ2lu7EdsLZsayAm+l0eYsPyWG2EPGZtDcfjIz+j5CnjR9JPEZXrWz1IB Bv3TM0+IuaQephOqZr1xEBWojIlOI71NzocSGdbORxNp8wPLITwf7bzvSBwtKQiP+zyF1XHyo6F4 L1/ek/3S1zITysOmprz1lllc9r8j8dGG4VLHeNh2CQFnpQEF1ssgfio1GlICEMp21MVesLyHL/qr +LSV2G1K0hWtKAhv8TA/sWfBB0QYOo/G+d+emR57eaWgvwfj6BhKvm9qTgCzJyG6KMzMXn2iSgcP 8ygY30tIgSxOy8yX0wIr0Lpo5AQZkBMZDFUKCsO3TMNftPbc1oSSoz0qkPPSO5cVlxQHgZ7g1Lcl Q5QaHo9YwL/AYWflzfTMU4QaB2Aaud1WGQX80+nOE4NiFYwNYCFvOy8CqRg3cU3xMNaUprOBnmA7 IUXIAat6eaaWLq40UgzmwA4bP9OFL4rELVhRSRTe5mAVlTyWbcLFx4P1NL8jTai8nWNqXkp5GJFL WIZABnqAIjW1iYKwm/4JkcJmCyqqj69spEQ1FDh66WmdtKJNwsbHuVWR1vHO4zYFwRNr6QKiB4mu PQKqdF12YKfYoBRknahfQgWzTDwelZ7Kr4nC8mzVa08jiskJSeiUcrPOVB+OXq11e2eq0poCZ9SI CuRzKbGroklxtDuvDNoB7MyzfCBpkZoBvMSGOg84osRqIW0f3xN1pmSeoD5eNW5fPScbZY+ovpnx eBCU3wYeNynInOmupxEF5V1oaFkB8Mpj6qBp8siKQbn1W0ZYHp/uY7nvaFdeK/TgZVDe0XXTYXYW BojLxBQhpHdVNeCu8D0RA5/n09AAjT82dmrhhUM2WcM8heXx/Y3CAJF6rnWXU4waZ/or2UcmxWve eWompXIy4eao8REVx2lzmWZnpwsjf1sKji+UUja0CE/yUhBxZCES57lIcfGheHTNE8AKwNcE2FX0 V8ZGhsPBIk3EeIVJZT//g8f/1W3JZnz5Q79em6YoJG/EjJdHeS4za1LxieKNxkMoBukZYUiuaSo0 veRYbJpQ71joIRCs0jtoJyInAsR60o9M6LbHBagF4WuiXgu5dlRf1SAQxipFFM4GMcMR1sXrrzhz IBO+wKcRxeOdSuRWyRSgrnhdnDq7hqKDmpUWUxSPz674Ug1MjbbpgMxbpIydeBziJqb5lNleItwR 3HxjBE8y7kZUF88sGDTop5L7k+XzXWBAJ9HHk79kPP8vyh03KEriUNuyeYri8aGoQFzNIdyKn+G5 bhc/zoDUaZ6ieHwNg0BLeY7jwwg+fx0dL2DzAni4dMEW0YYnxQJ/ynn41CsYIWCc8uNAY/qgmGDX rvMcYlp4POrcfWMwkAaPeesqIwSMi+faZnZCSzZ3QXhwtGw33SoueTUiwLgs8xjA3NFWUz4BJJiu vOnOmtnZtB8yrVsh5Q/6icHFUQjD10RdOzLIAHnm0EQ/OMuR9laWBI8wEr+EO+4vXL653osuKovj RqYJuJdVzj3S7gFeHFhQ4VakWYoi8Sl8Ly1/NFJMmCfTualPisHcri54G4gaVFGqGK3DOPZa7OJQ z/iaqHuAeAn9Vg5M4TlNE91sU2fveD4is/xqH+DjRr1wwxFG4tSFPonpzYFlWzlp25zcJQFsJ5un MBJXbjeWaK4cbc9ldmngNsjy016b/T1QsXDak5GCcMF3HQPMEUbikoMGNnzCVhVfy323dxl0xz4X wlz4gKBa0MbX6UQ8x3r2XRiKK3RK+xKCie2SD+N8QqeSpqoqIyyOZ/c0a3f08hNyogW55g6h8VUa VxQVV4E1BFZ11ccfQcd4WB2nEi09y88qhkqLmpoFlwP5kEiR8XxUVvkmSGEJnBDOJ2qG5XHqzmQg aswJVQRztsvTpQT3kaysMmPZQlGBZ8t3tFAcTrS9TfpulIq6uzyCYNn9M4HKXOlp3JERPEOkSmFd PKn8m0wBqJKGlYzCWfH4v3otopE1BAYeFMwoEq8CqrTk8IvCZi4zuxNRNUuBZ01WVJkhhXMLkVnV c+FopQIk9GeNsIlwdthmMAAaGcivMEWgGGV+gL5Lr/xnG4F+6Ro0Tyf2EGsDwSYe/xfdjmgyOHM9 yKcZReKJvB9e2CZfWLtfdwI24IBCnmgZy4z5m6r6uvPUuFJPmfLGwFeTv7nqltRTP/831MfBVi1g xDugJ9srR/VxSvyjHGZDUjET6FidVKvi8WieHA/NtztBFqR+fZ6iUDyD99Mm5ScVio99eWSuk/V9 4c0oFNeFB+ukdEcHFSQIY8tFsJwTalQ54+Q2UFA7Ecd+9GfpWIuv+QuighNPg+mDr7b7kq74+T48 HsmFfveBaWCy2m0izCgWJ7fk7ITu9Kg5jGv+i5a4zk6xaYpi8SENgp21rHZ+th00VBo6wFSgg8PQ lAJdgYMm7opCT2XrBNOUa4bsTYnONtoIclA9paEQwZTlpNp4PGhx/grFqToDrT6bprA0TnDYDQBo XuahOAt8vuu2se1mBFFxQ6699h1tNZ3ktALF2gh5OqsnC8qT60DbBIqC2G8WPlV74eAMV/6LMqIN nJqEFueWRsgYnxlyN4fvOcwIVApBl/RZiiAqfSd1V7zRkihtrUZLHa4RUrObDc+oMG6MlqRyr0Zb TBXdKJiY0Izr7I4uiVogoU6Id4Kh/VDtJgnTMwrFE9ljTelvQ/2fofiZeapqUQ2Qb/mvtE60X4i7 Qq3NpimkbiZWxen4rlh8kJ/BWJwtJcXiiFO050JFQ9tzu687esYCj1kgMYlHSYvOSKIkbgsI5lf3 wF44wDvRxxzmjz6oNLdrTaLin3Mcj0cZy/6OLyFmCFaMzVIEUZEK7Qn0uh/gVGnmyXTiGD/AyWPT LEWR+LAyUuv5js6/B4dodzaCIVNg/QVUp4h/aEiwrpLKILZ+RoF4k5XNlF4fgc3Swj4xWGJpbZ0M Cc9HiKfv/Be7qANsavMUMjcbIXO0BhPbrjvbLgPe7ShDnBuap1BJZRtzM807Wni5NhvBCQFBbieb n+IrAMoFb1vorY+H+bOK3jjadVTqRU/UBoFU0skl6bt1ktWOx6MoPH8lwBD4m/nGlyuKwpNcWWp2 mafezPun7JmzK6lkSJBxmlYEUpEHNcTVyx1901XQWjs3HfktpvuMAwXFjAF5DxcIkUrBCkEqFHOg WJ4NmiUYVym6PNcFHo+om9+LCaWk+cjRrrAeTh3RUoofTarPapakNyPh/mmluRWCVLqXnOYdbdOt Dg9hhJTQIRnndtN/m9HDm5WWf+sCnnAe4msiScPlVncYMM06wjdapSz07lrwfASf+6ZpQGB+onFv 0xRqiFdttHwZrn07D5jGsSaikl0Ma4Ugla3K5ZDTTx7ycSJIBZzx3aVpeE5dmuVyz52YtuF4XKU+ QdP6afiaqDLHbp1KT9lvUzh+UreGms58PJLq+26uIBzpWMw2SyF1k+7UdaSr8SS1ginHyWSLaTZn /awQJy7YXCpGtisP2Q5im+eEpTl1Re5rHWKoQJ4UvQ0Unm444C8cwC7Ue2qsObFVp+4pNO/VSIUA Gp6PMt9vYFiG2hD6ZT5PsZg4CwS1JiOz5Mqy5abJ3RbIF6RED5tWSN3U376y0hCO3iVPUKpCP5sK dBt9ENx0fTN8YgeaziIO5Jl65aipSYlMiOgzpaNiPjPeuddopl5UJz4hip2+5wog2JWv6OqK4nBS FiBnYhEmBEvdhSV7Ttwg7WJQnhWqqIjO0ovicY5G0mhkZjQWe2F/CUmBH3qYIqqvgDCA8OJ2gInC 6yvCqJg6SJbMWnYVFQjdkUmJfIXvGaypdBvleA7wEigG+UTFwuJE9cJfwa67xX8u8X62gcNqm4YW X2EoLtDuCTX3HW1NtZYHOyBsbHbE+ySSnZsJ1Tmwdcp4FKHzsFeOdh8nCjkhB2OznCAsL3l4p9Tw eKQc9l12AjYMHWmfp5DBmale1OslkvE6Z8rSJZi5qXvB7gHmKYSLixc1l9RXp+MlQSSDKmISIbid +wU6/5onhBJopp7dsu88ARvBV47CJ7ZXUB7DYH4zJyrvy1y1UJ5bYWH8dzQ+IRt2iYkrZHBKDUsh OBGa60tGpThHY9PbiALjMULF6uJyFrvuMZwnvMqmWAGPrKyyUwZXEcupkt5nLeBCKy98USBPu5kC by2rbRYv9axn0gJx5w2+ZwQo+JblySCR7nopwSssi9P1YLh2LzKY7pdekooBCyqsFHGiwnC8W+Ng rjteyFMbJ78wmfEzJZQ4S52QhZPb4Wzdz7XHtiG+KGpIFQJ8+/BBJxTqepQ5PJuk4vFoQf1CXrBm ka9S5o4CcirFNoI0nDt9K0+QJ/H87mbBO9YZT4Z1ynf0yhM6g4nAQCTAKKoY9OKETpDpQS3xeuCy LL6jgLxldjdXFUAM1SyeTwBgE4czTi6JxyPWz7dICNCJcAf1WXoPyKGupiaUq0K3xbYqZ2nvdVWh oSwv0fpYS8UMtNK6o+27sx3ObQSGzrnwOoSGCJ3GeUvlCyiGARjvFx7dD3YIGycrUR4uGsTEXzAG 5xULNfb9HpFD2/9bv6iAsAUUk81TGJFnaV5UL/duetALeUEbWCoWFCQKmqcoIh9iPG1lwxodyHMW 0wk9CmXDBhzIk0xrSiHciNjxO01kPOF7ogodcWHo6dgg6AVagsKPn9gPj0fkzV8AOirEXwGjHVI3 KeZZ+lWhG8WKKlAJqRegkp2SuGOAipWeyrqjF1VOKAbD8UKxvhN+S4kNEUiGUcN55V3WPcXxO+F7 AnqUrEZQJrVBh9O5igaJG9Bvw+MRo8VLdOqWnzQBGiw2TSFinDZaaW/H8ZR8NetbaS5CV4lz5jT9 g7lJfwkffTXxfsvk2gEvPqYxNydlQk5gAkC6V+iQLuFr/lArwNktqgYk/biEMpU12Nk8EQaej1Bh fjhRqw+A8XZrKjvUNKSVVmYb0tVUphN/2FCVVl92oacdAlQMTNBquqNNE5bSmZIiUaUTrxA+hEK2 pBnO9gFTx7QKAEbA10QuSET1llF80J47i1S+dScHW3g8uOnS/lpMYBDudqEXOxQYx8Hb6x7XAKG2 bbO0BO4Biyxxl3CWQkVDQTETDZFt9I4dLFoLePfnExP8QahuD7rRWUzwP4XFOdpJyu6a3vhP1bBO DV8OBsVcU/nL+UX5eJTaOfSCZapMh1vPgncYiVe1ybuT7fA3+WLa13ek1nvTxQgVYuZOFv2M3rAD rhf3BOqYJwaoNTtidcC0Ff9LddfWQiARvicIMDPrmNRS0aBpOr81mx1Y+gOPRwmLZ8DcX6iIPxDD HZbF2f8tdV0amR/mlRU0o5GNyYuu85P+Ur6A3mO7420enHwuJQYEZ5+dQMW8bYHh7VB+oNCxwVP2 1Av/ATE8C4AiBRy058r5CGmrnZ8Uj0f577dlFCAmG5Apm6UoCm+LzYO53Fm6jus91kn4M+q9i9Lu CJwiA8SZ1bLUeJsHnX73ND/IsNfcCq0oGQLqPQRvzK48DXvhf6ioFB84S+domwoNzscNPB6tpW/z sUyjl3lFRIUHej1RyJYurPYQm1IpC2hSDnlb6ekEcSZgRD54kK5oiayv0QsqBf4gjVbJYPx0Wp+f ix9BdKblCWJDKFdIEMVf+n2uNlk/I9GmpaPQXGX8Cy2hTGoYlJI3PyICrn5b2QxCQ58mAuEB78/R sfxcOlXUn45chSgV3FPA2FEkZCfn3NHDPpgvk882lZB9wTxpI7akjCVdWoDpElMDMFXoqQB+US7b lWK8/KYIf8H5KnT/4aBe8ITwDP95znU+H2Qu7VeoCU33jRq0T1bo/INqUGN10BfXzF6sk28SCYqD LRdOVogal57qMFoLRydKoWV6to+4nCe57zzSCVwh/QeN2XTFZ+ity2/6Uymkiq5RzvdyHYOt1CR5 gziNHxCEUr/EIKG4NnZ/XLeiYvkgiXpQlYoFuyEvUnReehsO9uV/IEOEFNpuWmnTTWtav96tDbIq TRwg+iWiEYudyDZNRSOvjlRvpidLhBQF550hGMRZfNCpdbYXq6I0zePz/4KK4T+GFg5u6ztXUXie irD1d2Gd09VPLeauWlgDbT1bWFHFfBj1VQL1Gu14PzO1AYnPpAFNuAdoF9J9rnDVoiVjeUwm9RXf FLRgJspRNH2wQXNF+wV1PUfm8/+Fm08GJe+jO1thzbyaIoaTyxaFP0BXBG8rmyfwglxqkaFbWDSX ufvu1tTrT1NvnBv9hB9mIpHAvKjqLyCczYiOqP8mUYyS7ktHGMQs8GHxwe7DXsUYaWed8vnIq6x9 raw+Ue256N+cwrI5MF5t8O8kCjFBiFwoROq3GREPpC5iNXOKgnXJhpy9uNcdHf87cE11urxD6HcX HVmUXsuFpz2o7na+s7XPb4qSP8EzKCTCQThE6MJMmSjXzOeDisv6RTHDBbEeihmZ+O+HclKzihU8 wsupxqe+FRFTUsyC16ttw7B4vnVkdREUen8ICjCWPtMNbi5scEHNIxtvoebB0tgPhY3tyKJEGL8p YsKK2El81FxtO8yuIgOzzJzPR2jE9Z0DniUBT7U7V2HYLo2jujwJzKt4Ha/Q1dlkadJ1VYwUV0RT AKmp3dEj0vNHpC2T6RNVM6pggSoR4XTurM1lJTyiXKZSbAxEWQPc/jZwWYFlRlss4KYHnw+2YP2O 3CmhhT7Knaqwgk4NxJ7K44lbnR60MkVtkS8TGWBTFZbQjTVsXHyODiOjwBWoGuhdnR8jCVk+0dtf qAxn2pQYKY+k4ZwikiesH8Ez68MHgRInrAhZQh958vl/pcxEa6ABjfqgTVXo1TnprZivqYS8oX2q 2iN21N3jLTDrRMlLeY5LHPTrlnDW24bY7JJX9xpzqzRae2NtYaGeN6/vDe3Mc2DWqZOZsJ/kg7Jm 2KOxnYnklc9HluZ+WtH79fzp4A3eqYoid3hEE7bplc+SjTGEkl52mBQKTD5VEbTFVGrIDPHRcFID ckRVKhAlQ26sGV99QqANQeN6tNsJTsyxXSfNlhNTQw2aqUktM8btpfL5SPv3OymEvzNiwTtTYdie 1OqbrpFcdpleYRC2kxUG+g/xDgwdO5eqnlkgII0Odq3UdjREGbaJ6u4nzOk/JLNkUAl9qkqzd/5L CXHLY3FXJizkhEMQoNhvw+f/ZTvFrUZS160y5MCzEyYEXbUFn6rKbePepi66vdfjARsH7QLdiZeu UVPVJgwf2iBrASXkalqlEygMXIo/uz0WQaPZK/+1/eqi+U31nmIhy3oazbry+ShYWF8z1TPpjPdQ D2072cQ8K2l5da+u7QWZsXn/cabIU9NMhaTPrEUlQqfGS/qEkSyKGjipTkxqtWKY4pyTCiEJBHms cNVHt3f+w7BkwgPgRxkNFxXwEz0bIGFsPh90kH+ri5xvb+upMQTGnQYkOItq+aJq9Vb4pmx0C+UQ cbRo/4XxuiTsSp7pjl4JRXwAAR/qIeJf2n91E6pIez5i8gWc6tPeOYLhCctJTXcOAiueH3maZe4q fD7oZqVvGB4iO6gi3KkKuZ8UaMujXLdFlRXo3dnXpVY93p2heefK47U92s5ld86k2cBbARx8zHN0 MRGsqA4QoAfY5y30LX/nyN6FKQ044jYoszk5/hSGqnH5R9E6/bZuq4YSQfDp9akKpVh0VM18K31p OF3vRHcm2gpqzPapigyDZKQwpFtqowXrEPeBzggJVmgXlCFNd+ZJiSIN1L6X/zlFRflNf9yAMAWt PiisAj5gq3acKp//l2oNsS5bfsV3ruJgvSBNFmFIKtzVSKA5uxs6MubWfa5itItFoIYOSlc1GV7S sywGBgUUn5UlQpaBgDqXH3locCEzVFBv9tKBUcAmzqVLt9wz8wphLVsVqxU+H2E8vzPmgn4ruAg+ VzH83IKp5gCqLxroJniRStxrGdAsx06eFiuZNy5Hr8VADnF2SrLA5XSraXG2IH3y8KIF19ClWk17 6X/Y4iwfBFFAbZNw1rOuNp+PUpvvrnKuNCzITy0m9PPsMtMcl70HkJnNFgzeHZYHJV3NVmjouWQq sRQ4aLSVNc/BslejLguym6n0ug8eAmcJokXQytPmavbSQX1hsYMDKAqHajwOuB1w65/LqvL5f+kl cmWhH1Rv2zSHpp44Da3TZYLcFLpgLUaEcIvYl1f5QlfPobLCSVz3HR0hdC7Xzr/jLKm2elYTsE+c WFv3YPdNmLm2+UV/3INoTVQfjOrYemXI1HKffD5SuNvfIfv5SdeJ9+5UhfIsSx6MyxDEbY+mogwo +VYbhTzLMBZfDlw9EfRwWc2yn9HheeC/1c62RD+3fClTQluIl89fjn5y4yZUQbQme+moxJdkUykA DCoU3dK/pb5EP/EDPyAIRa+3CTcw9j+4qne2QhCMRKRSXVYQFUiJdSsYjFpBtKzblwisPS/0bEqI RKN35GHZ3Ao9hSZqMez3gt+JknPLWLWDAuZb6kj+0n9BFzbpoWNfeih4AKyDI+LVm/6LXMTMEZ4d 6Dj5XIW2QpPFGPK+JYHrhjllV4lMEuJRmiFhcmDviTp0tni93fEyHxtcczKNmneD1UVRvxnqsywK ojvnC4v+ATn29ySFtiyJ2uAn6VLYONFIHpJIWpMfEDGMvnchbaH2cxWGFp+NhavcLkaWRsqGYFgX wdCyW1fm0ONziXO86P9soxeuzjkMvXCKbECLMUnyLVWK8mUiih8lc3UlQo/PbMWFvn2waoyJrP0A 88bn/6nSjWoMotLdn2UVBe4y0mvlktZY4LWpcpAxDIbm8KkKNRTlHVBEy9Jo1RgIkpyrekrNvLQO EgerMTA/w8EKqwYXlFrTXjlAe9A7AIq6Pqgc2nHHJs3U5vNB3P4rxYFKP6Bxd6aiIjuv7q7ukqox eaeLseq+ASvNODVTYZF9iQc5JNmdxNMQiwZM6k0XrXMdnhNKWFo0b+rPpsY3OlbWvMk2VSEwZjC8 AnqUQ2GYhDwjzSEz2KQXjaDX3xB1ahOhbetTFYqay1O+juQ1htZv4YqePZKQ4OHJxDnw+rRuLKii 5vLVnsJVmmvBWICc/3MUja7edIGUxwS/bw+vsctmm98T3IFMSegIaoOqMb0Xk0bIaM0HZp9JmcoX 63/h2/O6UxVF7eTR9OYa5nSpcIDjzNcVddXpNYbA7/Pq3MwmLOxs1y7u/MD7PHDWC+NQfBiDCziQ lZ8FGm4BuhtfwqOq2DtHeP4lCKjmaiWtqoweP2sMoCfw+ahwfI8qK96Aj3vPqtD0k/68HT6c3o9w 7hqK7N66gRaN9yNi18+tK9Cs9fJjrXdmZ1VA9yqX1Rhki2ZaOGf6XULP56JBiVTPoe1nlrJ5mnfQ WoKRytw/XmMPfD+txfT05QeZy7fIFxp/7i7CX3Fs2pk0d9fbuV2kI+gXNlNRxL6qnVEmBPCIk6Cx BSoITa6pN7WbinyVQqtgsMJQ6VLbu71zAGGYQ/6D2QdVruAWwtuw7rn5/H/xIYTHNMqqd6pimXOd VclpIo2NS+fTLC8xoCqniD10/3ShqSzPSo5WuVr1nFDQAELlClmyqPCoW1LHBWqNaB9a77SryxUa gNKEAb8FlxV4ndLhnhsbiUiqs6n5Af+aLIK0hJdbT4ITu4CSLLJSXTe0KkY/gnast09bXV6RiW1A pYMwzOKToyU4fbBFIZwa/AjltwNhlwb+EQ4C/NPVptSXD4xApXsAbdxFKBEWZhcDaaMVi1sMojv8 gGgb/jKKAdW5Pn352Ap0cBvmtW1tEVxnvNs5XO98gb1pkxXC1zVZ00TM5peI2Rq7rEzPKEjfNCqx E8UMYFsGn5KaE645BVY0v+kvkNpESRUDYgse733DloGTda5efkCEJfpGMSBxxzvdyYqCdsbgJ07f 01LntbaD1IibVv0K7EZLBkNLUHG4oaxc7+iTVdfJMtEnoqQwYLCMryqRhFQ8p0+MM5FSt5cOrsLF q3DUOxinFEBptuY76qKBKyiu/9/kWyQ4+ZmrKGqnsDbyzGHVqyIDXpbbkzXpIVzevIYc+IJmZyOt JkQRR69eFZh7yJ4QKA/WMhA1dPqqQXeKKqGXZtPtpf9it1VEGxjwRrKdB6uTJ/xIXFghnD1/l68Q Bo99ZTly4A1qdXoAEq8+XmvTAH1rJlfTB9DBJ+vvwB12Es94S30VwQGt94CFSVKl6Al0A6KTUS6/ u5BOpfymYBfSZmfDv9wGa04Az0ea6blE+HwUj3rgwNxxAUoxnrmKInf2fjsIDNacAMjVy6KjJIMh A2TtcxVG7kK8rCxeN0cLR8+hm9dZOcNuw9FEfa/QEMl0zT7nTrqwKxXcQ4dQOhePvkns7i69U/tJ zffWBbwWn4/KV9/NiQyM6u5P+Sr0CK3CPVGUnHXR2nNzzDZvNcWj2e33cmgSamrx534Yd9Sbn8j9 ZOSjEMmAetxWJNYa13XrvAZvg77k+9JB6EDjptFktA7NF8bbJ8VPOxHsBeMUfkAQOszv9gQ4Tagg +WSFRqG8wKAleA2fWe1W+lya950bu10MSQOnUKSX8pRPQl62dAOHc5K0k4PgTCPOsg0nEQI33wA9 6ezQyw+Mrjs5MApNDJLAd6JvWtlV0tUnzF2NVQDDMgROodiD38Tlzo7zJcLn0CkUPUAcUts79GwC q9J3UrNiMwV0p89UBG1f3QEy645WkjlR1KT/MAsNkIlTMxG+Vz9IVQjZ9pRwKMIKrEIRT8qhaIn9 hmKRpJPOaUWNCLQmNj8guAivzre4vKQq3aJM6BVKYfKz7y7uQ1KcopeM4SScWd2UPgdmockAyBPX 2x3tvFrrXA7oEInTdS5DgZQrAP/nAMN/9CDUUrFXjnAfEqfaFGGEkqksZU7K0wq3DxxG+AH/8sBM drSfG/RZVlHkzgomBKOcmEvfD6s0SGGfwgHq7XBZxSowhMYUJgM2OpoBSTm93IEmypvZputTdbpj Dqd1ZcQ7euWIsSRfx3UH43WdsEl42gzcVegW+oD5RIgH0/tqCuXALhQ5DiPRcuVySm8mRQFrCxfU BWrDLsHYL1S6y7OphsDRFtUJ0DeqcMRpj7lPVKIOPcrli3UvqOi6rFCt9s5R1N5ecB8VZ7rM5+jx mEPH0N92KQVWgftJB0PHUIoMgApvKs2wDnAZmBM4eobToFukKzCwDPVFNRin+WixVT3R0Lnt2KAH Q7AmtQYhHYugHR4yg6TK8pXhhK6hTbpwgCJhOBHgMlOnk6gnSZ7nxg8IPOqvwgl7GEQ+XvnhHBiH muLkuZe6p4NZfHjKDe4raX02s+fOgXOota/R5irljs4sWbBVA8IHIJl9AgSWTlEwhQQ3mj+tPWKo sqnPgXlo/n9m3AByOIdiIidgi3FZAILF5yPs1bcaDCKAH1LUfbKicjuKjChhNY/arZzM3FlGF5is XeudrAgm44xB5cUavd+1zv/aB5U+UcwrSQKONaMMBToIGHk+VTvbKwdlBgrBrFKXDzquIJss9e4N wlLgIGpSQfcOzGfjoobs2eDnNbIq5jBzUr1mCkPnn8M00DKOdk8Gs+t3vMZVBcgr1/jsd/R5ytAW 3OwL9nMyQCCGeHYRjxAxNwcen9wGcdVrVHVeNy2B+fYdNEtnuzHOwerE0y9zVKCbwByQoGREn/mH nniDNm6vEdX5SqFD4Yhu0JjcSrdAvRU6q7IS212Z8TWeKo+TYRc2jaMDY04GKEMZSAsNGqazG5jQ p0IeknHfms0FK5qf12jqfM3gZluDvMo1jAl1AoeOXfBD4BWefpsii4k4I4yacCN0uDpxil4DqZIl j3Dy+uQVBThv2naDaP2P+cokZ729hlFYRNJZgGHxHb0LOCl+xdpLLSzr6sgfFI3Dcu/JI85J9Ppr EFXQmFJMnu6gYnE+G8bLVe3zGkGV24CwGcL1AaUsm6HX+KlciP+48ZNq1E4cceGl2qpBO16jpwK9 HQH8S9t3dNjsCQcheEY95Jn+P2Nflh1Ljiv5H2vRqcN52P/GmmYG0EOvHbrZH4+ZXemKEETSMdig wT6uIzU7MEcH0coihBrx85o7FUni0saYC1Bk8riCF0RhanveIfnzmjrht1UTz/54SDIbus0K0Wvi hIcWlYR0Uas5PDzFXPSK0IiUFQ5teqNzJrVO9ITv6rlAwii0UcLrxIcyID+kMGPjgWsIclq7LFNq wr1mTbiLSpV6XvHFqIAnH1bWhKH7a85Urq+qxwiHFkW4YvSaMRUkHyIBlqumSya+YROKS3VU9o7p WRjEaFpqOcUB4XoRLwP5EtlHZzcBF8TGXUbYoE2Per/4e60kuaoGMVrMluCy6Iu6K7ubqmE9t+Ln NVUqN6/EZcALG4oFkOdVkF4zJdzylUMr/kdS6pDWC+k08J8yVML0DPw1TyomUcupVbvrpWid1yT1 sWCvuWG7R0WqDenVLStl5JR22FjVvSZJOGwkSd53GjokVH05eUoVh/3c3P3zmiMVoSAtSEg+AXOn RCxj9JogFeuCdOrLGzaWmSdvbIkcyEx1ew/4NT0qkvvLNJstd/UaZS9A1WalHhUofVnIWLRNM6VN QN+6iTf576/pUVFLEonqvoswxCf/amxptpNgfV6To2LCNDdG0HqY+cbotZ2JVyG1hmspfmebwALJ DvWRZKYMAjXggjs7qS8HW5e7OgwI8/S0+QqDXk7Dn46NX1wPlS3a/mgvLnrIvLYyi9zG0Jajwhn8 4/SG2uAYEEMAJdvPayPTr02GBJsGkATIMFiMXruYxeYuoBZZjNqaBrfGRH9cBAKs3qXQESZHGk0N 2TxztUt7oxShfQ6kE8qstPtDjABrgIbeD/9IDsGju9VrB5MJJOq2DX4Yl6KBL6iRi8OLfpKOz2v7 sphZ8720M1zAoXc3PYWMsmy2h1CPXCETP2OcE/u9vSFvYmTIME4yHKht3tUbTf3kiwvTRk6nzm7Q xKWy58v2HaqfK/iiQvc908YbggSHzYl62jZRx/t3VTY/qP/2zu/DXpzfJw637iCoU3GKcu0k0prM mrCddlp2dZ8i3tMklA6XiRXFaUpjmLY9tnqcoMUxKuW9T4W4mzx5e2NZQiNQ+gbYfJij1s87va8Y Z23SBs8WvdYmyPR8a52d9Xln9+H2prCP7ygMZieYUBanKOGm19JJO4eDWWR0oFypWHZw4gTtH4OT BXHazRTNeDdpdYTGPtl2klvaeZHtPTW4KshT5L0Hwo8VJUg5+I3fw9TFbpeCNRdh9cGNUOFWNx8P siXavXE7yakAfYV091OUdusKL7ume4V3x3I2suqlu9iHxynKuzU2gBZzuett8J7bB3azxBtAjrTL fQCcvoUqr2jQKRXPVPWN348d4NcQisc4Souqt9lNOfS8MTBgiS6oWb4zps7uUvLq5J3XV+Rui1dd e/aTeanC8oD0D17jsPCy9m4UJ/nK9a6GOFcbrzTMBlMiOhE2Mm1IjmrSeolNdsK+bL4y1bMMryeN OCeT74F+t4CckE3lP55fGW24IFBi292bPBPImW+gogS8dx48ipqoD55ZrxDwk8btg9PNhIF6z8Dx ShCpr1obvD5t8A2LGjRzqbwIWrMN9qDqdAq38ydGt8fu8UHbpndOH6/UQSwTjfi48CU1V+u6n84J LJ93Sh8qjuLV7mCcwB5B593iFObglF7M3wZ82UfBrbfkY3NIZahpEiXhquYmf2NfPQk/2UZjVxHt mTZZh+OC4tud9Ha0xc24OEsoIcrCmwZ1jeLxXAy4CQrj1vtuf975fNhP41epUjlOTp4+vfP5eF4J LyhrX3hBEvXxvBhoZq04IZCKU5SId7GJMeS7q1d0qwGDtuQD1mGexiSTTtg0V81zXkOLNLu+8Xuc BjdSl61cp7sbE/EEN3spb3Q+HmSZs3/tJ6BAFmpEC9N7Ko7tRMIVyfNiiFINUJZExcxAGumwNimI cnHDl7fG0a5W6zCltUHno5JnRrsyafwL8fifTqHr0p9+rsCb77m47M4AxR/dF/WYTkXFKezZIS1/ 3ml8+IXTd/qEv9UPhME9TlE63pvq3Tt8am16nCZHYIzTRhNb2ynMx7mdZisSkmjlskMbbDXPKWx8 vfQKNxx14oATA+IVU5XqgPwp8akoH58E9PRNVDAXXU8Tso7TypfPO4WvXOKCF3YFnabqHd13/h4v NSlTmmsj2R7Tq99R/XaC4bD1K6N0fLh6S6t39fQJmGnaU4J42jscO/CXXOhTopTDjr7mexub4vNO 3mNPg8xsGsBoUfo04VBuZo75887dQzZ+w6SMdP1sjEctTFE2XpKwBMXt0s7FanDN1KaRPqCO6SOn d+Ye96XaTXuvu1p1B6v2k2ZyPI7h01RrCqVq58QJ7HWguux2Yt/ynblXXFW/y6sQkJdzbQtJR5cx wutPkts+79w97I38vaPQI8LowkMVJeSTCXmhRps5y11h/fOn97wAp8dC9Z6Qo6socuPS0HetO/Q9 gc5wFaCf4wDmwoa+iU70jUI3eLd6pqkt9Z6RywZ1KpHFcr65oGFl4yAzgzo5yeedt4cveis8BoWt sHH3VJiRzyL0wLXgY+JjqNbke2rnu6eijBxpLY4crwBbbycc3SC8kpHwI13jbdY2PLszLKp+BsYS Lrax7SsHe0qNuUbXndrcIDTTaZ0hO//fn3fKXrkGobah0GRY1E9SnKJuuKgdxIhYopmKZ+STzXsZ EBQ3IHgn7DGBsnGTlCq5evcJFsmFIwzkTKf65E2Oue/5liyeUPNZp1dOV+98Pe4HAqSnIPiTjgw0 aoAhGA0tCqYqUUI+U/kKE3FEQLlYmMKG+KLbZZ9u4VQdqYnEYKXL6vA+5jtXrzzozGYd8XY74om4 QJjjYTslzOrIFTrZZxH5e/xQH+Ne5UtfOUigOOjdaADZwu205u50yQNVYX3eqXrc9vOrcAHWf6CT anF6z8ddbxhqCN6ny9deLqfqwxVk7Lad3vNxXOUivUjLU6sdO3izzq37CcXFCdoUKAVZYkaf9OQL 16iBrYbPO1OPs0DBwUSCWTbnahS3ZRVz9sj+vBP1eCN/Z1CYb1Box+IU5uNFUmXbe77nuFcvhJlS e6NuK9F8p+nhzyyaXrEEqjwJFDwUYCZXVbec8oR42zQy2KWLFEDRoVXflaFvHIRpUg+2c8KCV96P NhGQZZ3vPniTf95Zet6cfjKogey3eWPlnaSHc5ekht5ch6up+JXth+k1N5K6bD+9J+Tn+yfBwfgG tdXitMCJbpsyJIBHzzzMiH5ylpmgr3hNQkm7+7xz9PCXZSZeMGWwxfAVMPqz+S+/ZrCdlm+nZi6+ PwNvFYtTlJBTUwJf/LnGh899U0u3XzCdIftO0PtqrEztJ66WkA9oT05AQ9hYmVJtPPsVCIfeia4c V1SDeJB3et49dWU8i/pP4GvJbmev8Xln5xUjmj+3OOSFwZGw4XiYj1MrCbNZJQX4jbIlBRPJn5nI XFWbd2qel5cnv5vS4eJ6209rAbpBP4t8yoom58cJ2bgBKN3Oj6gbUrbPOzOvuPLIeanchb/aQmuZ nQPMBD/vxLxiwL7nzGXgGouPNd95eewVMMdk59H2UvZ3XdvL99K8d/g7Le+J0ioacHJVlE6yhFAQ anlOFIxW7J4Htg3OcwzrD2QNiSIo+sZRmOhxpUyTi3p0J82QyvApT/l4lBKMr1ddqfKO8DBFqbiK u0ZSprQOlu0rTFOX0xdr9xn5OyWPV9NW7dvKXe1qmuflAwJYMZPnIYrxufThqgjgAu4xv8Hb0BcO ajs0/WdTq6DdVsE6adgwY/VSPu9svF8XuCAaZw/QWF1RivLwts0i1KN0xyuQZcEdJ0Q9fgVin9+Z eMVE6xN04cdd/WIi22fJ1Wach5fYxQPCOJOY8UKzwiWUqn3jqF5JxKRsQVPQiemWh8/N3QArjc87 De//a6lUUCLqxRK8s/AumCA/ineDiiTE0y9iARknNOhtN0WdcZl7zdE4udNqh45aWyxg8ElIw4WD opJ3gW1evmk4Gfz8wlGYKAlIf3UtdoHPXuX6ce6azzv/rshi9OtqQrMetCSL0nsajrdG5gU+r4WM bu2udm++u8ldP97Zd15V0gOl3NXP3KkHzs0pJ9WJm0qyLa0Ca6wRRrmSGZPVyjv3rsiE5YRqo6jT IjxBxvRA+qWdXzPYTOW7+IU8fKdTqcIUZeGdQnfoWlvxC8y7ved29WyAFAF7z8VdcTOalZdMfjBO Fdr5J4ekOHw/afiWNcGJHHK2XNGBAFXAGZ0EE77z7gzKdfZR3dMXazxVqCeocOmfd9ZdMQWUL+DF +FnlFr/vpDtkTUvolORNglNlFO/PNYteS1Wm4ScLf+fc8U0nJyKiarAyoZmUhy5gq+SpLLxAkIXV ygIvb0M3tHDK4m1M+8bBFJgikwnsbyykhTNOGEYIV7Ayv2fwqhvzK07o1C6ahitOYRbeJJvfrfpF Dd9vFl6SowrBRtF+CtviyeJTntWrFXSDkDIREg6LY8LGuzLRAhE1eCJe/C7aiZ93up13nSaAi5wD n3/jNXhuupOZERcwZubzUfn73Z4jyard+cE72Y7hpRdDvYbY5N0ZizNdPDiINRaoqC8+2SGZyYRe 06PXhn4QRitdY5ZcQJP6uep2VIWHT8A1uaKkwTvVjvMoTsonB8F3LF9p4N1kcrXz551oh+9ZvlFh mPEMXMkGwYwSccyLkRW0YVhn2AEY1rk3XumkUctHFRjMOBEXPlVeslrvOGqRlMGvdUI2lTuBcYnu HF4XoED6BUXa2eedZ4e7Qq4VRE4MJOA/mhogx2ec+rmsPu80Oxzc252T9ErBQGrfQIVAlSJJZeQU SgtIBf9fpgMfE/BNVTvkybih3ll2F4kJYeh+V+unlFlKhUYbA7XrHJogDFKpM2A9BeNn6zsRH/B5 Z9l5/gRA+NZi0mNodBWCrU4Nvz7vHDvcEHN/BQp/m0FcseIUJuNLPlfN1f8KIyf1P7rqyFxgEfeM DRX1xW22uzQv12r9OfwyJYlfMM7JhoOWgIZISSt+47KfvhOhZZ93it0D6KEvERbLFycMWilKdRKi +nkn2JVLysfuxk0OYYV9+5jv/LriYnZJSHAwc6kq5Wxg467QscL0C97pdZxIqT+3crvrBT4tyK9S ULLVcnYeQZsdAxHIbw4YEnEQrINHJsY7v46Q9iXp9+2LAZ/c2P3U1/XzTq/7BaVjTE/muJAXWZyi dHx1EXu6A6CNNT2kquyWjhoKcD+FbXHREBvpWLbaGw9m96p8znatAE2wj4nS/mRB0AzLyKmsBlZD 5Z1b562CQRELW6xVsJeYqQB9ft6pddiNv953OSEPAzjB4hT1xal9ByvRbh2VJSNH0HpKWfniVFxS +Z1Zxxcu41Mp4GmrZwZ9glq+xWyF6neSOW2mxgk0tNIjYYfZ/eedVuetAqi1bF/Uk6NhC1Opk/J+ 3kl1LNPW9+sOyQrSLotSiFJhlLL8rNjKF5xeOq7b58AFBYZOXZyP87SNVJ/ViuATechmo8lTMtiV Q/yfRZUAyBVgfOfZuDZT1BOH2zJ6BPsuihLMQtjTJlHznU5XrnqktQogZTeh3WdRirJxQqXOd08O DpPGl2ab9JVxt1nLMt+5dA+oYHRl41w9SnDhQwaFKNUCKygBNxsl2ipYreViw/Be/rxT6VicIQsH 08wXRSnlmqU33eb8vDPpiGn+lWIC7PQgeVqUi3dCV4vIrFWH72moTG8UAKekhkoL4eLKwVta/a5+ M0HbeMtuAXoOwNhroI7LCqAzjjWN9etfOMgHRCWX5wkXIS/OS64sYZhKw+NBgnmRhkXJ6E+vt2Jp YSJOcb/lRqAnWqO7kEO54pqZ+YDu76gfblEqu/S7eqMgAXgGFQzoDpc0BPZBN+oUxUQG4912AZlb 3zh4zQmQidexLdpMKy+KV0EYYuDxAE5wkRfUYEPzCsqPRvMJ83DbTNPDlHpxvHit1fUuanHn4v6e hzv34BT5Ld/Vuk4Z53gIV19gap8FMwTpB/yuSdi2lXVE7OJjouGvpLhH9kWbaUCqnmjxtvn4v1DQ PHJoOOHVZVGKknD2qtDhaBdVb0JsqOrqtTgBLk1RivApQ+2U8237XS1KJ0bgj5OhkVHzZhOTxICx iaKP82ZtAqqC9KghXukFUwpbmaUMP3P4LaaKujrxeJBbll9hArqlXRB0jxviEhJrLlJ3bg43rep7 XBA0kCe8v3vUEHdXIXhH+updJzROeDyITcG8XLUKTfsKfMZLRQVsXQKxxqIc3EyraLIAm8gmbWio um1ZWJ1UquD5gKO5vqvfTC4DGMwWqZClKY/nYioNyJqmazcQSqosfEESjvlAj7Lwqdbknu7ytS9q tY6yTypJJzQ0wksdAjwREUwF2Fb3nddJ+rdHWXg1eWSK+WmIym0EuB8RoKcE5fcMbvHk1Yq6xOuH AnAWpwicMghOyaU6uje3i+7NI7mzAmUVFKe/wSnn9pZzeHnE1s6vD6gkX2xgHJwPkxEmW8u7IRU7 V9aVxPJv/MeUfJY1pSmNd7+pNkBTmH6gdU88H8Rppa84DSSr65J+e5yFg7jNbomjoG9+eWoKR/fy l6QPYQ/5msOycGnycPW3Xd5wJSedpZ5vVeR1PEo7e24D/pYlHaYLqmZ94yApoPwAlCxkEnA2KRFO DS9SdiZOjrbxfHDw5vq1nxp6vnc/hX3xRXlyakzAjxdd0yFvy5TRCMtmgEYUDy7yKA8f0iEaZhEw viwCgJ0DWRNxwm+WEv+btqgfRvAyRDwexdGprxydO/blSh/yf8Elpx0FmSqO7U7hUvADIkbLd/07 qfr9JAbvuTjm6xKPbooJBi1kHxZmmUiu5NMB6l3lXR7hU2R/dn7h/KwWqbO9kXFMiYAU+AqzTwCu FIWdGjRe/OgVUj7xQUGkaFgM4LMvwjuBMVPlf5YaHg/6BG1+JVAApgEM5HGKAOMEu/Xck5wsYalH SypSaaS5xgSqEQjd+ZP+nJWnKh5CYrZxvqv07qESJ0eh8w+VdCtQzdCdOf8zXnWogB0xfsKFD/qD mThh8+KL91OGDLmAG8LjwX76dUNBTvVcU/NuqDAhp9hvpmQ83T8BDrdAZVHtMQtLaOtrQ0WdcWk2 QE603NU2FJriyxpPSMzZvxGlvNBEgT2ni4WmyTs+KKBIKVDcClo0uluzSw0BCBg8/q8NlRUpMBnw OjFWeZSTT+bkRGbZUGq6nRB0nZK99Fod5gswot64koPZsny9muSoeJnvCtXUxQLvVHvnZtcxHfAR GoupJ+4oUVqY4+Jzgk5mJ1l6WoqQHPK0gPjQ7C5nPB4Ry312xwQecr/pduhGlJR3DsxLy5fRslmv IExNzrLUv8IsVOz7sDOu8MD/7a4WplNTwLYkaybVyKBBmICD+SF6NcMO10XV0BrB50T8+y0pp0FN NXRlGJzSirglP+BS4/mgM76+Z3fI4ua6lODxN2Ic5gkWp12mM/Cb/BmhmVLR7FOcos54FoNsSox2 UuMW9JpOOTCAOnnwcj5JaM8aq0OEtaG8A13YrROgMYiPCXCrFAlL52WgxXEUrXfTcjr/D4//a9BC ZNj5y7R1O3QjhKkMTfWTG+5Kb0KYp94dGdb43uehizJymjfisCnTbBKPGtR93PBARRoOaRn4JGzR FeAy3HFt4Zt6XoB+ED4mmrIQEva1WGLdTrIgosbYeDxSvPhGQQN+NyBtY1GK8nEqyp7/sxxXkHZe joKWNRWiROiI9lKUj1ueyQzZV6/w8sl2YEz9Q5Ia6kmCeSq7kaQDg5BvdGDKAOBzIoEZ7KKRaB87 MGQUpwk2fYtYhnHOHp4PdtP8lY/DqwSVrMUpysc5w+qJSsvq9+5uYldet+BuOvWidTJHlI8vwVRy 0vSAq7/sKhyjcJihFXzCQ2NVyPCAXorm75oPCZ9iBSPEiiO0A2gOX5QTbEgtMic47ws8/i8wD/NR 8MdAsbAoRdn4kljBrld4fCRHqWCeZC+6EzrXT4mw4lKGn1UChlpttom2ypqDisfoyuZapNaDX7KT 3QvmiSHFMTfDx0Sbif3eyYk5aNdCwgFKJdNl1sAjzMTvZFPGoOfMwyjAohR1xVmWdNVTSgfKlZgz 4TliVCCQoihFXXGbAJ/at9jKpgWpdic1WmDnkKABwXElVx0o0wGLIjAjPUp4D+FjImY5GZtwvcCC l6rh5waQDz+UZu94PhrY/UKGAe2ETqSFKUzEZXyzV/V0YM7tr7lSXWhmQCdXYYoTceaXa8j9h6sN gCHnAR5DkrXnqeWKROxX4UwfplO9pnvomF+OMBEnh2xCbR8a9sSlC4a50cjHhoCoGX5A0Cyo3xuK xs/rdjNHmImT4wrYgkWqpstxpVyvU6aLNZ9G3Bo3fcfc7urNJ9GWyHE9wVnoqhCjsjCOgPvJTwEf 170RdIuHvfGme2lqIWQKvzG8ewfx6ecGKng+CNTwnIAZy7ktYEtugZphc7yrOZ513LClyriz8n4z zMnMqfMn/ck94CDNV5tHNUoKDiocM8dZQ9BV8IQIXlnpmdqRCzxDiArnUfRin48lewVjRo6c53VR 8XgQpVuwTDHI4Ox765UZJeJ8I4N3f6EX1IxjZddpHiV140Hl+pM6zZC9qZdcUcNEq13jmGyKGQZI wXkNDjl6bg6mMm4mGHA8EN+lrxxNEVCp5KJAXUU1EEOnOPjnn/H4v2TneOvjd6SSugUqFFMh6OmU RJc0PaazD/IyvRBK51tWMGPupoTCDCbP1bE8IOAvQv0LTIFyoeDKyZ0XhYQWsBf5lsCLog7zz/44 FGaaL0ndzHO78zPruXDx+D8piUzcz/NkJVugomSctueNarWuPrctzZShgw+CvbSbcXtcpIJhcMPx wA3BZqU0KJH1mMiLHFUxqYYW4c9+9Gf518HHBGEiZTNPmQPNKQ3280ouQ0hW4G/xeERm+W5mUixt tctmmVE2ToRSPyfDXW7WQ90Um0vYC7QiFaYoGx8mXrTys9p+OjkPBujUnm0AYdYk9Crypw1oZqGh so2Caco1Q+pmol0Lsb5a1FFBysFpy7nRKx4PZpxtf8UJGMIJRo+FKWyOk87SipN+vv1tptBPOnbJ hggzgqhIz3hmw2ZK7trSzE5v3tRZtJwMuMlmqWY2RSAoiANnCRTNO2eUjFdZfm8hMt0pArlTF8G1 nfcFHo/SzDuTws5BhbZKv2+7CKPCqVDXCbGaBW9GzVr29LQAmCcLU9Qbt12U99fquwlwyV1FZ+mz A/CLMDUwCAf0vvZDtJvbvnCUPlUmmLzEOU1iNn7SCvia/ChHw+P/pU8AByGoH3qUQt6molTbRYxz +qVsvO3p2Tj+/DpzoaChCazO2u96N1Pb4MlwM2HmVbvxEQEOYE6w0jM/2PrCAd5J/nd8q2tRb+5s 2CJ47znJeDyChd1knG1x4FOYGChMEUhlyua7TqcjZprDqJ2ik8hBC0Q+FaaQtmkq/kWy2FwtTKeq OzfVZjulQU18qi2+IXLKmVIBGMzpLNO+cQCDJjyFgiWUexrS10FBsdndh6ddxfMR5Kl8pZjoq/Vn fDBD2iaabW3X4W+6sg0NBlRxd5jh6m4dP2MdFcaHMBdfHfJUqI9FDX/QD84tIg9GtH8HQcR1PLSf VfSN/wJgwBjQF8M8Vf21wUjseDyab/4uWRboWZ5irigTz5oDtzvf7JJ2Aqx+1uskxWEGw7QimMqQ ejjbRr76qQNNgyKJGJVjZ7GTN9BaQT9jQdzD5UGkUbDCjnjm3SStJwHaf6Ss3iQO0lqteDzibe5f CSa6FGhpW5jClrhVdqU77Wft7WFSM4qXU3d66wpxKmJFcQbgq4VppXMRnV21aSEFvHiSoSmoSufN RlHwi3kCpAwf81d3Lmda2FDza+gOBxudCcEp6wuejxB0vyVpMTnILg2yQhVxTQ5697zJpHgoDZJM FrpRGcbCFONUpqbkFMCEtBxfz5wcbEjZt0mL3HMXzTKsWD6/Imy4z0u5PmnT2Vz4mKg7x3IFHWFb hOYBpYE9nHPh8fF/litM3tH3Sp42rSgJl3IvhdCtTbBu32lqmkBbQKCTFaWQuGkKBUTk2+pnbqZU aW7OSc5oleprp6JCYwxq/YN9p/JgVtc/OuKpipAI5UNexQkQWxEPBmRpQ4jK+DXYJHaqXObBCuXE he2lda/J9vNu2uRCMm9atDynGdnJm1ZI3OymrGa6/fvR7cespVS5J549dRJRitH0qeueuIYx64Pl mfrKQYduygeJfGD6YTb+1oCii/YJ8aI68ROCg9e+R3b4ogSZW6iiTJxj68ZOl3lACDcO2BNm3HaN Q4LfQhWKqEh8bmZTTstXXY1ziMXbDuU8LKnlypkIrakYXpV5vXFh9K2v/Kc4SKEeFhcVwHtKgwkV C79npOHvcSIdEPdcunCeFaXii+ODvO/4YMhjmWJ9YHYJHtagTac4ham4RnaJZoG2eio+F244wlQG OizumwiZgvM/AHwxHkXorBdeKKIiGbrShFZhJ4mjY5iU2F+m4fEgTmV8p5kAvaxxcXQr5G92XUy1 OI2sjGY1y+jF3ThBPLJAhYhxO3umgMnVUSp9nyOdqcpD7NA2tRlQFTPHXhndWkepoMLCB/2RP8HI laBoSsuy9XSO9GBTc6BHt6J8fF31IkkjQzXsuaNC/ibvKIKdXW2mumrYKsU9qU+yb7KrK26OM06r m29iv/nTeWMD0AiTvxMnYOpoAQZaIqYypGZVGlNzClw4RMQHBQwEWZ1jf9oiNE87sSaEHAIGnxWl 4+XyXNVSOWd/1yuvtqJ8fNELd6btA05qEOull8h8UEsFcCwFKszHm+BOSuK0eqA2a2IKhMy+ToKp S5wOPxm6suD93Nfeoi70ihLyTMB4SQJfJAdfnGPcqEdBadHPClEq+9e4nBZ8ACooUDvKyCE1wfvb A5Vps6AdRac1Kak0Nz7aobJhUy+zSdaBq084z+YE2oAM83HeHomC7CC9nAIP7w4g+d0El73xHWXk nI5Ay25oyWbhh/R8mlMrH494P9/Yi4rWExTRLUrvCbnLiZa17NydNM0UM9nI9DJ4EqNH1fq/lVTG HKYaNh4fDbgF7AboJSTlITXfhfOlKXqhYBgw2f7Co/3BDpHjTWp9afnC7dTO9aQ7kXLs+z0jx0V+ OS0MCrgRyFEtUDF9E+duCMyDC2o7lhXDqe064xWCdApUnJKrnVKt+VRv86kCOXfuWWAtznbak9Zv rFww11V/fN040dcYnxM16RIJZGn7IvzF+W2TxFRO1onHI/bm90QqS7nEu087ysmT2irjKvMMpZ2D icH0yqVBVUhhilEqCs/0LlS5EwT+pdei/AUAstTlxKlDmbbpkYY/jl/jmB3icyI9UeSYVOGxRbdT 7XNVyTxhO0UwlfZLPRuYzr6fyymEjUuELu1b4JXqhhpNCMTKVmYx8uYOYeNS9y/dyD/9If+ANQ0N PsJUToFRho/MJ6TyzgsLd5836bp94ch0xCCZMh1BNkoaAk6vttY4rz08/y9NQyLxccehAWlhitJx 8gHOF22PmEpzcf+6XMDovLKTYZ52iFIRooCsN18tTKd+A1CVVpsVhJ0taZ4m6VQ0b/tltXCoio+J jJBo+k6NWi06cyfymQVePS8fPB4p9X1HCbi4DVyoRSlCqaCepL6xKz82Mp0ZJdp7mAId9qWiFCoa 2tW0zOlvzdsXb2CfjkzyT13IzAVCaMA+0Vc+F4J5msq7pm/8F8UV3ru+qOW7ziZlbXYKbj4eQZ7y d4oJa5Z9M6cdpuJFm2kM30zUU9CZK1+uWvdVF8JUNP2FQfJdfTMBGl4gVoTbsgGkMAy12uDbikyi unFroc0zPicqWdAvwF/OF4Wpm6scL1I8HgmMf7M3Idk1HpzhDhvj8rFpyw1ayjDlVXj98B85LCe0 ApiC/Q+8+IvuY4Fja1mTOn3gMjRp+UGuB7ybfoorCOkYSGVPfeG//JAwcPdFZw7TeOn01TrweNBU yd9gnpP+/Ox8J+U7lBeH+jxk54pF6VxHTksc+bGJAJdNmymCqNj7rUrRUauPD+YJR9lFrPJ13gxV yAvMnToHwM2zS1lV4WOi6UGVekr3hVFi24aN+HYOJR4Psqb03RWnKA7lqRUmNTfe6zpJ0fZtCJU6 hqs5TIGeFno7xRsFYvn/UQAPExrnanX7OdqY9rNeAdKtyBsIA09CCk4WxZFqnUt+P9u+dGDVxkx8 dk5aULFgBMXjtiDVSnf7U/1t/ogIvfq9qwargmeMIC+z914dVXx5n/6P4ENOs/8navvephIC+LLH K0zIFa9FXoOtXraAiQ/aPX1azt1ZqeHT2wAM6OxAQDDKZbwS+spPigRFiXoazMi56HJagMryOl8z 8/mgdOnfzGByKTfEQT1Y7zk5RAtojMQTo83VnVQGilOyLtRSicdghchxmUitLgF/rhasBrgKahbi VTLg0jJJagwWHHUK94hpHrNrgE8KNlcRWUMubqgnuigt8PMiRv6EcPEHBFDWR85XBfEA4vd6t6aw Xb7VOSgO7kED1WYvfV1U3dkPbrCRQutNCY2DRXhXi1aFQjQVEuCZeIqYRAQQNx0sytBgG6neWk+e CCk0AZJJGT0ktOjaQvYog1IgDfB8cLv376p4g3Sc7wyd3jVBo7s9CERJ/18RmlZsv0FgtDbfWaEN kPh3e6s+3vsSqs9ropBXsXgMS63qHpydhQZLQYOpLOihqJDJ5L/ik4IhzKTpHXTBbFGsIAjES6ud k8Ln/0XQbzyGJm/9WLrFXfP8cxU0N5sIRfcXoKjFmVMLAgKEIeJHRZeWmbnmcdebgULOCDAMjsMA /5yaMOCLZvQmM1SYpYxRkoyxU4hhoddkpj2NFkULgjfcZifd1fOBPXC6DHTJ9tSfXS5yLKfYD4ii Rou8RZxImBkYGHFRI4z5VRE+o+tHBamDJP3OFbXvalsL3qVIRIsU2NBDVQePapKFkhXoWtgND8Uo fVKQY20p2rfhC4N1LtzSh5yUa+bzUZLlx5AvT3yZ1R4TvBQKrnS6vHZoyPxPPMbp55Ce3Hodtnzd blLYP3cmi+RpuVr6sEEMWpWzq1MknTCy3dm2cUxBK8vVmQqw77QvHVQ34ndmyovt7PJii0pWzTYz n/8XJpFV4PnVNoB0HqsocadQSJcru3oK+bbQ63XCO7vNBaFyinRXijlNNasH2+V0FJgIT6IxMA+F zr3SsaItkoCPehS2ZTSVQiVySo9OEG5tUV9qQcZb+Ok2+HxwBm+WhT2obj6AmB6qsIlOV1qkO14x l+y+uJsXp7RXUp8eqrCLLotlGAHd1UJ1tuWAATFtbyD+K/IH6G39Z6E5nOGn5dQ8coep6x30qYog CHdhrM6enImXzanOJ5//F0+IGWnFzrrQRJFDXv9EzYze3S5hUvtZbfR27bqp62M+lCGyRX30Wvu8 q5XNhCOc96F0rc+Lr2l60ysxJSAebBoty/mGnuY5/ymEOBFTX1Q3VxiDKpEfk89HM9Hvnt6kc+C4 N3to2dnIY6z5sVikXZo19aY7JnSKaStUEbolyRKvVaGDuRpWqu0JFxdaS4AOcZJ1sUAw4+5MG9cj 3r79K0eET779FjVIs4Nqaz51p8Sizuui8vlI/PcbkUDVo36L5xyYdmIvs7Rpxavnss2/E7as2SW3 B+8WvgND1076OaFsbvWutqlgYLWz0iuIs6FRw00FPlenZS6uRQ9Vafad/xZDzLaYXS6mirM16/7w +chU+FuxBpTwPr9CFckhgmQKT4niLdC6p+tJr8qtVn5o69N9U4VZu271LtNgrQpVB0tvFjb7yznr iWLApK5v8mP6D2wM3SRoNPvKfx2/3vJdeLmsE2HhzM4GrXw+On63wJFVM2iNl4aWQ+tOOAOiJ7Nu T0ZWALQWJi2Ru2qD7GuhCsmf1pQx6TWuPqOpAK9UWpbAFGCbFQdurR+2fujfbb2rPrp950iNRWJa 6oTSlOlHmlp1ssPezx+Bz0fM9F8qI+fTG36khypSKe9qy+yeLFSnUvMm35TnMEOFHpMdwDBjV5ev LmuGrq9maEL1PFg5V3YDZNncqSQnATQi8wWe6tO+8193FSgwvmjighe2yUeuwuejgdYv/UhkKPNJ qwL/TvTkOTyGO7Ap/JBCIP/OvN1IEHQoK5tDA8+VzfVcQiNcvYMFbhAdVMnhqmePMl9veCduvLVq 2vn2+tTACh08ZRCQs7L2vL3Z1yZcyX8o+9f5fDRLLl+7CmDluR7r3MDCE30cDiKSIV9v34+eizWZ aBSgDtNDFWmydHUW9ljjrl7arHJ+v66x1qJvsupAtscSheCofi8TdErT8ZMidILwGyn7YnlVWyTY 0wGbz//Tjxn/MUEhX9sqbLMDx9CA7nLOR3aYfparoVGLn1hFffYhROKiIqytFqu0FsZBHN6eBLtQ QwGIF76/CqDEsJ2+yKDe7EtHVgEyopKD9TLRtpN4nqREvNdT+fP5SNv9u7IBUJ4WLR6rEINeqcXd xnIQVXYxstQlgEstbty7qgJjM09HUfV6V0/XSx5nN9HME7VzF9ITRxDoIKRZBaIRl3A17UtHMy7O 3QtdlrgIpnBqJ6lxn321+XxQ21yFOzZFaZ+U87XpyKGlp4yZQWh1msxshmFMZa1ivSs5ajBaoafn Gsbi0wSHq0OEcH8PurGevGHMlppYV1QWSERh5VaeSVezL/3nEKcMHUby+Ok8UZfMcvoJG5+PZBO/ tZEY+3onpzn09WRKRKa0M0Np5iZRbiqEMGUf8mBkrEJAutnGm6oGV99ZeHusSl2y8946ua5hYnGC tt6D3Q9hXs2/c5SIsmiuZfqiQ7hmmnKDy33y+YiT/T2aQOd/PWI2Ofb1pH75qXFcEJ+64GzFnJy7 mSD++XLbDXUDY09nzKDKS3e1bYUOQwfmmocwQRaBUKHGHmOB2Udp14uisNbiJ0VNPl7Hm/B0DAR/ 2H0loKmTK3rS8s0fELh2FJ978T8+O2I/anc59Pbk9QpZ0Wwd0ckhN/tWi3pj7IhCScT3VYhNlzX8 Nr0Drt4RhcLNnMJVnasX71sEC7gPikmdnzioYr6lkbTtS0fgBRKxMDjBQlI9bqzzl08s1XE6+Py/ +GpCYS3Dx1usQl8hXC69EzNpLlVNr7+yq2i1BHlQZIVpe2DwiUa0OgtdFCOujhmqUDgDjgodht7H EE60Y9g3IP6dM63htK9oIJBjh0/uK3SnOJmHs7GENgBOJwQbrCX+gEgzeP+frOFsxDsejE0+CdfL 8hEihKhbqgDRuwcQ0+ryWIWIGBNvzbne1WJVmMQBPgCq6KqwVmaPD3n2AF0z43ZzOXONJUKXT+9Y 1buox1AAT+Zp7LXx+YhC813igGIx9sXr5dDns5Ed0mbybszm1NXgHtuQaAC5Zg9VKGkurlFrZd3V ujFoVBX4atApvZ8ktHx1Y2DhBGcal5Va075ygChmiNpm5sDFYHpQIdyK1ObzQdqev9N2WhCPp8UQ On1qMFhoVWB63fuirHZzil8jbVeR+ofJUDGJwOIuSezvDeBl1bc6+3MWAUUzEJObuEHgxG16ky1U ETRGItR1yHYQpTeHgXiZLJkMndSQz/8LfU0x6hOqX+cvlDZfhKk/4JjazUGAghvNwTEcO7JuDtw+ McZViLJyrOLSBbirGi4q0qAKOmNpu9sn7htYsW9sKGVWxFfyc4JX4K7yESi+qBsDnWXZVmUM5wO7 T/Ahb98KD2KUdD7+qXBCw08CSHsr82pSy3OX7Zg+/F4/J+XGKqaOanZa0rN6bjWgdtjIRB7nbw9J ReZW0A9bAPYWTLzRmuRdVew7B9tq0clDvXYib5qudfAFyPY7r1g+H7WuvMew1bv5WUhBLFSh6ycn mRIVdQ/ZqwW/tmPVM3i4dgJj289lfnkGJ2oXTnTehuiJyljgZKFnh5mxOpTRqVzX0kPaJlg9h76f aodmOQtkdxYAJ3gXOQuwxx4Yf34n7BzMD7GXb6SihJ3KUOCJeDu01eo9vt0N2gephObJQmD9CSCG xKaqCS7XR3AZzbdTKUpSogLH0NzOA7KGyLLQ+bn89m7f+S8yW9myh9nNDT3QXkuG8d98PsLO5q9N Za6PN6+K3T/blmJZsg5DI6hFBjGruDT1bs07DKH9p3FpJr3+bLXGFWC/KzeiZ0GrqlKJ6pKXOIf/ xARTQZuddk25QgdQQLUwYab0a2YTjHfU3FDykAJlz/wBUbCccMsCOUt4DSwMj1eIj8Eroa3SHJN9 3kfbqRBOkMALfzo+5g8jUA0DfTjY72sQpl4VFnqd5D/YoIj8d34rQGhxW0CG7MpOaTAfWIG62x41 B7BgsMFziAnq5jkEsYc/IIIx+I3FtybtatrNrmIz0CY5vOrsmjprMxrS5JDN2lfzbq4QwW6tPmmX aLVgoc8Hmutgk2FhYMTLvROBm3GHlEZFb4lP4V3MT/rD+PrEo2rBVIzXO2rATeHOk+JO/oCIgPtd OlNT55F3yYEnqJHA21azeOkfk+HU2AZX/2rht1M1GJqCWrAInfXVg3UOzO6d3l8Q8CrGsukEX1D5 nHYxzkZK3b50RG/TO5DqHGUvF1baMIgkYLSjLxr4gtoI88mwUNHvy3zPoTHoIJidotqu/Za8h1z9 QDY4v3m7PXAGxQVoHRmvdMaDUtsnPRho7YMxmUhTZ9ZQOMYHN+L8N+lh2nT70lEPmeXg4suwoccm QcGBsQpbwOcWnvwBQY2Tf9lZgC+JmsuDFTbcCfkY9BmWpGDf3SB9S3NCBms/pzDO3AVTK9abKeMC IDm3o5gkTmEDaCGrzcAwAf1e63MKWbLzk4JTSEJS2rK/3OMZTpxsTgnp6nz+vxgQwFZvlSusn0OH UNIIMR/34URu14EATCghkeFUeTdWlLpPcbpPiPNdvSVzjt651hvlmDek0GX+0TlrLOzml5ku7koN 99AjdFDudBAzqkW4q5O8L9brba/F5yOy2+VODr4Nzzc/d8StCUOb0EpJKpgAW2O0PfaXzD6yMlK8 vezKipN3NVpyL3dN+uonkH1h4ogXD8hXm0e2DdlFIJ+rUCGysVcWpC8wCk2mEbuSnIxxbzDjRuJM Yd1EA0L+gMjZ0e93NejhlbJusGKvUHawCoc9Ypbw9KiAbuMaXsGeVUlpYBYKTQveWX2Ja8rVWg17 TNSVXV5867y/zIB1scuAKStn9PIFo/tODrxCUeiwxwCsBZdmc+eT0PHqNTRDYBaKQ/htIY4Md8Du 0SMVwtuT6IHJa8KxLFcAvN3RDEnOVIpUBG9fMgZ/gciAbb8ryTVgdNUyq7bgQD928sWElp3VhEMp VuAW6tom58cMMeC6cNcV05g5VBRiRh/6hab23ZYhx3t/7aoof6+kUhIsbW2ZOb2B1ZU1sNcA6VnV z4FjaKLFN0scNbDK4xjKHBQ3PDkmG2BIpWGdfdyCvDCti1FLxb5yhPwg8rEJANmggE6xBODgEm+g cw4Xf8A/LS6yXe7j0RnMgWkomhysdXa+Zpire6yWW2Ri8nxRMqFraJ/W7JNSc3uUmmub0CTDDALA 7XGiKP2lCR2MzhRnOLcr41/1lf+aeFWhZKqjZCpyH4n0nDdR5fP/IsGx2472ztnrN1KhQHqiB2Z5 VIhF71okfF8jHrBq7TUY+4bKYQYSnXe1lAEW1qcq3JwONqh8Jk280EpdNGaFoK6LC9Vq3znS+OwC 8hVfGKoEyTRxIOD1mEPn0Hm1YARdgDLU4zCTQ+tQeE+dWFXCRPWPxWPVpLSLIgcH016CgXcoTqBm 9Hk/q1eEu6NLQ8og4DOVB/9UhOjVnbwddjKD1MryVeSE9qGNSp8pyWsGgPglNx5A3KjFdH5C4w8I usjp+2ofRD8+kKLAQRT9TBlm25svy9LE5K1pxCBTEKgR2sYKaagG+ui53/UGC01kWdXPxn9TLppA 7amYErb2qKLKqz4HLqKmKo83gkB90M1nfpV7rwY+Q7chtBEt6xaEBLYDlbL3Uz+HTqLq+NXdrsQ1 fBtcWX6oZXMurOSyejmwEkWqJuSjlTtcb8+dLqtszpxwgdrDyDYAv2A/8wMdJo/VzvaVg5lXpjAF 9r0turCgNSIizQZvKTATxXTiu+NH+dc9Lrvk85pcVTDpiDrm2FOSTKM4UKa6WAXGVcU0+F9TqwqJ a1FKttlC79tExuwM2rSiljQYz7CJPCrZIeig7ebo40zNks9rYlUBB2IN2DlE5aIogZBRDU2Fp19i VKGzqxgJBgiJsSUTOv5pggitZNLf3SbzQmiKrCRcKEfe5UKJggh1QRhO4jTv6iPB81fGDJWaelBv ll3dyaiwHXCZZvpgyfJidSbqryHCmIvEiAXFKi26zgHlmbJyWHj6LUQwjlweIl5FsEnu3WP0mkyd pyDtndHSvcrW6/artvSJ6TED7SvG6DWVwi4aSg+6RFG5WoKO03be2dOoEavb/bUqJZyx3yEkoKyT mJzPayJVAR7SfJmSOa0k17AsGFhP9aza5zWLQoDN7OL6Zp3KgKAFROg1har2koQkiyt3yGuC7eLy eGMyx2KEXhMohFp99Zok2VwFztQEouy5hkwcKhhKhNOc3wnUs4FBEBqjHiH8fT+v6VNFy4WiuivJ 6QKqqZzAw0JQB+0kG/nzmj1VyYZ/HbSTZ7bBQQ3tlKMQTUI5qP9j0/fmCgKLLnlSENjNAMavmVO9 XKTV5I25xOYXuhGwF6gOYoIJ3FBi96pDxP98OGixdRIspIEWxeFeEydcRtSmSqgZbVGr5WzTxGYY R++vaROeLr9iBJxkXbavPq85E47n4mUkz1lZZPerspCGkyAagOVyog1iRBYU28HrrnYZ0fw0gUvV MdCinBtRjRDrPUccSQBHv5qQJjmsBjFalS0WCiZp0aE5LzPhxs7pLZ/XZIlvp2oxkiff/IH+l8Xo NVXCLZ+pEEvEhcZ9s7mW0B4u73kuo+UmRVGMRNEsLae7PnXdrnNBNBpdp4H2AS9scKY2qwBctn7W WNi9ZklV/Wu8zJKaBfgLU/wFqE91vSFM/XlNkniZ9a/rCF7cE+9txeg1QapohLBH98h3WWaJC7uU R8a6uLjna3p0L+zznsn5rt4xb7BTqGxswsS6DenmVZEkO7yp5rj22Oy1f17TI/wtBvGek4KxXIQj RonCjOxUj/nzmhzhW/b6ddZAY585+ZX92tLEWSsSi79XdhXpnSIU4kxywn6BG68NzerW2EBrtbv6 WQO4LwOcgBidD1vCLhbKClS2afsjwrhoKPPazqwSTplQdbZlyjEdGbMGGdB7/Lw2M6u44E+MQGHd I/s+em1kVpMHP5lut7MGEJgpLQGs5aovq2eL0Wsbk8mRmr5N4H2uto827uUySLZduLAlDIOULIPz jrwRV5Pj8JK+cHBnc+6S/q9OJWo59dXwd/i8djD/v/fauQRRG0AzzFLIKMuGaANgZfnSjNq8r7Y0 ss/Vrxv9O8uvXrAU3AruanvpXK8LzIYsvjuYqJy6dIKbC30v03gcwZZ95ejAcUDVZLTuOAeQgZd8 o6gD907yw4kzY2xFCpygAeStxSnKtUG1xLDTBN+A4HdHC7x4XKeSLFTjGEVxmoIfmDNYKVeR6vzN 2wYChHHKOGRZDuIQ9c80BQUpwWfEbEJ+3jl+1fF3BVQMWywrhBcY+9znUvy8U/xwySRPJtk0pCSv ZIQYqPeEG6Mpwe+6w+9a93OHYXp7OnLORo4y7q02dxElVKvXt+cmgY9bk8LZWjaRacDJW9cX3UtV JQCZ8Bu/x4mXLrxRmi+MU6snn5SuYN18PMiWhsdJs7+FMrk0j1OUdleiv2sut3OZt5NGgcK0tHsS JiZAS3CJ840JgQm7zPcjnXCqHwA9ePAWjNY3KbgniYL1Bhq8RdNOyXmmqm/8fu7MPQ3KDLaofDv3 uQZU54WKIUt0Q431/aZjeyndML2n3gYs9CaJUiY33EEp74J5e+07YonCpHM3TZVqPqpUHRDqkxgn tUvQfNH7cC2ypbEPCf2yEctU1zK8noSm7lKnAmpaaE7wsegjMHJCHy6IU879K7PMmWjOfM9dlH8j aQEqavq5q625CsAc7kyE7+NQsvcEHA09XuCNMgu2+oAFrBiIWPPcnQKaU/uTcyTMK9m33Plqx5Pm 9nnn9eF8IymYKAh94UvqFIpJcgl1lPJ5p/XVR4DR3niZ/YjkZco7rc9vFbTxk/fBqdZnHnPZR+dj uGHDO6sPW1P+H0ZD03rPHcRJhmAGtP8QiL8B3jo328voWsvDOEstIcrCG0EGldqJWtRZOoV747k7 77v9eef0VVM4unGyxpZnT++UPpa8uM8GRfwdYZB/TOhbJS/DVO+4IMrDjSdK4zNfLUydgOzBpu5Y 50ZvkiamTj0sqn7ynNfYAs1ofs57mAY8C6Eut7RY/gTuU+pi1HY+HiSZ8zstABDknP576t4zccCh MolW2YEYeUzfTXndqUod3RE+USo+xGOn7ZevjjUHxnigb4piCG1K8Uk3UkapCpT+tHMF4HxPxTOF kYCwEzh/WNl7DkE6tbtQUS1/3pl8+IWTh0mgYPDAkPlZnKJsXNy0k/h527tt19c/xa7ZzoHNvqwN 907k46kbFp9yV7vGIeHY2ZOmifFJ9OT/sU7BCiNh3BLVKUSATekb/xUnbFdfdDshaByyVSIwonw8 G3/I33boM9XbrIyy8UomOzh2vp36tQotNMrVdrruvO8MvidMGF3d1S7xijLaFD1PrgRVbGlXA8SM So4swttowg75vPP3sB/IygbgzxclTyvLQhBgivx5p+/Vx07G2ijnoO+5PBl/Z+9VczTCzTq9RzCu vVzbO1/EpjuqvpP3+NZUzkTcia3ekEtUh6JNynnjgZhBiFiBcwwmTlBwAbDLbid2Ld/Jez4eQIFI qS6M9Zo8ibBhE5GPGd7yn3f6Hl4D6bvCO98Nvm1+8N7Ze9jGhdOpNh0MDEa2hap3Z8Mk3MQWqvd0 HF1BoU6IRbfVQoX7DbKUnGTC5czIe0W9S2gVVNgieZ6pLfWej6MBKDP6oQWNeQ7nzq7Ng2NITOA/ 79Q9fNHpLRXm3uzyTM8L3pl7t39Z2ccwy+drgQmrdM+fwFlUoKJ8PKVm8JxxVwcSlBOoioZzx8hy NbZ/Us/kzfCED0zXXXCDKi7vvD12DHjodt2+WGKAfgwbdSdf+LzT9uojN6UNhdJuCS7NOEUJ+XLz He+FV8q9KM/c1TfU6q6H/k7aYz2hK6qazVx9bObqqVDPWZSKGbr8RJnBMvRcq4vjebQTrc8rx6t3 zh6PHoUjAMLion1xzu7JNoSULpipRPn4uFdUtStqwufIwhS2wwnCn3LZYZi6IVsr+o/bt9N1VH3n 693h3ACs96527jqadFv+H+hvEsKFmUHrvMQB0KTIvl/lS185SKA0NMjylXHQbD0ncK8sBNNcn3e6 XpWDpuJExixI2H14R/ydrcc+euL0qRVv07XbV8ljOVnovJwMwPNO1sNVLpWpk8k86zPmTdu0Kcd5 a6DKFyoFx+7UvWfrgCPhJpi0VH1n67GxTI7Cku7wMt3hU46clxDn4WeP7M87WQ+/cZ5fGRTYRbPf 6co7V68aQKq3dEXemrQieOw6jx37dHWaMOU7VQ9/Zl1L510972qJ5nn3L/RJyVdvI+VC/NiAqdDP IvoXesBe3pWhbxyEiaqUnKUAlHn24hA2Gg1AWc+OvT/vTL36+BBYBsVGdL/nLmqNS3e4tUvmaKN4 nHZt1i+A3oCN6t55eoQNCF+IdpyvFqeGywPkM9k1nCgpTtS3mQkN4/WYhSJl5jcOEk2ahZ6/7PLF 4BWrGcl1Z37NYDv9ao7DD/IUnzctiPLxSk/6SdcDXU91eFslJ7f/IEvTwvSej2cDg2Ei2+5q+fhA Q7ZRsxrDur63NLvQMEXVgLfXIxlIjO87QY+Hjpf4Ks0XNZ8KZlFs0u01Pu/8vGqKwc8lDthAvz26 d3oeN5PY/AbLOZV0vv68K0/PM0t3K5l3dt7T9B1dulJcb/MJzotCNgErfPICUTygADSgyQS3Rxcr g/7J552cx4kbB3ZTWJRpVzgyVrg68pba5fPOzWM2nn/VwKe2wyG3KEXZOMkx8Dm9GUHpyVPMNl1/ cuKO5156Z+bdomUljb61KkrjJEsQZAaCL2+0oaa6VBXmwN3cxs+brosQlIu+cRQmigtT8ECLOnQY /hJy0U6+/Hnn5eEXvpk4k0liY4pPWt5peSzwqT2ZTCMerwtv+MIPxG+m8+q2I/fOyuPNJCPVLcQq 13sztQyRHNtMFf7YKmwgVcCRSb78RSbTn3dKXhXVC/bF9S7aTMD0UhYCqdfnnZD3/93fQAizHrMo RWl4E6ReApPs1CUzEa88Gw6pB4WZ4Od3Lp43dM5Rq3Xc1Y4cWvvFLLFPwM5rz21ncSdBA4IDNMN9 TWqNvDPxWK4sAlIKu5lwba3d0vCpAfBJpsrnnYjHjsrti5vDKJKnu52iPHzTYr1wymbbqbh6xqIW GwNFpRFtp6gxLqNrMEPrXf3UwTpmT0puJRKcZFLbaRkJqHW+abjsGT/vJDzESdAmQBVssRv8XH9N pfAon3cKHtPwX+MDzMh6vlF6T8NNcaZj2uQMjX2RO022RNxOdMhhlKKueDJ4eLF0oDzpwNlNI0Nq F9upQptH6cDITILXMF0yQxOwWnmn3+F9ijwAFlDNF8EJchtDWhCnbv+8s++4m35XKwNZ1g1TlIV3 AVOqv+h676badrZP8YZKJvdIL7qwKa7pLx2iffXs8uTgsw2250bd55VMxkHf1G+kcXkp6BIYqZNY wnfq3e3PtSU+yzIX4NqIU2F/buHP+Z6Fm2bkjVM/5+VsdG/3vvPu2J8jOIWKZcI600ND/blhLA3Y GDdr977T7viqE10/SQ0CWRduCjYJaBuDdguyywJdGRa/CUR17oiy81cbM+kb/wWXy7NruMmhI+M0 TmHHd9156fN7/pep3Tqbc6XboHvn3OEWr+zKZddZxmB7/Lh48HWXI51f+ylsiwu0W+RsqdXfdWgI bU0PTia7cuqmC0+FZaBjakqXcgfaPz8oGtvRlwF0PZgVniuNl1Jt0Ac3e4bM54ODt/dXWUeaFToO FqgoD5dF7+h3Q1UaI4jI2Y3BT28GIye+s+3w/SWcDyL+XX3MgkbcOX88eKdYnD3JbgDKJ7lCF6wC LuxWV5Q1eCfbVbMrnFmgMC5Knk5WpuKlnp/xeafa8Xv+mrPAWW5VbxO8M+1wQSWjrGyDOle15AB1 HoXbjHaqidp2QGBGmfjQwStLKFWuF154yiBIu4p1ngerBvgNIMkoeOdgEuMXFF2kPu9MO+KVKZZY qf6A1t+P5Uzn911Sqmnz8060w8E1bxQbb57XJNpzN1AhTqUQxCPbRqYFA7TZ/2X68DEDR6A6ML4V N9Q7z66Kp0R9GtELSn7oBQWOXSlnu8lrrmJPnz8kcSoYZeLsW99pUVTrnWdXk93kiY0CLKZPN1GT snWKtsfnnWXn/Ywnz4QrCqbSFqcoG+eEH9/+CgAqUWKmSuENGQygV6sNFfXFm7mEpvWs1p8bgLbT owAaGaNBRJ84w07SCnZz2U/fiaPDzzvJzqYe0EgkH5EK1orTPm95fuZJiOrnnWLHfNyLO8J5MDoA QNbi9J6Po3CeHAcl0ctpYDHs4E1YFElUkh4lfOO98+vqNSzEqOCudvAmMJZrq8WDxLkZawyY86yf 3TkI1sEjEeOdYMeWIgGZvd3FcE97NYngngP9eefXsdP2a3J3XupLysqMU5iON8Iv6EKmIngXn7OQ mOz9uWb4sHd23TMvH0N9TK6eaEICH3pcEB2DM18SeX8WzL4bWn4YSFsRrI7KO7kORTCewXxl+6Ly DsYVQ5O71j7v3DoOHy5wlTgVtBGBSPBARY3xyRSq9DSsp7I2Sxjq365UvPMkhcTEnxTNWRioTg0F Wz3VnAsKoqzM4b+RzWYOGiCwPyg/Oz06dgBBfN55dVWKYQRBD19sYF5W5QgMXYPPO6uOeJNf77vz ru3AalqUQpRK1/R3XVyBzK4kWtCSD4KhZ6djFybk2k5ztWe1MhjiJyi+BHs6JdA0T/aKPiuShL3u 225qN0VN8U70asfLxhZVd+nkaU3K061+3vl0vNvu8AC7HTXxLP3e4lE+nsWAKsPbmIUtU2s9Lfd6 bsMB9e9kOseZAX4w+l29jdkAl1ODDm6ekNAVcpNGtCd5AdTcwWHNvnDQU6EGxgBH2BZtJrRUltgW c37eqXREFXiYKFqDMRQI/xalMBtns7cwVVNPpTd3XSBPzMj3HHCjp9JCvHhR52n2Z73V3Tyvokxr OSCfz3bSSB1vOVoOcLBpxF9S/1qYizM8KdExjYuwF6dMIzfpHLnS8HiQYv7C1JO7Vm/N0sJUnC4e u9mM4GRONEcxz9nqbqqNkB/e4FFL3CblJ414Vq9ZQEXrnUeudQy3xQSaGEtK/QBvtwvI3PrGAYMF rPtTJjMv4KLNhINMHMMpkQYeDwAFzW8mHC8Qik455QlmDzNxtgpKuX2npKScYerDG3T1Kjn090z8 XKwE1s9pt86cV5ygbyIuzq3NzmqBcpPA5efngN81idu2wm5s+8LB+HdKKpL9OS7aTKP1yZDBUxWP BySWPb7rOnB8yk2bepSGo+fCuu5aUlBFRN05ucMUoQydDRUhVJi+E2Pb72rdOQx9T3SaZAnQqq+q bSAN2djMR+PLGwVUBulRT7xmxYfKtnWY/EgB5KIutaDqxOMRZ8ynmkTtAK/bSO5TnMI0PAtC0Icj eZRp8gInx40X+IC/Mi/wHjXF3Y4i5XJXv5oy8i6y/wugsBBWZV2HSvckHShXwI7yRoFoY1EaLkvC 2eQVSh4Vq95Th3Sd9PM/FjwfkDR/AQ3zXDh3xXEXPaRpCi8u4bVB1m0xfawMF1RLxHcqpljeo0R8 ahaVjcmaHyZrQU07hjzfz7EimooFC+EMFaO8Vh+bLykA9ygRr4mKhRLEb3IsISJzV9lugIiAxyM2 6/o6ebDd6w8nukdczcH76dRK7ULokss9ZQxL1VEp+8YpTMR18trUAIGr7aiTAyTYWHHMgj98lxVD BeR5gycKhYorjMW3XY8a44uA8Yb0fULOppt4yoCkPnfxOdcTzwevuzvbNBPRHyZ5Fqc4D69IvqlU IIAvy3TGac/tOOiKeS7tCHtI2JTMWs/1WS1O42SNYM2Qp3FKPKAPiYMGIGtTKVICYrqhqn3jICtQ K5P2eqAXnUyDuDCcbMK2fqA7jOf/dfAYXvSh+8WF9bA1vq0fLgveUwD3pn8EYhYDYksxSbLHTR5m 4ibh9yIFsikiBWtYNgCRlhNcC/49AHQwV8fev8KjU1/5r3OXIfWCeGE8W7SjzpbW4OXss4If8E9K C/cfyNmgMnis3tNxqBhoEtwVFaTj1PgtlAIBEpXNpwGjksrbPErHtwa6Se56Wp0kdarpiuMisCGm OMTQDbqFZGI+KUlg1iYUHu1Rf7xSCAsYYV8EeoJpOZ1N2gkZHo+aBd93FGBXE/0fi1OEGu+amMuR l9Tywc4ctW0gVGfNp9XMCrRHIBW7o3Bx28r0WKAnHLDaFSeM52QSQL0uOHGiN4cq2GHjJ1z4oCiL Ir1VSuXXFgsU6aH3BxrleDzaUd9jhAy1hTGfwxfm5I0AjMnal65RZXqgCnESVLg4OUqyDRW1x8UC PptYzQKutqEWXnRLStKrYYwqZdZK/cgGC91KiqK6mXSOwQcFhTB3EkD0vih5Omd6bBkXl4nH/7Wh utoq2J/tTvBGlJYTDtE1XNRkSuwDqvCkWu211+a0/tOIGuTTUQWl39Wv8w0uM1IlyiOfi6mbPjBI SoDogADptBZKAeBzosnUFmQ8+yLcE7oSYkydvxkeD/Lye0fx5KGulpsJPzTKy7vp0DWXA8HneZh2 cVGZmV1+Z8TtcaldVDUOuDpLitbqmwM8VGLC1J93BeYIm9hMzJtdWw2YP3zOXxz8lElSJFKcoJiT 39TJee0PWI94/l/wVeblkNpYlxY8Qth4ojfNysvitMcddBqEBXyN1pgdJP6k9/ol6+BZDM7KFuxg /XJql5QwYezUmfEWegPttaHCA0LGPRTQg8XHBODVprmddNyTs38K+hsEVZ5XeMfj0bTlDhHYhTl/ mnNevbMyQrRK/0LX64W3HPrULtk19eyq5CNKylc23Udq9wJF1y1MbXYag5HECVdZVN8CiG3qmWVi tz01QE8IHxNNOSmbkil/xcVy69HoGwXz643HI9WLX5sJ8N5SrupFmJJzM2VaO/zPxFgv2HAu406f G3lZkTeilHzKM8H0GrTa3XQ2CDx3lWruXZkvAZNJQhhPKYw1jBI8SbkbUW9cpgmYSXCZ5kgJP6HR sxoBJeP5iHP3DaSDu+PgFak4hSl5lmtXd5IU3tZ26DJw97qcylWqHVFKLqOlkx2tZ/VZywKSDuRu UhPnaJK8QkmDbuL+WfNh4if7wkEpnDhCoLCvFiUFG6bH1Kw/ycVnRIjxsbxngL8iCmHK+lmUQsQ4 QZmtmboMhi7Dr/BVfGQO+KBHKUKMU3MdYCYrXMrdTZjSnvcTkA6QdFoUH+OZgypZhxQfMHaOF0dP Eh/z19wORH8tQ/yDvAGBaV4GjzAZ3+XrRQcwTs/1RinqjDeVwas5oidDXVBRqnRKUT5A8UVGKUrF p1LLNrSXCJVC7gBl2tpHtzZ4xx1OUj/mUee/pec5MIQeJfy18TFR3kS+XUni2wHtZTA6OOIgTOi7 4fn/IoCBqu0kvDcfCDPxLfmUXZ8quHs+QFia8Bf5KvKEmbjygdSM/UMKv6o7sGghvDmZD+BosLo5 sZNx5fnECgi/HzommCPMxJsOHVXU1mCXT+yMDXIR9tY6OxQ/IOgXlO9cnH2sdYcII0zFbYhQu2dO MOtzL8bpEynTDy39M8L2uPDNu+1xV7uckIufdxj55TDEm4MZAWSvQd5ELVeg3+YmCbrFQwpnoyw0 LgIEbCKfwG8MN8fKacnYueD5qLGSvpMC9KOWzH4RqRl2yGlyXeR0M5Vj3pH5LG4cS9t7VnczVi4U arwox+TqqPGzw/dKlHkCb3ZSyIWac+gnnnO40jO7IyV4hkgVzlkquYladI2fazCJpFhLxeNBmJqf vM69dw7d7H5BzSgTx1dDavY4MFLPkqVdp2SkVI43r/GTOs2wQ57U8V1mAreeaxzvhlPwUlCln787 BeMBpSOLLeNmgv/ug/Rd+sp/1cBF3lNlmBwJjm5e5uzZCx7/L3w7XP3EUlicokw80Rdh9eGZeIOG h0EOm6VUEGqaljzNkMBZrVewxl29BIY+zBp0CKLQbqH42vlQmqXDabAAbeuAHgo7zLBDLiUVyLHZ wjgBab+4H+peFY//C3JIlNRJsta+ujMzSsVJZzpJbG83Fa+WZMIVyCGHmExanML+uF54teV1Vx/f YVK3kLKS79CW2gnQv1gAYKyf/WjQ0o4XH/MXTKWgTDSLSobm/KUzce5M1vB4pBj6nWJm1M+kzlmY oly8Eh/WVnEe2erZKxbYIzr8Asw4hSnKxYcB6DjCstW2E4i6gC9Kg27B35pXfUIjEX4+P4W+yjYM pjfXDOmb0hanTIgWNVTgEEsJF/jw4fFoyunpk3R9oXtw3isepzAXpzde69sHU3VkVzVe6hno2BXD Pc0IptLFl649t7va9XTeTSex6LS5ocY0mv9ojy8mQ53vm5s/0cVzRrk4MBtMMocv6otMQIJ4OZ19 jcf/1aDDYzBsoSWKRSmCqVhl96gZ50IrM1oOj2FtgtQBnleUoub4SoYKs7aKxAMk9oRJSDdXyvNX LzMLzMP8Dg60+yHbTVKmZ5SLJxZ2qFW4MJukcBFmkDJCGvyW/6WuQ+kL0LxHKcrFNQzO/ZE0TOXJ xa8hV8WR1pkLNQ2roevVKOFqUTp/54qBsryGT0RMqLcD/THRhVkrPQOErS8cQJ6WjMvS9kWtuT3l Iw2bKT4eVSzfE06IcIxHOGxGKJWptGkmFzVEP8h7KU43Z8ViSgUzSsXtRoIAxF09IZjn1QOFdalj JiiH/ZBYfj5r70Js/ZVSYVqLzwmQ0MRfpCXhdfiVSO0L0yiZDMPaDs9HoKdvDVE0veBI5HEKqZsY mpySyIzJAIV2ezfYsQnjA1bvjVMopSJEJjKmu1p6CZ8ZKIkRzQOMRzGbIOT/uJ8AX32YP6voG/+F wOjgVdlioCf8BXhLnZoHj0f55e96Zf2Qv60wrSgLT0uH7lokdYljA1k/u7kgtHNLeQG8IpzKED6l ep6J1Q/dHhiZ6wJvcDaVQ9DAW+fsngV9D1cIkUzBCnEqVHOYSQVwMswQFIGbZNZB4cTjEXfzW5gH ZeFsVyRzhf1w+aC37mODkUy8n4rNzj+oq1kzZYVZuNA8Za18V49SgtXWHnJzw2xT/Chiz0htQMrn mCeM6PExUTucpiyDXfGCQdow1lwZwqkACIXn/4WgQ0gnQC/pZk0rSsJ7U/F7VehsgoAbfKRrEQgV UwtTCFPRWYNklFbJMctSGMPMQgt0oLpPULoVyFCLOfFdpT5J09lb+JigHb6ZDXTqiHMRmgejCb7N 0bnH4/+lmUJDWmxmi1KUggt60cptpjR1w5Uz1Ws8CbimohRjxa2kM2m1daXVKtJK4PEkB7DhXMQo DWSG8h1nz6k8oNUVd8OFvGhTAIzzX7HndA7foKXMqT6gSxvl4PNyNPDlYFgICMK9mqIcHCw6XE1U bdAtxRt8S3HdHclab6blsELqpt5wefRx1zsmJ9eR1E36pk5BeXbjNUZ02Jj1gfJMfeUI3lu/9hNq LeEIqISgu+oEu078hP+SOqGqRxvaQxWl4dTSadM1QfCP+aKe6JEplIqUnhCqUEZlmKRjelaFinnU nkBN9LIwzhY5Dzn5+W8rjMILomemgInS6yuCqSz622XJzXCx6nftQqTYqVf4PQOUym+xY0wgoHNq cQrx4lQ7Lqp+h6CHwoufVLDuYugwEKstTmEibhoNsnzV6mVdhUNpLdbHRL4qmgY9WNBTg/f4lYTO et+FMiqiJBbp0RXeOJwbzyH7lPOXaXg8et/9uqKwn8ZF0a2QwKkrKufmPLLuzj4ABYhSBvZBsoJl hW1xve/O9sh3fXhkMIkSPQoCFUmGnBOehzlT2B4qpo5QwYWMD/orewKZSHPgZlY147xAOUoa6M6t sC9+UXS89idkw55zF8uoSE20+WATNA1Lxndx2bDzJ3dj6hX3xYf1w5/Vr6h1tvdKhBMgWdpNNLJO WXKIQBE3ZBPgQmIVPihwF9Hot+e7CETQAOsRA2Hwe0Z4gu+uE8ZHPxtQRwtUlI3zuPRzK2Wv7Yht 18yuPv2UNIyGv8JsXHd5arne1QM1Vq1MejtIJwO2R9xQpPxk9Dfzft56i7rQK0rHM/tykP3zRRcU NHFU3eVZ8fh7oNbVL3I9rJxIdGegdpSPU4iizYdiTsK3EaSWqUU3qAEY72eHWirSDQNk4K7ex8SU Cd5HrOPBl6Kq5iiof+keD4UzN8JlV3xH+Xgj4QepLxdg0a11uZJwFyexxeMR7+ebv0mXCcwcLErv +Ti6ektgcWvPteVQlVMepmxE/DSHyx3vWEtF9IsuDUyuFqVzHQ3UxZuy9ecA1iZaIvHjhYphYHv6 +472BzsEjtcs77/uC7dTo56r6HanCN7vCTlaSN/NAgjwdtRMFqcwIe9kaDh4AD6D17SGDoY6dm26 Ct2OE3J3q0l3ddw4xNYLvxKYx3MnxbKBcz5xQ2T0ya9X8NA3jvpzxDkRqKRFyAvA9qWmUvrG48H1 VH+pHROhfaV5dsjeZBezrOG9AqTJDNNJC9Let24pHqYQn2L9OQHCtXoXs51rIychCloFuoP9uZNT wqkBpxRiJX6LY/CGzwkIUiZmSBcELrqcTt6PFg10nrCbIoBKS9+XE5qR5MVYmGKAinmO3PKuFue0 NB1Aedf4Jb5DzLiAF60qO+BquwnenWUVAlROZn/q4y3wHLQfB95XUE3wBh2ew8dEhQsloaXzVNEZ JneGOTHLChBVNp4PkBfXKIoIIEpL90ty3bGooRn7OJEs737jlJOL9XG6oDiFABURyNoSyZzrBRnC eBHmn5ixnH9eVQVeK7QPO4VIv6SWBZQkPibyQWqcAIOKr0WHjg7NfNWdlw8ej6T6PCcgCvr82340 HXYEUIEmMsZ00w9dL+7Ocl7VzdyiciUijlGKACrqJuGdue5qh+48fFIE0A0APwMqo6kpXuiaihyi EMfTVN01feOI4srOHLzCbLF+77lnCAhAIY/Ho9Lu+1WHVGjsq0y7w1ScA+C81zVPlrlGl9KTb6aK vpHCFCNUtIm2xIm4OlFjb2AiBnsqIJY1uUudrPjcoDQNwIDVhETJ0cLnBBmmnA9GKr4oTGAlEuuN 8SIeDyqW8Q2eA05irMsE3nFbXFrH28N0/qx6vcHrh/Ue5+RIykne3P/AircsYC9Xv8IhmE49Ho5Y Tqop06gJo5xTS57iCko6hk/ZU1/4Lz8kYiJt0ZmrmFHITqMOPP4v1g+ihGxw5zsl31Ea3oYgKdXJ m00yx3REwivI3H6Wyz7uCJ0yVf+2JSIwV49SKfVUFjS3rfABksIvbNzOpc7pb/PsshCUho+JVFTI YukSc+huqjnPW10mzSe1H3g82Ev7V+dJIOGrIipA0GvelAlIUd4kRVH2NDdlCraIUiiPs+vVpzAN 7yauPtNdDfBEE7LdWQAjbaosfBOIwbyXgEwB3xBm8/T72falA55r5sWfadi2IIBUVdAlKKnwYyGV vPkjIuCqZ5lEH7OtKId3xSvqj1Nds0+xDmgoRWErolRSxsyXTI09HPVE26kgXtxQaBLf1TGZ55ou MHanx9Mg5pfwi0onYGqQ9HIJr4sJOT7pL4YiCmZfNApeUDfiJGHNzOeD0uWXAkYGT3djcOrBCq1/ Fo2RWpkOfFptebNuGJQV/d96gxWixpu6BWU/q2PE4EFbp7AqMPbMU/yfzO7KhqRUSVd8ZrNrgE/6 AyQGwitTqU6ZRNYvG0NOgsQm7G1TlJmPXzqHuIgGergerKhZrsnLLDVZx+7clT6fOrfuZSLs6eat KTTerCrxkrm4pS8XNxR3DXaBHSnaajLpxGu3wqGM+g6p3lJPnggptABaZCFszqi46Naa8FhgnQuQ AZ4PGuaXgoc/J4yKZm7PrRXl56moszL81iqLGRVurU5nam6shReVbayoYz7UNIDW/l3vKZybcnQ8 hbVL3xAbC6cffNgfaBV6IZNJfsUnBSOYKZ4wb60p+VWyfzCI5Ck8VTifD0qZel+E7EgVCXjU5xyG TXP2pIp4r5tNBKplZtoRs3NAxoyck7t+VHRpCWhAS1Bb7W0Im3pQdTq7wadOHrq0TooFvyRuSriQ SxjjnMhtXzoCIRK4MvNdFC54Viu5OhuVz0f2wFf0IaumqT+7jscAL2ydo3uGHsUyICJI9nbFL6C8 BUSEGaJHK8rXp/kobyOb7eeKP7na3HtT+OEkDGBRs9fJU39eidhiC4WIrniONvhJkWaWEBoC/Wyr Mmuvu3bSlOv5+/D5aMrwDSoHGxAGb8+tFQquEI2YJRpC7I+yUZzEShMDk80iGpEnMVRcselm7vuu lj+clP3cI5N2wSdo9fwtSdjPJjUM3kauzlIoGDzoSwfljZwVJVyXiIz/IdKOmbu1WPl8BEhM32Ug SFz1Shuw7H1/TGKR7kdCnlCzHlV1wXuoeV4nvBTprphXYC2139WO4bndR03Ax4D3Ajq1bOBoUI1f 92c/hP0lp6kUa5GzUMYTtnBblQ09XA6qSxt8PjiEv/hBVNJKGBx4qEJwOduenYWbqbY/xrgwbDTt lZKGhypso6ufl0SS0uoX/J5gAw0xYgEI0SyQJeAqVI2knIN4eSQO44Mi5xsewdayL8IlrrrlfA0b FD4fVM3jW6Vm0GnuKh7l0LCTXtTYuW5ECY1V76OP4WSqBjqPGVGGwBYp13Vz7OyPY2c7VQ7dJ8k5 qyfbapS9hYwkk+aFnt683jf0NM+BYycI6Wos0MGMiwpnUPcFnD4/mc//sybkCaR34BW5p3dpUGSx m17alYdq+bYYZjZJH/iNuSdejuAtSa2YkUu9q2GlSBEemT4zFbT7bTJaYCV3Jo7rEXAnPjHnEN8i gnURfdhFhis+QUbY4Onx+SB3v69BTismhO6va0KOXTtVF7bmejV0IrcuQ2suETXIbuFLMLTtNBh+ M+xG+8Ju9HXy8yE9xHKSkkpaB9oM4MpuYs3LJQwB46MP+kvaFtrmWuxaT7DPKstKdj4f1dDl6wCW c+r7vJ2GHBh3nt+PCuUN7StrNdTqm2on8s8KkcE5+6YKE/cl5aOqngNXhQry5CdLWJw9IFaUryVx fXMK2H/QG3efoNHsK/91/oZurOGEbsgq1TaklQzH3MC6E9nCb288UBqfPDT07mR7BDR6bxc36XAj Uiyptangb+yRComfWWR09IB9dUw+oV+Av53jd15aUEbkpgI5YmS0oGGeYs0r3pv8oMi1hAJthSAO eDtrc+xVmLHxb7P5fOR57leVPOI6iu37AgzcO5FnC5fQ76YiQ5Xnb1G9g5sqQUnSzl+YshuyTHIj Wr0bWqAItkRfSMimKJ0xuPEGMjkkdBc81ad95wiKR/sp+XhwEWBxnxqgq9G3Cp+PDIO+zx9GWqc4 ekIVtdfBmQHUxdxN6RDgRDQpV6pw7skL59DBc2UD2OV8V0tBURVPNLE4ci+70W4UTLdTFG60cmva +Tb71MEKLTxXEiqfFFAuKm7wfacZTnQ+H93q36GCuc1cj3duYOKJaTJzKRH1puSjXAsJg3LVzZki zhaq0DXIrp82910trTp3+qlAKtuiHYiOyvdf34OimzxD1L+XCzqPLj8p2la0rZ6cSXCxvGqMwj2G qSyfj5gM3/65jUDIJ1sPnDzR6SuEVZfr/6Z/hC5pneYKd2IFsJvFKm60iwI65LCUxnVYyrti7leV gkK7UWobHdJIePkxTLAiM2hQb/alA7MAWujSQNYWTUvPG0FlIOSt+XxQ2VwSEe9wgM2gM+CxCiHo VVTZ/KhxmzlspVOLUFTwlTQAY47tPA3B2Ax21u4r8Bw7iHGXaa7xJzNSrHBdQRUYCDwI7F261bQv HQ25OICXHjcX4RRc6BH7avP5oLYp/SuzyrSuz1CrtmiFpp7or50jtrdfWGldkkylCBS7VxWFkqIV unquaRS+vO7q0UILrgMbQwrf2bO6242QTERtbuUZdTX70pFbh5DDOoydUaH3BGaL1G47dwmfj2QT /T3Iywlv1fr0F0JnT9BLkHymeVmhy/vtjVrUythn6x6rEJA+rAkjJwquDmREpdQBcQFAD8CXJFoo Eqktpb3uh1Acen7QH+/BsTCSscUAn03dXVjDTT7/X/jY+H1XurP4HDp7CnnW0EfWIUxqvO8fEjaq QRkxzrMLK7D2vNsKLsN39W47nH92YlN0FqgFsiMPJVZg9PBvpeWnKVqTfek/wEKA89LzDH8BMvAa 5PWGNAH32vwBUdL+LceJ47/XhTPmwN0TMuacMFdzCjivr0IwFedenGCxJVrRsrB9FWLTxzLAZ7mr 92LOmUBLGcE65fHJUait0RtRM9CR+MGN5m9CyoLzkwL0QhHfmE4LRUApIqsaWNr4yJL1TSN+0S9z 3ZOZAp91YxVKmVcihehIwly0i98H7VLpNAnlAZid0vbA4tMa9xA0UfuYq5NCCmCDuq9OFVJOja8O A96eg05gme5w2le0EMixx2emrg27KCflBVJSIhsl7Saq/8nD+QMirv8vVOPWRryxilrtrbLHN26H IVMCVB2Gsi9yiBAFxSrWbDHgtZBWXC1WEPtsFK9HVx+JiXS3KEs8CHuA8LLrmWswEfp8alhcyKvV ohbDSUiSIFbnfc7nIwrN+NpWoFiAFnZDFeXtDb9fRw/XQrVH9moQTaYf9xiqxUMVKil2a8IoeeDq 6KF+nndN8/PaKeanniD82wEKAALHNaXWtK/8F+BjNCIauKgdeu7cqpThpL18/l9mTNw/GEaMpxkT en0S9kOtJ2/G1D0vzGo7mKHBD8giFcuaG9ZD7vJcnUgDPtlC2ksFibxMl6PCJW6jpUdHLxvfZAtV iI3pEpZa4vidM8z6N4EsInOK81fm8xH8en69BLGF4Qt1QxVl7Z0CgbXfUNWxXdp8pqtGvSSq2PWj gnxB6KFTspe7OvBjLABBuzp8u1KmCNxa8HYmdW6woZRZQXxZnxO8Atd8K5uRLC++d2vGdD4w/BS+ 5pv4vwCyfOrm0PITZxu6SVe4u47p4L2pbh95o+QHKVQxcVT5wlYxyNV77Ak+sZnCSSdLA/ucV1VC jbkAuiuAh1I2eRMhqg+KMP3EqEsPvqLFx111UlDUnNxVtfP5qHH8jUwD0f7cDre+CX0/OQAEcdq1 JBrR02rxzekeFUXWOjiAsfGnUirMye5qVxWEQ4AnlIlAhrixhHEmGDZAXaOH74BQgtVz6PyZTcOl Vl+sxT6gn/7jLfbA+vNb5lznj9zl22EIvT8JFTmX4Jzet2p3U22h+jG4ITfIIhXl66uYL7HyK65+ VdVTgS/IFuL9d7JHij+C7I73X8I7GFJ2l9ze7TsHIIahCpDKr02ZFIW10shLGP+5+Xxk//ndYKgc Lj5pVez/yb5x3c2pthQYdE+0x/ikdKPa5tAAVEi+s1GEjOXqfSuYzchgFyYoo8oiBug0ulrCNQdD QRuddg25Qg9Qnbyy2ECGdNUPm03nU9LuROdD3Io/IEIaf0+5sgaZqH89XCE+BuAL2JM4Jjuv7jR3 tALu9LR7eRM7gYpzm6p6WFx9LH/u6041IEwGT7rQhVQjmzQD1fADztsVnNJYPvAClVYbPObZRK6N KQf3SAL+m9rwC6CPwAwUnfpvF7nzncAaeS6sMGWnDmVLV+2tFfdvPHvLadwZviYerFj1vKmskQoO VwtWx6j6pKHka+0N3SO1FpBvnzOO/gVeL646tfxLB8NmgInOz9lEq20I5fB2RxZaWcmd2nzyB0T0 2++uKPV02jNBDTxBRSHPFEdW4Yx/tH5MnjK7ZPdq31owNAVd6i2k9rVasABXT7i72ECuK0sbD5wt Sk/hzQSzGCcjpW5fOnoTSh2+ZV+syTA3R4onFUVXNPAFxdv/W6QLxjN9X7pkDo1BZVpcVnP1qcpy V8322qc12wf7ANpYEThGE2QQSsddL0ptoi+qpOGkpWU06QWRCCi2GwJ2mTbdvnQ0Q+W4KwmnjTRS UoKjgVxKdkTixgoB7en7ZYgsmNWpBytst3OAMmb1YJXZlkH6dk0uOTFwIC1Y/8jbM7IAXy1YjQyu qebV3iAy76fJUAGjqRLVV/dqL/vSkYYnG32Fc2cuBkSGggg1PM/Fy+cjSN83OAaMaQj03FjF7fap hkyx0USW5wCbonuqm4wLbdy3YZi4S2ApDzUbuDpL4lRwG1LYfBtijOO6ZgQio31UQM5w1JXa7aFH qLx55+rbF6Gu+m6FpJl2/hx8PkhHf41xMlCqu18KQA5dQpu8P6YJ5FH91AUDzhW05UGQMf70GytO 3ZU5bbkzceX1ASjfhqhgQem8QX7fvLHa6rww++BkcPjMKwvQF/iEIvembhdnz+djIDWgZihaDlS9 6OfS5w8IUofxbbMOP1PKglqwYqtQSnoWuWGr67eNfpNYQriDeDOf9Rx4haK65LtwmhXffKz4zgWy NwQ7cApzo6GmWKloO7eGIHI+L1MwWu/kwCrUL/dOczosxYbO5z+VTqWQDIFX6Hfzil1BNI9xc3qk ouR9SPxlZk/e8VK3SCXUleYLti+UKDQL5XeGQqUrVl62KRzzIFTZxZoowH+LOADG4MkvmbxXrwiH MqzALDRbqOpKYr6hasleEhYqC6EFwB8QvAibZ+8a0IOs9DT6Qr9QZoIdFJBLwzFpuHr+9tMbDbMi C2X1HBiG3gyLvqC+XoYJAHadScNJIkuqZM3Dbej8Tc+Rg0LUBailYl85gj1uCQcQrM16RuzuIQoG XoNl8QdEKjC/dLzQl30UBnNgGQoO5tLU+YL5NORiTVhNQufUhPMCZGLP0GGNPokKcXWE2ilG2kkh 6cp3NpjhHc6ePpdshx5GH07syjTa4+f8xVliwW+LMbu6xuTojlY+/y/5eL4EITKHcaFHKsK101QG BtPpJgzVM9E0R7sJw/T5fOwaKrrSW0tmAEcE4RxOBs/+l6f2qZvPRb74LxDSdWGhWu07/yXu2SUI w0X0LoiDaDwPo8cc+oY+mjnMORsl7J+7KqSeDnqF9uX2V4sDboYKNFRVOLirnLgU+IYiVGRK7l2f 1addBQg3vEGYtAN3zHyB++lcMag1B2mV5avCCa1DWzXHIi5QDZC+woB5KDUAzk9o/AFRA/m7fzWE e3yC9Y+sfaQruM+0V+4NeTUPFlx1bF+FFFSDshdLRMuTiNZzOU1oNBOPBhkYVTiVZCvMtoFUufN5 GdXnwEEUiaiCRcAG3JBM5wStL9lAZ3QaQgvRMudX6QxY0M/eT+0ceohyjHWuq0fYejdX3ccXubVz Lx6sCCRTdfgwtL6rXVdQRDvvOh5CTAn3kJbAYNmKNgym2x6q7V/5r2lXlkxjLq7gkc+dOkUk3aAs BTai/xdOdBLmcT49e2r1ec2sGv5CsAybFCKVGBP/pgaRKY68AmFDkXrNq9rVtQar/653KrHqwh9d 3iknOxfwmODkiaDt5rjjU9sgr3rNqhqaMRwGUv5bi0UJ4k+mgYanX2LUDDv1o4wd2Wd27W++dKMI Te48trWl7CWUlYhKZl0EymXxPkwQIXczzDK84OrDwPPlWx3UtD7Z0Kn3RMCs9LCi4gPtr2R0wSLl 85pNnY8ZVFvIsgPJjx3IyWioyotKEk+/hQjNqu4h0nwLO5kePIjRayZ1nuJot3ecT11OJ4E2QWu4 HZk8+kl2t1V+r3kUdpG8wUYVO56rQbIBW1hUwOwFWkzNVJqYBVE4Y0NDQCnnJHr9NYtqlxsvwUEu 6haXk4vIf+68Lj6vKVR7BhBFOrPn/dEbMcaI0GsChV1kMgtX4TPvcokjtV2IPwTTGaHX9Knh8lYr fY1n9TkpGI9p0/SqIWnqZkRboNRK/2VQrSxCQGZ8XpOn5jhs+p5hgZMRf9kEPw7d3Ovc3K+5E35b 6+KVm2WSpKgQvWZOTYDKc/+QN60Q0bHUQNjDh1mEXMisNzpoWxujtHVX7w3Dow8izXDtTtgn5ADQ +up8OBixdRImpFnW1hcOLiPJUiWR45OPkifsbXm2OXR/TZrwtNljF+WXgM2gI60YvaZMTQJGkEHv l/3wcOIhrOExGq7W8ZowNZHN2Apu6a52GSUYGi+YU2GYdV7UTVJCjWiOAu33xlGmZqNJ1qpBjJao kiXfRe2VPdcWnKOv8nnNldpD8bYYnaO2QLJXjF4zJdzylQCXkYxN07tYuFSNHya00Fjgm/9HGKOi Ym49q7MeJn7olvDLGn0Y460Cfb0xF4WA2D1rSd83OGtF7zQxaaAwTwQg5HiIzEoQpO6f1xwJl9ms X2cNSmUTWvOK0WuCxAubB6xszyZL3e6RUnpZlk3WO4l5TY94YSuXlDOxVh8tgCK7FzHE+1xyRTSu Di1rNOrgSAVdfk+8yYB/TY+aWpIUGp6+CEGMrp+YtyfB+rwmR/4tFRKGE22nG6PXdmaT+jqVmH1a Vfmx0p+gr6n0JwgipQxccGUnoRDO33ne1V/8cO87CTVVFRKmopxryQoJGvsFB+TKL3Jc9nltZSJG TKco5YeFqnr0kJmJ2ecPtGw/r41M3JpmKmf7aCJ7zR6j1y6mvScwQKjGh2ybFGrq4hRHm8HBuLu2 WXRnG6Iz232U7310fo/zij53eCJzFEpi2kfnXVmA2UVrFqBMR+DR3+q1g4m/hUxBN7dTImGXdzY8 nNj9AAT689q+/PVea2z2UqWgUBdHxMLouFEtvvXlUiZ1XfQPyZ02Ul8uvfjO7/O/Epg2ajhxvazR XE5WQXPQBVPNvDWdSjxvtLtM4/EBU6H7nmm7qxy0OHxhp1f+tfIeAL8oSrXXzF+7Cb5/56R6BvBO 7rM3Koo1F+1qu14d9NK248ky3iPGLgqTbTXi0lx3vQMEoMlUthFMRtgr1HMLlTnOGQMdwefDk8qL 7+Q+3t5EZ2ziOrnoBbd4tNk52uvzzu1ronFZnOjyBLmm9f8Ye68kWXIkWfQ/1pIyAk7e/hf2oKpm 8MjbbnlqZGTQXVMe4YkAMaKEDHehaKI7TgF3ahfNsh6Mhrh9nKju6mbv1D58kooA5y9+Rpuos9cy XDOb5IYXygDM2zZ72Ow6oHSprAT4Er7x+zx1RpRlid2wtkP1oZy7pQWw+XgQLVk53B1VQGZmNU5Y h+gIZ/eu1jtNtZVb4UVZyaQXQQAyKEtwhvdmDan1jDZN5zPzGJKGPWcVUjkhz9GZpxVLUaNTQp6p 6o2D7I0VE0IVbFD2dqJuSvnA1wINluiAGt+Bd2dxKd1peo+8sVnaw1RTxDSujicRCjqeruXlO6eP cYWcQZMETzl6d6Uhxe0U+a4NvfNqojmAGbLGTtCXtVemSpbh6STtanU4oYohe9nzK9ATGUl9QhUu mCeXjNc8oXxwzqjsSdw7pY9/HmXgxrghwXyI2vniXRP705yo9/gbeFCVkyRXptHTuIFKbyeGrEMb vXKLjkHNJIQEGYxgO8YHfZveCX3Y3iwDDKoBauAdNddq4h6j/fF55/O1R3sR7j6bM3X2zxJDhjMV BuFyUe3G3QNjezvIoNMPwBh9zasmURSu0i7+xX5Hj8JRn2Q1Hwt2TVr68YACZmcToI+itbyLs4QS ojC88YBqg/6gTfLCBG7iKM+68Pbnnc7nkZBWlCoIaCvne5BHgThkPCHhWd3SokwTiz+xDgNFtQvA DNE8RZF4n+ZFJA8njh4ZwKqwVOrGT+TvUwBO3IPnhAJ4Y87raQGiCr/nfZ4GBSrpTcfBXHmRf44q Nm3n40GYOft3KA5TIohB2jS9x+K4pdj1TQ8Mg2mnTIl6cv+9BvyaWgVRMG71ybnzM1qFCYfRggUY wZtQKTHZZqQPnVgfKJtfc/WuN36fJlNzMZboMoXsss/9vCWrdA7czzuLD/GTYTdVZALz8qQt685T FI93Xng9XS/sXqtp659gt7jHM21ztZzCgFxYsbnV0eToJzl5Huiwom6CfoBUCBOgqAMGO5loOAHy p/SnooB80gR7oe5lgw6oudJizFaJv4gicgEq73IqqDRVrxC80/ew17PgKXPaNMHbzNLfqguPy+mp V4bx+DQVl/GMdo5DM7eYZfjCF2y5N1EyRt7ZaBZ6qanYGwfneGXJsj+DwqeFtr6YjiV/3ql7XhJ7 CnLnJbekBfmlUTiOuiF6TtMN0wz8REXm0pfjNZGQaZrew3HD9IMKqh2VHyH0fFJeQKnI9T9LdKIO zvQOYjcZxwoi8XFPJ9Yt34l7jBPpWwyq3xmgfWZROFBolVEn9MHa5526h7VRvnM8KIqssT0yeGfu Nfd5Lm16QYUgXRevdiQwEPMGqnsn7uEvkBrJWTr9jjZVKBWsLMGpRZHmLJgYVZrQPsep8oSaxV75 jwxvYMo5QHqQNxfkHZuArSfY/LzT9tpjL2fIlqL2jk9UGJLLhI+XsVk9p3pDKKv9IoSavqaikDwl TZSVezk6kKBANZfCfzUDE7ZU5q2UOCno/A7sXNfaoIDLO2ePTSdyhYDqt8Ejg7IlzHUyv887Za89 kAsdUXBNW8jzbZ6imHzROg063h5qejTwPU/njOwmn/RO2GPJQJ25XNsdL2n2zPPSjTdqB/5EgQEg PIunJAqKVumV2dU7X8+3HpR6CWfdFJTCWjyZI3nSP6OgqxKF5GP5jUf1T5xREyRWm6e4Io71RDKQ zZN8MGl+meeTCWc/yqOSeDeyntk4cXR8JtTRC3pp2NcZeDeeUaXSowWbulJi38/ypVcOIigCnbKk mfOVZj7TJJFIkBXW552r10y26B5QFG3p857l7wE5DqjNzsF8XAj29NKK5KbIwt7OAH1n6vEs5/w0 s3VsX7aOG3z7XVnOPP+Pk7N2EdZh6wgG0Vk74Fe5/SXdVN+petgCLEEVybeUZeiXk6auUeSedqL0 zztTj3/x950HaNdEBdrmKQrIuVvhkuKWhU3S6Nx3adxcmD0xRJrvPD38zNNSPLUP+rrtA+iIw1GQ EdQAPEf2hqOfvPiHFqgZ9HVP8crQG0fTRE3YmXScw7tS0Og64IWNbxx7f95pes28u58QasiFy+cp rI5vHt57eyqMkNy7LG0YSxYEaUuF30l6RA4YzlA2BBwtIq/nhF1THsYDp5L1xamqdhZXgsDi9Qnd WBSfd5IeayNs98oDpLtnDTw44GzH4DzzNYPlNMfXckLJYaD3ZtMUBeSVDNm5sjfs6t12sP9xhlBG /0/T9B6QZ8+DQde+owXkdY2zdhZVpNCymVOlFYjnKG2Y89ELJMb3nZ7X3OqqbdbJOagAVSBhLB71 Gp93dh4hFvtrMcH8ZyAot+Z4GI8PIcezCbU03OY/znWZftkVmnmyOx7H4zzEl3oEGm0xQb+71M06 XRughom1kEh3HjC2yI+m27QXDhAETFdGpvPHyPZTgG50wjKG2OcS+Lwz8/xKftYSFP8RhtkshUgU Vp9gW3KrmdvZZp3UVoUEzdX133l5zTGYi6AkHzVLM8NKikboZ0NDLzJL+62jx9OrqI8NXlVCEdgb R9NEo53GyImDqnSwQhQtvU0+Hmy55FtO11olWf6upigWZwR/MoDrQjDG8qbUifWMa517d6rnOymP R5NA4rCD9fE5ms7EocwFjU70bTVPecCYAHxreEv4Cd6GXjhK7hhZnljOB62mgUhDkOZSPu98vF8H uMTyziLY9a6mKBDvspfrszn6WXC5RiHFdJHiIFMS/fzOxWvXDmxltRA42snU4Du7OyH1DezYJTfs DenwCQUINtEM+zWpNPLOxGM/HrttAv+BAajBboF4pWTtuRJy+bwT8VhT8dLTUp4DqvEF7kSBOEjJ CJwMXHFWk9oJnbJobJVTS7i79cc7DQ+/E3EUwMj3O9quw9zgSsIJniGHvxVcMYAqcETJNw4nhZ8v HOV1WEZo+/lgJ/iu0p9o57D5vDPwGId/n+AI9gAH8Vl6D8OTWHNQbr+WFmk4Q6MrOC/qHlrn7p1/ 552eBFhgvaPtOTjlnUXCOmZL5+ov8qCfuPkHadkUJTNAAdOVd/Yd1r86wYObjoMQBczieYSfpft5 J99hWaTv7BdHLqIsn6YoCqdhPG5kJwx3Om8QKJdr8WylZxf4eafePY3gbjLCHC26XPXExylJkCxB oJEMqUHMV6ZHW4FppHM6CSd8Z941gbuR9o47qPI0OyXzqG7UP++8O8YT3/AUMKNWueWUd9odwqZV tZy88gS9NsenrJUcn4IsmVH4O+uuXWmf5lR0Cpz9kIyP4lJfjUyywf1Hh6dR0GPZqJEXNlq8jpn0 xn8h5mpN0nq9BEVQPxQSnEuf7xl17m54iZ0HYjzNO2yiojCcXRGYhHpaN6kNadLB2WMCGEXYggoL 49YAnusZ710HS58k4UTonJdlvrOdjGrcTCldyh1kTflFfx3jVS0pRPg/xHHXBvFu6fqc5Pvzzrhj S+v7umO/pt0Owjvfjst4k8jZshfopFYKIucJ24qtKLQsbaKiyvg0S6KSxx29FXxm6cT1DMRBVh1m zTAIIq4Iy6EKcZ2uqGrwzrZrkjqmtGT1QdHTCcBFTaznMz7vXDu+5y9oQUe7fN3E7p1rxyOKAJXU rxCg9tumnWqTSDyK20SqAoYZx+KaoJGe0TGG+/xxgCqR8FpWln34OdTw4xbcOjUNt1PNbLh+3rl2 BlpGXNA0oEbOoAno+aIiSZufd6rd79bdDw6Agp7U9ivvnWrX3MGi8nBVYEDt0f/LWFH8O8g5HwB6 VpxR70y7Zlw/yNOUfUfnGGR4/UrWbpZUS2NV4dyKcmRAOxM2slZ5Ysvk886049YjKByBL4bp6Cd4 CFKnBnK+n3eeXZP9hTWlxOofaPf4GfXOs8M6njyjYBxhAoD0V6EAIPaJGQzAo8pWVFQbb4YOW2ri cbwUamxotHqB6qFcZjO0IdoI0Ggq+yk98b7/vPPs2FaikFYRtFc+spLISFnszbNNPu8sO5bovrF0 UJfGYeDz9B6Rg8LBVlQqJu3aJl1ftPNmc1FJ+DTr0nvn2LVrC9abmpwcnY6IXzqZnWpJsMomZSqx XEUHlNLZDNbOIx3jnWRHgO6g4hGZY+0yxzrueAVR5zf5vHPsiIr/nidUMdead57C0jiDg0adE5Xo 6va21No1eYmOEhZcT2FpXFzE1UQz5+h0gwkLqEFj7JJBkpYD5gmh549MetHHtTxYRZV3gt0lHCRx xzgowdsgSkhWubXPO7+ODYj664CCugZ8om2eotI4WwPUpLWqyvYrD2JC04HQSDN05b3T67ieOT9j U/tQo88TrNVBf0JMvkoractAbSBNg7LETo+MHXAQn3duHcsFQkIzlOJgPXNolDBn63t83pl1TKM9 v5OYaYYJ5j2dQqQKNXzyvqZNuX2pFrQLLYCamXZdGJKLKra7JOC3ADbMg0/qgp+dkpuwkxhJuJ+C n3ZA1nqve9tNLaaoLK7NRgSyDcrvoERcpSXd6uedU9dkFf1VVCEtpCwvqrxT6vAYMe2lXXZGITVb xafVbi/4nLu2mOKIXGmweigabZrwIggMaVFxVkOVO+8J2khqOcEzSOkOEcPN/Hkn1FmCNucqDAo4 aDGde6ZlUS7m/Lzz6ZrEt76izARkQ/KiSovC8V5MW8Xp90VAXxRVel2eBtP3lEWVFoLGxRKbdkRx dJzKxhGepfJ3ctVWBP2BngPA7Seomrf0ROtyfE0QEVAxMkttOrvaNBRizTrk7OCGx4MYs/8qPaFA Vy+uoMWxOLUiqVOhyKnP6+Exlh/g41oYt6goLltiGEqNO/rB1M/aolIMYHRQdqiyUivglxO3gcvt wjK33jigsaQsPlTxQYsJgJUqI/oy8HiAKcheLMBjRKchSTCuz9+ROJqPNk3kmprqxdxeoaukfpLs 8x6Jo0KY1DMweGYb+Z5MYB/TfxSwzH12o+5CnLogeU2Cty2zGySx9pCdyXAJ5ik+aDHh5y3ikW8+ HjBZfid2564Y5UZNPQrD6YOGANYdKWrp3epzRijnlttOQegRSGUoatqmALXXVX6aZ5WAKsqq+Enw TgRi5QTQ1Js67Os6GE9qg/SoKl6Z0KHW7oOmCUpVxtCsE49HxLFvFivCtgYqq01TGIRXM+4ojuVZ 2fuaDE51fqNVq/O7R0VxT+umrjmOF0FXCkCfFMjCEc44CbYByH2AgvopuKO8UCDqWBSEE0IHvl4h lofUBaYdKLAwlzzpfMHzAVHzF903U5ss32ZUD6maEuFO1s6Ellg3rGEu8vii5eWepszTozB8qohb i8yYOHoCPM49ByyYIJnn1qxSJSACo4LKAz+5K3bBBLhHYXghyrdPSSXPYnkd6UXspp5ome8ZnOIX UaAGwkIt0wsFPeJrsmd9drm5z8PAannglLcFned4As9Z8xQjVGTEZP5e4/H3Qq8cqj4ML8/Fd7aL ybRCAn9D9zcj0XBhLF52PaqMq7fZt3RtAZ6SespYJ1/h3znqnng+mKeLrq9afSf5Wfe663EcLkmQ 5hhflD9sQZ3T1jXEGnWXEBT0kLWpoICS3z7aREGDh5Vwtsg2CHcSIwDjicJ4WQpiOqFq1hsHUYHS 36r0F21Z0aJPfrRkTXL+6cbz0c6rP787Ur3fOkEPi+MUdO84FWjMe0IBdDzhcXmSXjrqSR4S0QxP 8jgS11W/RVjh6GwywAYSUm3w+QqURgkNo5/6WcwwV0dN8QqPTr1yVHmi0lpmGlyonVi0pMb5t3nL nsS94AMiEN13t4U9G5x3NlPvwTjg0LRUmGQeyoqFutFF5qm46BbR9aAw8TCPgvGto6k0VgE0+hG1 EuVQVFEBnbyoRgfWEfSdJqRefO+hNqVXDmZKJqBYSzYI9FRg2yCZudTweFQpuEQE/Lu4GdK6sUEE G+8CsFJbHJUn0E5UhDo7jymYYoPafUVFIBW79M6qmDayAkZ5iwnDnGZOzyCHdNmAAlKQaWpUmQM7 bvxMF74oIigm6RUNH6yiskCU+hHmF48H62l+V1SQdP7Qj8YmKozI2xSZ/HqAjuyGqYW+whS5KDC4 1oKKquPWMqdCsY+2oNCMXAXSfgBO9pGXXJ7bxuTCyRhraXktc5Ds2iOgCqjRE9FH9UF9KXS+kozy ysTj0YIavyYKysHtNvBGFJNPMhTh+eNhVLKIAOKZxZRloFZheJ4RVcdpQ56QTcw7+llezr44Oy8T iQWqhbSRcf1BbVZ6u85rSTzLRxSUZ8IMidywQbAnmKBsM4rLeDwIyvt36gLQEauSNk1RUN5NY3Te K6+ReD+VBzutZU6vFoywNq6DqSfT4UlXBAuQbkgb9iwiJ3yYiDasKMxtJMMZnrgurQbIH74n4nGy NN6GsJnI99lEKA19TjLKGuYpxqqUrwOKEM91Y6gRwsapqwZFS80TInEHPp2r1oVTOtjPmqeoNE6b y5ODJKLoMBLBjeQFeePoQ8Wns533MKRdJl1iIpG9kcGG0iC+JsCucjXVKvV2QvY0SzvRv/tc9CfS HFFhXLJwBPnyyC+w0nMQ3Ygi8saCL2UYrfZUl4un9HKbnFQu06aLInIH9Jj12Rxs0mKW+omdICU6 qZ6ywfmROTfCLOiZZUK3PSzA+sDX/EWeHl3mjL1cFudqbUj5cmw8HslefB/iIFwNnCc2S1E83pm3 SDpKPXMa7glqKPlVgugossu1FMXjU6IOA8VtHx25ms8hvjvtPmF0vXaR3SAQ45OxFCCAxgmm4SG+ JzqaME1LDeFFr0JeUHsvWAOwCFAyng9W06Xj4+1gVzH25U6PKBynTzYMu27BN8/q2AJJZOJsKs21 L0YUjpu9S5t13fHedbAqYUGsDASaacsKh1o8APeu+VDxKVkwQrz4riJqZB8UEpxziVRvyGBMPB4B er7TYGTBA7A9m6UQLz6E781eL2j9nkw7W7kOigPZmGQjwouXrYsuifI609V0auDln7NbMioJoq7Z HJ5R7wVDCb7AjhZHBwxfE7XscMEBM6dBErMVKhTybGcOPMJA/FfgBMnwnuudpagqTgdJaBXePSe0 KsOBdHNg6F/bLEWB+JTY42jdR0pM8GRqgAYlqYOh4qtqJ1pR/Yf/IihBPksoh+FroqgpcXrITlyD dEGGA+c52hyh5tbxfERm+S4VnCX4Q761TVMUh1OQssPgw7Zc2du17NtoF3yBEq2mKYzDTfcCUiw+ egcYRzXKdtK/KGtJt2hJqaCcNVvRFPJNx/ByhHE4eYkAzWHI1KPmtjuHE1SZf0DlmQsfEBQLql91 rA/DqB4VN5upMBCXWd7sT+BUpxVVhrSexi+zvBGWxk11ppZ0x5vZ0RgcGQtwOww1mLFgLeWMTK6s +jgk6BQPGZyVotBAMbMigd2Dv5j1GqaToCHg+WCi5jf8AmHKGtuLKjMsjgv4tPfjg5rNKu/c3c0n aiNQZlFl/kO5cO79jA4ZBzQz44hDc/PMTFb6l/BLTEAyIUHobTsSgmcIUpFNHotGGnSKJ9OFAYOz 4vFgllr/ii8XUNCzX5mnKA4H8gxxOCXTCL1Axq687kSd2RJg+JcqAZ5hcVxsO1DV72inOG2ZWqPt G04PpG7E0dGuMWO/ZdxaF+W79MpREwGBOLNBGzRPqCPSaKfVXvB4dED9Ep5pDcIz3mqZURxO6LLs aIw3XYqndfWe41BKtTh8xvRNs5oSjZOjQy8y4qXBeVr5HCqKH/oiHKagdgeq4sXyUNlh/lkdP9fj nj5wnqBDW5XXnbwdj/+LlciD/MRYa3cvqMwoEmeWAdu6fiPx4dpqua7r4FLuPIW1cd13PWvXcfTO 3V70iWX6e2LISpEE6KoiIGgnEt6PBO0ih2yGABW2f1tidgfsjAF5BqwOjcgz8XhEZ/lG+SKS+Fmt 3G0XheIy0wXkyaZp6b6j2mMrF3kB3LKmKQrFh1QvyO310UNMcH15e4KLfU4EinWA43LWz0Z/sNBR 2frAw9442HXErcJIxwehoTt02auO84rHgwbnLwgdHWZRcrZpCgvjVDFqq11/ZoWbpP1MQ9lj11Xr IMwIoNJVTBHYy0Y7ncCOOr921jRhadI9cBDbA5jJDxJXj55o3zmjSBwejchMWMusw4CfLLQqXjvR 38Dj/4UxDeWJVYbXCGYEUCHSG3CL5vmKbjoCVPBGuun6phEQZikqi69k1p2mZVyffGUPGOBZXndm bA3W76ToT87zfoh2k3zpGUXiSVoOiRfeSsWIwCy9sxKCwg0e/y9wzAIEVr90lhnyNjOz3zFvYS5v rzi1m6+Ag2T5ygwlDaVV0Evfd/SbbkGlapLq3uH/Iq7wyepQNgK5HFjZ2zrYeuGgZyf3H7PNveje E20NRsTnYzYfjzBhv/gH+JXWc35H+JRJ4Qtc2Pf8rt4F7lzPgovv4QdTFIiPYsJFoiNy9FlCf2ui UoQddy4IwTUHMIEAP6Azn6+OyiCufkZxeFOHJbG1WUh14uW0F1EFPzS1q3g+gjvVr7ipoqaaltcI ZkzbZI2A2gvGKu/GKs9WcQJC5XySr6ZQR0XYnG2+pvvxNR0wazxLqJK2eeICNrYYquPXpK1NHQ/r Z9kb/4W9GIVmnaNYT76cKH9XEepPxoPHIyCP53X6dxfYWb7pVhSEYyax6YYfTZ16hATVz1Vd5Qkl R03TihAqw92EVVLpzjNBmRfKfNgMWE7AGJrM+ios0p4AHBaUJg8ijYIV64fzTOrzDpqliTiCf/lZ vXg84m1+Z79EOLarkbniYvhiF2o56UfmtqIeZBP0R/93G/RihUF4N8q9zHI53mI4UFQ5m5EbCE0M ws86Qmcj0cj8op1QI8TXRLVwHk2ZTOnGHpJO8H0+hyurnygTz0fYufG158CXgAizT1MUgw8jJK5b 5R3NRXlGNjVfIAyz6fauEKGimJKCaRoJPiJCpUOBHkQopkJ7VhP2K+fMbdgFq9QnZjprC18T1eXE 9enJB+F41tytCRS2+Ph/EckmgpZMZM1SSNvEU51y2l6XK9NjptU8U0kwJdUsxSBxbbkhsjhHr8uB TNcBS4XjBKR65U4JI0oYjk+UnW40QOjcCmvhAvXuKuwF5BBZcUrpnHBMVM5+KHg+Opl+SfUhYJow YbB5ikJw+b3mQUq5Tqnq/lqGgqIZ2XQUz4ppm8rkFF5rtKipVCQuiZKro0AxTCE4rbuzyipj1gfF M/XKQW1uKuUtjC6xRpscqeuiSeMPtYvqxCdEodP3XAEBu4AGsKmKwnCyFYCxserc+Y+pGj6l1ubN uoawXlMVS6ioPNJFZOGoqTrLEToWQK1D5gm9MbZX4GqJe65D0Wldb9xCsUt8UYTkITJlsKnJgcHT BOWKEFDIiuLxAJ+yvtEEExSydCX8VxSIL2tDJS8SsBWrQDxJqAfAsEGfaM5TGIgreEKH+o5eJEjn ns6gR6OpCXQm05UT3YNFRdNdWEQ46iLrvgslVDrhFk2Wr9XbdfsEn9JR7Gcb4vFINszvO/6QQIYt oDVsoqJYvIigUZfvPeLZNFFTQM1N4kEyN84VYsW7kHPqamp0rh0KvHtRzgEUnSmz777Je8sE4aMY 7PAU5Ff4or9gFxnxMYdsTjVgy5A8M1CdW2FZfP+Cp0yIhjUv9q6QvCnd1XW1sKCLZVEmYL1WJqjb qeUrLIsPyUKbqVZ5TLXAGCvYxeySt932ZC27Yw+CvNmYITknsfCc+awIL36iVB5OPNQ5CMbTDZx8 7rzB9wzQBI+Mb2dB5Uz7btmrvSuKx0EpBZ+n30pByt6NOvvF6k450eidMxULjQvwlJULc7ynOURO ECKeI+rESFwzWFEZoI8MoEfez71Hq2d8UdSPop5oadUH1efQ8ZDhcp4Vj0cr6htP0Ij5ACtNE7Wj iJxpGeIYjzUhc2gJ3lb/BUFUBeyQ4cGOyuIjaesJQ6fxcsjyAKOYpERmQUxcTpRwwoOzhPoPBM7c BZdl8R1F5ESUQE95aig/sute6JtTNeyc6ng8KNBdQIG06+DSdhVC9ntEDk0Hnkp1WXp3IpHHiLpf I+pVHVm/Y51xUS+KwNC5XDA0xGDOf0enDRVN2GfoJO90Cy7UCwOZ0G88+h/sEDTO0tPZnXcQ3GkX muKaHvt+D8nt1xR0FXOC+BedRpunEDROGA+YoponEAddrG/U7WJ9fboFwg5D8mWt8q/xQnzPh5VF 7YtZ0UvNKtAJbIS7BLN6jYKH3jiaJhb1zH1zGIedHa5UBOjpG4//F+Jm5jtdYZ4dEjeHqipXPxuJ PacJfc58M5crEbJjfIrVLyVfz9HrmANKGpAaQmKE/7ZlOQKn+E243i7rHuNInfA9ATmqqEywiw86 nNoJYpW6bKymCKDSrviFajHth/G9TVMIUNmm8nQTPGHHSfsRsJ7mNTQ95jTFvE2TDMvP6BQEgOeB K2CVEdLgCsk3gGwDTUoEPl6iQ86Gr4lgPCQiKg/GBfdDMGOFI3PuUhmfG8//S0pF9FYo0F16647C cTrOdQJGXErF5Anoz+0eP505BqcpxKfIwGYMy4OpFa5p6mgAFyjZoMeCoIDQ8pMqQw+FiJN++Sz4 HL7wHz5I8JvaPmjPTehHsRN1Akc8HiHn9ncwfj5styvLsyN8SqoKmB7N+mkmiBU69aZnmBs01jRL oZ6hJP17Hc9oew6ybJWqgSyvFMKDKDgjx0YcTYTxNKV3TW/8F7sVMDMfrOB7bgdWf1tefDzK7dJ3 QICYCU0lm6ZQSIUyoiUtp9rlbfaHiEPq9j0Hf29NUwxQkR6P3DI02mJCYaiA+oOiytlyW45Rgxoq JnFfr2EUrV3wPUGECQcjXHHZB00TUyEeTefF8XikL/6re3BOlUESm6Yprour4LSdRFakItro9dOu FXCnwXTnJ/0pQNeN+8PRVlPDYoRUH3sspeRsbqWwuTzL6yRXkNExeMqeeuGoqsLa00530J6r3BMs GtSBxyPGj6MJ8O+uhm5U9yh8R1G4ZPpqq8NmqRWT4sFmr64hyitPiykCp8xiJ5KJPY0x7yydHbfa JZTD60Aq7MCRdRBnEfOZYTnRsviaSEHFSAd3UJmgU0qSZYI58Ph/kemjlsic63H7CbEpSOshupAM m9I6y3XEpuxptaeMFpKp1adQQ0VtA6o5+WgVlXXygdUm3A8q7bUkiNwbCYLwCwEDDFjMJb+fbS8d UFyZ+qbBCnmCjlEVLCVhyfI/Qih58yMi3OovzjSLG/myNOSi8H4DMMzE95H40yvte/5PVUIAKigQ slF4tPkK43FL76qJQ9d04RcN8jJnGuTT0uAtKfhFJuppQHu9l8t1JYeb3xThLzRfvfqgXjD0jpvQ zTPz+Shz+UVAQPl4n2juTtZ7TI7nFjiJ8mbVOmvumgxvI1Whzo+U72SFmHFVNoth68uDra+ljYaJ 2WRyQupYiseTfkF5Q3cLhvCueMyqAb4pwogRfzHV7ASjmO3yCnYfowuQ6RY/IAilLoNa6fBZVPuK HucUVstJDqZYhkp2sy1vvYyZvSu8EJnLDyGFxpviRafVntEna589QtZBPxkdrMuZD3daSZ6kndIO qd5MT44IKQrOO1nmkNzyQacWmulN/3EMPh9VzH/DDKC02J5TKwrPmfuce6n6wjJwwSb08JY3N3JP W1hRyXxkK5mrX8XRd6G0j+WKcOYCgmJaWJkuZYgy8Y8sj6GdHL8p6MFMNrZwn9igCUIfvSpQGpnP /4uZn7UN9eu2Zx+GVfPNyEqUfBI8d5eUUc0lT6HsoJOVDYDIilNwaG1Litsd7TaELyN0jWTqtugb yw5DyfQtQnjH+5CaGCWlbS8dUc+zWnrJB1ta5+8uRkzQ85Fd2S8R5HNKb5wAPlexJSerUg05BmGI mfAFHvBbx36R+23xuYqidTPlJEfTRy+4wIsEBEiqr1XWOFm/g/5kJsw8QwPUDngoAeubIrEstT+p kMFBQEQUZKV/da5XPh81Gb4NXkEEPIHW44GXYqUVEvDWULuKIbsjW+XDLrmsxKY692FYPjcnXBMW S4+wWDlLCnLzuA0RvMkSBmdWV8YAAEiuTlEo6DvopSMGuurnpWjIhrM7ATNV3gnQ5vNRM/QGpNRn rFhZ61lZYeA+i1AsF9CyuiPtgByw0suAJao5K0aKK1Uydd04CBwv7gc7cfI2RGc0k6uSxqg0Ozjb ZT9c/SWfqfS3M1Aq5Cuk4gxPWgMxumvn8uXzwR6s34kgJbQSHS1sqv6Glo86imfM7Kao20CPecki o4RmUxUW0c1yo6Z0RzvfweZcJ4Sf8ihBN5O5IMUfFmrDQLpeUl71d46UkWk/OUjc5yBY4uo0SEPJ Kk8+/6+kmREpQES131UV+nUy1+1ZstE6unr6uQpjXh9mPGM+lCGwxbhUS60+jl747HBAYPWsnCBu b3NLWMoxcDNtqPab8Q0tzXNg2KnlS6/u7YPy5vNT7KFAfkw+H9maf0cNk9aBl5qXQ8vOxsy51u4+ AKVXzwkbRRXkKwHktE1VhG4xlbG5+jMaVArQ0D4FSGhAwYqQAcG/c2IwbFyPdjvhifkPy05ighH+ 2aCZgq3EFhW9VD4fRO7lu8SAct3oN3nOOQzc6cBRCQ1RjWGbsj1sWVlilzYUwipegjnUJRdaijwu H2+RoQKYyMr1ObHWVBPrxFWQ9eAhj0KNT1Vp9s5RE0seJVnk2Gzw6ZNbZGXT6Abx+f/iOwUx/j4v Gj8Hvp1wjyWyZVbX3G6O68QZyTpfYQKNtpimKgzbhQyGhugdbVEhG2x0sOiFVjiG2adOJC6SH+Ra 7hE0mr1ytP0Iem1cW1dIsKzRZIKIBVr5/L8gCbIEAJ+xPtsv1EKc8gbqrhFFww0ryTRKcBfCgfo9 qULWpzniFSE6OXpRfaEkswnBa23gOE+qXIGbCg0k2ndb6Yp0aX5RAEpg7Wou4qbOB2+j3K0m9jN+ m83nI8fz75MKRiQNsFufqkiinCoQvRFZyKnqkvhpZAxNX1T627SowoBdRb5Z1f3j6LXQs8/GWbg8 qRaO96ypAhZ/MG7oROULO9WnvXN0VNGzZIrDP62JXPZW8YjYoMLno37WN0MW/SwYG96pCsmfDsVz aZ+iLhbdO6FhLkTQgu+Y0ubQvnNlM/RWjsPRCXvsI2+ip0DXr46aQgOI4uLoWd9Sn+pXoX/npKoP Hb5sUGrT9hBqpDUu/yhcZ/75VBga7Keu+lgODDzPY6upwOe1PqoQaKqW+luTPNnruBg4eN5GRC3i fNRynU7PTZGgzMZGxImCVqVb1rkX6RuTEK1L+l4e6KiS6puiZcXUpiq1qZ7a4NWTLALOccbnI7Ls LztBxv71uQGjYJ3se2r1OnaxWIkUjfB0+R7dsYs5sPF8ItC2yh1triAPdmIA+ucuiLwPVWMGuTTU 0a649xwY1Ju9dOATwILo2dbNB7VKTzKeZa5xfmY+Hwm7919XIDx8H8f40MkTAdXVa5MMd3YnzyHr 6s1auyvg59jKU7DyM8fzjl6NgbIdDSWANpsIOre2IOqoJaMs1vMX2WraS0c6t8R6nmDNB4EUzsEz ZB5UUUAO3TzrFd1kysw5yFBUstkKDT1HYcCem0Pzcmo+W7Vnr121mny2QkdPoTRmlYqPRse9nLOz LMDa0B8q0EhXbqNCKrEgqLTdRlezl/4LGVunhPAnSWhJGnwU4vlBK5LPR3qJF2bNpQWftHr5RDm0 9VSzC7ZvV4+bQpvESTRiFBCy87NssmJAugMY8x2dUXSW1ZrYFxSqgsc2O8yFBD5KXMCl05Xb2BTM oa9nlkvloq8nBwd8ti4F5dwnn49qot90RzAVoXt5pyoCwLCkd1LaMWwXJrLhUIvJfVl5FMbp2fiO OfD1BGRVzPWmjk5plwhS6zm9ypTs7aKXk8QAz9GMcB2rDAnirYnWZC8dFfkIW6BI1qTqLFcTab7d 4Ptr8wOiqP27I4/9v9dFM+bA2hNXITdhN5z1OWYqJSPY9qJGBkWkWnEccQ68PRGraROm8YxeEc11 DyqboYuHkjj1JfqgYWoD8rEMCphvqSNte+kIvEDXylTFE6W2JkW20MQWmTHrTf9lQCy58/WDVOvO VegqREPrkba3Uof6p+BG2a3Ivvz2vnwO/D0v43gaonE+iMaGVhRcwhi3n+3GpAbBKNqrg0LMmc5w Wlc0D8ixwScT5rE5YwPwRza9cJDUNUS3XpMf8K/GRPW4YaTHSz72+GRJMgv9SdFJWtuqxkCUoBAx yN5tsmJIjGKrKdNBjg72OHkFYHqdpAfguLn4zjRVShjsH8L6XcpcjYnQ5DNzE5ad72DlGICH5Ehc G5+PODS+BznJk1i4Jx4NfT6pctw72WKC7HERcq5GScshe/lZWH+rKJ4MbT2jZTkgPA7WL1B7RavQ WonoQ0AvF9H2lZRa0145Qnwgu5mT0GIOKogOcoM1VZvPR1ZM36kztPbHeOoxodMnIZFAiF0DNCE/ uapoD6ktONxhNwdWn1cdkMqiPjov6/z1cJ/gFlwg1ZHhNjreUySIQWwM+zfZpioEx7CPOjqtLAcc a9mIwFdKCB4oRD7/L/w1Xg9rmArZPlWhrPmmFMm4jnrA2FnqjNjbSleLmTlT58DtE5GaquvDfKvG 41sFWa8B7yQaNJ6YpGfZezGyoc4NFpSCK6ZW/J7gEmTYfo6X7YPqMR3CXtqKaM8Hdp+AO31DHDOA X3vUJxJ9j9shqKl61UXvVRrXclUhbXOMDLqTNlVh3C6sbJnmBD6vX1w9p0iiVRkxs1C4SIpE4euF Mx8fWFib5Fnl7xysKnaaG01VMTStKkApGyniqO/y+ah29V2Q6edVVn2C9tD1c7LK12WnJ6tdywxr loaj6A/LlbtzbPu53S5v3dELMpCXXMDGoHQMq3k3asxcdWCBPZxtgtVz6PuZDcBA07h2TePgTib+ n4rsgfEnqoS/CFqD7OUbWYXOn5vRcmNvR1U+Vn80U1IMlONJ9dZNYP0JJoVQjsv24bpayw2mFCcN Z+vmxFeN8FD0I4CigFAugB/robd3e+cAxWBiy7Rh4KDSFcAj7NnWPTefj8Czv6t8jGlvrBCbf2YV Fro7x/XcXAVvKY6X6YlLTeXQ/dP2H3qKd7QaQ0VcuSGWS5FLQPtUYyCmqNA7DtYK1j3t1V86cmFg JoiWLwYgg4hVXyc6XWJBnDSHH/BfJivLFBC9bp+uECAz5QV+bebpSGJMCIL01T8Fs9CmK4rZhzNq pC7B0Y4rUL1KF0B0b3Q+qKNEOQ5wkLAW53gEp9SZD4xAQdYiP7lLUQme2dx7lI+jMitEUjM/INqG v+VKzhKtD0ImtgJt3IZtOLmm8faSJl7t2etX6KrbZIUIdh1YNY9n9LMd6OzViVNDUrhZmTxZIXXM MjQ3v3SXodBsL/1XuxkVEbZwQBWUeRcqZYxET1g4+QH/hYCLxH22p4caOIICH7OJU8uWOjdFKMSp zWWJITyWpuPUQktQU3cpZupcHlNn1EFLJ+UINeTzKxTCtM4/avS6RG4I8wHrd+3Z7KWjm5C8kcn6 KAcV+/buZhrTURgNXEG/6+34lzeiPuhd+FxFQTuoyejiJK+3V3b0VG9PtCykXC5KvLawInwMECbE xcgRpY7riAIy70mSJ0PRCfOpQljIyJIiBkYVEowP06bbS0e9wclOMzOcQcM7+c6fM6RTvuFE2JMf EKQ4v8BEKEKO/aBrA29QYAKomUu3dxMTrNMwfXtknyzYCvpkxXG7RVYCFRUJimgXnisLkBkTF4ZM lKwcCYSsaGNUCeqrfrWXvXSwC7OUg3gbcjAk8k5THIm8Op+PRPJ+of/P4bDK050P7UFXEvV9V+tO FB40XFht2H9EmcF1THLoDzqTm1jsO1rgQN3F0pgNIoJHKM/jnQF8gY9NAfvBgVequIcGoYBKzkHJ LBsEvBqDRVJa0C8+H4Sj+bdiwHnx3S8HIIcWoSLeNBC+VBdtaw2rX5GSkhWPotlvJ1as+mImaELr cdRknXWEEAj97A5loswGWIYzMg9MYNbgNudtryxEX2ASiq6tPOXIX04o2zPePqcVaMOs352tyQ8I QodrPMuVVelNdOOs2CaUyNpSu2fPRjSlORFF78zweXn2HPiEQspR0jhm4rQfEycwSPBfSZkobCOY 6QxOwoYue2+ePGf6i/J7/kpzpsxSptyGJJHTGhvsAjMEPqFm0/kFfjznOkDWPlMxup0QtTWKzdRk OMaZghan4z7KTXNCo1ATHJ5bZVGOluZgl4EMyL4zBDclazmQ/PxQnRmBt2eEQxFWYBSKeJIXYEly cgJJOCslhJ37ZEqIHn1oFZouL1fRO9lKz6qKovcqDDKlSIyHk9zyqk9Ct8nDqbgwmT0HXqGIsCRQ tY1SuZ+yKEilJ+StkvFCIp1VFiVZoUgj6kLUkr9yBPzgBtyEXyWqOhdO1YDfn8zjyuIH/MuJYNjR PtpV+86BWygKHDytRnMCXGN+opyQ0DaeVgWNN1tWcbXdAFeqyXD0ZQV+GvUAoVF1shyLwTJazLgi f/pwZldGvKNXjviULMY0RlccnNql3cFSMp+P9L59UbGfAXYmwJA+UyHvFOnFmVeT9qY/n+ubpG3Z IRL34jnOH4ahFjCIt8TxBgwwbUDhgcDHzFBEbuKwLUSsg6vMpYVqtXf+K2ofm6QcDuJ3nZzTcO0w ecyhZejjl8L10yhhf4P20DKUvuttqbo3+B+be1/BEtD4EgM1Hl2BgWdoklDRWShTMkMcvevcINxR uajOadLy5rRC3wEdVLqxDPIqy1eGE9qGtiqRE9llQ3uT+cE5oM5txuz5fELjB7wf7Gv/5i0R+vgc 7GHU3pgw7ytfKQ6/mTe07ZM1mgdXgXVodi2msnu9o00WYtCT/NOGZ5ezqjSxXea+sNMAWOW26GVT nwP3UIhWkQsnTnMBl0tCJyWtLqB2RqUhtA8tv6yd8Df/7P3kzqF/qCBF54e3lQXhH5cAX1LUQ+4M +oxPVoSTMdTV2MI1cPQSFqRbkiB9DX5M2wIx4nnQmQRX36dqZ3vlqN+F248MDxt0XJ0DqmoHbXCW AgtRIIp+TRWa7BsUbE3V5zWy6uBK4biau9hxhR1fHSWTtssxUSaBM/UaV/UrbM3M1UebJ5TBgYQR kmGd/yWedgx0qSeU2HZz5PHJbRBXvUZVHcKDPM03IWocbJbOhDF0R03x8xpTdQjsqi9fZf2ATt+S ChrPx2iKgE45+fJty5dyKRK0TVGneUtgCIspmCJzV4X+xx09qWkg0XUuJYoASNRKznOTvlmswsjp giiRz2s41dG2oVxVJ6dZCnc8zfsuciA5qSSefpsjQUs1RzzLcYl2Hk+YotdIqme57KKO5Fgr8YK5 itJKtooAu7L99hpHYRWZR7YxUNfDQO0bEi0ZKQDiqLPAqwUHmMbzm5z1DhEBhZy8SD6vUVRHY0r3 3Sw+qFpcyqKWBuwu2uc1hML8mlhOVbR5AtTems/QawB1F9FJK6aXPksqVimua15H4+JotNfwqWeX Qh1jPqPNENbQWVWSWYBQZnYX8YGIGNUAcK1shlAq+7wGT12yuGAfT9kaw7uP83JCDWAV8H0n3/68 xk790fs2muqC80+xf/B5jZw6mgWLcnDXeEfXi3DY1TDHFKG21OU1buq33Jm3IkyOVko/Bx2E4jBF /EsMIIPrDecglht5lM40pTDca9jUhYWYszYWO6vk81BmQe4oeSp03V+DJj69vpYRr4xKZ2wakQVz VJewl/uRRL8pi9WHCU5o2/1ngzmaBk1I0n7haDHA3KmdS0BzhDNadn19TDkOnlOzsZWp3miSr2ow R0tcSVy0Nqi8sncVIvjEX+XzGiv57cQp0XROCKL7HL1GSjjlgaXrI32JdVhRE6lXKw5KGMki8Nc4 CXPUrNFu6vF7PDrfDYzgwpMHbhQuI8Qizu6imLe715jVvQZJ2GuF0PRMsaVJhITs5xOqqIySeu2f 1xgJh5kx//T7QZkayCKbo9cACQc2JhZ2qn5gg9BvAVJhoV52qjiPJTkcHdiKISt5YjZ6jrJyyVtA M5gjV9Hk+6TPOnQ+yS2+gTcp8K/hUb/ZXGflaXQTdDrh955bEhQnwPq8Bkd8y/Q1R4hpZ75z9FrO xF4TDqFXJxtVSGcYuGVb0QCCBcty3tdiZn9cGpJpeqZH0/MEQ2jtEeR5/pIT/LBf3Aed8AjIrf0R YKRw2Oe1lIk5kn3MrDZkqVCfVKzSq/ZE29A+DebI2TOV/XhQHTddsTlHr1XM7lYWVZR3NPc2bYdZ Fyi0DxAAgTh0inREZ7YAsGV9jU7yg9oEJG9RwxzAH8hAZpAUzd+nlpouBo/+Vq8VTPwWjK8p/cbB qKNAcbdl/bn8eS1f4jwa+evMzhLOgmuPRZBRlE1dIBjleqWpleVVOaDtraVeWHITGzKaJ2NtD60l jg4pOzH/ArKMkhMQiJ+yUCXBqdDsUr7W0nxRovseaV85qsa2JwchDk6MmqVmAgm4d4Ifdtz0maLe Amjbq915ikJtqQLQQMOWUzOd2LOchkdJkFy6/L5onkwZZ6VnvJjOc2RQGQXzdILiwbOrU2sg0wkU jATvD08qL77z+7pD73odd9AFB/gN69m4lj/v9L4uM+3nZMIUzUVXOQGOoiuOlrznSL3s2mwQBAi1 zebluDwvRjE6m0zcTI6OGv2OW0Myi7jjzkU01Dsg4wHue/gvqFwqJwG8hG/8Pk1dhJk97iCwPlSr WVeG18HnndqH1+zbNx7jUFSMR73zFEXddZhZ01XWl3o8j3BdfpRe5NknKEswT91EdJvRGlp79h2Z 8hCgh9jwSosYPpbYT7yCVnhRo1NCnsneOEjekvoFQikWdy4+r1iS4qUC1GsYeE+/6HTgs7pEzI8q gMF6IvikC9yu9eT6zND5XtMhP6TPqbwbzRPX0yLF20drr5yNdLbHoOJpg2jstromXCHOpdWIN7j9 lWlvHBxPojNstg4qkPNVOE7oqFep5SWU4YKJEsuAaS5eEIQBADk9tnzn9XEdM9NNjng9mcN8yNpl +EneloOj3iPwrMZhgm5kvaMlcv3kO6hT07wEGgB0tUJhCU0lBAUQqvKDnEJ7n3dWH84KejbNxaiA A2+pCWQMF/H5UcrnndTXob44vg6ojPB0ZcKoOU9hEE70SqHZpEAGo7p89ehtW11pNTcleuf0sWoy hDQ3gHC+CW8DNPusThaWABJmvnZPqM0WJ4rWsi7OkkqIwvAm2uMip5aDATdPiCma2vn8zzujrz9G Ml4VgEphvqWlKA6nTEjHD3vhBVnTBLM5t+Q9e6T7NEWBuAvsV6lvcLyo4DPlqxFdcH6Qk7uQ8zfQ BT4HFPLQOa+pBdlAn3c2XzcNnLlkGb42YTQUXTx/Kf9MaA183sl83XrVNy7AXwj9Bp+m91AcKR2R BbW4stKJ964rUVruv4eiinUKolh8CC8mSpCNt8B0Do6J7geamugAameS4NFJLQXz+Tqrd73x+zRN Kui2IleLkoaVmCrchFViavnzzuPrT5Og/vB0wvVHw2Gbpygc72jm9k6jVrNIeZpPwEmoDvdYpLzT +LjrNE8mwLgfAcZzip9AKYlJe+L9xlISC3Gwfh/EjQEMJzz+lP5UFI+LSLs7XXl3t6i/sC0owgfh F1FALuip77pOi+nmh9M7gQ9hAXVcTOmGBL5VHVpXq1n1woMgGeP4nb/3TFPbQhNw9L7vyCfsXdIm Ob857Z9RIkCf+6Ry+F5IiVilCZWRzzt7r0vTiLin4YPCp/O5xTi0JX/eyXv94VhZWICIa8/tBbl3 7h7PNJaY5mNzpQrvEHcve9Gyuy3vO3WP9QZjg+50R2fQIhKAJgUhKoDaZ6YtlLvJUKFFJD7u8cS6 5Tt1r4ssDM4e4TwbGhJtigBzslEhGDI85T/v5D3cAzl/h5oQ+P9qEryT97qbXZVevaJSiUXXXE0L 1Btk2qzq9M7dY7nAzBzVUeHoSGCopLHwDYjHiTQF+QESGK1UJNzw6buxphbVe0yOFE8wHnpgJrjq FUWYZ+vJeedEgevzztzjXLevzVek0O5n1Dtxj5uPseYwKyK06cq+EVR3HwKKKWqiophcEpTnhCv9 jr75zgZLcgmt8IxZxHsm2f+d8+9EHaPPR2+DIi7vtL3uRk5TOJV5DUCAIqYlBusHn3fW3v9Uw7GF 1rpn+TtpD1cAbR1b/io/OVgaWvHunrZhVsZI852z94QGbfdn9PpTRUclyQAEuGBRskdDMX6x6YuK opV65Xf1TtnrbsUHjXANSVZ852Og74HlVNBWiULysT13aXZGwabdpymsiDMgRzLhAXlyW4tUZM3L kgHAiVpOUUnczvJifikcvS0Og6tZSELMLaHEzzOqEVLegcOolNj3w3zplYMQSjS9RUgrBy6nc2b1 toUtn+vzTtbjsvflxMMIpdzxxAbvXD2swsITvDkBpq29bm1luYY1XD9NT/edqscoQ+LMTUqeHH3f LbQB8yIupRZEPkW4FITHGbILBY1xd8Ckn+o7V8+6gVOGNfPxrTl7zn5ZLJL9eafq8Uj+Dskn7k4w eW2ewpBcerrjdurQ+vV9Nw1X16CwZw6Y70Q9fJI03uzi1+ix5lpU8eS+y3slqaICFdTOdYNTfSA4 sAyvDL1xNE20s8iU2c+UdRU2GuV7Lqh+QobPO0+vi2r7FUQNybT7PMXlcdWgmp9P3dO6c3EzSZMm Ou5fraf3mDzJzyadpSPw3Ho4xSc2aNDzJE12gvhpdnzg+6ObmSGyeK1CidL+vLP0ejYZ67EUS61q UF/AQKSFd5IvvmawnFb5Tl3Owh7IlWyaopBcpthLKEydTyX7+ST5U153FELiNL2H5Cit4E9fOfdn 1DRBQRl6KowLGmyaliQSGqyQ6AQx5yMZSJDvOz+vSzqAazX5oAoUtA45ZW2v8Xmn5yFSHd9BAbkb vfgsvbPzEHQNShWpCdWpgVfdcsfsUugkQ8N3tsfDiHxyMe39NdpiWsCanfVCYcWTA7cqrlVp+C1R GYRcvYuVARXweefmWdcNBToi56Y7ABc4jaWhU2qXzzs1j1dy/QoJED/3US6GIEaiqKiyvfhUV/ej aYxriL2HF5/eiXn3rlt0QvNRs7Rg91Jp2AUxgnM0Gc94AkUAt14uLCDkBSMoeuNgmiaPpkVDVQ6q 0jWoiwtZOPl4sOXS/o4wK3+5u5iiULzpZJKEDTHQqRdvStV7gg/ykzlNIRxFXZZtCvJ73qLKqOe/ njgp03d2A2qvLnGljDXhovnSF4mb/bwz8roLT67Smw9aTKD6dfXwSvm88/GYMHwnwRBYavvWxt/J eJwlZXQ5Ofq5WVGzQoDb0c9w+Bb6+Z2Lxy2nWoH1yffTJ58NVuC9Mx44sWxfJuKJ3jNMX5eaaIb9 mtQaeWfiEbOwBUph6QmO6iz0njj8BIpMksAP+rwT8X4VVbLSHFCN76aL4nDK2broK8UzmuXD2IhM giknnLw2/k7Dw+/EoHGBlX9H23TQo2vk9cACqEKgjPtswXKu0FrlhuFUUOILR2mdtDnn9kEHeKXF Dw/lUT7vDLxfYTjfDTrjKNPZLL2H4bArFKCgXX2DmrzT0tUeJkMDyHXNUlQXT+oKzClI/Zz7Apz2 CSkH3D1/iFdIIHMyGgDcZjDNoS6ZAQqYrbyz77p4fjiTFDUto9vWigYgMZ4obHzeyXdYFskbd/x3 kRqcufZpioLwzirBkM8l3XnlKTPZL7/WcqNmS37fqXe8QTRNOY872jRBoROgUZbF14J7msybOnss IJ+Suu+cTqIJ35l33cHhEA/2QaWnM72DyIu68HO+B+GG6HuCyw689L7zFAXhRN32XKujnXMzVhkg aEY9gItxNz7nO+uuX7NCXo8aCZOR0CR6deAw0lnuzJTwuw0VGNrJFLZZvJCZ9MZ/IebadLnXZATF szIGpQ1RQuZ7Rp27b/jFieV+FvR6bJ6iILzRmKhU03Y9cdNMHl0u9p+lHdyar6ewMK7ge3QBwjja VZfO34a+MqUToeFDpjFk4VFuLuhCw5P0IngRS3zeCXf3FO8mhYQ2vLpQbY3cqJd61i+fDzaeuV8a sgASd+05xaMwXKHTcM9i6EbRGoU8Tre8arjTrTz3zrbrV7m0bznFcHRkQccD8CsD6+PMYTUEBojQ uaIKWKGr4U5XFDV4J9v16ycDhUcbFDuBos3Mv57P+LxT7fiePk+EETAIB/HFQJhRJN4N0dOroZ2b UE9AO8/NOIp2queyPhMFFGYciQsxX+WSwtHqKRsFrNqU/iL6FFzj5FONXRZc7VCasBNqUP7onWpH yDLprpX3Hri0P1xQGzhnwnnPnzM/70y7X5076gACfLJALrKJCrEqhNDVQhkoxgWbTkSZcQFllTfj J9TBcES9E+26qb9AnablO3o95QSBG0VwEYNR5iJ0PlPgLQOgddI9xz5lAok/70S7u/Vg96rBNaVH gjIqb/u0Pu80OxwR61ecCVuUceu97yw7LONJunkmtCk5OdEEACc1fOgwALkMLaioMN6amgfd5LT6 ldMCOqifPG6LFAzCeVNhnEpakET6odDyTe66Xjk4y+mmyk4QhmVGxosYK4Kfz9X0eefYOYrpolYX 9DdTvujn93gcBA4aFpYpogoE7er1caIIAVUlK7AavPLeGXbceNtCgnlHW08n84JZ06Kv4zrL3Oq9 BVzOTAOU0tkL1sYjGeOdYkd4rowv2cPjYNinE6GylwvN+s87ww6Pt+/srp8LEC6mPk9hXZxc4LbT 9oIKW4wynR39IjCIfuN6CuviFElcqax0R7/ykHzBp0Rq3buasneixFpDyW8z/xhPReWdXsckmJHm Zuecg9UKTuDUhX1q7fPOrmP3YXxNUwYpZ9KTSPMUFcYnORk03VBJZY/qnIxWHPsEHK65Eb2T6/qV yj/387ijz1M7mUsSfvVM5+pb/fWEstfZoeVnp0fFDuvw886sIytDCYvwKrJM47ZdZXRDjY/PO6/O WqQWQOnMP2Ed+Lw2TTFaXMSMOrwXTKaWRAsoYCloAe2muO3CiJwVp5Ow5XZHr88hXZ2FCIwKknTf KhbgeBq4cvdaNx7XaoqK4p3iRFMd4bm9ipkA1haSDmTNd0rd/3TMSQoBjN1mKYrHs2jl/eEdPB4V MkS1TvA2nMo7n46bTrPEebfRiwUnuUurkild4dokNfMJqgkNBn5wczg+DJfk551OZ+kZKOuEqXDQ YgLheJpA9/y8s+nw9+b1dYRDvG+QM61ZCqPxrauuXuW6vK+xI3HJIpSnbMp1LYaMM7jcVd4LHH3L wWcvw96Wxo4njDJ4ObyfOnhjbGwa8xfVHXxNgDakOgGk430Q+qIjMBcJoTQ8HoSYY34HBCjP1XVn KQzFC5Ui63D7y5mS83x6u42DmV2ioEUVcYZgZ29Vlek4euMAcSBgK4TQ7bGTotET+5zflKEpLrcL ytx64+Ce45G0GtcUBy2msy4Jd4c4xMDjEaLg+55DHoWWjzN94kCc5Yx+Kyq4hWyaWnrqTmwwkOrz Hoijv6OtVkhh1ehbroG9kioxmXUA6W2NgwLME3RMxjUppMwgvibiZk5xM7IPWkznVCIF8MzS5uMR EtrPb0qQgXpQLnK1R1E40/oubSltOeq9sTq3KKmkLYf+sGYpgqgwuj2zM8hA0OjVuXWSWmiz8mCC zvs0RtD51NbJQ1zXwHhSGaRHJXH5g46qZlR18iFKUMWdviYe/xdtjB31s5Bbqx5c9jAIp1BdLabO iiB8ep1gZBdqRYnIuuQ9Komb0sUYed7RT6Z0ZhzcMcpjoYXDs/6k9cju6MlUENN6nUDEsSgIJ4Lu pPeVnnuIolkFOZ+5kjQ9xrkx8HxA01zfjaiM7t3MtxPVQ6ImO1FnTnW90SkpGYSujuUWC7kST3Di gR6F4W7KS+dCGy0Mh4fCOTuSFP02KmnV0hXQftC1a/Ux+ZL8b4/CcOW/s2T1orKpSrOxyXUCdW48 HvFZf53iqF6Miw3rEVtzKF15KPZ5XCsKgLCtoFLRwNA8/QOe4q2B9fh7nfBrQz28Nqkkb3oPo00O uuYmFh94Q5fF4mXXo7r4Iut3NhbqJuia0k6Bb7gkEMaJzfB8dNt9VzJRK5zr3nY9DMMBXW3rmSdI DTsks0/XA2lop9ONsIeUTWm6rykYD0fbeRDhAbEeBxSKy92whvNkeCDXn3mSfJgOqJr1xkGVgCq2 VL/CADwsYWEwwlos0J2sbuP5YD3N22YhNgrFi/4sqLA03nmS0+0Dvrxt0j0IFpdwiJ0SxEpAvalO 0ONAnBOVh0lqjpvXVRZOIJEF2AzWVCEKH2rDqJ4igKDJ45UdnXrlaOMxbtoU1CRMUuL+Zz1S+hVT dVJufEBwmN8lReEQfFy+lYL+HoyrXZjBhUuck8xUnq6WqKiwHrVITAQsiYd5FIxvFcVb+RptpiZ8 GnLj1lsTB5YqKpuKBxnh4ZcwZEkUHe1RdbzybKqr30GQp4r7gknLySLxeFQp+A0qOJ+SllcKeoQa 77Jr3MWMQBviNVWezpXHHjk7nCtZKbNHCBWX3DaD7G52gKzQgbhqFmhnIYycxXLdxMcUCPVW4FIu bPxMF74ogtARfNFo9yyfNiW+5yxkbbueXBuPR+vpm1OegZ0FGcInKozIF2Ut6pAAHaHRyUp0Fe02 KVxUdDu1oKLi+HJaoqSROTqdDIrb0MAHzpc1KJbz+oDxEawUaM+xvJRJj2t8UZQFs+YkLE+/WB7c rAJEAy6GxyNi+XcTIdNsoCffeSOKyScVDkt+aNO8YNmWauNeen16tDmi4vi0nnkTMJqjIwtqI+mH NboELrB4CJDPQAlfarvOakk8zEcUlJ8L+udbw90xT3D9sO5dzng8CMr7L5EC+gJczNOIgvLeVBpP TkOoq/g0jdSuo9d26doRlsathzClXMvRYgNo0J1MhpiQhVBwLmndQ1JpY+uQ5evCauh843uCaWI3 ikExBsCD2UMAJkLwxtEwT3Fp/DuGArZ5rnvljRgzjlhz0AwZ8wQjiOLz1LrVnvIorsQ6otK46Jur yE9oFbM5Z/KSzgnYF7vBFdNUizssnDQbdB5oQbp7An5cfE2AXG3UQh4ydqZ3HWepg1NL8s/5Hzwe dVq+IyjATdqD8B0hUKXrGK+u3F7GRdD1sR31NJrz8EcUkTucp9FQ8Iys0A2SWoBUXHCshBz5mnMa Eb3TtuD8lri0PCxAPQhfE3ETWcgckqwdju9NqCML9AQ5wxGWxev+OpsGTA9L9XbUiOLxTsNPcAC8 Y16KUzjhv2yzBLqFraUoHp+mvZMtzsw3zuywZj5nEzktZ8udP0d+gwtn+KRkNKbQKMFEbuB7/uiY A/maOCAx5wW1Nx0HWQQoGc//l9VEE449nKcxonicoRIUzpyYmFnPkfJF73421ZsJjygeX4I7jSb4 Kke/63AvwOyMxMQT4uwuzceTuZ5f9ByjlG40Ij4FC0ZUFhfwIk1JPk5jkqG6VStBK/WEFnj8v8B5 aPY7byVzhGDxQcesupz501O5gk40CKWAWr0kjRGBxcuOspZ+7ryThmUaBgFdj7IW91zBYQUhPlSh HCre7IX/atmdC4V0skX0AE6ms/aXbD6RA48wEL/FAsMB/3SAHGyWoqp4E+fH0YUAsO7u4YBadkSp zGayVyMKxKdQKgs1XI1kKuFkQtBZYCpBqPiZJuNSoXYOpf6Gy+7OEu4hfE0QNaHQi72mnVddRRuY jZmlR5g6nv9PHTtI/kMB0aYpisPlfVOZ1yscSM80uWZYA0Det1wYhyujq/trtHzlJCaQQSxSLjyZ L/0fAV6RSAfwz+gJ+abr9spBHE4xHvKCIGdP1pqYGfvMNgvA66xQfEBQLPhVpsNCwUHqMxWjVEy9 d/tMyVWerGmn/0A8tFvxaYSlcfXqzOVUo4MvqDODmAI/BKTSOFPncMfiycjkCgJq90fQKR7WxllO gUkFB5wHbK8UkAVFxD+nOZ6Pqirp+xQ/ySD1qzVRMyyOS5MPSZWkxltyq7xzyVZncOImUVVlhrqF ouHv2Z/RAvGzIGHC2ZY1N2vZRnQFlx6ATJCKvGtHPvAMMSrEhQ1ZXI9smKGKb6ndCJz1MyO8+LPx Npce4tOL5ZlRHC6qHZ2z3HsxTcvrWHlWAgw6rxLgGfI31a+cVa6xHD0OB/mIlQOeTyurMjwaRQsy /y8CtovxXXrlvwQeT0KXfdA8Ye6rRBt7weMR1c5XE+t5ZxeP1T12mlEcToGmk1E233a9Jz+g6rhW sVDhMDWsf3A3uzm+9S/Ht5PVLSjRMl+BB2Xh1hxnQ0JWDTXfAqCtQ3mo6jDD6jgTOq5IGzhP6OY0 SfyfSxCP/4uSqALx+bT1BE8zCsXRlKdM77qh+NXnyTvdUJx23JyofxTHZzKRcZEmDOW7DcmBUByE CmmrLUjbTpBF96NAu8ggmzFCxcCGVYM7ckLzp8k/qszPjErje343yzN4oF8CdDOKxWkx0/vIPk2b nt2MxVu+tkAFUtiapigWp9YhHA+kb8zRY0zI6UFanFAeOqHzwmuIizfKgIWeytYIpivXDKmbhISd qCP5IDD0QD1+6TyveDzocF4IHV8OkkjtInlmjFBhZPk0pVrNnv5ueaRz2+1st92MECp9CfGU8jPa aqob3LqhaeqQabTVVK2CBHb3DZ/o3zmjULxK1GFRxKit4ZpY59bIJAVDvRKPR3zpb1AB/iogW3yW IoDKoCYWdGA8YdnTGy0tubYq/iCfpagubunvTO5ftr+0nkA7SLL8PllX3tQ+PNn1OaQIV98PzW6S LT2jUDyxz7LTtKFYKL42CDNcH4NvGaV1vuc4Iyef7f0qh82QtUnFZ2GPVZmr7vYtHQcLxVHR15YL FQ0FuZgGLuDoawkS9RsXPlQfIapnbMTzQyAgKD9rpad3sPXCEYGMlUvgCW0Quhc9iml8YD4egcJ+ VcRRumS3XrMUAVSIXUbYVO/53bxIMPLaF8bjkfiMIvEhaM6UpoTGW5hDL3hRcP3E4Vhb4pTjzN9w KKIjl5NZCKufUSDehO0twvbyyuTlhBBWBjqgsOP5aDXdwpwyHWR2jzxmyNpENEj+qYMMyzDyfdYh bIJYLqQyQyEVocIS9bltNPBFgXgvQNx06zyBqCYK3YQOd1u0gsfD+llFbxztOgqHdbakOAijks9e ZkjbzojH/xVgMhiFun2+zc0VheEQrseuu4XevlsyVP161MNrc/+7FWFURrGTe407+q47kXct57NZ D0fIMcX6gdwWEGMQ93B5EEkUrBCjgkMfCfDwQbME5T4xys8Pgsf/i3oRECOz3axuxeXw26Qz0k8x 0k8Boyn52QSPCs1SiFFxKL1D6+fjnTGh713IR6QFomuGgco3cUAgvXa8E5Be+JqomIIwoOPYBCII UbSO8E3XVKb+teD5f6HnSMpDbT1d5MUKRcTpS1ZIKtLhNIuL9o8LWE0djClNU4xREZLAuMBLXJbG 4PJEN1BIzKw5oXFUzfQ74fo+87tKfYKms7bwNUE1nEhMYEF8EJIH4puGxFx8PBITTV85Hc7kPi/R bkUhOP+mjivbgybSLRU0jfFYG2yLLVcIE+/qAzRVujl6Ze6EZid5tzov1CWb6pe4PgfU+gdrTuXB q66wGk5Y2JQPCxcia7tnws+PyrzlBMoFz//rZJLm6hD+wOcpVBMnYjXP7DyWE9y5vxakiX9kRoYE XGHTimmbwvEUQ6OW7KlKS+cPSFS2O4s11clWMuD0qvcSGTbIbzUcz9QrB9U5kqUhNIIBMn/SFIez OnKIH2oX1YlP+C8idMDALhiR2FRFcThc68Ec29cDgvYTDnm6EBWUSjRVsYSKFB3/1zuxwHsZvUY6 kZ3/reQfAzFMpWNId5V1vXFLovL6ijAqi1h6lOl9YMh0jplmrm0nCsHjETas/3wXxXFANa9jrhAq XlROyX7bKepnJM7fWgyNMZoxNFYYiVdBwkxBpX8pqFT02TO8XYi7ONdoEdkOlcATYuImHY8idNZ9 Fyqo0GUZDGkN48f8bYGql1pfang80g37Ls4BGgYnK5+nKBaHtjFoGWV4cS4Xh9QvafhtqtN2n6e4 LG6KRabwtB+FJ5jQoS4uZhTEPnVEZRq8ZSD3M8RHHZ+C/Apf9FfZqRaWCyqsSqRNP6Dbxrse5bkV ReMrfe87MJ7WeNZTFI135iy9tORNluXkzZxpiMkyAT2yJTAe1sXVPqjm6FMfRx+ojZwddsJHma9A ulRuuJQTKUjtkCFZA7igEcJXDgDjdLUD8NwHpSp0eJGPweB7BnCC8V0VRzj8s9sFPK2wLI5k50zO dDZwdSVI9uwsbckZKlOaqCgaH1kyvqaPwtHL4h1mGgSxA7wFHAkLBViyKIsjiNvPrUckHL4oam5y hhpVVDioPoesLvG+P/cRHg9ig+sx4lpYOeWr6bCjeByKo5cDzIbUvC4ju3cPoSrUTRkc7KgsPqRd 1Mdcd/QT6sTcY21WVBaoRMLQDSzfH7rjQt3MKiryON9RPK70rqwqqitw6Kpd7kpgC4xa+XhQn+vf /hAou83aPTTYIXOT2aDMaqUynrsrF5W8HQwNEQYTrY9VxrmMKB/ko+Pn4H4KE3Dcd7DQqVPuB2gk 5UK1sNzWve/ofrBD0Djr4UXSFxwEdzqpnUyQqMa+3wNyW/aPjgoOA/xxNk8haJz1udmTAS9GTtPl ZqbbazSIm5jK+I4C8pGMVC4GJ0cLNSGvC3AHodAgjmVi7E5uBToYdQ3LutNEV2N8T1SgY/abBIhO yblR40SNwtaXvvH4v4ib8tDCHVzupguL4qk+7c1GPktRtfecI2u7SiZbtZqmf+BTZjKp4/R4+4xW WqewD7QKqERTtOkqjb7O6+6y7imOAj2+J9Kb2YrFpw86nM4P3tjsPjui4vGIz+JRASOlczh3kCFt mmLAuNAW3cWLSDQ12k+61jXAStg0xYBx1S9BAfXRVxPQuKgCCHixxlKtE6cfICIb/aNbocOpjK+J EhcSWdoySOb5PQm3gIBG52oaJ8LA8xEm7BvHg9LBBO/IpinUM2xST3lkeYoRWirk9azyhC6Jb7oQ n6K8blEjwkaHGJ5EBcawheJF5ypLS/kdqrwTBs5og7pMAX4xfE0QOmUBUxiRc9CeIwdbfJa08Hgk 0ndbLIXFggVg/52mCKCSKVPQ9y3QDRXoqPFEXBcLdJ2C+JymUM3QdGayxMA42k23IbmahClA+XIx EkNVnPknMPaFOJ6m7K7pjYOC78bZtGTzs2QgSiRmq8bbzIuPB6ndb0utTI9b70Tt0OdHEGj1nLia 0rUDbmsO33QM9DlN/0KoWL2Eo7MPADhsMo08Gxx1cQHwUYXsVF1K9fpF0eUZ3xNEmKwTrF7voGk6 Afhcare0gccjYbXvjl2BVyRyIJumsC5eyLWTEymnaVuwBBmK7epFcy0jbu5/IMWnIVVmvwiVDpG1 TcgKujlt1Kxmesbm66CbUebY8Cl76oUjiCFLBVXdg+rdg5M67jkEJ6gDjwc1lfTLJgJCMfAR8GmK 4nCapZ2/Y7iwWqvbXIBhT+sObZtCDVxNETxlFjuT8jPeaYK2Jt6QsiBnq8jypgDN3tn+bR5elsT0 d8cSKiJo8CQv13VkQoWZB1U7oRQej9Lf/nXRneSbW/e6jqQQnUIlqtatMJeJ4HJ0ymzbOGTnL+0m V5/COFw0u2xgQ2nGWEUF9i4QuwDXHVROHfQnDAeuq6AsB6rwD4rE9PvZ9tKBUxuR4qUkKfZRvI6A i5MwdnqsgzKbNj8igof9WlZ4tZO2XBUjYi6D5TAVaUoNBME5aUBWJqzSCDnPr+UTFobkuvVaGs94 E5d94vJEkZBzfZ7sj0kO0L0oGQCkUnq5dNfFkBzfFEEw2CwvXBUcdDydn6QoJl8z8/koeUlfyUsG U2Snx/snheY/gznLnq5Y0Lh7VK5byUX+QSTwyQph403U8SVwpuQkNVloG8EFkLyWSsSYJov07Qz/ swJBA5c8Zt2AIgkBEGN+4XpwfJFZiAyGRh0k1C1+QBBN5W8VDOpfQDvKJysslxPui/jNa3bzdqgm cQ7svWwIkMoRIcXOm7LjNntJjjZZpUKrDOC1DoOHEytU0so6BE1PvEhxh1RvsidPhBTF512uNokm ZRx0bM3zyeIsAmeA54PjvX+zEVD7mfkx30pRhM4g8Gy9bgo055S8CjRDOpFTCyv5wopq5rNardwI U6V97cKTZORzA3IXQue9iDBFNgTcFE+cDTEUpTLspPCbgibMwsKCDokPmqsKv1iFVSPz+SiZ8XU1 tA1ZRgSwz6critTzkMz/0hxBoY70sowQlJW4/2OgRgcoBA3UN/6rFTO60Vz6Q3M56TWqd1XiayAR MEkchdw9KAX+sAQgWYzC4hK/KSokEF8HjwUbbGm1roLL+X30/Pt0UWrhS7On/mygDH2uonCdmuUQ 6lmGRCSz0Kh46RGByshZNVdRvD7VAwVF+44XAwwbjELpWsg0ZFKbISs2CbHD/0U4agf8zs1eOpLL YrEz09+Vg7CI7SxZ5sz13K98PiLC/hLuwQ2xWnvOrFhsRV7nlBEh+IfdEO7DhkzZJqv3uw9jVyCx WKZQrmVeezeww086ClUVinNBy5R8vM5VDIzOT8nVWQrSJuA3RekNS1NMIDEYNWidDGQy8TwRS+Pz ESLxW5wVnk5ge9+5CiP3pabx4/BGWWyRg3J1RCLLVeasGGmuFGFcxxQEgeOFtJxF1SHixX4o0jdW X5bsJM9u2Q9bf8lnKv1tDUR/WBuE/EEBWl4lJzng88EWrN9NGbZHE3jxPlUxuJzYA0UIEmwvydsN ZClJFrle08AUglqML2yJXvuSNgCfphAXAz4sVDO2RDVRm16oDudCkJRoeaQN44uCSpWYZotYMg7C Ja4TkHSZl+XJ5/8FJmNAClzDUyDOoV+n3Lrzqm5rCuSl19FLujgpqqfJhzIEtuiYWt/jLX02eqZ3 4n9O8rmaxLM61WEAokDe4MY3tDTPgWGnq0Ccj9g+KHFupYhzA94An49kINZXsjPpHDifqYpC90Zw S0X8dCFlXq/qeXtOiM6KT1WEbjE/lz0Mij8eKP4+J/kYTVD8M3ErF1uBoOcxalyPdDvxifkPy05J 26fmg2aK7rvst5xjkc8HgfsTMnAJYpbGRW7k2LWTFVA2vk2rJpXqVQaI9pnittpYXR8VgRTFqmqj 39HzZyjuFKnct/NbtGT0YSAnOpkzANP4XJVm7xxBgeqvJMc7wbR0sZtk8PkohXaSHmcFAFOcWD5V 72E7+vtEUOfidStzXOxUzSATFOiIuqgL0fVRwQbk+QOp331HA+Ctkk/uJDzn+Sh4f6nPDL0w8Fd+ dns8gkazV/5r/21AG23g6QI3UKo1YYVWPv8vvRqGrYgaGQf6VIV6iFMd0Vvia2W7JTz0c2yqoFTg GzBkfprA3zYW2n5g1Cc6b5uyVbgMT1ZVhYZJmzhvzBsMvK16xcoHvyiCb3CubMroC/5DUOeYhO1y y/P5oI88f0nWnG8HfvJOVSRSLomR1lkC5arKM9sGXCxxaKoAj7NVFUbsqu9BiveO9uKkpQ/5np+J UF5Iwh5gnayRdALzhZ7q0945OqxkC09iIwchFjf0IyUeuQqfj3pa39B89LQqBF58qqKAnRUBevhY 3lxGd9T5SM1lEdd2amMODTyt1y7ZVxvt5IDP4p6on+MsRIV0Swf4fM7PRp0RDLVb7FMFK3TwnFRK rvCktMGqffQD+6HmX+fz0bn+i57eYEB1Jd1z6OFJxRo4iz1wlwsL2nxnVftq7j5VkWOQuXqjonBH P2TPUZcXsCJw6to4z9mLqIW5I90nKH4vF3Qmwvymv+7A/3E7RWA1pX5/diWfj5RGPFxnpUtKudc9 NzDyFG0pd9M9InpxWDnmzM7Ijl6ETqPPVVhpF8gTjoF3tLmCq3Qn+wU58znD8xbmRVQrXGZ18P8I G9SbvXTgFMDODUoXPqhdCjFmKWauVvh8JO3+jQ5CO2/mx8szNPOsND1l8ciUuNn95roivUYwqoR2 vtLA2M1TERXZDz56OQYnCZlRpKX1MYSjSpmibRkQPMjmXL7VtJeORFzZNJ2kg3IQUIHEQFtXm88H yU35TgMz5wCoN5+t0NOTUAxIgriTLtXpzSJ2TSteJRRTbLZCU88loa0uH2+NNlvnJ0DPY2u2FvTy ZK1gYjaoMCA3vL2uZi8dVBjIt+pJHvKJs8JAfcCmAV9ZR+XzkWbi/NqFBboTwBH7XIXldgtE55Xk 3ibyAxoI/2mlLfotMITGnkP8vd5lVsnROUXlhF4QDiBCb0GMTJkgSMJ7ysJ+XPE2tgVzaOyZqfQD nW8fDPF5wjO1ck4qzecjQrZ341l3PgnsAvzMpyqUaOEm7FpWUo4guGOjJUk5HW7Ck1mab0AOfD0R 9AjMWESZaW7uDpDeOldcTk0OzaiODhXbC8FCyAdLu8YBhc6i/KZIbYuiP7ORc0zHZvYE8YvKZhwk S35AFLV/yyFg+29E2j5ZUdS+KfWe52xWEZ2DuTKv8WKrDWlzvusqgsKY1nSzULR9haLQt2m1Wt/r hA0sHJ/THSBOCEmdTxzUMN8SSNr20gF+QS0J9eW7REXYmIDmp5wTst40ElS+oCGBPxd0SG4wGvh7 IjCj+E8p3nMe2fCNZTeWrgX0YFePcXtg8Gn8ZXSny7ijZ4MngmuAIbE3D/UIlU9h+oX8AjVkWsNp YdE/IMcOnyyFrjLkV5URtzF1Rmmaa2y0NfkBUWfiGxODsGHg5X2uolJ7L+UhPrLIkK67UNvjAcUM r8eELp9LJXY2kn18qnyIQeSbk9GYErBxVjDr1CTsj5q5GhOhy2cuCkOpHcxBVYZ6TpMtGbva+Hy0 rtrX2T4pvXVtiHNo88myeB/ZFH/yYLvG0sEHVHwil+RTFesoqg7Td7mj5TjnT1j0hUGRISM0F5YB VvXIrCti7Ssqtaa9cgD5UMos4fftwu8VkoPVDKvq5vNR3O7xFUMptFBxLflMhdgY9PFQOvZssIzm M3UurweQNr10HDh9XquTScdRG51Ks1ehIgnhDKsDg81FlYBkQFEvD4Jj2L7JNlUhOkaaUvIBn5CS 5m12brI8uRVBruDzUeLs9VBSkKVt9ey/KGwXf7KudIXN3X6hUuTPKlcLs6bEOTD7tI9CQ0aLiqNP FawcqHWFjXguqyxG+6axMJVusKAUWrXirxzcgUwGz8ttH1SOwc23zTYu8/n/om2OO+d8e31iqyhq JyUY5ujjTtV2xNXqtx66CRnWVIVRu0EYmpnMt3GRaViVm0sIGOxzbksEfvDLFsx7Cs5VlCZ5VBV7 579Q/Weldg1ZqwqRrJLBXmvn81Hp6hvWD+HyVZ9TPTT9nJJJIOnenHZNDKiC/uDWqG26T2OOXT9l z7G2VhVHxzqe4HZAZowbEMqf2Y0aKeAJk56HtE24eg5tPzPRabBL8cEO9bMg1T5lkT3w/fwWOWfE uQf4y9e9KgfOn0VVnHNXFT+rCJCyemixgjIYEM9URRH7KsbcNsbteC5AKCjvOprwjvCIU5WdEiWD clGoAF6Ce7d3DlAMYiQjILJBlauTxRIOBZvrzef/pVzGGINky0s+yrH7JyN2UC+dVVPX9YfprlEC 7ySTLsuh/ecQegGC7Hf0ylWGDQrVTMtGO0IUgC6IYEHofQLe2z3t6nOFDqCz2A4knw1WSCw3nRMX SpAkILWe+QHRZH0LBJ3XWewK3opMaAOKN8ttreV216XermBxTDvtWpdPV0goVeO0bom/crTpWvWE xBuiEtAtPn+L9Kn6ZqG/UDAFtSHXnFJnPnACBY2befOgasnJabCRuUZQDFEcuoD6CKxA/9/EGdH2 rJcomQMvUATaFIdfw4lIaCL8uCyeM7nP7N+qaGAGKgFbJjZt3/Ghtq1Wkwg25xI0CC0AxwhBIdf5 Jb2Myoq9dNRvZgSKXhM783ia9avUmAVQ9G3yA6KgvXxHoiAPtPEc7yE+hmdWSssZuAk/m3BqSyhI OsklesYgGww9QQ3G0LI0OVq+mhwI1Oaa6JGCVDrPKjKHNNomZXZ04BVj/a49m730X1fhOfG6D1Zm gDUgk+iOumhgC2o9zJvgQBm87/Kc71HUPujjWNWZIKYPd7uqVzLWUrkd+8MWVoSPacNwMboK+xNg tXPRlTNVbA2ufgKKJf/UKkYFzaABurhkm24vHZVkhGin5MTCjyuNjQHup9QEExdWiGnP30gGxLYg Cd/JCvXPGzF9pCq6nmAzTN/eySdr1uaYvtgdVIF767oMOV4A5Dp34VQn8Pz+Z3/wyJqIj86tBW1p ieqrfrWXvXQk48kTHl0CG7Sw4J60FG6tzueDTfhLegK32yrzObHCgnuVnre1IWjc1HxhyXCWNHiB RZM+KjJIseaEUQLTIz5xjvR+5ov4mHMboiuf1cdhwR1mz4U+iAa8UsE9tAiVxVUSuj1ddPsJKFDU YuFgLT4f0d1+9bxQRNu9P7swrLjTOLwJcJwYOlTDbaPDYhYEuY1W/MSKZV94FybT906Pvvf5uTct qeCmDjpUGnIJLaSYNtRkYHnmXa8sRF9gEwrcBs71LJlY2tZXlUOhIU5pwZPiTX5AEDqMX+TlSnui W7+KjUIXoWlu2AQDkOl951a8RZ96L54+B06hiEFkiJ33uqO36CeMB+BMBQvuSlwW0VnAMJz/z/nn zbNn1DrslaPDnXWryYrfIkZVESncAuuPgxkCp1Az6nzyHGy/lO+yCp1C2f+FDKPP1GSvijOVqJEp 3EfbXr0KrULXMIiMeqkS/FCec/K9cSJddehBVjLSZaYXPVGj6DpZSjgUYQVWodnuwUHEFEx+tqqb kPnDNcKcEC360Cw0XXVmMuk3+UqXCRC6hdLwrNNu0YoyEkMlnIjIOjFx2Hdg+hzYheoUYTHGBL3m UxVttN1BMaSj1A5LJ6PiJNpOQXh3XYxaKvbKEfJxawNObcBuvjIncEtp6hosix8Q0SbG17LC0X4O mAsnCgxDUeEYTwRKjSoF8cwJd/X0ua5nWcVSMHIjsISHo5O7zt8Pxxikz7DJcd/j809OGAHcYR/O 7cq0SuL3RJRKRldLmIblB3uG9ti2SnLl8xGc75fqGRZ2rc9RFWqj43Y/Z8hIDmZgtM1INPM6kIQe Y2Negv/wDD2BgsrI7UFqn4itKGtmwFAANrCazPl3Fgn1YOy5tlD1d/7Ldh1Nfx/E8NpgIPEOhM1j Dl1DZ/3WYAL+fqRrapxD11AcD2eqtkuhnv+YrOmcyTkVX2LM7dSlwDYUi0oLpdVxx8uXqNBRrklB +yK4Cukg9AAyu77Ql78YGWU4oXNoM8ci+vDA4+dnyYZn96nQCIhdfsD7wb5uWYa0XmIfHzxR4B2a JfIMnwvX3C/QlzPFqrqvqTgMMm1dRVH7sD7XEr2yrUuvrJvkx4UdWBNq7YQwn0CUcsS0AWrtUUSV T30O/EPR/C3SWpguuSCpk7NwBZnpGZWG0EC0XBVLzBVaMT9M7H2yQoVG1pDbah61o9xrk7W2q4Bn ShTbZEUwmWq6Xo5Xm9eQtp9VQBGPTnfMNihliAAMKImJ/AoiTD5VO9srR+0uoo/l2MdBxxX8IlWq 2+AsBS6iaEx8g2QyGFQQ3rap+rxEVu185/9H/GdbsuXjHmT5Rs69bbpFAWGFnKmXuOp8UJIsDSjN RkbNDxn1hAUpDzmrIXBqkmMaizf+APyKcZWYcLSBfomq+LrSzpmbXqIcfJaW9OnO6sTT/zNHfMdt y6kprznTumbWPzg/TTBDiyJoZ383Ky0UymOJqTSMtARozPSufDBDFqYPaEv7aGfUwoWwMiHHC7AY FUMHIPs/AHhSOMS9LkC2x9e8TdEJPOmQXWHeboMO85OolCqzvoWn36YIyNJsU8QVg45GJ+oSU/QS SPEhNg/gA3fLoIhxtIjOlWfSjKyOaopewigtIhEq9xaMj6PFBlAKQLdZSp8Qt2kqlMKsoaOzDelJ izgn8esvQRRfVwKfq7IOumrxYjH8NqsOqN0+LxGU5tdKxU2l4g52IWW/MUMv8ZO2GTU+i2ouEqRI XihuokZIzHpaVPASPfGDRjZKvBmntCcoL1CEm+jHQbeyA9LYhAXFhUyTYlCtbIZwwHxeYid+Syaa g2BZSLVB4pJ/LDnfcqVf5+B+CZ2ev/ZnmDkkgsymowhT9BI46aFNFMeqppQDfpY3s/bYt+/ObgOt eqN9pli8DmVvHB3YiLJggikzoOt5yfYPNGYgFYher6DMONGUwnAvUZPOIvZnGgSjbFCV5ZwPxslF 0/0lZrKn5/ccAQBa6Y2NOXqJmPhQYxBQSDowAkS+uia1uMTCOT8Mx/ESL3GOpnmmLdmtc3SQ3qoN jTDgLSCauKrwQecyHT86QxpbmeqNJjmrBnOEeT07F3adNgjOiN+BjIsTfpXPS6ikJWGwBJujs9Wg ZG9z9BIo6ZBHQksstM3REGuSTYbmfJpMxJIcQKI5EkNmKl7U6OgN4DRGp4Q1LMOrmOBjoDe4IUVB rpXvNSZ1LzGS9lqR4TNDJaFG5Yadzn0gO5nz+Z+XEEmH2fxeR4AnzcZWDOboJT7Sgd3kIHPNZGwd 0YQgma71+Zji8dFLdGQHtiB5OT+jx90LweiuLJafZKyy5HvWESLsXDr32ri22JMM+JfoSL+FUpOc 7yAIMaz92Pk5+y9/XmIje8vv8wh/9cx3jl6qmdprsjEe13+g1jkvuGVUb66n4op5wZGdVBMwnrZG byjkDPC+MOknvd7S3kfdF4BUyHIS9Wng4UUjmZdKpuaItQHiqjgMRTnpfD8piz/AE35e6pi61pJf a6wNAEe8R/V77aWKqcWbqaDQiyoA4CW7cTi4sdsniTB0qnREh7ahhlvvd7wL6USiG9Uf1DAz1IaF f2WRuCKoqAByOAaPFlcvFUz9GCz3lkp89RnMpAFovNKFwFv581K+1IE0fLNRpKPI7mdvDyGjKBu+ Hgh/blWujasglCjYx5Z6pUGM6JDRPAn7NKqxt+tTEziHB40ayIY8a4k5OArjRMoUmqun8ViBKdF9 j7STmX7Abc0HFoyg55S4mqgC98bw05a7xxKbfrD7WEzcBH8P5omiaMCe3+XU8/Kze7la3llM2Wmj cbAtExkrDHC80LvzQ8BAnOKLQPqxl3z2yCbL/fybICR4f3hSfPGN4GfHN4uXm6e4gQ14rS152J/Q Z6/PG7/P4u36lZIgbJ5r+q57Y/fpjiNntIvHp6W1211Ppdk8FcTGBicL5mlXM2g0qNS6wJqTMWcc URSphNdLzjLb2SyBVE5wugZ8gJfwjd+nqTchX9nG69l7nSfFHBREhAc5Hw+ipZG+TnBUI+eod9tF UTcltDqNvO0I388RnvqjIXQJ21HYbcuoQxTGxwsRhj8ecQGQrjDhJiApzrgoyKA+p6Q8k9pCwa7r PMOXLEJXNiByPSFrojQirC3QX/nn+SSoAWpLJIuqAB+spm6kIodxQif5Knm2aTcdzsfmxd1omobg a5RXt9GaK+cmLTtlasOiVp2mUPyNnowVy5CgL+uuTBV4wsOJCi+obWBrY6MKxom+jSAsZx983jh9 tmnHV5abM2Gc3SOCN06frQLxCPy2wzHuIpV7e4pyMl7qJmCi3uPvLKOVk+BWo/TVh9LXNprkJVFs uICLrBg9wZd8IiTIII/ZKT5o3fTG6LMDlVaFmRIcO3taPzdMM9RAL+XzRuhTDJR8nqhXgmlb+Ubg b4Q+q7NIHWFda7ndHWGAQ8WKSrt0r4FHMbiVwJfxGTj6vitpdUiPYZ4WyIGy/tis6xAaCb64WRfn bW/8Pk+NZrMU5rZBdaVzp2aG/ecw3J83Np/ly/X7eIKWBwxrbZqiKHwSFDzTddup0jxdwBa4Qjr7 T15ZisLw7k4WlqqkJ1U5gfGJkKXxfZZogzosUxVc8AtoJNCrL/F/dr3x+zQxj1uJkEQMJvEN0dlF jSX05z9vRD6LMdvXcsLVvlbzusAbj88SOrJY+11NwDbbaqr9TlOHSrLaBFEkLrL/AqLxjl5eOp+Z KpSj0NE8x1I12QnIpXfGZUAwXGf1rjd+nyYwD6DELH+5Ntz54/9n7D2znMmRZNH/XEuePtDi7X9h D2bmjmDODc+vrhhU91SQkSCECxM4nHRQnevw88bh0x+cvi87tCp+YHbi8xTF4jCKR+mtuFbXYIFc naeyqlXhWnLDtDcKn+069chbXne0YxwiK0Dns+YNkzmri1ckkNC0RkulOhp/SnwqCsZRJzrTDpSo DTqdzi/R2ZOoxF5E0Xg2mpWicdxObdwY8428p6Bgcw2l/uVxdXPfeT2uTuhp1h9v3D3bdTJKMyld jl7yhkB1QbsUwlMduqcqECDsBJ36h1JNXmfC6f95Y+5pPVihUkyYauWs2tZZ8jLArCV/3oh7FlOk rxATwO5zuFSfpigUB+EGHfELbZWkoTlhLwsxqals0/QeiiMoEAsm9Wf0etw5/XIDZgap3cq7NnlZ ZEA1a6L4IiBddjqxaPlG27MgcZGvNyUSe0KpJo0b9GBlZozOefu8EffsvvMVxRgAbSR0V32uovr3 lDev3GRYNWAdWXO1raAJ4NM2kNgbb89qBbzr+9jPaHNVQSxoa1bingYapEqDmWrBkh7HyhNoak29 x+My3gbFn2lLplMKK9+4IwjKhQvB+ryx9m4j5jmjoFwNrWifqDAgH4IR7GQT1djusQCquMo3XU40 UVFAnpKZFNZntL0H8f6dlgqYUHtOKmCKjlYIVMYN4lobVHB5o+zpkLEICq5rGiwyAPBfBd9ZP2+M PTvivucJBsQIVXyeooicStIdMtyeuLRrQrB6dm39XbMFmm90vaesgxDChKbGFZpqjMPJUIAaOuzV hWYdnYVW3A6TZ9R8zK7e2Hr6PaiadOa9akjqjqzW6e2FRjd6KlFAztd7Kr3YyGt6nPlG1bP8Dmto UVlO8Xg2O94KE2Yv9dI0W8spqod3adu0Pusdbd+hC5X4U3Qg8VFN2eoZkH1zDquzBPLTM0A76fPG 1LPAn7yXsrIPBiY/nzuNqLA+b0Q9W/YeaNJQpeLOTR5ovvH0rMmr86lsL6tka8pV2aorD57beXpv NL2bxiMOL8KGcbRtdwJeMKQzr7y80HHvgqTg0zIsspkPuv0lzVTfeHraARQ8bY3wudasZAp9RTpl cY3szxtNz07k/l0VB4Wtr7vtwoBcJKFUnJ/QZ7nHE5r7lt8BistI842kp59ZZju7iaTH0SLN878C 6hPrCUa88K5kvWCD8LpwM0Mh7+Z3ZeiNo2lisVdC1oUSPsrq4EnExONcIfvzxtHTesreEefb0eGm e0f8jaL3FUJ1aQ5rnqqX6bKI6pVkKhdEf2PoWc2aodNGzctHm6cFlzymQSjJgo8kjGFiOMRgYj0+ oTjV+cZBpMnjaRUqBnLQKX4+s8lad2e+ZrCc1ndEDpmBgVTNpikKyCGPkNE3u+UCV4w4xxPvZx1P e1q37o2dp21Hdux5b6JxNDpotc3VSSHFeX7igyUfIwDjf9DYRFnyKmoQ3/vGzbPVJOEf8RK8xoWW 0O5i9Z849vNGzVOcOn636/ZZjMVn6Y2Zp5iL4AFeNYwJ2hzVrfjgMmh3HXIya42H8fhUujK4mDR6 9ekEGntWmqeNk1xgfan6dAKsgc4e+NeuU4Z84PPGy1NkzJL4Mg28apJu51aAvr5UYHf5vNHyLGvw o0nqUzDpnskhFm+sPCvt0YWe+aMtptxsMU1lwVhMCXQgLqY3Ut7XXbfAZLijpun8CTCSATYcEK2B vo3UuQAh6FUxRAM6XhiCojcO5omNqFq4BDmoRtfamLJXP0H5542SZ0d4+Y4wWYbudzVFoXgrWZR0 x/SSa2UNqU2DFBxNk5wwTlOIRSE0YGWWSGy01XRSjHO6mh322XB5WXm4AsFDqGi+1EWkpHzhILlj RXwL/MxBqwl6CcUM1MrnjYtnB/h3+xeLse1bGH8j4mmWtiQBt4vrl9ldnKyvfJHP5EAB+fzGw/vq s6ws+JdGW0zlrKQTJ3f2D8qZcTkYn12ItB9tSjbQDPc1qTPyxsKzfGURkcK+3YY7XDVi9cmIOGnn C8rnjYRnEbWfTVVpzlnO7aJ2ojh8s4FQ2nAu+gmO1TIH0TeZiFtikUirKaqMJybBq3b2WzRqnnaG SmOqhMfVk6xD0hT/DlCXIJHTEew684Lb8Xnj31mfXGfTuoOd4FiGwqSM8nlj31kYvr7vObRccT7Z LL2H4aZh1GVortXUe7Y2C5m8xjmArLdmKSqLJ0F2tiRpNFo4cDL4vslKBADvxA1mEkJdn0GRWEqS GZqA2cob8073qdTb0L6yQXACraYfCsfwNYOL7tfRhPoeGGI+TVEU3qmENOby5HcsU4o/UTgtIniC A4xjF11YFVdtcm1FRBy9ezByAhaRTLIBSXP6DgzkLijNgVkAYTfncxJK+Ma6s+xVvupt+qDK0/kb BokZdeHnfI/CjUHyhbsYP6vsO09RFF6l7NOu62weBMixQFdncnBKW+am+sa4+24CL+rG0kcG3zmR raxzLaAkICuw1ShzgXk6fycEo2gc/lXHTHrjCC5XSdGnXtQo3cmJDcxgSgefQ5XvGbXtvtss6B8u WDnYPIUAlSo0qnuBwbHJK3Q7TRcObij1aD2FdXF1mOBufsdn21XMNdHztEZu5jpLFA9snWhn7Ohd vMHnjWz3VXUajTCegV4fl1RtZNWy6nTiwM8b2c7S3+/bDoKjKNT7REVxuHS1xtq3PNey4KmICLqj neZ2Xa03pp3eX3IO0+iJHK2aArjFpoozdQAhqS5tO+y2XKFOV+eV1TJBgzeiXXOgH+kF2Ydk1bmV tPHOZ3zeaHb2nt/llI6O3Rpe8n1j2dkBRYrBytmQziQfCum8xr4k6s30FwjMuDKuAyqr5MvRUSqt n8MO8nE4oDK0fThPhaUF/k/4IPkBRbuqzxvLzuATBF8uWhQ1OvUyZKrgI0pNpM3PG8nu//Tt2Ac+ WdkPPDN9okKYSiXqqbLNy7CAEO3/ZSwoRsmYqHOYQK4fJ9Qbx+6GNVhI1fyxa7+NOzhSnQCNFx40 RihJSgL1JEwFTbpzunvdaVFO641j97XzGitHGEx57OzFmVky6C2tzxvD7qlnPGEmHFHGLfe+Eey0 ilfWbpvGca1UmZb2X+luLjA663NYUFFdvDXz+Kj5jpey0ifuf3qptt57k9POAnIvV9jYo/P2JHdd rxwc5VUTJAY1NVJ5zZ3oZU2BMXP9vNHrLBy/SEPWe6F3lB7o83s8joBiCo9psq6IdZxjsLYdVowz jQn1xq777gSvZbb0a18aBniYgB+y8AT1uSx3j5ZZFwcIgjaJvvNIxHij1+lQ1Z3Xqac1er64JwrG IiyapX7e2HVPOvucUDOfa2/6Sf5GrlNAsYh7EuOXhafsnrPnH4pnd2j3GEY8BIkrCS5ZsXbJt8U5 TiKBJltnPD5Sq3aaFehITEKwgTG2JFgllTdqnZJgNu1qFymjd5dUTlQAxzTV1j5vzDqtp+0HFAlQ lC1rFx/2xqyzcpe5zvqNByyxETL6FVrJUPzTjfdGrLMbVxY1tY87eiHzxFV0V2dNJc1kWvogccL6 oPzs9CjYYYF83lh1Nk1qcQr+VLcpaO1mOC1QMsbnjVPXLortWU2gu8Nvy2YphKmM+qAJ2BQm/930 Cmp3YMGeJuP6xqhrD7VnQf31jlYr2BVzU5eMF85f0UxvGYHUAAtkr3XDcS2mqCg+rBfF4GC7eWRN LIqyPg6e5hub7p5tTw5MQkhZd89F4ThLQOfEGJe6Mkq1MHN0+28bOeG2lsJwfGh2Jrkry9zOVSs4 YRzcWKnxB9xbM79V4GQ78CZQ8nZwGC7mzxuTzg5TogqQu9ugtQSIJxOAc4zOzxuR7v+gCohvYntl lDtNYTS+hRFvrppVW3PZuiEUq8jk3fTeW4wXV0lFJUqNF6WScq2VR9OAmZyZQTf05juox2xsGusX FVV8TRAR8Iqriwc4B4EvOsQWSWk9PwUeD0LM/isiqKwP3hAzDMVx3J5bdT3lue6ujqNU1xajZakO 8KgkbrOU6KFmo3cOxjnPRxeC7kScXrgsCxPEKjEut4vI3HrjgMGS0FqhYZgNWk0LJD0JvZeBxwNE wV1N3HNAn5eb2vU4EldRriSbpkyjAeldKDFm3elWVPp7JG4BCToGqtNx9D1XQFVSw+7EHmDiy5QI C7dDfJuQcSV2xDLjayLohbQiCRrnoMUE1yLh59rm4wGJZY+vaw6h7Si37tSjMJxZ2DlU+pU/7MaO Pj/Pl35Da86GiiAqatetWu1kqvdkAsJyzEIFlSKEiiHLkRA1QYDW9S8mygTfE6QrZPdOpFU2aJpO vpjc5Wvi8X9xxig7dhZyA9HdpimOwuUZXlxIrOxrrT4g32wHOHrwPMB7VBI3wNMy6xKOt6uJ2BHG 5yCOnwO7EZ0y4E8BFyYgLyGf4HUCscaiKFzV3oRzCPNMdj7zDjZM9ce3gucDoOH8zn8zrKyh4+0z FbM0mfVKzwIBQarLdN1zG9PdFcropsnTozB8Ss52LOkXcfRCQS5ll0Xc6gaiZ1RJSpNYWtHwbPVx +JL0b4/CcFE0V6fL+uos8bCSCSMmVp5OSoTHIzKrryjDmaNffI+niKo52GTJIyeH0LFAxsCptDys oFIBLNU8hWE4Q+tzetNgQuM9xQkDW5mneD1xIHO/AagEbLsnDEauMB0tI/E9UWeT/d9Nj94FAeFq qg1pqxk16p54PrjtboVORaqzjdfytK6HYTj+urbUKCDAlwcL1xN8rB1qiEyVToQ95GsOawlQ60qj IzJBHSuJpo0TAEjSfWAcnuEphGKBpMN0QNWsNw6CAhMoJ56gA5rPeL82cM+EiSt14/n/svFQnel9 33kKK+MkIAyWH2HJ22Y1H17K7FVpYQE9OVUn6HEgLhXNrso4R9t3rcD2FlWh8zOcoLyJpABnQ/xK MJusqChexdGpV/6r8FSH/F94XxetKOTTXFEnQy74gH8B6NjlAzWb7TabqvdoHB0plVRWstJThcFr /l+hGgHhYNBY2+CZVB7mUTRu8rXDLLs42lSdrXBOckFUNjR9FLmDqYPCBmQUvkQhufb4RcFUUTGl V3Y4e7UO5wkWO2ywEBus1PB4RAL+JdqAjgQqYTZPEWi8i/pDosf/KHExvfSUc6X3M2ODRBNQLKkI ouJ62zVbiU7iVBK2qEAPb1566YSchdIOEM+cdD4jsqs9qPEzXfiiCEJHBnASriC5VRyMRicPnvOr 8/FoQX337jKws2PeGl0PI3J1EWTEy0wPnoOq0QHIYD4KDVe7FlRUHF8yaDxbIt3RSk+Ac8PFmJKs G9Rvij+dBYASagMBtg5KO0kwjDzXHsFU+pbvy2o+qCt1fhAl3nWWiceDBdXSz3fRF3dCvzH5iGLy yUuv8K9SGNWyt6V6ugI8o3oveETVcXr0nKCxtHxHO8xPipIalJ3IuTsRYjWVC+g2IrHBA865K3TR xfdEbSlG48Ay2iDQEzD7PKFQ6sfjQVD+lOjUEoUrwK0XjCgqJ0uswyvTLr1W+rZ5GstCq2sllPhJ fwZR9Jf00RbUWmj8ooSME6pC657tO5z459LD9htI70xVDYA+fM9fFM5WmeK10txrEa73lEb9QccK zwfF8YstUMEA5JjtgJ4RgsaLulKG4kFWnIbP096mvJPRw7V5iorjLKqnRfKDRgYpzF4K2pywqiCK AVADE/M7c9sAkAJ72b0TgLjF1wTQVYqC9M2EuG8aTHOWWhPt/1ylHY//q9dCjNT5ZdoTQo0QqULK XS3dMXTFo3MUDLbTECbpOtx1UUiu42kBoGijzxLKJ0y2hedBI1cyYo0BDHo7AG57YICCEL7mr0YL Wu8+KLIGZsUKvmPj8ei2+4YWQLpklHrPpigg79Y/uFrRV3UHVnfNCQiwsLG1FAXk08Qed3lGC8g7 +4GF/HIIC5lYwSROZVZs1j0vH5h6KPie6GxaFErjZjkBKorqqmVm2k6jClAyno9W069OMDCr+9bF RxSQE2aOyak3cUkuolZzc7WrVhyCMaKA3FCWq5oeT739A67QyR0MYuJurIdTh6cCa3iO0TUfFj7V CkYIF6e4DIkvNlhMAElJIplObIHH/wWrx8pBGjzQGrZZCuHiSxpO5co5tXqVx/d09TSinjRLEVy8 as8h6b2jraYEnklT2oLcq5pZByhfqGJm2gI7WBxVVXzNH027BSUFDcVAXidmSdbcxMkUhuL7uxcF cDAJ6jZLUV28sQlc5PvdBYDytdS62wumfnssI4rEPblLwq2mRNWXrORur7M52GM5m7EWEzUqOLoH bUra9ddluomvCcKmxb2GXAU7j0KxjAfWyVj4w4ySOp6PqCzfcRMogKPe6tOIAnFcw+wBe02llrxv 2GQiog1RkIcDYSBunKhlPLL1gAp6A4IA0C36HJ/7jAtuFJlbihuF6pNtOsaXIwzEO7UdZbxRKehN zEWDMLfgF+usUHxAUC2ov/SvMuf9zlQciRfeb+mypmXhQhvUOp3nOlClYlVlxLVxgZt3TXe0mVqQ LQdaAF2ycz/BcIwpC6nDGfuxrPqYI2x75aBOR8fmJAxrQmQgPmIB45st+nFCEDwflVXmV0wA7WKo n9tEzbA6jhSkV3EzaILKrqSks9O6ESZctFhWmaFoYTVCsKDQHC0Sb9gVAGfyfDqB6ypWWoAfBAIe NA68b0c+8AxRKlVlX6JUOOgUp88VO1JnseHxYJbad2YHovWYF80zozi8ElcPzyRP7OYDvgAZJpuP EpUdT+Q0QwKnkTRyL3f0UxwqFeXsZMLo4LEhGN0gpgCCNpCizA/Id+mV/yJwzkKVcQ6ap3N6sLl/ 5qkXPP6vA4orryFjumSWGcXhCZsEarCer6An5ed4WlbMBCfRtbBi8qYqBa3VO3pMkE9QcO5RSmCe K6o2kX7oe5DBA4Lz6U2AF1UdZlweJ4kMSasNScVMWCEJM74qHv8XJ9FJPwsZgs1TFImzRNt2yvtG 4s3hq6W7rhrMW+wgn2FxXKCntUR2Xe4IDLhh7ZB6IulnoJydBPhJDcAlNNP2oz67SCGbfyNU+iaT rG/WLagdsyT5DR7PxOMRm+W7c4fTBOpzntbNKBSnksk5oS4ncY/lYiptdvfEg2aCTVNI3VQSAqzt HS/X7hzdlKJFiIlsXlCejryTnCk6EnsjmJZcM6RukpJYUd+wQQBDKLyzDonjHI8HHc7+nbFAc4b+ SzZNUSS+qNLX562mtOW7Lqu6zV3XFWOey25GCBW34zI/vPX44ZGRmM8xMlkaT8h3ZSSfWDrqvG5u 9ETvzhlF4pVBwSh9+qCQYJ3Nyz3T5hh4PKrOfecrm7LRw0OCGSFUKKuPhMIlHUoxGVrYBnbPV+ZM hlCZUWF8Jd9z+Y62mE5wP+B9oJrTOZuqxPwycvQBSst+eHaTbOkZReLQaYIyyGwastMtAO8lHrMM vmWU1X3jeCDqyjPTZimkbSY5dq7llbnuGsYIeDzExDzalgvlDJutIas4zVtxgqPUOTWECptQdJDt CHQGIMtzFh3Aabd5sPXCAdpJBUx1ozgI3XsWiWze6tp8PMpXbpOF7TKw9yEf7PMUQVSo9dzrcMA4 gMq3ljL29EZwduOfGYXiozittd3RK3Nln+1bp6oEZ1PnZqxyKAruQmD9FVIhRQ/fE8Cgsy46eQYS zs/rCT7ikzReYNXx/L8QT6p8IrNLN3IKeZsE8Gdm2ybz5DTgPJaxXIAyrIZ4mqGQihiJZbDqptHQ F/B+haEAhVRATl7GSIRo+YAR+wk5HtrPKnrjvwInevHOx5K3QK6A5pCgJHY8HgWY+1e+ssBf82la URietrbdcCGVIT4ZYPXrqj6nk75aAXNFIJXhLMP/B7QKlDCkQWl0cJboBBOVhxP4YgMYJtw/pg8i jYIVglSALrw1p2ZqLUBDYInhL2+14vGIuDm+kxVUKFq5sxSWw6nKU+b00tyow8kHi6Gb2CxjWNi0 QpCKSIYU4PLRw3B44qbMZAX6EFn/7kDnDnqw51XHuoAnBD/4mr/0aMei4MWAgs+wM3ysLZPqs/Dx fISf+4YUTCjZpSsZtkIJcVVT5qWUFxXGWQ1vzRUvoIxq0xSCVLaqKMSXc+QZSZBK2uBLi5EIW0aT X0Nl/NzfZ9uvUp+o6awtfE1UmatSMmw+CMqzTqBJWAxcIfF4FIP7LKlrfH65eRXDVhSD09+oAwB9 K3PtK2raFjUVHFKapRAnribdLl+j33TzBK2k8BFQMEaRKjvMAX9ACELh6cYDRM+tuBouFyQCnhZ0 crhoAVltnWc5XLDx/H85mWDY8DPL5W2uKAgnXKNLA4dMlry6mWul2g3TA/Bys+hyhbxNUcfGVmTA 0UspdQG11acign0ifxadmthBBEsNElwNyDP1ykF1TgIqiTWCgTIf24sn5V0nN2UBJwMGg0/4V4NF doBgkTXPV1YUiFNR5ETZnv42HL+GUam7XxB0cszTCjVUpOHQiFm30TganbYitKupKEAmlcT7ZAGo YlmjfOBmgOym4osCMA9JrtCf8IG3+0kS02bRCOIXeDzCPH2XUxDiASTq8xSCxWluVykAxNtutuaY p0xJK1I0Jl12OE9hKC6btlnNFLd+meLu2gZEUSnhi1pRkq1PQ/iewXsoWF0GvMi670INFRHwF1dW XdNAORuqt0UJcGp4PLrvflPLz3p6NDJXFIwXaYMQnK7iXLddWNGOnEYiq5UmgJinEC5uOALab9no Wy9B3mLTEXfNCq9iQxvij8yIFzNuCgeoIMHCF/0FXm3yLm1gwssEAqwiYrsGynMrrIvv7yATeqnr kaFbMV58POc344Lk7E20KN06uAFrKHnxGKDC+sCLZhhiyo3+u5xXEJez+Tkg8g72ZmMi6aTEQpdL fFFAP9gyaMOO0SAgzyhTusftvC0ej/AE34EBruaf3a79yoqicTaa+6pWE0ACc1VUas6uGVZ6sQ7w ijXGbYKk18fRJoqmYBlGbBDxLaNItO78E5iUGYKgeT+33qIm9IrC8cx67zCcSne1mRNylMYsuJ7w FY9HC8orKiymMy94TvIdxePEcra5trftsh/qKItfj5ET5FpDaof0TfkFTyHkNPoJlVFTHRTPXmyG c1JPBF37z1lB/Wfty7WTw/mO4nFld1UaRhUEVcYGm0J/BIKfMx2PR6Sfq/GkAJVdaEcU7PeA3B1d dTuZxriL9eUqtQIKqVCfmJL1sZCKokc305jXgxrGvfAhpyg0KLWbgEbgVjbrvSACowXuFx7ND3YI G1cnCtNpgwBPe7ctj1Jose/3iBxVpO92FHA3vfW7nELYOD0ioAOkeQJ70Hk/KKfaPE0o62qeYti4 2MBFWuMcHVOQaM5GpOFC9ToJNr6xGtAxB3b8ThM9jfE9UYlO/nVsSnEQ9GLgSlWFpW88/i/uJs+n gUv48n52SN0cX2dSI6OlOvQiUfrVACrTl1MMUOlRFnxm8BzZk+ZHC152xGYR7gSbBtTrdln3GAdJ Cd/zp5xoG9kHO51OcqRjfGM1RQiVRyOzsrqL/znqbW/uGDSeBBr3wnih5Iu8a5pTf84/blPm2TF3 U1WnJZAvR6ch7ALTYYpkrpPGbHrVA1cHDyRomeCbvEqHnAdfE+QuksFa4mzgPxWd3efxRlgB7Jrw fAQL61/xOG7pc0BcQ41Q07BxbsT/ZfUX6gxu8TOSTdNgb5fTFEJUujGjdrujwwwber9AXKLNAolT tu1OfgaKK0En/XJaoEDDF45skOgWAh1EG7TrJjV/figPvfD4f1LqywvYfm9G7QiiQnEFlFJcqY+4 Fsk8VQpasUQ32MThLIWKhjLUWF76XTfBOyEA3MUSLczPx2e4Zqsw3lH2yTzJ0Spvyu/sjf9iuO66 7mBgTNjjbZXd+HiU3P2SnMm0uPWqyg6lVMTdLHv6YsrDlXk6Kc9cTFRh1TTFGBVbTOsZvWcHQFUC /B3LNuVpPGCIr/50XniA5ZqOKBMofE8QY2K3nY9H6qJB03Ti/caCLUpleDzIWeZ3bgfr4wFPOZum sDBubZZUnEgmIXZwN2e53M1VihkB7zgWNz1/6TnsR88BNLJc6KUGPgs6/8OOJtSjTpT4Q6VjQ6js qReOyioKwffwQXuOPA0GBLUOPP6vDBj/Lip9wFz7LEWBeBPsYmQXw2rtGrSZyprcNFxFdEf4lGls n1LGHW2WKnKthvSEJltnSlTtrDjNOtTvdvP4shAoha+JRFQKO5o8wKsbd8Lg7VwELNGVOfB4lP/u r/M7ZxoJ76vqn6I4nAE7WGSeAVN7yeApvSZjkTWI0EivPoWBuCpvZxLmHa2kUjfoVZKlRW2pienZ JyxEzrkEcAq2P2wx6faz7aUDmqvZ2nZOGeqRdWm7ndh1UpABSsmbH/GfoKt85Xxx0DmFyoZs3032 Ov9HdgtBqASqJDkiwXIuoftv8xVG5GoOgFdwR0dgnNN77UnhN2BWR2WZapAKfLIaKBv3cvmuixE5 vukvif//2zRv8BY0wuuamc8Hycuv8xwAip+dxmMkFTr/ENWTygWJnRCzW7muFRPTPtcDYF02WSFs vFka7Jrj5atuAJjKzlR9giIklVzPZFE4EFiWnwIas2seL3/pCCYmQVFa3E2ECF18lvPXiBk5Jsxt UxSas0F0ia+IqtELupMVlcu5Bk/Mtb1mt3Suo/cyiYpguTwhcZAjQgp9N828rZhAdLkC0VAYX5Qz ALGsQBWDDGEJX+faKO+Q6s315ImQYgsg0hDEBuKgU+vcGE1W0wAa4PmImP8baXAOv3x76DlF4bms tyC463W71F38abbe7NSCB7QvrKhmbuY2U/5jGn0XTvIFgBertOYcS7uwDZb3EWWupyCVSX7FNwVN mEnLO7nccNBctU7rKwlG8/kgl3l0smTo1sSSf6YrLJvLjns4uu4kTyzUZZbNkaCANgXJ0S4MYk5h 3bwblFy+wKteX2BYmpzzBLUo2kgALs3pauiToX8FzD3uQwpjFAoZ8puCBLnRq2yU7YMtLfQ/2SY+ C5XPB2ZlV/eQzOKzBXAJ3bmKHTlJg03LZaBoSC8uXmIsQhmovom67/qoIHKQlwRNhHx00mIr41x2 hLEAV39y5MYSXpPSKEAfCEbtgN/3pYMQS0CfTKF2WYhiruAknsWJPdcrn4+YsPPXAX/W1AIg0Ccr lFtJwvmU6rDNcltXjZJ/Nlml+D6MYnY1D1CFmne0yYKEZkVBkka4C63zqTOrSir+TEuuzlIorNjw m/5iobdM540zGDXoRLZ7VYPaNT7/X1wSsDp2vTR0epO/By3NENNODqrN7kV4lMzltRd2EGSrGImu FHklnz1W7uiBO+gHu1AbfQ46Aqi5rhY52OgPXX9tf+UIuknjycXQVCLgLExtiHqrd9UGnw+2YMtf QSnbo2mn57wKweVU/J3llqn62he9easv5y9N7qyYwjK66lN9CQ7M0Xme6H53vBXNpnpR7WFQ6nGV RCmIdGl55A3ji6JCFQmeVk1n8Y/7bhdcjKxZ5cnno5z5F2AD/GP8SjZVoVnnFEloL4P/tEk7cXMB KBeKMFyjJgdunaLMUTUrjzvaqpplQMeObKpz+KJoIfWsQhoTaEUq6cn5hobmOXDr9A24ZdrJQWnz OdeTCdqOyeejjPBuQKI26Bx4WdY5NOxs5CzUxwkAiIFbrZr5VqumO+IFjp0IQMx0qu87WrkKwLtV heMcaMEKT3VicMDMGDauR72dCMX8h2EnUfit38EKMeevEHthlcrnI/HfX5IZJwIh795nKgzcBb7r 1wLW1LepDzWXS/rM5JLbOfTsXCZ9xGanjX5WIaQucBOHjdm5DKvY65TU5AULWvqdquLvHPWxmA3K rKQW4reQlWU4DuhYr4PPR85TvxoPsMsGyManKiqpo2ENlMvyQkPvXrXKuVXT9QGoY/pUhWH7lhHl 4BWo0QB4Z481qCU3UmNP8LBFrRqNbKsFkZHHJGj4K0caB4k8RnBjNfB0WZCzJUrlLNDK54P9t757 yLAGGzTzsJl6D9oxU7r1utf3GFpbSYZ6lZypNO8FmEPipx1RJoXE0Y+qM4uVZhsoRp+0JhcTRKw4 TVHgg3u3la54FvCLIlTCptub5NlYpP6hoNa5DCXZetYqn48Mz/f3/jvf3tZ6pioSKafgI7Jl338g 1Nn+26wzcqpoQmqLKgzYTbRml3xHO6nQckAJiwizk80MNdzPYT/A2pGT4bzgqT7tnaOjylR9pg8C LO7WMnnlJx4sfD6SS/5VZECEMuuz/6LiOhvJ6IM7S9YiLBLRejN+FWxz3b4z9O+0Fk3r+xmtgLU3 2veoO/aTBmTAzSUyArHWM3ObP8wt9al+FRp4Tnaz2qDZRBtuNgEpm0R5BWTlfD461b+lyhvZyek5 1UM5FrIoO9FzhnZh44ZoF0rIWK1v3qmKLIP6Nqmo/IzeTV5QeWksip5/LFsuAicWJYSoEO0G5KIc 0FEo0zdFy4o2L42NGw4WV0mJI9H+ms//S7qGkQXrFfWJq8IqOzKintRQFuWjJQMvnlSlWjUG+hQ+ V//An4+kSsNIl7fXgMKDvSalRs4Va5yPkdD5yMSg1cH/IWhQ95eO/LqEeSHVioMCz4KVy7PmJCF8 Pshs5i9uMaAz+bHyDL08m6oxZFtIirtVR1EtiY1sUhy3Z4GxmadaEUOuOBotXIfoAcyoJueqnzA9 Sb8GjJazSoDAA5P58q2mvXTU4iKEcYntuDxeb0i7p8oLKCCHfp71xussN0MZEufxXVmhpWcnVAHR lR1YmVtANJmyXWcLubvNVujpubpx+IQV4ujJDXSH2rn8mNyclCa7ZQBVX8lOQJvuNrr8pf+y6hhg GHKoPx6nn/iHyNhzkfD5SDPx18rCrYosy+cqFE2ktSBliUyTu2GDiBYKolFWFwfwa5urEJBuxZii nJmjz9VYuKTSJECPEmjchR2cwT2bfLSveBt7gjk09swEvhT1KDgY4BOZAZPmk9ry+YiP/c1ch1TI SrcTn2NbTwonqi4uFHHlfkQjZ+buUEbC23VgBb6ectbiQSVG9khfjGz6LAFGghpfA+Ofy4r8k/OX o73V8lMSrcleOopECbUWLn3BO1jOXenEV5KRAkmXHxAF7b9gC2e573Ub8jm09oRWI/rL1CndghQb KVvWntKROn+5148Db88LPxtdbgscbV1RjSyri5NZEF1ySOZabKi4l0EN8y2BpG0vHWEX6EdRyZs5 g9Wt2glt6HfwA3o8n4/4RffEEvYTznRXSiqH/p5bVjB1umPOqE3g/XMei7FGLMzty+fA4PPRwe11 39GTwbowI40OEBsEy7YUt29Y5iDdyfSG08KigUCOHT7pRbGlXbrRHOUpVU+SCMdsVFjamvyAqDGx /k/YMB5iSA5NPsGxQRV0eeWq0HJNJYZ+cUNjueZ7Dl0+l4LxWaxBUW7YcO5zkLyyUj/UAnqSiiIK ogNKAmdlP2rm6kuELp+qMfTCvgQH1RgqymNkGp1jl8//i0NDwxoqb12EVQ59Pik23OFh45A9F9hA 1JCv+Vl7llUspCiNsircB0fLcVCHhhA8tbpxYrVkBcFW+b9HrH01pda0V47wHoy0YYxog+qhuD90 tJ8FzecjEGj5OtoBsiFO3GcqqrLz2gSq56Ks5rwCywTeaQPWfBdVCFMXuhFx1B0dttcq0Z+sW62z sZP0ARdNhlDTy4PIGHZvsk1VCI2RBXEn/HqD9szCQoJQBZ0AIATK5yP89TeXBksYyeqdqihsR8WT AcOtMaRywTFybSQ4ZncDx+TA7RPBNM+fXQwlU+ZTZIckwigsXAE4dgIv7lUWCNh/xA1poRWOBH1P APyQKO420dfRvRqD7J4nfEZzPrD7/JYloRBPWgBZtnKnKoraISWItKZfhAwvIO0/egUptqJqu6Yq jNqtGFWEZOB4eUcQ/lvEp66zbHApKg6d6DF1/k2FlUkeVcXeOQL1U/F1stZODhpXFeTR6pCDTu18 Pqpc/XKQPXc+YLw+VaHrJ+Xjwf3y1k2frViNr6Tu1s19usJ5Dm0/RxEkjaYXNno5pnTgiURtPz9M shuw4xXRuid32uGgRKvn0PdT1RicUj5YjT1tQjStxh4Yf6JG/40vhizFqk/aHBp/7iqJm+yxAhha NlOpTTclLil5OyJw/sz/31KHedfxjM/+2zNnkf7OhAH+wVgBgSRN/OD79PDbu71zgGEQ7W8zt+Gg whU9bgTyn5vPR9DZ72pMpZbepR7l2PxT+28WFwLoo9/OzV4OWS8lO94jdP80VQmsoTva/gMbZG9W Y+CWSZKNYlCE/4XecTPd3mlXlys0AEW1DWAU8W3R982SADipa2HaPFrP/ID/Mlm5sI4E1oxPV8wm hd0uamseWY3qbjqoC3j7dE3Pb2Ib0GVYojHu6MUreu+CEdURAM06rchArCZE4M4fPB7FqfvSEYlb hkONITsima46cIInA6drAfMROIECxODTNRjgL3jV3JA9sAIF4niT1za3r626jaAMSPDy6lVfXukL vEDhRSrF5dXWHW2y9snqO3kPkNfJUMhjpa/CBQfgm/StvJwhk6dv+lsoiAjIBlkdpiQVYs8yBx0l TX5AcBM+xtcyWYXO/KPonQNPUItggWBpljsnmVst6s/2ZLlzIe6O6WBoCmq1474VtXO8Baw+YDgF GMO5zkcToXuAFIjpQioLkIP1u0iW4TcFW3Hx2Kqr+WB1hlKrClgdhdHAFxT19t8GO6TK32A0NAal 0E8nAcowfRIZlvBbdZUXpGi+tCJ8TGuGj1GExdGZW+CQzEpZyg0KA1uxJ2wQvRv1Y9Y3Ltem20v/ ZZS2K2Fq8GD7kZogdD64HeCcM/kBQY5zeSSS30ea9bWwwoo7+6iz9PLjeoLNZRdTST5ZSOd9sv5h DzqMAz8eDjwOxQkzYxFx894sb8AKCi2VChvaKlV9FbD2spcO9mEShEFmadnN0qjvTPA2ihl8PtiG 87uA1RbAFPM54MOKexOAqLlQXpHE8JLNs8paDRCpe8BHoftMfmY9o4UOCSTWCtlkYPoyrjCKUZ0w DlVkyJrBauYCr7q/dIR/xJmFpooPAl6dn9xAanstPh8EpOU3svbswt37beWELqEwHTtfl6ihn6QD 57BtBEsyIYDX4z2xwuDdYqeklJCjJqvQcQUmeQBeld4sz+kVXju5IXCq0G+xtlcWoi/wCU2mQgH0 EAdIoageWkEhIErvjPyAIHgYl37DB+svg6Ice4USJFNEe6tSWPCqTNNRX+Sd5sCPwCwU1XuzKOr9 jtajbxu+BJgiSOsPeDpKhB9/cGsnfunN82c6HeuVo9OdjWeTqEqmB4egtKmDKzRDYBb6nRWyOo/9 l65kRw7NQskjRTc1/bjlVfWizElzs83UQAvNZiqCty+y/gCN6Xe0TId4t42MBpkOIFJKHGuhYUJi /F49KXzeOeh6MXCftIibFDOX6cEJnc8dybgJKtY59AtNv3Q7iOXbDxUgNAyt3vZyIk7Zl6800rpE HCKLmUAHjqFfZZn6jF7rWyzrUWVhoqMqNiXuLRpPITVcF6SWir1yhLxC/lxExWGbX84ykLaiHRVW yeIH/Bc1Rpzto13aaQ4sQ+GS3YSMceRVp46EQDLL0upGRzpfVmHwbrU+esXY6ERB0PxJWgf0oxSq rzMfglg6/B37cGpXJoCR3/MXa2mXdQfndg0etVhflc9HeL7+PVMQWq/1OaqiyB1KNnDhmdeFJ7Vm oehJE7w7SC9E3YKha6jxcEZVdsjRqzIniscFRaj2wKG/1fGCmvaiCzvEdF1cqFZ75yBgWKz1bRmv bzdeRydldiEUWuXz0aL69g1F8WikByUa+obSOrftZDwS/KOLnOBetySHp43dgYFxqBusToib3dEj UbhAgzLBqH3sLiOMQZD0+UkAKxkkVpavJCf0Dm1kl/Qs6yKcPMu8nYDbRWwEtS9+QIT9uEkOVQcI frzkyhz4h6KILGnrfo3Ver4ODssaOghFi4eigYFo9ohhTGl9crzlvgwYJyMGtMPTot5Hhw8msrJB uMpt0suqPgceotnKDbXTabUit5LYSUHYwVswo9oQmoiW+X1cnb8ZroZX7iSHNqISHzw/tatTtM1i AyZrz+3SVXXO4ZMVIWWqFfqaKXy1q7sAo82EAiJVm8HPGCI5Ieb6oY4FUH4+VTvbK0cdL+oMzZx9 SHYJcnkgdgBrKXASxbr6pllmiAjucV3oPq+hVQYjGpEgID+2CaHZbZWGtrNRvGC5Z1Ws18AqX0Me dFLu6PO0z8Rs3DNCfqyl6zIhZabqkMCP4sLRCfo1rMooyHTyItcdNEuZiD4eVQtPv8zRecdty0md V2jqU8AV/8X5aaIZYrbZcvPiQpUAk7hKq1pxgZgoraVghrou/kXkiY1eWkhYl4OSC+cGHFkKYGem gLGm3zsLMXK7AIcXX/M2RejccAU1Gag0+xkgNDOVGqAY83mNpTIKVulritDFmZ0Cu5ii10jqPNTJ Exwteyl0ZN9uCARFiAOKsFvq9xpHZTnnISiQkIJGCw7O/UdN3UVD8XZ2iDEDesEteO5KuJVZyEkM /ec1isroTdFldYzsgwrGaDBK3aXv9nkNoTC/psDUpTYLRTZR9PGVrwFUljw0ZAbX1bNeF+aPnNmr n9mLxa/hUzZhC8CwR76j9x/o62iWKQU6zfKxL3D8GwyvQbayGcLW/rwGT1kCAGg60MQhIeWR/0eq 1fFC6xzcr7ETt0z53mcnymyj+BS9Rk54aJL+sPdF9ysy6FIUGt4lHd4lfY2bsM/EriEsz0e73k5s 2eeGiC4aTQ3qGunn8pjhp0XG9qWaUhruNWzCWZRJcEvEKHBQnQVOt2xgs+/+GjRl4/RpSn4MA4oA T3P0GjJhdxpY9sugqHnPb6Tmc0SfY5nQBnNkKkLDAieOjqYC5qEnQi4qrEMTNyPST3QcSkUDqLpY bEkyVw3mSCgqYJ59EKIxFUHlzv5Z5fMaK2U5Pnyto7PVVuOVhq98DZRwyFOGYkgvnmodJLJLcom2 kpISWh6Bv4ZJnCOpVVbNFUevQi32YtAPOBv5zIbg/AP63OccoogYYkrba8zqXmMk7LWs04hCQpt/ rCYmlV6YcwN48nkNkXCYGfVPc0Tn6JZ8Hb3GR9kFUPPo3l8o7m9xtnhpbifTuoPNXqMjHNhCLg5D MnK8gTfaTKWyFTOYnLC7kNAFQa+0kVx8A29y4F+jI/wWU7OTsg9CEaN8xBT4HBz58xobZYPi3Dli sS/fOXqtZ2KvVRJnpKVL5gP9PUV3kO8s++vIICQFFxzZwk2j/NrvaHOEiBHoEyYnA1a/jKKg940C ecZ51G/lF7aofOFgjlgVALJBg3lYprSqXN17hvppMEd9+xyxdQDbwD2Gb7bXOiYWrxGNqm22E2c/ nXXmQ5qk7If2axUzXzGFYd3i8XSL+1kaEH8D+wo2OUT4cpJ4eJHkVAsPJMHwaHL1WsNkAImFVIWZ kmMnD+0JLIM041f+vBYwf19sqvcyOUDMZDFkFGbTb+r8jcn76j05rgxZli0meNF6BTOKs2mmcqLH JFaa8Nm2mgDXSShioepQYXhKcGfhEi00WE/jcQNTqvseaqOAosYUtxwHXlSwVSwC7kMH7p3kl41X de83fPfJUn05vVP8ssmidbiY+XpyP2Po5S3Xy8tIAo1jFM3TtFM7PaPDD6CmmumPcv7bBY8izlMF zCxTzhqkBG8ST+ovvlP8uAWIga3cdhzUQtiQTOMOHHt93hl+OL/3+jqb0OmAdLHPUxRxS0Chr+SX XB/ZC3JJkDIZf5TskLJgnkxreHcJfnO0eapn5QyiZqA5fM6qrR5yhhQs5FvOf0jXgw8YE77x+zT1 Jn1KeocPB45WtIsl9N3q5uNBuNS/p6miZDza3XZR2K0zvJVyxfUr/Qh4hmNNatshrHU4S3CI92ry EgbVTw9Uf8ORnK6CkF88MYCokrAlaj8nTD4fqFan1DxT1RsH2RsLSxBS9UHZ21ptdxmFAvcaRt7j O/LuLC7l7dP0HnqbtmTvbBUqZCrZ+dop3dOpXvXFd2IfM0GmJU3SERqtvVIRHbA7RQ4yAnDF52g0 TFaOCfyy/spUzfLPw2lKB24ilq6Ccp5kJ3GeQLb8vPP6GHR9R03QGT1HVPeQ4J3Xhz+v00ZVumbc diU5iIXwZquD9wuPeg/AHZ24sojYGr0MfqL8tnAEwubqTPvS2pMxI7h4GQQyO8W57z/vrD5s780U DlV2G3hFTXg6Cp04Svm8k/oyarS+7Trn6WwkME59nsIYvKlYaax/bMHpTDXKcJtpWnK3hndOH49x 0Y7N1CI9phYw/zh7goQioC2h5ch2QS4NnAt0whpq1rIvztJKiKLwJgpDZnjAQYUlgA4oGnRuu/15 Z/RhPXW/7baSmnM8lbvvojBcuMSZvQIO61knXiWuCBbfVnIvmXc+33PbLctZONopjlTn/AmFCLIF EK3VlgB5XgzG522YlzTtjd+nCREh7SxssOP4fDA1KyRR9Hkn83nwcoMCNOspgWjT9B6J+2rKRAGI IirWwpJpmqMwRusuQxWF4pN0x1WrjimOtus6wL95FxVzB4zWVR2HkU+nMhi6Ctdd3d74fZqg5I11 LuW8abHs+aST5y+VwFv+vPP4EDvt/TVNCHVP0kIiH781CsY71TwH2brySJEAwCLMdXkZjkROLacw GlfRGw40d/RjHNbnMFEl6bi1vaehXActhBEaAw4nRP6U/lQUjVMB7hx1EALVoNMJ3WYCnyvhF1E4 LkTz4OGH0xJ1pnaLlVEsXpuJ3jiBr7h+PJZTd+vLjoK1qpVhLC59rmWehUv+iqKxwx6vwkUN2gsn R83sdw6gCX7YjoTpzy00oXb9eWfvYQMI/tQk59lczrNBS1XCeefzP+/kvfxIMvcr8b1X8l33zt3D 5A4VmJb3UMzdkSVLKtbJwCllL+q+h+KInbSTzBbMzLlEoGU/HPR/IFQg7T4Eb934aZHFIAof93Ri 1fKduocgcRGgQprHLAAM6MJrnY4lVN0C2v/zTt7LAvN/V1Pqz5rFw4J37h6WcVENZRafqjpclnlk OvLZVPmKeo/GUSsQaaiqLsfRkcB06IWobxfqhcxohJ9UvJLtbHviTC2p93DcAyhggjhgSqUzdS4e Eg/hQ7A+78Q9vOj8DjTRre0CiXGiwnicrOzz7uPBtXp6R2Uhi5+Sl8HfaXvZnETgUyiU+VwPE60B 9oV8HgVMgDSlJ9gXcYOFAka4L11tgxIu76w9FgwoHUFYuAYLDE7imKWQN+vnnbTHE659p3fnXqAq u81TFJAv6UfUW+dFsdoW1JpXXX+zjYw4852xxxvXJKbKvKPFT6VBBWFJvvrcUUkW64N2WgtM/Tzz rfPK7uqdsMf1QAxBoYX4Ltu8+E7WUicRx+cebZ93ul5+vMN1kuOIQtDi0xSWw+nruFopnt6V6kdU 8QJwg2WsXXjvZL18AQTD3Ag52r6DCw+KUCSn5HyWJjnufaJeRNTxWQL56RngLvm8k/VYCFKbl9Ve DgYo71qIICuszztXj8v+uz0HtD9lAmye3sNxnE/0uyJ92ap0c3lVZWynCp0fy0qZ70w9JkDqhota rNG23Um8WPFl9akAOdDEFCJlIKNUQatEd8Ckn+o7Vc92AAAWhKZwENRwFDhVa43szztTj4Fe/oqg WBlF7GnzFMbjhTmdDOgVSxVP71Jrzat0ODUZaL7z9LK0IpHWZaUvHC3QHAVzgqsA/UDwBwQzBHTj 3DaYLGhaenpXht44mCYTwaNoC/U7h4DRrW/aCf+AW/x5p+lZD+srIKfsXL/rKSqNtyQ/1eSl8b6W ryfZlXCe0Pq39fQekKNmLRTYME/s8Xhiw4weTFAeT6iyb2mW0JV+ogVGlSAnyeLy+LyT9FgX4bm0 yZHd+3JkQSaW4t/OfM1gOf0CDnQET+k5nqJ4vBK2umb3Il0bt+lr4oEsq1za2TtB76uswiK9jR6P n7lBpxbTdJYVTHl14pd6LsBOoMQjGEiE7zs97266VdhI4KDiUz1XO7kqba/xeWfnIUwdt0bHzQot dMR+1hqP4nECTHumMymCgoZ3d7DOMOeUJgta9cbj2jhPJRlY2ejgXigwtUkTJxg04PZW9ekssAEk JexJXaoMgdznnZvHlhvRJ7XdQYzPDVMWCcHu8nmn5uVHqEwAAthEkHhosxTCUBiOQ/PQF9NcvpiW PPp41/HEwWJ6J+Y9yd1MWkwcNUt7I8dt6EOfT2yApWQrv7CNR60ItFu6CEG56I2jaaKR6qp3UImu wYxYWJ3Jx4M9l/bXNBUmnN1LKu+svCwZdigc7OEAaADnzKtBTldkpQNIomkKsSg6kmrRNNVypwn+ xfAcE38RKP4sNyxUxn86saL50hdBRuMLB7kd6uKo8CQftJjOKVcsICjl887Hy8YCfuri5xRs+9bF 38l4OE+o+1rbdEb6tz4ghZ8N+oylCujzOxfvxpdACbQ72mIq4wRObAJyy0G/WNwqaBvMLKHc6rgv +rN83pl4BliAwkhOqmOe37Nbge4EUfjHmXL5vBPxMM2/AidqpiF6tHmK4vAt2M5DPBgUGqJ0xt4u Dpga+atcTVFhnPcVSkykT2v0TVehBkFeWWktlayjaaHX+cOeL/UhzZsXyPLPOwePaR3PJAnGc/AT fCfDpIzyeWfgIWzZv8JLKPb2clfTexh+dspi+kvtTwHpSVESKoW2LFKblBAzZimqitO4HKDw1u9o B/jJjMFLLEOOFieENeMPdA4GTfioSmZoAmYr79w7rH95PBf27DgIToAwQqaOqfM1o8XkFx0vxRPw 9AdH+M68w5cyupyqOxEhUm+XBWaSdoIvSEronguL4kun8hSZRcQOHU0n4YUjeaNu1Ik9ihbTgFgg KnOgFoD965xOQgnfeXfMXrndqEutQYUnQDDMOxw/53sUjnjCk1921jvo+unGA1EUzsZiz8RQyVqO GaTAKcP6d/CRSVbufefcPTfdOSOXjazqyJ33fFSG+huj8LGmzRNMBzZp1WyyeBkz6Y2DFjC9wE7Y kkTW70ZPBHXb2D4r8z2jrt13eIlYeuVboHsn3LFlIfXsapjC86t085Y790h1QWpYBth6isri8ig8 85PSHT1bgRguITuo/60TLUtkuZGgVNBgRcnoonfx137e6Xb3eJpcVujbnat4qpJ5Yn22o6Bh+nmn 27Gb9T1R5Fi1emOCKA5nU/TkQfmaOrbizgxb5TlC5yE8qYmK6uJTpnK7iZjI0bvAC+WYzErmSX9n HaRtjMx3rvArqfMqa5mowTvVLssrYOJvaz4kq8416QZDQPHzTrTDe5bxndahggnCrwEwo0C887Y7 J9AypHMTGJOqWoqo6KaKHm4hAvMfIJW91rqjzdOED2+ZUs4fcJdmyfPECqgNFkihUz/YDqhB8aN3 mh3OiiQ9A/aBB1JXrqcTVJEdgxJJm593ll1+XIytDYw+8tq3nvLOsmOVliy7tiRgl0Gib9TKhwUD paG2WHZnIeOEeifZEdcmwliSXiJHL2MOgOIq496TBrU6VJ4DQhwda9SST77sdSdam3zeSXYeP80h /7SR8jbcExxE2MY9ifD6vFPsWM/47pfjt2Grx+YpDMan7ArdtwI9/yv/N1szewHeTVpQUV28WZk3 mUlouiahbcN0nQ4trIuPtLrwqnB2yxX+YGU/dacx7ZWDo1yFOcBqOSzDm4CHoQXVc/288+sYjn8v qAWKeXqQz+/huKl8g9Rq1Gn4qt6NN4rbPXf0lXnjvbPrnnA8DZE20/iCqdRzUyzsTFQCz48+pupz m95yaPGVzkawNh55GO/0OkJzSdo0Fc5ibYrzgidAJq+hzVI/7+y6nK/VugWaM59bb/pB/k6u83ii d3q5Gw1xXJzKzO4rUK8Fwzu3LrviEYon+Y5+48FIs+LkOwEbJOa1nmDzck4Xqp4hZ7McWBWVd2rd zYG7TJ85qBEMOIzAYbW1zzuzzpoPlgNXHlBAcwCGahMVFcZJ84QXc7IbL8mgguq3LTk8rHfHX7wz 61hwFk9a/k0afaJObLMYrULFp+XCMjPc09AroVloekTsAIL4vNPqME8i9MC/wwbNEyS0k1Eyxued VJfNL/2rLH7yFsgp2CyFKJXOsvhOjlIpmhopFvTLfYJgvrZdHJBz2zWS+2y0vGUVhOCFAnYddYMp Q+gJ/aAB0u5e64bjWk1RUbxbLyptH5Td5Ud3utXPO5uOZ9t3dkdCSFl300XhODEAIBr4pitzeC9q rJW9udlwpnItheG4Nl3vQmFwtFmCtzAAPZylXuGyrguxoTwGjQd47Tg2DH/r551Jl8WwBN4lFx+0 ltD6I+egtTk/70Q6hzQ/GF8kHDgPfJrCaHwLCU2YhZDjJnWEcGY/to6siXd+UtQDZnZXFgUdNNqW O8FfGeAK0LDwTH7JUi8F4KQDMsHGptF+sQjxNQHSkKTMLtuFLhQ9sBdQUaD+1NnBDY8HIWb3m64p HP2h9qDNUhiKoxMwiLez8pzcKFgqkGgWTvCdHVTfopK4zVJetd/ROweoG3RJkYJ1goK/TnBAowmV wu12AZlbbxwwWBL2XCbZR4NWEzBVtufKwOMBoCB/ZywgFM1yU7seRuKE95Z1q5j5kSFFedwWk9R0 yPN5j8RFK8WJxGjLRqs7JeBeB1QZ8TkQPZVEzYIJaYf+NhHjSuwG6as95GXygtvSy+KgxTTOt2wR nDYfj0DQ32iCQWWEW3fqYUkc6SpR777lRipenSM3VHUnVrU4SxFCZej8Bjb8jjZLoFKAbsg0qoEi Nk3P7uzpZgf/tS8mlRrfE6Qreb5pRZ4lMSV2fPLpicf/xRlTqnxeoFXfcz2Mwhld1lYddFFVbOIB 3rsf4BBs0gHeo5K4R5dZNE2ODlo9+/gkF8I7nbQFzUhGlxKWhr9AgX6C1wmSvXJUd0Lem2XGlBO1 AJl3LNVs8Me3gucDxNP8BoZl7JiZiyPDesjSJC0KvEALCFD2NwAdpXhksFC3S7v3KA6fhsc0u8v1 2F3CRijVAQu0Tk3TZdoNifwg+n01IDBc54IJcI/i8KIdt+iacwZzzYE/Z2Hg1M9RiMcjMusvWD3Y tWPf4ymiakrQlsrYJoGvpi8CpzLMp7elhm2keYrhKRKZIdzLxttkmfQYEgx6wJSZc0mX1g3VX5gc X1EsXnY9qouzs7kSW3Yg7VcTThnoUnCezhU/8Xxw291KpnYe4K/Lw/AehuHUCSfH3PC9zfG9WVcJ A8wGuVt6EfaQr6kdV6rwFxxtnvY5Zc8OYWGcDZKmzia++GdTZkXiYTqgatYbB2UCc2vMImsgqiAs 7KQ9sxBLB3g6nv/XxvN2VKfqg+YprIwzrxvI62jK2yYplPC3TLREz8aOrlYn6DFcXEIgVUE2R+e1 roVODgJxnIUnRcpyUqiIoRr+TRgJPKqjU68cFZ7YQahktbSCekrRihroBbDO3GrBBwRn+fAwU6rb /Yd/ns3UezBu7pboAk+rPFVkEPl/KJrNTvviRasORMs8y6Ng3CRXYcd4xwsMA3lpWSkTMA/+u6Oy uZrh2vqlC1kSNUd7VByvMtabsp6YJs8KdhoV58EPTw2PRxzg7xOqUWBq39Aggoz3yXIT0aTQV4Ni TjYX0MxepUKDunxFRQgVW1E7mYw0bcB/oD2OXiCoY9DtA+4lUdYbfDtVVJB90/riYsbPdOGLIgQd c98upms3XeEK78ykkm9bfDxaTw/4QsVM+H+uW6TrYUjevojkW8Iy3Yp0oPiZlwI0QmxJRdVxw/eu bHFknlcC5PwJ+cwLQXRQia48v2HQAIQnnYDqoLiTJMNIdO0RTqULoJJoLcRBbanzIzQ1FM5hhcej JeXFTMHrsQf7rfqOKCgXAqP0ayl0Urlkfane3VIoUViS4eaIyuOq+sJcKd/R25wV0lBTeNoJ30xV PjGHKOLzmnTOHaS59caRjAPDTYCqbBDqaUNlq6uumfF4EJVfMplaojAGWB5EjSgqh0sCxMjHcu7P cEWZb+4PPHqNfx8Wx5fCzDKe0dYT1tbiQu20qML5/UMHhRNobWr1IKZ3WTUg/vA9EQOfRbolrCEk QIaYdh1iQ4w2G+YpLI7v76IvzgPIUPs8haDxTG1RstUwT/BgmT5P7i4El1sPy0dUHJe26iLoRSPx 23D2OyE5oDdy5eh7sRDFzvpZcpBTQap07RNwWOBrAuhqI+cu85yaxBlplmaH/h1K4yfUHFFp3A1S EeTy1D8H8AOFHlFMTslK4J9dOaVSyF/Zyx6evRCtpl0XxeQ6nlBkMglILmxIOq+MiAeuHNh1bdZR h1nnIExHigzktkcGqAjha/5C1u9Fout2XDoK+Z3YYPhebzweHU6/UmFYyJbqEfmIInIVDKT09j9r n3uOV3i1c5bqLfiOKCIXg+zMyNfodZUFGz4Ev1C9qJh9uciCVDthwMrYwPjAk4S7EVXGeTYtVLE4 4FLhJZfQziH9DsxjPB8tp+9DHF2esafHBSOKyJnoA1DgGV6RlgqxBSK1kPtTqouDRBE5exFAQO91 x9sKRttpSx0E/JgljjVEwoDX3j9rPix8yhWMEC8uvYKysw9cTQM7W1rkJ7rA4xGuvn7NEvLgMfNV UIni8UWjXdlAiKZB5QnTc8qGwMi0sNUsRXjxau2Dsp7RVhNsAM6ZnmQCgAJeVuw+ad2KhBbsE0OL o/qMr/mja3c+fdiQxD6AkzGt7JQFjzAWX/lrz2GSe7641REVxptQ9WV4PJDXxam0ORxW0HEJaZai WBx/LLPfbNkwb+qMk6lUlNDyzMSpnP9TRKZq2PFkPEJozWcJumr4miBsAj1qwaeZA25MYegWkJ5U sz+LCs9HXJZf6DCc3fWWn0YUio+mPKV6PFAlScuwqbqWPRG6Nk1xKC67vKHDmaNtObhqnZObstAZ IolJoXhdPMYgy1PRFvJNx/hyhKE4y09tEKYCGOyPEDENOlSZCEeYROMDIvzFr6QFVb+V70yFkTgj p3M/OTGxDkJWKM3ekh9OY3obeITF8Sw1lWE2n+M5nJCBrYa+MNKjXrfY5aNw02ckc+cfH4cEneIh gZPMxDwZE2TYccvVGn7QZpBwQjI8H9VVfKIK/911jsZbHp9hedxCzKVDiSGm2b9R8MtDTJxarKvM WLVQEXhxsO/lH6yTBUNwrjImyOenNxojGoY/EFuDbudt3BV74SgHHqqLFx+U20EYx0xQS8XjwSz9 6pZD+3rM4WyWGQXi1K2CqVT3xI4sZkNfrGk5MCR3lQPPsDyeVPdlXmujBeIb2JSB5JE4up2m0BeT HrcZ+y0DwXBRvkuv/FcbYeHwtEHzdP7vbCK69oLHowPq+xxHvArJCZ+nUEsFm/WklNtjAsVpOse7 n+MnYu7Gwp8xe1O1gvI1ekwAq6aBWaB82zYgMGC/qI/De7WACuBoHqo6zLg+TnYUba01cJ7g517Z 4MG2xuPRPH2z7VB9W/tqzswoEs84B09oVp9IvDs76pzjztOggrfmKayO675LqsBptKgAmky7o/uL PvOAlyfnshSkVUBf7Ed+lmpj+JpglpoqT1xNg8VY5rzQ6mW3pZ+LAY9HaqF+3VH7Alh4CJr4NEWh eOW9OiTqhGnaezpRw+47YC8aUJOapigUH1XoObF+NDo4DHKeUw5KCxjDwq15/kgUfSFpXmipbJ1g 2nLNkLtJkym4T/pggSWacE3HecXjQYuzfXPtoDkz25UMnWFtfFKhoFx6eW/5aobONG3XzeSeLTOC qHQrEzRlLKk9Gcs5+87VALIjlNc3asBS6F0Ubeq8bm70RP/OGUXiSAlP3jt4hk/XITnn5+gq1p2Y b+DxiDDdv/Yc1HoXDQQ1SxFEhVLNnbr6lq/0vRyiUryYAj7BtlmKKuNLkXjqJqTSb713wLq6tpQb a04bCTb3XMWJN+oJavdDtKNLNL4mqmIixsws+mIwHvDZ01u1eFTN8Pi/sros7Suou4zusfiMYnGK smLpXnXsMb3o1ClBrlgcwYU2XahoWJXX9drv6BkLjRxqZl537rk6La8DcHbihVFCuv2DrRf+C/C0 FGlyEDkKDa6hAvnm48E8pe+bDnzMgXPRZinCqJCMDhqXExLR1rSjaRIoIyjPOWpslqJQnGrk6MTV ekfnAVMScXVJ6Z3UQlzYgYILABBE1t/2Jg3C8T0BDjrxTNpbA7J3Xk/nTM0yPEAig+ej9XSrKfKP RWp3E+AZMjfZZsl1OtmuS1wVVx0g8Q40hOynJipUUlGD85yR7Y6ODFt5oB4nv8ByjgM1OAfkEQZU PnId6U7UKnrjaN8RGiYllXSVVIALnqo6nZgDj/+XCBNCzjNfvvSK4vAk1k2uDqAbpTuwfo1Vvb+J +iOnaUUwFXkdLKCe7+hn+ImFzv9QHI55YKICpss60wSQFTJHEwiRSsGK5cNZbYJQjg2apQlREsFU asXjkXzRL/nHgRoFhKNsmsKK+KJ2/VpO/KHJjE/Tnt4uR/qvaQoDcXGe9prPaNsOImiLInzIIMGS VxeG6rMTJwRyLcc8LXvhSNKQp1KRFh3F0ewUX/QnRfJfC57/L+pqkJif6er2rlBFvEqlYHrgVDar 4HQ4EH6Vp1P22twKcSqSDy9mV13UX2niR/V+EpJZmNWhpWnKtVBgRt/5Z5X6xE3nv8PXRLU5xpeV ZxQHoXk2oOfMVtri4/8SE1XjuP6AHOazFFI3mfuOlB3a29cV7EdtxbOVvMzaYIVY8S6yXVJWx9Gz un7WVuucJYQpbQ/bcwCzowk9WHUqD2h1xfVwOSEJu4r2KbOiE3QAMSSJAujSRmH476Mpo7g3y6Vu rlBOnHjMTPoVySzSOyWmvlIch3ZkUK1X5LRC6qZcHdYc9Y6W1Z3MC0kKUgRouyP7wr8ziOul5MwP lQwvlmfqlQNEJtUJVpts12Gmmvlk4djjP2agtPAJkQydhwWYFiB8V75yDisKxQvS+4afSMc4RNhN rS+bFCuL4hUCVZyqUEZFm6mvNO5oNI1KxHuhOG1BqZ3kkBNP4c/NFbo0BWewOQKyRoIvinQvCFDZ BK9ysAQY0pVJKQvfM4CprO81NcEjS1c4bEXB+FrGYfFKJmSKLRiHKIjRNCZE8jRPYTBeje4jB1OO tvUgW4CqAMVp24JXsmgadL/JELiBLZaDL7IuvFBGRQzXTnhY6w4P24Bum15fang8mKfSv9YTMC8n 27nzFBI4WfE9/2d7eY7SpZynLRw5lnZr0wicK0SMSxX6xHjljrb1QP882WmVeWkptWQREzf4ZJmE YGitOUgFtwq+6C/wxSgSqYV0hlT6xmwkDEENq+Lx93230q9679nxC14wNk9ROE4rrI4D3OOC3F2p XhUgVQpoO0+F8bgyLqe6roSEox9R56YArZzrCW7XVqArDI3PXwqAOigD7AEXyinii4LDnLAnxNw+ KFeBTLAu2Tb4nhHO19eTSipnPe52w/EVheNi1K6xL4J1G/QQjGDvbp5sc5jK+ArD8WH6aukZbaJ6 p4oY9Ytgc46OBBcUNTAyb4H93HqsbuOL/uKRTVS0bVDfrjVW16lcUPF4EBtcjRDKGDbqMObhS2pH EfmQnQ8dg5QH53wF1lrzbvkjsLajyrgyvLmLbj+Ot4WAogCifnDMz0lN+g3AFygVoPAF02Grqsjo fEcReSOGp0l5pkEKmMHBBiFE/YNzqOPxiPjzDa+HIe+EYZPNUsjfZH+zURGQkWZyMCZMo7vTozKl dKhaH0FUhjVaTD17POrZHb0n/H+gw8oESIUx1Ei8fBB84npd98Kj/8EOkeNkRpEBaIN1EOAIrkPn 5MH7PSJPcv19MpcinRI/yXcIHR8UWEtW3D356hhXdIYmzfLSYLGfExWF5MPKTymtOzp0HE2msmRD Df2iJA/mE50D7IdgA2551yp46I2DeVqCz0mHrjtxGnBYcSGB6sHjEcLeAwOKRQL9UW6Ct2NZQwYG +2YuKg9ymnK+TPxFw2hOU4hRaVIs6Lnc8So7UCRkkA9c6tmBYuKzdL+5XXfZ9xxHKxrfExxPyaZp +6DjCRBimU9vLKcIpNKKJ3hiLUy2gh29ukPcOLE8ed59d35Xp7X06bphqLEZrWWHuHEpO4Bldcc7 Tyemh6YKY3LqqRR1zFEgg5wJgPZepcNmx9dEuYv6doL0oEfOWgr0OtYoAqnMjef/CzKsT8rQeTy+ o3i8DesDO/unuDYWXH5KsWmaa5nU0w5BKpbibSvT7VumA0LtpBLkjZUJcYS5n7LKpMNov7QWdNH4 wkH0VAhSqQzKOdimOwfodoFoPP4vsT6VCxYwDQ7l2RFIBX14oJymi/WdB83qNuNIshrdoscHZykC qQjGtADivOMtZjYYxCYVM8/dsnUtwq3lBzw2nORoljfld01v/EfNF85ByQeDY07Rq/C2fDxK7n6D Cmhzew1awmB8UCWkEUnAxVTm3XM1Vd9zxZ0idohSmYZSYR9co1OBoVxVQQmkKDQ6R8LypJNadvm1 QKxCUqI0esb3BGlwZu8A6YMNmqZBUeUfgpEHHg+KdNP3HG81yIuDuW/TFJbGs6z9mk/TSXadvjnb cA2j1VFCB6Rgx7G4l1XGHb2scv7T+YZcVMqsa20D88BjAxg4ah0bRmVPvXBwNBFPvzIFHThozwHi R6LFSY0GHg+qKslPcMzIOSZ/0ADzWYoCcVBKAEupbpnc2vUfk1ax7H5aM8bdjhAqs9iJtPYdPR44 F3WjADRbwHmKuwjCBiy4K9g/Hl4WwvHxNZGOCoUxxWfhoDoBs67OOsEcePyfpkg/tD/gPeDTJFDQ a2aHKKd3uY1R8ngQW0h7d7mSTvolTys+UXknSIH1xxvtkKOXVCY8QUnghDrdObgJ9znXZ1OEmSlp 9YP+J/1+tr10wHTlXKEFyFCcIRermECpdAaZ0Kjd/IgIvPoLgMGifr5QaBET3jv1k1ETEtz/UVtl UUWF5HZollAohDJWPl+huiGxBZNKjD7a9suIBsBmodvWhPA4I6hK8Pg50aFQWy7llXBQftOfIIzC qp2MQDRbJ5uQZcSamc8HuUv75a0BushGG8cnK8arYLISgY0C2MtWkuW6OcdFPxX3TU4hclyGPrMq yePo6QuwsaxCgC9aoDpNgaxKpEGGNXfBf+Wyx6wb4Jv+wmKg/8XCwYJrJnZiOw82YqSBXeAHBKFU /kayQnONmi8+WWG5HBFYX64TQixu8t5LczEx1NWsk5dTbL2pTgGn00Yv2gGgXhD0Q1uqNSi9cifS zwryVoDs1pvryRUhRSVzwOmxBQk1aM7MqhA+FJwVxnl8PkLVla/DfdM25uKghF98L3TLLan7qcXG nUrmS3yOqbR4+sKKauZCjM01za1srnbnap3wjDAGtp/P76rqQcW5SIfuH5qjWiJDqQB+0x+OJJP6 6DZortqmSTc1ozOfjwj6v3ehlAcf75YURemJSV+jVQzhdQnNT5IW0ZoW8aydtJMeil0f9WfIYNpq Gj0AxTID6RzbcIA63LY6DKj0ZNzEmdchpTEKa/j8pgiISIAdpsMGW1m5dW6tE7vp+cAeOM1fCU39 IbrG5yqK1aki01bdwh9SLHI5HQ8AEwlBDZSBbK6iYH2qBUq7Zx/tyEKPfBaKiNSUkC8PSYsRuVbI usKtb+f7lol4imrnk7XzWSVWVw1VdJbYVFMEZP3M56Mmw7dRMP3mz1l3LfBSKLhC07JzIA3HbRKt xm3YSATWZNXnfA/r59s8bLQNhbbSZCHL2fQSgVvFuTgKS1hQ8i7Ez0BerDpNoVBYn9/018JCwKah O9au8Cwk1q7x+agZ+m15Ax7LxmrxuQrD9lEFY3HnMup9ufPGGJcd5JW8nCLZlWqVqWKikWXeXPms nQThf7ZDYZzEVjUUp5jxnhWARMxBiTKaSrE30JToaPZBaymVQb+sE5K2weeDLfgQhDhVPA+wln2u wio6++tzpKtuX9zQBUCt4gnzDd5zCsvoslieQ/J1HO3AOn8FwY2ymyptEnQExh5kLYmzLkRJiZlH 7jC+KNDyYWVhdoHuHLNWUWqbQvSc/IDP/5eG6KjGU7KpCu06KW5zkjLXPGqscJq0pgMUYOiy3Tcw 8OsEa84EtKRwwNGzZlCC86TC0ZnBc5AyaphYrygugBIFSXrzvmn+zhEjndUFRH02KG0+R4ZIaAB2 8flICuJXT5TWgZdonUPHTlMXUV1YNb3iKSH1xaxYVVz8NweWnbglpCGdVdTjaFipMetGz4rVKuAS azEOyFldnVHjeuTbCVHMfzh2MmdW/M7B6jCDfQhyEyufDwL33L4WFRIyIGXvTL3H7VjLVIgapnLE EkP1EkPKLiY9aVPDSzA07VzF6npmM7FvUogrdOUOmB3UDuBf1yRfB391qJSSmX6nqjR757/aWNQj 4WB2uQkxB4GRIA7x+ch66pdNEJld/dl/kSAiRQn6YE9EutvJ6wxIn01MC9zE7lMVRe0zDQPerTtq qvaAR3HHuQTrhAnIndzz8pInzg9SNLcJGs1e+Q8l0kWHExt4uKA7U0QnXrDLDZw705f0NvFVMATo V7I1h9adsO8Bu2q6BlKTOmJnzL5tUWWZ/mn7hczPIr2xpsXF8TI/T8QGO08sqg1Go6Dp56cAgRf1 PaTwVrmimTy/KAJvTNH0uoZmtLtzc9JNnqUyPv9fLM/r+fa21rP/3gN2dzk9++B6MNeVbP/t6qJa GZeXL6o4YNdUTYGEOTqBf5z7bVS6Kp0T/nycCPyNmPjZiEqaFzvVp73zX0i8LTvY7XawVJntRiZe hc9HfkHf2Hz0s+p87DsD/05UQ+VGebPmyn9XTDTwhYQI2rt51hwaeC5TqBMDVKPVrxZc2Ashi3Be ZGLITBCtlQ3JLVQebqVP5avQwXMyoOqUKdBgpb6SjXfduPyjcH3fAgNrzI16b89RFUXrqsYQo26l vpRc4ycxLlKpjzq0mqrINKirxLcMucnRovXzqy1wPtlyPz/3XpqqIY2EoiJ/dQ908GT0TdGyksuw rKt3uWEVuJniWaXK5yOxkbsDeV9uKic8WzAM19FCgPScG+W1PRy8ONw5viEjvOsqLLPLc3Ha+uLo qQ3UWhoEChCC9s30mVLcaGcXuEFXXHwODerNXjoyC1ClXaJtrnkG39w+BcRYrfD5SN29/7oDNxCx 12o4dPPEnUsdjW0AxkaSIffgOWCaoahQHrc0MLbzlGn1akJxcrRw4dzfKwGT8kOPxHPesG56ZgzH ciGKFE3oy7ia9tKR3q1WFEVsOHCu2ole6cOChbX5fJDcXJGIwlZNJokR3Dufr9DWky6X39T+TH6w XGLncBQjKig2X6Gv5xY6aBcTIS3FGUXQsGnphD5dvp6zIHyXbwCNK7GFgay6ra5mLx1chVmaGsQx TvpfM1I/fwVxAz9otvD5f7nHcxnN/Y1/yaG3J9qNKO8RWMaoFEmN6u2TLE+mgthXPlchJF2my5tO YjZ6pW80lD4Xpd7Pmqty1xtgCstjAQfnVXBjVzCH5p43FB0+6HwHJFKQz5Pc8vmgKHpVf1hBXfCI fqKG0NtzZVFCs4vi50FAB1o5U5rTm4ZCxc/3wNzTXB0TFYvuaEcWYPq14OMon9jzFj20TLREIETz UyAY7EXRmuyl/6rGbNo0nwwAOH6e02fFFrmdnBtk8wOCaPRpyW+Go+UHjI47W6GSOTnHRY6MhKIV LyGjHD5MTGrsunxhxfB0qUnVVu7oRdGTKp84YhFLPCCaJEvr3JC3t4J7b1DKfEsladtLR/AF7r4q LfNq8ejJm+Y5jsRpzHrTSFf5O8lBnDdTelZWaC7Ey3BI2YZJTi/qR0DQaHozFX+she6ByycAbSav IfgQR88HExyoJgUkTpIOwc+peHTDng3Awjwv/JONK35RRBXdsqlSrQFbW7yqeqIP7vyTRU1+QCQb /H1gIXDggexzFUJi6LXJfWBVBmaugsSUvLzKMJ+5ioVb7MBK5Y5e5ztJHixSB6OsARYb/x3acp0z E2d7fyTN1ZkIrT4z+bTnhk0+WEGmJJlbn9Xa+HwkRP19YE3Kb+VnC0axu2RJrKBAzF53B7Tzg1Xn ri30Um2qQjVFmVZJKsRGS3MoTL0n1Vv6QrswW0kQGqKAU0OJzYWl1rRXDhAfCEdXFjAmX2DMOQ/n IBr/zNTm85Ef03fxmLRa3P0+UyFpNKte9e1b5WLUnY0fQWMQbNlMhYV2U3BRoV2j584n8pk0yOwQ yZ30qBXNM/9sVPV4o1gDJ9tUheAYEfz2GBqKI/M3xXcT5QX4fBQwfHfosYQhcnanKoSqs3bVtKjk EmMO4ER5ee1qq87e9VFRa3AJF2NKZOmirQCShXEpgcUTlxztQoAIwd0PhgUkky20YlOE3xPcgSaW L8c4N/SFmjosM7kT0Z4PPD/Tl+cnNYQRK2xKSthURXE7q5i9reut15hgaP/N7Ga7m5QqTVUYt5u/ PF1/bfT2fDrTgj2Io2pCs1N6yxNmPwv3YMG1hmyOR1Wxd4604HlGNcpvnKFqVWX0CBi2g/XyyaHz 528+DdwFV722Jzl0/pwya2zj8ds1PaAKWJ1LJQz28bgBQ+tPs7AqbY472qo6Mc+aexDLiw4xVZNo IoMiKVS0wI50QGjxV/6zIAN3Cxv8UB9dXvOssgfmn/9X6Ry1jwUlEJ+pKGDfVf34fct82wXhUQXw 3k0pzQ/1wP7zBLRFIlNZ1ic5P9YnWKknyexLfS4oosnRA8ZidHzLea+H4e7vHKAYZpFl4/JBtas8 ZIkLq+vN5/8LeJYG7KjV+1TFDqAqLLQrLCEzHVEgLqumrGRyLjm0AOURAHeF/Yxeuxp7ZwLCIDg1 oO3HXnNmBluohDTTbZ929blCF1DniSyJSyBRUM04nTtYgucnKeAH/Bfnxsy8MO1rtpdjK1CgOdvu ZioPsPFK3hUkO18d1Ol80vyHF6ggV02Aa452XJ2sA4oMG9NVznttVjcSQOMVLCT8Zqg4uOqUWvOB GyiI51s9eXYHgWKVFh4g2oW4PmA++QHRNvx1YJ1jlIY1PllhyM6+oDraWltjJatf5ZrHj0sFzbu2 QgS71tTqfd/Rk0HUF9BwprjpuQMpkkvPPUTrUFv80l/OUNPRN/2FUxuJnqDU02FKUpE4FZL4RkmT HxDdhL/w2RC2fES9c2ALCrI9RRTYu1DmnLsVZM7NVT1zbvInRC4Y+oJ2OQ9PyQNofPrNVTlSp95f lufc+TdQbACd54eGMdbwYqzCb/prI+6lBHpZC6RO+O6xINM6KqOBNej/LbjDdbbvp+AeeoOySwXr PVfUhweVFa9mSqakkFNyD+wcmINCjkecyW1qzDs9BZkN/fPeaNIAjmHVXI3Nfj4NSiEee6k2/tJR RYYHfBOeXawFLqyBA5ALK3FhhYj2NL6SQVRpZ7o6zDkwCAXpC6tJGk4mq59MOugEX9t9myA+4pMV x+0qLiRh+zj6LhwJnpBFk3VCFXUHB4WLMyiCP1XC+ipf7WUvHezCJKc0MnE5aGEB4EwtZcgw8vl/ haOMMk5+scpTvgpNQlVlK207FxfcIe/klO00+AF9W5urMHBf3pYYd3yKDPBhIegjQ8dCNAlsS9yG uPtLmeUir1RxD21CRUsqNHLSIOTVHGBNsm6wFp+Pqld+GQp5dXbh7uNZWGHJfRK2LQBMUjzaHLbN uMni0e3Iq9Ap1Bi5qqvaqMk6V0+BmCDl9cFabsRdnoue9yYEdlFhmN73yoL0BVahOLFkYswrscER qKoaWluTnQYUyPgBQejwy30WANMvi6Icu4VK/GVu5wKUVLzz3KRJUegLVr0kE9iFWgwCc6K57mg9 +nMVoiA96cZ3dvQuUmHY4AQ3xHq9efKcB913cuAWijRFTT0fpjWeTyhbl0BqQDMEdqHA1f5GP3a4 O95lFdqFTnYmDKRG06tWfabSZn2UwA+c8zZTEbp9DVViVEPQeNMctHab9HRbgYmvKcdDozMlBu/V M8KhCCvwC8VmZ+m4iAAHSHDWIXU+O20VGtCkDx1D00W3KzIjW+nitUPLUJpx9CqRYTnTL5+rQciJ eDiVjNOuj4rOdoFjSmp39EIDBcwLxQOgt9CSuogN3a+T8iKeXBejloq9coT8QEpY5YhZGfSzw5Ah 18/y+Vklix/wX8wwcbSP9gCQA9dQUF23kDHXDXNZ/fj8SjIu4mkFoV5bVn/X2s+kyuCJoy+rDmvs pvrxhE1EMcs5aGnB4bHTt0ECJynZK/+pBQMHSxuM2rV45HB9VT7/T79esQDA927PDoyQ7QgK4Icz 58UzeG8QzXQXqppXHj3HzqEWMQguq9EjhnQSlwpmBIhkDf0Dxu0TdhYLhxPldF1cqFZ75yBgwB+3 8Hk+aK5wZCzhGVrl89Gq+jbog5b3AOnapyqK2tn+aFvylUwNJaq3aB06Xa4ZpiR2BwbeoZe6NJOq CDNdm/q+ZyFUiSrE5xfIaBNlShHipIHuWYHQvMNklOKE9qHNbIuoki6FdbN3OqkmG8lAuPMDogry XVjSwS4/Kz/oj8BCFMVMMr/BdDK9hVk8FD3/5Brg5zq/CyuK27lN0Zw367B2L8He6uww8CZlAlkv 4W6I53FxghQMvMpt0sutPgc2oqgVUGyhFlkYAbrI8Kq0WeQFnVFrCH1Ey/wO23Hx/+z9VGZCJ1E5 XnQZ1jN7riaawyLW8uwZajE2WRFUphoAq5hXZin3bK8wuG1QFeqAbc9iZYnzyDmnAcyDDJNP1c72 yn/0uyZqYj5oE557UbQcMPD4fKRPcVF9EhQ4wx630vB5Da6K0VHb6sXADPhHV+CHLLgfWMUl415D qwLZT3Gap6Ha54NqPyfZAiWDqwocpiRx1DOZ58gBclPwR5HhaAf9GlgVcGGyi3vZYNN07hKqeJzl iadfJqmYFdWZkfEjhEj+WZN4dkZz0Qz1ojTZ+/KVTTWRlVpxs/oCSTctpmCGurnLpFXvaGkN7FZL y0oB8U9Juk1s3UyIombWYWR4AZ9ofM3bFJ3YkxC+sVlY4MApOl/SxQE4ySSefpsihEXFpoibC/Pc Cb3EFL3GUiXLuqqPsfxwUpTLRVRgeKT9NraX9l4jqfJEnU3ucxytD4jeaEOCQcCszGZ42INbAK75 ybxuG2ISwv4aRxXQ43lMw9PIBtWLyyhV1dC+2+c1iCoPftYQypBdQcNIM/QaQmERDcnrXkPxqsuO Ws07dS9+pmbH92sAVTI7mFQaNM/e9Hj2nsx6DukKnWQJfrSiM5M6PejCDLqVzZC97vsMIYQEAq0x JBhAFbGRlQCzYfBzFkX+vEZPJV/LXttnZy2QnKYpeg2dCrSXCOPI3Xs01/DiHBr14vsHSrJy7I32 mbwIZqnPeAF761zPWIagT5azkahFcX5uTCsgEMBxtss1pTbca9xUJOGCorAM6mt1nNBJkJPElNB2 f42aisE5NSU/hgKtd6O9xkxFrUYIw83iy6iaPCM4e63ZHK3i6ITXiKlIb0RYl2e0s+gkrSfkhrHD ef0TIDXzbcjQRMgFuUxj20nd0SSD1WCOFm0bCrrUNgjSmIAz1f5Z5fMaLBXzqdBW03xOCKMPm6TX UAmnfFbVrl6RnOQiOYDsmDRVJgVaPiDRJDU1kCFz4KPndZtOc3TeOdusm6QHst9z73YWWSHXYZuN id1rlITNlkWkmbzTiBqh9gtqBJuxGeKXz2uQhNNs9q/NhtCHlriao9cICSd2TY9ADsPJ1V3GupId JqVYVJOkOxyd2MaS1Lmk0UNvVJmhvonQmzeciN2F0IACNwBUJW/oTRb8a3yE32ISCARqiw0CEp/d pgowmFOf1+jI3/Ke2fitZk6+jl4rmkU67KgQpHtmD097IcDlUKBNYCbF4IIzO4nEt8DC8fFe/KtO yHdxjrizuwHMwOfOOJD6rf0WuiN9XquZmCM21xusRs4wqVXBnnOGihPDbeifBnPEMhunBKv87FaE r8Xm6LWQybVbGBG5VQP0kFwbpzSn+kHXwQTzXsuYDI7M0jH3O3q2u9eCDDAJpFA8KFMHEks4lfus 8EASCI8+V69FTPwWPImAZtZgtzhUUAvLtZDf+bxWMMvj+zGs+clYt+bsIWQUZZOdBPVZh2pIXYPT lCWqUAkr7d5Vj8JsyzH2MuePdZ0/Rod2xjmlGWZDX586PrBwwCVX6LGexuMHplT3PdK+ilRWnhvm porSRS7MeakD907yK9JNfWYK3z1W81PpneOHX4cY2Fb28OUkOWYxR3fzlnre00lG0TxN1eKyAIs5 f6nr7wFOWScS/UTwMNr5ofApbk3YP5OV4C1iyoV93jl+2AHUrt6T62q7/AAiyNJpVdVO2Pt5p/iV B1eteVoMuO6ue2f4FXfnHbRrtYrcs55qmTZPODEcUBbM065Ws5SlI0dbTycpK5AoZe0Sf8VUQAVe CNDfeCBdFz4gTPjG79MEoVCAJik5zEF4/Y6QXtnY5uNBtDTSV1pSqRz2LKco6pZDSqP8OqepjbIc IcUjUehzFugFZgmOcNM7XaY3vB694XFi9n02Xck6wlPTlA6oc/8sQCKLWp1S80xVbxwkb9QP2uxQ alDytiBTJGl0oF7DwNs6LHbPkVpbkk/Te+RtzsNnP2y/6AwoRSCLkl3GfOxLqr4bTRN7JmtkuV0N CfGwvwI4TgF8l+VdmFvIb2ei2jTxY2TCvqzBMlWzDA8nqkvgysIAr2IBOcEEpwoA3NM+78S+IsTs 1zlO4XmZf3CiovCbdUYQOJ2C3FkB40QV1pVVCEc5Q6WA9/gbZG2lcXKN0+h18Il7QjYEC0gWCx8q +DfQqvxB8ddP8UH/pndaHw9UproomtkgbGJqjT1Zsos+76y+IleM73kq42fhwLN5CiNwGl3VZd6y 2HfZqGpn3xn0oKEBZ7aO76S+4uAVYPvTHX3fnRgJiqEoCOxxYuzR7Xg6f+UiPKKhZi0D4yyxhCgG bzyedpGaZ3E1z7Ndp2Db57bbn3dKX3ncP7wmcJblqHffRUE43an6rEYZIryg2TSlup38iDalTVMU hYvQt5LRRTl6lbIO/D9qlUCjcHlpCW3yBUASKCWX+z+73vh9mqiBs0ol4K7QiJVReDqHuEx3Uufj QYj5lE74dvAmkm8a5+k9EMdtR7+GZIpTmcwVmycoIHmNMg0X7Yoi8UGK7EJQeUerL9EMtrMjB32l c0VJgHEyoFr4D6U/5VyhN98j8UzyAXwtqNrBQRWm80O0pOSu5c87kQ/B0/4+xlGfODnL9uLAO5GP x5N4HtXhKmO7bDz6dMu2Xb9luHceH6NxzlPbBM9ptHMcWgssGBDkeg7yYWQjNLsHWin06DFA/pQA VRSNEzF2PgtoRA2cp/PP0m6Evdz6vJP4GHulX9cd6kyodalYGQXjleKwpW6fJtoKmw1fvVZXfTm2 7p2+5xdn4mF6R9t2kBABI1jbbqN+zKgAsefPLuyo5nQLTWjgfN7JezxP1c0Uwrw7TKWt5Yy0M1+f d+5esf70nSbc7ZBk9WmKYnECe9EV96KuIDFSvEkuyQxcnF1278y9r+Cpz3RHh2tC/GQV2qlW2mAv MWhZZUVz84dXoR9P/spRdwBhQd3ETFeo/jdBpeEEwVsbvhazfd65e7zw2tdUQfnlROMeF7xT99hE IeBpWdMSB/m+4kDNw/FEsr6m6j0cl8At0rq81h29dnnW01mqdct59sS/RjbGDQck6MKp8gSaWlLv 8TgiKOT4cI/mAADs0FEOBQ96pJ5Q8/PO2+NUf994hfSAeScqDMiR5Z+8ZT5+zzeAymt53jIAutBE RQF5ymLMmlUvR9t6JwiHAR+ABGd77BNQkYg2/n/GvixHlh059j/XUhA4D2//C3s0M3dGlhRe5zYg sFs4kRnF5OCDDQlwkhNjnp944J+73gZFXN45e/gitcU7jygOigxQYZe/zJm/zztlrzwOmEOwFqDf 1r6lp3fKHm6AKtHT8dSemoXkZODYitrbNYffGXu3Go40YN7x9sYhMAVwBPTQSzKNVBQxUWjFsTfz LfTK8eqdsMcMjWcUQbsYhvz4FtyP2BgfBU2VKCKfyddTsTOKSaJNU1gPR4cDrQgv9UosRn4NJDmo XoATR+spKoh3tSSXCVVytGmCXQM6vKirtAQLYmJ4YMuH2yMh+0Ab8Z7lS68chFDEGk76p2kwQPna jYXMuuf6vHP1ipF+OC30FYVL6EjefXqn6hUTwQVWxGWmdp9uM9fyFfoWVZHL6T0gx1kuMofL6s5y 27yoHJ/wMhOVsgFRIY7zpHLAZp5QGqqn9fo1THqqvlP1rBUIUdVcfBDYcFCdXmtkf96ZejySv9fT pMr4utsujMjLEI/jZsL9Os9mYQhUp8uGSXnn6eFnXpKWooCFjRZp4jZCJZeJyyygHhr57BxIC6q/ GWgPT/CKvXE0TdTBm1LFA0FnCBrdgH6V6dXen3eaHs/R7wwPNflebkT+ztJjH4r41ZUvn4p4ZiXC 2VmyOLWsYvBO0mPNWoCwJvUkjjZP6cRMaPEn9ljgrmmO9DgVJ1l967ELJU3p807SY2VEPqHmGup6 EfAAzrKD2ZmvGSyn6WW6IuLZmaJEvWHOUxSRV3AuoAviBYMmFCbOp8ZCNeepNZd3e2fose/LOp04 2jZ6ZaWi2J9YpxsN7MVmQAOgniQqchOXRZDvOz/vLqfdKKvBQfWnEyHYrjvJw+ednodAdXqkqX4d iN+3X/fOzkPQ1WUgY7U54N7LdLiOS+NBOb5b3vJOzmNAztOpmwZefzTw0Jc4JywwfBCOA/9Mu26i qDMQnaOs6WpliIA+79w8a7mR6jl9UFVlDyXz6C6Wzzs1rxiH99lzkOWbt4fwzswrknPEBZedPwU+ tK2l3dy18ESGyfbcOzHvye7WVpWOo/LxE+wjJhys0tFBSPfhidAxcVXsmAa/KoEIit44wlkQLNeo mMtBRboOXX3ZFk4+HoUE31kwujO9DC+qvLPyihB6wKJMJxDPnRys2nL/Ep+0mu87KY+IpmZFgnRH B6MAgVmGdDpbXwyiWBc+gUYnWjRf+iI5RJ93Rh6rG6qp0MiYgxbTAFZBHkWlfN75eDzA59csASLc druLKQrEGylTdTWfpdqqzig42LArXBQQLKGf37l4XMw8bChx5qMtJhxN5xMGWZ65IFORoDWxSXlZ B82AX5NSI+9MvOKI3jyE3aErZFeJLmdiRiBaUD7vRDzWVL4vugrRf4h52DxFcfjmaioru0PKuUxd dPJceT5P/Mm1mqLSeJLh7Mz1GX3TrbMPsIlx99bzRqWr/HKiqx/2fCkRafa86Al83jl4XhmH3vL2 wQ5w1EAZWvdRPu8MPFwz+xshh2Cv93Jn6T0MR3t9PxUVCU5W1zeYvKmEpQceUbMU1cXTUDhQar6j 77kBfwyUWCBvwNOJq6lDtGgYSeRqZkxmK+/su+JSW73POwhOUMB8k4Zp52sG91z63nTQiO+oFdg0 RVE46yAwH+iW/c75ROF7+Am+pwNT3ql3XkhlzXLe0abpJCS5slSDeJ8xFBlSC4oG0MA+EwIMtHM6 iSV8Z97x0uF2qyKyVKtG1HNDD/oJQ96of955d8WEiL5wF6DrX2zKO+3OizAQ2fZ5kgeewClrJQOn UHadUfg76+52y+EgY/KlvDYmKegwdYQYoKJwyNQQnbKBJ6RmVGGbxeuYSW/8B15u7kwFlk0nMR5O bdKHBX/7+WE+75y7/9O3A7EUuESfpygKB64PQHCPLs+vMt2tcPft4sEwDLD1FNbFFV2SVuGjrSdI 1Q62fFEAXGJDUhcemU2pOHAhF+HwXcTHn3fC3YMqKJl2YDjflPPCe4B25z/Ann3eCXdMf79Rc1CD gEKXT1QUhk8iecbOT32uCjxf9qYsgagG+AeaqKgwPk3ToOZ9R0t/8UtjBbDiC1PsJv/Lin4+Mg6g U6+ylokavJPtGMagEwXIkQ86oBI69Jyy8xmfd6od33N8n+MAX+ILDYEZBuKbTgN9FIM699pcgixl k9oCkZrlOUAw40Bc8zOU1okw9yOYygYMKdOWITEwly0D5WFygbECtD/9gCLu+vPOtEOGlaTbPSlv hzWpGspJEhPZrudPmJ93ot2vxh2xGhlp7IJUpM1UCFQppoYrBTt6gjXq5Z8VxdoDZgpGTOfHxhH1 TrQjsE3+pKs94y2oFCijsoeQYACRjOZD8G8eWK2gF1nhieXnzzvRjluP1IJGi7mJHFrIp7NUGtE9 Jyxfn3eaHY6I+Q1UwY/DZo/NUxiNy8dpXe+KykKb9P9o8EKLAUCxbEVFlfEm8MmJlcodHSCWYeCO WhiAT7S+6gIaImWC1yBab/VJ7rpeOQL0aILy0iDu5onl8T0UDT4Xz+edY8d4vH3tPHA3Rnqwz+/x ODCr7CBk8z4BDnrcncf+IR2foZ+gK++dYced5x3zfkfbeXRJOycWrzwgDQm5Bm0M1zS/vHT2grXz tr1yEBoI9wysgw0CPp1AOynSnKV+3hl2Fll8hQbnT1y42m2ewrr4GoJduA1DH81tZ7MQGCyosDDM 9RTWxa1UQCsoG/3Kq4iWEtVpOn71xNMepPDztzLBRIplSbAqKu/sOq8VgHxffFArGEUfGWCed/28 k+us/fDdCoY+er8F33dynTWgIeS6XT8kSx4RJRWoPlqoOZOTVt65dbfRsqqp+XC0eeqwqwBkWZ6F ZznJKgUixZI52ekRsUOV5PNOrMM0DUWYyluq5S1w/YVMCRfWHp93Wl0xpYbnvgMzEr+LzVKIU2li ZVyDq0L/ZGkWeAsPPusuufnOqXt23Rh13dEKT/PkKROXAnURgV/P1uM7K3ecY/5nr3XDcS2mqCje jaPZug/K7mjuLfpTq593Pp23dO9iIiOk7LvnonCc1ha9pu0oOhweFmbOYup/UHZoRv99J9Ox8MR0 ZUpmRqPPEiS+S7Yi5pl9sRMXCAewxp0/a9SLDsO9/Hnn0jE7w1qqJC9p8C236xbbYs7PO5UOf++N nXgVjwT86u1FtTAap5VAXdf/skquTsaO3WUKKILNkkoL8eLSx2/CRGv07A7uaB2iB7C+yQAOCFsO 50gY7fyws2nEX5QK8TVBQEA/udF1QLkG+EknILeus7w0PB6EmN1zu6pw9AdYeZ+lMBTH4h84wm2W Vl4XVK9j/Rzg54+czvKJKuJJTKgq/3CNjuU5uW5famYs+J8UYVRGRdOFsSkutwvJ3Hrj4J6DRsgq YBHZoNW0zi8pIPTJr/B4gCgoF1GAeQKliIGPEX2iUFzGjtCDsXnKbTh0tatSzsLTdAfj/h6K4wAX FtME68YVrMto9W8qG5HBWk5E0IQqhzV5hwQ3QePK7Ogci6/5k5kJAJUNtpq4tTlNm4//Fxw03M5H KV7G7FEYjl8R/J56y5gzqWgA8ZOrFjm3S4P0CKMyVCmAe9AdbZYgbjIqlA17Ic4wTecFnaxRNP11 HYxnsjcO8hUqkCaQ2m3QNMFAhcc5hPHx+L9YY5ym8yKtVW9D9bgmzhNceR3z31IulGdub7CAuax7 rkc1cZMfLdK01ejtOpgEzES1GViwnZNbBnIsYBZMKIS5b6FAvLEoCpeWGPXaSByDSg3zjgWYsAoF reD5gKU5vytPII38UPXcZirkaRY1NrcjV1FLMwid9RRosgCQMePwHsXhntdVNVs4WhxObSwkFJwp VAaoywMTHeQrCNoowOhaF8yAexSHY+eAL5NssHyF3oCE2Z9NzfcMjvH0HRPAmwywQZ+niKw5mK/k 1VxGTG/JyKnQxFv8MeorcJ7COFz9gzqM8jseyu/5fGzoJo8cdLYkhXEmr/8QLZGhLey6WLztelQY XzSBgQAPByxEU25oScqUZ49PPB/M068SHVJf2qLbPIVxOCpPwOm5V0AVoIB6IOIiEmuI6gH9CHvM 2LSegNkL5fzkK6g4NlznMKIvDTBTIsbRyd+Ni4ocMh1QNeuNg6iArFYQZjAAIEsUAaxrqe0Dx666 8Xyw8Z7mpjek+oNQ6WFtfBC7ytUIY15IEG6ZXKZzc3QpYkENpqtQ0ONQXH1dHpU2OrX1PH8+lrXM TqIMjyiIy+ArUZpG6vfojk69cnCUs4jZUWyY5yItZMeqNnK+hQcjRC/wARGG7jsFpm4bUK82U1E4 DpF5c0zNFmeSNVYIrkdjAEJrZ3EBa8XDPMKoJAn3bWNicLSZSg11QOgFQbIBGt8UMx89s4uAPv+X MmRJVB3tUXm8yget1OwDw8sTtFYmXD9tpYbHg1JB+z7LgRY4adKNDSLUOKUcOwGy1FhryOndCpR1 BMUGCO21oiKMikQhQTXwkREvYb4nLhi5kZuI3lGhZRsUNFEyKLBarkCmXNj4mS58URRD8XBK+Q6c p3ESgkxMZj33Kh6P1tM3Nizj4Bxr3uggro4z1Cz0M1G7M5lnKtg/xawUoNBsCyqqjqtjjniv39HJ ZPOsmi6p0RONQ7ePJM7CAgf68VhLy2uZvAXwRVEezEYLMK82qC2VgergjzPLxOPRgvreeBk4mUlI j3jlUUwus6qipt3/Ik13CnCpjdBd8H5E5fEp4Hgj2MtGO8w3L6TGaBOiFycbU+4CyP1YiiqcdVco XoHvifpSopOl6YMQGAlVmqWyZsbjQVA+vueJhlf4bpumKCgX+7215Z5eXYJ0k7rk1SVGaZor/n1c G5eVZTIWULrkRJhAjUk6EGq+0P+QTVMC6O2su7OAoXHsymq4A/A9fzDw52wm6AAQHbsIZVTYxuG+ b5insDa+PConcBqzjQ6azVOIGqdF26SbBeYJhObm8+Rkl7MzUKLRPEW18awUb1nXfBXWqQeSlxM+ obk5mbwUEBWbCfqdHdZAs4NCsdsnQGgQXxNAV2WtPuTpNUiL4CylUsX+Of/B41Gv5buUCbIHjmSf pRCp0mUbtK7kBRGRqj6RliwkNApR2nRRRG54nhMocQ+1wbR0YNMBxLXo6YFKZqUSOYOHBQnbfXYn gNseFuCnxddEfRYG4indQUjDhA9lIgw9wxHWxes3sB5i8qTg2ixF8TjpzicINzWQL3uqmoA2tVlC Yc3WUhSPT5PgqRaX12ujt2iTwBsBriVnc3ZRpBqFHwnuQWBghGDWnvE90dEEZEHFfTnBCTQDIDDf pjz1xolh8HywmrpfdgSXw+tz37tuRPG4CgYlLc/vSp1+NrVu/98GUoWfTVE8voxgLgFajX7X7TbQ sRMzsVYiaglcRQJK0bj50PApVzBCuDhloptEnTh4SCAZqpPojYnHo5Ppe88hCx5gQ9gshXBx0TRm qraaRmlXz4nIGuk5AWykWYrg4kUXXJXus0bnuZ5oSSECAJkQnhPPdSKu7OBGQuHOweLo8uBrop4d CWSD6iBwalZNssC+Yt8ceISB+PoOMCFP0qGPbLMUwlRqE+rJCVLS/FY4QI8UwVTGNlTBiOriUuP5 zoHpBMJwAGoIBUVlrKUC2VViMAdR2oiFMYM+S7iH8DV/RE3n/KfuVWuMZng0LfzUvObOjOH5/6J+ QUJivcWnEcfhUi40sCpC8uZbrpOoKuVCnBeapjAOl9TxbvkZbcvhWD6HEzO7grjbNR0GD3341UHN 8G46hpcjjMNJIKO3MbgHlNpg3+AcTiij/YDIMxc+ICgW/DrEG3HC+c5UGIgX665cuXGyu60dlZ2Y OBC6sagy4tq4mHZtrzs6/IIEL+hO9lrbBvKMzfKTiwFUgEwOSrXXIEGneFgcp59gQQCEAS9G9Fwh s4sn8zkY8fy/qipstZzXXPMG4jMsjisFlseGrFAJEKN8drtEV+C8VVWZoWphVkywx7yjE10zgt0k vCFcgKT2AnXRcyTD3hyNA+/bkQ88Q5QKwb2Jjm0a1F9BUFCkflEqHg9mqX1XfRcA6/OieWYUh9Pe +2wHXnDM6zZdrCkGuFkoIMY3k17e+UlBcTzJ7W3MZ7RTfBWYY4PnABjdie6zwejo+pWx3zITRwf5 Lr1ylABzngoVaTlonipQjNRjP7ceHo8OqG8pLHoorSvNN6M4HEdfhhKcxwRA4N5zPE+77RoJTNTC itmb5jTV9h295rtPnA0WKtFhGWJtrPmqgVMgD1rABHAsD2Ud5t/VcSoS28B5mhQx4tW3V8XjEZvl F/bixFhrX9GZGUXiZFs3mJl7JN6dzHJ+ZyfbnYO8WxdhhrVx7bYiVwiND8r3XBVTmPEJNWIJQ51b dgp7sR/92UUK2YwRKszneN9hsHzlfKykmEHjmXg8Ugv12ImHArwGfhbNDDVPUSxeadQ8LncTS9dI ZKmrYgDsRYM6ruYpisWHiRfVsu9o+w6kRsQbxPIMWAVQpO7kNBDJhVZ+oauydYJpyzVD7qY6wfAS skFgw3MAdvIRznle8XjQ4vxVnwNeHIQkn6a4NE7i2HLWT++bQNYptQKToINbq3kgzAiiYi0E2G/f 0csEOEXOLkOQeU70E1hJNbR2wuA675sbPtG+c0ahODziYMWVmg+KCWCTzSTpXKsDj0cSRt+HOKCm q1yg4YwgKsME1q72RaHVAmMC4ynSIL1Oa7TMqC6+bJZqn3d0xnSF5/vmYoJl1tiKtDptIIEB3Q/R jlbU+JqIB1zFY5FESN4Wiu9lpJ9+wgQ8/l+UVCDr2oELtlkKeZuJ5TjZjKgy125lDkGyYsyRnVc+ Qz1D03muprNar57hXECPAPBEujRQbltpHfWt8dEAsN3ewdYLR9QoEuwkQ7dz9sIcYhZuv5MR8/FI v+g7EocVw8BpZbMUIVQUX54T2lmbtT2llHkPcHja2yxFkfgwUJiAcRodtArPGfA0sJb2ahCHYWEO YQYvWdQOLpuFuPoZBeKNe21iqfKeawJR1IQKKRvC8GfA8xHg6VvOAUJ9Pd/0d8asTQIuZr9+B+2y gFcrlwUMsLDmKdRREciQ6bOPDniqABEi/oWOykYXWMqHMJweEN6nOL3P0yp64z8bB8icbRBGpRTI PjMF2R2PR/Gls34YYwHlCEk0TdOKovC0lf8mT+yGB+Qn1Eml2NHUeBlhmlYEURnFjqbR7uibjpCV SojKOtmcuW5NsDfOvXy+G7+NyYNIomCF1fDEWpNAdLVbzb1AtI9288BSVDwe0DZ/QQrQ55wgzdks hdXwReX6vW8tpQ+fpSWcgcgsjr1YYRQuHmKeQvZy9E139tuJABorThsaySK3InKGHOx51bEu3gmX Fb4mmCVmvRvuqSjxNWT5OsH3KITPQYwTz0dn073n2C1Gl5StKM1TqCFelaJMlzSsaS47wsc2ocNG uzWbpxCjIr/J89cOG5lhkXqPaoyU6FFz2mC6cNPBtaIBkrxKfYKms7jwNVFljk0DkJpsEJJnZxaM qenMx6Nc5ZdkGBRgZ7mzFMXgALljo627mqrnKt9K6x0eaZqlECcuUeMyDdEz13PRnTVLgTDWeVeF jhH3HJjyA9qTpF9aOED03Iqr4ePbXIQQc53guEKlTwBR2hCeco8mlsTQkZrlWU2hmDhj8JKqi/YX 2SxvEu8tgmqJISfDphXzNk1SzfgZ/fIzxpgQEO8SgZ7UOGbuuwrBZB2fOUhwNRzP1CsH1TmKhe1N msbuwJaZthPzRhMvqhOfEMVO+WuugIJdUJm3qYricFR8wDdI1wEibYc8tWaHO+iQ7JNjqkINFRme I2y8o1E0cEBh3tGuq/VcotlcTEFUhKf7giihR0+Fgt34or9UChYXlQZLf2emBTLrT3g8gqh8H+Rz 8YDySHyFYPEu84fmYPEp9jQi8bNJtlE0lqA8mKcoEpdh4krW3jSYlrXJ0eKlMe4JbDY8qh1EB21a ZC8sthnuIuvCCzVUeDZ10hYwGDRsQ0yX2h49pYbHg3l6xLAEMz8LCj16m6goGK8yW05mlUhlUcc8 JdUJwCLDKWYTFeLF5RaVhPvSaBN1tjYOjk6xmZN/1ax6iiycM4vOc68LUJn2ylHSQhILlO4xnBCP Mfi5LE7YKmxYqXj8feNh5X6F4xMmTO3OUwgYn3SpoYiCdVkeNVHqxbBO0IkOpL54XBjnhqP2to82 T62uNZ1tB76xQvZRN+2DoWlzTsRpHeACeWy+ciBPyx23Z8o+CMhz5kly/icM4XsGeIIrRCey3QlS NuJpm6iwLo59AkxmtolqpVwVlfIUVNKyFvCKdQ2lGiaauUbvIJQ2QYdhB6FaMwELKlHSEHOU93Pt kZGML4oaUpyoVJMPats1CIRwbZ2DD48HwcEVgGTwzrfNjxh7FJGPShbwVxpc83WkZtgnQvB1H9sh f3PraNpSLeDoE3UuoZPhUUDhRPkV7GAmeOB/YKf9LHqPpcflfEcROWo7VHeaLvIkwYuztIrIF+fb 8HjE+vELjz7DKDmgnWuz9B6R46nNlkF1DpkK8qLhr+XcqAp5FWnWxyLjIl9UEzas8ys22PiAyguP NEJx7QrRMQVQImqr+IVH84MdosYrORqzDx9UFweeUVYjEGPf7yE5DvLvujistwAX9XkKUeNEXiyZ QCGUUdtMGnRj+jzJ+oHzFEXk1j/IErrS6F1gEO8Ksk2QHShCLbXjiqWR6Oq67jRRNAPfE1Xo2D7Y Lfmge2t28tSpPbPx+L+kVDC/J3SDCM6dprgsTrgThVu4nFYy5BPNCnzTITu1aQoBKqbm0Hq548P7 gTM0bc0TnLkJFcemW7AZQ2y6y76nOEhK+J6AH1U5PzPfQYcTe3YMnzZWU4RQaVeXh7sOmKF6I/Id IsZJaCFx0vO77oQWevqYvU9zCbodIsaFhK7FGImlPKtpj5OaiKpxrouZhDsQAWjAPw4Zu5foQL7B 1wTBk3p2ZakXBWA5EXNoMEpfeZwIA89HUirfrU0qjeDctWmKonHyjXstZi9CzyjnR8EV06ZpMW3j NIUAla402FxsOHqT5RywgyE4C6V9sDJ4tgmEcMHY+UGr34UKsArxNX+hoNvM2QeLFU+cyr/97OSF xyORp1t6SqwWLJjB3U0XIVQS5V6oOWweP6OolALew/ISHexnbJoihMqQCFYxA4TyGCDM3vJJ2ihO Cy2QnEqX/pUEPDMPclx1Teld0xtHPOAk/sHyQbCwfY5S8lnOScrHo9zuhpiq52XoHd3lFMbilXlw p1xvFd7p0sichIeIwI0idohREd6J7rM+2nJqNK1e1C+C99DJWA2yCnjmIjATShXSEaWwM74nCDGB njwhDDvBHDRP58O2FOjOOYjHoyJd+r7qoPAEyodNU1gZz/Jkmz5NtTbhDRE0F+eRyeoerfIdVsbF DXsrq+DiPmFRl7A//I4UjQ7oSHUo8VLp2BAqe+qFIzskCfQpEG8eiMNWy/SLah14PKiq/FJ9hOQE IFY+S1Ec3oYp0boRd2u9/bjM07oqorI1x2KK8Cmz+2Lqd3QaGQrrFH6mtFqrTcqsE3EI5O/O+eBS DoUGkJ8diqiIoYE1YYPqBJ1XHesEc+Dx/6LTlzMlWfb1i0whPKXLfnQkS4AtF5a7+15GIkNOaXr1 KYzDu3nYCdAzn7sOjP6TTXRGTtiNU7TEga9ghFlAAgMcc8nuZ9tLB05thbHlIKCHhx6NGhi59Eyu FKSSNz8igq7mrxtvkH+Tr0imvEDed5+JYUlBBYyWVgyokjPSL4iEZEByfL7CiFwntJagjV5a6ahx ARJEO7I6By/GExVQnhb3R+nl0l0XI3J8UwTBoL8mBNNs8G5wVZv+rNvM5yOpzG+BWhRvf3a6giq0 Ag/KUJghiJtcdD3FMlFd6SW7t0YBbtcmK4SNNxMZn894ywaF8DDyf+BlsaX61CljlxGk087NNY9Z NsA3BZPV2OdcFITc8AVhmQ5fgGLUDwl1ix8QBFP5G2EPwTXwiu9kheVyhgm4YW2y1jRlUbRJlpfL KT0qR4QUG2/K5mDtekebrHOws0dJScHzWjJCR1SLqYdMPx0Pb64nT4QUhefsT+G4Wj7o1EIrjMUk uObx+eBw/yXNvsFteMrAOUUBOvly6HT6qdVGqVYyX8PMpknbWL6wopr5EB8h0WXQRp+rBncN2CeB hNzOlahd2AhIK8TEoJBgmQxVcPhNkSEJJykxP+ZgJzzK/GpmjsznI3a+H1msrxcp59bnjI+r5sz6 Ji9A1BNwj4uvCMlmI01Bo6kKXJdTWDaX2mM2bg9HR/mgbAttNGxD0Gh6kZgfLRRloQSXV+lilJS2 vXQEQ+T+c5Mpj9VRyO5kSYKvy+f/ZQ7Mxs2JQXZdz1yFlXPU+Nui7pKAiKhnGBOP5sYSgRJQuuuj /gwckrHuk+vxg9zZcztTtcmqTg2RNXdhZ9BWqOAMvomd7yAe6JuCCIvOCKvVO6jkctIlee7Vc7vy +YiA/rtxdYKH1dpzGYZqK8yTiyTtOVl9TNuGnUQoTtbA+9k2jEN2SWUlAVc5WvBwfn0gRngZnnw2 Q2iMR9ZgURqN9JKrkxQK+g566UiFbVHiN0nplzcO68Jt6Bfq5yE+/0+XBCSBsE5Hz8DnKgrbKcPJ 6MdrCmu7Ms2JZJwbtMjRkK1ipLlS5HRTqIduo7dDwQwCOYs65Ati3V0lKu6jBHTUuJBE+UylWIdc mPJ8B0HtEogKvNvOocjngy34WN4wXybSdJdnE4ZFdFklpIveHGN4t6Hxr1XG3LzbkFNYRd8WXPV+ RwciwE6xo7p5NuGmipJgiWhrLpQy4EB1aXmkDeOLIt8bMs0W0eUcRPNMZSz26UD14PP/TJoZY6Du 9XVghXad1CY7p1Rxu4SlWIF19JK9pDf6tesM/DrBmROlYwl1V9eX49RuMM8RwLzBU1DUDsSpKC9A ZgyC9GZ9Q0vzHPh1mhAiFE6TD8qbURUjnABnBp+PbM2/q3qT1oFPrhM6djZlzpNrSfQFQ0yDAHVz wklAk6YqwreY0ljbjsm/9I4NqUGUXZgUVvq+SUML+WBn2Lge8XYCFHPs2JmJwk/7DkaKPeGV1NtX qXw+iNzzN6cKRq5jXORGjj07s4iM6akxlOE1hjJdH2qygsBbMDTtlCM3gBn9jl5kOHsv0yEDxivA wEiTbXRw+eH5CnbMnarS7J3/amP1TnPTTjVdlhkKGmV0FD5xEJ+PDN++8XcopSKEuVMVqiEmGZkV l9IavRar7tXmetIQddk+VWHYLtTrKOq5c+RUnRAB3F6AFAlJBcqFTa9JQ1IoaaASeV2CRrNX/mv7 VTCwbODhAjbLrMa0rnw+wiR8zxQsvgYgyj5ToR7iFE9ouUxUG8W336ouEwVHtu7bLyR+ZhMYkcML x0v8hFMQ4jeoDUO5RsoRIxMNj2wHGZ6Vrvro9s5BYZ3uCZXAMAzLWHc79baLJet8PpKK+gXqPN8O gZU7VZFIOcXnOh3NzYO5rWT7D7UBnyo4yNmiCiP2aQJtRuN37TQwiSE8VouVr0oB7vlH2pETkkWZ yNILnurT3vkPLB7QU8sHIRY3ohv2288H8flILvnb+Q0drTof+84c8j8lPiadSC6wSjrjYqEvmzFl hvmipc05RJ0zroS9dr+jx6ALJRIZS0AzaBb2tM5JdfbSRrUOZYdb6lP9KsdK5YgVBg93DZYJgtBt ZhOdz0en+i/KXuOMP0dVqMaS5eydnDzUJfVHgZ9u3OIGLfPsUxXJsRhuMVXLcWq/9MYCyBRlenFI 7Yl4inmgDHfLcPF7WaCjOa9v+vMGpBSwBgWhu2eVkuF/zef/5cbMf0wxjqfCEPh4wu8Uc5UFDSbj Ixtg+OTMfTrjo87Vfa7+lmSZW+5mGm1ZLcQa56KjvfeANhKbW0ONsII2bMW958ig3uylA6cAQV7Q YrVBzdLSIKrKM74VPh9Ju69fV+AGIvaW+UIvT+Q06CrPYQDGrhoytiBr9QJRFWH1kQbGZp6Goiom X1NcADBNSETuRP8CAFLAgVeZj7Z6BUYgBX/l5VtNe+lIxHVI/C/7oHi9oNsrmiMKyKGfZ60eg2Ja ciXmrT3VmNDSk68LDTJnyWRq9Iglk6djGMe4FeTQ09OrMEl9QY4+W21ArwPWp/D/K0ncNNazgPdE xg/+ym10NXvpqIdDVaQkFGMiyiWRf3ECdspp1FH5fHQP9q+IHRHkF/olh76elL84d19WCcYWmart C7SVzESQNRqbqxCRPkyzPNc72lyls1zOHAwCFtAW3qrzUTOC9grokFztNvYEc2jsmWVvBgiTDTrc 4YYi3Nn5Yfj8v/jYrNycaInG0T5VoUILDZqhy2ebUC5jbOQQMq5NuJ57MDD2lJ0dDypJ2HH0WjuC EoIVUeRL6AQSedYIiS+AYuGwuSXRmuyloyIfzakGrXUT6AeMTsAR61lC73ttfkAUtHutneVU/mD7 ulHkwNzz3KCDDWYp/GxkzWI6sO1VTHurUavWF1YITh+6CrOZVuZrWgmZkRNFbZZEK7RgFISNWnBZ NPxWUAbyqzCR05dje0829VZjWWaxw/mjxkSlkucPLGr5fMQwKl+bkATvdO09c+jvuYXj11xViW3o VoR9+e3LD3SEFbcHBp+PWnDKz+gAhn6uOzT6WWEoM5lX85m1+UMeCttrvrDoH5Bjh0/ihUqeGjIa jywxQERKWjpnIfMDIsXgb5FuhA0g+965CiExBF4X54BkVuEcEuPhPCAxjvXIocvnMiW3aaHW3DfH SakhF2FFFNZOygOQmYMDCZ+YjC6Kq5mrLxG6fGJ3TZ7qPqgag/aKbsITXvP5fxHX8OSk8lZ5Dqwo cJemx2x9PZA9S5zTVheMRb5VvSAaGH0ix5E02RJPhKNN1cZFQSM6KJqf70zWSATIDo0QxNpXU2pN e+UA78HjqggXUy4uBoY8KtDhGOTz/8WKCZHwGOOmOKHTZ5cQkFaSzFFNOfjsl2p6wiCI3HJoYPWJ mTKLz77veBPnMzsnOGU9YbQBbjIXFVBzm71U0BitfZNtqkJojDnFzamhCoB3kiTDBQBTx+cjObfv lgSWcN9PKBqafUKW4EQJuW+vMZSbOIutKh0J+JEocQ7cPrOAqOchMzspj9kJhN5LTwyuYDu4anW3 TxSBccOihGyhFTyI9D3BHSi35kHCHwdVY8Y52FmaOfFb5vP/pYWKNwXIst+piqJ2yiKf63x5jY+C W1aOEcOWCJk9ik9VDFXXnpq13tEDhnJ+ABoK0RemUbGOcSj47AjhCy4FVCZ5VBV752BVLakkdBu6 VlVm7iQ3hdr5fFS52l83IODNqz5HVWj6OcUS+bKQbcsRfCcTdVD/HPdUj10/5YZG/VYfrXB88tm6 F0AtFGucPYv/v3Cc0dERCa8DQglWz6Htp6oxKHv5YId6w8r68RJ74PuJGuFFXWkDgr7c052qKGKH HxHAVtdez3LBTlh/99ZNaa47mQPrz/z/ltB7RQqdGv2sGic1rpntiLPVShFNCWqWvKgncB/r4bd3 e+eIzaY+RC8+qHIFZRMR3PfcfD5Cz/pcsXi6iUq6MWjs/slyDMm0xqlhW9oYEN8mqR6xh/afsrCa Sx57Gq3EcGJOsJQp5nLioXOuc6oAk+nEhJ5zCUhq6552tblCB1ACbqGHxlYzQtssaz2YHxO1e86e zA/412TxuKKWftrp2YQxnRSd+b0eSZdavdFlVurEro9bvYp9QK0piADcRzuvaNk84NdwZgIqpEJl D/oYZGq8Z6iBuOSUOvOBEyh45+wKJvKUJzQr6aIAnV0wLTBdC6CPwAoU+7B970NgX8GgvrMVBu2N hZjZbv1qs8c8ietzwTcIaiWfrVj1XIFok5o3R2eOLJiz40Dp5IGd7JkJziCgLgPR/CW9nJn/8pui uij5ybANVRv7h0lJHWmfF6VOaEmTHxBJdP2SEAQeoj+NwcATFDVGmTi26rkz9TZRkjnBSrkswO4M 3ByagkrfBXbU+Y6W4ZwjC3XRhTJDTdh0pOueqLTS7RIbA24x1u8inJjf9MddeEIRdnE4qM5AQWwW kTsKo4Ev6He9nV4fiVz559SKwvZB14EGLyCVr9peXuybVOVWvZ3qGVpYEUCmGWFrGgTLAfkoyQBV Yhp552/bWXILJ4UiXK0jFYB++OXadHvpvzQXM+7nM/TreTnOC9NeAs45kx/wX0RMuEDT1X7LgTso QAGUzVWSPCSOU7ULE0TMrda3Rho+WXHkroU1+zP6Ljzx3kjI+0HELXAOLyozIMioCIarRPVVwNrL XjrYhSS6rTKzD1pYZ/KnZdGr8/koIL1VZNYZzoKHy82drLDk3qZqMq6UV2XuTEnB2Z20PJtrVObY I3SJEthqvaOtLGThCSrl5E7u85+hMjKg4hSqgpJPudArldxDk1CgNqHXRRVGDoJeLbA9mRLutfh8 EJH+WljnDD1bEd4nPllhzZ3lvr5oqUPH0J4NegX36maV0TnLPbJiiIwUE6zc159y3/nNoZgnJbgT fJ5ZYyx2wizUOWj2VCFfYH2vLExfYBSK4KEIJpo54KzjFEFrQQiKk+RNfkAQPAy/DfkcdnV5tmHo FUofR2qPObOETGkl0IVVh8IK1vbOc2AWCl9kqeR0SS1wtB495flh7igrvvMlsg6DmyuMlwAk9vxZ JqP8nuh0Z+IMUgiHbI3n82/HVOMZaIbALPRbrJLicSC+pQd5FXqF0scelC5HM0wBGzrh7TU78ANF OZupCN6+zBh8WwN6z8sQhNFF27iyIG1ytqBmFdjk8kPnDsC2PSkcirECs1Bs9qHqMRuFrHBIQame fIo1mg4V6xzahaZH7FuGrOQrPZMVBfBAgyNtbg5oqERsqNgntVhZidNsruujIvU8UXC20DJlXxTy +ZMyLrxNZteJlIvcDSfhIQNS4kA4OEotFXvlCPpR5APCHYgQQM4yJwnJ8qA9y2TxA/4lW5ntbB8Q K/SpioJ3Cm7jjPK66KgpeQa9WvUMejmjMsemoUPFvl3mHb/W1YIZDx3nBpQY1clHSaZDUZQnlkmc pGSv/Kc2uoVZY3lZdGInSIsY0KvQNDT/Mm6ASQIQh3emQnV09PLQFb54huLtQQ/c6fMIhKFuwT9s Q83aQjJUHC1kQKh3gs2xZQBdxhrCPkLekuEI1XRdXahWe+c/JD5XWdLRk4YRZeTPBctDmVaPOTQO pa6oAUU5L1Q4eRANoXUoumMZnmdGMIGzjSMaqK7hLhdu7ZQD71BHtp+UNs072mQtqsVmltulKy/9 SrTCELjjw2Gy5EAZZTmhfSivLUifESmaCDZV5E652h/GqI0fEDjVX/85Rq6AP+av4yqK3Mn06NXl Kgl/dO1Y2oa6uPXy+CqwELULFe35+ow2WWfiQYDsjK8GNZnYISRdIgM7DMDKbdPLrD4HLqKuXAWJ Yw7L1U7KCaQlC5NRbghtRB8ZD8ZXYIxsaDn5ZIUqjRJGTSN5/syfVflzn87GGUz9NVkRVqZJT76Z uSFHr2NNVGe6sKKpdUoxMgYDLAEVDwgx+VTtbK8ctQfZoxfZi4N2YdnA5TN6AG8p8BL931hRMENQ xvaM8PMaXFVzmgFUzSxUzn91afmTeeT0HFgmGvcaWlV4XkixuZu0wFPEWrW3AWk9Yj+gEN7koAL1 jZ8TzxeDP4oNRy/o18CqQn6QhVHsAhtslk4eOHVWLTz9MkcV9BAFoFInAqJ3TRpkshsSzRBpcDTf Unmhili5iY8xNXAW+nzjBTNkreY81cXh6HiPjbUE6QC4354JYySHosPZdpPEFRZi5HdBZd/Pa0BV EYyIRzKyDyLsjln1m5xsEk+/TZFCDJsiLpnBIozP0WssVbMhHmeavt+s34xVVKWbQ4OZNK2s8BpJ 1Rt2dtX2NFqBHZq3fW1KCewOb3WRTiAIBCng+YPar0Wdkxj21ziqoj3F8LzTEYSDSsYgVIn31nf7 vAZRmOCdvlYRFEMp/KoZeg2hsIoaQ0yhi6WI6kD/RBiGS1r7DL0GUBWMN/X+Vpl3dEToufVBjKDm 4D6nfFZnBwIMUMeX85vPEM+F1/CpIvxhA5BsyjOgUy85VCg1c6P1dU7u1+ipPpKDU/33c+4TLawp eo2d8NAa0hTyPk2RAiqbD7M6wJ9G1jLsjTaaxY3bg4LbJoV61zxxE8VyGooHVW5zRFOdmA9mOWB+ OduU6nCvgRMOIyJAF097DSq1nICj83Zj6/01bMLTxkSynUYcaL077TVmwv7cpC0TJG7S6MO7yZJn F0Rh+yS9RkwVdR4DvkgJlaPzl5n0IpjHJKH9zjN9nHODoP6K2kR1xdiS5K8aTJKUzqDd5INQjalt Am1RbSmf12CpPoZ8WuPt7DXYmtscvYZKVWQeiOaNbnM0vd4J1JMLfMKazO1Sojlq1sNSEly+kuAM QmmdrK2k89Mno9RkUCi3jMmg2GGbjYnda5RUZQNubXYMyNF0CKWmnOunn5/58xok4TS7txp5Wtjm Lflme42QqvVjgGPsN5zcXtuslAmUWGy9Cs3hiW3us+sZnYE7N+p1S1KMQNsS8TgauqG5gHUAgvGN vJte+P1aY3FhwZbGByGJz61GHtBPOxHW5zU6qiZRc89sOKPOfOfotaRZLzI2PWe2bjXuNdLCrMfu CjmvBU1sdSlNAU99xwsyw8fiLsAcnXWSplTzKOSMLA8bxKu/ZdFO5rWciTliv2okRt1ou+j4Tdnb xmehls9rMbOaP+6dI8z3hqao5ui1ksmLgrXebvZoNL735joVyFypIxm65bWOiW/vstpZkpvi6BVy SKAv5GgdlfC8pFExapKkJ/ZZ4XkkIB59rl6rmPgtSPHrWVXMPM2qgY0FpvWA8X9eS5i/LjYVfAld qsx1RbUPpokClxADcbhGn9PvtkJhWxV8swuavNP8+CvJDazL0YKjt6ggW7mAfwQlkkALzlNH5x50 +k17nyv8okz3PdRWN46Y4e4Dj2AQvBRHUgnuneWHHTe/dxy+eyySkgXsDuaJp2hv5AZoOdEEQM4f 5Oyw0lSYlYllFM2TndnmnsbR5mm3jGIMmGv1TD4knaToiYMkZ1ifgZbgXeI5BKAPT28yZ0C/t0E9 BJRFt4pHe33eOX71saPXPKGYNtfdde8UP2Yy7Bq43x5y3TZsPZ2oz9EH7UrBvTP88ElE9MBEfd7R a5c1Id6WDQHOvqrzq6EvPYnlZg1SWQlAJnzj92nimTtZYLdBiP1xruGsdGzz8SBaGt/LqaJoPJ7l FEXdtRHPQlQkp6nxWBFKat9tl7kAhGcJjvAuXf00JAWXxiMFh5L3okoHj3BEy2yjb+A5F0DNRc1O yXmmqjd+33WIXeEsO+6g7G0DA8BwqQD5Ggbew4NKwvrJrb2B9zu3r1qp8RxJ1am15zc3YcFzYaT6 c20IfDW9h97ZipZnNhQVcLQGyznaTkyJyjyoff0sl2IasjiRAcfLhH5Zh2WqaBkeTiwAqDOcSMsV mBM3kYAsJ2r9vDP7bNN+neOZYM5+JyqKvlk67JMwF03UA/oBO8cL4ZDlUC3gPfw2kcIk+wofPY2b sEYBWhVi32BbKY3rKH+DiPCTUeyxU5zGbZ93Xh/2DXS7SGT2QfjEcyvIbxNgrM87ra8C5bR+zdNZ ADAH8nkKI3Ac/h0AlQszyJdTRJt01gPo16V5ikLwLnWzE62PO95913F2QxSdjcCT8G4dT+esWGTV NBSt5V+cJZcQxeDoMWPD1eGDCkurFrm3wmf7887pw3oaNy5QUWDhfMo+T1EUzu0KhMH14SPBk/OE ENOqbzCDsXmKwnC/7mp+Rsebw3OxojWA1uAJC4XaOuHC+TeLenzztswLSjT8nvd5GiRe1S5KbTdK bUWdknREQh0/73w+P2k4Kwy1cECu7vncO50Py6lJy2U/xo5GlMEPf4XjAeq2RkEUiQ/BgRmK+OgA zoT2rzQYkbmMZI0XZENUDD6HxlPOFYLzPRJ32zSi322wChMEcFQDb/nzTuVD8GTEGNt2wHnDtMrn KQrG6RxAmVubJ1xG1lCpbTqbFpmrLacwGpfy6VBkpNHmCXpmYNzIrRC7UCaZMBCFizBUuwCIEyh/ TnvjaJ5QYGrga9jAeTr3hjmAVAIwonBcPY6b1hXUmQhzZbEyCsaB84DazbUNF25S1rzLUjxw+Kbh et4pfPW67hBP76PtuhPYTKwS2dBnNgVZIMBG3GxsgHvvhSZ03T7vBD5WNAgTG6x+c1DwtLaM4QGm yJ93/l41Y9Sn7n02OjyyfJqiWJzdNpqcWomgln1LlhQvlTYJiiCapvdY3BxXUBv4Gi23Yzm9oO2P UkNBR4WxeMVpkqnOWClWaacTq5bv7L0q5zPAnKgw2BatVqYKcdWkEk7GN9vnnb9XH8lhBVATVluz ejj+Tt/DMmbFiaBcm6rZk0/VNr1mAC+2bbx39h7+AqFO0i7jjpeaDc8uhJE8x0dWQ2FUag21AvVF nAAeaGpJvcfjiKAoo7vpxNcAdSumoXs+ilW6cULNzzt3j52Y70wYiQiOTZ+oMCA3JEFxgX3wJG4A NVzpGyI1NlFRQJ4Ewc9Wd8r1S+n7hPaUf2P98lxVldHoIHbuXFRY+uDxuuAGVVzeeXv4Iugunqsl 3cEiAxRE1fqd9fNO26umI/bkd+deWPtWnt5Ze1V+GsBprguXnq6hRBdAm6eEtgcj8nfSHve+AoKk vSeaohbUgqF66ayrnFRju3RCRmaEUOsHrG0v88ry6p2zx7qKyHo2TDPGXh3UcQbkBT2VKCAfa/2v IwoyRT5NYTW8S3Xxospbqy4cX6ub0UPXfdtyisrh7tewxdrg6EfUgrZUwwo/6Wobs3BKIctMgUwA NCHre4/ypVcOAqhE/mcvd9A1l1GTkCfBXJ93uh4LJJ4HizBTYPPugcE7W+85n4guUFllTM9bTE+e VbrkAJ53sh5DDKlMNSsXtKf9hMrQ+es2Ub9jQUqJ2w6wpx8UXM7SQdnZPTBpqfrO1sMO4PlEywcb BDYcIBFUrZH9eSfrsa/93YFCkgA2t89TGI/j7wa6yQ0bxn6MUiQhgUR4DPfFfqfq8fuFbxJ+QqMH mhWsgdTZOkAbYsgDs0FM4+QwFUau++Z3ZeiNo2ki2p6c/DMASKKsrsMHgFHR2PvzztTz+0b7jniM wfT8bryoNN54PnXkoZ4IN/f/KLcNhX9h9cx3oh5r1ozEe1aC1/NN8NYk6KksdsXB25jWz8TGm5DT yOtxCyVh/vNO1KvZKgYAmPmgY/y8bJHjws58zWA9TY8Lmvn5nv9xE7x3mh4OKCZ45zDxVtRJV2ye Uuu3ANVpP8F5eo/Ib2VlyX1Io0NXy4mgzm9B4Fw5yUaTSgIAeSdvWKRlXGUNonzfOXq+7RZ86nxQ /emcSoW50tl+4/NO0WM10W87KgGco3H0O0vvDL3qct+lbosK0AROBh9IMyWPNLOrH7wT9KqJsiOv k7IbR5ulAt51afJQo3mWdWMAOhtoqO78KLshb/q88/Os5YZirzhn2TlnJ744s8Oey7kGPu/0PL+T b8cOuXSf+c5SCEQZ1NAYy6t0vW93k0mleEzQcBNzLb1z86qDMDd4UXfUm2RgERB9IZvq5wBJyZSn Ms91ieGgJyVKUC5642iaRJySLfa2IxCAkKQCcGuTj0dnuN913J9Umhsei78T87iYZNiQXH1rFXPE PHfdvkfTvlKd77w8Qpqk6LbKM9oZflKShG0m+48GiU6lNkALd8JF82Uwgk3NFw6Suyp5MiibatBi AuBUMfk5yT/vlLz6eMlMEvjBOCMtzGYpCsRB8WSFzmfpBJgqkqO3yeoKqdaTjZbOT4oCTN50BjbR aFsORHQIo3cCUlDtk2g6UVcToSI7aIb8Yvn5807GYwNYMNXMLvlktmMlur0pyjRTLp93Ll41VUTd dKqjo/0z7q6LIvHdzFW1O6Y+L28Cg4liE9VXsybwOxOPgA+eTcsYsesyYmEid5YSkJdY4RQ+kysW ZRTZ9KVIpDn0VnvhaJ6ySAfNBzvBgYdkcN1H+byT8Gq+JGttOhzeHUGqzdJ7II58hcoZLvxAWMo1 bNjMhbmcUI/SLEWF8TQUByQpTnL0ylPZY1uFDnpuU3WFiTV27pnl0mQGJ2C+8k7AqwLBnkt907iB g/AEBT5Tolh3vmawmtL4la8MHOFeUnmn31UXOJA0HdPfla8hWJ+7OzJlLqs8vbPvuOuEo7d6b3vq vTvBhI86DWTfnY+V6j7KD6jNgV4ASorTOokmfCffGfwR+01m614HBHqtl2YaR/3zTr1jG7h+7zo0 UFBS94mKAnHVCTJ9fQRPSal5ic43IH4huLsgEH9n3j0lujq7uck06pxQFhf+s+gls4Fwbj7JAkKp LEs5qrDR4pXMpDcO2sAMLBP2MAbqinKi0JYX+OJM2eedd8cA8xfwEvr7CyKeNlFhIK7jyc3kYK+6 PMDcq3ro1NGA14oKS+MGLBw2YeNyXzellGCZxsoTZKq6+Jy1klaNMzfBrMMgvMg7Pu+kO1aeGFsO 6pgSjS9YatuE0KNUcH6Czzvpjhde/brwyPBotxX8Trlj9ETxI7IoVKJr63qI1+xkg3PHOwAzqo0T Ms1Oeb2jt6Ts/zhRCTdaE/+cZXEKaQDwcw2vKG3wTrir0tiByDkFAUe7kKcEg18heXf+vNPt+J71 57s43n/IEzEQZhSLdyEwtjUO0BbuBnc++6JPgzuDlHXmCSjMGKkiZIGpAdYvNUDUH85lzcpTbuWc eAyxziwhEygoMqBw6kfUoAbSO9uuSu0CcgaCYyKKFxGswfCIO+/sws872Y47t3+tpxNKn523py+o d7IdliE5+pVoYAUGCHehmQ9OHAspmzVfBIFYUO9cuweKWSzFK4/I60mOGzogdpYDlix42KJvJ5u/ JY/bRqDuxOeda+c7DzO0fb6EfZqwOdV1n9bnnWmHE2Kur+QOv80YywOod54dVvESiq6bWJtQdFIB nOT7yZNhszaOBRXVxltTjO2SBgSd/ZhQzTgRLZQGO+W1u/FWGtvAZ7sVNN/qk951vXJwltemCSIl Y5NqT7b6eV/50vdcP+8su/9TowMgdDwgjHeSnfV4cKc2I1C31crdeEw9SKAe4Lbwynvn2PHKU688 K+Dk6JCeE6HBjo1iiWDoS3zyRFAUzM90TWczWBuPZIx3kh3O1DpUxJw+CPq0UD5lDDVL/bxz7KqJ 3z8H1NkFaz3rKS6NL+Kd9i2pUGhJYBXXQ4IxvWvUvDPsHPB4MpamkJOj3XigVIJQzIAc0mPT1YWB zwFnkv6SlgarpvJOsEMaLFeBJGKGF+DLLgg2BX1q7fPOr2MDYn0tp3MIwzTx1nzf2XXWWoVATfUQ KnczBKuQxrlYlYvwfSfX+XqG/I+MCzl6ZHAmaYE5T+NCCiSwlkko+kSjdadHys5fOKoWEAaNZr8N miYImiXD1I3PO6+OiVr9Op065PrXjcjfaXV4qgt2UW4vmLmkBDFo9yRoQd1Wenpn1T27blUBMzla HgzCG8QW2SPDj77lRgeBux/yGfZaNx7XYorq4lBEIVh8+aD0rmSjgpCt+c6oq4+KpLYqhJcmam02 S1E4npNpczuOrlK7hlHm7C6hBT9G33P/CMe3KAUabZbOckEW3FjGrFC8mUanbqCan8gZiZnjw3Av f97ZdFVafYhZ2Y1q3hsEn3HKibu1OT/vZLr6sH9x4P5QXgQx6fZpioJxsn9Pyry8VtBq8aLKylcj P2UXfm8hYtwECorVM8t1alpnzlQOJ/0efWGjcwIZ1uErx96mcX+RTOBrgoAgicxK84WVTWfjbMQz ZdOomg2PBxHmyt8BAQG1l8HSwkgcxwm6ao6FXm27dKT4ajjAS6I7BxdTVBM3QdIuN3qNngRXUHuh zwE9T5RWilsUlTNLiKJwuV1Q5tYbBxwWrqbaCc7loNW0kW5pz5WBxwNMQfnGFIBTdLJzx9D1OBAX 5mJ5SSXPfmUvRnWn0DG8pNLfA3HxlNgyEJaOoxWeYODRM1B+oLDuRfUStA4g6tuhwk3UuPK6QQpr j7mZTd4ByQctppmJFcYsbT4eAaG/oyaMoxTPf3sUhTP8hqKJi4PUVVVcQcluuNfJApVMsxSBVEx1 Zpk4PkebJZDGzl1HROYEXrksE7U7e7pZnnNtjKnmi+8JshVWCNBD9EHTdLKgzmwFUBU8HkQD9Rt3 gZC8wTLMpikMwqn7dG6LerPfMf0Ap+eW6IdIVnjN9agoLh38VedMd7ST6WyH2QBdpe9lKmkIoCLf 9gIBxgLVNy8TiDcWBeGTnc066MxUMTVyNxnrxMhNZYJW8HxA05y/oKvsaOXqV10PiZrAHZ39dcFh WUpPwNDNYaxWtKGrYQ17FIZPBQTFKpklfXnn0NxkGJSnn8xuC/WEGy5XFN2R912xC+a/PQrDq6Ap VarJ1dOVipOVWx38VDz+X0qZMFjrY3vg1CO2JstFXah/BU6scjFwgo2j1VPaNXHscRjOlQSP3zve VnmFZ7jUU6DVWeRS26D7uaH9m1GmcmksXnY9Kowv7rgzLeyzACsq9ZRBqzUczaPuieeD227UXzsP 23hdvmYYhg8qgux6RcTGxWTScUABZqd0FWKCHjI2xdTsO+873jD8vArqpVTUzKWsJpv1hAgcYKos CTEdUDXrjYOgQN6NoFVhwIcyFDjBXVNp/JwYG89HG++bs4mGFNgpPk9haZxgmoH7lNa86EHKrxGq /qBPKcRE5Ytlgh4H4klNpvWMVibY5/CurZPZ2k9YMJIgKon/Fu7iOF8u9gLgCr5ydJLTs2pR2L0j lpLA/1mO58ajoSdWKj4gogDvrysPT8zcbmDwHowj0cEB3miPblEmkpL/KRRoRh5/FhcIujhAeJZH wbjUMU+WJFILR+duwluoosIPzQaoAAv0NNDMyRmWuF/akIUcSXxRMFMUt9iTwkUcuDSgBSFFubZS w+MRC/iXbgOw1WnfeYpQ45SARmpnImsn+sAOohkoxc6y1LB2scJTjzAqViiAA4CNFLZkDwFayH1J kG4AhCms4cnq6MXZJRf6wMbPdOGLohBqvAgTwNIXZHhuwsXHI0zm9zzBmvQHlUKfqDAgnxIkmNMr dAR3skJ3/rRldgoDEAAtqKg0vgTiIS7AR688JfipUR8Zds8d1uh25eG3yYs+OcsrmYNE1x7BVPCL oHlAIQcO6krhXpbK4bli8XiwoOpvfD3a0T15sDmikBypeabLiEdRVJ1mV2q0fTV4WFDCihpRbdxi g+F4lX6jqLM7BsRiSjGdi7yaTFWRzAwzjXHWXaHLJr4n0nGQ8RJZ0+m2EM4/3VNn1coZjwcx+ehf B1SjOcD2Ct2IYnJlLm1ND6F65+2HadptO/unIkUQ/z6qjD/2g+uOjq8/F/gYSBdBdu2gdBK8WiAO v+nkgPjKldWKvXE0TZRwgAwN7T/Pn8gWAsiPk05xo2Gewsr4zV2y6gVnWe7sseYIYeM0ajzRoNF/ zj8vTkSQCSknaq/hQgVRaTyrRCcqOka2EAaSl9ZPLtYon3aSl4WunbLldBZ8A88OboTuoQCpQXxN AF5lBsxKAwdz34Uwbk9i1p9Ic0SFcakseSIMHam2n10XQlW6rADKlbzYw0G+S507YqFhb6RdF0Xk djwNk661ltoQq2VA5HFQvv3kwefuk7oMLRXQmwB02+MC1IPwNRECQxjo0nwQ1DCVYaqiUDQcYVn8 d4YHA5VSPX4aUTyuvp0s31Xu3cnZGnSMV4YHfWxbS1E8PtU26MmQmWl/caSoEgzVCzS5TgzcTbkd QjFs2iMyMEbwJOVuRGVxIEZxXcLyc3Viz4VgSig/UV373NN4PlpNHo9TSO1kzFAu8XmK4nHaX4pT aXnLGC58gemzePyEmUbVGFE8vtSuq0OXHcd72U0oQhNixOh1N3mjogh4suL0g8PRefjUKxhRWXzK 6jqRSsbBYoJzxikjPrEFHo/wPN/ZHbLgQZ8ZzVIUjS/edJM+aOJp8EKWoJPg9p0CtS7XPiLAeGFm uzpltGz0SuY5mE8mtpjdoWsnTsc5cCFhhOIijkOHi6Pqhq+JWnbIgRsMDDhIXQ8aypP8feXAI4zE 1/eeQymqIzC1WYrK4q2ISFYdU1Cy9elg7ZKTE8mAV9YsRZH4lAcOjjYbsX4zW1End6wNZzScY9BZ 4f4EOLpDrr/jtruzhFowvibQBiMbmKgZDHRJ5NG0wOVkTaWkjuejjt0vSAFeoN7i04gCcdYUuypF xnIdfs0xe9I0baxtTVMYiKt7kLPigpwfoVVw7KE0SFGHDcNPidnPyuQGAPmKppBvOsaXIwzEyT6g lhZg9WSL8uROZzsXQuXPUl74gKBYUL36JNoC+Xt3QYWROH8RuK4+xQInusKt1A6nCYUXFlVGXBo3 i0/xzDnaTOWTyS8yT8CjbegLKmWBQWo+CfL5i6Cs5yYJOsVDBid7B1SBxQDGPgmJBdqIXF0nOCp4 /l9VFf3bdY7GR70oLI5XCjuoNMc+p8JvCmgXc5OFsTC6jaiqzFi3UJ0WLm0bPRJfZyUlnU+wVx4E zYKXjb8ZIReOSW/bkRA8Q4wKgwGC7WxQRxMfV4zBWfF4MEuX6EpwGJi25+71WYoC8brk/t2fxA7L QtCLTJ90ojLPkrZZChmcWyhwqJ/6aKf4CTRKaouYJzhdo79FFF0T3BBLGkXci/JdeuU/mwhUsdKg eYLzOM9hqLTj8f8CKYCowlhXm29GcTi5cSMlF6Lto7rdRiJGWLddR9wrMayQvlnNdmq1O9q2g9C/ MAaorCQRNnBAodB28pOzfqlf71Ae6jrMsDpOgvkCxdUGztPEBUGAQd2r4vH/wkoEVnftqzozo0ic bj9tA2FlkfiyDjlNXKbFmOe+soN8xrVxs/7e+45eyzyThi4LeRrnvAUAQzEmJMKxqPYjQLtIIpsx QEWQiyXj02X5ygLFcej7ysTj/9ILJXMYqe96kBczCsWr1GeXT9NIoxrJFeqFTnLtyzEFMwrFh5ZT tRZCfVoI61x9OJDIyoBFV04yAMiA9G8krTRO9D4wrblmrGTIPjDVPjUIaXgOtSFZ1RPk4PGgwdm+ oyeozsx2yZszrox3wek9ehoy/GaM2ZvvuoUiEi+7GQFUzJarbpHu6nZmTVqzJFKlGzOW8/c0dssh 0LhZvMV1c6MnWnjOKBKvgvgusn44KCSA75Ig42eT4/H/Up0D+m49TLsZAVQGMQV1PSFBydtDAhl1 0bGFJhecpagubtkvRS59tFlCkgrJmU3JmTOdqHxT0h+uA4iC9kO0IwQOXxOFTuyvVMaYFbgZNTVT Ra2P62PwLaOszksp3F5nK/VxWRozJG4mGkOoqdmfIp1CzCcSHy6vOkM9wyJhniSlkJYe40CkpcBT FfGlF4QxOUv4rgmwNuBnt3ew9cIB2GlnlVC2DyrMnYAlkQF5fnE+HsxS+r7nIJd2IievEcwIn8KW AdTVLoxnTgeLr2b+eI2bxmYpCsSRwmJ26IRqo80SRIYSnTUYyKJawvObZ/WmsRD2p5NZiKqfURze BC1cOscXjYNYI6AuNmOhc1jg+Qju9H2Co7/Tc7nzFLI2WXEqXxDDlYrdczutq4eFRobmKdRRUW9z Cd+s0eBOoNWcwGkyuiwg+TRTZIWMQkN0Vke687SK3vivsKlMGY7M5nozaC4TSXlu2I7Ho/Dyl0AI OqT5sqVXFIRTRAvCS340DcnSElLflpsd8ArhNK0IoTLMDSK1fEev8y4cG3Oz5FRgh07myxyq0iYA MK54kTQKVlgNB9zpLFZKGnLQLJ2fZSm9O1EMHv+XeBGDS9QnHjHaFVbDqTZTaeVppZSdfZayl1Iw S8bQWCFCpavQ1CwqaPWJBs6tn0qSp/BAzU7uEahuQQ72vOpYF+0EfBq+5i9BQ1qA4ZqDnZGd4Ijz +YW7FjwfaauNrxMcsLmJA9KmKZQQr0So5HXPpjI8Bp/Udlf/t3otZYUIFVGjoJlrIxc1UWETYRlr Kef+h4F4NmXWkyI1JA8oR9yY6awtfE1QC6epwVLiy0E4no1qK7dcW3w8isC/W1CIH/q8J9OKInDN EqQ6fDHJUZExUzNvCAgUuLHBCkHiXcJzZonE0e+5DM4mSQcEUSWpZoFnt889g9+SFafywFVXXAvn icSOyCqoOPEEhMrMMiz9gCZtFIL/Tuho7j7BabR5CrXEt4yiejMWS6FRHEX7JW+DHnlixMmoaYWs TQn0lUISncbLYgE2DEEN+WOlDmW+ScUXKrUO8lsNxWOvHLQ0abacUYQ5A/p6TcLgJ4RCiPZD7aI6 8Qn/KohjWoDtXflqGq4oDKffaoOk8o85QFDFigCV5okL/KmzeZGtUENFeutbU6XR4AQAGgJEMFRz 2jilkPwWwgkqROh4BJsjIDNxfNEfSB7gCJIPlvyey5Mh5klX+J7/EvDHY3PxgPIq5vpbVbyO29Wk IagC8ZLMNOMET0hlNU9hIG7WuKZtyNGBYbT6BMYbcX7jLy8I3aD8Ili/MBcz2EXWfRdqqHQgU0am p8gg+ZqVAXDTksT6UsPj/0U2DBXERR1fzVMUikvabLSSvTQ3pwPozKQTBLKB0EjzFGLFDYs627yj 1zDzWV2pUsefpPM8NU+V88Q3n3tdeAreAF8UpSyDorQjSZvWbWrONxTGmwPFuRUF4+t6ZrBLfnb8 elToVszc5OSIa6/0d3oxpdQr8dTJn6K6eFgVl1ifVQA0PlVxuolAawY6JCcbJiSzM5Yo+FOZKan9 W9CL4ysH7QNBewvjJw6C8cwC3zFeeYPvGaEJfqMuzgIgqNYmKiyK8yzfpbgOHR2ITENlLu//NuwL TVSsMa6vXaoTpHXrBBNMikVFF2rVw92CngcAVKIojg4AtNI8hKIm9IrCcXWjaBFrg3p2UPQnORxG ZHg8WlD564CioEWC0bQmakfxOH/TtvpwcbUy0pXxbddjebRmSfAOqZvCQNPN00ebKGqLsrxDvbuT QioJLpAlwE77gQ+jFVTkc76jeJxtkQnDZgzoSkkAa8ObWoDMycf/xfhRrw+i1LfstN/jcTB+EvsF 2eEEWgbi4FPW2WRUsivWhzIqopTzLPXRl9P5C6DegHO8AcNajI9IWf8CdSigKe991+yVg8KT+Bll Dx+seQAdIy4RSLHv94AcJSS/73i3obLapuctO4SMMwles5v7wfkTqm+73Uz4qZWUnPOz44BceYuE QjV6C3hC0mERMg7HR/Q1mbdwB0GrH+Jtj1Hw0Bv/VZ8rdS0fBEAF9GwJqNI3Hv8XbZNn/gndQJq+ Bgghb1MuEaO6Zthq2eeptOI8u5RI+sE8xfAUNVmKax7fuAD7CiZRgmPm2QmVw64DmWaDDHUuxH2P cTCU8D0BOYpoMG5OG3Q6QTJby2ljOUX4lJa/65gViKF6I/Id4sXZsStpXxRPqW5cM0gWkbsPDe45 TSFeXNddT8pgOHq1t8HSh1ins5jOwzUJA4yvHWh54hj0Ch2wOJ8dS4xT0B+bHAMjVJ7cPDAYFZwQ 47P/m6Ih2IGACvs0xYqGrBZI+FFCKsVdSdXZMT+Nama3O4rGt8F32k539BYLAOMVc4OaSq6zKA2e dBYdJJiWK1KAAwBfE7UOeIYjTbJBm26PIf258z0Lj/9L44lN9Unw3vbS047wKcRtd7bObNMlX00I c5ZzyJhmcJpCQcNlZGn1gTl66WlC1brwEE/4n51E4Tkk1oV3LoTxNKV3TW8ceY5R5anQZZODITFz ldkf7NHweJTb/a7Q0eT2rqZQRoUwnjL6stVUenLxonNOuTtLh9yBpikEqBj5wOz9+mPvtyuIB8DZ oKgCxxqhNSeNSPvmDmyuXlTo84zviRAqJG4C2GGDsHNjDwF7QSnB41GJbnxfdQDTr5uy7CgUB92F VLvim66ZqS3Ui5prPG26xaBPvmOAiurhXWQyjvdsQgEEiSJ6LAjM5XjDswnqMz/UOTZ4yrYXjqoq BGBOwko4aNPVbUKTrdaBx4Oiyg0IsFtXQzfqCl7sKA5v1J+rYznVrnV3AU6zu0tEonCOFlMETpn1 Yfr8ZvwstJ4SK1lg/DRAJoUJO3/lCVvOH4eozxzLE9PfHeqnFAsvlw8qE5ytu4gFOP9g4PEo/fV7 Dg9mwTnTY/UTheFSMxzJ5GWYCw/HpqjhAgYZtU6kVp/COLyZgx2JdBq9ogKLpAqBJFoJAu9kFZVK WTU4qVTACeaS2c+2lw4orvSzBRoPA0QoKpcuuvnmwgih5M2PiHCrHo6zm6UfDjmfT1jM4WQjmJiJ /yGDOpHbwjIhE3oohORz4N4Ji0NyAcRKe0bHXwDezbziZHglA7PMCVOUmeG3UXq5ZNfV/KUjAAaD 8cyaAYfbDB5yTV4z8/koefk+0OGV9bPTfCYrdP4BEojmPA6ur9nLdd0l7VsmqtUmKwSNm6cN7ksf nSg1AL5gQ7hCd3wwfEsn6kSMeiJRaIjhbzPNY9YN8E3BZDERBiGbw1mbYtBAgYQWBYAzLX5AEEzl X0QESM88WF/KNATAAOqt7X711vaq3nvpxjrnZLlfSwp9N4uKmzOvO/rKKnWei406tR19vCZkj86u 2qjtkOpN9qa/dMRtYTqM1MMGHVsLWsBbJ9jg8xGiLn3N1QbdOF8MlFl0vxa6xdpozY6tJu1j+twq VsexRQ6xLayoZk63M9TKzT+xtKv8dH6Rc1VkJnwVPLImAZpBx1vaTJ+AyxWyKEijl47sSCjf1+cd NFcnPinEs8Lkmc9H1Pzvucpyblq3qZdTWDWn7lqXExCZsHlI/elsx5REOUMjZhgAER/1ZycGhMQ7 2m14os59dtymdcsZd5K1aWJxIhMeBPFDiWKUlLa9dARCVOGOfGEOtrL6FsulnXXK5yNz4Jv58QVP FLLrpQpLufn9zFrkwDL3Y0RKFrmYeLSrpwQUwBU+WVG4PqXVU6rZTddU75lVESKOYjbTZSYZvUMF DDcimvSA0dgBD3kJfVOU/7EaTMi0BhVdIJwvs6mzgPl8FGR9V6cy6CtnYT9nVqi1QlIQeIU+WWxU cx92umJILwsi5bYPwwI6eYvwQ8h3tMlqdcE6c6KM11MrlQa458zirUgJ8JMYeq5cKBHAb/oDzjLh DKehGtDubGujoJ+H+HzUDf0+3xFJ/FpYIaCFELI6ptvgNS5qkzVwPU0YzBW3VYwUV4oQrtWUo+t6 ilQD5bIF+WIAaFcDP0hFKmwkNEA3l5UQifKZSrEzEOW4K1szHLSW8jlCZKt4Tks+H+zBCyynjiUD xH0dSlSCfJ8qnOmr9usYuPfFbo69PWP+cqCMQS3qM+T+jA4kg0gg7DdwYOEqlATEREf6Z5GcXIiR EiuPrGF8UWR7Q5BUUbZTpmuPQqyTfbp6/mo+H7ly1e/zaiCp/FpWoVsnxfU6u9Umqlmzu5TgnHEs QvOOQw7sOsWYY75s1Zj+kKkaYF+bPSwoXAGWxe06O08JsPyhL+LON3Q0z4Fdp4kgwqJ4+aDE+Wxl eVKC08Tn/9UUVSEG1oH5MTYNHTtbYcK8TaUdyLur/DsEwqtkWXuJIQeWnfKqAwR/UJhdo4GlBuTW oHLOtBD9YV2WGf25nj24MnU/AhTzvxw7uzDm3THmsN41OZ+zjvl8pCT9zYKBxfOJH59VFUbulfnz nvWW9nr2KgNFYyRVQzw4b8HQtHOVbatp3tEr6vD2S/JxOBsO7rIqM0Bdn3rSIEjcqSrN3vkvJUQq n3No1gouoAzRwu2kanw+yKEfYixmBYIn85bUc+Dbef6+RSOzPVz7aCYvNeQmnjqEtIhZt6kK43ap Y60k9OtK7i9xft89oLsNe7eThJyTSuYvi1K/0NT9QeDiLkGj2Sv/tf/aJhCPA08XqLhn1vfOAq18 /p/7b2vNQ9L6mapQDnFKvX1Nr8oQ6y01rd5MACmTUG/7LyR+StgW8o13tLPqBOiVCRzmpVE+QTp/ mwATHFzozlv1ipb0/KIAl8ADvWciXnqew1h3u8n3k9Ecnw8ayb+FSM+3t7Ueb+FIpJyBNtIal2fr Xj+GVgY7f5yqvZKvqjBml6vwaGqQcrSp2tCHGkBBIIU+QanrkUDvHpJF1BS68Knu7xydVVSEWOZe MmxZQfE+GzxoFT4fNbXWdxSKGGXW56wKDYPoV30OqO6oc9WwKIvYXbUmE76qxDl08BTsHEq2844W hA7IlJ98EIlznRNPE8UBrPDPxrwh9bzVPpWwQgvPqZAKl4QNlgtC6Zm1rMblHwXs+1dfC5LSWPF3 qkI5lm2WZk4e6qsaQP/kk4ZCB1V2b5+qyDTIPL1LFqq65IuqnkADgfpJuQNUapbqEIkl/lSGi9/L Ax00GX1TtKxESORG5KAoFO2zpUwwVT4fLKvr80KkI6GQj31uYOTpItyZitmsx3Rpj6EbPsQP7ey9 1+ZzFWuySGqkmnZNfbRrRh/QhyQY9gTTJ2eSX3xv6BwWcAoqLj4HB/VmLx05BbBqhejcBrVLS6e1 BQ75Vvh85MnssBfdCBuY2FthCM08K0+KNso0CGNXEYYeutKQAo4Ki8zywNjNU26nJSkY5ejA4QL7 uibaLNTRCTQDbRalkAI4XYGF9eVb+UtHXS6FVjLG6UaRgZFJT12NCdSQQ0PPen2ZWXGmvxshkTZb oacn1WSA8vRdyOzVLGJndhQjgSuardDUcw0Dv2iFcfR6TKPyJq27Chih0uKC2QxCd8pKIpG+va5m L/2HUARwRyI5DFFlIHK1CLCAQUnl85Fk4jc4lh5g9fZxcmjsKQmEnpeK7AgZ2NhS2xYVdNIbKu4O m6sYki6AUP8a7cTabUKPmZLc+UxG1XxOuuhuElrRW3ftNrYFc+jsyXtwzkYiyPTrtk5I5XQ5LvXJ 54NqTPm+B2E5vtLVvc2hsSeRYiAgrYsj3t7LOUGRb8KN9EcHVuDsiWVlB1V6xosWyuALdaqXn/S2 qXB6sgoUcAqq2AVmAF4Urcle+q8yX5G+RkGrnBw85JdrNTH31+YHRFH7d28C23/vq+CWA3NPmH8x UqAkESpXCMpcwZyprHSklrwouK5idLogjaIxaLxUB+CrJ29CBGsIDHi6J0xWAzm/DEqYbwkkbXvp AL9QFut7uWuwDKcnrFR6LJasN40YRvXrdIfU3kyXIppDg8/NzsTY2f2XhuSSwI7q1N5V2lxcSioH Dp+X6k//GB8veQbTjR8QrfmJDGeq5wx8KoE0iL59XdE9IMcWn4X6t1WW8hTp4GaCg4NqQKOtyQ/4 Z2diWNgwsIl8sqJiu9wGa8leu6qlTu88t3U7z/XWrkKfz6W6QelK9Th667kjcQajCCEWtCVMbBIi U4MxBP5KFzNXZyL0+cw0PduZBG0Oqsec9GMowjpfzef/ta4wVZPSW0+ZL3T6FGpvagUJtbeHZc4J kvhW5lvPugp1FLuVY8Rh4/jkOGcVAGbWIRaNikuzUuAgjB2x9tWUWv7KAeQDYBbQD5MPKsfQuF6C f3Xz+Shu/5Y0RyQ8HsZoDr0+R9ZptT1xBnTFsTGjFwekgchqMxXX2Y0FqayQoy+qOsGM76SvnTmD IzEXFbIZqaAPON2ogZOXv3MwVZWkWpTUMUyF3+eXTakSkA8iCp+PENj3YCdFBHOV8nMNhsLmvK1b mY5KAynFMmeq3RlABkJlypwDv8/bG2wy3NXoRYYE6eNNdtYu6NB28/sELK2dMH1jRSm2Qt1R3xNc gktuAjX7oOj7RCydJbqa0Z8PDD/Ttx/Tj7Ix4Cyfgz0K2wnw7Y0uwJoqF1GCplt17iir2TZV/6qz V8P313GDK4TtEOOSL8xYlTB1oLOhVIapKkiAUZvkWVXsnSMZeBl4sJ0BQKUtKzAFWfiFhgifj5yG vgHGUEhY9TmrQtvPhY3bJ9Elakmsub3KV5ID+9d2k+sc+35qqkYS1Hikde3QwEE+a1QqU+0cxUPO 4QntAGYlaEE7JpSA9Rwaf1rpeNPCSvOqU/0cVeysq8oeOH8iYi9feTPEkxfpJjZTUcS+yRVpQiyw csUyM2cqQ0VWi4r2bDZTUcSuhYIJyXe0mTqpLZQUt4yJz+ZbJJwCDnRekSIpea+H4N7tnSM+G+WW obVngypXqB1OKZTMzef/hZ/tYi1xyp+5CnHrBBr3en1PBhEmYkE8q+pcTiaEl0MDULP0SNZs4Hj7 p/AeRi0LclPjBD4Ebw9EXISFnnMJRTTrn3Z1ukIPUCDyEJUJ0EftWNWMgUid0rLpmR/wr9nCwjpb FSfnvqayOTQC7QQyJCIdFFuNy3UHqOy2UF37Jv/LCRQWFXf03vzZEhMi1zhKgJaijD1gH5sOctjh gJi65pR684EXKOTaeGBBLQoD4hDuw3OhblWfYLHND4j24TcVCRDrWa+eUo7NQLm2Rtrlrq1tgm9Q 0DDRc9h3T5+sf5gPlSKv5iKimXilUANYifsww/5GJdSTtiO5ZOL4pb2cIZSnb4rqogxBkw1wxOTx Dg/yztbEOEkiP+C/qANQU6fvZ7KiqJ1VzE5BSMudSetHSaZQYMyc5DZVFJAOhqagVhjNxcJMklU1 WWdyzhG4Ni8pSCxRZPRMFmrdZ7LQygU/whpebMzwm/6iuBVAgGwwaunMoni3jsJo4AuKHua33CLK AnD/vnMVhe008ejNmUg4v9jwQvlqFQYQg10c92fIgTPodUkrEPXy0dml2HcL9oy0JxyUH8jcmFhg nfIaOz10m24vHaU49ASFpjMGlkq4sEbvQtue75v8gCDHyd8xFoPblJ64Iay4N5PNvZI4ky4EkApg +U21PmAxfLKiyH3IGiW3Oe/ouxDYtJEZYpVsck044UG0yaAZ/FSJ6quAtZe9dLALVWDAFWKDgZE3 wJYMIlbn81E86jGWYPCrndDhspZzaBAKOxQqek+D9VXeRerktCSJBWi+5OWTFYbu29oSJoOuvqap MYPeDnUdwPrOFFUea4OnaobQCaR8ysVeqeQeWoSiADXPIQMpIQ3CXp2AZOnP32vx+aCZ+ss5/BwX Zyv2r/M9hLgX9p1JJmZldCiRRgWL9ABVRqF/50dWGLyLyDabGEiz3XJfQ6h1Tj32JxoI7Bu1nQSq BCpYXUod0/teWai+wCYURxbxoov2lzCC+GHE3WgIxqk70zn5AUHsMH41vrCdy7MNY6fQTUI8PcpF LmnVM50+aXFMff3VHHwVWIVmM6Q/6ZFg2xwN+QF39QynbepGpQKEAwFa6I80NsSa589QWrNX/ut0 r43Ws2AXWOP5/A2Vm1BwhsAqFAUsvwmlKQvu21cZOfQKlTwV7FodzzBukz7TA1BTdakTOTQLXaqM jinQNkcHFA0ov0Ae7GQ6CXpLW6aFUA2ZFNfNYPUpKRyKsQKzUPkhIceh5DcR30wFz0Y4sU6y7sTm BwRX4W967iZl6fYIQ79QSrJ0kR+NjJPd92rI6pG1BkqAMoEODEOT68K1KRFUjnZgncgN8IosJet0 9rYwkhR1GEDIQHnBcWqp2CtH2A/U+kalveoAoqtahQF2SISenW/gB0QGFx41FDvbB7ahT1UUu6Pm A2zMVUYf00wIKqyYPNXZ2TmVOfYMFb+LFTcfL1sQoleobANRtCGbI6GqE8WB33WmajxWRSnZK0ct rywgw/ZBCfQJ/sfQfwX2KvQMfcx6eVZhxmE16jMVq6NPIZD3xTOk5U36ZELp8L9KTgSIXUNNg9hQ avmi1NIsBXqVqym+Ik++qz2Iqgw104CBdn2hWu2dIwMs1kVheWSD5idBZpANHTg95tA3lOT9pzvI WOHBM4S+oThKM8x0barOf/VOah5Et1KvasKfQHdgYByaJH2FSkuZd3TOBAyB0fRkQog0ioqD5w/D ns1UeYLFksNklOOE3qFNnkWNCSFgRD8sAJ24HeAGVtBPcMcPeD/Z16+EcAj9eAOGwD40K36EWrcn hFTYNdUqJgxyBIGqoK2rfxBRizljlvSonXRQT9Ngk77CblXyjKOhVJeBs/yhJoabzMxkL/2Xonwn unZS18esHuHuw4M9o9YQeog+bWd2bJDY/ewvOk5oI0reYR/tClQw0rL0uZqKesvIR3y2IqhMVXbT p7R0+qzXk/bs54H7j00v2GU2NelnQVLXgS0fV3+QBya/J6I3Y/elSloqB23CCsFMbqEN4lLgJIrm xC8XOrAT9rgVv89rbNXOTCHTbzvNuwlTnw6U6dVTnKVNeGbqNbJqQF/p6jPHQo7O2+3Qwd2M2cuC J51kvujhC6cBgz+KDkcn6Ne4qpmADqycmg9+lFNUnMsTT7/MUYOCgvbeEpjo3C5LZqvMqKIZomkR xFqsutBk3kC60lxeXWhEl3MtBTNkRb5qLef6DWHoZ6kmdBVBshvnmmvqCCKbmpBEzazDyO4CkRm+ 5m2KklunJBX6kmUDqIptqR2e9Y+n36YIQVGyKeJ8DJZghk3RayTVEFVTpsp141FqTy4W10r2ogLE ADRFr3FUe2LOXcYdLTwv57Bdqy3TRAXTTGf9pGkvbPr67UNMYthfo6iG5hQWEdAPPqhgXJcoc/B/ bp/XEKo9dBstIkiekyyjGXoNoJp4iRDX7dWLnyuvyx+pbpqyhxvwvIZPzdwQEVUao3k8HoaYpDYo cXLOCvz2YhLiNIE2vozffIaAB/i8Bk8NhE2KB0zqnFQawUsNFWKxRPxDt+jzGjvhr+3le5+dPdJg 5aEpeo2c8BDtuRbgkJqiIpKpWg/D8f2wQTO33mARmWRsmhYUzH6L6ShSnUOHwrrjRFDw6vgRlxmx CMCGFehOZ5tSHe41bGp2Yp+tK02vPryCd65JJR1svL8GTU1wkGejEQRa70Z7DZmwOxev/l1vDX1Z 9wFRgIkvIGVJ1p55DZiamSEA7pL6Hf0sOod3Rf+KJFPmsIwBwHQEqLEKsuXt0SRz1WCOaGBwfoB0 B0Ea05DnIUot5fMaK/mu0RwRVnv22mpkmGKSXkMlnPI0DF1lebIy+ddJd2lV08mBaLnpCb0GSpgk 0Y6geXRH77YnrCZQTdAWPR9axYCr0MMEvgNf2+5mY1r3GiVhs0mpY9qAyjP1X07MNdR9gsLo5zVI wmlmat9LjDZsc+gua45eAySc2DyPSr5SZ5VezQwnG4Ht0opF3Czh4ejENpqkWT6X/EgMDgQQ59Qv xE+NtqVqMnB0oFTHzTaeyJs8+NfwCL/FpKoJ2q02CEc8G9cnAFE7f16DI94r42uzETSKVFVz9FrQ 5GZr6qqXu9naJTywVmYN9uq6ecGZnXRmE4Lvo60jdApBIt6U7wKpjXRTmDo21H4xR/3WflWT/LwW MzFHu/7iRhYz08uA97HolKGAGsxRX34g4e2AStiDImeUeArmiJgCiETZXmu7mfMzJCjyPZAQZkmq IwyOVB8fdiCN50A6PzD8O+ml2gYs1GQj06heV7nPCg8k4fDocvVaw8RvQYcGcEw0OLVqUTPyhy26 /HktYP662KSlQDOlylxX9PFgmiiMBpVHpxkNIVw6HQgMGIRyr0swvtP82m191qZLrrbs1d5VTjy9 SuF+g8VYXUkIa1j7QHpgU8n/Kr8o032PtKXDQ1O55QNrvShciU5FKbh3lh923FxfpxLVPFf31fTO 8eNOIfynm+gbLECShUm5sqjGOhMVXI1jFK4nCX0PU9cfj7r+PtcbgGWcpwk3af6MYxGpkNGuBynB W8R09fq8c/yaEddWmfMO6iBsWRWhdLTX553ih9N7f4cB1HgGPtPm6T3gRnuYaOF6Vano/GWx0rb/ 78lJgN8ySFkwT1tpbRMCQ6NXLkuhSOmSKhV0BiWI3oC9r9yw6Xrw0Z/7887v44WTpfW9fBBe//wu VSLWdfPxIFoa32kJIVej3cwtirqhI3jyhFRc6huHh53guxo7Cwf88rJlFHb3YkITe93Rj/ACRP3S EZ5w6GX5oyCcW4CAFbU6peeZqt44SN4Iec35GZS8QSuW5T8k0J93bh9j6Bt4s7yC6lKad9u9h97J 8reRh2cn05M2FC2z33TU97XybjRPojTsIcVKjkaCTAUKXmWTL3qSt+UqsmgVzIoAnMAva7BM1Sz/ PJ0yChyUK2lqRZ17YO9eZOmYUIYLJkpYuHuQZ2I5u0cE78S+5hL7s5bh+64WFz41uxTsO6iCWC3g PQDHjaCuCh1tbLQ87myPk/tQmeqc13OPZugoqtqQag5isB3jg/ZN77Q+bBxoUp2TlzJnHIROLLUb W3SU8nln9Z3HW/J5Spyn84eDAeXzFIbgna2VNq4xbx7eC96jLSsIFIgLap6iGNwadsas0mgbDzhh rFryPjqC7Gnn04RhGlucqFnLvzhLLiEKwlu1BZV8UGEJZshDgPu8P++UPkuYv84nVNJHu9MUReHU ujs3VX/wBSbvDd+R2yzYD4IsCsO76AstqVrJ0XnaYIKXrAI4slvT+KrokKDP/ZMntePF/p9db/w+ TYM+2E2cxUZlaYbh55bclEE9SQsfD2LMW4AT32P9rEU2H6fpPRBPBkosK9+eiji0dCaiNJumqV98 TxSJS1zqfKdxtfu6xH/EkycqoH/TgunHlj4HNAd/OhGk4BNde/WuN36fpqlzqczkgypMaJUP1cBb /rwT+RA87f59OgFQRea9zVMUjHcigmeuPk+z34YK6P6262a7CLswGqfo66LXso82TyhUpnNhkqAN GY5tBpAwGxkMNYmGEyR/SoIqisZBrplrlHwHztM8mTUrqzCXW593Eh933XdUgHO/0Z1XxcooGK9D 3pc52zSV5dXKhAaI9536tl33TuB7gsy6TVhpX4FYolb7QnyEXYeOh0hpFStss7EB5r0XmpBjft7p e9gACsJRg7dBwRN678mgFPnzzt5rjyzzMk2z9bNXujXdKBanBmWvUsxliaAN93Aao1qMiV6kraZ3 7h7WpXkVJuM7pisuOE8oj4hpU9Esna8crKOcXxGRa4XKK0tcfjqxavnO3fPuABAPHECFEcyqURub egznK2b7vLP3eN+Nn+9iSv1ZEEWyqYrq35OItFqXq781QaWHaEMGbcVfbuS9d+5eE/OJVFATNpsX f3jO11IA+mXaUqEsOKX0vURHo+9sewJNLan3eDxR0AgJsNR0K7lsPMlTKVJhPKHN+rwz92yqv/oE hQQdj5/eiXvceiKjPa4y82oD1Vk8b2EHWxMVBeRJ8VPNYnfUPJ64AKAgEpOgKwA9GHpkDqo3ncAP BEOUG1xvgyIu77Q9fBH90xqBwBosMIB/CYvi5/b8vLP22uN/afld7z9QHvV5igJyXIqgZG+PMys3 vSTR5ShOrHR3xNM7Zw9HlKlNUZTMRm/2LgIuWC+ALGVjBR41zHO7A2j3Q1tUq/PK8eqdsodpItyi UgIVQzf6aweakfF4QU8lisfdPFzFp0Znv+UX3jtdD8upsdI7b1elUS3YregvAh8LWsspqocbSDpb lzc/XV7su0qfIiynNlLLy/RPqTGAnV5nfnoGxV45uPHoBrkXFfY5CE8OIH0TfGmuzztZzwsknBZq tyASH/meT+/hOJq8op3tW1aRChCh98QLqkqXki+n93BcaTzCcCv39qfce85wVAuAKz2v1YFc7JIQ xN+VM2gLBT1xt8Ds9srv5xN1SKBKeQdBDaHHNW2N7M87VY8n8vfxBJmtiTKqzVMYjxfmdGNkz4Of 9K7MW6abfRgi5Z2oh/WsyGCYEQhHDzRP8DgayAOgqwOAwjnFfQWPUIj2D5RVLL0rQ28cTBP1KBdY hCBUYcsIGd0LpTrON469P+88vSZR9a8IimJO80ZQcWWc9YL0wMFKnz5PdEGTbeGqVi94J+m160Qw Vl93tAjq/OjjLB2KoudM57Qh4Bw8ZGlUux6vUJzqfONg27Fe0CoNCTgYumIXQZxOOMjXDJbTU80k +ZHizOMe41FAXkkn3n0576wLuEpDmW3y1g23YLN5eg/IrcMOjU4d5xwdtwqzpka8dlnUR3d5z3M6 dGqKzJu3LEJ83/l5vutAAyg+qPzUGHv9EA49Pu/0PMSpo36tJnbF+82C39l5zUnXJ3m3oADGMtdN 5l525yBpFo+/k/MehAVNkXz0WUITOW34WpyTlrrMQtch4aWdJPIBFytD9vx55+ax4yZNm3wHZXdn a2/VCs4t8Hmn5rVH7tuT4JMizHxnKYShMCRgX8jW0n6kAqdLBWaKcnEtvRPzbnK3S2OdUqNmqXRM Uwbj4GQs/5+x70pyZUeS/edaytqgxf43NnD3CCRrOqNOv4/BtX4nySwQIoSLs8CBulDZpcN1XSkM WlLiA+WiN/5jmuamS4oGlehG22ae1iYfD/Zc+u4gACMBXLZPUxSKAzAP1HNx7bvFJW9+DdPVJ3N1 APQ7Jw97IRflvnPf0XPgVAW6RIXu3Ay9ZROLBXKOWNF86Yvg8vKFg9yOhafzp1UfVKCj/oFUx0r5 vNPxmmky3FmCbm4DDsZmKYrDe5a91XBEfXMLWtCs3YcAaogmUPZOxUNuqW209npGK/hC2fvkdI14 lJMitWJwXrLgQL9nA81wX9z+n3ciXjMFmwXbAQ6ofzqXZYmTApuKzzsP71dJhY9Rb3x4DvxOw+OP Y3z95HB6wcDQQEi7ul/DyNsaCO8svObujhvh5B1t05UTBYJ8ibv3bDkEmJwnIFV+2PKlQqTZ86LZ /Xmn4HlaB0509kEHOJIrYtLPYVs+7wS89pA77WgCvhhcLZul9zDcMAA4Vn2WSBK3RnlzZUCIOVh3 851+x6xSYdNa646+5zbE6DNdjPfZyYOw/HTyPGjZs3lNWTIDEzBbeSffYf1T+RzpnA9CE4AOKRjh iS8+79y79uhyekFl4AS/0xRF4YSG9yVVSWrE9+VdltGH+1wxc9c9FxbFxXsdZiUzHiuZDTnqc/UT 4YQ7zq3DC+6Zc52CWlDaQ+kklPCdeNeklgypDBPOsPpcbet83DR9o/55p91xYXzHA+D+oVvm8xRF 4WzHOp2TYluZNFhiU3oy8G4aSDkZhb+T7tpj4NSaORPxQJzSmdyQgWQ15QQZk5IL9Lk68QCdRQoP GS9jJr3xX3A5yiZgoPoj52kW0w8+hxTfM2rbfdd7segh/+bzFEXhjRa9Zd+sbhU3WQeMxAt0fWcr 0L3z7diMMnuU/+/gBL0/QPIyfULP4qmrsix+DlvEIwXHYQXG1NG7KCd+3ul2TbQmygCaIdj6IYQb lcwp080xM58PNt7+TutIHGq3DfxOtnNkIbwpfUFVbTyZM6Ti2PnunNd3rh3eX7ddk8SkRm8Dn6vp HOIysjh/RW1syYyJmOBkxZgoUDPd7IqiBu9UuyZ+IPLefIdk1bnJxBkKivnzTrTje/4KMWF9uYZv vHeeHb6U/pe9ZedRD6kYSFarZUM6DzE4gcD8R2G86/DR6CgVGORUzAIYfWUmqWbD8pktFhBy0NOx A2oMe+X3eTK4vPrliO9ZP6qgEhGIROLj551mx43r0VNWp+WsSIqu20yFOJViWriVRE3EBcBow5Th bAYGA5stBMg4YUW9s+wY16hxVxVtcvQ6JooqeUB3ukKogPhQkqgrPRnQVUFkYoWnNe2V/4oMkqwL EytnKl7CpIggw7MDPu8cO0YGvwAYCJ3G8jjznWKHFcVrufGuoMUACoImAAjZRvdjKDQKxYqKCuPN FCWrUYLrxdDBonec7AK6K+d2QdgpV5ROZR2UQoDtrk9y1/XKEZ6H8Hl5O1LsSIgTaDY3FlR6rp93 gt1/FVQgAkbHWpun93gcAQU7nBLbJIFsELPCnVeMkIFei3c43+l1Twrcd053tPUEvfeTP5INTFh+ 05WXM9VK8X/PV5W780jEeOfX4VCtrGCWVXww3BM4/gJklvp5p9d5OnuBBaC6nV/wQsTDeLxQArdd aO+o05PgwqNCQBW6+HA9hXVxket2FWOM4y3QQcwHGDSYY5wprBIvgEP9uW9QfcBhaEmwKirv3Dpy DUQUm8UH1QrAgSGgp51l9Xmn1rH74NNEfnmiY+JF975T6zC7ZEzjxrYb77ymy9+ufrVWJvrhvPHe mXXsszCEMnb5etjlYGRs9BCoTHOWFdQiib/YYApOmOjt9KjYkYb0TqvzaULmmX3QNHWgDbsW1vi8 k+qYp3kAxaMIJUh6vdo0hTAVEctXusCCa3EFoXyPNOlZp2mKI3KldZT4sdHS4DbqOawWHQUgYdam 6WXAoBln/M9e68bjWk1RVVzwsCowHQeldwXKFFxNYGq+8+l4tn2jVNCHmmAj2yxF8TgNhSAnd3HQ YtANOt4mJ2bQGFOb7u94fCcBoTXaLHV6/UJ4EFXMCWUHybcN8lkqLOHrBYfhD/+8U+ma9LVRS9nL B9tzeRF+h8LT/Lwz6ZoUYL+CzISgtDiGroXR+BZ0dXsS3NzQ+MySRNqo+U4bC5RUWggXLyo8GT5u PS7rJyUClRz9dOAXUFWTsxodwztM5djYNNovjn58TRAQpEYh0pp8EPYCUsPJeJoNjwch5sjfAQHK cw9/pYWhOP62UfJ0LcRFeK0QmXQTVnmuuxZiiyriJkY66YZlo80SKEJzIOnrDPAnKUO0JcL1jXoe LreLyNx64+Ce22z8LhIPOGgx7ZMKNZGjy8DjAaCgfqd2IBQRMGE0nygS72lKIMurmCX162FcmPBR xmE4Drq/R+LnYJWIxd6Knzjalps4phJSISCeTtZnSg/wkcDxuQnbtsRukL7aY14moSmd0poctJhO Ir1kOntSPDwesA4vQgUzStmLcqOmHkXhZudY8xWLTJbMnZ9nba/OLQIkOEsRQkWMgn3O0XZHmyVA wgpObSqQnrxtTLGBoErSzHE830IBdUF6VBKvbBmU3bsPmqaTuNN2B1ZfE49Hxd7xFTQBztAgQmfT FAbh5EO1nG76O0d1dAoPRHEPIW/MW65HJXEPLnuud/Q9h4UDqjJ1ec4UamZmIssfjamfgjjK6wRi jUVBuBwW2iaehxKXrIKch9HWpwDwbAXPB4in9V14Otc3KvKXgdhDliYCvT4eoKFQDwTQLbZwzVCV 4g0nDO9RGD5FZ6kocfjosHpYj4P+RBxPg5SDaFGIB/KJZxuw8I/OxbBXjhJgw100DdUBmcjS2ats ne8ZkVl/4VYhSTy2N1l6RNVEToozabo1KGSyLW4yc2PETSDt2DyFYbgKvaTA+mgravdGiWk1WU7q 07KJtBZ481LQdt5C5uJl16O6+GL226CAiwFeeuyXnD/2RPS47SC/i+ej2+57QUEvAqB/n6cwDCel ddOr3ICryWDQYBsZDPpsFZjgISboMV+zqSWg2IDjrdCByYqiKcQ0z8NVad2A7TN5nFnqYTqgatYb B0EB5C1WWuxGgTv7QwA/uGl5s+eMnwjPBxtvflfG0Y6CnoTPU1QZlyjdoB8CfHnbpJgYyyltksPC DjBQFSwT9DAO175LXX1Ljs5rhbtXWVTdhq/lTFTmHoX/tlX2WNYFXpCeii8K9l0xvyo6P4NYLRH3 sxx1gYKGWQs+IDjLuyPr8Yb4gJnbnan3WBzAejkqVC88NfTN8rnnTvwEtYl8Fhd9Tazw1KNYHGVj AudMjSA/agTYH8BG0wy0QzaakkUgSK0fuRZ9yUKWRMnRHhXHdefhSvCBOQs8ODOB0e3kpnj8Xxxg +Y3j6sxPBBVhxk0zjO3J/3AXZq88QQU0c84akF7JllQEUemmX2se4k1MjSqQbz7XNs5t6BYPmDOR cbdIKIIVCZVCH9D4mS98UQShU4szDR84UQMoP14ftS0+HiEyv3t3GaJYY10CZw8jcmLoqtAX/M+y 3BN7UL30PxIbhn05V1RUHF8qFdS25x299ATW75zUg2zgfZjvhGQMQHzkxvFS5iDNtUcolS4ctKyF Si4Oh85gUnCiTkCFx//JKudEQS+xJ2+3jCgmp28wfucHD128LTWpk69iQW2WuoxYKkWJnZHJ1iWT of8E30/sTQis4w8S+iAhwRqLBl/LOXeFBhj4nqCU2W3nbR8EwDi3hPKYc9RlPB5QE/v6CsobjQFu hjeioLxLFdnZK3CpMu1HeB0047RALsUoUiMujQtjaGieftE8sD4HwbmTmnhiy/NfLOOd/+mEAbvh xVGddlU1rBB8T8S/t1Jv4QA4pZh2sxZ5OwOuh+ej0vh3yRei31N6yJynEDPOFA+NVM3TSXiyKfym jaub84SimjWlRlQap3UVilSUG8fI9yC0YJ/tvahRUqBW1UUJPnfbuecbLuuyb2hAQTp8TUD9aeS0 oBSCIVsDAZrwQ9rt5//h8ajVMr9X05ns9gChRxSRUy4VIawXfFvZjvAFScQKvmm7HvmIIvKlVtQq kpaR1i1nKQ2IdK9ENTVUf3uScDsg6ZC0rMRte1yAehC+JmqzMB5Hw9QGAQ2h5cD126BlOMKy+K8K HdhWo1z+wYjicZK4TrJiwlYUQHb+QV1Wtzvx+HJ1mRHF49OsOLbREne7cSboRBCiJx6T/TqZE0PY C3Tg8wBa9kYHnqTbjagsLsugAS3qM2CayGoCz7jT4O4HYf5nhPzN9g3nIeln33h8RPG44swyqsfj RYU5Mn+GMRHgeL2MfjCieNzwqt1M4fpjCrdmPi9XBpk/55iDlqzcdpfQ2u0HBG8n4VOsYERl8UGY OIRTfFBIkLOJSNQTWuDx6GT6ZrkiCx6P3tUI0eLkR82eXRtk0rhOak70+6N42rnPDY85IrR4USQw CTez0XEqaJCXOnjRZTgniDGcKaeGmB8pjmPFUbTC1/zVshtlak2hhcWTCTa+RHwwBx5hJL6+IXQo iHcQy2yWoqq4FHzLTD5LpYx+11LJjlKB8JFmKYrEp1AqMwulIv7e+bfIgc+9P+FCRSpwQXuOe25D cnEgFgai1WcJ9xC+5q+oic17DBSK5dEENWBRpkvqeD7q2Pk08SdElaDe4tOI4vBRGCqtcVssY1g4 kAYUlCxqKsPDgTgOV9vEtl55oOInAChoGmyK/qOMLO+bwYoyHFBAjarXe4Pag/iiIA5v1Pw3z7zB mIsnd4LvHuun66xQfEBQLKj7a0E11fzugvobpVLTmk+x4LJc51XiO9FrV1FlhKXxbMKOChc52kwl FEbPrJDMAvhlLdK+gDRTZv+Fivluj6BTPKyNA40J/XKV6dCQoU7TiRyzcHRj54Lno6rKd3cTkMg1 s0/UDIvjnKhOVi2Fxvue2ZrlZzUbHRgi901VlfkPzcI1hKPjaGXfXE9UTn9hxASj34oCREIm9OSR 7njXjmzgGYJUZK27qcjHQa3yDDsM9YBLxePBLPVv6AVCwzEvdHVGcTjWOnIUkxUHirVMh14QSSJQ JrpUmqWwOC5D61EkY8TRTnEWfntl1ReAm75Ue6qImzN0wdnteTC+9sp/NRGQn/ugeTqLcZtXbC94 PDqgvucJ4ep4esAzisNJZDw/fHED4tFcADP14eAw1M/tHJ8xd9OkZuoz2rZro0EhnfqOIDXMLdX6 zfih4OQiQNOhPNR0mHF1nAkLDCJt4Dyd7Mxk2M901c+MIvEHeuGcn7Wv5MyMInGaCZ/1eqXnWiqX Q7ZMEAPOXN1ipxnWxlVQGQYt4OgxJnQ/CoCdiAoSZrFYjHn+ZMQe+1Gf5QWAr4lmiRjfSfrBmhfI M0sTabqfiwGPR1qhnv3S5wY00NWfwykKxStDcVCaNU3wPvGEZao0TuRFcuTFDGUM1Y0DqvCOTrU7 wUdFOY7UqA3LU4aYMH772SCDlPwQEGjTju+JFEJkSLnuIKjhhJXq0nFe8XjQ4PxVTUHsPtsF8sy4 Mq7W3cVC44S1GLNu8zFrGZ04XXYzFlKZVh3Yd/TT6awe+CzyDD8TeM4qraZEy8SO6ybd6In2nTOK xIWbK5X8Aw4KCSC1SH4qtLLx+L/o0rTjOuMqz56L8CmDcjMtrxs80SVN+JR8zVrSYiSOWYrq4lab 60vNy+5G3FQxhnQeyEQ07zjLJwlYD8fKgb90PzS7SbL0jCLxJCVxlQoa3XC4lqDBSZA9KDx4PMrq 2ldWB01XkDF9lkLWZl4Cz93+ZrnS2IOdXOk5oNSiLReKGapb16dOcI6+lk7Ce374RjBmRc17yXZj wkwGimiotd7ewdYLRz07wsVhTm2D6nKII6aK45uPR5iwb0gBPOWQPfksRfiUKcqPjO8kbLiNZYfo +1qb7uYHUxSIm74qimJ39LWEuAxWBNxx6FOoZ1eB/NmAYQC2crksRNXPKA5vWUhMEqQSa/YSoUXr jLDGEyRUPB+hnb4L4ujvgOHm8xRyNllxKnX7PTfkgId7boOmbhDDdldTLGnoXM1yR4suzy00IKlG U4jze+5hSQ1ahYOo2TrSnadV9MaRpKHYGaX5YHAnXOsML0/Gg8f/l/ASTVbeXpqmFQXhUAHOXXVk hU17e16XcnbpojGcfLAihIorGi+1Njne8BL1lE7uYTp/TtVdeJIyePkCoAdpLNMGkUDBChEq1HHo mzp9HDRLJyA3ZlSrFY//Sx2TBWFMebvU1hUWw/tSR8WPpsGDV8yDNpMXCQDj1iyFQbj0+Xo2Sku+ Fp0LMLCUpBcGfSG64/CaS9SCPa861kU74X7H10SlFKYqRYZbaI4NO8HPYcibtZ+YFc9H2LnvIgGK TRPptE1TqB9Onb7aL7e1kjXDxWQIQ+qnIJHSNIUIFQk7zdINV0AMQyOs99xHMOMggQxFgD1ManTh 9j67YJXHMuPMWMPXRHU5ouiBVrBBOB5otUmN9oRrePxfMqJqGZ9Xmpdmt0LSpkLL1r3KeyLZ4nU5 BiEyNcCfoVkKQeISTRnVROjqtavZrUJYPUl8DvjCzqxmLhLckOIPVpzKg1ZdcS2caAtU9NDQXGbj ADQHPo/JBwRpQ3DKr2nKZQB9cHvkKxYSnyrHuWA/gUcS7G8w7sD/2qRhxKhpRaRNq+62NJ7RS04n b2ljkGd33gxqJQLTo096Yn4cF4PsVkPxTL3ynx1NxhKLJpXSdW2QlOJVBOGiOvEJ/2KyYK7QWVn5 qtKvKAynynBb1Q3uGm5UA6i0te4pjrNVUxUKqMiR+uRs9Y4GJ0AbObfRVXM6UUfKEi7CvXbySfDs 13XGLfS8xBdFSB6LmoYPnvymoc1eOt8zQobdoIAYDVDIIIprExVrivOEmtc2cSWfqFSylccBJ9g+ UWEkbtWBOvodnfCDg5coTFQJyqqiwZ4TtFEcE+sVzUbDXWRdeP9QUMnE0Akmy9IAGqZJ9smp4fHg wtvfsuI4IVA79nkKuZtEhg0XVEP05Fac5/3B5iWFbHZiDTFPsaIho8vaBVzl6EieQR1oCT+eE6uk 6ZxEVJ3Ijp17XXwK8it8UZSzsJpC4XSIpTYzqTkJ1hbUENW5FZbFf0fjE/5LV4NuhWhxCmH1fbsH oz1Elp5dCKtDWEja4mFZXBuvWPhUnvDpzNM8YdlgznLOwJPDCPE02bso0OcBvsr6vydBa3rl4DSv BK2aLvQc1tmkS1ARqn7wPQM0wfiuOqGS+rPbc+mFVXGcEV3EGUmrzeKtzU6PGU0U7HE1UbGmodEu 8rqjTxTqTDiQKOGb2pba6Jm7wqo4uglA2HsMRUXoFcXjakfRp9MGNe1gf1CUisyKx6MF9UtEhWId INBponYUkNOJ8pzZZgV1e5vS8F1XRQWKHowOdlQVH8IIQFPmjjZRC6fdyOptnuhOkmpn+yE2xE77 gc6CVVRkcb6jgByw5Alv1aWhSplhw0Odf+U4hzoejyg/v5CGqDvVS0jc7wG51DYY4LgiT6ZVrjj4 14clMxyUXn2oorLMQcMUw/ZVDJu7oZMggXEwXpv0IQcZ65DFZ8S27oVH44MdYsZZrMKs+GDdg2ak DQqx7/eIHA04b0YxCDj3ZX/qczuWUcHhvUvzMmZZV5Mn1W3zdG4+N/zbcUQuFE+xylN5Kk+roU2E +x/nU1KvlMhVbB00wjKAdNckeOiN/yrQVcmpcBA1CmUsFcVL33j8f+FtwtIZGsw+TVFITsqTFHW1 nM5pmB14kczJBvCU7MsphKeI/9u3C+44cBWR1DyTOJcY0xmSDpymhoYzq71cfH6KN3vjgBvF0hxK Az7ocDqxqhR62sZqivApv+ksKDsPsGBsmkJ8CmJiyMnf/K66feS5jbLVVM7Z5LfdDvHi0sEa081J n2ovNh0qNDQzB1OxN+uVQzkXADG8vFfogMXB1/xFli7dWC2o1XMJZTEdf8hR2Xj+X5CwLnor9Oqv bPb+W8+wMjeSkIqWE+19tuv0QX/XIIY7xKdssaNKmne05QSJ3A1RMhZVICQqA+HFttIgw7RcmQKw SvA1f1kgzS6Zgu4yBTCiEkK9ng2IxyOJp1/4lDPuc/f6LEX4FNq9SlvXNl0raiNUyAWknyvxZEpY O1QzlBNiNzmH/sg5nONmwrhBqPqFeqCKKquxpYjTohDG05TeNb1xwG4lLap1pi4cDIh5jjqzUF58 PMrt6nfOgnorxM59nsJYvBKhsli+JGFjWOkADlXF1OcSDWA1TyFCRYfSLG3f0c9wbDTIGIJEtqmx YoDVRM9WIpFdvajQ4xnfE9BbhxibLIx37zMj0piNNZZ2Vi8ej1KWb4ghiiPj8dLYUSguFhlM8XzT kVcr4iaho2qUs9yNRvmOQ3GpF9XS7+j0KBhQwrGGQJ6TIEkT+dznUM6F4CRVjg2fsqdeOJLCYnEu SaQvPSJ952cZapPXgccjys8vhYKGdtS8my6Kw9sSTHz6YiL/zY6mmS4Qkyh0LqYInQIlFFF9yh2d ytJQRyGNFQ0uEPEFuqCpHdR7AL8xt3IKv+Nr/lRQQcXYBtUJRqNrK+oEc+DxSDPb6ynsqGRV1y+c Xoig98yOHLLehmfAO19n99mzcch2asaNyilUURlmZDfLHb2mgoB5w+b2LKTz31vraTRijwqEqyuq V3BpodfPtpcObNp4jm+mwoA8gQWj/bZb5w8Nymza/IgIufpd+ZURb7maM3IyeX2uUKl+LxF+0JcC q444FZQ4JRECTOX2+Yo9f6QF7drQ6cuFG6h6Kr4hwzuZBYuppLmgtAKUWunlsl15EfCbImwBg3HI TNhg3WDUsDlx5w7n80Hy0n/xE0EUoa+iT1bo+yPJ4bUvuL4Ry7pobVcMIwaN6+WTFaLGdZafpdPu aItrDswWhJVYh+pQheRk0d2FDu0F+H5XPGbdAN/0B0pswSSPhQN45sk580QfdCUAnmnxA4Jo6r/k 1s7N/HhuhfVyUoDQ2raMeBPzrRbVhR6eu+u6a6TQdVOXWrZiVH6KUeuk2Q0UB0Tn0KTLYlyPRteW 2qjtkOpN9mSIkKL4nJXgyWaaDTq20IyReytwBng+gtS1r22IowdOqXeuogg9sVHVS/e6Xa/dT62U kp9ahPrZwoqK5gYXa0lUvCa/UHNsAXc2b8oyZdgTD/GlOpLogtjzrDzXyCp5+Ev/YUZCBJQPmisY 1esizCPz+SCZKenXLmwmlX5nKyyb9/rIq+BeBKJLfMXzKzXjTGWi54mto4RGcMYbkHymO9psEaS7 B7ufQPaw2M0WA+5iKkFmpHMSxSiMh/lNEQqR2xAtYRtsZZ2PYz24nXXK5yOOvlfOCak+QciujydJ CivnjYLjZTu/rGBRiohH2z5JQO0CirPmKgrXZ7c2TH5GL0xlmEgUmpaWCXUGqa/RUj4Xqt9DbN3O dyiE6Jv+YnEAM+SDai4dbieSX6uZz0ddht+dqxM8oNBxJysK2Skd1q3WosmyNQahBuS+nCwoN/g2 DOvny0wnS7+j8zt3h4cy68JQ/6KrAY6sSqUACICXfHNl9CTspYPshmfVquw3rDqMgg6ddkInALRr fP6feESmgQzz0nNmhYgW1tCbrIGJaBmO1EDg7rW8TB16mSpGkitVPje9G5isp5sIwuBzFTl0Yo66 duxkj2Oia7C5rgRJlMlUin2BEDCszUc5CGuHdFYostIGnw/24K+iJ6mbiDnuVIVVdIpHUybeDd6W F4fhTWMpM8A8PlVhGV1OCUB53NGmivY2KCjQWBEgSLLWZ0VhYMHdB86Nl5VH2jBd098PDmpB0Qh0 Pn6g595oaCOyaJUnn/8XRYhwYMjc1CttkEOrzmnAFrfeaGtUK+ll6hUbFoF5riwoQ2SLMBuEYPjo NT2kk21StB35SMoy5YLPH8oLaDhA9cJsb2hnngOvTizfSuiP0HfZ6vWV2ijUUsMm4fPBcXVlNYna gGtgvorkOXTrNGGR1JeX9YppuFeE2F7WW+3aBgZ2ndfOZTUxhVZzplBGtf5cDqMSU3aCxtpUrSkQ /u305FqPcjvxiTl268y6BHfxwaB3uKxlVl4qn/+X8i9DWOTN4wrV5Nivk+3jVsxykjqIyWsMIjKw xkCRXl6CoWGn9Y+nCSHORwgRopcJ4itUGluoOQgFc/Ko/NOp0A2Yu09VafbOf7Sx4F7WNTQd66lQ KojfWAefjzLo+p1BIyyGeNWdq0gLcZGkxx6laW434zVWcmI0Vyf/QMNTcxWG7TT+WXtqVXG0VQXo QKaVC3yLNizVdZ7R+BynI6TG3SJoNHvlaP+RU1VIjR3FaHoQVTqLQzLJ8MoNbDvT/5fdBsocJgE+ U6FL0CJjiMGMajJrNdt/C4UdSY4V5Dq2/0Lep0B4U2KsGr3CV5H3bU4LosyZpeyD7vJ5RVTPEExa 7aqPbu8cGZZwqlAe4SBUwgnYRpU7PBx3+HzQRr5GQUIznAxuXbRiDow7z62liD1fQa1OQStsQCDD XJ2t1GdR/QPpstIed7yldfj4oI6NPsFZbRSIRZUPSQV1ByAC7uipPu2dI146lceExWtiMpIi25JM BNF45/NBSyt9iwCjpVUfL7MceHfeeigvXIHO5ftG0PkwPxzQ96e7CIbmncvNvIUUzuMihSHWi9xY ihA7pWGirQvbbgP8AVjyrfWpfhW6d4rrMRe5HtNV42F2mUS3OndG5/PRsd6+jnW5W+ab2wT+necx OsSPXS8Itly0Sy3ZUEF5YX/YVEWGQdZOJlHSR+fsga+3UaJC0/28KtXSIBUxVWRjwOIGVIUMXX7T X8uqFmqQcVAMCqkAFUbPNcvn/yVcI0OYJCqFz1UcrVPVnZuc1ZguyCJ64WNv51k1Qc2SPupP0Sgo ANzRlhU6+yCuSFxrZ1bEAXkBlSVDbxqGt+1Cg3qzl458ApgsD+I8OahbCs0lifedjcnnI2H378AK MOBz0I47VyEGnSiFJhUIFmba8nWV5NIMFFUd2xCMOXbytJrokkMJR2cRdUDLk4xhz4EMIzvmzJz5 gruxgAR+6VbTXvovCVcILvqgeP3MvCsfoIAcmnnWW0DmcQUP3ZwfjHUO/Ty78J69XFZ/q86SGfLr GEQPs8KA2QoNPZfgw3QZ8NFR1gsM40lq2jkRz/5WexnhEAGfKI228nS6mr101MRhxR1lFA6JVZWG 4iuN65CaVz4f3IO/UEIofMx6uzg5NPWcWRLvrKuTRgtXJVVjiGPObOPUmXxlha6eQ14dzbpd7el2 Qe5n7HNnsRpzdjdYWEwEoZt+zhlWrseVbmNTMIeunihF4FBXtY+6XDzcIUbBnQWcHJ+P6NjfhzsU vReE4n2qQoEWNnKmysc8Yqm8j1rMiYJ45G+0mVv1wz1w9UTZ3nJlwzKmp3xMK92TU2cxQ/Mi4g8S gWhQFCAnCvpVXhKtyV76L6pxnUwIK1KTaeI9QE0yutxr8wOiHmr/FYqWn72fWkxo7JmEj2Vijslq eFWrH58rppuKFPyAfF2F4HQ1JOq0SZsXfraoNUY/QQQNAKaxFjMkjNTQMKaGut2EiZS+HFt7kpkP WqYGY4ied9wEG/1ASZ7P/0tOWTAsgJau2F0OzD1R5GOCkx5TodSUNhes6OJoGKqVMGwP3D2vVPDs 9RktbK9ochTYr6GIiNrFUoUBsdXgoZXns66mv/MfQI8z8VSUatT1Y16TGzT2CIhpa/IDor7Et7Eu oobxwK5z6PApj5Nv7Wn5CQkRM8zOK+09q89VFLdvSmcAKzLvaHM1IeywJ60rMynDcloYBWhggkHR lHQp8+HvHJ1XJKwNGS4Mw3cjed2SiYEdMZ+PltU3lH9Sd+uJ20OTzyZ7oTLLheyZggt+fMsRYS+0 77IKVRTN/ruNfkdLcRYa/+DPIEk+t+DyPiIk8dE7RKh9FaXWtFcO8B4s8XVgC2xQNQblHxH+z5zx +aiN6ic7JwWGjONpOYc2n0xHEH46lgFyqbao+nabT7SlvG4V+HyazKCsOu7oefNeAMw24ayg+yDD qo6kR8okCCite5NtqkJoTKf+dCcIu0HMhJcZvOGXPCnPu/P5f8GvyQ/BVKWnxBc6fVJ+rqMz5CWG tq6qOWX6ZBTHNcu8ObD6/JKRkCgQx1tiwDekLrEN6B9kkWtBqplAXIGrZZEVBNT1PcEVSOeOVmb1 wYox6HgQ2p/Rmw+8Ps+iuhbgpN2cpY1vv3Wr0OyTdWDEU15iaMX3HxSlqyNkOA+aqjhoV4KTzV8+ 36MKaMYOc4rG0KqB/Cd/L6i+nYXR8IGFlUkeVcXeOVhVsiFWI/UM1VYVgjZeu7jS+XxUOL65oN4P Dl/t9rlCy0+Wm/qc2QGhkzQY1fjShRenco/12PPTFISXTOY5+lmFLmcCRB2V44oik6D/OMh76oXk aUeEEq2eQ9NPS5sz0xsOOtUb6mCKsVBjD1w/vyXOKQQ/wF5+GvOh7ecmPKY58Rg9+pzuqd6djlzp L6iZigL2VYy33dYdbQNu0JELEAugkCL0GIKnoWkzeHDB4vDS27u9c4BhoBBXg0CyDVwH2LpF9sRn FfP5f7kQyhC7/KrG/GH92Ty3MU5NXc7chkSk9QPrTh6wh96fdqwXRqk2eoXh3E1nHVXGoAkYBgb1 uHgI7offArIna552dblC+89Ja72SyD7KFOWlrhvKils0iNYzPyCYrP1LzVSaovs52mMPUHpYJXoh m8f8NZS12gyx66gH2nSFJqDJsES93NGxRGcFTMCtMF0DkP/UHywRqY4ZmBUXnFJjPrABRezMAwum lLTTGQJYn9+0D/WMoCLND4i24S/xG4T+jw9ojo1AZxNJq/vamo9eiWi45N4+WKLACfSurWbVq/ZU rxYUh2ah/S6CoFUMmp34q2YcIV/CyxlCOvqmP3WCQHzCAFc+Hu8D4uNcZifAnfyAiID7y0UdVbD+ lK8CP1AclQQel3wJuMR4oiBTVHCUj1y5kL7QEPR2m2u+o8Njzv0BPm/VyspAvbF8RQpFpsQVFUjU 7iLjn98UXIVLqU0fPhivdAn0emJRlEUDT1DrYD7Aq0Sm/E1wQlNQRBZoTJSL6KMECItXayWXyy2t JV9YETzGLNJaMbZyedjKQBxvessJSnTSEvZwivxjsJ1wLj5Um24v/RfDDbE/iw1kd3Bhnf/HpAyu OZMfEKQ4N8biPwbt+SsaDZxBUW9n5rzLlcjb4H8Q0YfU0Cp9GxeyTVYcuMskvWg3cvRdCP+pmVWS obEVBTzPAQ+OdUV7vUpRX+Wr7S8d7EI2B1Ped9DCmsQIMoZYnc9HmfMvNcHVEDjccDR0BzVdbxWO 6SajaePCKs0Zy1sYtaSP+rONetLXeUenSTRoFZ8ThcqLO+Wx5eZI9EWBeEChIKfhrlRvD/1Buxo4 nVpUHIS7WmdTk3N8vm7x+SAezeUrcjjn0NmJSGZ9smLRl8XjfVUri85MVBFB21QmZZS1ISxkJ1YY uyse38VM48o9sU7kUM4xVKkZgKLP2roLE2NX8kIqkjlremUB+gKPUATfRGtnCZyh129ckna+akur Y09+QBA6jO8GIWggs1wd9BzahPYtlgQRtOSVzGuKPegPZ7Y7xPkhJA18Qs9HNfnu5F7uqOC9FEif QhMJDXpUxU1Op+PfEFzb2aCXIRhtd3JgE4qpakqbhyfR2SLSnWQTSixD4BMq9/GvqAGN73SN03Jo E8rNACStB+/UANZM5dnuTAFhp5Qw9AldXoqx7nOblx+IcvKiuQC8zs5/TVmnJRCUJrWsMwh9SgmH IqzAJ1TBN16zqkuIm0GcZTjvLOWE6NCHTqHpspVIAIPsTrqiwzm0CqWvcG9Ifa0oMx6CSZ+30kAv Z81VFL1bu3l0Ezvv7llIiAzQroywIPCVp4TjNxU1gY6Bu6Ij1FKxV45gH3LnHTytBmLOovrCyTSZ E8L7kx/wL8VKP9ohUXOnKorcK9vOs2XHXc0ys2fPw90dz5PpbsCw2C4e5Wo62jnasuoVaoCJTNhd UfdTQZ5Fhb5pDfO4FKVkr/xHuwsO4tsHZc+A4XRNGnBXoV3oQ4FjhxoRbW3PoopK7QiWYf1xG/S1 l2WN1FKWBwzwIrFL8A/DUEWizfxS2uOXsutgrCYD2pMklKYGPZS/F+XSEJO6tFCt9s5/eF8tQAl8 ME7l7KZmBI/HHFqG/lYfLpylfAsNoWVolVdRdy9M9Fe858y0xkyysah0BQaeoZe3VOqad/TYKuNM 38qeO25cAo+GFJsz7sYCdyWHyCjDCW1Dm8x6WSZcIN7/yNdi4lDsEnjJjR8QFfvqV8AwhHy88UJg HCovBERUy6WYaqL5LL1AdnXx7wV2n62rmIOq9TRXuqNNFsDmjfq5mCyEDCItDYIZoJ/9w3Ks28vM ZC8diVZ1ckp4aiEfMKGTAmpy46ZHpSF0Dy1XEZWgdsR2+4uHE/qHEl0KyqPzcEbv7ghSk4ugZXhb +mRFKBmh+kGyL3f0Ehbw6wt9oHNcDfDrGLXOBe79xJUPDSafqp3tlaN2l3lddB+0B+uAWD1DBzCW AgvRbzyRoFeFFWzfhJ/XyKpnum9h45lwx/nPOl15f+Z+85ur8PUaV/Ur9znEGNHoyOOeAV7JrO2e KxaNVNbagdybAG0K+CgeHD2gX6OqDuUcwmLkW3RVxtnwJU4TqxNPv8xRR0lFlZitAAOYjQXBzUHx 49eQ6nznItij91msttCaqQpBmCJtqy1ADMAWUzBFdpv1rPoxRycLQuoOeCic5y1VUCTYuYEF0Nx2 p7jTBYwy8TVvc3RiEWHJcM/aIBDfRDAqB4eFp9/mCBHG8DnCfAwWYKZN0Wsk1bNcdvti9MJLDzwy W0WQvvtxZ5ll++01jsIqGvIpMqQHR4PPJuLFEOFDgbiiJKyzHr8GlB5O3nW7EJPw9dcoqsskghfd 8EHV4gbGk4iCu31eQyjM7842Q6wVw+kG0YFm6DWAwj6jukkrV2+wZdeyxoHhIs1wMtcMvYZPHZ1E NR/azHe0fXaSlorKAaWs29hlG8IfQQHKVfR88xnC/vy8Bk9dwQ8K6V1lddSSJYTa8IZMX876/bzG TvhrjWyKQMDCTLyPzdFr6ISnUHLENjIexLkm2vDGgxRgiFKorpTzGjj1p5ZuG46jg0BPIA53uUpL vpM7VlavTwSFcA/SBMRwXqIpleFe46buEIVENxoNqrNU2Anetvtr1ISny/raaUSA1rvTXmOm/jir jkcTfXjOssQOZMsvu0rOa8TUrwVIlQ+tRj+M1gI3KJGs1U+ionmETjo0KAALbuw6qTuaZKwazNGi ltAAFtMG4RlBoGNjs/ZVPq/BEpZE/T6NwOowNQzM0WuohGOeqpXL4dZEKHibYYxsuvGlZBfweg2U MEdNd1mbz+hZ3YmMVynU74Ki0EzSiUV98GfLTBliHbbZmNa9RknYbBQWRLWGA/5kEv46skZ1OU8w 8XkNkrq0nZ85oqhcy36pvUZIOLGrquRreDhZW7VwsrV+vWRgBSXN4ejEFkMSpL07euQ9BnpxOCDP lQ8dL3mkTFZCS+deG0/kTQb8a3zUVQ9BHjeLD8IQz7alrAtJ8c9rdMS39HXU5RsGd16KVVLQMJgk ct9gheibre6W7mZ7xHEa/UKhBBcc2smgsN0mqT+Cw1RDLxC+lFRlWpqkwQ16FmxjMdLhw4tGMq/F TEwSodELGn8ckrL8hPKAeJEZ6qfBJPXVvhfSBAphZZuj1zomFm+RapDVAJDPbccAEaHjKh3N5ui1 itlvXeAEJ+OOtpDKPMtwb5ZQsBVkJgKcEya+Ik8mD8xBeHS4eq1h4rcg3X0OaVUO545OqM6x/gH9 ms9rAbM/zNFtvU/il1FIshgyirOpcHde9KJaxtx+t5283pfSBhfd6JDh5ZasKDnu6GDFejZZQsMK bEhoslT1pwBgOfEGpoc3nIm+KNV9j7UTTbnm+R1G8oFXGgrMTVUBqMC9M/xwCqfv6w3fzXzM5ikK tunQAZK+F5pA2rCzG/Ux76jnW2gKo21JmIxm3h9sS2jPsT4HbQ728XA9dJJmEvfnuVsHGQneIZ4U X3wn+HEHdB1Jwwd1EM7XqPaIJvTnnd/3X8f3YuAlmhNxNNEd1yXhubyVPspwRariViBQFWw+T1HI vdVBP/sh3dEvuXImavDUpMDWqHQyhD5ZEfg74/eyrAQAE77x+zRB4gg630rdiqduDbhjoVnq5uNB tDR8mvgYgB6j+QH+zuzrAidTZMLBnCiXOUJqLg+XCkyyDcsSHOG9eQ993tGPcKJBMzlYJ/CDF6+w 5ydRg4MLguftJOQMK1a+cZC9JTqoLorGc1D2BvHwYlhFdFii82l45M3jHtWlND3wfqf1MTPlEur7 lneHmRNBFHh0m6ZZ/RB/Z/UxE6wEg1C1z0bnP57bc4FBououunjCbmzc6SSgEPZl/ZWpmuWf4QDR /aR+VHWiAIyYm+HTOPfy553Ux03rdx0ruRmw7oEwzWYqir9JmoIiuisAjHYRP6xrmNnOck+Ld1If Ezk5gJU17miJ3IQ5KNw7se9OwNq2Y6OAzEVIALi4H+P3jd9nam/RH2mzzkHgRLqoMs4cpXzeKX3d ML6KnDhPZ0PBpdTnKYzBGyslczqlz0BS5BMRB02Qwbm2Hb0SBeGmyjynwJwcbeMNgJhKUxUcvKvG aiVlFM+6xwNAaJl1cZZSQhSFN8JdYfXhg/UL9pxVdjt5f975fMyY/XyS4C6VDUf1eYri8LmlRTXX xRdsL+tCHtbmKRX3Anun8z1J75uy4FlPJ4MW2LzByLjS6Gl2kGEXlkSeFI4X9X92vfH7PA3ecyDm asiKnyr6zKJ7pM7HgyBz7u8aHFq6a7Ffznl6D8Xdhb6W7euplWFtFcDFks/TXK5xFsXiElbacnex 0fYdMIkFlRkWdPf5/xGAn7jtTr1Ukv/dW73rjd/nicIb0KDKPniRaZIz/QPRv887j++/wieArk/W su88ReE4yXd9tuL+TZBxsn1HQXzNE+qCtp7CeJxAMMCI6x3tJO/IUib2E4p7G/70Osnh2D1YHyMc TpD8Kf2pKB4XZGwOliune2nBOxo2NZgnAjCigFwwXT+fwMhr41YI3gl8iAt4jFfvx9Gq0Led6cez m9KdafXO3+O2k8jwVHzQZ/sOM8H0p30xrJC1giCGc/4N5YkyiuJeakJO+Hln7/FAZXhJHzENCp92 zoxjAKbIn3fyXn+09a2QcvYOuAI+TVE0ThhSp5GpVQncyBhdlOLKJHPcuu57NI56g4A8xs/m6CW5 frYyocFI7UD8HwI/bdzR6KohEB/3eGLh8p26hzhxSoQxMb2jrwWh7I0imwwUYVvePu/kPd546SuE An1xzXvhvXP3WOBNQhBc1fj62IbnadjWExG5Oe87da9fhhV9j330tu+5OlFD2zzIJ/AqKhaw49vk OtueUFNL6j0iT7R/xVRlJsR0hGR7DpDHwv88Af/6vBP3rBnzhOQFsqJl+gn1ztvrDnyiZpl7yiRX u2lC1CGC2rBP1ERFIbl5ptM1xMdbnjsBAVWNz09y/sOkE6CWB7HKDcwRcB+utkENl3fWXhd9Dmwh Uhw5WGiAgEOqLLN+3kl7/1UzYIcehnc2T1FMTgFvsLA9dWkpJVtQW2ZFTU58VjF4p+x1I1hCYkq8 KY5WMTj547lIJ3Xj4WmRq5z44AB4clFs55lvpVd+V++MPW49nk0Q85m8H9QgOWfiSKzXjYK2ShSS j32nadkZNUUE5beGFfHCEu+anru0sa4g+rrr6ewL62C+0/X6Q1zvXq27xPXJUi/UVEmShhuc7BrU NoBVL9jBT9sAl8nnna5noT/K32r5kijHe+6sf1NcPKv/887W47pPX+upy+P9XnnvEbmrnkryRIW6 We6+a8Wlk3byVPidq/ekwmOpEsXRr7wC8GqpRllPjSVUGCGiypBx6pdeXVA3k978eSfrsRuYZItd fBDa8FwNrFZjjezPO1evG/P8qWcCx4/imc1TGJFLHZaa65YLbxcuq/0WfeE1qUjznamHn1kCGyTd +OiRZmPuOrnvCoz5sgzUINO6KLA/iApThleG3jgIDTLtGlCbhl0DikfCRlNLlSXNsffnnajH6nT/ amiiFtDlFMp5iorjsLCjVaFrosMix2sGY1/XwuQCb+80PVat1ew13waOjghD+DoqBSPONXHOoSZ5 G4hzTdD38nqsQnGs842DSJOIMCiU+WB8qnPDqoK0M18zWE77bjuTGAS44q6nKCKvFEvZ62rHg3Bh 51NXp4XzBAEozdN7RJ7NTka3lY8WkaOIefbzkib6CTaz6Nksd3XcFojCXVYj2QtHuy6Tyd+rD6pA tbPpFGmeyPbzTtDrJhisaVIL9Nx02P3WH48ichJ/QVu1hhQ0luy6yyQpWaiJPoYa5GFEPoXsrUSZ aPRpOkcHPAoHuauoThRhCIAJG2gJYC+5Whl+ps87O6+7wj7ZVjYov4NPa5IU7C6fd3JeN5OS5xBH /oLzymYpCshpQvFdzxz5Hk65FocRdCnCnsX0Ts27l91uVPWwUbNUK3CYOEngnXtCqdlNTVAUNNar 0NvsogTlojcOpmnSsHAZbM4lpU7IXzrTu/OqfDzYdOk7doJcCMUjbZqiYJxCyB0OOdZt2bUahx+m dsL7nqSVUj2cphCQwnzlxN1j39EWE1rM5+WlErhpj12tNAy9aTS88yUwwumJLxwc4bSSQeXYBxXp sLCESDnR1+edkccT3CNxyVRCLCGlu5qiUJxY+LMNtjelWsvaaOAPu0bg+UNdI/CdjsfVNDg9MlrX aKj6k5cW6B3Q1gJHekuG0QS9NVMi8BafMv2PP+9kPFwVrBLARg4hJog/1fgss2dyN8Dq+bxz8X5V VbQKkUg9yykMxatR9n2eYNThoHoRW4rsHX05ReXxxG75SWyJb9Jouw6mfmc9sahy4u/zHyYlCCAG +77UiDR/XoCmP+80PAN3oKHZ7qAjHFrhEos/d8nnnYTHSLx+zRLCvd7LnaX3QNywWwhnHE5vMp3s lst2jnB6ciE4S1FpPDn6S9gCjrbpcKhCUnLrBD83klBgCY45g8xssEwdUjDsjYOW1GoyuCo+CFNw Uka51wDt9Hnn3/0u0fEgg9T/rN7ifOff4Vsz3RzX8AR40fSPZzg7LAZPWU6Teqff8Q5RSXztfUcP nM4lD49tBk4LDQUFTg26E5lGNaW0h9fZ7ZWjGh23XZWTcfWm1AliqwRNT6rdP+/cO9auPMAkRQjF +TPHPk9RIF6pNVnySDZPpY7sEBX0yQ2i0osF4u/MO7a3ND9ZB/RUj3ayNA7Be6oWMQHeIBdynqCa uAEjhJPoU8pMeuO/UHMFVXWGBMlIiueSaFPAk5X5nsFlt26EiRmGqODKxSsq77w73HaN4iI0vJB+ cJ2e2Z00xnrBsHy2ntQ77e7pSc1S9x1t4xWEjVCtQekJqGdqoJ2Un4yygvYd5H8ujBe55eeddcdz XJQfctAr9OXYrUA5E8cGagUz8/lgRe1vXNiAmkvrHoq/c+68OXrCw3zLmdN8Bsomg9Mx9NsnKiqO T0M5d0M9k8OgFBgl9xNPUTGqojQuCYgTrANFV8HFqFCFdbsrKhu8M+5Y3ZBud+s+JCvRIbvjf+78 eefb8T39JOekdJjR7+Rb751vhyOKNZW+q0OeB3Vspa21yzDI80oU5AQSMwzGdYkN+RFqtNrT6igL WQ68sI4Yik65aBUYXqC340fUoAbSO9/OYBQn6qiZ+HnK4zJsOrdn4ayhN/95p9tx63qcSaYBsJlr bz/L3+l2rNWyqELxJkUGncq30BJNhEtioiDKc/4XrKh3tl2XczUmKFlRJX0VVZgTJ5n0AqHBXxVz B/BLVs9x3EYCK2Gfd7bd3XoZK59DMvwT0Jki250d8Hnn2nlR4+48Bk9jeaT5TrXDgiL8qQkKvp1G LcudJW+5/LCisKCi6ngT7KllK/7mq5sPOtRZTYORJiQ3p4oFYxLWAzfUH+iSPfld1ysHh3mVCMiy wfqcYB1sFVXOy37eiXYMyb+LdEB4D9iF2Dy9R+Qo5VBYcg1hMemI7RtPO4AU6glYD++8d5pdv4I+ c1juNh7R/A4VKOoOQ9DnzJioeLOg3kYhnp/SyaDWxiMj451n10X+AsucVZWUvarST6jJvtE52Uv9 vNPsfgFWxHPNuPYuUjyKyMlNPdf6hdMPtAt+wTAIWCGknOspLI5PqZfQYMVGi6HGLh1at8g5z+Y4 5x7hBSvDa+OsLZDssE+UB6uq8s6x66IyTZmUz8er/KxH3heYpnNZfN4pdmxBfE8TWtU/ND+0eYqK 48DwAXsxvCuVRRUDMeOWzEGEqsZdeWfYPc0WCCPf0UODMzONksQIQihgwDWXNmFiZ0J3eqTsAIX4 vNPr7jT1RXgPB03TKOe0Eyp6j887uY6Z2v5eTahDI0GwWQrBKp2IgrQvCIOUZRMuMIFzgLyGcTbf qXVfq2mRiq/R8uAz9+cU7Ce4AWjiBCF7m4oplPag4rbXuvF4shd+P8MhiYI0WK6FydPZc8CUKRsi EDbfaXX9kfvTZYc0ZqL8Y7MUxeOEXHqHk2iM3q/5SUtWVAH1ywq+75y6C+nBfkp3tFk6dyYuP6js lLVBAJLEyGCFDCxEnI2OEcO9/Hmn1Fl+NvFhyQetpRPVzi778Dk/74y6XxAxqfwkBKXV+1EtisYJ p4Eomxsv8K2tqLKmp8GF4k0oqrQQNa5G8J4KCTj60QQL54nSA+1hAVEVshx3caed4by1J3Tw+DVB QCC7k0JpUg4CYJyPL+KLnXAQjwchZvdpsnD0h01Wm6UwFMdGHdT/sgpdaq7osHlXqUJXvaTSoqq4 HTZrS42No88SQOR03kaFDle5CgqgI/50IpFwuV1gpr1xcM8JR1dZFueg1QS3exnpnOnC4xGq4BvP A17RhEeTsX3CQFwit7td4Qu6JEr4gtmA5By6N+36eyCOeEQn0qS/pUbbcz0jQUVJF572YLY0OUIj T+4gGxI5rsSOrBp8TUTQlBqwwHTTxDUK1DRaEc9p8/F/gaHN8fhnlEvQ7FEULv+A6c058KOWafud zZG8Prcp8M1ZimAqKuGK9+WjzRKadIVLuwPNBSymInZUEVunQwX2m1UKKA/So6p4zULzrOaDpqnA t2GrCDXxeBAN1G80D+QGG0QqbJrCqni2Gq9zfho91OwANyQ5uGMuTdCjqnhf5vG8yh1tz4EI34lg h8EtjGCGRMup5VZg+1mAE/Q6gchjURCOrhV0LlihG5Uid0w78L5UMYPHBZ4PuJqzf4dNDOZz9fCy x2xNaVwMl8gC1sZQdLs1N71c070JexSGT6FXuwmE9MePYp+zCShNmqy3UtuiN3UaVNmAvV8HJP7R u2D+26MwvPB46rrsuqA5hGVC04j9ytb5nsEpfjeeIglYBG+/63rE2IRQAEp0ywOnUpYbd4jkxMAJ Wpg2T2EYrlLmlkqYRkdDnzN9nXOaVLuEooOUxCbskzY7XbhLXRuLl12PKuOUdF8A3HLAZmX9csD1 MEkabE88H8zTr3RFWNh1SZtxGC4C90wX5et+ATmT4keWPYJcORL2kLYpjMo2z+vdngpdOlHhWDBA A5oRV+sWGhrh1obJUJaGmA6omvXGQVAg2CrOUQwIKIgNO9FqloE4ioN4/p8bz7rH5wMuSKWHtXHc X30AIEtr3gb2sdVTzo5HlUWAwzlVJ+hxJC6ByCrVNY5WJ+grtT0y9QhAInHAYeO/bZVtlnXRFyzU 44uiwhOYrUQqAUWHC0Q+9OfSbGLdnVyx4AMiGN0vmAo+B9Uom6n3aBw5S1Zmt6zy1KnueC46cKPw kWd10T51qfLUo2h8myqk7OY12kxl9Od6lbfJmULIM2BJJUrS5L1+qUMWWhTgi6JLj4upshXMQVSe c58m8oKBRsPjERf4O7eD1srMTwQVAcc7fQgrFUH+Q70ZBoH/kWoEi3WMoBpFZrCiIpiKrahRtAWH BcdUuADmuJXEo/zcsF3wsMmrFO0q6oW2Bzl+pgtf9BeODrUZHzhPA60Otl7QeMHj0XpaP9+lTIgj PyWVHkbkkxXxXbqX6Nj2Z4mOvG35KUxWz7igouL4koV6LxJz4Oi4TGLlOksFRBp0eU9MWt0DVYW1 tLyUSfAJvihIg1mbqxL/5aC2FLSh1JaaZeLxYEFdyoZqKlie/QIORxSTT15656f0vlRVcZxKPMuk kkHZKBaTj6g4Pg2pYrWn9NSecocheIWMEeSR4eIus7hCH5Flt4BTWwgHx/dEfSmRyZ5B3e90Ei0G Ueeky3j8fZ6gpvi18egOcIUKRhSUd4mTt13tzhuE87P0lBxSB9mUbDjfEZbGXYKnrjtabJDpDUdT S0jLnANEpcyBu+/kR1C8R3Zn6moA/eF7IiKn5qeoQD5gWsF5gqssHQVHwzyFpfELEGPyAiLfvpCe EQLHWVZZ03rAJyme3Ut0advsAaviTKkRlcbp4JiA0koaeVgMgAvOUX3OD2wyGA12JFXKlpE4NnDt UJp3DwUgCPE1AXqVerW18JSqPA01S5VOMsisT6Q5osL402mRNEiBNYfXC0YUkTc578ptULJ9F16/ SVllwZcyS9p0UUTuiB5aFHIsNkuQh4fIQ1e94Pwi2aRaQbnr+C0A3vawAPUgfE0EwSAYujyDwIZn 12aeTQ2ahiMsi9dfceZgInyFL6J4vNPFq9TtGR5ELmyWUEyxTjBdq7WWonh8ihVMwwUfbc8t4OgT yqKwLjn3WppiJ7IFh34erRuNFTzJuhtRWTxTrGgW3nWzIAvhgZTALCb+euSS8fy/aHd8DtrGMz1n eBSQc6uerdA9wcNfcvk/phbSYIRndfERBeRLCctMhjFI10VvN4hon7tfxgkVKu6MCnYHahRlUqTJ TsanaMEIIeObrl1I/G1QTHBODrOYOBcSHv9X146hO6IB4PNtlkLIOEOCqT5BF+/Vj6aeTZicVA0j k40IMl69a6A28C7eBsbuQvF5Z6UtkLhws10IGaHvBkKBA8ZRJMTX/NWzm4MK93Mkk/Mv0KeVxRmS 4BFG4vM7wgS1sYMLZrMU1cVVVilr+CyVZj6M8HbJ3j04K8NnKYrErQe8zFhikb3wkwWEntC0H5Xx AJTarZRJ9U4Ew7tdn130wjK+5q+waS52zCf/sUB0RFBLlTB1PB/FTT5NnFKUCU7U69MUBuLEZtW9 rjAmq4PacgKNdRkSG+xpxIG4+D5D/HGOlrBsEPEneJJo98+zmFh3gaQ6/q0IUvU6cGzGlyMMxHk4 pcQMb1O4Udae6cTYbYvNMxc+IKgWXHKisNAssd965oiL45wqOSipXEBrYpFdh59O85afRlgcdw/G 2u/oUwVzydrp8Xnu0pMEm442RAspt/ZT8M/dJkHneFgdRxd4sliLAZ5rxBmWftYlW/QwqsXzUV3l F6Dn/IALPhWaqBmWxxttPac1xmmXkKxdLggfY8yB2j0nasbyhQYaH/mOVvjFJgNFylQwkHKb8BwI Fwi60Dnwxh1JwTNEqRTVfWnUxUHNckjDcue0k2Z/ZgQan+27nAmzzpOZeSQ+o0i8dqVz62Z26Dwo s5P9soCZ2PusPs2QxSmmBhzd7uh137OgKpkr8GSfbSQZKjUifzIicOqKXpzv0iv/pfPYzCFhmNYG uI2pM2NpZ+Xi8X/pz3E1NaRMtw08o0icMtIjCUbPjEWajzzIV/LEDlgD08SKKZxiSGk1aXR42InD gMtsrI9DOopdvnmOeCKhwcJEr9PBPP7Kf5Gn81zdB87TRB1eVagT+OPxaJ6++whg/qx9tWdmFIvT oLOnWm8sLgw0bVxIE1cfATp9mqe4Oq51NPe4o6PrzxELuhbDgvNZu4tJBl3oH/Lb96NDu8gjmyFE pVWZTXUN5iu/TpBdunzly8TjEaPlNxX//EQncLyHUxSM09i7T7Ic6I6XmZCp3ZKmS/QgpbdpioLx odN7mKn8eEzlE+5/qLRJODSPXmzboQizsR1LflgIBAfge4JdJ0yYIZ9yvRprHeUIHecVjwctzvY9 Tyj/z3brTjOujat5V6+HEtv6DDIxOx5kVsYFOMMjiIoZbLxJY4L10ywuyLudoF026Yt6S9hFKKl4 +FTthYMzXLpYq9xBMcFJQ5dgBecyw+NRkPmrEQyebXn2XARRAaqNyrM3JCCVxizSrSfcgBEzg5sZ FcZNqPe/9VTOBQBFa7jiUXcGYbSSmoRtNuAruB+yHdEI+JroBGefPFEFYyR26NiPgk2htIkG3zLK 636F4mCxjeemC7mbnKXCXEqlOfZHFGOOUT0UX+6OPkNZw2pryKD17YHWw/epwNOB0o/lTBghiecy hFYvKr5rpad5YC/8R/NgsaNigypzBfb0DMrX5uPBLD1MYAYPidmvl5xmBFEBLwlSWK36AT4uA8HM vnEy5eLqFzMKxYeBL4qVC8p100jnbxiQMpZCJpQLh0RnABXacIYBDOoSWoisn1Ek3qSKNUmTopJN lh5tOYEHw8Pzg1U8HyGevmWx0OHpKAbaPIXUTUoVFOYXRnF1YH1JTGGFMqQAOucpFlMx6iZDR40e XmYYtkDQ9SzcE+DRJECx+obFLXrBI915WkVv/GfrAHB0GwxleGIzBgfnIO14PIovvxUd0IeeKBBr mlYUhROyDPqEdzfPvZps18Fd3NOVK6ayIpCKMqVz70uZnuNdThu4IJUJQJwdKhOkE+dDuWv9AEtk GiESKlghSIWCvTNRS3Sm6dTNc3yvKZBKrXj8nxJGiC5RoWjNN90K6+GVofeX7kUylC/IB/vSWYaX nFYIUlH0PWjUaKNFl3j6zDOjS5SMZ8lS7ENRc/J8wAwZ4AkrAl/zl65hRf6HAdOsIxzRC1M6EATw fISf+0ZAo9wEAyKfphApXtmvWyU5ynC5lDgCaUOspo0/TNMUglQUDqythYJeOX5a0u87inCN3RXg nFKXQWXF+mk4ZeDNeYOm87/ha6LKHKMlQTI5iLp5coBki2nx8f9FHKSiwDPvybRC5iYX01zLzQHR ovDKXMlemVvwc9QshThx9ZWoEuijb7mTmyQqATIcyHsrZEAn/qTo6FGz5lQexOoKy+HCpVRx7yEy r+sNjjFSE+0D0rQhPqX/amrCaG6WZzWFkuKTqJSWshFZisy8IYTaZlJRpQlKx7BphcxNyWN2S1X6 TVXO6Qc+aO9klRd8xRTwopLpmFj4GqS4GpBn6pWD6txkkWjJFAkW703FowqBO/a5wWGe+IQodnJ4 L85xoGBXvgprK4rDiTYFr86y3/OfzTFPPfflGJW+LcJcoY6KSuKiE9hoRadzxp6zrjEoOJdpS2pr DuoS5grKXFnXIbewi4svioQvsOcKlWI0ePZroionX+F7BhiVNb7W1ASJLF0y8ArB4irOUROQt93Z 6Q4WL2AYiqIBZ1WbpzASl9XryKIVjFyuO+4En3XJMqNBALKSYH1yenprId8riCEMeJGHvXKkHYYk ZxW5t9ENm6UB9JebJPtSw+ORdti3VAFK+WtcTdEV0jczzyW6jFttbhs2TN7BxiFrd+vF9M1iOIJ5 R+eQwa8U+p/ikM1p/d/zrQCo0Olm7queDVw5XznKWRhlblq3ZcDxZVczAXLBNw5U51ZYF9+/oqez 49e4iscrxIsnAnn2rJ7/dtcHOSFq9jJB57qjyHhYFxcas1n01Prj67PgR4ymKYE8J95spgwNiO9Z Tp0dQtfDKgSk4osCjVp526EraoOAPOembJK+OOchHg/wBFfoabKecl5zw1bJJiqKxhdprlA5cfjq 9rITujv1TlQye4gVS41rgqr0/TnaRA1Ya4NWJi1fWsZyQcEAM+eEABlSfB5CURp6ReE4bCOpnT19 UNcO1ImhfvCseDxaUL8cIpg/5avMs6N4nK/cIBvkWXD5UuaxDjpOqG6Fp/0PrfFRrPBU8m0fDAQG u3KiCkg205AXKIiTHbT2bUjJ6XxH8TgL6aC3Zg7nIJLu497ZhMPq5OMR6ef2gNXuQxv6glf3e0Bu XCFsPJfyzXV5GXOs9mipOI5n/0NqvFp9rs5nmk5mcJK6TQGjDs3jQYbQoEAw9jeFOde98OiBsEPY OLl2INb5YO2DsQW1pyT7fo/IUUf8PsgRQfenQLdD2DjabH1TlIR1zNpq+nGTcwcVlMoIifMUR+RK 66AL4aMX6KCQVBPTOwCe0pZL4kLnelJ0GwJJ1y146I2jCh3pUU1csjYtLgC7T9iLs2I3Ho+om14s oOQx7QivV8QOqZtD0jzTiyo7dT+e6piu15eJLec0/Y1QeauKkxdcNjS3qIU7YBTPXYeri+XevCmV qWMctBF8TxAWcDVVgCBs0Ok0sGK5mjZWUwRReZShCclHDROsGJumEDJORktpV+C/9uaK7DO5gc35 i1wlZMfMTWUsveY72jT1ArurRGj9WeaINxhqkYwAFCX6R7dEB/YNvuYPlUyYBfIMBw6JYdoJb/qS xjIAI3g+6pb/EnoCYnzcy25H0ThVlnrL9XqTbrfVAMurWumJQDpNUwhQ0WbbSVXxnW5V/JzUE5ZD YxHvdK60rH+beDcjPZqsqUioAAcMvibyQmJzhfxWDYZ32hB3tboBHo+0+n6b2CyY6HijfEcAlcT+ 75J6IWUg53Lzkdm8QHfinemzFGoaSsb3vytPsPljd5YyvlBvy0Mmr0mlMewCwHJxeTC7a3rjv+Sw YJXmgwq+LDNuFd34+D/hTvy3qPdegZAdh+JklRPtIBaZdDFln9x8zw3YYmmaYoTKtkX0jH40wXaU Nz1EocHYFYusUDVzk4beXMCo0OsZ3xNEmMSDURPPBo+WapZ3X2oDj0cVuu/AqZxJG4+nxo4icblG Vro8mR9wlaQKaMC7OI0MQgJkbu44EpdwUUr1jveig+FwUVl8oAinaQI5WD0Oah0bPmVPvfCfJgg1 38G4FpC7FcawDjwecX6+acAndEc36vr9RGF4I6W8LuZ1bEpNI5RVOhT4ycTrgYspAqfMYpyf9Ix+ MsH+CHI8nKWTIlGU+fwKcLTpSBaQ05prOSF3+JoguqS+MW9jG1QlOMs9C3NR5sDjkVDfL2UQgYRv 1CRA0HtepyJvbZb/Ti0sYlPmkh0pDAGzG7ynWNFQgJMu/SKOOpxoOHNO7sWCSoeAIrVBxmCOU6AU wtItTkBa/vhLB25t3HeQTCHiiZckTyVIDtOgHpTZtPkREXL1F4WTYRzq8T5fobQhkFqQrZIYCBX+ q6FUmMNTIuQct6P6fEXx+BDasAn0pdExmecUBJyHmuxUe+piaoBRlKm0Wnq5bFde8PymiJ9IG+BN LUgO1gsG6Ilra83M54PUpX8HBxlEEZ6pPlmx/Q8hGNusNWFPWtxeY6RihDLYh22frBA1rvOHKnE+ OlEKMX2bUvPd1N5kFSpJRAx5VwHUy1WPWTXAN0UYMaJ5cMZgQE9XPghnjxReXmfXL35AgGLNv/Ph AaDYY70VFsvZn9o4B5QP71YdoJloUMNi+bmZ3LMlhe6b4kjVqpizVo85Yb4Fs6QmZ0moQfLWpXUy upWiSaV6Mz25IqQoOJc23aQDpwadWjAXGnaADT4fAeq+jy1WSvOFQOUUheesAsMle9ipBbKcnVqJ vrM4tSBOfHdhVDEfSWW7teYdvU0F6T4KsIMG1Idqkoz/aVSGsxwNdstjeEzzmyJLEgr4EXGiQRPU T2hPnYbzwpnPB5nM7VSpudCUxj/bMKyZN8mrsCuM9C9TUhN0xTwJrvoPUZ+Fov9dH/Wn/d25S8cd fbZQhMLWdP/kxPBiEtGXqQUJVrKpYpSU/KUjDCKxdXknH2xlwSJHnYah5yOOvq8stm0m7uJ0jd1S WDfHad62jLiFQsx2vmfqtOt8T6gB2FxFwfqc1oMZz2i7EGd6oxENHS1LEwRvErWFfAdQie1mEpnw bH5TJJbFDgP9zjSo4ALiaDeefubz/2ox0HgCuMsvA1NpV72mCdks3n1hnczDgK1pFHIZqZcFPJBt w7B6LrdpnLJ3vPTOPc9hyOLU2YNpTPNV5CXcwfA5v5EnygVdB710VJ2iDnlaSgHZmEVZeKym2LSf h/h8JAX1baw8GeSl63iTQjTLkin31aXp6erS7OR6mudUqW6FlyLFFTGm1yxt3tGboQP4+0oHAOy2 QaIL2NXwtYPEyuayEh5RVlMp9gYisLwRgZ9UMyc4avNjEZK2weeDLVj9IsQaJGoDkoN3qsISOm1v Fj3WpW3fu8tH9+XFF3hIXxfKsIZuYsdTybCaqT9mAoA6OcqGYMOyv8vzqqN5tbjrCxFSIuWRNIwv CqpURYZcu/ogkiciZ15jZ4dPPh+lzH64y9EkCQdhUxUadhJqDRDFFdWkv6HpkRcvou/q8vY5cOwE XkNszSyxcY62qvYkorVRzhbTZtxZNkWorU8vCbe+oat5Dhw7cTKzuNDS8kFZM+Svu8L4Mfl8cFpd kyBif4EgXlAA8LmKQncTFint1vRadv2VIWAClaFoNam5irAt9LY42zZLIoqjAaVQRD07T+hEROZT 2LwGZHCnMdd6xNuJTsz/MO1Ei8EHr8PUtY2YWPl8ELj/CkWh2XICvRswxLadmTTGTvSvlGp28hLD vuJQCf6CLDHk0LfTusc71Wd0LD4kwVqT1Ni5LM+Nw4gGaMWfvim5XW5zBpUtfVHUxGIy2FkT3KTM s8rAI2Nxy9fB56P2cfmKFyAV01d9FlVUT1/0TaB9lolu72TSR0jTTPoI0hTLpyqK2qfIHbmVfkdb VCfSPekg3gcMLqCn1IzvmbJ/CyzixyZoNHvlaP+hNjDha2mDoEAL0kPywoFjbmDe+R0tyBMAfMav 7RfKkxeKa6ZyFceSkRUo4z5tUZX6bL+Q9qm/fle12re3LCHDAg2FWSjDAnxFNv0jzuaglzocvK1y 1Ue3d/5Lmw3rSYNB8tP5p61oUY3N5yNO+q/9B62cdb1dcmDfaYVBKLK57BiqGtp/YIcln6rR76KK 3YJUAp3ieHC06tWZ6Qrhuip4GdzfttX4CgWLkCnMi5zq0975r6OKRS8bVOVjqVJVvlX4fGQX9KvG gAhlXipxDhw8szsujuKoTqhOXMS5tbZwVE1Ti8qhheeSdmStK9/xlhjK3Abg6KAV76l6jFoAYPgA BOSVvuXvHJVjNmWS0f/TYIkgRF5M8a/z+f/lVG+k/+UbgYYmnqmy1FeTg/PHSC7vUyWijKR5D7c0 y4GLJ3r30s7ownhydAwH7KlPYs6O+4BEOQGOs5JBAaFSNtbcBZ22cfymP22rO3ulHBSD7pM0mx9z qnz+f5GtaXSe788NGEXri6roWXNF9l5z9Yxz189sxZjWS/K5iqvsuv/XXne0uQJ8DlKUldpapS5J v0MwFu0a8AmgEHxxQb3ZS0dGAUslq+yDOqXAqkkIcrXC54PM5lFD4nYD1OzcXHeyQgC6+I2ELRG+ 2CWcQRdd1WiAoaJOo9LAHMbrbv422x29wbVOmFsQPCJeRyNhyg59ERyUgSsDK/eSraa9dHQLcpaa 1pfftedngG/Q1MLafD5KbupXwJ4p2pfbxXrm0NRTbFBaZbhqWx0/TpttjmDcEGPQbIWunlvthlE1 axxtts5EQpVyyYMROtZsbc2mrcu8CG262+hq9tJ/9XDO3b00dCa/5Kgk1vnQheLzEZjqexuyvViv KVUOnT3nbGLOSguJxujdqjGwu6Oo1FlZMLG0uQrh6ILnUWDVR5+rTqsSuOdSsr51c6GYhCs0FhjH lW5jTzCH1p7Im6YA/zbodC+ZhGNU2vrk80FJtHjhSrHY/FmPjk0OnT3XZPQ5jW8FI5hiJdEChRZt wgJdWTuxAmtPWWvxpBIcgaOf7tDG74uKrucMPn+KHAaJuzlnNUqiUG70kmhN9tJ/EY17odx0Jw6N Zzp3u6QQ9tr8gCAW/RU1YPvvxzggB+6eZ+NMiQTTH32x4MfGBOrHMGe3imiCrqutqxCZLvjwGfod vcJwDpMOSRuiqk4I2nhijUVl/PNbnJcbVDDfkkfylw6wCyb8IzItHWV+1JdIrH7/AFLP5yN2kefN TLIBOwIcwucqjNvZxJml3gyn2K1Y9uh5etrMZjvj9sDi85EKLrIa5HhR/ECkqYlz/iB4C0k5gpLP aESip3bXFc0DcuzxqQVVGRoBUvCjxOaEtswpwbZekx8Q9SX2/wsboGt25yqqtMtMpc56Swxjedd5 CdMvPEzKPlexYss2uHW5ozfnoaxBxXDEpQ0RFvXuFokrG0dg7o+UudoSoc2nwgVAO3ywcswSVwqe xI3PR8vqRqNSBiSD5tmDUeTO4gHMybYD9rJpmKN0ZYrLOLDynatQRbGbh14dd7QkBxprJ7pFix4W J2flNAvD4J+OtBNUJ5eUWtNeOcB7ULFlTJpcc1A5Zp3TT4F7r5vPB4F7+eb3IRQe4+k5h16f1DPA eevlGHiWeuGqpuJgtPrM1D88hlaVZBRHX1VQLFvsd4LKXrZW4JzQYN5YWjBk8PZNtqkKoTHsosID UENmWUW8WracYYzF56PMuX1NFdbwQMvFpyqEqW9WrtrIXrnq61auCKFT5apShbLro6IcR+iYbDI3 +Uuxu5+0uSH+JCLtnIg0cZwbymITnRNodVpoRewpvye4A6mK0HFQ2KByzIT7oBRv0JwPDD9Nu/dp 3qChsZH4+lRFUXviWdVzu0W+Why7R/VJO6t2KT5VcZVdAcNWWZjjDRggMo/3QWw1R5P33mT9ZAH8 VnAroDTJs6rYO0eQfiEYyIyETr2tqnISw6IwtHY+H5WOvcreVbz5WfUJ2UPXTyKmoZbpbL+p6J1F vmylmVaoHKINGNt+ul2ebNM52lEFgCZ0hegIQUlUm05qnkN1ES0Yh4MSq55D308ZnTSpAHDwUx33 7I8X2QPjTwTs30U+NBVWfTqCofMnihn0anRAKAi3fqi7jH7Ltd4uV2D9CZAP26ZgTN3Ri3xneaZs /FHArYwYCTW2c05Uqb4+5PZu7xxR2ei8oIYzB66DXWbSEX+yi83n/xdBxSqi5T3VY/dPIbH7voya doH9lP5XQ5DHjAL20P5zaKrqFpaB4+00n5tpIYaDUurkfcgYtAl5Bb+FScFyNk+72lyhA+ikEkDt vAhpYcNyU10kFBBK1XrmB/xrstRq3nIiuaFV7ALKcCF165SikmzcNnjT9OnA9eLE5BzbgG4j1qjI 0OotMiwIuw3UljBdFZZvSUUGasiXitti4gY0uSk15gMj0HPGsiKTpJ+QwISgh0KFcDiz/p9z3md+ QIRi+O7Md2h+1idiiK1AUXOD8dCVxGMcJrWS1J00slCZtckKVV1ko055dh8tv8nS+pWsKTSYqyQW odgKMSXg0R7dZZpT6ZuidjNRDEkWaYgSijVOi8Up54yf/ICIffudDFLrqz+Zc+AIqhIjbRuTZc6l OqW0mHkMylcDNQAlg6ElqOnDNyslc3Su5Emb4X5MITNAFwp1YifdP8//jhgPc23trj2bvXRwE1Jz 6r8Q2if6HpNb8uzvyuejcvs3UxlktL6fcnvoCgr7QRRDk0vpdwVVKF5tht1C9JVbFw1sQc/5t6wg 45Zy4/JKx/mDoOFOi7SNn0b8kSYeLu2w604Pz6bbS0d3IRvOpanYgBtHzvNn8w2pdyYurBDPnn1h 4QUZ2qanLhpYg4LQSuJtbj5ZjXkrEX1oEXtvosKOS5MVxe2OUssmWp1vhLWxzGDWvrkLz38lwWPQ 0fkBtjKjXJ5u+Wove+lgF2YeWRvtVA1aWBNeDyw45NX5fLAJl2fOpDafK+1cF8/xHsJjWGWATKsh +iCd5gsrG86v5XzdQXNoDzoFIerDTS0egSXowUKiw3iTAHgkqyGD58Zqfpnl4q5UcA/9QZFtAENU sg/CXaGNo0LWXovPB+Fo+eX7gatr96/jPQrdO0HIg5VJlkXn1QvIjRxsRllbtT6eWLHki7STzPky Pc6XAzqnCWBjuk+fQ7EzvT4vjVp3w4tWtOqt65WTv3QUuxfpmiUOiDxZXciokhEEcqZz8gOC0OG2 cphqQ/4LUB2brNglVIqe1N9R9pyG00ome0jiS8xixMAc2ISankXS4eyjVtYJQ3EA4qdGOz4h8zHZ k/NvGiLfzg69HMFou5MDl9B7uNMwFoOwDCciBUdj/ziWIbAJ/UbVisx1th/akz5TYbWdHO9Kc3PO 1ErFsAyppGUdehrpWEYY+oRKVAFbot/RSRPnGD+hKPs40N3ZRB5DMx7cLBr4oQtqGeFQhBX4hLqu SauMHRo7UTqkTnZAGW4s3s0PCC7CX0LfiDMmkOk+V6EAzGAMKntsY77dSh8ad2ZKj2jN5iqM3mku AJGAdUevinbI5gFICoIJ4HYKWTMi74FKJsSTHKKWir1y1PEaPKYA/5iE8chUJkP5scs8rix+QMQR 9GB02NE+Hj+nHLiFAnellHk55XTOkj177t2ZuWD++wYMI/eVbTmNO9qyajAuHHmrgAwNQWvng9l4 In5Isz0uRSnZK//lTN+7oqvu0RWK7kPWThm4q9AulGyF56gaNJh8NmAojN5IiS/D+RJ1lGmNVPp1 m973FYbLfxiGmhpFfkbLccaCIWglmg9JTjLxgDaomYGeIrQlXFeoVnvnIF6gvMJZl8mH5IW+QgU8 mjzm0DL0t1/KyRd+WFHxqQqZpyDCtD2KQk5Ctl23Y7YrZw2ElF2BgWcoqsfi3zAHstFjKygwTuvg APeqaT2n1KY/PdwHQJp2jIwynNA2tDGoKpmajAVM9CX/j3TCf+6f8wmNHxAhPzx73kyHgHwszxUY Re2DGgKN/D5JLaTlkrHnKs4+WWji2bqKGagCnjVTO2njrqsN0mmF7wInayyTap6EExIl3tqjhiqX +hy4h+o2mvDq1QC1fAZXBXxOlu8yKg2hfSgF6p7gCrHd/uLhhAaiM5kijOfOtCJX7lwffd219t2E EUzGCgw77XJHO9lZEydHF4XwtUCQYACG4sSEJBMEmHyqdrZXjtpdLMekMn3QHqx7SDkHrQ8+Hy2r y5WgcBN21Z5XofHzElr186USDodyRPZNOPZ0lMy6BnSoCGiqXgKr80EnXJDvHHeaj3auw6oP3swE Mox04v8qewJoo86B3kR7iHA0gX4Jq/i6kmsuOrE4aJrgCSLh2JOwf16CKr7jFsOyILVm/Jl/FhAz LDx/XmIqfid57Uj93aO+ze5W2UniqCguAF9kqymYom5p8hbtR7aaP2ZXdIJq1nLQ9AfxUb5OkxcP a0Esw8jqgvSoz0s8xa8ZdN+BIqIPgvHNPSVgDznoz0swxb+Wfi2cEWGLMeEDNVnO0UssxadIHEUn 0BWtVynJltFodsIjb+tWrXqJpLSMhuTRW31G7wOeg+JEiVIgThTukK8x2DJQs/zBp1vQOYlgf4mj +LqFbMqZ6Oc0k/k5lY2WIpv/JwFsn5cgShMsJIzDQtDExc+kGXoJobTRmB2f5emMiMY/zT0xXX0J SsSaoZcAih9EbiJ9sdMdbYZq2RNEiCoBATAA1IFHy2Jskvj7nSEEXp+X8Infol7yyFmqulBAkQ5q K2gO4fvOxfx5iZ7sr/UpyhZnwrfbpugldtJDm/SH2a2dDH3d7p2HB91P9J+seoNFZFb0rc5n9IJn oYQgXUNXbYk2s6IxA9CBvBIozss0pS7cS+Ck0yhTJQcKIjao0FJRgbBmcvu8hE16uv6aIwAd4MKp OXoJmrQ9KR3ACoEto2oaxOiO5u4tP+Gt4DIXzNEUDbA3dbM4+mFUYVFM/Py5/k6Y1klzhq8CAf2V /R9Xii1JzqrBHK1CpCw65TYI0QiGNPs09ZwVn5doya6nX3N0ttrC/9EcvcRKOuZRXIQqjustyVSI fYZZ3Yu+VELJaeEUzZH3rVa/o6d1uPipsQSMLEwwyX6bRNZsGFGgpHP3GvO6lzBJe61QwbolGT7j y2RADw88SpKcxYm3fJ8j67PbHIEgRpM7zdFLiKQDmxpnRUoBjCf79ZJpc02LJwdsJqQ4HB3Y3Up0 FlWOi43d9cwKLktCrk+mB7M0riNc9WREkFt8Q29S4F8CJP0W1NDtdWUfhCKeffQqNNTOn5fwyN6y fR3ZSBcmRMk0Ry8FTe21og3melToV3nZabVV7l7Ldh69lDO1060BU1q9o/cUSjqnznkIc3QyUyDL 1NxDEZZ+YJg7xw+zaf15KWZqjigNmzJFvpPwChTrhkNU0x1RPi+lTIUny+eIKpUTIITlZ/ZLHVNr N22JdFhloEFR0s5sbjCbIx7FFOmIgqNuZXGnxTwalZkH0iAe/QQTtZODeuaIxgeYNrR50gXh0eDq pYap32JJPkhODeRV88xeMH3mXisrf14KmDqPxt1rqvcSDghEq8WQUZxNbCEo7q6MM2t2qBQ6yAaV yiyciBAZTZRwP2ObqOcuz2JqcAIT2/0ck6m1KZFKcL9yobk6bzgTfVGu+x5ro4LCuhz1lDWw2HsS HNQifkwD7o3jpy1HYwDfcgRJ4ayweYqC7aQ81+UogfZr287upiYCwqSK9pVRjKJ5muHhPYB4Zhkb omDtBMmsG5w7G134jPwXnARvEZP1/Xlj+NnxrRpvnj5YC2GT8Yzi0V6fN4KfBdzfRxNtItaTk7wH 3GgP07+43zhgVDNPo7eZa1S27XfcG71PnyQk56ragBxtnvK5H+A+T0Oi2VaWF9jJR8DlqOw7pGvA R9rq543dpxtHbo6DppcchNafpTXTENp8PIiW7s4TphrqZt2D7jdunya3mnmxQw4IZ9M0JYEVBWa5 uLso7O4qLbFP66ODhCHHAEtsLKcOcp98GhoVkWkzplanlDxT1Ru/7zo2pE7QlIsPyt423LVZPimA vYaBNzsNPk2ARK/0rKb3yNsQ0JAQ8ptuXUnBjHDWpml1j7zfeH2apsG+SlWbV6NWUxnYzHQoAq8P 7gqSQmvojkyY/GTCvqzBMlW0/DMcaNUwUtCGEZATwMEiO8eEOlwwTyJG+Txlylbj5rKJisLvTnDU RBHbtt3I+Zmo5agMdv1ZC3iPvz2Ng6dluqOlcR1F6kwrUPw9lZbR7OyBmo7/PcPu1U5xK4ZF8Tei 87MoMhnbK7tRw4IysrCJJ3r9vHH6+HjL36d4xtQCJOLzFIbglciCdMvg0I232m6SBwE9eZFBaZ6i GLxLemOrwq3RjickcIXmaCianGR1iH2c4Bi5KPYFzTmzLs6SSoiCcGCIwSKilGe7Up6gNxfGTriT Pm+EPsuXkwcGit0XDBDudReF4USZAJbo9qBNPqpT/TpXDAI03+YpisONozaN18fR5omNGWBwKEW1 BvX5kKvA8XsR8D6pGi/u/+x64/d5GpI83RSP72RhMA4HhKOqsdn5eBBk/kpWUNoHH8Wn6T0SBwyD 8Om5LgwDEk9GEVVbGMuppOb4nigUH+Qk4K9sd7z1pXliSUT77C9Dyl6qL2i3Ac6CnOUp6Aq9+R6K e0+lSUeXg1WYzgs0rZCWP288Pv3BIsV4FS4nJFHo69hEReF4b+zOjSvXtbSIlhzTfN+t7UYybzS+ r32HILHf0Q9yqOVuyGXIpzAVhaQQP0KgB/IC4HBC5E/pT0Xx+KRkNdCwPnCi5j6JfhbSDgCMKCAX +PSup4JC0y0QvBH4FBY0CcKu68rb3AoM+mzbst+NyqXKlWE0bipKzZSG25fSMDJcAiPBYilQiSIa f4DzvQsDuJxupQnI7c8be88OVOa9aVYfFD1Bs0rH09mBnzfy3nM6cFbY9z07fWNB2zRFwXiWk8w0 q3nkeckAm4nC167vPa3y/Ubde8oN6JjohOZoPacJO0dEUMjuJvE8ndkdjk/QdwFPAVbJjieWLd+o e7rugASGsjfLTcACNLUw0YgtROaj/Nc+b+Q9XQTpdzml/ixc7DZVUQGcharO+8w042e5Hnx0DZRV IWhNmqr3eBwMK5NAFwaKo7d9EY5DZ5pIYHTRDCcGHHVuMp1tT6SpJfUekJve8AS7gQNuRvk707CE YM1zGH7eiHu3F/NMFOrvtPu2iQoj8lkEJfDC0xxXMx6wSivO4cSxiYoiclPzHIZ/4uiRwVmpI1dG ULPC9p3/FsA6sLJBbqAonMttbHvlYE0RoDKUCA9PhGuGV1FR9WDWzxtpT0vjXnl4EAJ7OOd8nqKQ fAmdsq/isJraEpt6RONzdh/6N8qe7X0dUUV7kOOF/9IWkijNckLqQffUBJWp/bOoRz/zLfTK7OqN sWdbj0cU5PQ4mBHf+c/dBZQuaKpEEfm4R1SudkbNr3kKC+KNmovUgdY8gRZmTvRwWbGCwZqG0Hyj 61kFSpUnQ51w9DPqHM9zALAAycVzjMiDANIbrFF0JMH56Roguf280fVu6I9SuDAEw1UEsQ7FNah7 rs8bW+92HTRRtMY6TwDa5/P0HpFboxeNs3kLdd5/ysgxrLlyJtIaB29UPUvkTQ5dYrEcbd/NDfUW xkvnRm20YmMmXEjowO1RIKLk/pd0U33j6t0KEmEDyQehDQda7HaP7c8bVU9/cfkODVgbRe3M5imK yIHCRXVuusjbSm6mmqGsYanwrjS8OqHmG1FPn5SEcFJFU6OFmid8Qlq9KB4Im48mhY0NpWJ6G0P4 8mZ4ZeiNo2kiqbjIsoG2HcrreuuNTmr9RGufN56eraf5HULh0Cy3ZPBG09M1iXO5j3L33ZADJr0/ 1i2OI9mw9fQekkO0xZywc7vjbWgWWDGST1UQ9wyJwWUanbDMsh6jUHLWPm8sPYs02e2VKiwHHeMN CS3v9ROrfd5IelZZ8QxPhwKwYMsD8jeKno4nenKldI/xTmaPvGS2b7uzpJexqd4Yer8qK5SYt9ED 8lnq4NaGIvocVGfBUQ88JipSUHa9qhoE+b7x875WExy0fFD9iVpM3H8ng/y80fMUp05v2LGkhx4L KvvWG4/i8cayikRu/yMA3S2rFNdGB2zVXebeyHmWX1bmdUKCabRZahDIJYW7s5Zszh/nvsJbQnIL HXLXKsOK+Lxx86znRjm3QsZnLc74PCtwsLqBE6J83qh5diU7yKIoDd6QN73TFMXjJF52UnF9z10H p7P6veiL31+L6Y2ZZ2kLp2fIIEWjpglktX72IMgIJySYfSSd81umxkulzR86OQFFUPTGf80TPEF9 UJUO1pE8WtqJNT9vvLznCH1CTCCIoEBj0xTF4rSc6ovuyuy17DXN+ePs+um09Fbc0uKNlfcFaoIe YrujH+FwnAX6krjeAntsKxBDCwjn7nzssBnift4oeVaCx2ZbQkBzUI1u9S17OQRfnzdCnh3g6zty QkMBlVafpigS78I1JeoCfunrg2i9RzNUPRgExh5+Y+N9tVp26eUZNU31bP6TRG+CdmAv16vENhqj xUxK3q09ZeLWP29cvItZAChFjs/kU4tdDeUNzhpIPZ83Kp5+zt2/llMl3+ZZTlEkTtcnINeckD7H 9SGAo7Fh6ifzVi6nqDieGDntVrijNNo8nV+9gL0PgUn4OsGniHWXioQRbV9KRJo3L4pfnzcWntXG GYg3Ygk56AiH2Y0Op3Nvf944eApP9y1l8nAC+Lrfku8bB89a7EvabZeMXrZrc251pAinJ+OA0xSV xpNJcra872hn+Dk4TrC72GoBVOoEBCLMwyxwiCdSrm7GZMLyRsDTBlgMnGASaYMgBa2a1VxLna8Z 1ejm91UHvUJUAWyaoji8czXBcdLy313vGb7kpEZwCvJEXXVRZXyoQLCNJLUfktQJ6OFNBAozCGXn iM3mmQY26wmrQDAo7aF1Ek/4Rr6zBJb7babig2pPBFbxeFr4Od/j8CRZoy/sBRj79Z5OURxOYUjo JzrgmYmDSnS9FAc8D5JMEYe/Ee/srpNgxhZgbknnYzJf6RkKbvSWq6RWk1c8QZWCbBQEcpjW9Qfu /Ea7sxYAIbyDEFVE38ZRbLNlmYGcX4TvGdUJ1tfpBFtWSOf4PIVxuFh3cr8kfq7Na7Eu/zSETqO5 jfEb6c7SUoM6t3pH23aJguaNEhAnWJEfBBUBAf8o6N1VSIE6hhc/z+eNc2eFJzbtuuThAaQhkhvF zMKU8OcsZT4f3HfpO8YkxardVvAb487Cf/Y4KbBmxczmCyq5jimCwm2FgjfCnd6/GNsn1ztaQQUG 2yMnaiCd86/NnuU3QOAIalE/NO1zqyvqGrzx7SzHokhGIaCXQ7ICHe3uKaKYP29sO3vPXxkLQCpU 1hIIM4zFtyLL1gzuTClWE9ba/8fYlyW5shtL/nMtZTLMQ+9/YQ13j0Cy9DLqqJ+14Uq6WUyCGGLw IbsU4G5EhgGFGcbiZk60pH/E0VvmPKQ21TgrgdycS3Sk6E4CWg7qi3ZADQogvZHtDEIxWUkh2a7A uZ7raYNPxOopqKKfN67d3bjPxoOQ1c8C8NMmKoSqFJHGACq3uICsOrSiU6fI3WZ1HJk3FtQb1U4/ lNkVLlGEOXoT4RyzC01t8qgLyrti+vDT2fwFFNErT4uqWm9Uu6/IoGxefGeoBn5CZ1jC+ed9P29E O4sM+tfGw28zoG9j8xSG48SGt5bdj6GBRyK/nVXcjwH2g8MWVFQab4afW3Xd0Sp0DIqgkkHoE6EK jVhDgMMzIvVzlD+Vp8Em5xvPzhofhNCbdrfU0FhWL3MI/HxCts8by+6/Kir0u8JSwpFg8/QejwO2 Kl7PNB/jtsX2wcZDPfzH/J5LNyuGN47dN/TpHNj1jraezovvE2ZYzxwC/Fvrib549HcunfRpbTyy Md5IdlZUVM2p38GgTyceZ4sKtI/PG8dOj7f1fUCdxbloeKV5CivjXRW67PDe6e3zExIWcwtDj9Mh h28MO4sMVCxYorhy9MrTKum8EFKpE4+v2rTv8A/Qh0KGubFPlAWrpvJGsFMWPGQfXpYPqhZgN5M2 jeTx88avs/5D/XU+wSCyX4TvG7/OqgVd6jTuRnS+kTU5waVojlUBsZcX3hu9zk46VTB3f0aLDDKI hgubAjEI9JWJfTpHeiJjsv7s9OjY4Sf7vHHrNE2sEsxGxAoHTdOAg4sMnPb4vDHrLE+7jTu+HC7h ddO7N2adHhtSzhq3F7yzy08vkYMJwUBkomkKoSrko0BMrN3RK3RnQmDLXCiUn+GQpO4ezLsG8PVw 7vVwXKspKot3taOakuHmmw5Kl8KCkq75Rqq7Z9uzmiiPXraXnt4odXoq67JL3TZdnaaJwVlybsa+ 7ag3Rp1tOiW/VQRgjpYEnzSlFhSrqfS3oTydLcLCPjyHDYorDhDDxfx5I9RZdkZHx1maD1pM53ST IzbAsZ83Pp193+/mJkh+Y96kpYXB+JZFWvKSSlfvvEnsdlkOXLk1UVJpIWScZgs7NxXFOXpyB+O1 Nmqh6HsF80nXIcKgjqiarU3j/mZ74SAgIO2wTIqycRD6AhxpEX56aXg8iDD7DQiWwtEf1htsmsJQ HDfdoOyQFehcpftME+3sWKCDdIUtpqgoLuDuhlTKHX2a4IGdCqfpHNDn15YmboXDY2fTGLfbRWVu vXHAYpHhwmZAzkF7LpHNwNVUBh4PMAXlFp7wLyO4PCvSmwc9CsU7LYxb2V55oo236V6IN03di9qd 7PMeiiMiYeWpNpVWONqmOyff2cpdy6nNPZf102F82Rdr45edOUhj7X/TM2mDOB83xEIkBn+ZE/Pz 8YDJsvdXPAAk9QCu32YprIqnIsyTWy20erU1E85kmyUI7GuWIpTKWDq46c9qo9cxz3pdHaoQZ4Od 3YeZ4tGEjdq6hJDyrRRQHaRHVXEax0sBaD5CQOeGQIFLG6lOPB7EA+27jAkOUgN8yaYproozDGdN TAiV5qwogJSu+hpEJXnP9agqrntu7WThZbrhJaR+J7x5SfVdeXeadAIslGjGhHQFXR4vFIg6FoXh clmYg2CeSb0Zzs6A1NTQl28Fz0dEzfQdOEFtfOZboushVbMqm1vdIwJBL6AmRq6HQHR7V5N371Eg PiXhMOvX6BCVueFLP8lBAD5cC2pAggCVAhiNQC7W5S6YAfcoEJchzKjTBkvsUBnnOXGO5c73DM7x /J0Bo5basfZtniK+Jj0q4YDu83SOtGSRU53NOWQdChiapxiiomNc6qMavc+CEBNQerJ+B4Koqlb5 Am8cNwMKny6Nle2N/2puYvlwQANBmeyJybTZR90TzwfzNPOvncd+zaVshoH4IMe+THftaImEH2qC EPFITZCBvh4NCXtI2lSEiXTwjl6iO/fluR1IskfXfJrOzLkh85knLC4o5voBVbPeOAgLWJoDxowa kag+sNwEIKs4LaDzf3oUio/Vfq2n9tN1mnOewtI4Ezu4JcuYt9GNVAWVBpmqzFLmhIMuCwU9jsTF LMgyN+FolacC9OSZLvYy4c08unyM+e+2yi7LutgLJgD4oGDfZemG7SvII5HvCjKBzvKTLBb8gX+B 6PB/YCBMiDzYTL1H48BDU/iX+qqKMxunp6ARjK2Qz+JqMOBLKj31CKSS5Fw86Mhmo81UgiwrEXP0 FUolqegLCw+qs6ZvbUicjHrl6M5jq7yrOo6BEdQ6B1PaMjBODY9HRGAvFbAxA7Na9P9sniLcOEnd wI3L//NsQvps0HyisHUkOayBq5orKgKp2J231JtaS1KHVfIW5yZdmeAwsPq30uVJaVFo1VMttD3A 8TNd+KCIn0hl8lWTD5wndOG3kuATV+HxYD1Njw02awUnhuOGsokKI3I6mqChZjW6Ss901ugmb6T/ 0CsE2b0WVFQcN37UTDI04WhXXgMDsSYK2Q74cZi6DG63H3TzOgUdvZZJ0jY+KEiDl0Ghlw/qSpWU k4Css0w8/q8FlThREDrolwA0opAcLXLq8GSPogjnZxdh9eyEDSS2xi2PquN+ltMFwkY7y+HTCDOT wbMcPTAVVSoEa4whvJx3VxLP8hHF5Oi3oiqepw+CYBDqRwL+yhmPBzH55d0xzQGNCF7QNk1RTN6z st9p2k6gJ3rtKeflnl44txRsjrA2bgI8WeIpHL02jpQug7fOZsuJeqThvrABNxH56AW4thr64Pic v0j4CLyJ6Jm43jlPYB6rKdUwT3Ft3A/yyoLBBHrVQ6gRwsaZ4W11OQfk+Wuy5XTuqOG6KfAXtnmK auN0BU2bXrocCQIcRPRAQqigqtRR+k950Cj7nFP75C6Ip8q+kcEG9A8fE2BXCcZsEvptyv85Sznr TO/n/+HxoKzSfuuCnNN3X1bLiALytqRzvy8RXyKj7HHSOpcV3zKqkadHFJDrdPredNlmCVVvGOhV Vg73hhKyFDJQhe+g3iEa8bAABSF8zF/UaUyFD4IaZlwH3EdQNBxhXZyApIc6zTz4rqUoHKePTz9/ 34+mQsllIaEdM4ZwfFshc0Th+DQzjiY8D0cLx89lAvQ5qwUwOi5NWiuZWjrMc/a8lOBJzt2I6uI6 mkgfwYCEkwdSynDzmqwBlIzno9Xk8DBiXHCLT4QhNlFRPD7ozQhBpMv+cWc4QLq3xeOr34mK4vEl 9s8ym6V1bZbOfZJPoDw7O5wFHp2jGnT1XGuINn/A8nYmPiULRlQYn+xvUuTPBsUEgLApIz6xBR7/ J7L+h3W+c7SVu+lCwDhShg4BKSdqoPiqo4lC07zpSprOkRoRYLwawHdzljTacjrL9WzmTvu8DOHc NeXtQlYn8I7wBna4OJ7Dx0RNO8zSUo2OFAsWMAs0X1L2HHiEkfj6zu1Qc+vQ0bRZiuri5EhQ/803 3UjT11KpziSbAFBplqK6+DQi8LDZGtR1ytx0IK9ucws6E5HNUIHuPgPBMKTEfJZwuONjorCJRDvg AzEkV9I+MVgm/hbfuOP5SNnJayoU8NvntkD50aYpDMSX6FHL9cFUXtY0tdodfDGH0aNGGIgLVDCa it6jrRsPnPsMTrJkkvVF8Co1x8sg3o5W4OgK+aZjfDnCQJyE4FzYtMsC8bNvkGCow5rt+UUW/kBQ LKjf1afG+Ot2gUcYiQttWK+SWkv5Ul2LUYCAKsjGPxhhbTyLvvp/RLRhvgIZgiEAJHBOQ11gihMg owHmEMA380jQMR5SOCvrc+BkEDB8lh4Fec5WAAUcS2rnguejLsI3ORHX05q3WjDD4jirT6MMyRYh /FiOU+mS7yXVNTsneMbSheIEy6dLo0XiJ7UH87VXKWAMistQcy6BGwhyYLooFVGCZ4hSkU5IXcUH NcvBcphG4ax4PJil9j1LEDQaAObZLEWBOEuB+JGLJ3bVvPEqnR+VAeMXT6o9zbA4LmvhPQRW2cOa RciAz/FUIYBGGB347KrRDTKoAW79obHORfkuvfKfPLLNbjAHzROwWUW6jb3g8QhG9x1iQgxxAJpq 8xQF4rRSGqn1x1m3+zkOUXPL6wDINj2smL8pdFhe845+QMGhK0nBsJ3UZIjrOQvw6VhsGf6n+4J5 qOwww+r4kM4jlUI4cJ4mgzQBoFbF49FB/t+0H1hL+zxFoXg2wlu9Hi6yBCJ9kxLU4rku75bPsDZu CV03R8F+d11m9xH/DXfdRESp2AkY6Am/4f2I0JJci48JZoke6WmQ9SO4MHPe2ZoaLz2Vicf/xWcx 7EWWootNUxSLSzqMyrdyxsu7eixOYIqmaaOXrWkKtQxFK1ht9zvacgI+6MRLm6Kh4PQnltHnwG27 EXuX/HAQJo25ZsjeJMGcgnA2CGt4NrGQGCcir3g86HA2T1nwK+K3nFQX1zTFEBXJFfRu0dOYN8Y0 fcxOP2tCVHCGRxAVMwTaRdO1y8PUaOg+JSAAQCIb55qSxFgCjH8C1gEFCY+e6OA5o0hcFA0KuM1H xw2By6xC8pz5wuP/qvbiQYSoC0Vim6UIoULyPjp3jwnJaI5QKa7Vd4LCZsCwGdXFVxaVp1lRpV2E CoSfEgUaMUs9CVgNIA+kbIBC/NkP045AAXzMXyf4FN5ptiKrYazRJVlgVCfweJTWXSA0j2s0Xcdl ls+QuUmJtZoftYIxXEtl5u4xJixdbc+FmoamNVPrM/qeG4uIzKzFNPeSfmZGm+UcvecUXelpHmy9 8J/kKPLzNKgyV9DGEq5+8/FgmtJ3woI8fIJ5a7MUQVSmWD8gQxohMV8ecL5GeGCTWmVuRpH4ULiU jNXC0QMCrKDz/7XlwMU3Xnk54eCG12kBFszpLNPeOEBBs7dJT18MIEiK5nSuiEIs/wmrK56PAE/f Fx06Nv1pHcyQuEnJmcLM3JSeutWcSlImjJrThFCz5ilUUhElsfbU7uiwsBOygjg7ib1ooAhbrA6/ HUlAjnTnaRW98V/gi5lq98FQhmBg8pg6KQ8ej+LL9StdOXsXsoGaphVF4Uk2zN31w/tJY5NtOhg6 eLpCm0hM04ogKlYfOZtt3dHBmAAIwc4UyynDjlkbFG1OyHbtHwQhJhAilYIVQlQIdVrwJ7FBs4Rz Sq5brVY8HjE3v8ksjCqASrZZCsvhTVF4Ll5LKc3V+xMdElQlQIdNsxRG4YaIGzqdOdrRBHbJibjZ 2TyZBJcT7zkYjEyeD5ghwzsB3ICP+UvTsImA0KBmNewIT0QxIvOvBc8He+439z7TUPjqrYYq4qoS 5H61HMoFGe6yr4gKHYo4TSFE5eLBHB/GDUBAQYL3dKYMHYCYOVU35Dq/ARJ7HP83aFo/DR8TVMMp uFolrlYfcbXEvc3FtPj4v5RBeDIhf5r3ZFohb5Mlp0XLQy6mlV26N49kKpBogzlZeoU4cS0mrP07 3nvurLSVKEhfgH/e+ncLZKEH9LgGS07lAayusBoud075CQ9sAqZx5wBvQ0JrfUCXNkSntO/6JfSn gJ67qymWExdtLLtuf2GHAgWCsxazEBhn05VpMJ4V8jabaaqZxwE1/yylQ0S+PQaH5T0NpmD/QNku 0v9JcDUYz9QrR8U5qoZtzlSDSV4jlrnVDU84fNMz2XXiL/yLy8IIEwKUKK/bVEVxOLqfJ+rqjniC HZ1hoAGn81OcWEdNVSyiwipBGyLbteHq9BCbWSApFsqKFyTV1GJDHox7DvWcAi0IswSk0wY+KILy kJsxxcCfHjyhJpOz5St8zwgaNr7yFTjeTbT0bZ6iSHxRtKvO+sirVbe4OxfTdIpG5jmOeQoj8ar2 +Orjjn6Os3opUZ6TnHag6oWhQziaUQMs6OAZ7iLrvotFVKjyRCgPhqyzZqMAQdwF5O3weHDfXe4B A1LaC90qwQrJm4l5nfx8WJtbRieDTCb1tJfS32LzFKLFJTYzJEOn0dbTOOkKPHi49YDp2l1YQ5qt Z2ZNc++LT0F+hQ/6QzgMUaaCTSh+seo0z0QVE8OqeDw4yrdHT7IhmZQNczjBCgHjFKIbbmeHC3xe 4Ys1PRofebjEeAxQkSx0lQkNR5+o84udG2mz7IT5sfLcmTCyNzs7hK6GVVBF4CsH9iKbtPJZ7iAg z9lDSwIhbfA9I7X63wWVczHvdqUvVlgWZwt4e98JactFr1LvwiaqOelnxULjZlra0h1totCCgrEr Gwjn+E1JtOlKxG0mpAXKiR5DURd6RfF4phBWWwQ+cVDXjmAtRlN5VjwerSg/yV2yD4rFHpDvKCCn /mcTSs+E/ddVqC3bJgoyO5bf7VhonFtuboHpOPpEwSi2dCJ5KuMDOeFCF/IHK+hnEVqfHp/zHQXk jZ52CwcNhvPeDA5IpWWkeOIGPh4U6Hr5jqFQeKoXurrfA3Jw7bjvyhU8zmP4LE0af9q+yybLs0Ml FUMJdLN37de4G37BDXYZi12WAnllQXwHOP3E8kN96F549D/YIWhcBTp0bm2w9gEwG1eOfb9H5GZR +LQ3z/fq7VH3D0HjuJ5GqrVZHZN0VzufulcLSqPyB+cpisjN/nZNrSaOlt6B7wsdO3ISBzCFpncM OxQYuwI5fqeJzsb4nKhCx2ATxSobOE2Inor0RE/ogcf/Rd3EKjyhG2pVfjrtkLqpospInrjQ4sKg FzV74lJIzOQ0hQAVuQNvK/ty9F45DDbHGLrvSqUBayYusP+oRI7j0U9xZE74nIAexdoccAo+6HCa J3pSsWBjNUUIlXYB44q62AW+qykEjCcLw32aqihA5LNoxmjws51Etv/B3EzSNNTo5d6BXlQVtRy+ 412pcqU4JWPq+uB4ur3wn0oFgtWfW2oYzwla6YVfc5wQA8//izDNl0NtfVz+wQ4lDSnz1OpDaCk9 /bjHT3NptfPdLCjYIT6lKw2eX6P3WNa5iIAxozDPOY0sgCh0ukcRZ7KmIp0CXLD4mMgHicAUtbg5 GNwJPVHVDdLC45FQ33dIgGORcarNUoRPSXT5WbLz457L41F5WtVJZJX8fMxSKGioTt2uKgRwtFic SHGgopUG9zq1mCB/AJdL+NigJ7KasrumN474rTiaJgIxG6zem5KsR4C/xeP/QjupQEebW8+CdxiK F+mD5PHj3snmIQmp4719z1G+itMUAlRsz62S72iLadc1TlrOoyntjWkS1w5wHire5dRcvqjwgMHn BAFmbmobFB+0mmY36+R2ZguPRwrjF3bBpYMf6kFA77Auru6B+GJCjdulB2Bvulw7pPqkbu44Elch kwhqGx09h4bdqEnouY4eetLZdLYAYv8f9Eccn7KnXjiqqgzJp9xBFx10TZrgBHXg8SgB/qU209iO 8r7mjsLw1pkAqyHOo2m1az+WeUrJEqn50RShU8gBxZEksjRHX00AMQEZRv8DZG5TxQTEA+dcrMgY 3LOcFgP4mD8lVNqaPujCOjH9nkKpzIHHo3Lvd+8AwKsfuiBrmgQIev3YoQQ4D0uAJ0FvAqcwFUCh oORZ3Ns2hWG4gPQNLTgfFWCekLwXLBPKHJ8VALQLKyrAQCHArBDmx9dd8vvZ9tKRVRt2HOqJRDwh RlrabyfXJgENlNm0+Sf+hVwVkoy4q3ll61PI4SShZdHq+D+6/dCdI0ylQNuXGiGZSbzN1z8C8uEM +34DzXSC5ZMJQ40BhmR5sLAN/AWuLMDszpHUy2NFyoAcnxQBMNi4q+JQVysKohnckqq/55Tn81Hq 8kt3Bpf8TuuZrND7BysCXTbHqvThVajzHYpV69CR3D5ZIWrcyip57zta9kJeeYdsLlwjYB6vyiZI ePR3P9EAhDdc85hVA3zSX1IhvbFiDlHAny5Jh3T+mefVmHC4TVFkPvKv/hTEZ/Z6fLfCavkmwn4U R0UrpFbrZSWrlmcCuuSIkELnTbcmU+Wg56dyAFgvLDaQEC9kfiXLooyl4zN/qACnelM9eSKk0ASI JmULr2eDTi30nocke8bg8//i5eP/wEOA4sSdqyg+Z/IjwU8r25XmTrdyxsapdQ6tmXxhRSXzIRHt OYwONNwuCTjMk8NBN5owzTYy9Su5sJAWg0hRICJuiQwJH/ykyJCEweemOjsHzdWZbjpAMo3n8xE3 /xdJuMictz3bMCyaEwrVB7chd+Ropv+UYV8hztQJW4pB63KKq+YC+chfS6OfWZDCHahvQ1KbDXDW pDqr5pSChEeNqWIURkj8pKiKQErCpAQ5B83WTvxVyUzQ85Fb2XcQirMV3oJ3rkKRlU4HY2q+CoYI mUYR8RrRKDzfoTzvcxVF6wL1rqkWn0bbhWgqAB1NEajCVaeVRR/cQkQ7C1M638mn4ydFcqNyLGt3 UMUF7fQuI+Wa+fz/RD+HjdCXe2lOodYKQdmoU1zMpiuwnZC9LhPM2ql334axK5AwwEUaiL0MP98X dOqgjIvJmud4hMgBjiwoq1Jq4nypfDPlQugxPylioKOMt1GnxTC34eyGJEyJs2t8PnJW9IWFN5wM 8vIzV2HYvqlqAEiJG7y5vrbMNlz+v1xfxUhypSYBD5LrID6SK2eNoj1KB6VzNhVaz7FCxRM6Q9Jj XECijKZSqEMu2VHxFPLVEjmhbjKcRmmDzwdbsP6iczA8BP7fpyosoVOcBjQKmyrg/GyqhhcbTrpV plsrprCGbuB7YrBt9Iw5ocSxmAoiVi9czQjhT2ZDIZ9MIL6R8kgaxgcFZapGutlgmYoD5woztCls fO7cyeejnNnjUbLyQKptFyOVQ7fOqTSnu20gbDjcpKTQb0dABNgZmwtlCGsxdNSq9Y62qhYUcAoA 5PBRWu0seK4qKN2D+QSNMUgUmPENHc1zYNcJKjoLn02Fz+aFz/PTZha06ebL54OYIf2qwsA5MK9x pyqK3Hl9diJFrKY3Ho2a1M0v4SzQNn2qImyLjH9AzCh31FTBS7ack4mwOzTRtwRt16hUhgJtfD3a 7dtfOWjMMFToSQDzdEXJkXUyNoJbE58P4vb8fQfCBnOMK1OTY8tOEhaazICYO/dSf1xvm7UrCiSD O8cKQw49O5cnz2Pf0RYVBGvh/Ypi+knDV9mKKSpJVrB8BQfxTlVp9s4Rp4opYWK4kFUcp9bYCaKL TvU6+HzUPvb9xygKluJfoVVg23m+H4FAi/rYnKoT+FrRKlMfgVN1LlTW9rr+VLD/tuwSuJ1stEUF 3xboaHbKjWXK/3JRAdPZ0XLYtyuax2j2ytH2Y2kA8EobBAU6t8XgCkNRms//L/UYGION/rX9QjFE 9kVb7q663bORzqC6TflfiriTAqXtF7I+Jbeds22//OA5z0G4z/lAcZGEqlUWWI9yrYM+6rNNL1zR 3IsfFEESSNSTUdBazdQ1U2plMyiCbySfD1rI63uq4EPWngJfDrw7LciGknnzqdomglihz2wVvpOa IPTUogrjdZGsswne50fwHqpAuJrWlC8AWBxNUwUaL9gIGZrAjpzq0945wuFJxY5svTGzF/nOUk1F prmr8PlIKtm7frQRRIDymJnlwL4TtHThFYtXGKr6ySShjWGKNSenmkbXy6F/p/vlJmdT91thqPDg gCQBfb3b2eSkeUBaZvxszDFgf7fQp+pVaOA5u8SSyx2sNXUOw25WE53P/+tUl1CZHCvuVIVSLATA ztGqE4f2hU61kb3Sl0a+UxVpsZiR2azWcK9Pw/1EuA22R2yRnjizd0VVvbPixzgEpi9mgQ6KjD7p r2XVp3TIppfY6z4hCBnxsL/m85HKiGc2nFhAaudV2syBjWc+awETlOtODuLoyYjXeVYny2ZqlNlc hUV28T2GGQJxdJzZmZVzn44u/BRqcfQswR7E5Qc55sG5Ei6oN3vpwCWAgOGurgQHdUrLyTnkInWO eD7/v4A80bObJT/rKsSfkwfahdhgd/nKkuZM9RlCqNq6lqexlacqx7PWckeL1nFRndU0N6P1jRyZ PWVJKRRw1wigvFyraS8dCd3KFZYgKh2vCtdHbXLsqigfh26e9dFJxHHF8ix0wn22ShSwiyJTnfaJ LpfvwrNJTIUEyOHlKXMJ2aDipS2LMDl65QqeaWhac2Wl8zSFlqFeXgj2RGG0lafP1eyl/1LjJtuW g5IU9L/PnSZvt1H5fDBbV6OUcRTYJPXpTYSunlPOXckKMJQINq0fWENIUuqcA2k0n6sQjT5MuFz6 B/NL/6B2+NU2gl/qaqaGBHLa2eebZANYQbhuW/N3jg4s5sxLOfO6h/vZ3RR/xvtOPh9J4X/bouN2 Xmhi+FSF8izkpy0JcFI0YplCEnV5Mv8R5etuYKoc2Hoq6GGqLAfU8Tig7rWhqpHkH58gNKxlldn6 QVHrhx0KL4hWf+mIZ9wZLBCnR7yt0j+E75vNo5MGbP6BKGjfv0LR8rMRl/lkhSCYod4gWdiL5b5m kwX7xWn10Jzn9HUVA9PNTVftUY5eX5gTrkvcgwPWW81Od4gon82H3TYoYL4ljrTtpQPoQhEtnxYn NZPVq65EN8+OkvWm/1JTltw5AEvXtDKH7p6bseisyxOcST74f2A4MihiyKx5wd9LYXtg72k4iHPr pZ3v6GUrYP/2kvkStG5U4oOiPezukTzleRFDmd4BOfb35OYbJLqtgSC8C8XY8xIifpx8jH8g6kr8 0nADuSg93t+hwyfkB7muvG5V6ZWsnjMXtMFhWvK5iuL2LXrVXFY7Xo9cMDocjZowoMifq5DZ9/nP 8AAbqNJlIE5dyVxNidDiUyUGWGH6YMWYtmVv2E5Izecj/sy3gwD4FROALp+qKG5vhDVudh8F1+sm 90q9Oy/x8XqxqQolFLuszzKRnRo1VQXow7WRcuGaLZAvV96M4Kije4Rij+tJrWmvHKA9CI9dmVKT K7u50NkGdSlsP783n4/C9u+OMwLhMZ6Gc2jz2bccwLsng5VPCBbDIE+wGPq2aKbCGruE3FJez+hY tHOKnMi9s8K3z4FiJ/tu53DexBMP2Leod5NtqkJgTLUTXef7WZLsoKI9JCFQ6NDw+Qh67YuKXUFM FWrcPlVR1N4JtGq9XWOvMafXrXhISkKCcETmzYHVJ7qCgsTsvO/oJQZ6WCbh0WBS3GRXjGsE/j4n I8SCUmTF2hM/J7gCN9PmTWkEDirGwKElG5oo8/ngpLqwDzbiUJM9MctTOA7tPlkH7vRPtbmSyDLL MW21e1bRAaXrT/2lk38CBcOp96fbjHIjMHmEX68FRWXFVgjZRLVEdQCVSZ5Vxd45CEPpt1AK56wA 1qhlBRqbrsBz3/L5qHDsMAZawAArtepljubQ9BM+SLBpzH6ug9d5i3zeusELeZE9dv1cKllBR89H O6wydDVIrkY95qzcudyn8VxgkK5G/9LhoISq59D20/LmTgNCDnasJy5Tr7EHvp8QOB+/diCoy/0W +ULfTzkQQrzUK1etul7++U7uSVzlP8SZiiL2ZVYe1Dux0XbghN7WPBudwFl0zQW7KoAWj0QH570e bnu3dw4gDEwF52AdhQPXAZT+e1Ldbm4+/08XQv7L9Mp+FlWsck6L1LFd5XzI/4T09lVd5bw1t5fP ofmnKU1Bk+KO3mieUAZCrAkIw7nUpZg3E3q8QISegwnHhfVOu7pcof+nSldNXDZWP1hugjDnZo8O ZMvMP/CvyaLqa1HNu9zzKvYAZT0S4Oufy7hN3hN8aDWAfPh0hTG79tW5O/cdvdAHXa6OFAYZ7bk+ umIrWOyAgIQ1iYvW5abUlw9sQOVFcpZq1VDQ1+A2RNxDj76fsQD5CHxApdr8pWGGTY2qsE9WHLTT Ds1bESS4mUZQRsBok7WHSyfkwAn0NlBnl4Q+R5ss4H3OChLuCgCZmmSNRv8KmKR8yy4LlsNPisqi THAqO6gVIQAXVB0g4pF0eL725B/4X9i3hHFBGNEnK4raBWIo5PeKfSttJUiuE0UtG7mxmiMgQz9Q Q3oMmRZrtGxwnIPlRGmstg8Ifiwh+ior87kCHQCjGGt3ASqpl/7rKoReoA8qM4B1yV/onF2Vz0fl 9u/6FcC9sPe8cxWF7QTxnENrucDLYL+V1au9sxsONMgJ2cKK0DFmkTanAH0cba7Oct2ATld6M5yJ ylSvnFQJP/8zhTV2eng23V76L480EEa8NSEhQSifZ1YcTtoz+Qci/ZLvgjuD2/QgPgJjUEACumKF q4ZDjjQBfaXm5KW+/RxZMTpGnMllzeTlpT7UZDb8ngkVbWcBTvUvzgkPRA0OR5TL061f7WUvHexC Nudp12eDFhasy5IoJavz+ch44Bf48Ry1vwKHEB1DERP6SAjQB3kiKyInEmIJ6CvN1bpy6A465TY7 m/BxHL0kA0nPBHsVJFSdWDIVkXG6FeLfyiwXdqWCe2gPKj2cJMxHupiPs5MoF00H+sXng05q8XU1 eBmeXbiRo/lkhRV3Cp4OYNtVF52zmF4Ajveluijgr15xDx1CzYCvTJ1YHLWwIKaeIHCG2H3C+Dnz KhgN+XJu9N6D14F1vbLwfIFFqBs4IXjjANgkIURALLWkYt+e/ANB6PCLjwvNrQn+pk1W6BLaN1Ha eXtSCGaxwz4m4chKCrvjrgKbUAg5soBFyxMftbLO9YBaHgXzyia6rEr+hPYoqLp2duhlCEbTnRy4 hKLDrpPKbYzFhIc+TlbZQViGwCYU/Jdbk+HrYUnlKwWXQ5/QSTJ8XdfFaRWP3lNpPlUosXhOGBqF riE3sC0qDkfvOyMT3DCx7lDiA4OL3Z4K2s75D5OYbc8Jh0KswCg0M6ejdzgbOTRnlXgSBH+7LFXR ow+tQtOl5So0I1nphu+hV2itpvTtbKVWk3utr53cQK0Uwmu7/lR0uFuNoT2jHVigFsPHgnq6FOuX T0iFFeIAPAaSOQ5RS8VeOQI+En/chT8GIkWeMvkkCBT8OfdgWfwDkQvm/q+zfTx2TjmwC0WmY72J mz5f5AdU7Lqnz71234Fh6D4kgCo5So2WPp+j60RTmAqUkPukXTN5zuhFoy3Q6dggdZPkrxzRKWlZ uNSZkEglZ+pkk0XrC8Cr0C803x0oCoA5TPpMhcLoiE1O9rxvc5DyvfLfmfbfwrvQNaryH46hJkMh AWaOXpQ5sVpnzoyIAfG6rK8GBFcXcfDQ0XVhoVrtnaOwHZcgel0+aKpgZSuQKEwec+gZOtuvrjNa qPkJrkLPUF1CJ0w234a2VevDVE1PqhtYqB61B6ahV2cB1eg7+lSdrOD8ykqfzw7rwsjMzN5rpioI sm0HySjFCX1DpTNYm4RQcYRQN6CiZC7+KT6OfyCoIafvWsMg9LE8d2AYtmeJWmcX76iUzpDg4DZ5 JkxW8egqsA7NjtOeRWChWRx7fPLBAnMThsl1nKOrUKwHoAaAkKB+8cNN6fYy0186OtmJ06aIEgpj zXROYLbIMkHPKDWE9qFseesWxPbNdB1IKT8HVqjPmMWJewTlxS6R2OeV2GXDxaYrQspUldpp9OKj HVjQU6sISinvNUCU4flfAI+BLNMPNJh8sna2V45EUVFioN2BDdqFJ0yTQiq6dXz+X80JhqKITCHk ZFP1eQ2usn4jWJfnuwu3RfAVnXJ3T8m4BTlTr6FVhpqAaesKJcPR5wlqJ1UJzjnCe8mCvxOHMEFq wYXpTDjaQL8GVhnCg/O12QU72mIqaHj6ZY4yAFRKbbLUPlHAW0joeSN8XoOqbOfbOW5XdXiMdD9J VWrdXerHvLSuYIq6rv5lQKJ1gURnUYGkVCZVTiCRTUEupDuIeiccQTILMfK6gFMmPuZtjtC7WZT0 4hnFQUC+EyQoyD53LJ5+myPEGMXnCPOBa3TQNgVT9BpLnYd4V/W1b6K8uosOOogW3jIVBiqcotdI KpvhNluA+Y62iubZxJJYBzFiExKo075TBXj/AMRtQeckgv01jso4WCjM2CXM2K8wYwcy3jLA9nkN orKCe82Q/tWz/REfaIZeQyguIh7g83aW23T1JYCqr2xHdleC1wAqGzcRzhaz3tH7DxW1g8qwoEDV pm3FmuDxDqiHoujkM4Qd/3kNn7LbpcxGpyJiIiSukNqAZhg+b52z+zV6wrft34sIcWYbNH7GFL3G ThmQPdAf1i5XsiOt4R1lyVYRqFC2RZmvkRP22VatJe11R7vh9jnOM60cOyOjLtVG+DOeg63hUyoK 7M40pTDca+CEw4hxOIuNNqjSgpaGIGjovL+GTdnAFJyS8mMg0JZ8o70GTdidS2snF19GLPBY069u b/qtbbnwa8iURTjj7S/1BI5+FpGCO6gcAFw8sGisRyEEz2RnNfad1CBNMlYN5ghZNLLpWnwQpBHY nKL9s8rnNVrKD8fb5uhstYUOt+boNVbCKU+aCHQiPFvZs1ujAZIhlq1UKuzRwimaoyZPIvekT+UK oO7ewdMxVapz93eFldC5hqQIefjt7jXmda9hEvZaYQkYfhhnoF83y3Rg3VdCt7DlPq9REg+z9rXX WP1r2Y+j1wAJBzYqsCDe3XgS/rHmQVDdWw7+l+5BEB7YDpsqd7Q5Qr33bDjKfKMU0o18m1mzK517 bTyhNynwr+FRvsKenbovHHSTQb9f5fJzV35egyO/Vu4cIV04x5/vtdeKZpaNJEq+80rEZke4JAX7 2msAdEkHLjiylesuSqj4eJsKZ0XiRuccwVmhWO0XSA+AeHAXee238Kt+XquZmCPCh6nayKFo1yT6 703VbsrntZaZran2rKPzG+2x/Mx+LWRm9ccwMS5d3ShaojO7juJ2abibTKQjOrOHpPLW2He0OUrn oj/nP8PsyTCG/+4Jt1CaqiiA1sLzSDC8pBcOzmxaOaa2bTAQHvBALF2jRZc/rxXM/3OvZYkbYp1Y BBlF2YQ5dsi3OgZvZZ8mLWbjQw7HlUVhttl/r6naOEevjWOXVVk3oTQ+qCsOSUFU++CvSgekq/my 7JWDxcRIe8g4fLhxOK7f1nhwUwPuneKHHTfz10whMULg7/MUhdqsHUIsbtqWO1vHKbZNzGRePmIm k2MRzZNoM4liJzZa+XJMiAG3wUZeA/lDGpWNxdDzewxyErxFzDbG553hl93McUigg4MuuIQflgfT 2OvzTvDD6b3X1zwtmj3Mu57e423w++QbM1xTcLTZL/guuaZgr5eKHAXc27iiUuXQaOsJnPaBehlt GlCEldBCJtxXBJp0/feAMOEbv09TJzgD5FYfOE2wdRR/FGa2n3dyHxZG/54mXO1Ad/s0RUG3ERto RCrG9mi+7VJz6GuudA0SmCU4wrucdmYv645+hJ9IAIZEohaBPUPRKnCXhgxcilqdEvJMVW/8vusg hAmvJJaZmgccFZ6xVSImBbjXMO4e3+cT1LtXelbTe+BtZ+8JV65C5XqI7a0nR71uomNV3o2maXDX oQB6R01ThVRuIyUZvL568res+iZtYKiHRtyXNVimapbh4URa7SCtr8OypQrKCcAHhYRwYn3eaX1Z mNknhYOLEbT9u09UFH2jYwYC1rzbblantdfdHPEDZW6rBLyH3yZPCFNQQ5GtB0XWIO69E6naJ75Z LklVEI5ORAQZ9Bw7xRn+f95JfTxQacHXWeLlIHRiBU5eB1Upn3dOX5Zg6vc8YUXnegsmYQSu1srT Nx+peBU81+Z984ZZ0DxFIbiAUef7qmHH0QGvQBBBHpHglVGg2fUjvbz8Q/k2YGLduThLKSGKwVsV jUgstX2F4MAZZIUXrJLPO6EvP9x/Lwks+B9kn6YoCJ9kMaxmormMNd3guQxrRjWIRflyiqJww4+h v3hHmyYQl88L0arhfBYAYqos4UhdVFWYFI0X8392vfH7NEkAZwzx/2nTxii8FLneAOXIx4MQ0xzD 84+qv+cFTiDt0/QehwMLJd5x9Wnq7JPJk0jpL1ZTQdFXjYIoEB9yBT0rf9zRdl0nlGSR65Ghh1IV YA2koJ1mRqT+u7F61xu/T9NkRaAv1nI5qL40oEFE29nzdT7vND7ETnt8R5mAgwCm4/MUxeJgO9Gh wedpKSigVleqy4pwCQRuLacwGJc/aJ3lGe0Yh0BXXYKMwcDZdx2KfwjziBurjsifEp+KgnGCEVH9 Sj7odEqZUAVsXMAvomhcAEHMioyeUGUaHhS88/cQFHTrkTuLVstArZRmjsYQ3Gi2697pezfGXOxs +Gi7DjTvc1NTpAvMyqyuyyRiehdq++d0y0w4bz7v5D0WNBSKC1SQXW6jwSzKhJRK/rxz97J1p59i 3Nnoe2VP7N6pe1n4Y8zNnF4h2C5bDQFFK1gmyLbZNL2H4tlavucUshiqlttwOv9TP/FSo08D+GhV aM0En6JcQUKuSAb8dGLN8p25x+YA+03wOzgDWnuNDl6NkoXsbud5fuPPO3eP913/+a6lUFnf603v 1D0s4yzoU3o8w+f02m5d10tmuKHjO3OPlQLTP9/P+PR84TUKg/CTXJSZVlJVDiI75/5BpQnt2gsv L3rlKIBKlDAT8AnKTIzIK9yFE9PYcSLNzztvz6ZaE8Wg8qyVs9zv1gvjcRLYgX2wNYU3uBBgl0Iv AJjYREXxeJJ8xqrmUVT7w0Qr57Rbi6LMiwwi0ooGDIyAVz6bfUCn2cU2qODyTtrDB5GqcH6I5IMC AygzUV4A+p6fd86e3QSaJ/y70Ehe2M02T1FAjuLAuebSlU9qZfk8pVxdE0iKbAjI3xl7T7lgWwlq X7gFgCkNJd0tKXTWWJgGw9b6Bx3Is1nyrfLK6uqdsMf1IBZMlxR6M0Ns1N4z9+Ao6KhE8fhYnt5N O6LQL/ZpCovhDAx2vqyO5gDWmlpZXi1o2V343sl6zICeytyvCh3UrsDqrqz0AhV2YtutjgHvkTQI 2u3PUb70ykEARQRP3ZQl4WCYpcWEmlSF9Xnn6uEm2H6UCxcGA/rR7r57j8dBwxZl3QooQF3sJ8Fb LoZ+Yh6T0n2n6jGNV0mciCAb/cpbsGySfnVPJ6jdXYz1hHDmJL5nTnp1Md1M2ZrPO1cPW4By6FlA Cw7CGg6kjkmLZH/eqXrZAADPQQ4lUOgD2TyFATntrvB5TyJszh9gLmQr0wHzrUjznaiH33kOy+v6 HT3ShE4/HbLBqBpAqKisgi23qK0/CAlTfleG3jiaJjKpGv150fP7GUJG9y5Jnh+gTD/vPL38eBDY 6TmAfpx340WV8cYy3XC3ATBf3HI2U0dFBYPRXQ/9naVH1MAQDIwaphq9m9kLVE1YMABdDxRadjNp Pkg6x3psQjcKlJ93ll52A+M1nkHHOPTu5dxxjo7PO0kPj8/v6w7VkZFvbfydocdmHRy5UrlWDede 8vPp5HTX15HgXk7Te0DuEqfndJXHHEcPyM+XObuC4AqApShuQmFPcPYIcJ43b1mE+L7T87iapOvG vIWDkAPnz4jL2PYan3d2Hk6n8R09sVEHWyZrjEfxOH0/ENNYUCASqy2mbGwhYFa3RU/v1DzG40Vp XXtGm6UTJK0T8hPZVKD6Yv5qA2ciBVxAqnGlMrTCPu/MvCxboRPIZ5Uys5UyEVh0/Yd2boHPOzEv GxPg9jSB5ezgRNkshSAU2X7IkoFraRWfpdKXlzInsxuspXdaHu86riFoHN5RswSV4RMvITxD8tJT LsnIjiwCK4VBR0p0oFz0xtE08apbslRdyS0IIAxR1fKefDzYcuk7CS7QAyPjWtMUheKNRM+tAgFP 0pK9MM4AUCc40QiaphCJYhaqco7TaCfTKOer78pQvLSukharwpSaXjKW8AO8Db1wkNux8LTRG7FB KfBCQ1QpcCmfdzaeQRCeigq80Dr+K5ulKA7vIgHVCxPnQyZPVoYj6isdVwF9fmfi+WI+QZJSYI0G qC8ouhWKJkJvFFMmlCZ4QpMuveUWns7CTXrjvwq+s9FxZ+JXJggFLlrn1MGdcRKh8nmn4f2fkgqg YqxO2jxFcfgmw7OO5vME8LV1gGE4YnD62YcVxt9JePidWE06GbQMLUa+hhatnezl3HNYOucMaamK V7ZZ2mTHl/KQ5suLztjnnYHHaeoumGGDDvATWgjYdA7b8nnn3+Ga2d9RE4x2zyK5s/QehqO5Plkp qD5LjW+pLkvaLnZXeB1ylqKqOLNKoL7aM9oBfjLjE50hH6Yv71lEUphqMB8e5DhC4tKxBMxW3rl3 Weavc9EX2gaBCU5QpuJEO1fe5516h2WR5vc9h6t3Vo8G3pl31gE7R1Mfbiu3x14OS/GQE/5Wy++5 uCiupoHkaLaBjQxDD9qr3NIagC9gaci4CesI+PBS2sPoJJDwnXfn9TkEEskHFZ5OnDC7GnH4Od+D cIQTPk8CsYCs37yM+U66Y9REqC+qRpqn4nLwEFmvxaEpO5ky/Dvn7iljpjHMoZciH5MSEBlaZDCi QRCOXUhBPHAYKRkFcRx0j7yMmfTGf4HlGiATGLgdftTcTLKEgF/y551xlx896qwizAndflZ+Aqcw Cq+s0FFijVkdsm2vEkx2NxE4DXAutaDCurjUcGEgc0cPnM4eHIRTYmEBQJfE5KQtVkHfriZ4dBh6 1185Op9oyTBN4O6sD0K4UcosVK/4OVuQz0f57/fOGxC4a7cN/M62s+AfaPDplthne/uKSs0o1qj4 oj3EiYoK46ZbmlLKd3REGKRuCtAAFJw/YQcndRaSE+jwY3WC/mgavHPtGMdMsTebD8nKcyMTzXii /Px5Z9rxPb/b5R2lFMlqEX8ZRuIk2g1JHq3/YiXC3FUSZKmzgQAAZhyJayFJZlKjt8uBUGEY2WF3 OtpiPQUsdPZYwMhBhmcnFCEOn3einaGVUUhhla7SiY8xU4floXTt2vy88+y4c+vPd6elwE1y+4J6 p9mxTLu5iraImpgoVuKgIsqTMWOiYKBzlhgW1DvLzlA2RMu3ekerp2D2UaHjRK15tjEJ/JAYrHSQ SbBWvD2ERUmtd5bdDaBaYQIMkUTDPc0N8UTe9ml93jl2Xs944icmTcuTlneKHRYU8Pb97FBh5Img W2a1A8d3dxeg0jgXVFQYN4WeaU47sz96pQNuEmygn40HmJBMw87MofoAqj6Il/VJ7rpeOTjLGY83 Wp4t0r11zxUEZmwznUPx806w87r0A+dByQnupzZP7/E4GjwyTjNKD6D+5vQBllrRWQXo1bAr751e 99UvzzrJOd5+Ofw2GnEFqPV1g4eNBgeXAjZZISXYNh55GO/8OiJzaUiE1o0NhpsvywzmZqmfd3pd NtX7p+40M269O09hXZw0gznTtRVQ1YD1OTqCCKiyvaDyTq7L19QRGgt3dLYKrIYqkH6IxytQdebF A1AOLMHoyGdJsCoq79w6JMHcdlWORDLzZq0ADSm2SdqJQT7v1Dp2H36fTwBe9QvufafWPcWCmVw9 pEi0G3SM88Nvx6m0ZhfeO7OO+SXnp8zyjB6RA4BWUb0BpOBE5FWEaSLrSJbc6RGxQ4z9eafV3Wla i9VeDtYwn6aMcV56fN5JdQ5ie647QLHWze7eOXUPrmAUd1g/aaUrTwtBIfgFav+apTAgV7WX5gA+ OuzpJCsJ2QvKcxVcmCkwMCRWIGf3s+E3a+G4FlNUE+9UJlqJWq4clN3V7oY6IGq+0+l+tcvxIBjO E1QKm6UoHKd0Rm8lXxR0yu43uyWvTBs+IvS45/4Rjo9U+x1tloCSSLwnUB2frWzZU2z8sh3FnzXq xYY1e+GgopKWagTFB62ls0Yl8HBi/fl559Flyb8+JxMYZuMpz7UoFhfiv7OW9h9p3pqhB6jM3XPg 1nn/df6lqAWs4mWW1F+Vvokq4tCgaZlmhfiETWEBtKugLwZIP/uaxvpFAoCPCeIB2ZwUHuMcOEs8 y4nkOUFs+7QoEJ9jfc0S1frb7Wu2MBDH2hughNksbc/nKhnOXp3r7qzeooK4zdJJZdsdPQU+LwGB 5EXYKvXxhVBBmb0zIh37MVbXlovicPzPEy3b4oO23Jl7Xa0nihl4PMAT5P41TWATQUbYOT4hWjxT 8ELmcfJR9YJKMqMKCl6UaWjx/h6H4/hO6hcoA+bo5bkBMFirAjxV9ERkDIbiTQdhYoxLyhzbXvgP 4AUgQMkHX0yVCv5nljYfjzDQFyuO/TVIHm9+fvcoCh9b3bp1vYt7dTsYQhp8mhy22iOEyhDHtzfh nXq7eKdzEm32nLCakCzmKp2VjTS/WXs930IBdUF6VBKXcQeY1T5ongCOZWgAwCce/xdjjNnv2esN QbRN098l8S6OIbNfcgZ0gIuEKDDBMimxHpXEPatjj9JGCy53P7O/oU9BcXfYIlPcvRNyVxYgYIgP vEwgzlgUhMNTEIzxpYF2H0w7UIRiURPuFng+YGhenCGtKM46BJbHr7oeczTTNyaFznGuI5ZF/yWA DjUihuE9CsOn4TGzpXe0i9ZMnbixLMonQgf2JGLTIE8kdFKRhiJKrnPB/LdHYXihvsWcxIvPWaYD MqH1xPz3nOZ4PDjG0zdLg6t8bD/Ge0TUJAX1TE01zjj8cw3ee/KLZj6XaWAiNU8xPEUqINRot9GO 8YnubqkU8jvfsoCWxr2GqSODM6OH7qJYvO16VBdHcgz4DnGZEwUOCaecL7sXT6hR98TzwTz9WlCD dsj7nuNhGA407tkMc94A0yTqTlrXjHEPGU96XHb+pahMwDqK/GJs9HnCAQjQG+dpdB4JgItDtWqz rAlrXT+gqr1xEBVUeqDJLudk7wCesj5X4SWF7wnHFDwfbbz2az01AFfvQR5WxklqHdvsBjOofMPK KY1YchYyJyJllgl6HIhLR9NU1vp6DBXQzYJfFfYdanVJIcSY/HdbZY9lXdwFe4X4oKjuJCUe4eco 51W0oiZCCvoKtlrwByIA3XcCTDFA8ORtpt6DcbPxPQcI7ik17mDinc89VwF7Qw1qEVGAHj3P8igY 35IsItPDRyuorJTbCeelRwdPcRZtEqid0mUt36qQJVFxtEfFcZQvuJaSD4ygyNpg1NlO5I/Hg0JB a187D6HpzPXuvAgyDtVIA69SXw2WNu47UUgGZeNuIZHXiooAKmaUQ8AUR7JLKu+8MtCEb1VSo2ee pvh2AwlOgcdyBSzlYsbPdOGDImKiei07+WA8MnA8VCRffDxaT98VX7hhoDx+g4MwIueV12qtVqGr a7sZ9iTY6z8i/aFyzQUVlcZXEiFxrXZHrzzNBD+9Tu/wAhXVIg0eKgM3MMwgp+mVzEGSa49AKmre DWRcNqgpVbKZO5/PmHg8opTPXxN1vtiEjrRxyqOYHDv0Ynz1j9UJ01RXv1wNCzZHVBufEm6oTkYY t+Rb8SXOJHQamZxIBzR8nmNQChnL4OZOaUnV3jjqSrE0LgmH2qxwAxu1uoa5xGU8HgTlvxh3KBvO nLzJOaKYnC1JkBW8pjJUrJvSRHauBoiqCjZHWBkXmAddmTt6ZfzE5UjB6Pt54sE53T/h7KENgUkG sy6qBrgfPieYJnZa2qSPEOXmGavB7SMPat6NhnkKK+PrV1COVbmzH+QjhIyzXrDd3+wkxYN8hEmd MKtIAafSrPY0osq4vCNOOioE3ZR95gCcB5R7hOWN/oxIhJarq+yfBopd2TcygEbCwMcEwNUmZAGn aZRm/QMcpkLT9fP/8Pi/CFJcTeeXoYqxzVIUkDded517XPxN0rjU4VzDa0+1ufLjiAJyR/N023yd PzJm6ZxFG7L9vO5OUgy1G0m0oonWoaMG2LaHBagH4WP+6m+OLfzFdvwF7DwSK2wNUoYjrIpfuQuW dgd9zi6PbETxuIs5NO9vlu6wesiSZW8Ew21XiymKx6f8GEvW2VTyPZvKeY+e4ZrAs2kgkWErBpL3 0LQ+D+552cCTdLsRlcWzgAVbDpYs1/NaTagOkUINhAye/1/4dpDhmE+bZUTxOCh5UA+tjzhfvcyf 2o2WiL6RH05RPL7Mh4MAVxvtcIIuQaHuPOG9agKyhIBaK65yPOwc/GQvHGy6TVhvIvGnuNvOiS8Q hDlkBY9HR9N3wRdZMJ08bJZCsDjZwIvAY5E0yj3CBxu5uOkKvdI0SxFYXICvk9XVZ/TVhLARfWFu urNtRIg9n6oqZqYrsEPFsQrxMVHLroM+BglaDl1YuAK1qJk9Bx5hJD6/LzrUonC9+CxFZXFJYlaX Ssmgv1iL5eQUzbibiSbsmqUoEreLDqUyG7F+M6nASLLzHkSpnJxlmhVhA9KWfTsoVPssYS3iY6Kw CTdTKjaQyax44CwiotPHybHx/L86doStYjHXK+gwwkB8Sjhld99ylGS2abJgCjW6YsWnEQfixvQZ z+jQi7rBRJQyO/7BfLroI4OmfoboYL6bjvHlCAPxJiw9uyxF+khsriSkSQC3nwthLvyBoFhQfvHt GF88h1NcG/9yCVLLhQtKPqhm+dmgfmJFlRHXxk2SR7TE2S4mM50gfbUE8GGH5Mn5OtyiIAiDowEN wrOO+3VH0Cke0jchWQhGTOGAkI4SfOWsJAEccbHi+aiJ4EuKy+/kbAu73pSLwuo4RR0G8bj/kc5S SdYsH/JyQYiJBrcmasaShaqmbIVOdV/yQW1Z+amk1OCoKxLjmTBkoCAGpotRERt4hhgVydYvCaos KwVCMaq49EWpeDyYpfZde1pQ0ZyXojGjQJzGriDAdU/sGrVlWOVLzIslmk1Fvs6/FFB+HGVolukY 7a6bG8bWa+iuS7muKhWslsgDxv1DbLJjfJde+a8uwpTlhlx5fkSVntjCmKde8Pi/DiiuvLOLx7pa YTMKxLHk80hq0SlhWR4TUJ5ZB9QAKlpCWDF3U+mZzCc12rY7ySOQYczrUH2i6CQOqAH4QYE0KKXr HcpDTYf5Z3V8oUfqA+fpJEGjqVKwV8Xj/6Ik8m6EjRN4aDZPUSgOFwKw3RxseO7U4WY3wNtbFyFt T+xmWBtXFyGTBG2jA+tR6sioQCAqOGsry9G5oIWHrvDPftRnadmGjwlmicd4nlKrlzOuAKu1mAR7 mXg8gBSsX0pPiL3QQfRpikJxaYatZhMC6QZL8dBsKUZmKUAE2DRFofhQl/ysqnJH23YnL4BX2SQz 6mR8JDhi2yE63wi9S34ICJOeXDNkbsqthb5/GhRYAtEhnafSKx4POpy/Ejsozsy27ukUVsaXTA/G tU4iHlL6vKO68gX5EDrDI4BKd3ne+oy2mhDWLAp/dEK7k/H0QUY4FxbkL3DoWvRE884ZReK1y4Ek LR8UEpwUbyXpg4yBx/9Flyb0AsIXIGzbLEUAlU74XE/FlQoazbYlfDGX41Zr6iZ8MaO6+LKzuxBr qdEW06qQQsjsA6OImab6wBWTM6Acuh+W3SRZekaReBpK61h5YlrHSLxCGT5Lo2HwLf+V1THqLhR8 98rcjCJxFE4QiU/PV84B65H4HCtZJM7mvbZcqGUonUd8+TveWTpRQEJIgbXUwSqyrA4YZDCQgbK+ vYOtFw56dovEqLKKDyrMwfdn65DafDzChH3HA7hpoYTnsxQBVCapLCMPh871ZlbVKMy5KCZ1K2yW okB8ZK2lKa0njh4PID8c2EpYS3tRM5mqmGeCAB3/IdnCuSxE1c8oDm/ZKnJE1Q8UnsVxqueuZOd5 nWWL5/8XuBP6Ox1tEZunkLO5RZXeXnKayamtBVaBDjGsroc9YxEVgQqqFOg4enSJWcqLUsbgxZTl 6pk9w9kWX3WkO0+r6I3/ksReo9zBIIZrk/CNt+14PAgvrzSmnJvPAZcvKmxFQTh0ghBeDs9W4J5j m64kK9Jh0y0rEqwIojIEqYdTxh29SHCmsAGhTJfAk88PhqIn0YYEFQBOsGUxbRAJFKwQokLBx11I vOegWYLiXRd2rlY8HikXja9Nh0ISXYlslqIgvDfN0sVhTgbPRjwYrto/e7ZNt0KESheVpaVntE13 MlT8H/CqJxo4uYS5yhdoYlKPFWAthzuhiYuPicQMKahWN/E86AoNneAoCFVZ/NSC5yNdtW86OdgS E70Rm6ZQPVyek608sWW5QdO6qo8J0riaphChopO7yDlkyxLupzG23Nh3wypOQEKLTj5OaHVu77Px wNG/MdP6afiYv+pyDRLaNoi0Cf51NjVnPv6/qIhWuKTNezKtkLRJcYLFK1h1uXZbBpBp9LocKmia pRAkLg+WLNsajX6CFxRQCAGrUJ9NlfqQoFacLQeJu8GKU3ngqisshrOCMhm7L1j7/LDMdA6IuTeP qXMfFTwfnUzra89l2kiU7vXLFYXgrKedQKN1Y7EUtVY2+GNeU4HdcjYUz4pJm0LxmMSV9LWtkAJu l/HJTwgOdSH2yCfVeBLKRNQwvCieqVcOanMSN07yekX/s4lufzK5RAEhCBfVib8QhU7fQB5AYFe+ ymorCsPpIdiW8xD5j9kAKl0qhzjFCXXUVMUCKpLvbSbf277ke6HksDad4RcA0XLvnIlmeXAD+eH9 Z16AlI/AB0USBVR+TJwxDp78dkmYn3SF7xkAVNYvgScEb/KF5zxFgfjaksge+9520+bJfQDJz+jZ 7NpWGIhX6fAMkwwbX5JhBawTAIQh1HeCssGgAFQX8H2g6oz2lMMusu67UD9l4ohKk8CnxJyblYF6 YrIiEYvU8HgkUvAtTo+u98J6sHkKqZvklU+WDVWaK9M9AJvs4Rdt7agqjnkKseJCWS7m7TY6gWzU imo7Ka5oilMGCFZhWFeZ4v9z7wtPwRvgg4K4QOFlF+0Hsq7yqIHpyhIwrFQ8/r7v1i++D6TC14MW XyFzkzyWAa8HS3+lvi79lO7FlAEDTSmLx1VxHlGLOtw2+jyBnIliuPR4Ttysqjikw8DcBOQmNdfB KvQTwgcFpiLkHkA9zQfBeM4EFFGmz5fC45FI/XdxDoXon3Oc3gAqZm6yOkdjb05Ud9dNKFlPF8Ia DGI5UWE0bu63JqY65/QA6uxgEOJQwwKOB9q+0mBvXHwZAUzexKAqhKIe9IrCcXajTuZDpQIO6tmd Y30xUIBlGh4PYoNLwWfkwlAOkFdN1I7icXRwUBKaV89/dU/vqpuONECbLW3ZIXVTLaY95SvJ0SF0 ey90o8ggO5dFZ7KUmMz8YAWhkugFFfmb7ygexwV3QnviSjA0pR8J/MmmfTf5eFCe6/0ra6ksOyUP DfZ7PI6nJFY0PdDMezt/bMmjFMtpAu0ktfpYREU60DW1O9osdcwSvSJgqnBCdTPszqTiFxTVQKe9 9x1tD3YIGZcfVE7VBxXF8VzXmXOS4P0ekCNZH1/zBIJzb89qCiHjKMTAjM/0nUar7Z5PdHKWVAH2 jOYpDsilEyZ+q0YvqYDUCm0Z6ffuE1Kp2Asc4zmjcEitO00UAsLnBNPUSCCr+w4CXsA8YAmp0jce j2RUvjtReAFEjT5NIW1zkvRDPTIupz1808FZoHpNheAITlMMT7EeS5l3tCS4oUAO9yMqOrR9svmk TXeitk0oPtTg/RQHgBqfEymJsn7ZSUfkoMNp4r6T3y1WU4RPeeSgZXrHHrAf4juEi5PdWij4Jl+f bQkxlUSN9QM8j6+mEC6ukgo74j46a3Oe7A6slh+WAhs1itGwQ3174F5v9UHx4FTGx0QoHsbhsj7o OBeKfJNhgTmlLj43no9kVG61l1nOpFq9g1Z3CE9Rr1yAOfFZuqvNbOV6SAxKv14aUTS+VeYtOp81 eovlbA64l0jUv5yfvVmC11AUh68aayoSKQCpBB8TxE5Ei2eJPnLQpiswwDM50YXHI42+/bWagLba oOHaLEXwFJJgTpBX76brBiOAjMpcziFLrvC0Qy1DL/PWdUdHGJ7VeI6kLODFiaKmtGtpaTKYuMB2 BxFntWWIz/mT3CozYA5W711tEDTezuWDx/+V2qlAR6zT9WUJQ3Esf2yFyyETg5ObDlU5N9O6V12I T9FmK0NaDhytjqlDPJMcVWCW5Y6tEEjsm9zq5tpFMp/D5wQRJgG9q7Nvx0GrCd1ktVhSG3g8yFjG dyQOQvoX/nmHZfFBoPhMztuspIOAtwn/i+ocMpx15G3uvyPxDa/RO3ojquErLK2m1kDNGYLxFBAk zsELFL+jU/bUC0dFlS0FleGDCIlnMUu1vtU68HhE+Pkuip/XQjPq7rkoDG+Lx1FJ88f1wmq2xbRG vk5Iw/VDd4RNmWb72/a6o80S/n6mD9uZJQDG0TLCVBGuArwVoPbmVZ6Y/e5QPoV1zLxp0MZBVYKF n0EYlTnweJT93gId05VMb+prYCc80OvnIg/pZsg6WaNLrqAypsk7tLPzp/u6pzAOl7shoAl3NIJG B6K+6AwHX/fkwjKNIgSBOvhggOH7yuhn20sHHFchlJAAnlkfOK+ZkKG7WatmYJwrhX8iAq5+axoO RjHlUfZPUYFc7qMLLC8yf86uR8hNlEopxgeCdJgz7nKKzTWVAYsRrNEhmbDOqI2lXwg/UogbCV7B 3ALUCvjF40DKiByfFOEv2CqvJGxw8F5wGRI2PGcinw9yl1+1ugyeyE6PW0QKTX/wtmyLWBWqC/FL fX+qJxH4RDNom6wQNK7FdRZquqOnL/DIgwssDZNBF1AZStgewKp+IJBx9Y5ZNsAn/aUTMpaYr0B8 ycamA3LD0+v8l4t/IAimfkkZgcZzAt/HcCuqlsMzA/zy5vjM/aiIycOXvfN2gYc5hY6bBDWtQdMl Gx3Yg1p5X3Tc3GdZDYmvIpk5EwtZKzB46s31ZIeQQvefRZdEKJvZYMcWFOyJ7AHKAM9HgDoPPDEt INF92dzJwuu9DIxTa6ghTGVfCk5Jfqbbf3uClFzuwopK5sNcgFVr0mi7MLOdt6g/M2bKXTWrCfk1 nFo4n0A8tEwmk/iKT4qsSCRAQ7QYB83VCc0lUtLyyHw+oub/4gEV2X20ZxuGRXOJZ3pT4XwPOBeS rQj5M7Gnzl/Hj0FkXU5h1bwbjLzPO/qZBQoXyzXguABnIozP2KjLSPl9QzeGmhglpW0vHbHwWL7L tAbmoNnaUPNQ2DD0fOQKXL+CULAodruCYlTeeT+yGF6lZNph5rUhHl6HmbnO91yfuYqidTMs3UX0 1l2uuO/5BpCc3FSy73AJL7sJ/4vQFTIEP7SVtvOdwDx+0l/eCNAE9EEll/Ott+Tqzq3I56Meg89V 48o6P9yCr4hPVqy0wli0k57AyQIGTI2rs6Snq2UBb2nbMPYD0vmetA05WvAwVjt366ab4gk7T6bM MOvkrHgGGeEPjIT9yELbQS8dEdALGbDyU6zup4ieEhE5gNk1Pv9PNCJmC72ODVEKn6w4cOcNKDUM 4lm2V4aJhLPaixQa5KgYKa5UeXGlKc9pjl6jglwnpboB+wFRwWpUCREhEAgI1x2QKIupFLsCEffT evFBSLsyqSWcyPfi88Ee/MVcnE0Wa89VGHM8B9Pk6yaxFMgPmnMPi97PAnO19pzCKvpWcFXMwbw8 CKkKtHQbFDoaOOypO5EmZduVZhZCpETKI2kYHxQVqsx2cvtgJM/VtZbPZ0w+HyXN3/TqISTEjd9D n85pUrZuHdx2d9ObfDFBsHz1RCcHRp3Xa6qM9IxegwFnCkIQgP8AKJzEaWC9Z8AOmDYSbnpDK/Mc GHVi+bIl0xmVclDejK1dxN0ck88Hx9Uuv3YgTAPLNaLOoVdnK4JqrGvXPafb487qYj7IIXxZBWad cqmjYDtF2TVaiQEcqdIqu1gFXZvWrNMF+CstudYj3E54Yv7Dq1N9dvkpJSuyVsSzKRsxsfL5IHLP vyBlQHCO56yK3Tqp/NuzFadgcGp+uZTbblZkKPRH5i0Y2nUqCtilywWeo62q8+cBIGR8BdlMQF5Y jCH0jXjmUi5baJRm7/xXG4uodAzZnN7SSdKVs/dUB58PUui7qhihL5ioXVZVDhw7TTK4r2G6YsC7 TFeImtt1tAoamj5VYdguCUQKl/qoqWrosUIZcv/IjKMSW0PtIxhgLAhnPAZBo9kr/yVBujejBg5C liUYeBvPuvL5KFz4LqrDFYxy1j5ToRYivZRavdVi6CR7tVjkPWq459Z9+4WsT1tMu9c7eunqHLhr yXs5n8OlUMUaZXWwdwEUZPRrtas+ur1zBEqgmtamJMSmTzsXVTqBjsyXTxzC54Mu8rWoblnFUHRF Lvg1B8adJvfYe6P9xn/Js6U5XCqqYjPasgpjdjOZKHPd8dbWT6gLJS2Shlpjc0STtalYxGThoqf6 tHf+C4u30ryDKn2oQRPRA6VTPh9Jkf5iMCBGebzMcuDdac0LiOM7IaZKvnWpW+NVhjS3uwiG5p2L V99J/uu6o/fcWwfzQ03SEzyezMM8mM/3hCXzD31kvNqnClbo3jk7os8My0wblN30KbcsSP51Ph+d 67/Y6U2eOneqYjUW6UVltzk1eCfhU2IZT9mZNZ+qyC+oS2N0c0fZaFNVUWMH9hgNQDRHMykP57fg 9JYhMSa3PydFgJ/05x24mTdzUGSVsE61rFLl85ET83fXBq4CpHH4XIXxOgHDWTuQSI6RTD/j/OSG 72hyWbK5CivtAm6uVusdLbmBS95qNDCpJ8xtlUJCEOEGsrYURlWcK2GDerOXDnwCtuaKoBcOapdW 0ih5r7XC5yNh92+qFbou54d81lWIQefR3uVFuYgUcsPTLCssqvx0ySYjEYydPC1rzgZhzBfCmDc8 ovYkCH2gi6agfjbCHamzVqA1e+lW0176ry7XrNw8HCxih++wlG5RQg7NPGv9pdkGstz5shfumUM/ Tyb5uMJ9F0KewFky2ezjmxTxNVuhoaecNxCR7zvaLoSbVkKkiJU1UM6SbDnshgH4xNyBv3J7Xc1e +i857ryoipQXyR4U2jn/LhvxSP75fCSZ+I2nApdo1mtIJe7t6+G+SHHkXP8nKWturosEzSCxQgfF oDVXMSLdkIwyUuLotasNhlSX0vQ4kYLk3dBjGDBX2Nz+V7qNbcFc/sak18r2BAcd7if2Z0CInTD5 fETHvmkzUQsny135aijn2NVT7sxkqXAX4vBVOaaUPfSPJwma1043sPVE3COUnqggGm1d0T2mA2AK 7nc9iZ+EEyt3YwEmojRyZlQVrcleOqrzST6qs5eK0t5UoJRqlRzJyQQ2/0AUt7evuB37H/npnayo 3A5nVtpUNpusJLtmWgf0bDpS0Il0j+bA2hNHll+F4462sE6ofnLZTovBiQRkkOo+C2FYDbuzDEqY bwkkbXvpAL/AOLSNbIOFo+czOkWhf6Cbzef/VWQQA/CcfODe+1yFrkJ01kXrwILR2Q22VzYvd0uc SWlk5B7Ye6IWrXRwWbFhfcGrzgGyaye8Cu54aQii3YCRGPTSy/NZV3QPyLG/Jy1g2OoH/JMSxKwy gMBE5M84f5h/IGpN5P8KGwauep+rqNhORdaOSNepIeVK4W55fxsmZvhchZottq6GKbo9OIaCJbpp qAsoWoJXEg83EMN/BtX5c3+0zNWZCD0+EQ+wcJx9MCADmGsMMM+n8PlIgfq7i0Nibvq6B6PAvXEL 7uYOcefnz546A3bgwBjK2WuqQhnFbsIIqjFytHoMvGAqjDt+6LVwFk63fuv5Ph0NrtZ8VWU4MOiV A8gHO8+700mPgyqi56Y1Hk2vm89HRkzeG5TrEjTf55MOhj6f5Jw5L0uoj128dHV9BBJ9Im2qYlFz sSBldqrRc2dUYapwxazxLsKxUJhZP9SMw2/kHZxscxXCY9S6WUx1znG12V0ABGdKVPEE0XrRfyGw 8S9jDY/0xKKh1ae0pdqYflg1qvMTILMrz/jCiij+PWbOgdfndRnK6r5rdJDjOL84JHAxVaux6v5z 6bVQGoDijcVWlLLj5wR3IAUCl0735bpx5fzjmfOprZj5fGBblf4Lq37uQMj/+VRFYXuibPeZIEfJ tNFuRWan7mcViamaqpg7aoGCgRnql5bEgFx0pzLJuQLbyT/FPEJ2TXv5AmVTFCd5VhV752BVLWIZ gFvlUGxVNdhxMwI4H8bno+Jx/lpV0FEEvtCnKvT8hM7sOatKGt6SWI5OA/wgO8BYDjvYgLHppyS4 uFJ8tLPqpKrnF1i8rMpoaKhKL3+goYPkr13ekeHVc+j6mQWdXXv6YGX285eZ7KjMHth+fouc80JA nRAG0HeqopCdPne9p+ss0N0Z7ez9Z1XV3e9URSH7MqrtMojaeiBqJ4dHa0U3IMx0rIPYKPSEQArC rw/Bvds7BzgGs/Pguc7BKMmV/jOo6czN5/9lBy7SEj1m7g0Ym38Sx8AN4bSa7HKBRfxk9gRn93pM 6P4pHx1wQeodvcawZ4X4GR3R4Oi7pD5Z0OUGLPTsNWB4rYHa1ekKDUBlxIAsCdECqFPScCFVjknv ONEJ/8D/Ytp4tqp1Bu50xYRSzFFaF77eFCVgus7FdF3m5y1f/WEDKv76LvOOXukDrp8Cpl05WyuO J0JLsOK6QJ3EJafUnA+MQMHjZkOiCawGVCAZNufQYQiP6VrAfQROoNiH31IcqBrMOp/JCoP2LsWS 6oolczdn3xoWhMIuOFpssmLRc3H/qsqiHB18hcMEIQKRDCry/dBtDz1ceO58Sy9nQpr4SVFdlNqm QyYfA80eHu8DjU1CGU4CNfkHIgrud1GGLkbjaQ0GjqCKgKFCubZlg6VbFg0VO4ZaGxCZdGGQoSWo 9F3WMrVJjg7SnhT4piXobAPZD29CmKNgstD/gX6qdbxYvuMnRVchc2axljlcZuluCkZRGA1cQU12 7YuCS6787eOEtqBD5/s2gg2qM8vmKqfWkpWv2nBhjhz4gpqTNkzkZr2jZ86jg0rMfslZulCyky+o iKiM8nBxX7ZNt5f+yyUNEgMcCFz5MfVzeibAOGfyDwQ5zrVQNa4X/HtvFTnwBkXqrPZg9cmiiq3J Tiw7vXBk7eGTFQfunKylfo5G34UwnQBziFaOJ3OV5uA54fET48qH4026Bay97KWDXcikuYBJb4MW 1jkN6XSOmKfz+ch84DvGwsm3gB3wuQor7rK3EkaUkDVo1tjC4p1MVF/NLjGcY39Q70poE3K0hQWG b6IWKKrICYovPLEa54z941JmudgrVdxDg1D61S9qNtsg7NWJbvJW5WAtPh/x3dJX7pyBY9h9Prsw LLkvesk0q4bmzhKh6lcgDFJuHwWmWv3EimN3A3NQTUijQgfYGpxAblHPc5WTaSpoHYOZQKP73ipO oSxZoL7AJBR9W64oEUtU+OIKybBiYR8aBX7+gSB0GOVrF4KxMMtT7At9QqkbAai24xnqvLbYq2Un TbB6r5A0MAqF9Iec08yPj6M16U9sWxbUcZjZVpi2mpnoeesGvHNnk16eYDTeyYFPqB/uSJI0CKYG kRw0n38czhAYhX77XYnRBZzOc16FRqGkWrvdbBUfYNhMFfxNGYjvcbPn0Cl0yWm9Dst3xpUcRvCO CgYP95Mdn3hNCtZMRSeCeuC2PSUcirACp9DsAWkjuevcn1skCFR7JgMd7PTNPxBchNdUlfV2yBSm K+uZQ7PQWix9viiZkW6pr23v0RfCsDVX/2Cd5ilkX3a9NpRFM8qGQFOzT3ReTJcl5fTgx4MQ/6LU UrFXjqAfEmYePOATbjt+ZXxAYin2fMDiH4jcLTwYHXa0j3ZdQHLgFwqRKh5RMzv4cfXhpb5FbTcG o7U7LT7HhqFW6pvtGT19zihCQx4HFWSoEagoMyG00YkgpWmDFE5Sslf+SwxmZepRcFD6fH7ZJUPj DOhVaBj61NpFA4DmeL/lq8Ax1Hz5zuK5Lfq6syl3ZLQHf0wcDk1hXYKxZagJEA8DoD2+Def3PwdJ Bb4ATZw1oWPNhhcohYsdMiR2Li5Uq73zXzjRtajvyUFTBblVUbxg85hD09BZ91e8UKC/lPOzqKKg vcqtaLklwdkR28U75raawzXrxRUYuIYi/1caOFTw5Oix1ckERxKe72Rq54oXTLRSYDdDDAkw2wuS Wf7SEdFrMbXpUvE4WcYSNRm1HyJsIZXJPxAU+/Z3sR18zJUfSF/gHQpkwOTBPlxyvzLPZiAqrI5N VvHgKjAPzS64sLZhavfF1J4LcEI6serIxYfwsJq5kV4CDFNrjyKqbOpz4B8qDxOYFtEZM9H0hMEV Cklssp0IMfP5qIT8bY0JoP15mZSf2YolGkWLyy7RqBWh5LmabUErmcmZZisCylRRwKn/4qNdg9DD GntR77OcLCorxwEe5ASV8AaEDJPP1fZXjhpeRP9XNug5aBPCG0u6Lhu0pcBGFJCiX1UZAPL2vLHV 5zW0Ktr7MO6wvA//WF18f1G8zRMck5V/DawKitiq8dX1jB4udLqnKGSH9argt6tA/3Iiz8T/5mQ4 2kC/hlUF6jlJ+276oFmqonQQS4WnX+aoQD9B1YWi2+/8kmvSfJ23bjBD9CdCy9zb8qiNOlmp9Oq1 heWuva8BVXHJqp2abj6OTlVacP5LhP0DgVWLIEeJIE9IgGdWYeR1AZVpfMzbFJ3Is8kbU7pVy3Sx 0DwpS3poZ3F9XmOpgghj2BRxxeCPjJxsil4jqZLVPejbHQsRKRgYDVirZVlyoSY+p+g1jsIiUsRd l0R2OXofEJZzxKpD+vssFkt3aM5NBZ3dbxeCLLzPaxRVgBxi+2+37YOqxedNuyQ/+26f1xCqPPB1 I56A5AnZJM3QawBVzJkPvjLb2SMqD5tMs1eKy/YD6TV8KpkdTFpb5HJHD5+A6K6DrlcINaGCKjTo gpRekeubzxCOxs9r8FRE14SgbiK4A2ihIinU8w3kSHAiwPx5jZ2KOXrcKUKU2QYlPjFFr5FTKRK/ O6ucEhREWJXtOgvk+VuPlJ7s9OoNFpEJcUz+izY6qOrkrFIDO1dpQWmQictJWXD+n9u1AcTp0MbM yuHnNWwqEoY4+V1m06FmbyafaGtLYpxt99egiU9vmyPTb5R0puboNWQqiD7YkMnXG7tfo16oJS3v zWRvY70GTAXRsUrCycht6ZLbCLQF0AkJy4Y+r2nsF5qcQjSYwGVvjiY5qwZztKhKlaVAzEGARgb7 DJz6Kp/XWAnryBI7W0dnq602/DB6jZRwyGdzunL1l10MRIyylxO1CqvT8nCK5kjodIid3tGLUCdr KWsSzw9/1mzKjQmV7y1vbsh12F5Let9grwmcXpn+7oq3YnoCJUMZRPRzKXxeYyQcZvP7wMaNTwty zdFrfIQDm+LM1W3kYJiSnayM0pdFk+gSmehweGBrHRUDMJYHwHgW1aLwprBTkP4ggPFcbYB7du61 8QTeJMG/Rkf4LZY4R5Qent0tLVDTpDLEDyw8P6+xEd/S731WExC753tkv5YzixTYURMonvM2996B AV/xPuhJU20dvRYzi1RrEGcvyUusle+932m6NwkfhjZV3SxLnS+F+wL2R7iLvPBbFo1kXkuZmCO5 NokgWQqV0NAlLicj66J6QP00utYM2oLzAAtplhO9UoGCkjzBJOUpJd3sffUkr3UcSLjwLwRhuoRQ FBx5VdySk35NGhLaZUCvYSGdHcYOH5MSFNdOBA9QGaSHHYRHi6vXEiZ326RCh+l1lObMqnOOVSnG r/x5rV/+n4stq5RT6UMvemEwTWSp9yWdeJbliokxnwCptWxQqQpWqzEio3lapmsmkxSOvpjOzzyn jMDGucug9sPKOIsDBbjSyhvOdF+U6b5H2q5JxZezgVcaJInEY6MO3DvJr5hh2Z0pOLsPrF6bpyjW piwavsddTnU6HVLaRWqoQ7LSOEZ/riegLMYdHftzoruZcpY76FnkMqIHPhKFS0BTgMnzBvGk/OI7 xa84WhiVFx90w6UyypKk4l6fd4YfTpm8vuYJcEqUi32eooA7kbVmzjGSGHQ8WaamgeapjztPUcS9 pQTAtMtHv+TAQN6VGCkI5pVuRg2NBnxs0aRrwMeCzeed3lekTA4fx3oHofUhZy3N7Lr5eBAtjfl1 gtdFgbPh0xRF3ehaAfk9HHBgGsMMl7oR2aCXt3zbRWF3NxndUsodbZoqaCwWL02UFLbgGQs/LeCY P0WNTol5pqo3ft913YTQTRa92DRRDyqLOwrUaxh4r/m1mkAypPWSTdN75G3akvDA88h7CZ1Iq52a DPOK3MIxr++hd/5/1lUZavJqtO7KyU0WpPoziX1nC1frh2ZcW5VaL2Xf9spUyfLPw2lstoNRDFUj Ctaaqrf+nGsCVbhgnrLJB5knXc4EchYPLd9pffh+nV076XRx363qqqcQKLV9t57e+XsAjjxOrD4l Kxotj4PwTYNsAsHBJ7ec3uBDQxeGGhksKjvGiUn+vJP6sL8hUHniU5TgNAibiDRH6rDnK3/eOX1I OLJPlObpnKILgbvN03sMbkLmwI25chAODyvtwiTRqkodugCapygIp5/fmZ8s2GvN88Fwgr4wKs/x 3sreBgo6V0Y/6x5vDssEMy/OEkuIonC/59Sva8vNiyG3bQFm3p93Qh8WVP/OVMAlopqzTVMUhi+h C2joI3QBq4QMw89atmYBpA18OUVxuOBjmz+QjzZNiCYX/IgIH1u7N8GBTjxez/mE4HRSOF7k/9n1 xu/TJBGcWQXLr8lUvisAtV1N587H36OCbgXdImoMuiT07bZ5eg/F3YW+1e3mH4jFtZwg6eZFytq4 7dApiGJxwHPY9iU2X6NvO4QdBSAGROUZGYlCUcxXx6LNZP+7uXrXG7/Pk1oqs9CJj4NKTPOEZUNF 8JY/7zw+RE/7+xyHxC+tC3yeomgcJWegVXL2OtxezuM7EY9tu5IHOyqJfynadvKSaRL27O36N4HL DnFQikmUE/CMXgRyBXJqEJ9LMJwQ+VMKVFE4znk6tynKuhp0PIFIJ2siwi+ieDw/BQIynAAFavOm du8UPgYG7KbkyzluaT/dlD1t4yHAtoJlFI8jQ6aW55h3dJJHXtCIpm78QJpSRAQ5keH8YYko4073 WpO/cXCOszwwm2SVmoUfWLNwAWD8VPLnnb7HuMKrTYwCIPO9UX23eYricdzNmJySnzrBsrLlShZ9 npwAAmmap/d43HEXqCiuO3rTCdAC1IyR3+ECpyhKQiwFkFhCWReaHn5CsXL5zt67HQJIELlMUGOX oEGhfLHkDhxg+7zz98ojOnwSYFZUILHf7lRFIbkQ5pT/sVizOLg17Z1dHygXF0R/J++xMqgpmmKz c/SpygtFAdYLxlkSRWTImXGIQsR542BJD8TcXjmKogh66kxdCqapmIjuSVk4aeOEm5936h6D8v11 SoHr3Ms9zN+Ze5xehpiyUzVbmeQo4G1kogbGo09UFJQTaJIgVt3uaEH5icEBjxfw6UQNYynHO/kv IctoRQKm5ZIb1HF55+3hg/ZSbW74oKh8AZTLlsq5QT/vtL1ipgI3eUExamE72zxFUfmmZ8NZRV7H bO3GmqlNlwYqZMwiKn8n7TE4EI5JRnsavbYCNTYAeBRr7pZVNE9YWIvl5skzaj6WV++cPW49gjSz QJriEjNZgz25DJ/RV4mC8mk0GKv2AuOyi+d474Q9HuVidtwyHbuLpone1vaSAU4PLaeoJt7N6NkE qjk6pnyWgTwVgCfAT5MEdcc2vblB3G5/zvKlVw6CKEHvC6mzXZh7yU5UVtPBVlifd7oel/2vSh3w /mPc2so7XQ/TS7hTrd1aUG2vuT13kYAZWaDDS3XvbD3m8mqFCxyg0e+83VGmlAfIOUNql1fKJPg+ wxYS+IJrgklL1Xe6XpHkDICG7IlzENwQOG4W684i2Z93th6+cf6u1gFcMvtt975z9ThPRQU633dr j3s+JS9pQsLJPIreqXpc0Mrx6BBjowWbBVcQODRkrBcwlnnoQ55LTenzWfvmeGXojaNpYiNqsrYC qcufIXB0n5kdxxM87v15Z+oVMxJ+qgY4NNFVsHmK6uONNc3R2s2Fx2Vz1LKtX5dpd6b19B6Uo3At ZZtpXd/5dH3TSTgA0aMWCYyJqggfbRHBjUBhPW6hGyWdzztPr0gdAw1f4qI56Bjv4OzxutqZrxks p+nXnRKds+3yc91FMTn2G9ZNdTG8UZwjlIBftmmaqGlpmt5j8qe4stszWkyOf/2El/TBBDoWzk4q D0Pdmhjn+cgGEuX7ztBj7sFIc8p/Z26LNM8Z19RsBmTr807Q82uLk6Koa/+A3O7t8Sggb+yP12UE 4nM4je6SgRS0NTuZ5TbG7/S8p/DbB5mMGm2WTmqaUJal82w6H0nX8HPSo4k6kNqiduR6ZdNeOMAQ TFo2JGl2JrtRT2Cx+m5mglk+7+S8YqY3nBRaY51AF1o+PktROE6LnE5rcPMKvWspwyXW1tKiWBvW 0jsz75mlbaKB+8EWnrN7MXIq5xQ4XwUgG23LTEoVLz70NrsYQdneOJqmrjtu+WBFzDo3r/TWJh+P TvD0teXgpdfJudY0RaF4402H8FjdFtAJvSm1y/R6ZtveJX9n5WEvlG1lgnZHP8GhOdNh0wDjQgqm Gsuq0/NGBhN+gLehFw6SO6yijVvBB2XBu45GjzjEXp93Qh67Jh4Q8N8FHiYVh1u8s/EwS1vFcTup aXWlAjB6/hdUf+IdEwl8J+N9FQu2anV9X+80mKGcwEiN8pqNcs1iAZTyMzUCb/EpT4qNvFPxbtV3 SdRtIaJnG+H8qLsWroYTzpbPOxMP05x+FekK8Wjb5ymMwxlgVlq4qHdHByVB6ik7REj9yo5Leefh sSrKe24uAQo42jydFAj+1w07LOHUJsoIIoInsGPflyKR5s8LzMfnnYR30zro6/qgA7w1qBzwUB7l 807BKyZj+EQD54ne652l9zAcOopybBg+Sz2V7a2WTewcV9Nwcc53Ap4l8NSA6OWOtudmLb2b8+yJ MPa21dQpo02ZLvBmHVHAbOWdflfkxjJXk/Vsc93wWk/Ulkm2PvcFXzNaTHealK4MHOF310VRODGs fS8j6p+zKZmOFKDpyYBgcAewytM7+Q6VJ3XuapUkC0ePmiqsrjpXE2pPLFwiFCRF62zD85VKe1id xBO+c+9YoyNAZVBoi4NKT5DgUNtl4fd8j8K/O8F8uw7Gfss+T1EUTm/Fbr1M4p3TdifjQU6mECor W8n3nXfH08ksek2yhln0CU7gAFJhKVelG3W+ThKP5czTiZYo5V8Aq72lzKQ3jjBzhYDLItwlwg+e Tm3i/iGO57zs5511x+j0u2OOU/f86x6Fv5Puijmnnbff2bK6JZER2qwn7wTTrMrWU1gaT2a7Y9nd aPnZd/lEFVtSyxv/cUgRkA0A2D5CUzNdCC8hx++Uu6d5t6jwM/CfJHLeICXDOYPV9uedcsf89ztd oaBE6x6HvxPuGDuRT72mQ8JbdYxqysn8wQCDGh5hRrVxqu8DmmWyIvPKipwlgkN8spR58rcTRQmq 0sBIxDw2rxP0R9bgnW5XnGtA1ogNOqHSzHlIF37nzzvZDu9ZxvdBjogA+jWGwgwjcYYFk/YPhDuv PBzu3HG1SIWMANJCGGZcGhdEtarXwtGhKicHgerbEn8ls/dKqRFgowtOLhQh/YAilu/zzrUjhoL1 lEqaAbh7TD1gZlBVdzr7Y37eqXbcuN/1XsCLQKb2lOWdaodlSAYn/TyyVLWgk09bhsQbL2Oi2Bf5 qVhQ70w7gjHVtKu2sGp7zPiApzLxfNx8cATgzuvCqqBfAMcNKzzRjuzzzrQr0sSizbq5rXcDP8H8 t+q2T+vzzrNjZJC/TnL8NmMsP6HeaXZYUBTibKPLWoASwtssdxaguOYy0OgigwUVFcabgVXrWnd0 QtS5xED/58YrWL1NOpykJVSUx6AG8iR3Xa8cHeWcp0FucJeFMxEc+OO6xXL9vJPsiqgcD7SXpmAy UOM8vcfjoJozziSy5D8kkZFqLZ5BNfoKUJTD6FDvFLviTPNzr/V8R1tPkF1NNCGlmA+k4YfAKoka nJBhJCvYNh7JGO8cu+IyuGe5bh+4ME4G3DuJm+1kRZ93ip1zT54D6iyFc+t5fe6dYcdIU4bYpqcM ms9yjFin4q/QKhRn4HoK6+Lq2Q3Z72q0eUJl5+SoSoLPKd5Fbzm31rlpJoVg0aqwJFgVlXd+HZNg csZUd2pedzrJdeHth95da593el15pAu8GQxBIPAUbJ6iwji1YCFO4727IiNVkDJ2d8mjDBSNLrx3 dh0vXK6nkzY8o0UGoMmX3RmRQ/64D6F6BuwAJ+K0nR4dO+Q7n3dqnZdUIL4/fLCeeREWAgtrfN6J dUyif4F80XZd0+PMd15dcY+rxptYneD/z9ibJkeTI0mi/+MslBLsy7v/wR5U1Qwe7HHjlz0jjazs ckYQBOAGNV1UhKMs2IwFUFkAUpdmKWSqiIKBK+gdfZYgCQMPA18rIb+n8t6y4SI4ECcJq0yvxrWY Iky8M3GWT9qg290pMYfcSSHWfJfU8WjzWcLZiXpg1nTXUlSNs8fUW88PF9qrTPgTOfCUbj7vu5yO X59n0pykD2o0eO68qyFc4K0FtJVtM5mxQiGP+sEl0wliWBGfdzVdkcG29aBs0Fo6/4/OMMCd5udd TIffN/9ir8KBBoomm6WwFt9KWe/uxwZDTENUisRRhawnF9+3kDJedPvfwp04Ou4EL+gJQxLQL86l 3uxLF1LkOnxV2Nc05S86RPiYoB5Q4OzgwcSBs4RDSgwoqNLweFBg9vK145BEhnXhsxQW4gAlR2Vm ltA59e66vAW9vzLyXUsRIO6zVFK6o80SrMZOxZl5LqEFvNVfGUzA5FbFu+2SMre+cfCaI4cuLaJ0 HLTlIOxoAjHLwOMBn6C0r8UEQcQs9wLcozq8y+BW6vGqzt31vNg3w4PcIEl93utwlCM76hts5ACC ToXFBGp3tvhsBh1AjTfGVWYOKlh7LM2kRCOzGcXBFhPC6Rjv2DYfj4SH30ToQf14c9iph4i4iPXe lkOduY1Yn2jGYnGqkNtrliKCCi3gzoGU7WB6DPExNaRtMT4HeZ5tqWDHS6aJkY/9ZjABnUF6hIjL MBm3RB80TdUbB/W8SPF4UFtWR8R1UV447/1k6jEiTs+n2vzy25NRMxEIs7wWAOlCb7keIeJQWxAd mO2OVjNthLuNxlS0cQ6iwis37iqY/AIzwAIDT0cJJByLanChBGmxqQlI5ocYyHl4DzVSEXCB5wM9 y/zedRkOLBP2UDZToVCT0Tmr15tGsenuByexvFt2Ch3sFViF96gKn5op1JV3tJkqcJmhWxacbDZV z7yt4H6dK96JLEPc6oLX3x5V4VWmtpldcgjNnZOJMDLd6jq/Z3CKp298Dtuwj31X1HsVbimZ58q1 nbpahps3pFYNZGkwtrYg1R5W4Vund7Pqsj3VJa50FZFxrC4XhLJSIGAh70ZD23lhzMWXXY9g8UVD EBxjHIDDUYA4ziHYWBOc1/XE88E8jfY1T4PUhO1vux5W4ZPOFm06dbWV5SYXxRvmDTycpkDCHko2 +QY7Z0+ad7R5OkcAXHJpB3Lqo7KXzdNZWmeesCKQsOsHVM36xkFRwGpgKI9pgD/AsrI2UBhp8nRe 3RvP/5eNx27UyPcgD3Fxqu1g3Khg3iYCN9GUTgc6MQ1xfBIl6DFjXKYxI+87urQVb0tEoQHoOD/U 7G7PysVqbpXhE+vSLqAH4leO9p0iFXign2W1fuTtf3YtrFnIOTl1P35AcJbP9FWL0ywD6mebqfda HExQJaYSYVKVifrnvOdogwA0CmEdNKck7tQjfoqloKWsmpyjEw2ZqAD8GLhTQvUtX0hGN2XQir98 IUuq9pWjdx4dnlQaDC8NzhuPtEOUBuej8HiEE/ySk4MyLMsUfmhEGpcpQaXLyf+SovWqRU9Ugney wkJXWSsq4qdYfzPb3SXLj6PSAiR15GDQMOVsi5WkN5uMD4c9BJ1C28MaP9OFD/qrhNpjZB8EtIEj K8+iU5bj8X+tp0KgAPFn60o4e1iQN7ELL0BHx0wBdJPn1/8o/IP+WgsqQsZXVrzssAU17oIq0Mme alvemWuWnrsBdFjOZGJDneBA5qDStUcclW62anv6oJZUAThOx9HzLsXjwYKq49dEna89+4XGR1SS c+2fl6n37vBebY4V9OzqRAKyUpZH0PhUsYm3/x2dXg93p9xZRQHFytM8jRpsOJakQK66k/gZnxMB mepxsoPQPDn8bMciMzicdBmPB9ry8YuRiWCAnPyAGlFN3kUM6yXZK48pDgKeynBfp4wvpGJzhMA4 AwV3MW8Zjg5k7lSB7pMJjXS9KQFxhsXgbtjLEOC4rxpumPicYJoy33Vychi4Uam9cl7VzIeCJTLm KQbGv9WJcJGDn6fPU8gYz9YKFguT+QluUgQHOis1G64FmqcIGM8CStaW/dzanKeBu8upAKA3oCU5 HdW6boFoe/7QzLTsWxnAH2fgYwLeKjWcc9KLdU7yQThLu5tP3/kfPB5BT79dQc7pu6+mZYQ0FUru uocAMKbKxYmgiRn01GANq00XFeRO5ikyNuyFSTWDAF3D1IhYUHGplmM5Otzjhx7ZYG17WQA4CB8T NVnoplbrHcQyzDvRdAXJ1xuP/+tsYlb9QCW+vBwfUTneMxHeXZuDveuyDGHqZW3gAc2X1lJUjtOp hhEc6Y5+wRvn3B6Lyp8KU3IBMDCoQhwUNuCeVxA8KbgbESiuowngLgcUrFuoyjn9+YI9+zHj+Uhx V77LcXAUUIXYPEXlOItTJ/OwHM/D4V7aCpvdVXYB54jK8TWsq2n+YHU6XoBIjlNmTpFWYXSfZGfb 2N0AtAGYy2X4tCsYESjONJbFDDkbVBKcg41Ixw+UfXj8XycTKWg/vAbXcddTVI6D/0XDgi+RhjcP JpvPNFAr2Z3tR0QWxyuf17o17ugg3ZyUXlBgjvTgnfSqQ80GPTKzgZ0qDtQKHxMsp0qd61w2DGGS BWwqWc3hEjzCUnw5+lRkTqAOmddOIwLGGxV3dSSfJ/SgbD3NZv8W4rJldJ4RAeOkfxDEdFCTuAUh 3/OyRi4CsxLA4UL0DZtR578zULeh9+HzBAk4PiZqHyxxDKkHJn+jqyQ4ZR+1A2e5djz/XxwwGNZQ r/ZghLW4euV1rLvt0p0meal0Reb5qy6sxVUSpKQKKqXbAwZ9CnlAxHzhuMsiEqSCwi2KSGA6kPnG Y4k5wlqczkWgBf0wBQ4fx8ZBSlv2nj+4v+AHRHjBr9cdQZp6Zyosxrvigeo9oDqFUgwXLHZsnQWF 7iNxlRGi427J08YdnX5xajPAwJt8XkBc8htHaX5ud/ScBvDmEQk6yUN4nN3y1NgMTkC72Uc9r84m Lc7PuQcUPB9N1K9my1mgUMzaRM0QH6eN/eQ2odv4VO9gU0a27SQvCQwcTtSMnQunmOKr3dGKcQhU zk268YQ603aKHjOdgx8sbLjQOvDGHSXBM2SpKJxEeRIc1CwHe2uqLigVjwezdFkqpIfhaJpXpDGj WlyZ6XUbkwCxlfdut5hMIXwcrH3CTzPEx4vqxqJQJY5WF0CWAXMC0ugmkF+dT3R7gEEGujwlPyzf pa/8p4qsMF6eg+bpHHrkAZ156gWPRweUzxMFjOduS8W1zVNoqILVNBIlRjIs2Gk73bA1v7PgvW6G WLF608Km2jPatoOr/ORrm3eWPbJAlQEq8plGNOJxa3IyD50d5t8AeZ+iHU4zcKuw1hjyeTyvUTz+ L/IFlfinzloo3myeomqclv2dDnrWCB4uCD53je0GGMgStHmK4XHVlyacro9w+tzhYapVCKpA8VNk RQ7X3bOFkDe8Hw/aRQnZDCkqnKWyacdeNqPPyVgdXZ5G57Y68XjkGPqt+cHfE5Yu93CKynGGzMDY wfrl5xV0pwn578byzcVZmTM0M5RZaNFS0XivwFDJNKK+7fzZz6SRVZCQpItmDGqZpxU87BsHu44i 4LZQRmkQ1xAAF8/Ds/0qHg96nO0bHIfxzETysk1TVI2TCI+fP616OnvDfUPbMvNetHW3UZ5mRFFR assuc5U73lYwHFTA+oWE7MzfNJde1K4T7Dxoxb16YoLnjKrxytiyszGTDxIkJiSdcJbO8YPHI4Du 2/Nxw/0Cl1GbpYiiQqPqjkgbLwnGsFwN3DucolJlKY5ZiqDxVXWGp/SMTnjaYPHUISHwqRItvRKq vlPitYVY1susp1x6RrU4E+TPCTCahmz8gNIZvIT1Mfgt/4UT8BQqtH2/J1Mo28wkQo91LQ1Z8NjN zo3XTxno3hcz9DSs2mpbXiplP14qZ7+Nc4Gj5Uxb0OaTjglSF+uBc4iuR31P/cGMQHGkmJ6KcDMy iYOwudLIIceP2Xw8YoV9+z5CyTZTuu+5iKIyGUQ5evLzG9EzdjCV5tIomDf7LEWFuJwHdm3rGZ20 uqBAQwMJEOY5TKoim9NZR2cZVV6vrhyRecf4nIAEndU7yOodACeQFS2Mi9hOWjVXPB+tpm+2OL4J BBI+T6Fqc7JYmtPFrZNhoZingoDxSzJ00+cZO6k08QkYiK7RVZsVDH/ImUAxOK9V2hGiVD8H+EB7 BdrHO0/LvnGw6XCzRw+n+2AkQ2i8eDSdf4fHoyI8fW26hkRZmMhqmlZUhKclU5DlXeBV141HmsV9 VNL1UVkRScUyOgudb2z0AzzN2iVIPDUmaW5S/QCIhFQA3h7uDiKLghWSVDbJhbzVadAsbXAFOUut Vjwe+Rf9uqoAoYCLt81SCIjLbXUPB+dmH27zlDw8sIHwZhzDFRbhsqQtRZksHP32uxB7DnQFNA8A Bv6aw86BWBuIvxOeoLDGx0RgCjdbNc8+GFnpBD/3ocwbWT8HHp4P9lz5niacORPXaZumqAbvWkxj 39pyrls01eGGF7ndaQpJKjLJPCWLYSpyyKGNOGETVFUgVMEPWGTyBUp4g9QJJum3Zlo/DR8T4OGU SA+aF2mQbPMcn8LDz5+Dj/8XKAVBFH3ek2lFFXinZ9hW6AqRue2EVZgtJUfmUC5olkKauCG91YJM 6w0yLbBTO0cgoRQAHlNpw/A7gZU4CEdEnMpDWF0hHk4W/YYBHAbozrsO8NZFf4K1FZ6PWIbf/BQk 1P+gfejzFJXgk5lRRX0DclXokwR8IJ2t0flvIdvcBqSsULZpPtAlPaNtunMpZhwW64E5TnmQCTkt NulTp48D9a1G5Jn6ygE2J+pcYZEJ+1YcnbzwbgQIUZ0yU534CREo/t0BRjvolNgOYq6oDCe/u61p Njz4x+XBbecSM5yj0poVmOtfFiqlpDu6QmPiWgdfCViopGLRnbAuAueJ0QDrpuOe5W1f+S8yz6h2 UNV5L78I2NJ1hd8z4Khcn0xem4ka53zXVFSJo5kOcG44SgDah1XitFEyiQZMITVRYSW+FRjVtAdz G0/1lBK336DYLsHfQnnLnaG4sE4t+P5Gvch64YUWKtx2JWcbrGeH3S0/lZ5S+6xIvPn4FIjMg3Sh ixKsULzJXFwEDzg2N3b3BdUtD4nhdnavWyFdvJuPRVl39L2H7i/xoX6uZWcKM8Mj5mQEYybONfe+ FBXcr/BB0Z2Fkp/F5p2Yr0SdziEt0fQAOreianxd7yIieZOeYd6NWiFhnLD4KMO7B0MYpixUzAT5 3OtJ/aLFeExR4Ybby6RR64HF24AJ7WKn/Pw5QbKWLTQo3lhOKEOaW2FBN6OvHKSLKCSq8Z23mr3z YIqUl3GhB79nxCiY34f5qX5+NvAQm6gQFcdjsFT2l14XW4UmKvOaq02wWjVRYTmuE+pcducdbaIK MrsR4oP+AXwHh5KiBp/JMMvPePV5DUVf6BUajcv6sYmi0pyiMnDhoqcKspXweFAcPIZ9PzLDyjgK bKJ2VJCPSvOU/ahc00y2onDfcHwO5Rirgx36qDjZaT6jT9T5BGlykFaDUFalSWRQN7GCACU6oqKY 8x0V5I3y+6T0gwTDYy6ilHAvZO+gTj4e4HOXDt10eUap68tpvxfkkAqRQrenWzype6uIiLW80lx1 m3XRDn1ULAGXgeU2OtoL2TfStfDCg1NLoXxxysq2QA0ElOu+8Jh/sEPauDQaXZ5h3T3DekGAANst sGPf7xW52n5fVn2ZFiV3NYW0caB6iCUw76Jh3Ce58kyP/evE+TlPYUUuVn3Je9zxwpgdyPskWrDP y3UaeXXx3sCwiHWnibnG+JwIoOPbfOftg8gXZ2UVZsKdFbbxeKTc7F/LCX3uXqajvTtUbi7Z8qBY U+LI3tPJF/2eTo1CY05TSFHJUm6WvO7ouh9KaAvjNNAL6bopL1RrQnsRAnlPcfyd8Dl/uolueTxt 93g6FcE5I0jI3FhNEUflcYUW145N4LuaQso4Ba5lr3u/yzm5okX/SAM6OlxzmkLKuJlBD3ELqm5b ZhgGDhgWLrkXyECgPGPwaoXyodWHyYN+Kj4mqJ1YXXb5qUB6/VMUHIk3EeHecSoMPB+RL76BTPCS 5+PTt0NDQ+pb8Rd3Scu2eD8s4+Zau8p3IqcppKgoarMpnEWjM+snHB4nBfjnZ46Ui2Eq+GXhxAjS rdsUdPvCQemU2SJv+w7ac2eOZhdFJS08Hnk8fbvy4CttWPPbLIUO40xA2O1a+29ZFtHjqV/P+kYm PGcpdDMUWFBm6nd0nUYvY2y8wXANzn6tWzDGOn97/AdEFMKrhLe7pm8cyVsT4fDcfRDeW8skZwQv HD7+T/aceg4AfNudp7AUpw9JHc2TRypLaG66KXqPNt2dp5igIt1Bq+OOtpo2bUeaXJ4S3CTp3YOy h8GtOM+b2xcV5jzjc4IKk9LNDaNWG7ScVqtTTr6pDTz+T4txTAmK8HVNH3eIixe72fk0NVzqKd3E 3Lh0M5F/iz75jitxnU1FeC/Hy3dCvYRqH7j4XlVmDjibzjTBcA4XR6en7KkvHKAq1JSPyRxSDlLv IOqUtlKt1oHHI9HPNwN6NXajbt0UleFtKIfbzEKhKKu+mMB/sKOpsCriYorIKbNoMZWW7ugvulkR sIS4bUQhqFZVjluBwBRsJzdyAI1FXzhyUKF1ShN7rjl7Du88/YdW5sDjUdV0lfcEX2AwDNaUzZN6 sa+f29n/5Wf8Tx7/vM2BnDLHEviLX3oZUMD2blBhihNnzG+OBqmc99spMCu5vZgKMqkIqWCLFhrN QC8DVg8Df7Z96SCrjS2W1mhgBC+kHyGCuC5Ad8yKaKfNHxGRV3+RMvlHBvvU5yuUcfKUWuT6/o9S cwk2saIL76nwCDm/Uto+X3HKpmR3VkKlp4TKY1XkCfHeMstCVAshKDK+z/8ZaEQvTxIpK3J8UuR+ QecwFHjTkyOTuFnKUD6VWebzwd2l/1IhgNy103oW13tVjtsLCoRcq6dt9jUcXWE7RBzWhuQXm6yQ OG7Xl9me8cIGSHlH5h2bqmddGEeMiSWZQZWo+93ymLABPimYLNZSK5Mkts4c/ag06FDWsnwDysEf EBRT11RFoUrjZ+yrbeEJHbzdcWRtYZtddYK3hbPM7Lvo49ZUyCmM3hSricCOj37XO5XkKapwQFWk 7o7S5MvOaCm49P/QxdTvegpFSGEKEOUt+Awf7NjqysVmcB6f/xdkTsU5rCKgR/O5igp0iu8QaXNh u5T81KoexNXyzmx6cmGFQUAUreycRdPkaEXVORAW6WLMvAMjQLmAnV6kBbUnbd/sJpMpfsUnRYkk 7J+3mnywCTrHPaUX8Jni85E6P//ahU1U7Wcbhqj5oDBBiS1YZFmOM5m1SpbFEWo6Eg66ftSfZ1Yx OVB55EDI4zpPgPVKlvGElTY7DOxv0Aoywz5UthigytiXjmAE4nZDu3Fc3PycRU1F6PkUPv9f4sqg YN7tCqdASfgLcEm1+ly11O18z0zP1fmeN3hcmquoXJe0dWdZP2q09+GpC84fBX0F0hzhSCR+HdrZ uTAuEPlmdr7D2k+fFJVYMqgjEZGDIJe+rRA9J3Dm81FQ8Dfz4Nwm2g8j+XyyQrMVajmQAuWcTeUG onOFysUmK62cfBvGbissHtZSfBlHm6yzoTciSVCMwnwUlqw8siB5Odd0MMXyvSoXpoLzkyI2Cyot yl45JOfZnb9IMZ5d4/P/om3iJIe2aLf6HO9R3b6yErmvGdQoF6HKLTneed7q3YMVI8+VKvFUaSbo aFfQUQYAq1nZuzr3nt2V+rIqgPmJVy6qdSckKmgqxS7kLLN2Xj6IaVfPltD9prTB54MteAktjCdp 5lB8pyoq3klzPkfIzS5b40LDgG7txszQOZuqEETX6Z6ypizlG+ZSKv4W8PLB6X62fBuU9cOu67y5 ATxCNHt1edW/8584VWd8MAfOFbJu2NiFNnby+X9Z+/HSjApvnpe9z1WY1zkVa0qCn2UldM/esN1I KsLeN4cyJLaYhU8zEKY9IMy5Y4KCR10HaMFVEASO5fzDqHMmSXjuDTPNcxDYiaOZlkeQ09ige/PA klRlOiafj66Ev9ISUGihvvOpChnm8qmZ3YEYZtgawMAYP1lDyfSIUxWxW5KylM7uGnc0qlRHAUNa KvCqBtRTfRx4Y5AayXPK3P1IT8x/RHaywY5SygZj3pUxZQ61SuXzQeF+Easq/BM2OePuwCC1E5bB m62r4v5QPRkWCo7TMifp8+2qgQw5jO00JucpneYdHWWA8g6eaVxV5xirdoMECatvWm6XKxeioowf 9KeCONH8tzEm/Udm0osp5Odcr4PPR1foX3AMQnxXezZgBKnDyBIi6N1sqiAJN3hvTeKh6N2rh6mp Cst2OWmPoXOdo6YKBoi7WtkOx7GaRWpMjVlGG+YZT0bQaPaV/+jQbLDvfRC1DF0hFe0LgblBdmeS AdFDoYbaG0YDPlOhHeKqUu/fRdUeTGYTk5Hxdlq+/0LpZxcYM+a6oy8q4J+J7El0UM46uNAVkFCs wtmmY1ek1/CD/sh1Qem2NPiiSjCHIEE4jc3no8zz7yqUXlVQsvlURR7l8hhByW5TdY6U7fuPFmua KpJ4tKjCil0oX0/5GR1aP/cYyDMJrRcEoCxZIqLAGgwXgo+ek6f6tO8ctZFJm6pr+SCcr6XqaphV +HxklvyNrqOjVZ+giRwGeBKUhxzs2iCN4kwXMndcJ3uvzWGC5xL59/z+8452ucnUPjUKihe8NLZq 0AE3nI05BsP9Yn3Cr8IIT1D5YWQqsC9dsK/D5sWyJjqf/9exzmsjT7ybP5XDEM8kC7JmPGroGXZx OMb7ynSmaD5VkSHLsHpqCzvm6FOFPUcfWxq3bpxcmKpz0hBkYw4VorUUgo47tT7pr1fgKioWihcL pyJJWxkBNVU+HykZ8le5Ds+vOR+cLwjytAgdZIwU53GM7ZYH1NYJjekopW2uQpzdQs2yUnE42sHZ G0M7qeYfYD0wgiidrYelWAprKs6VmEG92ZeOggIWJ0mxZqV4wvd5u1YJzVcrfD7KDfqlZwDXrOTn tAop6JUT1PcyAiP47rau8jATqcamhV0D4zRPsczSlpgo7QvznXVzltWWBnvWU0bI+WAOAiEFlJPS 85faatqXDq6Bg0Uo5XcatK7O/Uu/Kcyf+XxwuSm/vG5pHZ7xl7TZChM9O31cK72tdGB1lqHUzdKM WAcWLqOarTDSc3VhVxaXl5+4PBgTAHVXpCcIbMpZWqCrgO+Jkx6NutvpavalI4ShU5VGJ3y6fHM3 naqwLOV2nVX2yUGqJy7dv+JxYMNcnzTdMNWTZut90Gnif/xHLEqhMVjLJgplKLfNVUhIl7ldrioZ ODohHTkAMysKrsHekNzYM1dAjXhvRZ/IzdvYFMxhrKco6Sw7bNDhXmYhmR07YfL54HZTf7mSrfnD +tWnKvRo2da9SbYJW0vmhg8vbaGj59IM6FQHVglL9m4HVp539MO9VXjmkUZ8/haILWUjp6P+RcPw VAfAsBwSrcm+dKQ0lqdGVgcVRiSaIWTes61wDpXNHxCUovcqWHRKQPz17MG/eTAWgUqdqKSOjA5g q4GIaMH+sXUVc9O1rpJUxxydm17WuRHDZAhHXwZ7gfjx+cEwccP5XAYtzLcckrZ96YC9wKNq5GxD 1gLpcM/jMdlL1jf9l6EyX5toy0IC7HMVpgrRxY068mTpAea1cc5g2jZaGmO1VmoO4j3xG+gu2HVe cfS5Or/CwkElhOG8B6ZscFEDD7pQ5XlJQ5npATnO95R1KYw74f/D9+uPIFzmPEJuvSZ/QCQyqv+n ahhpPudVhLTTS+281A13AWL8MGJaW5cRc9GYMONzSRGSWy13tLkCINzBQSSrMZ0dnRXJCIBmIJ4Z 5er1MldbIsz4zPTnHkMhJyM7i2Ei7In15Sl9+XywrOq3pRRdYFJ/piqq2zVV59q3nLGX71RVJq4J 5GPQjaYq9FEchsa0ckdDYxB+trKC9MoZYY5gZ9q59aC7BcsEN5Va075yxPcgIWb37YPw0IRO6tZM bT4fBTH9ojYiHm4+l8Ew5nMQjGm8LYrxkU06Whls4xuwP4sqThgSa2+aRcIsDx0ttXEmZ8mHOrWV JautMBwAnZ22X969yTZVITWmshJdDJeHyFL8O7jrdb4REX7C56N783evC0t4oHDxqYqqdsi5sf+q 0z7aNo1WhavttHtz5t+A9+Yg6vOGDIHNeEfnfSwE7VZ258/ZfQq6JXcp5OvMjsRyLChVVkgm1ucE r0AixjuLB5oNcz2v1orIKO3EzOejk+rSr42ofv5cIFT4XEVVO8VCMBh/5sq42DirPGWXeYE+V3HV Li7/FtFTpFIVDA1YHKOKYM85tmLVzm0QxOAFbnzBew3IJM8q/87BsqI5AvIhNPiyoiG/llXtfP5f yNUWdvOzkFdiUxVmftIIvm+G24rCV6YbwS9Z4Yld3MzZLYehn4YYn3vfvqMtq4U44q2pOlXW2TZL UgmEeHScqe2qjoysnsPUz8y4z4U/ng2GsQ9s7h/H2IPYT2m+vxrzA+Llq7LNYe4nruSghPblGN+w GzT2fndKaKOznWYqKthXke2Gb8SR7gaUedIiw7jtBjRc1Fkm/YAPBJXpo27v9p0DDgPjBzd4ITYI DkUs9FI+09x8/l/OZfIyKb/QmDj7s3J+9k2XH9cID/bmTu3vyZ3Ocxj+aUbCmzY5NrqYDfqTJbcE WJgWczOFFSw5oWergcZuzdNe/UtHOQwoFqZZwyNag3eDSkXFUmHVM3/Af8lsPDtVrcxnumI1KY72 JNmRgj22x8eJEaBG16wOXsUpoFvpTEMWSRy9MX/OlMlGS6eqtE9zE+6M+6JbCpwh3G9KjfkgBxQi brL6QBvCgMAQbsPNkFra/ixwPoIgUCPFarp4wUHOWr0mizmIArVgp3O2Lw8lZJKh4KvRuCVp6zJI U0v6UX+6ngNpuaOTiXADGJg+0BjOJ1TR+rDQYKeUfnkvZ/jk6ZMCWJR+Sn0pghf0CV5K6oDqgt3i UdLkD4jYRN9SJNDgAdDeyYqq9iyHeFkF2T8ahFwaI+NxdT7/UBy/CiNBu7wDUxJVm6P3m88F4rwh eLwDb6ABASYL7VJj+MGCydpdjKblJ0XyNmUQQdmvQTBDbruK09eBiwapoFghfnPGMoQyG2FYd66i sl1u+lATGHo1HParPKQNvepY3bawIn5MUxMn17zueOnsAMIradrnA6A2FIZc2c8H9fFMWHqkNt2+ 9J8OnsNMq7FKFDuPjiPrBhCW+AP+ZWGSTekFD89nZYUO6CRrL14VZIhDBQs5fdXdYdFHnffQikt3 OVYX05mWG5WWER4IPRdRONAhm7TdCAw484SKonK2DMDay750ZORJnlpi9h4HrSzqwag1zavz+WAX rl8GHed9uh7NTQ7zQWl21MGyNE4fDBYcRW5JbkswfGn3yIpq95ktyEKqG462stpkkipYned9OM5d UfzHQWUYTc1KISYj5pUQ9zAhtNNfCXIkH8S8IjJCKGuvxeeDgjR/4wzMgUFQ2Z2s2PWF7hxrNQNG cV4agMUDOfP2TGjZjqzY9sVy0Ehw18jJQuU5aMSLrhdiezO3zikciQm1Tm9Y3EzU9sqi9AUpocrv ONcB3DExQLYjgQgiFLjM+rk58AcExcO1jTcGIAKKbsp6DpNClbfclsesI1OheOeZC1x0BuSTqCoN okLhkMIDHnvrjtajR1ZaWSgYOiCMrO0HkiTMOeD80tmjVyoYs3dykBSKm4pSO6QtoWiTRWlDQrz+ PGAzBFGh39fCKknXOdrz050Is0IpaewmwKWzbu9OkqlpXnlJokKw60dFvsOyjG8qHHq7hcOprFHh gkWDznOnVQWZH3BdOP9+krftt8KhKisIC0VNuahcpiPcBCMi6+LcBtYWr4Xo0odxoemX3zdenzNd X88c5oVW0tv7vHPVqLTWDTqR3q4uPZPEu35U1CPUJaeNZ7yUvgaYnUm9aB2kmcW9AnQ2aDoGVpnR 1JJ/5Yh6xYOqawAGrGiZc13qNelNWBZ/QMR+9Pq92OE+2g2/ykFkKN71vDbzhPifvRPTvUHPZPVo YwS2dmCoPLVl1SXM4WjLCjvwXD8WbarGOaaSBLobinh8OvzZrsVJSvaV/2p57U5iHwdxr87PFL6B sFM+/y+4nYcc2o2PTXMOQkPPAQPkue0xnM/QtOvwGqzty6hqeoEVp4Yu4whZs75d8iNCdhZAUOZk o0vbOKtzAw5adEyDZsHdhWq17/yHdSUa28WHZGhfoVO5kh5zmBv6HFaKasCCAsTvc/WnAPX8Nby8 Oi9b9xsEUdTtqjaER3oLBsmhX24LNd3Ryyu4fzOGiTZrZ7lzk86OtgDU8w1tnBvRZ7ecMDxU/P++ lt1yxg99pioI80TgQEtu/AHvR/va3/661Jnk+pzsYW7RplxJmY9sQZfmmSCrzOaT1arjDUGAKJii WlBTfsQcfWFBrsZka0wWwhkVwF6pla6wb27t8URVUn0OMkT9aCcXnkMysxMwGqpafoAbwhDRh39F cLTzNZOuf04Oc0RFK1pqnPICnU0ih3q6CX9v5dST2Wcr4spUOX62sdcd7cACUMt8EcaGTeTQqOsF ryfa6MCIyedqZ/vKUdOLJmhzFh+0C891Vo5fZUO3FESJKon0a66AdrIzq6n6vBZX1ev2hNadZsr+ kem9NTufAWmgmqnX0qoi9ULvPt6ubbR5OtfahZAn6uFoYERWKfIcEu74yFh71HCMgn4trCr4QHIh pt6Sg2apNoqfSKfC0y9zVOGyKzwGZzQrUCRBs4fDEzKaIjIfZ2JDnjIARitLrpT2NoBhMayKiymY om4B9UqX0+gkhvNXPhNVaDK0YIlIpPnsbPT3iMwTilHkBVr8+Ji3OYKVLx1hcHbboDlKBU3BH7nt fl6rqWqeSpwRrTrE2OFmpDl6LabOU1RDn3m53rFr0YmJhKs1q5PTluc4vJZSWEbDzNHzvKNzs9Fy RnioLBf6lAX2ooCjw6B299uLmGSxvxZSFfQhpRNQKp9dBnV22KmiWJAgW/zzWkVhgrcvI9o0gFff ZrYZeq2huNFICq1XFtHrjRM/tbl7MJ3vYkfSawVVXR545mKOO3oP4rw3V50k78F2mElMTKOFKyLy 6HCJ8xkCGvB5rZ+qgS6bSioMUJnIDhXrU4bW65zdr+UTf1tfRdkKzYbcRk3Ra/GEhzZNhepD8e/J K/Ii98Gqd5xR/F9LJ/yBxETfQ+ThPfbdaBMpWAhWQDTfZuaz2cPhTo5cUTA5+5Wb0h7utXKqzumo i57WHIS1wCabXU4231/rJjxdl82ReTi6pxAjyYI54l+0d3IvtIx68RYN9ou3aCDxURBtMEceG2pp RWndUPp89m5LpC9yqs5RRBB5Sppb4AzXCHqrR5oUsBrMkZy+aTpvg1iN58WWLW19lc9rtYQlUfv3 OjpbjXmDmqPXWgnHfKEWd4/b8OvNt9qmzlMNv7rMdum1UsIcdXnGNzk0c3TGy1hnp84qnuw5bopK 0ALnlLPdyLppd6/xYvdaJmGvQRCPhGTFPwPK0sGCBI2lwKuKb/k+R+V5q6H8zNQeVZuj1woJBzZ5 QVXIHA28RHBhEEE3o3TQEood2K/1UZXxH1E6MV44+jpCa3ei2XqmuiFom8fRbDzCSudeG0/pTR38 a32EvwUbfLCr9EH0DdCEKHto5yT/vFZH1fg4d4463CxQ0mqOXjFN7LVNWGBuP7KbwokIPFVXsuXz hrW99opoVgtTxhWurTvaOsLJg5BkunchK1xsoEmDcaC/84dRGsYhXl1fOJijzRCnKsfvuu2anwoq sKE/Svm8opnVvLM4JWRIoQRFPLVN0iuWidWHFtuZpObKyCRkvNN1rKdLRHB+yyuSiY+35ku1yarP He6sogRvUjZf1rkyDoPIeSOoKCORwHSpeIy6esUx8ccQ6NvZTqiELHhoox7jOw5mrp9XELM+cRbV OqDsbFRiOawhozpbplS7dteELDHSCaHUcn2EusfLvQv9OE8WBNbnHb1Jhdy9CRIX4HGydWQ8we9b AMBWvuHM+kV33fdaW8FC2GoKIRgeQgAX+sLinlZw7zo/bLn5XQIg330wTUbzFBXbdEZDH2Hc5WSm 31hO1j5uaJo0lxmF82SmXaLgcXQKUBngllEQyehvJTdN6gjh+DmoS/A+8aQD47vMD8d3lSCS1qdL HalEH4bJ4xWlz/q8q/xYcE+bJzy2mhJHfZ7eC26YeqAOGPC3N0HydJOzrGxS8Q/AZTJaWXQ4ad+x v+2jt1uQHT67TM7OemqkcsOXCi91kYfTDeKjwPHzrvDDG4eWeRPYqA2i7ENZUiUF2Xw8qJase2Db ddHk7E5TVHWz49j7GI5cdtl+E+Tt9VI62c0VoyWYpq6LSVIvU6P3O8+dYW/YZ2A5bVROguNQgZwq +bz36xNqwTLx8y7vq/ICApOT3DsOur3BN3RYDia6LNH5NPx8ouM14KW07q57r7yBnwkkufSMXczf BSZ0bdrplBmyJ4A3nCaeTucWUu9o9zeETxTmzgG8Gpt1Nk1kN9FVEF/Lvi2Wad84OJwmVTLAjjBA bCWAt2cm98AzLwGHC+ZJOrJ7jmfSOYtXlu/SPv56TANb3Y+nsW9p2WoxZkaGY61hAe/1d1bvEH2V nO5oEwVZO6KK5Xtaxmi66A5KPwnFQeNgpzhzxz/vwj4eqLjjQpzkgxiK6KKysYp8i8+7ru883i4c QFgJ9NiV6TjMeXovwfGpvKYgBtSIBs34GaBHTdf1geNi8xTV4EN2eW3Ls4Sji0VhSbogYkfn/PzY blkynYFpOPEacFhFGGf5JURFeGOvjgoMG6xhAFOfqrfd/ryL+urTL7ArICSC4M7ZNEVVOG+8fefi sEknXC6GwTIvoQbtgU9TVIabTK1I/KLRCecFucR4956qoE/AerwWF7DFFyHzOW+wBUJ1+Dnv0zTo X/0I+iznCpbGyXTanY9HNaZvu6JvBw/fdM+n90ocvi6i4xvnAm27ZNrHc8ak9ONWVMtbBVEpLtYY QmWf0dETJu0UwrmQ3DVde3cCMbQzQ6r024BSCt+7mI/nMNfRrHcQwjRpQswl0vLnXcuHXziv7+MJ xmF93Evdu5YPxxN7mpuSH87Tllu8tVSKw3B5eZcgrsa5jmYu7Y7WKYdF/GKmHPi6A8emcLiaWOcx i686LX/KgyqqxmlutitrTQ06nk4RJmFZJQUjKsfzxVBEsGtIxnIM5V3ERxBFEqt9iT0AXqyZMovL Q4kJCa2MivEhmmuREaXGq/NAow47HA4liJ+VWWVBmDg2KBSq6QJNhOnfFXxYD0RRaPhvgxVPo9NG C2yK/HkX8OFr3uWkenT97FXvNEW1ONm90Ctck+9iiQRnmlqxti+ECHY6vcv3uC5F6Vl2AV73AgyK QkX0FS3N+jkfDJCr6AtmpEqjDJ/3eCJq+S7fq7JrhWSEaBM4yz/mdUMKDKHwPE95/HkX8NXHc9h6 BOgkrTn8JH8X8FXXOjaRgBUfvt3JJbV8Q2WWB/S+6/eqGkGUDM12R2/PnXdao2Mo2nPgOCuKoKJM zKhCcaykh2Ve9JWj+x19BdV4IqmK8BamKbENiiyC9XlX79lcP4uqyMrCK6h38R733pTjxleujF/w 4ARo4FzdTqp71+5VE8QnhAyNO9reQ+dPl+0O7sXZ6MxUmQUcfGDJ4+dcHh7LDRq5vEv3qvGAz/6t yQeV5IhyYrI1Gsufd+XeL8iAF7zzn3BU+jxFJfnSzaVlrzTbKF6SJ4ek4IHqXPx33R7PKJ1NXbY3 HB0NPxXlufHx5gJDHeMngjQGpBU/cvKMmk/m1btsj+uBIhhYYHEQK+7c1M6fnBXQKGiqRBU5uUt3 mho7NMVbBu+aPSwnOihtL70BHTixPLHlYHgBXp5aThEg3mUZ/xYBkhtwzbXMdnEVdaJnVooybFcq XVD9LF/6ykEJVXm1s8C5Zo4elGUp7/l8xvq8K/a47H9BmeAOjIurvAv2qotgavOgubMllkcRdCL4 6q2w1cjl9F6Q4ywXTrClM66upIMKrcJ3arGCgux7ms/GZupahqATGr4bgslI1XfBHgGkxPOJFScH 8Q1PBauo9bNG9uddr4ffOP+GM0FCwHvQJiosyWlLt+iXoqtwu/ZlMzUTzJYKR2KWmu9yPZbKXEhI A72j3/BKBSbLEuq8KEB84s0FcpifRc+bQVqYbnhl6BtH88TIBvy0MyS818WPBvuPrzy4X3ze1Xp8 4Xz3D4Bz9HIBqHexHpEVAlC9OWQwZvVzvCqRB8jKLB6V8q7VM9SaVDBBBhy9JE8McWI+GLiGW2De GuggzAQEdD3ICi4S/MZRST5pAwTrQA0mqkKWOM+Xnfk1g/U0vxED6MKHrKw5TVFFjmBFfoIDUKMm AwxgpJx9mmjgyml6r8iz62TXWM9oFXluFKOx71vOWVXMYQmF/g9se+Gacq01yPN9F+lVT7zasBO2 gbOURjO8ADStz7tGD4WqSa/xS7HugmdZ8ovLu0avupH1eTtbVYAP8eMJvUg7xhN8O9QdD+Fxy8GU G5lGn6aMGEQo0dAeP7cWlVprI8V3gLO382PuBgbO512hZ0036Pj5shtPDCb+ENViMMvnXaBXjTHK SaGU8dxv+mzeannX512yzvDXGvKu8p2lvN0XfU/3wHuX5xHwa4gaypSx2ahZGucoGueGx8gGyBJq Vh5dg2kqXWDQwvtBRDFZBEXfOJgmtuuGiPYchKqA/6qwxHO6ft7FeawJvt91QJ07ihCbpqgWl7Po biauPtuvFxd87t79CEdSn01TyEZJtpiUGsrRjvByTpBzDxvkWsBVT7fgDZwWVHFFTPgJ3oa+cHS7 Y7Ez1x10Cd7Yf7oEl/J5V+X9Pwc4iMDndPLK6V2Sh/OE6hZIZ35c7NndJTArir6wcuIsdf6kPztS U3QLjbblFhqZo5PXhJtG38uiZ/ErZyaDXewps7T+vOvxeGFh0DOTGc+ACqkLoytZNvvnQC2fdzke pvlWmHqMbTKvnN7FeNU9hVV/qHNXrvFkoTc35iktJttyNUXYeJL4Z+No8dE23WgwRBp0fj0LCOtK LoITAClOdtpEWkIvFEufdyEeoXHyv1RmctAJ3s4ukJVbH+XzLsOrT5qMvefA2uvNryvvKjzytogV zKtH7z1dXsqaLtJoCrbALEXAeBrG/JKlN0dngOG9zRcYIhvSYBg6hAfgQA1ZsJdrnTF5XXmX4GH9 8+juO9/BCAUGmp2/aefXjBC6/DVNuAf22e6mi8pwWgOOJDeIzqy55TrYreBe3H7Pf8PfcyEubv0D 88btjzduRX9ujcREMLyaqLgH+YJbHlzJc1tvj7CTbMJ3+R3rHpLkaBCoQdATjH+W8sPx53wvw1Wd fjMvINq//YN36V11hRQQAac7twuMz1SL01NggcEq/F15xzedhRJNczBl/2CSlwowbg/2D5C3Xg3I zADGmVFQ0M29QGbSNw66wGwcjEUKxqC0i6dMO3uOFtuAkPk9o/Lye9uBX77y7W++y+7wqhONh16H vNYtWWbIl9ojwQDnmOzuXXXHfpT6K03qO4627eDig9Rowk7nj92GZNXnX8LvHPZdNSGrwxi8eEl+ 3kV3PJ4oQpfD1gTphzRu8HeBKgInODejz7vojvff77cdaDKzda/D3yV33hftbFgYlunxKIyL9QKT QS+aqAgZn6oFajdrkX6tRUo/V5VOuRckS+eo6mZyR0t+8AIdJ+iPtcG74I5IJK3IOtOxOSSD52pZ yrva+fMut+P3/OZf9HMEIFTFKZhhIb5lEpWd7LwGyyiTJpobYCnQShVyMMNCXN0Ti0vV6LyC0SkC 6LS3m5CTESdYIJ4hFbriwuGRqpkGpJ93sZ0RKFBcqmMOlZ5sH8Cw5e8J2OPzrrUzput3q+VcAHe6 N5Z3qR2LLr7wKtVhnDOWNohlOEUIKlCwwhNpARUL6l1px7LGQvjSMzpz/pyX0KFTA3fKzTxpfoBU IcjkgAjCBcCBp0VjrXelnX0QEKdlg9nhnvlvhGJAiV2fd50d8YzvCzDNOsbyE+pdZoc3nvyPSPxU JEOj8x8qEpSBShlIaIzZgoqA8daUxJAt0zg/rF5kFqYqo6hJN8DaxDTEnNLOtOwHeKKt1+ddZufc Z/CzpgbrcS44mylI/PxpPu8iO18Zz0l+fvGRbrPlXWNXLWH0bIqq1xzo/jfKqagcZ+Yzql++8d4l dk853izkkaN3zNs8tUAn8elcQbdlosArEQaAeSKZaJa78ajFeNfYEVNkA2Gxx8lBvW/Ac0kEsVI/ 7xI73ma/iU9w4UVny+cpLMfljVGX43NLfHFSVcBqNarKjS18F9g56QXtYwG+HH3fIbVpdu67BGF4 soALrKTJSzOQOrsDC1F519dVZRGfdZRIfOIgqKANMw9up7j5vMvr2H3wOzBF5nCxnj1fLn0EjOuF 19fts/A9bcIe2QGSqbLdWfldXGcMElxFau539MoAxvhDhEMkUxXzsoPFMig99Wenx8oOTYDPu7Lu 0WQsKg44WMf8VHBJfOg9Pu+6Ol7TfNexEwzr1DXvagqp4l10w+3EAgaCaZYSLXjZCS7NDTHeVXX1 OjysacSn+RCfNmKeT1FDGzt4wQ/542bCrMBKN14SVo1rMUWgOG8t8HrfPtjl7lwf8hVrvivq2NL9 BlQwr7Ne+sW7nA5Pkc7e1hUdtOEgJlAQ5/hSCqc9F1fj3GvnVjfuaFBB3agIGuU9wOZmtYRD5PZ0 BPedUuSyw/Be/ryL6XhIsF2+RebZ3dkXwLLYJYQ+4vOupTNC/leJCVoDZOI2S1EtzmIPlhTu9DeG BT/XTB2XrsDoSwpQaSFfXJqMMcUu4Ggn0xwN+TpNru+wJRUvKuE+2Am0zAs7kRKKjwnqgcRY3sw+ MAfOEggGU05HZ9rxeFBgXqi3qBhFaqLf7FpYiOPwPO+dVRycm6ZeBXHVAfGzM6qBcy0CxG2W+tJa 4mg7Dt7xA3ZZDNIZKHEUTASMoLNlinfbZWRufeNAwWIMOoYJcNCWg36FW+YUNAOPR4SC77KJUcjl XoB7VId38aBLdtOLOsZ07YFMe4qSrAxP6e91uFHxcCDJ+4KjbTleK5Z40AUyKY/PRgnaF7H7K8wk cRYf8xfzYnVKfjnYYtq9qG/QNh+PWNDfszToW94ddeohIA7+UpevvHs4eChFpghcs8RoT85SxFDx rspWljFHw+awQjKsInkwzTTGssjV87dsIkphvxlMQGuQHgHilYb4E8CyDZomOINM3e/qxONRbfmL VD9hTdL8ZOoxIE4ugaBvrauLOqV5SfUFjWu+5XoEiFuTvFU5XnC0k4kpDlmo04Rpz6hyfUJETC5A BQrkhI4SSDUW1eAoDs+urUwopKiKGMj5K6DBpV++FTwfMJ6uKIq8VXR4JlxqbKZClSbtwpeol+Oh PMFpo8y5nEAHmQKr8B5V4dPjnrWgOHqbvMDarYoZBv8rRR0joCIDJjj/h1PwO+3CLIB7VIWjnwjt ee0amjMyS6Uh5w88BPF4cIqnb6YhKFJ97LuiIqkmOSUIb3OioZhvJGS2sbvhczOxusQ8hewUdZdG seqyPNUl/M4hyaB3yoCJg2JEaVe+aUAG8M6Nsfiy6xEqvibtLXCoTKW1VjNuSJnuej9no088H8zT rwU1pgx+fJ7CKnzS2KJf4mqrDw96WcP8zFPpFkjYQ72mYPFhst/xyH4bjgy3dW+AJCpzPRbcZpAs BI3U2veAqlnfOCgK5MOTeflViC0pTg0kM+6bc6nbeD7aePcgr7cdNZ+jPETGBxuamTKxDCST3nPE Uzo1YUQy0UwSTtD/rsQhC39GwwlACdsJAAj49jBWHRZGiLOqwe+AwrvrPTr1lYOdRy/3U9JTdUcV IPmBZ9+2XtSQOhURfkCkaclfa4oGW/lCmf29GsedRTNFGIV1JrM6z5uuigGdabV2LnlZyFOPqnFz WMtb4X4cnWqYMwBNxfKeC2qRhhF4baU/K+wdH10iIXl80J9vvUGkjgNfdQjMmEM+c6nh8Qgp+EUN A9CXqzMNe0QaJw4ClbfgJtjycJ7oF1GZQ18ocUXDkSsqYqjY3a6a5K5WZQrzrbfxUuuEMlfCb8O9 Nyd1kQXHTQVyflnjZ7rwQZG9hZiGqMg1SEqGoEvaQta2+Pi/NFJ86SWky67t17seluTqcFJGa6mp exhExyBg5ikg2a3Zgoqw8SWh9FkZ7Y5OyZxIx2zscK5x9odMs2ajpTRN0Zk0ZVDm6PaVo2sw6SmF diAc1JQqvVRCbEjswOP/ZUEBqP+ZPflbb0RFueh+jY1FNRF0d5nMQCu3jmKDl8LyCByfWlEz6/bG 0VkqJQ/YSROjg1R4DvMFhpuLxbO76A5wgr7xX10p4Lo+iH+BEDoCUeeky3g8kJbfKx43Hg66fC0K RlSVo30KZVRp9tKbvbgZSG3mKI3Qy2Rc6BFC41O9gy7XMC1RrSfynZDQSWj8XLIY6ZPglIs4PSxR CHDcWQ0EEHzOHwr8czPiqpr1fPHBHgKI6ZPrCTxNPP+vnrlM2EA0K77vRsgZ3+Su7mUyhHO1n8Pn qRrrkEva1BojgsaZSghXMaZHpCSnz4HbC8xqEEFDqih8NLalXyJuqEFlh/xBz1CA0SA+JmCu0pac UWAcDBgvOMUl/jn/g8f/S6cFC6Htq2kZIU+FwZ8jXdJTZ7NCTts8FeV4QXMfbrqoJF+Sks0kH56Z eGHCLJ19jDAGCP6hdO0QlknmWZDTATM18La9LAAghI/5q82yZYTMQTzDcv6HRVuDn+EIYfEL0bHQ HLgJ3zbLiAryTs5Tdds0XH6b86CxFb0RjE6C1lJUkCuf+ZSsCofj6MSCAv8avC7OLJ1zKSvzcg2y 4UgN2/PqgRmehM/542hCBCaNZhB3RFkTcJXcZUZ+jkI8/y/FHdWeHeY27VqDRAX5oPwAOWEX8K3u e7HJoTC/q+3zFBXk5lTUigkUS7o5zgs3YNhcMTYhgT9upo/wLoNgc827mnibxccEe46FeM/0UOOg kgCXI0UTn9ICj0cn0/ee4zW4jvuiC9niSzqyfQ3I53JXsDkdFi9leRzViNjilnY9skQII9/c0XPD hUk0gjjVPFjVHd3BPYQCZZaHK97sC/9lV4Rsaw2mPShAMOnxykvwCAvx9Uv0g3Z0/5qmCBdn1vK5 yD+brlF8QB2Zu7eDtdqsxzKiStzQgm7BEvQXRO1Alzm4Fk7d7mAFVi3oEteYgWJ4txuzi8iDjI8J rMEYGsspwdCdpFJAPprm0d7x/H9xvwBaOOrVHoy4EGervF+VaytrOpmnTjfFhBzFpikuxE3qI15m XtdBrQykEeXVWIifAzxnc7Pf5BYAb67oCvmu6/aVg0Kcr7iGsM0zVJYhKoFIq8aCONfrhR8QwAX1 V+XE+qLed13MUtH0pHs6rW2WmPiDu86V2TKEVUYIjmfz+9fbnqPNFORPKW8yVzdK/cJUpTmzyMC4 DkEd6CkJOsZDAScV+Fn5GyCb/ZAL3wpo33Jn37ng+aiL8N0tB/S05i2dZgiPK9ZsJPXF0QVG94vd 8rlM/ooucDL8aYa+hZogOM/e0YWuA0KrJL546gh4FHkVbZaZKTK/JBXpgWdIUrF83Tl9UIMlg7Bi As6Kx4NZ6j5LXWvvzNCznmZUiVN90PHd7WZXmb4u8gWonUpgVNYD4KcZKjgl0mhwMvbRqoJz5U3y ayCNrkGxS0h8K0cC/xvUjEvyXfrKf/URdmt30ESdH6s2WDtnOh4PTqj2CyBHBPgaXj3NqBInxX0k j3CB26O7YALud0EwtalywwrVm9WalusZfd/B1/P8G6qjEHRI/zFw83DeFWj6KCxzMg99HWYIkA/S e9EQsIHzREtSkifOn6Hi8X+d5KQmnlpm7Uu+mFEtnkEFgMn/uo3g4rU4SS4m00DXX/MUwuPTSCrW UFg39AbaqoU2vFxn5ikuCbuAsdQAqKWf/VjQLmrIZkhRoSYRFb2GZFQecM5Y/fdUJh6PDEO/TWdw jsLP5Z5OUTHOqyg8VEz0M2oyY0yY9E0TJZaCP7ymKUTHJZiuBqxwdC4PMPFTpnZqyIaoudx2CLVG 8V3yo0Ag4wOfE+y6JB1Lbj6oF7UgEeWd5ZT+eDzocf662cF1BioSn6aYokIgk602lk9M5VCVaVEb qDLpBKZDPKKoWN+yKRtIo03TqWtmIh8FnMMETQJr8Q62NZwMfqAz9PKJIZ4zqsUrl9Eeqfmgl3vK ayq15bzA8fh/gedA11hl+Y1lRhQV/k6dipJbEzSrCcxovDOUq5ggcUbAuBLSt7k4abRZOq/KUzZt 1uLInSqLpChAIufwPKcYklkvsZ5y6RnV4iawI7sAbeOtWhykVWV+n7sqv2V0r/suCOiQP26jZYay zWTJEM2hub78Xrd22l5jprvlQkNDneCwUrujky/Ou6xUsC7xpjslVTEzf7qiYNdA3n+bB1tfOFJG 8UhSu4WDIXOD8eH4MZuPR6wwf8/J4g+33wtgzoiiwuTIPuYyFfD5JS55ribTbtB/yGcpqsSNLt6L 2L0c/WDanRjLYr7bnFTHQVTeGPBbeb+6csRBWv2MCnEhlxOOPhgAqnM1gcdTyO49f6+K56PV9E2r x8z2p3UwY9WmenVP4EGywO8KCyUTt8IJ1e6/M/ZRWcYnmHd0WtgkELHJvpgD6Z2q2ZFXNGD3nOu4 XWAm8eFz/hDfy8nABiMZIiaCR9P5K+DxqLv5y2c1Q5y1nSy+oiocKQbYacldntZ4ApJq97KJnqCc phWRVIa4PM1EP+0R/TBtbcAqiqBTSUtOUIugMl3vEM5i9iCyKFghSUXTA/TFBs3SPpc5edC1WvF4 oNr8NUugjEwUqDZLIRw+lQBbXMsyp7/mUs7m2gdj6+yzFJJUBFzWPesdHXKi0AfZuedoAmm/KBio IzYH7BL4UV3CE6AJfExkaChCmDgqBNV0gmdElZEAvWvB8/+FPgeOzoT0zaYpJIp3pr/C6cadHPJ1 UFn9NleQDq1pCkkqBqJsSxbWnmvyED9Xr9Up+UHtWhhkjHr1TC27OWCK3Zrp/Dt8TFBbZuVTzztI tXmmVxGO7RSsePy/YCnwBecatFkK0XBUWvjN3K/fTPonc71ytpqpAuDULIU0cZ1IiO+5o2258zug vNQsAX7STNJI5VzRseQJOZWHsLpCNFwtzUX5WMKFmXYM9DdbPFn6gCltyE/pXjSRZJGp/gRbzCbq vQYv/x/ehkDBzf8K/whdEhCCUwwmE7LgVrFVNq1QtindQbX88/qVET/h54McRfLpz/m92DZYSVeW jv80Zn2YPFNfOWhqkuy0WqfJEzKaZAoIMtBUtPeZ7TrxE/5L7QR6Lz0dbaqiOpyeq80c+wf/cXkq 4KAfnEgqYABqqkIPFZHhNjWzNjqhAFeVmUnJRO9uiUI2kXkHD19IyNdNyC1kZOODAjaPbr9dR1S3 Shy3XwokeF/h9wxIKsurJxJa1lb1ZvMUksXlobKnV+JLbQRU4oW5IJRobCidNE9hJW4hGUVexxxv XxPWVygsIU4e52bXRKMjkp6hDyloYhjxIuuFF3qo0MOXW5mD3X/PIYukA95/U8PjkV3f9zzhG6zH 1XCF4k1aQm9K1wXO5V59PSnsddFCZfp6Cuni3TLYhGZytHmqMATBa5sZSMhe4QsPbACIXLn15t6X oIL7FT7oL++iycPlDIDXiDohZoIc+wF4bkXV+LqaRFbjE55h7a6nULzJLsvwQgkt8+kwgYAjvvEm 8q/kL/4PgkpW3q1GR53QxmmwBIdM9FSgnckic2DtZrpHn6XgVlgFZrH8yhFlnOZOkwHxHETkQVDQ Fhd68HsGfIJre+Fx5+eUau2eUCEujv0KgKk6gbX7TJ0L3fbaYKL800yF9bhKp1wVfsTRZiozLWqx 97PQfx90UTn7BncdcYhxoHkRRVfoFRXkKqKQv+KD+nZ9LzXxap4VjwfVwTXKlLwchV++1+AdVeRs 7p/CqXt5IL2zHEJGdxu61D2WeofOhtIB12pk53qjzmEDPMuC3hL6csQyqXFXwSrG0f2DroVBKoo6 31FF3pMSs5ikgbAuejOcF15ZS8SL84fA4wFA168GQYSNQsqdT9N7SQ7iG9sH9XIKwFO3aaJ7iqYJ ElIzrQ+NVNSRSiZCSI8IoeAMOrVm7czf3AkmIWQ8UVFYwNVgv97feIw/2CFxXK5hsvgf04U/sOJe jxv7fq/Jn6hSM+s75ygNCm2eQuI4fu+RxbIAkNkYuaQTarvL+HnV4nzmPEU1uSTjCDced7zVJqb8 vOE8+2g3s/HFKcPcgLLuNDFq9LOjoryy3ITxpg8iX5wKoymp7RyInx1qN7uzofH1kL7Q4apo0xQV 5YmN1CHHCxo8lN38ePLJA0Ul2/G0Q4qKpW2m9Ix+D4a1eAUMiF034T1iu24S7z27fdMnU+c4etL4 nEAgRV7YhqzNBp1OkAJTugFmDh6P8N5fjjMoMQFV2DRFsPgiXFAZe2Uh8M2DXFdv14OOfEROUyjd NG8Qy0bs7cv84pwcA0HBvAg3aKIl3QRGPaAJafVh8gD9wscE1VOWUpp5mwPnPsk8pwrBiiR56NQY eD4iX3xTVHBUIIrBpyn0GFdSVJ8eTArugimkYAJvIF0HwUfTFFJU1Kw7VVy/o3PGEXZbuuyLChqp 7HHD3eFsIGK30FaYUQFeJ/iYKAaJDgWbuWOG8ZLwhOAlIQdp4fGI8HSbLHwxwjcJ8bI+T6HHOLUa e7bluy4Zl0AxnwbSKWqU8xRaGoquStGFj67VgNqHPQNSntCgE+UJTc5B+2HsP1xmeMFr+sYR5kuJ 66SGk4Mw3wqv2iHgjY9Ht7tvqQb9I9OtnXZIUml2axmuJEP32HYdg4y5nFIqlgm8Q5KKxJtIqruj LSdsrHOwtq0oxHM4bSOtnmc6jZdScwOjwqxnfE7AUsmUakwxw6Zdt2Fa3dIQ3N0GHo9Quu/SiVq7 hwS9Q2g8E35KV73Z8lJdWaFzKi4lQ1mjaYqLcWuVWwNhPw2EjevdAoYDbu85bBdpUbjznwUGpIBW x0ZR2VNfOApEWvJRST5IljjKUOhCq3Xg8QBXyb7rcFyDW4LsRZ+lqBAfwjJXeUzDjOYEI3a3VsvA /W3PRQSVqTbLcK1ruvljDXkgM1VSe89t5WyPZnluHUSuirxIJ4bRsIVfOOgfkIUJcMMHAQWAcbiw cPh9doSL9/VNJ8hwQZ/7IgXiBL2fKLz3whVLV2AIwIyfsrqxn0DtzQYV4Ef9ZWgIVty+o2Eq/fzz mWr40raEZerhUeTWF1rN1EKLD+X9bPvSgdCVcwXCIgaoW1T0o8GpWxZks2nzR/yLWM+adJCTXZ+I zRQqORmsgQ+X/AeJUtOYKoXvUdiEwGJ2+YTFJTmPqSwaikYrySFN26XTKHOBj7moKJtzyU8FIFQv TxYpS3J8UmSNRe+wQS9IDtYPPofWVsL2zHw+8ixwoJxsP9ATdroW2kwDf3+O3g4QyBkQRVs9A1h2 dxJrwyvCJitkjndzG5dMkaMjLCjMZ5Pt04SXShNPDEuKWqqfUvC7mesxkQN80l+Kzg2DNpSckNOS ptJhTMYCdEwk3KaoNh/5m7ICx7Wx9xO8FRXnAKzO/qPeVIi5dHdqvzS3eDg3UI9vTZHjuCcnN6lf Ofpl72yRs/AScyUh2shLNvZkRTOcFolQ97KnVIQU1ed8BT7y12WvQIgT0tY/jsHn/9XPo2Mlblvw rb6TFZXogMlMhadzayrSdVNbNofx6pLa6FxZEWwOA2NgdrvWO17YHHfjzSSg88coFN5xZQFZLujl FzA57S7DyEZ+UpRJQgOaoTJ0FCur5gD5V2XVyHw+uM3U7x5xLvRrXu055UPgnLEkfe1s9LpMiPh/ Klayzq8GCGSLXkcfsD9O+Y10tTvabJ3d3cpWHBDIaFn9rbOgsaszE00QkCJrjJLSti8dafEWc95z 9cHeiMhCIYR3Fiqfj5T638wD4Gm75XTnKgTPSWNJUsJuKatdjdeJ2fKAL6iebK6ict0UCsUMjspj cHT+22ejN8ChoDqi5bOFClNMWmhQAqqZHfAMa+MnRc0rLKlVuMBWMZEWuB+LPVSo9TOfD1CXqwsi 3AlNKBbonazQcKVZ2vt4JitZ8woiAJusPMYtH0IIfSvndYx0RysfwF/oMLpnzmvrU7XYrEwBgLr7 nB73snz+282+dCREZ9o70BsO07l2pPT9kGvX+HwUXfYNUYFADRfCO1dh4Y6SAVFTzkkcPbu5wfkW 6ceT8KYn4aXId6Ums6pz67p974FgSOHlxaSEDLYm5R+LqNKErgPlupMSFTWVQidydvgGQ+w0iG1X oa7h3e4cXHw+2ILte1mpOt5XOJxTDKPLDfnSf2Ck47BnaUb/QXvJwxVTzGuRZHjVckfvYO2Fq2Gi GRvIrVt3QbRsflZhjhJpUlLmUTmMDwqQKtofQgDig5SeFdwZ4ujnoOXzkeORH1csSCvTcf2ek8O8 zik/257c3v68331VNfXZyUZYbm+fg8BOVA1S7mdpPDj6TSdTw0CwCorV83cXWIVtd2oAdBxgSG/Z N8w0z0FgpxkhIjj1Dro3Q3krDlAak89HVhD3PYg/JgDqVZ7QqTCzE6g9mBspOa6Xs2WbIgSxOcIA sqLNVcRwMdxztpzvaGz8cy2EDFpyIUQxW9QZw8t66XZvNoc/UhRznNlJg6izb5sPxr47z5NVdrZ1 5fNB5V789rz18oRQ7wk3DQv3akbbF9oju1ae24rFA8hQC9MAun7UnzRFuUnZ6DTFcaon+G7TIwq+ PkpNYLRVx3Ux0zzbpqo0+85RI4uXHCguOJjoM3UYdyoKoQ4+H7wD0/dhBR1O/6qtguRO5RDAxH24 7TYsjn/cxH2Ym1bpoKTYVIVlu+C91cq8o6YKzvArwSIKHLzSQMlXWE4Gzg5l7L69Ubh021f+4wW4 C+PgNYhdlunWwHchAnOD7M7v/ce3JYqq/twGw/DOZfKq7QAfk+Is41SwA623eX3T9gu1n/K2HU39 ZI6Oq88E3VYC1aUiRwbW97TUAmkJdiEM8Dbsqo9u3zlA1hc9tQpNa1hZaFGBv05JWk9j8/mokfxL Sky2xKW/5iC+E3wCNo9pKCvrjPJ43kP+ZPtvtuSLKi7YdaqrqafRTvXzbiy4WpI+VVZPLZn7GHKi Jxlc+faRmTTDD4r2H4/zRvsxDsL5zrsiUdDSzg/i8//FL5mB4vMpq8IEzyXYWPozaotnddr5ysOl aAQMdG0OIzwXkSt9dx/vtZkdGrpCbBxVSl+aKNh/Nnh6FUwgx/oEYIUZnoJE4Rvkg64258yb2dIm Op+P8JhvuUdjIVsudhWkeEIlwlgAyUDJC6rO0M/KH+GluQ3yXZJ+VNCJcNeoPO/oU4Xjuw9ebc65 NSgJxTWQJrqpsAxGupZC0Jk4w08KlhU77nuQSLWd5F7lQyZX0lT5fJT+Vr8OqwYUel6qWQ6SPMFr wNcUm1lMjpWMp59PTe08farOba5CnF1Vet6KqORoN5tW2aAjggy4ajOWJsF5hi8/1lScK5GDerMv HUUFqE2ahg/qliIsQMbH553C54Obza/TqgCLLvk5raJyXfygPvMyCuOojIBbvNlkCUMxV81TT+M4 T8unHOoIcnS6PmKmASGyXIdwXQGMc+Pjcev5OYfkl+Bq2peOelxcV5u8Fw5WryPSUccVEOQw0bPW 75CODHIUDpknED2E3Gn3gxvFPbCMagbILGc/sEDv0GyFqZ5LAR3IOLijI8iIdq6S9k807uiBf05E XhgSspYyNAe309XsS0dNHMxWAzUVA1cQvXaA2yvfbVQ+H8zWE3LGQgp65fbA7WG0J1dkp4sSvZEA Xhf3RhrThaELthI2WSEpfWiysvni55uRc3bF+TKYJP4aS8ZtmCwgNlteezDHMgM3dgVzmO0pW27E Mfug073A4FWRS33y+aC8uoYRS7jEDxJC71SFLi3Cj+kOzV0IizVhMedXK+rqQB7XHT8Ooj2vZUTe 6RkduDpbBUQDAlelK3kLJxaruwI/7QJZhkOiNdmX/oMttDP8exDagfciOULnErYzidTnnbj5AyK+ UP5Vi0K5k+/xXqKqHbySTE9Rmyw0oww/7jRhJSIK2wdfVyE/XYhokf2RRgdjzgYtA68ScYmh+MNk dV4aoMv5oQeTvQoTVX25RHW7+AuzL5GJl7FaTgFeBRCAjsvno778Ly3WBGup3GtzmPAJX0fIH4d3 nFcyfmPZUw0dXptLMfPSHER8AohW3V6lZuDoc3VuS+RtoW5vqK/EzV44oTiHOc9LG8pMEMhxxidD K1cXq7GBH0WCB/ZxVXhHW5M/IJL7l/9TNsAA+M5VhLTTy+9cPvMVh+Tut0FQyy8nZvgdJ8z5XMPO KSnaOdpc4boFHQQDYdaAkYhENDiABwy+EDXgyJXBMWHOZyZ7aMlbauXscAyUNbLHP9cDPh8sq+J0 D3xByCwmii6fqqhwZ0xC39uTPmFIbWjMeRuN5iAfgDebqtBJURXWbHLI52hoDOzxJw57XJxP3TZN TsIzDRHhqLWvrdSa9pWjbhdtSdAxskF4aIIr09BMbT4foTFX9sAaHc4tj4lEDrM+R1b4d3OMwaRs pMa05Hl6qYHroan6R8xQ70bc6w9xD9Ekg6oBAFcLRAnCMW2dA5XwOzyGvX2Tba5CckxTYcU0gQ13 PiILaNcWxQzVpC/6Twr2+S/jDGLYlE9VaG7Og72n5H7UXXdA0mP2dHpMQfqubs5B3icag2KlZR1a HO3mnPGfV5nKExgVnQOyrZDjMWEej9PSaqt2v3LwDpRHPqbCBgFQoKiRRgRklM8HR9X61bxJ5z9C snmnKirbk+zvcvJVBeM1P6vyhUMbKJo2VWHZLtPXDHGJj87rhz/+RGp3R9LrznKeOCXN2QzkExV4 WACaJDGt2HeOeP2MPLGEGAjztarQcayqQ2vn85Gg5puahsW/2mXw5TD2cy6mo6bux/qmJl0gH4Pt FYfGME9uwDj3UyDfGGoOcrzkNFBCE1+BwGNyUp+Hf4yeOtSX5VJCyVfPYfAnjk06uFQfDGRP6Jz9 OMgeJH+iYk9fxQL276+Zigp2pi3D37/5oloXDi21e9wugxlspqKCfVWTbhsrtDys0LFPETUgNQPH uJ3TIclrCv4VA8g4rF8fhXu37xzo2SZJ2JmmUznbiVj2OQO38NBT5/D5f9FnZXS2qWW69+Y4/5NK 0pG6Mz4oWTTHwN49E7zjAFHFHgaAWpYOehd3NIwBb/SFSxtIDK0jj5et5k7lBCN4Cx1T1T3t6nOF GaB8v+OKpMpq2bvsPI0WLZUQrWf+gH95UEpbU3RmXM/z/I8kUPQHvH+jdx9dXdqlr2cAgDZfYdFu bcEq9QhHn6+zHLDTcRlc50TfNDqEUy7qW7CNfhCDfG2n1JoPwkBh2cZroBxLOlJ1FFlx/kZdZ/ZY YH0EaaBA6395mYEVUdezuMKqnX2JpZQPWuOxoy4Ai6bP8nZp7vmWgzxQTJaBfCKsyV9ek3XOhbPS M9vNiN8r8qOEnySKUPo14S/j5lNo7/GT/mo4QzvKAR0M7r+BiJAlpl6a/AH/cj9nZxCmFU+qcw5S QeGrJCKBeZ7jH4fZn5dWVzIEa4KHrutgGAsqu2CI9ssdHcEaBXlNdMjb0HJPSUuZu5ghVPlhYIw1 vKhb4ScFOzHLHaAXH0xbem5RBB1QJfL5/wK4oyuKNtadq6huB28JWF5yD6qxsqsmcx1GvYLp2T21 IoKMkflqFvWq5od6BfvXswIIuIPbNYrscHJBUyiB/AjLhUdt0+1LR29DMtpRQWJAA0vZ85P+oD9M z5n8AcEl54kfUH9+gtT+zFaMuW+J3322kGBirL5GBgRnq+K8sdmKSndLICim7y5f+u567s0LEkPS iSb8Atie6BDUcuEh9yZdBGsv+9KRYwBluJveZtXF0fVsLvUWSQ7m81FF+m0NC/bhQmXkcxVi7ktV aC9G6uvTwGPgyOZYCdeXi7mHKaHLjywLipm3djjTfwrHJj+qBRGFTBpPiYdVAaozzHzKpV4Jcw9j QhUZ3jMzirq4sABl9tYZghz6xecjAGt9AQ0ZduwbZ4hPVmj9Qp7aYiA6qVebaJecBVnmSlUCpZgd WTFHJl8yh4+crHOVXklAMuiiGQ6TjHrCSxEIFiQMFYwma3xlcfqCqFCFeJC5PUREhvCMcB9MZra8 OvfkDwiKh2tNpdYPQorm5amFaaEsunsntqELdDULCnhTsV/IfiqxYValQVwoHB2VCJZ15eFoTfrz Nh2E2JmftvJSCP3GrvlpcH/pbNIrGYz5OzlIC5X0H+yYLpJMF53h1NVl7CJFPOgMQVzo/70Vgmk4 oLb1mQoB96S40HXBPuWmYaZoWa6ZSjy6eSkM80INRZ5F92eOVr+fmu9UjiDuwLHyLKydJBoAQDgR 9Q7etl8Kh0qsIC9U3sGgPTaxH/FqkIlS25uXbxoZ8gcEb8JfgiW6q6cHlgkjQ+sUp31ekUm+d53U k89VY2tQc/UvK5giWgdHO6/YeaTlBH32z13OtTj0QUSSWn5YaqnYV46oH4zC3EsDQEKJTVMhRoP3 YFn8AZFw4ju+EEc7yv07VVHpztqm715dyAw9iF+g53Ua7ADwbQOGpbsIopOJtjb6tXCe8nwUIlio GavpL+Gid04WXBeZ3CCTk5TsK//R8tpAE30Q9SqB91QMy+Lz/3L0Ill50NH6manQIB0dYGhlvD3Y VKzjJVingclowFQvr+LgUFE/SraXYL4vQZhKLpAe2cbJsOeTjx4ucT+LTRUQ4txgqPp3jsr2rS5O 88GElfDLJoKMsMccRofOa8vIWTkrY3yJAMLo0DpJ1SacQT+Yvb1qR7CuxIMwDk7+CgyyQ41wg3ug 3wufTmoBzoQfwkCQMy3ed8YKRsXb0MS5IX12xQnjQ/nOIrSuKw7MFVlcpaUWC/0F+AMCEDl/H1YI uELq252ssGynz1ATz4odaImaaTzY3XiQb3xfV6EMdVl6imQmHL09uBpoCyQ04JiZVe1BpAT/QKWa QVe5TXpl1ecgRVRvIyQXdQ0QkbK4glqFlREQfj7/LxAZCwsOED9wmL7YaJgkKpvGta7lJ2USfns2 wAY0vHpnK6LKVDnsjq5m6ui3mXomAtFNQ+LmAR59k2Uc9EITZS6cmHyudrav/GfLS7jfysZzZser iWi2IVsKwkSVRfqc7OfKgNZ39l34eS2tmredoea0mUp9P/m93UyZECJmoMxrYdWuwTVA7zt6uQCt aEMoH4LoKujVrFjhp/PDmCYoel0MxzDo17KquW3z2FLMK4pWZIJVCMzgPvB5LaoabnNaT6IBniIS Rprrx6ipwQwBejvXYRVPosewu7VpTlGWNeYTKMxaS8EMWShtZfPaxqtUSmdrMwQE2pvWWzFxEE1O AbERhlHiBV6H+Ji3KTqVJ60+V6MOjoOm6EzdNoO9haffpkjkbpsiLjoYFgEg1Ry9llIN3oO0YmrX smrTUUJ2cWxhiJoGaTnn6LWQwiqSExPpbD46MbsjtC/T77OPfi4OVd2KDJQB5vK730bEZNP0tYxq yBtiB3CR8shBiPEYO1XLgG6f1xqqPa5eRiZFrGnjJQYz9FpBYZ+psSVKKG8zYzv4ef7ObgXDJipn 6LV+alkXTsZjP6MTQsElKImwOszVEvJNGCeOcHh0L+Gr5DMESubntXpqqn7gHTDlJIA2j6y5zrw3 ETvObfnzWjy1x3SwyUYVdWYD8qw5eq2dsNNwgT1VbXcxRJ0WeQFoKVvz4VzathH8Xysn7DQLnLML XHbpC6AWcBq3tMzQNeF3/KGWmYUbgPcKqqyrTekP91o44TQiP+Hs1u2DgJZT9NMhVK3317KJT8/v 0wg00EbDQczRa9HUYFSrmKJrGdu3K+MBPlzXDmQyKoo2mKOpkqmQN2+jKwHbaI2h3+eFNtq5OLJB Pwf0VZBzEfJyu8GSFLEazNGiQRXSynxIBgmPbOnPq3xeq6Wm2AfNEd1izh9mQdKrOXqtlXDMo1g5 v08vfltZ9bFdStnbfaTyM8opmiPZovcs7hlHu9a1VhbcU3itg+Wg/C3Py2LhIGKSOQw7bLPxXvda JmGziZcAkJEQ/Pk6OoQQZ8fXKFC1z2uVhNNs+hwxCjJTejRtjl4rJJzYMhmct7/Q5r5hKYrt5T2l NTP4fK2PeGInQXSl39HX0YL0GTgn+JJI3dZeG+Atn/8zeuygW3npTRn8a32Ev4Uy+PZKPoi8cb52 Fwp1DqrPa3XEb5l9jggoAHQvySfpFdHEy5CBxk0kKeUQzGtDMZzjgt/EiBuveCa2uvGsqxYSR1tI qGdhPobXGiQcm7486O8lYb8bb6ObgLkYKPOKZmKS2LtstdqQzLYbjgR8y0FT+HnFMpt1Ie+BhAln Fobm6BXIbIasdzKiTMG2ivfWwaR6aAimYHuFMfHpXUS8tOsd/Q43YIG9aIi+z9fJyjOcUNWQu1t/ 6G3pRDxGXb2CmPhbkL3fTW+UXRMyIYbWpeTs7M8rgtmUh6E5Et5Ln79asxeRUZ09ZFapFGzqjNj7 E4KSr9V3p9xFmshonsTdb9kK7XwLbdzYz7saoitg45Apc93x3AW7c9K45eICW3fd91o7MTdhnkJu Fx+4zehly6YxreDeZX7YctNnirgUTm3myZgOLJgngoe95+1nN0KE7OzuOd86iUWYVEZ/z1Ov6q1z dP5P2XhF00/3/Gbn5qAXHNBZSN3PtRGyBO8RkxL6eRf5YQcUzdPcPugNlxreQQSP9vq8a/xwfO/1 tesW2cfzXkreK24wYMnqlI8Q5cir9VsrNXdgHGzoilMWzJMZJ7Cx5aOTOs+rAKoZWuYl5LVuRaRA AwH/2B9yXOxaQgDy8y7wwxuHOheYofggxv6G6bqiiTYfD6olY3TadgUCCn2jTVNUdusEZ6vCUx1T uRhv9xO8Mj1IfJbgCO/Vu+fjjvcIh/gRHjsQMuHuS+rZYjbDgmlhqU+sBQV7n3d1X5MX7pnzTZMc Drq+QWxC4s/5+6DFEp5P42uaEJHChDibpvfK266m5/gvfojTktKoGVz+CiIoblT5Lu3DNIH2ig4t yksb7QK3ebPtzFk/9d9qRVyqPBnrCDYNmV/WYJmCeP46nNaurC43cPEqfBd2jqQAjVO8fN6Vfay5 vt91OYvL6be4d2Wf/3pgpHr5PXlhNL12cofYjZRugQHv9bcBemirqB08y20Hn0scPFSh3wE9KpXd jUuNox78gJ8MUbKd4jRq+rzr+po8SAG6ALDUIHoitM183Z1apHzeZX28bzhkQp0M6veVmxdO77I+ fOrQNaUPZxmM6b3gMouzDE4l6H3zqAbvokSNqTqTox3jCXFd5zVKXCmB36ds3olDD++/H9ynPcI4 b/vG78cT2Lnn+AZLyAbrFwCSqnrb7c+7pq8pZlTzVIQKLNgbVp+nqAxHFBiAk+7tgi4WNfkFuEWY a1C9PfOoDjeH2DZav6PzzacoPbSjOgc56mfeVQAhL1TPFLe7/H92feP3eRrzt1GCNd0qnXolqe18 PKgx5/d66sDP9wUG3uV8WE4yKiHeZ05U3SzRT/U1XHTV5nK9R1SJDx3jO8uDcefrwdjACJ4VMAWk x7vN5hUpCqpFQ4l++08K4nvX8jXvqfzfouC869E95bbrLX/epXwonn4VBZm5UiPf6ikqxnsj+DYM t6VXpZN7din950pEHfcOq3G5Ci6LceZoxxMajxB8U3cM73K3A6fCAu79WehAV49g6hsH8wQr9E1f BRt0PJWUh7yWyb+IynFxmr3IBMOwoUI3tDIqxtn66bKgVZNueroFmIyedVUgpxdcGRbj05LAbPf1 pyoYbDqAvErUuyVpjlfFHWAj+zWz82tAE3qSn3cBHzaA2OWQHNhgxROoQkSaasmfd/1eU479F9QE gvuqDjW9q/eaOMggE/QbdtVsA1YcslZjfvWc3sV7LJ5k0mXBaeUJToMp1/nl8PaHo9l5fScZ7LNQ O7VVZxk+7+lE2PJdvHf7A7gGoQ8H6L4xy+ts5ZT4iyDbYrbPu3yvKQnqWVFYF2sOrwve1XvEqZie luaFd0G6MXh3+1Sd86OaNvtdvEdU0Mh0FsKTn+4cfF/RqWlsZZ4TSjXp7Hg7nHMFWBNaUJdiXvSV /6qg+tB1GPJKYnNI2RQxF2EE6/Mu3eNUe6XJSQGHFO9dm6iwIN8y2UgeRgDEyCZqwWRD2BxYVzZR UUGexKerQ0AmR5fC7HPvgcAAa+pc6oqKB8BiUDkilgUuetdwgy4u77o9fBAvwPQgtMEEVgD7eESd 18bnXbZnb4Kv+935lmtfvOBdtYcXwOA9uM8LPXkzDuqObJIFGFUarPIu2uM9WC5TxSJVy9Vkn6MD Lvagq6HQHOfsVrBKA9VosQc1eUTNJ/TqXbOHaVICDxULGJLCPM4BCF4CllNBUyUqyCkZv8BK40FX vDB4F+xhOWEHnPop+cUFkcx2RLXtUG9GEIwtpwgP79LrlWo0zZou/HRK/FYJDgEPL+f8SDIwg2yZ zVNGwjxH+dJXDgoocngoZLNBhPJSq7iV9Szdz7tcj8s+fc0T6P5jdK/H39V6WIQ4b2DocFE6D6E/ B0wZV4JWuy+n93ocCi1RnaZ4PBxt20H+jg4L6/HB80r3YMQunDULcTF4L56CyUzVd7Ve8+ydjvLU BnENkRSabY3sz7tYj7/xN/wEn+g5suMF71I9PkUuZhmuUdh7e+tg6TZTVUAZJ+Vdqcf1nOxe94xW aCJflXoh6tUL/KaV74gacyFSNUOc6Pe7MvSNo2liR7zSyROO9D9D1OhTLk4ZJ429P+9CvWapTPcY R0KvRRFwnkJknIzMMZrjKmPd911lz4LraV5J47tMj7wBWXFVm6+67jxNmOExIvTMEwR1EDuQOgd8 G1ohxqgYrkKV++ddpoe/7OQpnmleVnLytviZXhqVw0CJXzNYTuatYbjKeT+e1XQrqKgeZzD2uQVX RzP5p76BMtOnad1peq/Hs67B57LSSr6j1eNgxKMKI20OVqp07Ie5J8IMwfaZ8/EMJMX3XaDXZIcF +582fOAsJZT1bCCAe/h51+f5tf+pM0EaR3yttcajcrx1RcgY+xKcsOaLqVWvCTJPYPXG43Jct7ok 0g7He2s5v0IDZ4AO+6iXxRmDFve8+uYPBNluVoaa5PMuzmPHjWc3EGUbdLlDrk+1FMzyedfmNSNs P5cW4IYwqbBZiqpxNmzR13XEd6V2rQKv/V1JyOLiWnpX5vFVB71Kqgi99VHfZAJ4rx3hqadSPYUR +HOCXajBZz8dbc0uPVAu+sZ/TtMi/YuDIBVC7iSAt8nHgy2XvhcTwKoObqhNU1SJA/FBgWnBDCBq Xwn/nsb1xT3DEd93Ud5zMgFhu+NF6OZgv4jAeAJBTqgwGDbMUJ5PJjYPyc+7Io/4O9oHOAR80GJK BfFpKg3K512P9+v8lrVZY9a4z1JUhgPpRd8xX5317tchcC/XWXcAU+Q+v0vx2s0E22Zisx8Tm9Gh njpvaVopnvouJQEqaJhhgqr6Z0b84oXp8y7EI19BVJQlYgp4cF0AHVp5bFimXD7vOjwiKr/mqdCH yJGCdxke7937kRuQU+ig3KkPZdVZcAXG6arVFAHjjBHJSQ7oNtqmA16cN7TTEB6chV4NfGnIH0LH lw6RFtCLY/jzLsHjrY55YIWN4FXsnn2WxSgSE4BG9XkX4P2qwvmaA7Tam19W3vV37K6raTee1TS9 ByxDFa6mcfUZ7/I7u7+jCuiSTnO0PTfy2clMIscBfr5RtewPjIMeEjB9dzIBLyvv4jusf6VagAJo g9gEq2R2Ps7ftPNrBu+5/BtPGTjB76aLinCCRIiXM1OyAdqITvCzitOVoeOU0nsuBMVp7bDHUtE0 1lM0nbKvLZh/UDUx0ELiNFXySnIFYb60R9JJLuG78M5eOhOhEsMHw52ycazrwp/zvQhHcep3X1pG nMdXbV41vYvuWDUxSLU3rweqJAbkpszu8BwPdhbh75q7h3I5jFMwEolgk6TUc0VZcAsj5fKM7Fcj QPZcHJgNhff5g2ImfeOILiftCpfTKvT74DzNuTs/E+GUn3fFHYvT7/WEWFTYr/s8RUV4qwy6Et+i kSLa/VKnpjXxOcYEaz2FqHiyyB25vHK8tEvILwqtSxEI1yw2LREZLrAAqriTOn0XdennXW7H44la n8lLC+MKyeFGcMFSgYlmxeddbmfdrGeiKLLCQWoTFZXhcllefTvohOhCW1ClXOV5gYpdExXB4lNe 8LTa8NEZYRl2m1OajInIlS6hAW2uaWtpKEF/XA3epXb422aadgNItyEZOEevSPzjzp93oR2+Z2nf JSYizJ82y7vOjjAt60ohcgRW0riiRIFzSFRFLtKZJxAw40Jc87QNJdgPSgBWWwaDly/gMyWsYBL8 cZFyBdezirQdO6DImP286+yau8EDIOAAjgFLJpgfN/nUtPl5l9m1x1PL+yyn2t3p3ljeZXZO3eyN 9gkqCxhQ8T/4iObGAhypzxseeRUL6l1lZ7Q20uU1Ue2ZqAZXP/YUOFGQt2vnAUlBxxrNArjjGOy0 6Kn1rrJj/UReQd8yIdtOe2Jc7+LLPq3Pu8aObT+HxZfQBXR6vHx6l9hhFU9ZdmeFe+A0mZZckaQC YMBAoicmF1QEizfrIGw5dnO8HYQKe2aGgmFypgVgjgoI6Gy3+sNUkHu36/rKwVEuAQYyYDkU45uc OqxtvcVy/bwL7AjP/UJ7QbxOt9Pyrq9jmcnXnLzx53OSY+MVNYcnT3Kg9Hjjvcvrno03htYVR2+X wzAdWWyM6D0vvyY2T8Z175Rm6An2We7GoxDjXV9nzFycTKRlchCZF6KTKT5mqZ93eV17Epys0JyQ Yqy770JUnKynNbO3WdZMw954szjZsBSYkoshHqLidGrdmw14G32ezq46BTMdcJl/0oWnJKzBCcV2 3vj76w4sQOVdW+dSg/MGYKAxB93uGkTtnKba2uddWsfeg8NOVPUkkDn6hTHfpXXNMi56F3aJpVVk PkZVD3Unoqlk77K8K+uauUycq0hWAMMVJnVQFU5B30FePrVa3WeZS/604bM8sYF2uvU4j4DPu6wO 0wQoBc2u7YOmacLRpmthjc+7qM7u0F+rCUqjNe9qCkkqcgatxc0d2rINCLWMZYaixzKsHn+X1Pkl GKjcGnd03Am8uCyieAFtrMizBhYZP+iN/KDV5dW4FlMEiePU/rYz7365O4VHukLNdzkdj7bvKnPj zQl3GpulqBonUwJ9FU/zsOOcVWbN3UnQTH7hnourceJNp1TqdzSoAOp7XFEAFZS1z3ujq8BCrmKH NHyNeqlhWMufdyUd/qyJNspLRJU1fctVyFmLYKf5eRfSkRn2vZbA2x0worFZimrxLjc2qmpk+b4t BrtmAWy6Ag93Y2shV7zY5Z+7SeMVHJ5XHfofyqaA2EcMFYYYokXCrqapfgGn4mOCesAcoOr0gbME Kxoeach8bng8KDD7Lzome2PVu78tLMSpzDDfVoBzSJEwoKDRdp3n92aqGddShIcnKe3nsPbBuEaI bZ3rSC2CnfqZodpFiUoEfQi+4t126Zhb3ziQrzBsoe5n0JY7FyKR+Nu5sOPxgE1w0Tl2ZSAnmvXq DnpYiBfuuX4NL+oe02mrpbg1QSEbnSqf90Ic8GDSiUSPIo2259qC9o2I4VlS5XyI3oWV5iqoE8a4 ssxB+Wr/U5fJ/p8PtprO/2d8Tmubj0cc6G9wblC90h126lEVPmjFvZhlJNgpJYN6U153z+XmQc89 4qewWYc8RYFzaT7gHHx+kETPWcILcJuvJGgBTSRzbDjDCWgL0iNEnMHxcGTpPmiaGqBpXfDqxOP/ UozReOzs9daeaYoRcSo01m2vdIVg8wAvw4sB7BW95nqEiHtxaR7c4/HgPjVXXyA50cLo7MCsrL2z uwABFcACaHBfmECasagIJytsVV7nYFCGApzXjv+fsTdLciVXkkT/uZaQK5iHt/+FPaiqGZxR7XYi S7oFWVnppAeIwQYdgHkhzgNC4ng+oGjebIVhE4vGuXrY1EOSpmisNScPCEY38QaIH174HIIihuE9 CsM9rWtS/+Vo+e8ESAzq2yyo9NQoEI90JbFOcLYCnaFd54L5b4/C8Cox29zV3eQKJxyzMp5GWtf5 nsExnjwkYNqMn2/ekKBHRM1Bf6FzTLsxaKWYFTXw2X2QGMGJVH2ewjBcJsZzW913XzmejjrpOX3p 73WCAlS0JNK6Gpx5O01Gbh2TqxvfE3XJpW2xqyQusgmnQE5TZs+QzsXzwTwNj8M3Fx9R5x4U9DgM J3F7DJ+n1nw9najSepyYJyAjEBT0kK0pMZ5VVaDj6L2ocjIf1FE4Tw0ZEZmIE2Xp3ciQWvseUDXr jYOooKqQSd+qhQYHT8JKI3desefLNp6PNl772ni8W2Z39FwPC+ODFHvKYMOVt1EMSOUUQqCyCplo L7NM0ONAXAIgxfziypdfHKxlV6UhbDn77GR4JNqROZbpDVpRULyqo1OvHOw74i2a6pkNEamk/ZFZ l0S1GfSY8QH/hc+CTTfzrWT292AcKQtwPJMetbzyJtmf556rWchJGj5nmvrxLI+C8S3yRTWzWY6O d4L5yBbuggr4pEUlhIaFyqwNIi+XkpgoOdqj2rgoGkuElnUJLWvAxoK8n5UaHv+LASxIAeap3hUV AcZFaGmUzoHxRNtzTzeeoJSH+na8l7iiInyK+QP0nI0LrMo+bfU2HF+WCk9npy3a4QJeP7gcEO4A lXIR42e68EURfo5n05KM7bruL+e0KpKxbYuPR+vpN2L8ZLI007aJCiNyiti2YZIWnDPzS4X/knnL 0hTdFlRUGpcOHzzs8x0djzmA8hxscG5QW8y1eEAnHqAJVnuXVzIHOa49wqjQQOHEqHn5oJ7Umac2 5JNXJh6PFtQ34InV50kTNHHKo5Bc8Iu2e3Yw9HamBsrGzvyp2XEFI6qNTzXt1taKWvsqOHTwo3YH se6c5RN8LMlMQ678/JySTXHCXUk8y0cUk6spNRDC2CD4BTRwm3p3OePxICYf6XvjIc7IV55gRDE5 eiw4yqcxEM6B3FwJBA5iCg1Kgucpg80RV8bNZCLlOzq0voLMukX8wXauxPcuisVvwI7o/OSiaghI 8D0R+54Z8KAB6EICzRgExYhFlbOfc7pnPB9Uxnf6Dg2IL7uZ8AgB45nYFEdhov5xDapqN2JCI5vN 5imqjNO7MYPEyhymqEo9CCxIuJkWXYRkuCMyFTjCPw0MO3BR3D0BaAh8TQBbZYSZUFnl0B1HcW4I 8X7O/+DxqNHyjZ+DhlTbl88yQphKZimlusnECRQeie3hTrJZYFRuuiggt9NpTcFX15TWNfFz50PP 8VMYQIGBvfOXxwTuSXSXPCxAPQhf8y/ONIINH4QyLJ0wBbpebzwenE31u3sAUBpwjz5LYTjOWYIS o/fLJX1BlGE2pZATZm7naYwoHJ8KBs72bXd0XAEqgGgD8mg6AZTZS5wJoaT1mTKI5RoXeG574+ho yhTy5UmORv4PKU3oxupRuNJmPB9VMn2e+CsCYJbaXU1hOE4QdJvDSYmd+H3Rfva2cByScL7nonB8 TWtrmrfEvtqYqJpBqoAsV+QUrdg8oQoxQNZERdIZ+FQqGFFVfLJcMCZhBRwUEkD0eKlcMCYej8A8 380DJsH1AuhGCBXf0nK6vYMtVgu1nIpb55XzzYbGHBFUvGxL7oTG5Hgh0Bn+zbTsArWhFtPdRsew Q4Rvlgcojo2Or/lHx25TNJvDEPEAbWq1WJgCjzAQn3fP6bTeWbVUm6eoLt6461pZPk8Ic2w1rWlh QlOFRfMUheJmPWX9XYz4zsx5GvBAZUOj9pVRepAkJij+A9Ew+sM+T9iE+JoobsLRXcGrOgORXkLQ lbLVZDmZS8fzfxFZiBRHbFlvxjLiSFzslZyfHPgKzUyvFeQ8nBk1/ojEz/Kfd7yYAvCAB7XB9jmL Ngt5OPHoVIUtyEn0bccAc4SROPWcgOzEUBhbsFWXzmsOwrsQJ+ADgmpB/S5nNgYY9R5PcSjOsLLf qsq5pYdVVXa/nOm8isGeRlgcz4/Sv4+eBZ/U+kxFkiI0mlDTFP/RwMjwMCugBro9wrZXjprA9Mpo BBVUFBwIhYe3e5K/EiDEeD4qq3zH4rCdWciSNFEzhqlMwp+HWproAleHqaA8ZLETo1xO1IxFC40N PJ/RquPYFY0+MYgKIGEoiC/Ufk4kDF5guiAVkYFnCFIpZAFPIn05qFcOkBZ7YCdZqp8Z4cVn+y77 UkTlYW/OKBKvBGWe8M8zu0oKszI7IqJUHR/0Xuz8pAgdJvSczQBHO8fPXwZftKLbDhUcFmBQZkFU SlkNRFYX47v0ylEXQSnduoPmqbVFb4czT73g8T9V5xi1Y56GR08zisTBWgbfpjg4bArHOglDqo42 PD+x3Xczpm4qGpAokEY7oDLUeunBjPL4uduSFP43NJxPggIZhM55EpaHmg4zLo8zZQEaxAbO03nx STwnSNMVj0dow19clnNAr32xFzOKxWkLCL9s57K0Ua9GiKgIZGngINE8hcVx91Ba9Y5e9D3na62F mR0Yr1M78/yRkwW1BOLy4xG79MLRLNHIk01zDKZUAIKVlOdQqsbjEZflxgXpRwT3s/fKnacoGqc5 4rkdtxvjVfbcDJVpTKATP+Xu8xRF46ZVgHvgjp4Bw0Opq19+UpSTcEswFHohPxsTXPLDQJi05Zoh c5PmB1P3FAcDQ6PrweOp9IrHgx5n80qmsIbnw9qlRs0wGKfwLK6Ju+2KQ1RwMHqhoDvTbkYQFRNf Hyb0NL6EniCZUhkrgUFWO2ksqI1jJ05kO4jkPXyif+eMgnEiwlCJ6T6Ij5ggyCOBkDHweFSfm19X 3aZw9PIywYwgKl3ezIhsLCbIbo+ekyaM+iBzW0wwo8r4UttydB1OHL13h2YBtZ2wmAAvTALywOlv AJa5H5odQ2p8TXSEVxJ3hVThc1xL4ChRLeYEMnzL/1ImAG6io/NssxSyNodYGu3W5qgirMSuNz/C CdvSlgvVDIutpT3vaLPUGtQusgDjELMWkAcscLBZcoOY8NM92HrhCO6UaaRBkGFXLYKR+DnSZH5w 4jE8HqHCvjUKQH6e6eoYzwiiwh5Sn8TAq5hSs1Pv0WvzYgpEODRLUSSOQwazI4SzRucAn1v7RI1W JhgJIvI/pJTjYALSsKC84mQWwupnFIhDfOhccMSTnQHFHanQNriI4TvXeXU8H62m8hU4gcTD7WPz FJI2FYev4hpPqw+naZwrw4l2Zz+YOsgMRVSmyJpEjdto4eU5vEEgWiRtniUApU3G6sCF0w0t13Gb wPSxx/f8K24Cv80HAxmec0MglZPy4PEIy/OLzYK6ekme/64oCk9LHszZqylb6Atsuiolf266Oa2a siKMyjA74Wabrj2bjry088NTZrVRnp/33K4osSKphC+LaYNIoGCFGBUYg2yCsWwQlOeEba0Ko1Ir Ho+Ui35Fl9jBQFbYLIUYlbRVJbjR5fY6b8p1u24/lPZtlmKMihpRw/wUx+OJdCKoE+wM1nlRSO6p Soge4crk+YQZMsATrkd8TVRNobPBFE4c8YqJhp+PlcHBiWwKno8Ueb5P8IlGcrod4BUKiHPP9XyV Vjs1bgxkWC9DQ5SfxE/6p+wjiygaeTcTsLrOXd02rRTP4beoVIo9B2uLhn4DXDlvzLR+Gr4mCC4Z M2HN+cB9sgpMBQTFXHz8r1oKJxd99nlPphWF4OBSU5LABXm2AgPCeh9bAwm/cpZCmLjglQOJho8X Jo5idVJprsPglCQq/JHzhxaXgyWn8gBW17/L4VfZ+ARqVPKoYJbLEBs2lnj+r5OJvTqU0ma9N92K QnAWwnrNl8dSPQs+KV2hpjjpGTmbH+CKWZsSTTHVx/al+jjl3M3VBKLd7nLGLZ1FBdTHKGJ4cTxT rxwU50C8X3BJpaza+Tka0452bvetwD/DWBGf8NdlxwAzgUM2r8JxFIZDvPvEySjdy4RlqRC+JI2w HaKyqQONqQoFVNRZ4Z3uoxWdzqeiO57BjT63HhxRpXFMEyBW5uiQZG6AlJrFF0UaBaRmsJypwbPf lUUrP1sBj0fy/e1r601QyPKVy14xVpy3nUrhuO0WFRhYnCu7JWNobGQ+mqcwEBe+lwpHPvrWy5By GHQ7Pxnr2S9T8EJqLcGAF6bj04EXWfddKKDS5VlKkfqeKPWGRAclRGoAQWcBj0eaYd7X1JY96wnf bfMUcjexY0/KvW5tblRX6pv6t6SQ4fbSPIVocRnLtiSXSY5eTQFJbQBrCdzTWQ9NQWaienImuWnu fQEq2LT4on9ETwtS0ByAzmXV6SwNMaMGqnMrLItvX09cexOCYU9c8G/u5qA6meKCNFxohhqEuvBm dezqCsviZivS5bdcXSkHwrSUpEDpGErve5PriGIvazn0c2YhSh1guP/olQNjkUWML8JeGwTkwd7e knMYfM8IT+BJy2Y5ZbFVf++8sCo+2ShvvTp6dSyj/ECHyYWwZm8me7FigXEeUDWJXc/Rq+InsIDm GAXG8wnzq6AWKYmygEWNm89DKApCrygcV0MqJU1U8okaA/hDInnO74rHowX1f8X6Mg4Gm6gdxeO0 ADuRXy2eBLfhdaeeriJ0zsnSlh2SN7dBnvq6o03Ugifpue5YUDkhAZyBiLzAFEFW5weqNlZQkcf5 juJxYOPn2cc8psoAjIrZ7AkOJIQFWXw8/l84P0RWPwyEHTI30Tk+W7u6wBP6hD/OwV/uZJcg/Si5 +lhf3IwzpJ/N8S4n5MGDzjAJDAOqB51jiGX3AnJuhRWO33c0PtghaFys8kQ7Vw6qihc4E3DKoMO+ 3wNyCUp/lVRA10KCZfMUgsax7NCEN6bdaMsxBSgYGWi8oCdp8xQH5CYUZvriszz3HQz/UiMDAQLB 53xS3gLcjRw1kDhdk+ChN47qc+bmM3wQ9AIuL10d4b7x+H8hbgL5RncBm6aQuMn63JheUxnqWgh6 4aVy2rGYXP2OASqmc2ywp/kFvYCTfcU+waaDkooqT8COo9h7HtxUyNQpjvgW3xPJzegU33fQ4XQy SF7PwOVUPB7JY/6SUQFmSLaInKYQocLSU6WRmXoHCI/F1ICZx4/Lz93VFAPGRYPMX6NN00QGTM8y mJmnVbOYdicAOm+Ja7HVB8eDDgC+JoidaDA2s5zMgaHhqoXki3A2IKlsPB+Bwn7JsKOAhdjEpilU M+xSzc5uEtF3MUJLLpLHqhT1H6ajskOAyhD0YqixOcdtbPaSaIfYmlosc04515zoIEOzGnDIclUK sOnxNUHoRJz4qHRwHdUcOyr19Fl4OgnSwuPBTfeLagdWL+15bJYigAoQkFA29vrcSGIAdRzhNJtl fW5A71izFGoZKgQfDIdsdMjqiS9X6gKFDWxrFZ649wadqws6IqspubM3/pffWBX7gIPKva3Q05A1 Nz4eZXbrK2NpmWgnjzB3GIln9n+rFXbpRGpuWgx+fM/Rjg793x3jU0Q6SLKK4miLqZ21UtegQwRS +lkUrcNc4QfyEUAiu3ZRocMzvicIMGFdBMxB9kGr6cRQxrU7yREeDy668euiO/HgFwB6h1XxzMSu uF5YJ5iUxE3sm+UkMsgraJriQFy1lGl9qPn0oc5pnhP8jwjjAcxG/21Ci7hDkYASx4ZO2VMvHBkh STuFBQPri1Oir9XF6PJEQQOPB+nv/kbxQCF5fwWXURTehkjA3WdpyPdAPhrZgZiN1wP3XIRNmaq5 WSFXo59M45xt4DVzlqCaLrZLRnrXkTFtEOXlVc50CV8TBJdFR9JqPqhIcA6YXoVRmQOPRxJ9vwhk snjJ3tQUHOj1ROGm2+VCU7aQYICmsDbAMgH/UBOqT2EULkWQXNYzWnxZSgO7BwEB+nYw5qAgbYeA BGTLJ+u1UPeQz8+2lw44ruamSVYiZMt/pO2EC/ncE43x0E6bHxEBV7/ZB4M+DE+hLqewPI51cr6R PA1q/KNqIZBKoSYKBUJq7e4BnMJ43HSgp+JyjnaYFwTjW/WCBZpiMULLoJom2gull8eClPE4vulf 8IuxpM2zLO6HjMlST66dW4LPB5lL+6b+ZADMNmpePlkhUgXnL1kCVoMaabupxlRMLheEVn2yQtC4 gKu1GOW3XPkLuPUirpJhMtR5yJxJkzBQ+LqfYAAi2K52vPyl/6ETcgLNJOxTB/CM6QtkphjjQouE HxCEUuWGUjJTGlD6u84aKSyWU1Nlr0dpXEkfOy+5X2sNeLXLCyGFnpvVbNvcxi1fCe19PgntForl lRNVik9F/aefTGRcHaneVE9uCCmKzgc7nlXiKhLS5bF1DvouxC9ABng+KJhfIbEmmMH5nHIxKzlF AXpiOWqKGMx/lE8izq02zcXl5H0AxdjSikrmQy10OM7d0ZbW2dwgKrC+ieI7axEkloGTU+CXUmBj ZZlMJvWV9Yr3b2JfGE0QH3yGlvr2kBzk80EuU77x0RDaYaf6OeXDornU/mlCSGhdSQ6tg3qCDrCG HCMJWpdTXDVXrcV0aPqjQ3NyqxNnbrGmQKqfwkgv+nPj1FooSjVTxSi0BOU3RSBE3mHoOdpgNyKq dwIhDj3/pzMwU5r6g0rynauwcL5YlqIeowhmcIPVXA34DNgJn5Gxaq6icN0sgJpZlrYvy9IJZGtf 1HyYE92wpkMLCdT5PyNNBybETnhQT/RNkVgWJUSgXm2DSi7njyU0iYAWPv8X/zxraZ1/Wm0++zAU W6HwQ6PFrglmbYO2Ig5ywayCVN32YRS1D9OZaaYR0q5x+ZnqcjYImKMQtD9nlajVkzLCZzUDA5Vv rlzQrdFLRxx0hKKQ9cVAcyEWhlndoUr9eYjP/4VHxLQAy7ufzjoZUvFjkAW9FnjzlqgKwxZZ4AE8 YZaKkeZK2SZXV+YdvUZVgdDhXMGpVoLwNJnC2YoMFvG6IxK3v3IUOUimLlcfXPQBvURGpW3w+WAP 1m9OHkSjiQS4UxUW0akpn/N1C9zXnqSk6kamZdVnqsIqumAIVd1OjX5gQWDzXBzyJ2n4YJ2oYAiT U84g1ml5pA2ztPJ+cBQGDpOEOg6ieVZcImTojTz5fJQ1+1yxXFNpi3udpkKjTuYlkHD2AjHM2LyM nrtX9CpMIs2AMsS1dOO9GBRhX8LCuRhAE68MspCvpSwhkY2CnPQ19g1IC10e+UURGZ2JM0UQNShx nggQGZCeXc7no5zw17Iq/LUeq84oeFcP68v6FZ7IXtV7TCUyutk2VRG4JZnWdhFzgaMhpU7AV+Ee S05sJQ1qaOUtKlta3mzifkQn5tirk7KaC1w8Gwx4d/4K5gvQWOLzkfDvt/0r3J1pbOczFbp1yiR3 p+SFvb5cHSpPHlUoMlQlP10fFbWPRc0bsnPh6AhFACTgiAxM2QYsWHs0QQSik69XymULPe/8D47e gqi2BlMWASB3dLWP6+DzUd/hGxYMCg4VOf1ro4r6Yryw163HgKLi1T3KXmCq0K8rPlVh2L6keVRS uaMtqrUAF10sNeBm3VVnad2ssif0Jh9/oOGvHN1/OKJwfPog+B0gryyJnwVa+fxfiAS9HRiNtyST Q9PONUVnnD5TfRo7D/J1xTXcz1XaffuFvE9tqVXEh+HoEOpzx61eJLsNFduifleCDxUqfj8SY1ft qo9u7xxhN6jzV6oweBS4ToTCoTnKRTU2n4+8zr/rDI1iu499YGDbiSib2Gk6zqh8VWv1/SfYMPff Zh2i66P+gek8U0TSrY12qFdCPBdfqkOmq6jjnAAdH8jKgWy/0Kk+7Z2jLjLLoChB26A6X2s1CRp0 PojPRw2tX1UGBCiga/lUhfTPSpKeBH2o7bOmA11WXZeFBiSU0ubQunO5Ol3Jd/S0GZ5MGz8LOqQN zqbKBJE+b8xxRUXEa30qYIXenVQph7zz8sESwSKxdSj+dT4ftZJ/ddypOlVuABqYd0JCRUzZOh0T RKdQ1mOWqsjk8O/rthi4d+Zr6t32MzrYpVNRhqf6ia1Sp3QqLlgCZAo1q4q7T5VWtr105FZNctWe 0weFValD9ZTLKlU+/6fOCCOLDaf49WzBGH0+uPyXYxfPEWdwYYiGu9hm393LMYGHp5EiKFmT7+hI s05yMWXIFgTiBfZAkwsdm8KYCre3IYO6v3RgE6Auaad5Lgc1S2G8KG+N1Qqfj9yYfbJ4iANrVh6T 4dDGU9Q9UQGIXxz8VeWey/UIENVZbw6iyrGPpwEYmxqBHB2qfzbk2Wqb8jVwz91DnDR0GND5B1oT 17uzraa9dNTkkvTBuoPF62UTXYiFtfl8kNvcOh8Lzqj4ZtgZ+GyFbp4yPUXw4yfWHq5GtmntwBOL 5tWardDOcxniBcGrj74NF9QQqLEJjTb0reWr0HkfIp7LgGDeVpe/dNTFIccY+TGHpN5egiggq34g mfD5SDFxfEdX+LR2dSVzaOlJT51zgqD99D+KblH9itWYxr+BFyG6JD5XIR5dxi9taTdyvHj0DjXA PkxAqguMvUBhh7eCPNmvdBu7gjn09DREem7TB53u8AbtKvP1yecjNvbNbqwu8bMeCakcenpuSiNt /pLchU2awBtqgLtKn/sc7+Ch68QKTD0lP8eeRC93dIxeOvfHxNokQfcck8TJgCS6iXg+PwQoGV4T rcleOqryyamDHedzRZzNyNoez8ks+P7a/IAobPdgVAbN5Yc1NJ+sEAjDyYLjj01WEo54s8zXkpVE G2tLWlgxNt00E9VH5ehMNZxK5zKkWewJ4XoVjXYSrNrQOiyDEuZbAknbXjqAL1Bfg2btHCwaRYVM vGwIMPH5SIbku4CMo3VCvdfnKgrc0WijMLRHo6sYGqZsgxWzM78cNER8QvAXKHBPs9/RC1fnE07y Bi3XE7Qn2dwhGkXBbBD0kee+64ruAbmEHNFMrDTKAsCn0+ORNQYwBMgQgSoJPyCC8v+K3Delkm6M FRp8Njp/97muse5I3ngucrQWJGZWn6sYEyOBsjX6HW2uKpw5FjJkQIfGhmQ3DiwCuwbV+QEtt8qV lWNCh89MVMymTpkGlWPWPltJHky18fn/YmoN6NhMFzyUQ49PkJjySMV0p8+2mwaaBflyLsfFQBnX puqfeubQQ5BfM0dLcsbZGpMqZ+fiO+kebJ8UhQEyl6hJ61i0s8KnvXKE+KD3WenVB9VDc0a1UjO1 +XwQuN+eBGN0kP1nfSKGqMo+KGneppnmUfh9OzQmuZUervM7UyFKXYfUNM7/fDj/oMejEyHQR4Yk mirHA8hRsLfBDd/evsk2VSE4ptH4LLOdykhDSOICZL8ChqQX/Qt+TSFqTFV6QtHQ6LNXsY73tfZS uozMOdXmRQaaCCtzDpw+ZXxLtuiYd/RyaG/Q3aInDL6hVGm9LiA/JhDu1B9UaIW2nb4nuAKFH0IG a4MKUIArSx0hoz0fWH0iNPuGOCLT+kE//E5VFLXLFOZcHHeqxvKjSp0BYWTYZNFUxUV2xQlNXD+O Fi8Af5jnUGyFRufuYv5D9AX6gfjAwtIkgWnF3jnC9G/5xC0NzVbVyTkbFTOwyfl8VLqa39HC+YVX u/rBOXT8RMkToP6ZHcLHXq9B+FxMEbbdpuuWY8vPLTXFKrEEjn5U5XUOGHFsYWpL/QhybPGvgFBr tzNvYPUcen5mEttJGbTBauytCZKtGntg+omA/VvEBdAWEsd9pqKAfdPgutOQ3SCh2Yt8pY95F1Xz dkRg+5n/vyXPqpl0qHO0mTpTxQJNVY0BTo3i/FFsBhw3yL4+5PZu7xxw2YY8dvsdjI4MLDbJRCch 5PORbtklR3KO6WV4hVxybP2Z2QXMO11OzbxqgSs9YOxhUlM59P40pXP2NH20EgNMSwdEmwViAOhD MWgTiAHi7+iBWfO0q80V2n9ONk9Z78aAN5oqGc+lOt85UTI/IJqtX1C1ItpUfaYr9gBlnL4eQZd2 PcFHT8MJI0Dh2XSFIbvKV9WsUutjlVqmckO6WMHdGZ72ghPhQoIW6Q+Osys4lf2lgziUsfroFIgf qJV3rZGcZc0JzZ/MD/hrH7L8gkn4zpxjJ9BOKYB5ubeLEiCsX8EZwo6sCuiczVaIYTfmn0VOHJ1f g09gtQeMrQnxTkL9wZSAnhJgVhAHcN0p9Nv4TZHpddO5rlMeuRfP9wFfbe5LlBb5AVHU/qszCAOk x9A5B4ag57BkhlMIsmc2WFYzKTPIIyYrYLEDrmwwdAQd2oF1WArNZoyuQiqOdfigYWmdP0+Qh5UI GcvU8IAytzW82ArlN/2L31ZJt9FgvFJY+ClsR2U0MAW1HuZzwgMStq+tcw5dQenUdQLp7czSma7E i9RWWL4adO7UwooAMk0CgicR23f0uULe1LDQYRhZNxGoiG02s22SB+tOD9em20v/S8CzwPcFg4Pz oH5eG4Fq53eb/IAgx/nFI4GpLe6dO1lhyZ34mM1erfRwhqswJzL4DQLZxp2sOHLXWWUiefURyau1 jLIoqMsqcmlADuKIJ6WAvr+Vk2UFrL3spYNdyHyQwgM2aGHBHyFbatj5fBSQfndyAJ9aQA74XEWh O3QIeLU3w/T1bYR4hA5VTlegh9Z7HYahuySWWjcubn+4uLuBUbxUcZ8nCRyMR0+0hRpqQZu2FJZk hLzq/tL/EKk8B3tJPqjintISiwt8aT4fBKQ5fWWEGYXV/dVMDS1CGx3TdsrNgFfQkbL61QKiIit5 RvXBTqx/qr4gdpLwK0dr7DbchAVFbbgt0viZwKvM8jyMQeg06H2vLEhfYBKaWM1FoVDCXcgg1cFB n4X4eMgXT35AEDuMy4Xn3NbybQmWY6PQraj02oe3cYlKbAx7P7V5VSZwCkX1nrLVmUZzNmq2Zmm0 nuXSQjd9NVWwzmKocL6h1fo1BaPzTg6MQnG6m66+MDLJWCJAEZpDL+EMgVNoIuj7y8oYxLfHryGH TqFs0p3s1rSq0SM0W+OaqkBYhbW+ZUbrObQKXSZdvRVkcfT8GfCGBSG0Tr3Ylkw3HqHuieYngdue FI7s7xzgaqWssGldiAKWFc4zqopbDnvo0odmoal9Q0WZj3/VRUO30IouFu5z91CDT6Wvqr3cRhxh kc9VTDrVStmCdXD0GjLU+jvAUGgfQGx9GheHJgAIvfIDUkvFXvlf9rOogum4quYrAyJaYS12nK/j B0RCMN9BA8728ZDic2AYer53UmZBopUG6LscuD48Gh2ootkGjMvtgl5lc8Skq72lhdBJJyXwLKsO fp+lRbh/z6kK7tK6Aicp2StHHS+KwKCjYEOymZqdJ+S5lSqf/0vfRLR4HFXjFrACy1Az5mummcNG Yb2kiZaWy1RBdspuwdgzVLW+KlUOjd4dTB1yConKHbDoVXSxIND9s/grAFvi2kK12jv/AyYKtYbh g6KEMpIwLvR5zKFrKDfIFxPuBKH5ag3m0DUUxwPOkuGRaJKsJ/EM3cT1YJrivus5sA29+kLUIvPR +SUg86xWqdXcDVtLEgASQ0hboodz/fksxQmdQ2nKupYwyASZLnN2AnCMBfSVGz/g/WBft+FF7AKA UytfV7UcuIciMZEHdHbRQeof/z/63ym7g0MO7ENvjtOKScOwr2ELa0LvuTJuB6SPrFNICUCADNak BXiV26SXT30OHETFS4ZtUZN7EVSEMVkUVyNsBoZSfD7CX/lxxQIY6au4sp/pCjUaC0+seoszm1gR w38U1/vsBOVruiKwTFVV9PzYz+gnFoxDCwA3+JOQYTJylZLLRKyBqrNP1s72ykGtgcILm4J4GrQN IXGoS2yDuRQ4iQIs+huBdf7IPS9z6fMaXHWoV3UyJkx6GP+YjbhUYKzneIbllYbX0Kpfdesxtbw4 2iW44frNwjqwH6OAxcuCO+FB2AOgBTsfjk7Qr4FVl18qvMLourqy47QR/C2W28/6xNMvc9TRC9WC 6pJ2BFwDu5j/5vw20RRt2mAt00c/QcNKrgDexmzWmmfTTospmCIjWfahzJmjJ4Fjz0b1UZjMnPCP 7Px0DnOaFG9au2U3vOCf+nmNqDqaN+wwDyrKc9AcnYOCNnAQ28XTb3OkIEMzwrMIYZlo8pii12Cq w1ONmowqEfMfydHRfqsGWYNW3Lbs7zWUwipSu2bn/IyGooW/Z+vCLkC1ccl6blPmhnklYAEWdc6m 131fRWUIQ0uldA6qGY/NqxRn1G6f1ygK82uSOWZKQ+GVWW2GXmOobs5iAGV7/ROz9eOag8sFrSlt wxl6jaA6/FfUekjyu+DokNB+LqYGZQO6pnQ6EBKSfVJicJ3p/OYzhDrg5zV+wj7rsshmA7AS1CAx 1JOSCntzLtP8eQ2f8Nf2alOULNBsk8hZTNFr8HQeAgj6rHJqPalEnLL79dY1rm7HbSm/hk74gdT7 q9lYI/lhjZz/+pxwUCmDLhzMfuSH2bnsG6TPKrCyzjelONxr5NT9yKZyiw2GFZrVqLlovb/GTXy6 2BzZuQXKSLc5eo2azkOtC6F3PelBV/AOjbxmiFBoLlD1GjN185gE3iXPOzpxEkaDCe2AE4adnd3Q eQVIiDLyBTjqxpK3OqRJ7qrBHC068XUZXvVhJtxwAC7U1PgBz+nzGi5x1+yvrQYvjxNHJJuj11ip W9nurIELTcCP/mP8o2ZK6a2whSITkGiOmvWO67ijYzggl5cSjdbPdXAufJ3XHdWb3RmtQbHD9hoT u9cwCXtNGrEAjWIAA5/yL+fMO+kS+6JnP39eo6T+REldxjv5Uo/oEhEe2OT7peX6XZ0kCRml7HmN UhAASnY4OrCV+4tnaaPfaQhYd6KpBVCZnXoNEITD6VCA3gd99sbeJMK/hkfdE7pM5S0NdpOdWIKM yXb+9ec1OOJb9q/zqMNdrLANQ3XaYI6q0ED57rVGfWNVCFLybmjBISExuODITrbHsqT1W/6S1j9Z M4ykmZ/MDmkQ1ugmaq4IyHkXXffLRS+Z13Im/kpiF8kb45CEFEswdqMowvlbyue1mOmnJqcEs4Qy MARWbI5eK5ldjWqUTi64JWdLRir91r1jTCwipTqi2EjVtgqlbR89hyujQO2Agp4gr7EJeeYoUaMb 1RfaqjoOjzZXr1VMvDAjx6EY6QyGwptQfmaV4Jzj+fNawuz5GtH3n8WCL3Nz9CUsgoyibJKIHXfA 2q/I711RthXmzlq47JkozLZ2XmcL3kYvjp+lODKszCE8kWfZielIonY8JCwp3HKVX5Trvkfa6gNM Kjr6wKv8BJHgpf6YENw7yw9rcX2f3NDsGdiENk9RqE0p6Q5CmW25RBMX8SHJKVaUlF1t6Z3jh3mS JzalJXz0dOTcOCeGYaFpn/skK7U7P3+iQMc5yUFL8CYxb9XPO8mvy6kEEHQRaMq1TUu9DdnIjL0+ 7xw/nN7bw20untWoC7p8ot4DbhDdecPkcenIu91YqU+XsB6QnzFQWTBRzhdN8xkd1AneEJgBZCPD DpF0wAVaJNqzeOd0XfiAMuEbv89Tb4IeSMP6QlrOr9EbsXMnOeDjQbQ06nfQjaIxmnE2TVHUXZm6 AXfuUfe805RGc/BrHcOnKQq7uzRMmrEc2xcTGRbfZ5PRwRgeYFWARoiS1Z8FElapj6UFc8fPO72v S4h2As5efFDyBi04smthAPJ5Z/f1fBsHyt7QD1tp3wT3PfIWhgYYgxt57z19201pDNKEoDefpvfQ G3EFTQgKfy4bLX/bgOTAWZDqXUDpN9OSpVcgTlZCv6zDMlW1jE6nIV3YQa4MLj6hd0qHOihbwjmh EBfMUy7jaznlTDBn8STundrHPw/hN6TabKJmLm5L1MZ22dON0FilgPf4OxOMyr5KzXe0NA5yU8DW MbbctYzU8sVHnS0PMEm+ULJB96Z3Yl83u53d0BuzQfjE1s53ZIXi5fPO60O+keZX4AR/wZ+Vm0dO 77w+lmk6myvdeX3D0RlIxpKzZMYsXn+LYnATHF6279az78584/hZlIedWECdggprwxF9gUqcEeKb f3GWWkIUhDeigrMaBvlpGGSgX4euu/15J/XhD243CE9KahBB3fUUheHU4ezw7XSEAc3dGIZ3alBL NghFMc1TFIf3aR4yki3haPN0ltJS6biTX5wq49Czd87lsIi5BQrV6f/T3vh9ngbDggR1cA7Wdavk ivELU+fj72EBbRU5K5TqAIoUhUubpvdIHHAoIgokm8flVEzcG6o+BrsDnW95vzwKxeHTxvYlrl0b bdtBl0SEaqCOcwWCRaEoiNu0syv9NqCmv/H7NKmpkkWQ4aAK04ItlFZIy593Kl83Cv9zPKVz0/Vx iwPvVD6/pPp1l4VY5W0/7WUq6a305O5y70y+/pjJDElSc7RzfGF7oOvAqjckE5YBXeECz+KYqgNd PYKpNw7miUbPY5LHx0HHE7I6CkFXIjCieDy3/B09wYGkYS1btTIKxxtlGHt6jK5SvRZOT/Z7MnCr ELxz+PqFbo4iXA9H33YQ4unSQ18TLgSieXRUMDbjPvZ+rdKEnPDzzuBjlMbwkuJ5GrSI0EeehqbI n3cCH6OK8RUWQG4Z3B+fpigaZ+wMOIHLxrdhRzeYC8Nd6BN+ak3TezQOYL+xq0xMqV1xhFrh8j1o LkdhppWJPABeqaDn1BmIz3s8sWz5Tt/DdUcMYqHu8CoQkqTo/U/DAdiWOVvM9nkn8HUjttythw4K 7G58qqIC+CQoDSroHo+nG0FlgXso5DLdh++dvYe/oBhxSKj89gB6QDBFh5J9X9TaE+84T4QbeJlA Yzwg86JXjkKoLjyPxIchdS2xqXPJV2ZL48San3fuXn+42SeNYrjE+Ld55vLO3UNIPnnlLTOQoXmh exHsXL0616FnrZmKQnKqhMByzdTM2lUzax33/UCSgROqk4/LMi88wU6Uea7dAa8/19ygkMs7cw9f tGlijFKxDYrJz9WwhcA44cfnnbjHM+77MMcfzsdsnqKYfNEDBJ6FXn3aq9wVdQHTFdRwxuTvtD1u fs7TyGbK510zlFYg7jXJqKgIp5h544w6CfPJRREkT55R87G8emftce+pVNCbBnPjw+6uQ0qd6KpE IflY4yvUbHQZK57hvVP2sF0nhU/7jch7TW5H3/M1IuhwBdJyiiri3SriaY87el8ceJQC//SzlCYN bKq6BgQRoS8OTt9zli+9chBCccdBwdoHIx60kQ1fPtfnnbDXH+14q2ViJaHUbfMUReSyo6+rXKWp amT1Sps+y4QzbdS5nN4jcgQZqqwYbcjwNJJvgT4RyXSwlDlTOLOAKfBYzcRtEgvqHpi0VH3n67EX yAMq04GHg/CGE0T2pTWyP+90vf7ofdtBDizCuHXxd7ZeN23ZTtq4NQ/atUpZCg0qrQi6aeq+k/X6 hbGurUoURws1Ia7YzjctWsoAATzk7oiy/JJ+GgorluGVoTcOQgNWNGenveNZnOcEFjoayu2MocAx /bxz9XiMfl94MOg1LwLOU1Qcb2Q10uL0FqCupczeTpWdq1jh952p169wyyZ42kbnVJ2tBqBbJ7Uf 4jlC+CSgTydpQ4vCLUWYsKY3jkJy6qKDhmyDTvEBlir/zBPYft6Jenh8eiZMNMaJvIDeudddFJLX ycovjdw1T91AFTVR6l/zRFCK5uk9JH9KKzQpttFCcsT5QJ+QJnuu1i0XNQl8dqKc581cFnG+7yQ9 33Vy8bWB0wTFsirA7/lJPu8cPazG8V0wAAVyiMPB5ngYkMsFk10KoVDy7Y6bEAIjzVUtcXln6HUj E78meAN2cg06dWyPw1HGClBQrx7IcHZ+tN2wWz/vBD023YhDmTLF9lnCCoLyPY+pXT7v/LxudIDn DD/HUp/Nz6Z3el43H7/zBz5eoeI06gxfJoF3Arpka+mdned33bnu0XrwUW+ycBugd4o9d/632q3j sFD8QyaDi69BnlQogqI3/tc07dTvoKoKGZBqe08+Huy59N1EKOfHP1m6VzPfmXnM7niEs4L4P/o5 0aecRV+pw9gRXuyqeyfmYS9kTlPago0nZ1PAUhXQXtrLwMYYP7qlNqjlQNGRDhN+grehF46SOzSA O9WNNGgx4WeYAjWX8nnn5PEA9+am2R0CXe7x5TshD7O0xQSabv8xihmBgbCRXaVs8HoA/vmdj8fF zBpBLjqgODqoHoQQ0DJZKzjRtrWtCpHxlKEs0y1VMxHqn3c2XjcvAtD9dICDRsY/uKTzO3GbQz/w 807G40X5HRBU0d6Gz1MUh5sXn3oqxNTPVR1TT7lpYeoJleRqimrjBKrDwZv+ehpt01WINUwIDkIh EDJfRccXOXjo+lIl0vx5cUF+3ml4Xarc2GzPoAO8wRGKeN7zU3/eSXgIW/Z3owUVJRxpPkvvYThu DZZR+mPZUKfT0SuQa7aaVjWO9TsFzzJ4hAFFphUcbc/Vufe5IworTwN/j1SHM7kR5GYTFG94AmYr 7wQ8XKfYbaDpDx8MULDy4G3eUudrBospeydYniqQLEQwZPMUheF4PxxIw+CWQxQUYlNOIGgNzgJ6 oV10YWHcwsuR6h0tbGr9LCiQ1bDA1zmDmhrBnUCE83tAVL89vE6iCd/Zd5a+AiUHBI8Ga9ydaVpi D+P3fA/DTQjlqfieX2rV56qLwnCK+Jzb5ik9kUAuvHO7hXH8rQrD35l3DAhkSrSmWYBQuX2SyFKw 7zLhzsBe4a7jPAEbsoFHARHoqWQmvXGEmDO4pcCXFG3nPJ0vlCESXH0+77w7xpfpu0qw4Kh6G5zv tDtWqwgG365YA6ztlaW+1RQgPz1wCivjSSZOuzyjIy/hM1w6TZzOAY50nvNUaDtbsGwr7LgdwYuC 4ueddPecT31It+ZES4RxY0HNxNjn7Gs+H+W/33gnakoAFWcTFcXh9NQ9ocy8gKfqCNVUFgvmLKd0 5ye+M+7w/pLtnubjNB8fJ3RawBdqhsBIQ0hEVLYBOYbpstUJ+iNt8E64YxyDGTpJ6/RBJxQC5aF/ 3PnzTrfDe96wwGrj/WfsmwC/s+1wQi0ZqQ4XIts9dUM7r9pkr8oeAngZQGH+URufFGO00Sfq5CoI Wcl5PekmhdawooChPuEHeDngFNoJNejN8M62Q45FneUTEnBhIQDigiLOgzcWeGqfd7Iddu783Ww5 V+9OyZsI72S7i1VpaPJnE9bC8fE/qImSdJPl+wzdxIoV9c61s8CGkHlpZXC0oxxFEyGdcRiek4TA Dwqw0JYBDQOU6a3ytCis9c61wxd1lpyWdKkpPs7qJbrN5DQBEfh5Z9qxojG/A6jz20BGx+cpDMen OixZcnZZuBi57my4uMllANL3tqCi0niT6kqbKvhyfCp0gGFQMHGdiSqiTU1SDKCffv6i/VSexrRX js7yIRJG0WBq1OCv16ZrLNfPO82OAXn5yu5QoRjoL9k8vQfkgK1iEcHkm8fSOcqlOLYZkE/920aI qa68d5Lds/FWU4Gco1fozhqCFwpDg5PpddoUAKuCcKsAb4is5m48kjHeWXYslumEWt0HdaXwlXIH m6V+3kl2/09hHBH2WZR334WF8SVCT3Eh+E2amip06kohvWsUkuF6CgvjUyxgkqtstH2XIDR/UnLU CiCCOoqqw4U4LzpAw1jJsmCVVN4ZdsyCrUrQfVB+Bxn4JDOU1j7vBDu2H/av8wkysf05yKPKuLAF qvbzxiu9aBGdjImQeGFVmmNV3vl1T6NlL2F8OXpI3hbkrYlfRQI5TKgzQ1hyQop6pxuRQ52MXxNM EyUxGk9zDdYzT6gzamGNzzu1rstr9Lsufo7MNb3y9E6se6AFbbmeK+jNxlzJcn1kK7i6Ls07r66b jNZ5pGhVlXI9VccA4yZrltACTNlPMIgS9oR27LrhuBZTVBXvtNRB/uODp3dZesika75z6ni0fSfB wBlMBEI2S1E4ntUwb+N2WVg/VTNKaivE0eXl1Iw4HGeRoLLaa6MDxBY6dpPV3nqiLFiIC5IIqSgk LMAAOz4M9/LnnU1n6Rn02KilzEFrqUITYarwND/vZLou54Yv9GpCTNq8Jt7CYByNNldUwWE+i6mL oSbOtYQkeNIgCCWVFiLGi6qYeeU7enJH7jjehrrvvZiLRQMOoFMyeN7CE5p3/JogHqAB2MYqtIGz dOLVSjtv+D43PB5EmL/WElqH8DnwWQojccwtivpGvD/nbHFYfZvdy3M4HW0tRRXxJAbrtgbwfhrA 0Ik8cSVg6SjPwWJbTdCC9UZIK++2i8nceuPgmiM1mlqENmjLFThka8uVgccDREFeXwkL2AKzXjJU D+NwHt8nEPe6Uyvzsg+05ah6wYI3mT7vcbg1eNAwGOuOVp87adsJKTMXU0NUTkAXqIn4MYGuHOM6 FQ4yWHsUhVv7t1OIjYMtJmR12nKbj0e8Q89/2XNCugPKn09TFIUPmkhBucOm6dyMhqw/f+ny8lxJ LlnXI4yKGXhA4vKOVp4Dkr4M0HDPNCGgWsKzDABTmvTIsOGsUEBxkB7VxCvzOXqG2aB5OluHfGU6 NH16FISn2r+PJkqgdq/P9TAIr6z25nHTX4F9WSdIV62uAVnEa65HNfFuVjBLK2XKBlbJyoIlpcye QROYtGODfCHdYFAXKOg6e50g2StH/Tqx61hQocMhqyC0JeBSxJlc8HzAZ7lQQ0JX0R+ZuXqhoIdE zfolyEMJB8paSk0MZnhGZYXsAcPwHoXhU1Ifo8jQkaPnv22gHbVIjYLoV96iRtEaEWyU86smB15k ug3ii4LAyZhjWfiUZJZo9RwY9HY7x3LnewbHePqu0DFKmenOU8TWpCXJibKGYQ3BhDclsdRpIEL9 htWS6Tf0GJ8iG6ah5i5Hh0Kv89/vynkC5hOa+GqUn4Bwt06rkVvIXLztelQYX4SuFpgDYcBJZOIN BENjQdU98XwwT6P92nnU5vSgoEdh+ASIroH+5uupL9e5qMUQiA2kO7Mk7CFl0zCZaY47+jwBzYGm JuYJ8MzdzWY9YZ6o3rD2PaBq1hsHUUGV+nYx9e2zHhh90wxtyLSrbjwfbLxVvsMC9KMAe7N5Civj gyx74FlozntS1ZysnEINpsxK5t7JygQ9DMSVgtSk8JGjlQkWBX9weqPZfM6hJv37DkZwPjkHe1EX eQGyAl85OMmTOdFTRYxxP7+qws2dUnFIFQs+4C9SC2Zlsic27ln+Hox7R2oxc1eYSbcfzBSc6hkO /ECeHiVUnuURRCWpd9DbzHd0ZFiH+C/bGhUqu3lKaOz8AJX6rANSL5eYmCg82qPiOErEgIvL2qS4 tckCQ0AaMys1PP7faMCYp3pXVIQaZzX/hE04Xv7HTYhqvuwnKjq3sp9IlOHlioogKraihjk2ji1h S9kwZXRF6PYMf3EgYzlPkwUVsPEqGo8XNn6mC18UxFDEQVfAm2wQmSyfValO8Ak98HiwnqavJwYC GYo6QD34TIUhudjA09w/icQwi1kd4PRToDKtraioOG5gnpFEu+PomMzOfSY5OvQENynTE4oz58fJ PJ+o8CTdMFJdewRTQethwvHsDupKgQTP/wUZJB7/a0UxOEALfdILTczyKChnWbcT8GdhFFV/WC1I PV2YLzvbWFIjKo6z5gtgvR3m4x7mow1gnRL5iaMVoLF4QRbqgi8T/HNeC1sm+J6glDlIKOTC0iAE xlmYc6iqmTMeD+iJ4wLsuU1hD5CvYsqIonI6S0Eex4OoVU0CErpuQ6q2kEwpBocecW1c6Llk8jvp yu804C7KhqQoZLA2vCYYHAyUfTagRxlXkYurAfKH74mEZZgDr230xJN4sIlQTiRY2M4dDRMV1sb3 d2180KTv5sIjho0THVYMVp+hteDVpzq366b06382oto4TZmw4ZXstSo1Z2Qv7YSXYPERn32+bRlU ZeOCaIDJAPTpJgpgT+BrAuwqdUEAMeIwt2MpFh3bURk/oeaIKuP5F4sTePy2L61lhFAV8hBQRXMM XblQaJnJS/QCO0K7LgrJl3Qfz/41egtX01CNDoQrSEwwhIIIoLSAEfZ1KLotd/9E6pIwSzFShSEm DhkbBDUs01zWGyQNR1gXb+vrcBpEFVxK8IgC8k7Yk/zJJBHWq6V46WQbw1vBN8UbUUDOVjSQmGoJ c/S6SkWJvtPGi0pOY5rkFQiGRIcBYWWUYOpX43v+JTID6XFBDbNUsk/AVocsw2FOi+f/Sy0Tf/iE W4rNUxiQi2OepycuyPD8DJ/FuYkwyrV5igJyEyuas4w7eoI3NvxrKMUzYTdJ4TfoPhKvDeG4eRX6 NhULRogXl6D2/z3CB9gmBEVD9w+P/9m1o+M12bbLY4IRAsapFL0JqhVPQ9bEkzwNShZQQi05+WdE gPFqAN9pdrvz2u0CMYOUgpzgAam+LNME9FxQx2xINS5cHK0zfE2wmuhZcg6BqiEpnS3025meBY8w Fv/V3CxQ4ur1XnQhTqUMNYF9lkBqdSZZHY5TKdnpByMqjFuXfBueZ8tvPmOWkGKcZD4zuzshSMnb mlEAISMcRvzjs4S/A18TxE2MMNtmsa5tnqweEPBUPX9x6nj+LwUM/oQnChu1e/9gRKG4eeCs2Z4k ODucJ63k04SKrqYpDMVlAAdlojs+pJ8TmFPrsaV2dsoY0nWg+kbCX0d4h+86BpgjDMWJWR3adeho no8g0itRtQXfei6EhQ8IygXFQ0zy81j1q3fbhaE4KZzwKPXTCcooKhckmjhKj69RbK50fFJUHRef pWumOHoaPHlhA0jXELcmmsNAy74qigAFE4gus0nQMR6Wx6sUx7GkFr1BhJ9D+WmLSLZzwfPBRE2P MVmDOenawhmtiZphfbx1IaAFC0MbeHi7fAtxiNCpQq2MEzVD5ULDWrIBb6NzXSeIqK1LAWOd/1Lo RMKdJjY1WgfeuPMXDnJgnk8lSW41DW+Ww5s+y7Ky1M+MIOOzfdd9aZXyLKcZBeKVVZVGAjIzu4pl b+ALEiisPM4mcOcnRfgwWd80E4aWu5GY0+cUhEk2AbUna02DVI7VKaF9lhv4LCU/MN+lVw42HvG9 eRB2yMH40oMCUpinXvD4XwoYDApgAr7GlcOKAnF8KcCY5syF1t1wqf+Zh7eBZ9t2QM2Yv2nGN63f 0Q6odOLs0ZbcF+e5iLNsEbhyMhqeP6VzngTmobLDDOvjBlLZ1QfO04TCCJEYECPB49FB/qtdfoIs +ML4PEWhOLQbqMrjujNtrGvjQjFn2biUbMHTjKvjSuyaqr8cbT0BjVIIWUTzLp3kRxqsUB3/oa/S XvXSWUgimyFEhWLZMwlLl9iKQ9ILWZQhY/ky8XgAKljfCQuADT+LSDpNUxSL014WRHyXoKt0fFK7 pXssfi4rNweaoZahtVnMJHY+JrENQTKwi8ReQNhY+uNnfZ2RBWcGoN4KpjnXDMmbSdAwSoxbW5Nw 6JMRidBSesXjQY+z/UKNQ6Wt3RhzhqG4eVPOdndd3l4mqKZN18rJWaad4RFEpQs6t4pSFo6XRDZb gq8C7aYgRUJoGPQFIHPWEYqnGz3RxHNGoXilL1chJVGDKImpNdaEftoJ4/D4X/U5gi+gflGePRdB VKj42dmNtZCg5hsStKtW0BGqaJaiyviy2amt3tEW00a2NiuF6oG/7SZmT3w6YD4/+9EwolEMvuZf J3grpE03GFErS4FKyBAWdfAt/0rruL0QpeAAsFmKInFkSQbHdEXD0TytkxIdQ0wclNpyoaShcpBV Wr/j7XCChACFOaylDdGQpLQOySfjgfXw70lAmGFNPNF1ZI07qDJXUxcz5EQefDyYpfSrlJJovXDv uQiiwhwMIlh+fo9xaZutmMMGRD1chnZGgTi9TxIxO3d0kGEBZA5JCooEDfmL4gE0XjYo+AVQMKez EFc/ozgcNmFnygnJhGNKlXbzSSprkWPLqrni+f9SJABGuMNvyOYppG0KFiaUExEGyyyTzrfyKBXI MDssbMZKKoTygIt7R48uIWbKuBwA5XOArKRQHSWnQTRRHbcJzAnG90TwCyo8EeKrQYinE28kLqd2 Mh48/lcQzuWEami5ss8rCsLTlkSmueRCcr2Yznpq/YZNpbs09opAKiiJcLMJp8PRNl2FOAW9yVAk KNB5qKL9nKNhgGKOrrDpg0ijYMX64TyTlqxgV3b0XKI8Gf7yVise/4u3qfLqyt/kgxVF4TSZ7q3d e26l4dOkK0deB2goaprCKFx2wieqSXf09BfcDCIcEIUXeBuoNFfl136WB6bIEE/LXjiqpTAaoMn0 GVCi1RFezmUsq87zS+P5CD/nwaU0aWEq3P2iW6GK+GRlrtfkKMNRH5BKccRqhdOYpikEqWxDhokk teXq3dhdAZ5jJrL/BqziqqrhTBTp6oVQ7QZN66fha6LKHNua8K2zgRtlwcPLgGGLj0epiqMMWSFG cNHn8r7mimJwypyNVLNL9p8406OmvR9zg+Rn0wqB4t2ovXJU5Gir6fw9MAxjTgeNaWYnuOkQBqin yJpTeSCrKy6H42iqpB1gNZ6XMaYq+u8MwgeEaUOAyq+zCcYk3wi6FQXhxN8AsO9MltrYXNkoiE8G mvAFTIhwGDetiLlZrP+rQpJGm6czFWdukgD1G4adLAafZUXUT6ct4KwPkmfqlYPqHLhR+9wEk33y DMs0RpgN1x0Zfmey68QnRB0WrzphSQEssfLy+tyKAnFK6TcYjCrEbNCCsRDzpN3DQCoLNFtNVayi Iv3eqno3R03ViQOpVgg8CSxwMpMyyRfhpiPzCqY25gpIwh++KELzMO8dopENY4ki/e1D6iDn1sHj kYi/h0+Y1LnY1/Si0wrR4qkIielgHsA+DPRU63CXu1RdHWRFRfFk7mIGehoP6KntAqoU8mMc6WfH ZVl5F6ZLGdJ7BUV9g15k3XihikqnadRgAjyGJ8BnB6/NshGMd/B4pApdvk5ynGoLABmbpygYr9I1 3OZRQz+IbevJSnYkkQGLrXkK8eIyjOpWLuDo5ZQK6xzgv06uCG0+8MwxT5UKGbwD5t4XooIEC1/0 L+UwYDs1FDOrOek+6ftQw6p4PDjLd/+aJ/hBLNwHNk8hYryrs5mSJ8B5u54ogIF2403qw1BiPK6L S/GjCPTE0aq9aGpuKHxxnmoyT+bz71B+gpMUj2LrABc2mPBFgbuIAS969kFQnjWIFsJZNfieEaDg l6Aoav+7PaFBjFABWTr1B3iBPofJqNRyJ4q2dZyoMB43mSd53Wr0BQVHZMhAE6ECowOe9ytTYwuu W2D9zBtDURh6RQF5FfegSli0dqvPDQDFtnKRWT8rRKj8Yv00Mm4h0qaJ2lFETjHGnpwijU5Lvwra pKSoQIfzncHBjsriVvAdO407+oo62RDED6S8eq6pqVBz4KXhY/cDVVYrqSx/4YjlCnJUhRo5Bqiz MJ9N5NJy300+HrF+vvcd7QHaTe/2e0AOIyCSXKlUwUgTJIEfp+E7G7gAFWCy9bGSiikaKn/h6LOU AEbHXQFa5YIIGsGGUIBEuRdKaLmte9/R/2CHsHEqg0MkzAdrH0C3mEsEeuz7PSI3kO2dJ5Rne9t+ ju8QNs7SPfBMVsdscnVluYCaG3L+423GeYph4+qRN+loc3SuXQMRMWk1nXtvm5fGTvST3MSO32mi tzG+J6rQqejEQIqDoBeIjHmtnwRy4/G/qJty0Zr0A/BpCqmbs4r4Y/QodA3v6VSKb7pRtnE1dghQ MVnorjyP4yX+nKhiVdpunoj4ZNeURD7XcYVVA1TrNnUydYqDpYTviSRnmnA8ywcdThDCYBpzjqiK xyNZ6P2dB1eAhupV5tkhZhxvzLTLuwfLPTdxZbkce87uqLFj6qaJqkl7lqNDVApOZmEKJu3SVUIv CNIHkkscEF6jwx+Lr/mnRuYmKmwidCU5BaJhFF4kT2Xj+QgV9s1BAGh9jismuqNonP68oOA4p2W4 MFaFPl/yIh3pFZymGKEiJE8zMZX2iKmgwTvT5HIaSOuLWOjoMsPy67wqqBWmVIBfDF8TxE6F2nOb PQQO2nSw+dkqHKSFxyO8083vpLm6YF13r7oIoQKiCYWYrs+PdLRhqpFbstV0/qzkh1MoaSg8xVx5 3tHzu3MLnLNqmesYDKRkdote5KCJNW5V9DiZ3jW9ccRwlRh7nj6o5HsiJvUS4G2Nx6Pcrn3vOtC6 KYJn8xQG403ave0yyfpyitTevfuuW0SRd37SP4UK9pQnKUcnAkODqcDhDjIqrZJfgV1HEVbFEM0l jArNnvE9QYyZWTCAuqYNWk8nWhOg+KTDA49HVbrvGLMglF+3gbCjWBx8QBTpzNgH03QFsVKm4zRb 5RBH1DTFcPFhh3e+ox9OCGsoLcM2y9xT7XSe16Q5U+vYECp76oWDzI70g93JLuegWuboiw2aH0DY 8PhftB8CCuBW+RVfRoF4k9BTm+meTdVtk5Hv22LqyY1HdoRPmeLQ7bHHHZ8G8JSODDYdsjxzBaJw QTtfiPvLfMvpPY6vCeJLSkFPAsU1KF85We8SoKfMgcejtfQdN2Vze7n+LCmKw5s8k6n88z/W6yA8 yOLT+c+3kHTnq29FJadQR8XsbZNEHTlaiJkgRlvhY9nP0XFmVLj7SSGdczChywJ1Q2TEtPzZ9tKR WRuFQRopd6xRV2ZkDVFr5UkOreTNjwjO8l/y2YOEiadWR+uHoDFV2GVB3PM/NhXEZMGCruiuSSWk QpTf5isMyTVf5xPaHe00hwMlKpmbVi0bqm5kKGZ2RM8pVoDAuIxXRnH8poiiqHuPZi0cvB08tZrb Sbv5fJC8XDAd/SYyzsxzAbc7W6H9DyJk1D5ctWAUFs1RX5nNGsWNnso+WyFwXB3MntTv5eiz1QEU LTzTT4iLmib7eGlTFxGpV0GTyGWPWTjAN0VQDCbE6P9hCyHTE8f8zD5Ju+DULX5AEE1dIrVS4gHB v2eyonr54NJiScfq5XSwVPel3M5w69eMJIXmm7rUTjo37mhbcS6o1RZuReDjl3Skp6I0+BzBFKre bE++CCn0AVqkvSaCfGQFwkAbsCAGcbDO4/N/1czJz92ovZf+nFtRiJ7IcDmh7rbK3ZLDDWB1vV/6 aykOq8spqpp7tbztZ7zQlYW6DRRFT6afoMJm7LJGSUgs29VcKEuMdX5T0Idh8WBWek1y0GSdfy9i 5wmWM5+PGPrfUM2sxv9qz6kV1s2pWzvSaAavKwQwk2K2pZzJoA6ga8Lr8FH/rEvNLrNJjt6LAZQ4 Jfm9o9G+WVxfwvJlgjZ5IVIao6S07aWDFLkw6Rtsx3DwG5GwLbIT9HzA1L/NPR1QoJ3unq/ZTYq1 VggeU8gptEa3Mx6KTMnP+Narz1YUsU/jl1WhDzjaRoSCSyKuBtpi65wsogVlOlMXim+A5mNnPDiB +qZ/yNXttPodVHeBwGU2XEvm81GjwXUNOtfWCSDOtfIsrVBypYiTRxdT/uOq3r2iwbhNVqIxNzdi WEPXBmwlpztaT2ag/kmZELTVM0DUrFGNRcQ2ilcl33S5QB5CLx0x0en4ngl2blJRoUBklawO0HaN z/8FSmRX5pxFBN/6XEWhOx2B+2jVy3kzuwkApMG2V4dZJJG9YqS8UgRHnPKY1OgwV/D0O9TiAP4h 8ZdVT8rCT4iRIWB3WKLsplKoRj5Vx5NmXTGFrrOWQDhiBfRkhXw+2IQ9fc+UXd7Psorid5ayR+5l eNLMGFaVz1yttlAWfXw0VVElfchPopNyZqNnzZ3mr6nSqQT182IIoLMyFkB+uRAoJWoeycP4oqBY VQW3W80HcT1BSyaCrY48+XwEAfqGSkEkbrbb68uhaSdpWAC3+KpKsz1y292reujNuhlliG5RJrin oGUcPSMc0BQbHccV3HL31AWQUckH/+mc7TciLUQT84sicRFalSBQs0GZM0x3t9xgx+TzUVL4PVVA fkKT8U5VyPeku0QvV+W+teklhiUpDZYYmPNpqiKEC5D1ROGPdkdN1SQHpsrwDYJsvduyB9yWQiE8 pkzijxjF/A/fTjaOCcfX4MTYNdmraatUPh8JAP8ypMSJjmTPZyqM3M0ZL3fLn6GvaVWG0pJrRJ0w vKjKkEPnTlNggefyHb1WDH4BQgUuqr0g4MoyQ4HUD0HNpVzKENlL/KKIp0f9us4q3+q0LmKhAU2M zVO9Dj4f9ZC9R2PwmJ+ONM6nKqqqE6d7zqftQlGpmJbdOaroOk7T3EkMpqYqjNs3tdm4k3y0RXXu 1TYXPd7OdhlQOFYHdVCd9Gz+fXujmaqo/J6o54fmKOoXPgiEh4p0U5QF09zAvvMblcA6BRnp40pH 5tDAk7SUzkVjVZlU0q3KEKHPVYUb0vZfSP5UA3lvs+PYjx0HTE5PnEZgwtlzqZtZc4YmxMgoG852 q1dsXfCLgvIV5e5bo/g9FHFsVRGTym9MY/P5oJO8fiMWaVjwnOrvIXtykBlkCW2qKHXGDZgHEbCF 2SBP9a6P+qfwfWI3xEavrp/1CbBzJ4WhIp9SEwLRyKBeB4xhHT/Vp71zBMdD8FkaW+8cVOmD6OmW d+4qfD7qav1SuEWEglfwqQopoEm6Y9WrDE25IPACa3kuCPdbQ3fm0MbTkOeNOis2OhmtwM4HME6S 0XAlWk3mzMoGIqommryo2qcSVujjKUeO2UhG42Cp4LkfJEnauPyjcH3/SgUb0SCXBpoDI09vKG8H CQMI2x3wsmv2tHkg97GpCn2DLG0uopqNR0fyXH2IORftuibqTYntL5gJYXp5EIKdYk7orWx76QgM S356odoIB8VVaAHJ6qWmyuf/iycz7StQePa5iqN1rn7NlfLn7szi1Uq3egxJljZXMepFLNDantHR Zh0ZTSkiW60xhSxG3wUN5cKYCi14Qwf1Zi8dlBgSC78Q9LZBDdMKNgDPmvPqfD7Cet6OKecFgLPS nskKgegKQrfBzHCqEmGNhVUqd+biZOFOYhoYe3qqLop4845eYaiothfGC7gBk+STT9CI26BAmwX8 4IdzNe2lgzSQTfgC8KMNWlgVn561sDafD5Kb+qsLD4TSuQeuMlIOjT3ZcTkn1ph+YqXh+LwtZQSe WHhBzVbo7LksAUx93vFuw3OJECp8ltYAmYJViNUr1aR0LbTyNLuavXRQYWD1aqDgw6Hq6DmBM7zF f8jIqXw+uAhv0MB1SLT346kbunsyJupzb4lxg4zfXR4JNneihhZqZdhchah01ZDHSPmOtrIalKXP /cVtmCCW1DVX6IFsFn0A8XMFN/YFc2jvmeW3xM7nurrWbPNrUZwwYPL5IL2pvgub6hI/Kz/hVezu Kc9K4my4CZuUbdDMaTIZ3IQzgnfAEyuw98SyUsrSZV3S3Wmamm0AeED8HT7NdaNIyqIoUewA2fwU EDO8KFqTvXRU5mN8VTRk9L9YVzgpTUnyMDv3FT/gL9PYrCMV2qX3KgwMPlHl44nVzCoAXtrNK8hD SPVNqclbQQ4cPk0sGCeV4PwcHSSbT6rW1ETIJ36g8SmPd+SPPPeBjPKrMJHXl2OLT7bkE3sKGCyr gxAaYX4nvcx606DEUO9VyIYZiBPpyXFCl8/NpvPqyQN32mzhAgRD/worsxigwD2w+QQIQ2ng6uWO TsiC2EGVgERDpzXJ5CPDE1q2A2A6+cKiiUCOfT4LU2ZWvc7AaIRFBqj30YBjnB+GH/CfuEabekm3 dFVCWAy5awOQOaOH7P3AYqbjGCYxXJqrEBdjC+v/RV+fC2/lzKIPTGEWDNEYYy0q0oJeAdcZL11Z PaaEkTt90pmC2WBIhqKIA9SlxuejdfWrzAeADdhcPlVR5A74J4KUaaWr0XNzC7Q+XTGpsEpuUxWq KXZJUW/J4nK8mI8B1Psif+3EtnUMqwQC85goTXtTZ3oF8Xv+hfkg2dgGFUTPzso6uM6pyOf/qsdw r51QZswLBM2h36eUSTqjVdMPNtoayuy3clWKyyznwPDznlZnS6w7ej0GPtclCR2D/Wd+J+iC/WwC i9HFsQZOtqkK4TGEFBc49nCw2+w8MKWm2GvSi0YYbL8FuYAAaxvpOjfn0POzy+S6dgcSnbPKU+f0 WKFxLyp1Dkw/s0pCYI0ab3s8lidwDYAjFxFXBfgPCXGJAopJ3lhRiq0ariF+T3AJrv3iZgnDH7bn WBrNfP6vo0qCigtQywf+Edp+JupujGknOM6qec+qNv1c7wRIaKriMrvgDEV47O7qmQCs9wHA8e4U 6SzwE1XnkoVbHAIF4qcoThKcVuydI2S/GBCFeCLIhGlZAeLYFIfWzuf/C6UG+uWrXR3hHHp/MjMa iaYS6kh0t447obMJ6J+zCp6E2oGx+edSIYawPBvtrIKOIoI1wh0xRVnT2SBgxv56u815g6zn0P0z q4NaJANQDAVXYRHWxYFglT2w/0TE/q14A42cBdiZz1QUsW/SSEGQvFU+tyI8b3QvQCQlPlNRxL6a mRML+cjxAkNBrEVjBUWGszNNmSpDSmPQVSXv9ZDc/Z0jnU6S/irxxhxUEW1sNmLW9tx8Psibyy/6 NiQFHjmXHHuAEmk8yC40Ys3VKYExuPVuQJ20iD00Ae3bFooSQY4WsZ/fEWrC02AMAEvQdYhAujOJ UPcAlsG6p119rtAHdKq4AHkBgGhxN8tf76xcE6huPfMD/pR6w3+drZl55eFz6Aba2W0m/MIbOIYi OvtfmgrNZfRtvqKg3YQBGozuffTW/FmmWW1BnF3guxPpn3Awn+Aa1wW6n647pdZ8YAgK9rkwfYxH afBn9uln8SbCgcYC7iNwBJWA87dqyQJP+jmxYktQoojKFX3b9GNTAWu6sw4kurJPVohjVzpI8LyP XmVA2D5oxFBPgrAQxPEGoqNhpjMoiESuPgX2Ob8pKozKn7CJL4kbjcc7XHsUYJ1/mvyASP78u4tD 0a/H2TkHzqD4kRC1F60s/WO1ymiBPZQVsLAGLB0MrUGd4yaKrcZbwDphwt703k3z7EARKM7OYTEG KEtaxljHa89mLx3tRIopEFqrQXWGkjIPjxOMojIauIMinaxfcwWVeOqp+FxFYTsZ+ydquLK5E5U3 K/blK005uqtQ5cAeFII8RlU2b7kxL6T9/EUDgLvOOsOeTaJmi1iT8/fhegUH6hJu/KX/peJ5LlUZ ElGGhQsLxWCiIE+MPfkBQY5zj3jKD6J1nb4mK5ZBpzshPZkli6N8GbC+c9JWm6y25/LJCiN3ExU0 8jJHmyzwbmYt9FIF6a1MThakSKBEAkh+5WRZAWsve+lgF6JBeA4lKcfn5KJdEwqvCiJW5/P/JR4F PWvVpy4a2oRSFO1M0HI+7lBPQiYEXRhlKuhWn6swcvcTq+Y7+sKizuWmT/iG2qNYOgsSHgC3olRV WJMR8kol99AotPOyP+da9kEl9wSPEf75ey0+H8Sjl8sl5NXZhbtfv8IcWoVW9XJ4cqAwepZvNug2 YuhC1f0TbYPuZSdWWHJXeiMeoY2aLNx2sOhF8gzN0AGfWCws/hwnNJrsDi7ve2WB+gKzUAXfMJcj rGgh76hWD606ZaFhPPkBQewwvqN3+MXM+tyFsV3oFhs3eaLTtl2LsCniP7KdKkNMxKSBX+j5KFmC FWADfNRkLZR3z0TQ36JCf3i6+8y5Vhrwgp2VBlmD0X8nB3ahludsCMloqD9SfO0nhOsPnCHwC/2/ 5Svo+4xzUt+ZChHubHs1yb3gH1FntEpDS9v5JZlq10wJQ8PQtaVUOb5Gy543tHPXIFb0pNIgXqnx TJ3ARKdD0PqUEg5FWIFhqHoE0AkoMgijfbRKfdAuVKkBTfrQMjT1/Ct8B2Op3C0YeoZWajSP/lQa ZuqOZ6DosfAMANzZXMXhu6oxtmI4eg0ZstVluxRMQn5reAYUjvDv8wNSS8VeOUJeKRilXiy7HHKX AdJ7Khg9S5ofEBlAf2c6ONop7etTFUXusLAHNOaW+pK6qUyf5SDaSDRpXhX9h2+oSn3LcA1rXW++ c6Hijq8UQkWRxqoRwOCdk+Uso07rBomcpGSvHEFq2ZJgHVmDoFfwWyTfBK1NPv9fJL3QF5z1sipz YBwKUwJECUlMCck2V78EWx/J+s7w57NLMHYOFT23Lzlj9pWfsH1kQLQpXrnORtziOcFUvP+c/yPw fPsRGKrV3jniTJACJ5AMBwUJZXUJi9LtMYfeoY+UvGBWiKvycweG5qGU0oc05ra5SuwKSMBjZde1 xg9md2DgHooCsrwut+WD++aD0Gs4CwlJDPJBUA1o1g6CCVYizvMCjovDZJTihAaiTZA+N1g7875k 8HSOvMag+3xC4wcENeQLVGP+jLseadedrdC7iDJobWRXj606o6gC7uqWDcAzD68CF9HsCWHfZsS3 n+PqbMhzgoBrAH3rJplXMrPwDFR3UW+/TXr51efASFR8R8SgxYZkgicQyZReekaxIXQSLcsni7WG TmTPo7+fQzNRoZ82hVuVPkt8Qcry29TQCkNUm60YK6MLMMtzlaM3J85FWEbmRQiycx2CQGb4KUx0 C6HF5HO1s71y1B6Uwte6g7bhqK3LSW6DuRT4iaI58S1KDGgLysiePH9egqtxvvNkC1LbnW7pkAQ7 loVvdppXWcuqWC+h1fkgwK9cTmDd0cvtG5bp8EtF0H6uXG79hGz6nKtAyPAuM0Ic/aBfAiu+LjpT YKKO6YNmqVUxh7A88fT/M0d8x23iqMIBooC3UIrGvzg/TTRDAAECVV6sujBlcbgfBVl05kty1c+X kIrfbjoes4nazNFpcAWmj5sCsquMAqFMzNCE6MAiXrkxpJLcSWeg/jpFJ/ZsVINJ+Q6aIoZ9lBY4 Ye7nJZriX+v1KmSrmBBoXwE4pzl6Cab4FEULQUV1SfktvxmWq3p1pfQ23PDiJZTSKuoqr9PLwkZD 0Z6gbMODmEVjSOR3kQIacX0ATnAVKeqcbJq+BFJ8XYB3TvzUWVznoIrxhLUJ66HnuPi8RFGaYKPb DHOLhJ9Lv1P0EkRpGUmHyaki+Merc3Kuc4f6A3ylKXoJofhBw9CzS4p6HO1AygsiCwC7INpccDXu wmTDPwFex4hxfYqyXvd9iijPCIcjlaegzC9N1IH2PpO9dc7ul/jJ/tr8vdNOpNkmkbM0e4+maPAA IlpUFWLJLtG2t93mQ+Zqo21vsIqGeV9vlTzbfkqeUCRuA32G8+kVAQJRs+DWnPOTWC641l/CKRXi XkInnUZ0dGILwAbDCq2dJXGC1vtL4KSny/R19GM40Ma+H71dgzliFfece8URCoNqr5yjs7G9mQw+ n5nRBnOkStwmqs9Hp06eQB7CaWBslTZh3jtZkGLaDNEq2pV7gzTJZDWYo8WIaaJVbINKLAVdXsZO fZXPS7ikJWHaS7aOzjuvNn2rvcRKOuaB4jhh8LjtvtmFLz4JAKnaavehjCQrkGiO2EKH8HC6oyd2 gArBdQenEdrv2o5rojp58nzUdyDaYXuNmd1LmKS9RvzUmY7GAQVXHSx5n98RuwVz9XmJknSaWVan OaJFq7hHmKOXCEkndpVrgzkZo9Her12KL6lvu5SX+MhObANQGUCvzDtHhag5kGZ6k6jAFIBqU9ME fUxQjG/sTSr8S3yk34IZXYHipg0Cbyy4l7Ahehbq5yU6srccX3PUwTYpyffaS0lTe43llF66H9k9 3b2Wava9xuKsFOGCIzsZfU31N40OXjxx46oI65GfTMSTS/09EFMrqPO1PyaYi44yL+VMzRErdH01 G7JKuOlEFIl9ORQFPy/FTMUn63uvQQURXgA2Ry+VTP1pLMb3MRzcgmDG5mgoRVHD2MEtL3VMi47M Frw9o2clOKeRQJNBSulKqgsunC2A7nZqml8gHr2uXqqY9sLI0RaOOw7FyVWzq3UMNa7PSwnT7rXy NUdwWzsvUpvvtjeiny7txCpvvwI5bCSphJKm41ogJHQ5kdE8iY48jcPH0YvjUNVPmW4NJ1kYVSL8 i+7bJwmbvL/b1X5RrvseaifRHHah8oQG3mgnJFvEh0sN7o3mpx03v2cK4qljdV9Nbyw//TqJyE73 uYTjwHLx+H77nijWXUJkNE8OlSrrjp6PnAAA5Rx28sa5EJZqAgWSBCg6DtISvEc8h71ydHoTolFl ulOd5TfSueKk/jL2+ryR/Czg/p4nbV2qn/JL3wPuc8URhX62pzfT56305jqnleROyLwuUDGYp611 tJZqKBxtnkimHNhVKMGmNIvuw9QQHFaKT6TrxEcfps8bw08XTmNiu8ke5SDAPvZdUjq2+XgQLI3v aQL85Pwsd9tFQXdt7NrR5kYn+GoOZ0nyS8Hp1GT+TDhLcIR38+Uto9/xQl8hwUjPnRMoUedxCKt/ osxzPSJ0fowtIKnEN37fdQgfIAKwsg+Wva2s+lItAL6Gcffw9I0vh+oSBPRtmt4Db8tMz2u7Kvpg mqVdt6TIQSuCfWGv75E32BH0Bq1T1RKOlr9BZvFExqjjVuCH3Dwb3fqzmnCwEvhlHZapqmV4OFFZ AhInU7qWVQXefk5EalDDaenzxuzTps39a54Afv6ByKVPVBR9E6nqbjLcdip/U6xy7WLbbgMWrlrA e/iNC0H4MUBbfbQs7vxi5yYCIx0oRbQNBHcBgg3u3ed7gUS1U5wVwc8br0/bewNHxi6GDUInohq9 LRIvnzdaH1/TVRjtvkMlb+XmgdMbrU/f2pia1EuSGXs6yqDyiBTKADBOzVMUgrO/e+aHmng2Oq2d Sp4QZsFyAmFCR1mCqeMiRBsMATMxzlJLiGLwRvvwsuiqy8EaBieUznbb7c8bp8/S5V/HEwSxASuz aYqCcDfAHOXiC24Q3nteFoRDKtimKYrCp7AYK1sVTnoyRtTugBZTNej8KScAYBS6JpoQC6FwxoXh 7P/Z9cbv0zTknNY4TUiX9fee/7FmQU+djwch5vQwXNXf8wJgQ9o0vcfhWE2cm9Ecs2Jes0ulE7Mw znSNVqcgCsSH9X+Jc7LRdh1KAMmoMQ2BNjBERGqAAELZRrTNr8l61xu/T9OUh7EYfRxUYDrneVMN 7kSCnzcmn2Kn/Z2tQOIf9gU3eIpicUSXYLMbDBHxpmN7zn8+BcyAyVbz5RQG47IOL2XVO9oxjgst pVQlnHfCp2JFFlxPMAdBV6U5JH9KgyoKxiclbxZ6MjYYfR/YRNabiL+IovFcfZ7INSqoMs3ptcoo Fq+0ou+ep1BzyrF1qY8r1lXdxfiNwGexuGKnZPWB9EjEtnMdAOgkGbjzk5gM3AQ6ZRM1yc6vlZnw Lp83+p7FaFKppLoZBx5OPYFPZ1iK/Hlj792Y4pkmbP8tcQROUxSKE355TtWrh34dQ6mk6yFmgkaw puk9FEetQfLeZPfZ6O25dJZNB3AQkmbtnE1V6W9haoc+c6VcpZ1O2V45yO2oU0l/alCqJ9cD60at L2obwdtits8be8/uu2VT1VlLgcb+8OvujbynZaxCAWGoKso98VORUShlXLaD6t64e1YTVDWOJU4b 71SNss8lROn4Abkx0/om7KCB5kGG2gWYF71yFEDR6hn0HQzNiBqQv5yLJ/nJj9bnjbl3GzHPEXUO CB6bPlFhPG56G8WrTpsuQZyoPS+S4JwrFj+98fYsUeTpPJMuPI6+9bBiEkAZuPsy+R4s8UL++YSY 59IaALi64AZVXN5oe1YvIFuoUMqsF5cyOzFeV8x2Ytz6eWPt2QnnxTmcbVgha99ywRtnTxeAsOVp +4LqNV0YfjGpdEKeLCB/o+zZESWO8Vb+wtEX1DngzhwQRjBRVi1sn5/AABaURMBOHlHzcb16Y+x9 TVNBToYBFXTlabPKd+4s3vZ54+vpYl7fywlHFJo9Pk1hMZwalZvVVU1TW9ZTSXSGtWrBusspqoab NB4sp+7oHQMA8iDqieU0Tj7UqpZTZjKAxLTO3J+jfOmVgwAqDYGjsw/CkxfQdAVgmuvzRtazZe+9 Jy7ETqv36XnLG1nv64Ciq5mVVUb3A2rU7FW6Qtksrqf3eNxijIQK7r6jl1XKiTN5cNMnrMJqk3kw iF8ZepU/hdRz88Gkq+obWU9bgGDyNWiuykFgw3NwFZWfxtqfN66e/uL83eqFKtu5yO6CCgNy+V5R K02J8M7Dz6flOtZnK6Bqhkjzjamn33kawMk4jevhNEI0CUVL8tUhrpdkn50qfam3vvfmd/7G0TRx fmAoCVAmkCSCRmP+iRs4udf+vBH1rDT9XfRFEdbcCPitYWWc8ctY1+NxiiYkj8dm5E/ULKwy/sbS M9jAEOczzzs6n+rkqOdconz82cHnelBbjw5XVCXPi6otRYiwpjcOIs1BOz6aWGoweAU4IjxfduZr BstpegjFl0sADZQbF0QBOY5OZBa3SjeGy7ulSc1xTVPvVvV9Y+hp2wk3V4lgsNEC8rbnAA+JFFnY CnXDF2ARwdP1Z86btyxifN/4ebaaMg9epnccOEtAf0p6r+01Pm/0PKtK/sJXQCsQLExrjEfxOJnE OFUd2kQTGltMM7u0IsRIrTMexuOaHViF39FmqSO6LFNpywk49zbXJxTEBwA70Id1tTJ05j9v3Dxr uFUahe47KLs7z8l2p50/4PNGzXuu5Btjoj5IqT+bpRCGMiigsZbfdWtVP5qke8ujiZ4vXEtvxLyn 03KiSfYybNQs0Qgs10l4fWEp03AYoBN2wtVhYoV7lwiCojeOponWhbVsH1RSOdmMeMutTT4ebLn0 fTIVZFLInWyaolCcwBkUAU38biSKFKjRkq+rKtJ9m6YQilKFER9iepbxMD3pbHE+mSqBKBAUEWjP kYXofMlgwg/wZi8c5HaE6wzE3DbYYkqVDkuMvT5vfLz/c37LPK0BWn4XUxSHA0yE8/saEYxmuorU OXCFsjGqAcXfqHhPOwrdUPlXVfH/WNs5KQIsd6iadt7n/D9pt6D7ggmq6p8Z7ot6lZ83Ip7BFYRH oU5ng5M76WBQFF2Zmw6iDJ83Hp6VVL6b5LXI4sbnKYrDN0Hi1ACxtl0eF1BP/00B6iFfqNUUFcaT GAcWC2n0TVdqa+xBofd//n9SzECbNHZ8KRFpFr0gK33eKHhfXfJkWiPJ7T9SBxVWYhCjfN4IeIpa 9jdsB7EejDZ8lt7DcPn/0T3cBScldiHcTr56dxPqjpqlqCoO+A0wrMzqbLQDHJHByWmlzAmIzlAG WBADDDJo8fmOJWC28ka+03W6eHQXFsc5CEyA6JXcW2CFP2/cOy2L5MgduaScNdGfwtMb9856SBBh yMVRqSM3d8ZG6Dws+90A3eqiC6viWwqmRObb6NzqBuMYKJ6gKk5hb2Z1Y/IZyJeV0h5OJ7GEb8y7 rwJdn0SDcbCu3TLA0LkT+ueNd2fxhAcEJL10eI90D5veaHcKmxaxKcLHsfJEDQZhnSWETmxKcaOG N9bdU2+G8YeoBMjrcFJQaXKdP2I2Wj4vcHmHmuVj0+sKOF1wDm4dM+mN/4WWy7J+RuxlBMWGrilp 1X1lvmcUXvq+Y5VgwVJ13PUUReHoWTCrM7xcg930LTsNW08JbURbT2FdXJG1W8Vs9/aGUgna8fh3 bB+wMWeCgPSUgPNVRVbq8F0ksZ83wp2VnXg+Fc7XOeHOuSC8JRhGTMuAYfi8Ee6snTW/DigQBSfD TE1UFIfzz8LZfcFO/S6o2tKtz1FAjRMVFcZNunQt1Qs4etmpw6S3UiljrwqJH4lMNkxuRZXO6gT9 UTV4I9vptxXDIBNBz0En1JngrbLT+YzPG9VO73nTX6nnnt9q7Nu3e6Pa6YTKjJ5Sdyb13oSAk5eY tvkZK3oqRGDGpXGtqK6ar6zXNFEnSzmLE00R1AlAMVjmNZAICwMpJ83LdyW06PNGtTP8RGenXPB5 GhYxaOogXjH/rW1+3ph2L407UALBpfZWyxvTzuq0PKKo9C9RLUpH/Q9KooV6fjJ+ht5AxYp6I9rZ jhDG0DQgONpEnfipQPEZVx5uKZDOufXwx2YowMJl8TYRFkW13oh2D54HikFNQzXg04K5BL+xpfV5 o9lZQWN9B1BQiMItb/MUhuOT240qGrIYoMEXPXc22bO0GEiDTQQsqKgy3uSLAq+mOzof6qyd3msW Kbj0ImFF0A5ASkKpq+yn8DTY4nxj2dmK4Erqclyn/jfvuRPxNbkZn6/8vHHsLCD/hedBcHKuZ5+n 94D8nGvoMYF4ap4oaP87yaAomZnEXhWTMHhj2H237s4qbHf09XT+HLARp7R8OtVDUKBr1MYA1rD0 We7G2/bKQWhAMcmdiDnkoKbURveZTalZ6ueNYafH23dTCmitBTKgzVNYGNdJri5d0z+66MpODugp nZUXrqewMK5QswJ166OHUPnsjkqMOJw3iM7AmkNpFt6vAGDg91cWrJLKG73uV7FAfuuOfz5hZibZ Fb271j5v7Dqrq/df5xNwHP0WMt/IdbfchaJQcgJUGUVLCx7v164C4CvdeG/cOqs4KxSfWk8cPSQf 53ooQHYAH3YOQxMyrcSyw454pxuRs7D3eSPWaZpY8u+VuB4O1jGHFqo8nPb4vNHqLFH7VcZEmQdi LTZLIUyFbjEQ+fO4IN9O8A3TW6Zzr2YpDMgXUza0Q+9oszQgejNw/eMmQulxmC87FFTRfgHvx8Nx LaaoKN4pz93oZKxB6V2DrTFTEXA13/h0/6dfjgdx3c6a7p6LwnFq3oMe/XRZ0g0zy54Og56ODnvj 0n3vubYFfuLosIJyIqYBYXwoLE8wHCzSQpyAhAWhloPDcC9/3qh0Nz2ji8f2QWsJQOqmWZrz88ak Gw7H/4oxE2LS5iXxFgbjaIic4zU7936O5d6O7NMpCV40VEJJpYVo8WJOAn3e0Qk+iBubifxN6I0L DdwhtoUm4Q8bm0b8ReiGrwniAWr7pc2Nx4GzBG/kLjDdmXY8HkSYt7E5FY2e+OGZpTASx/4YptxK mxjqvkk18inP7emQ+hZVxKlFChlxymVqdF4mHOamIvGBalFVE7RqlrBVcbddQObWGwfXHAGZY5Xq g7ZcJd+Ni6kMPB4ACvKvLYcuab1EqB7H4SyjiMEio9Dp8N4sMwFKXoBeIpbPexxu4QgaBl+jbTnQ VyryFCymc8mlIfDBBO65o/8GtJfldYP01R7yMqc1DpIPAvLAoyCJl7n5eEBh+VV3ogwqzHtslqIg nOLF55xfvuV63S6sWcYVBinDZf16hFAZdnzzPWy06lyGBR9E4zFLCeBqkTkWdBVbF+sn3zpBsjcO kpVsurbJB+25sx/k5wI/HTweMca+Y/BB9dPu5bkexuBVou5XqG7UK7xWlhUPGtkJuuV6VBI37sE2 nvN+SuKI+tqJLMjO3DB/KparNKRIBWWBAh0fLxOIMxbF4MTPgW5F4vikSyiTFQhGcD2dI7ng+YCi Ob+r4rhdfma+sIsecjSrqBnJ3Sh6cSX80lYxoOHJULtF4T2KwqdAcRB6vqOnv1kuF0y4gE/Zxvjh 2Xh29Ak5WnLcRebBjC+K0l9yWEFC55AdkJmzwI3nZfmewSn+sA90rK2fPh8SYsTUBOiYsj/VJqqS WkwR/CEHj47KE6uNnKgYn8K+Qbadl5+dB3/ePCiO0E9scWLwouoUYlCYttFm5BYyF2+7HhXG2Sc/ EQALT5XGKCbcUKDyjhV1Qig8H0zUxTuxKQ7JCOCEfaLCOByvDNyXi1wgAnQg9PAVdbYJTlZEBT3k awpZn6n/aKNN1DmrM4qPjMMXHcQ1UegtsSaIIPoeUTXrjYOwgN6pCQLBVCA7twO7UFiTheX4k9Zt PB9tvV+NFkRvT3ezh6XxTsLk3Cqi5HNq7GT1lEFRA+8BW+Gpx4BxscJNfpSj81rXCZ3GpDYkABid +m5wIkRcD4YZjqeLvCCyGF8U7TxphzUSWyeroVxSAxuRXGCUl/EBwWk+vy89yrblcU/z92gcOYs0 3HEVqPJEJVDMFKBsbP6eMwqSXKo89Sga31sQOjPrmF9mHQkymgn6up1q7amQHbRwU1GbdULn5bIS E1VHe1Qdr8TOQY7fB541C/cQeZztBPmfHhbH6/o6zCFYNHP13K5HoHFRNRpt4+g9kWg/TO+JuukE WuijPJKtqAiiYrfemmJKr6mjkpUCnE+zyWQW8bjI+eDgy/8MBDKoszpq/EwXvigKotjcLGTccRBB Ize4oXMTLj4erSc/y8lpSWjcnazcJyoMyVuSplr2Eh0DBpboFjItGsyiWpdsQUXFcSC8hDHcd/RL DzS7gQO8U/5o07w9rcSXRlqEtbS8lEl0Gr4oSIMXFX/zuIO6UuWc8mq8zDLxeLSgvpsIGS30+Qg4 jCgoR2xHs8bbRZA+inDj2wVsKzvbWFEjKo5PNc3L/8/YdyY5kyNL/udZysagxf0vtnD3CCRrX0Z9 vWY76JnXSWaBECFcWPuuPO07bELIB9MYbuYTojetuoZEYshUYDnnriSe5SOKyqFiBbUihZvVws0K kEjNsifJGY8HUXlfXwsKVCBSLGyaoqgcFQ2cSqnblbdm8mnq272XYE7g7PuwND7NyrLnOzo1EYrF dPmEBNa50CWuAgsFxgbIMle5wmq4aPE9wTRlOihAfx8DeOHcbScNlRXSz/kVMp7/V9ecQFeBp/zK GyFoPAlruCzYHA2VRc1T3fP6eaEboXmKSuMsWJwAKcmCcMgyfAhbcHJEiBiy+HQuq202hTC9a0CO ln0jA7CxBr4mgK5KYLuzhXdm3ArjFTke22DQDcfjUafFC77E/pxf5pw5ft2NEKlCcBhElh1C17MX n+ow30YwyYZvuigkp5Da2WxNSMMia59BCF1NoNIOaQ2iad5cpZWilu1nufMnUpeEWQqBKqRNN8k5 cRDQsCzSZ2l9vfF4dDa177XEJvDyMHNE8TilcJB4+dHEtN6AhmUt7wRjzWktRfE4ZWqAV1VZheMt PvUEV03yEk/eQXko4DGx3SZrLKgFGx14km83orJ45mJiBwID2j6U5Tv7IS8eVKCJ4PloNX2HmWR8 gAFt8xSF44OEuy4tGZ7mJHdbwXc5L7Gnao3gEYXjyzrlL/1NqPvNuuXdBTpiEf+lQPBlIHVCadpJ +BQrGCFcfFMWk7aMGhQSQA+cq6Ge0AKPR7D6XyhopMH1WU0hWnxSrKDu4SwNyFC5Jpi1FKCelqxg MCK0eJF6aCYDyEbH0A0otVfCVk/wDP0UCR5iljo4vEAyOlYcjTN8TbCYICW752T8BC1MkQ9KA7Rj eRI8wkB8+cnEGQHUsd+W3YjK4o02u20//Ki2Lkplb6eRQbbNZikkb4osLaAAR7x+JvriRIEJJEdy NMDRlslnQ11v4HCEUrzPEvCZ+JooasIs0YsUQ3MVbbBDyxZHI3U8H1FZxtc0oRUxJAzNaQrjcAoW 9VSeDLjaljtpb7pCM634NIVxuDK7WfK846X8AEOHFh58cDqEFxhe1kanS9AXYSd7Nx3DyxHG4Tib 1pAy7ZDAsiIgWF8y1z/3wcIHBMWC+h0QCMrxLKgwEKckT0+t3sNpmxcqHP+aHU41dSMfjLA2rhLl YHnYRsvsVsssOSEHPr82GoMynenSOQTOAsBi90fQKR4WxyvrdJWnFJUiGPI3FH4FhT6xUcHzUVXl V6uF/IfqEeYMq+OcqEWLSEqNb9m5bPJc11WbA1aaEzVj0UJhfKfFBPMuqbPZ6KFEQzNoqSAp5s7D xTYRPqFx4G070oFniFEpjJxI+tFg/ZW12fMAf7N+ZgQYn218LScIdIxnOc0oDq/DXNKb53WJaBVC LyprBqyOoxbG0tMM+ZtbsQBU5Hz0Vsu5sM/pXGQPBLKUNh7IGkAbAtmDv9cTYJzG+KI/1B1PNCDX qWk91ArmaZK1/JkzPP4vSAFXEyiuCCVsnqI4nJTLcXIAbwKf9NDi8JOQG9z37GG01KWEFZM3JTkz zfFmXs1sVKFQNiHXbg/AV6gZvVhCPZkHPGI650lQHoo6zLA8Lg/BTtq0vIxUy4QasEhkq+LxSCTE YyciE89/W/tGBTOKxMne7WlVj8TbvNzNc8I4JxGHsc1TWBwfK1pPo5azXkANQ+sOnLIl/28K40Cg BMCl6xG79MLBLLUsM/nm1vIC8pzMsTN7RaiBxyOx0O8IE+9wtl7xEHNGoThFw+B1ZtSo0eqVCKlt GvKiUM9Z0xSqGCog2qnWO14IHTooVMSq81yEhaaBadGidKOFUPLDQCCFG98T7Lqkim++g6CGC+ad 3HWlVzwedDh/IeiAcZ7tWU0xQIVKKmWsu+scoAIouceY56/wMzwCqDjS0Hym9pfPFAzkJwG4mKbz 7FI7hrLPE7V5nA8ePdG/c0aReCUSGoQxH3S3AyFGgmo7uxKP/6vYi7dDy2eVC3eaEUBFuqp9X13V LnwBQ4JZsp1NFDDQLEVlcWsbkALjoy2mNeAjVAh3mrAO3Fpwhdq4cIfeD81uki09o0g8MbjsOpl6 n7J6qLXC04p0pzL4lkFW1288wP3FVCA5vndGofjY0ido3uHEZXQrc4gjhONJzgOeoZphEWou5XRH 73DCzLKPzsocm5JCIhbWD1FDgr3K7R1svXCAdlqkazJv0aDCXAXmQoW5zccjUNhF9yosBfnlhk0R QmWyaTfXdG21mbrz7HpylScAliz5nVEkPmx2CAG30UnA5wCHaiFnqY/NsJu6mOev2dSKABLM2SyE 1c8oEGfJ8exWCmOe0zNLYh5IzJZ4UZ3bqOL5/4J3qkA6yIuZ8xSyNllyQo3DMYb0GCJNY+RheKfz OzUPCGIZFcEJmlYVRw8v28ksRqN+aB8LsmCK2cFhHIidcx23CYxaJr/nr8bBoCq9BsMYJigs8Jja HY9H8eV33AQg8yzJE7sVReFZtqbV/AEBh6l2gjOv8E1XqyV2K8KomCpPQubjo9ecVgUyolNmFUri hr4ooGQN6JsDAGHqIJIoWCFGhaYsg3JjGtTyPun/3ELy1IrHI9qmn02kKQCC14a3oVZYDWdjs9GV 53+68rbVUk64WId3ypcDMVeIUTHTZdN05mibrsBDffaiihNIcpIxyOgnzgYVIkIohHdCZIKv+aOW grxE/APYr+kIP4fTYEQOcQQ8HymrfWNUcObM1O80hQLiyn13dVzvSMN1H4sSPSo53KbBijEqW0AC sVxhao6flmXe1kH9pcr6hLLNkigGNJShFHPi4PLYZpwZa/iaqDDHwiVkx20Q4Kmc4Jp41XauIzz+ X/SxUT6H7IrPUhSCQ04I3kM1GYEsCX7Jwpw4wAiaoAtpsxSixD30rv2OjhJPiPKHwS5WAYmBWw5s apFIWHIqD151xcVwys4Bmg/xFMBoWXJKHeo8/MIBRdoQnnKnSWaTaD1ArsLmKRYSB+IJb280lipT g82UjlEC2Rm7mLXICmmbEgFDnnZHn6d6QssEWTm0oE5SIaPhBb7/T6b+DFUML45n6pWD4hyPcCRw 7JFPVHoEVT1vWUmVO5NdJz4hqoh/LylAa1FJ96mK4nDyhxHBWkX8/OOYFmEO+sUJoIK8XlMVS6io fpt7vaMRNM7xWusG1KdTTi9JRROhDwV8QY6GRovZATLXxxcFUB5aJqYhquvwrua5s6CroXyF7xkA VH7LHK9fXc0VQsUzu+S9uqLhmRFz9oFuh3bhyX4lGoZ5CiPxIqHV/jV6JD4HAO/nioP9CtD14m42 mG3Bzw0qTWs67CLrvgsVVDqN2grNls9g0DCAU/TbABKBx6P77iKe2A3FgppXhG5FsTj93keSFL2K c+zdYUGpBU0KGT26NFEhWlwXHi1AffQWC8gspXHvwSS1Q4IZE1WIv2fBau598SnTXjlKWqhmWMgt hw+72dSs3aSjOFCeW2Fd/Mqr8dyf0Ay7iKcV4sUZGAwc1TcBdlQmgnC78SYbthQX/1ddPEk5m6OV ncDCR/+SZaez8TZLPzB6pQI7uLggD1gDmIRzvnIEDcMEgXLvg3A8C8Rs3XmD7/lfdOoB/kDz4oYG YVl8UtVwLJ+ovtPtbfZbdzIYFCYqDsdlWPp/xdV6OmskQbEADYRz01WW8MErEzIYSxFXn8dQw175 LwpZkVgBB3XtBmxL5D42Kx6PFlT+Tu9IPsI9o4naUUBO92hC371Ax+Bf+V0dLk6Lv0bRwY7K4kaX XrrRNNqKIjENxShaBjdgxflbksS5sSbOIvOSikzOdxSQN9puUv75DGVLEKWBbkXRDBi18vGgQPfr wqssPN3sbr8H5MYU6mDG2yxVebqShM9fh/W5Qh8jCtaHOiruoFGf0ZGG5xBZYOHTeTOjz8V9l1iU h5QRBYPvhUfngx2ixqsxErsP1j44h4O84KHEvt8jcvs1HyEV3C4QJrd5ClHji2VxRiGsY/Y0HXjR hCWnVF/ZxrTb/0KNZyEyOXq1oJyAYEmVZ0FIz9rpCfoNk3gWoBeuTbC9cVShk53PWj4Y8gKMCe66 0jce/xdxk93Xcyf0ckPyHRI3cfiiTO2KYVkNcp5OOrOIT1l3Of0DnwJcxR2dkIiu/YACLDZdhk3M 0qYbcPlCpLkpkqlTHBwlfE/AjioU12tj+6DD6dynlUDotrGaIoBK+8Vvha3D2aVeLNghYLzYVnPh bNAfjdCSZDtNAbprW7NDwLhqKmlYIXPcQuYAWO4ki8TOoaKyZWe+K+qD8JdE/+hW6EC+wddEmQub ByiFYsCRSQYCyEvSoB7nbsbz/wUThit6jgvu3aGgIX3rhqh2VayNZdNUxxUMA2LZpinGp4i2WWq9 o00T/qhB503CxecyCyDyjCdQjpN2bJIpQCEHXxM5IBGYArKHDdpz4BdXEcPSwuORSt/3YkK+tudN 73aET0kIXiDNt33PlWSL6cQhxQt0G5GcZilUM1yqpfT2jA4pmJCZhi4kKoFDOA7MEhSOBs2rEdCg 0MzsrumNIxLwIuai3kH13g6CY1PRjY9HZfHvaeK1ma7qxQ5lVCTgu68sT9vmHsmquC+mQqlCNIB3 CFBRwy6z/mCjc4DBlUoIeBBiIBKXLE+DjkEn0TM17/8WmjzjewLrOuqntEk/JA5aTfvkTOwRIivC 45G6+Pc0gUs61r4BQRSID6q1tD59z7VhYMMKdHh3FhmKjZqmOBDXkWSU8vRFKScKdsNmAqvpLIGu EAtN858ONglVjg2esqdeONJ4ojdEeQbVMUGZNTXoOvB4RPm5zQNW0GFTWbKH4TsKw+kZfUKenO/R tF2SFhqHtprwP9umi9ApBJxjzdiqatcN6axEmIxkFjInyuVJEGC0ncEvTV9wp8IKIb7mTwUVYVM4 KFtJMIEVSmUOPB6lv56tMLXJmRiOdt0iU4hOIcU1JasJgFfnfpElk+9AggYOXlOrT6GIinFYZhl3 tJLKiSegxY7Vc/4OgDvI4kBjajPCnCjZUt9DXj/bXjpyaaMe7SbyaXfKbm+dNedH4KkIPMzmR/zL qo1O1Cytwl/V5ysUNiSsfhM88T+2O/PIhlOptL2lRAjOc5+vWNnQMmFZI0qU1CsGJ+Xl/gBTYzcs WuKeNtnWMAMqvVy6K1uJ/KYAWyBIfWKUwMG6wWNMlkvampnPR7nL7UyxInr+E9uwXC+EFDr/4KoC xtQ9I4aEM1FfmcNUWFvuVxwrpxA3LtOIscTX4Hjx9QldYe66BCXwSY3aVeQHjySqwOLTRY9ZOMA3 /emHsInTLJS6k6YDUO9EIaKfyg8IwqnyOyUe6HheQ4QUFczZqIRhvTeGNzUT2H3JIqFT6n8Na3nm FNpuVvNuE/CXo1dZNvhkeJczJjjmsGI1F+FtFabgdaR6sz15IqTQAmgRTYfKgw2qbwJjrCAUSAM8 HxXNb4ETD0LBeJYrYp9TFKMnij+tZv6/IJlt16AZzWy6T1gnrR6urKhs7gWEYiIOpVy0AZ4H74t2 JIuOrqKWDSGhgCmGCqYlM5n0V3xT0IcxAXuBfIbVUs8MQRVce3JkPv8vfj6zvtLMSf3OVlg4l0S7 YGNorMPD1/hlLKeTNpUhSSV4Ha1ZgkJCs47eM3qTAQJPq1Fi5SR6OsjxguyMwIIadalmwhglpW0v HQERqxCId7A7Mdc9FDoMPR+ZlTlzihDg84tBx+bOVVg8J8UMCatDEaXvwHwKpgg64pmn2VxFEfuU DNTKIi1ytG14dtpJkzt9kwChK8Uxdo1WfSg0L+5FXYm52UtHiqMI1kmUskFVF/gxDePqZz4f9Rm+ aYtQK/yBctedrChsT9TWbkTecrI6gV/chgkK7jZZqMHYNgwr6EpvRqrpjhY/wIOSPnqIH05IWqhB jfiBSlTgQpV8s2Wubb10kOBs1fAgmoWOhotmzWZiUP08xOeD4GHl7zMLleZzRT6TFWJaTIi8uTbN bI7VOEu6eBV9sUwjX8VIdqVKw3c3Y5u1L2+gAX/xYY5cAB5PGeEhlIV18A9Cdkclymgq/e0NBNk7 HwS3a3Af4olV2uDzwR6s3+W8qRDxscJLsQgiJUeZVUnbvnodvQBY5lkz+GY2VWEhXaDME1+VOzoy MUGxtshbEXVydkeA/zmxBAl7YBZfYh6Jw/iiyPim8KBiSY+DiJ7gNzNTOYn55PNRT/SXXGSlNe5d VaFbJ5WxIXPk8vYQTrlQ13Z9JbKTznJg15npxs4kR2Wr3K4V9QDvJbVEOALaiTMVk8/CKxJuu29E WhiE84siOvpg0jySD8qdAZlRVngSRD7/L1dzYqwgv7QeifscOnY2ed+0frsOw/NCbJDkVQboNNpc RQgXkxorUxAOjpqrVeY8KXMj33NCdErEDqhLU93SasQm8EeMYv7DsZMp4aQT7J770mJP4i8VrVUq nw+C91u1ssAMPL1npmLPToqvjH5Ft2tfVmioMk2g6Db7ZLwFQ9NO+sOgqrf6HW1VtXPfoWsFxBQk yreZDjeEnZ2yj6VcyhADJn5R1MniVG3TraHvI2sNC5AAnut18PnIeupXSebsegSYd6qiqvoSA03W ScRTd/e/KYhLf8wu94pp5dC4c4jwWqc2IEdbVGefJeCM0H1oAygOoTwmQMxsS+3bG82k2/F7IpED bLwJx1EbhME7R54Jti7Y5QbOnd+wBBk1g/xZb2gVWneuKXugMZ5F5YX1TDqLFhVRY9p+IfUzm9Jf b3f0o+rE02RcY1HlSgtdla/wnijl073b6ld9dHvnCMDBRs3g4d5HNWBCAthvalGNzeejRvI1UFA9 lEJfz7Ee6ZRLEmKkcTfgqM02IGSebK7a9oJoDuw7red+jigw83y0uYK9wUKui5caG9IsmiuynTlx lJ8xAFWf9s7RWUW8eVPPvXnPPYGGRPmwE/MWPh8ZBt2uFstYiFEeqfIcOHiiMs8otD+0veZSSFTw tzJDHd5MzqGF5xJxqqO94KPTYuDCjMuBwKBzbdUmKWAcvptapInYcxX8VMQKPTwpVr5Ak/VB5/Ns KlBC96/z+X+d67wvefRdHn8OXDxxrrPgVx1C1dmRM9vFfOloq9wiQ2DjiTKH3MzkIKzRG+8TnlNL GM9KFUDpumfGq2UybHcbqtLKtpeO1lURi7/5oMjq/O9riaaeKp+P/N++DXQhNw9RjztXYbyOrQVK qyEYkUA7ARt6X1aQGejy2VyFIPRkRb5R7niLo3MmIPEoxV1gKigp7oLYlmjNOjhXggf1Zi8duAVs mZoJ8jlMoeqk3Ods121/rhI+/y++FU8mIM7K1yUY4tCbTOBqMhTjGLfSVwCnF4pxgFStRDD28xy2 rgwqtK/gCITa4J3UKTK9z6KnU8bZggxDCvwGS8f97pyraS8d6bhyXW1RHbdTHc8plZRCn3W1+fy/ shtmzYiQpHhosxW6evJPZP3NDyzWI3RgkXfIA6vgBTVboa3nGib4s/sdbRee27VVRIrULi/oS3G2 Jv0QExMjlFVvv6vZS/9h1wHDPWlIsZuMSB2IKoVX54Dk8//UTeQ6RBu2Pb7DobfnJFRo0QmV4kgI E70gkym3hfgKp75PVgxL1ySZEilHLyKveqJ2haLnr4NolXJBmAxvVnJQgHABN/YGc2juyZvwhOsW vBcnPZ6fY4ueff4MPh+Rsn8Jk51f6gRk9yKMvT3ljS45bt6JqxqWuDTqnkgS/6KqcmDueTUj6Pzl o62rCTXujdoFduFJn+dSvR2oKOCez4eDmOFV0ZrspaM6H3HXnf3Us2IhGv1j3ZwhR4ATo/ADorj9 e7LwORuNEp+sv9EwnebtnKwsqQ3Urib7fOcfG6mjvq5ChLo5LLw4Wk8kzed46kBXAdk6dWRlGqU3 6JiVQR3zLZGkbS8dYRjIMpoUws3U42ehLzcaTiG/zHrT/8Iywp8203O8hx6fDAv7Wtkjd9Quef+V DeaOJ86EmTFyD0w+jcKcwenbd7wIK+BJWsPCAu3/hPWGiYEPy2RyNPddV7QQyLHLJxcUEOk0W5g4 oFhl6LBcFet6TX5A1Jv4RTVCxJCu0GsOjT4b6tx9tAsfGsWkboCLqV5kmNRx1VzFwBjzW2jP6E16 UM5HTyKzp5O7qY+YEO8OUmyhqGW1KyvIhE6firAKHIVtMDDDOXqSrJhq4/ORDPX4ugnJiU9PF6dE gXtjNJpFwCJubyxPCJl9WJlv3TJfCaUUu6kiSGq5+Jl70pdaUF9H9neWVSknG8kmznHyjZ6oTHtT Z8Lj+T0R7IOpc4YzhQZVRBHPMpk7M7X5fGTHNL7jK0zSbM+iigrttMWGv5VvwN4uoiHV5RuwpuWp c+D2iXRQjHZKeNjooLS8mXJKR+Jc7FU9sQRYzCawGGGdNXCyTVWIkMFRvpvcdlk7ZB81l3PYM1fo NelFg4BhfLOzAGlCleVOVRS2dzoNdYqhaaqG6QZXSmlZ5lybY2RyYPiJ1qCmCtV9H7/QH0OYhjph p7hU5EM/7Vxg5+BCCc1CK5q78HuCK5BMGvSwfRBB63zWTILyoUMfOH4a5ukbrQ5XqKfXFXp+AuMD aq2Z6uKoKq5wTgyZAULVLez6qD9MBc6JtHUVjv2YDaFDU2nFBMuTtkCiYTYI3YFFfWLIyKM2SXRa sXcOVtUSz5aw9VzrsFU1zu3No6rX2vn8v1pdklUYQBXdqQqNP6mZOqDP7R0JdeeJ4yNvT35oDBu5 AWPnT2P9gbHk4z2qBkKnQdZfAqZEyRDSt/OuHfSmelGhRKzn0PrTyjEAKdqgQ/1kNxLHVZU98P5E wN5/7T/ir+6hHpp/btEjKd1ri6rd+68kp2739cxUFK8vxQqJ7VAbvXJ17nUIzQvbf36BarECcEgj Eba110Nx7/bOAYyBrBrahNmggmgDApIF0ZOH8vn/gqCtEmN6FtWfuPUTPF5a8nRFIExVd5VOWk0o Xg8dQOHVzYUi+4DhyrUnMiwJJs7AK/az0luZwtCcCxGne0HSQCiDtU+7+lyhCeikLXFRJFpYsyN4 dqGdRoDnmbLMD4gm65ekqTrf+2rD59AJFBke+lt9XNKIFffA/6veQC113OmKrUDN10QW4fI61nTV M5Xn5VFph2vBGtP0hHsjDwm3BRRBXHVKrfnADBQtcgmayhwNtfauNZIh78wwdAH1EbiBSrv5W7ME tj5fB1YYsrMvCIUMhxPxvGD1ag9XgD0nV5k+WbHuudKaJD03jrfGMFMH3xZnO3Z3kU1TEzMA717Q GXHtKSxvftOf/WZIM2KA8DdPd6l+cLJKmvyA/yIPAJmU+bg658AVFERwasSzuCYSbmpGBCymmofy FQsGygVDW9C+TaFagpMcHaZ9oumTAE4St1pjFsjJYp6TaVSOhWj9Liqp8Jv+ugnpnG6DcUvL7EIg d5RFA2fQ73I7zifUJTpMhnyuoqB9FMEY1rLi1cRFYaW+2h3UN6B4ZAsrAsi0prwZrQIfba7OMX4u 9LzoUEivYWEgJTcBp46fM2Hpodt0e+m/WG5NBjJNuuQ/0j9n7ZXeOZMfEGU43+xuhrbp68gK6+1Y iSMxVxUCMrvaS+qze6Wvt+0VmT/8QaVYzQPIRtuFSNngq8RdeCb+7A8urASVyUwBoMrJsurVXvbS wS6klQVLPjZYb2ICyqsYovP5KBz9lTifPxi9kztXYb1d8rnEWBLTNyglwoXFDoJoy6jN+VxFgfs0 TB/hRjY6Su38bOdPofxiosiCQB8V+F+od1RI+ZSLvFK9PTQJpbz32vgXbFC9PQEgxt7EXovPB+Fo Tr8uw/PisIO8kxUW3ClVecUEIa/ABip1BYlEZ+o80Uu1EyummqrnV3URV4ef94z+9Wz42dHzGiWz Cglb9Y1d2EDMOEfi8qZXFqQvMAoVnB80AIZZMIv6qVYM7Wo+QcB48gOC0GH8IpegKVufuzC2CqXf VafallLCvD0kzTx0mBJ2+r8wJA28QpFdsonamJDbaC16VInPksXxfq552C9NY+psM7/prDPIFiz5 K0eHO0+qQR3UxloRI1LsbXasBGYIvELNqvOL03XuwHNS35mKAe6MqwRCpsFM8RY9LCWrE0zIEWVG GJqF0mkbCbcUvzl+tehP3Kk6A2ongL8C91HApknYtBmkPmWEQxFWYBYq1ARMayS1oFxdhb6ypoqi kLHOoV0otXyeajtaZ5Q/trmKone2f/qgQKsSnVy9egVna5urCbiMzdU/hGDO3zDv6EVR1KnAjicb p0EhW9t1kj+MrBrgH8OopWKv/IcB7drkU56hurMMuIyF9FyIvPMDIi0Y34DLjvbRnqM9sAxFgYPk Ei56w/PV7RiZOT0Y1XmtDRh7hpoMqjRgOVr2fKLdddIm1mQGDFOGpmpgWXXggjptG6RxkpK9cnBW LWtL3EHAK3TlpnjxAF6FnqE6Qi5KFLalEzJdPlURsr2R4pVUvmJvcE9X1oXFl3WdCxT1dAv+YRuq 3g3uEx+9h7MyrPNor3oCepQEJsMrHOyLJmTAOru+UK32zlHYTr7RmMsHY1aCTkRqDqwec2gcOut3 wABELnE6PlUhA3VJROBOFeolNlU72wI7Yfbs3hkMnEMvrH1MUyGeV4W4n8wPvA/pNTdofNEFeRWS iDKWE2mJDpJRihOahwLsgDuQJT863i4zd4J5CmbufELjBwQ+9b/aXTBxWXAV8ckKw3aTAC8uAY6w 2iLRPaf7h8KcyddVzETVugIGwsdLL9kZVQAmz2cRnxSHk5WYMNV0zooGOwXX2J3JXjo62jubzUOt ZyiVYK76iUPl24fyIp//TzIendfMo72fQx9ROnpCsqr9mHqVs+EQXlnl74RXsMGw2YpwMtj0KvPN O9qBBUjfiRSYPQMEcqJ4C8GgudhBIRwXqz13tleO+l1U8Miqy2SP2mG5VSTauUFbCqxErcenuaKC E/5pPwrXn9fgKuezHnH3pWlHE/5x3KnKzfPBc2+ZHNpraJWBvVKVHfIhPjpQ9Cw54FYZtJ8MGuQS Vttxo8xxbsLdb8i+6AX9GlhlgIHMSjT5oGk611jLJoaGp18mKVvTmIRBSTzDCZoYBrZCohni1iPb Q9WFKV8QkpUIl0SnudCdWIspmKEpyNUuEofZavkaVWmz00jyDVxcC9f64tZeCVPbGFJJ7KQzUH+d omQBFeqrPmiKqMIpH5WFp9+myLgJ4lTyjsN848U1R6/B1HmK1/q5oK5L36a7nsBW0hiQTvq2OXoN pbIBYk5c4KjH/vgVnc9Zs0zpwZwDKRnKb1QAIIG04ipS1DnZMn0NpDJ6U5gbaK37oILxOammZONO /PF5jaLykyB7937+EP6gGXqNoTKoyKy71H37ypUxJmUH68y3WFxthl4jqAwUjAwuIJvnox9I8+R2 nGPK68LlxwDZCDY3iGvgW9kMAQ3weY2fMuIfzFBfUjhBAb5ID3UMKPri+9Y5u1/Dp5yvh+G8NYQ2 c7Ypeo2dsNHokZ3qcC5EG9nzPCMpofWQ+e/RsTfaaENl4ZnaHT1yOotldfrvNDRccd6QjLQQOjS0 veskUkjtLOrDvQZOOIzoSz/R1LFBlZbzM85x++6vYROeNjXr+UPQNkGgwJ5rkl6jpiwWItKWK700 GAqrP7Pdlh4S06YC8xoz5S8LtTHv6HdyRacMtQ1A0CB5MQRqZChaILfRWPFWfzTJYTWYpCWMbBNt 0nVp64DWZjf1s/J5DZe4be5xxJV0fpqFCEST9Bot4Zzn/Q/WjN3/OPx+XHnJqBCQk8huUhStJEH6 U+vrjs7Ugs5wQ/8A8QzU+Joc0yrkh3uiy2S7u42p3WuglKVkSstnqupCu59FAuAdhPCFTUz/vMZJ +YmTzs+C2YVr4BSQEZP0GiThzGYC3Ea3IAkORctDSnX6mKpUt0t5DZEw2+Irr9Se0Uubo0NssCyq UyFTyWpeUVy3gEUPivGNvpte+P1im3RCPWHb9EHgjRNSs774A2zI5zVAwnZbvpJ4esH5sGSfo9ei Zla4T3qW6b/grxkO3BhXyLou1395LWlmIb+UxD2j77Z5QgvSvuAoc+JNILIQdKMiDIXrjOvoOmAS uvV5LWhiV2c5yQwxuulriFYxVBCF2shQQQ1utrHusU1u5AQSgYUKyoQEk8SIDxanySopeUyXEpp5 u/rLuThcSihYSGD5YnKaHUntOZJOhgvzXHQ5qIe9CFGDjh5KGrBYhaZ5ukA8el29FjLxwqyQb3pb YOi6yCeYmJKrLCt/XquY2TyrtNtY8+VLg01gYWQUaQ9J6voZTVakawmJyEIk7CJ7UqzI6FRScwom aXf0+vi55UESYbf4ZO+oarE+TlJSgYRphXK+678o3X2PtrHjEG3jFvOBxZBEf1HihyEH9070w2I0 b2xFAbB4H4tVTAG7g3miIH7v44YBGTZE1idOV65y0HpIPKPoijPxeMZeNtrpvaCEQ6/sDsOVE+iw mQWpFNTyEGSBluBtYnq3fd5pftlFl8j+tsHaCDPVpvrRXp93lh9j7vU1T/QfWTv5PEUxN6Bv0PK8 dMjJspRFAhd+gI3voLLoAN8mdFbXHf2W2+ct0DklH3mLkwBtqsLqPUtT6TrxMR/7vFP8cOMI9bPJ buAgxP4+vwMvvHZi4s87w4+5n08TL8dFobPl0xQF3oyBz+lUXGMfhnbePWBCxNOpQfnYAC3BGd5F ml1JYA2O3u88E9UbNKg6BFHOb0IgFZSYxgnvwGFAYdo1PVPVGwcJHIPu0gnA46AEDiZaRCxCJOXz Tu/7P7E3CIYLID6bpvfgO9H+j/ol7SKkLjpjdxP2Mic+q/FG0yS4XRdDQaPTIFFaX1RYqoNEOGu3 80SundLD+zZZpuqW0VVHJAuufw7w4FWNd6AVgcvqRLAoxQXzJFaGFwNgAP8znkz3ndrHPw/HEy1V bNtRy9C8LbYnclB4s3LAewQOfKLIx23lO3otfJ34bSJlQnB5rj5rsVTmGugIo0Tqpzjl+j7vxL7s rjszs303s6Up5XzFEvEScmqfd14fYqD0fYpngGNXJpKT8xTG4CwJjLacJTOlU0U/+j6GFZZmuWyG KAh3O1UiHmz0ytI5Ojrt09E6B+XApPNw1CzeEACkm4dxll5CFIUDnkvEa/fBegYryVHm3Hb7887p Y8r8ve9AJ/oqnLxT+lhdouZwSelCDBhHEWKwsxd2O7J3TVMUhi9hN7cldhwdbt4XEOaZSjjAYwxy iBA4n2mC2gTuwMv/n11v/D5N9KPYRe6ztFVVUamCEK7eZufjQfWk7q9t12FPtIuXBt7ZfFhNRqh9 YCtp+moqBEdyNa3qOvvvZL5s6kpwSFFw0NpF+AxEk+f/swOF+CCDR0awRu0/nbVw8v/dYr3rjd+n SaIuFC22QTWmnaYgvfVcFZ93Jh/+4JS+dx1EGM/SuMFTFIubb0M1ux0ETNWaKmejmQNtg7mQ9wmi YHyI8N8oFWSjHeMn+IP/LtkLEwDaLqkcFHoBiMc2BcZLmPw57Y2jecI1t2X5zEGnE2q60qAiBCOK xvMtEMjzCZWmWx94p/Bhq09DuV6l73EN1nMe1+0qedX7ncGHXSfm3t5CbnL0PnmGsyPwZ9h1KJ8I XYdmwM8u5ATkdEtNaO183vl7WRLUUNsgoZ0DD6dORUzCxc5B9Xmn7/HXbF/LCfyoLXUETlMUiqP6 e3U9OU2s/VFyGEbEdteBx27T9B6KP02npfCRo6d2Z3/tDmJVbxARofgiUruNkARNSETh855OrFu+ c/eY5qPZhCooBrCI1I1vHSqfnVc9yqSfd/be7/tOUrFQ2Z9+372z97COM0FPiF28MFebo4HJybEC bzL7nXfyHv4EkzKrY97R6ymzZDk6Ms4s9JPBXLE3BnNxHCvpwZgXvXKQ3pEGk4SuS1RRYEHu/AqL huewI1ifd+pelommndyYlELr9ztRYUA+zbaheHMuj2rFOWvZoTg3yfzlREUBeRIvm/x7H23vlUUx ZqigNkCi9qC1zKTUU4aQ7M8A+solN6jj8s7b84LBHvDGsMEIVvuEmqyLnw35eaft2RH3ld+dqwx+ Dz5PUUS+WUI8Z6oLTp1D20lDZW2TjyfOThH5O2mPv7PqBWO2O/qCghMVG1oINLFjtiKD819PJsp2 Is+o+dhevXP2WMbk4YRdhqFtWfKdtZSKLHoL2ipRQM4dxFmpdkYhrvdpiuJxsoH7XvOZpmmJS+ry pte+WwYrf+fr4YwUBwEul3e0aQIWZeWq5YQoX3hWonDQsxjozeX+nOVLrxxEUKynpMqznIPxDobL x58D8vNO1/MCyRNBnYU9xrxn+Xs8jp44y73tevKlvdyzgaAtK2UyjOVyeo/Hs9AWGefFvKNtu/MO sFlQoFkBvSAiA3oL6FohJKVJhRth0lb1na3HiHbyYJrNB+ENz3ds9VfG2p93sl5+JL+17SYg/ONG mu9cPe84jDSKu6rm6uc4GiEu+b2yG2G+U/UYKLeol4kCJjSvshyd2kgibJdMT5etBPzmd2zhvzP1 ME2L53chkhwIhZ8hdPToMlX4Qb/o887Uy2bMdI9xmPSi/unzFJXG0b7EJbf8vpu0aZFrgw4trCeK fGo9vUfkaLI4+bPc0ecJOPpJNkfdVESXkCysaNHMXNBZvI6hKOfxjYNIk9gBOCv5YBALlMh4vJx9 /Xmn6eHx9V2l67QtLPe2iwJyWjxCHev267xJDteG5HzihU6rpuk9IM8SuIKcuqjXHC0gr3BtaJt1 lXOrDWJcqO8JbzWCnOfNWxZhvu8MPSvangWYiXBqrjZUUcocwsLtNT7vBD3cWuN7lhq044HysOZ4 GI/Lu7BeW9VU0l1MQGrpEE/rdsdjGEo3A8xntFmi7EGuS8rokIvMpkZ8vvZkxPNn50fZDffJ552d x4ovHZwmJTs5KLs7Ib+VMs8l8Hkn57HaVn8lwfuHzVCbpSgcl9ncKq6riPrAPcKLezYUCs5wLb1T 8zwdgLir1pTkd/UmuPpPoNFFxRzotqv8kuWdIgQ51EmFIih64/dpqknT1IcPKqkgyGdEcC4IPh6d 4LexiSlBiwxgKJumKBKH6QEiAu9HDQVpbLSkasRilDKzURjfaXlcTFW570h3tJMJ/Olza1Iu9wQu UH1XVRjZI2iy9JjwA7wNvXCQ24HopCdtsMV0flOZOJ3Q6/POyPt1fhOKiJ5Guk27dzoejpNEymLq 7tkwxnCidW3VseITQqvEP7+z8RxJcGYHxBQfvVJwsq6ErilB3BPkRWPEnnMcfQX1zwz6Nak18s7F u90oYMmESUFfVAU6FH7wj+e7yuediuep+lOgK/zpvH/wzsTjj0OhkTydEHv2R3dM/S4ue1coDsXV FBXG6Zp2Uok5ntE33VhlEcN9MqiOSRTN/xxGqIWA8JTd2iKDvsQXDqaJ11wuTFY46AA/YVmXPGcf 5fPOwUPUsn+1D1AM67e5+U7By3K8JYTeaftD+FRid0jC5SwtxAiapagqnqSeWNjGsNFW08kizl7b clUFx5O1eIjEgcvVGZAgCTMwAZOVd/4dr1Me3UMn1HDwDhwWq7zoz9b4vNPv8qPg5gWV88vNZzFF QTiaOVDGXReYst04rVQBwhpwiaTC8Z4LkSmmmJF1gnO0oylVEJ/VPNg4YBnSp7XIMs5ABJbSHlYn 0YTv3LtbnztHXPdBhaeELIeH08LP+R6EIzi988SrrtN/xJub78w7FgkKsxVH8ML/zcvie0yX06+4 ABmFvxPv2D2Q90ftEjKtwsgwW8mgd6DIjujyXJy7yewK5gYbYJQCfM+tYya9cYSYYz0cCRwGylj8 sLvZhkK1k43yPSNYQf2KwhEOLkDVbZ5CgArlakyLrAElOtKFFRIGjwWVdi++oMK6eDLnHdM16I+u wdmCsGUYbJancW49aScOQErg99TgbZ0ughd58+edc8djfBG7y4ImehA/zB+xopp0Qc7a5fPBztv7 a6JIo2WYqYmK4nDKwAOj4mT9Tj1MLqi6k5cJGmpimqioML6S2YHNZ7xAZ7hMwBMUjgMd+mzydoRQ VEbQ6WWC/ugavPPtCD7SDIl2t+4JVXIZ5ni18+edbUe48a8YE62o3X3jvZPt8KWb5RSGzf+j+RV3 OdHO5+QVrZrVOaS/AGHGKBUBVKtJRdWbr4yaACVQpJTOHUzGGr0GBAsDLQcsSDuhBp0Z3sl2DDKp mw+pPAxA0DNmGufc6yqRtPl559oZ1PW703KW4EY9xCYqhKngpwXXbpGsCYQ4+YcZdusMk+X8jAZZ xYJ6p9rZhqCJ0+53tIkCS7lTMKCDXZA6XUPgmY3IOKOcAdsGrzstimq9U+24com/SEpaEoEOqF0u XBaTt31an3eiHesZ3ycUfpsx9p2nMBpfhPOULHU20jSLme7sRcZYZmo3iy2oqC7erMxbUrmjw3rP ZQQXjkVa8IlAeYrBfRYLCvnMOcqfuhMvkM87zw4rQva8EuPMbF3wnquQl2BU1HP9vLPssrmbPOVe YC/gI2fz9B6PI6BACiyxefCnz73BPG+TiYXLE507trZ1471z7NhhFUJ8i47I0dcTzJBLlskH6ppN eMxJd/YCTfJCLVzbeORivJPssohfaAPW7oPBnhC5yqG41M87x47pbPpaTxBdWmvd9RTF4ybYTZCp gCrZ+AXg9pgWUgPSLRlKPCqLcz0DXGaAlfToQ0E4soDNjCQY/fLV5A6GrihpQxkGXZYEq6Lyzq9j F43Zr0xDOSi967WwAXkCvtY+7/Q6htbz1/kEBc9+65jv9LpbLDhHbbILj5xDI/ZAZkdtlgT6LC+8 d3ad15vxi4rZw9EjAxjb78G8Ba7Z1XzEOgS8J/7UnW5AjhXOr4mmiehnrabhq6lA+EQtvHou1s87 sc7ztCdvQbFi3VrBO6+ObVUCfMe6IOjicWY2RUnGmevOUhiQq8rbl6qZfV265om71wkAwA7jaY5S jSRZAL8YcHFDTuPhuBZTVBPHoj3TU8lv5aDsrm3mH0bWfKfU8Wj7TlsAWJs13bMpisbpzwE37Oup 2rf3NstjPdtKM5eKdzodr6Ct3FdROceLKlibgQVmqZ0AMescS7jrOhIWIFwcG4Z7+fPOpuNhakjM 5YPW0om+qNGEutP8vJPpOEvf3XJE1EQ12CxFsXhPBGSucmU2qQjIikqX7QkAvokybKiotBAtzuZz AoD0jnYybTqqDypnjRPENnXLN0QdwBLAQXrrTmjd8WuiSLwocGo+CHqR8qwMauuZdjwepHa/ICqV APZnlsJAHCvwvPlyw4WS3XCBhphaS9gtvpaigjgjsHP4b80SR6/OQaVwFCmxnZsAjRWd35ggqt3h brt4zK03DhgsbNctGg9p0JY7iXSVMP65bj4tQqi0/H1+g1E06+VC9SgO70RBDznH0St0LSdD4dC2 gkrPbnbS3+Nwc5tGv2DuO9qWO+vorJjJxv1A3DSqURRR/oWyDkDbltZRjRxfEzEzjZZRfLDFVNuS ecLZ03g84LDs9ZWtCOl0cTw9rIjTGg3eRj+uFungXujvJZulWrzU2yOACqQ6MDu7PaMV5/aCA2Dn JdfhS7gFiYJtzA+dCxFp3jIBpUF6WBEnwTdRjlsDp+mksJOMPADpJh4PgoHyvZgG1U+7V+d6GINj CUAp0km+prdGbAoVRAUVr86H6nFF3MyFrPfbnt7vOWgTClqKwUE9kDTPoBJhQVWgVOrhq0og1lgU g4ucsTI9VRdzHBkSwp6TqTCOZDwfAZ5+4eeACZv5gi56SNOsUnyy6w3cn2k8+9Kre16WkZd1f3sY hQtNsIc0IPfD0xwJds+EunSSNVIzVWkq6lZ0qltLjrrIlPLEF/3VY0GJRoMfx+AhdNVwO98zKBNc 8pgInufnmze67BFXkwfFmZDkeMxKvSRq4I85h8VN50mrz/UYnMJjqRa1pDjaKT5g2XFSRKq6n7VW eHckVQ7knjaeZGXxsushXNzEw2iGRg2xasoN537Qgqp74vl/3XYsO5GLVjxy6mEUThR0oja36YG0 5ihoWuViPZE1KD/CHqFTps0PDS9t9NtuwbxxEk0wkaI0MRUKaqab8HKg5P2AqllvHLUPVB0gGBoZ +o9YmgDKJrYmT1K38Xyw8X6RftiNAqPJ5iksjHOeFh1GYM0LFnO3asqEl2QW0nBynnCQx2hxqcYM uYNzNKThicGRwS0GKq0X7m06EaIw3iprvevCLgA/4StH+470sWa+9Jt2V8x/IVjNAtvJFAs+IILP 1a+ZAjWbNVabqfdYHEhoeqdSqVdR5mhWd4J6CdsrNPYDP4BnecjbNMhqsks/1Ws+salYASVieOvl rQJmWuTHZeDeoPNyOYmJoqM9Ko1XFjKLtNw5MIA60UdpzJLOJm94/J8cYPy7EJnMt7/ZI8Q4M43e KL5O44kkDz2qRWTW5wrrc8sKmT3Ep4ifkXoZNvKkVJ0ARXZQkcDdnGQ2cJ4wMXA/A3sM4qwOGT/T hS8KQij6rPfGSKo7+7EOylRpEy4+Hqyn+X1CoV39M3bzgkoPA/Jm1p9uL9to0MkC3WK15X/k/KFg rwUVV8adgZDu6JVxWPTtxisPeHHwzXnlEUAH20yspeWFTCIdPz3CqAz27lpjLMVBRDIoOMis8fwu ePxfC4pOvOifz365wCMKyYEERic4uyZr17E+SQY2UAZ7Upa5jLA0rlCcvjE+eo9zkVneSCs/vyhM vniOoYl31psQ5M5o4YGM7/mrJ0UKgA2CX4BQNIXNzBmPBzH5+AUahy9ALpd7H8XkvcjceVwtEBJK OE2jm+499VIMkDniwri1olRayQ+ADswudDk7BUbXXH00M1A4h9hGPygjondhNX/jaJp4MA0W6ArK +Nx6tey9peA+GuYphKnU9R0apMmig89TDBgn+LkOp2q0fpdTE82coWZxJdYRFcazEuG55AA6F7tD 8Kzbo6O0K0kQaPBucnLR5DjBQAPDDjwEd08AihRfE8BWleFNs/9MRptG1N9NpOAEmiMqi+fux5OO /HP6nozBZykKyAkf7ORROn7O2D6cJSv35nm9S0YIU1EebHK9CXZWNksLrEM0VYgMG+PE3uZehZCg Q3oEvGUPC1AOwtf81d7syvP6lV/NYP4M6V6OjcejtfQtLjPYAV7e3RxRON7ZPIBLlLfLs3nEVUg7 +dGU0NLQWgrDcTWjYF1wRy89pRNpwCOcR1M902TSo4SaIMIEK8i5wJNkuxFixSkwA+0GDliVW1WV kzt21QBKxvNR1658neGgM810uQcjDMeLWr91OtuOQY/KvVLYpqAvgmDNUyifstQuTwLzcLQw8xxG 566UOt9CkRZRHcXtQTFFzw5/rjPwqVQwoqL4VA94crY4KCQAbktKReef8XgEoPNWFJ1dFjnbd8+F UPEp1JwVnOCJ2q0oXgDithN8QadfsxRBxasuuFp06nC0pKXCTb4lFjKB8x+lKHCfxNIgTQNTwIDi aJvha/5aTCewHBqqclnIeGYJzSEFHmEg/quxSbRxrx43jagoTgeS8ysM55C1lcYNB0a6rYNkmNUR F8WzzY6lwizkZ4pe4X/MixCVNWHNqHKCehuAze52LXZptICvCU4mWirNLSTPJo+Z01Tp9/hDefaO 5/8TsfzkZ0PC0JymKA4nj7BTdtEyYBUyAbwAqcKmqSyXmRlhHC4ONCwE73jDgUUPX3rMnwD9hBk0 NYPnIFv6HbKDlzBNdQR8UVTKzJTOJpVsFraSWedFCiGs77kPFj4gUgj7hl6gADxXvYdTHIiz4FSu iNq4Mva81X/cCLVaB3iElfEqqf9cxh3tcEKzv+HCA5MlQUqFdOHViPPM3NIoDro/gk7xkLxZqfC4 QN48AyjoFAw9H1EbEWlj54Lno+LTr8jpRBFLmoXUAQpr441YHvENJmULUK2lejbOYouc6FHCiZoh WrwYCVjgMI4WiDdoXSQDG57zb2uiTkSFCAY2uNjY3rQjF3iGCBWqhvZE2bmehmPo6kklrQNcKh6P Sk/fp/hCvfdZTjOKwyvtBNuwqUF40Dyv21xrAq5umsV2flIEDXPifbujYw1h4jkwjZ3ChJXm6/hf qbBdUbTCjecJMIq4+KK/EuAKySsbNE8nd89mFdsLHo94dn5AUeUfqFWYVts8hToqOAhhRekt4Nlc LBTas66DtfBXSwcrJG5W038WdZqjbTtU5c71Td05iAV1gVlW53leoGJfOudJQB4qOsy4OC7Qar5D UtKbUJP9IWe64vHoIP8WUgHhZwGta/MUReJy2ZDmpuT59uVoDCn1sUS3luuFxaVxxZZJ9x1HjwrK ObtTEbUc6AToPtNEcBB4kX/AcrkOsUsvHMySgqbE9maicyFT3kWdgB9yeCYej8RCy9eug1zp2Xq3 4jujUJwMpSEADPEpjdx/50W59hwQSzZNIT7F5MSX1Z3WPcVhKdQhZczK+DhZbjbkKlRBNlxkS37o B5OmXDOUMWRCh/K6D8IZnqO4siWFL8PjQX/zwc9JO6tAx/Ae4nFlnDoqdV/3JHkuTno8FhO+KNBC tUM8wqe4IxfVzW304wnYdej802cRRlMS0660TAQACQUuD5/o3jmjULySxNJqXT6IjQi5pC600xh4 PKrO+abDjAB0fK4nL6bMCJ+ymgKBVbwf1ZnNISZIuzQ7nAAEsFkK6+KG70W86KOzNulWltgtXycl S0X0sUbzHQgo7YdkN0mVnlEorjbw2AzFx/ZQ/FxzPRFgcAJmvuW/5Byke4XpetpRMyRtyjVQ8RLj ze5nOF18PBZvrj87w1i8anqs3cLRG5w4xTMwLth0G/UC0/IHBpkRwXqo92QfzKgkjhlG8yDdQZU5 VHjFKD8/Ax4Ppil9N+34KekWMGcEUJmqzFUjRWPLLW8D97VdO5T8U81SFIoPo7QWc4cojzvEONd1 BRSPW26h0FdMFxPWvqCKIU53LgtB9TOKxKHFgMochQoKCdrqUp58TlKi56+peD4C8ny3DshLzjez myFnE5laV4Itnl2pdtOBom2SYaUhFdA8xRVxUX2KQPUcLb48GdzZdptQzHGOk96n2SiBVEqfpDpu D5heOvieKHBCgDktwyMp70d+I3PIx+bkPHg8yld+kTahRlquEu2KwvBiQn2PR9m+AqLSduWma4jI OU0rgqiMauLqvd/RTnDgv8611ll0ohqG7MwgXgWiQIfIrmuDSJ9gheVwUqIoOG6DgDw8SWV0UCse j5KVe9Gxcogt3JZXU1ZYD+9CPXefJjg82TSVPlzhKbVmq2mFcbhoiDnbdOV6wRcJOin0eAWhHCjG LCGszbgJCHlMkeGdEJfiayL4swImObJA3m/oDIeZGsvhJ70ueP6fgHoJqNBP2OPLFWqIE1IwZIfL Sm+v+wGpXN1HGLdoniKQyjCgas4+8qbGctpg71F5HcsJaoaqzU2gMBuAC6gC3rhp/TR8zR/J72bb ygYhnmo2cdp2jj88/q8oXCW9iqrXFaQNaZuNBbll6wa1OfPWqsX8cRE2DYTKmqUoCu/m8GPw5/IF f+7naDvBkbSN83XZ2o2qwhD+Gaw6lQewuuJ6OHK6MalmOHA0qW4JHlFhw7wPSNLG+JTvIxwG9T8s y9s8RWE4m7Yn1zJUCv6RkSZUAqVQTHpGls/dCZxWTNsUz6eYmuhjCTg6enUJ8svoQgGDIQ3oqaZd pyXgrA+Qx145gvZyPZ0EiNCSBv96wQtznlI0BLlv4hOinefoMK4qwHvXl8hxSN5Eft+M8zP0j154 AtHZQCobGouaq1BCRZJFY7d2R4MULPB8CsJDFJ6AdVLKkgjUYHWugFplloCJyusrQqkIzZNbuYNl wERXKWfhewaLKo+vYFzxW7knVAwWnzrJvZS5i9GkTkAyqrKXdlKLbOCwFQbjVda4MBT10eMnlOhQ rAGkoEyopAtGh3gnw6MRvuPToRdZV14ooQKc+NpsmK8t2QEkO2flZsuBU8PjwTzdyhMbolhPoJXZ PEXReNlSnjMLCLA1sq2n869323uFGs6apyga5xkFHYtV7+iFzAwBDRT+sPeA2yGc5Vyz7MyAhIfj /kJUkGLhi6K0hZqrOqwKJKElTb/RphY4rFQ8/r7xVvrFBqaIywU9rZC8KQkVRjueAj8SKhdQsOgh S3XxKB5fKo2vpVufo4Oe4BcGXKB5RQ0awaeTZtAxETqVNTVXwipo7/GVox4C4XOVLITmPwc0bLbV Ctrge0aIgm8DFux+9C88IF9RQG4imatcEZVUbntzZQ8NoDxkExWrGpqmWht39LYdzKgybTjP3J+A YzX1EGyTYtpAEPYgipLQK9QYbyplluSDkea6Zd7naK14PAgO9v+v15fpuKiJ2lFITuWPnvrN8AgD swyvbp8o/DWKDnYIUZEYT6LQlY02UedSZc2pkl8OJAmNcHdqaf6wKr+2m0qazfmOQvJGsWvG8RzS j6zNz29N5Xh4tfLxoEbXv1HjFUWddql2+z0id3NlKL/7LNXkCnSQmbcSHewXTLA+VBiXwwjaaXe0 WTpH9TpnORXGC/DwWyYahaCfgo5BzW3d+67ZKwfFJ0lny7Emu2PNecPzt5AGSSX2/R6Spy/qpuTp z4/JQpJNVIgb79QoaCY2B0nLcWuZc3otc/Rm+25HIfmUF3xO6Rn9wkP5e0FJo8PeANYQPOzLAF4Q cQDcpx6r4KE3DuZJzj6TTFcOhr4AbJ+V8RNe4fGIuvl9jqNn2dE7tGkKqZtbxRTTb/iWDEtQjXOM Csr/mqZYSkUVcQOGlQcYBkJihV0iOy0VVNTJoyzBPIguIXlTJVPHOCJcfE+kJkqWxq7JB51Ou+Us U9eN5RSBVAAF+yqrADYkc0ROU4gZzwzJS3cFOnbZzUyDKhScpsIKJacpqowblKe0Xu/oDQSI8S1x 7eiUVZqYP2jGDIjlNXY3VaQDrAFfE1x2m/pzSfpquAAkSHvWbNtdCuNz4/kIy9O+Mjyg1wBp92kK BQ27hNXMOAMBgoEJgHjajnhaoCJrmkLi5hL6AsAYH524CSdlIidBkALjXqKjrYPlRIgXLdmkU4CC Or4miJ0y4YWdIRQH7bl2/l0p9JxtgMejWfrus4AQuHEd2CxFGBV4QmQy/H3PzWyLCQf3dBrZdH7U jjAqQzddLqZTUL50Chba/cBPdRgln+NczhsVWtAUu8lI/tDlZHrX9MZ/8VsnKqA2qOQLSkARTmDx 8X8BnlSjQ8l33BM81FGpYt+v5gSp6wh8Lqjke66iiEBO4o5C8SnYXK1qBnO0xbQn7oAq/gFS1G3Y exCA+2bfvHkPuFDfHN8TZcGsFxSKPnLQNCXcnUJhtIHHo175dymzgI+4rkjBDivj3HNt5odtZw1N YmOy88hYUeI0hZG4kRGz9czzM00n7DqROb4DbRYAw+xo6gAZoNtHmWODqGx74UjKgaIge9xBpcxz 0VfrlNeBx6Oiii8m1tBhVQmKnM1SKC4uAPQe455MyXyAs7E4afRDHBP3XARQIcoRSCftvVq+JB8h hbUS6+KwRqWXLFX6KkKpEy8+iKeSmP3uUEFFZLtFNjAHZSvnkisUdz1x2cDjwSxdxUfixHORDY5N kzBBr19L1ENqVpgDlK5vx6esZlIO555D65pi9SkKwwlrBegkrztaQaUXsMwLIQX1zMU5SaQF3Wj1 M/HatVDfQ1Y/2146YLnS1h3OMaT/JFySWyfN6Im1I0BANz8iOsl/kRMZbdZc73yFLM7CYko2xk9G A8mRKpUhPiVCGtJym69Q2HAbRqznO1pADoVr2KiRq0GvAhUM2qIuG7iUpZdLd13NXzrSxeLaygoO sjV2kFuVxvZFO1udz0fwgm+VkAz41S4XD61jI+rWZ9Dlh6PEWnHb5KkmAyGsaO3ZZIW4cVXplmHn OV6YGJAX4LaC2JJoJCUCkNm7Q0MsXbwKVWD5TREUAyECf0sMTIqYv5y/QwHnmLC3TVFgPsqvDhXI oelxQ0hRtZw0xcHQzY2At/de8ujeF8ZrmB1CCn03dVjPIe0Gjg7ugVnVwi8C7Xq23MiWSixC1YY7 faR6U73pLx2EnYmOidDbtEG1zbOaS1PAPQaf/2efCkVgRGdojPlcReF5otDh2m06qo6YZgrQMHUx D1dQkWxhRSVzGNKgaJAkxsrRd2E9eVhDvQy7EKx9GZS11pkWA2SFwovlMZncV3zTH2Yka/OP1KC5 QhJJUAW+hM9H5PxfjnfYu+Is3+kKi+bk4Z1/Kxm8rqA8Ln7ZJpH3f2y7VofXkakfXubs5419R49A QTanGiTKLicJMYLZpNhUpqcciuySxQBeyl46KiOQMTUl1D4dOLawsMiThMQpn4+cyr6XFpY56g93 rsLCeactYF7VoYgQ8dRczYwjmwd83ZQ97PqoIHKwivD+Gm/F5USYUx6BCVXTpm3YiT4vREcv7kVd iLnZS0fuCKzgDXm70dKQR9YABd1ALZnPRzUXn6uqpVVAI7nKDznFaivimfGE39KkWbYR6URk1yHQ krYRo6B9uO1GrXf08OFs9VV1HZ5YcVWapiJ8QKmGc1Zg+26HVqnNXjpiw8pxcnUNzcB281xPS65A pfH5f4HL8YazIswbj6diFLizMtyniC+swmyvDEO+x0ro56aq1U0VI9GVmk3W3gQQ88VqnIi0DVji Cm43oNSq7nqjPfZ5EOG6oxLlMpX+liGHBrwPgtuhabvUumqDzwd78FcNfUpw6evACovo+MtHXsur VKkN668XWYoT1bKhS2BTFVbRt7UbxDPn6Eh8lLgrEhJoG8A1aBr8p7AZuVHNS5eYR+IwvihSRqZW e2FsykFEzybcOsmxk89HnLP5fbxD/YUgUpur0KuTEr2Ae3nDAah7x7ou12lFk8mXVWDWCb9uI3dY EaY8RZjBhjp1IOpJ5k9wqu0KzRHSn87ZfgPSQroDv+gPPvoe+RlUhjkRBPPakziPyecjTfLvlgPq /+vRt8+hXafRYMb1vWk7uQQLJOa8qgcdPJuqCN+SJG3QurRtORpaaqPretYmhcaAt29mwkj1Vrpy rUe7nRDFHNp1ZtOto6APB5UYylTXDeTEyucjqbFfwkfwBhrzman3yB2P8azKpd70uRQrMqB5ZUWG STwrb8HQsZNydKjojXFHrzKccOGcgjjXz4F0ftQuO5OOtjVU0clLv1NVmr1zNFXWYC8azOwtTSxW +gmfsJHPR412z3KEjTkn6OM7lQPTTmPXoYNslYYhpRxW9wpFYs0rF8GjpiqK23cxO8ox76ipOuu0 nL+oEr7RK0S3xbXe0MHpKHDt2xjNXOD8nr9USJdcKTkIgnd+mz6Ma135fDRT39uPzZnRbmgV+nZS XxkgPC/wsYlmNRlaEZiMe5u+/WLqpxYTu6g22qKiLmXfpnafRnpKVyiEokIDuWSrXRGRxC+KLCYE Du5Ng4ESUqExNhfV2Hw+8jv/7vo1FtRuXT0Hzp1megBVsDtVKzfbf0V+nkWZc/dFFUfsqlttO9Qf 5yno1AyAU1kMnei4SxUBZXxoFjF7nxc81f2dg/1H5hBuGh9U52vADBP7ez6IzwcNrfTNRENDq86v oypkgDK5acuMl1EaZbJM2Hk2kELLtPdR3vyHe6fr0807WsAOwOIJ1wpywbKBEZxWkQHPA5B2ONHf Wp8KWKF9p+wBUuOq4mCp4BBMB5p/nc9Hiu6XPUQ0P7QD15V0z6GDJ2ByF5xA4AuveKJdsFUsb6bz mM1V5BjUZYe7pZyl0ebqHCOIaInRR9yZlhRZ6GENmXyK37sDVSFcjN8UWXVNqSFtHxRYnbygylqp psrnI+2a73Mdnc85H8vqwMYz64g5F1J1LOx5wERJkZp5PWasVX2uYtcgFa9MmZWjpTZwU0qt1cmk GR13yUsXu/0oQsa5EjSoN3vpyCmgkqOufHCZS++ZJgShjLZXK3z+vwA9weaf5esODFHojQWG3Jsh GFEi+3HLU6bSi3PlBJkcenl2uUvtqZYxRwcOT5BwQfWG+DP027KkuBOTZiTnpeN6d8qVv3TU5KKE TaLwJgetq7rBntC62nw+SG5uy5TnVSVGtV3wcA4dPTvvQWo42YmVLzZvTyMfA5s3fLZiS0/T4x5C o3P0sijA1bg4uLLaiamSrBU2mbayvG7laXU1e+mowUzwYaJZJRQojM5BOSnJ2I7K56NyzHcNGW2X 2R7L4dDWc5KSvWavJo202HNmOYbMUjJDS2nL+xOhr+foVumTHiBHx1Odzz9pDW1WCBPKqvTBX+Nn 64bpjmPM3L78ougiJEkN56kNOt3rSWLZZIZqA58PwqurPqJYbMLE+h5YsasnWllfcvho1hqM+Ozh 0Q3KOLBZdWAFtp5YVtaS8BbF9gMLnO8TtSPWPsuK/5glI8X4vkCejLGcF0Vrspf+S2NyTA101tUM AUcv1Oc58fkBUenqVkVJ6ctk7jwLKwTC9CGdYJ+tLLEWlpB3Uz2rUU/CF1aITpe1y1Z5WKMdWSc7 m2jQwj3gzPzZOHKzPrvhfGeDVFmRLuCWRtK2l47gCzSQz1Qnq/r92JoAeItfWbLe9F8cI55vKBei NuxzFfsKkcQOxTvzFSrFdHBTyk9nnhgXBu6Bv+cVwq3NssJ2r8IBtkTCnY6jZFUQ8hSNNtrdI96A GrwvLPoH5NDgM5FfdGaUKDRqA3I3YYfofjs/zuQHRESj/f+FDSOtZxdGtXbYUeH+a9dbt18rVHmG GCSmeY0hNPmk+QBQ/PsZvcoHxxTcNoQOQYZDSQ4yzp/BEx6XsZWurBwTmnyKRVO1CTmoHAN7NXMk ro3P/0upm2U+9ITSfKYqityRqcP1YFjlChp7DviYy0oP55qsdflURZH7LcPIt4qjJTmFTEVkNuev ObfcIP4MhxoyZzTv25M57+WvHCA+KEiyBoExHFQQRUuWNdgzU5vPB4H7b8gHF3Z7NmAUt1NIs/eZ HGeF2rFDY9JF7eEP8JmKPYZEoWliInN02B7cbztojpSWApWGMwXUzA9ME37YxLH+TV7+ztFU4fpD b0BDJX7j/JrnQ+RMUZNeNMJff2fOQKSO77MqVDaXB9p4UEQOuoZVFfXwiqRuvBwTmH2ijWrMURUZ ODryA2qvOdEsbkJ4rU2hrdBqnaBHgsxmoRVbMPye4A4kQv0s0+mDBd+wejL8debz/0XcHMnDiVme Vlfo95lkq1f6Re+17hiZ2tw+FlG7F/kCw09zrjqZzVTmzNGj9lXQ/unU54SyZduKrfBjLLRSC1zE UZokMK3YO/9FPCqyhymUH9YEnbyZWHU4QfL5f5WuxCgZ5xWePldo+kkPgAFQ8YXNWkf+/F7Jezcw rvMNGLp+UoEPaZ7hikjl11F1dgZ1Jgvd/pDBmK06qkgdFrLttuYNrZ5D289MHAPUYXywQx0a8gKn ocYe+H4iMvtOmyGlsdq1X8ih8Sdbc4CJXa/Geo8q2FH7oqrpzlQUsJuYRHFNl/Hg08ZJNuFJRU3F gsJoVuUYRwvMPQD8WA/Bvds7B0U+gq7g/+iD6qEn1l3Sndpz8/n/4kOIv3eu5/6LzT+zMDHjqrnU 5Q3B2tz25GyYaYZo+Q/3T/MZWvuOVmFYGx5oVfCYcdLOqbZ8YVWmQHCDUAZrnna1uUID0KnDSr5D lcE/+VbrnIiFxWNYi/EDosn65RFTdMdcYfgcu4DSKzVnDxegOuvOHpMaoZyuc28sn64oYndqjds0 tVsTBUUB7XaiGEoBjYuqLqsRzVDQGsTyu6JT6swHRqDANROXvackqtEU4jZE75UU9J+TwGR+QJQ3 f3NKIeoyW37WVhiyUykouQIeWdfXemg0541AxMYnK6y1iziylw4jjl4VzWDnQe8GUkFn7SR5WQ3Y 7gKeX6C9/Ng3oh7Fb4razWS2UXAChdBzSPN0B7S2E2gySpr8gAhO5DF7UWt+w0f9ObSioJ3V847I xJLBSr4666K95GH1q4WYRslg6Anard9sNJv10GyAv9kjF9IlC0BdwmctWP1htkjBbbc1uGezl46U hUVTTndQmaFQtYmxKOqigS0orv/vLs5O1Mm7vfnQF1S8rbG3y7xM6eKw1ten61JOFim1siKATHO6 clt3tLlC5pGI5AMbep7J21lRAxvk7PtAHvJSbbq99F82aUP2VoPoU/nOw6iVsJwTYk9+QJDilO8Y CyIQM32dWe+Bu3k2nfuouPfAaKkYqG+47imqDPluwzhw5xG/szI9jg5UKwVCzIVoorMs5hJvstAz G1LGP3Rs9frVXvbSEQISF9iiPagG606sRr9MFMA7n4/i0V+EwBN5rPrgY0J/UJKH0ehyqTxW4bSw 2lrCQkLypXv9KjYI3apfrbrueBdWm+dCZEXmrKATu3crIiNoKBBML4UVGSGvVHCPHUKTWji1+KCC e4IKH1t+ey0+H1kQeOgweBsmhlq3QRh6hNK1sZ+3N8U8iDN7sS8J3VcJGS/LT6wwdhedpgP05aMm q5IvCXAMgFedBX6cauecRuUdvXuUGJxAWbIgfYFLqPQRplx8CYzYgps1MqR5vsPHjh8QxA7zF7Gk 0p/oXoahUehIwj9uRzP0trwmUxIhIIUxqbO5cuAUaq7IUNAdz2gteixMSYX3iqxzQhibxjMDJing l7DQIFOwsuyV/xJAHZnoxyF0BUPSCoTrj4MZAqfQ75yQeQ5ob/k6z+bQKRRbnwqoPlNbzAA5iCd3 Wi8DoTlTwtAqdH1pVz8a1sqezyaEZR2zZxjtNNk7dCrAJINte0o4FGIFVqEn48jEfRRK6OVCwyNV +uA6xlUFGescmoWmKwJTFJr9sBXgcxWF77XY7Vc802GMokyndq80wOLE5ypWgammTVXu6OcVDFon NJWgZA2T9N6MJIiFD+9AgH8Mo5aKvXIE/OhfJBOwYmUsA3CbbEDgocsPiOwIxldSiKN9tAfOEBiG goRZ2HeeLjSYhqnmwa2jeDR6LpvsGzAO3bWsem13tPQZTXOIybB+1QY8Z5UVIUHv6Jl32jZI4CQl e+U/tWB2uYOAV0gNpmoOAF6FjqG5+yXIfsbAUfV06APLUDgS0P/DyRFggSRHM/TVXUSvQtRGl2Do Gbp0nsMf5Y7eoa8TEumCH7eEE936XScBXSS/wtPGtYVqtXeOwnaCY5Li0WSlH6T7KpjI5zGHrqGz fVf6gEgYRBXaVIXkUySSXZg4TpVsXTlVuxuy6JwzxaV1c2AbmqRWBRFxyTWv3p426gB5BCUwAGWg a72XsUuwELGaCorkDpJRihM6hzYW+QDZVT64f5ZZO53FSwjF+YTGDwgO9mspLuJS+fZez4F5KKJ2 kSuboxlaLVcBXP4XkrZ2nfQcuIeifa2gCrgNH31dMcZWIJqRMhPydc4bKBVk4EJQbL8detnU58BA VJLwc0FwXUMypROYHsimPqPUEDqIlumlBpLiuLfSI72fQxNRNrxOElsfVflkXJxv5SrkuT5bEU6m qkNf7YjnaNcgesPneJeVw9mt23BHsGH9mVCOhgqTz9XO9spRv4vqHRA9s0GbcJzEQMZDG6ylwEfU EJ9fcgJndWzQnTVVn9fQqmDvU8VjbteFSXP6TKXci2MZ4GTFmXoNrMoVlmfD2McbLpwVNU8iIoIX FHRUbIcQ08RJuPsN2Bd9oF/DqgIsEL3QKvnNHDRLgJCYP+bC0y9zVOBCpTlawj5UuEAXul7gp4lm aFLJUgVRua6yiryJYEgiW7ZSgS3UWgpmqCuQSlvWKRy9xg6oz/kjRCcBsY9t5pMjnqN1mWZWdbsL Xi6f13DqfM2g3gK1423QFOVzC/K/1BOHfF5jqWLMhB9R4NDgAueAalWYotdIqsBRjaHmemx4yOc0 qFWyLPlk7e5s/BpHYRFJH65VdU45WnAA08FRLY6CJUwVcG3RYbwQxXe7EDSv+LxGUQXHCrbaSiQo LVcqKKyzDnnR7vZ5DaHKAzNe1ruftGi3GXoNoLiICgPMx1pmXEHrsjyAAiLGwoLX8KnYPQBK/Fh3 9OOodYCoqLMAad1l/CWEuOgpN/q++QyhKPZ5DZ6K2JpzT4XklM4TCymBnkK0I5QlP6+xE/7a3m2K mkWZTTILmKLXyKnA+jbz+MkX3L/2NeulqJF6pKkZZ+Q1bsI+U7y06ix3vPXOs+3gJA6XDvg/DtJS T4CJ879BmatOAoXUzKIw3GvYhLOIhgSL9owaVGYBfpXHN7vur0ETn06+jOzcgjGYr6PXmKmg5b7Z 8huuazIBiDZ0Qus+SedXskl6jZiwig3zMi0ImE8QACRqwQYjxbRtVjyBeWGpv+A/G+vd6o4mmasG kwRRaajB5eSD6isnetG1Bpvbz2uwVB73tCWJZsh3LRi7aZJeYyUc84UdvmUzg75ftr5MKcQjstkH S3CzAYkmqVvjOD+jUx+QQzPaAgMJ2p4Cu1DTZpO5TFCx7Tbmda9hUnGA7BLzaBU8q5mBlzfxG+CS f16jpPJA1HUgAZI/kfRrjl4jJBzZ3G1t+xx1sXhY2NxrXDP66ujY1/gIR3aXQ8oUsZujo/LoHo4g DOCpBVlaLST2Dgs9+MDF89CbLPjX+KiIaTzhRVN8EHLjRBEydm8nwvq8Rkf+lpwShp3nppil+GZ7 LWjiLqwspvThCme9etYL+RqHAjXoO0gKLji0oSDHSDutO3rpF3CWAjFWpCdtwXWdpV+eTOiLUxnQ 8cOLbjKvxcyiLiJcZEbXUEVaSGfR96ovhPxpMEdEhGtKsI4goT2rX/2vdUysXbaLRza0Aejt24so c1TX+R7DOSGvVUxGRyqPQ4XFR58j3ItIR0gfPbmo5KtxFVHOc6mLf0F4tLl6rWEWCRWx2jQ1DCOP ggo9BcFb+fNawPx1s6n5SdZvbb7b3kl+uLaxqQeM2X6MZDS8gnlu12W1JhATLnc0mqdlEkK93fGW msBqOPsOcXY7a2oYKbmTCglJ8wqevcu+KNV9D7VxQ1g5oPsg0AGbxgSVQQbuneKHtTg9kKQ1D0QB FguYnKco2JbiRF/VObZZfGSSIdWFsZa6V3rDaHuqFGdOqhydYQThGnTvsOcAXKTJ+lkY4m2dgZQE bxFPqi++M/y4A0hw70Sjc7AOAnS8yHM/W/rzTvArjxm9VtSiRuHOPk/vETdk4IY0PJPHASRNG0rx GqRMcjUEKAvmaUsetlTV5Tjaetrw+NhgOELo+4TjRbDiis7mZLsTf6FlJTRr+byz+3DhEM5SNrud HDhN0IRNUhWsm48H4VLf31H3or7ZXU5R2F2pvjjSJYwSgma4u5ocIdWo9i8wS3CE96Kje659R28L txOGs50HxEHpMqFPC9U42LicV0PpzrU8U9Ubv++6zu12cpvsg7I3HBJdFmpAvYaR91hfZzjoTivR +UPdimA1kbMxEq8loaPanA426NmmqcxxT6f32Dt7jjsrBYQ1WnulgIK6p0wIYFHvkjBc8/ylCPuy /spUzTI8nMwYlNQi1u9U3gVBkAJCsG39vNP6igEGnnM8E8h589x3Wh/+PGRUMJK5EgCtX1eL6tsO T3o7+D3+Rh4nwGuRfjxHy3RhbLXhg43QskN4qghHDSCDTPmAULdTfNC+6Z3UV8RzRoRR7yBsYltF 8BWYIX7eOX3IN0ykUqc4gt4fGqfbPIUR+BRyunXHGJTkKt91XuGg2W4rOArBu7GOifix0Y7xCvuS E6ITvHIWWFYyB4W7dpY9O5woLcq+OEsqIYrBG+MBtLN80HqCXAEbK+e22593Qh/WU/d9V5XTIH5a Pk1REI6aINEF7ks0qjXPUWpNXgGHZYdNUxSFjyybht7SHf0UTycsSDh+T1QwZ9tDzCygaX8WpMQh 0XiZ/7Prjd+nidZY+5yY4og2O44rnK62ONqdjwch5rynuC32H4g5+zy9B+JAYbD/iy6jLafHmah2 qzq1TBUPdQqiSHyosDSybNM4epUywasBF9M5nwoKkXbkQ8ags2FO7r/bq3e98fs8TdnvZXYLuptn FIS1yZK7lj/vND4ETwZItOMJl98JLO56ioJxolfPejIQD70bTK+rSNGQYnAdx4fWUxyNc9uNZPOU 7jzBDYVoBVrOpgVtfTVdIPE42IAmwEuA/CkBqigaRzy94LzWfNDxVGEiY+5y6/NO4cO2e4oovO5Q aFqe1r3z94rw2rTj9dy3L/e1yBJ0kFLX7aW80/e4nhk0ZTud8tfp1MBNH13B+CZ+j6dTQlSwkQhk LGKvNKFh/Hkn7zFII70KgCMbFDxleIPxdKolf965e8wZvoMn0HE26jI2TVEsTijTSZXtHKI2kMNb ExUZhNekrBGn6T0WNyMRcIyFMufoud2Jh3DKUs8MVAh6tYHhjEuvJqptUGtYxxPLlu/MvWKmjufA Rrf2HEhnYTbjvwC4zgYBoKbt887dY4HNq7uTxRSo6687VVEBXG5Xw5kKRAJ7XNAECmY43qavqPdw HKUCQemyuTbk+lVOAThiElJXWsVxqFJBAYUO+rNsrT348qJXDiIogXga05bcaPXAoxziVTLFPqHm 5523x07MdwR13gGomeQTFQbkKwk94KW5hAjDIs1ldo8N9RBrN72T9oqpDZwJmjLH5ug8mAFU6yTF 8QRj52Qi8nUx/T7/Ab5An4/aBiVc3jl7+CLAwU+uTBXUlU1MHCitNMTumLN+3il7JV9/3sXeJ+pQ lL2zeYoicnaqTjp6taYGy6yGwq83DwYgghH5O2GPe18+V1UCzVlSKqqGD3D7Nwl7G2IwSQEUqyIn Ksdm4RE1H8Ord75ecaA0pSA5VCe/JqbXWBloqkQB+fh14zX5HHmh952sx+XEWy5NnyY7yQfhmeP6 OCFd0HKK6uHG1Ng99Ts6Y3atBK5653JC/1syUwmypxka4D9UPbpHub1yUBDvYhXv6YPw5OdDJV14 suv1eafqWTz/HUGhwzuW15/eqXo8oCg6DJdiF5nyfXeu6Jo9EU706sV6eg/IcZbLmHdLd5GjX3lA eS78JmDEo8U0xVjv7KFDFKzIGTtJj8ReOShm6mSaXFcchDU860IGEWeR7M87Uw9/cf7uQYFoNEe5 8xRG5FWOqs0pjXlOP5/AcP1x4bJl1P53nh4WtGzoW5XEW6uPHR84GueWkr4GoGpWXDj/Zf7QEREy vjfBK0NvHE0T52cxNKBj5hAyegw6L59vHHt/3ml6vHB8QfHt0KQHft/mKSyNE7tDxwS/8K6BWiMB 1ewdh6+n94j84gYob+GjzRPy4BOwT55PCO639F066r4ToQGCdC+sQJyEb/xXRD46j3EOhq8421ks jLPDP+8cvWIeX9p3DDUTiirt3ndRRE4BPXQdnfMylpmrw02mDJsnFGNtnt4j8qeygpPVR4vIewKB ESQ8VFZONMV8CRgl9IKh3kmkoKlqEOP7zs/jcpIKHhO87gJylYoKLFGcqGp83ul5vLfa9/GEH2qc g9i741FETvMPQJSdSZXYQf3/pBXROrKw4J2dx4hc7pf02rPRlhMkW1NXAWoBL4ICItFiCPDAYt75 0XXDtv+8k/PYcxtiUXUflN+h+WAC+7t83rl5vJS/MQQoz/fZPSh4p+ZxCROJ8oAIgCb2Q3x7rwVa EVb0fWfmXShKZhbnowqGJ6aB78kGrKGeQOzMjTAZFVJ7nbB1kIPApiKMoOiNo2kiJRZ8RRsUO51T j6oy0Eni49EZXr5jzAqgx7zTFAXjjUW6zaiDvRaaMqvXktp28clxuZ7vrDxsBlVVCIn00RYTPkgp JBZTOXdcMcrCRtgJBtRjig0iMl84yu6wmHYhYpWDFhN/B4UEpXzeCXlFohJfpXEIXqd6ZymKxDsd VWeZy9HPy0AFZzmveRUCqakF9PM7GY+LmScSd5CPXitYA8kekmDqJHd2rxCRZ05QVQvNoF+TWiPv VLybscwqbAp6yHL8KHQBwE01z+b7vDPxfhVVbBEStufzFEbilbK4PTlKfFOViYh6MPodUQ/3O62m qDaexJJSF9xG33SUoys8wTuLs6YkyMrrovWMm1pAbVov/FffrlTuOQ46wU/gptV0zofyeafgFaPe PPHAuUnga+6z9B6Im9TFCR6yz9IszVnDXRAVYumJJ+UsRYVxk5lsPT2j7bkF4keHjAXsGtARFvd0 gMA2SNwD/cfhBMxX3tl3WP9bRHRiLzhwmtrZOk3En/Pzft7Jd+URglBhHAwR6Nj7NEVhOIPnAUUL S39L70aBPYmFU2BPdDjMn/edeoeCr9qadatewNHCcNgSohEFSAGCy1mHuTdZbQ56+u0hdRJN+M68 46XDzsGkOCcHKz0BDtOU4vXPO+/OF8Zz051bAz43Pk9RGN5I+GlzezzQU3HttvMneYUOjTeF4e+s O9505kekI9zuoMl0BeYJSE/oVogOAX0HNtl+G6D2goLkLWQmvXGEmCPqclNwuYJ1JoJig5oQm50w /fu8c+4cZaq6E55DaWfRUUYTFcXhjUbGjZR3hARty72YFHT5DzT07PFeWlBhZVz24dUaUvW7IVUG iLCN+F2Q9sVSR6bSaOuI4yWli+DFT/V5Z9wZ8myqwTeV3RDGfT7lHH0kkEJW9PPOuPudAePfhZ5Z G3fnRYE4Wy3gJ3kxc6SLd2oteaWgA2ariYpK40wsKbzyjDcB3uhIbcHnQWYp8gml3wEExLxS0B9Z g3e2HUuRUzTq5IMQT+WcGvKXO5/xeefa+XveBBh6jUMsfYIww0ic/nJbhQIK445paOdzTQ11XeCn CjwEUZhxJG4772t08BxwvLkIEF5gL1ZZUZmNGmQdpBwIENkJRbvrzzvVrjgpCp4VGooMZDcAw5KD r21+3pl2bN2VrwsvowALQ3KfqBCpQjWDnmguUKVxNymXX1NhPVimz5Ahr1hQ70Q7Q7YRMb/aHW2i RsWe2OJjnP9LVwYMAUqEBAOFcNgkWumJueXnnWh3A6hSqNp9hq6AEcpHjZYDkGX8vNPsfkUGWQgX aMw+ExWG44SqdOBczJCB5ywVABNNEGkxkKkxxxUV1cZbNQFAq42npzbeB1ByAOyRYjAH/ejgPIuC 1tlvDfLI9cnuul45OMzJNi9dG5AIoh9JZBQJ+gDx/Xkn2fGE2l+xAUoUwFb4PL0H5KYJi9ajjqVz lBOyRJ5BLVMNqgZx7ak7751i97Xzpkp1dbZnQQH0nEAdguDDSe4Ku1Jrk2NQMvoTfZa780jGeOfY FXelL2ncwaBPFUEO4qJZ6uedYsfm3zfXAL20hXvP5ikKyFGhYJPTsmCge24LgZgGpncrOSrznWD3 xAbDxKg5OkQMXZvKalhF4WnvYZLCibL+DVYoxbNg1VTe+XVFSlhnfmB5ZIPyuxPMulBpa593eh0b EN5BYB1cpeN++3fv/Loi8wfG5B5EleVBVFG7RGCV6r2Wd3pdMaEpuBIrc+mPkB3sw88fBHbQick7 nMAEKJ+48iZM+Xa6ITn0Xvk1UbWACZ5k7KbL2BUg+Ktkzc8F/nln1jFT+w7JAXDu6+Z378Q6PGXi oOs2g1WFUjP4EjYbfYE4SyFUZTLEnEOdFo6eB08arSeqhw+YDo2k9t6ZuAFVJTiJe0Cu1RTVxSUR PBn4aLD8LsFm7MfYmu+cOp5tv05x5DGzXmjBO6MOjyWBxPPttGjGGGjmB0mnSxC7Lo7IOU2rfY1e yByQtVXB91x9hd6qBCWCY4ecBZvfEWK4mj/vfDomaISGzXoHLaYK9plEt+f8vNPp/g9ADEzbAWS7 zVIUjpNT2gWzUlDQp9sujFYtDT6hTzf6fQsh46JHd3OK4XhLT+0kJ1BygMxfXTtbex03eue6n7f0 RIV+fE0QEtBjvfZ0B+EvkhmZwfa54fEgxryoTAPjs0XmsxTG4giFBkoEVqA7yaqTWOCv82N+qnDV 0lqKiuKmRtqGsjuONksZiXAhlbWuk79kll9wguN/YgEWt9tFZW69cXDRsVu3B+MCDtpzFOuR3nsZ eDwAFfzm+oBWNGvxLLhHsXin3cm489R7fmQvqKogEwHiAEj2eY/FRS5l00DFFY625yCmAznoTNVW 4FSK0RNR20RLHmVyS+0GSaw9ZmeyBzzYY+Fgq2lWKV2dBImPB9zDVL/3HD33LpqnR4H4wKUE72V3 Cu2yOkGBripKkGDkMMh4j2AqQ626afazHK1Ahyo4ZPDldFLqJrzdXLMbLagSNpzVCpBP4nuChIXm nnlYImz60mUCxyrBrFonHo86dt+IcfgYNPRPbJrCMFyOMO0mwOc3uRCVccXqFhBLvOd6VBXvQopL vthGD5vmSp025kjsYF0soy9IbMKMCflKpSS+KgWijkVhOPodII11DcS8MmEpNavtDLgZnn8/ncYv YhQkaX/gp+YzFXI1mxyrWGZi7qKG5qShe3M260Bgw0C8R4G4ZXa5rmc0VObZH2euMot0GcpMbMkk 8FzAaaEwZksOvsiMa/FFQeS0WZ1TIL4AdzdYJqw7mLCcFYLH/1UqYOYMQ/MHvdojwuagqnSjaohk 8KvrPaXZ7URHYjcNldljjAo3Xk8CRXO0Y7yRyjJoxrRWgV1rU6/8XOJgvP7k8eQrtN3F90TNTfWA abM3EEJLPgVcMLoN/Iy6J54P5mmOrwhzqAfoiV0P43D6ZaSaHL3a8wVDN9ItsJ7ShpwkHQl7TNrU /FSFRRwdUwDeAZEgjMOBSmFQAOVIWAtBbm3te0DVrDcOogKJbo+tARcBsWEwoWjMN3AE4vlo4/2f jhREK22ewuL4plUA3xXWvG1LYxsVlblplCN9SEQzPMjDSHwZA7iOOzqILoEBXOiq0EG7R4cDYB6K ldPToSKmvsqjU68cnOQS4tlypSdyg4ch6ltDHEVIDeMDIhTdL+4mwrB8uZv9PRgHvH6JZD+t9gR7 Kc0UFE6Y9wLnCykH1p56FIxvAVfhg3NHO6EgYbogxMKSSkGFgCWVUdk8BjUFpanrbELd0R7VxysF 1oiFtIER1Dp3blU4fuJaPB4RgafPk+E5z0T1GxtEwHECj6QzKvcJmdew9tQaKYmFRxQhrFhSEUyl W3GuTNOUnkxI2W9pC3KxpVIWksBDlscHxVXPxgSLDAKtjhw/84UviiCsFA5T7YmDaiponkp6tC0+ Hiyo+d1vAe7oZ4CqahMVhuTqcmZz4eWcFbPF3tS9osNsKndFReXxpT5CtgaV4EuKDipOpgKxCFwV Ex1n3XmsVLTM84kKT9INI9e1R0iVbkhDds05aHYqtGWqjvWJx6MV9Rtij0SoX5GCEcXkBDT1LsMX lgsopazOlGp3OKQqi7lYUSOqj0+1zfsWyICjNzpRGErQaselB2Kc9G4RNPwMmXssJ96dnZf1xkEx k4K/pTyD2t+YJ/lanlwJjwf8xOH1AnIZ4Q2QL2NjREE5oPhITKoZVSFuWjZNs5igNERTkgGiR1gd t2izqujL0U4oWMqiglkpm1lgWsXYoECBe5McDL0Q11ajEM4IaZxsBF/npXMPsC5R6wnbOqvjo2Ge 4uq4bzxqKLP6cFEYIwSO0yg1OYsMSJHqVARwnT3W7I6xH1FxPKtQcJLTZSNjFCQvJ06osKliinf+ abqkH1IPkoQBjHYHBUgN4msCAhC7UTB91OCRZgJhnb/L+X94PCirXDQ0j/Hzy7SvWYoiciF6phPs oF7rMN/c+iqONqTsIzddFJHb6dSpwsWxapZAqYPnNbDVQDnBR2GZeQ4Ef/eGo/K1HYQ84/iMEKpC 7CocGHwQ2BCac7xhGxQNR1gYr9+H+KA82PY4c0TxeN86uIvXe5tUMoE1JMZNRxMtAbWWonh8SvOq FcNilMfyE91fJPSNs7Svf1wD7kk3HxSTjRPMBj6+J9hznWd3nmJJ4VfeqquUxRYNnWnxfLCa2jd2 lXmi1ME4T2E8jgPX+7/U9C3LK75k6pqmL/AYmqcoHl/DrFHFduVoZ9OgMTXa89AHOYkvsyVgV9EO wooAxsqZ+FQsGFFdfLCzmQXN5KCQACy4pUNqTDwenUzzO8ZEFlwvw3yEiPFB+DONOSWiIlr5pGKB +bw0YZc1SxFi3LsGSf5dHL1Ih7u/CPcEeE8egmCougki73z8Y6ksgq+JmnYgOyfUXjgYAaGAwMTg gDnwCCPx9V18glo1bGd9lkKgCok/va8nHPBaQc5luYxKAwJQsxRF4lN7jdA9jRQUZjgA9YJlWjMT 1FDhnibImwOiOKhK+CwhVMDXRFETQYaVLSkosP0/xt4rSZYcSRb9j7WkjICTu/+FPaiqGTyyn1ue apERVPeUR3giQIwoMZxKAShT8v/nwsDz/0XaCSnbqOMe4GEcvsQf277lOtMFoi/WXsum6awsn6Yw Dlf8DQeuOzrt53w81KcZXiZQ2MnlOGc5ZVcAWqmiH2jTMbwcYRzeKaINFXQ0yMlcI6Q5wSibrDLw GvABQbGgfh9ODeEF4Ms2U2EgTl3oMz/tHk5EaKJYQDNAc0MtrmQ/wtp4FqNlKyji6IcTYjDo0GCm Fuzy5GoGsAcP/AwK5rgeCTrFw+I43KfWRosaA1Y6Q0VQQyoL8mPngueDiRoeibNjAAGc9WDpZlgd pxSWJKXQLwcY1xW0wR24ZbpsBnDzH9KFo4jSwtGq4ydjgQ2fNNXaarOZJC0ONUrko3PgjTtygmeI UyksO6k6Prw6XkleVwp8diEeD6apfXekICU71kUVzCgQp5S4E3/4j6oaEH2Br1UGXEUx7/ykgICw DVWwntF23u4ogcAhEtKeI5tR7E7KcVj+BFbFM+Bqrxx1ERhbJrowctA89XPaaAvWXvD4f5HogSbA wFVr8xQF4olUfHDrvDwu2SecUKrK84SC5pDpYYUMziY4Dw0PbPR9B/+DOZkA50owAU+oc0NnIKHP DMOu4sJ5KO0ww/K44DxAJtuQlPVCPVJK2Kvi8YiY+B0WAOqwoAZm8xSF4nRRPOt1OpGsp+Kh+FmP 2YLMgtRS8xQWx3XW1GXB07rBE2iWJ0xueZpm9omgzEmQ4mbQelz1Elq6vXDURCA/irbBO9M1jTkv +FfbqDwTj0eEFg/FDXuRfyDQ4dMUxeKVArS5Fwep9IcQfOJyw2WeAMF9I2YUi7OOC/OkUe7oMLrz R53tZkIhZ3dMKR6e6Byup+duLvkhIUw6c82Qv6llhJ/QBrV018mwxeUpveLxoMXZfuF8zyk5xZHi NMWl8cKS71WfpfWawicYv1uQSYyyzvAIotINttrLM/pqarB3WwrFz/8DAneWspyLC3BUmD15+EQH zxmF4lXpb6d/YHfX+rMsUVImEvzcZnj8vwSZEL5fqFXZLEUQFZbSznE0b/Q00vSYQLJ90itAnZqz FBXGV9Keox2ajY5dLW3j3GaQCU5Zkun1IEcCWet+uHaTlOkZheKJ2N4l+VDCmBmKV9wZZnM6+JZR WucBgQhj0GCfV3RmhtzNRkRmKcNj8fkgeeq8SB4wHrXnQk3D0rWI7Krrz1UHb5ZOR2/G4nuYinbH ApxIkeAjebsHWy8cde1oOnKuAR+sMge2MQ/wtfl4hAv7hs8BMj/TLWDOCKKCaI1g1cvcJHVAB/jc XksBIN5mKQrFwetDsGhwzPbAMbH169kQg37MUCqYSYcn9FY2FF8Kyp1OaCG0fkaReCNetSUq9DQC YyRGi2iMsnSr5ornI8TT96aDwk7PN7ObIXOTNac+qkuH7dqM4VoGIxjCDDugFZqnUEtFcAIpEtno uDCwBRNiL0gOQQxSPXV4S/2A7wrs6m0C84TB9/whfrF3oowoB4MZVpfxP9saj/8rDCckEXkPFV04 TSuKwukUfM6jbLr0I5UbN41qHCAIBU0rOq0IozLk0ll7L3e8J/jYsLFhae7EStWcmkeFgQW613Bn MYkQ6RSssByeNEuUx+YgKA+ydV6t53aueDxSMPJZYmKDbPr8bT5LYTl8isQyPbqkMblmqbgGayN9 0GYpxKhI1rAaMo6jQwoa3A0AGqBuGIhX0sPC1TeBU4I6nAOeUJvA10TFFJLvM20OCJ0SABMCa6Qt nNS/Fjwf7bnyNU1EdOKPt2kKdcRRz4P1qweXM6V0MSrjyqhgOjVNMUZFbHKChTGy5tRIAz5pFii7 WdbLwIqb8ij8OdHiX+Wxzjgz1vA1UWWOcmGsFWgQ4KnCbIvfeMJYPB6lKr8YiQA5QgnWZilkbtap cpxX5lQEkblBn9uCpnnV1lcIFO/qqUwVUzjaliu4Iug0hsrcOmc9zReAwkFHET5zrDmVB7G6wmr4 lIIKVCPPAJQda04IXQtLaye4KZ8VwlP+R3QVuKl6b7oVxeCThMBWWdxlH1jgJ7hW2f4DQwNG3Aqb VszclObqluYMRy86NcCfcfzRQfHcfEndOVFaOj0BZ31wPFOvHFTneIQ3IUtYdm+mDg6YOyv/5yvr xCf8S4hOpoCIMJ+piuJwudnvka1ddwLy5VWn6f9roy+wTVWoo2L2iZC39dGhF6rvJkk9Zbips09e 6A9eYbMEboJbAlJbAl/0l+5FOdenD5b91mpVgtL5ngFEZXn2K88WtjXvPEWR+NI5ni80bPfRDPLU 5cM82f3NFomvMBIXb7MkdYE5eosFP/EGqwl6ouegrk0yKpntBoAQAS5x4EXWfRfKqEgYxN21mjXs NpzaCFmCAisej0Sh29dBDsDRmhfytKJQHIVeyB1bLZwah+7HeeYmG4vshFQGoVsxWlxwgiQVAo52 38Ha5ywS4i5O/tWq3GChOYLAFDIJee59ASpIn/BFfzmLtMomCySm5VUDzn/mehiozq2wLr6/zyi0 jtYDeVoxfZMUje0ur8hY8r3wtrfsluzaoDAe1sXlB5G6miyp3ybL+QvOm4NfxTZ5lkUwWIkA0IC+ idXbXA7rrMSmVw7sRRaqmKiG+iAgzzoX6Jakw+B7RnCCb6FMRMM/+6FvrrgsTmXDfb2DeQ9Zb1Py DpwoaP5rosJovMuFJSkqt96QAqhzlCOe72wgoGrAAOokL/p3EZgCS+MhFHWhVxSOZyGeluShV/Gu HavvagfPisejBdW+JgqCWLh4PG3ZUTzOchEiEdesb2RiWeHp8lzx1yg42FFVfBjPNavuy9EmqlAy e9EI9wTqIMzz1C9gV29oO6ztppLmc76jeByiw+izICw/qfNZ88TTo/zQmXWMOvl4UJ+7dScCp1F3 ehgI+z0eN9FrRLCPFbXLFxV4P1p5rkFQVKr1oZKK7rk9TP5jlGvStsDeBPSZcKcNlFgV3AmFisLO Zm7r3ne0P9ghaJwReS2MpTioKl6T3EAkx77fA/Lza6ZfUirQW4TvoM1TCBpv7Pz27b5/XdQWnk/b 9QpODDdc3f8foPFiCS5Hu+9ORHgOuL0YQqEaS9g07JFQiyT7raw7TTQ2xvf8VaCbk5IqHLTVSkut aon0jccjLZXvph107olmt2mKInKp1k/2f6Qa1se8yIvhKk+LJwinKcSnmL3PGu2OF3kxSkNcSTWs c8M2qqsB2MRqL8ATFMrUKd7sjQN2lHpRlGHRoMNpd2OUtY3VFAFUWvm+7SraKyiw2TTFgHGqOsjw z9T6XCQk1wvjAc/Wpim2+DFP0vaMDikAYbeD7o9eFM6QIuwcUCYDV19jZ1MFOiQE+Jq/YDyMuDCg pUHAHHy/Kn8Z9LrwfHCG/49YH8L6cdPgHaoadklmd2e0wD7b5qmRW6ISHWwxNU8hQEUJ3nko39Hm iZ065r4CjA8D1g+UayeF1WnKJqUCZLb4miB2Iv45CxTGQZsOlrxbhJa08Pi/pPpkYAP1jasZtiOA Cv2eYTSZLovMBH1radOl1WivYbMUShqKsFl2T3f0NBgq2RNNLxQLILTRs8q98I2jayAQwQiDmN01 vXFQ7wX0Rn6L87FdxIE0elEfYfHxCDv3C4mJrZuuBcKOQvHKgAAOLU6P0oHETbfGVX5UW7jzk6KG neF41JHi6IBV2C0llGbRsKvZmwfQh/45KSHi8ua2kYVGz/ieIMKkBSJVKW3QNIF6sBQctIHHg4xl XPIBo0lEA/tZTmFdXJIzq3ebp7aH7reKoq3rYVUW1ThPMUQlPMMBdj/5E+EEZ1bO7qs6nJDiddAm ABFxgMqeeuHgcFqij63mgwqZY099Y6t14PEgINg+TSRCAUoADVKfpigQp/EKtMjd72decTXIo7vw 46A8KHddhE+xolPbMrLh6KSfNqE8RjILgAW7uL/rYPGgfgGeSmL+u/+lovI/8OcFOxnyBuBrgccj pT4/m8wAoZFObNMkSND7PFHoeHXPgLPXoVDzysJCI8vYpuaQUyik0k1fXWuFo4WYBZ6LqdM9CrYH ow6VVHhWFGSgtRZqfMjxZ9tLB9q0xcSwCCwAa7UqGMgUvmDGNs665UdE0NVvZUO6XCSQany+Qm3D IkoLA25i6mimSgJCK/a/tvMX5+rzFYbkluGhC+7jzfDGWbDcbCgPJZSC5fzDCh8Ig6WXS3ddDMnx TQG2gMF4bnn7oFMcVCs2lk7Mn/l8lLzUr+QlQ+Jnl/pMVuj+g4IQ+fIOEpMQJMp1NMsWSIwMa5us EDZu9YKmwJOjo1VOlgdpNfO1OecKLe4W4bIweIeOWLpolc26Ab4pmKymfFh1lsVyBDOYkmX6CELd 4gcEONZyASvSiB7web+mCCmKzocw9tmbL1C4tL5wymt5i4ounnJFSLH7phm4tXJHp0tR8oL2EZDj suiM1U0QOhsLUandbE++CCn0AUJF8kT7q/qgYwt+jBL4BdAgp7hm/k3BI0ENkEGfqyhERwMZ6V4R 1BcFTjaKIUJzdsN2UN2FHxJCFky7KndL5okcnbiRUWbKFBQ7i75Juw8rCyHG+ewGhxrXySp5+EsH XZip2iZNEjioFDxBCCHP4Pw8fP5fmv8840sTKOvZh2HZXPZSdTq4DiewgevomyXW1B7dmJ1U0fir FVOWqLAcPQY9EfFJl6fZuk20jNliYJX13GMJZalmuhiFpmP8pgiGSFydchsOtrJO6sz/cvIjPR+x 9L9XFthccCa6c/W3zkoW1F4yUEhb/k91MM5Vps4KOreaqyhen5qrPNYzes3lpOuzLyJb0z45JZS8 iLCj9D/NAqADagc8DUf4TX8pZkHVzQcVXU60JQ2cWmvm8xGxuv1aWTAmekxeKZsSNKkJ85GcJpl5 Eg/ZdJ5Ei4cLqyF9s20YFtDVkNny3tR4o4dzy08wetCQAYBJheGS0VftAPQWNEXsyKLDHL/pDzjL IuuJg6tmTWxKeQOVxuf/C3ATl81+fExzigJ3one7idgT21KrK9P0UdyTK8NPyawVI9EVevEhASz7 jg6PauejTGN7o+acDURWAOxGBotw3SGJ8ppKoRZ5pze3DKirG1DD2rIkcoXaOUj4fLAF6zcPnXFO 2l/nVVhFRxUZKXO1OhX7k2aMm03hFpgaV2nNKSyjm+2G9Wc4+uk+z9FbcDMDspHI4yb4B7oEi4dX IUZKtDzyhvFFUaVKVKpcfBDNE7y1rBZNnnw+yppvQ5QxBozQ2mPYGTp2kinW+55eIs7jut/Mnhzo OoinkhNliGwZJm+QntEznY2YAcEBtIhh2krvwHN5gMdEhY1949HCVItfFB3tlIaqRCVwUB1mwXWK KWEak88Hx1X6pd6OGu0q9THtjIL3lqW7Mp2fJ8crSrBsnpoq7LV55yrCtyTD4lfRGDkaWOqEDYhD pxR9Bli+il2RynZ6c61Hv50IxRy7dqpk1algx0FFhrKm5TznL+fzQeief4HKJnTuL2chx76dWfZl 85b2ipne1GxMYukkswTa9VFRqVjwOyYRNnoNFIpcFYEAZLchqpclyobF2qnRXx5eFQmD/KKokcV0 cBLUWQjZZaFhsl/Gc70OPh+1kG+lAbNytn1f/ZmqSA9x6bBKwySlh4HOaZlLFLQsc1EOsKkKw/Yt z4QiQUSOtqhWAycIBlX9HCTpnMHiPoodUxOIxI9T0Gj2ypEcRAb37KwhH4TAq4D2G9W68vl/7j++ Hbif7YZWoX3nmvIzy+vWZLrraVWWxrWosisg5cC/EwGLWGhFEpIcbVEVlOt3oqAW9GoSy/moXQE3 AY1vOnhb8aqPbu8cwBIYsy+lzqtllwopdZgN89nWfD7oI//S9mmZv9+9AQMDT4TZ5H4KCKSpSt32 XxFzlpJam75KXR/1Z5mvmx4wR5uqDaXWhGQGJNmCtTSkPQbc1FT2Pi96qk9757+weG3Jc3FdS46e 5pJS+fkgPh/Jkf6ShECIMr+OqpAAapIQ+8rWpOFFhrSamy6C3mppc2jiCVQPEXar3dErMmvCq2ED xNFon1DkxYwa2UlPGq6Qcmt9KmCFLp6TwKmSVMdyGSEs1y3dGhTw+fy/TnWhrtCavhKSOfDxhB4L 2R6kSct3kdqPgrtQdP//aCmNaNSmKrINMnMAOpf6aOE6EIpnnWXZ4ZxTq1oeOBgA0pUKMs9mg87+ Ar8pugHpKdipQsZBgRW6iCqM1lT5fCRd863DTX7NfIyrAy9PF9AouvZYS24uxJ0ROVs1hoaxNlex JovEa4j0sdHmCi6nUMmmEFlPKxM0CR5IYx5GDTLOlbBBvdlLB2YBS0WrXXxQu7SNXNUVPjuRz/9L 3V340DPz5SsGDTHorYgB6gjGsZYTPyoJwkQwoktlaeC/DD0zD0AbHcJ40neoBtG2C0cxlTMSoHvI maEqWZC0Xr7VtJeO1G4ZqQs6PLK3llGfzk31BVSQQ0/PWn4JsxCHD4dun63Q1hNGsMB7lmwHFpSg DZy3p5FnUMoenjSHvp68UaHtriiUo6POxqhw0ZXSNHCkaYh0RZax8qLvXlezl46wsZim1AkWSqw9 M04HH2RJx3ZUPh/cgze4YsgJBV10PHyuwnJ7Ink2WQkGgPR0qzHgvZMWWmqd3ecqRKQLYp2nFEuz 3LRUQS6rAnZBZMdZ8BCbNIeF8bOnKe9e8Ta2BXNo7ilAFbr7Puhwr4OQYEYBk88H0VX5Jjyi37ny E13F3p5cVrvnbJuQNoniGUOI1bCMAwgXHViBuSeWlZHXJR7J0aaqoWzRIfVxltWAKpmU3hkq4+87 Vx6iJC+J1mQv/ZfE5JxKCKGYRg7e+VQQ1LDEzuG4+QH/wn0ywj/B/d6XbZwDf0/chFPgvGGTxcqk 14+L/rEhkL7rKgSnq92cpzReOdqJlcGcTHy5VmFXlJmywjcH4GVAQotUAbcEkra9dABfEESvsZNK 0RQuEJReC8Ojc0DqTf8pqYw5hrogKsM+V6GzUOYe5EKWipuMPZE1pyuDC2tWL1wFJp/XNRYH+R0v r2/AFW5OqgW3uqfc5CeI+mMyM5r7rqvp7xwhPYRCY0G0AgfNrmClCjuZeSB78QMiCcXyP1HDSOvZ hFGlvVUF63k5/nOZLTHVSx3FsKmeobmKMTHCNZrWAUefqzkhrrEZYU2khq1KbBIUn82EG+KQqlxZ NSZ0+pSiVBPUqrlBz5m0CScN/PW9Nj7/L94aq3yJHJpnWUVxO3YZwDDuRTwoE6CpWtsSn3NLrn2X Vaij2IcVYdodLW9OYAcNHIpnWaUTC5odSoKmKwIuRs+uKbWmvXKA+MjUbEFX2QbVQ/MWsQwztfl8 FLb7BuS5dHK3Ma9nVQ7NPoc0zeUDzhSawYWgMWP1n6tp7mWrwO3zWgm00scdLRnE34P2+ZAQ9flo 4fboLsCuOhAO3r3JNlUhOIY7D1onGrpwr2etTJa9UbPQi0b46+9LEGnu+D6roqidKplQzc6OItrT 61aZetjMmxsiUeXNJTQaUnG9boPJ8IOsxHDypjkWz6rzD3nxogTvH1c/W01YUIqsGi4hfk9wBdI4 tkqbk4PF3qjeigmK5nwJ9VvGrzg0nU2/59PoCi0/WeXtit60qgTkZzVGt2EliS153Srw/LyOAqnL z5OjxQu7nrBn1SF1zgmEHvsRCfWyBU2ggmYFCpMEphV75wjUz9pCoQYAyL+2quBib1Fo7Xz+X2wa 3ZfQYPoKQ0PfT9oSQ3CoOHB2euWqUANZZxV2pu3A2PhTNT6out7Rz6oKvCPkfrGs4K1XTBoHmGP4 yLZLOzK4eg6dPxWFDjKGNNipDr84nuqssQfWn9Zj+tqAhF7dEnvo/bmJnaWPjK2q3m6Nb91gYcw9 fKaigN0wxicU6Hf0wnEpcJWXI/hJaIDlE3gWcjNEXOZ9FSXkX5UD90/lnOjZ7OKDyqF4d1kI7bn5 /L8kFbVftxSZ7lz9LXU+RM0iq6Z5m+sEpi3d1k0zTnIOHUCNR8o7yUcrMUzYfVGCGSCGs3LJNYWf RSWIAap38wF8dPW5QhPQmcVyV6UBoDaWmyqsnvKUlE3P/ID/IkCZi/qT/dmEMZ80sTM/neneZA7D TpdUqylpmlyrJP9hBaoDK/d0R69eQaoEqBiRa85VkVRlSATRst8Dj2bXnFJnPjADdbv50slGKlRt 1Io6wf9mNjgWQB+BG6hR1LS4GCDg/Jy9PtMVhu2NhDa3hgHILzl3hCp/Ju2Crp9NVwxjNwCDwvfs BSKUGdBELgDVAwWA6iWBx+duZNiKzOZLfRkkCnvpqOPMyxA/NX0+kE2yglWzUIHnz06THxDdht/E CNSG53iwV4EvKOQBkA+KrvJ/+se2rTLaG499VLCWiO/IB0NjUFN4SVNeFhw9H1woxdVGpg2Sctx3 ZAIyxqiokSBJsIbXns1eOtiKdEOhtLsNKjQU+LEpcEdlNPAG/S64Y1ogcdu/92EUuBPI0xnjq341 pYuDal+ZF9U3EVTbwooQMnYJstPv480HM7I1anVlcDlhtMfAgeJBkLTJgONcuk23l/6rOTi7Ws4M WGQ/D7NWZjnnuyY/IMhy0i9NfYBH0iVO5sAhFLAAbr1RtkMgxwX1QXnTJmt016HKsUWodfyWMQLX FyNwV9yoaDlDX6fA85lnPKAHmMcO05t0K1jbXzrYhdKJl71cym4jM89XUBMKGVrn81Hy/E2eRL1i PeKLOTQJVcNpSrRzimXqC6vLLxSs5b2zV7Bil1CHXKnpxdEWFpSZYamaCRcFFW4tlZGJ+IAzbyks ygh6pZJ7aBOqknvGNWqDSu4Joh+qHazF54OQ9JJKiu7DhGirPCsrLLoP4h5XlvkenUD6jztgJtk9 nuAW5Rs7sv5UfgGYo647arZOzDVp70jsVesb6hHn35mLG7BBxoFhnTW+skB9gVeo+ziRRIcBqudW EV2VHXQoGE9+QBA9XGFmleghEnv5gTm0C2V8dEKtWT0vnNPD0sLFIRfxdIsNgV8owhCmziurkMCR kwXm9tneBeJEaPKus9a0Zamy3qD90llskC/YfeW/CMxTTgSzLhHi4cbXNrWZBWcI/ELTt24e66gg v+WnLhMahpJw0Xvd10h85mskXm8KXRkXMS8MHUOXMXEeFetHURczlSc14VB16llQ5UkRYaIhM2h9 yguHoqzAMVTAiYmiBJdVnh6JgzDbi7UnNj8guAr7d2kUssMzXfmAHHqGVko0z/Uw4FT5Y7mPgoqc qz0v9iMwDYV+XrUyQ7mjX4UVfP8Ee5JziFeUApXtFL4iFGKA/zGcWir2yhH6UXOUGZAijJe3TIH2 Ecn4I5XFD4jgj/MrwsLhjpjmTlUUvcNNG2ow7WL6tqlVAsxkiTUwfX37Dowr7lbmk0rckG+1dFAL KldoF51Qu2JLStALbJRztKB3SOMGiZykZK8c9bwmQR/9DsJegZ8h+5QM7FXoG/rIfosHgLNq3hQ6 MA6FYCzzHPEAJKNXjJKDOKH+uFaz66PnP5xD1evKmqmc70wN2JOX0WXsxENfM5WAGYQnyE8G8Nn1 hWq1d47idp5Sak1wMGbluc6oSkGzxxx6h872fawXiqOnZ/+FDFT2vBLXLaeKetqaqnPtupReBk1U d2DgHXqB7alYz6s8Pa9+rvACh2SmhGUVacmbKS0762XMByejHCe0D22i6Q72n+H087Pk7gSFDQEa V278gPeTfV0ND+aPQD/mJ7wKHES9jAzvSis3tFGXIRoy7wOJW09XrcqBhejNcc4R/YzOL0EGUwG+ R7lh17plx1OJ/oCWEiru3nc2u/ocuIjiZMc5Be2MHzoXSfWtQiOpMjU8KXvm8xH+6rIAKIzKzZXS A8EKnUSpAIADa3r+vLtpgZfMMq2E5WkWp+mKwDJV/dTeVHbg6BCss1nSriYZl2azthe1ViaExqAl 4pO1s73yX12vnhiLctAuHGBrKXoAcykwE0V/4hcNYLD7fXlen9fgqiJdAPwDFSVbWEn1dxr4FocV nTRkm4Hva2hVgb8auvsEZ6hPHHpCzEabPjLi8GbT6kYVqpSQrd/9xuyLdtCvgVV198e61SLcDvyA vmuvhqfC0y9zVNE51ubb0v+oMIOuhf/D+WmiGZIRliIC/OMSaX5Tt6pJDrwVURm4loIZ6gb4IC7C Rs8B4Za5KULa0jkiBpNqiOqc+A+kZzqVueMFCZ+f13iqIhiheE4q2QdNEajrU3yShaffpgjMm2JT xPkYJ+CYLLLjK19DqZoFU0R+4TC+873z4q2uw0yHOgan6DWQqtfsYqTyjI7MblDFRyQMZNpAWGDR AciDCKS4iBRzTrZNX8Oo6l7ZaBn6oJoxAKeC0J4z8vMaQ2F+9/cMQcSpd5JPMUOvERQW0aDqoMRi 5bg6XDIHqGyrf87sHjyv8VNFZ0stiGTg2fSAZyfjqUGCPBbJWbPFEKFQvAdaA4QrmyEcgp/X6KmC sUk1vcGMb6HwUiSHutD15dG9ztH9GjxVI09pRizMbJMCcTTADfcZchc46V2Af3cn2lGuaGztnua9 Bk74gazGksu+oyNgTrLRFyJWSITUlIy6VWgLy4p4nUQLqaVFebjXuAlnEYSATlpUtw+qtJzVMMis Y+/9NWrC03XaHMm0FpXh7BvtNWaqEjJCaWU5YWTKQZSuxvLNJkShOmHkNWKq130nV80Rxws+A0f6 /PioGsBMjXRrAF8Avy7IQRpL3mqRJvmrBnO02ENOS9CzVR3UCDlDHUbnOP28Bkv18SiyOYKhTaM8 FeboNVTCIY+87myTauBPtHhNFr1UqiVjq6E+aTfaa6CEOWrWRC7PaFndRmW4VioFpAb0k7Zagbch D79MWLHtNaZ1r1FSdQgH/CI54BfWGXRSIGoAwySmf16DJBxmJhar42hSvB7Cvpyj1/gIBzYmFl4p 6wJks8VHdLj2NAXgGwkPRwd2zwYh3nd0ita5MRv2KyLvMRHmkvPaQRMCjnmTX3wjb/LgX6Mj/BaD JeCiqnkxD/EK0f5BhcHzI+TPa2zkb8kpYTkBhxu4KZqj14ombkKDDrZLOGrLVSgg2HKRG67f9VrP rMbgRaAteSqOfu8z61m0kylgMBapwUGLE7VfiHr2x/9y0U7mtZqJOYI0zlrAxWCY+msbOCICEOOE +LzWMqt1Ie9eQ6QA7wibo9c6ZjVDuLN4prNCIKPvOKAyvWOMH9+UOqIzW6CynFSgy+m6qQ4A/YCe pu87LOa6ar6NVipQP6PR4AXi0efqtYaJ34LyQWWQ50CXV+E0U00q//ay8ue1gMl77fvqzzCqzFR6 sAgyCrLhH3POoauPM3Diev1E2P1CoY7i9ZMoyrZu3osb2Fmna8PBiYR3fBh8cdCgAhT8LC2YmSCt cOUXZbrvgXYiIhXnmooCrvwCB+ElNUNKwb2z/LDjpu844vUgIbTuqfTO8atmJwTjAUfgZRZupBuv khOjpOYefO8Uv5o8yYVH0x0tTDpnERYVYIUAds6TV7EisCoRMLBNAyvBm8STCozvHL/qUI0OzI0N 1kIAxEWlo70+7xS/+iA1tKLAeIG9kc/Te7wNnrt0PG80CTasly5rdwHGyctEoLLobBLqDuLpd7T1 dK4SOGxPalj3BlMCAaXYmG2sOKXrwsd++Oed34cLB/ENGl3FB04TUFJb01Q3Hw+CpdG+DifYnJjG mToW0QleRW1oF9BZrKwEQNl27B3sHBzPEkxTFws0dzNb7/OarJ/5gLBu4rY719HJeIQ/B5FvoWRB vz/X80xVbxzkbvRt6n0lHyx3o2ErC5hAvoZx9xhf04Q/i2KXNk3vgbc5o2PBTgdIbRdgLFnBAJpR i5+u6m40TSpZbsItbLT2SoaXfQPGG8w+MDXU5UsE8FRekWXf/spUyfLPwwk5IIdhnahaTjQgI5lz wqIKF8xTzu0rPwEO82fMcicqir477RqgaevbTqKVVAHoLhB7rqrhIi/v4bddCMhvxcTiaHluqRD7 oK0FUDmJTvLI4ugmQHolGGR2io9sbxxcd7S1ODlP90H4RBB51To4gevnndXHdKN/33cAx67c7/EU RuCbPKLRXDqIjHOjFO1VHGQw3fzyndTHpakmVFNhl6Md4yC2n+ipicxwTs0kD7oBeU156YHXZv7F WWoJUQzeSNGmQt50oTwytWG1LcudvD/vlD6spzZ/vksCC/HT9mmKgnCgmCGPUOYNwt2XNw2H6dMj 1OEFURRuQgkV9TQf7RQfmUsoS40qTYLbkKkA7LdQLMioGTv5f3a98fs0jWmWRFODqW9UZgoEOKXO x6MQs34fT3Am2tXD8HcyHy+7IVZa99VE4xquJri0WXEJXTfrE0SB+ND0gDF2x7uaIPWQSfGdqKM3 07pG7tgXZp7kf7dX73rj92lSQ2U0FlE4qL5ERUfdey1/3ol8+INvCU52YIh8UfaxeYpicTKqznIy 12IqWZu+fkFELQRGGexaczlFwbjx+Ob6Gv0YP4Hd7OAMwrIB0uj0oRfMdbATRHyXMPlTAlRRMA5y 1kqsINig0wleSCxrVcIvomhc8G/fdeiGNTlbsFQZxeJgikFPqWzfdUQeyJm3VO+kjJ6tEvdO4PPl jPh/tTt6xftETBWVFOw6iJoNKeScKLz9bPLp2Pa1MhMakp93+h7Wg0QqO7u+HLSGsHHJjAEd8fPO 3mMo7KF4dpVvlPR8nqJYHB185rzF5qk31xtGEGhllAyNYJun91jcvERYY2p39NyuQ7J68xBHLwVe z8p/+bqJehsUHNbxxKLlO3mvyqwKixWSznATwYKg0k0/8yR6JbqJ7fNO3/t14XUWU6Cwv7NPVVT+ ptPZOWNvnDmFuhiqynnaskil41SF4XgxLF0pd/SpOmnXiVFIYj8XE357MgYaPG/OQQghn078oUHM i145iKBEYu9SrARBklwf8L1rJQ7g7JD1eafuVTkZPBFUocJn92rBO3MPew8l3hP79H5hrc1Lc1IX FY6gLN97UUCeFECVbgSr/hCszno5eQxVlFrbWw56aGLCnOGsV0hjIaZyvQ2KuLzz9nxNnQ8hfY+D 4QgyjGV+JAH3eaftVakwPXkwaGELoDSbpygiXyyrzOxa3+e4Sp64tHxd1k/KbxH5O2nvCaAqdUts 9Fr4buAH8YxK5dyvWd3LAs31BWFOtCO8zCvHq3fOHtcDQ/EtBaWdRDGuwn5KpRMtlSgg5/HIWSHK t7FBM+4ZFQXkBFaN8+u7LNdI1oJCpWNfLyekZFpPUT3cWDBneaQ7ej0cQqdtMsGDzzdafewZdLVO R2X7/znMl145CKFY7c1ybMjXsQGCqIVgC5ACP+98vSoVbDvM+XroXiKTtYl6j8jRD2ctMydfULlP bz8twe9Vy/SCwTtdrxpJCzViFeo4OgltQWJ4Gsd/AFrOBZWoN4X//0+RN3aSJMnSK7+fUIuaEWjX +yCw4UIqtLVI9uedrscz2WNNEhs3KgZPHzMKydnAP7+2G/LBpvmKl7XtvMZU3XvnnayH31nFXpCN 7uhUIQj7EYIHL4K2AO5XYeWkGoueHOhNeIZXht44miaB7snFrlBVkHIn2smbcBI0kz/vXD0vud2D HAk8KqA+T1FtvGVD+16DR9UJeOOldPtQsIXSenqPyVG0Fv0z935Hh1ec7YZ4lQ4gxBNn9TPZBcBO hwqOV1ZgB803DmJNGj5PFX45OLxiG3t6Z75msJx+dVnkOtvuNEUhOX5CwFDSPZ+2ZTFQ96tXZL85 bu6dpfdVWbGqyXaN6XMglYXqE9020ODfaarfAGv0n06I87yZC2kkn3eO3t10g7gMDZwlqFkpc2l7 jc87RQ+R6viuF3AxjtvTfCfoYTGxN95a8jw4FXfBBK7RwyeC6tQbDyPyaYmdbj2OtunOtQtNRXRa Km2ELMRK7aybAU2EnR9hN+T8n3d6XpUuFBzUWC3goPwOMMxlJpjl887Oq0ZgetYSfiWchTZLIQyl S02j+JbbLLnqCBeZil6hO9mWe+fm3fQul7SfUWspw7L67Dsa9J6bDdoOciUSqoCNF/Q1uwhBueiN o2mS29W+g0Kn81tUVgugYfx5Z+bhD07f0wSJwF7mnaYoFqfOLvC22Vst2aLNCkCp1XzhG2tsz3da HgFNzbLfekc/wSv4hdpy57+chWVtdNR8z4meaTDhB3gbeuEou2tA+KD0Y4MWE4CqyyiJ5fPOyKsm pvyUnhClpHpnKQrEG5O72eqDfS4uUXauWMeJL8D6iX1+Z+N58ZjduHLHezCd+aYCL9w/zpThrmKt AGdxpkLgdEvVTF/IzzsX75Z8V6fA90KHnTOFwKAQJwJj4vJ5p+LVB3epeAC/F1wkfJ6iQJytf3g8 G/VgJOpEE08/JGZKPD144lpNUWmc1UlE4IQTarRNN5B1jUonggFvhWp1FxBYi6Qk8vXnBVvp887B 4zR1NljW8kEHOO5qOc/2UT7vDLxfcTiPprOJWNe2WXoPww211Vn9sdXUx0WlLBYyIfQDbrnNUlQX N72MUS0qqFdtCx0oiI60LbPQc84JSL9wFUrcG9mZowmGvXHQjmJb83z68IHTdK6g1LpETDtfM7jn 8ncfGHCLPm/Q9M69w5eSTkZbRWW/FGxQcGlYJwBTavJ67zv1rpreMuQA+7qjBU0Q4khrEUkIwD5b /3RwIjehAtmMyMU5nd1e+a8KXUJmaIOVntqqDMJPhtc/77w7Loz2telAXT/JuRcy32l3rBJIAWne ylPtF5yyttfF29ymD//OumM8oO4mpkMjEdpMVs6ZvTBRDC4XfOmn5qnDGqgtHMFfhcykNw6awI11 8UoKCwrIRlA8RzHqv/jbV+Z7/qtvR5uUBUPVZ9uFQTj1MhpPBEQE56jNXqGrnsI0LA+r0L0z7qq5 FwBMaOsq3ez3rHTwB9B2AqqAy4uogk3pxgKQDGB0F72LeuLnnXB3T/GTBWL7FUCp2SE8E3UuTUoE nPyRzwcb71e7nIoSbdyNF4XhBF8OYv2s5Cv17kmBy+HlFBqja6KiyrjpcLYichRHL/nC6WOgRdjh i3weNu450D25AoptZYL+6Bq8c+2qBHagcs6aL4fk5bmuMsH5jM87064+mvBeGu+wU74AzDAQ31NX nJp1yEK34M3AIRczM0a+AdQ8EJhxIC44wVrtjg6d2xWuDLJHgTqZ2aPsguAdGGHkG5fuOujL8E60 I1yZDfNCWE+HhR/XE3adpDTPUpufd54dN+73eoIlAqjUHj690+yqSWHhWNokaiIsx4/8f9gLVfI0 dH0myxYL6p1lxw1haHnzcdpX4nzR7jThJu00KjorjA3OlJkDwgSywK3X6k6LqlrvLLvqGoB10JL+ DKY/BorwpKQIgOefd44dO3/3hBKnH7peNwF+59hhRU3zGFg/5jGQ1jIJwFRtzhp8dKetqKgy3gyB wVacjV7IBBcGFGCRgk96kwQ0BCosV4golP3UnQZ7nO8cuwvoORutazAv41Ur4Ly8xnL9vDPsqmgc X4AeYHnSM0/v8biSgIwoWZ0o+F5eSTsK6Yo9nXoySbt3ft2z86hm5KMvqJOprJVIhTqx1erk4AGp gmP/nPPn3fq8cg9UFPq8E+yqpHu5krIPBnw6EY6YGCd5/Lzz6/B429+hwQQVY3s8/k6vq67XbY1N QlVYvFEDYY1rLkCNOq6nsDA+xABuEtXiaPPUzmV98mxmdx2Z0TZpYdiETlysGY6wlgSrovJOriPX YEtypfug9O5cFK2qedfa551bZ+0HW058QZS/ZAVhMxWVxpEIgDRdnDDGhr/xerbhxxtE4izYfCfX cUUrD8Y3+2jBQTupaWpcUXXTV0LEngX2/KwnpN3phuQMTj7vzLpLyliLthUcnJSx21Q0tcfnnVfn O+i58cCrXdMbCO+0OmILiINWHK4mZ7+0ujWTh5qXuPLOqqvJ/R3l2mTjzYMh1czz6YSGROcLRQaD uXGCrZ8N61kLyJO98Psx3kmWXovGOhwsvytpcqeTq/nOqLPD7an2bpgggr5msxQF5LRB66NkhxuK DK5As9Zr78h0hLsuDMh53QG3+ow2S+jdoxhODUkAoa0yDGQHmvsFaJWLD8PV/Hnn0hFBIWAYK0/D 61vwcqhbPsZtzs87le4XPIxRJriskvrjLIXh+CI6bKRtWfByRB1ApoyekAXjnFJNpYWAcdVSZpIq 6UxXlfTcJyctSUX4izNRdC1GGRPVy452EFubRvxFjwhfE4ANyX9qq9xB8AuY2bHdgq4CHg9izP6d 3MGBDCoTPkthLI5Lf/TllScQNRy62neZ3mFhhMK1FJXEbXbIqvbRZulEqF0HVddPTltRiMKDg0ib aNxuF5NpbxxQWBKTX/jc2aAtV/FjSO+9DDweQAryd+cAjKKJxrHxfKJQvJPoMygkIM0LMivMbqF4 ge780YaE7u+huAUkSGwVQG23LuuIlE78ew5x6mueC6OZjRrSlx+sZAK3LbMb5K/2v4mZYwmZ6bVS ptgrieG0+XiEg/4Om9Q0uFieHtbEyYre/RGsI5CS5Tn0UW2WGiUAOUsRRmUoUdld3EyOVp6Dz965 Iho6BxMiEfxWeK6e1d467Qaw36xSgKsE3xPkK9JQmbSf5cBpmm31aS7GdeLxIBwo+3uazl/YWnfc ag+jcOFTVvX8d5Kfpm5dnd4mP3m5MaJ6VBM3h/WXvG5VEKDL5DGAy2hAzFKFAkjVkWldKYmvQoFo Y1EUjqsEhLE2xCDHmcZ85RzinWSWcyQXPB+QNKe3f4mhm3BFzu3S60KaZmf3YA2Xb5C2PKOmIblk YA0XEN2Mw3sUh89pBA3RxzlaBgwhltyAUAUksymGJcEOtXRKUraWHHmReWPhi6IMOBOZwlLmZljL ZQS1A56IJ6The0Zs1l/QVSgNPtDVHnE1B/u/jUGOZPDHMDOK87MboLVB9s365P0fAJWzlPsdvfSE nhE6CiQg4BIV1hDqMzDnxU/1pCuLl12PCuOLhXFSqaG3BjKM0Duw+aly7doTzwe33Si/dh4Lx57X 9TgKx85LbVx/k7IcCW3+4QOkGtAkaUjYY8KmRd8t39Fjgr5pWkXD57MDE5VtTtQDSUe4oP7AK/Ye UDXrjYM6AQXpSiOEtUDVjtWBk6Sg/84+y/lf8Xy08X6vp4bm5t13YWmcelggrcmZt9HOUgWVhZw0 Sx6y0LgRB3kYh5vQWjYFv3wV/Ma5KPbKlYTEviAilbusCPG1rbLcuy7wAqEoXznad3QKqAT5FpYa hAc7ifW5SzlTJ/nGBwRn+W0DY1aoB4gfzGbqPRZHnsMrb+5besL9zZnKW6YKRPmCasvSU48QKkmC DYSk+mgnFJzagSTmCVVxnquWuUBpEmPnSxjyHDNFrxzdeYSLb/akODCAgl+mdl47EQIej0jA3zU6 Lsx8IXQ9Qo2bUcdKycwnUrvmE9LpYGhAbwitqAihYtCwZpb0LengIGp8nzOgLCJ5oJ8IhUhS7uA8 BfszMMggz+qw8TNd+KK/uIlTmEwOqqjkVbbIP23x8QiS+buWeQIWOfxposKAHBfAOZdYeqJnKon4 NMWGmKfZgELv3xZUVBx3BRCReTQ6NGy0fc6jwoliE1NbrwOkh44zjieKO0kyjDzXHqFUOnVZR0/d B3Xv6vlglo4go4nHowU1f00UQjKgvY1WHoXkU4DobIR7/uNtS812JWy7B5sjqo5PyYRNM0Lj6DCV c/KemzvxzgNOjEyhk7mAU3DyGIVUzmpJPMtHFJNDV+K89SKElYMAGDhGm6qaOePxICbvvzYecup8 1VJGFJM3gtsZS5oWCL+Y07SoJ0XpnV6qYXxHWBuf3o2ad3RuYgPxexEMjfoN1VloonCOW8GpUG9x WTUEJPieYJqklIKzDcMJxggmrueE39tUkTFPYW38Ns3JPEsE5PmVN/4EjZ9DtpuT0GiInTVPDaAg c8GG+rfmKSqN04YiQ683a2Q4MpC7nEleaNjIQQF0JguzMDMNJ37ZNzKAOs7A1wTIVVJcgRPWkCzQ POfi5Cz18x88/q9WC4vD+P6vWQqBKgygqGhgQMN8jXebFhZKTwu1fW26KCC302ka03zK5XWQ1HIS 7UY7NSiSQ7lomfwvwNEwaYQflYcFKAfha/7kTQM4YoNwhvVsiCKi69h4PDqbvpUKBpXBLh94ROF4 Vzi+rpxDU/sAOMMtvwlyNXAnaC1F4fgU9KRv6bZztDN8nkwE9zeLvWuBuM6jCaqZPzQ0zWDtGB+Y 2Sy+56+O+VnzRpE6v9q2qgoasawBlIzno9X0DVQZOOjS5R+MKBwfRBaMWq+gb19e7q3JTqwGzVQr io8oHF+ikDUpZWv09G4QB00YdDpX1VnmBlsF1w30ckQHzsKnWsGIiuJT3ZVEMUMOCglOlpR0051/ xuPByXQJd5hcJsEt3VkKweKL4mmMfyWgQps/JsEQ1v9x8bS7miKweM2W3O1+x1vIZBwwlNzNxiwB ew4JElQ5f+bjHktkEb4mbtmhr7WnhibyQUE9VD6fSIFHGIjv70ImbpLer/LFiIrijej/vr74UdXv OXjrWgqMwNBmKSqK254by6DicuzKFL0CBaiDwI611BMwjAwHsHEGxBHQQ/BZQkKBr4miJtYx0TjF AJKhEHS1qyH0A5MMPB9RWb6vuQ7SgYShOU1RHM7jFjSyK4hJpiixF7s2V5qpzzUXxuGqPRE/5aOH A+j1EqsKD3vUsuVauZD1o6sPXkm9pOnN8HKEcTiKTmuhdkFfY4T0vLNSSfQtBJFnLnxAUCv4RUxs SH/Ws6DCQHwxEF/dea6D0H9Js5eU7HDq6DOwpjLCyrgJZ5uKff5SsT/pyEmnF93yzt16FjGV2U/G Iglb4AdQm3eHBJ3iIYFT5bmhYh13EJkZkNRQOglGEJ6PiirfLDL0I9a8oMwZ1sYrxbM3q+BErMzs ZnlA4VjkxJueEzX/oVmISuwdneh6/plIOrYQwAyWWSr+c+JgcJfTvk078oFnCFKhVewigV+DkYBP niOt/7MJ8XjUaKlfywmdUfxwPktRHI5OGSk/Dr1oA205QS9IXVVtvDIB7vykCB0mDLhBfa8sBSka A8xsKowjkZlceumcWdQ6hFAHgSqeAKOIiy8KNh5lL0aT+40jFypN34dip17w+H85oBCu8ki1eYri 8CQL8GYnNovjFhMYQ5wHFIBKJoUVkzcVEySh6Tjebdc2G3lUwNyrm63u4mFWEFMWJtiG5cn2yn/x y0G79yEp6c0wDfghb7ri8X9xElkepkPYjQpmFIlTTa8TsW1N4GLce1Rmh6MNIV1g8xSWxk1JdaRn 9BjzLNhCgSyCfDNluugNhL4ZVgTkAa9J7NILR4wfUlx3scEK4wtfwspET2Xi8Ugr9HeV4IQFq1ev O80oFKez7NAVQ4RKX8M1Qox0ToorQlZNUyhj2HQiNx1SHL2c0hIqmIthQSPWTfRy4Po3oixqhHsb mMZcM6RushfVar2DoIY4/buO817xeNDfbBdYz+UEUcR+xdVmWBnf6pbnfrfd9FB8qBiFIBOdJDvE I3xKV0rbiyljlvL0gRuJ0SwTIGAYkP5FykIrEWR3wHh4+FTthYNDnMAUGsfbID5iAo5KEiFj4PGo Ove9nDbuj7LvaorwKYx5YM78yIl79HRO13QlQvIyKPSM6uJL1ctuUtldygFCrp6lVYg6P7OUTkxm NacF8dlRoWj40Owm6dIzCsUT2yy7ZRuKQvGKwkyTKNHgWwZp3SWQMZ4Ein1eqYIZsjYVitd2K3NU yNUsjXJRPKjcas+FcobFxJ7taGpfR1OGs8Gm9UiCPm+W4cbEHzmhHIKqwe0d2AsHWCfWUCCF7IMV 5iZ8A3lKbT4eJSzfXJaGBmC6NLsZ4VPYBEeryFUKliZsyI7ZyIgnxC1WmJtRJD6ECOtTCQtHm6Vz Q6D9VAmrBwOoU24d5+/JVdAxK8CBOZmFsPoZBeKNBd4uFw2ggqRgURMuU/7jOr8Cnv8X2kmGEpmm KD5PIWmTvag+i2us7sttLReQgQJm94su1FGR4POe6xkdE1ZA1eNqOsfPBnHDlB9QYWVLp47bAkYt k98Tk+9Bz4ArowbDGLbSWFlr5z7C4/8C8hjD+qTeyadpRVF4kbFp8fwXpUxP7Maq/ea/blm9IoTK 2Iovq7EQLigsA626zjmiKBxatITOJbTxOqgCE3wmVweRRMEKq+GJ01PFZanOZTnh+G4ilJ/LD49H rM1fCBXsYGRiNktRFM7LCXUIr8xt6lNylqqDMxuwhpb+rjAKV1eleaK26rXbgnQDvH54gE9YPFNp dUNeBRUfcN/XRTuhAY2viYrhXf1MO5rOOtAJjiCVJaN+liie/y+iPDPTUNjvuRUKiJt7VEpe5n3O pt48asJVZUH4ihAqlqzwhufIdmNDMeUspIH/Y8np5GGzisXB6kcDw3uVxzTjzFjD1wTFcDYLhprA QwGApIu2rJDg9I3H/4uQKJwwWPKyWYpCcNqvDjTHvTAnNAELczV5YW51L1+uECWuYls3mUyOfoLz loMCIIrhHVA6heB7w5+6s+50o4FkLxwVwymeQlugzYoAAXPIEWbryj4gSRvF4M/JJL1VsNPr7dSt KAYn3Qt8QdmJWEuTBYLE0ESGgFUmLCdqWjFrUy3NYT7C4/oIQ1p/Im7aNE8c566SB4ucAFNHfDtm fVA8U68cFecYXarQ21H3l3tpA0Sb3FxIF9WJT4gq4g4NwyYFtBcmtD5VURieutgGUzkK/pHajyg6 TUrEsuhEoK6mKlRQkXR/ajqfUrvn00CZH1qQmYo8Z81kebA0kkGALwBQ0MtzhRg+fFGE5FFCV7cP lv2eAJAdknOz8j0DgMrqX7cdLXbzFaFbsag4Q8xmeo/oajqQJ3VZSNEmQ1UCzFMciGtJfY9OPDi/ dKbIM6rGs7Jegn4vvz0X8A9RMDDYRR72ysE8CcIjnkYRioLUqLJZrzr5b2p4PJINu5I8PwKGLRgJ 2TxFoTgdT8fJdLvX5qS4ThG6zdxlUUAFlgKcp5i7uYRFtXLvfMq9FfHfaCT8ZDTkQavAPFVGEiAT gWd24SlIr/BFf8EuhpBhnaccz2Twlbf8IkvF4+/7jggTTgvx8w3iSVjMNlEhWnxWSTxdJf8xb2Nz b+ck7uTSICvGp6gubs0WjjZRCUxE+kjSWrIu6vvgJ0FNAVRCBNMuhYXqtl45wIsTwHPSuOmDcDwb 5tDyiRp8zwBOcDPgxILKibQ2pD9soqJwfBXyfOhzYSK+rg5yUpVdfaJoB8yJCsPxLv251eYdvWeX QcPHLXh23oJ0r/Apy/5dXNcw7vMYiprQK4rHsxxYFs/07hjZsxpna/LROGsYjwfBwa5fO48d8FSS H+U7CsgHy+KJSmiyWAZK8arTXhmVli3U3LHIuBkeSJOHoyPDCmHiopeXOthoBvBinaAASIuftd1Q 0mzOdxSQMzBdgHhqqHLlSTTTFSJz8vGI8fPNZQGmHtPrs/QekNtTHRpCXsZM1RWeVGJjfU65LRXr Yzsfszwwf7anVnDStaX+AS68jM7tJnCHBI0zeYUgj3UvPFof7BAzzi5L68+gsvhJR4UzpBT7fo/I k7SGLxSatLYH7bRDzPigdNFwKXa0Kvx86vlqHU9uDc5TiBkXfKcZ0659Me3GOQDQLGcM1RKSF0EK 6LzNviz4LdcmeOiNowKdOFHk/nAQ8qKg3Ksl0jce/5cQFnUiwUPC6WLTFBI3iSiYO3m5N89S/XTa JV18SjVq1A7xKRKn7eYlydFLTxVW35353TkKKGGvTbehVYBIc1MkU6c4Cjz4noAbJWRKYwLDQYfT ifVHl+ctVlMEUHnoLIq60AW++d0O8eJgNME/w8WLRk7utWlq41XNKGP97Ji3aQCVVO5o2LkEV9Ld VceEyHySoOECN3Bg6zV2NlWhQ4kZX/OX30gZSTKZKFGRf5CxZNnsPr/0/uwoGp/pO3rqk4L1d9OF eoYUGJ9ymZaQSnULpF5X8xJdRkzEaYrxKcIUdKGdhqvGQkgFzrZcQkRe1JYlq7YSdatxGtONTTIF +MXwNUHsJDp5MpjKtWM7B8OckilIC49HIn3pV7EAq/kWMneET2EpfZQ6ulPIhpvcFovQQSErffjR FIoZijwnCxcbPb1D0jNxV6JYgKvOZNgBHhpMtqBvhR+F2V3TG8ck4JUAYfRB59GAVfpU0Y2P/wvs xFoGJhuJok1TKKPC3kEnlU8UMm0/2iZTlFruB7SlQQN4xwAVMexW6nd0vGov4EtrMSXw7Bhh7g3L nc68JTU3jCxUWsb3BAEm1UNR2/VB0wRuH6ksaHbh8SBjGftrz4EjOfDH2zSFZfEkXYLme67ThZO0 zdxYhWKfnFc4pykOxFW/RH3ERz/BYcvDHOwcTZgeEmGTtAc7iok4/h2esqdeOFJymBJPKT6ojjnJ IMIs1TrweFBTuRLHBLLApPIRfNxRFN5IlB5tX4mn2tzop9IRTvcc7Wy55yJwCuoLwoKNO9pigtZV HWiNs3mAXqRr9GHiTmr9oJ1KGvbCQXCZydCRoQYHJSsFBVhuubN98fi/RLNp7J6LXDltmoQHej1R EAye42gNy3+zNOcWYddTpV9wBbyektM/NFT4uj5afIkOyBi4fCFJe6I/HU4LZFecS8jrMI1QUqLV j790ZNJGIUPJGkJ2BEkdTxqEqvxHyCRvfsS/cKvEXqAAl+p1RdRWfr8BWEwBRoTEH2itGU3jHMeM LSEQMiC9YPMVyxqKx2LK/Ry9YFAJNaQ1EtDVe4i1uQqLVgPF914u1ZWJIb8pQhaIvSkxo2lcV9Dd 4JmLf1wz8/kgc2nfgMOMbvcGHN4nK7T9oeCT0nRDiHlx5cSE2YtQKL/4ZIWY8abJyqYVmi9XA1gO bD+ZAK8Trk+xDha1hzMISAXpqOsds2iAb4p0QpgMd7F/gKAgq7CimTNFvJswtk1RYD5+EaiBBIck x52ssFi+KfpUb7E8tWxN4VTUUGfrHH192SGk2HNTpmQmop2/RLTnufIq1Yk7TI3L3AzNIcIGAfvG KlTqN9OTIUKKgnPiEjZ9i23QqYWtzb0Fxzw+HxXMvzvDQDucDXz9WlIUnieGCnuN5VW7xCo55Gd2 XRdR1+o9taKKucHrq4HF6gMWg2A96l08taB4N2kXgYWFw6NAYRvBhOcxVFrkN0VmJIzNB+eKgzKS c2oQV8qdwOeDTOaXuSRCIsl13dkKa+YkAg3aPRBZV9hsIrJuc4+SMbU3O59dH/WXHgZUtPsdHZUB byQi0WnndpZOYcjA8BFG3emHAiJSxCBDT98UYRDZsKLkhwZbWUDOcrbOOuXzkS/wd5MYne3db3sh p7BuTroi+acmAJWNkQfQgTEXGwgvzecqCtbdjrPOZ/RdCLxmkmUpNNXvyhosIeAKo6+0ne8Q9tM3 BRFWp24ItrkNKriMPSVSBwI3n484sOs7eEB4tJ6SS05/66z0XnxhoeFg2xBiYrawxNvRNgyr53tZ USrd0YOH8+OdN4RlQ6NszDST900BE2Q1LLXYkUWKJr8pyG02q3jop3MoDrODLD8RP+chPh+1Qr+P LLQYdruwclYJ3h+j5fTK14trzXpxdi25lURh1VmWipHcSpWASBvrGX0TggM2qsy4cKzTCA72J5BT A4kRwbrjEeUxlUIJckLHWl3LBwHt0PZh4wlwDT4fbMGaviJ3bgfko3eqQmB5l5J9suLLSDNZKQ+G Nya5Ujal1TRVYQl9ybq8KsXhaKf7yQ1WphcBLG/OJQkktixxm1qRwLleSh4pw/iiqErF8hQpKxpE 8WwQuVd/Jk8+/6+UGf8yBMkmBANsqkKjTuo/wGzJmw15LXdyOdeUNxtmdSXbHDh1Aq/RlCzr2OJo eU4+if8JhOBAWReqjHg/VGBQyhugked9o9GS6GWeA6dOnczw6ibOlYNqMAvqlOItjMnnIwWIb9g0 NDBhX3SnKuR5Eg88cr32uHV7SS+x3GQlvcerM4K2GBOd/jg+Gk4KZ9VJT0r1YlUS2rMAr91pybUe 2XZiE3Ps1WniK+UOBrvzovRJpiufj2R/vz0lcP9Q1ctnKgzb2Tue8nll7jxuhQF4IKswbPaneAcG dp1JzbCzmIaa7RyfEkOhQRcWVRulU2kJVKEOzM4SIf1OVWn2zlELq1KvjmoQLTlQJcFmjwlhT3Xw +X/lzzzA0apdV+M+B46dJhg8EA5YaQ8GAFbaq/xiGeWi+W5TFQbtQpUR2u6jLaqJw0r943rSc+Cc q3rMVJdOuIIef6DR7JVjBVKkRucEt0HgO1jlEptwFmjl8//CI8gNAKzP9iyqUAnRqYzZF1XLbhGE fMEXFajktv1Czqdo6GNL6ICjV2QmzEkq7Z2Ex69DUoiAAmQ22tulxtJ6jl8UIBLI9gR3VEO2RVU6 i+NYVGPz+aCDfOMq8aoomH79AwPbTvR9lSun6VPlOLNK3JxN1SBwR4sqtgniYT6b0GUcbao25M3N J+FEB+dIlw8sFNsgVoR3APLVgFN92jv/BcPrnc2s7mpUFQfrItr2xA+FzwfdrPSNckE3qz7Mqhw4 d+b/t1QzltMN8ebUqWeFAWLiXmFIjjDLoXXnEkgYEix39EQwJZQrGvFAUN5E/Z4OzOcyARn0hw1T L/Qtf+c/2TCdzi4clAdCPUplrMblH0Xrj5i7MAzoRl/psRy6dzLBH3mYKd61eUGlr0y3paw0ybCp ipRYTDuyZkVXHD2zAXInW0n0BJy7SdsnV3aUkdwj/3Tz83ZfOlpWOM9XFhMtOxONul2CcJzjjM9H LIb8FYJimcyVnmUVBuvoRp20JrvM5ujudHquveQA/QkzMpurGHueLPJsd7S5wjGC+gIz5nMAnyXG ZTWozEhvxzo4V4IF9WYvHXkEDAGClg9qlPZEd17caq3w+UjU/Zd/CYBm5bGKD208KSp9zpG1Dbw4 qJgj89wyqoEXJ5IkJYGxj6cZUxZVkjnaFgRbvnZlzCdPq+ihcQsSxgcV5R/8zw/VatpLRw0urKuZ Fa7nJ1yHD33Tutp8Pkhtyr0ECXVkIzS3J7gK7TwHl1arDmCEVrYrkW33jG3nON1eugr9PEEaQf5X VObjaNN1MjM4CpJgjJRydOmNlDYF9sQZ1+rT52r20n92lyGzj4HYMiqs1EraAY1A+HyklngzQS6j 8xLnxLlrK/T0ZJUelpvDRJE28xdWYxZdRyhR2rQPOVkhHF3cj9pFneXokzVoK9gb4QoQeUgMGiB9 +rOnJHcdxZgXe4I5NPXMxCtUGVbWaTJ6FY73iRq7Jw6YfD4oiV7dkWKWhD8L1qA+V6E+y1RngqUE cthl0QGWcYOHo8Twx3K+Yw5cPe/CgsfiHe/CQvm4kEBbTjbBrBB8bISdmfiDAkaG10RrspeOpLYk oszseZGezWAh8y9h3L7X5gdEcfv8SnFguLb3E40Gxp7QUdahlZNNVmFhnpWrNWkGsxE3QKXWFlYI TTdF/CbXWI7ek4embu6k82E/DoJAzgEPBDEk8M7fJEXALXUkf+kAvEBaNqVoORhBlLSTpFQh603/ C70ILt3nVL3lmNDcE7x1FNuzp4MQfVLknjKPaCXOjPAZuQfunvgLuAlBTLujpYPnYkXloNAuh6pV pVjkDuYMSth57ruuaB6QY3tPduYpS3QGGt4IxzgKRWVAt16THxAx/b/R6VjkxHj5XEWldvR80WvO 12eQAZkBYqqbniX+FJqrEBEjr5w6BUfj6HN1wqkCaA+DLJST6Ri7aZs+JA4AYUiVrqweEzp8Znno 4bSwQfWYDWdihk29Nj4fMWi834UXBDxhpqtknkOLT6R/iIG2ARvHlNAGpmqPa11Zi1tV5cDjE1sw qw6Tyx0tyznR7MkGoU6MzKCf9WXdVtCjOiRfoVPsglJr2itHeA/iYRBy2KCCaEERdmimNp+PIvfv OjsE5Mdsz6KKIndmG+ewGsk24FBnkMAYRVgExlBnQjMV65mruOda1F9K3WfFU8GtU0BinQUmC3DA Nn82LJDZw7H2TbapCqExlRISXfE7mglsorLzT8p+P7khn4/A19/qW/jRBpCbPlVR4E452U4JLJuq 3C84Zjf3P2sS7uz6qKgxaE6Cs97RWX5l0LiKRb52cowmmvaElfxEPgjHOIutiKDm9wRXIGNQ1Il9 EDNrE4TDSUNzPnD6NKHVpzmPJQ3ppjtVUdyeRGGjo69Z6mVHyLSRfVUt4l80VTFv1BD9dd3RqX7w siJ6C8qcKCVTnkZiCEBi4QMLa5OEpRV750gBnpJ3gxk04Z9aVSe0muYvXDufj2pXvqrEJxnwjb6r KjT8pCL0KM2Nq0YRur8Tg20QI5icL/NozLHjp6p8M6vfxdGPqo7mJrzOUDqGYbfCsAz9xw6wY7uc I4Oq59DyM0vCpRDSz8GgadDYEwsbRfbA8xMRe/86quDcCe2dO1NRwA5oLPoR20tXY2XH8LXaHHY1 0fuymYoC9qXiOgv2Pvr+O9nGSmCkQE6iQfZO1npQThhyLtxXTkLOVTmw/VSNDNJ3PfmgguiJsETa rud/5fORnGL5mirUOb7rMaHxZwdTo7Mg74IS1ekPdU2X55yQhlK8Hjp/djUCmfX6eKlsY6HriLy5 NuTmRTUG6t8VAEgJZLDmaVebKzT/dAuGpgE/HqUn0bvpW9KTPfMDosn6bkmcnbrUnrzTFcJjyIM4 J9Nt3yTTnMT2v5rwuLp8umILUKOuW8Vv51uSGQD1VVTokKKvTkg95aYS3ePQTUVxyOWm1JgPTECd qtWSrIcAa+PqqtRIY4kAvq78gGgbfkNEwaedj9Rbjm1AG4m3LHAZ8XZeW3B5XVLUBfQFm6wQvy4p vNqEKeLoueAs50eQkQaKDIU7Ly2JumdkNV+qyxmOk/qmCKXG3s3m4d4ovSDrrtqSUKM4d/kB/wIT EZ2NSR8P8CpwA0WXDQlgrcMgkCCOWEXmzNX2AtZ5oW25YGgH2r3ZJ5VFjl7AmicMndBs7RSrbt18 CRvDddbyUWG2fteezV464rbhfN+JtPedXHrqXNazq8rQURgNHEGRIH0j2dHUOz/07XeFlqBE8Zw/ Y7rmG9j7VuyDRbVVr4jKt4UVwWOaelh1lWd0FAM8VkqX+tT5BYhyQtCg/BlmSRnR6eXZdHvpv+ht Zw/zLmRmJ8v5cy+yXALLnMkPiPx1viujDG3T1YjPgS+oYYkGXCYc/ji7KS4Ck968OdHdrCnHxqDq 35xgf9/RcQy9IyNfMrw8Eb04SecvwzF3ssQBbaR0y1d72UtHCp6YrNwpbZYdDXfOwgyXDEZbq/P5 fyXOrFQBdLZw1/hkhSX3ZnfgtF0IUQdbWSdXTwIiA0vqJffYHdTKyP9/4BUgEXAeEkhtIwnsEopn 6RkizxDxKRd4pZJ7aA/aeb4DoeuDSu4o8fC8aScm4fMR1c1DB2KW0ebb45K7c2gQSo9cKApOAa9G UsCOWl9a1PNGmWHlW3MPHUJpVgAoh4VQM3tG2OZJntsWQqZDEId1WPRXgZRpiJsqYFnW98rJXzqK HZjoSESoMUQQDBknD1u4IDPzA4LYYXyf71Tdq09MGrqEymp5rMsrOXs+eec535xwktzDmDSwCTUl R2Db87qjFd4mlGVo6AnTNNyB5kQPGHxr5MivawdWlr3yX6f7mvKWk54iQ1I4J0sKDmiGwCYUOeG3 AmMH6S0/wKvQJnRIWl80XLqHJ1N8gdhw2pda0r3xHPqELtkVThOF4+i0twpVDhzp6NG32tnhAPDj /DtWGgSdTynhUIgV+IRis7POXkWfqGT88JQCnoTd7g4B6xw6haZ250pWrGArPVWZ0Cq0yj6t9HUB DcmXVSenUSbivOc0WXH8bvpUJlw5b+v5LNpGZTuJWJ9nSWwBR5AxMxo8gP8YSC0Ve+W/rHdqoyd9 hWCHrCHAJd+Ctqey+AFBi3BdaHuzw320+cxVqIqeGIRKcImImXoZcJUsTjqJZ9Z8tQVjJRglzltl GY4Gk0FI3YEjoq/M+WW6cFoQXge3a4C5dPVNUrJX/qvlhZ6ED0qgoXwkQ+MM7FXoF6oT92EB4LB6 AA2BYSiU0Yk/7ukCGvgHSt8kLzcq6s1tMPMfjqHyS9mSBODoXfpREHbQ+xmVLKU38Fo7R8rirQQh dZcWqtXeOQI08LTaRCFzMFJlQ6/oxzwec+gZOu9U8QinBcjTpA8dQ5GDnYna5kp0/vGR7sBasKkq TM94CQaWodiAQh1vaXeoEKzwqkL0bYMrj7gd0sSVSc7cWXE7ezgPTkZJTuga2tyuSGY880y3sHnQ 6SebE5EWP+D9aF+PwSozooLu4A3cA+NQ3J1sCe7UrNrQdhuGaJDMrRmHXvRH4ByKwqjAZ0uNCY42 WcCCwFacSc6JWYfkv1cH1x1Sqw14FadXmkt9DsxDcR/J1Ilne0GzjhsPFvJDoL6MYkPoHlpuaZS4 dhqdpPSAtUMDUbq94Ly6wlV1X+EqtnQxWycvaR65Bw6iZ5Wad0qRMhNHL/hBlATdIiKwEF6XrRgM qSvyfJBzfK52tlf+i9kMIRAfrHac9lC5fIO1FHiI/i9WFBzln3PyeIv+8xpctfz/VqVhGPnEXFco 7dpMnYXgcIbas4Xtr6FVA1LU7j6TFZjNkaLQ667QG6wM2gfQuiayi4sM2NTdb8i+6AL9Glg1d32E kLUPmqXzAzczWl14+mWOzjtuQR+r1iGW+cLfP9TkDmYIZQ0IeDRvNS+WqtVqVvdroy8/bsoczFA3 uEczYb32UODmyZIXjcJ5nuM/xNI2HMb0kMuNIZVkTjpX/+sUQcaX8kJyqOegKSpNzn4nm1x4+m2K EGL4FAlejFsUy1lz9BpLNWvbnGhzeFlh0+1EeKtq+TNETqpJwbxGUlhFw5yKpL3P0ZHZPPP2JjJt tXMmqcFD+aWOojhXkaLOyZ7paxzVdK5gVmvxQRVj5NxZR9Run9cgChOsEihK9ywYn9uTrTtN0WsI 1UwsHNrlbiwz1nb+SCU3w5D+EHHFFL0GUM2kLejaUO9oG60NNrYmW4AnKSuDRo8nz4Q2+QanGHwr myL8pZ/X8KmBzyDMLPUGN+TsislyVZEZga/On9foyTeN5sjizEahBU7Ra+yEswhHCLIJFxTqdTjC Xz6NAipQxY1WvdFOU5PGHZ3zQ/meFQ6b6MX+IAQ7l34X/uUc4GeE0C40RuYlm1Ia7jVwwmlECkQu /Q6qtfSeWYJU5/01bGrXW7XqnCQOtN85eg2asD/pdsUjwQAK8m9g1vIY0qM8aQ60wRyBc0bsp4ro Nd8i+gkX61lkkMoCwLjDR0g9B/Q6ckFNu7HkrQZpkrNqMEeLcKoiQnvJ7mY8WslJJnNnnX5eo6Vm HLznxIaXDWg+mqPXWKmZ5NJAMm+3f/mSXCouuXROpmS3/2uk1AzKhdaxacDQc1R5HYzkgMYj5gyl NfMKhUz6z2ZtmLhi22tM7F7DpCaaCGQ6KhH9rE3wnD7pdSmC550A7PMaJfE08zmSqAmoR8PX0WuE hBO7Mjnp2y16B306FU8KWMx4EheQRIejE1u3WqUDio1+q63SFkpb9P6Av/NgsU7eq4Vez2rGKPQm C/41PmrytwUSgc0+DkJ8plwEwW5nC35eoyO+Zf4+s6HHP+Fyo0l6rWlis00F3cMVrPvYvtkyvZQF 3IDfhqTggjM7qflSljXa17zY9HPkZ3rCIuQehTU7ThKJqRBuw210rS8XrWRe65n4MxMVJsFB5lBM sBuiw+wJnDCufF6rmU02h18LaQKI0OyE+ryWMm3x8l7zMkphH1EwoOz+6thrLiEUhkcCWpv4y5U5 wBxVuBwOiny3tWE0rLQEORCwu5OkqovDo8fVaxkTvwXpkHUzhKybzmQiJqfapRi/8ue1hsmLzReS Kr64hBMUay2IjOJsRIewabBFg47ebl5BadOKmDiFHYIQBdquITTULObouLJz5Gw2ps5aQpmIqd75 g1GKPxsOTibI4Vz3Rbnue6x9KygAatvAe+rE7V3Ie8rAvdP8sBZpDeCrCZt9rHssvbP8uFOk+3Jp tnleO4vZb1f9RCGXEBnOk0Gk8r6js4zQgtqN8hzYfyMJKpUSi1OwFwIvwbvEk+qL7yw/7IBKG9VG pjsH3XAobjGIbie4/7yT/NptEds8AZQ0CYMw5G10x3W2W2a6PoVS1Bf6p3o7fWWEQMKUBfO0BSnr 5kHY1+O2c06+c1RvCZw1NOxV6Z2Q1mW5FGLWnpYAZMI3fp+mzipTq9x3HDhNHZLGYiPXzceDaIkb 1aMlGP1MILpsmqKomxaViGE8XBp9usBZKds1qQaCHcOzBEc4kK2831q744UJn3AMjTuazTbQNeSO ArIcROR/4D13tTxT1Ru/77pOhMaUrwUHS98Ar+RJVQB8DQNvugV5wNRZXjrXgE3Te+SNUiMd+DwN AVYqV8cbVAdIFSjbeX03miZ5gib2PGy0BG7tM0VQ6kLfAGhch6mjYTdl4ksWslosU0XL8HBCODDR pMEAeRjVd1lroB/pORs/79Q+Xlu+nHhkZ9bgWC/RTEXxN+1MEGjf+HtaqbcyLDdkxjlzLY17p/b5 jXAmaEn0VHG8Zuqc1BWMDMpLnKAADScVlkBKQEc4o9xjx/igedM7sw8bRzYEYGrZIHwiZBJk3nSC /c87sa9Z6f8eTxng2AWMsc1TGIM37rZ5jWRmy8ZWO9Fy9rrSyrdqEgXhBk6cRVRkjnaOY7shGCCA ZQLKpyB8wsNl8eBvKC7KvDhLLiGKwhtBPpPmohq0oEBylpHq2YGfd1YfFlSv3+cTpQ2L103eSX0s v7H7q4uNgVSbF2IwsrcLBsD+mqYoDu9bMFfjq3N8woINfgzNsAsUAFWnpF7vAoM0A4Dl9P/Z9cbv 0zQYFcxEuOukSB1rSugRZBG1Ox+PYszvwgBo+2vf2sk7n++uplmc+9hXLclWU09mYAj+VDOV73c6 H15fAIw9VPzm6KsJUv0FvwgYDHRMMbBGAZscM0/+v3urd73x+zSppzJXu4NKTBsiHqJ9tPx55/Lh D352HZ4E4wvGacknKorGSUwZaVyTZ2wY66mQfyEUxsB9pPUUhuPi8G3rFnD0gxzl7oWaEICuKU0Y kQroOoCIpxNfc1D+lARVFI7jggAiAXBiDTqe6qzZ0JuAYETxeC7f0ROUbBqNLVSujKJxGGAwLPCq 95kQt7kinNL4oVKBS/ykKMpU1JRFqeVo6ymfTXFO3G6ZHaIBoqAaakObYR97v1ZpAlrm887gs5oG MVDJBx0z5ZxK2Swd8+edwMfToX2lLSiVU3zLpikKxjOhVp1FWPlcMSnjZZf7rVlWEOw1Te/BeHYp dIia3NGTO6h6ogjEahPqcEskK4Ce5ZJ84vB5jyeWLd/Ze80sHRcvgDNAB6IRmnI+OlXB+TIMYj7v /D1eeL/LKWd5zu0R1Dt7D8u4qOLjHfJOkTGLC6bJEDcwvewgfyfvNbHEyBfSxuPoU5UA3VucqgZJ RmqJnv1IGQv0s3isPBjzoleOQqhE+UWhCVD4LlLQPcs2y5/3xJqfd+oeI/LvBK9Qs6F7ueCducea CrPfPp7W3LihprQrG1tzXpx7J+7h+502q8IBx1udy2fe2mQF89x2pLyi3gilynNkQCgSB5xLbmx7 5WBNbTo47Tl8MCjBIFGeGnD1807b+1ULR+CCMG8Rqql5ikJyKq+Ya40yl3GlmdvKrq6/IJ/FkPyd tNcuOqWZAUh7DEDQtAamkQsqQaSy0dgCBmSkVmCzIMFXoVd+V++cPUyTKnSQsMVQRTKusL9cQ81u dFWiiHysu5yanVET5ps2T1FAzix0nF+/+TyxZEpB9LGqb7zzIxg05Z2wh76OFL7LHs/oFXF0rCd2 Ym/QdRxS+l6NWsYdWVpFP+We5UuvHIRQhXKe4D/YYKDytdSLx/X6eefrcd3n7ysP/Bf8XDZP7wE5 DigZgy0/oM6r+1m+2PX6n1rmO1uPZ7kKKlX7jqPtu51gSLUHO73nHiUBA5kwxSsyDz/5YieJkiy9 clDNpPFOm0awMpI/ij+riK431v68s/WauTQ+Bzlk4zDzNk9RRD7lebXy5QoJIY3zqbr6DQqSzdDk 71w9LmiRZL2nue56Ossa4kuT2qQZpaiifu+E2/e5biiatm+CV4be+K9pgpQNB7RHBI9GKZk3Xh97 f96pelxPvvH077YfwiBtnsLaOFItZHXJE2GJTPHCq9eIYBP0yfX0HpJDuEUJ3tr9jj5P53nAMZkI twGsdRN6Dk1uVuvWYxVKcMznnajHc7gT30QQHQdDWGSzsDn3Al8zqqys722HogolvzVNUUBeVVkh TUzTlNb1ayjFWwibiBVO03tAns3cMTMV8NGwqwUW0nMLkUmegsKsRuwvdUXmTVxoVPF5p+hxNTFj qTycOHCW0qwCzgASPT7vDD3EqTN9zRKW84BCq/XGo3i8kfZ58nc351UpXospdTcnIqxOzfEwHt9m fTme0dIWQGgH6X7IX+o5wgVZKagXDejq7PxIuyFt+rzT89hzI1qnjDsovTu/qJCy5yctn3d2Hq/k 76IKWO6yndUsxUgUosa7b7mBA9Zm6fxB1h8nXVNr6Z2b11zzIFcmtTZqluDjDA0vgBqgpXvSFAF6 JpocnaBZXPS1ixOUi944mKZl9nLLBy6mCnWmJLjO5OPRCX5vOoaTSM3PJvV5imJxeimNlMcVdZOD E3otkFDxecrTir7vxLx27Xm30Td2/5LfWufcrVCLA9birLPsbIWNaAp31uOIzaPx887KY3InLTf6 qXLQakIDrS3FBuXzzsn7dYATinhOwZ5uqeCdkIfzJJEK1B/8c7YYCsjP4rD6VbNJ373z8W6nhQZX d/STCZFSKlSe3CdZHa0aNANlNj5Q6Fm4BFS1N45awImYFN53G+RFcjRO3gASG86mmXL5vJPx8Gvu b8BFlVTFnacoEN+0uerUtBeovrrOAeos1eaJAGytpqg2njrnqWTtupKfXYdDTgYO57oDtnkLJr1R LCoqEefrzguOzeedh3f7dj2xAsVBJzisa6S8fGLkzzsLj2HLr3sOdGZYQNksvYfhBtzqqm1pNe3q ahAnBEiGps/lzlJUF08SeODl6KOd4EB/QbihyJ23TsT3pHEwodtUq68XT8B05Z2B18T1m1iT2QcB CoAoE5Qwdb5mcNHd6JL/LhRKIPts0xRF4ZBOA3vEgOBU7fPsF+gCy34roitddGFZfOtY7pomjje6 LGdzpCXOfoK0jzzTIKCA0hwE9VEmMF4n4YTv7DsDrmHST7xkgypP0AaQ5c7Cz/kehaMNnL42HRC5 KO35PEVReBWTpXqVoHfqrQueQnVCweg74SknCn9n3jmoIdPRhSPp/ifSBQ19nP96PqKrzbISBSlh c1WoG6WI8Klj2hv/BZgjigYDbSY4T+fmVEQLstrnnXfH8LJ+bTvAKVZ5tl0UhTcaOKFeY+Fl0iEu EvryNjDkmGw9hYXxbF47ZuC0HgOns+nAIyOd85yahaAdnE1Y9XB1RPONBg1C8KKe+Hnn3DWZ+4C9 QtBcRbWNxfGKLJrxDHy2+Xxw2yUPCigDBJk72LDaREVxOAs/kBbwCJOSRGq09DU9wkSjxCYqKoxP m6AkrxiOjitAFWog70PF96wmmkNDFh7nVEWIVuezoiRs8M63w28rSU6VVTgoeDoxhdI6EIs+72w7 vuf3gsLBMwDmMghmFIkjr+E8mZUxmD5JaOeSRdWACtnutDLu/KRo4wmfOqWrxdHKBCjNnftuyvGD dTSSF2l7AzxXpb2QH1CMGz/vZDtClrXzJKIPxKBqKCeiN0H42ubnnWtnG/eJngDO+tlgV9tEhUCV aly7SrImVMIoZ469UBc5Y/R8RgWkYkG9U+3wQ1kLwTDP/WKe0UJIbbXWpJoIx4TRrZ7CnjXK4JB/ sroTVSc+71Q7Qj6pprXl67ib6Y/NTUWqHwGrP+9EO5wQv3CG+G3GvAnwO80Oq3jq+E7XY6AQCYbM OxHmTkOGAvPFQlBvVBhvjfNTuxgZHK0+VwYQGUIaVki4ERwNPhF7CNCbKfupOw22ON9pds21qOum WiJVH4QQq61JYwb43s87ya5Ju+xZUKhPIFHzeXoPx6HSxpM8N7NEOfcVd9um8koXmv6c5HWaruQ7 w+7ZeOrN2mjrKeFDJ1TfIVuJBvU03hhqeQUJUenzKj4McjHeKXYE5zJ0OtemDwI+kWFM9vQs9fPO sPuF7WXbeIKNsT2CeifYMdCkT6gSFFIR+xq3fzCt7lQTFT25nsK6uJJgKOrc0eYJhgJn1WDfYeil C92aqN3HdidiKUuCVVF5p9exVsBp6sqF+/JW8Mm9JpcwhHU+7+w6dh98OdE2hrM9852nqC4uCdxB +g8vvJqGAXyLskoCVZCI68J7J9fxpON6og60jxaQw/ESWhc4nxBLTRGsUwImBRiWn51uPA7CHb8m mCbBDTfbBxw0TSdKS8lIGePzzqsjROBWexlsgcMGhoVNU4hTYQAF2qwHmn017wS7/srZlWjiaJqi gHwQSJ9JA/DRsuA8+pTg8Uk4a8W+kygLiz4IZyG56+G4VlNUFEcnF/Ozhw+W3RXa6hlZ851S166G pJdUaM+DqrZNUxSPyykGmHpHQvMHUpzZUnZqxry7LozH51DyW+YdbZpqgUJw1zTlTFidQiwAMFBs PYHUxYfhZv680+kIoCBMpVMUkYNW01mmVGxC5Wl+3tl0v+BhtF4FmxVNXJulKBpXSWWnXCwJ3tVs nysiS0+Ca3b1uhYCxsU2pOaJj3Y2QXj3XHXSfT/LKU0TrYFLDw2f2dk06m+2Fw4igkRr9UydfA4C X+DT6AoHgAEeD0LM7oBxmRQhnmv3ZApDceRGJ1sv1061cWpYKsim8oAOsHuetKgknmTtSPsQH22W BrBndH2Bkc45s7Jg02BToiSOS2SPB5O59cYBhyWDL5Zxb9qgPddQQ5biexl4PEAUlPYVOIFSNOFe ZESfOBInwpcGUPIJlagmZS8EGKPsBa3RyPR5j8QRkOiio6qUjbblGqR5OvrhwGSehTWsSUwjWuBY ydJQYjdIYe0hN5OKyavIHqakdhdTK0y6z9rg4xEOun6FTYO2vMvzlR6F4XSz6Jv6I66tabN09hDR 0ZiljnaaZimCqMiNKROX76NV505+PQpQIzy/UUJwQhDM3Lq8HfMtFOBb8T1BulLFP+A5bsbzkNTu Z5d3pXV14vEgHKj9K2qC8xe4NT5NYRROus/K2aMBJADeYNnDBBxOqu/qaz0qiXs9ZSgav0xlRk0L sA16p8IGvXSvE1CrDnUBQqK9TiDeWBSFC55SxPgtIMuxCgIPx9pYIkf6gecDmuYtqDBuYhc5X3xK D3marX6DUhBC8aZD3DSvzQKg0BaH9ygOn5qpNgUN42gJMKCFC8ZrQmQOVcKhOoSqXkWvugHY4FoX TIB7FIfXJOLYtqE7JPPcdDyrTgTL9wxO8fS7fbDgtnfnKSJrDqpKtzkMadjZeZYO/lrDkatlUf4Q 8xSzNaX620Vo4eh3HVDRDaaWPMUnm6fsk5/rD/LQP3k86crK9sZRZxOxwO6ka5x8pZp6yoQvdpdt 1554Ppin8V1QkRtk9Zigh3E4svvOgNjmiS49Bl11ajRQ8GZI2EPGphXGZ3/GB0+QBi0jME8TRU2l CqgjnuMe4g3ryiST6YfvCYICpb+ZRAQKLHFy4IXWdd2dtG7j+WDj/YL4sh31NDd7WBnHLX+WP44K GPOeHx21ctZTNpoY2bCGMD3nQR4G4sllIecdrU6ApLqlTheKAaEOYg4SBbkBoANdYFxhusxljC+K 9h3leKTnDrzZj9T9z/m2JLqCXLHgAyIE3feKAvgNXECfqfdYHJkOMeOEUpqe+7LKU2HinYnxnUAy s/LUo1h8q1neqsRsOdpMbZCQlnunwrRTiKe9WSfewA8/2pCFAob4omCmyP6dCGlsYAC1Zmtd5tjn 3sDjQaWg7q8V1SAwmW8ps0egcTqLgKebzXwiUXuIlSc2SGk+gb9l24qKECq2orrzgakucN6VJd8y 6V5MwdETLVdKHQLhRw8YnLrApVzU+JkufNGfIdRaywdVVM6/KzG52hYfD9bT/EaGZVadaCKuiYpr 43Je4lG+2U8YVqJLPC5lA5rI1eWCimrjpvl7Mtp1Ry89Me9l7w4cTuqGUYen4JdomccTY03JhpHn 2iOUipp3Z3dVH9S8qwWMPp7qZeLxqPTkVx6zFLT8fma/YdSIYnKhfHt5ANHlVgvQN7qAaO9yjqg6 bsVeIC3v6DiVzpoWs6k0oLBmqkZQwR9L5tjOuiuEGeN7glomVZF7o3Zfd/swcE5HkxzkCQrxeBCU 9+9mMJo1E9VRm6YoKG/s3u1hwDm0zMe2adqSLuhgIUBCWQT8sDbuFnGGNdxfGN9EQMGY7HKiCSkl xI5kbgN5lJHpu7Qaygz4noiCz0QYJo8YYMOgUBzy1EV+E5inEKmSvnfeYFp9lWVGCBrPS2wNiw1g 8exdzkZojWywUQLUPEW1cXoy5Vwzm1KgjTOeQfJSiGWF+QDQDueIqMuy5fNDyNp3Pw4KkBrE1wTI VWrLrERK8BmsFYAuhVgt6Kbi8ajV4vddl2v9OX9RZbdpikJyxnl9ilcuItADNaRBhZTuh+OeRhSS G9RQkSNGHuSYprO9BjzUCwsGqC9v+agWFNxoSABVbg8MUBDC10RAFUaaJWUfhDSs6ESo4js2Ho9O Jw+gWHwSafrOUhSQA0WGKPxi6M4uLIY03ILbNxpTuebViALyaYzEqaI3Ry+rDLBaEwXCTqSO1p2Y iehQTK4stBSMETzJuBtRYTyzfzClEDIRvZPXhLpKSySInE/PeD5aTt9YQ3CeYK7p8xQF5ENyol+q vntZJxhAuGUB+VrJOsEjCsiXEF8o7t7RD6eJXBs9rQ5X+JPL9iU3HCjxgGCOKrrz8KlXMEK4uDTb C6k/HBQUQNFXkfkJLvD4v3D1UqcrYG5fCZUQLr7kk9AcqAJMtKXB1WV5iO82YMGI4OJVAjPL5Aw5 etpSAJ5EReOsJjLu1GIogHxC2eZnPg6ySMz5NXHTbgE22jRksQ/K+RgqHSkJHmEovr4PcIAMe7/a FyMqi9McsQ+Svowg1W/3gFe66Juw5NQsRaH4tObKFLjekrtM4Su2gAtDTBAUW1EDNFN2AggQtHJ9 lhAr4GuiuAkQw3KWHAf2ZhUPnKyNiI/ze3Q8HxxN/Rd9cyNiGp7bjSgS5yHROzX/LAfOVlM5OWoZ 3mSp92iKInHnsjRtudaeLVdobI6SX0c5FnavRD0lYcaBQaziHmjTMcAcYSQO9NzaxJkjWkWamBgC lXVuBvyl2OT4gKBaUH5FTogv1rOgwlB8icKyvaoySceVGWO67oIwblNVZYS1cdPjqbvd0WcKsOje KWVPhwT6viBnUcYMAAGSWjdJ0CkeEjh5ihcJFhSIGKkkzp928hTfueD5YKJ+IcZx2C0ExyZfFFbH KwHQpaunCaIrUWGbSjCuW1igVqaJmqFwoYBPe8rTjKNVx6EN1zNL4S3lhkqvdh5pmOgOItb0vh0J wTNEqRDNsynzpUEwuo60iinweXE8HsxS8+WUuPTOAf4spxkF4uK5nvj4ZnaQhVUKDEFAA1906BCz +DRDAueWEXEd6Y4eE5xJHmf2N8ULadZbxHOlpAOzFyBVPAVGmoQvihQelxjTyQfN04BLF6GW52Px +L/IdjIzwTxNLxXMKBBPVd661bvAcxU/oJZne4D0F+MDz5C9qVZUn3K94ejbDrZTGz8kyuPQMZVD XqKTWkFMWXq5hGDqneOLovI45VQS9bA4JKW9BbJ2P+RNVzwezVP/PshPjEXnbpunKBLPbHDiF/Y2 cC9OIptIet3ExXnTMyyODzO2NvJPu7L1JyI4mXjKwoxP2N/IcmqxZcLK7/oyil164ehwko9gYuzU tkN5FvVaf8jjmXg8Egz9pkahlYGtd7ddFIoj/D1L/nLtgMK401SKy2UDy2zTFIXiw8yTiokYlSti dP4CiIlvmlNmEhxn07ZLkH49+5z4BW8E05lrhtxNpip9UcOIg7CGG2KIvMBKr3g86HDeeoqrzsx+ WwgzhqhMyqnW25QSr3XSMmJaC6FwHnWGRxAVCwtmKuWOt4UwaDuEyy4XANClEYKS+c/EtACh7NET HTxnFInX/4+xL02SXseR/B9nSSvjvvT9DzZ0d4CKrBG+rO6x5qs3pQglgqKw+GKiDrP7wpSAzlUk 8rZzluPyqN07v5456Oye0ueeTRFCBRkNxprJtVXZ4HAo9HCtggFRXUUpaoxTJJwKKvmu3p07v+/5 G6CkCnFVuNASCo0s6gc0F46tHVi/7YajE5yCM6fE0tKUiddGlU3uj8G7/AuPKVr5uSUc6halKBNH 3kB6a/JMnEYpitLYF8eDd5MeuVDQsJrOjKBMXC1KHVKordFHHtYBK4m2uUkigA4DxLPu8GDrhgO4 EwVUKmDXtlhjbp8jVbD6zcsjVNjvxhxq38uzmxFARbB0zr5MpWA+JGBWlmRGZYhyKEphS9ycYC0R n1+JOB0Vq5yS8PY8J4fJzdA/GqkGRjJOZpl2xwEIOpO6O6ijMqhVIx1aMGb4Pl8nM8b1Ed7pe8QC yeCeLzdqhqxNosIgoGtx2t35iKCNWcepoFFucYplVMj5wZDmrp5dFkDmQGPqldpYwxhk9BRtYLPU cWfARC3he2Jh7PM6qekuBjLslSUpspeOyyMkT/o6wGEAjV69hWlFSTi1hmDjaDIqwMKbzPrJEK+M Cp0/GaYVQVSGiHYCs9jqTYIJsDg2JzQKDHKDhw47aUBVGFQCUweRRMEKISoakY+VfRGQJ0+qSAOi Uisuj2ibF8jD8hwfQNqzxSlKwzlDOfVv8bHBrsX6vKnR/Uqz8ummECsEqUg7fNisfDyz8hMmGCN1 qjxhfDLYhceLDk3t80z+4OMd8IRSEl8TNFOK3FgWfe9gIDt0hqNYlPrz+RNwfQSfu6cTp6BAg9J+ WXEKZcSrOOUuQwdOubNZziZKll4u8CUVpxilojZKFTZuVUEjiVktwFYDT4L9BMKu1KILiroGjvMq j3XGCVnD1wTpZdL8iTbVXAR5Olcnyc60xcv/LFY0Nz65HGyiLUwhc7Ow4N3LH7usg0q9OdtkDcJo doqvECquPvisGmxy9VO800BBj92A7bykQaD+ialiQevpZgTEz624H05iK+DlWAABZtfp1Hqof1mA QJc2RKj072IFpFI4R1313igNF/SipyK8xflHGuwQUp9I2yFFo7VqUg4rZm5qANwk5dDblXJYCFLF SBiP3T5bl334BPyEdLtQWc76QHmmbjnoz3EAPDWuoxISO2UAGM6SCPY9UawTn/DXjAVZGgG+C689 i1WUiydgFE99ZITyTKqlNZ7YRDGYCtpailXUFTd5kGIz4PLMgCeet0x9FsjynIq+yeqOAknn7ALT YF2P3ELiAr7oX3ieKk9hLlYB0yJJNQvvM4Kp+KbC/4K7OXEUW5yibHyRZEpAi4026R9I2NOowz0B T5VqNcsKs3HpGaJ7fFd75YHes9ZkX7zucZ65peqTYhpQzob5+HTwRdZLL5ZR0eCAwoYw+lQqBEnA LNu2lBouj1563zJPgIctCJdYnEICZ5LwehrenyvNGpnnRrqZctYsvxrEKQSMm7yTzQ/aMz9Ay3ev vrqsE2F+K3kQnjSZr/RTrOwLUkHihi/6l37R7P0ix5mGA/RemVEPdOhWlJHTkvw5zCHrs9aTQ4UM zsk2b7m6PHNdG+Fes4/tdndIwQp74xohtCxh0ZbTPcxPFlyAIcky5DzZeSfuaUGYHgxObN/meliF wvz4osBhhPAUyu/aIjTProTZJiJScXmkV++BEqHl7O39MDhXlJMvefrkG6ghuVoqqUg5hIGqywMV K42rJd7NwdTZAdxRmz7nRKl0JOlbQ4SuxAtXAD7taRSVoVeUlOcqSqKsWKZbsQy89vQGzLPi8mBH /RJ6agQWlduk21FWPnaTJtW2QLXpgUJydcVUQMhkerBjMRX90UNFHteLDzuv1Qkq34kXJMHxpAN9 gbIfcIuftd1Z0szOd5SVN1mWZmZRGdSLrpq29S2T5Tp5ecT8+Qb64l01283J93tODuxb5gAheZR6 s/HUOUXqNN2wU+0VA47vUExlykmjpGe1KI0EjYJKQfaG1m+VtuGsmA4V6F1Aze2+8OiAsEPkeKOw wyLRlYta47VT0dUE2fd7Uo5Gkj93TCxhp9cvj2yHyPGuDNNbBgPSg/d8sj124lSbSUPvOCfnYzeq Eajr88I7h3iR6Bx4rqeU6TKumbDgnUQ0Q5Lq+gUP3XHUpRNDiruKi9AXZabBc/z8wBuXR7Ys3xME OLD2cnlkO6RvYiJwsuVmGBXMhJujL0p2gtTij8AwxRgV0Tdb23f1/tOAGiTGBbL9K2UJ8gTs6QYv JW8qZeoURzKP74lEZ8jTWHzquOhw2ggwc86N3RSBVFr+lRYAN6T/wzDFqHFBxddVNWTJIT12asKL R7YcyrP/zd48r3QJ+c4vIV9UfQVGumS51kSvB3B/kLwOzIAbp5tq03W74UitQDYRTWwNiGSuH1MN M1XDcxji+ggY5pUwWYmTVfj11AhdfkjeXCm3H1dTuWEa2cOEB8HedTvEqOi9dQ6hdlfDGQJshtnf FPoC8Iukni8SIdz4pC2btAqAW8LXRE5IzDErN5MJQScJCUmY5ByCC5dHQk+/gNAZ8hu3vtsRRoX0 r5OeOfdnlGUDPDzhjgs7R20zUuKOMCpm8DO6tK64emf8VAaFOvwALczMXY4owfhkZDykkOBE1sHy rumOI44rRsZFhA0uavqCeMAT+DzWvPwvwJM6emj6PpspTMV7E0jl+ifny7er6UrQdWD/yErcMUgl C8Kz511tM62TIu2G1zVH5Zl0yUxUXYZ3axUc2ZREafaM7wkSzNKoVS9/tlYdtHpSxcneeEtt4PJI 7Pia/SAk0Cg4X+lhCnvjatKVWi1MvVbXwzolcDImWRtUDev8pH9qQp8q/ln9aErUDk1VtlHwAMxG S4TAN/qS7U4Q9p664airQoJGFwvhCvNgYrEIWW21Dlwe6YP4nAUPK752l4vF3FEWDnl6QObKPZmI y5Isbdr+ntucafOZiwAq0gkHiHDe1TYTGskDJghq0SUeKGTo0/i9tS/EU0msfnesotKodiH3ke1q WKuWreTy5C4Dl/+l1IezOsvG7MvuJ8SnUJaWro2qf60URv1biYsESaPSx0GK9SnMwpUIwCrqrpZf npAnlERICChGbDyyTmGNAhBZBQUZ9SsNf7bddMRzHdLpY55ZOMtiXgkdEjK9wJpNmx8RUTV+uf7g t4Wn7I1XyOPk/I49fdJ/TiVJJhBHPfz5yUGYMDS3eEX5ONFmIJ3q0WrPmPOkZ8ixi/xtqbablox/ eA2YS6WXS3glGpvfFMQrUUklC4ORDYMBjO0qXbSWmXl9VLl8D/EyBKyhrnSDFZr/ELdXyuWUgejn zbpxOWUcl1mwQuB4M5Xx/qxe4gGvTKsfmAGfn5J1M2BixB9uBKukR8CeTQN5jr3vjE2tTLbrBv7M Lvu/U3PTiQeUusUPCICsv2jU0J6ayAA8WFG3HEzic6BMEw3DP1YfvhRKpEvrH5oYskRIofWmkqiy BIbi6q1NyGqznoJCNLs4Va1NpGa1sQuV+q30ZIqQQhcg8ltgKuuLTi2csZKIBtIA1weH+7Vyw+sO aess64lVlJ4D2A10Typ2aiUC1YiqS8UE7VtZfSzfWKERkGLUjW3QdZKYH0mDzSHH6O28ruStcTYW zYiRbJ0ku7lMVslkv+KbInx05uPHkLVsvicV9oO16YEcmddHlYyzyzjpZ6OSLZ8brrBpTg2oMWyq cB5Jch//w1wl2/nVQMpbgtflFHbNVaKM+rVazpArJt2LQJ/zRJ5SJDNPLxKOAj05831IYQxKbeub /gVEXIntYC7aWqc4zqJlnI3K6wOH4Kc+prjWeUuTJObBipVWUCHnth2L2DGfEhbxPC3dsIgNsBoL VpSuG0i6Z8k/crXnsLe+ANKg9uGAz7hMN6j8dvYWUkNQSO2Eh/KBvikq/9jqzJrz6ZDBmQUissRH a828Phoy1K8kK4PkuyjFZsGKcvYk/cPORJ3B6rvZ6CqL45mUjN4TPjYGcuuNflcLFv+I0WWTcH6f rdnV6hQj7Khr2GyxQwvanbrpiA+LLZUWpVfSYuVLsF3JmlPDcorX/zUOJcn4HKC7XeymutnvWQtb L225Ns12oDSqdPNPQFO3F7dWjGRXquahw+Z845nzbaRyBVBw1oFQT5tZXlNoDYAiA/q1oxJlNZVC KfKpRGtvXwS3ayAOM3EobfD64Bls+et4p5BW2rcSzCmElw9WzGQkSUGaUD+JZ3ESK+mV5NIrOYVN 9GXTBpMafxxdVkXbFB09HlgwZVJvuAHgspiWKbcUM4/UYXxR1KeqbFDJ+HVd49d26vItJbo8ef1f RTNzDGA52+NfFhp2Qh4S8LqreKTxq6BS/UJdJ+WxZUQZgls0XV8G3uBqu+qcS+sUtZmKthXPiZqk sKsE++lcsG8+Cldnu+foAewShVq+qAsDZhxbcu0c8rz+L2dztmFgHlgez6nQs7O5Z+cVh/qyyc3W w0KnyWvCHJh2Aocq2fa1ntXgUuXcDxR3CCsrC+psGgjCibHTmWs98u3bb/lffM9K+Ssthr4DPJ2R OskDrw8y9/ItQgqXZwx1bqTCxJ0O56sM7zFQQUI9hkE1XQpEnT/Segw5tO1cEtJezVQz2qOagV17 ikYamW1MRZuimjmu2TRffnhVSKv1Rf9SQ+yVs6xeq6mLJKnC8lSvg9dH3ZhvV2F0rPu6chA5cO7U dB8gl2ZaWsC7FGvuNRJwaJhbNO7r+qhI2Ue65IOYc622qeY50uG3MUmOBcVj6jjDTKqjT7PvWPTU U81uOXj8MvAtaGT7IgwexHCW5e+V1/+FSJArALif7TmpQkXELXugcYXcZ3EHZiDErXG1KdGtxy9k fgqTIOqUrdaTSSsPKIUKlZ8wcOemSoBPg9fwQwNva1310e2eA0wCpuOJ2u9czEXwPNeSBfqBnQmv D2bI61uLFIL/1Ir2UEUq5Xxnn2y6u6aWEE98/kBisVCN5QJtObDvvPbCu0gGmKuFCgnIeR6XbHML wPlSJIEj5aD2AJhWjp3q0+45wuJxelzyXdTmO8WGITvPB/H6SC/5O1SYZ9WHX5UDA0+kKPJ+G5ez R0tM9hhKMtYHewyGxcuhg6fhzsvQgJSrpQpQOYLCJkLVITvHZhdkRs7pBYVMjs9uq0/9q9DCU5wY ZJm+qBLc58lS37hx+0fZOm2yn10Fqtb6OtXDZJ2CdgUMKDNdbOv2+nq2qvlkj9CiV6gizyDbVTIy tfXOks9vNyrNmKl4xuk8gWZY+QyhtDATdBq98puiNyCThM5hMhelVSCCLiPwVV4fkRkuOIGbEGwK HBcerLDNzgZy6TaCwNPomGG0kJK1Y+habMEK++zbUk81SLl6ZdNqx0C0i2uFWllO6FSZK4UqZAyW kEG92U3/yytg0qhYi2alJw+ssiFZrfD6v8TdZTMBsNlXPya08wSKjJ6wwxCMk0Qv0UF9k0HJ11FU OfbzVJ7QTc2Vqz+E8HXOwBMgX4fdbWWDAe8wBIuuXfmLcjXtpqMhFw3gdG5xsYR9nEpAVpVoIYeW nrV+J+yZWHxMhD1aoasnH54OSxk7spqoe4t4z+nYvLK7Ryu09TTPrrOH6l0vRKitSuuyTo4r1UJQ 3VCFMnH4lL9HXc1uOpricLI82B2l5zN7MBDeUfILI2heH8kmficNMPiYmKR4rOJ+O5WjOK9kg2Hz 3chuzFZjBplo3807faG3J9IixMgIM/0hzMCF4uw0yPMAHAsX453lRCGHBdLCHceY+fTzi/6FSsdP 4IuO9+p28udcnLw+omTv76R9zR/kNDdUoUoLk4Y9dnMYcWkGIz6PxTAY8Sl5h7HXc+DtebdVq3bM 1/U1mhjAF1QKSZ1EqAl5BlDdJOT5vPSQlHpT9N50pDIpGeUtUWW8ERUhqMOxhoajDT8gStu/Nbf6 OQnAWr7BCnEw3WrBacEqlNVm42qxIj3/CNXS4XOcwN8TJ5aAsXmPu1qw5nkmaMdEXFWdifCGc7xD kg3a4OdvkjDglkbStpuO0AsUIsm+GKYFBSsrfVQKutO/RJWler7kTeexCp2FGlswqdos9fzjtLo5 ZdnKy5Jxe+IeeHziL9AwdbpucLsiG6g/zisL3XaAG+tIsrVOOHnogkFjaN9XtBDIsckn09AuX2vA UiTlU4GLYNnxM9qa/ICI7t++9hXSBk76PFahzSfVbSYdogST7fn6C/HFb4iYNDxWISRG1OPukM7+ tGNOnVYhZE8OTZsQATK9SRQ5lOjP0IdU58raMaHPZ+Y4dSrFmjfFKvAryrLHq43XR9vqG/+JAdZM T9IQOn3iuAUWxpGNkLqxEX1JHGawyUcxDAtVaDKkqeDeqoq5epHTQDPbkpVq51HcYgS2hhk9AF4A rrms1Jp2yxHgg7IkmFPZon5ogaOe7Jvr5vWRGdONFKMCa2vIdXqoQtYo+zGsYQwaM5vvqrKGP4ED MqoWqljXXMy1KTTonPM+ge38+hvYK2Jj0sxIg6g0AegztG44xLH5TbZYheAYUtr3pOsCEJKCvWZc KhePUxvy+r/g12xTQVsWPkg3VqG4uXJR6jorVtXAjoTuTaudcchY7Rw4fmIkayIS0p/k6r2r84if d1dvpmS6hhwqFiQvJoIMMJ/lVtzX/J7gJUg5t66BhGjTbMiks0vJIsCAgNf/KU2CG6Sl1qbJvcUq ytuTRhKlOeRqNiO5V6grTkfJ0H5DsYoJpHqskqBpXP0tCGhar4xVBawf7wxkV7C0Wm3wjyrsThKa VuyeI1Q/ye1CYU/0E7SvYLUn/6pzZPD6qHv12x+VBtL3Eaxhnx0H+yiz+EiCBH0D8cmAgacVi2w+ gjUEykjifW0lpFz9tBqAkXQpTZ1SpDXpnFdQoTte1u3yjgyunmuoqSgpl8E8dA/PQ+FPJ8yG2uw1 Ttm/MaE4QhfyJY9UlLLzXQRHj+SbKhffVE34UEGMcagpUlHKvpQCcJzlqz2AwAlCgIcpO7LQbODZ AcVVyJfRzPaS3Lvdc4BjYEdmV2YNXPQEDhgYMGrnEeD1AebjNo/l6VR+WEJ4qGIH0E1UjDmmA46R fHjTrgAH6uZkKXtoAWpuOtU2F1efNU+oHm26M7VGXiAPicUMskzUAxOwA41PuwZdoQuozBigD80F 7wgJdLZzCjIxGq1nfkAUrO+UPXObJYi7e7hCQik2CkAszrvtaoty1sUaheFq0vJO+qh/h2uo3cDV wgXP6z6EpG1wu6RjKvBEnSQklM+UmDXZKY3mAzdQkM8VLtmjgTDQtaEwxmY5OBZwH4EdqFHUbjkI mPLsT7s99gNttEXr+yrjzZ5thlppzCZxl33LwcAQFDXWsIq539XO9j5P0t5L1gx1p2mCHLkyz0Bh 86W/nOkZxm8KOqOLTKQ2JHMKoiVP95O1l8W22TlWJj/gL4EA9V+I5prX7CMHxqCi3J+koG0jAvo/ LoK0qxEB0RwwJ8ccOoOqtZJatkzTW0sI1zrpXm+Ch06Gj4k7s66coY9BJLLNvPZsdtPxu3ClUyIt X6zTcP6f+LgdzdHAHBQ99/X1JG5I9+2rYZJDd1CiNs+tp6v+NrP33GthZkppSiCpbWtFEBljj3RL tbh6kQNX7ywA3tk+UPgh4KkOKuPwMKhXBL3wp+U3/Ws+uBcpyzTikKQg9MkGe8Ooa/kBkVhA/jrj YW47v/LRwCIUuIBEMN+8IMi5TRcHqsmu44kerQcrzt2le9fVG+VqG2ucSMBjnm0ZzHTgsYcCmxjM CmxaZbCshbWX3XQkGMCBsyYU9ZlQLDSSlW+tzuujjPQbXoumKHWMPFZh032InZTdXM5G0IuCVElw SOi+tOyxCnN35ew9GycwP8Ivq2MMTRt6aKHvUSXcldjuKjiiS2FXRtgrNd1Do9BO9Ykm0fh2RePB WiZRDk70i9cHCWn5Lp8zBDT3eJL30CoUKtfoxWTpCUKgeV0QJNCXma2Gs70duh16hUr9JaUmeZOk /jeChdzhJFk9EboN/8LG1/UqdOtpXQAZp1CWLFRfYBYK6AaboiJ5Q/bqpzr0MVOJBRrGkx8QJA/j mw4AzsWslzSRY79QDp9nareCxkzXyCWqq+kiDmEWJaWBYSgKTBnwrPKsSt8LtFHAkil0BmvwhBNQ C/jdBjhP55hezmBl2S3HocKp7ktW5/yUnklcJQEaAsNQqVl8dUZBesuX+ZZDw1BynOAjXr3bV7uN 6U9ORD4F+SVI2FQTho6h1kbezeWsH/G8CbnKU0MRUHSOvu48nEK2EDUuwelTTTiUYwWOodIOhm4e lRZAVvnJklE671vxNTqUrHPoGcqs5WEJAqkLWqLHKsrfiW/rqzd3dZqzOP+t05VSbuLXSC0HrqHI sYxOqYKPq78IeZwjiziJ+4TxnDyiE1r9gywBAIAMppaK3XKEvaJK8yBf8ATM3WXOoTokKQqTQX5A pPr9rQ7HeVebN78KfEMBvqoSajapqlO/mRxThTq+oR+hK+bdvtg4VJXeBg3EV8PJnHT35B+ozAG+ yuclIPhRxfizo2EHSLhrnCS/5WjkRR0YyIPaovq50PSF+wvgq9A4NLdf3T6ANE86eg/2wDrU7fkk X6eMoWwfPc9VXeUTVl/2FvyHd6hS0LGe1cqchnZnEvoDgp+taZragPk/FQkUBPYjMFSr3XMMaQAH joxBLoL0tbHpuSK7xxy6h87fanpI2IH+9FDFBFQc69RmUKhycvRHSX1ddyccAnoHBvah6CGr02JW DlzvKPXEpKMaQdaOWDFhWKbFiy0Lu9qLlFGREzqItkpQH6BoSK6AjpXB03nV0sSLCAV+QNBGTr/E Y9GUzuN5BMO0PdFDNBfvNvRyIQ2FRCCZ1SfXY8qBiWh2xYU2dr+rBYt6dLSTRvl8XjnTtAQgIIC5 RwdipVyZ3ek3HWWijBLEU7BgYyBW5z1au9p9Gc2G0Ei07G/FuEyD3PN6ufVzaCU66dp10Xw8sCxa RZ7OVK8iMduiFaFlqoTQ1x7Pag2/hpOlIDcGBgs2mdlSMfaRzwkCJSaP1c52y5E6KrHHkEWxRQ/h LGPp79xgLgVuohhP/LKiAwl+zwus/bzmVt3sQc6T11yVOPORZ6SSK6PRbcay9tfMqqf/swOdf7av 9g5c+/xHzopQPcMAgVTd8+/RhkJRvvvN2Bf9oF/zqi4JQkCtVvZFUToxWkP+jwtXv8Sog9OmGOUf iWHDDbp1/ovz00QRojhFKtWnzTuTcIlp8+almDYX6JLbXgoiZGylkZUljHyzBPhAsF2F566g+0+8 Q9r0BVwELDdmVJI66czTX0Pks5s5qf3JRSFic1pWKgtXv4VIRvcKEZOBwQ5MsRC9plLnIs6lTro8 h53kW6124q1IyyClayQvk18TqS4ZKWQFXSHavd7sAELEtB6h9OBJn7ZJoeDdDikGbSLlnDTP+rym UR3gIQpYnteBL2oYw1RXp/mpOD6vORTiu5tFSAP8CUPcZRF6zaC6JV4n+c9XgSkV54/AzNybxcMj 9Jo/dZsmkhZf7+rzhwRhsy2N9FTKMisxdgnJpob7m0cIac3nNXvqYmyebbfVomKXUYqoQAQSSXYS 5/x5TZ74yPgmEm7vHGZNdo/0Uw5iRFGSnqnfa8Lf0xPya1HbMmkG8uwNdtHIJrYoDaYiCKtecBtO oVCFOXn/KfcINgayfeGN0Qi/AOXN+aZUh3vNm3AYEStURu2+qNEyVi6CN2L2/po1dbM8V5CUY1EL Y1uQXpMmPJ+k5a52N9Iq66p2DJ/6nezZB++vKVNPatfg3FeSzdWyS2hsnUd4gt+GAezA24HIF2L1 0HFpbHlrQprksRoESc47VRR5LgZrRGOQs4fzJ3xes6X+2BRlc+U7+xFTP8XoNVfCMa9x3+jePS/Z XYqKgdVZruTtjilRjEy6stRn9bpuVCCe4CN+fgvsHyFlB8zQNmhOGMjep42F3Wua1EUUgVOKhDvw AUS2Vlip5SnLq9o/r1kSjrPZvmKEcdNsw/fRa4aEI7tSt3Ind5Uhz/lqWbusLkUIpT4cHdlKvds2 15R9XcTXACuvJSougWuft6h/iwDsQic+DWOUepMJ/5of4bdgm2CvWXwR4hPg5CRI1M6f1+yId9kt Rmy+wEiqdN9Hrx3NLhbrFTVp0vtujtzI1T3BOjFWVIMLDu1UTFYw9bt6eTIT/geTpbM106BgCzJu 7nxQhfA2uh6Yi44yr91MxIjvfLKCuUw9Nee1WwXC7xkSqEGMXIRRLzY8/vt59b82MvmmIGaqVieG 1FSHA4HS8POIdG9pdUTZ0TBSjIZ7XB07jDy9AfMBCulJnMaQrSMldSpKRRiyXCRe0g0HDxuVux6A p73GaaXF3jacFz+vHUycR6N+xYjcEsxrfSe9M/3w2k5TpEiH4UF32DsoKTnTKJPJL1JkFCfFR9rd tjoK72yPU5ONLXciDKPEMqf55sl64HM7fFac97JbDjbToEAOoAC2qNl7ah411agE987zwxNnZle6 OzzrYxEIyy+Nkm1WUIDruw2fBFpNPL5fpcoyHH8QZtuq2+bcz2qJEvRh0xR3FN3sTWhX2lnvQjin gZjgQ+JJAcZ3mh82VBF3tC1fbITQDf9+DuP1eWf54fS2gbpOptX0BHucoowbexyIg0fF2rUngNO4 QpUw+3ZQWRCnbVYE5gIyx50PQ5T7HM3QxAZMoxa2cmlFUMzgjYZIVpVg+Mg7fg9Tp9lOl4gXF4bp HIW5y9fiFNKfd4Yf/uC+v15ySEHmmLd0i9Jumk71kxb5djpvNqetlT0czjLZCxacJTjCezPXWbHW uF6gMCrZSYXYBl+4bGB9iLIsGJUUNKVdzjNV3XFQvbFlshr1JpY/3EDeTdm11QLoa5h5Dw9TtrHG z0KvyuL0nnrj8C1qkriZDLUxDG9Qu8M5M05Ia/BGcRocrJTO+blWq+AWIICY02JsAAGQbikoAD9T Rk7kIWvCMtW0/MfpBN9L7ip0zjWLqgUqFjImPZ/8eSf3deFmbT8RdpcJ5+yeNr2z+/D30cd4Sd7F pHQNmYE8Wcjhk39jEqhuwHsCbh09ZIzqWe4rfIpOOHzcGskyEI+nGTAqOTg7nMrt3ALaPXaO0/b9 887t68IJnDIXSHdb+JJawFebJHwpn3dqX8ek8jtzgjA0Gpb3gApz8C7NoOUtATWEOA1Gq8NhBu2i o6IkfEirEoixu9pBjtHTIuwK6kGQXt+ybeC+x3AV3+UuxlmCCVEW3qRVOXgCc7GJwap1K8HM+/PO 6+uPpYwdUCfM8yl532l93XgfA2xOS8MHhdwEMBjdXRvAarEwRXm4YcdYA/rq5/jJt2F2SoXY2uce ChMUVyG0fW4c1pAuADC77vg9TIMdOKrzcJnKn0BkoGA5kI68PEoy11d/qcOfaLNLyTC9Z+LAQ/F1 JxEcPoDDODGQG17eYKK6pEYFUSpOJRsIBrBdqdX7JydqMMIkieGkn3Bl5vkEPl8HCjFTAcBd1rvu +D1Mkz3vnTkr39kVcBJVBdQ4avnzTubDH/y04fjY4fXXoSxrgYrScaID4dJlgRpZOoyYqeQ6s4Ew vhi1YT7O/AluH+OudjxtWD/PzhfeCdn5F4LuV+zSMQnfBMhLuPwpGaooH4cYGCRtz/vGFh1P7XzY FNgOCIwoIc/FX3hyfUKnad9+ZZSOy3tnzOexG971ztRwMb0uirWxYRmm40ozh6Fcx0W5QiOpYaTM IV07+3Wg043HDtAq2gdkzn6t1QRu2OedwocNUQgTw1jSFqVPSKCSWTvmzzuDrxsK8GbjkPk+R5zv pncCXzdjI8C6bpjSdq+UUkytGQpByU6nd/4e2w1CP2Eg4qulT+cQ77B2wm46UWprD0M/IcevGI6d RHze44mNy3f+HgcEA/BdJCrgVZ+PkGtUw9MjPkue5/n4vDP4+ML7LvGAqFioqy1UUUJOH+vOqt36 cjV5g3dQek6FC453heo9Ib80K8Dt7+qF8KgQgSM9G2ztwnknmrJAuUIC4keuxI4xL7rlKIViCZyq mOz4DeX0fL6mc5hyHor1eWfvWaifQJ335A9Uwj1QYUqOB+bUX89obheXB5IyIJtz1EVUoKKUPIny f96dz+oMD4zNTurJDuYE0ImP3nmro9IrGNUMNJdddINKLu/UvS6hrHX+fbmLZQan6CLsqZ5X/eed uccTzuNEEVTwJvathN+Je95XOxvqKuyPbTqCoHdk1wbaFJpCSv7O2+tXRWkYtJXr7T7N877LprB/ yqQlwakB05SFAVlGP9EavTK+eqftcT+IB9okEEQQCqW5IOJCrHTBWCXKyIfJCWo7NeUXzcMU9sOJ lE593QqvGp6gpplvwwDEAAtT1BA3DbM2vlafGozzNKNjgO10/r4iIwLYgnBkAXYdBir3KF+65SCD Yglcq+S+ZSOD11ytSabPp9Zbn3fGXjf5IqUGhNyd42HM6inUO2PvHlD9sVUtyQZzIGOvR6HZS7x3 wh5zDI2gipBMXL2zAnM2zGWpuki6soyKFtX0Fln+9MdOkiVZuuX3A2oNkyOZvqgDBTT/tE2yP++E vf4IfttBvtEyuPOVd7oe40ShjewbCvid6cODbLwYagIZwf+drYffWSy9Pcq6q8VpZMJaM587gOWy UoMNUAe0grCn9y3wytAdR2ES7p6KXIN8KIGjT3pTBLM4Cf/nnazXRbf96o0PKF1t72i+U/Xw4NGk aKqNqUL42jz20Zs3fglc5X56T8kh3aL42AO4uz94KEXWOZ/OcU7zHQiSVEPPtSb+M3DT3lrZOIw/ 70w91lqcZhZmmqlYpglYVlKfpezM2wy2021o6rmDSUqZN05RRk4z65HL9nN8+pi8wjLOSMWAa3mc 3jPyp7VCxqCt1uQ5KThdRKjGdYIG23ie9RDSpIflnI9wIGG+7xy97pZXBCXZosrlvJtp9wJI9Pi8 U/SQqN4OlPTB0VIZzQvhd4oesi6CwZpG4Ug1gXfz7aRUioYyy71V3xl63S1AYFub7+oYAqgfJE1/ IfiNwS8LFwBmByrbnR95N4yYPu8EPZu6TcbaF4EIwDhRu+D8Jp93fp638W+7gJq+83ZV3ul53Ry1 R0pOojqHRLW3XUmz+bRl0ZoQm+mdndevZ2gfAkR373d04AbOk5RxTOMXh0mfMlET5iKBA6PNLlZQ LrrjIExLegcym8vWhIdg+PlnAXYmL4/O8PKVOxXKzSV/171T87pZRQMK233aUqfrujHbUZhmGzZt eWfmdTdKOeVsTXf1s2lAVXMk2RkDV6jzq0tfA/OlxxobpR9vOKjuKs6kAoMUW7SZyJYwVmL5vJPy fp3gUjgDTe155KJMnNPIs+seTbfSlBzgddRc022h0CcA+p2Qx6Y1Re/4i/rqvYLzqeBkkpdeiH6X RCwOhgkyOYdoBv6i9MLnnY7HioWeqki6l+wMpI97MnHQjfCWT7l83tl4+DX3d4oJj1dA/T1OUSZO vfgvUvrABNJB9bs4Kb0117575+Lhd2Jr5GxGxkCr4nT+hDoqOigSCYQKAvsvCVylShFo9grk04vu 8+ediNfle49MQLbGzVhZQG+uJIWW89d83ml4TMS9Rcd+HNK93odn4u8kPAY3qUfnePpV93D4Thu2 neS0rjBFnXF6mOMtT16ZVttOdLeCLhkfulHFJ8uJsEFVOB2FmCEKWLC8M/DYgZfv88y+CFJQgILV 5LzzNqMenceJDYaThaG16mGK8nCCWM9P3bz+RWPOjvBOrzfWv3Usf9FFjfGuTu8uMi/k6q1MgFxT WdKPwttJI6lOffsMx1oQXi6zk4DCd/bdbdFBCtAX9Z4gpKepy8LP+Z6HI6G4+4nJ6HlvLNjqWaCi RLxSH7qPMR3xvFtzxHNaw1vjEOdkIv5OvXt6dCubtUwmZ3+yUcDOeKficiEfgVNN/HuKR9FHvD+t zKQ7jlBzfMkJ8UShOrEUOUplFnLKVN5n8LKbF+yEG0TnEPgQD1SUibdGiSsqxTDDTLV4Jn42knfp 8rypU9gczyYe3NpdbUeBiVYaJtPEFqyClhwqO/S24O4IbAGNGoTiLXbL/zqh2iii3Z3ctAkKlvHR JLLMzOuDR2/fLUV0AQAvcI2xSEW5ON4f0KN2WvXZGONOW+bySG3yuhipqDs+s03L07qr9Z52SiiL 2CwAnalmsaoTdV0EeJrPnpK6wTvnDj+uil/oh9iSrEfXZQxTz2d83hl3uM8yfr7b4/3npCzezHwn 3OFLtzwszJ2XaBVnJ5a2NFCA+TOYSsgM3vl2/foWrp7zXS1ODYZXA3NcIAG5hRinwlZ6AVPvHF2X 9co05fNOuCNumVVLqUtLNjGH2TAWYp+kzc873+7X9A53B9uNn43XjgUqhKtI24doDL7zNiFJ/8HD 0DrVREA8rzATr9hQ73S7fm2vZk/PaoE6pduAEDK9GQomMYXw+cqWDnoVMFq8mNVFda13ut3t+o5K r6LB6Q5PcFrVc353DsTPO9nOmxpPCgU93NnuhgoTcqJWO8k1shpAF1VKgJlAWPoylE5fBkB7o+44 NG4yCeTtrg5bXScF3+SJNbCdRxZMbGFUlyu0RWAt/9R3XbccHOZgpz5cO5SnjBM87+nc3E+i/3ln 2vVHETeTuoImxUjNm0/vRLubapq65ORRbgxhwnpEPT9xQluH77x3np2D+YjZfVanY5yD/cRkEV1A kZQqvArVAAt8jUufV/aBVMjPO9Gui/x1NhLo7bYY/Kmd35WJ0Sz1886z+wUVZ655ngKwRTxOYUqe eCqt7oahsjXVEGG0i1dpywq8d5Jdd5Jdztu6Bft2C05RBRpihfRKRRGzlhp5hUqtgAtQOdzqYHVV 3jl2T7sgUzJxZisjy3kCyxbHrrb2eafY2QjiC3eI/0g/CItT1B0XJ38QwcYXHsdpYvfk5lMp2CMY u+edYXeHLTSNuavl5ANSRKDukplRzrknrFTBgzbr+Y12uin5Rjn7eafXMUwkTAM9YovCBBEqFcLn iP28k+sczKYenWRN4QtY7nYKwSpT5Lp+U00KLinVrMNTzZ62iSu/c+s4RBDsSQoGWr0Sxv9UvBQg tkijWHOYwSEKCgmEmD0j126KOuP4GvIzmi+q8Dqe88vYfOfV9UdLMpt0yIIRyj3Eo4ScnlWdzFWD Q+/ueSakJZyfga6+Hrp/J+QZKLC7WvPpXA53QeiN1QUJpyWaz8Sz3mHDgP6kY8Sa3XDQVclUDUGb 2BZtJvSSs/We5uedUUeI2P565lAsjtlvlMJsXCZzdbqE3R42hYJHBh85lMEtNeuqtBg1rg6AjnKt 3ns6xdHJlDZ7T+d9DUSHUNMTjsvgS8/be8IEj18TJAREHJ5jPPkiAAYUBC0rLw2XBxnmyN8JQSWo 9h5MYSbOKpiJk9mqSp2ANJY7jaKqtu2lqCuehF/d2zTy95dGfoZFa2PNAgRwVt+FbagTJfTz8XK7 wMytOw54LABzpoJMzhY9cthDwq+eeOHyAFWQv/Mm8IpOOeYFS48ScabNfbbsGn99Jx8CN2m6FuJU uhnE9PdEHC1CDQ0GBwNa/ZED44eEHvjDEFSsQTFIvx0GxGRqqLAb2274H/ALzH6TL7aZTpaa9Mht Xh6Bob83E1z1zmbw47tHWTgnx4OGJq6w6aJ1yXSU6bgAZSpFKYKp0FoNYiY0ftZqDbqqpFno1fP7 Y0DMgwl4kdbliZlvpwC8GnxPUK1I4bam4QvDdMpGSH3xOaoTlwfZQLnZAOOE+rndUVQPs/BKYEpz l0JwvR3OM3r1bCAhgeJrrkdtcRMiXVXGTFw9a4J0eacFS6trbpoislFAO3o0BgqEHbxRIPJYlIVP sjVqkUM2lGfYBqmnPlqaAUN5FtcHZM3LjWLeNNmVvyCVHtI1q1QuVnP0qqYGyJtkY063hYzSknl4 j/JwO3LeDOkzdYGXpP0ytL/zMmm/gkbBOZpgXXEVL1gA9ygPx/DvHExogXJJjstsvWz1cTvvM2K1 /mqNw9cc/SKLU0TZHJnZEqV7ZOBRrWdwXk8UI2biROV5xSnMw5U4JVHotfqw/Dxi0EVmfnl2EMJm cq0D1jl4fTzlyuLbrke9cQgzn5RpURcE2AWTUJmQep1UEqt74vrodXfRF9x8eICr8xB6nIfjHE9z Vo8TtdKEX61mbtxoBqeGSo9pm/IZahohcL1NXyAvUMzR0fik0o2URHASESeg6daVS55+x0FWwEYK pITxB0JGi7l4bRStxhF1yrqN64P99JDJ7kxq3l5mD7vjlHYDpc8selOe3RoqYoULcQjMIxsFPczE p2lq7md11BMtKDCH6EhW+9qSBOnsqJ/HmkiedGF06EDji/7VeepCqbBEkMx/xXywVJnn1YIPiHB0 94zCDSI5maCnWaje03Eg7LdDx+GjwHnnUqjOm5Civ4D6kpHE3lOP0vEtitTIcskejlbuUNMfANtQ /hcD07n07FEAKxMHVahMYB4nlB/tUYNctqA78bXHRdOD81JIktlZqeHyoFdQv6lSDQjrfOdSPcKO U+L/nFFF1hMZrk/uQtE5ZGB2UBoh0dhSEVDFqHfTTOFmI0iy8q2X0ilcdhbWFyXMMilNlC+l4NwF POWCx0+48EVBFjUN5dt8UU/llC6qYvCOxeXRhlrfzx48IND29UFnD5NyDRLEkEaXrrEwpj/2nlUu Ho2Hs+2oqD2usfm5DylEjnVdc/Y5ec+TJ6kLSFafBFO2CnuTga8aeHs3c5Dw2iOwSl9q+/a7aITH BIEH1iwTl/+1oyxSyMv6zaRGlJdTULp3aoqrYUDVJLGn8x0kwEtcGecIZVM4QEBGXu7qaJWFjzU3 UIzYzDGUAHVqn4AN4+yW5HcczaY45IRGhi3CsLZTMFVJAOeMy4PEvH/XwsggJyD+FqYoMdesM2UD jWP0MquF6XyvoD7nWFlOMh9he1w2TMu0i7j6HGHDnJMECYxNa13F/BRO/rwbT8lVrsQazjZ8T0Tm ZBUMNQiIpwB/qnQ8n6eQqAZAiHF9NDv3OHEuRbXlKw4yQvB4YnCm0aKAmvR0E7ovJsJTJl5ZilPU Hs9Kn9oWeqBRTednoIABY+XUuI1lHhgEU8c9QIc/Dd3wsh8zBXAr8TXB5JwkKSDuuPCPZpTSkPXv SWw7Lo+mLd9JFKAybV+I/YiS8iblWpmWEEnHoapBxIpbgC6cs3rooqR8JTV9IX2stVqU8insFnq+ FFHpoxNrgTwLfbV+StofWFN5ZoCeEL4mGrWwS4dbs8W4nAvuROz5jo3Lo8PpuzU+5CJ091KUkpMB 1Dtta3U0Zcs6a7JnDkdTBfpXeylKyafktXeT3wtX7z/NAkw3izxAF/vS80kZY0r4ZdgOGDN4kng3 otZ49s1EZQfcLedQqN1BA2IjoGRc/yfzjj8jnt10h8EjzMnpIjTLI4Qsc1k+dMMEQ/DX+TB4RDm5 qRatIg7QKo/SPRri7Ghh1nKS1uxK9zDPGaB8AsvihPxkNxzUwuoWDJrqcVFWAIHJKZ/wMXF5cDRd 3SL8d1kJ4xy3KIWw8UHhAgL3XIn8KqnxWiqppebgghHBxqvAYcmgdOkLSrfgMlkSRTIhybmqKbyj PusooefjJYtxI78mntuB7sE+nTQy+aI7/4J6xKqDR5iMz+8XHZ4izCo9SlFrnI9IH235AIHDPuOT peL13UR+oShFufgc47/qO8q50R3qJBbQXm3cS+ikCICPEwuy/Qtvuxsl5J/4mmiAACw0UARc0DYU kq6hS0YAxikocf3/NLbbqICnN+pGlIpbu2CnpwxOy8KU5MPRKU+bPExRKm5qBcMM58djOL/xkEEA UiQpgpvYgCryKoCVSRUHQQ8dE8wRpuINDx00W6DLgAALdtESvLSZbwJsjQ8IGgbtNlZY4aBMxCvE QhXn4hxJjS/+dLmujMsoLlAucI+zETfI64Wl+urVHXD0qwzpQ+NMkZ0DzCtZ4aB1C7iSuSXoHA+Z nJLGbMw0Kw7Topcc0Iz8x1N4FVwfROrCn7L+y+tnrQv2nWGPvIpCbUgeYO2XW+dV+m4xeVqrm8vg jCUMhRynsoWtPrwbA4UKrRKAb4XYHZ897PpZQGNOz/CO1OAZQlVK996TLYLTDWjpmjB2xeVBmHr6 Sp5gWTRW87fdjFJxAj3calfFS1mOwMAYWwgMWmewAzXDHnnSwCWZOXFyf8ECH2KM6ERrGadI5dsO jFe+9ejaBLiKV8FojuCL/jlLqGn6ojgBNWyKIb3g8j9F6ArzdgTqwg5nqKxiUhhXGAtcEcOIrVG9 pYmD2ISxYiKnlGe6XJW42hlVO3gxky3NBuGhKWWVwoy0YNfDmONCeqjwMOMmOSIEeQJfkirfXhth ROA34PIoUPNrQwHpCwibxynKxmmhAenKq9a36vVz6Zef2E5hb3GKs3EZwBUTfSwXWpAaoZiw6D7B 6xMYFTMERxJ0fv8fMDeuZ+zSDUf0HyrPbHqawZXUAD0gOxKQ01OZuDzSDv0eTeGQxLPnmcGM0nFk /cj/SjOkymCupjC5oHYjBc/CFKoaKg2fRqGeD4V6Y6SBjgczA6gYccqH5+6cDhuaUiU/XIRJk64Z 0jgpMj4rzWK5qKWSgLVmvXb2FS4PBp39e9AJIY7Z7yBhhsn4pKrDMsWL87rbxROoMbZ3CmBAZod4 KKuig3ktPX1r3YZKAuxmDsjhIEx7QFyFu4l1LMICxQFPoOjnOaNkvDbSozbRT1zETTwvjSSf2JPJ 4fKoRTe/Dyc0DtdT3M0IqMIpyalK602g+M3qO63kugWwIrcwRe3xJX+btTXF5OodOjjd0oULeJ4N CAbrGpYxONV/UKw7xJ7U6Rll44mYcfSmKT6DBgMLldZ9KlUG7/Kv0k4U8/nNkpohhTMVdeecS9Z3 zh4lHw03DNCtZpmhuOEw7Zk57+pH0wKNvIk6DdUQE4iGaC+aY9AW/hohbN1wNLuTKBaJCFzUnINg L4ll55Ti5RE4rH8d4DjyZyo3ShFQZRK7in6sHeDbldgrGbZGkirDNbJnmIwXZQS597v6yQRJrEYW YoOkU66CjQ+8zmHWhhLramQP4utnlIsjH4PNpWD2OCCyVGlB+uZjfo6+iuv/gj3x5M/wXrg0qRkS OJmJ03/A4kSuHLGGJ+U1FUggJg1DN2NJFaEKait3tfwSFuYwy2Vz7mzRmQV76mCmSuuvjjsKJjoN 3xMlThQSPeW5L4Z7mlRMJDWx4/K/EkyBpPCqLcXPphXl4YTq9DFt6ntyqDSMrwHsTfazaXicVoRV GcWOcGv6YvVZcJsQXc+E9Ezkq7KuzDCxGvg/eP+YVIj0ClaIVdmC8ozsixA95VSKQ4ieWnH5X0pG HNENEB1n8i7mCnvilDLq20RmsJ2q9XoxQvIjvI5mCcEKE3E9buckz3f1I7yAwbTUdjqHTy1byn3U FwQLHpZWDnxC7YyviRoqyi6pZEQgtMZe6DbRXumU/7Xg+ghG53GiiAOz1EeSbkV5OMzIKahyFQ63 Q3wzCcXG1QA5XnEKwSprG6Rg2EqEJKGrFKHHi7NDi2zR0Jc4ukzhmLNBymOjcXZVw9cEPXEIDMA1 qPsi6FND04YZwTkJcXmUhX+rFeChPX/xfehCDieOlFHabc8B/mztuVb9VQeNcatWVgwYN38Dg/bQ vk67qRdYH3aWdVT0q/IUBNQHZTq7TzchIIxuhT1xAnxL10OHiT53BRKzSTfGH5hc4Pq/Diec1/Cs B5DO2ykrSsMplHNSgilbQA7spgHr02QLGESNjhE6E6cVcjgN9gX7K189TicFAw2JT91OMDrgJKrx IAPQ/oeyhhfQM3XLUYsua0ouvbUN4H/SqTSGvBBPsOvEJ0TZ07cEK2C+CyenhSpKxYn07uf/eo6Z mJCw87Qkk4XOEx4kC1XUFzcd9rpUuXC1zhN0fEnYQOdpwTk3SfSpoVlXO3D067rlSgQWXxSDemjf Vn1RAQxpcT16Zwfj8gir8t3znYvDzSsOHeXiaxFqmIpLrSX2i4h9Gqr3BpuZ0zBiK8zF1UkxCUyt fpBDQzsVPnoNNppjivtTeeiD/VIAfzT8RdYbLxRUIUnjmSCYdh+kRYs4LSk1XB698f4bI7bmxT6t kMeZaUfCzav+XL/mnJV8EZLJCl7ailMMGxcutexn9aYvBD0A4iBHqp3/QCwdyGQ4zkDwhAbQxang HPisKB9PbBWstSXpC/KOzuSyRXgd6NCtKB/nfn9QBeetfmqBcjdUiByvmkJdfP2Uj5sUVcZ944EH JLnxMCHXg9eX5C243kBtWvF0SmbCCd78E6HdBxIetm9zZaxCHxp8UaBWS6XxLXDBvqp0AFR1s2MZ vM8AVXCrYA45aFXfrizdCnvjq6nN6xn56K61hlHSeFIDw/uuMCPXiLPX2u7qvfEB7leiCcL592d/ dbZ9Ss3CtEzQf9ZNoigSvULVcbLJJprUtmh2N2EDwwovz4rLg+Rgl69ANQrkleuDsKOUnGSd8zdc cay2k/foBqXLGKiSphXCO2qNG1dlVnlGzbqfeQtIAwNDOj565z8U5po4rX5g7fqztltMmu35jlJy VXiY1WnRrPJUtC5Ec3JlXh7Rf24ONU0YAtmuv/L2e06O64S/KNebers3dRktOTB6AeQjEfsIp2LM 6bbTs/orb0BWVPREWBvK3fQkWhT8KzBpA2HtvvLohrBDBHlVl05cc2Z6PxQVPYmHBA+hzr7fk3Lz Irq84IKb6veE2rGuCrWMbik8ujC+PKF6txOqzOGaTzvKyYf6uCurtcTVS7zzloKld6IbLkbbnUc5 FTEmqwlkadc5eOiOgzB12gAmatmrIOXDdqoX+XdDrgeX/8XiZNP3PPO9bH/j7ZDFifcUqP7WWRns DRkEo2WXWlvLRex32BqXg48QNrY6zn6VWTIorug/Yf/kYrAn9nzPhZuqmTrHsZ/xPbG6KDT7dJzv 5ROEBB4tz/GN3RQhVZBLOwKD0A2ghyAWb4GK4eMygr+InsEZieTZKdgvTTpIPChQYXNco/BdTROj PiLR7RRH53SmbXcBLzVLv44pAlupePF6ow5UHHzNvww2OnBHWCBUY4f3EE8QnJWN6/+Ch3HgAPT4 uBqHO8rIGz0lV6vOb1ns6YgstffyTh0FfRimGKliJXDfd7UOFFDXoM4WTTg3bX5Q5OGomPSUpkmb RAuwtfE1Qf4kesukpR0XPXVkMgmpkhYuj4BPF6ky2DGAFMcF9OwIqsJ523nnZze/LXsaDahA0E9h qiArWpgiqMrQ+HuV2u/qDc1CU2kNgs+Pt6ZSh4T576AbSqGbZFOJ13THkRHZEvziLur7TnDvinpv vDyq774nwY3mu89uCvPxnqUz7pyy0YZT76rqOzx0A2hEEhR3iFVZ4mqUPe/qnGBA0GgyDwgraPnL 5uVQE6JXIVDJJixK/gi+J8gy8+AQgQwXLgpTrhJkPAlCG7g8eNdd1z++1zCzg0CVhSnKxgkS771d 02nigMTjVGeRsPFemtkD7xA2rk4B0ZK++rsO+QXkH6m/ek6kLi0feiN1tBRXu0METC75NXFnBdUv eQitZ1cch/upICvn+cblQWPlqhmxkX6+dpcrpL2jVLw1ATHXPZqyG06j8s12NFHFSs9cBFOZaoqf WvdZbTPB8hPS7oSHYQazm3E6kJ2D4rXpIiUcNEvgHeupDImJbl9UsbSTZbDsRvry2VFv/MsjCf/l nGlr9vjapCgVJ2fgsSGFF9C0bkFp1TrADdnIMAX7FObiotfXqhyKq06nuVCw7cRxC+x24LLGtkph 2gRAKqT34HEnB6BtNx15tyFYk2oD549MyLoIUIFpYiM0AAPCzY+IzvL8XQuzJEu1PIZJIalzS8OP zNbEYwqFF8kInbOf/xBNtXP3gIVJuZLMvuUH2Hd/yuFZZy5w4T1P+j7JDt+1CaRpBBfyg6WXy36l Eyu/KUJiDL3xpi+aCmM8pWdwzczrgwKm+SSPXVEMhc5jOK5/S3rPzJFyTiqf7QtmTcWbdtwPBhcD WMeiFULIu02eUr2rlTASFkVaCSOJ2Rel4k60QOfIUJT4KSU9kvZsHuCbIumQzu0laQztWhYxBSMf 9lkmPG9TlJ6PXwx9PGITNAgPVtQ0xzQa46mV76Tqmm7Ulh3iQ81omSSk0I5Tb390CO5qwYK3Cfgs k88ioMDm7AbD4Az+2YlT6rfek01CilJ0Wr9svCx80cGFh7oo6R6D1/8vPH2UcLOsJ1ZRio5hCUvj aedWEil2U0rTu8EFlBLfWFHnfEi6h7+3r/YYnpcoqauZ4gFwz9SYGDKwOLegpY2elVUzmVRYfFPk UaLHkJZcXFTOnPNsySzh/Oa8/i+yvoYMTZy3dqMV9s4Lq5iZp6HsylyGsgOYSZJHeB3S9abro/6J cF1kB9rqTeE5F8fpRI+1ttiKBkCfA2ZanGyIf1AlA0YUdtP/aiVsQve1aGdlpD+ylhi6PrIM/uZY I9siU9NjFYquyACDgzJDJCY74EHiHYZIhOijxyrK16do6GPNelfnep6YAHlCUagKoLm6CYXI4QJX bqQUfsBzBMVvihVIoYrMAoeLYRK7sfjRWOD1wVOYv7OsjFbZ6uVJH2LtFeJYpLa9HyF3zK9yrf42 hNmBP4ZR1m5vw2ZTh+Ym2lDQytClEYsRR9ZJKWX/zo5jR2HDhosdWVQP4DdFsBYEqwjAKWNLbiyI 1DAb6OciXh+NRPt3soUO2W4XwamWUbgd+prbBRF3c5XkPDntZpuqpev6liINlrKtApQVEFd7Cvc5 hesE+RXlIJCb8uwmI30CDYLS3LGJ8p5K/7YLmvC2t4X7Cka8vGHc7uD1wTNYfylCTMnu7ydxCHvp m8loTdYihjqiaUKcKucKsVBI3mIVNdNHtjRL5E+ujnc95/vJTJnBn9OkNcq/wWfi3O6CigJAr5ej RxYxvijqVnHcsCUysh1A3U4aN4x6nSevj3BAv4R9IMmFppmFKvTwJE62m8kyHRTo8iwHhdSv5yLl +eRNGSJcuirmNttdnQvTQbJuhAanisqnSnUM9MNBmui+CWmh6Ce/KBIaYf+zkhTDRZ2YXWA3y9J5 TF4fVYXfZzuOg1UuZCqHNp4A/+M92BxbNuQu3IXAS95juOYlOfDxRAIiTekhrSiuChV4Q/tUUUxH AbzcSbgESMFA69KaxCb3R6Bi/oeNJzF41xfWCbIQxOFD31apvD5I3X+5KMD3eYzLacyBkSf2MoGv 8i9RAW3+5+ztuVwUcKxqM+TQyXNVa8MoUlwd+VrWecJxU9CLOrvL8tZKc8/NV+HDsAKeT18UDbMq tf6KlP+Kz4N335PwsJ7q4PXR8OF7U1EqBhQ6D1XUVidRfJySaHl/r7uZJwAqSaE6JyFlIro+Knj+ SCPO8Fa8q20qcDLhqVggQAZBnmbqCIkCSYlSGtc4aDS75UgbgmS0TiRe6xeJB9mJKSNTeOgGdp7p S4qbpFoIkgIX5pEKvYMWHz9vDYPDUL3F11lEalOBXG+PX0gCrab7Jz9Prn5SnYIZv4E21V7VrGQL +laD+BiQn6x71Ue3e46wCQQGgebKxZKFBOhaU7IwNq+PXNC/mddwPu5UJ7VQRarltJ0+oaquWg5D JHv+qtItKmyVfDdVaCBkOm0s4m21DlY7afUpbERwPNuqGnWv4J05IFmT0bBzCFWfds/RUaW2DI8q Lur0AaXFfmY7H8Tr/5xqMQtFijK/zqqQC6pTXZ0Fos9Jz2WT4eTZ25sMOHhUN4emnsb5gHjaXb0W 5FgCoYcfXAMEVuUXOuz895C+u+0+tbBCV08JSI3GVsNobvpyyqkqq+bz1Z3XR8f6xQybfpkwITdY oTwLeUSlrWXwIICnvOFXpllW1jquUWxg7Zn/z0QPSPH01cqbU1aig7wqh1uwIyssbxqNnxP9yTHY NWv0VrbddPwSxJy0DV+UWfXclsDA54Hk9X+5NLMhA1g7Op4eqzBhH6qV86XP7uRyW4D2WkNmdees 58DfE+8WJZ8QYffVm6MLRs2dbrEtlVqouXw2EMf/yHYhsdIvRqg3u+l/uQesQXgsF4N0nCpTdOrV Cq+PrJo9YeAxjtFrWU8WGsLRqygg5n8KabJhklunNCO3aLF5xVihEowtPm0/zfWs/hCemhjOncUI amvKQKBQe+sk7CCo5S/q1bSbjgZdLJvlVMXF9hXMVbv21eb1QXlzXT7ZkKF9J5QxPFqh0afEf5r8 dXFkAY1r0UrlHlkw1bZohU6fq5vUu2bxXO0pPH8+PIeXlKdPET4E/Oybakky3vsedzW76WiSw/Gy jvmeS7X5XsILg4lAHZXXRyqK6Tu/On8l8H03VmHHfQnFuCT1DoTQ3t684tCcWcOs01t9od2nkvU0 kxSTuXopiM0ygKmDNQX0fTZxC6B1wnGB1B0HNObFyWAO7T5NF3/KyWOaE925QyRsojr0yesjdvb6 ChVQAyuPJ1ShYMsUdyZ1hxPXbu2Y0usUUZt6WQa7zoHdJ/Ie1SxZMy2ud1uhp16IXECvYQFdgTch 36sFrjsEMXhXtCa76agW5PxUqlKZbo3yqcJsYgnIvzY/IMrbv22Gzs390OzRgxXCYWgMM3qpFqxS maxv1qFZcSObw2cTgeUnmEai+2+Jsiht+zEOJCRWaIF2HnfQ19ToSzBlz0BH/BTJBG7JJW276QjC wPc8RCu4FG2QjjZ0ErMx606jHsOd5LB4RHMV+EYPVqhsLsmk0ty6CnZQSt1TSXs4JGbdfkzg+/no B9dR7+q9q4Wkeip1L1C0t9QdA6Qx+Q6d+24sOgrk2PiTOI9J0wW8NmAEyy4D0FoE3UEZgx8QzSa+ pUmQNtBo2GMV4mLQRDoFc34As80dh8YXg43CQYpVCIwREHQWAa64XgpbQWqdZBGzYIDBfPS8FE9O KV10aEqod2UNmdD8U4f6WnJRX8O9ZOFOw0S+9dp4fbSvfIxDaAwiD6EzD1WUujdhY+SgQxoC3oyq nZO0y4iNWbl4qKLU3c2+lJVqtTKnVkgJM2uAOgaofXpez/+e+u1kqa09ElNr2i0HqI+GShA9MF/U Ei0Luh6K1Ob1ERj0F3cUp/ocz6aKOu2cKZ4ENF9XtGSkZACHuvs1ztSmRyrstBcpJDSdWlytdoYr zCCjCFqdgMWy077pVbETPSOB/NAEJ1uoQoBMY3sPtRCXzpQSOnEzJQnhJ91oVDv784eoQGh2gPPh oYrSdtDmOZf3UBFuYBCZOS9EhpQi1s6BB6ixvXBai3/F1UJVCc2QjTpkCMHZIuIKiuGzE/3RPbWi Rhu/J3gHUi9wbM66uKghg0pBkOGMAX1gApokh/RolGAigKTleQm+p+3+ElzyFeC2WtOBMrSw0lmF x8ljFdNIu0qbvO9qZ9X5+DHKogQsdEwHFOiQXOE+F2hoBW8FZChEpxW753+h+1crtvi2WmfnUsui n2KE1//VvZLx1/mNV3vaV6EXKLEX57ByfP8oYzZv9A0HFaHRZ+qK+S8z0DRMTmlcudwMwVww5wbV 4SvEATS/wFCOOpvtEpAMt55DN1Ckx3j0dvLFIGqpke1iffbADvS/hc/hh7AIT7VIRSn75htQco7W vboA2o4JspnLcssoUlHKvorQaaM/qyNoT/4Ol7QkkzSQS7dGElAskKnhvvISMrTKNTIgQptsJTgc +aJHcNJ17Yd8pM3r/7QnZJZB2bh0D/bYFLToYN+ufo7emY1vhNvQ+AZ/inL20BW0q2A+F427Osq/ ndqgirB13uQnV1hC+eNVLwRWwVDFRqhdo67QGFSabzBV4YLzU2KdDfuDEJnzMuQH/IU4JoJdGlj7 QRTF7qB8bItogIRpj3n9wpMPUWka6uGKqaXu2fSs3r9ayNDpPdD6OboK/a+gQEXdXPhhZjqmmQKV xvOBQejJRJc6o3TZGRt+tXwOIWSt9PcUuZkfEDQa7hSHJxaqy/51YoVZ++QUNV3ue8nbNQIadwdV XgrU5SxYYcPdyIBJprtc7XRH1wfGO1QNakRz8desEhVkXY5phctQLb/pqDVKCslit2EC2saqBONZ UsepATf5AX8KBSAXhWDMvNYfOTAKBSGcTkR0NafJFUD51hkdhMmxg7X3xTKETqHmcjUsWOMrWNBd zECukG4D3z1p4hS+iTNUMugfYzMv0p35TdG7cKp4Lr6o0VClWIlsFJ3RwCxUqjpfKVZCsf3ARkO3 UECfCZufjuuT5xW7fb0M618tkSeTPipwTtPBPqsoSlydS3J+t3OEDKlXn+pwkLC8JZCTcEjlegXR C39aflM8H4QtUZbAGTVruLHWNH+ZkbixQmD7g0WmtAJarBBc8miFPfcif8cr6TmWS3rCQcBRkKPX e2bFXFNVLkWqeVxtZ51zfTY6GUIT/byraXsMCR1IgVX0jyqjZS2sveymg8dQsl3QjrTFwGprJJIA 0DHj9X/K5mEbwhKqfsUq7LlTsnJVdhdYR8s3DTsLAEMBkksBccZiFSbvNnbfSsw1d1SsltrK7Iy2 k6wTykehs0R4K+rkL//nrZ576BvaGaS+6l3Ucz/5aCfLqJ2amtcHGWnxQkfwq4Rcqz7ne9h0l3Fo dlwfZEf2RUFSJJ2+6zhQ7MiKs3d1WpL0FoqP7zoy3vMJfVKf6iSqu1YZglS6mJxTjAgZ51KWLFxf YB0KrBklvyvhtQOPe9VoFHoyrKAhhsYPCHKH8UtBDxKvXz5hObQPZXp0fuvuM9Uhz1C5Fk13LcI8 xrLSwD8UWQhnqpV1jK06tGop8HdG7QU96wrHc3EJz388pzHRxOs6hZVltxwc79SCQR6oRe2+83DP tlVBE9IQ+IeafecXBrKDCHbbMqF/6CwMTy3Zu31KstDtm+SJqIJe1dEfoYHoMnwMTRxt9fz9VDMQ 9FiCFIG0qb0HqNdMDC24faoKh3KswEAUGSXJXThZsSR3+DjbatK5C+OJzQ8IXoWXHq/cDLSlJ8cK PUSrun060QVqSMsr6NJsUl8y70ixivN3E6r6/2mVsK05dXPu4nc1TiBY66DTXZCoAgJkQLVU7JYj +IckB5NCBXE5HujlnHmTIm9o7/IDghnhDdW0s/08Ss+2inJ3ZIKAx8zpBXS1zPQU0DObBvgpoLv3 sGIfUbIB0OHcd7UCesGPeGTCr9LJgE92qnIIUOUOWa8+LgCSeH5+TzzzWtiRwxeDX8HbTo0ZwK9C H9GHC8eh/qC69S0KAyNRnC+YN5X8ZAwju+7gas2l4ma6kIbYSVQu46fq3nd1KgCaC4SbAoR8XvEg 3nDmheYmsVh7PzpDtdo9x3KfZ5NPZln6b6vbhy/hPoH5Yw69ROeVhWFU4Epbrt5nDr1Eqcnds/zU ECqSj5W2J0p0MVQFsE+9AwMzUd9Uqee+72qh2udMT0hJqdM9tox+kUuyxkEiXMZ8oDLLbzqge1Ua h4nfTAGaJbunk4gk6VGt3PgBgXn9dRqnED0AkMj3PVhh3q7GTDUyHImE7uhQqHsrR9EH/RFYihpb BagPCVty9WCdzOoc53QI6adYZZ0AwTQI4sB6Bg5iCJTp7c5kNx0JzMvJaDUu531BRHvHpq0CoKHX ENqKPm1k3F+m+we4ezdaoWBj0yFljiAgxxXL26FiZYScWlZy/EdgLYqjT8Mu+Wlr9enEgmZ35zhV Mp0iZJYC1haSBVRkHqvttxzNBwk+lsPH9rQEh0aVfu3JHRavD6YTxXMr9pFRDO95DaI/L6nVPN/5 f1NQMbnRUYA39+RIGQGMKDrEdxIi9ZJYnQ9Kt91X5rqrvQIHJGIy2mWoBjOEUeVZgN0/0bzaZIKL EUd36Je0ircrRcsFtRBbFKV5kqotn9qFq/+/GPEe6T70M6ymbzjbF+fNfOtGERrS8ujTps17mOv4 iVCfytrPH8eBMPdSEKEu4s1cStS53goQnObCkcTZL+fXkLzequptni1IUyzZX8DdBl/zFiLwfEi7 qVL7qiZpdE49YP818Vq4+i1EyDC6hYjHNmb6wFwqRC+ZFC9iU3xc+AuADNVE4/KeQ4A1CHhXO5xe 8ihtIk2WUcfd1bHZoLruTlWY88o4P7f1TCFOiexdm0gp5+TQ9CWL4u0WQULPEeeLGsa7jZpFv9nt 85JCKb7bN5EG+BP+uNsi9JJAaRM1IauKNz+nU92gvp+nNT/BhVSEXtInfpCkLPP589tdHRMKf8m+ aK124gPcqbJyTik3rNJAubIIAZT5eUme+C1ZoclsuJxzYMoJpYKzVtkBON+TPy+5k/7a3jxE29LM pkYeYvSSOukqYguzoFVsEM/t0/fZt88eevYq7yVx0i+UjYgk0BBXe9ASpn8TZzGki+CsQqv6NUAK yxQ4PMfCvITTrRsODqMsBiWpNs1FamEVMdu+o/eXrElX12RBGhcH2kmwoeVdECTqkfTFP4lBWrIv UpCcCAE9ATfwDYI0LatsrdzVsoAJm8GBH79DpfOcqFW4RsIq+MDQ3ddGpEmOq0GQFlvnMOH2xVCN bc4lN+hVPi/ZkvaEMWvsxEai2ilThRi95Eo65jGYGXABu29/H8yUNv1hq4TSyhUkilEzleZd7+pl 3dnQYwz27M43IefkYHQiF9tEm3d6qelpS7rf4Gmj20MtdFqthNiS8gGSy2bhAjjY5yVL0nE229eB hBc6Jd8Uo5cMSUd2ZaOASBwNr6pnSCARusHM6D6NecmPdGSLAz+mdc6nMx8yUE6wd98iLCewc/hW O88glTaXOMY39SYX/iU/0m8h4W+McWwR4rNAm5yNyvNUf16yI7vLe2jLTewkHmXazvq8tDT1sGnC V+/IapBMIjkhAWar2l+uCxec2mbuAbGxuzowFn3kNsi97edRGNC6wV4j0rQCGAtZPQcRs1v/eWln 6s9kfyCjUuQy2ZZs6ZRanRE76V35vDQzlaCYKJxtpAkkApweGaOXTqZtXr7O+Cyzi1JbdZGcTNNd 4RB6MWbWSx9T3y6xSvpz++oQz1PeJiJPzkaCvrB0UNfGPgd4l+ZR6ULxaHv10sXUb7EF4CcIYRDe /sNJBMV3+HSv/HlpYdqrzWNEhUE+rKkOf9zeuH56b9ORr7SerDGnsTbD1PqwFmYtrE5Fi4zitMwU bPa73l5TPcfjapQYhFMGJXcSuHl84GBtgl6K67+o1n3PtQVNwdufpxMXdXsBQ5b2BBTh3ph+2ovW wdRugv7MWETCMk5Rtk1b+T6hhmicSB9VQUR+2FC9JBoLiWkUxUl9cahm3NUyJcw8Md0kI4SHtqbY VVC0AahGJVajSqd56JaD47twOgXcuS16wxUKyrB5tNfnjeen4/vmkwgw9bR38nzyjeand5ymLRSn UUK5zCuMBtDuyrdJAhGqLIjTli4AmC93daTUeazrqFSzLvAwNMHUhPp6NmLR03XlA8iEd/weJlD/ IRK7ly9Ktc9+nUnuO5uXB+lS90zADAwGHDb8dHrj+NkRrmz7SnuiUePouzGqHeGruAbqG8VP929Q 4Tn2XX2O3iBcLk0ACGFVcM+w5WAovoDEIw7WlT1T1R0H9RspfnA+9MXqN+AaOE8vwL6Guff4PsQ7 +0v5huk990ZtStnv0i2thDJt8ZQJla0QUiVfhvt78n3CJEU4TPzvaiXcPLl7K5irwXwH3uHbZGXh MCGxYjKRNWGZ6lr+83RKKJSxDBtGgYQzRUtGuvF5o/fZe6t/xSlnwTnvOR7l35x0gEKfH4iwa+oO KTA1vu6yd5beE3BM4jVfabXd1c6nfd51aSAB6JRaL1lqqbAfg0X1iTCaonaMs8H8eSP36cFJciXg tuLCd9SapNfqpCqfN24fL2+3IcDNk4GPXYDhWqDCJFxCXty/AhqM4ePgNrOrWVPuToGKsvA+BLWb JuQ1HyGvkzmvTLtCygBMOHcgUGAPIk08UYPemjkaZ2kmRGl4owMPeui+2MQgD46v8b7bnzdin9XM ++e7L3COuVm9nHtj9VnRa6brzfJwJpOGMBiXqQabCgtTlIgbwGC1+qwODj7JTttqVKINKw97dOUK /EJRCiC9dgmA2XXH72GSFs7eBJzv7bxa8A57k+Z35+VBkrm87JXVA7KLTWw+4/SeimM7VQlY+3ba qTpu5QTHcCtIn5x3FeXiUg3KlTwHWx3BCe+MiqYwWAx0VTflCXTEBbiD9bd7rnfd8XucMEg+JxOc f23hdgLnSnGq55XxeWPz6Q/O+TvRpB01wL4WpygdpwD1SKtKvxq8c0dhlKz5HVAYA2Wb9lOYj+sg r1JX0uoHObRKdpvyNIZbUbH5SyH7GM8pQF7C5U8JUUX5+OSI/CTdd9H51CHiK/gmIBhRQu68GKVP KFebPB7ZsIzS8TqlaZbcHmysO04xDXkSRCGVpo5lmI6bk5Ox+le77IV00u9JNR08duffjyGix8TN bRh+Zc5+rddU7I7f33dI06C4AZF8LUqf0I/j5Bdv588bhc+yivS1nSC/A2qAhynKxrMXwPueTtnf doUcTWE2kVsrTO/ZOLI/ZU/mCsLVsie4ReKNiuxpYkg+rd1EnU3g55GIr3s8sXP5RuD7mhCk8zPC eAHIdVHBgdmDrdYPXS5m+7xR+OyN5wl5ZT/l7Es0Ii1UUQ8cfSUcUNsTzdVn9sSAwCIvXKzD+0bg 019gHMesjopyVyuET9mTGqSAULjAEXMrVOhlZFBFeao8IHO75TiFAghY4NYC9TMpTqGzRSzA+ZvX 542+Z6H+TjWBJSZr0QIVZuSCtg7rpKAovtrobbkrQYUaqwUqysiTOB5zmIb82PeN18D0bIOpJmoY 6hBjkAlVvPP0wGWi0+mxP1oub9w9PQYJSSbA/b5YZjDbahr/zvp5o+7dE87ihOvgSUjjNwtUlJMD mYUS76pOzZK9xBsOowasdVir9424Z40dbxk865344v3Z2DIAv5xuu5g8gdyz+B/QUbRWrwyw3nh7 tiHUnJOubqHOMPW5gCknOqVgsBKl5MPsQPTg4Yzi+97CFHfEmwQFv8wbrGMAU/TtMPzqTbo3zp4d 5WLMdmlpcPUz6mQbOzcOxqFqtmCfASEzCi91MqEwUrln+dItBymUEJqF7inSbuB77uzTLS+sPdfn jbJn+z59l3hIMmf1XuYbY0+7sJAJvapPDiigZvh70m/UzCS/gHF6T8iRY6ijss1fdT/+qmdbnxR8 TSLKUVTTNhwYKM6roLhR5JWdJEyydMtBO5MH1OzED3BRB2qfwy8LlrP2542wZ0dy/YoTzvAJbS6L U5iRy2C11+6lMLs4Op92uWQhQDiZab7R9Ww/q8KrY9/VZ3VAN6Ukgn/K6G0yljR7XJz+ohflFV4Z uuMgTBqKJyoRg+D/Mwwejak0vhMslc8bW896br/GdehG9pq9wntj69mDx5nmbHemSfqbmYEU9zFM 1C7ghnpPydW2ZgksQzWuFiiCd8AVonkDdPGTUYUozooe+aJ4SxEorOmOg1RTrg1DvPXhWs1wPmA3 H7w93mawn6ZXeIVlToH6sHc034h6ChO5l7nl2zFQm4DmMn1sD1N2AvYbT0/PnTLxNgiR1OrY1bQL UZmoXOY6qXM3P4wO0Xsoec9HO5A43zeWnj11YhRLh+Rios+bMxGrBUz0+LyR9JSojl+neIduWfP6 7o2ip6RLuhpyTEGmadAKcwJJdoqX7Oahbww9O8Wtvhvzrla3lF2xcRoVFtt592359XaM6gZmMDs/ +m7TbjgAEUjdFDBBWwQigHWNhH3OW+Dzxs+zMnh+n+GQ/5/D8/E3ep5lEqzuerWu73m0tp3hJzdw qf1CFQXupTd2nn0/mykwRrmr7aXZoYN3Dp1zCpx/hq6JGi/oHfVKpCETTZGCst1xFCbuoikvw+kw zFpwYLFsaZOXB49c2l/VHeQqulyN+aVRLs60ZaS6XPMAz4rPpIRcRZjmvGEKASmEEqDcane1k2ni h+gAxkFE/rxDTaPkJPuZA1v6TPgJ3oZuOCrukDhVAHpt0WbCC4eNcuRenzdO3n8f4GwiQkC3Pz26 N0qe4rRliXnF9qdPDmAcRJa6cPVoYgIB/cbIs+3EbVQ1INDqzQJIrp3qSD4geB00gTTB85ylN03R DP5FgMDnjY9nqIUlYMoQMOUEV6Y755QVbWqexPPzRsfT73mHLQxKJSYt39MpSsU3uYujmoMMGizl wuorX4CF1nzuK/PGxtNPRbIUzaTvqkBhSnv+IPQPOlLmWemgcEKGWcf5SfkQXsdeHMufNyqeTcuT qHjdFz/DB/FYcLUtnzcinjKXXb/fdMAZ9+El8BsPz14crHtTu4B6uROgYFmVAQOgHp5SFqWoN560 jTItpW217YTMq6HHf70bJN1SMV8ag14k5XETZ8HyRsLTG5XsfSDqfRGoAPwPOfaeR/zzxsH7rx6d ktGTza10N1OUiOOwP7+fcJadCaZ162oZuTi3E6xle9WFnXGOggEl3Hf1ViZmCEtAJ8hOJnCT0XvK pGpgSxUIrzq1k5DCNwLeV4+OFY4t1nzaS3BxfMXnjX53M4qvlx2GYniNWJyiRLwOGdKP4c2nUi7k eewLpc9sPp1E/I17913Y7W2iEIv2I1PmYCckVWIsbHwksToncA1wy3Q/8f4Ant+YdzYCEOayc4IA oJx4ioAIJTFZzhPxeWPezQs11WOHoECiYgE+Y4GKEnFoDcMe5zobJ2pjiog+r31hKdsQqm/EO0Mp aEMVV351OaQCvT64rsg4dOP/acbZFsVeAUyviVYNgvHiif288e6s9UQiC/DzEJY7v0cTFqxQZxut gpl5fVQC+5NH9id0Jdq8T16UihPzNgiPMdDT2NmGLXPU5T06nFUKVNQcnzJ02k0QS65WAoNrkKAA QRBGP/XLFOGAxphQwUerwK0HTN3gjXOn35bS1FRhsEX50zz7VFXe+YzPG+NO93lLYFZ25aQE+7Z9 3/h2lheo/jRlO4BV2DXYhNkYSaOhfJlS0n+j29mTpw1VlUdx9aFUPa8CQvRBPi+ZrpJUmkQnnTKw Fb6pdkINWjS88e10WJBqsM0/FIOSqT7KHrRZOu/UNj9vdDt7cr9bmWCy/eyUvafyRrfTNqxsOCED yHzjbZLB/4NnAfiJ849gnreF4gsb6o1td1/Ygs3Pu3ovc5y8F5rLOKIIwG1GpiZNFqJAMB733tOi uNYb22467hPvOlLIzuJCdfibmf/AX+vzxrWznsY3qoeCuPNWd29UO22oKRPMIeA8KjrcO60ZWBnL baBCRK0Q2hs1x1szIUANerlaK/McrRPlSSXNoJ0X3jAnWlSC53E7b7f99J4obfl5o9rZXMmc+bqW ZnE6X8De63mN5fp5I9rZAeWFC9MlWv7KS42Bes/IkWjSVXVlwefPUU55ckrb9WHU6qailu+8N57d rydPzUyttqEApt6zsjl+/rATMRkNdFqUFRz6pc8r+zDIyHgj2ulQrWo75eaLwZ9Sph7KOdlL/bzx 7HR5+67wUDesfXtPbzQ7a3mR41J82gIVby+E8W5yvMpwRZ83lp3FSRWLpZr1STXhmz0XfWIhwQgG iBqfrUI9hbiNjQ2gQlhdlTeS3XTSAWzXR/NFJd7Zpa3IFKW1zxvHzkYQ5Ssjz3TQQkfX4hQ1x81i QBY7VMkQrmeqYXDhKign+MZ7o9jZhmbhUprixPWm5JA13HIOPfX8rrKJRjMZuJ76s9PNyJFN8Wv+ yc2Avqstzs0QeQJg2PF5Y9c9MIGv1ji8AYv3C97IdbpKDoYoQ41cNz0vyBhNWqaJTNqiFGbk3qLr +a4WpdVhqDNII08wVsmm/4qX3AD2FiROz8e1maLGOPAbgLeQHcRF5R36kcxtydh849X918yc4lCw 4oRRtUUpyscpEooouQcD6DjOYWnNoXSNTDk+c2E+Png2necp39WjBJUWNI1Bwp8o9qo079i1A5YB HCGHiOHF/Hmj1Fl5RvIUKDO2aC9hCiXI+LnXzxuj7vl7b+6EAfp4WnQtTMapTgJbT28VpDSsp7Kr 678XSN2rp9JC0HjVk5Z7u6sl46BoniB2GlWUjb5BElAFOHKQB6XtIPovpo74mgBvuKnGNnh+cxH+ 4pQsVfJZJ+y4PMgwL7LeslHi2D1KYSaO+x18I5r1Ag18NNt8zu9MBD73UtQUT4Q74VGrd3V0GDLU ze5FA60ZybnOJThEsGWFd9uFZW7dcfCa2+g8NbRgbNEjd7apXq1n1w5cHoAKyp3Z8QEDs+iULJ5h 9igV71l1iomPgoJwBdlau3YCcpYl3+c9FQeAlg8dLCHvah1fvAkKHVVgvHBO8ybCy4TjUcdMHvBt q+xYMuFrohmwZNhW98W2U0ucCUGxk5dHaOj59Z4b52Uxym0V9CgPJ9tppF6u6UIyYsv5gYQpoOlC dafeHuFUxrKDe/a7Wn+uow01B8GGEPXLPmOBpH9DyUEHQG8V4KnH9wT1Cl1VRyfmcLgIAhTk657m +zVxeUQe+waNQ4mqtZtd9jANl+LF6E72XbR3kI9V2sWzAcjE8D3Xo774KOb73PZdHVxfT0bZ1Vlt wB5nlSsNrmi5oDFQcKh7o0DssSgNl91C65Sta8AEVNnhnGOrsrsCUyBcH+Ce1m09VWF/UBpC0Nxi FTI2RSKrZl6FZ7CZ0Ps5cLMBDqFJW5SJ9ygTn4a6sPc9V8swT34/4SS+Je4H1p2J+zUqZGXw7ZPD LyDHqVuOauDC8QGBh5XELPUKGqXP2JDgff5PxFa0xGZ1OE+PWJtS4+xtuuBFH5RPpiB+Hk4kaziX FacYpaITfEmaJ68rzXMetHNqFx7bdJkpTcjxBFudTX+s8RQsiy+8HvXGl5RBCgll5LpJRAVgHr62 z5+8J64P3njje3Q34Lm+qzfHe5iJD041d+4eJzYTGac+7ExvbGSrp9JD5qaOJphc3tWbdB1aFkWe 60ilqoR5SMRSnCQkpiOK0gD9PRWHUw9LX4lxAz4s9/TaiJHFN57CbuP66NG7cdKGOvXmXPeNF7bH aba3O62EsLcSemnsqWQyILIwh4nGxjjL4/a4dG1rGnc1PM95K9BbCr0CIKXnyOZrDB6IU4BvCkVZ bXxR9ODpMK9ilqEnKNu4eW44k4F/UtmCD/iL3EKgOHjaM+/72nvPyA1jD/niSSsFoKCybC4rHhb8 W3qpLiSDPM+jjHwb1nB9rRaqc+fIoujh2CH9tKRNUCsbv9BMKhQnMJcTv+X4vQc49LoL0yioHzSi 0trJrnB51C34BWMVHcLrux6Bx2Xa0as1mjI5R9Z+onwRjShOIo2/hVsqQqoIXAC5OWvTSeFSPnsL CgiTRM5T+J1HjyD7mogbLzh3gU+56PETLnxRlEYRqtL3XdRVqXAy4t5qi5dH0EyPU2K7ADROFOgW qDAt58iiS8qdfTooBsojW76IdFbIUGLThooa5EupNtnpvnqDHMJ35y8h+w5mMI3IyE3iIgyOWQVv 72cOMl57hFbp9C9e9B/WohEeamzNhmeZuDwimN9DiukB2LezXz7CiPJypHd47V3eRu+XP43c2mYu jdr+2FIjapFPCcyUJbx9cTMbZJjnzGxpqLFCQWMJYjW2Ezl4Scv5dyXxOB9RYq7h1DK/qeYexgXq uQTOYjSBy4PEvH9nBw0vBbQRLExRYo7B2hWhIx2hjmH9p7RN3gHiKdlGUyNukJv5RG53vTTFAcVk HuZguoCnQ0MFzDrFEUZ27hprKBLwPREZH2+93aTDg1Y78UMVIEmJ/MNjFNf/NTpndsCPufIgI0aP s4Chsgvj1GUSMCnDZfjDk77j71CcogZ51qRlDJnHjSFRZ5R55y12MnP8dOit7EoMHTXYzs8DlQdM 8K6bAuAj+JoAwkqCFWjBWpLlmgD/atJ5/geXR/OW7yhBUqo9JKAR4lXYpyNb16B0rTsdoffqXiY7 uwzdiHJyqRXhZtVb0T0jSoWNORR+JL3CHkIjGQnT4QL0XTwxQFcIXxONOaniXmnXmN0vBRXR4hkB J+yNy6PDqXxXeZL3veoXUUZOaAky8uJHE4GnjFKq28fB0N2wvRRl5FOdp0wSsa2ekcOukZNU6l/U WWXGTg+YSZAY8AtGDZ5k3o2oNy6x+4TuGhbsja1+5mCGj0ZAybg+2k33EOd/uWc3MWGgopScj0if ZEGaFvJ0gAE0Le2hyyhuFagoJV/mMrG/Vi/xoFYLGBQBBuf8mdvsd7F1oD0PdI4z8qlcMELcOGWv xpY51XZzqgEhd2sajInLo9ndN0ORhTBSa4tSiBunVC1GtQZXyWvb0VREPaKWGlNPRSnCjVfhVvPW aCrvKxEGlTPAVIivBwtvJsmJQTgEVUMHUuyixtFrxtdEkzt06vqiH0Bf7O+SGHwqYf4uLINHmIv/ QmZClAV6dR6lqDneJLw6h+vzjZpdAotVvYFVwApUlKJUXJPgXCxapfJnzsSvnhSzn3cd/oRaCAfY lg/MH7o4AvjpUcLfga8J8qbFfqaQPW1erEpByj9NrL3j+v9pbreRCqybD4SZ+CKhLBlqlZMWD1Oi 6J0mLd2F+UaciWt6YhBerl6x9FNMpExw/TgVGPUdkYmzJEqAeleREPTQMcEcYSZOVfuT6OOhK2pm sd+b0N1aYvTMhQ8I+gW/enWQsyB0wyIVp+IUwqDUrw6n7f0nycMZfxpCxOyrjLBDXgyXqqYuV4sU dJvRHCKfDAhyvhehaa/S+fx/FADA3S1Bx3jYIqdf16kQTX8cTw/fcRUoGPLJdi64PmqseNFCBA/6 UZR0VqRm2CJvQmSabuE5oCTNA7QKdap0jG8dUCdSM9QwpGVerlQmt9VSceRRu2M83xtIeFIFxlhd UkLIhp7pHZnBM8SqVBR1RfZ5xc0rKq2hl8wrS8XlQZju247UDPT5xsPlnFEqXrsUjECRUG03prkx gkmobgvgdJj0I3maIZdzq/n7JokJ5YipR++kO7OsLsoruzq5a2x/UawULsUXRUUwlVVQKttigVqU jkSgesHlfx1R5N2RhQAAsAUqysUTRf/zHj4NXtln5tBAM0o+BQlNGitkctrssux1V08LBiwjOvOn ns4Tgh4Nzijk3acITCcivVxyMC2Z8EUx1xwSJKX5klT5QqFU+PFVcflfen1ESp08a0O3weIUZeN0 Q8f4vznxLs9LKKPfvRp1gMQqTnGH3AgGhmPdD44Vu2tRMp2TTvCBWAJDdhpNtf6z15dr7NINB1Fq 5RtLR3AfQpMqDEp+SOmZuDxSD/Wp+WSnAHJtvd3jKUrHqUAzaumunT2lRStFo1Qc7gtIh8IU6hqa Uo+eO62OEau7r4GO6/n1txnL4b+CkADZ/FPyw0aYw+44UgzhKHi16YtaKpjC8ytPilZxeTDqvI9d 0dn5M/tFF8y4P07w+B7OyF98ZTAzGLsWe+qgn2CneIRUcYsu1w3pl9pydutGb58gViDqE2dSGaTs CjkheJqlm0DR0XNGyTiG4+dUyvsuIifCOIANtjbHwOV/dehwqsEdcNVLRJgRUgXwEWiKe5+gY+jp SJU0XC5koommKEXNcWO2pGkTvHkneAnaZKjoJLUKr7fMjVdpzwWKCIQQHWFP6vSMknEYFEFktTct Wcn4+ZAxmRL0MniXUWX3jRxnFfVgMGZI4bTmXHLkeGdfUM25WR053tCr1iMXqhtKnOclSvkUERlj CCLsOzIpocOoxj0zxYW/BghbNxygnhbtAyWtMobbWRcUEeLkn5MCl0fgsJs4MTFF+VvuXoqQKkSz jVSyn9+7de8TbPcVBF2jGxF/Rrn4EG8zDTOGHU/5CyO0U9DNbtiwAQkGnl3kmUOAwwD2orUQYD+j XBzqE3NvhWtTAU+6tOdtSo1PPEQV10fAp18aPVDvBPLXAhUyODmRApDHAyV0D8CG59XpVotAVVqg Qk0VwcO6JB60PjQpIJMaMRgnT67acicFh945UUV13Ekwfx58z79AGAC8+GLIpwG7Sx5Tu+PyCNPj bzqeY5C5BShUYVpRHg7PA2R42XktmaWr8qZsnqc4m/xFtyKoClGbPLnbXf0ET1DHXpoED/iIS3O9 ymj47KSGKZukQiRX8P8Y+7IkWXLd2P9cS9ozzoP2v7BHdwcY2bLAKUlmYt+rjsosFIPE4MMKO+KJ TrDCGjbHGtZ07pgq69xzLePxgMI5f88m4EKoZW5RCjviEl4tN7sUfZ5R6qizfF4+LbtcIVTF3jYj S3H1Ecs826ehJ4E0/ByxSfByQK6+lJ8Bl9mBT6g58DFRc46HUiIJH8fFd9gRDpNLCj6d6xnPRzC6 334KzakeQboVJeFUs4Iji79zq2TPms4OuFSN4uaKK4KqGEkKHoO2cnSId+5kxR1GbtpMq3DKSX3W s5WhT/xd5XHROHuq4WOi3hzisxeNFbkI99SzFDwI0MHjf+mKCld//nLzDoBXlIL3OmVs6mpPRQ25 ybmOEcygdOzA1RXCxbtRyVK7q49X8HjWYBMZUzVbFrAJUaQXtJ5uOkAU3Yob4pRQWU0QFagdset0 qvemmfmpIgqej06m9JNbwrL+OwE1sjjF0uKJBe92x3iQ8A1Wn+lbR54GtECVNq2YvynM18z9rvel wy4t3E0N+QHNVKmyR9ctHKrrMc/YxBSsCKXSOQBOZWg/dRwKghm2IREP6BjViZ/wZ1fcYb70nbdY RYl4YiKeSl2WYqaSXbhvye8bjafcqyXiK9ZTUYsEMEVfrfFUCvI+8APw3y4Ms2l+vOnoXKEWVdZ1 yy3EpuGD/iWA0QExskXlLwCkAhWczBOP/wlUIaoFHCKcehaoKBeXD+Eoo9jLlwZVRhd4S2XYzA57 zTp0K8zFi6Rqk9lIpWsqfH7QgrcTTMnOxXbi0yTp2yS+Al+igu9v6IusGy8WVMmaHNgcwRBiZ3ul RjGJfmo/PP6XaMHUS3vKpZs+rSgbp6AzkOnN+3N7OpKuEWJMNllt3TdUzOOUxpqRf9ZD/oFuHzbM NJLUmCySwSajzj0VkHCgOkoFWxEf9E8Qa6XgUwIckkn4OEe4BNkHOnQr7I0/SDrpRtYvdTQsUFFC Tn8bYH1dKWTl4fBM5Jx+5+HnSW48bI5Lo3aO3e5qG+rsqCJLO1lzAlcuA0X8tALdyproc9cZKPzV 8EEBeJxThDTIR+CS1FKh+A+Pq8HvGUnXp99A4U/6pa6gRSpsjm+26Oq8rae+TWwtLconSBqLjHRG KlY5VKSsSc7VthRE/QHLxBkFMeeyh7ZUSqYEDP7PumkUVaJXlJJLKGQVsqVWMckk+CCc2pCv00n0 8Xi0pf4zMMdYJJXbo9tRTg6NG0Dlkstpw3bw63K1zTXESMNkgrCj3jjgFTibslQuuHqgAJsc8Ac7 W4pdAiGfsAu+GJl813aTSTM+31FO3gT0zVPWGqD5s6Q91VVJwmZOPh706C6zhRgp9J4Aw7Iovefk MIxguVKK4wqGEDws8MjO4ou3CRaihn3s8SNx6PGzWpSAokP10+mGgI6T+K4A21ECo1J14155dEPY IX6cs5Y1KfDARa3xnsaPOPt+T8rx1/ztZZ5rA4olN04xjZN6fak6664TXaIDak6PkyQZGKcQPy5Y 2F5CDXD1vkpZ7O8mplGz9SWsL4ivKB0QrHXDRKdjfE4QJvWdQOa3RQAMWCp0m3duPB4Jq/hBTrYb WJf9QWDskMW5WLzczjhsry1OaY/kTjY7Z2Mn7hCnYl06Ab+0XhbnOZqoqweR6FPYg+RH1FOFcgGS E/wd/BxHyYTPCahSjRce2Mu2KIM6yeAwzSdspwiogrf2p3oBdGhcEucOoePJoONXuW9egUNakJvh z3JVlR2TOCUgRnlyW30idQ4n0AdYCkOzFP1LkoBAvkFjukG3wtp0KJnwMTGc5+RNi9OWgWky+zcn P0jLyAgnycDzETbMizzm72ggzHmbvjtUOOwSU+Ee0j+ma4zEa0eNOpCEFKcYp6I4rbbuanGCKi10 vROrvJOsJQ04K8icEypPkyZtEi3o9oUjYyRyzBPBb/ORsIerahVOLC08/pfoE8HQGROMixvfEU4F SEgUwOwNYCJ8PsPMWsporrNWa3P1mR3qG8phY8/2rN7OPKE/kSLAvnRIA0r2l1Pcs+WBQKKZZFOJ 1/SNo64v+0+d6DAu6vrC/6ELK7D4eFTf3eSJvCq4M+crfbxDpAr6IOdVm0ZQxD+6qQ2Mo+ytgxKv CIo7RKqIxol37K7WzexQW1/gomJcXlMxYHnHxd437VvadZAipQ2fEySZhcZImfNyLopTOXnLVse7 DTz+V5+OWRIKlv3kBGF3PNmM3JyUTxnXXRur0M/JnJSbOSnvMBmX1FpOhqJLD4oO+jnngNVLtyH0 IL5GgzUVyr0v3IIdp7KnvnAk+cThr42Cp7uRnZegdcnX1zrweNBZSb8JJj52l32jFCXijfzyudxv GqbvfjT1K5ZZmBzrpYtQKlMic+Ab3NV7vhvkgEzV49Ir4DxThA7AMeBlvukiJRQ0K+Ad6qnoaKqa AVfXU1lg1FqrYA48HlkktZ+jCTR4jpItTAIGvX7sVua0HaNSpPzIGnhn/bfAB9JuC/L1KZRUEeuw p7nuqhTznMp4l+CgiUsVdm1Tqsfot8lI93wCLugl/59tXzqwbmOs6F9yFjq+LQ0EzqXNWTsItGnz R0QIVpcLoUwU3QsTUKMesFDpsJLaQgoPKRuUuBUTYVB8l4oh51fbHrDYCEgIMdPn4+pIsQLEU5Yx whiT+iBAYWCbZGobll4u9ZWjcH5SpC+KqoW4eFs0a4G8q5LyNTOfj6Qzf803M3LgXca8wXrPy90c ofTpLbtzZy5r2e3q/puZsyoLVggfl+Xd2GqxcPUCBoi3c3TS5YZm1jzG4S5VQXBBsEp69OzZOcAn /VM3ZLHgm7ObIcRJdoD6ELMTjrcpSs7pIvvg6qgHW5+dFfbMcWOfarUOH1M1GphjANNYIUj6f/uY KqfQjLMKWVfUDObqwToFHSyPESxk1EVOYfQxY4MTtX/qt9qTR0KK8vPO6UJHg9EWHVu107SGhNjB 56O+uadUeIFJlnk4sISEBd1u9lny6t66E6oV0Dr5hJAEm1Z2140UNc4lWpsWZ4u2ekvqpLGNvo5n Y4FGhd2LtxCOShkj1pNnN1fMKmyK8ZOCSQwvwgnXIlsUoI1CQpnSyHw+KGaeoo9vYWN/7FZ92pvv GSjTqUXkOLKHsqgGhVyFvTGeWecSaEbxzClsnStKe+1xV0dnnD/cJEMfQmzgcKgjTO/anEElhUC2 aWTAFM6+dFAhM7mCqJ8v2ln4QeyFnRdFz0eGwfPnLYTS3u7tuRBDyRWSFPIkqlx8heqKUKvyfM+6 aJrHKkrXbVC+unzNufqYAf2u1jkHhSZU2VK3r2RWlEWrTSSOOt8pXsRPitVH5ymOd/LF8YgzyQa+ 1szn/xo0aGehvdzLc2SFyis83zs9Mwy6mcszvhp2GTbsfHsNo5xdrlNvPhynNG44AGlXMjvYQWto JjO4mYFeybdWLqU2+9IRKZbE6EkfaugnqTdwdnLepGmcv1Pj89FE9IrUUNe1YmelfIMVJe6LOvc7 u5VZh82R4+3ovMMmVduekuYUKbBUs8RbyiC42lsIx+DzTisnPRs2NTWQM2pAKjPBM8hxiTKeSv+y CgInLxdfuK9AaaORD77u4PPBO3ithPlv2wtRnsQh7KNPdqeaoRCNS6zGZwHoUjVz6q6bkVPUSLeO 3tS8RatjXU/YW688sTqkgPHm0GMCSqAFzeJCqJT4efc7B60qooGJTbdF6MSx6faCezVPPv8XBohc IZifADJioQoNPKc0ouSySPuEfo0puS/dcLG44WLg4AnunNXLq97VSp2T00ARshPuespias2hIIRr 6WDvfd98FOgq+87RK0jwHZTvbFEf5uyx3SVvOyafjxQh/uMsDC/Bcud9OfTwbHwDZ7oe1bJVl22u Bn50mqCtskIVYVxk8XLOuFrvqlBVQH5OKVCILDtVDzr13HnobHRKSq9HzJ0oxRx7eJL1OQCZsEUt hnO7lqXMvVQ+HykB/4eBBtvnARa/hyrM3AttPFfzZsxq1bsMk7pCVIvKqoO6flQEVTR2VZt3vVDF WSC9TSnnc24tI+u1SUojefwPvYr+NvygfxGJYTKgZRqYLgGYpYO9Dj7/lxUVowIo1xrProqa6vST BTKn3P7eWN7fG8k0uCuFMm1XhXm7WJ8AJN7VdhXcr4FfJEf2pFYrZ51nYIhSe37f4Wgeo9lXjt6/ LgraXQTD44s+lWZVPv8nMIFXNAiDF3CeQzPPJV6jXjpuqlqbN2WIYLVNla6HbsgAFbKzFKlscfWu DHqWKRdpkDXIX6ixDsocZvxfOnpb84ogbH5QgExgL7RuHlVn6V/TDIH+KT/x3LZ8Ppoke9Kukvuc CnU8p3okWd6lgJ/tqqPKT7b3r+7kCviTKjd6/8KUXW4vZG34at1QkN7hT1nVWz93lUgMDar49AvI Pd9BMjQb9UHB+1c4Qk6UUOaiRt8AI4Wl8PlBfD6SJr0zUh9q1XWnEDlw9ESHjlqSpXuXweTb0GUo bHWry4CsWoVzaOm5JBA5JCqp1YtBNLE4V2QWev6zkoV63vIvSA6YoZXb7VMLK/T0BAkShxRVN7l4 dSOEDiQAO5+P5sneFZV0GfP/J1RRwk4Xa4DxLi6vzGztvu6zwFYbkgoLVWgiJIXpVdW9WvXpXmVU OFBIJLX4XOX0CIBUIsB8SR6HxU2pYAJqXzraVxySEq2ixRKrupoZNKfK54N9Nf4jedtQJWGg5sEK O+201C1rOXV2MqmTRoQyK2vIuAVq4O2JSYFSgF6f1aqbsTZyK8L1z1c7/5ytri+U68HEZjBYAgj1 Zl86cA6Q2zcs8GwRnGMBIsttsVrh85HU+2+7HRJ34MrdWMVQ9EL+h2lww0xoOCtUviEEMq5MqXdU grG/pxL1Zei8ddF5lCUD8GxL8mfB2HWrbKaPPDL2E78f2tW0Lx1pulIWH1eeLdpY6PVstRjQRA4t Pp/yhuc4lAsA6bo+MDm0+WSVe84RI4Hmzl6AiZN1A/G3TEs/hSv0+dxFpKs2n9W7yHAPbZSHaKcG 34VOseA4oKWROvELrT3TrmZf+l/eHWNkkUGYHXC8N+qQtMa5Sfh8JKH4q41fTio6e3liFXbcG32q ZKtrpsQmkgS/lSKRpPO7teWxCpHpW1uLFHRbfWulBLWoQu1pbFNQwTljnRjzdnrUOZwxLw4Gc2j2 mSWqDG6dLWr19XMRGs+hTz4fUbN/U9EKUSq4SXioQrUW9Kc67SQMTtxosou2aKc8E97CMoF21okV mH2aIQ0Et0Qe4urbanVkmAQMdUKNEwEehfCqAiO0gl6lt0Vrsi8dKW/JOoekh/MvQOeIEcJJxWnx qQQ2f0A0+/K8gbwtKO8hF/ZghWCYTpjsMBBxhtON9ZBPjZLUK0WK5X56OTD8tG+AeZbdhcvvwoLL 79xTUJ0Ckx1OyYk95LyxcznXLpII3NJK2valIwTD+iUaLatxAP7RhyIB5vNRk+HC+ZmRAc6W9hOs 2GgIE4nUHvXgZiJJcC5bt3Ru2RsygemnubMiZRf/gat981P4oX1J2UkSjVJTPkrXP7oPwnHeNxYN BXLs+pmZiFoTa9IkjAXhHKcowEeeI2PyB/wlIdwsbxi/sYra7U0oK2/pocwZ22ExdBozWIxrCOfQ +XMtDSc0fNbqG+u8N6eAHjyw0Eyc6pwmEj4ydVAhFanmlXVkQufPLC1qNFltUZ/hJNB9yS+vNj4f 7av/eBNDaSFdedwcen9Sq/4UzN09Laf4fZ2TCVPNbWD0eO0cmH8C4SgntN7yXa3MOS9YLXNwjpNO rOreps2xWb0WaP9efak17SsHoA9C9nriccVFPdG6T+W6FanN56OOzPWZ5zE+6Ex+K8LQ/lMKJbNc RMOs3U2sGusUwWNW8fZx4P+JilDyWiWVu9728QKjSS5W51hLSKewq5Dv7kTJHY4IOcPJFqsQINOq lCQKl3PycZIKNAZ9ytC40BcNMoaraMrsADKzA0WWxyrK3KFIhaO9eqNhzHWr51Kte5VHZ0+060dF 8A+jkO55VzutYGxIvWViidChtv42QH0TCFvMzS25AudNnxPcgqSx0dTBFrVkUDp39bEwog88QJGc /bZk4KWMrOXZVlHinhpVTbN3r87bfgXPp5haOKxKSzdUcaddHaluIt3d9VxOQMA5x0tJPeFC2DQr QswkyVUqMHNEe7LqatQHReB+JeyUNd34K2tbbWjIkPhXa+fzUfv4esVUdXC+q10Ryhx6gbLpNIDa c/jsLts7fZRT1WnVkuEdc2gGKtT6uXpkCsrVmzInEz3ZVtK2ghtvNgoApE6h+tMuAclQ6zl0A9WB XgYbflwMolYzjc6t0x7YgSJn93yh8wUEAuti1HLoB7q5qyg/Y4eV2nuU0a9l2a5KzRG0OTAEzf+z TOvNOu356bSfCmbBYZbnOgwmFr3u0b4Cm4xt1ryvuIQMrXLgCCozcuSh1E0orpsATe0tFXFoE/L5 CB36a7oHS0YYct9Qhdh1dtlm3m4wu/Lw+U3zqQ70lOgml/Sj/kmFmGnuu/rAuWG6kgbT0FO+FJpA ocuAzVUgAl1ArbQZar9fOigF6Wo14DMIwDESM7WNOc6WGGXP/AER4vj2+jRy3syKr1R8Dr1Becqc XL0WH+LI0ll24d2ZIwNaSxavKG23FtZM5Vm9hYXLfDWaoozBOoqlc2nyBcXeAtzaBag0oA/sQc8p S5jMQu8KC+b+4iORpUIE2gL2I/AHRcfeJ15dm39BDfbmorFBKDWoCqSvBCoqhM1zkNpdYeG8UtB+ t2jFMuhWNBus4UeYo/Zz1XhFeI6MlQSUaSqI4HAPx9grQ4XLmJ/0r7EzHPy4oFjlAT/QmOH0CMQF /oC/UEVszEBZA77mN1pR5i6lAJgLC1bUq7yLF6Ha3evnVG4XK3QKNaz2yffnXZ/MnZweipvB5Mo6 fuc/oIxkIwfdfht7MefnJ0XvopyJavbFeg1wziC+tqM9GpiF/rbdGSywdDrJ0xasKHfntBh/+EcI bmVv+c3l4D5AUHxrRUiZpnx8J01quHpC2tu54xLd+M5beLZSJVIGZTV6WAhZvYLohX9bftK/FD3J L8BCzQNurZM7CGM0ErdWCG5/GCXciDAjz0/HLzANRZuOOuj0DtCQQthkoPvGLh4tEj8sWiFURjS/ uY1EuR8S5TnIoWnUCl9EaojK45GtSpDG4ISTbhtrL/vSwYvIUWrfz2KINXDGeCWeQ5DPR0npr3gA LvtVn/Q99A2V6OMi1Z+v4RL6g0zvUaW+RAGYe8SHxqHW6Rtj3dVi1VeCKTpFrHsfcIoQug/mqhiT zW/5MYDearyHzqFCQs7MpsMUXgOBPqldG5o7rMXng6Q0/3pfcSgOTagbrLDzPtmOKem2RyXWvOUp vmkA2cqChKydWWHnXWfWKUXaXXUfTlh+J3AnMP1aO9mUYgkd1+TqVJxMWbLAfYF7aKIYNfDp00xE s4hHwF7QtBJH0J78AUH+cLkTRROgAkO3CywKHUR5iUGe+doWje0j6CarPlSG7P8pMQ0sRCHvyMqw V+mkc9XWkhoF/i8aDglF+zCR8PPqts6sYl2zsLLsK/+LylwGB9GF2ojMSydlz74OawgsRMGG8ctQ 3K7+pR6eRyq2LiIdZ0x3wkq0c5O1OHlWqqGn80xy6CG6TEl+i2jC1REgKUMfXsVOmefOLxrWo9aC UzRS+OqF4VCaFXiISjiZ0Fq+g4X1qErnlIVihOQ0f0BwF7b2EytI98z0tJJDG9FKDNZOy8udJfEF ljs68guLaPRwFKs4hzfJwZrv6lfhSakrJMNIN4HfzDDGICSlAXvswAEZWi35V4667tQczF2UgOJm MwWgQVIozjdZ/AGRiOX4X2c7uqk3VFH6jlwQJuOGZkANnYfX0Mu1BNC98xo6dBLliQlcKIeqWq2G XhlzwEQOKoBp2wf64POCYgsS0xU8Scm+cjz3grXaWL4YBgtNP/EsgcEKnUQfvRO2BwflrW8NHViJ IimSZfxVATe1wUkZy3qVm/c2da8ce4lqmLMMtLfSVdpdcKqHFjGRyLAS6GLrTpo2TbJ19+WhcmDJ D4oz9wWdquWLgH0wtTNnw1b5/F82KjyWgCmC5aKHKiSist+Xq8sNnX8snrfncqkTAFHZHRjYiV6A +yRGxNY79yoVJlmUbwZfl43+cyvCtyxnKmirhi4/VU7oKNrYvqJlBpaTMAiqDcV66lB/z09o/AFB J/mmolT+AAoyX4mKHJiKZoninXxnutlaH9P3VZXUAII1Su2+r6K83TEgHGDY6sFi2VyYiaJl1pWv w3UcpsewQG7tER2SgX0OjEWzNxygmcDZ85TwScfPpXlFz+g3hM6iz+yZ7QYqmiQQRTxaUdud9AiI xBQvoDunXVSySsO2Vq1Kr5J+VIDansKsIW311a7B84qlc2hX+YjVVY3i2yBpMIFywFXvsdrZvnIk k7qJQO7DF0PXpjpl2bTBXwr8RQ1RcM8rtAzQSvZb8POaWmXrlOPUdXFLUNwtUrAwsn110mBrZL0m VhnaAsZHNReQdLUF0EnA9U5FD+g2nixZNGf6vgDYukkGFyuO/tCvaVWWhjMErDip56IoLeBLpBCz 8PRLjM533Na/qjZHparmqPxvzt8mCtGkaX3JJvc1Ur6K4EggNXKG27gXgUGIpNcICa91Vy8BE0wP T4ZLasmEYDJLIKgnUAvnpOvMqKR60pmov8YomZnKebb6ohi13Zf00U6+/3lNprKIFhYjxAOTjclk CiF6TaUy9PUmp8vJj/IT6eSgq+TImFO2NTudXhOpfCUFqtmLcvXsAG8rMmpKL0C/nn6/JzuYkN+r RbtIOefk5PQ1jcqYUPHCG/suahqDlrp1RO32ec2hEN9nF/FfnbB0SBah1wwqmyj2qSnqNZspVzqn CaHW5LxqGL7X/Cnj9HaafL2rRYg2MEvq1mWWToNh8r/PL8U8BO+aRwhX5Oc1e8rIfmj+qLY6Zu9f 2WXD1p2nwvfkBvnzmjzlh23jL9rJTiDMZjF6zZ0yhgaJLK7kilWk9rsyRfVhaYdUumx8g11k7MAx jJY0Li1pk5U2Cos5CDp1/IoAf9D5F8DHr/v1iSVf+IWD04gYNCiV+6JOyxpZOSbn769pkz2tILWv oUEBIFaMXpOm7JC9XeblI/H6ZoxWLRemQBQEzeeCGM1hqmf5WS1Go8BPDs1ltPFgDZKJBM0cP7CY bWzjakia5LkaxEi63/AS9MWgjZ15D16gVT6v2RI2UssWo66m6DnaOjsGdNl4DZLdhahRkl//17Po ZKecaaFcKUDUyRckClIzb4JW7mon9m7AUEGG7hxHEzDTLsGcdlLNrVk23dT0trGwe82TskRNT5GC cQGkYzHoX0qUFlBI+MBe++c1TcJxNtvPgQTzL/OCRoxeUyQc2ZxgEfpjONl+vVMKNUpMX9cPpNcE CUe2Yc32KHf1Zh3V8E9VSwWBdh6eQxup0bx3s/B9cm8S4l8TpOwFHWeutgj3Wc+lyGvt/Ff585oe 8Vv6RtL0mD4bHFwhSK89Tbxt5JUOTV/EPRo+Z69pJYdvVJeoeu1o8l1Xrs1NYqvn3CdgvQM7AGG4 c+MVjWEyq9+KLV/744i5ur7we5AWj6IyyJY8S2Pm3ND5o5QFmg3l89rNRIKykwWJ0HY0gvdK/ra9 9jKxeyk0uNTLRJCQCVtzQILuAiPA80mqHVF+ZBrVq467Xgzx2qdwpxoqvb3MDpNCkxke4yDA5wvI o/PVax8zi1l9MlId25OV1ZedULwNhMSWlT+vTUwcScuDJJVBUfHrfeHeOX/ZSjgQwByNV4pp6kJe F216Uo6o2mH0yChQ0oBJyeR1fwgP65S+6/wI+obu85tStJA988WzG2pRw0fGeavcfU+30//IQ612 Yvm5qOELto80haAL9874y0ayugcTsDUD0vUWpyjfZv/wVOrb0wAObl1JvlqqVNDtcMJRmHBLmKoK ZcbV0WXp5MJ7LdKTC4wMZS3TG2sT8E2R0vuoeFKO8Z3wh1eg4mzKABzbYmOErZ7Pt429Pu98vyyr bcUJ/y5Gy1MKAUIfhXEa0oXzw0nsHRGzSFEUhxSkd8OWBXHaXSiNJLt6rl67LThk2zXXGmSu2Y0q KGZmQ18QSBcrTAA14Td+DxMlyvboufnCMHWYTXRB9zcfDxKmcRMmJqPoG6904xSl3lWqQm05stNu OpOochTsokiJYC3BKW6ctNWFWOHqV92plNASYOdknM1LxCDUvVCGgSdQ6vY+b4YYMb9xUMHRep3G r7ZYQw6GpGpjAgIbZt+j/Lx2nS2m7FfdO88PLwueGqdGc1Rnnbk56ICSyVI8K6549k7z459ZpqHm SzAeX4KzTaA+Df0i9IrhKyPu1sRcZlb2WGq6Q5Zp3zg6neR8VTRKh/KCBad3WmR84U/1eWf5Me1a Fie2l2j9LD8QfmyUgsv/mYou/t5tF9YdwyTmiUTxFu97Dg5UjGo5+aNptfPpBAbY8Cxmw6nsmkhI Jx+EHwiiRgEmHeODhk7vHD+eFNhIrZHr3po5X508A+W0SO6lfN4pfhkab/PneMpAya68bpzCLJz0 i0n6kaAG05WaMw3gNTzfAPAoTlEa3peRINO860UJV/R0NTuHQZ/c1hLaMUSEfWF+4KbGWdIJUR4O phsKxNp80X6q8KWy625/3ul92E/d91PWubbQO7lxijJxpqYnYzOsHbq8dTvIYA73l6HGnuIUpeJm TpCK4sXVUXdlnaOjF0HJMgW9WK4AELTQYYSb5JUCmF3f+D1Ok8DEjFkHF7frBcN5C5zZ+XiQZi6v V4q4H+u7IWNncXpPxoGKItCuTnd73PLrXQS9mp8D2Nc4bDkviLLxoV5uW9ID4Oo4zokrO5P5AcTY Kcc0WsAF1WnwBBTstV3v+sZBnEh+zKYxsd1xLsGCSuDE8yGfd1ZffkYFlW1zUL8wAPMuyjurL18H Q6A5r0t28rnKtKlBg3KGQ3zChFykj4Zumq92kA8m6IOQc9hjNorCQcYahFY1g5mUdw0Kpr7xv+JE x09bdD4hNdN9RxRGlJELB46oKHlvsJ++LcsoHa/WkUtuESa1bk1U9rV1Wuikq2cZpuNuJi4FDq7O 6oGAOM0+hOBc0NXEa0fCE1m4HP9atwnzr887lS+LPozSl460XHTMdHQtDVCRP+9MvvwwrpQWQPR7 Y8ZvYYqycSjnogRe93Qay9KC8wfOxZGb2dlp7zw+pgXKnqro6ly9K3fyghMZGjicF3wSzMcaGIV1 xUgCGkH3eGLv8p3Hd+u7k15gSp6JvZ5KwhN0xfkyzTTb553Jl81/l5HhHYD6Y8FTwmIVZeRUnezw n/DMYC3PDGbvNzNAjaFYvWfk8Ho0XTPXOUsXUAClSsATGrlpAxVLl/I30uMGYRzSaC7WvOgrRzkU UReoKLF0ENvYVsGnst4D8vvzTuPjQMZLPL5nBbIW/W6qMCWXc+c2yQ1WxdVzze5mj7VBk0OBilLy ZELyVYhNrpfpsQCmhi0XIK1AXBD9BCGOb6Y6OrxPrvwGRV3eOXz4IA4Lzu4svhieYAtB+cW2/bxT +NgRv2MVnG5AIa+9PTd4Z/Dhlc+iom3vrczevN07xr618CzWEn8n8GV3VTsnj/CH++IPoawLgEol Jeb8FufQFVoTmOdTjSLfR1PR2r2ywXrn72V3WYXRnxZxy6B+MQhF+p4/e/u8s/dyviJBRlMekkjz MIVd8UacpiRimxBQGkUB9jTudIWeCwxT1BbvRgbNkty/nB4eUpBQWiQbn501TsjoxHMix8EpgHSY qtzDfOkrv8dp0NcYuk++6KJriawWEhfW55265/v+5gZA/o9ZPYV6Z+7dA2pIz5OtldFdoSsBJO90 tGytlXfinicZKO6sZTCeVHNjKI4kigKMUOPvMumrTM2BmSgyzE4SKFn6ykFHU8Ikk248a5qsNUSA a6W1ANDYn3fiHn7j/HvpgcdJ9RWLU5SST7qslvVTC6cLwO/VmDAV4Eqlmu+sPe5nG2jKPpTrLV1g qiW2AszEB4n+J1MHulUgHmwSL/HK0DcOwkSa/26VC460YRDp86N5iZ33b3/eSXvYTzdOzKFAUK3l nuNRf7yV/EDI5atWPDkYxA5JsLlXa628M/ZwD20zyZb2PleL0zivFv5P4mwcJj9iNzYiBjkpXtRw KcKFNX3jf6WaODx9MZgFHA/42pzE4fNO2OPjv9NxaEOOMr2j+U7Xw/EEFM0AnspPcR3oNJiZ+xKr qOjPML1n5MihGJ4BlzJfLSPfp0pd5yApbK2cI6pJfBfJFeoGGAo+GoKE+r5z9e5LB91vX1S4nJtv MkqYnX7eqXq4tcbvQAo+oJz824Q8SsipM9Pbbg5FSTcpyCPZ4Pz87YGD04g8TsgZpbb10nG1KIF6 huhXc6Mtgmye/66CrH5qjJ0fnTcUS593mp7N3YDNMNciY8SfrCZlIxWfS+DzztLLJvH07CWgtOcF o7yT9LKpRQzIo9nRBBKrHU1l+pC8ZrJisJfeOXr5cq9BCL6rQaExbVmN3SeqArckL+1C6zDodmMW igGloARF3zgKU5fvVfVF3GtYS0tWo00+Hp3g3s3k60UttdsseCfo8WRaj96B3r7pw5bcrx3tSq7b +c7Pw7tgTYKd0l29SbfQw6xMxU/sJ3UOSFhAPwqgkPnYZFPK/vNOzmNxN0hNp4AuF20mvHRmul7K 552axwHj78GESXIXXpxRivJw3uKnLltXsKyZsXjFhGc6sJ5SkYBAv7PyLrbpvL68w7TaK3c20zm0 geEHTA5CEa4KDlwgpKzyD2NjUnjknZPHKbDcGwTdwfeqMt6p5xJilXdKpfJ5p+Rls9m7eROOT3wp j1OYhpMZO3p2NxAQThxW35uN7oCSM/zzOx8PfycRY2elIrpWe+n2OaYa1SI7PfTqlCUrsIXUrvtS MdIsezFW+ryT8QzdgTyA2SUXO8BPcqFWQR/l807FY9Yyfqo6VJO9j7ub3rNw3BocSLVkUYJlg0/L d3aTC/g227T8nYeXTfyLXprrrrabEoHvm4puBfgFdy7AaTxGF/z6QgpYrLyz8LD/pb1caH+1Lwuv NfyBbXLOrxncc/k2Mnkpnt+/P22Cdw6ej5HO1d0NejH0+/EIH9UNws75WKz6fafg8QrRsXzVAtc9 mxDxCVK6KZSd1JDk/bXYUkACfnK1cdmdhBS+M/Ds1kHZyzOci1pPA38bvoALf8/3LFzZ6c9VB4xr vVXdO/uOaRPJLKV4h25QvFEdumxKNqdaIQ8aWfg7+Y6nk8Cpo8uxaHCAOGWass9VNuhDgInv6II8 U5lKaOOyS3o6mUlfOcLNUZEFuCEsxNioz4vOHyHP5874vHPvmF/eiTntZ9E/Wm15wfJOvsN11ygc pQOqKXcyC0NAVH0WXOHNpi0V9saLtlISlaWkS2VBZYeal+I1p5pAI5AzhEF6U8EpUxNBGELy4g77 vFPveEQRhYFzDaT0cy4Tzl3P2zE6SYsnHePzUQX823lCf3K26VPOd+JdNqW8cVIWlwdcFNiTrbiD ewBZGo7DjJrjJmaak1XCqd2u7zm56aVGSA9k4pN5W7Ct2TBdgNnI9b+ixsE77S7LQeAUCYPtTC7K n06OtrfMs3f+vJPu+D2fqZT64x0yP/72vZPu8LG7yc5iGuy5yOERsGdIXn5ltArnhhMpoDHjdFzj TbMsKo9lUaKjwMLuBfU1wYuMkRoUkSmAjMAPwk8pEkE/76Q74iiYYmLwysWcZVHB5C4iWZufd84d 53flZ0dhZIa5lL9775w7pl6motjI2kStQic+vA2dWCaqKELC+luxpd4pd/laYKEmvKttKZj91NEo onjS5ZM1FeELEgtnmFoVZkNqPtF96PNOucui2mPQlauWchFQRRThk7uuzzvhDmfEXL/JJjKDeeu7 d74dNtRiEpWd89o750o0aSDARr4DVfbi2FBRd7w1U24zJsvKF98LigzAbknM8wxDHhnSooWM0/1L W55b4XV95QjXw8NJxkxDUnIUzDhXK1UIMXv5vLPtsjgdlh/gzUOXYuTsTd93th3TCkaHRu9kUsNC SG8eZbc0wANdf+jeeyfbPfceL3pffTIFr/WVyXY97x/cLbShGm7RE63zVvd51R8GaRnvbDscq1Xi D5QW4SIAFLiPMlWbpX7eyXa89vzNk+1HRqy8FH7n2jHf5OhgmvEsBgrVS+Fe0/Xou83xd6pddqNV 4GvWXS1OA1QlUrvOxbQAuC/yMoIi3qQU2sYGUCmsvso70y5LGgsCrzn5oiJv1UVXHOoQfd6JdpxB /AJ78McCRvPGKeqOTzrJTNaUvPNq6y6JW5LBx9BN84HwO8+OXUJJnOdd7+qNFUJU1PWt53JaReD7 DhFCqp7tdNNycok/7yQ7holMRGVRXFS9QGlwKknY4/NOscvmBqMwsfGJ77QvDuOdYpfNL+tkf7ta mLqMjomIXtmpUB1nhsIUpuXCHY6mIo/rBUCdTLCCF3aqqkRnUiGCoe484CaF28uTcu2mqDWOfxvp y5y+KEzQ41uXt/nOruPh5qUwTk/KRdTqRd47tQ5PJd52XqZAyedmmrWbXWZDAmo6ie/MOr50PJwm 55e2Orrg3HHQEUUCdSrmBQFWJlkFXhbQNwZty1FiuJk/78Q6oii2JFaWL9alO2nZFGVszs87r44g sdtYoeIPLDHnvJddlJBDeQ9MsX47Bs18juv516ur5kMPUp2VFkPH9a4ladlxvQk5JPM3leAzgHVJ csIky4A88JXEg1jA2MH4mCAlSDqTRvFFGIyTj8uzF/BfPB5kmb3+vnOVjvAX69vCdBxXHZihHqYy rxntmOl2M8l64m6KOuMWplqVO3F1XtS5kVpBSgR3nbSsLwwp5YmeKzAyZEuXnyM8ysZVAnewGW3R S9dlbYjtVAYeD5AF+bdPhwkw5q1O+olycQw/0KfLLlVgaQAhrJN4DPSfVncL6P6ei+MEZ5iG6biO R8cVrY5zMwBLTh1XwDE0QMAF2xe6ieOaF3L2jI+JpsBS7GGHnIt2U4GXoF66zccDRsuuP1Eicbjc fkGPEnGxftPcj9hmMaBvGpIJpv3Ccv5Yj6AqEqDBCKvcVVHqcFmAMAvHLG2iDhbqB/VgQx6UVbCo XYDdjM8JChaZYaaafGGY5jyHk4BPZx/j8b8YZCqXF8J6N1OYh5NKvtNl/ixVc0SpuOQmdC+29Qp6 1Br3tspa6a6eXu4xzi3WxfkFRlOaRoNOuAWKDgXSD94rEIcsysPR4YHjXip0oyV/4UtNI8jwE+k7 W8HzAWVz9t/KDrzw+bOhQtImj4Yyl4s5QBZcSLrz/g1D0tVKLSYk4j1KxKeZQO/e7uqMjXMboO9L gYKzo0DbIfaJuMpG+BKEbVz3giVwjxLxKqjv5vt3FodmUgODaMvW+T2jZsFvow6kFEgmeJwi4qbE CfocjvTtuxjiENDu7plTcVHuHibi2kl1CDrO1U7xc1NiKNWpG7lLW0VCKgUirJsYvPHUK8zX8DnR uJxjBCRqWJAjScahgygjjbA98XwQp3EBYtx8NKfz266HiTi9KXI2XD3i1LrFaaTkDc08qILY+ZOi TgHfvPOr57s6rGCDHlp5QJ1z9CQ3OsbpEUzdwtzKFU5Gi5KfE2QFZZO22WgYStciwcNwUFBT5dQf G88HL97yJh3Tdtwt8yJYe9ggH+KQWxslI69p6qhks74k5hA1ODsFPc7ETSSy5bteOM9OoxcyW6DJ vuilCk9V1HROAr7pE7UG8EFx6wnFyaaWEfSXJPhfYT+yuoz0asEPiMgtvqOYUwJiPUGTtFC9p+OY TDUZqWY6KmAyjEwSoQJmHU0VeqpCdofNpx4hVZJYdzi372pHFMxEzn4hsXwkeMmpV9Bp5FBA2Crt oSgmSk70qEeOSw8sKY6FuQj5dM49cuKB3m14POgVPNUd/l1IIeWbaPYIPE5/kpPgNHeA1tyIzaeR t/lRnBcJPgh89SKkinUz066+8u+sWUKHymQmCSjT4dk0IulprJ2U1oMeP+HCB0U5FE2s0hq+eE/F dLhrW3w8Qmamn/KO7WnmKhaoMCPXJIGK2erSkWzCQCV6C9JggdB3baioQb6MnShWj1bLDk5czrGh tu/JfycYeBJqRX7fCpHjTDalINbtKwd1sCRHuxxh+nWEaUgyBc0sE49HG+p2M8lvARRqjtv3HVFS DiNQNjGL9wskl4x+gcy9eUr1Kyw9Qu0UgxZ0K/VoK6PTfO5WTprFOTU64TXp9RyAPM5kVqLOb+Gw Bp8TqzpAeUpT4e1TYbx4rLVw1mU8HmTl45fOSfGWfBsGI8rKG7PyTB0o0REE66HCDA3BqcVDBq3I +GF7fNpoKj+rT1zqXnXiFoRUeQbSWwr4cBOSyw5EIFxmDVsEnxPR8akzmugZAG+eL8EMlXKyfHFG Q5zC9vgDtJc2KeUhPNscMXqcLYPtvuvnRd8+7uzOekEBmzxQUX9cLoNnDwpssNTOHCjyYCh0kqVN V4VTRTbTN6rndeHBizbbdVVAnwMfE0BY2Z/LhfinfG1NTqLWBm+8c952PB50VtrvFAGyUg1TQItS lJM30TbWdtbr7CP5pFPCv5VakMtK4RHl5EvsuzbvWixK9RzQoAIRZADEAbSk1Vjp6CVuOC1fM0K8 vQMf8y8ONbwgfRHi8FwKXfqZUDccYWu83lKYX29Qrc9TqBGl5GCV0OTZe75QLbYwpVGqD4SH6/yO KCWf2kSFyBlbPSUH9W40aiKj2EtLtlYVPnETE37IGzg5eJJ8N6LeuER+SyWVujChIUUK+dMgAgoa THg+2k7pJ9UEEGQCKWhxilJyIl1O1ZE8JV8yCpictaxsLx20LCxOUUpu0kVZlvRafcq5T+UCRynM WuAISuYpNSALmioLDLxLyad2wYh645TY3Curc5BN/PyEGAB7TYPHxOPB2bTGT1bAQrjdidQIceOs rkpzaOaQwgLP8JbdVK9CO9yiFOHGi+F8l2AGXB3ni3ECJAlINQcKuuqlwzj1vPFIiK+tLIc++Jho cEcqGXBbWIi3wE0HjsvOXgaPMBn/DzQTMnRdNvT80Kg1TtNuCcE4kfPiVRpt5cQoqy6pMqLWuMR5 UPz7Sqs6HE08hVghcrx5XrYiNB1IjfRqRE3pUcKZjI+JEie8cgMKQljYZGSYOkgT+Mfzh+54PiK1 1J9XrvPwvv2nEabiy5RUnMd5SgoPU87Nq+DRkknOjTAVF3mc1BVf7ZUD5ALijyxZYFxDwB02KI4x CvlUENn8pev2lYNUnIKrFU7aZwHtNBMFeq7QgknCF4yeufADgn7BpSjKJe1kBGvejCDMxQvdg4iG kaR2vX0VCEDaIHivbn2VEXbH5Tu8LGJcLVIV1tllslMHt+osUYeTd5KVDhZAORXtNU3QKR5SOamP 2XFrYYEADdu9JwWvnf23sXPB81Fj5ffN49G4rrjaDPvjOHMxn9c+QkXXp2FVmvRpVQUn42vMOBUX ZBwSNr76hPOEqRB7TLTvaKWazBhqf7z88L310R2ZwTNEqpQl6bnqi2o7XNkU7Gsn7ng8iFL7ZbXA bGU8VM4ZZeISwhg0NWJpNyi1wtKu4vdC26DB1bSprTLD/niWGGaV0kOp87afTkFf19KA85xG0OcW 0Zwa/Jn+A0CreA2MRi4+6F9zhAJhE1uEO9wnXxUR4eQaeDxSwnCAprL2E6d99YxmKKxC2zxVCWqQ Vz/HoexszOCCkY9JY4U0TtE0sl4/rd72RUkwejGWFCSM2CDvJIEAmP6l6bMDeqjwMOMGOZVVCgE9 XJQVnDBVwepOfYTHozjd/UQ62QnbThdYMKNcnGY9mIlsnwW3bQCMTPdN4wa3ZtzgGfbHdeEVTgds tdJunXN3JRBvzmvHasbpP5s9tfHd68c8dukLR6cTcU+JzbpNGVLG5lypxEuC0TPxeAAsuMIFIuRD /wBHj4UpysUruQh1GLQefnlX+3FP4yzSL89yzBmSOKv2Udf7x9VbKtAq5FDjZE9QJqaeCyYN50+y wSYr+SEjTJp1zZDEySlCqhQu4KKOSl5zSrr/HIR4PBhytt/uOMais19y4oy749IzvFK0dN1UXjB1 tHcaSDAvwCEewVS6SHdw5brrM0WAXwG1DSv1CCVdX9lPAZEN7k2ePtHXc0apOBQEFkb81RfmBBlh KgI9jYHHo47v+n3pNroO9aLHZwRTIY0f3ng3fSKLTTCVsjzLnPgjKExRc3yp3VSaneLXhBgwHlhP Y4YOsdVz7TVJsUMp+HzBk+YDeuogezKnZ5SLC1JQkbpgyZaLn4Obmu74vMFvGdV1v6CnUuYvTWqG DE6Qw1D0ei7emaZZc264vGGDDofeuVDfsKj+7YZefUSfkFpM0Bk2rZpPadd53qdz56ErDar4g7+g IhE+JsA8gaB39h9N4Vdurld/LixqDJ8fs/l4BA37TzMlofot92SKUCork2Q6kh/gW1Qg8oF7djXR tpNHKUrFR/a9tO7qPPyOmmew+oWUVKWtTUJNjDyawhFg4TmzhQj7GaXiTV05ALhBusOvXa1N0Dcx H+tkM3j+L9QTH4M6QL7Y1RkyOJfypT1uoC7UcBKgxkCRq6BA/aGpct7ffVdLMNu5TDB9XIQWoGaR wxJsSU7ygve+jnTjtIq+8b8ypzbaXQR7mp3jb5ITOx6PMkyfslD3CBD0csO0ojxcVlwzT2e2cIht 6Po2r/tBdwGMFeFURtVbV1e5q7PuxilWTmUxKVdQULpMMYDOLh1wE8SQ2KRCpFawQpzKBnbuvGDF F6F5zhaVa+85RSseDyic8zcPF8c23ShFeTgF+brxo/kCFleeSToSNS5H1akohXl4n0HP6eyak0+g wW4WEVCStYuugQN/bjv88Q3zBPApPibophRONdvQknD68ghvp16UhtOpvfF8hKG7OGg0nTIGB/mG KRQVp/gquyVGLu8uVoDWbP+6msryMIU4FW2iakd47TLRFJ0MBE6RE2vd04h5ABHh/gY+oPQna1rf ho8J+uGb/fBM5bEs8yeAngYU3Fj3t8XH/5QIYS1I9J6nAyukcNKiDFYGNjUwN4hJe9NuDUz8qczq YIVgcW2i0uzVa7XdIxxpOB0NiCkAbkLK4lSHpe8cm07lQa2uuB/OVy4tEV0xUWfTCQSHzgzkZDQF z/9fTiY413+pv2txipJw5EvIAih9QV5ZcjpLBnbSeikDJEamTSukcDZmleftzHd11FMCZ6mSTIbS pZOPiJEONXqoxboe/ww6QeGDgvYcpY7hfM39VDB3FV+6g+TAse48SRp+QpQ7+ZZCWDB6pka4hSpK xCUsiu60ZZipLm87UexEwn2gpFioYjUV+SgW04Yurg1N0ZkKhjkln07mtOicc34kzIVxz4E0cS1z CwUo8UGRYAFVxmVNNjyRraCCiNV5PoHfM5L0bz9xwpU88x3YrRAwTlz9EJt8iJVvcUoEGZo7IAbo ilOYiTfzqRa+nqtn4uPkkH3SFGmcvwMMkgiko2BtBniUiCXDXmTdd6GaCmVCVpaaCuEbTBdhhkQ5 rlNcNTweSRb87ifAwxaSH4tTlIuXPeQeta07V6qRypAOAkFLJhkEMCxOIWRcCtF7WuN3Pm3MkeFW XDiyw6kyxxKTjNz1zCx+pXQxKqiv8EH/xK8C2MYliS5+fuaQLyDuPTweHOWXP823FA6yazUHqayQ xSml2me2eZJMkzGCfIATNTJntpQbDzvjki+SI56tHqjzyxeO4zEqX7ieuKGgLf7FqU+clpMTYSqh rxxI1S6h61P2RWCeDE0bJVCD3zOCFNyypQumsuig6OCLFbbGN01ZustgdCZ+klTZvHoljFXdEnCF Cbn069c0I4SZn1Cd2wWu3kgOzkF73jmFqpssG043jLw8i6JI9IoycmAlztcW+mJd9MWgWy6vwDwr Hn8P1bpNFY7MMM49CV656uxRTj7IJsv5cdUo/ZGrXdsnwWVZk26HPE61VZKZe3O1SKFFuqnADu7U qVmXSheWlgAhftd2n0mzP99RTt5sdlA0SYAuis6oUyBpRF8nHw+adO2350uENd22FKX3nBxitVm8 4OT7abuSUVm1WbJ5TrxusIIdy6qoaGsm3dd+pPtOEM69QFrLSYNOiicP4UK2SEHHlLJ0fufRD2GH 4HEiCqiAb4tx8hF16h1CnH2/J+XmO24oFb5luGI4RFSkYhonQdFEf7Kb2VnCCoCxl5lTl/NHNpWH /UdaTvViX71Nd87wUpQdIJEqXYKIlR1ujs8BO7n+wUPfOOrTEexbRCdzDiRUPlvtNvDcnx2yOP8r E43JBlg7FqaQxNk1OWje9MXc3w+odXlSG1hchSnEqVhrZciOtIxrsHH+Bg2K1RwinISstiFTdIhr gkgGH0DMvu0ox6ficyIFGg1ZaEZySdr1vBJFDmQnQ6t4PFKJ/o8tEqBD44rY7z+g4313f+/W9bOp vEFEJ4Osl8IUczgVJqry2eozKdCvOkZNCFOGNIYRgKD2DZ0ejBG8T4dhND4mFh2HGzzl+8DbFLcX Rm1FL9I5RTaej8BhDqJj3Qzk+LxyRjsUOOzSU2ku1AOjNAvTGt2F1lq/x1MIUxGkoJoRLldvrJxf K9PznANO+ImrsQKe3ISSHk8oEy3AfY2P+Zc10pIAzboCNOAULUPTpYXHgwSq9J9DHBIGe16+xo5g KjgwwQLuLvp0guNOJIMKhSIEDxeg2RFMhYcjjqQ073rbmahSShLRdZ7gdOZaJ1VDgocSrxBY0FTi NX3jfzFdkbz4oq7vQgNHXbrFx//CPDHVAvv6FOUeplBXpYoPPIrzpFL1zXTqiWnv3ELCTm7iDnEq UjzGAO2u1sw8Qc6VpAhNy1XXAb5PCB0FMZrP7XjS83OCLJPd3pNZLF8UpjIhW8nsoA08/lfZwp0D KvAuno3vKBsHeAEdA4JTWOlJILrRcvOS7s7G6hamGDKuOZTpO3D1dw5qFatSta+CkzD47wKiB67F hh3IHSHQcgAfExR3lYY2QNPYombmyTmqGR7WgceDxsqVG8fJhI/d5fqR7SgRJximn6TGqYnU83eF 2iu0VkjB4jsXYVRsBlypcGerD1oqriG8zOzSQcNXVkFAVZGQvVHWycacRkf4mGiAwJNboHEujNI5 RfcQNfH8ffB4tJfu+U0uWaY3zn3phAt6bdQlogrYm1AVvAnEYBU8qjWgTuLjDSj8qKgMZisXAOG7 Wltl9g5hfILoTpzGAqoVBxa5glArwxgXhghL/j/bvnTEdiWmftP5HTwgjKF41IAEzR0G4eTNH/En gBWXI75GwhjD4xUKHVYJraVmjI2ZnIiQZsWBQ7mQPdONV5iSq8TbknfQ6iVeQnd8S00bjdpOOyeQ OJAiALPKqZ7zFJmS45MieVG775IvGgnPNYty8lNf8vmoePmPwgM0OXa5xonUUHt/Du9DL9P6vURC b2/ZUbuDrai5u3sjpBA83kxURXyg9ePCBcTvOVdqIr8FTalJ2kYjBrGgH8QWgCsgs3WAT4rQGCyI ZVaG2deXBeXJdfacWZRWeN6mKDOnVtUDtOd8IvVna0U9c7LJT5o2qo+peCJzANPmVXqARZE5JKRI f3wYRtVkteeV1QZCNkGEntYka8LoRCSXxG7fSbyQS6V+qz15JKQoPTeJ9kxGHhedWw1QNLFhx+Dz EUN//KRU8JeaEFjwWEUJOrvdMINcDq2TOSCgddDKtfkCzCd9Z0WNcxUnmaKcvjrD7KS2Y+HvgtcQ PAViLAHXnKyMz2sIhwmrZFh88pOCScyUWjTBiFwUKzj3ysjl/B58PmLp+4yBJxwmn/hjXqupFLbO C0cLm1NPvJKVOSkOLcDAqw4tdPCMZsZK5B99hIys/66WgmI0czY6XSUwlKYPOdqhohcTQbap7dfk hrftS0dgRAJYjM4xvD6G8vswkN3Q85F92a/cLw5Q2KndWIXt88bGFKX0/5+x87bBEQVUJRyxT+r9 dv2oIHWwUUwRepOrn1npxGpVqrYDM7sgtKoDXi4AAzXylR+FpKM+KVLOItcMIrC2qOmy4JYg5Yua +Xw0abhHFrsJ0MteENj0aIW6K8TadfnfMVqsFjS/orYjr0NMZP09jG2CJJ9VhenhaukDzvRzJnFq vADfJYIcZ9amATtgGfnWyoXK4vykoLxh3gBLXy6EJxNvh2uQmPHzEJ+PcIkucMCBCFKC82OerRXi WlQuUw6TzZeUr0WX1KnYo2KSbVaLkfxK2TZiF5sxz+u1eG6/1lD0V1nAlp4VWAqMTSiNY67oyERZ T6VQmHy8OpuD2NF47Z/qfvD54CX8Dy12alBSnswhyt9BeD2nojDm5BJTFYeNT0ClrGZGH9NDFXbS NRSGRORdHY0AzRW8mfLBObfm2LKYAPIC2wiQ18vPI4EYH/Qvd2paX9sieOI878GU7H2efP6vslma PpDfeMRqcujg6UJa1bWPyrjzmU2StxwXMYw3Z8oQ39IkU7Pzs3pJuDYMNtklhtrYEN8xnfCePydn dvtmpKp/+UH/0kSsQHrZotIZTk0qCs8/8fmoKkw/VyEMJOg46aEKWZ9FAiy1el+PlAOWhUX4OzpN EMmqUEUgF1m8UAXvrtZkAC54QquXuATo3suti2K3narS61FzJ0wxxyae8oOd4y6KFJR9llEUK58P cvebjZo2CcBI9UYqTN3ZKN40PGABvaa11s81qC5fYQHtGtw59PE0qGKdNd/VK2hMjEsmCA9stkSR tGwIdOpWl4ddNUqz7/yvURYwaFqM+QkbkMaap58Sj8//X6yooBTX13hCFfXUF2lotaXq/T0M5NTf k5I2HXT7vGdVaOU5lFUNQ+hzVago/nqyapLT8dK1kVQ+4kSnUe++49FMNW1+zr9eP+pOrEd+4uzV Xoj/wgatfD4S0PDhA6fzGESPizjPoZknFJfO6zfM3fRsKvqHqCtTGTRuqnq9YQM3T1CXRE5Pa97V GXtngxd5AqD3PE0jH8QInBCQUICnt3Wv+uj2nSNsAhXwMWzj0r4mZb9M57ansfl8MEv+jyrpOQvO H2tcV8HAztPy7D6LOwXA7NaU2iCUYqECAWP4+xem7AJStyZYHlcLFUSSKxzKeVKhXUbB/ATwLcg7 SoUvhKpP+86x3zC0j8RjoPM2QzX61kEDQxk+HwmT/rq94P2t8AnzUEX99WUy+NX1kAY1+9hlqN38 BhuaJEZkyKGlpxwCAbNrd3W2LMy6dmnKQQcGy1sZ+wnOBgWCFiDe7VMHK/T0hEoDuB4r+aLipgCk LzQVt3+UsO9rjMP2TaPXx03XA1NPnOrSeHfz0wFpXe/2zW6hqqCXe6giYZa+NW7POtW5eothQ1e1 ShWYIi2bheACBhDmeZNJituiY9aoT/qX8EGdBMVysbyqzykV13Oc8fm/NGwYWPBz1tOOCYw9kVbh Daz5CpLNmopBGJMAe2rH1LutYkao7v+a8l29tAEesmFuB8IVHMBVCFY4v2B2irSxjAsQ6s2+dGAd sOabR/Mpy3fTWbNa4fN/UdPkUAnMWU3PyR4i0avQLjyYaJTeHHQGrW79I2wrm2mS5dje03AcRbko 14edtif0txisk/URfXLeQSoMwrvqW4CivbSraV86GnPVVz4R8PLqx1T0kEOHT9odPecVb5bcfyzS w6Y7+6JNE0GcWE1yZFQm26PaidX67YuGLp/O789l3tW7Vydnhy8Yt9ZEIyvR8WzDkwKqU4gvVH19 2NXsS0ceJ5IDlPNZ6iLMwPkotyZF21H5fKSeeKeCU67FMii60Qpb7gjzAPRTPYZTC/Zh3avCTgk6 MjDfuNGKkenaUxpRaPVa8EQHbi1DWCH6OYshijkOGw4AeruQGyeDOTT7FDZ9G7NvGugafeoxyHxE B4nPB/VNvS0GpWMT5tb3gI+9Pgf7CskI2eheDRPphg2Hmu+UG/UDPjD7FHgVh1U3kcC+nvEEpmCz 0iMOfCyqHaK+IVgbAO9vAU7X+6I12ZcOslEOUGsmsLii/znFRzcLSQD51+YPiBJ3byKTuAUT233t PnPg94nxBNuiswwLVuklWfPq1CX6R4wnVvKNFaLUh6GvS76rQ2XPuXTOKlaDc8BNR4dWJVIb+/Zb JBG4JZa07UtHEAYq4gJByKUrHwUUcJqTQtY3jZhGl/XQ7C1EunyDFZoN4YAZqfvcGa61NlFNmKRb 6SwAMHP3wPTT2tHUDO53tWCtk6uvscVmL5iBCbE3+BUnc46578ain0COXT9l7lU6Y4WEtIteBc91 NVnamvwB0XTiP8k7sB7pmU6Exp+Nsm5rX3Djmt3bDMsjiNqkeaMvdP58UI3prrffDt39SrNrQrC3 fL4oqTglCN+d/+AdmdD5M0v4rlK9O9ftTrInIa1sOrRT/PD5v2wq2OkDECldbEwOvT8bPS1rNhgx /eS9eE5qXtHTsjpuLwfmn3c00YsGOVytI1POi9eLaO25AnWvbbUhWdAxyoPEm+tLrWlfOYJ9VAmT dF/UEz2v8pT+xrlz+XyQu6ffTcU56np85kP3Tx5y5x5fFx9DGVLhY3pzTNoi9EGR+sN4qGylWFzv C9hA91JFCGS/PB0TaKXfnWjzx5yUM5xsoQoRMpU5O/CbWM6P4DACVq4SC+znw/h8BMT2a5DTQYQq P2VOaABKK6vzFuR2oUS9OkZmXgM5+l2qeA4cQNERNQZpelbH750U/fwaS/QjiN+ZztTJfb8TUoVQ 9LbsivIW/JzgDtzmUbF8UUem9KZj/QQt8/lIoWT+bCrCtDZ+Uw9VlLiLVbN78lCtmaofVbmbW0Wp kIy3UMUcUlU3pZa7+h2Y2m6UcEHCAH6pBqkL+svEtmOMz+4k0WnFvvO/0P1pUqYkAeerXbUhCs90 4fxl+Pxf3aui/s13tafPFzqBThNMyEZLPv++v4DnJd7mBHqqgHtUxVagQqjRS8BX21ULwKixpxBq KZmiJxAPp56m7vLlHxlmPYdeoBKcaif6vjhCLZGCam32wAwUOXv+idSG3km7/O0cuoHuqj5fcvTV SsayBdSy+v2Xq2tQ5sAONP+PwJ655vSstqmgVYTGDGaoAIzS9xi5F3gug03WvK+2hOyscuAHKm4K RZezL3oBF2odOV3OzecjBO34KQYr+zvXwjHHjqDkQczazXP+7IzuXsXQz/DhDQKohD20BNWp/uI/ VOjcWaitjhw0gZLOhL3CSOr8GZAVzHkHqF2TrtAVFEQTDCPq4oJjT1oAGN5IFK/1zB/wlxYltU0p v4ZS64brn7zSXpSyS7ArX2kAcsUYrpmdNpJrmLLzHUyLiC5bHa523j+oBaLXB7GaWdSUoVj5ua3Q fFtMrerPdL6GKbuUYGHzjgXkftGRTuFUyXcEyIQ/IIIy3MkgL81z7MzxDJxjg9AtRF8zyaBR03Jj dToFucRLu9GKJdCN2zbTXb0zmiBgt0jDJVelbIL7LBFDWnbOkauBTi1/flIsGgSB0872AI4S1iTn Hm3oY30p/zb5A/6i4jITRQk+r01oDnxCTasCNn8ua1ZpV8be6BD+GKVzmslbWKFRqGeXkp3QaocW hM8xiiXBNCVEQ8CP1YTsw9aql5REuj8/KbgKd1JmNXyxPgN0C9mf6eiNBl6huP5vLiq7nSQhUQ9W lLajiYETfnoH61xb3sFqKTvJdAHvYzsrQsmYu1USe03rJZIATjQ5Hhy43zntgHc4ioDEtmq9YuiF f1t+UjwfXDCy71y6CwtCkHHxXhmJOysEtl8sMkGjADZjnO/BCrvuJMOnNZy5PKRnAmDfTGVZsMa6 neR/WIaqkzxru6u/htIplNjweW3q0GtYKfNVwZCuDJZ1sPayLx0pevKMrxymctGEAr44ghSdI5DP RwnpfwTRz/Gx6n6SrLDrDi5j35ym8y3crVWHjLYilPIpGle/sQpTd03mU7OeTHt6Mu38Gp3thA5R YHjUaJjD5nPBbKP8uD9vdd1D01BsRGBFW/VFHMqTH0o7oO21+HyQkOZf01BI12ui5MEKu+6ylqnZ sGqDp6a6fZnpOPMsjsLtyPojee+9z7vqNlwwLdoT520H8PFUDAg5KKmURZeZU/Ejq2Th+gLjUEE3 ECWhIDu1ndntO/l87pLt2JM/IEgerhut/I0hGoKb2KIVWodCYpVZqbOVxmo+fTY5RtqK02qAWWng HQqtFJmDZTn0cdXWOplWPYlppl9RWnjP1O0qKFzhJoooXpOwsuwrR5UO6+clrapFDXLK5ZyDdzyI hsA79LcqZN4A9lv+iVTYcU+cqO52beqLRyqtWj1SUJmyojA0D7X5BHSD7ur18wkN3KOKSehZey+d u4V+6QgtJqoqCoeSrMA8VOZIgG2Tn7sk/KSyGUL16jVgUB/ah6b/+KyyHgc10WMVJfC16ZQq3pZZ I/uumq1Zr+EkkHV7rGLmqSROxqp3tVgleBStJRXik9KI3kMfw/MVgVSDtLYD1VKxr/wvVxBC27Fg YkOzCAggFI4WxrmV+AOCKeHVSE92tqOPekMVJe+V3r3AG3gBXZwuWCbgLF5Aj+wvYKwKI2tMSVZr tV5fBwIyNzqonEseVkZSmaXWF4SMAFF2rZOU7CtHvMpN2AetMbkY+ipVuUxCooLP/4npYw910J3z loWBiShoOVN1jguAQ1FKKQNyQh8+L2im6xqMXUSnpQp93tXrnInaEGnTOdBgEAZnLORX4C0t5qJ7 XwrqvN85yBgWmw1oGNoicuUpzIUFofFjDn1EiT15+qIQpijp2VVhs12Abd66DBX+1/J2QGwtVHV1 82PPgZEodpXI8YZT5WrZVTuvH/6XUxx4OhhtovGnnaPs/ETg6hwqoyIn9BKFFhgdjIhERmW45Mtz rvQiB6OVG3/A+8m+bgWtF5YIyKc1GtiJZqUa0GS/Cvzkhsi9fpkuIQrovX1jRYl736pulpWG60e9 GcKlSySTc5LjAmMuCgIm+g1ne7X2KA7Jvj4HlqKuLj/XsMV0T6C4qGQLf3M+H2Gw2m9+1WlSna5d Zg5tRQfaJIP8NFOYFztuEi+TTHO3NhylFq0IL1Nl0NPYSLXVW36Q90dKKx2rWZM6qJ0Q4H42BySZ PFY721eOZl5g/oG754sha8sqGlhtsJcCZ1Egi9pvRQiI0JfVvmL1ec2uisNlUObYxsqUtDdDlXzl 41DRMlSvuVUBBstuQR3tXP0WhP40AEYypetjJCM5AySLt3X3x7yP3tCvmVVBT4ZSOmUMX3RY4RXf poqGp1+CdL7jNlBfEwYCkheLLQbmKGGESFQaJi3ECep2zhIJjBg3YxTmr14QIZIB0Qxd+a4+E6xr ppMbsgiE0QbxuSCpLilTnoQdIgDyvkDVg495C9FJPjm1Kb01XxQiAFcoiwP/vs9rOlVk+acQ8Y7D SHJSxgMhek2mzkP8zSC872YzidA4Ya7KcLOZAeV3hug1lcImUn7QDHvM1fIDCNcvqgYCnYbOalID cBCdTTLHHUVMTk1fE6mCcwVpAWsHWwR4RLeVLZ96EsPPaxZVUAhti5DMoyfsHKZF6DWHwiZq4lEO V6aYtVsDFHAv7xdD400Res2gCmhvPI/q2umudwSxMduSlkA7n0gvKrC/8QckjQSsK4sQst3Pa/5U kP8wJ8jcQ414GWVN0FgTamido/s1fcJv27uFaFmi2dCmVohec6cidvA5fmZzEaa+R/KMfFcXEujZ DVdfM6diZTlQoGKQyGbT0wEMFc8vf/5mYOtmas9DXwgJX4NJBKTDLueUOnGviRPOIjHk87OoiQfe k3QEMHp/TZv4tG8j0U0h1TuS76PXrKlY66BDKNOCtNt2gvzWtIYQBWaENDMMgjRt6jdHv6sD0DIU WzBfw5EBVeNO2lahjkWBDUpDvWEj0iS31SBIq6ofJTpEdR0YdOrpYQ22W/m8pktFpF4LkrAME9YY zYL0miwVac/g+k/Fr/82zFW8kKmnguXU43b9v6ZKCJLM5mQkZ6tPseYEtaLSmQCA/5K42zJstqS7 0KG+ZW8bS7vXPKlI0XTBCVFYdXT/lyVKpxIlVL/X/nlNk3Ccmb9MY3oFxjFc8CxGrykSjuzKjqZk 5AmTXTV7QllslgwBl2IDrNcEiUe2WJJJavJcLUZQaB4ElMN1/eTNhDacjcR7uJ77+8vhpiffpMO/ Jkj4W6wLRrBFqM8OcRA2lE6K9XlNj4rhcRiSzXDSsrdajF6bmnjZqvopy/FAtCA2OSE59RG6cYl/ ry1NvOvFYCtz3tVHfNAXnJNozwnddyIWEtT3wevGjodBhQOJF61lXhuaiNHetI6lR9xZOue/GBZX OUBDwfLz2s5EfrL8RCI567wce90D6bWXybu0UEy3eIyam+7AMtSVqQqQBKbYEWVHQwdSM8fQ9jiG 4tovDfJm2EdwU9MYlJ5q8DPKbNpeKB49r177mPhb0FR1CeK5ZNeITug5GgQr7WXlz2sTs+Rr1dus 5Ut9BxiWWA4ZpdlDwrrFZIOQnm/voQxpeREvRYlXESOjOOniz0MCJ1y9PQ497ropfTAg8Q0SCNrj PBCFVkzjsSlSsfueaqOHQpmcRUmSm4lB2w/A0a8pwr1T/fDGzf2TSMJ/01xlhIQP4pTkTT8vBK/C QNcGxWWbDWah6L5RjcJsWzI5WwVc2fM6zS0aWiTyRyGeCFIW1T03cR3g+4Ob4HPiSSXGd6YfTm8G qKY0fLEpQoOzNLtHe33eiX5MuD1X4uZZbC2UW5REKXdKsnDani2Bg2Jn09DNR0W4/cDKgkBtuT6X pfKEqxduZzNBaFdSZ3UOI3nDnw+sYJzmOXlZwnTt807zw41D23XIqvrCOEG9ty7B9jcfD/Kl6Se4 3tfzBZ7T6Z3kV4zIfPZT9/1E00VXp2rdw9T7cEhLcIb3Ip2JYvddedTRz0V9/lOiqcw8F2vO7kkA VAr8Vwv60i7smTQdCl47tGdO/gV1WVusHXdKqiR9QWBfw9R71p8wAXexpI6uNnyQMeFvg4zpmsq0 NJy3DQqpH0+QSbQWbxQmti2zUbHyDxXrXGUDAHbKMEJ0IpVqc3bIuxEpSkiTzVimejzh6UTczyTN r6K8qmqY0Fgc7yDMqz/v/D7mXOMnTuCaQh/mnk9R/k3AeN+59fvaXSfMsYuL6hbUqQrUewJuSqr0 BHtWK3Xh3XPOgcXXbsD/u1hjCbuepcrOF0zGbsTnnd1X3H0HqGZfxBBaEKrXHL2Uzzu5r0CI8caJ NkVInxfwWRaoMAfvpBO5TCVevOlIg55Nev+8eGV5JzxKwk2KsdWf1fHBcLJeg1DOAaBwUbO8LWIM AfvHKMf8jPO2b/weqMZpXU50eeSiDVX3VtTOfbc/79Q+bKibYRZVNehseaXyzuxjc4nz31mtU3lS zOoYg7Gbt99mvgODKA/vkoNj599Xr1V2LuSBIkzwZ9hExybADmAWen4kjNNdA2B2feP3MA12TcA5 1mLnMbwz9pDod+fjQZL5n2McCcpO+e6m90zc1V3W6s4V3dsJkCf93mbJV+AQZ8OCKBUf0tunb52v zqpF1s3+Y4d6eFnDlL5AOOubqrrw/Xa/9a5v/B4mZU/ArPuiHlM5CX/Txdfy553Qh1/4tuGECz6f 27HPLU5RNk4cxIBnrjXiMOf3oco2iNQ5btuFJcbpOK+50dX35mrneKOGeZZlCvCcMLllJw73sbi4 9FzvGhJMfeMoTgjQZGWvRcdTB62ZPSdiMKJ8PJf9W9cV2E5nzwreiXzF2AtQNbvFLy2SzFt1bh/T rW10q3cen29nogTWXW07FTAW1uZ1B9PYRhPpEzigEndFucPhr7WacHd83ll8bGnwumuUkOeiPTTh bsdk/PwlPu8kPiYV08LEvtTZCBsoOQtTlIxTqarbtaZ/TC7OXJdB86lZacpm7wS+YiY1aA6Y24Wf riCl9Qo9XkoqoYlSiJrEqziIf2qs6co9ndi3fCfwMUtk6ZuTsuhzWdEo6IvGHz1I6XIx2+edwoe9 0fNvFQzSyJJNGT43SsdlrroegOuu1fOCVU2CoxW6GypU7+k4egWCP3XTN+vldi/R+T/JZkJegKEm mpkMFXdWg+cF2WkXZV70leMMChXwlroZsEbsx8Gj3Px6T6r5eWfv4YuO+XNEgSPegYG2QIUJ+ZSU 7nS1vMqsVqM5wiaFba2eF7xz94pRIPA/pq6bfygeYxNMgEIY0/JmgcrUTwMy+CR76TKHpOXyTt1j x6AyderFFwMTIIeVPPWsn3fm3n+a4cwi0C/bad2RSpSSL5Jh1pguD0TIqbXDiweqZBrsINN85+2V y1rIywK2fuwbzttWON2Fv2o723crM4Bi3iLjEf1E6/TK/uqdtsd3b0kVyDSCkkzRTn1pNSUgzZ93 0l4REuhJoJrUYG6YwoY4VVwy0Ssm+b1tsHKCPLMD8SGQqDBFHXFxQWEDsu/qDSjYoScIcJ79tBq4 jrKXoRZwR5HJIfw9y5e+cpBBkSwEr2dfhFw6W1X/oZ7P/rwz9sojt9FkdQTQP2TbPVDvCTlOKGLn Rn46K26vCofG6s1MCBMqUO8JeTa4RaZShK/24o3OUbH8ZU6SNgDaRSWcWDVjTxQYN7slJg1W3xl7 eAUIHDhldPGFaXgBA7PYRbY/74Q9/MZ5/Z7k6PvJC4RxCjNyZzZWRzvt5cMDCMh6KTy7SVS+0/W4 oSXgspSZc7XCJYN8nTDtPO/deevmlD5qU0a+6eO2b4VXhr5xFKYpyD0XjDNlAIJBl9QRoCH5eWfr eXdaBzk31PkKHbeXBSrsjm/Zqu7kpbA8HumoloeryJfngHrPya8nQXPQ4RqeHVT4kTfObGDdcDbq kk02oMYYZ55XFeYg1lqBvC+/cZBrauDbOUJo/bpiIndVSr0zv2bUWpk/+wmug6NMT8nfmXoI0yjS OXVXzNn7tW4gC1WkKmheK0zvKXk2/6sMptldLSUfC4hCqdwU2F3tZS4YhYUDrAQf8UAifd9pevbW gXY9qy+qXACQHIaKHp93lh4y1dF/0gJQqsdo3s58J+lZ8Q0zGZv4QhZ/+GYaEp7CMd6Suxq/c/SK Q+3PQ3yjtN7CZQ+4WCd5PZ4jewswBm1hJAzfnR+BN8ACPu8UPc49aFWUVAYnawaCSjz6lMLGLp93 hl4xaRkd4gbq+2IC52EKsSgUAjp1+/UBkfwW9RVJOOXhBGllbaZ3gt4Fo5REqxpbDQpNSQJOnnoF DWFKvfokmEQc4iJFMxYSaQQSFH3jKE5UtsFkyhbupnpK9SRb4/Nxn3d6Hn7h5Gc4jyGMyWrxduY7 O4/1XRZOpzsQemZnp4vBzHdu4U+tMIWAlNytTVDu6kcT9K/hII7dNM6nrarOMK6OjiIfbkN+hLeh LxyUdzSVgXCmL9pN551WUwXZ1+edl+c1wz2Z0KTv+eIt3kl5iNImGWhvi9J5c5ZrlYHg7cB6tOoJ gX7n5HEz8UQ6V2e+qzd90wTjpEmq7Gxc/btQi0AvE9nbD2NjUnLknZFH0MIkIZYnFHzmvlUd8VY3 HZmBPy+fd0JeeSj81rMqEsX0OEWZOHtcfVBxThIae9puSkbmLxTLXUaLfWfj4e8ku5SdlDlxtZfu lNOQrWZtlybMoIUq72gCnr8ot9916wXW5PNOxWOYaLi+2FrhYif4LPrE1kf5vBPxymMqo0Scf7o+ PB145+Hx2mC9Mpfvpt0fZMqiqlSh0/u0dOCdhmd1BIUgNEIQnVbvHDAoID7S4KIBaiONwImGwuDw FOMWBxSwXnkn4eE+XVIykjLLKNbwRbpRddGdY/3zzsErD+LSQE4Apq2bNb0z8NgJITS1tub1L0F5 Si+7udOe3w19O110YV986VSu/VktTAn9yzEYJqiTLamepiRXVnQJMaK41E7iCd/5d7x0VPgSJ87F ek8nCeNLUxf+nO9pODbGLxgMyQ8EmzxOURqOmTokAZ0DeyoXN3/OdVzAM8HKTMPfuXdPY7xmSbJU wYYn+wRwnViFqhlwW21dSm8JMFP2xyHlkJ5WZtJXDgbBAl7CvmMlmmGIp9gH8IR8l8518nmn3jG/ 9FGLJBgW9qdEXxmqKBFvxBb2VT0Rz5SUV+6U0s2daDTBLRU2x7NMU5r4UVy9OY5jB78JKrsJq0Dh VCZJsyBsAPDRL4oXTcXPO/OOwCoZXhUS8AC6bAJTnu1Ka3uo3fL5qAb+nXKiMT7bvGlBlIqTVnXe 8wuiX5UCSDQU3wZbORfCbJ5kRt3xqYyojFbu6r0nCGwlFJrop0BnpC3ZWpB2hz8NOsvX+Yr6Bu+s u+L+MhsmD7Yofdow/BOYd+fPO+cO3/OWwNnuGZf3IRIzysbB7oPT7NgGeS5SFwHkGVMwgzwDEHAC BShmmI1L57XyTrHVplJwKpogFWBHFeIHtnwH8CoXzH8r3kM7pAZdGt4pd4RRjB/rUIz62PZNaG8R RNFPwvZ5Z9zx3f3dUNQ638CnWaBCuEqVl0wRZxM5J3W0MuVTaSsD/cRBsiV21Dvhzu5s7qRS72qB 2idPGBgDExzeOg1mRNxAUAEtAmPGu0+kv3/eCXf8oC4FsqIlG57iXGCi+4D/+Hmn27Gp4YGSh+3J MgGusDiFCTlZBoOOzfIbWGZfcf44iXkToUXnuLMNFbXHW7O2eK93ddzq2Y8dYdcRddKBJS9aKj1X 0K8opn8LvK6vHJzmwEuDNmtLsThB2z0Lk5nr551rx5T8d86JHgWlTSxO7yk55pz0ZZDPI+HQHFpT 2m4QIUBf8QY4CW+9d6bdUwYTBOjrPaHOZmqShD+5zspZMDFsM8hx4oLr8wo/0PDn8061M4gu5Hzm 9kXwp9Xlg/OFDMfnnWlXHp96yw5gXbdvk+6dZ1dMkfqcscXrYFh63Sbd8jq4Ya4urHjYHJfK8sx9 39XihIv7nEuQJ6wb1bmEMc7veN6BybYWrnWrg9VVeWfZXc4B+hq+qMI76ZQEz5Dzfd5JdtiN/2se vEGcKT5teSfZMbos8aTkihuviuU6ic5M9Y6lso2l3jl2vHHF3Ny53NVKvFrPnwzcBjQHTil8XjuW eBNo2Vk577g5OSg//JgoTMw1pWrHxagZgKtwnND3+LzT6/j+/DafkE53vFIWpRirwtZ4W55r9r18 HFxYVxoHqhg5451b94yDTwDSXW/zqQElTv7KySRrpcQNW5n7O5BFIWP0jFybKWqMCyMG/povihIc 7CblIUHZfOfV2dH2vHMoime9UJV3Uh234GSUyjVXVTec0+BZHXEIpT575+KMXGVwbs/qjcyCLm5m GYyNW/MyrbtFufzz9ox6IWK4lz/vlLoisW1CxKYv1qKrS7XSKS7m551RR2hB+s2dQHQeqG4tTGE2 Ti9x/lW9W2D0HjSahxPLJ/uT6Kq0GDUuQcSVn9WBT5wBJiqsANI7ltE4cB123NGSdxABGN8fHxPw xbiZ8JV9EQLjlKDScK5nxeNBitn/01MxILtHKUzF8aKisVscD01nOMFXd3X9yLzd0aNFXfEkmZCe lTj1/AB6JqQJJtgVUBqDfJZ1C0i0o0PkIE+6/BzgUSa+6L0wClWnueidwy2U9M6VgccDWEHxpgqT JEw2J/zmje4TZuLk/cpcReIXrTp+tRNrLD+B6SYx/T0TF8GUgEzp9nC1miBBG2ZNKSKePHCYrS8F vjpkdMFnscqOGTw+JhgCcxhVNIziot0EyTL5PrfNxwMuy/7dTQNQnrI9HehRGk5VBcCeXLhudsMX nL8PYRCKUvO2eI+AKkPHzSlfy10VJUhLg0Y8pXtxkm+X4zzF2FeZhsoV9Qrwt8HnBOUK9S42JcG0 MExwduwcz9eT0eDxiDzmu4nyR6if2/ZRVI/b4ouMMWP34EKrfoKvPo0XVc4dZOiwHrXF8S8jq+z7 WT1rSrDaziRGDXASetrSjEQKU6CJUmp96L5ij0VZuAyyZyKLbGw6NTANP3er/jZAx+L599NpXLgh WdEgEc1yUQU9pGtWVr17FsMbrszJ1ILTtJTeYa9K81Pm4T3Kw0X5gdHQszo9Cn2UWdkaH+dPsZlS QvkJK1g7568K7zfTvGAB3KM8XAVd35QU60qEyPWFWC4ZmydPwONBoPYvmge+NKCoepwizuYQiyxN dwqFMb3hMnNdnjkN9MQUpxikomO82mVXn8vOvEF5PMGkybj4CX3y7+4EgDzlClvN+Jxguinu76Qv PeamJqIyEXaK4426J54PrrtLtuvaffIu8kCFiTjAy6dgrK52MaiZxEBpqMRAwcJZ9oQ9JG4KmNlN dYarB+rk8YmkVQQKzT8ZG8N58WSXuCJauagn+jnhc4I+QSVdg1YSG74g3y542D4bk0Dd819uPB9s qJV/kkzOpCjwqTiF7fFO+ng1ewD2MosaKpnmI1lCkYDysVHQ4/a4gVLlFM7VGgUN6mRr0LxjQwE4 S8wvgVWYYT/6pffJlSCd+srRi8czHALvZ5NC60c6/6ci7YXNMhSLBT8gAtL9h3d3DsRT2V0i8Hs6 brSdQX2l/P8IMQA+iZEqVL7IslIFZYOtpx7hVFI2XQKBNLnaEVXwG0FLTIyykx4uRoq9iJP3gOzT HnZiogBpjxrkVUyp3LYvAj5RK5Ap1HklPz3sj7ff3ABVDwtbi1MEHWej6Lwls5rzc4Y/ulpPA6iI rOkdjny9eRFMxaacRRpOWPlnJta3wOs5UecJfFE0VRinyu64Msy0Huz4CRc+6F8iFw3YCVvUUul4 G9knb4uPR0wp7xXIVBxqNbw6LVJxTq7OHIEX/EdaozBSckagrwK0F2xHRe1x/vao7Nq466WUnaxw DU7NV4FUVzJbBVxADRpA5+i6ULpBvmuPsCqdMylot/niI7xVpP57yiQ8Hu0oP6P45Dk8z54azYdT I8rKJ+VsB1HMGk4RAKKGQbvWjVCaVr45ov74VKNgmNfseLxmz410/nATzHGQ707NvCRvNKGiQa/6 TFNKkVs41MDnRLOpJmeh7IugT+fnb/VVzt8Mjwdp+Rg/RxRsjybkNi1MUVre6T2BjNPYCIWDLXWf lmcHUPEzmP34ozt+bv91V+9mrl1rh7qr8k0rXhItsjYHenhRXWMNHUN8TsTlJG8IfFDQh/DOTCXj ZXSZiDfEKeyO79szYP1CIvbw7GDE6HHaYjsgE7u+WJsO7jXJ6C0Ldk0KVNQep1IkDmYGDGh5UuFQ 5XVMbnItaq1AeHSqpXeqWZ68aLNdMwVM0/AxAYS1sbzrXRozvVqyedIEVXkQscHj0bTlhomtT1g2 Qn3WwhRl5VKRhu6PQ+nYw5foNtXnReakSjZfuygrN7GiIXNZrMnC1IAl4CyBSdSp9EaRThbUgAfs OMBC8tQATSF8TASxp8xvZZi4iMt5/qZFXjnQNhxhb7zO/+wm+Q96mTeipLyzzOuyiGM7k1uXYUoz ++lUofmk3RQl5VOU4GZzYa7egTpVq4Zy4ChW6YmSrnDO+on5BP4OTg2e275xJDfDFt3Oks1ECrXV 0ISSPv8059rB88F+ar/FC2AgM+2rEhLl5LiEMAO2PURbS+PeoWdm+r6FzHHFKcrJl83L63pWy6AG 0FzkOJw47XP/TfkzsZs32HsD9c4Y+VQuGCFwnPIOm5mPFm6nc/Z1ll1fSGHg8Wh054d45anAYnhe GtAIkeOUqy29OmSlSI4cxziEz/wYx92lOEXI8UrvYfTX611tP0GqGwBixgm9ut7k+5yQfKLvOh9H WQzo+THR6A4dzZW5nZY8Psmb7ltgKJbCI8zHLzaTBxHQIY/A04ja4y2LU5Yuk3Nu303t0TM8CZyB C0bUHpc0D5F5ttKnjvin8zZksrABWEHyrzSA4mcDmCHCxSxKKPjwMVHu1Ei522LecULLMI1M1hoF 2zue/2tyl+X1hW+QPMscYT6+COwZw1sro7ZtcYLcjMUJVBSLU5yPSwmjqPPL9dYt56Vb5G2eYxse AZVChoONK0p6V4wq/b1jljnCfLzjGm/wcEJDmQZzyoNO0dA4cDl3wsIPCJoG9RddgKYRtIY9UnE+ Ti9Zlp8cBi8+oJ5BsaPqbIBezedsxD1yDc2LegZcLVIQs8t0rzz5OHRFMa2gZgirnApW1KbFhDwT tn3loF3X2C2A3SUWzMtoV3IyqUV/plOI5ILno2GCl8JsruB0XM27dTNskteuUljJJVDRlJ+je14v xjVHjzOrxJuhiGFSXiAJMa02mSJ3jyA0gMdBW5Ta44Iv6sR7iKTC53ckB88QrMI0vAF5a4sKvNJP 9CVNWCoej6KUf7YTpI3GuqJYM8rGq9vmNSvvRq1e3sHTQn6Mmf4jbK3MsEkuyf9W1DDgasd4BWZ5 qQVFSRK6Z5/XZTOigGETsOKFMLq5+KB/DRNqJQGPi5CHAPsWSYb0gscjsR5PM7nzMOLcd+QyQ2UV +lYWic108VqsajkHSLooMSSsUsYKqZyKT5kaUZX5KNnD9ngD70KsCmg0ksaaGf3iCpZrQR7nmB5q PMy4S54oQderL0oMzhu9Rercq+Lx6CTfP/sJ/J+dLrZgRtl4plRPWcWJLWM2o2ycf31dT5fkkv8z 7pFrP/X0rBd2eA7ABJI+ueZjsglE109KMo8vBsPXOHbpC0eHE/G+hUqrZ7GiZZ+0di2j9Ew8HomH /mILwCYFl9OL4Bll45VFcEvdnfJOweRmLkn+XVTPzl4Ez1DXUNCnWiSEwdXCdM5uUBzpKdjhjwoJ Wro7n8tqg09WaB5sA2Eadc2Qx8lJZwbKxRY1VcpInLARxY3Hg0ln+4WqoGab/eKiZ9ggp2n5Lhep smpzvO/sy4QwMCQxvO+MkCpdSXgjZMtWb5CDjED+FWh3eyyF8vwZmATBsHrNmz7R0XNGyTj0O6H3 1IovTAlyRzuDUZpj4PG/2NMUr8OAd7V0d1MEVSHoG0YkXrMMUYHVeTL2VAPy3VSzZ9Qfl91Uhhj5 XX2ENyBjBJE+sH02znCiCwbMbs4XPFnmfkD2JE/PKBc/D5GrQVwmpFSUi59amOMbTlb5LaPK7vdo wiEJUUSPUsjhTOz59vXTnysepdR9LAVtHXvnQnXDKkpiFbOFq191J+0AjG5Isxc/V56naLFMYGzW g8EglBYfE8Ce6ESyB8W/uKg9t6pSiS90XvH4/wUdBlI6jOI8ShFSxbtORpLm2MWpCJQbFkvq7DCP UpSJDyNwZmO65st0zXQcpnb+OcA3+o1qz2UZpgOvWwD4c2YLIfYzysSZZiWMTrkA/VjVKFiEdp7/ 70lm8PxfyCcRreHplZ/UKeRwTt5vUlijuoMMWxCpuXvxSHVX6ZmhrIrGwavXcldPME/ikbNIGxgo ACvHbB3GKYN3Yx3pBmoVfeN/ZU59ECHGxeCG51VkHxOUeDweZZhe2zF9B2G9XG2HFeXhaakENq4d UvLSHV8vq3Rpijq+YEVgFVWVqG7zXf21g7MNqgg1CiAx2kQBKpDwOrUVRPpNLUSKBSvsiidMWAZt urUI03P+icXEt7Va8XikZfSfthNZtvcIX2FXXGjDuUxxfWDEY1HivMjQhihvFaUwDzeMb6/5rv7a gXZNdxPYop/MZ8hOomNuMCGWqfJXyCfcJviYqCk+NNkkZ2Ox768z/LzOkqfdteD56KX7DwkBI1FS Si1Ooah4E6Gse3656HcrtIq7eTZoDvhuCtEqkszsdjydlcNGuo4s4MAhSYDjqcKrRW0nyJE2NMdW 6U/etL4NHxO153gq4W2xRdCniUxeVNfFx6Nqpf9UK1A564/A4Qp74vjbj9qXAQvOv54Nu0o1Bqt9 K2bvilKIGDeHgyJsD1cf2gEctjnDP1FqCcIOvOo4K0MGPlq/CQFxdCvuidN/OZF8d8qpKhmLU1ud d4en+Ql9wfN/HU1E9IAxPp+m+IrScNETB7HWNI0/r5qp+J+9uBxZPyGnysRphSROATBK0aXH9UHW g1dHobWTGMyCHBJjHdDYYO15fuZ6/DM2gQUrgqoQDJ+K8KvokJ7vxxwTYkYKFWxZJ35CNGe5TE6e bRhB02PJgvX/GfuuJEliY8n/OksbDVrc/2IP7h6BrObLmCbX1jDLZVZloyBCuIiCcWQo6AtdtEpS E4pubtNUHhpoT9UmK6yMi1C3aGlpo9M1Ujppb2dqB7ljinRDzYiGXxAxOG99PXNRmNIr/0srZA6J jA7nvJ7VUpK0Qkrne0ZolRtnqjSOM+paQ6wQOZ4li+X4JxRkTW8tLXK+tapqMr7GCsNx8VkKAQM2 2qoCUv8s3E7ZpwYdDCHqTsyDcw3rA1GIgzCy7rxYVIVwFTT/OCyLGetOjQWWnlLD438piTlObM1b HF9RQE7d0PO/MYlxKJok16XrXtXEjTUsbVkhdlyS7DKDsdHuvDZR4AV4DrtvbVoHcZ5oBEBBpZXS BavgfMMX/RvIuqVzCO09Lii0P4apY1U8Hpzm+7e8P9Q06ICjifo3l3Pl7Tpi5MmbqIqILbz0kutE rzAmT5ogGR1p9ImC/nFFiQYt87OySmbx6aRJQKvQaYS67OwFF4R1fOUIP84mZ5dCXa+O6in4t2D2 g+8ZQQu+S74ZxiEbB49NVFgcR4h9Asx12Qh0RZGsSusuxJpQvtBEhSG5mD9Ayd3RJ2qcAwTNCrm+ FhdcOzc6/rcECWNfehxFoegVxeQQRUR2RywrB2NKYTdwcZ2DAo+/T9RK3/Un6GOd9Kl4YWVHQbks X1EGsYnqvd4VVbZ3gxt6BAwQdqw8LvxT08riaBN1Qv59YnIRzidOBLmf081jgxwMzT+vrMj+fEdR eWu0jICLH4cq0UIYoU/BGerk40Gd7mKjOaeoP41bf9rvUTnMXln1zd03HgWJleLtYnK/6CE0kwzZ obLKMI3D1e9o00SnkfNzA/F7NnZKpHgkRFQN2Giw5KBE6VceDRF2iCGvKlql5YPx8s9OYGmFAu37 PSx/3EvthCoQ7RpXAXmHIPLObnBxXbrRSekS2zxvD8tXdz2jHYbly/TDdEJxdAED2FxDfUZHeVsS KT+HEmsrADgAmHoNhIfeOKrUSe9p3EEoDOjFmqJ233g8YnJ+l6DA4Dkxt8ebO2RyThYx27RtN0rN nr2gG3KxKm7UskOsilXHm+k9tLueCvBb56TumVleQkUzCfvUSSc7iwsMDD/HwfjA9wR0qSbhcV14 y5tSE2UyVinaxnKKwCqkfd1zHKCKMa6w7w4B5OyaIxi/x/hwHhDomzZNUAi1aYqJnOZYutsdfTXR RwMlOHTNIW4jH44JHPOAUg8aCV6pAxcHX/Mv1UykwRwAnybOkC7XzD5GnhvP/6VyKEY+sHyw+rB5 CmUOic3cqqdIXcXgPfBXTq4i1sU5xzyFEXk3dRXtOo42Ty0hxd2d0KcOJXuiyU4khBYn3fzo0ybh Atyx+JogfCq85brw8X26URtsvNgEqCd2xOORdl/+XTMAgD15+LQjqEqqVBdN5jsCx42uGjDsGa94 H5FGmqZQ5NAEC6qWVRU3QNkweqSwa2V5fCFUlyYdYKED3EQc5aDUK8mzN44Ir0yHF4sGHKzyO6f0 Ak86z8f/yvBUqDuT/tDMdyiuUuRNut1SmXh6Y5WVZNOUF3ELaJjvEKuyjI1QntHrmRnIi6KG+Tj/ 7yWHl9WxESFgT2SyaYvS/xnfEwSZmeyWSVE6DpomgNhkUprawONB1nKDTDEPgCnYl8u5wwq5DIDK dF7ZqMkVsvirm6FyH6aQtWOsylRrUyI0HL2gee6IBWwYD/GzsSUBkVBDgnIjPEFuH4H5M74mMknK 1FVpxQcVNDeIJTzDax2fHeJUrhIkZglfu0ErslmKQnHm7eeqc8YrtI/bjyvV3uo4Gia26SKcyrSz qRryqe7riZBh1TIbrd9RHIXPA2cJz3SUXFCTMi9zmlfga6IuArstqCHbwFk6F21X6xm1Lzweaffd bgt+xCwDmEfEPkWheKNqQdlWoGOtrhtOZfQsmM/ZK8l7nPiofxHw4Oqd7mh1lb7p/QTYXIf6Fxhr bFcTtlEBUKuQ20bqTxegbS8d8F5pToYyF8XEwNxb1hRAEXszJtpp8yMiHOt35jKIdKgXFZ1TqHaY 2KACtlHUDVC/hFg5sU4SeAUAKK8a5PRHUJ6TyiwcHSt2gqbeUUCBe8uJOsFdJHcDmRM5LQXVcycs MiinllKQmCbeeH34oL7wyY86f6R21hmfD9KX/o0+zLi/YEtwJ+s9LneLhLKMk4/J2oYWgyPWtprd wl9lkxWCyIcaT8Tv2+hwMTTOKVlGmcjzf5vgYnBSPhsBIrWFytpSQl7+0hEkQ1HCFhuWWvnMYc5W WeyCjgnb2xQF5+PXiQURNjYYfbJCfidpATCmvZBWk1w520OkWKANUh1WNafIeNCqkl8Zwdo22k5E 9N/qotDKRhsVIQErnCgD1k4FttRvuienhBQ6Ay32YUB4tEHHFhpXWUH3GHw+ON0vHAqbFiI2E/U7 n6soRAfcHpndHF67yzPbqVXliosOA7NXW1hR6Xy4S0Kdd3QybN0zYw5Y5DxrDHETEZtUg5oMtNFk UC7DDjO/KXIpISOIXXgNmqvzmxRKGML6k89HdH3nDZNCxWAZR+idrbB0XhlP8RuJsquUMfwPdYbp W8czK4NARyxiTrEgufA+VQL3HD3x2xXXjoxvVllnpbF2viFHCQFOIOihbEGhjEIROH5TRMxj7Q5q VjZotuityF7ZWad8PvIw8w4f0dUTXrw93bmKdVdoAb+NWsY80OYq05dAiMSBKonNVRSuTyHwATe4 o+3CvU7WNNHzO3PVIBqyKXc0wC3LyEiQJV8JUhpW8ZuiBJAoMjVnOBgm8dxDzP9qrZnPR52G9fPd v0Lw0K9GTU6x/ApV/ib7C5wsdUbRwMKeNAktGOb5Ngxr6AYsSNqGhuqSIUCCKim0MIl2xZLt8n4t 1NZEKz3fZPksRH/pILvZlLqHQAGHaZA7sNtltnge4vORJKIfWSQcy+T5maswbmegBe03R7aM6ciW VN0A9hwqY7rbYiTCUrP32NcdbRNOqhBIThr8KUDGWKRClXLiHQh3Mmzi9leORDbpaF4lE+XZJrT1 zZYSyhx8PtiC9btKNUUVLNcwKIV1dNRmR5EsFFX/oGhsitK1bMuYM2tumqqwkK6oHaoZd7TTHYz7 k3+vZPzYtIQoy+A0LZzIuRAsJZIeacT4on+a4WTuxOpgo0ovR4bkJ7icfP4v+hkjjErDXE9zcujh STZUR5Jgtaqy8kVy5quzySKNeVOG+BaFo5T89vEWYSZc7XhcFZiXaFrTOeGgIYc7YZPELzscGp3n wMQTy5dIc0TgNihvRhtXqjVna/D5IGZ4zCawrGAnWB5Xs9DGk3LZ54Aa7WKDV/WyHqlvKjCA3GVT FYFczOZlGPVzPNTPBhxqJb0UFYZ6jl9zOgHLk2JRPKZM7o84xfwPG0+GooAY2qCZGg02H4zbS+Xz UdvBI9EiT5iz92Z9piqM27vKectrMbsYvxHaV8tqDBCrX6ox5NDJU94IuTc1ajh6CTS1BXY1wWUw JMrGXmAXENSMXB5+FSiP+qK4k3V+uyYpSaa1P5JyzPL17Cdy5vPBHZiuiAZjA/A/962p58DN03h2 A7vby3uSeO9kMk/T1kJM7aanoZ2nCZJO2ZVp1FwBmnB+88XgCnpYZQpwDdAFlhVIVle/lVLE/J5Y HQKul2v4ICDeoO4ioyy46AZ+nr+ACXi7TJnrG4aGhp5rStu9+apaO/kGHDKC46piVKGZCkmgRatp Gopqbi81nKuNULMuOe61Z5l2VrG2hmoOwAFWu6KAOr8oQCYsqt9PBqODX8viFSylt5wFx/7k0NKz XDie/BdOQl+vPkQOLD0N99QpxmVTlUyGG8S97fLuVDa3DRhG7FKIGNnM8/K4tXXcG+cgaaqGQpRU ReOJeHLQLLnn20mGbqO+KDqstPPK8kF1PhzqRiBahc9H+snzK65CT6s+Jmc5MPXEpjEXOLdhtGN9 sZs2HRe0+7UXDF09te+Y393RgoWTuNS2DRg0ABSyVBDV8Q1WXE273lqf6lehrafUy1NZ0wflNshs RE5rXP5RvL4fdxyWGNgluxaDOXT2TDqrenFOP8xlrB4zqhk0NpgF37mKBFpM6ge1mzs6iKoiQhhG Lx6dvSQkguyCJza6IJtj1uj8i/lNERGbeOFCK1QOiqwWvBK1rlLl85H0iJ9WIopsQKnWM1lxwI73 rC0ZJha5jVOMZX+kegzCeJussNJuyY1pj6RHe+Sk5rmtVaQ4Pc4hzhpigsEFAtBKDFUZFyDU/aUD /wCDBnETlu2bcJ5AvUkecrXC5/9Kbiw8p4x5fkKGEI4uQwpqyhPLOEdyYmiDTAHRVBR7sFQwtvmU GmBGu9dHp6iVBkO/Le0feC2NIooaek6Q1f4pPX+Rr6a9dJAK0rQD2vo+aGmBSCm0QkUROXT6ZKX7 Vq8yL5fcL4w4h2afXbI2TFV5aHXZXFOjrA93IkZh02YrdPtcwyzSRS3i6PWrhZz2/B8pJZXZSP5L WoeJ/czM+pW1u/ylY5VuFkZVH13L7rSWhdb+QXOWz0cVGT/geWjRme/KmefQ8RM5Bmp93XS6R8YW VvUKt4sqMkAwZJ+rEJyu6hW4aXd0cDoaZiUTBnNiqrN41RmEQeM54BGMdmhsmKAbO4M5tPzUmZWl AZSvBtC5mXaS4uTZIHw+Imd7qY+4a9wT0Of3qYpwMFwD46Tq2TZhH1RFYDNH9fYljyHjhOTA8hOB j2SSWn5G34STZWQS+87lXmnyyeYEUh0A0n6INPeyaE320v9S4IJxCodzakiGBEpl3CDIBTY/IIrc r4wpw9Hyg+b+nawQDSPS/zIRRVxJ1SYLDSg1wdCcKN7JCWw/URUVmbaUdkeHXy8IRVZpS51XGuSt wdoKNT6IKaGTU/wyTCT45dj3k1lOLkWbp1jxCj1uCp1x2/P5iPfwHWRhD8Lu4M5VFLrT/mAkeTHJ U8BIkfWEL8WRQ6N1LzMEzp+PgrA5NnK0uVpgZ6dJDOhZFW2pwJUmrX50wF01U7Ty7Z2DqcqKihL3 IHS/JSqV9ySOD+zrNfkBwSa8mmXN4gbIud65iqrtdPE+GfNwU8vNgEywmOKKQOfoaZ4Qhvafy86r UZ/RAdjgG1GKDIW+AqIlq1cQFQYaDZFsd/6Dl2RC+89cBOtnSaY8JZmTvhAE8XOu3cbnI13qi2VA SYY+iPu5BqPYvQkaU6513GRJUp5o6Rb6GmJJm6pQWlFbcNry4mglmXN/1/NNxGCfI38UKtDzTDvp NIQ72wUWZypC8HsC0AdnamaKvHFQTfT8BkrXz0xtPv+/ODQVLuyvgCGK3AfZo3OW5OiY5SCi3En0 FXQPC9tmKlY6Fxd5GDFyXGIk5HT2aIOWjSe2AauNi6oCx7ATXSOB+1AHJ9tUhfiYJkUJbsCGXJ91 UBClKqNuGLrx+SB3vrKmLL6gQgBT3TtXUeDerd11Xb/IxbHkuTEqZfJMATkmz4ELKKbdiMgKRTn6 YYWSDnTBmTyfu6rJo7dDHnuCUAbigIVWOBP0PcEdSN2bBGSyDarInB0oTDbUn/j8X0eVdADOrt8A ofhURVE7dwvUtH2qlpz3Ortdw+m2lfp1mqqYRaouV5LHJUdPnss+8RR+D0btaEBzWYHCADepgQ8s LE8SnFbsnYNlxawZPtEcsH3tWN+m83ZWLZ+PVIV9WakqeFIAnJ02VaEbKPCmWP3b+zc0lbY63zbT j3OfV4fx5dgOVAC1kXQFcrzVK5RlkKoB7rjG6lqB8DjDu5IV85ipd3vl6FRHSNUrL8JeL46vQvuL ZQYW2gND0G/hc8rDQziuXVhoDg1BqdbT57640OWqyxVYzmGLqjCn0UxFAftSQNXUO9Jo++9c3mPU TQAt/pMT4X6JJiisYGQ0VS/Xvds7R7w2NOXbkgjOch4EpK3kE17P8uLzQd5c7lQR9QAp/X1VgvK/ XUEBa732Xz2bVThZEd6/AYZWEXtoC2pq1VC7u6Nj/E8iMOhkf4JQSHnXTbWQRgPuSk2kOW8HtavX FTqDDnZQVxf5ltAzpSnnTpC6/rlCMj8gAhx/q+ZS0h/1oWe6QmZpp77L3pdh09ttd/E3lr7Lal6T if1B1ZdJVaVkjl7AggxEnmw4r0raFqerMy0rFGLHiej6U9lfOghEKRUEkz/G7OiiiY0ENC07R2dT ZH5AkDjnW8HSGy4owj5HVoiSIVYNFSZDFNU23WlnrGbErdrRt7PZCnVepJKXpxYXR8+cEYwkGZee Q7KUPYRlYNnvHFIgkMxHhgrtI35TpBvEc71w0kiWL2qfroIS4g8l4CY/IIIUfd+FYLuS3O+TFYJk sAiB7hTtNveWqtWR4WpidEB5YisdDM1CTe0l5/2MHrYnKKYCNd5R9ju/+1IBq/Eu2DjtsXit6YUt q5eOdqI8iWrxQXWGOXeXWEBHbTTwC0WOdEMszMtO9JS5Ta/QMXTIY24+XgT0abOiuyl7otq377EV oWSaMP+lycyJo01W2zQrZO48wXAswv4nKgzSzgYmYg/lpttL/6tDWNV+lnGWedJXaP/98G+f/IAg y8nlK3ZHtWDmp+oe2IZaF3aAAegdiu1alSeD2I6CpMyWTVYYuytyyK3OO/o2hALZIBWoDcjaFAH7 GgHUFVWMysmyCtZe9tLBNqTH3ILXtA1qURSYYSqPXp3PRxHpNyW+Ib6tT0YY+oZOFmWodKBduHd1 Um5yUcYGaIhXsGLjUNk8ZtG8Nd5diBYXZMhwZMEHcwyVkSvhrWDafDlA7+4vHVlfEdGXaPfIQSRK 8D+ppNjODPL5ICK9Pjyclwy2wwYwyWcrRLnTE1O9NpVGUzP8FfAthQaQsLjujr8K3UNNKndW2TNw 5GydG72cCGEmeBjC3idRaAbKAUjWT/7IbipSEzW/soB9gX1ooiQFpGLXlGLs1K2Go1UwjB+4SfID gujhFwCrQTekXreLHBuIbkuhnRIwdr8EE54dshaH4K3C0sBBFOV7WaltFUfXvsVR9rTRgsSZhZ8A CmgEaeG+BU0eB/81CyvLXvlfNGZopWiQOlwhe3wJqgZMQ+AgaiJ238SuswHzFd3PoYXo4F0483UX z1QUZbmP1HrjmKBUp7Qw9BC19sSoaoFxdPzHOaXQ0Mk0DDsrbAmuvDJ0AFCuRuXG08KR/Z0jpRO2 nzMtwxLJq0qc15l8KcJA2DqHLqLpl84n3pNr3ucqiuBZIkEKfbEyyTqGFQmaLavSqJSvufpDE6Y1 k0Fr1+jpXINIBWeRFPHKrATJNmycgAgWyEABGVYtFXvlCAFJviAgaxiAdCxKDDtdPnARlsUPiGRh rnYsyaY43gd4nj5ZUfxOaQdQpasn0W15wW+lG5GO7KZYOTYTVW10LAVZHK3gh2pfK7AMQRKdVUPJ dOc588Rjf1wQJGIevXKAAKFK3KjEQnJQEg3VUpYbagYGKzQTzf2Go+LIQyOyPcdVFLyDGwXkbHa9 kymx68mb0EoP57hqbpOZYz9RAz8O4Yo4OrYWqHUI3yFqSCeHImwaBHFYN4Fncw7Ey0MF2FVfFOEa TOGr+mAEy3N+mOxCq3w+IuWsr5MdTn9gv9+pCivuiR4qsy2bqkzuAYMGqlKYtB5cS3QNBpaiFy2T utNNLmYbegJwISNzYsEFcIkoL9pghkFggTO6w2WU54Suos28jMj7mlg/sm45x+vQt55PaPyAoJL8 S2BvEAZ5iXE5MBbNUkyAkkCyioNZPUkTfBusAVyA5Osq5KMaBsQ4OfmLk3P+rAJ1pkmVCsyXhHQq 12LFt7e+vT5qLvY5MBfNFjOsTU7OghOqnJ7PMb+KwhQUHEJ30XJl5iVRRKWWlJ6WaugwKlZOrcYA R7eCXFTm0HTxptB8zxcFEliMoshrZT8pX3N0JBZgWZSEQDF5QiFexzv4UhPLAbpMPlk72ytHjS92 UoHfs0En1gnqhMpChs7nI8PaGzWQSwj4yUZEo7n6vAZYFVM1RJ1wkeK8XWrvLIe9bGWx48qpeg2v qgs05WbqJ+1RP8FxOE/SQzr4hAjrkK9vh3HCBAxw98fHjy7Rr8FVvWI6k8qyMpP/MdK8MIwA0H9e Q6sKLQX1cWRpRw+bxSoDc9BohrpRvEzw68TrrM1sAduTWs4VwlO2mIIZMl20KuFKjV5sp4N6l+N4 PXHVYGJ9olLeYPiNKKYjEwwK1HxeY6qKDg71ZPe6g6Zo0F4Z/zwx/+c1oKpy/3umCJWcCb9kTdFr OHUeIv1hpGFlPeyS5DWr1LNB1E5yls3Y4TWYwiKShM4w+2OOFh9wjU7xujp0YKtAbKmiyA7MAReR 4s7Z9Lrvi6hIOa7UO1jdeKDx/0MSTvu8xlH1aUWYLyJWdafTE77yNYaqxo7oU0IUTf908RxxuFkD XTuZFvhrBFWhc8Fjuw+h0jjaNpvgME/jf8NizRxCwLb9GWAmAYTvM4Ts5/MaP1VAYaraf8phoOio 8kGqszDfRc378xo++V/LGVkWaDYdRZii19ipmsh6F2JV+kLwfbEOxH4UPIAmkJNvtM+clSRIWkrX oBbd+E5g6PnNzrxDk5jADijrwTTs/JWtU0RWXS1Kxb0GTjiLYL8AI5Dlg6otYDkV1fFq+7yGTdWk 8TglXHLoHk2VD+hjGkwSr6OTajd3Ltrr2viiNO04BfaLaWsYTBJ4kCxGGZ+Zks+aJMjwd4kLnXgW hplF6EYo2ecCS48G1whrkyYZrwaTBFV3zM66Q7Ly3axZe22Vz2u4VB+nPu1QlB1Wn76QXoOlakDb UdPyCnpRTwb9hu66CyfbgFCRjEGiOVKVsyUVpTh60x0ygyxzndMIzMlOetfJwFgGYrgGXSHbbMzt XuMkbDZJdgxutkFK79LEnN+BXQcY6H1ewyScZvdSw/8UHt3nbPF19Boh4cSuRQDs28JKrh17Aua1 bkCZzCjsNT7iia0O8lLFRKx2zRGUXyYwHIBf0xFkah1NhpOwJmhUh7PYm3z41/ioqiqCoyjdQajP DsNvprMnwvq8Rkd8Sz+zWYDpqD4x7KZebbTXioJuXvECym7HBDVq/Bp8Yxr14bWoyYNC3XXT/s6P 9neuuNjYmIG/TD2JSdu214BNZaENlU1DEi/6y7yWNDFHQElveh9xqCrjIiAlehzlhvJ5LWhWK1Pf ew1dgr2S32uvxczqDRjmnJqjVm3xnPBxryvZwZ4lJTvC4Mg8eORNwPHC+EHB6pTJ2RvK0zkpLUG0 0JAr1lEfNB69r14LmXjhJSJ3E62baRIO7ZNF5S4s3sqf1yrmr4tNjAz0FdHUoJQxg8gozh5KSZhg mqBQKo5EkAcPUFPnzrtM0mim1AOtTQUCjt57Abx+LZXIwXaYWk2Tpsy0DangQLgGjLLd91gbPTns NVw2PvAQPqH9KFtIRDCOomB7WR1T62mcH8fMZUTeiRZU4oJSOQ4LqlbTRK1wGrRmccG15HyjaJ5M 7szcrzh65rZPhlg3PcLgv76obZhgUIA5hZQa+AneK6ZY4Oed8Ffd67GJ69Au12EAm7qkJrHX553v x4i7+jzhuUXp/mdBvcfcoPvRw7DfSGDV6SVM+oVq56XqOoPvbL9qOFFEAG3d0fEaM9F0W4DFE0m1 IWsCVGTp+AUBfs9LADbhG7/PEwpWiAFG8UH3/zkd6pKJ4ebjQcBkpd6uUi80LBa7nmoghgFT0nry wFvUOVOoKq53lvJl+kWRd1diC8jCHe9Nt2EqQx9oeMMVGrDD2Acm6sgOKMPr6p6p6o3ft12n+ndL 5ERysPytjzpU8gUCNoy9zWEVRBS93c/K5Sa579G3AVFGbX7ZDdkFc9+NMaafT3ShUZE3midV4faQ 5yNH67OcOwEAqSmsMNSkqvFsUQioTP5quo2WqcJleDypjc6KSce5XoXqTEDusSCeE2pxwUSJfTfY ZsfdA6TiHHffRRG4VPd3zZ6mLPn0SgbVM7lS6FPFiXoPwbPDM8bQQT7GPcgBND6/9ea+68AiU1AX oOoTKUyKiOx8IWVUQPi8c/ywvwHYO0FAnz7wklpr9bJ1VJXyeaf4VRRqfZ5YtEMO9QNDLp+oMAyv 8wmfWAvf0+kyvTbvoG/osqhuEsXhVrIcqfY7es47ESqgPonSUpXiBA6oztWBqAN0HPM2ztJOiALx Jpw+3KdsUA0OJoLbdFD3553gZ0nzs6DAK5pStOY0RZE4dkOGiv1j93hdHCY7WPK6QIKoaYpC8W7e xlOFEY5+jm8oM5wAgGHmOXrJ/jjZPJAMdEbIhJKZFsC0N36fpsGppXwoByNtn4gY3A98Yep8PIgz Z/2KC8BV3Snfc/w9GEd9s3DbleIlJjYlpSRPAyuVmPIVD4qi8aEo/BzSz2ir6WyPeS7/quZmrnVf la+hHhRwBo/5ur3x+zRNqcVuebAz1mKVqfYsTfbaW/68k/rwB6fvaQJwRhp+Nk9RQI54ANob1XYd lKaTt1UopEEsBlVFtJzCiHyoT17lisnRzvG0QGYB2Qo2DudCc4cZEPCGGLkARgidPyVHFUXk4tWu ziiKg44neCa659z6vNP5sOtq+n3fNQgs+Hp6J/NVa2p2MXdFFC0u3AW8+fROHVogKlmG8bjuORgr 3dG23QYSFehpbDvoG2eZggCl/7Mr5Q7zU23C2v68M/m4A4graFSi4qDwCStWgJUTgnzeiXw8RPdN XX4k+73X9nj8ncjH4JanOIH7mifqmqhwWVZy8ObF9rzz+LgyTVFJx5QsWpXhnR9757ZgogTUzcxL OvIUs0TjSR4893xi7fKdx1flFDaRNNJslfBrGnyd2BXGAWyPQHLu887k45X3XXZCf3CJ1c6piqrg dKg8P3JznOuezacKv65FBhUQS03Ve0RutUFSHccdbaoWTLDSJvUYPjbVnEEaue2NTA/UNy7avOiV oxiKGoObJcy9qVDIskqd+PgfmhOszzuLj1PtwSbPI1jC13G7BWFMPlmgq5YAZ7p+e3uuWEIDBpFD XN85fFUOg5igNZ/RwRdIXRJKduDpFjjdcqIK/LKhET5h8pSu/AZVXd4pfNXhwGMTs8lBoQGaQVMt 4Fk/7ww+nnH3MKe32IkYt7hWnKgoKGez+mzceZ2LyOVWSXw9Jg6gUDPWfCfw1YsmqE3JC0cvQZ1P Oid6Iom2Atyc1XuC7s1CmyJrnuZjhPXO36sSKyCotWnIioUAbJVH9/kV2uedvVeNNqx5orMFTqmV 1916YVm8EUhXx22vKMsbtE9Z18Qhe6nunb33NOmg0HtHr4vD0ARqi1pR6P1qRVV2LsBXOllpe47z pVcOoqhMj1VUfW0QvnyAFUGI657r807eqwYTV1RO3gMKumPvu/feo3KTUD3TM3xJVWeuAwXupNCS WJXgTL1H5RZpZFj6tDtaHNUgIAldPCqhJtA+hQHu1CoBqLaQim4GmbRbfWfvYRewDgW9Zx8EPUSF UaXfsfbnnbyHvzjPr0AK9lW0LbN5isJyOMMjH243H5Z4s/LhcvPhtizefKfu4YeWDdZQS1ejzdNe JzEpe4i/XsHDUPYC6D3reIAg3zSvDL1xNE2Uj6hdUHyIZQgpfe7pTTQqCtifd+YeLx3vI7C/B8bD OYJ9nsIiOcIKdfTtiFq3sTlX214ARr1c6+k9Lkf1mpceTIzvaOkw4rBzlWXCpIHg2M109weamth+ 66mvoGvJNw7izcGzSfYE/doT4JLttDeEvsrnnbWHx+f4Tl/QRSjPARWF5YCgIU+/vYTJVMlcZoaX oYiB0jS9h+VPeWUrGeZoYfkAyBRCoWSCnnh2aWuSQdJlK/joCBLx+07YezZdlndvdhRBQiC0ZOKw xuedr4dgdaxf0ebJXgYqftYlj6Jy0jHht1odjVKf1eSMY6o4GZDgna73VH/h+3JHmyZRY5dgmaAr FEMaQh96QE9150ftDbf7552tV111vzaqxFbFmVhB/Bmkbr3L552s97vnwuQF3OZ544J3sh7XYOZq ak7BLtPNneDdm+xwqi2b2cU7V69KCpjWc2S/aNQ0QZHiTAbdXCrJBKT2ZtqX0mkNaiTnG7sYQrno jaN54gTJMpuDanXzhGPVxJP4eHSG303HOTmPQFLW5ymKyKH2dU5QFkIEiV7Vmy4luYtDWXfXvfP0 iG7qKhbMfEc7nICvgHsKDqeG0laSwGBG3a0D2wFesZ/hbeiFgxyvovQ01Qq+QcEJmfC2bAqfCOzz TtFjo/Eb30SbxXxbwe/8PM4SZfclPCm4fdLCAp1xXIz9yqZb9k7P46bTLNmmW1+brsMPBSqkLBks 8AbcLav/oHCkXpqBwCblR97JeQQvsLSyVIhCalZlv4PskVf6TLl83rl5rK2kr/xOLLiSfZ6iaHwz v4M0lq2mtLdhL85v1d3EoRFTwtUUlcipdY4OAjsvGm3XQe6nkFIBzUBI4TF0AgeXZmqMua53L577 vLPymN0RLCd1RQ46wwE/1uF09vLnnZOHwOVWDBh3I3/v/UaY75y8atqKrHU4tn67E09J1N8jtn7c CPOdkmdgP0QC25Q7d7ryEJM0qkVxU4rKbvMxgNzXIMUBlSSHFjBpeSfk4UrlJVdkV89B2IJzXJDI 9NNS52sGqynfUPxH0vEdUkM2TVEg3rNMVpu799ZR3QqaiaknwcuS4Hcy3tMQPstx3NELmhnIVJQT QOIfu9NNinZvWFWIJWCXeWmeBBa+c/Esiz3HUqJA0nSx5wostex7T5DXP+9MPC4MP51YJAayEQ7j Nk9RIM5iQR9jewFKy0CFOtUNiFTZ2RR/3nl49WpodIPzIOfFSYF5KiAf08gFAeYJzegtkcEjBwIa s1UQu92KZtIrR+g5nOIEuGBA55/H03mBqhDznLZ80T9beDjHkegvQERspqJQvLFELq1IxphZfk40 VKNgiAVP0zGGYYk8KaUzya3+SG7VEzADdKNOAtYUthl54CjvFTRNKA3jaF5klJ93Ep5BDGBhuJKc DM9tTHZG76jfkN15tsLnnYTH1tZ3bkeQGWJdm6goGCfScJR6a79rZIOHA51ktV+4pVqN/J2Ah/cX sqcBXuOjg57BQG9UpIXMTCHrNLNBBTQvuGsNRQ53wRr2ykFrKlMQKVtUPhz+BHaMfMPOZ3ze2Xd8 z1/1J6jdTckiEZEZhePUsRgQ/TXoc1UHAdDn3sz2uMFeDRwNQDLjcFxw1Sb7ou6RMtTPF9R+UWAm OpxUa64oRCEwVdlIO7KfUVzIn3f2naEpwBceGmCewgMc9SzTu2vz806+49bdX2cUdj66Ux6Qv3Pv mDuTWg21juyhQaLGHaVUUZ1btO8FLQcr6p16Zzg3rKRqdLL6RScDaalt4nsHNA5GlyJL5T0JVF1B Gd7qT4tSW+/UuxtDUaGZQzUg1IlQB9F1/US2n3fiHasa62ui8NsMwGZsnsKAfIq8kqTZdv4p7SMS sprZ85wjCihNLaioRN7E5uTa9NHqdKiM9g1ID5bZzg1sUzmxg3sOTCkLRzfDs1eOD/O5VxKnWhE2 ZTPgY6+LLNfPO+uOdTpfUGwnL2qvd29PvXPuGFNQu5DeLP+R7yrNVje9Xbs4Ze3cYWg/4tZ7p9zV axjWLUpQbq0FBYmjvpvse1NDL13yiUT5FHacB4Ny7TwyM945d6wsboZPFJDiIGzBmWpNFIRLPu+U OwYXHkWx/AS45653nsIK+ZBrfbE770TEzQ0axqrLy3SjW/npnW/HeeJdt5akRTj6PCEIK5kGdCnD fkKuCLQHpnRNRnvaMmEVVt7pdiQfyA6a/gzFMyTwruqWZ9iJCT/vbDssx5u6NJ1Qe7pUBicqqpBT IxaiWs7zqXNu67ngCL6wFe+5vLPtqkk+IyGRgTZHr6xAAL5Nufeeyyk1MnopUv0zcUztdINyur1+ 3ql2d55GpygnByUv5yIliBJx5/i8E+0sk/4q06Glv9s9n0LMCkF146k/DWXFhEXn4V1h1mc1S2FQ ruW0TZKTo8/SHhvIJ1RRygkH6ELINh+8wWFtBCs1D8m1mqLauFQMWiZNiINmaZ4gzfhQrX7eKXZs njtGU0oi6weK2T5LUUhOwy+o+FxThnIDzQoimjE1iJblpvt3SA7p7XxHm6WyICWaWBuH5uswUa02 2WVEb4p3naBi+NLPO7mOp6mkVkRxzUZxLRtBv7Ev5vy8c+uIFJtfRxPqa2PePLiF4Thi05Gzc8yH hD9QVikle1nl/ObN/BhaiB9XO3ga0oCjF58KVKY6ZV3PndTS1HEPKNQP+XV9pcsERpSLrwmIY1tu KHv6ICBG91zvHLMNjwcxZv8GrKAbhnjOZymMxXHljCH/QhpC7+b+AlMm2jjA2ZrSWooK46lIrGCv Z/SkZVLzlhfdOV7zkrMMBF0XnHPxQ5EwXb4O8CgUFwVhFhI2OdiWSy4meVYVHg+wBfn7YEI0B2d4 p/3Ekbhg0W5YcXbfte2FeqzX6JK76/T3SNxqhJnCe3e0LbdO0JSbSbnCxDfrEIPVCvV8f7BbLLMb JLT2fxM16W1qgxZTLVlWhq1tPh6QWvZ3JROkt1G2tw96FIZDpw4+j7ZsUMkct0JXrgTGol8YZykC q8j/uqRkB1Qaty6+IRtJKC5AYqgimJ926UhfieO+greZWSy+J0hXmNelLQOUbSW6MjdEW8wEbOLx v0hkrBQgKG+09tA8hWF4rqKOeU3l/PDuKc67WsFAu4psPaqL2zXXa3tG23QnlTs/Gc8h4CYLwdCI LiGmDTswkArruJWCZK8c1Z4Yf5dFsh3MLFkHOS8Lsh3Rvifyw/MBa/OypLjHmC2BWu1TFRI3iaer tN0x0Sx3w0TKIsWjBpKCyRT0KBC3zI7+Bz46mwwiRkDUkXhfex7GAqJCYUOp/OQ746pfMAXuUSCu FJjq+hySH8gnAhM+s3W+Z8Rv/a6pQFriXC9eU+kRe5MFM9juZZsn0B8Mn5m36U43cFKtX97jQFwF 7yT2HUfvl59tuOreElQ5i7azlJdwMP5s4l8ly61AnNddj6rjy/vAiQMwZiIWJFza+DvHmTI8H9x3 4/sgH/Inv+spjMPFcu3DZS9GHaaVBZJ9s3kq19axhwxOlTNnkUcMxztPMKloxF+cdL6AR855Qgtj g2EPTMA9omrWGweFAjE4Ifl9hobJZ60X2uWyzD553cbzf+08btjEkMQj8R6Wx7EKRppLZRQkwObj iCoIFctJmC7U2MRRHkfiEmNtdDjW6NCnDGG5zj7diT1ToqAW6LsE00GBq84HZw+tAL5ytO9oEJNZ Hk8oGEj0H0Ye0MLDTJ1IBh8Qoekc/cRTFGztM/keQvX3cBypTmc3GHnZf4j+JQgeUwVmCgIC+qpC GpHFpx6F41i/jB3nMzq1bE6ow7J/N88Fugx3uJAFwNwY/gHbawU0O+YXBVNVyJUa6uNd6vQ52Cd1 TH+gP4LHg1pB3V9bD/yLmW+o2SMIOa2bkau4C3Smex6LT5OKhowO+mB9vPOT/tnnFEkTI4nBlWVf ZHN5TBE6e85qlG/UjmgNg9pcWg+G/EwXviiIoubg4ZSSD6qpjAbGACvAi48HC2rezhQ9QmFTPJ9y Zo8L5EW1OS/TddCINFPGVIDLAoBQtqKiArn1zasVyjl6VeWE3JVgcXjDJGB9WSDvhbTOwfZdvXA6 ChPhi4JEmGLcLNjaYPzgs/Fk5TjLxOMR0Xx8HVKZ1T7Zo5FpHoXlRImdI3zd5lSlUAHrBWllR0ej x8aAc0QFcsvb5pQM6ZwXiHEuuXOzoThH5Ys0FqsUnKlz5+SuEotzXOg5hu/5V28KQug+qAW+8Ftw nlbOeDyIy/svQj48A/INDkYUl4OCgEOpOymhiGKO4hN6lLr0YJFo8eaIy+MCHZbyjHc9nStpDFbp ZqLkDoODikRwwwcms4ducmuIGfE9EadTeUslvGeRG6ZoHBA7BgcN8xSWx9cNDjprBpMoT5+oEELO bJgWIZqoztuK66mv4iyXRcE7TlRUH6c/BRzvCReDohbfAwkMyqVzSwQfFFW4vyhjPlc8Dt4fOl6a tQLkB/E1AYqV4vdYdhxIJuE0pWkGjuc/ePwvyhQhBqAyQx3RpylErHQhVqq7dVBEyDqdxXJkwH2z ZcMjCsod15NVzFyS4MI0IcVrMD4krgdWRRZoZfqWIiqFU5WHBigKfUYIWCE2E4AOH4zTSWwsi75j 4/HovrtoOszpULnXL7wRxeSoANIL20+nrqIBpilNx9mf/Zus5juimHx2E4Q0Bl69oMOzGNeJlzYb wlCjybKoOJH6AKcTtx/w60YRnmTgjag4nslx6Y1qv10PKwlpSYYIZ3NkPB/VM/fX8QRMzkyX4zLC mJxiGMs7B9dQYQrDmmzXVQA9NE9RTL60k2AxcUfP8c4BRXk1zNMCj4PchTTB9yW8Yc1+mfnUMBhR bXxKu3aR2MmBywm5XjGJpzHxeISmW1/hEzNhNANslkLk+CDScNRkgJWSd7ZD/NxLBsSgf6PNUoQc LzLmmFVmJhwd6QvV7g5yFjsIkKyStTjyM/in/MzHXRa3L78mWEz0BdjQK+JgrcoTtC2qDioPHmE0 Pr+DTMThXzpPI6qNN3WCH2+AmVwBEhB5h6tQYVazFAXjdtVNz4ehcojoAUdTSydK3cStnajwXKaa 0cQoGN07QOx9lpAj42v+FTitIRbeIGmX0zRAXOelcS4PPP9X344Qc4BXW/Y0eESxOPvNfex5ywUC 0E02gj0iyKhf2DRFsbi5vcCY+I625dB36lATRVkFXnGsfZ6cBXUfBLJI7+bFZm5GmCOMxVmBgpM9 BsqKJwVBqyyaJeJCWPiAoGBQfNsxw4F6FPhKNlNhLN6IUGHTgp3gRbcGFQymRZuUuB8qrIywQG4m L1sLiqOzyqAQ03KXU2qDmISyFomwAoNFhJ2bJ+gUDxmdlLBYBF+cAeeBIL6JpQksqZ0Lno8qK14x YKCFU25dJsIMS+TVdPpE44ROc3Vd7dGXneKVpTymdzOWMlQwYNqPq64LsS9nSzTuY9g8olkhRGZC tW1i8yOc9d5dsReOkmAKPumYGtntS6DTZ04vJzrG48EstW+9HkD9B24Qm6UoFCcRAfyf4QgMilUx tWukqMCY8SymbknwDPmcghv2JZQmR+9KQR0LOBLCDgukugU77KzBkOExyOdUEowABV/0r1ZC62xP cdA8nb8hm5dsL3j8T+4dC3WI3na5ExWF4okGAKX1ckFiYzlIbFd3koVZpylkxWxO6apkFX5bfgq/ J+BtbSFsBkEYzsQrq6qCCBcCGT+cM4f0UOhh/lEjzxRp56CwABIVXBAgHOHxaKJ+4TPPJtzpCofM KBYnYuq8Y7uxuCw4KNhD5RylwMimNU9hgdzgvnk9oxU0ESKkkz7SNwjk59ZlMojAegIaA53LayC7 9MLR6UTjWIBoOBjwaeOTeV+dIGri8UhD1FvmLNKdH+tsX/aENU9RMM7QebS6nbOxmpsGgQDtpFee A5qnKBgfOsfPa7Y72jyBTtbpwAhED8rMFK05UeGJXKgdXuj+YP1gmnbNkMvJeibwRj5YSWUnmtVD Z7Di8aDR2b5PcRSQZr/9lhnXx8mcxqVt265tj5+mq9S20qrz7maEUzHVkD4N7juf5QSJi/MBbHSe dV6bMmAEUviToce35o2f6O45o1gcqsg4lvIdGBQQVMpW09nYA49HNd8bFOD1Nr4b3H6bpgioglPs CtJ9tREYP43bRuCMaZqi8vgSW4WQdR+9gweOa1qSXEXiKyvek4xtajBvLKmLsSeBekbBeBJXYxYb ioLxCmdHkRPPl+HxqFDQvjNgFEjQ3PVpClmcgzA6krsNz9O9Pnc+Y9s0dQSG2nShzqE228ht3tEz YFpzDZqajYa2qtSfFsyhJqnQDwZjkYowo8I40gz4TaU7GEMFuguC2G8+HuW/d5rEW0ACfGFPM4Kq EKgzspxi6U6yzPcGik1XVRQXiU1TGI1L06hPsXu6flslwOiflCTKBsh8NAhFWSURY497dNcbFBCY gy8KANG0jKiiJFRKL1RVCuAIjC9dNVc8H83UjQo4raiu5iuvMkMeJ0A6Z7MVNxRUm54ztYoz7wot wjRTobwK0zsQrdsdHSB2DiS4rCB8qkwAdTMWuM+OjukC5dwnahW9cayGAXVR0l05GN4QInHGUOx4 PEL1fHfv4BA9y+VxrigWB7wJ6irZTvGhJiODp0VnHkvvqhV8VwRXGXQTzjS48tH2Hcp1MGHnKV5P XJhM75haHZNavlfTSLoFKyyLcznNNqYPAvW0QiQVkpda8XjE4/QkmEACUW0vyneFdfFmzlKuVJuG FwvO37Iv3BBSEZqmEK+ijlQvKqlw9BgT6yfBsxqXHYzYFRMgvwcVvkFH72Kflr1wVBYnTqWwZ54K o0Md42fS+U9INOH5YNeVb+1sYCYmNH5smkJ5cRqX7VKcY76rtc/BBDfLZhieupLYCuEqigm+DIeZ itN/5Ew+FMAzj6dZ6yimkHwWMSVrV+lP5LR+Gr4mCDHZr6vMXDUI/DQnHZap9szH/1QZVUKIjrlX nlYUiFOfEP0n8x4Z1dHjqOUYfgXot2JVzBVCxt3iVMKQI1164jk2FjwkJ2dpTUhVZIUEkGJEED5a vyEBgXQrLoqT5Spb9AkvOjYBkF4NMSLPdV3wfJQA76+j6awjGAdewbUV64wz7aUcCS3kh5xtNjG+ 5rV4ziZ4XTF0WiGNU5dcnUrwOPo8JYR9jc5RIEaUKqRKoo5ZgkQBIOYPomfqlYMancSPoWmC9TSh m2ew1Twkb56B0cQnRPHTL9ThWU1rZI8LVhSM0/Sip+Wia/inqEAVipminJ80pmQLxlcoq6K2ZjIQ FEerPXWohRRUOSE3fpbOLCaBjAVNPD9xJmYYSC9ffFGM6oHbCG2S1q6uN36uTenhQRwdjwdglUvI JzcQhLJ8NSFXFI1D15bXXbPSU5YIxsJi7in7khoOflphNG7euUlyyBx9SQHYkZaqmWfTZEBfwJNS CQrGxsANOgIj68ILRVWY/qZO7fEzTEEpzveN2SXilxoejy687z4wQGLr0TpcIZcTbwwnKZ8nUO9t PQ2awIEmVWHBZ/MUAsfVr4Odyx1tnnDsACZuW+/8PSzV7i2nLkpFrZQuUgW/FL4oCp+IrK/C2WMX M1yc51QWxWWgSLfC6vj+VXyC/wP0zXyiQuw446fVnxsvXYDmnP3eeFwClB6PoSpcUJDTu6NNVIFD DsVE0TA/W6WKyik37NITAyCHiRXq6eOLAvT4ktxhuYMgPcAnT0VQg+8ZAAuGow4HqyoLMvqX/7Ni pMrQdnM11pnMyLRCbt4VsnKZ1glecTwuEkb6Gm2iKurWC61LyEWfNUVOFQieLBSjI5ABKfYYinLR KwrI5ftKzXAbnCeVMsu+KLXg8WhFla+d12jbiytUE7WjiBygJnps+YrqfE2tKJUzGzPhbSneDqmc Aom1LK+Wppe2iYJjHrDT8ERYKGSYlNhZAZvKOWjPWGFFTug7CskbMRPnM5aGSo4K5N7TIDcBBwke jwhAv2xaUH561tN+j8iTbNBHGeYSQQS5ZcLn52nOyq/Z5cf3H24/dEP00QmK57c+89PQMt/9nOid oPEN7C+qvmhv13KdvdnRwBcFBSj2DypleTWIlb9wInPjQaZ9v4fk+Dm/oyjKvYx7QO0QQd45Ty1Z 1XcMKYoRgdGMjQdyS/J5+iMk74bA6PnSySDQjl4UsXQQiKQ/CjIXgOwpSZlBmbpOwkNvHNXppGZP pH3fV1a7ocdFbE/pG4//xePkBNOytzbvIuwoKE9S8Osut4aGQnMIRu0uj3U+3ecpRKo08TeNUNYf Qhk+6WynTIOEfu4lVrbonHh+iE07FARCfo4jXsT3BFwpVVSGhI2Gz9OkGTKJGxvLKYKqtEeCRhfl mSLkmDZPIXxcfNfRfd+xFCt+S+3J1Ox5D9o8xfBxJS1GF+P4rKfZqPKE6vi54ZoD6VAwgD8sOgle qEPkha/5lzlCFlIlsxpNPGZJAFWxx3nOCDz/l7gK0YkAKc/Z7r6LIvLKisHerkFDwuWPiavk4utp EOzMeQqhKkryYIRwRy+swAV3Q9INdCkkL9vEVc6Cn4BwTvq1SbgA+xVfE5kkidnC5p15wSf6UPct oMEJHvH4X+J9iwWD9cuUJIKqJKXCuV2zDfESaLbBBIKkspY9KtihyKGxprNIrxxd4AE2bbMRH9Yh ZjoZu0NhHcfC4OmEy64pw2t644jtyiR4s0rOweq+dW/1Wk7Misej9O6XBM1ZlDNfmO8Ow3HSgGYv 7oI7e72ksrGsmHnODhw96AXvEKuiMHyWve9oi+lEAUiFE13JoO9qlbyET5UNJ2DJJi/KKxbfEwSZ iaRgdLNs0DTVs1yl0J7awOOR9LhPE89rdKNoS6lpCqvjAGV2gxAQtuLhAVrmxXWf6AOgafojGB9V SmocvYkAsAX0VLCa5rmCB7V/0QpOEv5GY8aRKnvqhSOvJHJRIKJlg5K0PUahIPYJYAYeD+oq6Tty wtfuerVCdhSJUzOgL/cgI6fMF9NcyXsIkFKyPRfhVGapEbEFwpZAjav8dC4lW3gJMhYdlxW0pszT nBEJviaSVKHMfyEVITuQuJ71utH5RKVgDjweZcB+0WFGUJwHoPrK/acoECeNBQDKbTkwla0FU5lU 9WYOPEdyIfsURuJd2LjU8h29qHJ+MVxAYLYQJr1F16h0veCNWhtkeOeSE9C2l45c3CghVhhj5sUW svUO2lICAHmuzY+IEPb164giXSw9WtE5hVqHSSr2y1kbctH+D6sOwLNSMuQcHE7Ao9zKv+qaZYiM wNFzYWQvexY5uIxzfmX6BE86VRecUDjsL1mRITm+KUJikAO0avWB264AKU32alsz8/kgeemXg8cL B9n42YXzmm6k2BZo/ujXt5LdOXu9xJKk/oCS3QJWxKYrhJA3Ux8XU5ij48Vw4EI4GqWD3c+ftYms m9STLFB5A/zuSiGzdIBviiAZVoxiWwFdG/IMT2J0sm5uzHMeLn5AEE1dUrXOt3MIzDQfU64oPAdu A0ikeTGtZZsLQOrXVxlns6nb5xQac6pRdS6wekffjCcQSFBbQYWzso86VOFElQ8awkAz9ZvvyS8h hQZBxPeci2H4oIMLQqYs5UJ9mc9HLb1bC8aDWOlULvLJimJ0GD1CKKjd6p0aDDi5gMpxgN16llZU OTct5LrFyePoNYQyBvj5NKFuhOqyfMfD9STH6PGgdGHZTCYXFt8UEBQmj3cIc9mgyYKJkqRpz+HC 56N8xuN0M3xrTNVXvtMVFs8rQ6qyuyHtKtWdcXAVaJXbwVVgc02kXU6xKLm6e+Qz2Gg3IoqAGRrn 4uW1s/WY00D1CFA7JMngMEgog8GXvinCJMpdYiUfNF3nah0qn5+VyucjM7P2dcqjprZRqvW5ir2C kPMV0rZEOJvVqXkLKptCJYI35HMVRezTKsND+5Cjn1rIBlkKPHMFD8cklOtqXFoFM0ZhKB3yOzd7 6UhBSwEE8VHZ6dznYj8hfzUaTObzETHWD3kCuM4Be07B/vhRpyhul+ki7EF9ttRuQAfrXE3ZVLSg 0OIbMayjC+JKwVUf/dQ6h9au1pcBoGH3bqfW4GpeP6y52KlV5MmeQsonhe5Xlmluzma8uAvkBA12 1/h81BTt35lgxcqqzy6MgnfAcfM5XN3/beTsphxo7bvUwdoulZxTJMPSbPdVNY852i48eQkaMERs 9Inagfy7B+w8Sa6AGLDjE+VClWLjICpELe5BDsLdQRBYvoulDT4f7MHyTVwwraprX0JBo+B7eRlO R3KOLLPcQR5xN1kfEDzcKi+FxXQtq7JF0yv7oenBRjBtWjCfvHCdrxBqA3/fzypEeF08AgxS7Z2D ahV5eueNkg+aq1WVr/0gCubzfyXOIvynbwHJHLp5LsKArt0b7pVyQa/yNmuUTJ7dXSpDgIuoVbON dkcnw+QCwQTmhPDzzlmIKZi+/IDl/UMdX3fFaf7OkdQIRZAmGzTde9WVHdeh0vqYfD7Shbh4cxZu gOFb9YJccmjpCakBhO3TEcLj6iaf88NroCgkFZ+sCOaSjK43pfrH0ZH5eyTYEBAi3MH1sIsA5mLU i+JBZZp/BCvm2NJTbdGtJuk2+VPIMvesCPOsVD4fSQJ/H1bofkCd5M5UGLwXVff2re6N3K3SsNWv gWRUT6QTd33UPxvJs6Z1Ry+D4g7fOPCgGQV8iqo2CcLBKCjiKrr0IWTW+qKonVWVEwo5S0ExyjmO Tl8PEjr5fLCsnmoDo3RQQHd95ipSSIRgKnrJvXiNbxq7CrIUQDZjrk6G2ZavqjB03+ZUqS24x92C 43zQHLyZO/Rw0CJV5AqLqI5J3e1quPI5fs+/hFlOBjh9EBTvnICunwxD3cDaEy3Wb1Ek6vxA19Bn KtRIpOgmj1ZbVflaoM5O+GIRvdHP9cDbEzqyKsl0ucZztMOqotTUJnmgJ6oFg4T8xq1yKLphQAhY BYuy8vyiAJ4wEa+vTBzsUgkEGf9ZU4kJzwlJNp8P2sm/5EkB4er1cq1yYO4JiQi6nRG1yqk6s+al vk41D8ls0apUGzCM2d2AQsUGjjZV40w26ONCwiaYiUtPEv4PA396Bi7DUVR92jtHmDxKA898B1X7 zl+xikL2Vfh81NkqX2cVGluAxt+pio2EWIwpBliEMVwzYaTctsGHG9rohl3Mob/nspSZP5qNDhru JxusROWdc+TsQQALELJDDQz4HpQ16634qYwVGnzOrqZNqz4ou0EnzwFVnc9Hx7pPVZfQm4xV71yF Ci1EKZjSAf9ZLo5qkOvLzHkA8mtzFbkJSfEoA554Rw/YR4ewMoWU+wInlOdaAj4LBpJYqgAJmk86 rQ/5Tf+6A8ckMpaDQquzsZfRrlLl8xGz4fu0avCmX+tZV2G1HfiLs1mNwwDb2Ow0YyhlWUkmi6CW 9FH/RCqUUZ7R5gpaiCf679QI7rTwIvaF0p9goCILLOOChHqzlw4cBAjbb5VyEc3Z8XBgTVVx0ckx +Xyk+P7NyC7UMb/9mxyafVYS182RcT5BFvfgMv0tkPlQqGQiGLt9mlWQHNA1em0UFgFF/IbzF2G3 GPwF81vgtQzM+kO/mvbSUauLm3Du5oPWFZgmVVoIKCSHhp+1fLcEM28WpKM+W6HlJw3LoKlsANne 66o2W3QoU2l01Js2h56fS5ECNVZ99Pxmw07ZrHZPXtiqGjmFermJDU3YFtyGV7OXjno5SRx/Y/wn v9DOVcgSPAwX+XwkpOjFPk7tpDzOjdlD289pyu9LDFpaV5kC0AkUqpSmGkRCh89VDE3Xytpj39FP d0geLmIpGoVIqLuFuTpfRvjW+ZGuFC6ZSfyi6CJkC2asO+h0R3u+26xNPh/xs+dXLgio38pX0C3H tp+UoD5nSTc8cRdyfz9ulosmQ0hieWAFvp9nWanbXLMIyFVlfm1CaGOBK0pR8xOWkJiBsiimtSBP KChQeFm0JnvpKBlkFjiIKO64Xwn0QDF0UWmcep/8gAg29Mst7lyMG11rn6xQ17yL8TB8sqzcsIlf qJo3IGXb3YMhSt1uwC1gDMcHKotPaI3SUuf4o+YX6LV46wYuRJFQ4JZk0raXDjAMjLBKknQSdQlZ 6IPa0/LLl8//VWTIxTYhnb19skLDoara1bZodKRhQlPn6rreenk0b6nmwP/ziuPOZvJS7cpL4bRC pb0R7ZEgHdiWqgxY/PLe2fkuLLoK5NgAlGForWzlVF6wUt1ADipH2bYmPyAi/3ufcFvYQK0Fn6uo 2k5W1MmXi/ta7jbdKnXvWRwYU7cnOaEF6FJPmVRdH31hnYsOkFlphwCbQZIIaCPNEGm5O//BKzKh BWjmXEGAxweryIy5pJh/rlo+H7FpbiOHtzVOmInr2Ccrit2bvIfqcL4ftamUOxNWbvgYVJhtskJ1 RRNOmlK+4WhpzlxtDfKNEbdDXUIY5ArJshONLmgAX52pNe2VA+QHq1do2/jAuaonZZIz2pmrzef/ Ct15jkPQaz3d+tAGlE44sORbnhAmN7PKckgWRIacbM1UWGqXnstochscrT761Gv1RWcGYGRO1CBt fVTp9s+mLCSNndXDyTZVIUimFUEctyMdeZ/BIlN6nf3k0Xw+gmKP7+MKarPjYWnl0AqUzpTAEDWH Ew2rhEL6hi1WJs+kXjJ5DrxA86WRbjFr+r7MmnPvIRSphPdTbWory5m4auc85xwuF4uuUKrW9wTX IIlsRWpvJbtK9QlwitFtM/r0gRmoafreuD3DGXM/vL8c2oHifwgu44UU7eLFK3SgnEbawOS3qfoL t95UmOJopxWMKiBBxX7XCd1npkxQgm4M+Bp8t8LyJBFqxd45AvjL6pJRVgW1icuqAKKkBs7ZjXw+ qh9/zxVgmatdak0OLUHJ3hhtVG/gNPZdeFbtVAy4XhfK5NqBsSeouhJzGuRxPpDHehJjyHJdP7k8 DfQAfF86N0JLjw5s8Vf+V0VmNFFu23WYbVjKLDOw0h64gv63+PkJX85MXYR/Dl1Bd6UqHnhwdlaN bdhQ1GX9CqT3jc1UFLMvcR+J3PXR998JrAAFZpUBSPle6Fm88dYjM31Er8vp7v7OEbONhuqFG5CD ilfABJKpci7f/cmhMei4PCRzx/wBHOVOVQxf1/m0r8rEuIzS829XxgO3ymL20BnUW11Vi4qj95vP kgJUCASbc4qfG17qLoNq/ieGO1ttzttB7ep1heag2MhwSZvyTEP8T9z6uf9K69K26Zkf8Ndk0UoX Iuw4XstzYIUeoUjD0PG8hKQxp/vs5NoM74/Gp1f7YpNQhaAnZJ53tAkbuNsoYnYmrFG6UIwkWs8W uj4DKuIyVGrQBzahOGUZsA9ehAWhYRcnaaF8yFh0AfwR+IT+d/IMNhbdbnyywridjVTyNM3acV25 0zlytSNr1HUnK0SzS9itqoig0U93YBkanW0afXpbn6ZuhokFURC6xleMCix0flMsHoQCDOGQ5CwX yeamlPMWZi9NfsBfdFzGrqihzzWevRhF7uw29OZiggSfdyuOzspK/GLBrzkgssaSL9Z2NoDfU3Ov Zy2AfT2ocLYyQM/EYO2E+6XQk2C1K/qyZ7OXDsoyZHiPruJo9+LohIpcVuiO4miNi+5ea6AuKwxp BwqmPllR5E6gW5/U2vovO4J8srdkNazdbiU5sA2FPo+c5rLO+JafMx5CvCfBZLEB5ZMx5LdeMtFP pAwhdLrMG3/pqEUoL5AlTV1c1IKpUTaNgquJSyuEtz/kmx/yvgBvfw6tsOzeaLuTuhf8wHI0dN9s RmpuZy1kLyXH3qHTUpda7nijLOy5SjvxCQsAZDQ45an4RFhD5WRZFWsve+lIO4A959LuYIC1cwry pAR3gs9HIekvo4uGali7RKUcuodSseX8DtUc507mkx04CrMK24Y0q7HJCqN3O7RMGa4+ynAQEZyL qv5nZaF2CxdJ1ZJRxsJxV758oLfq7qF/aOcJnxurDbmZ+NJ5GPImajusxeeDmDT/it9RJtrjQdmG DqIsOp/Qp2Yvj+bmNb/MhIShFv5aP7P+Hb+DslzuqMk6PwWEeNA1BogbpZnF6zAx24QHJCoNy7tf Wdi+wEMU8YOIp13EU6TKQiUXVJb4nXtPfkAQP4zvTiFcFSf07G2yYhfRxdbEevLCZE5qcEun/3Gh WnNz/EdgI4oSvnzCAP/y0Tr1AEfBjofVBmjQWb0ZyqLnNCbpa12/sLLslaNch3XRnZRJJ4EaCsQs W1H7GaCGwEZUVfuvXAckuHx1iHNoIzpZxDJ/R55dwzv1Z2EMz6ChN2ppYegjusyCPa1ndHoXpO5g AY5cB6mnaXhsoEgnugMkq1paOBRkBT6i2aYqqwMmmL4AIGuZR0GHtnUOnURT+1V235Cs6s9cRSE8 SUkjlcdmXCc6U2i2F4WVIZ5FcxWF8CYhS+k9H2+2sxeCA2Y7faJZMQyCdRY+/H9weThYLRV75Qj/ gWiBk2smvnKcAdJr8Kw5f8/iB/zlUpDtaAdW+k5VFLyTboRo08t9letfUBkwCi0eZQlBGzAuuleV +Wq5owGwCDYmQpEpdCt2VwKVfk6WAmLxxUAi4NErB/iPTG0Y6iVoUAo9zu8poa8MAFboJpofcVQR AmDU2Z+pCiXTaW1YBdpWqFVMkQlywtkJAcVVGnNsKCopJlBz7+h5DjU/J+V2Z0LKo1VVcFIsaKyc S+QyUXnI8Yv+hRcdWOg2iGI54aYsnEKrfP4vNxVed/B/LOXZgCEVFV2Hnnd3pkkWBnJRNM4yazQq mzefA0vRW0Qu+Wu0gAGH1aYvT4dw1U4QjSLThBBASlrKoqd8ZTmhq2iTmVGTqS9ugyWLHnwyYX7n Exo/IKgjN8+hLSeCLtTX0R76GW3157sxTaBx0n9MF5yZv3TB27OwQk7qlqTHlgADR5utVEGF25Qn PoEmDvMmZQEwToBGOFthXuk4+djnwF0U95EcjdSDhm0BSzID4ALGDicYzHw+QmE9coSEuLNWBKbs na+w8L7pNw58m+XQbQzbiAaZ6SQjdK/QBCajZ6EaEsvmjeMt+1EnIbPw3s0rhKEWpBvxP9z0stds 7WyvHLW+aC9aqfrFwcp+I8llFKQ/Ph8sra92zg+h2OMHvkE2V5/X+KqBL4mo4YTNXswqKgHSXYV0 M2mBTldvfI2u2tW9HtXuwfrcg0T9G8B90epQDpoT1Ry6b2xSwsWOo1H0a2zVUJUhVjvl7IOOqxOp m17FyTk/r5FVg/yulpToA9gti+4sFH94javOVy7slAEUi7rOZwHn5swl3pv0sYeBqi2mYIZM1KMt 0z5Zz9bbaJd1dA2AksF3kcaaoEyI3YOIHWoA8sHgg5/XmKqhg6MlRDY4B03RmHQGxEpaePptiuRD 9kwRhA+nrNkxRa/hVMu6rUaa7ks3UnXFRkgkWa5cJoT7OUWvwRQWkaChqwiotsqV3T2x+cliz30g nYrSiGrheZ8yuUxaRIo7J5unr6FUQ4+KRPlBvV4OVjduTdzzM+vt8xpHtcQc+5mhiiZ1p+0xZug1 isI2o9D1hKGaScMgsVHNuC2XhkFzyoSuX2OoBqtEIUJbbXe08wi1r0IvSWhTnH1fp3rxEyYhKNwD h+czlPW67zOUqUvcxX/rWDZFcRPK7OT49XUO79cAquWbwQzDLJyzo/ElOEev4ROfWlQZsgo60Dvr uvnu2y7tebmbb7TRtvGR1rqjRwQJivJgl3Zgac+kdJn2Qd/tXNzU/UTDwLin1It7jZ1wGMmsL9Nl PGV3GV9QZq/WVm6f18ipmUa8JonvBxDFXITB0PU1mCViEAYYoRaP84Y1EY/bVC6jbTfzDWZpqt5Z rZRen1J6qaPCFh7aOR3SiDKGTidWQO4I12gk/ld3Icl9NZilRfZkUuKSXMkI1oi07KLaUPm8hkxY FGbFPgRBQ7TaV7Y5eo2XcM6TtwWAut3/hQUdNhx6MxF1uBT7/f8aLWGOxGLusz+jcyfBpKRFMOBn FRwbtrJOdLjPxU+WHfSFbLsxu3sNlbDdsh1IFJAFWJII13MMoXXP9sG5CT6vkRLOs1ltjhhBwqmb uhyapNcgCYc2vUEmEURqY5Vp9GUUw4y+fNamNxpeQyQc2raADB5Uv+BBEMfOhbj+ns5fc9Y4EdiD NLAKvE+jSpwF4GTGv4ZIzX2w+uKu68t0RSsMbajajzMmf14DJL5lt0ki8LGj/pR9Ib3WNZusJtHq q07VovGSVZ/IpxOCAwmXFOKCYzsVcZX/vzlmRoNqZFUJFh2MGOee1AhfXgGVr1AtMDQx0Yif15om 5ohNTNrncmjSdIGwNZXAUHEon9eKZntkKYZ5iE7A5NmzojpWMElkanekQDZJDVKVOpFqNxmdJpa3 xDvCAImTVLqKbxwvdurMWIdT0TmREi4Tar/sxjP+hGGL3icXlEcLrNdaJn6MnX4h+Ytu8gWLn23N uvx5LWTymvJzW5xubD64jnkYGUXaVCpHb8QPbvlZqpAiSD9wU7P6NL2z/vgzua7QuqM3X07qcM4i qi+tMcACHCqRI48pNFMDeMq1YJTxvkfbqETyXFLQPb0KCDCW3alUh3sn/TXjDmk5scE8oPKb/YJ7 p/w1a2/BRMjlvKoKmWwXS0iH+pVU5BDlKJqoaWgpgfFGu2A82HXsBRQ/SgMnLmJ3hzIocpkZjRQF 7xbTi+TzTvlrAtMxXko+qJUAaA6l1s6lsD7vjD8cM9ZJGKyNLzogNQ+63wl/uOUqe3jDhfc7JbZ0 OI1RrzwcSRGClwXzRFAH5se8HNvF4dWBNcPGLsjJ+8ROU7kbuiuTE4uLzzITwE34xu/ThF0Cphd9 2LeHZXCUhA0CU7LNx4OIyWQ+h2GsB+otfs29c/0wuUTur3KX06JknwlVzebTBJVrQ7ZEsbfR95YJ T6zLd4f99jlIlMBBU3ctVsJToUM4mgcwDrkin6nqjd+3ncyKugRzOFhNDvwZCcUBBRtG38NDJjs8 f1YmWpHT9B59J4E04H6wHCq1moM0Zpvdjid61FqdN5gmlfGLPLdtvIxIlDMabVYbuEVLfWRI5pz7 mEurpttomapdhqcTLbAGawEDUWFVoQSCA1zB41wVn3ean0VdXwd5pk/rvOd4FIAz2e1bpoVcTyNf ed0+7/FEkhUn6j0CV4WMWS4x0xrteDqh9slzEs/xAe2PLD/ac8vCRAER1368xfkCn3eSHw9UHEto qfjAO2rt1hOLJoBxf945fg1lz5uosLTEw65UDwveSX48VRg8teId9CW7i8WqZXMB8D2X5bzvHL92 YYrUQvDx0iHzuYQKq+EQPCDWFXKDcF6A3S6s2Kf7G2epJ0RxeCMFq4joUtq8RTigBSXBnPfnneHX nr7BkD3NuWZZsrVpiuJw5lZD6oZe3L1AAzeHRgVumN3FO72v3aZBN3AGR89WIDi90c1EXHCObR31 J2EEvgm/QCaYzNQAZtcbv0+TXXOLXbu+tvFr626Eg6HB2fl4EGU+VThFpOtny7eX8/Qei1vxnAY5 XmRaw6E+NJUwe2P8bGoZRMG4qS3tbdTtfQ+oBv/L8zNCXRB63W1QWxTS18n6UPwTrwF71xu/z9Mc gnISUs1BdSYacBLEci7EzzuvD+HTXl/nOJAz0vKzeYrCcba5R2aNnfNE52pvrRjb78wTtr7WUxiP C3K/zUaVo1XjYKIxEqRiwUJe+P9SrQUyr/RlzwR7CZ8/JUoVxeOT9qFbOnEcdD5N4F/V1wUQIwrI hUT16Ak5D9T9vGQZheN1skMHWzijijIEUfrbp3egdnN8zzufrxnvG1gBE9kdl0tUweqYdHjvpD5C XIDbDjVVSrJltoCt2oTU4PPO5sMGIDK4mxZx7Vb77ujCZnG1S/68k/maQSc5K0wJB9RQb03uncvX zAr8zI2Zp+Gf9RYux3Ls5hzutfpO5eO6FNWq9X1H56fBWAQipSgTnJeiFQHKBLiTQVNmUlfu8ZTt lYPrbm6ZrELBkijQRo+vc5FO8wvLM832eSfztUeHWCVedHjWqh4YvHP52EphHtyHm/QhnbQIKsm9 F4EBKv02Ve/xOKoFhqpL5Y42VSdoRvmEwM0NIMGiMPruC7k7NUArihsXbV70ylFJhZkwOMMY4DDB Jt0JDLJ0XcaJ0T7vRL4mgwMLobiASB/Pt10QhuQI9EZteXl/joV+9eeaC+8j2jVPnnceX7uaLm3I bYaj82KQtzSsSxQxJ/DhsgahyDMLvwOlMtffoKrLO42PRQ5SiBL5xxZeElPQIO7Pguasn3cW36+Y XFa//Wfn5KnLO4cPNwD4QOfWuQYFq7XkoPz2ODlQshih5juFj5vfBNLbvqNvvnGuVHqCwYEOoNav M4qxrYUG87HCemfwce9V3nW1acgKhXY/B0RXzxutlSgkH8unyaQYTyBWkl957/w9rCe0jSDzeY0c yrB6LyJmw6igFWXC1u/0vadHB/DcHX2e4OzUkZef9VRRWxsE5Lck1Uwg30Dtvof50isHMVQmlgCt PBuELp8sJuuf6/PO3vN1f3MXQADG3t7JfKfusZguoeaevFIn0TyKdBG4JMOL7mC6d+Yeczzr8uZ2 RwuhUAFvBJyh2wuaRGEqnOmzl6E+XgBocIdMGq6+U/fYESS7StS94tQ9eHgC/KI1sj/vzD38xdkX lGSnzoU3R7vBQRiTFyXDXvcFS9YnauZVPRnOzmB/J+4xVlYns+5yR6c4TjgQAi1E68dz62UvrQwA eRi7XdlmNP74xsE8TbmGDSnvY88IJg3AUJEf1t6fd94eFtQzURRfhNMiMhibqLBAvkkb2st7dmjg W3iwepte+yUqgCvqPShH5VpJcFPxl6MFmxUEeXo3QaGknkCaqgCQvJk/E+hT0BO9uAKVf75xFJSj qkJjJhuMNwtgJP/MnfmaUfXXoygWegH5GuUG5e+cPZ5Q6JAXiW2IFZqmn1AreRGqwMhS8/QelGeL NiFhMu5o1ZUKuZaTSomMXQDgUtsKxYMuX8FHSJCA33fC3rPvZJ/NQbnLRkDLaGqv8Xnn6yFW/VWq Q6g3xvBg852uh7irS7TB6uJoBpfkpZXdnVnFzFNd8jAmN4PMNPIdvQa1TwRZhvn3llWdUwQ644Tj JWrlLmCGPs3nnaxnnbdlSbQGUUAXFC+lvL/L552r54V8XXddiKgzT6l5hfydrMc1LHvx5LW6Kn0u 9jev4cVJC5LV6t65es0hmShwlDvaPC38p1fCwYBpmk2aCRPGF31K8gXRphhCueiNo4lCQADKrQ8q 1c2zn+lwCS2rzztTj8f4DchVwKwEOfi2e6fqMZBns3x193LIImOj52JaxITas7LMiQqRKTybTZTR RkemnMii18miZoefylbXuNK3ANo4wGT4Md7shYMcjxWonciT5aAF1bbEpRmCfd5Jet5mvCUDdJLO FvLb7p2f10xV/kSIrTjGHhpexr2e0zXezhVh3Ot3el5z/xTUj57RlhNaKKiQEy0HXa5svrRwwJrs KnxxNygl+3kn5zU3UEGyiAEIXzLE0P7ifwk5g1w+79w8llba9zxRub14gvdOzWMCLvPH5aqBaW+X 1JjDtfBypygaV1NUIhdovpzXnXfUPAFoXCeTSBo/ct9xxdELJElh6dr34rnPOy2P08RzKZc7qJGQ 0BDkrjsH4OedlNcMRslJIWsBePW+L9LpPRg3DNeJk59ZYkArfQjFTlhNEzBhzVJUH5clL5iw6462 50AhlQEg3MXh3itnI1A3TlqH/wcQEI4rYNLyzsdjMLvJSmcyzMGABedP6RI37XzN4K5LN2lRZeXc LGt4efydjYdvzTRw4E+vJNjdV2G5uvdNgouBVN7JeE8/eK6c7+iYMGAIF3WY24m/YRlHTFhLdI6G 6gIEui7Pk8jCdy5ec5onICo+qAJ1spYlKM/C7/kejGNleFAg2yKEue32g9+ZeKwW0Gh191upo0SQ VeqGA1V2L8bxfCfitcseHoTwgZnOI3XSQmVCWvmcO0RgYn40UVWIFVRIAEJ4KppJrxxUC6j2U4Wq B/nOSIvnh4AAE/74lfmif5UL6KICd0TUmm2iomC84Q8/kfvyzlSexlOsoFf0J3wyfuc7CY9YBTXM twJIjo56PvH1mRSiDBc9+5gCQv8V4nc4rWoh7llwXlQWP+8cPJ5QYrXw2oP79g9RKhWYw8orFjWa zzsHz1pbz0E+qH03b5wZReMsLwIUdqFPsqyfPBuzwCuoqyyrP70T8PD+IguPYm7H5R7kZwVN+FpL R+oEG6tQSXFPotMa/mSgq64VFsUO3vl3bMKxNdWp+sNBZ1Qms4Gx1M6fd/Yd39N7eMK3wAIve/j0 Tr7Dly5mLYztCH2u3QwJYLk6u0Gfz6WAiiYQmXE8bnY8Zd7Ra+Tn7IbxamG94NyoecuEgHZlhW6r CcGTjihqLXzeyXcGpYD5ABWFG2aNyQpIMrTGgPHe/Lxz77hx/YhimRjlEUZhNlEhZIWJCz0ioW1H 9fNG3wFIqQLIQy3FRTIpFtQ79Y6oTEExkkFX0vU6hto9SnWbIPEB/wE5q5VEnCuYPwUhmhWgFsW2 3ql3N4Qqkl4uV3oZ5wyVPxD1r8878Y51jfV1QuG3gbWpz1MYj1Ofc/Rp1Nc+qCpDq4ZCqCfNBxq8 2rSgohK59TjrNprwfmjCkKTuudGadiG9W5mAuklf5PO/PJ+IEstN8bpeOTjKIeCwNwIXDgYWw/xK fhLVwM8766491o92kkMVLY+78d4jckSa6dECxKGe1WrZ8sPSfwuThmQU4XfOHa88xQRGT5wPPREV AqoPUOEn74bWm9ZTplcJQCuDIbk23rZXDmKDSr/6SjEWDoL5AqksqvBJ9T7vnDuGFh6R83aEZ/m+ dbp3xp1VKcB+zBe0kq+U/uzdE+EBOJcg42GBXIlw6jnf0Q+ocznAIg4H+QmnQIJl+MBbS0B8GLNb Iqy6yjvfjomwDiYKmLa2PcEDykqJ8ElLP+90O7Yhvu87LOofILV9nqICOf9+NDuLXXjaL2L5SHqZ jQRAPXjhvbPtnoYL26I+ek8Y3BuCwzsakqt3rTk0sH4mMpadbki+cYN93ql2TTJi6FCzM6zSDxPa WikexaBzfN6Jds3ED7/K4ydN2Jej8U6zI8KARxKONkNilOSBZq1tWqA5nlmKAStdxTlp5HD08lNG 3RdT0hHcA01frcl33ngktmVvPK7FFBXHO0n5bVErioNm6aRGjf6WJG++M+x+Nc4pFXW+etZbU3kn 11knDLM0HBM91DhnR1hcBApLol6rPReG4yzjnvyDuF+Nd5YqHKIoaldhopCEL0DOJ0OGxZtOODHc y593ah3zM8IwOmNyDrblzppQC+HE5J93Zt0vmJhI1ggzk2d3LYrFeY5AYS7dytNQ7Rc7jkKThQjT ZG4MLYaOq/IkX16NdoIv6DlBZl4oDOgLidMB49QO2Edft4GAMIRfE9DGyDxsK91BIAzc1lVshNLw eBBg9vodD7A+N+8shYE49dnGVf07Uc3wBueswzHR5bp7tKgsbhqla1mtYD1YOhAbJEWA+hxAlBII hLIvvHORhpMwXb7O7xCrsrGYKHNrg2058BiY/rcy8HiELPgOB0AvmhCxMcpPFIdDX/78zrQeMBPR fGkIdZu1B/xcrHvQ3+NwC0dwIOV5Rys8wa95suUOOmsaNQsVDJdxCvr+iPy7FAxkvXDQBmbbAGaI PmgxIV+xg2nz8YDQsut3VnfecZTti6lHQTh+RPzM80qF7NZuea5Vn6U2rCreI6jKMOTqVBeBo83S SXnhlZZ5fJ9rn/wI9FgQUbZJzYCVb5kg2RtHyQo1xrqEJDFomtIJXoqomnXi8YhB1r5PpnNCNnYQ NE1hDI7YEgQyS37Pida8t5nclOgkTicT0C3Xo5q4J3XJDD3SY+hx8vKzORblW88FPkuSSEGe4iGc 0wII2VslEIMsisGxR0/wTbrwGTAhPJFWhbF75R/fCp5/P5zG8uyXkeQJocH+WXffhaxN4gpqKS7o sFo1yCFom5JlO7d48jC8R2H4lI45i3k+engJRWvUt1gnKHPCiIISUFPUFuyW5ACMTAQ4vihYUrSJ WZUEzlV5XqJOAFU2FuMhRYLHg5na8/sYB+hxXixdj5ibVPg6h5JDDtFrtHkCNDc5hLUXU3Pof+BU 1pz1jg6JLucin5t1gvNdSFllmY2tstnwkyy3wnDedj2qjC9r/9Kx8ERZrqay8kQkjhVV98TzwXV3 KXdUIJNnsnfLexiGI7kHf9UR0UOQlcXr7uo4UGqBToU9JG9KoWcn1Z84OrMFtxKdU0ADpvIAoeMT 2IBNJf5WrnTyrFlvHFQJCqkauzAJXlikLDZ1xv4kiZW68XywnqavJ51n6Eit6sCnHtbGO49ytQgy 5wy/L+opmfylLLo0RMFZJ+hxJC41vywCXpHDA+sEICMi/YfNMdAFaUphswJomelfWecDsqcQGb7o X4WnTOV/QOkggCx1EBIdiD45kQw+IMLS7a/THFTtWS/0qb9H45azDHg2e+VpJ5spGJHgv4U7DC4U VZ56FI1vCa814+C3dU9zKKom6MAR0tPAws8iSwGPc2YU5V3qE5jhCbVIe1QcP9cMceO9+iDoE4Cf arecmAGPB5WC9q1QAPl6SNX4PEXocVqUnP1WuzlBk/tmJqFUo2NwMNDg0oqKgCp26/Wpdnhnz+S8 KxzGob8Mx3qi7BGwZa4oCrNk+LRTcPWBj5/pwhdFWLrMM4rCTzO7TOS56hLdO+lUiMeD9XRJ+KS3 wIV6oj9sExWG5Kjhnsy3VivRwUTJJgriOqr5YhcmW1BRbXypy4Jo8o5+5+2ToyRQiQBXIN9Ydx6k nVD+ww1fL5ZukPPaI6wK+l/fLnJWLDiBfyMOECioicf/WlCEOUFX8mcieTKSeRSV87lObz4rF1AT WuWCadkeir7dkpcRVcenDqltgq0cvdF59sfZyWx0jjRwTaioglh/5i35ZOe3JJ7mIwrLM3UhoWvm g7W/T0BGONNZwRmPB2F5/w4OGgwD8g0ORhSWE/c9Cu2A5V8lE9VJdZvhYjx1Oh9hhLVxXXonmFx3 fBZUgx8M084TIuVKGBnE14DnqbKYv1pr6D7geyI6fiPCvol/RwNGBuMn4pfCIgzY8HyEYvXaOAOJ hOB1elw+Yvg4Qax4cc1T784DgsRidn7L9u7diGrjWTXMurjxCsJkvAfSlzNRZx50kp9k7JzczbXY Os/dH5pdmq0CpAfxNQGEtUmyKIuW4Ka8tZ67Vdp95z94PGq1/BLjgXVjelZTiFXpSTrl7gc64eKq 6tNo16rjnHYmfzGikHypx7n38jHbLMEOdJUlm1lK0zXV6CqoBQRoQfPA4wJc0viaf/VZ2k53EAZj NBMph3UbHo/0L7z6hBkdMni+aykKyDsBr300V3XqvTqQTlJlPJoqyo1aS1FAPgWIXtnWSb7WXh2W 2gUdBBxN86RlJAqe9Bc53iTglXxzUYPZLMH3/OtoOmsTR9Mgp0wB4zrhOGuRJxzMeP7P1cSf8eSI vDJtoqKIfCx5WpoBfYZ7qHeCl3DS2HQw47KJiiLyZdxpGEj4aIfTSbXhxsti5klcyqRLIyNN5A4o Zs5+KfmULhhRYXwyvwO7wQe1WUoj9wP7b0w8Hh1N3/Un5sHQ07VZisJxNPHRB3au1PkbVvEjnOZ+ PMLpYapZinDjRTJqy4+d9Swn4PEy5KPZPoDZThYuEzceVKvBe72ocZRz8DVR0+7sNmjFLQ1y8kTD tMrLllnwCEPx+T1LJ4f6lngaUWEcehJU6bvmg+VLpS+7+WCiSwZnKVRU8ZKvEfAK11ImFrq1vbNw KgN9uyxpGjrnweniB/aZPks42vA1UdxE3t2gvAPJoUKplAm4NfdcSR3P/9WzY2HhBC2j3frTCCNx Ee9kny5h7VQ8bEr56s7sZTzO8Uck3mSLp9F7wJiqc0RR+RG2FUaT2lsjbiUEHL7pGGCOMBLHaXKe TBBXYRct0zv6hEAjkTiEC2HhA4JyQbkRgbzkEBIkrz+NMBanSubJ56u1gTfFt6xe0KwNXKCWa1MV l8fNmdH89IjqtDQ4pTRIoGUsjnJgV9JCqTFwtaBFfX0TdI7HXM5Gg4mROAAQLFk+VEh1NO9c8HzU R/Ctx9IvmhKr+UTNsEBepdFn+w01u+nOcDPbf3sCnkrqXecnRVGB+r+0YrLREawoDJRBvkafELaX OOsJniCFcV4BwrPeuSM1eIYwFRWeClE9HJTbwfKTid5J2SseD2apfVODFwT6cIXYLEWRuERXZ13T UjvYZVhq14sBVhA77WKzFHI5t1TophjnHL1M1yn2KMNPEDi75P8bawUIICRO6TkwKrn4oqiRIC3t fAfN01nySTa6J9rE49EJ5amdzOMadPA8sZtRJA7LPrxjfuBhPJYIDytXxxdGeKaMFRI5m6F5vkYP CpATAIHBWkEBbI/RUyLqteLSKQB9OJqHEg8zrJBr253r2AeFBQMOokPllYrH/6eT/FzjOz3zFMXi LDp12JI8tDsnvLICZglw8YxlhuVxW0fLTJYwOktqgWveBfiHwyT968njrGfXnWsZKoHXPnbphYNZ YpBZ2pLMA9WuyLQ7P6WwPOenx+ORfKj3ywfrBNA/GPcUn1Ewjr2O3GQ6SGUxFuY0leSCIXUCqa9p ioJxE6AhQsFHB4fts7tplIZgvMBAXSxOyE5tekPT9sF6wbTrmiGLM4mmwSYCBwNET/694N/1iseD JuevCh16txNFAJumsDhObNhWRdxslW4oXraHT215XDAjjEp3n8rU7uhcDfxqJ6ehrgpcBTKzwLTa NnPbtR5qIq09ZxSKV3Y3YcTmA2MCKJDkLLWQMT4zpHA+IpmYUmCYVrtR5oxAKhQ+g1zV8h5Cu7oF ZTXXLVhw79E0RaVxaRFAs6bf8a4meLiwNI4FNGbTAZYk+9LRun7Q9WROzygWtyNcKhhomioWB4CH 8t+4WvmWfyV2PK7P0QwlfZ+lkMBJO7O+8hVVUUDAWerFZY0RTtuei2NxZSwpP6MvpoTKZSvCOqB2 mVSOg5bLJKb5gV8schBmVBRHxQQ+U6P6IIIU/s26aT05MR6PcGEeEHTV5mCEsG9EEKFUJkmmhSBT Hk255ksIJsiY1ZQFxISmKYrFzXRxKgPXaIsJfrPnZcBBPAHanicQ0NEEReicAOIp0ApyTguh9TOK xVtScY41TNboJOmf1triQq6TR+D5CPR0NQ5Zh4EJw75XXcjfZNlpEHtm2g7LFUVXS661NgHI00SF oipT1B+6qdvooCegqtCOoLcG2FMCA6MZ/zNoUgtMjM/TKnrjf3YPtkwQtpsgJIT4RXbNu+PxKMK8 KbB4jJCdusj6FQXiSUr1vbjyKjScte/OwWuZ8fmwmozBuSKkylD2T0dQHx32NDpUrKhJN8CWGpIU SRValYi8oRNrYiESLFghUoUiRkuS0Ku4WjbuzcTE7px8FY9HDM70ddWJYnvhvSssiU/RWHZyCMaq dtWl+9+ei+TqZa8QqWKRwDY6Jj2PbNsRWCXV9dHnOajULFigAHF/zpUu6gkBF74m0jfk7bi46bKk nFVrGnRcQvZfC56PMHTf+QrokFOmsJymWFacTLKxzL2z75Vcki7VlBypkpJPU4hU0UZaQ6jVNVjd aHQeqbTpaIQVQBtwJ+nT4DBvqJCt0p+4af00fE1QEafG8YnCmg/C8ywYqHAbnZgTj/8vwn0VdnPA 4dksRVE4GV34fO8b1HGLc9Pty4Aqdu+tFWLFu8msmdfBvF4HdcO3DeJqmCWIyXZpIMK0B9ITGfaR NyAghG6FFXFKFTDWwQB6Gy+4DIqiqnMDIrVRGD677zm26yDRMevlHqxQXnyxA0yU7H/EKGO7YKtd VwxTbxLsJ3BaIXuzmjlU7ne0eVpomrQq11zwQZpKvYUtKHTMofZdHjDP1CsHBbopqafGOBzmlXIp AzbhLFRmITPViU8INt5IXwkwes9rXGXoFYXiJAD0nEzXEP8sogCdjde3e9/VuS3GXLGeikn5amlx 9LoTZasg1wWDsnN0EniAihoNTtFWpeW0+QQmSrGvCKiyiDhM4plzUAI8Ozw6lLLwPSOE2PiKMieA Zvk6Q6woFl86x9dOVnYqbjmJxZzuklosqGCewli8GKiglTv6khroMckJfRe0OYeMmOW9CDAR9bIM fJF134V6KhD1OUf4sqFaL7cD6MtvTKnh8ei++0X7wXpCFcTmKYrGC3lkNFWz8hxNKrmeBtHboEeh +uXzFELGdc/1ZNLH6WkBpwS6FhoTpEdBjJAMzmyFJ2xEVPccpDLtlf+FYAXrlAPQr1xPJ0yjHNMP NBfweHCU72/QOJ2o1u2zrJDAOammsoa7RGx35YTe0zTv19KyyxWsuDSuyNEUkDnavlsLDtKKCybO KLq8gRGODhaKdwh/HCB2DuSmV45g4/QyTVTAGGm6jlHrkvg8Z9XgewaQgofoynJcxsvs9QizRwE5 WyQD7cdbe8rOQjDdNUoZTbclW7HKoan5lmf0EKp2ZnVU9d3Q9GVl4f8Y+84caXJkyf95lsKAWuz9 D7Y0M3dGfvPCqwaLBfv1dGRGMSlcmDj/QtVhUn/6la9fw145akoRGtZ69UHX/EZgrabwrHg8WlI3 EeYdMMhLvptvxzAVkuFT8Shq0E9Ji8qV7cHX8BxvhyRO6WX2afffvG7xKFpvGDPIx7Sl8/+lL4rp 2cS3gHFuhRV5oO8oJge/CvrQ0Og/A0zUdUadfyW0b518PCL/eJluC96CJmfxwsp+D8rNQWnQWNvE 1rLbekPUw6qZFWohJmMfG/1I/yNbJElvTW2+MaAPghYdinUdUsjqR9F3GcEnSOLlXno0RNghfpws hNapTcfBqVHyvpA++36PymFd+B1IFYhXj4tT2SF+fLAD3K+OPUBJjsCgzwV33i7NDqkdR+WyjNp1 3dG7CAm8jUSj+HkCprmGsAWo3Uye7KDkXw9he+OoUMcui7y15vXWYlNWfpOlbzweSWP9A6QDQeKh Se2QwklVuDV924HONPyA6tenRXrznKYQqDIUjm+5cXL02GC22uEoyByvgznAbddZtUqgr7G36Wc5 gP74okhglLiwSjgdB8VQGQQaaT5hOUVQlXY55nrsROTjNhF2iB0HQAcgQ1cQG2u5PHulHbZcf/Z1 jwix4+ptrjzLHb2JcOIyaFcRW3DuqVqnUThRI4OyCZg8XqlDHISv+ZU4vSnSXtATKNJkB0iWX3k2 xMbzkarKP9J9gI7P2+Hcv0scptpcyeg2omCxtRz3xK6wpikEqnSH2Pc7+q4bs6B10E1VpbPmhMJK wluia02jNgkWgGGCrwkCKKJ8SxvdB226k/RUg9OlhccjxacbaFLOByLR65YMdoRUoeA3GBvDpqk2 01cBqmFaQRNuPRYW7FjhUL0Wg0OPLzj0gpbvyow0oelWRLtLaI7jbpo4nHDZNeV4TW8cEYI3pZ4I H9/X0a7g0kwCCyw+/r9ojoONO1FssWkKJVUaQ6bZLlVqDqNKoQZsulgwozb/2x0F5HMZAcHYiemy E1kFrJCvJxEBnZbqWPzzrzYNXNo1kSIoFN8TxJl06Z5qBM/bCIZwCwlf8BEaeDzIW8Y/iJ4Gvcx1 r7ooHpeL09hWCMc/mosEeHfEQpNRRtN2TlMcj6vwlE0GMj8ykHsm4OnH0BnegYrl2QRsLL78B/5w DlTZUy/8W2kFpRsftOlIwle7vA48HrF/viWi8bVwxfZZikLxRovunVxkre9tDZYTYNZ+/X+k74A9 F6FUTOpqmQgGRydxnplYq9Nuqw/QOGZ6FtOg2aZLOpTEHHiHWipsRRGOb4NyFngBsVUCq0I8HuXA Hl9iRqGaR9komyYhg15PlEyVJ/gWKgturThIZbWyLQteqOQRfiGy/+sriOWK4+2OFmGehQIBjA1o fQFiCHJ9aJc3RKUVHmNgwP6AXUYHoG0vHbi3Ed2Ly40yIZDMZk4GHNuWBjmQ1ZsfEUFYfb6KMGXw jKmPkH0KZQ4T7TYRo4mzQcwH8SrnrEWD4j80osPSluJ/ikNykVpqeUavGywoYEm2YJ/zfKDHiSYe 3bDOWiqQwWiXqsiQHN/0m+h/UUJc/DgESKsngcbWzHw+yF7adxSVIQxJoXifrNANiEd6zRZkoiyV t9fsKLgotw04h9tkhfhxETaakcvaQy4D62MWaD2xGLVP7rzoEXxyLmqG4PyGu6KLILN4gG+KREN4 UA3q1EHB+Yf9C6TdlU5PoNYtfkAQTP2j1A7ptZmu4GFOUc1cXlylp2sQSGwaGzCDFTQhfNA3kEdC ik2BBA0ved3RtiKsMhdVQlDhTNBVFssFPijnX7PIkvpN9uSSkEJbIMI1s5yCOYiycbLpQVhhBd4A zwd18+GhAjkMuENPXPxswyhCJ2f87Fpr5J1/5C3Ec6tLIYMNhlWar6yock5B0oy2+76jb8PzqRBh Y5kT9Qoc7IQhYmFX1NwLCiyWyjA35zdFHiWE+qgszEGTBe8N3YRnufH5yPTmuyB8VjYxkeu6TaWw dl4oBSUoIkB2tZOFxwyBJTYdWgC+2SkfF8/V1DOTJI52HQKSgZY3+dUwVUtbcnWZxWHqV0M/RwoZ 2LH20r/BEftK0wdbWvAUFRxx6PnINbh/HVoo0ux+fQPpJRmcWZirkh+uGbQsBEjE4SFAYpaPoeYq CtdNj/YcgPWOtg3PZLSeUCs5c1VOiLW7kHYoyGW4+/yAt+cHPOTZ9E2/eiZsxVjbY6y+V2YIBAZM 5vN/UWJ5eYLjuvrjQp1C3RV6UVJnwCZL6qPoXxX23amdhejLt2Gsg+iOnf2OHj6cQ311QNTPmXWy 8ga6Ea1fKWKI5IblFjuzUALVSwfZzW5i7ncNjrgDEIQF0H4e4vN/CiFiEUEhc/f2HPAhsGVJPT1d YIv0aoi5c+/cs4NKcw/BFOmvVJ1ZM6tFw9Gp1pBtn51S0oPfZi12VNcn0Pf4iRybKO+pFAqTSxmq 0wuWg0B3YOKqeXzCFD4f7MF/gC3Eb+TvsDQEmUtMevU7Va34VMEG2FJmdnVtqsJSugp6bch4maMH DicXzPwMyEaOs2Clr9lRPFyQr4bU3yXokUGML4oqVeywQ+TIBs0VYmdmc5Dm5/NR1ry/QnjoFsz2 nO2hhaccqqeKMAy3ktOqIAE9rPSZxFCnM2UIb2lN1I4y7mh5M3RgG8DmlLg+P7+lQ2z4DYowbxL4 ZYZDq/MceHjqaF4w3Ws+WOJ81qigPeff8vkoJ7zCPuSww04Q1TOfqyh4B2II7LzpbYepMjGywpam 1/W2QnrOVQRySYbJp3KJjQ6ZGmdlUc0P2j5NLrfkggAwTC7jesTcCVTMsYtnFjyYpZjSbilmtZGW kRQrnw9C96sUldUsBHH0eijk2MizEFGmbLBKkUUrDLU9HmFQTB6lmP52Dp08Da24k4lGp3Z1IU6S Vs7By9C9wX4g27ICYZr6PhCivnNVmr1z1Myiwm0igQHnqA72s0SzUMnnxh18Pkii93fgzq7trs8O jKrqwE4ghx63vkchazPRpSILZLUAo/NVFQbuW1JI9WvUVEE7/KxxpGtdKAVTT+wZxnDoeu92hVt5 sfB7flFkARxg+cDjBWWfJL7VgoluYOf5DzSBEHXIto5rgZ5DQ8812YDoXgo9Mz5vWUZQvMI7Zd+p CkmgpjJSRAbl6Ky9s3pOrsbKescOS8LtIQQDUvg8gAaela/66PbOkYsJGWmV+POtqBLZ/pCtH1bV 2Hw+ckL/x+MM+WSdj+FwJFkucQhjElMLvxksH00idiaKyqF3A4Yxuwp923Cw+8HBnvvhRONZyu7Y gsn8BzPcDAbxCfBCdBBVn/bOv1kOw1nMBwEXz2Ka8qo8H8Tn/3IQotItYpT1BFaBqee1EHKIGd2E XMIGK0yQl0KCqKLQ0NVzpXqBdj5e7OJJTDvUDIgNgt0gIcPAePzQh7AmAtBV7lMNK7T1nOy4l0nZ cg7Kbs7yzEWwvKkX/etcN/AVStDPDfi7NEtL2w0rT3ziFZnZqufNExqrKjIEzp7ZA/ZeVO/jaAE7 8P9nLSUKl6cCBT22ACtbIYkHIVUAZaLHOiO/6bdlBWlSH5TdnN9CEwfNQj7/lyMcd+AmmOrWGAJz zywFDCgOVyd/lOogRqRvVpApvS6fq7DUviSxJY0ajTZXJ+ApqCfSjrEBOUf/6s2ABg6ESALLuBCh 3uylI98AgYOkEzGaNz7Vk+W11gqfj5Kb9TVXheLl+dmCIRa9icaXXBx4bgq4cQvmKYIootB0t2AY sFsdps18R6/HnHDkXKQy1j0xV5Pya0oTLWbVXHv+Yl5Ne+lI0FV7sN9BEfs5dOlejHW1+XyU3Vyt UqI+ebOgg+/TFRp9cj2cdPPaEHfZelGcbBUvjJ4/y6vIodOn9wLL1+gn1gngoY2f6fR55kfyQKkB EXjyWyq8ACLr3a5mL/2bc0cpVJMq7CbqQttN5D7cJnw+UlD8lnY1Q+O7DUO3T8oDDGqus+I+Sh1e vGqU0WZ4VVEitbkKkenDkHrmPJjWRTSe5K+haEkEGjB8I6l4BSzAXmSpjsukXWwM5tDuU5FoU/De stFzTsABfcasWZt8PuJm33qMcMiY8/rsw9Dvk7iMkeocBiiGjoTVRcnE1z6kfrzOrMDw8y4sSvj6 6PsQHlULehao9GU70eE8Q3l8MqyRa3ldtCZ76Qgy1KR4J+E70DpEBpn0csB3nvuVHxAF7n7Ak7t1 Avy9H9P00PKTGUKfiSpArPqtUW2ykizCN1n/5IpyZYUwdRPeyrLW697mlM31uS3gqtDBClgdIaA8 F86kNRx3BTAGuwwTCX45NP00DAMCWA6GekXlrVJ7BR02Ph9xjW7unGwbzvzURUPfTwYcJ7dN3RPC 4VlOKuS4SmIZRWaF7oHx56MenAV44ejVK/ihb7T0TjS6yahRNxH232NzT+58FxbtBHLs/Cnh4Ekx iYZN1aW5UdBhJWqvrckPiNoT31QaRA4DSZHPVVRvp9Dy+UGGw/Y2y+QGjCnrAcZ4TSY0/1xWb8/7 GZ3/0OHEhOlgpQ/wdUZZXFjCo0FmwMpXVpMJzT+zJJMGBcs4WE0GggO8DHttfD5YV8UDUvrXQIir tBuQhvafndx7CNRZpW8lzwjPubEM9XFiPUc45sD/ExmTy+SXO1qagysK0ElU+hDcTvPFPr/oScdQ GmsXXQwfNXvl32AfW9C9faF75/Y/+frUTG0+/5c3E/cayuyPk3MO/T8Hnb6WOH5MCGu6gsu7OqQh lVsTDQxADXkCdw6JlHB0TMPgxksSpqYWJ2UVJ36o8+akjSfv4WSbqhAhUyVpSnDDhKShCI4b8FUS sc9dwuej3PkeVtSmxlw98m45tAClNnef68our5Ru8iz4I93j6HnG5DnwAL22Q3Pq0OLohxXUV+Dm zbka58bowlwl7ALEpBBcsuiK9wm/J7gESfqrvdxBJZmT2uVuSOzM54Oj6iJADLN+LkHAAn2q3iN3 k9gcpClaSaa7NVq2YwvLChUon6oYta46Z5NyAkcPr+jHWqhets4fkrN0uSrc+RZ4yAW3AsqThKcV e+dgWTEEbUpyzlC0rArMRywSrZ3PR/Xj72O9o7LTrmFFDq1AUag+iX/udqzj8jCUcYVDiUrtLVW3 vs6xF6giUHgI3NHOqnTOp7VrUql9oEG/BXlofNfzFekRgCVqPYdmoLjtYYpGaS4OdqrPvIyVjUp7 4AaK0Cx9neqwC6DctM9UFLPvyrMKHm+2//YuXufbwwrtkEj0CzBwAz0RrbAM/Fof/ayCasKY1D0f sEEp0jnLZJlLHQ69Lie7d3vnAMrAtjxpwjaoeJUbiQPU5Nh8PsLQ3pjdTDFh7fysqpBRamdVd8nO ta5DGqjK3sCpLveWQ0PQPm2lyLeYozecYdLTEHuQNnIiKUaAZ1MC/ceeEatQ1kPtanaFnqByZkiL CU5iyUJ/NKTuZap+fh5+wF+IY2EZZErynFehMWinDdETMMBC+gq8rGZ8JIA+73TFPkQSoFqqeQoF ZxWs85NDLgZEwLPvoLAl5AfxRwVFxQzuowtQqT8feIOC0U08HlwLMEDCnMF3AniaheuxAP0IzEFR sP/OnTsUsEe/gWjsDkoNqpYvpkgCqyxhrea8iDrr9nQwsAfFZA3lzOkZPcNBlaZAkrfTPGZDsIGS E3wGSWHN85GhQgTDb4qaztRiTqpkoXTIM/2EuvSP/qEE3OQHRFF7/o4a0Hab68kHA5dQhMBYWueA cWmzJjteFkdn9iJWgT2V8sHQJrRLhqsNS6LHlw0BgYZNOsML0TXtsM4uwswWIiRWu4IvezZ76egu ZIi1JN61iiOwoL4nRnlHcTRwCv2uuWMZQuv4RDw3HaxR3E4phHPemAYs2hPJC36t7+nQvuHiE7lG MBnTcelSoNTokCIQcHYSpOhsMnS0GTYs+hGQLFR3ejg33V46ug3bt4ZQdXHBE5m2TpTf+cTJD4gk Tb5xMgBtzfyUsAK3UGxDqqDn6dzlExs6tG9SV0XlvlXuZMX+RNu2X7ujbUNoBpyET+pdEKCAEieO eBggnb8QBl6cLKth7WUvHal6ko4LKzobDK02qRGaqEbK5yM7gvRPoQHs3taf2CEuu7O60FM2aF9a y3dhgbaKduFCpdomK4zdCX1BVPiMNlnnJJxzdzPkO/+DKJfn569EuBI0+ng/b5XdQ89Qld3LZueL g/Mod5J23l6LzwcR6eVzERUId/gTvrdntkKgO3J+QA5MP29kNkJZwzonFVS+kT+ndKujoW+ocZXS olG2Rs0W5b836UWwJaANFvHIhaBJ0JdQZlje/MqC9gXGock0KbKaX5n2rAIkQxqEaOLzdZMfEEQP D3WCD579NUGfsdkKzUOhE4BTq3oOPbM5+NZs0tZFBDiz48mBe2g2uPuJFFq7o7XqB1JMqvafGwSc 7CLwMm1gGwKYzla9jMLKslf+LdcZKOxyEDke4qGbVTcDNQTuoaraf0UOKKPlK8KUQ/dQumCd/7p6 uS9vrzac6Lq4r/iClrnSwtA+1ArJ28Wt15ProPoKTxnmOrmdq0ORK7JQ2KXjrENPVWnhUJQV2Idm m6qqOnJVkV/lBjTMrUOx+QHBXdi+W/XIyOc5F+5cRRE8qu3cg8tTaNc8Qw+c9Xe6i6NaYnMV00/F q1xmjbkea8w+FqqWbVi2MyViyYLfiYhg3keLAoHVUrFX/t3lqRFW1AFKK0oMUdSRqVxZ/IDIoOAf 3X04E6D/7lMVRe+VGEiaUCuFrrNfrMxIRvyug+K02oCxMswQ8oqVUY22rE6eemL5TfoSRESTCaVV APHOGbBgRHf1TlKyV474S3RXg86hDUqhJ0TFVc4CAit0EdVfcKPRNnBWjWeqQr30TFNMF/xGDWu7 QEV218yzIPYy6eb8i5Gox+zpjpbosOBeGwvuJ8uBhIfM2FFbX2hF5r0vDZVu8PyiCNUgySrW+zhY oFCr+pI0f8yhleijjcq9BuXBUp4NGBJRsQS6ZEY4VWo5KWSgxZSU9SQtj0sw8BLFqnKeSbujTRWE ussCpLITAklXbqQ5eE/K8v6wiexgGaU5oZ0oTFqpKEAT3wFZHXnzTGTQ9Ns56TU/ILCu3/9cgoMo yHRL7oGlKCqaRUoCri8/K3Mbmjqo5M6FhTqvzdYfhNSeTHUoXdWhsk+MO6gPhNnqYOiw7TXQQTqB +rmJ28qP78xM9tKRjBXpOE2iX1D1J5zxRCJLiOuzXTKf/wuFRYQ7qwhQQLqzFao2SoJhE0lLspwM 7CllJXOHzhMLi1bbMELMVIEbd1NPh6ND1mAmNSvJRgBqgGlpQViDMFr92fSv11ztbK8cVBvIxhli MHHQiYX2YxLNuW8+/ydmlHwvwE/2o/r1eY2uOmIGnFiMDVXJKt0FLmX8YUJ7IOVzql5jqw4Ulhip Sd3UlW439YSaJ0TokPdERpg2XWvpWwDjY/z7TTq4eHG0h36NrDrUCOWlQu58lysPq8gnUCvyYF14 +mWSzjtu8++bzJthprQWtQjZEYlmiB5iJolKIqEk0rZ+HbEoYMGbvHgVzFBXjD6GqqIcLbOZCNRX 36KWgElIGUy0X1SsOwE7hABkgQH5PHzN2xSd4JMqX0NXIAfrRCT612AlLTz9NkUoWi2bIs7HWYdT fuyYotdgqlvlZKSdjDM45GHKRWSSJ7ScSU6Dew2lsIhYDMaxU+9ou23tCeI9sbSjwfgpm84OQgYA /7mIFHVONk5fA6mOc4XNQGjA2qCqMYBKZlG72+c1iupPI2JaE3/CnybZDL3GUN3aEH0iQjcU+0yu 4tEdpQ1P0Wl6lq8RVEcNRwF5Hs9oMwTxLcgssbSeAVmQSmHGzTSAOYXFhs8QkJmf1/ipi9cAeQvq xgFv+MMaVaX7Hy8pkHs+r+FTf0IC22fneqIlkKboNXbqxh/p8s1VkTi5MgVcGK2plYFeMQ/fYBFZ 5aAavoOjXXAnAUvtRHLIiSvcsyEhCVIzsXA4qNAIrpd2Sq2418AJZ1GlUx/uUxtUbKnw/SHEEd33 17Cpm/gfp0Ruv+uEDuw80B0wmCOUf5G13FAcXf/bec/DQQrzWtMGcyRHWviH9js6w2ae5AT3JInf FZ427DpM8q8pVNLAILAeaZLlajBHq0ofrnUfBG2EP/MUInSVz2u01A0kzCkhag0Nsb58Hb2GSjjk ixk32I02Cr0p2WzoNFBnulIxR7JPieaoK5/rZd3RbrSTDK21daPNugCm440GnxPYhFH2ad+9xsTu NUrCXmNaBx8CDLRasTPohCdD/le1f16DpP6023UcwZx7ItXXHL0GSDiwK/GeZd/+FT3TGU621l3W egN8Lxni6MAWH77nVO7oUJecTo5KH+gONbRCdN5JfXAhwKFlQWvjip7Nphd+v9TUXxjyehzX6/Hs 2D2YQ+JG+LwGR3zL7HPEigIEweDPpEl6LWp2I8KdsCX7gTT7dEUh0xkieKO7W/ZrSZNbXQ2FKa5W m7fsdH48nNQyWd29QZuM+cmJV9HiQ1BVYehmSGL+4Z/XgqaFYHMvdKQ4ZB0twCWPKZQd9FCDSerL DySCgc72QURic/RayuymC3reebl8Xtv3QMLUOBKhbZcTCoMjtT/rekYv+55YFl5gLGQWcI/WEJUU qJmG+uX5G/cF49H46rWMid+CQoyEO3JIusXXTGuQuHN2ef681jD/udjEucXeSx0qMAohoyibgJTR +nbrhiZ5OEzTFTwB3Kk4EC8Ks02BGDWYO/qGQ+cZupIUoABegHOZJN9VqLSfv7yKlOu+R9qJ1zHq AekOrAUAkNUFh4Um3DvdD2tx5q+jG/kM5Ed9nqJYmzlpX8Q8iBi5pzeK1UeUcCW0Q4xrFM2TBK1X FdOBo+dt57eGjBez3NFRbJC2biFsCgkuyAneJ55DMPro+CZcaux9B3URcLhS3PpEG+vzzvXD8b09 3sbiQy98bjaJBdKK7jiKna1lDnzov2Wvic9EW0xsu0wgmHBlwTxRmYlCQuOOfslBlrvWIeHvciLu IluCQYYBKQ/4/ZWUAGfCN36fps42OlDHPuj2rzCtEW5/8/EgWhrftxwqkii0+DRFUTeuFkBamp9O iwIXkqeqzTGdmXBpQVqCI7xL7Kz3+Yy27VYF0C6zz5KgmVNEcGgDqF+0qApwJa7tmareOEjeaMs3 pCzEwYpx5whPAkwB/BoG3uP7fAJKZQF9ZtP0HnkbtHC0fE0Jeh6WnJzDcNohfn7n5Qy/99A7G0ix gPB9R2PYLpqOiY18MoKzC5e5rqEIUDmvNd0Oy1TRMjyc5EZAUbgTrfYfy2yx0QlDGecH+Lzz+xhz fd91mRLNcgPhREXhN8EnnYKitp6o5iYJVHKcdDzxXuBEvcff2WwugCN4Ri+E81AC//gEBgCmCZHB oODnnCrnI/fjJk7Sy+ed3dcNTY0O6fRBCMUzO50e2XWU8nkn9zHf6F+JXCapqzRPdt/JfThU+hCj z2u7K+9sQAPgD6weQPydSiZRDG777RyJ+Y6e7oJ0t9DdZzcYbHn1QNOQHhe816c7GmepJkRBeGOe Umu+g2HuzlknJPU5YD/vzL7+9AumKpznrJuz38JSFIVDnhjNX0f6gNZQHWKwaKDC2tvIDjGIwnBr A7Mz6qOd4mefJUjqUA8HQgOF6Pyzxs4BvqhvQQyZqQDMrjd+n6bBMBy2UBqMVwvP9c2WeU+djwch pkmWaDmBpLpTu6f4exyO1US0azGACoQF5/KmiutUtfNO04lXUSAu36uShTHQ6GjzwSXVdDwhdjU0 UAesmjklYFjXcb3rjd+nSS2VE35VH1RgoqW0kruWP+98PvzB6bvCBElk6ffZPEWxeCesgIw2m6eV LghjNLULGjBkzhMNg3F5y2S5PGq0Yxxg1pOPsk8OC4fmVRbM7VSzCsexYPlz2htH84QL6mzr6YPY jrjbh9q5QGBE0Xiu+Xs5FZSZ2q1VRrE4rX76HDn7rmvVLZ3achPa8zLZsCrvNL6vXVeFSeTojV80 TeraisVnMQ+xNEj670Qx1UfeDN2JzzuJjzEacQSAX9tglRN4MEiNseTPO4cPr7n71+EEgPfZrJ6y vFP4MLnSKGnjutC2K8/cdnXM5pzuLfPO4LPQj1VIyVhz9PT3/OUQlR9UCTqrqQmyORe9GxrrJ6Xc 04lFy3cGnzUHaK8KkSCSaRovvPM3rLTF95xpts87h6/LFOq7mAK5/XqnKip/s4jY924u0ZwILJGc y7Ko6iTB0/0L3/l7VlIknG48owM2wReCqhKzlhOXGRhYtBiaNldEqRdkXvTKUQDF/HdmZcNoRw8V VM7eZzw+Tuz3eWfv9YeebW0CQpTz3XphPD7o39BL9b5cnVZ2KmMmW1Pnf3c78XfuXjctbaS/KheM p1zQCvTxJsWHO9gQA6A4WvXiVKvtBAwDZTKX3aCYyzt1j/UCMoayegXu4gqvShU90Putn3fmnt0E zxEFSurO2U/yd94e+1RUQr3lAqR3y/kdLsDfAIizytM7bc+LOhkqb+OOTu+odBRfijNBpGTQnqBm 8LOIFVVgMB/7q3fWHrce6aCgc2EAVIrH9zgHsqT2C1oqUTw+dv5aTjiiFmJDm6YoHKeZ2iBW2Qt0 pk8JvNPycBxb06YpKoejis4yuDombeeHv76Ry9E3FF0myKqyJw6VBHB1gTtF7HOP8qVXDgIoKpIk UDRsSLrmUBAywsL6vBP2ugw1v/I7HE17e43una/HMroQdH4+oc7oFfHqJiHQ2hiWBr/T9XiUc9ut Mp/Rtt1ugOAj7MaN12l8Rtgv1A9OKojQe1NHKUmWZOmVg1Imq09VNobVbQzPGXqioa6qytqfd7oe /uLi5zj+W2Qcc9we3Ttbz58ajfouqtLt6fM0d3Fe4+zZBBHeyXqMk4VzovKujRZoDrD19rBtB3lu Xo9nQaG9oeZFvuAdtPH5xtE0kVQ1yUODd+TP0JkEO0mVfM8C+bxz9XiMeh7MUhPY8h1Hsk1UWBlf vPDqtQLZ8nhEbLApIaOqLz3luaDeI3KUrGWPXfO4o0WaoJIOmGOTfnZiq7bUzkSJYCLJy+upq0DW n28cRJrmFcZjarV58ztajCgl5msG62n6vqsmNoj70ct07zy9bkbZ5mync1w2vTKXKT5PpTul6p2m x8IK56fS5cFGQ65CMUStS+pxnQBTnj0Di7fLSvARDyTK952k92y7/AwqPyW6AP4QEj0+7xw9liX9 tmNtoaF9AEql9cajiLyx8zuKmz12NV5VVvHiHeU7zXnunaLXHWkPR+18R68/gRq5NvVtqJitqYQT JhgBUIyYj8Ibynufd4ae9dwQiVPmdOTkVZV9PlgR59kGn3eCXn/Mr+wUP3twpObVgnd+Hmt7hPXI QpwwzOG+MmUQt6Dg6baj3ul5vO2IsoDkxB0dBl3hqbVJz4OX2twSVyLOoJs4KiJNsYJy0RtH07Q0 P3cw+EmHxskP9ZL4eLDpLhRFEQCtu6cHme/cPKymPCXZacheOCu4dUMZ14t2dzdMeafmPYdTJoDb xotGQX13r07rhkYQByN2HFId9xWSAj/E29ALB+kdADu615Zfb1hN52O6hGBP+PV5Z+V5z+QCCQAm 7Hl6TPBOycMsEX65Hgrx3F0brUI3cF9UPSIO4J/fGXncc1xNpZkh7aP9M04Q109YX6hUVqEwJSIa F9iEKE3+4msQnfR55+OxHU9MihpSg0JjvOtK72x6QcAgl887He+fqoqOfqym52wKQ3FUtPGD+mrK qQ/D1M85bZ5OMn9XU1QbTyQUFLL5fNQ8LYpvnZOOOoGokktSsWSq/yZ5+V2/Xn/hKLOTihQ56Rzs CG+mBYuKzeedh9cfjqedTQATIZCzL32PxNHtW4TQmx3RWUFMNIRLkT0mVhPJxZqlqDCehgIC9nht tNVUz9xDcXDITPzcLiJZoz71M1jJRGbpeAImLO8UvC41JzAVKcLGQYCChUzUrAz5msFiyrfRomj0 vNR6zqYoEqcJMc7Y5gnwKjfCpPycJcDNYWBhYdxxqZKL5+gEDZxNG2VrBk7n5isk4J0fEvOFXAdO BZfaSTThO//O4I/IfNsd1N9MKPViBdeF3/M9EkdE8d24A/5/nYvF5ymKxLHUQfS5EQEPC6/RrX1r dMvA4e/cu6dGt4CK5MgTcbIPfGJI+JSTTgaOO818zjzh2EbIcyZkl/TUMpNeOYLMybN3VF9Q4inC Qkt2TrC1+7xT7yxCfY6nBRv3eSEq78Q79i2w6iHibhFm1jFO9u4ytCEUfpohnd95d5aZYoKmcfdJ G9CCgmfqydDZCN4wUla6nCiBdE7wRqWqeRG8WNKfd9pdd91zMGtYegKcjPjmPucUyQHlmc877Q5b b8+viVIv+oJ43zl3jJ7onbarV313cUPxE78loxsUGnpooqLi+BRufiVFRhwtB14d+mSVnikJ+qYU ICEI6vy4DbQAikq58xXVDd4ZdwxkqMw52UWo7mFTwbjr1PWq5zM+73w7f8+v3O4sponasaEww2B8 EzufDeOcVcWWdNukK8B/KOO/OVGAYcbBuK2o0u9oE4XE95xQeQqqAvQZe+ZQkwY2jFAVSp4PSeMu vfL7RFWGBJ3t7oRCMru6DRypyeP5HLvz8862+6d5R0NLcAzWD9RAfapCtApgZQicNvXskLcjn/4P jE8XHUnBekXF7txIWFPvdDvC29RJGNJt42ibL2245TU6GK4FMVb+t1CRQliA8nJB9mL1p0V5rXe6 3W2c0/iVgymRrX1SWsZQ0M78vJPtWNfwvceTf4D6Oi6wN4zIpw6pIZci3ED4G2jPUNPe7jhQ4LTE JRXVx5vbGo9n9Pr4BtBy8TQ/mZwyYsANJ5YvOUHU974ZXtcrR6geFMZP5GWD+w0UQOkIE+u5ft6Z dozJv/sI2EBYGz5P7zE5kKv0BqPdDLUMoBQspgFdf9TAg4ytkXzeeXZfW2/3Z/QzChAUXHKMDnoF 9oDriRbb5ZztPwVps2890jHeiXYsLZKd3/sdhO89H1SkZDBL/bzz7BhcpK/1RJvyffvm7yw7wjmn ZDEcr9IoXyK8SnGrx7PGloEO30l2zIRFBC6q05Vy63Sga55QalF+DCDnMousx0n+YrqHgqplwqqr vHPsDOW1gO0sPqghnEHnZAB5dvjnnWJnTQirq/B8OjfgnPMe5VF9nHfeuVa3t/CaSizk9oz1oOma 4QveGXZcz0X5iHKYnJufTwMK8GDsDekGSywT84RweCKQ2+kG5XTA+7zT6zBNg82WUpMPmqbK4iaD hD0+7+Q6S6S/q+Mnd9m36vtOrSO8gLtupWWzNOTLMGkcur0fbBde4if9mgnXSuyhRm+bb7B4kPL1 RumVdE8yoCNPMgpKqEfkWkxRbbxTmKaPdQfNErvmkqFu9fPOqusS1HgWE3RtZr0Mn3dKHZ5KtDBc zjzoQ9R7toNHc6dHmOvZnvs9Ige88BltlvaqKD9nWguc2/WcVYZKBHyF5kLUcxVEDL/S551QxwxN Giv9DrblAK8aKj7NzzufzlD5tpYYZiIHRjxt0xTF49QxhK2gJ8KFtDRaMDQVfyF8m2mIirJKC0Hj ZB1AlqHd0YtPZ4kCCUW9v7nKmRSTewVzk5XglS79F++PrwkCAiqGwLbFB0Ew+p67ykOttE+LgnGo y38Vn1SiuwFBGIxTl3SMmWyWanZdUujVW12chhm2mKK6uHyKYd++7uj4sAk21CbwadTUZNMEG20o 2lEze5AlXb4O8CgWXwwx9yS3joNWEzKYJAJPGXg8wBUULxfgsEd1e7LsK7JPFIozKD75ozUKEEKl cQkIzWtP8ggg2+c9FLd4BG2DPu5oFd+V01gVmnTg3YPTLDmDAYEw2nngZ7LUjvKx+JqgC2y1J9Lu u9PuGTOlplnafPwvLDSVeiCdfBI2n6UoCh+LZTna/JlupMsTnH3fss9S8+5mj4AqY1lzhXgejVah axs6BJPeX+eD1jaRqHlO9h+kM1BvzLdUgJ8J3xPkK0x9G5pvNmiaEFxk7aM68XjEHPtG0cElBWha n6a4LC7qGAGrBNTRrUsabClNCwbG9kpBj8ripnexloTxOXoTeMCvQ9fcRp+tkusKpUo0gXHVFhio eaVA3LEoCJ9UQCTuFQNqlgTPwZwpkawxzszj+YCpOb9rmZBqOlfdujMVcjVpvAP0u6NXMb3E0VUh /xCGnzx8WhjeozB8qk0OAssdbaba2tBXI3s0nfnJhE6DHYUbATLyP6yWu+AFM+AeheFudEIxlU3B DpYKztWcmEqevc33DE7x9A2/AEStw1bQ5ikibNIzs481XBB/8ruI8oVGlsNXs7fLexiGbzNk2v2O dorPs2C1y0hCaBSFQbv8BOc/m/BgNOtcH4uXXY9q42qXr2LUFjTouN3OFVoTi7bnzJp4PpinW8yU A62MQDx26mEcTth4obIjJ2pIg3SRj2MSPQ1mskP2hD2kbS677qiyo9GrvhPq3o1tYGAEizAIaRMz krIRXO8RVbNeOQgLmKnAngEDm39CNpeEGBwl1FI3no+2Xv06o9iUwlFsExWWx3WUexUF8thUJs9Y UdRvlMpFG1Z76nEoLnnIKeN5s7IxWVsog7FPd+47uKYMYg7PPgSQDjMIZvAjQWqvHOw8SbsP84DG DVYkCdKrtcLm+WHxARGS7h5SNKgHeLFeplR/j8eR68h51rwUgDIAcxBTVYB2PP8IUxiZw/I4j+Jx 1lNhQqFYm6NTW1Djz1MikSjTSd0pIcKF7OGk3ku/PicUIO1RhVzXHi8YG4R92mNIhg8kcTwe1Qra 15KCKt0Ea8LmKQKPs1SNmHia93OpbqN6bi5k8YwOZqOdMZZUBFUxRtlsWlJTIuKVaN8FdoycQTd0 kFjvPbdqp7cCemEtP4c5cDoVX/SbxsWq0uWp3TjTkBPLVDtFlwqPR8WC744LnEPOorqNzh7G5Cxm DrrcmIdqTzZRmYw/GitUwJS0oKICufkxzTSf0WHR5/wohD/3DnWLk43RhIJSzhDtBZ4H97yKmYN0 1x6hVTor42UT/cRBBfIJLJvkR8vE4xG7fH2neHiZnwk2sfHLo7B8yjcnNfcYmsnLKpBDc2Q05BwV cI6oQi4W/skWGR9ovDh7gIiLGObnn7uprBUgxKb5g25nt1ApAd8TVDO7SgVUOryKihVOyRIOg/Qo Ho8o5l5XYf8YNgH5cl5HFJdbKgzXJrOtIpqB1afevK4CjLfVVUZYHRfId20LOPcTcEIK5LxH6vIv RneF1cx5zhKIMVa6yl+FtWJv/Ns0DeV6A7y0Yco7LZFU+QNwIJ6Peuee5TGUAK4ICBGbpxg93pm/ ZJ+nPqb3Ooe0M0lvAS1f8xRVx2kekDG5VeNilIL8pZ97DKqWnflL6kQWEHDXKNABmMFNXzYa2fia AMNK7PiYrGkyTRQJqOG+kF7BCTZHVBvP/TsqR451Ll6/70aIV+mkctblZPwlBjUVt8d2dfI0nKM4 oqB8VRVWhkoHdIvXLA307BZ6W4iXz8LNcniGTEgRRgulZw8MUBLC1/zGn+4wGrNBOIxzbmQr+Y6N x6PD6QIMqJ4saRA/xUcUkzOL6J0sI55N5xjPjjhc07I86O+aAN2IYnKKZ4PWqtoSRz+bUPlem6Xx CVZnExghobQ3QfHMoG0bMZjlZ3xP1DdfvOaolbmow8ITCcI8Te3g8yPh+b+6d9QC7rBRSV5aGWFI npJsE7qF5JuAZG66Xc23mCZvBjkcUUhuSplr9Ge08Gmfl4D0FaG+yCe7GDCZrn8ISVEjdzo+ZQtG VBqf8mfc7HFyUKOlbMT63H9j4vHoaPonc2EqDHibTVOIHDdP5+x4FfQN7AxvVOriGQ5ZNJumCDle 5I6aSOyx0ZZTpirjIPVuwmtnLpPEB1MCnSoIZjhuHHsHXxP17QCiy8TXn6Go4Hb+T8jX3ER4hMH4 ml9nExD5X9pOI6qNt6w+cJkPo8wX0zmnbJaApfNNF8XiUzDf7P2WRB5C5qY74TYsY7mY5rk/i7gK FZRx2oThR/BZwt+Br4kCpw2o4Vk8HJjHMyA4Z3phzgIhGzwfheK3XsA5hTZtqzdwimJxyOaCpLFu waBsD5yq9GmJ6gF9hAWDEcfiqlE2zcFoD1+jnbeZC7IYSOjq7kL+pCzxXzBs63zK43SPxxcFsTjO kxNZFvrOI84TlL/htOMGwJWw8AFByaD6bafHEBMk73COMBgnmG0tUxwnwN6V2lcyle1WaiKVEzMV F8jNmVHHOUdPhDeq4BACgznqhJ4hSyv4036QMwP/RHsJ+SXoHA+5nJR4SiqxJFyyknVoEIsSBehM I56PaivfzTt6VchHlrJAYYkc/z3E+UQvR5BJk4nNVnDaVqsjE4GllRnqF4qRn+VXptER9ol+gKpB Afjm5hMgmZ29jl30OCWQGjxDrAqFZ2gDZYOSuwZJLDbvaql4PJiltr9mCfof41EwnFEoXsXHF8xp +8ZTbtcp3PgfiHrlwlC885MCHoKykN3LvKMd4+ccwSFQxcefIJ2zANU5WwV47CxTVCXBOCLxRVEr ge64hbKYHDRPRe5piShJPP4XsIAhMEjm+9afZhSKJ0k9zumww+17DYgJ85TFeh52kM+QyKn27hyp 3dFSFpCBCnp2KBbUPROPRRZVIPkEmjvUMi6ghwIPM66Rk1DWqbGaXKKszgl5MhYo9qp4PJqn8TVP gEPs9MxTFIxnlzn2ZvDs26kIeZuAH1ouDiyYYTA+zOZaxsQcnSg19jjxx6CcIdAAS5KHSGzOrusw cP6yjV164QimQhMXXngYlsF5FrrM/L5UJh4PgAXTKwXs6GdoA6xxlehmFI1DYwxqKjUbUGVTkFxy RnKPpZUL8KGap1DTsJmTkiTEOHpNZSCpAF6PQmKQSTKq+UCrET8BDR+sHUybrhnyOMXVSLTL42Al lU4FWFLwKh4P+pztv/VnZr8CDzPGqQg9nj1pWZsklynhG1fN7qh+87abEU6lCz+3VVDR6FEmPGKK XNNZVqtmnZAAYYLSNWA5Hj/R0HNGwXilPCYq4D7Y5Q4SOP/xBHJ4PCr53kMcrwdm+kJ30qYpAqqM lGVDUryNQLyVwic1F0wupNs0RdXxlWx6BKDn6B28k8dPivd2gMi7cIxEmCNHPzsecYBj7MmdnlEw rnbwkPnwcPPhk6ic7yNNCmEtHo8KBd8gOsi0AsvksxSSODlLJyj26txow8/wsndyOA/Irtpzobah 8AJ7qvDL0REYA37T9IQ61xzwqdI/zCjdzALuxRNiLjIRZlQWL0uGwzyiWvZCASjMuxg6k4//hQ3j j4jq9My3iDkjoArRbKOwYMOj6fyYrrTWejElUXiQWDt4RrH4UFVuV8nvcLTFdNYOOpA6ws+uLrw4 4AGEYC+h3FS464zZQoT9jGLxRoXMqwGJsN5EaRMahYiHzkbA838Bn+QwAfOFfXddzOEU2qmY1Bo8 FrxvvpwW1ACZdKnMWFVFIIz5NT7Ap0X0M/0DYS+kikpHqXMQLITT3OdpFb1x1D8Qio5tcw6GfIJ9 EEPM82fj8QjS843JBK9kllvFXFEgjrwKaLZhZ/jAQtC2Sxvv7YdTtatuRViV4aGlJB52z5c73TYm GqoxHfDeAgYWi+KQQRlgRqKhbmIhUixYIVaFKDrsTh80SzDfNnJirXj8Lykjpitk2V6E7wpr4pOz VMsFYWw/wtNs7g8BaXCria8oEJ/JQPV139HLTidUJQGItujncO9iLCQA6CZ9IVe6uCcsZnxNVE9h vanQjbkWupnzdEKOV5T814Lnoz13szrybjJ6B9UP8RUKiqNGCxriupsu3QBT3t9UVNmujLVisIrx yIpBC3ikNgqtbbRu5pQVJdJqU4FAbYrimfgLb9x0/h2+JqiJczUNKG7bIEgPTggWIdFXxuN/6Yqy lAd3WuSjNktRGE5QDRAL2TsstOmRzUExeOZJySSMlfhJv0rTbyhK+OhUjd5T71BI7JBSAeeEs0Sh fq6y0foNCIiiW3FNnHuukT4Nl1wxe88JDmgMmRoDCrUhTuXJfqW/OuAUODz/XbG0OJPebM6ACKKI Hd/s2Bnc/pyKq5rh1opJnIJ9VZd+emzQzx8HAXaWMdcE/ImNdVTHJcN6nlirPIgee+WgRCeKOTWv z23Z8H7J1tGmWDO0jOr8rLAw/k+fBbHKGlcYekWxeELk3FkJUJCZ5T5pYmv6t2AyDavRrVhTRVoq RTE5RzuhTogJYTWCn85+HrO7xDh+4Ioab1nXMBf0M71ygOqZFGAfufugFBhZIWuRZ+/zPQOoyq35 kkMMPlm+EhgrCsYXOS2Lq5aOd4WEOVbo0FSyCl1azcBPKwzGq3AFWZwNjt7drB2utnRghqAzMQeg SXW6NQN4V+aDv8i68UJNFTmZSrWgsgHIgPFshkmQF4wJ8fhfqgWC9ICgeLkaK2RyJlqY1tZtnmpb vp7O7hGu7qTAAPponkLcuDg/Y6x+Ry+pnGOpAdjLLvCAYowsJ+W4iFg8r5QvTgUzjC/6rfQ0Vpfk OPJXridkP8T6QvoDjwdn+WVQc+1hH6MpbfMUAsc7JVWKWZ6DZbkdX7+zgwogsGM88/UHTmWY8vF4 8PWIDAYOc3J/YO/MgxGKmdQ3BHi6UpKdfWAsXr1yoFVL44NZyx2E5zlXa5UKxgn68XiEKviOoHir 7wdhv2IiJ2t0xTwz0GxJPlGJF6/EjCBeoomKJccl2ZBdw/527sDnx4+W5Pc6oQbJxAXqNggjMG2w UfUgihLRKwrIldphl/mgAwoUajYG6rlI8Hi0oLzbwkACWz+VqxG9o4ic5xoErFysdrTL2BC3RtRg MKIZHeyQyGmsxJqf8SLEBu4CUhEWwjF1rs7FNaAfj+Mce9DKKnI+31FIjv4Y8b6VA2BnPKAGHGm1 8SYfD6p09buDUFF8gqSETdN7SA6Bh8xTqXgtc3WzJIOa8LD1VDuZqVSwj/191La0mm97ar4VTWXk t5s6h9BMNPE+wtMqSWSs+dqF1+yVf+shAHTmg2rjpBOJdHfy4P0ek6OO5AeUSk1oAD0eP/tXFucJ 04YnwqM+CAzqnnPjbej4aaLCmHxb7tLqHW9hBUXfSY0HADCqVRdSVeZwHizLhVUKrY7xPcE88QRf izHUcmvU87bnzJBv24lt8HhE4vwHSIfKIaTxbJpCEifW07krq6cuRW4tQmCk7UCVmex82mFtXCne pnGqjR4YoIsAPCBTvFkIRgOugJSRxNYwOpt+kIOvhC+K9EW5kKRx2K/GIczgunotG+spgqq0K0on 7bGzksZN8XaIHscy6ZA89uNpu2QIah0mOn6mL9mFt0P0+DSzKIM0PS7nXS0EQMVBBzpRbdWSq4lS aY2+lbdOh/MfX/ObZGaDBrhRXdlsobZu4rZDDQ3P/y8ah2DoznkbnDvUOGwsE8zi+jNpmCJrxRVn VKkivUZOUwhUMe/NLi4QR5umnXF/A+QkNaMlCftM/9iJecEf76oFoJjga4LoSaoFeyYfhDXEz0KI fT2hIx4PLrt8Z4nhODSi14WH7QiokuT4V+801V6TTdNsl1HWiIPhNIUSh+pwriEQCkenToM5SJg4 CyuVkHbieaSxj3dGOraaErymN/7FhAzEm+KDlX3r2hKgOSErHo9gT/+g6KCnlC+jbIfReB6ytBk/ rvqUi226QVU8HU7oMZCeuEOkihSKEquFNloxc9QMfxUprWUYIWapPuFy6hsFK6h+m7AojZ/xPUGQ SWA9+7A2aDmBqsbl1FIbeDzSPr4VA3XHTzo2oWRiExWF40Om0xSE0ESVrIgJHXNCDEgqW9t4nDsM x02oZxmObl0cXYerbUpKW2YBD1sFqApruw4NCBS5Haiy7YV/M0g6R9H0QXddOr8wz8N2Qg48HjGA vpNgfO2uFx62o1icpphw/3EeZyr3DN+yRqQOpND32HURTEX6tAW43TvaLEH6uOIiII9znKBAiLuW yFM6ixZua+ZinpgC778kVcYdlLKAGVPU3pwDj0er6XYQKBSSC1s31zcixUzOyiy4T4OpALVsMJVN xBU9JDfuCAIwKBwaRJk8nxiw+uiAHjD8IX4MxzAwOdh/PoF0lpkUXCNgzAheOg2Atr10wHklpgCr kic5ka9sCcCESSoYAFdvfkSEYr2nOS9IvHNqd2HR1e/9u4GURJa3jbaxAMokYgVRs6nQFCgomOZ/ itUOxW9J0m/g6HUDNvKAf2ORM5VJYE/KENCjP8BPAYnQ6YrNXzpAGUg2s3IPckiaria5kZ+2Zubz kX6Bb0KuLtQod12PuVToBjQJx1A1RQf89hpL2kbPA08YpSFNVgghVxGqj7Hu6M28fJ6flZhIYJhH ozwiEjW8bMOmA+LKhZBZPMA3RXixRtYGq1E0Nuuim9PXReTOsfgBQTyVv+sHUGCb6bFwSVHRnNnZ KKu5N3BZxU032MY1jA80X+SSkEIvThkDtiGjQI6arHM5lc3uCkXI8SVTwh0NqxAwJkqo3YRv+ktH gE1WEAphGRx4bi2kS3LmBOAAz0c9ve+aFK7/WeszV1GMTqbxMC4n/1F8BFrfMp9igwFemr6wosK5 mXKOrQ7D2LfDUPc+twU0RITYhDw8zRQT80TIhUK5p3gyk0mFxTcFxxalDCivaIPm6hw+TcZleWQ+ H3H10/eZdVY2Sz9XBDGnsHZOQOykIgdhdnX2bdJZ57BZfmiBl2GnfFg81ym/VOj1QrYQGvsE+5W+ iu1svXVmSe7vwivMRWWaaTIZhVqe/KaIwEivkkzSy3T/RhAEwEBi4DD0fGQYPP9Ja+rP7o+BWYpV V2j/XtgvJmdhG0UPaHJqZ2XqiaDNrbmKIvZpvsrb1Gn3teiGKFTbrP+Sj75Z3cQBz8Yx5J/PXNWr QLpzs5eOJEh5WG2GD2bySi3EzWogifuZz0es2BtlaWkhfugXgyAh4ddjmQ4TsIq02RolL2tgFXkr cl3DU8s2YlhGF3a1Jx1aHP3QOqfTWVBt0GgR57Ck7id8OM53Q0zzgZKdIKDZS0ekdIrcbwGDd3MN rROO1KF+Q2l8PjIz80MLWxjtld0fU6UUIlvICc/NvfGGykRSuy/ZNTazlG3ptRhpsHBto0DlBauH l34yvcxSlbgdCCXES9/gwOBK2ftR3Zb5VIr9gqibsWn+3rebvzfw27QHT1zN54M9+FQ+mTRrkbdn rmLSJ2/APS4KSBw9zpVqehRiIYpccxVW02UgCOPdO3qReJ37q8FzBvqRJ7nYesFhPXbEWheRUDJZ xPiiSC5Z7RmyPjloss60iWVVT2TA56O+6MUoivHPCfPUOYcenuTeuwsOvSlzvS4v87q8pOYlhhyY eIJBp+R5rXlHS55P6naCLmASezv5IXAJgrhg7Q0iFDb0180Qhz7nOTDxdHb6pLWABmXPQDdoYZ0f gc8HB9btZZE1BPIRNr9PVRS9U2sEOixuTznLct/FVrZhpk6K2KdPVYRzYRH2HJSZzXSNDpoC9GRm Ok7ApxKJopbeoEyLWQub3h+hijm28cwM2ncqPhhFVs1EEhUrn48Egf8RPABOcV5gQo6NPAnDS8Jt 8B/rNhluZHJNdQYX3uz6qKC+11TXEyZKox1W88SMlX2Ns6hOAlTMZ6ii/UCNn1zKJQ8BJaAv+oVj dVYetZDojCI8HYD+4lidKePzf2XRjNFBAN31maqosL7oUln3LTaAlGslvtXZA4S01ljDz/XQzZPV HXqSjDsa1wPyKWlWoqnbOZVQAFRUgZoM3bvaFdFgKZbfE92AcF/khNrAwwUG4W0Y77ry+Wj75a/t ByDcGOsx0Q31ESe335xe5ds01+b2W1S35qI6f63bngaGnhCRlQR+Gu2Otv2AOi/0Y0fRuMhKiAx1 ukYjKMXXWvmqj27vHIE4aFQJYzkOhtBPIMLTEqGnsfl81E3+1o6CF9WJvp9FFQmXE/jUV3bh8g7k zo/L4E9bVIVYN9t/YciuNgScze/o1JgNdc1Eja1xMmN6/XCqEgk8iK7gBmggqu7v/Js2aRsyfRl+ AUJuya10V+HzkYnQd1xFIf31xFWBqSfiKkLzGdKTkzZZ4WYMOmZ3e8FzwhtKP4euntbZaq5bN9oD 5SC9mKA8KObULaPrBEHRHwbuuG6fep9qWKGvJw7BxWqFD0oGZ5PKI1QA9aZ/Hev6j0lKuvF66OxJ H0S4jMyLzGtuWbmYbzNxXjiIVGQIrD2ROCthXqPf0eIqUGSgkNREaTghlqSBIYrwAwLI4i3sxuit bHvp37CeO1FVgwPnqtOGjsXRmiqf/0vJhmWvTTDVzZoDe88sl40OzUpnf/RrCleHIWWRNQ93QQ38 PW9uM9Kad7Tc5qTFZ4ZWpjxwBaNvqHpFXlppbJaWcSFCvdlLB/YBm+CgPYcPaplCLVu9vNUKn4/U 3u8d2CTpUgEgfmYrhqOzGyEQlc6udK11dQsuRaHFd2GMR58CnGk3ThU2FLFD6AXCrsybd6uVKpQJ pFHEClA96PmLfeUv/Zuua11Uk+KgkH1tNqy5sjafj/Kb+h2y0+IUxclbRw7NPmHoimy5XumtxL4g NcromcDS6Ny3ghW6fS6VZOZWk4ajHVrnRz9HaKZG9z7XfK6W4JAGmOgehYbdbXk1e+nfejl1TJmf kQxKelEpUp7sJyTl85GOYvveiKQDlLu0QsdP9mBGLVZdB6QRWAaWrzqPIQZYsF3wuQqVFKctKYPL 5vZAq0B06AaTPZ+FYgDmCmVSHPBoVSzw9k3Rje3BHHp+6mSnH7sNOuBPelBYYjyhwOTzQWW07K+5 ooBezc+6CkVbiEID78QQxUOik3JCMMQsRFuGOVnmwPPzrKtluMbc7uiVUWC7+6Ab00b9ZQ0xtOng hBDsBxN6K6M12UtHuCGZoA3pS535VlcibzRVWJE5uQA/IEIOfU8WBH72vpokObD9RH8iqZmabLJI K9dknUtDpGRYZpW7sEKgum2+IgYbx4vZgxw85LShLQVIV2b1ands6QZZqbJYRt6STNr20hGOgWdW 88FQZedu6jRSPF+Z9aYR82F/JYTYhF995xxbf2LHOMjDnHTERq4namzFYTHTNV9z4P15DYco++Kj V69Q6YGhFRNCmCELu1Zw9MDq4weWX3dd0VMgx+afWRrCQxrCgJHwTiPujQj/cXIDfkCwCedTcm8W O5A26LMVVdzl9cWOovNE6nR0zGWxgaflmU5oAbo0Azhw7+izBdzWWU1LKKJzoDO+QCMSPl/sAnXn QHhNJrQAzRKmzrRB4WCIhpQrY64TizQ+/xelRp5X+2eWp9QXmoA2ne69ell08We1qVpubkn7R5uq UFxRDlY0EfLRy1cnVIDsIXWm2jhbRSwAGmh1rLJ28cUZyjh65QD7kauSweKDIDInY+oKSHvdfD4K 3r8xMghCxuPmnEMbUEIO+5IKPP+xDXffHXRpFUiGMsGaqbjYLj6NvDo0OpdtAcCAVh6qV703eaqe hAYkfNbvB20Y2MXJy985mCpBHTObqgvXLZupkPKibgdKF3rRv8DYbBBiqvK1Us+hESg99PpiXqWp Al3Y0ueyvXyVGJgzfQ6cQM+sqyS1mzrQHG2qamqISjRVqABWyXIl8I8nDCZhPGnBFRqs+p7gEmR6 0zbB6xysJkPtEE4amvSBFahp9t3td36vcwkCzOFTFQXuib9oEkuERRmixrn/kkS6sKpGfaYqDtyV 5uTd7uiRKJh53UrtZ0XlLRXYTsERlNAK3WimevQUA8ihHehgK7ULy9fR7+IEodizyb7otXY+H1Fr vn0rOkSK27WtyKEfKLXP4JLrp3ovFqmTFW1I45ao/M0NGBuCbqm5FKtflVu/gl7TOT4aaZIdaUkT 4bRT+ByFrnYpSIZcz6EjKFpMTMGTDw5TS5JbVKE9sAT9DtlJ1sLd0J6aaOgJyqYalOqWL6piwooV 2iy+qBptwDVTUcgutZaSrNCenkI7oXYZ6H7i1MCZVKhaKIGXKSKAZpfT3bu9c8Rs01T1O6h8lRdl q1DWmZvPRzjaO1XEcENMf19dgBz7gtYs2cDrACbVc+LXhfln/wYWYQrZQ2PQvrRSltDEF4KPmgyU lzdiFzBHyskr1ReExjecrUB/pq26eqhdza7QG9Rc0jLBDAXqIVlThHoroQ2j9cwP+F9Qx7loP4xn E4bkUoRWEMlwwcVZ3eO5FC0tThdKpzZdYdC+DExU0h0vExBAKaAbzvl+/qbSJRifwNw4bwtoEXgd rkGlBn3gESrtW0DYJbqYAL/R5stUy8Z0LYA/ApNQWYkZBEsKJutbEjYHNqE43eVDZHX28499uVDe Tm6xfn705flg4BNq7REU+pQ7j/WdO08EJtyIm2XkmgzOgMsTJF2Iyl8pKtwq/KaoOCqO6daAy8FU c0+UyLgUJk78gAhWdFup+K/BQZ/r6Q8GdqFI2hE2tL7d6IqoeiuPypcP2XMBsE0JYegX2gXTHtVZ b1ekA0j2E1MXJYTYjALTpMIrFvbiP4T0WduLGTC/KXJKkZ6CNPbL8ooBrKKKjFVRHg0sQ22JPJMF O9qRHnRf6Blq4ai09WVH0F0LTs6SlKo8i6D70oqQMk149TnWMzq2/QTLJ9ak3vCGBKMxSjoap5mX GGx7H+ZNt5f+rUcBrVkOPHq5tJCXd8njcmmF+PaL7qN0HFpg+RquSy78NeRnjTC3khwK2VybOeGO t3rfgnyCTVYYu7sPSsl39CgLwNQMNU/UZWAkMGnesBdYvrWh1FfBU7Ma1l720sE+pGoAPAN9MMTa CeGWQtLV+XwknXdB7mz/QFDmceHJoXkoMfwjbZMbPvNWq2/DWgyrDKfG50aMovcpWB/7/D7ebQi+ FcHa59A6/wv7zWcbFnqCUNSp0KFeGCxV3kP70K7AvTLT4aDK+/nNdQ2fmH7x+SAmfYydeCEm6kQ/ oVaIdc8i4kz3xczVsGtYDfjzJZhTt4NsQwdRY+iWoYCToyYLvcE0CkTE+7l7Nk1WsbIaIbttCCaz vP2VBe4LLERl7IElJWAygo8qSHKHqKvkO/bkBwThw7izpTr9CbbaVRHIoYsowbnn0GoPf6I70aRL apeJIVUfGZgGNqIo4Ztv9n5GzdY6RyFYj6wlwx1kL8nubUClkFVjFq9fWFn2ykGyQz3rOciqpByt NGA3kH4s+BHWENiIfu9Cziu6hOUBjYYmojDgAmi0div45b3dYHzL17fwxGII3/VRv5WwipBtNjrD q9FpTU7sZ5rmUgpd4aw2E3EQIPgpLxwKswIX0WzM7zaqOtAM65ju5HNq8CLrELfOoY9o6t81LFai Tw585yoK4WlkfXbLKJdv0m8OLcYlbcZJjtJcRSH8SFZu0CnP0csNMDlZRdC+ArFYqckVsBkGOxc5 X7RaKvbKv4Egq0Sr6PYty5mCoh1PeghK8QOCTmH7x4gO74m2752rKH5nHW+0ZMRctKC71/vWSh6R DrnXcgf+rg6D8/oZLwYL0XymosBAe2pKdaBDIhj8JvjRXcmTlOyVo74XtZgGMCAalEQD1qC0JwOD FbqJ5sf/kdXBgcPquQcDP1FYHuOEMvGcIbKJaXkUdYLZgcZs2j0YG4qq8YW+/B2dnTPR7UQoBPEF RFBbVHlYWS1Y93Hnu95QrfbOv9qyF55WHMT3WoOgczOBzKGl6Ffo/kMXlx/8fHeqQjoqLUXP13l8 VerIHjL06hLOA7mpbsHAU/QBIk8vu9xb8JxCu81OGB9tQupiR+LEEOjBFkwYbVgdMKM8J7QVbZwk fBCByDi8ZNFzYjhtIFhn8gOCQnL6nqxBGGS61dHAWTQr1MAddfWux/TIfebtzg60t7bJClmpSqHH lJwzR5usk+Hv2glP6wTM7CLj4yrZuIxDI99NKBv7HLiLZkI+Yc8uqDu2MUm7kJkqPOVPLpX5/F+V ZCLiM7tvSPLvdEV1d8KWhzmodB1e03LolV25EbJ5Ho4GHqM4/ITBKmKncrxYrDZmXWKn1jP7eVg0 dr7+XMOgE847WTvbKwf1BuFrFy9CDlb2g9uGyM598/moQ+GHu6BY56LZ67afPy/R1fp/yWh1HV7H Vsoqy83sS2vUGOjC11pt5iW2Oh8E0Wsjp1rx3f8C9Cdgs5eaLNoH1FCqkZ3PDURPYQkMiBlHl+iX yIqvm6geN1qpPmiWMjC1hqvC0/9njviOLr67fsRGgbYmfZ8YzgUzxOMUAhXWcoYIQHLmEpGxJFz2 7UJ7LzEVv92JOFXsQd0MltigJL4M9QHPOinupLW4KoArojmWbDAQnOFr3qYI/RtqVGRKxHDQcT7p 3fIjxb3PSzjFv7aWbFPEo+gEoiZGiCl6Cab4ELsI53QwmSE0natpERbQSu10Wrj9OUUvoZQW0dBm W6Xe0dC0iGbxCM2hwQSvxJPCY3mwWvpDYpeiTja6Py+BFF8XjaWFesTyQWXj0qhWgNb8bp+XKErz u5PNkHXxYezgM/QSQmmbNR7aUiBmlL68D5G78hnKXE9XIXwJoPhBQyjaxOa+jY6iHWh0N/WW80n+ zVi0ohI5AEtCqcJnCPCnz0v4xG/JylwSGZUD/Mti+qibLhrn+85a+rxET/prTQp8KZhHoAmDeJuj l9hJT9GpIMPi3mQ8CLYV1riMaXiFTsgnrXyjjSaOTTdN4v5oEgPSXfcCLglt0jSwDclrRqkESXFD J7he5inl4l4CJx1G0gBPxMJwULHlJJB5yVmuts9L2GRP+2EkvUIiQnP14+glbNIGRcNgQDrJeTbp 4hROOO0ispuSx3ShC2Zpdrv/FTSNr6DphJrnZIOhGnwNa8HlJvwLKhcFCkqQrvc+aZL3ajBLEj+r ibxTDqqydBokcQut8nmJl+x+ukuJckQ43JBwaZJewiUd9JUA/7q9hg4MpXUc+nLRz9rQmpONSjRJ zeStJdLI0W61BMPHqTLnAvJ46MyeG3aiTF5QYPL9xuTuJVLSfgNlBPJWjQOSRNGPUimDrn395JKf l0BJB5pBQJdwHOeHnECsaI5eYiQd2lWSZ9dqBgJcP+Y9N6YLyG5cslIjjg5t0f/k126j32snbz8X D3gZMOBEFJi1kBrFqhBQssxt0TdZ8S8Rkn4LAvPmJDaIg6CfZyEraWknxvq8xEf2luvr2EYxZ9Y7 Ry9lTW22xoJ57lchbl53cbhU2Gajir8U4oJjOxlX2bxTxpd3CoSZe4bDAsjw558YvCK3QJRUwSOv 4IQZnHjRZealpKk52nCFOTfh1qCqSINXzaDiTc9QRQ3miAq3nBJytCZ014bP0Us1U2u3swMqzW/M UZeYFw6k5hQb6HdPg5m91DItPjKo9dfoVXIwIyf8KzpbyA0gOKKm8KFohuFvvPB0art8XiqZttlY QBFso3LV8thedXXeFCdQyp+XMuZ/3W08tVHKYVPDb7c30p9ubmR+o83lqKlWsh/cuzUnSC6xu0mQ jCZKlfETrOQ7eu/l/PWQ9qRlEQSDd1Zbj1p/sIo4x226pYGtdPc92lYdEiwmylVxYN0InhECbVAd 7o3ypy33T7gNzbEBtzGbpyjeZrQLhXdfT600l5MvdICTfGW+QLww4FbqlijBYaOnbie4hHzIUENv ZzX/Ul2sukAhp4Gya81iyrt83hh/WlEUb1mF8QAHnkxUD2VK386h+Hkj/OmUsZqAjk1cinOT9y6s ZDRP9NraDMekNriLMyMlT6Czae0LWAzmaasYl5IJMKWb4nbMxNljlEmfFOGXTRZtXMl1zdBNscQE PXa+8fs0dSkKVSlQVI8E+lmMMphpdfPxIGAa7TvwXhAfv0HlG9dPkzs0N9MJpJsSdpqmfN0eUfV1 XEtwhnci8U/gpZiAo2072LaeuYI3NapMtA9lQY5/a4fxAcAlrvGZqt44SOBkVITz2gYlcKBEbhXH AYENg++Zvw5xGKcsbBWbpvfg2xwFRmvXxAHudrbr1lwGFoYZkIOF36PvbLhqSAnkOzojEsioDStG tOnPXWeM5IHTaVZi0YDQsC7LVN3y98MpNw1gfAjTWc4dSSnTExqjFBfMk5DhvutYuxmTqi+cqCj+ JmJ1QFHE1xNVRJjJrdEdzHLm3dtR7wE4LgS3B9t3tOMJ8HMwlljgPZfLqlIegr3DzyTyBnQyO8Vp 3f55I/nZgSoHQ/SENQimCBsbSfCfL/u8cfz4uGsyatvhHX5WaR6Bv3H8dKjQZXXOW7FctZqSEJpC ybEG6CuqbBLF4LagYExzx1uB62PvJFGOXkES0/G0pJPb4MI+3do4SzshCsKbWMg6xds9xWFk04lg g1Lf543fp/W0vC6wlNSgctJ8mqIoHDIbtOy9DtB1ZUcZeGwOl41bXYrCcAMZrC1xa45+iiOirwjv qbfUZ6pmYIh+ASHoBJKZEsDseuP3aRrifEy68y2yVxiGJ1YH8IWp8/EgxtzlK1cBV3VLXoLT9B6I e0KXpmVx8Ji52t+FFDeyINNqLuIVReJD3HZKXPl4EeewToZIUKe9uPuzDxAY+qawNSzA3Xq9643f p0lV711pBL2rCclUwGebrNfPFfp5Y/XpDzZm7VK3POO8Ob/bnagoGse9jP21nC6a5SXKiWLuQGrt Qkah9RSG49SKPfmJ5Bk52jneoag0k1p1EGtudB8H9fKsejJWmdUJmz+lSBWF45wo4AirDzye0MYi dQXeauvzRuizUtVdT12XMbTRvIryxuazsIA+Dix6aN91m5x64sHm2l0pVat7v5H5bJ5keCEFAI3e /j17+QTKu0qO6swbrzg41u4fSoxkaB54sQkUsc8blU8rgucSReRtUPR0or4qelot+fPG5LN9176u O1y4gCP4NEXBeNY0dXOeZTPWTaCBiLO6JWSobZreg3HHXkBSKd3RMmBUT1bBX3R2HVJjaD+o3AQP BxhL1V3qPZ9Yunyj8em625UGq6nTM73AgIWnN22jGrsj8+ztzxuR7+XGmwjHzuXlUxXF41MEopq9 S54A4TKjGTk+IjAYsxlR+43GZ5VBBZhdu2o6FRFqzdDXhwISAs2B7ZJUUKG3VMPZXAlUcax50Sv/ FkKBtMcBrOihkgq4meZPsD5vJD6LyL8nCmjLDllMm6g4ImdxjlELi3OV8GN2C8ZONlGVsiuaqCgi TzLBpuaCj16dm0Cto60F9NNsnZiftFHVBq4Ovt7Y/C6/QU2XNwafrSlqSYARZoNCA6wn9VROoPN5 I/DZEXcPc9n89p+d2SLnREUxOTxkQO64TYO1HXehgEArCv9PoeYbf++fikHf844P13E3c+k7qef5 sq7WE5PasW9sMB8nrDf6nuaJ9I41xA4d7pkN0ZBEGUUweT5v5D3dzbf+REkTHFKrPGd5WBJvdE2R IaY1V0xOKZvbE30c0BrRPEU1ceOEnjB+3NE7B+ccwBGCs7xCzw4IC5SgElvzOF4rGrX3MLdXDoKo TKMZMElt4II6+3gm81qd6/NG3LNyoh/m7MUASpeew/w9JIdRH2kL5cpOtXH951DR8Xli6M55eg/J cZhLICjNfkcPyc81V6HAzgrUyYKWjPoaFa4B0z+pB0p1Zo/Z7ZXfT6hFuGHbdHviINDhyZEnPWXP n7A/b7Q9vufungvja859AKX05DneG23Pmg6kzI3kPRZkYZ4Mb+fCwL7K0BZvrD39zooywS6+o7fr zio966mpf7DmNC5WhjDJYrQJ1IfneGXojYN5Eq9qCSQNFMsQSPrEZ4M3LRKlzxtpz4pJ8zsqhw5I nXdBheXxxQNqXAOVvbxokOnYYaLNqAJrQb1H5ahbL+HB0r6jBZupnvuPJA74OCCtkcsRYcWT9o/r qa2gBsM3DoJNztOWHjEHy/EWOzZMi/maUW3Fp4kJXeeea/eAioLyKm28qyPYBVbQAfWU6ioTVs7T e1CeDe97Mjq1f/ujhjABZulw3FT2MhcECjNl5zOgc7AVfGQEifZ9Y+t9b7tKmBMHlaAS2NeiVa/x eSPrKVQd9SsuwO0+5i38vnH1FHYNeazu4lCUYmUCSIDP7LEm0e/skYchuUpPZ9rTHW2WcILXVulB N8E9lFN9ZuACSsEP6lUuX4bA6/PG1LO2Gwt1ZuFbm/M/N9AyDDnPNfB5I+rZpZy/ZgmxLs3ZbJai iBwEUcBRTH0S0Kburc2ZXTuw0ouHa+mNpmeXHQ/vSYCLjY6GxulH68gOs+QTFWjdUaCZFl+ZQkdd 5KBc9MbBNC1JHbXpg+p0C5aGrI6f6ODzRtLTH3yjcW6vSmcur6u8UfS0mPJQm9zUuIDa8a7U2eN+ NG10+DVNISYlaxERgmejl+n2PvemCgZwFiJ7mZwFiC5CmhEulX6Ct6EXDtI70FwyNXZt0GJCLi+B txN9fd7IeZYzXFSK6gwNtZXt0xTF4vSDRSnWwfUrmSkPWNf5gutxxBMG/cbMe1YzMPX5Gb1ccMLx DvlJ2q+vE6lsY/Gd63mC/sQumgHAJqVH3nh5lrNIJ6kM1+wkNwy3AcT9ccufvPTzRsvTz7nHVx6M ViWU7n2eolAcyTdUgpzIP1AVMmj9lPEqoPXnN7dG8BsnTz+UQqdhMnjjkcE7VxfuoUQZvAbnnc1K CtZrxi/KbPA69xLX90bIs2lilzyRD8TBTvCZqoyd+iifNzqe4pY9v88muAM9qIs3Mp5dG8x7qUNl s7Ta3XTV1QI3INiapag2nobw4VU1co6OEz/77CQKiWKBMAczhaAKpfohEFF5AL1MWN6YeFr/kn6V 5sEYdk9ATgonBqYpdb5mVKX7niao4fQ1PQF+o+HpSwuz3tmaJ8DyK+IRXlbxBLi7ndobC++r5gud 23JHp2lwOWURPBNCBV6KKKGy23GObqptOMGTmMI3Ep5lsMnFFG1QhxM9VCGgF37O9zjcFsbddJBe Xk+h4I2BZ4WCKbrP9uJTy7dGJyVmq9FZGP5GwPuuZSKUsRHvMbGcWNmci75OG/8n3f6A8CbEAKW1 skt6iplJrxwB51idS9KvYUNVgMIFnBar4ycf+rzx7+x2/66owOxxzYtSeWPf6bJjJXGIfUdUfXbj OcgNWExw/p3Dnd7Id9aT6v81Ubc6TrU1HB9I7BIo+5RnPucZ0soC1AoEqy6OF2nS5417Z2AeNVtU esJCZFxQaXcmC6yZ+Xxw3936OMtUsFptt3n3xrz7Dp7qLWdu7nKuqNzWshWFkpFNVFQdB5ueMiym MTIfjZEOjX1Aesjbb3mZe28mZ7ZlGvCuq/wqkYM33t0NZMBDn3fQCVVReufOO5/xeWPd2Xv6glp6 O9QzPWF549zphMJfBw8sZyg20lKp3zYpzgqR+AqnoTNPgGLGWBVhMHp6RoeFL4SW0NCGX8oG8I0n VKNEeIUGFOSw/YSif8jnjXNnIApCwgcl4oe3v9vJHjer1yehbfPzRrmzQvc3uIA2XHAL9okKwSpN 3r2oM/ynqN2SaTgApKNiS+J6gQTEgnpj3N1aLNljpdzRj3KIryT0WECoXifRoy1kOtcyPWnBVkHm YqWnNe2Vf9t5ne1gDFn9O6h0VlUKzpH+eePbWWRwA00+B3zYnBfbG8bjVKYduwovj9jPDS0gcbjN dqAv2g5gRUXV8aZGwrT0lqPXMiFsmODqiD7nCRJl2pvgc3J2HiKoAtGXm951vXJwlg+ZQbOmkikw y4kCgXYLk5nr541t9xSnVaPjWQ6iXd6eBb+R7RRSZJ7lzZnU4DAZ3QBry7RxM/1Ieem9ce1s6wnO Q40CG33rQTt45CkCWQUpTkU6AMJOUHr+hzJbvluPnIw3sp1O1abiE2uaHITwPfmDoltwHz9vXLsb WzwwMeTua497RIXFcXqINpcPAbKuuIb+WmbeB2SgQzPfiHbf+IIqop1Gm6cTanYkGSAdwLtm4ZSB zDBF+HqiP0r1PFhFlTeenfJgSpdOE9KvVgmsCR0u1TJra583mp2WY8pfMRQqzz9z3hrdG83OrjwW nCiayyuv9ezcDBPQF15lDl15byw7W9CKyeced/QMr3J3EdgzJ6QGlAg3wJAn4ridbkhOAubnjWJn 08QmS693sIT2RPjN2Bnj80awszy6fSfCHSTJr+UUw1Uo6eoNFpxP9M9GZFD9355tB/VaTVMck1tp bq07+jSB1N97Z4kOlOwsA/KJgusAbxugVI/ItZqiyjgaDnCRpGM9B03TOaoIJhFr841bp9VUvwNN qD7N2j3QfOPV2RpkeyXbsjmztIYbQffijrSZyi3adH9E5IPCrzZ6ie6c6PB9RDcRsN8TWSrGAkDq 3OJnFwEgZiAxXM2fN1adnaaErpZdfLA9t5VWoPY0P2+kuuW4/GfPQblkyFecsxSG47j3R3ElRFp2 6ZQCmIg1TajfFl6lKKq0EDYuJa2yhGDl6LUnBBionAmDARMFYekGFBNBZKFet1GAsRfwNUFIoCoB GJo2CIIBvxshDs/liseDGLN/Z8GVFbonIghjcdzfA6Z5Nku1Jqf7zOWuvRUiD7aWoqK4LCjAJV93 dEjP2fsn2mfoBH+PMcWJ6qiIdEIlB5nS5esAD5EqzH4BuPbBttyJOPiVJxUaeDyAFeR/thzExnq5 dJ8wFBcculbXZTuXkE9TF1eK9qHbddn6eyiOHk/WgURYgUar986JWpq8sqHVPKQgeY6nbK4elI5W ZkebAHxN0AMmMAz2mj5oMdXzLSqptM3H/xcwNOhu8L/zWYricDrUD8DCbh3T2giVtqU+S+wxcJYi nMqw49vqmP2pY+4GqOEerGP2E/SmKoDGBsoX8GhoOF5zY9St+cZBvkI5tg6whg2apgx+mgrkdeLx P9ljzJXPC4D0YdMUV8Ul02OZLjAq3mIpmUapDAbmdk2jHlXF+1KhQOgnjRY0oZnZwY+hSs85m7YK BZ3GGgXQdprWe6FA7LEoCgd2YiWKuQHQCXte9sfP4bSp3vEDRA2eD+ia85vTkgFl5iVuMxUSNsUg a305fFX+AeeVq0y4EIaf/z2ZOH6PwvCpRbKaLB453gx4QH15dsHGwUKsEn9CEgBdWGpTXtGLYa8c rCh2EBJcpTgUA2ZCN1Imaa3zPSNeq5/iVafaD+ptPk8RaRO3DQCljjfsszre8MS1xWp0UJszK48e Y1S6Wr8qkHO0U/wc0VCG7bxYTiY5jWxOK8VNmBSOeNfI4mXXo8r4Em4cnDnKXRSTUVmVSCEsqLon ng9uu7G/5mmwLlO88tTDMJzC5aWayBqCqG7rCaes43yhBC6Twh7yNuU0VOp8Rpsn3P7oznGeztbu VdzOxLpXooBGG7fyBO4/vyiICqp8oGlnVYgeHooFoExNpuFJlvD8XztP/juISJoHTz0sjuskhzUS KyqQVy2qqOTW5V0FWkshMBMneRiIqy/QyWqz0cA8OJUsr4Oz5jhxFGF0E8FLFgMYyqRXh3TqlaPS k5hk7CVUmksVqYKcKG3z/pgn3MMHBIf5nalGlBR42hQjtrl6D8fRZ8+MLtFOU6BJOQfMVaHWf9Yh Be4jq089Cse3bE6WFFg1WlFlsE++SQaGtO02e8LKGKGAzQFd12t3QhnSHhXILfvda/hAQE9KkGWW OVpqeDyoFVy0r5AFKGeuO08Rerx3pS04o2kBXdDpcYdQmlRQGKuy7Is1FeFUTG9tFynObKpCnHdF 2RckIijHsey7p/E6EvoTVEuF4gjInA4fP9OFL/pN6GJP2gRt9zA/0RSI3daj4uMRMvM7PMhQYJyp 3DAqjMnZsBj92s4Ogrk5UefWUzUYiThOWy6oqD5uvkxrGQhl3Sod/LHP/4X87Ry4sEybJtRKfxnc iTiMm5czBwmvPYKqdIlwZx7nLRs/G2teQGHUoSYej/jlN3fRTGVsvYuzH1FYjp8Pvanp7OkJCzRj T1MPT4IOkvM7S2pEFfKp3A6Z+x0tE94nS4eeO12ZoJdsNU/UWAAtYKNqO72F5mb4nqg1JTEHVuk4 KMo8l1cT2/wkNXg84Jj/k+QBPzuhEmHTFMXlBFJSrMPoCFXGE8T61mIiT+jAGSh6hPXxpRYeTwAb HWZ/Yigw7mgxRKMALj7Y7+0fCt8R3+sya4hP8D3BNEl+W9ieBaVfRrYnuDqnKKVCRsM8xfXx/Z2/ pEkUus/T7+BxyJPbPHUVVrCcxrgKKhscAc1TVB7Pkk6hG1JmW47Szshf+oaukCwtO1y7m6gdBacY TI1RGLmOCsjg8TUBgLWL60ob8UXYHmfpJP6FAQnKXHg8Ym2Mr/MJ9gco2PssRUE5j2qgVZx7R70M 092m86nsFJhwcNNFQfnKhhCrw8ZkswRFpw41n018AZR4lrvpnOUOvCE8qjwyQEkIXxOhMGgwW8gF 4iAUxjk9OkNNqObh8b/EL5jnyKTq7rkoJBevpFMBnUcTSgY2SydBNc37k15Uq/iOKCSfJsczDGYw rrLhOYsWjqNC7yUIxVQJmVNwdILsmqGkbsRg0rbxPcGeW7rlGEBtJkxEPAFuJiQU6oN4PlpN33Bo ooryLdONKCRH0pz7FjOYqkWST6EtYM2+52Z346URheTLiOatlzta9LQAh5F+KPqd1UPyAqL5oKjq lXDPm7oFIwSNbyyjTg0UDeqzVELTuf3GxOMRuv67xclEeF0s9AhB44Pg+m1SPICxTmdrdMa5PMFP HmJglRGBxs3NspCiZqMlLiAerAbKyUlczu5dRC9CyQ+9alSUAJZxyDh6Z/iaqG1HIN2ARQSGqny2 QsWU5VOmwSOMxS9PSsx7AGj6uIspqoyDqkmV2i8+2fIDPLXsWBWAAjVNUSguAfZSnpH9Hmy6E8qg 4kusyoIrVVYefE6x84IN4NV2vXdJ68TXRHFTkZNs5gCQpXB069wGVVyN1PH8X107UhBgKQ2wiE1T FInDuBb8jOJllancV20W821EI2lYWWWEkbgi8JUswEw3wDzZHawXQMBmJA6FPhagKiUj0Ob8gRTr 3XUMMEcYict0fjAuSLwwZMMBC2kyKnEhLHxAUDCo/0AzoYM390U/jSgWZ3LX8XrWCN5qnrNiILWV SfZPNX3MEdbHxWaZ8uPQ6FM1T4SyIAUE/YLSHINRZAGN2kIBrMhNE3SOhwVy2n6WMjTgRDD90G2X 34k7C54PZmp+S6tRRRlmp5qoGVbIKzF0DH8p0FcJSKZA3+plWqkOnUmVVmaoYagOZ5VSn0a77xaa gOhMsQR1Mm4xOyAudu67hs5JftwSSA2eIVSFhZXd9h2U251ANkswrZb6mRFu/N92C8zXB/zYbJai SByvhgMqD0vtJklhTO3gNsGyQStkf2uWQianG+GUZ/RCHfqBNKg9kTiUH8S2Pp9JnwRCImSNqhzY X/m3HHgBCWOD5qm2JOnP86MWPP7XCcXVdN5k7Ft/mqGsCvrHw2wFCRFz0EWl4JCdUGV79DRDGqfu sN3EV+HoUQGwdABosqYCpyYifaFtOslO7PAYu2QyJs/4oqhEvsgiS9sHxQXLGn9gUFc8/peikXhC DYx8r6nMKBbn1UPXIyfdbZN/hEyPWXedk7y6cOj8vUCOVmO6o60n2E8DlUui+UYDV1rjBeWoCfeH vb6sY+2FI5QK/Zs3J+sM5sJ4Uqoh9eyeysTjkXaoxwVkEEPxa43bmJpRMF7RaBowgDeYyn50VQxf RzcX+CxrmqJgXJCrwqXvoyfA2GqUZAKVbA/WlskzxwwBnl5o+WDNYFp1zVjVcIjOUn3wggq9KSj5 X/F40OX8p0IH+ZnZm+e/M0apsBtFe6r/3nW7bd91OF902c0IpaJqb6Fggo92huM+Q70ymxUxtWap FgJ6IVQYN/gVFj7R1HNGsXiVS14vd9DdDhd7Rv/t7AE8/md9js1gNANPSOfTFKFUhqpOdbqBy2Az VuFT3a6Zvek2zWmKiuPLhP3T3Hd0oO+JnlIVM2LRXUMyGGfycBefjYMwwPH1JE7PKBhXM3iqTDCJ 5lSeco6LKn2iwbcMErv5jb+AehkU+HyWolicRlUd1HXH8nDJa5bExCeWJ0/fc6G0YZX0jBlHcPSU ZUM6FsBj4MbXOfy2ZgkS4bPgznpCTDJ48DURQyozoyPLlYNKcxlyAaw+rc3Ho5TFS068iaFawhew aYpgKixhnptuOJOsFK8TIDdzJlkGWlrTFMXibAJCUU1UKY52NOXzK1Ro0Spugtia4PVs4SUUmwp3 nbFaCK+fUSwO4Cv8hindt9gBM11amFKQW3/eF8//VSiQ4RtAIFiUNlMhf7MRkzmu4en5unyxhtfG c4JCqpkKRVXEB+5DzJ4uRz9FmMBtJS0omO/VLZrdRJQ0OG0V1VmbqFX0xtG+I1ED694Gwz0NlJ54 Uu2Ox6MQ8582S4bo1D2dVhSIA8UITZXlwg7wjte+S5vSiuoF72k58IqgKlIuRqm239H2XZV/Lou9 c5xYuOsQbyTAQ90fhRGTCpFcwQqhKrKHmOsOmiXUbAzQUyseDwicc38HmGTY3krBCkviVHWYdTgG A2YENkuzTe8Ek32pWQqhKqbX1xWIc7QAEzaLeyZFBAWAvaTACf2CSTTEyhf2BBUAfM1vnJY2KSgO FNWPVMNOnF+EezrRfcHzEdQwfW864L6oLWzzFIqKV9bk2vLIKfXpx1Om/I30VJaTWlaMVbEyN5k9 BUK0+G1Z7MVBThY16MDtXHzGbQXc+6wBCFD0J3BaPw1fEwSYjL/n3ncQogeU9W4Kz3z8rzC8KMsB VeiuppDAWY0DvL3BInnjSaaGtRNaRbxjsxTCxbuKvdUO8foc4r3D3aCRWg7d5prU3auQ6BtAso32 iPgSRLd+LYnnBD9XDCiHdR3FiWwPZCCQqI3icKrjXkILCnxQDnQ8zwrFxQfl6HCByDh+kolCWH2b w/A8wDUYTmWFBM4qooa0nDT6PIEJsWAhDjm6kyw2NmMSDcjR4TyfuVZ58DxTrxxV6Bg0dcXj4Fs3 FZDayT0LO+cZGDR8wv/SZUGDZ41H8ziKxeHqm6EulCzIRBtYhSf4t+vfnjO4LbNUXKGcilCEI8m7 mqMdUAgzT6CDJQU/6dHoCERlaJibotxb1jXNLXwSXxRgekxcnNxgDsqA9+IZyJyF7xkgVda3NjTu gQluhM1TLDJOx+q+rEB3DuzmkJ68crElZV4/mKcwGG9SF89GKiNY/8cgYmls8A5oyXJijMUsOVHC gILkPwTiG/oi68IL5VTYtWuNJc3Gqg8DxgVWthQtUsPjf2mIUZcN62leaP0KaZxUzGzTLTpH3cSF yaIzyybwpMCIRzVPMWhcULpq6FQyY6yismjLScXMDVXzxfpwgj7MD/AHkAlJ+aJUkGLhiyIdI7mw EH0xiVrieppQDmanEBW6FdbG9zf6goA8/Gw2TyFsHOFs35KC5IX3uArTF9yYGuw2UWw8jMd12c+t 0IijzdNMZ1/DDZdcsgE6Geep0GynEOaLqE1d4AIyOl85kKrdEoHEaaxB5aaz043S0gbfM9Kt/0dO BQWLn70ujHXFNE76sLSUvPYk8T7qqdAzREJG3SXHVyxyqJnKXiK/4g6Nlj2ZVvEdCZwIa+eWkoY7 yrYFZ78HUZSIXqHoOKtP0L/1QSfUuQSuV3zF49GK2t8rCn8/JIdtonYUkQM7Q3+Ry9dgadqWVJrW Cp6lWotzR6VxpXh5q7Kk0QFiJ9I4AcbQ1suti2GeFmFtCbVEVDa9sCL/8x3F5I3qx7Tx5FDpgQj+ 6VR8O86ux+MR/ecGm1LNQAEKyCWbqfeo3CRrzke7UXxf0s2EUXwZbtBSBwgXUrGPZVV02eXyjDZT AAeeuGPTfwAawoTkp03nBWR3aLL0dS892iHsEEFeWaFD3coGlcfPnOGgNnX2/R6W4zC/2Qsn5az8 Ph+1/xBC3sVoyZ4Lj7ZdbG1ePhkYNj5RcVhu0PGS7ngBvxPoIrSlGsKobB6TEKABgRkuJMuVVQql APA9UakuU7SgJR8MhFHxRVwjfePx/0UaCyKJ6OL7NIU0TooZrV1vcUUtOx5RlN03qMowyacdQ1UU j7dU7+jBQYFGy2a7BbZmSxLlJ1iixyPuVprg+mHe7JUjBRo6ni9CMzkYjRPEU+r0bKynCKzSHsVa TirwDaN7927HAHJ6RsxLKZveQ68nDNxGA0IJ3fgt+3fbn0K0ro/eRxgFetpJjXMgoZOKBvgrIXWO rX2LdTja8DW/YnqQtJ9pgrQZj6UTECjOJ2ll4/kIhvHtioAriowrm6ZQ47ATIJb39XYVfpzSKj07 9Gk/6+n/M/adSbL0SHL/6yxtY9CC9z8Y4e4RyOqdjH5DmhGPu19WZaMgQrgIwSoC9fCE9dGmaSIB zoW6vieNa32atSugRxPzAkSQ6xbgUMTXBCGU+C3/F6xSziRlecOf+BGPBxde/m5yQm1hQw7TZikC qySwCQGMcAGaOq5T6RzL+InnsloGENuhwqEgTy+QHihDQ8FGZNddWzXHatBmcDshjkKqsZqSvKY3 /ovtSk1zGwyVCQ7WVvGNj0cZ3i9UZgby6XLKdiyswsyFgiTGlmrX1mbm5YdT62YUvEOwirotirRs tHom/oS9IWcDncnze/ZkOPxz1Hb6l0ELyYRFKWSH7wkCTe62vamrwkGrCds5SeihDTweSa352cTz +sTzlHqzaYoCcohYUnTGPIJRPhCwF+JYy0hlhYrhmqYwIJecSh4r3/EeTWeWE6+Vc4ajdSqQSkfZ rTPAbg9UZU+9cHA08eiG7I8Puumg/87Y/FypA49HWiHfVV987a4XILajYBwyvZnQUttzCcUgU6ld V2lt7Ov/E+FU5EgK/ma/o/ekzpY66RAw4yeqQWFLatsd2PE+J6w2XdfhHDFbLxxFmDyZEu2kOChp YZLFe+78CHj8fzFJyvJ+6Y/9TxSLNwLpWi9WgTpxE+uZgKmclxdipaE9mQXAwEf9VapDYL/u6CEm 6m9URzsp0R70BiazhZ3zSpAK+MkQ9KMB0LaXDszbSP6BRw7VZwDdWOoBF1QBiUxDY54fEYFYr8wh M2e60Lc874SFSockCBdWOMnaWBCHF2IlAUNDyZCTulCEBpr/KbbezGqlyFqKoxcO0CUok1AM+J5B b0UIKMRdpVAUe13y62JMjm+KsBjcgoOrgkOy6SpEvkOcPvP5IH/5ZVNGt9kN8JVPVghbQdnsBKbz Gk+XdIt2spSg3UZN2ScrRJDbZFVxgjj6ZCGoSrg9QVjs1W2TziyB9ASsOaVbXQSZ1QN801/CIa2Q 29lA5FEqfA7EpCBhnFubHxDEUvkb0gr9tZlufzinqGjO7hvSVsP4gIkwvQXjEQNWlrMS8FFBD8bc uUU50OjYunR+6kVsOxWjKxF9iB2obwSN1Touyawk2SSkKDzv9AOqndK11Xs9QA7NyrJ3BeIgp7Bw 3r/vQAAQT9Z+bVxSFJ+DEAMGx7zVu9G3HVujVW8woI/sCyuqnKvpiVrcvuMFRKFW22SgBIItXA9Z lsICqyiNVUULXWVOf+nIo4RSNL0uHzhXkDPfW+3Pkfl8kMuU37uwGUHpzlZYO69VUKhlKDuKDkk4 qzKT5JlF3QY75GMtckWgtZY7WgS6W2tIaKgwdtYFdSnRZxhEasBiLsPUSxoZEhPlN0WcPLXTWUjg YBfiasKVn59Xz//LM5jNwLNSdh/PXIX1c6pHF5KPRDbjxhArbxVDJGZIWPtcRdH67LayjGO9nk7o uew6IIOcq0ynTs5V5WUCwcUfOGv5+b5zs5eO1LMauZ6p+qCiC1JYeeTWmvl8RIqdv1YW7gnsbJ+s UHmlEdPS2qOflSx6QKdumX7WnNcAPv3DKGiwRGGjHVkDpaMMAAmOrLVlG5iAkcPXAw9b6NeuIwsy t3rpiBiLQLSozV7SNibwHmiacK2ch/h8pIboxTw2jisW1q0o5BTF7Sub8U3y2kvfLpHcqBnAEpUR i+m0GAmwyEIYDPpyR9+EfeP/OOgOKhC8L+l3MyGOiFPAsYmynkqxWxDlWusYPgh0d3JALStIJPL5 YAvWb8XtqTJQe2KsmPDJI52bVG7CYMXYVF034XN2je1TFZbSrytluaM3HVCdhJIP92BZQ5JbifrP C61MhPeXoEcGMb4oMsIhHriyos6Bc9UT+NtDu3Hy+ShnvnNFalGFXW6+yyo08JxNvI7ZrVJFBXrN VaKolRCv1NOWMWWIb2nKdEZ+RseVTTBsaFzSzjyht81t2oBkH8hawTq+Xjg0Os+BgydURsRmZEa4 bkZY0OeT63Qak8//L2bn0G8BdPlOVRS6N6pCrPw0aGrxAkPj6mZRbyfX9smhiefWDqxL1T2OVmE4 WfQ6/4OhctVCD0LHv/JEdLHWxXPK4SXHHp5Wr6rNByvE6AIiSbHy+SBwz/XrrILrM2LXO1PvcTse K1KT9roeGv6qMUD1eFqNYaHwzRpDDm08lxX0jL1QHvbCGBQVQb6JRQWGcpMMUkWRgRdxKZc5xKyF XxQxrFT6FFxRYv+kEcxZh5DUdfD5/8WKihUioOl9qqKS+mLXr6VbaGi8LlncW5O3IWS1qEViiyqM 2qU1QntiHzVVuIzPHS+x8p5WhzMHFxXkBXEp/qDe7rZBo9krR9tP3mYQ+dHAv3jPE/fL+3zBQDfw 8vy/wAT0Iok49ZkKtRG3jE6z1/f2dh1u+JOZZlShVKPNVEgBzVpMc+U72qI653CCpgiFuFcbYpzB CZ0S2FiFwNxb6aqPbu8cOZiwECr1mnzVa9L5OZcc0NPYfD7oJa9vMB4OoF4vKyYHdp6oDDBZlsFZ laFu9hqfeLOYqgxJDtt/YcBuRnmcTBvvVIE6Bf0JnFRA9RYj8sPAluCEnvdFUPVp7xxR1Klxm3m2 D29ZVzTgm0Ttzgfx+Ug7yqeK1oKIUNYTrweOniiHysZzCl9GJyGHUM1qCfTJmtG0UQgaWnouyrqj Uv+MHisUKHCPKuMunFZLAAXQ9HKCpwGaCLfWpwJWaOpJ4fJznhPxwkGZ4KIR8A8FAPWm/zrWuawE CHnOqliZRRU+o6effzKTYjlmszCBuWrCP7PCEPh6ohMhl+/R6h09tznR1BzVNN6hHSa37wF5hjN0 ude7LXor2176jysws3NrgwKrM8nm+lJT5fP/ix0cABKgIN25CsN1Kty1af0saABvhzA2trVZjWlA ydtchaRQSf0QseOjV2NoQVVZukrYhCbPklkXOdE1pqlcIBVwoPqmyDhAgRW3IgfjXMGEidH2+W4+ H6Q292SXVBBIA/MrsoqthIhj5BVtm7A7K7QvEmgXg9BnE4YBu9BBiyoDNvrCAha2q3cDWv4040oi t2BIcZYQcEqXeTXtpaMmFzvLSXFDGlaOabtNqqphYW0+H2U3Xroi5pNXCyJxn63Q5ZNwe6TKzfky ojhQnUxGXkOkHaMb59Dmcwlztkd+Ruc4pPOHrGoKSRuROuGek6qEaTIuA0DWW13NXjoqMbB9s3m8 N/o880Zb50uYU6GewecjBcXvmL0JSHO3YWj1SXmAwVYvy+2jUiOWpatzxgxKTIFxOb3CEHp9Cr5/ jupa7nj5IOcriiTKzo1YHCw0NuDbieolyJ1dy41twRyafWbK38LTxAcd7zipGIrC7ZjP/4ubrWjs xCD19k9z7PU5iE0fDv0864poWdxNM7Vmu5CqWTqyArNPs8ZAuixPHI5eFIXLFq4OHlnQwuB/k6Ai C6weHJdQPfOiaE320lGZD7twy3Nww/BjGhNkQ70K33kyAX5AFLePX9Fo+dn7ClDnwO8TdT7ircso Br2uaVncAHyhqliQSprexwkMP70mmped7+s53zFZBWQybEKw0qpwWCmTatconAPIgt2FifS+HDt+ ygU8m7rUsnD0nLxkC+Irs970X/rK2zbhBCDN5yr2GaKJ7CTgmungqpbjwF+7WuJM72VF7oHnpymE ImI3uY155TZgZQ0CVpZ/84YlTFbkXkGSJhZy57uupr9zhPQgx2/KBg1RItkOZ7nN1bmF4BrHD4gU FT0fLBY3QNPuzlVUa+fJMZJrK2PaSnVITLu1q8SJ0FzFmBgd6qZWtr/Uyk5mClRgYpkP9kLE6jF3 hr0XpYe7kx+8HhP6fqpbvIYIbMMZRzCvGtQaaycl5/PBsrqhO2Fs4Fp8MbNyaP3ZZOgxLg15le25 c57TgTGdmjiaq1BWsSt3Njnhlh6jL5wqEIwEHg2lhVHsAmjn3upQhG0XWpzRRdQrB5AP9JwhUJJ8 UEHmJAida+J8xebz//Jm4gJCLWZdgZIcen/Sn/5EUu5hdXJDaxNWgNAucG/eKl9g/mn8MKA9hCHK 42KIxrka6gCckbyjcyIOCeYnFI833CEpnWANnGxTFaJjKglsme2uMzTh80963hSZgmLB54PceXw3 JZC7DFT5faqiwJ2QvL540WqqxNRm7iw1YebO5Kcydw78P9HIFR25GAmp9FtmOFfEmWvEy8idT/ZU ZI9dUFGgSiYaGBZbgXCj7wnuQJ7no7PczsEKMic/m6KSoj0fGIACYePpIKEP8L4iNN+n6j1sRxVT Zb5W/FwnXVX7D8L7xiCliLumKq6zJ4H6ZXrN0c4qUL7GAp0PjjrneDEvZ2zMn4UItoDcg+IkkWnF 3jkC96POPpvE4cFq0qpCLZ/Ij3MCdj7/v9SOUWHBL+lTFdqAsuI0+jSoFTZdNcw6SO7G+2vU4tAG jH1ABeIrUjfV6LArhBtpkqp18mQIS2haMXYUkNtlHxlkPYdGoLgFkDHn7YOd6tBbYeLMKnvgBIou kzdQG/cfwFdXDS+HVqCb5kM7G64R3JHtdnsJBWOz30VH12YqitiXxCUKgyUb/ag6Hzu5IUElbRDF kwcK9egKUcl7PUz3bu8cAflsqooPql3BaqyZWfjm8/8SgBXqlmv0MrjzH36g8t1N9ecKTDgee2xr 6YDkuI0nmUNDUGt0TQK6bLQgtHbgt7bklCZEo5hjpwzMxPn9YSY3r94bNqy9dLQDhfUg+PGky1k8 mfMktKDYvGo98wMirPF3UTQrxyjPeRX7gtLUvOXmvS76DblsSZt3utby6YptiERjB0nTRwerpbbO 1bcJJ9oVDl08rwolFws2AsimV31KvfnAGhR0bh7uTeVR2rIypErg9Wi6FmAfgTcoyvW/HHnPCjvh 7K0yxOagsiKawyXy2s7G6D5/Q70aL9stdnLgDortoQSHetQ22uGesA3bZGEU2OyVZW5VGxuuKPhU yN+6BhVyLH5T5IQtips6zkikhMo+XwBU+w/13yY/IMIT/WoNnj9zrqeAFXiEosiIYndb01NnYvCN DbiWsQFLXc6azKFJaBetdEnyVKMzI/qAcXVmFbmd5LKKiXsOYghRFVR1F5sThvxs9tLRRmRJlBbG GlRmOGlKn1KiRmU08An9divkAQ/R0PRE7aFR6LBDy8htGTK5zjCFO7aD+sZMvrAihAxRuhChYtSu 0eZqYo9NEs7PxZdb3SwIJgKfT/qMOLrSYd3YNt1e+k+dYZXKz2asJiwIw53O+tVIXFghpj1/twcB nZ65PEdWWHKnzq+EMSQtOJNJCAFosKzWB9szn6wwcN+qXzXTFmxPOgia0oD9KKFX2NNyTsvUGIf8 LQxw0q1fbX/pYBdmEXLT8EELa8L5SBIlq/P5SDGvfSU5ODZXewCQNSy5N2I9mpFv4ZtSm23CRmAN SjIVaZfPVRi5y8JqTSvNzOtn3CGkWytoG2eu5rm0poxAKoH1ZYKxVehJL+iVSu41Qrd3EZPmMzg/ aXGaYUq/+HwQj16YGttewMfuh3mTQ9PQqmLfZkC6OW9rWP2qsMeiOgNa0HZixfIvqrOU3e6oySpw NJ7I3gC9WigzCC3aBy0J0CSpz4lFHQ+99F+hA4ADHGC3IyDy7JWK6j9QvuUHBKHDuGQAkySEicG9 DGPr0E2odh7eUAWkzPLnLsS7ksJbwQq8Q00BBN5gUl/iqNk60XqBuAxldfug6ZX8aICfltwua33y CCv+ytFcVQkMTg2DNxrEtpfUA4RnCLxD/69sJfsS5cGKht6hSD7QypkO/QCTxGp9e2WDfsDO09Pn 0Dx0DYFjsregl9+EJ+I5O3KjEwEJvS7OI9beLvAEYAwLTp9ywqEQKzAPFXmNPWf6ZTOxZ120nByJ aEA0JzY/ILgJ23c/Fa3LCe0Sn6sofGdkhgXgZmFpmFTc+WqGK3IWR0JucxWG70YTVO9Zo5caJuAf lfUrkOYzVX3Ru4HQX0EhHqAWg6mlYq/8F/gRsnMcsNF4oEMckTqq5x4six8QCcJ40LDtbKdetE9V FLoTqjeassImyX3j45SdnPNdpQarDRiH7kslvvqMBpOB8GmHejl1vdqA0a7yZzApzs6BB90VOknJ XjnqeNG5CEGoDcqfYazDlL1mYK9CB9HcvimVbZRvM+gcWIjCWo1JTrLYAKU+61GAU1lNr6oAaW23 4B8eoooUDKzH0RvPA7p3cLEDPb4nKmIivKLo30JKvvclnwLkqi8KAobB/LmJzNwumXnBSopYbdg+ 5tBF9Ct/xqycqHCU8uy/mH5KrDZFETlVIIvegMGOLdhjuvBgDmxE3W4VKt7ljreHUydcWhi1nxgV mlzMB2lmQLUFlMguTkYpTugk2tjDIcyX1mFnyuT0dPLxxMPqfELjB7wf7OtpeIm6BPhjfu7AMG6n XtyiyoMWVkqW42RazStuL1B+sNkKeaiW4zQLs9ryhdWgzz0pasLsGYU6qQxB9fOE1+fIBGHniu3O ZC/9l7p8S+SXNNQJhaXtMhhCww+1htBRtKxfk5VZUIP4/Z2uqOQOXclzYi3C1eTqy4oo82eCTCkx v+v2/DmwFT3rVPp6olrY6OTmDjElAftmOhejNXIafBknOl+b7vWarJ3tlf8SSV1bzIldvOI3UOCQ FH/ffD5oThSvuDdhsAqkbK943Oc1usqYqswGai9WxrJ/EiuzZrJ9CIS9puo1tspAYJmlgxXexxU+ IX06b4QQyAdPBLTM2gEqlhNWDoBiOR+O1tCvkVWGjg5bgyMvHzRNbA/IC3Lh6ZdJyo/krrxFGoqs a+l/cH6baIYmjfumsd8ws82j9dG3dZsr8QZaTMEM9W46u1KN42iJzfkciIGOLTx7o+wSujeD4sIA FqETYuYXEF3C17xNkWR9ge5l1sxBB/rEmcHE+ZxXn9dwKpunAmeE4QB/IHgJc4peg6mc1UEYuVTf b2l5poxfxo+nDakjTtFrKJUlJ0Wd9NnuaK1ASPznNejocDJAYFEFzSICC10pEFIt6uRt+XkNpLL5 ZmdaztlgJeORKa8BvmD7vEZRmN/dfRGJW4hi4+g2Ra9BFFYRT/BJ8zZTt06m+JkFDpe903bxwdcQ KoMfKM3BmecdbYoAujiBxqK708kwhkGLOimM4CShB+JThP35eQ2gsks0njCKUjC0hlczC5Uexj8n tsmf1/gJf23f3xvtvBEPY03Ra/R0HqIn8TnkL8YfGhuW6K1+vaBHzu4FHW00CefOnMYdHYdWNok8 qKcju198R4QFyImAOv6RWZ/xTakS9xo6ZVek4H9vg2GFptk4sPf+Gjjlx3B1K8ZEdQu1Uc3Ra9iU oYmimlS99Jp+236VAEuVDiS0AOO5YI5k+niuf1k4cLQ5gpToue0pKnT+lUaRnVqmky6KLgw1vEOa ZLcazNES7KUrFujbLWbQWupCg67yeY2X8uNXZOsI0h8gTGmOXoMlnPKEcdSersHM7sNaDSO7k1pF g9acU6I5aiZpXdsdvcRZ8Cc0xuBrwhppSygHtqQbtS8ymX2vMbN7jZOw14DLyxkHCQb8sexEnZQU 9ps//B365zVMwmk2v+cI+vyTzB7JjUcnto6j3rx7tcZ0e7Df175LNYcndgqrdRVMQEwM6croK1et I1RBK6DFjRVuC75JhH+Nj7JKIgC4sHPFQYjPec41aQmds/XzGh3xLf1WYyiJUs6s1Q+k16Im7sJu +HTTzzvXnOOBMnW71A5F7G+qcMGZnYomJ1sy99SdWkepqQDhC7WA2jNbtyfmpj5ahWZARY3eUMQ8 Vz6vBU1MEjHEaM9zGIZ/AvxBcUDPUEINJomWGs9COtscpiQ2R6+1TKw+NteRDNkcQUzeDqQOKxHD IazuYh3Rod0MfSCTC44O8cT7VxTVYK56Vhz0OAACoft0A2yrUS7CkHg0vXqtY+K3IGCjN4JhO3ll PLTPc1K9QC3i81rEzE8JReFRhpocGhrLY8gozGYbbjTpVPKfsmpgDWW6pgn45A6XiuJsaxbv/TV6 j2ogeEeAQM47QlIzVkWZAX1WkBofczBlu++hdrqFgROe28B67wnkiyiSVIN7J/plszC7qwk1wrHZ dBFlIJinRBOQXbLHALhCvU3cLHhqANFdSlY0T6b0Tb6FjV7BBNs9qY0AVYWhaPtkuUTwQxMH1Xfv EtN75PPO88PxXSghVGlMwIEnE0g4TbrQ53f4vNP8shGCOS3Yq0Cvzt09mnxn+XnwcOap+zzt3J0P OVd39a4iL1qCyoJ52na5rTzvaPN0ksGJ7lxTyH2O4C6VZpDW6AMJNKxnJWiu843fp6nT17quMn3Q 7d9Sa13p2ObjQbRkzG07wc9OASX6zlMUdlchWlpx2MFu2+dJxzDnqV1X1XeGH96/q99pyFaOtu/Q 5MxsxqNucvLbQdYI6LHnrOkwllO7U7qeqeqNg/Qtobi0k6wL03bJpU35HVUx0WaJDqjRf910qDDl dufpPfZGDY0VkzEvRmrefbfrcz7Rak813mielN2OKeAdRyvxnkUEO6gqJjJIRUKcLfDCZyUjBOAM a7JMFS7D44mu9FUUowJJWME5C+SvCDc4p9bnnd6XBZx99l0GBXVAi9omKgrAoVyNC3+6rOeWVh4F UGsxKYAiXjUn6j0Cz+oeImdO+46WyPW8YNlE0vEGoaioWHe2CIwTcEeQxaFznFzvzzu5Dxt8M9uF sagNQiiiRzsVaJbyeef2IePI3+c4ftGfVZrH4O/cPnxrK8p30y2FZ6vvYp15SYD6g6qaRFG4yruF dmw+egWOkqcq7ya4zjbxtWvvKtQiOphuZ5wlmRCF4Y0Qzi7Rkn5FS4CTmcXUT/fnndeXH2n0TRUv MIrmnLe2FMXh0LDOQ1q/cnkcboaJzX/tC9vtm0eBOPvGsDE2lZevQHxt2v4VxphnwneVIBOcxH8W iWPEkJkCwOx64/dpGmw/7c2EblMQmoH4uexEJDw/CB8Pgszl5xOLCJBhPP+9T9N7JI7V1KVQ6W7G hR5x7BbUZGvsnOHnd7P6dxSKD5lddbbUbbRdd1bjHgMVxnM8kfm61YFCdbKzwAopr2u33vXG79NE /Y1zBazig2pMIzPw4M2XP+9sPkRP+7uAQs+pPpMH4+9kPpxOm3ACpkeap1S9AVVJxCWjdqNDpeUU huNTfjJVu+/uBxxFqZ7MCOkbOnUQExOhdOI3mfR3Z1onVP6UClUUjrPClFumaiUHnk5roZLF+hox GFE87vSFzZYmpPDaIlyF5cooGucfdZL2+uy64a5XvabrrVodBvVO4uPhpJru1nJK+y4nuIsAEjw6 pc1OfiG1qgQKFgrem253t9KEq+PzTuFjlEZwXa/Jh2R1SkO2n+nKn3cGH3fdr3rc2egbSkM2TVEw nrsZqm6fpl5vzTIZ8wMSQd7OfOfvsdxgXUyXPpi32gQIcCqTNNpa21lazaoE9G0AVBUw/Hs6sWz5 Tt/LYgyfKw6nPgxTJk5t5irnB96Ee8LiYrbPO4GP9930qVI9BVr77VbAo4B8ijvElqkKcwhJzL2w bHcvJINTc/UekKsTxABTMFeOjhWr4HpSThfE9g4l8R9R0gaql6gREKbiKPOiVw4iKF6SfUp1A1r7 LKSc5G5mNvt/4Hj2eWfv5YedrUWFzd+lwsiJCiPyyeoc1VMkldfdmL7MtJJV52gxromKInLzjIFk 0h2dY9XO3sXCYsVgFDpjUrJkUv0tQUwlp6u6QSmXd+oevmjT2biWOygyOOHGZiZ8osH6eWfu/S6G kx8J9YldbxnznbqHO0DUPYpsW463nTgELIktKRAVFWq+M/fypS2kaqJT9VFSOmEZ8nIgUWhQMJpA wBvJ1QI8xGKD+dhfvRP3uCLke5Xlvs6YkgD8tfJgGaCgsRKF5C5O8pxSFISwafq7JF5a987KyhYQ QMbMEuRWKoMeTlNUE1eDDrF3vqOX6WDgxbASYLqNC6NTaJ8EPwDGIJw4ntN86ZWDGIoThI6uD4KV J3B9i03Z552zlx/wr3WgAPqf6bZX3il7LKaT3s8+hWoru/oR1aWRzokaLs31zti7xzlEE8cdPSQH j72DWMp6JhYqMQSjYVILDnWAhq4pJi1W3yl7WdLiKw1qWmsQ5nCcf8uacKz9eWfs4S/OXtFkywrl 0Qk1JJuoMCgnW+68vgOeBnscSoa3rTNga92v6J2wl68sUG2m4NKuggt+sNTXaGTBoDNadZwVqH0t yX3kW6tDO59vHM0TUXSLFqsDaZ06veeK2Jv+MH3s/Xnn62FFVV9RbDcg8ZTWDecprI/vJSmga6iW zTWsAktZrLgyrn7ZO1uPhespPNjsd7R5WlPAFx5Q61x+UyoTHbRymM/8nLvqFlcowfJ5Z+tl0ewX uLbFB8vxgDMTRjPzNYP1tMrXAdV5hLd7QEVBecU7nh8wFz+g6nLPlBOKGAUbi8Nqmu9MPRYfGGWO La9Vjh6Uoz8ONz0kLxUG6YZfpSMWLtM5H/HAZC8crSZK3AzyrzmoBHWCWNlLQkbg807Ty+Z88tW0 w117K7/vLD38bSk/djKNOp6W7aH2ZWFVk3mlGuRhTE5MNDxqxh29AnWO+JmBE6cTLSor6jogs6SC +4b7t6mWQcj7887RY9+NzGt1NtftbJ78p5oS3rkGPu8UPa/jP2vpXCOwJvRZCsEoXbRr75GPRg0e brkplRtiMantg7X0TtDLVw12mxDlfoQoAZw/U4U2O/AEJ+zIpp8OBgGahpgyhJriBeWiN46miYI2 m0cTB5XpkBky34d07eednpflgfiU6QB67bI15jRF0TjLuSNrnyk8KLdNXkZ+2uTWbnnn5j2wpl77 M3q9IGOOgDrpANqfXEWtq4UOOcxd6UzpB3gbeuEov+ts/wMGrUFFunGy0WW8xPJ5p+Vl01TWpHAN NlRWPA1+5+QxZ2Hntxeney4qM4huTYqK7AiAVCEG+p2S9zRbCMf30bccDEDapAvtxI2XCcHM5P/O AjRimZ7eZZICP++EPEMtAI9CdstEKYq0MLQaJeGMQKh83vl4LKt8R5hypC4eD7zT8Sz5zp2+oGaV QvtY4uqXQBfA1fftWgfvbLxsourn6Sl3C4626dZJ5k7ehQgTOXFHlM67EEIFVQ/ma9aLHuvnnYrH aRLxQKIQbT0HeF+yoe2jfN6JeP8Vh6Pk3x/UxTsPLxtlYKRVks/SqA4Tr8R6UE0xYw9rlqLKuDgE EIJod7woMDgg6Yeb7WT63XQngWwaNA/p5VqozWFvHLSkND8Q87FBmAIKXHOaUudrBvfcPZqswvBz ApV7z0VReOfRRBKTcTvx59oJrqCJ+S/+A91zYWHcuBmp5ztaFL4hq7gH5QFPBA7EhOApBLaju3Py 9TYutbPbK0c1OnSCWfG0QR1O6KqrRrfwc75H4SZIdA8nIHFXu9nKO/uOUdMS12fd4lPpt0ZX263R ZYM8v5PvnsNJyu8cKf6seUrA01MlPp1zJVcVnzY3HxXSyy7pqWUmvXIEmmP4vYqC8exExQ5TS95V 54Tli0a9Oy+o4PSkBeks9xSPonAIi2Tgtr0jVaSfgcBpDXd5BLzGkYVhbdwghXnnO3pah9LArAKo nr1Wp8oEg6EBFi7AVfOCeLGXPu/EO55PDDBnZuUJtH+VUUDz2IzWUJ35vBPv2NKaXwcUOOmz3arv O+2OQF458lmjHKWV7isK1XFbUYO7gxMVFccNe5kZs9roWOdz+4GDQQb6ySHXMpNHwlUbANwNN7p7 XlHd4J10h9+WytQkYtigE6qi05Utjvq8U+74nr9izLN/qC9kMMwwEpdBg6hRpP6UbDL6OIDNJqVS 4roQhxlH4qoPUKzERpuns0jP/zZpnhLc6SfVtVA1oIp+/qF7s51Qg/4M74Q7opYFC99EraLGahZO ONpUI2nz8863+9W7Yy8Y4Ipd8p2oEKxSFT9hQ/2nsCuFYPo/Gc07Q2DiiIJsT8WCeqfbEd2mBVXr M/rOO/v27KrNIwrUK5U94c9IVS0owCNxscoT7/fPO92ONV+eTb1IrqY0Z80Ns5A69+n6vJPtvJ5x Jwq/zZi3TvDOtcMqnjzK1b1juYwq8fRlqOyr0WpgtOELKqqNN1XmdhZqlaMXMlPHXUALi4zwtcki uwANdzbeWVDEgd7kruuVg6OcAkg1cf9VusBxnvo5FZl0A2H1eWfaMR4vX/OE+sRA99nm6T0eB3BV cWZzJYNC6BH1cLdqdTg9Nm4/XnnvPDtuPLPiM1n40m5bqoFdVWBEg9AAKFhy8c7pgp1d6H00qS2p jUc6xjvRzgC68BLndE1vptZ5XjELAn1S/887z46oeA+hOKdoLe9xceJhYZweA/jFPQne5kcEsEpr DlbZXlB5Z9k97bs+JGnH0UFi65x1HdqNJx4/PwQUJJj3gtw6yR9DMmtJsCoq7yS7bKZ8JxKndjAH 5Wm57ZpliNLa551jxwaEn0+UK4X40nywKu8cO5aryJxu3YDQ3ZC95PZoyoRV6VZSeafY3VZLaeaw ytHzO4BWO3iD+PhzF1aRewbuwIl8ZacbkNPU4PPOr7vEDCTQPlg625YgGCdCGJ93dp1D2Z7rDrCQ Pe4pHiJVOukYuTgDaoiJSCh02d2g0ORraJbCgFy7biaFBRxvea5tyBegRzZyOxeeIBibZVYkSlDd 8nA82Qu/n+Gd7PJpalpjO7RgZZhj/xhj851WZ0fbs5hwf8x6GT7vlDo8lWSLPRxwOLNboEBFxMkZ J/SxwtM7o+4Jx+cmclyjr6UBw8faKZV/wqhiBU+QfHCFn9Ux2sWHYa9+3gl1+Fkz4asdeCUNRvKB OfVW3Wl+3vl0BOX/CjEhsIKA3mYpisVZAULNxlXZqo6jxhKFVwpAwEqqqLQYMj6U/dvOe2zAJtAX jWWhDiG7M+OCTrNfhevjp9P7RPRflJLwNQHakKoOSIN8EPwCyo4Er9ZzteLxIMDs3wFmZXVu3vM7 DMQBbh5DYGjOkmy/CMpMDsqsEm/iWooK4qnoPCoyeuTo/ZVxMjpgn0m9b/zreC7NgSImasSDLOny dX5HcTjEMuC3AKaPBttyDbgzLqYy8HiAKbhUHzyGKJiqcEb1iSviVEOkYhPLcwOFRaMfUJjM3EKz TVN/j8MRjjT1C4QR42hlp94q/BWKxBDH+WsMyVIAt9+QTp3J07pBEmsPyZnsQs1EbxgOWkwV5mtS u998PEJC56+gCckomDs+S1EQThMB1JrSLWImF704v3u24tzG0ahZikAqY9nsSM9hbDeGORcQVCIh 1YPj+7xZappJVENOiENwwbpGxihP8o2DZIXel1Nlp+llJyggmLV7PeE/Ho94Y34ydSbKizQzn6Yw BmdSl6tDnoYEzIRPacnxKeuWnXpUETduZhGIUKPHluVcZyf5rbKR837eWQuDzDGg8/AGXiUQcyyK wWVhRX8t8sdB02ex6fzNjSv4XNQFzwdEzV9s34zm3azPgoqr4myx9Ecja9BofQFbnAwnBvKY64n1 KAqf5kdPPQwbb5kA+XVa1PXbQK5OmQfwBgHgkw3mq3fB9LdHUXghxDf3TmVbMmlYJijQJWP6e65T PB4xWu9dV3Ssocvjl12P+Jr0uzvX9dXCn73dwEksTnLIsB40Uf/Ap1R6ONt4LzsIQxrRDjbYWRJa Bft+03ANfTpXx+Jt16O6OKotAIuTaZdoUCT9Bli+Mo46f/LE88F1N777v6rK3AJdD8NwSV3I+4Xz pJ7dknmOVTKhNp1kS9hj0qYK4llK3C1fV0L4YaP/vtSMggi+UuXCak4i86yNW3cCxIFfFJQJiDOk SjkGmP8SGtZ5KbPPcn4lPP+vracFhZDkYuh6VBofQvIwaUU95USE1eopudNelgU6yjayTtDj0rgp acoglKPVCU6SmiDJD1hm66g74QKBiSr2sci/85qowp5Wrxyd5UKLk+XaUbCyIxwYOoHooEeCD4hA dPMrA0YXY87L3uzv0ThyFnmo0lqBERRODEwa5JhQRoDm4dkUiG54mkcIFUVQsG3ud3S04d7nvm6i tSTIAFAkH8YBkDwE7gr/0TU4ofZoj4rjdK4Cpn34YJinTdQ+WOKp4fGICTy/VhRS2plvs6VHuPGu 3A7oeTk+lz3cPTWJR87gICOm4YqKECqWtQDHq5G/bSVN6kxMnQiTYGvdVzcjmEo0dgH+pgFxd4Hj Z7rwRZHCBSEq5JZrUEUFBZqi6u/i49F68lCTBEWoY0GbxCcqDMmr6nLtlujYKWKJrhQTqmuw2vMF FdXGrWGeLExIj4TDoK7JltRhmYDUVUF9wRPNlO2sMJOwUiZV5fBFQRpsRM7RfbDZARZDyqNl4vF/ LajNicrYedmjgxEF5XAsZC/KidOzu9QF7m7rtkAo3YLyERXHzQCtLRXJOVoePE5+WEiW73SbpXFm Zt8O655LEG6U4rWQEIPvibpSBGBA68gGATBSH0XKMmed4fEgKh+X/8MQHgYBJ8TweYrCclSYqZqy vM1Za74wX1OVRmG7Ogk/rI0vCV709IxOUARyq4PcBz+TumYVT4rU57MIGr3kr74aAH/4nj9o+Odc ogHMBlqLcMMKCYS2GW42TFRYG7/06cSKARDo666nEDeOOsPIy4D1wBpWX09j927MlgQQmeYpqo2b S9wuMgnYhb80vDNSAUsmceO1cWajkI2NIxyy5CgBgfTgNgqQG8TXBNjVpvlpV2VGqX/bvBdwCJ5Y c0SVcSmePcogJ15JF0I3opi80S149eY9zlWyQVdRLXMPhUxbFe66KCa/eB6ZYHeJBwxhCwZwl6yM A5tE7UKZTQCchZ5lv+6DqOAMfM0f7ESowRcfhMA4kYiUjCEYhMejw8n7LCQykoV/hYpGFJB39qP6 2n429TkdaAgmhXWCAf6wtRQF5NP1d3K/o51NhUADWPJ1qEC2yrgJJtiN+RWcwqefTXmSczeiunhW xpLh54yZTnLQrRBkYbz8c/ZhxvPRairfe+4s8AlQp81TFJAjKspQR7WAHJwvI92VS9s4ufCt+I4o IF9DOXChGLRGi57GoDUV1cF2gvijqnQNSDDqLq6r256pBYSvCfbcZrtuy2vCGf8V9vZFqvUntsDj Udeufq0m5sGPGs8I4eKDTs4IEE1GZaRps9QJjecJjn/bLEVw8WLoXvqI2Wjp3TltMTUsPy2Ihs9k Yn6Le+4couiKGFgc+TO+5o+e3fl/etOQxT5Aj7EycmIWPMJI/PY2jR8LqMpdS1FdnKDdvnJ7qGTd qWSj9OEwFXggaZaiurgUeUrrKsC1zmpH5p7bqPeiWwvFx5lN8TEjlzm3EmCr7ZrtsuqAr/kjbCKo CkMlYuqHrPITxzOHhQwgno/YLPNrMUH7dLTLLx9hIL45TWIZSEs7O/ai1X55rt1ZPyMMxCXutJcW CkdvAZOngfIqzBLOyjJX8LZVMzhHP/wU76ZjfDnCQLzTaz4T5nsyu3OwCfwMuEs3Js9c+ICgWlDT 10xhoUwQ2WymwkgcpewuoPV/SAASipXVglF0TjVdXKyqjLg4bqZ5ud/RZwrYQwpCIxKHIRH9qlIT e7/CV2DPq4CxdYqHHE4KYHFqMaDwqQZLB3mQ9+vOBc9HZZX0fYqjmLUuFHqG5XH04UYlsYi6fDTu li7fmqb3eNbWJOOu85P+EROIrcHxRuIQHEfvHRIYuPCsogC3xNkIjHscEkgJniFIpdDNpRPqy0Ew upMmNnWkTpiGx4NZat8cDaRMQ/YInKUoDq/0ylvV3ATBeh2OvWBiiPIKbhEup85PCsrjOr3Ltrtu 37tuAvp1MhYgoTtqUHNVkcjIYSwUdZEVqjJgSlLOmMPJSkGnihEHzVOdVXBDeEbi8eiASl8RJkyv Bhr1Nk9RHE45iFEVVtLOpd04PLdLCQYv0QSxYv6mwE5Frum5XNd0UC03tiEpwWeDVZpFgy9FNxeQ XKCvfbE82V45IrNwv5V+B0UFm2LmP6ROVzz+Lykj8YPaz07Ni5kzisRpjdGhneqRuJD1FOgB3sx8 XAC10TyF1XExzGve7Y62nuD4lhgAIhI/M7IFfwblFbvuJHfryyt26YWDWWpUFi+C0AG4rTT2JOhD mMwT4eDxSDL0u8OZKcsyLpRnRqF4rfKcKs0gKlvEFk7T2ga9gAKtL6coFB/VdIzWMzqGDuFfxiUH 7ibxq1xOG2ixjTio0OjBOsF055ohd5Mt4NVZTuFgYOgEsjem7ByMeDxocd7VJKghWpzNY8wZlsYH pddbb77r+lWfTVBl0q6b6H7zspsRQsWUxcuSvgNHW03n0IaZTRHiCVS7JZUQ2OctmBfBTtajJ3/h 4Azv9DoVxJeDQgLAllgXb+cqxeNBjHlFsXDeU6+73Y7UjBAqgxzT2c21DBCxi1Cp09j5DcIePktR XXwJTVCWtGc42mIa5zQC9VOKhmdauhoIHdXZgYATUYAD60mYnlEkrpbdUsl3VWrwKEk5IebQ9/Et /wXH5Cl0EpA+n5suZG0Cc3dimuE4npl6ujgeEzps6PRbxWmGmoZFJ1IVqoCjsxE3HI4aQ8xU0+hN 4WjHW04cu+sJMNewFw66dovkVhQibVBhDvySLffgzccjhMovmt25oma5NLsZIVR4RJAgaAdTmfmK Y9Yr5l9aM+mLGQXiqo6cOMDW1L4IlRPQoOaUSSpfJwLLEj5MG8IkWR713HPGZiGsfkaBOMov2GxD Ww9tZ2nRErOI5YSWM57/F+BJ/jdIqdK96ELWZlNFfDrTLvVyQYbiuaLkBKsrm6hYSoUB06xsn2h0 wNNEBxAoCxSwzx2h1kEGXuVnsOJZUZm1eVpFb/xH3ISG/PDBQIarC1SPIwKPR1ie29y0Mw30rKuR GYXh6GEjqtwOLCj5UTBazbubZ0VYQLAilIopG1PPx0cPMAGTKHMJZAjYEzug6D4PALMmtWFNIkQi BStEqWzpGpbpg6ZpQLGAkNVWKx4PWpupfh1OAE3PedWfV1gOZwFzKpUj/IK4Ic7SCVi9Vz4AY9Es RWH4tG3339DeDpZGQr9GsmEn2ZY4awUBe0IXHD0HRzzhVfA1UdeAYRM10tOovAN4Op0kS0rH/exg PB9tuu/KHC6pmbtXMFcoJE6qtBSStOl2cnJU6XlZdAkxcJumEKUiMM+ZAuOSCY3MmhPMqvdSTgeZ 3uR13kZjbchO9CdqWj8NXxNV5pq6Bd0HYXk2Vci4mBYfj2Lw+n00IZJDPmmzFMXgFO8dTd6vPM63 xwMAKm/rGfTslbkV4sS7bbVR7ugIlcQ+AfmI8JDf0+MB1DA2/Gvao92b7IX/0uq7BLKz3Mkhq3Ss kjDtgDBtFITPR74XQXgZsAecd9OFguIE0M1haAsWnZbh6c+FnayUwq3IuGnFvE3OU5LoqkbHPA2A 3XHrA/OUu7q+J8ZMW+YZ5zORp18kz9QrB9U5demgRIJmHdlCPI3bhvwFe7pnj098wr9K4vgp0dtZ KK/aVEWBOF+zUxhdIWZeRPouWZ+rUN5QYzHqwQpFVOR8Ppe5sqwrHIaA6TxR6aV1TkIQQiRfBAz8 iWQBn1/XJLckiq+vCKNCMA+K+MMHnuMLeEh55JbO9wzO8V9CdOAnz/wsqSgUxy8JPYpqFI2TQm4T WEOg2GxJldotFF9hKC4LJGAU7+hLasKVE2I/AIednbhJBT43lCg/NH3dzt6kVwRfOZinLns7tqIE fGW8uHpj6/okwKnh8Uin4AIK2CzGgppPWBDSN9nX7KIikq7Rqiv27TpVjQLErRk4bIV4cfUqk4Ge 0gN66vCjRjmcew8c46R6CivS50DHJbpSuQgVZFj4or/qTmtLaRxGDPyDz/WOY/WHclgVjwdn+fbO psSRoRu2HcmzQsR4oUDtqstvvHqFV1Mrjssc82qMh4VxWYZsYJV9tHk6qwhKKXnLkHM756fBMzTz XKmo/agDXBDS8ZUDzPhi5MT6uAZuvD26qTyBhoDHI736W58T2+4cnXvdHvAKC+PsR+EHdwaCWgjs bmaLGIAAn8YvX2FA3k2nIZU72kydUBlHE4XDwG3ZgrHIbg0ed+fdAKHwIIrS0CuKyBVEdZnbcVCF 7tyuuvbOLql4PFpRnrjIahE9kVQupmBHIfmgRWqZ0wVnxp4u9JRKvlIqOEEZH+yQwLnt3lPQmfZl 4p8wYG5UNKm9Wtu528l6wdWIDEKCawDTqa4ix/MdBeWNXZbaGW5WbIquQ+pE/iyyAUqCxyPmzzd0 HAptpOvYPL0H5a4qehId0+xDRGspHngbTsWftMqidv3f1j5Q3q53tFbLufDBZaEZbu1YBvIsTaCh w1QLHZa+7qVHF4QdYsc5QUsKa2s6OowWS/OKsu/3qBzJyzeRDEuho9Vv8xRG5Ym2RwQhSw9rGDj6 rN9hKlkNwaPpX+w4KjfMuEyiSr0mURP+SRP+9mSYn0ypyg0dyvygLYNmvlxOpdDiGN8TlenIIBsU Yc1qa3K3kb/JJdI3Ho/om1exjxHXeZNeLy9xh/xNRNNny5TptZU15z2hpnsh0ChW8xSjVOQ2VoRS 4eggut1OnI7rBUbXOK+SY56AYy2ogqH062c5qpL4okh3Jqn41H2wEwqQI27BjfUU4VRaTt/7DvET Ook2TzFwHMlw39mFDSet3EhsaakYmgeqcr6eYv4m11G1WhRH7yGADlU3xcbPRk+ECQFDh9NxQMGu 1Yu/oEwgvuZPNE8ja3pWFBCJujgRH10VyFbZeD6Chn2TycCK/5Kh21FMzt68GylLUKUZ/6fUtkzj v0LT26YphqkY3Gs9o01TWxAzhysEceMThrdK884Ko7Ug+gauVoDiPL4m8kMSTOUZtNUg+zTkYZcW Ho/U+n4b2aDL0+5iimAqtBIdQigJCj2HG7nObWI06BhOC6B2qGqoy+6cEPOOtziOWLmINH2iAbiV siHVadWASjLuZWRHzPGa3vgPRSxUs4oPBsiEDr6V3vj4/4Sgy8A8lXvXhfE49dgXz02jSdVte25I nafS+Z0GJJ2f9DdOpQpnyNHKmQnXG2tzoAHCHtGSQdBuOtkdwMWamCgtn/E9kRECw8xFVI+JZvPg 7ouZIeQdBh4P8pZf7B8o1s98aa47LI8LMD6uJNYcxo2CgMc0AicksapNUwwYN8nH3u9oe+4EQwM1 WbZazj/aMngv6NGd+If2oFT21Av/5YvUZaPMQTddhjezmOV14PFIIcSzO9bRz9fuegtQOwrFO91s zkntey6nacZIhRmd1cazu7TsCKMy1WppxRZVGVdJdNCyFToNOJkqBNUkM87oEGELpALMvTwNe+FI R2VT74La9RyUspSSWJBhjxGPR85I39cc3pOptE2TYEGvX0s59iZJfzaEc0kGUdm7Tk+C0ZMg+AIf 9WfOQp1XH53RslHEXJOMlonmEaLRdBYObudKgAo6VnUu2f74SwdcV3btzvQ3aa7iWCM65URrqRGA AVT15kdE8NVfsEwEvOmRhs4pVDekuUZhJk26xiJpl2CVxOgKQiFCxkvmP8UROU8e9pN99Bwv4XMb RZ+g/lRLF6+FXOsCrYYCMQxnKTIixzdFMAz6cYuO352O3xC0da2tE6fz+SB3abehMFjdPG++ob7n s/Uelp8HWYsC08hqdqtlL7HkVZPBWBNyD5utEDtus5VHv6PXWDZoUoAHqYwwK2NT6Io2vuyZFbTT XPmYtQN8U4QVw+rqKJJigDipCTuc6R5Gq1v8gCCWKr/anucIoGq4T1ZYM9+s1k03vDv/LMsaMKtf OTEQx80ZIYUOnFW4ulr6HW0rAssF+cMsp7KWkjko84QnQI0xqid78kZIUXTeuaaaAqp2A6pz+XQt rQq0AZ6P6ua3xon/GhjUCUCYT1YUoCc1iUe5VU4/rEDeMOQ9EOwkC3NlRZVzHdonDZHNFEdfWWhe FVRFcNKfm7DQqusEdJtoKGSxChe6ypzLXjpoxZCsOKZpILvQ6II0mPp6557i8/8i6avJoJb11T3M Kaydk9+5pFS3iY5yeSNAooqdWiQJ2ikfFs+nOC5JLWOOdh3u8++GGjOFxWAXpBdc2o/UIYcavdQx oGBoLx0VEqj4oEnjYDfiEjwJP6+eD3yCry0Q2zfnPXcfz8qKbYGQ+hUQfo1nRjcjI+RRjTVTbAXK TJqrKFyfciZJxQro5RbQodA+4V5ORl4654q2auo0nKqI46Gi5Cc8q1r8pj+c8CBonXxQ0aWAjcTT q9bM56NWw5UhJ6QbxiZrXD5sTrHoSiIGwXCt1Iay+OGEVsuFs9bwbgM+KkBrJHPgsJrnfCwABoTn N3S4znmMgot3riiE0NHQKTQe16FVarOXjlZWkqq9Biejby4syoufh/h8EDxM34f4j4Et3oC4+VxF kTtFxkdhrMLiS03VBQ7a9vJwJV7KHBYj7ZWytftqfUbbhR3h49pkUq0FKWEBNs5fO8GsgLHKI7Mt w6kUewSxirdYfFFP6Yfqfif6kirU+XH4fLAHbybImSIgIPXnwIrC9yWBv+JS24NNEpsqEimZMhc6 XWuqwlK6mZTsNe7oYNc+GhQgKHEAnBqYOUThYx9RnLush5xH9jC+KChUMYLfW7Sz3SzP6agZb7mY 5cnn/9UWxWbDFU49UZuq0LdzygKHu4WlKviy2VTl3IeX9AhZkx9lCG+RCkSrUoniaAkhxJTWQmBw AnjAXCo5oSggjh9ZyW0SrWWBQ3PzHBh34mgektqePljijC9lJH+uRz4f5YS+rEhfB6btLOY7VVHw ToURmG80r+rVq+qDHMeqepuIDE1VhHExubHeTZatX1m2Raq1rsGTFY6zXqdC1kk/KjSx1gVzytcl x9adLKOPzQnjYJWYE7JTVa2tUvl8ELpfD8FcDXsNNdJ7DQb2nRCnok9Xr9sT6FwEnb5+ZoU+Xct0 onLo37lKD8ox0M4FJFFiydASb9loQzB4AOosUwPDJqs0e+eIXkWpv7ZtMONcVI9IMzzneh18/l/2 woLIFCCIxp2qqKguWemWrd7Jf3qtYS0qs0BSC+hVX1Zh4C49ljFnvqOmap+kE0WqQoGfDLKsIjG0 a6Akl39w5Lhd0Gj2ypEaKSp7FSGZDWKUTbi7SMIGzrmBhyf0WL7LDSeCgX3uswFDWUSUIaG641UZ +vuZXxAf5qKisrvNVMj/zMZBy/mOXjA+cWxmSwwl4hM1mCEqdKyBE4aZ4A0WMnHk/KIAnDBZBJXJ MO5pLSqsL8W+/exLPh81k39ZBp0jCHnXnapIqxxlW9qKLCvzIfu0Mp8dWzS5l41C10f9qXHblgHN aL6rqZpAqzZgIHFWnVS1+1SdWYI/MCE3F0PVp71ztP/o/TYIe5nDAfoncFiqqLTzQXw+cg3KX/sP Pa26xrOqQhpoJstDrQcZCJH7uek0vNxSsEkNGDFo6OSprhYMcNsdvZsM0Eai0EyvHQGJIC+gq0Oc YTNBeMp9y1/6D8VyRGrTB+WCC35ywlNNvWlwsF/9VsVhijpuZBXYecL6BIkzNNUc9UKdEGpHJXGL JrTicjNmcQ78PAFeU+u9jWf09CYDgQNVXRAaIK3AtioAe2gB0gm0U46MfuhUG+I3Rbeg2Ncz+6DQ 6qQps4lvlSqfDxbW9TdjVX4TTfU4fUcBO+gEkKzq07kfKCO5Omm7FRlEhjZXf0PR8xbfU6MXRwsO pi3KFRSllxaWxAVLY0WmXCxV6s1eOrAMEN6zC5LezbL3HDkVETzvtVb4/L+SG84L5OYnpQRssqKQ HTBlxKE7+y4kLlB2utT0gOAPoujhuzCGo6si05XlcHSA3obzH1RYoaeBM4s90wSrTMQKKLv2/MW8 mvbSkZwrSzFNleRmziknI0S4O7WwNp8P8pviDUF2/zIvlzweV93Q4FOMmeGcK1xD1Um0rRnIEbo/ ywvJgcPn+SMEP8uSYNHoGQ6Ydn13+eqen4jw5ZODwJT6JLhkbFFK2fpdzV46KDMsAvUQl2Eg0Iyg fVgKSNF2VD4f6Sd+I9NxPJy07hYZQpdPtoBg+2caSeilukYSwTeZ2eCJHpPPVUgRNc8pFr1s9KL7 RB4DSzVSRNOyovuiploirRCGhy7kxt5gDn0+aUOdzvpcPuiAP2uL8AuEApPPBznOs7RUJDw5zlVy y7HLJ23ZEkXBuA2hE+iFUa0rij0hENGZFdh8yrINcyQymsY7V51XBEt9fQDRWlW+IgoGjMCCUr8X Rmuyl47yQUpJZYrfwdvih+E6gc+VRYez2DY/IEIN5V/xaPnZez4LK4bDTDl4DINfV9kLYbIoTCVN KTIjbWHFOHVNUu/tjhfbWCdEFzo9UXeC5zEmi2yRs94wLcAt2GWY7ktHGIbFIp/AxXuYQP7Z67UT HN9L1pv+L2QjbMKZvw6sPy2GwHZw4eC0TRTh3L0KSCtNPJJBYnJg9vlo4ppeUvvSS1qDMBjSjaBh cH4blmRQ3wdHH5O8811XtBLIsdsn7Tu2XE821hVRbxVki1QkmLQmPyBqT/wK3jf9Qe9dGBt+Knmu wylsALs6Lqbu4biY2pPPVQyMEVd7aM443vYzLNCgkg78EOIv1plzxTk4SW8BkdvKV1aTCR0/KS4F pbM7OAoNFtH463ttfD7yuf52qADdYpankRNaftIvAV0vR6StVi0lhBuH+6D1fZPnwPPTpPQgkT/n HR26t8o5eZEH9gaUx05VkG3IcPWzln/aBRfr5uf3BLCPTPmWpBw6JUfHQGct2UxtPv8vVyYGB+hJ QGPMZyoqtQ/isFcfLra8ZOVMfAx9pISPYdVEMxULnLvZZ76jb8DCoypJYgrOmQI5wl/9ZxceAs0V zku2qQoRMoLGpDQ0FFIZyJzWpu816UX/FTCw+gJ85wCz0ecqVjlXHtjvXEnmjdlzJpId2XMekuzU R0WiEkYeVVDK0efqzAmKfTysFqN6FkUbkFZznqsdh7TFVg3nDb8nuAMXa+yFjrscrCQzwOdlHQtN +sD5EzCbewUSAHE+42ejA+Nz9R64m1XRyEKt82CfyeFp5cGELnrxaa7CwH0I2D/Vf+booNCKiBH+ A2h45Z4kNoWmwols1uQHFtYniU8r9s4RwJ/B1aTSGyuhWlflrFju+n7CBj4f1a9++TBgebYnXggd QCdpbYDg22EF2LTBsemFK9tdSP/aFgwtQE2rpE3zk52Pn+y5kiYE51m/Opl6y8mcGCB7TEG8S0Ey 1HoOPUAJ8EcpI/tgx/rcJprAUntgAopK4Z0psrWg6NGeDk5oA4p+FiRKpqP59lrtvwFYI99zPfAB zf9vGet2yfTLur/CqXVEBvBpBOoRNG7JK+Jah0oUyUjrzpVKfYERqI7FxaPOB9Wvyrl+5GW15+bz EYj2W9elEhqR7hUYW4GqflX3lZholzYyiZkXbSS5EkcOvUBV6oNcd7mjZ84NXqKTtteFi4oBQqKm S0YM91Pm1XsDFsBeOkgFkzrOPK4Qfvyw5AQhsyGI3EmnMz/gX5PF44pNdlS873RFOBnunN6uwWxf 1+cDhSRn4BYULWy6wqB9qRqTbbrynS6AjhOqNJM0G6qt87xqvAILXFuwIa/+lBr0gS2ozElgC8py 3wTEyDYf2kLMq4At4gdEN+E39Pi80s8cl+OWY2NQhlew5TJQUZec91S5z8RwKkQlfbL+IYGe2Ei0 8aaD54/qoD9B1gyCsNPQDAzFoNgMYcmrQoXNxG/6q+u8ZW210K6Wtc4Ei5Xx0dnakx8QCVHtr8mC YMp8fC9z4A96fiS2J3pxYbNOzQxjBPY6rYTV5na4WmgQejXg/ku0emRiQTclYeGaRSYmJmsxdybE aLE/kSR22uylI38UHlpw5rJBdYYK6XD2Ujtqo4FHqFR1nrmC8f1IT9QQmoSOrhqfeTujVbGz1a86 FbEI7SOpxBZWBJNpVr9i9dRG55lCkaUgikIWDd/KJf0g/reJYh2V3urGuen20n95ps0uXwtc+1IW nCfy7xTBOrt+8gOCJCddmgR1CM+aYDjpsxVW3ZkQFmDtbLYShZgpQVEdrlYS9rXNVgyTsW245x19 ZcGpKE2SKDND0iK4GqVkK/rQJ/tMt4K1l710sA2T3NVr9sFaFNnYpufFO5//V0QqXeZzfqz2ZM+h Xegiti+vUQyTLP4wqzKNYAFUZSorQzZZYfBuZ5bQVRptsnBXZBCwdWYNdCW4Den+XKCMUwoN6YXA Utk9NAztjEhnYUQ6XfKinsUriRE40i8+H0Skv9ycSMDa8yvOCkVgwFWFVodQV/SZcSAkuvNWG4Wl hJ9Zf5fdUaxYd9RkVSAPgIWsAnCffBURbtrSrYd1ESqbfmaVnPylozNLhG+KxiLfNPooVJ8TyZT9 xPH8gCB4GPUreIA30wRwxCYr9g3dirSSp4UUcbUUunRvqp5lkS0oDYxDoXDBFbUkYKIxKaFtOMqh nEfJHFD99d/As+qnDYoLlOsRVpa98l9x1s5WycpqPwObvxqPd2EaAuNQGW1/pYW4mGFhdqcqrLkn qsiTriF/+tRsqkCg7ZoqmHluywpD61D5y54toWloj53aiRnOgT6B18OyojGqyn2IDqdctkHtU1Y4 FGQF1qFCT9hnmPd6lon62fiNwhIdmtY5NA9NbfwK4DPe4R5YoXtoZVUkT6tWoTTqy+psGra+iJVR ZabroyI6swS98mh3dHjtooQeberXCR9SIlwLjZGz8rk3gWwxsFoq9sqR0qdYlYuNLxw08pk5C5n1 d9yEZfEDIl9Mn6puZ/uY6cajgYHo+d7NVk5ZJs54Xr74VIFJ9OOE5tp8B8YVd5X5llyeOBpWJoGd UBqd1VZLsNlUYYKbcoP9NS4GEiGPXjnC1rL5TFyfBmXQSDuqRGIAwQodRB82nEzYcVatZwPGUumU sSzD9U7W3NWC0ZqS6xGf1/CQ4Q8P0W4N+nlHbz6j/5C7/AnOfV7ljQnZfPh7Y2HvfUmogLrqi/7w w0JDcfkgqtcidvDHfB9z6CI623d/EJfoAK/YpyqkoeLvwsG7bKpqyj5VUOf8MV29QhIT7sDARvRq w+CsuaO3cebZcQCi4/hGvX0szwjRp0eLu4Cc52AZJTmhk2gjvB0f80MDo7MR5fQEBwyWkc8nNH5A VEb+lhwaBEHmZwf+aWF00pNyzcOKkb8qeprTQtGKxqxNVkxHVRO19HpHR8sgmB1y5iFcdDQJ6VC5 sJ7Y4pwZ+e5B2dbnwFBUktMwMRKRCbuY8ecYhdZ2aPmh2BA6ipZLtWSxAdaGqHzcwD00FeUxN2A5 rdk6qfROT/5sEBD8fJ7mBK6iQNca/KrmO3rF7/wZZ49kwiBP2A1TTqFrz9896b1K53rN1c72ypFM qnDIe/qg8wrqTEPmfX3z+QhZVL9Odqoi73XrMp/X2KqYZWavZXkVC5LLPy7GP82ktqSrsvcaWZWr dV2rMefrJVyeNK3uOfBjgGFCvQdu0wY0xQQpD20iZ8XRF/o1ripXSmeR5SWUJmcJzV6yHdqJaj+v UVWB5i6jKkZtiD/PsmSBQZiAaIYkikarDcmBU5mGx9SkRyHzmoXDXWspmCGBiOQy5uNF/0OteygF PN9UpgoRRRKVmQ3n7t4XLGR/XsOp8zXQSUA7fnUf7DQ/n5tMag9Pv02RDO81RTy2B36g8/9oil4j qWJlE7gP+3azJvNkENe8qnCSQKvtvcZR5cac3Swg+2MBCV7JeX+wRnvbZ3X1KasCGt11XOm730YE Qdaf1yiqAD6EqanoTdtgBWOyU39IGmyf1xCqXBCtOQmCkdVxU2uGXgMoLiIC190b+/6TLsejeLGY UD7O0Gv4VABAEsi/mWF2ewyz0TZu6Ksw0oQQnVXVF25k0JLgAuczhLbH5zV4Kgp+8ElDA0J3KaPC 55PJB+K2z2vshL9Wip/MbhRlNpySmqLXyAkP4W0hoOow/3OcunjHmgZobwAluvl6tM+20KB0abfR AY6JwHVEmL2fCJLdDTCaqU7QIN1Gz1PnnFIo7jVsKo7oAEPaBxVaOhqUt/X+GjSV67ba2CH8MSgo dP81S69BE/YnLu9RcvZIXMATRuKtuJBlzazG0HgumCVtH6y4fEebpQJ1SlRZUDaoI/Utu4tKPcuC 0iaU6LxFmuS2GszSYlV4pHYHVVhwH6gcdUKZz2u0VC7hm/l8ohYrZEVsjl5jJRzz6AsM2GtZ9bzq 8JYUsdFITqoyHAD6GikVk4fM5zTWyc3RTuyJDi/iYhxH60T9dFbCpQboF/8/HapCttuY172GSdht mbodiLEwoL5pxKMTSHB/A7v8eY2ScJxJSd7mCBf6REFSc/QaIeHIrpKwrA6SXdMjpCwos9R1d7Fq 3Wt8VC7SJXm20sdTMj+HMzAOxF5DIUTGIVCSBegS1hGNFW4LvcmHf42PiuVziSZkNgjzCcteYanP nfl5jY54sTS/+Rl3dlhKdZ+k15ImNhuTudXnlztY88LTYrUc3dCS3Zv+taBZjPeMfoJL6Y19NxuQ yh2miOhbFJC7SZIE4ogiCyxHevW3UADs81rOxCQR4llUKS89G1gM0L4lv94MOdRgkrqUK+1mg8qb IkjJqQRzRCrpOe+Wz9HIw8WEwLi3Odq0jaVkR3RsS4hi0+LVRi/6nj8A8kqsY55juw5JBWRZq6Lu 1ygaYVA8ml69VjGLdKVBymLJd6AwwKrROb9PFFbtKv28ljDLNbewzZa596Dw7EFkFGcT6ji6giFW UFrxadp72FLCW3gNMwq0u/k1DB3gHB2tcf5daVNOfc9NqS3kuiK+g1Nce3rMwZTrvsfaMiWGyBlx U9kVsBoEIAf7YpSEe2f7Ycut9jVTA0EkXYo0T1G0jc47WunbpfPaqi7UDG+NH5etrE4hDcNtEa3q lOs6R69ftjw7nTvQyyvY1xRjnIKlI/lqCIStSzwpxvhO9sMOgGdDhj+UDzyZsLQmqZjtRBefd65f kce25gn/7QJwH/etzdN7yJ0uUGN5tLSrq1OdZH350VSTa3i98/z4SWbJt9IdvXa54R2DUAJ2oZMA dOzNDvwd1cUBsPa0hKv4807zw41D6gzQHz4ofobEsRQG6+bjQbw0PBIYZtZubBDNUxR4V+ouUcTG 5omlQHHX0nSebScEQ4CW4Azv1Qx6jTezLm9mNGRwo0r4ZcH5gDQ3YPrOFgK8rKjdKW3PVPXG79sO 4Sv049P2QSlugq+I8FIAv4ax95hf2w7mJPSQs2l6D76xWZjkOp0dh8pKtu328uD7/BHjFnijadK2 W114A45W320JZe9KjvtJiCDcaxB1LPpKaQpgM6zFMlW1DE8nHkvadZknv/CcsHZnRxf2qp93gl8R cPbrIIcIyEDxzyYqir87xWLTLNvX02pXAlWNYgrJp+0T9R6A40bgH4+KyB0tkzuH9NnPIDkguEQX V3cjXGzgnXAeRLnHjvFBN6d3eh/2N/KTXOnxqEEIxRPlC/FTRymfd3ZfkUmGzZNpVA3I2N+DPAzC KcixSKhTwVIhAolFy/AHwHIuL8FFUXifhrkzc/p5dZon2DHII6gVC10q8wxFVIUIaCA6mO5nnCWb EIXhIuONLk/Mvl1lsMN+ShFm3p93ah9z5u8wHMHlRAhl0xTF4ZMs5FIsiUNhYDeHGMy9rf7GBa5p igJxO7/JPPPRIeeLxPPN8ylBt0n9OmIJ1AskhsxkAGbXG79P0/k04uyIo84k6TIQT8CA04MndT4e BJnTAyjGWhBjhIWCTdN7JG7X3UAsbhWmwkqN2iqyCIFwUM3byaJRKD4kj04ROx9t23VAFs7LCBiM eTE4EOrcHTomkGB67Na73vh9mnQ+FUjL2qAiE1jS2nYnCvm88/kQPe1fxxOokB1gaJunKBpnjXHk mZvPUzY8BjVFJbt0ovE6vFgZhuOiEU2BUTTaOV5o6JCgjt5WoSSY6V6f83wmQmSR1gmXP6VFFYXj snCApIcPPJ4WiMHTzObW553KV0Re1jwxTy4oNS2/7t5pfIgKBrPfXa+lk/pNzH4FP+8SrbRd987i K5f0WIZpfFIZQocTfnXAeuiFCSPurYolIBqoeFPRKd1aE9KBzzuHj8cpS3EAmNig6GkDJahqdsmf dwofgwrfdTzI4GK+b9LyzuAr5pcFT3ovEkx6x6pqmfrV+57FVtM7gQ/rUhZFqUmjhKPX5PDfk9F3 kruSOsyHVW3Ct4NXTRz+PZ1YuHzn7yFMnGgQ0BjjDNBUaQouz7F1/g/+mecJ9D/vDL7fFx7LKZDb b17ffSfwFSc7nn16pW+GTxWKOJ63LODANFXv8TgKg2oPJEklcPQ8GPaHdVL55kzM2SRTtQJyuhvs vCtBKg4yL3rlIILqAj3REmQCIEu6T0XGNQwoV9bnnb3HiHx8bb1Ccdab4L2T94ojn+qcblhUx3Bn Pr6LinMUcdZERQF5UscpUxfeRq/OnWsV2QsSYchuVEnrQXwDlSdCL1Aoc92Nba8crKktLQkzOHaX bEhbbbXm5qyfd+ZeuV481lJZZ4VsJJc2T1FEjrQXRBfXRgf/qTsSv1XTkEfL1goG77y9ch2LzjU2 7mh77/zOWCdzkjw70I61Kia6R7BBsMBgPv5X77S9Ig/CBTxe4tBEMz7H9yxjq9mNtkoUkLMv/5R6 EWWUGz+9c/ZYD5ey5/D8bhG/IGn0tt02FEU6m6aoIG7AlJSNY5brnSYIW59Ujm2Dk8yf+JI85JQg so+KF6YnjecoX3rlIIASdmDX7oNhyhOseDhlc33eGXtc9n7jEUcGEB1QgjZP7+E4WvGUPV3J56nn 7jXxPpIvp0YqPOfpPRzHUS4+R6/PaDfeZMyqeTpTfjIj149AsFXQTi7A7bglJg1W3wl7rCxLl4QY unnrTyc9KjTowBrZn3e+XjHbxucc39RG90Dzna7HeSJcYLrYFORqLREGGtPWEzotFmi+s/XwM2u7 9SS4RU/bWQqwpgeAZwzld9Os2YE4PKt+kwueb5mOi+LzTtYr0mA/87OnhhNbqIc5R6p0VoDy8/68 k/VYm/5VMEA3lUo3mqeoNE5RSxxPTgBNEo6nfllyriz2pXGq3pl6D3CgL913fd37DgrWuP8LrRvQ cZRFNAT8oc4KsM966iob1cHPO1PvCchBwrLB0rsO2wOmxJmvGSyn6WU68/b9AdnLpymKxynYOb4c U4zcyOMpedm3VPqncpre4/HsjgRrKL3jaPF4pnsl4gacToOweAajZM5CJHLOm7YsgnzfKXpcTRS4 2fMOQg+cDGAwbTkLdnzeGXrFdGq++nW4Z29M8E7Qw2IigwP1apulMlO9RRVzMII817Dg6Z2f94Tj MwlBztGzFhh+LDCkBcTsxlFfALZOaOHhr3XNMvxgn3d6XhEwCZOuZtTyZlSC4bCpROzyeWfn/Wq0 CMKERs3I+4IIQihKN1M+90s5sYgf4ot9ecZO6/qlvJPzbnZXM60gbLR5gsPgObpxDrQKB3l266jV BXn0LojTD9QXiCMoeuNoouhJjwaZDerapZMLcdO1Nvl4dIZnT1sYAADd1G+P/J2ah/WUxY2d1VHQ I7u0Wx3ZelLgcBiU4J2Zx8NJ2S9ONB+9SFchb4rIBtahZ2lO8zTC3QFReZpT+hnehl44yO4QCwCQ n31QjQ4/MBmmiL4+76S8In+Mr9IT6tDQYrBZiiJxiqL1NYpJQ/RVrlYZzUwMVi8Jys5PinadZmeq BMXRdx0KHnlKqmyusbq5iKGTOuFxl8v05C6Tefx5p+PdjGUNgcDQvheSF1o51MI/l0Iun3c2Hosq v+aJ+oXFz/B3Mh5/HAZ5VCCVpmLL1ro7x4vL30GExq66dy4efqfGU2mb6sjuBrXtFZo+yCaAuWg0 vZ1SaoZ4GX5RHujXrxf17c87Ea/ISANau2x0crAz/JxP8uvto3zeaXgIXLbnK+IeNFwixWsq7zQ8 TO4kCKwWd5UplAYSMkUdTugplu4dqXcWnoH8GAm0dkfbdBA6gIJfpwH0+Yc50dLDfIBxfy6P66JG eYXPOwcPVyp9sjf9QDSopkIIrPRMO18zuOuyB+K0AIBifMdGtnmKIvEudMo2XgZ0Jy86ZU5P7ODf ZdzOdwZeEXmJkNSU7+iR+ACFpSuxWzAM4CF+QnESdkEDRIX4UjuJKHwn4BVTvcvAmfugDmdGmk3+ 8MLv+R6JI6a4UCfWfOEbCeEOm6goFK+TXBbWb1R9Gm5tjLaw67glHPMMxd/Jd18TlQ3DK7mcKXew WhchjpgoFC+lu4x/02euolWSn2KmvXKEm6OGosxWJ7e58IQL9yiv+pX5olHzbn8FmQveK7Pe6y6M xQUurBeCUagoxCBzszqoMt12+OU78a5c1Yy6+zPaimrAnqzOnvlJXk4Kw4k6GQdFBsDdJXTYYbzY +5933t1Te8qaKPSUZFsIxr4oi6jPfN55d8XUL27THKWDSe0ATVQUjU+6QMO5wrZearfsW6WZgRU1 IeukiYqq41MxUS39GW2iyvklMozqkQQP1Fu2FCchUg5aGax319V8lbrBO+eOeRbZ1EWA5+LggrN9 SKPFltr58864K1dyGfM0VMs4l272iXpn3BX34ZHNCOmJDah7k27brg9/0kTUq4nFjANywcHN3Imj F+mApmpzin5+zvJNdBTAJROF30HBRjdZzYM2De+MOwKXq8TOKTGCBuPUCY4Fxb+9tvl5J9z96t7J OxSC6+B020SFcBU6zq3FqgmRmQ0r8z8ZxjKUapATNKi8FSvqnW5n+DayDRUbcLxdzrOkqJGArQc7 2mYSdwQBgy5aMvUmWX5alNd6p9s9W6+rbEDYngLM83t2Xfhpfd7JdixrrO8YCuaF85YL3rl2RTac lGxLP2Y4IKATwpJGygANB2aicygWVFQeb9ZJMHlqjlamQ1B+QnrRzneD14PwhuQTN4iMFLT7bo7X 9crBWY6c5URsVMdtrTi7EDxacprAbf+8M+2K+Bw2T9izqFOMrwX1HpQrE+BZriYw+BjNWdRZkqaL l56r4r4T7bjzzKB+PaMfUdDJGJBIZnRQRjXLD+gdIvk7keWkvqR2HikZ70w7YnQlmUhPWg48omZD +4U53iz18060IzR+fx3ldNKiCKDmKSyPs43Qk+Ofxgn7vP60l+N66qYxKRdUWB5XtDmbpXpeGUKd Dh7liQQDYLlBTpRJFnEY9MeEyZKlwqqsvLPsyDpgVA5org2qGQBfNWWo1trnnWTHJsSF0+mEOufM nNsL5O8sO8ZuVHygGyCjqLNyXA23z+sDPQHU4J33TrIrZl5KKGm6462tnDmAmigKmlQ9F8BggVI+ ERLsdKNy4vE/7ww7zhPVzcHrtkHzdO53QgHZ9Py88+sczvbU6WDT+cDp3ul1eIrSxufi9lkadOdi ZNBo8youYt3W6Xxn15Wrt0Lon482S3XBwzVT+e/sqdW2Wg4wxfwZ5IsBMm4huVZTVBzvW/ccy3VG 06Rodz3p8eVsvjPreLh5YYUCbecGmfVCfd9pdcT6ZrbNr4bP7FfyaFAbWU4MrFNx04URuTbdlmuM Rl9LJyICgB40loHqU5VAbm0UggRIZ7QLEsPN/Hkn1VmKtrhffdAsncUrpAHij887p86A+V9RJkRW ENfaLEXhOHGR50htXn2qyzTGECBTwRuJcNvdHDFbCBuXhBZ0Fu9oiXCukI6EutFJhTIg0lZ9ojkR LrxODxQRgLO9cBARsOyEWMAHQTBOlugUjdLweBBj9u+aL2DrKPX4LIWxOOVCJpr8NkvdhUmh1+sm tJXtAa6lqDJuDfOu8FGjp8Hn3wOqPZglqvcsWaxRKZFKJ4M86fJ1gEeh+CLiqUFQwQbbchBfFIWn DDwe4Aryd38T70RFG6P7xJF4FwXBDD3O/egyf3SktSJdAutPfJ/3SBzHtyQvbMs9Rk0ncj7Pi8cv r96VRAoqAO3DeQ4qAZ7ZsZeLr/mLoTlnuYMW07mL5WCA1AWPR2hoP5jwf9FZPW/mNZUeheFDjsaC XEik/pbo5mbUhBId4dKapQioMpbNklDj66LGK2s2QM6Zb+geMkiDtuT58Elf+vVUCkABw/cE6Qqt 95YkVThomjKKHKL71onH/8UeI9L13DENdTqbpjAKLzJ+ztnyXwCuLGiqYkgjFthIEXjL9agu3k3/ MMl3naMHlyC2ol/ObCVhf0xlKyz24qYFO/gWCsQei6JwiYp1/LIY2Dy2glPqPKnGmXk8H7A1L9wQ /zcjDKJpsM1UzNfkGT6sR056lJF+YW+VhKM7Z/g2WfweheFT6NW8JV3O0WYqwSYWjU2y7UtlJkfh J2xRnO/wcHX4RWYzF18UxE3EjZdpJtDTBKbBlZA1xYlh+Z4RrdVXVNOphl6Pn+I9Im1KD3/27PM0 WSFT3NQcSAdtXtPD71EYPrLa5da75GjzhJoj/E941w0oeMjwqzUC6VAmRL/O9bGyvfEf7XJAhCcH wCwk4HA2dNaCOjkeng9uu/ENKxjsulfvtfQwCh/UL6AqnM/TMDT0nnvaPA2ATGlP2EPe5vCieL2j zRPknmBCMHTbpYQ+AYVtK5kaiOzbuJUnhiL4oiAqkHjDLPKBRhJksNVG2Uc4eNWN56Od931EsSm1 HnprWB3fJLXKKYA2tPSWZ0FFRWzppwwCWHGSx7Bx0wLJ6Y5eKDgHe6Y+dj9Bx05g7P7ITRV8MgQi dV431UxVfnzRX5Un7DJ5wgDqYqgw0O7Ylmq14AMiIF39Tu3A0oZplE/VezQOSCgdVMHuzjImJOTk P4XCBOT/UpiA+vs8zSOoSlIDgbvIRyvSpX0iZZKaWFOhzi2LdAgawT8/c9KLZy2QatMrR7ceuS0k cWow6BN8gGRpnBoej9jA6+uMgvH5zLfq2yPsOEuQ2HDZzJ8hL+PWoJMtYAYHKo9jSUVQFbv1yhgG +uV3Vp7lJ9Y5BxLnqVA4mcrvZ2nhnStE2RqO9QseP9OFL4qgdEQcFraFS3EDx3nefTC6BUgTj0cL 6rtGR0sEulfYRIUhOSkbY7FUwCJdRSrDIp1gj/RVaDjMtKCi8vgy9e1iRc3yhORsSAJMJ1JwWZKQ hd0iVS4gUbAeCv4g37VHcJVO+W10BX2wFl4lvpnc2YnHowX1LQgCgeuz8255fERB+axMfolfM/h4 vZ2p5SCxE1R3Q/WMqDzuXV53kBu3SLfBvIfKL8C+6UzPTFO5C6lvmagyCPiJ25J4mI8oKs9ku574 9Q6cpwrHS6mtncsQjwdRef9eUIDPTngU2DRFUTlcjKhzNrzTCUSEQX1Jn8NZDr9ZM60aYXHcKEDZ ws58ZbfH2tCRwRWKYx2SNVJzR5XzZ6vjA/FME1hDPoLviWq+zISBC1lqAaq9iUCQ7ok/QDPg+QjD +j1P6CjPfdkII8aOS6nPvFLzOV68RHcuabMaagAbGBd/RMVx3XU1SSUEI75zIMcDXmUBV83i065s ySOAQL2zIfKEvqJ7KUBvEF8TIFipFJagpszBM/9zPjKHxrXa8fi/ei2SBzmpOJARNkshXEWFzFEu 0reaLusZyzLLiXI+3Mj4IwrJDXFIagZHauQMqczM1kCwZAg12soulgV+IAB7SGI9LkBBCF8TwTBY m0vPIBgG7vYhwuvYePxfZxOLT5RyWh4UjCggF61kpFvI7GsnBxxenC9M0a2QOaKAfEoerJs/VX/8 qdbu1KEvbJoDMtzIjUWW1JFenY/c048meG7rjaOjCYsJ7pEcUPLiwQ16f62qApSM56PV9GvPnRBz 5ttnGWFATohYIhWLew43kZ1NpZbsew7Xt+YpCshNAKMlEcs42tkERBWCG9ITYc5SWKQDGgwnC+pJ V7o9b6oWjBA1TmGw1YiG5mBdlpYkPQPNZTwenUzf/U2mwRBys1kKUeOLgle5O1alsKyh5kE3gz3s l6ukEqHGzfp6yKJRo2N6gGKk5n1vG9ZBVZbi/B8NUs3RFTHMONIdfM1fBzgYmxyQ7HBqyPuungSP MBKf3zW6QurWbR6MqCwOYwXc+1a/ZGTg4cCQ7TU5nHn4+R2Vxa1LLrdTjiQsCUiXUFcn9wf+UWcB b8FXiaQBfLVdy102hvE1UdREIE+SAFaaDlMpq00y5aHU3vF8xGhx5FOW6i9CgX7PpigQH9SaW+WK j4Mr4MUCWQlxnlCJ0jyFgbiqdMgI72i53YCGFPRQGYjvE+wzCU5D/kKZElhPaZxCZfiiIBAnjxhq 5hhYaiD1DlCiwR2LC2F9RlgcL346dZnuISS41fERhuKLgrTJAE+whmNtc5H9MzVrUBmlW0Lpn/GP 6rioKjZ6GrxhL1goPYOm2mqC9IzC0ADVZXgLX7MEneMhkZMMxXNNSN8BOhDE0DXUSTlTY+eC56PC Svo+x8FMXhckNsP6eOUJJWXaJXR0s345JF2sUAdFOhVWZixgaERX5SwcLRTvJ0TIlIjocklQ2fPs vRNJzEZ03GOTQF7wDHEqVHlCzcwHYenGCZ9If4ZEOh4PZul2yxPXXvthvmazFEXioPsidkqG5wEo xuEXkx5l/8mgIQhK1/lJAQ1B+vVtKi7iaOc4jqsTUiVG4sgjt3Yc6Pg/dJ6QLKWnwFjQ+KI/+gh0 CPVB81QRumqeesHj0RF1WS0yjDsTBeyHTVQUikPfH727S8fflNfhESU+JY+oRgNJymLFNE43oJp3 vMCnMzmA9OCIAnGeB2MGIgO1Aji7wFLv4nmo7zDDCjnNUGG750NSPje3zPKg+YDHI8hh/goM0A/Y 6ZbpZhSM03irUwvWgnEprFCmhyUKZsCo2dk8hfVxXXlvC+rEj21xUsDYYCeBqR3WGbbd+oGaxfWM XXrhaJYM7mTgp2WZLC5obrueysTjAapg+eFETjmsLMCP9KRlRuE4Gh0UU3G5vi15B85TMxGRE8Ih s9A8hfXxZnJGhjicF3E4NrC+Exw70MkQGhQeT5SG2rBAKLR7sGYwTbpmyOKUcn3nqrqGx7S3pj8A OKcVjwddznalH6WlhTbnvMd4XB/XJeeSfVDsyRZopuWBJtTPDOs7I5SK4S+aJMI02no6f8FZX0kV FejwT0mxd+D5cXz9QBDAQyjq5s4oHK9kuQKn54PCgjPbmW1K+C3g8ahCN78jKDCVV7+E/BnBVDCT CC/Lbbekezy1tIZDorWcME1Rddxy4NbGuqPDDduC4AkBGAgKxqZiNtqG0D/nmnr0sre9cHSKaxm1 qaFZPD5l/awsCY9HuZ2vJi6cc1H1eQu+M+Rwyh82JV9Ms67ls7QfMM9cltvNUNxQwVPbVnfat+50 lk85h0BVPL5PejPNhwMLFyKjP7iXbwdh64WD1h2JCHOrdb5N5x1qJid9V7tz8/EIGua53dCZhu+/ aymCqcDoEO2D7gi6mq+S0fnfdeMhwJDIZimKxocY021IKoSjHU3nKjgBPSkkKO2iHSwNDIRBQGae KeGmM2LLtFcOwNA0pESRgEMzf8mKcl8iRnfVXPF8hHq6hSc5ACCzupWnGZI4B3UNd/KZMic8Yg0b VxmtFqvXVGYoqiJ64k6qqex0ayr7hOFwsekUn6nAMQuvkcFYpO0mBDTvRK2iN462HTWfJqWgORju CRHnsIMKj0eIHr/tGGhloMOST9OKQnGkbVB8asWLBTV7epeS4Q+hfuPElhVBVYYxDk3ZoT3KDuiG AJq3h5CGcDbW4UQN5hP0UCTWtEIkWLBCqMqWu6KWUx6ONAQVc4ucWCsej1ic+TthOf8/0G58lsKi +DdZo8sEyEoq8rayTjAUKzRLIVRleMEp3/FGmEi7um465tYqqXRIz0yaWuBgMtgTsiR8TVRSoS/L uQdkhQdDNp5OJ/2djMT72cF4Pth05TuvQwdgIiGwaQolxVm4P4vfoIYjl+4BJhAYFmCCsWPTFEJV RP7pS4FTX7JFVsZykroCRgZUCKEUbRp/5RwUkICC0tkTN62fhq+J6nNcRQi5bRCgZ4Nyym9si4// S1dUkmz1p4NcYbMUheGdgJ4TZ3sVE7agt8NigOiTKOENNEshWlxSx232fkcH9ICFQZIasl+AWOUt fOKBcxVvajA+Ir7E0K24Ji4T5iETZpwEdhKTa8wMBAq1URz+GyyOOuI5mq6E/4q1xQlcJVaCqPpJ YzBUCVA72lZOgciK4qYVUzi5Qso0hNzMD/Cp7knVdTIDYM8i+sE2dVFsQcYEDueZeuWgRjcVh1Nk fEPTtbEB1aAYQXciCBnViU/4X4IndHgWWL02VVEkTtG88+ojW4hZ1IyiglhOSl6wGpyAsEJBFTNl wZf6aJUniGWCNZNpTEaFegIwBPZAUfvsnOuWWyidgS+KID3s/haq1XOwDBjqmUkpC98zQj59I8ah hjfzrdCtKBRfRBWw3KEKXWWoRkQP1BlsSRWQ9TVPYSguj/ji6II+n63HNAWtESLEwO2hEruJRCNy KrgLDX2Rdd/FgipUUiGNE0Pxbu5ZRiInptTw+L80xLhlsZ5QZrV5ClmcrKh0aTtIZJQFOiDpUt1m C9jonal5CjHjOsDf9J6gJ1XwP+PWO7cwMcmAY/I4owwN15OhVBAD4Yv+LD1hJ3CwM3lCeIbn+kCJ bkXh+ErlO3oCxRGoBpunkMRJBdakGFxBpp3qIP64BsbJztxia8UoFc1TE9CXo80TfEo3OetsloMn ShhBp+sabOQB1NrWBi5k1+CLAqcRxU+Esmrgvtsndd5svJ0ghO8ZgApuDszcMwOl+KVKt6JwnGJn o8zqdNe5nEANrJszWmjsoZmKJcfNWas8423dLX4u7V4HcCnZcE9szUBLswCF4DEUJaJXFI+LS4aL 2gc17yqaL+yd51nx+L9WlKv3nQBj+9bbUUCO7j8AdOmajpR8tWqlsEJZlTYtD94hi1PyF2UIfMjR Juqke7u1TjwPOjFV4SiawZBGZ6aDqqqVVWR8vqOQHHecxEo5DPOpS+tE5Lb1Jh+PyD8+UWYs/zOf rbffQ3KQf3gu9UcjeiaLNcmysEx4kbRCCftQVqV3u+r2Hf3KO5EvZP6x9Rqyzk2K1Dld8KlYYmd6 +rpXHs0QdggfR1COrGr5YNXxE+U2HTsnEd7vMblZpOjKk9gMSObpmakQQE612pqzy0SPdQUhVbeQ +0hyNsIOo3IZhzdzD26Pa+mJz8F07LSNQHTWlzRnIBD0QyneDDnVax489MZRnY6oaOBqbTAQBlx2 iTMofePxf7I4aUEGr2ysZJunkMVJ5v/OxbOXogowj6h6ZZ8ymfucpxirYpWnVe7owQHpspDiB7oA lU322IFt7Tgg0PBDmOuHOdYFviiSoGFUgHjWBh1R+D14PreNFRWhVVr+xhdAtGZA19HmKYaPL6JV er0n1BjGbmnSFgOhjF7rmqeYxaksryqIknWZ5gmK7/i5lQwvCHYPAemQukCfHLrFXqnr9sJ/YnqA jcEAjT/W505aNJuBVebG85G2iifDLH5OitLdbReKHFIRrqgoJ6yYQcYrZJmcK4U0zaYpBKusJwl+ kmEraIIPgrQDyfCZMTPimEiGJ2J1dA5ctQAAB3xNZI1EsEqVaG01/ZYTyOAdVT1IC48HyXD6zvIg rUqVdZulCKySqJhZr57RgOe1zRKYpDZLPFk0SxFYhaRhnA9qdtZ9zbpPZgJ/J3Tm2GuBa85UTwrK LxWVZNhugb/NHK/pjf+iuqJN5oPVfdHzUD9h8fEgweu/Ak2UdvKt++5YVkUojDqdK1WuI8KUWjTO prR5NnV+UtS6E0rFUJnjQWUuREQn8FiUiO6oFZirC+WQdyMqubmwaPY3DuLMRK5Gl01p71eY/bwu ydottYHH/1Wnk3rvSYtnvqjMHQXk0H5mzcDniU6FkseaCsjlOp2Mxrn/EZADl3JHL2emBincLRup NLJFT0t8C4Ag2oNV2VMvHJxNrBhMiLPZoKsun0NLonS1DjwepcGOVCGy4Iy73gbnjoJx6PiwIH7F sfqVWjv/dFQm3ENs00VAlVkMGaajiaN3pFIlYaNSau3EBl1qRhuulB1UJ+D4zMU8MQnesaAKZQqy RAtc5BXOFgj2WCyYA49Hi+mb/pNpAJuukZTAQe/pHaVnVmqWBgtPiQpUlfca02BURYTAoK/SH6U6 JLXpjo7ogb0G1JuJwD2B06LDRsrqHxClgtQFAp80ANr20gHjlVoFc7IGNaGXVpdawOcUlSoXUFCb HxGhWPN3AEWsSWpX04hk1vcHM9tTGagcsjZ2pqUGV3SvJkEDi0hX/E9xVK6E+P8z9mVJsuRIcv9x lpQW7AvvfzBCVc3g8Ui3zBoKB9U95RGeCCy26FLzvKMXDnaH2g9pG+eHwBQqzeMpWRCCFFBCnazI qBzfFKAMiBMDI9EHlZ9OGEXQ1g9aq3w+yF9u44XHP1Rjf4jW99kK7YAGvVv3vPbAQLyoalfKFAIY h1V2e+AUYsi1/8zrR6O3FXLd0MZlUowieaOVaRqsdBYssvNP++ogs3yAb4qEQ9jvhJobBlRvmLaB PNOyiK1j8QOCaOqfoLNRFPaCoXIKq+bUjG7F3Ljxj7lbC2a34njN0bp7dKfQjtNUaHLad7S9iIZM 4y8IF8VNBCITPuhbQXAT198lmZUkm4QU2gKJ6SLjaQ46t84f3LoJ/g4+/xe8jnL/J9j7me3LtywK 0YljAupqWJ2zlVoMYDfZTGSLYeIUspUV1c6HaGalKm7g6FUEop8yzVThmpA0sUkcfUoDVAUMXYXO ZS8dNGNYP5iCRk23bTzxYNpF6IxzUfH5v7j6DBkgfsqf7rrepLB83ogFZp2QSLtGBjLJZrB3s2Nr VPp0d33Ur2wzEfxstLDhpHu1AR7KunA/WR6nC5UATDFEKiCAZCoZgA7bS0eYRFYTNmUyOGhttfM1 aoSePcnnI9Pg/n3IA0W4+9dG/F11pRCRJMJZm8OZeeDSEZaYiUu1yYpCdunSnjWVn9HWVl29grpI U3M4ABQK/8L7D6bm9JNF9mtnPG2Z+U2/6WeNzBSHg0ovqBmKD3gCeD4f8WKvXIYsBBFCjJsEsqEQ tKuF+Nm+tNimUBOrNcNzorGPHqV2YiyIqGOrqZTO0UOIPSBHQOuEfpISE6mB0i0aZ2gCsGNqx1ap zV46YqUTnTgYSTRe/1xaO/W9DHfX+HzUF/12x5sVK2s+0UMUvC9GD0V4MoJbyHmT3D1iW7OAXePa LUYiLGU3a6+PO1pcCrLZ2WI0DDoXYwNWipWqksmxgMvKugBFuU+lUJ9c8itA7tjAddVwqZMsAWY3 nw824XXCwf9joxT00ztVMeuTB7zsA9lp34/j4jQ118Yo1acqrKeb04RRhzjaJoRkPnCuBAcvVGUU vRYQeM9Msaj30PRII8YXBcWqos7DuoOBFMfaVTFEnnw+ypy/Qa+AWtDkxqYqNPEk9xnANTenPN94 Re8lCqyy3jUTDFw8s8M5R5aLJ0cvxJxNBjIys518ElCx0zMiyh+InJzjioxr+eHQ6jwHLp7OTt99 Th8seW49dxXXx+TzUVroU8WLE9DDBZCnz1UUv0O4EjjzcpsPtbm+D9p9VtrbJL9rriKgi9HTp9xM NRpqCpp1EOcjgqO3SS8OrKrC6UMra93eg2xecmzkKU2WQskRDirHoP0uj+5VKp+PBIH/4fHD+3lg mftUhcE7ebLZDYUhMG1a7iCv0IkClQbgVVVpyKGZpwEWIVF9Ry81QOF0LJVkIFq0ppEYCoSLN6+i yyAapdk7/9bR2omstM2ricWGeY4+kjVOzj74/F/NZFnjgQS6Hx+4wM/TCpiwBss2V6109z/faZpo OSBv7r8YGnoOXYLLmlsc+eZogQKLvEmWnXCC2VJGKHCW7MANwkXU3YNGs1eOdiAwLyBK+sDzZU9o w/GwWvDRDRw9zw5c3/iEnmmm+8xUrJAo16Bu9aszI9dxOPE34qqa3F2aqZAKqk1F/UofbVWVE24A 097oBXdernUdVrioxGObN1rINAfnFwUIBbJiautNQ9WqStCZloDtidr4fNBSXt/nekOi+nRrcmDq iQKBsBzdFhVYH66EvwQjLip6dN+AfwTtYzixf96pQt/97ECA8mBiTfoQpwoC+NyYudMxQECqPu2d I9dhyklSUUODwIsbetgs0pwP4vNRZyt/H1bobFWgS3yuQkpoIg17GaIa/8jaH6LQVecy3Mv5SwzP kUNjz8166PkXW76j54Nn3loSq79BV019sCSl0gSxO4iW3ZqfClmhtScFzM/HsfbHwfJB7ECzodCb Bif7lduStCIxWc9chRE7kQpDDXjkzp2pOrEvwNxrrhqURa3QELh7omah3NkQioqQNFdnAQ2khKI1 lDTZpQXuDBF7GnRApjAZ3dHJOuE3Rbcg+di13UGxFQqkQqCdkILP/6Vmwz24Cam62U3g8KnSWQbW ojkDpBcrypROE2EWZTr0FGyuwnr79jrfvqNlN1CUBESMgKp0jkgplECmhWk+VZTLBVRRv5bfFDgI kEGbsDhtUNN0nRRK9IQTvfH5/2LWjABpPpCqHLp8ytR67p59D8rqZIlwLLheQ/w9fA+GIbtoV7Cq vKPNFexnYVxKsBC1S6e5pGPO4Gr4U1DsvwSsaS8dNbuII0YTxQaL2c9GaLauNp8P8pviEQOmJYsi PZ7TPTT7JMoHfXKnHQ/CljlbDUtTiMY9l89W6Pa5ZL5Us+nj54uSPQc9WstnnriyhlRjszKcH8jk Y56pqWwtr2YvHTVzttfcOQxpZZy3TJlBw9kplc9HOorfQQMQPrRD9rkKq+70j23S0KAEHj1TTPgd vzuTQShY+FyF8HSJlhZ+lo02V6tD3AEkPGKG5mTNEBr5eCbxjAMq0hXd7ktHChJyY6KhHged7lAo luTk2Sx8/i+OtknhnCu1tmdhRWgYIsqB39+2DYfw+yyNriTAI3RbupvtBqafV0SCUmM+etEd4kIp Uf299HpCeJnLD9Y4UN76KcinvDRa/aWjWh/9z9CgxgApH2aBBVVDVhjPEbv5AVHk/i33CqG2TbkY m6wQEsO285Qq7qIK18w2WaiqqAGWgXb1lRVj1VU/VlVW440bxnmCdkP4ghO9U6orwWYK6lLw+AR2 we7CRJJfjp0/2fkaqJ9yEGu0nqMQTl/8yqw3jQhH3i2ctgtPKH2bhaH3JwIULKzsSc65W8z7M1Wq XgoWMx0LmgPzz0dCWCoIGq16hUgIIHx66aDdsYVagwoLElf8h13uuqKrQI7dPym1nDrJ8AkUbRZ/ QAcuSbqKbU1+QEQ6+kdjatMv9N6FoQEoeolInnfx8pVEpoiNqeYA1oDcnT5XMThGFNsqlhZHr/TV QR08YYjA/xaegQL0U0FZdzSo12RCB9AsxOygK1p2XHyFnEPnaQO1eT4fLatvFBHQMvN7qqLQHdAb nOjVPYpXrz5VpSSHEQ2q3GuqQnFFKeBN2iLY6OUr7hToEbP3sWF9ozPt/C4dugPtIowhDGqvHEE/ iJBpRGRzUEnm/IeuVvT5E/h8VJLpXxuQIk7rEv5y6AI6SNIiCMMwMpI1perytv+24dy6MxUrnUuV Oo9+R4c1VHTrIauP4lUFh1Q2oJAJ2oULu7nUOQuleudgqlhfSIO6+elsNsPAJgBlZICS9KJ/wbEx rxCbHWiz+VSFaudF/gJj+FRtK7rXTC025c4ggVruHDiBXthVG2JHcnTY1aoA4GRKm54TZQ8SIJCp lx9g/3/QAbfYihAzfk9wBZIAscEfsMEKMtuoqwBC8fngpHpUggy4fu7AlZ47MIrb6aAwZGGucz3f kgzMIx0sw2hAcxUzSU0Bp6w7esAAqdx0Anb66qDnpblKcBhYFNvDrYDFRohasXf+DeSfZMeQyl1W Z93qfOy1dj4fVa/W11mFAGk96vA5tASl1CeMAKzUDtiBgfypD68GTsv9NnBiT1ARAEdTWsjRzqqK 7k2hVvVZVhkIBzNfh7YZzGjaZSEZdD2HpqCZWgDsTdtglXYYPzDBYaU9cAVFyP7tTghVvdWeimho CrrZlIBjgtf5mFsIgVU8WIBRpZ9VgSuo8D6Z2sv7jt5xPtHBSWkAweonDqp9mHsqaJmEI5xgYd2p Up0vsAXV6iWVt/ug4tVZXoUYl3o2I5+PYLT/mKFUcC/TpUvm2Bi06Fwvj7yLr6oTTV0B9IK1pog9 dAa1xNn4xxotcV6V5pRwHYDWbKO2FYoMxHRVsCHKvMJvpavZFZqD0p7hHOjUUGC3kQUnQDJmtciq Z35ANFvlKxfMElwtD6oodAglvfT83qZTwhsxeRfVzULPnCKztOkKY3brOAsuq9E7zhW4qsnzCmWm lJL68zgnz9tih4M+5jJU6s8HJqHnkF0sjEq5a4PUYJuvVNajQTcC+CNwCUW93qfL1v7PhD6/T1YY tDdKvdTqxO7eXBS2pmkxV4M8oGeDgU/opdtAAe+OfrgDKgNkLd3lxp5tWTbIniuydTrZuRgVWqP8 pqAwusRxy7wRgQdkUnLi5gVpgx8qwU1+wF9SAewOklm+boAVmIXCSQYABtR2LXXu7EyKFVjKsAoW EbDKBkO3UKuMZsDSfLSoAQboHe5TqCKnk5HLkx3thP7DIuoPIX3W8yIxkd8UXIUoDJx1I/Jydb2A c3EkQdxbR2U0MAxFOfhiR/EgbHQGGmg+WVHcTmn8s/eMvHVOLZk6ooBlNyTBfaPelRXBZJpCq7Kl ksPRQyzghDcqTVClAveNFGfUkVGUgdtgrrs8vJtuL/1bUWYN4WwHffK4str5Kp44Z6NPfkCQ5CSv M1CQ8GyrmdtzHYaq6Iqxanf+8hLfRioUdV8kpCsu5V9sQ7WyTCmXo23DDa004rk6FO9ACuA2bPC5 On8T7PNavv6qVOjkNwXbkPtvLLo8crD+RD/LUwT51fl8FJFen2wsQ9R22teR9WvNfZSyHJRc+vKa TGdvkgryFY1Dm6sodp+K3UuSDVER8VpztRIMoLewfWdlbcJEzhpGr+yknucTQbx3/JVq7qF1qICQ sEv2QTX38/9KM4f6xeeDgDR/n1jnKjo7cX7FWbEKjBQpmmYIhYbrzFcZqDN7zijZ2pH1uwzMOZx5 ZmvUZLWG+kJilx5nIosNkBvD6fQDa3A0CO+RVbKQfYF9aDLV2FlSl90qKkis9p1ojkB9dP4nPyCI Hcb4ygpxo4EC45PVwpo7YgwArTwrnOOxLWqsuZM8QZ8VBqUtQsp0oT52r+2OatOf6w64aq6sNlFP LNJwbCCdn/SRu/NahZVlr/zL6Q6xhqVBSLWydx8KKIVoaKEy49PL4b+NmiiqbT5VoXcRcXVz7Ifc 1Y0Dl0h7knfRWRLTksLQQ9SqyGPIOJujV2XOlHRUeFlr6FUSsVSTbz9Tjtsg9ykpHIqxAg9R0dig SkEa3KbQhBzV0RAiKK9D2zqHLqJ0QldI2gQIzNAYujWs0Ei00j275ItqgB+1YWUK+QzEfwDn67MV R/Bmm20GmesxyIQhyDm4Olv17YRfcmfNpJGNs75Y93awWir2yhH+g5xBJjvw4q7mOHN+FKkWnpuw LH5A5JDpe5BVUBzv47GFzoGXKJhebOaoCNroNF6XY2WqizQCN+6ZYWwmqpL7lLC8RoNgnT/hfFoX CPKsoZ7t2kRt4xzPC3Z0V/Qk+StHbS8KVxXi+zgoh0Y/VSrXGRCs0Ew033VFShyKQ+1p1Aduojhj EJ60Zs1ARFjZ5XYNOYrmcxV9IumjonqfIiyr95Wn3tdh1QzH40HJOCpWSCC1wt1q4R32vkxUYF31 Rb/ofmZYu/vAqYICa5OQLBwgc2go+kTuPMQhtQtkv09VyEXFokLNJ9lU1VqcaWIZD1W+YGGiazAw FL1YGe1VGy1mAJ8AvqFMoedkcMsUmpRM3Bs/6O5csIzSnNBTVN60XYQTWkstmT5BYZ66b+cTGj/g /XBf/9RmQDGl+4BPVhi5V9ZmdA0ONeqTRe7ridwrimw2WVHk7gCQZNYqKd3JgrV7r9Bgh6UKHDSL W6pQWuD8tDAyuMK70186OtxJMek84wf0NCVJdY6cpdZzRrkh9BYt13KNuleZZL3ULpcwh/6i3AID mDVNFzxFm6XQ8gLAdLVC3rWmK8LLVAH2RldWyNGBkHvvsiE1iKswnT+XafaZxBMQTdqw0sdek7Wz vXLU9mIPJxMBkrMjQApQP0Ii1775/F8NCtWSAT7ZUGTTXH1eA6wKgDu3YV+uOW//CLgMrhM7sXKb Jrb3Gl7VK3vdzD2zPe6Z58Y6d19N5mSfap2sHGU6FBLBAcSmU+NoEv0aXFUXJExFTcJijEXYK0Oc jIfVwtMvk1TRO1ZolRVaoeW3hCnijxNMkbKb1uqw7KbS2pkn1UoEF9Efa97qVTBFdqRTEtVHT5p3 aqiHdqI+zmQT+o0kIfGogeMaSjJywoCFHb7mbY4go7lZDZ130IGO61VB0gn6P68RVUWU4XPE0On8 inS80hS9RlM16y8beez54y4YLtmIUM7JXQkTxyl6jaXwC0kYZqbV72jxQRvndoUEvFqnQJ1LiR5J cYe31e63G0H56s9rJFVxsuCua7Sk06CycaW0yg878+3zGkZhfk17IVsTH/ko9RoxQ68xFBdRpqjl vq4z+VaM+5ouNpSG77PXCKpeTcuRpQfO0YGh6DRDDUuCu3WSUg6XWjh0IAqddC3QDOFX+bzGT1Wa bwsWWxrgm2UKqSh6sgt4PvXzGj5VY1F9bbRz3KPzZnP0Gj3hKfzoEL5zQSYU3SzZ28SUCK7AJUor 32AVwd4TK8M2Wno22sB6PPfoQt3zHEuwVCbIMWN7w9WwoxV8NdM3FeNeQyecRoVw0CQoWnIo2smL poxV2H9/DZzq472af+zgQp9m2hy9hk0VMAWrr7i8l22vzlJ6cuWcmmh8CBO6YI5MoLGkke5oc3QC KNprsHYgWBXnSDqq4A+gt3FVF5KcV4M5WkKeNWnGNRfVOyfc7kJ2nK/6vMZLWBIGfNFew/SsQQVZ ety8zhHogDiCsPytgn6Wj0ug4RCxOWpgJMsfJJwjNZBLS3e8B3bPZzcJyNH3+f/yEi3QCtlM8xD4 +GZjavcaKFUpm0K3I0vFA4BqpignjgbeBF94ruXPa5zE06x9zRE8umenfAfm6DVEqp6pTKq/895f y32L0ANOdu+DZWxaxNG9b4TJMtMdvWwOC2149FGdcW7VfrGOEKgTBdxY5bbgm6T41wCpyroNrQWy JjlwHZ2jJSlaaifE+ryGR3zL/H0goZwzcZlqkl7rmrgLCz0uhjX0UAP2Pvs5P5txjwr+xxTigkM7 CbuYTa2Ko222fO4veFEw6EZaV1lSP1cl7lSIT0I8KTmSmCW+z2tNk0EYDqSWmJ+0xA4EJ3n0Kdui DFXUYJL69s1G5AHiHtgA2CS91jOxeheLmNkpkn3ocMKJNIcrd9SUnEnzWs2sFwuUq2EX64NdXCfr TX0RFNvPaw7rVhWAcM6cFdwL0I4wOF7SCwenNmEb5yyjgAe51KoclTmJeUJs/3ktZOJEure/lGOR xib6iyuIjALtQduSzrqwiii9WSXzHNYu5XVevnolM4q0zZmgGiC2PoDYmcB27uQbwSSYqCmWyEmZ Z4YNB4YrBLPslYMtp8oAIDI2qOaboMdOGDHE4d4Zf1iMs/qeY+cFjeJd/WB6J/xVk0rr8Kiy9dSz q+iVRoMmCVhCFNz4RtFECX+HA/qOnrs1UJDhOt2pc1KXJkrlcS6ghjDDesVEJX3eCX88wOnF02iz ykF3XEpzMbiE98Hnne+HA9wUczLBZRAXnvueTe9sP54pkhhcTozcIzkxckmhGIdTJcxX2LIo6JZm cy953dEWFMzlJn1UaR56MhOFlAMoMbCWAbMunpewsfV55/phC3TJmYzlA6fp/BC1yQ3r7MnPO9WP uZ/fcwx5aV/6NU9R5M1D/KSy2zeemqyKKnmcCtdC70HhWoJT3LStixH+ODqu88TFK0uI+FxnfRaV xSFJjJAF8fP2Si8lZPnG7/uuo30nl0UblOSifaMwvAABGwbfo9s8db4cPqV77P1O8/PNMsBZtGka 3vqkno1hylrigacibzRNjKirORdrVKMlQUkNXU52DwC5TMUwnecLJkuCxDVZp2WqchkeT0xwG8nb 0K9TTwoNCmlN/5zLFcW4YJ5y7t8nOUGKc93zKYrAeYefT+5XYDe7bOwJotcV2N2uXfnO8eOVIHLt Vol37Fvi3anBmqGR5p7gRVab7AmQYs+K2B1VJjvHB52d3il+2N9cT+cKnT4Ip4iVSpoOtO4+7wy/ Ki1VCwv6j5LPn1Xmnag4DG+yM7wd9GWUIkRP3TvoJW2Xx4nicMO+nnSw3NFrS4j/GhpWACmeKy8T 85LZilooYKFS7u7GWdoJUSDepnh95G9zMOTdqNKkg/Hw553dVx8/Wi8MLJROqk/TeyRe/g/ZACeN S9MjcZApDGhQr+tjecBRUShOfAe6lpau1CddgXrvhgEde+ckLPB8gmjcz8Kqz0SSmRbA7Hrj4Byn Kyb0LzQYu7adWERk93PR8vEgzDTYazbix4JTmOd077w+rKZWZG5sq0mSXqrCtWF6VedPLtX1g6Jg fIjuwUTSR9t2mJsx4DlI7coFdCrPJ3RCOtmboIlf8/WuN36fpil8D5CBNqjKhBVrmW/Ln3dOXzXy xp0mtCx+INri8xSF4/QFGZBNtHkCqMBbUIoQJrHB2ZLfd0ofdx3j8GVZMEc7x0E67ZDrY6+uQ3xY KEbgnmmYlJnYCZw/JUkVxeOIqFdmfcoGHk8nIjsRh2CZAGJEAXl+SgRM7QpqTXt5xTKMx6kZtFJ1 g+O5pps79Tm98I0OvpUsw3hc8wRlkjvatoOwJzC8dOg7/wyXRG67euJgWHz90NPIi01ILj/vVL4q UWogEUf3QeHT3mkuc3zMn3cmH6MKX0+KSJeLpHOaomicsJFuAl2cpr6G1y11iFP7O93K7ns0bgsT BQLpwZXy6MGdnXzWI8AzHaa0mHQCXU9KDxRUp6Z1afd4YunyncaHOJEkxVoJ3Cz4VRsDxnNKTJYg 6Hgx2+edyFcf2S6vqNQfktNtqqKAfBJSAEtsL82l7lpBdbmo9YlDs5V431l89ZKtylJAztFWVCmN MktT8t8wpldtjmRlWiZWglUca170ylEIxRyYGZ7AdUyAKw6/xtAAlqifdw6fTfUTQtGypLH3y4kK I/IlRuhyN+iqZI9uM205nmAU75K/M/iqISHRtBbrmOMt0AE6jfJJp/L+GEvWIADswRwTqoDIXU18 g5ou7wQ+bANqbwBz5oNCzTQz1TBQ0Kyfd/7ePyE5w4B1luauxe+8d/4eMujK1KVVd8fcfXhpJclF FCuqU/gAoeY7fY+bXzWDWdIdbfNhR0NPhJ4XCcgwnuUZHncnNEDDWqHBfKyw3tl7XBDMWRrpVrkl 0Y1hydNlKzUKOitRSD72P+VeJIrlRlDv1D2sJylPpe6ZsMnm4YwqKV94Of8WTlNUFDd4Sp77GR2M Dyh+g9oi1tNE4VIlKJZfO4AsJ515GgdEib0z99jFYSpMirAGx5bPzbz47PD1eSfuVROEuGc5gP8T jUibp/eA3A6ovgn0UWWlrVsXl2A6lxO5QJyn94AcZ7kpEc9ntIB8UK9rsFSHMzjLy+CkrjjLC5St C9A7bo9Ju9V33l4VEo22RdUHgx1CSdDWyP680/bwF+fv9QSHmzlvqPnO2uM8DcptDOcMjTVNnuvk +dM5QygoKdR8J+0xUlbisnu/o83TSekyOOZVvo+QEJDvIz3ntzVUb4ZXht44mKbF4rgqm8QcDZfy nGxhQQN6f945e1hP+buFAPPeXm+C907Zw77Dbh2p33lKK7spbZ63PE7gtNbTe0h+XUTPb7PvaCH5 SeYbkAiJ6hFjdVHbM2WWzn2OZslTWaG34uedsWfn8Dm/EYrYYCgLRCOCaWa+ZrCc7nXHaTqXz6jt LqcoIhfgl/wTP55cGA8JWLdpaihoaZreI/JsQCdUVPIdbZo2appwaAaEFcTp0e3Eh9UEsjywDV1i g2jfd6oeN52AhpR64yAAQT439pSFwxqfd6YeURbfafAJTM5Few+nd6JeNWHrPou7PoJlessq05UW Mza+NcjDeFxl32WWF8vlVFB/Sui1ABzHciZMaQUZA4pnZphWwwncpMtQ1vm80/RQtV1E7JR8B6V3 edbrjVk+7yw9VvHTP2lL3qTI+DTFYBTa89V8+Yz5GkHvYuT1sxCqt6TeSXrVUXO1UsPQRpumCW3C DbuOs0XWqnRHJOv/nEZdEqk4m7q4QbnojSOwBa2NpRfBQY07CqUIXzj5eHSE384d40l6Tg3fdO8M PYbwk2lwuWhoyt6Kzk/CtlD2tPTiPEXVcTubzv2f7mib7vxpSFcmHY5ZKTfWAsgW51g8m66ke4S3 oRcOsjueSYN68hpUpENBixwIBF+fd24eT3CPMBmNQsQJogs2S1Ek3pOR0qvB61GbEAoaBsTF4fUE nQAF/c7L42qSE21XU4qjraYEInWDNTXijTGAhBVOM8EQFsuvzEvbmNQdeWflVVfN3WNrQKG42xHe iXQ9d0Iun3dSXn0AmFajAz233UD8nZNXjZNHi09Hi7ODS2z9ImOT2HpRnbiaouJ4ooZ8zVLM1Wgs hLN6WiN3DoIHJzDaKiiw1lrN6vca9+IK+bzz8ZjY0eHYwvE0rfYEQxtkhziWR/m8s/Gw6dJ37Ym2 y6Pcs+k9Dse9QbmRZU7GKGqOapj6vqaRNWqd1S66dy4e0wiLA/YzOgwMQolr014GzdKezSsLYgcD PMQMEzHHFDBdeWfiYf2TiUcujg0qqcDeuMsRpPM1g5vOqGXZUOLQv2yerrzT8PClVEI3h1XJxt8j fO7uEJ4BUyfddGFdXDq5o9VxR+dpTICXQJNHCD6BT+E0FW1QIKIKaE7O7ySi8J2Ex7gJh1MbhBRy sLZBRcnhh1pH/fNOwbMo/ms5AWl+8kGfpygMbzycpu80olXcB7oP94EG/s+IUu8EvPoYp2xVczsl lH8m5qlnSixN6gmDBbS2cDyiV4IAVLAPbykz6ZUj2FwVN0MUDZyaXTW6osjmp59U+/POv+M8j6+J gjbimree8k6/w0RJxq1Np1dDvcgCTNxRFjqxWaoFFZbG1ZGyK16jLahzgp/bDiQI0jphtEYk/abC AuotOITnhfEWe+XfC09rqf6Uf4guQIF69CLLhszng523b4WOxHWYreIys5mKQnFUooG82FbNHGnd JVV3cX4GPLhspqLi+FSvpRNnZ6NVnvaGpEVhS6pWAEyUAQ+kjmh/TtKwrgUWNQ7emXdV+sfgkTEm 56DwCSQL+TWcz/i88+74nvXriMLRM8sNxt9pd/jSLQusKWIi0M+4tyjftiCaSYn4CoWeM09AYsbB +BT2wozC+uPGA10WUImooXjOrs3GEJSYK01mKuuBV72N7nGfd9pdvfrUW0LCkCFmzRcumZs3Vq9t ft5Zd/UxOfZuCzTXS/bA4J10ZwhQlFSQP/+PDSrmiP/LaN6ZxSoCKFwLFQvqnXNXrwmWCY1p9K3X gUuGsC9IwmCfS2ALOHGAVSAEANSglZ7Yx/i8c+5uBLWAR+Jg3buNNGuSltHS+rwz7nBELI/HmXzi x0GNwScqjMen2IlFwnYIproJAqp9K9OBiSqRVlRUHG/dbY7HHR3ceyLKE7VOough453o5pc6IDno 4XV0vceT4HW9cnCYV3oySey1kw+uWiYoVRS467l+3tl2jmN6znIE418L6j0iR9dPN10xmxTwMVwL MEtZhJKclVqA59J7p9p5gokzXEqvHL1pfqJ1VFCo7LNWp3oAFhR7aQX/hzKpMamdR0rGO9euilaI WFy812G1zIoKvGQBoJzweafaVZOKfE4oVr+W33nvTLtqqtTQwXW4itmvE64ix2PCVajFxvUUlsbV utxZ/amdL9l1A6kK4wQo2w3YDcgVJANcf+4bdGe4sZUHq6ryzrNjHkzOClqwNqheANkTOqW02trn nWaH5XRBYhRfwS9G6ozNU1QbdyTy7BZEQV7b+T2tec137mTSwe8sO65nlVOyMryVnwwvd8hfJZoc nwQHJmjyrUX6PU8M/bPTDcnR/uLXBNMkL0OZ9LVr0nf28BgeTI3PO8HO0mgD1evQz2TF+jSFWBUs ghNCeUx+IsbsIIy2zUu04Wi16tM7v+5uu5Ou9XnHmwiX1KAPhRrdSeBYr2F/70zlIGEMfrQWkWs1 RYVxUwzOffmgadpn8xIbQNbmO7eOZ1v+OpyUiI87S1FEzuPhRLAuptWpMmyyR3BkNMtHtka46cKI nEVcODjsO9osneD5JMKAE59ZOhkN6gUGS2RZ+pwPo12ImL9wUFTJLPQm1p44aJbwjtIKPvfS551U R4TY5YsxJIXQCkDI9q1RPN5Tkz6iy7MRlKI8eArBijy4X2fMFuLGi+052TCsx4ZhA1bX6RkAFfjz XVnKGRnQzw6rvb5u7QmNTn5NEBKkIf2s5oMQGBMwNMblJ+7A40GM2f/BPVW6HdxZCmNxVugmkXqy WR3bpR1Wd23EChtFW0xRWdz9PMZed7SbDr3NCpSTVeiGGVsk/CJdzD5SpcvXCR6F4ozB4Rk4fbA9 Z78qekIDjweogj6/99zZ+xPgTOP7RKE4vC8vB4EJMT3bpIBB1RKW6NJ2+4X+HopbkwcnUu13tNIT OHST3SmU6CCUL5lX0HSg7HFeGwA6pXb8mfA1UQuYqd25mn3QYmrnHOYBfvY6H4/4h9+LicD6cjkI PYrD6W0BAmLxQmY2tn1Ni1AZFuj2Sk6LimAqYwmEKhFJjdZkbagxJgqMwVL+ZMTqpp/FflYqXBpz Wk+pAA/ie4J8hdqtAOz7oGmqdSa5eZx/wuN/kcco/ACQC5pmNk1hFF6WVC+syTIoFGAQlV3coZ4y wbzmelQVV6525kMSYxw9/yXbe7H0dG68c2IL9JRQjUJp+ERItY5bKRB9LIrC55C545a5YwcmnwUn NPnJtoA/Jp4P2JrTSyq0GQWj8aRPd6ZCviahF5WcJAOvLoMbQg9YscHZQQDQMg7vURw+JWNRtbA0 OjwMzBxUjVEpgCV7Jf31TBRaaAD6IIR17EUmMgBfFGXApJElon2b4kWaARjp7QeuEXg8OMX/wRRA fQWwL5+niLOJUBt5XXdlgrnp1MTAaV70aqKeP+cpjMOVr0zzSePomIIzIW03qrbuE7/OQrYGes8J Pm44xWe5Ilm87HpUGl8y+9q0pzjZzzAZlcUomOaydU88H8zTzVfYMcb6Wqk5vr7HgThip6rrjgtK coiL0rpOuMuz0f+y85OiSoEmaKR+x1v1BfidoqNtQxtkSwmYRzhIruK43iOq2isHYYGom3KImWRe s9YLivqmjc7ZghvPR1uvfc8Um1JI9W2movo4bNTYryuqqUAKsVtNZYh+QBEVspJ5loexuOq+aYq4 wdFKBdDK6A0C273jFJyNkJbzIUioW6eGESDxLkQ69cpBkY4GoStTCRgyZT/ZUGFn7RbWM08oW/AB wXHe91d2B5r2nM+aeo/HgbCXkSr+PHHKCIg7k1ZRsGX7gMoEw6pPPYrH9zY9P2tg7kd27Ww+7m8r 0/Ui/lmaxAUXpN0QdbhWJ1Qh7VGFnBI1mSIcNhjw6fy7bPLA2hqPB8WC9l0ghwvORCnV5inCjnez rknDPKAr1aZZzjzBoWp3DfWvaSsqAqrYtdeqmTlCbwqRTEfdb6xN5wmUfU/CSp0A2IPSehbmLQ2S oBc8fqYLX/RLFAXGXvZBRRXYkndjvvLxCJj5fZjDKRsIsXuahzG5jAlpg+RGqtWqdGWZS2jDjly2 oKL6uHXN69YW5Oi3HmxnF+izqAbjPxDumnpGraZtyvGgNaly5iDjtUdglU6JAigX+WAtvPOpOnZm mXg8Iph/bzxk0j/gkDjDPIrK0WrGEb6ykzbEB5qMyrMjM/MtP42oQD4lXgRxjjt6Jnx++A3IEw2Z Rm9NSpobZbVZiMmAjp+4LcRX4nt+aU1BYrz5IARr7l1g0HPSZTwecBT7+lpQwHfO3L2PMKKwHNAw SqeYGdM5S5ZFBxD41+SdA6o7yH6E5XHJFvVtfYT99BHwPw2QAPnyJpIf0c2FYspmPR1b0VXWcBrj e4JpYlheYK2+IAMIiTTOE6Bmig4a5iksj/+Dsh9p/kxclTZPIXQ8s11OSDxJG6MVLz/NZXqtDdrS RpUaUXWc7pcZYuHDRr4HkrxRkaiAg3XS8712NczGpP9zyea3boYKkB3E1wT41aZpoqh7YUeIswSx 4i6G/Yk1R1Qbf5hSkgc5358uwH5EMTl4gxRLSQ6kaw6wh83ddVMASVKbLorJl2olazrFhSt4EG8I Dl+fVHXaKDsuzVKm3wMaXqtfH0JAHQa+JupysmmH38QGoTAQVMl1AuqGI6yMV7/sGEzA9x2Lz6cp DMlBKOvjQdINauwaHNolQhCpGw9hRCE5pd2wQNRq4WjlpwWVk4ESF2GZ4JxLixQbYIK4mBF7GjGY Ll34nmDTdV52i7GBCMubE5XORcNkGKhiPP9n846r/cRDEzeBTVQUktNbEdamV7VI7hw4naQBq10H eLYmKgrJlwndT/l6dXeKp+MLdlpljpd0shCIsTLlF9ENuBLueSd74SAXToT5Gtp3GdkBnRa6b3ID TjwenU2+6xiQIhNetxc8QtQ4+a61GPPAInMz1Jsm9dDORdJcTSVCjRdD80xbTvNZTnAKhzPJ5izB K9X13Qd33YJc7MWMo3uGr4n6djiUKGTOIYmDwDRLairIg0cYiv9DT4QfzhfecIRgFeqprNq9zzIl ucqAgD0x01HbBuoZUShODEhmGUUj0TaZIN8E7g8qR/A7A2pVRgtJVuZn0UHyzmcJHCp8TRQ3sSa+ FGei5afuCvmQLG2Cv4Tn/2rb8VjrlFG7bPwRhuKDgr7VKNMInHw1nbl55omuK5ynOBRXZFm0mjha ynIulHKueyZ3tW2IatG9awmfmamChR1nu44R5ghDcfY3obuJAdTNLLWCDJsx/uO5EhY+IKgYlG/Y OI6buW99fISxOLK6QaKuTD9P4Gemn4VuWyJyztZM83GE9XGZKxWluBo9uStoK6+hWPyE/1KqOTks GXj1zCl0RK5ngs7xkMnJjvkYvPbGANZVrajJNirW1M4Fz0ellW/YIcr4a12c2Awr5JVglbpUTkEW zFh8ExKdhpXqRuVEdX5SFBYYclxKvusq+VaJFg6p2qfUsJQEYgWTZza6lT1uCSQGzxCqUiioMmnb Vabbdg1gY/mN7dw/eDyYpebLqXLp0fTXj/EZheJVG8+TOEJ9i6V2i1II/8tgIeBa0iyFRE4d46Pq gOLogOgKXYcpSA8Cj0ZXE8DDKJFODZxyC5qAjvGLfsuBoVjgg+ap0ZyI89QLHo9OqLvtGD4hftu3 yTlDXRXSOOvMznjdNLDgCVVqcgPZdonBM6ZxCsoz+jM6Hx9qWBv0K6g+bbTzmQMv4qRk5AvCokN6 KPAwwxr55oaTyScHJXQsc7Fkd+I1PP4nO5EAUlx3gBH5TEXxOJKkjGJgcXpiMdY5JHwNjdjQWLCz fIY1chMztBr5eGrkG6T1kUE4O/H4gnx5VfyEDt7EjQPSy/WOXXrhCKnCIsFKNhQdyOcXpyctKT0T jwfYgvXNkoJY0Ind8t15UTxeRSeT0nED97gux/sSvWwWVFBr1DSFuobCgPcurVWOVvk9lxQQ+/QK giSP6fqdpXR24EahsND2wfrBNOuaIYuT/WB6v9lgNZVRRH1t5zLE40Gjs33XCtDjxHr3aQoL5Czj 53xrBSlvy4ERNlmBXBqmvO9mhFQxieMhjL1GW03zXN2Q+WqE+y5oZEpXBS7aC8sXLHuPoOjqOaNo vHbJYBCpUhypAnks1J7wjyeUw+N/lejYDkY7oud72UVAlUF797lm9n5LuZWC1qrHT6m5EvSMiuNG jxpVuTBHW0wsN8HzCJcdiitdDutL1fvGFXUh9mROzygaT0MFJ0qupsXNxXqK+ZmTgozHo9TOgSoi sZyrs69ypykKx5nqdDoBWDg+1lV36M2SlryHc/FnqG9Y7Ugqz3jpP2hlT1bG0U/azVI7mJbMTsMN +mxYC2HrjSM6Gf0islB02VF0APn2Lb2rzceDefqHsQEWz8QBadMUIVVmETzFtdbOIdX9BF9Sf6ZS Jo5fTVMUjY9kQYHJ09ZLMJ/nCgJSQWIhaLmxwQOoHUIooMVOmFgfZgsh9jOKxtEFhBodfQQB+RQv rKIsuinuAMAHno+QT563yA8HidVtnM+Qw0nv75maSdOOJO9v0u6INxHVFeLpmqhQVYXlJBSW5h0d H9ag9IxNfFZohXO6uSzVc+UNquO2chXFyYDA9/wWPJ0TZflgcMO+qIaK1d/xeBRk7u/gCfo3EDmz eVpRLE7dZnAcHGBQWvXgKfluBA7DNZ9WhFax0tywu2583XU77XKmChCn898Ct6BDfOMoHOtsaUBt TCxEggUrLIsndYNX8kHTBMPyJExPrXj8Ly0jhpgL2nQ3xFxhWbxxNbXSHYaRhh3iOE7c++AcYoY2 XCFaRYIFNFny0cviWE28eDv4TH1nxeInSYMTHVpnTIGFfIKUHb4mEjgkxLcYGx/wCZ3iu48pfvnZ wXj+TyAdKk+Z3QOvz61QVbxRWXR09xnOjAulBJmujm8CCVzTFKJVFFcCDWYj0wcupgYN91SIo8Nd ZGpsGR2rRsGP0p+4af00fE1QFScos0i/rzhpDZpSZmwM9Cce/zMOZ55TcdV5uXeFHE4qQgPlYVXM RqsQ9ViSWSCcTGn4Gb5CxHi3uMnk1tqXhP9Z1ue4Zrn3zPxZo0z+Mt1GxqY1yhMREEa34qo4yWSo EYOzjtm0oxiqM5MJCFRqQ6DKPZqkwDroF3jnKVQXnwSvSg9kSoy9qEyAHC9ZPYXi+oycVsThLElU DV33Gi/3B6iezryuAGZoZOnMhnMmhno9+comrGBFUBXZm6aa5CgF3W0WuBu8vRpvWGQTE5/wV2Uc ywf3I530bKqiUBxNAuTbzbEqpfVHQ6zbkoLfWLOpCgVVTNPXGIr5YSie7LaitglGOfTSz2VXTB0a m7SCf1DWdc0t/LHwRZFmAUEqk8w7DtbTPJEII0fIdeDxv8BPPKBAJ8u3RLeiYHwxGDdbFhahaEdK hUOQSG1JkfKleQqD8aolVUu9o229PMFyQLgB3RlUSdniS9D5/YHZ1pkQoO8Mf5F134WCKmL/SE17 kR3AeHG3qt45qlt4PBIR+8aNAyTGNNrmKYrGEWUhA67FKnTd/7FS8lqwgoaigc1TiBuXQAiF7Hy0 rXfiIVAgKdBz9iTTYc4TmTsi+q8vnApSLHzRr7UniCssnnMyr0HKWAlAxLrC45FKtB/lPPZP1rP2 Jf+skMjJhlR6SC2JkqASVOGzLKis4qIF66/iOC5uH33fnVV04gKxyU6e2AeZZ2lW4OxhqgmkljeC C8Go+KLAbGRJhJWGLKW5IcsJpObQJdsG3zPSrk9f8RPqzie1vC3OFfM4ybXb1YkI1kWgpMpKPlEQ UbKJCsPxLgpGLv2Ozk88/37ldUCptTnpKwB+Iv9d1CMLnRAthKJG9IricVGDYezig3p3dTf9BwgA 4PFoQflBLiFS/GapXtuRHQJVyCer9YrPzN4c8ZvrI6tyUhtFBztkcgoa1qisYaMtqd1wmdIJCXIk QHoTgVEB8wW4mS9bva4iA/QdheSNzMQh49cBTB3/4vM5I21tvcnHgyrdpbZUtsjxv5dcqjlT70G5 5BXhKWlxZYZ/tM0UekhWpqub9RLq2IfKKlJ7oraPj95vOX/QBpyOKmLn6yaL6QkHC6qvENXZfd1L j5YIO8SQV5lG7eGDFcgbwoIfE2jf71E5cvb6neMVcqWukv2OqZyU76smxY6E3QWQ82pmA3Cuk+2C 2jsOy0VRXCXf0WorsFM7a76J83qCRRpWIHuB7BONiQECvibCQ28cVeoKnTUIgeJgOIyatpRYT7CO xyNtlW+0ChgxUE72aQqZnGiQ9l2Ky0HWfB1/KKhiaJXlZ9T+A61yLtB8Rw8O+vkjdhHzbm/UM5uw Twi8SLjIoHX4YY7KF74oUhil4tMi43Ut90WCUlknZvDEHhWPR7KZ3/VxrHr6BNg8xXCVSrjKcJHD 2abzgKC3rHkC1MZIZfsPJiepez46ghxtTkoWYJ5O8rhZ1oMAPCDcFXysB9WDSj2+5hdUD2AcJHAA T0aFtJOTnsXJGGjkufH8X4pGzHUmROkuKnqHIoeE0rEp5OIqPk0nbuo2TbWyIsFpisEqwo13EYM5 2q4DsbgugJ9RqgMSg9y7vBBDTcjb401duAA5Ab4miKAysxbIjtnAxVTKmIvVqHrCRzwezdJ3lgc+ 23mhe91FYBVePIO7wEhl24V6hIjWLFGNRrMUShyqZNDHfkZPhs/urYAIsTyOKj9hP4guMy6nSQ41 GAXK8Zre+DdacB9U6uFguMxzSSzhBRYf/y8JHtBhM1/FkB0H5OwA1+a2yqsOd9qaLd2ziaBUNIN3 jFbRYlpqh3P0XktK5z/hzgeSrtAYQZies5MplJNh32vCovSAxvcEcaYCzKLWeTFLAXgUts05a6kN PB7kLcNXEwOlM2lnRd3VFJbHE1sHuzurTAQRymPNwoIL5bFQk9Y0/RGPTxOs5ejVzIZWvEGf4LdR VKRKwPN0Cl21S+SEvAW/JjJJIhh6EqqyZveLDpJnvDTOATHweJQFf3Pv8LW73v7mjhXHiU5Ru4CI uu0uSaWwGS0DoHINgCKgyiwGDDP3v7keZks6B16FWgW87YhGZ8UTRkk/1Oum2abczFlNxdcEqk+8 3zaF2TUoZ4F1nsKmk03i8Ui57+bA9CWl+wv6x5ongYNe70eqqjSvESANZli+cRS24mlwQhhECIaa Oq/boRrwS9zodM3MoYOW0N+lYCbNp3UtpkqYfUV5vMpLcskDaNtLB6TXLG1o+retwnxHLeC2dzGz 0bOj+RERjvW7aAAhMRASyp2vqExO9dYTaDJAUqWlL2cjJPvHBqjzMsn/FIfklgfnekeHYpyTfULZ EmneWbC7yhFwwRsc/pkDUIzLfmWFkt8U8RVViOrNB3WFoURD/HhbM/P5SMDgm+ECZaGf/eDq6BEe PIezql0IOeTj3B8BV9+PuW2UkX2yQgx5c3+bcccv3ApmD5MFmYdzRhEvdoL1xpelFM2+KsgsHuCb fgVkjCKuMEXdmMEkRFYEjM2x+AEBpPWG5t0l2CZuEp+tsGiurTiSC0G1YYorNZOrqDb6LNuEoHKK DTmV5dkNmJ8bcMD3ry1amgOIWCglghIn2mlnK1LHALAxy/bkk5BCXyBSXWYTH69ZSadCsaQNhdxj 8PmIp3+tXTHL+xxfE+ZhPllRhM5y8GjFelQQ0CJfEQA7ap2pw7BGvUsrKp0bZ/HNURE+LXNXivec DQmHbhJcJqymMhvTVfFCV51z2UsHvRjyhsHI8kEF4bPYmgKGc1Hx+b/Y+iyzF+6JVJ5TPiyekxFL SSgB7RoASiKbdRid6NSa9B7XKR9Xz026p7Q7etSAj7KSy0nQlkI1ZDQ86ZhRbwhbUCejpLTtpSNI opkFVx+0tM7JI5Q29Lb5fMDaz1edhpiO8wG0I/PJCnVXaGxedr6EM2KcOFmgPAmViOLt9MmKAnbL kus2d+X9uCujR7TRq6QNBxrGydB2KBFXpH4ZCaEd8ajU6psiBS3W8KDXbIPKLifXoFgTqPuZz0e8 2P3PEY/4YdzcBsj3AG8nFdI6r4ZWG8k7WOiPaGUlNDxsH4Z19CVIcLLyJ7WWdWhVxhDocZ1LM0GA ZZshJeUMKQ6VpyfLBeoseukgv9mETS9SFzrLXVxZcEMhpBe9Vz4fNUW//RYBlt0PmEywhtfVsFmk Ksl7x3WZOTU81d1F8CsRxEe9L6xq9oFvoojQRj5hHduiE51qelJDIqOefPkcXEg/HZwo86kUi5Oz 19DzHcTzPPlNywZFKHw+2IPVZ0p8YxYW+zNVMdCcdko9my3AyW6vHql0DVVZaM5lJJAgqBHLj6OZ H0d7/DjORJ3tAVxTpy5Ls4IenVHQVPlhT9lZemQR44uCShV5sQUcaRuEUDxZpxxzoavA5/+L3B8A SbNflagcmniiioEssLmLdx2Et6hB40UYlJqae1NG+BZDbsyhncjRa5/l3H6gxVLaZ6J6TwGNjahl ZBQboFXpbji0Os+BiyeWLwF4jS6eHCxzXmWoqHeyTj4fnFa3lYXn4CGxWrvBe2jjyTYBtKJclBSS oV7WY8mJZb1zGi+fqih43wKcr737HW2qgMmHJHwWegM+Qvx3ANg/Cw3wpnX7DvJ4ybGNZybKBfAF GwyCB1NjIypWPh+E7vlbDpgGCoB1+kyFkXuh3oGEWpk/L/MWrEA2JVUZ0MKzKkMOnTyXKsRQFruj zVSCyt+eFGfagBQsYa4TuKfShyjlkodGafbOv2gjwgNxaOg61U/iyY4+TvU6+PyffWTGUWCAPtj8 HJh5os1PmYM6vL7X3CEPXA/+tyzlLrd6yaGb59gyUWit31FAPFhxJxDVKdQGz50tImRDzQguzT9A 5rlz0Gj2ytH+w8YbEj4Yah6DV7YgfCnlEbjoBnae6LD+YzqR6aR7w9DQz3NRCXtTM48zBZdymylY Q1vtisBnm6mQBpqNkdbSHX1VnRuipTyI7TwZG1QJVFcHc5o6cOhuWvWqj27vHIATGH6CVaVhOcoA IbRihbH5fNBNXt8oDsByOr7ZpyrSLZc8xBbTik0bWj+yzLc6C6NFGXzyDRhG7OY9URWEcrSp6oxJ AW5B4L6hTkBQNYJGMHgQXIGDbRiqPu2dI0Qeuw8sM2hQpS/BkEBqkqvw+Uid9GLNGISyeLaeZRWy QROXVcnGSjsbuVsNK+80ikFeiP9VDBq6ei5hOLL5WOdvH+tzdPe+qXzQyzm5VBlMC87mqAwwPxi3 3qcaVujrOYVbrKv7oFwQfo8iErWpN40O9u+AHfSGlb62YBivF8FehiHOzpXPlg01pFwvAr3NaSD0 HFh7ol6h5GaVZ7xzdU6YIjwHFH0aayIU/UELcJj2iXujE4jIb4ouwS27yu6DIivAk7NIV6ny+cil 2fcgK6mbaKrnYA9L7Tg3QLR1wD68kQzFOPJ21tUAxdDmKqy1i/5RkyIsjk4MRfa3u6S50wnS05Q0 N4rHZGhB1P5iqZCt65t+sw8oiRbLHNQv3SCv6F5rhc//xVAz2z0kDVelJYcen7CWJcr6QhnJpCPu bNCfhCLBIF36JgwjdkHMWxL1sYmTwcmac57DBCqB0HFDoNDMIx0hKzSCfrjsLvdq2ktHwq7cfUXR VfHo6uRNAJZqYW0+H6Q35Z5YLLtzEqjeaNMVW30ycZ1lOed4EuXD6erd4YwFjr82XaHX5zKV7mUg mHVBMKgj94ZKnLw+YVQhmgNaO6iQYldSVNn6Xc1eOmrlmDXj0CDeDLL7NhVhndyDzwd34Y2wWBiV J+Y9s0K/T6Yjo5GEjpr72TKtu/L7aCaVVOkobnMVY9NV68sK3zk6Nv389eCiLsJkwTYmGwKlEIrw oDO9ypV+X+wN5tDx0y7DTddBDjrfT9Ret/qiffL5iKB94emSUcC+Bq/aZyvUbUEraLD2po0IoLdX RmvWnoRZkzsv5MDz08xTaGG57ujIRtjU07CXdHbwtUXSZgcfBNwfhKa3MlqTvXRU66OmFPgjGCBR w4ChFFjeEZNwli8/IAre/3GLO2HMRgnEJysExCzhz4a1v6Ai5Txthj5sfxUCm21pxUh1q/Hp9OJo kwWsx/nhifQ4V+sJT1iSOdEvan0doUJZFAvcUk3a9tIBigGTlWZiy1DIEp5au0vIGsI5etOIbuQ5 YbJt+AUszqHz525aWHV6Tli2NeihCNAdFNOnSd3kwPrzspDn5hbT6KiYfF6oZME90Ilu1JQ6gTew qnwHmEj5uqKvQI69P0k1yoC+YjgbwbFV4NDgH0dbkx8Q9Se+Jc4ROgDieecqKrjT2WaUy689qXRx zAekRbx+tbLnhKH/pzZWaWJjF4MWCGmFjYUDnnQa1PaYPwOSkyEA0NH+ciVhL8qE/p+In6BILW9w Nw9BDluoWPDTzk7n88GyKv/qBe6f+T1VUfROZi4qJs73g6qYt+rZUOJUQVffpyrUVhRZZKf9jF6/ YtCFfXfS55mkHZMp0YGMbNB96gpNrWmv/Bvwo0+JLk8XXT47u4ufcmZq8/n/ErvjTcZq/c5USCAt 0ppaV1B4XVTazOsiZHgSaabiWrsokVs9e45OtC0o7VJjBqgG+F5yA54Q9hyqlV5/PXkTJ9tUhRgZ esflKmVTeBWwmVpg1L4VMiS9aBAyXJAMZoXlGOCbfapCufOl+dluIgeZGkfJrOQomfMa2dLnwAf0 tgdHMSZSuYoJZ//VmVLNVPIGwkOuOvCahWTfOeT2uMFVwyXE7wmuwE1ftCLgbNlutrfOuc5KH35k Ph8Jlcyv7Qc5vR8g3u9URYE7jkjU1m5R5tx7dqwXIjMMKsNsSFMV80hd/qbd0SPR1ml2IsXOAlcU 1o8LEP4LJUnmKihQEp9W7J0jhD8naZle7l1VZ6ol+gybXz7/V/1qqYJzQqsrV5JDP1Bqj42Rpp/q Xb5yg8mzWRgD6LyNC5FjQ9BlEovup/fAjM9WW2eRSe2twH5NnK5CM3oIh7dLQTLceg4dQQkEOrGr JJXcExn569TuUaU9sAQ1fay7qjak5lp/ZioK2SGvgZqVH1Vo2vmikoM1jyqKzdtMRSH7Mkho3c9o +w+ox9EBhIE6wHmxIhMiNPLkXIq44SG7d3vnAMpAf8IqAHutLoGT6qICEao6c/P5yKDwH8U37ud0 +e75F1vQrLOq3TJDdy/e5aaqDdG32aHk0BfUpGDLEMWNo6P8J4THEEufGBRGO8yYcxqAzGb2Jsq8 qm+lq9kVWoPOxBh0s4rF5hMjT3TnyebDn90zP+C/2KqfrWq6j3e6QpgMLjUgfYz2Dgc9N+Ud8uc1 Z/Xi0xXbEVVNk25Bjl6VyaTIwvEDoWKuNl1kyWQaJ5/TP10RKrXnA4tQcNDZ6YLWFwbgAxl7n8uj Subl/DaZH/BfLAjQFzwp2D3cfzEJReosNVWJna6iKikk2ww4Cp2XmwwGLqFydyG9TfA+jn64gwt/ kkWWsNBELUtwtU7YGhofwPBeKarlL/2LdBDRpuK5JWGDYPC3Ccnl3uYH/CkU8EMi7s9cX8d7FLSz ItW7THm5yNayElal8ohKWKO7z1UOzUK7lvNb03lCV7ACoArRj5M7s86X00aOCbbXmebVHDeaAWLT SwdX4ZYNZks+qM6AnFwHfEdtNPALxfV/E2ee8FAPRY/aJytURM8MRt1sB/X3mrzeR8iqsH1pZl9Z EUqmSamymVJle5QqV2EzEBX2M+lQ7O9JlqH8dxNlRSut1o1z0+2loxYhDflWYbV8YarlSX92iDBF I3FlhdD2x9KYMRksb2d9YvfAOBSgIiaEtHcTFHLMC+4bOd3p2t2nK3YOVaFhWFVmfFVlyrkE8yJO Bto7o2bpfVPe5ITsBSbX12EVRXB9U7ARM+t9iXAZDtajkBgx6Uqdz0ch6T8ig+us1PZ1aIV1d5K5 yixOzYWVvBVlBtVVKCF/Dpo7V1HwPqsVY8oz2lydC3dAEZCHFv44YdxhzQJsX8G6RVXJAViqu4fu oUgTYJpCCUsOqru3CV8k1g7W4vNBSFrSP/fh2YcbLTSfrCh8Z3lk8OaULWamOi0nq5LdYKbiafuh FYfvkvLuLd1Rk9VxDO0NkCL6ISe4plry+TtZH8FZc5bF8FZ9yYL2BQaiiZc/jXiIh0S+wZgbuhlA 8LLctyc/IIgebloo8YFKwcNbwwpNRNmH6Us3H9kT06RNUBAt11wc+pKKSwMXUYPrZtj+5jtarx4S AlDSo2hOgYallt/Ar9rwS4Di63ZhZdkrR7kOFc8608MkkALC0pNu0kHPYA2Bi+h3XigU5DmrSn1m KgS600UU7RbndrGKymrf3m6cfS7k6giQFmFl3KW+qbnK0XIdKDrVAX4rXFSAfWvi4wAMNRNvRuxB pYVDUVaLau5DczSon5NEDlZeCDShfhw061voYNT+QcucuZ71uQtDI1GesiC/3RR6mj0fUmivNoBm VnyuogjeRARG0zxwdLoJKgENJwhIOWNuKe1CWvy8YidYc16wWir2yhEEUoAi+WQCGybHmbJnnWyK wKKQHxBkO338P2f7eGyhc+AlKutgqO8Px0CK+iqwTHfvxypeuzZgXHBn2AC1tzvqNz4R9YDjdCIF FZfVkD9NgVhPxyXQx8VAMrLj90TcSorCSPiEg9VFz8ZmeITaIp//E9fH2uCA9mB+piqCuHfm0E1x gvDb25Q8zqrq1drPFZY4ugZ/8RO13oRqWRydmrPO359qFk++7yH2BNISCGkgLd/7ioFTGJZfFIXu Kh7v6oPmKs1lMjGwgMyhoyjxrE9lFCJo5WEDhI6i+Gw4qGyfKibLmqqu4EHSehBw4SUYWIpeonxx NHK/GMiT8qJlCt1T5IQnt1miTkA28NzYqEtSW8fhMstfOtAUkOxCWRoQPwh6VWhBiMzlrDd+QFBG vgWHKhcI9PzHVWfKgbOolTTP/TWu4PXaBgKB/I/H7m090xVTUiV+MnVecbTpaidFP3klHJbONbjh kkWRGIDfEYyeK7AtOjzMx8Y+B+6ioigzCiV5Irn6yTkHz3nPkCGj4BDai5ZLkaOvXQbjLpPQ6NMV 1d0nz6yb1ABUy2L7FGbGevWwqHNiTuAxem4KCYOvoriUo8PWgFmj7jeuQnCglerswWQfuO1xhfbm 9leOiM5mm5l90D6coEMJQdo3n48YX+k7K6RAMsWeNFef1/iqWbzdL8GZ/3itfVd36zUUajVVr9FV AxBLel9DvC+OTjMBbI0mjjA1gu+xHJAnvDAnTixMolPj6BP9Glu1R82Z+CL1exUvnHuWEXw70drn NbI670gllx8kVQK3wSUa6XPj1RvN0OIFSC0MJjd1Xk7cEnJ7Q9KjuQroa1TVroxVp/uYjd6XOJtp A/aKOBOeOEwmM/AmmYwHWhq5EQbUZPA1b1OUxFmC6c/wQaC+tQsLqmclLTz9NkXGU+CM8JY7UTsQ PDZFr+FUw5nCpC95aQF3ebZFVBnbmwdGs4vvNZjCItIUrWFg7bFvhHDi5wVr5SKRCnr76bzPMJ6B 73G/7YjJzulrKNXQosLUjETtBQ5WN0b0bO7Q7fMaR2F+zQCjsMAObCY8TGyGXqMoLCISK+GZbjXQ VYbpwiD4NI3UkqG6xxl6jaEaWooqqu+R7mjn0ZoQFQK+CjySc8U1KdAnNnVR+J30LNAM4QT+vEZQ DREQ+zQpMy7fEG6SPiqEP3nTnZQsf14DqPYEBUWwoRNqtoWQl1P0Gj21rAMEeqU+RWNZERT5WXes /yreVn6NnZol5hA87euOzuECJHZmnkQTnScR3lDULTQ13OgEz8s83Xrh4CwqzInLqj6o2ILbjR1m tt9fAyc8XX0Zqb5JQChyRs3Sa+CE/YkI5pw6t/ledjOP2nNpNKckNbjhyKM2mKWpsKlOY9vM26WZ ICHNc7HRtOTcPysNdR5YHYYFOW6E2ydN8l4NZmlRT35D/sYGlVnWiVeVwZy98HmNmPx60ixxQs/R hlhIc/QaLTXxesDVcrv6EyBnl6sa03qBkP2vdhy9xkqco6YqQMl39DrnhkPboCMP0LL0lYdmDtg9 my0apLO+25LeN9htxHHAQZIDQJTMZge9pbjbTnz8eY2TcJxN322UqkINcHbiOKjtG53ZVQYz0w2e lmIAiVuXbBc/JLRMjDg8s+VimNRuaOlqnEyA9VcbpEz2gapg1kIiP7Mih2ksdFv8TVb8a4SEH2Nm 1QqqD8JwIBSmLlA73/d5jY+agXLuQkI1Z9aZbY5e65rYbHQyBMfQT+364DeK049KKd4Ufa1q2lHB 1rmUGeujzDhBqRmzE5cHeTJKwiDo5sas8KGtiAIMTcw08vNa08QcbRIkRe7ujSgresygSUKgWYYo ajBHTA00JVhHE3gEImMp0RPMEeHmA40MP7a3l52gGODEP+j+mnBHFB4ZHI96FTY67W/LbF0+q+uE SqTSpMbKOozGqex24Xg0wHotZeK32BJClX7HcjDexnlABCDisc9rHZNX2z2QVPadbGsUDwDeWX/N GkwnhfK1BNUDKzmhl1ttnjZF+USQjCZKSIQu6odGL5HTaLWo+3K29spLIE+SWmA6Daz0bbBvJbzv wXYS4yFXst81sCBQkAYJ7QlluHfOH7bcLF/LCQXgEzp5FPBO+fOtAlV/I5KiQensyJMKeaQ09wUi hPG2ORMWbTqOdnqf5B02RFWFAVCPRHfABUWLmU4AjTeLWRz8vFP+sAWkJ1SF4K8XwQ9dOHZd2tjr 8874w/m911e0BL6vOTsJUhNdclRsVt9CXfW1/GwiAEVnE+2zDVsWzBM7XYCU2W23v6jJ8xxOtHqi m0PpMjXKZA1MAozwQ1lewlj08073ww7obE7Rs16DsPvnoCGXGqufjwcB0xged3eZt59DrjwbLwq9 wV8gcc2ZydytzmGbDsKb+SI7o9i7K+No7Wv0mw7alalRkrGhQ5yYBp+MB51L+BoUdT2l8pmq3jjI 4LjhyqK9cVnV65dlrb6lEgcIbBh9j/x9RHUuy+GB5TvXj8cKD6h5dWNpzCisFFu2nKeWWehWoTea JypOYBk+ozVaMmRnE1J9QKXOPMkU5Jy8WPaVheGabqdlqngZnk/MdAdlJyqU0q1Sgi3d5fiYUI8L JsoF5RUTZIiBDNygNlFRCM48/hwY6wrstqso/6ihgrHhC+o9BkdZTwQ/oS40WjK3ExueRErBuGiZ KMAGhHvCIRCdHD/IB62d3nl+TdznRd9cH4RUHGlJFODMYfm80/waOpZeE3CtKuy87WH4O82PBwu1 Hpo1y4np9Bb6bAaZOuFT2+ZQ8M7ye47yVWXGw9HBd/As3pvK1ieaovIVj6hFM2dkLqjbmr9xlnxC FIk3ap6BV+ODge/6VhUOWpKfd4pfexoHxfD9OCMp6cVpiiJx2Cijez6nR+J9JEcarO1uPGU0LzBF oXhXsXKYYPN4BJsXhMmQtah5Xs7i1XrqgGAv2nPiBVwOYHa98fs0jSnbRyJZYE2qv7eB4d7U4ex8 PIgzb8IiInOHLmO9qe87vQ/rCf0jAF+9zFQb9+CSVZhVwSutWFQFj+Jx4ccqWSI+Wp1pFMeWwKMv A5ajmB0aJSc/B5isPzVwoTnf43HpjqwM4UIfVGk6GyGz+oe65eed2oc/ON2TnBJpEOLAy/lERTG5 3ByKYigqftKMU42o3VSag5jedDxGGJSzVnkibwKENdpEndRgnL8vsfy9EaYJ5gKBqp+ZGMVXF43l 4uL3BBNFn9UFjI4NPKGA+KjS+SQYI4rKnclQVP2GaxKZc1a2jGJysAhAgbSEhSqN2XNgRjPyEYVC neqWcUxulQJR+jg6P2btE7okqusCnj0FNcs9s2ZJ9YKWb8mp2BsHJ3khtgCYYhu4oJAUkS2CpnX+ vPP5GFmMr5AcqcbeyUPydzofZpcixHNYoen6YKF42Ws1TCKEkK1U8M7m48I0eSVpUnG8pTlYMoK3 ghwvL9j1sVbQMF0V0BZg8u8JxfrlO5mPwSIAYw17BaruHSf31J9c0bX5oenFbJ93Oh8vvVtVYVGl wkbUj6h3Ll8zEMa5jat3y0vPrhjUplV/IcPRfEW9R+XIgzhVJ6Scd/RgE7feCQ+oCHdi5y5plzRo kNGQaVXiVRxxbq8cRVGsqyT6GW7gaMQj7uigNWHmyvq8E/nYkslf6QsCcqRUPlFhVD5ksprc46lS +p4tA1rraaIYrGiioqg8SbKrG4ehPxwG8FfWmSbqfw949pHvneG/22iQCWlA5K8mwkFpl3cWHwsH mS5YpDJwULQJf4WijG/WzzuJj0dc/z6j0FvbtXp55Z3Ehyx608G3u38RFE68vpLT7Rz07ZLN7xy+ dintXXLMGh0PfBJOSO6b4wwEYxhENXj1LTA0MqHmKvjKDeudwud7T0k0hyKzvhPslySgSkF7JYrK KRipJp0fUqsWL2e+E/i4oFj0rSl5rY6+ODKsb+ZcAMvJ6vMUVcYNZF6ptGqjYS8gVLgA2sSCOtHH JgsCMPFJ/XHc5PCou4f50isHYRRZ7FTEtsEg5iUtghhgPfF5p+9hnvN3fQX4/5nKbdW9B+Xu5bC7 43l6v+SFsz+sOtXOqbKtXvdO3sNh3lQV3+J7jP1IgKP2lAz5BMBLTYRqnksPkUmhfzk6r+6RScfV d/aedQWXQLc2GPYQ3na2RvbnnbzXTAH2RuVYzGzz2TxFUTnnaSBy+nGDgvFQh8zr8OTCyzns79Q9 RsvK8syXdn350oKMBi1z2quNfeJzkazQT/pZ5DqPfJVvqC76eWfucZpIWSgk8KWM6raw0gB2kEUL IejPO3OPN07yeeLrwQQHMr02UVGRHGSsPEi+N4O1NL3bUqr37U7m0Qyh+U7cwx+ggiaIP3e0YBOK eefnJhkGdZAyZYuR8NITqhAZwbLVV1iq/LwT9xiVUxpITEeVYZnlTQRi/DN35msG6+miCDZTnQKN ons+RTE5Y82BvsE9n6qfT3lOn6aGlF/T9B6TW/0hs3h1R5umDQbHmWkoKS0IgpcurAEwbB3ARrSn XGuDmN93yp7vunO3EUu+Xbm+ooq86BRzXnV83hl7xFp4WEBYBiQE5y3WvRP2mIBTWoPdHcFRKG8l /96R/RRPsLpSnzyMyKdZYzIn1mhFqEoPmEUVQUwg4hB1iicdT9sPXt5FzKa9cIAloCUtfjYflOGV KSYYQGzl887W410zvoIn4FlGyX42vZP1uAKlNmweRXn05mgCwACcJHQ2h8lyvXP1bsUA1jT7jjZL AwzuBZRFb2hG7SSy6ILagelYohLSRRHK9sbRNDEYaKqUN1feP8dnRXuQ4kl8PNhy6XuaCuv204Px d55ec+hqIWRaPg70NWPo1GZ3orrs9ThNIS4lW52ARVWNtuVgNTdTpmJLgq1hN1VioHb6AK+4pHuC t6EXDrI7KlHOtu+gOt2EjhHP8hN8fd4Zet46eZApwPHl7eWnd3oeZkkIe2W+VeKsKpWTpJ4NYU+v M2Kh39l5XEwUwctsm9p4D6bdUSSgxNs5ojI5lMhiCuq+YGqXeakbk+Ij79y825cCHIgDOAddZbpd JnO784OUzzs1j2WV8RUQVK7wGzi9M/OYekstdzq+Pq+9HV9/FRbPqeqNzndiHn4niQVWacJqtBAO 7IYC6gZUD1ZZrVYdYwSVJimVXPNeXCCfd1Yep4lHU5G1eHGYEw48M3bqo3zeOXmMw+fXakKw11Fp t1l6D8Otzz4K0TDaczLAasRebJPBOyujW3H8nZHHNIJh0zYVvH1V8Coiy/O/Emu+id5FArPC9xEe x3SbrBdWwHTlnY/XpDwAE2gqLHJQW6rA5V2E687XDBZT9qhJ9YWzwiH0aNMUReHEsg6wMSz9bbJu oGd2tlZVAzveyHjvXLynG3zOi3FHj8JP4H3SEZoSwFeIFyiyOiEQUVEoiBWc5UlY4TsVz/LXxY6j Dyo9UXGC+2/h53yPwv9/AAYY/N0BGO8sPERNU6QWa5UDsEKggSp0xeGp+RoSvJPwbiXznA/KWqbY sJMthJObJlNlgbTdEqcF8ySXibN0UJe7pcykV46wc4SF7WKa8dsoi/AmTUzzIbr2eefg/RNesiAH RitNWGymojCc+uPnBC6e/xaKATO+3HVYVyrP7cThdwpeu/oZ1AX30VcU7BBHNREpzEySTGBjXocl gm1+sbz4Cz7vDDweUEzo0qTiVoJ/FinpA+kve5eoznzeGXhsa3337/DnQmvOJyoKxKcqT9u8iiiQ VG1JNUrWckntWXyiouL4lMzDkCqbRqs8rdpAZGA18yTTEEwSEIPmI/RyhSD9dcGi0sE7+84az4s3 hQ+Kns62SFta8Tt/3rl3fM/6HWQWhCTVF9Q78+7mdZWnAnmKjR49EnFD81OkzlUoeAc85u+h+Dl0 zJmnPm0pHNoQfdmEhhdUDrj1UsGxWnm3l3k13Ab9Gt6ZdwQvS5GlSZEFfQie35N2Gbw72vy8E++a 3DW/+5w4/kmStpmKISvUMCXe9H9F8MxC04EKxiWlRYiYBAQEK+qdeGcYN6ykntMdfesBQUdBN1L2 z8Yo0mMBEjPTYfXEaLePsKiz9U68I7KRqMwuejUl4BhetjQoCPmDlvPnnXbnJQ2hxLcuAQgieXb3 TrvDGTWtySLFNhxXc5gXD0QbtKTOghjVllRUHW9mdVxyuqMD6qAx1tB/Amry7Dyq4uaTvbAXnOH+ vPt48ruuVw5O81rkz1A1GBD1/KqJ9otIIevnnXTH4vT+OqIWepxPfvfOuWNMQdyhqkzkZ6wluwYq bIlljZDcXcbfKXdfGKhuW6/frbfPGi377DzeeueQ31UGdJluBtD6/sF/fbceeRnvnDsCdSnYRvVz DaqIo+SkPPicOZ93yt0/iHE18ID13Dd3eWfcNSOqQsliO2YlmT4n1DJqd8zKdn2fd8IdyRlqdDLT tNFx9SdbKZ0uoufEPb+EycPTzmWieZ1xulsirKrKO9/ucg+46mww7kEBPY1lzdY+73Q79iDGV1Ce EdtRQMnmKSqOI2OiOLUL43aF4uy2pNUdsgJeHO+8d7ZdMxtTiL+og8fRczxSxKSZf/4vwM1qniCN PQEi2ekG5dQ9/LxT7ThNi0ptTIj7tWaAAGNtFk6NzzvRrj1sqIs7IjnWZynEqxC1PflvKdjMT7BZ DPmLmN39nN5JdreFUKn966O9yfkFgbZpaJOB0FJsixJNBp1ngG5vSK7FFBXG0c49q6gxdeGgDA/i 1vJXA3nznWDHs81LdDwV9gnp4STt0xTF5DQ5AaznoqLpqMxIc7JNyUom8HC26eKYnOUUWBjf0aep QbEVZT5oruD03iaABxQwWBAyvhJOjBy3d24dj1MqwstevF178fMVa1eRxub8vFPrmhwdbJqIKUvU /vHqUwsD8s2gSeumCvBrvo+A41yXAUproq7S/kCPb0Pac/S6+FoneFwCaAIx5t500MbqIKX2datP aHXya4KYQDjfUaoPwmCcxFHOFUDW4PEgynxIP1UhKTv5Pk1hOI5FCF72LdKt4bSfJUgrinSIdW01 RXVx+YUX9DHvaEf42RqwxaR0SKrnxMx2NAFo22UEv8cDz9x64+Cq2/RiWOxvcjBQz/kryNY4W3ng 8QBYkPtXSACK0ey3vdnDaFxmq3O5vcAkLsZ0MOZ1Ec3Ojurv0biFJBkCC/OOtun2+SPQliA6E3pj lgJ28Pxp7wENYkvuqAaJr/mFqZlAufdBq6mdP2FoljYfj0DR7Tu3g6F42Q586lEkTt7g+ZfdBeWc w8kWkzG0OEvShuYsRUiVQZAOePv1jpoltN1h0jQoIokamgOoAdtr5FUnSuWrWoBAAt8TpCzcbblR wzW37MAnGPIRsXKyvYnHo5LvP3QEsIUaXsPmKQzEs3ygiyF60ObsDlNZzdEXUHLXTdejyrhqvlAU m3d0ZCbwan3S4bhC+1/ZjYx3ESCcGAkACC8WiEYWBeIsFqDLSAUaYMyqUODIjIpcHlvB8wH0abWv kCCD1XsuO69n9pC4WQVOaS4DBfGFH7NfkO4toHRsRzAU71EoPiUHDIjhHR+IGBYPwZnALhdqdYAn hV0AEha6OY6/yJtZcI9C8bKNTpY1JENnwn1PiPDW+Z4Rv3V+X3c4Zvpcfo73iL4pebHJVr4kCqSv yeApJcfSJYTJmqgwFBdtdScxEznakgLeSbXjc46fg5R0KDLJz7W0GU4MynIrFOd916P6uIxiyrAB ya7kHE7uSwLFz6h74vngwnsqmmYyCrzx3Xu/x+K1zukTRfc7gjMTX5lR5txu4NtDCqfFA4aK3l+o aHbydmJJE5Yb8MjiRBHWwHYV6K73kKpZrxxEBpRfI3CBRqtobDEe6HBhx9Y5yd3G88GSmt8FKDam 1rwTFdbIO8MBmNGyrNIrS3csq0x6bnBFNaDFWC3oMXzcVDZLu6NXCxY1Cjc7ivBxJkQSRV9c0416 YqjzXUnSqVf+pQDFQIBlFba1uKYm1PeLLPVqwQdEJJfyHZKDsD1Bc7Cpeg/Jke/QURVyX9lEekiP KqSKCEJHeg2QyzzPI7BKEgajmQkYRweJneMHxxQLKwMVhC0rD0YJAEf9sObsvifVXjm6+Mhuqe0O Bn4aI0mS+xwgeDwiBn8XVqBwO/PFqvQIQd7ledJYk6MCDQwRVNOE2IyMKQpoq7akIqyKZW3DSHhD zohVtV/4ZE+C6WDSm6vo04v6fxWilcBePxDyM134oiCOosNCa/RKa47Zg4bQuQoZaJ/fCI9HC2p/ bT2s67OoqlegehiVt6QYs/64pep01+zq/23DbThtQUVF8kXIZUFJ4I63SN4znJIn6cGrEhyDa4+F dWiZg4DXvKQ5SH3tEV6ly5jp/zVk7wW8dcmRlonHowX13RTOQC9OtACMah7F5ZP9nXP9ODZ6teUQ 8lmbw+lgP6aIc0RV8qkVtc0gbV+DNMi3AV8PyVq4M5UKsVtVVtY4R69chbdzXBIP8xEF5ll2l4no Jw6CsZaGe4EXYM54PAjMLwGWlD2YBsDj3KYpCsxpnT4aG/uSta2uyHMCxWRW2XWDLylKflwiV8A5 hLjnaOtpnuUIg9Am7dGTyHDt0RbxZ1NWDxBfF1zDcYzviUidEuCmNB2wDD9D4fg8abUZUWCe/oas CEONGs1TgxohgJzmCoXahKRujOkAqEzOjjgusEK1iYpK5FnclkbLI4yKUoAy6GeazjqCLlaDqzV4 w2weE+1TinURzFsB8i/4mgDE2lg1gBo7h6Zos8K5Rt4m53/w+J89F575QP22u5xCzEqXYoFBC7AP SvUS1LrGCoX8Hu66KCqXbNGJxauMHAcBxoPInnMIwuQwCWXQpxUWKqp78Nf8AXLWAwNUhfA1v0JW CmeJgyp1i+RXln3HxuPR4fQPA28oIrjTFMXknQXN0YuLO43WXXWmjOQ94TFcumhEMfmUlsoybxOO djhBi2wyFgB2vEEOmNAw3E8o+8IWe/rhdMLapjcOdh2tCHuegtp3i61BhEvs2J6JLxnPR8tp/DNR JwKb+ZLORxSTj8VG8E7LCVOlbDuemurj3HXd6+MjisnXtKa5/Ajn40e46gKvZak+vva5wKsZ5aC7 z+rblXMnmpVfE+y6rbKB3HKagzF4Nk11hMfE40H/buevQ5y58HqWUwgdJ7an1uWd80LLJM4S6Od2 iFcYFGmWIuh4kQnvtqBg36AAOE1AGDMlDICBW9Y1PqE6TtETmE10Rww4jhQTX/NL8y6vQmD0Klw1 vOqqxWvMhEcYjC+fJcbdMFjpVzNkROXxJp3ttvollU0/wceuTuckOEKzFJXHp07lJyJgfpfJUjw/ wonjWFs5VxR4lxI7Qtd+tPNbQOHfZwkJNb4mCpyIg+5bqplocQpOt0B1kE5h6nj+v6g9dfKCr37B CENxxHroIuxbL1i32ZKmi2Vm+q9wmv4IxXsSD4Gjk8rQG8w0Bu6NauTye1ECjAIVlIjy3XSMMEcY ig8yioeIxSjaSqME+iqyi8KNsPABQcWgfgNW0ECZe97DKY7FCbCfhlKBulo3lftSiGsgvGBVL0GN uEIuLlkRBoqjzRTQPHnQg/dM1YkwddkRJ42L8RwqBdwwt0/QMR6WyCsLBqVpAO1T7agNHzuezDsX PB+VVtLXRKEWu9YFi82wRg7EJWk5apuPRqYf2+abBVzW6rAmVFmZv4sZnm3W8x29MTVwOyB4hCQG kBgKSEvlddep4XT7d6QHzxCvQstBeI/7IEjdrGr448qpeDyYpfat1begPb5uDjyjUJx4SnhDeWp3 7vJiqd1iGPS/zLIKT/HOTwqICCJIrWJqfeVR60tzUN6BtYKEQim9Z8+2QyBBbliWR6pyYNRy8UVR L4HKKrT90aB5Oh/KY5akbzweHVD/BgUNvnTL+5wzCsV5nozGpIjXXaL4J0+o8mAP+3RVwxlzOQXr cVXxdkXtkQLDf4g5MCq/Q4EoKgiQf6KNIRhxDuuh0MMMi+TAaKZJzKAGThTQaDItqec+xeN/ojB+ RADaAKnZPEXBeGZBs4tiToZif+TW0vYUuPVtF96MS+TCsta27+iNTjrJAiAPccOF330qeAKfC6Ds vb5cZJdeOJil1sWQqhoc03NiJpXr0ErF4xGzxU9xBt6ZvNB5z/EZReO10oxqJWMAzcQo2uZpOWuj 4KM0T6HCodBPM6uVwNHW04ZDCfXioQ594iW1+86VCsN5IK8K7R+sIzzsjYN9p67UNE9GjzL7Odc7 YxHwKfF40Om8wbg8LtHpXI5VmXF9fFFQzNnmI8nWE1Fmyd3iJ6wIAz/NCKvi51MWM5ijI8ihjVGq oNEDRCnp9SxYjCxAMcBm9PiJ9p4zisWrZLImNTM5MCiAczKZFD8wwsXjEX/6lnx5AQD89QX4nRFa hWr5nWwcMySuazuOdXbHsSawFDRPUXncpHvn3vOO3jiHx0IF9QHR+PnUrFg0kfaGsvl++uaT/OkZ RePS7oVZIQfCelkpWGPJMfbcE3j8L3EVcTZxA69bKpgxj1O46LFuON66h+P0GNA0XVDPDIUO1T2w paLRpumcS61Sp5eE/D2lgnw24AlJJnyWMvbhbSJsvXHQv1tyQ6h3UIHupNJVfZe1+fh/gYih1E2p QpumCK0CHg4gKtkpZXhPJyTIYAkwDEoLapqicFxCmKCJzTva4VTglLcKiXdn2Z+EvnlUAHdrnBeF +874LQTazygcbzRwIdIT0ROSHBOphUcFjqdVc8XzEfzpu/REUHu5zI0Z8jgbgav0oZb60/ZT/IQ9 6aG7Lr/tYm0VATAICbfRC77gbs6Mgm87KWMB8IMRe0Z/ESaiICfceVr2xr/tO/ygPhjkEKxI5S+7 4/EI11O+0jsQZCd0BDVNK4rF05wSPPbgqfTi7WAeHr7tuk3TivAqo5jyOtXENNq2G9BdT0MVlYxC v5AYCVf0QOcBKlOmGCLVghXiVRYid5x4PmiWJlTdBBKrFY9Hmkbfyirnu9G389BphWVxoEzO4VSr cxHytMPpTOC6vWD8zZqlEK8yrBxen9HL4ieuOSfGJND3BCBNliXAMUKnHq2zdanTsF3j10RV8S0O PkMnKPoN9aAgz5lk+10Lno+Eev7h4md2D+5iiiJx4tMBnLbIaZR8+a5nf7gHCWC6Nk0hXEXQ3V2b kcp45TZy8SF3QvU5YMRQ1DTRVtwgjRZ3pT+B01lb+JqoPEeoYWI1szn6E5jqSiEPqD3z8b8SFqHr z/pel4awoji8U3umMblgEROnkAVOq4wrj7X9CF8halxKkEsERY0O6pkNlSgiMJCKUbSeew9Qv01A zSPoSyDdioviLPZK2LfjLmDxvSIEKZKrHZCrjQJxdw000DhK2ig4e+S0okCcEWZf2YSwcExh6aJO wNaLFVQKDQ8ROa2QySl6PTH9PnpzE8X2uVg0rOhqdyY1oM+zsILQfT0pC4vb+KKgRke8eNqcsYTf x9xZzlGaJe0LUufEJ0Th03dJBZXuBf6oTVUUjPM1ISzr8KcyhsGfYDptEn4ZCaZNVaiqYiZ4wvqW B+sL1doORvWm9BNcT6WQhSV1vrIiXUMY4Z6BxAPhiwJYz6JuAdI6GywHPuueRZFzSvA9I5zYbbUQ 2AJWGWiaNlEhdpzWhHvOZkW68/fYRJ3QsPqawvzZRIXRuIp08DW84z3JgScgOxjqPQmFFqyprOov AhE4AjgCI+vGC2VVKN+XSrGhWlWyIBKhXEhKDY8HN97+XlCAicF21+cpJHTKmoWMUdboeu+Op7O6 5pasis9TCB7vpvsk7i9Hm6cG0VoIYdBVcZ/YUHuPX8Ak74carI5UQY6FL4riJ+NR25DkYwN1804h DGCU8HhwmG9fUKwtQKdw7av7tEL4OMtVaZYrq1KuTg+YP1ZVIcZL2uNxfVzC7EZb4Wg7b1Ti6+iH gHI/NPlZ9c1i5C+Szr0VTJIZXzmgI8hLqpMKxCGp5HROd2YxcBHD4wGyYHwTztHxO8llvisqLI8v Cj/R2tqsNUrxJmd2MYyzHlz4acUK5MLO77HvaCuqw3eZRvaAqmyw+tRAKNyBgInRTsqjKApGrygi x8UCfVFBpK/H94T7RdIVOCsej1bUPz1z3GapXpDYjkJyBtK9zukTZUQ8rSiXXDvHq+uM7pDQuU1f VAUDjjZRaZ4oDKkbt96ZNMlnnvWEKzJhMWVQhKy0Ijf0HQXljUKsk8yNRAoRgycyjqe23uTjQaWu /nNE4fIsF3e434NygOloGaVVxAyvmuJorchbf1xdJbuifaiuYpL2iW0EjbbzGvyJEZWTJDHOz8eF dEJF3I/UNK74efzOozXCDlHkkorONK3m4AXyJcgvldr3e1RuKfuDIi//8qV2iCIXQFNikJTIqv1S zpMfUdBXMRTGDsPybaT8se54GS4FLiS5EkUOPOKUZTyqZZNmlmV5aaXQ/hjfE8wTJMhpr+SDYBgb FV/Wx88OxON/sjkZcWGVPxjyHdI5F2OD6tJPA86JNk+Z7qlCq1DsgPP0O1oFx1G7oxd+xyyZLmso /JbFJjDBT4lbDhuPtFc7ylGmxBcFlCmdUKB82KAT6uRFdDKDvGrF45F45vy+8yogRGM56nCHGPJK Fb0+HNYzKedCkksnaEYWQKsayWWHGHKB7c/NM+54idTn+gSKWZprjU1CgOmQuJwlTDfLW6pDcIKv +U07E0qSHKDoLn122DB1wVXmxvMRSMzBdMx2JvS5xl1PodThpA1Zdk/ccXbJ9QAqjn6qjZwhTlOI VummuKaEb+fLU0xniW4QpahrtBrgAar8QhgVpOofNA9cv6DbC0dOSWwgqLDJgasJSs7i5Z/za+Hx SMDvHk+dVYP1A4iIT1MEV6Fg0QCc36apUQ9bziR9OrPsbBAjA+0IrmIKfrNbv6U/5GAUG0+iQuF/ NAvPzyKPV7BoBnrC2Kawd1eW1/TGkUoWhZ9kTDavMRna/OSkUV4Ij/+JpVNZD+Cn5qXf/WtI3qlM YaSpNm05oUFkziRnf3DXdX7S74CVYfCesV3Y6PzMyHxxP0BW+8xZLyYABX4J1TGwFExhlJ5x+J4g 0Myq1QnCuq+A38DBp6p3G3g8qtV9O7ghb5hPm3OHFXIy8Obew6ZpeuUJ0Vo2PicQcKaTtf8IyNd0 1OW4y2mi+9LQF4StFDCUIsOQMwUu/Q+4ng5X2VMvHBkmJSV20wdddsgjJZt58lI8HvGA/pEuOF+7 oWhnsxRF45R4gBFZsU1XaIEiZ+Vc/WxCNGSbLgKrTKNzGryHo0sXbCDhZ1KprmH6JeBHcB2AC3Te lLU52QT4miDEpDcCAnkflLTAVUg33QlH8Hgk4PePqi/yK3JkbJ4EEHr93kEYNMMzJcKtmw18TSNP S4RPRGgwDPoi/ZYJU+LLxwfWA8Qe+cETNDWmi9DJQsRV0YmqcpZcMgTa9tKBmVveigeEsWdNxvrA aBATnjbOz8OPiKCsDqoTskwKS898xdROEvEwM6JuoHtksJVCp0NQXIA0WSb+n/4Iy9ua+Y4OxwBH hiwf1CXOTNJLLsNwEqIYUHssY10OLCtv/KaItKjVMLsPag2j2KWJO9/N5yMdg29FP9Rbf3a7woe0 DH9/Dvf5WVD1OlGXOqxsVx0DfBZ4dgGRnEIYuZrnjYRcG522eP59MDiYFCdaBYoQRCPs0qkvhran qSGzeoBvikBjPKgSjUsTJHQYjZyfvI7EFsyYY/EDAlTrjRPE6j/H6gTIw2crKpyzP3vi5e2Ig7aL QxHTzA5FnHuavj0+6tdmVTWVMY6arYEm8RxIQumqOFTdpJ4xaEGbxsrwqrGMT4YJKYrQO3VZlxA/ a3qscLKMJHW/CtQBno/aev8UzxHozdaup0uKYnRDRQ1rouMI8+L5OZiL8r8GHtddWlH1fMjp7dz+ 7Y52bm2AAiaaUQAWQchnieQC2H2GhzQqjq7cXjIZsfimoB9Djb+UVPFMtoTP2XXOCwusRubzEWf/ W/I3G+OmPksrrJ8X7r5GKZpNN7NLOAO9yk4tRhV2yocFdMXokz5NNtp9uNAlbo1ouzYg/Ms8+pzv 5IAAaQw4vslllJS2vfSvsMSuxnpPfiXi5h0KHIaejzyE79JiGXnCCTs/R3xYQ0cBkBYsNlnnpHXF sUxnDSATMzoAPllRxD6tOGyCWhy923Biw7O5GitUg4ZW5CsksgIrdfQgC2JHPFhX+qZISWtwA5J2 xsFKLzMV02armc//1W+oXFqIH/4vY1ea48xuJP/rLA2D+3L/iw0jIpOl9lT2Zw8wfIZfSdUUl1xi ARzCJytUYclk6I0ybbIm8VlsYvVRTEurUCXV9mFYSTeh+6WqAkenx87zPEHKYOhlwL7koEBXbEjF ou7p6XIhk5nfFFHTiU+Eey2Hbsi7czcmtlbOndv4fBA8/Fdz5vxM+/HMzSmM3akLUSUrLX7sspJe mW25LQdlXcx8MRJjMeoCCnh39LAURTMEbwzesUkYPJxZOwt7IiGETZVjFOVElWKRciY3K99B2LsT 76atFnItfD7YhDd0oKQ5e6UZl4rPVayPqKre9LlCFGRz1dkJZgRft9fTcwoL6jqqeh7PeAOt8w1s JsqpshdzxaEfFVVvy3q4etXfOSpXyamyNh80WefakNY04kY+/6/mKMOMCgfd6gWGHHp6EhoDnTb3 Pq0iONIJTqJkzJxpXCWvyhDl0izbUSazr/KfoK89T4keJPQ39O9kILkH+3a7ekhaaAPEL/pTI7HO 7YNy5zk9K0xj8vnovPLDnbUYmAu2i8LLoa0ns2KgGG7zoRmNCGjFZFOVN+F6mqoI6mLWUkmq7Rpt qiZ81Tea20gMUfBJiloHw65dxV8w5T/CFXNs60lb5hOODh9UjTm54RTvY5XK5yNl4FuOEf2YBqj3 sIqdPSVbPg10hxS6mCB36S25cBRyExUacmjtaZBFh7Jw9JgBrNaJm6ZLB8tKpoTXU+sn0+Pb5qo0 e+eoo0URjSwRZd3LLKwnKOzzYK+Dz0fNZD/YRWY8236kr7mKSutM4UaT/H2VBoKXQqlLoLlatJTQ sgpDd8qN1CJVg2peNVTlPjMFLHpHRnK2daFjGA40Cm8hogMRzl2ERrNXjjYg1tNU6VgFbvxx+A1Y 9sJdWvl8tAF9WfHtMq11r8lgaO+5CBfe9NjmTKWZu80UIXQqX82ess9USAa9q6rf0etXszZg9DJW 1bmtaY3J4jqsDVD+o8u3FbBoQsMviqAcFG4z/badHWpwrp7RtKrG5vORM/ov93gkqrhQfKoiDXMh qzeNZjRVggo1LujsiviN/542YIx6EZEopXbHW2CfA8K01CQjME8gokyDe4qWooDvUKo+7Z2jDShw tQwWnmIfhI4EXzwfxOej1tb6zp2z8XLuXIWcUBzTmCvHUm0VHRCGYkkb7mU2J6fl0OTTmlvVIOa2 GxSz7wVTtUnfpXPN7kFhsrRZJDxhVuYqviU/lbFCm0+q356nGS6kGy5AcbQlEwTUm0Yn+43ZKTAs 5P8TL4QyLZMMkL6dyTeYDElMSgfXpGbjMM5jDqw+oVr/KLP5aKEVbnVcr3RERU4yi7JBpp5psDa0 XCm40MWY3xRdg/jrGq4jGyy2Otft0spKlc9HCiSXVMQzq9Gj/jrE5cDuE8VG2lPRVkpEEGZDxDOO VR25P0EettkKK+5S6G7EithoS+ts4zmo+00C1jIF6rQAykVRAmkz9NcMK9SbvXTk6UW9iKQUOtnJ WMGpKHINPBEpn4/Mm/dXJApY3Xygnzl0/ISRKsIr04qgu5CJSuXz82uRQfC3320YBu3DUmZlgxx9 G+ZFlCM1qCFb1jrxZ4l2cZAT/kFB8KFhTXvpv7RwE3iANmhlna1Uimh9KCWHrp+1fhOPM/W387wK 8Dn0/aTvMhLm5NSZbqLKsL0xb0vE7UCLc7ZC408TRBhDvRyOjhkC53QmacAnEgllvyANLrrq0dbD e17NXjpq51DfBr8gBupLKsMnKQN3yqh8PlJUvJrdeG6CIlgfm/m47p5Z5FuqKKBLXq3uDhLkkGRS ZU/e5irEqKs/0dFF8NFLySc/mBufjArZyaM29RNyZV6UuLwhBuvKbuwP5tD+U7fh+f2KDzrg5zkW rd7XJ58PiqPlO3CA4MFqt5GaY/dPRqPImG0XgqJvtdG1DA/aoBtopIcc2H9iXSllNm5tv9zac36c /w3mHaz2QcmAeEaS2pE1oyhSWr6gPTbd+E1RtY8o7MRsp6HjwDZFK2gbEfvTz0XOD4hMZfPXZOE0 pouoT1aIimGUNXm8SOS8t2KTdY4OuQ5QRvhuwljl3I4q0T84OuUotXH+woECVoeZgfrzCenu+U5E CuiH+mVINh2/KUIysNpXug3dkGUnmktLX5n1phHnaH0dWdiEMz/NwtAEFEaS4P03j0hBRlbwfvL9 Vj17plcug/fABRR/QRi89xPIJDCXKCa8ZhpqvWbK+G7mh7vcdUWHgRzbgFJuuaDrwyY9dCtYaRiw MmDzr63JD/hXh6JZ2PAlAZtDI1Bz/aL3iOpXwzzUgY5xTfgKSR+fqxgeI3zjlLjGcG4n4FZpL9wa lOTa59Mm9akBK0YjBQujUB2ofBVlQidQnERUd6s+qNSQ8IEUAjhHI5//l5I3OYmop0BD4M5VFL03 pc9pWAELPRdPCssYFyIDVKrNVayxKEt1wp1tdHbkmYXSsB6g5b1P0ialjgb7ZwBSoVl75abWtFeO sB8IR2ch6ZYDp+ocV0M7EEBfPh9hQu/RzhUEkta6qp059APtZpNmpSoKwTo2bUoLXbU+99zLgSHo Pa7WyPWODk475+8J30tlUrgWQlUsK9oMbPTncBd7IyfbXIU4mUbdBCPepnN3CuN4DlUVNVHP5fNR /nz1SvBvQ3eWulc+V6ElaJE8Vy13rsxuFvJcZLjRUo7IOybQgSfoNSOCmsEdba7OH5TXGThX5yhO ar4C71+g3ZfPC9/oquEe4vcEtyDZkbyZbVBVZp+MZxkkO/P54LCaN8uR0OLZ+HuVZ67eI3dcg5nV vukVrJOCmLB3MTouATPEB2uuYkLp1rYq/Y4eiyYY9Uh7qg4A+7PYSCADLFB9C1TmUaMkTM3fOQL6 M8mpJODilLJ11TK1hqiS3fl8BC3yEhYRNtT1APjR5ip0B5W7+sjDORGd3Tl58pJ7JQUFxLXag7E9 qIkLL60vjrYj0I1q5NFA9+2cV0BJYD7RlehYye2SkQzAnkN/0ExQA5VZbbBy+9kdrAWp3B4YhCJq /5bOhaDKOgHNnan3qL1IVODECMlXFbSk/WAve9lptVhl10xFUfsydWGCHmx0/m2aFVGCQWmhgmdQ WtzTJZGUtB7ae7d3jnSoOFWqjzaXx4bR38hV4iVz8/l/KS7KwJ4gpf6sqhDGTglPBMq3zjBdTHDt 5J6zrTkvIoc+oe7lUYWr5Wi5MwJD+PhQEPHMYReGO6ET9gP2N3QNnk5qr/7S0Rakrj5wpRjQOWef a4PDzqv3JGqZHxDZO36nOFntt3JRtTn0CyWPBt1gI8D3TUiQZEzGY0jf+vbpCuN2K8uo5KLRyzIo XaKaz7g9Ff4mFBvGQVvg26Cul+lRqUsfWIbCs6RRwoTlUTTUzFw+1fOa7DyD3sMP+Ff2XHSi/sxx kf85MA1FWVUu4tlrWONycmup22pYEO9KPlkhpF2/ULfJ6s9kLdR1Bn0vKXOGeqjqMpURxtoKUq4q Fapf/Kao9dy/pZlRRlUDq7UxWZQ9e3vyA6LIvX9fhtBPmTs9p1YUujM7QoF3Wv48ZNgrciBPfVSx JtXImBKG7qFDjb9earrjU2sAIIfUm3ProEtMsQ7UjlDFwpyt5vjRDNSXXjoSR8ds9c1Gfd/eqF+9 700wceuojwYGouZz+nAlkO6Or2JyaCE6ZBIm394hgkn1IhZDShaxQJD3pRWhZVoz2znlhhxtshbc aNugvXHFvbjInIfiXUMxWdi18vBvur30H8w3wgg5UJuFS2vMQcQB7HUmPyDIdG6fQgL9E+7BN3oP fEQR9UuvcjSbrCXeDSB+mQV7TdZ0/eH8h5GoVWZ6u6NXZsrZLSux2HAuq/OnVJ3xkCZHLQto23wt 1Rjo8ZuCfVjID2SYpUE4rDND+tLzZZ3PRzFp/i424Kro6UakoZPoSqKX1GLI5NocWQQ902HGj2Z5 kfRRUU9VtWRZy2m84NE5UG4iwq+vVpsKM8S3nu8G+J/q+wbDUt099BLtVFzIldJeuTavu0O1l/+l 7bX4/D8pcLwOzy7cOMZ8ssLC+6zCuxsMa+Cmssmq0kynx3hh8MAjK7QTFfE7F2HXOGqyYBi7E72t 6VIAqXQuLGntNjTqKsIr639lAfwCP1F8E2HcS24FMCapgvWl8+lMGs7ZNfkBkf/jr2zn/H3QYLuh Vuwpunhm9e2J4VzJUSBjk8TExFCeDwhLA1NRhCE06quVnUCN1q6fYOU0oK17Q7jqndUJeHtD1RnC yO4dVpa98l+5Tu70qkU7ynrQkO3jHy9kQ2Aq+r0J2f2CkAM5Wj5VUd0dIF7MT3Uj7VKyT5UFqIUl P5qydn3UXz2Kav0HjY4tqmDMoF4KakBqxRAjGXWgSWW/TAsMKfArygpcRTOxQai3s/JHe/ScLTFE GM+vRMM+9BVN7RYcLOLPQCXfyKFFMXyVWW265uxwlvcsenZr2UPgz7PoFnNRTQ3N7I/3Y3+cGWmh wo3o/WSjhJWgslJIcDzviYVlmLXkrxzhQMSGqzKrBfa4qOjXzq3LkDSVxQ/4X9QacbxDOPROVRS/ V/kf9+Fs1EZVMUFmpjlpIhTvDpmJ3UW7zDKVImrUr5w7NOKgKICFdT7WyU6NBGyUzvq4WEjEPHrl 4LwicZCeMTZYeRSIcxIuM5BYobuotsa9CAct154tGMqns03Y5lo3wkrzx8VP0rAGdIVssC7C2F5U pRmE53f0TCeBygwGHHysQBkgFPCsL5DfF3JNaexJeKhWe+cgZiCFsErbg4PqDec36Em2a63y+WBR XU0dhlLnv43y9OpDg9HWBX/cbmpUKfTOqRqk6kvuC/oSugYDh9GLc0f/6Y42VQPylq3xtOpAMG1L ClFKyRRgKNgVjplRnhOajOKlgY+A0B5qPufw4g6ESEDuUn/JjR8Q1JLL7XzRWwFgyPE1W6GzEVI5 oIpcunGX4YrOiTUiRqMdKss2W1Ho3nUC9WbuYl5hAvID1Yu9qbYHrjv9D2mEjFJihaoZL0PX4J3J XjrStGoyNZK3ETCfP0Sd7qGKXc8oOIRuo2V+IxsyNuDJyscTNIQKjihVjtHLtcTIBpUBaqYYmQnV ue7bMELN1AvHKnd0POTMsIVkiAXy80l/FYbxDoJmMDmFNlc72ytHbUJVRslTrTU7yHY12ITz7Oqb z/8LOipK3fkj904+VZ/X8KpjpjCxTWyTrn800b0yZR1J2hf+kTP1Glx1QLFUdZdSnEZH+J3oDeW5 LGf7AYl2Vt2h2T3R5MZx6Pw4mka/hlYdBO0sbHvxQbOEVlE1lTQ8/TJHHWAqXX8mW4RNjGIdgYGf 16iqGy/k7HR31h51LyfGbe55si4TK0lcS8EMGYdwZrOjyfPW2k9OszaldsFbmtCVY8p8th8OGqBU oIZthhjsdH9eI6qODo60+XkBchCsbyPwU7iw8PTbFJ3lnsvXFEEAcU5G6Zii11DqPNRJTC3VqFxo SExzNCokFJkXBtE+mKLXQAqLqJuIel53tOjg/B/SmEVJwnTCCLcdQ5uGVtuAuVjUOdk8fQ2juoOI ZqNAGgdVjc8mToL+nyzy8xpD9acToRmqFHClAANm6DWC6nZHGrNAikPN7HoquYNWAQXxVjP0Gj91 K+GAJy/Rxn1FG8/r4yLjmXyO7g6oTdkKzAt1EyeojXeGUHT6vEZPXbIAqOMJog2qdJFOamVjDt+3 zsn9Gjxxy9wpKhZnNonxY45eY6eOIgImpuzi1IjJOEtw4+YskryzM25eIyf8QiI7tGwqVkx9FA6s WaCmR4mKdS7CUUiWT23L3nCzGTwv/5S6ca+BEw4j9JVBXe0+GGTo7FiWw9iCfw2bujkrPIcRAKEo bmuOXoMmbE+87qj90dxt7ul7IpDtLqydfDq60QVzNA2y56WphyoPDCJWz0Z0OSsEfLPgjRWaRBUX YCPOX23SJBPWYI4Wc5WTnyQfVGRZ1BHjBlrl8xotdfOU0xwRuXY228IO0CS9xko45rPUiN1O5UxS cdUqg1c1VaOMnPsaKXVzS0A+1/cdvZN8omaImzEEPxEUkjyc2ANutpsiKagv+W5jYvcaJnW5hVO8 Y3JAZsQMZUCIjhpDuBU+r1ESjjPTkdVCwoUO1Xubo9cICUc2yiWQpnCN600egLxUZt8efQOEI03i 8Mg2XoMBqdYDpDr3/iroW+KEhCATVciwkAB8qThWGovcFnuTGf8aH3WXpiBi0waBOM5xQZFX6q1+ XqOjbqo1nBLWXzqcfKcf2q9VzW6woJM79msZJkcQtkYo/iQRJhlnQiYuOLSl/FZ0yNhoB9I5kk+Y hbMDrOU1inRBIWAG1jLMXessF0u8ul74fY4Wy75jUYlprGp63nDFkhMWJI8/r/XM/uhS2DqaQCMQ PIWvfC1mYu2yawyQrx/alJJQaSDl6lgEwC8l3hFFRxL5bNYP5ngPpHMwnxM28aadw/HWgyv/BACU Nr3odIJsPq+FTPwWJIhkGFJyMLzmpiRIti/8vFYxuznx3jkCchIdjeIr6Z32x2s70cGwXzbppdJU OQdzmjYVVMSQjOZJkoz8dX30/TY3iOp0eGqrlGWX24bGLrR0Osigt7m+leu+h9rJWnq90jaMAwu+ BUxnlpkpEPdO+utGs+K04P0AJRiw4rB5ioJtmpOPVIpvuS7VJTJJu3Fx0VHaySlH0TyJxk0YkY+O QZg01KMmKthx8DPE2V1otlQg6NIq56lKuHnolYPTm/rWqLD6oBsOMtBLaot7fd4pfzi99/o6mXCh zL084H4n/OGKA4p+pJYc1iKxHsVKBIXyaOpjeFU8CrmFmgZ0M9/RkWUZDk+NyiZnA+MgLMzb0Jid JFZjh1tWwqLn553uhwunqXPQpg9C7p8l69JCm48H0dK4ISWTYRiQlebh0jvZD/ESkzdKt7n0fnVQ S07VharmekAtwRlujc6+Rr+j7ztooM7JoLJDu7d1eRTg0FsM6dXvlNBnsjd+33bmqZbJ9ZMbFTPc ug2zD3vmzzvVjwfUjSp1mBHzeOfpPfbG6VufKgl1Gctyi4JSm60nJO9+Pr0H39m4ICib7ztaCndS gDqHGfKk8784PgHKgrOJm5tvl2XaG0fHk8hFjJ0a/Y5U4QV0jRWtc+aiEhdMVM7ft10Gz3zMfQ+o KACXwzgpI7agaGEi/va+0rEbd4Im6j0Cx5Wg1ko19Gu9ymeocZVzucFeAiXMOWsRfRuaNhPsq4xy j53jnOHPO80PG5xqVbOzKcXBYIqwf5FaTimfd5YfgqA0vjcerhyYZCSfqDAI7wS/9ts+R83eAkz4 Mnn7fLnpxTvJz9cm8o5e7ugw4YK2JvQVECGf67PIBipRUBitHQDo3OU4Sz8hCsNbU47CLcNBO+8c bMl0mPP+vDP8+uMjWgkzQIA65w0x3/l9XcAZdKGK198WAx+hDOq+DQPgajVNUSDeTQh1qW/A8SYr rG1QWP7kKmNbsjIrHUSxC4kjMzmA2fXG79M0WD2BuoAGc+89v8IqxtvufDyIMn9lK8Qo15v2vhP7 uqlaId6rVmGqonuwWVC8oFvZJlX5O4rFZYRVCYT20UtMyBNT3vKaOZnrkOBgQ8+gbwH0n+K3YJzv sThqIDjHCTC3QWW4BIkdVY5a/ryT+hA+7e/qwNn4J1iZxTPfd1Ifb1nWfvMymto5R1r2/hPFZehn mKY7ib5z+ric2KiD4NcdbZ72eRy0D9KQN8xkqhVcFogE0lrojs6fUqWK4nEIYiwED90HHU8nKh6G TwQKIwrIc70lXS32c/ru4qfTO52PcQH3WnGjPjfFosUTcS6yEgUrQxXLMB4f5lmk3I6jbTtYap1g k/FTA2isFdUIAJbe7Ovh/3mtCWfM553LZ3EasLdsE+TsxSYKA3MJn7g/f96pfEwb9vc8QQF8Az1h 8xTF43lUaeoaGLHP5cLW8O+z6y4vtPk1T+/xOFam6gRjP6PlwGei9qBPEfI7FEmloLdYwKxY04Dj 3/OJpct3Ih9bBECqwHkRlXOQnGkziDAc5hrsJM2TX3/eqXy88r7bBFBzW/Rb1lRFRfBZuN9YY1dp jnpsSl1YkJa0NWCGmqr3kBwWIcLUVUlwcLwcIjSHThSLqQIWoyxNFWh3CNXPDBGp4lDzoleOYiji CVqRFjHEeBlhdmg9U6/kfPf6vNP4GJR/n+Wws+3t5njvLD7svTGlrOue0MC9ectp09pBykrZauHv JD58v3pNMxn+4upvIHcB1xwFAoDOIPOyVTOAMBXUlyENmF0NzoRd3jl8+CLaFIxOPwcOijXPdTDk ZnRitM87he9XPVyGv/0H2ms+T1FMTiHqQfK9oabn9FovBM8t1ITYkkLNdwLfUzOYsjDW6PhDFOW2 6k+Ip/YUDphk0YVFAMERr/XKEOudv8faCol7NN/F0MVIPxHokOYV7L8/7+w9XM3bz6hiR9SqpMNw mqKIvLG0Ur2JcgPNId1a9xLtgEVpmqKauKw+Si9p3/GW6QCwkUfmhJnRFIygwx8md7DTKhoj9yxf euUghOLZRAiCDUKWt1klMH92xPq8U/e6qRlxWobB186tu+5EvUfkJqPa2d3XRA2x/OnXl9wSq1A8 QBP1HpErl2etYOY7WhMKujoArIo8e5K9Iam8Sg9hiJzjbk/XJ5O2q+/MPWwBHlBFEOCSrdeFcmKv ZM2fRbI/78Q9Nre9Wod/F/QciufYPIUheaHORl3j5sJp3ly4OGWoEiyJWPOdtdddBBy2eemOPk/n j2kLpx36BwOLQEw07Jq1ifbIV/WGQgefd9Iep4kcBVTaF/EHP0MQ6bO62pIx796fd9Ie69PfuTBS to4Svc1TVB5XLyrn6jXNEzeZR0Ep5IPJ1nDf8+k9JkfdWpkdNWhttFgzb6SQZH129LrS6kqFsQEn wn3o63htBYU8vnEQa3KegOD3wTAW54ImfQ8N/c87YQ+PrxsXEABmhqZeIn/n6/HC2+rUpXtCteUn lJgLLNYxNeJEvQfl2VPhSuqgjR6Uk5lA1H3DOb4v3OBEfh2UYGSjLrNBtO87We9uO+pn2qDcpY1u sdRe4/PO1UOoOnyeRK49UeaTurwz9XCO02R8peYhed3NvLPPDVf9HC84qdQiD0NyHkuVMuI++izB E4lle5Q0z704TasYrC/2dDY8wU3BDGWkzztPj303erBrUXEQjKBCqFfGl7t83ml6vJTL1yyBXEkv OJulGI2yKTw8/XDq09x8gQItFjy1NL0B/E7Suw0XWNv3O9p10jPc2BfFy+Ays6ikCgoV9LAIIqXS URc3KBe9cTRNBDY1qqVzUOOu45OlhTT5eLDpnvoTD6KKm+UeTu8MPfbuCOntKRu6VzcrgyckY9Zw qbOZeOA7QY+HE/ccXbx8tEP8HNtIhhsZ/QXJXFrWBN04cuGje6X3sTX5wlF+h2lai8bZHJQHr3mW kZETy+edm8cj/LtegJDirKi7mqJQvBMxvnNxgwKpQot13QkeV7N/mkHBOy+vOy/vHKV73tH23Mnv NkqrjYDxNdJWuA7ldVBxIPw9L2uDcpifd1beTVkKUnSqBp6Qu9sZXiSGMVMun3dSHusqNw/eehC/ 1C0YvLPy8PMUCeZuX09GHha2fpnCItBrxuV/J+Xhl2JAVDuB6zZqpk4Mu/u5RSHb2dAKno3lTEgJ VnwPo65r4Ysj8fPOyONEUWGs7TvoDK8oROhcHuXzzsdjLL6+TyfU7J825zsbz/Fbo8xr5VBb9103 KbpJTP1gZZazFFXH5SpXRbCz0dZToQEhsEwsP0GAwqwcENuB0phhHOyoAqYs71Q87ADq/bSkbkIa V6qsl6KySup8zeCqS/MrswM0tQPFZ9MUReKdESbCWMuAm8wNGYlLGIKWQhA41lUX1sbNNmWpi8fR eRq7LtTmiCmsEHPQuQ3+H/odcLwq7TLLiPn4vLPwLIWFeEYrPqj8NMExIY5n4ed8j8SNT2KIML5f J3/fY/F3Eh5Cp0nFn2W+xkCsrHXrdBckjjaMYvF3Dt6TA++czb+IlcbJLgKyk1qldFAa+AJUOmB/ BB4zJ05Cde4WNJNeOcLODTKl8nTClDqWfa+zoRlgn2/4vFPw+iNYrT7COn/ums+SCrEqtcsW2osF hZL+MlaTxhsKdRN6glpSYYFcNNidRBrm6O2WE0ZOeth0IA3PZPFIonc0kGHI7NGMcSgvyoqfd/7d V/WJhfKMNL5Jd/lEMoSSskLzeeffsbG1vq48EImmuIqcqCgYV8MFCq229yCS5MCnavVgSAlkw6q+ k+/w/mrKra5Lf/X0qOtvyI7gDEUWfKajTNPbwrHWgOKGJ9n1wqLMwTv3jrEMydVToMxpZ3JtZy+U pELUzp935h3eM39Xn1DqOfv3gjGjcLwna7OkH7EUG25JibgtosdI6VwArxSiMeNwXGoQSZnbTh5A nSChn0mkwiAW1C7mSgtBFlgaIoxi58vOKIbVn3feHQ4LYnpKp85PgWu2DvC5duNlf3bH/LzT7rhx +9eVB4TIzy7VI4N31l03dSyc+tK1g1Ufhe8yOnjkWFDOFNHiT8WCeifdEeJmdvVqp6xLQD+HeYEh tLQNkCnSdJxiLDpScVGUC4HKi0Jb76Q77jx6W1QqjCR6CDLAPJ9P0dhz36f1eafc4YRY3yAo/DYD YBqbpzAin3JaXULM4yhPtqBwfJv7QN4TITIXVFQfbyo/QUHtjo7vPQefagMQuutQABB2Fefo2Xiw gKaloWd4Xa8cHOUE0i20/zgYbS5BWYctOHBkPu9su/54P6qeuWA6ULJXC97JdkSvSva8G5f6bIwr clcpBixdzumuRe9cu379s3eRwwzHe0C1eQ4Plp/OulqQ4eB6IpWKgm5lPsHBICnjnWxHmC7rc1ie NggCNc5vntQ5L/XzzrVjbJFvcIDXO+txp+QYqHeuHWIK/KRjVMeKQSX31ulatbJKq3J6woIK6+PW 6xxfo6d4A1REUMshRNa6EIP0DWs/TAdKwkZRKqy6yjvTrsuuGLQV82lwefhU99CFd162fd6JduxC fDMPIGWMN7gLKiqQzylt6m033kkxcvJ+y0rOPdjQB+SN986zY5LJgkqWCpnGW1hZkwoAqGfWE+71 JWcQaBDQhnenG5ODfM6viaYJOctYFPfhoGmCybsIr+ev+bxT7LqMSL+qmXKmvcdTiFfBzYMEb3uk Wcv0uEAgxI7jaVTrCL/z6+62A7es39FnCZtjI8JCZxiExGqO7Sd6GSgXg2/uEbkWU1Qbp5sTycY+ KME7OSgbIKJtvnPr+qP/p1mC/8Gst2DwTqzDUziygX1bFxI9bM9lKA5YKTMzL+Gei+EqnCXUl+54 CwZ9pVTOeiEZf5Vm3rWooEIXF5TJCxPDxfx5p9VZgoZaL8JoDVpL2zjeqD7NzzurjigxP8K58GA/ BUapT1MYjW8aC1DXn3lwT8b8AWdsmWjBuYSy+WO2EDrOHvDZauJNc/TSOPZRA1oV1SfkqQbFR0ml w/K0r1t9QluPXxOQxggSa4M2ohwYF66zrSUje66jhseDEHPcjpTCUSR492AKQ/FK1fhuAptQlXS3 VTg2meIYutHWuWtRXVyuOW/VgnM4w3sLgrMg4J8/ZkjqDs49P8gsf3i7XXDm1hsHFx2rKVicPtie OwGG6bqX8WkRWKXd1YTHQC6aqFQY5SeMxDNpCMsIBziZtk8T29nmIlq30RD6eyQufVWcSBSctNFK vgPi+XOxb5fOUVub7rkJZbCTIQEdmT2x41WCrwnawBLpxslrgxbT+SGHBOza5uMRIvqGlxTsQcgE WqJNUxSH04wDUojZa5mtuuDt6teCITWHsPYIqsL4FqVqerBr1DQ1kPXmwFehlgmarnRCFhhGJ9qB 7CV18lUpAFUO3xPkK5l5HbAzNmieWi/SUqvn8sDj/yKQcekB+wHLZZ+nMA7PTFIUVEriqF+cyqom xna+uRjisEeV8Rtf9nVHjy8Bht2dEPuTS2CrqA1MwzaSpU5oMG6lQByyKA4nli7tTdY9LSJYB6kw D+xDlYJW8HxA2fzF+4W/BUISz+x6SNrk+WToFEFYLfyuVuAmmA5Fc0XiPYrEl4pOM7d+R5upCV3V MthDgF5WU9gAMwGgV4FBaC05AgNxll45CJ14QM3ETHgmZ7c0FLVUe2qd7xlxW31J8cyHKdK8vZYe ETdpsHqO0kcpK/dbUimGbG3QXjUngR4DVdQol3qyxlvORD0TmifIfhE9S+59wxWXZiLs17lOFq+7 HhXH1TCvlS4xxFAproTtmiIp/Ox4PrrvvCkl3URrKPtEhZE4rkkSvH2iWnURh0zRCsaYixVHhAU9 pm8yesp2onO0iapwU+1JLc7zw/dm9uIVLa5EJ7A2bu0JqBB+UVAqqHKEXmYMfaZfELFRKFAFP6+6 8fy/tp5W1Hl+XVR0DwvklMiqg80UwiQh4KqaykTelLmiBhJY1gp6HIwLmlpau6PDDnFU70axCxgJ LP27qTOBaJ0ex8DFuxbp1CtHxSfq06Ulnxgec1pTCdYw+McJ+70eBeRp7K9DaiJtQg/HZuo9IDdt 6hNpIrJQK4GCFWfSeEQxowO1pmIt8zSPAvKtVsJQpKnRynRgbSRgCii8hrg1sVqQqfZcAJ4r4yYu JVGItEcF8krKRqWpiwZDP6EKzH88U4bHg2pB/S77AqA783NGRQDyLgsb8VcoeUFJVpYzce3Jk6KU Sp8FrKgIrNJNvmGZ/OlSH5Fq+ABgpCzBVhCjB5Fk59bALgCYBZXl8iDIz3ThiyIga+GpkqcPqqqc KWsslNS2+HiwnuYvgksCsyxVr6r0MChvcjepbhIKtRYr0zEmoNzFyUPQ2eaCiurjdGJFvdpUsdqj inVmKZ2TgeWns85W16I7lx5BICyVosBi5czR7ZWDTFieHUn+hGneHt75DPbg8N54/F8k88mJyth5 xeOoEYXlUk5BIc0h5NLVxK23SvPWVKvTOsIjKpBPrig8Ve/olKmT+q4F7QQwgXpaQzLBFaXJc6pg 1oZT8M7Gy3rjqDPFHVefQc0DAJUVb57pw+NBXD6+oygAOme+jakRheVQ/aFpvTtld8rnsfq03Wbh RDjAa4uMH5fHm4WZ6Y6+niYoztJnbSfXzWpiZTSrfjYvSxxSLrOGVYzviej4TIIhoXAGtDoJ7WEP VbWc0TBPYXl8f5fH0ZlcT/1phPBxhuVFioZovUxKMnI5bQrDkeECUQCbp6g8nlWl61N1KDMyHsjy 9lqwuqyIA0/gNNkwo74fqgUn89COM1sF6A7iawIQK9tRJ2cUD4/a0Mz9IX7BJsL5Dx7/V7dFEiEn o0q3iTCikJzegH2neetPDNdUfyrVMYecRm26EDtejddSBVxhtY+zdD58QAJyMRfeo4xpWqQIHsg2 Wv1CMwGRHviaqNXS1N3MPghymKEfoZLv2Hg8Ops8IGfbfLCo0u7ZFEXkBBicc/Vi6cZcjomu5dI2 JugyWkxRRD6FekqmncnRzqaOTTI7BXDQZE7djBuxmE6sA5YiIIeiB1PcDd/zl+LMqGQDDf4oW6UV QD24gM8n4/loOaXvTXcOzJmbRwUjCsjR/4FwUW+XLtWditB2MxWMWi9dakQBuZSLUNybd3QqQjqn WxqN5non2zND7ALy6s9Axo12nZPyKV4wQuS4oPXbjHIs6menZYstdWILPB517n5JhSAPXheZOULg uDiKPTUnbKRuRxPYB94yh2WqzVIEHC9CiUG24I62mhII5giVMEvlzH2SEHADmXrAnR5dJoeN+wtH fbstMlnTkMVDOFu8y/mXWfAII/H5HYkX0ian159GVBpvuUqo1hVVFi0wRXjNwxVVSu7WZhlRJD5t dihHwBG/DMyQTjBwrj3oYGktnaW1JSlSqZW+4PhyHXih2ZbxNVHYRGWHRkWV3i61HtUbmdKPkjqe /1fbjrgCNg+ucsEIA3G5wDdzvcY0JZ8myJM4ooe+K5ymOBAXHXkIX8DREhZYp83zSBcLIa1JsOsJ CMgCwjdSnME3XbdXDgLxDlHtM0d08AJSOMvMOYPqyrLIuRAWPiAoF5SbskiQZf7MfbnmIw7FyQKg ewa9PzM5vSwXFKKQiCzAD626ygjr4xKgOflyvqNP1YBmbKE439kc2HfMWTIRgJm6+ZsmE3JN0DEe FsgbZaKhv3gGJoa85M404STEmtq54PmosOL3HeMsnHar+5qaYYWcahi1845jPlyorrYJR1rOeoUH nuoqM1YxlKOz9P012gE19h6gP7KuApeXJIB9Q8F3NvrD9tu7Izl4hkgV+lf2yr45B0PTbTWuwROs eDyYpRs7VS2+E5bs20iYUSiOjhkUaR3S0xfFLJja6Wf/TwYPAdehpimskKsBnIp5FJfrUczSx25t NbOwXIlJCuJ+IlVylzil58AogOGLolYCC3WGIS8u1TfQyqkScewFj0dqGP3riEKRdaDrYvMUheLs uZ1/x3I4uCsP08QEQMJawQgLDUs3QyanCOQrjXJHiwpO0jKwzRAnQ2i+0bsWdTqcL8TJF6paGKKH Ig8zrpBTgw5icDZwnsClFeL+xBwVj0dH+a+W+Vkn7FLaPEXBOLRuSKO5vJa5XWdtjOkuOMwrNE9R fXyayGp22XEX/a9pYdaWak8nYoJHnaKneRKrEx6f/G592ccuvXAwS4wLILjPIW/LZGHMvSRdUCYe j3gt33FBRoBC7LFNUxSMwy0TtekpZP0JBoTHlBzdcMoGcjubplDYUBprdEnz8TZcCihAZdDB+UQM 9JKDrMk5T6gsWuj6YN1gunXNkMfJWmaZcnOZXihAok1FRB4SeDxoc7bvBBgSNBMUdZumGKbCK06u Ztx1qxXn/0iJlsBMWLPytpsRTMWhvmaIytF75sgdyQUmLhORgQmGAFWAoARz6vETnT1nFIvXLo/5 Mn1gUFAgWCZFozkGHo+izO+MBX5qC9vQZimCqVCv8cRM03WgJ/s6Cp/SA4iuyXSgZ1QZdxuzKSeX PR+J/wRpzLYo3HcC8IGmG0FPiKhGO3tx73kB9qROzygWT5QRq5I1qrTnUJlg1UyOyFmzfMsor/vG PEHk6sSOnrHMkMJJf6650hOLu4cSHOB8llBvtC0Xyhuqb7C3bMA5+sl0wrq8oPGEGPMc4lX9UAjV /kygqTIQgLd9sPXGQeOOYKe85EK8qtfmOgASUrzafDyChv2uzYF5VG9AEMFUyAgeXdmcdP2d6Yrr yZBhkP22lGVGsbjEjIDkHXe0kymdQ3sYsuAslnP3k1AFp2McokAnQ+rm0lqIrp9RLN6SrIc7df0T MiSuJ4htDVbiz7qqeD6CPfl6qlZfIA7ZZyqkcDZBwq6OmFoVot3VdrmuSEw1U6GuisiJLavy1vJ1 ETwXQwNmvrDudL5tmK49ZDp/Bk8qCAj7RK2iN/5LKXuSaq5BwKfGMiazkN3xeBBi9vEVOaGFONE/ 1DStKBCnhyCY9hdbMJqriqZ57Q8S2MWcphVBVVDmVGGy3dH2HXj5AgOgyVLwdDZIJoxZ0WTpV8xI igUrhKrQ9KCZk3XyHkuaSJEEoqsVj0diRt89loFEYF4t6BWWxCv75aN4STwzNcIsnfSyeBt4Yqlo lsI43Erh1dSgq6tBn+17blLYCfF0ShM6hzzvgWP+wdJi+cdhT+B+4mv+kjik+RQPp3MJcNM1VMn5 w5ysouD5CEV3yYl4O2SH8xw2Pk+hrDh7m0UVFdkyD48v+zYpzQYQq89TiFSR2FPOEn/KUhJoXE0T uguDpYKTzI3ZTJQODbeGegH8Om/ctH4aviaqzg2VUrIP4nCeUDEtOWksPh5F4R43ETIH56uvZvkK SZzV3Fm8c9Dq9g7Lalb3hTaWl+dWCBjvdsmpqMTRqT9YTXAz4qbb6P3zsqPl3wQweLRHyZcouhXX xKl3XNivoy9ZkV0uPK8kITagUxvF4e4VKEwByhknEbgAsRXF4VOhU7EuHc6prEwYGuyrWjml1Glc shVTODlPc5vU2n6k1s7+hQjQIAHhPCr7oDNviWbfgLWf3VYfOM/UKwclOh7iTHUWYzQI3aqeUs8s UpBvnn/EJ0Tx03dgAJjvGtXjpxXF4syAAU4qFmWWtdybpXVrUYHB1EwCY4WaKmqdNNSNfLTKE4wF GqnyHXIqebnsU0MLuqLIAV0S9wlM1GJfEVBFkJ40SCrjYBkwFKKrcha+Z0SS+iV+DDJZvpWCFYLG B2VY895WoWuTOvWLxUyra7ZzCRZDiK0wGheBcy7FmRxtSREXVtCUgyfLHrXKPqNmVvWQlRL+beiL rBsvlFQhxDcP6WgPwkFwwRc0KWWtnBoej1QLvjsIQIgt6PbbPIU0TkoZjbGXFegY/JlL5xpGJmt0 HNU8hbBx3XjsPPvofZaTvQMitqwJXJrkaivRF5kzy/VkKBXkWPiiv0CstSh9ge6fkAS728E+UKJb YXF8f59RKFPA5MPnKcSNN7pnEECjMLNPCzNP6nNtIk4KbO3NFRbHh4riU1wyjhfNg/xiqm93sosi GdaMhOYH62AjTPQ2METu9coBcpzI+jaIOGzu+lIpL8qb/fxNfM9IvP6WMpPgF4vcu8vIX1FIDrgh O4XOeF2teiu4UOZU1aecjP6zwpBcmIo+lcT0mR8J5HPclUWoeD9pEAjVqo5vXgFoetBDyuMoSkWv KCYH5GjhL0w+WPeuli3ua54Vj0dr6uYuDF9Q3kjtGtjsKCofZCi2kq/5SN0uqg0xVpupAmggA4Qd Ujm3GdzlZ3QqJyzhR2WXEwblbAyyAIVCVCL5E4LLVluRCfqO4nKSPNJiQIUhsx/QUD8uxNKNk2Tj 8YgC5LUV4qTQgy+3g7f/Fh+HfI7tvtS3a6uM5PPUEqul1LKPtVWEOlxiKnK0eWrsoReKHZ4LD1o9 DAyom58rkoKKcp3fejRF2CGEvMo1SioP1VUezvnaZrsi7fs9MLe0/YHaF3KlmtcNdkzlJDd434ma svYm2XxUlzqsXLCcqL8Dc1TDn9HSvH0uIlR8jWwO4e6lBOb8kpPOxEjcr4vw0BtHtTrKsEoUg4Ng GID3dB1YaeDxSF3lW9sXWFTkUz5NIZNT/ZbuZKlBKqKdUGV1B6sgitI0hfhx77OUeUfHj2PvoS/B wu8J9+TokicNORLNd8l4tdMciGp8UaRCw/pT5uXHQSfUhDsV19PGeorgKtTi03oiQB8IopnuegoB 5KgaAWh4UT3JHEjgN1SMCJQ3atmaqJjJqQkyMXGODiCHLBN8tjBRHR5AAnJWlAgGpB7aUyAn7R1f E2UwophX8jlPbP8jT8mC7ppa53nuz47VVXzfcVIhrzPXlTrcsfuP3Gy2E6ZsQVFeJbtKe+24kzVP MVxF5ZUtlXaOVoWCT+U5vyl1mFFopqYwTM4H29aobV4tDGhE8YWDIIrVpzLoRcYh2TQV6nyherY+ O7T/udsuS4l1/ey17jke4VWoVoXjaTivrLRs07RYWuU0je7CdDvCq5iZHTTW7+g18kHr8swa+QCj SboZubHuyl4/so3VlOc1vXFU/UUqvBqDTQ6q/qIKQXwBpJXxeJDkXSSG4AUEP93jKQzKCRJb3Q4i oKOvpNFczY8nqtqTpLhDwIrad7mppsnRVxNkp/uQ+NNOkFdRQoiSYqd6AVaC6YuyqIrvCWJNwp7q ZPecg5YT9oFCotQGHv+X4pr8R+BYitTN5imukksgOjuxbO52RbJg+Wj8O9i0a57+RqzUJP8ajV7U RNMozyL+9EDZgLcdNTA6zlA0GhyvsqdeOLJKIh56jO6DWIoV8viMo048g8ejVPh7NeFrd72eWzsK xxtBYsIqSSBLON9OD8CZbdNJhYibLgKrzOKraN/RNx2kfFEbp/JCw6Gn1QSmSEeBh46bsjSnmBO+ JlJVYXezy0qyu5UkaGvSET4fP/D4vxYTi1DQHlvpEqgFEHqPnSCm0nNyrEpX4W7zLEz6x7M7Ckvk XR8V5S3641lUstFRPchS9wRd50T75zAf3JppyCgb3RheI3UuWQFte+nAxc2UIMUCAsWyLk3RgG1X Y1C00+ZHRLSNy1bE9cikABhRn69Q8JDd88psj8SNzTSVoBXALFU60EqQ8n+KDTiFfpKqmkZvUmG5 0oUcMppgL/LSg4fLBpFnEY2RLl+RUTm+KRIapcAvUDA2qDXcztUsS+WzI/h8kL9c2C9zYoSoEEm/ kxXaAklTfq3rEkyRU7Of9rrdWSnVbRJSiCJvUibIVkDIt4AATzqkePK7AauX8oBZrmzQ36kQo9lX DJkFBHxThBlLX6gMaHF0syVpkpgHv27xA4JoKrfv6+98AL1VfbLCujlJ+l1pMZkJJTltEShma1bR 5VleCSkCkw+tLCK5fDSW2YDDSetmEwoHZ+3ESTpDVWgOlxrL9+SWkEJ7III2E7J2G3RqNYQ2RdWE wef/1dfD2ofWDH7MO1dRhM4IAyoGwwqdXUEoEXazqMfXwKly++kUFc+HjKZmWc9op9YgvwV1Uxhz FRi+SjYL0ONzfSEAeujVJZMLi2/6y6kEMgk+qCS8B3sPiJRG5vP/ouvL7I0NtFSf2QrL541K2wTO EGhH2LHIZijf2pm1hgPt2AwOziwlxnWmO9plCPlUbEuKjGGnJ5Otox0vsVAZB4mEMkpK2176L0wi wfY2aGUNF1iCbiOff5+tmrySp5TmrDC4m/tchSV08ATAH2g2V+dXNlAioNIGSjwpLCqQmqsoWjea xuxCu3L0XgOOXph20orj3LPCaiBcJ9oOlxMcNux8h1ipvukvVgcwoD5Y2aV0JrEgVWU+H9RdVv6u J6BUtsZ4FlYsvyKm9eo/rqHVs7ewcjENrfNHQfpL2zDWQ5QLfJcCDUc7staJ106+Tx9BdJnmZCya JqzgwHOrKHp6rowWoL10xEknfBrZEIdmGBWY+g51HErj81Fb9PvIAlONkH6fqyhsX0Rx1n0hG21s h90tlPVNZHOn4paLkQhL9TSw7Tt6X/ScjGAbZUGlwGFlyS8RWZBQ04Ncv+MT5UCVYoFyEtLVo+Eg 4F3bcFv5YY+k8Pn3qeptfwVZNJ1mQd3n6m9RRDCgvdPeuvcd+nA7wXOr5e5zFRbTBc+kerSPNlcZ FyF8yAl5BeqAV8HJUKB5P0T9fFh6ZBHji4JSlbrsuXcf7lzRoB3X6uTzUdL83ckaFba5F+GSQydP rkZQnxz0KjsntWiSC0ujmD7coTLEuDQlOrJ81eg4RUZcVV7eTIJ0tpVFzx7cL9DQcEcc2p3nwMrz CiPKO7dc71zgIOQtg4I9n4/4CzfAYh0GDmntctNz6ObZpFzTkrt5zpGSVRjaNtHpBqJ087mKkC7m KlWauDEcLzAfKn81CyGMYFSe1gOUhQ7rZXIXTPGPaMUcu3mSnF4Kb0EOhsPrS/J5bZXK54PI/Ypt EhdDk2HY/fpUhZE7O8kgHHj6TMQ3FaPO791VZKh1U+Sn66OiVrLALkWUPY5eZThZGMhbCBnO2TuX JG5OQgBaAwVV6extc1WavfMf8ogn6KfOD1Wl2RPGypScXU918PngFky/uw+o7KVLbsyBqSeE/zIB L7cMav9Iz+FBfWCqa7VZfVmFgbvE7yHAd0fNVUHzCbFxIVcW0I6hsALej9iiP5CMc/+g0eyVox1I Klpmn4aDkEFnEwidcO7SyucjYZb+dbADmjbGfmYqlEictPZeZdtMpZW9EppW9dLVJOlfMxUSQbNV YyRbntuVLYfhAcwtKHuQGhz1VC6tLBshSsigMlnxqo9u7xwAFGQsSL9YDCZOmmBqwU0PeQA+H3WU v5XLcWPhiL5TFUmXSyACCsc+VdV4snRhTzZVnSmJNmAYswvimaXnqtHLoftMDjxQSSJqqGipzFfh 0QqZ6wx4jeOo+rR3/tN6eBCIzkGFvhNP0qkcm6Dw+Uig9NsVPasGexPnwNoT6GqaNCfTTYanUHeF 0sS2I1EvCxZvSpwDb0+wsJnWnINi3dFC9gWXn9S5qiao/K1LG5i8jQSXm/N141b7VMIK3T3lM1iq LKqqlWTqpjOYsHlc/1HI/nWwc2YbYQ83ZA8MPuGDinrfmI46G0CHGfSl0BuRmXPDr2eTFdkJdcHy ZpXe/azrlmSAmjrLtCNzPr/5aCrfnMWEGIMeYgha3CIdFrL6pugWJCd0crI4WGzVlvRawDfl88HC 6r/dBpkB9BuHBjafWRIPkBa6zKtWDBlb6HtrJZnq5NAc+Hw+Puks4NloKwt6ZCf8WPJJXwuqgCwz EEhcQFUAw+wChXqzl44sBKiAIGfGdp0Z8QcXqYecb+DzEUnNDyyJutSfub4C0RCSzrN9dSM2QJ2s GkYPAsiuE1y7Y89y7PXZBKYyTOdsc95dCKEQyJ1RUPnMXN7ySqc2EwSJf0rPXwSsaS/9l7QrGmc+ aGHlsRgPkuDD54MiQ/mGpavgSAMam63Q8LM30UCGIxrnfmarGBwGKt3dEI05dPxcAsGsKnoRR6+M jjbd6uQs+jYowgOJFlT9k8gkwMl6u6vZS0eNnC7cpw3lR0BGCtXrRhmVz0clGS8jCwoCcuBzZIWm n5P90w4pJJbcIS5gEkCFFyS1klC6nD5XMUBdK6urmszR5qrB8bvlJqJor1UYkNxp25TAYcxA9Lui G1uDObT91JJiedAG7UJwLtX0Or85n49ynO9iH7zNVrtImBy7fhKFlrcXRk+EXR/qMWH9C3H7pdDk wPYT60rCUinvO97jHZ2vxooM8JnT2H10DsBNcLY4qpVeGK3JXjoq9UlsQwLL0NYkK+8s/3OcTLH5 1+YHBM2vX3Qj9M42nvPJigExspDdugDPTz3J0MJk4T/qfaEL7OWrwPrz1kVnE/OB40WiMfxB4N4H WjWb2Nq0KcbfESiAIel3IY1/+U0RhoGqkxA+4dC1QEZOWYSjfvYjn48Q2OVX4HDm6avrnEP7T0Iz QPl3DeEsPzTomXZpCDN5plstY/fA//MREe7WUe1PR/Xc6SO3QaFOlK3aNlQMDUo3XXh2uQuLvgI5 NgBV8TgNmerAptaUN2obwu21NfkBEf//W5UaccOApKXPVegBSuQe4BKOtMomSwJgTKmO+HgKDaEJ 6Ori2Fb5FHN0Ss35Ac5pL/GNhQof+bh545Sb+GEBHvX6lRVlQhNQHVhgJvpgRZkylbG3Xhufj5hs 310vUC5mvYo3OXQB1VRRT1FTtdb2Pn2h1jqnapZb6gtsQL2filrMuKP3JqARkwjea0APgPenMMxS H+NoSWhqTXvlv2AfS1S2dalsDUDmKRHAuvn8v0ya5MiEyhXOIJ+qqNrOnnnfyawEaJQ2HSAzhu9A uBP6VMVq58JZ1V7uaFOFns65zk1XImePsCD08rNR+kHjzbs42eYqhMgIkrao5w2DlR+Woc6JuOn2 yioQn49E3r4DLCTc59x5rsEocJfg1Not+VQl8xyCnHsyezQURT17DsxA0c0V+WjJ/ZqjH1bnp0h1 sIsDuA1UjIm5OlnnzzxR8w9uGAuumDnwe4JLkPqTTJRsEPUPhQweyiBk8vl/acCypgCd+p+9vnZg FLgnEt2rtG7MSs70vKGV0B0pQ1Sk5ioM3GU+P6YywzEfwlbdoO129lLbSRKGGMwox44fsiQKrgUE psSnFXvnSB+eszSneoTnIrVltTO3B9zrOp+PMEXfy4pkexhE2FSFnqBSYB7erTn/SLqhWfEuR2SX MnwHxqagy4T0V72jH1aoy2zII3REB21kScTTj7ODwN4uDcmA6zl0BVVPsC+qAnAQRo1CO0yGWWsP bEHRLZtfxzrKwsQD+kxFMTs1a4HdrrfSt/0GLLMY/OrEPfTc6fqo9w24DGS8TJRjzRstIPU4y5ew ogJLzdYNQ0t0PcOLvR7Ke7d3DrAMTAZ7oqs6B6coD1ZxCcbi8//yKNS/fIKO9DRRY2tQylXvfgXQ E8FfQq+3S7JBT9pC9tAbtFunIY90R4tCoSdcMqHiJwqdhc7RtADDp2Fn/pR5dd9KV7crtAeV8Fva ajwTH0TCCAxtTeCx9cwPiCbLdyDRWsXUa5+VFcJkaIzSd/Mmzr42O+dXH8bCxWbxDCc2CRX2U/aP NnpN5izNNtXEGZRXEnkrk+iFWwAN+nRlqNSgD2xC4URPQkThZqxU5NXea1nuCScCAPYj8An97214 XvDcL0/TObYJbaz2jStiMqYLCp7/DJPKA0h/+GTFWujKbHJ9Rs9wQFsYqJ2izDBP/KTUuYjjDU8C UulcjArJML8p6jovNXCk1IHemHpX7WSB24TgJj/gX4RctgdPQsU73ycritpZkOqjjWa5MwXsrDRa crIK1ioUxkE6GNqFGpphJgUEM7lcAOoM/YQxyEzOyhqwfVmqYIFBjhbf+W/A81nTC2hnvfRfN+EU sp2D6gyjwLv6R9xcPv+vmjvTQXigja86cugZOkpWWLUutK8ahfLkoWYfCuXT5HXkwDQULEOlNkVK 3xydvnzWzvnVyYZv5xvmknj84L+bBooyOGgv66bbS/+lOFzo9nkGwqK4siZMiii4mriyQmT7Dd2J AzxX9KwPXC2wDYVbb2Y+mLzaJ+S+oH29Ow6y1nTPrDByN7nvYlzv8nC9UTemMwGrMnUvqekClgdQ 0QA5FKBwr2DtZS8dbMMp6jK7Oel2czZASsYOXJ3PB7tw/sqdUbvv10Uth86hcHaluqf5zY26KDiI ogxlc2T0yCa0zVUYuovNNSXvqdFJzCi8QFZDYLXzI1YRKZFdAIB17trxGIJs1dxD71DsdxJwqg/G pDz5paKsvRafj1rPv6F955k9+zNZYdGd3RzIDguABVGFYZPVEvkkit3nPbJioIyUvE2TsT6ajOPk tWkhaAB6GzKKm2njubxQeoZLNA6c2/rKQvYF/qGJSuWLJx4GgNtlAA1H6Ukscd978gOC2GF670s4 QNinrBs5xBaiaJWeX2p5UkisleXPBLkpKYRGhaL3wEMUQQij9p5M0zp5hwIKSed4z5RExY+eBgHz EEs4i78t7s5y3cLKsleOTnc5GTI3LOwdKSRdk5YiBmkIPES/96CcDM8klaf5HHqIMpIFr2R6Slib z1Sq29Af58TEn8/oPTQRXUOOIAT622jR+5oV8Kg9xJ5o2Xpk5Vxe5xdFPouE2lLCoRArMBHNNlXV Ii39MJYTdqLO0Z3Y/ICoSeirys45KPzeUkPoIwpddpxX8zqxz+0kOJj2Gfqj7d58rmL2qWRzZkt3 dKbJPpHmWuwRAtEOKirnCjAHGusMknQFVUvFXvkv9EeRFzvLZLKbAbJAbS9osPID/umQmexsH/Nr rqLYvVL5vmH/GgaSJgxCyogf12ji2x0D2eKCu4p8Mz2jIWXAsT/hZhNjEBpYKkvQTQqh4w/kOFzx JCV75ajnxfgKiAsbrCx6dnaS1BcAWC0ut/8Srhr0WLsV5MBMFCZrNAVZ+0YMLZn9I8SVl3WeETPY LfgPN1HIJuY7GrJ2n0vwHNwd+XMFJrmp1gcrSCjdnv+yaUYntaHq7/yH6OdJwSVA2G+pAT4aIn/D ATKHfqLzdiaK7EAgctGe1kToKMrcrFe2ijhZJ/axWLSM7YLgBeapdgsGlqKP9sJmC16jZ9A4mBIQ oWftpbOjlQmBDgDuN1ogaChesIyynNBVtPH6gzyPMNvQBGDkTtknmsGfWeQHRGXkbwwWsO1rfO3B 0MuIvnSpX8XG7TIoFRjXZLHoLNW7qYGzaL7yC9UA7vUL4H7S5zQXzYzOaQXReTM+bqROnLOWd6HL 7s5kLx0d7ooVxMlBNiX1E6vko+eHakPoLlrmr2oDzGLggnOLo6HBKDWwhqnHUjSmujw4yg2W5qBC 5MWZwGEUAAmr9KV8R8dBbnrVNsFrz3st9amhu4NCcgPA6Jmsne2d/+x6NfmGNEPxwumTcSDho5vP R9Cib5ViqiNvFDg0V5+X8Gqf75RvDQAsbk9HxU7T/ZpmadBwHZs82ktwdT4IgFHRUotCBo42USix owLKxnMBb1s5Y4Z12oQzHrJoZ8XRJfoltOLrgo6JQjvZOO2ycUp3CtC5QPD0/5sjvuM23aGmKKMB c7qJ+2CUEk3RFK+L9U8Jgzc/qPYwalxrREVqNQVTxAg4Q1Z+3NG7ggNiTeC7ASFzXmwQ/Qg6CUiA uAoh0m82GPCJwNe8zRG6N6yIDk4VB81RYt2KK2nh6bc5gspSsTniLXeenpInxhS9hFN8iCVMKOu5 ehwrInbx9W4b7my+ZBvuJZjSKtqSTldlUaMHCHVAUaCS3Lw3MGRWL4XHLwCwSHAs7pzsm76EUnzd Qk7XGrR84qCa8UmUGnHtkJj9vMRRml9T0BEFpZKUN32GXoIo22f9IcfLRay50NBY1nI+aUxyucaX EEoflHQgNQNmt8dLBTJGk9hl0r9PlGT22VRPRqkEoByfIRz7n5cAit+ShQbt1Bqq1KYwhdQzSawh nrA/f17iJ72kSYGfoGNYqHnOlWlz9BI+8SkKlHSsGEf6txuU5+wEkrOOlzXgX4In/kJ2xfVd5h2/ Kup5TB7aG7ArCvrls7ISLQ03G8Hz0k6pF/cSO+k0Yv2OBpM2GFwIG4BgGHTfXyInPd2+1xGxoJ2u 0Jijl6iJD9FRd9RtsGIUWkzrs0Lby0x5Ksz8zKA2mKPZrRRlmnGlXohCOj9ypmcvCAurTtNAS+SI V+QtjQh/9UiTfFeDOVpcQpkNQw0qsqBdOGQKvcrnJVzSQqrd5ohqs7BwHQQX00DidY5wFVLAMm2v n1e1adRs6J6wdFDQ5Q4SzZFRtYhst9G7yBVqqJu6g7WPk0iyHQFRtPazyVvCKvTNxtzuJU7SZis8 h3T1r4oyPA8h5Kcs9/M7Pi9hkk4zw6prHaFOM+Wjgjl6CZF0YtckdxnrX53NUK649ZWPLTC7NC3i 6MQelqCoicfRS5sdfd1SpFIF3Qjuy7OOkCdVCGc21rgt9iYf/iU+0m8hp/qq2ko1BciToU9YhWFx nCX1eYmO7C2TzRFbx+fnmXX5efRS1NRVWGUO5uQ/SJ41Lz19SS9h5iQOF5zZgk6dOE6Sn+OqpJe1 AQBahcncOCfbXks4M1yVUPPIPzSOMhjxosnMS0FTf2USSbIsDcOkXPqeWrpAZ31eypk6NVf/miO0 5zeyFc3RSy1Ta7fRcS7fxt4cyVvGe7qXGuR1XbEjOrMlUz3k3qvRz6OZGny4Kz1WW92DQNAkZmQ7 4RgUTS8uPdH96qWOqRc2WwImckWmOuS+LyjM6EfJn5cipsWC5UaQ0o1FTMej34LIKNCmlPvozZUG YWpigI0TIZvK0PnbSGUWOzKaKTVAl7k2cbSZgowrQQ0UVTjHFz1woUCB8IMo7gpVdZeAUbb7Hmwn EjoX8qg7sG5UGngbVxfujfCn1ThvEPBDqhFIbNXnKYq26QoLyL4rMvY5Da1xLvK2LVDaNM4R2yia JzFoAHq9o6duCH+gC4ddBxHZLY2ARkZ3AYGE9RprFE8qMr7R/ez8ZrA08x10xWHfmcjiXp83tp+O GWsjNBbGF6D7+57fb1w/zdNkRYD2EqYzOBwAhBzeO+o4HA1XFswT282ZBnN3tHnKJx9MNK6BcyjF AuROgKLnRIsHRRBPS4Az4Ru/T1Mn1zaXOn3gNJ211KsqTXXz8SBcGvUrMano3ZTmR/gbzU+TS+dQ ix6JsoaCtcWUfbrm/rrx0hvLT69f1BWWspBGv+gSBEBWFUzjLKOigGlAeeTk0+ccrvvR90xqDwW7 rvOi61Jt5qD0rRF+xX8E+jUMvQ382n5UQ0Dsv8Cntol6D75RcCQ0atzzaXqhpLLMq4kCAvBWeaOJ GrIONZZtf1i2iKCgi9MJFAakaNmpj1R4kpFMpI71WabKPOHxNEUs0gAoqKq80BUhUeekhqjFBTOV 873vsMmgMgqDhHtCRSE4UeNDckdaUskVPk9UPGznnX3kHn1vHD+7E6Qkv+WExdFyOaCE55CveD13 arZTvwB/TsvNDK9GO8gHjZ3eKH52pgr72roPRhw9P8aUSnwpnzeGn+Uc/euAws8Ff4zt8xSG4QTq r/n0z+uwavjZAw9bpiyvhkdxeFdVgAgLH722BNTlgHAbyJ649ZpJyuOCRzbNvrd5G+dtb/w+T9Lc p+iJDVpQJ15tXRLMeX/e2H2WNHtwUJXXoHRyq0tRJE7du1Fr6h6Jp1odZrDcsujc6VCZ5jRFoXg3 b2NzeJKIqh/k50M7NhQ75yjAUm1pwzpqwXEro2fiUgCz643fp8kCAkF9Rq9WCDmhzVQTHc4qnzde n8UvHourGQpBxpbutnuPxsGBZOZLOVE5FBAZwDLcTHlYjWnW5BpeUTg+xG0nTdVHO6B4iu/GlIWm NsVQZoDGQx6H1L7He73rjd/naRKxcjLD7IOYtakm2naAC5k/b5Q+/cGpfOV1GaSyPsvddlFEzi43 7Gir1+JE16Z818gq7rYKFWRbT2FILkh+k3GTRpunE7CeWUQ5Cd26uuD5aKWWBYd4Tmx3bP6UHlUU knOeTr4z7qDjCY4trCJX4jCimNw5DE3GmDgb266+797IfLbZKVdZi9sbAz7qGXBtrtyVIcavmmUU kPt+m63d0XH55dzHq7Oui4twD3Gu1jgvuCnliNqEV5uQgn/emHy2A5T7Cq9SHa+CMsHeKmiX/Hkj 8tm++64T4FambLRNUxSPs0WJS9Z8Zs53ZS9cdvmHItRYoPxomt7jcYdflOmsK4w3Cz6XEpELyPBO TCuPX1hfZeQtjR3yds8n1i7fWHwWGuvox82e0Ab6ERf1hOEIKniJnWC2fd54fP9145lm7JnxNbw2 98bj00Iu7LzsG5NX6aLSy1DkbUpa4w/VXL3H5KYinoVa9NHRdYhq5qZN/cR3daHrVJ1r0C2pBKs4 1LzolYMgiocTYGgccDWy2XR2USH7AhYF6/NG4rOo3BcVwyVQfBuVSjhRYVQ+s/ooXqFrabsZ9FLr gLJK2QmPbxQ+C22slyJk3frCmZ84E0EmtZqh111EREuLpU+YZv0MVMtcfYOiLm8MPn0R+bNzsf7E QbFBbc3MMeesnzcC339VxHGGouC/Qd+xeYqC8k2YCm0WDDZNG0JlL3LlYZJHzjWC8jf23rP5MT/m +rge18cTjAEqx6JBpeinRPcXfp4FNVGLDebjhfVG3rO9J69VtlZQkhbbOCXI1QsFjNZKFJOPp0OX ip1S8B/1iYpi8kqX4zqWtw52NYxrFYDbJoqe8JyoqC5uXjNjr2e0U6oiwGy7CVF37qZNqOI5SVhM RVUKCvDPab70ykEURT+eNGnfm/zOaIxok2pSc33emHua6Pyrogk4XdoeRL0R9+yEoupGXS6SPkSe pZ7Z9hOqbColcZ7eY3Jl85n+xeOO3oc6v/RJ4XZSdeUcWEv2RSDOQyX3LJ5Nja4kgZKlVw6Kmjyh 4Ebgg3CHcNQgrRLX6ueNt6e/+PYzxRACuwnAYZ+pMCyvxBD0lDwfFoaA+bBKLWANkU/NcPONtqcf eqqXmXa/o88UQBcnxSeU55x3KQv0yr29KKU0vrK8MvTGwUQZ3pAuBWBh/wzhpBcUdRiXnwvw88ba sztn/IqjoIaMdpNNVFQlJ3UY6HOHh+XHYO38fU6bTfOeUe9x+fkDlAYXEdE0WryZAKSriIjRH8/r 5FWMy8HG/ZkQBzypTPYKC7mCnzfSnpVIEJefBVp9MHYVFbMVevI1gxW1vF7HnK4TxpdvcBDF5YLR VQla64iafkQVyKqoEjWonsR5eo/Lsx1RJyoSmo6jzdPEegQcHiy0c8+lvLPdi/mno+KMdNN1Ngj5 faPr3c4OS+R3UPpy1qsig3P+jc8bW8/S//oVGSB/Rvfe2+RhVN6lJ1+yhZuNItGMDGrrzqtCA876 5GGZXMJJdanIUld6ylDnDqIWEDnY58uqNYxhDDXhorrhBm4CZigSft6oerf7RunA5IPxz4a4hUDc ls8bU8/uZS/XCRB1ZglawjZLISRlsJmQpxfroJ5kh1PaBtSEku40D4c3nt7XdXf2lqwyORom+qQV gBmeUKU3VKCKrMJAMz7La8rv6XxjFz8oF73xX9PUVAPmoPYdHN2lG9EmH49O8e/eVIGcfd9e03wj 6WkxFdqHruYk2Uo2IcOnkbaTZNsYRlN/4+h9H00tUZhTo53h58Un2jqUk997jmLQTUDFOkw6kBb4 Ed6GXjjI8LDlBHCwwQp1qcwqql4pnzd6nsUEPkvMDdGKBv7RpymKxtlIOsdRNpEINMYVCcDDYTrE nn5eBEO/cfNsz7GVYJZF9bEsqjjXEJHzBMdurCIFnVAhoRmLOSvTM7xMp7HPGzPvqzNVKz0Kzko9 p0NXpe5EVVtSBrl83oh5dlXur1QYpcIOapTNUxiNUxh9UbhVoPFuCUyFLM92gD0kNrScohK5KHbn byX4QqPmqVVgFTeuPnCn0pLq8/kjUeOtlFpHi8Tse7EoPm+cPJsmMa3bHXSCN/TlRTMb5fPGyFPg sr8LBtizQIX6LL2H4gYIAOIv303XvTG1ZFNQqA1RfZai8nhSA68sEhM1enkcvigN2L3OXt5asqpr 7HSg45IRejiygDnLGxtP96k6CBI45ZCsOHdOLZ5NqfM1g4suf6d2AOZ31LBsmqJInFk7LF6Sp8C0 m1QkPjy+PD9TMpWyNyaeXSFG0ij1jk7WgOhdBxOdfmq7bYLqYUGLBQgt44IszzmexBW+EfEsh2X/ oBNYqJ+S9ac5s6L/c+H1zxsN7wbytsd+mBr84PV8nqI4nHAYGKd4eXzdUgGtxr1MB0QU4/A3Et53 P5gGchyZJU3ahJ0UPsO0g+HlOZ3ExYblNS0mYOaK4tytZya9ctAPdjwYjfomVS1+1Olskk9GrfHz xsGzjkD+2ncL3JjZve77RsH7qmdOXq2MLws70WKkU4CGhbpzb1uh7o2BdzNTNsx3vqMvqHbyorqN rQhvO9UKGmWRgPqlZNUF8yKM+7wR8Ox8YqqyRPAEwkcUxXOs5SFm54k1P28EPO28X8c47OOmyIqc qCgQl9pWF6OMfjPsu3FFdQL+sKIqO26aqKhAPhUQJXmpanSEQdsT4CbjHcxuFc1MaaSGJQLr22uB RZWDN/KdfltKKbYs5YxsqXalXf2QVPzOnzfqnb3nN4oOKJBZLmLljXlnYQEPclUzNxXcsovqLxYS yOkkSrwQjhmH4ponX1gjPQJu4HNm+YiebXaSBlkdVyYClRJbhdWnIYncpVd+n6eapRllA3JDHt97 nI1hF+z8vBHvHjDThRhkFGF3qZ7ZvRHvtsNAgfWgYRqbVLD9huVAzdmCAcRPDMywoN54d/qhliAY qmpqtAW1sfWg1EAD37MvOkGJyMYQOlGQrFwQVCaK//PGu7tfBDZn2xouCmpSowy3fVqfN9adlelu 1iIds4HOuYcGb6w7rahJ2fNh4nZQNjEfi4r6iltaJKj70qbhjXRnh53AGJIZ0egklt5nW6txoioc G5rQq0AgnV8YbG0apnh61/XKwVlOtEpqzYah5snZ0aVUYQlz/bxx7iwi/3WUwwy65Lvz3gNyMM9N lXOKcQCes5wtWFJR17PBNd3uvDfC3XdAnnZrd/TOOTPUTjI1/K1B61SVbvMoh2/FfGIDQtQ+b4w7 K5dRRXir/LvdUuZcZRSUReu81M8b4U6Pt/19Qp0LcANYZPMUBeSLafBY1ZV90NWxNFg+pkyDmxye cEKF5XG6nZzoWzQfjhZqgmp1lg5yKaiQnX8oCjVRm57INgqsWiwNVk3ljW1naTATly2LBhcKP9dF KZlqNfBH+LyR7awJ8X3hnVhi4g3ueoqq4yy9QWvZwb6jlUvyaddqNaHQzhvvjWn31Agh+K+TnKMn eCerHz1TgqyicN6y+dYuEIMLftLLswN2hV/z1zThLPJB0zTP7FD0rJ5f5fNGsrNE7R5PTT/izwBR 2KYpBKyw9mQEarY62/SOcEd1lYHBye+W6a68cey+L7xuNSiOXqFDsQkwKlToOv6cZabteEsw1wAs 8oBcqykqjQsq1jL7wk0mj+S2nvdm+EPu5hu/zs62b4DBPokGZW1slqKAnCnoSbP2w2RJHmeuUS8q Ok8Dir1x62yWlP02tlE0+ixtLBwVVc71elaNUHd7U7cNvev28PFxM3/emHW3iXYC+i24yna54ISq Cv9KVHA+b8S67ej8B/0E+a2xL1qlRdE4zaYGiP6WBSObVE2lkJenLHgTKsGr7m/wOIR/0h1vFnxW UE8EP51b8xyHRWsJ2pkdTqfA2jgRGKVtfE3AGtuSkCzJB8aFa8O7SXim0vB4EGL2/pXcVRboxiX8 hKE4RYLn9MrTQNPZKipUSb1etAaKblFNPAnLAw7rHW2W0AOuC7lCB0yzQ5Sf1V5cqp1RG03BHJ25 9cYBnSWhgEkZRBu05TIoV6pjloHHA2BB/i6pINkgls84P1EkTsnVcwKNax7atheeSDwx81AKJZP0 8x6JWzySMaHjjlbuhUVXLsKOo/lCKCRbB+dYhFoJkjFP7AbZrP1vmmYWIjo7IvrM1mypiu20+XjA aNnpO7xEC4ydW5umKA7nH4V64vI6Zu8mgAG/72zTlJa76vQIqjIUh49MkpRGTVNPgCtPKU5nej4W SwLPVm9kwz/ucpkCB/ieKF+h7sWSwtiqvunabtvArHXi8SAcqPUrakKfsY10V1NcFSc0ZfSHHuWF gvJQESvPLl5zPaqKW3RJhrePFl2e5YPgksp1Jydv04W5KZFd4DtU6iPVs8Qhi6JwVZ4yhHMwALcj tb4TEZATDyWLgucDyuaFFRDpOxmR3NZmj0mbhKkkA/FAAWq77UIlNI1QupGnSU33KA6fYmKundMd vVKwybQug6R7GKOZABTqKMhX4ORKVIGkL5gB9ygOB2sBXLJZNRTDZp7DrnIRnwuD7xlxW/18UgNh odFzN15E3BxZwjxXygE6lR440XlXUg7IfzRPMUzFHJqM/9su5DBt2LhA410CIbgg2Nqk49U5GvFT zXJ1snjb9agyDpjQ0qdhwIKSjsM4FwnLK+MkMXg+uO5G+zrHB+sy3UOnHsbhaLad06NVn6eR3Vk1 C3nfiaWDDR6Cgh6yNwfzuTJMNXlc1WRp0kG/HNcdxLfkf3nWEW66BEk8IKbvCVWzXjkoFEjvyUwE 4N/ThQ8bMCmk4MUJaPF8sKDmd+mJHSloDdhEhcVxYn0bvVL/QzdaNOZVUVlA8GUuqJmAUeZJHkfi Jg0iogFHpwJvIGGyaK4nWGo0cMxpEvdKvRtweB4h0qlXjo5yiokNKhMUSjUXLSkc54R8TPju9Sga T2N8LSmgTif6FjZT79G4o6IbDeXURqAIzZm0KkfBwiLdpuIID/MoGt88oNEsLXd0At7Zartviqjw xNJsgisE9UMg50DhuF4nlCHtUXm8ElDQJDLNQcCnM+1VGg4rNTweUYK/c2DQTWe+cJ4eocdpc40l 66UnllRUzKyU/1CPkwLiXFERSkWXXjESIkbykXiUQ08JdxKBTxOFTTcIBYwVwmutPj4n8J6v+KII SpeJT1l3UEkF+aNUsk6GhMf/hcykIAh+qinjDk5UGJJTqGDOYeJ06CC5VXYjhh32Csgmsi2oqDq+ slK2YgJZZd3aU2PDpbL2NOEdsLuYwfT5gjcXqHfNi5mUMcQXBWnwkoCf4NHd0+COS09IulkmHo9q T/XXRGXsvHpJ5lFQPqWl2XJy3oZQdbj0rsY7EIMOLhhRedzwTueF0x29qAIQVF5MeisikSmaNRxi fqb4QzjHRW9hbI3viUQdWCcYrP1yUIgJ1QP3j8t4PIjK+y/8OLwC8m1LjSgo74nZb3VAz+jbpUFq YvmIYrZp0k088ZP+iqFOaGlF8rmeGArtggaMSaeoexE0KlMfdFNUZOx8ldbw4+B7opIvDasg4owB cgNTOe0JitmoPzdTxvNR2zx9pcKEeaZL2xgxdlzuE9PpLVPm2FxO08CsqA7eeYpq41k53mTSzJHv jBwPihcnDOssq6Adqb1ZWWgC+hfR7HVUgPAgvibAr7J3AINqDeZ5g65L44SBaYTHo15L/ZqlhnAF +BKbpRCqImGFYrwDnOjuxwtVHDdOrXVZJjyiiPwiepYqvuQ8cZaAs0SzgHpF51PP5akTbGzW7wsb 1zcsQEEIXxO1OHk2SYynPGI8EENQvQD6hiOsi98Mj7yowZLKuGdTFJDDGwOpVvKC75RVB+HQqm+S tIHbU4spCsinanToDtzRziagnfYEpheReQcah5ED0JMgcwKTifKTyMFz2xv/JTgzpW44G+7YrVrm OQGTyJwl4/loOfnhxOD9pAYzXx7CCANyQDdGWYaBBmwlmcQvhAeNA3TuWe+ZjyggN8XMpKKJRoue 9mps+xJffwL+JffLAiTlD9JkUIQvJZ/iBSMqjJuxuixAm1uA1omOl9TuT2yBx6O+3XfBgGnwem66 EDRuyNULVTl7zWdptOUNcxagNUsRaLzYTZfqM1raMs8ZiWOb6hz7/MNUejfgaz4QzAAi75BxipqN UFAFmjMn4alE020iecXVSJPIVSbBI4zEfwmqFNpyX1rwiOri5lM8b5NlleqKKtOjBAjVbl9LUSRu F1ylyw5HfGcmPxG9ldaT1hJpwaaSiRAYWrlQ8fZZwv+Gr/krbBqVrGAQUA2mUlOCXcYPFds7nv+n yBO7LNAsoKms5imMxKf8nKvTglHR8WIBVTUE6Mluzzj+EYkvEc412p4DJebMlGQfW1t9yTihNoMi QKEWO852HQPMEUbimCKAhKCrgnIN/Nh/qKwC1VK2dvtc+ICgXFB+5Swna528SzRTMVCFjl2SDJlU VSteLkDQZiFBKtnKTyOsjkt4ZgrRo9Gpd2dfw6BxyiH1bLRuAAy6qVZoUKPp4p4J2175rzYwmqMc wEhnH+oEZVL6/DlxWMHzwURd6BNPIxpWAHilmZphgZyFukpunyT6anVPuD1HskId+yIsrMxQxtDD AjXMOd77DtIqlV6yYF/iNuTeAxxsNtYm++3ckRo8Q6BKJQVhkmnOQVA6FO74jZC7wePBNN12eeLa g8ta8RNqRqE4fkJI/ncD9HQ4vlpqtzt1HTIylg1KAGcppHIaQyMpY+Fo5/gaJ+wYmTq+Z9+d62+I TVbRuy6EKIPI4jkwfid80V+NhCrma/XiDZRIoXvMeeoFj/8vrDvee2A22TyF0ioU/m/TCpewwRl+ QrXs/hsnUh92382Qxind/73kxrjdoA3sxIFiL23Azw8CB+GpmgoJSxX98oKyteN5qPAwwwI5w8y0 WVrhkFTOBGVQpfJV8XgkGuJVOp7k5+/a+ZZ9ZxSMZ7alBu2WJUGTjJwPw7wrQdMv4nCG5XHBL/I2 aOa+eGh8/sx7VJUzEYxLZ7sClAm3lx8w9K5z7NIL/wlSKdLroWyXKk+ZtT9yeSYeD2AFc3xFTxl1 VUjm+jRFwXgtklOx+m5GqdHDJ3OAA0qlI33VNEXB+FDYlKbg4xwdmAlIb1H4dOaonBCBGfCC7dve KDLR9MF6wXTqmiGJk02EOtYdVFDB/7HjDWMjPB40Odt3cZzik48AzYyL47zi0lwOyySgwZg/6cIy UdnnbTcjkErf7s5V7ugd87MwAU9SzrKhz62cBbDDhZwFygEeP9HUc0axeBXlZws2vg02DkGTQZ/Z nxNrDDz+v9TnAFGi0pzNUoRRmYlwaKZhsiBmeq/oqVq7E63g7bMUVcZXMyHRPO/4LCawmnnT4QI9 E8PzC7VNxHgVTq0XXE/e9IxicQqMnOuAMpAnWRAh+JxvMKRgQ6oMvmWU1/lNx9P6RMJ99XuCR7H4 kGkEC9GKxbWAGIuncrUdmqsaz1DeUHlvHq4DPe/JBGWBOUHggjleA0cnKf9FskKrLJiX3vbB1hsH iKcty2FCoavfp+zKC9dT1+bjwTSZxY3X5kA6usDVGYFUCE2HJp1BxgcUEpxG1nq6HAQHPM0oFB9m CpsFv+Boofii2vekpAoAhYs1HPSSFg0P0Yt87KyB4dMrB0joxMCJGkQ4IswBifdE4go+aXvF8xHm yTNgKbDA0aleROYMyZv0LzspxdV8YvihmZJQFkmuJflMhaIqBg0zzaf+aD4VCsbNYdCCDAqhpMZA cj2nMLSMyrwTtYre+K/Iaad0B0MawvqFZ/iJ+T4zDMTb9+kE2IoZw2KaVhSHJ0aYSwaCDPRIW+O+ S4+WUeL8Y5pWBFQZ1SSfSrujNzhPfDohuc4FBchCM+4PCj6gHMgWdj1yBSsEqlDOoWe2gzlols7R QQkYMLwrHg/Ym7e7yXT5/EJzXkrLCkvilX0VTQ1vOpUHIGC2CCliG3iqDZz4ScEsmXTfFgCDo53h AI/PNZgBN+g/dTmDZyQKLEjjmHLQEzq5+JpI4JDht3gtYBX9CBEGPfHJbjdADHg+gtClr2lCE3nm y5Baoah4Za0pdT+doALtOJWrVXBewj0DV4hTkV1nWbnZyOuZZad1fmpoTNKipW/ahBJC10Bwxvp/ 9DLhcdfwNUFFnGf4IIlTg5HJoerKpK4tPh4lKx5ecnIhuriufNiKgnBKwQ1SWE0Wq5fu2F5xXFAk GN2l11eIFe8KBKwdlR/6fTpZWtrwDidhesMukIUEMIx/6JM32qPjSwTd+pu7SflzGjGfl2HZCZQP VhdO/gGV2igKf6aJxz0cIAEv9tbBisJwCn2flTCFTMExVQxUfxbjVhBFF1irpqyYvWk6T3Xe0Rub Z3E32FqQznIWLEEXkBZslBkHr2M9+compmBFKJVOfmtpRK4WQBybVm5PM8nKMUPyFZ/wry6LQ3xP KnunKgrFU6EQe3YJMaBvroSYN1zO/QFqvqYqVlMxxeP9jBYXYHHvvXmMr46yk6SxUqGtIXKbsq5l Lsq4euUI0MOpwtlkgxLgXrIVCs7uxuMRQKx/zRMUHuaTAK8QMC55HuldTDHybZ4gor1tSU2krJqn MBg3eHOXByxHLxTk2Qmtl6bvXoDRg7MzeaBv1OKwVQ18kXXhhWoq1KSrJXUNXpGECJ6svVNqePxf GtGODzvn59XRDjmcWTyN4uU5OdXLo5Pqcv/hTQu4veYphIwLxrPFw9foW+9ETOfoS5wniPVsqkbC UADrCkcppVcdpIJzAF8UgS+Yr3QZjeDPFsEVtyrX8ECBbkXxOKVxn/AJaLx9CQgrBo1nhpZlepTJ goakVFr2Gy+NYuo8KyyNm9xTsdJ4GU/Bt6EzVtkDhnTVSGQxnk0jCidOpny5roUiBPiiCDaOhQSB LR+4787GPrcsRULOysXjAaZg/Ca0MCUol4q/ongcSwnA1XH7wKPdBmdKt/R0lR1WHI+bHZL5INRb ekqp02lkDvZ/cHew2QLYNP5dBFTYnDeIokr0CoXHJdtX2G7hYK07KDezdX6iKTwerSiPoVgboLgu icmaqR2F5ING1W2YbhiYG67re67vYjzzs7yaZS471h034NNud/QW54YpbukiJ6Zzr3N/nikBFuFM JH5WqDGosCL78x0F5ahErMx0jkORggyW8ZqSpJt8PBKeufqibC+CJbJAo7eZeg/Lz3NUfOpzXEXt ZRfgScNHNekZyM2akNEORVUE0pEJh40OpYOAGBXNOkQVYa1hFluT74v6yO7X0TvRE2GH+HG2Ec7t MH0wRv4JUeQjDIX2/R6Xgz/0neQV0aR87+2YxcnyeC0+T0tXHVZUIshYcTmsrjVPcVxuuPH0jF72 BeZwVu69hlVQzWYSBO+J6wso6sdCeOiNo0odhVj3qj4IhAFOjsmqpIHHI2GsG0ThSdqxtyvSs0MW 56BFPG1hlL+Mi1UBsOtiVZqvpxirYkmwReir34ATLA04hUu8b6IEyDNqU4I8sS6z17inOV4GXxRQ pYjJLK0uH3RGbbTqeZNtrKcIrYLijEk+JWaFFOd6dl6IH8dKOcGu16FOaO4i7fBuc1RPQsdWMxVW yE1CrAiOwdHLBu2spgpMANxUOqjmEn1KlAyp9LC81ToUv/A1f6lmQiwRA6xDi5TZx5DnODgrG89H OAzfeE0Wr9Cku3SpHaocSlqFbTBJq2ynS50QcNuKOsvMJcR2CFfRSirja7Qq1Pm9YCLZJY414cHE WAIpIGxFzquOq4QBoxu+cGSQxIrBbtMHbbxx8r4lU7u08Hik+jS+zifw2RD9+ixFcJVMUtnJlJx6 R3EmsyRJc/sskTvOWYrgKjqbzyuqPcXxlsgr6jaJbNcGsrJYwdByQzLM8wmhQVOeZ28cFH+plrnV xONg3B8Kt6n+xsf/hX2S3csv7NMOg/JCByDi/8WWmlfNaKWrR1doT4Fu8A7xKlN4lTye0bvBCcpV ieSWkxUnRog0bQNIetOLFsmEtEXpAo3vCWJN1jLbKHew1YSCgYQe2sDjUbHuOiNgSs7OO2eTx+Q7 isnp9gb9GXNVPgeHqdAhXmN4Tu5dyz5NMVxF3nY1rzv6bXeO7QxAF1bTuULXls5Th5sDvKUQwFyw yp564ehsYndThd96C7/nw5eMJNuJGvB4UF75pTq+2BG7Wv87iscZjg7mciaNtce2PdfoTiAbICq2 cM9FUJVZbRGZOHu+4uywsz/BMsoD52RqCfh6xVkF3E6YIuLKNT/zxDx4h4oqPLqbMPYclLecdHbp pjtpOx7/l4Y9MeMqkD4a9imKxoGWQ5mAjDJmwnRjQBEKhGTVo+D+WY0BRB/yIMgU+mQIBdWHKx/D MhQC7ZNWieCoFl6cJ8ismLCKVhSsrQGBkg3QtpcOOK/86wrNatEqRRyvkgq0NXjAoN+4+RERjvXb GsFUldr1Rkih0GHeYuBt1ghgv5qMtpEtcOJPNZNVovBRfzKBlh3UHG2+8Ge0VmXCdZL1vXjw40w/ v1GpnViMdMmKDMrxTQHMgMkwau4+qDEM9S1C7Nqamc9H8gW/kuKCDn67HpwSRn59juBocJytbLeJ nFXZblVzSDj/iGatJivEkLNcCUfBfUe7+dBJAH2XPHwYEEGrE5OV5Y4AKDRIi66CvPylg8lSxzPT f7qSO8N254nOqpwmEd3yA4JY6sqvDYm8Iz/4Wlph4RyJ7ujwpjRigiRs6bsxTW7sLO9a3CQ4RSLk owzrGew7WrXlxCEN8zSkGb1MEiJtSnYr1cNf4PmenBJSaA7ESAFoEh90bMEciNY+FZgDPB8Vz9d3 KgO999lu4JlTFKFThODMkNmZo4JHlVGeW0SsodKJZObuw6h6PqTYvotKwhxtH56NNwhF4z4sUNnx 0hRKwptiUM1tJUomFRbf9JdPCfAYPmiyzs8j3ZJ2Qjg+H5H1vameuA+Jukm3rZ5TWD9v0lux/kIG wMt0jnAwbzu1SMqzUz4soEvjN6f9jB40nPAAftZkm3X2wYhxnYUiNjSSo9ARVTIKIwF+U1RLYA90 CtUyXcj2nFlb2cEJmfX8v4yDdZwDMr1nee7E2CcIjZnK38cYZ8UYZycoag5LTMBt2GxFAfvsKp9D INZHbzecKPT8z5nl4YaCqDy6KnwdcsVlRNiZnfEkKfGbgihLHkHyWuagystZ3SqCInrn81HD4Ze5 C0DuENu9kxWrrxhk+kpo5T29i0XgE5dWAbPZNmJYS1dFZRZ5TXD0UwsmWgl5LXozaYiQAcRb59cj Mc6XtFAANdFLR+AWcKA2yk0cpqFUQNGTLNt5iM9HndFvhzzENXvk5z4M4S1D2d90ZfKedrJC1U7O gjmxTHVLsxSJsCDCJOJuzDtepQO0NkDmQezeeqGDYUYjBvky67QpXYTi9nf+yzOoLUNNWSZVIaJA 8yq0SQqfD3Zhva12qlvyRspXFFHyk+/3sExzi3fbC1X6zHeRfWRqJVNoVZMVltRN7ICFQhsdDLRR LRZmqgP8WBjC54VIaxFk1ekMIKIeicT4oqBcxfIC7H19sMnapUk+4+SZfD5KnNPXJkQ9iAZWNlWh lSexMaB32Lr6P8a+K0mWHEnyP86SUgJO5v4HW6iqGTxei1vmznyguro9whMBYkRJpyCmGcCV7uWq cgvFOfDyBIBDOeFa644OVTx52hydQlFnj5/DXv450GrDK2ac7q60WRINz3Pg5emka0ZUNqjEgExN EP2zy/l8JAxx1xUrpuB6rXntYXPo50kawTlEmpvozlm8ttdzdwNG1Xc1WRHaxcrELQv1wtF5stBi tmbyiRs68f9Ye3CN7JNt5Nt+kNNL/sXPk3MlRj8Hw+KtRW9ZsBUrn48EgW89piomQzv5CeADT088 SKIVZXhV36tFciznmm/NBGwqYCuqNeTQ1NNwU+CO3tFxix2+0mJ8Zoh2oIpBDhG6SXSpoLu3TVbx d/6trdU2J6ttKo2S4AhdYBWB6+DzUQ/i+yJEJWykx0038PUE306KpMWl3ZuH7CgysPRXKE463dcz NPZ0LaRpvpXTuzXw+F7p7Dg6maQKlzoLWoGIZGMZ8p5uIDT8lSOFiAo6Gv5nNggetOE1KKkI2OkG zp4IGf6BVWd66j4z9R66Q+ucPPWdu81Uos2YPIQSOX0oYJ2lXX2mQjKo9G5rFrOYoy2qMznnOAL4 DgRsFHvlTgya8Q91HTKOTSth9dHtnQOUApHno+StweRJ0UlsRSiFsfl8ZIn+HbnDCBXORneqIuly eaAmmQlyqro1SLF/SPwoBJIQstD1Ub95MVZCGny0sypBnIwVVgq0nIkSmKGjCoUz5gcanBdN1ae9 82/YvJ6ZEXJQuQ9yytw9sBfn80Fz619zT0YpT4oTmHuaNvzZqb1aQpgo80nGFTxVDPqygVpV9hy6 e5pOxJgp3dHChXYChNaGOvBzQcBcNL6CBXViFSSEadyanwpZob8nKKVo28hKyLtoZ5IzLHwZXHH9 R0H7/ke9rTVZWty5CjVapuAvjjyDdaLDX0SBZfLcdnMj1MDiM+u0hE+bdG+lM2Gh1VqdWuzomA54 ZVO87CTJOABOWiKPQ3dJB2JO3xStK1QapqggHBRbnehjFzHUUuXzf9k1c67gTfT0lnPg8mlMJKSz zjVOM1WHM6bs3KudHRubA5vPm98shZgavQs/10nDcT+BfJWmWZtkAvKgEgT8MGTXDCvU/aUjAwHq PyUWZ3oyugkaZtRaoKJb4fN/Cb4TCQmlFphJ+1z9DkpfFFknovEkMsXgZ0vgvUW79uxFmdjqs9t6 MgtZOsvYukKRYXUSsCAs1ZUL5cZuZKkoG/f8RcGa9tJBLjjyK7eoYI7UnkAlOXT7JJpL64qZs4KX rzpDaPhJuvD5qbqXR1dLjtZbpRhJFF1vL4+Gjp/LZJVlh67RcdeA6i3UyzqL71u6nWipIRNcfPFL dyj5vvSv/oydPa/SaXOWlFwU+jycPV/5fDRd3+FVgwtPeo6s0PUTtEw2J7LV3Tv/1P+S6n1Dckkn W5y+tELbzzGtJtPGHR3aCK4iTEtxZJ2MvEvQJpOkmRMwOzCXvZpubA/m0PcT1uHnQC4sJXPQNjxr lnEiAoHJ54Pi6OWGiHCDrtvjMB+7frIrX4RemKJkTa+NlqkdiR50NhpkDmw/XUUCcoDjjg6xAuF4 JQLVz5E1lrIggNEQsE9QQ9GD9NpoTfbSUT6ISZqDnfnZaSrOhdWAMWWIdZJ1fkAUt18pCYWjgJs8 Ci458P48t+HiNpRSNyt/8pbdtE+fom23E/PRUJZL63fEOgD2/Y42XWgUDhC2ETlAQbjI+QRaXKgx oH1KcwHdhpRL5jdFQAac8GlVGyzLgdjokOX1uV34/F+0o2XbcJb0LK0odqeF+llaF8pQyrYsJ/VV PHkGWd9i98AA1LRCMSFSBxKXQrH7SQPgKsvbsJw0YC65EPYEFIFcU/cFhJLaxS8KpoptL0BsOMDw ydQ3SpPrKISe+QGRtuK1lN0WOsDi+E5WVHWHQhpBVs3xVn1WS3RA8rAK1iyOIsqhCagmALt33vGe 7+eAT5VWxWBvURkXywo7ahItULqTI70sE5qAZsotT/QgbFCp4Zz0XToAvTY+/5djhUQDN2haN8oK bUAbWfhQfLepAnnRpqryVtBUUVdBUxUKLA4rxsxn9ArW3lBRNLWpLLoDIzGy3k84JKKW1KbWtFcO wB9cVnuRu82BM3XC5j58pjafj9zUPW7gOX5+4BMY3+w5tAHtm1aNudsOPFd6t5kCQeuqnYOiZzMV y50bHVk1ZI6ObICwyxbascDat4sPkAD7353mttAiVScn21SFOBnZoolyC/1J3Wdn+3n2fE4PPh+B sn2q8IJoz5xz7lavQiPQTgWZtd1t79xSaztSZlMWp1AYHvcls+fACRQ9QmPd5npHh/EVfILpBKHo V+QjVwDOOjkiegM3umpYJvye4BakjtLZ0M0H0f/OvI9kmOzM56Oj6rEr/iF+HfL9/Zmr99DdnItG VcdZVnLzcSZMXm2vPXmlL3ADdRV9yJm2O3p81UEkSYsxw0mde+UmzeSYLlTPCwJV/FuC1Iq9cxCK 8pAC6I0D5K65rCCJM61g1vl8VL/6hqkBx7v6lYLNoSPoJMENxql2Vo3sNECIdBlJuZXucOMcW4KK B3jypn5HW1bnB0dQLe7tCeCRNamAfDLDDl2LdrlIhl/PoSeoajITSCUbBFTbqCcxEGWxPTAFhYjz d18CePbVxjNTUdBOoCboSO68lwlEslN9GAgLQpzJFlVgC5qNj4T5fUaPFtibL5RQqJBCV2RR0FT/ ARER0cIX7b3bO0cUNxJHULy1QTuwgb4j4ZK5+XwktvgdWMFWY4HH4lMVYtg79W/WclXKtJZroCcW 0eQXnodxS3NoDWqIxmkKw/NRGN5wrhp1EXIMI/EsxSqis38wq+fl5tNG7Wp3he6gk94DpUliuE1j QNYNm2p2CqH+yw+IYMf/Aou2Gr/PyopJpshrRt7Xu3itq/TCu1jTdUU8c2wR6nYna97Rus7zrPJG GB2m66wt+auDYdn5tmzRpytFlf2lI263SU5wQILEXjNAotglmK4F/EfgEqq29beOCex+0rO2wqB9 Uu8lb5cPmmUYCR6iWibRcVZgMaJpDnxCnxKWKLllXUrumYyEbi3aLqAFnhxnLZVGJ+9OtJKgO3f1 qLDS+U2RgJBEmbkPSXsral11eEpTPekkLPyACFnkZxbhy9BOmftBFgV2oRB+RNQwVkqWPY95i6OF Bu8sYi2gXm22Qt0Xn63+jA5aqyCrJliag8pVl66A8wczYGeJELA+a3rhJ9RLBxEWHUOBZfBBlYYx 0f9nMIriaGAZ+m0LRu0XBFdohfpchUX3yiofu4oC+LGVyQrWLMMBfuwa2jaMkDLNqjGbWjkaHdp+ 9t3Z6xLT3cSvEeA3+b9NrNHgoL3cm24vHblhUnU4ESnT5JXHlbXTlpoK/Mr5AZF6wO17EQ4Iyjm6 3j5bYdmddiBVApVyOF4mKJQllazZAtzSZisK3R2zlo1+6lZLoJ1CyRrZM40el9TTiFnDrFWslJMU XRs15Bz6pmAfkpibN2VOOFiLYld5i4P9w+eDbXjvQy5DGEk/lpg5NA+V04XRSZaMeLwoszYqeJSR n6j62FyFsbtogkv0W40ej8LOGJVLWl2083uQ2JQFf6gAkZfxmILs7i8d2V+RftNlptadNnFO+iEW ZzvXFJ8PAtL0rS2UkeNQptUnK6y7s/cst1waY5Y6reBXwCs5k8XgHTwIO7Li4F0eaZNAR4064E/e vAEEb5v2BHC9ZY8iUT8bIi5oe43b+8qC9wUWou7vVHomlhsLgxE3BLVStYLfnvyAIHi4WaE0CCo8 VPYtJYc2oqQi4y/Yl0CxumfQZTmBgl6Dit8DH1FkmOw/j6XmKkctrYbFezYX/VYTaAIQ2yco+YQT bdGw9jEMK8teOZorNgiH6F2jKACAb+luRKsK0xD4iKJo/y3PiMxulKf9HPqITtk8pTVvUujx+zl2 q7efEyeI8XtoJLquWVi+o8NGgbjNUD5GAk2DUsXv0PeZMCzCrHlSOLK/c4CxZeurybDvRIDWlgFm bCTm0x0C1zm0Ek3/6IADXzGRFftcRQF8VQUrzeRQGdbolEADUy78xyASVHMVBvDbBNDaM/pVeI53 IN7IrqS6h0SJK2T/ZkIw2NdFq6VirxyhIOU5o1WFy1SeM8hD5cM1Uln8gMio4NvFCFsY8cadqih4 B/4R+Jg9LIFGiV9TdQKj5XzdtW4RObYT7bLJbL3e0VANOwHxmiTzdfL6XZOyC2onsNgNxXzTPUnJ Xvk3e9olszUOXhbtheipc4NXPv+Xapw0CAbaG1e+ij2396ho02yGlRCFDN1140oTFgTd59bcGjq3 2FFUIUNqz+h5ToPW9aQ3Vjvh6DBdAbh8wO77PKDTXaJDtdo7R5E76+2FIjFmQkfoNCA97DbABDK3 CCvz+Klwr8HRAaUUn6qYi4o8sOXpU9VkRAeyyaz9SuzlZR61OTAVdYz7mzrxJsJ9t27qxGimVkUM qIkWrN4CkVcHyyjLCX1FG/s3FOcFahv1GQGv0LMr0kTPjR8QeNj/6ziO1JIpj01WGLhTKEb+aBRp SnlYp76UYurzMGOAPaMmKwrcLcnZSScRR6cxNahebzpkzULlaDkfE9dUIQHBm9Cld2eyl47krLbK DRIhQqnuR14quzAjBKOTz0cgrIdrQqkipEbIH575ivUbcbqPaXx5QOtcIxx6lKrUnGWN+972YYSY qctuwFnueGt+HRQMM4Y8f+iUMWRjf46kXygb+HTtbO8c9b0kgcKqOwcr+qHqJ2H1vvn8n+AiIbHO cYOur03W5zW+yiJQgfU1t23EXoab+6Lka2sL4BDN1Wt0lR2HVfNez+jovglnQxWyzpxVwEd5D4Jm MPf5aqw6p8fRKfo1tsqyucL8qOTeveSOK6NnHVcLT79MUra6+c8w8RQIVy50FobabNEMoXoCknDz 5EbQ9kWZy+Sky4JOnlZTMENS1anaTzZapIBm0h6DbcEOplIXa57Y/wVRnXMnTTfDAFcVX/M2Rcl4 S0NRKAc70SvkM7mSFp5+myIwAJZNEU+jk6sAOWdT9BpOZZDo6FufqmFjTug5LFeGz4fqDYgQ/eJ7 DaawiKZK66uvO1pv4IRLwLSTCnfOa1yiJm8JRi9MZsH8srhz2uu+LyLY1oD4Qg05DqoaD2DAZVa7 2+c1jsoK7zVDDFXtcrEZeo2isIhkIHbVYc5ayEYmyeau0oTLsUX0GkNhqks18Oy8ozdMxzkrZif/ Db9FMgYFskEIkp2YE2U9myFgMz+vEVRGBMR6ngTBG6oORTqp558NsbDO6f0aQPlfqylqFms2Wkxw jl7Dp/MUKmjo/FtT5qR6q16osQsNQMejWKT5GjzhF8pWmS7P6DX1c4Bv+rP3c4tWFOuZFhPMhXoe O8Hz0k8pG/caO+Ewov9qSezCF7cvrWvPosiH7ffXyAlP1/a1jggHpQkG5+g1bsoovEk9pzkpCTxH RylMX0d1IvCUSW0wR2Q30YE239EOozMpUOCnFBrqRltlBojwAzEHFcJGiL+6pEnmq8EcLTkYbWZ4 HFRlOXdvpx7A+b3L5zVgwkL6d46AvMXFpjl6DZdwyiNQOBNzBVLruMSt2YvroA0cdbIIiebIBHOa goDsJnpoYyX4zzR6Z7cT8VPZHGU7dEQ36QVgCPtmY273Gilhs3EZ0SYKAyJ/HtMI7BPFctEq+7wG SjzN0tccwad79u1z9BoiZVNHBeDeJa53LU5fPqf3FQJH/UOKxNGJbYFkWeWOjnWphCpTQ3ahVUzr E5SCESJAnBrKgY/wJ3nxr/FRlkrIyjSzsUHAz5TomAhU1M6f1+goW0FDc8TDq9PBN9kkvZY1s4Sf eFJfr/rWbu2pjuHoDeZ9lIkLDu2kA2l16wr3fKPuOTt83olyGQDmCbuRE9uxFUpoFV6BhiWmptjn taSJPxMZRdpVhMlKYR3ylEHB5BdmaKNGF1v2i41nAVpeUGazSXotZ2L1Jmp3fDG0tmkQnw2ipLfq 7ne9nCg8cnhPyXf01ASKShAFkdgn2uBUpNiUgjj7r0La9ILTSbP6vJYys4s2c+VxsPLc+axzB0hS fuXPax0zGyuUU0IxL1yt8Hz1u+2d9Yd7O5H3TuqKDu7t1TnIo9rlhgbHZZNGt5siAGJDffRuAoh+ lTa7ZzYXrjO58QCgiN5eA1T6dte38t33WPtK7eZ0B0bNZaBny3+EPNw75w+LcdWvYBtOFWOzNCfy TjBPqG+hv5o8COi7uuAgCG0WKG3wvY1vFK0nxZJlyImoOMsaCQlK42uQmAU/jZm06XjyZZpINBSg rFU8Kc34TvjDDiCoDImHD7riCoBfvLfGXp93vh9OGZPM6cRLoYy2WBo07F80TxQJyCm52GdaVjVB pF+9pz7pIStkWTBPW4VLYsl9tPW0F7osgOfDL+ykbRIfOmsUXbTFhh5YVEpLgDThG79PU6c0QJHx IwdO0wAkiyUbmN9+3pl+zPz8dDKr7Z+TBGyfpijuhnYXSxm2nAaSRpsmBJUOakFoYfCf4Azv1bR1 U72jN6YAVQQCnWjhCRETmRYV8FYWSDKVFTkJfaaqNw7SN8N0kpw8s4WuED3rAqQg0Pu80/xwPpnE rqYJ7imrb7/o3ll+2WwFwAf0Iu+J/mzXSSFdKKkGq0Er8kbTRF2EcwbJqICjZXADDDNoJpKSfO4J dFFx0qOmQ4JqJlLHuixThctfD6exCNofqPGKsn2+sdJ74lxiCbW4YJ7EvcO0sLiUieikHionKoq/ CZM7J3ByVcbcrh5qFdAaseVJusyi4J3i5zlzBkn0Ga0YPoFJhXsYZcDTqNZmp0Ui7Rwy6/86xamP 9Xln+GHfsAq+R1o+KNk9L96KwdDL553gh4Qj+TyZVNXZd8Aq2zy9x+BIbmihsi5bZitAQHxJoxzr nq/kCKkoCO+y4anNFL3ao+jVAKWbmbCMjj56MxW9ARmEmujDXt3eOEs7IYrCm9ydKqMDDlpP8IHc dtvtzzu5jxnz+Jon0IrmfPZdFIaz3w5vzHXD8DEcZNDm7Ris5rWlKA4fwpxLXtZGhwdnCBlPghMH teJUMU9r7R+2R84lcS0v6GT7eSf2ZVfFmYKwTJKf8fe2BYsOcWs7H38PCgh30/HE/zFEGaW+z299 j8ShTUVqe88GXYEmbvV2wZhubzzBlVQFPArFCYIBTZv0Ro3eg4JmCeuPUAFoU8AxhOuoMG3pUz0F 3WJv/D5Psytq4nJa2ZcT3NUo0A0uZP68c/rwB6f8HWaeXBmKODcqiIJxCpxDe8M7BZVCdpynnk2g qlUKA2s9hdG4tt1ZMPuOdo7DsqadKFq9ujKhAs46C/I7Ct9noiaEzJ/SpIqicSrhnAhg3YER9EZ8 xryuEoURheMCgTOx033XZLth9cooGkfuh3RumNNMN+MUpr/DVFEBNndZ63cuX76gYIi839H33QnH wa9jNH7CDeqpYd+heqOiClaWl5rAx/m8M/mwIKrQKjn7oOjp5D1UecCvmj/vRL78aDVbIeVs9b2L Jy3vPD7OrjSCrhH0UiyAqiWR3DKCPnmET9N7MG4Wm+fj6tfoUMTZ0QNgKWXhPyXR+EjhOn9qY3u8 3fOJhct3Fh8uHuhXJviUA8qLaLqRfXPu0Q7g6Q9NL2b7vPP4uDa+a04TsgtreF3uncaX3Qzr3Bu3 MPflZjhuYa5TN4RT9R6P4+pUy3fJ55mjtwmgNjysogIcitD7mYoIuQE/WwlUcaB50StHIRQxdVVi QbWa6E+lgTLrvWfVrs87h896MU+oCf2n3qi9wYkKI/LB85uQElbnWknXcaaZGSRcw7odUe/8PUY2 SoQHS1AavTxXcRkU4nnAORtTULHUEZdU+KAMVMpce4OSLu/0PVYMGDtVyltzUGgAab4lnOusn3f2 Xn5sRK34BE73rs+KimJyapfBPHQ6anpfQhpMXx01TXdQxOTv5L0spBsnSH6rHH3zdSA7CrU+4SE5 1XlBURfrHkUIxQbzscN65+5x7wmruauGJLZxSic/Ukhe0FeJQnIH41vVCqvzCQ3eeXvYrrzyWCiz aequPAW/Zi8YjKsQ9E7bQwiVRIEZRom5Zn2AW7Q+2K4EexYmjSyspLUxtRAlogj8c5YvvXIQQxGe WeRtWJKpdAGNdH5MYy2szztrzyL672ImoHTS6OJERSG5Tqg0l1czp7yxqGfmMvNnwre3V95Je5bJ U4LYfHzrVRUs5ZzXZxHhLOisRQmVcXYYQG0nBjmbelOiK0meZOmVg3omYeVdUHwO6ttW9H7tItuf d9Ie/+L0leOhjzvX7bC8c/b4FNAWYxQ/ySfzJDGGhC5HLlyHx5rvlD38zuJ/1qXUlqPN03n/dI47 asYC/naeFjQMHt1rUx4lX8NV9Dz4xtE0UWpqsileAbwYhpE+8TX5Pv38y887Y4/l6X8OKPRuOzie NlFheXyxBDWTFw1OlOtX3kkbXU8+U2iCC+o9KAfsmBPV2LWy0SZqDZRHGwHSJ8cDckeCxfBenCiw ZMTKVltBDYZvHASb7EJNQEtsMJAF/H2KxZ2fd74eayv7KyjvxO9lT4XfyXrsIizJ7rs9AT2I7YCi /CBLUJOSJ5ym95gctRXGmGNLTpCjxeRrLHQVqJRecCCtqegBkzMgW5/Tg91ZRPu+U/XutmuZOV7z DjPcS8uUG9Ze4/PO1EOoOu/5JDLDBtLQK7/vTD0e5LRV29UCg3OQFAcRnBP35sLJAffvRL3snMaT s8x8R5+nc52BebtpdFzT2oJQT2D+JlV1U3vUy3B9fN6Jeui8TdqI9noHlVY6NOvNJLN83nl6rPT5 PDFXh2rTADTB5inEo8z/6SQYumlQj2/aOQ4pBquQv9P0ssPHcT/XOxogGviKhQvpRzbss8maFenR D9IAHuo/6JARTFD0xhHeguf3oMgbB84T2gdTwfmJzj/vJD38wWl853jA5HYJeHKeooi8Fe67vBzj ewJNhxMMyXHheOrNNd7eOXpsq2kdKXnRaMdTO6HlBDkd6wnEoL3VxTqXxpkn0JjGld6HaSFfOEjx TuS+AHmsPigV3udDpQR4IrDPOz2PnZNbIOfpj3J06XfbRfG4QKuUpHPe9VbESV0b511XmlIACv3O zctON0PxLd/Rtt2EMxJlYFFaOaeB5HOBBcw/bKWef/YUL0+qj7wz89gKlnLWkrkaEIQGkAPjBd84 Uy6fd2Ieayu+nrpO/7OcLqjgnZfHBHypA3zh9YRhsRmcr7riyWWKL6eoRJ7kv76KeAgcNU89gQVE SU3EB+UkG4JMn/8CLC0lPNfCF4nI552TdzvmI7P+O7KjoNGhEg7sHBDl887IYzTuQQEPJ5hLjOqX 3Tsfj1eHCnWj303XfdNtedBRWBELU7MU1ceTLrtm2V17srszX/ApAmIFBajZSevE5Vch3A64GpDM F1fApOWdjIdLlbCL0SlEyUHAAsxSkdBw52tGi8ljAma8IDBD+sbnKQrGUfgklN6tjiVuYuLCyTEq Ew0XXXZRfZyNZXT85AtS//EFASM2ya7+nLSVaxg4aDpnwU8KiLNL8iSs8J2JxzSWNjObBWAOqkBB 41Jc64Xf8z0YTwQCPWUVdOFWn17QfGfhsVrAoCAXDwpWyesW6i5OvJRkwfg7CS9fSUXgBTVSv2/S xfdMW0fhif5zIC2S/YPdB7oSYD9lX09DQz6/c/Audi4r1sw4/sVYhDCpJLPO38MXjaLM74miliU+ xCYqCsbJ5wZzyYJx5PIWjEMS37IWpAOGeX5n4OWrngEhkTvagponQmAfWNxONBUofDeYkp6gB1Kz +zLwKC33eSfg3RZe3dx5FcQFVroqAhoREVCj+bwT8JgH+9YjwRiuqz3f8CkKx6W3NSj0JpPxOrqv qOUSgTitrIP3zr7D+xcBC5I10NMt1GXRUxtX1Ngn75tyNDzH+XmG+MPzW9wauWQO3sl3jGQq0c5U 2+rXp6/tM//C0J3P+LxT7/ie7WuegC9Ci9rBmFE4TtL+aCSekKbYVkmmq782iij/qWU/gRADGjMu kWvHVbUSOHrnHNCLOXnfnbTzRJoyPO6IQXKlIQ80q+yEog3l5514RyQF56klybKcSZZNQ5pDLr7n QJyfd95dFtXYygWE0COG32V5BPVOvMvudwxdcuraEW84aTsAJ1SyXyeZwqjoYEW98+5Y8jMPbSUu HH3rnYAA1OrKrTe3kfqztPULHJZLuRCovCiz9c6749ajEcikpeEZimGgOj6Qye2ZxM876+6fSp0a yAO98wvvDQPyKeAziT5sLECFWG48k3wvmg9kioMh0nzn3FmvlhgMoeQ5OlQMFjOwRcXOK1y/UoFo WL1MAQptLTzDs1cOznKeTZlG7hiq2icnyhxFzvU918875e6fE4pHOZTXS/bY4J1x5/jVkwh38ztG hiKWATxXt2gIyO/cteidcOfdVtx1ZdzRe+e7QKCLuMNe0UNlTIBCXTOQLzm1vvPIynhn3BGnKx/f MX0QBGrVJpWck8vXzzvhjmfy+j6hzlLa6Xan3ul2hJ5uymPUW6irezloRQR0JMIdsns8yd/Zds8J 1U24jaPFmj01KKTQ+COVAdElrj2IuKHFjz8I+0SJsAor72Q7JsKqZ67tgxEPzkbYUltu7fPOtTPk 4ledDhhRMM7uREUlcvp3nRcujjIYEtFny2XvB7TiKIN3rh0XtILymZ/Ru8Lwb6ZX7bmhzuT0qf4V GWUCCqAM5YU6QCI+70y7LPLXykv8Aw6aKGgLbmNojM87z4652ncLr8uZ1iODd5od8291O28B6gQo 6WKiZ7JYM41iLbx3ll2+zrSTJok22jQ1qMbURkhdBuEfvSidYSiTdaDH943ItZyi8rgs1bqYPv0y fYAcopu0uJvvDLsswdOH6gPxBlBLfZaiiJyKyVARcTGf5XzXc8dWF/+DlZMBWd+5dQT8LuW/aiNw dKgYxbsrHaEhftsZYOJGRCEYeSh04hwphpv5886sw8/KljnkoXwwJMa5cwTsObnR551Y53+vir4M SYEaRx5l0xTG4+QgQuLKEmGwWVRWqXLsZiK8SZXhZReCx4sQGNkOqby8XHDe/yQtvQifeUInEJh+ JIjRzjEKNvW61Sf0O/k1QUhAhcSx2x1UfUqw1bK+cMPjQZDZx3dIINDDnaUwGM88t/e1rGjt9jjN AItmq92bdy2qjScvE+RntCMcurLnFlik4cOfMQlhPqFheH4WZOLf8MytNw74LEn+C4D3aLA9N9Ag 5GoqA48H4IK7mlgbB79o9upHUw+D8UTpHsriSgVj5Ksj2eojjpit+NTfg3Hr9YAKqGCco9V8G6jl O3VpI6KlIOR0wh9GoVIU0i23G+Sz9pinSStoFQuKFwtgwD76llb+5uMBp2U7JlpIzvlDTRabpbAy nqR3a7Vd8O0MQoceErcfzVaHH009gqsMP8DVSeBoJTp4SKaJGJVyt4lZL44mnH2wZvwBFf/WCpCP 43uCjEXCdakVHzRN6FQUZXZ14vGo5OubbjJbPmHhuOFAD+NwOtW3tCwcAL7UvZomOfDiR0ECm/dc jwrjBn4qkszS6PkKGWQAELCkOVMi5/esVtRfQC3+KfUR61nJXjmqPXE5Ta2qSQoDExYwcCXGNlvB 80GrZX0HBEx4Zkt3QYWsTbaCm7TYxsOSovVCbWa9UKGOoUi8R5G4ZXRJcuYavUp3fsU0cOtDXAx/ UDbbQkQxZ1ODXZwcgwGemF75txwYbGINdh6fdZGEaUXMj8f/IrcuNRHOoYlc0iYqom6ip0OgmOEO EXNnC506PYBEJ9OSwkTFobg0QuhUZ6NN1ILe/4K9D/nqhYq31G4ttHTLzAauVBavux5Vxxeb5aS2 0iltmJwKbPQ6QdJnW0w8H9x3o3zH4kOtQM/tehiKd2mWt+oTxWuZAM1zsYtddi6JnczBt8cEThNT MQVljN6UOsspr2Je9XsTBIqJYqKfkIJmtAD8jKpZrxwEBmxvwm4LA9TqusIB8NIJ+T0p2Mbzf+09 blm0pWBjZxMVFsgFE+sURqYxLYVCWVTZPEO4otDZUPmph8G4ULxzSmiUoxULzt+Ajg0Z07vDcIP5 DSyOUCyYsLmGqOhVI5165WjrUSoS1F+XqitaUwAxMh7BXY0PiAB1F/RLEcTzt891I/L+HpEjbyEn eEnXSQIFm7NWYYrKZAWhJtYU6089Aqw4oi4rb0nZ8xb4w0DrAILS5zxHdw8VNUggUvutAEFXxs1c ztlV9MrBVJHk0rdsh7bpo59T7uwMoVnP1+HxiBY8v8IDFDFmvvTyHoHIKT+EzKVY/alBFVsVTXIs szqdEA/QkorwKlZXyVYiz+q5VApMn18tzdkl2noWD+tOjCFQVzlBJyExD4r8TBe+KELUEWZf2MPj oLrKuRBaUhF48fFgQc3yfe1BLGvKv4MTFUblVUpi1QtQs5rrbD2/dzGPBSg6+t6LSuTLlLhTGnd0 dPTMoGChTc6L43xy17UH8FMHlvBsnOYFzUHia48gK+iCfZM6LSwnkF+O0LNMPB4sqLb/magMMfB6 ieZRWM705SzfcekbczqLeq9bMTj5alPAOaIa+ZT+fS/W7y03kqrtnOENpoSEaO4yuoQvBgvc0kvE QS6WC5Up8T1Rc4rpnVTEOAjKOs7FRMTJOeoyHg/i8suj1n6FM2H3S29EcXlnlnfSwW4B5yjd6k+4 T1zSlmpxIuRHBXKpNWAX1Ts+LZdzmQ+yy0Y6x4j4jOX8mPNHQqUycpTaGuB/+J7fKPlD4kXoYQui UwFrk4L2iQozno8gK98qKlycsJCweQox5CgFDTAijb1BNK7qdMmFSNH7zkaaGlGBnBZgmbURjTwU BxsgCQ0vMP1gtYeqoBHQQPCFcCsC2murAPFBfE0AZBWejph7DNZGaETGspR7/g+PRw2Xq6QiSTpo LA8/x0eIWJlMhrmvBajL0wCaMMhtXoFaThEeUVS+5EjYCaHmWG2aEF9CbrkNFQ06vaYI7MkwNIAc bb/4TMgPD3zNb43Oc2A3HwQ7BIY0y7RqbDwe3XblK4AaVEWenruMKCSnIAXBEV7NbI4wyEB+OHfj apSPKCSfUoOE4fId/WyCnm+r6t+lcWbcmIoomOOW+skw/zWC8CQDb0TV8UwG3lpM8hYl2pgC41xo nKezMzKej+qZ34c4wAEzN0esjCgiH5S9r9QkE2WqJ6MClTHMVuFsOuiHa56iiNxUM8tM9Y7W5zyb LvXMHYazHP+CxXFqBQ5qpFwhd+JZ+TXBpiO8/lxsxQfrtYxddEqdZYXHg97d+pYvYia8npsuCsdB WgbgaDWvGVSzPAMzOXnXvIG9rFmKwONW7W1tPqP3WqBQANw4JZ4mqizMWybFKoFUYUfKoONIofA1 0WICmK6Qi4BBXAQAQAvluZUHjzAUf3iKyuWA8AOs3eYpqo6zvgdTveZ4FXHxKadO5KPwKrObrsqI quNzWrl3+8ieD8u+gKf3wli8ofJr4PEJ3is9n/eDYYXWaMbXvJ9NIIcvTObggIBWYLqEiIllpbN0 8XzUuvPlxAY74PX9tjhHFIqTanGSr+lS5AhGvF5QnnpBq1aCGmEobh5L0pbRaCkL3MEHOnZUeSgL c0FwQWlKc6BcgC1n244R5ghDcaIvEuxFV6Y+BasdcIXbZbGVd26EhQ8IKgbtBuNsImcEBbcGNaJg HJsrQwBFIBVwAapTX1tt04KCDNQ+SysjLJFHCgbomoPChCbmmSpccZ0d9pPzF0GEz5+05xXE2DrI Q04nRQyh4csBa4+o8Q7lOm73sXPB81FtpX7nwRDQXSgYaqZmWCRvSWeUtDHRTKiuQr53n1atg2Kq aisz1jK0PrBczzjajYeCzdnIFXsPMOlOOWUI1YLy3aSmevt3xV44yIJ14WVq269s+iQnFsByVTu4 VDz+/9NyWWhwgidpsxQF48S0nZ/BpgarF2c1k7vN8vV/md4leG3OUlgkV0+u7q/RTnK4RJ+fjYoY AHz3rOJLYgJREuWXkfpaFow/HV/0WzOhiXrOQfMEuuFS/NQLHo/4d+k7zKQzVbqyjzNUWKnE2SfT oIMfTnWgWJvZoYeruIroDBmd1iHoxs3vX9x8Wn5CwxWoCQjeyXYXLnEgKqLVjN65w3qo9TDjMjnb LUD+28CJWqgcsB0AABEej87y2xAmtuWcKLt0v/NmFI+DHnz+prquFI0E7WXv4pFmAdLLJiqukisV kT+rRieWQcZkN64oKK5ADorwAjRbJkR3gI26LrJLLxxNExmvEmhvLtB+cruljj+oPROP/8lvoXYI sFeUUbB5iiJyVosGHWq4oGahT65gGNI3BF4FZkI2T6HAYTWI5hh3/IJogg2Ik/yETexy284D5xuF AZLzrSdM064ZEjrZTGjLZCAdhkGAB8/xkxlXPB40O9t3+QlaNLNfaPQMS+Tkt5TaHKAJzRibprpv QF5QIeaFNyO0CvzBsIy6qlAc/YBabS8oEDNxQdwg9bGGkHPByAuCtx5C0d5zRgF5paLRiYKXD4wL gK/aphwyBh7/q0hHNxdUHoAwtlmKwCrk9PbFP4kR1FzdBVZupYXAaJ+lqD6+simJKjDn6ItpFej7 azEVKKokec2zywgdeDAVHWdPEvWMAvLEhstoPMuhNa2AnAaMRcDUwbeMagWuQ8NjCJ37dcktM+Ry Jobj1cG+uL3HDce/dB6qVZ5mqHOoMxz+zHe0tXRWUz4LakmGhpBD2XJgZidJqAAC3ibC1hv/BhFr 1kuoRoQkg4YhMuRF+fhfEDFuT1QIS7uLKQKryCSvs33LE7xfanDNOTknf8EzWtMUheNDlRSKPfvo 4gUbDKxKn1iIb3YpIOVF9B8a9KxMXHYLUfYzCsebPE+L8ha8mJR7z8nBRsc54WuueD4qFvwDYc2Z Cro+UVEwrvRuzmsSm/vVycrFrCsbbCY9Jgj1VUwfS8pzGi3ELCgL5VXlcgrRCsFdF+Qr2CjOjfoq mqdV9Ma/6GEUVBx8MI4ivEgEj94dj0cx5rf8E/58+nlpmlYUiafJnI5xjjD2y8Lvmm09Sd3fxTBW BFdxueMm6QKOTqE+iRAAGFvidEgpxALKrKkAjdGvqpGUC1YIV6EXEAH7NmiWzi2RVKFrteLxSNUo fc3SQESCgr7NUlgWR98O2ic+S1mElokvHvv2glexw2mFkbjAT6VLe4ejHeEAjp8khYT8tgHGbDyc KqQ5F3ote1xUJkzg+DWR0KGs0eXFBcfnoTO8GEf+BwAJPB9B6f4pPWV0D4YX6FYUh6M9S+2nZHsO lKMbXrrAA9BpxgteEVzFqFLN4oLW+Z1N5V70zVeS0mGCcqbKvYDXAj72g2ryjZrWT8PXRAU6aj5V 9u44CEkHXbduWs98PEpX7k0ndD3Ep7JfdSuKwqkbCyTKI5HVrq7KNs9T+Es1O5pWiBrvziQrd7ws BNTrihxtdstLU1mgK4akStmnBwRE0q24Kk694809t0GOEG/1HG6GIMcNgeejo6n8E4YjtTTzH05U FIZTIRG1J7VWcE5xojYuj10N1AOrdPPfWjGRU+1fiy/zE1+iyIhISkypjlqmdDDWIP6OOIP1JCyb yIIVgVVEda1yhC2oRjSj1p+PYrDH5tbEJ/zFbcH6weJeo3kKvKJQHGbsGdGyu7TI911yYl4xByXB YT0rqo2LEwz1hmd0DhAUjbDpqNWzz3GnqYLSzk9WN35d99xCFRB8UYDroQpr7fLg6o6JXizrL6Us fM8IrHLpnKyO44i6kiErCsaphDpyMu0+sPMJDlvklBkSqsFj2ucpDMZVxkQYfkc/yfeA3EwisuDs 6CbLWDRWaZqLctzcztgoWTdeKK3SlfyKS02lEl7wDbk2s/+UGh6PtAvG13oCTIxiQTZPUThOuY4x KI/DCl3XfqNbJy+m/3jVosemeQqx44KvUnnZR5+nc8VRK5iN4AHCJ8u+k3pVuE5/qMHqSBWkWPii 32pPfcvqG3hdgp9Wwv3HchJqdCuKx9fV6uHaQzC2b4dzxWTOwXAgu7JKyS7xAMNJR2hCwtGEx2Ok ikrdSV0EjlbznYD4tkxmC3T8DDqdK1q3mNJG/pq1gs9XNb1yAMBgHwHNax+EEEiAgbKW2QbfMwAW zP4VGmTI3ucE9QGbqRCqQnOWuh42wvLgwFTypP7UswHIVxiQdwmm8KtttBMKThio+VOh7pxISzyh DMVtgC/Ob1wQDXkURbnoFUXkmad4HWX6oP4dHJynGp6z4vEgPLgITVfJyqndYHNHITnFRgCi88YU Gh62pJo2JCaqZTd/3bH++DDwU7mjT1QF+j0rE96A6bGJdT4lMwmmDA10GVRXkRH6joLyRnoLDnEN jXoNjRLC6iKctOmzo6B837Y5dyyijXbpwfs9KMdTSSbx2zO8kppleJPKnVJXGa6uskN1FS0oxDZ3 dDAd5cghFYnYACYLInMmhl4nRkOXpV9nbxKL8UW/tRH6kFjWGC4NCWwQSavUad/vUbm5pdwOXiFZ 6vLNdwgibxZjuhDy2YJGvgPkxjllvW2X/Y9B5KbTrk4eR0/xFkyiBrEqaAlv83iD8O/PpOxoWV5Z KXQ+xvcE06RAUyJ1HITCGCT44R93Gng84nJ+K2gib+lIh22aQi4nLSRkK6TkZU1HYVDnw7AqRPNy mkKsSrLyXE13dAT5mJD3pXsU9nJVXfh8Cs6nhKv+XHP5nuT4zfBFkdIoZcTMcGMaUB24sFb5H9rG corQKi19d6UQEk9wMW2eQgA5BVlnv/IFtM10m9fmOu0sfGieQgC5+uZ1z3xHm6eNsnVOlP3Hjz4l pHVWHAorAGiiYeh1OhQB8DW/Mai7gGIdnykNDNgmNrZPRp4bz/9JoebbTYjTXf3xHUXkVduuzquv Up0IdHLV5m4bq7gb547RKiJKdRGCOFoBCq6LHeIjxGFgh7BIhYp7/6HBLP561y5AFPTZofy4MVy4 6/qz6+b5VbXrzrWBx4PbLn8XxxEXAuXnsxSqj8slqbTss8TvkgoNpQA4S5PdSM5ShFaZopOVofiJ 4+20nCXTxXTDjNdG1ZBzm8oNBA6TyDNWU4bX9MZB2Rdql4Uxhg0q+54DVDZx56zh41F69+21AZ2d Celim6YQrJLkb9OX86UeSaNVtlUzCzv45CjuKBzfAkSz+uCjg3pOOpd4n4JVBme7LTw+u8NQDDzx S3OFUYoK43t+g/n2Me6g1QS4Z1ITrw08HtXpbhbMDdZwi1wu5w7L4wL1pFV8nlJR2QnsO3pLkVdG cgfnKUarGKtFZXKOPk+sYMLKBhCxBlK4wE99kBIPNdQHq7KnXvhXZd9i9ToPMTOkldW3O1cEHg8q K3fT4TEUjPZTG99hbbzJ+a/YLMFfyzcdUfBmBHSln3YEVJnVVpN6LW3eXgtKDYO1AVoqQ7hRm+4E BiC7npyXmiEyNaf2Ob7mt/iyF7Hxi7Hxzwo6x99SC28OPB4tpvIVN1G1gvLYmiaBg16/dpEZXHb1 JJiJJOpPyLcEy2h4iSEABj4qWE7N8HGE+Wq84Cc0kukrdfKTM1FF8PrET6uYKQjIAAElK6BtLx3Q XjPdpzOV/Oqk+8TWFDXW4BES7bT5EX+RNmR+S6nFxxAoxaxO2bkZBQGodZ5HxrrNJhsyAfGW9n8K Q3IhNJNM7zRa6gKdhxOHJbo5ViwoCvkhNkdtEVR0AB0vX5EhOb7pF1l72ApWH7SgOtIJ7sAT9/P5 IHnpXjeY8pk60QjtWH223uNyuPngrOqOFkdyuhwu1pvx8857NC9FEb0RXCrSaucPZ6PN1kYxJeF+ 7dQgmlQHPBEimL4IFCrUaPbVQ2btAN8UAcak6CfdUZCdxdkY+LwubutY/IAgmrpKvy7CdsKLficr qpkThjhgTmcdGHDarE9VuMAJNUg442WXkEJfTrVVlogcGm0rtgVaSCP4d51/To0+AAjQB0SOkOiN yzIrSYYJKYrPkS8xgcw+8HDfwFk1UV3G4PNR3fxbbGVnoGrnM1dRhJ5wXIOIP63GOVQL5rFFi2LU OHGx3IUV1c1VA68nLOh3tIUF3TQ0irOcuTZY9SpKNc4VilKFTeKuIueyl44ICpwkLEMbNFcJopoK q0bm80EyU/yML9yFBG+mC5eWJ9p7q4wnlfCZQNlBi8i4ZpNGwOTkJXAZ7JAPS+ddIB+W5Wx05MGE w8EUwvVMfdbqO/PGwwttq0yxIwplFJ7T/KaIlUe6i856DpqtWZEqMWwYej5wMrsKpAyvIMO758WP icn7fmaxzaAOHyZrosOkySo0kiIkMQ3yXro+KggdrC5smMT0YBJTAcmcZwyUoc7cVRJf4HhNqB35 BvX6UO/c7KWjGGuID1t9sKoLuALSwKiZz0edBg/ZB5cWwodxRbRyCvVXUpUOqemyQUXLwgcwBKtd hwWaGrYPwyI6FUVePGAh1YlKejUPWKoekcaYKA8FKB5KnrdCVWqzlw7SG0KB0yI8NlG4SaFWGoXd Agig8/moJ/ot9QcGLzwh71xFgTsqH4QceR29t+oK5Xs2bx1D38lNFyMVlmoSWs1cBdt8en0zAfFR SFtg1VMkGNg0nGwO2zelB5soG6oUAVsW7Ra7/F5kfojFBE+5siWWXAufDzbhTQXZtiWzEUfCnay4 lL4UZzkIqDySpFQ4ML1k6jppssJa+tbuywbKdxc7xFmwsUs4n6Bgfb5NOM6T0eDUQcsYWcql6ZFG jC+KalU4sebknHGwydpgSXA/5snng7z5GlXjMoDOyexX5DaHZp4EqEPjwKbqBFbd+zNwRPLEGeVX M6kMAS7yUmjDcp5xG35lpQnrsURN9xOgU3FCilrn0EDHvYBk7r44951/E4Zok1RiDlZhwO2vxGdM Ph8pQ3jujEmeuCrm7fjl0M/TCDG00DR7WC/FQDDsFvbgv2RTFaFcTANqUCjfRqtYMWQ4fyErVvQU kofH3jTOgtfPum0Hub3k2M8zqzWqlGf4NXjuwSIXrBNcVz4fxO7XToEVwYke8rr7L3D0PI8NFa3m 8vx5VU0a6hvJNH4gNmJC3Dm09FySjGpLM8bxcqwgmSDFzQLhhrJUjkHKOxIKYfT2tqkqzd75N33E kRlfjUyWDm5tZOJb53odfD5qI18MB/fa2fUjPRFDYOt5/kA2SNsqVzdqVcXwlc6GNlcbuC6bqyhw n5qjaQf7fA7289NDqhzVEGi1QfPAAlZ0GjqUFZBtuYfQaPbK0f6bZKIBdK5BELOEXrJkIuCmGxh7 Il5YX6Fop4NRevZfKJI46SKkjoxQCs0K62fTNa9drdqS77+QB2qratdxR0fnQ0Fyo2R4VlU9sW6R MufJmCHdhOogHGasekV1cH5RhOHgqtossQ/uC5av8p5Sxe9pbD4fNZP/MTtDh7uux3n4PWZPZp6X yMPhVKVh0pLnGJY1KqaqM2vUogpjdnPPK0INi9KmqdrQHQNsh5ptOVF1kVMF7s7CVIFA5xiqPu2d f4PkQTbNB1X6zidvoxCtwuejrtZ3fT0zRLm4oBzae9KSAfWlZMlgmpbpgIw4llGtgJ+0IDT091zu jW7uSz1d+2HEHQNFgB9BqNA1UE0GP3CCSAs9V73cpxpW6PCpjlaHp5QNSm9QqeSmP9OnN40ayl6T 4XXZVLO4cxXKswzKlKbkczUoiSoRqeLgIJLjrMgQmHyC0KB4aqr1zvHWr3rb8PshmmNhzwlw1qj5 M0zb0i3S+dfzm34TQDjn6/bBmB8FmklcV6ny+b+kbIhSADgkPdWrwOcT2DxSiSRChtg9bfdDPSvD xbmBXfGCTGD0mVzGPJlkKUdHvqSZ1oJRA2LQycXFIgPTjAKYNQuLjhDqzV46cBCQPZVYMv2yZBBl qRmP9gSfD5Kb+S1Ohvh9rvrcgaGvEFVadlrVcIy7j2WgszW6/vEcV8ml8XNs9qkSA5sDPnqlD5Y/ hKFBoHvCxoOVPkVhpaJi3PMX82raS0d9Lk6SAgYOWldsB6kzgSJy6PdZH79PtuFprpTnVVDMoeUn YQZnurJP15peGFW9RBg9HMpWZAg9P5doVyc273f06aLYa2F4Nc7/F0lMIL3JaMXzrG/jaXc1e+nI w0P1djoxkX3PM73AwpVsDjAp+Hyko3iXVhMTidv6Hlqh8yf1esegWiSL7r0ZPA9CIQCT4i5ElcCr faH151DQlLa0FDk6+7G0c2glQvX6JA3CULIoNiYk63mR6iBJNzYHc+j9mXWyA4higxFmztkzVe3r k88HldH6DUIDn3E9hpY5tv6kvHKRyQJXVmrJKqPSQcFGRKvYTXcD70+4iQim10x5s9WbOAN1hrOK sMa5ZxfLCGx2GBNjpUAd/FZGa7KXjpQnJbYBFgCcVvOPrsBz+kFqHt95Uk5+wF+OsopHccukfutX gf8nOhtUN5ctzFLunD10WEtRBIhr+66sEKguumhaoj2kdWkPqTMjWKxfzYXFK+5/kbg6G11ruvlu odQVvylAMZAqWtRQLaWY6/WAjkOS8XDWm/4ltSz/tPMys1zPoRx6gG56gILkaiGpWTtD0XSoQcFC g3YkopfABNS0QtmaX3d0mFWq6P1TRrhskFGlTsLazNgMuvYFgmZaC+TYBVRCwqOQDgn1vS7pDTRz trST1uQHRPT/iyDqFjyMeq1icuwEmqnWma4FLzL2i42p2bExV5k6h1agq4upvQQm4ujVvnMk7IZW FMU38twCjLIuP0FqOR87vYCV7jtHcdZQgNV9sKoMpXLx1588lM9H/tffRxbKZ7M+8XvoBQqCK/UR nLINkqtNFQodPlXJYbM5MAO9m7AvcZI52rpqBMWgiggV70lmHqsymF6EksbQktTUmvbKkdj5pi0a Sn0aOFOtnzt12kxtPh9VZdLXeQW8DY2Ufaaicnvf0kgwO0tUZKcrLy/XYz47EJmOzVTsQCQum1mq 18dS/dzIbTVTdCEUfskEGlaOP3tRP412MezjZJuqECXTRNumVAn8fVndBC2sT2ZXOCD5/F9gbHYI MVVAmvtURcE7eQh9QRXQpiobLBsmfMXz50LHEkVYf3gQ1WbOqe1x3EuQitmNzJozU+RxE30BVWf0 w37Qg7b4Cs45+p7gFlzqeHHChrfpz5GOZ1V0QJs+cARNX8YV7A8CIbQBiPOpeo/dz2JkM7XywlKt DzvNvAlXcRhfo2ikpiqutQvTUCQPz9Hh2PPE52XwEoQsdifU95zlKHtvVtUBZEKFkgi1Yu8cIfy3 lIKqlIK2VhW8NnqzOmzn839JCwsYCgOwdWvtoS0oc7KTM7va20Dv7sfteJu7fBTWOrkBQ1/Qcasx 445ewILDXsP70KAwE7NK0AOOKjBH2qUgGW49h76guO0RVEnXZbquCyylahcvG6X2wBgUUfv3oQ7N htXGM1NRzC4d2LQMagXo+riHett+VG3Q3bSoAmfQ/H9G3z5pRrujH1U06+k81FtG8EbM3wkWKAQp LtIX293fOQAzUDpwNNNbNDuRmugRad3nzecjNbN/8kFc7Ss9tb7YHDRX1foecZfm8PVE2R7xRtBS UsweuoOq1lf2EEiWo6MZTqQADpfJKu2tFoZ4aeDpnpebTxe1q9sVGoROKkxU8L7om3Y+Y2qKciuE M5w/O/MDItCxb0ExJrdKb/cWDE1CO05q9Osc7Z+4dzhdVpdpVGPOw6crCtqHiLVbzG6NXpc5YQng psRg7bMPWZ2ExjCR/ogQaPXoKlTq0Ac+oZfUXZg8n6/BRubmAwySdQJw8/gBQbEhfRf8MAdzXjnm HDuFMriaw+12zj9eudOem/G6z05ZXhwNrEJxuKsg08szej54NjfUgxrpgLAmMnUzyvtn5DlAp14p KpTU+E2/WWN3cZc7xBeKsEQndKPkPGKAyQ/4S7SLRQVoppzQ+EYNgWMoWrBkwRPRxuz5rHLnBFY2 Y1jG4rVqsxXpvZhs0Im5yx290gC90LpJkTgn2NkxEjgbJJuWiggLmD7re1EikN8U7UTK6yt639kd jddcxHwjGEV5NHANRdl9fkdYiEPzeLZhFLaToQd/Shd92cvswCBnUkwgtqDF4tswAspYZJVNSTd/ KemePwIoNBIDQYiuxPWw+1JRSsYGxkF7aTf+0tFtyCXVCcXqLDWS5z3PyT7YsDg57eQHBDnOZZSI V3E2MqMQn62w8E69JRDrfLaki0N03zAgSDtZyq4+W1HobpFD2qLEc7TZauyiDhrznfyLVT8h1pK4 XAuCuNdIjfUgflOwDwllAKLOB92CpRfx8JEs8PloG+6vIx7CmXTZ87kKC++NSBnieKSd14looHbe uG6PqKn4XIWxu9zpk4nrcvRdCCTlpAUA3InQbV3PAQ+g9w9/GIdgqfAeGoh2RqRgt/kgJiU2uNgA 5wDi81H3uX+d77mcbfiNVwstRHH/nJMjJckGoCpTTEHgrCbkw+w+t0SjMB5ZYfQuCNZoCiI42mVz Mp0TIGxiGjbcSjIhpui0AUeRyQZ4wO5Z4L7ARBThNxfWEnoUyCjZFVXYZwyJd+7JDwiCh5sVdtMm /Jn9KY/GRqKLNdHtbVW4x3endimioOw+pHwUwAdOouejeGhXmnD7aL36tRrSZXagM/SUh3vTQKwC TABaYZljWFn2ytFc4VxvO9tgBeETtQ91CgVrCJxE03dHR0DIc3ShnulTFUPdC3FFuXm5b5qIfKUr hRNNUK5UBB9biarI0FN9RkeNnvdZqMFRSk8mdFx8iXpVuJrgS2Rp4VCUFViJKqZktZ3He2UnjdkO TQrYrYe6dQ7NRNPV3pdCIeT8nrZq6CZa6ffSaNitFHosBzZM+hJyE87m5KUc2IkiJFVBJmvJVIEM eGJBtT7DOoMKaG3xNgEGC684wREffV20Wir2yhEEZGiq2IeGjpI8Z05+tTsXxYmrFz8gsin4B9gA VeR5aV45MBQ93zsFkdkevTdlOETLtHJhkLnfHRhrw3DnDenCarTcH5qajX0IKKPCWqUKVlqgTFoA BIFevgmepGSvHDW+UO1LEHa3IflMNaFlMjBYoaPoA+yj7sL4n7MqArlD8B8s+TU9ZKBbGfvPbV75 ZqwDuwZjT1FzeprW9prz5jkn9togfFE1HZKyhad/3tAVWHSU3/tRG6rV3vk3qgkUV31QtaGdr2yC NbTK56NF9a3SCP3R0Z8sp4VMVIQ5XXknpwrpnxFNIHz445ZPjEVxCbYQLTNVFpZVr0aHgJxjCdR5 RgwbLlaaqsZ2JN2V6TrmcBllOS0qt6t4VZUZ4uz7Web6NLf6hecTGj/g/WRftzRTxGI6k44Gp89W aGeENANKltlpOZoizFbZu1osukHHstmKIndTr0oy7NXos3UuOiC0uxLoc2UoFj0BKqR0YJ/dFnuE 8zGyz4G/qB/tlchcDFVKCie1S42sHAho8vmojnWPKxatMpH2adZnvkLhRpwvg6KKmq/Ri2mjQVfJ VK/PRpoOBAlsRnH6Td2Au9zRq3648towk4cke2jehOdnnmASQqD3TtfO9s6RWipRkNuMNHOyM+sk ltIBPVt98/moQ/F9ZlEfeQNBrLn6vMZXBfsXQZVhaQf/0cX2zgqf3ZbWOXwtzXmNrkr6P0OLVksJ 65MSnpttrhOZU9gDkMi5snwe5ll87IBBPd25cfSKfo2tildlZhJym+rKFi6c/ydYqC08/TJHBdq7 iqyGNVJRaQUuC//m/DbBFFHidOiyEuWSZBRxl2pT7H5OyVFNQu41qirXsra0/IwWKZz/qsKgk0rO EAWdCukLhLlhlfaToYVhVhgkWn9eY6qCBg5L7XMVHzRHJVUpn9QTQ3xeA6oCjEz1OcJ8nNB3Thba MUWv4dR5iGfwsN4fibzbwH1A8xaXmSe5nVP0GkxhFZlvgYi5Gj1CKAupPktWSPnmEBV8Q3YB8LUf mAFZ3Mm76/MaShXgiECtSAC52aC68QIChyI6fbfPaxyF+TV7UWvjq+BYbIZeoyjsMxaq6MznxsfT GhFZS5c10LKmFV9eY6iSJbiS6cRzR9tncLw4OQa10GAbN+WyVgbOQ1T8fmCA7DOU9brvM5TpDwIQ uQSZIO3CuAk648r31jm9XwMo/rV3iorFmid3rzZHr/ETnlpkchEDpzkSaR5B+bmsXVEgV7fmeY2e sNFEodktP6PzuOBeM1AM7gNJUTWIFVwxYNqX2Qqel3tKvbjX4KnIE2GhwZF9MIJga6wQqf/+Gjrx 6fy1jogIRcVWc/QaOGF7svfXBJhlNC7DJ4pTeIW9nQw9G8/mNWwqhpNAANDbHb/Knefoh1bcSBVq Hiz1Q24qQ9srLeo6eJs0yX01mCMEu/AtosUlBxU7E4zJtIFW+bxGTOUJL/UU4oY1is/Ra7iEYz5L 5mQ7DhTT8eOan9naM+ftpzuGRXNkcs1FjoYc/fKHLTSkOCkuBOUFspVAOMw/GwR5wAXvZmNu9xop YbMVnkNAxGPABif3A96x0jwBL+XzGijhNFvfJzZW8OzbT+zXEAkndmWxQDoCxDa24iK7QoIrAJ/D ZfejE7sbMG/1OzrY5eRCLUH/sXdIMrM0kUkpgZshapo02/Pwm6z41/gIvwV7fU2u9RyE/UzIVJio nAjr8xodFQkWao5kLEYH32Vz9FrWxF5rOqjzPY+680SyYG6G3kiGn3otamKnS4c4FUnIcrTzaKBN 3wfn6Jx/50hSUbOAzZkBA4b5oPvSwtuZLxzMEaOj1Hlqwz9Z+Gm0XOXG0zM0UYM56rt/r6Nz3u49 fB29VjOxdisVmpFx2hwRbcHqQG5tGRahUyGfuh3Rmd0NcZ3THW2OoC50LgUG2hsGWIV+ICePw0Zv aE43iiEYHI8OWK+VTPwWnBzQlzTYLQ5yyFBacjLGz2sZE+fRaF/nUS5IzykfaSFkFGYPepb0MbyI 0kmn1jRl1xQCEfDSI8O7jfd+kR+PRg8icXcO4HewlhaCjaoCORvGQO+A3nZlYJTuvofarlG1O3t6 HHgEwwKXIB0Jw70z/hjJ+anEtgvMjXfzHfdO+CsSfAIfpBgK71xA3d1ABvVYGSbt7p6Y73y/ciso rcquiaODgJLoINxz6L+p2ntSNJSwCoQGwT7xTjHZh593wh9Pb3aoCkGevTg1a56XzMINn3Dj8873 wyFjwiaDLuzEEiUqnvFLo4A7Ea1I1WITGhSSn8Ss+bimDBfQe2f7FQm2MV9TVZyjradzjbXZB5kO J2s74XGWgB5AKXPjuEg8n9T1HMIMB9PUZZtCuxMNnKZBfi+X04mbPu9cP/zB4/uSgzYQrXNsmqKo m5cyJCWLTxO7QgK10ImQkUCicK/gP8ER3nWE5yJhOI5+zaXR2wALpAO83ZtkUxEXnZWBaBYtvqvv mare+H3XSXp49XkHJW8wRyTiD9jOzzvP75/zyU1TVt/3dHoPvJGYIsM9yYFPE+dWKCmgjgwl1SHg YyXeaJoIK2eJ547WYwGZ5MRudHA4h8hYXuNEMY4pUCZSx5osU3XL8HCiSrps1RZaD1U1EvSFCdQA VfHzTvLjpr0JCmY4g3w6ZCzOmYrCb8nJ05zMFpSssKit64kL+BXFoSzv8TduBLmDmRYxR8t04YR4 7pRJ+e/WKTX0I+r2mSnqn+x98WSkGH/eOX7YOLC6KMR+2GAgRfQICQA6sdTnneJXAHXKX4ET4pKf VVmC4zyFIXhXANy3l8LndIOZNb0CV9ATtnmKYnAZsEONd97RY/Dz96xdWAkHhzCpBVM6IuLFiAPF YPM2zpJOiILwpjxlK77cHl/CKUh093Pd7c87vc8S5u+iANA+z8aLonBu1wFMkUfhlErhNJmpKC2g y11OURg+sgl8Kozi6GHBPiFB6mQVTeRFAnsCKlVhPXX+A2FkpgQwu974fZqkibP2siEZ7WyfPUj9 sJ46Hw9izOW3HZcOqAlb/TrO03skbhovJ41LXl9quxh0pSwJfKK+tNAjUgE8CsWHrjnITt3Rth1K k2flUKi5gtwishpAsQXelIvsvsd7veuN3+dpkqq2i2Rji3uCZPgCq293st/PO6sP0dMeNk9MOZGS /1DpzSYqCseJzgQsyTsFMNaziepyCQO1tgBCrAUVxuM6nWdL/Y42UaAzj7XYVoEERJGVQVkQ1Jiy te9X4nNKkSqKx4HQXQXnnw+MoQFZyUTsVMIwooA8V993PNlOJv7T9vTA4J3Ph8Cg08ZBOB45OvTk 6e++VFHI+1rBMozHp204sWs5OhQKCGoouhDccyKpoXminK5C2N7qLTXhyPm8k/mwBSTHSLdfDYqf QJMg/+D8qvnzzuUrD+FqqMHe6OdWfT29M/kwvVM2tO7c2xeBtSxbjlmSxZnsT2qe3uNxrEzRHrM0 9zk6GPEEZScOJLBnb0iA05Ye8Cc0f3pjf7zdEyrbKwcXHtqgaZNXe8LMc7M1RlHn/D5nPDkycBtv n3cm3z93ngoqOCWHF53eiXxYyEVi8il7Za5XrzotNYJZdSrdrKDfeXwsF8jGgbLGNjperEIhOFHT em34I8rxEepdKF8igEKMcrHmRa8cRVHEEkzJEKOuzU10snXor9M99bz7553Fx6keXxNVYBN0snGf qDAop0Vfa8YZogqxXXowuXBAwZxeCn+n8Flsw0ZKXXf0nhM6/b0CvjMS7Ae6YIil4mqojQoYSF5N f4OqLu8MPradFD2tOxigYHeZYsEA9/NO4OMZ51G5jH77zz4Hqs9TFJUvIoETJagFm06uam36DMrx 2BpHVP7O3nuCg1IlF8TRqY4TUm2djScStos8ec79BlcOfDQOcCv1ygjrnbxX5HstuwMOtE+jj1zr g8pR52Zqn3fqXjHa8C0Z4Ihard5pCiviXWwYr4ifrWa+xjBxGF4yQBHYpikqidtRngQ90WjThFwO oukoreyFzt3icpKFSEclGQLwz1m+9MpBEEXQRZVhWHXDsHYOwuFOq3N93ml7XPY+T8yuUMqd+dag 3ml7PKCyqApWMzgXUOmW5aVm/qsofAxTSX9n7fEslxWW3Is1WmyQTmSGai/lKjOYtFRNzWATIX5C YLkp0ZWkULL0yu8H1JIhSMO+0yDUYZtjb1sk+/PO2iuP/re1ocBlm+jA2USFUTmjzTGLk4ZmL0bv qLAYsnQYr69o8520x2hZXKFm7cx225nQ1C2zwNoCHqIwo0gqrqADuDbl1BBGW5JX7I2DeRI8Wl7Q HWU/hU4oKC9R/c+Efd45e15OesrjiFhAfrN5Cuvj7EUBjWTzhITMbrzW3Z6gkk2gBfUelSfZlEOU S01Njh6Vt9mgIm9JXhmJBb0CNCW0/KC7iNqvqiugwPONo6icpKpU7mDcqgFDScadma8ZrKd1K+Qk NRDpNJanL++EPd54Js51j6jtim8oy3ZrJEwErpqo96gc9RVWDU7WVu7oGNZ+YouTtDAqP1chcW8K H847s3STHvTOIuD3na53N16XLw8HpS8L/D8DR4/PO1uPQIvvwKABFTabn+TvZD3EXZMEhVKsb4f2 0cXPreFBOaMc9cjjoJzTNIv2HUebpkFc02qyOM5nO8vi+ER4aJzhF8a3uoQZqhafd64eW28sQ4Fn a4OKK+ez5AXdzuL/vFP1iikdKnlhMnymCdevTVMUkzMtHX0PbwGP1e7x1NM9noZLpb8T9Vj3w7Zr Wax+jZqm8zHprEdo655DC8rda5saXEPvn2ByFEO6+EG56I2DaZpbpP7pA6epTSoN/VA7iY8H2+7e d+qvAO8BzWabpyggJ8wGzm0uf9B6dkn52bLT1GEOafMUolLk4zCrtMs4eq2ulRMLAEQAiG+BY6OC B/hzdiDoQBbzU9xfOEjxKtXdaOKrQanw+b8s4M7ZG593fh7Thpu2kHyFfKrcBt47O4/YHZbqFHhX 0dXFiwVZhCkeEfbwFyEU+p2cx13nwPp6R9t150c435OoP1Ir5Ka3MWTPDIGe8EOJecOATcqPvFPz kLbQ02kkipwOSGORHwaA3FBjGGjizzsz75/iirDmXE53nqJwnIaCMFxyW5BKXKLg9TLjKYKs+nKK iuTU2zgxU/kabdudQJKWvQjHEVwuifNXSuGhqvcjUzWZ94K89Hkn5bFjnsi1bs0HneEdMqwMzM+f /Xmn5JWHHGtLHaLao95Zeo/GEYmwUrdH9003ijc6E70kpK1Y3XzunY9X5J2Hv7xp83H0TQcvnkLT Bv6n1EQHXcDQj4k0Av0axxUwaXln4xXJogEn14oPAhZgfW3lL52vGVx1aX/vOlCYR7pQp3cyHkNo Qenr9iRYLXSKC1eLPFEeb1YteOfiedseyFTponO8XI1zE50AgApJJwNbRZYPmRbLmQl/aZddNgkr fKfiGYRtFUhZ+qAKFETilsJN/J7vwThCivm168DkW1iGNk9RLM5iATT2b6GuXg/24bKdkIVoRvB8 Z+HxspMAZTdZU0m4T8zTWa/kkDLGRO5bJQCbIQoIycuKguRXRTPplX/DztVkaHFK2HKiTvSaWJE8 mRFfNOrhfR9PC8tzDs/u3jl4uO0a6a/TjY5PyrLcK2zPYkoHGaaNtqDCErm8wpo8PzTagjqJH8xD 2eocACY3+VxM4msqVXnKvhQ8NsQ+7wy8p/zkcm5ngpsaUmDNDBk3ZD4f5cHfzU70cCecGm2iomB8 Uil39KsSWFQ6wIqa0zoxsPNwR+h3+h3e32Bhxpbi6DuvQKa1caKIpZ9ybRDFCcE5vbmvDRaFDt7Z dxbJABROYhkHwz6JXkZ54fx5597xPT0NJnCzwFRt+Dy9M+9wQhH23PIwEwIIk5uI2zl6MekkdW78 1oVozD9K5E1wFI1P7/yszsS6yhjt3IWEk8E/APAn2vFA0MJOKOJnPu/MO2IpWC5YtFvFQv2RR/3Z FytLuqbNzzvxjhv3GwCVkR5uRPg2USFmpUokF72w/4pqwJWuA4DAJbbMaY55Dt2KBfVOuyviLbGW W/IdbUG1gTLLIAX9pL4n/SKpH3UVxAT47EJJUxWgmPR/3ml3JRnrBxJLGkwjd3dEs+yjtLQ+76Q7 L2s8zQT8OAOnjU1UiBKvElHcciliMGVODbh4k5kPoL8uEcV3zh02hDURal53dLDY2WF7Scr0/NNe 20BQGbu0weOg0NXCE7yuVw7OcnaF0eTSYAntOckNVAxt58875Y51lfwVQy34/5bsBfJ3xp3jV0/s 0cTxIaXM6dSdrEhpc6ICwjvvnXDHduuyI/wZvUAOpjNSItZV0BKktAZC/vEjyAEsoe7O2/bKQWzQ MgkZhGXM60A3NxwN1X0p9fNOuGNocdE9orzmny2jFH5rWCEH2oQi216oG+niVvSPyIQHRR+580LE uHU7oVvgowWb9XzoGCJx5gJlcgZPZQ6yV86fKntMZcKqq7zT7cg8YApcdZJXP8nTbBDwVm2zfd7Z duxD+I0nxahz+5JMY/MUlcghQwTN1eZdvCnskw7XZZ0E6FRbx+WdbFfM0x3GWZIW4WgpHlTggeuh I3SCsiSP8gLltp9J8ml5pKlRO+X3RBUDBE978UDnYAwNEIiMoTE+70Q7z9U4K6IQw5W2e+7yTrPz qhWMGZZP0xq3KTyGozFyHtbsfGfZPTfe2nI05GjTBJx3hogMNez2/PIxQgyj+tO+IbmWU1QfFzhz yliNg6d4QDP+GHvznWJXHmFJYQ9xAMx2sYfv7Loi2X4UDKpjNO2kovpRvj3hBtEtTdPvIXlLiStF oxcMOpxQAPbBNPXJyAx3YkMlGH5ZULd0sBju5s87tY4pGm673Yip280xdTB1nyKCnX/3eWfWGTz/ 63DCuTJ2v5suDMhJ2uzadJV6uNt8H/HVVZlwk3szb7s/4OMzSUeEo1fHYVYBSjDdY07Q6dZFaF5A JUPCBcYFRm6ArwmCglRYxZTKb7ar4sR6Fbrd3HOl4fEgyrwQ+yzFTgqve97SwnAcgfGAioQXDNat 0iUvSsGmalv7rkW18aRwfMi/WKNdduuc05s91w4bu0W/RhQMiNpc9Aj8hmhuvXHAaEnMg4FmtkHL qaU8lzx2ysDjEbrgO70DwWj2C6jrYTSeKNJdtk0TyQLORZDOA+URcbCI9/MejeMIF0STUpM2WvUJ 2FWYMVS1EE4YWwU2Bxd3ZIib0Ah6KSLIeuEIhiH70HYH/mlQ2pCXOUyh8HgAi243IsChhlYYPM99 mqJYfCz2odihVzEzGXQF0tFkJmialhXpeoRXGTKl3b08o6YJaoIQzxoi/pYpp2y6akMthIzlenM7 VK/5xkHOotzOSuTFjP/KCW3SrqaROPF4VPRdX7kdVLzaUxrvcWlc9XDvtIAs5Uf4Gg6zhzec4Q57 VBr33E4SNBo9ZUknJ2hdSlCgfS8TKshUzYIPUamPXs8SjSyKxFUsaJnVzEZnNJKkduX9wD++FTwf sDbnP8kdaLHnsvPkroe8zSouWbmiWTIBo8BY59qa5JKtoVi8R7H4NEmZlsYdfabyWV6zktq6IONT m1SgJqsFEIQ/F4WjMKSohi+KsmB4xUDUW4OVuds4S1NSm+d2xeMRvXV/TRTqtCdP86CgR9xNElzO yxfXvljC9yB26qu79gVABzZPMXlTvXKLnfoTO2HJpLMvCSGv+A9bLOmGHuoeLFhM50qdKyzpjaMW pzzSsowXQNQzNYfVdVxBAhrPB/fdZSQQbj5Vm/F5CkNxytyiUurzNLsLX+RdbJ4yicLMgXtM4FRn oIhVztGiAvzq54QjObHB+tC0MRqPvYQwPANe4ydUzXrlIC4gy/U8S70sSOZ0ocQWOKKEyJW68Xy0 87zwyw1L4+7kxYIeFsiHcL649/6jrkolLiUzyqQHJs08KB3HkzwKxoeK3rvlZ7RiAUDQkHeaYrpO uAgQ1kNzhgYwdp3XXDWjhM1XjjYerTy6xIwa7UC0pEDBY+V3wn6vR/E4UwHTJ8A7Us4NuBCbq/eI 3NIdYKIRIqmvYNPG/I51TJwgROXyOI8i8i12WXaTinVjKOjBVMSFNFdNSPiIuss0pEFiDPTg8syl UJsBX/TbtTcrtZOnk7POlVEqSd8/J/poePwvXrBo1v1n5st57RGMXBrTLFqrAAUfZStpNmr2Mjo4 OXi2zRcBVqzVWZW5YGQMSHYZ9Do2ckmUfs8GWUIeIrqCbOsiJqY+OPIzXfiiIIwismduTdd2idvZ poFkoPaNx6MVtb8OKZhinEX1hFG/1shPJtyGVaAmwyFW6rrb0qKAvZJtvqhGvqSOVWZ9Rqv9Llhc LghCwtkYyE9SPM6yQlrawXxG/8tLmoPU1x4hVrrxOvv0QTXy83MvRQqzTDweLagbb6r4e3b9BBfO qOZRXD6zkJndpYCTBEhRWknNTaALAMcKOEdUJTepp7O1xh29ZtDYDBZW7MSeyaTwF46JST0KYOWN 6JJ4nI8oMAdY7ywl+JDZYMwpaNnwH88ex+MB2/xee9yl8AzItzs1ori8S4ebMoNS5JkO7cEhY9PU KmXpSckPa+TL+JwCSXP0psuC9UGvIroAqMnSSimkTCUWY2eqV3ANsQq+KOJ1YiXNzu7UJNSL3Smc 5ZU7By1pPB/1z/3ao7YyDPwgo2cTFaLI6Y1WtwHpkBlnL9URcSOmy4DgtCYqqpGLbN6y+sJnpIUV rAf7QKqK/i+KK+Asyqxd/qFImcBZvdYK0B/E1wRYVlHwsghB+RKCUPilVhL0EfB41HP55nUiewBL 0Gcpisrpkdv3SE8JqtxmJ5vRBNS14sjDEUXlKxkfKGn3UcKGs4QKI+z6qM1az3dRc418INw0YB2t fgGaqCEMfE3U6mSw2bjpOAh4CHkykYQhczjC+vhlufA3JKjnllZGFJMDs4HS2fDe+RzDZ8mgdWgJ 7wu0H1FMbsW3PsWa6vOyphpamyfHngSQr4LZZ3l84H6bFFMGS8xIwpMkvBGVxwmkA3E2ccA9wGLv Wa1iL/2glo/n/+rgcc/Bl+gBRo8oJudOPe9bnV1WKQDLPTdbNdxhHbc8PqKY3DrBTXgUjXbbwaEx 4b7jGV5gp242OWickdZztdwlQIuvCfacaZPLOLW4D9rcJ9AcEoUcE49HJ9MNMxmTIhUGINKmKcSP D2qp7O7K5FWNF05TNtWHJok0TVOEH68KBkazSl27Ueb5bxY0w2nqBaucExRI8R0O2QO4DIRsjh5H 2IiviZp3QKpUTAkG3v1Uv0JTsHgiPMJo/B7gRXXQkwhXL62MqDpOuBJoqS6sslpzSuecyxPhmtwb YESx+JQW1thit4xNkExm2Rfeb7NTqq+LjSClI1TiqQCxHwwripIZXxMFTvTy4gUFZbFicBXcxZP5 /iip4/kIrpK+IyfAkUZ/5imKxaVvu/pwhvAqvpoyDVddgKabRt+IY3GWoM5LPqOzW5Dc9WXqKsCv yIh+bPezguDzpUsRlY0vCmJxympnKRoBJISgAmc3DDqV350bYeEDgpLBF1mReUvGcXeLBiMMx6ln WKoZAQB62MysscCpxYICaIOquDLiGrnyu7LyHb1x3hJ0EnmQnz12kmx5gA6qVmbI5RRSesw/QSd5 SOtkLtzyUtUO5U8WfTuaymwtjJ0Lno+qK/9ge4DoQNdeEzXDKnkVT9g8AFDiZApDM71sDM9WgZ9Q gjdDOUOD+uJS8NFuvHO2wqF6LEF+T4xgyqMVQrFNoKbbwCNNeP6OWNmIWW0Qpm6j3kyCywlr8Xgw S/e+K1x87Ycr22YpCsaJQTrRcfM0eLMmyTQ4kWf/XwZjqmWrQc2wSC6GYpd7hEavQZ3VCnsX2Qd1 nFA8ok56AsdBCb2UcdNg5Gj4ot+6CR2TaoPmCcp7UlqrveDxCF+QvuOns01QtPd5CkVWaInTS3ei YqYBj46ofDWy1snyTSMrZnSaI5V6Lxw9CwZveoPCe/4WmF7RtSnTJgdERUAXEDU4sIdqDzOuklOK bnPbcVBGB/y+kru9Kh6PzvL1tetADyAY3OYpisZzolLPvNZ5SfecugndCAll0e6V8xTWyE3soamh cgPljtsIzX+A1xCNZ0o5M36CyCn95/f6MpJdeuEIrSLjeQKk6T8vVA8S1GnEnonHI3bLd86SKWmz bkVzRuF4pVQWHJC0nLBym6MwUm2OVmkutTpDPmdTs0U2JBodoQmmzFTpl/4bexnn/EzThkZPoaWB dYTp2jVDPmcSwn5vHwwaDQo7b76zN/B40Ols/yDIIUKJYMOmKayQT8nXmlAPfp85nQXUW7Ewkzk4 L7sZgVUoNgHJkPqMjiBPcE2Tij18UcuQD1xnmwmKFAA7egBFf88ZRePQEliK7WzQ1DQQj4R9GgOP RyW6+R0/sdI3b3I3I7AKTe5wUd9GAkX6BVZJ+wKjdThhmqL6uKXAna7bNnrfHLqbYPgxaQGmRAL2 s+WGOHP/gKjoOHtyqGcUjaehSqbUabdhc1BzSLyJQFbhW/5VKBD3AAfmWi4oNqNwnAJYmCaHPu2c zE7pBHLDw8yKQEibLhQ6NN83Y+f3dAtPiDNZK+BdR0k/SdMOFHsnD3QUn24XYeuNf+OU9dGTD1af A0ONjZe1+XgwT/m7z3nW+c8s7a6mCKwyKZk56KItfToFm0DSid0lOgJa0pqmKBofBvIdQopx9BQY TYGUmLU0wNGSbPISdjXFWIGi6JfeQpj9jKLxxsbdghECBhyukqhFDYdEtnPU1s+MSuO5fqd3MIQA KtInKqRydpmQpKsotlzHt2ZFVJUCUMmS4BkLrPCO22n2O96aCmREJmOniitpZkWYCGxJXYO2yJ2n VfTGUQeBfA3kZTYY5PCE4omQwxOk4fEoxPwGGACJPuuF0q0oEKeN4tl2LXmtIBU/nYAGue1g33Yr gquMKp2saqFmvWIYoPdDPIhkKZyxc4kavFDqHLCSlUPseqQLVlgVp93pVJ2Og0A9J3Lrcl08Vw4e j3SNfNcRInb+KpqJ2iyFVfGVJcLqs5SRYHGWzm5odjgBJGgwjBUG4sOr4umOHmCiVFg7LU9PkFak 4gaVrA6twbNT9riwTOAP+TVRTZzxN3hRGJDl6xAH9oxQUFRi8Xy059LX4QTw3aTymqbpV9z4qA/h tdEbVfElVaekrALPdU1TiFbZ1jMXmZXufuenlbw4UIDVDydkfKr2gifU8N24AG7ctH4aviaqzzGr m9Tq4SAkHUhH/GEgHIzH/5ILEbr+3L6QJLJZCnmclHdo+9HImo5eBat9W5FgZlf0XSFqvBuTrI87 OlIFEk2wC+QsQYtZQLoJifCZTJHRAwLi6FZcE4cyHYUrMaAFysLTya2kGQ+J7oLnI8DhXUxEQ0MM c6IVbBMVyoyT8Lq62blBBmqaARdU0fVvGRKYm8aKiZxK56SZotEmKkF4K8GnFoL+A4hW3XXEMbIZ czZbfSA9U68c1OiYqaAahrQOAZv8TRscxGsyTaM68QlR+PStaURELMqENlVRLJ7Ivqvk+jHIrKW5 8Yj1gaHhTO1oTVWoraLgMsmsRqMdUBnUnwEDYUj1wAZoGfoJpwUk2n7Kuv65hV0lfFEE65GOH5UL zDqD1EQU7qpyFr5nAFZZ3+inCU5ZvqLsK0SOs4OQqZHGAl0XlGfJpcyX1O5u0LLCYFwY37JEI+do 83RmCGLTqhSM1egQB8YG82/cEj9lbmdslKwLL5RWEQUhE0HOEJ/xIpwodpOQX2p4PJIu+EbYowa5 HiLCiqJx+P0griNKbDHirMXQdAUXORlA5zYZVshcIXRchA3cZne0rQeHM5gCqg3cTsDPwjC2G9Ye dh1VWB2oMu2Vf6s8sT+4GFrKxmahGsGKCuw68Pj7viO22CRDmAqej9nzxk8heHwotryWuaWayuHZ ga1uZ2wQNEDp8bg6rkrvkmaPYlRVfE8aX9oQ3Jc0vCbDn47GGTDkkAC4tNdCJC2+KLAd2SIhEIAx XQsDMX5NTbDowfeMcAXf9OBMNl0qV4RmhbXxpYggb28FE6zOJmdjxi+VrNpNu3bFCuRcSoAg3dG2 3gQn9dwSVCDHjQVRXBTpEmcVCivoVNwoatgrB1GUoCqFUqMcdESlc5KvZDkMHg/Cg6sawuMMJbvU LvtuRyE54xnguqdnwj1bN/iciMNNqxtyJ8YH+w8J8pq0B6tqQZooWDxAqonur7jumOWUTPnMBGnt DGK0VVbkhb6joBxWtayPkqYIyS2WxDNYyFMIzcnHIxbQvfPMTwGCYnei3qPy8xj3Ho5wT/HoocQU D31Eq9OJzkpJ+1hfRdZkyxCIq13kYZ8UCIesJ2R9z3/HkDRTQgl6Dzib+jX35g7CF/1KfAXN2waj 5p8UL1+t9v0elp+t2/9HYAV8qSuiuUMUeWOLhY4Pko2e12dDnByLy13WaP8Rl8PB+452mk/w93Dr 85Dqi4bhFEM+3z4JcgGZ49oJ2xsH8zQELCjVB8EwJliposCmgccjsP382nkIpEGY9WkK6ZwknKf8 CEOKfUf1J+e9NHZxbZpCsIrVVJYKmhwd+5TgHtVMJuuc1ct0/EhDSoAjFmIw7CzHxYIvipRoqvTE 7qAT6tw9Mi8+Q8XjEcXlu0B+8ofzQ+W7nEIIOapBUEDxk3zteq1e5zIrCWyQYfMUszmVwIyW7ugV zQUvcMuG2wl2hsSQVyX9rhEneyt1KL7ha35zSBhASWKAFjDPGXSEVPkdJzzH8xEOw2NNZTuT8nSe 6O1Q8FA3HtU6xJiajwUQheJYgsI1ZPMUwlUudLzd0UpQCQQ+4qxRWzn/Q/m7ofILTPI5ivHXu3wB 0I/4miCEKmy3FPbNOWjXnTnvEg45ly0ej1T8PIQiJhqS0Y+I347QKpmkrtauXA8KzXeWuhuTTLYl OUuh2qGcbVpX75yjH06pI9afBuoB6F5NKXCEzzeC54JUYzUleU1vHHFegVMp2MM2qPDbznKqCs4X H///yfDwd8988as71Fchkm6ptqJ/nCYyCufBu+nQ0iZLccdwFdVUKJFvo3dbzl2Z2XRH/HFC2cT/ DXj4qBg1RjDNRUapJonvCeJM+iqfvbN90GqCgy7n7KzdgceDxGX6alI/E+YDKP7aPEUB+dA85XV9 qCVj0Hg4ZWNzFgbJmqeQzWlYuq354mjz1FEfR35q7ZY0tek2+sWS6W23jbD31AsH+Z3bBU8fLLLM XdY6rdaBx4PiSvpuI+Bc3PV2zHcUjDcS8stepiU2qmN7IbLzuAEBZ6pNFwFVEMNwFQmXydE3HVK2 cx/QlgTOJ2kKIoYNDs+BH2AzzNicSwJfE0WYPJPmMyhpGbhdGWyWOfB4VPa9Fx1OziwvmIvAEDzo 9XtFDq67WSLclerRU1IMM3C+Cu2g6T+dwmh8CPpVvkanbJQFg1eorkH9KaOehiAzY9dk/jaQMAYG SoZA2146IL5ylrqQ48SnVKZlDVYSTMBAo02bH/EXkFW0TgRU6anZMUYKLgGe4S1JgubEUxezksmm knbISSjcAjeFUXm3TDjlO1r6cqKxiRiTRDwg7gdLK+hAo8AIpcAy6AQvyiKjcnxThMYgUqyTns/B mubTCpzgn/D5IIG5shgqcHY4pX5N1ntg7kIGXZbmg5OVq5ftrh1n6ermcbIi5Eq2soEsADXaZPWz Exc5lJgseDUOafdQCb1gXRbE7a6JzPIBvikCZbDhuYX0gZ0twYdn659vYWA1TtrHDwjCqSv6UFRr GWiWXW5CTmHlHAHSGCU5sHWU6tzFKlMcIA5yd5w0PirowwggnVxKut+mXoJPGZJKuuFtQBPlPEkD Z0gdoXKXx834ZJuQYqMg5MbNaLEs9TN/gcCzeMNAHeD5vwB27EhAc2biLPDJiqJ0qR2NZJiM84+U 8NTJtZbaV43uir62ovL5kIhtsdJUeUpTmaWC0tgBRaa2Sd1CaQonRgUnAWgQT2cox8tvChoyxI21 SSEIDpqsszOWjrBzj/D5KKGZ/2xE0nNTvXZmKSygtyLllWxQu0HNVULtFpaWRLTgse3nfFxBV/I3 Bd3k6Fi7s9QL1UVh5Az0oODA5vINLHCm5hHlMgqt5/hNQZZchGTp1QfN1jw3rNpXJ1ni85GF8D9K f+dd9rwoMnonx6cdBNIcljj7hSWKwkNYIsQ2fa6ikH3KhqqYWS5HP+LPCbiXFMfOkXMi2yotWyS2 FNrEJ15WOr1A+E2RkhZXVi/bB6u8QAVM8XvNfD5iW49/VhYCiHFlEXMKRVioEbWWhQpEnG9vYtGa jZOFhqNvw7CUbs6dU9kgR+fHQo+NeUyH+0Ale5HulLTqhfZlgdCMnVmlNnvpqExF2bHCatUZkmFU 2vmLGQ/08xCfjxqj4zsTPIf0foRbiesJGn7qjA4vwHRqMkj4vhrkpSHlHW6+GAXv9Qtx9z/Iuwyt 5/NxYDy1jc7cMEF32LtSujWlB6EoN6r0u38QdGx9EPTuxOXKmRuYxHg+0s+42SC272yyCXkuw7ie zt5xp6RIE9bc6ullZv5bqiaP6wWXooK6WZxRjM7HWyeurezE1sM5YeDORcRUpv8dj7hOlwAx9cgl xhdF3jhyo+p30GQtSFCwXTPy5PNR6uw5IbGKyCMmQnubq9DVkx3oTsU0VaxIItZcqXPH5Lm26+oZ 2HpeX6pRFWdx9KywAwvSacNY58mWS1HPHfI+AzhJfOB1x6HzeQ5sPbEw2HXYygu354W4T0ypLY3J 56MDyw93TBWYCQvwFJ+qKH5v0rRLT3GvuE8sm1teZyhuZpIDZ0+gUkXVW9qDHK1qBc0fyCCSPQRB pOJWOgjpiZlat/kgz5ccG3uy+9An9ZA4cKbgvmP6yatUPh9E79d9ossiZgOKdyOswNsTq1lFveZJ dIOoP0sNoNctlRrq7BSc7PqoX7vJYyh24OhEqzIALkxcVX1lyEkrf0SLk9x+enzbXJVm7/wL1Sqf hClrMBYolHUn6Tgnfx98PlhV/0i5Ahww0hMyBP6eKGBK+KDfqdIhT7VpJqdSm2a7TlMVRe4qtZxn RPLkqKk6Ow/6wl1aZCl3iVKg6cyuRIEW2RXTGKPZK0fBFVAvtGWzQXA8SKd1eZvCVjdw+PxfgEKn j9FViMihxSfP4AEnHpsp2N5aZQZ2h1a/WsOFkXLg8WlyslhMdmSNG7YDtTapcstCX1+DFArUQHFE YO9l4AmtgsWmI78ocjUhGE/OZ3O7VfO5JdC75qIam89HxujfaDMUrnu9oLwceHwmeZj+P8a+LEly XUf2P9aSdo3zsP+NPbo7QEX1EzKP9QdvHytFKBEUhcGHE6rloUrCB+H5S2mYhHlhH8Q2VewoZKHS puJqoZoL3Z0p8OKpnRE9hgoSUGPxDfjIJULBUV/0mwnxXJJ8XzaQhSZZH1nucKvw+r+klGnzyRzl sdcNbD7RlaGlEE2PWQxmTdyhpWN5wxRMwe0GQ5/Pld1scd3VGw0ocKYMdqHilmo2keBBOZtCTZhx W35qY4VOn0qtxprFF5U3Beh2c6PovP6vc13/mDXl8waMMna6Np1ndTmJb/Q1DftSW7dYgeGwPFaR rZDJugLCeVeLFd6mGNcUjktBgVdzNNH0M/FFANipeaW3su2mo31lJuDVF2OAJCnCwi+q8vqI3PAt WAr25UpPAyvw+0SncVClNLuqRs7TVRDOyeyMbIiweaxC/Isp2kxTtJlfijbjvOyWzPTOfz7l+FaT gdLXBZaJFVAYBwr1ZjcdGQmwiYyf0hYNTTO/h6+1Vnh9UN3Mf4S3INWy6vMODBHpxHWcx2IYnHGv beTQTPlqUwte2zujseenQHrgLdzVE/Z0dhM694gV6EpbsZoUeCn8IXr+ImBNu+lIB5dDnE7ZYC7a V5CpoH70+XE3r49c078d9XIldGdeQGMOjT9BQEK08o0WMCkWLT2QQOqRG6Zohc6fS1PTagOv+gy8 INR9/g9pCSBoCwlItmk8mQ48v9p8Jl7Nbjp4Edocni2/nolPYB+5qGcPUcLK66No3ZkXtSaQ0T68 vhy6fw7C9QbmEWq6d/o6/y9JtQU5VaXa5aoerBCgLlpImYbbm9+YoVMt7y1pcwydN3GNEFIalCwC fod6ipJ143gwh/6f58HlOF7kvuqvwp3KULVzEoHJ6yOStlfO7KLioW6P8W5o/wmbH3gJlOyoYorE qC8qFvKicEv3Iyvw/5T5FmJUTDuJRaVtrASuWlXdDCsLWV6jQ4AjizasLe/bF63JbjpS4SLveJHn tyfrXu4skLLYRcZpwg8IstGL9GCSjzdjSpennQMPUGBiFKKlkwqCxat74lBsFAb2WnFD58AE9KH/ D4GwuXqv75xZOyWKSQDvXYdcGxunSZ2TLtB37GVIAh2/6TccQwXaj0tyfD46GLJWyLrTiP1wp4XJ HkOylz1YofMQjawKtVOYkJZl+gjn2JUvGmvn4cCYHDiB4k+Q4sa0sep8xqonw9p1YMCGgvBUn1X9 qwWlmfPv2Em+YFDKA/OLglipcWW5+4LyrsQ3IC9UpJ60Jj8gQvc774jgHaQO4H3cYEUd92bustuR s03q1MJ9pOboGPpaK1ixmotE3rqGOrVf1ZuCI/wUUxjLAx9TclH23pBtT3kyUByofPVkQj9Q0Wq2 htFcrCeTKC0LnH9tvD5SqL74PXraQJSrfj2FUfreOMtpI3mstnROEasqGVjEajUXL8uBJeiFXE1T vpmP8s0pdU66MEX7oyypOTvlZv/JaFpSm1rTbjlAf2BDFWrL26KmzHlVcayBUG1eH9k1+bYqGkSM 8xCiPe+xitJ3TxysAwrRBFN3A5G0+UNYc/K+aOAKerHrvRpMrc4vtOOpCNEbR1UIDYXNB7VUTGG2 dB5pG8NBTrZghVAZcm2JMLHJalNXZiUyqAhE5fVBAX01c6kPD6ZWuQY7OfQFpQk9hgOOldnFxKYq LIG8gC6EM7KADoxBISsrwakiXhtXP68WTHmhbApBgHTqnCVjUFhB0RUBJmSWYXE78nuCFyEh2Qui ELZYV2YOvqMInOH1wWk1v1sNGRKWe/UnHX1P3qG3SQitLAcImGnb2zKTZuXqtl9YUQ6sQW+vIctp SKsX0AtIk8QO8nmsMS0mnzRBfWezooEwDDqUhKkVu+cI6M82+6Sc/kLKYTLVa1rOcI5KXh91kOvX rsKMbwH/a6EK3UHBFkTRbOQHDJ4J6JMrb3dYNjwi7AGM7UG3SSyKvzVTu1C11EGvGklGhbSZVQcZ LV/Yqf20S0Uy+HoO7UGxXzC9HtUXnesJhxQTLfbaA39QKTk/qeimNMG8vb7QIFTHRNq3gZxVHPJY n258CTGfapsqcAhFVqtxRDItqvQcVRvU54TNAA2qc27TjQX5QuJcHDts7xsq9foCi1A5toI2Uoov egAxo51mib15/V9uhVIFL6C2PqGKhdCJzb6WH5B4uRB2tgjFHgFoVVl7aBJqPny5mbRSe6SVNl51 OUvp9OzbJmYlnBgpCQsVpXnV30rXuCv0CZ3kKrPxjAWJDAcMJ48vbNT/wNeHH/BXsIhjL5w5lWfg FXuF4hjuY/vAayTS+821sHu40IPzcMXWRNxR0ie31XlJYJZCV5/CsBs2c+r3scynA3feeGhMiUoj +sAuFKY53FpLUsNQqZE173l79KSB3wL6I/AL/X4M2XI/j8Sc6QlWmLajnzMgO2Z7ay5nd9fer+bp IDafNU7gGIrxiKrnIkWTUtZFykDO86Q/pVoTa48talLmWB8JeCU1yfSosCv5Tb/J7NvceSDURWCi fo4CvlFGSZMfEOkF7K+9BemUub8exChtz7IvbClZ+UxGsbqjjaURy2fYxXmwItkXE6UC0OOu3mqA gvIgkQiNn0zTJeAhaawEqcAfcu5s6sVOI7/plwcR0Mfuizp+6HGSNXM2WOX1Udf9biwEaycyBp6d FeXtZPtDciQ5vi8tb/mtlJrh+86hcx/DCCnTTMC6SQGWqx3wfZxjqiMfwYx+gWQiV0ziJBK2XMZB e6k33W46GhFSwjpLyZoQOvOoP4843/rnz5j8gKjK+UdjoUJe9IFgBQ6iqJ5pXuisQIo1uxRFbbVa sAZH5gpWnLibiLUsabk6/2Zjlg73AYAhoTHfpfrNVKsyaccM3JtYe9lNB48hZ8+tt+2LmljnKKqy gj6PN6+P8tHy9RQ2SMr0/GysECmzp3xBnJ/b1nBYcmKJK8/HK16ZYxdRa8c0O7LaPbLOk9JO7o/X E8B9cNRIUhqkdzbUc05ZVueFYKnvHtqIysC363znwlid07bwlQSv+sXrg3y0XBoOifQnU/thbuTR Cjvvg30ZCXptAt+7RQtKk01y8hUMZD+zwuR9S7mklGdltGDLB0AqNj+0hVbb1POEXA75Umwjg/Ru 068scF/gJZrot3TClEjG6TiBqrEB2pLyft978gOC5OExKTCBwp8JrK9FK/YT3Wq3UyWODIqyroPR 4vyLPuMcxDN/DwxFIQiCvQXw3rParP7c3djMSk5+VKGTr/yClMKOrj4w8O4bVpbdclTpSCeuiSPf xJE/FQYsRx5UQ2Aomoj/tlBx8ItWJWQ5bqzixrt0KbJTvKrYJvQal4gzvcZpU8EMPvQUNUnil44f XqznbnbRCHqjxBXHCy8vVp8YJ3pZOJRmBZ6ieuAxT+XJdYpzpHfsNjArZakHjescuoqmx37V0Lnf ch45tBVln3K0PauDQPItd9ZwY/a6KGuiYMU5vETlp/FQ58NDbbDlocdMhzX7eVVKv3nCf35iiDj6 uoC1VOyWfwNCjqHxF4iP1WSbwWyVXnxZ/IDIruAbNorzfQBY4aGK8ne8qjO4NJeKKpQa8TLAdIqx u9GatmcwzN/VxJtb+kxcDYVFzM82+5mzR5P4z5VWh0gffwZU8035JCW75eC8mtStwnFqi2poaENm KXcBhRVai+bHWpTjemi09mf2FXiLGkPn/NKmZIkuWroyhM6Ra6Xn7q/CX8xFLXtX8708x1UC7ifB wI8qqRNSX6Ltgi+7E1IX8LxcdqhWu+coeeezt1lLcxE17lRQfUrEqlVeH+2qf1BYiFJ/Cp3QXpR9 TLYrLVRNavygm8wxr5Qzeod6Dwb+ojfDgi/0XZ0SQD2HXqRPvBaUmZg10HOgFMqf9KvBYIVO6DDa +AKE6DEWobKYjmKWQ2YNPKP5AYGf/UVuK3lFNT4eAkULk3eqykrIVfno7lbpFAlGUqcpFXfKotd2 8EpNBm+vd73ROmXX2JQkPHtqw+SBoy9m0YDvAr6YrvzuTHbTvynOd/kgozEmFZSJhj5Jw+c5yLw+ gmL9I5nKPkKaz+wrNBuVGuigXruMQ4Zr80MsXg8nXu75PoYRaqaqMTMMCTIeJAi4o+2Uqm0RYot+ kCSdJ17EFNbMGIB5sHa2e45mX8gXVtl3cSIAt6+mYLw+Ahj5Y1ilM3DOi41aVMH6vGZYNUsNGh0m l1QHyNZilWR+ONjMWiYw+5pf1UcRtJvUZX88VvbJ0OBCSWTDSUvEZzmbAO2vCcFh0DicH0fT6Nfs qsqbCIrzar3Thpin1YR5kgRjFq5+CVKF5JLG9PhFmIZmCOsk/pfz40Qhml97iLzLQewxCpxSjaXT ULY7YC0IkR1ULbdn9VJwzDnxGQR/DFgNuvsaBPegNgwHKnPEQH6Gr3mLESY47LUvPn5cFKNTnEub /2QSuPotRmfHp2IxEmzhfDXxOorRaz51ruqEisJvwB45yL7Zmw9OiHZAdVkeJnxQsI3kbDytISNz bqUIoKuUJXm0jsOQGW+uRMWAGvcD8IxlnrQK+rzmUhVQIiYIE5LXWtQ5Pt9e+fxAJfLzmkjVh+ds sk6d4lrLIvSaRmEXGftlOO5/swtIRY9JuT+2QaEPoAi9JlHng4ZBaXvLd3VOF3QDqdUMD7+1yVoj lBaSrnjXopPvEQKc6fOaQlW5omAWLyUrmgRIKRVjcpJ9+jqn92sGhb/WMHzTMqgFPUTqEdLCO4rR psGa7OoJ+K/V5/BlrmpDCFb38vWNnjQNa2h+5Ku95CCm1zZALNCvAgpGvU9K7ALlwXHwvAxUKse9 Jk84jjh9b9LQaVdDZ0OXVlBHDOFfU6f6SMMA7vpjqNBR/VF7zZzwgLLf0smJUz5OWwXl48X76fgr jUDymjdVM19GBtDTXS1Ii16+AzsbSF1oMZkfHSBDFUqEjUh/TUqTbFiDIGHAR82q6Ys6niX1kox0 Uz6vKVN98supqgVKYSjBFaPXfAkHfRYhqQ5ro7fkD1tZxIHIqxbtEBmFRDFqJmeZ1l3tYTtBOU/A llVtGxAG19gdnbfN3YRcy582VnevqVI1KHahZTIWHBWmPoXkXo5YtX9eMyUcZ9M3Eu4NW3h2Ohsi Rq9ZUjXfC9Cdrsp1L+nHneubFytkfkqVODqybf+Y/ELxxwDyg7Aqbzhp4KyGNzZjhF2wYHiM0Shb 3ZZ/2w2/v9fmEDIhZV+0eRJs7wSO2vnzmiDxLn0fMfUG8NJawVTRjB62qQaUOdSjv+LIIFP8NQSH K8K8tjZ5UugkIq/W1guTbfC94Km9NujftLhHnUHFBXAVZnGL2rJoOPPa2ESQ2H5ibo6FvjQ0jYFP qZJu6KMGQepr+ImEjXQS6L2n7azPa0sTm1f2c20tj9FOFiMID7q20BjLVXOiU9swPkRT2uoYxlPp klqKhmZPkH6lKU8lxq8hKWhk+Rsqj15Yr+1M/BZLta6aT5v6oxoWV6q2YVyXP6+9zJpvg04xgowt yhL2nMS+D8LEpv45UqdjETqn8QxTdjDH+c0h12IkyfDtJg09ETS1Wh5ZkE7XOTQ1nqS3seCFpTYN Is8DU+6Efavgfc+2TawKnuLZFz5mYJSlLZcC8I6idJt6pPfoHvAsEkFEOO8gThxwjbSuOOPI0zUH x8qeKO1VLusvzLf5rNH30FcvSc4T1eHvJR9RWOdwuk7SOUjwGeX0NS5i2fd5Z/1Vt30cguSNbK2a OsGyYK7Uzi77vJP+/km5qUCPmQQSC4vTe8oNOGyifuxwIB6asJ4sZe/LFcBtHFwWxEmuKBXeaXd1 wNRuIL4X5tyY71HdF/X74F0Cu8vzSbPPIehwEKbOnJJMCVsYpoFpTpWh4eblQbrUt+eUzB8GAOl+ OL3T/fwEPzlzbxam0pJvp7rmVUVdfoK/s/34wpRAQJNCXGlXIQ4S7BDHZ0vuHH69jS04OgRONwAw ZdCaVlKfqeqO35+6TpWqvUWj2Q5rQRkt3SeIxX3eyX7/pN4aBhVApW6Y3lNvk3wdsyw/nZaOJPQT 2lgmido6Bm3W5Y3CRExZY/PAVxu0wN3iVKHF+KNtmTFrhvjTNAZJvpOWqc5leDiRX9RI4N7QVKxq mezEASqmNgnNuCBObnoxBWihpvy6WdM70w9/Hh0NpVyv/ZSs/8Yd4QKWgBZaN+A9/0Yhx9fcFAxY q5W6QB2j0V5Fb2i7WG8JQ+8JmS56qdkpzpHP553nxwMVx3eme7YWwyme81l20OdX+bzT/M7l1Ey6 p1PmIVfJmmGc3lNwfGsSitMbS3svN1HZzPuFNwD5VnGKcnDzzR7SydPqx/gG+BEEGSgXnf8nd5mo AEfDHZwBKjOT4yz9hCgJbxrZNcFY3G4LI69ZhaieeX/eKX7YT/+WvMAdTTk8MU5RGr7E3G7z6i4V B7HAP294A64ma8C9M/yeoreZDR1Xby/NCbRCpw/7HnurD14ajsXFuRuxZCYHMLvu+D1OY+LkTfTV xFKMe7bHon44xDt5eZBj7uRxYhsB2oxt3P30nonjJUXcORWZGKcu7SW0wCk0qQ7Tri6X/s7uw/3r dbeFINBqz12j+VGhNmOFvG431AawtJ16xJhwXBv2rjt+jxNyQgSIrO10WdvkE8qU55TTn3dqH7Kn 3b9edxlvvz77jVOUjfdGO8NikEQAJFxWvgz0qkSvrevCMcJ0XGKDGIvc1eI0gThP0rBsmcMtnmEo xdBowoX9Sn3OaXccxQkH09kC3Rem0Od3SbOrnwIsRpSP53LTAuXuaDUtT8ffSX3IC5bEcIq1CHxy Tuhbsf+Kyq7b+fTO6WPZogGwTTXbM9UsaGWlDlcWwKGA+GGaeRKPc4zsbjOw22vCq/7zzujDE1Al HZurL5Y+5TWq+I8lf94JfWgLp/y1n5A2khtgYYqycUYXpjzeJViz3LYlnH2UZVIsQ2F6z8ZBhlDa JMi9Vq/uAATeC+kTiPOjyKgd7SbA3Bii/SVXws7lO5nvzghyosAL4P4/bapYgSQKs5FTc8/2eafz 4U1Q/Cif7KecgK95QxX1wKeBxqpnmm3fphPTFGs6oYGnUL0n5NdxtVZji9arsYsi+PwCGMbTq35h OstQoWTBQOr8TehtXLR50S0HOVSXIGrizsKgo/jBlBLH3Gdnrc87lY+hXl+5Jpo6Hc7FFqg4JedR 3vftzrU7LFhE5so47JpCv/P46pV1aSYA0B4BAGwqyIeeHYoWZiJpW8NMVMQVs7+BTplLcFDY5Z3G hy8SlACcbFsMUXCuS1JqmfXzzuLjEec9Az5oq8MrcN8zKkrK+SY/WezyHUU8nZd48/oUkCGMpPyd w8fGjgTgct53dTDwOWTBjyeVFhIvpjxfCZ+gzp5yg/lYYr1T+BAnt8fkhnL7bNBIVie2fRQMVqKc 3Gke1uwdEK/lwJdhCjvibUg29o5W3CG6inmpMJ1/YR2Dd/Yej3K1xLPBgPOFAZ+djtcngLLoQJ2v TGx3AtW1JEFOIfjnLF+65SCH4rgXGma+CF5+TnJSgNGNWp938h73ffmKEwrTmW9q8E7dwy7ETHpQ IkRxmrMYcaFm4Z9kEOIdg3fmnlXy6BQsbSuu9tytAS8H6Gmgm4nBchNviPYkBVV/2ZTpShIpWbrl 9wNqSdLs//pkwqWgymLtpP2fd+Ye/uJSv955MLqZO3nP9522xzihFh7gseixY2mqOJm2J80ccJIw 1Xxn7eFnXlbiCSPG1eI0Bqa7EkcgrjyRMVrOfz+HA8aEGAPfEq8M3XEUJjagBs3GqREyDCc9wQ7E N56k//NO2mN3+uaa+sdnl389eGF3fJNZzMTQeiv9Os5QDEnGhnRQ54Z6z8nRtlaAdn5Wz8nJtRlu DyJBCQw1UT9PjNkyZgHWW4EWPu84yDUH8RXCHS6nfYPCRRlFpJ2Ztxnsp7m+nztUd+iNWJiilBxP NCmz6R7jBkKBbnPzIcI5a/18ek/Jn95Kp5mDVuutnFO8AyhPKcHzO/SmCTqgYzh1gZDMt3QhLePz Ttd7HrvCvICLSpd9TirJyp/c4/PO1kOmOtNXWgAXs4EE0gbkUUbOA6LvNj0jB9bN0oLekkPCSIDS hDzMyK1iSVbppQvJPOkJ7Ga2QAQTRUyShlCe9F8EagfK+yZhhof9887V49ytUQOBCScXgxHUkU02 YpfPO1WPL+V/TvHz64Cl72EK4SiDnEYFhKdTTfWeTuOeTkAmKUxhf5y+aiecLPS0Wpg28smMnLv3 dHLXtOTvN8AQgi74D0yxIOojKEHRHUdh4um9KLLBhWFqJw2iTzrEk3h58NCl774vVG/ZQrQwRdl4 kwHkYjOFLZbVsyN8KcsjLwe89/jQvZP06nVQOQdDu+tFrUKPAukg+IyYfBqPCJVKhzwz8XJ2hhOJ 9c7QY4NjUN0NWHst2kzn8NtivZzs6/POz/v3COdl7YezB4tSlIpj00H9Jxnt8zx+li9BmJnJJkDj nIUQB/3OzbvDlpPpsczTapupjvNXtMRhSysUHhbtGGLOdEnj6MIAYJP6I+/MvFuyzLZksYZSR3Yg wzDKPyCifd6JeQhzSt9xKtxNN05RJo7aG4NNJyIMqfBxDlzIxRe4nuKJ3E1RezwRUdDY8vZVcRrn kDuvvkweMeAoNLw+cVpItyrVYMvj4FvthqPuONFfo99FJ/iAqzSPpvNnf94ZefVheypKKJpPbXCj 9J6Im/jFaGIOS1rR9Q7Ofp6Gqj8/eLER5zsfj3WElBWziOkrX2L6KRVRTlBfuAOhX42KutH0H5u4 C7qFCVLAeuWdjVfl07KAJ9++CFMwMDZjDZI6bzPaTF7Xacp3MqaU79EU5eH0H4TrqrvVd2nkslEw VvX6F61hneBha1zOmGMaFuyZtWCg1Xep4u83CH/KwhfUCkw74ONU2iWX0Rno807Euz26AlMkW9R7 WvscxYSqLPyc73m4aW4+2wn6133ehCDKw9m/h9XxbdHJmYctOkEyiFAZblf/TsKrV2lklCZCCx20 fiYJLTuJF8ARwhpsYTJOeG8mzGDKLl+9zKRb/g02h9cKl26EJ3A0piQ7ThnMG40SzPKVOUEaf81x N1SUhwPHcqq5W7CAWu9Nus2hLDZUpgqeNlTYGxeGHq2lu9qGgkXYqU2S0I5nv8itHSLxJP0UqeVc HC9Ky887AY/nExsFnbR0ILV++DdjCzfq9rE783kn4LEC/k4KIHA6qReqQEWZuJDhU8aPzA9qNY1A VANyOcTPVqw5/k6+w/2LlwFe+10tUA3UtJqLhsET3FeC59C2+8kkLtK3zY2wqHLwzr1jL5KSGf1Z DPV0XrhTb76dP+/MO9xnrl8nFEQfZ7mtzHfeHb6UIm401RVLsSczWgV0ol1O5yCcF0DMOBUXLHzl fNcLDsMTN9h4Ou/zApAS4rSYujU0NCHS4SfUoF/DO++OIApCwsWSWqhSlICX8yKQmvDJbz/vtDs+ uN7yJZ/zpC8/sCf3QIVglSKfPuraoatZqdP3v4y8gIkrpQFpv1qxod45d9XUYIAwlKYpV99Q5yzq CIuevNkkzawRHBp1yIov+EmS6Z93zt1NoIgzXtKREPqJ4h9626f1eWfcMTP4Llrw24y1LrA3xIdn 2ayux3qgJ7PiQbvIrHgKFRmQZ77T7aoZSGb0d+ddfYwAImehdVHHoQK0KXvjVH9oePwKLS28uOu6 5V+P8uVL09wEzCu5s58krX7e2Xb/HFCICexIR7lVyzvZrhqNo+/ZxYXKEApTyE4NvI1i3c6Plm3K +c61Y2og+W4KRNhqMwRkOvhgogtOgtSURpz4YK0QnS3zSQ0o2vp5J9uxW8aTqRGWyUXwXrzxssbm pX7euXb1Ma4XnA4zx5MXOUjsnWnHhKKoL+ed8clGouAqa3kRPAA/5EH+TrSrl2i3TYJlPxIsDQrC Jzsge6ycTLIX0Tc31aKAgi/IU60IVkvlnWdXXb10DmIPuVivAG9KkaJb+7zT7KoESbxDR9cPAPDm bj5DeCfa+ehhJA4lmURNEe1I8MmG2QReZVqy+c6z447mybSaBOK5WqT2pH4Lhi1458GZSO61wGtP sEwBIb89umx3HEUKcm0Jjgq2WKSQa/LQObnH551jx1LtGwzd6UvbvUf3zrDDVZ3s6W7UVnj1mVsR Kc7m51TYr1aYYrjKZgE8Vr+rhymXkVJiKxPaN3Uqfy/wwD3HKOw+2r4puTZU1BqXvvIarftiFd5W Q0jEzXd23T8vPOx3dMVP8XCfuyglp1Ldly9t36JloHRJ29wNKRxso7t3Zt3TL8hKoLR6j66clxzc 54DCwPlNxQi8E09i0CFzATCIo8Twbv688+qqtPvOLgJjyhZF6RwSmdq7rc35eafV/QMSo5kYxFb2 zcdblI/TgBCwxml1sKw50FXB/MDr4Cy31c5P+nUSvJZUu9e6qt0NqWulMgB8K9bca5rDAGQf4POB V4CzgAFLxtcEOYHEXK2fWUywq2zkaJok9NJweZBk9u/yDpwd0Ag9SmEyTns+e+QYsLzdkxbiaT+u JHnZPlFb3KNkIpFcLXeaAPEIvtMbODFDiXuCOVgHCIbvt4vM3Lrj4FVHfkZNdITmYpvpZC7S7jmv ZlwewQrG9yMHwYR+hyw9ysWJ3D57qdhmOudLd4vjRc81aWAg7xHf5z0XR4tQiExaPdlqraeGhm+d VU0VsBFssg521IB5zMCbTqXdIJe1xxxNmZ/I8nEm20wLfHI2BVvbvDwgs+yLU8E/xhBs1Auv71Em Tu0YTJfz7WQW2035nBveyazwQlSYIqCKxLBaZs/TVoVpApVeKKbSIQwMbobm6eyUs7VX663s6BiF 7wkqFuKg56CzFRfFaaxM4SH08ycu/4s9Jp/DBZ0Cb9H1sC2ehUwxGRWq9QzvPfXlcGhgc3WA96gt 7rBM/l62esEyoKKMKgUFC0EdTDAzQaEF+7fU+rQKRB+LEnFZZnNUcC1EWbGc/+skR43ZCq4PgE/z MsiYOeGlCzikhypkbFa5QTeXKNh9mCMm4HPVkHQE0TIX71EuPhWqZkSE9hARqN4KkD164xvSWJqt AB5fULMUUIuTq75nUi/xRb8UwYXa6lwcmnlOWTHXzpuC9/kXsVU9PYgPPpDoHtE26bPn2uVDUDrv qgz6HCp3Wtvm5T1Kxp31a+rJ81FPPvtr4cSS8Mx5t9Yt1YuBynejYcNpnWtl8X3Xo+64+XhsSmUN 5KPVhBzKHBzjwYQI1weBGuXrJB+ab3ny1MNUHCV+x7zI4yQGGaCZeTvj7oQJZnhIC3pI3SSrp9JT 3VdLC84hBIUeDThPKs0pEBkbiX0NDHwArPEzqmbdcpAYEHPY5VjYoV3XBRA7j7T0tE9xt3H9n8/e j02l5FbPQEUNcm4olFtFXRXYR8jKkSraHEWBn5NYBeMsj5Nx4VIFQtFqZUE6p/l5IU1oZMEddJN9 DEAPnzwO7iYPGxMjtVv+rf00oIIAIMbSrAZbqp8Y81sn3Pd6lI+n8Q3PZEKWLnq8v+fjRt0ZnUQc YQ4BckHQQAafhK5y4gIEF4/zKB8HnwD9lK7OL1eLFHzFZtt0KTxBOsf3lgIi3344wX7Yg3fPE0qR 9qhFrtfeYkauxaBP5yuSyOKp4fKgX1C/J1PQepp539dehB7vUj+c2RqavUsdmUo3dFrSnHNjAsJH L0Kq2GuvzWFyT4NHLHWAT91zrqAeAB5ijswoq8kOOSQ0Wn38TtBCrvii36B0q/Oo4iJSWcfwk+lB W7z8L2im4OOwhkntvvTCpLyxO0fgAxtQk6YtbNR1TfM2YA1gQerRizrki+984HfWXa0BBaDhqexp dbIhubCKadtSAABqzBWscWtoDjJeewRWkVU2XRFs8RlekiQeFHI+PeRztu88KqPvOh8654jS8kmK earJRwmWZOJVgoTTXnpzuizWiFrkUyLcy3YUVydMYfyIL+EQ75zk8iHXoz0ltIxzXPwWjr/xPb81 NCFp4IuwTwsy+NV6drg8yMv7+k6jQE6GTY3HKcrLe6Ludvfk4OysYjj7SjV3E+PBXyYqftwhlyqd vfTG89KraZ4dC6A0T6i8l3L40uB6crJOdOlnqldqrdgtR3ROosO6NMtBKGA9ebYwgAyI1GiIVNgi 39/lMMbS62lpjhA+Tho1FEAsUKsX96+SWAcJLjBqtkBFLfKsOq/wVcCVhRQdf86/hxYRDTtORtWK 2p8DZu+w2QBV9foqQHoQXxNgWMmXgqkFl2KDhHZKB45sSRnF5X81oCRHVyCV7qnBiJJyyNPeBrnh xRzru2VKASwdSyE9dlFSvsTI31WpwaaSD6N0NiNkijYN0dqCFFYyPPDJBQZf9f1CM2HZPPA10aCT en1b887tPYOCx0CKRVA4HGF7/HYzue8GkvE7bhlRRq5xi8xZ1fTdxrirfPVZmw64SttLUUY+uxCs 3YxT+2OcuiHYOKYEMOYpk+SKjYcaplGohqDtZNxgOtHge6LDaZCdOIizr3QfZ2/lnJ+Folvj1I+4 /r/sJuilfSGiR5SRwyIK4iCuQjcahZ/FRHCs/SnxUC4rTlFGvtR6GlkiGCNfEQxUY2lhQMFDfJ2y UhzqBdz6AP5wXR13Qln5NUEtzDQTsmO+aNiSQBFV821MXP4XrIcJKQrh9UQpxI0Tcdi+puYSwUCU ZnNRcnRWPEoRbrxs1S1ZXutcvW7hZLNSTg1iD1s+9JX5/iDZEB0oQ42jJsfXBJuJggUNLAAuUjSG NU7enACwDB5hKj6/R3foa3RsbYtS1Bpn2dCpv2gTBFDxbIJAlJcIZcUnCCNKxU1sbpmi6OJfe/4t NLA0guAoGK7FuZhMJt0kkVFhJORRwosSXxPlTTy/txyEoF8orMo5SNMyfcLUcX1ENL9FMGOKTmG/ GeYIU/FNId9hCBU6etlAKst41HRnvAM14lRcpYqd5FytZAH8Ce5UHAWPEyTDYCxNZWDvxOGLP3XM MEeYiuNwQpDR2MTUDDnFD2VV0IDAr3NeCAsfEPQL6j/cO0S83H7BiHNxUc2JwiDFZeRL5SxGcTmP DMCT7KuMsEFuEUo6xblapE6Ck5BnklDWAGKmughgKTQchCvDnlcJY+sYDzvkEnuc9MQBXP+nmMGS 8SvPgZcLro8aKx4o7j/023f3LTXDFjlnnHWbKyOQthy9UIJcFhPs1GGAzupuxiqGxgmWxD1Xy8UL FHRyI4e6Q3LG+MM5wZOt8f3+WCaQGjxDsEohNRiNHltU2+GXYIcbwqi4PJq3fEcJ0Gzaa1iUoky8 Up12U3mINfDmaIE1cKKNwf8ysAUA2ihKIZXT2BpNQjRcLRMHqfWc8qT/nK00RiFqulSyygrRLRjB eA2MVi6+6LdRwsgE2I/sAPvRZ542NO8Fl/91QhFQdo7TeWp0j1OUiCfSf3rzifn53uTtzN6nV3Yb qlsSxop5nAI9yXBRqyUFsJU7T8ScUqYF74cHFMVCwGSsP3QldEwPJR5m2CJnr6A32c43t51fG644 rF32qrg8wmB8S2GA/XO2k7/wZpSK0z8XnblHgKa6D87ulxq8SflinOL+uNGjUrmr08mwp+ZqnHKe TGpXWc4vuoQ0aIeuLwfZpRsOosSCZY5hSzVEz4KXMr8vlYnL/wurBY6skE64YYpyccAtQI/uhmI9 AduGYoWQ0oWqTPclnmF33LB0soLVeiFiE2J20oQecFIyMTp6mkF6lbKQPg2mW9f8XdWQT7Utaqgg +ZdwaukVlwdjzv6NYYUAzewXSTfj5vjS/M6TzLxvkgkfJEsyWx6GfJoRTuU6dcn/babr/3aKXHAz Gkl3AzqH0mApk5qp7dwhgP6ePtHac0apeO1qD9BJggtTAiieTVlInC2Gy6Mk8/IQmMUjzV173tMp AqoQXoKpTPb0qQwHqgyK7irNVGmHOEW9cRGicZi2u/rUvFIsnYq9yCrBmOJTB1bHwOQ6E3BoGHty p2eUjSdWwJv+WpmvBmXjE/o8zBzL4G1Gld3tpjD1PudZR9FkcYrScXaAziNSvASmxJfrz1x9h9am P3ahvqGSprGl8zAkf6qipYCyK5O88/yBu8nHbgKEtAiYgnbWHSFs3XGAexLJVfIFXLw/pyEaznNe HiHEvglASOgmNHgtTBFURW3MQcFpqdLJ/YAOeQSCyPp0VA9TlI0LDg6M/byrvexao32ZVMTaLEMO QuCwg2+3wZunaLpRW4ixn1E2Lvn1k5qxfEHbJkuadhf9xj/rPOa4/r8gn04GTOE8D1RI4lRjHBLw xnUtlzxdenK0SoFxlQL1u64KLOr2XW0/nUcCFoWLmuLnFTeKOdED4T9J1przoQCtojv+RQcDGvd3 MRwdsMUqX3bH5UGS2bwK5pF/jqdJtC/DtKJUPElWZRc3MAOWxx67PG/yBBtJhWlFaJWR7XFTFczV kwIg8lBSsMVIAQQmmRsF8EkSurnDrkexYIVoFcLodmGJx0WYHnzlEIyuVlwecDjn+EqdwPee8zKC V9gUbxqTV3/ZFVrZIUppk/vMKCX4zihKIVilm8ahxGdGfsRnJibuqdH3+xzu6RwibIpPkMsXcAZA aTryCUUmviZqif/jEgGgoA5xqkHzC8+bE9dHz9w/082M2cHwnGCFqHFONxuNWDXd7C5KByvJq6gC loDCFIJVBLScdoaflchk4ujQRYE9jwbmcEiTji1c1xsaN+s5wqGs2fA1QUtcMiGp3EU4ulM80+kC Gs+8/K883EzCT1Szv+lWyOEslMNKxc3KNEdVgingIdoE80J6VogZ7+b3o6k515tgQlRy0DkXHLc2 rYkJvZdZ6Tbw6PgSRrfiljjVjpFuYYE6DV9vGPQ1/k9ItuD6qPz9p/EEUO0pBa5O7QrlxanUsaZB d/A/twn55+siCA/zYv2UFZM4lYF/rw6uTxOtcbJ/UKWeJCDrXUcyAoWk11OycAaAL4p6dCzsKvsp NAVr8oGHq3GvpmVUJz4hevK++RqEw46LGl9RNs6bBjvOoSoQD7PWU1e/Doq7bKspVLGkCo9vDid9 tdYTEm441VHUd9dUBVVRAYtZA9Bw1zm3JEqxrwiqsmRkk3vzRTXwxAujqWrhfQZIlX+kZyAE8IUr WCFsnOp9Z/eog8lMSjYRsK0e2TpPohEyTmE2buphpqLdnqwAPCKMHqlyuNracHFkr0Aep2jYcdZn +IusF94fkiry+Z6D0GNsq5Ph1SWDstRweSRasL+qO0DE1so+QlghlZNKRjOTtIlCb6ikA5YOTrmC FTROPxWnEDc+pGRUxVTk6lNgZq+d2RPh0Oq7nNcoK0EKj3E/GU4Fr1x80a/4i9WEU4HiJfcTPAKY cA706FbYHd8+uDMSzHlz7qvDukIqJ9X9i+wmmWb27SJibSfX6CnVdVhX3B2XO+dI7a724AGdeTKk 3lw2U06ep+KlNhYGPTVfzivR07zlwG+Ec/IJDSBbBOjJpq+L5gbvM8AVPECVyb7KIk7tDsxXTOZc Us68o+DupUtuy8RW2qlZh4cqzshlskV7UlsdqjJGAnUd0+y9QWURpkfCWKBC/BRa5VoaRaHoFaXk HEyBGbB90RlVCu0xOe+snxVCVfY/YwQEjieLArWjnHwMGvv04dyWPadjfjWoFGmjeUNzR+1xjGfw 0A01frneGq/BumNK6rAJN0EZsUaRaCB7SG5Rc0U26DvKylsRgZodu0ZGAQ8pIoeId66Tl0ccoPJP ItWgI3bjFJI5cZye4nN647cQqMsSbxenSp0c1J+9HSqraIKXZ5l3vZOpBufdCd2JdMq73oR9apw6 glH/A1/Y+9LzWw6aULJexulvixrkW/pkptC+39Ny4G09Tjy4yZWaXr3sMC3vgmdOr/F2ak4CgnvF TctdDGPHGHK1Vkrtd3W87yIbVPspc5Kw1KpL526xIzL4uddIeOiOo14dtWo56tQiEAYJsCxkztGK y/8L2TwjCW63Y7BDLueUnG/3/njLfpRD09JlnxqnKQzTH1AVwNzv6nOEuQZKYckXFLztmG5WcosQ r5+TYe97lGND44siiVGeT0Db2qLz6fwyk5XxSUErLg86v/ke5RwkQAwWEDwLVIgfT6yGr8NkR+/V GS7l2v4UusgyUGGHfNhASlRFrr6fMCOHATYn5yePsm56wotu4PUOPXfv1KE/gq/5DdMzQa3EAhkt 4uUL8OldYJW5cX0EEPPcQJIQE7p010FqxzqHZhuxnDCVhlFcTvI8bUO1RJQF4xSiVSRltJJGmFxv Cwr6CKsLvgVlVjmRQQv5Z8EzHNMD1y4ADAtfEw0S5NvNw5yLOpoZxhPMpk7+iMuD112+LfLErgEk a68xwg6Vx4vMf0zjCRQX0wqhYHi2Th3FqhWmUOZQWOhRrPNbbucXCpoTfmqcc8Idrlg93EnyRpKK fi4qD1Z5TXccMV7JK0NqYYviBBMvifWcvBWX/9kh578F9qnd3RSm5I3j4ETpmar/eZ+64WESzY0k xR3jVYTqqUZSrF8kRYi4opMmW1fYRevNiMoSeAMkBc3FRWkEje8JEk15JGY2obgoTID1SEcstYHL o1bddyWM3vist3LZYYOcuJgljTVZUCf1ygHrqU6/KyRWMUxhQm49KHM5n+Mi7OGr0EuFpBMgYudz TXccfQNwOc8fDEEGA6tsu+HfbJIgPuyLGppAIhfNzOvA5RFUpXyf4ev8JLs+uVOUjFNDbtBuxh66 euXWpBkoE6DtUrU7wqpMydevYuin8vR9R8UmxR9IP+WTNCthh2qWhkLo75mpOQco+Joow2RdlyUI mQ1zDbuKJTfldlINXB6VwTcVN1sEZqqOzBQ+6L1bl4gu2N0qYZgEG1ZFTg6shEujm2TXR/2qVgv3 obtalgkd+3MmoYM40CBCxx3pQJ3ylAJkArdAXggA09tuOrJwE1+KamKDgLqlQTA8OHkqIgvc/IgI Zv+PYA9eKqldaA8J5QGGgyzFnqQ/AywGriUhgW4AUg5ZgLxK9z/FWblXxP2ulkZlNGYnflToFwBO zsFwpkwVPN0y4BjpMhbvTf+GxyiqYkorbpzUN0GJgDvPzOuD+uU27dTg7LBIvbxzuXa8XkeaS1+U LmD2ObKbJAya7tJMYmhzMVghiFytTYTmrl7qoedUehXPZQMDTObUYqlXwLUpaKK7FDLbB2RJBg+8 4AbkoE+msqS3nH22K8XBxjkr+QFBOnU1jvjcDqCPx+PHFfXNcdzizT2TlTFjXMmHurcjDrDFzSkh hZ6cat4Vg42VBzaGwdXJzRpVK8/Lb5yNRr/JRpRn3aRV53Hrvek3/Rtoc9R8F57uYNEUcRiBOsD1 Ue/8280FPolTdt362ihFJ+YRuo3V+5yUnpUHLiV40OeEC3z2jRX1zkeyHoLZlIzHpiR1CFxt8YIg s7JcOQvzssYRceGcuKvRueymI6MS+k1tEhS4KFYFT4DSqpF5/X/h60OuGQ38a9Cpofx793xRc8X6 mvlUZtPUjopoPpTPKolnVtdHRWeWSr4qxW2uDj7op0JGDsJoYdRTNWnAI0Q1n59MuSMKZdDHUd8U YRLlqdyKL4rWyTxUMJ+3t64PXMyebJ01Tf3ZEHnzWIUddJpgwLTJYjXhdahYFSiKCJVIzJLFKkrX p7WGi6iMzV20sbPSguXbZAsdLeI97XyXL17n33QZ6TQh4DcFORYZv0MWE1ys7VKJKiZ1P/P6iGh9 WR2EMVJ8eu0r/ptTlLVTJRxKUBfEWXe3Gdai1g/DBRCYP4hR2j6S+ZmNfVeFK61zFYwRpw4tWFMz fSA+H5wbdD2vukih5QW/KahvCP2pGHdzsZEDvDmERMbwktdHc9F/+gonnzyJXnv2VpS7L01GSykO bpFEzaAeabP58Xmd5eSWi5EQi4F9XxTvoXwEbAtbxPjvY2niBzOFkz+j6sCp7hhFmVClWKG8KyEt vgh8tyFBxSwCNGJcHzyGtX2lDrKIPBv8xirspkvyb23vVtVmlD14mRXHt1B00GIVttPNn3LIbKKO azZRBoTuSxFF9rwV69zCKULWeZHy0WkOIJ4emcT4oqBdVWhMmWr1xWJ1Xv9LT2SevP4vVALR5vBW 67ednkMnT47pMUd2uc1Wl/fTzXiJlfNO7pUXWHkCvpFU5KR2V68J0U/flQjhhjZa4ZAGGCfIziMp SV+eOPQ7z4GVJ/avGEP0hp+1eSsG6TsbTi2Nyeuj8eg/qiw4o9e8mPMcunk2CdrRTU3msH1O6zIM PgtmoDuaxyqCulizaneZvex+zV7w+M0TAtJi+nn1cMaPrYeufydkCiN30/0jXDHHbp6ZiWimz5LN +gB1Of82q82wSuX1QfL+j+QmBnWzPL5dsZ8nU6ye9xVPnk0zrCp1ejYazp+2rNGQQ0NP0amh0Fbu 6knDeZ0DVkSbAGA+i1rw9BcbFNqisbeFqjS754i1R/DrHLa4qPbZUoM8hJNbDl4fecHVrwcQYOjB +7BQRZ31NZa6n95s6Gklb4QO19c6uTXqEYUqzNyZK7QkwTqtChUmr0CZkRlDNc+8jdFemKDuH2h2 uH/QaHbLvzx/BTbhvmh8jt69TD0XrHQDV8/z/K0LWuSMPlMg93n+QqFEei2l0reFCuBU68vk2W1X lc0EX89fSAXVMGvV9azO+jjpwoRtvPzgEuzp2VwvbNeSft2u6zAlxfhFkToLM9FNN5OzZANqngRc ap/nl9+8Ppgo31gJ5Xjuql7ObA6cPc3H7YQqWUN00L2VDyCSZw9V50BduyrM2o3S38We5WoP4IDp RAOfo4OJm6e5XaOJCel6vAHLA63ufs+/4fKguOiLmn1tVitxzgfx+r+MhDh7Z4pyxRJzaO5JBNaA IIyVg1k00C1T3WawlwIemErn0N3TZCJK0o7h6k0ZzF8xtaHl0nkSacCIpB1IjgT8LWQkbsNPTazQ 39Myq0otmyX7IMpIlUV6DaQAO6+PzvV/ANY8+toTq1CiZXKqnC0qaDMsgwiho2moM+jsuSFj4PB5 1bmb3GC1WpsBGPFTRFANcMCaUW/LwhzhnPiDXezsBunofuqbIqBCIoIRFXS5jcUTM0ila1+lyusj 9RE/2BlYMEkecn8OTD4doTdLdmc48g8NytiqM42TlKSSPipKQpV8dvPS6/cdmCnGXgZdOxaAdEXN Pipjw+CTp3q+OKHe7KYDDwFWN1OqEfO6fwNNLErFOY8Kr4803+/4RgIKFazsxyc9bLlrgDOLY88S 5VGJPdu76n9CNCQbRi//YvVpGi2aw3O9eEZIb2EwD/DZ2XGbCQM2HMWnkZz3/EXB8puORl0825NE g5P5F2HStZeZWKKNHLp9csb09GQqT4THXCiHfp/EEvc9DBqLwnnaYwhDSQd/DvDhFa3Q8HPJHe6k avmuFq0yYCmqF+FKJ24ytDr5bRVljNnGfAZezW466jNQNWII2jiopZGo/0zePtOUyusjLcVLgGRs wQ+s16Aih66fEPqCitWU6hbthqwpgwMe7h2YNMOAyoMVAtTtrOrCMHD1YvAcQ6fgZC46aT9URQvB oZ4TK+dFOUVpunE6mEPfT70LZ+P5zkXnOyR9rN3XJ6//LyRtZDerPXl7bPs5SXPwLQRY/97eGvUn 8hTOsA7UmRX4fmJj6cza7VktVujJAALKjXXKzXMUi9DOYrTgJk59eHEeuSa76ajZV6iHO6WOe6ol HvGtTBSHovOvzQ+IMvebj8q8Cl2OdA2HcmD+CaHlKm0Em3+hCsmeOjBPwvyrVLbAtbViyyE7tZSY cr3znH0Oxk4VvN3x+uPJJqeJ3Dm5QZppr0N2ofhNAZChUAYvc/7VaQTF7kLDUymWY9adBo2GO1Td 9hjOckHYOfT/ZEP3pAjNk/fKmgjJe5rCZrN4pgQnk/fAABR/gYl2q/vO1aEMVJLYHOecRzrR+pzI mAkhOjae9wWD0uyPXxRhPigHlMSO3OddyoOqVhphUJylrckPiCYU3hulbAtyh9EuKC2HLqCN45zz 2cPhVtPkb4COMczM+fvAJrFgxfAYeTBUNZS5+uuwzgHBUoq5lHUekrSt2dd+JokThdpA5asrE9qA ItlcAPInX6wrU4saBWe7Nl4f7Ks7oSAQE8Pd2a4ERw6dQJscCWmOxFjtfiEyEgMTRGY7gi8HVqCm rAdXtPqsVurkucF8YVemgqk3BBApYNt25NyDj6DEppbfcgD+IKcGRjq+qCszz4+xJAVYN6+Psnfv IctZ7xxV86svGpqBEp13Trvl+I9NZUTBZMRy5zPITEShiiXP+eyhkXBXfwYna3DOJzraoUkCZmg8 4GCltTQ9YzjLyctvOgiWaeHxvJpoSXKiel4TqagvU5Pu9C9MNqeEONYfHF8O/UBBSMFwwrS7kWN1 a2Gdtze7NUWe6tMq6MAQFDNdzb22+u1cb6wmQMCUmDh1Ln5/GYICYzzprD5cYKKQO8bvCV6ES/Q/ 2uvs4vY6qbY0JKiUMakPHEGRoX2TSTNMtjYFOSxU78k75DZ1WjXH8tVt5EiOCB0vc7ZF8lDFybt6 naO1uzp6HcbFM5HvDhz1kkZ8gULhj4QZ2EOeGtRTFiCHrqBU9C7sRWIZmrdD7hk2ivpxOq+Pelj3 ZFcT52dRUV+hCn1BzY53dD/Yh0i4ZsfrUxxIBNsTGBuDLpPR1xNodb9aWCcBxazTTApPAS0ZgYot jAzip10qkqHXc2gMmit9wqFcb4sBH89nVCHV0G0PnEGRt3+Ld1I7DtmwRypK27cMntP1vMyEf3FT 1e35AjQMzc0xB96gGJ1xM82puTNXi9TEA9hB7wb0EZqI3TpYcAgkUAdP4iW9d7vnANAwyUPadDCe e/gDeN4VU2b0p+Dk9X86FXLwymL8QTT84g9KfEzfy1sNwyXy0OZ39giMKCxtDw1CzVz9xLje1dsy cK849cyk0uk5Awsh3GfXLkrCQiFoXvW30jXwCj1C5dMAmzou6FmbukuTrs/5s3vmB/wXX8ds1olP czQ2CkV2dU6a7joBOdXko9RigwoQ3ZyxnGOn0O1iVOuuDltbHaqIlDEBeqAsQtzyYv+wEDwIHIeL UWlMH3iFShLhPOIYXlOAAg+yHr4yq9wFFhAggVmoVJ2/hHHOW2BCpsWDFebtnWy3vbyNtQi+oOap gbM6uo1SEEKNE9iFCu+D2iaZblm6bjLlvAhRMhENeX7/c84TYHqSbq6QSq+kJpkkFd6g/KYI4ycD tUVcJLIy1iUn3z3VQRVoL01+wJ8uIExGcQaiC+nRihJ3tqX6VNXMXTaagdaQFw0roGGl49EKpV88 SiJKcL3NBuRSi3ySvaGYXdTH4iuxQJU6421sky/AUnTTEemtiUqSfVHTDww45uIQCOP1UeP9Bgtx gTznyA/+I/QOJWrqHFr28KHpN42Ym1XUEeHXU7rPYQSXaSIB9KKSkKsd8QSi9UKxfWDBB0WgESU8 gYk8OZy0l33T7aajMWHSfJCdd6qXSc+kUMnmh846kx/wlw3P1KR+Arb2RCvsvYsKMJKLD6c2rJ+c G5WiGS0AZD1aYfKuQ76JKqnVopWhxE3hR+A/zuPisDWgF0HpOj9Oy9dPjRALflOk8UkVr01kct/D IX5gxxKmjsKD1/+XlBRqsQTHeqzC3nun3cVcy4HJLPUlorfK4/noYgs5dhGND6196o9BFU6A1vBA CpjMNz8CCaBtnReIpd57aCPat8nqbl8Yq4l6jc/+yekWrw9S0vJP+o7ZH9TGbrBCNZhBbi61H2mQ iRm9dbGGu0G22vFb2pkVp++ySjM/C64Gw0onaYMNO2BY5yXitmo5kQDOYWiF8KwNwLIQfoGVKM4s pg1AE2NB15gn1YlU1oQSFFd+QJQ9XIgfyWAV0l7zzutDO1GMWuj+eDkUegxlYUTAA9X3S/IaOvAT RR4i++whm/E8rs34AC9z7SHxHIzWqh1wMGXooOENCjTKNqwsu+UgVpT1AkJJizmpQI22JLHkgWwI /ES/H0LenXS9buYQ+okStwJzzOsz7jKE8BlnBk+2yaLZU9dH/dpMXp28Sa3WmCnIFXahP08/f1Ia WXsPbWG+v2DO7mXhUJYVGIrKeQlQNW6rxY0uFMjOXbldh8R1Di1F2WJ8EgfoVH4DuENT0UoDMQAO HDHTx3CiV3fzesgHeg0duIomQcjBUzLlk/Eon5zqpheKzQNadDJHaTKVBXfmiT4msFiOWEvFbvlX GBYUfbEAQyS46OxklONNWBY/IKJR9K99RSnwebleOfAVPd875TPerpLOXtWbo5voPqTvmza9egLj rrvBsPazGgwL00GYFnTtq5qIE8hSeED2+IOeiiufpGS3/AuPSTvdFuuNnl3KPx9PBK//LzJfp/L6 gafgjVQonc4nsFdrMTDXMmmm0os9jJASSabzlWNrUUsZ0qx3tUInAQaXOkfQeCGuShE+2MjNH4rW lZRvDQ3Aq74oQjZoqNqXLwxV6edXTkI2tMrrI3ytT76UdwKC1R+ce+gtCvY5BjjVHbJaJSFusdWY PVYZDUG9BQNz0SROea7FRl/la/SF6c1aif2+fZ6TLv+6kzIUIqehY4kpmENmVOeE9qIifvVB7Hbv 7NQwGZ1r0l8ePcTGD3g/2tcV2uM0A6OhcU2ycuAwCrsESQrMZbloluYXggVMr3JRCjJ7sGJeqgZf 1bRi6tWKORdDi1/NmQ0tvzqlqQPIeoaw44+sjUx/dya76UjQigK8c4sXfm6NLZnz1kfTD1+Z0W8I XUbLv+1Rkt/SfNruLWq7U74Rmqhe54zhqqmooaW816C16e2ZFqFmqh4/5hy+eisLLIk+hbGFTfRQ Q2RTYD/h3QpUsQdrZ7vnX0dfg340XOzEOns3DTu8eP1fACM2vqiTDMs8P7Q+r/lVO88vpu99EIPE 53Akl5OrWYJELHO2p+6v2VWDJChDNYvO+KlhgbiXwGeQsYtuA2gnpKgWOq5PGD0gaXF+HC2jX3Or BmUdNZDL8kXHFYoos9FcuPolSg14KkXJSARQLNhT/+H8OFGEFk2iydQw/aFm+Tp1LlXctMGEkNsp iFA37Ie5E3C1CJ3i4dTJZOzC5v6knYS0nXoAPADKYuKlYX4YNL76vOZU52uGBCtQEduiEFWML1kD npz/85pQNSMrMCKaAA7MRWq3GL2mUw2TLuZQGv9Rbr53k24skwhvGdAMkgcTPijYRRqbQlTwrpYh EPGfCn1GkYPMqtk9OMLnP3EqUTzxZM/585pKNcyoOk0O2WPnosbx+XiqBJIH8HnNoxDgnb52USUh gZUMIvSaRTUJSKOOKQ78h+KomqDntetSzqWOac/Zaw7VUBAJH1rVCuXquXk6yQCMSKiVelKqKhnj hOyfTnKYtXiEUId9XjOoJuk3tD9pFrLB0JYdFjrHVu+tc3q/JlDtcWZfHAIi1WybqicI0Wv6hIvo 7iBkouH9x/QRBNU1peXBVxhNfaMHbVsiIEtIrt5U39CzPLn2D0bwsK0Vfq/SsqBBNqJ19hA01qJu 3Gvu1FyjgrI3tlgNct5TTHw4gn/NnNpjwroeTKiISbTpDGJUOfs7u/3Skuq0cvhkhrk5UuFSbV6T pvb4igoFp9WSppmI0Tuf2yFomTB91+ChULw5Z/4KPiZNsmANYrSI1oOquC/J2nd7kQd+vrh8XvOl ZoT+J0YQTUFDQDF6zZZwylOLqQ0yRMgAL8k5NqvNagULW8VyCYli1CRHvLSPuPrbvyKjYYz6PDFq HLZi6H7eDZvTFfSX/FljafeaKOFZM3gCj/pKA0ueQRDjdDes2j+veVJ78iTFCDt4dqoPUtA+OrDp hbX6dXkCCNi6m0OULWrtlm5o2dcMqV05mNaMktseSu6pGc95BBTCSSpOFbwWj648NprwVBlv7HJb 8s1E5TVBag5nRNLli8CfGXpt3Bwnxfq8pke8S3+rCXLWYePb/WF7bWviYSvEb2Sb6IG31Y0AAXzK dPwG0YZUiQvObPdQGT5uv9UclEzPM600EpYLlWjJnMmzwfGOt35xc1rVsp/XliaCtM1lpmup8kfL 8D5gMXfCVT6vDc32yDPaRjp/FIBwFqPXbiY27yThb5v9M7GxDp2CuKnDgSDVIvGOMDuSskK1WNUH 7HnyvAST64FeZjpJXNeBRJQW7FQmpE3LReTRB+u1k4nfYmlWRa3PRdY9D+0FYV9258rKn9c2Jl9s fiAlNn3xgyZZPopQHoQJY4RzWK+d/dyWWR/P7e5b6dSQHqZ32h9/JenGdunpcfV2Uzs76RxGnFOd x2J0NcgphAXQ0Pk29A1cDEbV7nuqLaNiCKkx4+bCtlHZO3WDBIN2FOXaa+av3QRn5AE6jsUpSrap cTsIdtZ2ggGTnd14L/msmD5o4hxFcZqGQpAWOFc/vAfqyE68xp4QTNGIKrMohqAziQI+Kp4UZnzn /PH45pEkzU8umiNA84kDqvMmWp93yh+O7+1PHTGLUBNjPmiBes+4z0uOnvV5zO5D9XbJWaU7sgUz EieSRin3NphGFpd75ktjwzvuPBeJYunokZuaVWGndvFUQ85hZQkNCj/vhD+8cjjJG2vfhXEa0HOV 6cWp4T7vfD/sDDPksawbjePSb5iitLs2FcN+hJ+kL/l+Ovu32X46G2o4ACg4w6VJCb2lfFcHduaN dwN0m6FvndOS0UwB8m+z9wZMjOt8pqo7Dso3+rBTtd8Wx/+MutQcBwY2zLyHP3Z8RjtbTBCgVZze c29AMXE+zebP3Vhtu35l28XidBKw5s/de/KdDdQJdH6/q1VwC8ahu9LLoQE7DDwGznqgdaZEGvG+ szHLVOcyPJ44RUeDHku3iRTAd5lpzQ88Qj7vTD8+tt9VXIYgyFg3b3pn+jFxMsi+d8NLzY79aUJt cEMh+1M34D0DN6fy8yAlealwtUBlYKmhYfJDRnJF04S9JaDkT5mEvyhdF1Fy5D/vPL8mnt45wPEC tsVgigkcQj6CpXzeaX4NLGzfUHQSJFWJ7pOKU5iEzyns6wM2yNdDhTBDgQ16czpWlIWbVNUaihNX O58WOcmm2jxPYkCHb0yGwSpm8w4Vh3kcZ8knRGl4o1v2pLGaFj14sGGXm8PM+/NO8cN+MqGJJWTj +f/O1rxhivJwtlZPor+9s5uEZQEmo0nda/AYn47JiBLxbkKDUxYEXC1MsCaAChbzArWypDKBYQEo pbAGWVcOYHbd8XuY2KIE/9cWY9jSGERIztR5eZRk5q8ECiDoDXSvhek9EwcqqlAX7jbAu9CJiz4F wxrgeGhMA/yd24fb5w5paa92V3vqErYNBbOQYAJ7J9W9CnJ6J4EU441rwd51x+9hQqIIjEpuvnA3 wXqrULzvJKD5807sQ/Z0swKc4mTY9680M8rGmRiD4ONxaukOCkgQFrm2rebbKUzHKUMM86Z0V4sT ZAx30wj47NG81B8451+BvC4bKv3qfE6pUkXpuPZRR9lqC1Po86O20YXKBAojyseFjUBUuPUKGk3b s4J3Qh+yAjrNfPutrtzscJqudY1mXLNB3TufD99vzkVD08qhe1ZP99w4WL6JQKgOZ3E+dXgJ/mwC +nH4eacJ/JbPO5vPkjRMfSntwkXJE8Q+KNPYTtw/72Q+JhXeI+BIb0ANtd0wRcl4Vs8yzdskICjO epbTXfoKW5JICt6ZfJb7IWmaOsO5Ou0R74PBceZ5I9aNB/FHwl1wZ2KI9pdYCduW70Q+VndUz6sE 9eR1tkJjW+4c7pAPYdF2cvT2eafy8X33nRdgErnAZbRQRQ1wCk+hveXp+PkzrlxQ2V63LMwqtaPe 03G0Bc3wUbIuPV9ZF6gFnaAgVQWpvVZXohoLGmANuVlFaXaR5kW3/EsCBb1QgaiRVNi5VM55I6xc WZ93Gl97rI4X9dUJ+Gv9BipMyKf0Sa7JU+umOAjQR3MB8NVcOe+dwtcMBnkeuSTBdK4OyD//Dwxn KjuY52CSuJ7Up872gzEOjhoX4KCqyzuDD1+02Zir6S42m0P6wZffnPXzTuD7p2WAC8EP25UzX8Yp SsgXURc5b99QqbZb37XswvvkrOjZi7vhGjtBcNZX53jkU6nkzSPqFIoLSkHqYtKbA8+AEoP5uGG9 s/fuozfhHkd/cSWMkJTmyYdxN4YqUT7uKkHW6kUC1+oNU9gPl32vdCNk5DB9rFLHdaunPYnCFDXE zWymbknscnVo+alPJhEg54iChHrt0mGkkQgsCygC/xzldstBApU0LsjFFwHLYRPQ2RHfc33eeXvc 9vd8Eou7wA/LE8132h476WisnN/79jLTtLP8HOY+f6osjxWo93wcZ3msRVwGtPdrIoIAKRPP+1LY NygkDcFgyl0yu93y+wG1SO+gXp0tghzOSj4bN8n+vLP28Bfn8lW4wN9g7uwNqHfOHg9yynnu7Fpm c3rDoFY9go2YGM803yl7mHwsr+vSXS1OA31vZkpwOoZiKJ/NsiDpxyIPxkC3vitDdxyESQpKQJlh wZhNCOlTfKctV969P++EPTanv4fieAz614MX9cYbaYVFsHEBWofXwbD/tL5vxW1pP71n5I9vtlnX c/WRL8jAfUxNWDtG7zzzEyRjJieg2k5FqLCmOw4yzaFZZu2+WGMFhG+mQDvzNoPtNOfXMY4B3BjL R1HvXD3O6/i629foOMmHHedT57PPEcKmegnD9J6QZ1MgpjvrXQ29CgfxCRwubejOQd7M5ReYhYER UiYizGQ2CPV9Z+o193hanYBfLoIPnJgPSZidk/HzTtRDojruVFMkZDB1ux9P70Q9bCf6zGx6ejPV PFlyc+ic5BaRkQ/y7DkeD/vjU97zRNHaanHCWBS6XPIuOmfdqLLInGR/bpIwHwEznI+fd56ejd0W 8GnZF8MQFB7HtMgsn3eaHuvg+ZU9wZ4TgCsPU4hFoTrs4H5l9jT5tKhNp5KYhtBovitMYXucr7vW C+mMWi1MSAkGOEEnTEguQdPjSY8ccSSmE+AldHGDctEdR2GSylSrvqhLBw2YIsDO5OXBU5e8q8KD iFLIdwD8TtDDbmrMxnNzPbye3e2iLFH7yZDd/tS98/OaGx2DhZDv6ohVKHTsRhwmouLutVQ56ugr ECxnZ3gbuuGgvMOhBLHvu2gzJfhBs5900q/POzXv/zvCARRGy8ejFKXigqvu7ZTP875u6l+CfEjo BaH1k73xzk+KUkxuJoz072qbifblBMED1wutC/WnAFf4meDF5kKi2RJaNemOfytZ4DrGBWc1X3V1 Nk2zoIJWPu+cvPbALy1O5d/dFKXi7FL3XWpyYP24Y2CcIQ6sb3c3Rb3xxC4BlFCfVXGaBR3jhEOv g28wE+tQkH7RweZQtDzuvcBPft7peHdWvjcdsLab3yJr6knsi/Nnf97JeO0helpCQA21eqP0nom7 sCJE1/yZqwbAANN62W5qECq1KEWNcSvoLCnS6o1xiJVvkdI7p7eiC2Vg+QYKGThPXkQBC5Z3Hh72 /5L2q+h4yRSf4VWfp/RDTvLxeafhYVvc/FK56HnH4S1rYYrycHQCKTrpJzh8c7xRkB40fXX5u3cO Hh869cWbVDi5+oBzngOaerRAp5w34CZKozT1YeBXWQCocnon8YTvFDx76ZzCFw0jW9R7YiuZaPqF n/M9D8fGqN+JE/qifd6EIMrDqwxX6RWhFp2wKmzRlbQdoLLd9fGdfedvWhgVmbKppDMmAXOYh8Dv ivODjgRBfhy0eUgNOf4uX63MpFv+DTPXhy3F+JnnDCznyOJr/vz3zzv5jgnq93OH8mGh0WeBChNx jG+RHdtACgwBd31MJVmGmYm51YYKW+OSCKQ3la9WsFSKYhVpk5132TmAiibm2J6VKjNlXw30hZbi 5515x2NczcytBSMTbiMkioP4S3RnPu/Mu/YoTxq0AH6rPXvF8s67a+4GMgnykL344v/URMocZ2Az c1PMqDc+BVGZbae7WqBOHgAXpi0W+i5DvpAgbsKGAOd4Y/JkJlhUOHhn3TXnG+xFehQXnVDnkTaB g/MZn3fOHe+zfh/kBZZqt5X5zrjjCaVZC2eZRK2AniVV/U3cmMicSJ4KYZhxKi7oRVcFzNWxYQtW onBDpKg+tAApWpMo2dUAgMC3+QnFXfF5Z9wRtCzYPOXbNuxppdmG1o11Sdr8vBPu2uNzbKPgdPKK Xbajw94Jd+zUSvSVJldF+OdC0TvkBbQfmMqfzg+EDfXOt7MXNgGGAtNxdUzvRKxAAYbqORDzw2RY 8JbGiOJkxRf7lNe0W46ePGROEODUYtTEk+wX2Q6dF8T6vLPtmBl40UIwMF55a/mD986142B1axRs G6pvoZzERkZQaMNTBsUmOz/p/YRqVaSVZR6i6/EQPQ8FplFJLjznz9nM3E0jtwEwWOho4cVd1y0H Rzm5m0POTEMycsgHINxOTM/JPurnnWnXHtNHa/liICUNJMbpPR8H45xKnNnkNzP+EPMYP3ugiFvd ykrDXnnvPLv2qEUBfOurz4LP+2KDcoxX3jkSSjOsCgnXxMHQr9EfPLIx3ol2hs9F7pSSL0L3Qr9U Tlgnsf288+zaY+xkB9QpWjeIMxansDUOJNCQrIxadNt4B9XGJSqCpx/k7yy7pwimbLmvnmnmnOj/ 8EP14HOsK6agQ/0Q/FnARbEiWD2Vd5JdM5O+vAUU3xcoDg3FmiSJ29rnnWPXpEXyfT5t3MGFYLxT 7O4LL9MYlSnUlB80qD1p9geqsizVfGfYcT8zPtS39tXidE7mkZpGCDD5yUjOESfwHfluz4VsRLXo gBD4vPPr2CxgMwUTQFusp9KZcJCXMT7v7DoOSb01bo17SMLf4ylEqpCJuOa+mWaZLvQwu+cFhRRq hSnOyIsgT3zstDr0aaLvgJcRaIOYLjTTNIXKCQ5RORxbQq7tFDXG6QENB6Dii+q78xgMqjyQs/lO rGsSaLbtJP2QdQrx4tiCd1odLkvsX3b3zIYyvE/uNPPQRAqIYMUphqowPhgD39XaBeVUeyOxgwJH 6FnN3QI9znNAQCINp64hxPBq/rxz6ligEfEESyNbFKbzC0yVwW3Ozzulrpm2zK3vqDa2b1Olhen4 EkVsu4Oouqa0YihibbIM5iSSL7sQNF71sInNotVbT0DgVtUt56V38nLN+ADOhecjKo3beiKm4tPC ZFxnd9VmqraZUPY0KRuer2ufFgFV5oU9iYwujp9HKczFSb9f+0rYdbWeaC2whykjngfFaSwtaoun oumBE8fW1dtsE+PNNMS+B5puCzKNVgsCBHwMahaHZW7dccBj4fS3ZTLHuNhmAniVc6lWBi4PUAXl O0yAqMxeHWzYw1Q8kYBAmrzELyiOK/ELMVkoithdl7RHOBXxCZE8lLta56l1aJcAF9UpflGnifrs xLwFDfzslR2ZtPiaiJ5JMEHe2RdtppPWy0+5nRQNl0dY6PJ1gCMfBJHQoxTl4WOxmiuteB+TxRGj dN7cHiUplDNKEUxlLGFXxRLXalEiqQvQNtJ9YRtTDfFz6uqecJrXeus6tEx5x0G9kjmr23X5wjAt qG4KHHZ+BVwe5APV6zrKtp23VRvV08sepuEsV3rLXv9SINQ6T21N7zxBJornd4+a4uZtbHLuWr1c Aat1u/rTScOrRMzpgJkLOrecXHmjQNyxKA2fQ3IzNMseyiNYr7QECwn+8a3g+gD2tL7pYxmvjwmQ mkUq5GoCowgXaPFWQY6aV1VMisqTApvVfEN7lIhPkaFNdkCrdwpO6nfeB1WiYoAwsPiDi+VGwVJg 5Zqu9xcPRXxRkDmB+YNpbNFiqMw29iSxC9gc3mdwiqd/Bwjn53uw0D0ibOIngCDPcu44VBAscxrO S0STzV0EepiIi9Sym1R+ufqs/OSqg2L9HVM7HEjs0VHXb8uIczo/Ki++7HrUGV/mkDYlO4OM2wQc zu0SaAUxVVwfxOmZbnLzybbB4xQm4vhF0bfON07XPyDDGJdxgl2NeTr2kLUpkO9ec9zV4nRqYcgm KxFH0j/XVpywJs75gKrxE6pm3XKQFZQlVSzWwQBDd4HDNq330EAtdeP66Mn7hyONiRRsGi1QYXN8 ENuLYRk7KuANZnVUSubsXx4eGzkfT/IwFVeAimmRcrWiYCUQoolzOJvppNpZgEM+2Rl2ghCpuJbZ 6LfwlqPWk6wvGa8F4IPk/usCsIMIVEy/8AH/hddClc6U7mH+noyD/iPvKuR9EuVZ9PZi22wLU4/z A4c5e089Ssa3HC97NZiYdOLUpAOHcCQeUescXYuqSVBopUf9pNrETtfshAqkPWqPVwmWNyZSXAz3 BPVApuPnCcTlERX4uzt+TrOfCalYi1MEHBfPdVNDg72nzuODzUzoj0hs7bx23U61RzCVLqdsWIfZ yh6Yxi1wPGwpSakVzfihpi8yKYhTQGvi0QIBZAlfFOVQkpXu3RfxyTrojtxabfHyYD+tbxxdxsR9 pnbfeWFGjqZbF0jpfxq9WGeuQjVZfSgQqanggEcv6o4vq9iK/Am5WvOpgUxL9UwyguGcrSYd2Kmw VkA2joGbmpmsnPBFQRlMnbUFSyRbbH53KlPiliBCgsujDTW/6js4ffzgh3RyeZSTU3TwW/w3UQ+O SBUI9tpLb7Vuc84Rtcensk2Zktvq7YLz9oW6Fgd4BcaEstTOMF6fUrZ9iC2JZ/mIknLIlYAiBQh5 Sa7GDJQseOXq12VcHiTl3evgag/sD/I6j1OUlbe9JZvi+plwgrUkCmLiRtkYkGwTCz/sji/vYra7 ehIFlzNYblM+E5LxREedkwv1TmJxOFO9EmuIML4o6vpyfrBYvWy8MihXcHIQ0LxwRI2GSIXt8Vu+ kO5BoGe6kOgRYsfTFA7aSRtrNZcNgzmAIlWhMWWRivrjtHU8T5USdKyso4gvOEk6mHzk3p0TaRi5 BTU/3s2oIdwCJkMnZ+BrAgQrxP1PzaiWAaWOGCYdhT+UBuyfEXXHpQ/HMElltJwCanpyMKKsHBqI PMq7Q31HsbYvdDocStdZHfHBi7Jy+ca1TMsTrOQdDXZW5uyrsI0CY6HGeSThwNCA5Mu+X2Am9CAG viYatignkGncNRWillIWZ2NsXB6dT/9MOQeP8BulKCcnLRx/gjd9kZxYlEYqhhCDR4Q1x0eUk08R 8s+WKXe1Lt35nFPR4Xju6Mn10eUYd54ZuIigHIKqk/GCJ3l3I2qOq41Zhi1oZRAFDa8+QT9OOptx fbSdvlNNDHJnfh66MCcnzre1PJ2HMKrpbJ8MwWCIsDFZfjxFOfkajitId3UcBmQJBgowDFswzZdu eYJs1MBOXlfAnUhWfk1QC1ui2e5io5bUhtS2T3qBy/88m/AzshRe426nEDY+iF+trhMCDnKxMM1l EgZwd3ep+xHBxsuuVrKUu3qj7mQdJxMYlcY4YOQLYk5i0YCGCvCMDhrHX46vic5wEcmALcAi27OT y5hQngrhEWbjt25h5r1hNnOxTyNqjTcWwpuWHXroxi2El9wbu/p0Ju40omxck2BACLut+Gkz6Yl9 FxD3SMafcHQzhUyokJN4BpKYRwnvTHxNNEEQanWTpFiWq2pXUNa3tAlTx/URp8XDJL+vs4/6JeOP MBkfVVw713xcTKIZpr4u7a5cI4ARJuNWrBgGiqvzyc7zdn43tDDYOc0SGwV+2UDR51xalyRF3Ay+ KEjG2Xo6xwQdO9nM4uyrwfFR8qXnhbDwAUHHoH6POHHcrHI7BiPOxqmqUi8tuNGQSTxO8nCYEvQx bRQ8wv54lo721Oueq0UqnxdcpqEO6GQZc2WixFJV+xP2jHteGYytYzwkclLoaSYxFPESJigedRFa 7thS5wHB9f+ptYJ+1r7Duxl2yKtYrlRQWTKmSj+mPO4eszCyZUnc+UlR2SJ16MTtotVfdwnFUKZe CASWU08yowL5CuB74L3u7I684BlCVSj2VAqxh1xU3cEwmFnjOaUqLg+i1P7BiOE9t29Dc0a5OFB0 8Cjha21L7WlYFZwo8vy/TGtIR2DMkMgp1blzErW7egPqZEsbEplMCjYKe26nPgjy5UYElcWr4Gq3 /PskYWVfBDocmFwreeoFl/91QDEDPnc50x1xzigTT1QL6ZrYcXi+HlWsdEVp93Jw5oxZnOoSmATd eOjT56FNcH0ghvVEqYHRycduUjy7gWCHxrUjeqjuMOMWeZGfy/SFcUIzsFmzfFVcHsXJG7/4HdEU A2bN4xSl4qyuTp3dnfwjRLJGCXU4soAm2IpT3CBX0rRzvqvtJ3BiIJrNcgI4CTmg1hOxeZ66BZ21 L+9Yu+EgSk0AjG6LCTtDvrpyrNpTmbj8T91Qel3jbKABlMUpSsYBGQDqehnZ9ZwNu3uS2R3s2x5/ zxkl40ME6hPyZ70IMbw9MVJEknkiNknpKBypbgBPC2X5bRpMo64ZkjiJpFs099SinspqNDQFTqtX XB6MOfu/iEOMOS+Qboa5uDTEynDBvsJjReSfYf8VHlvNXFxmBFQxy5s1xb3j6sfT+QMapdSgqQKX a3FdcVD9LOwpQIk9faKp54xy8Up7+V1a94U5ARgABEL8nLfQwOURc9pbdPi3oBqi3eNRinAqw5JM bxMge9p+OG3qrDDJBBZHUYqa40uvr1VHuaszOBf9SUjXQN8aNCk+dAn1J4apmWhDA9iTOD2jXJy5 AM66qaVZLg5/DZ7MvQzeZlTYfaPoUDIB2edhCgmcuWhm7rn43tu7KdAosTChXWTPXChtqGHUmvLm 4uqbCTnNCX8yr+Ysb1RYvxX074Eex6vORwhbdxzN7vjMdXq3cLH+3Emph2YJm5cHYbqEYO68U0XC vdbDFOFUKIs2RjeTRWrTGX8TeY0hMFoqrkc7o1xcCOfz4BgPIT08hApBjyZ72JOZbY6Z4QW0qQQJ /mOlWLrRWoivn1EuDj93TA4oZJTA+GAXBeLJxuDEgALXR7gnL4G3sBsZ5BavWmZI4Ww2srtMV1ET 5SFYnUxWQZdXpEJNFVETi0Ytbskjpis06NCRJbzg/BRZL0T41QMqMsHEf/g/q+iOf8mdSjXexnRN f2i4LP6Z7ZQ9uDyC9LhYCA/8sxdmvcCnFWXikHzB8ZTcuKy2mzuVtG9xB6UPhmlFWBXfUEOSolxv pwAyFytJAxqS1ppe4dD6GRgdQCPWpEKkV7DCtnhSPzwnX2xX3Ffd+VFxeUDgnI4tIMoP44fJlrHC FKXigM/Rucr5P2X4u+7c0D3FCSpSmEKwiqUChiTk6nOWc1ZRrI3vujl7s5n5xM7A0BBINgc+oT+I r4nkDYlVKVR3gOLTz9Apfr4ky87mvDhxfaSz9i1/gdxkPiPzFQqKMxM/v8R2sArkkg272nOyDPPR xVohWEWtgj01ltpT9Ts7Kugbzy5owfmfaamdUKkbsyl0NZ7E6eTI+JqgJ85WSsG4wxYhn05VxfQP As+8/C+RECmyoSefPQ9fIYOTqjM9u+EIhpK3iTnq8skBsK2KUogYV7N3dXFYV3f3ofMUg6y7pp45 cF0M4ZvQY6YIO1AtnhEQRbfCljgBvvCA4AJELftOwIqtJf0BKNSGOJWbX+LmMqgXsz27KcrDyeIF MkmtSzx/WQPh8828lkwN+ugydVohg1MN3GEpFFev605lOhewQ6h/4TrCeuV8KhvDEC/NGNJfQM/U LQcdOmbgA3/comrAD4/Tc74VGBhTFeyksBOfEKVPXrTgXMCEBypUHqooFyeSDa/uZlkmdJyt89Sr SdYDvloM07P+ElRZghNytc7TRPOEtJWOErtD9IlzYLY0SYst61rmFjo74It+A/VMpPC2qDO+UNsp Me+8zwiq8o19AmrmC1iwomycJg6nOF06lYjzdeG+tUu1xlNu9PpBnMJsXBCVQfllW31LwbMhqaWy KSRFtfaSZbaBv67M7WSNkvXCC/VUKHm8Mxt1W+aOeL8PHDV89k4ihcsjxYLv1pMUgvJ934U8zszZ L+UF5J5BDzSOgYv8TAF0a21abbdC1Hg3vSeZk3G1OG2YFRX4NHXOjGaWTm2Rf8vCscf9ZEAV1Fj4 ol89Rqq4LaDKEPqE9komunKgRbfC3viV7uPeA5oD2anFKcrHqdA21BBUljldgLVgLmkvvCLcOLTG w964dHzB5Lqrd3wh+lmTVOvnyOgVENADxQogM3AUX74rdp5uOVCqleLMIFCFi/AB0Lzrso4avM+/ dOs3eyqL1Lt2n7z3fLwIF3/+Tck+B17OoM69uUJP2YDgK1Kx5LjMtVrKd7UdBR/OPUGUhiFOnj3R L6lYj3zAIpUGuZZDUSJ6RQk5VG2hzSMv09ScJkWDuKUXYMXl0Y664HF2iLG5U7+l8I5ScmpdUq7R oSrXeBncS2vTlXMEW/tpR81xAaOhh9jvapHKp6bu54kgNbidTLObzCH3lChDpLaosyL78x0l5VCb XaAzDy2ZEOeGNLm4fysv/4sBxLoZchHtmtfs95wcDCBCVcboNyf3Cq+lanyXc0Jlly7YscWPiddb Ilm/51IQHiyT77zzBgTp+IfuWsSOE0cHLT5/59ENYYcI8sqewSaFejms+MQGBioMGcTZ93tS/q0H KboPqFL5Fi87zMop+tTldo5A7drdWaOwBausPFUTfdpxVi4sz7YiZj/9zAbDRE5N0VuBSp1Efdln m41KGOvGif5G+J4gTtQJPxs8+yIMxho0yWCnfHx2LKySv88o6MBSaUJhComcU37BfkKNVlu2E6qS xU2kStvbtNZ2iFSxTp05B68v5+DRzylLMT+0fSeg5IQ+tUXEfYbGQ6vpnuV+y5EATf4GRhus+Hxh 2ZXomLaxnyKsyiMSrTwCTPNnO4X4cRpSr/KI9zUDXpzvVL+F/hqEnTJOMZFT4IIshg9X306wQSPd iloY510hxhCsSU7V3vBkX64Ufxx8zW/6M5sJgsSMhDPE0KN1QVXmxvV/8afJIZ6QpLuQnh17/rA/ p3mB2ZZeZRVaoMk7AuJxClMMVbEaWE1drtaA6uzTIf/uOM1hVatpC2qNU8ssCLRf2QLkI/iayBeJ p1JVQ7PehiasgqboLWnh8uB1V77BBWhU7n3Z0ztCqrBwOfWSs4BGc5UsJM7DBekW1AEUpVDgcKst nsqz3lnLBvhcguMJRobbAD0TN4hdCHVnqNKwxGu6419YwaUT76tFYTqPs4C+7eQKuPy/qBbgCZz5 Zk87zMfRNu2baYfIUtlNtpAn9/vM0U+585MiLoKeNXChfbXNVCFfOFKWTA/4/spJoXADtAi8EZtX woXmz/ieyBCB9UqXbl+/un0YXMuH5Pz0uDwoW/Z3mEASm/WWLTtsj5ci+Rl75jqlGaWNBXXTH9N8 olYKwxTjxvWsWQi4XhpnS+f4G5Q3LIAraMcV8P47/CTwwnKgyp664aizYsrQwxd1MxfAVMIV1PHZ EUxl3BQT/3adX2TXKwK5o9Y4tJVh/uObCQBFe+ZOIVOyP3PEzfCZi2AqswgLndOzOgEoIYejDBV0 1nY38ksljhzIfnCjzMc8sQTefwiq9HEXlSxAa7NgO0/4wOXRXrpWW2zASB/iqhsKGvT6vUMk1wtT GVTZJ0xFLx1WwWVScLzro6IUU6Z2a427enkH6zpKNsI25xR+VW0VSGX9cIAF4b0O/JP8f7bddEB5 JegJ3j/YUovTUZZ1p24HiIEZ0TkP+RERhvVix/FyLKS5933jFXXJTUyMrkn/I96euQLZCFDLNMkQ cuak95/ilFywLxm0abUUClCFjkScFDx0T7sBoFAykjFO3qKTFeU7m6KcfFJJG+h2X9R8Gud7ZKW8 Zub1UfHyLVhLI4KNJpoHK7QCIqxupOFd4LSulfIYpq4NdM8TrBBALuBl2xLp4+p13slq4XqHBOEk LZj0UDgkEW+NL/op0OVyEWT2DvBNv8HFyDdBAYMWF0Gs4zxjs4vaORY/IMilruYhZzWDSKxr35Ki lrmMuGauDvGZQm1i/oLfx7EGif3NpI+K5lQi3WcZlFxSOFzdBrQeMB45xR60QxpfludfYIxaNwnV edxiTx4JKcrOAWugVHv3RSCf84No0lCBN8D1Udv827UMve/ZL8dMAvOvKVWhe3LN9elxFju1Cpsl 6HHi/VJ9Y0V98yHJLLI9fPVm8Dx7oQ2IGUD5oQ2z5JRwMkU8a+GQuKvJ6TcdbSy+CCEVZItiVZu1 qM7vlHl9UMpcAyUaKRe6Y51D60Yr7pxPyq3kbCC7iczKdLPQH5FuFofrdsaHrXPpQK1qknW1PDRP qNQsvJsRLQhoktFfABsEyg43QZ0jSmRA1tBuOoIjimwmZZpyLUxPKpg1bzhnF69/j1a9EmOshDDh 3kiPPVixRyfyK6CyPVi0eGGw8Mp3TahKraOujwpSB+8LCx7G1bfWAIAMhDRoKWEasAS1K3SgxJWF OA0d8HSd4zf9qlvXZJzQRvOmS5qMMwEBvD6aNFxvZW4tZA/7wqNyCpVXMkmMJGn/j1qkFHbi23BD f0AkxpnKfQ6jpH1oGAMT7rsaL7Zh6zYA8DoctPbKRWcW4XIdvxgGD35mldrspiNUCzt5QOZysWlD GqVumZqei3j9X0Zm2FjA3mw+lBarMHFnSgpfGuu9DIdLw0LNjThOZVXcyCxFAixlm8C9mp5zPE3P BaIsPE2pJJ0ghUP5ugXt0kU/UJzpDk6U8VSKlcmp75fp3slFWNdUFp1rgUQovD54CJ8si9KWqHBz nk9aGsPMKQmB9p+CVamWLafczpEN8/d+3RZT1Eu3jdXF6NTqKKABMmlhNbiB85cN6slvgCmgThuU AZ2jRxYxvihywqHxFPeVFgsWSE3sxpzak9f/5RhEnHmFXe4VrMmhgSe6HxghX5/T1ofxqnBI3Z4e wPJmTBniW2S4uHedd7WK8NQC4JoNFs6gxMiGCQKbHRyPxQ+8Xjg0Oc+Bg+flptNiSYsq55X6Ftb8 5Iy8/q+iEDeIkpgYOA9VyPy048r12YzGJdvcPm6oyFZUqCKMS5JcTW4l39VA+ad0PifTojfAIGdR ML2OXk8nWAowApP7I1Axxx6eebNVpQFN9QENaLxVVhOrVF4faQHPr6QBjCVAfW+kwsydbqedol2m U77VC71u3oVSm+jb8S0Yunhqiszh211tU6VBJiiFyjuI61uRKhP7PqO7TjtvC1Vpds+/SSNuNRo2 NeZ+yGqEkA3hQKfc4fVRDX3H7WoMw+sUNtMerKirDlAOIjSvqLvLAKNZtSxYjUhVC1aYuUunvEym DFoVrFnQNzTLCeSe3UqhweY/UKUQrXLjoNHsln/RJQXUdPkiIF45L1cTHoGFbmDmiYTh9hvYV8+o ii7dI4duntBugXDD2haqXLebB0mcnQnDxjzcnsCQBZqH7ad9Vwfj9XTS0arDKoGqrLM/Qw9o8JGd 7QLPeVzyiyK3F6pxZ/opJJ2eLPfh86x9NTavj8bJ/xKtTulVb289B36eBnwCI8Ob60lPYyNcOHmj r3Nipl0VZu1yPCViwFfvrp8qCk3XIqSZWmaZzhPzh77GGVguB1H1afccQfKIXISCty3q9fU0hZUE 4YnX/6WfrB7O1OTRQxVyQTcLnG14RbBj+ABu1mdtGuSlosxS6Rx6ekqGDMav7a6Ws2+8TvEY0myJ AoBLOTu+PqEbVUsat+GnJlbo6qmcfc95Fy8HkYoyt+L+j3L2R+Wd1RB5JO15AkN9Fjb8pkZbLJ3n MnjQeUxKt9IZr0aPVeQjZJSrk2C1u3p9QxpiFfUDUdPJXipwi6cOGcS6ZTdGR/dT3/TLWxA+BtUX kT/G2aFTPeRUeX0kZfNtFQvM6UrjiVWYstON8ZTqjhzOlz1b9ja5MkCp1o1V2Gxf3XKAZ/WWDBDD C9MZTE1Xoicn2wx4oAtO9gp8nGOEerObjswDWAsudpK5aGBa4HPLJ2i1wuuj8uab3FCh0rIeO+vQ 4LPSxiulOmxfpV6K9fqQDf1II3jO5L2+2OGzCcpYerurZewAjDa4MZKidpL5weFFoc1Chlj3T0H4 Lvlq2k1Hgy5ZLs27aF+1AeC19tXm9UF9U/pXHkotsEznWYtW6PM5KYawqbJO3Oce9UZrrGa4T0jr WLQCo887Oh1iLmh1rFCG90ujCAmIxxyj0UWADUImWLmPZ9zV7KajSQ6zdsH0QMfR03Teb3kviZmP yusjEcVvzAJMSRZ0qDxWUdYOj83MhiLZoJBc/3+MfVeWKzmS7D/XkqcOtJj9L+zBzNwRTD563p6P QXV3BRkJQrgw4eUrYFsLdaYaOFTD5yqWUdRc1fGMF9HYqWRESSlgGIbQQo3WKAn/Qwbh3uXc2BrM od0nb8KT2ow76HQvbbP9hDhg8vmInf1L9w6AtsfDMsdun4t9r+bgT1jJDi+MUtgAuxCKLW6zG9h9 3nUFL/Q73vbEJipdts1tnJ2oijuldaBU8kMnSC+M1mQvHelvbWJgynT/Zm5EdLzA7sN37rX5AVHk vt6SHNoEoAJ5ZyuEw+Dw6IsMC0pLtW5yXCd1XpBzoLRU7bn4yoqFzbX7pAGo0WfrbI5OUXCy2Tld 2H0oZJq2VGEHTHchgfL8pgDDwMIoDec4mFL+AASeITCsjPh8VGbwkvuyXTjfkpzQ9pMWNvBdnRaO 1moiG4Btcq7Ynt9u05gD30+Hqp98KD2jQ/pPgrBOPMVw9HxH4i2BcHRCgo6Ekn1hoGQc8osiuAdD 9k7zqkQUblWdIbONwrNn8gMi6v/+iBsGfON9rqKCOxqJyAKHC1J37+pUCr86LqaN7nMVAmNMyTSJ 1s7RcVYrLfhYEWd1brIEta3M7QcZdnYK0VZX9cpKMqH5p0IshDg+GOZjZ6K3f9r5efj8vziRUguE 3M4Tjobun00yCbt5VXQPUyWBOW4z1Af0lr16Fdh/OlOkpT3WHb3QcBImNIwbxbs7ilcGsD05eydf mhtQGlNr2isHsA9sQLDisg8qyZw9LNuHM1Obz/+rJMMY/bzlxM3rMxXV2llO77sb+gwhw06Oj/FC DazBk5dEAwNQnJfi0kwD780L3gNwpOWUq6SpkRCwwFXEsE2EuMPXxro42eYqhMg0lq+GbsNxPou9 1BOCgq7Nr0x60yh3vlZWZCSjj1rzE1+FoPVCSS62pzRZbSxHyUyvX5UKsr6S58AFNHv9eA1jbo+H uZ2gws9wnfUrYJOkNgUBJSBFf1Awteiq4Rri9wS3IIWBEkgWNqgkUxdygh9xkfh8cFZNB6dRmAdG NRvIV5+q74E7ZDaXJJfdHq1J+4bGSfOBp9EaTlMVBu4metN6vqMT/841d9ZWsYYXjGQICuV9sQED Uf14qkdPLYAcWoEOgWcbrQwzjmTpTAFtKi2l8898PipfvYOKcOnDK8KnKvQC5RSM4Q4VYCm3S7ht bjRwjrRuAP8cm4Gako1yHY1evSoD2RPBH0CwYx1zOtG46ejjQLH8Wql3e+UoDqWqi0Tir7i6sD1N VnKotAduoO8xe+b+AwRr3mghtAPdZhI+HPOY+77Hei5+rKPeaIsq8APN/8d+WD43gJpdHB2AVddJ BUD0A+gRLpGSQgXQ5GdQox6EqUt27/bOAZaBSiULsCUbtAHHCd+HrC7n5vORkNl7E7WSO3+VhXNs CUrWSJI9IWdtbu/fvLlXrMt3z6EnqLnr9KTKcE8XJFNktkfBt7O2IENKGjeknYH7AEq0zCv5Vrp6 XaEt6LS2RBkC0m7pj8DlYKmkeY6RzA/4p5UjK8gMVfDzPhMWckvxEFzxnJCUSSxQI5UpN7H+GUmu TVhsDyqgzJItMUevypQNUY7FCZvlBLlEsUuEE0f8+RHgv+kaVOrQBwahcMphKLrJfE9or8ibIY1W dWSdMy3zA6KN+O5wBWkk+n/7ZMVhOy/sh/x+Ql+xSmsdAjl0VhqHFxoCj9AkgFKmY8wdPcVJ5wKB zRITwpPgrCbTtMkNST9UkpJMiQqEWn7TH76O0PpUaRQ7lvsPRWTSBSACN185MAp9Z+NKERyQmzek TGAVil+JBT81Iib/kS6qKI6C72HpcwHO1mYrFnzRXbgUuHP0tnOHeS5s0jqL+kUM8AK/KBSxEB9C s8H6Xns2e+ngMmTgADUfH4xlehJKsd06iqOBXehn0R16UCM/2I/QL5R8swF/Dgf3sX4mnunKxseF BOc9tyKgTDOgzN7ljp4QznPdrFbUokdwmwVvXYhEATMCaq0+pJtuLx31CNWgGE0Nim3ygqsw5vqh nc7kB0SaJu9cU4hLzf62DaPgPZm5arpIyF1cXIHeHZosU4NL+qg/vQuBHrqjs02B0G9VCoNo3mw7 s9CaBCd8/dCwz2tY2186EvYc31BFMGMerPchiuDz/8qe2c5AsNavdEAOfUOp/AVZhWGQ5E6snCDJ 6IBnKcjXNnyuwthdoL6+ZBzK0SvJ5z+Qz4BNCPe0xYV1Qk+khrVDLR4/ryOwVHUPnUMR3tC4Yfug TZgABDFz+sXng4i0+ybsvA3PLtxozPhkhWX3wbJMchl5WEAbEvJklgzZEWgNtDLsxAqjd2uSDu1C jpqsdFJbVMcXnQngE5ZF5SpI1jNtOJEYeesrC9sXuIfC1IMrqnTit9k4E6Lv7Gpqbf30vSc/IIge rjWBBPdQMVy3RxEaiII8yVTQU+g1TAANK5mnF/14xkUVBQ6i2YCQmNh0R2/Ut7lnzhTvaJicZTAt TDCiVqjWXKew4q/8J5V5NcmetWnN53NoC/MuUEPgIPouXsl4Fn/k6OOZqiiAn7Jgr5fadTIzN3gq rPYrgZ7Js8LQQ1QGWMCDtjt6XQYGhj2RogvCRBXt+/x55xajeSLWoWeFQzFW4CGqmPLEC7gOMFBX 9EecrsweHhoUmx8Q3IT9V9H9HB6zXc3BHNqI8g+DmIqXRrsrMsK/iOILxH9sZy7lwEcUUmpSGGqC jHK8xQbolZ1jhMg+iA2ZABqmZeIjgcJyrFoq9soRBpLnFAo/GIBJk0saEFgsYgHjxw+IDDJ/mV4A rzMvxysHTqJgvRIqQ++I/8xvfHplNDtFHiwbz6BjK1GW8Bp1c300BFYCYZCIQRBzymxV4igN8jXw 4/rBIe9yJynZK0ddL8gwNR5WGpRBo4g4pREDBFZoJfqogIsij7Pq4U4EXqJwKUC5r7d5rZ52d31r YRhNLa6aDHj+w0x02O3X7mjQWlAnNtrEpDSfHbjMjx2Q3s2yQsqPRGOt9s5R3E7oVRUZ1Ztr533X 2Lx5af+YQztR1iMfRhyQH5Bb8qkKaaiLsMdqskL8R4M0nJzWNAZaOQG2iejkwE8UNWTRTNRW1ugZ NAUVB+Ef8BUlnp4BA27UwvQcoYtDZZTjhI6irUoeldDasVh2V9h+diA9Ps4nNH7A95N9XbiaVLHP x4397MAwbKeJduklWSSahRGljE42vQqkONkDhsBV9NJRR1rjjj5ZFK1ix2UkSHoUYRpmpzgeupsN 6g8uuTuTvXSkYaWwSqIC8I0SmnEA60wIVka1ITQWfRQ98H4Zf/zJHS6NMIfWoujlg4nTPck5gZTp pAKMIJe6s6r3swsjtIyM6Vui+oeNXsdaNDDvrPhBIdJQIpgwJK7nAXCZfLJ2tnf+q+vF7r4NdmAV clnU1OHzQX/i6qKxkMxSOnTwbbJeX6OrDqYkMmbYhdg2BGjb5iqLiYkcZyevy3yNrTpcMJjZbCtj 7aeM1fCZrTJqh+7Q2TiqziewFnGS/UA23WlxtIj+Gll1YII4P4MFUoFLeVhtOG7xUmsLT3+ZpI66 ilaUGbpDpACK5Pgvzm8TzVAn9qpRxJJky7adbNnk+UTYF4FQXE3BDHWB9OaQ4hBHzwFhMdMUJqwF AV+pzM9NOUHWzkD0kwMGsmh8zbcpOtFIZU8QbsE2aIqQY8gK48QLr6/RVEdb36eI83FO0rnqsCn6 Gkt1qBBm2TrYWT4qkRaydbiUQfhXGIDhaySFRcQpajnLITrnq4c2AOkCryupcVp2U62mDZponqgT V60FnQSLv77GUd0ARKUKmFYvMC2h86TKwkk3Xl+DKMyvVYwtWeysY2+boa8hVLcmxPnUbE0I+Neb Wya8XMwt80Qqw2boawDVcRNY+91sfNO18W3rnGeVoB5Y9m3oDsqg9iTdJ3uBzDXwYzZDeJPX1/Cp Q32T6Nm9VPiE6LNKB3Mk8+RZ5+z+Gj35X8sZKV5EaJvdUpriRnNEYEfr25WYFjX82H446cH2Xikq 7XLxDVYRb0usDAHTOdoVt84vDFo3CsTnQptMY8BpZjjSoPYL1vOlnVIq7mvkhMOIjOYJYUwbVGoB crZYT7m9vsZNeLpMm6T2Y1DQcefoa9TUQYZQ1lK9jA7dCA/FZx0OUqjJzWmDOZome5ZNefDZaZVS +8iGUTegbLd1HQBLhIdfpvCqt0iTTFeDOVo0waLuug0GbARFmqfRScFeX8Ol/rj0bek2QecR5QDN 0ddgCac8ZSzbrsmq59CDs97M0n9LWSFIicsXJJojSaEuk2Hi6Jd/Phnc0NFzbrCzc8WbnGAQbU4U Pt43GxO7r3FS9/Im9KA4gKYq4hHJO/zCk3W/voZJ/UGqax2h0grHOpujryESTmzqdqzRzIinb+r6 yqU+7WHRd4G6jVSIoxNbRtmkxfl4wXkZu342MQBXk3UKgHXoXqGe2VjgttibfPiv8RF+C0kJoWJj g07fEz5Ohs1nxebX1+iIb5n8VmP5BWi1NvzQ/lrTxF2oylPNLii0drviJjSAF/OoOMzla0UTW72a KWbbd/S2Qub1r44V0nfhrE5wjYizYqNTZd5wxIv+Ml/rmfgzOUlE4HCoP6b7slg+QsQNOdRgklyO Qu+GOHkD06U5+lrK7OYRd3aYy1lDPs9pNK1ZJ7khwHHRpTA6kqBCthZMXo8m3Am1R6IHD+Ea54Bi XnJC27MxG7ryjQxsw+LR+eprGbNbTy9vFrYxVCsb7UJVJ3bp8utrDbM/wrqKIDN/+TSHz9J3vh/2 25ZMR7rgsjqSn9vL2CIElzliKoqzTddzWuuVo9NIz0UNTWsIdqTaSzG3bLZ7AEM7Swh62S4Bo2T3 e6h9xXUbY4DqBExg9pNaJtSE+87241r0MIn0XOTkZhwq1kAwUSjCnfQ23T03uFl4eE9yU+QFgnqh cY2iiTJT4y6iw+6X6NCA4QbIOnPTgW0iIdTKxVfgecpg1trExNO8vrP9sAWKENZl+yAed0tdELpz 0qzXd7JfV1XPgiWs+EVHtnQn6nvMDeLqfBxlZJpyEUBUuzUEAuDPhiwLJmrrdFomV83RJ2pWylTJ DOTcvTKggRo0ErBKK+jieQkrIK/vVD/sAdUtMy1mOIhqe4J1eUCfY4KPB/HSPcMVQaD20u/GiwJv MRzqXU+jZjcmKP16ppwjeC5H/wSHeDdh3bTKHX3joczLjBdijGd2EjvoMCaoP8SzFR7kpu6Zqt74 +77r7AwXZX4cVAlAHE7MLeC8r+8kv27MYi0nSiiwxDSzz9P34DtZCsdKj+bJbGaAz+hMrVnjHckD y+8UP8yTSrwIie5oOdy54pG6ScirnJB+qXLX6cEz5B+Sb5dlqnAZnk8ESYHCB4l0aKQJ0nnC+MFI 7RwjqMUFE5VN8QX9N5zlUAIZO3t0+Z3ih7+vy46g+sYrfXh42dU4wIpquZtK+neGXzfxGHh9GKxs 3o13guM1gfjHTC1o+jdNTlpwqgYvq6AtbSf5yPbGwZWXSMRCvcEGAylW2hRjD5by+s7vQ86R+ltJ AP3Dn1WfkzwMwxU9zVsUkPugOae4D22hC6rmKYrDdYKjd7zv6AdUS5A5lrrn2aG6F5D+QC2w4sH2 OBtnKSdEgXiTYS+SdBu082C/U00Fdb++c/u6jJGfeQKtaK7nHI8i8SnYeZ0OMjA0FBAZfdrKamWD 8KppikLxvuWY0hRJcbRpAp8aJTw6ZSdgv5rqS+CLLJbj4OXgQgCz642/TxOu8iVJPw7W3exntxFX AsFOPh6EmTdfEQl5/ew27q77HoubwstJV7xOCV1zZ0AmJSyD7brt7YIoGB9aRWXKoY+j7boFYqJk mgE5nwBI6XzCzBF0h/7GNV7veuPv0wQxMWy3lXwQrbadjcsm/fnY/PrO6MMfnKpNE+W7gOHGFezz FMXjdFwYWOs2T5KhtrZKEs8PAnie/H4n9HUnxzTTy9Vo53jB/mesClvjhABJrTrU9acEl/qV95yS o4oCcupJlDE5TxwYRO/z3oU5QCUII4rI868SAS7pBm1DK1hG8ThW+nW/6rJQKZ7/yv0Z+W9L0+Aq 35l8/cI3z1mT7+iwfEwRcWLyDqW8MXadtNgmyUv11prwra/vPD6sB+HqcBjboOjppEQmB3fm/fWd xudpg8IC/ssDMqg3LPhO48PsLqXAhgPGP3o4DpVyV0eHqrLCgu8sPi5MhU3NrAzbFaGC9dWJcypP p3JChK3cxmGuCA4Bxb/HE0uX30l8uO0oXVmJNkfxc/8wOT3/H8uWERSks9vrO40P90C5Fx6VSkDa WvvOVVQFJz10EOvttTnDiJFA5KHmBhRWa+p7RC62WCb0q93RiyonUR2E9SPURBeqi5dG6GJDEaWi vHGR5kWvHMRQotLmZELE58WGTqazkJlYgjz2+s7hs3bMW7cAZsIN0jKaqDAmlxwj5VZVoJvZJPeB 3/Ucj7U6TVQUk6ckTaUtuDlH23x77xOjo6J6AtITNsH3g4UVigUgPPsZKJa59gYlXb7z97APCMVv gzkxB2vP5S2vsHN/19d3+p7dBbb7kOKdKdp1e/npO3sPKTQ1iLGGbUElFTKZ4oGuYCkeYl9tvrAi nsznca47XlwrNPcBMerAPJ1MaSRVMs/iXRATzYSYq9grE6zv3D38Houp8KDN6hrToF5QDi2UBkPf 5vWduYereXl1RYb2aPY1ms/xW6OInEIho26zo6cfrR9SlRZCMiaAqZLmKaqKO+hiGuhiXtAFfnSE HINV8bo6AybqmRFNh2wE4u/Pab70ykEMxRhzlpx9ELB8D6G3QFtYr++8Pa779RYcAPc/8y1nfqft ea/3RMv71jNvEwpICd93jSuA8/Q9Ijc/LagPq8PC0WKoAlmlvnlAnSgzweiE4BSiaQtJQ/Cmd2tM Oq1+p+1ZeRms/jF9UBEKZvPF1sh+fWft8Ui+sSbtwjYk0in+zYkKY/JK8nrybt2Yc7shLRjnlgy3 4fj776Q9rmiJt8z+jDZRkBhDVE6D4xOcg0Skrvg5kTZP1pGfFK8MvXE0T0ty1nQSRcozBJGGajg5 4H3s/fpO2evm6/0E5cDLQDzf5ikqkNPp5OS/0+epLIs7zyKerv5da/Ua1He+HtEDTPEyrQlt9GDz 3Khn7VAXaC0YawhpUFBYmBV7WuupCBjW9MZBsGkYcsLDUvE2AnCzBlXama8ZrKc536cJbZax7/kU xeTVmMXVa1BpJ2/+9n4tH1NxA4fvXD3WVhiTV/lcaDQAKw71kYC0Bhql7Gl7lKnroPggQWGmsZHs jaPlRAnBLZH07SLp5wqtqg62vcbrO1Ovm1zNnSYqNM/uMfl3oh5Wk7STqBjEWLNTB4UFA5OKRUw+ i9tAf+fp9ccZUyxQjY7zpfDlkkj6Cc3z0FFfIeUxQQUmjNXVy5AUvL7z9FC6VW43yWjkoBTvJMLy qG/nHnh9p+n1xwLLM+HN69GnKcajTCprNLc3JmFNiJ2e7chqJ9p16tl3kl53SzXYrI872jQNaKj1 SrGIcnbaztK73mh5jsT4HMSELmpQLnrjYJqmnFZH8kG9u3TmSWLybfLxYNMljwp4DkEYZdS7mqJo nIJhJ/yrj83FuAzZesmMi/QdbLrvBD1sBv7p8Bjud/SCwZmzk/1AHQwibwO4CwYOiIDQCvshXs6O 8Db0wkGCx5J623R+bBI1RZUuJ7MKRvj1+s7N4wnus6THGuyQLywlCsUBZcKea5ef7gw9oL8YilOv rDpi/Dsr7/alAPJpd7TFlGZazPh4NAFz4VRHlAsaUMOFPLMlvGrSG0cpi/n1MiJA252nE+QhliS4 zr1dXt8pef1BYFqVrnA1+RH+nZHH8lMTj785sn5fEkLt18AB3RJbTVF5PJFk1wgc9FHztDL4TKlT zQa7Y82mEgwVZ2h9Vx7TXtwbr+9svC4az7mMK7EFHHQ0zbWqqC3nLnl95+Ihbvk1S3RLGO3O0vdA HD0/koBEVuSemy43ggDaMfWFdgScpag0nlR8ysLKaXScOFLME3EKJz4yW784wemcQMWT/jRb5rA3 DnpSSFgAmx0+6GhaEOSQG0jna0ZFuvl2NJF2kMo9mqI4nEhWcC+t+ORODkM2JMnx9KVY8ek7B495 nckpCi+XHhRPG2fVgIbH1t2GRypRUaCp4xkUOQv6qc7u7PbKUZEu01WGtToOqj6deGBQMxdSA6/v BDzGE+8BAXA0FAG2eYrCcEHEl7p0LNIRnqcinZYTLeqbK/18p9/1S787OZXyFWHYJusE6HQlCy87 GCic0zJoZ58ago1d3oqZSa8c1AnYvZ1SNZ1S2uZE5RP+qTh+kuzXd/Yd41NfUAQaU+pzdcdffmff 4RgnQpQuZ8rsTOcHgXiabr+OJ62i8p18x56UCPutlzvaZXf+NhAzKvnCoHJSZAv6bYSHU2Wm7Ct/ zlTg9Z17xwNKKtVzyz60yDvunG74XJaeZubzUQr8ft8N+prnu6SiUBzpphtvqvRUPXgqINFoSaGh a7in78Q7vH9VQFTzM9rWm0DoQsyKKfCJOJuWVOLSAsmdmJxrf0WJg++8O0L+eDYlIOg0GPIpFUnA nuwqv76z7vCe5dfWg8kyLluDYoaxOEsqrSc5qhr7x9wHBMAUnRNtbGIx41hcW6/Kfp2jlZ5Axamo jGNBFYScW5Rq/FfnNMMJXvYloA9aNXwn3eG0KFLyrjZPVSBvYBtz91/m9Z1z1x//K+tyAu++yy36 fqfcsVRbqI3L0hJbVFTw+S8jMEgCqSCAwrVQsaC+M+6sn02pGvMJG1cSsKOrBe0P7rwBUkA3HRaM Bf1y2h9b7Ykc/9d3xp1BP88OoKBmZmtLCKiZIA3E6z6t13e+HU6I279jqRh3HmRHbZ7CcHzRaYAK OXRogLaOmQ4sivPLoQEBNB0avrPteNapkTCMSj0eKvWJbgrYiTSVQaOA8Px81hOSgQbtu0I3C8/u ul75D1zmCUdJUJx1qc/ZQOjLkhDuub6+k+0cyXTnCXLTKL35PH0PyKEztSTDKQWDE9OwSEFq8JQc yyIfI1np6TvV7m3jdelFcfR2MHjUSRJIC6LRU+jVCvIyK1A/8Ji5G4+UjO9cu27eO+h1JR8M4gv1 QkEzS319p9rh8bbfYijgUHZqd57CgJzp3VxX1Wclr/miot0drwJmCQ/y70Q7HpDqb24LtnfzfTfA rDuh0xQpuKyzpng7Fgj4IGj4we3qWbBqKt95dsyCmf4OwnyTSD1kHTRg9VnVbO31nWZH3OLtcxKu QseCdIG+33l2t1yQm3kR5bMs2nCCTzFfCxR93bX3O83uNlvO2459R5uok8OdnV0WVe0qgBhdjrV4 wUnaaSEjUTU6dHte30l23Y0Mobfig03UbGz0g54xXt8pdkzV3vkZADTRcsSmKUSrdDaEk6sGI+y0 4hPyyuSxZskWQX0n2F3cYeOE+uj4J1BYSE+UHUMR8gciZDxFt3kbW0ie7I0jnJh0tGbxQRneRnXj 8ja/s+vsdLP1hMUHmvNslwz1nVvHRpiEMKYTENIwBue5fxVpotcyYWGteYpjcs5TL4wiNXrB4Pz1 bU2bJ1jbilp3fpMTUyGIxyZ1mBjOtdd3Yl2XKcKCc3vzQdME6resaNucr++8ul8oMbavoLPyUMZa GI9vSt7SEZCJMCogKqucPTGsXNCq4L6dnxS1gnUqVUkXcPTTaWJx0QOznx9hnltJWHyITcMB8uQa t/hEudRXC6PxRPqhxZoOfSobVPgqeE9prxaBVRhWP0UVahevG2SGwTjJLJviESoXLDcPPYFANXVS kC4NXNCiungyQw9JBWu02KmNLLl3zBJM4Mo2z6JzGHVG5LjfLjbT3ji46jatF4o8Voszx3KHNLjo h2W8WoRVabdGR9GeDVb5rar0EDueGTo5uwcWx48AhjR7WHuCEZs4P99jcevynCOpy4WBo9WeSoHs yZb/CQ73ZPhyiBuc86kgHfPUbpDM2kOSpnE1TEbSTuICfAFbameWNh+PANEXR8fOFbpgozffdD0K xal5PGr3WiZ0zX2e0EzwWmZyAdceYVVsGTWDiLUHIrbOn86OOaFPQBlIBgqmpND5w0cCcuzVAjyI 7wlSFm63VCkCxYETdbbyWiZ6Wycejxhk70g6dNvbw47qYSSetdVu/6BPd12HUo9HTml4CtyjwvhT Vcl3vBnLCesA8ib0qQAYRoR9Q8eZ+PGTsdSnViAOWRSJK2M5gZq65Qglmfieqx6u5fzjW8HzAWVz pffc7mQJ564rd0GFpE3RWqfJYoHbkg1LV024AFg6FCwUi/coFp9sSFWqi/posfgCUqUxdMKJfi5O EYAwe8hZCpxc0zX/oj4uviiIneyAIoa8FzJ6gImeRXNMfA4ej7it760WalKhQW3zFBE32fQ45/3a Nk9rDSeTjVGqxU6lTVMn6FEs3pfKvsV4wKVfNeCC8s6EJwRuu1aL5PDLhoHO5qShW+cqWbzuelQd X7Ibaio+AX1u/jmzW540znLG88F996ueOabAHD5PcSiOGLNuUyDAPFmad+67bO5DaBnSxaPzk4L1 ZCG4Dh+NNk/zXNllLWqvnVBlnj2SBCvINDzBbwVgjZ9QNeuVg7igkm9Xurx7EWt4BL4ak7uT2208 Hyyoy7jXgjqrfGcvFvSwQD6o2sDTFEUVWG10FVWKUgtW6QrtAnmS/x2M12YWmBwN0AO/GeBe0NqA kliZLCgAyoPlnChs269hNkMTfFF0lPMMT1THOjc71UW0pCBgQ3lt2O71KB5Pz5KSFjcyzMtG6N/j ceQtkiqniXH7MZ96zBp0jamdwkIdS9g8zSOoSqIMMrp1645WV0Hec3KKxULdOrNSZEnB2JttBigF pGt2Qh3SHtXIzTlALQUOxPRk6n2LL54aHo84we98V6yGWdJdUhF+nKwUFz7cFOnBbmRBs5MNq+AA AaH2XgRVsSW1ipScwNHB74yzvJ28HoiUbAS8SfLViT7JRIeJOUQnHk0Q4JbwRVEYxUrB2yBe2flT 5OB6Lg8+/r9gM09iWUHAu5deXCGnYjmtEFiAWo9X9sxb5btWMgr32ntRhXwlLaRtjMW9Lm0DUWEm RwOuTDADndI8pDsT3RUqbE+soDnIeu0RWqVvoVUEZt1u20FJUM5anWXi8WhBec+laqbOriH91mjm UVwulFjazdpTyIrdaAgmLnbtbcoTYaZGVCNXW6oVqUNqtCTvrCcoj8rhJAMlJhWRij4Mmrno5V2C S+JpPqLAPLNEh63sgzGmUAKkWOsJcPB4EJjfI0qdUdgSZs/yRhSWM9kAya8ZI2Fl9xgCfNmZGwPi LKLjhxVyVxu21ku/jmitwx5nI2RFb4rm5GwlTEQ90N0rAH3Xq7QG6B++KGJ08mgqpOABzPBD4CGU pWbdEkrGRIUl8vUOEwP6aKULix4xfpwNKS5ZTtTOzQlTZRcFV0CseFt4RCVyOmCCfEBhujMS/zSI MUgbyiCQrIQM/lryn4BtKAQcE3II94CBmO/PwNcEINbGOw8hBAfz2EMpcG7x7E+wOaIC+e+OCxID OM75LIWIFTvIl7c69V1qdZblliadrXHuuigop6sZCEDbbBvZTRssrRTyXTd71cAgJhPHh2zfQKoH UVKPDFAUwtdEjc7C81uTJf12ttHS3LLMgb7hCMvj9T0kHzRAu+2WEYXk3HRQTq23nGlZDELyaXTO EwxO4yKMsDxuCIy8n9HOplVHG+l8Ms4mCK7vbb4vJw6Y1AfeqECJHTxJvhtReVySM3WLXEaA41Y9 81ycPNJhho7ng9U0+tvhhGrMzLdxPqKQHBplSPGs7kQVyGR7bhP9x0iTZtmapygkXxJU2Up0NXq7 BWoqWza859ztxUVbgZcZyB7XFXEnlpVfE6TCiUBfmHLYoGYLrQGkBjkmHv8nwh5BJhLhNe4shcDx wc5mX14yADfOZmmxbMFZGntas2VEwPGypf+oJqdGS1z6+b0aXXwh8HR24BSVE4Lu0Gw+62I+sHE0 0PA1UeuOnDLsLw6FOHkg21Ev9jR4hLH4r3oB6lF93TrdiErjZlQskQLuOS2rKY0nT4OrKIqJn/Rn enfigGUj1SaY3rUFApCEZ9oJxpUGn6sJOGSgZBGP+CzhHsLXBHETwU8F9TUM6AEKSld72wxBcPDh +YjVkt6OJvDWB/yYbZqiSHyMLoszr6qssV1VG6aTt9Oyrf40wkhc9CiUwe/olLK6oVl7Jp22zmtJ 9rDQbJDQw3MsrUuT2gwwRxiJN/Cj0NnCwFpDUs5yTkdSrHAfLHxAUC+oftUte+6HAjI2VXEsTjG1 7VN1tuCYVjBY8phFRDDQjmRhZcQFcjHKmoTtOVoevAEdRyVNsThqEgQXlNTl/QzK4LxiGFvHeFgh r6oUSOShAMZJvmEH6o/AwbMFC56PKis3a2GPHB5JwNK4nlFYJK+s1ZVsfXPEUa7Sl9Z1k12dAmud nxQc5PLbLWRf2WgX3l5z09+Rar4UxtLmQ9d6sgGeH78E0oNnDFfBrqvIDG1Qdgcfp2J8zorH/5eO CwRgx74VzRnF4ojrAPft3VEYEHhSFpxovv1fJsQezVnOUlgiT7Y4jOCyb8qCLssELZxhwTz/WUzi MkkDKWxCIIb1LBiFVHxRlAWTUlbkXSIFQ1YagJUUGagXPB6dUbeswgPtrPuJdWgTFcXi8PNDZdzk s+lTXO8hVb0dvJdzOWfI5VSyQuiij54FV6DJZxIrH2RjlQsKdY1qA+ICF7bDerK9ckRt4UQBaGQD J2pDi066Iee2eM0oGn8ois4AOveMt81nFI3T6RtFE28Hl9QcynoSU4szMWcmhjHjErmEDcfb6Ch7 tJMTwnyg7BO0yHmIF+jTTriBQfTwuscuvXAwS43VFCAMOVxQT5edHUg9E48H4IJ1VY1YKoCY1Hr2 XRSO08P7/JQmrIbKz75h5ixOKJulWmo3QyanDnAcQ3d0fGbK59iVweeCVWLjAQ5zLUh5IaSk5Lw1 hOnWNUMmZyLMvqbhg2oqJxrPrNuCFIDHg07nr9wOIjSzXzDdDAvkgwo93RlAo7Q9nQF0KyonVHRN 6BlhVeS+1ZJM8zT6ajoTtaACyWh8n4xOjtgZcICFXsAGycIiqGovHBzibHGCaexD0tQkZFn4x5MK 4fF/lujwHLBfa9/kbkZYFaYPfbf6Fmhe8QIAlk28AJmZpikqj68seeOS5x1tms5JBSI56Ymw1t1b K486BTIWJOTQYPakT88oHsf5gBYz77xSi0yIYdHK6hhWyOBrRpWC93pKAQgElQqbpigeZz+4sz9k AjR1DMf67u1i7OM5w0ORQ1UKTJVX481aKtRUNi+7s+tGFtVacDiqJcET5TYR7I0jPllVjWD7oPoc CJDWTdh8PJimKxnCpXcSyVku8mlGWBVGAOBG+xFOsqCRXYfhfBoomS4FGcXjRn7aU3H5nheF0fJe /cSapRIgtnHdsXvXxWiESV6larqRW4iyn1E83ng45S7zJIYXXE8gl3VGCKvm+ppRafzRDCEtGC6K Jz71gu8MeZxNsp5mSolLzcSNapXdIyllbd5TPCqND5Gl4Jx7R4fSgcQLNy5pqyRafyHGXOdEmuTH zvmwgFbRG0fB06SmaCs+XMyhnDzPD9PxeBRk/pLIQoILdJ/N04pi8ZzUQjCGBk50LxfQO9L7wRDb 4jytCLAyingtrZY7ep/zXKKwYSJ9GrC6JtNdCOz8DDQPcP2ZZIhkC1YIWNlUxqrEiHEQrAfCUqQS nFCs4vGIx/l+2YH6N5GR2SyFdfExVS7w9kGhfh5nKed2JVjzsqLKCmNxGSXtXtsdbd+lAWcydc3X OVDGkFxNQo6x0MYDYcrBT6jk4Guiqvhvjvn+GaqqnNRXan6wnsHzEZTOd52Eas8xlS8TYYXa4hTz 72U7Y4M71fCr1YXpICVn8usrhKtseY60PmxkG4Nya+fkHVWWNufaOFd5snpvg57QYjXpiZzWT8PX BFVx4lYrExYNQj+hQbG1mBYf/5d2thzCKzrmfoivKA6nOOGAdKnddT3P6gHmzst7B+XOUggbHxYK GKWsPJQymN5RfRVbbiBgGrrr0IaioydKWx4RJHvhqCpOCF1K8mNG5CBbljnH5gkMfRs8/6/8t8t7 a+B0LF7KXKHIOPmBi0IxNAvcqaolDPZAN30s+P4aVmXFRE4JPrVZ7+gF3xOGpYRoFhlwhuPF0G3H 5AYK2rCFejA9U68clOmYAU9KbsJ4q6FXzpoK2BKMOdHbmviEf8VPMguE1Hj3MHNF0TgtBqFuaTYa IFi4lBh6R1pTeU+Pxlcoq6KWeTcp1v5IsdYJPU745VJsHJwy8mNRBgcVAbuBaqZmFZgoyL4iuMpi CxhT7oOq4wDHSmL0vDkej3Bi73Em8A7InX2eonB84RIf9NzmkhqT9iZU8Nue5wGwRIQ95ikMx6WN taSgptGX1Pn3SXaV890g/xGUDR36AH2UuZ2yUfKwV47miT2poeyOnD+VJQEZkbtyang8mKf0S+kQ 62ndRvAK6ZyJSOhKoBPlkKWsvQSKFssF9/i0tGWF0HEJss8l4CFHh9MlashROHODxifRyNLk4EJF La4ng6ogycIXRbJPWfacxNgD50XcBXySGoOpgSLdigLy9cwTg62zkbc8WThRIXqcjtWltX7jzOEY +06rUGnWItWX7niMVRHuMMsRgaOXfaFtR5FSNO/atRxJ6AchG24MgKwVTH1VvnJgOiIXt9aSD0kp yKyVNdt2InM8HiEL9tsBldl/SeUWyFdYIJ+UXMuPQhb/Qimr7GlMapRYrBm8/iE/PnLpd/TcBX6v rdH5FRI355So6iUwMkffpNAn16IoqkWvKCTPJjLamw86oswllVdgxeNBeLDfgygA+XLCWayJ2lFI zi4R6vvewUvSr6esdm2uFj0hW8L4YId8TlVLVh7tjn6WbxDIIGkIQ+EO5gAnaqCBAeZqMn6Laity Qd9RUA7QKzjUxGhOUJu7nVE7cX2Ns7Lw+L9oQDJTaKjt+J23vwflUK7J5JINjzZr6oYuQOtbHCoc Ucvkovc/3H66zh2NvvVqAucRmJ4ByH0Z7rOFu68SSQcKrN95NEbYIYicHYSkeDPdAvlMqGhy60Gn fX+PynGW//JqKeBLIfuwiQpR5MIdUp6aE7XHcMY5rGjsjDrnbbaJCsPyZY3z/Ixeg+oLclvkAZ2o BbGPshdwUCeEjWh0cm2Eh944mKdOXe1OnAEH4TDOii0stUG+DY9HdM53CT9gruBY59MU0jmn6Jxu jzCae7ih9p+Ho1W4WjhNIVpFbamzi/IdHfx0ttLeTRVNwABEJS6jqwAMjnajrraOcjqC7Sgyh3Sb ZMN80AHVNsjHWE8b6ynCq7RLmGqmBXyCqNtI2P/AkI/m5j+bwFCSN3ZP2yaKVi6aqBBDbgnM6vmO zkoA+rIIx3ryjJPwCaIIhN3J2xt5D7dWh+4SvuYvgZXUqwa4A4iMT6MFksXO0YXnI4WVX3hfhMjA iPg8hVqHpHPKE9kkVorKLDSSsBpUO29kN94OAStTHlutjjtaDeok22dqpqp1GWByqUIUqslDPAEF Z5cvQBqNrwlqK0KqtN184HICm1lVL/Rn8Xgk/rTejnEQDDds+2yWIsAKSq6EQl9mWSluk5TmstV0 DtliQjQ7VDrcxm1J9Y7ebxkliweC2srJ95rCdqzX84LopgLAARUTJnlNbxyxgxFpjqxSQzZvARiW FlrUovjGx6MMz6EYuiSJfvJAc4cReWYGLN82FsvL2r7pGBqb4xY1sjo/KUKsCNezpG7E0RbT2QXz fGxe0utpS7L3sI0maCQjKPBcmB0qfk8QZ5LNmdYzaJqAwpUwXWoDj0fa4++yRlAgmPUmLjuKx2kF fc6mVGyaVjcjEhiGEBRFAh79RzlNMWJF8oa59zt6Wwola/RMqdeDaGkbtgDHFcAEuEodr7KnXjiy RuARblY3yboV52V3E6rirNqBx4Payk1biGw5v8hG695mKYrFKTs/WrNLDaDt6mzOXUwSqkFRxgTX dgRWkbTKifT2uqOzOQsQHCiwk91S8zTPV9SSOxiQ+3E05zGMr4mEVSghNggSS7IFxgJa8LRWsWAO PB7ZJd3kjhEBMqZFEwnOkxBC3wu/whY8hpKZzsCbJh+GWjmxKor3BGGwpfRX1tKExtBoQeY6/1vG VU16C8JxmSadUx2i2gutW6i/1blkBuQvHfi4yRShyyVY6Q6LmYXK5VTVPoHr5kdEQg/vVYOBBjbF AH2+QsHDLBoeVsV/+JpErAIZCYh9TDrkBIjbpP/T34qHyIPrHS15QR0QZGoixhbYj/R7gbMIyou1 E4yRLmORQTm+KaIs0oqrsWjHQZ3hgXuVUdSamc//K31ReZOK8VfPj07hQZjaKRqSkjc95XiHot3Y JpEB04PcfLJCFLlhxcyXhaOnxKDgLfTwMFlyEmGkOWmgBKUeKDdcMWQWD/BNESiDKQydRcB0OYEs 2QgDslmsu4G4zQ8Igql8C3ecZuKPH2fXFJbNSRme/cpBTbhTqAfTR3dga9vLzTdSaMxpHm+LR7xG W1pnp6BuIENFtMWWpKMXBV/JB6wQhPZ8T34J6U+DIJgJVB8E9Bng5JGnANABng+O9+mRJ/9tHJqz 92cfRiE6y9ZjtGylc8g3OcSuuDIbAvRVfGlFtfMhOOLK0vLhaJMFWsK5/6r2YYN1INFjicSkRrvo wk5xV6Fz2UtHZiXkom+WWzhosqCVx5b4ua8yn4/SmV8sqsL4Jt38WIJ7X2cLLUvoriSD2sHPxxW0 qIf3H+/h1quf8mH5XGIqe0u5gOOFH3T4QmWbrZyVRcNdE6qaKB1kKh5RK6PQlJnfFIEShWdRceqZ rZ3KZJpykgQ9/3226i+rIFQfN6Jtn6tQfKXJCmM7LHGptJno/DqnnfC1EILQ9VFB7CCixpyyN+do K2vBo3lANxMl9HPPmwlOobBNxaVSiNXQCY+IQt/0h//UWVLlDlZ3Aa3UyPuZz0fNhvrrhMfnoH/m kxUqsKxLgLHrEChbw3A2/PyMRiGF5dswdgyS5+KWcAhHCx/OIsefQcJvgfdLHmaf0JgzQDUrXwB1 obY1vylYWAmHFaAlGpbB7iCJw+gOfFs+H3VF30mf0MPd4zF/SyG2ZZvWgatEDSJLpHhfhmNbgE90 28VIiEXMBQDu0h29L5rJJc4sK2wcWJzPs80h+dTkEXkZ/EsmVCn2DWI8Ssq1BgFegeNkutDAI8bz wR5sv9rsTVfNc7xH8TuceiFF2tyist1aOmK8G7/X5Q5wKSyma10RfeSjzdW5Bk8EP4R57ScZlDK+ UFgn8ib1Ol2eHpnE+KKgVkWiXim5+GBzxQof/zFPPh+lzf1trqADPAGus6kK3TyRX6Fz3L1Y1YTh HDqvrjEsVAjMpDJEuBgYwTPDfsMGqI+h7j1IQoPecKdKG5hjHVSPxQ+8rji0PM+BnSeOdsqP1TF8 UOaMlL/7ZuTzkTTEOz4YlrRrXrGRHPp5Nukjprq9rieIPsVrcnFl0kaNME1VBHNJUq2pWdjOKnUY Ckb1NLM8wnEjImVuCvDhptuJlwKSwGT/iFXMf/h58vZTE3nfJnIDFkeQkVUqnw9C9yv8J2MYdJGf NCc29MTaH8QPWv48klUZqops1IzKdCznJRg6ei4r6QmyqdFhU+faUT6CaszGgbitzIDCP3JDenvb VJVm7/yXdXVCPMtBx3o9eXNfhIf1s9L4fJRD3+YDZmVBI/ESZXNg6on2tSRJ99WZFhCIRdCSTOmn JaqYaqrCsH2ZFFLpd9RUrZNCn/sDSDLEpJtCNlxUVEmCFBjiFvcPGs1eOboAMVMTE2yDkHgnUSBM Hhdp5fNRtPALnp+REpXnpAothBabfd0Kw5lG3FaUKUT7MljYDMi1/WKdRFH2llwDOHoUWtqADzN9 PXFpJTdVIIseZdHZru9wH93eOXKxJqwTLlwcTMMmo17MQ72nsfl80Eye7yE7+hEAM9+pisTLKRFy gqnhU5WmmXkCe3Gnqktmq+ujorY7Ww/FZMk4emk9QcJnZsmRndCqyE6WBsuTKRaK7A6i6tPe+Q9I HuboDir0dVCKmN2cD+LzkYjy5aX9qKlV93g8mkM+aCJesc5lmWChlB5FSnvNbjLYYByitDn09lyq XHVz1+Xo7WRkgguMC4SgJ+mTKhAKC4O+w5mspVvtW/7Sf/kMAlnkg3Kb83g3iALXfxSv/z7WobhN aK7PVSzQIruO6eigsfaVP0jJiGln/4zlcxUptJje1mT2aKPNFezRN9wG1QKcXeda6aQdpUGsKbaf LNJb8ZcO1hWbyU2c9XY569CQlzY3HLj5fERseCfQUmk4PZXRwOQzywXoXFHJIbESESfWM5VuAkmV MZHNVVhp38UCgH5HmyvUKytFrAmlmlSDZ4WB2mSDQsoQ/jGIUG/20oGFwC7yPEs+qF8KTU618VYr fD6SfHdKttC09WeutzswBqMLxlG3rSt4bFihD5YhPxIKhnW7pYGx06cZxibFlxy9tbxg4oVrHtLv He6WUgqGc2Ymm7b03B/y1bSXjqRdiTvb6Q5aV+fwa+otV5SQQ7PPWvwWJP1KWijzCa5Cv0/210dS WZQJrFS4OFt1NYN9LrgBabZCw881ZPhpxkEcvYa8iaAh0X+jLl4pxAEvAUpLUdmtj6fX1eyl/2zj UGYDg1jsYKRKkw73SeXzkZCi70JRkeCIXB5j+bDeTk3MqXo7VZaLFdnPiUVOGTEwo/DE4lz9A5l+ Dvl9x5sI7rVQ+hNYqADWwxNryncBVR+0nlzQjX3BHLp+6iZMJd9BpztcxpvIC33y+Yih/csW5uyf 9ThZ5tjzk9ZelYa+Wlc1b6uJ1m4bsskkQydWYPopzy2y2FVA5uinO8TMy6ZoCyj/huc7wQNSIViV /ADgeGuiNdlLR6kgBZbHFBLmRNHSISnTlHFgXbT5AVHY/q5j2vEy6ZFuyYHxp9f5wJtW56u3wWgL cUMTn33TdXdnX1mxurmdVYKCcvS4YcJZHtuSFqmQ6OLKSijCSFyqAMJndyFTU35TAGDIZLRneVrm ZSo3A9hr+QqctJDPR2Sj924qduF8y3FC808ZXp+v8XQQeiuK3MFnT44c4rZh5B64fwINoW7zNvGN fRPntlGbmZnC3ZDM31VKyxW7fybGsfuCQGmXzC+Kpoq8rE1sP2xlf0zFdK1a2VcALZ8fELUmPuOG AXqvz1VUa5fpdZd/B3FpzURJ4AkwloNiUGO1uQpRMaY0vWxdrbuu2jhZUhmNQAbIvealGIt9Oamc ISO10pXVY0IH0Mz0pkxehRwM8HEiK6ngna/k88GyKrflRWQM1LiAOfG5imJ3+aL1vL3MtyUvgYyw rVxsrhK1yDRXobiiVDrLHO2OXrs6p8v5qYtkplqSQCGUzkFoIWOaO1AyU2vaKwegDx7qA0GNDSrI wNVoiwlRN5+PXJouuljh1ACPbdQ7V1Gpnedc3xKAJT5mmRcRsBTd8TEtPXP1D7XzpC68xkuryTDY ayzJTKBtVD5esGA4ByuvGACC1cPJNlshRKaybrXVJQQxgL1UGFsOxu69Jr1phMV+97QCnAL4gTtX UewO5Rc6pCWfq+Hpc4aSmKXPWFmWPgdmoGiliu1XJT3F0eH958Q6+Q0LfSPD9FaKuhnInrkADxs3 vmq4iPg9wT0oBf1dqw+qyUDvmTEDNjmfD06r9S45RfHKvd6C0Sh0Z9/phEaz2tHetvs6V3SPfAsm otO6PupPZs0sIoly9B79PPlshlkqg4baJdN8zvtz0W7QZFU+nmrRUw4gh46gYrVBeUiDrSoA5WfT qqqdz//Lhkj0kvGzxqVw59ATdFI8cLCYaA2cfp14h7UqWgOj2HZgbAq6paRfZrujo4omwoZB4HoX V0gm9TCmgVwAbOAeS/Vur/xXJApFFB8M8LhTYgdUhfbAFRRR+wUaawNC3iXdSzD0Bd2kAOZyLQrz zO4W3mqzgx3uA74BA2PQk4ebnH7Z/Y42VaMS/dZZaICeN6VuAaFFT1yZ5L6lPllb5cAZ1KltJCja oB04T/ib5Hk5N5+P5MyuArqF++dzLqAvx+agSp5pRGLyLte4uMi+kM7qZZnVbA7dQQ2+PngY2HiL MutE7UinoBsICd2lQJRyUJUGrfMqv5WuZldoEAqtOuSB9Azf9Moi2uNEHllN1JMpZn5AhKX1ah9e kMEK6cJ3ukKYTCVpkicadyEsUWwXSpGcYP/S3V4u/8Mm9Fz86tNztOk6YTR+k8G4vZN6zXofxWMg 9F1gCJCuEJX684FRKEjdjKwqgbUg4v5ILiiNUWQUOhagH4FTKNCxv0ruZzdOMHR8suLAnfd1KY4p oqCWilijluYUktUcJhN4hTpc9JxMsrqab1ZXNCJIKPKiEwGpjyLtoE6CN7SgKlF6JkYFhhy/KSqO 0sOpsJEDouoPE5PzlZ1cdkrBTX7Av/i47CxCN2Xl/JxaUejOfPgEhM1KyWgfmMRZ7Z4onqWF/oHN VqT5YiD1aWDI+YAhoa9wjnW4/mJp9cKaFcpYwPsjR1woNlxmEqRo9dIR2006JjX7YDTTNkh7PgEp yqOBbajEdZ7CzAbFFBGSz1UUusOBFSW/5Eq6pBgb0bS6km4ZV6kjB8ahOABVvJr9GW2uzq1xosaT 4LA8mqC+pA49oUWJnrR114d10+2l/+C7ncxxmtr3MJFBZFDit571O/kBkWzArbtzHZ7wdvYr1pED 91DsQ7k+O335XI0rObaP+HSW/EjBs9mKgnfX7kpl3tGTwgSfXvBpIfm9xmoSGjynDxRywIsEg/CW sfayl47kPUlgpnO0BsP2nR94qw22Op//F7aPmU7D5/T6nPAhUEYZdJ3Oze0UbzFMMs5sysgjIfbJ CqN3k/vu+xltsmAYmSjkRNhoW1uCZ0gQf8gLP7lZnReBpcp7aCGKu2RlchZs0DbE7yB1k70Wnw96 qpeqRA49isNsC/hkhaV3yVRVEw6AQuO0ot+505HWMNKaY3oxOXQRJSEB3T+1vzhqsoAdBN2fLEEg AGYlWDI3GmZ3QBGw3rz9lZO/dBQ9cEmVomoWChW8DsFjYfDzg5o4PyCItX7pyUOJZUJA1CYrdBLt izk07apFnljVOSYmw8C2KtsTjOADK1GEIbLOFvVdowFANlJnFRc68Fh1G28HaCXkjUj4r2NYWfbK fx3vWEVuy6OdBzGVJVIbUA2Blajq9u8oSOqiPjP1J87dLTKZFia3w8pQZPUMut4APvQSlRhxgz/6 HS2AP8ciXKOYFp7T6sSnEmUoCPrnBu4SHVxLC4eCrMBLNPtUKS1MdPuQAxjAzUywIJvJDwiuwvar 7r6po3mvwtBOtJLghd/DESDNfGaqhNwMLEOwgubq7wC+JR7/Nnq1AbiiXcjwmoO5p3hw8FOnogRQ WI5VS8Ve+Q8ECGpdRBch+pPnzFlmSWLRI5XFD/iX3G62o53gOZ+qKHjHL4mjfbuaQFewxalqVqM5 hwrFObQB/y66Nxk+22gFv4XJnqVLo3HjhhZ7ANwuhr1jXI1GRDx65ajxRXGYLtNxTxFQlC4UfqaO FJ//l7K8qBaD8oPPVIWq6TxZu1TTh/xCXDGuT2MQngALcna6BUNXUS/M9PaMBq2duOgAkKJk3M49 Mc0+h9ceP5vJcso3hQbWVV/0J1wUsaENojXD2ToLLtoqn/+XnQpP8PMDjseTLoe2ouShArLt4jBN OjFoqM6VXew6X6nGHPiKJlUOoUksMi7HS8qBHYL5hAxomS4Zz1RkOQW87YIJcLiMspzQWbRVaaMu BlkJmGSp6gETR77W+YTGD/h+sq/9S2SeIMinNBOYi5r6wpCzrEJRQvilpLObkXLos+OTFfNRhWtY tr7WfOy0AVZc5MadYzvN3aacxCgnU9HhbGiFue7uTPbSUSjK2gxPeAxZ2ifQ9hUm8vy0mc//C4aF HZtJtT3Zw7O0oqo7S4VjluGzNdYyUk6lLoIMQ3rJ23dhhJip02ipJv219r0HYao9xDPpqJuUqUJW gccbvX0zlKF8sna2d45YzuylkuWsQScWzEuzeOF98/l/gYs4WZBHzqAU22S9PqKrnE4O+X/JSjod ppa2DcesPldlVtf9StkrMx+xlX2Q47AaVXZ9tJk6OxJg5MGo/aQfIEGz5g7I9oSQJuTTnRdHr+iP yOq+biL6uGq2OBh9N+0k5lZbeLp+fcct9m7XbwhFg0UvVhL1czRDhPVNzqPYloOtL2Q2jQIJzGzg 0mWrKZgh6zhv8w/l6CnzufFWE1IbPp1nbTV1Bqk2zK43mH4ywkBwhq/5NkUQ+OVK2kTWctB53rJt O9AUX/nrFF0CTleTGrI6c9VpU1SCKepUBW/UyJXMfLZyDKLCdsXjsoMYSrSI2DwFuzDd0QL0NFmy kiRaoey8Tnu0/eGu/YNsz6JO2UGWYBEVLiIKOtmgovE575r0PM+Z+irBIjLdBQRO/FenWdVyhmq0 iJo6Wq4z1GkIIhmPRUIH68UNqQ1nqH6dISMq4iDK+45eWT+/fFmLKtdn4obXsUhMOsnfpPqBzRD6 IviW7zOE237JKQ8DKsqKmuDRQi4GVJBfNVhEJkGIRVQs0mzodmqOWjBHPEFOmrLvHBXzHD+Ldz7G PKlanNmCVTRytjjgGf2KK1BJz5RiamdDls6+FzodMAxAo7Z1FhDU1KJaXIsOo8ILLpGiy8F6CEgC GDmhA9+CSeKfwSkpPwYHHc3nqAdzxD9pDDYm1M5KpnUNPtL2zl9J1eDYPZijuSwMKOOOdhid2Hid fcAw4KydhT3GihRZOTAFhKqoQ/ZgWcgX/j5Hi4St1RkELCennHDrzBc3HtTZXz1aSM0XkqbzfMiA kADnaHydI3B6JNhRl9XP25zNejM8UE3ws3kpakRz1HSVtZzv6HvtBD9njmaXsBCKgIRyQAsIPVzp /N7NxsxuRJuNJr5d8OJOUzgpTiFxYS7Zzze+RjBHhSLBfmKjwXA2frU5msE6AkkLVCG3J+ib3WtT ADcuBAiTya79GZ3YRpc0UCNHB+hB0XBuMrXo3TqlJkTuELD9EH+sT+xNQvwMbjVTy8nlDgJ+whVq SRtv59cMzuzVfa+x0wz9GeADNUcrmKPKUvOmZpEzj9otPGUnaZ0/0hSqVnBmi3dUKUHmo83RCdES hLHlY5h2WWJJSszqZEQV3oPuSlto6vxawRwtnkdd+A00WnWyQIyWwj4n4IYiajBHXc5OttdQgtr7 ztEO5oiFyQFMhZ1HqUzXyektTUciNNfP21FwZDnc1nnE0XO4CmkhVKDos5qL7kCUIs+/S9mWRkax wfHof7WjM1s1uc5uAnH7Khtt2J4KjLfya4cXW32bIzgjICUZPkuffL/n1iYidhC4bviy7dUm7Aeb pjzdWfyT7vf7V8pIZNMdvZNQTk5Cqayzlk5yMrfoyXlR5wxt5NrLrQts5brfI213nWvInGxQzJzO xTkFRATfKAq113y/3SBsM6HcZ/MUxdokUo9MOAe33GjDeZGzuRxjpdChsY3CYNsQCG3d0c/udLK1 hr2MmkDbgzcx/o1OexlAU6BoZG3iSTnGT7LfswNol0IJJBt4MJ2Arwto1sZer0+u33PI1BsqYcEv BO+AgtpEfY+4zx231UXvvqBw4VmsVLbR/mDjXL0oHoXcW0pCaYjyx9FRLScag+x0px8IrqOmvK2S YIWPhi+mZSUUH399Mv3ebhw2WSr9oDlwnk7i0xPR0edG5uNBtDTeIwFV1vvdd1HYXcnzq9VDylH7 XU+jmANkq23d0mUUd/di99t+Rk/eTva6EoL4DmcfdNkloQDM9kaUVHiOm7pnqnrjIHtLKMO1Jllr j1zRKjoRMMOCAgBsGHkPP6AYN6C+NJ4M93vkjUOF3C16SHGa0IY0eEYXHr0RsI9JUIU3mibJeI2k mjhHS+Am7L9TZsg0ys7ghAtydi7YaRox+bZYpqqW4enEAgAodxgAQxOks4CQTN+vnFCIC+ZJ1DtM C3kgVF3az7aLwu8uP7XRXQ6gLNPJQR2uupb8ySOdt/Y9/s7UIUOGK2kOjV4Jn1CXyCRDoiRJbioK S+ilnIgNKhPpmodS7fL1SfB7OyhQQKj4l21QrkttTOa651d9ffL73vKN/Z7JZSrs1H4P8jAGr2QU peIVgTS9dw55GpP+Lqz3a6KiINxaBjDruKPXlc7RAcnYQs/ekwpnq8DRXxWGxLk91sZZsglRFN4I MyAF2QZtPGhWyk5t5v36JPe9Zcw+UcuyGsh/Z5+nKA5HWRBE7X4Lu62bRDp9+7z8hpKF5ikKxN2o qIqyzfHW36i92SwwgOMjf8oNzM1i2g2BPtcBmF1v/H2ehoonAMVzkP8V5Gm7C3F0Ph5EmbO+ZSsQ 3+IFY9P0PRQHFEMyzfNWdGUaCpBPToa8awCQu2RJFIsPNTRhoXBH23cn1oDP45RcAghEViqHe2wn QBTL9Xqvd73x92maOpl26z5wOUFQrUm18lyvr09O3xM+SaXZKpUZEG74Cfs8ReE4m0UDDoNeiJtl WZ9gCeADXi2042w5xfG4aO2G4GwPghM8q4qcJdPbmN7Z3HZ9nV99srFKbIGw+VNiVFE8rnk6J0L1 gTH0BnGwGDpxvT75fG/5R7J5Yqv43AN0hLByZRSOw/6ATKvi2S+BLTJaLQwz6X+F00P1yjAcd7ML Q5yXx18mAco1cMgi4pw5T5bjzrWXUaucUiy6lSbEQK9PJt9bTYNtcmJ5NSh6OuvUosxa8uuTyPd2 OLzvOoh+k75o0xRF4xSZ7DIi1jSNbLddhpugBZl1bSs2fdL4nnVZRd/LRorJDykGTtkgLRClAo5B kgBVopdChaI1kPj3dGLd8pPF9xYmklW9qSbfIKrbpriLBJqa1cVsr08e39va8DQ4q55SoSpz5yoq gcsE6+y/7IU54tqEcKUSsDXJh+28Txrfr6oiaaHyVefo8KezwDvDyxNB5ZOomglWYhbRUEEBAf4B mhe9chRDMQcuBOafG6ap2Q0yLQrhPzQnWK9PEt/bXM+3FA+4oN5uSP5J4XsLyXmUp+LGmAy+rTo3 q+t+g3VpExWF5MkUdQ3cuh9wK3CfFar0gCBC+GbT9D1DgwOpMCQdBnJI197Y9srBoiIQGMAaHxQa nI/oxXuar0/+3u8GklYUJgXcbNhn+URFQfnq0n/LvqJS78NTPMk3M8WrXlr5pO+9hfeSf2sycdjt mji0c5acTLVS+RvHOmUGSB0CG51lMwLMVeqVC9Yne++ZpykXQ8HMN7WGgZcGq2RKshN9lSgmN/MU L/ZiJ5NBq2mKQvJKTCuOkdtZyQYtB9jQkfgzuUD6J3PvswRFMUcfHVmeekGHv7AEhQuUtxz8sVFH RX0Z4u/PYb70ykEIxVrB6iKEdovJIcxDtg1JC+v1Sdx7W/fp/YQC6n9Sn0sT9T0kxwnFvrAQvzRd vaYgJ7l0C1F4Y1pt5ZO29xZlqLaSrReVH9PH3aFNMlRbORO1twjsHTbMZ0C8BGssd8ek1+onb++t HUh89FmjPqgGdSIqVq6xSPbrk7b3/MW5vN16MLeZuElsnsKQnDSYSfES7ru5L1cBFuF2krft5pif lL3ndyaSHj6n+Y4Wa5aTl80FZDLMU0pORtrOUJqjQSDoNzfHK0NvHEzTTMpZmjStkZ2xSAInG955 Z/r365Ow91af9mSYhxE0bjsgTzZRUX0c5V0sqPS4zLR6k+HlboaVLutcUN+D8vR/ptOMc+2OHmxW UPnrZDIM7hBlMTOrwiAJnDWq9VSEC2t64yDYHE3SLcMHHeQFyRGjoBN/vD7pes/jc7xdeBBTIxTA pimKyQ0+J3ghS3U5e+uX6uVGq6KVKqfpe0yO4grXUZd8i0ZNE3zVToS+cEBBuQXEVkURaPTAp+Dk EPnmLotQ30+q3tu2M4Wb7YMQBEBNyc1wr/H6ZOo9seq4NQNuV1QLnhr5J1PvWU6DQm9tebQ5Sjef PjAEjfZSFjBYapGHQblKBgAc3NHmic5tMBPlvjtntvYmehVNCTu8fa96GfLN1ydR7+m8MXL6/3AE Gfu1SXJ/l9cnT+/3tfyWCm/cjxdIEMNRKAdEl1JjB11wU2/DA82Jmp6mKSyRD+62NeXjwNGmaUJX O0/qRcCQgqBTejwAsE1ZXvTQ0SEjlqDojYNpWlxO0P20wVp3TfBbvC0fD3Zdeg8LUHXu4G3aNEXx OFU2kdE7FhqISYue9lrtx8XkpzXvPvl5n8CmRukYG71StxJq2UCIg+W0khk4QFMXEhg8j+8h3oZe OMjw6B0K80gfVKg7x6HEvxF/vT6peV/OcDmqnSMciazNUhSM07IL/Y9k2HooOPwY51puosTWU5Ma KOhPWt7bnhO0XkaFGu0I3z2dFBHNKJxN8JcxciyC2EkyQiHRbAmuam8cJC19SxqCRzh82whwgkJE mgzYZsrl9cnJ+1JYKbwZIViMSMhnKorGyVKFKHJybH1LFo1nsywgtn47OOWTk/f8UrQgOhmWKsDz QaueuSkootBeBpKWlRr3CKMmIfHjR4Zqcu4FNOD1Sch7y+6GNFqKDzqcVmtFZnLngH190vG+BOM8 nGgb2Lyw8knGe8tZdNdVpxAPFec6HfoYEgBVX5AQa5ai+nhS/anSFMJG23UbMf4aWRYO6PsLy9JQ JRzEFvan3zKZs3wy8Z47lZ0kCnvYoMNpr9ST0pfO1wzuuuyxOA+y89IwRvNpikLxntkDZsIqS9qd thcLSrmO2de595OG93aHGD61pzt6926eyGxDTBYocXCHWfosUyE5Tpjz515iGbUKX58svLdrx+xl hg8qQG2gOuRpiJ/zeyiOkGK8Lyd4G/Tbl/pk4L1FmOT7ED5jPvXzolTm9amHqblC8U8C3uddl6WA h5EpAWsFBPJgJk6ECSX5xKOsnFiBBhPwA9vlrZ5prxwh55jUDdJXF+RPxVakBrY8js8Hvz75d28x 5nulDvkrStI+UWEoLjiPVDrxlSAKWyhOkTNBVRCZ2IIK6+Ny7YUv0R09tzvJ3QBnhkzFgdBSriWb 8HAqzQDT6kBe7PzXJ/fus/oEZviCo1iVmQCJIFuE4Zn5fLDz9vsBhXseflA+UVEwLrktHh6ODbsE DeOyQCCQhiSaqKhAznQaya9ylpxvznI22lnu9PcEWRj65EVqW1wOEAuHGdNVfpXGwSfv7ldBEtmv NLeSS3SeEAOyorz7dn59su6e98z17YSCOu0syxudn6S750tx/J+IhaQ70X+Q/PwnrLVbpgAtgP4d sJgxYMWKBaqQ53wr5Oi9oLfGxnnKAHWJr19pG98gh4Ksxk+oQaeGT9Ldk2clma9nUauzWuBgnLYl P+ja5uuTc/e2cctbcsfKKtSsfKJCxAp5ZAkME8jmo/mCzOu/jPITmyckCZMYW7GgPil3bxtiCWWo hZUeffhzwE7YCwEefnbeWUZicgIziOAJLXOA4b38xObl65Ny9zuEQoO9azB53A1KEX+ckwyt1yfh 7q2q8X6U47cZwD7YPIXx+GxqIEjmlU5h2Tx41iIhEQJyMKSWQ8Mn3e6tUateQhMCSlJmKtOhoTnp JNIhvjvaYjP0HIG48oCK/Sk0s/D0ruuVg6OcE7Q6jYsWCf+MBzYU4FhV6bm+Ptl2b2U6T4MRU0Cz daDLYfP0PSJHGsCIfJm45MmCR3VlXNk5U5Vzg+/BK++Ta/cZkWM73dFDg7M79olDiV5tENG3Kh1U z3IFCqvMJzQYpGR8ku2eM5Wdc5iS+pBUfZpTnlhtlvr65No9j9/OOTfpuZh3up3OT6bdW6RJjck9 HNmzqLEoyAojN6XBkCnjQf5JtHsLDQhVaYbI4GiR5onNTzw5kUxBBmDXKgBUQwt3wQazQOHO0mBV VT55dk8aPHSAk2d3CbXnl6C/BOuarb0+aXZvTYj663xCTZRW0JqnqDwug+PsHo8nSLiMRPrVX8SK qwd/suze1jPXU53K8Dhahod5qQ0XPoTtzkdN9bAaDvJJPc5CQqKKdCgXvz45dp/zNGf3QYnwuSeI 40ZwPl6fDLu3DeTHE4nWsHqEmLBNU4hX6aROV7MMBcm8De8IDytJgYqVrSv1ya9723ZNxTnpwnO0 aVqUFKmMyCkKtyWeAZF4HKLb3I0tINdyimrjXUyx9Qwq0kFfQ9oFoG1+kut+HW4PwGCfNS+/K01T FJBn4TPL9FpmckcwUJrrlT3qdzXFATmnZ2zhMca+BPMT2ANiCioGmLQ5TbO2SKmiqnIOXFr0GU4M N/Prk1b3Kz8DW4hBAQfLghPw6ao9zdcnq+75e5+qigmNnARzOfqpheE4bAMQvjq5fA5L6rBTLrm8 QX2BZZUWQselmU+bdR9tmqCscuLUSY9VHHTbqRwo2030PtctPtEZHF8ThATyPxEHuDgHGNK3WWEI IHZ4PIgxnw6n4lFWV32WwlicoB7YInixgBx0luhq2RcUnZJ17lpUGDeZ/EqUuY12hIOJMzZs8siZ HpXtLVgVASuyuJ7RqHRw5tYbB2QWojKhue+DVhOks2VmeL751SKwyhu0AE+CXMQmo1F+olgcDshQ jjRbGGjPVC89bYr9mKyDN+7691gcRUJttlqfUfNEFdtEBDT2XBt9b7cpmghcCtIxT+0Gyaw9JmkS rGLeHtkYiAUlKyYUZ5o2H48oiO8EO7TBYCrksxQF4vS3GCAy2WpKsmfCLBV5sLOUObwb1SOwivAE bUg9TKPNEioEHQkQMaxQsDa0HYK9DjufjFPQSwWoEOJ7goSFGvkZmboNnKa1wMFWYlcnHg8CgvLO +wHKt41beuphHE5gJqoRlgAPqhnI0Co7grVB0kUneI/q4h5fNjNZbXeaztTvntpg0TBBHLEwHiiD rOhKO4r6yPSIrdmjOByFewvAF9oHaIsyYWmQH0n841vB8wFhc16cCpdixhqZUJOwuQpJm5yrJu1I coH2cGmxBkqD0HQLCFTG4j2KxadRf7tZ1PeHtTmJgIe3PNgIa/AqB6ZnoarZTl6HPPuaf7Fnjy8K 1hRj8dGIqhuNLwRY9OyV9geA6PA9I27re1EFZIa+k1efekTcHJnVp7ENdYh6urWkzl+UvExXphvK 9RipwqpTkVGORl9TJ3WEBkDXAQVBUnXMBwOCyhvXiVJ5ZXvjqMPJo0nayQDqmZTK2ifqkpTKSSbx fHDhXZg9S+ljKkX0iQqD8U7QXzLAEyaqmf4apNiNdQfyV1ES3EP6phSTa+vPaBN1ZmeU2Zm0nJAT cAtB64nVTQjEcxvPIVWzXjmIDJgEb/m270YOP9P+hLoVlfpK3Xg+2nz9/c5jYwrlPZupsELO05wa JyyrdNX1UVbBydFkIXBSPWBHeJrH8ThTEZBA7mjlAphinxRqsKN4fvfCZClDYw7FikRx2349s0lt xBdFxzk9dDq7fpnd7KJFhQ2e5aVXCz4gOND7ett8FHJDV89m6ntIDmg0Gwhs8YtaRlu9M2nndodb Q5HwGtAOLED1KCTfopatLRlEjlapq1AYRkJH3TVUfOVtXIGQlYBvAYjOHU8oRNqjGjl2LtQbxElI D/4JXBr+48mt8XhUMMhvhRVAxGZ5DqkIQi7qRsqmSgeVHvoQJkrzcEUVSfOYRWiP0CoSYT13vhgJ SaaKlYUVmGwMATTPEshTRSow6UHnROH1hGmPKAigS/iiv7iKOZHzyoHhJijZXZXftvh4sJ4uvqCx YgBjmPSEm3FYzkZwz80qUEvdOqpZM92ixwKKL7b1ohL5coCmhZLjEU+BABaQJbRmggV4NYsF2vh1 +niCtmgVTarx4YuCXHhRgFtxeb5xORAeNcnQuEw8Hiyo6rceTayw6ea6kN8RxeUzEaVVH7MhdVom OXgjWS8hgQzEiHNENXIpqJ1r3gAr6QJWzlonGYguJ5B6GswtzzeBAjQ3sQkPx4V6Wviev2Qdap93 4DyBNzGklrxyxuMB0/w5oJIqobBx9BNqRJE5qnFIgecyUsK5lEzpCYugG3ljXq3kEZbIp3ouxcmd 124WzfN1jhJ6dpzjp+3ZzVtBGvjIoyFI5FprgP/hiyJSp4mVs5cA1Q7S2s/5BHseNqcaZiqskT/d 86HCAbEvnhKPEEWexHNZzt/YQvRgSfGuEx2IlBlNVVQll8ELDEQF7klEcQ2iDDZsuCaFS5DPaIGe 6QTRHGoGoKxeawWID+JrAihrl8de16V3481RwTPCT3P+D49HTZdfvARwJdLlnI8QtTIoY1tMNgU8 s+zi20ma5eh2AlZpGy+Ky1fSxusyNmnSpxhkA+1dof2MP2FCkClJw5USMYOXfb8ATbAAB77mL7Zi bvMOwh0icqsq/Y6Nx/91PpEgNYilyw7GGFFYTqz7OTTWLf1un6YT4rj0fanPvovCclnsnmNaEKiy LwQKEqVI71BMOXdGP0nGNCFSKjFiQWyUocQRnuTgjahEnildxC4EBpQIt4qaLJ6yGlAyno+WU3pP iU/oNvNtno8oKkdhA4yE5noh1GNw07hqUTnkPwyNMaKofNn5VPcz+vmUzyEPUR7ME0x32ImGCgYu GpYpr5A7Ea38mmDTESOWqPOgwRouHe6QP6Im4PHocHqHijEZXheeOUL4OO6mEwY6Z2o0d29EilH8 tpurGaNzRPBximUD5dvKHS13GaBUoLDJRsK56paoC8ClQbj5BELzAY+jWoividp3iAYW3ZcKI2nZ 4yHTYlOBqfAIo/F1BQyGqlc/HSgWm6YQslLVSNjrbjrXU8uGXjFqmfGARhSOT2lh1W39Fil5ZaZ4 5/lJngOmCcBvUzpC8WmATIqswKcJdxG+JuojkGmeuefOHZAMsAIl4cHDCQpbeD5it/ieI8qwE8F6 ++YjDsfZBL5S5H5OqWawhs8TpFg1T2E4rgRvF6bEGi1tSWefAaBS5Z8ABAaDgiYwAty3WDD0bcco c4ThOACsZ4KgQpQpg0WrZWA5UqIFIEFN+ICgavCrYtdIUr7x+Ajj8Uq4YR0u2t6ZXrFosN1hvcFB zqorIyyTZ2fflTtaKrzAEi6d+vYQxUpSXy3VViFsGve8mhhb53hI6iQIasMJFwMwrxK1h14et9M5 8Qqej8orvqZ45kMgMN8Mb4Z1ctaAoIH2YxLkOpY2b9qUvVwHYhUzvBlrGYr0OlQn5+g9PCgklsXW +dnOC4mQth7yUEQmKz+mCeQIzxCxQgevRr6UBuV3+YQXRWFBqXg8mKX2DsRA6DuAUrVZiqLxqjy4 rOxAjFWm5cEZZQoUWcikTjZLIalTjPNidITy0BEG4oKTqXRGBVBDkMsScHQoizCUAqnF82AUdPFF f/QT8gZewQZhD8HRaZIO6QWPRyfUfF9NZ2HPdAHkMwrFKVEMREzz7G7v7gfUdeEoG902KWSFhE6t okTFWxvtgNoLUcJILJTjppDe6Dn2gCqrDZgLGPE6sIdaDzMslPeh/VZ94Dyh+6Xw/9xKFY9H8/SL j3DOm41evM1TFIxnQQ9bc0W6QkCzWbtkp5UhNrF5Covk4lIXls5sdCAGau/UOkIXDwtoGAsI3pNw +NvrVlUoioOviQ6nIgT5dCC5TuOU2ubZco6NiccjDdH3XmeG0+Raz7aLgvFKZv5y0Cp0+adndpvx tPAqNy6Yobqh4gEgeO/oCM0CPO6kifMG53I3JcEJP+ZGQEnReesJ07FrhmzOpL6UjF1Gd9rGnsPY LaVXPB40O9v7ZYf68eyXLDXDCvmmU+UsvuvKlaNFXc0EtGGMZKrQM4KrsHSc6Rd3R1tN6/yGhEQT 8Tvg5ckCFawlfuCN+7PBs7Dwie6eM4rFEUMgCJd77DB0JuwIpsuGjIHHgyjzWU1YOTCw2um56iK4 CppXQMEbIRhag9eaZKXmhxNaATZNUXnc2C15G6dzt8tRhPlUJrwOOjRncprqeExZZkJSStCh4ey3 vXF0hmOeUAvXIG4w9PvmSkKmDr7mv9CZvNfOjUXIvE1TFIwP0VtKu3LsYxRH+xLlwGlCc8w2XShz WM3zrZY72jTBO7CmuYgfbwMLSpYcgPgy/cgAid4mwtYb/8mV6uoJd/uW2mBwQvoryvJ4PJim5NPE pXfulVluzjIjuMqkujh44HaEjzm8J9xcshbqKq4BPaNYfAhgkJ0h3O9q6uwWQKZZqR0cCwizn5U9 UZR9KnXTjd0y7ZUjULTQYWRyFojDshGHEKYyUj6hda54/l/wJzlznAu43UrmDKmcTUnL9LuuiqzB iWrDECtQ5zeU2AzlVVQp6Fu5cHfnuo5K9QKIuUteBfvOnM4G6q0Qp81zPiygVfTGf7UQugqZHAx0 mDKNgdFt7Hg8Avb8oppDiZycW83TiiJxqs/DKCTZKV7lgIqfuMzq+w6IA83TihArkCTjfhNcrIi0 pT7nycZ3w+GBBbWRK7Ih3KhsgnIR1ChMNkTKBStErFDhocrEjIOmCfQ7TdPZHHg8EjV6VxOD0v3E gWGzFNbFbZa8PndWkyUppJD6IV7QitAshYiVLrKG8afzw58+R3ZeiMBJND+/yJqiKJ6vmkz4f8gt M/gT6s74mqgqPt675hDiVUnlXEfyOz17o+D5YNOVX3z8s+9nnncxxeLiSWQpLzwZk5MK9dl1ME7Q 6RJZK0SsOLpAVrplS8eSASY8Ozs1IWFchRa8qr2QG5OczHOGAyvb8DVReY4VFai22SD4U09Z5TkQ H/D4v8Szp9KcCirpFauN4nBWewcVWKxMIOE+VTHtBsTvn6w+t0LgeJdcgREVOdohDmEYtSc6XTxX EZWTAdtE5o0kySMCgulWXBTvRNExMBjoqpD1AwWmZAW6AbnaKBB/cONFYqzngybe3SYqisTpAHKi h669BvGnYk5lgIW6rds5z818a/2DyZnUDNdoEwVV33M2N8ZO7QSYM+m2K9KuBR4KLOSL6pl65ai/ yUJKWiKVneO6GbUeJzmVLNDcmviE/yV+Qo+H/vY2VVE0TtHNLnAJi3RVdc0lOxtX8UsQUNVUxdoq LNJRyMFHO6EGFGXp0g7lYniQOABKriOo1qx07QITJdlXhFchsgf1qe2DauPnCpD1FqQW8XgAV1ne 4mRWBSruxN1hExXF42QVn3h/KboEs4ShOSYqjeylp4qioSYqjMeLYZ3V4uToa+ocvCcKJLjgpJ2w LCZdqheeayiRMyAyEEbWlRdqq3Q2o9YQkXp0L0pWcQchpNbw+L/UognJx4JCdcLmKayOE6wChLqV 6Ab96tgKrqXKA+/8S8l1/FaIH++GKcjtjo6oy8hiEl1zT34FojmxBfAT+MncdlRhdbAKliK+6C8w a9lDdhpdXn+SoOF3DhTpVlgef5TXRIWpZ/c9E/UnofMkEsNFaGoye04a/riGfYVUu7THw5A8iSa1 GBtotKIvHDVgDL9EAzr/cbNcUCBal1mDrPkyXws6InzlQLmWTIRUiZHmIJAAKnYS6zlXBx4PwAVX TUx1lUUS3i2PrygmR/0Nl53rP4F/7V3Os7p8poR740zFMbks0EUa0+hb7wSr8B1EIwHeI0vg19JE Uwd4otAs1+IoSkavKCjP9Ivn+WaDzij8OMNs4ysej5bUfNt6gPLl1C9QbEdB+aBRLiIEnygq7nNJ rewezAWcTAUIO6R0bu05krpttMP83KvppMDUeoA90pIpXlnUbE+wExDHRcUVWaHvKCxvYuAhF8QA GU47o4bcNs6FwccjKtDNXhRJNSiKeWtqf4/LoV8zJasyb1w+jOTS8jDdOvgMbYMX7FhhxcTsdftx vHsPAlm4DzrkWAurPvTawoFWCabDYeO3Hs0Rdggll0cu4wQN1klIRo+kWPv+HpjjB721Ot5wIE3l KwC1Qyw5FXtGSV43OL+44zBa2q6GvPnxnKg4MlctsxpJuD7lFVQdCjXD4FMNkx6lwwWidXNQ2h7i /24mPPTGwTyRdA5chw+cp4JbwJTX0sDjkWXLO0ITuCtKXdg0RZE58bdnt10Rcqkr8oRq3aVoCk1d NE0xXEVAHZ3TGh1fgFpdzwo4UasDlQUbr7DZB8kPMETuWY4rBF8UidFkIemqDzqhsBX407SN9RQB VmiYchkc4K/QhtbmKYSRTwXmt7qSKD1FPhCNys1vY7rEyv5b8LAVg/Vw9OAAhiQ7szO1ED4rGcQE nhQLpL/2VMiXv3CUwZB7l6YxOhGo/lBPDOIhPJ/y3Hg+0qe7Bzlb50CRp2tvs0PFQ7LLRi7DeVO0 PpOZhFRpqsSQfZ5CvIqhx7OCTY5WhcoUvp4Sykp7dXMva+7/+4P2gSsYoJGMrwlCqCzPjXUHwZ8q T1n+Y1p4PBKAutLaLBsgyXxmKcKrZGms1GK2waP34s4kuY7HmaT5LIVyh2Jy5mYwudYcFw09kn1m vBCIMc/V2jRLEKn5GUDpwRLoB+AAZnlNb/wX8XUWVlk4aJoQphFHAaDBa/+7RK5/F+Cn5wyPInJA MVGEsu2Ff+xOm9q0tOSmw7YTVXGHeBUdSlXymRrtDN8U/8mmTgfnRKJ9K3ldYsGiaWEqo7SCxvcE cSa75ie9ST4YmA4ASTH028DjUa3OVxP315m0CeyxTVMUjw9cYScCXLbnwA9WHIUmZzNGZ2nMczlN MVzFMHSp3NGm6VwG5WSsWdUVOHWryzngFgyywg9Nfw2ssqde+E+/pGpB+dWmO5MtG6uTPAw8HhGB fJawcFDH2PWak+0oFm9dgr79mirr0sOeS/St1p6jMR33XARVmcbkNH1t2XbYLAGpClQBSnU4yqfq vgMVQgIN9mNqnpgE71hbhZyNSekCSY9xAZ2VT0UmAiHw+P+SBCMfAEDI95zQQV9PFDZcunzMeZhX HttAqpRqEhnthBZpCoORUxiLN6e1lDtaiIn63O6DeTDimlkkgnyiBqR3wLDUhlN3LvkBbXvpgPxa la6Ql3/iB5RDNUWwrmQqDCHlzY/4V2lTtL1CgaUrXUuMTnAFUFqFmdl/BP/CkEicBOj0m3oI6tum /5/CmNzATyuvO1ry0nYZ0IiU/y22Cv3iC1JByKyAToZagtMWGZPjmyLeIsFARGvmq+jXoA0p3n1b M/P5IH15DnQVONFfeKp2tAv//mAvks8c3vYksEHWyikZYuz8Y24+WyGMXMH2aiqHc7TZWnuhcc7l RWtLdvwxTYiS0a3/gWbv1URm9QDfFKmIcCsKE0VkPylttGWoVRTXsfgBAaz1RlNZnt3jZ7b9zFZY OCfkYO7mzaq5GSgQ6OMif2clQJ9BngkpNOeUmOgcZhYkBwMJbbdzAVKh7mzGlU6uL6HtpD46UCUV qlSe78k1IUUBuhgv+BgflL1MtKYZUgF2gOejxt57xwogmNkfV5cUhegCbo1eltU5cZv7wbWyqp8N ep5u2p2i4jkt0TKM1+odfa5OSHBW06rSzwLluqsuRZwErtsT6HbPZVi74DcF/Zhld+DwQXPVJAuP WGlkPv9P2j63IcNAJOQ+W1GgzkNo0PdBUDuaCJuIlhKaDe2VtIof83H93G1fyx29DwoR+nO6U3Ib SFThqMpkBqguDkWPKJhRUtr20n+VEhLIvDZotkAu4k8E9A2fjwzN3m0EAc5lQd/nKjYMwgS1Z65O 7mWCY2fCjakHARZsCc1VFK9PnVnbgcF93pW1ILqxC4RqhkxfVZ6inHimUW4hWEMnPAu8/KZISWt8 W1kTSkolW47D56NuQ34L2UETBPrnulClUIaF1gDy0dR1yF6yYTixoRiNLqgm2zYM6+gifU7mojZa /ADSVGW3F6L3AyFXYYmKJyaFWEq+6Onz7zZ76YgfW5k40h1gZQbIiLVO+CYIGwi3fD4KHtZbSApU 9LkMnyMritxJQjwBVveqwvm2K+derl5y4w6T9WIkxlJt8+W97+hlBSB/zs/JNvtCW6M4O/38uU0+ kZfEv2RFlWLzoCUOcfNB9UtKrKuDXAufj/ago8om42adCPvtyArB5viJAc3x2WrNxcoFdbUIvpTk sxWW092pkrp2Gr2cPkCLmLTGOTFrziRco0oMaTpE3rmndLl6ZBPjiyJvHHosZUKnONhsnfRVu/Cc wXw+Spzfz3dgvWdfj1VlFMRPgYGK+3N0KGh79VMmQr/tYXNg6nnxG7UZM6bdmHScHyQtuQj1CXCL 7sLaQMwfmC984HXHad3eOdJI7JJNTj4IXoYWrHo05yLh8//yPse/DL4gWtt3qqLwHVJLtIa1QjGO ElewWaN6BRQrw6cqgrqkJIqskK0aNVWr0jJqETgFYZCZt4JWmKx1YqYAJjDtv+2v/BfWJSfKJ3PQ TKEruhS8l8rnI2Hg92oMmEvzAVPn2NczMx3s2Wt7fS9XjqI2ogkop95UZ8ihsecy3pAJcNZ+ScWQ iEiDxrlQUJ4nLZNExEC3ZrBTRoNvm6rS7J2jdpaE7ZIN5gh3rp9zJepgr4PPR92HX9jOBaHEaxaf A29PWWIB8GJlBRT40vICX+eiwlSlXatPVRS3Ty2qc8v1O2qq9kmA4MKcKFqc1jlzl6KKSZ/d89+j G+s+QqPZK0fbD4sK1EYfuKhSG5ne7bhKK5//1/Zjgz4jJ6o3ew7tPZGyI0agKxxmKg+fqWJo/cI0 frhZbODvCY0IK+7pCuTodZkGuYlKpBmOrbXUT+1UkIL9RZ7tug/30e2dI08TATzZqSnUHGT9CvZL nKpziWw+/7+4ouMSg63BnapIwNxUIurwqUpKcBp6EKqMUiWiIMbUogpD9qlDfeV9R5uqReQo2mzn UK8JTrbio50bEPr1oBCWB1ndp71zdFRxqgbZxRxU6huVGjkyzOHzkVDp+1FFNQGyvm2qQkIoIKyj LCOog89DDPqmt+6YhnkBcsHy5tDjUyD0OkVpqPOhNDRkgGkiWUYQejbQnNIIxl6DJgYhNvPW+1TE Cl0+JWNepDrNQdnN2UtpmxdF5/PRse4Re5aQGZohj39l6PPJU/HkaMsna+xlACG0VAwgdCK95j6f gdEnEueptKY/oxew2rnmlhuipr21Xcuk1i6TOTaHzSm9lW0v/ZcKQldJRggLTNb5jiGh4HbSWD7/ L4M47kG4paTxBFYhHJ3pxSRR0JhX7fKxe/GCDC8cm6tYqqUpApA04ppvrvKcqoGIfSSQpUo1qRbs 2zIopwzxH0MJ9WYvHfgIbAokDSH1hnfgAQDd4uKdkITPR8bNF5DOVAbn6NxXoyyHlp80bIM33LaV xdKEin3n8tI/nlwQtCflgrHnZ1OkzkzLRovY24msTrw45Ot1TpZMeo35XZypO3Foz/2hYE176Yjm QL3JwiJDcW/R2qXhrJW1+fw/XdNZk6l8Y/gK23SF1p9QIQEPJFebrlSnT1fJxmjHXZgNgZZD70/m KeDzlX5H24gnMqa4OSFoY54DTBC0RVYhVuIPkp6n49XspYM6A42GchLKI9HcJPFH7fI2AuWSzwfT VTzDESPpHFoo0/hchZKKW+VQXE7UNgeYzupXUBaRCFcb/bruhgago8uCkHvbRpurDa8wUhPOGl4d QoJEoU3kCFjM51dCA8qV3Zq/9J+BO/ejBp3wCJ9ZMYf5A5+PSNrrLcZCzLAeV8sc+39SsMyk3aak zotVRlt23W74DZl7VQ4MQAFh1Rwl2ZpwvLdhQX108tCaIJmx7VNodJgplgwjqlsZrf7SEQGZtIfK /nwFGUCbD94Xa4nOvzY/IArd38mQHVlEegRccmACChIlpygN15hqkltG7NC0N6Ex1YD8spUVotWt vDAlzc3Rq33IYmB4Q1L7gpucsDDkl1NkCtw/vw4TmX45tgGVBh74lxyEmEUgsiXvdtIovWnEOXrP c7AL51ueE/qACo52bnNPCVt2IMO5t8zf6oSktRuQIQdGoFdNGOi5O3oBC+TFhPwP0fs4v74ai9AG OCEp41PgfWxh0WEgx06gWQuLxo1Aqf5QZBOufW1zVcD2lR/wLwWAYqHDaNe1IodmoILNgq1o5Sua Zhs0pg5vQJed71xF4btU8FpSCKHR5goKU3uzMNo5F0U7FY7q59JGZ5JxqcpXVpMJ3UBzFiVS3KPl 3CNQKv4fY9+V5MqOLPnPtZS1QYvZ/8IG7h6B5OmXUdUf07jz7CSZBUKEcEHEClD+jc9HrJrv8hXA MrONZ1lF4Ts0npgzm4jS+XNMsuzcY81FlMAl8vJV4Ad6CSOtiVfD0ZEf6KUvoPI6Sn2Z/mKUPMfd CJLWmL6qMlgueuUA+UHKEuQRfFDUAC6b9BJOws7n/wzeMSkDZLZxWxOhIShIvZBJGNshMnt1h8io yUqIDFvxmqlY9ZwhQymp3tEW1UgQ4MqCNUAofqgmkwiqSEnGNdvbONnmKkTJMHGGkLOGLJx+BYUg yQ0l6U2j/PnbNgaIigEolc9VFLv3kqVVne5cWSm5ithhKsxle1EmMAWVSy7pR5I+l4az5grXU6HF HIqi8+wTyQRV+MhNdPSxvCy4ovEmvye4BKl8Q4yvDSrKQOE5GRo78/noqLryEtJZPNt+7/qsqyhy pxiySzGzgCW8FQtYor1XKXd2n6sYum4hg3SAON5a+4CxMzThBxrFAGswvIL0DuEEBR0j+tMAolbs nYNINLHIPiXdCb1ULauTKalzBNwJn486Xpe0lVXE+Vnjqifk0Bx0soI8CCIwirK7htP6z7s4ZZoZ do7dQbd56tlluMuDNT6pJjQUWRedgAQ385qBvDcSs3ahxoZdz6E7qALR3tIddK6jeTtFz0atPbAH hbto/mcHAgaSnpmKgnaC3gdyXS/20Qae53rr240v0+Zp1fVR7ztQtlUwm9l39GLfTB1IIgbtCZ2W XFXBYpOpU+XlwdGq2Bc4hCqGQ2JDXTMO2oIL+rwMHM7Zwef/klss+tcI1OqttscmoUJmC7WuSkM3 7RJ4AV6L9auQl0OX0C4Y8erq+63+QD8g07RIDzknyokW2pR7U6EnEs+sMq/4W+lqd4VGoTPJ5rkN sUe2SlGw2pYc1AmVeuYHBLP1T9DOUIUMqjtdIVKGPfrVjHOb0Slw+nuZV+oFfGCfrjBq38IVZaE/ OPp0QUppLSJlzml8QrUtXBF7jmcRQlKwpitFpR59YBjqDHiUQnVwndTJMH5dnOIf2MHxA6J96Amh YvwTNQDt5pMVhu2EFS22EuTTW5vTlkHrvyySZRIdOXAMvVWsTbKXjZ7ipA7MdyUI6+zB84sIiFhZ YoARfSUxyQSpUC3gN/0CWYPpDsszlHXm+T5nWsIVnTtx8gP+IuVS75ouiJealAPf0HNW7qaV1S17 XtI9RXl0VBPdP39TrV7ECo1DpfuCSep3tKvwLBuI6RIpU4D+380IlCgKEXiZ10NOAiZLLx1tRNaS Ee/boJLfAgqSa6yjPBp4hyJJuuEoFv+GhigkS32yosh9dJWwkuvpwiJHkwXGa3N4H/Q8bBtGUJlm DOYmeQWOXvE76QAc5lhqWITNiFBSidqcgGLW/UW86fbSv3He6OyOAbeFPOqhBc2WxUhcWSG8Pfk2 5D8+4e3sT0AaWIgaB2x0jxYyoIrtovvMTfRch7l3n6wYKiPzhlXaHT0jTKgCAITDLIcexzziIXIA Auomd+zWsPaylw62Idv0qQvD3T0k3aAzWEi6Op8PduEN3oUWAQCuz+eAj7EyQn9MM+kbg1ZSLGJV xkLQhms173vAh9G7IvJd+zP6NpwdvsDNgKNllymtQWglg3dypmU87iBblffQSLQvAZJ1HzbDA5w9 PLqaqpBv4PNBSHotfMmjR9iyH/XvHFqJVjqrsQ+lyQLJ1GpYi0q0DLRWX9XPrDh8bwqepBzEUZN1 9kaqCSxm+i+nleTEfu5e/FYdy7auB++ehe4LzESTVlaGwiwHSH3zpDr7nZB6VPz25AcEwcP4h2VS Ket1y+6hn6hBxhapgvzPy1mCUl3yvLBfXFFgKGpRSEaJPd3RECAdLciJOjxkp8/PsLv0iBA6giSH hP86h5Vlrxxk0DzXM7UsMVypoJM55QfWEBiKyoD7S7W5s6W6nqmKq+6sjZJ9rHpfLV5uaORgm9M4 DTG6PiqqJE9rPdc7XlzROREauO9Q1DuXlzBilVLYcwO2i7KwpYVDQVZgKaoAnOa07OdQRdLgj1jL 22pB/IDgLmze/uLxRu3oyxjMoasotaPGGA/Ji1121ftosSi4DEHGmquYgmrcyjTu6OWGAbR2oebn kjmWqw9OKEzjxW+5j00Gfs8vMEgobfJsR8nLvJ52RbGMF2FZ/IA/SRTVDndo/t25iqJ3xMso+I1b G23mXFApTm0pNH0mbAvG1qJypZtfo+Zq7gIhgUre4ITuksH/0GxFCelcQuMqNSLk0Sv/RmJCfO6D UuhzPA+J42SAsEJr0S95eZ5yA4zhdCOswFwUjgWoYnW6l4pAkV1yiNh0xe7nksqmX5V/cRcVCjKb F0a+FT9wBM9Jcn53cuWhjnYN2usPLPvOB+YLmAHgVV8UIRtY6QMqygZOUDnH4ZjCjLbK5yOR+W/A TCGytj2nVVhxT2zfNPK8hv5zO9dkG/sLKs6XmRMYjD7MnKTQgaOnOR0LF9Qq5IRQBJjqeyUgJ5Gw nBS6P8pDSnNCi1HGCpliqxBKpV7Tj1RiEi00UERs/ICgkpyuODhzIuAgH9x24DKaxbOHM7hrNJWb 5pxMN7u9Q5suE5MDm1GkOUJ/jJHv6An0iajHLKz4FXRWpftcMiHzUB4AeDFd8d3pLx3JWU11ndWD PkHvUEoIzxB+ZUa5IXQafepY3G+ZV3xCv9SnK5ZvxHTRMFXTBU8GS6Fbtoj+5Hc9e3kmsBuFkoR1 vuTZw9HBkHXP83cNgrFOer/TtJsQNin09GPH3WZrZ3vnX5qEhapFNujI2ug/6k7sm89HPYrxFV9R JBlifZ5Cf14DrAwuOTbfCYa27cOZXU+9VoY6XFqZBwjm6jW8yokel9JL7Xf08uimzWimMhdc+5bd g4L2nIsPCurOjqNp9GtwlaF7ivlp9NbWoGlK5JrztFp4+mWS8qPBmxVaQddgbRaw+ONEUyTxIZke M25Y1bObRnmc/8gPtngqGExRV0ciWxcnf3VxwKIa9HZAg34m9PDYGpRDCs2NQPiTHQbsNvE1b3OE Fs76EX7IB53oqLGqznnOyM9rRJWNrMAZYUBwbtIJ6ISm6DWeyuDQ0eSBvX/uuNa6C6auOX0Vre2i 16/RFFaRNJxb2/2OFiGAaQeON/VST8RITBhbgrwJzycj47PAU9aQr7FUBmOey2dRLbUtV0uFyd1U 3fgkNZ/XQArzu9PXDFX64axlM/QaRWERjeWa6QZl93Mbicz2kjG8QjVDrzEUp3oZLLve0fYZ5vfc bMQcQ5jw7CwhaeHJNSttTZbPEDY+vuV9hjLFrivI6hiABJFOahq0xDhfsc7p/RpA4a/t26ZoWagJ hzObotfoCQ8B63R+SFOpgk7xhRv3MR97nuW+vtE+M/x+Ea2So91xcAzr0MI9+6yD1JoZZqIjccaG SWmdNQS1tSga9xo64TBiX5nQQhsMMpRXpgY5O/CvgROeLtPmiA8SEjpIAqexYjBJjc7DQ+hrNrTK bJ65CHMsEY9utKTXqClLx40BQNp39NbfBFoazaY+AKRdiwXkUoAQReyJPLI4aK8k2bAGk4RGwwmW JuU/OKjMAp1jtrPOCi6f14AJa6J+n0boIKzRfI5ewyUc85XlqO6m7OcKXMawQR/bFKsQNls56jVY yuaUcLZCFhS75AeK3dm6kpXKSedOhKFaTII8rhDxfae72ZjcvUZK2GxcR/D9xgAHXV5PVO7d2mzn V/m8Bko4zZ5bDRFoBm8r+WZ7jZEyRGRZLqeUKeco5ewishPMeJPanS4i+xoh8UY2HJVsVTk6Qi+P jXoaOzLoH3dGSECwI6BEUbOxzG3RN3nxrwFS9pwOR6gPAnFACKNLIm/nz2t4xNXuBxI7XUgZZiNZ C5P0WtfEXch7l9gTO5F6vwiObp1SKDA51uW1qmknBU4iNQ40+iSdKxZfwUk6h9uesnKAIw3UFtAy mcUNahEN8oWDSYJsB/RDt4YpGBSsamkT+wM57c9rRTNbKeNZSBN4hOkX22s5M1uujGDYDyQpYvFA GhdmBvCkddhfi5n49iHBQdoj2ngBeefWIaMJhqsVrl5yfaTFOwlJjcxiA+QlvXC028iOHCTfZmJV eWoDeyEfh7Ly57WO+c/Nllj1FUNTzmpkG0YRUlJdvG2vooyS/dwu857baOsZQTKaJ138aHLf0bFA cMo5v/pWBoeQT+kuWxAVJGlsmCsFs+yVox3H8klmgYCDSr4lbfGxKQ73zvnDlpvZYwBKWiGSRDfH JiqKtnktDnSQbM8NSZ2htz55uLBZnImCEekomihXpzKWZO43UupQXe9YPagLwDaH1TucwUAg4DUb +CfWK57UZXzn/GFFMcVNXfZqLhpWJ04k9kza2OvzTvnD+b2/g6UFLzvUnW2e3kNuiHU0gjSmyzLm YS5hcBGtwzEIEIsxdFkwT2bhUNrX6JlbXu0EJX1IHa7xFJFHAfE8G1Sa4mkJvUs/73w/XjlLnZbq g6Ypzd2HbIs2Hw/ipXvNqeZCeIyf4O9svyyfDejIm0P9+c9dfTlBd9CWU5+7OwAoOMONQLu782jS lbc+gRCoDeymn7lHJ8axUv1n41QqSBld5TNVvXGQvhGnwYK0DYb/QThsDEl0WqIDavohzu4oDbhn v8vpPfjG6Uug/ix+jJvpHGKm3oZpMkJvuXiVN5onYRXnFF6Ko/VZAPMpGbU38EfRakmOP6snaJFq VbmNlqnKZXg8KTMm5515OKtKtcGOhQc5KC2fd6Yf74FhE4WzLCMRMB8sTlQUgIOACCLLVWWsqTj2 Z9S+fUHV7L3O9wgcmZxyXFFiNHqu24DxTBAuRTe9EYhJFT30r9GrJ1/WznHyez/vPD9snESfsDzv IJTU+cKWZcpeyued5petUqsFxXlCtlPHLZqEQTirJqn220DP7o6Jpv90tMEqjjaIonDX8nJi8qoX JgxpvN1xgJ55wukt+1p0WM7ioN87LevlcpwlnxCF4Y00meIco+XU7YRuqiLMvD/vFL8sk+QnegKz aK7tqco7wY91AbKRd/XKiSE4AcoYJKmzcpJmMQ+Vd35fdiQZZPef0acJcGwW2DuQijuZ6lemUC4E uvK8+lSFqJDPO7sPy5bC1gOAPQ7GsO0opEwJgHc+HgSZc3wd4x2Y2/aET++ROFYTo8yRk5d02dUh 0CcvM1Oh8pkLCEWh+JCvE0NTH23XQdYTWFD2oJj6GDcEzDiGnxADf0zYu974fZoQKEJElcCV7s4a ICIDSs0Za/nzzutD9LTn12rKADH3+Vx3UTQuN4dGerWZPhqHCG6ryYSWzp+2rZz7TurL3oBCW3fe 0eZpAfdSK4/xCXCVUpYKvz6oIuLBfkU+pySponBc87Q6JWM5MITeQFELs0IYRhSPC4XqwVOHn3BK Pk3vbD5u9SU1CbMLQ3CTLPtd/XFbhd+U6pVhND7cByvd0aKCjZ5KG6ZWskGO4nJKBJpTNbxTvVKl JqBtP+9UPgvSwDzOyQcuJ3hKZuoHnbg8f96ZfNkwSHc54Uw8qZ3H4u9EvmxuR6BydZ+mNYoXLcd1 gmYdnkHBO4+P61KctJ3HHS25G4jGOxTXIDfYIXC9BHPF0YLgg2j8ezqxbvlO48vu+TgavQw79Ksa bb5gZVOoPwbKymyfdyIf77t/yykVyjJ3qqIKOE4DwAhul9ywmsS4jtS9S47oSCvqPRxHAsRwIFHQ 20aPM+s+aWtZnKrUgVMitq4AFJNhqP1D+dSLNC965SiAYmeu8r7L8PdkIRJs2k2ZE/Td1+edxGe9 mGeicMIh+/SJCgPySf5QSY5v7deE/ezBXS1+2teW553Bx7hGbZQmf0yOTh9KJ6kDhgplXtT5KqGw RULhFbIOLLe5/gZFXd4JfNldnmZmxWBml+uqqPrJe+YcgJ93/l5+fESt9oQiLVynfKKiiHzRODuL uM7CyvDik/rXluARIsXNF9bDRUXL8ubV6KXedSaDpgkoY577b3gZEwfNgqoFIeaq9MoN6529lx0y DY8oDgwBKKubNzOtH3QCP+/cvfyg8c0AAth+AHttmqJ4HNcQuI3d5ZT2slgKsKdt8BSqwds0RRVx tedQvh939LbBWWsQXEhE04E9PaW6T1NNokQhVPWc5UuvHERQKolvUf+3UY1bTjPJWrnuuT7vxL38 6G5o3wH2P/PyBO+dt8cDKtOSIBWfp1btLK9NJTvsu7GcDvpO2/PSMXx7v0bbd+iSNmwMagrCm0CF uomgKoNU+0NJfvfIpOPqO28PO8BU99MdVIA6y3IYMGftzzttj63tfw7yswLnLnc9hQF5odhbKZ7f rWRB51kB2++81tFUYqT5TtrLpkufG528fLQIKqMpXrfqKg2t5WT63+f/JOUzkBY9vytDbxxNE0F0 hdxZMgGHQaTRb+EaOQfV552y58XppyuOWkyXuC4nKiyOU06+rOSsjpqaW80oASeOta5uxfF3xh7+ gGSaXIIbcnyavif/lOJbanCySoK6Ako26dGq9VSEC2t64yDUlO5+6dMHnePwuxCDbGe+ZlRZ8cyF BU38ZGNOT4Tf6Xo8oKbKvT5PBEia0UzNbv6YqajOeXoPybOH5LMrQpj9WvLAimyvBN1ntH47POrk A4XC5mCZhZgwk9kg2vedrMcFpSCTPWAOAhCcLLQ6Mnp83rl6CFVH9YIBA1NkLahqWoM8jMmZuiQ1 WxBsDlmIEkNQu8fkbFSqQx5XyCXLlWSWydHnqWFpboEIBsSVp6R3EXmcfB2gHSpUS8EM+eznnaln jTfjk9qgFO+87GxynNnl807U89d8znGYO5ULRnnn6XERE9xEX2Xxg0S6BmCnT7fjaRPcOU3T7/Xx k60TEqbRpglCjnt3GkKTQbvliFEhuTIozotqFWJGQgmK3jiaJjKpGvsuHKxzB4lmIQwnH4+O8fqV 4aHm3In31TRF8XjjMd72FeQasuijpHyr3m9JsEvgrntn6PF0alpFPd/RTqcxybubNLsYcI0zUYmM NS+s0iUz0uny807Pw67hKhqNJpkcVKUDpYFkMcRfn3dyXpZXxhM8gaMLeIPPUhSMs6YNEaBis5Sy VTBhnbJNNrBAdEE46HdiHheTqr2FHk8areo71kkgqWYMyPhZPUOB1kIBdDLdKqSaLeFVk944SFq6 iEBi50FOjeSw2hLEfPCfJ4gqn3dWHusq34nwWc8/aIL7PEWxOLUAzwpavpoapbPZCO4qHRRZ8VgX 4Z2Th99JMfimTpeNtukaOMVnV3DTLXi+WwkGxo0UW5Gpmvx7UY75vBPyskgEqyA280FHE1iRMpQ7 F9LnnY7HUHx9H01ikXgt852NZ4EIOFPNUfW8eLTnKm2oiapXN5KzFJXGk6oqPVPSRqMXn0D7WpOK ufNkKKhj/9DGAXuO5AaIODqmgBnLOxUP65+5LxVsbFBVBd6LPKfa2RufdyYelkV2JBh52SiK4/e1 aYoicYhrUaBz2Qk+CFBihJlVa6FtdnZ4yjsNL99W8JtUJ7jDJ3ClMe0GCHqz21BYtskAZpyMvV1m 2SSi8J2FxxQWEcGEYJ4N1rqDFh4Pp4Wf8z0Sx8K4NV/2pCB/fY4an6goFOfd3NeaHhEsie2zSkee o6p00GhmKP5OwctXP6OK3YqRKQFbwQPp/5LrYwEfT3YEG2LLMsgru3xVM5NeOcLNEQtW2HJJoqdy RZVNii1wc5kvGlx244LCUCqAf/C6Vd93/h0uO8TBfYnDgq+s+4biWRAf4FTOW3lMEFbHJVVdE5ud Gm9NJaG7OVXOPLHmZtxwbnFc0JVCMwAyOo632CtHBxSrTlKvyRAFYxZZ0YIrNEBBgebzzr7D1rv1 cbwgOFcTtWObqCgWJwoTNFvfep1rmTFmcboUBEmn4VTfqXd4f6r+AU+47uhJMATDYKGHbvAJAecS jr4h9AEOAbCndcVfJXLwzrxjIEN9kUTxGg4X99SbgOE7f955d3jPGz0RcdELPNX2hWJGwThApOC5 dmd0dtV4JQVDyfNEkdyJrQcsZhSMDxeB+D++RWCgY6V2WvQh5uxbvGqU8YFsqT8MOO2MopnV5513 R+AyIQlCGaC5wn5lQwe6sSoCfb3PO+0OO7enrzAzowq76z2j3ll3rNYyMpBBA81mKpEEmXnAIisR uF4Ye1WsqHfSHXeEVhK9Lm10uAqE+hc6rwDQtz3l3nOiJqkownG6UnhS4GeqbL2T7rLhevIEXp5D MwAUGgksq/Qz9Z93yl02JUStKF2W59bbF2/4TrnDOp50rRelQAro21YU/OOTGTVQrJpGDe+MOzZv TD9RZQOOVqcDfRNndOcZhTU7pQFBQgx6ouwsPfld1ytHuB6SXlG/5aBOJ6wk9iiCZeb6eSfcWd/9 6yxHLxhu5TZP7yG5LBTRLDfDbDQMhkvcoXX4I0kDwr546b2z7bzngx3n9vUPUhx+xCfrytx5EGY1 KvUkZJrmPGU+0cEgJ+OdbkeQLhBiTYo17SrWLBCzhYM+n/t5Z9vlfLUfrIV3Ln2U732ewvK4hK3S taVdogMTsAIMreXBuBt5lL9T7RgcKMFrKj9xvB3hhVMWmmNU/SiGwxhgjoEp/gO5eM+DVVZ5Z9ox D+5fqoleXYaVZCONEgyu9nkn2rELcct0BKzg/79S8RzvnWnHO4+wAoL9FEUVUxMG8tDsjwFYqYb0 fSfa4Q208XoRYIWjTdSJlmDaVhhuJtywEjsYsMecuOxzISdRdTokPZ93ml12Q8M6GG1ysIk6281a VHt83kl23EHfMFawpxDP+zSFeBUcQQiVq/c6MyXaEGxu+uAp2ETbVNMURuUCYsypg5yjp8LwxqT4 JpbTidOkwwnx2oIgZpvLsQXlWk9RebxTBbep3cJBZToQjbs0qVv9vPPreLp9l8dPCAzqtIea79w6 PJWod/S4QWfmZgw1K3m5bLdAPs2mKY7JuYrW1DHO0aZp7gp3pi5RyQrJKCY4GUV8GsjQqs9gYs1e OKircHow2T5YJtx7KmKMzfl559URJeaLiTEpSMD74sZbGJCzNj672z7ie03ODvQKqxe0vt32sYXI ce4jOBmNO9osYY/NhI4zLWkXNWgoXQODBnBZEX84DRiXNb4miAmyhNlo+8hB9XDo3kjK58RkeDyI MoeXMvWPWaTL92gKw3HSxuAH5wUDGa+zxUm9T2HHESKL8ROVxokaxpGkKJPj7bVsGKA0Ove2geIc WwgTBYPOJhbutwvO3HrjgM3CXtRMFFrhoNU0qominrx94PEAW3CrdHg9dP5m7x469TgYZ5WO6hEy Ee1zOg2huWMF2H1Wy+zvwTiqhDySVh7PaNWnDboolQRYVzk5groMRGKfwAXNjuzJ3dj2wr+AMApK TT5oNZWehrSU2+bjESD6m/SDRtjo3Wt0PYrEhykpd19MEqzkLJU+by2zuKt4j8AqChobKN53tLi4 QjYyQTbyvBG6UaYGSFBvZ4kYNQYvFuBI+/SoMF55JjEus4HTdK7SZvJ1tc5PjwLxh0Am9bYTl5/g 5c5TGIhTvA5it15+6m5TD8W5W366iMMeVcbtojt3cr+jBZgweSxyihm5NWg0KWNZKhagqlIfrR7R NXsUiMsRcyOnwwB3QhKkdq9psy08To6H5wPG5oOJJpUc/cQpAyJOVUjaLEx9BbaQngOxBYvHeN2G plurmUhBj2Lx6RCMte7o5QJI8lX0n6Au1tam/V8+mRB+2YaotqGx59oXzIJ7FIsX8qNm5603O+F9 KI9TPpDx9UmS8HjEbf0G+4IC0Xe+B1TE3ITMC+pPyUnkO5lCFkCshh47ud2epk/QY6iKeuVLIq4c vf5UYIUCsTU4i6PlKdWj2jZ0WytvXOdJsaLEN/61Z57VMwf+RWoqJ8NaUrs5F8XE89GFV7+PKHS4 8uUA9zgUB+muSRRE8zRc9AL0bM0TqPJmVtgjrMrUlutZrtAc7b4751Xb7MVDdWZWcss4T6AWyYGN oGg7o6q9chAYsPyU5CSQ0InqgoznE4wx5zi53cbz0dZrX8kd+1L7koB6WCLHq46JsB2llNwnPdFR VUG5t8lB4KzqYeWnHgfjJg4i29CVnmJBJQUUygdwMUvot1Aii7pSmdFhBXPiipFOvXJwmCee4pQu RBVj/kj0/5wO5+15XgGCiA8IjvPxD1wFEQaaejZT7/E4mu1b9DtcauSVFXz1uepgetKJ8kUI1c4d xvpTj+LxLR/2ZKZWHK1Ql+k1AxQ9quW5m5OhmlVA92wIBaTreUIl0h7VyKto9/+VtzQW/uQ7dLJ6 PB6VC+rXPAE1AKs7n6cIQC5+Syp7WPmp86ij9WWn3Y2iA6xQbb0IrWKdzmySIJmovPOuIOCjFNcR gXT0dM75S0pLadSiBoyBQI8HQX6mC18Uwemqzqjug5ouJ5sdxLSeHc3Hg/W0vivktPec6Qmjwpi8 UYmbPX3Wn3ay4hxIX102qw0yt9u2XlQitzM8DzXQOT7Q6HONy4+igntAX2HceaOYwwLYQl7QZFKO L4oSYe65JiOr5kZW56AhyBNzViYejzjmXn+SDje60ugHGck8isqXMmHqK0kEeLrKTKkuJ9YqBU0Y b46oRD4lIdZZWbXRcjxY2550LrGushNMT3jpDZOn7T/k0hjBhRpE+J6oOcVu8JaGyr7ehBDe52Y/ 35fxeBCWXxwrYT0wDCiXpziisJzmHoM9EMVQi+bJiqGqyT/BPWtZuDnCArmmqaxW7+jrCZ61tW0z Zcoti6SXWThIlCabqV6tNWCx8EW/8fFbFrMsQaZQ221DLEpKyZiosEK+29eCUhnr1ulGiCAnQ7i3 4RO1EaZqPbVcfaISEDCaqKhAnlXI3EsZ3CYO/PxowHWnmoAdwHo6p0gC9IaxO+R6OopA8HdwXwVI D+JrAhgre52wA9Vg/o3tXPmJ9x2q8Xg84kvdMh31wcEs7OXi6UYUlNNN+CR3l6mYymV0nl/ObRUG iZDcd1FQvmTVMdJwhM+yeYKAAlR2VDQAz1xIBIj0njmh13W/+MyFqhC+Juq3LEUG3QeDHWYrZzZo HI6wPH4lMPh24kp5BWpEITmxgucPMNE5MFyMiXdOpMvnLO36Co0oJJ/Swmy8L22006nBxQTKDmwj oPFEziegXUD2IBvaKzk9mFUlfM9vp9PYhBoMtkG26pnQ/yR8PJeM5/8X/h1TmHzpCCMKySnmM7pw mOwID2cC1TSNHwQzhWSEqREKqiguqLk84+XfpUFjFtI5zxoqckrrtE6iAerVcSeelV8T7DoJYKx0 B2u2QOmJMfa59fB4hOypX2cTE+E17yEegseF7CnNQYdtmvdgPRGVuZogES4mbDgi8HgRkLBLvEmj JS6gSJ0cUpCVc77PSU1ymEacNY/ZwZs6dByHP74mat3hjtuKnbY8ZHE2QRdHIqxIg0cYi6/v1h1I 732Nu5ai2jj7++Ac3RaCZFfZQiCeyFoITnkdUSw+L/LLIwJybzFLoOQDiL7ZaUEJTwIsC0nsOL/R D9IynyUcyviaIHAi/Kl3mr2cwzoZWqXSw4TAijPg+YjZ4j1zgQsADn2kZ0Yci1PJlwVTpcG12k2X Z2+O9O10TeQ8xbE4zyaov93Rsjug38sAOxy6KieI1TyVbiZWoKHM60KfN0PMEcbipJUtZAdnQB85 J2Ute87FwPZcCAsfEBQMytM114M0iLtSRmE4LkLnuqLtsFS0kgF0hxQVoPNijmcjLJFndQf21+gU vDUbtfMZjp//V9ltQD6mvgv2wLxyGFsHecjorMJAkdFJDBSx8eD4cSujhZILng+m6hEO4b9dP1te AJioGRbJKfqEnoryFvDvpLB9LtraXLCd4CImeDNWMrQ+sLQfOdqFd45uSNImWoUvEIJkpgojQthn oUz5YOpIEJ4hXoWix5hrHyxVqZmOWmB0VjwezNKNxicX39l1u3rwNKNovLJrnhopLURhUJKIaXDm rQSl9jLB9tUshYxO4XraECufox3k5RzrG6o2DAvOit3yVkhEkRfyTcBp8TQYwSK+KNp6Miyh4ki6 3vMwQ97ywzn3KB7/64xSFHyihJmuFt2MovEk/f/VPLuj4IKVNOuV8t3LFQ1nzOc08TBB6uR4YGnL XGfn0hBuwJukJpb1zs9PHW30rApYcY7roc7DjKvkaLekKjWaej2KQcye8rdZFY9H0MP0decBFLEf BPmMovG0t0q/zlIs0w4l8F6L08pg12nzFJbIhfltRZFBK09kgFIBbbrYwitnI8qXtyOCR8HzB82T 6yG79MLBLDXREGSb1x5Qz0koiuTZy8TjkYTo9yxlJIcUULBpisLxSh+qtRzze66DnT3MTBfzy6NF 0xSF48OWEQ10bfSmSzr/k1piFgweFSu+IJyfP2yDClEoO28dYVp2zZDMSSICYmAfDBp9AlRWI/E7 4PGg09nGVzQOFZoJoqpNU1wgl0TPcFW6IrtrxJlTTpaIMweqyrztZoRVMVn2ZgXy9lUgn2h+AcxD xG+HtJXEVaABtCCyDAFBj6Bo7jmjaLwyW8lIdWxINjWswwL6NAYejyjUl41Asy4IA6TLUZwRVoWM nXNI9Ctf0LIfTkvGEtQZB+dM0xSVx5dI5tXNzfoV6zlTDAgd+TYokIJYaFZdkDZJwFoTc2hAe1Ko ZxSPJ2KfaKzBIZl2KugT7AIgZcTjf9UKGHvjZtm3Nj5DKqf65v2WnoxcRrRv7t6WWvBi1KaL4nHT WqPqj4/ellpo4vSqHHjCo5AVOjrTnmxmoecynibC1hsH3Tv5IYC8Z4MqdAVWMaxBnW/D4xFEzIPM LvICRKBu5WlGaBV0epFGuPAqRMBdL7O3ZUxzNBF8nqJ4fAhfAAzSHe2ugwHCmROygFApqFnaBUti 0CjcEKXp/Bbi7GcUjzcCME7ArxIdjgHp0wKJQeWecyhXPP8X+IlNUQjy93YFMWbI5WwCsc7Lee0u /lR7vlzO0e5MhfIqxpeiYIuNFmRWyH52SHyAL9VWxz6nji1iF9qYzPkQgVbRG0fRE2YIIgs+OJau S+v/ZDAdjwdR5sVmMtLKAIklP59WFIsn2TQ/EIO6bj+4Og8PWlbZik8rAqwM23i7jDt6uQDloILI Hn8KTPHU6huAGA/I3jWK9axHuWCFgBUqPMAW2wfN0txTcVQ7IQwe/0vTiKWns9XnukjfFQJWqjot 5LRwllr1WTqJxZ2l4sDMFQNWLCZY7Y627c4CAiZas3QSzm1FFWr2oMj9g4jGwU+o5OBrgqJKUdOc OF+6fw5VVUDiI+fiXPEFzweb7ubA7Bvn/S1csKJInBj3MZJr9YxBz2vhV5crZ7cs6afET/qVLtVM 1pd+ijCcQ70XylGTgmvgA+bUVKFbqBOwKn3+T+UJndZPw/dEJTpstt4k5NeSg+k6+H08mM9BiMf/ khhlPR34vn2xBSumcuZ/FbJ6z+4bmIQXY6GANsmcphA33nV4T8nVc3SsCvCH576jgzUcF6uMYxGR n6uGDraPni+hdCsui2N6wOXjgDYDi095n1xSkfiAWG0UiT9Hk5gSzJrnI3W4Qp1xnBOoMKrOCyaC pcOQEjPdesDpvKSyQjJnNYthgTQ5emp3wvATAm7Syhpo9AwyoX6BRcgi8VrtQfVMvXJQqJtK7TYJ CWBuNAlgwGad+hTsb018QhRBfZPN0ehZ44qrrCgeJ7cSPnUm4gfFmGTVp074B0X8EsWDOFWhuIr5 2SSzV0yPvSJ8klffElfpqJQuqRo1qdYC67TYvKNjYKIm+4oAK4vlgqxIioPq43tRrJfBOd/zLwBU YX0cTc5bH1+/K46fbNKWFFKxYfNEkS8VnzrQ8JqnMCBX9yBbNszRIyjo63UQQ2Bpg/7WUrWA2vUZ xZUCgLShMLKuvN/FVcoewiCOZnnwSY/EZTu/dMPjfwmKVUeKUS7IJioKycn5OddVHValQ3XIusG1 EQy1AYyu3dgtK4SPS9UXfop39FMKaqyjZNKlJikcnChYIvxk3nLEazpcBXkWviiKoLY41Oyas0HJ BVVMHwIqWRWPv288NhntmGI+WM/uq1d5PESQd7m4rOKRJtUrXV2leF2FvWZKj8c1cuF6hg6pNO4h BeGZCT4qaUA4tOikCBdYBHCidObLfS3sZuCLAuFaBuOZ0msa+Aenk7wk5cJt8D0DeME/1FdqUp3M 6aKjV1ghn/InK9n7wd3NmLEPXeGXXoiaqTAm7zqjzFaRo4dR7UQHRMF1+Nmfu6GpQj6JmkJPgU7N HkhRMHqFEuRVsEOBENPwMwpRzNIlOCseDwKE7Wc5u8fojiT8+ZqoHUXlg5L/QxxqUTeaMaYgCepK WRstZkYIO+R0CiKWNWEabaJg1VrEmEJfvYCezRoUjr7ze6ZkFBeVV2SGvqO4XJSylBmeJ0AMJKty AjBRMkadfDxiAvmSYpyKgKPdM2q/x+WuXHsiP2921up21SdZqt4SXkivpWj/u+HPiXBUEuDoWw9c vqKyAZL6NsxsC6dRrkTT7b7vpUdvhB0iyWcSO7j7oF5ChjWVjp2TDe/3wFyS019nFFE956fxiQoj 81wldGhcoJlKtbpBaVIjb2JTm7LRjiNz1Q2SoD0cb1e4AQ0/yChBCJRE3wAN82eyGgqV7WsnPPTG UbmOxd9NrCYHUab2AMLxhwDggceD+sqFHvKIgrFFuz2XHTI62TzPydn5o7nZcgU4JztgZTgxYYeA lawseKR5R4cYrDPhFSkli5qrGKJub6LwQKkr8LvyoxxlD3xRJEbDqKBTxoCDDqiZhAH4gUYXHg+K v+UbUQf6ygRh0uYpRpGzuNLdbQPnxzA2EMCTPk+EvHKeYkbn00L4t5UwAPHcxGOiapDhJyFGJ36I gRJYe0rki95pOxZZIWmqS5KmQydKAAz4q3OagAnE838JHqp9DhR5utJGO1Q8nEtUKSeXjWKFFhA4 urOmKrmqnKcQsjKEtt993NG23TpJHRQGNjudZQ4pQJ0ll6haCEfMK4qxkDTha37zSUJy6oMAUA0N QQZTJ3zE41E+7CE5QdSoke9Lc9kRZCWREABk949bvFrXDhavVwAqV/eR2KHeoWhAVbwxjd5xAWEg g690VtOk9KTyYayqQcw69KWhPsokr+mNI9orlZCFp1uuBl/poEOGD1hGePyvGrn+LfBPt8+5Q42V xi7wqKYABVjGtbiZ1aVDGmUR0RDeYY18Z8OHtTt6xwUYePpvUJ3uXIOiUZ8lVH8gcC+Ba1MZZVSC 74n8ESQnxpiAg6ap01OAAVHi40HiMu7ZxAgV/zuRY9pEhUXyTDB0SXeissXmmCjyzelEjaKjJuoP AHmzYnkb2ZsJE1ZrtKCm3wYOA4WkE/uk06/uqUJBAoVfE5xOrBmAs+SD7rpzO8wiunkdeDwor6SL 7WE5/fwou1483Y6icUhqAyk29z2cimtA5a9tN5uZuO0IrwKvQmCfWsl3tGkaNZ3PWmKbQy4kGwaK inVnBYkfLGtzIszwNUGIycMJIb0PguecrN86eOfww+N/ivhhNSF5AkLIKysC+7x+7+ZE7b48E27Z bOBrIQ+CmTBNe4jEyCnUOxTvd5ndH0cLM+FoB8s+OietAcSTnJOgH4iIFEEtTt655Ai07aUD+qsm S+4v9DStSxCM849TUVS00+ZHRHDW/L2u0KJEQnCNElJULp/0oW4U/vlPYkSFsJrEhC5pexATNm5l WQCkOC63VFhsYSOlKn/B+UQDYsDG6L8qqgsLWEUq2dcrIVP7lt8UYTIospKIG+Og/vBkyQL/uWbm 80EG80ScJCecWOys7WvKRdPw9wcJ5hvleuAm8kvlr5wMMH3eA3BLm60QTi6d31RFwufoafGJ+LEM aDgFbLqnxThVIQ11dh20sFwXmQUEfFOEHCNSum8Jj9alPua5F6q1icfJ/PgBQUSVv3GbgNLP9vi7 pqh2LnOuE+W4LNSqaTkg0cWiGiFH5pqQIlHyabaKRWY2HG2yzhU3UJEA7gBE3Z22nN5ArKYGBGh1 46Z88k1IUYwu8ObJt6cPgvssYKeZywB6gOcjANltWuFB9NMnLkefrChMp832GBQlZq3zfF/yg2tv zVsj+sRXVlQ/Hy7rIIh5Vq6qfbjhfZRkqQTw76g6XVEZRMkBVxmArspnMlmxBGm/fxPboJQDskGT dS7fphPsnCd8PshoLgg/cxuyoIgkymcrrKB3qa90B9zNhRtSQlpyg91gMtITXMd8rE+u+LOZmF17 AFIQwTg3RZbk2EKoy9najacWkpFM3SNKZpSUtr10BE2UySKhUqpfc7agg7LknDz0fOAhXC5ISolN /dkrP3diWEYnMLhLR1q4sjKcpMdCEjWi4ITlkxUF7bIkabmI1cjRllZnamMVhbVOsL4N7YpAtNJE Hdx6O+LBf9Y3/WpMSd82DVZ7mTUZnLpmPh8xZPc/S+sc9DtdEHVOYeROQfcExLfuw8S7XVBO6B2I 0bhLufdhFLqPJJ+b/2ttBrMXuEtA4nZAIowNIDopLAbBkHnJF0ZdSMXhN0X0dFL46S6Q5T3LGjEI d4Q79fMQn/8LpMgjDuQ7KOrcyQqjd9ZgxtpeTp9jOnAD/XIrVY3sSiM5RYosxQTt1nhGh0yBLYbw TWy93a2SXFGWWjpq10VuLNlRpViunB2aRep1ccgRJJWKcBwNfGI8H+zCeomy/D05ByX1Z2nFMom0 WMrDQZ2Nspfy6Ggu7S5SjM1WVFMf2odprme80ITZIM3GUAvOE8v8cUBPg7QGSNjpcvZIKsYX/WaQ U3etPmi2TmqwRrP/5PNR+vxtuITbZsLA16Yq9PVkkxzmSDZV5/RyW4VzSVzpzU6YtOwqQ6xLM3kt 3YocPeHJPW8sT2ofFBQrOVUD7iAQnOQHXouc1u2dI6o6q6Ao1tpgPNATLpK0cSLiyef/MkDnHqTD 4O1o5dDZU8IjqZvkGKAvtXipIRcn7oHt5VMVAV6SAvfRZU41+jWnOssG/P1NrPA+MWk3OD8B0R05 I1kMJgBI1GKOnT3Fve6iOHYvyTRc6KoenAnk80H4fi9CFgYnu8k3Ho29PSk3DVlaT6LdXhe1RfZO KaScSC3u+qionyyBiCp/Ko5ebDhzDhnoLZzZudp1Wk0wlgfk2iix4VNVmr3zL2KJyAaqhiL0CvB9 delkr+OTA3vPpCrbg9NHu3WUJ9EJDD7NKG1A5NjrfCwwquCwWF0vrPOhRKC5imL3Iczw3NqIHDlX LSFKP3sQ1hh9ElKtahcsO87xNWDpcyU1iMDl90T7j+S0TgIIB64qyOzTkQyXaeXzf+4/NuohtDX7 M1WhZOJUVX262rsgOrKMHazNFKTyOd+zKiSFVjuj+r6jN2wWGcfUTJznPNm0DEV4CiFjqL3m2a4H cR/d3jmAKdA8oEFZiUPSsgKAvvAqAYOQz0fm6F48VpTfyYK+UxVJmctH79x12aYqbXP2qigomZR5 GXQD1aoKw3aB83rr5Y42VefARc14AhO7Muxw5G4CP0ggd/u/WuZ92jv/ZkOMf+iDFfxSWTyU2/kg Pv+XrxCxfGhvtXTFf3Lg9Kk4BU3SmxBWmQhAsZTSHOY5OIY7fYZWn8tUWnZ7RosWxgm04IraKEWP BUclfdiTgIwHLa1aqBuhqp8qWaHZ51QncNDjhINSHFi880shScDnI+n3f6rtEB3Oj41lYPeJDKdJ GLDaXE2GFsS/tGaItHMRgy5ocxXZC/VpwJdW73gRVWd/5ETuI0hF6LQIfIYEKw1xdrL7paNdp2/6 TQ8BEls+cK7OH9F3sfJf5fORss23Z3pDPJueQkPg+ZnFA+ysWDoFy/BVoGYXF9iAUJbPVYhLN02b qj4zR6uQLlT/BmDcAAvt1bNkzRsiEmAKgRPCnjCwUG/20oGjABUU0xBpbQzXwoX+u1BRJ6Hi81GC 8y1eit+UskQ+V7HJEA8pGpX/RwbqFJkiAI1mi1ANPi8xDNOY/zL+zE1S8Bwtv4G+DWofaDJDEwp5 AZvLEN0GFvsE50ADXCbWtJf+3Rhm3UHr6mz12lRmQCk59P6s7VsZAXSw8zLzapjm0P6TcAPY2HSb rQSgn2arpuwuqRCctdkK/T+XGMglWVaYtl+EqIGixyn/z4bokbXBk23ylM/0IujjaXs1e+monyOV m2rDkLsesBKL2AP8QHw+UlX8jtrbOT0WpAp9rmJZReksU9WcUi5EsrKA1ZUAAg0z1jNXIUzdFZPI QNbo2MbzgxeaX5wTa3b0AFXAIsA4cZ2t5rswL7YIc+gCSpNqsNaKDzrdUSMTAvscLHw+qI3eJoXh HgAcuqd7bAJKb8smVRsii9d0DnKbFABYknAxll8OXEDlwUXmtQgQOV3oEIrH52Lc5K/ldeKoyQDr LDCUSMm/hO3ALY3WZC8dyXEptCqcK3TWZXYNy3oaJQF+vvkBQTh6XVBY7GM3Nz2Re+gEmqyjOlxp qqfmcUMnKI4NnY4OjK2s2H2oGRCt3tGrDAUqbxBgA3Lv7Jsljm1FVVJSUwXsFLsLqerIbwqwDI2t rywVjrxN9GYAZtpEZMt604h45FX3abtwntD7zlVsQIQYq3Vv04/Wl7fpU1qOjZmlG9QqB26gAEYo Hi1j3dHBMQmxO/A6wI1BNd/i0b2hU0J2ya53YdFmIMd2oJJREnG7odbdRRdJJ0PneQNfKX5AJLLY v853xA0DWrI+V1HJnQ1j9J0dRzRSu8iPNb0FXckt0lyFABmdWKVJbpHjBRmbxKm0bwA4FrEGYeCC HANOeq9eWUkmtATNFIVva00fHPtRiRoB0r/x+Yha881AQlo3v1zVQ1NQmjIMBHKO4OvDBZhHulPF u8mmKhRaVHozsjJCjo4losRIo+neTBNcNwFtB+CPgOWM6asqw1VGrxzAP1iSQRzqg4IGoAa3FAHr 5vN/eTbxFD9/7qzXbSCHtqB02QX4qXpCmLs7gG2CK1S8QsJkMxUi101yaysorfsKLVJhp2/E5x1o j8HCMWSigJ05G12eB9v7ONnmKoTKUIkDiqYcziUqde48dpcZUU160wiS/S89GRI4td0+TmgNCp9X 6HKV5JNV+s2e23SszIA5pbLnwBsUrVk5Nq1W7ujgq7NAUWyf0uiCFbLAV0CIzkUUyI2uGn44fk9w C1JssXVaOjZHfsMHeY1lZMDM56Oz6tsxjWX+veszVe+BO1Q3v7qpgqm15YfVzHcH1tp8quLAXX36 YaI449pewpLtbKzF/iAClEL4Dci356TYQHUUGJehQEmcWrF3joD+PKxAE+HQbFlNULcYA5zQkM8H AcN1l2MxsgPQNZ6EMHQINWvetLwtMaSnwCaO2LfkKY/k9atfLEJVFh31Gb0smgCGn01lUaiM6N8k JG0d6TpOsmux3u2Vg3OdVqpDndRxO6lnQe465I6NUnvgEQqJ8CtqRt4WPq5fRncOXUJpv4GKpYuh 522GofVcL8M6ODVTjovLKrAJzf9vCX/VzM6iPXYW57/gtQM8ZO/n889+NpCo8Jmdai8PmlalvsAn VIknkAx0uirVna7Oz70ye/R1z83n/zQtlNoJgKqXDphjq9CsAt90vP+J6pxqg6K/8SJw21jUHnqF GrQvlfWMjv44ATtcZtnt2lDrGqozoGV6PhESE/OqwJWudldoF4pf4fwyWxL7eGHWnOreQ80ollr5 Af+LxSNjFRqR3OkKiaYS+57bw6vSr1rAuRyMRlJRbPTpih2K1JoxiRyOPl0nmF0sjmFv1kV7XSQ5 IJ+yR/gDxZwrSaUmfWAbCtDKIrN706oXOgOiReSEO1YWcgCABL6h0lV/4naUi+Ysz9oK43acxYAJ X2RRK87KJeDfuCS1GIEyB86hSZrYJ7fJqmFxdETDbOjNs96XIf9dWLtBCIc1Bjv6SnaSCVMtf+mo NjolrmA192muHoDJCryB6g8/4C9qLqNRVCzylevIgX/oOSwX/R5Hb5Y+LzXpURwdix6ZsL7C7vDJ iuVfbLIUjeYnGh0ZaIZzhlJ7uNN8nWUZJjnoR+8fOqlY34tnAb8puAyZCYK75YPxTbMI2CceRXE0 8BA1A7mb5MBBZ5y47s5VFLmzhnIOreb4PrgOWwnrbJFs+L5H2yQHLqKgGsqZr6ilxdHmit27NGU8 N/tJ67PYXFmoAsCg6v5i33R76ahDwSBrFA0I7eRU3+CxRCX9xIUVYtyzV/zwgvDAPWnqc2SFVXdy AQZJL5qsZTJxwPeVafW+tFx9KcdOoqb+XQ1XVC+u6EwWVDUGzXKhRNvKEGSNpg8wMACB7MrDrb3s pYNdaN4Eug6v8eM5Udpmg6aev4LPB5tw+VxxFcIJuK/nxAqr7o32INUAtec/Z3d4X6WVABTiGkjf vgmj2H0qcDon1bijz1XCZMsn6Oy8to0e34CHBj7rfOJ4/EG2qu6hmyiKIXQTrT5oE2a5/dCxfvH5 /6WExaBlAzfgkxWW3alFXEWp3CrLTCthLRTiMsOsXVvxyYoFYaxLOp7RMFjnfXYjqJxGBZ1qKhkX APZ0x7kPl21vfWWh+wJHUZxYZPCgdbOA+D4vy7uwMudk/L735AcEocNl6tqDJAPdpRWbiu4kxcF6 KRTjck06k0VSKOipw/g9cBU9HyVM8to6uzgaAARK+b3R2RtgSAisOFCrsjmGhP+ah5Vlr/xbqlOr Dvnq5T60PIqK7kQ1BK6iJuR6NyFawQNXk89UiHVXSJrmU+4bRvFCB9nbz50zyvA9tBW1QnLvKrpz fOoyDdg+JtDnOKo89iguf24jfHnmWaWscCjECmxFoXpCAEiTDSsNKThXtcH0c2nfb37AX+xKBftw 67kk1BwaixJsOiiCYGCZvJ1xcmLI5eW+PT3VCZxF5ahHFTQ5Z3L00ijQIHAbFzOnoTDAYgM0ok+e jkvoVvsIy+f3RAAQQvoAsTHldLnPAGFbpZB6Ugd+wF+iltXO9jEfXFHgLYrjigrX6kQ0wWaaT5Wa 9pLSIceCGzAWiVlCXrVyR00Vgra9z6rispoJe0xYeCSEoxKxdtUaaRnI7/lNPH3ufQcrjJ6v6LoF gcAKzUUfVXDWkAeOqusWkgN3USQ5OFlhjOr0idItbi8jW40U+I/uSc4v9qJcVEmYBo3OzoFEDKxl 2fU60z66+vTA5sOz7wfc96s6VKu982940VpER2Xi+kNyM3Bukh1qlc9Hxire9BL1FHCdUZ9V9Wu9 Hbw3l3OGcKCFouc2djlnLjBdgoHDqBNzzmmb9h09x0nrHF61kTAPoZ4t/biGhZYL+MXkPDtYZvlL B7QvhqJJQVYahM4obgfAAd95PqHxA4I68kXLcL8SBHkdD3NgM4p6JhEy+y6souCBvBxZHg7C65eH V4HP6JW4fGnU81jvZtiDpn2tAoCURdoXPAgacBWuwDuTvXR0tPOgStyEFOAha/fM0BZ848Qgmc9H IKxvaf5McgKSsDtboYIjzp/zg5hNCOAyxZTCURSwLAcYz7sNI7hMlQwKSgt39IpfgXs2SLooJDf4 m6uMBQzFSggxUn1ce7a/cyScisliL9MGYUYT6nEmr775/F+YUaGw0HFJ59/ZZH1eo6viBNU5uq2s E2zM4XPVjAcGScZl/iGvsVUBCMslU+cdveC3ALRKXFfQYh6bh9uZSxzTADOAf+7cOLpGv0ZWxWWd +yYOi4MO9nMbliZf1oWnXybpvOO25EYoUwgbrM3aFS/eaIY68Qu7eLQ+FIpu2vktN7WnqbJWUzBD 0vWATPYzOgWgIvErghOticCKTYkC9AJBBBngfbPEYBr6eY2oCto3XEKTdXYOOtBHbQKsoT/4eQ2n ilDezxShlTPxDpqi12CquPFal/v4IPK/u6nRdhkUxFIuzf8aShWz50Yb0DxWcrr6lud+gJQltfZO +gENIk7Rln/PWQlIAi3qlDPkayBV0KDiIuo0qeOgVk0i+Ab/eQKcz2sUhfndd4bUQ6Q26bIpeg2i sIral/guAbU8AynnsWc1PULJo3GKXkOoYiUcePcZRDuXpwcxUZgUiP1kdqgmLMPQ9pO+4NLJd4om Oh+f1wCqSPiNmpaTAxzhpJR6Vm0nkuXcI/nzGj8V4SQ1R8siTRpHaYpeo6diMs4QUkx3im73YfRZ Ha1AARn6+kYbbatRvFe/oxeIEXSXQRQMivcdixvMZvrlgPeMTvDVTt9bLxwcRlk3G9WcBTNkCkKo Ef9wtN9fAyc8bUSb8mPn1s8a0w+j16gJ25OyVWMml5Id3b2PKyxcPG3ByS272mCOJPTScu35jnYY pYbDDmdzR6h/jjjT92IdraJX3QjxV480yYU1mKNFnFDa0uHfrsO/4IrAku5Jl8rnNVwqVlbSlHA6 z2rEGaY5eg2WcMrTKQAullY9780Vq9Dsv9Kf1aPw11AJc9TURea1YaPD1c8iQmedwjnQ82iMK0E4 BGtxkN6T7l5Let9grxHFMcUynaDl8MyuFGshjONEsP3zGibhNDMtATuPEE7OQQA2JX6jI7uqlNK9 xZDKvmLguXj4DZ1f0yQOj2zFkV2TxdE22860f2xYSICvMcunsSGbV5icxhK3Bd9kxb8GSPgxJFaF tMcGnb4QxSJe9oz58xoe8S3z14GE/Gu2e/O/FjWx2apyOD+QwM4zSND553v7gQR0lzTigjObmDX0 1mVjxNEhjBBwIASdQLOzQJkaF1VVYNAEcw83qC0sUn5eC5qYI9boFvT4MND6EJg8cI5VosvQRg3m qBvUs4igNYFFgDuQZHqCOSKX9gsLNHI25DU6+94yRlvOEC6vlcziMnotNdmqcXToIgJIWdND2Prs OxmJZh5MqNWxJXfBeLTCeq1jluuPuYsNFgums4PTEBRv5c9rEdODwRseURsU2mt++78T/nhrqz4+ /W7rszrfCGYCPk1QOjduZDRPKouXIZs+jrfadCYpbSpY1vMHZZmvFZQ3kb+B54Box5VglOy+h9oy Kz7nUePtxsHKtoslKFOHe6f7YcfN/HW9Aagxc73zFAXbtBuC85ndb33QUJZddUDnrE2c5eZLrkU0 T6yMn2s19zva4Z2hoMbvoCxjTlmkkAUKKc6syraOd4kJj/+8s/2wA7iSKNNkAw8mmGl3iXeen+Hz TvYrT4u4MHEjHxyFYZun94gbHWLCMyi9rW1HIrRIWdtgU2gh1e3AsmCetjnxJF1yHC9UCikWdNxA TD4XE5TXaVKQ2eOlSUH1rAQoE77x+zTRhr1QwccGTRMExMRLPrfo553oh4Vh8tZGEGSBfdxpiqJu kqHOCcs1REpyWduXE09hLqdBLwNhf4IjvFeLl0a+o3elTkiMQnIm2b2euEPbDl7jPxuwH4Cir8hn qnrj910nJsiUUatAS0r+55S1MlpEn3eSH2Po7/Ops77UPWB6p/h5wDzmKg6ROuuqW8TUh6+mBhCV V3ijaRKabFdZXnC0DktGSHmC5EWG39p9GsUWYd1cEhOot8UyVbYMDyf6X6HQhgHsEdVLsICnRPQS CnHBPGUjYtk5jtosBUlsoqLwmx50sHGtNlFVOHTq6z6qsX27yOc7v48XggpKW+uJo2e6k4bQDJug /5hkllWhxP8zqWKR0rURJR3i807vw/begrCQNdrzZY02OHcQ+3OSoc87u6/IMcPmSRMFikS9aco7 uw9fq3RXeQp75225AR3yXQcabDcSfSf38Rx3Qa9yR893a0Z9Y1GSo3KyWAju0LFYbFvQsl42x1nC CVEUfnImcvpoEcJBCwqSYUIIz7w/78w+Jsz/nE8wu1l0LuI0RVE4t+sYpXav6yYvgiMcNr0leIc5 xCAKw+26q1MtzuqSZDjGT6q7KD0MZSeAOJWrVMDLFyOgebWpCvHbn3dWH9at9PfZGsFgzNqTTgwB xnvqfDyIMef6Pp+gytie6Ok9EMdqKo/qGY8qKVQtdqCWTVNjbVfl7ygSH0z320rpGW3bQRUb1uus 54IXKR+sc37DRoFuKuXKDZpN3zuhr8iAETBzThMHQwOfG4nKHyeAyZ93Pl8xevrNeylz2KEZYfMU BeO4YyF2Vhze03lNyfZxZEesrOxFpnc6X7nUmFnloDLrdVAB5vb81LDlxTxtUCllNLOQeVaG7/2K fE7pUUXROC4naKKTwbCzAR8hLD8Wr6tKCEYUjudydx1rUvjxUrnlyigaB/gImpXF7HvPpTvcSnSt 7U5YnfBK1ivjaFyo/NGf0Wu6aZ/VhdIZgD2LCndWIpg/m1I7QPZ6panYGwfHuFQ3droD1xPoJF1M j7MRPu8svn9SO65EiX+rO8CvjcJxYlZHytWd1VKyGm+Fvo+DXDsrQ7jv3ll8jJ8EqZtfo7ed4O1I AkxHCjNSlQhxI9cIYDtC8e8BxcLlO4mvmPA+LGw5tJOwtynSVD87kbXefK6l9nmn8fHOa993HlAV a9+CyjuNrzjOHHiuB2funoZVMDsEUQVtTi2q95Ac4D6leGnlO3q5YBbwRrioIE1z1hthiJX9zIbt VFHduEBze+Xfoiioj3LALmWLrpMIzCjqhJufdw6fdWO+mgVoX7R7Sr0z+Lj7ZJ69u9fnsvXkcCXV 9OMC4MVig3cCH7NFec5U5XgcLTaAQMK5ETp1NrDBRco6IQPQw9TtHiiVufgGJV3e+Xv4IgKmV013 cKKVOR7DGOnzTt/7pxwuVdQO4Q/2yDlRUVjOotXJ7B6Pgtm8IE5/J0/yukWb7+y9YnqjsHhSc46j kzwAWYNEG6X35wC8n8dURcLGWSPAXMVeOWK9k/cwT9RxAaSRAwW5UFyBNAFZB2hcf96pe7id1/d6 willyvucprAmProyOxdT2mItUCm9Np+mCesoTVNUFIdhDiGHCqJyvkEUkL8T+AEcUiAaF7ObySDM ZeJ5oAL/HOdLrxxEUdRSQh3CB+HKIWg1hMif6/PO28M036hcb1fcEovz9B6T44AiiGB4zQBttHqz vG2kIUQHVlt5Z+15nAENYlNKH1927ERAoYt4br2zuFJrAqdAg/QEUIiYkB25USZtV99pe0UwNNiN cftxUA3qnMcl2RrZn3fWXhEY9quiebLcuYvnLu+kPc4TalAn5PFW3couznX2xMU79d5MFeGds4ef WegBA9Rr9PNpwAsCCDdceCghSMSEtbqN5guEdm6SV4beOJomhgWy66s6WVglges0iTfn992fd8Ye z9G771geh2BRuy3Nd8IeD/JCKEr2skEFwNuy4eqqzSBZWdngna/HwrX6vk3YX44ebm4A1rN0F6Fs bU6ZTNcptkYah1VXNgRIP+98PWsxYoaIpONg1ZVzeKgmuTNfM1hP8/t8OpEmAHR3PUVROW3Rx4k+ 3Cgzy8iIVjPUaBerajmz+J2rh32nXHgXFQ04WnXlzCB2k5kdr9ot08mkE8pGJd/shQipzztVr7jL U52CsU4vQgHkss3IYY3PO1MPwerw40kO7iciWLcI9c7TQ9jVqUBSl3ln90nPLoEI8lYESkceAxG8 0/SeXHgu6bxxtGkCu/JMz6SL71lLUwTkCpesczggnkDNzdXLpr1xgCMg7ZN+4jYoyTuHRJ2S09/l 887S80tZpxMxwefngV+Tz1OMR8HZcNadh0+rZwNbwGfBw6dFrBHnKSyRo5p1cjWxuDRynk7sNc7v fmIUYBvOsVJSknlIT+eYGhTwgNUAljaxBPbGv80TRO98sNYduzk/VE3i48GuS77reBAR/d8v3iIK x+kmgsPCtbjO3F6FN7E3qEaZvKb5Ts8jsmnbMhp3tMPpHOCAwXVKyjeYILRmcM2TL0hI5DIZ6Uvy eefmMcVjZUWySTO7EBcMAWnEyPDr887MK9JNeGICXsAyYucsRbG49OTTpPsz0eN16II7Cd5KDq6f VzPwnZVXjJ57zqSkqslOl7ZBngb/CpxNHaBLiZtBYQPOsEBikme2BFhNeuMgZ+k0VhuimWFOBSOA zzBDm5951uvnnZL3T2mFqQ4A/zt5oe6dkVdcSSOt5qupqwIFaP3Ie/g8ZS/8vhPy8DtRBLqnxQKw Rtt0GQpcDRphcHM4Z5XUqxulBirN4Mvj4ItD7fPOxiuiEAD+xXY5B51Ne8Ndi8fyKJ93Ll55eJ52 05Gd1O4svUfiLhU4Url7rjtVozZpdRaygLbPUlQeTzrCxyzP6HvuLNaxF5ka56KqMoXIVLTBb5/+ lb9jwvLOwyvZKECE/NigusrJ6mj8cnKXztcMbrp7NBUrrEADc3efqCgU76oV1JrtDB/dycOQ0HBh 4YKOp87wsDwuzvCJuMcdve7biKenKQHEf1MRHipXNmnQ/iqlXWYZDVs/7yy8IvLAQkrXfVD/7qxn SZLWhR/0PRRHSFG/FxRMfeCfYPMUheJ807M/R/JCXfa7DhCr4igVahEgFH9n4JUrn9GznDK7ZL6m UpYT2dQm3bsNj3FpsoCBi9oreiu7fFU0k145Qs4VsskKmdYU7eL5BD5yIn8O3omfdwJeMc3pO1GQ KljrNjrf+Xc4x9uSuYzndtC1sFAc4nsGVQEqwBZUWCEX9LJN6wfPB/Q0YfbeO3md5VwPoKerbw62 kIwfgSJyJC+Ogc87++4e5LUQjwG4+Y/1o07qW+WDdY7Tzzv7jp0t33siYm+wOm9YEAXjlAIfuy33 he4yy0SUWanyRWo1VFttoqISuez5zgSJhs7RG1PncD3BAFUnobu9FBYAd4ieFAKRxvDJjLAocfDO vCvuNQMIjQ8WPpXB+wgMoPx5593hPXP9isaRPZ0b1o/yd9YdTyi2EpYJamGeanIHAvJciHrOqLoU gjHjaNzmJ4872gkFLyfokJmKYiuyXiuTuiXo5GJBXQb6oF3DO+uuuEB1W+zgNTTh5VQPuZoqgZE2 P++ku386eLTkgR3OrsnLvu+kO9ZAuyKoakp3lNsC3bXiTmLJCZwfxJkVC+qdc1dkCUCYYX9GW1AN XAxge4WgJydDVRUgytAu/gEZwatPixpb75w7R/ac1KRlDdbDO0ffXiTxnFhkfd4Zd17V4LQITo47 D/Qkm6gwIJ8yCqvStoP8ebIVdfbCcJsGSgrTpuGdcMdWrakm5nZHK9NVoDKpYYOmy4b3mbCrCyXY 808bHNW/EryuVw7OcjquZpEyaNzBSx7kxykRmpN6fd75dgzJ09eKgovAyar8hHqn2xG+qhoBUxSS M2Qrs9nE2/q/tkowDu+8d7bdU/VtM+87epkOh9SJBhNVJgcK4uwjnJeEkSisP8p8YoNBUsY73Q6H qlEOuPM4GMgXpA/1zkv9vLPtymNdb+DME1ifwOVuvLA6Pq025xCopYody5kOIj9/NOMcbrywOj6t GSx5H44WbBaIv9VNa7Wzs89lQRzQyY0hP0QZwHSetjxYZZV3qh3zYCkYqKiJQTE5XGqYuZwopH3e mXZsQlzAOLtYCbF9ml4weGfaFbc6LspSEI4siWlNBpvLpVf6rtbtfCfase6sDDhJ82Gmq/kAmwpA WYUVO2+VpD5USYSSf1ghKVFlOmS3n3eaHSeKrtmN2BUONlHIsY2gMT7vJDuHtD2xJnxpwYu3aQoh K53nE5XkrCl8I4OUTPIcgqzF11MMWWkCP4kQvOslBG/gDDbFe0gTrWZ91UCYQgyzzefYQnKtp6g6 Du4kpDrSHVSmg+k3eZykbr7z64qUv+0cx3PwDZj91p/e2XUOncK17Uo+WT07elfAQNIMobeHmu/k ulun64ktORttngakyKV71OFYfyZFlyL2EP1j6NVnWDFczp93ah2TNIn+rTtomlBJXFZ+mp93Zp3B 85/TCaY34yGNtTAgR14GvJs7Gi7Z0jYS+cg6Ryo8CTLhbReCxw2Ckecz2qbDCkmwHGT5aZ4IvZk1 3flruJ8BJ3QiMAoN+JogKNAqotWOBiExzuFcFWWepBWPB1HmbXGqhcxQ5bY4WxiOT3OATl4xIDBA imM0CBcuGo17cX6i2niySsGSx4CUf3TZ7QSZLhijwNzj/DnqWxFODx4TM4LxADS33jggtCwhn7ia OOhsOgep6HV4WzwegAuKb7pMoT0wjOa8SIwexuNJjChL5SCl1UyvAHg6c2E4Wf60cmZ/j8cRlah5 MPczWgFqDiBhAA+jfW8vy5AIsLAbDIZx2Sm9G2S09pCpOeUqvrYPWk9nb/Mw/QHuF49HsOj8dYaj FTb6Lfr2KBhn2QqtYBewSxdmf067cSUk1zC8So/wKkPp79573VGzdILJ8zoVFBsADTf07OQ/jsJN J/EXEtleLkBB5tOj2niVhGvLxQdNU0L+aD5g89OjWDw9AKjEjPmEhqNfFllcHJcF32X/zJQuVmU0 p0jBR11neI+K4+Yn0LbsjjnathsbFC/8FicWrwCcViUt/PYKtTH4X99ygXhkUSw+6QOddEwleKew GHKuVOhg6o9v5dND2ub8B1KHssYE79JmKuRtVpLJSrmSDpVVcUAPz1pwmwoqjjMa71E0PnV+Ixm9 o6d36Ww9phRQKZjc58xaIPyUUbYBHmZe9QvmwT2KxgvDptVVsKPNKyaqrSoqE0A6fM/gJH8gvz8U UvwBTcznKSJvAtUE570r57Bzd0gdTO0seqqlWNO8x1iVpB7wbnf0FVWAjsaVCY0QwFVY1asdGNAT GbIx5lypzMo6vidqchrSV3bsyJyZzp6tl6gw9APBBTwfzNP4rqsgKaYmh81TGIzT+auJbKd5mm65 UOataIItpyy4hwxOEaVmEvRwpgs9XGcJ4UYrvPBgXzekkAGyAiobuIOIjLYjqma9chAZ0NexqDF1 th56Acz7kVyTMnUC2Y3no513w3FOMFpTu90VFdbIeS9PmnX9h54eLIygsFL0h8hetfCMwlkex+Ni is+V7uiwHhgoo2LAnuI5y7dcHRMNg+nKVoHevWKkU6/8WwWqEntYaAkp1f969kXqLNxNuO/1KCR/ WC4ErCCfTvneeu8RuTqHUOUhzIL/WauMHGF5silLQPds1NN4mkcR+TZyWdNpXto9zdHaxEparKx0 wEPYyCqTF15Be6AAReeOJ9VeObr2SEugAIoGAaCQdfE7Txbe8HhQMajra54a6O0le5G8RzDyToRB qsQSbHLNUzHvS8o/UaQO8jzD9l6EV7HKSjUWRx0E5FbWfqE/SpNenOWAATe5nRC0VcE/An/twZGf 6cIXRVEU/RXAMrFBXZcJL6NuxzoeD9bT/IfmAq2smZ4wKozKK7sJ28QzYbfQ3DR7NfqfwGOhTEJZ sfWiIvkSbKDWUe9oC2pAjrUPIqCwZerQ1mtSBqKbJ6otVtMkDenTI8iKzPbqFItjGn4IdQIQ2Dln ZeLxiGie/5moDC3w5Kf5iKJyKa6VUrybUIr3pwrokYZZqSQ8Y6JGVCWfqpLP2vodnTgF33VoCrM/ ZQc7alBiF5AnjJ0imkviYT6isDzTiWJUtoc5iObSUW7nsb5yxuNBWH75Zcxe4BAFw1qfpygut7P8 0R1d3QqZFfqBywgccw3TUBlhkdxonbP2O3q/s5xbtVGP4+xm0M2F7Sns0iYKZuBIdbk1FFjxRb+x 8rvs9jrqKQJm9gRxU6qONsxUWCVf8+uIGqxBlCtdEILIqYHf6XeKiTpxOZHjXFBS/sVEJfxJmqio SE4bzNx5z2vkeyDNKycUT/CwQgIDy+ExDNyDfBh1IJhEu7MC1AfxNQGStQnxS/HfMwwLNqEdzx4x oAx4PGq6/CPwANcjWV1ylkLUShdqpTsNL9FigyUocPKs2zmJvOO2i4JymSpBvKdqlI4eK5qEmSI8 hHYf+BVacgll9IF6Hf5oDwxQFsLX/MalbpVNYQ7CHeLDVDSAyOEIC+Q1f0dQgx4d9e66KCbvlMrd 6xZ+Nzk10pwRzo7sjVshH1FMTtr7WULmhDYeJzTgVs++XVl+VRMVL8lBgoV0VsE51qAiYCThSRLe iCrkOp1WXRpwCpAsxVJE4t+eS8bz0XK6Jc0fqaqdZOpuuigmZ2qI8oKzXLqEflEgB1zCe8JX6HdE MbnpF7Upcj5HO5022v/weSar89ydynMA08Bhen6qdXXcMxnR+Jpg05EkXFO7g/otHcJ/rI+f6AKP B0fTwwZClIlMeD2bLoSPD0WZ69I20hUlT7X5XcdilGYpgo8XyWCMpVni6P0WkKdxU+CuO/ldG3KX 3RRBxckNXQEHj+NL8TVR945OqVBtwQApFh5NEIHjpmMePMJYfH2HBKhH9TW8Oj6i6jgz9rMFPCI4 odNclgdvalqoi4B0XrMUxeLmRT/NcWnKtCaL3DJRIac1zt4nMdtkAcEaJ0NqIf8gv/JZwkWEr4kC JzIVdxaIFWVGlZ3mHJmNVYCh8HzUvPPFRIl7YJ8ApbZpCkNxXOOIMIunwcO3XAbS36ZpAAivaQpD cU3TCUzmHZ3agi3bcbRD56GnJjW/QqlG/NsONcJLlqJsAr4oCMXBksqbMj1Z/D3ix9FUBh3kB8Se ufABQcGgeNIisSXiOtaFYow4GheStQ0Lnvq+JSiKj1tMgK4ZSysjrJGbhZ5BVvIDWekMCxALUKun wUVhCophOHL2Aq4mxtY5HtI6ufVQlOAAJIKg4L0V2eeeBVfwfDBV8xstBhmTLSsATNQMa+RoaEH0 WLNz0b9Q6ssSEGOtrjvCYMZihhKIzqpBcbT7DvfQSXkbey6T+semNnaWwDmvEixdbwOPLOEZIlYY XM7OmiYHZXdoMkrNsJaKx4NZ+odzDoQDXDx8lqJYvCoL7ld9HPr8lgUjArIsGB1qm6WwRm5+6obH 4GjnODYizGIzqfmgBEmgvCCyPQlNNY1Kz4JRy8UX/ab6WLIVouy6AJNpSSEQkrh4/K8jih28c13O dNXoZhSKUyYdxkp+3xX3+AQff/gRtZfzEWbM51QSvFu6o+csIAkPlO7AzV/Qp6PKwiToHHIWMBu7 enSLYg8zrpFz202mLtmBVpLWUiB1lise/5Om+CMW0AbK2eYpCsbpjN43vQGMBZSdVlbrck0MuFHZ PMUVcsUDydZVShf0i0pd31DsRDCeQA5lC++kANh15wDf69ZUFkll83e0SoPHvdERDNWzppAf58qY eDxAF8zvXZdJDV31LqcoGJfg+FLr9yync/Y6vKAmJw2fiHF3F1yLgvEhjkEbUszi6AXyhCtzilW2 Ee+zOHzOGQjDgpdTqDpvHeFhbxzsOhrOIzPxQbsu9cWgGGFMxeNBp/OWVPhyJ/dFK9mnKayPD+qH 5uWdqUpRACbAs1szr0ESzdBPMwKrmFAWVSN89NW0oGqDfwBtsT2W8puT8eJyPz/CDwITj5/o7Tmj WLw2OesS1MNBQQF7IWTmnz8Jj0cU6u8yAe6QnS5FcUZYFVkRp+qx+LmJbrdlO8+lAcFlIcGMauNY ucSNO478ek/NxhR+s3+XoAtcJEpLKZEJLDMclS7QngzqGQXjiRXMocyFIapwPHXBzp4LZPA1o8Tu xk6YEtBw94WqzJDJmThNc7u8isxEuJjKMAIVVGiaJXYzVDlUWQ6ctDvaNKFQUHsi4zXTvJlMGBQ4 z3VFugcgHLeDsPXGQe9uCfKU7qDy3PkaoRzr2nw8goj9QzRvJzic9TmbIrAKAYJDNh48wqfABTjC R3eiOfAYPk9RND6y1J+X1Gk5er0XNqEzExhyblIAfpjapTIl03oOEkhdO7+FMPsZReNNWMNGpmIn /F0CtbWRZHx24zmk8HwEfvqOMCsClXYxhzOkcjbxy4djn+qaTg3uKkdVnk53omKBFeEK5Lml0SLM VGgzjLTlnLQDlrpyQkDqO9GozHM+PKBlbxztO1ogFLISZjG/hcr5lorfyWU+MwzE777LatDgtr3d 4BVF4mRQnENpGm8DKYVtvFxrcyOEll1gZUVolWEyx0OCYhztFE+DeGXxNhLEn7Ygh0Qkn235g9PY VEMkXLDCsnhiPXxQhZ2Dpmnhr6W66NnkeDyicnoZU1yEDNVs70etsCqOsl6nyKbddWJqYJZOYOKI QxgC2iyFkXhPUd0JfMVN2X6c4nsmFYZPxifkSfmBophjn3Ch4GsiqUM2WegKhY12/mSVVFarVD8D 6Kzg+b/kenBi49SBfJdPUxSIK60brbme7+jJy3Nwn3ayFADBmqYQrCLI0zAxvyFaQCOrLOHM25MB 5oTY3hCUDhuzwyciww/6Rk7rp+F7ovocEjpYKfogUA+i5K3VtPj4XyKjPPFhQARYjU1TFIdrmsBo 9jIBZRkUYG6D9zTAr6zytELcuJkddLnocvSYoMNjHcRscMog41dkPIWfdsIMYe9H0JdIuvV7UXwp clowjRfg6fzbrsoTdGjwfAQ5LF8xATqsPxMpjM1TLDPO0lMxAzfyEERJYHPTqgSlIZVm7LRCKqfy 3jqFH+fol12CsSL8M87fcE65qfO+JuI/Mp2L12oPomfqlYMaHQEFeXE9sZzSdByfX6R3knvPZNeJ Twh23rzavo71XWPeczyKxhPqinCYdlRPHUZLYMdcK60VSqRprkJpFbcZtm01rlEZEhbE++xvnh15 wlqZdlK2Eyne+ezFQJN2gVQFwBcFsB52zIvwmeXiMzdM6pnCwAALjwdglX8kaABynuXWClaIHUcS eYIBS1XQ37Qi1Ak04VCrytMY1cxsVhiP674Dbu6OvqbOfYdu8KL7XWrQ6ME8LaqXsjpRoHFiCIys Gy+UVqHhT0usPTWm3Lrg2TFlFpwaHv9LUYwovESa4t17IZ+T3JYphCHdFUczvcNql99GoAkIo+Yp xI4bii7LqIyjc1saPKUH11NBtaUTYw/pCuxTqHJRhdWRKsiy8EVRAEUGdTfPCFAxf8RtafQZhURW xePBWf5E5EoG6w+8+HyiQvg4sWxU2XOh0Stce1WkYWraTTNkxfVxQXmqcNEcreY7ZtnoyTNzAVeA Ftg4SHHkic+ZL/G1sDiCL4pgYuwEAwhogxACaMPK0vRcuHg8QBZMn6gp/h1CQmqA2VTFjE7Zk7Xr rEF8F7ucM2+nt/B+0FTFEuSu7bvuaHsP1+qk5xqEVc4v3qXxKwEanFk/hV65FkdRMnpFQblAvycQ voP178A6Vz4yKx6P1tS3rJHE/OA7oInaUVBODP1JLPIlbsjqB2tqr2VZXqXsAwOEHZXHrfBbqcJt ox/mBWQNGB6IWCZUJtC+iYlwSsZwUXFFTug7CssVQRH7gwGSuHZIFXZrYebKxyMi0LeKAdgi9NKx eXoPy0EEshP8lqCaAS8Q8nZvBy8CZSlpHzv+SEG0rme0vbdg54VWF9054bpFrYcTleDyqyyQAO/o lx69EXaIIic4unUG5hyskXAOOra9qdW+3+Ny/J631clIAF3EB8u6QxQ58pExCNxmSTP16hboIN1a YJ5pM8mJCgNz4QqamUm05VXDs0xASJgSa89wlNiWv2waXRD9lL68hIfeOJon3nZdxLJ+fQETMtSh OGHg8Uh37R+KMBQr0fOwaQoJnYPCRqW6TFbPJoAMwnm6aJUy3CIhRquopFn2vKPDC9ZOJ+tTFQqN AxkHnm9Q4wVkLJTP/SxHDo4virRoWPNNwqskx6ss1Napk3VS7YrHIwXNm+exKZxoP+TRwQ4x5Gk8 sEMeUMmaLyeKyvP6bexlJ/mOGZ2qGmxTLXLBVIj/g/DM/jyqBkiDhTCAlAh0qig9eat1wFTja37L YNC04QB+LKOh2rps2kFc2Xg+AmJ8SxjgNF6warJpCvUOqScmSRRTWTHkCouPJruG4qGfTzFcRfnw kDgGR9t28B9HlZ18zrO+ak8ivg4SepC6jyuJsfyFI58kA4cVHwz9tDpRSOxj4/FIxe+/9FgXkkwv G+wIr5Io2TNAwnaHV3MEgsNr8mkqUEnSNIVyh7JMhL7THW01nYTzzBFUpNFJgAAROcRnP0L1jM08 IEeQGDPNa3rjiPaKU5x4VRs0TzCQbarVLT7+V418SPgg/1B10+YpjsmZBNOXQP7K0+RWwHvtvus6 jjsyFXeIWBEjAWLjd3R6MPzlYQpPZhk8RMSj3riUoUoOFQNPh9mj4vcEDnesjhPYYYPmaU4YVjAk Snw8SF3GP5wpeHpO7GSbpygkpyUy/TB8nrqd6GDxVndXHpQP5jzFIbmaCLusO/pttyjOOng6wWZ+ GrIHVmj8h3AIccDKnnrhyDGJ+22zAsxBvFek1EmgwzrweMQD+i6voJy50fW2WQolyJeicdtfyPCK 77qTeiXfdctl13YEVjGlaOrq+eiH0/nnc+HUQyvhnObqXkHeD1DbczippilANPPgHaqrUOOhSpyu ujgdgv/Wq+oFc+DxqPJ7Cys4r1G2oFaEzZMgQq/fO1nVTGaLgFO8mQk8O52WCkNyUTAMfNRvufD5 69MzOr+FyoaUFBsd9fKUFGbCByiTqXKiW+KgZAm07aUjNzdWfonDO0sKAQDzMngnFS1j6pzzIyIs q5/mvB8BjUj9Isjp1PL+nDwAiPD8T2Ivbxh5I/cypJBx3iPRsAweACkOyy0Vns9oYVTFBVVwAIK9 AU5Nq4JB4bwCTQx4jHQ5iwzL8U0B1ACKD3AdHj6oOQzG35Y14sx8Pkhg2ncCk6GhSwCaT9Z7ZI7n 0FkYZbr9RpJ3Ev2CiRdl3W6ip2iTFYFXSjORlTXu+BQ5V2sEbYO2CGkigVcKJf1Qfi6FGtvSRGb9 AN8UTRYX1+7aiWfLddW/597yaRgn+uAHBOHUlfvlLJ8cfJ67+k5WWDhH/jaW/BEoqDmGYe7zldlE ddeBrfiooA9TTTg6P6Mnxrhh52QF4YSn2HXUOmI35axqiP2OPG7CJ9+EFPoEcQtCQdMHlTk3KCgM QgE7wPNR8fxb1QASvrM/ti4pCtETDQGGvOAnicPFiufnfB/CA7dGRXdbWFH1fMj+p/av0U4tAGXz hPoOXbtBkuIuHLAcgLwqxWi7JzN0Vuc3RZ4ljD+3nVomaIauGPiMPO1H5vNBOpPHP7uQWABEZD5b Yfm80NNFqQuKL4vNN4poZQm0bWLXaO/S9VHRmaWMpigG5eigHySUcMaW2ti53gVAyIUERPLPqHlE tYxC9j2/KQIlcppEH+bgKwu9RB72Q89HDsJ+ZrGFg4Lnys9chSV0cs4knCt5KMqCEJbY93J5qC6K UNdHBZGDmXHgKvDRdiEUVzLKgqyhl16l0VKgW85eAwR9ypWwgxaTvinSsGMFDxplNljd5aRxDB6w K/h8RI1dXydWBo9sp6s5llOswaJDnTRPXoYUB+JkFWmQFmITAYLTNoyC9iFESy71GTVZFEvs56Qi FnCelCbx4iyVt0UnUi1f/HRBAK+XjnjprOJlpoGgJKnjcELemshlPFFp4/N/yUThDc+NDA2YJ9AK wS2bbvDAabmcuxHOoPs9HNwye3bPrhRJsRSJ0AAJc8cLloKNZ+9dNgpzydirNqTpi2JICPUcoSgv qhTrlAt6V7IPgt5BEs9szmvh88EevEEWGR6D58DjH5TCWnpnyE4KrkpV6VpzZGp4SDR5u5VCTmEx fRvGXrospV8F13HyGOzEIXnENIZw6RWsa8ikIblK6fL0qr/zb7rJVAK2QXOF2ievFPik8Pkobfbi J4lUkDmc4xarcmjpiR+CSaBbVfZ8WzRt3WrVIMJPVpUhyKWJELMNn7AfXS0U8mDrAk3ghbOBzmoA uUCIG4EKPvB647Ru7/yrRKIAwleJsTbcUUWIsTH5fHBePd0srCvaCz5mlaGrZ+M9aCrTQlBNU/k5 N9M10h1sqmiqIqRLSkLkm+XLeixf0MKokFMWHugEjUNqSAVRUF80Pq1X+Y9wxRy7emqKUq4+aKam GSKCq1j5fBC536KVgjH0kdezqMLAvVONpcybPs8hXDUUlLfLRjWewLwFQ2PP5fmzUOfjQZ3DMDlN 3O00C+gN7jiC4OE8ZWmGBt82VaXZO0eYReqT5iWvjml6I+Wk5ZVF8RPZDT7/Z/dBzmZn30N6405W VFhfLKx31G5MlHuZcTVt4XyyMn0ANFlh4G6q5dvEkXZ2UaQMBxPojbN0BeX4ZC1n+h3xGkI8aj5C o9krRxvwRArnf8Ca1cBlBUxNE+9qwVQ38PfEBrxzxR49Cg2PBUUOHT6BisAOnK7MnefKXlpfLh8F Ht89rGIuqOmOuBjgVZxeqFwMxKPUZwGRiE2tutC4IA4K+uZevqI2OL/oNyRHh30XB6MWnwXGrYR1 NTafDzrKtzQjtDqKIOhM+FxFKuZAuYIgs71wnJNxZXFDsTlhIlJ3Wf1hKDSTVKRm2rfSB8fp1BGg dbQnzuk15USBAGzSuPZbxrxPe+ffkHkdAsY2qNYHyC1R5O18EJ+POlvl67hCqbely1bPgc0nGhi0 FKK38n/kLtTdcHAJH0TDwU0cetJH/crETlNwfY63+X5u894IOuuFYGg139FSO4d7Zql63oKfilih 06eUzM+BWHxQgnPOnNZMD7Dz+ehovyItTI4APl4tP5sw1Gmh2yf3hyXPJVlVBpp0piWF5vnw2Yqc hfoyEak97ugpzppn7qQVrJhKDa4EXtpJRbYSUXdLh0+Gvim6CAkg3syhOXC2oL9EogFPDT7/vxg3 t7OpoA565yqstieCFXb2JnyROLfQjMWLMmi++VzFYi0qxkyT2pztduEhtAXMAiXKAOxoErXphBID ZPXDFe1Qod7spYNCg/SCNxcYB7VNIfkoyYfVCp9/nyuKy96wHZzqucdzYMWodDL69l1XpLYa+qy4 YvD5j2Hosxz7fqo0CunqO1rQgIW0dieQGDrBVfY6KJc2dm82TBD6Q8Ka9tJR1KDt15IPWlejmxAJ FjWfj1IcB/AzYc2V58a6akk5dP+kFQDmaPl0Sd0N01X5o/HQosObpiu0/1zqM8Pz+462DU9omICK pVj+WUq7yVcva+tqgvt4Wl7NXjpq5mRVRaeGJmc91Da6+DN1VD4f6Sl+d3NQyFlg9vpcxYKKVGth Qfc/dnpZ1R2ev10iXECXJJ+rEKMu06raFMFztLk6EcxJ0KHYCR34CsF8OVWwhQ028k+G1L0Lu7E9 mEML0KyMEI09G6zed27vZprwk89HRO1rwSDKzZnzNp/JCsVbJrlrTC24sM7pUqw42qttSYi3bMPz 58AC1ELbs0SnYI5qCGmyRoNWM2wTsA97ayYwNcGEOAMoWC3vWxytyV46KvexxjDlgIJfl9w82Ckm MhN+oCDCD4iQoFf5lREp2qrpqcuENqBJHJG2BZTNMJZQ1wt1lGoNndIF6+fSCgHrw/w/yzN67AB/ h4quF8LRBctdFvw6hZaoMlUQhNltmEj2y7ERKGerDEEZhtWwzgqGrjBZs+ee4vN/0o6K7UMmez5Z of8QnZ07moGW6qTuwqaZL80MelGwlfF74AV62cgzmblQqjcvBPv4nOOZ+orER8lQfSFkmon3KHp+ trLoMpBjM9AsqSkCRtBN++liixQIyLDW0tbkB0QKi+m/QgdWpnyuoqo79cNOkGVOsnT+2hcfMy4+ prptag4NQZcWlnmiavSqOyqirRWBZ8/2yPy3MGE8S4TAeQhxWhHLCjOhIajKDSMTjT2yKwHUBTVe Ft3PguXz/wudDcSL+eWrHlqCgu4AYMw2DX34fDn2Y8zpU1VpYKmpCkUWdVJNk8DhaKnOWWIN7pT0 JkznlhzapzBEAagBssK+qjL11/k9AfiDq2oXxqO73HgU9AiBZHrdfD6ybPpuqaJxPOu4sXtoCkrJ 6HOgr/HjRmmteAmLwZ1QMmBj2EzFsufiknb5ffT+5Z7Kfhd8+aBUfbJpW1SVYqOJ+QAAWNbKyTZX IVCmSVWY4lxnqCIUnc+dRbagNelNI0z2d1YIBMmoF7ueQ19QMZFSX15sSN3QxRUqoq5VTY87JdCB MahBAjFHed/RoVc7AUDWKYKDUB2xH3m35zeaQGAjWbHwCuID+p7gFqQLUYcaow2qy5yNvKR2mtGo D5xBzbtFReSfpFL/3l/x1XvoDhMjatamdrF8lHXUWdV39g1Id1hNVRi6C7Ve7Kwqz1l1ZiSj2NDF HDmZbuMmhXIUunM4lQAKQJWSKDV/52BVsd5HM1sOvqoWsgI5LtTO56Malmc5hfOMv22ta5qWQ4dQ QUBmuca8lM0yY16SVtjJabsaoi/HFqHmYFHHM17A8YkSYTRAt8J+zlHl2Bkc8I7aOKDC12C9/3/G vjNJlh5J7n+dpW0MWvD+ByPcPQJZbyeje0ja4nHty6psFEQIF/bKv2lQTXEjOOhcP4tDYbsK7oFH 6LcMetEWpM7LPdhDk9BNj/Usdo3sP5LFCydJsygCrBlOVddHvW9BF9RvqriP9pisT9BGUpJ3+Nlp pUn7jQCKwZZ1SQ+YVvW+wCZUxTJgGfbwQXvwkfDcc/P5yCf0Hz9VyLyufDndOXYKtWbqcjM+ah6a d1oZBmOHc4QXsUKrUEP25a3mF0crNUzc5KXRPK2iql9Yci6dbiUonP6UeRXgSq/+0pFdA4Ef0tEF gP2HZSfwuaqWGeqt/IBotupX2J7lAXvW5Z2uEC4jhbM8XHzx3MLJd2GeJmQCx/Tk0xUbFGm6lkiB HC9chuSfiiMLNEdqSQECAoVx6E5AV7Cmq0elRn3gGgoxNx5WneYN5P2qdHe285C9BQBA/IBoI86v /BmsvC/Xhhz4hpqz9lhtXmwRoZcy610mcgK+w/RaQ2AcestYxVCj5UGNDihFtIX7HdrMpAJK6IyW 0jSjr2QnmSoVVjq/6TcZIUqBYICqJU/4uc51xZbFKGnyA/4UpsKDFNzBGeezFUXuTFr6IqqO1Ny1 s9EowY/ZSqBP5pYc4xcaiDq6KLV9R6dKZCB/cJDD03T3Nsm5PMkTGgaFQj3roSihfKqX/u06bIKC cDCuxGxF4MiOAmngIWodzSfIgpAo7kWfq7D0ziwnK2emtsnMTs0lREkQP7SkfBtGeJkmibdmSvLt UZKHjRPqvLJ8PIc8LldWZhhGp4nSQ91f9JtuLx1dh4yvgPXBwE4/J6sjMjR/nckPCPKc9I+qLky7 gWT1yQor79scoB0PKRGx/2hfVOfnwo7XJyuM3k36u1iFtHxXSDMUiBtxDYK0qdZAr99KD1EEO17G 2steOtiGVIerLJlrUBlrwoST9+FJefj8XyJ6LDWAG4bao89VXHnvopcYaG2cJMRV9M4h3IQtah21 TtuEUfQ+paqLnXVHp+FMgGlB+sd1eO7JwXktY9BLFPEZiK4XiKXKe2gm2mUzk1nyq9lLfkDzNrXr 91p8PohJ83epgVHLXg8QK7QTrQikT5pVsk1Wo3SrzPoq4QyV2oxs6XCyYkWYql5pLXfUZNHa+ASl ZH6f6TphKRnyma8Iq1L8qZgoNcCyEH6BoagCcHiosAKfeKeJCAGWK38iADT4AQHQ9jHz1YMFTLyb 7cSmoktV0XypJrUmT6LFdWZntV4oVuAqihwTM3B2EwulGg0Hcn4BAGZYbhibpDiJEUGDAR6RyPiv d1hZ9srR6c5jXb1ooLWtCX1CuGw0eYAbAlfR78SQxF7K2I4r0phDW1GTrqo+VaOJoIOpanveJjQJ tQzgQ19Rr8hkRVkcLdfpKLfsRCXwfV4Ja+2H0vIACkFpIfOwUmI4FGQFvqL5zhVz6aZ+NrOdkXph Twe3Lz8guApb+8qizzdC2u8mO6GzaM1sWddxQTNy01YSvZoX/Pa8cxUrxEgOrdE2VOMlDg7EpWTL p9xRqTEJwtV/ZiYh7db7CM3n90RYSJkfk2BZ6QVcWBtNiCESL8Ky+AFB+L4uFbXb6T5WfrZgFL2T 8zC6072pNe8FB/x0lkOfmNErfrG9aJ+CYKnyx9G2YDtXe2YFEuxBILrV9Mmke1WEN+PKNVLNlt8T sSwRLeyc7mA5NJpRqs0AihXaiz6i4Kq5o8UBxyifqlA+nWJfnWqSZv3UTfDaNRioUbxuu/4Xh1ED QxqJoj9EcMCtINNEwvyG5IO5jwM7BeXOH4h6X+GhWu2dfwvd25TT6Jxeb8jng9K1hMyhxyhJVw9m BnQ6wEHvXIWcVIrqmEMBGitteuSOG9ilrApQPLoHA5PRR4WhG4eiPxyKc49CyJfuvtCtr814AcSv FdBd0Aa7oBnlOaHNKHwr0aen5l6moys3ISRZK/H15xMaP+D9cF83KWQGSTjk1x4MnY2ImmnuWQCB AY9GCywHFLqfjGfcyfqDmgp5hTteHMhGUsNotFHeQ1Sm87UwogGbhbJgLsE7k710sLKSQqvWFWFt ndFzgZ/Psz2j3hC6jT76HoywgCMAZ+EmOqHfqDxWZr8ijpMhN1PoNpIlOudf28szgeEoqry6Cc2C bT4WbJBjGIUitqgmwyGdZNZK07pF703UHHyydrZ3jqRT2fMSoZeD0KMZcFeusXMk8vn/BT1KpeSc 0hVy/LwGWBVzhaldaZhUeJ8OCmEH2nxWKstGnKvX8KoCjcUZYqbmo92Dq4M8WAVs2Oel6pThwzpX xgJPFcG6E+RoHP0aXFXXdZ6N8SgHnVYQ4pIh8ol6Pq+hVYUQrybJ9HtP/In6xI+Mgl7jKsxQY0Em 3YB9pqsR3onvp1cWzC9tNQUzZOX2lkzxMt1jClpfuPDk1r4BX9MMwX/tZ8lvFFVMWWKwUfZ5jamq hEfP3GQqgHEQsO9EbW71sPD02xSZ3tKdImggTthNaIpew6nzUBestj1Cclf4Gq6+5cf15pcV+F6D qfoEnluqHhw9QECsSbw3ePOIQyXjT0XZTgcDBFOKO+UM+RpKVVgS0cCgkj3IgTMEOecuW66+2+c1 jqoPoFYzRPOgziIoZug1iMIiwm+P4NwpJamuYhXjVIw8gcjALZBfQ6gKxqJhtE2IYffbhkAX+ATn y3TmT0gmQY+Fnvxs0k70GSKS//MaQFWZ7EDVUjME0IIK5AWdeJaoIDv0eY2f6iNHWH+WRZptE9+B KXoNnvAQDR5QM/Ip6tk7y7OO5oAFNHNk7BvtM+u+DCEeOVrotIANbpvSMOd3PLFFIy604DpForOo kHf5pxSOe42ccBapki6/p9oNQVJBRTQPe3TgX+MmPG1KQ/XHjq2fhfTaTMuCOSIiDE2s6UCF5aCO WlneVd5CahZt1oI5mt2Ibn3f0aIAFDVTKhQiHCh7bpIiIJ+EOgvSmUasv/qkSSaswRyBQwEXK57X 5dLd1pkhCf2e36R8XqMlLInq64hKaGhKg62jOXqNlXDIi3B6zeuhxHUZSSOXm690dw6L5kjoz26I qv4gqvCnJCAQKTg5iRjjVmtlt58NxeYMMLjvNaZ2r2ES9hrZk0u6xJSTFBv3LNBzHhC1flbu5zVK qk+UVMVxo8QRlb0wR68RUrV+O5RXk81RatMO7ExdTdORRdwpVeLwwFYUWSX8ydFbyCADInohF3DB woh7rVPgrmJuGmvcFnqTGP8aHlVVJgE2K3cQhoM6vWxjnQDr8xocVVOtuXPUoTKEqqrm6LWqiZuQ gnpp5Hse5e0EiLRdDeZkENUAVK81zWodHVhjCq/O0ecIPJHzv6jCmp2wjyInJ61uFFtAv2QW96ct y144mCOUfc+El66hqopbTpSdBcvLEEcN5qib+1xluwqH8d7L19FrMRNrlx7jJ9j0OQIf186juS/7 r1IMndod0ZltAgvZ0Iv5QS/ChzsNRCIdXlKovnOO0NT5yVyijXxZw+PRCOu1kInfQkLEUjip1Nn6 YQ909UlQTS8rf16rmP/cawzdQAJmStI9hIyibMrfjcE7QjUU+sKastD2c7vAKt5YktFE6VKDCtEd LYg8pyvc/xrNixacUYdgnoAgQYR/AvR0CwNbue57pJ2MULoG8S0cVLhFdl6148A7ikLtdY8lwvYQ R+YbR77T/rhV2E3vyWl/QzXeIXaIO4PwcDXSUTRPErEcxujj6InbiX9PJjALu3nnn5MBQz13HiD8 aAVA09AbxZPKjO+0Px7fzHLp7qdBhO4OSjfnaez1eWf9VVlvP0cTeqUnWvNo8p30hzuOTYS8r0Cc tfJwNlU24xhObpp5CFsWzBOxBLjcxjN62gYsREUBGwJxvSK1ZdoG/c9FOxUoKVlSQhjg553yhxuH 81PWrj5omkDNkD5c3Xw8iJaME6LTqS55kfo0RVF347Zrz7ZrYzrhdnXXAa+Lny74T3CGE6SHFvoq d7wQ2AGICxOTRguPLfYMMAMbGiFlPbQs1uQ/72w/i10BG+Gi4qD89nxymQrBAYANA+9RvnKTzvJS v9P0HnkjMWVUuYvjM04O7mYOfZlKKlhGyVfTe+idRYTLwBO0O4oUmaCWfm5QysOtk6yvZEd+gdbf 4vIDlsKaLNPeODqciI5SzDSpUmi05NSEToYS4Oed6IdNm/dX8J0hBzJ2vcd4FH7zlhoQ47GJqq05 7meSPsL1NPIlcL/H3+jFC0o91O+cHtGwEn7SrrUZExS0DapEmuZghwU7LKVrIzro7/TO8qtCC6Ke lO4ghFSHybS0ckr5vJP8qjwznkQOddMfWOH4PIUheBM+ykRQcTxRN/ZL3JpIg+42ou8cPy5NzU9V OZyjx+C41zqawAIajClK8gTLdlHZB2GumRxn6SdEQXgTAWSN4YOV30AkFO4+7887wa8+prTV+ld9 /QBs6vMUheFrCHe+3KevEFlEkMGiEBiLb6hb2zxFcbjVlnpTeMDxQu7mWdqbUE5IXQ9J49TzF51A EaXsPK8+VYH/N7/nfZ4G/fm2arpb7Qv8vQ0ANTG3Ox+Pgszq88TEBsKM7Wa979S+6lyGQYdr0SAV ZlIEvOWrIVSbawhFsfgQcGWb4AtH23ek8lUISoBei/ayPB8KSIuDcvjQW70u7F1v/D5P6qqA8OGD SkzrnH3iNJwL8fNO60P0ZDKfVQ2ojAuqz5l9oqJ4vEvyjN/njg628U5404wvCqSAC1OFAbk23Fk5 5Y5+QK1KcyxOVIZeujZpEriGlYVx+e1TslRRQD7JizmZRvKBMfRGdUZIH8Iwoog81/x1QHVwK1Lx 8Omdz4fUrlONZq5rczyqWYbBBtzT346MSvXKMBw3H+wiqCtHR+YvBJSFIkvnqKtbcLwKzvDPBmA8 93bN6gH14fcE53iVeRGtwzhwPY3zS4r6gUbO553LVw02aeeTOprAYgE0ZTMVBeSZzV/Yld5CQfYb L83iSNdRqhUK3sl8jKBEeJR3k0ZHbp4ADWUU9Ac6hHDbENCVrO2KoOKcTOMeUSxdvnP5ECriyjuh MYjteQFzRCwlXNXWUEEZKpnt887m463nu2+wpFIhMePly3cuH1Yy7foG7jTDmpfqdaeWrmpQrZRn TPykoGAgs9VzxeU7enHu3P2QomXuwgLOEv2YGNuGYK+ivnHB5kWvHIRRnZiCQYoa7ogfHlSAHrdp aullfd6JfPWxotNdQ3WL1u/ui6JyiuUDldS8QMesiROV6ICnAh0kGzRRUVRuto89GfYiXezFYhU2 L6LFJjl8LJzjbgcAsZFClB8VDoq7vJP4uKZ4SlVmL7t69lIXhRiYyMz6eefwVTMcuKcUqKobmD+b pygsR3cMoVPzYi/6E57kNfd/PK+TrAD1TuCrZjYFk6fc7njZjrg2qyqZ7fwUlIDL8JmHQQcIosSY q9grT6x3/h7XA0XAW5YXHcNKVFd6IlIWDW80VqKo3J1UTFAVNZpzGvg0RUE57YaR5D11OsNfnGtK rnQE45NExWmKiuIGTyk7lTs6NxvBDsxXURQ/h12joiOEzQj5QBkUhjHPab70ykEUVZkMC1vOQdDy cnL/pEtvrs87dY/LPn1necDS5RtEvTP3eD4xGRbBuD3gFFr2jW41qPMjb0uG34l7lsujpmJUmPFN hYGFKHx9EWw21IqU2CXIWxHXeu729Pix03f1nbmHHSCvvkrjGQ6qQSE/lBXdSVU/78Q99ra/s2FU eSZ+GJunKCinI9pJwR71N6EHxBly04sGSKWCzXfaHoNl8WaXWuIcneBYACDIO0l8H2wFYngmCp+A tnLn3ySvDL1xNE3cb4uG7E2GVqySNBzfRFqMvT/vpD2vuj1BFFTWnvL4O2cP+27T6SLdGlSlwqQM Z3rz9dSak2bfKXv18c6WL7ZGjzVhUTAriyuFiVCTYx8WLCoKPxmCH1ZcQcrFNw5izSHG+hw+6BRH NqHcY2e+ZrCcbhBF2nqCavq8t10UkgMWBAP26jUD3Hd2PIGmZNOUCeLlNL2H5NksL3oWq1qjFVdm Qe8FIc8JydtEZKtoCyT9QSMfQsJMaINo33e2Hn4O0RN2voMABL3UKmuQvcbnnaxHkEX5ip4YD6zu u+6dqYeYq1udwAJNdNseCIEZqzRwKg1l8U7Uq46Kbtsq5Rx9miDBBRloCt2ArE5ta6gqQTgFtyOU RFzFDO/yeSfqsfHGGl0lM4GDUrwGKpbO810+7zw9v5OfTQe9U1kXcZpCMAorBnN55nKuzGSZCwoV dtmdLeSx0ztJj5kLS3SN1DQbNU2o2g2oswFIcAIclJtURD9/5c+QGyTwp13coFz0xtE0GU99+aDO XQOkVK3vyceDTZfm1zQVImSGn03vBD2sJsbivW0XPxh9O6E/d3coaLn72fTOz8NmEN5im+bwfjSH T4YBjhA0X0BzWRWyeMJqAlOEwJxgOTvC29AL/5bf0cPdBtXpUIrq8mEv5fNOzfvnBC/0SoFqY+/D Q8x3Zh6OlCQp2NRsntKoyulACeKUkXdNHwvgoN+JedUp6h3SrHe0XQfPi7PFMnEpbLmoXkAY76SC Y2UivIRXTXrj31IWiBFyAFZDykcw8yySMsjl887KY2Wlf8UEyMX6U6h7J+Xx5+E8FXIPKKYxutMQ xsb0cp5WWiZH+c7JqwZYp/z8vqNtuwQz8EYVc5A2FsInrjkYilYEa7JWk4kvChafd0IeC+TEyZW2 fNAZDg3pqnN5lM87HQ+Ry8U4Fb0b002fpfdIHG122sxU62eef6qPgF3XdrLV1GBfZbMUlceTrq9l JP71kPg3NT8T1BZx1QH+rK7URs1sQsntHxU8JizvTLwqMOwqbMbaoLIKdL6G1E07XzO46vL4OpwQ 6iIe8mmKAvHOJudQZY7/3MnpsLUMP8MrOGA6w8Pq+DJUan5Gb3JCt41Kfh1NeTB/pAuRKDAIrjSW 2yV4ElL4zsJjlY7gFKF5OKh7ByXeKUQPfs73QBwBav1OWMB7HM88RYF43Um2q7dKV9ZykAqYC1al K82UpN4ZeE91fGwjpDPs+pnks5xfeFJ1/2wICCcr+QNxDUETfquyr6OhYZ7fCXhVysAkaRBeULKz FQegHDyZTwbEF40KBftrokB+W2t41fedf8fYicyoZpqTKCsOK6jgJaYhVSrE5rSgwvJ4Nub+fEZb UAOQqZoIw6g57aY9WhN8uiEdvyjgemG8OIs/7+w7nk+mIcUBMCeiuc+KWp2WcKzOfN7Zd+xrza+J wiFqrE5OVBSLwy8i0/jMdx7dQxhk1r2dW13QDdJEReVxa5fjuL7jTYFBz1CQeYIEgMMEw9g49kBz hyvTuk5Y1Dh4Z94xkqHMSGEfj4OFT7OJs1jPZ3zeeXd4z1y/o8wCW7XsC+qddYcvxTV2TundDfLc adREDbdESzlCnpm+F0Ix42DcJCCsVNDGU8o8QeaJVsjXL5Cka5TGOxsQKhCN6r8ADNoJRbXLzzvr DodFovTrZgCFoPXHZdtMwe2sqvl5J93928BDfRhQtQ3lXZuoEK9CSnUibJlid41k1P9k5AFJyrgs j4O7hAX1TrmrxvoD/kKNYY62oCqTQ3MgOKmdbCShdUe5DHTMC/58qz0timy9U+7uzkudfZfUXY9s A4YhLZaW1uedcMeahsdPIhTDMiXds/ydcIcVNQmJnOabDewhSov04lmblTlA1crmiur8pPcjqhmL mkRyG71IhwWZC1VfgdBoS9ZFg52XBjBCoa2F53ddrxyc5WQGQzxWg9sd585KOe6xXD/vdDsW6dZX CLWgQlbaxUC/B+TKAwjsMbtjNP2cbJDARpWeAZs+vPPeuXbceX5CpTs6h7PAjh4bDOI+JxSw2CBB mAAaPyBFPbEBdVU+72Q7gnSZ2S1efRwUEKBGY42XUj/vXDvSK/b3CXUunJ1uMfOdaceIIrEyd4V9 Vsvbi5nJa+Nn1TmA9Z1o9yAMttlob8GS1RFGKAsIzA9EfU50KPFOdkD5t54PhAyZ8mBVVd55diQd KMZszQeVC86ulbQdSmWfd5odkZb1aznBwexn4e+0eYqK4zTtgXOCieKeGJewJ9QLigCaQqx4veCd ZMf1LPl8CYRo9CIdcAsLXZGOcHCmLTHOjet9omkBE9ZbpENA8nmn2D3z1NmT4mDzdCJrXXh9j887 wY4b6J9QExTzdIFi7/w64ukodl5m9lBzsvyLwCANo1GDZg73BE5TGJIrD86U27fR8+BxViMqdUBA jYmrwhRHCg5R+ppBRMQici2nqDROMFChkrsNSvAALxbFHrTNd27dP31z/IqIh2cvnga/E+u4CKWE kb0jpe6+7HtzusbZafs0/R6R95KIsdBo0zTgGIY0kpLwCfwD8aUQP8HB5ocucoYTw3HzeWfVVbHi YKK27mCzlKZEt88RMT/vpDpiOy/Vh81Q1CtS8jCzhfE4wyfJSDAPXlR1oiUDOriWBy/KzfOyC6Hj 6gDvsusdbdOdbQVB7Wn2MZBCFZ4OKrmSWsu3D0xqLb4miAkSz+5pa8pAe+d8OIEU1R1O0NrweBBk 9m/OWGWNrnops4XBOMBM04T+qjTtvL3Zk7uhQHPTaiotKownxUxr6MpbXtmnkOTZaH2R8JPOGhpZ KSBuu58uYh3sUR2cufXGwU1HCv6SPOmqFvKjJp145SB1GHg8gBXk7/YmuEUmCEXGTxSLg5FGupiV nvpyLXOYrS6XRuzT7TH7eyyOIqHAmYTG2Wilp4ouCvgGLKqUQvcv7Dn+jqgmnnTMU7tBKmuPKZrq spTmgxbTWUJdQsFt8/EIEP1NrxsUyb+Ygh7WxTOlVEZxQQcW8lWgq2oCs0CXvcvSI5wK1e7P7AjH pFGzdGaFUrc9y4AhDUOTNTg3gZ6IQma+pQLI5+F7goQlm7BR90HTdBahMNjntpt4PAgviyfAk8ny QvnpLqYwDBczWhoh9I2p3UtPrdarh9jcfaFHdXETNcJn3dH23MnqS52LJRVA9bccMZESU7cOHRwo P3ilQASyKAwXki5PhlwZFkqsgwB0tuSmcHZ4+fSQrnlBBczsAGuZCFltpkLCZhOldbpYVuoOzZSR vCCHqRSTYetRID6ngS7u+NDI4JzcRG0B+aOyBQXXQiznhrJtg4+9y14wBe5RIF6o9L4lirgJ4MNE AbuX6b4Lgu6nR5Vxd++tsmAHA6LvfRmJEW0T3UzwMbJpXqBKZwTy82uVZqET1Rk1UTFKRaogWfxN 8T2s+HQyk4nIEjtvwUeUuxT4N4i2kqk1nSYF6KzeOGqXc8tJOZlW7FJSQe+hd6Ge9sTzwUT9U81k NzlfFnkPI3GaQRsXgfNEqIc8BATNxDxl1A6ZAfeQuynT7GWMe46esUDqkwjGDlQdIh5hx3ntJYjH oI13j6ia9cpBWMByL6TjOUCOmwfTYLcZE3Uyu43ng603v88o9qQQaNtEheXxOcW3VyHlXHiNDLtM ERWe3zRXrVRvwFEex+LSBRnS5ObopDKUMocU/cDn3yrknclHTNYTiXc4fF2IdOqVo+KT9It089Hm rZguSBlFXSnY7/UoHH/oLepgdQD976X3Ho4j1+EZBUGqLFZZQ17yn0LvvUrBBsQkcLNk9alH4fiW ykwriqRaudWnAet1KFeznFnB3WCzoSxa9xYAqAvQc254QhXSHhXIdeudbdt8MOTTNup9W6nh8ahY 4MgnEYL7D/Mpm6cIPt5lZSUdTRSfOhs39L4cII9gcYF8T3mCzk/6tZzZZR2CkeV9NlzOhPSK0B9n +YAMIuXpJkmD6CsgM6oPfvxMF74oCKKkHtYp4sBBDRfE+eoHn4Qbj0fAzPV96YETONMTRYUheaVk eTLjCVTpmjtmr9HMfbYUVJW19aL6+JJHKMWPfTRc9FmPE3xOIo1g2TuaXXqoEZ//Es27eoHR95UD whRXUtssZzbXi6gDqrZdc1YmHo8Y5t/wgow++oQ3lVHMo6CcUrijCDVOr6E+rawCJ6BpaBX0loxj HhXIp3y+1lY8xdEO8xMoTNm89IFWxWQ6mgk8oLQHsNHTCS6JZ/mIonJ1pkh1tMEIU2Dim41cxuNB VN7vghKkE45jd+eNKCwHCRlneUsWRa2Zu0dR00xVTyac3ZNphPVxYenOROw7+oJCxDnBxEI5cy+u VEBYM+m5QODneUFihYr9+KKIz0kPiikrCiAZhyRBIPLOuu+J+jOe/6t1zugg0UjaC1AjBI8jyT1h TZEoyEke6pi+oEoyxlSj+KAmKqqPZ2V3VUcURkY0zPLA0EhTvoQN6j7J+qKoIqAI1Ia7wFDReuBr Aghrk+Y2YylYzFiweaKPyb/y5E0dj0f9lu8YiobwZXrJYIR8zk5ENJe6IKzTmC4wMa7e6JS/FM6n EQXlS+py2xqem6pQmKWzu84ahS0TY6hyIrKczM8e8CzgPqiObIEBxHfxNb81Wwh1t0GIQyiS8epo kDccYW386l9gsQ+aTtwewogi8s4k7ylmjkT9RnE2sgv2nXvE5bZHFJGLUXe2p9hSHL2YiT7d7mpK AbuSJPO70FGaVKmB5IuRg8nixvcEe45KyFua92wSEe8B8GqX4+U4Zx+ej1bTNyAaCfrMt+g7ooh8 bCEzs5OlRklW9K15t+3dYCxuzVMUkS9F5KMb2bxfsvnJFYCLhewbKC7QPuO+ZD8dRd9zylwJ90wl WHxNsOdIP0C13gd1WoAjHuoFj4nHI3h9/T7DmQmv5UHBCHHjbJr33Xw5deJzNU0pFZum1Fz/akS4 catiLrPo4OioHmjMrU5eCzy8ElVcoO6EhIFeG/NBjTd74ahxV2CVir8PAzRYeDTV1cxxCXnwCGPx +U01RzmqQ5jUZikqjYMTn+F7Nb2DgMPKKGUQYLqUMpN+HFEsbtCCtW22KEJ0/lukwXBAIZuQNd9z JIlUxfbKuWYzeGV3ltDMw9dEgZPgq5KdqUgcuqq3GdHTDyXbO56PtDBuKC5jmHM3znSxBSMMxrdO p5o8DwZb2FE9FlA1VKF9ouJgXNU34Ss0WtIyIJBfoCwHJcM9laygNVxNVhM6hLc8vv2Vg2Cc6Kez LnCXJzqY8k+GOuWW2cs60Qw+IKgYlPW1pGD8KX9bfW0YjRfutjGzn0+1evCEWMFjAnSoWVkZYYFc wHogVO9oMwXJkUGHIKgX9ImCnVAYw0Q1f4A5uK4JOsjDCjl7wQyGMQCEID17bAcyCU54VPB8ABS7 zBYuwBMbbwBpTMwoLJFXyh2z4Pofcsvq7NY0z9IvAOsVVgTK72YUjW9rAm/BejheiD0oRFAtQpMT DTyx9AhMmfAXgFuqN+/IDp4hWMVEVdIdlKnULe4S2JwVjwfLqTkGozExXJ36bneeomi8shl8ropq sJ6UqaNCYChlsZkHr7atBDXDIrk1g/+7VLcB910JIS8AddDD6KKbE2uM3E/qlJ4Ho5qLL/qtmfBf ivbnp4bHGGeqFzweHVLf9GBduVeIbkbBeKL5fJcuO7M70TdxROFksewOTrCmjhUzOVUnmGZGNR8z qrMjKnqauPEqoPCSvgcChoBoAAmAx3BUD3Ue5u9V8ooQ1QbOE5XdGUAByYDHo3nyDh6BLSecQRjv 8xSF4/SoPud+dUZZ7a15NwFymObrAq1BzVNYIxfed9rdNx8Vmp3O/Vdgdox9B5mmzn2HAl6B2Pe5 89atqlB5GV8TzBKT4L6bWi/cRrJ0WfTsAKln4vGI2eKriUAEtrkXqsk2T1FAXgthh2kakHVWtTfp TEztbpE2gMfVPEUBOTIIgnlKuuMF/K7z5+EWBpET+IUlSTqYXW+IuhZqzltDmI5dMyRyCk2nAjAH VVVgFCOHktIrHg86nf9kd5ChwXL3aYor5BQ5rsObU0CNWw48V3MD59m2qTzMCKtiGsdzivc651Xr OQFAm2gJV8bjQ2kS4nGIsJ27Yv4g8vQQit6eM4rHa1N9/F8ByBO7gCfCAuX5Ajz+F3saswQa206X njgjqAr7vOcQb44eT8ltrk+gOTzQXKkbKnpG5XHZRrSZRZji6JDDXVHPlL0uIJSkudJiAuXpRiHI ekH2JE/PKB5PjAbmJp5u0oKJBRXUChhzoqaJx6Pkrn2d4QUua/sSpWYUj7MMA2qlJ3eZHAJBfesN Mxmwa8+FEofmflrM+K08bNcT1pytjJ4EpHoWKHk8mxa4U4ua0bhLbhNh642D9h3ZwB3tUBtUoQOW gtF0XZuPB6vpCokxIIUNwblb73KKsCpTBpHznuFLzoKDBkouadQe5NOMwvEhEgK0f+94k+BmuN4+ 6JGedTaByYYi1eKvcmOCQZD9jMLxltRDoEDdkFIiqwUQJ2eJ5Szciucj7NM/Kn7AQ9O93WYqJHI2 7jb+/BITK8llC4ZOqkoxseYrKtZWIRAjaQNqtOOJTlPAKaDRiUiqquNw3pl9a0Bm5r3uIBjL74mC J7J/Nmt00vXm31uqmBRgKPbPDLHjN8OTZsg5oE7K6TtvRdE4gBfI6qofUE0ozUkohtcLSpteylwR YGVUE39a+Y62806UdI5viYumDU6+RLV5GA404tq4mkZSLVghYGUzBc6kTHEQSCyl87wAK7Xi8YDI eRHRLNItQB6vgt8KK+MuCO0dhMrUSrOU7/nU6e/OWQpjcYfWW4W8XUf5E9WdAHiBXo3L7sSfy1jm hSy6E8nC4MTBT/hb8TW/waHblsQDrA9UcsL/ZrOWCTkSPB9h6b5bUlNq1HeaQmVxEu+EbxRjY7Ur 5V8dcdhYsdA0xYAVlVOKgcQKw//Giu/5z3FnC796prxYagebbxgxooP2YOnOL9fwPVGRjh2Wzv4B B+F6BprBJvXMx/+UGGXEVQHC8FLmCnmczFgG+V/SfdpPja7lK8De3JByhbBxqfLN/DU6WmXh/6Fk Cc2CDWEs8/oGfBR50d6PnC+hdCsujBOR2djf3KhHcG7gRrGlh4GbAs9HKfBtmOPtcKmc4/ECoFYs Mi7yAWE9k2U6841HwGNkoLPtmuPrV0zkNE9m63T29Hgyw+cbuhgyaFl7yyoTu+eMNORF6/vCeqZe OSjUyeQ0caoA14fcLYsqZz8vcp3QLpr4hL+aLZWHGzo9OzW/8VYUkAPqmhnmWKmuLsYGiwoPW5df KzTa1GTFyirGjG71jjZZTFAzqBEQfoJj1DaXU+iVIJT6AQDB/QITJdlXBFkRtofWCjYoD0avvkoO ufM9I21/v/IYMEHxgQg5m6goJido5GQuSxEmcr07UUjArAA1ZzEDvBXG5FYwKP0Zvc3ZgNBclKBB b3NnKfUk6iAXCkOiumxAjKxL7w9plURHt55WtlR4gbEmfYvU8PifgmI/wop9JcIrCsqBDMsgpVYr 1A2aX0rHb7N8t0EQxiWjeYrx46r9zlTu6InweYlzMi16KoLXR5ffDFdgzOkG3oMLygAryLTwRb/F UDSs4ZBkYrOgJMuwc6BUt8Iq+aWbM96aFHe5Gy8EkBPQVqQOwsIKQx+TVjGBsUbOu0mPhzVytctb WumOVvntJz85y2s2Fuo2MPcCrEDlAYROYGcv87VAOZuvHPARGERV8HJs4BmVUIBlORfmRXg8wBdc 4iuPc75uevQwVszolDlZv74abjcC9GHqzkiA8YZmKlYgV408q7TC0Xdeh10g6ICg/Z8/bss1QugY RHE/hWa5FkhRMHpFUTnbUwBGTx/UxTtLeklL7CTieDxaUV6EYppHIb+H+rqjqJyVoz7nVTXKe1XL XtJYV1l7utToDimdqvlC4uGOtqTmWUeLqeJZUhkHFP+bs3qpQFOwWVO/fTxZoe8oLm9ZVnddA/Ad OqLO10xtvcnH/xcmEPgiZyV6Przf43J0AAhTGbt7XC6BlQGJCfkF0n55u8PGDgVWtKBKHs/o87Sw K/ZEewoQYHSssKDklVsBr6p7pHvn0Rphh0jyqqomJTQ5GO5pZMnlUql9vwfm+D3/gfZAtBDe7z5R MaOTGocghKuoSWCJcYFWuraAc5po9P4jMh/S9dfouF+kxFOOZEBFNyuwMK6eBM3Uq4VcWOzB9wTz xDZeb2S5cBAYI4GqI7f4NPD4X4ROOWydHw/lQpumkNDZKeXVlu+73gxvgE6beXUi4XeWyw4hK92c f0RYlLaGDqh1DnC0K7rqUGPTezyjTUgkFJrAFMm2oxwpFL4o0qJhTPB/tUahidsok9U21lMEWmnp u9mJxthECmXzFMLIhdEs6yrat0tzKddJopBJpXmKCZ08l+YQd4qjhwapnuRisIeX6QEgi5cE/9sJ 8BU6TF6uA9gFX/MbtAcCaxyQf7JIVyEEzqgcBQo8H+HErhANOw+AkWMz2TzFaocModL2et1g8i2N FZkKV0ohZ3fcCEErOp9WlwYWR6tCgW0JDTcmxIjWm85x4n1gfAiPmStgQIO5HRXJEydIcpIaDAK1 YFChDbjw+J8afozIUSXftzW1I9AKZKzZMM8/LgDlZlKo/hpSrMHl0qbpPSIv/68JZT9MMXo8itGo 954101UlB5h5C22/BotQ6JECPgJ6L9O8pjeOeK80K935DpqntekJxIuPj/8FgdIlmYHNv9MUSqxk dvBUeqKICErrRi5rxXcdhCzEVNwxakUafqPVO/pqgsBNB2sN+k9Qdh6cSpzj56rBxi/Vs2E2qfg9 Ee+VhFew2W2waTpZt+LMkfh4cNldYLQKoBWZXveAfMdVctY0l6ka4p9VNxwqFq5sVCaot5qnGLQi IfulDvpc1wxoEQSVkqBiqNERwUNd7aV5WqyvCLKyp144OJ04P+gp+qCaJqw3hvrmdeDxiAtUv8Jx VA13vWnLjoJxJqJj5OKqazNVd1WGaLFvuu32CDsCrEz1OZdhLJZ3INnAA49lEl9w/nUSVp1fmbJw 0ETE8WC+5oxO8DVRhEklyEk7Vw5iI/RcaHeMn3Tg8b8k/FiEyWQ8XtqGMEKvX4tkByXr5WnwYOgE vAqiEUuDGwgLxGFQpvD9FZiJABfc7mhBJqAK+4TKhYp5cP4xb6kCahtcAVm8BRBKdkDbXjpwcmN4 2Qh0KQ2oHBFgcYYOqfui7rH5ERGc9VtVbJDw3q92CKV4gquSGjSwbQRYBcTVabiV3CWEvIHHqM3d b1NULIeFF1VDer2jF6Ia8XWUZMVN2iG/BKIL4YrwQgMgY13aIoNyfFMkNlrACxs0g+Wg5jBAtEQK AIzI54P0ZXzjyDOojntc7IpkBV6fa7RRrI4kB1x6Wi1q5r6taAdZVbMASCGUXIurmkcQx9tZgGV6 qvJQqieUktSKxHsAn/8p8PVxPWQWD/BNETCDIScYqFA5WueqkDnJmtIwB81u8QOCaKqUr6ATaJHZ 9rMTo7o54d8DLC3L9rZowwQj9umQg8U1q8mKtMiHuUUsM+xe60n3NsKPmuWouOeWsDuUy+A6CZJ/ fdR+S5JjQoo9grgTV7mDSi0pnWiGMShgB3g+6utdCR88iE7BHLfUQhmHIAFiILXSsConwKh+bNHK XS4cbVbfhlHxfOjM7tZo4eiTdRLijTkidJPAqGRlKcpCdXZ6u+cymZxYfFPQjpGjSyEGgYOSmU7D PZ72I/P5IJu5bSs5vrHqn64qeU5h8ZydKwijCGzXIVdpGlqogdqh1Xu9h3xYPTcTnKQYa0qa0IAa ay5cg5RcWKgRq+JCRiErB+TySy6jMFThN0XARFE91/ZBs7XBIebOqkPPv89WzelrtqDEu+F173MV +wUhpmKUaepQAJMbQa9TRou4mkq/oK6PCiIHQVzPtqh3dCbjOof5lslyRcuhJ5XxkK6hpjDxiVfB DlxsfdNvLawG0XgbDMR5jmoBC86X8Pmo15C/6y4wof3ZKT/7MFRhkS8Aup92HSLc12xhm5ABChWW fPdhWEbnFXcCfUXkZQ9PbqAwApjGYNHz5Jt5VcU41H/rwMKdAOb2ZRDB66Ujcjq17gcbM+D6qd+Q FtQB2D0+D/H5vywUmC7PE7ifTOI6LKUwct9qORQvpa8+pqM2yMER9o60KTkvRmIsRl8YBnodX/x0 qJRggzMTnH2ZT1xFT+hnUTMtfaEU5UWVfjcP6rLG4SD0Hdo+3QAJhc8Hu7Be4AZrjgTWFXj2+mzF 5XT2kVG2tWpVdYEfSW+bT+VwghU+6nejylLHHb0/CkZ3zYK+rr6o284ycUaOg1AWxQjn65FRjC+K ylVNQUPyQbN1foM5VPrNk89HcKD9vbIGEG7AdNtchZ6e3GnYB1axOie5+wRAKj1Z7jxYeZdXZQh0 URlqTSHx1iMRsVEogd7YoPJBB47HgC54RaBN8IHXGqd1e+ffiOoAPvogjNk5d7IMFdKYfP7PMwvr iv6Ct4+cQ1vPJjAeBevNeqJVLzOUW9xTUqypigL4pMhhLzVIOTpCH6YlOPaYGZIgo/gVnfiB1BzW dS7+R8xi/sPWs4sl011D/tzmvatQhpuFz0fSwN/Cm6ANzLIf/9Mweie6cwrdqRTaCHuV2jMqNJzz CrEYb8LQ2dNwi2v2fUc7rpCDtAkDbKDM1tjLVJGKZApRkSnPVJVm7xw1tKRN2rUBicxgWa+fq4Lf mOrg81ED4ttXoUD8rz6xe2DuCYdZw7y4dvnQ+mK1gdr9nCqgcX2qwth9SetnmG3luLaVkDA55zXu PEgfLGy7YoHF+V+hXY9pdBeh0eyVfxEprZQUsoGLKgEvYjLK8NQN7D2hZeNQWL4dmjTzsmZz6O+p mUpaPhRHeiSkqmTbCi1v8/DtFzJCVVRfwwS6x3CwGSB5HWD/JQ2pAfclnlQQExr0d0D30epXfXR7 5wCfMAlaTDywJo2efihDMIfxzdLYfD5oKM/vhjJoTL2lZ1FFEuadupJp3RJWJudbhb5KJhYLfZIw 7/qoAA8rDtEyi3SOfqifS31Re5WcBjgGiNmP0hWOsX81zPu0d46OKkwV8bY2qNYHlysJcJ4P4vNR Y+vKt/Hewf8EMvROVsgMpTQZ0apKCJs8UKkEneu2hDBBOlLZc+jzucwjvZtHer9lURg1ozsxWMcC 1jzTkrBIMoEISnjR3ZKf6lih06ekzLucFvp1WjgpOtrXDK64A6KwnRJND3MdAvvoivlchVotQ3Ho ap4812JlmXOpzGFzdeLa5nMV2gpVYTrMmoKjzdVJ8M596piOc1tnciNPQIJnEgtpgNeZVzor/Pym aGURpaceFwfOVT/Zs+rWKLrx+Yjh4JuQBS/ULVD78rkKY3YcsecbrGNzLqBy+TJZ5l6oysAty+cq LLcrZq+GF+J48S/kPvbO1PnEWFqDhaY+GYBNnFX74oR6s5cOCg2kzKBZ6YMO9Ab8HFfF2ed8Pkhw 5j9wBSi2AJzrcxWi0qtCq+nrKl9r1IIwlP88vzO0C5UMxpafnjorGWzrJoPQ0E4DCTQj9gSKoMhq OLAgQX0+HFL5l4Y17aWjXhdDhTm7D1pXMOqbMgNHITl0/azlH1tiSV18FRpC488ul6FVx50tThxm i+aJAurBxMdmK3T+XOa4O6yENZ4S1rkHgfBYEuymnLO8FyoB7bL66uPpeDV76aiXwxiUrusYsmqc FbwJHl/Qs+Lz0Wz5icUIH43YB9OYQ+tPCucNUClM23yyx8cCVu/ZdLjOiTXuXP0BUT+xYbujrawT o58AKwkyBKMSc0kFVRuwa+xFoE5d2o3dwRyaf+ou7PKu6te7akMcUTbz5xfn83/iirl7z8e0S/7P sfcn8DsnJugOAE3NalnI37pY2/AbcsZoDsw/sa4UXzZD7rVH6KaetAC3H08saMXYTbjVQ4URSml5 39JoTfbSvxX7etcu7DTjwQyhiN/pDQA9A35AFLh/E9i4JNKjSZ0DA1DwKFVBStb/6hL5wGwVyHSp NIoiQ/GVFcPVtQvNyKvnC2JA/R/oD7jZnbN+QtGHZxbkqaEzhbrrIpdmSz9p20tHOAZWkFMVwLia 4A0ceKXQAL0BPv8X66j7NuRl75MVWg91CVFWl8mFeoWC96wmFHPnTVgeg/fABhR/gvXoa72jBe84 0s9BXOnpXGiZyolNFcgYqkVnqi5rZdFiIMc+oLKx2ksDihfiipwgQmHwODEPPyCSWPQDXqDtjeOq PJMVFd0p5jbGNiM0dFZNBQfoGOdogVKXfLJCeIwa9X2rkMzRq33gLg3kCNC+GfizSGdD1eGcrUif 24WDek0mNAPN3IazsffFQZWGkjYNOH5g0Mrno4X1rZmAG2G2p3wV2oE2qkuMa5s6EfJYUjh9taFZ n7wwGviBYhOaR7h7hs+b6XR0GidwE2enotQwrdKMETyMk8H6ssp7TXvlAPsBQGhNyFhtUNiA0ENu Tecb+fxfNRmZWkK4oT55TugIOmSCLancKinB5OWravUHJHE1+1TFuHWe6rMY57Z8icEOaAVlqmym k8cVM9jpZCwluvyi/matnGyTFQJl5MeQksCh56hmRxXaU7QEOl+Z9KYRIvtbGQ/Y+fFAQHLoCmry LmtWn6tl0Ec4EV256kngNhPowBY0E+pDCpKWDEfHhbILhl4gZL2hQskqc4VPys8EABsYE4uvYKGj 74n4pCw15F194LICXrlJ+y2jUx/4gkKa7h8AbQanYu/+nFbvsTtMjBhWtX790sZ1KaSJsrYguEU+ VzGlVFX2LAtCjt6qP/kgfJuKooadCNAEoXSgPYc7FqZ0KFESpVbsnYNltWQsRzTIhBSRltUui4Xc HyjO8fm/KsjFqjg/a1/BxRz6gzIwO2fFcNzjdGr3matxecq4ymwPxgahAq5v5cYa7bhKuAQnxDcB VVvDeTi0SKeIJcjH11y92ytHBzsJpWtlH3SwJ+aEP15sDxxCv5XQ2aYfFHq5B3toEUpk+lDViKuq lOrF9i6NbxnOInrjqgo8QrObX65snh+5PMjsvOBcIbDaOcWSebCnyqSVZoXpwdKq2heYhOJYZLye yJ3kYFsQdtXyJ5qbz0dg2osSbRLeZaH1JoSxUehugshsLzUkNw8/0zbUr2jCAClwD51CbQvW8TVe TANbHgSAnHh+TZnNQCmR2rCQTphXBq50dbxCs9CZJA4rHAj9blnmS2kmWp6i3Jr5AdF0XUIgDqwi DdJ085zYLxR/Pco/zoGvNNfiLuzSoaCdTGNOmPRRQXnU/JtUc9do07Xp6Zd4YsGOdjaTHGYrrbJd j7vWNanUqA8sQ0FIZ2SlWTuRZRHupeFbKTYCwnjmB0Qb8Zu6DB2rOZ9m6i+moZv9ZpeEwz+TlbEo Q2RUEojSKc0JXEPvkUUhYB/vZK0zIZ26wyfTP7uuqzTTqBxArUo0+lyZCscQv+kX2FrBQcsBTR8e 8HBOp4sIZOEmP+BP1QCEo4hqsW99sqLIneVOl5HXPy81cI7lxldt7jtZsfqL1UXrM/pdWMBWTyy8 n/UKFojs6SE5cXI3VHsWmxRJGqjNXjoivfHYAl/HBmNKpC52Ruuojwb+od+Vd8ZY0BItT0oYGoiO qtJVNl7uKMmgpIyxpkH88Kf6LozgMk1w7T7XvqPX/M75jRxOfNMTRCkjqpXd6sTmar2A5MKflt/0 G+2NEQMGNHglO9zhpMLOe+LCChHu6dvIAXMwoQ7gkxUW3nHEwmx1+2S1aiW/c4OnZCW/ghavTVYY vFuQtcUw4ej5M61maRU2IFpJfrcC045pQlkAsY6Xsfaylw52oVC2S4Kfy0PScwmWZqIlq/P5KCRt N8oiyA0q2XM/2zAsvZOuJPY/sUXwPfNCFjk6bKqOnqdvwzB8F1ChzdTu6LMFJ4+5qdiM/HlIlKEs ksoqcghqazsSS6X30Ey0y7C+MXrgoNI7BJHoZdX2Wnw+iEnzd+mdccte6TngQ7w7tXMa3d5lk5ln sTrWpnynXMZTdSBW4CdqpClC/csdNVkNkDXqCaD/NQHo5nVdWEyEqhEuQkzUcsE3e+nozCJKvbLq J+Nt8QHAzGCjAskiPyAIHp7ajEkVAi9zW6uhqSjx3tDbKs6h0MQxi868GQtV+IeDQAJXUYQhcg9r LGRpNBDIRjERjoYoOJzwNHUp0I8CQjikMCYXltzDyrJXjuaKl2GRL1ZJVhVGdSfJK5PIhsBV1GTt nsABitrjWs/k0FWUSoAjiRTAkl9eFsHnTh9U5dDFzYxyaCtqysRraxY4Wq5zTvR5kuc15dq3ShFm DfvtZ7GvROaS8sKhICuwFc2WF0LkhAPjFCaGJ+hRpw+xCj8guAuvSAXjjbOqT8p3I9LQWLRyD87x eI4vd2aHaYWXsUCBsGwncBZNVzXOPAvm41mwToAAkmCjmzbYcZTSxLl+dhNrpZB1dsBaKvbKERSS EjqgDAKSjK1brOI3V5JqfFn8gMgF4/smxNk+gJzzqYqCd6JEQc9xKKTpxXR5QZpcI+rudwPGZXf8 +T0lNms02gYEpOSsJDqrJN0UCvQBZDr5wsAeuNInKdkrB5kOTqmamElrsBQaJy3XSQYKKzQXfVhx MmjHUVWeDRgqqOOVO5jZFjMktiUpHkdLAIkzzeLK4PkXe1HdftVuw7qvSHGD9wgZzbBwWWsDfUXW 7vkxd4G+dcoXMAPEq74oCty581TFGreKdX7ZIZA6DSFzaDA62ze0DwJR1Lr3qQr5qIOG46xuUPe6 7ezSJ2tXnyoIcdgdGDiM3v5zzeqAcfTOF4qhKCYjbofTISPns86owlNQFSpAzTpiRklO6DHarEnP jmpVZKrAfbdF5sn5hMYPCHSKt+9AdgUGgZBP5B74jOJkp1CMSJaDql9EGhExs5ycA4fe4gsritw7 Fwv4WP2Ot0+4zyWK8jFmC+BRVgXPakXkAH2iH9JGXIJ3JnvpX4NR6ROeVd8lgQIj6kLth5OIZD4f QbFuMIrwqmMO0lzPNozq7sTyO3Z7POU/in/Rp5eqVuzs2mxFmJk6I0LhQpp0YlFiZhLFG0m3ANn5 LI+s4vu+k7WzvXPU+qLmHu4SG6yb01DT5Y7sm8//L7hRKiVjsftG/LxGVw0ra9IqK1maAwy6Fxvg HmkrCzgnzdVrbNWuM8aUiYpGm6kT5o3eRiKBYk/YtHZV3dEUxZWISN35cXSNfo2sGpBBmJ816YbM QafV+SmXwsq28PTLJDU0kBWCNpm8V3hGM15njBLNECvIi3A2Rutg/Hlqw8SDbllLhByspmCGuvoR 3ZyQ++OEjHwSSh9Ctm/nWmaULUC0QmaJ1M9cMbjhP68RVUOBDMfSkgrKahaMnFMVGaaI8wtPv00R oKbDpkgA2hOgTwARNEev0VTL6uiPTl1J6ciVZhdfTSxaS0du+cX3Gku1K1Zh2ksaLUBAi+X8T4mB olWZ1Tasie4PZ39BM8PCTplDvkZSDT0qFhOqOjf1dm6AnpRLVt/t8xpGYYKtCmqdfJoFoATDGXoN opqYiojNy4Wyj2XG42c/LOtDFPYVOUOvIVQD9XAZLHve0SLzc+Z0kA4LI/OTH0817BP6HLNJOtFn iPyDz2sA1ST9Ru1PThR4VrywwFFqUkA8R1T+vMZP+Gv7tCnyKgJSaZui1+gJD00yUGmCoilaOXtn mVgJk/IobuwbLKIhVHqtqn7W+qU0dNbQye75m03QIgwKA5gauu/nrjp5+VVP57d+XkMnHEZUtegS T++PePr5gCSwBjrwr4FTM6KfltFFhA5KNmKSXuMm7E/2lKnQa/2s0m4/a1pT/uQtq1tP+TVqauZG ySpnuqNXO/c5IaZMVU6y2KgPwqLUpoBzRmRWHLVXkoxYg0lajJLgH+WDiiww6Ra64/wKn9eACWui +l5Tn/58yGi+kF6jJRzzzO1Gr67DP6hcwzk67+3cLYBKzCokmiMRQWY1PfD66IGjHwqEMYNwBJaL PyRML6Esj18Js+Wbjanda6DUVMM+127utDFigZrkjzHPskwyxar98xon4TS7txqBHFA4GsXX0WuM hBO78g1pBCE58FHt3qcgvMXfsLIwVeLwxC5W1HxGW0dnmZ4oS5sNC7Jtal6euA45w9mW505gkdui bzLjXwMk/BbUsTx/2PJBIA54Vw6J5O38eQ2PmonW3AMJS3t22tBRnC7aa0QFndPZUUEna/ADCR1L P5AgCy6RuODMNgBVK2nc0XGMvREsA1kKJKeT5qcZ6SLIpTQ0nMVxjOcSp6xdMEeLpQHSejEQR0u7 GdQChbWDOGp0r5Xvew2nMZ1PNEevtcxm8ryI7/w8UgDH4gAtPw2LgLhd2h1RdNRNadBcedLtrk+8 fmF/peOLSpcaA7rSaNxCiKyRL2uIPLphvdYx8VvQ+JFOrRysGJLo1MwvPAnL57WIifNoNF9HnTVf WgWu6df/O+uP13YSrKzfIkp1/aWa7zydC9bheFGgPQQsoxOmj15uanBolP5S22fSRzJTnkJ9ODA/ ofnnWjBKd99jbRlKLZQBlg8q+MJGmUEH9eHeOX9YjAZv0XJCpXciqrB5iqJtOp+MTG8bsiNp1aw4 iYa+pje4PAh4Z/xxnkSOrGZGV9cNtxe00kchDOgkwDiueXhnEspR3SatyRvFdBX5vFP+mrMdmqQ+ OfBkQnE7s9OD1v3nnfHHiNuPb7L74LxMSU2bqPeQ27vEJbV6N1665KxS7ZIrmxxKgcuCidpVt1zX hHG8aI0Cq3JWBDKS2NrMqOCs+0W+MJaW5SVkgX/e+X64cpoKl2wkcNA8wcymqCWw+XgQL92tp/6f hFV9mqK4m8bPEM9wfbiePRYoW3A8/Ni79u34n+AQ79UkY5WitC9eZB4ou+Ea69jX51JiL/38DFBM BncdTmlX5zOpPRTlb7mTNcOznINS3AlrnKVUDn2W8IT6PsU7K0zdT/F3ql8zoeWxUvVjfKfpdg4j mXWB8Kte442micdSr5S0stF7LAOBEODUqJFO6K/aWQ/wqYgRAFNYk2WqyvPr6bQmi+Jr4uhXxQRO YSyknSMXtbhgnkTA83mCFtHP2FQ+40RF8TeB46Dn+7aDJ6etp1kN3wIkS/L19B6A40Yw9GtPd/RU 9yQpYyaWA87hfX4Nmc1A6etnAeZUUrruj4MWT+80P2zvvQQQ7j5wntAmmpJ9GaV83ll+zar/nBUT q0JJfd8FFcbgiGLPn+h2faPI8pHS1mJoDXphNS+FR0H4EB/ZjeU4ehB+4svEzjaUOdDvlIPvWlCN GOJDJnc6ztve+P14aowIcPX44BW4vCj7eq67/Xnn92E99X+rAutn7qe2FIXhSDgBPF8XZTC9sJsX ZX1VfkP4pGmK4vBhuUpJ+Y4eFlC9gp4AXLlFdYHzvzgx1obABCvfrgUwu974fZro3HvuLMCEK0Mm /b3n3BOu4VyofDwKMsf38QRZxnNl+jS9R+IQemHI1JehfE6QnG2aiIn6MQkhsPLULYhC8aEWcJY2 jkZbTfvcmpgSeqisk1dvI0pCXQTcZwhUXfV9WfW90/qaatCImtryQVW4BPMt1nPPDH7eWX34g1P5 Pp1QTuiThCLOUxSN98biWzOJZvg5VEP4nKsoFQOt7HGXUxSOi57Wc6I/ikbv1C3+FE11LxBkVGfB vj5pFVt843Lbp1SponCctNqzjvIdGEHvWdirwcYFBiOKx4Vs5jwxsTtTC4kCL1dG0TgyBepXJus+ 7cXAnGZYwxrBEA7qVvV+p/Mhym2Rui4K6RDAaQCNgRBT01SJoMlZrbHwfktNIIl93sl8WBDSixWy Z1xkD6AXvYrXV/LnncvHn9PXk/RUpP9d74p6Z/Nhgsk/TuvWUtK61tnQ6X7qloYZeyfzMX6S/vd/ U67OaoTiVFH8dAKdLH2vMjsLBeAb1U3vR51QLF2+c/ma4zbTpDBqAhpa1jYNxmSbwS1Up9vnnc2H qyB5qKmKSoW6jKd472S+ZmKfCPqTl+Z6vqU5OgIxhmroymiq3iNyJEG88jpAuT56vaD085OLQ3Ry o3OpZvXoGD51uHPU/iVGrFX1HpK7GDF55Bhg/TZ0e9VWZaB9os3PO5OvyaLtmShE8R0FdJuoMCaf MtBuDnDt01xpTypMiKrqc3l6tyCKyZNMVIZQcxr9NK8oQKB/0UFGRe2uqpmJUBcbBxSiR4KDui7v LD58EfZdTRTb1aCg/CREwnmdX6Z+3kl87fHJVPkJ/RQqldk8RUE5SomI7rKjpvMFXhRUaD3Fmw68 eGfwYfurqDKKXME4PtDW0sFHIyltVUDyeUoN5LOw+8iEmKvWK0usdwJfk3wyHTLXjxll8mhCit2Z Lp1rpX3e6XvNqMN3OeGMWq17SP5O3sNyolRXI8X3u0xHqXQ2TzVNndo3mKaoJu4KCRZLNb+2UdCn Fx1kuVgTBxyU+64t1MQ7tk6FCM89zZdeOQii2O2tEBmzQchyIKuSQTbX552610zM6AmigKTLN4h6 Z+41g/+MNvLTX0nVth19NlWCSnQR4Ty9h+QIM6Tmkp3nkb+64mOffJ6eF5BaQJWJqTCXYIGIBDKa 65RJ49V35l4TDI3aJMsHlaB220Ux+bkKP+/EvWYmhU9F8+RNc5d7PIUxOa0cZp++7Zb8s3mO9+UO BSMvoxm/s/bwM2u7bZPL42jH01lFJ7OG3wGk91OVkH+GVyO9XlgFmzfFK0NvHAQHAhqmrN1H+A1r JGd1EgWB/uj+vHP22MjqX8cToEf9qY6/U/a471BaqfmWNM9x6KUVimGIMNSoUMH19B6UQ8WFOkop f40ebCYw65bRFBb8RU0d6KzBCRugDM1zK61gIvjGQbDJ5dS3nVKuHwEcuWAwaMJ83gl7TNZ821Xm OecAh+SITVMUk1eiUc633ULd7fxmAG5+nFflqMx3sh5LK/R/PPtn3lHTBOWbPQGeIAkUglJq/XZo iFDOnu0A19kg1vedq/fsutqWD8pdRk0C7sAj+PNO1cNqvBUoIYQREKzb/X1n6iHowl83Sh6OCJvr IlHSrK6pC4yadcjjCjlRhpl9GRttnjrFFlBBgdANcntFpQXgynMOQ4pguQx4phrY552o1wRQQnLX 7yAYAdJQwvXauQc+7zy9/+q3wCKBrhA2TSEcZZD8ua+z4bWfAylpubPhGg5HeWfp3cIK4c53tGna Z+rPjMCh4BxU6eTCZtwOt7pBlT2sNaRHhBIUvXE0TQQRyBdkXl+Q3qEpz+XUJh+PDvH6dTgVyrBO P5zeCXpYTaRv9PkYObB1JtBquvhe6gBz173z83g4cdflUp/R63TnaEpglNI7G76ixvSASPZQX+CG 4tTm+7yT86xg9oJsSif4L8W4ieXzTs3jEd6+ziZovOKX81mKInGcYZCEvbJl8ET9Mdb1bo6tX+zl AAX9TsvjYqIEXhk0VtNoi4kFuYJFwiLRJPuTokodhiDEFlKYeQmvmvTGUcZCAnGjtg3NI1nQPMnK yoW599kO5fPOycOvuS8EjHwYJFJ7ebflnZPHUHyLOuVSeDSDF7R+tu309DXdouCdkocfipqdHVTr O2qiCuwY4V6GXQdNjUwNj3Mfoi4t6Dg7wfLwxaH2eefjPeXxofL4sAQS5FRgXXgsj/J5Z+Mhctl+ 1XX9iOyU+Sy9R+IyB8ROG07in626jEZf2QQD22TVhrMUFceTWR3reNboiR2aCCVTzYb/KDKQRs0T ufr/EcFjwvLOxGtyfAcEjFuVg8oquLDYoEJg8Hkn4jHw8vITj3s4SdR8pykKxHHUs+jrXmGzVIsI kLM6hocuQzrC49q4jmXjSq2HK7XhQnwSPXk7tXEOqm6QQgI1YDdb0E5wficxhe8sPCawxKa0dgf1 7s7SLNMkj/rnnYPHXrCXn1ghPit5QaXB5ikKxCsBYZv4PFXpmuN4kRQ7RpzUFgbi7ww8zpNAc8P8 QeSeN6mp2NJ5u0EhqXMy1TxMPgMXHFznzpTsa2dooOd3Ah5xc6RYT1GsmZjzeIJuDV/+5+wDvmjU vfsujyNqWsDz2URFkTiEaiGMVE1+uTdtNkTiFUGvgCpg7tiCCsvjKqgQBOyjZywTnGGpSJ05y+RA o2lO4lWlzgX8wxzHi5Li551710RxAo6H2Dn0rn8I54adhsFUIbz0eefesavlqd2UfTauknSjpygY R1EQx6zZiSNQ9sIvfjUzGT9Xk0PD3pl3+APknLKs37K++i0s0KZB9znqjZQlajWOUFDWoZNJR5D+ iBy8E+8YycgwrCYfLHxCp1l68Tt/3ml3eM9cvnO7Alu17DnwO+kOX7oI6JXvBymKO3d3IaDwA0HP pdDvGFjMOBi3earpjl7LRJ1gLQbjtZ2vUIJTE0t1jcq/kFG1I4owr8876c5wFJB8pWHYxAWiEHzg JuXOO2ff551z1x6zY++4QKEXYGibqBivkjxsktod5QDzfzLofkUS3ugkoLNRsaDeKXcEuAl+QWkJ Gx3YC2kLggogowjwi2RrClEwBQ3zgiVtxadFma13yt0NoYgc4VAMAQU3OxULzvb+vBPuvKihibK7 EiGXV5/eCXfNfB+RpMrBAoe5GTTgMF+2ogrUOeXV8M63wyeZGmAXEpqjI8XOriyM5+DFk1JT47ws 0v0aXDgKbS08v+t65eAwp3U9evkcqgSqG8B5iQiD89X18063Y0j+nbgsSWRfEPR7SI5WJz18mxlB Q3a1OpM6sz8mQYNG5/pz6b2T7b52nlmyr8eSfTdaPFaG5KBN9yZeYqEkZkUHrcwnOBjkZLyz7VhY ZEy+RdHfzrZbJ9A048cT4HzeyXZ8fH2fUBOsjO1B1DvX7saaq1Yvq6xer0FD2V6lw1Ggk/ydavfV 6hSHWqMleOmkxa1QGh5yA0ncaQieSxsErwYdMuXBKqu8M+2apKWRB407qFzQ8GtKFbe1zzvRji0I 33csNcGH8mflG22+M+0Yukm9YNmVB+vmZQWDKvC4ECteMHgn2rHsrPJcF3069Yc+DVMA/B0Eip2T io0CBuVnAUwmXYWpsMp0aCZ/3ml2zS0N4RHrgybqrFtVM8E5/7yT7Bx68lUdP/8GdsymKQSs0PYi NTNvQnd4OXczCxfFljAYKpqmOChXKrxauaOlwmfb1Qn6PCAGKBy0aWIHPEXpbNb2jcm1nqLi+CAN candyUEp3rknhvB0YG6+0+t4uuWvaULvYvbigJV3bh2eQqaB5odvO/kTy71iX+Pskj13eafWPVW6 KuFSjV7NPIHNTmgCY5pKT1MpHj19UIX8YSfMgWK4nD/vzDrL0daJ7Fvzwaep7WXC3fPzTqz7Fycm ijUqDLcZ3KKQnM0maBJ4ZYXFWRkyTBpoMBVeVHDndfc7eLxnRho22q4biDQHIMPYdWdzdJHvGhoy AK5DQ+cSgXFd42uC1bQlujmLD6o/neRH5jF15I3HgzCzeyZsjVGqY/ssheE4iiAzreGKBRSxN82x 4QYf8Fu1FkKLauOpqGCgUFujXXYQf8fpjcuOnailWTpHy/rpTOFxwV105tYbB3cd0XQ7sabJQWfT 7PgSrqYy8HiEpvs+m0AvMkkocn6iaJyRzkhkhUoCIxfX3EwSWqEEBmT7Rfp5j8atTHg2m5q8Gq36 BJfyM9VNZTrkMwpIoQ71Qxd76FladjdIZ+0xTVMN4N19EKpngBnIpkDbfDxgtNzkrpkh8s94krse lsaRig3TE6MJQ3eMPeiWPk0b8syapgirwkY0ykgU4NaoaaoJv3NpJLPCoaCkYdgDFMkK1RxbvuUC XF74niBnyaqJz+SD5unMFDk4hLfh8SAkKB45TSbMJzDEb2TTFEbijJxOFlC9/CRpAvY3d3M9B2JJ eIT3qDRuqjNLRV+NHmHCSgUHiYSNVjb1yEp+x7kfzoTA+8+rBeKQRZG4nK0qom4MxL7+sEueSbPC H98Kng9aLdOr46SSo2s3Z/NDvIekzcpS77xwOkgwGpyOd5Fghxl4VsbiPYrFp3jko8uxiaPNFNgZ WLQ4xM96BUKQOj0TqFPkLFAAT65Kmjez4B7F4oSNo2tgKE2Do+Kq26wWQAb606Py+Ni+ogi3AH59 yFuOExVRN4d8jrNpIFpyp0rdnIbzQbfN8Zk9DsZ50+/dn9HPcbR/N0RaO1BoQNkL7YvlS6dUNuxc KovXXY/q44v1ccAYOWDlWJPlXHNdSmF7fvp7NJ6+iEBDe08f5xMVBuNEabVlgIIzUeJMExZN+wNO VIGaObPgHvM3m4JwhVHpYW0A+kQsVKfqDGjl2nsLFHm4IKMKNW79iT0yfFFQLpAR+yKBs01q4DEc wMJljQLlazz/197jlkVnCkQRm6iwRk5DHZAGWUyBoSdbKxk4e1b85bBKQAnP8jgcl65mVQGq1qcA VQDgaTgIwAaGFgAJeqUxjiJHrOIPvmqkU68cbT2ZB3Q5xBDvYvIg5wzhKQWBbHxAxHDZX6cU5TMQ 1thMvUfkKBgMdTrRUyHUgGLR56qDWeVm9ouohGcIj/MoIt8m5rcNO7CvzvuZhAVOViI6JO1SJZKI SgF4S+iyFPSM3PSESqQ9qpLLQWAlhgccDP5EPWD8c6WGxyNS8P4OyaFrP8sTRUUg8q4MD5K8qkCd 66q7W+hghzNTnSdZBapHeBVrJ8xkWhdJ4rE8zSdOrcUlhTysUskUspo0C8XvwWj2osjPfOGLIigr hcSSuK/J1DvBBkj0O4CxHB+P4JkXRZ5YMoA7TBpe/e1hWN44U2lMq0HtagU6kIMpmgyjhTKzVX97 VCVf0uIeS6ozHJ00df499mSVvMPFs2implxdM5g7pL+qqCkdkx5hVkYxrbXsg4qT54gaEumZZeLx qAb1fUhlAKLmuiTqEQXmzF9G/XIcEt6egk+lO2aFTAIxzaMy+eQE9SQZFY2W5XVYvK5KWYdzNAHZ zIIeMBtIQiAFjL0ilkviaT6iyDzTbW+rSs5Bywg8/61GXs54PIjMu8cHSnZA3HpQ5COKzHmMj+XU Oxq/dwukulD40Ach0E7zFFbJtZDIVPPRaS4LuJtqnsYFy5PtBBhhAuzO1s8cV24NPUB8UUTKxwyt nHVGoXDLZgssThqvEOgH4fkIyzq/DvPBu+GeUSPEkItOLfUUON+lUazlWUzCbxC2sgwaPaIqOT3T IKtFLGtXYP8ziO4pAMYOEV2ogiX4NEhC53LOZKxecwXoNuFrAiQrQWKtsz/c6OigeBNwGMab5//g 8Yg19Y1kRY2yn8DXZymKyyGvD9hKdVInbA6sCFUo4iVvk+x2syOKy5dYilkWFB3OuzZLJ0wCsgrR AsoG0Bg1OZG5hjTOqJFsoQEEYPA1UcuFVnvoQ9mgKgmKrk3sjbHx+F8qGHxyENhz8eMjiso7Sxup z8vdkD8HNWcEuSd3o3TfdVFUPo2jqOnSaLW6tKCvstWbOr875L2w62jgzZrI+cDhFOG57Y2DTQdF VohpJA44BQiuP9dngjsdagEl4/moiffPhTdO7DZBVbaJCqPyTIRYGU5WHPQuZYmc0DftOpZVNVFR VL6Gd4PrHZ0ORO/GkgW03+dXkRdmAoxw4joH38+p+dQwGFGFfMqdY8i1apiQFDAYKS+phY2Jx6Oz 6aqqMNJEOgyWgU1TCCAn9HCU5bddl+wD/fWGSeGfdBhoLk1TBCCXJTbEUNMdb8ul9CoQFNQIT9i0 5PwC34GB8hTEVRw+js2Dr4kaeJTzb/JNbSwP4HA6/1JQwGR4hPH4ekhTLMucd1r7nuFRiRxisYie 6vROgkJO+k3S1UOdhHO02jRFATmzxTM9Soq77oLz3xI/nqGDO6SGlUoa0mEpZAmiA4wSrk8TtiS+ JoqdGEfAewUDrnhh6k6AIQ1I2Bvg+YjdUr6Pp5MBoUR+d10Yjy+RNVb26koxFfeKH96wGEBlWR1q xPG401ue0ROXE0qd02oSwAaIGE1t8zlcpB4GjgXWv+87RpkjjMdRVjnXOLXVCrTVxGIDtqYJKH2S +IUPCMoGt2KnVvI57tYFQo04HmdUkEbz80m4VoRPEJ7yqKBlE8scYZlcSXDXxtNoM3X2HRo7g5kL qmOyyq7JVJAQcex5RTH2tleOesLUyCRbGL3zoa5lO4l2bzJPONcgno/qK+ufk3yfBZ2LwzFmWCln xa7JRmgxGa4mrX2W0TCjxgYRM+V4M9YzFEGYFTEbHUReiVXp3Htnb5Yud2NyhyeprPkB1pEnPEPU ivaerAD2tQIAT38wYjtXdsXjwTTd3nni2mvQebuzFIXjlQd50iJijEDbY2bCmYQmZsJnFpfNUkjq pINZY1XOR6tCzbOAYNIuEYN1Pq6Y2xk9E7j/Rhk3E0Ygjy+KmGU0HUzpDponIIhYSz0TVvB4lN/t r/wO0CwapNs8RdE483u3Cmd6R38knlBnZQ9L71JP5gEw/2B0zi7fBI4eF7QO7Dj9u9BfzlsAxMx7 pALtUpBMOLiHag8zrJQT3NMqGUEcRCdD4kjS8LlPKx6P8IeXMcXm+TlxNsHsmqgoIId0NTvmTsFr cpYgbLkkxxjM5D6WMyyUL6k8VDWfON6yZgMVkRS8BCioGTElxNMT18het7CySCybIWRFrnkJOhEY kp3HaFM0KbSXiccjIdH8te0yP2bd6u+MAnLqVXknuMMwO+/pFJcxqmNWYNekaYoJnRI3yo7xKQ4W w3vA/I0YqFIGOHmUh4ZmO2jn5ySm8rx1henbNUNCZ7IJaj6orJLPCi5y/ewVjwftzguqI1yqQEf7 SmXOKBznQTFgEWvbrrYxLIBaOZk2XaXtJK+7GWusKF/ppd/RVtOZlQn0IQl4DUAxGQslIBdg1fiz 6xNA0eRzRuE4yi8LIOvtg4KCsYhsAwBqDDz+F4uaXADw2TZKijZNEWIFBWK0pBwejVpX8jizXglt Mm81TVGJfBnqd0s4k6PDyME+J9ngTNO54KoJHhAYPUGkgrHSRduTRT2jeFzqvTszDd5wnJAAJLgB xC+duJyv+RdEk1NyjlSWqG2aYjonlWh68+BJGgCC/ObZ/BDfy/BPM5Q6VCdhZ5V9d74Sx7ucSLOu PriazmE7q6ybN9BXLGtltKVvI2HrjQP8k3RpC0Oo6abHQMiKifoDlige/wsoxnC8QZWjPbsuQqxM ggzW44G6SjUQ+TmEt1ULGnJjm6cwHM8G+Z3PaIfTHIDcQ8uYbHPYC/Kyq40wapq3ANzqJBei7WcU jjcuJJjkccAxYCq1HRyqH1YRKp6PIFCOtmeF+Fx5vV0F1hkSOtlwSXIh4WXXU3bk4R5O6FxQGtdE xSIrrNGh/3hHS4NPvEQ8RiUaoynrRoyJRTchxZ7nvLcds1l8T7TvxAKi2gMHA9QBPMI67kl88HgU ZH4HT0T0ARChaVpRKJ6JO0yKmMjQbzd4anQ/E3ujJkvvVgRaGaKZ77Se0WMCgJYnCPRMiM8RKCgC 77WBhl2jFM16xAtWCFoRtOf/0jkhiETyLipAFY//Redkje7s9AkRXpulsDLeiB8XSYOzVLedTsQ7 erEA+BvNUohZaUbGV+eco1fGAfqEbwR2XS8QgOKuWyIdNhQLLjgTfWN+TaR2yFJmZ7FgIBMeOsQh XsCmAGrweD5S7PHLjq1jilLfPbdCgfFKQZV05WrNxlOS/tMjJyJQNU0hZkXozJS2AGOyIGksPe1z dEJShXC6fbb4Vl4HKbpONhKu5Rs5rZ+G7wkK41xNkJHyQdCeCfcJQaAWH/9LQVt24SjMX9W1FfI5 qRgyVDxhoYCevSpkpuqFzNyyhQQrisO7gcb36Hd0NsKJ0TerA50JERcWQwJgIwFi2vsR9SWcbv1a GMcxNDngauEFh88VVBM2oXj+fzmaMiq489HJWlEgjpQRRlJNawj/3OYknwHHUZmgdHBTNE8xndMM mfO+o+06SGN1pKeYpwm2OZce/Howt3RtXKs9wJ6pV45k/bfwF9yxKLFK2h/ANuj+/FDVqE58QtRr yd8lFSB+aWlocxVF4wktXDhgWXEcjF53DZz5ugaW2nyuouJ4N8lxcq5ttOLT+UES0BiZ8iowJJV1 5+yEi4HnAr9KNw1M1GVfEWRlyRa2cAtysCQYtmeWtfA9/wJBkSUIahkifJunEECeqAy5rF938jyV njBPrV7tNZi42jyF4biKdDRn8tGb5ij6ZohRQV7lZGeDIPNKEE8ukOYHoMJxGFk3XiivInhBJgl2 UMZNwQAkRtm8S6nh8WCe8g2fRDoHW/FWVVZI66zUV1nTGC5OGF708Sgiu7TGiEcTFQXkdkiNIcoU Rz+kzs4qHbHKmaizU6BGhIkqmzBqJvBcUAZYQZaFL4qqTzzF5fizWIdkGN7npkUAlBsqHg8O8/1P MROJ7U4XqLlCWif9Oit18CSwQk6YBFaWSbWeo2C4YM8KI3IJ9pjUQ3ukHuo8WcXY589g1/xE6kXR FmhSibRORCKX/1ogn81XDs5zqtZ2qbR3V2lvqcF3Qbfe4HsG8IKn/CSOC2JChL8+VVFMvkxSLLl+ bdpui5DNipKy0QDcaqpiHXLJ/C6zzF3XMhdclHPWIidB42tBhkKy0VnJC6qAUMPxQIqy0SsKysG/ AE6MBTsO6uEBb1nMPr7i8WhN5a9wU3p+5LpwonYUlTNKPb//8tZ5qd2551mhJ/kbYxpiZcfETm2+ JSMpjn6ao55sesj1nFePphipG4zRU79dPBmi7yguV5ZHTB6HRE2Cs6LSGIQYnKyRj/9JB+KsQtwG LB+bqPfAHHwg4lSmS0SOOlzdCLeHNztR4Ddh+9D3pwuAuCREztEmarDKj/gV4I6T3suhsgz63Fa0 A8BfuNceHRJ2iCangu3MrEZxUI38bN3UrmD7fo/MLW3/Elo5/0ZDzuYpjMwT7V6LG7nN7HEmIFDz ugMiJtU8/R6ZN3iy3PFipLFmB2vkUDLNtZnn5IKQBx4E+vJaCg+9cVStMyZ19kFgDKTDgkCdlYXH I1rnP91OdPG/RA93yOvslFpZ2U+oPi1KBxXzMZNAlK95ijErCs1Nr31967XDxqPPqjIUHNtYNThZ EQuhkMAvkOTwwxwdS3xRJEkjT++5fFAYBQsY/jZnuioej7gu8/vWO6HwiaOuJs0OseRcUEn25wT3 zOv3WimEJnAP5Z05UXGRXL0EvoiNt6x5thtNIyC/NqFiJkwdKGzQFzl/2yOiCaglvuY3KYNpLQWA zkRSBN46i5yQ58bzERrDowMWyYEkT8/5FKoeEiA9H7ek2aymUk8u3rtRp8ib0TSFmBXTWikimiXJ DQmMASBuQ9kWaViCqINonQCo8f+DOovrGIBxgq8JYiiaJ4J054O2HeSluiYsLTweyUC172032cy4 weaOMCt0voCNt0vSjOXCPbUlU4eCA0v2aQpFD2UCtExDcz0amrsMNNLTnIL2TJS91Eug9hkK0IV2 y02Jnr3xL+zXSsyHDcaog1OCcNKLj/8vOgZQb5/lEvF2GJPTMinlS1dMabjUqImvYdMNdkTREd4x ZkV8hCJVMY6+ms7vfB4hN5B+rxLjbmxvwsoUWgaeD7NJxe+J2K/UedjEZ07vWFTg9hqh0Sej5ONR tc43HVV+av22udthjVxnU1rlTpP530INubsM1IThmqYpjMirSUK2Z/TGeZtA5nZaSxFwLZuEgroB is0/gEI5YGVPvXDkmiQiHjnCHFTTrKjbJP3lA49HmfD+WkxAte965Wh2FIxTjmYAevHjrM59vW56 8qMJMELbcxFchUB0VDfEfeV4+3frvDoOOgCgzu00Vfes0C0egOVAIs/MzRPz4P27xAq4OD5onw34 jRK2cn5SPB6tpRth0hRIwm/1eiSkKBhn4ewcTSM/mfA2tEqt23D2DV1w4TConf1bKlytb16fvvmJ 5M+JBEohaisVlWEGouBl0mIKC6nhPJlLrkDbXjowdKPMA8oPTPHY1eIc1XP0Ff4TasqbHxGhMfLX jUf0VqKyoM3X79qHnWDd/yRCgBl9S3Rx2XydQzO7DUCKg3IBoIb2FkfPXjbxxDymOtjJmXUY1F1w kCNMLYv0KVEXGZTjm4L5WnLjYpOKg5rDsDeXS+C5gPl8kL/8A5HOcC3a4yrW0TT8/TkKE0Oqyfue X3W7aoJjWNjJvQBSiCVXw7MLC6XRWwu9z4K/hZ7BwLIsafhQdqIA71aAeXVd5OUvHcHGGGtK2mCg BME2DHKvlUTLm2PxA4JgKv8DsIOsQr+9z5zCwjmKcWMj+Ve2t91r4oRwRA0w20uw6rPJCg06FZa3 3sodPd1bsC4r7H7ORvfJxnSPbKpG5dFH9bckWSek0CmoqnTQtg8qtuQzPcQXwYOKz//FYcSTGw39 8TjgpChApwzISb2TFc9P8leqn1pomZgZxwSYS7swqp53CdxPiSJq9Lk6P8ZqclftKNjNqboUQN0n AgV5vFA2sqvSueylf7EtgdB59UG5DBwsuglFZT4fJDPFjyz5vnFHpMemK4Xl8yI9n2n2wSAGVlfS 2nXbmdVRz7EzPqyfdwExl4HL1wWXb9ztZU+q2IEDvqSxCQNhRFUQFckUPqJkRklp20v/RtBrKy0f tLKQIG9ZdQ09H3mafXev0Cs8rzfvXIUldBzs+NmbzdWGBoQx9NBZl0bUWDTJ7fqoIHLQ+T68RNyv +NGENd6gnx2o6VDWomXVeWd8Q52iLFwhu539paMQi82GKYDidOEMpLrUTaLBH5+PYqx/qGdoEu10 mUI5hUoskiRFCcwuQ3CqNFmVCQhi0WpUIW7DWIpFsiGmbsTRggcAE09kTJ3yc8Cfc3LLBQotROh5 nU+EJLcdWYSM8JsiaAtXVOI2hDGxjunzI0CPlFWC0vj8/2IsDF7Mhreqz1UYt2+2HPY1y1uzu6Q7 25cGvGOcKffFSI6lCuk6l9gbHO8mnNBmY/+4wH1skU58pgYSNNRNS18Ixe3vHCE5h3Siug+C3p2Q ns62dIvk88EevP7C7GIN0pHrM1ch2JyFqimcHQtVY7iw1nl+eMbc3HUipxDfYo2spIoVR48bTmTe 6cHaAdc+vz/XHsKJs502eqO4t52rRz4xvihyxzHl++WD5ur8X2JzeK1+BDh7D3DadwSP957jsaUK XT3JxOz7YqZOCpi9+EmVaqXNUKVzt8oQ5OKpjnh7SSKYArnMVCFdRCxQL+ggDoFcwNlBLIgPvO44 rds7RzR1Js6g/9nAuYLq/p4idY7J5/8X+/NJg8ELVsyhsSc8OQjDu+4T0+QOzhGV28PZK+4wGDh7 mv0FvLtmuaNB8wEsWgmmgJ211j10pHUww4bQG+vK/xGtmH8x9mSFeFHMlYPNVOIqJV+x8vkgcr8F UEmb7jNb+2Y5gbMn+vQs5+3qMjazWVvrhFdiy0A6qndi9rs+KkIsikDU5jN6laGciau5S+4H2B3O JprKKMY0ik33O1XF3/k3qcTRJNlGtXjefOcXp6LaOdfr4PN/9R44K3BVACLQpyoqqpN2MFC99epe K8UrDTqrCol7bv2SQ3vPsST1kzVVHLWoIBFBHwTyZQf8m4TFG/hJGXcjR3MjoeGv/ItKBFiFyQcu qpNl7qUG1YKrbuDveWZqte/QCvireRmzOTT4pAIz6hm+qAojC1XVG0lpRQ3SO1MhGdRcOmp/Rj+p 4BoFHBVPKuj+cDYbg6jBvQjYlNWu+uj2zgE8gRgzFlo5GBaK0c2QBcfYfD7oJq9/usnQ3jq/5jNX kYw5dWWQLpvc1kDQ7lU+npESe2dXXRswdBSStmQazuRzyAtI5OdYSonmlaitwx5Vp/oJDKiD9o+O eZ/2zr/B8qZMzzio0AcXwyRxyVX4fGQp9C0TDM5sA3fVpyqkhCKmHJAXt1ywsZApw8FUuuWC51A3 kGcOfT4tq2lFDBCOt/F+7ti5GLHDQTYTv8UiA0pH2P4oU99qnypYodPn5LqaQP7ZoFxwAVvKc71x A0QR+77CrpIPhE3VVQTMgdcnfFEUhFafq9muOWPPBhuCFKSbDubA7BPlChEdzWBItuIWWG10krFK Iap8QpMlrGejTVZiRbfT7ZNm6dz6/KZIBoHqid1cP28UmqEOzbmqqfL5SNPmn3oMrtKnuZUDw08E VnrN4tyGQs0FUY1btu477eJ8rmJyqHwHu/gdHC0TBNblbDuSG9qA9ZxMvZZ1lBMR+xdNle5LR2YC 00UQbBCiA4VuwaTPOuHzf5nLS0Oh/sw9n0swxKNXZn/ZSMZ0T29W6Csj65+widsG+syh66fFAOB/ 3tFbyydKLxvtqA4LPbCJRFPjhVRQPEZE8fCvpr101OUSsUjZTfJ11c/qqFvlZJSQQ+PPemvuPK+o W5zXU3MPrT9Hps0Qia+aLdjUaba68FWA6VV4bmi2Yu9POYFPc5ifj8P8nJClJvkHit0NBlPUSzqJ NP7brGB/Pr0uf+mgxkC7k7GL6UeIONOg/kiRHmTqlc9HgooXLCQAGlqM8x5Zofsnk/3zA6dt6uZE 7al41UkYYVl09u2lvtD+0wLxqeBdoy2tvuFsPpjfQI06LUKLagMI6aTR+J8Q0XVlNzYGc+j/aVeh yS0XF03aHZvdAoHJ5yNMsS8tbd8JvNBNcEL7zyX1SQJMubDS6N7MGdVcnSHdUgxZlQP/z7uwRq3j jn4VzjNDDURLbMMFBzS5MAAaD6Hzsw1b3rcsWpO99G8ClEMS59Bz+JnCx27onfM799r8gL8Cd4E8 kIoQW+/TFeucT1nwWu8LAttd04X3EWn7pM69J19aMVbdPXjbHe/SOluON2ofEFyALhtPeKi0Qe8F eFnyaLbEk7a9dIBhyAIvUIeyt2ZpzjhHyJT5dcl604hw9A92CPtwPujGHDuBEgnaJb0lTcriyqaF 1DlSjhJPRUbvgRXolROmR6aPNlkbJj1L2mUJhgJdcNECZgxADQDO1ru06DKQYy9Qsfwq5fBopdCl vgFtJhpP4ErhB0TtCQ+zuoUOo1/3xhzagTby/Wa79avJN3VgjGsKo7/gcxVF71uuZ3MKSMTRa33n 3TusFohIq5xJ7MOJsh7Fupj4Wv3KajKhHyhiTdDYpOi9sjP+yqb3E1D+tfH5iFTzj9ElVLnaeKYq it5ByELT2Vzj8kRH1ZJChmnWqGffVVMVRe+dnayOjt8dLdGBTVffQAXCFu9cjUPpc4OM0yCYej5y U2vaK0ewDwaiS/bz4mojboA3whQfom4+Hzk23XhUVb0B3fN0I9LQFZSdiGFqyxISvPWrRD8hIWRq vXMVl9sZXa298x0f2HqCyCylJU5wfvYgo6zB/zZViVVn7+Nkm60QJEM7ognNZQxnlUkc6NxnZUgd P+lNg7hh3kwH2w3IiBPOPOdVqH7OhYXSt2fQsmtCBl0Ky8nMoGm0zQw68AZ9KNwS+NZ4gTIbJpiJ UkHnD1nymoX2AOT7zgYAp8ZCrIabiN8T3ISs8q3BQhYHcSRPajMlQpXRpg/MQRGi/SMxDFzQ3v2Z qih6T2zjTAkLs9qXthsVdjVWK6t9aGFoqmI6qdmFC1vE0cMG0JFa5dFO+bjEbPssVuBFKCZZWJ2b atNTFSCHDqFmK1dZb1/VUFQnaAaimZWZ8/J8Pqoh3/NqqY6Dmv8tN4QeoWgpA/RYLuixJteZwGKw Pk5fbjCXY5NQSXunJeU3jl7FWhmYokah4Y5SjFr5HX9ox7mBOud1WO/2ylE0SjrpotIEBx3thL0x GmW5PXAJRej+Df8AaGthd/lMRZE7CS4jr+H+l6U6PBQGEW7OS0ETLavAJxSAH+28ZZC1dZfVCXtO 9rTMxfj8s6VsEQONbnBklvSln9vtnSM8A+vtbU8ftAWRXFIb6ayvzecj00LPcvQfQ/zraaTGVqFN 5b7idnyEZZiaYO/WHIT4tANlQq9Qg7D3plIeR+fZzJNy0mYYykHnp2+8BaFsh64zJKsKjdbVSO3q eIV2oaKXdmh7YEAdiNWYlHuZFl31zA/4C3UsWJEdnc8eDKEymVoTROOIlURJGvVSmdVJ6wXyqTZd oUOR/Na7ARx7+QZhFdA7GF9BFqfxx4XWBIpiRGdl+NO6GlX2l4643TywVleABRFqQfwQ2/JbxwL+ I/AN/bYk4LV5roE5rxxVjn1DcXLAotdxRWtmd+udZT0skuHVhsA4FJOl7KamfcfLtzmZRJ/EygDH Ugg5BnmLASx1KuHm4JJUqKzymyK1fWzAJcA2lIZ/mJhUyDXMKchemvyAv1i57NwQGY8Sh89WFLoT rte363Tdf6JCOndXmaZVsllstiLlFzUpGiww72h3IfjPIBuTdJNA8VE1mdEUgq6BcsO+1WQKU+XA QjRxI1lRxgaVGxIy2v/P2HclubIjyf5zLWVt0GL2v7CBu0cgWdYZp/pNv8HtsZtkFggRwoVid1RI Aw/R78o7c8INtFp5csLQRXQQsp0pGUZ8HxjGXvajSTHwfSeLK15ODmxEr6PaNMIER5us83uD4sg2 IaiGaxiVC3pwOREsUEn3NupNt5eO/DEZZbWiIbk/5kIxg2kPAFn8gCDTSd/FGZRuJ4Q4fLJikXSF VtfJoSyvvecla3ZW/eZKPllh9G77cJZyR0+gy1mlSZLWbe9z3Fdh1qh3CZUYdI/vPoQMir4pUvkk CrJRbXA0k+qre0negmrcnc8H23CuX9UGeB2srxM+it5XFWib9T2yTPiEKlkpyemwIY2rvg3D6N10 v7sU0zn6ZGXciQBaQf+7OHQZjkPQcYGKZAFK3mFY96UjEUsi+zaZuhys+J7qYPvqBC6Lz0eFrPnr PgSAfKVnG4bVd3QqxjlQXEuvS+YEk5U6blXG73k2xySHlqIGlylVcTxHTVafoL11tJo6ALCwBqZT ATDXZwvBTqUSk6wzKwvfF3iKgqaLsOFEaY0DOqgiAwyIqUnEc09+QBA9jPIVakFWeI6nCx3ainI+ ThJtcA/gx9qlmQh8S/4EGQSM3wNfUXwUS+iNSC0bDQQyBwhKMBrtUHAA/FHnGrSwOxJB6J+4e1hZ 9sr/9MWaQs2w36mdd/Z0U/ICZEPgK2rOLzcv7Oh9jes8k0NfUWZIJ/ddjmzowy2f4KF0M+i+p89U BHVXNRm953lHK83wlmKJDgkPysey7UuwQFksZZC0pKxwZH/nAGO7ZABZxcxhlYO5TgKbiCgQyFzn 0Fo0XRhWNabhD3M9n6woghd7CZRjz6GzqRFDHcaxRWdRudlaDsxFz89t6jlDxgUcnTOIfjOQikx2 Cirv3K+J9bbCH3VdxFoq9sr/8OlBCZnHVcvuP9Mq3p6uV6ksfsBfipZ+tkNf/05VFL0TfHxW4JVO HzV5uaGObNE75Mizr6u47M71BGrJHW0Hnp+7ntuHHZ1znpwAXgBvEETOpX0WCAh5LnySkr1ycFhB Dq1muoVosAz6XBWygcqAYYX+ol+i4KLK47D62oIRzp1asn3kS6CwMh/NHci7kj4x6KmKGf5hMaqK zFZDp+/b0JmY9jNhc6hTOHGkq/l1fs2NH6cgqXbRoVrtnSPQqAp+Qjg4jrdSc4bdSXpC5tBkdLZ5 dyCxy7gBv8pYoctoJRIS7lVKdHrPw5vQu9frAFWmN6EDm1HzacFakbsYR4sZoDJ+pqwQjUwKNT2A T/KKclap0LEEstdBM0p0QqNR7AJ06onzAwn0Z7kJVBXg9nxC4wdE1eTv4swgFvIqo+XAa9R70L26 C9TZjtPtMNI063YIgbU7WVHobuXRsVyN4ZZH4Qi6daJ34GfAViDbBFg24NzP3oFA/RXgncleOhK0 YoBVl4Y5pYEC6qU053tGwSH0G2Wl8QmwUJMWvc5nKxRwZGN1ritqNdtyUSsJG1DUamBv2D6McDMK MXuSdbRGO93RZF0TIDO4iyWECYJjYQsueiEimvfJ2tneOdJNZe482SjkoDOrQvxCpIC++XwEMfoV ulMoGWVavws/rxFWNwFfqL25wRhRapqsMaexvlBZtqzwNb7qgI5y9+2mkhZHr/otKKcCcwR/e8gU bqM7Q8UalB0E606Po3f0a3TVIayDUsNWyMBB51VHl5DM3Lbw9MssdaO4/UA1UbCGmp06wSw0mqLN mgyJyYzYJzh1itjpsSJv+7VcmPA1sOrQs7J0WfE6R48VYBU4UIRAJpgSe3ro46Ath/r6D2ng5opB HuDnNazqCElYOp7qp043D8GxWpU9n73+eY2pOoAyw+aI83G+fi6e55ii14DqPAQRAsrpDNtyXfbR FCqe+9GRcy3Q13Cq39jznKDzjhYj5HXObULgII8GxR7ppLTFhg4E3xFOKfSUP+RrMNWlDohyghUX WvLCcRMyEYbR7fMaSXXT0b0zJMZZyjZDr3FUN6zWoGisW9CYQBqY4PMWjbubPLxGUR10QfUf+td4 0bTwiwBZFnqEI++l8AHua9B531RBsBmaSHI/rzHU+ZZMMsmGpBYGoOaklDpKz/LpWef0fg2her5q /CawimgT0FWbo9cAihsNlIix0mPTM70HYW7thPyzT0B332AVmSlkTyrmcbTwCelMZxMGFF4IF1F6 odCaBPH7REf4qqdTrevzGj3hNFINrxPlMfxyqPtclWrcsA3/GjvhaTPU7g8sdGw/jF7jJmxP5nlz DWcmTfo0Szn9Nh8aTenkWRvM0ZxGeBvzjpeju6BLyKjpbDrcDpNRE4tcFadR+xJeSPJiDeZoifed RvHBUFJQiNEGWuXzGjBhSdTmC4mThErzoBskvvM1XupGpABOIVkVfZByLkqSKPNMWlCEkVNIMElb isS7tGf0zZYm1GWo4QE4bjbNqolyHqKnHzrN+m5jevcaKvWvnh83HTHwzFNwATfuoBPj9s9rpITj zBSGzl8qf83MQHTaLL3GSXhssKIy3HcGfoGCM0KGprkeOFgypkscHtpaSmZ4xdHxVJN5FxGzY528 ojNIP0cKcuGKM6mx1G0BOLnxr0FSl9Y/aipt+iAsx1kQXZzcE2Z9XkMkvOW4lz+jzxMbQYPbJum1 ton91gRHuPstjeVnUl5r/bgMk8t6vVY2u+OoTio39x29wbBQVN50DusInACpooVYYUEF8zOL4xlx FPKFg0li2F1kEV0arWkA0KtsIDHshkBqMEl99a8zCeTUvZff/q8lTSxfE9ttLlVVykxWI9h9OgOw o8Mn/Y4oQBL7o08zFJ2PoShUTXAqueBnVoX4fBGCqYZCIeWZHJhHP6zXciZ+C8Fjja8lwC57oWdZ Gixv5c9rLbM/nNJu1G5USNKaN4yMQm2GfdgF3Usp+SI3gLCxaao8bUWUjOZpCRu7R7qjB5Ibhg5r VbrynLuySDA9zcIkDvRPoOJcDkYZ73u4nVQegD7G9kFxM+hg7LBTIe6d+octt9JXpDQQS6qtJx5P ME/sGICo7CTJsbdpM57DO2drGFPU1ahH/5ynE3mYYlWaFwsET2X8d7po47CUtEKt/JUKlnSr6boX TWozvjP/eIBzQVVmJxzE6Z6wAWIZ5Yyfd+IfY25fUXSEwo2ZCccTCjC65agrX/LddryynaK1bJ4K uxKGMIuuOTMoMHFiHf6aJ/zrEPSSFHg/M0ZUx8l/6bmHgwqSWZaYcCF+3ll/uHEUCwiw0S9g42wo SPZyZW0+HgRMhi7DXcUYgsnyXU9R6M1S0/kLph/hgDRZNJDEDWm0THfls3fOH96/mBt77Xf0fVcg uz+Jsx4bEQHlv84EQcyARoDrYWdRieHzzvnrAvwtoHOXD8py9zn2ppI5IGHj6NvjAdK6UBFfi2Vx ztN7+J2syrTyBWrAT8GBGsXXU2OV0Eq90TxJ06upOK7RsridM/CKFJ44yfwcW0EDf5NpZmv1Nlum ypfR8TQ5TzzOzwCXepVNADsQ8CAn1OOCicolf51PGZogY1ePm975fvzz2MKTRAcRLWMZqgw1VEe0 jDEcVfYehKMaJ9OwLNNVjrckDhM92TxViL8klTdzYn0JHXMUjewc59H8eWf7sfAhcXlGTutGTqg5 qGFxfuDyeSf79UeZsZOYDDUHaHt5OvdO9usG2R/ZTUTJojEbugLnbkccsCnBwkkUh4u0APpmu6OD OsEsQu2EWJZdlR2auvwCuzaj4W9ux1kiClEg3oj3mVURZvV5As91KKGbeX/eiX5YT+2uJ2Mn/VBp zuYpCsQRsqFKeZEZVT0EgA22iynAFbp54yCKxDvFNhqzTx/9ID8rczIEA54M3CAGoic/oVUe7oZJ mp9EAaa98fs8YV9CrBIBVKW8uJVCGrNDinfy8SjK9IOc/y6kGdu69917KA4+JAqbwk+ID7m6uxpW 2hVLR2jflkEUiw9S+3oVdVSjdTjPIQ05KTGS17kJLQXsaAEPzlkZl7Ums753gp8dxBBiAAhTgw5v Ers0Yy1/3vl9CJ+2B+M4PnNC23U+cWYUjsNV6FxyvGPc1MF5oyAc/Lin4XBiURyPc36KDMQ12vEE S5BJ5lCncslo5jcD/+cpDuW4JPcpZaooHieArJKUZwNj6D1XkjlWJRYjCshz9TBz87pDsekpWUbh OGYCEpbXIiQlYyXXokhRTiooratmGYbj0oJdsz3jxedDZwkJeoeoMnJ8huMzsUBP0jwqUF5uwtr+ vNP6sAOqYNSp+qD4KVWpaxGg+3ln9eGCT+U7fpIGeF2eAr+z+jC/k+j8spxcm2mYaoBX0zIBOt/t jt9JfQwAFUDJT0ajMyBrG9BFTVQdbDBhpergYsJc6ZC5SerTAcXy5Tunr8si7YQ8mSjqjBZZm1Yh GBMC23S/mO3zzur7deeRIoNOBY47m6qoEA4ldjTkl8fkEy/uxobNY802/Sh/5/SxOmhGIYo5OXpR pfZ+jm/FmucsZ4qOqaL/DChaKGA/csRaVO9BOby6WcSE8QuSYTAzGV3DlmcSqDrOAfl5Z/ThRYdP FHcaqoaSt+ZEhUH51sm0HER94hD3hi7FUpqGFMwOqXc2H4uwkrWecr9Y3vDAYZ6B55EYFR0tWT1F RxPIzcpqK7rxLsVBfZd3Mh++iEwtivfbYFH5yrS4Rr5XP+9cPh5y7TvJw2nVbiXzncnXzdvjRIJX f59IMyV5LVePNZF+KNZ8J/IxNtDplE0uPV+59IXunswtUcvsECgieHqyxQ3YYSbUXPVe2WK98/hu cWVWOUEWasWStYDuu8yh0VyJYvKxb/8p2yHF6M7mKYzJKZsHR2Kv03nbqeJkLz5PLKlxnqLCuHlf DFMBH48KOPTZTgSw2W+aO227GE/AQA9shCMVhLF7mi+9chBEsUF3oo/qgyDmkDU069W5Pu8cvv7g gLuSHhRWZ8nVJ+o9KMcJxRQ4lSuXLk9RnFDUbVMVCiImNlHvQTkOc8mks/dso1175/CGwm6TizaO XP47FUAhSJJCgmqnx5ad5qvvHD5Wbt8UqOD0jhtDi2R/3il8+Iufk5z6qRB3W+m59sKwnCRa6nqZ CFzr1WaqSRWcBodA6DLefCfw4ZdWHSrX8ox2RJ2wvqVS6RFypgQix7IVBZR1Uw3jUfhkW59vHEwU /XngcUwJOGKWWCjp+BriCU889Xmn77FGffMX/csNZfIbSUU1cu4pXBK+pHDVeT6cjNMHGL737d7J e/0x0V5r39EDzgTKjeC/5wtLl3D4+ccMg0P0grEArcCygSv6vJP3+tXKK6n54CSrleTwcdK2zzt3 D4+v7w4wDNXHXHfnRXF5JcWq7xsbQCvYjqiZcrJpyssZoe/EPUb45KI1uX9o1DSdiwKUOv5yg1Il VTL0hLKPRmPRB8NDX5/PO23v2XhdohtXzAWKcpR7B0Z6fN5Ze0zY6tc0MShYN8175+xhNZE4i1ah IcMWN7lJucwr5YK/UZ3yOC5ntaBKj1mjTdMJhRFAcZrAxj7zIxAZOs6T3htU1zU1MxTnP++UvS6k EvK7tHwQnGCcZdrMIat83hl7nrTfnss5LoF49MDgnbCHNUjIBeRPfpwptLcDd1x/CqiUacCdd74e p4lIgsn6n40+Tetc/APCcR2398letgBR8D4dVNyDOXXt4gnlojeOpkkYJwYGHKx9t/vSajq/wued rcdjvHzFT3IFWF7UfCfr3bOpi+spTkd3dn/p3ZsuhdOJTffO1ePZxM1W1KTSeKEpAExOutER6lat qIn1Myru+XUJoKMNvfC/crw96bHGQaU60OaqooJSPu80vd9HOB/DCT78qnvn6BEQw3tmTLdzyO7E gwxvm4QgJIGMVPxO0eNi0tFEBKKNXvrtwHWrlQAN63PvaZagOD2pFV4p0LyEXE1646gzpYom+YwL BQQ5HZ8VXyWxehZY+bzz8zDNyeepy0Dy7LpU/ap75+d1F9bIcyWbKLCoDGa/UnKY/Sahk8spqpEn lXxHLs+oiarwykSSAv3ABcvERNDmuYkrzZWp+nutfJFCf965eeyZ81BCkmSDjnDYHrCICh3dzzsz 71c0bj8i6xg+S+/BOO4NweSm0/nnNhd2gApZMAAhCK65NktRgdw6d0VHjUZP7hbCSSRlmLx9kjcR rc5xDpnRTmnofJEFTFreSXnYAIRerCEm43ArLByqo4p13fma0WraXwVycG2oMWnTFIXiXQGm4iaK kPTpxtCtDw8w4ephR3hYHzdz2p7GHT0UB861yZ3gBDi9SQsOHl9YVHMgZ28P15PQwnc+ngdOJ7Vr 3QclvvlEYVVqlPg530NxBRR21ZHeAbPzUe8hHkXi1JOCTK/ddefXIniOSBWJnbJUl9wq852Mx4mS mo2iojNSvmgS0IOu+ezsTCHVo+0upTSwrhLu5bKvsaHBn9+5eF2irKiqDA1kXXFFoWGbBaDLfNGo h+cbD2c2gCbo6/tEhZG4ID3bI/F+8c4VPFUXzW3boarvRDxLTtky163H0TZeR7FmNyKfO5A3rap1 zrJ+peQF6M8O6EVd8fPOwyNeRVkd5TQmVI2b1G/ROmUsDW3pzzsPj62t/XVCQSKBDhU2UVEszhbp TBToUflpNSs/FYn1kmcN1rRNVFQjnyo/nSlvd3SI+Dk6BvlxZ6IGjLI1UaykItas8GZa1w9r2CsH vSkZGElDY3qRvMJGg0QrVKLy552Bx/e8wbgY6XBXu2HmOwOPdRVZqGSnK6Jr63YE5PQS/FzwwxVi MsNofAtcsM3BaF8Ho4UkG1SvKbWRM/889iGwl+DdgE43WJ52RlEU9fPOwOummFtY38JwFoP8GlAm l3xIbfPzTsAj9PUbtJLhx7DxkTZRIWqlELUiw6tCiielMjLs65tsRHFGgddZsaLe+XfcEUJjSDBR o4MOFxBdgKhAgBJAn6a6CtHABQsJOlxegFqU3Hrn393Kbx3LhuUwqHMsMlDALft5Z9+xqlG+dh5+ G7ow2zyFAfkiMnJnKbbhWB/JPHmkbUHPhkrbHISa79Q7fNK10k53dCpLgwA3+tQ4os45YvOU0DLP DW4chfYWnt/ZKwdnOVHQbTW5WzRROiEdTkcR3GO5ft6ZdyxQf4fkUODgfWDz9B6SA8PKjvnurne3 hk3ZSVyoTiBtAxQeeOe98+6ewu/eo97R+ZzQHyhSJWsw0phF/o/QxoK/JURGnuCA/hSfd+IdDlWS EzdZHRqMUt3mpAU92Gqfd95dNyOBr+rTOQN2Th4cvNPuPKY4x3fZXqYbvThspSePohaqATzK31l3 D8qgEtVko00UrtSMcwqaZOCUZQWbZW+JAv/A9s4TYZVV3kl3xOuyfycY1Hp0a0bbIiiiWvd559yx D1F/HVD4GMTFNk9RhZwSuSc93R5ErVW9YIBw86JWljEQ3gl33RxNTzBe9jN6JgywRRUrCpK2vVtQ DijRpD5eQfnJqnQIhD7vdDsUDAap1DCLskHz1HCZMkg4geDnnWxnmbQVDHiYwaA23+TlnWyHx2g8 kMYFj1uLGKEBNGcs2JwAsGie/ojKm5wgNVphBVrmFXrLlIzKQNib8EHCMUqHs7ZvUK71FNXHIX2A DbebD0b4OduOWSVJnO9EO1543+sJ5av5FH3fWXZ4itJyhT+hQL99edF3zOQozVq9gfdOsntQmt3K dRxtmsqZG+icU1kLRZoko0woNsB1A2W6/KDFcDl/3jl2XVJ+izaSPqh/d5IgAflwTn3eKXYEi3lc gCmFrMlMxQPNFkbk22yhXRt+V0OwVnipJ0+FGT7yuosB5JymsmT4wdF23YnFIddNbE8B5LophOgE H9FJLtdLCcY1ia8JggJKPezG6Gk398lM4BwbKyFvPB6EmbfLWZK4ZrQ8uKd4GJAjQpogeXnJQJqu nZyj4W0p0HeM+xMVxyX8R4PVO3qzJZ/Eh8rgcPHNq5omS6NQhhy8YWzoIM2tNw5uOx7fe9FtgINO pwUHTuIrTrSHxwN8QfkOM8EzMoEokmnicJzE8umGouc0r1cQQxioQserYd27/h6O4xBXoW6Jjc/R dt0WyHOgbY4oPG0d4jASwq5D19yRYlSX5AtHQAy2pBoFS6eb1KBcM4qdTZuPv89SuRAoZneoqTzZ XY9icYay8BN1fYdCJyFW6Xqrbl6RhsuG9AiwQlkN1JGEFONoVbqNQOD8Z7HTAjVRa6xDbq9TQRsW IF4uQCCC7wlylsJYYNOznoOmCQwaOjaCvoHHIyrZDZ0qM+aFnqgjo3sYi2dpSc7hBai1nSdFkq4V oBCL8gzvUXHcyr7n4sp39BizQl4MTrjoBaOgOSRYwLgfipInZ1kP/zfZK0cFKGrmSyUYcKcfSTrs CZwP0SqzFTwfYKDmd0EzYyPN2Two6CF9k6CpQZNDM8fcjqmb5XoxEJbEaLxH0fiUwtGqIgNztJkq /aRicGMArqfSuV68Owg7nVizJ8LUrw4G0+AeReOo/RNNZ0M1jOb5V1NXdtf5nsFE/aqrgAqBQMjn KaJwjqpDyTiJqNRlC57O1jGxB6h7NJ+nMBi3nrmMjzX6MX4+KcHjQyo0M2dR74mU33wA4ZSrZvG+ 61GBfA2J1xG1Qs9QCauAIVLM3WtPPB9ceMPnSSqK6gVeGmcYjS/Vp+cVC0nF3VbhPmUTBcCK0uAe EjkpwXPuOWkVcLSJmhAHrpAg7uhFTjIiMVEI909qhe3cxi1AsRr26RGIfEpuszbhxSjWwngAgBVu vZPe7U+P4nGq8z8VKPam5FfBmYqq5MTjDOAoWU3JgL41FVbwe215CuAAsApUD+NxIcN76u2OhuzZ q9H4ZpAWnPYidh3mvTQWQWuhzv6lTDr1ysHWo9HqTBwGJaSLFlVenWHFD6jH+IAIU9e+ggMQzle6 BI7+HpKj307VdyrUGtGFak8FQW4X4pC9TvRYeZxHIfnWITXN7Xg+bserb5JdWxG0p64ppYKC6zyj kAvRgHQ9UKhL2qMyue69E6YuHwwDBeMVhgcrNTwelAza+vlGGPQf4iRtniIgOekpJ4hiaXxTs6fV axuK3sFZXLQSGLb3IrxKV593rWkOq2LnYO/ljZo2oAs4zOHDx74xNDbR6MQuAq3gQZKf6cIXRdhD 5sCNPpgc1HcBBG1JNGvx8Wg93XkaxL6C4XV26z2mwrCch2kSNnPxaN/uoL2m+a6ePA3VZW2+qE6+ LDoy8Yv1iF+snUmboVjdgMPQ6iIJU/gdrJgfkWBV1SSbCF8UZcNZWkbdB5UnExDGjBVmmXg8WlL1 K83LCOomeOLGOI8Ccz4GTRjTM4KDhylgnIPgGhD11SyUGlGdfKpaUAAr8tHyvDNVqEU1oVZ2YiyL KtSikArl17BXpgmRZr3xPyQeTgqdkw/CQJ01JYe2c9ZlPB5E5r+4nejQTWiF2TRFkTkdIsYiLIbX 3iLEi3EUvGNFdUGxzvouI6ySa+ttVSw1OtWl9A6wQVeVHP3cJTjroj8M3hl4D5deAwIQX/RPcj5C VAyIgVR7AjOAscK5oTKej3Arv+sGOPDqnagYSL6ou2YgVkDFqunyFAC7bKLOoWLVuhGVyckxyJ1A Z47EGg/Ce04EvQvE5HrnlbeHhfEIGU6OBt7qdVoA8wRfE6BZyZnqpIXCy3R7uAkVF4ab5//h8aC6 0utXuAn1GB6YNktRWE6ZmJGpPytIXS2G+i21NVMMkbMcE70RheUrGVZM1EWAhm2WEoRZT+IHqAgo tudUEhOvo543AIegYLJFBpDjxddEjEVKzmTx8eSNTeBhXrIohJIeHo8Op18l8kFc9PA8b0RRuZp4 aTUH2ydW8lXSrF4ihxitEThGFJVPs+3oOd3RDicq6aAzTyJeBTCFJz5wKz/6aPTIjClMqQl8T3Q4 dRbpABmjgaMsGSuwMZXgaLD38XxECfJYk/N0IreTJ/htN6KgfJASdHadB+UzuYIf8IYmkQx9Ziv9 jigoX95rkXsVRz+dzkEH3G+ScAgSXv47zOcmSKxrPvx86hiMEEK+ryXhtzPhOleDeufA2+DxCN3z i+OCXBh9OZulEEHOMHOM5MhDg7SKulGMOHWCAqd1jhhBrhJdIh1I40X3YLXglU7qsk5OXOSpALem n0F1i/ngx9FFw9cEi6lS2T8xEy5M8bjpOhi/0zPhEQbjT97Cmkz+AavEZynErLC2AkkJx6xMl8SC vc92zIqs0BI/6V9KPfD2GDZSd8L6dycaBGoamlhnAfRuLLwTuhIAnqnJa9OEaB7fEwROpFFn6Eli SA5ZQTmRaCTIuHc8/5cuhvAt5+o6Qd3V6gmj8c6JIhVMmTCpWgL3LEMB83Dymy6Mxs0ybud2R89a 0KylnTGEIEGDbUIYTOm2gmWB38e3HUPMEUbjvOsgrI1hUdmc11aBK/YQv2cufEBQNCi/8hZQr9Yt a444GM+MCdq+x9O+BNhSTKuv1UtIGHGNnDM19th3fDJhAOsG1e4RnolTDOFoHWmLxMxrpKBzPCyS N0plknJxBqw9oukkUM1z/Jw4eP6v6kphsk6ZN6JqOVUzrJMzbwHjUnkLUuHbPVdTmBxYSM4yxZuh riHtEwAZyXe0OjkQSkAsUZEOiWq/5uHtZ3a0LfMDrCv2wkEiPExiZfmgBO9s9zVEdykVj0dtl9vD S1x95wXazYRnFI5XqdKRIUh0TyK6lJlwofUkM+EFmRhNU1goV7YCPZI7PngxNuy2FGvTVCQKJVCI sAK0R2KLZ8L0T5j/ZnYO+npp0EQBCyx/nNoLHv/rkGLLjqoT+eJ+ZxSOJ9LwRr5aWWDM2SE1WA1i epdWs0NqhrxOy1deJMXgAJFdgBUlTinbokPJZgJSR1xYju6h5sMMK+WsqUDR3AdOFCReV9c/rorH g4mat5HnXKCNO8EmKorI1RZOjieAwXN2x6WRlxFgzzJwVvUMC+XLnOHkfcrR65oZcZJ0H6HePrMi cmgr/1Dtda9bWVkkl80YtEJWQiG6Dj0EO5LzCTr406CZgccjjssNDti0yTiSNwDbNlFRTF7pIrSr GehBqTkZ/Ryk1wtbwUtookK1Q1tJa687OgLxHNoNDRFsvQSl9Sz6OVCXG/XCQiV66wzTxWuGxE52 huFO7IMKK3R+Gbr+Kh4PGp7tF5S8QFU73WmKUStyCZ9uiIO828KoVbOhMbAWzOJlRqiVLupKrqa1 Uq/WSqon9Z2UmgYJb0KEs9+QfKE5DE1pj6Lo+TmjkLzSqLirLdy9LQyPgiTX7XMLDjz+F5ta7i7Q xkDgatMUgVYo1TyyW8HReDB5tEn4CIOoDfCmpikqkhvNJcnbRaOjDwFFB6Uaq6mcOyGLKktZl5nN aOki7smmnlFQnuQQTi0DDElBOT558lA/aSNfMwKtlO+gHLTAXe80hZRO8Sn3vLYuKtgR9nslD+mH Y5su1Dy06VlmkrC+TBJAoT6LCWHBuf1qygKtoI6OKh2wPb09rYStNw4wULIZlJ0EB6vSgfrlyR4e D6bpkqZ0z0C1Z46rwTYj2ArV4iAq4XTqVYcf43O7xBFMUQ3dM6OgfDg+uvQ72noCmgPeeSxnnqwm mYRm21xXSMOR+1+mCxH3MwrKm2CHYnJsYdF/ktyBuRmY1eD5P2FQRFNDarBf3O8MWZ0sQSVh6Xjh zeWKD1OQqEptdvDAOVOh2oo6nk0K2hqttoI2VCNtmNQpuFNlZcMNfGYEamD/+UStojeOdt4StCf7 IOAKWBxDUOnd8XgUat4bjwWrc0LN1q6OZhSRp0VYXa1+kEMn1CKoJuFaqo0CucJ5WhFyxZ0Yp23B 67LbsJwqjIZ5kE9ATJS0VBrVASnaKEqzHh2DFSJXJPeQ6H3OQag6qoqIrlgrHo9YnY76ZZZz/vq5 7jm+wvr4kL7K9X9r0g/hLDlbAZdJtahghQH5RfuWO3oFalN+S22Ec2xOmfBCAY/wkxM+zYvRXMte +F/V8SX9ejqjDZ3jJ4DlTYlzueD5CFVXv6ZpUqP6FldWqDfOTBjW5g5cqa7dU3ECO3AleQlqhcAV gxksbbq8pAzPEtScQPyyg1dOEnSCQ3NrRGeBxijIL2/wdBYXvieq1ImH15MPAvjMVenOg1CPj/8l HqKYq8Jw6a6mkNZJrYdB+rKUoKiTq0odxVXVRADOgVHBCjHkLsBW9x0vJ6FDCYrupxV4zawsuEDA Z8I6dO9H4JeYuhWXx6mtAogwJFa28cTOpst1c5vj/MPzwdl0qdR4vQxRlAlVM5unUHh8qkCXxDPH P7qBGRTlzO0N9Mtm8xSyOqXuu0yZdT3KrCcqxw/RqMxa4dmDYwhKYPR8zASUr9UedM/UK/+jWAfV FvoBrfNi8ns751vKsnoE4WXiE6KOy68EDygFaFr7XEUBOUiuvJysg4d/dBvBudwwouC/2FxFNfKu fAX45zt6uW7BU0oJ3vnfyczzYH6aaDEFOc3kkFYqwfKVA4gPY/ExaRrBQenv2YdVqn4nP8bjfyGh VCVHp7Pf+y6KyBdFs3qprla3qbXLeerQl7MKFE3eOU9hRF7dYqrf8VYMIJC1CPDpZxrkFJHpBA3M CXI5FLAMjJF148U6K4StVKray86Pk5MAGmNInlLD43/pGAjeA8rilXtYUUjOmG+sZIqHeVARVEXN Vqn8sBFpIuLRPIU4ct14S3REjRYXnPOqnQM7EbRy5rzJIaDihoDaL2ZrEVkn0AryLHxRFEARtJK2 DfnH5Gin8JMQzKp4PDjL97cQFGRpN44Nm6cQSE6yMDwqflx0NDu1cyY3kKjSj6EQeQxaUZl8pHFH 23dlshhYJaZZaqYeeK6EFQC7gjjkcmBLwi+FLwpkbLdKdcT4cOAJldrMEp+CeA4eDxAGv4TFckJA mGq7wUEUka8kyYc7U2kPl4KagtY1Ym+yydGsMCLXKT6kjKHRT3PAxcANpgbbRoDJFVW0W9EcK+g4 ehRF/egVheTsUJ28l8EUB6V25y9lpxZvXvF4tKK+Fcml69dvUXNHITn8p84vLN1RUjiYOcgmQWBN UjhGMszKjrmdArNK+LdZu0jcznIO2gLeDZZUa62YAiIqX9CiKSRXe3VF9ug7Cspx1xBeMDRk/cUn UoAdELfe5ONBta7cdoIsikHPxvluM/UeluM55sBrevm3LrO6qXSftJbnBjpXCvexB5BL2+c7+kyd 6LPSNILqYlAaw78LkCM2HyBWJ43M99KjV8IOEeVmbjPvoDp5A8ma1TpIt+/3uBxake0rkoK3FVT9 fZ5icifbUt1bw7CIdDzGuXhNhQ1LwCSOdhiXm6JBUvuFowecaKaUJBLsiaS7sBsnYJh02YaLdHLJ rEJDZHxPVK4zOnXxQaSggtBAmM008Pj/wu0Eya0/on475HZOivrRzVNOAERk64TKj9GNsIiYphC2 op5wKvMZbZoAmR40xEbbBVmR7rzRpHSPlQMHLD/LUQ7FFwXkqcbib9rVB+smIHmQFBSWUwRcedgu pg78jRbbIZpcbJfV/SQvjqSDnEGqDu+p3U7yHVM7ld7RRdDGGxvAVTPReutsvyruTG60AZ4Qzmhf WppI3PA1/4L3ICAlnBVZMxGHFco5FNo+l/bG838CMiTXxnPuwu53FJQT3T8o5Wyyo9vZUxUyxsae WrTV5ESFwBU36ZRdLkeHZCT6rOxCHNQJv7fsOmpiJen8wmgguJgBdhK+JgihCkPyPe6gbTeBtWIB 4cSPeDzC1K2vEAr/be9bNdgRcMWaeGx2m+2r1Z1OqDlGt1lq657iIXDFdMhNvCdd8R6cTrDwqFTK qcBVsuOYGylI536F/iFO3dWU5TW98b+UxTI82WzQNAEXIT3bE7bi8f8JVIcqfbny9juMyGnnAvda m6ZEHoQsb1L302kQfIqm8A6RK8uKKmve0RfTXItIRlU0UYfkId4otYAoL39ZTNEhGt8TBJqsju8i iGZZLueHe4+gOGTFePyvxEUIvIpSnSd4OyyRCxpd850mtaOomcUMQo7LoHRrmmLcig6lKgwiRy9o NgI+Mg/xcu42BeQA+LSfga0CQWlHreypF/6n0kqnDBsHFTTbMFobQr3PjjArv9NgWBRt1KFslqJg HJVh7LnuszSX+1IjdPI9J1sk7rkIs0IrsHwSai0mjj5LCRb31r/r6FJ1MT0KZMCxyTc6JPI6T0yC 979lVnhI2KC9g/4dO4YIoPD4X2uJ8HFF9Jf+KpjQ69eSnT86Nx0JTATDEbAia0umwZ2WtbSkTmEw biFmEtmHo4F7BlCxCVUeOLttCGrLRYk/bkWtt4KxDG10ugNte+nA241OkwBMqhaFdEcQjHMhiQSL JtLmR/xp8IbbkcT3frvnEll+b1DJZrLLfhM2E9hFJCZ0+QJsAjK8ZMcm3D9igzabwFAcPcs7p9wJ 1sg/H3DSYRcDmWOmEQDKvYsEKnEXGZLjm/7hB4C+Q/ZByJ50DkNC5qFezeeD9KX/kqYBIXA/Goi0 EA+CN7JcenbgWC6XbDbVTx+E2DV3ME0hmrzbZAmOOSUrq2LUhDtQ6fIPhgciAyl0VmmTBTVWlNBd HZnFA3xTBMxgNWox4pzorxEBVkE2y8u4dosfEART5VulFaJssz+mJSkqmgOUkGdKbrZ8/nE5WBpG Bz/uZVqXT1bo1akkD6jxO9rKOjElYPYUgDhBfO+pSu6estMtg8Yx8rrJngwUUhSed5VaFn28OajU kk/SxYO+AnWA54PC+fyl/AvC7xy3qcdaQJD/GEy6WpVzElahY2sl95oYoO3bNoxK5+ZMvabKU0uS MZqsCU+qSaAd6jplDitLocjVUFygEo+lMkRg8psi9xJGnSTNaFAucyIa/aVQv+bzf1kD0JejMKdI F4afU1g7bxLKGE48o7K5xLSKLAZxaI1EH++uj/qXl/C5LoS7S/OyhE5CA+X+sjhbHcSwpMSPtPgC /dNM8SOqZtBFSN/0L4reidSSD1pa5yis0ygLej4wN6u3w8d2IOqdKIf5ZIU6LKQSj1TMdrnTGJNL q0hg9T/C1ZTskxWF69O6Ma084y26AGaekjB3cLBRdlzYZUYHH594xewIKeY3/UuWdGxN1t5edalQ 7pIeRs18PuLIzl8n/Ln4QSK8kxWqsdC7q+TR7TokhEVAzsazmooHaAz4PvzDQwj13zta+EDfmlY7 9e87RJeSjCphEIyu2fnwvG5bBgG8XjrQQZpEKDZKRHQCbLn5Ekz4DHrX+Pz/5DKMhuaej91SiiL3 RW7VyumCWwAdMozi7C57sEChMCPGSJSlGOZuqOfJ0bfhTEAqy1Shndy8qEIM59ifRfG09LT7loyp UqhYTo2fZTqlfVsmeGIIuFz8EI1Q+HywC69kOdnHOHYoTu1zFcXvEFJne73bXHWhf1gjpuK/cmau AM3Vv/EtJyMjA1yjIxLOiZcQVcmNEYJIKhKDTsJfByXAS9gjpxhfFNWqmnjXxQfNFRQwkyjrefL5 KG3+RudDAnI+Yho59PecEo7KTkbrfebsxc+0PW+eK7vPYGDwCTKdtH6yaU3nqzWddkcvAJkfTL5X ylmHWkXeOqBBjQ+8NjnN3zk43KeI16n7wKmCVY2ELIFa5PORF7qDOukXi+r8/HL4jKJ3kWPE9zFX k1vYE2/VCntk+nOqIpxLSibWJh0bjo7PXw28Rnaz4HqXthkVo8g1uPnQfDINQMIV8z8sPilb3kby QTMFJhvp0+1k+nw+aj18J4Ygts1HVTLHJp9VhNlbjJkqVDVW9ppVGWCdVFVlyKHL57IEGkmxjxc3 BSGhJed4cDab9igkf6BWiGoMKDI2VaXZO/9L+3ZOMbAFJGeIsydxvOdcr4PPRzn0tyBZQYLTrg5g Dnw+0XGvYu+51PuY3YugJERpqlr3anEOjT5nMrkfEtE1aqqgKXhWzZRB3DinWBawcUnp6vwMWGXu KDSavXKw/WiiCjVuH7io0kmdhLuF6DufD8KF9VtPGYkzcBE+VaFmIvoveHMX6C7F5O7AuWwmY34O mtV9qkJGqK2q5Fy+RzRx7oFmAxEvEMM/eTkz7Apq2GCogyvcqle0l+AXReYmrK1P4qs3QzqWrzoq +PLhGJvPR1JSXmnoquicf2iXYpwDt8/zn07McLtzlbcBzM5ZJXUbSr7TBU47MEa8SJrMpdzS9YEB xKWeyJwENUSZY1Qd62i1TLpl9cc/FjVlfVF0WPE8L/Tb3c6cAJi2qdZ+ktfC5//yFmIVa+LeugqT OTD8xLaRCVPLlg2azRCwHGmnbdlgqcv4szl0/FxquZNa6qOF7AtMsjWoMYmLGX0JhuwNhRwWZAF6 v/U+FbFCz8/J02pl6QBlw3FDOL3zKKHlLZ//62BnXILAEe5ez3kVyrWwabNSbZ47S3gaswU1ZJut uV24NAe+n+hHTCU2BhFqD0SoUH1/yjenLlBAmDtTLP3E1o0YAHcgLJRR5TcFK4vK7xnWaTYotsrn /jALwlT5fMRvGF9nOzprC7Vyn6uw2L6YLhdny9CL2pCMeQwH7gMX73MVw1+4omaxMLTcMBTA9rNO YQcEec45s5mCk60N9WCaeFw4FfWD+E1BnSFRCwHhqw2G6jj/I637k4ny+Si/+U4GKyRbkGL5XMU+ QzylcjU044AsglX7IN/Ef6SZh/ukxgagw6BU7RkdvH82NBCalHWjPRM1J0F+yOjdAP7Xc39YWNNe Oup0SRO3Jh8UXkEuLssaHHXk0AO0jlvsI1qoUvJjPbFo6APavSjjQL1SiQPFdElnFtsQpAQDNObQ CHRJ021n1Rt2/kJ/znMVDvBgye0DMlk2DIv/blbAP5+GV7OXjhrNnK5cdXbVbK0rqH8yGoW6LJ// S1eRkQ3uwdUei/DQChQsWuT9iNCocj7RiFIFC0BviXGdj6vTJysEqPMXaqjA3NGhC8Bet5TJoOnI niTfPRjkJ5hA5dUehTd2B3NoBgrfHCTNiuC7MVOhkwrikWKByef/ZGtrA5+boj1l99AMlAYS2BzJ VhYMhe2AH9NQjtBwaW7EG7iBXj2JlWVKuPI1Jdz0soJyMF0GEpwpipBoWFlge/7Qp9eLozXZS0fa Zay3g44POODZFWKtlX2SSzYpIBXDD4iid4+zKkNS3DJpP/swBMXQ3jmVrbMKqlxWJ0U1cxsQlCIj vrRitLoZ8RoSdNZ7bJ2L+axeSMcDPXbOwCkUNr0maM13TmWwPXUdJpL9cuwJKhyDuLZD5GL1KJLy 57Pa9KaRTskvQRfswy9wcQ5tQRl0jCE4DHMdZ69V6EVeZAzF6hnAB76g+BMUwJtLWrkuaeAHA3yz GTuclQSP2Gm1BpJ+QN9+EEQsUvCLgrkCTOQECZum2GAQdNfhoFk72Nhr8gOCfXhtw5vFDqNfJaUc WoO2or5z82LDHGtfcAyZtgLHLK/LhN6gq4vM1sozXtwH0b9i/RUED/x3wbaByU2hM+/2EpbVZUJv 0FykykXlEg5CNLAJzSPrZAN8/s91hboM1LkAAfSpigJ4mjOcfTENEXp2SJqWF65hpldnA0IfyqYq FFuUUpCgfjZ6rnNS5TG1B0/OA4lm65NBzwgfCfkol51a0145wH5QvwwYHB8UOJw12kSsOT8On4+s mx7IFWYFIjz04LOpiiru1LFDBcA1htMFNhQDHBMlA7MSm6pYAF1oIrJXbPQicqYpBqWYERS0QcDf uYJQF0oURkIf2Xo52SYrBMoIxbfZt6fGpYxjwNZib7Kf2IfPB2HDVc/lTQh6NDxu7mRF4XunLTbb dZZDK79hDk3auXJowimZQwcWoWgTiv3H8oGNNlmgUJ3ompjsgj7FlNVMRmFrgmgLUo1FWDgV9D3B PbjN6ar5YCzJsyCTCKLo1QceoYjQ9tc1mJFt7d2fdRVF7/R6GyfTGV7wa9290/q+UL7BNrWmKoze hcqeVZ0cjh40TJpeDoajs8MYDKUZqJsQlY1ODhp/qFMSplbspYN1ta5avFFKOUOQcbLazHl5Ph+V kT0clecGFFrwjj5boVkof2Ec6N7Kme1C2HlKGU+ZLBPuwtgtlMiqXmilY+Mtj54dUjrPdvQEc6qm mwNgVjlnTkvX31EI9hy6hSIvg01oWz4YWA1lEQKMWHIP7EKt5XShtIiaVu+36RX6hRIDAuq7RwyF XVCeVxILY8RQ6jJUXw4MQ9E/MxtjbUGONlMnOD/neWJ3AlzslKvwaoBVKT4qqTzcd3/nANVAdtvc YkdsvwUhhbK0B8+f88mxZeg9rmRpz8ij3zpWbBpKyeETiHypvTiMvcxtMPYCdJAF7qFrqO/BrGxn usQtNanO5Vc6o6uTDOXzX4VqAEKNqi8/hbbr6qZ2db1C41AZN0AahQOKS7z+TopDRCvCq575AX+B jwkiz9bbvNSkHNuHMhplSmsc+FrcU9ygfyCSbNQQbb7C0F3VmWEEyvFFoJy97fNNRPnNkwvCdlVg LOT+lZrJIIm6MpVa9YGDqPG7y1aXkJKzVheGkB4hYCc3z/yAoORwi6S8OQvJBzd0jy1Eh3RPk3OX 18omJQS5p365JM1T6MBD9OmomozXfGS8FixYoIguk77ViKZVVogRRJgKpXBXpwIAlN8UIddYfK+U AKcdmvgzKwMnzMk6vww/4E/VAASkMLlFKdEnK4rdWcY7GUhXNZT/OCyFXtkIlVB82p4Uhlai8r1s SzrMGu02PPf7BPoD7a+zCx4higTkTGG0D6iWV5SpUJUDM9HEIglLycsH1RvOHzO1xjpqpIGbKEKA 2//Co9COpJGkT1aolF7VnnDxfci7PoU/M8UC2rB4kTQwFIWwqipY1bzD6r4qMDjYz03FI77nDssi qetS0SrRxauS8W38G3/pqKDMlTVYnNnkJPYfY1NuQsAgP80PiBQEHLrGCBZ+uHM8pazAVBT7kBoC bbulQ1U7bIH07VqNqPvV7rMVBvDTmbnrjjZbcGdsHQKxCLRORsJGbYbpEA75jcoAoh0vZe1lLx3s Q1VnjKm7vAuNU6xKVT+vzuf/ikqV5sPBce0HMxM6i4LkhtlqwwBGc1pJ5hzxhSjcQgPvXX0jhhG8 NDlWtepMfaozo1Ndl56+A0q75pipDJF9wwJ/B0djqQAfeouaFHhh55CD6ncNJBCGWnstPh+R4L4P rRO5nM24nqZ96C7KwAgHShJ07fzy1fQEpGqQZTre8z214hhesqepPaMma5CPuNCM7+emAhZasumN kh8nqy7sNfiplYXzC/xFET+g4kdIKgZUDIVOBhuD3be+9+QHBPHD9GOrWDH6XIgP4Da2GN1LmbQl h1C2aJdvcj21F5XzGMMHHqPnowRtOEFEvqOBQQCKbR2s9A73yTxNA3PTth3ZIEqe7iRWlr1ylO/I tiAZesbKfhlPsi8jhEPgMfota8m2PZSJx3Mbhh6jTM4GG8FW9SNih1W/KUUG8gbZh9VMRaB3hQG9 VPPwq4+HXwLEtgkSCa1ME6poBYT6BQTH2THDU8OhMCswGTXhk0LXM0YOuFeU70AbQTUH9O1Dm9HU vqt+uIi+7Oly6DNaiYhc5dZnZl1OPLGSfOWBtYyekwOj0fS4awuNxdErpCCzNmpFQfwkpSXnNZrh TYiRj3GLfgQc8nsixx6BuMkZGcgzZEXTzsRTVOpchWXxAyJ58F/NVWDW1nO2B1ajOK7oCzGHY/zG sDAUHB1D/gE4Mx0NEnuNmnx62/mOtqzO55+TSE0dHEazyhGiA/qIsIuBjqufpGSvHBxW0ireNfmg JJp0E1VngMYKvUa1MXhW8ZBDm2Nc4m4OzEZh50dQssQ/RU5NLkc4uZypz3QyZPMvyLHbqAyjp9NP +rhqxSg3UVaHBgYpDX5KRpf9RDkVZmuo/LvyUK32zlHsThRIIu9rJ+d9wW9ZWQrtIXPoN/qIznNa Kh0q0zNXYd2dSjG9V5UZ8I+pOhokO2n+XIKQ4OItGDiOJqc0UXPcR0eDQOVkJ/ms0EeTiHjIZSXv Q8O9y5EzSnRCz9FmzXoWSrviLB47DZqKBDmexIUfENSTb/DO2JWIyHqzwsB11FgnKMUZ62S0Od0Y IwNaJNZJWsXjq8B21IUuTxQqRuUajwz2hqrvUJMC2e1WIQuCVANo9/OiDQpYLsU7k710sLIYMpC0 xsGEUNBUE/sLBgF8PvIQ+eanZpYR0ryaMTk0H5U7BnvPpoQ9bg7dXXIAh3uvvg0j6EyVABigXHe0 YtZZMhXHMVGR/fxRtcjMFlfSYgCP+fPJ2tneOWp/MdFZ1OPl4C0dks/VQefz/wuAlIrJJzq6Z9bn JbzCl8pWuW8YnJklW8qulTabqToCkzesC/0SXAERZg4+EPhqd/Sy34QVLBT5QTk5kRqbHZC8RA58 thHrlM6So4v0S2jF102ypYGmoQ12WhWTX0Ht7/MSWPEdt9Evh+EhoMXLmgy+8iWs0gwxAF10hBX3 sjbnXnYq5v5HPpXVxJ1fgip+u+l/b60pjd7IgYYOsaKdcjFdnJSzxFjqxqEBLri5YwAoia95m6IT fVZqhdN6WoOm6Gxi/RdQrz4v8RT/2pqyTRHn4/zqk3hoTtFLNMWHpEs4mENww/WxL/6q1GQbrqRs yfJLLKVFNKwduNsdLUI/iXKDhsGUYMVqRTfkAO+9o4eJ/omFnbKJfImk+LqFugJ70LF9D6+xn4NO 0v5wjm6flzBK82v446FEBtpHUAC0KXqJomyfMTgv3jtlx9yLxoVoNRaN67ZV9BJD8YNMeZf6lD46 ohbhWJIOaDnH2ySoFWnhiRUmTPighWBTNLNe932KEBud1UM8Ow60aX8sqp9q5IFG+3kJoJ5ThTOy LNTsiergdIgPNxowFpNkRrPrmd6DOHFbd9RCcTL4S+ykX8gaxhLn12hXHDRncO3jN9ttEkqJrHgC Qg4sCDvCV0R9UzruJXTSYSRx8CT13eTqu3tidq253D4vgZOervVrpxEXSvcSztFL1MSHCGIdq+xL TsoWE5wzcEyXtjwJfzbv2mCOxJFEeeUZvfvXT9qbC7OWjeJw2sI50qAdBXUo+F31hSRL1mCO4Gi2 wJPOPqjIAg+vKqesVT4v4ZKWRB2+1zidoEaNYnP0EizplC+CKWwvoY+ZnMBVVdBjwgJgqCxDojmS FniaJp8zH+9MqL+fqG8INwvqXBZ9C4iXvTsVI9Pda0ztXuIk7TW5OnTttY54Wk7a0FpjJ/hEyf3z EibpNJvjax3BT4wcIs3RS4ikE1tOrCt5Dwt6ij9mq1Kv/0xHtild4ujEFuN7CbCo0evmq/e2TLFq bKJptY6AaID+xk9jmdtib9LjX+IjW68SzeFy2oqUefgW9L2xOM6u+7xER/aW29cRSyoduDXKU1B4 LZgk3POZdkw/LhWX/UAiSt0KKhSxplRccGanYlp6/2WYCdpfkoBeByPlZMJUxD4xNxrOlWZPkNs2 UPGi9cxLRVOTRGJp3cSbkdTCbVOQ9SxRQKCPGl1s9+qnbM4EJGH7vfZSzNTipWb6JDDcVOK6Qc1a 0kIiIAHcBSl4RNGRxOFmF7OBo7f2YC0+5Xy8oKbOJlmG5m6FRS067CTNGiqPrlgvhUz9FouN0EIv bXUlMEfYdww90arLn5cq5nON383G6zynNf3YfmP/2a0t1Y7kEkzDNxmZ8KYD/osoGc2TbGq3WRNx tLWEzOqc/WS0wQcoMwsE9QHJbkXdD72wKwijZPc91MaOa8JMdR+s4AsYN283SMS9cf+05Wb+OrpR 55q53XmKgm0KukEFy8KkLtsyhkkLiq/qFRdeW6IehdG2DB2kuKjRDu8TFp3LHx0/mBgVcIs4T50S OzQpAzjSW8Vz2CsHx3dR04Xc27mcezvnyZrURhh7fd6YfxZwf4dKS7mjB9xvxD/dcdSVB2Dft13q rsJUGdpxnhJ7eMKXBfPEu4r52jN6+RLdvLzpvAqHu7GlxQtQx3lTtDESrbGSUECCDgfT1KUGs1vy QdM0YPGklbX5eBAtDZ8mU1NltcqnKYq6Kf0GB7orpZenA4AMb0bFwdSLA4CCI1zdE2yudkefJjCd K/Ay555bVB806ebzo28gAct6yFkg4PGN33ddpxI4r0oblL2x4Mc/vQAFGwbehtKwQAX1pVU8YHoj /NmZomp492naZV6ERk8+TXNdbuR76J0txwWlPd3RErg1S051UVceKPS1REuagA3MNSVNfHssU2XL 8HCS5lk1N7qmdlTt1KDDCj7ZKApxwTyJ1HLPcdxiJ6+7pYAo/O7d/Ga8Fl75o0hmV/9YadJZvMXy Hn/jQvAMd97RSuEn6AVckYUlaF89Pr7YQuz+pnRPcXIMP29cPztQCaumB6QGzhP4dtIBr+cU+bxR /ZRvpPl1OsHyHNpensm9Uf10qDSKLgn+KqzBJRlVHeOoKpHYoppJFIMPEbjPoVbuaMc4JK0BZ2BZ KUFhSAuKypmLJF0EEeZ3nCWhEAXhjfLf7JfaYPU3NPCabrv9eaP5WRj0XTYBRn9CJcemKYrCoRdJ zTN3FJXaP6fpWvSg+Ja8tBSF4X1KCLXvfscLuhuIyuYwq3ETjq0b/etN8SFoTrskwOx64/dpomgz uMhTw/17YX/ACDN1Pv4eFBDLrGki7hPSjD3dY/w9EMdyYjtzXbX0ubtTZVqezoVE0cPK31Ekbg4F nYoBNvq2y6iCFtVzVwMAT+A89OEHDqmMwtF1ZO964/d5mnIThYaGDVxOgGCLIIsu4+eN26c/+KnC sUeNrissM++KisLxrpmS0xM9Hdq+jYJdzaugZRz1WlFhPK52ZuuCc3K0mdqQDUqAdWCmIPWoIgKr flNiJ+Oy3KfEqaJ4HKUimvjegTH0JqSTeTBRGFFALhyqH1CwtOjSWmK9MgrH4doASUZGAF16lt6o OxvvGql033hvpL57X2PDNbOdafVuvHSO6ZNg88Lr6O9Otj1PPsNOBknzyF281oT+4OeN06clUVW0 lIrlcBXLiZdsktUr+fNG6bP3nF/xk0TA683u3hh9ml6xRacFl/jH5lhXGFx62XK65/Eboc8iAyPy fY2W38FaJlNsEPkdmIJdhQK042DtUUjHu0dUtleOEjzEhrURZlcRzjaJoS5QKIk3z5DE/7wx+uzS 61+pC2AVC0gsm6qoCD6r4fE9NljpmmKxjmKuM1Ay0lS9h+TXf3Vtq83tpzaHMn6lWBRQrqAqZRHU CJ3oIA7V/iVIrDX1HpMnw22eWIqpC9yihIQekPhgwWCccPPzRuazqf7O8aAa2NvIPlFhUE4akflo sz7XjOReySPy+hy6yJqoKChPSYW5pi4dRzujTkCVAYvARKE3t6r0lRL9RCoqcvS8dykO6ru8Efm0 DRKjcqpLaFB0kFBLtnSvft54fPc20LRgo8IrHhmoz1MUlW/qJRShW60ebqWV0rrbGFUaKTHYfCPx KYjK5vYk2QSOtqBaKbvApYfB5qICgBUyz0EDw4pMlLlqvTLFeuPwWZLGgvhiY2WtZpK50LpaUxbR aKxEQfnY/SuIYnMQ/8umKYrJK/tzvac7TdmULCtLvz/X0MFKK2/8PbvylNsla5GnfX0KOpqY9Nju KGX1OXjuF/phodRLKfj9nOVLrxxEUU3KqOsOwpbPRZV69hDW542+9xRJ7nIC8v/knJ7kvbH3cKT8 X8GpdjKL4SWoTBEZnk+7Za/U4TiweXqPyY0TDxdfGbNz9Lo4/K+arHmgB55EXa8jk8SKRBM8ruuW SffVN/ae1ZAIlTYT1tlMbbedm1XWvueP2Z838p62XW7f5zgKNOnWDN6oe3qK2rFTDGye466Bes5x Gr2JNLSKBZtvzD39zNNyOwMR7K/jCWzGJjJanR35LtdcAa1iJxlRXulmdPP5xtE0Uf2tiLeXF6JE Fkk6deXwjWPvzxtvT+sp9+8Y6oRPEIb0eQqr45uWMy0/ljPtWs7U5Cpdvbmg2Rtpz8rWnKc6lMRw 9FAT53iqhJNDdCx12dKcy6H9TDKGNnVQi3BhTW8chJqSRRgs/XIwblXdJvi6M18zWE5Po0XFdNwh +zmgophcNMy+b88u08JUfg6puAckhQo0Ue8xeXZZT2Cf72jVlV2wtYEAQ0wOALnI2AvsxsHoND3Q HXZEP2+Eve99t9gn56DsZe9zpXIL7jU+b3w9XVyzfceaoDUC+GgN8igmh6k8oLWGw8yAVzkSpeRt zBfAHQ2J8sbVe8pZGb2nfkdNU+1znki80PbiTHnLwxSEAOQ4WSVlF8eVMkOx4fPG1bPO2ya+sCUf hCMAq1eyCGf9ft6oehaSf6NRyCqu1cPMN6aeViFX08rTpgk4b0td6mBkwuOJdRFNU1wh5zRtyuTa aNN0fgsSqgCHhhY1PcGpSXX+naHkGX5DXfSgXPTG0TTJ3NAqUZeDPbfx9ADF+rzR9OwUH1+nU4Ew wLin+BtJz+o5QkCvfgG+DoauKraRkVBB+OOme+PoaTMkS4TXM9qmSzAtaLCDhlVmgY6xmlgF+vsA zhKTYod4sxcO8rs+5CQ6fVChbp77VKaZJ/z6vNHz7Az3WdJjOMPv0fRGzdOBklicS9lF8XI3b/EK gm/SLNU0psGg35h5tpgZEwxBBjX60cQIH750HY7KvdJaC7gM7Dn2ifArGP5rUoHkjZenu6IT3ZRE vGYdlHddA7BsS84gl88bLU+/5q88GO11AjdtnsJQHKvjzNNwhvpo2zrBmWpeNk8UPOBqigrkSbET Opp3tE134iJIIIqDAPMR26Doo0JDC6/mkbhirs8bI88yOy6jpjJ5M8OtkzfAF4vR9fktPm98PIvE 69dq6k0lVp+l90gcc7sZORVfTatu33NzXIlFam5qlqLqeDKg+BaYkKO9CeCDZxGR13JyI9iG6QSH DuIEkgplvQsqYMLyxsXT35kIJ0x7+6Bk7mw/wkfQF+ZrBhddvt1gVhigW11v5PTGxNOuUzO4bwdf TBrAGS09XVp668bEeyPi2U0nsc6qXcfRq+NrgfdIFA+A7ycBZqXAEmFcIwXSnU7xJKbwjYdnGSwP 78WrjoMmClKgMsJa+D3fI3Hg+nzXKRzFWUeOuiYqCsVbJiJVXrQyYfW7Lu+xl5XpRlsmvvXGwftK 7To9CTnyfJ1KWaDpVag3chZnAbeWE0UxjYR7uezramio5zcKnioFXcpI4lmTbMHz6fwfUMDGH78y XzQKMr8bCWvBJnN42feNf2exU6E6UhvWNu/Fa0+lMW8iUqUDXKQVFRfIi3I61TU52s5riJkRNTG3 A0CFgGf0LCrtH9G22FcMHchoflF0QkmE0rSFTyJI5BBAx5DqQLFgZj4fYXmXTRSNHyDaMx9s2Bv5 zsKnDOGa7LSDMWUzg8rvqC4V2AAMsJmKCuSMSzhD+44ODT9x3/mxWaU7gUFrIjVWVJ9+4LkyYM20 rhsWhQ7eqHe2C6g92dWh6m5Q28Hilxn9+YzPG/HO3rN+Z3cF5mq30/lGu7PIIKtaINuBDNKPScZX +lUK9Vxp/0IwZlwhz5of89Ie17nhZBMzrzNXvF4KsKzSOKD1zwnO0eYGw8jOqEHnhjfanSEpOE97 2YD9zaoKusL6xjY/b6w77dzmCAOuvgxkOJQ9fKZCyEopElTMJnrXmEb8J9O6XqpROKSAeqtYUW+s O9sS6iVs2dBx9LoKRCAm2O3QnlxTvlHnIiRfHVHVDw4xrz/RC+HzxrqzIIrS+uxOYUiGgYICBSEr sOD6vHHurK7xK9aEdQpIAzZPYUS+eEal7PYWm3A4evJAPc4cGyrMmOjY8Ma40ycJa7iTtM05Xr7P RBqcAe1BTrdrE9+HQP3GBiHdLTzB63rl4DBnz2VkmT5JYJX+H+tsbgEzc/28Ee4sJq/3iMIvuTaK zrfp8sa4s2gzsbLiEp0nUWTtgLYpralD3E6UMowg/Ea4s/iAaRt8Xu54eZwLqt6d3akygGQoQq3g xKvwD6V3qe+9ba8cxAeVgVRlRsxBMN92QkCic05OVD9vhDs93i4+E2937kB23W2eoqh8UXt59WuU uS4a49y3xZmJC+UMnuVvbDsLDzhPzXgH7eEdIB85W09neYJldxYj79yEdErDfEARUKmwCitvZDtL hSknMgRmHQbdRxmITk2J9Y3PG9futiE83iw8o2gyve7ei2rkU3Cx4ZDoDu8qKxpUYBNVIx/bI843 rt13y6VaTbN+1TQnL6fFyBwZLOnlmKlFOAS9tFGCslodzoHPG9NOMzVk2kdU3fZCF8huVeUcUJI+ bzw720LrK4zqMKjN3TtTbzQ7PTUk1eYlKGSx04KDvKbzN+d2pZo3lt1393yYCNJ4RJDKhofYicIH 5aLATpDuOXTEzkFKj7O2b1yuBRVVyEcqImjcwcg+5w5iPEX25hvFzg44r9RhvaPhRNytTVMUlqtz fVZO8sovW7Kq/I7qHZfW3TDsjV6nadKNZxpk/dEgA4sOAD2ov2GaYAZRrXmlLAvA2/zAxXAGfN7Y dZaooVxAz1YbNE2IcJaVoObnjVxnf/BdTQSzJlQZ2p2nMCoXc2xtd2cATVTVlTqHV1cgBGzVlRYD yIuBMOYdbTlVkBB3p+5RhfTmnAagPu86CtZHrpcMDIAyviZYTQQfsoplgxWTcPvJETtvPB5Emv27 UifHg1s1aGFEjlt8gg/nlTrGPWxzgnZnfakO6QnRfqLyeCqilS9plnK87eBzhpdMjzDQK8+sM4YY KJMObjrccBejufXGAaclKQsW0W5VP8U37JNF5ikDjwfwgjzvasKDaAzMdbHRPQ7JSSnf2zTagAx0 TclchythzFYNzNrfQ3L8R8U6etLYaNsOKq7QFC1USaTSr/DmgNIO0IpmvbU6ehTia/5B1kRHP/sg aM+EJLXmafPxgNiyv6E9aIeNcTF1PQrHmY5BKcaLUKUn0wshvs5KdZnFKs5ShFgZCp7OBi531CwB xJHQK5fNDqAMsgJJqD91vjg8fr1kkOyNg7ylsLaCmq0NmiZUVqjWDY9PPB5EmWV9T9O5eBokpmya wmicWcvKXloZ8jZQDUr1cdagkHPxDO9RfdyuupJKv6PHmIDHskAEBBQccHnOn4gjUcMOxXBaHVvF QEyyKBoHCAlOYIXkez6nXvme2Mf841vB8wG/ZX63gzNEnOa8td8eMjfpsTMo5it7zE4PmUVDaovR gRNNJpjfo2hcJzNkQNYdLb/bwEO1xKBgQi5frvUng8St17CsQOO8AhjMhHsUjRee4wU4Ig7FUJoZ FWZ9Y+d7RgzX+RU8gQkx0mWU9Yi+SUu8cyYZupfsMquswPo0/bigg8sa9TAal9bmWR7pjrdvvoFE lIIkjis6pdE/G2qXKqw+0u+87npUJFebczfJ9QC4JUWVfa6C2uXutSeeD+678Y1XGVO3ps9TGIuj AtWROdp6SgQ9EvTbiVLmPFXZrXZ+UlQvsFg89TvaPAGFcbJ81urOtutFLWM0JBVfku067glVs145 qBeY6ruUsiYjfEYDvZqR78nu9qdH0fiz8xRFoDsFyJHNVFQnBwo7k6nDcgrKv8kqK5AnRg0KZ1QC 84Q1qB6jyMUVRzPcRyeXoQxShxjBZ4ekTrBUWRS96NBIhKbrI0o69cpRDUrifjyqFim+RWuqrFJ4 6eF+/vQoIk/jm2AG5duVLvawv0fkSFy6IAabc3L+Ecg/lKNOjEuXSlXrANBiDapHmBUZpsHOYt/R qnUnekpzNBOr67OpmF5BqAIVblIvIF3/EyqS9qhQDvUjBBqz+CAMFAjgXQJFqeHxiBnsFQM+BlnS Uu7ei4Dk7KjBaMFdQ2klKSPMScHQs7hgwjfNYrVHmBXJqABor4u/c54qb711rr0tV3bkxLAQxTyx i5BZcgEc7UGSn+nCFwVB1GT0tHL3QZ2Xk3g308pafDyCaH6fURnaJBMwNpuoMCinxm2SLAhFNluy 8u9JhIcZjpcisUhsvahMrosMimfPaAsKP8RZRxLnOQlrHS7OA0BSp1nXYu9TWmLkvvYItNKJ+11L 0aZruwF5Nkz8cJaJx6MFNb9zPPLgJuh4RjaPonIw/dGNzd5QqFRckeYT5ccJW2HdT2zzqFA+rd1r bi8tNy+tFLhFto2AFLCVCvtpCf6hSrboB1lpzG4KpFlvHGk7kFtWVvZBMKjVuuqD56jLeDwIy/t3 dAAy0AQZzqYpCss7HewBk7Fbb8mHgm4n1ZR6UKtzwvkIq+SKDnK3fkJ/ZFQyAM8nqqL46CBIlgtq V1wWqbH3M8dVXEMWjS+KiJ0MyKcUuREIDwkWTEAniY5umKiwTH7xPQwP2J24zeERBeaLueiYhqVD yt6GN6h6dqknVDFsoqIyeVbRAGbiGlnpGLQpBIGd6tuwKZwUi+Rkbnpfno0GtRD3WIAAIb4m6KHT JHQsnlMDChoKNkGWn2Lbn2BzREXyfIsGOvRPrlCmY6NHFJTTgXKgb3DBrK15wzO5Dv4JXWlFdM6n EQXlK6mksg3mI0PYwYLm+dOQ5iGIKrAul/Fqm1B6GgBEAOfrgQFoTPiaIITi9FCf2AbDHp69TOEQ VF/x+F9CGF3tzvOHz3yD8hEF5Z1SWJnwRtN56PlWNLtrlS+QdrSaoqB8DhV8szrpHO10atC12YA5 ntOJYY8APucgP4cDgpICBQTjCU8S8UZUItfpVBfZ5/Bo/cnKgQvE9FQJKBnP/9XGY0Z4/tpzQnuo OcKgPJOIsLcLrM12ZbAqlwR3HUouNk9RUC6Xr56NiZe/mHgnJIc7ikxgYP4sd6+GOPqEehDimw87 nyoGI0SuUJ8c4iw+cD3tc8HLwAUtEDwenU1+iOPtmAqjIG+zFAXkUx6qO13mhvyYxNzoluLRmddm KYKQl63VJCqgRm8jnAWTIL1OoSeEU1NW41CEHEgkcdQ4gBx3JL4mauCRhnc+TYOglrVB8EwYXiTC IwzG5zdLGGWdjmKuzVKMWlHrwGRqoVjb/QRPc13Uyvn5bZai8vhN8IoleCxoZhUMQBdA6xYk63Eu FrWlOlClM8ttb99pwvGO74lCJ9YLiPfVDynQCuVLmSpBXwDPR/yWe9NhUrDkT+ZyJyqMxtURdsvw 848CRnOianEW3kKFSRMVRuOWrXR2zjU6YwrpYSqZfqApsUbOrKVWsYVxMK1rOkEBMHxRJPnEGpS6 U52Fe3pT4ecsjX89XhcfENQM6ndQQGjHunzqEYbj7CTAzfQeTzNb9NRSGnY8QQFStZUR18hFv5u6 yjjaTJ3UL4FOi0x4gpwgbZ9zRw312xdrt9dBQed4yOsUuGAzb+loqREgf5J56WycNbVzwfNRceWJ x2lPsckV9kU1wyo5gb8wRVfmMkjOUfO8rC8GrMMM5h+KhqjT3dGbU2sjKM/cfXB2EwiokcszEaWt /EDrSBWeIWylyptKUgbdfUBP1g50zI98G/F4ME/N56ly8bUfivHYLEXheO0KBrjJaFVFjRgmwqXQ tDHTwyRbIjzDKrnyOyhr3dFOciiKVhKHOwVLgb/gsUSAfuFNDWqLJ8I0Tpghr1PdBJC7bNA8VQgy sod3kiI8/tcZ1RW6Qw1ve0t4RuF4om7fKMYJhv/nhSBOSjYzvaNgv4SyYlanMBg4GH20nQdB1BMW EKvZYWG4xRRuYO/Az2bAeWxddA8lH2ZYJweVGk3B6oNCg0oJff7jqng8mKhHykDUofaz66WXzSgi Z48U4aXTgQSiYENhZuMwNvytduvNsExuyoam4MPRy5qA+O3NW+9sOxilmvD9+XUn6vJEWbmp7NIL RwcUmdRVLtjECfFELpTZ+iG/Z+LxiOTyXYDKwJ5vFKFtmqKAHNcaG8GGxDhrt1hAfhZRKpe+4dq0 MyR1SmKFBrg+Ov6wIq3bREFV6OBm0jdgndp/NpKmQvV5awrTvmuGpM7EeVp0wOagugosVkTCK73i 8aDbefcdX65ASvsqPsy4SI7V1NiCtqrK8NBgQTZC2w7Jhi68GQFWvJmQdYpzdEBr3uv8ZJwmGCHN IsAKCkU/aBH/kHpuIRS9PmcUj1eS73ii2KCwYC7zNoSdGh7/q0xHT5dMEuDdcxFeZUgtq0xXOkwy u2Z2Ry9VBlAbshuapahADgkS7LUmUCtHW0w08jYr5wZR/i3Jv3oWEnLQCbRYvYB7EqlnFJCjIoAP TFVD+jEN1bQlindCK77mX8mdlLHgjrVv53yGlE7RXOh7Ljma6WqHEPN2keNKrDc3XSh32CRDo0BT o7fwUHhCzY+y7LzuNE+ZYimYNFiC3z7C1hsHHTzJPMCD0Qar0Z333YLWbT7+F1BMlnEnKBn9LqcI r6JSJt7N8XSSwsARvooTzttE1qFpiuJxUzdiL85HP5tA4eRhBV5Cg70Dz6YhRdKBCxwFaue5EG4/ o3i8kZiflLkkduFNp7aURl4B5KHwfLSgvsNxOjVA78omKmR09iHIrwOgUP/3icrdZKCg4eSHeKiy oirBSIYTSw9ODECOEwDQ7QbkwhM/KMZE7jzRPkOqfOdpFb1xtO+Ez1zZB0FWTr44JFJ3djgej5A9 7Xs9Zfj7dt92KwrFUYej8ZvHTo0GRoydGonuRt/oJgu9IsCKsRJKz8/oh/g44XgHfaUDv7+WMOSN ydkJms6KveLipl+wwso40XRwNfFBrKk68uJ/Qd0VjweEzl/lAqYC6+LHV1gZl/XiqN4PNu4GZgk8 Ds+CqyMxVhiKd+dslDt66elEggWChCS5oCFPjixcW9hXmj/Iwh3+hPAHXxMVVeTZMs26BepIPMQ7 LD4ZM557E88He658t6TOXYn/73ndCjXGK4WfRvLFRLaHifoDs2zyKtOrKisErBh0VZZvGAlQpgsJ uGkbzA6A6RJE17mYJsAxndp/YAvewGn9NHxPEGBKORMlbRuE69lgvTAkaIuP/6WhnRVvwWHprqaQ 0SkVmpS9UjDU7GQhs6XbPijZAqcVYsfFUCxVq4qjhwRr47fWNJ2IGyRIXnXo3syTZkAC+UYEBNOt sDAuiuLWLKH/QPwQPOkQxjIDgWhtFIf/Bh3mMiBduzwBXlEcvlCH6XuN5hh7aftu+rvZyoIvVDVU z4oZnRKfcfbGHI//z4avmARZ24DqEJnT0AbFUU43wvUkLOxm4IuCKh3hKnPSCw8OvT8q+4LvsMSq yRDVxidEt93deVhTqONC4NvnKgrG4WiYoVtka6obpWwxGDeNv3OUlGJh5goVVsS2nyqSa7S5QmoH w4Mp1fHShxgumRJUEAz/QWfBjQPJiMAX/QvaM7doeHt6eRz5J4uoIMPh8QCwcsNxlcfR43zkokP4 ONrX59/ZSujOj0FANucJobmXnsA61jzF4bjsq03oIT1CDwkNdNGGYYI3T2ivpjnMVMAEAoVzJceP l6wbL1RYofvPWaFDg3lDFZhiM9XvJ/3D45GEgceZ7BsjGFu3prKicJzF/0GHT3PUSMsRdaLXA1zQ KBKreQrx47KRT3ntO15E3YlYx2Yb4QQGOIG598RjK/TFXkTUCa0y7ZWj+ClJP5NxJvoC7LGcvU1H cQplVTweybLeyIBhxJlaOhrYRIUActoqQjLTgfbzW2LFJMVqr1eAPCyQT4Hni7gGskz9kesPyk+p Cluw5pxmNFzpNV5p954v/5UMWr5ycJwnledoVrZUHCeNGlIkCqEG3zMAF4xvItBJY/C/TtrjMxUW yJc0VoqnLmm7aE+evTjBJbMzyZkKI/Iu3ZQsUymOfpxPmGECJw7INGSBhmzRK3vIgGeUPB8U+bBX jsIo7rlGAiwH5XUkwHI7nR2Ix6MldTF1rKuzFdFvuLmjoJwRzQl9hs8Uu3XKXQpdioy8kSwo31F9 3KRZUxGKnKPN1MkQzwQtWZTBjiCplbDI2k0If8Cs9tqKTNF3FJa3XKSTNTWUHxNXOXOVBNOcfDzi ArVfgVSDOKxXoPZ7WH6NmLWMWIFSIDXQtFe8SSPm4bSEHeqsaEVNSpLY6ATYc3h3dMAoK5bRn6Ks 2CZhC3VToFHzvfRokbBDIDlhmpsSGRpUIT+LuFDhkYrt+z0u/z7MJbRy/vkEfT5PIZCciqNTmuPI SYEi8TOqFC9oVhCPNU9xXG4FzV7veGtQICUAWwIZqLMNpwCdBeEu6ASoQblcVqEHMr4nKtXxbOp9 +iAyEMxzRC3baeDxoFTXvqllTAwe0cMdcjrFwINKuBsA7OIH1OT/lYAVXA2aphCwUlSiS6IlcLRp QhEK2nPcdujkVh3lK8tUF8E1QhI/ylH5whcFpCm63BE7ZYNiKLTT1fTcWE4RZKU97FfGEeCdTz/I d4giz18SfhS2h8+TiR7OK5aFcqHNU4giVzyO+PuOzkvYOMuRAqCkOVXIxvzgeoA26E/70tAErgFf 8w9jErlmAyWGJilZCecE3DSCBHNl4/ngHL9Sv3w5BmIXibFD0UNGBqtcYXuqDUhqheIoqkAt9JQ0 TSFepRtZKuU72jSN0RdjTHRbFgMFdVsWCdEFYr/1ihgATISvCQIoiRjUdQdtugWtJNHF0sLjwSyV mwwnFg3YeL9g+x0hVrhZofHgwj2zNffd6GVMm6fOM4fzFCFWhP3qoDLc0U8n8ET3ImcKZitMQpEO A7gwNhn6OHVXU5bX9MYR8XWStEGqorRutZxqNlfqc5vi8QhO9w3sAUL4bBqv/O4oIq/UPExtGLUM 6BXn4GUidqkfMnj2oB28Y8iKiipTwQFHB/YAlb47fRIKlIiqssCBtTEY65bqFBc2qPg9AVWxEDc+ JeAzvVQHnSmaGqHqx8ejUp3HBLIy4TVyA/IdVsjJSDi3hC8nSFz+SC/rJJSYPZpR80biPMX4cc1P Ms3D9GgetgkiS1FAfjKavBW0d8mbFAgJXGlIUrXwNRHskLaSyHZsUEXzhMr0OsVfPvB4UFwp3zUo yP7setW1dxSMt02ISq3O6DznxfRNl+6mG9vTlh2hVaYDn/ozOrhgnHN6AZEGANTKUy0s0PLBD8Yy RI3A7M0T0+AdSqwUZS2E9axsFRxotHSZm0MWFY9Hsvb9+3TKRA6X23BRFvT6vdTtgdmqZ8ISOtzE 9XRTeoA6YREMAx/1r3KdTaqNluGd8BgQUJbIAT1twnBiLyLKBEKgNsLHl3yBtr10QH/NkjhiX/ic dqj0SYAcVgVT/qMnveVH/AW25wVJThL5yjZfofghuXiwFSNWBVy8uYyWAPkjVQ7QSB9mBJDiqJzL ZZvPNEfP8zploSSyzYRDtEUItaLCiOQX2gZOW2RUTr3FoJeNiWq04NDAxVWRK7K1e5Zx5vNB/jK+ iywZXEDqv/tkhR5BgwwX6g6KuCjjUjITltEZ4Ubt1q45hVBytj2FSvDRJmvDtwwQRJI4EmR6l1Bj CD1Q//wpQN+5MjLLB/imCJUh3YeqAwu61KSMTQT4WyTPsfgBAbL1iv6yqg9xmp6eyYrq5jTMnalb wxOcXWcvFoh9WN28zNl8smKHTll2T2HCODqFClsP4FbsROjaNtZlIEgJQl5h3S6vm+/JOiGFZkHy MpMPNQcVWwoBRZy2Mfj8/1I7x4lBmT6fqyhGB00VR1VRY4FoxHFPrewQuwFeo+3CqHguF+pOiUsf PTcuC0CxLXZQWrmJblaZIxPSAh10T2Z4aPObIpoCyy1JmrbJNW03GsXMlE+8m/l8lM74XBExmQs7 Q/kCEnMKy+cIc2BQrMJmRuXIDq1KB3IdWqSi2SEf1s+FHSt1PaPXO4HI2QIEgyMEzidzPzrnFIqM UPmIghl0ENI3/YOUXqZKCrrKubTg/Ll1fg09H9iafYkcMK+pP8i57mSFNfQmWl4dDkxMy27Es/d2 MpWouWv2yYoi9inN2pStmJ7LBdwBiH8eTCQK7QXPoKJKHg66SsYkRCHshKf8JL/pX+aUxKzbYIUX dCyU3NTM56N2w7cMS0YfZaerw5JTLMRCMAsLcf+R6zfqmkRxdgSQmVoHrXuzL6ewkr7lNZFUKOao yZpnfs7/UAwYxFn4Lyp82FmajGdS8nJkSwHHSS8dkdMFT6yCJ1bH3Z2kvPBL+3mIz0f4xF8YIHCT NwBbPllR7E6W9LnL6zU5S93Vok6W4ooHO13LvBTJsRh74dwL7Y637YBePpTQad7V2BQD8ZqiKmBt 5PQFUZQpVXoP38v/JSbae5NVvEVNoj7pyTmFvQOZGM8Hu/CCg5U2Iyou5Qoh0bD7fZInj3iiSlSu chtveug6wqUzv9ZkhQV1mZ5COvyOHjsAkwgNHpxZJyBrVB08/zeUpBcI8FBJuHQ9EorxRUG9qtDS E/A0GwRUPPfG3HLUzZPP/wUuk/MJ5us2knNo7ckVfI4Sb9J060IMplnVsh3UPLJbVoYwFx3vdJLw 0WsxK/VzRVTqskA+KBMiDJjLeWc4xBZ6MZpFTuv2zhFNnUUr3Kg2cKrONwyJKJ/4d/L5IHBIvwj9 CDYWDk2fqyh+p/TNKPRpMdreSFZnKIIOUwofsZfNVQR2MSGyzkaejY7Ohz8ErIEpy92WBJagd9Ag 98yscF0JQAIWc2zvSbz5lsfClq47pqq0So0ZUBYrn480gr+hUwCPT0JmbKbC4J3QznPqXv3ybV0s FPh4JRbm0DRD7/qoqMIncbusGWs5PVyruRYIXXKMX6hYKosEv3UUlmSwqmyqSrN3jqh74jiWqcEa Ljhes6Rvz9nK56MGxNU+kMrIQkb4BKSBzSeEp4tAL8Mni9cXCw5tdBd7792rfDn0+RxSKx1bqBeO mqxGf7g9mRaCp3FOYXH/0W/oQBwAJOuGQqPZK0c7EAhY2Oz4wGV1FieW/w+rz5XP/xUyyCEcyHOc RD5VoWbiosx7617pK8107U4wzZCfIUMpM/lUhYxQYYXr7M/op1UBii2rcoxedReHD/BrcFfP3AIm YzWsPrq9cwBSMKa6TJhKkgwneDcsQmBdjc3nI4f0/fNdE0VOeTUTc2D2iWBbXsTLV1UpRpiFOP72 qUos4mgLxs5CrtDS7mgwT0j9nBOKtgaglOwh28HWzjOTfoVzX2ge+oL6ooizLrL6bD6o3gcxWXPZ XYXPR9ZCv/w+J26u/NyBITFUPrvKnnHEQ6LOEB35IV3VkQycl0PDT9kttCnosEYLFwaKNIO/H0x5 ettceVWe6QnMIzAsb81PdazQ8nMS+7Lxo9qgjHDD3YQZYeP6j6J2knOeSgMKF0//PQemn7exnIfP 1aR/BucKeiQ2V2i5+VyFBkPFBKUkQX0F7IlVKAXNGwoGpxMuSAWg0qX2BNeIdfBzmWc6/dv5Tf+4 BeEIUX1QbHUujSzJu3Og8flI1uYX7REuoulxuQ6MPwHrQAgIB01rwpexLltmJ8PGwkH9rquYIqrw M6V6x6c+CmX5JmHzs98L4Ywo0C00lpG3gXPlUKHe7KUDp2uob51URqlzttQZos1QVuO91gqf/8tn SI1Eor1vMhhaf6LXQ1C6V2VkDUz0WRkG2INV4zb0WY69P8VhTzU9o+U3kJMvfRfBzzYJtoSf0SmY 5LgCw9TLwZr20lG3iyEDuzkaFF3tCqyC1tXm81GC076O9iysKpQibbZCB9DOXVgckE6HXHcoHtvM QGm965Xk0AJU6XBPxvxPX6DGifO9zi0LUFihbOFgGts+Re2Nr55Xs5eOujkSy1eclamNz7r7+UEk tVFH5fORouJNB9nUAIK7XR3THLqA4oCC0DKxCfxH2rywgjWYJhMLs9JcPlkhRt008KySlRx+AfQC zdE70bLnIE1o2XOyaDOcOGXg37i4GxuEOfQBzQpD91o+6HiHiCRhGqAT8vmIqf0Lf43q/VfUENqA QrAIIFDzrsKOLE7VniV1a+kgjbYjK/ABBThTrYndntG34Tl4E6W1kTmfjZO7oGj0s0Ky+VMajywV R2uyl46QQ2x8ASkF7aTzWfKvQo1nEYx2DrXND4hC9+99SJoGbyOfrRAWQ55fGllTlAkX83LfHioC Am/Vmq+sEK8+HSvb7mizhWrxCaJ4wJ/jHTYWQwc8CiJgcZ0zGUxPXYb0LuI3RVgGKn9vKk3xbJa8 FJQqhliOWW8aqS77ytq2C0FivnMVmhA1FWKWt1VHbRa65yqmFpPnmbwmExiCZuciV+HRNdpc9XPp Icyk6E2CJCEP+NbQaZ4U8S8PhCjTaCDHjqDUUIL3MdEMqPRIgeOctjJMA+aUHxC1KO6R1S1ygGbz nayo6N4AShyrlOvGmy+XbSxzKDp/FCHPmqwQIWO4tKJ0j6MjP8DqXMhnIKKfkJAwak3cSaiIUCTA ClhWlQltQSU4BRirD8I0NNYU8defrJPPR+vKgywWRqHMheTOpyoK3psML7th9fKJHLv7zBJMZu16 svc1VbHsucSUpvyIOFpKuM6fD1s8SuZCu0S8v3Oqw/MOhzVsH11yak175QD9kc1evfqgsAHQWfXr z+XL56OizF1VDKcGhc+fVRUV3HnMIUT0qkxOyaeKGB/V+iZLiZqquOAuR73e9h19VUGIoy6iQk9Q dOIHMf8SBcWT/CQBKFIrJ9tkhVAZ6VTXbkP/kWnMgPaUooakN40w2b+KyHS8bBd6lUOD0C6W5Ch3 sr7N5dgmBFhmDxrzdn3UPxMd2sP7aOtKnWbIMEKka/Ui//kGQsQPhRz3uP7FIGTqe4J7cA3xkrMP oknikiX+ERk6nw9Oq/ktPpUBzdxA7/hURbF7ouMH00crYC1LbiDj5DXkc9j06lMVl9sl4JlNtCWP J8CC/C+1T9FRhXbuFCWCv1QC5xjFGhYpCVQr9tLBulqCPCLOwlC1rk7I29Sy6ieh5fORzHD9Oq7A tmQUa3MV+oSi1o0Jmt6amKohU7l61GF9nMHTl3swNAodUnhpskTT6CCshvYg8EZnWQFeaQa9uOLP /X5WRlNa+ODXc2gUagc7NN5tMLBaXkxArNweOIVav+nOFORuCVv2mYrC9k3wI7ySvYBF3wCeVifD siYOfK+8gBV4hRpd7gQKRUEWx3sH1hMxQGIAYhOj1iKwCG3BBmGluDEv773bO0eQhiYx5u2DEZWb CQScpGrz+QhO6ztQ/zJQgk9j4h92oQLIrO6FhmpScBW+SraoCqSkLGoP/ULlPnsWSl13dFoECLej Shn2xEAyHjgfNZAvQWnup9BtXZ3UroZXaBlKuwbIYUgKDskdwYzp/CC0Qz/ndM/8gEgF7tdxVbZK b/e8in1DqdyVU3Y0e1vNPbLV8pYyMy45m67YOFTuJ8v4NusLAQK2B6AKqPdlFF7EIqmEJZLCmoED cEEqdekD69DzH51Xmw6rCULYXSi/BsAB5uCcaJkfEBQb0i9XzHMLTMCbfLLCuF02ILs4c3lJcgJF rClCF5kk88JlAvdQTJZwWMt6qevppZ7clMLoQ6WGsemrAcgXLwII1VeSk0yUCtEwv+kfIkLnVGfd LwEdwLzkrEw0cyjIVdLkB/yFLVKX/nzOWVp3sqK4ncXOczh1IdX4j8PS51XpyQ7vqy5vGU5WrPxi VVGvkl4Z+ZOagtCfxOSCBoyVGjq6O+e0RoCLFe2VZCpT5cBGFO1Qomy7qljdq1i7QFFEgTuqo4GP KD6if5/vICeVa/qYQx9RoAzOSZUv17tQllYVP8nrAq2Ui2vq5sBIFCweqVbmNu7opYaTAQ66hkKc asDbhAtrUW08kaZeSfc28k23l45ob5VSHVMDUyTVV05iww15ztjJD4i0TXxhSYP3REdzfN2GYd19 qo16dRYqLxTOVhozW8VvXOPsHLuJmtygKeFsxxpA3gSOF0uWhnAC7Js0HXRgUEtGzgsM9C1i7WUv HWxDLanMk56D9yiWhPdBvefzfwWkUtc9N8XOz8oK6+6dzKY+pgGL5i7uQDfalLT8iUerCwvl2FBU WJn/7lFApmo1EGcm49Fz2U+trEp/ycpDByxwR2Gp7h46iuKywXUoikl3islCu4156Dkv1yeHjqLj AbsbxC/BnOc6GOXQVFQZWSexT2aZugM35fc5iZVQneXF5NBV1NAynY0/Gw2HBUG9ngGHo1NBTY01 VIRvwMwhPYf8iR9aWQi/wFbUowfwTTnAdM5wfTMPuRvuPfkBQfRw80JRKGCncrVzcuwsumWyNpJz KBjBKofWFJJDwRiD8XtgLWqaIBCFEwaEo2FAegKmrxKHlYBP7qLLARlP2yyw0a57WFn2ylGmw5Ch qbnKOghWVoGDS1HyAmBDYC36rWUpjtdJckDD9ZkKy+70YC3UnVTBj9VyuY5X46A0U0jQTEVodykN U5Pxjo4BKaiON1YbagbITJEYeEYQM8XxjlWlpHAoyAqsRRV/UzS9ihWHSVeuk6Dxz5WMZn1oLvpF r+T5trOE/XyyoggeUTVS6GtlP6UYR9LJ9slqcE79f8a+K9uRHFnyn2vhqQMt9r+xgZm5I5hvwjP7 fTRqairIuCCECxOW7AT+otexttS17mhH1sy7wfBiUflkQkNGHoeVVdzzV8HU0QFrqdgr/wUDUpaw 7ouda4K2T9A+C/siJ0FY/IDINvPOVbPjfawr/pkDg9HzxZNG7QxZhe7bzQk6jfpi0tJhmVwLK1aJ MRgW26oaDdkAvMToyZANqcBWnfkFGTpQx9l0rJX2SUr2yn/RTz+3KNakBqXQGaLsDCAyYFihw6i2 hkNsAdmY4zKZcmAxCs81wpFlg0yO3Hb5T1gduiPkGsk5FH/xGJUEQzMORetPA/pEa+hzdbr7Qt+D nR+kcfu7gVpCTeXKDtVq7/zX0L3LT6wb1KRCAFT8KXpC5tBldP6piZZg75suZDT0GEV98SyANV32 uq/uja+0sutYdVhz6hIMTEbRf1Yu2NK+o2NAoB/BbgdEik8aQF+SDLx7Q+SO/BxGYY6XUZoT2ozC zWaV2UjYghbnd8mup599T/TM+YTGDwgqyX8AZtjHnleQMAdOo5k1WfRyTFkeol/JQSClZbfDACPF JyskpiajTsgFKrmSBLk5+fz+hTLFcyfYRpsbcqO8wPklTo6drgDvTPbSfwtG52CzkKtfQLUEhBJt ozPKDaHbaPkT5g4m48kf9u2phoajuggX7SQkfy13X2p/9W6JzglHXZgwB46jqPDKSTOZ7QOB319j hfe8aFoL0BqSa3lENpT7V8aDMP30ydrZ3jlqEnL7LSnGSG2ezZyWhbfFtcTn/4UblR8NCUrnbf0m /LzGVxnNeipbNQO3I4NergC28p6WFU52zjFZr9FVhtCAiPMqqGt0lDv6FZA8Z5Zz/qFrqiqSpwVx x31F906Og/d9ja0yqjI80bM1CoufVgPWkwaswtMvs3T+dy9tP3PmrhDh5QXIlkgwQ0twhlau/hBA EJbdsBRPt6xdi6OKghnSOdWBOLmjt1EBmEcozQZ9haMLz7SEBYcs+UsiuHli4JzH17xNEZR+Kc9P eokGTRFmi5sdRbfPa0CVhfXWFKnocuKTSSdyztFrOJUdHzNK99pC5zsKedXt34Ld0M1b+zWYwioS 4KOn0e/oOO1KUp/0d2cSmRnnPXAqHSJW6J1Y4ClzyNdQKptdNLZZ8sHKxuef1bg5O+LzGkflx/DQ gKWdjbduM/QaRGGfcYZQOnQsO3GsLBmX6UEUqH1WfXkNoTLuAukRLlMoXo9CMSRUTsZMFB/EOit9 YKDaeQ59KMRTCcFmiBIsn9cAKkMYgAJ7tB6vkFb+FlNK3XsrMD/39ec1fsqG8NQUVQs1eyq+il7D J+w0HJq07PY5mmV7a3m25BkMEgx5+0Y7zarETX8/R7vk0NTqDSWDDsG1s1DNwA/afijO7C+MrS4B lcJxr7ETTqMqsRO5j1cngifo9W5rLLfPa+SEp3u2SZKn9HKlIXoGBnNE6uPJMIsH49YGJC+p3WB8 8wKg1VowR7N7VSrf0QMB8FbRHEfpAGx8aWBWCaoCmAHHSacllSQj1mCOFjF7c+7qg+osjGHp1XNy 7c9rwMSFVG2OWDWACA/WpOboNVzCMS9Vy54cBzqIEOAcNdLFPWEx0arXYIlzpNROIrEaH8x6PRGK 6pyIMIdSO6o2n5s304Ey3d3G5O41VMJuo6cvmEwckESLgbT6EDT4hC398xop4Thb9Wch4UZn6KZJ eo2ScGRX8SVTsknKxHxQSHZPS4Yht+sX/2uMhCNbk0MLFh9v4fxstbVInAR0sIuJWgu7rRXhdGOd 28Lvphd+v9cIZ4R4R/ZBKI65l7jM7VwOn9cAiRdL8xOJsec53yZ6b5qk18omdhs1GvO8OkyoOtuJ VOW0Rn0hLhQKxQWndqpmlCnfHY4+SbDrq+pbwRoEViEKuweLKVhA6GIbnnjRcua1qok/M8n1uNpQ 2SOAHgVU0xl0Qx41utmMtaybDdXgLRFZqoYEc1QIYZwUMpUG03b9DtoxXTRCdUmvMD4y1cH6jJ7H nSBs4VaX3Oee4nGdfBVRZ4MTJIlKDsmjG9ZrKRO/xb5SXhys2p1GNaO+Xlb+vNYxs4HMNUdqgyJS S6ikWhgZRdok+g8IGHkZZZidNkyGrggT4PdOkowmSpUmur/46KCNDPJ2ps4JDEdyGfIGKWDs0ney 9vLYhinhfY+2TQ8G5n/ZB6v5oqJ8JeLeeX/cc/lnOeHcnmrqCeUdzFOiNUjeDjDrU+48iJR2mi5j yY1gnKNonoxHM3K9o+OAdmdJjoWBjlqw5mnSpbZAAA++Pd4spv7h5531l804rEDj2AcRb4GOHRKW 2OvzTvpjzJ1snoqEc85VieaNTdR70I1shgXf1u7GI6zbyFnZVSwT7X4FLwsmaivqplyFj47XOLOG ciVdeSpO8yrx5gJpBIoskEmqzAR5HN/4fZ56E/ZA+jnN9YUmQj7p7J4r8PPO+MPKmOk38KaD6/Yj /J3uh9nFU6igj7vvpjO5S51XchARjSGAgkO8V910bIza6AlcG4i9yU1eoLJVq8kBg0XVx3NJt6v1 mareOMjgyLctEIi3QcWAk/1IF/wsfrRagmlapttspQqUYZ5w4J3ql00AD8JwLqW31T8nBJa+X5wm 1gSsyhtNk/LcleUSsoT5Yw4HjY5zBiXC7xbUe8V334jKpqgRAFRYo2WqdBmdTsziGJSeATNTFRGc FV+5HEZOqMYF8yQCni+nTAcASHXaREUROLkI8OzwLIVXtM6npXAKMYHsYThR7yH4xSm2KSoIR6+G A+hcCtXP0HVZcwpXTSwru5rQ5LBjnNSdzzvND/uGsmfg6fpg7FFwUgl8OkfH553lxyDIowKy/BAm Qu/Z5ymMwlsXIbI53kC1t0XT1TEu3qB6Bz0Kw7uKAc3gBu2BG+CkBoyBahMn3IbRhfCc2HKLQmBo B5nVcZaAQhSGQ+wP5A95F233LjrLlDhYXnf7887ww3pqty5QlNd8ScO2eYoC8cn2yirTGX4gAtk8 ZXHZJitwzXEZUSTeVVgq1Syx6nhAwlBuQx7EuOCcuEmESSi+fM+5Xb50WnVBgNn1xu/zNBgWQDVG Q9Z5fJKJtqo0OToffw8LeC3f9QR7pi0XFU7TeygO7odaT1dGiCrBJgNe95URapewFsXiZoU1lm47 jrbtGrrZadFu5qwraJaITAP/P4AVIeh16Wqy6ntn9jF3xX4jmdkGsWsLdJxUOmr5807swx9cfoNx CbLRcFnzFIXjvfG6qxe4Aj3L2yuoThjNaEVoOYXxuM1PUXTA0eZpnzTl5HUSZ1zwfzSp/kZ8DYuX 47Lb57Q3juYJ5zizQRsYQkNAtA8BWIDEiAJygZvvciooNc1bsIyicRrMjyQEMM2w+rhWxyndVl31 aXon9D1RJnkFPnr/90Q2hBagrDuBtyPjoSUaLSeS5QEb92ITNvvnnc/HA1WIxDx9UPSEUGwqeir5 807nQ1RR8u/xJAlwIfM5U1E8nsV9pMiCwK7Z0ZsQKHYJ8ATujWbqPR7H0lTcVFu/oyV4kH2AfB5L Tic6H1vaLie6JWAMHTW05f2AYvHynczHDK/ScBWlgrOnz6c2OiVB24WtP3pfzPZ5p/PhKsjtN8sD u3SReqK5iurgRDCMKQ67eWLdIKrf6hzoMzZX7yE5CgbipamspNH5aee3mITBnLPrRPrc1ZirVCmB w9r9jyKxVtV7TI4cr4klKrIodikr4CdZmkR9w6xgfd65fAzKlzcMMCnAOjS2yjlR/wjKga61Ep1Y MjSe6UbDaifcrEZrfyfyMbgR7CIb6SNf3Oa57ekeCvbxTCfsmcY+ZmCJZBgsovqIcFDb5Z3Hx0XF cLy14oNF5TDSHZbHfN5pfH9UMvEYimaA3fo8RVE5a0BnAfcrgUNmiXI8dczpVED+BoLNdxIfkwop EV+ztadoAEXyTAbECaJwdXRpzydcN1By/mbizFXulSvWO4ePHTp2MqFaw6GIdXxCs51Y9IJy3eed wYfLeY3/e0qdPeRV8XcCH9YTyQudLF/NE1EAEkyv2f1E8/ay+Dt/L5s6K+rhUpbY2/srOKTGyY3x 98PM4bzRVsKS4DSPai/2G0UZ7ThfeuUgimK0CYaHD8KXL9DEBGaa6/NO3+O6b79ZHvB05WZ57+w9 HlA2T96GKspY4FOQSvJiXWVKwnl6D8pxmIsUWqVwzdHZMBnuXoll3/N79iynzDpJUS4ouxZOlnll 0nn1nb3HKhLz/CUD1mXiaagml7oFz1n7807eY4N7/XGS7/Np+bZZ3rl7eEyqlW4Vlmm4YCc5mm52 QE2gshhuvlP3GC0LRDdVPeBoYRQ9tFMnhgB6Q6slZXmQxdwUdgTdzbO8MvTG0TzxBK8lSaAEdVuV Sc6vwdYUfKc/78Q91t1uOsyOw9ms55W8vPLO28POAzNx1H69VOrstqLK7KVaOkzjQK2o97gcDtoC hZltX39s+4BlBiRM0m8nbgLjn53NdX6myW7CphBqETqs6Y2DeFNgckhy2mD8qtSkg3q+jq8ZLKhd f+JyNJno7GLTFIXlrAedd+/3gJqWAoMkWL1qULIzjN8peyyvsFqwDCK9Hog0EGBAxZIxdKJ1dMAE o4Z/NZFvcFO5ahuE/L4T9nj1sEleWF7hIBxBAm+O+26v8Xnn63lN8cFagNYIaId1yaOwnFEpzPSs K9XXRdCdfzldwqXDU0Bt8jgsV/YyFHRK2JjTxC47Ah727tI5n6AzRfWgcwDO3VnQd2S03Eg/72w9 a74tvNv0QViCidasTvRdPu9kvWzo0ecYh3pSvXHmO1cvm+v2oOq7k4S6k4TGNqAmMCnbpykGpWCa 4Am37mjTdJbiiZGhHHhOpn0Sq9kNcnD+m0GtPThogXFDPEHRG0fTpBYnkzwOqtWhU67V1CYfDzbd HzWoQpTorZC/8/SwmmifjcPJUb6CZqLlIut5EhMoosxN907Ty+qrYRVVyUWMeuUiagK6baKMgUP8 /A4SemsbKkY4DYVLsTO8Db1wkOLR/Jc4HRtUqVugn8hsppTPO0MvSz/hp0COBn6/R9M7PQ8HCioA aB74LLHzaMzrlU0P70Ry2ewc3tl52ZkufS6d4BxtlgZ2XV3UeAM/E20qYjMQb03c8TClcxDYpALJ OzcvXxdIaOFgQG7CySFbklzTkx+Vzzs1D7/mRfCoIgOdx+ax0zszjz8Os992GepjVeu3nC2e+52n YR5P78Q8/E5i8VPByEfbdMjX0BNeZCJQuJOb7iwrlOfQqkseiuOu1Qv/tX2HY8gG/bnnbmimEDHK 552Th8Bl3xN8KbBjPOfT9B6KAw8wJaDhjA0Dq2DTLRafcdOdNHVYv+WdksfUMisQUMGOowUEJ0WE uDQDgnM5nftCRziIsl/2WOGGe4EFTFneCXnYAJtnUyvDBxVWzq/apwjXna8Z3HTJI0xpm4GLV+cF hEWhuAAYxkzvj8gbI8xVboQJJRKd4WF9XKQpQil8tFC8o1HagE0+Vx3sRl1yRA10UKgKhDud50lk 4TsbjzmsJEeIm+OgiTp7WTJW2OCfdy5e/r/9YDTelwTkOU9RJM6aWd/bvI3xj9e2PqmPgErdRGjF SPydiMeQQH1geQ6QI4WjQikLAoROpkbH53ZZhiX2zROqitAofSqaSa8coedEwKO74ckIm7EWTz4x xCs9AQxfNLjtjIdntQJoUq1xJyoEqzTZzUxLWc4EJ5cW7s3lcgsuQVtQYYXchH6aBFpqe3zGG2QB zk2ABXUiTFTRphrnZHZS7AKATEfzoqz4eSfhZVGdUGJVsQCHC7PIs3tQKmULb2Y+HyXBvy086C+f q+FGT1EsTsm8mT0WAPmn+IqaRLORYg2fQJuoqETujjNVJxRHRxjAV3UKHTohSVVNc4tBCc3J4KJ+ DbGodPBOv8uSQoaVKCVsOFj4lMrS3Xc+4/NOvuN7emBApl6Bu9pFYrxz73BCMXzqgyhwMhCaSeqz cz4N+QzFrDNPwGOGwbhOqNp6vqMVn07+uKBrwWLBmYYkgGsddEpu1LQtnKchpdylV36fp6otxwV1 hnMyTxVVUpNGPRbz5516xxbebwAFoveXjHKbqBCyQk0kQYGoeNcT5bszMMVAFGfaQ8Na8VuxoN6Z d7yyZdUwTLpmPNI1A4XfBbkM1OjOWaZJrRMQrVywjiA+4dUnkv0/78w77jwK6sseepD9z4sO+SON v9A0/Lzz7ljU2L8RFHxTULOweQph4qxopMKiyuZRjmOWDgSJKsu0a0BQKLuGd9IdzzoJAk4ZYnG0 Kt3SPtyJ0UpZ1NQErICNGnz6Ocr7T3rX9coRtIfqbVLNOEPVPGW6QAxeY7l+3jl3LKqM35McQHr0 WW2e3iNysDk2+8Dpyhr0XY1yACHxr2QNFgp+vPLeCXf5khNPaD3u6NVxVI1zooU2Nh7hsNR8RSGo wtyyzCc0GORlvDPusvznT0xAvzENgvmevEh1nHPi1c874Q6Pt/0bGpytyvKXzVNYHWcXYUmzm0W6 tYq3W/bFrKBfpIP8nW7HzEVVAiHmNfqNt2mmx9YU5ECabsfGtBLpx/lAAMaVBquo8s62y3IsXiK4 2WDVgr6onQZ5zvZ5J9thNSaPoEg5NyTjPZ+i6jjiNtCWc/EQSi6+rKrQaJnV8YlahuYpDMmtNmfF zP5TzAR/99wY5GeAeUASItXhwQmkQj3wLF6jy/bGf2VoDBGDh6vionS9RXs9WdPnnWeHDdQ9gOIW hTltvq3zd5odnqJOTdrr6QlnjwtK2tUjzZ2te/fOsnvuu7NB9x0twUsUGBiMyE8SM3c3Rh5AhGhT oSu7b0Cu5RTVxikezFnxQTU6MFlMlLrVzzvFjofb+tl1yGMm50rTFAXkxE3hJ74AKHe8Qq7RvCcF KwWbpjAgl/3jtkRvXxsZnG0nlwChhYpaJ9qQwje5ziqRZVw4jhTDt37e2XXM3JHgwePWB6NEgU+0 VHyan3dyHREGHmWynwlVp5lumNnCeHwR2LO3K3DubibstU5aQ7D6tImv4m0XoseLqk559zvaPJ1Y /IQY4vw0yEcJeNgomHjiNc7T1dMC/pffEwQFZCFm5Fc2qPw0ztIyRkLeeDyIMvtvKxj6VB1wLJum MBrHkTLz7K47tkp2D99Zq+OiB0VnGGVGlfGkaBy08jva4VT2gLWVinQJMqwyXZ3Q6wdv/ssL7uIz t944oLRQtYAIGht0OO2F3JvLqQw8HmALym8DAQyjuW4w3sNgPCnGdDPRs/UJ/KUQBr1+JIQBRKyI P+/BOM5w7rrVRdjgaIdT67CChpMGtCRThlIbdx0VN5FazXqLdIOc1h5SNQf7duAV2SBYzzqBk8xE z82KxwNSyx9tFrTBxrigwx5F4qiSouI7r4idM8yhdDus4osSmNXoegRWGTKCXmbxwVGz1KFbt8sU ihWeOkPnGMogJ7kCmA7aDl4rQBKO7wkyFmZ2WRIYYutzmmBYSsgYoDF4PAgwL9iXCm4TGXTyI7zH hXFxWpfb1S/nRQMmuLx/MEGs4BHeo8K4Y+yX1VS4KK32dEKLsyeU2UFpuDRJQVENrwJNV+hybKUC 0ciiQJw134K6LhIWQCSrSnNtQBSDf3wreD6AP02v+WK7wgD2O2fz4ngPeZuoJo3Bg1O2mItEFyqM SaGUXhXTJad7FIpPXXLs+/loM7WQBxVJbS4ow5LWkpH3ImWBaMH5n1v2pbMzvugvOTB06auGYjxV VGeKKrmd7/mvWoH1Hs75mL3q2yPuJlsoZz5c+qJLQ510Mur4mp4DMgPNUxyKczN1Q0BxtFM8o9BL NfzOoGMT0wZ+AjzwoDTAbp2rZfGy61F1fBGXefJEUxjLpqeykS6w7AskLp4P5mn4gqIr7VRE7/MU huLo3J24uft6ShKeQdmsiweMeWrYV0yBe0jgFMq3j/mMftuddztHSc/UnTnPUsEYqGg0PhIdlxs6 nHZC1axXDqICsVzzlrgYNQOV9J//H2ptnNRu4/l/7jzyPeGvmi5ErIf1cSYt0FVgJQX1D0QKKKqU LXwKzqgMJ2dWn3oYjU/RgamAZ6MTyxKiJ/SM+kx1w0eHEPdN4BXXXkVkcvVI7ZWjs1zYi6qZynRn 5Jo6K4NO7t8JC74eBeSYlmdNQc5jpUst71FAzirouR2JbcKkdQrln5sOIW4jyRVnFDhbLD/1CKti dcwsCqJGm6mTwKXzWaxnDuR9wrVABBEeAihcwQrj2p5QjbRHFfKqXktW/uJKdY3FIRmyr9Q+PSyQ d58nsaw7luBdURGEnJAAoKu8/HRCQS8/TSrDnMXVkCabW2iPoCrmHlBqM80npt6VZ3lGMq95Olfa oAMRvRaojQXxN1DYHwz5mS58URBEyZqJjgsaVFZJJ7DWLjzpDB7/FziTqiAoqZ6JuodUGJKLG5xN SxP6IOSQUUBw030BPgs1Vd96UYF8yUWuZKtr5ttJSCCpdwhUUFUTx1UR/QeXFEUlwb7rXs8c5L32 CK2iHh6PCBtUmCxd7F10qSYej+pPvwsqJ6qBX/DTiILySX+0JvwONmFLw269c52vbHCVRV09Ms2j CrkVMjv9IW10gMFZKihsbdoG4PdjS/hcEbhzIGedK/3YTX00642DemYjwgDUexsEfkKcKtrKWcB4 PIjKb4rXJB4M14By5ykKy6lmBQ0Vv/V2cjVN6Inr36L57Wj7ERfIFWdSdNVGi6KA6wV/k97iqDpm 9TqJUjlrH5+JKXO9tWKvHE0Ucb6MN09qBwE+tqbQmt3E657fPeP5qHtef8MD5IpwprGJCgHk1KUb 27CGQNUYA6jC/dZIU+ciry5dEFXI6XKWqUqukT/1QJZXy9nOeVLfAT97NTGoiibuAK2mIbw2cwV0 svE1f0WxcrbKkm4ag82xJuErJw3veDxquPxmeeDw0YnYZikKyiWCUUpzKrWscUVJ4O0uoZCWLBce UVC+kqHEliorCqIwS4Wkr7KJiq4TesvZ7OyRX42zaAHw9cAAiFJ8zV/7nFiCNmjXFagJy5VpbDwe nE7193RCtjlx2dssRSE5a/+Dk2AKDzX5WjIUCyVnAGzVWopC8oegOO9oh9O519KZfsqM9nMcbvaB IYOFIgnk/Aq6Y8YPniTgjag6Ds7QOfKxZDFMM9wAVuscEpQ4PLsaz0erybstrLeeRX6uu+RxwYhi cgAZwAnILq82x3Y0XZOuwaB7arLy+Ihi8iWbjtpKvqMz8JAM522CIYDCyNGko042M4S/9oX6bgoY jKg6PpkE78VDhYNoUqXA6ukrQSw8HmHsfwnCzIT3La2MEDouReRSL2Wjz+FNhOaCIeeyu3ddBB03 Q2yikn20zGUCudxERTiRDaRYpYsPm1jIPn2ZqBlwHB0efE3UuiMkc7CVUAengyCxsiS9xTx4hLH4 /kWroKzW14UcjigWp9zrOUOrYwtSrt5DyLTjUw8BrkSapag47vmdvNYxkrdEEVYIGA7lwSDjNRFb 2sQRdXJhJsXpThMSanxPEDkBXnJWKrE9Db3Z7GA6VGW/1G7veP5fsbi4n+d1zy18JyoOxinzULbT gxl0XQLetInal1Y24mBczJbS0x1t053J71ADoXL7BheMqODaZS8OZmRDguTbjjHmCINxcqQg0IaB 9sCJaQtkHiaLbev8WfiAoGRQy+9th8ofihQ2U2E0PiQckrIfT1RREvN1mIpYa/CYVmllxAVydc2b /Dk43q55g84bCcInDFhdjkOV9uREIpwtMK8gxtZBHlM6pxQyNQCszW4UnBoL6zknxCl4Pqqt/AKg cBpvnP+aqBmWyBFMoI8t90qkxJSN3oL7GpO6FXjUMr+boZihyFKALt7RLrx9zkGUMylDV08mN6bJ 0KE6Lm7Bg6cjQ3iGaBU2pErNd1CmMtBjZmZ7/i0ej/ot+SfEXGcfznbbdzOKxUFlZ+O/G6onEbb7 n6jJ1VA9oPhOm6WwRG6qmDLW1ejggoUGcKX5Lgp3LUk25ESi6ANn4tcRF1gWTMuEGfI5J3sIXd67 3b13T+aBHiHnqRc8/q8TiiHEiRrnI68yo1A8pwt5Mt5rdyrCORYN0tqQIVgoPkMup7rAAITd0Q4o QIizheI4oTbdpzNqa+wioAcFk0YH9VDoYYY18kk8ALH2GhQXwN+D+MqzzyseD+Zp7J/1BHzuhi6R zVMUjNNj8YSZrtdzfm9vB1dgcayXABMNm6e4Qi61VZM4bN72xwKa8Edd7N+dndzo9yoC0PlVKf64 5iW2kFA2Q6jKYE2lqWLbqEaA0/hM9Ga82c85iccjYstvjS4jTNjIEm2aomi8Ej0OQTQtp0n3FZPI rA9lAzmQpilkcorRAonmO9q2O1kecCSkBp8LAcVlxgIZIBEqWhQFBuoG07JrhkxO1VK2SlDb3cHR +Zde/4kZKh4P2pyPHMZXMjRz3M7UDOvjWAMDamG26yrFiFRRYd6hisrI1m+ZEVSli6rRtlC/HB2q Qp3Mk6qQAASZf5E9OxxzFjYdQCYePtHfc0axeGV/k8r3Nmhqds0EJ39h+ojHowrdH6x8bBKKXNk0 RVAVYBCw6bKT8gEk8eipdlfv26NaTDCj4rhV6FrR4cTR21KgcLRErf+OQppUWVuflBLNtLu+okZs XuB7onYw1XoWAVAwG1c0foK3pfMQ8op4PEjtLn1awjyAre1xl1NI41xCPt3YqdLhTvWUaYaosER2 SM8MZQ7b4/qW/3R/Izyib7ZbsLoAE2MOvGkBQUFItJG8h7D1xhFRSpVx1Z1uIbMtuEfxDD9JJh6P spYLpBOgM/9ComeEVSFLdmy5xUpW9CLpyEq1hnBzedoZReND4IvarabU0yUjFBAs4ZQnl/k5sox1 l1IcxOa11BsUDILsZxSNU20NYqSq0yFvMYnac08QvgeiGZ7/X8BPwPF16PfbRIU8TqBPoI3gPM5e i+uvLiGl0axlk0ETFcqrSFt8SohVo1d8AbdBo5M8TrhXcfGdhO9METSOviw4+TytojeOgiciC9q6 g9AqKL8ryDx5Dx6PgszfOuY5FE6Q2T14WlEonojDSKv4+QSUhAVP5yh2eZVZ3WxqRWiV0UyCXcUC FdjtGGftYygUhz/lIoqzsa0zUQDFbWuSIZItWCFaheoODOZtEFcKETjx0DDhxuMRjfPOEkt0C3Jm y7fdCuvindQ7Nsukmy0sK6Zp1KpDq8kkTNMUxuLGzaTFvY0WY4oIXLiaAAKYW6sJDrEUUPuCq+vQ J9AM8TVBTWWb+qpcPEErtmM8rS1zm3N14vkISnf7Byg9nXCLea1NU4gblz2zCgSEqyTL5vBTXUHf sZ2wsUK4ioELalcJiiTQ8y6QXOvncBOE5yR2BSZTrGQu1JYJ7Mr41hs7rW/D9wRl8U2B+t2yDwJT nP9UTh6tLT4eZSy/vJYTcsFdyQu+KyRyMv+dbEaqUFC84Fub+76BrzHNDW+FsHHL6FJZd7RD/Kyl s7BroSAkxH2nxLbPrm6Aj26YYN2YgEC6FZfFGRNgGWFAWs7SU6k1dSoV9gG52hCq0p2hyK4drOYm bBhsnqJQfC7ayPOPopRYosw8beQTSzqia4DPoXmKiZwqjnQTiO5XIJq2zGe2iOnp6LPIdqpuqUiS q4fNdjE99sp/aW+CgUYBzZVBHmKcOZATsrVzJrtOfMI/A6ivsL4bh7RNVRSOQ+EcGBxv3HU4hhhc ZWePn0pbw5xHVqirIpeWzRqYjd4Jhh4WSHVUqDjrKCc5u5ECVDFLhfRXeQYmarKvCK4CwAiqBDR2 29fYbYPXwLsDKCQ8HqypC7EnOH+CU1anxwUrxI6zoiG1bqwpNSI1USevdHk6NF5sosKAXB3gbHZl HH1NbUjzFK6pszrX1D6VC2EuyF4KrdmEwci68kJhlWXq9TZYHlwKOBv8xrOC8XgA67kTJVTP2cr7 6qqskM1JQSN8n9XoTN2Xxcy1hMxAoJl8nmI2p+ZpC6DJ0c+oc4wPswqccKwmOu2sJIqzF1o8o8Tn WBWkWfiiKIAiSCUJFZ2MVFBRLC082AeqdCuKyNcVoGEYMans4uWCFYLH6R1vVUupjHZXXTNZFYLs qUZK5fEwIE+an6TEhaMVfSc8cBqiXgg/7Wwi9rUxbao0ec+X9Vog7cRXDk7zxPLckF7PMM/ns7kB WeA/tsH3DKAFj0I76yqICFO9CM0VlscJs+/baa9nM1jgBDfFO1OZKTNnKhY8NOmnle/oMRQAKKCM ojwOOpbU/qA8ihEZbKEYhkVR1IteUUiO8gmmSIg6DEL1ADvEgARAYDz+TwUaEc6h5NcvQXhHQTkl ePoWzVzEjeRJXu2O+gVPzgjC+x98zrwlspK9OIRGQl/w2OBhDkT5pi1chnUnGZUQx0j9Vldkhr6j sJw9kgJdGw4nnKcOKzx2lQ2DpIvHg1pd/TOOarDY8HBzv0flYA8JotK901np5kGRrEoDRlkwk2VE RftQXYW/USMi0EfnvZ6bCbNFWaaT+gLQjxWVGUtU2LNUXCp+6TV75aAKZYfTWD6oQo4WtPB0UGrf 72F5EuFb88TFgy5CaVf5P4SRm0muq/VMqEbfQ2p46Zd2oZqoMC53gnCZd7TDvMEvvk/Bn8CsbcVM FKHWQ9Eo/NM1FB5646haR2Y+qsY2iAlUe6ExCATcBh7/F50TyxClJLoe2jSFdM5F52U67pgCuU9T XvUqRlMARdMUwlWysrul2gFHRz9BjhWCHjReplUZg6gtS2EcEkhm71mOOjS+KGJMycmbaDEOCqIQ k8k2fmM9RYCVVv6UDkkgnN8EZoeBOVX84OdgE1WGF1fQmepfF8lyp8kdgsitlWBa5BytanD+8o2M WFIPJ6baggcPTOZEgtt+xDMRCOFrIoWVLR8ygupQQ2CiVIEIlCvDCfw3nv8XSIxQKd6cV8Rvhx5A DE5Va1axjpa08gCiyCJrUGd52423Q7xKd8bUuOOlvZrGL+FPbVeixjP8FHEWnx8YNXpXL0BLDl8T FVck4af+3fL+XYUAeRZeJS08Hok/7T9CcgRU6bGT2hFipdIIcPZ95Z9muyavq7jJ62j3eIoQK8OK ma2nO3rxd6JQk2kx2VHcHVlFcvzR59eAZDTOk9WU5jW9cVD8Za+lIIe1QcVfYIqz1d/4eJDjjeso JTg18E/rzlMYk3PbpfF4LPeiqw96dMVqmoVATxIVd4hZERSjN22/3m7fvEAV90RObE1hx5Qtu07U /9GTRQOkeguPXSp+T+SQII+72n3QPKENwtLv+Sc+HlTrHg8Xln/OioYgnM9TFJOjKQR+sM0I/tEq 4ycBIOqE5LIEqW7NU1wkV7NFDpsavdMJo+6T06u+Ao1RKbUToD7o5vCDE9v2wpEbUHpjUeOXTAY7 rAOPR3CVP/AF5ydhsmizFMXjor3O8eg/kWCoXTdd/6lJZoC7LoKrLFHw+sz9js5wKUBFQ4UNtFfI 8aofvKBTCGAuGrMeZBYS//A9ke6/cAV0d+WgFt45nPZSvDkHHv9n7Zcd4Sx7WF9Owgi91uuyzMny 4yzJQjBlHtQoXjifxjQ+AusiwYoWxWWqkcnRAvJz05UTkMN988S+54JTmlMRaqK6gtMEkh/wpKYl 0LaXDsivZt7SWYlC4UzI4sYWVZP76Nnb/IgIzvrbeRkI+ci09/kKVQ/p69Z5af7H/ieQQaIlnBtw qXZQoYlpFgApDsxFwjMj6vwYUSfIJp3voDrkSufP2qpGdey9c9PDtgUcQKctNn/pv0GhwKv1gYsL XiFNe3DNzOcjKYMLN2CVD+cMvPPubIX4FepmwULOAXb96mZB8sV7C6hryAggRQCWYYLtS6UWjt7T O3deQ+xEMXKwleSw3AmdKiB1FvC5XROZJQR8UzBbsuQcBCMmpOxkHEKLjeA0Eu0WPyCIqO7a0jVw 7uB+IXb6ld9DjIr0JVlcjn8c7txNlo/h7vcoPlmhQacVOld+RocjniyPs8WteMLQQR4RDDbP9m2d pGEoiFvON/2l/+ITBJmx4YMKLgPJJQvpgB7g+ah8fvE+rAmf6Gqi8+WTFYXpibpQsxh+hSFW93NL /ma04gCR2PZhVEAXgbMXE7Mtj5htQsSQMzYG+EHQuydSoULuGcJQgxroLqBVMkmx+KbItKRKFzn7 YGXhXTt7Zejj8/nIEeC3jJcLt0TOzykfltAbJdwpGUfW2RpMXyhA0aeRqc7FWu8pH9fQhcPP0o/k 6FgNEOfaiS8xW+dzt0LWRlkRiG2eJ6h6RMEMmgfpmyJ+XqWyTM0+aGkx/OfOqkPP/8tGmD1BFD3X erZhWEVHgeAcUwbbBB2QEnab3kp5GzYRbAyfqyhkn3bCL6Myrhs8QAoMaQhlkk+S07oA6ebmXIE+ L4DK2gmPyFDf9DcprQ1TLxus9pJy3sZfyHw+8hP2lZW4ssBlxpv4ZIU6LAjPRqXcLK9DSIkbkHMA gZ0ZjiJa8m0Y1tLVw9tux7WK1/PO5dSoObXozLGBabEza1CZCj0ywOntzCK6l9/0N4G2uZkKTprP KlMZLfHM6uchPh9U0y+EmlkkQoI9b3smpyh2h6Am7M2uM8d+1DZnMfFyIHZc+D6nSI2Fdn0nCSxG RSvzaY5CozoPQ0xBeyzJjqojEkdTOKfVLk5RdlQpQrgofM+Fvi8ctLBOUkmHJrrW8flgE9bfhUXy Dpo7d67iijqD0f04VcJUyfxz5Y1Dp0pUHWyuopL6UMEql93v6CV1rNKNI+2cWOhtUbUVUDysDWIU MGvO1iOfGF8UmeMkXYLLB4EV0ZbeKv7myeeD1PkPTszZSme+1l1Woakn3UChuWkejH3IJ4cV0LRM /f7cN+OaVcZAF+kdjLrvaNnOian2uU03azF5AX8utVsEYriI8YH9muO0bu8csdTzi+IIOBHdmson LuHz0Xn1h0ssMlJEEj5VUfTODG1IhtisJ7JXGerMy4t7ZW2fqgjskgyev9XS4mjQqXM/ZXCrmBgW KOLrrjyTchJDxHcVHSjT/iNkMce+nvJlLr36oJlCiq9W8iqVzwex+40ZWBWcaCWXG7nHxp6ZPdK1 XWh68c6VcFSlTlIhgASRBW/B0NlTB3rvaz2jF2QSeqCbsKBzLO4lgdLzsUihS9NpcaeqNHvnv+gk nohd+CBJR+PmQ21jClRdB5+Pusm/jA8Vra7wZg7MPdHD3oK8LC/xtV682FC5KwtLfNnNPUN3zyHx 8mV6GuvR02hLctOACA44DnKLMajAPYRMZ/d0bYRGs1eObkAUrVonzpODoEG7Ue6FpefK56Pt56EV JoXJDWjyPlOxViJzQORHpow0TJUF1RO2uqiMxGKrZiqkg5a4aoyiTCoSAUQzZsvwmtpLZNcSOWXl qz66vXOEUGB9bwrpObMtKkhrTPK++/lYPh+0lNdvAQtCDGc5PlMVKZjDdQ7H0/A6X+nWMgVj/k5V Zlqj/RdG7C7O4of7heUBPgMz3UX0IlRgiigysFpDbR1TtS8ub953jo4qlozBdrVBpT7UlLrqM6vw +X8pKTMInbi18nOoh6RQUa7k44VUsFMiRW6D48JewLm0vDl0+fR8uYm+yNEDduiNUBIHZ/3JbbmL UWTArU2QALT8brlPJazQ51My5qkQ68lBtx7uCDW3Gtd/FLDvqwco44oFO69nrt7jdRBH5LCb3Ohz yj8Ac9WpQ8i5oly+zVWk02LYYZpu+OiMIiTOiDzpiLqkTYI+oPSUmAii/GxW6TRh5jf97QqsgzFo dRMVXLM0mce6SpXP/0vRhv/xWdAr3Y5EDtw+EeYzU27daSBlmRhuBY92OW4fbXGbq7DWrq2XZt53 tNLo+QX27iD9ACe0O/yVCTyjsGxFElZX3hcn1Ju9dFBiYG6zsJBtUIkBqtZdIHOUGALHT9MlV2BF oD/UWvZ+DNOjeH0kMrDy8k0olWxiz9CHVx35rDuQOJkIBp6fCNIMVCXLXY7OLTpZ4LmgJi1kYQjU mlHVwBCl9k8ZVC41Epa/dNDqUqSw1vRBC+tk50JVwbmczwfJzRW+Y8yKwwBAnGcfhtafBFrAzDXZ dKHQaNM1CcaR4W4iARLTFXp/LtMBGoIT60rVPuwA1wy5NI45YdKogkyhDlzRHfrT8Gr20n9t5VAn AIPZ6mEX7q3lPCqfD6Yr19+1Bf7zwq3vkxXW3Buh1+RNU9p85WY19/OFrMgACwOqvk9WCFE3l/ky +h0dvADS7q5cW6NBXFHMkM00MIHpnkHAcWU3dgdz6P+ZyavNJq1Y3Sl1nygkkaN6QoHJ56PG1x8k moo12q5sd47tP3nCd1lecyOWYSBQQHG6NXSwyO3Uiv0/u9k07nJHW1kb1QREjir17ZTklSqDr7Ig ytR4aqkwWpO9dERCZncQpUMMZ1JUWyDikWqOZ8NsfsC/QncS/XCCpMdhLwceoIDMsp0qJVwg0wZz URX7elZF+dxPOBtsaYV49SHn66K+s7yMbLbOod6JbgWNG7VXYUGb3FIh4l2QRdh9mMj2y7ELqJBD RQYDhTEy+xPdin0nhNCbRqyj+pMSYhvOxysmBz6g5nJ63r04lGEM4yUDkGbSufR6MWRMDoxA8RdY b14ld462DXEqnQt7un8cFh5DUkjETOg05fJAiCiSyC+K+KMS3uAurPQTlADHhIktezkn2OEH/EsF QCRvKBr3p4ocmoFyH5wzq13vxkfdVDQr1PpgjudFmdANdAuEXZPJTaVHbmqwXVtl7nzCqt6YY0Pb Y6oiArCt16+sKBO6gZYkG7k0fBCi4azlRMGDEwo1Ph/B+3/t9iZ1ueYtNYR+oI0CZvP6pp7UzjRh Qa5yxBV8EZNPVaiyqD04mtmFt8cuvIOjUAaJfyXL7JkxPnLlQW3h6edVBlpOrxx0vYqc9uodrHyF ryiaqc3no6LMr184hOYnPHV9pqJqOzmKYHZelzRAxQwkMzhpBMkQNKGZipHr8mmqWlQcfVFBB9Y0 c+GXWher7ZD0xEUo9DxgnGrj5OUvHcwV8Xvnvuwa8ldoKzB5m6KGpDcNEuirCMAKG7oAuJ7vZEXh e6cUa95X0zvTRtcyaOrrF3mGL8ugA1fQ7HxSdgd8tMnaEEzYUJZAywi63pqsDonDCR7IHte2GKrb +p7gGqQiHozEfBBNckIh1P4x8/ngsLqOKCzBZGQ5e/9swSh619G+dvaFtbL5XJ7fqV1LXnnDaq7C anuRlcAWGZCjo2jP2oFOtZRwIApEJlxdjKMTWMfnLJssUhKnVuylI6V4IR6HyLfne7iwUOkmPecs rNr5/L9qyEVlnO8uT+c5tAclEuhMUHbk49Riom51pjKVdKvdWy7H/qA0vOzDvPg4ehGrwoGmJUHV 6hplm24OMkX05yDZf+3Vu71yFIsOkiypssRBBzuKF+qkstoeGIQiT7pbcHALQull32UVWoRuRAJD HCCv9/nJPohDZ8RQpRrb9VEBDstYgHs+oy2rvlCu6jQlamekMgQiBsoGLtKSUnmo793eOWC5mdRE 7z5o44GqYuLMc/P5CCjqwZXETrA4xw2uYptQdiayQAwsNozsXJva7GpsQPQapzuHPqEm1pV8J5J/ okAUMftZLOwNrkLqDrWoGndgbUDxAf5ijdSuhldoFYoi7KKnJgdkXUzsEvTfOXPnB8n8gEgF7tfC CZLHLNTewz32CyWgIfe7CTu7etyEO7nX+rnL9p2uKG4fZuNkmzH16z4LVY6TKXfWsU58nvaQf1on VBQaYV9YGF1BKjXpA8tQQJB5BW61cqDkZ+a8J4rbQ+5xKM4EnqHW9b3TBZAgBBnuZIWBO9fWTs1F GHeazsk957DpwZ2EbnqSE7iG3rWVe35Gnyx+VqN9IZiBLQv8P7gdC6oG+KOvLBWITfymqEDKjvOk cShL7VIVXIXaiF9Kwk1+QHAZ/mHnhHSaZQOfrChwJwKt72ZSAfzHZvkzamhWyGKN2SYrVn8xtX1F o3Js1GRNwMAXQIhAx8GqQYWsSc5dobIsupReTaY2VQ7cQ9WPYQUr+6BiAzz/tryvUSEN7EOxQrxJ gWkhhRIlIp+rsPJOzznYvxi8r0zCbBfByE72Bn1v+C6MoDJNLK6yRMLh6EW/DAatbHiQc9LnmGwu GhVNmj7u/rBvur10RHxjeyJLfThPN8Y8sZqehbnO5AcEac4l4JBcAfGnMZ7JCkvvXW3U5ljIRoQA JysLdGslvztZMVTG6Kat3NGrWBXuwI0Sn3OAt1RVaiBut0naJF1xOKhJ6puCXchGYYI9sQ3Wpkil Wjl5dT4fRaS/5Ddkp+uR+cyhjSiBU+f9p6Nsl4jym94gtKcv8gbZvgnD2H1Zm9QoqCs9cqhjA4xD WNFJmNFPmELZAqYCd6+TmtWLst0qvYdGokCK/tyGVyf9hHUq153rdfH5iAA3/7gME4x5+i01hFai zS0yXUxvUHWNk0Ule00WiFM+WbEejDQ8G8ENGg2D1SgCg5O3o4SJBYXFB4liHJiNxNPrWF+ywH2B magTddumOiO9IaowyfPsaPVVT+bCD/iXgKyJFJ67MN8UOvQTRdkTeXPxkDTV7SFpkcRAodqJ8ypz YChqCea5H6oMRXe9hqKAcSaodiErhMhJF3GpVzrZIw2c5JnIOKwse+VoqjavwKkL0bTimPiMH1hD YChqpi9PlwKZ/xj9hqShoyhVCE7waHQJsAS6uT2de3w4WCZT8UZTFUHdl6oNpN74aIkORAJVNobk CYwOuzSJkbeSX3Z2zPCccCjECixFsSqY6WR6FZATp+o5zN/V/+pQuc6hqWh69CwVv6MUff3rc2gr WpvwMvPiZZKJFOPEGl7E2tS2ZaoT+IqmKxqX1djh6Kd7WwsNZyojojij071RxWrC8GmMfeFqqdgr RxgsUk5mk08tTW5V70NyPngRlsUPiAwLrrpetdMd+vp3rkLp9CpOXHEc5CzzsnP4C0tKBw5atrDi ojuDd8iO3NFQWOfjR02Iq4Bt6OXchtbcB64VF9umU62kT1KyVw724EIeV2VrxEEZdEEHicCqDBRW 6C2a71SxXT8gRHht7HNgLgr3Y1qEjLScP5G7taDL3NcNcicXbMyxu+g0lklbd7QWdFvnKsyF9MET PYwTl4q2i0NwgwtOH01XHarV3jmStpRbQU4+aKoSsIjyXGuVz//LBoM7F8adKT2LKorb6xT0sblF ++ACZNwOvy+bqoGDV7dgYDDqjPkOotIdLWQAjfzkz9yA53A6x1VXeCUDZFzFuCcvYkZJTmgxeg48 urMXCjcKP8pTBzr5dPw4n9D4AUEhOf2W3AdxkNfHLwcuo5ncRfRvssei4PnZZMF53qgmWFg+WVHg LtZXl6CJjR5foeK5NgP3BYvtStdabHKc6mBaN2CiXYB3JnvpKBYVpqFqKKaBshJ4tMKhodgQOo2y fP2Ie3RqQTxOyDk0G51yqsvbd+Fc7rBy8sCraUUJWNuFobmRkVOn8NscnU6IALTij+wDMwRre57t DRihvNiEfiZrZ3vnqPElY8jSfNAuRBtFJiInvuXz/4KNMhglviKhbKDJ+rzGV8XwIyd0M848FCWK ARsom2RZzoLKC+fqNboqABuo0NdMBqw9ws7zRF4VgSIliAY5eJipBmQpTjJWKJ0bR8/o19iqQCOQ La+zZ33gNEHWeYh2eQ7Hz2tkVYCmUolhKbup2UkTDOiiGZL6EGW6xLjsY3tuU8owR/sN/LdWUzBD hAhmeD2uO94sELJfyIzZ0EYrQTY0k5Yh6BgDFGmOGFT1+byGVOdrBiswdHuxQVNUoOgnasnC029T hJLV/Jki9HLmYuUYU/QaTBW36hl1eF2h7+XIqzyNH9egD2Ab7jWUwiLSCQ5ZoTtaeABR/zbzGpT0 oK+6nfooJEMSf8OpSWGnfCFfA6nihtolq7yevbwOe27hYs5Wa5/XKArzu9PPDCEFxea3GXoNobCI mnwgk4dQJ0h0lYqatwsOJZSTOEOvAVQB+U2deDvGOdqBdEL8jt6vHPwYkYstXxZU9qi/u3yGKCzy eQ2fSnYjo80CaMGNUKSTujb3a4JLb/68Rk/lkSJc6msh0ETOY3P0Gjxxo4G+MWd3j+iSHewPab+L V6CrLU19g1U03B17P6OFTlgamXlGh8iXoPEZlzdul4biauvzoZ5SNu41ciryDiZw/Q4qtpTzk+oP R//9NW7i0+v3MAIiVDpDNOoM5ggWPGj8NZdjWgT5CqZAV2FRktiwpstaMEezW5nFFL7WpUMAiH9y irPJWDlAss+dBkZXRhX9HPQ0ZLAmaZIHazBHQGCBDcjyAQerdJJYzg20yuc1XMKSqMPmSG0voiRS t0l6jZaKdevB/3Qh2VmTNxvadHWvE9Qn91MJJ0ncSZOmaD/SFLCxP2drpgg/lD81SY0o2nPz4gCD HYZvN+Z2r5FSUYUSZg5sNBSqvss5e022zuGIVfvnNVDCeTZ/VxLu2bmKn9mvQRLObAlT5Gtcn2vO lqokhU6Mv7eXN19DJJzZZqGyrXC3b7M9nd8K7T7K5pxf/JxVkhFo9BKGldW3scht0Tdp8a8REn4M AfuTnGqTp3QdCQ8Xbzsx1uc1PuJb5p85QqI0OzHFmKPXsiYuQwIZzzJxESbpJot+tHr1igqRr5SJ C07tJNYDvXR99Go5hETLpgbTAiheDnSILSi1gPIc1qzhifmtn9eSJv7KJqdjljTHZk2dXjNnu1WF 3NBGDeaIHTVOCRYNVfWTUjnqPgWTlIVFSPdIqsXLTmgpZcciVLfPfi1m4uttISXTV0iPvsKYkI4n Q2vCqLHJqQ5OkDA7xrHSSJY1QB6tsF5LmfgxttV9iwajkyZIgzDu7ie1/rzWMXlL/V7/WRgbACMs iowC7UEv9om03giSexka72Sj3SEbg2VaESSjeZLESc0r3/G2XiB/VchkmydfA2KZFfJNqXPWjFCj cCkYpbvvwXayykDZxPFzsJLvbrwYJRD3zvnzYI7TQtQdKl0zT19O75w//DycqCKzR9QIZl2mVFV2 XR4q1at89k75w0QpmuxNhFKOnpJM1GBgvQb37JPVDbIjzjfhFCsIohrkSa1TTAmazzvnD1tAgcCy rp6BI+s85x+d3L/t/Nifd8ofY+76s+90Y95L7p3xx3lq6uD54VS0tkjOGjekzGzzC1wWzNOWg29r JhHQHomAgeO8ZtLeO7iAaiRDohFvCvQiWaRKTJDG8Y3fp6lTOzwJr5guXnGe/+tNljybjwcB07Pz ZDrKj/F77p3uh42Xudvk9sjqZe6O/6lCISAaaDS0FP4nOMWlwnzyDsXeHG2ezpF33n2zP0xxiMVC J0ycxxdma9+y6rW9YBrweWf7FTXtkbpZIte9IocDT73PAgxsGH0PP8YVOqDIRJdOzdN7+I30lOd4 60ZLPvFsujgp7nfO0yKDT1XeaJ7kVq8g1EZrtGyQikami0pdMHcpLg9TkGnKzfd2WqZKl+H5JLAw aQ6Qk1dPqsLGVXXM802oxgUT5Y1hO8qhBjKwrm2iohicoFU46TlUGDxYO6BWIfQHUcFEP1IT9R6E Y2nKKqyrFsfR0t129t05wdG+G0OpO5HCAycS2wgMgewgJ/bo8070KyLqAaCYmw9KeBPsBbmgzqXx eef5IelIXjZhbQnor9XyvfHCMFyAzlWmow2Ay1QDvWW3yEQhPlvhJIrDTZGxL1VQODqe86S5iZZT BBukMdU16IC9Lqqy4mwxo+Ms9YQoDqeoV6XlqQ3aeKM2WfWdC29/3jl+ljU/8wRy0dyE+3CaokB8 yueYaTUJIKCo2TSJWqAK3PU5fmf4lWtq2HJud/RzvMGGfRNNPfea7BJCZAK/P1hqX/qsuhrA7Hrj 92lCewxeq4wLukhVpGGBb8nwOnU+HoSZJtq8jIwMo758j6f3WByXFPKVmYozIZek5CkC3rdNE7jE TiqKgvFBt9mziESX4Wi7Du5XEOpiTReY8Gb4qYT+a6Uszrj6+3Lqe6f2FUdENYqfaeCyKAD8MHs5 90T+vDP7ED7tP04nkDD77nc5ReF4pw/7Nci+cSbZtTt1m6cCqVEtpzAeFwxqbtGJ5r50ooq2qDTQ cYzXBXFr9urOaf8VDCSPy26f0qSK4nFBxlofd+A8JXjJq0RAHEYUkAvazHlSVwFnQekeP71T+hB1 ydGBVVjZYS03CCn4996rAwRARcswIDdRF2W3Gh3resIvKN8RstKQzhfD5g9axjM2gY6b1ZuAO/m8 E/qwB4pJxy4fFEBBkK9JYankzzufr5jgnwJytuUkAj667713Qh8PNlrOoA1qYNc2/caDn4eHmhD4 01S9h+TZc7yiNpzGi0fMdCpg2ekkMlX2NFCZAD6ekjWb8rE6o1jAfKfzFXMwQq8XOmdAd3wbOduN 6D1O1gn7Z/u8E/p46/Xvb1XlfN6uzacqisonwT1LRFHV6qrXnuZIrhm0EL1qqt6jctQHxRNt5hPS +s+qKnWSlAwdcMJyVDOgNfkJ2zLqIo8gsVbVe1huWlSogC7p553/io06OKRLuOuk9OvzzuUrjx2d znOUNvo5hn2iorCc/d8xy/btN/OP8UyzNdUQ/dhERWF5khsdF4ePDlahvBl+NpR7J7qm1tFMzIfh VInmuctwUNvlncdXHA9cVa2rOTvfKgPQJ+28WT/vNL4/q+J0Gjv/zy1pCU5UFJevTCVwlk6tCOVc j9KlLM8iFLpSDDffWXw/hYNqTuP1Oo3nMs8qyJNx+TmjehFw+JxWyEbZtQZR1Uq+8sV6J/FxQbCJ ucrQUMU7hp058abfUdBficLysX5bUDikFn42m6YoKmdAM0bazzS5wHU20YTG7oGrKr3z98olpeVh 6Z630IDHP3/7pKzyOaPaCV1kFIk/MrHey7Tl5zi3Vw7iKLNk380HwctRf2fLAvaln3f6Htf9byUK 9dxZbtn3nb3HA4pQglK9XFdatgMK9SMXAm88SjhP71E50nn1xo1l1R+W1XmNCVGrxXBz5pUVa52t iepWQeW1wK/e7TL9lYOq5qCkEmDxNnCeIMkn4V2IWn3eyXv4i2+ax0mdIC3np6cZxuUES6+cvL6y KDjAk7yTWiLe0HQbo3fuHhe00rv6M9pE7bOjMs2s6PiUJEMMV9HzXTtLf+dKN6PPyDeO5on1ldLF yobOl5DSYwBq9aVtxP68M/dYpfYDyr18UVr3eQqL5HKdcRwYXWeSBQdT3RacT327SNA7bQ8XkdjF s6oAzNECzhPE0g6Yad75TarR9iaYnZOUQCAgrL6Cfcg3DgJOOV6gqGeD0avaajJxPHHs5521Vx7J Ddt3KJDDRM6mKYrLq5k9dd932VV2wZbY16eAbW1O03tcnpU/Aaihch1HK69ACQMg6U1MyvllTNGy 4EcS1z89AB5mIZ93vt6z7bogKd0hKVDaleNM22t83ul6CFZH+z3GIbKxtocF72w9RF08xhEqGSxs t+zYHUiJKiqvk/BbNsrjqJy33DLIIUdNE/RtTiiNaguweohCuqCGpOgQ+J8uNBr/33rjAE1AP4cm FaV2VZTgTz7IezkHevm8c/X8Un42HfSTavWg4J2pxyXMw6lO795tomVFESIhWX1gSEBommJUClYT 6IrzjjZNYHIAZ4pz4KRgC0RlnvQU/KXUHv2LughCueiNo2kijQO/ig3WviPgnuf55OPBpkte1OTK 4Qrfngy/s/TYLicOui2HRNNOWk2X2q/UW13bVCnfSXqEN6lYIACvRtt0CQB+sImJTMmQCJB6EMq8 WMpCptgR3oZeOMjwKkt06L3ZoFx4n7uO+pSIvj7v/Dye4L97DkbLgKz5LEWheKcxdGGCJNpnNiTB Se+oxCftsskKeecnRXuOocDu6xltlgC8O3fTplXm2V7ZqsSJdTKK4qCjYyiwSQGSd2YeUxbUCgpA kDSBRFuLR/gE5o42oSmXzzsxj7WV39wOnckB30CbpygS5+8Kssb1BinewitJGqdFABVfTVGFPBGx OlAMv6NturPLMmSscdPBC3OKt3FmdgL9jGZd8kAcB6VeOJomVqDA4rNBFShofS3JHozyeWfklYft aQ2XTg/BdZfTeySOVrudTa4auHZyfcVFMUncdGeSpgUE74Q8S+Lxpxv+Yj74C4AT52I3CmCnBjKj zBzYRoOoQ+50DBOygAnLOx0PG2DxbFosk3NQXaWA/S5MT+drBjfdxcypqjK+DPFsmqJAnKy4k7xf u2NrvbDf0vLtt6DQoSM8LI+b58UyjUXSyxVfohWIIK5I2Q3wrSlgIWMtcIcLRIKd5Elk4TsV71Y0 C9xqbLB52nMLpLrwc74H4ggo/KqrAmGcTxu3c/5OxGOhbrBIVrcX6kACNKSKq73BbsOlyt55eLzr BJqrpmxaeddNMwdZDYAChARIGJtIi0jxUHzF/byvraFhn99peEXKKue0I6gLjqTVOIuwVWaVEYhF vui/Wnh0UQFQcY1720WReKuqaN7OOcXJFYkbx6wBDEIrBa6osESuxlQvisQ52sZLDSKUWOQgLMJR jwiy88ujClopdgHtA4fzIuH/vHPweEIxHABLAkNGi4UTBWFy827IfD7Kgb32xDoVjFcH2T+cqCgW n4h5JlVFrfa0qIxEmkafovSfeISCrJyoqEY+Tc5UwZBG70yBJTU7PegWMIfk/YFfjVo5XO3pon7t sKhz8M6/KyINwoo93YFbbySIKnJtnc/4vLPv+J6+9bT6CszVlk/UO/vuRgZDXSj2Feaq7kNAo0Ri n8HRORMFSGYcjdtElXRHB63MQZkRSuuDg5CEgJpUqmxUtS2cqCGtXHvl94kiS6rC65HD+TFZ9sVC lLpQP1HC5518V8Q2fnYeRE6+UA/1iQpBK6QcnIe7Sd51WpP+l6FEkSXvQxMCFIawot6pd/iljEXW tPVau1uvUJxloMgOHP1GG50rahMmWbCQSiVHSiDoaa/8l61HOp8Gh0GBLNwcwPd5J94VMfeenYff ZqZ8F1QYkOssl66dmTWYNGAhj1RmDUABy6zhnXWHTxI2s+ic1vigxeD2IE71ye1aN+nXghEw9HOW 95/8ruuVg7OcnB+IBnFgTMQFBf1PfuP5+M876a6YVM8NNQFzgEq+z9N7SA4Ia1cnuH7dbrw7oboX djuharDrtjvvnXH3s/GqwsjuXe2zniaCHU5KB79uFYlLwf4JQF/0PMt8goNBZsY75Y5Y3e3BuA08 oRaQMUv4zFI/74w7xhZ+QrG1iQRxQ+bNJiqsjqt7PqdXn3ZKzfstJIIpEYasGo/yd8LdAzJY1m9Z T7+lntsfwnZoTY2SEDdItg3/9kuWVEGoaomwyirvfDuyD1QWl1DGcnTPCSkq1WQgUds+73Q7NiFu ikc0BhRHYQjsExXVxyfrTwSaWmElz6ffUk2BBRevhZvvdDtDkzAaL8/owQGNtAZhdef+3AkwD1qs wdiQFUCownmZDt3dzzvZjhMlwz6iMThoos7JU7ug43t83ql2nq3pyuOpf/45XxTUO9OuuMBkTmZm iLOqX1OnPIYHm5De0jSFUTlz4UESlo+WC+MUOZGgynSoZU33JT8B6UyUyWj7BuVaT1F1HMJOQPWU 5IPKdGfx00RP/M13lh2Pt/6TvIBAeF7srqYoJqclBYrV8xZ9i4eaW9OE1QQ7BZumOCZnKnyywXZH r2YiFe5b227D6qqp04cyHfwHvmwGOFgMl/PnnWDHJA2rqW+CxTgYLapUkt9Yyv+88+uIMvBjnEFp QoVh3tUUReSdTISdbv1pd+tPoVdbrbLS9nBXhhZF5DkbGKM/o4MxToB2wgT27s7xPgFpUWVlIm4R 0ODqafHOwvcE7LEtxvQoPqgAde66uq0tvPF4EGfeU3woJgVR6EYFYTyeaQS9t0v/rVZd5AHgUOtJ iTXOMDOqjVvTfGbpBXP0s2mX8wGdTfMThoyTUcm4CKRe2J99ecNdiObWGweX3ZaS5Nw+qLJyTuMq 6k8rA48H2IJyIVDUSCT48ZYMehiOF4mONRctOJmwmdOeLJ49BIphZBfD6O/hOM7wzd1WeD5rtAJU BTEnITkgRBOFO/UQgGAaqMNCrtLSu0Faaw/pmpMtu0wuYs7WSIU8L1zBOE2bjwfElu2FFRYH0Akb 6CTaNEXBOOtWJ6MwK52MzrZ1zDOTCtXpYCBs0xTBVSRGc6ZnlzvaNO2NtGhRUfmsrRM8ybaBsBW5 g1V686legC4ividIWioVJPMcPmie0OrivQf5UzwexASXJsVpOtu+4XeyaQpj8cw63eqeBS964ih0 aukWoNoymlSPiuNW9u1dTuwcvQCFXmnfTcxWtDglpczuC+zTzg6ju46VC0Qmi2Jx1n3LonN9QRvh y2IIqOsnSyPL4IQjeD7gbk5fUIydUASd6GXZTIXsTUpBzeQk6SGvYSNJ1+tYoXrBicZ7FI1bdlfN lY+jzdRAK2Sjdgf2fRltCtTTgI/LzAPP/9zS7x72ysGKkigwwd90qje2ajmBxaxawnzPiOT6i8HA RhlPdtcjBifJqaCdukxByt2ad1CgcUjdWRFm8thjqIpa5ULzarwFqAb4GVWgGuA92RRckQHuoZ+q XMEsXnc9qpAvtaMqxd8L9PokG50S+oL4znHSazwf3He3pElcC/dvuvMUxuJAVvaRkq8ndrYF+R1U 9uU8wXReWXAPaZx2z5nJ+HxMxiE7vQoDMGjPrDKrQQsE+6PCEdJxP6Fq1isH1QJDh7EZTCerrmgA K5E33snuNp6Pdt7/7UyNNDwN7mGNHH/e1C31H13T2tI/VnlTZx5RuFBUf+phNG5cYLLQbLRyAUQc pKDToV5ehlxPKlSWv2xQwZjx6qnQrAZfFG08oumaJopZd5FGCDYjA3JogOMDIjjd7boQroKmBPQE bareI3Lgo4Xr4fyQ8UIJzXPTQVWs8winqhgsrnmaR3AVaQ8gklx3tKma8xxMuzC/m21CVJY0l8np hTfjl6Yebn5CPdIeVcmrZG6z9qCrtjQwCBV4AoT06WGR/FI6eQecpTdLc3pZj3DkpFWOLLAh1XrE J2dfjMLRZ3U13DHb9l4EV3ng9g/sHpEM1YB7LrAOoIFAAkieZ/mAMiy4naCXMXUxIPmZrk+P8CqJ pkNZMScHVVbgjDjl+rL4+D8XFAsGsIgp9R5SYVA+WXcqJjSDbq7J+0ElKKsijAueTuPYe1GR3Hrn kLe8o/PwOpRh+pJTKI4EtmhAf8ERSZsugPespEmvFHxRkApTHSQ3yrxzUJEc8nBDntBl4vGIbT5/ FlQGHGo+2cuIonLW1s8RmxyL0YhKY8lgt2RMapBLTf1iREXyKUnbOYTa4WinOfTm4RMwCFkpIB0V AaDoEoqPRH5mPJfEw3xEYTmUlsiYyj4IALVBM5F7Sc54/H/hmzf6BhTfeCOKyukdMWCLZ5ceFBot iBqTZSmIhOzqkJURl8jVlcp73PH2O8uJPlHohwH0SrOLDoSdgqWP6xoxmEuuIVDFF0W8TlObISgD bmHDJAsa0zXoJWOi4hr5784bCZW66v3OEULIs5guTXrSGQgcJyZMSrjIV3xNq9SNqEaukwnRatYo uVRmeYXtdBIToJksp/pMDOIXRa9vowyG7BUgQYivCXCs8sdGAsrB/E1YzlIn4fwfHg9KK/0PvO/5 ZUDA9lmKYvLWxUmgRbasQbfBM0unD7lx8x1PN6KY3KE9XTTY1QkAGYQdtrOKJuq6iKHOb2JcmARt UdjvfQHx9cAAMrz4mr+BMWjKY4OhDtMWrgEoRzz+r8MJ/y2MlGe+5LIRReQMoE7efFH2En7hWuKC Nt0Z1MO0lqKIfIp7N0Z9RqvTZVr4LSlJn/u1E4YEJayzRxbNXlCXMZLwJAdvROVxnU0Dyt1uWivR IoCGeHGMXDKejxp46XeeztKc8AO3eQojckJ7IDH0dcmw3a063qWoScmwcucpisiXYQz+/9Z5Zhkg FVoS4vxeJUmghwZKtOnaF+u7qWEwQuw4q75pj+FDUpC5uvidOFTxeHAyPSJGjN2RCEMJwaYpBI9v ehD2q0wOhZIHnWlQDFRurew7IvD4xfjWZ7zdlgxkN0UMTvgELR2hM7H5aBGDrM2h4/hSfE3UvMNu a5JFblBhkZ0QdMgIzmQaPMJY/KbBBgv+doB9bJai4rgEftMsF7BSW3dmWZ+e3i3KOHOWouK4LM06 W/XZlRC+Wc3gjJZU2mTmnxxDchAnZ4TOQpH0U7rTBE4FvicKnHDDoRnBASUa4ekg5MwgBH0vPB+F 4r8RAdpYM110wYhCcSb50FTxiADyOT5Py1fTWd3bN10YigtvCND7Hb2FPWhhTGm1M5w/SJSNWdhM QF4Ls9C77RhhjjAU77RrJJMaTSDoHzBpOV+JNuEXvJ658AFBweD2EWQve0IC4AltpsJYnDM1+y0Y rHRjp17qtOOp722FlREWyKu5nZV0R5upDi6kyT/uWqGMkg2HIQba2WSQFHP3BJ3jYYV8slRHTsIZ gNcgGBqFUzYhvycALHg+qqzc2i//W7KEvUI+wwp5p6HsXDKUBfZ3urZ23dbwbMi9mrK7GesZqh08 1FHg6O27dY6dDYrf2Xpne6Qtb3GwFr9zsuY9b/eOJOEZwlWUA+/afRCkbqws7h38wvB41G/55QCd EIbFBZ+lKBSvigokHEoUBg8a5sBS9P9PS9pRGDMskCdTLijP6NQWGJ3D/EGUMqhASCGLUO6SlyQB bg5c7ZX/2klIcmlkYYHz1GaVaSoEhPH4v9AFWnqtASR6l1OosdJYSZnTw6dy77u8sglGN/Cq7b6b IZtTZLLWtf84OpuzoBcP1wrAVYi/EaKuAp9XUZ4ogyVywXqo9TD/XiLfi8RXDgoMTlS71ErYq+Lx aKJuysLr8bzVrhcaPaNonBL1I69rn9fXMDucuoVCZKEOGi6aqLBCvs0STr0Ejl7RPJHyqosHFKAx hW3TDJILMvWzWAF9vF6y9sIRlJULqatU0NlAwXl8EkUJmPUTqOHxf3LvhBPb0BK9cJUZxeOV3td7 eAA16+iOwsjdWYqoflhoMEORw2qsO9nIcrQFtTaMwmEj3mHZBkv1oiT4XHkbSN2i0EANYdp2zZDM KfiFtEPK1Q6B6IlqKpASwONBp/NqYgh3CCHtq9kz4wI5SypreQSFReQllWTcatA2vJEwI7BKF6ds mJPzuE7OkHdqEP+kZRfguPCs5HKCftkCcBMSYR5A0eNzRuF45XJKSVTqZAxkLNlKtu4X/mJ4PCrR eQMPEQSYbDtddboZYVX4tw0IfXqcuZ74qTlXquzhRhIzqo6beC8Q4nf0ttS5ixrb4Sg8wbHSTJ/A 35i00EY134H2ZFDPKByHLSVIUigYVDQ4FI6fQLD1JOm1wdf8l8AKD2yoeILsbdMUEzmbesDLgqfa L358NtuJcEVG84N7LpQ6LDqbBFDVeAtP4+R3m0ROUl9VGW/sV5+YGoEiukjeQ9h64wD5tGV5I/nV bMKiMFugBgm27ubj/4KIibuQAYq+0xRhVWhgfAK/K1C3PDCvAPH5ET6no8dnFI0PFcRp8eWjTVNK tOUqcpo/Z9aUIUKq6rRPuj3eoIDsC3xRAIrOQql06kDJE+1LeSxAnwidP5Eeno+wT5fJyXTnxLuo HvpMhVROymHkkVwpq3cXgGKN2uAqebi++IwlVmRF0lRc4Win0zphx6ZeHqicJ8FaOsQ34KqTYpso OPlEraI3DvbdIL5eSrXFlWpx4zQZIAGJjsf/FWWy03L2/CTLhdO0olicUG90WhxK1yUoNulpn13h f+Vtd92K0CrDiC3mUdkfj0oajs01SE3qKD8l6WTBaFnHU2+OLjDdghWWxVUl2CJ07gvqabtx/SNx qHj8X7pGU2SEDC6pZ8ErCsZxT8O2pTzi2bP6NEnSdzzBeOIn/VXYqDMYs9FjzHO3ndxoaN/tkyGx F3P+LrlrndBpXlwmNBD5NVFVnIupFKNuAIvHU/zc2vTFOqdyLXg+gtLV39gJN/IECNnmKRQZlx9X a+64uPrw2hMYBA5XQdFb8xTCVaya8piQMIEgrSwnaDoQ1dNTBjBAfWOQRTtNH1N7sHRnWTV8T1Sj 4zXX1h2EpcvgmGk9LT7+L70Qnfk4wh+5+hWyOQvVj8laVimzX2pwm/PW6Db16k9UsELouCD2RPD5 6FQEOGjkyj7LmcBuOcvJxsAsAbJ170fXl1i6FRfG2V45gb1DDiWmUpD6EGvSB1RrI6wKkc0PZQNp 3QS9zeYpCsXXEEJlL4fYk7tNK/nUDLeCjtsyfYcVsjll7waNgzt6KF6AlVh0SzpxQelq7UHxH/8t wbfYbhfUM/XKfyvTpcmkJcF6txEE3gacP4YwTWdV4xOiCOrygL5C++6nULeicJx0VdzjpuR3/tE1 xQoRN4br6dnYCCvUVjGgc1HPJTtdHt3z1ea5kM6jZ0HNseeirk9tRKpUaDpAz8dtAyn0gi+KgD2q FzCS4qA0+ES6S+Zu53XxeMSXuj0pQTbALAMbymYqhI93qa+ZZgFI8TyZFtEFI3n9qbpoyApD8qaS phxfNXrTnDDTRu4r+pIlNZU06WVbkDsCJeUojKxbL1ZXYeoySKlOdEzl9ACyVeS0nBoeD269/YuA AlJs7Stjv0JOJ0V+l1t1QunT50k0HYILgDDxeQrx48p/qxToNNohVc6F1+g8TB4QnC/lk4TyT2YO nBcRdUKr4NrGF0W5C1VDNmVnM/AJBEDBmV4+JICt4PHgNL9BudLBetK8i9FcMYAcrQTYwDjOfjtJ +KwnF69FAmVdzhWjVWTYOXTpcfTC74L0fGG9ABW8XSTTPiiRV2n1ni/3FURZvXJwnDN8Ordw9UFZ yGhjE7pyMlO+ZwAu+IP6eqYI/1OvRt2KS+Qs1VG6Uvoq2cmvoDl7p5PWOpqpMCgf5jxpzlvLnbeg ZQ+NGJUMNiDesrcGHwizu6DASkkMC6QoG72iqDyrBiUbCQ7C9awuw1+KiODxaEXtn7NcqL5H7WGH cJVFkGbfPlFwUrXspfGUEREIsTPjqB1yOoWpoweSj07BSxvyFbr3SgGzmmF5ASUaGjQIpPqtrsgQ fUeBOZDK4N7lpaGKaH9W4vloQp7Pd+PxgAnUPEAQWgrxxpy+93bI6QRnZRhNirgegcaglIU9/zUb ZooNUNg+1ljRrZfM0zRdT1NMSae0PRXFQM8XS7hywiq69XAXu7ceLRJ2iCNnO/gcfM0HVcmB1hPe Hort+z0yT9Kof+or6AeX5u3OHUseEthDQUbWNPPKjsSYK1+BbXKkOU9xYC5ycJHICkevr5zYacKN gmcUEhcW0ht9N2C6hjKUN88LLZDxPcE06Wwq5Q7iAp2ke0kya6eBxyOVFS9DYTmxw4Hz3KYpissZ IQOK5kzFsdwCPUsXz+05k01TCFgxPfth8fm4RqaFwIFzKkknCxVplokBtGN3GI1N5Gh+lDd75UiN RgpZdN7g4CCDnSS8trGcoiJ5u2o0i2HEyQwgzmLzFNoAFclGTxfyq5I2ooqBpNsr2Ruu2bNjEDlb d1CvvKPNEygEhaY3KNcVeMFxOS24y09Ip7Uf/UyAqvE10YXHxIXmNGcAyo9KPVDPX8KQ57nxfCRt 9Fv8BT7g3Mf3GA/1DveStMot161rcjO1yKiyAikKTVPI5zRPzmLow3KLv+SBLH4a8U8n9WWhqkFe 7kvpkklFVikYoJOBrwniJ8pFSz1cgzbdSRRFtQUfB49HKn7zz6oB7pF0BVl3BFkhF2qYN5KMXrv6 B5BZKbac2izTIqgdQVbMVqrX3u54uRvQxVB5BYKKSWi6E/FAUCxTNxrHyWrK8preOFLKYjlzsr5S Z3F0JtDvDDRRjsfjEZzOd11XtS7DafaupxC0kpgDr+XiRokdWXHLcrGiJjKwJKriDkEr8iWZpc07 OrTnvAk0wslJoP8WI/K2cUMOxgalegtPJBt8T0BVzNL952V38yPwZYZsZdtIfDwq13lRU+3j+p37 itrvKCBfkmSszZdTJnJJrhsyiKdWFslVnKYYs+Ki/+OOTsw/8eQqcnJDUZPAHMpr0zEb19YPUGxP vXB0Og017rYPKmpOtD2b/vKBxyO8ypWnw38MHMeGWpVNU6hCzstu7tl8163HXrleldHFn5y7LgKs TPU5p7l3c/TaLw316rY+55kkMRd0LFBJM+FyksM5+Uf4nr9q/6eafUiKMU+kLA2ok3Dh8UjG77am sJzgUUSXZpsooYRev3fyfHIs9PlHaR9S6YF+BVB6aIQmEouRU6yxwhVFE0EfLR4H8WM2BOzguMBF QiWDyk+DfjjaS+BZLhkDbXvpwNWtU7mWNoFlAVxRmZg15JGZzRTUrjc/4l/WblIEpspSbne+QuXD xlYC9+F/bDCgRiBawpADzgYkA7eafABSGJarXk5VfB8tLD8/dgX6rZKM1+AGwNLBZD24THiSQJHJ aYsMy/FNfwNlDECobFB7uJ3gU05Ka2Y+H2UwXgqeqnHCFnRe7WjmJe8PAulzfvLmzQXlpOx9ni2p UgLAOQ66p9pcXDpE4cBmjcCFrwFbAbvPwthtiK8kIccGgvSCQl6BianLIrOAQBWqYGlI+MFk7hHM GnkDbEtRXMfiBwTo1uyZMRclGtX9shdzCp2CkMhMYkIMeN+tYgewtPVnzv0Fzy+brNimUxZB7mnW Ly8vwdLvpHzAks50UtNNNSpcI6iaNrqVAD/rGZ/ME1JoFiShIzVlOFi5hfJTnLYx+HzU2/sV/QUL bp5z+85VSPVUWIWmhKrnqNjasdXadEosdHt9G4Z2QYJoQEHsjrawKCBcFX8CmghSLCtToCefTVrZ nnMNrUJTGX5T0JBhpJ6K6SSP7UXhc1aKcHY2CJ+PaPvrp+KSC0u0qJP4bIUF9KncL5nsUadgjelo kaLKQ4s6wnbIx+rkChvEo9boTZmSeqX7BGCuCyZCMptg6lmAXc3UPaJghiwU+U0RNJHdBnVFU05u bwq5ii4D5aHnA1uzR4yUqGCUPNe+Ri8prKHjRjzxYaqOTizdbkTMVTONKEg8+WRFIfvU9qvmEM/R llaF1R7gZHTEgX8nsdbQXJ24ERMn5Z7wJOjym4Iwq6uIt5cPVnlpQjaiupD5fMSP3d/fJtYJH3a6 SE720INmKvbh+ext1yFbsIJyQlySDNBGp1vfh2El3Qw8u9QROXKyUHMGTgrwMfgvAqyxZThBOxM2 rAv4C3ZmwR1WLx3hW6hZhHCZw3TwHX5pNvzOQ3z+nyBFshdOdLznVXRnFB6UPmVxVhyluIvZUCHT 7a54sCHwbx6MkR6Ly2kZFKj/QIHO5mjwFVavHbwYrkKwAk/gPhCcpaflt2RJlWKxcknd5u2DFhbI bPxDG9jEdEcJdnH/qS4QVX0u6+eAjzUSKazF5pHKVdPLMPBP8bx5oHpmcxUV1EdWfTgJPsXRW1kb Js+NCSH8Pcfsgip2NM23CNjp0vXIJ8YXRf447GEVtUnLcqwi5OTkJDTy5PP/Ev8jA6xivp5lFVp7 MuE9P8awijp0CbYXQKeXYtD396kKvD0vhGOaut1st7QHafEN+RXWYk78UIlGyCdyhAI98rRClpXZ 47Ru7xzR1Fki3rwRr+j3OSCroDmIICafjwQi/nDHgczEvHjqHJp7siSIBPB6fNfiCjZAS3pxj5Vy TVWEdjEZMv75PhpAH2rTZ10u7sCEw0RgYrIdiBCi5IjJ/xGymGNzT5kzm/btNEpuhQjcUmK4SuXz Qex+7SeIkjnn/KzXLz4H9p5uYr2J91MGPZcqxydQpGe9pKPQbmKhIYf+ngZbpP2Qj7fAB+QGegNY VAVCVMohUbNi8R+nxZ2q0uyd/yKVWFl952DGcBUlsaIacB18/n/pJRciYPtNoQOHT0h7ZQagI9lU TfE/WGxgJ41TNWH8a4sqjNuXdJGotGSjpgroqZN8IE+HGtkE38fbXmedoVwNTS53EhrNXvlvKhG9 5jsIkAeZR6FfFqx1A4/PJGGiu6hIjFlXIyKHHp+bsm1ZAi0MSRV8cvtJaIvKSNnbyTkw+bzKt3D4 vqMtqgJTsbnbpDb3Rhooahp+30E3FCCnrH7VR7d3jpAcROVlgjybyMLUbPcu6bl7N5+PRKS8zsfN CtTE47qUA5dP6wKfX5w5sqbK4Pk4qSj/w0LfIF2m66P+ivKcUnvX6CjPk+/1hg4ATip2JNgnnej5 nXlDQrovMG/2ae8cIfMo+oP2mw1W6wO8Q2SiVfh8xGr4vf/Qum+Po1AOjD6NmjyGJkX/mEzOpsL4 0HJBkDYsbw6dPldS3pzFMlYP3wJ2VIu5MoHYS6mIFFkpjA+IAPXX1i33qYQVen3KYuHM9PLBwNLt LBFWhBvXfxSw7/xrlk6l7z6eYz2UaRn0rmzd3T5PXG1QKji+N5srQJ99riKdFovTa5UMQq1XBgGO QgmJB7lXY+cs5cSW2EXJdFJGKGl+6QyI+U0RUIF7T76fHCyu2lCX57pKlc9H9Ib5mwmitbbST2AV FtuZXSyBEkS/uqxsFc6I3EdXwicrrrY/Rjg+ekHmxNInE8xJcKpzBRAAgo4CAOWAXNaV94UJ9WYv HRQZEosM5ClrUJGhdHqEsE6Z+XxkL3QzQWm7nCsiXVB6Do0/K/2bc9lekckCe9JHdhshEvJZ3Ssy sfNnNyzVbHd0QOOA+uaikew6V3zKmqwF589cEO2WAbyCs7CmvXSk8Srh95590MpCpbXaytp8Pshu yu8uzJVLbD37MHT/nHRPrwoUJkkhedpsrQvUqzBhttkK7T93NaVu2b5w9H2IzmDeW/afOzXuBFRk mBIWhaU/Da9mLx3kN8ItVNoK5ErpW5VhhKA5qfqofD66Cn1pCQsCZ71xawyh/SflygYtQyRuDsUe q14NyONmIoqBXfG5CiHq7tG4yh0tbT5riEJlmerm45zusprPhCQmaEmQFO7CbmwO5tAANBNVVTrb OkX+8tiGEwJoQ5HA5PNR42v/5M0EJ9Pqy+YqBMMQzd937r4LK40XcL5P+r6znYMKih1ZgQHoVZKo 3eST+oOVnSAGlE5l3IXGu1yczu3OiB2h4Am5962L1mQvHUSjTRZfWbpJZ67UZ8Z9qiZS32vzA6LA 3cNRkkaRiaR8dfByYAIKuCynKC3NCzwsu2Owl+RgNy8XevByZcU654wYWhZZveV8mzkgQyYeYufz Z090KcYBT81hyAl/QXz325C6vPymAMjAxnzqrPgl4nOZ2IEcqUrnOcj4fMQ6Kj+ThV04AQzwuYoq 7omNrymYFbMczitVTdv2liraoWarkwMjUPsodOYtMSy3IFPwURUFLMTuE9qvCkiXVgWOrfLgh8ip 4xdFcgnk++0sC2yYhkh/Y4KmwU5OW5MfEGH7/zCRU+P5lpBDM1CinsZaFzYLER8rXi2JAzWAY7hu NVehnovuQII+fbwkCEDzGnch4KBbPgON5O7FIiUyMyteWUUmdAPNlOpsMq7gIDgDgBhUvzwf2/h8 RKu5dQa62kCW61FMyKEhaKvyuawGJDoHnwM/LHwRQGY73ioHjqAoYqsUY9o368c2psF+YUx0vZAd nMUgjTOqlg0qGU0/rzKjFX5PhPwgNGaRbVuXsW0RsNHelVO1+XwUu//qcqEGPPvFOebQEZTlCQAO fQfmulymupBiIIhMzXdVhbV2KeYOVhxtdCwRlDpbpR1KI7uRMTvsLwmFHmSQZ+/iZJurECVDskiV mD4o81+pA6F1x3uwnzOMz0dib78OO1CdHaBg+VyFwPUmb9nkcCIgOD19nhcnU4jvZvoc2IKimys0 6Cr1jg6UOdcT0XyYq7PYkeoIdzWpqF2+NFxRdAUpVH1PcAsaXYuwoiZmDaL1ldKilAYk9fl8cFYt nynG6JCr+kqzyOYqitzJQ3M5PJHcjFMDlXiXMgP6p/hc/YNQSttmHy/1FhQrgHpPzDDYtGdbApn0 l66F3wJUMyqUBKkVe+lgXZEHgX2sIWtdNaaxk+uqdj4fFZB/scZghu7y9J1Df1CxlJf7oyEWdfvi Okg0EwtwFK/1xQah6nfNKdoWR69gbUDVcLAQpwYmryAi2Cf9RPUojz/26t1eOTrYxW+rxQcd7EDd 0K5DpfbAIRRRe/45rTb6QRDH8pmKovZNG77C2qIVsAyzfk6B7qL6tfZbwAo8QpGHm3iCAWnnA6Rt J/Y8wYEM5sB5LqzKnFv9zMrgpVlSeXjv3d45ADOoHdEpXs1BW5BAQYmXzM3n/ym4aEk3pHjuaRX7 hGY58m7nlpadjdGNI6w4eQRxhaL20Ci0Swa+EJ9ro5Mi5oIFH1Gia0PdQqCiIRUFNLoKlJ6si9rV 7Qq9QmXVQKQhskGEfSxFpTOLlIf64kzkB0QicLeHo6azlB2eFn3sGNpUQMoOPu5PfLV3c9cdiFn7 fIVx+7L5qs/o3Aj4f9DvC16vG40dVfyoJASg2TlpsRZMkEot+sA0VLIIMGswxaVzfnVVhEENo2zp OdMyPyAoNqQ/tUzAJ7lA7RzbhtqN3ZwHj1e1KtYqFsMjQ1neog98Qx+dMwkHarTFBcX48zcxwJow TxksD1ZYGVJuqVOE/cpSISvlN/3V6nGyPKqyjoQnSpPrMBThJj/gX5oBeEGaQ51T4U5WFLmz437C 8iHTHVMdVv7MspOqWEOiAZysCNc+kjEoZ7mjV7F2P+coOd3nHoJE86KO9YZPEZz6zv+ia++15Oov /be7sNR+B+NJVCh9Mh5FfTQwEJXGzo/8SyIe8rkLo8h90A6klF4N3FfmMFW4QnMLKQegCuW7MALK mOlVG/kZ71ydvdJ70cI66ZBEYs7RT8YZG/Z194d60+2l/9YjrJCQpDHBMO0NSMQRGAhznckPCPKc SwQw5hfUTZ6FFRbeu4zCzKYoA21evDxa8i34XbvVHNuIbqGJhkwfOXr6XLCsEt0xFxgBi2QBaCxD br+wypCupRq0dPRNwS6k6lJ280ejAjS0bKUxBAMxPh+FpL8N1QZcxH7gaqGRKDqB6KKSEsHaH5s/ BPcNwvTUUL3s3Bw7iW5HYJU7Oplyouq+qHsG5nES+OFkJviFK2YZZcCLwFLdPbQS7SLmFqotcBCb knauvBjPwuXzQUCaf+eK4nh79+cuDOvukxfgbsngaqPnYpNVSCviZDU4kNlkhdE7j/dzAfd2R0Ng wY2iUoDiLKWTbY7Kjg6QGygzNJJOr2d9ycL2BXai8gNdZeA/OwMU4qsQyRP+qSwl7z35AUHsMP5o f1UYqTytwtBQdLDsbuJUYpncBnQZNCAvbFIUb0AHjqIWgyAdZwqt0Vr1THUq4u4+0Pto3Y43HDBg yX0nWSbyDSv+ylGYpXiBJtrF/R+Ruc5OHQCBGgJH0aTN9NRlEHmP8WSFoaUo3dahzX1RDcWkTyoY RNXi90IJRk1VBHRfXdyJKbVGjrcBjQqfOPKAtGwadcCRDoVzXp3wX7akcCjECjxFtdvRQpOwPFXp s3Kdc91uVRvQrA9dRdMFuusGzd/Zr59vDm1F6eoxNurYlkF3U+OHNkyZToYDZF65TuAreg/3vtcz 2uGO/hRESUTLAYBeN+GkbR/cnqAp7Fi1VOyVIwQImziF6gtZSGbV+6BOygArlcUPCPqE4/YJlx3u CGTuXIXC6aLmrJ4tdp/DtJiQuvN6pM4XmtG2rsLYXYf7mpqz5dYU6IHlc7rDXE9ua2tIXA/Ad8D3 z9redKqV7ElK9srBaUVfh5byHZRBF3CJqA6eAcEKvUXzXVUulwIg5L0HA3NRSOkQzTen+xnBFNXZ E7VY/7ns7kJf+S/uomaiZra16wL7Esy5kZXQs+CkgjvL2iGXc2ptHF0F4BrXHKrV3jnCi8rxqd3B 2IMw8BNUoVU+Hyyrq58uPQ/4+qbnYA/pqIvtm7qVzgADWV3QA6Umm6r54JADf9Hbfc7q/2m8uAZs w0YM1m4n9yOS4WwXloXosF2AxHa8jJKc0GG0CeEugaaEDHqpyICvIbcNzHJ+wPvZTi3EHxITyv5P khOYjJpPFlo5LtFE+0FNVqPEphwekDnaZIWkVItBlxmLrXlZhCDEndO8V4G2O6StGItSfKUiQWtw 7fRWvUAggc8o7iOyJhLJOYnY9K9wan1utf1QbQiNRsufNjRUDE6PFXIOvUbpRXYixG60HFSVTCCt jpxd/BpxjO/CCDJTp8FrV7qjzdZeBQKObOacOL33NQSvRTXzhKlU/rqTNXe2d456hOw+L3Wf192F baeeZY3ZN5//XzoU1EnOKV2Rgc9rfFWz8FMDOAObK7FcsLJaQuNOWc6JhJvm6jW6qmdlGyvVWjnj aeXAXSOdlIlyMSshsKV6aKMlxBoZRfdrELloGv0aW1VXdUa51of/x9iXJbmyK0f+cy1lzzAP2v/C BHePQPI8ZVSpu81wpL5JZoEYYvCB01TRduTBfv53ePplks47buNcnj+ZN2CFBi+3H1dyMEXSUl/j kR4ac3ty04qJX7e9b+0qmCLr4bSp6Iqjb71yphtC55wiWCKzckqhnh/gPH5IAjdHjGXv+zZHJ/4k b74t66E6AaACRK3j6sT8n9eAqirM0BwxHoA3wKJZNL7yNZyqkCSUdHp3ufmRLUGGC05yIhzNwTlF r8EUVtEwjLZWE0cLEDYSQKgaEqIGgz/tSWqgdaCxoKVlcadsIV9DqZrNKPqEm8UHVY3P/m5JncHd Pq9xFObX8KEW1/dJRxybodcgqhqx6GyK24bIu7vmSSVJQwXj5GKEryFUxVVgLfi57ugnUh4nMMd9 fk6kE69NehvC4QHNLXhmQQTBZoi2Lp/XAKoiAOLiaSQJNohwFAmlbshEM907AcnnNX7iX9t8iqqF mmAX2xy9Rk+1/E+iG+TK9WL9JUSIQDO369BTId4pV99oo4kgmHdOd7TY6awTJMaAK5z080y9VhwQ b5vefee06/NhnlI07jV0qlJ7pyV78UHVFthJWBmvts9r4MSns0+SDIAXfEq6TdJr3FTdhNXMMduj 6CGkwt6OVAAZVl61wSSZVUg1oGPt66JBIbg14X/8QyZwh32B+g4IVwmabaBsWZ80yYM1mCQKhoIw TLMndAmlnokroRIZCrj35zViqg/t21TmcLfO5OfRa7yEg75IuOPKgRNRrkmCL7GlLAD3mFFINEkC viDevqO3/NJOED9mmTMVqDqp7c4dSmuHjHzH9xvTu9dYCfstqyuqE6lhmokiOynqZpPyB/Ihn9dQ qT6h0hZnKyMOrdkm6TVMwqHdZDWz3ZsH4ApLVtJI3SPwvtyrIDq0jTS51HfnaCsJZa6zgmDXd7KC DUsdhpRnjrCS4NrdWOa2+Ju0+NcYiSuW5tlFHeTi6kKIhRdPwfPh+fMaIfEtq80RmzGdR2KzOXot bFbLUoY6azqSstdUwH+4R9Iltb2WNbHZq9K4bV2+vZ+7/5yM58Lp1Ig7X9Cr1PRAo0QxZYnJ7nDi RcOZ16Im/kqUyaFW1DQU2cYU5NO8S2H983ktaVarZdi5TZoWkfJocGmaXkuaWL6d8CnZzhEn27tN E9Iv5/7tdJXPwhBJLjNm41seG1/U3wE1Ax3jJL0nP0mCMDY6ZbQTuELcdFxQHu2wXsuZ+DmWELFb it9Wo2tQhqYAGR3lPq+1TJxJw2MkXiwZ/H7qAHskGUXbtAsFqMnboFMqzrjhugR45Vvfnc0WhdvW BW179Tt6mfxEFmch0YMOWLqmKnGdnNSKSm6F5q/LwSjnfY+4JZaLIMkKdcZEoe9jM6MCEI+ikHut +nV8o9w18/Tl9M76w8+TuO3KsHmCiotHS5sW8oyW6qqXoPV7zI2O7R0dC3Symg0pNVmJwlFF+Jax yGIr8FwlP6RKu3nolYMTXOITOsFL85hyntt5slcMaYPPO+kPJ/i+Swo/JHDLi+RDTdR74A2dD/oT pHVDJockVEguV5uogkaoIcyCidpNFgWlpzvaRFWEMWyEwfcCpWTJBJwY/KwNBHfUorTkBHgTvvH7 PHUiPIEz8UHzBOHjptbA5uNB0DQ9hbNmDQsxPk1R9C2qw6zPvuvXbabl6fFAZ3dRIKDgHO9GSR7S +uTo1d7zp+0NkQTwjSDUQfQB3A4bfMZBUKzX+ILQ7s87349JHEFlk+aGzY/Bist0KDQowMGGAfid JsYOqDOp1KuSTbCauujufXml13xnSHfXMU6sFIhtVumNpmmoxyJ2tkbrtYAFO3dVoRc67NKqOmc9 1OHW1qF+my1T1cvwdBLDqHK20Nmoyt8ywjBCWnJCQS6Yp5x921GCCHogYzePwd+5ftVdMttzjrfs WnrlbAhvteDPtIl6j8IvVlEQUBst4YULKzq3nQHmyVwY1Z50Dm+52NfEYrNjnDnk553ph31DBCxW uA/GHx1gBzCMKuXzTvRD1pH61zEuTb6Wb+UkjMOHuTx53bKMYtWlcgKffAEHbHUmflJ0jpual1I7 jo5/xZ3X4cN35gksEFlEnnsJmoNEtVEjR07HWfIJUSDeHvrHNwvkfNhsLPuff+3PO8mPgZDXBnhC gV400RCyeYpCcWo9n0xuG4gF0sfJsQbTtMGB/9smsfvO8cM8DXO8SP2OfozD1nAV8xgvMDvm+YSw 7Ac0tR9arboewOx64/d5GiTZjkbtZlQbVAwBV56iOlDv5ONBoDnbdzCeadZ3j/H3aNxvuynVPNZ4 JbLEMvhcmrx/NISicJxEWSY/5Y627c5/Pc+cM6+DhBtwVjqfQAKmL3sZV41Dbn3v3L6aLXoCUNAH EWyRY1ulqeXPO7UP0dONx6kRl7iuhid278y+m9kNxh1ydLii8igDutZSxWrVcgrjcVGK9pQLHUeb p5nQxxwEcjZYmBcZH544eKNbJj68I/SnVKmieHySMIr16QMn56RGZ1eYCd36vLP6GHzt7+WEPn7p vuveKX3Y6zR0yMWw04AEDE+AT9YimAFEJqYVdt8Zfc80ddNt5nh7wGD1NfqwLxDdFzUH0UiHgh35 8gM6blZwQpPw807oww6g7WOVGAcHRU8ncbbj6SQUn3c+H/M7L6YovBMiS/L7nKooICfCENgCL6gU rgSZZzf3UoF7o9UK3gl9WJvVyk2739ERiRvipbASh9BZQtijRJhBF8Uy2eHyE4oVzHc+H16ZirML Fddz6MEqr5FABFZRlbdKnmm2zzujj5de+9p9RMDseldVVAunHjfkK5OjN9HJNvTmKNexr7mL0Tuf D3e20NMtq3bQcn1gY+cGPFcgLI9hAHnCfiFdOyTcMu3Ma/8SJNaqeo/Jk0kG5a2kGCU6kn8AzC/S hoAU8uedzVdNEPhGB6g89jY8OHjn8rG2IhWO5HLpsxUnazedXSzSNb/03ql8nCiuKYBY72hVug4s /urQbZ6gy25VGKBdCcwYSJsDmFyX4aC2yzuTj2uqCVCwffBe+a5JpL5ZP+9EvvqYilpZHGi4jb/O JioKy1HKI1ZzeO9gFacR9fblXL+MyPfO40NWIU5aT+KocfQq1Dw38J6o+RI4tBtDeDSVuxw6MrC9 VvKVMdY7jY8LQpU6qsvXQo96lFd2pnE8Ot9osERR+djlaz1hKUHe1qcprIwDrIRsYt5pWnZGnQkb ngvTLk7TFJXGlb3A62zf0SHm8yy2hZCgT6DoigJS6MY01nuZtXwd50uvHERRZHoQDWuDAOYbSZLR F9bnncHHouItGpBzC1BdeU6o96jcEBsn77qqZmWZViVWcnP6EK2+NFHvUXl254uxzM13XTdfoJoZ WlLic57pUEQAIyNUV9CJLFhVbpfJNvU7gY99wamyyvCBE3V+jyQjeMQJn3f+Hs/k9FU1gJrNyaY8 3Hyn77H1wC5CuQaH+wrLn5+7O/BpoT3LcPOdvccFbfxGsfc42jxtekE2wvAhmG6SBLj7oK45Jcxw s7wy9MbBNFFD4lyhLItsEjNUJjnnqTAXY+/PO3ePWeKNN2Wjde6XftOXd+4eTnJKy1clKjKdqVfP bLtk+ol/vfz7Tt2rV85lZx1UO1/yLK5txP6mv3gyS7mxnYsUDmLsqQOqbOUVbES+cRBvCnAoYQQO BrdI57fhzb4zXzMqr3xfeKT573mDqCgsr6ySE6l/e8DWukOBxAlWZVU/x9/D8qe8IsM1jVZeQSuC jXKlL5nVR0QPYPgQYwU3lYvhSfbGv247heXlhuWQnZqEEoBB8nmn7Hn6f7cdbGfHUyR/Z+wxLKeX bzHV/bMK4DiluKCnbi61lXUWtcrDsJzXHPpD5Y42TRtFoz1I2Dsn08lkFGRlpEcTv8sJNx0dnVma /7wT9th+o2VroUIQBxVXztRLNuac6OXzzterhuJ+VhOEh2HlbtMUwlIGawZtevaCnr5N0xT2iXEm Gq+aprBGzpoBpHLzHW2aALdfZ/5xOiXwt6aMf2mDS+G/TPuiLo5QLnrj36apktqjwbAWJyyRxdpJ Kj/vXL0qPJmmiYFSZZ3Vo4J3ph775RSY6tNluebMzVouldx8oe2zC8u/E/V4NhGQupd6VHtNv+za ZLgEMuxZTec0X0aLQQ0ejJMpbIqd4W3ohaMUD4d3z+xyclAqvBGtsfJ7wq/PO0ePR/j3nkOG2Put /L7z8zBLmyGmJJlFEtpaQbxth8Hsz9lieOh3et5tuAyKzfhoi6k3KH3jCIJ22TkJcjWSLAxiCUiE b5cBwSY1SN7JefWaQG6ZQG5YQ3VV6hqg47jmz6r6vHPzWFrxTacrEomA/NU4UVEsvil+UMXC03Ka 1sEDwL/aRNXuLirv1LwqV9QM4qgmjKMm6oQB5+2S0RFO8tu2lAOhffdD9hB1y8zJF/Hu552XV93O oROrqkEVqInMi03z8x2fd1ZezV9gp6oAmE1Fn6X3UBz3BiFz8qcnmTE133Q7m+xiA7bbbrp3Th7O DLWldlJqx9ER4wnmAV2Fun0upGl2BigsQ5SCvpMXWjDsjYO2lCHGyd1oyZTRIHoAaUImL52vGdx0 yQMCTin0pAAdsGmKAvGuUkGbXlVZsw3vtwjWK276PcLD8rhR04emi6MFmEhyW1mNoiP4LjrhZIZj ZwS6kS1653n6K0cFzVcPFXDfRZqqCz/neyCOANUzOzbZEaeK2sIvjQLxOqmXW8f1ra9mwspN137c GHoaveydiVcfZcWigHwWgp4m5wlmUSmrjXBWWpkiplfcF+iTICi8poZ50mn8nYhnjQA0czYVkmj2 wWNmQuGMTLxzt/JF/2rg4S5mALaGA+jeeXjsXlSxpR5h4eG09FmylVTOH58Mh/lOw6vmiEB5pXJH ZwAVFFdBYemgzaS1RANPnULo1LvA7eGAXuSWn3cWHs/xKhCmIJlousuE4AQTbcu7IfP54L5L36Vf 8PnnuKH4OwePyxheqyWt5TuPdGwRNbIb1J77alqF/J2CV6+s6VgmzLIeYRYElSd9pGcYoC8nGSZp eC4aN+AGg436tcOi1sE7Aw+/reyzV+0+GPppd9mu4mj9vPPvqgh8X0EmcibZ8hCRGcbihNKPZlJ3 SMDyNCW3KiJZUqkACwqQzBiwIiTdktwBxwt+Bj01U5RlQvNVjc4TOuNAb1RqLZynIancpVd+n6dK 2gF9QDGcK1l+9dBIStIZafPzzr7jxr0wMYmynOW8682B39l3XosZAt5T807VyP9k4Io5jTROoSxW xYp6J99xRzDOHKQQ2GhbDyX/E/FQ4OCs2LmrICsZHIFMOGWppEkJBU21rXfynX3RKpsmFxiGgaAA rJGI20mHP+/UO4YG3+Vx/DgTC9HmKYzH6WxBsJSZNfDYoP9AhniuzBrGYHm885Pej6gmIeHWpOfN 0ap0Z9/C/aPQ2AIqgduYwhSCaOdgOb9u/0rvul45OMtrJeunbw1Gjka5YajwdtLGzzvvzsv4z1GO 625eRP07644QVkxOU2WOTmttNmcd5K123vmbu1N+3kl39RIUp9VU5lNTOcvkfP5a8uFJSOoVG+RK lye0YojZ951HbsY7665K1heJncS2Zr6EasQfgoqV+nkn3bEs6Se5JvWc4jtXD6LeOXdOGYDZi9tk bopMKYiqDohuG6LmPMrfKXdPHpwKKyYaLdZs6xyWezLBg5RwlqsKuggikZ8PnNXzYFVV3hl3hvV6 qapAZbsaBKq1zzvhjk2IG5ITfZgYY5S7oqL6uAwaajKpc4Q4y+sqTcJk9PHdTvV5J9zxzlUmnNe+ 4+2ew3isSUm/7XOAdLNYGyi84rIGrM2rdLhXPu90O/I0WCkYCjaHB5toum8CflE7+LyT7Rwu8Fx5 AETncQ+oGLOCacqpubRP6l5XKSW7hvC53LN1hd+pdvfKGySj+Oip8JmZ0fEfQC8qAUAsuQNYIs/E 8lPbNyZP9sbv53hn+wDtfB9UpQOleMvxo9XPO8+OXI9vPstOELe7TYR3kl013RX4VjhWrOXtoeZe tyM8CUHmNP0OWTkXJ4kIGm2aGuQTe2KKd8Km0Zr4eJ3+lMT5gNrnWDFczp93ih1zNOy3KcO+6YZ9 Z5pQgLDy0/y8M+z+gYplEx05P9RyqFgLQ/K9peDjunZ7bNO1O5sgWWUFUhNmy9BiCHkTBkPn+f4i T5+j8xy+hVBWiEPtdf0r8II4q9AHd0Yw8Mn4ngB6yFJB67v4oErSxPtS0+fcP3g8CDQv90cerWeE ZIdNUxiQo4g7wQixaTpLyMXHQLR0ZPTw5l2LauOJftjAqZY7eq/lZF/QeaX/44JQvtJl+GmfHxRJ Gq64i9C0Nw54LYmIusoSOQcVVghWVneqDDwegAuqTxNlEjflB/0M71E8DpMgeh17nS717HjfelcT ICtWfurv8bivplGSDimOXhvHmy/0mGFMg1hKblgNlUs4yvygWG7pHdHY+JqIsEkgnRotxRstZUNi l7E0eoR4PIJFj69ZQiMMTTKfpbA0rk4wMfGcpSr5/M5OcDd/DxBcDcfaI7QKY1ywPuozWpHuxEsQ ldbZtGvPReIGHTu+l01+S77lAvw2+J4gaSEcmuL4NmiaQO+n69w56yYej0LM734UlApgXuLTFIbi VU3Nb52xGzlJ0pyRE4QLeIT3qDRu1rTTIGAcbc+d0O/87YsaGKtBh5qLiUaWZ4ZQqi0017Fqgbhk USgOyg1cUuGGctYG5pYXXNq7yyUDKBI8H3A353csntHEmqBm2kyF7E12gme9WlBFUBUA6va65oVd WlAnGO9RMD6XeUHL67jXx+u4Sl8HByYuiVbks30WEAkuAHqgP3k1MJgG9ygYrzqeCh0+AE43turJ D9VGQBSCxyOS6/dEgQYx0qUj9PdYHFUjKrDttmyeEtX5EDud6MDKnOdwycuE33sYi6tcwHTZRw8x 0ZNiJIAQs54o7+J95w9beuzXuWIWL7selceXGsFL1tBEuDAUgDHmYFnkbO6J54PbbrR/dh6zgTtP YSiOJLgPklGkE0IFJOJ9YaFm8wSNWiXBPWZxKigwZwCOntydKBlE0EmlHkRi2nmzIHFOUI+Ef/09 oWrWKwfFAjNXFQIj0/yCdTrIwbJUArdVPB8sqHXZrlpRuHHnvfCiEjnx8dBHW6yloHKQ9U/y2XHL NSpfsFyAozxGkCdrNo07Oqin0DgGLOV59tXeiTo9LLeQFAxyS7+KKuiK85WDnUfVmawlhcz0R93N s1JT1jU7YcHXo4CcLLkHrQI7zXTz4P4ekKMzpVIdqWP03ysUKwfftkwJziATgHE5C1A9QqvQsR3g JjGEOXph5cxUgco0T3NArcmHOfcfft4CangZVzSyJCqS9qhIXqsksp5B8Cc4lkvodqWGx/8qGHDL nqU3AayxeYpA5F1eNqqGg241iIpjAWouVEnP4kLBAg6L3HsRWMXcTvoWdKAT7HXeFeinAU5qYbts nxmsPMPht4ATDxUcAPbqgyI/0/XpEVpFqhe1kG5eHTwE0YsyTS9r8fFoPX23hE9iWblXfaLCkJzO FKkOqR4i40hunL2rFaMgqbS2bb2oRm6d8z7l68jRyRs8PWD7DoJwzScOpT3M4MHWWftFrdsqmoPk 1x7hVdRu+T/WewNoNPXOZ5mfHvI6m4dRKv2e0BqEUCebR0E5G0Sjp9ue6ql6YSVVb0+dq9u7LiMq kk9piO2mM4qj3Xq46RJx/GjjnZCsyxkG1OEfYKN+qIxvLBdmt/ieSN5B/kx0HuIg+NOeIwt3uHLG 40FUPrx3viz6cucAzlMUlouWsEb16GBTs0VWJykJq3niTeANNE9hiXyqNL7VzuNoC2pn3P6zmaDm 7grLYTENLjPBReiJu+oa7kt8UcTqVC6cpYOBSJHdKfoxd0kmY6biGvn82nqc7wegOUIIOUV5ZvYw auL1rQQ1RUwYxKwUQ0CNqEZOesHJf2RGMaq0OwazvL3AWSYP76RC5y4upihyft0BnFWjDoYMFiBC +BlRWI7IYp0LVIXyRQgNg828m3ou5//g8agC9d2bAtS3l4v3HSFmpQuz0h0WDVE05yT0UhxO17Ih e0YUlPPUJz4saeQJOwgyaCc9qMryIJbVmnJhRIcnFz2JBk59DwwgxIuvifotzIW3Dqm9fdfVxs4g jZn2Z4T18erHOGYE5RGylGyWopCcF8+ABpozN2DOprUEa+7L3HDhmRGF5FN/+ZKEg0Y7nHZP8A+n whPwN2RIgaqIyHDR7oVWs2IIT1LwRlQeV+ucV/EZSI1TBtxg4Mgw+9zeeD5aTb7n+Ct2gEGewykM ybMkbJNrIy/JuBN12KfvuXnZCCMKyc2GaabyjHY4ob67FsAZoHSeeaP9EhR6znk1M9jM+2J9NxUM RlQcn0zwikCH5YIOceCp0AwxNzz+F7CHQg9IhHe9ey6EjtPZa658ORvZrM8gXmLsIFxWXjIYEXRc 2vHnFy3P6MRXuKt3WcaNk64klQw6EEXnvEXGhvzOgOPooOFrot4dMZnyBKXpqvkJQWTLi/F4PAid ls8SuUNwVuj7qoaMqDhOVTAImDo2M3VWxNlD4N0swMr0ntSIiuOm0rPZdueInyazXnAm/FzTidXM DeqGGsYJl84sInemO08ILfA9QeTEZtQQn3pQrUpwunNzyGJqlNTx/F+KTzzuzzUz021yjjgWLzyR jIxPyobDMMosyo6brBtZLxhxLK6cZUresM0rsY2Io7PNSTevs7gETaxrMyZH3tvQ7fFtxxBzhLF4 Y7ccxl1nqPg6riNIRTTg/MHrmQsfEFQMbq2OGQ4iCxiv2kyFwXgjWWpdnb7FDImxU19XYW0A+6KZ iurjo5ijnpjCHG2moEVT6PeIUwvtFpFbdlMtHbyDDTkj80/QOR5yOiX8WIhnPZ87hag44eaeKnuh VYvno9JK+krvUJDaEMo2UaOwQo7gY4xs9TmgRWhUtXlCpWyluopsk+ndDBUNk9rBzbjC7RpNYB3B g5eSPSOf5Bx4GpxQKJrPyXRt3u4dKcIzxKsUIZ9W8cEoneeycEpnxePBLLVv8DjU42e7ej0zCsWr qK91FkdhbHdohMH6EAqjJgRXmqWwQq4Nt5op9rWr2IeiWgFjuJGY38DBpG5Io3gNBVaIl/EkmKYJ MwrFk7gIXQWoPhyh2euQgl878SYe/4t7x9V0ZnaW2wyeUSSetO1ohsaDvGar9kI4xtTpGp0aTSMr JHOqSDCqoXu8YQRLnHIubComwWipLESZPLsz1bQ7ds5giVyonmyvHCE05VNMQVEOFheAtmZU6vqZ USz+AA95kEO6pN4C+Yxicfp/nyhzOggDKfeP+7sMR7JWngKcp7BArguPPT8fvaAJOfYmieieTvgk JD5S4An8eP8BGvwayS69cEQ43wRN0FUC7seG6UGDiGgGFE7x+J9KomwkpA0p0erd4BlF46Y7TvIM 1tOscznnNU+fp55uND5DKqfprU4pZnL0mspJ8zb893g6UVaClPNGC0nIZRYFBuoG07drhlROonnK piAkB5VUABRhAw5RLR4P2py3RkflXKRl8wGQzxirQhUM3jDcdy1VD6A23Ju173YhPvNcdzPCqvRt 55M3FG6fs+K+LuenWKTeQV2Baw7ZWDsn6fmpEBF7/FTthYNTnBgVFvJssKBAugjAPo2Bx/9iUNPQ BXoY6aIzZwRVoXXUyG051fyEIC43ntu6cuNokGiWouL40m47x1i7oxM5T24HEGsRUwrqBpolTMyk JxyK+Q6zJ4F6RuG4DnFCIzmIGHwimF4SU9h+Mkc8HqV23pUqQhJAU7Q8QUHI5CwkKNIQl9ET3LIe uK9V6GBwaPH4DLUOrcO5VCPnaBOV0EPogPahQnfyK4HMG3vE+Gt/qG1wmwj2xgH0SbwWOQTV6xAE RNVY4nRuPv4XRkyQzgzPG09bZoRVkXTBloyvXFBHs1N83dPpnCzF5OtnFI4PKa/ObDWlfLM7QMPP lgAcBURzhCDE9EApg/EWjhLEjE5vIc5+RuE4hIkgkJUkAEVS/4/UsZAc/UjfD88HE1U9fBK0LP9Q bcImKmRyNiUr5bEz29uvO0lnAq1SIIWjiYoFD8XgLGZOUu56agjPTpDBfnADE8yqnZmdDgr/IYX1 eVpFbxxtvCGe1PZBf2+fjbARItHxeBRl+jHOEB58rTb9tltRLJ6m6AjV0+AzTR49DTYBdD6Baclp WhFaxZIWQvt99FOcP/sU9gnl0SzN1gQXAp5PKP2bZoh0C1aIVqHj26DAlgZhenrrdoqfvwWPR/Iq +2vXoeM590WrrLAsTlowII12OI3iFbo8W9qWBCdct5qlMBbvZreopjBHiwkKjLY2uGI4xUG/Y/zQ ZhuGHsXqcuQTThh8TVRTkRWX0JkwTpG11Lk/cdkw/68Fz0dIOr/sKBcAUZlZbs6yolicDp0gEDtn A4hci50W9YVki4f2peYphqtIdG1I1XcN/bYEF3ToZ0Lno3NT96r8poBcSzulDNDYjZ3WT8P3BGXx raoTO8EchKTLkEfSclp8PJIZ/Udo/EzySLfgu6JQnMYhYMj7cppEGKuQ2UxsBWVsF8laIXBcJkjT rEhmv31gBKsn/Uc/o8O+CjpccqBCinFSrQ0rrBsTJHvhX2gItQlZ0OgVJEYmSEEKCgY0a6NYfN6g gCJZcHWY6xKDVxSKT2rWSz5CAPvKbQcr+SRfvElSWTLjwBUxOZPYmUN2GRo9FC/nGMJMsTCOgIc1 J2TW+G95+2C3XUzP1CsHRTqqrZVMUUgauzTzpwFUlivqTHad+IS/auMIlYCI3U+ZbkXROGGSaOFY +HT+ZYSgWtNlSxXywTVVobJKl2nnVpzJ0aYqT0wRpFYAwkjQVyZno29kOI3Gwit72lISldlXBFdZ 5JqXTkw0B2XBSB5UVSmd7/kX/InW6GCVIQ23eYricXKbxlhGToTGfjcvSlh6NKs9kfSleYqq40mu P91MYftjCltOar1Q06KlDU5fyphBPAbCYrQOqfgTDYKRh71yME8MMPPekn5iuxKTUzt0vJkHp4bH oxvvu3NHi6r9bL0oHGftH3u7OqusXzsb67VsCqtsy1tWiB2XKXzvMirj6LzXcQKADX1WVFUmyF88 oqDnA39T3JWLcDpBVZBl4Yt+g2A8yIIkMxtw1ZrBxErF48FR/kQGEpGsJ8m7ZKkV8zkL85buutqQ +rIWJ6zMrXk3COal/HhYH1dTquG29tGrvmn2taU/Pk9SV4a8TQ2rQp/pfImvBTApvnKAHherRQIr HHhCJdzwST4Hg+8ZQQu+G+Zk8eVU+731YrAKq06yRKe2inC+FH/6anOW5kLtYUSuJWX0aI229SAX tuBKwvp4B3RIW29Q0w/K4YVyGBZGUTF6RSG5+pyAnvmQLK/LqZl9fMXj7zPFlv699qTk16+09o5i cipTnK1RXKunOaoVe6+6c/WCHQWjqB0yOu1saiZ+2IZfewjuzywBhI4lhQYS5ZKREdE6PjEXvrUV GaLvKCpvNDVNSmHOkNU5KeDosOp1wlo+HtTqqodRIi+cD5sXXbDfo3I8xUodrGKsAnX5LQ2HlEeb NB+jpH0or2J33lAFqoxHqh3HM4zzqGlfITzO8gKcvRel2nE0jXzvPNoj7BBELv5drcsHq5Cfy1Dm SJBq3+9hOcThvKbJ4wiRJt7M5ikEkbOFt7JZxSMuvNpPK125w8aOA+cpjspVydzjGf0sx94bmxVN EF0QTDN7AdsalmuoQXnjvNANEd8TTJPMlwfRdBzEA2qoSihKSAOPRwIr38z8DPMhAGVsmkI651D3 oF0F8uxglSzbVp1PNBzjNIVglWpKyGYE1MtTqpu18TzHNMFlYMkAqMo0CGdEgfOqn+TAF+GLIiEa pnkq2XHQ5CAEkd3GxnKK4CrtZnkKI/rPRLHG5ilEkFNfpZTpIn61XVrZCcpcWbtBJUnzFCLIhc5c yRoK6cleJmg+Izezbjl/hpEUz4QhHc3nT3u0M0HGwdf8pp0JUDULUCeYFgoUx4XkMUaeG89HOIx/ SIoAkJeb5O0oIq9NqirJpwlOBl6py8Z7OadTcymxHaJVlOTJmsNGK0Dh2E5dPCDUN5vkxs7hRBYG JASoxir1Aly3+JqojcDURXrRxaFDoOA02TXCfxyPB/HTVS8o0mLFbZeuxO+O8Crsug1QvYwJtGg5 JO/SbSwqVDTdu3RHEfkQhvXksvuOtpw6C3Wd0Ewc44uwcWDrJ2VjQc3HNllNOV7TG0ciWaTdTSpG S9TaltPwQt3i438leEVN0YzS7w3JdyixQoYL+1hGLNvVKFOlXG06oKKbaIo7RqyIkZAUknN0YA9k aOCKS49XaLFKBDij2g0zAGgYeAePPSp+TxBoUg+joeNhgyYKqhtdIVHi40Hm8q82XYXq24Vh7LBC nsS/qz5NeblvcOmJGR51shoCcU5TDFhRX4oMNxsvEWij2Tk7K5p5taWbkfpSAx4V3yixPfXCv3sm CWRQilc01yA3lH/5wONBbSV9n05oduy67+kUBeNAq1Kc/XJes5t2ww7ey+Ob+nHcdRFYZRo6rKo/ JcCwFlPCQd4mqQiQJ85NZRiG4UDloitb3d+c4Gx8TxRiyr1l3iEpxARjjaFTmQOPRwp+3ywgAqZW ucK+Agi9fi0pp5NZKxPhxTSUYJW+GHouFgmT8YDU13mPMkVvKZoFjk5vOb/ZQlWZlZWzYJM87xrN 5isAT9BDhgmLDIH8pQPiayECCqh3ZOhQp+UphTx0SIqGtVl+RARl9dyFtE4golJ/3G5SSOzsMr+l jqgMFdGYICVh7KTyHbafFw6oeRHMl1RDqpymOF48xrkkMuJucBIKwm7CoBaI0+CFnR8DP45TFhmV 45t+0RpF6YqWXInXJfPgEzfKuLxvWihFgfnu//A3IIy552We0zc8eA4ss/m4chYxhNlEb9PRdWk5 2CCnEEeu1dXqfMZntgClg1QsVKK2LBJACUIfpqAJx+qwKyKzfIBvCmZLPtSTTFiooP0wiKoTS5Tn 8phj8QOCgKp4qMDjDbI0T0ePQXeME5jXrBT/bC77AEkcB9ihgGCTFVp0qq3ADMxHT4zhC9iHipzw 4qhDRU7AikCJRnAO/XBL+OSakKIQ3eTFMjW05F6gYkudUvABj5fP/wWxY9cUppXz0WPLKYrSk/yo m1luEBGcHWQnDbtJS/g9fB9GxXOXJJ9WRZi3ilCAR1xwLyVwc4NYllWYwm+FdYffxvWz2GzVS0fd GDWDGTdwUD6z9vk8qbSOzOeDhKZ834W5sM2Y876zFZfPN4VXkkke9bV2chEtSZDh1JqwILVTPlYm V1999mf05O/sMtgEJWoeZYKtmPyRvFxofA7PWYll0DpI3xTBElkYlg9VHtaWPhcRZAqSHfd8PvIR /jevqT97fa2sUH0F0TI0UbYDE2VdsonUaCY4Bmm14XMVRezGV8ARdUfbhtA6gkoU7ZYBt6KDH65C /DCVRC1UyOyE5/LgN/3mkVcaQ1EOVngBQYTZYK2Zz0fc2H9Y6eW86E63IZrT7xoslfxnXoeVbAlR GTsFbQs1WIr74aSwkC5RkVpTuqMmK6Gcd86kUmjLAe3FLluOzLbQBsYOKaedWTCG1UtH6kedeGA2 sRL1Pbn3CmTQiTk8/0s+/1dXlM3j87fvuZ+5CiP3SdR0z1cmql5sy0ljHduyZ3MLuBQJsdTkUnbt jp4KnssUnQcjeJxPZqMLagzthzqeZAA7RlFeVCl2DyJNL83hg9bVSSfF4ccm5/PBHrz+ACJoD/oa ricuDavpm632ui6ck+q08svblvw0amD4ZEXldDvf2xKBgaOzYRJYspu4143CVBty0K1otmyAYBEZ O1OPXGJ8UVCu0oGFLpgNAirSslUKdXny+Shz9hieQUaF++k1xsmhpSeRMSAkWYsGlbfk9c86Xfue outmVRmCXBSS7qIyC0fPnEHYgykEtW4nSDGqWHW84rwEK3PGad3eOdKGkCgEGxDNnR7hD4ZNyGN+ TD4fZYX9a6om3AXnpcnm0NWzMWqorbhklGFeaKa76vpxf++5faoipAuLsMDk731Hw+YDLXdiECmQ bZKNZZEKcQ7g3H8oN2LKf4Qr5tjVU5pa6rhz0Ey1tOT8eDK4yueD0L3kr5mCAsY5sG4wGtt6klG8 CaZUAu0F0VqNNFugn8xCE2/BwNcTnWQRiCwY3U8wimY4rtdlfvFlaFY7lUhPoEvhgn6nqjR7599U EqtkoyrDad58A+ccBW9THXz+T+9hzAo7NP1ZVFFhfclFaD8VvuwVmao6NfW1Vr5WjKG3J49n0EhF muWoqRpw/q4k20PsZ8Eyy5pfMMwBrWxDw8hMhEazV462H6L2c5EmH4TFS30301BGPhiYeyJc+O6R kni96jNToVAiiw10JTWN923NLdSutteu6mOCGth7XtHbNVUM5Wgn1dkbhYIz2H55QSfYauvn08bE LYCzwqpX7N/xiyIYB/HCnVPVezGcQaFEh2D6Y/P5oJ18jdFVDO0AA147xsDfE8UBzo+E/6pUE020 7WwL33/Qsyq+/8KIfZqTie3D3B8B85MAQm0RETsMLEs2ZDUoYpMWhXNfrUSEs/qi31B5vRChwEGV PiBFpUd2PojP/yWkTPGDiXLvJYTmwOITFVHKjkxDt8BXaDqpH7BDSwXHuF6DocenuQiBM3RHCxUS IJ8V7Tnol8IULEl3pFGcm7YB1MS3at/ylw4CK5KtijwEisMk0YzIlcA6WDrw+eBYz9/JDZrb69z7 d65CiZYp3EueNldLbCLM1ZDr7qQAQnGbz8Dn89YYzg57Rk+bx8kCR6cDDPwZd+kCWHeGYkn6J9uN 0lvxl/6VQVvZ5+JgcRX6sOKnpcrnI2pD/p4rJOE4bHyuwnh9MFOWWAQBsns7H7t0xw5D2G34XIWl 9mU+espxOHo9pp2tPrG1GILSqgrrakKpLleczXXlfUFCvdlLBy4Cm4lgElkmOVkGNXOtisoSQ+D3 afTqi+lASXJBGcDnKoSjs8uV63JfVN24xJ2ZVcXCzzSdHJpjw89tApsCLXC0dXUi3Qr7PJpInVR8 03Pp7MGNEmpBxa5Ah+QSsKa9dCTuKicBIs84aF0V1OCMPLP5fJDcPOUYZs3yzcKFYdMV+n7SS3hI DZzTVdUJpG49Kx10292o0mi6QuNP84IZWfYUHB3bkeHDJT8BCGey/c56DEt+Jtj11e1q9tKRj4dq DEUOaMUs9U7yn+Q2erZm5fORkuJ3eMVGB7RQfK7CijtlzdcyKSkkhcYOZZxONxgcgHveuYpi9imJ 2z7Nonhe4nE5N1SpKXFpnVhitU0cDHSQcbyD4JTXxTRmkm74TZFqyxCXtvugbTjPtpbnyZl4Ph/k N/X7yIL4++qPyXzs/Ll4/6UyfBu24fbE4l6ym9N4fvDICqw/oSGhuSqKHjh6vX2gYIVCFep8Z/Nt aUgkGIbkgkiwNB5ZqorWZC8d1fl4Fa5ueD2Uy7mwClRk1Z1Ymx8QwYa+UeoEoaZ89TZyYP+J7gQt d7ULIS81GRqx0ifVGFZF0y7eywn8Py/Cqi/xHzh6jWEDEI6aC4xSB5QY2MuZxMF1sLIKIkm7DFP1 lw5gDFVw2WmDqSpClCcNERyz3jSiG128bLNtOOvTKAwtQMlMBDz6ulh1j90BAUqWOvfs8MYceIBe IvKbPi70p09OIEedCVkC3Zwp4z2J/mDR3VYW3QVybAKald+wtUoUt8owZzcPaZidFHryAyIl4fVf kQOEHO5chT6gMkbbpmiO02sWx8ZU9wFVN93mKgbHiKw9JOUiy0zNVcuoJXbSImFagQo8MVYMlEAv hg2vF6+sIhMagbJjDCGH5YPADCf86dLY6rXx+Whdla88Z0qQ65mqKHhXRWbJ3qMh6C/FfZqy9MxQ 5ztZ3PKpCuUVzaJqi9/O0XFELHUCR0sV714NwoZCKbR8FgwRrtLUmvbKAeyDq4qGDjZY7Yqm7Zqp zecjpyZfVHIUGFjZF9KQQzNQdgaGPFqF/Bj5AcjU6QAZ1Ohsqv4yIdrmpbfTLcmg6ZWaQa7g1ih3 sNYX6aN1CE3hTZxskxViZEhvb51ZdEMThk3BE/GCqMqgIelNo/T5ilSTl8wiX35uwih470QTFfnv 8p/TdiOkuZ5SA3F3zJ8DR1D0B4W3MjgfR5uscx6midVLfFpBzUlqSicxgZp2+YEBpcVXiJj0PQEC hHDQvqmqxEFFmWUNfqCxM58PDqt/LEEz6gV7P32J0BQ0ZePcuqL3OZZ8C65uNnPQh0fKqakKY3ep BzZxsTTeACvTkFd6lAWIUJYaMuP9BLXqAiESVCiJUSv20r+B/EEN0ZC0rhpuPwtGa+fzfzW8mmo4 PxQvsrkKnUFnqQ8pmSd7b1ewWixT9nB69Vp7bA1q1hVt5Tv6cYWkZzYq3PaEFNTsoNe5XDtqIg2d PHdW7/bKv1VlWnoGHewtnQOdMBCW2gNvUITt32gGsEkXWKY+U1HYTqowSrhXAT0lW1VlEHApGC30 1LSqAnfQ7FUZGo36+LAAU2e7EhCsc5QYODKBCjCILkKf4jLeu71zAGYQVE3yePXK4+XzOYsr+QTw m8//5RoulRNcEE82GBuEUgW9lOoq6FW4NTRw2kxfyGwjvefQIdQWVUsS+eboJJsJlxhsbWIZzrxU FRqouIA99FMgImNN1K5mV2gSOmQhs0VvowGbTApB7RVKpvXMD4jMVD3FKQIVicyR70UYWoWKX1pY J1NvMHtvsKWdfBPSktPmK3Ymsvma646ePaOPBpFNxO1nYnYnEhBII2DWgCHNaES6FJU69IFbKIjd 6uMUa6iuHza9gAGomzcKynL8gGgffseieJk56zNZYdxOM5ldhpvJbK86VBwn21kk45YaAr/QZ3Gt Ue/oVawzKQBgy5xzJuoLS7eLHV+ANDKjBglS4azjN/2mIFQHUQ0VnHHmJWeqwdIl4aakyQ/4/yh3 0e83Xw2hHLiGAs6QgScY20rJEBxelj9v0SVQxhqAI9tk/aH60ouVs8r2JKcAurhhUYOVNdD7l1Zl Vv7csM6A+fdSMlWpcmAcmojfx3GVig/GkqiJamP4dSufj+gk3ksVIxeTe31AcmgdOqZcesfF9vFX VclPnGZg+3K55dHAOxQ6qqphqT6l0Ut+ZxG0TSQkcDIAklR16FHlSbMLP/oQb7q9dHQZsu+8tpDb QGRIymQh9ycUNHFhhej2f0BFWJlzjOc2DOvuRWyJtW2y2vKFVcrO1qMg7NonK47dHUyU7uiTdZZS x2HM+ig6zfJvoLV7boVVhnTN1Ahm5DcFu1B+jz11H9SjgHClBFHPMuXzUUDqJzzDjHMVrf3UkkML USLLBryWDFNkJkWbIs0U3Gc/Ne27CcPQfZvHhQGw6gVgoVg8iBZmPJqANBFolBx6xF8nM6sPAEt1 99BEVA5YZbAFzUFUynkCW97B8Ffi80E8Wv7Bb5cER55+8+fQRhRVI1yAKxlabdC1mpNVmTxxsjp7 wZqs34Vg0Iddd+Rk1Q2o0uyNeOR81vKgzWtG5QcHprhKoB7rxMrJXzqoJNM8ZVJ58uxwPGjtwdR2 lXznnvyAINAavguXKoX/3IWhl+hITJvn8Ewnp2asAHCDpyWFmZVqRu+BmSgkU9ShX9axX/uaFw1g dGme3GGAMM1MFLzBTg7vz6wOgsST9sq/He50T+HQrP2MRNSwasA0BGaiMt+26J0ICEjmQLDRpyoK 3wdNw84l9ZT72nUZL64vBPSogxpCN1GriW5SDWy0RGefTGmRqgKgYhp5E/+Bogr0zyg+COa/csKh ECtwE802V13aOT2zcqZUp3d6DqJDsfkBwU34qICLW59/Zn9g7qGjaBVYZjW3Dtt3XdUlMBa4cKW4 JXsOLEW/bLR3uaPnOijwggNAsbiTBG2RvDbwMxNOT7iJHKuWir1yhABR/9mK7qjWF9X7JsySeBGW xQ/40yvTDvex5rMFo9gd0TK6zsNZ8nRkdGLOdXxa7NFrquKaO+3rky45jbYFE6q9RCiiiJWQmUsz nWJIAI9tetRK8iQle+XgtJIN3ZLOl1soVni4ri2WPBBYoatofmaKp9ygAOGtuAe2oueIAa6hG6mE al+tX4foYbPWAETxNCf2FbX0RkKyGj0tLHmfrUeiPABYpdPUlhCanw31TdIQXG6oVnvnKHBnYLWl pLNdIhUxbzO9oVb5/J+rinFZgqVvfuYqZKPyHhrDhK3xz1kscC+yYJVl5u0/B9ail5ZTm9Vc2iNh dZLLNRcUkE/gXiEPMkmWX4UWO4AVsgnteBllOaG5aCNbHscpWzkgzZs6alJMSGI5P+D9cF//MHcH UZDtBqOBvyj4KdRNly8I6UztZjmNWsEyd5jFuROBweiT5UwzE5vXTKxAyH4C+4HJQu03GbAho05Z EeU1VHa8WV/8pSOxeRJNKlV1MqIyHtELmqVkiZwDP/P5IH2+7k9YQxlcL2h73JwwtBmlnvdYvfps rWw4mXNite6eIWtMz3MCn1GsUnUoipX+ypdrbQZ5HicTrbIWqQFkOmMr0Ygbd4oLFe9s7xwUG4os 7Vv2QdsQRhKStjoHJJ+PQKOeErLALk/xlC8Y+fMaYTVv1qe13MPgTLHhQOAVYpJWUAgwmcvX+Kol aYuAMy/DHo5edE9zUYKBWc7G9U6E+4IMzkJPhIIVRo2jYfRrdNVckxDCIT5wnir2eDJgFZ5+maUG MBUL7udKEfkQArwghA/eJ9EMDasgm6fRoL+EuWrDUoP0kn5+QUerBTPUlwAfXa0sjrb1TsAJRUJV Y84lVZOSZmJptipXCD9lhrG0AV6nCA0c4h+VMXddeKSTwA58arbw9NsUARfUbIoE3zsBCm2LNUev 8dR5imaOJyAcvopGSdWRVyUn23IF4ryco9doCquoGzh71DtaiDB5JaH6TMMQ6Dno3Efxh0p5ID1a 5ClPyNdYqgFHpF7EvoPKxjhiWaw6094+r4EUJpg6QJwi/qcI79CQ5Ay9hlFYRZT/LI/YUHGrHnAF s4VRJz/z2OA1iGpX1nKrGKzR9tmE9QlKEgyizklURf1KBIeiKQwRBJsh4HHxLe8zJPR6l7cDBD8l ogAULUse5/vWOb1fIyj8tbT95BRVCzY7GLiao9f46TwFAfwMJIaXiQuZnww1i7I9diCANpChb7TT dMlVKxZztOgJ9ajGGw2lKQSwVNyFJ+eib9857fp8qKeUjHsNnpqf2sWE45zTA93pMtNtwb+GTnx6 fa0jIkLxo2iOXuMm7E/utPNil5PkLlhAKuyrBg7SknxqgzmaJoNWrDpVbpMU1YCM6A+MU/hcs0TJ xoMK6edPa8BxWps0yX81mKNVxZ0kRzdXcGmqJqlQrAgco5o/rxET7ydfSYQYwTQK97sm6TVeag59 WXV5CV3UVglWrXlFiee2I/s1WsIkKUqa6hJrvEwICEuBWEEwR91D+LNBqlci3RUAAN9uTO9eYyVs NwlTdOZ4IO//ZJE/EGUwGACs8vMaKrXbcx/YaZjQjED0fK9m6TVOwqGtq38kNyrIszh9mYql5jiT 3Y79NUpqVw+mi7im0ZFnJOEC9Aci4Lnba5ZQXCo0Oz6RcmOh2wJw8uJfgyT8GtI5yfI0JMxBRscT gvUEJyAX/rwGSXzPe7cx/uzQHd1+cr9WNxsetGaoC+qVUZyCBNVuP5UoW0+ZuODkTsX8ZtQ34+gN BkTFBfDUjvbo2tqdZ4PQE3otAcYcU7zoN/Na2cQ0AS9dNmQuOGQRGU7sOrpqShnaqMEk9eUxEmUs J7F5LdkkvVY1bQETpu7GanW5yXgrUkYlJAH9Ssl3REf3EPevmWpAe1QD0NQrBSh2HEsL9D/29zpy 2LPxErVNx8Xl0QvrtaKJF84ExSLu5WCU0rRgNkg4wln4n9dyJu83P7oZbZMKfHLN7qFkFG13qViW 7Up681rW11ENqQdtoer1zCjc7stKlPkZ754r8BokRwsMeJgiqLWHnLviv6ygxbgajJLe94g7MfzF mVSLD04MWVJqpELcO+8Pe44qUn7Jod51Lt/m8xTF3OxwQTfBoqU+Z552gJ8EyxrG8Ni7DK1onqZJ CgmWx9HTEog4T7Trz390zqI0tgopMC2C10yh0oX3iyelGd9pf9wBzOCWIOrLOQ8TdhGCx469Pu+s v6by3rOiABtZ5QaV76Q/XHSNFO52/QyBlXLMBoGpKvpC+sMQZsE8ydgK8tXljg7xHB1igH3LzeGc tF1aVfD0XbysINpnycn2N36fpq4MN0twMF/BwT3In8DK2nw8iJnEdqBLKYoJlHe82y4KvqmQd37q 6ttupUfAUjKEjYSj7Nsuir4td+st7TvaNCVuPNB3+4lQdm7qIiC5ayfBhRI7KnQu9Jmq3vh916k7 NSQhy0H1gLxE2aBbweed7tfUjn92HRxUFmu9mqb3+Nsw0YNBqMWWs7sjz+xlOFbqQQy/B+DZTvFR rdJbn0ovyny0wqIjD3oIzcAJUABnnJaJErBuy1T5MjycxPVTIgd8cxW0Ezmy8MInkvm8c/382nrO cTQiBiRwbKKiIJywZrQ/kk2UsdaGTCQ8UVll+rZ7j8IhrcquVEp13tFbLVmK6wwwJ7XCeDyRY7LY 2AT/zk5xGil+3pl+2DeC3UEO0wZlvGjXy/1ilPJ5J/o1WWZ8zxPIEqCV2DyFcbhIRqBhGOJg0JIH TfRWzJcOh3g1m4J3nt/XMW5+hRwd/9rP9A8sAdTDS+qVWV9bk/1rnPvUyJHLcZZ+QhSINzu+pWO5 LvZupXPYJd12+/NO8mPa7PNUtA7PuoSGi81TFIkzyhr0pBILhP7xhsxobkB3UlR4CXGeolDcakxz tHrHi9Q/ew3yjuyfA/zBsP3syPPzgKf2Q5dV1wOYXW/8Pk+QKYRUAVlrc1BOn3IJZx2xGQWZgc87 we+fIFMEEPr03ajgPRLHbcdjvKRk0wQBIy+D7/5ICIFAxjJ4FIoP5nWjEDpl491251raTXBq1Pin n09AQpC9CwTNdWHveuP3aZJl2Gwk2HLgsgBIMIvZcO7Dzzu3j8HTd6UJZO+fvm8Z5Z3bh+OJjqtj b6/GzbZs2xHHbfME1JctpzAan7KYaV+jleM6Ov+00QOSGoG9UXHR0pnUYwBW3yD6U6pUUTQ+Cc2f mWXd6Yy4mgiBYYpHMEYUjudbSaGTCMClZfgp/s7pY1RAGY5+rbCypolOohLk72QTVct/3yl97Sql T6aKNl6860kzYdtL6f3V6eiOyu5QZoWOMEBfXm8CjvTzzujDDhAYEVGHDYqe4Cuobt1J3T/vhL5m rFbVCTjFgm/BWNOmKorHcTEiB84+VfBwsAuPbB0DvM5tLZV3Rh8DKFPfV/G2u+gKIIlQPOlVQmfY exR2IrF2AgyFBvCmeqxOKBYw3wl9zdT3ywbEG/Z89CYn3nUtdLPxz3wyyvZ5p/Tx0ttfsSbSpgWd apuqqBQ+WXvaNWWHb+7tdn27z+EFOphHa6reQ3JVF0lNW+uO3i9A7JHIj5oZJJbBHnCdtCjoRJf0 Lz1irar3mDyRQoxgfComBwiXrbqBthY1RiGW+Xmn89lUPxOFtKXjoLCJioNyqXA0n6g5jUd7gvK6 PSiHfr5NVBSUJ3Oga3JUnW5g2RFAnf+3IfjR5/nT4JOJU6oOWrlUqpYgU3YZjm2vHKwpmfMkET6S Ez5QDC28aM/pWj/vTL7/UzXA9bvphKR5iqJyGGejFO658KAkm9WfpKEgz/pkAIx3Gh+3P/fcMOc+ jr6gEmIjnpwDXPtuZI+BpbQooocZs4qvLLHeWXxs0vF4GtRjbJAoUsX3vHcZ8oVGeyUKysduX7ce zihmmjZNYWFcl16/dqKFAhRyc5gmeg2h3GaF8XcGX3uooSWVOzrEHEp2ZVF8H2XxRTJEPusVcVeH NU1Ft+ye5kuvHARRWTza0X1ghFnORc/yK9gL6/NO4OOyH99BFDB1pXrJ4J2/19ywz4SsWTIgKZNY /NK9yXJmvViS907fYyovRRfaJ9vozfE24C1TxR0a53pf5mFEhSAUrwoWlRtl0nj1nb+HHSBUOWBp NnCeYNO9pIs61v680/f4F3/PE66ohSzA5imMycnxWK16jrdL8RLUqNOPpzW6+Yy/c/fwM1vOsnWO c/Rgc88EB7pF3Y0Fd1rleHjBTdwFahKe45WhNw6miadTllBJnlSmVpEExqCy5t37887ca9Jbf44n AImgMOPzFJXHgbljufeag9BywswctufC54Ly4+k9KEfdWjnwNqGufUODDmHjc3ZToOsc4bD1ZTkv w/Vx8lyFAarVVugQ9Xmn7bE4Qt391e6gU5wqKrzBduZrBstpec1ARLQTjqMVYdMUxeT0cB6j7itk RtAej6d5C+SkR9k0vcfkT21FW0qj1VZOLowmIJfTCaRKNs8sCvIP2m2nLwAPQb/vhL0mPQEEmX35 IBzBuRRalpfDGp93vh5C1VG/T3HyQW+v5Z2uh5iLglNtZjfxpQaUYXeSO9GB1WXMqne23pO6FCI3 bfQS1InIYAOCTBhI+5XV5qsgjExKIMNe0kXMgEv7vLP1rPcGijj18TiosnLOmc5fBpYtn3eyHu/k u5qk6wxx2HmPp3e2XnNZayJuLcws0y37plIahpkoYGuiwgq5anRDZD2NNlEnr2jQFETyQn427Z2g SAVVeUrt0buoiyOUi974t4lqKxcfOFHnV01dBfITNH7eqXr4g5/bjiFAZZrgmcs7VQ8LKhOOMpbL ck1CQ9hxaYkglUKM47Lr7p2pR4ATawWAE9zRjydIkebO4+ks3dRNg/789PuHlwWhKXaKt6EXDlK8 yvU0SNPjoPWUzzyxsoL46/NO0muXG8T1xBS68bfzaYqC8bZ5JhF8Jfa1eKBNJgVXvWzSHKTzk6J9 x8y/bJ3mZV/lpAF6wzmXCgAzJ5sprcnylzWJSW4PVbkEBCMt4vNOz7t9qV7oDtIhDsHfA+iQ0Zm0 nLu0fN7Zef/UVlSqwwmZHxhPFI1vurFX6vWJe63SL6LxrHI5Jgo6p7aeohp5Ug2qbbYSNGqi4Kg2 JCbeB5ApbMDySsT0oP1C4TJz8UXJ+PPOzOM88WBqZfqgPxc8jiHxg1E+77w8Bi8XpDKLgmBAf+6C eg/HoXLE82mYzgggK9dFZdOakAj73Jy58c7La+Zzjr/dIGH7gYRBZuQsTno8wUW7J2U4DP0nVIMR vl9wAbOWd1YeMWxcSY3g3kvSqmfJLhrVQMiarxncd2l+RU/QkD+XvSd375w8L4eckGZ7YWWn7p2p 6R5+oF0sP8bDCrlXxnO6o0NVAAeiUSiizI0uPaPMTpQqUc+ltMsym4QXvjPymthegIpTo4WD5qlD 4oa1uoWf8z0a/++GMJzzTnzq2d07He928NJIjuhJktIgVqU+WBXEkIzG39l4T61uu8ipFNcmbaFx x3WUiQDpWVBQYKOT/6ucKEe4r6thZiX1807GQ82sK62jGnoB6V8I+YkmiHwyzxd+3sl4TYKDz0Sh +LPWraq8c/FwkBPUkx3khCx/eKXuBE3Z0uB0QT3vVDxmpwLyqrSk0dKWmZlIE/u025n/utyPHWc4 FS9AyXJIL+qKn3cmHk8oqgVKDLYj8pJ4BiRymiieM/P5YOft8RWQA505xw3I33l4TcSHDFs4jzRX nb6iFkk8Uilrd6KiIrkrm46S7+i9KUB3ILJPCv/IW/jWumm73egFDUioG2JR7uCdhcdYhpostdxB EVQGTEwqLTt/3jl4fM+784gCKrRX8633zsFjSC6H2nTxz1xjlHNrtFX4jxw6aaACVGaMWZEsRFdH haMDoE6uSO9mOq8uQFfFsIbPHQYEIpyoIbncpVd+n6iaaG6xWNDM6Hyz8pthNJulYdPm552C166s N7oXqBIDp3muLN967wy85g4XGW1H6d51Km7+J0Nwa1G2ZhCGheANK+qdgGcFWYIwpCHF0SsrHbaS k6HBAlVtMQo/qwEtf7jQnNA43QrUouDWOwGvuULgYwbiKKiOaiInqqX1eaffsQv4XVnBbzNRtLZ5 CkNyainm4t4WIxGYRwuCvGdzvwac8PRreOfeebaYmZvc0RbUQLG9LyqabnibEBALMQguqNIgcN+/ kryuVw7OcgblWTojWQcOM7vdRbrt5/j6vFPv2tXJ9aMcnha4qGye3mNyR7H2YscSQp/mjikzZzWI UQWj7eq5896Jd0CsZxbG17IjfV2wGPz5TqgJDUisJ3DXVPitFPauCQqd84kNBtkZ78w7Fs1EIzPB LXevh/B5IZ+znRP38068a5dM5hWoszg3eoE2T2GBXIIZZLhbpa67seEUQJOpMCET3HdhgXyoVpAU anL0UPOc2A0iqQjJCYwRUBEJJdYE5mNWT4VVWnkn3TU5FgPBKrDBdqwYlIFE8AWc9PPOuWMb4vZb qLuctlAwPlFRiXx2adVkk605J/Z0YlQbxVF1sNiwiQpjctpZKB/x0RcUbGVYlAJdv58fXgFphosD DEYBrcu3VId3+bwT7lgzYKdFfeF++8LnjB1TXJaT6n3e6XaWS39XyM+/8+0Lv7Pt8BTRPTl1b0wl te3YF87eFy78WzRNcUwu+FOjQ4pGy4XzRtE6M4Q6CV91BwdIWJ9TlDWonm5IrvUUFcghj4YFQDAr B5XqkK6nS+J8Z9q1qwRo0wT66uy3kfBOs2smvQJPxms03vN2jGa/DZeFc17TFIfkyoRFC9No01TG mSqSFCEykk4ULJ2kCQeTQQdKEM0cLYbN83kn2TVJcC9Uqu9g07SWYQ9PoP9559gRMuwZHoNKiJhO iDDaPIUROTLocybdCtSe04TtEEMXVQw6QziWVloMIC+qPI12RzuezgZGoY6Oauc8n9RwRWllUwJz EGtwZbVAEOD3BAyybfKbdxAa4ySKhdWcc/ZtPB7EmQ/MfisoPZnObeC1MCDHdTeLKNOsrKztlZU1 zNYCRHMXlmxRhdzmKU1Vyjl65gLJ/CK5UlQFa5a0aYFP8GD5AFfcBWluvXFw2+ncncaVcqcPqFFT 0BeMt4HHA3xB/gZBAXBLtxbj/0TheKeBU2FTUq6rq3o7uO4riZHoAEgC0Hs4LrFVnErLQJrrAWlO uNVOhC0ddlRUI2eE1ai8iROi3kLdILe1h5TNKU5rvgP/NMCIzTmmbT4ecFt2/grGByu+9wzvUSzO ntvZCFciEVQKzdKJiZkRs0w3i89SBFjByuPssF6g0cp0HWftlvJmA3m6aNNNXHC9kLqB2NnKBTiJ 8T1BzpIFzaRoAQdN0xxV3rTAbuHxv8hkkwnzAlLxksnCUJwpy+7pdhGGSRvhbCrFQyeUqXiG96g6 3k0UMbV0R9tzMP86iQYX09l+YEolCzExQ9DsKfTXsWqB6GRRKC7BgqTyUyIzRvLc5yUTN/pJUMun h+zN+R0TsFs6IaphMxXyNyvVlIf1NiGexc4weNKpmfxYk+gqg/EeBePTpFzT2ne0mSqwtpqo7YIG tGEmRXIiTD9O0gIOdmtP5ZcnM77otyy4bWIQEYf9CG4Pp9JJ/DmcG/kBwVTtb7wKOkmQGPGZilic JKhCP9uVs1Lz8Olkd9lLdQNobc1UjFdR1TtJsoejN84hCA3fbJzjkL6QYBQEwiB5ObT7r25WtjcO 4oKUJEOjYLOYtEpLee7KkiTk/vF8cOENL6wkLj8KfXu5oIfROC7lc3B0B0fLR4Sg30kvPc2T0Kyd nxSsKIsH7EDP6ZZ+T2AANTZyXAbEdVMn0PycuJivBA1Joh79jKpZrxyUCwrlNjt7U4g4fngY1nNX ZHouAoGy8fyfe08RRcOde0+psEiOltI5QBAg/IexOfpqLKzgskU4gI1xjqmiClQPA3IVfynL6qND e4BT38AcoHt3ok41hWtnoRiiTaxxP8qkU68c7T1OUaEGRoWWvDwAQMIqnf+cMOLrUUyebvuc+xQf l9o9pd5j8rOSxcMnq0BCm5BVRTUKwk+NgTiSAUp/8TyPQCuGqRu99Tt6aeUkkNDzoC4peneLvhRN NjEFZE/4rl4DFOqS9qhMrnsPAuY+ENwDrMSSJ/v5w/F4VDKYX/ME3+JZLp61R0hyKhGdDUc866b6 zJpWglpsoZ7FBVomHYg6P+nXe28OCdZNFX8r2wln351EGeHBTCWht84Vtem+QMHNVpm8GJT8TBe+ KAqjltSMhg8qrZwPVh8PnU88HmE0L0WYJYOz8CcwizZRYVDeeJhTEY+OCzR4kHv2HjZ9rbRE5Rls vahKvhykKQDwlLoQq+QF7lVnC1YKt8IeWg7HwEMBzYri70LjTTXNQfZrj3AryoIbcCM2qEpegfkw 64X56SG1s3lNU7WVsxgmHWLEOI/Ccki0nTfrY1sk1Xt1BYxcp7cTmJeJch5VyedWllcMb1Aumxo8 J0TmgottGKsKgsezakF8Fa0Fp7pQOfozorg8SyfE2p7dopAK6kl2S7n8GWFcfsGsxdCdqBr4ghpR YE5hS2C4/Nbbrbru08RnSikECB2bp7hITtDOMgbsehiwkHA7WUsjmnWDhzdVJBfGJVEoCjp7Lr2G Tgq+KKIKc4ZGUnXlrNthpScEhIw4G2YqrJLfjieT56E7wesGIwaSi+1SjMUxsxSNUIaaLbu7OMyB bKaiMrmAOmdFKoU5I+MUQaEgZyugZiOnc1hbFDgkkGUbxTDks4CuGr4mgLNyQcGSV0NVV+D84lkw zfPFHY9HXZd/AGOgL5dbNRghcKULuHKpePAltH6nsiiho3nm4oAaUVwOlTACxczKUb7Ag+p0q6+G ngiiKMQCW6IZrTMcPmEHkL4eGUCiCl/zG2WxmZ/qxYuh7NokyA3JwxGWyOv38YQbZT7s/BHF5IIZ lHmlHgohV3Kq39cZDYU7W0tRTC4nppHyzne00wl9qc2DmkQ8KK1IfgaBy8rXcFY8YYoo4Xt+E6BZ i2DWRQFrFZNOlieBNxjo4vloNX2Lq4B9CykTn6cwJk+CiGVnTpFnaZyEOX3PzelwjBHF5MtPJ2V7 xpU3StBA55d7bq6TcE8ZaKchhZ6zMPbF/NJVAl8TZMPSkO7rDlxNZ1evsqUbNiYej46m7z3HXHg/ Z3gIId9mmnrlVVpzhfKeuxXIGxI0m6UIQm62qcmwdRy94XI23TnF2TqfZ3vMrDZ7BUXzTCCk88oF kCOOx9f81r6bclSYdGnnnptn75K8irsVjwex07qzxDgJ1i/pNs5HVCBvPMCzdwxQiNy3j+All1Z2 db3tERXImWCyT+ojgzg6T6ATcpJ5JnhnmyDKZEETHgUnkWGlJd15QkCF74lCJ5xNAFJx6Ia1rEC5 92wq7h3P/0VwYZUP6PGZL/11hNE4/XjLME4+nALG9SLEO4kmDOi/KgYjjMbNW69aY7he5doyTjpf WWyBEs0Gy0Vzk0jphFM6/qO77xhkjjAaJ2ExiSecaP7G3g480JqA62f5LnxAUDO4GAOlO2fi10Wz jjAcrzRfcuh4poSFRU+D5iA6n0Bf1UyFNXLpq/ahNiZHm6mC/ZSBsAa5DOSWIeOXLJ1pQDI3627y UdBBHlM7KZY5SIHFHaSmJRSXZ6PIxDjzheeDibqKD1n/MbjCt+sywzI57YRGe1T7CiUz0TyXtD/r dVSvZoY3Y2FDxeNb3SkJi6tM3rndChXg5kngeFtg88EnbGGNAJ54m3jkCs8QtFJE6hTIp5nS1Mmv sb9tbVU8Hi2o8bWgcELQ3samKQrHCbNBJ6kaFiPL9BqJ8ElQleqdJIoazpymsE6eBM/Ms93RilCL 8wyxZVgCVAh4iVzG2n4pTMZpRa9EmPYJMyR2slLQkrSykrsnTDgbqG53fmA8/hfGgIJRIL+bFDMn KorGs7jnlMIWA7YbDZ1scavWoaFgUgYzpHUaXSqr/svR4WJt4YKjNsbGl8kyoHGPoRQFiXtWygXu oebDjCvlkjjayQeLDQqLZiRVVzweaYjc9hRf75yNG4KCNlFRQM7fFiIATnQZy4QeYKTp0j00/baJ CgvlJj/OLr6NXtYsC/xzkfRRy8wiJmQ0m4gkhyfcdZVdeuFgmtoSCiM7GEPYHtjSTwYHqUw8HmAM LoGD0hhpQ1T0FlZmFJEDvQdusEfkUz4gqhdIxJ2dF6wATVMUkQ8p96w+0h19PaVxzvEpAfLdUQKR 4WA7txvt9oqCA3WF6eA1Q1ZnksCRasDLIGlQ1E3U5z/XZ694POh29u9CHUqgc9zS7wwDcrLLes5e VmnZaS4nvjXxaHSYtrklzAiyIi8cnEvjjhcDlUDb4GqaJwcaTYaE5GgvFPAI2bMQinafMwrIa1fh lxFnLbZkzwmaNt/8B0EnHv+LSs3bDl2uDWV4m6YIskLBS+gXXHj0aj5NEOf3UBM2F5qmqEIOHh82 W1dOwtGrKqvAPwJafrDRy2SLatMNICGAK01X4IiQdnzPb0K+Y3Ub5E1MvVq1XHoZfM2/0jtpFkBE uzzXXUjqpMIRGJEWP7XLmlKxV8tpovCgXRdqHmrX7SIDvS14m2RYV6cTZhapM6Usm88tHitu0NT7 00jYeuNfm3hmYTkcUdc2ACWqAG8+HkHFvPbkLS30/O62izArUqs9kc51zVvDIXWLiEcT/R+Wuswo InfooVlqcPS+8OjQkgU8ZABztrN0xZq6nmgWIQi5PJdprxyAo0XAkzY7PIGEcobhWVFDDGAPPB+B oL6beBCW6V9hZkjrJFaspHKdzVJyNnVKfRhopaB2oImKpVZE61Tjxcz3lJqekHxSmIaSNPMEUhKz g4PXiWJAPUeE6PO0it446iMQK4bGgw36e1EdasZX7Hg8Avd869SBCDofcv6KonEFBWy263wa9CFk 9DRrv+eTLMETPykIM5W37CqpFY62ngbtShuNEU6IufKQ/C+TjpkpS+EoA5MwWGFxPEm4Zw8fBO2B BI5YU2fh4vGI1fk9S4iFJo1QNUthbbwXkfCuijbqizZLuzTLg3Eq2yyFwbjAhAsb2Ec7nTJEolfT KT5QYFF2dz5ffJUfWC64BzGrTyvWPGTKssXs3Kiv6RSH5gkD8/MDFTwfIeouB48knPM/znL1jVao Nk5q/m63sLKlyEqJlZmsmokKkq+mGLWipMVIi05EZf2pJsT1FK1Hk8JDTBgW8vT6oUbujZ3WT8P3 BKVxraNMU6CZTZ/knHkIRBggnFgfj/8pp229rJ/xdINXFIqz1z8Q1N5q5vZ5QgDkdTqIhjEqWCGp U+vpJMvrjraeNhzM+jLu64miq8jUCcT6CVG/vR99XwLqVlwbH04BwoCODOtPpRW2rLGzIV0bxeJP bscOO2zcUdT0U3yFwuOU0YTEmyPtuxuYlUxvLBQLUNmxssoKSZ0KwueQhRJH73IixGzAbkN5BT34 IWM8HWk02zsxyAPumXrloFQnVF1vku6pRECxrnLif6L0IW9UJz4hCqE8cXHU706XlLCigJy0gN7n krYK/tndiiQNI1O1MgA+01SFMiuaqmaG6RytAgUC+0rQF4FiDLoGsnqbU+QNBPsre+JSEkXaV4Ra kTx7k5Zmcy1NVA5KZp3yJC58z0ju/xumCVPqCQymzVMsQT5ZdLI2S55S1GNNc2c71mHz5onLCiNy ccmRv93R87tzLXALEmEwTj5MNOv5GyeDcTS56dQtJEbWnRfKrHTmLG2pP7mM5FKAbxNr6sQkeDy4 8/Y3ihzQrLVvkXxFETlJuie8ZIInd41kuDokAwYwgD+3ocVWiCLXOprVEOL1OumeP6BXeKAN1lVg AksbdUwg5GgomrWIqxNiBXkWvihKXThBlYbWyIRlbLNRRqO0y0ChboVF8itvpHTwZOLYvjZPIYpc MiujuQqUbFVNZqW6AhuNQKVC/leN3IT/x5fw//m/bTfwrlCoQ8RdrEZO8CB9lPMlwBZ6FeOLIhg5 K3Qiu3DQvoNHElkFuHrweCRq7+cTz3Jc5jnVK866who5bU5Hzc5LyHVeIajqZsOVHVDNVBiSy+W0 N1GrOd4eXmoVxDzVyHtR+ZOmzT+MPX8KpTEMSE7t6BWKkVcqZSV5cSUrxZ9MC7Y5rNSdKBmPRytq fqUuFPU7kYxP1I6C8kF1VsjN+5KiKIcUjujJzona1yVhRyXyIe8fYnx9fJZUKedyoTprWieinOJU L1JcCHJJ/VZX5I2+o7C8yVMqs2SHDSxXiAIBPMn91snHIz7Qt1AdSCMUibV5Cnmd1Gjcdd4aVL0V TUgvWriZVrWtt2OpFRe1n3f0K2+C0gfmFeHjqaoxU1E/oGj74AlzrzwaJewQTM6woFVBf2t3ncgB EoeOnZML7/e4PKmW/8SbgIcMiJ7aRIVxObUxlrzQO++8eqWg1H5h6ffKbO84LleNfKqfwtHPchxL Z/k02d9NKm7TVBGaD3AdyPh5rr3w0BsH8zS141iF4sB5glVSSqqWp4HHI7GV7/Y5RCXBCvBpCnmd 1DIAftb2HZUqdECdy/1CVtY0pbodQ1a8CPWMNk0FKHjYSpHXWVwxCwJRBEqjXwfklR/lqOngiyJR GoFWJJlVXTJrA0cs262N9RSBVqjz8sU8T2CeZ4+hdowkT0StZC+uVDo/SstA/hKYqM4P5kSFSPIp VNPSeuLoVQOwyWnhjQOKQtJqoMOOdALe0r6ENLu98K9KBkPWW0ChUzbtXH4n02UBDvV4PB/BMdLX esJLL1BkbJp+Vz7cObuinzQtVKyTUWCl4mi1C29HIfkWUCx5L288xZUNeQdREzBdKG6p+AuzlwWj OKDQXccAhBN8TRBAkYdX176DapqJwGtuwLTweHDdZa/9qmiA6w7lZJumCLWiY5yYUuOXkfRNRZrZ uwsfiiTNaYpQK3bdLcA5fLRpylBVhqIRRdsXmDzcmtQzmGwPI3vBJmSO1/TGEfuVNbrNgJyD5qmc RdsEG1h8PErwvgPyllH6vQH5DlEruG9GdrstdISnKio0PrdpOkcL5UY7PylCrZjN6653tIpmKlDO XCbcUypdlbHp8Mxgq6ZUb+CxR8XvCeLMrMov3YE4aJoW4kvJHCc+HuQt4x8brgoJuOdsCivkDJ5K uXbLpaxh09QJHpGeHwQYNE1xPK7pSavd0e+6vSDSw7vuJC6pS+wBrRGYhkIHZF/cIYW28DW/ifw2 te/abd+Bm7DJUTkvO/B4UFq58muYURRMdr3Vgh3F4mz6jdUJFCf3tfosVXpAmC0QulXacxFeRZHA oNOIjzZLZCquxRP8bL6RDZ1ZqaUHpGxO3HNCRTMJ3qHGShcnXyBdyWYiwixgQ3IxnTWKx6PI6R/7 xJwJhn2k7VMUjDdRllQhp0lQ7VaCknamxB4ARBUQAx/1a9pSd3tGh/acRQqRbljeVNjAT9IWTtqI m6fB1wFaatDekTXQtpcOvN0oR45GPAaoJ9YlZ83zh0jjCLfR5kdEeNb1HWqikEsm352wWACRsjSo WgOjgg1YDLkCKoW0Ra4kOcwAUhiWd5MRUVjO0fK8dNI8EgdITYCIAcEIDapjOMpByWdz2LiLDMvx TREYCpbBG41TaJPzISbCG7hsfuemm1IUme97phMBCH/yjZKUz1ZoFER94kl+lyB2ugdZjtpWIAZr eBiwNacQTS7uFMj4d/R61DnJ4ZFLu+WBcqdic3LPM9bez8ltHnNF1g/wTZGaCC++bCIQgIJS5ej8 9NQFItdu8QOCeCr7bmS5Afo0FIGwyQrr5ptM9OqTdf5ppgm1oMVkKLvR2vTJCt06RS/vuZQ72l6s 4JYUVBc7eMoT9RbuRSnUgHBVwaX0jE8OCil0DGI5qjSGoBxUbTm3IHN5ZH+Dz/+Fs8PPCakEVuJ8 rqIYnUogJ5BazUrnk4Euz622p/sHT9if2zaMaudeRSDD3Uabq3NiAS42yRAa9dyDdD+FgCfOLXT+ 8dN4NpNJjMU3Re4lWFGlSKGNlllMZxC5Mrc5v0Xm80E+U/7J+3gGZQAgfLbC6jljUBjeCG4H7JId WqgkZju05nC4XU5/lM83lTtt9JbMOehPoldEuD7/aN86doU9CvBrpJpBFyF9UwRMJKQFdmI2aGUB cDF03A89H1ib3eyP74eCJyWQbK7CCnqjQ3zzuRqpTJM/Kiv34jJRm/yXro8KggfdiDPpgOfo9al6 su8pKuvm/x8BMA3m8OBLn88swnDqRszNXjqKslhDn8wAOSQ7sZr0887PnPl8xJD1Up4aWLAOgxeQ T1YsxkJMIrWLeRu23LMTGgvz40IxltJ9G4Z19K2qZxr9jpqsDGGLxIYxpHcXVJK3jqxE+Xtgx/Jy aEsh+onfFGFbZA0vETKmsdx7BdGDiWs3Pv+nyxlJshUr6xoqiL/zGrZYVLq9rrBbu4JRFCuU6CYu Q3NhjCRZqosk9md0VNkJdnFqqd9XIDXNXbjAW1gkhVFl2kCK8qVKoWg5GR69svLZJVdDKmOxEjGs Gfl8sAkfjR+2sQYtDvcTOYTl9M5cua9rWSlrb3rn1RvCT3ShbLLCerpFDLU/o9fTAe7fbRKdf6KQ IZ+Ohpv+JDloKQOJ5oQ9UorxRVG5iq6eW4iGbdRl6AsWM1Y4MR6f/8vGi5ojlb6yj2llFMNLkxti Mj/mWpm883Di62ZgoBMiJffPC+w9r0FV2nvf0dYV5Es2xDNooHcury0oTCEIbl6albnktG7v/Bu+ jFAnGzhVsDqWv8k55yefjzQivrs0E06D86qU5tDgs2XJKF8j1LKGi/100WVpqltdxSaHDp82RUT0 +GhlBrDRIEXF/Bn6bVNQYravoVr2Q90RkwDc/soR2EXCwNKddmP6igRU+KCTVFc+H4TuT7FYOrAb wPO7AWOPT8TOM4ndIf2opkpfbalShAT6UYVMM96Dockn/buxmqSNz/HW9054XMzOa4KvsY1uhSy/ 0LYDTiQ2V6XZO0cNLekAVukA0ssgMefvpaoEXAef/6uXzFlBi7WPZ1VFlfU1lDknLzesVreXG4T0 hNj7op+5VlUYuIvf2JIQQhw1VfCv7LSPpexPQT9fvkNlQBv7LN5N5TY5Co1mrxztP1atFo0Zx7rG jOckb2zMnru08vkInXBXlewDADfr9w4MvT45BSC7uoxUpbqeNqD4DAgY4HHjUxVSQrMQ1VPyIxx9 VUHhDggkw+knInRQXF9M3rCyx61gsffBL4oMTgQWpn/HIHxGJayJ8g9X1dh8Pugor++w/URIAARe j93A7RPlAdb3VnIBNxhJ/Zg2PgGU0sbfbfkGDIN2gTyTMB0a7azKC0ijQSHOAc8IcKZRYIed5Rz4 H+ZOF0jVp71zdFjRPmDSV56D8Itrrc0NiFiQz0eKpf/g0FECBjjgzlVIDZ0MEdSLYDrIeqxZNI55 08HuTpah5ecS37N1ieBytKAdUihI+pA6Q106FYL725m/TZDAmSMq5FvJT2Ws0PRz0jinIXiyQQlO g/ec0HncAFHQvvM/FWSEaH086yoUa6G9z5pj/bid5XDoy7ip8wl7lrujBrafUMgxjJBid45ewcIR lQbvwNXZOxXsrLKWzGQQol/mmg4WhL7pt1sQWkw+WGh1ctamhZUqn/+T4MBEm1626ZmssN6ObKQT BmT8q+z8q1qmMZHBv3Lkfg7MP58EZ+uG4+jZ4Nl63C+0BEcJmf/N2XDA6NUkssy+QKHe7KWDOgOF pUDm88HapktGuIBdZz4fJTjfusEVlPhHgCuH/p+VuM/cDbgIfHptP24nO62WDBCUWVfl2ABUoDMG sj7awsIhfpYVvNBgNdpYLEfmTOxjQTDMeOKysKa9dKSJuwT6bD5oYeE1ly2szeeDBKfsf04sJRnr qY2GPqAESZ+b3LRwKUDq+zANU3FpqAiYtFsOjUCXwT+3pOA52tLqAJ4NIPY7hF8Bl6bCeZciVykq TTw9r2Yv/WuhoVGqEyJv0j86UUczA94zdXz+L1lFnlkQF4Ksgs9VrKvICGtT9p1c5MbiAoO7xFgB kOINtIjNVYhRlwr87AIxcLSlNSBBA0cPghfSOTOoOsFLErBrlLMAL3Z5t+Yv/StMfUpyY7rkxl5F XhcIBSafD0qjV1tRpvPznO+P6XzsBEpd6pGLl0YV4HBdrdKKtXTw59qRFViBYl2p5r5FTONoc5Uh 2dI68fwnb05bHigN5qaouaOS2HhkqTRa/aV/Rw7JaPbENz/TmoMQW+TC2mvzA6LQ/boMENBLo9eE hozPV4iLYfSe1SyE1tQUch0Fv71ca6rCe8LXVmxCZKVkhZscvUdRYa+DcP78R1U+2DziQQo/Jz4a IDg17D5kuZPfFGEZWBWtPpgR9qzn7BNvtmS9aUQ78vtw2j6c7WttheE7KRBzbQ/fl3vzMnW7rnt0 emH4HriCPmq5w1QWx6OyeK6Ns9B7YakBYNAkPklGVWaSFMDSuy0teg3k2BaUlKOZWHWfIG530y0r bQ+RsdfkB0Q6i54VJgsdBoBiPldR0Z22sCefS15rWNvLMhVunir3QUTLaw2hNahh1YE7u+NFfmxc VoPGDFhVSxWsuXFEs1vZ861gWVkmtAZ1pgiPdw7CNAx2D/HXn8ycz//FZmO1j+Jcud6pisL3VonG 5hLAVKFA4Qk0Mjvv11OxQ1MVSi3Sk2FAjfCON9U55wEMnpFAnyuzlOYUgAVZHzrgXdGpNe2VA/SH AtLCDThKdhkzFJe2zdTm81Hw/k3Vwt05+1MWDe1BR2Ktb1RXqz55SLowmb4dJtMc2ZADf1AcVuK5 j/GMF8W3oBbZKVfdE9oITHTmwFWb6pCzlndyss1ViJSppjEsYW/IyTKBXjj++JU16U3/gmQzASSl DWmZT1YUvHesEugY+2TVYkbY55gUjUumaaggMIMOTEKBCTT+n1o6HO9knTV14qwl54FcBWODUMCG tHb5wSlj8RXbSvyeAAfCZTWbJINatdA977lJYgLKIPP56KzycJQ4EFzKe3+tq/fYHdXMpBKf44rW Ni8iolG2g/loZ6Kpihml1qjf5Y5+CcJuAL7YJI40lt6xrmql0xVCHHg4sEhJnFqxlw7W1ZLHHGHs A5PVdFrh15DfTu18Pipire91BfLV/upNhG6hkySbLSEq0ZT3pSknO8QgopD9ZA/tQtV8PodPbXf0 ItZJA8+mHkm2hZUOhkgckRxSwxzc42u13u2VfzvYoWnqgw72BjEhxqKstwd+oaoXPgAQOog8oL4c 2oUS+Y767rg70ASozh9TfFmd6x5XH5dV4BcK0I/KfCX3O3q8UND0UrnvhNmwk5QfH6XXKKSDr73M 927vHCAaaCPe67yDbcGUmM/CHnvz+QhN+11ErgQpzWdRxZroJpDnWnlA8loXp19NdAhOmwVtDi1D vdKwJBLL0SsNCyUe8KqgAI4WfZU4LBcixWVODHEpbl0dr9A1dGwBtCspNxU/DePJhG4jC36w5+AH /DVZhJmevWpI6TtfIVpG6l1zO99msLZBekSW4hlR/9cuJcfeoUa0GcKzc/SSX4NWABr3aD2DEShR hQSG6fmz8BeD/eaiVOrTB+6h0EWQo4wcU/DfKao6i2vIB+EMmR/w1z60KP/EDfNScnPsH0q9yl0N U4vec/Y61hrTtPM6m29KcgIDURxZKvrZbI2v2YIWWEoAxmJDnjta1RC01qlEjXwwM26QMhXWJb8p KJDOb+O5jNOaZxUIAJvyPgiaMj/hT2Yu/mPEIYBw+GxFoTthDWcRmikBkfuud3au/mmVLOqH2GzF +i+apd2e0csNGV4Ji1U/MCOqZMIZUADdg0sDyH8vJ1OfKgdGorrNcGCR98ZB5Yaeud4SbZn5/J+s EjwIzsMoV7Mjh16iqPKj/zkvxo9cH5X9ai+G8Ts///CNGAFmmukGSOVTo4cOaSKpYUi6ZcTDOtZI pMQTLlB3fwg43V76N+rbKLI7Lsm7owvrlywNaHnwA4JM5wKTRb2cwDbcYkNgKAof36TN122y9NuY 5qD7OdSNtrRNVhi/L2v/lXFHn6wTK4Ivg67qSWTSnlvFBmALM7wAYYB93dWA5tY3RYBI1pMH54yD GhUJaSw1ic865fMRxu+b/wZR77Wf8D30FCUW46Rkw8FFq2zHJqP/KXDRucGKQ21jU1GbKyskcPR6 8jnEz93RCLWtCSaHOuHhwgM1Loif1WsTslV7D11FpWVZl1Bry1BrFQYRYryevGPx+SAmrY5a67wP Eyx6+rOwwuL7lu4+besJUd7NJ6tSJZyTBZsmn6w4gOckFQMXlQdclEoCkwVR3Vlv8KVL1GasTKwA 7MafCgqyjqwsiF/gLGqOT2cJIemR2ZL6XpDg31tVvz35AZET5D/wIlzOE7+fzVZoLkqqx6B1nqXR QkHKzej69BDRqQg+cBc1tQu4q8ubjqNm6wRzJwukOTQ10OAJqnPt/A6E4v7M6nBIUlH0ytHxToee uhWWbqv4QWdrVqUvwDYE7qLYhN8FB7CQQWK8MxXi3ZPCduMHUqXYG/ZpVq/4naXRfKYixMyy0ky1 nKemq+G8wJ8BlIgaX+ecX4oDM5tPrFSAwWF54VCUFdiLuuzJkKTlQJaU1chCdEI2ELRF+QHBVfiP JjhMqGa/onE5dBiF+ADVL67lGn0llESvbcRB+M57GSuwGL36VbuZ8km7yiet0DYM2RewfQutMIb7 Gbh+05TcF7KWir3ybziQlkyrAsoyzFrO91lnBN47/ICoV/hPQwe0ZkQ0PlWhfroqfm26XKP0KI2h s12ucVEMWFMVi8QYAItTpdGWFfSvF62zIDRLK0dOZ4OoF1LFn51up5AkT35P0PoSFHIS3cdBKTQM 7aV5nQHECl1Gc/eIQawAKBHuW3IPbEZNQx2+TNevR27k0o7bLkWYqiuA5thnVKJxEPm6o0XuBf5k MxM1uoH/nclUYiAsSc8kKP+47FCt9s4R34RQmcI0h4OmCtBk0sxoDJlDp9F5NWL4XILB7+XG5dBo lKqynVG5jEZBArKwvRB/Irf2KzyUA6dRA9+cVLCqS8jRpmqe0GpC6YEaDANA56IUmvxBIDYhtXIh M0pyQq/RJimdTmVnyogt1Q32yTqZHUK4gh8QVJJT+oquBqGQ11gsB3ajqGhSWXYWV2kaK3v7ufc8 nW3yZISB36jxXdDu2vmOnuPMM0FdyIZ8vqwlmyxJe+APbKjseLdeMJDAcTT/j3qpyDM5AJTA6Kqk nQ2IhnJDaDla/nVh60UKH89shQqOQK3AItx34RKPnppWczs3Z7OspF0YgWaaKIVpmvj8TE/J7xy7 Z2DcfnIvOBU2O9rPVQYVrUwsiAkW72zvHCmnSoZhDB+0Czv4eKrA983n/0SOkvUFreQMeIrN1uc1 uuoAulOBvg53kM5Svl6kpA8XISIYhZP1Glt1CA2IP8+Cq402VRVaT+vsRsJAzk9QBBlJuNUXrk/K Vhg/jvbRr5FVBzaIrZzRtg+cJ5I22d079xCefpmlDjiVZgn9boagEOJd+t+cXyeYokkz8iX0MfWH dqoWrg/oD5FlAhMwkyt+Dar6tRaD6uAdbe+BXgJZHVZjIFclguoJE840bkCQyQQ3YwxEZ/iatzny Fs6QN+u43qw0IZz658LTb3MEukK2OeL+Oq84UYLUFL1GU+chlD+Rmc17QFUXl62lNbfsoQUfp+g1 lsIqml2BgQjhHC1CB3Olo85AssQ+i0dKDec0Psc7DkSUui3slEPkayTVASRiKWEIJTocSAQFxcT9 g/T+8xpG9XTroFkRJ6Xdi8/QaxDVTZ8PDHB3IC/FogRI12UrgVZYfGiGXkOobooXOIp6u6Pts45K wpZu49kaqULgCFkhKp4TqSGUEGyGAMnFt7zPUG7WgddSwiUsg9ETbixunr7O6f0aP/V87Q61iBBp AndrU/QaPeEhrPKT7Q2fIupnKc6Em6elL50uPHT3jfbZVvOl2gKpV8qjINDMVfTTE0nhDGJS3MGU B+iYUgD50k8pG/caOnUZqoIBTmttDgYKhbIYGzXowL8GTv2xY83SJyYmFEmaJuk1burZtBc28zER k8igMKSCyaBAyaNb3vIaNXU3DAF4av8vY9+V5UqOLPnPteSpAy32v7GBmbkjePuFZ87MB6rrVZCR IIQLE3f0SVoL/ELT1usnwBQFfCMXzieXhJ9bdRnCkuTGGkwSqUwVXWoOsDqWGVhPiQgnIJfz5zVi 6o/XWlY96mw2unhpkl7jJZzzdGJdrH6xgn6O2itbVb3p1xZ+JdmqRJPUrd8uViBH22zjXCYQW+gC c0CjQvaixEVLqgHNHd9uTO5eYyVsN1rVdsHWwUIRVL+e6R8k+f+cVdw/r6ESzrM1vk4kgOsnXG81 Sa9hEs5sEk3Tum0GcfklCN7XFdxF2Ui6xNGZrUk6f3++oxfs8snod5NkVTorSfJfJ/6mER3WU2OV 2+JvcuNfY6Su0uSZnZIk/UkEK1sME3Y05LXPE3R+XmMk3i1+bvMsQxRPL0ZN02tlExuO6oPU8LNT KRnAGKt5OWOrM6ahVlxwcEsoD3yQcUePvE/wsBPAUgCclT0Ki0+VMQeGiqXgYMbCpOnzWtXsUnyC 8tmQDhp9oRjr4FflUsoQSI0ut3sqdc4nXeFbt0l6rWh201o/sVC5OnHZqymNnhQGSdhX1is6upcm KZs7T77uPKDmAfRO91VkwDWziHBCJ0xSA/SokTVrqLykFw6O7iXhQZGQCltCdCikZfcPu3X581rK 5E3Vvq63XGgZiDezQDIKtqkacE6L5DfcSsWRGzRyNn2h6f717+S/fuX0VlWZgONFe6J6aUT4hL+I pJtGoRHQFRoEPPbVhFn2ysGmY6hEp3IbVPVFjCq9+Ek1inDP+XJiuoZqF63PbaKikDvJwf5OVCc2 Rwd4ai4Th9zeK5lhzG10mmkyzvOStM4Rfj5/ANIHuyfIAjEnboS0ZmqFAHLh/eJJgcZ37h+2QLWW XvKBMSWOpsGz6SzT9Xmn/iHsTh52c1LgOrxK9ZDpnfnXnSBSpbXbhERofjpp+ljybZckGUXegvec 0MkgZvOBmCVYFJCEAj1wWKELu56gLSIHVmjtWHKyDb4UzFNnZNmGipnDvXnAWijiSNbNx4OoyTQo slUTaBl111MUfavjsiRTxX90d8xqqlkMCObVP3sn/eH1pUJ1stt9R9t45/0hcEMzX1Tgtgz+rC4H 4eOy6jW/oLv65530ZzHsicIqtYamQ9gqqn/MslBRRLclDMDvvvuRlcpa00/xd8YfN4uUdrvfdZvZ uLHe82W993s+vYfg2aQnBnm6PmqaZmMUjqsbWUovTZJyfUAzcC5qVWCarNUyVb789XiC9zoHBKTC dsLQQfovOaEiF8xTzj5PVWJMwCvudfddFIaL8Nfz8AUF1Lilc0Ch2L5bAkthpt7j8GwQjZFne0bv tCQw+ybdChZMWNW/gqAe3DLR10SCZgf5oNXTO90P+1s44Ta3D8p5gUVlGaWelPTzzvZj3uEZHSPT DLQsTLt8osJQXOSx5WLgsH2y0iWIZMkRB93rS+9kv36F+AE9vKMXmBIcURMl9c7OQqlDAvPAYm36 Wnd0RWR6nKWjEMXiremqk6XvRQufJHY1s+fJ+/PO9GMotO+Kko4A2bt3RUXhOG/Ks/SyU0F680Ic CgSXYpTJyEr8pOjKM9yr+c58xQZro1yMIxgNdKhgqYHO+EF6fdCedmGA2fXG7xM16LK2pBq3hGal bsI60Ye4tp2Pv4cGPERupAmJ4d1voemd5If1RE2vybqQMSK39w167m7aN3tyJlYUj6tlPupikUSj bTx0g9PutJ05OTX0J9VaUL8a7a1WrnmYTPveGX6s/OCIWp3riQPXU4H9NNsjtbf8eSf4IYK608Sw MkOxve95Y80oJCfoDRr7yTbeZLVUNFtqpnGi6r5VyzAmFzy/mtt4fdzGT+J+bqQtRsNACaTIWrRR y3KYZYbj9Kf0qaKYXGf5qlpWdXlH6VyVQ86+hGNEQXkuvvGkRI8GNWUBVLmMYnJD6S8TacYJfJW8 Kvmq7NmB9WKlyygm70IMbFPF2Y8qzjk8O5Sjtkije0sbLreJkOf8GKQ1fZWdkBV83ol92ANF1QKd UMtX1MKR2MXxK/nzzutD7pA9hMqSvmQaNbyo8k7swwR3iRKny6+t1YJyMJmcXwusnM3Ue1CevRA+ s2aKozc3T0RItgFRKxCb65YKY96b7rrxHFGsYr7T+m67ILVFD1b4JUlPG83qLEEAkODa553Yh9ug eKrH+gL4Sbt5vPnO68NSrlLhcPtjHLZmzA7GlAVSnc1ZnlLvtD5WDUT6HDrUOfph3gA5h9x9p8ZP GkyecSLjwqOI7Ej1gZ0XvXJUXSGrT24hDdwBYtTAFj0XH6lXJ7T5vJP6utzabFUxCpeX0l1UYWQ+ hRa7RtEr1dusS6V5qS47NPid0NdNZg4K18KNcXQ20YSzSqYYAARRMG2cKQZUoHr8DCSGLshBmZd3 Ph++aLNVrhICB+uY45+nClL1807n4zHn2w93HPyad88eR72z+XANZClwZOcxFPbWleglnlgyse8m HPRO5nuq46vnZ/Qu1MmAC7CCJCBv8GuJLJiA1NKqI6MZZnVf+WO9c/n6dRGTTCqZRDyaIN3Zhdos aLJEkbl7qqimiUNqEbGiaYri8ipC7c6eD5eWrnD63XioHpq8yzuPrz/UmG2Kn/tL8RNiza1Q46zC pmBxydWZuwT7iNQsz2m+9MpBGMUGeRMAozkA44R851jcghfM9Xmn8XHZf3ejQAKYT93gncWHRUgd /ikJZ9UNqs3Tuetms0rUZFuE8/QeliOfl7SLaSulR1vpbFpASBoB+emc2nOIo33+DUDTNOSEeb3b ZtKE9Z3FZ+VbBORz+MB5Qkbck62R/Xkn8fEv/qdGDthZ3p4Rv3P4+JRkwJdvO5mWqZMgRI8y4uYH +XtUDvij5XcKNzl6ngdnTADrlOfttiQJmqAVsFmchB+C53ll6I1/m6azBGjpC2HGIbT0TGOKbj32 /rwT+Hjh3EIUY3gIAY/kbal3/h4rLJSt3PWrArw8IXZhKsDwpy+o97g8yaoEyHTRrDhauHliMNBk hZWGBHdXsaqmDm4FCAwQWrEKC44cvnEUbhJ5WAnm4WA0q7UkLQHRps87e6+L8PAEBlgVPCJsmqKo vHY1yq/zTCnL2nfwava6QUvOnn2n7n1VWAST1mgVlnOGb6gYUNMMKlG1G+gHUkYNILX0heMh8Ped uPdsu12bD4IQZJRqGD+ee+Lzzttj/u+QC8nRQ5Uci8S65VFU3oZMfWeysEB9boUFtLeiiESiujLb 5WFUriSk7vSMtxJ1Uo6zolhfOeHSbAJHNfyryZuU8osmaIac9vPO2kMPbqq+ooJd84JdRn4q1Ztz EXzeSXv9kTM7mRTPcWTC6/Zd3kl7XIWGdco2T5Rc1zxNEg3VDkYCpXmKwSlMh0+UuO9o8wQ7LPRf ME8FtvPD9ABwsw1qydHIqIsplIveOJonNhTA47aB83QujnNvsk7eJh8Ptl1uX9ETbjpII/s0RfE4 o3jYjDu7eK49rfECop0w5I3W7Nx273w9j8PA6da1x9FOJyS9J5ch7eVsqpNuyNB20J35BJs/KF74 Kd6GXjhI8bjdKCVug1bTieKlGYH46/NO1cMhnr1apxQaJ0G+sxTF4qBZ01b2GhZ0K42jWoH9K6Q9 mVpggL6z9G4qDLeofkebpXMuV+jWZYFUFvwtBeM5hxN92TMccw0NRnGtzztHj+VfhuL4EQgiP891 FesWNE9w0adcPu8UPUxz+meegOLJ5R5OUSy+2TnnGe02IdmVKYu8sjBPnVc9V1NUJk8Ewo0xv0bN E5ohQGMmXsGwFlVpBSxKYHg6Xs1DcXSA9MLRNKnom7IP9ucWGdn9oOT1eWfm/Z9QvOOnk34gZ+k9 FD87ZVH8Z3Z3CdllurHDFn2qeg6sWYpK5GlYREC5DI0eOp3z7kQamZJ4fUsuFBHBIsAeNYPeH3wv M5Z3Vh6uVGGgh6PDDDU+z3k3jCvb+ZrRYrrTxKsOfjMt3XmKQvEuhnqa9wgvHjmdm6dPP8IpRMAj PKqQo5nGY7nVOzpaFSZYoO9QLgl1X0oHNsAacXqjrVnaZZlR8+jzzshjSZOagZlqLSO7QfRJe6s4 Gyfa7J93Ph5Divy9nuCsNbandu90PKZ2VPimT55CAgZ4wqssQ4q1kwAXA0G/s/EuDnOIiIiRZ/gk qgdCKqPJgfUETtNU5Cf1zxIKOtg1T0Uz6ZV/Q9GBnsaBkHdOFMT7qDF1Dkq+6F9dvMJXxF8JGwif qhCz0gQ27Nnb56VX5yHM5fx06LzbkgqL5EnZHV0wbfQieYWePSSkwNFDmMADqlWd4bgvak2P70Wx V/6t/DQ6owOaWhMwgKLmlpTV+Wn4fLD59rP5yGWHCSuKFTZVUUDOKYYZiK+qxfiFU3VSL1tVDewc m6qoTD4tKMqGNMgP0gCsaujVcap6ZgRFSAaOcJRXZKl+vbGoevDOxMOvSzMjECZ8UARVBmrlDM53 /rzz8PCeN4JSmZxGa14mf2fh4UuX2gmlOQiabhBUdWu7m5dKm51OkABnhtCV7AWDZ3S4WEebvJAu tZHZ9ySWNa4bIFhww3KehoRzl175fZ6qERAMgIiOhMLwXsW47idH+ryT8Lh5v289CIjCz8hLv+8k vG5aWYPcU8nfyZHpv0wlR1Ro0fA82QZOWyyodw5el36fxH7qHf04By8goaED0nBC85kT1ShYWbCO ivae0NCU3Xrn4DE8IEWjk4N3BnP1TdDDFhjqHGGfdwYeKxv9a6Lw20AwxucpDMmRl0HKTVYgMBFj WJkYRI1s3g0LuDp6N7zz73jaSSVwyUeNoy0osJhqhrgPNh6EO4tMQWij16jPgWzypnhdrxxBfKTg JkHFyhMH75gg5M8F1XP9vNPvGJT7tYfAkv4WorNwnt6Dcm94dl4XImjU655yQkER0hsMsY0s/E6+ Y3gg5Hhrz2hnOWqaUKZbLKysNJUtw3h80SX6rCeAM33jkaPxzr4jZldReeo+qD81YXCuDnqpn3fy HY/k+pXiAcC1YeVj8xQWyIeEftrFrkg1g9iVnV3CLQHZyoP8nXrH8KBZQ3jd8YabJxluyOc63GQT reQQbjbaCQD0BJ6yZcKqrLwz77rLmbZZ7mAVgzZM5+dkl5934p21Ib7PJ0Jgblj+TrzrJhgLfWq7 8E4kvrxioEYPY4PVuzVc3nl3t+GCcLze0eapcO0QgwifhrmblJorIGmTZCWgAL1Qh+D688664zwR 3NOV63VX1YeOUhX/7pyNn3fOHbM1L/xWVcjP/8g33Hzn3HHfydkwe2MqjeqBQamuJnzOp+rzFAJX KBAMhZVntGQY2Txs6hGWgy5e1lClDriks+Vp05xuVK71FBXIO6nUdK6xQZW6E6dNUe7B5Hzn2zmG 98YFEICbJ6jzaYqicmprwGfQIa1New3bLtfuVtobvHBN0x9R+ZBGhkYvaE5wqCfLGGdd7jREbFlE uVeE6EDPOmSs2RsHpRVK2+3C8ImDTVObRJYSB/h5Z9oRMdZvTP5D6ZGfiamzeYph5EWiPq7GubdR OMDbSFZbEWKJtZUW48inKk+i5td2qfnnamipQeKOdPMTI6QiMwv0JenXTZs1ZwbjfMT3BFFBkrCk VO6kjYxaEkCODG1P5rfxeBBmjvYdFaBQt27/roXhOO7nE46X5NPEdczSyiY3Swjpq5nYovq4im/j nBHtjnY6gaEACgIVDM6+69J3xULrKADxl5oPUHPrjQN+i4oqmUypa2uOGGYJAALyJB4P8AX5uy0F vtFcy1dTD4Hk7AaXdtULcr5KDxAOsQoUjQHEAnqPxhGUCKDZCMXQaLsOGiJQdOIhDmu+JYIHpDnB yEeB5RbqxrYXDprBrKmgLeGDFlMCHEabbvPxgOCyv0NMFGPI2rNZikJxMICBe+q+mFC/0SydC4DC wazTbSeU9QiwMkRH7Oqda7Q6HcynT9yXJf1XUJ7TLAH52PniEPfxggHCOHxPkLJk7TmWfaeXfQs8 XgsLIxCIweNBSFAdAqWEeTHS8mkKI3EVoNYoXoCipykjgk28jMAql5rYo+K49VpgtnpH23M04GDS g17w+c1rUS+4ieKCGkq90EPjb/YoEhemriDYwwBsARdRztBIJLhgtoLnAwjU+id2Qklkzu5JcA9J nFX03+rM8iqwCsjSOZtIG3gF22LxHsXiU+rTy9WCKX2v3G6xjQDxKRSQz/y3IT9R6EjDlAGb5Sn9 kt+LL/otCaZjOZllCOeX0rQBjS4UvsbmB0Rs1/V9jsOHIV22a4+4nJQZgBxJsZlK4gYjekrJulUN 6YD1zXsUjQ9lK0RR+OjnOOzXFlyzzjl+zqklwT8wsQG4J3FrlqucxeuuRzVy9TlBpeCAMIndqARl IDYIgDLH89F9988RNf8hJvRfo3Fc1o9iCEEFQP3O7eWnE1p3c3vsIZ9Te69YUZOjZ3eE5+HogboD XffEv0cLHAEmhMboM6czqtorB3GB8Cqbe68tohZY1CwS7k4EoOD5YEHdcgExnTBNSxeI0cMqOZr7 k0aAqKXQQ62qrIKSEA5wADE6GBysP/UwHBewp5vtOkcDHyJo7swwzn8EDntjdRzKGDCaTOTh9Sut QhVIfFG09aoSOyHqNv2xfiTz18ix+Jlw5OtRRJ5uIkz5RKTT6Uaa/T0iR+IihRDyEdn2RFiDWlSt JH5lVuo4UyxA9Sgi3zLjO+t43vESOyHSg4oGldh6kf0A4EtQcMXBUqgRZi4o1CbtUZ0cMQZkL7j3 WjVRIPgTJMkqQwUXjwcFg/pNdoHdyCz9br0ISd47cTWtu9HqWGSTkVpTaB6a6WKPv4VbL0KsdJEV T3A/bGR4zH4C9N4LgGfgmO1R6UECBxREZsDKMkx7oORnuvBFEaSOfRdLiF1Z/sSpgyJjbHzy8b+4 U/I/gXTWhLWtzVQYlCMugup/tdovxXU1U0mC02CEnyOh2d6LauTqnkPT9hkdIn1+9tSSDJs6oAVF 2n7Ec3Zadi2SzqUrRg5sj1ArXQpsq9xBNfLmDECYveHxiHX+b23lbKC5bjNhRGE5bc4G1CgtkpL7 kWQwaivWTIAdmQLOERXJVftFQT/d0TH3wIVRfxRosTNnm6RBhJowDUWSB6NNI7ukam8cdai4lGbL Pog+lYG6l5VJzp8RxuXdA07hzmkisLwGNaLAvBEsZjyzQVRdNRnSOtsSEPHEjMW5LiOqka8mhelq Lb36oA8H6BUN6wZHVGllCX24SBRIjKrmHFd9DRjAzwjpnVIfHTV5EYq770RWfQ8W2wA/wPNBkXzn 75kaRMUuL6+MEEieqfxUqzSegAltXoWaw9kJCKKtOTyiKnlW22VWSbDNqvcgvgeZRpHB8dlo+9wN shbFFhxoQQNL5mYLoBHiawI0a8dRDqdWDSZ92MHwmmr39o7HoyLUdzrcIMdYbtVghMAVKiYXmcfx H4eJtlbAZZyjX8hx5b6L4nKpGI0qZ71BoXLN0okG0MTLnVp+1EWogvcUSjOetYzQ1EMDKPLia37r dnYKgGkw7OH5WJMmGhuPR8fTNwgKMqFfJagRxeSd4r9VxnsUMKdPCNdSn1Y/ANRkWZ43opjctGco luOjn05l4EQqgpCflDGRvwGzprMw6GZC61lxhSepeCOqkPN0QuKDadoblwZjxorfmp2pkUvG83+t JvGxURmbaCjYTIVReWLhYJerIFaunFGX2cKg0pQriI0oKl9TzeBqzjn1cc6BxC9cUui6h+B5i+pR 0EaY+BHWvqBfFgs/I8SQS9sdnUYbhBE7UQY5KFQ+w+N/AXxYc2U6jL6TTVMIIhfCpzYvkZ9lnX2a hmlEAlPBdDjxk94PJ5d7stYLR0/yEAukLlO0BA6VWi6obv7AXPUHmZtDyHEi4muC9dRFLSPkl6hk cxdq7C1LhxKPR/GT33Y8rtELTsmjpxFVyBuP8EzGrQhmrAuKYJaa06ZgnWSzFMXjFhQUO57lP3D+ WxQNzk89mqCskC8uQ9uuQclyFhKD6EyoacLvje/5rZGwVV3ZdKURT7Hk3dlWOFu+4/ngeOo3FeaP eNY87T5tosKAfH6VVCRO7sagpVWDSUMpu5tx3AgDcquuZEtc8lfiAsOJAdkXkPQTSghMXBgKAM9y LruCA8X2HcPMEQbk1KErsvaiZj4tJ/mWmdgtKOIufEBQNagO+xVE+GxjEN1spmLQypaGgXM7F32M FD+l7gqHC6h0zVRYJRfTYNb5jM5ygTlHSi5nsDOdUZDimRUTtDNpPyE7BR3lIblTmpnKYCrEa4kv PlFYMe8qSLvg+ai88g+4DvHORklfMzXDQjnJE2POq92H91b3vFOpghU7Xn9M8masb6hIXALWGq1Q Du3BNRoL5efcbPBF1wyhnAvudsY0ehePdOEZolZoJ9SLPMSLdzsB5p7TyJ0Vjwfz1D3OZM6zzk09 W/fYYEYRuXgJeXoyPJDDW4pXWVH4jxpCoAdqnkJup7qdeSk24Oh1KKCXz5ohfSPttZ0FS6goLGgZ ut1kmCYKM+R2mnnCXD4YCLGZqghEmfD4XzQ8HmjQnqg3hppRPI4bBPfccl4Cqape12xW1zyvU+0w nyGxs6lYsNxFZV85g5MV14WFQmTdWhlCvEDW0QSpQs2j4B8d3UPhhxnWyofsGilrwMFig3M8SCxj r4rHo3m6fTyRh9rPrpfoMqOQHNVwHObLQ/JZ2vxxy5fhvM5+JdhmWCofKvwOpXp5XFeO8/D5sTsE S2FtAkGiJTl8XBwTpUlou1x32aUXjkArJLhUSUZXRtg4kbGKWDE/h+nE4xHPxWODxIoBJF9QX7Fp imJyCGKizOWexXB2vMKZhewXolYgi6Jpiuvk7rW07+ilFVgJNJSk2e8s9K9mJnyiFyqNFgUHagvT yWuGtE72O5uxFoczE04kBp1XTNnJh/B40O+8qQtfrkCD7RYM5u+glQ4HXG07kw4hSpNwcG67vRwE NSPQipw40EZod/TV1Oo5/zbT4AXb+6lKMWjo5yA91xwUrzyEounnjALySoR0E8eMg8ICOABsyWaN gcejSPNK1bEtDHGMfDt5M8Ks0PluZLa6DSKds0OkuzsHnVO3WVwwoyL5ErYn17zvaKupEUeeuZpQ sZO975kmrNFJaaiarszRJJd6RhG5+sJLYivggigihxOycLywtMDjf2FWeLGdaAzFVp+mkNSZaOEs nwTcOSyf2Goas9lqWsNl2mcofSgsBoHUPto0dUTdLIRT5fz8EPJiyhBGXg0FVHRLbith640DCNRS vUDc12HcV9CYAUNjmW7z8Qgq9o3FAGBkImO0aYogK8DqAerXr2jWHi6atbNzy07y5EixGcXjo0qE jQ15G5++MFgDlP3fKPiJlo4SFP9bHCRoVznRhYD7GcXjLalxzm7nhNwXuQmo/NA2BrOYK54P1tPt JMgkIEMl0vPgGZM6RcjfySYKGiJ216WWioFWarpBQSx/yHXUpsh4HL2wgs4THNmJxshIxeSPANbp RH+XRSefp1X0xr82EqaKmtPYWfW8ea9CaJ6Px+N/BZn6b+FIfJHRK4rFExuyUhVSdby5LjvJpJ4I 9271pxWBVoadStl6w/lBssLVtdJMsIPkd0J8Vekq1KIncKS9uUGQKRisELRCoYe10h0E7RmMz/CX t1rxeEDpnN+HEyiac18dqBVF4p0Yg8qcSFLaUtWcdG5apvVfKaOlWQpBK6YQXQ2N8VhIABALESAS qRecqQcXHjzlSBvfP3s6PNOUNFdYGWfgNFRbgZ7szzB02HmSF18/9yae/0uCjZ39kw3+TNzuNk+h 5PgSauWupi0TJUqstKZAofWMqqHmKUStbHXOVak8I8GOWCgj5RNywBeBmV1p0n7KvQNp0DsmOI3H auOsqobv+a1ItxQacBAGCjqyKvqesxSPR4H4jQmkK3bCgXRVe1YUiHdTMOjZawW9XCb1KE5wmZBM Y0ywQlKn5sfc3DQ+l925Q3GhYp7OXjEvnIIi2WT0RoVIiwmIqFsxqbNrJXFdQe+KqWylFjKzyT6g YBuF4v+eThmurBNtaZuoKBQnV/XMaHcnBGt4bho3mTQy1K6SQVZWyOpsEmDr5RltogoECmYnqxPK IJ1OfrlxBeBUh77e6g+4Z+qVo0IdG+ZjX+mQZtTDcwVmYinocYRP+P/ptwAai8TcpyoKxylx3Qdl ErCm+khmtAjJebNCpdmOmbesUGVlWA/FxJHLnSoYmS/6pVNlBdlvkXY0LhD4EJ/Ye2VPWwqti/FF AbxH1YL2DEmhUJtliMbR+Z4RYOyWNKVZDnoZgIU2UyGKXJ2EPsyd8uyT7oaLm6YgQoyl7jMVRuTV 5Hqsttlvpe7cv/BlwY0BxBj/TwIZbCxEemrXMp29UbJuvVBnRfWCLRdi5kmcngE0KTt4KTU8Htx6 V4uccSlAxPvKh6woJAfllTbNDjFgN0QrahDbQNDKSVy2zVMII5fWxc6t3tGRdaOOjqYL2UAnBkq8 GcFBhh4NieiLyDqBVhBm44t+0zeilB7InYAwqa4yJOUMvayKx9933rrUc/mWnFh154vFWFFQ3rsE fx+8vSwlpLNSsuHtB6FdlCP/A7Uy99doW+/8VfvMP3WggGqBirGK5Mh3Ki1v82XBFpzUfOXAj4Sn VJ/5Dtp656SZKgK3wfcMMAa38kvQLTyA0L5/9l5YJSdlccBZXlMFaM6Paa1MZwTV2lz0d4VhuVCa U7JhGr22gswC1sOskiNxpVBbq/K4AXeoUBzDwOQUkV5RXE5DnHoC4OmDCnQnUlPSdyLlisejNXXh GFKcBn9kXHmMHUXmQmvmlDzT6zRmE3eqG6PqW21lh2orW9Y2xsVbX1y8M0nw7NysG+yzuITMR47D 8CAxI74FFrmk7yg2byJ3FgafhTogPKUyfOqE1px8PCIFpa97D8SRBRKAzdN7bA6NbBHLllsmQAfd Er2WHK3ZqXkpmftYbaXpvqv9jhdaN6FbSa1ImAeuQVQrGFmo/qJTUfcNOhWt4ot+bSdIDoqDquSj S79C+u37PTg3SYEL1izgTsGXy+bpd24nzV5V1Syi5fGQku2p/L7dMXDHsbnxz3u6o1cOzgrqYAbx kMrg4nF3gnoOHzbg65I30As9kfE9Ub2OlRWpbl94P7rmBC5wDw48Homwfad6sF4ArManKaR2Diqv OcQexY6a7YDatFdzTT+fphi2YiG5KGYcnSp8fox0wldShfs57ZiWQtGgypT5nEXIZvwsB9ABXxRJ j4plyPoBBx1QHW5oPMs3llMEXGn3gFIgQe65p8Q7BJMziIJl43PnZV9OtP2StpgQwZinkNopkHSW ZadGW04dOkAkQeB4As5gMyStQPtPuFvLMVflOixDfE2UwRBEvqSPgYOlCJ1ZQKmmlmaeG89HeIzv 5YS+OGmGNk2h9uGUykrx0GDn7vW6NaqoQjDhmGaasGPUyjCAwbqjM2BHgrp2EZYcOYfQh5X0TBgC IH1zIQNcQ/iayD+Jh1Kp2wehoPK53kXsPOEjHo+koL47eKxWJpwBNk0RakUE/SXIPXPj3dyEY6Vh XiVn3ySfpgi1MpLAPd10V3oqX4cTjvExBdIEbkwJMSpvk2VG6rOupjSv6Y0jySxVNdvwQfNUT87D lgncVvD4Xzkeg4KM6u+FrexQbqUIZd8vxaxuXXvURXZMXUrbxKB2CFsx+Z4mDBTHy+A4myMDxAn5 HkQIglCDQvMz6Pxcqnfw2KTi9wRxpshTpd5B07SzpCXh7MXHo3KdLyeiYIHu+wJB7SggJz8GWGyf J/tHCrNKS4SKfmTfc57igFyH0ir5jnc5nWstZzYTUCpdRUwXyHf/IFr7QfvYMSt76oV/E4MCedAH XXWIjkVYPNceHo/kQ9LXajq/GFQx7ixFsXgjhHxtw2KCX3kF2BZtwCQGRfdBbroIsSKbLTgkjTv6 Ed5BakoUOUIVajMIAFRMuocdfdnqhueJafAOVVaq5EZL9YFZC7Q1Km+qVubA45Gen2ctZpegONXm SSih1/wu8XTKNVsivFSQotwDPU+VCMOCiEAMYk2CrEVAuSG1bY5GdNlQlgLvCLWVc9JVam9kCEpA kCaDaoEyIVAV9Aja9tIBB7ZI/WBTZps+zaqPoy2l7Q4m7+ZHRNXNa5uA6xF5Zur3zqNefXAJsOGC uhcgKjheitAqJ1WSLNvGii5eYMkpjMktyzMcpioQislnOqtnyDcho/7RCEKE9AeOKOZFbA4be5Ex Ob4pgmRwolRpSdjn0ns4dzO2Nr5z01UpCst3y1+zBVzyD/vKPluhXRD+xL4YHhrEblQrsyRpINGN YzstL6cQTy4n8znV1uTo9SjcS+gRYrZA7O3s/7VCFGxFqaSUfPErtJnmNwWzxQN9TIJbzzWB4Jo5 zPnKqkrLCbj4AUE49VD2f6TLNr+XVlQ5B80YFY9knZhp1iXES/fqKLuJSF8OCik07awqbw6Bfjg6 zG5DFIA+gvPcElDBlIo7tlAmwOKc0vWme/JQSKFv0KI4jUrCHKzYsuXph9Rv8PkIZ1e/Ej7oaE+U 632uohCd2jZjzuruJUua5MTZqSI8iZju2xdWVD2Xit25jFK7o29DKGZM6JVgYc3z4xNk1nRlNlz5 J/nz8FP2xfymYGEVghEBIrBBycw+0aFJto7M5yPu/vpnFxKB88A0cgrr58RpVFK6ST3bEPeTmFYl H5GHFpWM7JAPC+jdgRrP6AQYQHgXwtgT2pR5bsTsphMIP7nOcCBINoNWQvqmKEUWj5G1qdZvBR1Z pcp4dej5yOHsOwwFC3OvK8NCksb7XC02GFRzoQKgygpbNL1xdaJm9rmK4vWpVGXN9Yxe8QTgbuN3 gWke+NdJfEbkBhkqdPjE7Qf8zs1e+jdFLfrR2OBVFzM5gyoLn/+Ln765ss5VvNNjtpRCNZZKOItY shQpZdOOMM5NaA4VDzZUHmwbRlH7kIUSCvF3tOghFyglN5I/zz8WSUrnqkpVB0PoHO+ObSlA5Oil g/SGZ1XpSfJjyeTHYDQrQtqJiRqfj5S4y1eoBTlRuij5XEWBOwG9UH921MYWNFi6m3k79A7Si2bG GEmytCa+VDH9g3JN4aBgPTMjRrA82kzDOOoQ213kqFNj2jCKsqZKoWa5SOpSahvu3FBB4iRvHIiE wueDPVgvrxFvyMysAPHhkxVTQJU0j+ylqjrdGa4LgEe/APbHNVlRMV3i0X1Vc0H/ouyVXjriXUDO zx9xwve9LkD4hPOQq0rlMvZIKsYXRbUqdmaqrD6r+3ScQAKVbP5jnnz+LwFAPIkrHPayPlWhyecU r3i5U8c56ZIr4e++b1WvJZ+qwOXzIjjOctp3tHW1odOXBstV46yxjToYMkLUg8cUz2pem5zW7Z0j qjrX1Wp3EL5sYVkJMzYmn49EIr75slDCXPPSrHJo89mKhJSvZlstuXjurCCV3rptX5vPCOyiVt75 LBGuOBpy6qQWGyKJTAvRa61LbRxoVVJ7AD7jLgFIvGKObT6LVMhW8kEzBeVFwfNPAM/ng8j90fth heucFBMlNJ+qMHLHrjm/s1WFzz/mpjo66mUs0kA/qhbXj8qh06dxG4vkfDTaqhob5WZUPFGP4SEv cW6sowES3LmL+p2r0uydI7oVI3ax+0elxxGuvoWOOLOc8xvz+SiH7l/xFb28+gW85MDsE8LTrOr5 VsOxVa0ig5DKpqrBmNVXVRi3b5ksrPyMmqpze0BGEoUlaJKBUqULcyGk6ggfNpXb5Cg0mr1ypPtD Yloi+JyD8Hjoji0ZnSIfDOw+v+EJLOf0DIZMeqYqFE2kfWyh6QynqkqyrZMSyt5WkX1s9qkKOaFV 4tytzDt6le/cc7CKWzyr4MMlva0KguMgrgkVcytf9dHtnSOhFsLxEhMd+NLaqsozKyg9EcTm80E/ +cLyGL8i8WpXcSsHjp8ItLeaEMmnSnU+iuOT/KSp4t+mDRhbC2njDRNQHOmq46eJsgzSGUjaQPxH aXYFRGFSC2eyDSEgVZ/2ztFhRcRZZsVvZbtoK4Co07x2V+Hzf3kLsYh1Jh5X9J2qkBdKtP5szSVL T4zo9H6ZISsZBIRNiXNo+rlUXRjWjBku14c+6dgn4wL1Gw158Fy3Qnb2DBMFbSiRb+U+1bBC209Z CfQulEK/KAX0YodQClz/Uci+/y0yIKdERdnn6ne1lrMRtifO3QWATrpbjaB2YmMnZefA9xNFBkMI fY02VwvhxsLB2BnmDtqy5pMdUPatUJwQ8yTvdKBs9E3RuuLtN2b1wSKrwaoP1lWqfD4StrmeVfyv 0ZzOj/dnYP6J8HehfLXmdSAsLtZ9FnVyBDG9KmyywmK7XNDmVJWBo00Waj5IlqXZwsopIemJLg0o c+Ko2hcm1Ju9dOQqMB1IZYPxr85PPQUzR5UhMADN/yMsBZvh9Qhw5dD/s5ptVPZNWEp3Nm3dRbJl cou3VDA2ADWRsiGwwhr1AX6mjQIPLb5ywg7toqrRCq0s2ghC7cE5WNNeOpJ6JeYTZsA2GLnoZNzV Ftbm80F+U/r3gVXZdFuX6pBDF1BSqkEwWjZb+qGEaFQZGUdWqdtnK7QBpRAx5LrV8ePokMaFs6Tj yhlo8FeB1dosjFqLeYs//a5mLx2pSIiDJYEpWoSpDLOZRUPrdlQ+H6kqflvHAbK7UBjwuQpL7lXN 5eQK5wvirqpeUXgpSzIfAZjNVQhRn+bZuPMdL6R4n2x508Rqn41fqEAPvMLQ8Q6kLMSRTd2NvcEc OoHqKuxT7Zxp7Rw4yo4mvPeZeD7/F1GbuxB7uj/JYGwEyu7gUKWP5Cx2YbiuIOqoXQixGpNIyIET 6F1Xa0pKmeMFoaWz1iEXDJU3+AoI21FBzcnwGf4pjSeW6qI12UtH0Sgb8pNSLmg4/kzrC07jj4Ku yg+IAvfxFbhD4j2n8lyGgRnouQxpBopEV82vPl2dC8f7qFYXPRvGy1eBGygAVmZ+XZ7RZquyYDkJ LC4gzGemzi1TH4upTlnA2OkyTGT65dgOlLMFuWsNtkIm5Jx52PeS9aYR5+h/d+FsXysrNiGiWwWF TcTOak3XIjCC20ExUzxuhC6BIyhEACx0F62Go5ev1skCFpqNYNiutpfuTRjmnVWBzQ5kii8s+gzk 2BKULZyVs0J30AVMgONcv6xtQMOCH/CXyGKxuAGAmjtXUb2d9cmBhoCX+tSdYKlvOb6xE+FgcxVD YwREyyPf0ZFWC1LnU6YM8JxNCkg3vKUXedQ9p8uqUUkmtAWV4NTqlAjiIDTDhN8lD6xeG5+PltW3 fcWkMtdTFQ2NQUnmPPOTVFw4S8nptbWyqGsgIpDdbKpCocVuAtV13vFWryCmWSjqAs2p1SQ1SELK wPkEuVBXnFrTXjnAfVSztdo+qCRzfoTZpAhYN5+PfJu+2zhQ5YeCyp2pqNZOdMo40YXnzkoxDCAz fAOCoukzFWLWlRBm6Zdq9A1YoT46GbmfbQ1LIgajG6154PwIpsjexMk2VyFGhvbOQCoTSnT2XFNJ BtA31tpr0ptGYGw/2TEt0J5llOZzFaLWt1Dr1Z3lznsPS54n+wNSYq7Vq1eBPSi6ssZCUqEvP4U+ COufjGaRwH0OlPMvkgjcKIqxhgz+nQVXkOvQ9wSXIMEMC0B7G0T+w29LuTYUHPh8cFTNa3xJ/AN8 onP6auKEHqH0VUB9zxFFu07fglvKEzJOwy2ryQojd4sZkiaC46VxN9j70b7p/N6pdNk3dZiEwUn+ TAw0mlGhJESt2EsHC0uU20Xk44SNARcWrCwmr5Pz23Y+H1WQb7GdGxjB1e7p1mVCp9DJe3C366iK a8jPdhLvdbY/bZzYKtRUqLbdh7tesDGqesAuS2kCGC9jTSBi7bgCcWdfp/VurxzFoqwxDIuvTKgX VTaoU/54tT3wCkXc7hCsxE14tvR4in2BV2hRNQeAKbcgQK/ZDqxJV2Eh1XbzdRWYhQLwI5wxgxAb Haq20KPHUQhBVVRslDXCauGHDpwnISkP773bOwdwBkmdTiWE0xPCUkirYwQ/N5+PZBcvzp/rcVPS 6pmrWBOdlO41XUmhimhKRcGU3EENvhYWt4eOoYKwn2iazUGNt9JwPqBTyhPFS/iNKRKlME4FTbDM dSluXQ2v0DR0Jovb2XXmxlBmQ3fpxPCqZ37AX7hj6sMSJwC11ztdIcm0bVVjHKE9KS1DYoSZOQDw P7K7qOVfrEPl4iRQrUabrnMQnjSiJFX8oMdC1nIrADHCFgn6gJToqF9N+sA8FPRura3NmgNChS6I 3/kzFplqMPbkB0SIhu9KMjzrJhrWPllh5E4s5O4WV131U1Sx1m7NWSSN6oLIcgL/0CQSNATO5POx 8lUzgSnbuf6Q+gH9gYZLl3zXxMQWUDlqZuAgVarlLx2VR7m2UpeBA7YHMWuQMFyT23/2nfkJES33 AkeJX5iCfd9KVmAkikiYqIJdrESKarup7oMIYw5Y8N5oPl+h+ot0h9dFgjzRe4Fp0T6ZNBYXOm2L KBFclCAHEj26er7lZIpT5cBLNHErvXRU90TRVeE7SqSBmej/lt53YgP6xg6hmeigZse5j1y5Eubr XvVrfTvAD1BG24gRWqZ11WZ2GXe8c3VmoqLRDbTMScq6BIUmg55EI/a6+8O96fbSv/mqzcGzHgJF pjd4Lt0qpCwklfkBf3nyUEju5BlzXDZXDhxFPYU2pg1tjEZ1gF+TUw+KfvRWsckKI3iDFK0x7uiT dS7DvBEidGBByipNHnSQWMikb1bYbHohay976WAj0v0RKsY+GJF5FXPDOsuUz0dxaf46tBpI9vsp J4eWoisJ1Te2IYtWNZwaq0JLyCLYoTjONvQUndUKWNanmDcvhHQUQOiZ3heJwXxXTwfboSKNKLCk cxiWSu+hqaiELNtmKN+2FRfP4Q4QqnnXLz4fBKUX605aFxQ69+5PTBrW3knsGtTn/M98Mx0N2Qi5 4mR1dH1sssIIXmqnrYncxlGTlcs5xcFFRNWvwjYT0tAZYQN3EOiVvMfsxMrC9wW2osJwrCqx3RN/ oErBFVJ3quLLnzNg8gOC6OEqxU3J7yHpekoOobUoGl4Uyfe4FIbhP25mtN1Ou0BRVhF84C2aJZ5y Zl5JskbrrG5QUAsMODvUw3Y1xEhCutCRDc7qWMhMXQx+T3S6W+hggyBr1J1R103IhsBbVPrPX4K7 6ANRo9KnKiy9JyXP+XKXcvWpotmTAWbooaapCpXTxcqh3KqPNlUD3scVsQRa0SdyoAT/+ctoLccV WdFdVWY4FGYF7qIIwQuPdTJ10SFU06/CMrhIUxBi1zn0FyXG/p5YyMu/NOZzaDBKbDAcPbxhv3lc YK5OfpWrpdFNsEjOVRjCGzMna1lxvMycggNMKs71BCZNNwG0lKAoiTrSvoi1VOyVIxiWJC1NAhTk kqL6KKDcjEjP1/ED/hK1zHa4A/xypyoK36tZtV+xxtW2VxxW2h6+b3LsNFWxSIwUrMAj9dGWFdJb /P/C8gxs6BW9DhiRDkDryBwx5ZOU7JWDXEfqMIuKaByMEwdvN4FngMMKTUbz1SGUDC0MoJ74KnAZ xTfLTrtUCxnycq+scv3tm6gdChn+sBk92WCvd/S8sIMFMBQynFR6JcVXaK//oBJxPrA9skO12jv/ hmyYnVqEHGyq9jk+GIrCFzKHRqOP2rwQJAByz3y9C3JoNUpqKAQfTPka8+aBe+3GJjxn8ejONgm8 Rq+qx3S9YtpaaLIA4z6vCMt2GPudubJ2DiK4TNtaYJ8vZmb5Swe8LxVnEpG2DbrKSz5QOVXibXip 8QOicvK3uz0l/h9rsRz4jTrJeV1NHY8ZqIDCOSbbBNUnn6wocu/bGBQUzNHoVb8ESha2CzzhT2gr ST5gG1BxQI4GLZnbrxcQJLAcxdnO3ldWTIrsXmC1c2HwOv/pGQWH0HO0zPF1tGcKJqXHFDmHtqMz i0+Ynci0RnbPull2s9k6UczyfRjBZqqrqUtMjqNX/c7CIuqIZOezdlAr4EVITDGmLtEZQ3rF2985 6n5pSc3hg/Yhmmlb5n598/kIYfQPpRCRNuw8/Xj/vMRXBY9qrrTw1YOeljRXticszak8VzBXL9EV qofKAXDf73ZHL72fwBR0uETMDOBYTWqXqBQsACgoWWH0ONpHv8RWfF1pE04oZ9qgaTqBWl4mk4an /88k8R23WRmUH+HbIMPLo4o3bzRD25pel3SZ8vi5pEuBG6jCa4KgL0EVv50SHaiYpX1H23kQOjjJ zaIB4j73COn68F07N+Ym2Qs0cDPGoJjC5yWk4tcMJcyLJkcchOuD8rhS53Ntf17iKf611UoLguMC 3Ea3QU3RSzTFh9gdGKDV2oYbANiZUPEwscKziOBJzyl6iaW0iGTx0NJ8Rk3ROb3b2fyLXcHzq/Vp qL8JJzWo+LPWbWGnHCJfIim+buFlRw00GxQTQLiWIffZau3zEkZpfq1qbIBlAHpRGdAMvQRRWkRd pZfiFIlSllEkAKjzkvFjo/kSQvGDRJAYxXUJ+4P6b5BxxlFDg9+TLROVmonUPgEMCh/5tmwIEPm8 BFD8FqkJLGtzEfTAXjwkNRYbeSjyfF7iJ/trfREtizR7mr7PXqIne4hkt2oKMOwIWkgOVPgV8gA2 Q+6+0T7bFgYIY8XRmVznJ86QgkZWlZHlsWEIQQB8OXrO0HW85NOtFw7OIsGFktzEXPCwwY4kSz0H TfiXwMme9rOoywj4RBZi6dINL5gkBeNbagtqaKUr46Fok7ykfb19g0n6LnL+W+w8p9qG8S5dMAAc SoWJM0JnJHfArbRSXYawJLmxBpOEIAFeT0yGK/Z3lRkYINIylBk1f17iJS2KWr5P7A6sBLGgmKSX aEnHfGX2Szwha+iwCbJJGsKHUpt4T3foiSbJRC1r3Xd0MgQk9TpQk4ASLOgLsYJO5+gsEDsoJL7d kl442G5Z4h1LNtEowlESBhjfxRypn938eQmUdJ7N9nUiQYOB9WlN0kuQpDO7ysmhulxVKdOTlZLN V5ty4HYivYRIdmYroMy93tErdu2cEg1MRghen9OfIFlICCS1sDaYfI/0J5nxLxGSfgzR25pZsbLY wYxjgiDLyx8UrM9LhKT37NVmiXAZCCCQkaZpeiltasMRgo1l46cS1c7VFyVTTEWVnW3DvRQ272mB jaa+mUZHM56l2AtOZCRBQEnKBzIRAcm9U2n+KEzxou3MS1lT08SyZmk+tB+rt7WpqLJn6KMGk9Tv 5ZY4nzSFb90m6aWkedcvTyW/3Zpq5aimNFULUE050bNVnl4KmhYimdygAkSOTlhGLa4n3G4nWup7 FgaclcXzc19xLbFSvh9d4pdypn4MKZ51SaZ3GgKgF5pKkhV8Lyt/XmqZ/3O9qRPKvsZmMVOkw2jL bSqf7avBBOahc4+EI6a4EGj6xpOM5mlJXCjJcpyjzROwJCfSTN1CybOriQkqcKs7Nx22WxdKXfgp cTuj1STMNSCqNljZF70jXu6TWhTRnpv1a6ZQ7GJ1xOYpirgZIMOk2OYJmhSO2kjTMI3QwsuXJBnN 07S0baw7elKSCiqhBUp6yHnK1jyBAYgWaCks1Xi/mGCRzxvzTzuAhYEhoMsQLQu5bmJPn0WkvT5v xD+d4OajXVT+XM3ksmyiorg7UZW4perRAApy93Bayw+n9MA8g4na08BlBqBq+dL+ChA7dMBC5Xeg VispPcjGrUZAEICGyk1om/R5Y/1pC7BFTN1mGzRPmYbTWlp8PAiazGQNH87nBsUZfJ6i6LvKEgvl R9t4q9yAYHr03SjsYDCg4BSXLCWkK/MdL2bqRCYQ3yfxPctvhOrNoPuB+sB2q2t9pqo3ft93nbiD tUT9c2ZhbUA4yHq1AAsbBuCjfh3jhIkComLT9B6Bo+i4mekaJCNT7MCDSza0FFymO03vITgSQi4j XpA+Wh63e01wnSFoeAMTMQ2Ghpr44gOUNVGvZap8GR5PTHKFGeaKV3kXqOo6paWXUI8L5ikb4VaR EwpeP2Pvu++iKJwFx9FL737ftWZReJGmMPfdYieHE/UehqOwtwR/5cWn0SviMGHKu0jCEu3upuYB Wv38OajKYec4r4/PG9nPjlRmciovrVtewj6XDMw53MvnjevHx1vyZIUqu4DLrtbvvgsDcWYrec9H UahbjRc1oQs4WN0BB1Ek7qzIqWYLRzufQITtqQtvUBCwZqnpITzcrCRApdYsj7NEFKJIvOmiQ1xo g/bdBmZq677bnzeen9bTjTGz5pTL0qcpCsWB4oIUe3EeSKemFqepZ2shIJq+9cooFhekc0Ba+I5e Yjo5yZrgt565zGOwP4ljF7h8KKT/0HDVNQFm1xu/T9NgBWUvUpL3Mj+sCpRz5S9zfhA+HkSZT8Ii RjL9+obP03ssDmErUUeT87AWmZiijtZRbZ7mcmfDN4KfvT+XUZeckEbbdifIRHecOnED7n1tGUSP vAtMcCv7lnWF5nwPxiX3ACwEbz0OQiiefLvIqKG3/Hlj9+kPtm6BBZq4LvueN86MwvHeqLdU7rab 6nNink400G2e4Ddg6ymMx7WemkE52wPlPBccZNIroZwTGH3h7c5UnsxjDhM7cZj+lDJVFI8Llbh1 PO17PAHQJ9WDSixGFJDnektyTO3QnC7b5+mN12dhgTxndrYUOO9tZctSCdJhv47ywCpbhvH4kBLx VKGAo+07cNPOLquMM08SWEQqRfWB5vGITL9LTsVe+f3C2yynzCQZy+QylquA22CJXv68kfosb9hf cQElwDO9QjRRUUCeqVoCzVoHvBK2zftuVvfyBYPRDqg3Tp8tTV32Swhpjt7X3BW+DYWIlXPhbMWi IFk3WDrgcGIR3E4oFjDfKH268KjBkQcNIPP5r3+opAxaEGfrh0fubJ83Up+ugvy9+dAVOcHJnaoo JJ9KhXc255mzSQyaiL+pOpuowAWAZ9Qbpc8KBoLWNTMKaY9RSG/n5l+AlaH8nU7E8j1VneKxAH1f yLm9chREsY65E+uYC7AjFsFx/dUueZuyPm+EPgvKvwvi4Kl2ggs0UXFMrhPczQ2HTG9kPdMvrGDB /ExrKorJkyntbqmccXQqUUIMBkX+c+UsWDMRXtYS6TYNRKYBsIArcVDf5Y3MZ1UD9soL0a6zuGIC kGldGs5z1s8bl88OuXvr4T9G0AOyoU9UFJVDKBplp+pVg1K7FVeKmWQh2KysYiDYfKPy2e4XLa32 ekdPXhbOQXicglAL5poIH3QsWJukE8h9quQra6w3Jp9ls8RqliKtXbLQqC1czk1EY/aC/koUlA9T drFkGKfUgk6ozVNYGmefbgqa2RRsZjukkip1rBpQwZPzFNXGndLepTe8+ryCjBA0y4gMsaBOtENh aqibscEDZAbWUXlO86VXDsKobM59/wimN9KImkGC1+eNxWcL/7n2xKIp0AtaPlPvYTnOKMZR44qb wT7AAPl1ZSsbnJtrW6fljcVnx7nC8aQ4ynJT0wJH+RFy+5JjPEGPzIwIBy5AeBTEN26ZSQPWNxrf LTIDTCdEz7QfBPjZOptAOmt/3lh89hd/dzVZIs3b4803Ep+eojvWTi7WNfbKXjaYbTt76IRBhsV/ 4/Dph17yha6q19V663VghYLtOiDqss/aWgJHdepsbOLygdv2NK8MvXEwTRTdoGMijNpguyew9Emz 5at4vmR/3hh8T0FJ80Ry9kCW/rR/wyI52Y5NFSfmw9XV8tgwtCNqVs+H3wh8Vr0WMqzI2qFJEJEB J6yBUaij8kY/YZWjXxE9TVY5NyeqCCLW9MZBwMmJmnNnHwxu0XjyJaCC+JrBeprraz0Bf3xOzbvv oricmKMxdvN9V6hJJM+Z5aBD4IqKTdN7XH7rK2NKgoqjpokt8ga9eQLpYA9tcQTok4Ng7PSF4SHu 9424Z9tO7FkiWjkIS4Bf1Rwd1vi88fYUrY7vdBgMAzQJvFUeheWNLkbosHlYTuKq4DvLYXTn9IWr NXvlYViu8u9ZxvmOd5rO7u5bMNaNCRxGMj4/pmB3lF40MbNpbxxceNTaWF2WkN10deGcmPIyx8zy eaPsPffys5rQ31zNT6c3xp7V+CRRuc1H5VybxfVuVjL5KbSCuwXlb4Q9y1646fbWdHG0aTq/b4fm GNqcZ/bnyYZNlgotWarC0MCoiyeU7Y2jaZKjqGrls1jyMtIJyOVJ1CYfDzZd8mIdzyG4+szqAfkb Wc/CePlkZkf6Ei+ppksjf0xw++Rn0xtXzxBOLGb2JOgFRwengNG/k+xm0jl+q0IH2EOeTTdAxLzh OPWdP29EPatyZBYL1h0MsbpK6nIRK+XzRtP7nyNccEye4B6Nv3H0NEsUeaqpX766IHMUMKNssGD2 uGxJ/3yj6D21HfAbNEvjAahMWKzNCYltaC0uKEsJ3VrpyI4pQw5gQLBJIZI3gp5lLSSrT8GcgE1k bQLUtr64GqDd8Xnj5+nX3PMrvQMKUtICmqcoGN80YG0SZ5E/yMPrL9256qO7jcobO0+/E9W+kQDt O9qmA/sP0lEUQDiPApXJ2xDnGWGYKNe5mS9y2c8bNe+ra77QfrBBJzgUU0ThOMvj88bLsz333Q9G YQmynz5L76E4Wu3styxLTkhSdygPzQmMpL7dh+6Nk1cc74e/vFEPVqMTEU6+AoBbkhreSebEY6dB 08m0ARntD7KXKcsbI08X6mI8AFK3DZyms7oS5ekA6+FrRoW6/fNVgMISHy17QPBGyNO3UuJ011Lu EZ5cOHf13vwIB25LR3hYH1dDuEz1ETh6DnyWzTmQuOv2goi8cuA12MMCsqqA/+5cT4IL3+h4lsRy huq4gyZqwjrR1I/6542MZwHF+l5PiFPHLdS9cfEsvqQFXX4KdcWqmGfqeu0OrMd8MhB/o+I9LS6o 2FjCQp7azyR8bu0NBCBT4J3QaCPsic4cORGiSjlSr2gmvXKEoCPPulFmuIP/L+zl7ECI854/M/95 Y+JZhPmdsaCwBqcan6goEJeWTZGJL0H1vXpFc7fknXN4y9qCCivkakwR3eWjbTxcSvC8ojgEuHJZ roadlx4Qn1SRvIBelBU/bzS84kA0ikJoyE0qpWfb9qrdfvJiPh8lwd/BE2hgU2QpTlQUijN4OjfM s/PEZOFEET1KmnVCHqOJikrkM6vlsmu7oxVVNrSF64CpIZi9ZexsUAw0TBqguSeKfQyxKHfwRsHT b0slt5mkzuJiHXWcvKiabPzOnzcCnr1n+4oLeoG7WvEO3hv9zuICFlVaXRf8vB383Lvpb8GaGqrk BGXGsbgseVLJd7yd85oG0PTI7KC+YtarjXIujRKkBYxhnVCDzg1v9DsdFkkmF9kGqdGc2UP6qDJJ m5839p1t3O8T6uRK64fAJpuoELPCo5wuVNK963Auyf/h0BjQVsp0Pd4TJy0W1Bv3zm5sLaTa9h3t KD/Z8QlTIWgAKbeVLcwE7wM3D9ZRqelWnwh1/Lxx72znFWIyUeEDNHMrS0vzHHNq4p1f9fPGvNMJ Mb8nCr8NXs3nKQzHJ4lRDP7JfoUQnZkQVLFf6dgApCgdG954d3bWicAy+ryjY6BOOpcqJgUnVMVt SEBdYZv9DGePQVv1Znddrxwd5ZRQXFJSpG0UF1SuEvs411iunzfa3YNmek5yQHxX8qrvG+tOEYXS lpyvNuDM0zbemlvbscEGxGpPb6Q723iisJRS7+i9TnTeUQhmSQW6D2JHjSaDTOiXAJTpG4/UjDfW nc7UpiNcEcIyM05SsPQ/Tp5UP2+kO3t8f6V3aPij3OXzFFbH2UbYZTtqnAw4C6FKtRuvZwj18SB/ o9xZaKD0zkiKHL1Gh20HUxQE5Kj9De5RzB1aAYCnQGnKsmDVVN4Yd8qC5d8r54F+nQcyQVZq4bX2 eSPcWROify2nLJ2SfsH1UXVcxgO1ZasWnP2SLISqPTWPDBbiac1TGJKL6ANOzx19PZWekPNSj+ys 8rpcIR7kg41GRkG/zUp0WNCfN67d1zwtYaNXzg5ZOR8z5Lp6/obPG9PO0ujveYLJ1Mi3ifBGtNNT RPZQhEhxQZqm7wrc+3RW4urDAqg3np1tOwZOY6m4wtErmQVOdJU63v0k82WYMASC68lCVOrpBuRa TlFlvG9pANbmgxK8eqLZLWZBq583kp21zj18wpRC7e6sFE+D3xh2eoqssU7+lTyhqejOXXfmyWpP 5/rYvprigJzTAyHJO3q5AOrJzOUh/ZfgemZWmhD7p1sJuqiOFMO3ft74dXaeZvgRns/zwaZp5WE8 1zk/b/Q6+4P71+GEzgk0mXyawnCcdfHdplULTtRm6lCUltyqFoBOarLwLUaPq4KZBTTgaJsO5QJ0 zDtJ5hBXcsAKFTBJR8hXUwvsAH5PQB6jHfuS1bglKqgi7YrmHe/5vPF4EGT2b3wBWpZ93VZwC4Nx rCbAVaaXC7IzzMsuVmoBPDNbuaBFdXGbJmjb3tGnqaH9UCDcDWoI0KeE0wHU8jOEcADQ3uGZW28c XHU8dVEa9UG4HsR6zPZbKwOPB9CCMr5icZT7p3SoSf0J4eOZEm1y4pPpask2TY1OLKw9ZRYjyP15 j8VFNsVmq63d0WpPZRU4PrBagKLpXlIokx0XDkPwryy1o60QviYia7Lei4TQBi0mXMZNs7T5eASK /k6BB7Pf4Sd4jwJxdo+HFcCrinVeoUsqGxQKQhWfpQirMtQuV9fKRs3SZlDTUHqDqyiMSVs14A8U /1BaBMXZSwUo6uB7goRFN1zRniu25wqUYZskcM/1iccjIpkvps5YAnE5hDp9ouLCuCC+DsAAQNBJ m5v4SkVOywWOelQYt5JvkVC5Ri8+7UYLXwZ0FZpsQj8NFldAx0Mhv91agbhkUSQ+WcWsFNiuYLT+ iIMAmypRyuEmgecD6uY/RKkMmcYJIWubqZC82QhVeXQdIPsuPN1JNczVECTeZfrvPYrF5zRTaOGi OdpMdfDsS5O6EZCVKum1zMitgayMC/VKYDAJ7lEsXraoZIlbD/+x1JvYdtnM7ubY/ICI5Tq/pgo5 9Ui3PN4jDuegMmJq03UKGAEofEpy6UP4NIHM0EzFJE51zMd4Ri/80n5dTr5nu4ycEo+oggNja/8j GjfJLF54PaqPmyZpl/QtsAlVig4IZFR+OrsBzwc33j8tzkF8Qr4szjAax18F8YvuwOjkxh4n9TV1 xBNmojbKLLiHPE4C6dDXXXf0vXfSxEL2GGTa4eubpXiLQmCWMTmNUOyUqlmvHFQLKiHRRcwNsE7V jJpQ+yZNGyRaPP/X3uM6BPIqp7v1wgo5qhKT9iOopQAKQfpvpkoI2gbSjqyUyMdpHsbjcpSb9Ws0 UE9DL4rCvdCERpWAuhe42GkqBwbQ7FdSBSknXzmqP4niMpmYbfxygvpuinjiOyeM+HoUkj94OraE JnB5D326v8fkaE1RW5MydCazWVSMgq4Ykn+W6gabSDzPI7SK9hNAYOWOXqrrqBEMEqjRkaQ3LUt1 qDIUJAQFKDq3P6n2ysFUEc3ac7oDywRnKY4mj+iVGh6PKgbfpV9IEk45EPFLIxQ5XW0gI5ZUgUK1 f1sFanUUtc7qQqXOPUN7BFaxygpzWo5i7ZIe3Njv3CQDMeCZbCbUjkChghnQKpMXg5Gf6cIX/a56 oUNqNq+sVOgP8jvP2YrH/1pQTTXN8w6z3GS4h3H5ZAa8x7Dib6JsFmeK3FQWfwuNz7T5oiL5knwK 3b99tBUFKzL4fnGmAK1bxhEmBqPTqmuRbS5BMZJfewRY6ayqzJK3DypO9j5kzA7PAzwerajv5jn1 Mua6CiEjiswnCYtDjJbOf+x27YEuvAyxgjq5Ys4RVcktQABb8I6OMRiAFcM2D0AxuFQ2mToiDF1k NKAPYDwXaiDhe6KaJidok97JQbwpEkPMUy7j8SA079/4p0b/gGeaotCcvFbmq3br0WXP7KxmUgEB eLptTZcR18itmWAqGOlbBeNEOy2zpplhMrQZnQLIsrH0kQ/jcVddQ93iM0Jep5j5vQgANXDFq/R0 jltWoUbDRIVF8n9zGEq4Le8LjxhETgJHc7mnKfopwygzKezErGQT5RlRkTyLz7mtaCcVm5+BTK+C G7ULw4NOcr71RBsYS6j9tnmR0bDtHZ8RBeaDLtm9sgjVZSSLWaqQvSPp/Py/z4hK5LlfGh7TQhTu a/Joc4SgFSppVrYmhadjYVA63Hk67LDsZojfEcXlKzcDiC0bi00TgASQ56HQw8L9l10un8Xp8+9R svLQAC+Nr/mt48Jw3AYDkJ89xxAKMtZ4PBLDWF8hFKhIX0WoEcXkPavyux5ftN1sMQ3abpgvWjG1 8hHF5LMLvToEzuTobKCFgjm8hREcDFrqYZZwq/8sEIEK8lQjCU+S8EZUIc9I8yAYBib0+UwzJK5w k9ORjrsWz//FwqMqYocm5EVnjigmp9gC0GFXNaz25oSEbGo9QEUvK/2OKCaXIsbJg3WIl0fqoScI RTGlA84+zaVun2n0FOibsAglbj41DEaIHmfllwvdBkHGF1oXSxM28XhwNK1vjjBz4d2vtEqIHWf0 tJi4cjVNwVk1S73Y0TQhnKZZirDj1Ux5S813vNAeYFYyaBtjrY16HYN28M/PgQvs7iwXOY4eGr4m WEyV1LJBgM+mjyH33AbNZFrujceD2Gl9d6WAiB84dW2Wovp4I2cjy4+D4WbdxZllfJZ7Lid39hpR fdwDgqJ2Qis8J7L8eM+xBV91nkzFxIMzdJGxlgg5b+lOE4j6+J6ojcD6OGLSM3DlEq8C1MJgxxAl eDwfkVtuvUBqwB2UKS/9jjAYx1uOWtvy0opA5GQI78sQPre3IXtGHIxLhmbJv5mjhZi1JUCg6GZS gKlMy4Jx1N0LuCHwqb/bjiHmCIPx/o0vAANVMuQQpEiFRW6kEfiAoGRQb8lA1auz6SGmYVMVRuOF OqwUDmBHeLeWbvRkZRaATobVVkZYJRdBeifZx3K8/JYEJCxYnWeq+lkQQhi0ppIxUpRN0wm5KOgg D8vkZFM37PQF7YKsjPbkoLhteMXuXPB8VFzxxIWsoU2asB9RM6yTs5Y/k3GowQGcbhRHRzBN1Ojb ErwZaxqq62Josd5u2jI3ZMI7Kk5n801YEE6Z0OKuW/C6yKns28MjTXiGkJXCQnnmGcVBoLp9oj2x W86xgceDabpZS+XiO7u/jav/FEXjlBgcWUxFITGwuZjdtYJL9z9qJMKpWtMUkjqN1dJNxKA/IgYA XZy8nkHmXkAuL5PJQm2iQC2WnBbPg2mcMENSp6662ZYPhtJcq6mhcLY0Hv+LgMe4ADcu2NA2T6HI itTEUvUbr1GpRDXN3h18OPBzSygrZnQK2TOVDed5xUTb2QbY27WzrlJT3tK2J7Eww7QW3mPrInuo 9jDjOrmonARpcjAyWW1zyOpmVTz+1zwRLnWeoDSlzVMUjbM763Em60/Dzu8KgqSBWU/M7tjD+UeV vDZFCBx9PZ1te9JgWWCfQ37SXAaOFSd6nQgPoPF5DWWXXjjCq8h+g5jfsYrheqDgulk9gngSHg8A Bv/QXiEA9bPl4MVpisJxoklnolop2y6tuK8EdAGuJVXt1jqfUTg+TJM2rWe0MBMrCA2LxQAKP4QZ wyHTJ7++KDRQT9jfONIO4WqqLBl0h6NVQNPJF0AJqOLxoNl5kztx8yG7dlPgGVbIyZUCVM93XZ0O +d27WCehpew+ODPCq5g+e5WjtEZbTemsptbFkVjoVOXm0fhJ+9GAkWOQAihafc4oGgdzAYd3Kj4o KICAAfstZ+sNPP6XshEeA5Ft56uUNSO4Ci1r3BOVcWa6iuOCvAsYXYZ5ScyoPL5Uw6xJCgYcvaJy srtK5WOQW6CBrLihQSd/0pMWU+hAe3KoZxSOJ+J892R1HPI/CscHaqRMAE7AydeM0Jnj6whHtAVH Up+mkMhJWDQcBSzK7Hk6VQpa6jZNG/mP9lwodlh1NKU27+gNvLMYz30i/HgnW7EqBQbKnchsyCnd JsLWGwf9OynYy1iJg9XnsGRVn9t8PAKJfWMzGwiS4wIMZgRXoYI/6N+udbiTn+B1i9EpmaxqxLsZ BeP0RgG8fu072jThOF9zMXACBo1KfbAHapIMwYoo9UYEgzD7GQXjTaaxJQm2gt4f11OBQB3jqHVS GjwfwZ9u2pKYMhMfUu9UhVTOLtf0C4AarisO/4hupHwQpnxFhRIrgtEN080ej272BMes4/4mFgOV TQncI7Y9yTpgPig4+Uwte+ModhKcTnAxDILTnTw7094eFXc8HsWY+ysmALdl9luhW1EoTl/LQUyy FcaHFzKzlVeYB+dkK2pFkJUhmlSR8ZZGO8XPXtnok9tlB9HULXTmBEwL+XFzVyCTLlghZAXC4ife gHqIBgF7oDwpHlCr9bNCJuc/vGAASKCl67MU1sWp11Ppwie9HpgjaJZM6hdJcB3baEArhKx0CwXq uKNFmKicnXcZPMU5ZSK8Ftkngmw+HZpp6pkrrIozr0NVmgPqITrFcSmw2AVfATwf7brxXSwQqij5 pltRJM55mimN6YgVdialrbKqHeNILW3TrRCxYuiCLThdpYHpTyNODKRSADEYE6TlUjWU5YW/5/kf pT6h0/pp+J6oRMfbTuZlHISAOlEwQ3hSJ/D4X0UVo3r8wPjDpykKxHth9VKJ3VDNwIE9kwUKhk4L ciYMClYIHe/dNlu6ox3j8OzBKUIQxgTnWe4H+CHAwCmmCmlBAeF0K6yLEyg2i7xIIDdNlQ/Y/05i zM6XQLQ2CsUf2CHmJJeBemb1zG5FoTgOT0BUqmPs06JLEpx18jZ4NCQIlumJrZDMKcx4NtxhfnCH PQEKP1BXg13LACCKGfAqzFwASMxgAl1cz9QrB2U6KdbOJQz5OdMbtSGtjUoz4Xm+Ep8QFcfbVzgO wO/Oz0EeheNo5AMr6cXxbh1OFJ9KMmAUiU0WaK5YXEX6x7SAs9FpG+tcKWlSAQrmE2nKIz1BfRkE KkiG3GZLSdteOdLCYF4nSudwSmdLOKWkXXCyUjwe8aW+wQUTvLJxFQ9XiB+nE95cUykdUK3XjjLV vrz2hEKH5ikOyE2kR0c5Ry8WdIhfdHq7YRbO2c7sDoEiwAXYJxDzNhBG1pUXSqt0SfxK8rA06+me vdcYGv9A8BOPB7iey8onKozsq32rTysKyQnuhDOzF+lWcWnIOlMzYhkIeJbfrRBBbmpGu6Q7ehqM kwmevNRCPqlKNklWuqyeicHeI6ZOaBXkWfii36pP11wRcoCqqqQmT/aBKt2KYvJ1qdTSTa7gt9zD PISQ01kJ/r4/LjXa049LqzQrF+DsNPWnFRfIGTzu0Z/RNx7U6NCNooQm4lfG4rAwxoKiyS3yPDWD T7Dd9MoBhnxJnE7RQXKtnnMfoOTOS2/wPf9Us1dhZRGy8iypGK6C43yIdU4aB5MsyqvAH9mmClJZ NlVxTC5KmZxaNPreg6PpwiGGAjnCKGaE9Hr7AZv6zAoVMQxHTtnoFQXlak4B7+6DCnXQ8GYLDi7Q eDyID7Z3EjitJI48XiQ7isoHuWXnXHXOVJfnDzlTbdqagsCK4TB2SOrchmdd4442UdDGQq5HuMqi 0KxKUJAdgAQNeAn9Vldki76juLwRyIqGpgb7iwt6q7wAT87Gx4Na3QMwYGAB2Mu6t95+D8wdUrf7 6l6EatuFsto1dOuAM5uu/e9C5F1Jm43enVpoI2Ern8hzgUkru43ENKaC9FBJPrdbjyYJOwSTC2JQ qSzGQSVyxLJs41Gwfb9H5jjNv51b0JI9UZ9D6nYIJadp9WpuWj1LuUCMJWF7LKhzHptw9A4DcysY 5NXu6HBWLKe6CZCGpB8LP/TbAvBvqQzlvXNqdvKNo2kyxejmgwgrJ2zMZLqfPTjw+F+cToqHYWn3 ftGsOyR1UrKnip7PEjnJczqhthsAgOvs6ykGrAha8D3eAgtQEqihd3KFKadNwVGCXEBGgi7bPczR RcEXRYKjwqrkO+iEOn8p+eo/OAHx+F8imlyJPZF27i28HeLIUZZBL8Enqo1yBbbrdKWsk5UbsmeH OPJpymsKpzh63QBtZ6jCsxJ1Qv4kd06ifyBwALHQW6/DrfvZsRC5uK+6+mjwKFxmTcM6nXluPB/p rHgLjyJtuDrLrULtUPKwyQ3IZEXBg21esFsrmZBfz8UZQTuErHSDj6dyR5smKIIiUKf+PwxNt9rH 53g/fzNOQWBCXMOg2wtHlkk8ljrVyDkIAIUadhLVJS08Hs3SLa9Q4Ee993XtSSLQSiJQ7MQ4rm4E uuCPWZh2tl8qhe2T77tQ8HAb1cWAh+UBHuLmbCfxZYHl5Kss4uB8WgRqoa5OYdbVlOc1vXFEfmVB s6po507FULkp4ozAyxKP/4WA0n+L+m+6uy6UWclTCHuX7ck0shDBjFBu7rqEagnpivtv1Er7Qq1o OfUEBxskLycLOueTXY0gEv9Q+DWX6i08dqn4PUGoSeT46vUOKpPD8FygjJH4eFCuG/srFz6BIaQh Pc7cUUhObO4o/AWllbWX+yxDO+HHfZZJWuj8pKBMnqxhbnbLfdxuAtL5NABARlETOthsHp91Bddg 4lH3hR2iv86vicor5q7cfTDu6zmOxX09ERUej6hAvuuwvwDl3u3Sy3YUjTeSpnY1tSdUJbKb3ezi FXJMkscEEVxlyre7yblUox/hYMjtpD7ngN6zXE0ndvxAtpET95ww0UyEdyiwomBcXtQckqoEec+t gsEcePwvFT86cOL3ghSnzZMwQq9HSpapMt3KBJCmzjb9Jambrky4sVzX9VFRKqzoMplrcHpcgyHd vjoO0D4bGA+KHDB5A+raLBd0gilkC7TtpQNbN7pQl8xok8GGWjzoyG71E6ipw4+IsPbfZDy0MDPN l3y+YoInFtbgSfwfRVf8HyuApUXzBW9OK7HkFAsfmheugHMcnZZwzru0UceEcTAklUiKaZURTYGc X4HPnBMXGZXjmyJEBovsxQY4I3C6OoAeVMIBvZ0f8JecAbcvlBZ+9jkX7nS9x+bw4ADVbNXkvc+a rmlCzpbOnKUPxJ5NVwgmlzLttmW2H4WMDmuuc5pxeRVA7ZKkjloBSKGiH1lAj3FdZFYQ2PUJpkv8 c9buFkoIKi5NotaZ752Mhh8QgFvzxdgliUgjTPlaXWHxHPWTqb4uofc1eav4xDtWT2gNTVnzTkix VSfPItQI7vgUXOYE1B67EZZ5u5kuOf0lJv0AbpehJLknpNgtiECfwt3IQRUXAI3VmQH2AM9HOLvv rA/SM3PmZ2lFUTqLwsMICaLIti9xKC92LpmacmmFhkGCSmdzhs/py/htrXFucegbwAR2s9NK9CZK Xg3dghNGeAQKGJW99G/WJaQU2aCy8PmGITPv8/V8PshoLgif33R2Imv+5UpEKX987xIQIVVd++hc ZFWCR+A0p2wn10KpzU76sIou2Yxq81Wf+YLtIqyV2xYBBsQcNtg3AGoQsQGcHlwx6mbQQ0jfFGET RWeUEVwyphAwcCA0MXgYej4yFL7HPCWlUffc6VlcYSUdIeT5iYcLRSVJjKA5w0qDCUWNfCcrCtpN FDH1mu5oi6ucOxLmy3LnyCdFYA4IWloGZTrz5bYf80ih9U2/qW2O2boPqr5QK74o6Mp8/v/HWzjD anWna79IK6D3k5mRe0MpX3di54/9n5Q+eT0W0sdn9Z0Yhe5ClXVxX23UZJ2wHX7U8NGAMWyBla5O rSrrXlTvMkWTeWqV2uylI446xcq7anq0UOH2O9NViulqNz4fmZx9n1pAVW9UgXyuQpQLca9rTjeD 2+QUmmJUdt2D1L0Ik1OkylIsG8x13/ECX/fJzjlX8DufS+YLvQA5ssigob60IRXlSpV+9xGanaEp B62rAu0XzhoYxXg+2IQP5BxThUum1OujK+Jt9L3oHxOZYAUrg7mQAmcwl7k9iM8prKmr5Z6yPHU5 epumsR8OGB7yHHjvLIGE0RBmyRRkdWfsVX/nqGIlOxNqs3BQ83wCMcoo4uTmfD7Indc/lL1KW9l7 XoXmnhD2o6yhgafQoLNGzdmCX7U9r8XkwN0TbDoTu53zjpcZg/LX3syez7nVsro6iLpOTARoLd7A PXJat3f+jaq+5Ma4rhtjh41hk+rtmHz+L5EIlmNgM4jd5VMVRfCtSJfl+qBWHOLmaLKme+qeX//6 e0aAl0SM3ehT4DGOhp7qFNmCrD5kImZZzJtw6cL5AUAfMDFdApCoxfyLvyep14MzxUEzBUAINfza KpXPB+F7/qdyBW/CWb+mKozeKyEv2+zPkUV3U5ACUBn/CAWpyquNt2Bo8QmYA1eTKUW0qxQB3/gJ ok9hTeZ83RDmBUhrZGbIeAjm0FyVZu/8m17i1Lk+/VxHLzHPpXO9Dj4feRB/H1ZsPwCD7lMVFdeX +Hs7XRWpdAsOSzK4RW4dfq6HLp9DkOE50jNqqhY0zHvBS3F9QTOY05kQZKBq87Mp3SY/odHslX/b fxSFsEGYPOBq5PK5kBQGNp/Yf98pNKiWA74XPlOhZuJW2mwFYhae7v6TyHKhbezKPlMhJTSbT0cp d/RC34SrSdZRBWENual3HFwQKQJSZdwSVh/d3vk3Z5Ndmels4koVTp0Q2sD6Y/P5oKv8z6neUFeD xIRPVaRk3mX/3a97QGM+SV38IeAQp4piStp/YchuDL6a1x1tqhBNwUKcYKqzw6fM5DsN1SYjsslW hMBUfdo7R01lzlEv1QeV++CSTuzvSTEKn4+aW3f/ITg/KTDocevOVUwNldfgtWecJAiz0gBbRUsH N8BSSp1Du8+lgszKO93RI/ZBK4TeaBMHebllDDUcGwmnWqU8vtX8VMgKDT/VuBn0MtZg6U1RLwXR Tefz0cF+ZSaTsA0n7BiP125g+omW9HqES5k8y9pk0b7DzSy/5Ldy4Pp5kmczW6w64TnabLVzqmx0 pNgMnHAVIvpsstafa6OHSHLXdF7J/KZoabHNVelOPKtBlcGhYTEMSytVPh+xHPK/s4XtXMpzZIVB OzrH53i9et1wTDdMIxBoBiTuMFe02QpxMEtVrEpwo0bHLJyop1H8GNpMjHulG0zvqor2NaDTFy/U m710ZCog4TsVlF1kr26QLKvQHSg1BPaftqG+UAtAaD0Moxz6fxo4XR0b/qM0cVUoMTxag4O0kWpz bACq+kJq8xk9amdNK9NoPp+4qw0qK50lB/AnNc7pEnPJWNNeOmI8ZNX6ug9aWmikSZKropwceoDW KwbEjnxlQ/PrNgxdQCn2NLqSQDoP1TEd2tiLH1v1KZCGNqBLEsK5pX1Hr/jB+QhID0otA4HG66Bx SQNRLHzI0/dq9tJBoYH2ln2Lk7Up75posVWE64IfLp+PtBW/Ranxqy6aVthcxbV3BlbIkqVyvoYR RaGKvKhiiiN+uxFDDp1Ah0Fmk82ZV5aQ0C6o0FQhPc7N2pfqDIv2queIB2wPAsmm8MYeYQ6tQLP0 JwfZkGNcNiTSbtkOnYnn8xH1wUMHM50/cS0w1j5ZoYoLu87DVSSoB7D9hFdndUnFxW2dAy9QwEqt gjWe0XH96B/g5DY3hlYE8+j8ibUNG88slUdrspf+rdw3s6wuM3keXFnQZBdBa6/ND4ii9/4dPVC0 NJX1TFcMjiFmbwxDhJ6Q0dHYNeVufbB67q67D0Pc+jAoqKqkyZVWSBMFNqlTwIwuv8Wk4anh1CFQ cv7Q7RciaXD8pgDQkFVloA9955/A9K5tWv6Czpb1phET4ruGhX04EUr6XMU2RMx1Zve6zFom7F0h eDgtg16oximCD0xBLym5FZPMLY9kbhp4+0mV0wnK1hSiAeUtyEQjLWQLTCuLbgM5dAXVVKENyQH3 hilxJKhXoNZydjg/IOpSfEtVN6obX9GpHPqCIqbB6Zudl7wlU0JWm9RPSbgdLgibQ2PQZdYMS4R3 jo66OhdhpoZJB7n3XIiyJQD76WfxKEAS4fwaFWZCY1DJTm3ZXW63u6xnfaCuiL++18bng2VVvo/3 SX2ufGPS0Bi0FYGIvIZ1ztvhKTQQd46TodmppiqUW5RWUC+6CjnaslqommxRR4b6rKICgOctE67p 51UGnVuvHCBACHRck2c8BxVmYBe5bKY2nw/i9+LHFeODgXzrujPk0Bq0K4VWskwMSEtXizltQ14B NO3FhsAb1DhjUGXSRcjRZgo0lEnPRsAbztmdBUwWoCvVoeXsrZxscxWiZeQF1sjeWoC7NBVmWhbF HlhKPh8hs/tXiIVDdfTriJ1Dd9Auz7RUPYeuu2/LoYHP9xwatHTl0IE9KFyJ5GUxDSY611NwP8f8 HPAZQw6dznaUqD6Yq6YMMNw0rfC84fdEtFLK4KBhZ4NogLAU5p4/v0Tm88FRdWeKzXrSIFNqt94Q WoQy956JMZyKWNvdCgEMduc05Dw+V2HoPgRqmF+jtwjLibZ2Zw5d6E/fBA2lIkxCXbSAEYgyJbFq /tKRNCwlljKhohsHeNNp1eZgG+a8b+fzf3W9ShXh5PxY80l0QqdQCmmMPfs16O3Na+7bjaBhtt68 kBxbhW4TXpTODcdbcy9cMY2uFs2h/h0yxOd+BwcrjYsTJY49h1ahKs4MqQdxMGDfXJIPVck98Ar9 RxSd/C0EteM52kO3ULpeA7TmC6v25DW/6f/2bEIYNmthBXahwv68y8CdUxeYlAXdf6qZpLSt5ofb mgaaJZWHA9/tnQNYg0CQmbkOB+1C5P4iCO65+XykcHZvQUX83M33wPrFMpTo4/xoTxAvIl3BnosT SbprnOXQM9QsxMFPvqMhssB42sLVTjBj0qSwIFrazF+BJZrLAcilV3/pYBMm1mQyw6vJ7yO0D+L0 LJCc8KpnfkA0W96iIHrLxOueBDr0Du0CaxOWZ03CYgEWJ8nQ/4TA2nRFgfvIOquyT9t1Xofsd5qw s/2hdnM6gYNEvCAGk4XvllpH/erUB/ahIHrTL0WBFvy3f2RRdE6gOrjSzo2b+QF/ZdAK80/gMJ/L MDYQZW1my8yJnhNjuw/PLj05pQS2GcpyAgfRM1nSokgoQ/l4YQ0AIKMZBgzIHrynUZuh6FNpMGEi cMbkqdCW4Tf9ViTFMsUAjV11uhEeJtrIn/hyZ37CX7E7A1LidcfFRgZGoqZjcb7gumCdS9R00ute hmPDH9XvbMUyMFrPPbU7er2hd2RsLJKekI3QBszWAE7vzBYYmKvnW1KmSFUOvEST6TLSFsoGqzdA +JEqVR1F0sBMFDFA+Q6zEBQDVexzFQXvzF9OHNqupvxuVsc6i9p4Jug6OKsrB26iwO4qz7ETPn+d 8Di0Kw5HAmbOQtuiCm6uMGUGdfeHhtPtpaPrUDGDVGGIJzH2Tam0o4DXzuQHBJnOQz/9IQuMvgZ3 smKxdBGlk1s6DOkOAuYH2qgV/U5slHyywvhdVKU01NdJ46HioE8I/sdUibSedcw4q5Dm1CDtgOPm FrL2spcOtiEDrL5YKe2ugNFSKWjuMCpdnc9HUakvLM4L2DVrP1W/0FWU2h8Dq9fQRYsiJUIoUzKX 6KITDxXfhWEAv9Si6Ko2cLTJgurWmZlNlcZzkoyhql+jSBztek4Oui4US8X30FeUgpZQZSs+qHh3 3l2+Dm2vxeeDoDR/e/Rk0Ak2yPk+WWHxnYqWY9JsFfM25WCEyWqszHOyRikOigytRQF/QRfeisOj XNzaSULwlwyWSMH73lSpy7i6sIVwJVcIl9uRlQXyC7xFZQ56TqrOQF5gGy4tCGNktsH63pMfEAQP 41b9hAmEpVG5PcPQX5Qu4aOM5FyKvEwzFfqfxXPDs7C94hAYjCIMkaF21gG/8j3gT36wJspWqDic pXvCIbHC8m7Q/DhfM0k5kZFYWfbKEb1ZQjpDEGVKVDI3hOo/IY6ENwQGo8aNUZzFcip6hfCW9Kn6 HffeBDAihE3YSExVKdnZObTCsKmKcO9rKNmZqmNxvFM1UJyppO2eZyE2pFY07myKrEIL0DLDoSgr sBgVkAJlmSQTciYDTHc62tz0GIXidQ5NRtPV++JzO38LzefQZbTSUSzLbY0ZdZ5WnmlZsBCk0Q2B qs1VFMGPZMJDdd3xMlLPlb6H5uocyHMo1W7r7O1ZGQ3uC1tL/soRFoSJ4Sxin4B6yiMdGAAhs84h tvgBEZ/iQoz8dAca5s5VFL6jZAKwTHU0FjFchpuZhnYgbubO1R91976IHdVo6+qkN/R7Jxpky++I STSKjQMOWTvdXiFiHr1yBLMlbi335IOz4+bmVyKK4/ORitw/UgyItOY17cmB1yjMkGmpjQK9u/ZU V9vbEraimhUQCQoaYrNRqeXsJZl0jt6ELhkZWzFIcqENK7pfAGJseiMgCHYBolrtnX9DjkIC0gdN 1fk9JVpBe8gc2o0+zS/5QA2wLp9zPWSmohd8jrZlus5yI1Tg3tryqUpPyBD4jXp8dXaX+vYcbapO mJiAYyWsPJWz3HlTImJg7wvQ453LBc4ozQkdRxvtaEaVhCNKtyIEJNCpucjg+sYPCNzt/5HdG8RD 9mddhSZHPNmZEJn+l/ttQ/J+mS9GA4TKJyvkpwq5liUFrtEjdyi6TJzYULhKCRGWglFcnDQ4osex 9+sFBQl8R+Wzh2SQwJmO8plkCWtqNIz5OWlV5vNRMfnbYCz3IqmPZ7ZCMcfCtJly8ZK3IvRE8lay Gxt0/AWYU7swAs5Uo6fW8owOiVzo/qcpSNY52OrW2Q6C76JweJpeT85zZ3vnqPdFYwz0NWzQLgQ+ bsvboG8+H3Up2nd4Rclk3GN+E35e46uMyaIvBvH0goKwAUvrurrN2hbGNNtEeV+jqwz0qKiqSo01 epvw/G5QG6PNPbxkKJ10gkkU6UCw/6F8hRHl6CH9Gltlk99D8ncHzVMCQV+OkQtPv8xSBmVEs1TN FrDCQhq46MEzMpoiOpJvYgDFv2QvUdkNNFJINKE7tq2nYIrs9svTuEzzsX2A1vNJBgdNaXCpSvSy J2TrQjOid2z2GHA7wNe8zZEIGoyphg+C98F20mwfFp5+myOEGdnmiPNxzsmJApqm6DWcylna+ohJ brY8sgkUQqzCSgsNJRlN0WswhVU0LDBo5Y4GrD1H1QkGcAAAdjxR71QNEJixfv7PrHVb3CmfyNdQ Kjt5fg9BRoe5UUIEKKkSCsTM5zWOwvwagMGe6jgBSOPFDL0GUVhEjU1AIu9kRVOTESVKk1skSsZZ VLiED3qbIRAXhf2vqd/RO1wnaNoNZR4A2jt0mYhg68B4sPgIyqvNEIC5+Jb3GcqUvt4yP4TZ5E+R +kLLS/W8c5Hkz2v8lI1QxRlZFml2qTBgil6jJ+6zTNvx7lNEqUDFmU0AZGp6jG4mWa+xE/aZtYun WM4cb93zXGwn8sZRBG3ZTVbGyVio5NPRYcF5dWmoVJB7DZ1wGIn5ZlK8ZTnzDd6l8zbhXwMnPj1t jiS7A1zoyj5Jr3FTRuWNh1G7tfQt7VSSk/bYDlag9g0t14JJmjqnk0HR0hcUrbA7ChgNUNnpTAJ3 Jfhd8K0FMvSkxK5IWJI8WYNJEhG8J7IqO6oQwoEmBHxNPqM1f14jJiyK+s9KAjdqktRMC47XSTIy xdhk1LGGvpizc5KmRPbQcNigrsldJZokY09mbTaT/tH9P88swb+KGLSN2eFqQ3BpoiM4m+52Y3b3 Githu3ElkeLPxvuW8wfIEVvaHv286+c1VMJ5ZqqyOrPh4D0XRZkwSa9hUnZRWQiy2iSVkbzCWZYZ R58/BjJYUigOz2zz7Jvpjl6yO1sR8gikMScYG1lPhmd3RbOmsc5t8TdJ8q8xEn4Mul/MKeTZEBSF ISW0D1m/m+e2+7zGSHjP7jcbqUswoaB4qKbptbaJ+5BIqippGHKR+rp90VGdtjXobEvRuODgZo+Z JMh8R58mhBS5DCp8nVkg3Y+wYvQXKlpCsCV0WDEhEZ/Xuib+THJG6qBbdB0s6VHBo7QuxFmGVGow SX2P71NJOq2Z4H586WtRMwuPBCzCcM5kz9bA+n+MvVmSKztwJPrPtZRdwzzsf2OCu0cgeaSMKsle P5xu3SSzQAwx+FBhVDy9npL8WHotaearULHn1+gx0oCwG/RCOshIDQZ7mKWc8BM1NLkbmbOGzKNE 8WtBE7+GDu0l6OciyIeM+HMmsa3Qy8qf12omTqXxveEyfa3JwbJIMoq2UQoifsplqxZt3VRKKf3i p3gCiC4Z3nGyFN0p3dHmKZ3z6CQhCrfPGbcUb8IEl3kc9lsH1sK0YZT0vkfcaMzJKVPCVcVya0Dh KTJrenHvDECsxum3HNsvCCdL8dX0TgDMxto7gUy2TXfCu2U6aOcMthiqwUfG4YthzL3MPGz1O9o8 4SwBVZIglxPkJAnuNqbyJ5o/Gw7HnzeM6bD4eScAZiHqeDoVH9ROSFAGl8LEXp93/h+O8O3zxMUD zuAqw6PKd/ofbrpB6BQhYRYz9ed0Ss6UBOTQYWbBRG1xSms2qaH8JTU0E2IL4vE2zJwHo/MO19Tz pignU8pZyQmicL7x+zxBjRVOmRL/bI5cxA+sMxxFm8879w8rY/r5JMu/pbDC5ykKv8GtQCFgXIwn fQIVEVBZTBEBVrsBgYJjvAvckoyDy9HmqVONuppQ4/m5beMtgJMZ15b1XHb06fq8U/+4wTeN1hAS aFCmez5+Ex0GesbnnfmXDVt+TyiYqpA+ZNP0HoIjQ5X4mUeX0Ae80SUdl73U69P0HoNnfRJgxxKc 5+gMyY7jZ69K2/FdeisCdYCcP3X1ASdg3ZZpb/zr8bSSDJChUK+srACrSNDwOdI/77Q/bluPL0ll 4023952oKAzXRJ379OYqvcwf1x8sJl5VyTDVRL3H4cjnZCFm6M7+oDvRES5AfRUqg++2uiS+OkEp bNaldM9xymh+3kl/2N8bFA7U3nxQztugScj1dLbf553zh7wjedLLsyiTM9EoT8yJCkNxIvBK8jrv gGmcU41mtmIczGi8jx7F4t2M7LtJx/V5hRrhoZIMW51zpp+RoJ3YeFCpPWFucefjLC2FKBY39Gsh G4SDFhSk2acJpO7PO9/PMufvA4qSOySScp6iaJz4F/p9Wh1u9GRsPzQ/L8lobS9aRuF4V82yMKO1 0SMoSdH2bYgylkmQs6Asjg7UD51XXRxgdr3x+zzRs6Ax6uFgzAaobSX5ZqbOx4NAc/bvAyrTus8T u3eaH5cTFYVE/GBRfJDEtqgoZDpDqAFeDkgUjg+163BQ39H33YJQsYQB5jlaNvs6EAZYWO9Yf0jy rjV71xu/TxMtfYHZnD4IozjQ4JKDZsufd4of/mATBa+M4jN/u/0c5FE8Lkj1/OoYrEstan3esi7u Xy2nMCBXVgc9tTvaQX5+5okyENHnGe34LHE9AK/mUOR1ue5TGlVRQE5Fr5YBHLGB8wRHeTruwpJu fd7ZfVk4oWeecFD2co/xd2ofwoL+v+xnoBdtOXCTdw9yYNpGq24Zx+PqAjfFmRwd8woeKPStuevO 6cR+Q+6JykeJIh4AZ3rNCVHQ553YhwUhKcuixrmHsydGLbOz5I7D9PPO6/s3v2OwRQJUvbW5d2If 5ncJo9+vySF5bZypxYUsfi1Yy5qp94gcAaDKBGOWO3q7Dm2nQkOeCbZoknYCGGvYc8CjVxiN+wHF EuY7rS/LW4jTsiipd5ajdFIaQLWpi7p+LofPO7Hvn0uPvT1g/nfzK++d1oeVnPmDJjNSAVp/2FSh mOb98nNd2Rn1zurjX2BNOmsc5HGTPHj5nh1YGGvCpL0OgYMhupu59ivi2os6L3rlIIqSbInUGifR eNxyUKjaRDKdY3Z93jl9eNExvw5z2gq2Z02FQfkUMy25evq65k/AKd+gXIa1iZ8UTJRUXsqUygRH B+cjTUyTQVQHnr6IykAA8DlbCrUmro+9dF7e6Xz5ooK7SMjdSci4V9cQs2/WzzubL5tc0q1mQlma hASbpygqXypB9ctiKKAEuRzOcLHrBs1iBpvvXD60LpIwKtnmS2IpVqo7p3dH6AtdDoK8rJ6JXttm bADpT9V85ZL1TuXL10usUVd2NRquSm9Q4NgfYE8/70S+/ODytZxwRq32HOZRTE5vnfNbZo/JAUO1 mDztbVXfyuBByymqjXc5QaYiFhFHO6I6SP6GKOhpJUo5QOKMhfkOXFQlosAP86VXDmKoJDA+cSrT cSow/BTqG8fd+ryz+DDN6ZahqAoOUF29hd93Fl+W6zIm6ikaqFhH1wI5bVfqcHiW907iw1m+VRef 8kHmeOvjJ9OH4C5vvXRmTBO1CpAHBXiAghKom2fSivWdxccas+l9Nh9UhTq/7FAV6qyZzzuJj0fy d5Y3kQOVdOcpjMnl7lBn8mw4d69CST5avRag5XWQv8fk53c2oa7d8h0t2AT2MBXoAmHfwYW8qriC MvquXZjHm+SVoTeOpgnneF3UYqwQJaYEP3A9kgxh7/TzTuDjOXqDcv3HoDTVe+OFNfJF5GGZ3pRq kkVgNpy6c4fI5dOCeo/Kz0Wk1vigSo6NHm2euaG+IHnsJ8MrmqgBxRLqIOfNiSpCiDW9cRBtTjHS 2EvYoswSb4G6Fw+YEyR83ul7eHznr8AAwLcBdoBNUxSU68ec7jTjJoVyd6BWpop1O/k5/h6UZ6Pu 4exfd/TqyllbdcimcpKfbmbSoEeRKgpvlQviIe73nbiX1Y5H9lrvICgByUncgXuNzztvj9XJf87x E2SMfa+7d9Ieoi56Qg7K+MqzdmRnwAigRg2JM2feKg+DciHvpwpMGm2aThwGPTNsu7HXTqMZigzc xrnxS1F+0RTNsLI/75w967+tSqUvG5TjwXyYOR4ERD/vlD2+5r+p8JkmyEXbNIWwlL4lZ+bR06Zw u6aJLhbWCXYh4ne+Hq87bLaZVdbVqGlCVaks6qvA2435i/S8KqSSKQtDM6MumlAueuNomiT4lpMP nCZ4Eietpjb5eLDp7m0n6xlUMZq37965elhNhRWDdAmzi7w+oQpmMYEutKasQv5O1cNmEG58WElz fJU04cKaUCGQi/0qVbpUGytuwP1njxuL05Tr887TY4mDiTCYIDZYoe4seJE4Tvj1eWfp/XuEM3/G CX4hTu8UvWzI1VHTvHT1UV3GDFbFBrMvZHqA/fnO0ONiFspedo8abZZIlCUuHviUfeILlZ+wv37m ojddv0CwSSGSd34eC7+EgFXSNzYYOQL2nt+hDa6mc12Xzzs9D7/m/k5ZQGYeIIraPEWh+KaidVvF HTB27U5HqA8dYY5qtP53ch7bwZgfGBWtO9qmg2t9BrUf+geJylCyAGY3CoUS6peZqy+6YZ93Zh6m SUfTFG5ubj/Bk59TQNZ83ml5/ycSB2QAJgE+S++RuMlgOOySs9T6tj23d7OeeS+UzOcsRdVx4nRh nky+p0YLnHBRlgo0B1YTnAqkfUDVsUkTsd4faC8TlndKXhYsltLxd+A0TSI3xbrufM3gosv562ii UFIrnrC8E/LwpYXdYJXjeIJPs8+E8EjN9wR31Nw7H4+bzqQ7JWTN0fPf8/G7SZM5ATXRGIf3hOsN ZOtziULlzZmehBa+0/Gy2xQsdTnX7XLOc2gVcagXfs73OFzx6ddywochz7F5iuLwJju6bkIH+Cfr mqg91ZIdqUI1Lcbh71Q8zhOP7pZNy0aUtskewuQhvthsgSZTV5MzoeSaE5ANlUKbXs1MeuUIP5dF 1pgcsiu0zZnha4Q/fmW+aHDXPW1OFAoWTFizB5jvPDy2LljDZGfxP1IRpovgFXLTlAGXkQ339E7D Y1dKrlhr1TvavivgCoPXRG2IuntnUQFdkWsuWlO7eF6UFD/vLDwe4407jokLtc6I666ArjC0+Tmz x+ejFPiCekgGhQ3reu67KBQnsWlWYcG6EHXG1Djv3sx3vEMvy2Yqqo8TbQ65QQEyOHrhFwEA4Dqc qQIINEtPrCzTb+2k+umxxqLawTsFL0t0AKGFqC3FQauw3BU/Gl/9eSfg4T3z/jqikJ+ZzxohmWEs jr/qZK+1Ova5VXdwAICZ/2yNikmFmMwwFtcJDvfmO3rpieIZYBkgszvzRc9jXOMIwxtopUAR+xE1 aODwTr/L8hNY6JInDnCbZk0ln2iKmIYTz8zPO/sOO7f/23A5OSAt1m2iQsQKw8xS0C2h8N0gt+e/ DA1HpovscybqBWBBvXPv8rXGqgquNXpNZQv4RuZPS/DB5UQtnvsF64i9DCs+Ucz18869u0Xfnmmq DR0qZWkJUScDIHh1f96Zd6xp+BGldTh+JoupmqcwHCeYPrMAQvZr5iH3H2U7aKtK14ZNF0IEmu+8 OyJhhaJvwmZytCLdCaBgNMeab2FXNYspjKJNbsD5FDh+3uyu65WDs5zZ71DHhTBf+R+Xvk295pwT n3faXX6sIO0oh2D2Snc9vQfkiCg2m8D5SnSm6WJ35jcKbUBIEOjOe+fcZZczPcdEm3f0RucJCID/ Iv2815PQSCp3wes5k1dWgMn0jUdqxjvpjuUyipHVVX1QQAAv5CakWKmfd87d/8GMo5cF1KzPU1gb H5TLaLf0RES31ehccYRtb7vy3hl3NwuGvPm8o83TyefOH4EyHzS9z3lEc1rKn+OQATglweFKWbBq Ku+Eu0s+gAyAD8rvFjSLxY1u7fPOt2MHon5DD9Om0buHBu98O86uNFhMRx84++2kqF6axZrnBsY2 4DyFIblCg1GEL+Bo59OJ/eaCWgZCcuCrKQcKn7ENGgkaLiAaeYkORefPO9mO84S/bPecfTCSBvwy 2J06f8PnnWrHTM2PJ1YykdpBatymKYSrsKgCHTWLC9IqFxQtpl2Hqcx2msY70S5f1bZlUvEcncmC xgQ0GbCcEK10E2iBmO7kHkzMgxWRazlFlfEuXA/XgQYr0SGyFiOq1c87yy4/+pJaTtASo26jTVMU kVNU4/w32TtSfTv8CThjW03niHS0yjvDLrsI2dgSUtbo5YKSzikOw1BwzOEWU623jjo+HUsSA3Lh xPCtn3eCHc9TwDAywAo22DTlIuYzUqTPO78uSyL2q20OCszMt+LbwoCcXfPdXQWQxC6VVc5WY+Wg kIqIoIi3XYgeV2dzbJUyOdrp1DekehYK42iZn8N2qPiEYgqdEcASvnxgpGn4niAoSMyAs4QlXV7p hMEnh5Fj7dlGeDyIMsc/1SfU6NZD/AmjcXYXKTdt9YJiW+3Efa0ka0gtCQAiyowK40lEzZG1nEa+ y2n2VcCbHeSPnUVUTYCTuGuklLw8HnTm1hsHrBbgDc92A21Tgyq+EDGUr+jJ//F4ACzI38UnsIzm uodTj4JxVEwJoHNbhgz8s6ap08lbRbpWrX/Q34Pxe4ZvGRprtOITNF1BJdsEP8FSW/SOTI4byK2z 3hrdIK21h2xNOXyQ5umSbFhMZ8sNGWae78PjESa6fYXig4Zzw4tPPYrEIZwM7YvipUx4ctgs5bvn kEGZDEaPkCrjzs6+I2cJAh59TwrAQfKP9G87mzqSK2SX8F72YgHKOvieIGMh+XdXFgs4cAXsDTio yL914vG/iGTsrEOlCk6qNk1hIE4FjJT7cJQKFViFUnGTIoi4J4M+9agu7hD7JJcBjhYQgIY0qAeC DBgJi4SzJolVkGBEHf+pFYhJFgXiUhlrk93yNnmm/VDB7gSuZvrYCp4PiJsXclgF/lnQdbjYzB5y NyWmrBVFuw+2YcmRPlnABR2iDcRYvEex+DRsZs3PaFNVIbpGmuOZqgWMr1zZzx+KJJhirC05ACMT yY4vipJgVgkqqyurkG2l0/vE9pQJpQwWPiA4yNN3hxOF55EuybVHFE6SUwHp8pk6569X6s7uqR4+ weFQMxVG41pUdC/z0TvmBQaIqNEivlyZAnPsmJ+p2SACEzTumlm873pUH4f3IXp+0mLDipDoxcms y2C5ANwvPB/M0z/Uu8Fr89l8YTROuWfZBpkBA+MCoH33LIaKLnsRntn5ScGKGhaF53lH33xns4H6 USgOjJtUzLNWZKWLHKbRYk6HVM165SAwkDn0FGJlMCpjRRPSADyyQKLB89Hma1+nFIo0I2cPNHtY IkcoM7Mu/vzj0CWUVUD6x/8rpSML02Ac5nE8LkGVNMsdne9aJgq8DVROQPrhQU5Mj0icpLrO/qVK OvXKv229WVgBnqziFLXtBlxWSVOEGV+PQvL0T+2XAVm6oWZ/D8nRNiS4oNGUUCKbSbUo9DmpzLbp VQMQPM/zKCTf6uDlLbcYjlapA24HtCIC7c/9lKQd3IYKUGgvFRTe3P+EkqQ9KpJXxgWQh/CBkdO5 TAEUwz9Xang8KBi09HVEtfObUUnN5ilCkNMhYehYoMfqzN08Vk9aV1SzaydGwU7g1ouwKt01pkXB K0PCzxIHQUOkcEWVk4nunuR2DOWGDKgd+hVu5pGhSl7xRb9xFdeed1BhBbBGyrecZI+PR+BMP6Io VAce6uT+00SFQTkpU1m+0FTZhDOGJipLLH/T9wkiOtx6UYl8ieJy/tN2R4ex9nmWF/DiEOhJ+Iu4 9Sq1bjvVYciZUkWTHiz4oigX5q03iMPgYKVJUE04UbNMPB5xzb8DqYxO+ly3PTWisJzGEMjIHEQ+ ttcMoMltvQRc7Za9jKhGPjVBcD68oyMM4FeIfgTtYcq5BpnhoLcPSjz6WiiAGsWFzUN8T9SdUu9c Ig/VvuUsy7QYzuCky3g8iMv7xdpz58E9YKRLyo8Cc+6BoYP3P2VbVscEVK2bc3ZP0PkSKz8skS/r TllPYd2uMFSGzxFVwN0A7r4DSkkYK8AxZ+0jP0VTzEXXcHPhiyJSJ4FPdWsAcZXLaADZwzwP2Ek8 H9TI9/7OYBIDfI+iRgggTyRv9GUyYhDU8jbeoueHFlQtPlFRjZy+fCe0X8tGujPBLB3O0rCppGj5 gBZDqiYoAugRSr9A77rJAjQIPyMKzIFoIYu6aMjK/jtdxMjHOP/zGVGFPF9ivtYTerC13GkKMSt4 DG71zjkvLoVFl0K3WKDKrfZdFJbbATVrs9Iv2zyYpgU2H3B0mKbzQecIlEnhhqgIvYKw2T0ygBTv Z4SQlaWgXN711SJaSK1turNARmB/Rlgg/+d4QnAKqJbPUhSSs4096uoOMqhjuSbddIGVBoNVK9WN KCS3FLd3eQ1xtJB8wweLhgponp8kWI7aHUrAP5TAJNDAGMKTBLwRFciJhm4FbT8MiOiUBEPdgb1z vCye/6uDR+JCv5KQnKcoJIegIsBh+aqGtdrsFB8y/Br0qneVpxGF5Mt8HFPdd/SOMBjIFQUcnOLn vsuSOejor5/oZf8slqBEzKeAwQix4/LYk0k2B66mfQ41einivScej5A9330EpsL78s1HCB1fciK8 9XFYQ/gsqczZ6ffs7ZYRQceLCFMg1d3RVhPOc6r/0Bobcj1qI5x5O3sO+fCa5QLHm71w1Lxj3TeB zQkvusl2AIEepH2Tt43Hg9hpfad3KGePlO+eiwErUlLrHhHkWdqllZl+LbDr96aLYnErGPS9bZYY Y2bapy6kXnCsRhp8crstWtlEF3UWXpEt3WnCD4fvCSInNDcbWhAsjwO9KDQd+FOsQY1zm+L5qHnn e44d9nNf0mHA5imMxZE8n81VXTassunGNPicHRYR1D62NTlHGIur25K7ziiOtucS1ZgGbQhrB7FM 6IKegcIoJ/H7oX6C77purxzE4jTUa+p1SlFD9V44iZO+BBHchQ8ICgb1FqGU7Pycy/nOVBiMV2ka JreK20Sfyiqum3hfAzHcIFAjrpCLfreonqbR8uABEWt4clC/IJ1zmtzP8/9O5WREnMBvXgcFHeMh oZNcjY6eFgYQP1nyPeGxuQmdfxQ8//9pJZw/+JsrNcMauYivLQ8HrOR1pcifWt1snt7NUNBQFIRz Tq87WjAOe0bA4SmIwUCMDbw+B+UoWF5pF68igvAM8SoU9J1NBuLsdjACT+fikMFsLRWPB9PUbohJ fMF5k9ke8acoGKf95dnTbV8cBnXa2cTgDvlP31wNhzFDPqc8ALr5w/Uvf7gFtk9NRZi6DOS1gnGo 0UO4lsfkuGkwfRNmyOeURC3wFDYkS1XMNeXswfKZIXz84guIoh7QxKu3nTCjYJywiRPTLo+fmkBj k+2E4eDDMYrLZIVsziKEWDcEVL8IKJhNTCZByFrOxTdlftnocYl6AeoqMC42YA+lHmZYJydIc2JR 2mCRQaa0GKnUFY9H0iH9+yw/R+MXAGpG0Tj6BIgzr8LKSsM4QK3WdE1egATWPMVFcpV8s+orHG2e NnQeG+IMAAxgdsfN2aG6DYDB/oEd3DWUXXrh6HzSOmLSspIlLbgDtyANJ76deDzSEv1fOIz8Qz07 m6YoHKcF80zVOAgIX5aRg8/myNdsEPe1pinkcqpIUJe8ODjaNOWCjh5YTaAj5Jwkyno+9RxNGzjp otBAHWEqxM6Qyym140RZDA4qqpxFOYfoir3i8aDV2Txr4ctB4mUsr2bOuECO4wlQLt91rSfHZ2bD tzZA4EzxeEZwlS4J+27gAY4XrtJQDWtd09SrPBJ635TwPUtKfkEKoGj0OaNoHEoCILWsOygqgM09 +57IHfF4VPP9Bj9hHeyHjTAjtAqP3hNcDo/Gc/YeXinJRA0gdp3MSmJG1fFlrmZm3dXk5KqKyjmL EsJLcgcXqQrcc5C+nfRYJdzXcPbkT88oHCcxuJGTxKEpHIfZRTM71MHXjMRVvlWNgOMjGtKmKeZx UqynpGlhJm5tW0y4tG0xZfXvEj8pEjlWajd3vePt3yGcaVTPTmiQqMd+wlhCBSpbLv3pIWy9cYB9 ooML0mofVKADu6FJRnvz8WCa0vfZhLbPHBdeMCOwCnULzn83nD+NI9TpCN3N8mBI7Sd4FI0berxl 8ag52jSdGapyMoPffIHkJWPMXdTowzlS6g0JBmH2M4rGGzWO4X3GAQ0BrqeTXKIK+EN0XsXzEfrJ rzq54+Beqd4Pnr/zOEs1KQcAoUzeCPVeV/E7B02zUsGMxVX4R68ijiZHizEr7HXroLowrO3WMoIi YIgTeSDLTT5Pq+iNo21HeP2gCKucCH6EOazU2wZBsePxv2LMrKQFKLHb5VxRLM7keZwf1o8nOEdo 30GjyY8nGutynlaEVxnFzBdl4dKk9S0MK2ZtbiNyptLLUGEcbKzzv+2YTFcMkWzBitXFybmrYuBV I2nXk0sPobDRhsfjf0kaUXfs7OGJKMFmKSyLLwmMbi+Lz3kLdKmYSPRZTLQl4CyFeJWhwHLkZ/Q+ y56Y6MFtd1K5uVXGpHcSuBY/ezow05QzV1gUZ+674PFOc41z/vohLm+cHyDS8PxfkmtSq91oR/np tEKJ8Ur8XMnN8Sr14lVyNlgreOfbaiorxKvo8IZLoI3sNRIjdqKVE+uSoXi2CYIzkqUWsAt9EkVe 6hM4rZ+G74kKdJU1pzR9EJSin9+6C3K4+Pif+Qpn9yykdMu9KwrD4TNIdUMvqezspSf02UynB54x FjitEDfetddMT4yjH+ITikiDGLGNWt0WVwoMs59J7XYKQlpIQCjdCqvik0JrTT2Whjy56wyHChd5 YgOCtSFSpf/T3oRg21ztTlSoNj6IoFOSMhk7kb65ETvVYYWCCmkGm6iQyVndAGjc0bbdBveugCAF YbqS1ihmhsetytW11heoZ+qVgyIdsSonCWJAjsJ+Y8+8AVZaGNdiW0x8QhQ/3cCATT/gffcTQa0o HEfNM+MesuJ4B6/Xyk9lW/munV9sG65n/S6tAq3HfUcrP61MdgXpUh18WNJB4JmOoLR1VJr21a0t idrsK8KrSAoD16gP6lW2MaWId34Xvmck8u8zRawUaGVAhdg8RQE51W4H9T65qM7Bdo1aEiV6rfq0 TDFkhQF5cRBGv6MtKtTsKGBApNg4ATPJ5rRdR7EA0U7B1hMII+vOi5VVKP006Ow2KVDMyVkI9PiN KTU8HumJ+Txx0wIdJMdAzlMUkVMrfciOQlW6kXw9TUrBAFtwrrxp5cwV4sd1SpF/4aNvvgWfzsSI /OTToIQJfLgB2i/Y2HnVq6oN2A1fOao+yZqFEu1oxcvO5lzwmx1IKGRVPP6+89YTkmelg+e8KhfW s0IEOfUzm/QzKa0yrtXw2isZb2NOx/yuuESulURSnY1OwKOjEAzIyCvbcxHScmJ9AlmpxopbW93g QkwDvijwHxE5uNEMloNgPVBzM6j94HsG6IJHS0x4lUXQyvLS74rCcmJrxqTJvfrB0uihvMraVzQa OHZNVSxCbk6dY9zRpmoNOFSQCE+NX6AOWSMffAaVuUJFDIORUzR6RXE5u1MV0YEPqtSd3AI4AYTo s+LxYFFdTidFFijml+Yl4e0oMB9FGKjpjm6D0lPK9FoxM5KTkHdjueyoSD6Stl0zsdH2iI2mdpJ9 BGwknu+NBiGLUHlSMBq0vdRvfUW+6DsKzRt5CW2x+As4DM8bMEzk+AtnVz4eVOvaP3BWwGLZrNc8 vYfmYAMJppKuz/dwXaN2TicrHJz8xoXXdiixYqhDGeZqdEwdpBQB7KDoITgOZvONkkuGKB+gg/le e/RI2CGWXLDDRH2j6SBHnA9pKoWBXPt+j80fU1O8ASYFNahyi787BJMPatGIlo/gvNS1/ZRa3UsH fbgc8o7B5MpdTL22Puq16MCcSKrqlMI67/xv6EkJ+RA4Tybvnhf6ION7onodsRidECgO4gNtyHER 47PTwOORykr9KhyAV3zezcODHZI6ceJAW+AxQt/NT6jdHsRKN/WQHSJWzP3Hegntq5eA1ghwUExY EgwPSZTtSc5BJNai1uaHOb4WXxSp0UyBVZIPOqEA/2SNsG2spwiz0tI3lhVTNNuFke8QRm69hO4F lrYvqTNRXJjztJoj6nYII7degilpcrTCQUE/YSYmdgl84UWB6U4lzYkWQNu3Rs5uK74mUjEQXYot hQ0MibhSKCpKjAZkeTwf4cS+lxNKkpAQ8mmKBQ+lrVKvqH2tXrATTJQyK7jHbZpCyIouvCF6hkan TZ1oE54RRJGfD11T5V/k3+ctz6uiX+QSBtjq+JoghsqKNcsdBIA6N1HvwvikhceDjPgpHFRWDnDd pVvY3BFoBWkzc2I3xj2Xq0tAre3LSfglzVOod2g6K2n3O3oz4bwJ1B6os9I7ZbOYE7ORRg9nHKSw L2Gm1/TGv3JfZ7uDJmqgcqN63eLjfwHqVK5D/Td7krejoLwSdliykxXBv6xGnGqju/9WptEtWsI7 Rq2osrJN034/mvYwDjkrCuohKNyBAM1dt+hVyEymVG/hsUvF7wkiTQEqN6vlHKxMDq6J5I0TH4/q dU82/EOLHBTs7ikeheRoHoDAm10Dqhb3wz0BfXPtfzj82DzFIbnmx6QMOPpld/62ibuaJRZcbEKK LVBFBwgXIAw6ZmVPvXCEO+ThvbjtlmfctUIIQRpQJzbD4xEVqH0VWNb5SXa7GKgdReNNm45eFaK+ sqdER6BUTWcMIjvXEShCrEwnmktphaMXf1EEzBBQIqcTmDnV6yjJOMiJSa7zUBIz4R0LrLBtrhuP g3U3V2Wt4fxzDjweqfjdq84cEqhD4ttOMKF4phYVhZgMm6XbppDfTjcZHgbFyCkUWVE7nCrVPlp1 BQZ8J4gm0v68Ku17iBADCBvSGGcl0eR8LrkCbXvpgADLv64KvFI2bU1UIad4cGVUtNPmR/yFZ2X8 LlJSfTyUQuFDnlODxsP/kRFLV7H/2NAoQ/I0lEM21FhOYViu6kGu0kXm6BXzs6AyldfYc0vE66LK AvOmkxODzYnKl1MXGZbjm37DZADrywE+QJyuXuBewuBo00cpisz3RdgxSzzp+9mH63FMSLFFEMB8 y/1JcFwNYy9Smsb6C7X07dMVwsmlfMhGqY9ebDlrdrW1ZB6MDF8cqnP2My7H4QR3RddFZgkB3xRM F1FjG7EiGAoTWOwfCY31SqDDOSEXPyCIqMq3jA8U2SaaRj5ZYfkcicn5vZtN1oRbgjVjxrboCpLk zVrFarW+v4FSva2+HUfbi8BknDBzU5P8hAqQf8VkZWrjN3CTKvztPOWTc0KKYvRBxSN3hp/mUXxC z03EHKZtDD4fVdC/paH2OQbnfFxxUxSlJ/aLVzJgBrUep51bfSe3LFm7VF9YUQndOlZoB9/RFlbv J3eFBgsX1gkTpY8EuSiYHZdKJxmPQEsmLxbfFLmWELjZ6ErNQWXh5DwYHBt8PkhoyoXbdXm/8ZX7 1zkfFtGJJKtY02KebaCAeG5BFnHaucUky875sIouM45mxAWOjv05d+tGQZBOwicBEWahJ4j15QLE WoZJg2QzaCCkb/oVnSgbGw6ar4V6giAuQ8+/z1f9NwFE1XPfZl9OsW0QJmgQ7k94Iiw0rDsj1WPK RC2ovNhcRTH71KFVamt39LrnGjCxG/LDOf+rWSQ8Rm4skIX4xO1nPARs9E1RJ4sBViaPn4M231op Nxkv18znI4qsX4j08MZFswHQ9MkK1Vi4EXs1eh6pGcMWFrpOZIHihgfGSxsxLKerN5qKRJA4arKg YlhRR190hcUsSfevJFrSQs+0oPZqhxYxdPymIMXZkmlrkmmjvh5230kwKLgO+F3j81F79JsNA4jP uQ2vD1yKgvelQ4vcCtOL6lcvqnWXPUhs5smBMZJkKSZ+rwNeo8WlJ6k5sVfnwkpoAhXVYM5kFUhe DlOXNqCiDKlSbCHESnqX9mY3R8yzG+ugrSxLtXw+2IM1fe1BUnbLw6+SRMr7XFEveV9trTm8oF6H pz/o23U3F0xhRV1qwNkOrPx1YMHxpZRBkDBwi6uqRZgp7UsycqeRiQh7pBTji4J6VZGvINs0HFTw XZmsburXTD4fpc6eE6qnA2n5i3TJobEnD5nzN3gpBs5IbpyX2s2dK5XIZVgZYl2k4Tpqynf05Lni /6A4gOQZvAHF+7MDeDoBo0m0iZU9Tuv2zr8R1c/tnHzQVAESyTUBuyo+/5dEBKsx+LXm40wVenu2 LAnl7s0Hu/uYPs/q6fNkc0NTFQFekmQ0yFDx0eBTuCUKaekQRcJc6GQDBfRnoG4DzJYLABK0mGNv T2aGa7HcsJYjOWD7TrQcKIuVzwexe/kHeg5L6Pl4LuXY3RNTMLNXPCFRa+dW1b0u+aiqw6rroyLk oip8xYSRyiOMxC78QjUXHf4TxydtwIXkYNBnvhDNobkqzd75N7XELbjwGYbgK5Be7Fuw6jr4fNSB uIcVz3BQGfrlM+TA4RNGaVOQxXKLfKYXDF1Umn0WGaN3X1Zh5C7Jtl1FuuKouTqXxVlYoKdD96cC yCHKaMEO7EChIU9zL6HR7JV/2YBEJPigll85uVozGnbl88FUrW/0ItShBnTpfKZCycRFIQ11tVi/ mtczdhBZR4GkPtytIwcunxCVtUJf2Xe85XWoKQDLD7BIqrRrYHkdFbaJYx3tf6tg9dHtnSOZFs4R r0AMsmtG3JxFBIE9I58PmspXpoXbNQMV+Lh8BjafVk/Bze6lPt7pksWnhpGmCkx934BhyC6AQt9z 3dGm6qwebDxEC+e23bPy4sWcAE5FFarJVoTgVH3aO//GeEwsY2lQtQ/6w1MR+yp8PtIrnd+H1UlU f8hZ9LkKqaEsy8DLxtPBlMzpE7HjsnRw449T6hxafSpK6ITJ+Wghe0FLq0HfB1Eo5G4EVRikYCW2 bKiObyU/lbFCs89pXGyd7NVOdqB4e7d6FjdAFLLv4uGCyjkoW8xbHM2h3WeSEfhIbvdpnuDk87FR Sz5fGS79ngO/z0twKMyAbPTJWhRsKZsdUxpICGydsjmn82dyx3TktvqmSA1BalL7DhZbnaBriICV Kp+PhEieCinLzlRP7c/ZHiLT0ZnrOy83H4RkqYEaqRTiHPY5fbZiaLoC0FzmHX22WkJfubOEhYp7 Q8acG9yXf6BLTeDnvnih3uylI0sBRqLzGdQ5PfGDGTiz0hA4f/5vB2f0+tbDL8qh8ydrCieV7c4U LUwvxKnlXwvhYBhYeTIYW3+qLVFSr3f0ct/5H4jeEYN28gJIpXMbsuFbcGAWaB1dKta0l/6139Xk ytQctXC2eZ9bHQqUkkP3TyIEH+UWkhvplmKzFRqAsj88BmVTRQ2hpwH3YRWGgT6psxgKNIcOoEu9 02LpYPmu93WKIphudwGcnwdcajK7Fu3oq+vV7KWDOgP3zURNlUNXmw/rVBqLvY7K5yNdRY8bhESD JOrMd67iujs5yDCLkBbXomjrf5JmkDEMUudCLXjO1R9I9TJXuaPDFxJ8hlCYA946AbVCpGjC9ZcZ AaCsdeXd2CHMoQmoFFxmJ8ydg3EhBwrWigUmnw+SnPJdk4HR2epPmSH2ACW6+GQ5fr7nXbKf79uw s2AOVz/fAxNQADS1npKoWdL20S6EtCXSc5xYYNMm1Zxp+oFdCI5z44ml2mhN9tJRrU+6SeLZohRO fh405NYmdOpkCpsf8FfsroCUOPBL9suBEaj5hI1MXSh0wDrZJaokp7y8o5PbdXUOnECvindZYkdy dNT6pjdMZehwkoOkbv75FYA56qg6Fbgk221I2Q5+UwBmMMJ2LhpM9GaeyKFw3k6sojeNuEfj68zC LpzwqvK5Ch2IiN3baXv6TNQJo3cA+ox8dDKv5dF74AZ6NYX7zvuOlxrZK84qKnkP8ES2Kg3AglIh OqPA5wuLRgM5tgPNSnS6BggMkDFyDkZqn4GNvSY/INIC+NZRQtww+uOpHjqCNspR7pYuo41VSeEc JXdKsu1CK0FzFYu6iLw9pKZfXVyMklNziMYLofOeUqWlE2yzTqAkqcecLrlGVZnQEtTC99WGD4J+ LLKG8defD+bzfwp6Sz5wn9/rehHl0BQUL/sNJprwrLP8uRJVLTCRqsycq1Bq0azC91h3vKlOAUZC +TO6LlWnWkIlSwZc08+rDC63Xjnoe2XCESBebIPKMvA6X3IcrJvP/+XaxGN8wLT86uLl0BZ0JObP bV2czMxewKI4raH4eEhppsJyuzDZXSAYjZ4/n+sdXWcWsDrkimVCX5mfpSp4RfY+Tra5CqEyxIVu RaMb9y3vM/xzLcUMSW8aJdD/9AjPXI1HJz6HzqCyuGoqxTCBFoELCTR6JJ5AI0dRAh1Yg6JHaJDH Xe7opxXIer3JiH4CODMkqwSIwYR4Gm4Yi65a8VcObsFNxSCKMmsQCRAoH5ILap6Zzwdn1T/CSgA7 AaXWn5ghityZlJ0MZC2v9rVhFayzF+tFzBDjorkKI3ep6sO96o7eH0Sstyf7g+dnqUgGcQsuoBDO ugICGzIdKFISqFbspSOw/9CZ3vxobzquikjWZ13Vzucjns3NclQcBBPx2lnk0CTUTdZH8qNdsujk 4Kbsvh9lu8VcDl1CR7VCu3RPOXrBHYZzHW/fof+M60rHFfouHUWlRnhRfhDsOXQJxelGBd3kg072 fr7RPOZQbw9sQhG2Xy0qcrcgaQXUjE9VFLeDPg4foTS83jeuZyFV0A2vRk1urqvAKVSoH5xT3SKG /kQMSAphgdlEnVxNABCEcnS8odbSl4Zut3eOqG5sSjSdWu0ycWEfmKSmOzefjwC133oKtqXvcRWb hdLxmUyFK/ZiHa8ytrvJ1J7dQjyHbqHmd5VINrLR0sGKMj5cxCX1jYaO7InYUa0oOhZg9qyT2tXy Cg1DuQXPoiW49twZQLAwsTkzxcruD9JzfkA0Wd9Curmo7P3chKFraGcVqxJrqQbhKsX5EcWUYNAg HF7y+8U2VA1ny57Tkz2Dkd+SjB5nAzan0n0H/moZhnMAEEqoo3516QPjUJC8CS0yX5kGrq9wfs2S /x9EvPyACNLw3U4F4XbO9kxWGLg3HVOmgo5/Nrec2yM5maRA9ElJTuAderv02bzU8uOl1nYD/7bK 0ukc3kmhBSs2AKug60zMjGlT4QrmN0WtZyp7ViJsqbWRTUHopAuSp50nb+AnROCi/n1qSXVn3jwn 8BB1PaHEgJUZdOLUUnQ/pWIGWJVsGZuuWAJGOaHV/MpXze8sg14ppIrcELyuJY7gImAbZncEtXs5 mQpVObARderbXjq2lh1b533PPqdMQuuokAY+ot+1d0ZZYCjVfPOc0Eh0MMoqvV+IX7o6esgEDeIH CzzfhxFapum4qvKQ0eiRw9kgG6AlSeuupJ5ip0Q0pMCBmdn9IeB0e+lIhJjZILwxzkBuJvvzO6cs WDKqP/yAINN5uEo/5H9B5eQ540OhdNSGZ1rXzWG4DP85iEt3jm6ds/hk/WEnCmvHOzqqAVoTE/xV FkiTsgEUkxfJzFBbGJd8mgHm1jcF+1D1qyQYVrowrLOs1Mk9yX/n81FQ6vdhFfl5QdXrMuByaCkK TBMkNrCFiC1aI109PZamiC2ik7ptwyiAnwLZlrzGHX1pJUJsO7dhOkEW05szTeyEAely0jOa1AqI pdJ7aCraWSDlhW0Do4e1UZphn+YsMD4fBKXl+4SHKuLPZspjkxXW3rFpxlAJmWTUalxmIH9ROeBk jaeOFdqKGmFwbjGG5x5+xJ+/YJ8ovlJCp0OroLCrUxe1Dzv+/3URiMUzKwvhF/iKeviA2JYDKqHJ ysknN2BQvvfkBwThw7gVB5UL4WbULmYm9BYdNBErdFoyvkl/7Iy696FhpWAhfGAuikCES2pbH3o/ fegzTx3axPRBP7vurDpJhFbC/pAMEvVuJmJl2StHc2XehuZ0aG1oJEySPRS4ITAXNW/P24ZG9n+2 1o3gQ3PRyZmCSb3N1NrWCjtfDT1/g8x0V7rOobvoUqVhWJ2Uo88UpGegMYo6VsKJvCSuh/tzQY2c Tj6WGA6FWYG7qKTPcQcSm7wlmMV05+RTTMHRpdj8gOAuvFpfomNCxnnfMCs0GCXgdKJQ4lk0JPcs iy7rZtE8jTRXfwjFQEngjk7P2Zmefqz5AUrR1bIe6PrNytW/L2YtFXvlCArJmGhLrxEFXiYoJxAs 8pk+N2FZ/IDICePb6xBnO8zX71RF4XudYpuUm0OnMRwyU8vjPz7usorL7kUILAG5Vb9XDn0Oqwb8 AoEgZ4Pl2Q0QD8IslvZOt1FIjQx+T4ADScoLeWZxUA7dhLYkeqby+UgAxWdKWrQwgCo3ZghcRmGD nOjXU1yjqZRmivyw9DVVnTORLnud/7IZzV3wPo4Wu58QF35Jk23CgWZVlVoMovSNwmgB193Vh6q/ cwRt4Abcgvdth/eBhF1Y8aMxZA6NRmf99q3Ftpr5qbmHRqNk+Z/AyAWIwFhL1vnqzBg0VayT8A4M nEZRR1ZOWNV/5ui9epQtZyIXvCWoMojc3BqR21AdKBLmL19pTug12qR+sroqNJD6kAtUhSEQlsr5 hMYPCErJNyek9BexkFcuJgd2o7gCKTo/LjdnqpRMHRSxCocQttMnK6SmWpIzDQ4y901y4G51ZmMw gU5rC9AAzhepTHAygFDvbdYLBhI4jrrw/JAdDYv7UiQ8m0SU8JNTZT4flZK/eV+5F4l8POdVqOPI 2dp9+Wztck3bV1+CcVPX22PRwHb0rFI1nkdOz2jnFe1o0mTAgIpWMztNCc+ToZOmV5Pz3NneOWp9 MRTttOnlYEzeRsfORK4Mn/8LOkpkAxu8KV8cyOc1uCrY/YyohjnRUIavWvrcJN6ELAfKnjqxXkOr AiyWIH6pPaNfgvNM40LJFhqnm7Qvlt3BA6L9DnUrjCBH8+jXwKqYtvPZbr374MzwpaL7eWk8/TJJ BboKWlG4V4QWyZC1qj+GwIzmaGT1BLXlqCTYPbcBookkE1QITAblNagq9/arPdU7enOwwZMNEBzk gR3IY66mgp+cPHRywc0Zg6Doz2tIVVCoNlLcHYTs63DcE31+4em3SYLLZPZJ4gYbsGSuy+boNZwq WRRlSG/4zTdouCDoVSl+QuHu0xy9BlNYR9LSOXO+7mig2nPrn8UCCwyU9BB6WM0U5nodKjVcR4o7 5RH5GkoVnC2q9Nb2c23sERSgXLXUHdzt8xpHlQfRblNUKb4JOxxO0WsYVSz6Aq/Iq6Clmk4arFjd SROMUVtGr0FUMVkeqi/UO95+fMG1tBIPpXNaDh1K5PVP/CRAWNoUAZWLb3mfIpo/tYxkYpFu88No /OzenSuTtX7uwc9rBOUHC2dkWqzZwY7WFL3GT9xp84c4TgctkG+sSPOksN4yXVBMlcFvtNO2OWVL Fo2jk7kGISQwH0dMx32HWy6xut5hWoUI6zJQKR/3GjyVq1RR1bKp1Qt5J+SoxBCxC/8aOuHpsmyO lhy4oYqWsk3Sa+SEDUrxOLve1Iy/dJudvZp+sqdtLa3XuKmYcwJiVHMbLVe5sWcIMdEjE6SRVjdE HHHH0XeNdO5Gv0P1SpMMWYNJkqAAZGo5oHHFozpBzIGzBD25z2vMVJ6spYn5RR+z4ufRa8RULvyF zGMZ0Ny+nygjxt8io5HWKtEkyXP93IX1jk6IKDg7MCMoGKSzLQit7SR9A29ONGq6243p3Wu0hO1W LAxn5WBjmtmSQs+AWOmfc1/2z2uwhANtNZ8khqEnnJjPUnqNlIo3Zqhup1kq+wqD17bWjyvvLle8 jk5tg36Cj+Cjw6kWZNVwqBGuV0a2tgxhvhXM48ZKt4XgJMi/hklFAB/QReTct4XbYVQJfBZZXHB/ +ryGSXzPbtPEF+wdp2L1Y+m1uFkMNjraZW1BRH95ZxRNK6ursL5Lxbjg5Baemg4Xd/RCMFUq5lCH IUEiRM0+diMqjkSE+o4qXrSfeS1s4s9kGbih38MhCw+FBlIpwtxBKjWYpL7614ZDffuEkmPbJL0W NYuxS8E88rO7U9GIBZUhLzEUVDqwkRLyiM5uEdsAgL2jr6XzOLXccCxtlELkK1qoWdUA8W7kzRo0 jwLFrwVN/BiyWUO+w2Eas/TcysYsPT/u57WaiVNp5q/7LSMJO0l58aX0zgDE5S3+US8eBaxtnb6K auxy/FRpXs2MIm6XQDOoOkfP5MBM3YvgjXJC1L0odo0wc7JO3uHiuK8ujNLe96Ab1UiT3N0+WOEX 5na8uCYlKaI9Zz2FEwGwAYOAEuV1pz0FE5XI/6uJ2wvYuCUhZ5zgWZAg9th38wJdGHabuNcSWZmj oxFgC5j7Fpa/nwg5mcz8oh/vIqR8ec94Uqbxnf/3nOFZtUwpIhDQB9FMLq7zS3ze6X9FPC8LB36A WDvLswy/6N7Jf8XFByVRoJiJvQsdTumKxY1afZ6i0Fspx7nppfLF8SKn8FujEwLVqgmnFtYxO42p cLhLyVnpCSAnfOP3aeqyFRUw1tRjOU2jCUMAWOrnnflXHnqIjifV2LvfdO+8P0ZNUj5rVwRtJIu+ oajZPSCYHjW90/74+uriVTG0OHoWtyr4HqzMnX2CDFzLiYYqSJHLeq461DL4xu/brktlnjb2GqyG mazLc35f9FuiA+pOE3sIKDUhdbJpeo/ArewIBRM7nmZq6fLe14XCpu06su+cv2KW3Bl+TuWOlsjB jLYUiDYQhwdAmGjf+PYzbdS8brfZMlXuiU4nqVZ1Go7D7Ed9KZxvU9ElIo7PO+WPm9Z3HYWxEUDP dCOnd8of/jxEEqO3fLfdcFG9stt1Fd2le1H8PQzPTjUaWw0njl4TB+iYVjRn2y3K4ajVQooxe3Up 3WN80O7pnfBXBBpYQOsOH5Tz4tBj7R/K/J93vl8B8PcmvZoofs6851MYidNYtOQ9vCa+h2k4l3Y1 wUE68pp4FIpLDP3ka8IccHQhhX0WyyC5FojYmlqRqwoYlzth74NWY7bHedsbv0+UFU7QhLNBCwoV G1XEZ96fd65feXxF7XxiK55mvvzSKBRfhBtkFZkmaynNmCC0nbdCXM9eiHsn+hVvCWM68h3tfIJu bIfeLjUU9jkieD51TN4PDEF/aLrqwgCz643fp2lAZh7Gq1VDsb8XyiuEk/XU+XgUZfo0bRWDUQa8 ed07x+8upykPUdJHZ3N36D7Nrwely+nsoigY198O1v+8o7U4GclV1XZhCjm21RM2RZMR60Ge59qy d73x+zwBpgrPzFJ9EEZxnlM2mQFy/rzz+xA+bY/GSbNNJxEgKdjmKQrHScobJ3eu3jLYy5mjbc7x 44y15lifMB5XRe78xu2ONk/7XJzwxlK56eSqpHedeApM/DlUPL889yl9qigen1pI+xk4T+kc6kNG tURjRAF5rn4+8TAD87PX6mHmO7OvXIPDnt2DtVRvFhSodVoKXIm5YeEyjMfVUWlZlcuWb+USQjtz FxiJALdysuO+ZHC4gLaDvS9v2ltzQnLweef1YQtIPrav5YPip3aCVxXBa8mfd1of39OrTkWaZ6bv 5zMVBeRERg8gkxz02q/D4VrLO3Zju3PfO62Pa9Oklsa6o+MSUwadk96ioDWnpMJTJYiMYOEK7U0/ oljCfGf1ebugAl2AAeX2xti/AYbBIwTzPtvnndfHW8+jA5VVztrc3ZO8d1ofljLaLzNl8wwDjCzZ VDVQrR113t2W7p3V5yVC4E1kkcXRwVC4m0haQrB5wqsmi6zK/5bNFVTbHth50StHlRWig+EaRYn5 82JsOwF4kooZF6zPO6evyPdAEyVk63lvwr5tpuKwnNiCfLffYvgjH5pZHVsAZSRbVFFYTkwk1qei BI7OkTnp+cmFWPI9Wx1CRFpUkPoB/LlBaeK62Evm5Z3Qhy/aQm1yUW3hNdmqM/Exqqh/3vl85bHN bG7E2n823E5soqLAfAmdP5cLUpVpNtrnJqGrN+NNHu2MN9/pfE9tHLHyHT1/QWY3s5AF4KplgfMR JJ6Fj72AXotVfeWS9c7mwzxNUYmGoqlh9ONc8iRDH4rx7fPO5cP1fI+pKpUhfM6ieDonKiyPmxHr GF6s69ux+Xm7wWhdKDRqRUX1cTOLLsa6Kg/rCtZMENXKmCigEmdWqzzjbALSmplLfQ70pVcOIqmk vZezD0Kaow64dfPN9Xmn8nHlfyfEoAHMejO9dyKfn1HQu3JUTyNuQqB8IDal2rWnC+G88/g8FYKw nBYWR2+SJ7x6p9wn8rFcqbV78iPCzIGcKoT1mHkmrVjfiXzsDXbJfC4fVIiqu8vDDPHt553Hx7+4 fs0TEn3YDvs8RYE55WfHnmZ3gXva19PJiLcXDh48/juLDz+zCnZjGahgXTb7SeugrQSjgrPxTjiQ XBUcHP7dqD5QLqQH3X2+cTBNrNc1xp1ws4EQNAOEdXI25kxw6Py8c/hYebttKf63iILbPaDCIjlN C1CF9/Wk41sJcXK9rlXdBOOdwcfqtVq/c+87esCZ0EcBmR9MdhQHTS0IifHiZbmLi8BlwlI/7ww+ O4mhEsQ8hoMO8nkuC13tO/M1owqwbzu+HJSkn7j8nb1XHPs7+z3Ha7ldYHajrFxHp1VO03tc/hRY NAUarcCCWw46tiQ6nunMIjrCouO8IPJbGKtcIA+Rv+/UPV9OMhlf7jVOOEGuUrw6u3l83pl75TFA 9vbdCQn2XU3vvD3EXThzB7E0FpbTo8RYMMu9WFt1KZd32l65gkrYYHf0OhQUFylhDd9x6MIpHd6w E12Z8l7FAdLnRyt64wBSsNQoT8UHpXknuh/unVk+76w93sr5e9MhclrdL7t3zt4DTUnWJadMpU/T 6qN5LxgAMk1TjE3B4Q2tyHFHThOI6qsXyETgHIATW9FqGqC5DGLTaWXURRXKRW8cIS+keio3PylN UW3xXJ2MCk5KyseDTXfvOp5DdbOr6NMUxeM0ABkzT6dir2KZHeAMhOEDcA/ZAm26d7reczatIWMV jnY2nTT1XNksJGI1wXbGNIQgSwd0GMq2foS3oRcOUjyQNlsB5s0Gq9XBdkaGYqV83pl6xSSX79FU WccodzFFsTisFdFxWcmB9sM6ngTam4zgCTdZ0uz8pKg1xcWUCAS20fYcwvpyQkdaZ6YO/x3RCFEN n3S+QTXf0GCTUiTvHD3vTDWKsGIAaoM33Um3qZENXYNcPu8UPUxz+j6bgOAc+XaD3xl6zL/Z3pTM lAzqHKVSANizeVrd28HvBD38TkxuJ7kXPtqmg0vBBELyzBNlIwyKeM5ydIIhK58uuhAMIb5wNE2S ekvJB53g6UQgMuc5y+PzTs0rD+/T4ktI3a/uF907MY9tP0HFs++53Q3VW1si7YqNzkIwIGcpKpCn qbq8yXhy9ELdmbSN5hQl8YCyNAOtzCgYl0InLU/YAiYs76w8XKguELF9UF0FrPUp4nXna0aLyTcd SwxwN4SIjE1TFIb3omN7u4M9sR/upn37UqSj6AQPy+MWXmaR+jl6GA6yeso0nUF1LjHEA9IsUUAa uW59xJIm4YXvjLxiYnjglDUfbJ4qbiL+Ez/nexgOYp0f4SwSI7M8f7/PUxSGV5pE52VuarSlGw5V WSs7XhxQX4bh72w83nQipZOyxpGEU5nzwC4UuqZA9KC6KfhcpvFSgmNlpc6mFzSTXjlC0NE0LGWZ tCNM4+k0z9dI9xaliM87GY8BZvo6xeH/ulFTtImK4vBGtyfI0mmigLFfzkFonq9AUMUkpd6JeE9j qssPU6PtuzMVK5PnSw282RNBGydAx+KDiiu/7YJ6cT5+3nl4BkQ7KylLywaSRSxJ8MyjDsjPubv5 fJQA568VNWDCKroUJyqKxDm9E5J7DhMX5xoTlaWQRKL16MZKfyfh4f2rNcxVKOB4ESvnQ6EPQQ4/ xCfM7wJIXKjtz5PnE7HSH72Ddw4e4xgmwF09pT5c/45UKU7Z+YzPOwMP73nxhhLRKLBZu/DndwJe MVYLpBmK45/ZEyP+ebCoT+OZlMBcIiozhqxoJak7p9HxT2cuzmXUFjX205kfohLRA0W3BTEUyId+ RA36N7wT8IrYXav2RXhmRy7FM7mUkyaxU3mCs/l5599x53oxE/8txMmAwfYw851/x2ItM5WWxOBE ixKQk/8ytGASbZ7Q6kyAr1asqHf6HXeEiplLJSiOdpZDHwusRLY6K3BQ5Cac/DPJFOsc25VMKeGg qbn1Tr8rydg/42w+DUlZWjqHq8pd/fz7806+Y0nDsT062uCfkm7T5Z18hxXFKlmR/zE13ehfDInA QjCAhNzSmUHZNrxz73jaqZiZFZfX/DjzbCR0q7Dqe+6FRldZkoXRmACE5QdSb0961/XKvx3mc2Yb 3P/4HLS0j0W1u37eqXeOZrpH1NqmdWLz9B6RA8WK2hOEU52dofLKljnWlQec7tjwTrz72nlSh9Lo Z3lCXRRr8iwoNhKKQCs0JjurFTIj7VLQmcx+3pl3RXQwCpIVH4xTjeIey3Vn1X7eiXcE+/rO0zY9 GRtORZ+osDouo+jhRboTRT2wFdcdAeWnWkj+zrsrl3e3TSZ3PzK5C8wyzB2ktk4O03OWpwuFeBcU oGgrbXmwqirvtDvkwVLMWOzlySadGd5JLsSybycg+7yz7tiEKF8xeU7kDfW78aLiOGtWBhVkcLDV UJhi3VULDnbZBvh9J90VczZFV1nWWBw9KIcv0oZwETzpcKt2efdBGXQB/32WWL5FOkAiPu+UO8TH FD9H7OWDlVVwWhG1cjb6551wR7iAx1BLKlMwql2XhxCCVkiLwllh0Wa6banCIhpjg0I3BM1THJVn Ved6uqOlwqhenkMjY54mtAHzMJ0IiPHxvkhMhRWUaz1FtXGU0gEvAEBag5N+ZJYgGuc72Y7HW/5a T9ACmf32Od+ZdsT80mJt3R7CkGvRMNfAHzOIHs76eSfacdvh/EbWnu/oFQPECTtLC/Bc5YneLGiw o7JdsUITY3KhxXA7f955dkzSAMagDrUNmiYwG7YJec/PO82Of7DD7E14BHyaezpFIXmn5Pke+3oc lqyDCvqS09Tt4GRihZUWAsirUmFT9FmPos86hxuKs120/JGmtYwRQ/0MlvdwCTkrGABlfE8QFpSi HPgOOp1OVtGm2aNsPB4Emv2fugqqdOuZpjAgR2p78vnxWDMsF3rY9JNQRwqHhw7xqDRukJ65zPBj PToPJ8ysJ5xiigcka1E7FNoV5wWzOXk/EM2tNw4uuz3FkUo+6HBq7ZwxoiOWgccjcMH6SvBQh5xr e4LXo3CcaFskeF5YEcVbehjjKdMBWyf+z3s4jtXETZcom2qjbTpcJmd5wx16LPikJOsaAw0NgMYP ujuW3jExxNdEjWDmwXNtH1SVLPCmYVx+zkI8HsGiv686mHWOcWHRPYrFmbSeyGm4JQMifpsl3Tmc pQ3BCM1ShFZR2+4cv3Pd0QK+BOsgqkfCvOLs5Gyik1zyKEUgF77lAoQS+J4gZ5GiazOlRLvpyt7n JG2ibdaJx/8ik00mzAudYIcd9rgyTpWj0psjVSj8Q6RKonS/dTe3VQt6VBnvXk5RnbI/Wt0TQmln IgR/QgmTEoInwmRdHqQUVPKfaoHYZFEkLotMMpIxsHv8I0uwwpvoB56feP79cHL7NBh3I3bCi851 Ga495m+KR9a8XgC/GCHqzizOaYg69OwVjPcoGJ8iJDjsWRmPpqqA8r0TudKQe2z0O4WdKGocDVBg IrBcB4NpcI+C8cq0DjJAZAIDccm5qqx1sBV89jw/IDjI730ncYczSdAVt5mKaJwkqI6k5jhmKpdl Xaly/mmVlbIABNZMhdH4Mmz0Hne0g5ySbhCjwUF+rjb2kkhJWPB4a2yz1iucxfuuRxXyJV45KYqQ G86SVqHbjq2puieeD+ZpXrAK1x9WaPYLr4fROLWQlmRImd7t6rIOifko6wVZ2MPOT4pWlHRVtuBi HG1F9TqXfCMhEHzSmVY1T5ttYFoYUu7PDqma9cpBYFCpqIISPAh4EFtkO2qC7cUA6CzhjeejzXcj A1aKoR4JBL7NVFglx18OzkAzTwErsmQqZuGPZ6A5oU7FElQP43HT25yCiXG0ggFUlM+ftDNhYtDM l65KnrTGhZEnLZCuNunUK0d7j4SE3sVLQGxTpEi64DBNOCH8+HoUkv/Lc1HudGlT/T0kd/lIlJg5 J4BPdKmvIcMjOGwDHw2eHmtQPYKrJCupDB3sdUyPDuCbTfshwjBAoNyU8j6pH+rkBcXTgq6RW6BQ mbRHdXLzDk1kK3JgnSCvDvgK/rlSw+NRycDPc27Zs1ImrjObpwhHzoB4yL+aLquTGRpLUNQLweJq UDRPtvciuEpfhrdvhrfXEcu+C+qaQJGI1Fl2olQNjBdAo4Yweas8pAxIfqYLX/RLHHWOJNgvaFBp 5cQVm72eE9jy8b8QmuRvgPMxAa2ziQqjctz4A3Aeq/4mEBY1UZlZPxZUmWiRaOtFZXJ3oRVgRaOX yetJSBL7eBALQV2OC6pTp6fT9ITMKRU1B9mvPUKsdEtb7DS3HY5adZcxHJi0ePwvwjm1VFIGKekC xUYUl8vYatbi7kOzspM+CTIwLmyjJpgizhGVyafOqJWl/bTy1X5amxuxMD44Ac8+gTrTl7lpIkDR SLQTpumQZr1xUNOU0cIkyoCDMaegAiuxnpzxeMA579+XHrwggYX0aYoCcxAVkTFM19XcbEjL8qRk EyHtJ883ZM8Ii+TL1KWV7XH0MApQt44tAsA9+l4MJDpidCx9REKYZVdew5P4oojXKQ6P3IdAEdV2 OwHIGOpPNUxUXCX3iSKOmiZi26ODEYLIWVyRADg9xguPRuHtYX6q9dSvx/iIiuR0xsTpQrOTM7Ib PZTnnbgp49KAUSF0mU3wD1C0geOqzQuMBrh14GsCGCt5naBAcsiy6q2d4CFyMs7/4PG/mi48/qGZ 9yQwIwStdGXDl09dqCcvKe6SXbW8kNzKXRdF5UuWl1+V3+SzBMURWAuRh3duP3DtWFvpFAsfkBG6 HoXQnhufEWJWhqwV5h0MdQiVHxV+x/6MuEB+owL+iGclnoVypykKyTtDcnNVIHlD9LJJh0IDHzSY pBlQbEQh+ZQXxSxSXeNohxNizXPkFLbP24lBxLyGt/HJQ1DdLKASGEuYZK3PiArkIJSdsAl/Gwb6 kTMJXri2uenON31GyOtsHmnyv+3UhfTUZUQhOZB/OHG3n05LGAwc4kNuclRam3fTRSH5Emqlm1d9 f7zqQRSAYBhPpwwDzWUoVhwUE7TGtecl51PEYITYcYpCLos2q7W/EO93kmPYo/2MCDv+oOwxS0yF oTNlsxRix4fMhepVV+FdLtYGatY6mmiBpVmKsOOSTMHN3O/obYQJo21hDBJArUnqrYmdWCgKrfkg x9G7wNcEi6kuAelsEBcBmkJE5zGo7Xg8CJ3uAc5bDcsIUYHNUlQdl61Q6XeWzpXmhKm2XckddEnj toyoOu57bqhgcEa8fmaIeW7hc22q7AtfjkqNkQ4C4w9YLwij0p0miG/ge6ImAsvi6uEVCuoKT9fO KtKlUVLH83927zip+0ROCDJsoqJYnJHzQI/QKyv8A8l9hYeG5cHjQuxHHItLE6MIVMjRcpbzM5yv WDRBQ4vNtGYbvXAh37NOFIvTxLYdQ8wRxuJktXSKNMASA/CgpMP77EIWD1afCx8QVAzad1WTMgpo ltpMxSXyqU6CAoFscAYGT7v26wfavbIywhK52cQNSdJwtJk60RGM6OlK3ybOq2li9xT6JXEG8ZQb KWx75aghvNjh7BoAMzc6z9nUPBPPVVvwfFRauZI9TJp5YqUHZDDDOjlXFcD8DlsRLV+S5LX/OFMY vWxkeDPUNpT64zIbY44XzprwhzBxQUH7nLkCIFb6W+PEgpOX9/DIFJ4hakXkuz7voASvpFSc2Fnx eNR1+V5SJ2T5me3ygGYUj0O9jvz8i8WglKOwGKR8/ccvnoCXcppCWqewBUMlS41ehBoQnUYnCjfe Br2BAESKQOTCeULz3hNh+ifMmNZJGBQjBA2aJ3Bz1HY5Jzsej6TpvCfMxigO1Qm8vk1UFI8nAloX swyFUI8hwAkEm4dQ+YplhaTOqoSlqIvH0UKD3cBlQlR4fn7wwxWVghdLw1JwyBAlOLqHkg/z91L5 MgGfOXW3wheDjAAWoyoejybqu/qLismu24ODGUXkVAIYTcrHnLLWmhPLsjU+z75rLoI8wzq5IVmX ek8cbUHBbGTSGQH+UaiPL9kIoZkA3YwfiH1eW9mlFw5mSXo0XW4cJCfzSN74IfiXn+2HxyNVUQ80 eSmDHrpRCrVpiiJy6vFM1l/VeWm9ewxVi+sbnbVRrPMyo4h8SOSwG1+Roy8nVOZGJV8qoSdjfKkK P5sTI56TXNGBmsI08ZohoTNRwA+KATYY+rAn08U4sQ4eD7qdz/FEObsC7bVLO58xZAXL6Vzfd9v1 1hzPWowf1Bqcc3XlzQiyAh4ooqecn9HPJ9T8c6WbbIL5g5dVwKZcVMeoT6xJx88ZReToq1Cjbvqg wACYKyJW2kkB8fhfZTpOE2xIdu6e4M0IscIf/5zdy4nUWXqZRKyU4lJ+CVV/TVNUITcl3zPl7Y6X SL33iXnUPEc41YYQUBCDmXQQJerX4PbkUc8oJkdgtxptpzlkxeRw9hUuFNBbPP6XzArDSpCAyjNN IZsT2JGBtMJCzZFvka50FzE4gWD3QzyUPKxicVaVyUe9yMOJyBk8l6T87pxJ22R7Mnq7OP1BRr99 hK03DoBiW5fduIOKdHkvVTZPKMvHI6DYN5QVOM850z3DI8TKlLIitXCFp6uPxqjUQ3CGM8rRNEUR uYPIp4q+HB3JeiYATEvq+bYOcR0eTmktpTv0rbhBwSDafkYROQ12weVn+7wA/CC92kSeCyKimiue //8goICO71CIsYkK6Zydatvs1gsB1bMBDxs94oVZAfzfJiqUWVFTeG+5qXO0IDOj7AuRBHGmwFtU JpzJegVoF0Unn6dV9Ma/0PKheVx9MODhCfuHDOF2x+NR3nKPJ7L1MpBiF2y/omCcJcgBpQIvjidv CcOJzY+nQhdXzNOKQCuuflzruKNXDAoSskxWPvhkZQgavSC6M7F1oIpj0iFSL1hhbZxOlQVIExsE 7YHK6xJQrFY8Hqkb3TIdq4hnq+NS8WmKUStTGisuloVeoceYMutiJnwdulaIWpGYX8+q53Y/OKAC tejVLJYLQpDKKUXcQB7G/AFn132IWX5aYWm88pbr5rdx/m/DpMRWl5/BSYUKno/U1/bXrsOx89WT WqHaOPaqQ1WknWO6WUDPGmgTMaGjVlaIWlHBgIkSR/k+Ee7bExT8MjUPzzE1q+CZaciRkezg+sRO 66fhe4IYM7GyIrfYct1isZmLnLZOQIzHo0j8JsG6I39GuhioFUXixi3r7rFxTvFbpoPIsF12eywL nVYIH+/mfZqe0U5x6Ezv2o32in23ZUUFk8xJf3VKQ1pMQEDd+qM4DkkSDFCr6TrEyx4KGweUa0O8 yvhmAmWUUie8sW2iolhcNGqoxBgQI9diDBf4UKvYAiHQbsHTihmdch+eJhc965PaAWWfBr1ITkB2 gk5FBZnydKj+nk9c9YH2TL1y1OMkAqPIXR6t+JYFrz9LUV0F7JCJT/j/9FsAjIXpsU9VFI5DbzD3 WSxqwj8vZqUua71A7xRHBqcqlFcRAiN3BVAcrQI1BtTr0Q4Hv6XA6EFOJMyCaeGDJr1bCCZqtK8I s7KIP9xD2tEOjT5nbD6nxVDewvcMICt7fOV3aDrOcemvK0SQZ9bFm2N7JoAqNk9ZKGlWn1B+1jyF 8bjmqU4FnBxtSfWTn4LqmokvOEt1SgJK6hEoRdK713EYWVdeKK8inOYWQ38zQcDk7LYn01hQvPB4 dOXN7zMKDYk9PYBaIa+TokLXtJMCDdXbwa04uQzEepunEEKuGw9S4He0eTqzDBqmHEgoqtMFQISd /IkaoFYABR/Hq2D74It+UxUbQ9SNAY4vSylzT9qrQymr4vHgLL/riRxQSFxQbtgm6nde50mUTA/5 nC3TG527OtZeLXSJkIdFcnWmTp417+gsPHI1sbmxr9NZYWUIsIIaA/rOcM7a1hAuKInxlQMjkm0u 8tMHAXvODV+6HA8G3zPAF8zviBzeP2zdew9vhUVyBlHSplZLWMUDSqyoZtcYRFVTNlqx9KGK5E3J S2kPYgXSpwnWuRSgKHA3FgSqsRqDvVSoimFAcipHrygoF7tsDykbjeldvJN1JfkAnAMEj0dL6h8k Bpofad6gfEdBufnBqojC5GW4xDbAv1aCgr6SNYV3VCEfSkiaocQ5Og0PTLKCYjY0xVCV4ASd4Awl lgSYRwbO3IorckffUVjOLA98kq4h/ajwBKQWS0jnfOTjQa2u+5KqEis9l+i6gNYdEjupbbRpv64a 1GzXwHqa3DYwustEe3asRa5Lr4oqzNFBdWufi29VSh8iRk8St69jSLQd/JaR76VHo4Qdo8nlUZaH D1xRJzJI8mOmaPt+j8u/RSKls3L+Xa8o8g7R5BQdXWMavWyaribPKHpncUF10qs4T3FcbmUDHeYc vVaHmmYptMxFEWTPLeMt1OAnuJJZku1mLzz0xsE0kbqRqNyuQWiM1KA7yj2YBh7/k9apnG7hKr5U lx0SOxF7nTO1e30FFUhNFEps4/+gVnaMWlHTpVhkXp7IPHEZFXY8gbZIi32HXgy9ciYJxkP3MEcW ji+KVEd5im9FUdsJZhvyUmpObSyoCLfS8ndzqidQz5+NFyLJyXVppbpaVi9+6WHDJ5sn3n+ap5jX ab0EaY+Ks2ZlAyhIoKhCP8V6giqZ6W6ABRneggHv1Tr8ZPiaXxVpMl2T6EpOTA+OCYeKnZ8Cz/8F FeM0ASRUbhVqR0F5o/Hr7g/9tWV3uwEl7sdMJVBS0zRFQfmWNdlcX6NNUynwrdxG68TsE1xwwj7I EZTzqtR4NCEDNOXwNZFvEmPMWbYP2nbnlNgmZJAWHv9LyU+qrLjv8m0l7Ai2kigDtdWOEmHYTnR2 z4dPE52nOU2h5KGRXKwIzNGPJ1x+6FCx+NvOUVeU3kGRelKcBWg4nC7M8uyNf/UpK1Rc4aB5gjDu VJdq8fEoxftW7oGw5IRQok1TGJKLna/OFHWglA2b4bJLjRbmuOgI7xi3IlrCEFKTo286dIQL1Amg 3LMhXEas2Ibz8GCrplTv4LFJxe8JAk1J2/tsTWsIn5OuS9bvRLZ8PLjsrtBKSULgVci/3YkKq+Q0 mqwSqaPqSm9OWGTv37T8eIlxomLcShaYTo52HJ081Qj4KRKpKyeWYuXpTBQMaVF6BWfQUSt76oWD 08mka8vwwUjCae5uFkEDj0dsoHs44TkcoSCn+TRF8TjYJex0akJg275dCeqkFn46kUenbReBViwF nubNzdGm6dxvUE0cCDNRL4B6qmrkFPQiZRjAaJmdJ2bCO9RZkQNAJcVsV7sqAA86EbkCzjnweJQJ 3745EeSZBIdxPQBSFJA3yfllw/TwgMoGWdmpbU+GCwPyro/6VeUomSNXehy5Oqmda1I7D16WWYXN 80suVleg8Yz/LQRW6Q607aUjeze6TKFEs1BQwSSrQJ5XWyxOAiu4+RERbuxaBOGGLEwJ2jNfofwh gh/UiuTBCX1sBADArpwzPBs34bxbdV/cFAbmcjCrxrDmaKkeTr2T1WUixxocSoeSYnrCVYDGSLZ1 +iIDc3zTb6CMNkyeFYL2nK5OQzPWWTb9lKLYfLfvmnlG13M//EVZ1L5efyh24Lq+BEZZvKHUUkpy uhlsqHy2QkR5lwfOVl2Yo4NbT9p+bn8lxkjKVL86Bxlni+sINQqXRl7+0tFsSUhE3T1o2rIdDbWx ziQMbLvFDwgiquyl863keMDS7NpPpah4jngQQCQrHfCfjt6csxjdE6pF7luSQsNO6UPlnZ7Rk76C 1gxKsWcvAtlAAWmo09BkAj2/CmSDJ32yUEixZxAlpAv3IgdVXCBMvcR8GYPPRxCy65CLWYZU25z1 mawoTldktQQvp/42lNNMIooKceLGVq920gTwfbIEtoNx5h2dJQTYCXXtAOGEOuVihkzIcaY/Xy3N Y9BCL0x+U2RgguO9F8LIOBg6ChAPdUJH5vNBSlO+NX1ykRpMa3e2who6ZX2qkGTYkxvCXjy2IJ1m x3xdANTZMR/F68O8cIbICyrgaLY2lIVTIeu6QF1E+Dywe/C2iYh6sMVQDdk0EtI3/cbS26l0H7S0 kkhahL7q+chX+J5aS7kNMbPrWVxhKZ1+BlToF0KRVq3qzqyFYtd/LFMXdvu6PioIIHReVYvb6xO3 Q4AU9Rv2sc7HZutjtSkZJFg1lsTSp27F7C8dJYKiXvNy3Nf1ZcHxkjUfKPDx+aDr8KDKEldXoZHR M1uhJkuh2gGU5HQnnkhx2eIqlNKk1m2tbflWjML3UWQQa8B7jpotOC6Bgp0Qbp3IbS7rPFQWigcO goJiuJ1b7HHymyKyLHHUi2usr1ItYekDAjYsGJTG5//qjxJ4jsxkP3BqiYK9xi6yO3vYQ3sNT5yX ZKapG0VzGbkxRtIsVRlzn0KXcbTY9OTMOMRLkfwmiMzs/HXoe1H88nzsxbms7e8c3IhiDvU8fVBl 7+SXaubBZZvPBxux1q9jixjLUr+MK6MwfknFRnh81qzGdo0tVHwN6rJI2dRchXV1g+l70NX70yU9 WxSaZGSCbmgBy8mrJtQiN1KJni46IZNZjC8KilY8rZ6Wls9VmrUP+ZvkyecjU6H9NVcICea8xI8c mnxOQwVVm6qu9EplK0HPK+1YW3LvyhDuoibNNAcqjl6QSYjmoEgHWNA5viQRfFYVgkHEOCXRMVZW Oa3bOwdbMEsNv1UfhO7M52BNEkMYk89HbdLvAh8FhKAN6VMV0kF1XJUvy++r07KGlSBgCOnS3Dnw +bwOMFu7S6MhqApkWEumxl1K0H3aZlhcoPoD+Sj2atLj/5Jjm0/tvL23D6o2zMw+HrmLlc8H8fuN G6SBMAF62c9UhU6f1GrJXs+DnoydWydqYCBLGalG5hvvwdDqc4n9Ab3kO/phVZF+oIaNwkzZLMiQ drUA7kEkUojn0FwVf+dfGlstFZMM7sJWQxxsSorkbObB56M+hB/s3GtQbutXvi0Hbp/ovpOg5sUF JtLJK30CfxY2bKq7fYZ2n5T+ReOKNQeNnCqYdKSTfEIM9UwVPL2SaEcNOponyoKzT7quQveVo/3H Gh/EzWwQLg+dv+KxA5+P9t+3T1wHERt5hn/te+SOpn99hEdYw6KmjHyF0rCZOtdXvzMVMkOl6op2 6B3tqDp7riLIZe34RDHbmKGLhhS0ukZaalWsPrq9cwBUWMQuolXIwWXGaHCoRTU2n4/M0tfXVMH8 FUzoO1WRojlvq/PjTtcnA5pH++8cVcuFtyYFWbX/Ymsh7T+TROBoU3U+tKaWBPYEfl9R2Lkyz1RN hoWT7Qjhqfq0d/7FkriR1W2DKn6A3nZF7avw+T+9hZjknNWCSPvOVVRqH2T5w9PGE8KyHSY0S2me PbdheM8c2n4aLxvx5x09IQT0tGXWRvtZNaW6aDCSjYQFWCmTb1U/VbJC409VZnZnXshBCeFGeiCh Mm6AUNm8fPNl0Axd39FCKNlCBta52IfN1ZZjlUh9hthD2a7fuYo0W/oS73GmdEfPbxBcLVB6YJEK jPUS2rpTFaCRP7CSe6ej4Kxv+vUSzPsOChdw0Fo6mCqfDxbWrbkTCLKZij97MCy5o/TWdx2OIwbf 2CCNTccVTQih0mpzFdbcRVeDSfYdfa7gHAyKEWtYyJyXpIN5GUAgBkfVvnih7i8dGQvIF7zewTja 8CIX2hyFhsACFH+0ryuWsEgTzE/BL/QArUOg61uVqaW4p2xfVf+EZ1zygl9sAmpYIb6KjTZZZw/2 TgOuDmnFMiTEBXMGEGsRsp+AqzxkrGkvHbW8GIdSz0SDFtZqi906klj4/F/pDWvv9PfM+wpJ5NAJ lBjXMejhJ2pISX5kNYQ85sA7KXSD2QqtQJc5qA/lghy94DfaxgIjhBj6YtWqMpytXNWi/2p8+Uv/ 7n5dRRmlvZCw6bWQ3djPKubzUVXmAmLwHPBHEPzwuQoL71VdZlxj/0lo0QW8z/3HLjMAMQlnjM1V CFQXQL3JvVKjAxgAkFkpS+ocv4eky86GozYuEIrrsa1gS5/fFGHVyYbMUkzI23GgKEJNOTT1yeeD 4mj1CEt2aufkO4fynauo7L7IpYFbku3Ck4pdzjbhGhLxHsN8BnLgBiqEJstWdsyvdZl+57yuKLJL 7bxBzoq1UbTtUXYHv6iti9zLNdlL/1LtOwG7JKdwvBNdhRbbLCJF7rX5AX8BiLj2gQPKqTxRVuAI imqf9KbWtuLo7Nlp28lZyg1/a/elFaLWTWdxmGDweLKc3KGaDrg1fGZFJmVPB8qdGa2Yk2xwuraE lLa9dIRn2CowVA3mhT3PNy5JIZSsN43qDP84M2AfTkjG+WRF0TtTQqA9LvCDFENE73m3YS70Z4m7 iWMObEGv0yy6EHd0sm0G/qo0hqQnHG1y4jnBBhEF8h1od2nRcSDHvqCZ0XuXojd153WrbbgIU1e5 rckPiBQB0nf4Dm/1R9klh8agjef77skNCnct12mnbq/1yYxJcxVru4hUY5bY/bHEnvQiPP8fyTVA EvUqJ0fwFRevT+Rmzq5RUSZ0Bs28BfNmbYaD8B/rLFheZGiP8PloXY2vIwvki9nrM1Wh3GLiVJFJ Rs/L6/pcARZYDpShGbumKtRbFHqdlvA+WqbT0EElXrYjPUClgXVm+uoMWnNOP7Ay6Nx65QACkqnB DFlDG1SUQY6uln2vm89HrkTfYGPohsyRnsM95JOyKFrmAwFJzdPns7S3V/pSujMV4tel+jYsHh1P PLpSRS8dRyrADQua4bwIG9l4iZaaEDy2Vk62uQrhMo2O9JQ1O8M5XtlSbSCDDXnIJr3pn8BsqsWD iQQzGp+sUAe9Ec3XlwFBcNk3T6Clqs8EGm6hSqADj9DrTTSq9b3q7XvNCUMdRIs8rciFkArVWQE/ EzrkoCVYfEW9CH5PcA+SEkdDFRtEAwQod6tAMzOfj86q7wJyJkA4pf7MVRS7J8zBzCR7CDSTTDHo hP/8lbgF6cFkCytmlpp0t8BFtT7gogRlWBgYs9pOq2RGowliHFATOp8IWzzUKIlWK/bSEeCfxxVN UhqMWm1hgb/KfX8OQ73pXzXkrSrO+anGLYyGZqHYfSA7DashI3B0K+M0rodapew05yp2CxXSH9D+ OzpibZ/TGnwPrCuYQDST0EEk2Mu59ai86G7r3V75N97kAtrbBgP2wbiVxxWr7YFdKFjhPlPs00Ny YDwHe+gXuqvSwHGrfXS6VbW9m6I8qBHdS8iBYWiWIfmrDtwEwQOdNMoEFJBttrB9ZOkv6S196eh2 e+cA0yBtZnDobdAWbCj3ESu65+bzf0mcEYm7uTZvHzX2DG1mldId89+XkSPKpBQRWST041TYHpqG WrurTG1AjpYOwp9B7VHA3wDuY7UdVuwg3CCuKcjxrI/a1e8KfUOnmjiJBzx1rLOADB29Vfawznfy A6LJ8uxZXectc86bEYbmoWinUfjeo6tVsu9BeC4Y9H+DGWLTFXsVqTAzVrujZzkbVN1JuSX0hptN 10T/8XwiOh9S6qhfTfrAPxS8DooFbPPHRINWQL8TK6hWCqY0P+AvbwLGUtCXIwfPZyuM2ychkak/ ro87WRlrbxfQgxCJ1xoCD9E7W7DvuaPNFiuhvVB1CVJogIFwtrggC2ga53B3meZMKyB+U1QexZkF D3egGTeJFlv7D3km2ZFnwfITInjRN7qdMnPAOflsRZE7L/CRvGyMfzZX3k+qzKCONdeF+YVeot1s LLbgkXVfy4vBajv9XyF7dq6TZiRBkPdPNoJQevVyi8nUqMqBm6j6MQAjU0AguxAd37exWnq2ReXz UeV9fh1bgN2PWp67MCy8Fzn3XnndmrdVG86kdZPXPcFp9mpDYChqbB5IB/R+R+/Sw2umdHLjz1WY mpQJe6FQf2Kag8LWJeF0e+nfuoSlLDUrpusOnh+ENQNa7Ux+wF++PPQIOetgjqdLEZiKgtOSCUdO blQw074YP9UaWPJLrsGUY1dR24Z7P6NPFoKQAevNsw2hSbtUa+hUY4Tr9A+VrLyMtZe9dLANVWuo olBUq2m0hFY9V3M9y5TPRxz5G5KS1Qslzd2fol/oLCqWPNylDFm05GiEysxK+PuJLNooM9k2jML3 qVZ9lSyzRidWdiAZ6F8CAe2TwBE92Sb4SqDBnb8KwiyOw+r+0hFPngS4xcoMB9XeAQeQ3Mlei88H Iek/KyuDcUjyk09WXHtnCXlN05c/9xYhIJisRnYNJwsi4T5ZcfwuOhK5QTZqsk58ANHdRFzDyKK0 Y7IS1jEFh/Cn3gZYFsYv8BdNJDQAys1CaUP8zcAUsm29VVX99uQHRPSliy1SDwjWKk8EH1qM0iob DENPo0vpN42mHTEzw4bMVhF84DGKJJNGa7RA89FQIFhp51bsEI1Dnb8VoSc7ygVgDPxMbkMZiZVl rxyd70IkK+KSUQrDUlpY/TiyIfAYNXG7W3nvkNZGGuAz9TvkvbHyK2RDG24+Xndzves1LworNBk1 gzAwQe5oM9UgA8r6WCfgZIhG0BfNB8hvgDeXpYUj+zsHQFs5am+6ZSViuIQCmbWpwdOheZ1Dm9HU /imPIi3lceCTFcXwrA6cbHR2R8w0dxQ7d6HjsM7Pf0t+gdGoW9qf7G+lO96CQ6qgjpI/WObs0gmF e8W5hyjWMm/Fj/B8fs8vMJDzB0qTkHAPgoTQpyUW/VyFZfED/j9SMTjcx3rARYHVKC57ImZGupXk 2pojZtizU3U0XRxI7DWqXbUlyajRcuhd6xwl4SpEp3hTZp5NfUDFYJC10+0U0heA3xNBbMlBWvLD WO6HASJFYfG6ZuCwQq/R3L+rDUDlzvm1AyOse9tE9K3mqjpFiDUKElJ7UVJWUGBS0PCL2ajJFFu7 vtx2fSeGAdVLorfzWUtNajHUiwA0tUDB3dWHarV3fl9Vu7LYjuPTBis35DbmdYfMod3o47EiHyio /e1nqqLAHbVbHBrJpqrTB0etr0FPAE0VcJC6BAO/0buoShaXoihMUbe+rDGmeM4nwVyrdamgUI2g AjRd4APnkBmlOaHjqJG/ChXVNyrGy7ygzu4maPR8QuMHBKXkG7kz4SYSsj87MCy6b/mL7e3B6L4w kLmK6OFnFVwRohyYjuKjmN00Iz03YaglGVNPJD2hsMcsZ2RZSbZOgGmFcyOQpbdbLxhIYDt65edL mRqytFAWyvdE256sIfP5CIp1WQEsOPTCKuklqebQe1SV971zsunao2xPoUsR3Ja6sNmnK0LNCDV0 Qk5HZT1FP5SIE3paBI7iaGG5S9WURcmZxCaFdIt3tnf+pfV15jjdwdo5Z+IYi9cT0fH5v5CjxDao SPsIrH9ew6tqvEmYZGxvQVNdkAl0n6YTSlC/1bJeg6sKLJZuwamK1hCpSLcgBAUgVI24/Sw4GJux 7I6QdCFmpXiFceRoIv0aWtUrUSgP6Xw9pE/sgWoiT6uFp18mqUKPVxF7FwqrwpyDpBzmoNEMTRmO ThUTEA31KxbOag04Jj1jS+pQD2aoixmHbXbHWxYt50JH0kWgDNA4LLZX2K/A/eOHdHCzx1idO+91 ihIpZWjMq4CV12XyMiHgPxeefpuiB/UhGwRkjXO3bFP0Gkydh9ifGtNL69RQ8HuvNrsNzz2CGeAU vYZSWEQWHzS15Tla3In2IOwiaW+0oHptFJR+DpOOZIqLSGGnfCJfA6kKg20WE7qQj92RjyWNxkwM xtHt8xpF1QdN2y1UZc5AfBq+8zWIwiqi+VphIC3loeq4/wJWhtWMKSLBKXoNoSoYg2ZgO57Rm/HI 86hSTSh7LbLO6KgynAAGrl4AkmmKqPvyeQ2g6rVYSRIl3Gz2aBXBIZzp3jk9P6/xU33kwTtPI0Sa HexOTdFr9ISHmOmlunyKGmnojDOH/DHoQE5dE5r8RhvNEPzVuzD1lhAQAsJ0BhvtHNQTnNcfEpwn r5hBQYB8KaiUj3sNnXAYmZ2R+axc1ND5IcqW41xtn9fACU/X4utIwCyoomU/jV7jJuxPhgJ7Jpc9 YU3FoAqKpkhLYneRnmvRVsumx6Q2KUcLMDfszRPqoXA7PFFAWeo8MHqqAAC1Qsu1KXqgXvh9khaZ 8jNRWGDAII6Ngnau8irjmzlq/rxGTH4/cU7UqT/BBCJaTdJrvIRzPrcH4280LteGWQzfOUkQAzB7 lWCSpoTjhrGRxsNGmudCW2hH8zyCgo5obtDVqdQcwXra6W43JnevsRK2G9WfM6RZMFC2F9ttLvSm mQafdft5DZVwoK3yda8BAUCkuSbpNUrCoS1nhbSH42V1fk+WC/b1oMnbysGvMRJWkpiTTYJwGm2S 8Emw9sLND+hsl/glSnkEYJ6bpbHObfE3+fGvIRJ+jEn+EUXUATwjEIUhJfxi1WQ4eeLnNUTie26f JRZhOrK65gf3a2kTGw7FIsTJzU+lfFkQi7bYqqqwYETJuODgNufxaukKRy9sLlz1uai/sGG6zA3X ieqTtNcsXgY+cWvlC79PE7qONJ5pGoqwUCgTTLIde4ZOajBJ/d5uifMJUEJmUZPia8Ekkc4A4qe3 +EZysBkU9B1ANQhxoY5HGCOJ9pelolPztYoeAFPDAIMFzfMFo5OpC22PM0JtFofKuLg8qhO/ljOr HDsI5BdT0gUtMza04Ahl5c9rLfOf602VX/KVd/Fj6Z38h7tbicncl1PKFoYqKWSzG3jq4UlG87Sk mV7SvKM3QrHtWslU/mxUVzG2Mgp1lXXMfhVS81bO+x5w45qoEoS5g8q+Z36rHNonFSmiPTe/ZwoF V+rD2jxFITepJZDKtEuur+eSy9NkLs/v3vySeyf+YZ6moqSR+h095j5nJFM4ObBSnIIAF+qzghZI sVpvGE/KNL4z/7ADCiuY6ukl7+lBGKJUhuCgWHzeiX84wQ2J0EWmoXnU10S9B97Q+5iKky5F0o0K wDvaLjM01ZghFCiYqN3M0qHVO16M57nrNsy5Ub7ECpIoOOSyYGXVTMZZyQkAJ3zj93nqwh/Att4G mydAH3RQbT4eBE0jfR1PlR2c7unJO+cPZ7jUvcaavu9UDpcIWl4eNPEcEAooOMSxcRgt1XFHB0yd xPCsgrQIQCjnemFkBRFnWsVCmQOxgct+pqpXft93nT3imnfxwSqYxYSjcft83il/OKHG+k5SINyz 1r6p7nsI/j+MfVmOLLmy3H+updDgPGj/CxPNzJ2RJYWfugIE9uvbkRnF5OCDDcmgGk+p9/xRtV4g rBFxz0StpyoeTZR6LIWxjI3Gjaw0awc0EEtooFeoGxECYpO+UsQJWLNlqn4ZnU/SnqBY4xlQnhK6 Ey4bEtjPCSW5YKJy9vsOKxG9jh+Y5flERWG4CH+SEHPJXWO8l80yorjJbHdzot7jcLsT0DC1lssY t8I0sVBhVkvAMJj4WXw/iPOsTFGydA9yiqB/3ul+VajBs5IqzykOwigukJjEdy/l8872q6YjeDO6 zNpno5ol5ymMxOdW2tsu5mAl5xk5yLqRoGXFkygUHxJRWElVXo7OS4aM7EDzG5CDc4wPs+g5tyCU MVBpRlNEzsdZIgpRKA4JQKAyavFB66nAB23rwtufd6If1lPz9WRmB1yWPk1RLM7i2UQTyqpwJvqJ aZrbLP0aKAiONoiCceMVjaqLb9T5OB/vBcwPpdMr1hnVgPNZygMC7wzhoD5pogDT3vh9mgYLcWfb FQ1Z5f8BuALBICfc5ONBmDn9fOIBCrjIJuNP8/QejOOWQrVyMpkSd5TlD3UNUvV5YotTld0oGpfw Eq2K7miVJiiOA2gulPA44ZgQxQXd5jFw30G+4Tqz2xu/zxMmA/Bg2BZoUK0JEX8xB838eef34Xjb 8zvSBCqs7+nh+Du9j7esFlH3hsFKV8+rS9JE6JXl6ykMyIfmSUgfjTZPZ2GCa1g5T6hK1mnKxRBH HYrgL8l9Sp8qCsgnZVHRWvaB85SAsJmCkgGMEUXkgu368QQ33o65trJlFI9XUpHLqCZKfG7tbI2C 0vZ1xqrFi3LvtL56NWT7VsmJo207IK5Y3qU0FazZJWdZp/StC+0Y2i054df5vLP6qmg951xKtfmg QAjdP251ZOyfd1Kfl8Y4LYy1+OO25OvpndTHciAhnMuSOkCWRrOJ2iSac6JW8VrBO6ePF6cFTsaD xGhlp7Ncy+Adh7JTKllOa1gMG2AoXnPzuvTQw/jzTunzTkEFZZ6AlXNVNc3POUOSQBQZhcDPO6mv mv4IZ6axqnI+CPrLNlVRLZz+WOeAmmZKN6Fo/2MGNM1UAdB8qqZ39k7p8wIhQvre7ui5MCwG1mTu 0oGIKSwuQGkR6RIkQ3AePpDzolcOCit9u70hZYMMslrhIJG7YHNlfd4JfTbVdpgzXoJ7NV7EZiqM yldWY8Wl07dYtfSgKfNG5cnZj+9svmpa22DlmkO9ixkgeVlzjQQdYpL6RhOsADEsEHaQ7BjIal2K gwIv72Q+fJEhN+f0wbp0vQujeq7b+nnn8vGQq1+nFCRKd7+1lXcmH+4AXnpGYW8iEnVL8ubKnuR1 AlgRa74T+Z7goNc17+jZC/Yuy9YAFRR4bTDJg2wXXAbPol7jlnzlkPXO42N1hTw+7G0OCobauVdb IYcXXcbPO4uvPkjzbpRH5NQr33kKS+Nk8a2x7zwRAciYvIzhsHx4lth6imrj0xCbc807egMhbaTC JXE9nTAmUdKkSVdhoFcLHv9zmi+9chBFCUkAhKgNApm3yV4ZAdTr887hY0zv1RXxucvPRPfE5uk9 JncHv9WvC2ubPTsen/KGpmyWLcl7Z/AxzDCih4QZOTovrSEbRrpOrGajiDZOE8YFgK38FAJ6zDiT PqzvFD7rC4LhIS3+YaLghN92XiBnjezPO4OPf/Gv+jjopSXd8ykKytl6ABI/eTK88mWF9jtPtbi9 6Dt/j8GyqENdCrIcHXgItBCZp7R+mlMy62yUn9OBaWa5YB609fnG0TRNamUsSWZAYER89BNG9i2n 3r0/7+w9lqjzVzJM467RPRd+5+7xHGdwIBklrqdtqB4EB9MlzRaRAVxP70F5klkJZdjTHT3YTCAq dCrx11axnjhPC90HKmqfW/AWV1BA5RsHwaaQ99C5sEE5XqbuEPMYBAbvQXmmssJThEIKNBCX2DRF MTnlJsZc22vk9fpBnn0ynlqdw+jeWXtst6umQsa2jVZbOQumpLPd+EsDyJqluARBnB8UKeirciE8 BP2+c/burstT2unTtdOR4lG4FQDp8Xmn7CFUHbffwuMf2dO+YcE7ZQ9hV6dyM20CFJS35QFUatt0 XJqI+OyUh0H5tByP+ZtGr0EVwJWRZJO/ftJ7gTepHbBUucM2MSkz/Omfd8aetd/gBClC6FiOJwBa yqT4d/m8E/aq8QNuTI4MZKzuucs7X49rmOLZed0e59rFo6flgIJOMVdNU1gjJ1t3QmfkjjZNE5gC lD5wEMxWiksBQGdrEJdOJ6MullAueuNomiiyAdM9GzhNZ85bXzrPJx8Pdt2tQHFKqFF+D6d3rh5W E83WprxBKtE720EFclcn2L7vYbvunarHvtrkKhI2RaMdTpDFW2dpyq62gEQlOh+QczCD/9njBuOw jOYLBwke8ZhsMtqgOh3MGs12ppTPO0vv1xEudErCGV49a3nn6GGaaIxVCUEUyl4qG41kdSKjC8nq iNnB/nyn6N2OyySOy0ffdB0u0eheAp+yzmvJ5b4gKZwQk4eopwPBJnVI3gl67AbLLzNJvgy1vW6H eJO21Txpweedn4d5TvnrEAeTecgPgPMUxeKwFjyB83A2wvmOtn9+kfoLMSp+172T8/BDEVpwYiPG Bho1T0hOTiSAc7CPCRZpKWYAjLIjgjUql5mnLwKRzzszz/t3jZaRNugMP9/A059E8c87LY+h+Pcs oV/f14N1eo/E0WmnVpkYU9JYrDZLDeLs1ucsxA5zlqLqeJIp1p6qjnN0wDhUfxogijCdgSSvSGlp UTUTt0InJ0/QAmYs75Q8XKk8u3Nq1QeVVRIQQ0L1dL5mtJjmV2IH5brRLmrunZDHZpJ6UWN4qSBd C6O98pfsiDmOv/Px6qUgTLPSno+V9gLVZ6F7Q2PaXDNFnamam9nmBLSrX6UkMmo+73Q8y2DJ3y8+ aJ5KXktdhIWf8z0QN8PKe4Zj26+x73KKAnESKyC5dSMC6pOoTNfMN7oBpWQllXcqHg8nc5txJRs2 EabwPIBwyvqi1DOBZOGceWqsECfIlJFz4eXMpFcO2sFtqx+lITtt8XzuWbQE9Jxf5vPOxKsmZndr T6D0EB5iExVF4jKChNGxJqpTqE4xATrblgHDW9QWVFget355k6gbR1tQUDHq9YSdchatRQ6sJ1hA 1A6VerAd2sXzoqj4eSfhVVEOKThCABQA0yyR0+CzUTdgzMzng52399dE4dKacu3jREWxuGQh2gWs nmP8Bk+lWZUT6L1slPR3Bp7VzjBB1paaX20pynhjazAFnpslInKs8TOh1gkY3V1Ry1856EsVuV3I Pmx1F7/rAK3KF2vnzzv9Du+Z63dqV2Cy1n1BvZPvblhAtpK5zjCgFfa5TnedSWAKFEIy41hcJ1NS SYWjl54gGI9yL+apAm9AJjYEAQDsQfmHhgh2QtFw/fNOvsNhwZLKzIjjz4B+LksqJ0atYuKfr/i8 c+9Y6c5fwXhGvLtb8gX1zr2rJpF1ApktqiskfXFs/JehAqN/pPcxlRyxoN6pd4xrpPVTBIHmaDsP iq+pLQIxoHjWMyvDUEUHaR+WuCceml57WlTbeqfe8YvI2R8iHowsYTJYlDVqQZ5vTOvzTrzzmoaO 8qn28YnhCjVNOVNhQI4mEXI6rSM0h82jp7Ls9WMibih30rLhnXfH006ygKYNxdGqdOcMSGdXJPZc EgSY6UJ6th5SwsY2KrRVb35nrxwc5rWJ9lM0qNcJ1TPIdCpmq5932l01X2xOC94PWdpAJmrz9B6R A8PKcgHtEUTMmOycb/QRaGtNbcABdBcvvXfS3dfWa6vc8cZQkkphVaXTxEqYFZL2a6LGSHu2HrkZ 76w7FswGY3HsQA1GqAb/XMaipX7eSXf/X3Uc0AqaHtk8hdVxllX2ciQGDKOvwWG7VbpC5wgeUSFs nOsIyn/1jk56pVgiZHhBnG6U2WKsiWAWeK/zgaj8Kg9WWeWdcUf6AU8o/qEalOFBZGJKxrS1zzvh znoQ3y1hyuyOC66PquMU2ICYoYnW9PN12a48wEu8Oo5fgVfeO9/OkCSIxZeuPI42TzD+O588SQ6G 7+IQnjMh1JVtSmE1U1U6fOvnnW3n83R2GVvC5ULFCmg3RdSLPT7vXDsm0t9IDGrbgW5q0xQCVthE AHDCYk2sBEdFVwPXgRhRfZrimFxVusQkV6NN0z5X5k6N9gwn75tOHa6Dh+iiUEa+IbmWU1Qbl1pi hRKKDValy3tKxxsEzneaHQ+3744wdOHn07t7p9jhKVSpx6zZkazD2XZnNeVpuloNBic2TWFIzjAb HfJxx1svOEcQ1euQw/RdAHFSdx1+A40Ut3qBYgRovhPsmKKxoCJdHw4+TU3SD7Br/rzz637hxExz BDTXO01RQA6jTLpDu79hqpbW4XAiHLHQfZXyY52fFKHHVctsq9/RNx1Zc0ZEhBZZlxx6gbzDYHUP DVLnA+N3wvcEUUEibWyJROZb+1zHe2tpYV3h8SDMnN9FOiimdjhx2TSF4Tgqj7PSM171gp6NYV42 2W7UKt3I53WGR6XxRGgqEIzzjj5NJybLVCAH8XCg18ncJuPEo/QD6gsPPHPrjYOrDpyfBjV+H3Q2 obIsTnArA49H0IIbZWIdgmY0d7ncnygchxgFqnTXZiDLg47Vp0VsQSFp2u3r+3s4jo65DieD93D0 FgIcUFYRrAc202ubyA9sdFGVm/UW6QZprf3fdM2ELNQGQ/Wc2EkipSfKx+MBGTGlryMcGr0DuDOb pSgWp9LI2MIcEqbplx76wMAvseTL19EsRWAVyjtlINbLHa1Gt88cn0MQzo+YJfnQIl5HTtnhaIBU +FYLAKjF9wQ5S2YSPATqGQbqgWLSru4INvF4VPP9rj5RH+esQp+muDK+JI1YvfrUWr59luVY34LX 4RHeo8q4CRzNZpTEdimJC9ld6ntLLj9DuVgpC43YKuqRBId6sSDZK/+j+iSTCOR2kBlhvMTPo/vR +fKC5wPq5hpf6ynPBQ2aW6frIXezynu1uHAWmmFC08ET0znSG7owDMV7FIrPaU5gphLM7qjV6TaC b5nMNfjFNAKDoa8CoAosSxsiBlfAYBbco1C8SBJxE30IG/YfqSKc+G91K4+PzQ+IWK53TRHICkmV /LATIw4neeeImZwJjE62hU+ZJW6ET1D+Nz22HkbjKmkuw2Jw9JO8QxAMUomIMveJN5t65mALnbWW GJpc0SxeeD0skA9R71SA4lqUpkPfST6N4wTFeD668S5KjIcULdi6g1V6HI5v1ueuC0qtyfUvzlvY mqpgOisN7iFYRUjfc2X2O/ruAxsIOtOIDNo8q0qOApNxSUIVKDfay+mUqlmvHIQGVcS7IQN7EB0E Exu9FN7tJ73bnx4F5ONygZYuAXRc7uYLi+TYKrPwQv9PphXge6GycjJWJHOMNBe00ViC6mFArokq XT1MjlYvSCfSGRAHBqqnIGNVCaqyAAaxRfRdvnRJp145KkGxUDAnwT0DL8T0t+LSGKwhTRjx9Sgm T6N9xeTQdVpovNlMvcfkyRRcFwN66WwmHMjnskOUy3+76bSKNgYP9CgmN6W6XtV14WgzBTheauCu A4cxBtUuUKyj8HlB46GMKxxZElVJe1QmrzKnQGRhA4OCE4BkQYABJMLjQcWgfUebaJhNAvo0TxGI vDMTPvGyVaAg8z+sArVpqXQWF2p11TxWe4RX6dPwhhJVGcpdKo/zk/oMEC14nOME5nkGMUz4xGCK WuUhZSjyM134on/KXkwqFuR56U/z/MbFhJT5+F8ATZUMoARQL8mlh2E5ikHwJPbq7/l9faIKCWJY UCfeXb71oiq5KQL3ra3H8QIPxzw5YBL3tUDXmhM1eI6f1IiSrU7rxGLUK0fJMCMpdGJtUJUcIVjX BVgmHo/Y5hduzwgBBiMTXVfjm0eBOeWjB44OqxpMQepYXBmMEIBZmTQkw0yNqE7up/kWpJWjXXsj neCcpjl94jJNRVLvGxEf/REyZaaniZBmvXEk8MBaXaY2fsnmQgPZ3KoL5GzHjMcDvuLDpW6qGp94 4iYwIwrNO4mdu6bbd0m92K23xBMezIeHeTSNuExu0EOzKywXcH9iyBMoTQhLo+9yXm2wRwOcxqL1 15lh5KkuuwYAIL4oInbaYU7xNQD1pSx9fg1KakA3GTMV1snXN4Nj8KTbnhGPEEXOOGrO7RNVqNzN OMrUuAmCWt7JG1GVnPaFDLy3jXxnZHoQ4p5QYaDLArHRqhCjVjrSAGTevTtQTPoZ+JoAyUq6+bkF pgYRqmtnC1I18t7xeESb+r7xUKHu9fY7R4haoZkqfFOtClXERQCkDhBjg9RVVmu57aLAfGWr/S4f k88STGWh+TtZNwDRzAyvCg2qAY8u159wQeUEXxN1O8Uqo5IBB8OPjzrcKXvj8eh4+sZnnlwYgi4e ao4oJpfQQ3MIBmr7o/haGgYlB3ljWqI3oph8yu8Tapl39MOpnLnPU5bi52KqTexX+ISCq8gP9MOJ PDN+T3Q4oarO5igGdM+JyqxwplNT+CRjnxGSOi9LmDJr5yie/ZLwRhiSV+LDyuUqru6Sv+eWziYb 1jauT81TqK2iuGmqM67RrrtG+eDF6w4tkkRuMnzrznchzP1Ze15uPjUMRoge3zQlJFVRg5AqKe1t ZYMxPyNCj49fjQQmw9DCs1kK0eM0YTor3Vkb4D7YLM1q0hig4C1fTRF6vEimZ1v54MLfQcE7CUXr g/H4Sc2WGcedRXYCiUH663yw4ziF8TURFKOz4ttU+GX7iYTOzXCG1KOOx4PY6Rc6E0byI+V7fkf1 caAgaBzoAUGp089vSNM7swwMDM1SFItPKdBtK+puMtTOfwsFOjTHe6O9XoYMedIssT04CzvtLd1p wv+N7wkip0UCXi4asHuFp+vwqRJpI3U8/5cmBrX9ztqC7JvPUxiLE9rTigcEyLXdh3AAUCJ+MLUB WC8YYSwu3TlAoO9oOcs52NIgDQUqkP38LOxynlMXNbuCln9D4cV3HUPMEcbixK8OyUYPpv+spzRk iIu123NDL3xAUDEoHjuVJl7MRN/l7rwwHC9klqVhUn1OzqfWfS8yRQNoxfkII6yS6/ym666PPleU ppEUTaePAgMtSHfzZjyzXCAZ4jYKOsjDMrm8TPLQABSQBFXPISddI5TV8PxfxRUe5KAHpHQLdjOs kzceTJS0kmQfTZMlR04lAhXsMpllnZ/0L1VDVEnXHa1OXuAvfP4Ne504mAwKlOBHRUZCBk/Lm3jF 3jhIhCXqu2QHupbLYpRd5Kl37o2Kx4N56unrKAc4aFLeV9MUReOVEPJSzTkPqR6OZOZ3HRQvKhue QwpkeU5TWCjPRmyRish6nCYWkPVjwWkA+MMT2XX2Q88hiGCT9B3mxp4I0zthxqxOoqDAcrLB5mnC mYPz1Ase/wtiwCCiYZ4u+3VGwTha+1hDTwC1s+MPC4sWCqBobEelrJjSWYV+UpuKoxMVU16dKwZA e2gSUWqknxAB4vYoURRIZTq4h2oPM66Uy+1FZeC03WICsn+SONyr4vG/DnO+He1lb7dzRuE4QI/g qU4n4K3lRCB0go2S0JBy2TzF4bjW0ejrjraeIC250+ald3Jc4PWI09zosTCAwf67jrJLLxzMUpNC +8gakgF7TiglqzKQz/F4gDCYHho0g2JAbuIG5DMKyGHXDe5xddwvCkQWQtVZvPNSaSrJeQoJndpv 5t6i0Wnn2EtjknZ+fhhoXHE9sY13TlEwzBUdqC1M/64ZMjozVfw6S5ocVFcZ58/N4iz2iseDfuev Qh3UaEDG9XkKA/IhsL3jo4eA/San3S1xgZKg9V1mBFkxRchFiUkbvVoAVB1k7dlMWIOiIXSkgtw6 yBpyDVIMRbPPGQXkVKE5IWbaPlhgAJFpuXeOgcf/KtMxLgACbOebt8wIssL4GfBoj8ghMeDHEy3R eTyx5qFpiirky7RoqugrHH2aBpTcUNUCWGjBJUbThFxnopaaCfo1tD1p1DMKyRPT4CYtmlZLM7EU rNotfOrga0bFgvF1OtE5rFwm0IzpnIgETibhmhhDFBfuOuBLtZoqQxzuulDwsGoVZdXoOPo0QTbz rJ3J9A4OXnLNw0X+I78SGP3dPsLWG0dNPLbNG5E9HFSjq+fcYye6rs3H/wKK2dmPTXcP8QiygkAF ILrkh7hZyBJPt1fzQ5w685ymKCIfycD2Kd/RpglST7hRaBJ0EhhjxuJnV5xFuNW4HBeC7WcUkTfS gnNK5iUxVL0FyUVVj3Nm5Yrno/X0XR6HAjK7NDZRMZ8zCfLromJDKuO47RDXGGil0RORExVqrDDF A2S539GRYguxE5IDMHfTyV91kjFJWbQORcnJ52kVvXEUPLHYOyTqO9w//UR9eVsjfXc8HgSZD3lD aQugYs333YqCce6Sk3M1P8VR99C+O1/rLWHojBrLZUWgleH7bj6jA1mhDFkADYdG3dmAXaWqBC4O /g3+I1cOkXrBCkErtKpsBFZqMIZYX1kSdScmxuORtNH3XQcIydw3Fl9hZVyx05y3+DRuwTcvKwPj Jik+S2EsrqRuZsmxzvwlx9oA4Ed2gQ7C+bElnNGhq33+1rOIYFPtBsQsPq0/BA/N6wZLf+gQr/AS IuTyXJx4Pth0V11FmrX7uyW1QqXxLq+WekEr3ZXG4Rs9nbiRXShrxaAV1VVK9pGnJOmcHYIhnajo sxknW4WoPlXKiLCXVeoTOZ2sG98TFekIEevE9nAQBArua1MQqMXH/8pYmmYXLQQvjK8oEu8y/57d V9MeF+y7tuv1nOh2m7vGCsHjZs6cVIbi6HcdSnRTIjQk4pufNWVcJlVLqAtpIQEBdSuujBP7VMVT BHRK6lhnotYYykIgWxvF4rQ7fqA9KKROYCdsoqJQnBJDg94SgmHQ0ZKVAsiGT4dhAB+niQr5nGIf SiPbRk/twJppeYvggsub8RWMYeUYgXdb9YH2TL1yVKhjubextLKB/WpauqCzS14FhYqJT4jK41ev BwcokLG7XHzBisJx8NZwVnSDrJx/dK/F2oYBWXCQs2+OuYrq431IX0UWnRqt/tQmRM5qw6ICVzsp xYH+MshAwBuWfdVrC4kQ+KIA3DOFZk3dB4VCc075ykEACI9HIChPg+XtgibnFalbUYU8iTQ1zCQQ adhykbqSklsHsp6geQrjcV14vQnaw9H7CJvy6TyjzjF+MiNSGtsqwEhXaH3WSsMk4jCyrrxYX8Vk a7sGmfkA2LqljnGWVsPjwTxdcLSEowC1RhhmExVF5BS9PS8+LrusmmPnCTXTcnaZHeaYqBhEXoSn U8jJ0fNg7Og0JRxy5ixR/gZ1FUR9BVWDQpCBAVYQkOCLIqH24mwpDOdh/sF7a1NTKavi8fedt65g D8Mt+DWV2wxeIa2T9Sectj8usOLytSexND2RVmEbYhLkMWBF8rWmT8DRKVMDmqxK8E52fR42wApl lSqpLbTn5rFRiNXGFwUmJEvST5Q95CBgD4zkh0gJg+8ZCdr7PFllZVH88HaEV1gjXxJZqck7wmSF capyqUapPhvShchXrEQu4ZQqJDlHW1IT7mS457D3EEl3W1KEo2VoXhfKYhiUnMSEFUXlpAoDmb58 EGsKJqDyazknCB6PllT53nuU9EuEtHOmdhSWDzrnSq2EMzVVLmf6QpVH5nm9ucHpjmrklufBweuO tqggUZco1d8hwYF6FG88dCkYHaBITtme9Fij7ygwb0ZVFAoYnImuIxzuvOxQ1cnHg3LdxRhI4ucE HOumLzsKzFlaO7mXlZtosGhMl3PFm/hD64XBD6XtQ50VM62WrKFGm6ez+9aEQxluvYrgoUuljioR dGKve5R769EnYYdwcrgjATSVfeCKglZZF+oemu37PTI3lThF5gzDgdGs+S6oEE++afyqDEaYzeIO N5teR1xQYBfYRMWhuTKXsvMdHSU9+FtkdhPoD8SCwQmhGqq/ONbqlUQu9ELG90TlOsIw+jMIjUFv UJYCTviBx//idUpCbEEc45mnKDZPVKSpVKLXxoM4selASWxMoJViJI4dVsmHugnbLIF2fayATkK/ KReMeRpQnmTIWZfmFLx2uA75aQ4GE74o4E71rOC8+6AjagG1zAW1saAi2EornhEzRYTY2Wy3nbBD LDmQS6ONq3vYx/JKFKXaVWBh7qmJipmdKhg0/fEcrcCSN5KyQdX2cqaqLp37Cd28CXAr1rXX6/Dr 4Gv+qbWS2UmHQP4PmwgVWnr8jUFh2Xj+L7EVCkEhdsUL2DRFYXk1zfZ75e3+FOzqcLEVcJVtmmLY ikEMWr6jT9OgcDsJ1QlGzNXEViChtYCjACjVlQzQYcLXRLZJxEXPmn3Qtms0hlHSt/B4JOb3PUs0 bUj5NvF2GJX3+kwT/1HSdPTeUKzOaWqOFdsRbmXYsdRLv6MdT2XgUqvEikG2BaAMpsRAdFOMIKOo C8gdE72mNw7Kv1I4WmxSFXklYZ5mo9kJK3B8/K8quap1MMa9SNYdugINYez3tmkqhOUYxWz3ezqx Pdz5Se/TtNUO39YT3k9PGLfBphUrVtOJm5rZmFBBbFDHtEDxQ2Kj9IbG9wShJk2TCgQZbVCVvEBt mQHCSHw8KNc9KnWEJgBzVq550g7L5JlolZWdZFaXW7mUzVRAan40HeI8xTG5EL9JtoAcvdeJFG5A iZVVzTqK1JMzPaHRs8CXOmxlT73wvw4nihHbIP5rh8SrhKPrwOMRwsDDTJ7+CJzoPGbTFAqRZ2po ip1fqXE/3RiolGTLCXpay3ZdhFlRO5xKT3e0aTonUjmJ6hZCk8IhQoslApAInAIwWk7nxM/geyL9 f0mNjjuovQlEo3U658DjkZjfd4aXCadC2VnzJKTQ+77jKd7q8lx4NzeF32RZKhdOy7AYNBj7Rzth FFP5LY/K75lmiK1BIOusOiLrmBCC9s/qCpCn5LnMJW+gbS8deLsReeh6o7hVKzOzdrKlSvCiZMD4 ERGF45ulD5XKkxC0x0kplD9kV2EK+rsdBUzsCiTnkuYLHE13Akix/qEyvalVw9EL5h3LCjLxQEOd zbJkibsosF9RsD7neb30Rcbl+KYIlbHoyTVlzVWtANdYms/CzdFNKQrN950trv6Mtud+iMPqCr7X 7irt6OZ0nB0BJKpJ6R8HPcLy8OkKAeUCt9Kc0UebrgL+4gnSyOWAS4mKotDPgJwIHUug8+PiyKwh 4Jv+rSdCR44CV2k20fHqafNoR97EDwgCqnLNA3URwAZzPbMVhejMaM/14X7LE3xlQyWCKKYK3okV XO6ecgjvH6VYqvba73ipVOfIxnRhM544lFUdJn3Y2g2OARWcCk/6ZKCQYseg9cvSzEsu/YRurHVC a4TPR+29XxZUgDiRxeKTFYXppJKPJZtXVj6vUE0dToM5fxSqALa0ohq6VRKgXHFHX1rpHDAgjmMn IkgrVu9kd5meCrU0D0ELdTP5TUFXhohEsv5tcHxUFXu5nWXO56OU5lsC2F6mP17CKSyiE/AK2S6d 832Tgo9zqzYZVm56YNH3vOujonNeoLuqugtHT/+gdbRQJjinjNI/vSC0mjKLU1lWCk2tvm0vHdH0 hLqjVhsHLS047bCCeEIIPR/w+G99WFQQYmbRQPTZiu2D0HI4EVCyUz5R1IrtmV3swIcaiwM5SSuP G2ksDfc7epXqvMpiv5Ml4nNxDcO8IiqBhjKgUt4+znAX1TdFwlqCI6zkg2M5O3snwJtkPh91Hb4t mDPY7hugEZ+ssJyOzOVc4bgZ/0v8x+1Lq2AKswAJbVTfiCHoXIRGgL/vqMnqnC86gaAVhGZVslOL sgtAnYOc5qcW9av4TcHSArilwhVFg6mQQeWiMG5BVZXPR/1RX1rURv8hiSO1r4griuCXDETdDhYR 1zYZ/BPFlOuk27yqjo96X1tV+qyzygOdo4fwDX4DCKyAdEmQ5mDBSk7vVOVLa128oryp0r/dhBIa kzaosndi0qKUELxiPB9sxFa+olMqXBYopfpchWV1anJv0fpZs1peLD7HSy1Wilnz+gymsK6uKKuX +YxeV4fLIETJED6MtWYlXZTnGTKd89k9XXxCJrUYXxQ55XCumljrzST3KzhcfGMo2Uw+/1f+LAcU uKHe/DmHHp+Ty6qwdiLvyuQuFJUcBEugd7velSHepSnlKboOOfqy2sAqAvoGnXf4mgjZCIoooqKO D5zXKqf5O0cFY9b3tjzPtnuenSBxJWkjpTH5fBA6XPlbYjnAmJxXED+HNp8NBZRzE9bH8NvgQKgY N1tVJ/puy6cqAr0oeYb8Ubuj4fTPAQpbdRrHp716XstYD7DKyJBgZa8mPf4vObb5zP3tbO8AQpEM B4I3nw8C+CvDKUUASBW3a22SY6NPRqSZvqISkppFeOoKDWzT54YCdVe5IYdOn9Z+2BY+cPREOqO2 XqjscK7eeXJB6ZgjGhmQ2s6FiA7NVWn2zlFji82/JoVXNqZYvypbdgc/qCjy+cir6tu1A7JKAyQf n6pIOHENHuazTC/0LVd8b+zQaaoK1FpsVYXBu8S2amrzjlpViET63L1JJSlv8rsUs54QFajP3dN1 FRrNXjkSjEBtpsKTxAYB80CfzZbyVD4f6dp8u+x2wKh2ehZVKJ1IKc5aktf6WjEntAqRjaWZgtBO 8pkKmaHGCDXbwf3YDi7aidLtGoEclEJU7Eu4QkDS+SGEyqpY/b5zhOiQL6psLIlxUxmLnlFcVGPz +b86y6YcRCL0nar3sB3dYBntdp8qNO20/yALmWyqFsF32n9x2G56LSJbc/S6aK8Qm6WPwJmekSxo TSdugKMeNj67EUJU9Wnv/C+EHgsLNqji13IVigucXz4f9bfu/pNbGg7j9GzAqNLOtpRxNpQQ0tOU tYZJfLeyZwrZM3sOTT+3zp9alRhy9NJMQVrTacQEieiswKLB4RI1JGQ3lMm3qp9KWaHt5zLdu158 UIqTRP2nPGDn88HBXr6hQq2RoXqT59D4EwcrZNTHsLmysh85fakYVqhDpN/nKqq3d8Xsbc91RwdV QWuQnQ10A88HLyki5EYe0uJL5GudTkl1flO0sAhIzyv5oMjqZFFL0OCzTPh8sLCueASrMgBIEtho cxUG7MjtOkUA1YmvMv+cTJ2TS3POfL1kA/dPXC0CdSgt1ujJIPq/sDpkDQvgfipMNAX3cKql3P3F C/VmLx0ZC4iptrMPVmiAKC6TQRYaAgNQKJXfqgxlJ5An5atxk0MHULwnneJ8E1ZJKpJYWylpulDw Q19IyWBsASr/wS6tQI22CWlOkSrryQ1qVVmgoU0hlQreJ7rPDx1r2ktHiq8s+KnOULzOcN62klTJ hbX5fJDe3GSQHGmlWkAL2myFRqBiGp2byhXeBm01zC91O2QPh7XNVugEurSkhlXfx1f1/Zxf6LVQ jquexLgSI3ub8lXay/PpezV76aDOINe4uSQpssSiQX6/Gv/SfnYVnw+uwv1NGm2gdoHs63MVVt4b OxXcQhTk2qxpscywEKVlAmIyTi+bqxCqLneBUVzzfN5S8vnVEpQnWZM5SWafy+YKpbbUMburXduK xR5hDt1AM3vOa5Nmy0HbMEHsvCgSmHw+yHCuvywvA/yoazxxQ+gGuoiyGmP7NiTb3CCOzTnbYAz5 XRjYgQqjyYRZk8bRJgud+VXB94HK4lk6sktpZ14gNgW1t4Kww4ujNdlLRzUZgbC3JPEK5DMULqRS DNq/Nj8gyge/advsASYARXy2Qs1zlhnEsmNxFMpQVkpOZS8rjtJL2JZWjFs3SS7pA41vfaByonVK cgJsBYkS1d0ne3AdCn4FnS+7DRM5fzn2BJUxQ1fDkN/LQ+tscd37/fzmfD4SYL7so2r7cLb2nFmh FVGT65fbEw7myYreoZ9hNZkMarmi98AX1MWF4bdX7vgUGqBrWVF3Tx0u61K/SYDMTOggZ5T4fGXR ciDHxqCMRa9TGj5VYhyp0NcInOw1+QHBytrfvigIHQakJnyuoqo7EUg43h1ztXlCmpXjtZotLTWf qxAks4zCPcsd/cw6Zy8klbZAVwO9VpxZA32os8qoZ5Yuv6b5O0dHlnAf3IXFbbTgfAhnP/z1vTY+ HxFsfKqwCIGIPjfPLcqE7qCN1uF7GQI0T4LMhZOpq2Xr2Bf2aDRVoeAioVTnMCj5jo4nmoDRYnd3 lLLQlm6K8OGwTJ32ddNn3v38ngABkiTGTAlPDipfnTVak83U5vNRUWZ9HVeosUzodPlMRbE7JSlH 5fkgCEg14x24C67tlT5ApGymQvz6EvG2y6KBo83UyQLAjSNADfpufYizNSgIkxDKoTTorZxscxWi ZSjsXaQ4XNCYaVaUqZSUPRsy6U2joMFXFTcbnhl9PZMVKqEzKTRnUP6j5BSaRBenJ9Cd1fauj4q6 hDyBVjLRiZRvAasv8EpBVOFpVQHbJv4KTikT4l7gXFl8BX9vfU9wDfKUQsjmg3iASHkkuniSJj4f mF3drhdfkMTfnMB99smKgndlhYVhhVAz7klUCfN31Myad2XF3FKGVsRB+Ojl9hMJnZiUnMkG/YzN XvWZNgTxzFUKDKwQ3hOtVuylI8i/th/hahUqLVpZEKZiNQj4CT4ftb08aCAuGlWZva7zTg79Qmkr e27zYVYEYMI4QBsOxHa2N8qgcbJCw1BXjZ8GhJzjKY3OkpDeqIq14but1BGEETC5fqi96H7r/spR ZbQoc94+6GgHLWE99fbAMfRbF52VGcBd1rhI0Rx6hm4KWVfJv3ETJl9X54KpDj1GOcU2YWAaisBW IUPKz+gY7bQTxKwNjpVJFQdqDRt1MIsv6YsD7+8cwBqYl8AcxQfbhYvZGIo7c/P5CFT73fKq/Jin 8/yHb2j9gv1rfoDSPsvAOTdnLRgfN4fGoUPAvka/exstI0xjnZN4US4AuE4U/4hqAE3sBO6AGgNN Yc3UrpZX6B06KPeSgTHBQIF35jYD/DnSk05ixg/4X+wec5Ef8xUNyKF/aGe5oanmR+mXTmFBeYp3 c5dpe7uYfI4NRHUXnrnod/Sa3/mZ06w83nERblLSAfhDoMUSZyYcy8Sp1KgPLERB1ONiAHAfA8Dy AvhBRJlzcFZu5gf8uQ8Z55/AYc7nxAoj905QZFmXxFxc/+UEoOZ8cc6rSzrNgYuozF9wrFexTjl6 Urg3yp5U8jrXe89M3IFZQqsQkTyhqlegCquS3xS1niF7xjv0DNTArHKoh7skdz88xvkJEYT024IO Sd6qD6whMBOF1QyWVuJfxhQ6efkKsmepWSVrXS5zDt1Eh/RN+lzP6Piis54Sf4eOMBlFLcbumdFb we1PXLuXkylTlQM/UVyGjK8mOzocVG+o0BRnhbijRBoYiv6qveOATyQW3uA9dBQlERPGL17Hqgxy WPUzg/ZBRS+YLmkbRniZpjwPSm139MDhHESji/92goPZtqSFAPKCChJOjXqByeQD65sitU+2vSCe jAG/EQujcCGhgRfsdiY/IPLmuZGDscDOPizPygoBM/iqKWtYztYkRl3CqNm2JBhLzxkfBvA6Kfsw FcKxLhbrBG9QMiUCBMqrrUox/SRWQK4hfYWj+q1k7WUvHezDTIk4oJpt0Mpq4OCzRHzWKZ//y6GH 2RhYnvsrhQ69RSnFd471XA1dtFapVpsBtIlC88h/ixeUY3NRCX72sesdbbLOCZYB/qBxH8pmXsmi WCgYtz9oYFwolqrvobsobX0rMYI2KGKAdrNses79zef/pMLpQkzA285bnAkNRtlYwfs7PHkSkMnZ 6omGh4V+5xeLFTqMDkVa2UTmOWq2zv+DEACMxTqkfc7GpyhTo4AONAvYJ3QF0JIF8wssRnFAZ6Ih KwUuCVDj2mqFrU/W/fbkBwThw8OlMM3Ck3Q9QJDQZrQTM1PzJVOUak0eZNLMgpAcNvTWFcIHPqMW iGT4iNY7WiZ9AocyURuDrTbgtHuaUgoccxpub25DuYmVZa8cHfCsZGUiZ2T+o7B0rP0Fbgh8RrEN y9dlSD4HEmKfqdDbCPc9QFMPuKG7UxZYnQ6Z6c9MRbB3sb1gxN7vaJCZUtA5Aqu5g+t8YgeZkSbC X0hxqBADUGI4FGYFRqOKwBm6s5y8l60O7INRlOtB9TqHVqMEr9/6DPLSOa5UTA6dRiv1UnN1V7HJ roGyaOlMMovu5GhpruIQ3tRP0rijlxw27B/ADqdvdNsKyc6RigUFxtUa5WLWUrFXjoAgEmAggzAV xBv8k9FNWVQ7Gucf+AERHLJ8BfDUAF1XYCAHZqModWyupSukzgXkhu0re3103Fpy7DYqP79EDzIb fQOeq3CtRsD7idhRx5f+B5peFNQFbsZVUFKyV45QtmIFmI9B9/LoJE+N9yCQWKHbaG6/z6oBTcKr +pUDv1FYGGSA+vINsQqRxPLYpgmVlJ1b9RDrD8PRk1mKGM7REsNaThQ6Js3q6omveia64SSAZ6qk vYYKi0sQ1WrvHMXunCvYBNtg9YazIarADa3y+Yik4xuQgRmENvMTMoSWo1DahTCQ+2aBeORd1Zmr K38hU7VLMPAcNc8W5OPSkjNykRr26Gc3hCdINCddhBlf4WTMFVU3yC9e1IzynNB1tEsyplIunFIo y/ygAIOTDkxu/ICgmvw0vyhwTDTkehZWHLuzR1F2sYW16P1m3hg2hwiwluc5gfXolbuExeEdPc+B SshuXFhwk0YnmrNFDBnyO0AYr6LcEhQkMB/NVMgB26SwFY0bg3ooC6uXu/AkUJnPR+Xkixyl37aK 2qif+XSFco6J/iGl+3Tt3d3Cfe+k4sNJoqf7I+fAgvScfobIGuqwcvTqe08DyHa2vyYuO2FyExJA Kt/Ts97Fi3e2d/6XiuoCoMIG7cPzjyvJw71vPh81KtqvcJRuayQ/aLY+rwFWA5OK1axvt7HiOTQI b5bprOTal6/hVUNN2xoU9Rkv0v3cNFTG6bCWPyuKZndn/0OwCqsB8ApnytFJ+jW4ai5UWCs7YBw0 T7VDmo3n1cLTL7N03pFUhx9ATNW1zj+bGqGUYXkNrJoLge1u3Xn8aeVKhhP5CqYJYgWnfAUz1AW/ AtH1js7KgXnI2daSeEbz1CR5seF2xaYFJdw8Mog1/LwGVS3Rfh08XgUMOzmdF7YnSZDIhaffpkhx hqZI4NDzHnMTVYQ5eg2oGo5Gci4FQiZKZrerWzzashPqrCe7+l7DqWYQXcCZZZHM0UJP2Pqd0IA2 iOUcdXurMYja6A+Zq1xFijxlF/kaTDWgiWT8kKcPqhyjmbYUVu32eY2kMMHbV5EhvE/W0JfN0Gsc 1RzuUeTsS/mh5uD/kxkYJQASaV5ReI2iGqQuJcEwTJ3QxTph6rfgXNFIskzwQ11iRqNYhRCmUxPB ZgioPnzL+wxlCl+3RjuahrZJkXAqfIXYmT8JdP68hlD4a/OwKVoWbPacfIpe4yc8RIGB8wtcVY9i QdMJCnjBslKcs0flr9ETNtpWx3ibd/b2RdRQNjhHUeWpjb5GJ9kiN1K5oDJLUYB8eagUkXsNnnAY MR2mgKINKric0CmJKog+/Gvo1B5v1vFjXtyQRhs+Sa+RU0PkJN/o5OX0LVlC4hXGY2oPzWdZ2AaT NEXg6kMdrT5uR2sApA1ueGPxoJ+YwVwxyJSGrvoPzMC8W5pkzRpM0iK+CsLsLBJDutlUJ84dSlwL oDaf15iJ91OxWarq158tO+8svUZMOOhpsrK7+auBoDRMSYdKbzZLiWo3NFn59yytavYF9bEvOBuh VQYkkEUD/7Co/U7L7pSFSU13vzHBe42WsN8kVbEIBi1IeLI86c4K7PKi6bV/XoOlJkGQ52JDk25u 4hQwSa9xEg5tXmyl7IuapS45i5yQHrUgvAAgIpni6NCWMM4QKUujTRIWx4DqGPoyJ89vjRN6YgI8 A5DpWQn1CcFJk38Nkpo8P+CCLAU5SqsRCHp+0llFsjnrdXxegyS+5/K1pDsFid30tfRa3myuotMU Q5KL1K9ptCxVVVihOjyF44KTO1klOElqj6Pf/2cTQ1wWxU242aeiaZqEsUHNBbCx4dBiqr99Xkub +DMT/Wc2/WbB1pFz2gkA5hTsLEMvNbrdTERnEDPKPDxl6spSMSuYJLaDZ87eaoe2hpcJ5i5+LA3S /anmEZ3dwvW3qXJ5m1/lcnTfOtrJ51hCNJOH+KUDK6FB5YT/ytF5VCl+rWjix2DGm8aurlUsdikc DNhk6GXlz2s589f9puIvactghlooGUXbQmsnNgCtmrJuNUV+IYRQESostmR4xwm9aIKpHD2Rg88P LQ1PMAkRh1qtF4r7sALTWIH3cnUYpb3vETc2XRbvYfmgyu8siVtCknHvBEAsxnsyMeVFOFmos8B5 imJuKQeImSKqJNsmPL/L02Of1B4W/ygMuhUuZbEgd77+DmfrJ6xTtdg7/oVAeYU+kAVHUquskVep OdsrBye4bKIRntnA6PAkcH0N1ZH2+rzT/5opniru/mGK87MkvMsvjeJuwoHOUdouT3Kb0jVS+Wtz uATbIBwomKftxg4yyeLoAJdUEGsvEpX7gqAvK04L4KxFRC/VnJWcUGHl8079Y6ZAfuSkj5+ISjrB dyNZkQoHn3fmH/7g0b8uusqWM3CBmqYo+K4i/rlHAWk19QZNLpPaCXozIFBwhnfXCjAf5LnvtoOr L0y/FsHD0BBjfnI2s3JxaCiscY0waIDzeSf+cX8zRQFC2gYlun3JgAGNSDRcorDp5ihuq7LW9izu nfaHLyUUb7Nnr3miHS+xGinlO0+IZazYG80TlXNmy5R50Wj8yBNv9NQaNZlSYyK8DYAGQXyakhI3 rG7LVAHz36dT5TQlcAyqkrKTlg1KKp3oAzW5YJ5yXl/rCQv/B7pjPlFRFC4tNPD2774rprtb1S6R AOFVanzn/OFG4B9/NhHvO41WYYJVMKeIAoQs8FqvBcueiWK6ipYwftAbv0/UJgCoTXalOIhIikCK 19gJD8rnnfHHtOM7ocs67PY9x8M4fNBQewwvxdXmgtel01mCqIMGPo5qJ1EgPqoB8EwLbT5aaEgq oOlG8sw5NSHbyD4LCrwbKDxqLJr7cZaQQhSIS+SrTDUPpjcPkBmqd3Kuu/15J/u1RxrAgcYUZL9h QRSJszgwzXccRbi5u2kwISVwuMGEhJ2mKQrFXc8St5qPNk1QhIdJKtEG4AbJ8ghyhOMHAP0fmq+6 MMDseuP3aRrssZxVPzSYQcpoCb6uP5Ty5ONBkJny9/EEOyMSJDVN75E4NF8SBSZys2la0uVYrG71 atO0U/HCbhSKyy8Epljpjrbrzn1wjlvUyVFEAZxFBc2GbTLwdyPte9zZu974fZrmFvKVFgYcVGg6 P8be4je0/Hmn+OEPzuVrmiB/D35N8nmKgnEWn8cc18/orC/D+lSUX22eoPljyymMxtmHgpXwvKOV 4/rZd+f6Y7sgYQ1ta8QAcTeH4OoXqD8lURVF44uVuJGegfOUwCPU6UQ0RhSO53pvO5amIB9Zh8/T O7evWRdqoPJqCXDp41qxOv6VVeZkVcswGje8gOFZOXpRriHrlaHRufe62ZCe4EB0tUq/znYrTsA0 fN6ZfQzT+puk5QIKrom5XfLnndiH91z7u7pL5YXcbt7yzuzjBJPZLjAipTlqNTwUnAC6zRSQ8zZT 7/F4vqjzqc4mRys7waTixJQE2s1kFg5MgpFcNqK49rpePUz4P++8PnYKmP4mQDihMXACF9bbGwHI PNcBhmmfd2YflkfeX7sPEAoapNhURSE5/dnhsuFi1zm5qRHSAi891bSstflO62OB0IhqAkVx9Fiz ntAZAHrEmq2tnoc6m2RZ9kbjp1Qf1HnRKwdBlDweukS8oLEos4YT3iw6IhFi+Hkn9TVZbz6XHnyI OmJfm6gwKJ9LzKvpNbp2ewZrFkfnIz60NRUF5SmZE82Yd3QMBiLNsU3WEtw+sv+Qi6FmABrWAFrN 5Tgo8vJO6GMFR1EBiwYcjHi1LDgAt+/zzufjbTC/c7wOJZB5T6koKN88paCjZrFmrc21cFbLnuON 5rSrdzIfcwrZrmXzhMyPJ+QCPoWJHVAFubJEjmImACWbrumoSVjFVzZZ71y+26QrtYlJ1IbpDLe0 G0W3ULz5vDP5Wr7eKsPxF2d1rnrnKYrJmbkiJLi5MEnD7LBUdabQPoCQn62nsDSuU7y3r9Fx5oNw DHScJsD+K1HrEt1k2eQyaanPab70ykEUlbXjWDPgIJT5+S3ESAd5+/PO42OVpH1HUcDU1eXtzHca Hw8o0vhSybfNUj3JG1K+FqJnWAfhncXXHFEHhke5o8fkJ0454YH0Pjsw+VMq800Qc1xwO117h0k3 1ncaH7uC3HdJvMdkXV/YnjRynmgb/Xln8TVThH1KmtjE5VbH30l87dodSie9sbpZnbiQyHcQeyi5 Wc87hw8/s5qZqRIjptGjTfRBALzHvoMnO7U2QUsrOB1kw3zRPBSy+7wz+JpUe1DxpQvGWBCxElw6 0Trphwyl/Xln8DUz/75JHrx9vxqb7/w9XpM8n+Z2Bu2JcLzXkpLBERtxW7ae3qPyJMMSzDPB+PM6 nkBgHvohHcC5jmIC+C4KMDv7SsDsgCdqtRVEpXzjKCrn+STvAg7K8WAPKLDFQFzwHpWDVvYreYEi uIC/nKYoKKcK1Fh5uFUPvILseMo0lVCpLnU/xt+DchQf2COv4ipotNpKGwAmnROcOLqzEHo2/YST KMFD8IfRiEN4iPp9p+3dXVcrTSE5CEgAsbEikvUan3fWnuf+Tw/4rD94zXmbPIzJh4wgr7NvWbN5 +FRMSB1yqMVi8nfKHmNyrqZiuF+Otpr2BApzCUqQUMKUX4Z0tgolhbBLTM0MPO7PO2WvuRh/A17b BuV4QIRw0wGc/Hln7LVHy0yHE3FS66Yu73w9VgxYqatmTguQrqcuRLL5IY42nqYpLJCzYjCHoTLH RWV25HsNlQCcBSdDHjTWIeYApydx6TQz6qIJ5aI3jqZJQLCefFCF5ASneakBPvl4dIZ77MQNVqm7 7ZvunauH1URn37NFnNa4PFmplQkY4eNt8CfHpnun6vFs4tldWCex0c+miX58lWUtYN6pWe8KBTJI gO1xQ3GS7T7vPD3md4gIemegyUFlugn5OJGqSvm8s/R+neBZ+fP55arfdO8UPcwSeg9n8V9dvDKt RX5WMVc/QfZVGgidnxTtua0jKdc72mLKZ/2cQAyAeqj+n4BgisWHH2fuTVWeiwKbFCJ55+cxYyGv H0wNDOxo6QjfRRIu8wQjn3d6XnvgcjZP0AHCjW7zFEbildq5lK0UbJwXMiPxURkRYDXlZzVF5XEa Jp6ox0yN+3Wx7ycjgfXWgEAzWNyJFQH6DoE3RWW0dGGFBHx83pl5N7Grsp2pefkJfk6/Lb/a82Wf d1peezifQ2ItKER/HU3vcbip0QwWFN1OpW7vcfZp4gedit2apag2nobiAFXgNHrcBKjThNwVVhOU HUTcK0BhTrgb5d7LhRUwX3mn5OFCXeIf8CDnoKJKOvkQdyDEWz7vjLz2KHdqMUH9b7R2L7ooDGeR Dsf28hPcReXRa2lPT2oa+uKdj+dFHZzKO9/RMSoUrCskTZ01OpJJRNDVPhdwnkvtVytpElj4Tse7 VTqoMvhgvTuo16ofh5/zPQw3/atnOaHCPtNdTlEYLhmLUoaXCUrt01Eqyw1rIZxtYfg7E++pjW/z sN+VsdpkmQCC5VnYQjioT1Grzm/EHh6UGSpFNr2amfTKEXiO0MuhesrAR2h2wJxhXtZX5otGdQI/ xfkfI5DfaKDZTIWBOI2izz1jKwqYyOT8g33NIPsYlgC/0/Cw8UVm2Sa9wtGZGu0st1zYlIIo75LI 9zm36C4KTC1KghfNiyzz887Ca+7tWwqZ/ORZE9VdF9qpLNdBceDzzsJj5ed76wFJPIHItomKQnEg qvNs1SAF3nXjRBVW9MWyntnQqu8MPLx/ESxsCpS55tWSAroQ3Azed+0sNvNZg+jFINKwAER3l5TE Dt4JeEyylAG3Oyh6mizS8VDf+fNOv8N71v6d2RXYrN2T/J18hy8l90cmy+bCajYEkOuc7jxDxlch IDOMxYXmWVaB4vhAn6zMBOhzL/Cyx4JKfKY1BpjlkmCJwP+8k+8Mvgz7W1Jhz1AocQSTjjK43Xtt 8/POvfvVvjNhlnMMIEX3mQrxKiR1Fm7c/8jvJOHxv4zQbQHSxD4nLDB/KlbUO/eODW3hL7KsZ8xX Xod5BxKj0hsLsuVw4GRNhb4rqqkwylTtiX4In3fuHZGN0r1ji3JtGj/jpgNIjzIUJ19an3fmndc0 btqCH2fCv8XmKYzHF3lRFLMn+bVM8yQ4kSbN5f5TC6Oaa8M77876tMQ99X5HW1EQpoM+OVfUOcnP Tt/aeex7oLJZUMi/6V3XKweHOe3ZkzZgovgHVxQAswbMzPXzTrv7VaNjLojmKMV5bKLeQ3IHsM5e XP2V0kXcegJASh0QsuW69d5Zd8/W28UoLOWhsKwTqVKXjPzzcyE3Nc7PWUKNThR4GVvb1tv2ykFV hT2pOlLxQRXMjEyY9bpZ6ueddfcLUsfFd06XnS9i5Z1z14yqerZFzo5YkReyoigHiuEot+LTO+Wu ufP4Of3nM1qCd7YS/LeAhz5XTD5/UDbx8y0J1/OB53+0PFhVlXfGXZNrLCg+OIs1qFxwwr/OzvfZ 2O3zTrizFsR3S3jLpsbnKSqOL64nyokqiko0HOOVN1KvXhwvTmJ559vxyrWgfI47OjkYijXgnrOs AlufIrc1XHZnWipE4vMt0iFB+Lyz7XyezqQTEc1B83SSPppNgKMxPu9cO2yg9it3gVNtWZ4JvzPt 8BSQbUPiAZymLEUkBJt1L+9zpp1NSPidaNdcq2ZWib5q9M45xNo2VHCgb3CiNInIw0dzAR2Jy2fm G5NrOUWlcXAdMOd7+mBsn7XylOB0q593lp03du80QUtu9oune2fY4SmEXWPO4a2WWbvj6b5WU98O r38n2HHXKQGmB6eNVjAAYg4ritN0rj/iGdlcR1kFXaWcGJILJ+ZvHNRVWMTsnSLeHGyaxgQDjNWn +Xmn1xEmdjt3NKNL8LK4LYQWRuRLfn3Z1dpSa+om4IhNbjiQCZ3gdRdix4tOJTr52Oin07klNl2I Oj0UAcVgYQXAxsFiMRozTghGYIPvCaICStpB3MYH1Z8yKn2C+OSNx4M4s3+jWEEb6WxDaJqieBxx 9tki+TqLmuxfZwfBjC3Ib7WOVItK4+rGzVytYlC9YgCTMVyhS0rnFdq14lhXyKrS5wuVigedufXG UQeBaDpoDdhgNV9sZ6LEWhl4PEAWlG8GGUhGU1Z9ZP5E4TjVclGjtvLTyDLPlBZGNacPGKQY6LC/ h+NmNI0MSFIY7UphnFAmDegjZqIzE9C+AtzhPDnJ2DlH8f8tu2OlAV8TYeyJ9d2p+GCoHvBN1cHb fDyCRH+fTcAJDKBBbZaiUBzyG6w5eflp0JGHs1SVv6hIN61I1yOoCnl+UDkdz6hZOvshnZAC3V4A NMtJVeVdNKHW3EldhpaPlwuSvXGQs3CzlZmTD5qmlNowwmadePwvHhnhOwMZZ72s1h6G4kXAo1m8 /tSLJcG4nhx+wfYLz/AelcaH2VVUYybWmwQjCTlRPUqjCMU3+sCsqxTmGxUUlXOvPtUCMcmiUByk N9jWdyZ3laHEj4wMaxew4KSQeD5AHV4uAs+1jPIxszObqqg+Tsn683c1F3ZolfMDjrQiE/cxNEfR HgXj06i/qgVotOwO0e6JEuhffw7twrwETClZzeF/wKq9IhjMg3sUjBfGAwXWi4BggNRbRSg7SWPj qXjuUX7AXxUDdRJwRl5cdI8onKMoaJrOA85rGKanlN6dUgalPpupMBw3PHRP+45+kgNCN0pRmDnb Kjz1JSeRiVMnbNxls3jj9ahGbtgC232D5iBMhKGyQGAzwPx4PpioeU8p6gISdnYZLj0MyBFC9TWm Y1lr38kwmkXYjInDnGgshAY9RKsoJABL7I62/c4nVajKUa3n/PsxqbfcSQTPBJyAinfPqZr1ykFs QGh0LmJwwFWuCyY2qRKBJXU2M56Ptp/PVPPu1HiYZT0qlLNkPQsNH/+TGhRSD9RWQNNGt5xczsQC MA70OCZXX66ojdmKkxKQR+MaxE8ARCL0brT7SDejz5zZH7s06dQrR1UodhKSPPmgOykfAKB+JeP2 M2HH16OwPA2HHhKxgqJWetbUe1iOjjvBvuLYUWqTGLtz38FvFQEz63XQjVYVqkdh+TYcazJD+3Rb ChP0qLPNidKEPXGneDxCRfxABYqlZVzxyLMAi145uvtIoS7Uvbh1ZshPV9lZtpUaHo+KBt99vAbk cXnO8whHTn74KLynUIXqdGFUFWqDv4TF1SrDX+29CLFiLLy5VbWbm5LvFXuvLCgsToYI54Y8AUJW FQoKA7min30uj/oAyc904YsiSF0RVqX7oNbLKm0Qb1/b4uMRQvN31eAcKoAb+USFgfnkROXh0he5 uW/ouVOqFOxAV85WAO5RoXyZ/6Xxqee8tqFzk6c96UgLYbo1hWWlSxJkX9hicgsiQI/1ykE+LHlN cmA1cKJA6hHQB2ZEeDxaUH5GkeeCu+QrNB9RaD7Jw1u8Y1k3WNQ7V3mlTasboIRrPc8RVco55ej2 ipmQLzMBqnFrn12xqCe292IPF9Ah6OAuGjdRaXqaDmnWGwdlTVrw1U4FNg7CQDW4wqtblTMeD2Lz 8UtJBRYCo3jMOaLYXHg2+Fl746VQjodCRisJNQYHgWyQ3xGXyRVrpvqMXibPJ9Iog8SE89eMvql5 1AeT00SYLLnUJryGVBNf9C9iPmo2LP+eWRtCiGHFslM8GiYqxK2k7zgKwJkFAVSbqBBEnqgMuZPF USfhrx5Hrdl8PQ2WaDlRUZ2cxpjnwkwsnkzIa+A9CBZDi3JAJqmfCTxbL1XznUOvMeGenu7fkXHC DXxNgGQVn7Mq1atJ3YTaR5bwARDhHY8H9ZX+TZs6Ed0PAX42SyFupdNbIS8vQ5Xl+u5ltOmQujqK CYaMKC7X8QSp36qRpS/O0llNoOqTdV6Ajs9Kd04Qyoum/gDk63EB+tn4mqjdKY3x0X0w/PiekjKC bDge/0sLI4tMPVGF8ox4REE5uZij60QywXIXs93MMEXeGC7OM6KgXKc3WKH7jl77HVCtlb8CKEDD dGrONjl/M26QguKMkYQnSXgjqpHnoWpdRu0Xd+kPuU6Q76ppi9VZMp4PltP4LkMNqN/0S1YcUUg+ iakDT85pUzM7pm64xjvAuk5yGVFIvhxpsModnQ6UgFtLAvyWtCG1wkMcVNGJY3Xtean5lDAYIXx8 SxNSgk9ukQX0yOLJwbAPj0fwnu/mOZPhPTx4GiF8fFN2A2Vqu+poXc5Z4izraNoQx9EsRfDxYqQy 4TE0OsoA9hJpMRkuYAC1YlI+OIbQEwbW2cHjqF59RiitUq302730q+7Bed1Z1OjE0RSG4r/QvsTR ptvoHFGFvHEtSZREqJWRHLUCCSVHreAX1iyF4ioG/yqC1sGQHpEJQ0xoRiSkJUiEUYSXAdg5BjMa CVTHSHea8H/je6JGgkrjKpQ3/MqC1FFpg6ntuazx/P/Uv9sQm7p9qRGG4oT7QmvwllasuQmdy6R/ C2cTbAIUDEYYiktVRbe8jRZh5pOCQQKZOpBrirkDiAGr6eXcrVD2b3fXMcIcYShOQ/qFmjp0jWhh xn1XuQkxU+skkfiAqLTyzSsDCfMs9bvvwlgcT81U7UgCd23bTLW0msIodDqLlVZGXCSXbnsShJ6j UfA29NQnYGDQMEBPWFIPWXo0IOsV6IW4jYKO8bBKLn0siChiQNOBrRaqnpADMc704flgom4WrNIK co+U7hE1w0J5VfLrUwUfKVfs28la6ugnoCiE/G6GooZJ8UAZz3gx5JDWQSsemw+GbjJcKFDxW6Tz A8XnXTzyhGcIW5F4CCBmNii9O/HAks36SbjweDBR7XtF4c+aUOW2aYqi8Sp2iazPCMYo6YIxQNkX GAP0EZumsFJuxBapimq0k7yhVJ4biRv1xJOjknzWz02BcgEVJ2BX6Wkw3RNmTOo0Bajsg83TCW9l 1lh7wePRGeVZC0mNDfN0z/IZiqxUAVpv/NTrPcvLNkoszq3uOlkxo1NwsdGe0YmK5azRMgmD6gka YrzxeoGgd66APZWx9wX3UO1hRuG4eOcnO+g+KDIAxmOLE7QqHv9rnrjxcK1sedFzoqJ4nA6VsOlz puImfFZWL834i7B6acbdmGE8XhVoNsmHcLQFhej1bDyKieZ6QiRG98iCz+FE0CBM4a6t7NILR4BW Ecp6EqpuG7bnfCQLsyD3TDweyYne0MDAGACtXEjrjAJycKfRiM3eeuk5O5v67LdLEYbHteYpCsjV TjnzLO1Zjr6gkOoRgYG8pUD8mBtvQCnzvCT4LIoO1Bemh9cMKZ1JwrSUFuMg/CH2AvsmoGTh8aDh 2b6FDCBHM2e66ymskYt1TqVhJS7UlhDrfJlie2tgy+nKmxFopW9Jjre17ugtqk0NDKmslAXiP0PN BHuEBbrGrk+oScfPGQXklYwyXpM2WGBwzsAiC88x8PhfVV/2gCH8sSFBb9MUgVZGkjHC9GkCccdB K+W6X/P40DRFBXJp+M5EBXQbfZqYewFhS/VVELwZRZCePfOkoPYl4BF4jO/5F0EY9r0akoEI+0J1 mitk8DX/RGliStDrLN1DzRmF5Awfzn/TvYs387i7zl30IHXqoJUZh+SC1FEZ2EbbdSfqOMcJDgbU 6Dp0s0wVGnE1bjea/d02wtYbR008dlqWvLvUN5C2EQFI2Lubj0dQsfV9PKHnA2dNn6cItDJpjkAk mGvUPbxXCYnoFPdywYxCckoJsnG672jL6SS++AF43Z0ZO5/bqIrRmoUSXLzj8lwIuJ9RSN6y9h27 neQOZanVghDJMPEEgxXPRygob6Eb2gVX3l1QIaOzC/SbnPg65nU2y/SNJ2yFkpeaqFBmZYk2ZdjD +oU9RBdywh2IcjRg3nOiRqUYJpUPVrqMTsiu8Hv+te+ajBg5GPawzsWyyrnkOx6P0D3f04TIaYJU qWlaUTRO1cETFt+CgclEnxfOu01PhUvepgG1ItgKu8vAU6mZwNGhrGVB9yFz353DCZYxjMYzPEFA yEBR05RDJF+wQtjKFgePMqMcNEv4EsOK1YrHI0LnPZ0EFkOwmraDxVZYHJ80cMnDU+FNorvCzJGz p8LZCysrDMdNtJaNRxvtfCroGkC4h2U6aDln8c0bNS3OKtoId8yHmOWnFSsedmn4WTB+Amkd4wxi KDR6rk48/5f2Gn5GqMBNkIxtmkKpcZyhAKskx60MV/dvRHQYeaNOn6YIt6LmHeKwaSOvaNHNobvf 6BxRIC3VVc1sXElUBqBtt8dOJ/PG9wTBeBJKTJLaY1jSAmKQSNUQ28XjQTCevnvBYCoPiHPZNEWx eJev9X5WExGoIgg3R2JAVX0oKFghgNxIQFOWERy91bLReZHdWyGqSwWoBEGwE/4UU4a0oICYuhUX x+VqnWWMd45EJh/wTqm0MfmBwTGej06nG42Tu4Fa6lyXI7xC2XHC6vKqyaoF58hQRgzMbysXiTF8 pmJWp1hANe07elwwYXA5l/QL+vkK3nMnK9K9h00GSdqL7pl65UDiP4tg1lT/Pddzy0LY9zmF7qEE ET7hfwmhkMTusrzhsqKAPGGK+9zVCiv4R6tBISoZPlVYBpqqqEJuXLxGHJiNVq0rG5OySe2kROs0 Vzzgr6Dccz4RjQfzEEyUaF8RaGWxlZAXyQkclAkvQGHFDep8zwCzsr4rK1iU8xGqW1GNPNFUY6e5 rFbXsrlRQo53jwsYy8beWGFEbv3ypPo3R1tSQFqfVdXEhIclqAq+ACMCWwcyGPpxBsTIuvRCiZVu BrFZg1zLzgFVEmsyJyVJDY8H3M5bgWpNtkEnFW6Xer5CduewUmZ1itmo3hHeSmM2RVa21X9XiCTv Ejeqcrjh6DMlvUgTo5mDzRxyFlGPKQg2C3hWDlmZ9spBeDCIQgTNkkP5EUU4FXjC/FAsq+Lx6Dh3 yAqxi7C2AjzP5ilEkqP6O+D+9OMiK9sR90kCY43SuU6qXmGdXDvPZQ3HQ6qeOO12T+QD1bPUCvMV +JzitKjg3Va6dLMlXIBI4isHh5S07LN6ns5jww26clYYNfieEcLgwlpZW1kUQLxQjBWSOws9WtLw qLzKLJ06K/J2o3R0cxeJFUuRa6aoLmijF1dWYREBxZUxEZabdDT1iTMvVUpjGJrcXznqUHGKBrH3 HMScQmNqyrBsVjwerahvKh41/dK8KKgdxeWjqkXFsoFIHK6xfdIAmz4orWSLy3dUJTdz9Cn6mEZb UvCxBr2aeR7IZ7vK2IYIh6TEB4hB1Vdkj76jyLxllp+gO44BkCHV604uw1zgpEV8POIEXV41FZRx NeB+sIkKyZ2NEWfOTgoChcfLmvWGUmU7onyHWivm8z3U8+VoE4XQt69O0iK0fqds1Btzysw4hAQk v/VolLBDSLk6L+js2CAUFD0JeVxBtH2/R+YwFvXOsMRWMs60awEQIso7m+bL61CzzGuMvtuqd0G5 wtEOI3PjLNK+10aHSZ+gM0HGHQsKfAV1hvsG0HhSxbxeUeRCKzl8z7/qdTWJuZhcTfPkEUXI7DN3 A4//xe3klKDos259ZYfUTqI0K61tte9gW6tpSsXNJxFQ+XIKq+RWX5k13fHGmzDSAiQAbZcBg3Tt tCHdTdS4ECj6UY76Or4oYE8VuZOQz8FBB9RG/s+jfGM5RbiVdtsJ5Mue2272WyXfUVw+SVnsEl1j eSXP6wHQTaumNfoXaJ5CLPm0bgLrdRqtbrBhsdUb/YCoEmr86wIaCUig50+7NXKii/A1kRY5j/FG CZ+CmhQ10yq8BRrlUs5fsPF8BBXz5cTcGaiOx51sh7KHTXyX5nJ+2uHStpcBDi0l2OvmNMWoFcNh CDLG8TJgz4TTxwAcs0JZZE4TweBYZpPqkJIyQD6OrwniJ7gGAHp2B2261kphPwzFfTweCUH5YiJG CzEiYJr3uotj8sx56u4kvP2gAqyomz9uZ5aqeQplD8VDdOQOR1tOBcLHJN5BR6QRdaqEGAhJEvPg aQV+ALO8pjeOGLBYSFQzt8HQYueylDdnXnz8rxSPB36GN+5ziIcBOYoh8K19tKC2TVMZVEzR6USN TDSFdxSQT0OwojTvo++6CbtosEih3nMCqyIWPwSCzguCYYyD0NRG6Q6N74mSYR7iiwhEDlYlpxgo I6LExyNJ5G/xWpj4LfRMbJriIvmiwmhzYbFW3Mil7DVclJVXr6YphpCr17LE8OTo9A14ihUgclBe QctWhQUs2J8BMUT0BBy1sqdeODichIvOqfggAiwYftP+8oHHg+LKFVqhIs35RXa77PwdxeKoM1HH UbXw84/bsNAVca7b3QzMuvZchFmhaCng0Hnc0RvCJ2qsZAaigdcTNBQ5S2KeEuQCWLSczhPT4B3q rPBwyluN8+2N87MJciJDBbBNPB4tJi9pMk/PwOciSbSJElDo9XtJSdizqJyC/gv32qbNcjKkPQhi RViMnEKtFbNFLBLxaeWqjZ74u9JdGVHBAueVxkVwUMKtB80dY7nMJWegbS/9Dz0oaLyBj3c+gg0p JnboWTDoJAaAHxEhDb7rK6D9nnlu11ciRTE5BeZO+Lwcu1KpfA3sSobwjuarAgFuVgApDMq70jsn uo7HWaKCMtoXwSug5/chS5dEreEK15GyqeEj+iKDcnxTxF9MnK+taQNbg9OFbIjZxU/f9FKK4vJ9 qyyDFc4O8vBVRtamfgcqwEB3t94dZUfSO6sslSAUthYG0Gs2WyGeXEqZw5Pj3m+NE0nJoiY9YHaV 7CCCx2jYWNnfgUaMayOzfIBvCsrmBPlUGXKgtCyfRMiN5Spi3rlt+QEBvrV8S0AgoJ/z4uxyiirn AxEFwMzTsPfArvy4GUd1tuf5UwzuQzWE4A0k7FDNY7Fej8WJZA7QQwlJT9Jmme8RlYtTDO4h7eZ7 8k9IsV0QtcYmRUg5WLFlYQEz9xuDz0dQu2/pX3SX5yOvKcOh9wyICGAFn4a0K4a0m7V1myt4o/nC Ch2D5I43l9yAONrCStAOWfD3haL0msQdstKJ+YVxAMLHm8xkUmPxTf/wLqkz9TuoJFzO/pwiFI/M 5yP2/ncblOgqdq7vbIXlcxNoS1pOZ0fyGMOhpd6ADi0KXdghH9fPFXvu/YyOMJ8N/VzG6nRbTkK7 dvBOMiT9f0omkbipybftpSNooprqY/qgleVoGJwbej4yFG5fs9XplAfOjU9WKMWCt0Xc41JRWfoQ mxHWMtvlSjiFTVYUsBPOxj7CM9o2XKjmLFCXIGe30XnvdmaBdU1+Tb6d4wwZEX3TPwkejYgNDo7j 7JIZgU4hn4+gwd+lvAyO804XySlG5HsFUfgDZCTkyKL5ZCur4prOzJXPqbx9H0Zh+0hyNmsSdedo 4cNIdI5a9IVF6l/lHzJIVhsoXpVyIWWl1GYvHbFk6XmOTJvDxUPDsNfQd43PR7HDbfiRz14JuX3s cVIUvHM9oBhpOEVoxbpi+VaRgc4TrAXIhTHSZakGRSDjyUZHvgLImWUQjyU7ZUfcN1ifbB9kKFM4 UlG2VCnWLOf+S+kOquihhSsbRhCK8XywDX8J/WAbnxTrSiXmFJbT5X5e861Wba9WAZvsIJednrkK 6+lOJm7zjl5Ph3NJgpgXNuBEcshyFZbf2QHkyqeLS8jkFOOLonIVm1hLPrHLARyzADVAsuzIk89H 9KFvN114tpApYlMVmnuCpAoPIbf47uvp0ZxdculojOJkWhkiXbrp1/Bu0ehIl/OpAza3yJ3Pb+EX ZsFBjT7XD/7Ma5LTur3zv5jqlfViDcJ1NjADhRobk89HXuie8FBLFfXmeWW2cujv2YgUHvJYkgeF 6eBS8ef65y2v7eXA4BPIVCkjycdao6pWZ5cUuBsXZIZo6lM9mZ2ck2ND2ov5issAErKYY39POTT/ vwk0EqklbOcZ+HykEjy/TyugNSfUUn2qwtidcLyMoNk0pJbZM8LmlWsNGlKNfDveg6HHp+zgz2f1 cUc/rHaHUiULV+lksX2ITkOY2UAISYKaz1Vp9s7BXHViOLpkXVl+ZeXqJEy9qZlcB5+PIFTfpFkC E/qXaWxUWV+8hvYmEKHKaPe66zIf5VRVRN22qqLIfYoQ2ozx2C5f/cT9afKcJ20WF9dWt2JC4Kjv BN+OdA2FRrNX/tf+a5PKym268Vmua1U5WS5khIHPZ5JG0QOszqTU3BswNPqkwThEc5KXr7ox1ZBH 04ap0Ixi3P0XckJtUcl8SaNXZtJZqwVHOSzizp+3JNpZwC4bbFsCOmUFLDoY84sidxOWQ5sPS4sK VXviaM+iGpvPBw3lq1iqALYTXnmnKpIzpyG1w/KIR6dKNjXcUqo+VbRisP0XBu3L9l8ed7QC+zm9 S0HSyQJ7OpGo9MwznIAnzI+JqXYkVZ/2zv/yIm5gTdigYh/CUKnbnA/i85GscvsOQyeura+pCqmh RQWfWW42mF0veE2D7qNRmgz2kkO7zyWhpLbNDnzfo2olyDVP6BOhU5oQ4FqZoVPUZrORtm/BT0Ws 0PBz0YOpwHbOBi0P1Dbcl0JvGpzr3evH4kFgziEM4JMVi7UQZt2nwc4ccU0+nywJMVngV1iZITD9 BHJUqbMBFeYDVICaZEZnhbTs89/VTIxaP7MGAXhoeJD6YZ7prWx76X9dgrkSysjBotA0Ja+MLiqf jxgO9WthobW26ngWVhiwF9avhpXYz3pIznA4iXN2BhbibZ+ruNwueqgF7hxtrsBJS5QRghDJzCNV lRmYWYIujP2XL0yoN3vpoMyQzBC8+KAyQz73tyS7WWYIzD8R8X9zZiDRt542Vw7NP6GOhm/bhj3D FZ6sfDWWue8iZ09ukhq7fzaDVKl8NZ/yFX61DeYgXS3LAG2CUCFIu6HWB5ox7r7Lw5r20lGrSyqv z6B1hXyuShgBZeTQALReZQQeWIxX8n7Sm9AClLEJDJPcKnUq+iSiUekNqKLrma3QA9Sgei/K3Wjw l8rPgMb5Otc0ZxQqCQheK6/FPp+GV7OX/lejORdpdVJE/kd2aEuwhV5H5fNRSaZ+h6KNYdoTtYcu oFNEyNm9yrCLSXajPJuoYYq8Gfx8m6wQoq4ezVS5RaODis/rndSeVQZU8Mfamixm4TJIQyzg8m7s DubQBxSH4arwZvdB2/AEDfrSEwlMPh9xa++RJQU9lCv2U+0LjUAXQaCDUhfciKyNGLRxWA0QGi7D 2JA5cALF0tJGLCJAcPQCFtAdiLHp6gzDtaoCFo/2CqQwA3CvjdZkL/2val81B0dQfEzOFCCrKkz/ 2vyAKHS/aHWWcEiPB+HRpyuExXQKTK3mxdFJpDyDh9RLseIoGqK+uGIXIp7xK5VntMWFstWk6eyZ FGjY0YX3nPGw6oZG0ATB3Wm2JZHvl2ND0NLEHK0ais7qE6olsxsoWW/6l/yy4bfXSQqfunvsCSqO VnU4KBiyyeL3zLuB+fOJKE0xNwemoI9kbqnPaPE71PVglMqgFMztJvPeQioZPbxR4/OlRb+BHLuC ZrGOyBqpUErrEuJInbk4CNlr8gMipcVvGBGCh4F38LmKqu6Nrk3sFTif7QHIzCsRb04EnKsYIWN2 H3Lk5eiAqw6a+yJP63zuydqYQHf0X3BeIIDJ6TJrVJYJjUEVZ1H2zAaDfvQt252TxTY+H6yr26Ig tQbgk96e2zAK4JuTRtzyskkIFmWZlsbFEhHoqakKtRZlK1dMapij5TppQ/1uUbD67JOWDf3RsX8o 04HbwXWn1rRXDtAfiekgRCJtUAELF0aXKGDdfP6vsgwnBSDCkeudqZBMmmWZ1jwrrPTiFkym9WK1 vpYcTZQDd9A7U1g+d/RaHySGgV4ntAESfyqLLoh2gRajOra3crLNVYiUoXtMVbGB3mxNkeX5DtHd z0nL5/+CZDMFhATtGOmZrCh8J5tgdIWkMhJvy1Polqan0IS6MoUOLEJt3g0o4aOfVmlCWX/ZaQX9 RRG5oZI9EfmiOGohFvR59D3BPbhflxUEdRXJnxnLfD44qy4QhD1CNKkyGQg+V+/hO9pe2HelOrAI aYhVRnme2xY8SY9XZgKf0Afpv4Si5eg9QlR5Ovb5Cd/xD005dCMFnS4nUGBilZJAtWIvHQSkqmLV LMDaebrZcQU0DhdW1Zv+xbKpquP8sANkcxV6hbJpfQ43R7DPJNVvItjnzHaydwRumqvYLJQ90pnN LDRfs1BWsc5Fa6VRFN6L7B07lKM75JiouuhO691eOZJdZDe1SyC2W/J5kthyPpm9Z9bbA7fQb010 8rYGJQruDgzsQsv/SfTGruMeVyBkOFytXszxrMWMCHLgF2q5OKaahQSNN7iq6WQzZEVk3CJlqt6H 1tyggyb6L5f83u2dA0jDZDKoLKd6WALo7xD88RwYm8//TzbiVUqXF4oVe4aS1t1UcJfcy8Vnk18j AskqzlfOoWmoIUWHii4aLW5PEDhNk1wbNAob60jANOCFKyrgdOG0XmpXyyv0DR3S+4ZtEAYkeCS3 Acm++PejxMsPiGbrW9CTTrvErd7piommRZKC3spZ67LghziBlGcetfl0hXG7wAxsz/joRb+O2lRT 3A4LYnI5zgZijxbb/ScTiWXCVOrTB/6h4KTTP5RmtI1l8G44P6DjaDewUJwJDESRQl/cmg64AvbB 13SFkbsMi1woD//o2i8QjDYVig6on6U5gYkogK/S8drtGT0rROQPjhPVhDYlIzhd/G8pdo7FfNWp QErnN0U1UnHdFoX3AfTLVWa0JwROhKbPvjM/IcIX3Swa+3gSI5+fzRgF78QpwOV7WBKdFLxL9cyo gtBeSo7zC91EHWDUrP3cnunaaFSszOvwXLcAgvA6hHAVAT7n5Vcvt6RMkaoc+In6dVi3FhlRlDzZ 60b1mjEpqqSBoSgypVv5m6LnYvvuZ3FF8ftgoFWLy8aOKmQWan89r244P6BmfC9GmBnlxRB3LHf0 VGej18VNA/F9QFVZ+xuQ3s6JwJ16gcmFPy6/KboSKQaTmPJ09fp1uo+dCc6CKB0/IEh20jeXGbDi CSlPn6ywAE8VJqFUVPpDCcJkUZmusPQHCzmfrBgzow6gqQRw9EhrgJgLzW8USuF8vc2MDsVV0r8r XtmrWXvZS0daAgQmJ4GyUjGcH7ze1cKq52fm81EO/QsTec7T80K38hdai5KCDb0yYWyBrO+3s0Mb QnZWU3bid469RbcVlVu9o6NAoMNOYiYK8B3WP1OnVqFkOkjy4Eo5GEsF+NBclEoCdVdV/upyWuUJ GDvbuQBT8PmIBfctLp8hRL73Ay8K7UUrm6szX129OUjDwWThGtNkAUnlZdLQX9SMWE+yMe+oyTpB STkncab459zw8qOoHC417KCZSTFx9c+SBfMLDEYRQFDO0rCRwAQz7G5QRlJ1BpQNfkAQQEw/tNQH Ksq5bLJCj1FWkc4fNobzTaS/y0Q6Zc8Nz1x5dSYwGUUtn5EpnEPvaCWHkdpZvdhjZzfC31fCcmdn QhQU6oSTe1BWYmXZK0fHe/3VrBBVvgLuN77QDYHJqFy5v50O+wk2roxODj1GScE4p8mDbii35tfl K0bMTL/ohtBkVPXkmbfBi5znAFnLDXqOCeks+GBJJTQTVDWwrbGTLDEcirMCk1G8swiWg3GWVOpU 86soMzEzRNM+tBlN/SoRSpUecj7rMk9y6DTK5P9sgp18tsZ26knexRBGqBE5bi2wGkVYavRKKQtw dL5Xhw15pbJATQDNCB6fkQNPkFRA2HDYWir2ypEXhrqqktVBpqdKA5S7d5LvXFn8gL/MC6od7mOn Z2GFMuqV7efcnQi+l9vawz7c4ZC1jLsF48o7cWtl9mf0LVhOpAtTP5Zn6olBJY4NS+kfqpwCOuP6 JynZK0c4W8XvrflgaTRMN9RbBRgrNBvNNxxlh39Aj7A9iypUUqcjBSRXLGQoqj3QYXvYuXUOK+S9 Chn+YTeqPrRcnjR6z/7857vIqQ6WTzDG/pFp+0TR7cQGUJpx8aFa7Z0j4CinauXig6bqHPjbEAut 8vm/FhWfOxfSzF/7L6Sl4u/qRgeA4dZOLuxcpxA0nCrgY3UJBo6jhsCBPab2FkdvrJ4fnLAyBO4D TXsRmjaSqlwT9YrHo0CkPCf0HG3qqNoAav8yL6hzesi25wQV/IComvzLsk5wyBuKBraj4IkUSZ94 F1qdBbUKi1lrgkaRvPcV+I7afcqe17yj83NOFHou8ynRinMYC5B8UneAK+EB/UPVWe/ZCwsSOI8q kIPwbrGhsoKOVKwr/j1Ha+bzQSlr3hSaiua8t9J6qlmh/SgqZcyhH/92F+qHxG2y6YKlp09XBJ2p 5mJbXYt+X/TaWbENGCCaZ518fxTBITIqNKuzAs82tISLd7Z3jjqFkK7YlLzUYB2dafJsMLPi88HK upaRnCxwvCFc5u2vz2t81U3NEVF1dziIsEVLRUeDGQHjYuWZ1+iqQwpb/NRq3g/VvR/OTLE2MIh0 72RRyB85wU1t4VyEvJOT5Ogi/RpbdRPXgTDM8EHTVLkteVotPP0ySecd91RkJbwp0LUbHDlyzD+v cRVmyAj0WTsOmy95uL4IgwXR5ORu28FFwQzZObXlkKzRth6ARdiMhBZVGBzw+D/78KypDQdEKq6Y OwbCM3zN2xSdSF21E7SqbRC4Dxhqs8lYePptilC4KjZF6ndtmD5km6LXYKrDQYT5MQSALkom2b1X V7XzqaGKqSl6DaW6N1ChPFjvaGDt84dk6A8RqQZHuS3UEcvtHYAPLiLFnTKKfA2kOpCe1JU1K4Nu /bR6rtFCKh+so9vnNYrC/Frd2EDLQFYMtuQxQ68xVPcEudBHSVY0fTQrGqNtZ0XjgmopZ+g1gupZ 0itE/Oc7OkY79ZbypkcdjFW6BaYnVFiKXwCFsxmCJRe+5X2GEEOeqdkssZ/JOCm0zqABhxLmMOsc 3q/hE/7a/j1FrMrm5FP0GjzhIWCJT2TcXc+jU3OazeVF7RXKw5TuffjX0KmbwCYfb3f0uueZ31YS vZ8g19kJczz3NSvi6Bz80FPVKajUjnuNnHAWyfpJ4iccVGvZ0BC21nL7vMZN/bFlnT8XFrqnb7TX qAm7cwvBXnyO9nywCmt4R6uQykfDtWCOpiGGWpl39DnabAWycLDQ0ZDaTqNAJByTJ/z3PBQvSZ6s wRwhSAAGfpDnDg4CL7OG4L9PUY1q/rzGS/1xNCIIjzMKcFXtNk2v8VK3pv2Jl0a1Fs0u0wlci27M 6jkgq5C7SjRNTUe21YY52m6bKEBOhLEdhDRAOth7n5M2FKixZmx3329M7l5jJey3zPusSwkUFMws LBnUL2iOcmKm/nkNlexAe/Ybqve0BtMkvUZJOLSb6Ehp2iTVYVV0HEn7WtAAPyh54ujQFjxjpa/R 89+T88KbAPca9FIHhcDB1aIGIdhbjXVui7+bXvj9YgNqGs0ryejQeoO4/rpgX8aKHdqvn9cQie+Z bZamUTV+iDLRNL2WNrHlcNCOXrdnv0xIjYpUu0OpEmvr1IsLTu6koGf0Ve7ojVGwfvNimWAssLem LA6Jmm2om+N/d2Qxc+7Pa1kT07S518BK5WCl3ApkKTsD8Cz7vBY1cXiubpPEzYl8PWXC1SkfEkwS ofWmCCQtJpkho0SwSvESwZg+Sa8Fzf6V9lpndOwrnd4gqYvJwVo662dKEhyOo5ikfX4ghDsXmkdx 4tdyJn4MGWAsaROvbnKWeSPNoR9dWfnzWsv8db9tVn5JWhacWDT8aMttJiatjltJmfUhILneSW1+ fL8TAPtVQFssT9nozSrghqfFkuXcFZOGdD1RbqERkQd/ZdeFUc77HnBfxnLhAcVBZd+TCCbCsKkU 987/w55b5euWGwgnC6u+wnsH88QIeVDfwJiSZPBI2ou8Q2k0DtdofGf/YZ6Uv6Ule0OOvuk6/T86 S6wjg78mjAsXc4HmDBth1jKehquPTnBSasqWOvH2mPJceZ2wK7garc87+49hd/86wZHkLuntCrEV 3XOEIvSxfdv1C/Osqfk8QXq0ORgoOJyyZW8s3dno+JYzLxAilgQaDluZ/yaq6oNomr+c+xCV843f p0mgjbJW9cEI8BSC4Tm1+XgQNE0PmiTgz9bN8MjynfiH2UVoOSHg4ECgbqUUWhZsr2Cm7OspCr9V uBzTQmuOjkufwM9s6gxNUNNp44KZQ2CQyHNf41pg0Hr28078Q5ZBrFRfpJNysLIc+tCMDQrAsGEE Pr7XE7IKiHH7PL2H4DhVkubJ1hNEuZrPk9g1nCdo8FqlN5gn6U/MvqzXsm6vJeMgX1CbIUEZu7Bk w5/lE7cQjEbUsJotU+XL8HgiUIqge0QSU20piCOWIXn9nFCRC+ZJZDyfJ5ykP5CU9omK4nCzzJS5 Ezce+2eS22WFWUEBaaKcqPdA3NzLTzBMvSYbrSLe0HGGZ4O4WaV302ZEsrLgakpxDjvHmdt/3il/ PFIHUznKdHAQkXQtU447p235vDP+OiQay6954mm3PQ5/Z/x117I0tTgBDtyUroxuMTlYh9PBP1Ek rqhp5m6tqUdXaAN2vtqkrhCr41XtdojC/GzU4DJa0OZ7nCWkEEXijZkvbjofbD2BXzZ03+3PO9uv C7/3VRwY1Ff16Omd64fdyn1XRnZO1qomeF3ha5+cZSQf7cRPiqZJ1TeZiWu0aULuDvRdpx74xMLi +cQ6FOD5P7RddWGA2fXG79Mk8WboRGmo9vdCStR6nJ2PR1Gmh+JkXsFkYo91l9N7LI77LhOHUebP JZA6ZYZSEFashOalCrtRMD5kHNaU/2q0bQetrbOZmhpRqZOvSiogQSOIzEEZuL7sXW/8Pk+Tsul1 SEh2mMThObv7FlsOOdDnnePHRDb/X8a+NMmZ3UjyP89SJsO+zP0PNnD3CCQ/dUaVuq0HT5qXZBaI JRZfvsInkFfBr6k+T1E43pmzzDkd57NqnTZPSF1tnnCs2HqK43HGT1VIRY12jp89wVo369/nFywE +2fYmRdQkjlpF6c/p71xNE9oas5OLUsO6iZ1CIObId36vPP7GH1t33YK3s/lAtlNK1tG8Thl2kZx EgO+anoK3FP1fh3+g9Utw3jc/Mbb13h7wB13nKrfC+5onNNz+lX+pOqutltzApXp887t60IlgSXD C4+DxZkTtzUDqJI/79S+bhoryoF/TAucq9kmKgrIiUAZnY1UYV7H09gszU19T6ZoB9Q7sQ8bT7za KUC5Rktczl5eEF8ghhNTmGRYsKgxg14LV8E9oVjBfOf1MVQkYIUTdfLmjJNboJ6z29mWJSC8fd6Z fbgK0ncVE3SIBQSYTVVUC59Zv+ewNTWzzigCONU4ZwxV3dvhndWHv0B07UUZaRudXrvxF51fmjQ1 UPhZoGrqLUB5CQ709UGdF71yFETRR6wTj5jRPiUDqKI/Lys/yCd+3jl9nGpfVIwDIIfYx11TYVA+ SRFdts1Qr/PNx1f2Wma5TYMoKE+SPd3TZMHnuslLzWg2FRUzUacfJD0AW92sskJ5FpfjoMjLO52v C22KqCAVH3SEn+CP3dAfyKF83tl8POQ8OMCDKJvt/hxSUVAu2SA6yZphAWXhhc1Pjus5Kf20WPOd yue1HYCa6jM6tG4B55fp1ANhsq55go4XPCuByVvj1nxlkPXO5OvuwlrHsCGpxA32t3yfYBn9eefx 9QdqPq9fAcROfJrC0viQ7/jwaWrdyR6wPvT2QUImouUU1cb7MBatWuUcHWY+B51DuJzAE1TebBp6 A8CHigzmnuVLrxwEURLr6qX5IJD5Ovu1GINhfd5JfFz2vpzEzSo/NDWxeXoPydHw5bYjwtHbLO5W MNUGxrZr+W6795DcogwCp8odveOLilTKQrVCs1Je7jhNQPLARiAJwW0zacP6zuFjV5CEtJrvoBoU 4DUyyBprf94pfN1kR35cSJzWtye89XkKY/LCc1x7jblwm9fmcF4RuAYJKp3j7zG5c9lnVsNSo4Oe 1oJ/fabdGqC/8ugZCEJPREw+fblQHgq3f97pez5N51/tHCCpOQwSN4pQtH3s/Xmn77EjdXM8wqrR oez0r9FMhRXyRe+ZfRXme8nLk+G6hx1QG2BAHVDvUXn6f7LInsWEqMpyDAH0Fs9JREoAeOwDN7cU 5mHgtyCYXlCrsOIKnf8+7+y9LmIYDvC6fNA5frLvPpXIIDB4j8qzXL9v+ReyhmOvGxhEQTkZ5Mjs vJFQyV2V8YxKnZVW9vccfw/KUX3gxuvyO9RoHY2MXZE2ZWNB0jlnKYPyBSrioMVv+oLwEPT7Ttvj guL8gEJjg5AEILJQNA89wc87a4/J//c0kbb+dDjfSXuIuoY8L6r37k70baFmg9eUhZqzuSzCO2fv xuQTILI72moCumntJVPfEyWemEW9YlArVmE8gX1icmY4Az7vnD1039iXgr+LD8rxzipr1u3c5fNO 2fOi21Oqg432uoiLd8YelzA7wW2a6TjkgbJHT203j552s4j8nbDHaeJmQwZxR1tNA4bjG6dPh4sR DoNmqIMCgTycZxBD6GIJ5aI3jlAX7JPXeQcxsUtiL49CSnw8OMWNxaHZLVW2Zj5NUTTeeNtNmrwS Pn6uf2esd1mJFXrOTAMVvHP1iG9SBrylg8DRzyZwflk8BsoXEnZCj8Oc5Gy6AXzTjcVBsuYLR/kd jqax+x1Up4M6qAoGJ/z6vNP0ugku3xAT4kUdeCCbpSgUJ6Ti7Ljq9E9YlXEF1dJlg1Fo6lBNw+yd otddWgMiGs/oey5D0nqS1p/Pobmb1X3BRpmArSBWdxgYvWo+7wS9W/ft6DJiAMG26whHSZMUsrOV P+/8PExz+o4xgVUbIBDZPEWhOH3hzs+6L/laJA6E4rOy31IIUenWv3vn5uF3EgCaim4+ap6AQSoo aPO6biflSNqgtcGPCRKVVC8zQ99qLxxNU5fCW/NBJ/j5KdC0xKk8yuedlfdPJM54AMel/LD4pe+R uKwCKQnre26vfrucy8VHTgbcjKL+TsnrZnh+/nLxhDVa5HTSRlz4VWAneBoK7dPQCpgVp13v5QIL mLC8E/Kw/pc8RQlV5aCiCgzIdIKnzteMFtP8OsGR+Y/WPBB/J+Nx0/HYznalATKZnJ1+DpHlJzic pnWCR7VxOROgH17uaIE4m2xwWKNYUjonujT3B9ki5xQFkR9xkxE9iSx8p+IxgSU+RV4hHDRP8FFY asjh53wPxBWgPocT4JBrJg8v33l4rBMIOpfzLdJdHl4v0+3rTwho3LJ3Gl6/ShqZxuccWdhhnQD2 0FB1YyC+5VCPedpEbUCZoVJl06uZSa8cwefIthaLuBDMwdPpxFswKMUff8L0zzsLD/M8vxcUBIp3 bvcUj+JwbG8w9x311NGNtZCgrOxd83Ez4HcOniWmyOi2dYV5G1jGAl2DnGl50deCM5IpQ9gBntkC u2heHIqfdwqewdDYgGLPG2uIoO56vmJvhmsnGuHzf6XApHbCfvUpFbwT8Di9lbYNpTk+vCeXCyz7 GvahnGkTFZXHp9CYqfV0x0tWLGWkQXHhfqIF9EYIw4BzLaCGBTC6u6KkdvBOv2P/zVQOkg8Kniaq grJB3vnzTr7je3pqJzJ6/5lteMbyzr3rbjqzds8/br7aL/K5L6lvAW1IOgsQmXEornZUT/mO3jZv 6SxZEEMxTzklLmNUQwCXbmgNoT/oJ9SgecM7965Lug74+dYEo7c6N3C9ImickGF+3ql3hnu1SiYd VKAMtpE12UyFgJVGwAqrG/+hdPWi/1VGh7VArZh9zgJMR8WKeqfedSP/IbC3WmZ7apmTAGXYpCAF bq1TyRnOEuiJQn/tnOXrglcX9bbeqXdE09EKpHFFpcZkk8DMCj9OfmNan3fiHY6IeTsu1j4e4Eh6 3vLOu8OaWlRDKkx9ST2grB00AgvbibJtQKRgayoqjzcRhJfh6jjamsKcn6iJbtodyueNqIKe6M/T IPVRKPbj+V3XKwenuQD1l/zTNVMnGNlilqEZ9nln3Xl1+oaaC2UZoL5snt5DcnT+iKOXYT15GbWY 63grZZpMZ5u7mvDkO+eO+aWgT7s9owdRcGIvg9Cecx0DoL9UpsPKAlX8pwCT6XuP1Ix30h0x7ooO rGowLMEDAG0r2DzH5eedc/cPqI77FHXnku48hdXxzjJdb1fpbvanTPeUM6crVb8z7r7LBXSpnddQ D8KSiJtoh3ACApLQpb4MMBST2R9e8pYHq6ryTrjrUsnC2TSSDyoXwNfKnNZa+7zz7Yhc/AcClZPc ojwqfyfcsWAwKYx7ZWsSah669AZdOlUfn25b9M6344JmdFBtour3RDXoz3VuPKBNi/WwoG3xQ7uD XFjPVJUu2xv/MlGNwE4bNFGw/1B5vO/xeefaMVnbX7EBKGej3CrdO9WOEAMiVsr0TmdeXlcpTQQ8 RJtIoGyaYsRKs+rcuqNNUzunIOywsMwT7rxSreUAKbKCqwM9NQ/KtZ6i6risnbos6bpTtaGBM5TJ kMD5TrPz1u6tqwDRhKPApykKyqW7svJ0JCuYtT9u+7ENYd9m9vLTO8OOQTlX01DArdEpP1C3470G A7SJ8qZ11+k43EhxqxcpRoDmO8GOSRqOpSmVyelyg5VUIon7nHv+886v+wcoJqfWBDeL5slLC2Py TW55cmEtmieosnLSjGb1p871xspKC+HjKr1BgfqOvusqfNM6iZsFKJ8uIyPepwNlfFgqXUIw8nJ8 TxAXyMCisGRgjqsoQEEMXBZF52fB40Gk2f8BQKFMB1kgm6YwImfTvNbidpl7rgtkpWcOSwaUQNcp HtXGE4tKsyYDrKQHsJLW3A3etDTcOWtoyZe8QycBbof83gefufXGAbGFeDpYC/igw6mPE6FpOZWB xwNsQfmuZoJnNHfxM7yH+PHMvkHJPk2A71n9CfLnVqcr2/GZ/T0gN2vqDPv1fkfHZ55sBapTqI0j f6nGEVqUcpxn6+H/LL8j9Bhf8xtdEwqSPhis55x9Q8LBm48HvJaLVsHCgZ7VGBcV3aNgnPjks+eW y0kOAe4xS004TVbpSjYyQg/BKurcDZaTbLQqHQixuUzmwVkmagrc0QVlE4BFcq8XgFmD7wmyFmne LqkWyK0C0wSIteoq55zC439RydhcP3F/r/cI72EkngmK7kaywzsarg7B97iyDtQZxxHeo9K4CHfn o3q+481ZTiheN30rOqjFbBYCZI/MGdalPySreblAZLIoEp9sbW5w0zAA1Ss/vr5YTcYf3wqeD6ib yycKCzEjuJ7rIlV6SN4kR/rk7dceU5qbi5qb3eB0PUH4g7F4j2JxVh6AUujrjl6pKwtq9qzUDXg+ atHhzEtAP2UwaGnLJwUM5sE9isXVjKqLhc1KGls1m8eVVNOcY/MDgnM8ra8DqoJNlrMDNHvE4SQ7 FSLdVwAjLwM/lZpH8+ipbwM/9RirwgOqSPtXox1Q5SQoayWWfiuhwGRLjcRQIslzctYrmcX7rkcl cjU5gbTggDhJmg4nm00s3p6ob+L5YKJul5OI5MGM4FZ/exiOYwWcZXuF64ALNIhmUWVqEnpIyG/n J0UVAxXJKWJgo+V3uW00I0qnPvAU+hfo1mzQw7PCGtR67JSqWa8cRAZVroVsTZ07Ew0KLqkzBSQH nIiobjwf7L6nqkn5Vyi45nXPqbBOTvJGxSUtV4FKVhxqK8CsF3cVgA8iKwY9jMilpdK3gKocnfR6 lhS40zindj1JUqpmXYiIo6P1Iedj1ya1V46qUIyh6pB1DDUVtajSOQpZvJuw4+tRUJ7G+IqiKOqW Ljy6vwflyF0ovUaFf0ltTl5xRW6r6N2hXpcQabAK1aOgfIveQs6Ijw49RF2ZAs2A9pyZqvx3utJB chPLuNKRJ2gseuVgphiOJ/YCNAgCdU465hY/baWGxyN+sCcvU4Tr/oMukU9UhCOnBTZaIVaEAjl1 mFFFKk2rC0ke8dGdnxQtKcZGRDFyJJ6ocvMlXAiLVx8MwVIRpC6T20hdMKD2HiD5mS980S9wVsDU lw9qvcBJYIsatPh4BNEsX5FURj5yJurefGFcTiA5FIasAkyDV1XrqiKHs6IgvFps70WV8mVGmE3g Oo62onYGACA36c7gOJ8iLILOk9niocS91TUH2a89Aq10MhMgw+0DZwdSX4PIMcBa8Xi0ovyQoo4K LpP5MDtHFJlLfG1xAbNssHrpVl2ZpRuSnO6MijlHqKMylbjU8YyOM8ggMzG+m4A0ri3FsQpR80VL LEpNT1MhzXrjoKrJBdUTIwQORkeATLNo1Tl/RhiaDw/NGcbDQWBUT2BGFJqz5znM3UTKPFf1actC Dco8pS8jJoywTq6WcIJjrY+XDwTxzM0EBn9OI4I2dwHvE/gP+dxZV3at2CtHxE6prjGFyahJMSaq KJ3Kp2k0TFRYKL85TGLdgBGsl6FGiCInA3ZRwJMTZYrvkybjbdh6GtUB9yNkdlIFeAL9bCPfg2Cx E12ALrBU1jy/vRtAQqwDRn1tun1H3tAhxNcEWFbVC4ZanmOZ+15f+Je1fnvH43/xphjQAzhaLy1h RIF5o2SIeVnxSN/Dir+FHe7/dlQdUWBu6kWtqWzQGvFtmCU6LJyInPruSCDHNpdQESrP9gTM1wMD 6Onha36DrVA+wwZtunM3VFZaICuOx/86nFSEwr1X6l1MUVRubEU6zoi9QdV9Hk6mh4XFxCKRFlMU lU+LxkdJd/Tqygll91KmBzX8VWUQXSD1SbOr8kUTpusRvifYdAQanKCS9DL+ODyS2kl1qTJ0IseS 8Xy0nP7ZdOelZr80vBHF5AxQz8ntp9NY0i7iIZ4tp2m4R6yBPqKYfKmXIMtBG52RUPBbQxztpC0I m6bo1AXODhMKNItFKJHzKWIwQgC5VAwSPU44JEWZcLdQ/W1MPB4cTcs7LgzekQ3v2xUeIYCcnM5d l1fIKfhtszRdN+ScwdMaCSMCkJftKk/5js59zSev3olaRuWk6DOLhNcB5h4wEFuzXPg4qqufEYqr VFV+tyq/0GThpqswQScYo+FoCmPxXb6KBihJDSClbZaiAnkTpK48qBVxf3CAj5qvvmG7ey6KxRUN ndmxwIAY2PPvwinnJEDn8MnM70BA36poNmANKLSQKTNr04T//BlRgbyTkw9xIQ7YC4LUwTCSRzAk Oj8jjMUf0SfCe+ANlm+jc4SxOPG+nR5cKq4IIgbu66pGP29qlLFkMOJYXFLkFmKuJ8RESpeAx2kU WDln1VBDmN6WmUdUQ+/Ftx1DzBHG4p2K0bAfOAMMq8TaRr0Ftf4fkHvmwgdEcIx/dOjODb3TVcUY YTBehtRD1I/yVi7LUMQ0sSV8crJiutEjLJLLJWcaEWh+EYGQs4D9gY03GUipYEfLN8CDoZiJWTIj BZ3jIa2zKq9jZ5g1ZXWk6Gyh4OlMI56PiisXMcbFOKSttBxmMMNKOW3pIZ5k5ZVdp7XP6+7TrWbT TFZembGuoeF+y7ijg+0rARQkTZ1j3eAFZwWDjbcwQzlRv308TOEZIldYAy4IfWxQgncOJ24I1PUr Ho/6Lt9n+XnqZ7a7pGYUj6OIk2mFZGiMQuFroTHofv0f9aPxs3OaYl6nqBvZIoR863UApoPQxNoK /ojcVNmc7L8UyrZCz9QTYbonzF95nS9CK6h1bBFge8Hj0SnlkYHF7pio4UWoGcXjdEs81+3Kdkr1 6Q6zhchDI8C2ZpCxGcXjVe3gZMc5Rw8N6sK7qFi+zyUhRa1eScarXKsIHBzgQ8WHGRfLOUPyw+ag 4ICmD0SH71XxeDRR/7TPEea2WzCYUUTONuno9PqSwlH1iLyNVB+3F2+fz7BUPmxB7X5HDw7ObQB1 Q4A1y4kaltDUA5nRz6LnaBoPyYXksvk7aqU2EtBx9Dm6Z4MW8EN+z8Tj/4ukKCGlO6d7PkUhObQE kGgNp2/0dhUz277sMsjI2DxFIfnK5rNkMJ+5nvWEnhWMo3g+JegLyh6OTKzE5oviAzWG6eI1Q1Yn Guh1D0HrhjeGwYeUy1orveLxoON5MzzZhBZqat+NF8NWKCeWktOBcGb/OPO8TNt4BfcnL70ZwVa8 o4BE2kdbUDCNOTElFxQbFVWV4oIG4AJ4cn+FUfT8nFFMzuYUSmLZB4UG54ZvXZffGHg8qvzeIjmt XaCMAWKbTVMEWzGq4CzugF3SGPd8sl76yYQR92iaoiL5MvN5u+/yc98lflQH5ukc5OewHVDbR/0J l8aktB+hv4a5J5d6RlF5YnF8oqPPQSRhMPV3mRItGnzNv5CaPLKBjoYQtk1TSOpkWWWyXS+h2lEc BEWrNiurgAitbReLHkoQWmRhjb7tFjLEzai8AqxC31fUTrF1aJIMXdvbSth646iRJ8Tvrj6oYoDm RapWAsbjwTRd1pQMZGHMikDE5imCrRDXPFPt9xgfzUnCqQ3n5qdrPj+joHwUz4DnHb3juTdl5sk5 T+j9DvMJykJVIwVg3VdUF2LuZxSUt6T4qSp+wkmnzjnOOy6okxJXPB8tqPS1oKBk2Mdt482Q1NlJ l1rZlOrGWNMEWeGtZuR8iFK7iGaotCKsQa9q43F0HNTJg89yqlJaGefoVRuvd2C+EuT9UHfyeVpF b/wbJKN3lX+lwYpwDwUc4aDOTYvHozjTF5Q674CLPRqaUThOJQ/cz16BAjpQ++58W/LjqWT3zFsR cMX1j4cIZhzteAKg9exhs9iFiYt84iqKguff7MhvXD1EEgYrBK6wiwCdOB+EFkOPcAjeUyse/0tF M8ss7nzao4C8wvI4T/Heby4MTWc/xVXlZC6Ms1PTFIbj3V0Y1x1t2yXEfc3YiicUyArZB+wQkPnD B7VeI2IWoFZcHGdwubKIUwCd6BQ/53iXwfWuBc9HqLrv6AnhyawXZbBCufHKRHik7ciV2V3fP8uc uFOmzm3MVoxcUSxgXYSiRLgRZYDaygAlq4N6B5DPVtd4Me7EnJX6xE4n9cb3RIU6epiBrWeDUBV0 mWOb82RYeDyKxb+7dyjGwIXOpylkddYtgln3Zgut+EQR3iYU2XqGVhFjghVCyNU7IKPOxwtb6VAw qOxJUdlPXpYFcl4TQJCMAonHBATVrbA8PtW742xBLutH2k9oDiqB6QPatSFo5R8IeUYxFXxmn6hQ eXxKZ6F7seBkq8ZzAeY3ORaj4bhAFrz+oHWCxHJHm6gzN+n8yAqeTjBYdIifxcCDH3SawrDA8T32 ylGxDns1r3YNSZo5xJ81O5PgTalOfEJ0332DxjY14C5Qc0XhOCHcfVGeBFOF/o7DVvpyZbHK3rDW VKyyIoVfKdZpdPoG5Ov3JDt/DQABqJxxInQECAgZfgpsRcxAkGk/vigC+DAPXgrIlwfk5y/ZWwq2 pfM9IyDUVVkhrRgcsxPG+ETFEuQms2JrajZdc4tYvtysWAcYvk1UGJBX8VyGlTfHvJvvrJeMs5y+ lKi5kA+Xe6ZtCTY5AQ2Oxci680KZFQF7FjnDlCo1lwzIaysTTg2PR9Ji3wWDduZ5t9ubWiG7MxuP ejvHbJtrZ4VIgCEMICnjh1QUkY8qrfaSn9HnCVgcimoDhUhulFCIFSQH8FN+IMFxMSs4kvFFv1Wg EokXGDJlr9B1Obcuq5So1K0oJF//SP02GFuBv27zFJM7MTltujTruV5dmrWmWo2+Afya8VzWH5CV VUW/5+gbL0HXILNMfi6mk14vraeFvAkUWxhnbWsJs57OVw4kbDfBh5l9l+r1m3NoQXpZxITB94wQ Bt9s4ZMyEbJW7kyFZfIhBc2rzVobdx6awsKzy585ERCFmQpDchm2zDWf0VYUXKbLztQcndh2TR5c lUCiTFEgSmMYmJzchBXF5LI4rVK25yDmFPQ3hLHLs+LxIDzY37piFPVL8yrV7SgohyEPZqe6IM2c wwnohfoL4nBUD8p3SO/cQkHJYlKjLSmQsAq9sM+S6vBSZmkDd+CmoCUvv+7FFfmj7ygsbwxREzV/ kDLKjbqdYL5TtBjurnw8ogSt7xwPF8O6td8dq5GbKvLyElQpXtPsrsVGw03bejt2ANJdl1e6o83T kL9sp1MCdaRZ/2x0KDgxFdXta713XrNXDopQjeQygPFsEAhqpykZH6q27/ewHIICNyznpJBuc3nV O0SU01HC+MKIyyGtYztvj2XF35NhJJ+oMC5fIp6vWe/oiHKoLg/w/dBNOLGPyYjQlnNSnaVeVeRC L2R8TzBP9OhsKv5yEJSuUmORezCNz/5bbYV7DDo566YvO6R2Tiohr5SffecHlHkDELVC7XxO0x+o lWwq9xw93DyB4Aavl4J++8RjVOuhJQCn64RLqJX4UY78EF8UkKeY5zXhWjkohjpxsqmObqynCLfS nioUw4iTGfRbI98hmJwU2D6yn0+D5G9eeScWN1IQZGlMMGv/7ggElad6RysaoB3ca2FocHYdL0H2 8PoJQybw+bAI9VodCiT4mt+8EuoS24WW48TcQ2870zVp5Lnx/F9yKzJ+hVDdtSfbofAhI4O9rm/S voJ+MKUxLfITLkwjBe0QtdIdj9Hv6OieBEkaWLUg9YUOsO5GdLzOW55XnZSHlJYB0kN8TeSbpKSF 8RMHbbp+4mImlieiWXg8uO1y/dp0aMMBpXlP8Qi2wiIspqkZK2jTeF2qNFDX0DTRZ03TFOoeqpGQ sxiLOV/GYjq/8omPIJ/HkiYan+y0l1najzAscDBjIIUcr+mNI/4rK3XLnABMyRvmIKmIPHXuDzwe JXjfvHOwfSDh5dMU41ZIRijJKWaFugnyW2ZrlocTsgIRFndcIWfll0R7H22aQGYH6VFSUCd0ylO1 lUyJbdw+EPgytVGaQ+N7IqcEya+t5IMq5MhHpSs2Eh8P0pbbwKNSBNoR5Vbqdlwgz+QBDeeYNRo8 ibA4s9d9aXiuaQoL5MIXFKMJl4cmfFblgh0npTFA+gNgidOEX2egSgg7eMesbHvh4GxaVCAv6Q4q aA74Dnb95QOPR1nwd7sFdtS7bS+s7CgUh9YNTSSSZgn2Bq4EdfaB4TNhC+Q3XYRYmZodGD3e0bvB CSiqweo4PJbHIrwAHXqcnbSnBipaPufkv+N7ftVZSSn5ID7CKiMV/eMceDyq+37DWDPk8tArtXkS Suj1SMk0BkpFtRRw6HhwQ+0hkSavLLizL9X1Ub/GmH2kckdDQZ0A/FwIjZI0J0Bo8HdjjJnQnIFz EEgusAdacgba9tIBBRbdh3ruRFq8gSZYl/HNmzxpfujqwo+IAK03F2biDCJj6lfWL6dQ/hB/3ZjM tyUjgn8kcCVvtsAxYXWIPgUngBQH5eK6iJ6h0YKoDIWPE3/Q0uV8w85CkheyjNFa+imbGj6mSL7s pX+jL0JukgMelDLmQv7ICsummVIUl1PCQ/I0rG92UIfrs7xinyA6LK/rz9nYEWMxqvXpGDt2cmy2 Qji5epmrmo9wvUo+CMvP/0p1BYXtRF2aM1tgIZ67EJuu0MVM4sisHuCbIuwY5R8GdQ0aaB2kHSK8 GgIcjBO88QOCcOoGCpxlCNTMx7IkhWVzhJGTcaDK5pRzNDMOpgiEjlEoSuYJKTTrVOlumuPr/HJ8 Lcj3aKV09iIyYHoUZVh0ZCe9DBbOle9Nf+moHtylOLZ8UK0F6WNT7jcGn4+Adg6LYkUT6jNztWey ohidikdnkxvLk9oMjrObe5l98ImqvHyXU1Q7twMLuv93tMlaWE/0e6Pc/QmnCQpCnRMMxgF+WLts 60KDJH5T0I2ZiSeW9mGens3UgfuD8zYyn4/McMZXZHUOeb5Mu7ZmKaye1yzdX7MS7sYHwkXcGebw 1Nq4y+2Yj8vnasXoftPotal8IqjUGKuDvj0YrJzUD9fLeVs0FTKZxE0tvm0vHQEThWRRddgVSTFF lVI7OGb1/F/WZsT90CsvX6oQrubIOwim37M3P+IzzXm5tBJkcoVNzNsZssI6hP0zaBWkdEdbWuci hLZtogTSzo3WeDy06CqIG7zk2zbOxBvym34VtNukNXIwFGdPIt6cUz/z+Ygj60uLv2eGG8bO6Vla oSALOepYB8aSnZBN1tJq7AZb7aVU34ixh5DKnUIoarQIopKnAsc02ilAYpresAiPCIqEDPdFlBV4 DeqlI3AL63iFOvip8PdjWoNNztVyHuLzQfhwpZPpMgHX9LMOr2iUcu6wYgoOg+XMrp7MnLm5VCL0 wLcbMUa6LNXEN3N+Rge+FuhECLcBSYVGOyzQrwHyXQRh5wenKGOqFFsJydB7Lx90rp+DUUlPA6UY zwf7sP6TNxObUL88K2O1RCERipdhVvIyMRpZyVKdM23udZZijItaWUtH/Vo3Nt1o7m2yOyB/MJJk 4dBfPEf9BqgehNDL2SOrGF8UqShzG1bGDhw0V6XTCYYiNpPP/wUGUlGZTqh3qkJ/T54zozDklm/l YwtHb7n/5qPlwOATfDqrxexyR1tWECs992knDCHBxSlZLQYAOMATCvrQHpS2bu/8i2IiZFiyD5qf XmoXnvr83Hw+OLFu84GMo1lsaftchdBzaSlTU0gNLcrYWwY9ii0ropxtriKwi0siEbxro9WtFqj7 cBbCXCHpmWpVnJgLks9Qe2CXJj3uLzn2+FRymMcdVGnYJxDnEjvnYOXzkZvX1UZimWvun/lIReTY 5hPxhh2JEpHa5u1Z0frAVBVaUVDEvOujomayiUWYhpsuFMGnaqGUTaeiMlBg6sx3qFEMQAFhkXrn qjR7599EE7GMfsz4jHw02HdsAYBPuMXno27yd8mYXqj9MYgLnD6l5gHMy84+VXS+YMUhM9LjVKlN p1UVBu+qgsLN4o5G/NgFnDeQWzpy53NWLRP/OWuiQy0XUgzuKTSavfJvG3DUfgeuqhN7DFbhcJlW Ph9twPYVjfZMTs2zqELhRO9CdK9giaCGQt9a25TJgGVNPlMhK1Rmg8XAZuWCzVDp22mks3ixqBiU KttG6fJnLHbWxq1hEUTLLwoQCqSodVq4YJASJ5AnaxCVd75w8/mgpXwJj4ozOjsAd6oiQXP2gUGa tf0HOLpOLUSiVDIttNnFPtX+C8N2oTxrMrGW9KA8UbhPJyWoVHEbUHvl/oP1J/z00FBjK0JIqu7v /JsfcYconA2KPtvQo/hpC5+PVEuvGQweBJEPR96dq5Ad2syXYntCKNO4LV+KZQlhm8twLzm0/BSz AVK67Y7eLV2gc1ZiGKH2Wkc2lhop/kAGVqrkW9FPlazQ9HPKnlE0NQ5KcQpAEAqtpt40Oti/3VEB 1Vhos/pchXotk6i85RqvkDE16mPLUr2bVOh3HGMOfD+9JwGhln1HB3WcPXdiT25BlFOqVL0RSEA2 mEI3k+AX+qYzzuM3RZcgSwwnmPVBoRWScalxnQONz0cEB6+3k+8OqB844T5XYcQ+VMIyxgdOkOtA 2NNwFXiq6NlchRX3rKqMoBoafa5ApOyZyLMBRRWKiJ7Ik/CO2uUwtC9QqDd76cBXgFzaAoM5G6xt ev4SMsIrCw2B/aeRX+66wk1GdyefqxCU3iSTdO1kQb20CtasQ/8IY7biRZnY/9NkqIXa0OhlBnjA Q/kGc1WA3Sbp/exKzDwsTn8K7r5Lw/KXjrpdtPJIUjNNrvY6IR/XxH9EKTm0AK3VQ3ZVnhWwpHJv wtAFlCWJMdXcIimkLePV1iHGH9139zKDgRzagC7VkOEfcEcHwiy4D6L7jh58SquwggMRACzDKtXz +TS9mr101GsWrW/ZMNTlOwn+IAf/By7dfD6SVryxKNN7GLWcSzTf2Qor70oHYTGlQsMGPkiFhiXh SaKKd/JCQ+wFOgypp1ryfoz2zi8O0vCkctn5rBNgyUBuUJ0pAdl4AlTfiJk1Tn7Tb7dhIi9Xg7Fh JtoNigUmn48I295LVUB2MvF9uWs59ALlCTPOrnER75NwX7kbeRIuqrhsw/PnwAzUwjWAawwNuqsj YtZc0BpDIEfaaIfdKUtY0A3LjL0LQH9eHa3JXjqq97Huzk7o+X87GB5aWivRsQwWxJsfEKGHvpk0 9BZK9elSBIaguA6RPWdJuKA6OqfhP5ERdrMbqOdS3r6yQsC6qUwJpq/RVtYJkAf8xklwP0uoV2FB 00ZnA40xENydZFsS2X45tARNXFm1yfKSNlIK2dfM5kWc9aaR/PI/7eezC7/oyDk0BYXkDEKHkb2A tc1HtZaStmNjUHWy6D1wBdVfwFC0tzs64a+DTtqoD1+gAjZ0rrECOAe2LUp8vrBoOJBjW9DMWLSS kHyyzZMqSYgjbUMRnRxk8gP+klqkhB5ihzHqc2RFZXcqPkGbzQFXexjDBtDQfJ1mKXWgyYqFXQRI MysZjn5kVQITJexSIFtd5eXIiAlE0IzkzIk1KsuE3qDYXph6NqI5OPgDOEH89b02Pv+XrDcro9Dn 6k8/J3QHdb3qaXw29MGyVWXMWYZgopmmT1Wotij8R13qUHB0NBHsmuiEiCY9qLejKsQHlQwIGRBv XXhq+StH+A+sJ0QgPliBD/mK+qt18/m/qjKclBOeTnykz1RUcGevGtVwz5/rNObRWdlruJ0jcWQ2 U2HBXVw2QtB99Pz5LM9zDbI9ATPNvYV2TGxlJETOuDi9l5OXv3QwV03RVZP22zl7mgJLHCWyR0l6 078w2SSNUCwo3+A9dAjtTZIJV967D5OIB4l7uQtfovspE+jAIhTTLvBVT894gSDn32/SmSgnIVlS qRoFLeQJFXJ6fii+QkCv7wluQSs1pOYDV1U5+XMVSO18OZ8PzqqnkfND9DpuwXTN03JoE0ptlllk 7EhuxLzuaY0FEu5AWhrauoqC9yEo4xqj3NEOq3OQdGoGIXiH6UvpAmJv4ulQGgHjkDVKItWKvXSw rth37gbFotm6Tqs5M6FmkAfl83/Bi7qqOD97XRBWDr1CKY00M23h5NGbrvkH1Vd1sA96ZnCuYrPQ pVNKRsUafQ9ikQ3wpVTDmlv024lEkqxxqi661Xq3V46g/pIHYNGvCKCWaL2McOTHy+2BWyji9ouY qdyC534YF/2YQ79QRjejUrhW5T416ukiPr2Lc37uW8MKDENPVMt7bcIl/I4P6rghGRwstxfw6FTu oxYNw2GcZZf63u2dA1DDYCTaCV3joD14ftDRpGAyN5+P8LTt67SqTMafO/AXz9DEcmh16a6uHUgY +y71odp41B6ahloJfZo4PEdrpZ5oBKofBGgPlEnnEKYB0PYTtaNYhf6mtVK7Ol6hb+iUNb2YEY1a DAwokYdlugydUzDzA/4EH1MitmwhV28nJ3YP7eIjterhFVH30nzZ2a1oM0gJNl9h3C5BibnzMzoG pJSez3+RWPIDSbQoyyHgrZLwTyyWCVOpUR8YiIKRTp1uRDc0KzqXhsH7zllHph/Uo/gB0Ub0AIu8 VJIPnrZXbCHaSMrdbtKHi1fYGQoGmLAnulZemwk8RNNT85vP6JNVz8YjrQDu7m0VOdKet8StURBt n7XshLdMVhm/KaiPjvpFJwF7MRs7ArQOthgAnuAnRMzcf4jectR6Dvgocsf/zwl2hvGW8Y9reIW0 GKGSS8GryaGXqDgAg6ptPnpp5oRpENFlJQtdeorbZqC0BO85y3X1cqvJFKjKgZsoGjKMrijlqEHF BmBVTKYaFdLAThSl9380O2BVO/rXbRiW3uUO0o0XiLLfdCW9rsLpoDpWqb4PI8CMJPTOrWwyAuX6 GsLFvakMAwNIQGeIY8DM4QaFzAIhppeA0+2lf7sPRyPYb0BoWqqDG7ca634n95n8gEjh5NsrE9T6 Oa+TSg5dRSFsgP22fbIWHakptbC3yX5CaMOVYHJsK2oa4NlRRg87HiqWMwmbfP6ORKIBig3sajfo d1a8spex9rKXDvZhlsYJmRPFmROokw35iMGyjc9HQenlMuO5c37scXk4OTQWJewUwibFkFg7mfkj FMvw17OpmlAEsV0Yhe9TyoM7SUyBoxdmcMOj4kr4KNBqchYtNISsm8LyF9eQt2rvobVo5wGfALmz gRN07qbUWYKCGDefD6pY9bsBzcNm7/VMVoh5r9I3qcMmyzRRMVldzgWFXlPtTtbvkjBnFxBgqFGT 1fcGUyxTehBokJ6ZQ8KuBbgTdK0qLk87srIwfoG9KKKHTEXLQUVLHk1qe1HNSDW/PfkBkR3k7YBJ xhhass/SCi1GyfUY4Mk43aRdR+2R3B8Lt7sxBHLgMWqqINCnt779fNhL+NchwQ//vnNLniy5qowD GlxHpXNyE8pHrCx75SjXmSpjDQ3WhC69JRlsC9kQeIxK5OKLXAla1gBXxqcqhrwvtZ6HQxuoZ6+p ysNLfrC39KmKIO8qJp+/uaU72lRhTeW9yUPFrbiavOxhJ3cyDWzsimaD8sKhKCswGZUVE8LRpqiU Jgkq+QGIxVwPgtc5tBlN/Z8O2NlJcz18itBllCKL4EN6Ep2un1gr+fqJdbTCba7+kIjJDrjtT3EG +jDnLO+Sci7wt1LHHtn+hEr0GuVC1lKxV45gIJSpqJwxeXIzxenQvVAAn8riBwTNwivoMexwR7vu TlUUvSMtolTINdDkmSzETE2u2FghNWJT9XvVfUKV/o62rHpH3DEZYkEwk2IrrPiB7IGqKrrSrn2S kr1yJBPDrQdIjA3KoVdekherGTis0Gn0C93Hw2pAivAhnQReo+eEKRQ/mc1jBrOxpW907d160L1M D7B+MRuVCAMp0zZaNHriqYZ6EcUqkOtuISEHaGUblZaS2pUqxkmmL4pCd80VGc49X4bziZHoRCh3 yBzajT4OKwzSsSAo1udzFdbcC/v1dZsT1PnHYcHoydCspgw4UPPIPfAbfWQYmkxpONpcnevuxP4I KiDD0EE74lydvwctYFoCoZ/koBnlOaHjaJNGTJO2LMCxy7ygkKGzkH5ST37A+9m+blLIYvcgGDLd kkPgOvpY1iUn6Ei9RI3CnYUhhWH59BZ0YDsKzomaqdStsdE79id0Wly+Z7YASJjM8QGaohnbWcz4 l27HXkiQwHg0K2g4ETsbhQVanJSqgFnQIqzwLLfM54MMen6z52VamdaDhwzNR3nSnRw6mxgvpMCm 59CChWC2enVN0By4j4LKIZht3s/oZb8By3ZqTJzNkjK44sJjAanQkRQiv3LV4p3tnX9rfmWpXnIQ dhTg920ots3n/+pSkHMyCs1Pr+3v5yXAqudLTT+VN7Anhc5mOkfNukLYrFjizHoJr84HQWtAdN6k meLobcIKEcJGjY/zYmVk6YoX7MIFJuAeN26nldTnJbji65o64WYPmoOOK0zZEsJh4en/M0l8x20r agkMUWEhTVFQRnTBDFGFGtYVqiawkFI9u6EM/n/YQ6qX7xXMkGH7EpWTbbSdB/UQKDp01WNyXoJ7 QIPqB/6IP2x7mzkG7kR8zdsUnfhTRJwhHWxXg6oVSm9yyTg/x+cloOJfW03OSp0fcB0nvllT9BJO 8SEa61GrzhEyjCekVgwlQRljgBOnKXoJprSIhiIDEU81Gqb2XAUnpIZAKWBqZ4NIwnDAs/WnQ7AJ al0Wd8on8iWU4usWbjL6tNigunFB0dUg2+3zEkdpfg15DPqP/mUU/ve2OXqJo7TRmhR4k+PZaYKj qnHPHkdVVuA4Ry9RFD9o1GbQ7H1H73Ch2wDkHiGiJ5uvqivL7wFu57gKbY4QsONb3ucIJ8061wlX 0SSkQnKpuCJ4OvWTlHxeQij9taZIuARlR7QJY0abo5cASk9RBlTrW4oe1bQ/KwrgRrtBF8LC8pfw SVtNxbzZhbuefV1i5bmqOzwkzgudnX3O8KLMeEKNNbMc11D0cBYqxeNeoicdRxK2rH34IGAo9MvF uUEX/iV20tPVj6MLCwXGRXP0Ejhpgy5ebqleOY/V9gUrTI/Hy3QE+0vYxDma7jFa6x19js6FiWSV C/tkxycZk84XaMG5AgFEcyLrlSa5sgZzhNcDULLJlBWoWwlOFFS76CxzYpLPS8ikNVGbTZLmE9Cq 6gfSS7ykg74wSJrdJZkemfkTf2Rv/OV83VWiSWpSzplm1DPdqOekdeWccm1QpALsprklhraRuMLY IdMs6G435ncvsZK2G52M+lb/fSNGozTM4jlBnNDJkD4voZJOtJVtkvAUhA3YqNMkvYRJOrWp71Xm lU7HNW0lzl7cqAfyOdm0iaNT2xi5SwqEHO1i2x26tIu0rTOgZC7ON2X7K3wzG2u3FoBTtuolRtKP MZtkmIh54d885EQHNz3GAqimfl5iJLtdtu+3qksF8p9+KL1UN7XhiJbtFK0REal5XaVS9NWJSIQ5 Qi8uOLiTwFGrGzW+P3BGgNdRQF1sXp1/UXoWZ/4AZ0Bw8UMlHcMVU7Dr81LZ1DTRXQQQUQ1Fsm8A JvM0OycxRFKj6y3lr0OJHXRKxGqSXqqaWr+Z7XXU9ezkbrdKsB5B8Ekraip5/BokjUXIsY1eLO8L eCOq8J8Njt4J/h0cV6hpIjRHmnGReZQmfqlo6seQj8rqUv2kETraewmkE+vY5c9LOdOuN48Bqqq/ ZC2jaWexZBRuUwQTjNnq1RQuYa+mGKsN/muXWBpOlEqUpaQ7etY7cxkwvCXgE9AyQYlLwa3cCMnD serKMMp630Puq1o11h1U+j0xe6oCJVKUItp0N+bGY3AqofGUzVMUdBsTnpxoMiW3a/JXgD2nRUuL il1iH4WhgJGOTEfHoTqIujMo/XA5RndqFKwlglwWTsaCSt7JEZK3jCdFGt/Yf19HOGkHNjA6hOvW knffORk/b+Q/C7z9nsODSHMXvcQ0T++RN9rFZElmx+ONrt4UD6fqWATctRePF8wTodn0c0h3vMAp SJ72LoMe+E/LrLVkwHoYaHxZ91H//PNG/NMOaBJBk632Gm5Ui/SArCEQUD5vvD8tDGsUm38kqqGi h6gzFp7h/fGy57ZbzYFAaRo8CMX65Mspir5xzeCOq2boW+vT+UyzgiHCnks5UyXPoy5uZCJzG8A/ 1/xMVa8cpHFEdp7Vegdluid6nRSQQKrweWP9WV5/sxSWWlBpEu1P3YtgPdEjDZ2Di5hCKG1+BXV4 dNkISVS1N5ooFXunAac4eiq34BGBqxPyXufPmHboU1OPlQwiBazfMlXBDI8n2RgNuY4jllBWdnJf OR/DFPfzxvm72/ZZUGRhI1P2iYrCcNZ3xkg2JcC3eDoHevLttoAYp4l6j8OtxQX8qzVb1tNsgTNI 6ghdkfOWEwyImlQHqT4s9V4ty0whxM8b4087h5CymbWuXOIC+hiJPyz0ZMrnjfDHx1v+rp1kMSZo VMt5eo/E8a0EHVSrUaLUVIuBDqagnQAdQLzDqidRKG4LCpngHe8BxRJskaMvHBiEEyqIqCg+Q9SU GR9nCSlEoXijPGPvo/ig9eSUDNx3+/PG9buJ89cBNZj1ePj0RvXTdsUtCaJ+MiDLWrfAe3ajc4z2 dkOVN6af3XcyUrHeXX56dwmoMdiIqoMOZJEOswT6CvD5P/RddWGA2fXG79M0uOHmImVmkn7zI12A LJ/OkyHx8T/DTJWCF9C3nvy+0fzsviMWg7r5oo+W4m2DMdvweQJuQ6XdKBofUoobaoxrtG0HPwew PFlGwa0wjVSTmJ2jzAt1gmvM3vXG7/M0zWi8Zh+SHd6zTzU7W/68UfwUPu3v5ZTZTNz0duA8RfE4 1IZQG0u+7egsYDr8JPhyngYkIbSewoCcVlfwmyl3tHk6gSPkF2TCenZbzwIiDEjZz8GDf1yc/pRE VRSQTyoBQLLeB84TTH8aQ7ZKPEYUked650msmvaDnqQXLqN4vPatHPj6YvXljio99ZsDA+CkymUY j5vhTCnzjrbvUkFW1xmPwwCopCFLBwKFE11dEJZ6yQm9oc8bs88CtSoQdfbB4kwKsjOAKvnzRuz7 r43H7h4tlaTDz4mKAnIeaye6zMkmCrwVK/HmfQ0OkQTZRL0H5FiaAtil/YyGed0nLRlJhpkLERWn BvDqRh0vZr/revQkVjDfSH1PrwC9ZKjqTSguNBp/wZREnDulYp83Wp/ded/FcAjLLnQqbKqiariZ YwmL3wlAT0ZFbiWZ7Dx4H8O0B99IfU8WxArfM16a2lwnmOyDwebaUF4Scgxx/Dm80KZLj4uY1tR7 UC4f1TNRXV6QnVAqLKpZof6IPx697M8bpc+m+ubD3GkoivXtYfkbo0+7b6iWORxJfZJVX1R79FvL hMWFFlUUlqdkdmvqrXC8KIyTTidIiEIRAtooEmlMsGs52TDA8Ytdzf6IvLzx+fRF0paA+pcNig4K +D0sRp3b4vNG57NTrn3tPmgyb1Q8bJ6iqHzRLBqBjQWbTXbIAudXK0GRVKhg843MZ9GB3CDVBdbo 2Pw1+llglbiCcyHuzQYDlJzPW5KDu8at+cof643L99WnA4ROQzeRYYihdLW+0WKJgnL2uzkr3Q6p tZ4zKorJyZQG2M1L421OB+YDI+bJsMxnEj8pmialAlvyzBy9Ng52Adu5ZzkBb14o1dFWp7UpNdNp gOyHub1yEEUVROMFhWobhDLf2N1suJx/+ryx+GzZf2fDKOie1XAvvSgmZ0kdLYRrSleqtxBAS7Vt B+iVzdN7TI6zXE3fqmCTo2875nObzLQByErh0oM9HQtmkDDcPKCS9Ersld8PqKUoqo3mg4pQJ7qa XMJnjezPG4fPmm/pqwhFfZiSPMd7o/A9VT5kSl6sy/Mx72vpztPVzHtj8D3r+cSVw3KYMS728ATi Z+0AuNphFHJ+UfMWRQnwHIIMSi6cB319vnE0TZNNFmUd4CgNoaXPfUAjpp9+9vXnjb9nJer1te9g wYSaq89TWCHfpO8l4+ydf6zjyYVNQAj6Ny7j/MbeMxCB+r5DbReONk8INFvJyvEg3tw0T02+s9Be KP0WVzYurc8be8+CcuIHmmhpbd1THEAIBpsDccF7UJ4veW+JwY60ZT+3XRSTV/LX17h+Kkju7Xgq abuvw65uf/FG3LPaCqeHzis+aprqCTaBqSf8vqCaQH4IBBmAtkUAlCFU7RAe4n7faHvPcgKjsfgg KMGqdA1mKDU+b6w9Rarj+3SC2u94+ptvpD2d4pTbWKl6pHku5urh01rGgKFghjXK45C8KLXzVO+K gpezQtPJdRmSo6U9kwB3AyuQAu+ZLFcTM0ND6/PG2bvdtzM/XdPUfZoK5ANU0jzXwOeNsmeV/O8O J5RLx9OVeiPsWa+c7a9xzVRSXW5Vu5dXflG1sIrBG1/Ppgkr5Ew4fR412mqCKV2pYNYhDUNp18UA 4BQgKz9oIXTRhHLRGwfTRNu+LCN7DkkVkpMJNWF3Jh8PNl1OX2dTEWfC77o3sp5WEzvBkzx8AsgX cUIskHe5XxTKomSr071x9exsYgMB6+WOtprOjmpjN0rjQR8Uws2MG+qZEYDEftAa8iO8Db1wlN4h wFwEF2ow4gaiAx3mpXzeaHr/dYILNZZoDuBn0xtHT9O0s9jqyabp/MKqlQM8TrdD4uxxTJH++UbR +64XyMzCRpumhuTtnN2NchFovbhx1vnRJ07Qc3JlB4LRYerzRtCzlIWbblFQdwAOKwDq2dtJ6jTz jJ83fp7m+ebB2qyQe6zeMn+j593kG5K320H2uIAsEl+VaR6WE+MeLaeoPp4khreL/Nk5ap4wyUSD odF5NtyaRbCo86dRgR8feIGFBFF/3qh51jPPAhY0H3SEQ4ZXHI4TDX/eeHkKXHb6DjAhfLUuHOyN lqetwqrKOV6dtQEeoHc503AvFZh52yxFxfFkf3nq/Y4eOKE6MHuV2+qJBoDpoWsW9PBQaKMPqSML mK+8cfJ0o6q7WWVJV7dN00KeKM/X882fN0qeLaZ/kAVUaGn9rqYoEO+FB3cxjzAUgXLxtlR7sDw7 WcLyRsi73SIWxc2ix2UioV+I/gpDBODFx5klnvfnd8SBVng/kE5gXE+CC9/4eBYTJ8poEFvPwdp3 cEu0QlT/vLHxrBvsu64IgnEOO/mNc6KiSJyFghP7dveHLqLCskw3ulWfoB5qaPE3Mt738VRWXzby qBBMFad3ldUTPJBpsEV7cBxTEJGrlNn0embSK0cAOgr/DFbrKsgeIi6e+QcYCH/8ynzRPxt4qBQs HOj9nuNRKI6Vh7y3WFTQ0aW3qEC+r25uaJ59b0w8a0vJE8uuu/Jcd0SCp93FxAMyZqpM1yk+co7w jMC/XUQvXubzRsSzg5xwni4fB7SUCOyGJvomsf7nXM58PsqB81dcMOC/+tQK3mh4Nr2o/rXRy8WI mxweFNhNqeW8VKHUfuInBRCxrAmSaZ9GK6kAc7somATgM1CpRTRrqnXCIhI4uruipHfwRsK75Q3g nthA56D4CaiYKWDvzp83Cp69pxczWVjA2QP5f4dkhuE4IwOiia4B6zT48xZ4BdYzFR4xhZjMGLEi +RpF1xq9dQ6WTlkMoDBRLjfSyO+CxQoacMPPqPvK7xNVWRrPhR2qDJ17ln0LTGCIzDmB7fy8EfBs 55Z7RuHBjGIbWe32vSFohZkL4LwkcRIGLbn9E74NInqGIL7n58aaeiPg2a2tZgK9Rmx02sFeaGay 8AsB4EzRT2w+nGg8oEpnOVNI6GmvHG0+8g0mu1MMuaR8W1AJouDP2eyfN/qdDon5XafDrzPBe7R5 CmNyBZvj8oXP9VMlEQgjNAkH4jRngRxLKiqQk8ZDxOG4o6PFThSGBrW8j1uC0jP3XsUIuZATHMNn zVO8rlcOTnORWLq8LkhF4ZJCJixhpJ7r5417Z1G57z2Ce/bJCnC52Dy9B+WOYl3CGFBNqg9jHuCb 1c9rxHvp1ntj3n03hYvBWMsDY4WiHSC+ak6dsHBIVvfke2gJgjD+UwDL9K1HesYb9c5Ki6ioDHXx xu3i7bPhLNycpX7emHe3CfiUx/F3MS62eQrL4wgjZ5J1H+t0smpXne6mwp0KBFxPf5THh6wtNHq4 2dMqe1BxElJS2/yRcUuBQY4/aDZPhVVYeWPdKRWm7HLaTIU5KAlJc3fr4bX2eSPd3SbEU3/KdJr+ 2ndRfZxsVmgoOCqaIGXdeWPO5fVxGBbyznuj3Nl6Vi6c1W7h6HW6AsWuRnoiUjy7F9FbOH8s2UGF 9UzV6dD9/7wR7r7mCZ1lHzRPPBwYJZwA5/NGt7u59FdlBZcdUDo2TSF4nHo1RcLdKr/6NAEXbQWo c4xvg6y8ce0UlWuaZm3rjp4LgxhX1Oo8V98EPFqtBnCYC+rFIDx4UK7lFFXHOy20Z2NKzMEoP70U BlMkcb4R7exw+4b87gRFz+5V3zeWnZ6ieCl6kFbOXOX6is5paOlzOlVPXt5Idl8FqLm6mngcfZpO 6lMJKKcSYGc5mjYqUAKsjSy3eqFiRGi+cez0wzJpASnfB5sm2JVaAWp+3ih2+oPL+DqckHHNciPy Fkbk4k/nXn+cap4EXwU1qps4PGLBrspKC/HjRTmwusEafdNBOao1wuzBCK/muL1gBAq+C6bp6mqh ksbvCZCHhPSULbLrtm9BZMH1Su3EjceDOLPXr9UE63kYbfo0hfE4Ze0q7cytZJCa1elS9xYnyPRO /YmK40kAjGa6ku1LV3LTqr7T4BBJTM+iVw8qFWYejzACdYDm1hsHVx07wfTEs0FnE+CGxpwuA49H 2ILv/A7A/LlvItxD/DibjDCqt2nK4iWi/tQ3Kwao08lUleyf92j8HuGgvN3RNt1ZH0BSEteTgWut kn5NQMsMxIr4P8vuaOSIrwkawTIYSK36YLCesikaCLAWHw+ILft7loZ6UY5i7VEgDmYdiinT9tyQ Jipn6Wx8N2SoeTtHKgKrDCV1K8tJe8m7jVW6s65wTu+mTgvgy0JQIwfv1Ams13MO+aXeOEhZKOdK HqANmqZz5C01pM4xhcf/FybZOFd5r/cE73FtnHHTcJYUjibvtID0cVsIw+AXPaqNG7W1GF2Ko9Ok zgJqINxJMr/VJRn4wX0KUOtPAUTHiwXikkVxuNC+iQrbMHYF+PXH3OYkPn1i/YLnA/TT/O61ZPBL JwTWbKZC8ibNiPY0vCrV/0wCHrrX7vmY1TE/kXiPIvEpt+Oc5N+UfUegUHcCIywoKk+fa2OL2tEL tyqMOYjkvyoY/spRt0Uyykvux/hhOFXnbh69si11kj9+wJ88Vy5FnJCXU9YjCidDHkRM1WYKIZMF T3TVseAJ1U3NVByLT8ND1zvaAQVRyVGgNQFhFaAcnZCA+kpKZGzNW9KkVSe+KOhy6ogqlBjr6MlW qTrAs5b7fdQ98Xxw4Y36z+ZjlcaLBT0MxtFwRH/Qk7smr7RFhktVKw+l6Gxejz0kcg7ZWu3yjLak 6DFYE/UvyoYJjjSny0500kXgR28AO6Rq1isHxYIqG5RB1zRIznWhxE4KqUbnSe42nv9r8zF0P78Z vXNtosIiObO7yuX4H4mU4rVRVaki+Er7ouC9eZiHCPIpYw9Kd9loqJ6VQAwCNhJmu2epyq4PdEUU bjsljfqXMunUKweneVLtN0n0HZ3aoiV1rmu5VUyY8fUoIncTFCPhJWRO6+6994j8xLerufhaFjWY dYczaTBbxdZgqS4DIsj6U48i8q26Uxqt39HqKiCZn5uTFo8D2HEFEFDtBmlqETu407VAoS5pj6rk Mg/Ni5sme/mmnUwPkkD4x5UaHo/Iwf8iDPqJTIoneD0CkRMXcA6mbfWnTnCoLCro16T6U4EpgbZe hFexbgJKuTYSs8WtB7chbCmc5hOzLnKZSpsVKQ383x8U+ZkufFEURomUMLsP6rWcF59N5i+Lj/8v 64mXDhzjfaLCoLxVCRVkq/2SK6hCXUvk5sFxIWUGCNh6UZHcmue5mBtmuQ6re6KcQt7NmaiBtgs9 igAvh5wS63OL8muSFCP3tUeQlU7Dkz40UcMnahasTJ1WZeLxvxbU4kRlEJKaxwcjCsshdUOYoPen zg9jMPIqPim7CWkta3iOqEg+p1VWBPHp7iJIlAGcqwZFW+E7lQk0RixCu9BJQQqn4RUqGuJ7ovYU FtSoo/ogBFRHhY+LeOWMx4O4/FKppUkNu4fmR/mI4nK40SCMmleYp1/2xjkWzWf87Jtq0J4RF8hV yOwWcPYn4DwXOELDSm3bhDKmHO+TSLo0ewCAy3XXUG/DF0WsThZ+a5aIEUxdplLgsxsk4tQwUWGF fDkvgShqc7L1iQoh5NScWfwCThT5baY+mk2Y+0Seo1l3akQV8iw657aTfBN99jMIFevswDOKSiAi SxECXqLnCEXnvU0378iQzhn4mgDHyvyuCR3dkjvvddRZljq9vePxqOXiIZTZZFIQ3mcpBK3Qd6+2 7DjWmpY5zxbJushiAe6Q2nVRVG7Hkwn7npFhG2YJ6mH5BJiDgoebwaQk2QbZ3if2ws3qcQG0ePE1 v8HsuzqefZryL9QOa17SxRwbj0eH0z+BJnTLAYOyWYoi8o6K2Tk1drOzqe/qQDEoodlaKhBP1FqK InLZZM/W5OfI0c+mE3XDiYtn06qAdAmdCd9kiFjgAy9FeJKBN6LqeOZiWoViGAtqctItOqdbqV2F gJLx/F+rifTP88TsFxU9ooB8CHc4anHKlES4JyuE5AgPUfB8z0UB+TKfjmWH1JpXNKR0oPSlYdBp RCgpLLjXn18TC4MFKBHzqWAwQuw4q77ZfJ/l2oizGIJhVbW3MfF4dDJ91wyYCWOV2yyF2PEhaM92 0RDh1DVLe/lq2jjYNUsRdtyMsdtSz4Wj814bcFWdGHvcQ8s4Q7NXmgBtgEYuchx5Ib4m6twhwlzS v6dUhEyF6gZTlCEITqYwEp/7KyAgIz9dON2IauOyCKjE5QrDmpK3EMZMF6+CWrtmKYrELR5oxg6G 5SACE0aYiR3gOdhpmXD+YadloEhAkYVMlVmbJvznz4hq4+piLTU4Fxw2BFfB1UDrqJ/zVf0zwkj8 387dptSUB5gjjsSprdJzsj3Xy3Anwl1Msgf3CdXnSv+MOBJXxkKvBRudsTE7VT0GA8wTVVZifcFk hNMy+L7nny7Enq2AzwgjcR5OlO2AbjS2A2NZqMzTlAS0nrnwAUG5oPiKKk3N9vmzn6xlhMF4IWuj d8FUUDtifVNuoCbaB8QKOracq7hCLki49FM02lztidIMKhrnb0C9Nku9vbHIgd4M3M/ydVHQQR6W yDlXhOFhAE2C4SL0SYi9hRVxwfNRZeW7MYW+BLAYHj3NsEjOtGVtK2Yiol3D+uYpr3WrdSspv5t/ iBr279FuvJWgHZSp9nCCVxh+y44WBpqkfEMD6jbwSBKeIWKFaN+WBIVKHhici6RLBhnZKB4P5ql9 H+Xog892+5wzisYR2eFkQo5EGAaNkJTdDUYNEDasMLexaQqr5IJAwcv5jl6BWieVT4lSYv1sF5hU /ZBVRv9NABq4qjwNrvbKEa2MLiaFfXMOlgYjjdQ89YLHo0Pqbj2eaI0TdXWyomicvJWZaD/JU0rG 2zzNjWJG7iv8PSSUFRI6i4lj1nRHJwmfrXDmidp9g+7VwmH0IvHVBL+XenllFDHDF/3GOq+4L21Q bDCRP4pXtioe/4umSNgvgtx2ywUzisfptziAGPpxH710jV7YIDOjl2mxwfyjSl63enl1XzL12bQL rU+S83VJ0J9xNFCjFo5UhFWPq6y98W9wFRhsarA6UtknLRL86WSNeDzSE/2nmwDViZ3TnacoIjf1 8dmcINxlDUevYsEQiVfZrrk6o4h8iJRPqwcffT1NkG1Ek4AGZ97SRExQ7wOn7Xy2wgP1hOngNUM+ J7V806JMNAfDHqL421Wyq3g8aHY+ohhJ4EP0XZpHmzMskjPalDaDcpdyhUUzDZ+Uu/Rud96MECty WppAld7REXVo3p6ggNEmrBLMF67hfl3rZP6Iiz2Mot/njGLy2mWzNLYPFhsASqS7bww8HtXp5lcU hfOE1jU2SxFghZR+nKw+S6XaJXfOJ+A8LdoEm16zFFXIl8kdT9U165wXbp/hALjglA50dELdlzE5 O52ThlLE/BrcnizqGQXlkjmG1QKHKlGZSuUIafOdfY3HowTv+75DnjjA/bNpCumclKIBh8ATvNR9 2w05TGAxTQAEte1CwUMd47RI8vHSXteCLxfuuxOMndtFztgAwP0sqqUDFXf7CFtvHBHLGA8s9hE4 qF4wyDfSzcfHg2m6kmLWfPihyKlNUwRYmSIlMDnSKT5Hc1ICO0Q8ndK4spBRTD6y5cH7Gb0rvOpZ taieoJaJjoRICXMLKpWQARDwK5ILsfYzismR8JxrriRqiklakvkvEyZ85aq54vkIAPWPqFgG3e12 8GbI50R0OgSQEY167+qwQxFeQHvt2S3nZ6yxIixGEk2YowOgEnITuJXRtHIVke7Gmc32A1ntHxad fJ5W0Rv/1kVAO8cHQVZg2THMDq7j8b+QPeq5Eyfm0dOKonHKL6Hj6HxOotu47c63XaX/krdFTyuC rIyi+mQzx9j2IHvOv77y1G1Xz7138hahM7GSJoroiD9MN0TaBSssjfOuW+MZBBM788UqLJAjFY8H dM7pZzjhCOCGPn3zFQXjdKcZfU3vm+/ps3T+Ki+NN1oAaJbCYLxtK4m3O1qrBQwSKKsQ/3RCxEo4 Efx1aC4CrzrEOuZAzOrTCgvjVdYtVMtqwCqqbXCCKEP2nPil4PkITfddzIQy+azPNIVK441J8Njb ISvLAwJ5hqjRwutd0xRBVowx1Xykzt1PU6OlwspJxUxAlZamCUx9ks3Of7gOZhn474bviap0RES3 ZxCyB7S+LpjY4uN/Cmlrdn8GXCtsmqJInIg/9NWqN1pqtn7U+e+mT1PBEcCIYMXIcSOWmf9pvTJ+ kFQh2I0ojIq2MLug8JFsiO7QbSmPtwbRdOuP0jg6aBjOemRyVwFAX2Q/nMi44PnobPJ5ojwdKqlz XWHIFSqOi1jWTHbmwlU2sb41GQqjKWXp/KSIz6kO57KW8LqhUzoR0jwTRTtrGO1RKQbEMjFhoORa GBQ4sGfqlaNKHVEYEuArYB81kloaMAwETcqvB58QhU8XPs4iDKqQaCT7ZEXRODFLJ/eaVlfpq13P Fli4+mRBx1OrKtRXGeqh1L3v6EiMCYGyRD2MXc8e6SZsRNGFRmE3rBLzD0zUZ18RZEXAgpYkH5Ks zdkSIMVcVcgdPitErOxv0cMJbtnDA1qx+jh/gpRNpO7Ey3nZPFk8jkpdlR0C5imMx8XXkJi4jX6W n30FYtRmVRPCl1x4vcLDJ/PKqIBeGw4j686L9VV0mstVPlO8S0pigw7mJxFODY9HEgZeWOGcnhBl w7nW5imskuMOm7kZ7gklFteGxC9UjFqGTWPzFCLIpatCppaPtvkyjLiBmaBcANyZllRZOU8Fcjc0 VHe8Cg5HfNFvBahz6bGweZJGmWklWuuxG4xC3Yoi8nUjcp78sLWqz3oKIeQIT8/Vkd1AF/wvQ9rD hubHDIfJaaUCeVglX9L6X33c0fddX4hfSeqE8MPuViXXesKOrTT+Yz+4gDrFVw6O8yRSp8SQu4kh 44pezkgYfM+/4AUqrCxKH5YbHoQ1cgIMzl/lFSjyb01ihYICor9e4vkKQ3JzRzCx9v0l1r4qWsKb mTBO89WW6K9wgzg7CD2dMh+dX5ISVhSTZ5ageqX/Dwcxps6+IFwSRvIVjwfxwUVpTtbWp2ui21Tt KCynjQgk/6fTN/JN86pyZNI3ECIyktpRkZzcZnqrpzs6ARYEM/KiOuy3tvS64WuDjZBEtwJeUMUV maPvKDAHzOTsuuFDE1rgXAdLIOlRJx+P2EDtn1DqBK7A8dk8vQfmELFROtydDdQlg8yiZir7RpzD sOQ7ViKX7n8a9Y42T63DCXtIVKyTQ4x/B8RY+MCiO9VoNW2XHm0SdggmZ0xO3ygbVCXHWcgEhIrt +z0yN8X0i+1BA3/Ue5jvEEyOAAyGrTZPuF8djLFXcyXycZkJOwSTm2L7UJrH0Ut10PntVRp1pUFK U46KiGkRLqAIdWMDWiHje6JaHTFic08fOE1QYCpy9tlp4PG/OJ2cEgDcH62sHXI6WTSopPxx200G ipwm5ASOWcHFrmmKMSuqaFbBfjnaNLUTdxOmyWnCcSXe+S5SOcKWplCdneXNXjmgTSkmlw8AB8VQ EN1Q1WBjOUWoFaJFFEPJ/ZS882soEQLJu8FW7kQVbyZUCPxadQULyiYqBJJPwVVMS4SjlQ3mLgXq a+xOUSZfexOOs2B3nfBj3xI5zQjwNb8qrRQCDfDRPwxCKlQyCicNsoF4PpJa6V/rCdI4C34NNk2h 5mHZ0ldxDNS+66mllJ03VZYLtu8QtaLKeFsycOPo9DKo+ZEG0icNB3XUQ/rh/M1YVHN4ZEAndL5w ZJlEj+pMMSgO2nW4KSjde2Kahcf/UvJjX5S0MRib+TyFOuQ6nmAWZjQ8lLIlSUNek81TcR2oHWoe KiM+d067o+27Dax3kQNAgxluFS+hsVZGRSRkHeBkMM9reuPfuK+z8BTnYGAxlOK7CnB8/H/RIW8n zAW8zacpFFopgtQRoiI1A+Ns1HMJ1Xs8kVyHfHjHwBXVVcztrs0vinCFt2CR2SsM4jMLxQNggPOC 0LREJc+URkmLwPcEbEUqaJ4/q/mgaTpPtiT10MTHo2pd/tp1sHpeD+N8hzVynGlQPHS2IjBLP2a7 MXzXNf7amqYYt6LdZgqa7UtB86TCea5qyEM4C8s+eKAaDL+BH4ivO2plT73wb4dT0+HEQTXNca53 g6/UgceD6koqX/E4sEjouPssRdF4m8zv6jTtNbQjzTmpnQO22p4D9NT2XIRYmSqu9GLaa+URo8H/ ABOLI7zORUlsYcXAWFIMAVS0jM4pPoXviRoJnJ8kK5dkN2orUCTnaX5CsYHH/xS1l5lEUSBmE6WZ fv1ewiCSCuPMhCFoJsRKJoBWmTBlLrGe8FFRimfkFvYUNFqKd+J6iEmVLZJLN8W/k76A7AJpEZiV wbFsyRdo20sHxm7ceSxzrEplhMrEDE4uYwqnBnlvfkR0mn9XN2lHkPql49GIO9h/+Gy6ZJmCiMIA aijkPYyYMHYzZYycwqh8yI3T2NUcPdEDVRuCK3Ll6oOImQxPd0itsE+4Kd9jcuT+0pF01uBEJVlC AObL6Tq36JIDR990UooC893/8QIAkYRiPD5boUkQY04owFqRs0vQgGYAvZoZQF3T61Gk8sS3CrWD xx19tiYys0XBwQmtjUZRlk7iYsbvctJi+ByYMDILCPimCJqhsDyJz4H6DXmw0LWl1QqYdosfEMRT Fy1d5b40AHLdd7ai2vkg2qfL+xa1857LckzivlzPOpZ1YnIKrTpNPnqq7MLRaVQT+D38hGcvQhkX yAFkfFA4PTkzoDnaUsr4ZJ6Qohi9M5yqMonnkBQhwEtMsuRj8PkIaXfDKv6ioPPNfQGcOUVhOhFN 2GfXkIM2WXLHHWZnAjvKvXxtRRV068SQCuSjzVaHSUZCJHDW1gYckTp3OMzgaDaRfEDPwRKafF86 8i7p2IKQ97dBGQ1IoBZajczng5Sm3NmiQFQhPLlfNVKxxN+boUb1VJ3z7BgGpqSe9Wa+wg1vUv2g j2voWeADk/wr/S6ufdZwZgDf2XgohfU+SDcS8YpbJJNJ3NTp2/bSv5H0/k8R/dzgKH8xeBh6PrA2 y98KbYx4TvL87MSwjo5/EzW2Yad8XsudlzMF6QlQLGtPn6woaKf0Da7V/YzOP+vnxllws+hABzea qeHcQq8O/gAESm0nqCP40jcFlXRiWnozhWnLNWnPIcgr9OX4fESS/Ufb5+SnwJQ9kxXKsTAkPX+n 5LNw8yM1tJW1KC4CdMva90qMLYSkfr/Mg3FdD8aVJ+AajezPzcoemaJQ+OfXY1okw81jC1aDeunf iLJkoXCohr8DGjKp7VAan49EEr9XFvkxSXGfJiuK39nQBUXI6sSQtjDhzXNBV1c+KJAxMhvGSJWl mu/5MhlA+jYpNE0naj/BepZDbKlkfoF9vSBfoNnND1RRtlTpdyMhVHN8ELOxpyQXvQZKMZ4PduE/ IBc2PstZi3euoiiedaaxhTtXoXhaYQ92La7uvqktprmKiuoGCEpWMZbkopKdepbNyZ8zQfoo7orh h+QQDUqcgj1dbALR/fyiqGTFE2txXTUVh0n9PNer4K9nl/P5P5NnBqYVTqjPXIX2nkTJg0Vm1ZhO 6IfmKlMYkukzyI5uWxmCXSR7cA6QfUfPn0/IiO1C5dsN9Rr5xHRoEaMAdD4QXHULS1u3d/5tDwIM 4oOgnecH74IspjH5fJQbXp0INknRQlxXVzKHFp/iyIyxfV31PbPn0G36uiqcU81VBHlJstAbJbc7 Gn7qXKrlhF0kfwDKXpc1dDCfA51wJC0uAkjUYv7F4pPt0aauVnOLzw6jInZmwVbj80EAf0vGUuLY PyCG35kK4/dKpenu4twncjSTDnAbOWmQkOroELDakEOXz1WcTvSMtqoaOTKFhPUCG9EmYPUEJUce lqXcVs0ozd45amtxqrpQQr0aIRRQCrYOz8leB5+PmhDf6iMkffSL1M+B0ef5+1YS8MVLDon8CSlP 0zyDU9Xqcv/K0OmTzF0UYMSc5aipqjvBFxa9h86yDPRKGbKiWtxxc0FQwA2FRrNXjtRKMVOTd4IG LqrchI9l+bny+Qij8I9Edyap5kaiodMnC8KDXSUvYTUTxi+7uy5ZVatYMxXyQnX1tS5tNo6+qDL0 pvcUbmrPOSVMRqdzLLgf4qesiEXLLH5RhObgVIm7PkXzVBWryPAJNQw+H7SV13e5AY6W/StaCLw+ DV0gKKHJSVWXcIMqmu2/c0yyBtH1Ub8iPZsESzXaSZV3KjhIWGSneFUXwJrAXbI1J5sRglP1ae8c HVXUxVcWzUEFvwafcRX8VuHzfzkLUQhhVor23KkK6aGFaLNk/BiaeyUrZKVpVYeGgNsgQjn0+1zO s17zjrddiqN8M2SHYOIJMxWy0+EdrFrUgfYt+qmQFTp+SlSqQZHHBuU3FcADVbSm3jQ61r8RnwBC rXlZajnw/IRcS6JW6Zw+V/R1F6HPOdqogLhWdw5MP/M1Ax+qInN0Rh+h+sjq0QvsmCyqmg8ySkui +eC4runoJ+mbonVFaZvNKJSDIqsBE1ZGoTVVPh8xHL5xejgv14ki71yFBXc0pfreBl2Ez1YvTpmp 1ps/S1oC8Ekf9ZuuFAKAekefK/Ab+iIT5BxXEPIjBqYUFC2sHtPaxQr1Zi8dmApIDSFLsDu32zhd dbAmVVlmCLw/DZz4ABbo+duf4yr2GSKyg8UmKUuV6QWsuQyx1yrZ5rYHf0fBoBq973jLfbuA4EVt 83X+edEkFXsQUmOQHyu4+i4Ra9pLR90u4mPr7D5oXZHupRoDKsmh/2e9fUHWnRWugLhnsxU6gDJh HusRLDsnSrfi6LygxpNkOXkmhxagy1Bo7OTa6EWGLGv2JRTaJjYVHfnM/NnOr/n0vJq9dNTOIb1v 0Rxc6jg6eRqJFNC6HZXP/yWryBWJuR/XciiHJqDUMD9H+s5WY9i9efVqS/acsOJ6C8mhC+iQpGSW PZVGRy+gbYqCOxUDTxhKNiJVmjDCcChDJdfV3dgfzKENqKS7IeXlg3ZhRYV6Kw6YfD7Ib+oNGpo4 N/OHpqU+W6GIy1BJxO/C833tqt1s25IUcXHr68AIFCtL+3BKYpKjl0YhyJahgwYW4dmGTaqBjTUf emcVQPm8NFqTvXRU62PdakmIklqfXTfgBGUE37nX5gdEgfuFOBLHgFQkof/t0xUjYxCQCoCJ0miH cYlX+6jDx55OmXP74gpB61LEy02BKUeHg649CzYjQgeULxprEnAEg2EM9n7ZlC/bUlHa9tIBmoHy QAxFOEgX/uzIk0ixYw9VUD4fMY8uC6LYTkSh8E5W6EPU1Vp1dMz5G03Fs5YqVBq5R6TKMHoPLEHd ZBYN+n5H761W6lFKyzu1kwguFRqAcJ7sdqLC50tr+jtHyA+26EFPxwCtPUlxIARic+dkUpMfEDUp rjHDtuBhjKdbGPuC0jR87+pELRCEHCNT6rVKA93OJiuWdjGjS21Ejl7BYj9icCN2MttFkMz0rgTw JCM5c3aNqjKhMWguwoPKobBeh0LE08Tgnf+y8fm/xKqlILjPPm43fw6tQYHahnrGMlQooOvWsq9N xxdhMhfFlwNvUMQOsm4ybViOXsBCTWFXaunDoaLSmwQx/jl2wKhDaniVp9a0Vw4AIETOTqnhTL9z UeBb2xyu6ubzf3o3YVIQxQNT4jMV1dtZnwO+2CEgVRgsImXEHKlUTyheaQjcQa+KYF3GsVmXY5MJ HgPAlRgQUP3Ea8tovudUGbzs28nJNlchWEb2DH3a0IjXh+3bkockVMH4fBA3zO+skKrZ4+toj6J3 ln9kzGUJdDZAaIVUVLIEuiTXQM+BQyiahIZ6VMmPo3MAUWOfs0oZ4ASR6k0Mrtu5YKc6vdpeaAvB 74mwxhTGm3RxGq5nDPnoPLrBsjOfD86q5fGoLBrw0yY4efhcRdE77YxQK/EKlmyBWMFq4gQSNANP DltXYbldcwRd0zvaYZWAWIJ3EzKdDKkl7lb8h8H7GqZghXgQQdWKvXSwrqi6+ICwkq0rJGw8liHn xueDGtb63oNQH9qI/2yuQqtQelPBHSf5LSgdHBzsBj/GwT4oD8y5ir1CtxvMrTv6HizA8C82nwt8 0JVBD7jV/6Cz/UPZRTda7/bKUTQqB+PcfRD8caVNn2GrtgdmoZJ1fiL3DcGYcTm4OXQL3YQ/tmxm vJDL8NOqptyMq1wXJYG4AwO7UMB+pAJHhLKNTnQDq3tArAPFdnRSVbs5h33GkT6Qk32J6HZ75wDT wGrfyZq2D9qCHWq9DLP23Hw+Eji7DS+uxq2Pu3MVy6JLaWK5xBmkYp1us5Ij2ROObcXtoWeo1xqm rZj5qFIhYuj0YMReTicE4ryejVIZt6MCM5eDj0tXxyu0DZ1EfvQs+VNCTY3QXddmqQC8TH5ABD/2 2aKyQKbwMe0QfL5Csim2DES8nEmy25V9mYi9rJlK9IrmK2abenMm3dHynEEL8U7lvH3C8sy7CK6D qPRUoswJxjJlKrXpA/tQWejAoUguouz+G9QP2oIMRheKM4F/6PdGVJh/wgbMs09WbCDKI4vXFmkS OW9TY2yUIhOdBJZYluUEDqLpKfrtZ/QCKVXb9ibpDUYFcqA5WxJ7AkheCqxddSqwQvhNEXKNrLfE OzEtZFeCrp3/ZnfiN+b56fgJET33e7Ymt/QTZAVGoue0JAkgsSnyH/1jd919CUSzlIW+gs9WJADj pdEtyRyOzlJqsGdD1gTkGgoqS3geCoWXhrLD6uWWk6u/dOSfQkRyZ2o4JAlNA9FzOQwFpCiRBmai Qsh/BVmJEpbPNoxCd8AWQOhazQpZwANY2W/0Iu0qOFGW5NswQss0kxVKyqOLK0XwzNqATKWscsOJ f0UT3Co7wNqJlluXgtPtpX+7DgEl4YA/QR7HEAkmWm6kNPkBQZ5zbVR4xp0P+G7UB4aiAAjQbsZ9 wgByKclqMwkiKaz6tT3HPbPC6H35glp3vDgsgqsYZQ04hsrXD2V4eF+ASowJvJWs7S8dbMOicl+p PmhhAVdiMenqfD6KSb+1LIEC2+NBFoWeogR2wCN9Gwxr88qXAyT7ROypprm9nhybii6DX6n9nJ72 c0LYeLaHIlJeYYQDntQXRDGYNyI3e2BYqr2HrqKD92Ea+w5idEFQRbILey0+H0SkuXytK+qV772f Eyssvi8aRNfuyGS4U9hkQXpDk9WgHuiT9bsqzGCU7qMW1rmVkbEN2mLtkyycecPFeY59lHmgFIM5 yn5iZQH8AmdRWX1gloBMRlxzjjqurBPBFdluoPXCD4h8ID3bMTwgxGTnbReG5qIU2x8172xhKRFX SqJHoj8kbcfphMIAPnAXhTKI2vSyWNVondUETNj5vcfPSQX6OGtpWPUGqw2cj8lNKA+x4q/86+mO tjgHAzZg6TY2GQRsCNxFsQmvdhUnFrra60GOhuaiLP2eG+nxEdvTPY2GWhaEy9QLlwndRZeZaovh rdGm6gRskIiRQgzEPkg0QHQH0zQK5FV6YUiLX0FW4C6K7c4qcqsaWA9TFetEwoSrdwhe59BfNF0l Z8X7GRoM9yoMDUYBqUD/ZTpgJqmUjBy6lGEsJjg3OgwrcBg1OCbZleWOXh3taKc1zhX09qp1FKnV yxoShKAdsZaKvXKEwuKhLj1+QERlQlPPOdir1MhPesUPiKgU3iwcdrgbnUpTFWqoN3ae+8iOhCxj OGBmWmJNELmXG2KTUVkWjNae0bENCfKMMoE6QfzJdSWxt/i+ED0CaMb1T1KyVw5SnaX4ijY0HCyF hlSE2qpAYYUmo9oYBI3ylBvQInzuwcBmFELqOFvPi3qnsLLNLsvoa/IAKTmTt8yxz6irFUv7mqPl haiNdsMWDThDZh7/0FopPywvF/gbufhQrfbOv3BO2pDl4biWh9zmEjyCM2QOnUb/JegARjfLg+0L nUZhBQ/vcZZBB/+R2SHCq7Xy9Fi0ZL8FA6tRL8wMYNjveLOcdVK+Tm3Z1WvKVRa/NaOgWhPFisej QKQsJzQbbbJq7wwZKhpXy3ygTtDAI/J8QuMHvB/tBJer5sdkGeSzna4XeQ4cR7MKdSeBS65CtGnR qDQn7+mUk+2mWTmwHIUUL1cUjA7v6NEo7BhKocLHOnHDMjpTAQaKpu4/qKDejr2QIIHpaLagAQZV HCBK9UNFwlUJv/sBy4jP/9WDxnOZnsrp0QTNofEoTOpgIeNC/Qi5TSqtQTxPi6uPUjweDZxHLVqj SGC5o53uJ/yAySwX1zmWz+1uPrYIBReuwwxteNct3tne+bfeV5GCRWnJkaOpcV8TpL/5/F/IUTEL AWXMKV8lsM9rhJWxgTdTwTE9K5SVAZbWgri8wRtWM8uV1/gqXylsiPzf0WnPC9DTxHbO2aCr2+ne sFUWVC32cDjyORwhyvAaXWXX15nlGXRenTfMxBohdP68xlb50ePdwvdV2EdTF5QrOZqhIbWmvYyS s9N2BtPmQQaWSW/l5s3BDHXrDfa67+jJTV4LcyOwzPlNtgwNMmQCNsXCeVDJHgNcCnzN2xR5DwdS kD4I2TfzlpkgLIc+rxFVRpxxp0jtro1IfdgcvQZUOatDBXKJp8uTkqe8+loxOgB0fvzqew2nsIrE Bh9CrGs0UG2GrGmZFMDupUx6EbACCVAtgL3gMVrkKZvI12AqQz4IU7QyUTIcVDmGwXZVz3m3z2sk lR/RL60igMs6fV04Q69xVDbF7FEoVP0f/WO2onFBCqI4CjolVlB4jaJydjWdN1sMqMuCysVm4IQy uEnOw8hgokcFOQSbITRy8C3vMyRM2jJHZHjIyrnsrKK52aiFtsPnNYTinik2RV5J6JnUSjrmRlO0 6QdZb514EGogCQYSSVlXh7CgWfxGG82q6lUeM1ucPaFhTm7TNvLfDtcZGBrgZIcfCYI31N/o8uck VKrHvQZPOIzyUqFl+iBcKPpkXdTd2j6voROeNp+HLZUioEL3nDZHr4ETtudmJMAgVLX0/YjvqiPR 5JBlc/QaNmUUXE0RrdY7etjUTlgwM7s0G/GmWPW9kq9fgQA6aaSH47LA/LwGTeeFF+1VTvbD0gHg MvwiKMktJhUnE6r58xoyYU3U8n1io86MCrkm6TVcymL3QOhkeINmt+nO45ugTOnMt+pOPdEkqWZQ m8wtOHozGRYIWaW7fpaYON+wmeXNK7Uj6AbabmN69xoqZQdzTGl88egUExBAN+JYcf71z2ukhOPM FGU1SQgN586+kl6jJJwjtKwtK7vt05kaNy1AHdeylQqPDqkTh0e2VlA1ea/q8l79BKx1nZgYOPoJ /7bFsOSspMGVBHBgYznSAnDKVr2GSFmCIUCesSLcWaJxjWtCtvCnnxj58xoiZdOvuWcSPEcIdtUs vdY2sd9IX8Y02X47R73hqKCYv7yqQlY2BeOCYzsZpa1IfZfjrWyek2+iMo77EctK8p+o5py4m7OU HlQxQeCf17omZkmlukLX8VQYnct8Zi0eg+fjy+e1qpkfncbNpybORnQebZJea5o8lDbb7Ay4eXB3 B5uBTrBtv82Ln3qtaDJCUk9xW5tv37stAWqP4F3aFPBp0lJKtZEPv6ksui4uj+LEr/VM7Dc7uAlH qGs5rTQXZEA/bNflz2sxMz8uIQicWfslYRm1Igsko1h7JNXlLigveUMPHaZ6y05X7+Sd/Jdvay9v +YTk7T4hZ5MBG0u/IISSAwIO2nOIsdF6aUBNOwOXhp185WA5yYquCO5ZzLdFTpVsnlAq7p37l0XI 0VQx30UwWbaHSu/Mv2wNrtEkmcOaSh2uZ1nlyko9SwgSGvUomqepYKmZyFDrz647YcEJU9Zkfx1e Pcp2JwVSaDwDEpf3i6la8Hln/mW3gezS3uUgVjehypKX2OvzTvzDCW7N9c1uAkrqq96Q8p33h3uO lwzRWrbvanfQRpGEQCNsql2QZzBPWyjrKmcLjX7RncP5RNvUrOoFKmjKcyvJs4QxfTn3AXDCN36f pk7LvhNtDR80TSe26GLSnFvo8076w8J4dh4fg17KzD5NUehdpQm+x8XC8raQDn9vHg50EtiEAgoO 8a4yQE4yOOTo5xPLGoU94hMvn/MjqSwHwcOcSNtGGd9FP1PVK79vu0418CXqHwcrYZ6zRoF4ARI2 DL/nd4YCM5Ulyp8qNsFygswdiyVe6tWxIrSUrDA4T8p5WeqN5kmNAzTO72hZXIFt+sZ0nPsAzptV JogJqM7JMgZRAtZsmSpfhqeTUl2z04YfiMomEMacMoJMqMgF85RNp0PzREERYFt9oqIgXIAW1o9c b7d7fJnTZbwjGLSJeo/CkcxVdVgUHfRbjUOCC032LLhwnzIhQtFkk40GQ9q0r8g8NcU+72w/7G9b T8RpcFDCm9hSxT+ev+bzTvbLkL0aNk+UMyHHCzqNNk9hHN4lsVsexEEzAhvQ782rvCgdqXISBeIm wsQLyEc/nyDnQk9iMGcyEJ4qLnX0r6kMjAjKXI+zRBSiQBy/I0TLxx20nupgsMrrbn/eiX6WNX+d T4NCTp6tvPP8uFvRjaqyzGR1twwDsVQq9VoJbrvvzDvNj2GBanA2XRy9GZXJKiqFduMLKEV2jwtg 2AAG/9B11TUBZtcbv0/ToBr4Lt0G63EO9ErZQjiLg49HUebNV/h2sDGa7EVxnt5jcSwn+onmy1vb 0mUkEys9TCxWWVnXjYJx9ilPNLmpt6HxNjj32XmbOhO1oOBUh1WZ8ENSY75eTQ7Z9r0T/CxSBFSh 3UHb7pzGBAnhpMqfd34foqc9vqJxyCGDXHPXUxSOd+ExxPIgdkVgYcp5bbc3bHD1sPX0ezwOo7Bn tHlaJTFAJxv5nJm1kHx1fp4zX8CU4T9cjP6UPFUUjxM/dkIykPs0cJ4SWOBEslaCMaKAPN9Kiqyh 2k+vy5OWd2JflrzvWf+EbssVa3DGmADn6a5YYE9ZzTKOxlXQXVpWHC0sIM4gNZK2J4wzkxR2E/UL Uie7FjpSVm9C9/jzTutjmMZzaamzubyzuWhc64L8n3dWHwqXqX8nLo2/brsF8HdaH4+1JFL7csCr 9OVR3S3S2KPLWll2QL2z+hj/GQZqljtaX/N8aOoEpsLXoSAZY7OuQPMlNzKCsXivmN7QK//SJ6hI pjFAsamxQ9dTcodD0OTa553W98+dR9l0FEL3jTXfOX1YyZkNp+Fq4Oe58vjPmJQJ/Wes9/RO6cvX CjIPS/HGYwUJ2aSa1U/ZOO54UKB6ySImqAl1pMdETIvqPSZPdkhBM5kDqGxs1MEDbw3J25T1eSf0 cap9ohhYIvGUmzYnKgzKt3lmWo1uJhXmpsiPTyHTXcffyXz4/mT6ZuIgc7RL75xLrRCjh6LBCXVq E18bnBJId52zdBWXhTN5l3cuH76IQuCYFh8sOCidyLwfkEs+71Q+HnL1q/6EatTuy2PNdyJflvEG TfS8ttLKXg42L2s7MJ8SnYg133l8+eIQS7E2VHnYHvnkdeB7UzYdht1dgkFI805w8P8Z+64kV3Yk 2X+upawNWsz+F/bg7hFI1uuMOj1jY7jdc5PMAiFCuEDWs8Yt+BZ/42jrketRBSlANVAF3zEbkwgS uD7vJD5MU9pfywln1FrNY4N3Ch9zvCpta+8d9GQ413OU7L49FR7dtBLeGXz5obTbvkvjq7SykOrC 8AD81xMFcxlleIiQEZB5UfXnMF965SCIStanSz6wtFKh9biNvrA+7wQ+Fkk8d+HbAVFXn233HpKj np6pZraLH+UjeY6323I4z0jT2r3v9D0e5VIoSSr/cvR+b04sParfe+KQIvLQwpo4aw1yfJvnU5JU ydIrv59PSyUopcQchD2Ef7NQ5mPtzzt9jyeyx+S8LlkfhXqmTVQYlFeKb9x9NwsNX828b3rRAOvM DvL3oBzYR6HomvTAOVoQdbK2c5oPaW9smIgoiGrwCNlDAcrF8lCe6PPO3eM80XEcRXRgNamIxFXU pkpEQDfuzzt3Lz+wcisa4DBYNxd+p+7xHE88n5rL8PferqJZGi7Dn1j75Pn0HpQDQMANN6qYVhx9 npCuJNOSOIlYJioNkjsskIB2JlHBInxY0xsHwaby58mOFAc7xiHBQXjYQFzwHpQjWxvf03Qyh4Ey tU1TWCLvDMT3PZ9Qb/px45nmJYPE6eQ0vcfkpkcB7qpAmhyttgKuLEQEqNAFQXAj9mVGw4QfAHnh +J1kb/zXtptFWkrFgNhnx9UxjF69xuedsYdQddSv3IW0KgF+2SSPYnLukbHq8uOp7u3QnUqCMCPN jY6kuuRhTK5dNw1QwNFLUPC7TqIo4ErIzcCGZGTIMQS7xITMsEA+73w99t6kzpWmD5binSNUwunn Hvi80/U8Y9c0TclzbcSafjy98/VsESP/8u7duafK+nEDv+K1Otju2UTFqBRM1Pmz1zNqouB7sE4Y frIGUCRBsFK5qiFfGzIaBeK+iySUi944mqj+hnA6h1pdhjScfDwKC77DcRl5UheI0xSF44D4QAc8 O61xUQ2EFfJBrXei7Scic267d6Zevm4qAPLe0U6n81ucCKqx9NuouZBMBBu4GVTqsBn8EG9DLxxl eJilPdhO4MBZyij4kdmD+OvzTtL7rzO88sCsfji9E/QwS1t1OodDQ5lAkQCYQWzlFVLVq+mXvfPz uOsYFGwGJzbarsPxutOibx+8ubcXVlhWBHUiQyPRUGD04vq8s/OYs6iQSaHFhQI/KWIVkJfC7Pvk w+XzTs5jZeXXPAGakqtXDN65eVlGN0A5WYX3xASE40sSrxCrUghQcaXTd2oefifmwQvIuDvapltw fi+yMMoJdtfixEx6ZYJrQ+Uy8/NFD+7zzsvLbpoJP0kfdIYXYz8TBfl5J+Vxz32Xx3uDg9Hw8tM7 Jw9zS07e7r7nsA9ul7M4EUFgVc1SVB03Q55he2+s5Gc4DDnPgTLk6nCyn91UzoToFHR+odXSywUW +BsHXSnpcCVyYzmorIK35QmMysTnnY+XH+ylgZ0GN/296qJInGjxr0h8ngDaI/EkKUGJjnQrqryz 8bycCiWO/YwOUoWeD53YARY/P7pZ1yeY7MFE5Vyj6Bk6z7PbK0dlOilpUNuNg3XvsCzYlVr4Od8j cUQU7TtjQfEY9VObpygQB4keZbrhOJWynjLdspUF2dBq2Od3Ih7nSdqK5h/W1KWdUmJGsYOSM1DI 7mBgcp6kEASmUaXCppczk145As9VkazpH4YyqEiLC+pLW+C5zBeNQszvfbfWyQVyv6d4FInLBbJT ip8TlciCFwazF4PPncvW5aTeWXj5YsSbpcIcvStFj+tK7a2TymS2cagLgQQcUj0/dBdwMC/e4PNO wuP5RFjBkKYU4jueShU6L5Ns5bPI+Hyw8/Y38AlIk7mqwzDeKXhcxii6tjmS48Nn3s7TqMsMxzv+ 1yYqqo9PgeeqGdjXa2DfYARxjqfGcuaJLAGDIn1fRBmU6gCiuytKWgfvBLwsjzQsJeJWerYuYQV9 tHfBw3f+vNPv8J7FrzvWQAsd1jy1eyff8YQi2tlFyUhDKQ58ni641dE6PPMEPGZcIOfJVLd2IEcv kJ/jdiEIZzx3kmEWss7hTUlBGNiy/eYnlNDs7+Q7opcZZEqdrJyoQoqbcEFOTbp3bX7euXf5Yf5Y PxjSPEijfKJCvArxhsgqyN5EpYud3wwH+0wl4UEoHS5uLKh35l1WQ5ssstHuaEc5fCgW1flRVDnf NTRR0oU4p9M5jfDLWvFpUWzrnXn3FH0T5wvZkBBQEE1gufgs4bQ+77y7/EhneKMTd+6Fib3T7rCK J9UmJQ+YmN3lZK48JLxiymBChIY/F1RUHCe8EpAzU1R0kheVa6AvA9gpGp0nUaT6KvBPeOeGLisV pm961/XKwVFOmeraWPqtjQ05qkCcHJV0Hfwyn3fSHWvT34AVHA8DaDObp/d4HHEm5mk1o0Rhnqbo wsiCi/TvGoC6JqP4TrnjxjPXMB1QHO0k722gVE62DwKmXKRlulg0AFP8pKTtcs8HaRnvnDvSSCpD zDJ8SKo9YXGpcV7q551ylx8Xe8NmThAz8sWLx7XxJYEMFyPLbbqwSKFtiNXoHE/3zrfLt9E5p3oJ HL32BJ2o1KRFds7etJsizSLzrjNNiG8tCVZR5Z1uR+LBpLDFvIOqBfksS03TyWU+72w7rMbk244u 7mxIYB3bPEXFcZnYN9rAKzIYxYmJUwYEKI7D/0UX3jvZzovOmRSVO96G8IkykGQgCz6pJEAEnCcG enhVij94jQ5r+/NOtbsEDbpH2KB56oDJMkQ4MfvnnWiHDdTm1zwhhgfzwqcphqtk8TK8+FSoC2mR 5ii3Idysb/5OsssXdriTjimOzmLZJ7oBbAU1OoiDVxWoIIv0M9HQySccuQF5sjeOYGKkZ+xSfFB+ t+h492PszXeGHQ+37+vuJOc/UOHzaYoCcho0jYujp/K2ozNXn9ZCaBtsBE1TGJCzlLnO/yff0acp V7hBE16PwKpnM/2FIPoAJh4WQRcmRnDmO7mOCRp26lZUsFfyNPjM2DRnlDk/79y6bM45N29Bv4S+ XDZNYTi+mdRVF4UHx1wzBvfMZsUCNDiHiiothI6rEzwtf+Hoh9OJNE4kBuUC0PHBIhNxGLIbgzKn KV9BLZJG8T0BcWyrIcXDqbrM+fkp2uj8M+uJxvB4EGRefH1XQPpDtVSbpjAYR5gHOQzXzt9MXyU8 Nr1/0KnrozM8KownMfDP5kp39Gnq6PUDsQbfVQC7zGobt9qQiNCeDzbT3ji46hgLbPmy72xYj8o6 5tJqKgOP/wtXwE2HXb+LF1V6HItTCINFYk4TzhErPQ36ILJEhzkz3s97LI4jnOfNNiwrR9t06Ias Lalg8Fv2Ns+iE6WfTAxav/VW6AYZrT0kas6m9m/3wSA9fW61D86t+ulRJF621wrYeQI7/KSCngP3 KBIfokfxJOQ0iRjCaepjm2kFdG9tNfUIqWJKNHtJFoqjpgnkmLoHSv1os6Sal4QNKngbHcXEDD90 rxXguMH3BBlLJgG/M8HjoHlKZ21yB57fdOLxf5HI2FmHj0a9taceBuKFB9I0JQecTUYtR4kuefeg QjmQR3iPyuImTcq95aMnLHmBaM+EBZHTdIA9RCpzw4VcQEz0UoFoZFEgPqkKDAkbDjRvYMaCs4mn 0zkLC54PsE+X+osXzKDFTiTdNlMhbdPss1t2CKuOJ9Cju6SogTkEbVqheI9CcflVnQtMVjAcb5Wu DSj+IPJdrQ2C3ugjugl9ypNewVf/gjlwj0LxwmN8TIanNMXRGVUnsHVcYOjK4wMifqtHT0JT4yrJ 7R5REX2TNp5DBhGcqjK9d1eadDcRPpUrUtBjpIoUgVdqd/S4YCYKf025VTgzf6DpBQFV7H/81K6Y xRuvR/VxA9Kd35QDptcUHfaWRyZSLzwfXHnjV/1pysvIJyoMx1F/6jRINv+F5pT7Siy77FY7okba FvaQxKn0F0TZO9qagstOJXyBwsCDmx0TRYvHxNO/QanHTqma9cpBuaCa9UnicOIp6YkB3kjWHmQ/ Np7/1+7jSjxTO+DPaBMVlshVqMu8+qVP2vSPCHFh7Uchh5M+DdUL+t/4cQhPPqMzggvAC7DWhBkb gnWCqFFIgCJ3p99x/xIlnXrlqAAlqxgKG1FDVfr/1BFPxBpM2PD1KCZPt2/OjYr6TLpRVH+PyR0a vZnmixaM+MtmSnUplOoKWnysQPUoJt/mXt9MwLw9TgKglakijgpUZYHzh04xONoKIrQydrruJ1Qk 7VGRXBcfStM+sFt+opk5qySKUsPjETH4uwLVzt8/62WY9whCzoh4lGWSa/DfQ/eJBhWJBDlWoMqm lkPnJ0UXn1XojDFVlZaShg8ve1OqW9AtQLEXJU12Z+s+C781cswNQ36mC18UBVLiIqTkgyorQKaz RH6OVj4erafv5CVDAWLCr9UmKgzLiacrAmLSd4HQSZbqmiidMFtA7c22XlQjVz0Xqk71jlaq6+fM naZ6sSDXU5L5Z7N/B4SlvEtU0hwkvvYIsNKlMb1Mf23bRKEwqZJmnWXi8WBBtRuXC21/fizqThrX PIrMEZWCslEv2Vw9zkmDY4es9EzpWszUiKrk04sqUqjjaAkM6mg8nMg2p1OMtP866m60aoONvJFc Eg/zEYXm0naYU2286W08HHU7maFcxuNBaN7n14rCSToR/dg0RZE57tib3KnpMs1GpwGTLS5Qh26v cfLDGrkCKVT47ujAw74mrGIka3smp7GOjmSpY+0zO5ie6BWK9+OLIk6nlIvkpQNtK0KtThZ8IgZq hpwLKeP5oEh+QQbSKZ0qF9pExQhybLdVTKgcx4N5Z59jqRWrQjV0NGyioiI5/TBPCKTi76Iw33kP hFHjpEU7ZxU1z8kNVyNO5kL4gr57m+7bkaEjOPA1AY6VJ3iXP2jnwlSBLlEUVykTHo96LvV7OZ1f hh9lsxRCVnjhnVRnWxGq0mmDeLrZzHMBIl3L8uERxeVLIvgrCR69GNRrlnoa6BJSaq0ttCmtVtdY wz+zA4ivBwbg6+JrolYnd1vOzQdtOlyfQrFC6XCEBfJ64XT4l0+k9wMvH5+mKCYnyGCoFGLMjTSd uUG5fy6mChKYFlMUk8tjaY6iluco9VbI4ZB2tiEhWSdW3xTmxOEEDxOorOADL0N4koE3ogp5ZsFg T+bDmwgUijyccwY2rawFlIzng+XUb+EAaw8EkDP1VzEkislRfc0QGvTkZZMCpxI5+SI8npJCzcRP CtaTtVy6qnbN9Z2pg3V+baJhMFHU6JH8PVJ22qiCR+DEfCoYjBA9TszKCc+yDzqNcQZuc72ceDxq 4NWvs4nZ8L6g6BGix4esnq1CdwGtvOvIuxA8c7mI0YjQ4xR3Q8bS+h0dYpDADK9UMULAc7I6yfmA XnTy5A3YyMWOo4mGr4nadw2MsgI4HQZxEcDlLMTfnrAWZ1MYjM/v9l0BzDddON2ICuTN2sHpgljz 7SMM/qxCrECdSbMUBePWDv7K79j3Yc2gQ7M9dVZ+IbVitNcFZM9ULw99bpsm/Gd8TxQ6cX4QsmLA iSw43YR6FzfdCc3wfNS+y1/pHVKmCWCozVMYjOMiH30tr6300Zz3Sg85iYZlzVPpnxEH48I5r/2M lrScXdCBVQImesF1kCC+DKZ1h3b7WSCAB9xdxxhzhME47V7OAUTLOMJhBfKtG/Utijec7/mMsEj+ iD6JFTN/Nr7apioMx6swvl04FTQ9h1k2NuiiqSOMxWxlqBFXyacg4bXf0aYK7RZoYiwy8GCySPxd H0YnplwmpskcFHSQh2VyqmWi6soBPTNec2D9J5JdoD/7GSFmZVxkNP/dRTyGlzZnWChvTH+z0YEp kJV+3GDWFI6gZIKKLDK8+Q9BQ+io3dGqUB0F3r1JcMER1WjiBPJrzqJ8QwHqNvFIEp4hZqVRM2QQ 0spBqLpJnjAXV6l4PJin9q1piCQcmnA+TVE4LvJrrU1zg1QPAGvmd1P4VXxxR5yraQopnTrJu/Gl +sNTRFCJ3ueidAhccao8QzJ9limxwlXliTB9E2ZM6SRYTB2FfjsKO6PVTK5Y7QWP/4t+x7sSRw2U 4HyionA8VcI01Z8aDKaWh+NdWAxyX4ebvcyQ0KkJqsKjaLyBAUSPM5WiJ4jbST4A5yQDTxHpUVHr RdiebK8cEVxYpRs00eCg0AD27VPEslXx+L+0+7ITgXa7jIQZReQ0uTmrd4yfq0y3DYxx3j1bT6EM j6BmWClfsloayof7eEAGJ4aEvxZFV8HsPEuoKoICDaMAL5TGw3AhsWzGmBUZ/lAqqwszQAIeIFBM XM5hiccjhst3cJBxYW2oeNs8RSE5hcKn/P3YexlCbE76lHfH/Q5UAzRPUUhOQw8kwJovjragBjg0 o0zFUBUWEIyhCp3HE5ZiUXigxjDtu2bI6KTuf8kKOfO2/jmQVVuqPaVXPB50PNvvBVXYevEG+gzL 5Eus8+ZhVK+mkAHptdJ95+EY5p03I9SKH1GkAtpoRxRwHQkGXpioXcoU/QebHMTTs6rQofUoqtoL Rwf51smUfBBR8cx2ZtfkxCEDj0eVuttMIL5zQxbjQffMCLZCAexRRfqRjsF6dAy6nVAQijfK+Yyq 5N5vaTSL0GgbL0FyEn+HUhdU/SUtClGSWTCxwF463p5E6hlF5TRV6uR+c7CoHGmkNA3Qscfj/6oY 8HaDIjTIFzZNod4hp2kSoitVjDYcHj2TVe9aw0ls+y4UPFQIhYrAHe9BDv5AYQgF64JV6ZQzIFP3 w+wyp/UQ8/2Ng0beYuU3q5Enr1cG5dB4VYyw+XgEFvsOylFwnvMWVmYEW0HbkKznfY/x7AKjEgmV duYlCM8oKB8CGjQTW+Fouw5CoB1S7dQ2GsUMN0CBNd8XiPGOy3Ih3H5GQXmT/NOW4DGPZWnVQpOL W/0MFc9HMKhLBpI7wEmwxsVBzZDSSeXsSmwXZ2qm3R19KG0oWuzW4QsqlFkRa2rJIV2jcxXB8KSd Mz0rzyKQfghY1T+LuF0UnnyiVtEbR/uOKlCNlUgOhj6cFLYhV7Hj8Qjg84vnkgEXu1iDFQXkFGcB 5X/b8TRrs2P8fNv246kAKs9pWhFyxe47Mhx8tAW1YCWwCkWg0EbIxt+YhCbnTYURlw4p/sJBzSDx dEL7ygahxRKdoXmi14rHI0an33Y05cAezrffskLgCkF1Z5qql8dlETtlKZo8Fy7dagYrBK5YJVcd Ao2OtT/LB3kLWS4dr6Wo4OQLokT/INx3A2LWn1ZYHK8SPMyybjlLQwygcYK9JI7LrgXPR5o9fjrh 9VDum7X4XbdCnfEqJN11x6N5lsmruPhh6xSW1zRFwJUh6adRpbx2Rt7QRLLClC1tHeIZvJQlWdJG sDTxG9fBDH2an4bv+atO9180apCD5WBwrhw+/i9gtID2Z5rTVYBaUSzeVS7o4zZbXEYMSXDxnhRa 0goJVgggV9euZx3iPT+H+Nlxu6DVSSgGCstZ1UxUjCY0LClR4yFBsjeOyuNE+jYqik3QdotQhCWt znZwH9CtjYLx52ySJOvAK1zZ+hUF40uS47M7FKNMp7gU1IsMitEQ5jINXjGj06TXFGtytIlK49xx J8whFWigsELh+r6l3oriW2FU4PCeqVcOanX0Zm5yDyQjm7jun3NK4PVN2ahOfEIUP31XDAD73VCx sKkKw/HEfrkDxfCP2ewDYc+9HbWCHFVrKlRYGTLvlGqRRitBDWTBOKGhsALxHkoLZ6ghQgoZ4UFB Ac/cA+l0ji+KBHsoFQ1Guw2cqLMsS5MK+Ykb8XgAWvlFcoF1wXzIQCsKx2GDhw3n80TRVJunPpgH s1SXugmHrDAcL0JfCh6t0ZdUBVRwEvcLDVZWuMEuo1k5XWMhD+RQjOyvHMCgOjPgQnWxXqipwLIT NFZY0T2BBh4Pbrz9S+rhvOVu7cYFUTxeiI82PxaiNntxZF2mTxMhK0z2NU8hjNwEjZbIGxztxlvQ eN/NbKxPOpcoogkMaqPP6fnsPO48sfeHL/rLfKRY6wVQl6HI+tyg8qJEpW6FVfIr58f4CaZWKAbY PIU4choG9rQ8zOzDA/IKnR2D26fmkNYVVslVxoVayx299LvRZVEaPBrKmWtoPaGBKDExGpKyI1yo rYQvCoDkSxWVfgdBe87R1qbWyOB7BgCDX6i6TAlPLg6bqSggR9cJCg9OBxqoc1lPuFbXr62b+DvO VKx7yNjJLIQ1+opCd/PEWE0ydXt16fwO/roFwj0QdLlYcjITVihETnrZkAEeB9U059wUPoGLfMXj 0Yq6tx6em2pttKvXHsXkcC0Cmq57aWV1a3FCpeGLwuFU4R0yOw0uNqUGJTVFzVRuhcYPhItB32oS 3TPSxpme+hYoyosrMkbfUVTeGGiWpS0INk5Xse6cdk17b/LxoFhXv7EY6LeuNT3c3O9ROZ6iSB2h 7ipBdRdfQ4y4PdykOAmF7UOVlW5u2Za+zWupmKDPB1Fk2sicKKomdvvgLoGzHKXXRpcsu/NokrBD PDkP8bzpH89BlQI4pC4VoU4uvN/DcvyevzqeaHbWC+7ZYViOKseZJXdEP3/DmnZGoehs62kSfsl5 CvHkZrhldorty07xbLy2qJDVods+QEZXbYXe2ouWbjc0oFoKvidYToUEM5yfNnCaANnszIvrTgOP B7W6h56AKYFv4bp8xR3yOqkb3UTXIHMqWVvhRIRpZQetIPXSNMWgFekhZ01Tz880IaiHtsaQPyAu Dmn5EVmKEPGnYE35UY5GGb7oL8XRiZjABvUS6pKozg8YMHg8IrvcEEpqWWCeXyj5DqHkna4bFN9Q aUWCGFhPiH68tLJciXzHxE7VDFZtd3QC7EZ1FbcL11PatELjj9LB8DrRx74Vcuh18oX/QveMnnSO o1RFoHNbCev0hwyWjecjpRU/yFn+BJAcMDubplD0sJcfkxnyWp1vOzg+F+NO1XKPpxC00s1uy7TX xqO91s++RR0K2UsDrGEpzZv4kRasZ+bwwECAL3zNX4ZJUOz2QbtuADMyrcqCxyMpv8tXJJAaiwvS wz5PEWwl0Z8kpS5YGGVHr7FUdw3N86d2n6dQ9HBbTdOUMa7lcie0KuXC5XRCtE7YHNJhiCZA0ucH +itnPTUleU1v/Jeq2IIGgg0q/SaKraj6xsejDO9bQATgoDlu/LRDpRVW6io16CUDVbNZvZ70a9mu O2ua8VPnJ0W4FaF7urguHJ2KdxbUOa1QkYM+eadkCKl41A/AuQYkmUmN0hka3xMEmrInK+QGcdA0 ITjnemoj8fHgsvtlk0B7z3JlyHcUkA8iWXszbiKCguKMxVKHneIoAWebphi2ounJhq3L/Slo9n0W FKJv9KVwEmYhDCC3POBd/Q0V21MvHB1O6ktR97C7pAS0JfBn6C8feDworfxSgQIPbgNIa7MUBeNw rYQwQ0q251hs0p6jGaj23KQQEfdchFiZgkPzkvbRZgleu2erEEDeQE2YgkXvSqogL8fkSn4l+RtH EabKBKyvcFDWAnqzoCtlDjz+LyU/PJjVtbnlAsGEXr9WQsjs6DAPzkIebrluJMuDcVupBEXH7eCy U0Gl9We0BK+g3o9ElSwXwrpYMEgM4Dsp55NUlyVXIH/p97mSn0RuzIRtjkXqxHHHXJg+mfyICNHq IRR5VoWspNtOYIwUPEdJv00m7JZE5DbkSpoU2wYzgb0uuQCkMCgXoTov0Vw5egMdtKMJOWFIbMPq tStdoYI5bIh+yqZ8j6mRL3vpAGpADHnilXkGGJJsO54WcfA/fdNHKYrLvxQNWGfPYKAj/b8mQSl0 CSKVw5KYyfOqOszuHPFe49ySNeCEhYhy0xM1HLT0wjRh8ChZrKaDy3H+JituDhKRKqqfBfUF10Zm BQHfFKHHROYQOxb4BJ5U5/ZEykcI9ByLHxAAXK8SYnFVNpga39mKSueEMU2UIq103pXnEZTojXUA Lpd1GHKKhMmnqgepi0rF8VKpziqYYKyfnA92JpX19RNFZKpDoQ0zWDxXzif7hBTaBbH12XTQc1Ch E1QQrq4K5AGe/xd1X8CDgXzqsTOLwnT4zyCXNZdzhFhLuxIysTn/uC01fmatrKh8PiSZWdZMd7SV VSZsj9DkxYY863RpZTVadkL2AlxcZyuULHfoFIFZJgvnSLN9UEZzMqehhsz5Efh8kNLcuWIrJBc5 9V7gT05RuJ5ktVyryuZ4BrgJks9ARNPJBZ/t7Sd9LFKu/M/0WPqjs3n+ogFceWUacWLQRLr1+TJs dYDuzsTkK51R6EvPbwrqCXTEgdyUD7a0zpaWxEgdej7yE/5Fq+r0ynvU7KjSE2SeQ/y85ghF+vRy cWVygIhQpMeNzVYUtcuacyL2vKOf85U0eCI5587gx7HwOVhQB64JQKntJHViIfhNkawW+1eJ5/x0 SfS6QAMUuOC8PJ+PWg63NaNT/izxncuztkJRlsbWOn3cSJUlNFxra9BdTuiWfT3PU1hOl7FwMlA1 Rzu2YGsK/7fEUtVZyDRlx7GVqIx6PtOEuHlswWtQLx2sLdqelsVkp5BFqjox+Cib9YLS+HwQQtxW O0kMWN+p52dphRiXyWSZfELzYdyuWb53cYwLNJzdhzHSZimmJdnqvqMHpwvKHIs9mpNkbhbxaEwF CeTFmcsPVlHGVClWLScZLXNlcRC7sVcQPRh61cLng31Yv6N4IrspMutzFRbVEzmNlP9SzQq5m+Zq 9ulx/Ikts89VWFWXPmlp1tdq5bZIoYt49tzCNsRhXxi0gLgHgDzwr0R0OG+PzGJ8UVC04hE/ZE/F wZmgJW0pvOfJ5/+X9BkRwZzPVIX2njxmRqFEIW0rT/TiVdBcTOAGDit3WQX+niiAKSNsZrzUHuOl s6b2HqXxLD2/Tt2mfAtpBxSDzgeCrm6Baev2zhFfXbrltfggaOeAPrYuxjH5fHRgfVsvQYpwra+p CtmgleIsDFrNuXJmz6HlF48cmoYhNlUR3iWZIPdK844OnoKoMwCeRAt3cBqG9XOKJC2QtbgQIDGL +Q+HT9kPc8L6FXo/X7EMO7JK5fORSvAvCYRzvk2q4ttMhdF7Yk5I7wfm0ZkBBmWkKnkUlJHq5Ovz GgxNPk15ZIz8jM65qiw2DJNTTvgRBDMD8LtiYZdyWzU09OMXRV2tSwXlIHvd876TxTCc63Xw+agJ 8V2XKXSyuH5eOfD5BPNOUP1+Fbemwcto2VFtqoC/8EUVhe6D0ISVVF/XqKmCpj7yQk5VwRVnIWtF 2/Jk07D1SddRaDR75Wj7UZKsslPKgYsqt5O9irO+kBYGRp/Yfr/MKDJJNbfmEDp9Lmoqw2vVS1ii hVJ0SzF8IXB5Lp+pkBfadFJVHeqj3kMdSn2QuwWcckJXCHZCPKloH0B6DcBTVsSihCe/KAApMIUG C1eDggVUsUYX3uykuHw+6CpPb0WwAw1EJefLpipSM+/y2JWZHrsSo+l8RxjKGiCmClpHvv/CoH2K OjuFpeLoFNqz5c7CpTh+63mNLF7DBKQR+sE/xFQ7lqpPe+e/zIjnklHVcqMqKMo04TzPB/H5SLW0 fMfsiLspr+1zFdJDVcoSSV3GdtlLWagEGPRlYHcpCA0NP5dKo/BGv6P3SwfI2nIe3LBMVZ59PpZO u4DYVErkW9Vv+UtHwlJigbDU3nfx3LlSnJiB1dSb/utc17+8YIp8A/bQ9DPRiCmzRGeMvmVVmSZu KnNn1ixUZwhcP7PLJZVqChvVFTbanuDqbtSK0AxcUGci9GxxWQsNM4l/oWs6tZv4TX+6XFfNVfW2 xIS1l3LnmiqfDxbW8sBKrUMgs+e12w2MPw04M1I2zgdCaMObAdaRXZgTLgA+V2HJfSkC6NqDHG2u 9lrw2y2TTNETWBn2rFFCxcoxrV2sUG/20pG9FyeplztY5xR6ZcKZo84QmH9a9eieV2jCrzye8ypE pVcJJRWv9rWRDFZ1wusqJNqZqzar78EwXu+WLst0l+PFVe2O8gJxVWufHLzbHkRagxjup+Duu0Ss aS8dyb0ybR4k0HDQumqUKda62nw+SG6uvjmezIpXgMi02Qo9QIkCPserAayR3XSbrXMtGS8LzYDp FazQBNTDAElMafSSDCzdMspv0MmH3Lmiq7SJZK9NZL+n6dXspYMiA/PlRwy+y2DvbCfpREMCsPL5 SFtxfZ9Y6HecWPDOVRSzr8YuKiIbqCSh8De8fLVJUCYYBiqkPlchRl34qrJlB8rRMkGYWC1oQGGu CpwGGNefY4w3AWjlmZQsE3hjgzCHPqBqwxTtwvLsQhawxMDuk88HldH6ra8IK5Yvjl8ObUCXyjG5 ulVxGclP91TsfoSIS/bTPfABRVSrOWp53tEro+ALzknXCpgyJbXxT2wErGRFfaPAB8srozXZS0f8 NWJiMrXeYCj1w9rxudJGZe0N7sObH/BP8BDfEJlIAtLGpysExiAjHoUERgQOsFdsXutrsAZhT6eO W70K3EAvGLTSittGP7RaJmkUgPXdIDLVdMDTupgenmVTv2xLRclfOgIzmGqgNASH6d4sQJj195es N41oR+Xr0MI2nO3JckJDUIrDz0zwhETPCRhnlx5665Y6D/4YjN0DR1BoAZjTnqE/6sP3K3DkbYlz BVZQrgwyxkQTY/LYRHXPVxbNBnJsCco2zirsTyxoqHTpcFR4ZLD/d/50fsA/hRaTRQ5jXPxeDm1B ocaItoTV9AA2r05KTu2SbSudkTVZIUTGzncTyOPoaGxK5IJ2jUrfpOwQJquiPLrgPpppjGLEGpVk Ql9QFRqoH2eDYT/AkmMvq9fG5/+l6s2SDPS5eruFhtAZlBL4MxXzKEZT4VqltfGAiWry6lVgDYo9 KMFqtUY02rqCePUsnVpBBbuwN0kqQYMDLDEkhld4ak175QD+wZnaslff116dThxSXTxfxOej0P36 q/PSOzNwgo/nJgyppJV9Z+ZvzAlbWq4yDDGWi+MrdwvGEujGdbeGzrgdVcQvyKVoBAaiFXCmWlXA EqW6pfPojZxskxViZRbzZymYnKGw715B5SRX4WzJpDeN8ucLvsKDm+JT9bkKo+CdVsKDGiKWQHdj kUKtOrkkc6FhJBPowCH0+hL17OjHxw8M3La1Jn2J0EGrEj878WgHdeNEDXt6rb1A/ljfE1yEljiP O4gn2WH/y2j8nLp8/l+nlZQEF6Vpx3MPvgfvEN9EiEVaghX77tl+wrudHTSzXdUsBzahV0OX7VAf /R48JzDA/o09r4V2Mz2c5KHGnL0gt0ONklC1Yi8dLSxOE5mOUNqZWli4BsfQwqp60/+FZgMI5Xmn uwlDq1ASzCQFbPcgbjwd7We2DMMO9JQZz+bQK3RI6WXIokmjrauT/JVSwTfsbKzCco+JIzbKmbiN AvljtN7tlSPhRZaQt3zBton1ooJYpMKoYntgFvotij64AxMC97sDQ69Qqkmj2ueAtbZr82qffJxw XKFBZTswMAs9ky6G8lkq4462qvYA73JTw+QspLMLdQlOCNUPmC5kgEAu9b3bOweIBhmAZBpccNAW HEiXzBx78/lIe9GDK+Xam2TeeucqNgwVxcak8VDuK97uyt0QbLBKyQ7/CB1DzfQqN/HgczNtdxiJ nzj6xAVNolTnPlQ5AiE9hBQAAimQv7A+ale/KzQNlXHDkJQuvJJ/smyv0jlft8KrnvkB0WzdwJ3q 6NbVzM/aCpmmRRpe155+E0TFXbjK9aGtX/MVRe5DjZyzScodb5d+UfyMfecEWbItcBEhFZX+vURj mTKVuvSBeyjKUHIpMndMMC8E9dsncyJJYizUZgL7UGgX33CU7QwSEOpzZoWxe2fRb3R37c09WSGr iTXNFJqgSOU5gYPoXV2QBLjjJZSgJ9WIAKFCzphKC1HkB+mt0q/0ylMhduE3Rdg1eqpBewvqL40a xjys4OYqs9W+Mz8hghe174iU0K7y7MUoeCf8RbwFJdE5WeZcYYXmNlgnD3OkX+gk2i2JHoo2i5eY 4Be260b9QnsRomcqx2AGie6BxEIvt5xMkaoceIkm+kaAwSyN3WbZJxqZoGkyJkWJNDATRe39ipvw kAfADwGTT1YUvg9uxJZnt0pWmz5Z5xc39kQ7S+Hi1gI/USRNqmAteTpw9D49VVP20NKCC7I4OJlq 7DR4yu1y4wt/W35T1ChU+4sKaJstpG4rq012WCGXyQ+INE7mV3kGAhETp4RPViyXjkYFjQtN7RMd YZX9soxrWfZbzcszf5iKav9ZU7U8TdV0JupMHaAlZ6aQ51Yj6ZKP0VDGw3q7pay97KWDfSgIVpFq Vbkr69wmpBHBJbrz+WAXXldRPne280aN2ucqit8XzcM21z1xWGe3XJAfoL6ZXdUMwoztwjB+X9p9 DMlstIV1zt5V6Mh9duFa59oSPrkTAdGwNChr5DgsFd9DY9HOXXgywuyDeJUnYOusYp8bePH5ICbN FwEiMDNEipDnPxsxBr4T2iBEEVFRe5t5Xx3E6zHfWai22Xz9HcOfxK3uOyqCmBv6YBNH7vmXoFks 0mBbpFWew4tLKvuplZO/dFBSlsKXhL4ylGCrtb5O8rJU+tuTHxBEEJdKocS7QlB235Zh6DEKZjF8 jXjGU3JeShX0NSqEh8DXqPULBAlMRrO1K1YqOd/Rqw5nL6NHi2MroerU1a1uFLQCDGNyH8pMrCx7 5b/YzWQ+cZAl5Im6wP5VIxrohsBk1ERd7z5EAWCsB/cemoziPDzhKG1xlRu25A5Qc15OXKXusGYq wr0v1UhnVf2Po0NmoDRPo21on5y8GcJ6FNKBKg6lnCAjbqnhUKAVuIxmuwzPUjUUN77uR6rXRV4l kIvgBwS34ZX6UhSff+Apf+cqiuIpsAFtgeJIkH0tyEvr1fJoqH34XIVR/LZag4sP1WuuffbgWlNO 7R1W1qtLjBDx3cSFDJqyY9ZSsVeOXHuI3c4k6TSG6qw09IJciv5GqSx+QORfsP6/4x36qneqogC+ Sq6CZE7DQrbqU8UGBwN4VgBtqsLSO7nNJ5wUJISjb0Ag+RqUfplGI+vktcluEl0kAZxxAZSU7JX/ 6n+dQ6/6oDT6/LmSQqkZSKzQbDR3P9zVAUKjYz2VrMBu1M44qBqZqs7A/Wdt6CooZGeIVT3Eiv1G pfiVSeuw0dvQZ8vhN2B1plA/XFbkYE9sHD7sbLr6UK32zn8RTxCG+KC5gifGuA6ROXQcnb8ckQFX muVrqqLQnZSHs3W2lCrwj80DLCid2lSREK5LMLAcvVD3tIxFsZ4AC4UBoDNwim6IHy+uPIBcSAan YPF4JIiU6ISmo61IMJUII0D8fpa5Qc25CQXBmuYHvJ/sa3+3oRFd7nTFGHLgO2raX+eIcoLOTMS4 Kc9hm4HRaINRjE1WFLoPC9lLT3e0yTrn1UaNlOrOIFYO1mc6K8znP557pQE46y374i8dRaOdszTk eTWkhXLy8nNBMXI/SyDz+aic/J0TZgLJkU/e2YqK7yRrneOqOUEnl1p+XAJsKP05L4Raqs1WBJyp yzTA5r6jHVh9ndO9LMp8nB2CRS82L/opi6S5tC54e+5s7xz1v1D4q5MLjINaOvBYnNIq7JvP/wtj xKSQaNBzodyb8PMaXhVs/6lU0NWLwVG3pbXrXVoABOnIeg2uyhXD7mOnO9o1uMYA6ahJvLjuZYST E9qd2x+0sz0cj5yp9fR5Da2KC+yswXyQg06r8895SXpv4emXWTrvyJThZxhdARpiG51dqUe+hlXF YDbnwDVkzHd6A91lmUOh/dJNnvA1qCpXaaBV19+bD6YB9x/d6DFDGZRzQrZPTAX5d6CbeEzJIGN1 boDXKTrRJ2XCT8p3B2H7znkuZiDgu5/XeKrIdEtTxPQFeTOEMzRFr9FUyaKVArXpIJmzI9z1oUkN G9qE42oTvsZS5bZQl1kec7S4c6EzuICHORcp0rRiNyRaqVAdO4soe9gpq8jXSKq4x/ZubDZzUOkY kllU7Tpf2z6vYVRRJvQsIqgGdTq7cIZeg6hisdeAzaFVQc+3uRsN9G4URNXG2xsz9BpCFVRxzBZD 5iEc7UQ6u6An2s2C6wyIqEov5z6EMFkb1EOwGZo4Jz6vAVQRWQjV8EVzDFDWi1YOvlAA7XUO79f4 qVxNQqj8WaTZUaHRFL0GTwWdAzqvsc8hSY+8LH05d0+2KTqLKFtI/ho6las4lE36JD/Q7AR7h9LB tOhnN5zfmy5mGbUJADywMNpIV0Z9Uz3uNXIqrlXRM3MXDsKFsi/L+ifa8K9xUzF4J6fEjq2fBWyW 5ug1aipmDXwWYL+xOB0DhVbo6aIVanWT32COpiP3rAlIGzIrdw7E4g3L6GyjBS9cM6fDCN7mD5Q0 vVWa5MoazNHiQZ3mFpcSEDZhquCZQ5w+hDU/r/ESt037niScbCf4tUl6jZZwymcSkhiQyW+tu+zu eV/XGjo/2DIw6GushEmyHlZRh5Sjd5MhEMIe+QnUT7iaRZ04fwt+1sQpQ9vTdxtzu9dICbuNC2lN 5sKLFjqs1i36BMogq/bPa6CE44zaWz5JSPQnlMFNEzk6siujhGXq30hVqqUqpQuJTSv72cyo5zVE wpFtgWRt7Y52q53U/WQH8IrASgLkgjqFvdOruiJCaKxGWvRN3arXCKnI+w718qmWTOJNrJLd6sp/ 50zj8xohFROw4aSwkAl488TlqGl6rW3iOqzW4vNz+5yrxTqjZY5bU5mOpXqtbGK7F0k0pvSMNk0A NiwYFyDuXmWlwjT5HB5gn9CJGuJ9DiteNKB5rWtimjYLdI2zlSmZzOMlnU8owtxBJTWYpH6Xkuaz UD7Hr//XgmYR6BsXSk0+SeMqeSw67ajRDsKKlDyik7sr2ZWCrkaHJAzaHoOptXDCJlF2O5nv6Lsm Cs9caB61iV+LmXhhVjGbDqdGA29MUinp/L7Wr8uf10pmMRbf3W+ZOhlpLw8B3tl/xbO4XKxkAtm4 4eyjUi5RsjPtElEymif5OVB10UdvKRQgjLa48DB4arbnBlYyU7kKqqILwyjjfQ+3vZAyhgTmhwnM w816TkIBqRX3zv0rxtHgtLBlimCSAC7NUxRwswQ+GsmfZEnuMUx7EG09u+Swoi/3KJonGUEOuYZq 9IibNuaTwdKC9QFZcSjeVHeeOflB8oYxeTyfd+pfcdoDS682MDY8a790/p0nyFyfd+YfTpmUv4Ju 4FYWhOpsnt6jbmQztL8Af9i33az3bDI1UExjvSCzYJ62gTaq6nMcvYQJ7QnaT5+L7iRBwJXiousg fS8UTPKXdR+dlD7vtD9cOtxwI7FRzEHTBF3RLhT/5uNByEQLWcyKolE06euznqLYm0n8PGfhdhzQ uCJohcJT8lMhxEI4oOAQ79Wjpn1HR/AvAH8onwNKDYDbMixgoSvhviqQ+nTVz1T1ykEOl9jv7IIv 9uK5xoksBhP8WoCGDePvdSMCwYzPLgYJ2CbqPQJHgsqieLkTZURbTpREwZuK4s0rvdFEDRmLUgXR Rk1UO9FKgeQZ/Qr6iZSSDn3KVp7ABSuRuGG1Wqaql9H5NKhxvZdyXYSXmqhxUoYtVb2EglwwUaYx bxuPlmkAmvpERWF472IkD5soKO5eHncdxuM+9231iXqPw7PaiGhDSH2QoyW853c4t57U08/NB+UX ax402Q+cD9xXZH7Q8emd8IcdTgDQniwzcVDKiwNPFfFRyued78e8o30vqIzMcmFl20SFoThbndjg T3XJWA7F3FUGq7xu+fRO93uKJ5AQveNFop/zDsqfJDmQJGnIzo36KhrQAFKZ9XGWjEIUizdVlij1 pkELCoKZTTD8vD/vXD8mzl4+kSkpK8XPPEXR+KLlExRFDciyCYxkNbyU60lH1S3NUxSO25XXrWLZ n4olpF7PhTfpzg6iX2cmDdwffB3QPKD1qusCzK43fp+nc8lhEaK/x8EY3ND2twJB6nw8CDSXx1Cq BK8fGrraNL1H4y5Utepw6tq+iuAnqBkuvZTGbRpE4fgQ+UP2sTbavmNbeYBwBGJDqt38fwF//aEN SgZy6nqzd73x+zRNetGNTMM1Dtp30KVjHlLPnfh5p/ghgNq/Qk1cgB2Hnc1TFJBLbXcR7y7S2thm BgljZyvqNrr+ajmFEblS37WkXrLWVS85030mLS0d5KiqEOpBB+8BRrJ6Uo7UnxKoiiJycmzPMkAm rIHzlIDUN3wm4BhRSJ5/FVMg+3Quc0+B37l9CAyo8nIOIhe5Lvw1lQMTRKoceHnt+53a90xTo8Sf jRe3Amp7koX9yVe2GT4VYjYSg44JLSkrOSE7+Lwz+7gD2NlsDMg5CLaCYrq4WOdM/7wT+7jtbgTF tJCvDca3zVQUkmfO1Jgu33yizu3WWHUYHgPQgm3ly3diHyMDD53yHR3zeq6882uAtr3SyXs3DhfS azEirOYqeBT1hl456hRQgLAQE5XQUWmMaumiOChxiq52+7wz+3jp9e9LD6jIhXzJ5ioqhk9Jgk93 1D6RZ0nefmo33ARCWIfUO7GvXCdW+sv7eNPhMU98QJbMHlTnZ5rHsxxThjZdenzEtKre43KpsS56 B2FAOZokIHRf+2RHGJjhzzutz+b62X7nIDivuG/TII7LM+mixbTTZ2LZVB405QILavXGyjulD98f i12fldTXOdI76wbQyGRr4Wy7Se10OAotNjX7o/HyzujDF1HOci0KgXJIdoYP5QJQlfy8E/qKfDef 8srqUALZd/NFYfkSgroXL6/ATcmizcT2vtD51fOXdzpfMQVSgJqUD3P0BTXbiaDO7qMFzXmryvwF rq8IDrCmmb6o6CuDrHc2H9cDadqCFsxJZ1paGQOgKzFPNFiiqNyg+VhO1U6phcmyeQqL4xK7Ltvn qbduUXnp2o7Ih+ty66d3Jl+5PhilyUeMoyMKwJot1MFZRNEZMD9voq/AaK2bjrV2nC+9chBF8XRq iyrFHNSYPPu7JlU35/q8E/mKKRs9URQgdWBq2Ty9B+XQN5RVdGp+mKPFqTRvr3nzYfzWmqf3oBxx hnq9pqzUH2WlE/SjoVkzO5oQi9pVjPYsiDkUmzcPqCTBkqVXfj+gFhfUkG8tB4EPd01NOMSz/T7v ND78xbepSVVwbGLksDZPUVA+KfSZu/uqnOTMhc0gwOHn04RujA7y96BcwTKzu9XvaFEU+mjnh6dL +4n6SzVI58CZtgdNmMsF81Dk6fPO4LvTVOQZUtArJzOt7g7kGcveJzz7vDP4ili4tvHc2LcD5mET FRXJG4vxvbWbDs/uanm5bSOGNqRtdkC9h+UXRLCShZ3pdlwqjOkmbn0Z9VQw04Q7JLsM5DMJCxYh xJreOAg3pziOvPA46Bw/15asX9G5+LzT9/i4h1F8OdD9gc2xaYqi8sou+WZxTef4Xt4DriNtn6a5 /Bx/j8pveSUN6uVptPJKB+awZCIJKiL04goKG8gZLMBUHk1Bon7fiXtFMFAiWIsPQluklJl4ASQ9 Pu+8Pc/+v7p3ZyGB0mad8igqx7+HjKW7W20jpZJhQZMEDmLNDflPtcrDqFy5sMWRGm2aTsJwQkP4 Z8GGdUEZxljGKL4WdoXx85ucGf7yzztrDw048l8oOGSDEBe5stUsnd3PO2nPb2V1ppgLb4Sad5pC WMrQbVdv9LTL8Ohp36ZLBytX0xTjUmgoXOULqdGm6Zxt8JgH6H7ATAyScAJCnVU1iB+G3kbt4gnl ojf+a5qws3xQ4ameH1UXfZt8PDrE+1fwVBj63mj8na6H1VSJR1lGjT0La09rulTZKRFsP7lKsene 2Xo8m3h47yJ+5xY6Uqlwgn0UCZ9wqz0pjALSM5lnmlDngpuDn+Ft6IWDDK8SeoF72AbOUkZsarzG Uj7vRD0e4f1rz1XCZQDH1CxFoTgAlyCpJwfZQzWJKwjcIEpGAGTfpR7b+UnRntORNO2ImsMzYejk w9QyySeE3FDjPxZcypu6PNlxYNRd/rwz9G7KAvteFjRhdNFVqIMtIx1DUy6fd4JeuUhMnydcckDO 2DxFoTh65FDOzbaaYAKzf/5LFm9QBIirKaqQJ7bLQQ7od9Q8nbsaYneNZ9O5DAqFpFh8AQIafTeK l5mhL9Dmn3dqXnHXzDWliUcXdx5NBTbXwqiM8nnn5f2OxJmjo5M/vPz0TsuzawMlzJYcXJ+3k9Sz chc0OhtckTRLUXk8KRBfphfB8cYDmUCwwdVE9QMJUiLYmuzi9V4utoAJyzsnD+ufR3eDg6MNakyd E87YQKnzNYOLLu2vOBwaoqPNezRFcbiVfkTwVEg+LpgH7Rpnxi6Lw9/5eGgXmV6njJw0PsVxHByT nlhnm/Qpb03oIdBI5VyitbdL9SS08J2OxzIdkXOJVU0OmqdzHyimPWl1/7yT8bgwvgMCmOksQJZt nqI4vE5asLJlpjIdPfNUpttu6VspQMg4/J2KVx59xaq++clC8dtM1QkKRKYo8z3wpzWZ8xS2iDK0 jqpE0a2caa8c4efIZ+kkAg1+rWYH/H3OGrLHzzsTz1G5d6IAyd6Al9pEhXF4ncp6HfgEEy6r0vXq VGvgbz1yCsvjakvBivaO3pY6OSu65BTBOwlYLhLbZ5cyU5S01tQunBdL8fNOwyMOTYldY+Mcso9N Mlrgx7E0CA3gzzsNjxnw+IrEUYMBwNgnKorE6U4x2+pGPgBnIF2ehjH7gadfVh9/J+Dh/YuhndWW 4ng7wtQH7yJ3Qo80i5JOuAx8joCjuytKegfv/Lvi3jOjSPqneEf4vHlLRVH5XJ939h3e8zbwmAUW d1kTIjMMxaUgM9yPAGycKUG3lijoQueZOslUBCQzDsUFpZvCG3K0SgHkdnPSPJ1NAU6LHFgpxg8y MW714ScUxbM/79w7Qil4ksNlC8PZeRI6OL9GZempn4n6vFPvDPj6rKcM48A9b//unXqHZTgJoeO6 orj+JBHuPxmBG13p2eesVNLEgnpn3hFxo/5dEgOWox3lQKWsQetj1NT6MgbsboC2ndPpnEb4Za30 tCi59c68e2q+lVpuPDvlBAZxJPE5T1r/eefdlUvn9PgJ5in1wlffaXd3QTWcRVhFdBhNZs2zulkT QPIJiGMuqKg2bnL6tZqKW31U3CCvVVmixAnVT0ZGUe/e+fMgczxxMUzWPLnreuXgKGcxpQ1K3zUq yHJBbZxyU0u4ft5Jd16avskdVDAI2LF5eo/HzVb0/NipGucARp3GOairurDBRrmaV9475e7ZeIPa NjZ6oxNkzon7DRsPkYHsB060BhIncH8FmEzfeCRmvHPuinhgoGSkO6gzddKi1QQVK/XzTrnjkfxd Gkf2scsFYrwT7jzShEbGlfiZ7tPQwIy7JbptyMN3vt3TwdtNzFeONk+wy6lwtqCe94KxG8kZbAad 4/dMU4Kfr5JglVTe6XbFhUzrSVl8UEO4DOO7Qpro8862I3Lxu9GZEz6m3iz4nW3nF95o/UKik/Bh uPBmqw6pI5eMF9472Y7rWRTOqQyXo2fBZ0N0NIKZBSecfHIZA18G7pk/lH/wCh2aJ593qh3niZho FQvWLRacU2JNaRn0PT7vRDv2SX2emvROaVPrEM13oh0ewyoEjGvdjrC5tIOAblrxrbJTpnkK8SqW By9iLDR67amkc6NO5sHn5uP/qs0ABBSxgHRbs4hc6ymqjLODACvl5YMSvAUSJRM80DffSXY83b4B 9rASmKBT2jRFETn9v05WYTrn6Jrdgu+u2zOXVKbBVd4JdpymxPQ3Ed6j0afp7KUTZxNRVxbAwwKK NWjDDur7pOtSlAml/bzz68gJQL8tA5Blg1UyT/xBH8cz9/PzTq8jQv/7toMO0QSXyaYpjMdpYJ9a 92rBdWM/Xyxb+8IED/sEVZUWo8cFVykSp+Z4GwirwAYQp9MJKqGEILgKQF6DPuUpX1UtIr/wPcFq EsfcqJszefEJna6lal3eeDyIMvt3fgeAA1iSPk1hNA7w0IkeTGfs+tWyqLJ7snIBvXB0iEd1cQPZ ryq7Po4+TcjxCriGZ5pge5VVF08gdw9oK0Ou+IFnbr1xwGrRpkMX0AYdTmNSaj4RdYvHA2BB/SZs oDAPNptTf6JgnKQJaDW5TCLhhSaEQRAfY6fKnhG5P+/BuAGsz+Yqhs4sDzqzbdwNmWgVFrCXHBAr 6e9wVsT/WW5Hw0B8zV9czbkJtudgmJ6ye9fRtPn4/0JrAcByUG9MsxRF4sQdQUqt2SyxsmuzNOjR gApd38VqTz1CqgjBdDISVbk5apagmH4OETiYw2FngjWvhjr4i1S9h+TYrRWggoTvCTIWsn/mHsUH TVOmlSH3UZ14PAgwyy0VEO961l+vF9HTw0i8MZ9bo9z2QbJaQctU+VfxaTeLCHpUF/cIkzGWjZax nHTxXJqQHEa3Dq3fIR1Jdmbg4fRzzq55awWikkWRuLB0FPTGQKlApiz4jVlfOWFCwfPvp9O4RRWB fxZUHeZdUSF1sw55Q1uxYPScDXQIhwP3YchrWRu4R7H4VPu39a/Ry3QLpRV0wfvcuZztRugBqsGD STDwejjGTQCDSXCPYvHK85ufcYaM60Vgw4WuP0/1cwTyA4Kp2hcnxrXIZs8lJ0YMTibsJ47t2Waq yhQF0VOT1ArxdABiaaZioIpg0dbB42gH+caGIsQChhUn7tjScQV3CiKq9F6et6DJwg6+KOpwcqZk mrao8i7zl3Kunipzrz3xfHDjje8C+WBb+dZVehiOD9JadlqGY22EMxOfCRiIltS5a5kGd35SsKSk k79MEnE9kohnc50gHxBmCvWcU4amdoCt40iHLzK8Mcc9pWrWKwflgipzK3mhIMvqgojtNMQxBzwC z0eb77t7h5U5yqW49LBEjqRhUpg0S5gU1x+KBBWVZpJcH/FInOZhPC7oE5A6d7yQHtL7weVcYHWO zUCzs8gLo0cI3fYvYdKpV46Oc9p5THJcYHfxIzTa+WVwGOI7J4z4ehSSp/Gd4jEUSzeI6u8hORKe 5i58+T9WtZs2U5suOirVIdljBapHIfneOp0o42Ojg8TYahPJZQEaOHlHnlWBXVdQTy1jp2uAQlXS HhXJa1XTRXoFtCxSqS41Ard+IEKNx6OKgacufOysvFkvVqVHEHJRN6oC8U0hNPQy/5OcuoGiHUxD s5lp9wir4sLcafnIs1KawBuN80xv6Aa6EatVfbD8WSFQBVXKB0N+pgtfFMRRkyWV0pYPqqzAcI1N k3qOejz+v4Az4V+KibrXXhiVD5Y0FUjRtnAuq/2W3mlzDMeFgnBTWy+qkS+h6NpUU6E5+wTU1wIX lUrUIVVZSxLXvAED0wHtqYvCa1ITI/W1R3CVzj039zOoRt4KMmP+Y5l4/F8lKArOoJM+94Udjigu Z3xwzoxsQAzQzavVVrAMrJlQyrCIc0RF8im1mdW/xgcmdg5aeKV3uDAAYEDt5FVQAVqUQIQL6jQJ 0qw3DkqalBDbMK+yQeinoeOVjc+Mx4PAfHhwIBFh+AdADtnmKYrM0eckPLNa0yUnU2hFGj5FVaCI kTHOR1wj147b/RltQW24P7NYCyEV+MTwvAeWkfbxtJSbnucVKvjji/4i5rfBuw+IyB+mehXg/cl0 YDTMVFgk3+0rjBpUZLmU8xECyKlfsASn65R779adOr92cwAUWwyaqKhITlO+vKg1wpEt/4FEj1Ae 6CajugIB12K9YyiQDKRubbp7RyZqC18ToFib2pwMzEevJgXGxgTR3whj8XjUc1nfB/n5ZVCb9lmK wnKI9EG1oHsNSnakRNOtVNxLddDchNsuCsvtfNpTYIM9+Z2cpbNMNlbTooBfSlXBJqTxqazUfna5 /oRUgcHX/NVwWaNnH7Tr4LWtdBhKhyMskNfLpVZfdFDK1i+8EQXl5NQPANsudaP78VTpS8rV1Eb2 bRcF5VPucTxYffTSL3nTlRLJENFp3G5giZ9gYkERv3yxhKk4ju8Jdh1m6BynMO04Q3UuXQNpm+2L 86NmPB+sp3uOMyc8n0apRZunKCanbtVYYlPzRM/btfvgOGzHE+iFNk9RTI6cOr+LhuGcO1uNxboT oaW+i2inaOhCGhgMk8vNp4bBiCrkoKzB6PkZuH3QlzUM6zn08HhwNq3ydTYxGd4XEz1C7Phk5Vdk YF52/GLO0mq3c56yY1ZGhB0vAlyuZuCm1q8W5D4fVehrA/ZJh428FH3QDD27cQM2cpHjCE/xNVH7 Djg6HKca8o98hRqPQHxfw9kUBuPru6JZAPJNF043ovo4NRfONd0cwlrJ/FMbYa/kbQScJpqlKBgX POyE2RIXXZVooswgE6FrOrNCCCt2NWdpMK2EMt1PpsSsTROCN3zPH6FTB6KKA0IUwenOEUMrRaRr Hc//L8wWkH8mMF82T2EwPun8UprrZZ4f3XQNKxgp2nPAiRlVasTBuNrBo9c7WtJSJgidqKtB1nAB Y8gYE30pyLc36BnNC7HfjDFHGIx3OtLrritwEdHOO/+EKg31G05ahA8IKgbVESumhDR/6KthUxWG 422KryaCIrC23UoG5NWoI3zi5mQd4REWyeU2UfMqd/TOeYKWKGrR57IrsIswM9BmBXXIZWKazEVB 53jI6aTJM7Q12OpEeUwE6gKcGc/xk8N8RohZmd+J8GB5p1wxmhnWybtsj2b5cbHMdT1mnWHWoKy4 lOHNf0gabjO74Oh1clDHSss8otAlTLrwNowsF+sVaBh7D48k4RliVuStrpN8+kle18qLCGWkWfUz I/z4vJiVxtXXfmhpY9MUheOVe69SHvI/EqdzbE+Rw8F/GJIsr0HNsFCuaUIH5452kqPgIZ8OsMoq lFGMVUbrBLKw57q6vvTLwhdFiTDVaBYjKA5KhHFXECYDbWs8Hp1Rdz0xwDuR9KTBsyYqCsfZVJpp bQ8MBs1KdZjTklbcV3D9pZQV8znNyquuO1reAg5ixUyhstKhlcn+OhQPUf9FxwYVhYvtodrDjCvl LD4JHM1BocGivBvnbFU8HiXC8ysgRwtxt3vpzSggp1fiGGxN/scanybb01Z1jME5OLuhD2dYJ1cn YTapQHK0QDMBm7mt2wl7kdVc43CCtwHBqvHQW8gqmzFkhYWCLLMXnBLEqcBcnRZ8YPZMPB7RW25h hZdygpBpfpTXooicbq4n6Rru8YKmrcVQYy1vvUzILWmiooh8KCoYbtOYrl5WhorBef1MMEZtaOXJ Gw5gnAw1sJ+i8EB9YVp4zZDQmewMzz6oGbzPIUrg1bkRKx4PGp7tWw8SENH5wMhnWCUnqA7SJxZF 0QRJ81SKkfa58UztYUagFTN3mW3sO9oJNWBGTmU/wMMGBDRZMJgQSl6IM+kla0EUDT9nFJFXgVkH 1xMHRQZowEuc9hyCePxfhTocC+gI7EfEYEaQFbMa7NsjcnnlCh1NUjJjzT6Xz1JUIl/ZTBnHM/os IcagKwnyO5aJKO+wgGI5txsaTOmR0d72xlFfmPkdqPAcikLyk03D+pILZPA1o/Rufl13BRlwuZCV GZI5M8k/Zbn7zVrem0J6V51VJm/ixE8KpqlIgWYKecjREZoQGy+b5LtB4MeQJjR1LUAGynCWuo2E rTcOEFC0JiGh1wbVC+ay1QR5MTwerKYrHaLuw8mC55XOnBFkhX/UCTO3e8em5NprJ/euw84m6FjY NEURuVkxjiZ9SI4eFeSzUGh3SPHMM2fiBpNrgWbMIiPyUlz8lQNktMAqW9wNsLuyuuaoRxBfdy7A iuf/FwhUPa9Nj0371pjMKaTY5byefCc58rBuJ3MSEK6JCiVWpphS8iXW6L3OdcLKXegP1BIMuyon NYFfeyJ9GE+le9sho+f3/EXK33MsHwRaOYnLYiMa3nx4PML23E4nq74ZULGLPFxRNM7yG/otrrEy 6XKHfXcSxOU9YXijaJ5WhFoZ+tvfFKA6HNP3ICWwUyKYRQX8K9Dt2Mjyr26ItAtWiFpBQf0cS7v7 IEBdLiaziBsHjwd9zgvQlEvc2bwAC9oshaiVJuuW5rVxs9uYki4onggPR2OsMBjvFgrY9kvX4wZU 6Q5HJWnWrsmgDKdTZ4MJIlCIdcyDmMWnFVbGFYNv6q8NepDpEO8QL5cdUC2fFcXi8gi5qwnaT9A3 8XkKhcarvFqShQQnWRqOWim9ZyduNBc2WiFqZYlRliQWvRJv68ZiZoEl16QXEPwrM8ijmTYCOLEY a10HM7Ctfhq+J6iN6xRfFA7hIHQPillLy2nx8SgU/4WoQwciXf2nFdI5K1stYxdfTlQNNG6w9RUa PAyKYoIVwse7b7pyR9t04FTkk8+xlpmsBImYALHlpPkq4lGPCYioW/8ojm+1OmEaylD2HOInd+A/ gjeD56Mc+Pak8HontaSpr7fN13ssXv4Pxz1CpmlllSFfaBQLGEAbEgMO8DZTMaFT2jPFhNfKl/Aa RMXqZtt89u7k2GGKfliKBYXGC+6ZeuWgVKfdKme8jt6xPG0a1PLVuwBCZuIT/kVyyY763f2qr60o HifXqgNqq7nqKGxYtQ7keketwO9ecxXrq8gidzlN+oLIcfvtSVcG6vyeA5SxARSNIAJF7ZZdPW8p iQrtK0KtLC6rkRWYZ8sjW4aSmior59TA48Fhvr5DA0TQE1IiNk8hiFwFg27mGRlMK69qdiK3VKqD DavmKYzIq+n0CNLb3Oodawp+CG0w1MSEjWRVTQBGMsTgUUOtDsXIuvRifRX5w0qtrhJZgUwYlqME y59cu+HxSMFgfM0Tqt8nEL6XXlglR4g9obns7LLlKKiTFGRnlwF7avMUosi7ya6p88LR5ukccejh bUEQ9zCYIn6HSqvTE37nUS5kBXkWviiqQBGyIsl/uK//iBxcTsJN4Bh8HfD4+8ajhMCz8U7ce1K8 6qW6FfM6keJ1Neuor7Jy+3F9lStslFM1fZUVlsmVANcmFgdH33jpBDtni7Bzfn52ehljQaHReA4b pHc0JWVLuFC8F18UAMnJfEXl3Qdhe4BztGtv8D0jiIHneDz76aRz9uylwK6wTI7A6CSnzTWRGw9H doVBGLepSnubQcKKw3KVx5OKdRx9TW2UWs55S+cPmrypWMfSc4HAYoG+kIPJSU1YoRi5qr+9bR9U 1WTbnf94vh2PBxHC/nVGiTt5sZo7isvpcwtNddf/XwrGkb9A1NsmagMLx0hqh9RORVDNXKzbdbHu J9QAbhbFI7A6gUFc0j4cVJbv2ordyytyR99RZN5ku4UojEP9EV8q4TsF1Zx8PCjX1dvwVPGq/VCc 3ibqPTTHY0PeW7cKtbZP1OSNwliqj2aw+x3qrBgxoyn05Og4KKDINxI48MKx3BllQo8N5V+cqI1O WXbr0Slhh4hysqlrpkgkB/UTyjmc1lVt3++xOX7QX2p+ok55g2rHgHJSKGox6hSsxZwTlNSMwYIi 00HzFIfmijkNgDgeAGI5x2t3daMJlFJNVmCpMCraNHXbj7nw0Bv/Va5beXUfOE3w4Jm8tKDHi8cj ZuevaYJz4Sp3OYXMTip6tXbP8vPmLgIFHWmDrXQKYXOaYthKt/pTuqPDDM6/jliDmd6ZFQp/YN91 4/OfT2yXel4gpM9X/kORpq1Zpw/qJpxPEJ61bSynCLhCFwtlxFR9SOCe3xxmh2ByGpQAauATxZ+T 6+mkwTZRJwJqPlH/oHbCgvyOVmA5G3hSRYbS0ZTnVOGA64kU/X1r5HA64Qv/lcLMTc2sidOmiKcI jXNqpaA/jOcjsNgvcQyEGGjx2jSFooeL4X5Jwwt2OWc7nlLbzchTFQU7TVMMW1Em/N9Q8grJCPQU CTU4qWuVcs+gmOaC/h1wCi5kgHIFviZyTaLFHe0DNGjXkbBGkGZNC48H193lU8s9CMWg85EelO8I uALALyanCxiGDOl6lNDFW/NUUPHXPIWih9s0MUa6o+27Amm63Vk5GGgtdEm2QzcZr4qIE7//asrz mt74DwbsWTn1Dqr/ppPNT6Uxi4//M8lTygMU1F1OodaKIVemy2VBD8PoU7MNW061obNGyuKOkSuC DBST8Cm35wK4Z4eEBU5xmHBRZp0gfJyf1B6BvJdpjdIaGt8TRJpZClBkcHDQNEGn3eRDEx8PLrsH zKqM56zn7BH5DsvkhUSgVY2yOLrYU6AsnuXr1d9KZ0lOUwxc0aG0JTLO8V52VHkgOBoLC1o0mqYT J4yzVH+BxfbUC0dGLizU9X4HVTVRchz2lw88/r943oGBdVKqu5hCGfIstw1XFYOQqutAVdkCYs9N uItoz0WYFbvj1pJwD0ebpQlBt5ko3EPKmS24nGjFTps8IKNlc56YB+9QZYWyIUNp3nDj4FbgO7jV 55wDj/9LyQ8/YlYAVnw1CdjxHtlKjHp6JpxrGgZZKZ2hAjJhGGYKi8FSW7CepakGAJ6PFo1jahqb 8ghUILEj/ZCC9llGBgs6DyTGlqyBtr10QIIt7Akn5i0FAPC6xOqctHj9oQhY2vyIf2FaGb4XQrTH dUtIofYhmwqrEFTHPKagUkPsShYlD9yE1V0RSrIJf5QOqDDj422hL9guF5VYGrD8EqYhcgrOuyhF 9UePfNlLB2gDBgYlkZQnO09O14DTBwnpCPr4AZGmwXeAkOngmx69X/qHBzEnQvO0HRE1kKlaQWrs KjRwg45Q8ekKEeWS7KtDukccPSumwVcS6QVgIgpCnQsXt/OZzLOiWdVxZWQWEPBNf+qJJBYSFqp/ BIieH3UXHVljjsUPCOKp8s0fRqILRsWdrKh2DhQ5+ibJMIlwPXIrjixqI+Bjgz8/vRNS7NUpGWkp ZGn0asvZILDzoRDYOWgoOwtZcgnXgTaCPsRN+OSekKIQHYV8HFiyoUrmCQo9D9BRMG1AHuD5qLn3 S+r+PIVs6vpxpChIh2Dk5cYSa6cTfoP7sg1nDk5HTb6yovq5eZnJRt5GW1nyACgix8LQJ7NP3AdO yZNGI0Vr3dkKheod/KbIukQbkfG6yo/MZ9DGcEZx5vNBQlO84kLYTyH3e6RnaYUF9KqK1HRKFQ1W xT0beeowA80XaGYd82EF3bDl42u02UKVeIJSSt0xktOV/EF5ExpSQP5c4YyS0raXDrLkIgs4svQ4 6EoEMIAZ5wkg9HzkbeZpMrs4NMuD3LVPVhiwQ+4AyNNtZzwzVi2tQpEU4hMbtApssqKIXe0WyELN O/qhdWIDepkAd7fAV6ZnbifxCxCVCpjUdoY6bLb1TVEOSJ3N+gyqvJyDnS0mhIeZz0cs2fHriD8r DWzwO1mhIEumZjLl+qg/tqhRxJUlpQuRF9BMsX0Y1tIVOJyvHnfkZAFhkSB2kXloAe9fiAKCtOAi AqJCg/seWvSy4jdFLHXW87L4n7mbAlmBhAWRwv08xOcjPOf8PrTghHCWYXnuwyh6h3wHcvxyQS7D yP0wnhhWTz9nce5uxBgJs5QtBF7J9Y4evkMCME0KJcKsY84k/nXCr5rYAisX5LJkS5ViIyFVPTVn xcU0AMFY1WAJhc8H2/Bqjsh0F2JMT8M9p5gGyjBuLqdZrWpUvfO0OP2VUj/Drc5SWFFX3bMZYZbj 7ZAu2Agm8UChfWFoxZMcnLnC9Pd0sQmZvGJ8UVCwKmw+zFR90Fwh1JqCKeTJ54PU+S4sEh8qnFDb 3YShv+eUWL/A+CD4r2KG6JTBz5478+yWb2WIdVGpGK6wd/TkeQ3T9kfiVOHw2YV1OQfMJFAYRs0e lLZu7xyx1ankWkiZ5aDaOCK7KXbnmHw+Eoq4XCvSiHBZPG33HHp8NorZzOHCbQBVN0+g82wOn2op +VxFiJdkFl4m7srR5mpBdWbRDgrxOwjq3Zo5XYoWdV+GjKxfcuzxmVnf0w6cdweOUk7sxkj+/Ex8 Pgjfbx2UZUGoFLcLgs1/uHwS5zLLsCT6GsieJJoCthSR6gQ48h4MbT6tnbyqUa8IU9NMZaR7Lcv0 rABXIOJRWuh3VyzHUm6jhkaw/KI/SFeIQ7eGJfQKPFv70MleB5+POhC3/YdZYblj3Wg0MPqEDLOw Ln17jW+btxAayiz3FXJkVvVFFYbu7OihKVDvqKnqbO03OceWhVWk6WzwWOyAJkGzyv2ERrNXjvYf vRyRmNigjh/o4uL2L+SEgdPnN0KBCWQmp+aZqVA3kZLKTb7orF+N4taxkDbSTDVysGymQlaoUYPM e2k93ks1QeSA3QUsqoF+AS07NupPg+waoKesgtVHt3cOMApgf/TERYXBFtU5q7rmraex+XykJ3W9 Prlbz8kHsMmdq0jNnOfK+R27z9VM5iFf0Y1yRalCeK82YBy1mz6ZcR7r5Tw2xJzIcqjWMsABySI2 LFbXAbCYPKuEpurT3jnyI2bLZvFY56ByH85TuVieD+LzUW+rfN2AuDRxb92pCtmhWRuQ7FAiOkZ1 2VLBmoh8GdulknLo92ndrSIrDo2eDqYNYOaZEkKpToBaqJUEtzfQPwAop0K+lfxUxwodP1U5pkqV DcqdT8Q+JQbUpt40ONfLtwQQdLUArbxzFQq2DJ3rzbwsT5g+XA7h3IDTUufVlwGIc+D5mT0ZbFlQ hZYvVCHBYncAf0bXnFn3IHr9ZJ6odxV1RYbzigqFv/hN0bqSAdO+gyKrCdlKratU+XxEcfgFFMLH 4CL0uQoDdtb7zju7xEabxeVLRzJTwlY3giybq7DebtbpMqvU6HMF8FnHiUqWdp1Q3QI+AWibbOWY 1i5UqDd76cBTQOLvSBlt8Lbp0h6sLDME3p8AdnxLm7Onn6/KRo69P9k3bb26R2qnUSItd3dtAqJB UalW34NhwG6wqpaf8cKqNqSlpAQ09qapGvcgfipk6D8Fd98lYk176WCuKLSxJlm1yzvZFRSvoeym opAc+n/yDR9gVVbAki5eKIceoGKLrmng/TyWDCpQ7juRtv5b6Fgkz5xDE9DVPQ5od/TpAp8Blmhy m9+L8nuIoxhnkBGGPPi2vJq9dNTNYU2mcdagoS1/vbZnbSwqQ4yXz//rKsQbtnPDrPGU3UMXULqB 4PbrVEmiUGdzOXjJKAEKU1f3al9oA2ow9TZ2uaPlze2EV3BF01wVsNEZNaBWc0ag+TM5WSbw1vyl /7oKK+qrNtg23EP2hyhA8PmIr52+rkIYKmzE+D5XoYjLoA5dNXUEyic9ajfVtiGInn5kBTagaMxr +9GE0UbHDeUTFRUo8YM2SqeYqfIV2tgVGEf0pG9ltPpLR7U+xgt1yvYLLP6uhZV1UcF9ePMDIvbD bVGw2scLuT25c+AFalnOOWFtjoCJvtsQ/751dAAy96UVQ9Z1C1oEwdGm6/wem1RIRA4Vpg8qJAPJ jO9E+3RTv2xLRmnbSwdQBpbbH4HTrIh0nfhN9Jpest40Yh7dyIFQkcZQ73ELDx1BaUMEvT0rykg6 Wl36RldSZs98C4XvgSXoVRZe5Wu84fuJpc4R1SggeNbaYI/ibNXafibl1/BPvrZoN5BjT1BZzw8Z 0QMt16XE0ac0F6DsMfkBUY/iEtqSBQ9jXPxe/sMWlEdVNuddCGS0W+2b6drsoGVpkxVCZExwaqqQ 3OdTSAaU8pwom5y2AXkASjWjCIgaLt4BiovOrlFZJvQF9ThrZR8M+8FGCP76Xhufj1bWd+wAzAyB pT5VUfyO8I8wDJuq2dWVQFrYqHsoMBG76pqqUG9RscNOugw52roagO0n7sJ5QkfkJ4rxcb9DHBi5 4ZWeWtNeOYJ/QPKAArw2qCoDf3uJCJ5fls//y7pJu21gC37dhVHFnTyvk6IvwxNBCKw4UKYbLqSd X8xxVzlwB3UNdODP5h0d3IDzdqMGAxY3chuGr6MzwEnUmQBuzHo52SYrxMrQlgh4QA2V9z+wV7nz Aj6bXm8awbJ9C+INQWUYoz7LKgrfqYU/IJFnczUkptAobUzvWWTQEtdiBh0YhMKYSOCrNfsdba4W FNEyikUda6pN2ROiztDB3TgvPJ0rWYDK0PcEN+GWRB5P+O0NVUBaoY7N4H1mPh9pl3xrUGVoQ57L Zj4X4Xv4DvVNZGRmdlXFj/AaVqdUC7fgOafvFgzDd+Eeq1paGu20mudVUIqbanutE7qyN5EJbUiI KEul7ql69VQHyKFP6OCCWl1IWqBgmo6rAd1DrquqN42qWN/1PrCA97ogrBw6hSIJxAQ5BxfADCcr E6Kok32l7X2c2CrUaIDdCu79Kbh34CJ2ppNxmx0eqypNQICkw2gIaNRrtN7tlaPKKEOGLlJ3d1I3 RC2aCjOstwdeod+i6IU7MCFyb3emosidUkcna05+WnUGkTzYczWwXztBfDJ/xxy4hSIX52rCuX1H X1XALcGySDBR4IrUmkBCN9h1K+lLR7fbO//Fc1uU+9KgLThGpo47vLE3n4/wtN+2qmf144K4nYk/ DENpZrxNywznVvWGV8nF5V4Qa1vgHjqGmjL6CWDTHa3WUGGgBUNjilLNsifNVzsUclhrOEc4GKjW Su3qeIWmodKCo5MLBhLQftilb9DAZHDVMz/gX5Nl/ElJHl2Z2Bxbh+Kshqi0aZ3NVJMDkHe2XhjU 1ponOrF3qNdkpLDA0bFYGxoyME7ooOpC6Zf1hsnSEG6wkxQCwGbKVOrTB+6hIHkzw+lS/4Z6dE9W vaoqOED3iB8QoRq+MSCYg7mfbmrsH9pZ9NvTJwtSiSpkndzUrQzPn9i8QBoYiOLEUpUhr31HL5Cu VVBOrizOnCgiMXPsi/2OAmnqWp4MmuElvykCrmFVVSFAKko1Wci1dAJ3tjh/gKnnJ0Sh+/wO3YGj W19ZYWAkqtwdl9KelkSjFWNJdJGUF5LoJFwkpyuUgLHpqlrYHL3ud36+E7TtzrrfRs7DwCFRifsk VOczVy+3nkyRqhx4iTr1jWrrNqjgsNPqVHEBHYDPRw6+31J6pNbDesLnKord+dInC6leyGrEl7Pu N+mOQwu6Ca0h24cRXqYJbQs39DtakIUi6aanNAoOCeI5LM6UTalZisiiBHgZON1e+i91Rnwkh2V1 g7Oyzp/LXwhAPH5A5Ic1viYLLTNeSD5ZsVo6Nl+jQLnEPhHzW7mhJteIy8s1vfIfnqJDC0pcZo5e nTn/6QQ+SZWs1KdUHM904kKgI0Id9Rqsofygb4oEP2UqSlUvDmpUQGyT3XdYtvH5KCa9RBxWG84x AD/YO1l/asIgaUuGxGLmYCA/1gTYVqVui+3CMHw3rmA1SfCarugn+PFnTigKc4JgCElQe3AWeoWA gVbQlXUklqrvobHoYNhQmuQaXXymQt9nu3X94vNBSJr31wGfeYWn/PQqQm/RSovDqUoWUVmET3K2 gBXQbJ1DZWefrb+VYc7dLpARR0GxIB98bkE08EAYrJCwIhQrYZ7YCqNAvJ9ZWSC/wF0UMA650jE6 RTH3h0E3XhMVWBb+9uQHBPHD44Ohi7RAn+ZGW6HDKNFmv0yNRnpMjZjuFPJNlqfRgcXo+SieWou1 YR89hAe2uWaaoWdGKMnsSCGtA3m5yW0oJ7Gy7JX/Snb2HQRbOxfqOQ154AjcEFiMGjHmi+eFcsdT cAgtRpGs5cHdYDiQbYbIFX7Izoej0r3NVIR5d6bXXs9obWggBBuqJxT1AE50K4mGzhA4qj/8bywv HAqzAo9RFz+BXhUHttOYGMJPg1+KahA/ILgLm8+VbIHP5839nO+hz2ilAEpe25EgOZkSWm3sSymL JhHGJitmo1qlwbT21s0My8JVR0NaIPzOSbiqevbo61NzGveHg9ZSsVf+Ew0pVkUjD4Nn+rlsZ2ZZ C0AafkAkFdO/UkOc7mw6+lSF8XsmbnvV5GBImqxrqmp3C02hXDRVceVd7nRiB2q0HTiZMC+WR9vZ 2Di+VPNDSxO0cABnXAAl+Sv/RWbaUA+1wZLoc0PKGzIDiRV6jT7UOJ1yg3qEN9UJ3EbhYEDbnt7c Carl4VLqaIBZG3qWaupDObYbnaZT3PIdLRzd5/GFojiihgK9RmntZZTHNu61AkaCyw/Vau/8p2N7 15Q5Ng6KnLsUARZa5fPBqmq/1NHOuTnLVazIoeEopTvdf3zwH6dH7nAGtWh09eoxQ+A4ilXFzkSZ As1wvIlOgWVdUz9nnc+Va9YAbBREIIjFjEeDSIlO6DmqYKGru9oRqyxp521a7SJzOVueH/B+tK+H OU8xkMJlfWOGwHYUsbsUnctyGkUt2/McickhHO1PWhj4jj40ChPpb49Ify7QOdqbxIB9rvOzu7PC 0UGN2XPktF2uDNESFiRwHsXhvjhNrCl3KJNJWRZuBvzzga7n80Ep65doTCZbKAFn57MVlt43T3SJ xdBIRPV2ioDtYqrhID94rzCwHz2HroRBVy3tjh4znKnYQFqLVwgwkG5CdDYWgfqIx1y5eGd756j7 RSlesZk4qKFDgXuxnvvm85F4xS/tYsRJkNe65/vnNcCq+f+2mKrsGllaWHwjnkXgdKaCegDPrNfw qkIdVK4PLa87esd+4+fHyzMpPMuYxSx0Qc+pg59rD0ckZ5b+P6/BVYXADo+rTVIhBx1XvSYD2baF p19mqVoX+cxINjIvTKRp4MMfJ5ghYuFREHdWzpbI+naXVhJN+oAGjU71YIa6cQGSCKgcPW0++QOk GAiXgRxvYSykiuxmG4fn1JQOSufOe52ixFD75H84vW0Qtm9hwS/9I55+myKIXiSbIh5Gg7IZ2abo NZyq2SCQ4iZzEc3Rpl9822sLbUy/+F6DqSoMJzQuC9s4Gi3yRHNjnutgqIFaGDDhajyx4jneF6rd N/CUU+RrKHVeF9o7CwXo5YMqx2e3162m826f1ziqAvDRbIZklwMqAqHMmqPXOKqaQD+Q0q5XcYJA a0ZA7sZUnWsn/wpz9BpF1azME2eRRemPvgBUj+HuwTwGDBN4+LDAvgDVwBtAD8HmCGBMfMv7HOHG XypwYEBmKNXUBTQSBRzPf/95DaHqo6Wef7yU0DM1YjBFr/ETzqJEqeKSvRM/R/OoPAv/T4mY5pY9 r9ETtoCI8GW1Z7ToacGXBuCF8/7nzECYw0sOzkm4ZGBsNGi9ps4W9eNegyecRk2Czrn4oIoL20GE XKEN/xo64WlrwmdlPUSG7uXr6DVyqq6KluryHnx6hGUToz3BFYYLVbzGTTywi1U60x0tEkhw8qsI kFE9gGKxClODP2tFCQOxvzdLk3xZg0ladMc6dzB5zah2mbEFcE7c3+jLfl5jpiqe79eZjXAV8BZN 0mvAVO06BNnF1av2cBWdutks4SQVQuhosBJOkuQXsiZr5kffcgF1PYqgs+3cajSHxwWKWya1Tp5d v9uN+d1rsITtlmkVDUshDEi8Zcg6wDnvFnJ8XmMlO9GeSQIDbwrmj0l6jZNwarOTVYTb6DLLMp35 cytu62TBycycn16jpGqChgCDCCPUH4g/fMfPNY1SHboLKEWyCoM1BS0mxNCNFUmLwClc9RokVSlr o9HHKtSitans6DbKzMT4n/t4fF6DJN4u62uWkDfwytIsvVY3q2HWx2CLW0yk3r01WnupVldp84rr Bed2loIH9C/veGepwFO7YZbSQBFSbO/OIwLCDgAkXmQxySaf18pmlUz7auwOYqAuNv1nztki8GeG UmowR65PLB8k2jmdH80n6bWoib+NPqzSv9ck6UbrbF1ft+gJXWopeUQxUjcBQnWPOXr3uCIGQ6gB ia8TXEsV5qxi1F47kJQoBF5wXtILB/uN3L8+2Gfv5PT/UH+wisqIll3+vFYzsSZ28RCAxV+Sljdo SIoko2Cbydc85+o9u+nhpVrKbNvLTuiCG1kyjCVlEVIlS9HrI0tBAGOByRk0/WeuJh0w6V7aCMlD DOPCMMteOVhNdHZYQudx4BV3gnp44v6YVtw7/w9Hg5XJteVgJsOdb/MUhdysUZ4QcjlTcm9DWgNn NtOPqzTe8lwccwuNsER94GjztM/+GnlRtOrER5tqWmjroS8mHjzhpNYynlRpfGf/YUFVlTIlgpat CFjPB9fOjd5OFPZ5J/8h7DZgbFZlAOrIqxaPu9/Jfzj3C1HELlyJc8oQCDDvm1acawj+HQ0UneEq NE0j386HfAvCKvBS9J4Z7Bez4oJaM7ks8At5zPsIjPi8U/9w6ZinCnmlU6wqwqZRyxJDZPPxIGYa +3ueKq002EdQjzqYJ/AaUBEfFxCbr21B7d29ZxaFdIUECk7xbhyaKoAZR4d4Vmh1oE6LBj0KJAZM J184wUAalfgr+5mqXjlI4xqBi/AHsEEVgdx2ZThbC+CwUQC+kh9RWoudtaZxV9R7DG7Sy9Bkcu57 GReuUelDqZM8X+77exBuSeGZhEULco2Wy22agkNWCMS/dn59QdgrsMmTRFiCBazhMlXC/PO+w63J YVhvChplhWqTP5AE+bzz/qqwtM8RhQrOz0zFb7x32h/+vM64oDebqIHbzc6oTOtAxgWF4rycqPdA HLeC7MOSFGU5WkK3ic8A3It6luqs4hXJyUAsXeB5aEf5oOvTO+kPeyd1GWZWH5T2nn93NcnHl/J5 5/wh8Uj7K6WjNsHq1J7gPL2H4qjYqBJetheY1p6GOzgBSfNKb7pEhygW7+ZlP8zzkC15HVH7LC6c UES00E5C6OoFiP6mSSOybbM/ztJSiGJx4GvRNyAxi4PW09nri38mpOM+73w/nMnZi0zNzIBZh/F5 iqJxlj4mbxyr8SZCzAg5cGkm1LmKz1MUjoMhwFzF9Czz1bM8O25DAl4UNgBGTRyAzoTAB//QfNXF AWbXG7/PE+Roz0JCCM1hqgVwYr9UtuyxOh+PIk3PWQiRgp3RLDc0eA/HQYtUWnXpa3smW04VajaO zACWVsXdKB4fBOmvLNMnjXY+lXKOqJIFqz67Y00LS2mwwNoucDTXnr3rjd+nadJNe5ZSfFA9Dkl2 Vbuz5c87zQ9/8A3ICbxDu6BvyqNynqKInPLU5xcyi7Wr37wkj2r0UXA0zW3tneVX3ajnXI0MOTXa PDW4QBaDVMOxbKleDkwQSMk8qy5Uf0qkKgrJQYo9vysrvBo4Twk+1Ca3C0RGFJM7WcaSO2g61FtM eaf38VCbssTyQsE5Cq87lgp0dGItXih4Z/fhdJqynRHwUOMDijqx0q4SESonvZOKLAog+EXJ1oGc lNWcsEQ+7+Q+RmosFSC/sUHIFWrMK4Iq+fPO7fOI+KnMEQPOZrAmKgrJM3VeZr0VlVnn7W3OlAz2 ygaRJuo9JM9u7gDPyjtace7cdFCL2kRxnjC8Kh08Vy0uWyxWLoJHUW/olaNewVAGzHwYUhhtCn9x fu9CrSQ6pn7euX1YHeU7z4OCxtrLb7x3ah8rBmTJUA5YIE6KBqr/NMpFvDYXPXtn9uEvKOIRJZ3o HD0bBkdiQFMQJYN+fn21Njt472d9UTko1Qd3XvTK72tK2sSk8GAAYIPNOsgysBsL44L1eef1+SV/ Nx/6lOga+kSFUfmSJFy+jpDFDnBoEzc3E6u4grWmoqg8CS/25v2E5TROjCdVy90TbQOxpjCygQdz zSvIQZWXd0ofvmizdUBZHA0WG6xu0kFnuX3eGX28C743H+hiG9egzVMUk5M4fK6rK4bTeOUo1GQK RS7RXi4x/87m450rxKaKzhptQS1caWOT8jFh0iPhjgFy58+GtwSNCqzkK5OsdzIfponEtF0JVtmV nD0KEp9dSMArjtzPO5WvmrqKltOwQ+rcwbcLFZbGKZmQVOqVD8Yo3mLpNxuGL6itp6g2Pqq640nK jBy9S97gvdWAzF/w2F4SMj5pPh0ESeLbtKy1w3zplYMYipjNvlWO2iZF1QjYY2ceKcDnncfHA8Jz F2IW8eWQ5/GJimLyqfL4tO4KygbW/wUadTnlcQzvRb3T+JjOywvSQBgcveu75nl5qE6hp3lmp1k6 DKx0PvfhiSs3T6gkyZKlVw5uPWZ5U+UoDgIg7pMvNQl4rv15p/FVs3fktBCtiKiHvlE2UVFQTs24 mde8dYNhNr+kGvtErZTNPPOdxFeNQAEsXXpGi6JOVoLGI/Hl53/Oca7AiQavm1cfbg1P8srQGwfZ MBvkJ8QjUhNBCbMk6Dkk6nP/9LPJP+8UPq6oWyPn60HBYdUbR0VVcmTfUAnqLgPXtxdYapb2NbLh TFYQj6j3sBxFfhFDjcG3HwYfIAQnz0A7+vxdiTtEhONsWrCQF7y2KsD68I2DcJMkdjrB/D/GrizX kRg5/usswoD74vsfzIyITJZ6UNk9MGy2B1NSPYpLLrHYoJMcwuPUZYT29eedwMcScP0JDU5I8YXK hU9TFJUjf2A7tvo0tWSFzdIUJVAXHNohmqb3qNzi+wxH43VHTdO5+zNiQWpWNlg6DwEPYIl9XrDQ eO1mL/TW+rxT96oYKWd+GglEHAS4gP6JoLwn4Pi8M/eqqdjcaQLqa4DcYq3yMCqnXuTa1T1VgK+y wKAJiAEaTAK0T73yuE4u0G/N8442TWcBoSYnu0Oi7wWNykgGlnSF8PObpBky8M87bw9J/2K1DvRb G5TkYS0VM88sn3fa3h9BOZMXuB2C02DTFCJT1ArunrzMXLyB17DK/BiHDZumKS6Ts0bXbVVxtGlC FxXNW6wm+L6OKp/NVVwjL4NaBBsAggqK3jiaJpmwsgjcXEvp7IoTBgl1f3KJzztlj6d4+wmfihKq C7yI4nEqZZ2IZhlldpBuryL5EJGWiHsEcNx074Q9lhplwbp5QC9zaFQqvCtYuYXwFMDiDZQPCNd3 tAFoZr9neBt64SjDQyZcYORoA2cpb+gZqOFZyuedq1clO2GFFR79hIPsu+miaByC/efN5CJGa4dt JjTQb00OtR8C+nZ+0t+aLoiOntE33UK2QmTBxHEyXGcJYewkuRmoQ8OCTeqRvLP0eFXQihUASAyo FvMP7lTm4T2fcvm8k/QYU/zCwQCpHPlede8cPWIL6BQtEQSEB9e8FnZ4LrjYoOhqyymqkBO9noH3 63fUPMFp7+TXiYCwgo2HsJnVF4BLZ2fh9xr7osL/eefnVXHNUSlAzKNBZxP6hE0SCKN83sl5DMbX 703HJvW4s/Qei7uPkfw2zE/lai3m6QKe5+hKlrO8M/M8t0S3hCpmGm+d7uQrFVAYKJBQYVFOrDC2 mVQc6r1ccAFzlndeHm7UpZYwq78c1JkiwU1Sp52vGSymWr+/dZVzerd5pymKxLtqBQzqlAJPl89t OW9H158Nb2ry76S8enWZQe+9o3URMmh9CzOBABMGe4ytYIeGSBxIzVJ7u3xPwgvfOXk4hDuZ0rjL bbAOXlZsin/2zzsjzxeGInEt9e9COmLzFAXiLBUMGC7cOt2qXqfb5m1PgpI5Orzz8ZgCu6GvGfyS czlZHT97tnbI9QDjjf0ox9qqoB1hYZUyutUzk1456Ah3BaW86xZ1Png6LdhhUbEF4KrPOx2P9VDf d5hfVFbPeeVthHcyXr1ekO6cnYEydR/7kZxu3XCg2IIK6+Oqpays2vfKl+WJWuPJ3ytPp7MyT3xh FARuuYpeY62pXUhvsVeOik9k8APyitb5JfMsbDzuPGiKf96peF780TEuT+0NJwePxd+JeNUUuHAJ WWNqpp2zzVSli5mM+0AC1UxFFfJpAi1VJWCO3hM+a/PEnFtUazg2sonFOjDhhpWC5tcbi5oH7zQ8 i2SgFSjZn+2yPxN+tWIDncj5807Cs4m2iRLEBfpd46Iyo2gcyQ3Cyak+CyJOCuQqDRjS38dRTg9k wDLjaFxojPYzWrUA6LlShpTKTuC/TFxx03GqobVJ7xU7owY9HN45eIRTbDI1CMzssLyV3AHcdhgA nYB2ft4peAS//qJWMnLiLWNfTlQIW0HgiOZKN5V9Sn9BCu/kAWSQsdXZ4Y1asaLeGXj4pVQtGAZb GQ9spayCo6sxqIOvThEBDSx42KgASFjwy1r9iaWLzzsDz0OohuWoQT28lmlpzb5cS+vzzr9jbPBb z8Rvgy61z1MYkC8WMbFesIpod9htnnYmBIORZoPPLhdUVCBv0uvupmzaH2VTJGwnOmC4MndzewvI HtC5ocGkiT+E53ddrxzBe2wlNQ3VGATpvCONnlHg+rxz7who8rMcJxQMSYasRTlP7xE54lOmwbOb 6N2ZJ5ZPJJVt+ndgixTrdb4z735hUEpKOHpR5ezrk8DRhmDtcyyZFyT4w+BIQSIQsEzfeKRnvFPv WBZhnWBSeZKDmlMnJJcnF9qEn3fmXc1Xw03rCfzmzTRT8xTWx2mPZeI+rNKldat0dXvDBZGc4caj +rgEaVamaJKNzj84JyXEJnGSg2+yl1iMdB1Gzv9lv9zyYFVV3ll3xOtmNlpm98EYCK2o1Aw+++ed dEe0cPnjfDq/5qoXfvhOuuOPI21cK6AA6ubEKPdqaISsFLvx3jl3TDEVk7f6jJ4Io40DvivLKnnL ShlOY1gTzIoLq5kq0qEe83ln3HGelLP06YPmaXcKvpOpMT7vfDsm0r/Qni6nWo8139l2jFBxdlcS mBRr7uaxJmoHHmvSa57TFMbklghPJXgcHQK1sMcGi3QngU3JlKWgCPGd9K9JAOFaSK7lFBXHO1O7 zOqKBmV4505dNNAhifOdaVclOvUgMYDnmHJZ4zRFITnR3ggL3Kl2N7ezaClPrz6dD/dpikJy23V1 ET+u0aaJyk7QUcc0nR3YuniLtNcapC6k61WUSXP/vJPsvIcAJYnmg9Uyd5tyzDzj551jV01thrPC 0xOJxKzNmy0tjMip2HZCb8+Es5QyYNBgtHO6DrCXg7pKiyLypN2W1RbW6Jnw2WelKCo4LwbVV2lK 4TdBXR7zdKW1WLnF9wQBeWI0sHTZLdPggAfEpgYJ/FE2Hg9g9smDAjGG0Cnbngm3MBwnx7Xy4FTB IE+v0tEpUQWDjEhZh3hUGk8ia+696x19miqkqIE1QpXufFtVtlxY98ldgKIHobn1xgGxJRFIB76x DTqcTjAlmsg5SgceD8AFt/qEKQHRaO5b8+1xME4aWbu6kiV3l3kwMCJipwo0uug/78G46Qid3ZZ0 SNV0g/FOFlrBNuwThWtAL9QvrsgWzjmK/7Xsbmx74QiFoQYC8dEcHNbT1Y86oRgfD4DRt5hJAHlm GdNzux5F4kYBFpWV5brs+g4FXqm3RocInLMUoVXG0iyhAO6jZmnChgrO7NQsmPv8el0mT4ChdZQT M5C6Xi3AQYzvCTKWomliLrwdi3buAZj4TNXrJh7/V9tO+TJe4CJVehiIF6Zze94GQjK7ATQQLiz6 bBsDifWoMt69miIgL0dPWPoYFVEmAkwASmQres5D3M4Nhscn4pi3WCAyWRSIS2wM/S3q9iDikiUf /gxWKMb5DjwfoA4vB4jCm6iTzHU7Uj1kb8qBVXK3ZExD30806e7wHhDKmllk9igUZ9MGttDC9Ix6 MT2EX8D5kQ6Z+/yR8lijjQxz4En3vyuDwRy4R6G4gS9AAKIKBkrr4pSVopLxd47NDwjKBemCC4RT BFeq3ppmj2ic/Coo0TqlvMrnirW6fGt1OIptruJwXIIhMDT20QODk1z3AS7I2c5IrgvNV8/iAsQl pU1ixK1pLt54PSqRW5dzsV6wKaxYlAcDk4Zz8cQaE88HV17+DTQHgWftLqowHqeoOWx3DcvaH1Hz Xk34r9UJ/AwtDHuEV5lbtXFJgWi0RQW19tyzhKdTRy2KNBdlQ6wbZaCl/Ziq9spBaEAy51j8C8eC +oGAYnB05URBdhzPB9vvMqfE3wD4qVyeS4/K5Fiu5ySkd4XUlDsdHlFn3DDrygJHYx5YMehxSC5x VunRabSKQe2rt4oJATW4wu5MnqudjYRBvdv+o0869crB9pMMG5IESHTjGitSC6ky4zpTBUu+HkXl gH/bVHGvAr2fn6vvPSxHx51BDGGALmdefaom6yys1mX8RjzTI8hKUj8cVeo7OsfsBOktJUp0r7Gg +MeiQaIYG3zUIB2QrhUK5Ul7VCnXSTVKv0NStW5W8fFRRcfjEUO4/dx9UN8FUNvnKQKSdwJaz9aS H23Gj1/MEjOjXYp6FLTOQKDk5osQK3b3jSWw2FgMy6sI+QU608jyVgL5p5Dr0RfVTCoMyeCB9SDJ z3Thi6JQiowE9kw0qLiSIanM7zy/Cx6PQJo34CRtEfy9E955/beHkXmnlDn5O/8RQ78mc9PGPaj6 7zmy8Ktz80WF8mUumHJ+1ugY6YXqFk5OoKlqycnl/YAHQnEZRLzpZc1BCmyPUCtdZSiIMtugQjks +Irs9crE48GKqvMng8kUCN/9ks6j2Hwm5Q/LYSt7TYOtoFzksJVCAxhM1IjFVIS1b4a9f5x1zhyd 3w1GRKQEgX2hjDhROwbOzBmgdqO6pGpv/DeVh4Rk2gajTs1Up7U/Mx4PqC4X3cMNCxuBMbysOaLg vJlNTPbGS1aNDrEUXZylz9MRMYucH5bJZWw15can0UmLDZbLaynqPEfJJn0BKRJZFCgGIxRz9TXs WXxRVP6lk04ytCZBAsyDT/QmXXvo++P5oE6+ncRRVDogSM933oiR5MxdxgVIF9bLuKASBeMIul/0 0OVMRYVyaestqf9hpBLMQLI3BhgWiYVyEB3AOlMH+ZyHI50fq0138UAD6zvwNQGclbfdXMTWwfjJ KEFJFt9MLjsej/ounuwxMQSvpF5AxgiBK6zXtbKGFaIqGRQE1a0r5ldncgOPEcXmhu/Joh1grD5L 5zYG55kgTSyiLA2jye8aaAjscq0KwUgf+Jqo4UmUGNS6bNCuK4vMEFpmbzwenU6/RfKTbcJsxYPN EUXlnUJPk5VaETgg6WQEjjytqolyj4GjRxSVz27IzNbu6IfTWV/gjWV20Dc8QQki3/BzAjEPH3ip wpM8vBEVydGFOxdlJ1/xZA7n21jybZmkSJYDSsbz0Wr6FcUY5xabo3iRfERBOR3TT+xyBbFOZGvZ ixmzySobi1vzFAXlRISgcT73HZ2vCDHvc5ATbA+58iruZ0IR8EQBGUSTy9BP9sJBRkzseN3AY2jQ YZxBdVDlYEw8HrXw5s8sMR/mJtcshQhyqthu8kZ11ZXkJ9NieVEITUina5YiBHmxlKWwlafRUpcx 6YuWidAsGWJo5qwAvhKF/2e5+HHU6PE10Qk+SCuDJuQZ2COnwFpT0gWZ147Hg9hp/a4l+KPBbtBn KSqRs8Q3cFvbLMlfmpnwTCZk26CDb5zOEZXIV1JAIO0wjCThYpbAyi4wRecszbPj5MCAc/CsJV6N 6HTbNOHnxvcEgZMwhwBvY0ApWpC6hZsZv8sJYTue/xdohXwEyE6VS3AZYSzOxtRQiZy0asGikQhD BUV7DpmwwexHGIvr7uqSpdVoOQsCClR/KbLSIeQud/qz/dCTgt58Q/riu44R5ghjceLGK5pbZ2Bf NamFgIbHFr1nLnxAUF25FTu5zc7vbpdNPWLQSn/UWKm5UvuNnShczZYwDCRspuIquYQMttmg7ccG rS8ETrjEINqzwJeWMgalqOF+cnYAZsnMFHSMh7xOqfkXWlY1kJEl1HeC1zXELTsXBZ4PaitXb411 GIRxqVxJuhkWyqVKPlL5umYma+Zbtc1p53gFGZz53QyD8WTtFkEwOVosg0JTL4iN0OyEtsryvQcB D5Sv0Kf3Lh6JwjMErTDIXBLK4qAI/AQWciMAVfIzIwz5bB6M89RHDj5hHWXzFEXjlSyrui0Ez6NK sp0CE1S2gbxhneiLaJ7CUrkZrRfVCzjaSV7Oab1IaIdKwrnfVpFWFs+LAhw/l5XnwbRQmCGxc8l/ kNU6DsqDT9Yogc6TIJXPDFHk/VfI4KSdZ56yJ3czisVBvEYX+xqBTuYM6gqPsS1+ask5CTNkdXbj TJVnvJypVKTWD37+TuglcOehJHlOcdwTMB12cA8FH2ZcK2dBZTEL5mBpGlhqMr1ZFY9HZ7knLbwf T5y1W/U4c0bROMwvAc+a+/YUPBpvu2WXXiMoS/MUVsrHsHVU72hxJkzV20nveOedKHMYt2zjJgUZ Bj7PD8WF1LIZYlaa1pE8X3hharMV4Dy+ZPdMPB6Jiu6fJDgn0CXzs56ieJySsifUqz5PpOIbGKNd 8gZK9zZPIamzSu9hGBdvXCvsco5u/E9hW/jE+7BcUhaMtiBAB9+i4EB9Yfp4zZDVyfS34TawQVUV KBAyO2snWMfjQcOz/cnQp6r2nacYtEJHuHG5QH0sz1tKLwbTxAHVdOPNCLTSJU7ASNVHO59QoJ2Y IUocrWJq7idCQNiItUxHWQuhaPs5o3i80jSI9SIbFBeUAjI1b74x8Pi/qnT00MvUf/V4fEaYlUEt v7quA/a1CD+nkzfSAalLPkux4qGJ/ot/x9FP8Y06ViXHZZYTtTaplKcT83xBU/jmmh41bTKpZxSQ Y3+eEHOQwwEbUQXkuKYbp+n8h3zNILnr9WfXFZhXln4VD0M+ZyOijmQuJXf5JsFrpO1pC3h62nRh QN4UCllraj2tqZrA7kzodIBJXWsqWkygVX3B9ISO9eMIR1bCjGrjYkytmZsPqhWsEzNnoe03H48w K+X7W6FDcPCc4aHmYWG3czfTPJypVD/DcXg4tAdBoKYpiseNcL5Ke0afJpTnUJDgajp72VShq5m/ gN9S6rgkF6LtZxSPN5nfpK5CHWIhoU9AxKKi39kbFc//CwOV9WBWDcOnKmR0drURarbiE9RSbapK X1Z8Ak/cpyqUPmRjeJ3F8oze7ETutc/CImsK3rEmbIv47sRV5wFE6z5Tq+iN/wLIOJFrv4NgK+cl 91IusjseD8LM/mfeArDYhbKuKBpHcRqHUvdTfMKOhRsPjN7tPWHaqmCaVgRbGSbFbjXf9dR8T5QN kov4G7BALVs5DlQfvpRB6u2Kh0jBYIWV8USQGKsqGgSpy11OxlTVw+MBoXP9dhAGAPv58vJXFItL kXWWMrwyXkznCDHmKp4Ho5atWQpjcePjL5P1W9c/AqxXTHlv1K1FRYDNY1x5RArAB7VeI+JkLxxV VWS+yPY5tJS/PLrPFXiOKl5W/UTleD7adb+8VwingM7l0xTKjbemuPKGmLJ9o8AKQSA8xZvKBYmf 9FfS1I8jCS9o4n1hEsJqKEMCVh1Z8E2sAQMLSOduj5xO2o3viWp0DDEp5qdB6J4pjAfFn/n4v2RD VE6vX/Q2fJqiSJxe7zMRvyeCizjBRPdIMpLtKMq9ICZYIXy8+57Ld/TIKWVoEEmh7uQrmah+lAYR E0DH8vxOT0xARN36R2GcNJezTFEq6DrE4VIp8QJo14aIlT5/MruTV6Kgub38tKJQnJIDiJia4TBq yVYqONsuJcNh9O0GZitkdKpAMtVu0eglX8AJ2pBE3YnDTixFEajaBPI5v2cBJ+2Ce6Ze+W8NTlpY nAGXUBMbHzrkaqui4jHxCcHWG78VTfxpG8/ZVEXROO3g++62kPhPh6ws+hIIsgJtPk1VVB0387Jq Uiscrf4Eskwq0H7vKy9wdrj1ekciB7GqE1PCjd1MBBM12lcEWdk0QjjxffKBEwU0q7z4zj3N94wQ K1fu/8vl9AVfwufpHwLkMztnqquJR/m10rLV6dp1plxhPK5qQR9GcRk/VKB9oueTsFIDahXYJFLa aNEaAQkHLiz38SxZN14EIl+EHqIFqMFNMiCkuaWblRoe/5eEgZVBvxvsHJunsEI+2das7Pounurb 5gkS1t24ZQtGsZqnEETeTXdNAiscPQuGXlIFLANb78mCATOAEg34r3nceSIjFF8UxU/UjJ5VA0Rk GFpXqIqRgIgy3Qor5Hc9SfX/3LW7XmuEFYXkbH+Nzo6klH4Twa0UWFnLtY1QvjMZ8rBELvuRnoUb 4OgbbwykxSyrgIVXOsP2TjPWDP7ot9KYlM3ggqYIX/lvMHLEBT4I1oO6onjnbfA9I037q6NZhFdZ 4rrcuQqL5EDcjz23i9G0da02ehrTeS4lG0Z6xXLk0n9a0rxoqz5hFNxCKtOEhaIPJNhYqqPDYkGx qkCW37HkpCasUI9cRd9BrToOytfO3en5yKx4/F9qNMT6TpmuJj/OdxSWI6ZEFbY5I2gnMy+rJzCY biaR6BqHSGrH1E7BWovcSTjaqtrlnFNwj6Bh2QDrkwDgRhOwRAUAotFUXpFF+o4C80bkL4CNGiqr kxA9B6WG22/y8Yimf/WNhOA48w59Ipuo98gcAFFWf+utrwimxomakozsNAzMLnAfYVZGMRNrkzwu D1KavGAoNVFa7MxZYuf43BIACjVE2Y1+WXbv0Sxhh4jySnIZdChtUDvh/EtLisrt+z00T9Kqv3le IXfqkqp3FJoTXj12ryZVN+FlZMdUYouWW2/hV9Q8haG5UxY9k7nKYvUcPCdeScxgFqLPpvN8Ib2b m84SqB64x/DQGwfTNIkR+xlECgLu3kwl08DjEfD+FwJV2F28YdQOmZ2UM2gSYGskeQ7jTpVSWSQH ZAWpoE1TDFlRma7WZ3QIVIcwvOyF59zANGjf5WrCwN/SLve8EIeCL4pEaRiUU8ZWg04otBpZ5Ias AR6P2C5XL4seFKBkj0up3iGWnBRYatrZRHUDiAEyMUz6sJ1VYCrbO8aSC61iKk8cHbXScZ0uytie Hw8FYClBwcrtfEv5Iiv2eh3a0fiayC+BSbQ2H0s2whvCrrgxxIXWMp7/F1KMRXLgrJ+65o7C8kot g0QXiP8u2CVCukmeqsQVcppCVyCxgVJe/Y6u+nCSllkSVZdw0nlISngBaOlf5G+uZIDtiq8JgqjC VkKlXQIH33WMNaiPvPB4JAT1WyRHAAdJs3s6hVrklPNLxZWgpiTxJEpDxwNNU7nTFOoemtpKVvbC 0aapJig+5CGAJkxg1zQM1MCrIjrAz7+a8rymNw7Kv8jzOn4HH1T+BTCWX9nOf4jHgyRvpZ95Aop0 jsun3qHYyiDKt7PVSSWoOoazp9o2Mb/amI6hJbyjoHwOwVZyanf0Ft45xCddynFGnRB4Ki6lhN+g 6DQoHaY3SodofE9glkBmZ9bhlK/GNsK0wT8T2E08HuUuf/oCng8rFxe94yo5O1P5UvFGcdMbaJG7 mF+DGKqmKUaQS/NwmAPAeBwAIB/XFhpH4FTvpZsT03TO45Pprz+QYnvqhf/m5QKmmg+qaU6oB4t1 Xgcej/hAv0cTjJx2u7zOHQXjiFqwHbbLZZ3vcmsgiOXanpuzGBVvR4gVCfeupAqTRp+lmkF13tLy WxMek0KKoXWmkAugaLmd03gR3xNEmIzFMdk+KG8hv5gUjjIHHo8W0x9yNOSurqdxLpjQ6/fi6D8J cM2eCzu4Hr6l03VWJo5kIjFyCqNxBZdl257aV9s+rZOAggKGokHZC60uxt+VZPkueyAcvHPJHmjb SwckWIILmsSzWubBJh/OswOU5iGr2PyIiL/hhxRr5eABp34bwzlF1XIyvU5AsLbxEhqTECJX8p7L eAkUrZQVQAr1D1Xg7FVgKI5OTDhHHlRJSExoZ0V1+gacbwUtAqgrFKP6o0m+7KUjlXuUVxj1Y4BS EqdrAN0my/NNQ6UoLt8X1cq8PRd28tu6zgnpPTa3HAZoiW5lzpGro+xmG1bmbCQU2HyFcPJmeivy DhSrT/N1dg9wwI1FqdLWypqvTb+2iqY7YAlXH5k1BHxTBM4g5wWldvQmwKShLPIarWRuzXEuGX5A EFBdBypuXUjUrMtRyCkqnjMrhZKjw8cEOZYdh2qek8fWslZMTqFhp5p1DfAJHx1pd4IN9A4hsluA B25ivcC/ApsRjRjAOT3jk4NCimJ0qwpnghKtaofj6mxy6rZ8cS3x+X/19jDH4JKsdKMq3d2vYVVm yFnHhdrN7gdXGsnJsb3N4QsrKqCbPTUc4O9oc7UgYFwhRQMzbxgwb3GpWHRvENXB0vN0Jo9lLx21 ZLgDW5k+KJ85u0Iy++3sFT4feQPchrGaDXJSKdeLKoU1dCITm/gvSG9SL848I6qZ51ajxpWd82ER vbsX7Lyjn1vQFCmZbex1zmRU0tlvYOO0QBKi5CudUVLa9tJRNUEydv0OtrROIKrOQx16PuLx//oK 0hsq5ZvY0Kjz/dACUKXL64eHfBkO40QdsRs8sQHqbpMVxewKRk+iLS9Pjl55waHbNmVG0AY5q4DY 4D0yOerCSW3nqJM0ym8KKp9EIexONgwHARQB72frDIVDPh+R1P+AlKGQzxPQJ+vvkixLVpT856q+ ssTGMXOc7j7xKdZkscNKoWlLt+WXTgZ+kj2gp1HqOFFYpR5JZ1kpU56hlO5it4V5Cr8pSnEwS70N G5qC8IJyNAsL/TzE5yOY4vjdiJRsT70+SyuK3ynBN1NKLhuV3DIeunZXNqqSZSY3xkiZpXYp/23J iXD0dBAoMqqKoN0OxDA5fYOcnZ2IUy8X5bJkTZVi1XJqshT2/TgIgod6Kw+cBk4xng+24WVYsUdI b/NS+xOcRmE88U5jr0uyQo/ICzG7WsUKhI87WbGhkDpaU3zZPq+5AkxMMn0DqFiMlaySVUfMu3lw 9nTBCZm0YnxRxOpn132N6oNN1jkVJZc48uTz/5IBFNuowhD16iXm0OYTtF6oRiXzoeg6l9WrkUUz 82fKisq+MkS7CNO5zYDKJC5M/PYEWZnbcHZk0Fn+aAky78iAvvCVvXFp6/bOf+FggzFUfOBcjVWa 5PDPMPl8lBz+YZWDRHxdiEIOnT7Be4AWQfZC6KwuZ3NC626OqCcLy82nKoK8pCzSnkIHjTZVJ/ks 8JpAeghKwyzm3gja9MjMdC5DRg4wOXb6lE7pGM0HzRRQNUtu56Xy+Uh1+lcoGAXn2eZjiRpG76VL 5G5aHp1rNXnuhnrQVzJS51abqjbk0OtTxD3gxvIdvcaXaz/rPFerykxDxsDNAw0AhA7ltmqAxdIX /YV0dUJuGjafoQi/gtabautAWvL5f9VlVFwvX8q++VSF1fVBWRuimTVVydDDFeJ2rrjV83X7DO0+ Z5I4Ut/ljsb9ACz35Nk0sazAu2cl3UChgfl0Tveerq3QaPbKkWBpoSYZATAcuKhO2gkSORMeZIWB 3Wf677JxBlHmtiFy6Pa5rA9xpfGBN7ftd/JAm6mG3prPVMgKlQjuXlJD4OjVmVVJ6Rd8sTJOZeEY iQ+V2omfsiJWH93eOXI4ocrd8GFpUZ1rmnE0FtXYfD7oK6/fqQJFryPK86mKBM1ZIoC/rsvjz25+ TCeYa9UlpVrey/dfDH1RjV3cPI22qE481SuEEIg6S43+VJmhCWq3iwaN6cKp+rR3/pspMY8lG1Tw gyh0V9C+Cp+PlJXLz1EF7mJ7eoA5tPyk38gU+/Q/thWnF7JKWQZ+gSeehaGh5+fKZgyre5Cj54NA +CGrpI72iTyWcudNF4EEXk+lSL4V/VTICl0/J0WV557LB8GETp5GLgsqWnrTf5kJSNSM1sg3rgps P3FWDQqWtkvo01wtlkdbs9x5NyfS5sD38/KvTuT6jDZXsFk40ROX1cqQB+RuZYv5nOgg/5P8Yd7p PCP5TdEVSNhnFaqxJgsWAPcTKL3VVPl8xHH4ZYKgubbwK/lchQX3qWy5uTBnE9Gf2ub9yiGQJGtz FeLS1bfprnGTbjeQ4iwn2QOwYO4NbjaT6z4plWTlmEvqK0yF+U2RrQBRMJn07Cuijr5pGwyLKusM gf9nlrTTg/2ENUh+bJxD/09e1QOb3op9vXUHoKXSZAUKC3VKR3APhiCYIbWWrCIDR4vXz8/cN49d iCyfS6/xaEMQAvU7Clfh6rtErGkvHcm9MmGWGyEHrSsQviQEVFFJDj1A64UT87xiVlbSU+0LbUBJ maYltfFC1qo+Wzvv6yo7ism75dAH1HQhhp1YY/2wsc6dsdGHx2yd86u1JIu9wt503RKofnpezV76 b8bXc3JlzUkhKegfJRjRyA5uVD4flWT6z2zRURrAI5+ruOxOaCOiA0gkofBHFUUqqUKBNQt9XVze LYdWoJKansPKV+MpX6GSd2JOKKVSvtsd5k6UzfCehWdyskzfjf3BHHqBKhKl/IkNVu07Z2GzOGDy +SC9qX/swnOwb4StPlehgMsi16G1bbsQ5q92ukPF3XbhnNX4RjnwAr3rivKAPjrAaoLzb9WrCpwn 5YP6psVlRTxawC7xymhN9tJRqY+19qaogdwuVRa2Jf0wIN78gH9BhxSMgo3ZLsQxB36gyNc5RULw L0pyMTBlra+yEo+GDuoevrJCxLrV+tQr1Og1BihxlCHgXmkSusS+o+pTRzu1bGqXbSkobXvpCMnA BoUZpNVtkjeLZiUMR0vWm0YUiHsXVtuGczz286EpKGFOUIa0zFmqGGrSQyjZkTFPkSFwBb02s9vc U/fjntrSmfYKijuJtidsKFtCJZtUMsT67BjayqLZQI5tQakzRSXidbJLpE5S4Rjni0gXgeY/PyDY hjP/V+AA68o7V6ExaJW7LLsSTd7qjt5LuyQrXjWy1DRXoahLF7LfOqwcfWHBjQFS3iS5EylD4Aes t7/0HMt0BzVmjQoyoTOoygzTWjrdGmy1Q7eCVh0QLuHz/yS0oSADbS6gWX2qQkOixSO9Dy+KdmiR KyM8370cIsO6gKYqdiQS+MPFKee+tasGkeq66EMP29Odk8X3qHLDqhm6u645taa9cgT+IFVLIvHl isSfY3eogIzX5fP/KsioojewA5+KTGgO2qkxWqcBYgCsMzoyRIYdm1Y7rX80VX9XQJ+71HVHuwjX ScLQhWsGbWjS3EdFDOhZakygCGh9nGyTFSJlKICTof/FoTFdQf+kkB30Re+bz0eo7Px7XKHUAsLJ nawQv95V3cvZ0+fSlqXPTWrWTJ8XMdldHxXlOawe4IS9o00WxFzWaIQaQ8KarrLAXqG+AFrud0/n SRZ08PQ9wT1ILZyEe8AGESUHwCoqz8zM5/9pt/MlfB33YJrPPRjF7gQz4jwfDpnJjr9qlHXWHlwM trWwwthdC6vPVu9ox9X52QbgaDRxWhWVbS6sAjomhKDPyVSpeapGPbUBcmgUKmXYXYfwj8MWFtaY xIb7OUD4fDBbf5jx9fMb73UxWDm0CqWMDhiTza9BGmjwaIfNlTVxFsV+OFehV+igQcxKVJy00c6r ijQA0SfX1UkspvXyodLSUVFCKH+91ru9chSLcqYS0VgchH0ELls6Lyy1B2ahphyhSsPiFjwfN59g NLQL3QADDuSdXuzrRgKET2lzvJpia27BwC8UjDmBaZv4EXJ++LqECTg8LIuClkT5chT7KCdIb4CS fkR0u71zgGgYcv4QIzBlywghc5XIDz137ObzQfb8eIMxLNs8/W5ZJvYMnZShKtchG3vQWjhluDlY I9lYgXtoGmpQ0WaRA0cL3NNCzwDtXRSvMoTzWMJa7ILC1+sLgpd3Urv6XaFvqCANQMtwQC9Stlfn 91gsop+jMfMD/herx7NXBaJ7dmFINa0UfdkuB3fSw3YNxV2Y8RzLdWSfrihyH9laM1J/4eiQBhrR gluAit85SQc7iH1DHTafO+y8BET3XJdKXfrAPhQRVpVAuiyLoGWtmmjC3URhqoXSTOAfqkTpp5kK MObcTy81dhBtrPkV9+g7a6ubWAfJ3UYmWWt6bSawEMVsqTYjp0KNl3bTz71qavINLo9Fs7WpRU25 pfJk0Kh/6qUjZyepvlCkuRFILuTaOW8rzQ0gBJD5CRG66FeLwmTBbr0hcBLFz4RjK9fqOfTZ7Zci 2I1giUrWvrMVKcAMeYufgLHf0at+56YElrep6gfeJOm5WScWMdyrl1tNpkJVDsxExRqF2mq+g6rJ sGZRNt1RIQ3cRH89DXnEg8kFLp7PVVh5z6z6jelCep2iSZgrANFFGGznb5heTQ78RA23m6HiOe/o xJJxNjKQybR/xHbhvJ7vZY2G/x+okJeA0+2l/8J96xlwDAyoBXGGzn910ykWVjuTH/AvOywZFeB8 JrzZpyssvhdaQLamiAF5YbfpomyLlf3KnA7y+4utqHyJLJcejzcPWN3nAejqoOyHZhqXHxJq0JnR a6+jXoc1WuzwmyLg2pK4bvPBltaq0qtFiYzPR14Fv/Y88OLbj2hzDo1FN4VONv1PCMSiTYKKM3s3 qfHTAcPRtrGz6LISlpe0rl/m2XXnRCuQvzo3IsEAosAtwl5R+0F+9gCxVHwPrUVNaBl3ig0CYp0f oeuw32vx+aCS9UevnsZNOeWnrROai1aqVtG1WbO1pI+6ifGrEuRH3uN+ITl0FzV5+SwZQo2cLbDV zm8N3jGQWMC6S/aysymBMIIx1j20sjB+gb2oxw/nVtUACLIKDVD15To7l8fkBwTxw7xasrwaYJqI mrrNVugwOtisAKHEItMyyzU1kkIaTI16duH0HFiMQvRCfj2qz2j0ogPhcFOe2rDgHd18W8HVAYdw chfKSqwse+XogCcjB3q9rGhZ2a+mtXdXFxrQhsBi1NrlD0ugo96wniMr9Bil9eSYD7aBpl+aqi2R NAJm6i1lhSaj2/Kc2dsdbarWCUz7OZrIQIPa/pKwRerAn0EEgZrtlhoOBVqByahcQmlYMAWHHBaM d1jcqEYKwesc2oymtn5yQ3zz3M9tGPqMEjw2xYoRDkRcHeJAhG5AHj3QPLC5itmoqjX0+Yx2ZE3o CLAiADQ4NK6YbYzzteU7iV8ft+pHdD6/J8KBiN08actKs3dmOVAubk13YVn8gEgq5tc1Gqf72E8a HViNotbBqF1+kKyQ6ujiVD1YSCDWfapisRjJpaqcoNGW1UkAYLxNahx5l0u8i/PtqPgR43dFQBH0 6JUjHAhLWFQB1WAF0p7Zv/5CwIfPR+g+P6ykGTqgR3jNa3PgNmpC6ufOqiarMxoZLxJSb4ZeA7Wp e2r4F7tRXYQG8uDoHft+cmj0LRA0nGhwTJX9CN9mD5Fde5cfqtXeOQrem4hxyQcrOZy4tlyDyBwa jrJRd8ng9YTEEz1kn6qQlor7AOKlPlVJiAY5PTTT4D0p/DJ1jxw4jqoQgHSwytSv1etwlDEbQJEu yhVv+CDSY5vKsMAdQS5mPBpEy186OK0YWBHoQ/+es7yKxe6oLyJ1OaE0PyCoJ6ffPAdhMly97mTF sbtQfcuRIKkNtxgrY3owSkcEm6yYncrceUzr3M9xyzMps9cCGaKzE09c3a3rDBXUc13ts3aRIHrH XkiQwHkURFz6QQ1K8Q5UZofKLJm+Nuj+oeIQWo+Wi/CjHRuNYdK6Vis5dB/lPTjl2yGptNVNPhUl d5fpB5bDZyvCzdRlMMj8jF73Y/KW6dIKLko28RQabC3KJKIn4rLF29/5b6TnwTtUg06s0QGRYvjQ N58PFla5MQN9aYhaSKV4OPp5ja9aVuY9kLh5Wkh2DJYWjC18adXkmryv0VW7agMIj+7oOPezajYA QCo3YO6YQG/A5Fh+3cPRyHnRRfo1tmqQBp06pu6g0wq+WRJoawtPv8xSA2NEMXsxMi/sjnC9TN68 0QxtiQ+tC1ljsCy18IbgBzSTPkHv06EezFCX8PycynE42tZDk6uMzsroxuW+daTDVxoir+cBHlPT PJ24816n6ISfjKXgZ+SDkH37fEEVf37h6bcpQgV52BTxkjtrmqoZmqLXaOo8RBPZQQdnE8BeLuEI NpaLE87ii+g1lsIiEimcyqc+GvwRb30O1kzuPAyfu7hzHQF2X7z6btgpp8jXSKrBm4i9ZuTaNqhy jLqxhZ+7fV7DKMzvnj+LiAQJ9BU0Q69BFBbRJFleFtFNnlmmBVpm3Wo+nzQUiAjO0GsI1YD6t5Po uj1cjctNhVywc6DoPKgRzrQQ23hC3xZqCDZDE4Wyz2sA1eS2szqlSxdFVb7FVg5aZ8xKzsx9XuMn /LXW3iqCD6FyltkIxBS9Bk/NLchZOzIaCbkBijOnYRnO9u7ThE9eQyf8QOpANNtvHG8pb2CzDcQD dbakoPX8H6qpdPR3ldwaA3XrhYOzqMjKiDV1Dqq2QPaHFxzb8K9xUzNkxd1oBIXC9VJz9Bo1NUjZ MgDvaXksLqceoRWGK6hXHnT0W4s2mkuhuTbTLeGdHdWRcG/sqoJ64BIIZpH4hooOhLo8Fi9JpqzB HDFGakXqlqWjsMxT+lz7TUcTbE4/r/ES1oQhYIrK6A1Hm9zWMEuv4VKzyxA0l/p1szW79eFzePXT S3NF2ddgqaEsLQkPlcc1ejsZuFBYihI2W9ZQf2ZQixXODrTn6ne7Jb1wsN0KTdq7CgdkQMqOdUxr NeIbP6+RUnvk0IoINifugiK+TdJrlIQzG42DUZYb/J7zAvUQWbEOM7hvFJw3deJoKXUtpbWe0a41 GUF2ehxmkCJqE/qMRaiKVKWxGmnhN1WrXkMk/BjUO0k10xori3Smkh3gpnTNPLnp5zVE4t3iaylr RmFnBKt4TtNrbRP34aJbbE1uZT+W20VD99Xkc076OqxQ8FrZbNd6vJsYIUcvmqNeOqemCaByVh0Q UKJ21BCGN4ivGax40X7mta7JaWIXJlOysWXa+VBjPplnWc/QSY0ut+yTxEYMJivl5RvutaSJ9dun CEjpTtI9urdb2sM/LNskvRY0203lRL+x0RGyu+xz1U9YsJbJrI7ZCfhtQE8lcn33xeZRnfi1nNnU OIKWPG3sB3WfvtQfPJELozI07j+vtUzGzOvn6M50Qkl73UAyirWJwocBjJ/eWSoL1DshdFpFJ7qW iigZhpJSQMvm65Af7Eam7S2OBPAkqdvIKw6VAPRe0CpBgcJ1YZTyvsfbslFEYa4MHwxfJpaNKcW9 U/+w5wwSpFgJViiTCC7NUxRx42JFIpKdUJpqdqW4fv0voLp8mX/RPJl6TjcGUp832yWzEFtYfNJz okgZsUAOASR4xGP4w9QxJuL888784wmuAuZqPqibkNYoIt+eS+jzTvzDKZP6T8AEXDhq9z5P72G3 pTPn582ugEbgl6kM7auo19L0ImYUd7sf3drtjn7RQeIJlCZKxZGSRH4I/jTUe3FCPL59QJzwjd+n qctPRTCXlY3dC7lMKYai4sPHg5hpeHIi3hsWZfaY6Z3zh6CpEwfULm6xcOkKB/RYFuz24ICi4Nta 6moAa3Rsy0l+NlIcnOEVoQKPJ6jwQrQGJjRlkckmzc9U9crv2w74QTDYevNB5YCT8MoopBaAYcP4 e7afbddZZhoef78z/vClRIXkp9BbhH/lPKXkYRN9S6zQG8yTdVhaJ+1Yo+YJ7dqB7A3bDiQEKPDz qAf+Y8n/qKfbapkqXv71dJqrKgL3rhTSt04iy/f8YqjHBfOUbwyOxyATCXa033bvfD/+efKdWaZk OTrxJCJxA0WomKA8zYP3MBwLSnS/Wdodvc8C14sOg2WIdKCbO83HCGgLEGZL2vcYp2LI553t1+TX vSDAs3xQxlv65kqElEz5vJP9mHb85nNZ4Wr1CPOd7NfM/mi0apkujvRuZcsCjyaHHIxLcYgC8W7Z rlgxGj3rhSDDwJughU7/xipcJ6DVNGeEKYCZHmeJKESBeCMENg1aO3DQekJJjsgKSOd83ol+7bEV dYY8K59330WROGWfJ/THb3V3Foca0O+MJbiW3LPgnebH6E3w123WKjvd4gA81ysamyjuphNj9iat iYXyG8Sm6LnqkgCz643fpwlUHtQFeuLQ3MsXm1k9y9T5+HtU0KcvJy4dOKxtUG1snt5DcWk2QMIk V0P77PUIzFNcS0ysXlykKorFh3WCK698jbbtIMkClXzCOWFnsGTR2pFNDpiQn1jr+qnItu+d4Ndk Jwe4XSk+qBSXKkUgubLy553fhz84+XIifSGzVZDyXVBROM5G91jVdGNBWVu+oKBhpIlq4N7aggrj cckAFKMjlx86coak6JmfpoN8s86Hhh0YynC8x+q6QP0peaooHj+fAFfCMu7AiYLeKjMv2NGtzzu5 j+f4+jmf8PvTqdqKllE4Xok7r2kbMnGU7fZhYAKZ7XFFa9KqllE4PkRFPnHkvKOnwFg4EPPC8TRQ UieUGOdJpxgNK8rtVpyKvfL7hQc1lRMQIFKxQWHmiR9FJmy15M87rY9hxR/1bxZbJQ/Hb43icZb0 B6I/R7wuLxXU7s3g87ND50oT9R6PY2WK+ZhNZylfnSXggs+hAeX6E0BNTJYwiRNWARlii1wEj5je 0CsHF96UeizzvBPCn/t4mqrECYWYeqOx0j7vtD5cBbn9JHk4dNZed01FlfBJujT+GMNv4tKz3lNZ zQt0bboJ6zupj0mQkUTTMzqbKJ+cokKvDvUCHCfbaGr4ZTsUketI9QGd2ytHQRTdCkYRvABQSenB sdZK3cUTbX7eKX2cat98nBSoRwISYRMVBeVVCks9O9D1nIndJiqN2b2Seb203/l8zSgR5HrMO3rj CUICA2A06FJN4BHpFjLZgKKAwljFZa5N3uWdzodtwCAKqCofFBx0VKMk2DLr553NxzPujxzv/H97 3LP8ncvnHZETiBTPhdvaVzCoJ+fypdqN8PFO5WvJ9fOm+YlzfOp0oJ9OqqavMzlJOV6HbfBmXoBM 3iq+ssd6Z/Lh94DeJ4KnoaEKTJg3PgMb73xV+7zz+HA3b8/xhp1RBDvbNEUheZWUrOoprEDVdPsr rbqvw4l7fDlFlfEu40IE33f00gqyiU0L+xORnyihsaxA2Xn8SAwxaVVrZ/nSKwdBFG3pADzyQRDz CsVVLqc91+edxIerYN8gikneWY6APvhEvcfkTooh0MbOcnllEY7fTHT+nAYAc2ui3mNyc1EmIW3e 0YPNLuV9IqYByx9DhkYA6GfUO7/QXLqumTRhfSfxcQuQtIBYywaDHkIuWArOa3/eOXz4i/NvDQpO qvSptHkKg/Jq7Cqv1ZV9eaFV9QOWNOEGqoP8PSjHgk6W2/U7WgxVRgYiYVJ74/wyJ7lg2xeFhC9A PghKLpIHPX2+cTBNOFlO+Jt5nCdqQGsVUYsP33iSx887fQ/7Nv+eT0jZQSPzeYrq48zIzkGRvGs3 8s52PhXKfygXprocz6f3oNxbUit3Flk0WlA+yzkyBsSAMU9jV9MzQdGcmpNfSoNbbQVhM984iDVx 351Jz8kHg1qspeQF9NDPO3WPj/+2fyEtMjZ9xDhNUUheZc5er0dPX259XGDi4aU64Kc0Te8h+VNb MRX+9qjww+MVdKtEiuPCSaIG8GhKrhql8C9+h5Dfd9KeXTwwXJvDByEtIJ9Dtm7ba3zeOXs4noaH mrwnCaHFTW1t8igmBz/zrH8KQ0rHZZpzGLj9HpP3XIeFmu+UvVv6XWXzhNRo2w4KlWd/J5Y0C1gJ AkNV/rGVYTF+f1My8zcOwASTNTq2JjUox4OCxxY6bJfPO2OvPTpmtpxgc5ir77p3vh4b7Ca0MW+Y mT16KnV6wwUuYzZNYYVcGd6sOp042nJCY4ri32eaEHesqk4feGnfoc458PZdJKFsbxxME7vko7bh g0okZ2+zjsZ89PNO1vvzEGeUCRlIHBg2T1E43mjft0p3Za5drw7/pOaLsPbkC2PbvVP1mqtNwaOo 39GWE8R6gCDncoLpfF9WMjhR4UABSBBDHeJt6IWjBA/L6CzE7YMKdeC3yezpxF+fd5ZeM7nlB5uS ROD1WYpicSj8kxqUbJaoOkMCduHpKoz95ByA/fnO0Lt9KTT8yx19051jPBuLivpeTeQFNAiBMQJy rWdHgVH48/POz/PCLzSwqYk3oR/v5RTIUuKeT7l83ul5+DX/2HVstQCPb/MUxeLkBI0lbFOlg1F3 AYRMG1WtJsrIcTVFBXKaEOez7CS3yFHzNE+EuTd9obssQprm8iRWqGJ2fKB7X2TSTT/vxDxM01Kd IN1BZxNAHEWKQKN83ll5jFz+aCM0xL3zztJ7KA48AI9wAQvMScUp6iUV73K27doH75w8A/vhL6eO hI22mmArmnDWYTVt3IISH6kwUJxkX/ZeLrCAGcs7I6+5DtdQQM5BXamCXogc/DpfM7jqbs9cweiA VIkHmO98vGZY8SlmhfFit0sM59acnQ7QjB3hYXHcAsxKXKFGC5wyKNujEnmJXt1QhWp0UmlB/DxH aW+X6Elg4Tsbjxks8SmTZV8OmqcT+zOYwD/7552L90czuOqC+S40om2eokAcrwacSnGcSiHSSsbH BB+pSledXPbOw2NEYDKUlrAsRiGTklInuhfeDGge2AZYAjyI1kDzrEoR3aqZSa8cFAp6UrdczWDi Ezg7xFCR1HLC2887De+PEBMTBa3cjcaJTVQYibf2GDdgonLy0lMdiqJkA+mMxXcSHjNTKQpvoQw4 eiSO+llpw2jpeQqqAodcqqqhSlRTu2Be1BQ/7xw8hvyEFcDOFcOJpWWrts4Ryo4W0Mt8Prjuku88 0l9gwLr6DZ6iWHwyFu/pUjuzltFk27wOizFhomoTFZXHp3BhO+dn9BodgCQJZxAapvCdmZKgBCMK SMMKuMldURI7eKffNTkKQMgt34E7b2Z0jUylZX3eyXd8z/Gb2p0QD1ew4zGjWBzobKCdjVJNv2iT jAfjwTS3KEx65gmAzH/AVXY2o7X8wAsSHFKAfhJc5Zzq6hxkWTdgFZykafgJNWjd8E69w2EhZSSA ujGcdSWVg+0CcoipPu/Mu/aoequUmdEK3nL05USFeJVGrg9p0dLW573+n/ylHyH+00GTaLhMYUG9 8+54YctFm2mQjc4lOzOQgF1gTQWMdPbKR6EGPcznvwW/rBWfFvW23nl3T+c800JFMCKmKuDuSH65 pfV5Z93hhJjjZ6Lw28x6UWLvpDusYjJfWcDEKkJyR3eLTILiNObrWdXk/GBBRcXxZiz9XZ/RN96G iTJFkeBgNLCiuPGYvSA9PnExQinP7rpeOTjKKUUwkB9wmM4cKJkafQDM1s875Y7xuF957EIhSBk7 ewj1TrljoMlcpbj18UAl3nZep9MLdx6PV95574y7dmlke0oQiaN3OonWOQkeZQ0KMJSMDSrEnnKF E14BItN3HnkZ75Q7Nj5Y9Z1SRJquiHSOu93E+J2lft4ZdxZa/JxQYJOV5sied76dF8dB2L0KP/wu Q6zUizvcHpC/0+1u1RfNxnlHJx5MsI8BxYRE0gm+t9msNXYrYTGAdrmlwaqqvLPtnHrQWJy2Qfmd qbMTw9g+72Q74hZ/AQZwPIY87j3Jo+o4yV4ISssNDbapigDgbfPUCpRNeeO9c+144yr9zWPc0YuZ 68RJHQUQVFVqTibTghTyS24I5R+8SIe/9vPOtGNVhSkLkmYbrHOecfIx1dvj886zYxr9C1ghVKU2 Lz690+wIMBhkILTpkGiRgqdIGtbnBBrEqirvLLvm2itLV4KNNk0nlINMQE1UPG/si/AMw8U3oaiX E3stisi1nKLSOLQrIQq/tg8q0p2Dr0kvCuTNd4od7zvfdXi9fQ7K2W+C986vY7ddzIPuxgyMqJ2E sJwQXOqyGt07ve4pPikbsdHLBbVA7TNx16HNqZU3ydwEtwXA5HpxYkRnvrPrmKERqDJEZxnm1I1C fm8mYDrn551c18w7564m1J5nvbSxFsbjS1Lnwx1FczWCBhI8FoKhigEJBVVVWgwd16abVsp0Cw6g MFZBMpzFxgdgQvS7nkHaIi8r5SupxWI3vicICijyQA1JGzhNuSD34aY7pzkeD6LM2wiW3sH56ahO o2kKo3HmwVVJnTxYxy2qSGCySl/Zz/CoMp4EoiMx1UcH050IvEAaAWfT+WMyjQXP18L9cAjfsH/A mVtvHHBaWE6piA5t0Bm+zudNlTLLwOMBsKDkn3IBKEawXXTiTxyMj4cdRR2M0nWaV8BZvUbXGOWQ +fMejCMm0dm0dNVxdHBmb+egy5wmOOpNYTAmWPhfKDR+8b+W2w1SWvvfmZr0TbJBkB4Q1yXYfb4B jweclj9qT0O6bF4s6FEkDjiSYlibpXW1Csrq7an3Zp+lCKkypFDaqQloo2ZppdbRgaJiPlwzi7SN EK1DtRarryIitFoBykn4niBjKWpDreaDpglBDQNpYADx+L9YZFmGhwuRu9fFexiJZxI2stF88E9z 6AMi/jKkUKXTEd6jurgXVeZad7SMZYCV26Z0Cigo2aVTwLoea8wF5npeKxCRLIrEhaU7kRIRPYU6 gUpZ2qK88Rde4Hg+YLY8pxM1N4Fcn+smLT1kblaRyWZ2ECtBcOTeCxQqIaiWTHm6R7H4FJJwZbvJ 8nUSrYAwwy+N6MzzZW0NCbIRsNKw/E+kUK7+BbPgHsXilZKI0NjHwKRbgAKYrUg/5lwW/ICI4noh PSwuJPQx0j2jIgYnSxtg0zgNuDZTZqvnlpouf0ESr6YqDMeXesDEpdpoN948+VxvmY4xc01Avbv1 zKFNmqi8O29Jc/HK61GF3BxjCh3sM5yd1a7Mvdnvc2KNief/deexRjbYLX1O8zgg35S8GM2grJ0C AUJolqlJA00erW3EBj2Cq2hRLVJVfbRLr2O3zMXE5VwRUCRy9ZlG6CFUHda4B1XNeuUgOKD0+ypZ 1A14qwgmtncngON7gv6N54OZurU6dvBQJBwogNlMhWVyPDDboGYRywe0X/1PEaM9m29ho/MpT/S4 TC7VyKFmL0cH9sAlpovyWqHDtiUT0sms7KjZVkBUrzLp1Cv/Zfs1yv5QnhtOE1xU6Zx5m38pEslP j8Jyno8PYuX8vSs/d997WI7sRUrmwAUYN5hFA3ifJs3UZt8F38szPUKsqGICAfNyR2fhFSRxkukB JOPks2JN0VsMDsjQDEjXAaXaK0eX31Q9c/sgCNSJX4eUVc5li8eDosGF27PAcL4bHTmfpwhGzqRj EKZM/bW+iIygE2aBnScKUg34rmx7L0KsmPzakpwRRnZoyUo49/f5zTrpQOmkjJVoqZHoQwIM6pcq KBdHfqYLXxShWRkkGCQDg8F+Z9n6zrb4eITQbD/5S07nkQkwuk1UGJk37rda3V9V/rA00Z4gX5m/ KmAOWlBRnXxJXHOV2u/o0MMBcgtqNZiohNYzIZoLILHcScZf1F6Tnhi5rz0CreCiPDNUFHQWDzpn J2eU/ywTj0d08/nHRJ17asKFyejmUWyOThiNA7a38p5oKkmUu9Mt1DFQIyqUk06Hskrfd/Qy1MD5 mqh/seAViQoK1B2gnb/IjQClxngudIrH90T6DiKct+aDMFAnQutNnbyc8XhEOL8bT6LU+zvnQ8uP onPul5m2az5NKN1aKJW384HOKyAyJC8/rJNL2mFbHZijh1LwQxuVOu8bnYCmOvlkySoBsEU2gquu oSGNL/pb/XdWihjNwtOFiXAFdI8hd8NMhYXyP8RCTHPSj6gRoshxAKMHaa28aSSFyeZad8D96tVA miMqlLNgiAtL6cyYPFYHkj30cCDPxAoLlEgN0ZOgijUSSOfT7TvgI/Md+JoAy0rv553kzpRQdlC5 ADKtUwu44/F/8aZYjDnvCKc7n6WY15kZD1zBkKbIHJg6aubIZAFSRLbtosjcwD1ncqeN2WYJau4n bsIPwqomul2iqA9WgM4e3OX6E+IHG/iavyDtO91HbdCuw+rXdQetwxHWyOtlbzDdOSuRnAybpigq h/Y0KRtujcatauyNVLKzNwCQ02KKonJ5MjFWuqOfTuBltykEeTkhVJMqIvTwvzDVwQdelvAkC29E RXKkKKzYNA6I93gmtXJ+GNKBxgnV8Xy0nH5pLrjH5ygeaY4oJsc1hqJGddbUbrd/fv6kZKdTRmVN 8xSKqwzrm5tlx/6x7KgbnaiRhLSHKZAkXMEvZy937bucNjUMRlQjn/TYa5vREwdGTxBVzyIJnzsD jwdH0/IeHmEQSIe5yzVLUTy+6MpLAUvTVqnlthL8P20nSOlGmhoRfrwmaT3tme5omQvEi1AvoLXQ Qr87m6cCLhnUcQB2dvQ4IiB8TbSYkOE1IBMwkENG1saityc6nTiawhL55eAJeAhLoXSDzBHVyIGs wS00XYKmZhPvO9OV8vZUGOA3TVNUI/cEb2WrbkrgjeieE4adO39RXjTtyjY6qpqgt0xx9tDrtnlC Pfozoho50qMzQY2thEaHAEHqFqwMuOlK6ng+6uD5RPG8P5sE0FqfpzAY33IHGF4yGLOax+UJxps8 92B62qy6MuJgnNWVWcq8o8WYDYasQPMQd9OAfWPJIBFqXpAJNNR3fNsxxhxhME5wQZd2yLkOkEtz 4+EU74xsoQGLD4jQGA/91UpY3933naswHkdQ6EKsrN+15uHTSctVPwAeY5uu4Qgr5bK4HKJlaLS5 AkcY8h6S7KHePYsGrApS3vbsgZWvkYJO8pDX2XREEb7SoCpR1AuGsiFdgM+BW/B8MFUr/2R4LEul ensKMyyW4+A9/21nCp8UeguuwpmyVYXO7lSKN0NVQ5KmgOgcd/QO1TpB0gDFAT0FOCWrLwxk8RfK R5SBuo08MoVnCFxhIy+h7WyDoHV7gxXJ0KBUPB7M022fs2AH7VxUxnyeooCcLpgnw2vZcAZgklqG t/iDM8ND99vmKSR2bhl6LTP0Wo+hVzp7K8GIg4nL2Yx7yPus8VCF6xSXlafCtE+YIbFzUGhlyIFw rJsKn2siqYHey2eGOPI/cAbwwpv9koFmFI8DKobttlzIQI0eneb5xuMNkkTSyopZnaaSaVbY+bHC PoEqDIrE0Ic5UhUZiGZUGdf6t+ALHOBDxYcZlsuHoGLC+UwDkjfIkbehKVv1M6OInGfNA/0958NG umPzFEXkbJSeyVmet+RuuR7IZdnbCmNvCw5mXCvnvkvCWmh0COI4d96UpSUsVHcRXDFTTRTMgpzG w3Iht2zGsBXaYBe6AECa1vA952dN9NU7EfLE45GgaPpJXDKytJ1vqDmjkJwim+ca6N5+mYKwMIgi J1qKNDBB1zyFpM4tqGaVgxBHR9YNJLsrK3MpZwERfTcmJdNZoigUlLXe8LBXjhREKGbRaJbKwcop 52ZuAtn1iseDpmf7Yz0V9F6GAzJmXCZXSLA8iuo00OI8FZ3u3Hc0EMGNNyPcirQgzzrq445+PqF/ VzK5EidOgMaOZDMT8jQ0CGgma0EULT9nFJKD3wWLMy2nanAGIGv2sJtvDDz+r8Iv8RjQxWjPbRfB VmQ22FK/sWYzfxJYJDSHrYARYbMUlcitc56YadroSHLo0VHRBgjpE1cWYV4LgL+TleZKnzMB7smk nlFMrt4wpaY5JMXkZ8oHj/+zQAZfM4jJL5OaFxuAPWXcaQoZnYn5XWp+iO++fTFt2cEZtcyKKjNW PBTQPttiyg9KE7bEswHM0NEPPkm+Qq0Ew9dFo17oZt1GwtYbB6upDkkdZh9UL0BuxIjtxAd8PAKL 1Z/gqdGVtd27LoKtoMuOfrCp9oG0+PAS5Jcg8UxPg2cUkZvB7t5p3fGCWU8odW47xgQJ2oSKCdpO Zsp71m6dl+ZCvP2MIvKGDsmJJhZloCDiKqrUOUxtolbNFc8HE1X/AK6QBTDvIR5SOhsjpnrLT7N6 +elEPFscIVDOXRd6RuXxoUuu0WPCRgsyQbhBs5NyWTONnoUQbhXOSDAIzOtpI7Bpgu+J2giDMLHR fBBwBYZgLKCeH7jj8SjIvGUV/Zdhhpo8FV5RMJ6oAlXV1WT9aTRH1SVZ51FotDnRZUXIlWHHE3so Ntrx1BA3pkGu4gmSztqRy3MBAHaCktzb1Q6RgMEKkSt0AgQ8xQdhDwFIEx3ovC0e/6fIiigMWJbl MqlXWB2XLxDbsFYd9y7CuZH6sFS444/QPIXYFaHH0Ze9o228PhK02FkdX9DjokE7mhdsmcDxCcGO +RCz/rTC2rhiJ5BQMcALUFJiSD3YDj9/UsHzEazOJ4q8LrT/JhalzVMUjEsyJ9MtQUEmLh7jvu7l FnlkwGueYuyK6pjyClwi2H+bQL+FlnmbKAPMjnAubfLM4mlV6hM7ncQb3/O3Qh1EenwwKBQ4ASZa z8f/FYuLMntOpnRxKyskdUpZSBRhUc0c8wuLq9uUAuyUUcEKMeR2zQHv7OMt1J3lVCVSd9YZ4GNd sTjqP5Akysh4PCogrm7F5XEJqzTSy+AnQC5yJU6TsXQfkK6NgnGSou99lxESzH1RKyvUHcePCZHL ZFgMoFhVLEB322TFKjqTNlEhq1P13nP75zs+dV9EymJvnD+OBydKUqWaidkXLZgH4DP1ykGtjtr+ 8NcDFgOyu42lTOIcGzvCFNTBJ0Ql8j+EtXE1734ZsCsKyKkRAQkrK0GNpAbCorL2mo5bQQVccxXK rMgto1cREzhqrs4fTx9HJC5gLCImpCokhMmBWAHMF3UK8xBM2175b7IYOKB8UCJ8MjwLyUvne0aw lVtZwRkFihmAHTZPUUgOXBk2X61GneozL8f3tLGtVEcqjOYpDsnltdhTvuMFjZ0zaMIzC4pZCJ2I QzzpADoTDd6x8Kp0LEbWrReqrEirrlJLEy0wy4RPLqEiBcpSeDy69dJPDAXBid1usLlCcieos8gh 3Vsj12XzBNxBM6LLQraveQqh5CplQtvwjjZPZUBLBO0OdIRhniSFyJoT1WhQBMzjzhOiJL5yFEQp ylyKOeHLxui6oSeIbxyo1K2wTH6Z50uF0O+u1xphxdxOIg9JuZXKCuRlXWXFZcUaoDmmQh6Wyacx ptIzOrZnn1SedJATG5zTADhZlskzhf/RvK60JWVLWIK9+KIAisENt1eePnDflTZGldRvG3zPCGKw fw9zClKlve5p/ndyJ4xnbaZ6NZ7niXP3nanaSELDTIXah4qihmlHj0c7GsIqEDunOXM+AVChZR9E WNFYKLhKECxePDnZCSsUIyekda+cfVAfL5+Uj6ci0B54PFpRv93OKRXrCwHeUVhOaDdYIk522Xei wLfwWl3GScAwasfsToHEd813dHQP/LvONqty/qkoOvLaQ0knq7pHLwlVV2SPvqPAvImgPyUlMrGh rViXJA0AOWE8HpGCfmu/zILgx2Lz9B6Wg4vHWHxsF8wavXs+bJByxFFAIZu2/d+lVmRY46PN07lS wM6aBCBCwU+iUX3AlTzDU5mWGffKo1HCDjHlhGp2MCptUDMBWgKy7YRo+36Py428pAXF4JLsqYuC 2iGmnKqjmxwTFg7quK4bWaRF+rcwkuZERXG5yWluTYJGL9Z11OEpRQHwdlZxHBv+rAY6FWWQ6q69 8NAbR9U6wsRoAatBtCAyYqXAlgYej7D33vFkDYD/d+Tmh/kO2Z3UHe3pykfv7Drb5wyezXErBAlz ov6BW0l0s7PRwfdwqdtZhai5T2Jkyg9b4A3I97XLP8fy0ytHsjSGF6s+6IiiHBf/ubGiIuQKu+FP 9fdcOVOMDs5TCFwRlHtN90xCN8wWFCRCbUHt4mY3O2Z3SlqMhR4brcBy4oKTGRVCDRY02BIPUPTQ 0cEGTX+nW6/D/YuvieRWCNPsMvgGT1HHd8ehwfTt/NUbz0eIjD+K5KjWPHXNHUofSnK09esJVNtD x5tm+wotEN93MW5FeTBhkDZ60wUpcKZ89ISZ96aTIUI3VElgV4+L18UMur1w5JtEhTpofNlg2w6M F7fKxePBffeHGDniagDb730XAVfIlJnWhSIOsVtbAflwccfXChM2TVMofCipmb0NfLgv+LBBXy3N RQsuKNg1E7zNUIWZlGwrFG1vyvKa3vhvymI1jzuo/FvOUSX1nrPl8fi/yJ1KeICCutDDHUbkbOGp LkG8PSTIjUE1e3JQXaMpFFrCOwauCC22rMqyrlAdMHQQ6qAAG4hsFDJFdSXhBXn8Iy82vVGaQ+N7 Ig4sq5rs5GnQNIGtzVO8jcTHg9su/ZG44OosT1QQVsmROpxdnbZN04kKjGh2VvG01dQIttc0xbgV bbpW2x29qHkCeiAlcDZBxA+hvqYJhBzg+n7BYnvqhf9q5AKdVBtU1Fxo4Ql7WAcej9Jg70wxZ0aN ZvfrlLCjaBxYVUKUk226IktJOt7s7uROGLDYposgK+aQUKp4LhxtmgbqJyS3oPa7++pyD4JewxeZ 0hchqDudE6WN7/mr0krbxQelLecGLNyB8KLD41HsdG865i2ZGkCPx2SKwnFI6kBDb7rYSuZKJmQF /A3LhFGMFBQjp1CNXGYuZxWWO1ppZY4FhyAYUgN8eFaXmSixxgjKNgg9cC1bMgfa9tIBE5bJ3UhD CtLE5G2rZ0Io8suIMG1+RARq/b3zKLCR+u0ME9X0l27eIuqR5ISGGoGgK2UkURZOSFq8NZxTHJcr f8l53NHzFwRkEPtFogelmkxfeDC3MlmeQAQyJTZR8mUvHYEyMnFQrJg36CJQpAj36Ro80vumnVIU mu8LlJbzVCfIaD3T9R6cu3dCbmNZ4W7Uawkwt/VBz2/WV/fpCiHl6hjA8P2ODjlAyAn4PSAs50+C LwDzYsYolboJpT8Cyawg4JsicIYc3+iCQ9xXV9fzpEuTBQUodfEDgoDqZnzSux9fKtf7ZIWlc9xp J/o2+C/+xjpsssxAGJyzTTtfTVboGGTlzdme0cstkMhog5NV0f5KjMzhOQ/mC+sHuNs855ODQopC dGYxJ1FkcsxBB9dJMzr50hXQAzwfVc/vyYVJBmh+pRukC3b9XvRmXDXM6By4CfSWZY9bmrtyjObo AyFqXydLNc6VNWkcHZaIBQCnH64sBtdS9cF5mIksrK07XUGyzfymgK8ge4C1qg/KZ85OKMqQz6Ll 8xGH34MG1toLI8HxOHalsIBeJGo7rDA80uVUnTPEj/kGUVo/5uMKumT/1HXQaLMFDOso5Ggi9WtD GpJnP5KlN+Dnmq96Rklp20v/BZzYdqM8xG7JlxZ1BBU1DT0fuJvl9EdeUwk/SneyQj0WdGb6Eh99 06DDZggSltnhiXBs8cmKYvYp6dq52jP6ocXuMYJ6UPvhbiXCUAY3/fwf0BRkSaU7MTd76SjQIoRz tOGD0HYZRRAeX+fV+fy/eOqJS+sEED+QspxCVRbBW7h3KEKGUMdWFh2WRJSFiL3vw9hGyKTvyzNa AFE6TLuhxgtHBeiBECB7Dq3O1j6adjzhdWgxueM3RarAlbpavBcHmblcWYCaM6js5yE+/y9PBYZb bBSlfploOUXxO5QHgcqxshRak9cTLlEb1dQ3y3QrxkidpZoIYDGvwXL9TxsQCkhEJlkxJy6ZTfru yHN2Zh2wXJTLkjdVioXLOVltDR8EwQOcUp0ssIrxfLANa/oJHtj2LPXnOoxx50RT7+XNh6VcR3WY ViyIT8SHaK5ipIt1s2a+o21D3BUIITu34QkqqWcJ6M95ZtMYrqcLTcjV3zkoWMmwEpGNDZqrdWI0 FWVGnnw+gpjdyEE9HZihTscm5NDic5qnUDK7Dkjouxx+Vq29EqJcr3VliHYRHS8btJqj5c8ZyjgF Ys8nf+4nsyZwFcInZ5rAEDgfCCEMi0pbt3eOjneawxUl0MUTaGjFpiV+55h8Pogcrv6tGhAAuj0h aejyCak2CLSk7PipZYbNJ8jK25YVGODuohfYfMrejqptbIBqdAAVjpVFOcAT/2y0V+Sn0yhizpzw UmRkAJNjl08Ka6QkQF66M3X2YFJF5vwJfD5SCr6GXorI9ne2Hz/UMHrP9XFm5D8pKEYpKSBVvpKS GkT48iIMnT6XtJR/6ES33LBhyU31ijNVkJaucuMF7e6LkhBuo9urgcu6vijqapHbD7twDkvgugY6 AXnZZzcPPh81lH9rxqgwwmXiTlVUXCf37qzbtn2qsstJNeszY6o6AJ+2qqLYfSZJ/zDYs1FTBcEl lBcGhZKo6aaqMWid4D6B0JOurdBo9srB/gMuqAPL6QNXFY7cvpXwICkM3D6TDEa0quTvDKbM1SDJ od8nC3Mnb3aBskFimylvlWUCZU1NTU1VyAwtqhibOn5+1PFbpwfxIE3mnIbrBFo8qyriRYa8hE9Z FauPbu8coTmIHM40aKy0iVYZ64RoU82Fsfl80FZevwKKDWz6kZ9VFWma9y6AZ7Xi8VkATccW0EkU uSnC5iXfgGHYLiZ2yVbQejxkR6pl9DXY3DrneqNSA4vsstRDWYiHldBUfdo7BxuwbMn/ZB9U8QMr cYgpswqfj7RLb18ZswX7aACx7lyFDkMk9CSuY5MNfshXdGEj9gWaDBaIhrafS8yObmptHL1jCvER bEoEon2COaNSA0XrE5Qsa8npVv1UyQqNPyfromvtO2h9gL+uBlebetPoZP/DWQ+Kl/Ni9HJg/Ymy DIuiAr2QE5K8LAOMgpVlzuZJRgTJgfcnhHJUjiGt10bnP6bzZ4xFMOP5LYZ0c+BDji58SWwgDWcW FQDd9E3RwiLJYZEAyUGx1R7udV1T5fP/MozjXOHYw+73uQpL7jyukvCxXW6yho89L16XkUGoomVz FdbcVRkdU9ngmDcbhEUckL6DhQbo3m2WueCEBoFzFWQure/8vc1eOig0WOrcsw8qNIxs4UNloSGw ABWN6wf7if5rfkL20AGUKoDnBBluldqV06CClRVbLZYZnFabYwtQiduBzXDHG7Kf6KUiuAZj7fzo jX1omHwAMITTEgSUh4o17aWjfteQvM30wfIbSF7autp8PspvfF3hyUxyYwHVxGYrNAIldgxEdlfj 2qJjCdXYH4m3aQjQHDqBrm5xgFQiON46w0meU1/mwAvmqDrysq6gS3nu8+l6NXvpvzV01ko2DNns nS85uRK/8pz0fD4SWLxFGW7DCVfpdTOc0AyU7ddJqTTWGWYig1Oq8CBFZ8GvW/V6X+gGOob0k4oy aI4OLD5bYlVEVR2O84BfMRtsm9oKNGza+1F5Y4swh3agmUJTcJHwQdtwdq5ZRgKTzwcpTv2FFsNg Y+O/7HMV6rhQd/nkGg6XrQJ9UPAmz2bbcIHMoSMrsAM1qWsc63Xc0ZsUoLycv2lxrs6pSElVVN1B G6wwJiq4Hbw2WpO99F+pyERZwR/thB7cfD1tL8rstfkBUQ/sD4c0nAmpXcmEHFiCgkyp3NlI7ZkM ay/3UR0btdEKhJSvrBCyrsxlVbXCODp2DyFWT2yBndMBPrxEYEtLCFrh37KpYLYlpLTtpQMwQ5ZV +FbVj6ABGaEwxQCVLetN/6lVMmwbotV7Jyv0IqqEWFUji+KftVif/ralaQZnndUcGIOiJl0saJ93 dNhHOf8zu3FtN9quWREp/NygVppRyfCVRc+BHDuDUoa5wOgVQ4HMDyYL9mKb8enJyic/IOpReKWB jaDGfPBnZUVV91YECh2X03ZNClsmulkQGYb5mqy/Y2SmhVAafWUhWgBFlET3k7sVVm4G1CDg4HIe gOaik2tUlQntQTMrWFBc9EGwhhOSUoofWJXG5yN2zR/yLpDo6v1mOqE/aFP0Pr0qM/vjcdUfmEwr c/lUhYKLalAUdeA1elUG0Hf674LWBkV08Zih1gV/2IXM8GpPrWmv/DcR9MpKgwZVZRY0xWymNp8P Yvfye7iDPjh/L8Ko4M5u1Ymhk2sNt1znRco4BAQSS9tn6h866DlZcSZdo6uT5cwNv4olYtu5VllC PckgmpQJRGyELt7KyTZXIViGEoIFnVgMJ9yQewxUu8h0RJzC5yNY9h9JIYRoqR7ikxUF75osJCGe QA8rkVYAmpYn0DCcUAId+IQipBbose52R5sseETCa52Kp4gWt9gAoLmBu3FeeLoyAJGj+p7gHlzE PE4K4nAQW3LCJ4FhwPlgPh9Jw/7p1QAaGsjBd66i4J0yrfCbdeH4chXRW+9mZdjADL0LKwzerc6O a8VHP62gOXC+ZSJqgO1cESuiwZPrLCzUFbDf61SvfvhL/0U7vlN+l0PXwuo0kCR36/zQfP5fRayh Ks53r8uzyaFfKAtPk+vZHEDKSDZXJ65yG/F9LflyaBhqJgQlyU6tpIs67sQXbyhJYV2dndKLEkiw 8ztKZS1dIQWB2HNoGMpYtAM664NSu33OdXObQ7k9cAwF3vP2nkndGlDDuqjjHHqGwssbLeDuWxBA KTvZy3DY8TmTh0HWcmAamv9vGWEy1XJHJ9vshJI0CctjnMihiBVQUSAfbLuVH2t6lfsC11CtXwCO 6x20B3GZSM9zz83nI0TtLTWYeSYl++9cxVj2IXif1xpYplcbp2bT+Wxoe5sTSA6NQ8cQ0WYKqM7R AvdzzDe4qVFrKcFmjbUG9BKQv6LLWqDLZs3UXv2lI8YNqTZg+GBAPilhjjNx5MOc8KpnfsC/rNdZ 8FP4Mq88Tg4NRAd7z7MZGwL9CYf+90yfednRAv1i0xVF7pYTDmr72uh5TqKHVsOJVVATz8yJQBaE +kTDfbABaTdpKjXqAw9RySMwz5FjEX4FJoUV2Hzu/bFQmwlMRFG3v3kOb85zExDI6LMVhu40BilU n+NsleaFrGaudMigNyp4ynMCH1GcWcoGp8Q45nwM6E70M+AjmlmcaSuBr8UCKea+UHEJSmGuT4Wu Nb8pgq7RFKQRzzgoMi3sGgwBKVQKxanMT/hX8M7/MoVVny5FYCeKn2kRubY9h86N3vVUPXOCZYNm gmeFoZ9ot9Lors/oZT/SAvMiCGTBR1bl5PMvtMnpW796ueVkilTlwFE0sXWEXtCoPqicfO5Fijoi M6t8/l/Ghrgd6KLSr7FhDj1Fh9BFbiSKCqAxK8+RKTYOpBYWt7j2YQSYYR6AdoPk9Dh65NCgb4G/ A+bCwHuJ/YZYGLUZ3I2t9IeE0+2lo0YhDuWyFZmyIs56w4mbV5Pw4Amb+AGRfEf6ObZgiTv3U08O jEXNZG022riJIE9hLKH8iJll1a/CUccmK4zf5bI2p1S9OHrVLzNoF6wBWM1WRNKtRNpNSuzW67LG n47fFGxDF6q6gxZWKVWY7nqWKZ+PBPV+56pB74EoaZursPbeiCjq1ZFYexfjyJuuFLuqKHD6JgzD 992tP7HuaHNFl6dKiWv883xJEtqWxT/2Qwrgco7EUu09dBcd1FtouhY5KGJACq1NuNfi8/9LHeuE LZQEf7o6ocGoLGsJ1dZsLflpo5A1AL7jbJ2XWI4fDR1G+5Kt8dB1yFGzldI4YS+tzcHqgzYhD/hO KyUATxhh3SMrC+QXWIwieiBbkF3oM0BBjUsLrcNRpOm5Jz8giB5uq4KYEZiPpOvvkGOX0cXC6MjF wtKyvAsNZ/iuzLB2lvQYwQc2oyZ5kdlDv6MzTk54kHYlHe6cK2eXmHAaEhbofXwnN6HcxMqyV46m inZrjWLzZ7CaH+WGmtIXQBsCm9EkxQktLLkdohG+7/Ee+ozC95XmosUTQ7roMYJXaZYR/CRHQlMV Yd5J30GqUvMdbaombDNhF07pk4aWpJS+0FRayIMz5tHywqEoKzAaFacNJomV2Q5zEE4WKkCzqeKA jn1oNZr+EHPGN8/91LFCr9HK052ybu6+ljyJriwLiXmS3VYsB2ajrmMFYmC9462PnsSvQcgSndUT OMxqBQfU29DYWftW/IjO5/dEviHqTrCxM6AYxPyksqbVdRGWxQ+I/DA8fjc1kPYFC/TOVRS+87w6 93j1JDqJsEPMzLyGfgiKfK7iwrvW1TbT+n2tQwDgn1DzZhKdCI01qzrQY3AiQ4vABVBSslcOcCCJ pC/IzNhg1dGSmZ7RtY7P/6vsLoYY9Aj3M1OxljoQyefyKxZgtW6yMScqWnN6gFVcmD//xXFUpRk5 aWr0fn09G+Uss80A61yJleWbcwsCNopKCXv2Lj5Uq71zBG3gVFExVYOm6lwgMuuiR2QOPUfnrTfI Cwo65VfZI4eeo1j0ebBPqKkyIJbUiqk/RA+UlTxuD0xHbzdnFMFAOHqWAyuimhhewTLtTJdSaJIp aiFBZzwKRMpyQtvRRk7qJVGgt6ruIGLcLAWY3PgBQS35yoVLMbucRT2eSzAM3E1g1tSG0FRNySfL BZ/PDhyug5ID69HsNkerWeur3dZXORHoWCSAI75qlGFQLIp0rqGXQKlm79cLBxKYj6pcAI4chWMm oKdDNZZzv1Jl/+zszOejWvKvjGPuhVXSfpGjoQEpuV+TF6+hZrL7jIF2ps4Oin7bE+jAgfSsUunM 5jHLHb3oV04ykoCApX7qOXrlXgNG3ReaHxQkubLFO9s7/6VP2BZNIzVoF84ByTeGD33z+X9BR1nU oVbZuVBup/DzGl91wGZY9qO6gXJCwgOwtE5m2pMtLYTbOrJeo6t+jtwq9T3V1DXaNSi9nSnQDLoK VXQnEtQWEFl7OBw5LxpJv8ZW53XTEgp5TR9UHZ0w8OFePLP3eY2sOkorqvdVAzVU+EgTAcIzMpoi HMWTOash1gTaJmIN25c8E0D4vXwVTNGoSpatLMPRcpsBMTmeTmzSn8R2qY8Dx6lNeCzPKRlkYBfg a97m6HxNoxUbgTIaNEepFOnuwdX58xpQdZStms+R+l0bRK9mc/QaT3XAywZjT153TG9cGu0s3DH8 OKfRBufoNZrCT2RY7SEHaY6Of0RfEap4bKCeZ6mTjCIgfLRQGdjjBp6yi3yNpTrOFlV69/JBheOz WVJSVLXb5zWQwgRbIRT9O+1SWPdUm6LXMArLiDayMI8zQHsjDY26HnNXE0BB38WW0WsQ1U2aB4fR eEZvB5YTZuasIOrkY6R9E017gtKJgBqCCDZFwG7gW96nKJNWySYsQN/g1+scAupYLZuTBXxeIyj8 tb34MloWbKJPYnP0GkDhqU3F+eTqsoOIaQvLR3KRmE2rKLr9RlstW0xgFgblsTA4f9FZSwhhTxIM DqpqeSam0+H00OgrZixU6se9Rk84j6ilsxHQ2WDA0JNxDeFiavu8xk7dyJGckuK40P3stdfQqcM5 Wriq6bb2qdULVyhu146fzibpNXDqsMkSsMq9s9Mj9nXSDFiOFxzZqAMXkb1GIugfvmXfRmUmNUuT zFmDSVpUBa+DYRMANt8qfQp8D2Pzczfkz2vQ1B8OeDUI25nqPbJN0mvIxKOeNbtar36VuOBkcKV0 XcjHthbNa8DUzTsBx4kw7BxvP3luhGLZFNGSgvWxWGFIdBYDvMr3GxO813CpOx6bXipnWHh9mbJO 8Kmqron+eY2W+oNc1ySh0D+V22GSXiMlnNoIWeC37N5PLWXTvC6mJkcbGhxu0iiOTm25qyyTZlrj kbaE6OqiLTuQ2GuSr02OPHqugOZ+iYzwEJzaVa9hUpefKrrtQ3pDm2hMq9oNJcEnEh+f1zAJ72ny epV5HbCrEzUHzdJrdRP7bdAhi8elmEhlG5YKGYUzkQbSPmnGBQd3ssaChME12iwB41TYukDzqqEj Q1zxIlS3QRngxAQOaCyr64WDWdoSXADMHMNQNRfGmSWJAwKh1Oh2M0nLKsgZdVqIMNcsvVY1u0mG goRkOkNjsMPGo5uVNW24EyS5zlCwlgypfjbsuKNXy0fbbVPZbcEXTdbsudPXk4keTZMuOI8Cxa8V TYaSBH2y9IRhGrm0ndyQfzmaZJ/XcibvNz+6Cd8g8AWaBh5LRvE2RaonPIvt8M6Em+qGa8vRG5P0 CrElo3mSNdhaltGtZ54yBCg2CpBAqec1hWiHax/8oiF6UYHjcG0Ypb3vMbdcdlicaz6o8gvBPvVi JlUpgvW07snEJvtZF18YFvpERVE3VjtqGsll0BJ1qtljHy6OhjvuQjeisNsZkq32O/rhdDJmYGLZ 1ztTtpfgU43qjgVKI62SJlIl5Dz0yn87wpHY+KCOQsm5kdt9ltn6vNP/GHmnn8MJIPmF68vmKYq8 GUOMOR+eZHM4EBzIm81T2w/OLDrEpzK3vvIdL94aseQibGOhr0qWPiqBCKSl1vj49wFzwjd+n6Yu jx5OkwZOEyBrTdKfdfPxIGjqNxxgR4t857Z8nqLwu3HjFYo5CBGbZrGAoFPFHfN00rDl1fEo/qYz NvrDYtVy9APqxJlpDG68E2ZSgVDriaYqKODA0vYqfyZ75SCRGyrNUehLGBymG+UcgtLsKMDDhiH4 XL/RZWe1aXma8s7761Z5nJDd8okqpi4PU+QbXi7KyKvcG00UsS3rBN/jjpbLDSRtKVMZ/OxskOQE UUA+vUjnlqiCOi7+xn+JChrtcBCEQz1NEM+TLBLr/D0xPspywUQJTIgvYSceERwQsR5ivtP+btI7 1ip+5SWTU4fsbrYmAv42Z0i+R+JZulBQXWzPaHUmlL5P5Eh/rF2gKqPWFM1xF7zHS9r3KB+0fXon /XWz6elV8RMH5b0VWZDU+Uv5vHP+sIeSX3osMhGD3OvdemEszjitjevi13syjMY586r5rZ0U0yX5 3xl/WJvLWJFt3NGOKNi1QN+fnfQCtfRlWvPwySG6BUbGZoCcJaYQBeMCwCKQ9UE7r6dRqpSZ8/68 0/2woKzXWdWUGmzc3I0XReOwPUW/I3ndMgmBt8gidaX5c5Iks1Z5J/sx+5XgpxRzNNo0pQKarZzH UU1dWQZ+0DX5boIzJokz0gaYXW/8Pk06oKAHrCHZ3zuhe8wSb+p8PIo062+kyR9q9nvjvcfj5mQ/ 1m7Dq+HyWMM8rbZcf6m0Ww2PAvIhR5UOwVwfbdtBUxzSEGS7z4n/xMJR5AuEo7aabnlXoM73gFzB 4gJXtPrA5VQT6uxaWS1/3ll+rIndMgET54wrcMhtmjMVxeSdMdSiTJ08jdJlruHfmqk2+wXe/T0o X80g6O2BoAN3js5dJaQTHYTO0/ss5HPXzCFF54vWn9KpioJyzlTn+WADpydBbICIuUpQRhSV51sq kE1U+0IT2GuXUUxOzvWgvbM5ZJVkfmuQmvE0GIxGK17GMbkqu4bm3A+as8FTrMmgHRJVGcwrzMwE 5/n8oiJWtFt2Qg/x807vw5JQaLBJhuSgUBMqzlvs7ZI/7+w+7rwbk/O/LLWsVHymoqCcnZKxKILF mVp0lBPJdlR3XCPUUzP1HpSj8d8MC7XuqJk6fzqUfIcqBnNUA3J2cuoyBL65Ch5VvaFXDoLypCyY gMKOhLHJcvxs9hPk4FsRx7bPO7sPl0H2ci9FhIAL3dsTvXdyH1ZyltrZuD40c3gXiq4q1jWHGAlP qXduHy5t0zuzuJOjw6mRIch2bZUCoUDGmzLYgRAzunU/eGotqvfAHMATojcb4yjQv+W8U88SHdLI OTtjfd6ZfV0GUs+1V8A4BOzEJioKzJFboZxZHO96fhhrmp9Nk5qXM4uDqd9pfZwoSZ41CRRz9ATm 3AubpjH9BAYJ4r62pnDpssqNZX01Oaj08s7q45qiFkcheIWDhQcIVTVls37eSX39ceyxRK+ja1+8 NP5O6eNfx2gzuZAz4Oeus6TMlNFmat0KLO+MPoYHBm7K646OsVu4IlAD7+jg4F7qOqXO+bThyJfX 9BawOWW9E/pYYWHikgnuKYKzUnOwACaK5VTQZYnCcte7rt9mZxQk3X2aoqAc4smk9Nw61LSWL3gB zesGM7txwTuXr7v07qScmY+e5yWwasEFO0EC+AwsH0FjhLEJUijYaD2H+dIrB2FUoVFP4/bjIKQ5 CE8k18I85/NO5WNQ7+EBQ65zOszWbsPuPSh3akxi7UcchmywldopkmCgfFDvNE/vQfm5PrvTPfod b7R5wuU6OE/wQQRvn1CVKpQ5PnvzfErSLFl65eDSM4VBiu/uK757PhQGfloj+/NO5PMT+ZbsJuAJ tdxzPIzKAR+eReQFpcPZDR5aJo+PFCJMuc7x96gc61mgp6ZYSmInFm3CoL1vylBtmEIWySpkzL54 H+C1eZJXht74fZqWFOA6y7+jIePXIoJxDxNLWOx+3kl8WE/3vtN/FwjSfoOoqEpOvt04iaxXyUdz w5Balsmqn2Q4uZbzO4OP5estcJikrzl6MgyzeXjgEvp0IvAtyevCExmI3l9jFV4en3cGHxuyOp5K 9sEwF50agQzu2+edwEfkhNfJC8OJEzz9hAVRSE7ZCVgKeLluFMv3GGtaWNB6cmXBd/Ieqw9M7jos aH3UNM3ckNAhbBKAZIjyf96YdrG4fnBXOpCH0N936h6WE1lR0AjxQXCCk2Nvavu2vcbnnbnHSHX9 nE5AA82nxflO3EPMNakNPS7DqifHE7Shwl1/5CoTP+lvpzjgWuWOVoSCagtcM5NsRtFQkpAQehQk F2TyN03RjECad95elyMRsIar+KAc79zSRXDycw183ml73TRnVNXkcoLbYR4ePL2z9rpZIE8Kg1iU SccmizKr2/Tgl7d5Cqvkk6UVihv56MsJE5Mgsd5PyLxQddRJD+XgkeUYcn7/LqpQLnrjaJ4aLcfZ MZ/uIXHirpM7JtlnTj4e7Lq0foJx2ACAHOfTFAXjjbHTamUYiny37Xr8J7o1FPlJz5ox/N/5eqxl cZqwWO5oywkcmkLrFOBT/p+xL8uSnceZe8+11OnDefj3vzAzIgAqqy3caj+Yt+1PmSqkRGKI4bzY pAlSHvr8jqfi+xHUUHt4G7rh4KiD9HBvAmdyUaMOAjFVSOdSPu9UvW4c4acBRfPqm4m/8/SwoyQp pS/TERx3fgDeZjcWNvSNuiig7zS9O5uCeGO/q790AyS2XkWWhbK5ojTYVoTOQ8bM09Bgk2ok7yQ9 DueZgS9va06bCXRQcJlHAZf5eefoWUbxFScoNANqbnGKOuSbHatdze4C3rLG+QRVtroy3gaSTk9T 1CG3xhPBUr4qTuvkYGdnxptGp4pTSUqHo2GqWVEuw9jenX3xGn3e2Xk8UbkpLRoacdHeVNFY1rY8 yuedmvcrEWdIaa+Eg9fC9J6JW3CnWUCapYqPOkvNPursYEkrTFF7PAl+WSVeptUzAihY99R01G1I Z7O4aQAOT6aZvZeLLmDB8s7Lwx9KB7HZSXLhoskU2tSaTJ3M4PNOy8MffBMniQbBFAsjH4tTlIl3 dgrOT918D7+FHSBibqmNPNf28Kg9Ppo46kWO0Vy9PX5evDYxqjg7wYkR5JmtsIOdCnxvSu1XMWkS YfhOyrMKdlHQ3xcb4Q1qL0rh5vNOyUNKcSdTWZvCzykqPcN8Z+QhdaIKTlnXku5Uj8nbdJQsEVoF dDBm4u+EvO60qUXdB67M/icbBXvvwhHqiVMCGjpLZ7gyI08Aqkob3dqZSbccgegKZ+ZTo3O6aCg6 Tl48pxdvNDjtxvwKFGxDN+kQClQIWKG82+iGvyAn1qXwpvYnmhwi0dcDFTbICY072btAUFz9xTuJ eYG1If6EXE6qxnwJ2kmA1mPaWGtqF9aLXeDzTsZzyEqXH0qnHRxb8exHSBjm1Ke8PqqB81dthw0L DkIeqCgZF7K3k9goT23qC4qv4XItTb1/BSrqj88s9POa6a4PbXGhrE8EY2y43X3pb3V6iJVrtWaa B+88vO4GNKtK3q1azl8nNFWnJHTn+ryz8Hifv2q781NNJMiGygyz8c0maVnNEdCbSqYSdWtifWIn hyUxYZlxg1yqLCunu1qcJq2VsR1BcSSDcc0GOXX1cpvMMNtlpA96OLyT8LpMBWh+LCw0ZMrYU0mQ xmGGfQL1eefg8cWtX2lmRgt2z4sUe6fgMe9i2kTXMarso7A8/8xQG6X8ISedA5OJigfqnYFH0I1G eLU8q7PK4N8JW2JKoUMSjXobJ4/C9l+x5xT8stZ8WpTdemfgeQrVwNPmQm12FiuNrmQ47tP6vPPv sEPcnm+RHTdcVM6z7ZEKM3KUZRAAmXyOWOANRer8PWxhMteENYweqag93oZjDutdHVUHf/O62cHI kOZhK/+8ejhgM3N3iCc9FV7XLQebeaOn75D306CRJ0kEs6oKgPL6551+101H7RbCeAiH/EUZp/ec 3OqNUwj7qzc6BQz46o00TPXuHGDJfNrfuXfdfDsBf2r9rj7CA41sgLOCoXACO0p7OQ+9sxee7Q/A TH/1SNF4J9919+VhD90WzaYohU1RiFnq5517182L40kOJjgat535Tr3Dj7Ol9FOt/QRpOof3wAzW 23R0ZuLzFHXHDbXSus681u+Zdw6iXSdgYyDlFoLH+cxBfQV9fHwg2k8qhNVXeSfeoRBGQxvb2l1U 4kEUh9s6GsCfd94dZxD168TLCTR2ZLkWp6g9bnyf1N2vNpdLUbT6WAbI2dq+77Q7bzufZHwrXlwt TjjakK52+hyu85dqJNxQFy5K3RW2M9Wmwwzy806669IUA/yJTxUXFS9ZVprkaozPO+WO/affjRXa 1d4xwjvljgV4Eh66+ky4uwpLWTQQYLI5IEWlOIWYFdV27Iz66igoiLxuCNtS5+78j2RT0X0OZjqH wE/cc3I9T1F3XGRX8CR8UZ/uPCi7qLHS6uedbsfdbX+9dvvUGkwoLExRTk7N91PYbUfVfUsnDnf1 JSLVwhTm5FYCV4HFuN4+3T67XqHw+ckTUI+ZxSZANUSOpWtXlMkY+rwz7fDDVnZWhLPnojC1gm6y +k/z8060M4znk0CBhgnVBQ9TmJFTnJ1phNHNhw028aL1aztQl9lmthBDzmHwar32uzo/6rzKJ0XL 2DRBzS9Tsm7A9vwMbmspl8sLRuaF7wnSgszESWfeynZWVGjdlK6OXd64PMgzLzw6G2uI3nUepzAh p5NFo5qMWgYtLeus5N5cXrLQ9oq7eNQdtzhVXOSrnXbQvpggGlLB4LwbXQLDcCn6GeRwjP2F0dy6 44DbQqY5E3hbtIuf/0F6FyDKA5f/hS1AgME1mvtiWXsIWMFRPmB0Z426QuU+aUsWbk4UxeAUiQyg 93wcsx5tToM8Ka3W9UXddeqxRAWK8wKuoseJrtEDki+QPbbybpDf2kPapiCslDDQIlgPU3OREzYv D8gt2+EqlPCh7Vz2MrhHyTi7RbPsq8C5s1Fa6vlAYgzYpuNckFGKwSpMncYyIOu6e9MawEY3G7VA wLUJokjvKNQY9IG87QJ0uPE9QdFSNCmX40428FA91dCikABbdrg8yjDnV9GCpIeaDBamMBOvS4rd F6hShmF6mmRh1H4C6ZRbeI9642TloZ3S2l2dKrVBMwJbjlrwKDRY3MERB4wEmGY3el2th73Zo0xc NUtL4pVtvqwsWuaEriv/+FZwfQB/Wt/4TEiL/0x5EjFSIYOziv2bLleaZnek4Xc5sxN4OKs1oHqU i08zA9vSg+fqFM41SoITBmqW8SVZsFHlNTz8DZWkK2GwDO5RLl4lhAF/Xkyl4LdZRSs7gVpstZ3z gR8QNQzKz/ck4exN7XKBepSNUyxzVGqKMFJQcf1xYpkZa1OP1cBPPcSqqANVs2Rdq49pkRYsNOsw gofP/NmXqipBdiQytUbR37zaWTzwetQjXwKrTG1R1BeWtsPIUkxDpjFxfRCoX+CnQbOCW7b0MB1H B2pggv1jxqvZJeDrpMwgHqlK9XF6GPaQzLmUjrPDY6sdeDQP3XPLKBpSPWY7jmPuHKXIpuAX55tU zbrlIDMQ5bVtLecZ4nSqgmmU2PY6X7BxfcSerl8ZFFqEo9yZSw+b5PjDgZXEwa8mC/Vt0WXcjSaZ BEdX2sZgM48x5CbOKuQhV4f1ZOgEJmpmNWJzCW3pU/DxgQMKFm5XnnTqlqN3bwvPQ4lu6rKyiXPe nwHaNiIFS74epeSI5hdgBZjY/LCD31NyTKc2U3L2UJSdk5hYgKejPpOadThR2IPqEWBFHV3oIK67 OnEKynUTmSuammnCm/2HnjHYzwvwImXsdH1QqE3aozZ5pa4KXmBfBICqc1A15wc2b7j8L4KwtBNR O7WbHkQwcvo6jzqTeijQ9p/VHDELjUD/k6ksRuXIzk+KniiTnHbpaTF36dEO+EoDQx+7OTqD5h4K c+NcUYy0RrK54chPuPBFURqVJHuxfFFn5by88o45Ox8v/1+eJ9pHTegNWqDCpLyRlC8+MCfE0gBm mktlW/msLuQ5fKDCpDy5F229qz1Q4AOd+6CjB/HlJUvcjx3jTkI+MJvW1BzdbjmohakPkptaUM1b UHA9kvkfMHu4/K8HivBgTK/nnv7mjSgtN0idK+LjzaueSWVJjXGcIM2Qs0eNqE1OMyNs4r3e1au8 CuP6RU2xDSWDKqkD+ulsNmVQMxnPhaJd+J5oPlV16N1FECh03JJ29ZxxeZCXX56L9jWYPgxPOEeU l3OiBvylJQezJOe5tNKnQGOAHnjPYIRN8q05XjZh/PwljH9KF0jOdE1d0FEgz2UR+p7Ytl5ohpj4 GipOfFHE7KTbAnJLLNyEWQOjv8XaeDQEKuySX3SPugbTxW0ZqBBCXqgOubvR88/r4RDymiQfCbT9 gpufAhX1yLOUuEEs08okcKDMQz+lVISdoIma5Cq30Jw/pVYGXt4tPPKGFiG+JpifcyycwMbm0owP VDE6LFZ94/Jo6JK+HyfMqp99fITEThbsODYMUQewvyHqNpuSNFpY3fmKI8rKDdvTixT9zsa/LUqn yhtg4XcSYCGCIStDEIO4f+6fXa5LIfSOB74mgtkvQcSmL04BakLZQyoDlwebU8vfz9J5ENEv9ChF GXk3cUiDzp1/CrtKgR6HHrSGUsSepSgjnzKeBSXtrt73hRvMALz87E2Qfe9Sc2XvbiHJLV804UkO 3oj64xKg6UKPdzqQEVkAQrYMjSEfh+ujhqYn5NgUxtmUpyzYGacoIR+at5R5KVN9+Uh49eWbEw5B i1OUkJvGIdNpX21zOj/1AsWfcToPV1blPGEGfbJn1A77Pk0Uo8XXBO+chFlJVdTC3OkcrX1WqT2N icv/2pn4H6MUXicN9jCF6HFSh1KaV16lVaNMEWZjR11O28MUocfLVr0iDwqtjlmBYPlAJx51C/QR 5A8Nxd8fGGD+4Bh07HizGw6eJjboOgWSsZQfSTetZEBy2Pvg8iB1+iWvUtABS7dPN6LueONLV6mP a8SyZg9TobSoEcuKt1ZG1B2fwoxjomkrGbgUzjxhPtGv2poAW5IHUYeR4ixUZmnphgllIr4nGiKQ f4dgYkETVYC6ndEsxYt0TmtcHw3v1tfmhNd/Yhe1OEWpONWazn8zvbEyWQNIazuZjl8DyN4c9kac ist/KeV5V8sw66nfJgXITgWI3EC2oaNASfNkC+3UjyC++GvX7ZaDVJyWjbRrQH6Pqocq9+38n57J 2oCxEz4g6Bfc7UltBngC75sShLl4z9JitX4BCCduGVeKeaoSsWLj4BHm4lLLXE0qBlwtUuetLeD3 A4lBWwXmw3iQlUaAiAXeiDspaB8PKZ1i3qH3tIj2+5EbPX5uCWaNnQuuDwI12lfVopZFTZ5lzrBH XmVLP80Y4NR5XUAV+MxeFUgyWVnezSgZ3wKzNtNd42oHHtRbehVL+ISlDoE0J5+ARWBjum6gxhKe IWSlsWjpnExxUXWXekqmV1gqLo8eqP31QMH6FluBhylKxilVBehIMnxBy9ugPWUTNs3ibtPvlWEK m+TamopeK62OWDmb95QT6ITpFsUVKJSFDbKQhk3HCauCaZ8wo2Q8SV+llLtYFXz+RxXKpxdc/tcW xckDJNPnI2IwQ52Vxt44oMa2R43tWllzdk+gWAVLKyvkcyoJT1t7Oldvk8Pwh9bHAKycx0lgjQGd SdR1gIXNWi60h2oP899t8rXpbsmFO1RObNkwZqvi8r8Cxbs7r+FuT5yidJxiGlC3fxgJno6f36df RsJcZmo54ya50KyyyNHqIzxAGAbsPbSl92EJFE7ShaIhp/EQXEgrmyFepbJqKWyupDIMAQWf3zJk RV/mZ4YY8nmHU43dgvNsbxClLVBRRl6rSrppCIM55cbBTHN8CdJ4CjXDHrnNW7IR8Tjm0la+03nF 0JklEuNsSELbjwXpGZCAzmdTVdaGwrTxmiGhE6/cSdBq8UVtlYocR+pZveLyYNj5+4EqmLpcisuM ESuJamJW74ICmz1OtSRDYjRqpPHImxFixb3VR3tWbxdMGNwBrA0dV7hWaiMvFDjCbGDvJ9Wk6eeM MvJK7kbqe/mixKDumlmfnVsduPyvLh0t9CCK0S4zf0Z4FfKHBh3YLdWc3Scus1xvl7mLJQYz6o8v EYFQ8N7V93FkTgsMW2xT++QM6qGzTz7xcmcMnBxsTxL1jDLyxDKYPxspLksZOeTK0tIDMnibUbPg F8IANlkD75LFKUrJqd06Nm0gVd/JqoRs8zTqJZZl357C7ri4LZmtYlsvQhOgOZxMpHMCoiLdf3Sz F9HvIMLeMcLWHQf4J4nQVJmcVTc5G9jFubmcI5yXRzixK/UgBkP+btLNCLFCBYspbyJNEYaJsqNJ l92zC0AHi1OUkpu2ER7Ou/pb18jEp1ztSdWBjuZxN0xHcmNUX+dluRBsP6OUvLGtdp4l9urAMDfs CbzUmFEj38D1kXjILzXWKpbp1dAMGZ1SDyFYVgJsmnUOUvDalYdEaaVIxSIrYnSCpeKrIw/hQEb1 xg5vZ4DrmLZ3KKEudn3XM0YA05jfE/HySZcazKO4GPLwZGhCHp7qB5dH2J5vnTowR74AvyvKx4l6 xxzYa+G5HGYAEW3foACnVZhWhFmRfMEqlkdx9UnneVlA3qDhRkVLW9t4Yy0MRSjMakw4ROoFK8Ss qBReu/piCIyT7vOHgbIXLg8InfP7sGPnuNykYIW9cRNYMX88GlZ6lrlk7olKeFR/mFaYjouWmW0o xdWzTJTC5w+hpBgROFlsczScF8KLSZgbEbP9tMLOeOWIpSTxN5AfSkcMdu3mC1QLrv8LT8cZJ2iv D55uhXLjhllpN8lsudouXovJ2QJPN2wXXxFmZahawbRdK1teDQ/TLsgylx4mqFYs6WZAyYwQu/M/ Sn1Sp1N443uiNh2zS7WhUnacWJpnUxOLui1e/pdkiHXUf87+76nTCgmdhbyN7YZvqLuSD6T6Pexq S+aEsEJCp8JTsnHz875wukQkThbYF9Jiwyw9CwmdIOak8lhsEE634t44GWXC/LJbJlYB7BuX7JAG tGtDuMqDYkVQCG6e+2LFVpSML7k1t2EOG2ejIspnM30qjsMYUNRRpGJKp1Q07cFK4ymDB84GDLgJ gqJnhJzxtgSOzg8K3boH2jN1y0GrjoRzGqRg2HI+tZmvz0DnAY8xBLAnPiHqkH8j7QH53f0iMVaU j5NbPFK9jotJGq1oQaVuRDPo1VWzL1uhwMoU2jlJ7GJqNCv9cYj7r0YNqApZq2xeJHQFOa/K+WwA osxEMFGkfUWQlUW21JZ5GRcVwhRPSqpbeJ9/IVYQJ0AoJvQbLE4hgjzpjeNuhO0KybM1NccYt1UH wKniFGXkpoQMXuJd7ZFqG7yvKgEoSg0UmZ7SprlBg6nW7KSpknXkhQIrmTp1RGtiMUAF8UNdKhep 4fLgyFvfbEUwuXZ7jryQ1pm2YJrV4pTHdfCsVCEgXmX34nEKIeQGUNWoV6vFCcZGLS2TPTwV76Zp 0Ghw/IZ683n18rhxgkgJbznqQBEVTdp5W1CSkTHz+TWo5gydrIrLg818301qqhP6w5TCAhWCyJFA nwenXrnRZhs7ACtrO3WDDtXUIQ+75ENy7dRzstW75LOhctwEaiZA2LooU4QqVsDxKpWcOBAupEXj iwIFW1rh5VLvYm/bBgeOD8ngfQb4gl884UynuQTkjEUqSskx2EfD92pAce5jIitkeUkTGfRJRSpM ybU1LWoz2+p8xRPyTJchVsXocS51ydGvKhWgiy8xGmKG8UURuYz5wV7FF43xYM7MpwQ0M1wePVI+ TWCMiRzgjFKR2lFWLqBmrw5ZGXsWt0kYNDqUTQL2WSZS+w858u3iYlSi/TFRsZPrwxWWzE5obuvl g8nxT2bDjJhja6/IIX1HeXmjuSmsGbU0CcugGUT2LixeeXnQrqteDzfxFRvsJG6g3hPzc1kTUqV6 f2Wgk6JAyWiVqdSC2Kvk7WOhFXP8nuWuDoI6j+zk39+xWwFNR/whMSb5ZIg449u4px69EnaIJleb DiWoLUw5z7+zHGip277fM3PU7d84zULe1MVA7RBN3kWfGNv6BnUll21H/9FevU1NaMYpRJObbHuR vlEuV9/olD+ndiN7EgUMfJSIkT7VwwSBAlRAqbabw/DQHf+rXZdV5+Vb553DFGaXP5zADFweqWW1 78wccLp8oT07ysypsXXeu+VmQLsVk44utbPTQtBKXbZD7Ri0IuzTms/qdd6CmcGWUeBJtmwYPKbZ MKKD2S7zvGCgzVsOkgPZmk5SHblohxodrVP8c+NximArdId8aJ3noZjoDFmcYiA5IVC1eHNl1+2i h2v7mdcTK1jGKaR1TmliLFMVW1+qYvvssxt6AYzT2VmEj6bP+8SWDwShd+vQVsXX/NOhRMKseSOv 4DPUCzZZQsPP5ojr/9JaIbELEuMLHjkWp1D1sGXZAjr9NbXp21MuOxtxqnVXt98xbMUMuNKzWpwa ZlUFgEyI/p7CyIyt4Sn7Q1O/OTwzoB06b/hfo4SB4NoiGt7ZngWNhjoyLo+0/PxpIoUBLUZoL3mY IthKEoOjswomgmUml6TJvRlWjJoNFqZQ9FA0H3LkfXXYCqgV8JJgV/NkCI0qPzAWHrhVOEtQsb2p ymu643+xXzFX9EW7E9oGnBCfHJ+3GZV4329dywBBVa+Gd5iS489Hm3Ebc6rK/I78smHBa+d5Zv7U +Un/1PKj95Ov3tIc54079Xnh0wSmvmzxcPT9DEqYI2E0qVE6RON7gkSTBJcme0AuFqbzCpN8gUEa Lo+adZe8Ycjz89aV+9ZFGfmgsP3Y1Rlmg78m6YpdhsJs/UIpSnEKM3JTOJJfhFZ769A9yZBGYU8T uaHMljq+/WTR+xdYbE/dcLQ7IUxpcpaQHJF2nv3WZ9HovA5cHpGBPCXAnoDfaZNPrShF2TjE5jH7 WO61XCggwJfubE72NPX1pAQRZkWy2qsV4VC4+t7E8vqUfBzgAekq+X+o5P5Aiw2JpqeYGgfgeyKR FRZ2adxFT0VKgzMIAIUGLo+0/Nxlihu+VG3a4zOZomwcSFv0MgHFVylMF01iVupsw0rhlYgX6/qo fwmt4M8vd7XeCrorZVV6TTbMbjfh+CdBwA8Ax3I4lsG2bMkdaNtNBwzYwkQcBB2kliigl9rjAFGZ Hek5U/kREaTVA8bimd6pD/mclq3v13GmsEsxCscgZFfglZqYKGzwKzb17eEEkMKsXEoOayuT5OpZ +anGCp8CmAhvKMpxSlVYHMID9IcmvFePfNlNR7KjPPkg044Fc0+Ga8DkvQg2Rz+lKDGn4IIeL9IT wMc+8UrP8/WenAOqgP0KxZmzzbgFyDmBE3z6ARQARy1eIaK8W+tAVcz6qmJAwtyQYsOw5dQ3W4YA ZxcEcrjCCaNAvcbFkdlCwDdFciIGixKXA0YomnqCHMR4jfMvfkCQUl3dX23uUKhZX09X2D0nf3gK Kzb5z1x/3I/D3KpxruTm0YqUyYU4mLPLdXn2h/hyigXghzI5Z2WeaoZwF+k8QpkMvLzy1HzyT0hR lg4bD7SY9/BF+xXIbMNIMIPX/4W2Y0/4HMkr3byKHJa4632SspQcl8hmNHeuvK53CYFfFquoga7E c24ZCmv15tTZBM953jjGAzB7F7Gp6JZHmibMnLygIQGf3/QP+5LzOM67GD7qPMBFs82ReX1Q0pTv WOUirFZNN1phC52Czo0QU4Lu0iq2b536fybbtwhQsX0+7KEPU2iTGgtXx/6cvB9DUIpvVwhsmZQd +rvQigD25+pmlJS23fS/yOlwt/JFTxYsZ4fOx6HrI3uzO17nWJDvI9iuHq0wacfAoW8C/bjLV+oa 4tkC9zMZRBEMNY9WlLXLzJNF3l29SXW21fNI7UHo3Ty/SFY7j2Y+DclJkSWVTsXc7Kb/pUyKzNkX gRRxJNKS6tx75vURRf2Xa14u51kjM9KjFSuyFLYTaIvHf9J7jM/WrhQmI0kdoFV7E/8tyYLdKt/V coiEucOi7OaqJ6EbgyiYTp2CTHUG7fHatUptdtNBlbPZUEd3jYuIQ40lAhOuk740Xh/pJN5JFkVf sc0m5NQerSiDN41SUfplx5iu9qaKHrl0LDc7S5EySy2Owtt3ffBAA6Z55OwBBFnkFX8O7YoZCfDn 6cJclrypUqhbjpIQTOjpi/b1edJzeb+AVYzrgxfxjv1wZFNistTHniqFXfXEiaIydhoLjbm9F1O3 AV2ABXCnwRS11Yfa6btoEMHVYpVOkQjiLzXbYBh+iXvnJ9gcAfR00QmZxGJ8UeSTw65eyc0Xxerk a4M9zHOOT14f1M/rW/4Ae/sEBd1CFbp8ysf6PBE2qjmn+bqjGmHPUEAPlnsyr4zQLtNpVhIC5Go1 zz45wklJ4DY4qa3VDe2C/XECIFcwUvW8tHW754iuTv0oeXpd3/VzarQp2c+TQExeH01JPS0dGkGc 4onKQxarKIlH/owCYfiwZpVpzYYToO5F9IZrqcUqwrwkiUXIbdFW6zbAegnuB4uiP+fl2DK9xksj SQuYaLsQIJGLOTb6FOilt7sIkndqTnGuIGvC66MM/m7uIiPvnwlmj4cqzN+pFFwcVAb2h0lsgYXn ijZ9kOPKkzA2+zTalVmgcfV2KPqISOLRfYTVtkaAk9Yzo2J7KwR0KFal2T1Hgy2C0IsYtKRWsuXQ MJSr3Nrr4PV/jSGyDAapcZfuQRgYfpoaM2w1ruZWmW5IXDSfoOYWISN6rsL0XUj0KZyUVgVrLoxp qP/YJ+QNi4GxE16nDoGEzaRBtkKj2S1HoqWEnBWqvnPhcwUsDd3ucJxWXv+/ABXQ5TyJQLuRivTM l7Q42RRRGyv3R3Urme47JEGTRyokh1r/qplcS7uoM9CKkJ+ijJ4gTHWT3WroqA/i0ee4jaw+ut1z BOlgX7SrL9r7tMfq1FI7CbQ/Nq//a7QswGMHsOgxJY40zZlujzlvxw/8V72BleZiChVUqv0N/Df6 5SToGkxwtYfqvOAnv6JJ46lUUSCqNQpTN6B3kbPsq5/IDIBf9E9nedNRLNOBjBsPshL3VXh9MOG6 uFilohidojfksYrydmr8An32qAYbmqMis7P6GbwE92kMfT+XWe220e96Z6bne5plojAvW0lkNShY ZmQT0N5Nt/Onblbo/CnOzB7UveOimrCfD5EUSZu600gDfn4lV/BxXcDBe6xC0ZZFl6FR3fuzaCSP grbtLKhVA+bbmKI5MP/MpkYytyYTWi1WKJKo/U47iA1MDEtWKFEiBeUQaTi9qMAyWd/0L05tLgby MEhgJT+eJy8sSHh98GD9AjVSrONRMM2B/2eW0tJIe24bxXf81CZv3mznamDTF49ViE1v0g6umslz tVglVB4LXwKJ9gHXOD5XCR5kmbMROGFcxFBvdtOB6TVR/Oc0Kr7Y9BTSltza2WsIPEDN6OUZM4Of i+3GYxWC06kvBTcJ7/jVvK2HdWpY/bOd83BOfwdDKMzwmjnd1TsNp/A4D2xhzg43Pf03oxLoQWUS srcuH2vaTUfKuAQT7zl9scbVecEphAKME68PCpyLKVb/nZ5LJT1bVuwEOsqjtjxZPLthKjgu1iDt 5yn1BmloBbpN66ZqZsHVWw3n5INSD/EwG2IG9B2CiTuuIU0K2fsdfTW76X8OdRIBDKSLMUYdX0Fo PGSKeX2krti/X0MMsKmEabEK5RUpCp9JWvhPkSj8NGXqIpFqIGJGTTdWMVZdW9Xu665WDp788xwQ eOXh8wNkq6BNQ368aNpl5LIu8sYxYY7dQCkxReSBLXoN17ll0vjPTj95fdAerb/k8NZJ7/JVUMqx GegU4yH7cwWUhz1XZlWI13AtVxPOgRso7Lj0Gtad7+pH4aywNJ0Um0onW6jMV88rD1AoXVMKCgZv j9ZkNx01/KgdCH8nPLHnhJryiikwN6c0/F6bHxCl7t9DepCGwIZ+ohWCYzB1GLV64gDxge4NP+o8 oD16/gqaWvHJCnHrw5SmNH/mak8WwP4n5wKZDVYWaVa6gZyaHKloRzOZL6Ydhomkvxx6giZ1+iZV mKltoicEsFx2sBFJXh+xj741p/AWzvEVq9CLCDvWxLnneKtppAgA16cDP0BIs9w9sAVFn1WiUyr3 tHpJOID8HS6ZSyEtIT8SNUM4q3/67nQcyLEvKDvJdQjrD65XNzGOUlYTKXtNfkDwFi5P3qslDkw4 PFZR252+sCe53stBMvVqxHOwZCAZAsgUqyh5X6KSJv6ntnoDC/CPDkV4NPtAQdEgA0xc2LecWEFx 0ek16sqE3qBsNbTdWUVvVzE+yaF61drneX30WH0DQMC+mHRts1BFuTvvGTxMw6dNKEU5sgFKSo4n KtlDFeot6nFqVbpBXL0pg9lBaeZT2PFCaz5GX07gaanDadpTa9otBxCQvFkKYgCmRU2ZE6jJ1+dE avP6KHP/5t2C+c2n3CMV9dv7JnNk9WualvfFysy0HCuDKYtFKuq3s21DneVntRewQAYFEhZ4AU/M VlWWn0jzSlB7BzbOZznZYhXCZajQRZ1tLrLYrXCJanyqkP/y+qh6/saGgr4yxtNtDz1CO7UBFlVC VT3L6QrV80jJq+dJFQ1Wz4FJqKy6SESq6a4eK6p10XDnPEn7FLkSxKlg+GOyDyNjT67gp6PvidCh 3KSqHOaqoSgqHH9lNFJPDsTro63qu9meQb3MCfQCj1WUuSdKodd021eldjOZOzWz/b82GDN6WzRw Ck23IszijHL1zL1T/S1zVg8P1KlDcFD6A32In4J0uk7N6qkPkEOv0MHTLy1mDgnnggwagGkesl+o utOogfXLGOz8aXtdpk0O3UKnwNnDTVXhA5I8Vnlf8w+yKxmrf9iF6vBb1hddT1/0PCxAn9bM5wp7 laDu1MuCxfhPS1dJQSD2HNqFqimTt8xVt3kZV0hN8N6t2x74hSJp9zeQ3Wcg2Nd8iufQMJTwd5ht rtvrK97rq6W4izhxeXoFA8fQ/H9mClZWqne9uRV8idDApHLX+TAR4AFy+YG6GuqxRy9Pvb7AMlTQ 3ZOBbsmebpc9rRmUQCG05+b1kcZZ/spD65ZZ5A1VbBqKXh9t4Y2xPLfPuyoLDROemCa/mEPXUPcm aiPd1fEfGC5OzBeR/qD3Jx81QogzhvY/BT+sTVK7Bl6hceikelBaHOYkJkosa0relYPFH0jF8gMi APLNrYRq0BC4P/EK8TI1KUiXlHvjhdzXsdrAnHm8wrRduhLLVDjWM/Q6dfhGEkXj13Mo7iZv41Go 3gie6CkIkYuaOJXm9IGDqGx0APvXnHCd36mrJVpSJgX0pCBozAQWoujZ/5ITwhE38Yh6tELETOJG RfMbRqvM4RTmzmkMnq6RevbOTOAierF+28hKu18e5XlM99lToGuBaWrm7qLuKCrSQtGlsq+pGk4r 3XTUHeXMeTfpwGCPEHgtQeCLD/U8CRE/4S/xAOaj1AZ7RhSBlyh+JiLXiL78j/7pCnH4qaYV0HlP RzWEZqLdTkENarR6zw8Y7VmEAQF4e8qCrgPKAOsTyCz0cnvJ1KnKgZ1oIjwYWvJSbR7TaafoBHBG 0Tr6o4GfKFKA8rVvbWie9fHEKsrdCXYFFFIEALDfhvX8zk9tQG5oynfvjwaGoqAcsiRM4iFpvWUO nIALe35pwPZHZOaVOa8v8nUYDwmn203/Y0zY4a/FBe89mw3na6a0rU+COPkBkc7JLwzp+RxAx26w YrV01M+9mCAcGAHDBfiblJypK9/dDyvHrqLbXj+BO/ZjzVOJy+oAyVFw8jz2bDwPQoNzm1TYrddg jYA5flPwGiZCsRpJJlz0YBW4lJLVdh5TXh95FfwafkHdaDyAyNBXVELgey41Y4AFqT7QSVQE5UQV Bn7+Eobp+5I+Y0vjrvZgdfggL+pZUmcA4CJu8LCfPGU60gSc6A7EUuM9dBYdJOn2TVwkFwGxTrJo ZeHZrXl9kJKWb8x7LtT0kwWOohW23jePQ/GayWJq1WFrY1GMmPYzbd1ohQm8en29tXJXRetsSp3T +kX46B6DD3SWZ+k5dBtPwepbVhbIL7AXRfogsiCn9YONVT5aHac5z7K+9+QHBOnDA21oOkd/IErq 0QotRvuWpZHhPQCjXNnL6MqtrBDkJwRb/+TAYxRWEdysZpJFFldrOEAP7VT1FGZqPClNun82Sn60 n8m3UEZiZdktR9s7E0lMz7FM6cZV5PD80Q3ZEHiMfr+FvDvAitZ+IhX33fkwTetX4WdJ06f1MvpF Br/gvmaRikDvS8VOo0+UrRapCUemmsmJW+s8W1V6oY0eBJM27Tt7XTiUZQUmo65+UiolG0ultD/L nXNcJNJrALPnBwRHYbuPlXTp4RecrhVyDn1Ga2FuRcSGUCDNfH0r+uPeH4XFukcrJqSa4Nd6VisN sQnD3hA/40lB1NREywF/3ISIwdq35Ud8Pr/nHyiQNgWcmRyfEiUEgXDZtZ89bfEDIrGY8nUUYnsf cAD0UEX5OzJmWCD34u3RXbyKrqm45FcH9dNCFcvFsC3atgAzXL2KzgUJG7WvYRgzkrCAA/IuEwcK lAZcAiUlu+XINtoaWNsXVdFo6yyJeAGIFXqN6i9gpEQSA334gYGEbqON72BK7DJQfn48auqPsPMq LtOUY7tRiXosDEV99dIQLmXQwFCKBQcopqNw6DonNhjyGNm7AFH1e454J1XcuOSLdZLLbvxD6Q+Z Q8PRL5cVPo7nPaTkn8cqpKaiUwGKtos7Z7liMHVPLsSLloGfg4Hl6BOrpBG0GomKVcf8mSwUaBa3 kyfKwpa0swyxnR+cjhczo0InNB1tsqLplE6l+9KSTDOaZFUyMLnxA6Ju8rfb70lefohw9GCFuTsx tpmkW1Mtzo4Dqfmmo6c+Lh6sKHe3bnLKMoRK+RpC1VrGebdBbEHPAe6/WdgGkFYzgF4nK8L0xeb1 woEEzqPSVAS2r9NCmma93KLhUJyFREPLIbQeLb8OwkxcWILdnEfrn2KOp/6fVy3tkQGjG7Y0nvfO zjoJ7EcNKEH9r3pXOwoz0JwJSQEImGenlzLmBIzwhyZqPMNcvHhnu+dg+JUpriOxQi56DU/J07q0 rvrm9RF21IEgzBDyYKO23IH95yXDaudb/w8wO8jHJJ9B9+1lYS9ll/toVVN0fMmvzgedrEFUkzJM C2x8aYGlkTfmHgSCnKJKprfnHARUFvXwHg5IzpxffV6yK95uIqqhClxUL7gI8qMcRSHFwdX/X5R4 j9voE+c3q0ov1s9GUwz/T+fnCWJE1/WJuaZD1mRWJ8jalj1UO6n18NI5iJHllQTk+2r1DTygsLEw RglijWoO0g2JwqQZDD8zycBrgK95C9JJQSVdDNiSLQpSWlNYs1NG4eq3IAHxXTxIfMOg0J5mthi9 ZFS8ikayp5gpJio3YBXjZx8o7trPF8bJjNFLPqXnSAYZvUngg6u9cquig1/p+Ht20tyTtdrR/0Af /we6l5Z8yizyJZvi7UI/EfPAunzRAGdDFFR51W6fl1RKAd4eIuWrE+Y9zSL0kkjpKeoUUm+XKNHa lR9arVkjtIL1pwi9pFH8oOFo2vmsjqbFDKgCWoiJ/MmhZDMyKWg1p5QGPEL0Avy8JFH8lkyCZech B6ms819VPUUJIG183ynLPi85lP21w0K0LNvsmVArhOglgdJFNF9rdbiWlQi34oQ3Gwo2OGW5XXv0 oqk0fksJQGOFsyjSJ/RgEkcZUNPBKQe7K/RX8+WhUkPuJXvSdlRMzbn6opYLBCuUO2IO/5I76erq j1HSznWygeyP0UvipNdz00NsPfl4X1fTg/JKZsy6DdrxkjYxRtP8+8xdnKt3008tDJwJGlMN0pY2 /yu0eKtw9WulOnKvJPmyBjGSLnhDbwMLoC7cqBlyarGdHDR/XlImPROWjJ+fgLkWes1q4dFf4jVK SLRkylqa9dHPu+BRSsVeQfDdfDt6yZcUJfU6S5NzNFd72TBjWOwRduRRG16j3LIL2lhJmkdopdvr xgLvJVvS65a5EwGJhMVp3HWdc6BNT2c/L8mS9rPZv3Yk6KDMXfxce0mUtGdzNFNT81FWIx9Hfqzy H6f4LuA80igO92w1gg3MkR4wB2d+5aSTkmw8SUGzwUxHB63CWKmxJWkZOMWrXrIk/RjSJsajd5Yk T2717U4ZRNT62ULG5yVL0n327FGS7xgKu7otTC8NToaJTDw/zyjlPEdy1Msu3Vsrl4r00t603UKF yrbdaT+wM1gjYNfARKbiBVzimSbmpg0ku4Zuh+GKF21oXpqb+jOZTM4sOKNQ7SzkTtZK+iF0Qz4v rU3bO793JTL5U0m+Lb30NfX8FpGQivO1JsVGuXXvvqeP+YgXppxHtHV3bdmjPas9/uenHSdLbOpq ZqAWN7duiFGc+jGhFwgEtmHzqFH80tPUj0HZz/NENS2Gcy3YsTnPOIVj/rw0NLUrjeS70o+kIpnu +wH3RgHUK7cl4FEdQZWvhg60PG7jCQq1xpYMc0m57gisrtUepoImHFa6ZsKfb2n7Zhv2/IkV7gzX sHar6n1PuZOUKXppz6LeLzor4sNPClNE79zMXzvTGJBypv24kPFBnDjkGn1kw26c/Kpnm7KPbgRK INTXJR9FcZrCLxYNq7j63rRTARCvi9xdhqRUxyAXlr7YTYpxVVrOQ7cc7ODF5nrdF40Uzsu6dOKN vT5v7D/t4AZyaRpxoe9BbrAF6j3vNqTnWIB523u3yrBAdclRNFKP2gWaBYHaapAX28TLs4nDVAVH ExF5G7qvU7KOBfOvRQrEl4MfUCe84/c4dfLYUp3bF8XpZBElix+yeXmQNI3+9eZVGdzd5ylKvivV hqAn5YDYslzR0vZw2qrAJNWwQMEm3rWJ7zbnXR02lU5e2SFBeX5xYG67bFUwYTgRgvlNhUOYa38m jYqC964TNQVEkS9qCQCYJ/u1AjhsmIAP38Z5gyAB7zxuoN5TcECj2THp5SKHmdUqUKVlB021dicu UaAkDr5s4LKegcs8H9SA5KaS3T5H3xKDCyO+U2xyCtjTnbhYvyfan9i6TKgbsQDCIognUDrMacdJ xj5vnD97bz1QNOqBPAj4oR6oKA8nGAXgy2kvHjjp9uIVdl2ZFVTMFhWo90T8WmS1LI5oyxd6MLBJ pVklUFzyplgcOicgryyUoSXtu5Ez+/+8Mf705hDUQtECW1TzQppuyri2lM8b4U9pUNpfFR1ddemq YXEKM3GOhzu1k4U72BwaLGr5zWWNgbS9wfRG97OdXOC7nZ7VkegAXCEJ1CQdDsv7x9Xmd8JP0JE9 yf44b7vj9zixy3tOfxZ15ZH+POElzQAH3v68cf2scPbKdyimnJl6mKJcHKktZrjZqX6ZEDQhDraN EWDhRyf7xE+KwqRKReLEWu3AOy/eggg4m0wD0DxVLCdDP2EiOAMUBZcGmF13/B4mGYX0RWR1Z0Od f++C9C2zzNR5eZBmzvGVF3QYGs1+86f3XBxPE2UBapreCZeiJcK0dQiSilWvVlWUjJvxzBhkDmm1 t+58fsWYi6oAKISSVAEW2fjEDQKNed3Zu+74PUyTx11OhN5xMZjiySxkinF+9M8bw0/p0/4OUwY5 8pzl962L0nH5HC42amRoVJLHaZYqvBTacZdaFObjbjsjN22uPg1OsEPD+AmsSAwiqNw8xzqP05T5 2rhI/SmNqigflzTOWASxDCGhME9amDkzTkRkRAm5QCVPaQcEEuwzrWsZ5eNU5x4UMDeDrO6sUQwQ DM15XuJmr90bs+85OPMib8tXe+3Og126DLKoxIsRIUKz1Sgg/hjdF285YX/8vBH79Aqw59TEw+Ki /KnN4kbRJX/eeH1WN+yvB6rJYyJ5P+WN16f4kqu2HIJIrzh3QJ6UsJXrODrVCtR7Qo78T0pefeS7 KlBwkEJewP0JPeq0Na5LmJOffZXHHHThXVNv6JajWQFVZBkvzH3yj3I1QGNQqv6QiD7b543XZ0de +zryUCPudCvhN1qfnuTKcQHNUIR4XdvaKud9aVc9qPq07o3V9zQIYS5JbU+tDrQb+NhG+UHQAXbL wo4VhLdLwDnVB3hedMv/qPHahLMGgLigBPLwOgfS+Tw6ZZ9s8/PG6bOk/HuXQlnYR/Jc843RZ61M Qev6bdKJTkQjmnxl5uEnZs9UlJTLKwTmQv2uXructCAh4UTTgPmaWMiJlRPb8QRzuxgHRV7e+HzW NeDLN2gYzUW5wdn+hgS85qyfNzrff3XFs+xY+8+Gfa4FKkrKMe4BJt95Vyed6kZRq+JNM9dMKISY a76x+TS5yIZsUsC4+gRqwGzt4goAwuETBQwBuDHnziFGYT1f2WS9kfnsgeCAblTJBlXj5BVQ4uSF UTBfiXLy3wMWbFJrrRumsDVeTR3VJywztTth2c3GB+fQ64a/eCPyWRNKvfEmyW+uTqcd0LVCD6UD So9dieUK7SCBqkXNsh4dS8LF3oh8lvsTUbAoccZFOPPzczBjBolhfd54fJbSz1+n3nmUZuueHbzx +PQYFraekp1v8FwwA1tYid/u+MToWYF6z8nhTCa2x7Tp77xCgwDoYrc0dCt6T4JNT2LcC1qaRMS5 fSbtWN94fHcsCIuschdDIIJSou7K2p83Gp/+4jy/HihInZ7f8GYHYVJeJEzcb9egVaeGwgjDuwap GpLgjcRnybJh6sa8q2eb8NGovfO9Oy8IBFF+JAx+cuLBqWG5eB4M9nnHQZgmxYkBe4ZkHjSz2SrY u8zCiu/kc/vzRuGzFrV3V5jBU29t3BMv6pDLLppSi1YLC1tOTgy5dFYLJ2tCvRH4dBDJ3qHxwLfV aaGdTYQis54yIVzCGo90AYrTIuGz5gq+inccZJvT9My6L4a3QJdddQwSg/es3BABjIoQnWdrghGC hSlKytlZmxDl9TB1c/RDsrl8f+rT6bNv3D2btvO1m2aCPB8T5JNPYYYMHTMUL71IBQmKqQQaoWuV yi1eFpG/b8y953HqZbBlwEVIggK0LIcK52M/b8Q9a076cSem7f6Zko3lnDzKydugpQMZXVJyIWae aQEesh/zp8vOVHij7VmNqRqvyxuSq4UJL/ApWFYikpVFMbf8AULxEtsKP7/JmUHH+PNG27PpG+mg XQ6a3Wx6YOhVJAPXzjnweWPtPbCQ2zHATc48vQH1xtmzBh9Pu3bTzFLm9DRT1pmcuMC6R2EKW+Tc lM4bQ9i9Vn+azv/sBZ2BEzKIrkg/BdIIGWc4d7WN5ogQBUV3HIUJmfimpKAWNerge0ZVAZB8P2+E vf/aw/mCkeuV/aV74+vZ9E6FSzKoL8T4bTBVjZUmwH0zD4w3up6N1bh3sxb01cJ0/utTgAlBviGb 39xDC/xnlEcE79gW3oZuOKjvGitgTGVtUZvu7BuSXUH29Xlj6tkOXr9OuprIxPFU/I2lpyiRr44K zKLUCO8DB7tseUIWNuanEUDfSHr2zrGLOafmLXPeecvEzlYodAmq7Cxdyv0QWAI9jujxfikck0Ik bxQ9K1mIWF2kBgFXJGuUCqMIGp5grymfN4aeNVa8tqNGOejMo2Svg98Ievp1qrSA9jTkeCvLdSlr XaaK19MlFb/x8/RDyRJyS21LqwK1oCafuVejVw61FQ2xOmafsBhD2/fa+gI3+3kj59nMXMjemX3R 3oR7lO94H+Xzxsz7r0ycJx2wV7t64vRGzLvZGohA2+QP4Lp2VRbdQASowhulqDme1FbpQ77a3Usu NMcrWHpNxjODGrRqP6FPMJEH5N7LRRawYHlj5Vk7n4nThgeGFoYJ5KAhR5XUeZvBSZdvwcL9/tz1 kHYn4xQl4jyYMG0Y3iqghLPAPMx9VAGfZMf28LA5rnFwU2tJq8UJOdN51WTeNyGgw1cTVmXUJ0dL rvZ22Z7EFr5R8qyE5YOU110UqPPQSi20Lvye74k4noxfbV80R2f1guWNj6fMaTALpgGe2nSpDG/T VXf2rXDxVCL+Rsf7jtOD6OXBM6kQkTc0A6mmcbK5ky5wIHMKYMI2gQusUka3fmbSLf8LP1dwrGBB ZcD9aaF2JkcEbNLPGxvPMtRvRA+UZXbu98ULsSpdLnTLDenytlY5vBySj4MJsdMDFfbHs0vBtrs6 XwrI3Ukj+0X3nqo55+AJCdA9ZjvtInpxan3eqHhfG3npFGMuoC02EfITam3i5E/O/Hmj4unV29+l HdSI5rqDhDce3hNeDCIdJJ7lFzJp49Csn9kBWLFARQ3yKYGk8wfUu3pL5eyDg2R9qgU2Oj+SlQ5Y BwAtwNHdJ0qKB28sPP228mEFWsoWvnmwBqxDSi1zfd44eLrPu0UR+nzuevYLWHlj4FliwJ28bjMl ADnHTAkgirZ/ZD4Dm5gTJ0Ay42RccRqGLxgPSGxwdmDeIG1PjJAQJwYno6lMGSjfoQYdHN4YeNos OOcEtIPLOdjlYQQ3STocnspxft4IeP81v5M2S8Fkqnie+UbAs7yr0L2BarjEZKCvmf+TkbqxgYc5 Z8XA6qfigXrj37UHmLloN+urM8rQOIBVLYVZ1jJtwPOeYBpNUwKO7q35xPHq541/9/XmpZIVqNwN ApVOlie13JbW54199/Q07gOF32aO4jjxN+qdHigOEjoViv5DheGGz0Gczu5KEhkzTTV98UBF3XEp aK1TsKe72gNVC7oDoCSDLTwx4RbqcCHqDcLMFSa1t7zruuVgK4eI20kKsnIDQrI4ZgFOgn2S885/ 3oh3z5Px7OQbzYLsR94b784yiv1YZnKEDraDXryh1xEvXgVSikfeG+3umbTCPUwTz5pvMxOV19lI aicCagJLvq1Hh5jycCyAZPqLR27GG+/OBh+UFO6EjHPRZGrCk4ec8pPwf95odzez+NqgTqa6ywVA vZHurEVBjdxZHA99ItIvYGWki+xphod+49xZGczUACPAuzrz4AThnCyw4znpEV5BmW93TI0XehKc llsZrKbKG+WuOVaXChzbFxV4gJhKTLi29nlj3NkIwhMDTrASyI71NsffCHfWLlCFN73nm0ksUmaw igE0G3JqHXhvfDtrOnsqXu5qFV4GDHHQy35Cb6wi5UBKDgDwAlg3l3IBUFQ5+byx7RSnIRpnT76o cjkV5JQZ5PkpPm9cO6vU2nemSafaJ0wxXGWLpeEJVBUfCpnmWiYujA6bd1XemHb22rFdMLcqGK6O f2posoAPcd5NKHqnJvlOQE9mQ06WvuRX9DhFnXGRDzgks8V6dPClM+Bv/bzR7O7m9oQJ+rRTFikM U5SQU1cDSsgOE0vNAD6wopjVCpc6ner6RrGzt46n3ZYNkVYP0ylOIGWH5hNm9CAAcbYObtlogAGl 61SU6U3xeWPY6YcVsAAId1sUplNFbnOmm/PzRrBrjs//mrRgujnrvLt4mI9LDrcWL4Q13ac3g8TP 5M1wvSDbv8HjaxTx60a5/LoBDM4J1pYX5O65W18Fm+IgMwunhDOCUaHiewLy2F6X3KqFccqn4qpd KLG8cXmQZV5gtF7WTd86D1OYjeOInO2rX/AISwIRZ/0CusxqE4864xYmyP3d1cKE/uhJsanUtkA5 F2UfXm7ACIpNsB505tYdB6wWSv8xd7HFCGRgAksRvgxcHiAL8v5KMkEymvuiWHuIHqfeUcvbu3T4 i35MDoMgY8lhkCtN7s97Mm74arCghT3kam8dGFEn36dqwTgHa09Z+RU21HOD51brZWvSuRVfE42B OWaZJLdwEahnp6z0H3oSuDzCRN/GOFKWQTzP9FS8h51xqvecJ2c8LV8nbKTKU489OoomM0wRVmWI sLlsbM5VYUL7GmpQcJ0D1Om8kDoWMzrjqDBAlHqaBehI4nuCkoUpeG0SDWnOwk+wI5JiQa0Tl0dE stvLZLm8vrFPPczE6RsDOpc3n6rxfTGzq8NxKhCG5B7eo864m1aU1e9qmfgCyGkkm9pBQnwIYw8Q /8lEgcJEc8R7BaKSRZk4wXTQx0Im3mBWVuXIh9OVVCA8oLg+IG7O+V3bYYw31/aapYfUzSKD6O5i Bb07mq4Nvu5CHQL1wFy8R7n4FKEcxe5dnbtZQbNREXw+c4Le+iND0QE1qEL7Agdg5M0iuEe5eBFQ JfVK5SzUdlWEsgb0NpteY/MDIo7rd1sFIL/RLlO6RwxOaKdDWny7yliVLDApZTRJV6MOcHRFKszG 5chOHUVfbYcqJzLwOaaDfUMPWN7HlaIhifNzdqxNN4sHXo8a5It7VMtJAYOzpkQdTsK/eI6dHHDi +ujEu1s5C1CUxQvTXAtVnJBTsY5ygjJh6G04RJOnDyGalBiggWGPmZzqkbev1c48OJAX+DLizDtp K43sqFKARCMhW8xtjbtN1axbDhoGHLakzVlC2rTH+ZH/UOrsjJwCb+P6v14/PoqA0qEBZ4EKm+QI 1GxoZmSbTTFbKkgvBgdSFGMbdFzFdh6n5MqLlBxodVjPAu43s6eJbasnUly6FFgwbCAa7hEnnbrl YD8vST06lngJG63Yq5AZl4sobHvwARGgznd03OHExDTfyXl/z8pR8mjWgtdBWTldURCphlz6/BM9 gwERIfagepSV7yWFgiQWPldnTUE0FMKubNadMiNv2cVQ9bsAZlDGTtcChdKkPWqT6+SjYLUtBoAq Gmf/AMWCyyN28HecUNHO+uRREYq8s0ve5Eu7aSOKs41umJVqrP/JkhXL9upFeBVramaK13JlI5b7 +ZjnBYRVN0zM4fSh5m8loroC2toaieYGIz/hwhdFYNbisvi2qLdyboBkE2pg4PLoefrezSENfh7A 4nl5D/NyAg+75Ka3c/NlpX32xmuyCn1TPVBRl1xeTQtSI3f1Zt3ZojJ5VCdQhN8bXbHQ4pVk/IX2 v5qag9zXHkFWwIbHHIHsKS7qkp9MNg2BWsvE5X89ULQoRy9pgiBpdPMoM2dznc5AnkulkhzKuhxH ft48766MqE0+lUt1yora6nj7WReM0dCFggEyyBzsQmUKCWFTRB5vLJfEvXxEqTna3Is25r4IjJEw ttBIL2dcHqTml17G9xUOAvOyy0aUmRNmMGV8a4ZW8woZFRt9NvRsnZYfNsmnyUyLniDrPkukOnHq mXqtA2SdZrx8XCPo62rjCq+h94UviuLEaUIWyyyzlmWgBmQimHI2BCrskq9f4ykK/DRPDkaIIZdf b746wHiMrFtHeVDB7Xd1hOaIuuT0EAXTtU1beR+AGZQ9CO8BzADc113d6wNQMWSQaNa70QIQSfia AMjaJJUsmstKzgbi068eee+4PBq6eFOTk/cGM7LzznqYQtgK1Yt6vi6hbWUn4aW2DBh97r4ZNX9E ifmSc8Bg1xCrPOSZbsJOOlHOHT4BsAqRlCscqQaa3rs8quXoJeBropGLtvHUfBHuEF2cqZNvbFwe tcjTVwI1zpM457pRipLynofIQE5yAf3PHqYxjfqCSjzbrHNESfnsav1mwTSloq/N6ZxEKGA6W797 QHaIb10DMHIBQ16+OMJz2x0HLx17vgNABSwohAiux1xosyk5ThqC66PH6ddLd25qyoGd3xpl5MS6 nIrs6veJ76cWOcUKuTvRHl1xijJyE8SoTWkUV9udzp9+niWRzlOpBB9yEwcXD3LfPzSsN2o+JQxG iB7f9HzGoWKLNmOUSMrMz++LyyNsT/o661AMrweeOULw+JDI73TVkN2rqxzuakiW1iFbYlGKwON0 K8jLyJpaLUqr4xkikWTC7bvLeWFODOEGqdHzgY7jV8LXROO7QUoZlQ6BBPwxXyEo6hFx2bA1han4 3F8nHVpSI7UbpahB3vjOVSIiNUcA1UyF8JTbHgphDM4tSlEqLr4KivhuK3nKjBKUL8YkOnNtMFAk nDxgcD3ZPaPQrIUJDyO+J0qcsDVBkILLBawMIIXY30SLC9dHe1P92pvwEwGR6nGKUnHqEQL87A0D zNesCkYrW+8cuG3m6jX+SMXTSvmuTpbCO1cRipMdnu28qrgbjb9tITUMyYG/dcwwR5iKd86BmUCh OoHSHt87enJydzoBXPiAoGNw23XCBsMNeF9FozgXlxBrNdPGqRYqc6fSDJ8BxMow6usIe+Sm/VjM hLA8JoQnrdpnb6Z+e8WfI5osoFCK6vlEpMPuo7DtlqN2HfanUbg/wdz3p2gM3ODRx9bK2VZxfdRa WV+Bog1MqhfMOsM2eWXtS2lLkYSpl2d6fWam2jp/cpZ3MxQ1NMhvreWuz3DqlFFZnZXz13XzXhpL AHJWWf3O8EgSniFkpUjRqDdfVN2d57MvAxtUXB7E6RYtRBhAsLpvf/VmlIzTs/tkTMugPZh6Nivu NhUZWNztUT1MIaVTM6nWZLvU2mO7dAKxz3NEjvCeI1eZBkiPKxcajdNvwqpgmifMmNIpPgK1Q6Tw qSr4nAy0L0LjAJf/LwgDINrmo2Awo1w88R5LMa4GpKAuRxj4UEufJrrwkskK+Zx64cqY865OUzx7 Enjn7Bacek6iBZmzPZR1qOM0dxGyh1IP8599cnRJsy/aac5bx1oeFLWKy6M4/Rqdn9d3tyuTNaNk nDPh85ssp3OWPN3phTZkRkhI7vQy4y65nqNqYNZ6wazwUge3OvPIy0BTmofQIg6Cm/F46C0klc0Y sEIv2ULoYS6uAVXrrKLiwXjpM6MW+Z73xKMkBsTb4HjrgYrycamP1264VTDjh+fju/QrRoOdRIEK 6ZxVELGtaSdXx9RBKC1TUWwiSdiSGZlpSK7nFKLn776Z5qSH1wz5nHQA2JUWL1zUVWnwkZGVUK+f GeXjJFc8D1TB2GXefTzukLORn03yHxIrySEr8lnji3f+zqYTb0aQFfPvaq3suzqkDgIrGdRaWLsM FNqqW4A7IKlz73JTKNp9zigfryxbSmJ1x0V5AbgzRcohY+DyP1nUSLegYbjbTchnBFnhDzqa5Gkl 49d8f7pvI/gpbrk7o/64FcGt5HlXC9M8uyW8SxgmWKYV2QtRIHQ21NUVnAQD25NFPaOMPNG6C8Mb LVkZ+Szn6NqSbxi8zb+aBTzaMEEAA8vCFJI5OTzf0xXHZyrJW3RpOIa8F2y4eutCuUNlA7XruONq YRoFm7rY5qmdgrcMkRTRml6gtGXIG90pwtYdBzM8tTIlUluuSO0odDJki27z8r+AYvLMyWjR3c0p QqwwOZ1C05jef0+G7KnOQD/JU3WZ2hkl5COb4trX6lnBhANHphPcBoxVFmgAkIt4DURDnZfiQqj9 jBLyljltWVsLfreq4ve895worHNg4Pq/IFCCurCFcZ+nkM1JpFgd7SoeyteTgarbOC4nLdiunhlK rEjYaFJhyVYH1MGSC4IVpExV0F5VB6MrvtjyXc8MYayiO47QGIvKT7n44sDD3YpsrnfH5X9Be0T9 hDPDuCKjK8rG0S2Bh8uthCH7atsTh1JWCd+e74pAKybVU5dOO66O7Tn72/mNmY3vSiY9Wa8dCLUJ dDTyaNMNkXbBCjvjCC2oaskXZ0xNWoMAJVlxeSRsdAXqZBR3Pq1cRN2KsnHSdMca46rWSw4SYdp1 u4D2HN7xXSFoRTjWKoyqVnvvYFAKvCapQO08uo04IMzMzlYM/bDNtkp59DPXH3qHnX43BEJLzuhk GGVJsXbXguujt+4XJR+s13q3pxXqjE8B6mb3QQsAXcZ65eBCvA3QDhWmELSi8PQkKEanGsJP46Dl PEF1YUAPGCvYfpLRPk8uX0Q8WqU+qdOpu/E9UZMuU/kJim1ahO057+2wvsHi5X/VLEUw+/oz8gX3 rCgZ79Rjzdl7mRhINR9ITfMiBkCzWl9lhfBxwaHrNhj5fugIGzixjQkJiruUkBrwrQNYd6J5mSEo 4EkBEXUrbo1LU6U1SatsvT/I9JD2sxCBbG2UjT+Ch0zGAf2eGMdaoKJknOAJYL2bwTBOjmPi/gVY csEwIBNqRiQrJnSaVLSZED80asAHSgdHkTPzhBE9sT0Ls9ucO01b1he2Z+qWg06dnE8z84IMcFIT 63CtDIzVD4WN6sQnBO/enSMgLIDGbsD1LVRRPp4I7klzeKgSJVHZgcre3oRwyfJn6g99lWWn2Xpk aCrMvjr6A9Qeh3PZlI4YLcwAzPg5+2FyB8FEffYVIVYWQ5XLar6oED47zVQv6tRbuDwArKz+FSfg KuZ8TrwoIadm28wSnwFyrO/iPU3I43mnrm4z8VxhQs7HY+UkigtXn3JCk7UViiFD0zyNZB6edGsB 7Qkicw7DyDrzQnkVkRHQROHilXBbstI7lXBquDxSMLi4OvH4TyEMYT4L1D9pnfBxm04uW9NNgJom w5tq/NUKvBWiyLuprq1xV++spPNStCVyGfrbRB4iwcWbXIBiONEpF6+C7Q1f9K8cil1IcKa2NBtI OR+aBqNTt6KcnM1pHXqqB2HucVkJK5YgJ0CsXu+IQVCbBFYeOWSIBZkEedwll0R7NyGofhGtDarU AC2ZHWVNBB5iiIF8q4LmUWlLyoFwAX+KtxzgyBfTA0F/i0N/oRwyGqeGrQ3eZ4Qv+AZIZxhTQWDb m3Ur7JIPoS9uVj7kUQZU31S2SY0V7BuKVCx8qAglzV24OqgV84pBVN0CHL5vyh+NDneCkxlgSo6R iUPJyUxYUVqOuQRHqdMXTfFOvSQ/LmgJ4/Lokfrurcwi5qQjVnaUlg/yFSFUbIlUKrlZ+YINy+s8 WochkdohsXNrcyqGFSsPVmx0UB87N6mMEkB4Mvh6YLOC7yRYmd5ekT36jhJz1XkL8wcsJ8CSO2wQ bhBQc/LyiBC0vhIEwCrXHt5d2TGvsxGnUp03BQ0Li9MpM3zcuQn/prB9rESuJFLEIK0WJ9D1z5vJ AVXbgKZn5QWkk2KuBFORa5ub6JKwQzR5xdhl0QhWi/A8QFSxmqFi+35PzNFP8ramdFZInPK9fIdo 8rVls3G1stz1B0D8bWJ+baNGVZzixFzdccMglv0MzwsUVdbMGp5n8IUIxaiAgS4S0yTYbu7CQ3cc hEnkhNK3L8JiwGpMo8+dBi7/SyqLiQSEqU6C51v5DnmdVDc6FavrRu/pkJWzPbluNKSybCvfIWRF Xbrq9Z7YXiZ6uEqqwh6mCghFdcVR/LeAUJR2ieeFuyW+6F+Ko6zgbdEGdX7iTX4QkK64PFLR/CZU A+98UnVv/u4QSZ6oYKt2neLkiu3nmNvOTji/tz9PIZJcMAN4cd7V2gbt7NKDaSHiNM57ZSpQ0CyY MDMEgcu7deiD4Wui+oWPU2ZTs2IwqIKtAwTFUuB88cb1EVDsW5gVpF308z1MoeZh77KnvkS8Ucwo AXZAzpzqyemvO8asiM5Z1rqrd1egQX4+lwgonHNENJ8wccBUz0OP73cVA+Ty+JoggapJGqPFF711 mHYIPHtKY1weiUDdHjlTcpQkqVwJzR2hVpJEdgfTJqKkqQ1PRZrM8oNxgsqLxSmUPJQYa00iKFT3 zESZR0VtOKijEm6QLmZ9g+EIhBnP/0DjAkrArPLsjiMGB/W1Zb/BRYE6JWOl8vnJ8XmbUYn3i7AI KPMcF7eyw5S8sFs3iajjP7vbvZ7N16X8KtJqERZ3iFuRwkpvpl3U5tWow5i3oemI56m0QV0GdjVR r5NXDHkvkxqlPTS+J0g0SdzoTbL2zTXqkJNJahQCjbg8atf9AmPg6Cy3xNtRQk52zJi0k2WYpuzJ QDEbxQmLbfJhYZjChFynXKN5sq0+c+lQdIWnHUZT5Wy37KRPOJj9QA/gF1RsT91w5JJAPDSasbYw SjB3rkNpVB24PBIPuc06QgzOT7JRd1iYomy8qVu3d7W3rpDgJUO3Wl0HakFhTG9dhFmZmnT2pWST q+1OFbtTT3TiBGJlyBLn7FQQS5dbXvIcsyQWwjsUWYH62nlz9/RFjwX9YVi2nCMDl0eF8PrengjV BVbIAiWg0Ov3bjUz57BSuKh9sAl6ZMcF1A1MUQTGwEdFhYvYLdU06J7pOV9boJ/IcjlbFFMk5JnU JaW/R+XwZS45A2276YAEy79ug0dx/kicNtWo1Btmu+zDjZ02P+Kv9mYXxAz6lOPixnIKSZ6JCM22 s1ETBFEieqXKvmSjEdFcmzWnMDHvVgtbpdefSk9eHsgpYSDcMWEQcqygmKi0RdysiU2RfNlN/4u/ OBdxUXD8+qFA0UnR297CIm6aKUW5+X58pxK7nJ2mAF/xio2CJCRrFE/M1XO3phTdXNS8q1CasniF kHIp152jst31VsbEBHDAAAzLLOreNVRKGcolpzLujzry8psO4sVmcBE7qGDmxa+qMIpZ4gSfk4of ECRVv9QQQYwDK/4GK+yeI5mcc1ys3ezLGlOnOi7WwQOpp3mwYrdOTTSrcCpc7W3cZ9MCnaXTSjOf nSVJmpzqkTSDrdD79apPBgopNAxaYipQNoOLOi4ooVTPAH2A64M9vt89Hv81GkELBYkHK8rUCSeE h8i6wMTVHG7Xk0LYOJfzrStqoQ95lpwHpd3VMZxnBz7P1hKd6uT07HVBSwsnQgOXm0N2K2lICeA3 Re4lNMdba/tiCKmRt0m2jszrg6LmVn9ZLyKb/+CZe7jCLjqtOXqezWB39M4S+Wx2Y1o1mDh0D1fc Rjd4+e53tXBN9mFs4gDb3CYLz8UxSUEBVvIVzygpbbvpCKCYBWlZvujZOnecRJc91ROvj0yFfyHK aJeXv+zyYjkWvAl7kBqkeTveJwarMScgRnFW+nN0fVSQQUjyPhP2aKsF6yREsJIrUJQFB3u1rl2L X98KajkZUulYzH7TQa5F9F1B29QWoRTPBlY0Iz0nCq+Ppg6/OGjlbDIcsnmwQlEWesFt53yi6+Ja bbDNQNwwd6jDsdQ5hf10p7gIDMVVwSoLNfOgedeJU2tLmt0gQ23ht22L165Vqt90VOZUqQELYMZD Gk8W3OfNrqM0Xv+Xz5lgzJyoATd7wxUiXaa8vLOXzlneL9SwoWMwOwyDjR55MUbiLMZkKLs8q0Nd MkpBiNEh4crnsSURC/KJ4+TQ2PCAxXPA4vZ7Dg5EDWgSs1QuwuFt4Ew0eqmF1wfv4R26M1ZD7epr J5RiuUTyG/n4yplZklHoxqhuZyLPnd9iFfbVnVosnD5X37T2hpMubbxKgVKm2jEJVDCSs2lV48Q9 MovxX0dtK1FAa/HFYrWXPE3q2R55/V8kIqLPK8xQryx3Di0++TqcsrlaqE6pMtyso+y5rIKGY4hb V4aAFym2tWXirmtdNXzU0BBHIkEGjJIlZzhQZ34mTqMCjxDPS1u3e/6XZGJDCmqLQlXalPkw/GZ4 /Z+G6LzFSbfB5zAMfT6beI49uc/nmsWFfybplXyuEnYRC1YEezHl22FOzeNxap7AhqELUMSTgRWh kjEA1QcVhNMjBkjsYo59PjPB5+qGFu+GnrTj/K3CoK9SeX0kFby+dndwnib0CD1SYf4ug13RrsgE meZzcnaUUlzUZsDPmB2HHDp9rmK8K2VZ/cmyxtnF4R/LWnpCFn9qt4IP2g80uHAc9Ruq4vf8D+5s 44NgaggkhZ7fs00mWedtHrz+LytiSbNwUNqvl17g9ompvwbws3is6nIf+coSSbFKPovIod3nUDd0 GcGfq2IFzsc45WGqos+ObGy/Auo3/bM3cwb5Cg2/5UgHgVTHPu+iyR+sLpKwnigMA7vP75xBdnqg y7TnqXrP3REpJaPJ230nMSz2/mUZy0MdP0vWlJEKuaFqr3fZl2i1pypXQFygCnSeqvN6NOHVJ3UD 0DT9IYjKOll9dLvnf2E6zrG8tSx7qgAdYfPs1Byb10de6evrDGxUMSm3JgwMP100YmXvIJ+tKptE fu2LeM9Cppp3RnPg+Hl9KTrVcmy1rWqcfS8TjIOCibwQZmKcNk+KOBIvbZCqPu2e/4XRq0pIuVjX by9KY55zaBVeH8y48jffGHtPq3cGnwPPT5TtfOmIEDDR4L28IlyGGWpESFsiGpp+UuoFCWgZd/Ws /WR5E3JGSERtgsopfCZYAB0HYo698admVmj7OUm+SoXC5qks58z0kxW6O4XuNNrX6/djhQxtXlZf Dow/Ybu82Rft1XGflwAJbUJztMQrZHjrHDh/ZvmIcADf7+oD5nZe7JypAX+K2kIJBgi90gCQ+QEp IGadTn4Evyl6rlThGMZj+YQZv7OQxCej4PUR0yF/nYGYsS10Dj1WUca+SPfPQuVRRljecSRop+0m hAVZj8UqbLtryJyyVNtSvo5x2IEK8ivqkQAtVOmPMgpV4CrsC+ALcAFD3W86chZgkGrNvtj0dJj4 fGWnIXAART757cAATbmVx7Ozh/B0ykudYFXr9wmfxBZW6V3esqda6PTd5TsYZuzdnqfV7uoAj8Lq Zg9ZzdcplhF0ZtEfBVGojNEfPta0m44kXzme2MIU7+0pO7JQAaYqusmhC2h9ujLUuYFNcuabb+EK jUC75qhPuPbe+2IbS7EmVkf3RuEKnUC3CcFnQaxKfiBWCwqvE4wVNBoK4DCyYmhMMyTg1ecz+fKb /pf1dRZSPRe+bonkjLN3ELVXR+X1kb5i+X4Np3lKe6zC1jtdK/KaEutG72+4NHVhQ5+QmJN1ees9 NAMdUn/NU8NUrg8xi/N/drAmsiCmV4Ng+hMlpLcb409TeeOUMIduoJrEwJzHF72G6JFx7gw5e14f dEfLt3EF8smdr4BSjs1AVxUp0tvu2Kn8uWK1z9dwQ+pAW1bgBiozLsQoy0Mue4cX23s+D11P5jAL xIHYo41e2RVJbOlsJ6s5WpPddNTto2pgk2wnthyeJCBHtdk0plibHxAl7t+yXPyp0uNLmANHUByG Uz0+hehkjS1rvlOZOag3CkeF+xbGsHVFackOW1r4erJSWwOOhDgMz5llvnTeSu4YqvKfdhgmkv5y 7AlatGk1W4o9IdCKoZQrqC28/k8iRLXXkIZuHqyw7061t5YN1Ih/dleDXSmb+E1nK1y5e2ALer2I Thne7urT1ZM3tjIkLnyqmC0Fr0lfwEngY7k6pyXTcSDHvqAyTl00R2lgWXUT4zhHLd/98+hOfkCE 8r9Z1rLUYcwnJQ29QaG5BPxHv7S2tl2wWmm0cDIQZrFghUAZAUBsWqPV9/d1/vuJUwemWyCScL6K CTj8WyZdP8ol2KgrE5qDZiKKwBbwRciGguOCu9d5R3n9X04WhPSDf8GRlscqSt/pDwQD9Gax6sO8 +E7GQqKwsDJsHypWoeJil6xSEuyYq1U6MHdO5OeB2gbN0KkUHw/jQEN/rFs+EwPI7wlAIFlm9HP6 osQBbyAFEJBL8/ogeb8YNebpA6/gk7yHBqHMIkelYIikKYspwAEts73X16eD1HLgEHqB2QB83NXL Z0j1E7gAqQmgAciPn6UuaflxEn5nOdliFQJmqpAydGvgA8+Zas/ZlIehQMHrI2j2+k6yiKEd6wlW lL136k2sZtRI/NM8L2ulTqIK6EUvExbQgU0oZGY1+JIqkFYL1kn2UgZpi43RcWKkUUbbEvtN8DL2 BIvepPye4CCUtv6kJg4XPlYFui9ELtbzbvP6YLe64CI2QzIbTukxd8yhV2jCoTDPxw9HzsiuAS2s 89R5w31z8qAnK6aXSuZltn1Xb7iPRX7blOpE35QKgx4PcruENkSBMx66lESsFbvpCPOPJ+vkUUtL sycLk8Yqg+KqO43ayOkrx8LoeK+nMxMahoILQb6kQ4+Lmu+MVXNri5OyJD8IY8fQZZ0ZEv602oPV 0RhHBx8PVjo51DQDe0i+dUintPQwu4lkz6FjqApo4kdsMewxiBdMxtlwDyxDkbn7g0XQL2Aha11Z wRyYhpb/w1iLqH9vYoHuZlt71eFIp14Wg3wHA9fQE3XxI5pVh1wvTBsNjdT5DkKzq8jfgoJug61U NDUvC77bPUe4BlrNNWq/cGGsKgydtnlkb17/J7BW0iekP99XMHQO7UQYnRfPzPkACnZEexvmsAY1 7WwMiRxah5oXSMqqoLk66wbV/Fh8Bc9Lch7XKdYNO88n1T0fDhyjjVO7hl6he6j0PEuSDiMFByTP gVkaCwYYKfMDIlE4T7Dwc+ayBYh+3sIQM0PDoimsH+VfkvPhe6GKkfnRdq90Yg9R6cgnodW1eqVz NryMP+GHRp8neMkARgAjVcxywGO6AlXZbzrIR+VsDN4qFhTmaosCi79kKIfuTGAjqsnZt7IJjEyf dkNsI0qaEpzBLFg1udQg2CymKTRycf+UHPiIXh4zAIp39e29J0CwOjEz9fx/VOMpFZyJhbpL5cto Bn8wvykaPmtUPzh8BsMwC752DgqK9SboTmV+QgQx8tSBU0LKY18BphzYiYqRn0dewxBGA/WcFdFE SKmILvCjU1kY+ok6QdA0B/OjOYi+H84/WJyeYqSh2uGjNfCDZupvENzu/WRKVeXAUdQJcHVL8XMb OaOdn9SsseBjwOuDHmnyXhbFYCB71q9mTg4tRSmScHaU7GpVQ+qo6PslNtGoI5CaE+Rz4ClqVm04 ytRw4OqFzimiz3tGNNYpM+YWzHZsTjczleXaA13jT8tvigaF3JN9ce3BBn75YsNhpDT5AUGpk77J E7DEpfKNBytsvuMpmL3bvs6ZhRk7VBUO6vrVdYMV5+/WJJVzPVcPFpRtBv44dv3Qc2LXDxLacHag MkW9LmusG/hNwWvIejBPzr/yLA5da9koZfX8g9dHSWn6zt+RhJOV5cGK8TIS/kxqw6CExmHEqU6m fTqnqq0Vb86E5qLT1MBLK3d1XjNoFJQvRZYCCD/1GSCyvEGFw8kHSQXHYnW/6cgdSzyTuX0RFuuc rl3P2DkjeX2Qkub89WBlDvdQX9xohf33Tak4TDqEXFua66CVNSmJIxMawBYsWv9GzMyZVSBzVbTy phTc4KOFhumqWS1kin92cOYr+tG2Z2XB/AKLUWQPhKuzDQ8Yw7lQfYYCcBQV0fae/IC/PCG3jtGf lZ6+X+gySprE+YlvHQ1v9ltHE4oFY6NZhndnApvR81F6pBZnRbZax6FSZJwOK7MDmCaY30LLBip3 tPS6dmJl2S3/a3vH+6dFuLWTRKdFxrFhGwKb0W/bECEiO6xrLyAytBkdBNlBGdjqwqo2Q6cxXrU+ Ford7ZGKYO9G+BrJRC7T5cXB7XBCioGUigH/MuEAO+56wROXXkFWFo7s9xxgbXUSdgp8VKHGWe3A fzerLsTEPnQaJXntgcyAGJXGbTiEVqM1k0hRi3u1594vDKR7rKgu7LGKOakSQRlp3NUbpDAGTJCF 6Av2h61I1KKB6z/x8q19W34En/B7IiBWkrasJGaBTaOnNjxdCsVeAHjgB0R8il8jHZyB+8FwB26j 53sX4+POfZSLWR4qkEMcCzm95RfbjVrLb0oOhauFaifAnlGngkc4d6MOyck7kT1MwFcxDXcRlJTs liNje7WxqMTARSX0eZl9rgocVmg3mh9hHY5/BjzYHpRtYDhqjshIR5olWE0IUgyhu6NngKu4qXvs OGr1zTAh3nFhoxCcB/+0Nrms1LNtcbC6YFe1cQCW1K5iMS1A+EVByiDlr56nL9ZJPmfsFmOnVV7/ F0uH3frz8E+J3ytUITl1MlmnnA1DlYlgVN4+u+ei56nzGXRgOnoVY0gC9vXBgRBMTs1imA/IEwJo NagSYjpaYL7pmBlVOaHtqPCi6Eyz646axwiUG+YbqFvOL8IPCCzur+4ec1F6c4xbEgbOowB7dnEn HtXi7JNCzuCUiw5OzxWsKHEfll5NEZu4WrAKBJyYf4BLNivctQVuQKPn5PSgPGP6YgN7AUEC81Ed R/REnu6QrIbM+eU75wsQ8+H1f2GxmF6Ba3pS8GskkkMD0omRMlTBl8NmhqvQt862Kh6tkXoqHq0I NlOnC4DVu9qGtdLZ/E7dM8TAPD9R1TmYARaimTSQTS5evLPdczT8IkFnSZx3ORoLliuVx+DZQDav /ws5KnIhKt+zT29/tj6v6VUGeZKtvl58CA0PST1aGO9uw2ONKzj7mlxlSA5wmNoEStPqZNWaQI1k YwZut+e1k44qnuuFttQe1zZy0Uj6NbXKaMqw617YlGludFob/HeFLjpn6+c1scqo6ZSCdmUZKNv3 ot82a9AoRMNIl86/zBQCEv+yutNR381lL1+zqvxwcgz9UR/0x0l2TqXMzyVYZizD9mWAbDc24oy+ kFlkULP885pTnf97sFTOm4AZLorRKZFr07O0cPVbjJBmbI8RjzlkdRCcVoxe86ls2nuoY5Jt56ua xHrF/C7Zdr6aYxlesyk8RqKGTzmHab2Z50k9J7oXkJQ7zw2J++wBElSLWjV74im3yNdcKmNvobzs IPOZi/rGuShnBke8fV4TKQTYvEf7j+Wq8O7xCL2mUXiK5B5NXW/50QwXTy1rJxPVqfTrZoRek6iM Lo4G8kXCX71c4S/QxSY8acwao4+51KIFHQqgbYoiWIRolP15TaGyt11gPMgFHXhhzE7ikUikR1X5 ec2g8NcaYbATHYpc8xy+/qK9pk+4aNHDdpq/qGmS2LCm3GFNHl7AvCZP2VNyPCT9rp48QbMeioTn /mH6neggAzmdLGJlpqrYZaFSQ+41d8JuRAwt8wtbDBeaAUr7sSn8a+aUJXfxPEZAhe6U/TF6zZvw eu4tESsfwCfC5QVW2N1jdGopGyu/Zk0ZRaYSgab5A1dPBM7f3TagcfDlPJl/oTD/oNUaTGEb6H2O 3ONAATf8HqPFemVk9g0Gx1bCVsOrkZi7eX6Rz2vGxNfG3zVmWucPPC+oB+k1X8pOiEjdmuWgQ7hA TIMxgwVJSix0WImCpLmoFSNa7V0rEB4bSXqpO8NYpwnPgTSUnufYEO/bxuruNVfKrvPVK2d+nYxI AfvXKZDZtMcv+nlNlbiddQsS45kpb+lBek2TsGWj9hg1u8fvoDCgVGWHKKj0Y53F1ZyjLdtaJWSv 2mrHGtoRBaNRjGQgnZ/U3gSJDuCz3SC4OG/+TfGq1xwJPwatHXg/cLPvpAepY+fWT3Om8XnNkXi0 9K89CdeDG2dReu1s4n1rSQZZviet4o0CjOH0FkK7ylkQr33NbAqZrx40oBhgHsOOOayCu+lanveZ upbn/Wp44Q1VTDz457WriSht9gfaltAXlcAoNI89g394hlRqECNXa+zqspPblzB0UpReO5p4fotM HZpztWZ2rkjbK11/B8ozU8wjTJE0Ba3m2FMfnHqH1kJHGwK70oQ2IsUaE/N9hA1P677QPEoUv3Yz 8WtQEBxqjVqKUUtPgbN4WIC+9XltZTIjvAmAGN4kLW/26JhJRtk2yMpIJf2Em6dYSN5L2XV424l+ qeJKRoEyQlEVD5CrBerUaCf7zkISj3VuS3R4YrEhegG/2nr9areK3veMW+cEhlTpLmr7nj+lDoES KUsRvXRmhKH9GxLWMDzwOEUpN7t6o8uxD89TIs+C83XS2EzUkoe3uEdRnPQgnd8439VzbviCQ5SJ Q71TGwy5aqOtiaEeMCqSjKvSch665WALrxQnBnPdFk0TWpqyyGqn0Pm8U//yw4LvQnrC9GpJ81pA wChQeQo4le72ZHZZEEderv5JQ1fDAgWB2uLAN+Petod726HUAS1sSqElao3yqGtQ0VkooPKXfx8m WLzj9zjBDBrzFeVMfTkD/pTWgh0Cc/t55/1l+UMoTlL7oOl78zBFyXe1mfrNmkD2s4RgyFyUtioP GC/Kvs17Fd3Lu/oGtfcJSaFmR90TzjbcxqkOhNbFOZhgEObSn6nqloMqLhHTUphicrEe5ilyOA2H ze7nnfSX850hKE6dpNtx4/SegUMfSHCp5bz3KgsoxKnLeJxx4ghJvd4oThoMo5K9q5Eje2YzdBMC BEGTJBw2Z4YrKx9Pd9gy1b/85/ZUKhOowtfVObeN6fDPqYrQkgvilG9+KVEmwDvT9vPunfGHP4/Z B2zl7b0bVMuV6i5rVs5aGq3qGaj3PNzEDDNNDe/qQ6mzd8+9xaDJVLYRkRswTkpdYmTl+zjH+p93 vh9eHG7glJSxRXhFaIBMqZ6W8nmn+2WwsduvI08otX57J2EqPqlpKaU4Yg7q9DF6nsP7vGigWvMk ysW7iRC6OH/fz5ylz7RgY0Rb31WTdvIJRDokzM8FyHbN/ThLSCHKxZsA6KlXX/TijZFZOuDA2593 rl9+ZgfWHBicMScPU5SMk+RyiuZWHG1AjrrQBr0s7/Bi/KowRdm4HXhnA8p39TwTSGdoHNBNe2FQ xo45NYA2bZsBu3dhAL/j9zANaglB2+fHPSH5965dKys8KP1/3ml+vM39FaYOPyPRt/ml79k4NF/Y FhgrW5hMqpFKVeQaMkwNqo9q7UbpuP70k5CWZ/U+08bcAUixsz8h0cmWlEq2vDCJuj5isu97p/hZ IwQ9GhFBmk1xoHs75bJydvT8eWf44Q9+0gL5iCFG5dnIo4Sco+5Tn1xjul2vStXkoUtJLxDV7XkK M3K1wGeTyh5XC9RssDjGiYiNfAPJInk5yKpO7fzj4vSnNKqijBzTydUXHTS1MFBngyuLAKlKNEaU kjtTpnO42eFS2YqH6Z3ax12Nghx7uWFtXdMGdgXtaauB5zWgeWf25YtLrDSmt9VeO9S1pxwpfO1Q BusVPcfM5C8q+dF2W05oxX/eiX14IqqGmrP7YnnmTmqnQB7q887rY1rhTSepy+Hw6Tchf+f1IbyT 2IJkbQH6vhbr765q3CKUwVBPZKDeE/IngSqr39VQdoXmz2d7RR1cytnXhe8pnVLX4KhXdFeuot7Q LQcH3uTgqbDEm3htm4kGDQhoEcKK7tnnndeHpyOtr9rllDmA2vmJ907ryyZDe/5jK4DJ8LFWOMRR t3fogD3mHvXO6sNfoH2HyABfvbEy2wKTky2DkozYfp5fGsV1CTin+mDOi245SqKW5MA7F2CAOKuD ZEwVxu5km593Tl+W9+ZXckBvZsjpWqTCrHxJbdcfqvNrJEe6gplokWrInPVQRVk53a5w2EnAi6tF asF1oWpa3iGCIl+VUfg7NcLOoXriYhyUeHkn9LFvwA6dquG+r0k7vQEl2jLr553P96stzr3/fMTP Hu1uU1FavuTxQCops81OwT8JqF8uUUv0vEe2+c7myyZCCliTuUM+u3mnY+kcFO/KbZ9c2rYpMLM3 NTVprK2mr4yy3sl8+dr4aVzXEx2xKDh4Tnlqyp7ztn3eqXyIU/IkqmfbppawKoxT2BxnFlXKaN6G qsMF1LfEr1ENr+0483ciX77EGAwG7uow8w6Ehyii56AbbM3hoJMKCNLwutejY0mw2DuRj+k/eTHF 6NrGcT4/C3RXWeKevObzzuPLmhsrUEy5Ttoz202j3ll8CK85QJnXE0pUL/OgzZLsxZvD/eneSXzM MzT1bdYob5dAdN683aAwq6lvqhJzAW8b4IQCagxaw9c/k36s7yy+rLkg237NF7WhUKJqoHD20887 iS8bqlRbuWYOm3JW3v19Z/ExUkVYgts4cJNoYglu4wDFiDbz97wc8Edp4BhVm6vlUWXj6ENGehIf RK1xtIdf8pTtg9y4cgE9BLV/3il8DBSOvY20DctJAIcMC87Gl/nPswfuzzuFj1Op74ELBINpG25x CtvkHN0B0+pvniDSJMQIOM16mLMC7lDviTn613yShqSKtXqccAoNzaVOdOoaU5ALtOQXuLcFCZ31 V/ibfd75e2yQcAvPa/uivkGBvBxHnQO5wXtingkx+6pfzskMgwsPU5SWV9ZYmWeq2gbXuaDACNQ2 KOwpFqb3tDybkjMUy/pdrb9ycvKWEzpyJy1HpzeZSEenqiaeQ2SKDuMh7vedt8fHiWw0MYWrK96h fban0s29xuedtodsdfj+RGgA/28KgdiwPEzMOwUY6x1O9XWTqEmPYepINAA+NS0PE3PVw8t0GLla oOC7hkKYmvwnPKl1qYNTWJ+/AfmbpmiGF/bzTtvLEsxggtF8UaF3tlmBsts5Cj7vrD0/mBUo1sMb o3p/nt45e55PzOzK6ShGKSExqfzWPYPaI9mg852yx+4KwnNOfQ7otFqYAD5M7ISfTQW70lQHhnoP bOdkqoN3MYVy0R3/K0xpUb2Zi167U2kP0fbb5OVRYvArgSrcM2v2OEU5OdvfOHlM9W3ABMWmeIti ceQX7+UJ1DtdD++DGbFujsS12va0zg/Rz1Mkhv9JLOHErr4mNfkBzux3F29DNxxVeeyuFB5TXNSt A1CB0tRIwT7vTL1sustPEyqxc3+jFOXjtIcbvWdnYfdkVr/gB5HyD6j9ZuYMBug7S+956XZX5cLV nqYM7c6Gx42GIQKokvhIV0Y0luEfbGiwSTmSd45edmOVLtgceMo/ao2fmjsPPlvosnzeKXq/2yvs FVdald523TtHjz9PE6rAKdhtbNembLlbpHq+U+F3ih5rPL53WcYEWhWps7+OnRv0Ljo03nZTV/Ps TgAu4+Ao6f8x9l1JruxIsv9cS1kbtOj9L+zB3SOQPG8yqtpmbHC75yaZBUKEcHEh0SAJ8YWj6i9n SEpT3ZWmgEpezZAqo3zeyXkIXra3znlio4fT0SWzaXqPx11sMdXlwpRJoMxOnbnhEp6DAuicpqhK ntROmNW2XX223bkjzq8NTT+W69IeQv0A8At/X8B6erkQA+Yt78y87IpcqRCIwYHzBBMo9klBaORr RuvpHk+KSYc2vs1TFI93GWun7pTPnK8CSaGJjEoG6MnpGA+L5ObQs1Q64HgBq+fcxvTQ7LBBOYLV 35ygDVcA+yxgfDvjkxjDd1reEz6BLWqDJmrDp4LFg4Xf8z0eN5jfEz5h/4JgY/MURePksQJO6HlL JQpYkJV+7ezxYykaf6fkPQdUz6ZzquR9EkQHjWYq+aPjhc3GIx8iyjjMEqCqkke3smbSKwdtYdYz MS8csCHMP6xvC4nOr/F5Z+Rl86C9EwWl7g0pH5uoKBxvFJKf/arhlTotLqhfiTBaTLagwjp5Moue lu9oGy8nquENye6ncyOpsrIGktKKGmytqV1gL772887Gw1G+jAzLiQI5u4nRk05sy3QPoJLPOxsP W2/Pr7wFxbUpsDgnKgrI5QnZq0ExEZtXnygA6i3OHLicNFFRndw0TqFOfkevrEAHYiQqLJZOsB15 /E2GCoigWrmWayZ68E7EYyxDFUpZtadr1U5pUPaXToS8Pu80PL6nLyiCgUoHMfsiM8N4HPfyTFpQ xEAXXO3UdQNV+kceNND+OvMEaGYcj2uequPFr8ApeHdnLww5hIAsRc0+xP7QRmgkWCNatxNq0Mfh nYVniAoiDJIUR6poTydxwfpitaTNzzsJL0ug+Nl45DxtRCM2USFypZJjzXYEhfYX8YD/yXABLJTf H+goAOhUsaDeOXj4oaZ5+IksxdGxh4vCQRDNPXEsvKZkSjDZH6MyTMEvayUo6pt93jl4WbpZYAsb d5hyR6jVnbRd2GKIMX3eGXj54XWehcTiD/7vemKodwoelhSunTE4Yf+R9AhVAzOKmmRfMyZPsPnT korq5E0SZef/le/odfJzr50oKpMAlHAoGRYKuirnBkS/G9p4N8ezV45gmtKBJfsgUf+CS6qCyC2U Zq6fdwJelsLZ11kOpvDOfum98+8Ya1ZpTqofDDejkmzrDT7LrYcSki69d/odt57yti11Mo7e82xQ RZ9aUcCh0rMTKROOVbLmCtCZvvVI0njn32U5ckEdkC10DupRUcRRepKlft7pd1lWSF8lqHkSp3Ix Pu/kO1JDKIuUcvJSnfMOgF3xbgIsHR09HhbJjYOw2jPaPGGLwckPtZUTFNdSyeU4/32BEAP6BjS0 Ui6s2so79Q65sOx41PlMbnR+LqCzJKuZbLfPO/OOvYh/Tyj4ksmNlfMUFcmndH4olsPYADIWHhtQ F5ixAbMNXnnvxDuuZ+XCzYLzdkUgIPUyZDyAxPPkf126ZAtK+5DQgFj8hUJhF/B7fqsZQAPCB83T SYd3FQVjj8876Q4bqK3vG4+mtfseTyFwBQTMwd6TwaObcaQg9GTiLMCqVet4vlPunm0n8KuNlgy3 cdbAhq/BuRZnsg4OKC1nAU06gNCww0JyLaeoRN41TcOQOeUqeovyb0zOd76dMT6eXYdEDXgUn6Yo JKfxyYT0wMNHuE4pvHjUw+tO/Hnn2nHXYZpOuMeagUafJvi28awDNLpuxq24E5lgsbiTrmER5Iz1 xkFpJQmxQrzmlA4Pg6YyJJva2pyfd6Ydoc5315HDmSANsO4pHkbkW/ZXDMMp2lbMBQQs6uvRAOlc 1VZaCCQvUjFIqptw9AI5gtbdWDE4qShN6VSBAnkZ2msZ8aIzg3E84nuCsCCJi6iOy7KOSz0fSZES FO3yxuNBnHkBPswGUajbF0fewnicem2wNfSKAfNQaRjk6fqSlWbhPMSjAnlSpQAGIne0aUoQPa8n mMU0oXqQhhjEqOfgOGSB8MFpbr1xcNexRDfod6jBDvFOzSEspzLweISr87QFj4FuNPGmRgKKwvFO h/Ym1iZFMXK++q6N+R1FMSjeThbQezhuMv5nt2UeThodpwla6/kIlMdnB0FxC48weHqe/37WS9sc JLj2kLc5ZR9D8AqHB90jT7UTjeDxgOByk7uahemcP3Q1snmKonFaX8w6h8s8JAKL5GGR3cMCApo+ TxFsRWimTYyqj5yncwB06E4LBgXf45K19Cq4S0gyfmhW5/UCtMjwPUHWQmFl6qfaoInCpuOVfq69 iceDmKDsr7sOTna9XSZQj8vjbEs1y3dBS0jeRjBBeNafQAjnId6j8nh3wnR/RktaTgjAgJswKJwn iXIsYIpm0hLOvQZFRi8XJHvlX3B1J0YhZGWAiKfuwYklOglpXKF4PsBBze99BxFxKIB6pa6HLE4K Kyf2goir61c6v4023dAQNEkF4z0KxsXxOVeYaJwcPb07oSVdfan7R5o0tdkaYdfkR7aWHYqRN/Pg HgXj2Duo+Q7iTVDSlI4TfJbT4EmORj8+IKK7Xqim1uL6gR6PT1UUj1NpAFwBo+GPc4U7vwxMIAug eIhpqmLQio7wLooCRzujzrly/r5KUlCq0CllLAquMFh4Sb5zt6hJ2QR8UdTsFB+YmM3zO3RJrDQe IewQn8hx4vngzrtVTdagcObNy3rtYUCOp0ZeVqFDXYNROLCaUG4yrCZh2jQy7CGnU0D6qUKcRr/z QEdIXU7I5+ZOQICzd54p51rJNBv3lKpZrxzEBhQYKwIhsnQsWZVVIGKN1QUaMp6Pdt/42n2o1Azo gNpEhWXyzVYLCvFZksrU/T9HOEwMdYQTL4Z+EU/z30Pyk6X0ecfL4kijLkhznKQRUnbmw3BOsrOW OgjRFdYiV6F06pWj45z+Vqj7ngHPyQ+gJSjfsq03YcvXo6gcgN+vcBPFEAjI+VS9h+XIeSRZR39C NRdwymKqGnVEMosGA9VAlqF6FJZvuaGUpQIwR5uqPQh/qlMakgnldJahKM5ZkHObHpvcUKhP2qNK OS4A+I9T0pWDkFDnB1kytT9xLB6PiMLfYE0oIk9woG2eIkA5CS+jMaqAFFvfZCDKRJRk1f9kAoB5 83V+0q91TWrXaOTSZkcBNU2I3eI8xyFeKe4zYPMLtayOfJucc0OUn+nCF0WhFNO8orOqDAcAQ8xp i6O/+HiE1ez/VA3g4PAgpXsYmBOCeDa3KfyNhjRLntq7puRuq3RV4oKKCuXLsJrNsJrtwWqe/Xxu g812NWDSi7L46KIiJ+RVSw661TUHibA9gq50qjvUkZsPKpRPsAB5A84y8fhfzHMZxqB6B190555H sTkJAhOK2R5MtTZuMFVMBKpPGUQnflIEhbLURXLKHO04P3EUynSbymLoX+yqOhSIh5tarsiNjfGS eJqPKDhXj+r8+3ew9C63lESzyxmPB8H5mF8rqsFKYA6PpEYUmsNWEdjodlV6SJGXppHuwgHKMOqe mqawUC4qHpQI73hjTsD0qCq2oItB2QKS9IE/S9yrEBF1CTY8iS+KKJ4UVpGhABlFDM8BXhmbdW5I UeL5v7roTaUDAtSvmEEMKCf2nohbCQL35dj7TJE8Ye+nczlGVCinGUOGu8S0ke8BsAFA02njPj0H GaQskKNRXgQlFmxwXPZuuYCsHl8TYFo7haY3MWMIz4wa1HbZS9z73vH4XwwqnfrnJoaIgs1SCF4h +rZrPoSRbk4ZTjQ5p9/Cvs4UI4rM7YBaxsxbg7fPIGKssHNOt9B9MpjcTLUbgJABJY5dHvFy4AHx Nb8xF9skJIOD8cxqHSJWQ/lwhEXyeu87RnnkY6HoYfMUheXQ3gLKvXsPfcnMA6tptnmZHLtZXXNE YTl7pzyW0h29TA7AakZNAsKHhCEmlclxxsAssHwxhonmxfcE246ZCzzROCDGoOxDwxHIXTNyyXj+ r8ImcxXoUM2x/MYbYViOnx/KEq5QtwVeUZ28ZDugSq9WJx9RWC7RuZMMa6I4+gFFvchMzjAip9SH 5PCRIJE4vvZdUJuSBiOEkqMS1VaTTqSrHkIJvVT10s9Jhcej08ljcgnZQZ+1eDdhhFDywaJvmV4m 30QwaZZ6M7XyTuypZimCkptw2FhiDHO0WYI65wLqngW7s4iqxe9gpQ60r8lMMCA5ujX4mqiHV0kw G0Y329ItBJJfLXtIi+DxIHx6cJoMlVAygAO5TVNUJhcAsS5H+IzanD9VZl/bkSvbiWYjiscJCIEw Vmk24v0zHXqh7QrTbQpknRB6SweJzm2S/aXorM0Tcid8TxQ9IQle8gddDIZYfjpZcWo8xUdJHc// SXX5oTwXnJ8duDLCeJxNYVCbbNOtdCXKGzqmpiM2XBZyhPG4igalrXFHz1vOPMOkHFFBo8DhFM6A i7kABdwgBOXbjmHmCOPxXoW0B2Z4U5EiqaIJiicbAufYWviAqFK+vuOnDHPgfY+nMCCn5EqW7Tp7 C2RQMH4qkh1dQq6Y5PYIK+WmBOUmcg8zoWHBNoBcOVOwema1Cn+YVuH5FZCOu6WCDvKwVF5JxUtb Aw58FshnazgWsaR2Lng+mKj1rWmAEn1OrB1wpmZYLG8yqDdgjxEv3XLWpUYhMNOU481Q41BH1DLL qvVYVq0K8Ruk1pJFboB3ae+hVNKoDX6NQY00PEPoCpsJkA/wQSlehlkOy5y1VDwezFPzOHNw8TVA 7v0kn1FATuNz+D0nwxk0GsEyFU6UsECGh5hn2DSFFM8tm/VSn9FLmwltcyif8+zN7BsgIKdRTxn8 qViGUipMI4UZUzyJuZftepPhKeapQ6hLWiK94PHoiHIuLI+zE88TlmPzFEqukJpQRndq9XJ+Pgwz ph3lDXBL080K+Z0q0zUJs2u0THjJRJMbr0CRnj8A8CnA1gEU81MmIWNC+FD7YcbFcrouUem+X18L QItXkazfXhWP/09H+dm3G9Vqm6coIGdneKxcHNRa3CuV8h8Oal0I4TRPYalcTqDDVFjHlwrryTTh U7SoCZlSL3UqD+5k/yInwGK7HrNLbxxNE0tPaduQDN7TcJVKt71MPB6pi7avACrjY3Z55ikKyIF2 x0J3qstcis0ZQa0yHLcysnE4ZhSQjyqtI8NscrT1BFGD0uBXBG7COccrS3UTQB/Sgc5axV3lrWG6 ec1Y95AQxJWHD6qsdISoTNpOVPyZUUDe/inVoSQycefYPMVlcnoutYtpHe1KjLbklCCKjOnCmxFu xbSzoD59R1tPwBZ0ijciwcN1V2VHD8D5Ag5x73IjKLp/zigeB6gU8KddfbCw4HwqEWrt/EmfGVI7 b1kFpxMEfjdsU2yWItgK7VXPKY60RhLk3UzVoUWfu81SatW8JWZUI1/J/BnXM9osDeZFtXcRFtcc tBef51o7uRUzTICmHXJPSvWMAnK1hqFTpmEoID8RJ1i2XCCDrxlld77reP+ji1AubGWGvM5sRs4W Z0560Br1nLVnpi11eHI3Q/VDTU/PBpDOtz4+YDF4rgk5qwNu3wjlPHsReis09QBo7XYStt44auNx NYE3ZIOKdPWsTJ3ha/PxYJrSpeir/3B+KCBMbZ4i2Mqk3yfUKwzek2tyQag695UbRf6neYri8ZEF Olyr39HmKUMkPAHdgbzsrKZC4N0J8PQMKr6lzkt1IeJ+RvF4o49srpTxgc+4uBjQPdkSaV81Vzz/ V7mAVavMo+CKaYbMTqlpruqKdXPt/kyUu+dBk9cmKhZcEWdKItkaHVV39uD55aTvm6ntTALshlDG gqcaeFR3nlbRGwf7bnDDoU5tgyhm58ZW1gI9WTz+V9bS+e/CFvXCo1cUiye6ojYqmKn61F2bvai+ wTx4wN6C07Qi4ApMWLDfRsl3tPW028lYNrC9AB+m1FiSP8fTOGtiVu1HVxGRkMEKgSuEP63KhicH wXtOOrlkEnRO0s+KaZ35KxTHMbnQxrVZCmvjjZWUtOwQhxuchwSpuoL9ScymhQQrhK0Mg/oKbcDR dx30FStA9BjX2dlS7+k4j3ApAu9+/YhZelphZZzTU+XdXCeKazrETxwuVPi5Lgqej0B1HomreQz6 a92esqxQdbxSDFnSa7JIqBaKoxXlxaeRPMRcEW5FVoFQ27WReXeT7Dg6CFspSxo04rSmMcvAZAnX J3I6WTe+J6rRUQeqlDsYpAJbQkKti4//FYnb4f8z8kWQrygS74L3lHHFxST6y44U1XpFpkYlgzHB ChHk3UQM6jM6veyk7j0LtALXjZNRcNMBfQ8zcJRFAKiwmICguhXWxifBKmsavfO8jbBPcJHjZXXW b8HzUaXgm+Ry/nJQS6uHmCsUIJ/CqRSrqZx/ZE1lk55ftQXP6XSDpxXSOl04Oj2jTVRDg5z0DLBc Fs90TJTMmjNIYaBePOieqVcOZCILm5wQTToDcp1GlE478VRn7YwMzIlPiO67byU21LhP/uxx5oqi cQLNzuIr06aK+HMrP7lhZcPfalS8FUqtdGNJa21xtPITGEdg+2FNsSOx2ZJBwW6SEIQCbE1uJZgo 1r4i0MoSsuDk+D4oC24J7S5lLXzPALOyvst0qEVRVMrmKZYiF5+zeZkO4plW0IS+gZXp+qhWplth PK7sji/u4w2gKMSXpAYFJwwuqZMGIMpoqBFWyv4KiJF15YVSK4Q/JekgJpJrlAaf8Ilo6X6uVDwe XXmXsaj78aTBbd07L+R2ZlqW1ekuG5K74oJqtE8BYmUYHQgTFQLJNUEnKax3tDR4TJjGZU7U2YO7 SNpvQOYeMs4gk+VRLmIFL4Mv+s2MBIoIHM5lxJrTCayWPK0hPYXHg8N8fx9SEBfcDy9hhUBy1HHH GO0GmnJdpNJKtv8WSivTJFpXHJEL2VN0RnH0CvmCTyBKl6hEdbg0a0HBCusECgCR056U/eACBhVf OUKSswpZ6vaBGw+2F3Uty4nxeIAvWOmrXADZfVrr3BUVheRADSFhydtmatTkalBzmqB7o8yRzVSs Si4Bla1CHUcvrIAIC/9Zaref1JgymjjicayB0PhD2p+DyclNWFFMruZUTTyiOKiFVzaLsUhHZsXj 0Yrywq9EthAC5fO7+im1o7CcYSLmx3VE0phOQF+XLww9f8Os7JDeKcxKtapBfaoGFNk5e08cs7KQ CzFCSOy0ZrriAEti1RX5pO8oMFeal1ITG/bcnV0FX0ghEiN+zlc8HhTrHpkjhVINnVZfUzukdxYV f4cLRgKO+GPKWc37eCOxbESh+9gMSNSMWvsdnQd7LlMkvJSsg9efRFkGWQm5gYvb6Jtl1x5dE3aI KK8UhIIkng38gxckZtkzoYD7fg/NLTJ+YFBF9CkvsOwQUk4AYsrDXQNbdsXtessJ8DidJgi1Q0i5 nIBaF/uAo03UhnFV7jqmkOlN+StSDfH8NyTD3vCAxsj4nqhcR4oCIBA2CI9RIAKgvkIaeDxC3v9T Njj/aeTpGcyOQnN6wIzu/j8oSZkmci2tU3WMqJXhpMUdolaydMWqgQ3quLC6jf4aAFaYpgKl6q2N Rw94QrBKu/zzs56WXjngTzVROciF5aAzai66TUARCuspwq207BtPYpxnHMVTmB2CyYdlxL6cUt4u 4J5Y5+ZyKowiOE+xN5DpbSvs7I9UJDrkkLaXCVftMlBG3QDkpwlzxz4fSU3gO/E1kZwBCRw93UVV 5CsFGjsTkLM8Np6P0Bj/CPshESpXlnxHUbn0xdKuXq6jSaG7A3EDVhE5DAS1Q8yKvKqH2bpxtGnK 50TBOU7nO0jpLxkwzkbvg8Ktd8UMkNXia4IQKlN5dD6DNl1GQimvsrTweCTrdwH3vJLxAfI5sYmK YCv0qoFGndPx0k5iJGCimhtx0GFOExXBVsz29Sz6ckdvJsA+fC0JQsEFYItnNkC6gmrdOZ5QsF1N iV7TG/9W/x2LoQEHzRQk9JXxnYD2s+My+Te6B5twAj5r0xQG5Y0J8VquCFWHVVVqQaZmx1Nfrgi1 Q9jKMuEeKUJxtNZ5OW9+dnMhHQ9FZSLtge4BEY5iIogZTXiUpQt8T5APV/Hw2h00TejJV9lwJD4e yZL7ghL4HA6flz+1wyo57kiYrXWbpimPkk5Db7d1aTvdaYpR5Gq2eD1q3NzlnIADvstqdZ5JWnIU whYECAowwi+o2J564SDFY0QOZUYfxIFt4G80/eUDjwfllSuMzA2L1uXuV2xlRwE59cVmSZfcWR45 KKgb2aZbSEC16SLIigq6a8ryVaOdTpDZAPCXzYTSEdXKSTcBKza4A5MHmSUxFd6/aq00Qtps0LKA siw7MPC1xON/uSnh9TIgW7Rq0TwJJvQ+UUVkYVdbOadbNsRKy1aSamRoCoqRUxiPm3Z9kh0AR0vy zg7O2H2TTBd0oEXfOMEncndq+iGjqHPJJ2jbSwdGb8RnpkbHwJQodceqysmt66DsA27wzY/4y1eJ wQvigpPcXppnTqEOYv7yBaAACyJ0sRMgtaQJa7Tzky9A+iMuL7U8o8VRaRCYSTcz/EWpSUykQ8os V7Aoy2ZWbPrky176F1TG+ak7KUIF1kDSZD9/hxZ037RWikLzR9iABAV8EByyHheq2DWo0SK2epN4 yp8DtZZELS8W73p2FqO6h+/3igtKpzs6hiWt2ROSf5gvo18lDMsgyRt8yR9s1SuUzCICvimYriZD DkZUlcV3cRNWJdWIhLvFDwhCqvIdUoEeMQFg88kKq+c8teY0vCZRcMkhiXubQy5RvD5ZoXWnJikn xQwcbTOeg2mc2ZDnIiQfBdeEzaB8KdH+LE/OJzOFFAXpnaFY2uzLcLAQIeXJ6LMCe4DnowL6hWiw KgykR3p8llIowoLoHnDd6ajEPbudXDVdg441+/KTKyqhE+CYF/jOd/TyVELZZk46LaFIX9l8Hw0K A7nRTbh1JzOWPJa99C9WJm0XkfTk1kV4FGQP1Akdmc8HKU1Z37UEIEi5zp+DPqyik/rZW+2GuTvZ 2DbMHdT67NyqoCTYdIVldLPHKUqXryQfqp4nSBibkpurIJZaBFIBbM6SCywU8tXPONn5tpeO0IlT sj7FB62tE7TvoiLM0PN/OQzz/eidl9dzasUxO53g0kNBE22fOpbEzZOrt8ZzK0ZB+zQn5vQ1evHl /HxnSri2Cli/lQISqLhm1tLPkSh7Kt2KudlLR52s4sJ/NqiUAMHCLWWMmvl81HXIX3F7LieE2Lk9 kxXqsrQsuYMmHTKUYmyyWoK8RmbfAU43fmqF9XQhNtKW8AhHTdbJ084RmpY8GSH3knVqZYL4IeqL I95Fb0upzV46kmxLajksb0BIiWyduZd35XmIz/8VP7CLDHBEWhf5mlMYv88i/c1ry7iagzfKvPoH Y7dryxips1TT1qKkp43e+KNS3l4sw6TGcjq2YQUGdkNXPsOKzrGKcqlKsX4556rSaIGDynoJMgaS samFzwe7sH7zQMmKKvVKuqpf+T5XsqvJ1StW5AiysLfT1XQtpG5qrsKyuqL3MgyiP55a8WATuVMs oqJyQWVmJA8DTnZ0/EwXnZDJLCZmJCj2Mt2ZpKtz0FxRj0reZ3ny+b8gQXgOtzgvH5uq0O0Ty5+k DzMPOMej+aNXuDNavoMYe7mLZYh2MemDKeQ5R6eCwugR6q4sx8AEj7njnIAkMU1hf8aD0ubv/Ath HaImzQdBO0/Kk6mKcQ7dyecjsYhv7bZJ38H2+H1GAXwTx3Ffh5OdDV+NYlM1hxOQLodPVQR5YQjC sku5o1caIJ68AekBQWZDNF9H2mLD+6TQqEe6FiBhizk2/KTOVoMDpw1qJMwpACGYi5XPB9F7+W7/ AZQ9++Vb5cDxE49JPco8BnlWmUg3mAIm/XMCSPSseAuGlp9LERY1sHz0LPoEthO2jUCagYw2GV5M UroG+xGlPFNVmr3zb22tVFmbSbUaIRTQ1M4w5fyXg89HPQjff4w7F9bTeKYqUk7kJTRzcZWkByl0 glHZEGKqBjV9tKjCyH1L9ocFRxs1VRvl0NJhfIJO4lmsguqvyn48lCV2v+XQMZq9chRbUfB9PQMX FfRksyAwCylhYPuZJHj0QPXhwbQvmCOHvp9rsQ2Rr57UKAYVhlMdu6aF+bPrmefA+DOJrQyRlpXv eHF5A6I8RFSiLd9579ohPEiuAXzKSlhsYfKLghrWpER+Yxg6ad2gGtboPDBg9LX5fNRWnt/pINCC ozyH+nvIbnCVAXC9TZVdehA7Hp0MNUzVypyqro/6Fek5m+soZq9i4UBpZ/eJQFtQCa2qsUNvhPR6 FP4umqpPe+foqKJWRJZAYN4mNAmCMTGGiJoLn4+ES9PXqkJbqz2Sdznw/jSzhpkpKSbR4LzXTQa7 Y18WzjLFoKH55xK3P8kBVaOFCpQ+xV2OGBSXO8VlIGopgHUltjTdip/KWKH951T9agsE48IwZ3nA DE16blNvGh3r3zKKDGUf+HAODEAhs1KoXrqGYfTKKo6n6iKpTbBAIEspw8bAART0Bi4r6OXd0eaq nnQmUSIVGlywdGYlYkAFD9Enm7bEv9BDvZVtL/3bud7KvIPiqpOltC3+Vap8PtIi+V5XqIyuBwGT AxdQgzmAYu6c4yFVN5oRTuPRIPx1tEIObECvXn7e+Rm9IgNLWZgFMgSdaFCwPNqglgKwLAgOl9JX uJ75TUGRIUvYXCX3bSX3ls4HZ6mRsMgQOIGabOtdV7B7X/kJ10Mj0Er/XRoKubjUyFa9qo6wOoFD qXcPxigYWVsmK8yk8lQYKiyb1emCm+wuLNqAJ4rKKMozBS3cy8Oa9tJBr0s9+KQeKstGDJEmINwC o6GOHJqB1nK14AkZgkFlhiCBT1doCMojedKT07iitC4RV7Q0q4yeq6kbVzSHjqBb1gJVeqYaHQlz gs+ZNlWpy9lv1LcAC7JwbMTF9Pm0vJq9dFRjoBpXHhJQomQE6u6NHW18ZR2Vz0cFGY9Et/AgOLPW DdpDU9BJ+DXhhKoxnFDBqleNQL4spRtJSWBtha6gw8SAzNaqPq5fYI8muPGSCglU2qAcDmobWIck RMJh0yXe2B/MoS0oshGoLXMfctD5DqDj7HbU8/mIXLu/9+FZmwA+37mKSu4sHYyVDURMlPHFy5a8 bB/SnEFnVmALeuUkgPO8oy2sfIL2yX4wLBkGkLgqXomTRcvRzlKyCqM12UtH0Sj34SCRrcEzQzPU q2ib6FCszQ+IAvf8TzhayIK+p1bgDGq3Icx4VV0/B8roRtmujUbCqIueA4EaSlxZMWRd0fiQXgJH x+6tfeYl0emjoaXeinQWxb/Aic5Dzm7DRLpfDr1BJQ/UEq3oG3XtuUI2OvPEzZ6gms//6TiwbRt+ 6ZTk0B2UCrcIgJdDrXq9TXpxtKiZm135Jgf2oAZHIg3iGR31cTYcnPEqCe61pywGKbyNf1T3A+TR VxYtB3LsD0r3ipGlmoumZJfnXsYSZvOvrckPiBoUfh2qX71p43pjh9AilALbZ9eX7giZcYFEJXtN ptNuziYrhMhoZTVTA2iPGgCsseEvKy/VNE9wIKd1uLX+bBj95U4ljvJVkwk9QjOR2KzL2yBMQ4VY 2tSGbHz+L29szDGoFxNmkz5VUfg+yP3L/D5OFbAmlhT2XatPFQryNlWh3qJChylAlUZbVwtHFiUJ kRRmqCup3AyoMfq4sPC6wlNr2isH6A8uK5AUfVDgcA69pJrM+SH4fIQK9S0ouvbJRud8oqzQJpTc APQjHCdzos/sW5CMUFb6hhQFOVWxM5EQIMW2YLlb8OQ1xH1IY6JD7JvViNlY7Bd/BLgx6+Nkm6wY KiPirSp+qFawMdjh/Se6+0nS+HyQQF8JXUJXAW4aAGD5ZEXhO6AqkJ2aXmxY6hE21vqGmaeBqtYs gw7MQrFEJTNsICyOfl410FjASwSqCCDIJSY3XAvPqkvwNPYIC/I8+p7gIqTN1UjCYKVt66qs859I CT0JQubzEVnyG1aUWZpM+Skhh4ahNLaelYhBQWa6KUzUBqcq24Ob0qhaWGH4PrzKPu/ox1U5EVRX taFm+J4RgTU2nTgSym8FtEOEqUSqFXvpYGEtBqKNm7EAXWQLC316BgLnAOHzUQ35H3Ow85tC18jn KvQMZWQ2y3L3Z/jFOqavS5WKXr3p3oOxaegyuznDF9UHX9TQSOsoN+Hsggal4vuMlACgGuR0j++6 v3JUmGGGsxQyLJOARlsNCtA/Xm0PXENVrX+6gxBgI5XJZyoK3Dd5boOCrCr39VbsZG9puFtvJS2A OzDwDc3/XXInGvLV0WjHVap0aJCWQgf7Wna9iw0BKjBCHPrS3/2dA0QDGW59r+KDiLi0YJNT2Nx8 PgLUepNe/zLjj5vjxM6hhMrM0c2j/qxt97cA7sBrDVPcyaSPihaV2RPlfkerNZxzZOPAxgY8+6VU uRfIi/Nc3yiCIiOzPmpXvyt0D53s3uA05cBq4Q/hHydRp1j+iXEzP+Av9LGQRVu41WcPhmAZ0uDh pWtEkgJ0ovgRRaqeNKRFkGzTFQbu1hXM6ucUNY/Uo9+oiEJbBbzAcf6nCVlElX4Q1U4IDTNLk6VS jz5wEZVz0JmnXoWGxK8gmF85K4TXGIom/IAogf4nzTmPzP3UsWIXUSRyUCkeNlkVLTvxcsec1cgk Be9nkxWj2q0ms8cdvT5aO3ZyJmot4fyjjajVZuj5BOGiK02FE5rfFNVHDVIk7yIEPoKtpb6TIA0g jPETotD9e7ZQRFjtOrHnwEz0nJVsERaZZE79oymbNGZbyqCrrPqQE4Zuos9s7TvaToSx3QSbErNV CNHiTlzEvBbG8TSis2oyBapy4CfqV2HXccNB1YY6qnBYqOzz+aBCemlK+Jdh2DUeU54cGoqikYED PmcD+I2+bK7guSFsA/AI7Z5aEVTGjB9bkZUMx1vGglM5pLFB6DoLwEKsrOsT7HYAZh7+jb90JEPM ZLAQ2DAIomK1AYyMxNoyJCD5AZHGya1j/ZD/hW34nFlR8I4EB+J5yQS9Tt6wjXkKNdbkNT9E2TZZ MVTGSqRj3vEBYS1AayEjUKDppT71aLhPToZHRYp6HdYIPOM3BdswkcW85/LB2hQJ1xIj0tX5/F+b UCK7DfH7s7Ci2B38RVSYmqBpoFDsWx+lVj1bqh0HmW3CKHZfEvAo5kZXHje6vpEoox9NHXC09LQJ 4UMDAhwOJwnLC4Ol0ntoLdohLQQZw+yDMFirGvun7bX4fBCPXstMw/dRza/kJ3r4vfp+Nsc2yNqi /zDrWJT91HSdFX7PrFgWRsHTHv2OhsJKMMvKIAZ3iO2dP5dlZArno3Y22SR0gdSShe8LDEYVfuNa TSQMIt9gyC2FRLYq8A38gCB6eMx9Tazwh1KJNluhyWhn1a+1fl2N2NhUFt2vq9HiTcAAPnAZNQWV fC6k9YzWWj25XIJiPS38AMRJKtRj/ULcrv5MbkN5iZVlrxyd79h/o7L0Puow9h/cWDMDeCEbApdR SNv9I62HjHBdmlcOXUZNm3i4nz24LTZTMM/0tDAx0NdMRXh3c6Wb00s03cOskyLCZ3swzEodEuRZ fDi4Ay2WvyDSYlnhUJQV2IwippTetfAytBk17z6ci0SBQPA6h0ajtEp/xE+wm9MTkoY+o9yDs8jc iCiQsS4KZE4HrC1uFs1VHMGrzFDl2cPxlkfPiln4O+iu3WBgy5hZ0WCR36fj1VKxV44ou/0fZsCS Dw0oiZvNoHMTlsUPiHRi/HSvdrrDquNOVayhbtdfdhxk9g14ztzrQz7qrTbEXqOiUSzKddvoFT9A JmYbXfzBDOsQVfzOx07IL+x+fe15K/B7ImN7bsBR7qAMGh73RCbXDBRW6DVKAQid7SJfwIDtihHm 0G0UxyLAyMvdjdqsLqMOGqpi9/N7b4/df7EbVT7ILMJGzwt3O3eTetDQkkuNPGzJhG9cgdTwd+2h Wu2dg5iBiJkBCpkNVkeG7ru0Olrl85Ejxr0HObMJEuXr2YFhzR17/VxMplNx/lFy4YzcaSjOaJQt dd2CgeXoXVZ5qAfN0aPRtM5ikQARNYuXel8n+0EOXdA+3eMqMVieE5qONmaDhVuxlwKR52Kh+5xM p1HI4AcEteQbjVIKBEhI9Dl8sv6ouk/zyiIztdpk0VPdolECOzVZUehuwG1Q2O/ojUJ2HQcb9g2M 1FXli1yRErV8Vm2DL4A37AUECaxH5WyBWGErZEDQzSMahBNSc3pGvSH0Hi3zn3oDXzit8cxWqONI eZ3KgoTBZqan0ICvamlBnMjJOYH/6Dl0TedyCz4z9sWNzkF3I1QTzrZfZ10R/53h2FpRccsUJLmi xTvbO/9WbiiVjWgOAo5m6CgqfOibz/8FHGWjDEQuCGHfyvvnNb4qxpYecsIUFmQ3YzKhSpAdj1Wz YYxeo6sC+qgwfsl6FOnpUYARBYkGKuxAGpl+82eFoSq60MohO8c8/mgj/RpbFZ+nXik2y0GotV2m kIwQWf68RlYlqcYJnKiCdhR3KElGYZPPa2BV7OKEb1pxyFpdnt9UvDlFsEcq7oT4GlaVq5TWCNe1 0QENJ1Q4G41h1TmRMr2u0BxEq3pTKhzBvZljrM6t9zpHJ/6k/EmVNXK91siIZSk1A0QUnn6bI5SP m80R9xcEIRMNjjBFr/HUeYjXFSzqkp3mcDG2m49kWxMnXNWsDF6jKawiUVLXVj2U4408z8JBj5mK oGVXCXss8pqFqR3ZA085Rb7GUgVHC2YI0HIfVDcGy9+iqt0+r4EU5ncnX0X8Vydce6bN0GsYxUXE FuB27ZPRuNAp6rGJqUMYVTcKcJyh1yCq2F1ANcJ+R1tEsJHeJxwgG7zCMCHLYBvVXsBEqYVgM4Q9 iG95nyHZP03zRQaJXXqyDXrsjBWhwPd5jaDK49ZuMmEn1ux5+RS9hk8F2kxVYvPL8f7zUsGHSnzU 8xjeMH0NnvADyawHpL87evB0cs4CN/vz/ohkW1bhM5MIehZY+WF3wvmnVI97jZ1wGBGpUOVOV687 XT5hRxdHED3418gJT1sH/ryjHVwwi982Sa9xU0EIIt3G6uH4Ld3h9p4uegJZSnOvDSZpKmpCMndH CwRA3jrJEQ1o0HuAb7Q6D1hz9DElNtAapUmurMEkLXod0EUIA0IjYatPxiUZ0JNx589rxFQeS6Nh Fn4Tiga+kl7jpeICe6YB053odpVhXKG4NleUfY2WMEnNhGHYVNfoyd2JiRsxxye5o04JMQqTJn9Z NPCBOrptN6Z3r7ESthtV03lSYsARLDvWhTO1qaTTP6+hEs+z/bXdQKWeu/i19hom8cwmnqpc+xlo dujMhudCt2ylJ68HvwZJOLN1DldfUZRR04l0gqKh4kNXWDOl4ty38LIbtmeorFj8TdWq1xgJP4Z1 ++jSgxPuh6KFaPJBmQBfeaKv8XmNkfie1WaJRZhOW22fpdfiJq5D5nQ7Xw3nNa01U+Ea6IdSgiWd BOOCczsJllCEJ9Pol3+qm0ogPFThv0ASwKhdkpbYb6m5Ya3sST6vhc0ig0C4i+ahoRnmfABVzD88 QyU1mCNXpxjy9SUZI5V7Kr3WNLF+F0Ok0lxWb5ak5uhZP6k4WXIz0qaKRxQiqUhesgla5ito2cEU PVH91Kl0TsolpYWCylMGLoWr9SLzqE78Ws/Er6F6ZkO8jSEbqxSVU9YLeln581rM5PVWvmKkzEwl 4xi0QDIKtiUbUJgVq5YyarUbrhHMx7LTnK7T+M79KxejXkWB1OhNBfj6pi6naBhjmVlt5/3aqPk5 6zWr3cp53wNud6TrhYEAB9V9z5abVDCjUtw79c/jOU0LEt4BDefs0eQ7868YwsVbVY0U+OlCcVMl A6g04ld26lEYCigrGabhPK7WdYeDCkl67OrV3tTVAl2LXT3016QUVyXibK8cnOBkZ8GSzAcVJE/s MaVneZLHzzvxrxhF+M4TWnALhWObp/ewG5DYRKhUd4bkor675skxenDGSs2RQME8bRNvnqaANq8C Gpy1UW5g9zNtwFCaNMFBKV5Uefry7aPh3+ed9YcdQBp3lc48B01TgbCzUPybjwcx07jHk3C0OOSe eYqC76qKQB2OWqy9ugTaaM0O8QH4laOAglO82ym+VUbh6LUmIIDgq0cAAvwEWI9CYZOcc8xP6l7v hbqjXjlK4iSlR1HwmylWnIO0OCGO9/PO+cMJNf2uYxGdlNvhp/g75a8Y+WzCGtNRwzx/bZ7MRRPz hL9etd5onojHR2G63NG5kSc26XQzO4nFiQCHfs4FrX+oxOGBnm6zZap+GR5PFEedJjIPVpEKJ2hC Ux7gvC0qcsE85ZvtUkoBqiAz0z6MExVF4bKInt0P8vM3FJORBePPei3gJntR/D0Mh1Sc7NmHLLU5 esJbQfbMMqpNJ4fr4rvj3PpZsHMroC3bOT5o9/RO9+NRQbOCxNIlB6EVJ4o8smIt5fPO9kPakf18 orOgnXZ3QYWBOH7+E+1V98Uaq1nh8uwOS4CBz0gO/YkicQsM5HVlo/OST9x6gtTGLvqJv6eQnxM9 1h+FHIAgme9xloZCFIk3Fk966csH7bsBvGjTfbc/70w/5s3jKzIAH+IkPZ6uvBP9PPOFH5s7z2RW kzlNQ/qWrO/CmkbTFMXiVt7tirM12jl+kolzE0EA+dx32DGFxc3ZgEfZ0AOn6aprAqjI+h6Lo51L C8hM5cGlhglDr4I6xA9FPPl4FGb68WQh6cl9Jz0wOE/vwXgSYeZcbN40QBJmwuktNbP2hSRx9zp4 FI0PYu/PtcZtp9F7wSf9mTClYxWlQg9SYASInw2qzEHQ6hqzd73x+zxNJitYdj6o0nTSlcxey4k7 8ued4IfwaftywtLLAFOMMu48ReE4CpuIC7ZDfXYfjl45v/H0chw0MLSe4nicZzOojHe0eZpncRHc yZZdmclMWhNW/STqDrArQ+lPiVNF8bhs1rbEB/cVHzw3cqV+AZAZ6/NO7sO2q/mf9QT6DspUVrWM 4nHQVJD35u0pcEnTUuCVbwq82D5j2TKMx1W37Ibj7A+O81xg9Zx/IK+BILXgX89GgQT5SSsEeuaW nJAtfd55fQzUWCLoAkR1cw0GfmGIxdRqyZ93Wl8xK7AbZzaqEvQbkL+z+ji/lNrt6Tr6zlR/3Pe4 uzUW2zOaqPeAHAGgweuMepUu9Qq2IyfKQF0SaTAkiYm0Pj8KEr0G3Adkqh4pPXvlIMMbSxas1PEC ialNqQsjIKfCBJBK7fPO6sPqSF5Vkf9M/dnUi9RURaVwKgzN3Oq1OCRp2fxndvV+eeqGhnrn9LFi IMDYWs/o4PwERaoubPA5yocQG6PBFuWcxhRuTo8usdbUe1CeJBsEzKwGMKQZYQLzKG3IcfbD553R 929Ujkk5+XMfOftEhUE5YWM5WaEA26La5jth525eyczbewZRUJ6SXXYyXu9CUUogta22EqJ9wKA6 UmOS21unchcOAIbsLsVBfZd3Nh+rBqQSySeag4KDk2PL+OkEH/XzTub7pyrO3iX0etmvt4mKovJF d/ZBkoO52LuA8zm5koFVzgXYLRt+p/Lx2pUecdZpxdEmCkKkuRexRGtuOKd4SnWxYmAjNt2Axgyy 3pl8RRz+RWlaDUmQk7MyNt1bzyWG/koUlI81vjYeDqm1252msDKekvgd1wRjVk+GU9meDO/lyOl3 Eh8vPSPTSgOHowOnoQh+/pfrCZg6068UIn3AsaUiJ7yH+dIrB1EUg83SqSvBQRjz1bpADCcsWZ93 Dh/Xff7adyAAzEaBQc7Te0yO9jgrT6IP0a6gTkvyuolxNDG0/Sx/j8kdUId9te7oLV94hLQhGDA4 QwzDAVJBYa+gO1F2evzZacL6TuHDDuBthxqfDypClTTE6jzftD/vDD72uMvXPMFiBcQan6coKJ8U VaoUAVXRQLkLkmFkHZ4Mg8ygg/w9KD8/sxLcOfozWhB1djLEDNgap5KZilBys99kwIP97TlesTeO pon2hmpLJQCmhsDSqeUt28yzZj/v5D1eOP1r3ylimffCCyvkoPmOKbgF9x21x2U+M/byXHi6+Ns7 c4+la150VNf20eaJzRfgzZjj4TY0KB3AkqhO/bCgbrWVjTvl887cY1AuYNgzWM0A6gjK2xAXvAfl mdIKXiOXUFX5YffHJiqKytEpRsk3e7VuUrFV3jPU5+MBNW/R4J2291VdkdCuRquuNKRzq8mGjrrN 8qc5V8WE1yAt1272Qnz75520d/ddk/MaByEJEJslybOs8Xnn7CFWHesr2MRFAlCRN8rDoJxe2mdP FK8Z1Gvgh0KtxZpsRqpTHgflSvIoeGmjTVM5ceEJ0udUUXMk4pMz1MH3D5Ma1oJcywy01M87Yw/t NwJ+yXSwQUneRCWfMfnZfp93wt4/PRe+HHwO4VVi0xSiUihkVrLnLudSMou6il6SH0+pbQPuvNP1 7nV3jntqeWq0aZqskOPs6DByhtq5jvyO3xFyaZmS4F00oVz0xhHqQui5dQdtuzXF2iFl7vNO1vvn FFdhD/8XTS2fqCggZ8Pt5F1zGoJ8KwNGB2+xCye8vcTxzrZ7J+sR4iT3VRPZ2I/IxoJwdiVKnWf6 HNmEONCtgCHND+E7dow3e+EoxWNtZai2MtzVoRT6BTMqKOXzztPzRuOtGdTUiNT2WYqi8bYpkU4D Oc4SAA0/ImAnFttIwNZxAvrnO0ePu47w+lxSuaMtp9TOn9NQTwFPFpLmhtRYNGPERQLImSHBJpVI 3hl6BDAQm6JYE4G9KOeA7gzyyCESUD7vBL1/aiua3k13KJ+nKBinGoA7Q+Mfe7YjCf6vy/UDy3D9 wHd6Hn4ntlHO5xHppZHzhNQQ+USBvM0cBFiorLfZi4IOPHzZ3NIXAe/nnZtXxCZYEieyQYeTEIwM o0b5vBPzSr4Oh2jw4OUQh6dbIH8n5hUTDwQBNjnAXjmLZBavrcOY3hB+5+Uxl2AQvrM6nRxt04Gr hpA8kUx8IvMpqlUCzh7h2kmFn4YL0bOfd1Ye7lSe3lnemRdDXM/KzPTVA5mRrxncdelfNM/Atq93 20XBOCqfKGNOR6pkmqAYnGcNT4KLS7y9c/K8pIrg0mwwxvUoOPHmhDgsk5ZzXCd4jTK3IyKiQGIQ /c/L9iS68J2Sd0t1o5fqgyZqn5tmqSuH3/M9GEdMUb+TFhhsISe0eYqC8UoxylqKV+pqcXm3crKM 7WCVsczM4Z2Od4MC6Je6uy/pqZindPLTkyhSb5/iXJQcQqWOIDHk+1Wa6FbSTHrloCNMLC+BKRiK URfxsWjs/FAHhi8ahZnfrXP0fDZE4Wyiomic+cOY8iDARBX+gQwLwMb1IFPlp8RPijpTpgC7n9EW 1N4dwj70YD0HNvDckt9iTYeI9FpTu5Be1BU/71Q8HuRbEzWkRLlVHjkPpnNp0rbvHB+fdyre/0mD oWc1BRPnREXRONMRdPCW9xKaYaAhJ9WHhZknk/UwM6qRu7qp2amMx05lgEdxwnz2hM/1AOsDkdIb dkFH4t3KdVkzvYN3Eh6bcDJwYGDAgTsPaeNegmfO9Xmn4PE9+81b8HoFNmvJAVDvDDx869oC022H PwPoLEW3czWlHxnPjEL6PmCZv8fjJ6ZRGYqjA8VAlgItkYT0hWiMEzWZNLUNrg6qV3ZEMWf7vDPw iKaYYsBuDYXduFYLchip2LT5eSfgFWkrfTU7AQjaKOvaRIWoFWrYdHpTQPpu4AelAcE5t9gPQdcF ynXng7Gi3vl3RVbXmKCmpITjhR3WsogOB1Ac1a5tqBXUCqBJ+UMfaStALWpuvfPvbgxVM1WkqhTs UagDR407B8WJzzv7joWNf3CH7XxKnb7z3sl3WFAmP7m1iiAsTDxBRl9smTkBiOhwCuCCiirkTV3O usozWqEOoJiTn1TK15xbb20pdQ4x0Ds6uJRA9wyv65WDs7wqKhg2qN3ZKspkQ/DMXD/v1Lti3jpa UJgUsP7Gbp65vFPvEFNktoay+fAAgFvdr2GwxsGdB783XXrvzLuvS2+YAPO4AswLgLCyq3ZeH+eW G0YnA/gQceJPQZTmO2/bKwfBgQndsbDJwWjVSB/VPi/18868K/kKKSLtx+udxbTLDcrfiXeMNlmf K3a9Ad8zpuNWql96wFkaDuqdd8dcWNHmYoVFo03UucJPxEyf6DkXJMSH0PWAGW9aowA1b7mwKivv tDtD7C4ZIthgDASgP4vWVvu8s+6wHi9cjEc5fdZX3feIimrk2O8wwtoWbo4sOUX28eYF1nXohfLS eyfdFZN/RkO4lTvaRK2xYZg4kAujOgoYDCZqD2BQ4IJ65u7qVLPw9Xmn3GGiiBSDK6MPmqgG1TY1 0Pf4vBPumK75nddUIz//ATJsNk8hbgWV2tGrh+VwEbIoCvoNqpwjGy7WS3jn2z01KPP/0fjUoJBP A5ABn9Q+zMEuI3ibE9uwUQFCUbkWVFQi71sI8nEHleoqaFxCALf6eSfb/dNAR2AJ2sfsy9vC70w7 X4YncBpuLQrFVNt36Hbe7KX5NMVROZbTuc9U0eToeLGTc57jOVMMEEzLWhVGoE56/j3KptWLFxv2 xkFthTfdyuRGrXy5UXNWd0iZ8/NOsyNczLNhYqZAJjwPelDewqB8Ex6drulAGdnU7U48wPMJRQO+ D4sr7Q8UuTCqNnpQTo+tLmmtCXRlMgw1XhB3RIbCpvOCcXDge4LAILEzJTQUB+ExUAw0c+y88XgQ avbvJA8/WN/lHuNhSE6aK/k3bsBa3XGg0DRHoqUEpfAYjwrkNk1riD7N0afphCh0OURZrKL5q/A9 QeFhQC+ZltoXpbn1xgG5JVWuo9F8sGM8zSKhmlYGHo9QdR5oYoci24SGozOAQhQ50dFtmSbbOaub K7WdG5CAKEpi4NcWBeg9ILe4JKtN6aP3ESp8IXHUoZ1+/qmKb9YaHd/OF6K7agke5VLxNb9AMU6M 35IPnKWTXuchm9q2+fhf2GjuuTNnE/edzVIUjbOJfN7zVqBST9kXU7pl31qGCYf0CLKioAnIwXpH K9TtDqTpXixo9roaOnk4m9ATQpIBulS7BYNkbxxkLaVofqYPmqZ5bsukfVQnHo/qvvds6syZFzBQ vul6GI0PufOtW4EapkcNuo63zdGCM6xYj8rjKvwuqpT56MxEmHEQeUYt+LSm7HwTxYWhz2X9OysY iFEWReMyyYTqNwc06uTIBwkXKvlggeL5gMA5v/tSGcUYyDb6TIUUziJ/6OVg1j6Ls/AH5PiE0kTW oXC8R+H4NLRYafOOnt/tcU7oQc2QMy+AGhIENUAmP4lppUaXAzHyZiLco3BcifAY9FAbuKcl41SB jCqi+IzNDwgO8rv3+Bi6Pq14mNkjJifJlEMmE5yp2qetqWK+9p3ZUzGDgR4jVnSA05nMRg8zF1GF k9U6KLsWzhS66wgJqOOG88vFs3jh9ahMvhg4QaKHA8RGJO0AKKjEp0+EjOeDiRrt+5DChM3LU+xx PK4G/1BwiUZjcaDmLiYqeU53WCfQWqCHdE5LXCQSqdFvvFR6QVuPvjENShiS7Eno0CTgRk6gOe4p VbNeOYgMaB9aN2++s47OliZYDBdplQZGqRvPR5vve6JQJQSDyycqqpMz5Z4dN0mWmLJkjGDqmWh6 zb3XoejMmkGPA3KuqAw0oI8X3ANmw2a17oR1o+t+HHSEhvcQQOT9Iu4Bt+ArR3sPKyr1IQtkFHuU 2SVICTJdmrDj61FMnu4xRUA01d1yu2vqPSg3j4nzDTjXFZTjhNRUFVycmVWDiWuVZageBeV7m1+h gk2ODkA80QHUNGSEAiNtYTXJYM703jU1NhmhUJy0R6XySv2ns/6WD8JBneisUdm8rdTweMQR9qqB nAj6D8uGNk8RmJwu2OdMNC2HDM2padahbQIoIetQWBtp70W4Fbv4epNhTG8q9PPiS+ks3fM3UcQV sj3NTFBQPKhQqWuNfHNDk5/pwhf9iqs7WZAPqq6cQ7ARigKEBB6PcJrf5TqIg58VWLxH1cOwXCSO kd1Iu8nyi03ThdUG/YuKc8YWVFQpX2opdLNY7Y/F6jiTc/Y3urBAtJKuSPZUh35MBkcWRLzldc1B DmyPgCtohlE8+Q6qlC8A6qjaMMvE438tqMWJOr/nQqXbWOdRYD4pGpmnexDNLEV8Qn+HYacbM1qj nUeVcpmgnStOVRaOzgqaCYqtg4gxiMwtnvjgIs8fiOvABTM72yXxMB9RZJ7FdhmcJw6W253IX0Sq c7Xi8YB3Pr5Zi9iqtCGzaYoic2BHQBburq1Zk0mroKrTncWxF9WMEj/p1zr5SBZ5JvcPRgUkwwK0 sP6bEIsKdY/CxPlFaReFL3D1Nfxm+KKo/qs6OcWyKiwhhnBiC86RRLQ2TFRYKN/fEzWISV++8UYI JU+E2rdHC1hGVqhCocbpqPvsiNYRFcqll3yy6SnMgTg6AylMhlRIAvSOid75OFGvz9I6cR6OfGRc 7rUAMUJ8TYRn5X6TGTS8towVNFIREQkkSTwe9V2+ERmo7NAEyWYpRK6wWtx5IggeTbduwaNlSSt4 dDFS9YjC8kXe2EmhKXu4pWiKWTox6yRIiThylEBGl/FxgYvFwJVLQ0cLDHBU4Gt+pVRP+gdwEIr8 bOQl4xJoHo6wRl5vbYUiYsB3YAPZNEUxeaet+G7VG8NbRGoapFHRmosp38bwiGLyKbjvGvUZnTuF RgsSDhxOMDSr5BOfhQVFZ96kX1zhSSreiGrk54JAVWVNDd3IPQg7GLygFlAyno+W060bUB7x/FJz 3GLdiGJydR/2nIY/PGHy1TLa3bWMOh3zNFFRTL4UYlJRy0c7nmD2VQkehwMtODSEHE6qYU1wGde+ MvibUgYjRJFvUlxQPbNBp/GU6DLimTHxeITw+aYE4Xpc6aZ4I0SRMyBPaq6IEdQuI2g6daozSNYs RShyCckDn1fvaJnLTNh0cwjClgq4SgzaIYc4qCw3Hww5vh9fEx3h2HVb2n27Ej6XyJJJjXHtCf87 Ho+C8e/YqaBakC+WdUQFclC1zyUtIh5hK307bMVsTZAIDwhSaZaiWHwOE8SSXM8ZyWCi+wQkHgqA c5ils9eWOLIJ3gqAhZ7/QGMhTRPQpvieKHI6v/9IiBswZKsmVRQZhXeExjqejzguFwfF/vE53Wa5 ScsIg3ESqtcyDieUc3iIU29bwFYkwhutEVYMRhiMq2KA/tkdneMCZ/uC0hPcM9soYlaB7YmeFI59 WDTdbccYc4TBeJdbHDt5ia3wpGImTG3ZqAIVCR8QlAyKLymrNEDj1OEYIy6SUwAKPg7SggQZ2qKn so02DNwKNx5mKi6Si1dmzWGOlt6hywLZRM5UgdsLVfHHGlvayecvgnCImynoIA/JnZSuJUsYQ3Fd VdQE5W97UsWC56Payj8FYJwXqV5I6wzr5LL1qqX7TKUhtAqsZoetqd4mCWadnxQc5NpQexnwd13g 71maZ5usQlWaBNloK8CQjgxVSLrb3SYe2cIzxK2QuQFYmA/K7zI9sBgZlIrHg3m68RMvGkAp5rgs 2BnF4/QCOQHN7gYzAMzeEuGTrwpxAFdYmih0flLAS5CHgjoPNtpRjqPkBAesAJ/77lxx3HtnaeD6 geAUCrHjJsL0UJi/kjtJ7/PBWLCjJpMR6QWPR4fUd1UTUhtTvi+cpygc5xWAPMKJCUtqfmwKU4pK Z1S9glkxs9PzlXVHO6PA0z87r3XK3J+gUvafYxdWyunnPIkYE76Hqg/z10o5wKPJB55QGQ7eUhHZ q+LxaJ7+aXcCHLFxStpERRE5TXFPXvoYvjRzSYXf03CGGcQKbaLCQrmpPkzDIM4Hg0ih0gKPP+AR 0UJgjnPil4ljBm9OwKa7yy69cQRbQeJSBo2EChs+P8JgjCrA2Pkd8HiAMrghFK1l0YMgIMLmKQrJ CYU4J2B2Biz84R2rWV3Lb9CJTPMUKh7KiOOsnX5HT4R3Rc+Tsg8n74VOHUGtjf2ihKJ5AX/I+8L0 8ZohszOJvMGGQqlmzwC+Q5JEdSu94vGg4dnK10Qhh5oPw2yGVXKqi87Rr7ezbJ4J1WzDoJptqJ2Q +EnBAWUg6a3InKM3XgAoKUpd0PiEkyLXE64+KGuyP+tBFG0/ZxSRV6octUW6cPPbop1zdcs984Rs 4zNDXuf85r/CfhrUN5+lCLNCaj/PD29PpSYL2Vr2HK6onSc5+icwmFGJfJkmjYGg5gOC4ulXqfyE 6hMYQKwozI1saMIxLKNh5ZB70qlnFJInllPOIbc0SJn+fCKcr+SaNPiaUX7n8RMPIuDpytVamSGt M9HNuRhADA09F2lv2QVHT1RQtmlDzlD3UGndTAaUJpNd01Tg/VfbpD8xDHdJhWNVDd7Ai1LWjyMc mQkzrI4nLiOaImlQke7sYNMQOdkAHo+wYt+ZCyKS+UitzAiyMklMKLW7gax4JoT2wDf2x2UMXNF3 RgG5+TEOVb41elAABUjA7Ml+bRt3J6Yp082jQHEc3hWX6ULA/YwC8kY39bK2BrwQ11OlByQjopor no8wUPVrPdVMIM2dqJDVSXcz/BZWflpUvtZE0VaB5SdgTWyiQq2VqfLT5sGj0XudEPmnogPaefNE gVWJML5gJbLzny4CWxr4nih4IqSuScWguYpB2dAzZWB+Uh88HkWZN23RvwxL4isFtaJonEK7o7Hd JtO8tVxutHRj5LU6UzOmy4pQK6RWQY1A0RNHW1DnnoNH7NZll3ZTWwrtETCCyIBxfpmJGKwQtYKy St+KyTkYcSp3841tteLxv1idTJqhEVwuq3OFtXFsEsj1uHZ9vWiMmukYbXlwt9W0QtSKGsIQKbuj n05ngsBH4Ol00p9W5dFFn40FIbHNskp5dDRXWBlndQ7+sCRvnOeGDvFyvoV1Ecwdnv8LUcfWMciv 7XZaVqg3XpOo1E5+zdPJGw3JhZM3qpNfVwhaUUSwhjQf1hBlnsXMgfCvdFtMCT7y3HRQBe4KSUt9 AqeTduN7oiKdfBfb9kHgHgTB8so7yREejyLxbzIQS/M5+123okAcftK4iIaXMnNxid9mfhu866oD yFcMIFcoMLSa5H2p1XQyJyjWVoYEDZVxya8hjflBYIAos9+QgIC69Xtt/BwCRGPgUJUOBqoRmZkZ DMLxfAQ89HmiDUIhr/Rqh6woEidx8Ow0Cyvxj0OG8hXqH5ozmJE4tGfFrE5RNlYtd/QIczXgYOT2 tkGAZbQOpKBZc/2gA/BAe6ZeOSrUscmyaevZYG/ZhKXb51+WSxSKHxOf8Ff8hH8ZmN/db6VuRcE4 20Mjt1lsqnIzfgLwYkuHFWCP2epPK5ZZka5aVuGXo6YKkQACnUkpqBMZZiUtsCIBewNqtwXiZeYi SGtqfNFvuhhnxTcflAU3WJ647i8eDxArq/98F8jR5bzq2isKxwHrlZGRVZ8kVSINtpKK1ekoe6p5 CsNx3fVNfvEafUkBP9wKlxRQZCkxsTmH2ZDPYsW9UR2GkXXjhTIrE1kwijIaimXB/UQ0bAT0c7vi 8T9vPAHOTxbc8z3LQ2onxQ/hHm/8suu0AYAY+3cbOityIMFEhSBydS57NhCmDHVExKs5AdWqvQdR F2bM54RB+FbQVy15lItX8VeOIij1gVkuOKH+oLVNS2dzi5QwUKdbUUS+yiUsihJTfxig2ESFKHLW yMd2L2sIrZhwzzmiSnfyBgBckiL/A7ACefk7OrAHzakmVN3JhhcNxVEjZzetDiwmWpOyIVxAoeIr Byq2UsYwhqeLCp/c6mzxKbj94HsG+IKVvyLyTDc2oIZ9pmJuJ3mKfXtLGFBNzVSh+5ZmitxOzVQc k0tfe6rXWWd9KnXp/MhjTOsmQHHf9H7x1gVHU0En2pHkw175t/ZUowauBjXxztMKytHxx+NBfLB9 pshmJgirXF2/HQXlzM3GWIaMxnnlTWEIwbmu3xievOyQ26kuXhs739H3Hpy+C6QrcBvmk+ZIu6cQ ogade1zU02sr8kffUVjestivNJJPoN0zYDt3c0+29yYfjwhB31p1II2gnO/z9B6WA1NHG3naP0kz a7p0zzkou7syJ3Z8qW8fewBJ7DiposnRtt5eMEkSSPqsWAgg8TCvFF1pUFNrtMuyS49mCTtEkxOy kjvZ+hyE51lg2aoGdZLh/R6XJ7kFP0y8QuLUPcx3iCanV1IiTFcK0sV3Hsj7bgSQ2radt2M0uSiL zUTG2iVOQYcSwNPMMypNAslYXdlgiXE9IXu/FsP2xsE8cYJALfJBaIwT1chLue408HhE7fyuaKKi O5AS2TSFzE5WNIfEe9hIL6b9e/JMBn7CrBR3ldghZkXtphNR1DvaNJ2MBCqaNAIo2NhD+tEI11Fg APmnXfJ5YbUQXxQwpwgVGznfQQfU4mZk4In1FKFWWro+LlLhBPm8+523QyQ5G+i7ufYhaKmuSJ5r dTXNyiCCExUzO630q6iTo1VXEsWkkBqhWgcK1VZRE663E4Hs+AL3INHE10QJDNeRiEH0Jian5uQT CWrxPySwbDz/l96KzF9PHlRvPrxD7cOl/uZ2Ip5QaSYj4hps54jpFhrsELOyjTmlEGGNC6njYoJ3 WyKOHALPRdNU1T0pUG+/UgYoXuFrfrNOAjjWB+26QoY7M7608Hhw3ZX0leaxVpnahfzuCLSSaJ6U lmlmYppMJ+NMU65ukQsOh01TKH1ojZZpjZd5HdChQgOZftZ+WSKXFPKJSc5ynR2guIpi7WrK8pre +Dee8IJjiA2GFWvTygZnweLxP1M8mS4BAjU8d9lhSM5d1yTNKtPladypc3gsI+LBndDEoHYMW1Hn XOaIGq1zfo7XKkWXs5zAIm3yoDhp0nlBBJoniHKVo0KHaHxPEGiSOwUfZx8MyJoo6kR/Pj4epC4P KUEpD5w9rzHgDovkREYvBxnyH42JVyHSYOspZeobdH7SrxH5wpHmo9dX9ty7oMwK6OEoiK2IMFjk daLwtvfFrOypF44slKTkl5sPor+C/9oEPawDj0dsIG9MkYaDBshGz9+mKZQjp45Bycs1s2qylie+ 2ZdTBxvQtl0EWZmCrOxen/HyOqGBnZcQmmi1KRJlR5MijzknDzLJ6OAbR0EmQT2d6Uty3gOEwrfg viesGXg8yoT31/EEaV0g865bQorCcWSCrGW61IqBpIFYAYJcqXCnuyiRGNIBeL/uZIa7lMDkde04 z3XN2gr6G+skvrsL73pWFrovnYJ+wMSgKkiDoG0vHXBgOVdk0Z2B5t9MzBqitEXqCpKizY+IIK3f npNUX0wQGPf5CjUQCTTYaiRQv45+Q2QmtMJa1EYt6iwM8wNIsQii8pespl7LF6sJt6kNCRpSE0Ch kb/gyQGQ6FV256HZd3XJl710hMkQYGxoQBVLLtNEMfA7Ny2VotB83yY66YuFfnOwFPfpiu2CWOVM xWwmhoIgVlqQ3FrpbpR6pysElEv2oRVjBZfHhbInKJgiYjrTdU5d+MUTO0a5YEi8n7wYpCeTSGYF Ad/0m6II1ygcX4FTb0pkhqHsEOjyA4KI6oqvUBENeAFWpX22ouL5oLgByrRWwJuL/ZclN28r4J2w bnefrUignGwiCEmnZ7TFdT4I6T7xPifHKRADZtKH1iPCLWDvy5P0yUYhRVH6u4odfJ235KUrkAd4 Pqqfe/iJSYboxUr9WVlRmA4BBiARu9XPJ39hg9rRgJ30PBw1fnBFBXRz7EJ4eUebK1jA7XPKcyOW eeJPmsQP+ibC5bMQHO4JTR7LXjpoybB1lVQd5mDgKEgKsqhwLiQ+H6Q0V2iTxXYpfIzxrKywhN7E WKjDAHfnsDFFLVRz/dhCJ8tnK66hqxdqbQeOzqjKmeifYZbC50hkHFrIhCmImEq+whmFdmH8pgjT Ii4VJ42DVtbJcXJV0DT0fMTi94ICFyUEtM+ezc8hHwftONlTK80O+UY5Dc4W7CKMp7dhFWyzFUXt U2uqLTXyONpsnVMdAFjAydY5mTb76ZlGxoOV9AV5n+QM9Z2bvfQvlgq97Zp9EEDxhB8KtIBr4PMR S/bW0gkfy+hakN3l0xVqsgyKRtHn4D9Md8AfkmhUwmowpiy0BO3YioJ3Q5WVbsdWv8cWFMLyWQQM 3oF6PVH7Vq0K0iUDhxcyaT+2WN3gN/3GU8+92WBYFfR4KoNU5Jp8/n8CKpIik9Y161DW8Rq81CZD by/ElJK2Jc61PmL4QKmZI2MkzVIFA4K1wR0vHGi22SXAmfc5uYZwLqBRwwICVJ50veKXHKpSKF/O ACJvFkKzO2SDqFqmiY7UwueDnfiY5WCusF5KfUyFUlhVp1KEueo26dt5/wFYTAvjCyDHNldhWX2Z mIhtxPZsRECCcFvRpgo2MxRsAyC41x/4DIHf2C5vj8RifFFQtaJR2Ym976C5OrGuhD/BdeTzf0kB EsVRYYn6+HmFRp/i9ZubEILq7WZntULVwRJoaBi4gWWIdhHlBd2sOzoV9NzjuyANxMkFWh836olu BtAuoMJQ4s7i0tbtnaM9SFbxZgTBQdBOAEW7oGNj8vmoTfrUGkhuh/tauejOHLp9ItniiXV9v8lE 4GStec1ji6DonKwI9GJOMHubXdW+dlVnTgDPh741gPowfdW/W0Cgg6gUa5IuB0jgYo7dPvM36qVU h2WsYZSrtkrl80EI/8SkYiPvH5oJ+lSFETzjLDlASlZ5mxEFgLCs/kHUZmK1seCQQ8fPZRJJS6k0 R6+HTtTSKcZJH3koLVtl5tw/yENzKc9clWbv/FtnK/epRgRJFmTxQUSWRc5UB58P1tU/8j9FqmTP qopK7CTzTzX4NVXDVZJgdG0qSX1QzF2rKgrfKSV0zm2Cym20VbVThR8qEIznXIASsTmGg3zSgWwl L9TchUazV/5tB26419nAVQWIm5mgLSSGgeln+mLycVrRrdntOaxC9cRNfn8uy6pYQ6UrVLFEE5Ae 577nemD7CYlZsdO6LPM4OpcP1ZmN1sNZVOdYOAn3VJEdaT9ZMytdbY0+ur3zb1CFJV+9RR9gLSr0 S2XKMTafjzrL3+c6GmwdZQefqkjWnN27ITr+f4xPK9wnQB3TVfIh8ez7L4zcpznq9XpHW1TAW7QN 8NYJFwoILlSempvkUF3D+woognGnL/rNmXiMNX2wml8dBIbhHip8PpJX/u5wARwCzbo7VSFBVD5o ZTisI5edPSUsvRv+BWA4i0ND78+VzI+pPeMN29MJp6ADizj0XFZdJs6LMG86itWS0y37qZYVun9O SitnNXE4KCXsVPBmaDX1ptG5/q17h1gYjaY7V7Fky6I/cXkUEdwmtY2ahbRq8FeyNnwODECz0x97 MmmpdNHW8DxDvrQGJRGAzqcxODBKSAiZlaJebwbqrWx76WhdqWOa72ChVS9yI2/nPOPzwbrq3/B9 EHm+FExz4AEKpAMNwfflsaNUYgjZ3axNAS9vt6/KgQnojUKrVZWrzH9UaoB81ZLPV4NuYmUUOuiZ kE/eiYr75fUVOsvwm4JSgyapsUbKwZqn+STlDENZagh8QAFo8cI7/2Wk4oTa2lzFjkM0JRvTYY1T 1s6LNsXJuKKgrN09GEbs2nuwGryjF/wWZJSgMgqp5ZPgLJqGQlkf9VHgjAtgtZeKNe2lf7OJobqr DYpDV0rdujMoJodeoLV8wxozwsqzVB/T+dANtAu7sI3tj3+c98Riw4CZ8wmvjQeZQzvQJd5alxOx RpstlKUSnFwoCX+SxGJdeagInv+ezR1IHXnfq9lLRx0d6nCVYkPSbjoTV9iL+unnmOfzwUW4/2GH YO7hLORzFasrLvH6slUZ4EJlVYZG4VECh9Z0FnIOHUHNealXAdY5Oj8ELgPMamhZDLVicrIyjSsy dYWR07nEG3uEObQEVSRairWrrVTWULbY6n6diefzEWF7fu9C6O3kqyWcY0fQQQOimpqDi8e6jO2e mt2EKTWj0uTAEvSuqzZFIOXoN2FBXRmsF+h4I8usVsCiMDyyM9TqbnG0JnvpiIosh28y2SCH9jMl rtXAUWWEtdfmB/zlNKtgtLBFf6sMgS3ouQsnU2cGFogbztdM64HBWMKKoycrKV6/CnxBEa0pFq9q VnB0bAzsYQrU/gAwLjUJPzMGfbBpzlrTldEv7LXzm36DM5xE0NJCs8NGdkDA+08vWW/6F/Vo2S6E 78+dq9CMiHFDoz6I0Fa7XiXYPr3/3IorwebAGhR/gSjb3bz3+l1ZYEBCCoBabwlI0+ScP+QrnDC0 KX1h0XEgx96gBO6RtIPhfE2XEAcajdyFo63JD4jUAL4RMogbBgC1PlchREY+y/uByKxxnXYUxdOR CNQMm6sQIyMM30my9x09xpoNlaXaaSFzllkhuB0/TAd3Gupcq1xujUoyoT9oliBekYl4uX6z9G5n M+usaT4fLKvxT6UP+lzjKsLm0CG00Vou5+JT1ddw6dxBpSyhiS46LQcWoYnaNMhuqoqiHK3KADV3 sHfFaavkFDC8x6cN8C8mKW0SnlrTXvl3/EcePihoOKeEgF5AzfP5KHD3JEdWTWeNzfV0oEOTUBRJ cFotU/YejdJaQsrUXh0pU2/9OHAJRTRqwDSjt+2HOQK5j5QG/XbSiT1Hk4FTh4gY/JwQuPDd2crJ NlkhWIZQvpXZ+CJekh0JCJttFkV7TXrTSPbtRu54wc3acXuO9ih0Z89ncBd49rw8e17qHRZKUCG4 UIAVmxNx6wHHcEc/rlA9huQbJgt6OMJuQ595gr1BO2MPr9C81/cE1yCFBOfXYFxJwA9Zujsbhc8H h9X0dUW5niS1+vXswSh0pzgLpO690gcXXStfjZb8aD9n5vSFFWPYrdjOsFyjH1cLtl2o6BE0dTIg 6TLnTpU8EE4L4mmkWASrFXvpCO6P+68CJ4whmQXhSQhTYxvrJPt606iAtb6uQaA29noCrNAxlD/w CaCHO/UWkh05V52iF7LClHch5iq0DB2OUyvP6FXRCrUfHMKkrMPpRq4zsB3puEFaeijdBLHn0DJU oegoFD7lYPjHsxMIN1OxPfAM/f/DdhxxKLbdmYrC9k166Sh3B559azN14vbmuONGV2vuwMA1NP93 qeO8ZK6q8eKzc8Ii4A7s5+wm6BH1BkBpN8kJ6SpOyPQqB7ahaHFTJaCw8cxBPNyTgkpv+Oz9zeeD 3DndmoyCMsUfd65CKDvn6gQ/yQoN+xK7qYZlJBLIt1nYHhqHGsm0GpOLoxUaIH5cYNCMQgPgg0TG w/gKitcVEL0yl9s2lK5+V+gdKt8GEuQwsAb3QwDIuU7Y/To3VOYHREqV7fvAkjjbfLqDoYEoAEj/ ir6US5Go2TchlNe8hhU7iKorWNdcd7SlBdjjpCdqlyag6Q4vmLedTYW3h4iAC1OpTR94iILjzXkq jLMaFqVVrk4A0HmloKXDD4j24fw+sch43c9khYH7YMFPZxOVPenIRN7NpBEo+SQneLlrK8S1G/Fm 7X1Hb6UCpTiKiDcbDt08scYiAYBkzQpOhotT4Xv5TZFtNoKr0rq0X5DvC7kGNdVEwujsO/MT/pIO UJd+/6D1e2crCt0JQzsRnjk+4h+HKZucs2ptK2PVq2ySQzdRMzVsxnxrX8y3BReYCetQwvzOPhRu OzX8xoXsy9XLLSVToSoHfqKIfOnmsGW/uh900Z4y6wM+ks//L66GqDnBnffOVRS7k8cOT4rkGL9U bK7QSFITukEuqfhcRWiZprQZZfU7+so6cdU5faUgsDdOcanrVo7yWHtQa/xp+U2RDDGP+CryNzMw GdefI0wqaMAR8gP+1DjhGVehiZpukyK0FU1UbB7pIcgP18ypaOVayW8sVznJsa+oQRqWQDMcLwHu /P4dlTxgiwqaxGLp0v+l8XjHK3sZay976WAfUv4biE0frElxsqgkquDqfD5KoH2y1P45p+2GdJxP Vlh574QTUbD2P1S6ZqDB+qh6M+qolnaXVhi+22QZwoijTRa6z2lTjxilq1H4tXSAOhuiQQjJlOUF xFLlPTQX7WQEpCzQbTYGat37/HNS42EtPh+EpLdTLxhWoiFkfmYrCuAb61iLXRTC1lTdYB1rSkCd tuNS8uBs/S4MA9RCvaNmC/pL5y7BpoecGqwudR9S0BlMP16DrpFaskB+gcNo4u0PLOQkuXLB0FDJ M+rJWVW/PfkBkSPkNzEHPQHYoftkhR6jpI6PNrd7tDdRmXRBsUQKSyM08CyCD0xGIQ2iJn0d9Y7W V0VwfQJV6EpPSDRB58YKOJDChlYEd6GMxMqyV45yHZ5ZjUjbKSyoAJyZh6/BGgKTUZO2u+0vHu0Q 0PeZiiHvWZ6QDmtodNdVBJ+Gl7EgQOUzFUHel1mGNDHiOFquA3P2s5IYOZw1D2oqz3c6mkKO/kdA f6WFQ1FW4DKaLS0cWbYFknJQya+z38EmxeYHBHdh+86iKwSe0hOShkajKC5CctP4lOgbmM41mxTD 5gqq5z5XcQRvJsjCu3N0FNZEqb2x5FfOmbhXkpQzIt0JvvHat+JHcD6/J3LC4HHFbmhnvVxVBly5 myczCv38gAgJ6efVsLMdAvJ3qqLonboaEy46lkJn8XaJliG5TXJfs3sKHXuNauOlWssdveQH7EyH YDsAa8gLVPOCwdUPFMZAJ7r6JynZKwepDsk5kHzyQSn0zFIPPdcgMFih1+gjD17k2A73tadZH5iN wr4AhJaUrg1Um9XVCGGVp9i9UmdAMUPsNrqMbGJtnTxuAxqycSC9sVmPD97MHScZuxvBZcGucPGh Wu2df4OMLmrSargk5yQ+E9whc+g3Ou9cMUiHcvxZ9c8OjCJ3SnShp+KMkzI8Gm2S52A0Ct67XYKB 4eh1ZqXAho/eUkVdeUwyTmCLshr5FmOy71FhNlpwxDtgRnlOaDmK+iSsjRhfcfGvYqH7YJXy53xC 4we8H+1re7ee/VPUpnd62jmB6yhid4agqz0N6O2zVWe+0SiWjc1WFLsPgRt7loQjR19Z50SvpDt0 yMWfuMqgDWTHNsCn2kb3xdr1goEExqOmPn/uumpDVnN0A4Uo1diMgkPoPPogschm6oVl0odFEZqP TnSUUSbpDprJ2cWtZDKItTXycMnwHLiPYpkKA2nKchztyKLEIc8p4CKxF1URWczv8FNlOLO5bPHO 9s5R82twabFKw0G4UZg5ylaz9s3n/8KNsjoDYlCGDIlN1uc1vqr5v1TyHLO2CwSp2VrQEG3L1oLe 8MLkmfUaXdWLGp1r5Tta3R2ZCKMGZjm0NJD3A4ucwGORoGMOf7SRfo2tKvR1CFnDLWeDIGsngl7q 5rSFp18mqaKDrEmaEpk9d8GGc9AQdSqaIZnSjJYcrjazJzetG6vwrCY4EOhUD2bIVK3GqPuONkM4 ziHEQDjDIrGXFYaOu3ZTK5zHlNwxVufGe52i9F/RB2H34IOmqIyk6uKJRPH02xSpu68p4smNz5Kl JqboNZyqWRpwYwl5RRzkaCYWc145u8AsneY4Ra/BFBbRUGRA4oONHqKfdE8qQ2xM1ZStZIoSZEcV ZY/scadsIl9DqXqp840UHA7aYGVvCgPBObp9XuOo+hgfemF+wrXHZ+g1iqq4ZmUbbUbaEFUvrn6S dDo12UbbNnuNoSrwYmat0ssdbRGhDL1YGIQKQyEqhlkhRJwnJNRxU9gMAY+Lb3mfIYqHdl5NGKDf z3mBDq5QOOc+zZ/XAIp/bbUpyhZqQrPGpug1evJ9Nk4MmLwNr6OafS1q20rQA3mVPH6DRWQ3XC2z 3dFuOLCTFi1nTl55woKsqt+o7BbSHogmw85ApXrca+hUBYyAmZGYXLVeSCisp28P/jVwwtPGtbHq 3/mJ6JCnOXqNmqqEbBGMFyclIfNyqAKNmxWMs4pCs8Ngjkw+vaeW72hzdJKCBetHAmdngZvfVt8B 91tFh7GV6qC9kuTIGswRrkHUUgGbPQO6oZKbOHcvqRQ/qBZ+XuOl+niGTBUNOjImBuL05HqdJFyF ZI3M5tJViYQrTlJiT0kLCZJMslaJJkmI/TllWsDRW8kn3CZVCKkd6lpD+LNONGRqjG5RRbfdxuTu NVDCbuPdTz4xBlygsmLd53dgEN577Z/XOInHWfvabVgFgNHbJL3GSDiyq/yhr+gu+qE6sstY7mOP g9Am6TVCwpGtLl+3jIWjF+wgADQmHTBGLnLiIj2e9sc43BuLkRZ9N73w+7UmNxUseFbNSSZkSXOj Ac5VNWcan9cAie/pS0kTipyOZBFqaUb7bbG9N8pDbLOmHwhI3fdbyU4VeS1r1guigsznHW0pQRIG cmXcb+c26Oqfjo4u9zm604nsU3O32rLoPfNa1KwCxEOhsTUNRSyGhjq1FAQyRFKDOXJk3lRxjxCF VLqfSq8FTazfQQ202vxym1QrwCz1VO6GE8iJKh5RhGTqgyBv+OjtPUD44b2lU+n8dILzN7xeRh+R cpQXlkdt4tdiJn4NgjZSLzaYnOX5KGg//7Bdlz+vlcx/brfBwi9xXIhyLI6MQm3eS2h+XuF0GWOx 6MQgX0UnlsHEkozmaWuvLWERONo8DWigomXFXtU5YltVkZy1ffArgH26bJqtjPc93Ja3FOyhxfxz LiaQzlm4GkrFvRP/sBpX8ZMJ+xORKXF9NlFRxI25BF2+unB6LsuDpRMEWskJRV8vOYUht8SJUXm9 o+M8cduTL4cyHwpObIHOzOSkYClSdMP6xXMIUB8d4YwFZlp3UDOhoyPNiRp7fd5Zf9Wczu4RTnPS Ovyee+f8VQmvwa1v3tOJFjeaJ8kQYp72yBeNF8zTVkoym2yMODpD+fzPiTjob4gSRJYb21lP6PYu AGe+jPsIqfu8M/5w61CtijR9GzRN9eQm0tutm48HMdP4jpkqKs5t3OUUxd74V2DvaRNC5fRq8cAY 10wlE7wmEFBwindVARqd0my8laaTDJbFaTprZ1JeIRNL2mjpcH761L3am4Eg5CsHORyttHunUiMH 5RqAPIsVUoCEDePv7fuOYTsOuJ1ZZuJEvUfg1k2a4Ks5aLiV7BO1XVJv0DvdSr3RRLEYDmBJvSMn agB3VwDWYKV3gIxnQjEIQXX2SU1BvZap8mV4PnGeUJmixnzXxQWTsrWnNPUSCnLBRImExwvvR1I0 iJ88dnon++HPI4mbMFBTkd3bAUCtmDZaQ63JD6j3OBzpnDh+VeJCHC8tuQJdPpFrwbpiljkMrXgm Cnx+SoTaQU7B+8871Q8bnCLzm8aIGoRWXAj2GUaNUj7vTD9sIeuiT+kSZzEHCZXiRL2H4tiwhJLV 4YWBLxd71WmpK9SqWzu8M/1YPGGn88Q/z+htFhZ9G0MDlJeIL+BE7X7WPWLNjvhJvsdZGgpRLN6Y +bJYZYNl+WClypsu7887zY+Jsx/kTZNKXzufpigYp1U2DNmMBzIhJeVgg2WllIa/zmyx3kl+TwQF Eu0d7SDvkAcDspbtc1wbQ9qo6PCcqxR7HzJ4pgkwu974fZqIa0JRpmuwHicCtGm2WJ2PB4Hmml/3 XYeP0ZweZr4T/HjfSbk+L8dk6EynShUlfmnbR/tN1XWjcHxYKzhTF1yjb7vzlxcEOwQJ10TZDwaj lGnD0oLm6LVl73rj92maxOdDN9QHVZpqakn8/t7y553cx0z2u9SUwYscZdyCZRSP90YIdTZnJzqw OrlvsU5hdnTTsSthQE4ew060MbPR5mnCM2wPgalPONAE8Dm7sUFKkoCEcQnu0984mCfA7EaCAZAN nKdzdlNpFRsXWIwoIveG3ZTxcT/LEZ6tXrWMAnIs9ZNBjOzazdActBx4Xada6IlZUe6d1cdtJ7u+ aU5G83EyavPcnAu5LgLyc3ZLgWLSmAGeyixWtFtywrL4vJP6GKgRbj7YiJpe/Dtx5glYmwEU8+ed 04e4onl1V44QpGz24pHBO6mPE8zwchf3o0sUzpEfnfqd9PQFRUsz9R6R4+pUCGWpS3tSl15BTDyh WWMifFI47bwxKJvesEYqJAevmt7QKwc5niFVyK9N+FQeFj+k0Hei61kk+ryT+lhsu0G5CisVJbq7 qqJi+ORhnvsoD9zVldMbYTaq0XU/pd45fU/RoA81Njl69rJObDE73dY6rWxZMR8wwMN1hwwP+eYF nRe9chRGkdWeiLLLwC8yC66g9wi+Cc7z553RV2W6+RznsAyHF4tPVBSXk/kCR6+7qDbFnOm3tror OEN01xZVFJenrFtPJvYa/dZLsOSDOS0UIIFNnVKGo9YRRBtBEnOpa5N3eWfz4Yu2WESt+GDRwcpC 1568q37eyXzVdJKeNO+cUHvcsPydy4csukkSfG7vHRAEJBv7va8kOBDhjDbfqXxe30G9wOww1r32 YLNbMUPs0WWI6VFdCCrtAAHSR2y6A40ZZL0z+bj3qMGRGCXSM5xF3/MNSd0WSGB93nl8VY5IX+0D OLoKqcJpioLyOmTC2twEY1EtR9NEsQMuJ1xjtpyi4rjFmk3MAI03zUMoWAgomPukLNmU+OkDMFhZ 2bs+p/nSKwdRFNV26xJFexWjHUPlZJLrccL/9Xkn8VVxSy17UT+z/DBzt4mKgvJZiOip13ocPuGG x8+PstkiOoIT9R6UK50nIa2UO/q1B74bJKHpVluKJHxxGOJULWhwl50ei3a6sL6T+KwvCGQ5PbKK e2QRzNamLZL9eefw4S++aR7LmpL88jDqncGHpyphBOUqwFXCs5kO952Kp8PNRVHfCXwWLRNHZ+JT 7YpPLVQc2548yFEhL8MwYuBu7sFEs1zjcbT1+cbBNHHflUbOMdw4f+RZBvOfxiIC7pDPO33Pz9Hb blEMvDzJeyfvYeNRJWg2lzQ7f+0wiflKzWDLhgFB1vn0HpZ/uWkLm7Ife9G1oGA5JomO51NPtE8h obnAg9u8slq5rQR4X/GNo7Cc0MxNTCsHqxrAVXnpH9vnnbpXH0sVy17OSoCBuE9TFJUDjgI1hOZF g9nMvf4ssd68BQw5A5um96g8myLxLnQssdGqK+fjYRw3KNAFKHOx2AGGaYPwIwgqOYKHoN930h53 nRwdVvJBSIJzqdLZCwjp8Xnn7CFWHd99KdSs50ie5L1T9hB1TU5T7ubCiojGCTBUTzLjmeJe2u+M veoOPbjT1x1tmsqE9nCSl1ECh13GUKjAnAyE84oo03TMUBD7vDP22H8TVW9UH5TkwVF5Cx+2y+ed sMdc+J/VBJ/DfEsG73w9W8Ims2E8IapWcJp6z/dwAm1T0xTDUpjbdW0ojTZNJ1048RO2xMk1Ttx8 knpR+1B9gcUvtG0zMKiCFBS9cTBN9FGpZCRq4DShOyvZppN98fHoDP+epoLjO5Ety2mKgnGsUwR1 w0HRJ2twWqOJdRFrvxwU/c7Uq259vHPfz2ipcCsLVhyUT0JkSX0VojYRBPezfFHj9CO8Db1wkODh ihuJgaYGFeoKKCHK9Ur5vJP0GBJ8zxKERcAL91mKIvFO6AXwQQay78O6dvAWXTZLLXf0ekH+fCfo VffmOUcSsU4abZZAACzifp7gJgE0J3EltCcmFcihSmQwsEkVknd63s1YVmYevCglRfhp32h00frj nH2fd3YepjmNr4gAqBu6Qdg8RZE4Gy4nabx2Bb1Ncwmp6OTaaqpoRGo1RQXyJMHAnlkn0ahNx9bT ZJ/7DANtCTZnNk5xiB1t1H2voW+1F46miXXawROcg0MJxyIwDAfp552V938CcQiooX7vs/QeiOPa UGpdqoPr6+1zVopJss85bxvhnZNX5aaHeH2RFKTRVtNJ6IcoTmchgdpoFn80L5p0u+9Pu2UyX3ln 5GH9s21XZGTEwfpLJ9USXiV1vmZUpiv/5CtD5hs2TVEY3glTyfUyPZGNOpanTxc3hXqkneBRbXyY UGBTt1NaZxY2nTi8DqHmzkYDiU3VJ/60BQKrJ050cIH8JT7vbDzrfC8qf/qgedpQ+pL2Pn7O9zAc 4ek/AQHELIHrsnmKwvAqB9Y2HKlSpeWGrGeWi1QZw60c3ol41bxcgJlzJyO27yaxcxQ/L7Jlh+5B Fpi3UZ4ogwZYJYlu5cykV47gc+yXo7COAcVBo6ekJRVYHF2fdx4eL/f/x9h3JbmSK8n+cy1lY9Bi /xt7cPcIJOvdjDpt84G+PZ1kFggRwsWvdvBaP7hvfKJCsEoTr8wLTwCTeOGJ6GmnpTvP+p2E93Sl lsnYrEfGBrinTU8eKu3DqF2OWCz7ZsT7QDS2i+ZF7PZ55+DhfCL7YPSm4UTSTbx9xC1cUeOE1p93 Dh7bWt5HkJX2iZ3W8ITlnYDH6cUB1V1LmMU6q2aeGHPdEHO6R/Q7/w7vL4TlNPr+fOj7M40NWQh6 qbQC4Lq8VBYpsB2191aux5ppHbzT7xjHIMYsMEqxQcFTKamrIdzm+ryT7/CepX/NExScJvQsDJAZ huKk/iSRWJaroUvKzdTQNyuZ0JMmIjMMxZcKBMOM2cfD218QIIAahzQC2yy87lCQgrchFVn6cqPa zNTy8869q5Jap0umiLBLvjENbVk6D/7Aj/PzTr2rj6i3Nh4j342ajk1UCFihWWZv6HZC8+789NhB /5cRuC0A49BwOdkEQkAsqHfmHaNMLaRsaPpcbiVzLep5raKKyihVDvaZKtkkIpR+hckypRE+78y7 G0DBZViD3V2Q5t+8ZftJJD/vvDtGBvfKo6I3NnC9pYJ33t3F0401ZGqB5I561Jmyk1gkDDRZN9KK imrjrVlNXBPG0VbUOYPOSbBofXz+s3Oq8Nw/0Q/+2wYAUaX6uWd3Xa8cneVMW7KUtqSbRevjc2Ew uzvZVv28s+5YUvE7j75YqM7tfhfUe0AOcfoq60f3AZEvAHfelBzzJoTV77x3zl295PMzEe2O3uks HYZFkK05qQqqUua+SjBCBUSxoJHlO4/EjHfSHdsepB3MdAdlK2B+DEHFSv28c+4cIP/EBueG32V6 FvzOuKtGVJ21O/IQOkweQ4199X0KOorCjIelcXETmZ756KHmOMslZSp5A/RJTC5w9diAm04f4H5Y Fqyayjvf7hYLqujUHIx7cI5ZWcvU1j7vdDs2IPqvAwoUK9zANk9RbRw/8llEkoTvLCl2Dw3SNV9t A3gN3njvZLvbatlQbLyjd4QHvcNUygTqJxUVVSCCvki5LeWKU0Pxh98TzBORPWu0O1jmkpKIwchh Pu9EO/ZJf4Wa9KitXhl/p9nhKeRtA8Vrm6ZevCFcM6EUVBDOiQ4piZ/0Fw4DUzDv6AAocNUXyHMn AAFr0zWAwD6crOsAMuIRuZZTVBjvW/3gWXxQiQ56XcIdgr75TrH71TjHSXQSrJ+JA8OmKYrI6XRy Qh/HZ6KXYA2EJoNqYyFUn6YwIhe+oMlxQaOtpj37iXkzuCPQk1y5Ctoy0GgbNPpJ16MIF4neOKiq ZOnnrztomoA6owAvfA4/7+Q6ojs9HqeNdMKFd1FiLQaPGyy6Wx5cdr6WDL177Wltmht2ftJfjeCT A6RxxwtXAdoAsLkO38fZinTvMCfQhYH/aX7YwKh44XuCoCDxktvEQHEQDAMo3+FanHg8iDIvMJrQ FrBs9sUWtDAaB+br3DPr2jGoSY6iSllXqrTuZbDoFtXFbbOxx+ijpy2YkD1lvcoZNJdtSACMPIiS WQ86c+uNA1ILOQgILHzQ2ZSBcxSbpww8HuAKyne5AByjubuHTj0KxjudV3ue7sVQZYBCIYzhlcwq YhipP+/BOKaJm67KkF2jnU0NOmIJYvJQsks0YuWmA1MTDQvgtz2343P4moiqSRkMCIrZYJiec+3R Lg5uCHg8gkR/VzJhtTzT9pSlR5E4oRLn/O5eoVPNyBeTOVb0xrYIZykCqkiIZldrstSnyYIz+cTb gFegCHKSsS4wCw7zc9dIb6zdWgE2K74nyFgMSikRu+oidgn46i26Zp14PKKRfZMRkFf11rz21P8s i08YfnvtiVBmxgM1e2sTxhdNJ3iPyuJ9ma+H8RJ79swOdhQNdTlldoCaEpQ4ARYDiA7gQvbsrFQg IlkUh7Nn19ibOUOnse0PeyuNZOvE9YnnA+jT/K6p0JR0rtss7yFxs3WtomHtKBgsG+ZwbGu54HSa Jm3Uo0h8yrZ+GuVuPpS7uVGngwoiaZVnfrbsC/mC5/StgOtlR1/kzRy4R5F4IdYXHV/GBOg5S/UC cKhOwzNwRfEBwVRtR/tqKZ5d18o9oCL+JkhS8EaYhs4clQRnMcpSdjRdW67F1sNYXK46Ka95R48x K5g/qmeWk68kUYWmGB4JIFDC010wi/ddj8rj5hUz6a6zIJZb1a+DUTdvP4S0eD648H7V6YiJnZfn 2uNgnAT9bosH/fLqSyolY7o0UMSq3AR6zOIUPJOSTjbeOh1mujEuyOkspCH91sVlmMhkOP/BPaRq 1isHgQE5rr10uVolHINcUYXdDqyoUjeejzbfd3aHIs2AZp9NVFghX5SYphSM2lLosaFEgKylJjcs rNx7OMzjcJynVBUZSqMjetBxb5VAlQXmq4IsIHrOyurUfYJ0ztUktVeO6k+0iamkA0kTTO4wCdKq xBFNePD1KCKHwMF3YQWf99R++3tIjs6UOggU4WFIjrhWU1Von86CwUSgwApUj0LyTcoGmIjP6Nyy E1xseLewYFDgIkqsSuKBXhacMCXAJusTKpL2qEheKc/TE08rDgI/Tajv8x9Xang8KBi07w4e0L6T XHzNUwQhpwYDdLJNqe4cTM0tQ+EjZ5ahS9IgnZ/0N9IeAkUc6btZWak7Ww4q0IPHOQ5xcwyFW97J lTqSSLLMDUN+pgtfFIHpKMatlbWqQYeARZIhCdIYPh4sqOlx1GTF4Jw680mFexiV04l2yI6dBtql WwXqvELTP4KtBeUfLqioRq7G+ZlRk73Yj+xFQ8cFFDmiWPssgmwM0RfgSwT63fKS5iD1tUdwlb6k IjaLD6qRwyo0Cc9aJh6PFpSXDGhMjhtrpVskH1Fcjh1KwsZ+4L7N4b5s9rCZQH6D2OZRkdxP8WFg +/GA7Rua5JCsIxeoAVsi1TXcJbuxo4AFNU2ANOuN/+xOQXfbhmSp3WLbH0ddxuMR3fzBkAtdxq7L ZeVHoXkne6P05YqaVZmxFJ+S4D5tpOkiISMqkk/rIqgtrNHhvmsndMpZ04TdOJ1gIKRC6/iFbh4I NS66VuyVg5mia8fJJlQk3/izf4RZaTT++IEdCZ4PllT6rkIB2YcI1icqBJAXkc5TdWjPxUXXko1Z 3Xqa21dUVCSnHSYcU0XD64WBFBQNz08H5V9a14OgkKg4jAAeoKjBPzW7JcxJnPvPwNcEMFZZwcxt g93y577YEuUGwx2P/4szJbWQAmUex2KMkNXZGRFs16UDdtJRhyV1KxtAaMLy4REF5jKRg1VX0cj6 4FCtrrOOxbZwOhdapyLWguIXCvlTJo4WGkCJF18TdVxM9nf5IOz4ORFTl9ve2Hg8WEt1fwcGIN4s YJ1tmqKonGxm0LK88mtiBpMAqLmcuYFJ0GKKonJWd1BVsWOq3WDzZMOtgIbAaUK4If+4lZiKwI+s fHGEJxl4I6qQ63jakkfeFHpgvRfVaTqKnpVZMp7/Vw8Pb4eu6xy3cDCioFyFg5SKc8sSFK+c4WLA DOR5roM1oqB8ic+6pkSSOXoLD22jDs17XOTgYqmLlSA4O2FIuvZVv6d3D74myIezQkxq0XFgHJTg sqda3Qkv8HjUwKtfYQGy4XVuSZ+lEDo+KfTbm2Mx9vIC+ZmlZAVyIbQ1SxF0XJ7YZ+ULdZgeP1B2 yyvleyExCusywekqfpxBCut8gOPIovA1fwgZnRQYqplnWCp0w+FuM5ogTwyPB8HTSl9HU+GpeCGs I6qPN5bqWl4XsSKkIXllTJeYCUNqwGYpCsbnUCbced3vJPBIxiyhkTkH/gVmaSIr4yyRyzgLifzo c9s0IZXG90ShE+CGqM1wYPTFeTrXwiDtdZzjEc//F0kM8G5nuSXNEQXjFKkDUf7yFNGWddpr6bbn kLWqYjDCYFy6BUNe8xodh4GIM7UheZWMfqfwBajg5wL7XEgn3V3HGHOEwXgnRExN4cJSTlLFF7EG ZR8g4YMPCEoG9RuxApnv3Z/TKYzGKy2LqUtNgnDee1vsVPO1TB3T1TJHWCOXDy/0qu7omTAIoU2K GAO5HaWLud1VZjh/EfRC3EFBx3hI6YSPSdu0H2wbrOsi+vT5v8ytB4gHno9qK99bj3A1hvGaqRmW yTlTqbU7UwL8ylzW+BtESFuCN0NBQwVP2QrBHB1lD+vr0nVC9UyOJ/deoXEBsr10LUCNIzxDyApr K1NkKQ7K73IzwZXz3hWPR02X7xYe1JjmuAXgGQXjtFQcvaViAAP6w1l6twxrcJLUMawGNcM6uY6d Pfcz+nUHnsAJm6hgcHK7Ld+AKUPHAtdtyGXePJi+CTMkdFo/gbLtHIz6ejKi5ZgMPP4vgAHDghNk TFA0bZ6iWDx1GhvLi3E8aisInxK1FbmcEljZksn6B5lzbm1AjpciXNIsmXSbRpZRUqGcvMpGA+dZ L6lsUeph/lko71T6sYEHFGS5yxhWOsDj/+IoEqd4XmrLnJHzFEXjSEvgZNm7Z8Hdi7+9NAcYnOys 2pU34zK5uFLmx7HVK5JINGRV4ObBXudJYrbWXsK1sejBTqCmu8kuvXF0PlHEt1UZU5kx4xnHWtN4 PROPR1Kitz0lbv4GYqXfBRXF46h6IWhZjvpdlDhRBLWWeQeNmlwIcoYyh2IpnvC63dGz4HNDne8g mTPvk8hNVtRnJ82BvOqCrMu7wjTvmiGbk+3OugRZWQ5ZmedqZd8fwlkVjwftzvbd7gTZHCgan6ew SE5J0bmb5y0Dak+mpU2/ESJWJrQcNU8RYsXEsrY50HP0DlU9EUdqFMs6+6NMeDGirIKi00LPZ+9y Qyg6fc4oHq9K7/5/qayG1cRWQjvBHB7/V90X0dKGIka7nNcZAVZYIR8j9erdqeatBLRxLYI68U0x XegZVcjNSd1h9Bxt28FXEJlcIzYaFpOKx0GkP4cofl5Q+xxqTwb1jALyJOLG5ppam8o8WExw7pFA XRl8zf8C0AS5aaDhZNMUMjkzW8GDmAsCfslq56YrMh3sYpqbIMaM1Q4tuRNDcc2HoZig3QCVHiZ3 56htqo4vCD1sNjHSemzgyEiYUXG8UCEL3GkfVKNr56+oQtpvPv5fYGIISOajrzIjwMokmq6MR6Bu O5GTFQI7xGeqhhKbUTw+iqEN07yjBwUQ0qy4Es5qOgfV7OrggYQHO25I0CAkcoILkfYziseb4IaF xITzWyYpzaE/NMgE/wGbHc//UzkEjwGtPfedqD+pnCBkueDhKnU6AKoZi+r8Q/MEb0bFcbkK7rJa uqMVVQBYAzhPr3Tis2RNLJR3WZmGtO+dp1X0xn+pYSz1hDkY72lVqdLA8QSP/yvI1H8LF+Lh1YIV xeIkeA0qWFq1IG9DGZSazQ6u4SIxlMGKICtiZK5dhGLlaNtuIMDO0H/EXZdgp8SteXJiGonxbHfN EOkWrBCyojJBwxmlwUCHZ8F2QVZqxeMRk/Mb/wTftgXhQpulsC5O0OGW/TChUND5sXKvdAuYBYNK oFkKQ/FuGAxJsHN0hME5xNvusvM8P/WYsjBbG14ZJ7n/oSGQmQ+z8rTiqngVW4PsYPDKVW+CQNni D9NPmIfnIzTd9zRBcmK2dKcp1BhXCkwmlyAr657hJFIYaQMGh5qmvyErEG9Q6ckQgBQZhwZ0mtSH xjnF8JkN48VCOVZfqU/cdJJufE9QFpfOgJlyzWx77iyyIrtYnBB4/L+IhQB/N3L2q26FVM6u7u+o ftXV4cie0pNPUxs8w09EsELkuKRqz0/Z7mh77pzVOKMT6dNgQbZqiOgNKifzXzARLCIgmm7FdXFC fKEagwFVBtae6skkBZsFKRLP//Nskh4r3Jr2bQWvKBJnzj4g52EwjKrK+AavrE2JIbcze8vwKisk c0q87yRe7Y6+73qF7BdTOwiy76Z9Vzf3KGLTktYXssdeOSrTFfHK2GjB1m3ScdoIYrOATSc4xidE 9923MjsAv/tRwlhRMA479zzEUeJU5VUcsVL7Vm2TgqwWZq5/SKvUreyOo6YKKT2Y053lJ4jrUN4V wjdYgDQuJbzSnANJvsAX/QXtAYfdB2XBJyib7Cec3cD3DAAra3yfUSCWASxn8xSF48gYsOOqzRME Zl3HD4qUXqbLw2gbKwzHde4gKr6jJy3pBMGwiGTTnJpZQhcgvIX2YSUU1VEYWVderKxC605p2RfR x1lKgUKZvJZTw+ORYvS33iHUCHbPV1U7LJB3KqvMbtWnWaRYwFawaHkb4gWggWieYgB5NlBBuaPN E36GCvogt15bRSS0kwpVqjaDQ5ZHuWgV+qSsKCCX8QggkeJLmYtWQvCiriqqdCsKyNdVL2DL/CzO 3W4jYf0tPz5LM0HWMYkJkLKKMPekbdBxlfLjYYFcirUUifPRyr7A/HL50E/q3HEsbdCVcEClHYAJ mpGyF1xYoscXBcq1vPSIMLFBqJ6U2ZOgFjnfMwAXPCGU4CpUlU/PvRdWyLX1drmSrGtZSF6W+383 SJcYNX+FmodCFfRmFgntWm+dIO0kK9YOJqacEw94KEKJgpOJYm8OIycpYUUxeVbbHPImNqiDd/Z2 F87g7BE8HqnQXPUnHFFUrYYToyZqR0H5KIQc5uXydLkPr9Ql6uIxQJijWhy1owL5SPKzUQKn0fZe gX18pXkikJlw8qBaTyOAj9oqGawwK63IE31HYXmjhl8WWRjhhjbRienPASuU5uTjERfoV44HkTv0 p22e3sPy8xTZG1XafZ1+39MWVFfFjEbMTLw0T6HAioQxq+xKNdqCOnHAWV+bwN/ZcIRT2md01p15 zDQaZNmd1+yV/+okwBnHB9Hz8dFC1EGtfb/H5UmV/KekWUiaKneiwsAcVwCaRG4QiF3mQIzRs1UN chrmSbKjwNyrBsXo5yV7bwrnHlgbAmIAxFy78heWcRFfZezF6yo89MZRqY4dl0KZLA5CYoC1KDL1 SZfweKSx8h0bAOqJCqtPU0jp3KxiNitdYsZMifXkGG5ECZR38mkK8SqKDdaez3gh9wUSbpR9qXAb HSquNCn/AUxBm0A/y3HD44v+FBstKfugA2rPxL1w4k6spwix0q567eQNR1vGp6i5QyB5ZUI8TQGS dAunl+V96WUNcbNmKgSSa4Y2Tg4fXRgDUXSDwwuqdecgQVdFZEUARnDhfCF7UKvA1/zFpR6aLqB5 fiQiBnfolo2+svF8hMXYXwcUsMrnlRwdvWO9w6Rg87Lw8nR5ujIZbFYmxC5ttEPESreEOM072jSd eAqp3BKMHB1UTWlO5KsgasxX5Bce6HzhyC+Jx7i8vLNspDBLFQVlbrvzQ+DxSADqu4FH5YXUbpq3 QwnybtKi5efSy1yNptRttbreeN5zmkK1w63ySlMMxdGLmiBItcJi04kA3HoL6d9Z/QD8ZDgJ1tWU 5TW9cSSUReRTY8TJwYBi53ca6rcUvuZ/gtNlLOdxpylUWSGcrvEwFL1su7TReZ9kIn7nnkWHBA3h /S/MiowANTp7A2bgxDv0lcCcnpYO0vmKqiPooJvIKE2h8T1BpFmlZd+nDwZjha0kT6eR+HiQufzi A+FQZBHXpimKyOFpidA4G1lxwE1M01T3Vf4/KVA1pawdR+SanrT2HR0AVZH5IJxCFeqc2lsQ6gLI 0uj0Dd4XsLLthSPbJIrTJYnTJRenwysmATJqHXj8X1QgQlvOL3J+vjtLUTCObQkg9N6256q8XLDn erK5w500/ASP4CqTIcGmSpKPznA5UdikLBDamsDxK8epiETGYCCVPMQsiWnwDvVVKg8lMYE4KG05 +XtV6HQCQTweVX6/BUYzKhar3T6CIEKvX7tVyZzDE+HKIFPekls2k/gHFgy6PipaTzyVKmC7Plpl BY4I8JjvpLg0nFWE2Tc+A71QoOQpLiZPoG0vHdFf2TlPxGmeNYlCn+zZTt7CGhqhXJsfEYFZPR3m f86cJD0FlpxC2cPK0zyl6bQEFtKAW0Ejr2jCUNux8iY+6i95SFi89zs60QVM6ZpAplwoCIO6Q8wY 6kgAuwIJBZkrVyJf9tIRIoOyrPKUKBAProJCpcxuEwrmtFGKIvPdvuF1GZ8ACle70xU7BCUKZlVz wgVCwxB2J7IvXriDs7BPV4gll9bvSLPc0SstJB6NpumCGrnEs1Il3wX+OwXUMRdFZgUB3/QXMmPs bU5v51JVx3MR9/NDpt3iBwTx1BVu584dQzVpn6ywdL6JR9LawgE2qV7I6l2XN8eS0WvyyQpdOs0o SGprGm1tpXo23gnvmPKVkem3S7s3lKc69EEqgJGe8t2XjkrC0vpNywedVmh1Ce0D2AGe/y8wOwTa K/Xn4IpidJT32XdLNleFd64OLqqeYmGNhBapzVVUPTeX0jE1VxwdkXgWFfA+rLckUmBUmkLN7kTq KHW27iTGkseylw4aMkSQlVqXD1YTPucJMwOUUvl8RNz/tg8GdpT16euCk8L6+dRRlZah7TKk54S2 W8K14NTqALXZMR8W0NWVIWfVR9uGJ1Q/J/iQhPvai4AK9hpUboH8f76SGSWlbS8dEdNNxG76oJU1 dtnK/k4GwucjJ2GHahBnDcDXyQCuqVkKRVjQSxoqqVEjqgFypMmarA9KjBSwJJusKGKfcoYHEeWO XsoD/yUDZQQ3HIhmy9YsAQuMKvqCqk9ycjrF6PhNfxrl5ZJ8EDixAdVoLP7M5yOC7P61tApgd+U5 4GMpFtIWXDqLXMxkQlFUtsrqOIAebfswLKULJ9WM+Nke4udJiXuDOAFWFupgrUshmUL4qIAtHPAu cVtKbfbSfxHUCxPdTqc9aY+dq3wtmQeVxueD6OFi71hWwPumdYVG6EzyHrgUuS4Wz5hLz+6e2+Sp QJAisxIZMEaKLCarPZfkDzh6y2+cT4WKK0tVYFrLtwveHD8bena8wB2lKDuqFAFchABC+8gH1fTO 5ibTHm23wueDXVi/mde0/S24h32uohAeJDlK3XrrQdZkrOrtOTzRqWkkn6u4oK5dWCSSyNEjh3Mb wvOFdD34KpgJI4RtzmWEVQborbP1SCjGFwX1qiLTrj590FydWEc6xAiK+XyUOX9rtaEMNefFb+TQ 11N8/kYcDaYKJn1eAG2oBil13iSWy64yxLl0QRKKIe5L8wPrHEBt40Mywa8TbLshFN6GqyYSBIra WUjaur1zxFNn0z314YMwnVAYlDjLSc75fJQXXnCC2P/lZyO+9bmK4nfANa1fYstqm29XRSn8mnxP V1DOgbfno0Q2U7mj1xn2PodwJ2IRxkFIDxWHEckM12acxKb/R8Bijq09s8RJqVvOQUtpw26YjYhV Kp+P1IE9N2QUew76iT/UZyoM3SmLVPh9Uo9ifCH1qE2cNdWjVFbv+qioYGxcKys5PLggeFqfYwmf DLcz/BhUKV2FNtssMRYiOTRVpdk7R8ggdpGh8cxhqONycmgKiOBgr4PPR93k/XWwG3bq2YBRaX2R lZZbuwpShGWa4DSPrSLcovtWhg6flKPMtEC/o5E+0NRK4A9BBvD8z8bqBVxxEJ/uvsQFNR+h0eyV g/2XBTHD6a6BiwpxRjElZWSEgcMn8AnfoSisncf+WlShXuLsEpFyofdRlpev0LjRTJ3sYU6fqZAO amJbxRZVeRYVas5lVsKDUkYPV9ziTJUGEiFWuooafXR75wChsEjhq/KGq80XFbrVrCHDQ5TPRy3l 7xuwnd1IprBPVaRizkD7xLrFalhjayVB33il6kpSidJ12n9hzD7NRW/mO9qiOuugIBgVkurEnuJa rUyMJWUb4TbiSKru7/wXX30RxqhBxb622d9HyL4Knw86W6l+Jc5YJa1+HeohK5QSCIAK/HzpBBvn qpVhsJe18OIMQkObz2XOCdZTbl895ZM1zb4HBZUhJ7bKUMTOyD3h0AE9/hb8VMUKjT4nNfHL1JE1 Hahwbu9ds9lR6E2DY/1qAhqU72c9yOEcWH3CFKWKUDQNnweyulVkxujdEueyXIkzB16ftx8xlmBn HL3/Xgq6f0mpIPUBlQpStpMMdxh/ult6K9teOnK3ztJwaz5YEIoDkX9+TZXPR+SGX/BhLM9HtDQH fp/OASmkeokDMtpVLb2KUg0mzj5XMUFUzmdNnUCOlgnC9rHTjhMxKGwjhH+ZLAxW6CbVddl859dq 9tKBl8CWBfi8g5qmwCApCGWRIfD8xLr8ZjjAOYHMHp+rEJJO/CN8GQ2iB5alFa9aMVIILYnvHgzj dXEcRrd11Z91dbZ4AQEN4UKDdPcQpoqM8Fxp4wsNkUvB8peOxHBZ6Zs8tjgoCiX2dmldbT7/rxID XYkr8M8lPzWG0PmTWmVQzvby1TlPsp9YstTTiQWsBQ/30PoT1mSIApqJkrQrbY5djneSxfw5uk7m w9lqi0iQRvUuCBx5v6vZS0etHMqQJKJhoBSmk+csYYp3QOZ2VD4fKSr6iSXzJnwcLKx9smJNRXYm ECerxnAS/G41hkZ5OSnc9O5LK3T/HGZLnOczegv13KkAyAoytFuWFDoyGxzzKC9mBKWu7MbmYA7t PzPpjxWTb4OO99JJ7uJJP/l8UBe9rDUJnU2loz5XoXYLt+GSLzFBxSQTquDO4gYXVkKvU0dW4P6J rryKVlomGr14dY4o9BHkKTtxuQuHVpALVfxSJ/udty5ak710VOkjpbYRAzoRaBFRApb0FtDjTNrm B/wLBapotKA1f/khOXAAxWVIgkgrzeqiie7c2IaAFQuNfTZkW27oHFiAAgg6bUXtOzobEuh9uPgS WJzPdZdVRYbm2PlOFJDTFc4vFPbhN0UwBh5aZdlgB/VOuGfZzClZb/rP/rxvQ3pm+2SF5kM4YIAl cHZWL911cpOHqQAy4BRk7B74gBqCCQIjPd/RcTFI8lKhCW+GENQWqSRBNkEhF77WVxZNBnJsBMpW IUwdOKA0Lf2NE9/IVXO0NfkBwTacVy5hWuhwdteN3kMz0CaPy+Jme9Alv+Y6uxja6iz2XHyyYnSM eVbsdEdbWYmAhtTFjNznYKTt1WQgt6lQhK6O02pUkwndQDM5kQ1RpA3CfaCUUXTU18bng4V1S30E hEKWa7TneI+id4iNY6q64/dGuvrL5/gaNlWdxXRNVSizKDv1XPK44y3JnKR5g7IDNuPZ+Ba9VqCM ByCok2Q26U0tf+UA+sEtSCUjG6x6lfqUAHOvm89H7l/fgCvsKPgp35mKiu18ZRCeHCPTqFlrGBnL qVs9r+DZc+AIemVz9zRC23wIbb1lvP42cYmTCuoinIWG4XTNAkne2jh5+Uv/CZMppI5sRFwsL/QT ZRHy/gPBZz4fyb3ducI0b9rPPz2v0BW003Jgs76t/Hn3ZfnzZo+P+XPhAaoQK7QjEot0Jy2Z7VqR hPGhf9a5rDJKpIYdpXUG5XNpIKMAizUofk9wD27pwHJZrbusCixgugGyM5+PDqtvlx30JCAj+FSQ A2vQizXu6SrFL5N5Q1JYTMtsJMC7bWHFxXYjjMijgqMn0CezLYtMthO8Q9GarK1ZK7Zrgt5cgRMe SpREqRV76WBhLTEiqEvJ6rctrEYKFhZW1ZtGJaxfQcMJZk5kdtdV6A9KqaGzxcZt4lDoQXNFSBMF FBKOPs1VbBC6vC5T7ujr6tyuaw+2BxPE+qUtv+gHB1UNUD8ed/Vurxwc7F1kCLmntW49nJNK7dKU HaLYHjiEInD3g31yB8IS7AIfc2gRuuUbLk0OFvuWUd1pnlcMcUxfSe3AwCPUOmcw5JXROsfLLJ1I UKVDBRlXR4iCRo5eMeoNV2pCNlc5MAkVKGch7+o+cKoqEP48IgFL5PMRlPZbRqFu2UPeqQr10CvB Mc1FPMduxS3WZ15OtAEC3cL20CdUxT5olfQ7OtOmZiADB3EyYzRpc6MBgWcqTNXKXG7WAOUze+kg H8zWmWd/AkGGYI8F2vRsFI7WMz8gmKy7A8m1KULtXG2OHPuFZjrN0Ydbai/IysSNAOjfujgbd4BN VxS3C6BOMZc73iyHOSWznBNRnT9LeIZEFEiFrEKGz4vrUalFH1iGQsuN4dWWQxiKZd0wflMqkj9I o/gBQbXhV9QAzX9YLN/Jik1DqfiSk0vj1N2KVbGmFF9AJKko4ttkxcLojmdYd7xsN1RQBjWH4aXR m5D/CQVTtIrpE+Fst0y3DX5T5PRIjB9r7ydUQJQizFo68QgFbk+OuDM/4b9IBkwKYz0disA81Lxl oJW9LYEuvRkIq8mcm3WshttZKWHoHmqAhjFMgHikazo3zgJYlH4G9AM4I1Zv4E6PBjm0i4hm91oy laly4B8qlOyi1rMPBiyyjYGMv/L5qO7+rRMLA+YBcITPVRS5i8o1VndvgqltuMi8qWrXn7lKu/hc RUiZ1kxKt7Y7ehULXOVcVfNL555X5A5ddjxDO8P2ANb40/Kb/lBk7JTGwFAtrjxXYJoUBIa/zuQH BGnOte4lFBC9xocfmAMbUdQaEGOpisLCexH+UWKDW8utoVqXfRtGwftotg3VrOD4lRGeJUwiAEi0 pbKKA3YJpomnO17Zq1h72Uv/JfLZlRn2fBfWKGVmKZaszueDTfjLwBeiRBuObj5XYeGd/NwTTwtP y97q8vIo2OfZGqrzbsIwdjdlxiYFD/GfNFcgeZ+IVpsQqoDZnB/JqoQBgsvJC4KlwnvoJdoJVMuT kAYOgmBt5J5cYic24fNBPFq+Dyxp9yf53mi2wtL74G04uJy2sM/VqligiNpsZXQKbbbi6J1HVmmy o+doGCwQ4DeQXD/q2lN7K+N8RH2i40+s8JeyIysL3RcYiib+LhCyXPIVRRVaifOA4JxEPPbkBwTB w/AzyzQKfwBL8ckKPUVJRB6S+1cOXU0mFWI0yXr1yBC9MBOYikIRRD5GS+KoHK2tCnHiQi8OFJY3 LjKVByG/TXNz+jqYd1hZ9sp/JTpgZWhIKvhBCa0RPyVUQ2AqirTQu6pd/K4O6eib6YSuojAOQmul OAKkl3nj9zWTQ7DAQLSpCm1Fh7QsWeWy0QozZEAmNPxBXTqhozBIK0EhZgFUAOVATwqHgqzAVhTb XZxK0uSBr/vRMQWtPhI/0KTY/IDgKmzfV2GFDFZ64GqhsSjMhKC9lZxxAtk7ywrpWKG5WuAu2FzF 8jBC2G71KDjaXMFMCs5BuAprp1oT/1t2QSeYCGvfch9R+fyevyBYxNQsWN0Vmc9AbGjQmOlchGXx AyLDgv//cCdY3qcqFE5vrIeO5ETUs3K7o2V2mz+u8uVM+Rybi8rFviRJpRb9wjLN3Gedw7oICTQU 5reUGioyV6p37n5d7BHx6JWDTIe9+i0CIQdjxEEKipOWAcEKzUVZFHroJnDIXvgUn6pYPL2yKXHp E+3aZFW6gErAasl6POmj/uxPwJ/gjhaMQgXlXOFE1zYg38grQMpwbryNHVUgQO6iQ7XaO0eRO+t9 8GO3QXN1MowiOVk4QubQYfTRSWUdFFKkjMt8rkI6KvnNZAWZ/VPKrhEzkmXTIDUVZwQEHqNPCp1V w+LoOSHMZNOkx+iJo85FaN6+WE2w3QEzZ1wFBktzQpfRVhVYLYVZcNeWLHPL0gr7OZ/Q+AFRJdln i2JhwNizSOCzFYbumZA1qk2bTLFHo2cTVo9Gx3Lp6xxYjaI6KqOeXcodbbag6dzAPmHBAfhKrsIx qX3UgDxpG70Xa9cLBhKYjeJCYoR1JeeLeqMSxeBXZtQbQrfR8ktUh5b08EV/ZisqvM9NpEzP/YJm lnussPBhWgy73rUVgWbqlIuBEOwa75FV0KAg64uGlLTOgTo/Wn307kHY4mrFO9s7R62voTW1fBBs FPL/0ig8Nwif/xdsVIQ6NF1SvcC1z2uA1VCqw5k1u6+sMRuj0cVOUnKp8I1smGfWa3jVoAjKdXX+ w31Hq46ebUapcGY5TfginFgbJceFZuYe1yZy0Tf6NbhqkNwjMRUb1QZB1vYJfinyfT4fT79MEp5O ugKXSjIntt8LzhxqSwYzxDL9LGRhC64mxMcmLZYOWomrqXhBJpghYxCuKlQDR6+KNlQQ0dKipDP9 2NkZBHVmw8sv85iSIwZa/fiatynyDg6kP33QFNXSpWULQOLnNaBqFhlxRtTXgtpaJrMEc/QaT7Ws NsJJvtolDlLZjzefIYtwmu+9bBW9RlPNsLnoufdn9CCdFfbViH1sWLGKuM4OOUkOjirEDBZ4yh3y NZZqOFkYIGxCYziobHxidHpewy26fV4DqQbb2/q1iugiligqixl6DaPatdSe1tSC/8wlSKQ9rA8B PTMrGb8GUS3LBYF+KuWOts/OLpiNSptQX2AVRuhQcPMnKLuQQbAZ4lr4vIZQ51vyIHwW4KiFBi96 PVxFaLYSc4DC1ec1gvI9o1VksSawITZFr+ETjyLmenM2izTBfbRIc3KzSMhDFmxw9o02mooI3Q5k jn7FQYzOpAgXyINSJSLOg3TKRRri5Z5SNe41dmoqPp7l16S666hsIIWW0jR24F8jJxxGdX7P0bl1 N6RaNUevYRM2WpKsZd4ejfdsy6hVircrGucqocdhMEfTGn/m4zRWeQLMM0cg25NyejI4s/AByxkI R6DgWqmO2itJRqzBHC3SvkntxYD1R2wCCQq8TOeo+fMaMDUjcGuvqd4wUe8cNkuv4VID2JauDvta YqXWHM6BeMZXUq2GBH0NljBLOqp3M7eCr80Grb4Gpi910M5LFVItT/aOHlYivg8eEL7dmN69hkrN mRBIAjFwLcqCdaPBwoZnr/3zGik1ASOfEwkadnMXP5Feo6RmCqkIil0yve1rOzMlsNelmmWT9Boj NQFRSGwwclt9yG30ByudonpIHKfgQaBTViLPIFiF0orF3xSseg2RuGRZNs9TJKREwD0jSlg5yqh2 pvF5DZGaQS81S7z+O5y02b7CNL0WN3EhNjk9Zct/zztXq0CdJLW6VQGqwqYUF0xTspJmFvKTo62l dBaibE7oLnsu7anAm4hVKiK31NymtiyazrwWNvFnCqKOWiCHZucL0jL2BnqGPmowSeyCcEqYzuA6 pluFJum1ptmM7Q/Gy1UepJQfJqknrSV2+KZP0mtFE1+vahIwTHe0Y+lE0HVQ6g7iZ5BWZpd59E3g FKBZrZJSsx9V4td6Jtesyr4sPGVp55KtDKEGQhjLyp/XYiZOpVW+jm6QV36gOlg9koyCbRKWZqnL sVPic6rs1Id718ubQizJYJ7M7mI6jrFf1cHz8dlyG1zRUJZfOr7h5ZwpUVxnvXyarZz3PeAGU5k2 KlM2KtM67A3Ku4XxK0Xi3ol/jOe2zRQeG8Bt4QVsnqKQm4DDMQjK5HLKNU/vr3d3vYCA7KVIRutJ xKyUTWUoj0cYFUq6sEWkWsC5vdMSgpgUJfKMYW7u/eJJdcZ32h8WlPSI+7iD2gknc69sjp37dH3e WX84ZVL5mieIBFG0wOYpCrsTAbGbPB+dTfRn4jwtmdA0ko5udTyKu43HR/8lHz1729Rj3LTlmTMX dqjPb4PWwTKLkHHbLkPg4WCaukQsN4nvHDRNdTMSk0fs553xxz/4xpWilAy6u/s8RcG3XFQqqWPc d5UEHsYDg9kUQUCZ+joCAQWHeDdX2mqt9XpNV2c62RpwFZn4g3OCd2W5hTYDGYqw1Ihxtc9U9cpB FmdoDboec1BdDrrsS0KCQMLGAbgf4zzxWWfafoq/8/2YoSJuqiPZMT7pZap5OtNnx/go0BuwWm80 T8x1wWGud7Q87qSzHdk0S729k0WjGifSMZUGerrdlqn65Z/H05iicqOxXlU3AUJTYkEnFvu8s/14 D/SvfUel0QklAZuoMAwn229lF9M7L/BwuJcRS1ujuJ8m6j0Od7DizooKNN5WCy61Rgp3pVajotCE w5CqAwXGHXaOEwnzeef6NXH16NXXfDCwIhI6RlHnZ/28U/0ajDPmV4SZs9zHvCzwTvVrhlSAksF2 yEE3TMaZp2S61w2gQAdKRZG48FE7URfTRpsnaOu5fRHwj7RPzGYnyvJqhgO1uR1nKShEkXgjkgVg eR+076DuowLTyb0+7zQ/rKfVv2sDCC8aEZ2cpigUnwR0DqkRSx5nWhGuzuUsP1INbZreY/HrXL9l VqDRzvHzMrS7aOyfl/PLq28Ae/ufDfsLGERfRYDZ9cbv0zQmmcgJptAYqv2952dRjABJ2s87w69Z yf4pxMG+aC6vD7wT/JrpWp0IP7n1RRbuFVXwPO2kalLWVF03isVHtR6wdE6vSxV2HYRj5wnBKZwA 5lA1DWyIDjIfxb+5Zuxdb/w+TZO5yrn5kw8qNDXsO9oW9JY/7+Q+RE8GT7RdR6WlMm7BMorGycSD trlX4zaRkVxOy12Nzj0i+8fET/qrZAkYeL2jHeMnqsdEk4qcTiCWTNukIjw4cT4eGJfgPlWyj8Jx dqHOCcblxEHUR7QCqSRUCcaI4nHhmz1pYT3wJOdes4yicWZsozmmDu26at5qBShxS4DpZ6eiZRSN S4Z5QVfyjr7rZq8Frs3YdaBayet4DpAfs7wyYWjjBSd0Yz7vnD7sADG2F6spHBQ+9YoyKMOnkj/v lD4md/Xrtmt86178eHpn9KG80FhOefCbKjqKWMs3JqOvAvSsiXoPx6/747BjiqOldydhWydWZvOJ BoeNTMnzagD6N6yQCuD7FdEbeuXgupN2V2K4SWOAJmZaYheNPZITsbXPO6MPF8GNDKhYciKtLcdj TlUUkc9s9blrbChkMG1nWnXNIBRAbKreI3LkQAZByc/omcs6Z/ZZ5Yw04Wi0l7qalQKN0J6raPNd vHnRK0chFKV5JdxVKjwUTAjuxAzCBZ9Y8/PO5muPFZ0WFXxZoGjlExWG5MTX5ZKnTRSTCDOeIYCS E9WnG42/U/meidpVICiOXhBPe6KribMcuPzBhjsCN6zDhp8GXlxXhYPSLu9Mvlvu3UOG46N5q3yl pP+BWtrnncj3qyaOfYZbfcNV2+YpCsmJRAKpI3nfoNQrl56vdxhnj5HmO4uvOX1hze/RKY9gMadC p8wKGcMhguhAw2xPeodNd50xV6x3Eh9+D1GHKisG6JKKd1xonsgqQEFzJYrIh/kUaJpwRi3BVDhN UUCO1YsMz6pOqLxOrxhIQZLLiSB5LaeoMG6KeUMdKI2e4Z3NhgiTwqhnYZ57TxUoys4yNjjzWJ+z 3F45CKHkNVOoIcvB8l8o4qtTPtfnnb7XHvENSxfO3zVxKts8RQH5FNHRy7sIyM1IDO3yfTXNuts5 vJP3mMmb8ar5ZT48R6iRQ76KNgWQHFqDqFa4GJ1noALxU3Z6PNm7vXJQzyQbtBZ2fjmoAlX6YuED a2R/3sl7/Is9EybNcavN7vMURuSqGNDrS5lwvtpvIxunFv+0LdR8p+7hZ1bo9L8kx5EgQTRhckHZ jZoGWGjsi59xc9LAd/AErwy9cTBNjr1HJlwh+CO1qXQuVRavf6CR+nkn7jXxlL/WE4Gj6+67qDrO 1jSIBN0TYfbvZTkz6vZEmDADHk/vITnwA5JulnefRg/JIc61oDqC9VQpRSwc3VkaG5fFuWVvE4Ee UZ931t4NybfMLzg4GbSmblJmfDw6xX2amuKJs++E4OE8RSE5cSSA99c7T04wPis6e0Vzk13LeXoP yUGU5Hpq2lMa9YudO+D8EXlLL33BPEVev6jGn4sOyQRA7A7fIeT3na93tx2sIHwQjAARLfOYdn6X zztdr8kF+CvD28gJsodP72w9LKchaa7qqLBxEXTwGbqufb0agu6drMfMhRjDk2o9o08TqsBII1nQ XEtlPyiBI7pWaogg00TMAKL9vJP1LpRgJsYGHJThrUSFYzplls87V491/PorEd4/M996wTtVr8mz m/BVM5tBadODp5HTrdMlB+28M/WeBG+K0KHRpglHSa6kYE/IOdZddeLjLQfNI9EYQmOecIKiN46m iecutqkN2nU4Dtm6OHceHw92XfqOnUBSheKrT1MUjJNRP3Zp01C+ieKqpr7PLp6Q9u6H9c7S4+HU tYpUpeNohzjAOgXbEKvp/M+z6xyyeX5HCFJBGMjP8Db0wkF617EAMwFkGlSkK5uGwKxflc87Qe9/ jvBKW5fbK39n52GWUFUEYc5w42M4WRYRZjLlMgj5DvE+38l5XtU5RxLFUGy81YKOQlgbLPpC5VYy CRCmAS8ODL1+uRtk5X/eqXlNlhEkp2sAOJy1FEBvRZeFLNPnnZnHssp30Rf9evBFfZ6iSHzTpQCM esfXu7loBV7dxPDOH+RNhHdeXjO75bPZaJ9no+apoIsIzmoFE6Ejfaw6vxLyCtQJ4cbuJr5Ywp93 Uh6miabQy9rm08J9qjSo8QJ7us87I4+B+PcsgXczAPWwWXoPxK3J7skcY02WTdXiVHeKLc4+rSX1 zsd7ZqnQU8JGD5xmO39cGXS8ODcDi4c4wdHPxdo6WXAvF1XAfOWdjUfsGj36mojpBLf/UMInbdHD zr/ia74vpp09/eVpj6Ydqs02TVEc3hWHt9k8/R3OIIb5gqe/8GeyEzwsjIvOkqs0YDla3DRGxaG9 J3GXUEmSrNtgMb3gfxUAWJzkSVjhOxOvXaR4oR8PB83TPiFRNtWj/nnn4Tmy78bhsCpbs92bLorD IZaJdT4cpFKnAwtBUjU2bKUrOOPwdxberYzvc/jYSHzqpITGiT0XkcXwET1TkrnkzpdS2xq9qyol dKtlJr1yUCYg8GJKAHbCXYRtpPMglNBYGz/j552E96tOwFbwYg/Da77vHLxmjMyxZHKsiSoeORWo Q1qAiUBUCyqsjUsoN83V7mgLasIYc20zugC2h4rpsKWD0QUKr7WmdqG8WNKfdwYewVUMLYFcBox6 WEh9HkSLk4Wnc5B83hl4jhK4QQHE2s+H3J0XReL8syaaBN5EGG4MfX74ZSSNPqkVxomKiuP0UCTS edzR8bwrFUmSAYMBKrKQ9JvtK4ip/NCE2p2wKHPwTr7Db1uUAYtkjUHBE+KCrqh8rs879Y7v+V1R QUtrjuQL6p15x7CAdLI13HSVRQPJuJXGJsJmJZPzBDRmGIqrV06Beh9tniiNCn4BMzsk1kNWIDBq hKUR4svl5rQgL+uV3+epqpTShoJMGDuzosJaDDfemajPO/Huf3p3GdEUkGU+UTFYhSK5kusukj4H fCczcKNl0QDrBwFrxYJ6p90xrlEbYed5R0cbnhjpZCysjp8YY6KbJ7AKfpSK6LD0K0oGRrZe+a8A CvJpGqzWdl53SbkGbnmfd9IdSxrf8CdWHOoFYbxz7rCgptxpazGjhsm2IY0aGoogMmqoOF61oKLS OJgEBBqOZ/QFdVIwGJdvtVvKrFmAQ9wgueHwr9Q99+Su65WDo5wnVOs05KGZr7kdw+qdbsdnc3/e GXdcGd/JHfMBRPg2T+/x+AWvEvJBlbtOJ1BuvElXdW68xroHrrx3vl1zFdOdys53tJN8E/iFKh8F CFJXh282qJfAChn4/3aJ54OkjHfCXXPHopPWLR+UrEAjh/E4lA0+73w7x8bf9YTMfQNSZ/MUVsaH XKGna7ZBzuuCVRxRPyq7V1xPYWVcSXCVcpZGJx3sc5RX8FPR104dfBysOapvbhp8QA3PkmCVVN65 dkyCad0r2eWeXb/0zPYU3qqdjfB5p9oZZtEiTQlGoWPTpid471Q73niS7h6uVsPW2m3fudfx2F7z fWfacUGrHby+Rk/wNsBCjbUnMLPXUFumQv1joRUNu+Fbo8PJ9nnn2RHPXEU76D5Y5oLoTazXPT7v LDt2Sf3CYzuYxrTNqwXvHDtWrJT8No+geu8eGJTkDr6NhsKapjgkz8p/d7qjTROO8VxXlVDUQhdY eXCngDc2KkqAHpFrPUWV8UGC3ZbC63Y2LcxJEJn9GHfznV9H0Pl3XAC3oYk+tU1TFJHTawgg0+SZ yxq+7UjysA5CmlZ7eufWPdtuyJBeo6+mAXlRuNzAIAV+nxJlQcf4B0D1H8JtHSNGaOY7tc4yNHBZ wIvSYJXMEz11k+6en3dm3a9tRxwmYIpU7rR5CgNy2oMVSVBTg8zVJTFPjDMLIdHINVBWaTFwnBXf Kks1jR6Qd6iJNB7jRH8uOYl1puvEi6X8cIHxm+F7gqiAUke9sR/MQSCMDoCzdDjzxuNBmHn7wMJm gqC8b4bXwngc2w6kw1swIK6YZZWap9mh9AYehY7xqDJu5ZSSxdnk6B2Ecg66hi4t2IiQwBX7FYLR P4PgYMgWX2jm1hsHlBZC6RKQrzbo70X0yuoBimV4PAIWfJM1wDCa0Cox3k8IHc88ksSlk+Hq8mnS CSkhjDKt+tTfw3Gcc6zOdeErNHrJt6d21tPS6VTASFCvGCCPsXBC1EvUHGS09pCpycvurMfqg1bT Wb1Fispt8/GA0rLLd3J3Jnmm7ZuuR7E4m5GTcEJfTK7rgCJdtU3X0XHRLIVIFZVV+pzPaDW6Ew6O k14TYH9uRNxFAk+nhdsG4KtKsoaqBcgK8T1BziIRqCbceHPceNr05FR4MPF4FGJ+A+mIVmnN4as9 DMUzE7q1vH+Qt2Ex4cBQnR8FVKvO8B4Vxh2WWXk+a/SUBSICbZHUAtDkKBLNAoYZGDpgC9m2s2KB aGRRKM62HQikiQMFDn7YXRlSv/zBlYHng0bL+m6XQ5VDep82UyFts7JvIATBoCtfKwY5nLk48b4V 96roUTBOzsiZcgOnrvUgMwFUOjus0bcQzV+0U+kfioWFYJZO71f+YtgrB8ET7dJ2osv4Qnwn5aYK 4Z4sa/Wx+QERv3V9hU/4RQfYPDZTEXtzoFA9oH9oMwUlRKvUjZKcTtbB2dJMxUAVmfeazzhHiwtg Qlxp9YlmC0FRgkSbeGpiXnkrmpxjfNEfLc5zn1M1i0urSs5hnpt0CPm0J57/142nzYeS5rpnVByO U6dOJHLByIsvqUyLKMmwbZAoEBj0mMMpPRUVWDR66Rc1JUBTEBhsyNMK9QPLXZrBAv+0xj2katYr B5EBIU+js6Ew0CbtKvier6SO4s8JCDeeDzbfnD+/G1MsbNtEhTVyTNTJH1kaqDQioul6Qd7SSAIe 9DMgjBWHeRyQqyWXremU+6MQAswEmBs/0Fg5t98yTA/akrlT9wkR/xUknXrlqAIlz16uqALOjLT/ WzprjcHMD5hx+IDgPH/QT6RyoimRb2+qvwflLvZOnGM2WnDJNlWFs8+aATS1VYPqEVwlWe0pyWaV o9VWGnhB9HkE1RxGhexNIRdAzQACQDA/vs4n1CPtUZlcgcFoNKTlIPjThDMQo/ITguDxoGbQ2teS olMUmfiapwhBTrqcG1oBXpNYR2MNqvPK/7+MKApcGe29CK6iHG+trYB7bUI/Ko/zNEHh5MUHThGl oel6gsOKtfLWyDE3CPmZLnzRn3A6FKltUG3lfKqgRIBk4PFgQc3vJC+nk4PMVr240sOonBByOA/b RFEFTROVKbwA0YtzHCO74IIKo3KR8JcKTBodJ7ZHS6CVY6LOyVml/odCBHCsQPlUuG9YUXOQ9toj wIo56XT2pzioSr5BhmcH5oQzeDximl/ASuVMoS0h1SdSzaPAnMZmU/bAEops4yJ+t3eoUMUw5OGI 6uRTHarSBDYo3opEhRbSFBOyylASG1C+Nou0c7KpsA5FbaO4JJ7mI4rMyQGCDff0QZSpAtsUM5PL eDyIzMedKG49GAesW4UaUWhOyx+ob19RntFc8Al4aF17I3cXxxpRmXwZR1FIHY2+olBbgR0WjihE 02TSUkcFr0u70NXm1VxDiwxf9Benc2Si7QfKxoSqVABBmYidwAgzFdbJzfXS4gNUa9ryM2r8CSHn T2zBOQQlvA4lB1o0qGA1ZRMV1cmzWp0zGbiHViU/gziDchZVGmJvnECgZW3PzQBm4IDIbgiTSZLH 1wRAVhqozqKGZ+FJgPW0EKOJad87Hg/qK/070wNbCgY8PkshaoXYnnN+mFjIGOkCDx/HjgZBK2V6 IwrMTezwnERNI28PzFJagNGNTiBrOT9I3Vu8BegwDaR99HC00AA6vPiaqOfCXbcl7r4NVXySR8g0 6eobG49Hx9M3tofW5OnCDEYUlGM/Q7xgWbHuhM7J19Ju0/F0J6W1ZueIgvJpgjxF5qAcvUZeYbTX GEJVgOizYoeCztjCXVtIEJZ40ST/boSaKmICobp3QvNN0W5ecid93PzHkUvG89Fq8jyP+/Ns3TmT X3cjiskHTU2S4Jnsew5PXhqtbMQsa6sZbWNEMfmaEgoTuUajJ8QJTrVo3xLue2IPNZALOBMTfKC1 r/L9pnzBCMHj8sQGsdIGO4vrlBF3PdEFHo86eN9RAVLT9WjPjBA8zpJmGrs4Dyjdqy5VVwzpsFq0 WYrA40BxcxVp75UybmcKIkYIoZEMF9ZWJHEA8fOz7qG5Nx/oOEqq+JpgMW3xyerS0H+sjFlEivnp DSdTWCAvftPxFDqn+ciX2TKiAjkzkwGfLaeVCUSHRHhp+1EFUmrIiZ8UBQTaa5RQ5kjhfayls49b h108jUBBrjC9TIANJ4tnVJi1aUImhe+JIif0WQrM1DBgLwhPd9a+4qiTwHc8HyFWPA8m2Rw6U+Ui VkYYi7MfvKfxDyBQ0ZwbPMf2PYemtAoGI47Fl1Upn9GBGAWCroXzhKovBXsy6oJo15Nc3WDg6LuO IeYIY/FGlziEMmdAoS1LtKBhyot4PXPhA4KKQftFwDuvtful5Y8wGG8C0hV17a6385K+trvETTon cqbiErncB7NCp5lv6HT2MsTnSd9aA42WLh+hUrq6yJDKxCyZfYKO8ZDQSRG6JChGQrOc+VGbDfR/ OnntXPD8fyqtYAOkenn5M6ySyy9c7GnCNQs7LVQil+M6SwaNKmudn/SXnOE5Mr5Gq5LnVDYqfkM2 XjAHZVTA/vNiEzldA1BjCM8Qs0JE9Dnptg9K7zKgaIKvlIrHg3nqv/SxgIgD483nKQrGiZwATtEs eM/tjTXD9C4BHGrp3bjzFNXJpe4Pdkq6o5c1gQvz+w5QpdHkTEV9qzKA75isQSkPrvbKwd5jurJY EdFg1NfdaQ+F2mLB4//CGHBBndBp9uFxwQwFVirVQla9Z9Ssrmsoj2SupwxpVGlkhXROA88PB42V K49ZE4oqSai6EyTk3rXziGpt9CSe9dLKFoUeZlgolzjtZDTOQUcNNt4wKnXF45G0kecsuiDP8b/b pebPKBynG9eJKpp3FPjzcqJOeLKvAFR2i5cZ1sml1JObYIi5XQWokxEjnxsUekB5IC9zv0bNbtGr N1FI1Nxk7Y3/OqEaelEcTBa7ngSkSh8slYnHA4zBr5JmThugle7BwYwCcgIhJoJZmyeU1CyEyswD BFrBWa95igJy9QhOxGj8u0ch+mRzCc0ySdOdcK1O1jTn7OhmJCDIC0SSvS1M564Z8jmL5A05XxxU V5mNpXbgxnrF40G7s/3SXC3lu1owwyI5SQm0wTCeYknZUZqjuVwmz0jNU4RZ6Qo1oYl1R5sn6Oz2 BBgCusLnYRU0F85eAG8Hu7MeQ9Hnc0YBOZTHCCQuPigwQFigcuZZ2Hg8IlH7csKC2hDEAMbSZinS OWRd2y272J3ibcMQSkoybCVUSFMyMJhRhdwILrko5MxlXoPBCXJqMhX7kuZolt4B80cuWEbZ28H2 5FDPKCJPjMhdV5Sgai0m/Aw8cMrgawYR+eUkiAcEPYzpkeYMyZyJXPO8s0N+ifvwdqef4sj/bDFF EfkSGyM1z/LmRWiiqLLPnlCJbq2ylLdAVww/KKKC9WVstvXGUQ9PFtf/n8wxZEolEl7Pdv/MkMv5 m0OdkAQ/Z3iEWCGeeRZnSyP0Y92AyB7p+VJbdHqxYEYB+ciaHtHtNfplh98ZODcWC2AOKwg5uLRw 44YCDUiZTnEh1n5GAXmTAqv6U53bVzK1Ccw+xuM118+MquNsCFnRV/44J7vaV+ZhxnTORC8SO7BN ekFT1VZzVv4CF0xTFSqsqHcH+b87Wl1l0GkIWlhQWJlnk21lwsAULbiuEmHuM7WK3jgKnwxSN30Q aCX1JjGodvYWHo/iTA8LGGoBrIaGgKZpReF4BrFu9OQ4g2E1J6TCdZTqqXD18GlFoJXRFF4mO6dS ulHBibNXJhOBBLyJchRTYVQ0ZqW3kjPLTLtghaVxaGcOQvxscOBhaUZTrBWP/0vWiEU67N5y2Zwr rIwPFZ+WyxppEajgS4ilEmH0bjRLIWjFDJppZ2yjn+Jog7TKhjAce+C4yOMJKv7I+oF1v9bDLD6t v+vic4j6is0+dIpXKN+oBlALno/qKr/lavcP2Nc+TaHGeKPL1H5CzOSx+Jkw+7fwaPYGwopAKyNZ 1SkNG9mjZaMl9Xpmo1rFN9GTEC1jeOmQTZnpPu2R08m78T1RkY69zaS2eTL09fnQCnMurqbFx/+L YAhStpEv7nBFkTjX4Lnhhvej8tOPKsPkRlHKXIZYWSF83OyZ7RTPzykOaSkoxhACdbbyOUHUDi1g bMzNFBhsBIsJiKdbYWWcjbuTnkg3ZJntSgW8IE9lIVCsDfEqt4GA96Ob7EQ5zCYqCsWnHEgYw/6f pJCL1QrKlErWRD/62uGtkM/ZpEDTzJ25PdfdWEuuiuRt0IORuV2nQCMVNyBD+kB7pl45KNRR039O aheA+/Ejfbe2T6a+CbHEQTLxCcHWu9E45hWY391vnLmiaJxEhrMrsptEFJKQWICyrUcZv+KUhBWq qwyDOxsioy/PWkYvYLwoa5kAVKm0cF4N3WD4mf0UXM1mHJgozb4iyMoaRsjvPlgavJrBD88SxuMB YmV/i7OjKTbnDQtWrDs+HvkClJ9G7clLmn27mcZQ+QnzFMbj1WAYhhpL6xEeR+xHEX52zfG5W/AC 3I0NxEz06B2GkXXjheoqVEBmZY9DcopLQQTLNDg1PB7pF3zX6VD63kCq2jyFrE6qZNWHW1YkFcJe sIQxNnrBywuaK8SQi46xxnxGK2hC8GlOg6sgzu9TZEXSrArIpyWPB66CLAtf9BcMg1BPDKDxMLSe C6DcH4pkVTz+vu8eyjnjTIRBsIPziQpB5H1ITNuFkM/ZarIhFeIVTt0gqJDi43GN3FSfUr+jTVQB 0wfVIYYGEJzcwqsAL54rmsSV/prsBhf0wfnKASmBOmJrrTuouZlgiKacePA9Iyn7b85UTjwn0nPt RRE5dbJmqdOlkBEv/5jAynRho7bY+eJMxRLkvMpOIrTvaDMF7O/5NLLwxgkUqiUvheFpwXVXYI3n OHLyElaoQa5WMOD9NqiHd05zemDAP77i8WBJpYsu4BlF6+zz9TZTOwrKaQ0xYCvs4F+xq1GqS7tk j6OAy2EctUMRcoOy1jLuaIdUQgyJDIZYsYquL/mKMOk+Iw4lqYeouCJL9B2F5Y0WUqWRr1iYY3NN zZSHsL/nH/F4UKtr6XumAJyAX4NP1HtcjsdY/O3bm+f075NWluF9gOwBJM5E7UOVFdlI9KbTnKMT FsHEK43tzgXbDVqEUfgGtx6ebLTIsluPDgk7RJNXulW3XXwwjn4hkMrU2vd7YC5CkSaKJzeJU8+C CtHkDMzTFxFvDJeoqyk7I4hSzpqnODCXWnuy+kF6CLANcmsw5EXEOU6UXpO5bTWoRqMfj+T9ugoP vfGfxbo17yAsRkceyVTm/Aqf/d94nfDTpJmDTVPI65wUNFrNxbXPX2TTVICVtxOqsNvHaQoRK5be MUix8ZY00ZNHQ4TwujWE64HHiuoL0Mq6xHPqY/KVI7nRJfJG90FB1NndhUgxKATh8Ug0+pucgP49 LmKfpz+B5IjYfDnhVLPaShmuZNAbWhuapxBIbq2Ebq2E/rQSzu4C7XzLpfpcb1xBoCs20OMLoCMP sgdBHL4mQvbUbx0DhBDE23coNJHQAycDPP8voRXGW4CRP63OHcXk6KmyKezVutymK9QBjmG8qcnK DacphKx0402ZPeeDjt7QI4NwI8EY+cRIUsRY1PBb7IPnq/ELB3S+cCTJSkMSGS6Xa7iMkjWYZyxG LTwe6fj91mNFxxPUJZumkNVZJC66HkbQdFMpbjVNU6fcI6cpFDxcVtQ0XYy8nhI5QJkdBHelwwif 2UhAcZqS57kyLGhK8preOCK/ZpTooFpjgwHFelqiK57sC49HGZ5vOvFj8lnO1+RmxzorBK30bCy8 QVSE+SxXguwqLlweYmgI7xC0IhhdsVoURytoQpsOvRHK9nQi3wzaAyV5wjlReTGZUZpC43uCOLOQ vAGKjA0qkYMHniQdmvh4JIXcvhI8HIlsvts0RfE4i2YDeuE2TdthT2fLpeuxbJD7zk/6kyOcl8kd rkfuMCELXkZW7KmyaodpQiF/wLYJ/vOOWNlTLxykd+R0TuEwZjOXmJNldVqg8i8feDwqraSvE/yc lN94lR3qj6PsOwlbcuqr6fdAtriaOwIOYWPm7wivMk29D57OPtosgcwAz3kSzpG4qNGHJBaLiXWG NN3fnA/ieyKFFUwTChA+KGmpUCWTNsYceDxaTLegafYINGm+gvYpisUbs5a8V/VEuBH4tNlHyCpH AeiFN8d6IsMpeAe5aJgXfH+84E80Bh2XRSDGObfSlsAI0LPguBSaI+DgnbJwWdteOuC/svzUEPKA PIMEhRMGlZslshZN7fkR/2JvyAYXQCrp62u+QuHDJNhh20ZLGGTtA7dSGjjinC+UdtwBIIUx+RYE aip54WgxObjP6+QunQSODugKrd0yYTOIQlCJeqwSsr90pI6B1VBVaanUm1ZDOIGUqnI5PZSisHwv P9IJrsml0wzgkoap+Pj+ZCcpb+5pDWKIpVihpfDWZYN4ZacvUhg7uFc0T0u4A44OsusJPFvqZ8EK sxeyQWfJmVxYoFhB6nZdZBYQ8E3RfLGHXkVgRCjUxUpYAMVLF2osfkAQUF3BI4pqnCmeEIX1yYoq 54QwzZWzw+yW4K1LWrYq5LWRAcO0yYpNOrm4oMB5RyecnaSuQvWSCd+YiekjAA6bmxFxAlJ0T/hk nJCiEF2cvCqQBoekEAFqpmIrjMHnoxP+u4BHqQQoo/pcRUE6vFtwxF9D6kJVNB1cY1nx/CwGimVw rqLquboxa8llUuPFJEKFVDp/cG/PPUseCsW6E+gMiik4T6FQl5nfFJmWDG3EO1hNuDfmFecfR+bz QT7zUIS4DUmrGONZWWH9vJKhQI1vwu1IqRDcbvda7diCioof87E8OW/C1A2J0OuFsySqqMGGkibC J5CQUdfJATLxdhCrgRMSVTNKStteOkImsjx19qEPWlmzAkzG63Ho+cDS7Apv8/0moUf5epqlsIg+ 6PjGk5s6UT0zmko0w2HIAJ0oyrbZZEUhuxhVr0vrBEYwS6ObIKTChpy+4TwBdjrKkEU2VLoTc7OX jrTsOEuVyOAp5CYCDxSeTQ6jZj4fabStX0urAHb3GOWlUI0ls+OAlZVdPWO5ShshYoIGo75v+zAs pSt+GmINadRkIT8DC73Sm+OcrhP9BZxZlB8YCAh0wOvMKrXZS0cs2UT89GwaqvUcEvY1vYXPQ3z+ X41R+scS1La+oocoeidG/vzCzW3gLhsNWO7pBsObuYHsFyNNlprMENa4aN+ddvQ/oc9FMwVEU2wP zkX1pPP/qupROkxRflQp1Cun7n1bbNBwUEkPEhEyFgShGM8H27Be4CuJ2kAloRp4ZyusqDcSYXZz jMtWdojZSjlbSnh+v759tqKSuhWKp+Iojb4Pz5F0bnZ219EVXwQiw0b3fBkFWDOMDJ2wR04xvigo WBV2RgdPeA6arJOckVzA/JDP/yt5ZvSMS5/+MzZXobEnb9HRuHo5Vym7KFLt1DskHkjMKBlWxkgX gRJka6LRiVbnKCwg3SJ/XrVt+U+c9Od8GfpaP2zOeFjaur1ztAsl5JqHD8J1dghiq7k1Jp+PWqT1 K4OesBgkRcamKgrhUepmTe+6fDdXwm+JHQQr73lymANzT1hgSBBJ+u0aHTuF+2/gtiCo/iSHHpGx 2w18B85iUwEkZjHH3p7ZrsDkg2YqlS6OLjDDfD6SCPa4gVQ21oT6s6jC4D0rsMouOF1kMsigR/5U hVLK2YoNObT3XM7aMz+vMj0ePeEHqnqFwKAEfpIBixstJBCEn9+q36kqzd45mCpm0GSTcpBi4kkB htLZM+118PmoA1G+jnaBpy7AMwcGn1D0TgS9tFvlW8PrMi3xBMNUzTLcjzF0+JRQxoaS+x2N+NEB DBpU+Zm7gQtm9uAQeeiwtiYd1MyERrNX/kOsFIqnywcuKnhlynXpXKb1kwOHz/RVNea0otiwrwBC Di0+acg7ugoypNDW6SrmmeAtqb2zX6yZCimh2U4odW042qIaCeKAKMLA1XOsMaXfVvO58ydZSCtd GBV9WflFEd5sEo5XBM4r2RfVWbZLi2psPh/0lNetYingh35JfTZgpGVuPnpj5R/3DzDAJ+j429Wk 4H/iGzAM243bTxVsG21VlQ5FMjBkkexCD26LygdHy0neCvrXjqXq0945QjDSQl5uOdvdcs6B0UA+ ZZi1Cp8PelvV54pgqomq73WpyqHRJ0XaATUzQtHZi6k48WqwuYU4lDeW4tDQ6dOAiQR2++jBwmYb gl3l8z8SUE8M2kFbQ43/XHwl11v0UyEr9PqUFQwFZ23Q8jirNzXhWabeNNKZvOuKhQaYza1LEM2B 3ScynC39A8ejV5Y1WJU5x0+15LkiWpA/Y+D3meUfAtwLJ0Kjp4N9oBI+pb9VE3G+QFrToOKEB4MA JfdLh72OvumvhbV6Xj5YHApNnKX4vfL5CJPevy7BE7ugnPwsrLDiDtwAeuxGmBljuVz3OdhdXgPK k9PnKiy5K8HBNXpHh8CcEHFVcAVRaECNnUUJ0L1Q8QPhpK7L6Ss0CuI3BZYCm2y+wQiLg5Ad9Ndj DMBCQ+D7iYXpYajQ7BU0+udsjyJ2CodABi0ZTG9SgV0FLIFlF7mqqARoE4YgGMFeV63PaOuqwAV0 F0pHdESlXWr5pVJqDG9bEHlfGta0l47aXZwrXHQ2KLgCnVLBVUUpOfT+rPW7kZMrfWkwtTZbof0n 5Udnpu24uKIS12AJi7UzHVlwoVedIfD/dKGNhTvvjj5bYJRBHEn5zbkqWDUCeh7PNO5blrCs6dXs paN+zmAayJXVCP//ofzRkurLTz9pOp+PZBW/3dAaoofHIiaHDqCTZYZC+Yv/o64pDSxYZuiUIJfI Tb115NgCtMsjddvJtdPNnHuiwh/7FA0ZCRR/UMCqjMlY/MMruLobG4Q59AAt7FFTTMQGHe8ofav3 dSaez0dk7V/465NLwA/pzlWo30KNKQquaRdSWcTY2mVbGbnzGtOJFXqAZlUXVlHezNFOrBMSwONk sjRaoUrIlvPM9JeF0exP6fMpjdZkLx0V+wSFIWJ9AXdJal4j/6uqR7E2PyAIR/O31g2tAqCmdmcr RMXgoBm8k1QaTcLJbipYsG+B0mhCx8hWVohXH7ay2IHW6KyjE/jP8zuwgAVZ2c3gYuyFHTtA3K7p yucXCuXwmyJKJJ0rKmeLFBOukPOT5ERcy9kTetNIefnXXYhsZ+ZnrkIHIqbOvTfDOJ5/HM1i97Sy 91U7txhj98AM1KyigPWwbn2+cwUll30CBvJmIMyRqpoYBXZDUF1EA2rfhXXfOZgq6pueLJPFBtAE ujQ4mG5QW6KtyQ8IduEjokTdY0QOYz8haWgJCm0HauKtC5ApzQEyNB6WE5EKppytWNTFqnzGuW1P 72t1aEHh1+sQ5apDqta4vqDMRfP5VS6xRjWZ0BM0s4w8Ctv1HIT9QKV16qSvjc9HC8uPd4JkIM0F Fzqfqih6pwj5mZ/skCsAlmxhDcl4VroR1eFTFUotSi2irLnuaDUZqDGVNXi8p5MiTvaEMqW1fs51 uGHbdTWn1rRXDuAfpr687qCw4SxcdtMxU5vP/8u2iXfeWdVzXXerHPqCUuphtDE9fe6S4hBOZpvf 5fm1b6EvMAZ9ZE5NBp2jbcEC+RgkntqCTaISSAMzOe6ofyN5sU5OtrkKoTKNOvqE8WHIzO1qJ76B dh816U3/hcnG++G14Ph55yoK3dnyOTlz754+NzO6PKH7pKRC4YIepoCeA3NQpDmSGV4GEF1PqQHs 5NKTRAHWhOWzRAHQoYeoIAyMPbximZ7fE1yDi9q5W9nz9uz5nIA6agHJznw+ki35rQogVdpLAcyh PyggS0gJs0Nm4ApqBayxTM4aDcJafF3FjFIrthvifz8+z+cPSjCtaAxGQcFsCrBwAZ5/jy4zRKmQ pBCoVuyl/xSMnzJ1hLWwrSvc1/LbqXrTf5FspLcwfs7Wvd3U0CSUBaBZ3WsAczWmz1UZ7n6Z9q0g hy6hwxiAUxQ3jo4QLecnB6ObHnM1ZVFQ14ms+k+HIHIjvCg/+PUcuoRa8oyM3wad6ycuSeKVstYe 2IR+q6FX7kD4gj1d+tAnlEXvMagbpWLf2nZaVUgWWVuiQWFWOzAwCj15uAKGTE8BGx2vNhpABkye y7lzgJBgAQuqrGOTl5C+mO/d3jniuBHQB+VRG7QFz2JpxLnAHpHPR9JmF3QstROyCu8dGJuFkuO2 iAoQV5mK5GzhSHmOfYkEQIbC9tAt1Lk2gJn7aDsw7was30a4MOD3O3kHzrQoGz9RA53LHRtoV65v inagevTqd1EOhavp5Bbn1qBa3gmD+AH/kqgUd5KgqK+Ke+gaSn1hgNmNHDFLawY/PulI9+naczef rihuN/80aif4eLOcXVcb9LeAS/0YVHxBVxWSw43ygHCwNFEqdekD41Bv5FA/5AzUdRTO7yTsmycW EPP8gGgffiPaAexdqHr7ZIWBO6WOWu1OXW46vCbFe7tRbiAmWnyyQky7Wn6wob+jh6JznDwP+DKc 7hULTDAsps+wS0SQ4oS3TH0EflPk90hthSpTJ0pvC7eGXvugtNRJozM/IcIWfWs0U3AHi8RnKwrc CfeAqr8Tc8tqLnfW5aeCMlbvydimOfQQtZ24qizoOPrSOpffCWVoPTcQGuxkXoZI5wqVxFYvt5Zc /aWjnUh3zE2VKg6GLTrrtiiVRnk0sBE1nPoXMRcEhPmcWmHdvZHrxl+GAD81vVnyq9W4gg11bMes BUai8N6WnG6XRhxHS5+hb9VPeJtUmIFZS1YRi8pnjQTdB7PGn5bf9Jet2rYpAyc9y6p+IEHAahnn mOUHRAICv1wvkJc+FMEcmImiXo/AYWxHQ54rvl7FwWU8cJxZcGLUNoyCdxgockGZZV+9Mp/ggQzo QbHiV06OI7es87U4uxpP91GvtRrNXvlNwTZkxW/INGy4aViDrIaksepZpnw+8F1Nv7RgzgYggdHn KhSDqWroFEPaTnWeVB0lsEwdVdJdtLDC4H3pqCpW8StPxe+sUNTYubDoOF6KqlgC+EGbp+CKchSW 6u6ho2gn9xTlHR9Ud0/N9MDbXovPR0Wsi8IiLCYTv0lUgs9XWHuvRELNMQ2ZvNWhRx1rsearXiHq KjZfcQDPeWqSp9BoQCxUaioM6gAeReWVdstQokE623HsnGm6DbAsiF9gLHoOLVZl6hCSG9cFw61z yq5EJu0PjjJ+QBA+/JKoQFC8IHJkkxV6ixLyCR+JyzYZ1t9BCYVxBJrQ52fzbCcwF4Xio/nzSKeR ozVWz5+wMj8OOqAdAoTJSs5o10NBNz0eYsVfOaI1EyYjzNp2zFptMNdQfZTAhsBcFJnhtzQMtE8H xEZ9pmLAOyV39/S8sF87bSgpeWWm4eS1mYoieFMDL1v+TxwdLZNqPQECtasKzqmmgleh4ATPLRQ5 LS0cirICd1Htd3gZTXM2wtey5LdKZkCLJsXmB0RiaLc2s1VX/b2sohAennQE9d0kOnm604wdQN4J sylNVhjCK81JdAGx0RNDHEsgyuMyhEZuUr+e+qyLYvH7AaylYq8coUDIhltUa4Tkgixozv2KBi7L o6ksfsB/EYnB8T721QXPgckoWoXEjc7iZFRJ/2uqeMlI64udB01VLBPDdQXdgDtewleDUS2bFEAU namS/AcqZZPyt+O62VMdgN/zB5XpfKbyQ/oMMYdebLHyIgQKK3QZfaRPRF0dFL2+Jb/AZ9ROmPMr VDc2astaOxWMYS2wswGhCaGo4Q+jUXEnhoL3C1Ds1HQ5IWZv7KqeZKTQsBae7BUJP7Ko1B4nv1rt nf/wage0ZPqguQJak9uezpA5tBr97a6CRXquimeqoshdsmhMy8wDqi2nmxArrGgUyqZ2CQZWo1fY 41z++Y53qrrdfNB0RvIrnHsiKbSirX8SlHERM8pzQrPRVmVulGVEgztMLlCtLHbufs4nNH5AUEpO 3z1VnAIIAe9khaE7VQlFwTatYu/WA91uFIo+r2FWDgxHXYQXos37jjZZjXavCKeAa0CmwKQQlw+D 9nTWLiRIvVsvFEhgOeqSVudFeV4VsGp4QoNBweLm2RCZz0dQrF82bJ2Q6PUUk0PbUdJ/gZlxhX4Q Li2FHi6neva0uvWcrQgzUyXeSKUOHx1h29KZy8T4qkDimY4h0LpEFkyiNI4wVyze2d75L+XUUWnd w0E9igJZFB7XHaSAwHn0GzdKRxoKd+Cmtsn6vIZXPTOsQya43GFsysIAZupdeG4iG7bji16Dq44j l1jIXK3oV7+KfudOPNF7Vv8ZmpBSAKOd0UJVa49rFbloH/0aWnUnhs+276DDCiBzKbO1hadfJqlD VkEranOScFjvRddRLuRohthBqaU1g6sVemMzu+lMff6PNwRUlnSmBzPULxm83tF5ALDV2OCVoxxz UppKGYKTMWFyED9knlKyxSC1+/MaUvVE+cP/VZeFVSPYMayPLjz9NkVo7i+bIkGHwCzPFGLAd75G Ux3tG5nZFxOSGztNl+CdgtdCljB1v/deYymsIt5ku1VhIDnafptwd9ibc1QLPqtaDfBsCgC4f8DZ sLhTDpGvkVSHLRGvPBTlbVDd+BzohbQfgCQ+r2EUJtiqxuZdAyBtYhUUM/QaRGEV4Yg5h0+3IGoM tj1YMs5rmFLFuUaq7bPXEKqDLuiOtfmOvs8m2OaDSntSoJFBW0UfdYK1i1vPZggwHXzL+wwh4EY0 ANQjggI0e7iKTlgu/jxwfZ/X+Al/rcns7RtpQo3Wpug1eMJDqz+m2k3a/MvizLld7xrGKhaSv4ZO 2GjmvLO0QDjaFQfeEGg1CJ02OeEEVY2BiAyMSrA52FlWV4vCca+REw4jSp6go+GDQYZh9TZ+rAP/ Gjd1s2rmlMgH+KxfiAprjl6jJmzPqVxl+BxlUgwEVNjdBU8WG3v0OQzmSCHjWt0s1/p8YqbUABii DwYMY1BqYcwkf1BQMFqpDtorSWaswRwtRkxItjkgemDHPc9mMlbQm/m8xku8nnwhsVdxPgWe6DZJ r9ESjnmSc3MarlqVRs42SaCw34XklrWvsRImSfyaXGTOzvFSIeoGrnszs8vmzY1rngR14HTIOvTd xtzuNVLCbsvstjdrZwEIQgLS2gVbmt9Y++c1UMJxZr592m1QYpu7+IH0GiThyK4EU7ERIQt7ah3K eoakTaYqczpY9jVEwpGt6HszQbbRrrUTx6QzH5OOKgh0m7VkoLcG4BkEq+rVQJsUrHqNkPBjTKHV 2cba3D1DKf+JAzoFJs5/Mj6vEVI36RpOiiYUXtrLl9JraRP7rVImsy3vH5tyB0U8lNBxKXXPfV8L m/1xU/lf35l10l24yylHOef/qgQd4w5FYXOd66k9JiGFHf7Pa1mTYRg53ihtLUGTFRVN4NpYpcvQ Rw3mqK/xNUcTt3oq0w+l13omlm9iZ13oWIaTvdsk9TT9UBoy3qWGR3Rwd+/u7Tte7h8sZxZlc1ZP YMazUj5Ras4d0ipwbbmoPKoSv9Yy+cJE8cv2uKZhQpaAIks8s58t8HktZHY1uzRJRBET8UILSIsj o1B70L2+sMUjLEK6gjDtgeQtothEkgwjSWHLTGFp13ojyZMYDqh5FeornJTNGKVS62/A9dRZL51m K+F9D7eTySvsJEPRZFkJeWRqJlIj7p32h8VoBSctJ/ANKApl8xQF3In29cBT2HLKtbqQ5RzZxc8q FVRFO4rmyeBSywV01iXAA8xXKtRxeVkioGSbqhG3XFB9aagRWrd4Up7xnfWHBVVYb4LLng0MKOFZ 2tQ2Hnt93kl/OGWSH034b6EJv7DZbZ7eg24IdrDeK8cwso8oQs552uVec7m54Oc75Q+fJOmcbOaP HO2eO5H0mZFJvQ54rkJDnbkbEdOL0lro5lnTZQg6HExTN+Z72j5omjDpU931zceDiGnU78AbpWPU jGyaosi7DnKTxnBsZ13No4GZmkOA8NM7BCg4w7tpV5bS73g7xPCw31SfYB2lK2ZqJkqKUAmuPa72 mape+X3bdTr2zSy1z2y7u0KdZ1Bv6lzRaLVEB9RMPk/sNpBum5tP1Hv8DUw0J2pln6i2tqvEzVV8 ok6K4hP1HoBnY4SclExGTxyt0YKi0jmGJtl+OPeqKuITas+LkjKSUlCnZap2GR5PFBtEfmp8EPak 0D1InUH/OGv5807247b188m0mADtFB+EMxVF4bKGXpLe14U3fef1xnS38s7NPlPvYbgV9tBgMdLt fqwyBy2055Qc+DwXk9WX4FvE5iFbFnaQj2xv/D5T7GAB8DZ84ESVLUg65qyUzzvTrxtb6B5QGVBZ lF98nsJAvNJzZje3xJrTOA0VtRNroqOKYjL870Q/rk1lvauWO3od7uTjJ4rMRZZYa0+zyoQqyAbe NXeqVqnNKQmFKBJvQt1tBghrG3S0wotkq7408/68s/yYNn/nvfALB1fMpykKxYXFmt1ci84eJBuR 07TY8yfH76RkzgKJYvEuymjO6rPk7A4hcIE83wCQHAODhWIypylBBmAXFrn2o1M1u974fZoomgCX Yxu6/l5cRqULoNn5eBBm/kpYwNXZc3l54J3e102a92ShbrCGlp1TIWWALWseQBZU1o2CcdrJgcHM AoFGW00nkRgFm1g1FFR2xABshDLj8gPO8Pqxd73x+zQJFoW81werM0F2lb273vLnndqH8GmPr2nK UPwZYOPbPEXhOJjtsE8xyznkLC7kpc6TFeOArdByCuNxxU+N6Gwb7RwXGRkZ0JmnvSFwpHYdpO/P bUjNl0twn1KmiuJxtaAq9IlsUI+Oy5O4FWIxooDcIT6W2UHtHmgAK1lG4XgjKrFnt1cbjUgsJsB7 GrQTOhzJfHneKX3Prku1zTs6Ov+sy4aGKXYdJoxSrBB4QZk8UT8cBXCvN+GW/bwz+nieCmvehg+K n1AdMMJ2yZ93Ql83L5N7igvDheDAJiqKx1lpnGka9hAA1O20WsB+NFHo01ql4J3P183XHQioPO54 WbUZACg6FUCkpIuhNTbvWgr4ouDy6OgNvXJQmaPdDDREqR97/ka5b5109HxKN/OL2T7vhD6sjuox lCRkK6pznuK98/m622Lh4nakK7HP8p2Z08tziBBtqt5DctHGSA9tz+hVlQxbxkSa6IRraWJzaiaS Kzt6lDCMfvDmRa8cxVDGaBe/Hc4ZbNOdTKuImzpOtPl5J/P1B4Ch16Mn7Mh3TYVB+aSyS83ubZhJ VZbzTKnuAQnpTpuoKChPAvPkpO4KR9t8mCMI4GLzQVlJsnlAa+MmaTgABiUWTYWD0i7vRD580c5y G28+WGgA4JuadbN+3nl8dsZ95XjnGN5j375KFJNLgmPU6ZEm6o4WaRaJ4SDSBE9VkeY7i89LO6gV mApVfVSo6kTIkUmLaaPhOsR/ew5tiLjSEQ+IR6v3yhbrncSHaZrMgZFMYABbkrWVdjJsRlCjoLcS heRjf1egcEYtCFPaNEUBOTtkMIbys3yntXyaWEHlcqpopWo5RXXx7vTZ+ow2TenstJRlHobfrm4G oyf4h2AJVITO9Oyvs3zplYMQihLgaAP5wEP53DfmUVtPTPR5Z+9x2d9IU+yZ8nPeY/pEvUfkqKeT 6DgMioJ277TaSldDX/2D5S5r7+S9bhZb9Fxdd/RQ8xw/0EygXl6hICbXU8dlkakHXnZ6jNlpvvrO 3rOW4MKpVnxQDarsJusL6GB83sl7+Ivz+gqiJhvH/Z5PUUg+WfetvWTPhcslhKo7r6IB1iZjzXfq XpeQJOWIFRxwtBgKrhYdxGzsu4VYXySQjORuE6ABszXP8MrQG0fTRHPazSuvgegwBJTOEAnSN+79 eSfu8cLxjedevii0+jyF9fEl7YhrOnMuI6/VUf3IMuHqRgXvtD3cQzIoIBHIR8+EgRJqWboIFQb2 isnJX9/owhVYT1htBdh8vnEQaw6eT4jkbdDZ3ZETGbScj0e1le+YHMI1kJLyaYpCcrazoXu6fJpK 9u4vOX9GGBpe+n1n7H2VVoSq1+illT7pIkYTQ/Cnk5Hb4TF29sikn+9F7yR74792HejLPghFAOKI rHn2Gp93uh4i1bG+bjvYosyRPXN5Z+t1szCCH50zFICJsKhgb9MFB+K4WlTwTtbrYoYhs5Magkab pgHPrdRZqgMqQphVqGB3ph9LdSAXMcNy/ryT9brornBZS3dQhgdSbxM0bJfPO1evG3z7KxHe6NB7 UPBO1WOjnIdTyh6QAwx48U1reKFubqMIvTP1WFZh0LQhnOyjpqnAZ+ZcQWcXnr/r7O9azVYTEzN4 ONGUp4sglIveOJomEhMWu8ActOnOVzY6SJwjgo9HZ/j+vuwKOAlpe+X3naaH5TSoLt761eRim5CA aB6vpsm1p+26d5YedkMWYtxAF/2CLpAFn30LpzB6+YKUMMXkQ/d34ALZ46nStaEXjvI7nE0VKC8b VKWD/kFSqlfK552g102o4W46aDZ2CbZwlqJQHFxaAiAu8bMtHVNgBfHfAmDfqKAI4uc7OY+bjqto GK+fo1918IuCXZVE3s7NLWmXiZxlUsUM3DZrI0wqkLxT83BVoKxyMpxGVwesWTJdkPS0VKVmkMvn nZnHusp3NRMkZnhC+TxFoTiLmdB1NyLCHLcVfI7UYvPUGwAZWk1ReTwJVrCmUhaOtusWoPAAvoCH cLIjIMBYepmw1YN+PhzZ3cYXsennnZTHzI5lgiXq1PJuCyTWUpYI/yifd0YeApf1HTgh3EOt1Wfp PRJHl50ZcJ1O5JfUn/wc0nAljU3fB85SVBpPgtODcXxHCwh2OudTQhxJjTf0gqUOgT49TsMf6N1c WMGwNw6aUnSfg/2cD5wmtOInc6RzWfA1g5vOfLA8YTkz9MSX71y8bpTYmQVRNWM1b0qd/e5Yp4HS hY7wsDK+ZXdB0L2NNk2QfgIzmT3OMlnhYvGp0lINfFyUOi/Js9srR0W6KpBK80HztLWJOWX9887D s/hU80TRG3QCAa+xeYricHLmRktuQAeBpO4olW7Vg4aOnlHL3ll4T0RQylYnQY6Vk1ieRkvlLZbG CRYSBdMh76/mXUUtsj7FzKRXjqBzDJmmYJjEIiudy4WZYmJ49nkn4TFA/d53WI87j3s6RYE4rTxO UtqWT1RebuXLq9siTOxjLaiwOJ6kxmJwVY4OwYTD4diL4AJaR8kms1PTuHaiu1K7UF5kT593Bh6P cR5MRTRYLPomFj7SU55WY2Y+H+y8fScKzwGWOddtI7yz7xjBkxu1spfHkXA5R6OVZUHmbM2RmFF5 fBaLiNq+4+22oHK9KKg4QAOhaRK2OeYdTigk3l87LAodvHPvunSQEZ/SKoaDgcPBRldcPtfnnXnH 9/wu0QF/MtF3NDRmGIzj/J+JEEjJdIqamB5z6C3aD9oIgGOGwfg2GJ15q5XrrYYDCjLbdkQ1ZK48 omjciIrwYvPNjyg28T/vxLvuMtXwQOVwziIVxQFXtDJJm5933t3/dO8ywqk9LyTznXfnmTMOfRFd sQuRpP1fht0fbOMy+i0nbEYMiAX1Trvjjc2tl2Ypd3S84Tj7r3ThVU7MmqhqADkW1NIrNjuRRVZ8 opTS5512d7ceBJA0GCOsVG1pXPdpfd5JdwwNfhXHIZEAXq7NUxiOT/ELcFfTrGHSJINmDf3/MfZe Sa7kSNPoO9dSNgYt7v4XduHuEUjW/2XU6ZdB23QnmQVChHCRu9FekQlMW1BRcbxJXmVno6Hny6he 1AWH/xVFMzIYLYIcqo+AK6NS+NzTu65XDs5yNly6pOI7caU/MrNAy4LfmOvnnXDHIt03UhxdAfQz fJ7eA3LX8k7NGD5naSmk5DbXnYeN18q0O++dbtcfJeHU6x29prLRZFmGf1owWGNjCnUDKHOeEBtW v3fjkZXxzrfrYoC/aJGds2MWXnnthFKfd7odwfHf84SbGvI6Pk9hbZxyx2Bs3xrdcNOBL7xKXdmw me9cuwddcDLBcUe/8mCJshqtw1KBKrWIwRVgaIp5F3T3LA1WUeWdasc0mCQfRZwcrB+c1papTG3t 8860YwfC2+aF2dw5BCb4M/coj8rjxEgiZ7doc5R5g4Pcp1V9z6Q1q/q+U+18RWcImrc7WopHalUF wLADxApSuDrCkFKkIShJa16lwzr+vBPtHoYGmoA2WO4y05rG0Bifd5qdJdJfC4rmtJfo886yI6CO wMPqOjWj37oKxJdcP5gOvJqmMCgnefNkwCTQafQq3VmkmVVgApfgJmkSw2ezTmI/oXzoMXmyNw64 PkmI8bx9UDTA30L9hFY/7ww7Hm/5a5r22akTi9KmKYrJocsO6vTy3KXUixMb6uhJzidZk/OdXffg C+ZWqsfRpglc3Q2jqx9ymDYEP3gn0sezsXueH0Y+4Znv5DrmaCwYoIJog6aJHEaxL+b8vHPrCM/3 FI8TDJzzbNVRYi0MyRdJm3t6waASkyZPhrnNk+EcxcU8Gdrf4PFzMs9ntPOJLAQqzsC84uRoySTd ICkx6M4OlLFzgQFOxvcEYYHipz3uIBwG2sPqCo+88XgQZ/5i/aBR2feljrUwHsdhg8yo2DTl5jKJ UB7zisFj8tGi2rgJldadntGvu8VSLv2uaq7wPpFiPLC6Aw5raAs/8Ex74+C2w7E7CoyNbNDhVIAb ls1OGXg8gBYU33WcUwTYcy8Pn3oUj6vL2CWQL9vVZvDxSjk+LqezFZPJSfb3eBwAa207Wm7Y6FVf AM4AuCY8E7h7k6uG0/Kg1m+9VM1BTmuPuZpM7Nq+g6F6hlkxtLb5eMBq2V4bZ9f47MEJRJ/NUhSM U0BmdqKutZqGpXRV5qiq0g1KgXOWIrDKUOVtSglEo2apVkgurk0pGoj2VColQWsSuPtKeTbc4lYv AEAb3xMkLaZ6u++g6i2ENaR/e74Pj0dF3/m16Sjn2pqfTT2Mxft8AHQ8w3O65YK5vVwwmVfgDO9R abybeOv/8SACRwp6gk19O7iKbzbVYXJcAaNDzYaNOysXiEgWxeLKWXBlYoBOoVzq9dOQYHAiQDwf oJ/m/FpPBOCh9+MzFRI3JQ0sdRBWylNxie5ZiyoHsMwYJtbTo2h8qgKVhryzOdpMTfxF8MBB1nKW lvN/WQfJ8FMjyOPKXzAN7lE0DuU5nmxWH8dyFJ8Ma5MH8RybHxAc5Ok7LqiQ3G2Xt9Ej/uYgb+Pc qka7P5E3cYeo1Q1lM6jV9c7wCTP1N1blpNHaehy93VKwKCvN2CsqdVVAKSgZ4KpLSiyvYhYvvB6V yJfiS6gmwcQOz6lphzY225QQxMTzwUSN9mvzoah5E+Eeh+P7kb4gkryvC2RN3Z1WB5zf6CbQYxYn 48yzldod7cY7e2zCeog18hNywlCFsOiCJEfisICI+iFVs145iAzo4zuX6gagLxBZfO7LmeX8iSgQ z0ebr/1aUWfblVsl72GVfOowx73Oykon2er8Y0UduKqygv0zVDHocUBugqwl39GpGxB52qhtddSy 16xLsB5CADs6exXEmqtJOvXKwWkO4eQOGVAMbD+ziNMSKIssSk6Y8PUoJgcp7Quvcs7EhW6jzdR7 TA5sNJsIg9NDXrAMHTFTha1/1gwWHQx4nkcxOQtWUJor6Y7OLUMvlbT7c57PsxPFi52NPipEJBYQ Xd35hIKkPaqTV7Knp7lhTkOqQ50qE0Pyg/bFp4dl8urVX3O1OJHJLZP3CENOeNdZOVuGQ5Tzd3fV Ice9jCBqUi+y85P+TPHSNPWnSQnVSlX8c4LXmtnsnFCDnqrVoVwLdaw+iPN6QORnuvBFEaAuEXDY mw+qrZy7C5BEgjL4eLSevpvCGQSA+XWYx1XyQg1gasvIPXtvm6hCz2aoXpw7CXc3F1RUJV/ZEre9 7ujksnRy1qQq+USDeKjxQjYl6MJonKP+r6LmIPO1R5CVTiO5ndId1KBKadYle+My8XhUhLpH1OJM AaAHdwcjm0dxufRBSuvbaQnD+wnAFqiWAL8TR2OMqE4+Lb0riiY52rV3ct3V6A7aF+BUpIXI+CvD /RXFcqyoaQKkWW/8h7gDHLKGD2JNlTI3Ky3Yg3g8CMwvklXdUbRdrv7FiAJzaLXglqnedqm7Gsml ARKqSw+4E2MljLBKbqzONtsdHW4Pn8U9yN5ALy9lKWMtqtMk0hVXm1dxDeEbviii5csaVHTFzgIS l9H5OZhw/aDCjOejMvl3WZNIz7Y8NB8hhlyU80e9oNHjT1WoZQAWrCzv5I2oTG4qfssmbMkwdyDP 2+emOIf7HsrzVm0KTintdqL2yoTL/RWgQIivCZCsXX4wbFKt5ZZ7JztMshU/d0bH41HX5RsD1dBc rMtDgxGF5ZQKHGN1R9SNPt1FtcrKSnLl24CHIwrLl6oFuNJszDZLZ/GcRDUtyJfAYh73uSRnQEUa YHvSxNECA+jw4mv+pFJnUhY5CD5+LlhBMxqEDkdYIr9CGPwNkVqnm+aNKCQnWpC9badusLQtRzTK b4i6gYRMaykKyVnbyYDwPKOfTYnSrmy5NPRZ9tLZtGRGxj/oihdNEvBGVCLPpFCXVDXgHuC9CsXr xVLbCdczno9WU/rec+e3hJ6Jz1MUkQ/1OsdV+027OqIu38MJEmmm9juiiFwiq6/uqRV2egWNJ8Ds z6cuwVgbuB5EyK59pe83BQxGiB6nVH3DRWwDg6cTOVXCqVkqx+PRyfS955AKgwLvsxSCx0na4H1t q+lKhsC3qvhqAp1dsxSBx6tIG+zd+OigFeQsvVBftNKwRA2XQdtS4KHx/Q4dRxMNXxO17wqBdBBk w9DlkXc2Nqx58X0NJ1MYiq/vUJyGxfkRn4nK463I33m6OQBjPeOV4Y9wDcjl6jNRKD4NAWV1OiE6 zn+LhOfsulQH5WfKgvpQEwIKK3RStJT6sjZNKJ3ie6LACekvD4FFn1RDrEDSiGqyJ1lLHc9H1Jb0 FWKi5gzek89TGIprNVGxWJphe1phpaJ7b3uO2n0sF4w4FBelZUoEmaNzpXqDgugaUqBpSTCgM0NA txRAQxs0R33XMcIcYSje5K8+6a/Oeo6w0A29R0rQIKDCBwT1gssqy6aCBNEyz+5GGIzXJlVtxQGo 1i0TIz+3RjGVe0BWzFJvxCVyqT6u/YyeB4+T/wIEjKka6NOLqtiSYBtgi0IuxP0TdI6HNXLpPk3p Pk3A8XnJIcyXvvaJDwqejyorv85xRLuJhSjO1AyL5HXqIN82U7lulyKv0wQhcZBvy+9mrGeoXnCl Fo1Gu/D2oM/g2Dyi4FjMjbqI95H/0qPXYxzhGWJWWDDY1KLToPSOKl9cJLVUPB71XHyeBldf+zm/ nZ/kMwrGKz3oe7tOeg1SSMruEj3xmN2lwaJm5ycFfAS1zKvwTRrtvjtJBNRCKEVzMpQJ1AqDcVpc FGAfM2GtlgbTNWGGlM5B96607qB5GoATC5XRCx6Pzqhv7iusEGYffpbPKBYntOfh/lD+6cbizS0T zm1VTftxxnxO0RRbWne8SQsBGORtjA6Cnc4oFLZ+cqMn8az1QnuyvXLEbzHRkOyDIgNgzoYEWFfF 49E8/eqcD8hPXkzdjKLxTDfZJWkjpsNiCwOZXRjKqCXcnEs9wyL5GAZjfUabp70LgJryDqpQcpBT xzkBzxZC/p0TdUTNS3bpjaPzSVYlVPLtsnjnGjqnTJFPeJl4PFIS/Q4NYOHwAwcen6coHidr54SB y5kbJ+HyCCrTUIqQlVbc3GVG8bhJGGRDa+bxrKezzeYGRBrH0+5AcHLfnX8gqg1qTxC49p4wnbtm yOek3trAyWKDcV1hacky3fm78XjQ7GzfXReU/uea9xgPK+RbZfHqgBXDPWHfjeoGSw3yeDZPEWCl m9J46c9443FYd3fZMZ7jpQl2t6AyBdzt+IG9i4dQ1V44OMXBHQKSuPqguODkh5UIzXYWNh6PinTf IHJggciLsVmK8CrkW4wxitOluoM1YVvalvURUHpWWDCj8rjlwDWleUebpUaprMWsBaft1EwuFn0n lxaEnBxrTw71jALyRARGPlGAhqKAHETjrVJBGXzN/wLQBLJvlNs4nyGXMxG9Km9K6YYM33StWz2K mpmWtsxQ6pDCT7sU63U+ruAbbr0nES70Iz476xxV0jjG37UZwePkuk0Ee+OggUe9tdmpT8dBBbpO ChELdJuPRzgxX00q0CEHvqyyGcFVqG0Cw1uXfyoyPiWsp7lCLWTU/WyK4nH6+54ziYwZG73iCzXR 3AhXge5XJvjlnEG4TE9ij64uVKud4UKo/Yzi8cb0F8qDHHDUSKX23HaJexCt+c+MauO5fqfBKKX2 Xe9lF5I5AR05EcS6ZE7hegYLvqNb6WkXd3+bsb6KkThTueNF07UBQDl1suB9U6nNtgh9WoThraeF MFbRG0fbbhFGR7keDgKsAFgn3/SzTD8zjMVvjGnNUcSYDhNbUShO/4ZBZUbj5M/s1I3mwAPkwa6b vSK8yjDRpymcPUePCUam8BpPp1LAdJYRDqrylLbqy2llplywQrzKxqlUAFKw4fKlCs/D1mrF4/8S NWJlGE7i5RKoVxSJd4kaTRODxrHdTTEEri1eeaq0LucshXiVYRWnlO/o8IKzG+CwzbL4Ceoh6ste MGvSqKls1lTKI525YqlDaq6dA0jV3rMOdIY3yESrAFALno/Eeu6ew2oCtXO2K565QoXxTr8WGgco wizFK5knIU/O2pjdKpkrBKwIXNCMkN90UDSCfeENS9AcwAVIXyS0OTPVxXg5lvYETifpxvcEEWZW 24CJHQfD9SxtBEg+8/H/IqFdkavk4nfdCsmczH+lmydemfwVqauyppNbOk24ERKsEDvetYz+jwUQ bJ4W2p1ZIUHLVQ0rkITP0UA6NQ3SLSRI9saRrC9RKksezaQss/hUFxSqmk7Dguejw+k7Ycm4C+a+ GfCKxcYBoavT5HkAVmmitNQy19JB1XhZ2USFbM4q9k+p9Y6+7zLEClDA7PSQLIt8a5Bf8cy5Ds8n ri9Yz9QrR6qQbLCgRgU1sbNsWxYTP534rQnVdE5AfEIUP+WvgxxAwf1wFVcUjEuPlWwA81ic16ml t6nl1drAFtVUxeIq0vWtI93Rqk8NhfYE07ZzqJzPhWvsD/WfcC00KGMUxBFmG5gozL4iuIrEMFbR IeWy3ee33LtlUV063zNS+P8WeUBnH547Pk9ROI4jERuu2jydoGaaSQtktLdV6Qbo+5qnMBxvKmRq 72n0cPzcFSepoE7WSfXLHk0FTQIaG1C/cOp2DEYe9srBPHUc5i0T8NvYLFUWXGfdErhIDY//Sy5a ItPoh+UbGIScTsbj1au9KBY0N7NBm1z8llGAhNE8hfhxQ9K19oxe+E2sGFDuEDp1WeZAZ+eh7FRQ CjyT82BVEOrii/4IoGBeXRRvDlbbGvLsStbFQJFuRQE58FJfLc5zOIPO7PMU4sdxAo/J6pbizFyM I1yvkQTAANNanCsukDMXAevijjZPpSYImGxieoDMlXom0FzglUElgT17tYIL1bXwRQEfYYmZn6oP wvSkrbCGxwQeD5AFY3+tp2zaueXOVAxWYVF83ZnidjV5lVFvuQAFMM1UrEAuad+tlgJHmyncC5U1 WbB6QaIuQj/RSq5Ajpj2QI4hJyVhhRLkVdKQ6Q6cKeDyJHF0MviKx4PoYOevYJO/WQFgURO1o5ic ppXjxEhepxMPh3U6s5RCeLBKttRlx5xOoXoM9pse2O9alJJa2npwFiLWELceNjLWlkmHqLIiP/Qd ReWNpNcKegAGeAlwSZ1VKyE/aDfg8aBQd7Uh2UZGPTPdhst+j8pd66EqDmBpU+53g/XMahgMbPpu ivahwopdeUXuCBx9QZ2k99z8NLTZJ+Hr27RnmBM0UOQb3bHsyqM7wg5h5Oh1wkpo+WD0/HOAMAqi VPt+D8slOf1UoAoZU1fvcIcwclJc0p7p50pGuxByZYmbNYNSum28HUXlwzjC8iXR6KWVlsC8Y7gJ SLqhXVc5a+xnkQOK1P0aCg+9cTBNaiOgFWGDYBjnZs1FHYU08HhQWsnf+65CPDPf8viOovIkgbjS namI9F7TVGZ2wR6InxvFZYdgFVHOC34bH72NcPKWkwsPJC/nt2Yvhu0WY38CutAu5VxecviigDJV tvpRzQcDGIDeyVtsYzlFcBW2Oe5JPnEttHaXU4wgF1wl5ztPlBCTKgYpLlSF7HfbxYxOK/dK4Zfj BRhAMPXkjJwn+KF2eeii7wV1q5/xBeoBjwRfEyQvS5gnReaI7tk7qACNJZ1OeW48/1/E6VBvW0+T c8cmQJQtYLPaTICSYZ8axEqMMYVakU1TiFYRqqcuGdxwdOJr7QnmAYU+rw08J9V9OznRkCjKV9+X lzu+JgifCh8pkvDzI7CCXTTF5jx/NR4PZqn89rdBL7jd5t2O4CqQAoIwFRNgEcuGW7xW8Tkq0ePN d12odWjaorunO9quo9LhUH0A8WBu8uRAxeVHN1+l7n9Thtf0xhE/OBH1BEK9BsOI7ZP/c/+dkxSP /wv7pDpdPst5eji+w3Acs3vuiGGMqWH2tx14Fa2xyjodqBXoBe8Yr2IsBNMsUudD+k8NRT9wwBBy AiGtkHRAQQ8sIURQLmxU6AaN7wmiTNJdydKzQeVxABVZ9ILXDB6PCnXle5qwnMuNxndYHk/iCGYz DB5bWQudgPbddNRo0jTF0bg2nZ9Rzm4mD+jclGhvs5yZIQhFZMEAhGnAsBCADQer7KkX/qOwgiTx DiK98p8FOKwDj0ccoO+cBYq5NFmyWYoicao3ziJnJHJeHXuIWkE1vdohH2TuuQipMtU8gHLKHZ3z erZ/ZuwF/AWApjLmmOh5jkFRCIiCydg8DXvjIL5ko7zBXMEGpSzwnBvqb86Bx/9LCszy4OpX/1jw oNevHVX1zOpJ8CjmAd/Qg1YSDHRoEQKDzKY/KnVg/O47elUFHjcnzGGICbsrEqfRLkBY3EujQRn+ R/Yty186MHNjSbN3ekzBSOqHvy50hWB4ioMY0rubHxHhWL8b5+z+pHkTF5IQg5tyChWdLx+hGGKl mCP8Bu+2d6Ph5RSH5NxToE/c0bPhDVEdmZsPGr2zuIf6eQenk3bB9XFJyMte+i/KYsckYUBYIRDU OQnV1eub/klRVL7791GV1cDvV0KEjuHBg0T15OHuylsSWkSMsWzI1vBO1yshhShy83WT5INGz/QK AOnIRTFdY2xiB5E4bToFAr6K0N8VkVk8wDf9hcnYk9ypDdxUt2bnWbK8cmFUyw8IYK35W9gPEHG0 +O9kReE57hPwQbPVguHhPK1w11cSRgrtk3rXVuzOqZMI6DgfbS+ODlvrRZgPjP3WpkkHpKq5F5FT 4HFP92SZkEKPoMW8mBhrDRYgtC7ifgXiAM8Hemzpu121QXVIN6YSpe81qOrj8Xqbjwwpz61sxQS2 LqfPVVQ4tzZxKqZ2VK7a0QSanDE0FhbsAruqUux5Zkbq0FDwXIb6P/ymyK6kU/WZYMSrBduQXWwl M3lkPh+ZAdy6OUlUhb3YsZ6lFdbO6cUxOmVssSVLpfAhLuLE1+axNbBO7JgPi+eiu5RqDZl6i8Lr ZPsbKOCpPsO5PygGiD5DJtLu/BZAOkkto6TkLx1BEglmIY1Yg5bWRGHBBZD4fGRn9kvVFqW0VG5d mH5lfxxaue5qAlFwCjdU4mrVBaJKXdUnK4rYpyL1VMxruTxey+dcrx01LorYoeIpeFQl0LyBYVpk QaU7MTd76b/8E9YgZIODqghtdmn6AM/A56M465KEGtdWAeTu0s5yCmVYiulGQmuM6Q0FdyA7hr5V M8rnHiP5TowC95HU5tzmu7iv7yJqzquhSoxTC7oKucgoi05MAwJSOuJ1ajHP5jf9JXZA6TsOxRoO KcNy+Yewu8bn/+W9yFQQuVZaX+FDFL2TLjcL0akygcvLNWt6Sw68S+2awKVIjKWqv55rKXf0ZLCI k85kEMxxmgnmE3gN6rAgcyrjkeCWF1WKpcrlCc/sebhhQ100AeW8gUmM54N9eNHmlLoExqwgFvHJ Csvp0nBlrV/GgjLPw2QlIpSV6lCORpMV1tMF0dxKDTXaPsTVjP+DZzxcCitLpZOiWpCZBqWxXaoe ycT4oqheJdanNCVVe8FcsQwiNkOefP5fubNY/2TFXCO40NOTDsEnNknbpirJlINOcDubeA1E9dzT MzD1hCmVBFyNL8vREp7FUh8qO+DyJxgMMSYD5PlsAsDtqGlnUWnr9s6RSiK7M4UqNqm44MiEIyb/ /pbG5PNBd3T7VOHJSXfB/UxVSABtkmPJXrVKy6eq5dy8VgzNAp+qCOoCpjkw+aWuO1qh4SyXPgm6 g9AP2vEmx71ptgLCDI5iU/8jWjH/besJ9fvkg2bqzI+x+s/JyOeD8D3/wpdhxvolxuTY2DN3CWxZ qeGkJDY957Ci0R81bBbYGCw15NDZcxUDu3yNtw7a0V0vhQgzOC5J6gd8pR/5CxSiODRVpdk7/6mU 2JNliFKUPCnA2ZhLneQ6+HzUf7iZDi88MEAf8fIcuHuaxSzUDlxk6/z2XnCAK5zNFW4uX1Vh7C6o 8CSD1UbNVYEo8CJsFV6xqeclMfyEXxh1dxFBzUdoNHvlP1RKB22HbeCqOt84JrEjZ8Iqn//X/iOk GrS4/bWqYiuhSjzedP0oqNJqplBqWpqpVra3k3Pg73mFb6uD9emWplV1Ngaq6xOnejnHwraOakPX bDJnX+lCqFj64hcF+ITJSiiUgTg0X1Uo9VDQNY3N54OG8vplHw+3unHbEDnw97QKAbiyXsVKc+vU qlW2a1xUFZ9gGzCO2rmY2jAu37gSd1ALSzhWeFTtzdwaU0VSwmRch9TaYVR92jtHZxVb70DY22Dl Pih9SltyFT4faZV6PohZAWv3BKHPqR5yQrtAL3NYHSvLY4GEq9Usd0YHyUR/cujxuYSYXkl1LI4e K6yMmmFhFHoycigF/4ibBjEbfE9F6c5LfstfOqrLsBGxqMPJQfng+U26ySZOvWnUVL5FLP3XZ+rX NdLLgdEnXFFA/6izOdiztquBMOXjjOS5Yo/KmTFw+oRKt5Jmk3jleAOrgW2xNqWC9wkYGNZP0dQK 3QfQXjWr9Fb8paOTPbEcU4cPFoUiQtHCSpXPBwvrt1zEZjH5OdjDejuyspOdNVd+n7L0ItmYIjwU 4+xSC076qL+wCpDMGHf0gl+C5Gom4vPk83A4YOacWSit6KAhhrwwod7spSMvAboKAadjg1Ada+bK DLSy0BA4fuKP/gboVfHnn6M9RKRTUGoKwUjmTLmOn9Q14CZsq6XumzCGpBuew9ZVetbVhvn6EJoR 2chQY3k2WgBCXu+n4Hi7BKxpLx01u8h4RAnYBgVXJ3yYPG7QpOPzQXZzVc2VOEOyODNPsOkKnT+7 ItHZu9WwICxlZ1ajE5udWdNr76H1pwCdcExPd7zF0bONaSsPCfiC244wmEWzutwYl/b59LyavXTU zqFrYGPHmbBL0z1CXV/a5qPy+UhO0ZeW9JhxZD2e4KH5JxRDzzlVlklwTV7GKmBB5xf3H+p9PGy5 tEL3T3oG8aiad7zYBbTTJmujEB5FGMoCllRfYYyUkUu7qhv7gzm0/1TcPtDZsEHnez0pJ9czVI/5 fETT/hbbgHjQzu1ZV6FyC3bvkGs0tyGp5VZ0n9kBoFQK0ZEV2H/6ukJxvdzRj/eM9lpSjwJt5spa 87lW8MNURIcFU+u10ZrspSPc0LetB5R8ycqDN0yXTGc/Vwk/IIrcfxnHIRX56s7n0AKUUJqz8QwY ew5GEi+xC0EIK97RQW5tKyvWOVeVT5U8jbayEvYhNHIROQByPXgZTMq9Uv7rp6arm18IP+U3RUgG 3IKrkZm1aDDFal+u+Gh85Qkh+HxQZngaOt224XwsBnLoA0oY20R115LnrthKaF/vq/YBHqKC98AI 9DKRgXO6ozdWRxrnsqLY+fld0jYYJFzSfhb7i0BT+Mqix0COnUDZn0/dxKnP1pWc6XnJOfmXngxk 8gP+qQFAYC9ih5lvqz7HdqCFQakTj1kldRxRk7sOTYjyurMVxe87ybMij3JH34jwwy4gEILfzoSR ujcJslK74XJEldlpNarKhH6gOrPmKtsHw8ic009VmV7bJ4eGoPlKnmOqUFWe42kUhoaghE7PrH5O Y2A4DNZQefrawpr5TlWosWjwj7H7HR3+gSrcxNbrC5ofu6nWcLb5OSgQc0s3UHJTa9orR/APBFk0 8rHB6lfnGwh7hBc1n48cm75ryGhZzq9KQ2gJOuQXrnYXU+mWhs1UStkWVeVNYTP1Dy8iqObc0bYg 9CpyLqzKVOgyJHJOF6kRJ0Ug9AsEWbVyss1VCJVhkSGj+sEh60bDVFXmLr0mvWkQNczvWgN0Zwc0 R32uouC9UzchifTABHq1aRWszAayvNJoXqUIK7YjEte2G62tO60NOJCOWgP1KAuaRfTJgCAAeEjo QQOYavEVVpq+J7gHCV0HM8AHsf8S9gExameb8PnosPrWYc6JjN/HzDGH3qDkciIpTA6ZoQULK1iT mawgM6De27qKg3fT7Ezljh6NQhsXcDt1vaCQQj7EABX5rCv0TiGliyIlgWrFXvoPoP/5OokDwIlJ 66rXTrGMs66q3jRi2Pxy/Djrf68LN86hQajZ8sqhgW0cJYWYqyH1Cdry1mR44xw6hA7tPZwVd7R1 hU2eUGP6UUl8JmmiLsjKdADGGuFF+YGv59AhlHWZE0IxfOegcx3UM3PoRbU9sAhF3H7XlbYgPMHm DUZDk9BdVeMrDusb+x5XjRphJpA3DdaXA5fQ/P8tdbpqMwvj1m7gfo6nEzkNAozquSXQMGQNq7Is SV5C+qK9d3vnANMwabEOYIQN2oMQtJLF+kl2+Px/EVysROVezFqOfULJitgup4/Kn/e76vKbsfW0 b1kmNAqV1Abh/He0HcieIxTNwB9pzU92GDUgewXoqMzlVg2lq+EVeoXKq2EXyr2cdx9yQTvHXDeR i3FSDX7AvyaLXjKFgqJzPSsr5JhmiXPkS8V1EQXyxpL3UtEHtekKA/cl5a6iBjRHb9MvdqRYxYKD MQFTTHMmoVjIOiDS53JUatMHnqHQcqNDEbYDeqnY28L5QZ6GO+uchJkfEIEafLr4gtjVaEP5ZIWB O5UF0YW0yQKJ3MpYa1512Db28smKVdGbsAzmElYe1yt4E41d2fU6eRt4JcyfGxlfAG4AzOtst0z3 H35T1HjmLK0qi3oywdQYXK3LhxPoBn5CdBt+yy3RHgqtdZ+tKHAnWOhEJnlZBl1zM0WTBnNUK2QN tjyZE4b2oX1plsyyN13LXiytAWOnyZ2IuhWTVlTYF0F2uBEW/UNNTXfZS0d34WRwlZcPqjag48Xm KgCdfP5fnBI8h+oB5AnvXEWROxogIHrvZBC/uQ1MCgXU6eovHTLmNlcRWkYWRDuXVu/olZmEGCFR AhWi8r2oS79kywpBJ7BOH/ZNt5eOisnEbCc6HGecENnvwJMw0MYxpckPCNKc9E3NBfBzpfJsw7Dy jssNeKhbeU8X4gfslMPWYDho2zAO3iWHZ7xvjr6wainoHJLvfUKKIfUheJBgmni6j3pN1QBK0TcF 21CHFdq8NlibYhVrFJ5lyuejTfh9HYIFtufXJgwr73Jhn8bEhV7OdGhyYxFP7eexmy+sMHiXfgJ8 4O54q8kJdRqqL50/6FxWJPOivoi5alhCMJhxGJYq76GZKLzNToQ8CJnhoMp7TmiysXiwFp8PAtL6 C8cNj3ssz2cbhoh3VH7PXcorcNNvnDKDmysLn8rZKiXdIysO3yXxIpM1jQbDogUWDHkA5D5HVmE6 eII6dh77Vnx1G2A5+UsHRxYro6B8YgCZXvSSDogUKa9QeeAHBMHDuHUsAbgK6EB3bYWmogB5wStz eRLd1vAmdFrJEkPq2FoAH7iKoorP/TebmYe1xzwMCkwrZ4oxpZMhpNx0dcInrCNbASPbvcPKslf+ 63hPeHMO1XrQgFKR0yxkQ+Aq+v8mhviNB348n6m48M5dWKen0IAB2UyhbubspeacwRzaii5zCZly sef4wGXyJgvhhGInXe+6AlDxO4cOpTwAx7SscCjICmxFs8ekne1V2Cr/ZImXrLWqfEUhcp1DY9H0 SwscEgQrPYC10FkUFvAXiUzATHlAIFJyZr3vQWEF1qI3yCqt5TtaWojgFrqDosrDzlX9+gqq/IIL JAB9jldLxV75DxAWnE00wN6JLb8B8hNh77ht+QH/8sxMdrjj5LlTFQXvdcnyuNzSKJE9mioVkmn8 1PbdgGHwrmXVmyItjrasznU+IT45WJkpYE+r3pfpnXcyhz2ujT37JfyeCGHLmGHu4YOx4s7nsMRY M1BYobvob0lw+Aee3X/rfYG9qBkWjFS9WT8aDg7ziZ7VrceBxbSQ4Q9/UV2DEv/U6JH7OTVPojMU X20oZDK+OqdGwSSdKxZS6y45VKu98x+Ek/ODYgNq0FSdjMfITPCDzKHD6KzfAgyookwA+X2qYkIq nZ928VC0UUSKYbtgHOzVQ9/H7sDAYPRKVSyZZGn0qTrhw9kfgiKnUajcRFsVTG8tJOcgsjLAjJKc 0GKUd1anMh4GcJpEmwSooEr4JTd+QMCg2M424XYlavU60OTAZTTTsxPMLxP+xBnvcXsDRkeh6NmB 3ftegc0oblPlNk14LI42WSdxgtwNnTDm+RFWp9Q64FlgUCDRblBe82Z98Zf+S8yKQk8c0o+kZCGk zD3YM4oNodNouTQ59urplU0Uh89WKN5I3ld1NUuYaCZTkWtjmdv92dPN3TBy4DaKVWqi87KJbNJ5 VcXvpDATRRdJgWamBJTmRz8FYGsYbl+l4p3tnYNaQ6Ye4aC4Dgc1KOrJy8VP7aAEBH6jv2CjdKIh qSjVG7p/XqKrfr71/0MNCTiQ4g3oObsQWJVRkRGZUkmW5bzEVueDQA5ytdR1R0sIT9KyChhMLDXA ul1Ra6U8FSKAPa5DJL1lPi+RFV83UUcd8oY+6LQ677fNIHLh6f8zS3zHLb29pRYsuLEbLCj8H+fH CWaIf9hZQwZMQ+1qWdMZBQY5HLVR0a3UcgpmqA9pESbhsDg6C+BcnlAV4Az1UmtW+TjBUkWdL95+ 8sOgiMnnJaLi1wCAhFAqdR+E6mu4RWQOufD02xSBbZFtirhicI/mO0UvwRQf4mV1QtRuO27s6juu rmpHPLhezVAML6GUFpEWz8iywuBo8QH67oN6Z7AMgfrStkIg/OrQZgJUzqJO+UK+BFJ8XYgxARtK XQ8OqhlDKEv8mxMofF6iKM3v9hniZPZzICVBj89XvsRQ2maN3lizzB/3nmkO+ke7QDHUSQyTRQYv ERQ/aFhhfSvY5OiFdaBHsoxYCxikQxVlmuFNQFrw19kMES/1eYmf+C2ZPpCF2sTQjYK8i2Uw5xri 5jkn3OclfNJfS0PLQdlMBZodpjqaopfgSftsUJq4dG/UpHW1F1YrF+x/lTxeQiftM8PueVzQnzIe UlXKNZ4VAzjwJo8zo6OVjVDZBjVS1dKiZNxL5GRnEdvvVdFAtbPobNaTVAw7s9vnJW7S083niJoN aKpvlB00SS9hk7anZKvqdlAHreQNpuCyX8ChbetmvQRNnKRpiKoqUfBUv0SG8KEw9kXhALkFy+fo OhDgCHlD+oxZlzTJgzWYJLChIXZLPkRlE8xsLE6Gx9sUbeXPS8CklVTr14mNouMGjEmT9BIu6Zhn AJAFaGRzZqXqtJHVh68k2FrKTiWaJOteJdts6cnskMpvXNXUR60dnDKe2Cijoli52PC424253Uuo pO2W2WvfGujxI/NV+NoQ2IhV/3mJlHSeyabWzmzA7ybaaJqklyhJZzbJeIiwDSwLGo3O7LLouCSt 3em6Xi8xkp3ZQlJtdd052olU0UyYk+AgaO5m61+xXQ3cGeSq6tU/o1zk5yVEshUr4/rKoaCaRLR3 BSGs8aIA+O/zEiI97/lc/p0W2r6UXgqb2m+DCpk7+X5LbseDfZA990Vb22TignPbMBmbaAwbbZbm rmxODSLVyaxgb+HcKvA8htB6e8xByqLhzEtRU7Mkl6et2uam9peiotnZD4UGw+elpKmjc82vOaJ5 U8Lq0iS91DO1fDsLKMMPJeA9rEJ3ZsslvcBzswLBSzVTXy+H9c26lI2eyVX0OxO9aTeUrRshjCfb xU7Dv6UTwwXlUZH4pZapH0MlFBmoNEJqfshWTn1l69Xlz0sh8/+53SQfyxwBrAKLI6NQm8iVSWr1 Pbv9gutSqRDE7CIRoljbHApyEjw2O3eR/phQ+qYQwomsSwPTTVuONXJYxyCruIIwynjfw22ZBQPi yRoBB9V8UVAWrXtSjCLacjN/xQFjwLOs+hX3xvrTr0NnkFFSseWUa3WfvjmKx0p1ux/7G+lP82Sy OYPWRRr9aOrI4NDjoVwA+p1J4BYkRAU1V6o0Wq+YdKXPG+tPO4CHUm7q5zXr59UNh0FylNGs/byR /nSAS0nPVhT1GXC52jxFUTcE0TN0QYqfTYQ3cp72NthnO79/dx5bFHZv0wFf8lbj6EHlBF4u8XBC BJ/035zkn6gSCmuhl2ctl2Fv/D5NnS6i6Hj6oGk6202daejZfd4IfxZwJV9O4ryhspD8onvj++kM 74T9zLEdAbSv+D5CKTueGMgYAig4xLsrV7Z9R0d2nlOhbiwiYA86bNBYlOub8wW3jnPrrSv1mape OcjhWA6guoYNSnNhXsmbDnf0543upxNqfMffnXTb53x6D8CRn1JMPg13c+jEv3CeVll+jMMBw+u8 0TwRdwEKe72j4iYcSIjBaZ2N2LJSpIgFzox+ELH76TZapoqXfx1PnbhZDIBQc3Lg1T1IMETf+PPG 9bO4a3yf5IDwQAXRJyoKwinQOdZs7uYwd74qu5Kbr6xd3oL4exSOwp7qSibE375c69EBAeoOE9XB yZuy66vwIVvoEEH7+nJt6fD0xvSzIxX5XEPTxgYtog0ipvotpXzeiH58vFFhhLkKq0sAygJH6xMV BuKTJCORIlEXWKm4vHXPJnqNvKWaAv8b0c/WpgpxzSaq3Yk6cU1BKW6L3MDWubxEIXG7gXbNHdVr +RxnCShEkThqggQt3EEbb+EkF/w+788by08LSj1OW1AVfYN2Y8w3jp+2K0KZuZILy0/8GlbeBXPf kAYVRUJNUxSLG6uhEPhpoxfh6LUAqAna8GVCZhfThC/+ofFIRh3CBQFm1xu/T9MYsgXpWYP9vQg4 6pAmR+fjQZg5fT3RwAfeRdD7tGl6j8VhWM/mU2nZ6rql3ZZBTcWd1cBLtyp4FIyP1q3/2+5o2+7E Mx3SInLJzADEqwEjvQI054GOuT7sXW/8Pk3ATKInn++gQhMgr2y0nKspf96ofQqf9q/jCVHeAD3I 5ikKx8lbP7lVdeAKVUS06/hDa54SECZaTmE8rvhpNN13HP0cXw3uM2RBFrgUUMQQwpboANEsNo/L b59SporicUTU0HXkKdW9LlplQMarnkiMKCAXDPVmdhC6h2C61SyjcBxCGCC3GykGvKLizTqUk60D labbqLwx+myatNvIfrfRd106/zI17To4XxQzVmOlMyELRQh3C05YxZ83Qp/tAGGgyvbB4qdBfC9B FfnzxuezwpjPEwltXNhAJdpERfF4Ft+DNAcpgFMaSQYhbbiHb4O3mybqPR43qxHGTeOODnVtJK2Q zge23kgCl2aCmRvgheBkPTJ6Q68c3HeoW3e6357LExPcyBzs51zLReTPmWb7vPH57Mq7rQJiF0+s tSGKaHMVxeRUmp0ldXfrK6KlTWqdOdq8s/KguXqPyb1gAEP0dkfPXU72UqipDBfItGCQxbmCvGcm u6HCbP7CzYteOQqiyBKtEmoEN4bMH6ZaWbCCE21+3sh8d66/OgZA0ECU2SYqDMoHF1VzqyeU69pd VNULmQPVZk1UFJSnZHdeXne00CD3mdNutBnfMOlTsAntAThfNNgEoMjiKhzbXjlI8hChnpt5dR8s NgDjnPW6Oevnjcdnh9x3bQW0PPbqbZ6ioBxuSdTdcGGl3ozeXmtxCZMTtUCvjrHmG4nvqyyOAsG+ oy2ok5icFKXRsK+fq41ewTil0AHe5HGt6Y1f88R64/BZloZTHH7ZGppYx6WdMIEnFnzMP28MPt3N z6XX7JQ696AXDd74e7aeaJMpFdmmbpTH5FWNBBbq8vYWVFQZF6hwbQlxabRDap0TKyVUtJDWIT1Z cpwpg2kAoIKQ5bun+dIrB0FUQv4KrogPLK6AGz/ZtasnKvq8sfds3f+69U6qNqGhY/P0HpILIAqk ZvGyL9EMZlNAYRk1ELL3e9+4exZmSCc961Cvud6675xgGK8qhMqCXgT33aTSdYEiY9npMcqk7+ob eU9bQFKMWIY2qAiF+ECSSmPtzxt3z47k73kiJAiKrzZPYUxOJXAadphNgTxEaW0oLCKLBsWpxm/M Pf3MkkUgatFHBx3Ci7uKCtP7SfGG3HsqSA5sU0FfxM9xunZ/3nh7Nk2oZg7debA4/jGuENyIhToc e3/eeHtaT7l/ryfCi5YXDd5oe3ZPfuV1dJwpbgEJzKE7G076E/F8eg/KASBg0aCTYWujzVOXn1of BBC0c4dLf7+ii7kBti/Q2bXaCioufOMg2KSzYckSTvcOM7igXaJE50/i48FyWp7ibQYUZ9vBBMmm KYrJ8WrQRPDmJpgjzhc6kY5vu7ycjP1G2PtVW8k6zjlaTN5h/DwaSQoF0N9sJPcCBCnbSgC+O36H gN83ut6z604KrNJvcXU8eDhkIS32Gp83tp6VFH91W0DDpmu9uuRRTA73K3rROZBgovIosxnLYmjZ R00jtsnjmJx/+kyC3nP01CVNtGgzkQQF6UZ2NWysjKqO8K2sILD+vHH1VLpdrNRBUMEGpXgAtwz1 g3f5vFH1rJL/C5ECZ8N8CwZvRD1bwhQFYs1UDCHCpxk8zeKX3aAZpKYpxqSg2p0ypa9t1DTBZiSj /3gy4ZOcL0KwddCfTx08nGjJ08UPykVvHE0TK7+FMN9VHGV4UnjU1nntTT4ebLq0v6bpJJ8/A461 Nk1RMN4YO+1xRfHStG4nlHRZsiPOvnvs9MbR02bIwqMssV2GaydDWq/ATauwTgf1uDqsYEBkSIdR JqJLO8Lb0AsH+R19RBtuDBtUpqsnLmc0hOjr80bPsxPcQydBMXGC34jgjZtn9zjwYuCb/Tjrcxrx GjDlbvj6TsdZsD7fqHlPWeccSXIg0Gh77mRwEOnW0QQWi4Dla+3Wz52MxBQ6FNZGICPw80bMU8bC Myl3I1GBdiW5ztTTZvsNrZDPGy/P6ir9K2MBhZnsPpunKBLfVFeD66Oj66vlvmCbLpsnyO1Y1feN lWfQD266xDqyjbbpAOg5gR0Bq+deQ0+eczk6wU3wBksXBU0N+88bJe9CPBh+DR90NJ3wsi0XbPm8 8fEsEK9fs4TWDaSufJbe43C0/DhLM10hSordqslJFoGo6Vc1942N9+SVYJWrqjJKfop0rRBvSRb/ WVdTCi3wWAP8GMBRrgqDFTBfeePiaf1THmnSYFwDp2mhfpBEYex8zeCi+3U0AQQ4nvDyjYlnnSSG 4Ss/rmrNe1KVOv+qE+RtbvVvRDxL67hAelIrgaND5iqUNAvTupOinj+NPc6VaHFUGmFdyM+M4klY 4RsPz/JXFnsXa3UcVHxKTpeqCz/nexiOeOL7cIIhARsoNk9RGA7cF1Aq2eop5x+Luc+VrYoBEfXV tQLfOHjftcwmMWp4etIGj1DeBACvCIuoHKLzwvR30zIr4fymtqYXM+2VI+yc2Ps7i70/xFeEmFc2 oYPzs3/eKHh2uX+jeaDoKoy4JiqMwztxl6Q6aKJG8sJT49cqwITttxZUWBy3nlSrz3iL4+t81Ml2 6WcINl+S5hb6lecAR1JfU7tQXiQFnzf+nZ1PjDDF4E94mJBudduJEB8z8/lg5/3qmoObfuKUC8SM InHFTt23G3dedY6GsBlyhd4eYkbVcXpMARUmwXiOlgAjvN+1EB12/rmdU0rAJwa4EHL4oaC5e2FR 5OCNemebQD59Sl2Xs1lgDtfFZjn51eeNeKf3zL9izNJ/+HsZGjMMxTdDcfGqlwSRXMVNTufUisdM nnkCHDNGq0j6NZVndLTKBqikMiwYDZtQlANoIwGPidZIX25Nm6kp/nmj3SnJopIwo4mlEiup1BCf yOxc9DNRnzfWnW1c33hscgLrv9F0tYkK4SpV/ESshv8RlFY5UaBnVZ7KaLdUkL9+KhbUG+nOKrGm W6OSL0erZI4KCTi1pdCzqPRaAlyl0MsQ3uv9apJlcrk/b6S7r775YicZwzT8EwqYjNlOTLg+b5Q7 q2j4RDHfBa0M3SCfqDAcp9bd+dJiXg1zUkiEHTHGZAo0B4H0WFFRbby5lPJ6RltRpVOOumwdUakP lXxrpSYYhCgqhc89u+t65QjXQ2yvnJ5gvfRjXhbwTOFR3nP9vBHurET3HRvA8m9AZtzm6T0gly49 gIZVzc2ztmiywJ03aY3OncdaJ++8N7qd3XkqzS2zNFx3QQGjh4qSSiqgZzSTT5xcUFDGLLiffeeR lfHGt7NqmcyO8/BBscHZCvJaA9Dm80a3s9Diu22OC2GrZMF5Ckvjg6mvYL4s0XUKrxhexUt0rTlO 7I1s940uGFsthLHzE0O1fdKqIhmyk2WfRI/pHTwAf5Cp/BCBbVmwaipvXDtlwSzRzS0a2a6WBddO Qp+dVZ83qp2W0/aDnGXLjBR4UQpQExXVxiUyObYF4pDIKLeBV5zA2Rb1ZHhChTG5SD7DVMjGo0JW GsCZqTOIaqsBEiNKOtRfF3CHdAv2Gh26ap83op0malYKnZfugyYKejhyfzx37OeNZnfz6K/Uhc60 zSODN5adnuoiZpg8FPzClrNYeMKqIVyhwKppCmNylXxB872j1+j6CemXpS7lhGvJsK5AiE16NOOc 9JBc6ymqjKOiBtdH6ABqUI2ul00GjLibbwy7l745NO5mvzCMN3qdtcFMhrp5i3M77LBN6cNLUKsZ qOeNXfddo9tThSWONk3nKs/QpsQ0Qd9ubRHRC/AAg0rLKT98fKIz38h1N0VDoWAsH6yUWUuSTuI5 Vz9v3Lru6PyvOJN05HpvuzAgJzqzCm/Bf1zWXakgapgg/MhQUWVZpcXQcSXAQ7ceRzudTo4C5e/e KP0H7U1TzYC39iBtNOWHCpztjYOogIyxBakuG4TCQMWddU3YZeLxIMz81d/EkmeQbdMUhuNSKS3X /TH3azFQRdugZkFx7HiLCuNJHgx9CsTK8R7iKGemncgdg4SyWWtDEfX8a9l0PtjMrTcO7rrNKjHM jGzQ2QS55iqwfRl4PAAWlPS96TZpCF4Y71E0TneMQeV/E8Fo0+QKqiEzEDuljlVE3s97NC6mKc6k LUkojrbpdk8Voguk4CfI9WiDZgj3DDg5QuXYkrtBRmuPiZoyFZBGcHdtRFYfsvbc5uMRIvoix5kI nheYQB3aNEWxOPzV0E5MvppKKr6aetpmWAENVqdHRVAVidJtM53V6DU6tAzaoLk4anDDEQhAq3ai jSvucKsW4IrE9wQ5i6RuQbq1gfOUzjYfLAuebTDxeBASlO9Nh75Jp2iWpimMxGmaXcq6KBUadKtY oNiA1aeRjSDVo8K4lXyrNe84WspyZimhfUDo00go1zG3mxBQhiP7tK6dFQtEI4sicULpegHAGgMP tR92Vxa4xvzjW8HzAWtzfk8URetgp+gzFfI2KzGH2XrkUM8xsXcoIhppusG8xNrAPYrFp4HEmjgb HD25O8F4smrBRKhE3VjkLJkaUBWiXLztJH7BLLhHsbhMmihfDPwFAEZVbLJzOGypFIzNDwgO8nSj cZ7kaCG0e5L3iL+JxQJTnexTVWkqyVLdGC5bV8FxsqkKw/HJKeomqNIfQZUCt7haoDgDOgK8MSUe WYfs0vDqY96SJtk8+KK/epyFbmmgOZiiCnBjozC0hSPbp78H5Kpgfe8+1DT3PcvjcJwHuBCr7FAJ dyEc61b3HNVa9yzsIYlTcirDnBfGdV6AnMr5qUvhWV7BaWWcAXkCigKTRAtJID+latYrB6EBJaZ3 phvmRpOQQpsoLvdMPAOIFHg+2n3rKyBHiRCsYp+osEiOesjsBJr8T2BN9lYK/Ty6VVbOqphJBYMe B+SiBE8F2xwd03Ny1EEhOfxhqBeQew4LHKhOQLUF2IBHj3TqlaMSFGKo1osxy5j1YEmdeBa23SyX 1IIP+BeaDvuMIVm+qXB/j8mRutB+j2KEpl5efaZIO5Gx4+KvzQM9ism3ocNGLXe8M5XgW554oDdQ qFj4nOefUP0FwaxIk1vGJ1Qj7VGZvHKKFuRGbGC3HCpcbET8tJUaHo9KBt+5CzzEJyBgNk8RhJyJ vjNdga5JRMGzBEVfeZTtGrameav2CK3imF8XdeiEjlS6pJ2j6ewnP84BVpkqaoIhW2H91RpJ5oYh P9OFL/orkCrYBDZYaaUM8czqybnweLSevovkGazzidTNJioMy5kLo4BmEzVYD+ZEVV7g/2Og25vV 6npUJXdOWRHRnKPV6qBHOiE6A6QR/EKW2gmFCWFHs7zCL8SKmmQR4Yvezyi1gxPdTjSoj3fW4ZaR zvlgPB4sqNZ+TRQ4arBmMap5FJjz/pkFB4yREubFscIbXrcexLOt7zKiMvlUpNm33X573qLBWY8n yatVOLGTAyeplnfY6eyG2go0zo3ikniWjygyzwyhVme/kwPnCRUcoXzOSZfxeBCZ/wL2wAh9rnWn KQrMZY+G3qZNUyvFbayGs6eaSj2aprBIbt2WIhUVjhZHJagKpEIO3gB+QlN6IkscZgkaN5izq7eG tAlfFJHyddkR4LPR/6NQyEkEgEHjhd8wUWGVfH8vKOhYrbY8NB8hgJzS7ql4Hw+4jO1FqGrQX2BW tlVXRlQkpxdmYXfWRpJwkcGcjBO6aiJvwM+qDpvMc6oOVF2QcLm3Aq4ffE2AYu1ygWF3OFWnAsEA VmpJcJfG41HT5XuWsGt5ydssRWE51WfP8fQwzrnoCaeD35ShDjsEu5jnjSgst+NpmpXqJKaCs4Qw HHX+RmteNPXSUHEFJzYch+TgaHEBNHjxNVHHRdOzkw/adOc6rSaHOTYe/y8yGHDMWummeSOKyDvt npNkQfiPzSPyE5lYmQUq9sOwviOKyKf4ZAgg7ug94d3zOYGIYW1nUgiox9kEcPoCVqyQICzpIhaS 8D1R7zyz4KvaCip8rBUAckuPUVQCSsbz0Wr6Lq6MsyaRbvo8hQE5SRvZKWTAsnWfJ6nIKs5EyUPz FAXkS9EAOpl3tMMJUN+CmiP9qgpUZqXOo7oKrvd9Ve9pQ4OvCZLhLEQ0YycOQhqiESjk+Ikt8Pi/ kD34b5EKn0vS21IjRI5PludSn3c1ZZdVy8lUMc5qmsOP8Ag5XratJtV0OdpqAq+5zEnGxllV9GJi xI46yUBeDE9Sx42jhYaviZp3yO4gfcEB/la66NKik/fPidM7Ho/Y5rd5xylBYpevrMoIESsUVOuE lwiIwd9HtLI8L2IFOjSapigUn8rq+qYcCEZGJqwYnE3Wp4nPLRSOpBc2iSAtREO1dOcJeDx8TxQ4 LU4QNQwaxcYEp2ugruEIP1PW8fy/9DBk/XVC8XLVC0YYipNxmiQlSpZiXRYR1M29zk2HYp3KBSMO xZWq0GTARosw2wkuTqLRyMoHvpe+AhCawXyVdmaUhmu+7RhhjjAUb/SIy2zfDSqRJ248IKvYBKEP Ez4gqBe073oBqqr7oUqNuETOjG5d9cfyqD+eH98k+s58DpOLHmGJXP1NLJ87enLXy0DiNTlTs1Mr jgL3W1y9c2RvWk3IO0HneFgjZ9+8ysikJoDY1AXulRE9jD5ywfPBRK07UQxagPRJEJLTVM2wTF65 kvgVEsrsRSLbsE1dTn1FeUT53YzlDFkmJwPORy+Tw0xvoKl0ziigq4b5hQP0uBqbLleuxyjCM8Ss VEYEg4JrHJTe0dNXkhil4vFoRTmqjmCx85ITOts2TVE0Tt9LuB91Qxg0zI2yu7Rx7PzPiEjLpiks k0v0yRQdNdqFd+6HntOUgAExTwwyV2KmVcjBBh7b02BaJsyY0SlplTR90Dyh/i4wa+0Fj/+rXMD1 dN5g9ksqm6HCSlUEPu8ZRedbBePJ/RI6NSykkRWzOWXbVXRGcbR5Ih9hiI4g+xIC7wAvBtmczrGz 1gvtodLDjOvkm927OnxQaEByEcsFJxnG49E8fZcLwA7Y7WLqZhSOZ5GARrn2LhSHlb1L2q6SBRSz zdM/quTwObmjzdOGG207xwbuPCj3bJk+dwDt5auYKCNqVrJLbxxME0GaPAc4dAP29GII8pMtTTwe CYl+X3knkwJi5ZafZhSQs+10lmKy2GDuusYFYqThiBUkJZqnKCC3XnB1Ep7XhdB3gZToyhRdQ6Gg yexzySA1FYhI4N96U5i2XTNkcyYxNtQbdrOdOhcqzCRulF7xeNDt7L+xh+i6zCtNFwbkk+tpdQes zNWtP3V2gSvR9ARcrOYpAqz0ZehoYQ/7xR6OBGY/xHWsKXx+eJ1PnUkIIMAQUfMQiiafMwrIK9t3 jIJt4K7rMDSSadDZ4ng8KtJ9Iw+BPtoEkmuWIrwK/7YBHRrvTdGEhRFUKdfNpaFLwbhgRuXxlbTb pk4njn46TQCjZUGFTxpKBbEWJuAiIG6AkWBQ+21vHB3ibLQsRpplkWPHxdSXWNvntuZrBtndL+G1 ggS43Nb5DJmcRBhYh3NIgc3zFgjLWekpZfdxmaHSYTUb1Jru6KUnWlFAkBCX3VmXypTRl+IPyvrT l6nZ1htHZCmSyQqbLhxULOgDdQhW6DYfj2Bi361OxCNzXk7ZjPAqkLkA4bU5mq6s5Wg6CGj6GQ6r I01TFI+PrF6wATHqfNTEwMI/22yRLDXTrPTGgO46djAMUXGz3kN8THvlABetQwmJKQbcAKZSm5uQ aWelVTwf4Z++1xOUjzsweTZRIZWz0aeZoZpRObczg7vE2FB7Qv3LJiqWVxFMzORFV/rqcw44D3fJ 0AzGUbzsFnTUFzEc62khMI7/zFDukG4IFRUjGwyxAp0sxuXnZPzMMBhvvxguZ5tMBIaaphWF4lyF A3K9doZvMtzZDoZgpufB3Su+K0KsDGNsNEnXc/QYs531lNGBhLlxBWaW225ANXVWakI5q8x0C1aI WNmsPW3N0r6zdAKnkiQtXisej5icV88XESb4juWWe1dYF2eLM9Oul4dTv6UnNLqzZ8HZdaFXGIkL V3DCu3HHW3oq0MsuiDA7gMXS84HljbwffjaLKuVRzlxhVZxtu031P+znk+frDD9vmyT9dPYQno/A dL6YFhucqFe0fecpVBhn/6CIW96l/ZT8EC/Ly+IrLzvEV4hYWQYPU16LKgp+W9LMNyQ5oULb6V9d m7ysTyyAFhVTndKewOlk3fieoC4u/k/OyQcBoE4AVoppsvLxf0Gi2VcEw/zBP62Yy5nVB760sjEc 6Vv583CaenUMxgqh4yo6taHEhaPDVeCvXnQ2NcSbSaDDDuV5lINNEtJCAqLpVlwYJwQjU/rprNIp wBfs6PbgP0JNBs//F9ThmSJKPHgDYUWROPOMUffjGrjdsOyEFM0k1yoZCpqomMxp2tDWj0pPP6qd Mw9EImLskTC2Jl4Z0dCZzJm0vnA9U68clOmmvElN3v9Mf+OiavtErpU/D4poE58QVce/y09o5O+H qriiYDwlzo84ZPrHdlt33XYhFB68ULdCZRUF2Nsg9Byt/HSyuwWvNyQtbInQOR6VeERjDeF/Qbhl noHMKfFFfymGbAju2mBJcAULT0kL3zNS+P9OWkC6nfPGBSuEjyfxg/u02tPot6C5kkXm7Zy/wxxt VhiOK75kfdtHD8cL1DCgnAqZUUjaSpv9hJ6NrooEhDr+qWRdeaGyCu1GeqWQfafZibJgXNuS8UsN j0dXnhefqPDT0BC7NboVxeOEds4mbD3VslZ1Gb9BuTdgVUZBxV/zFOLH2UE5f+Vcd7RAE8gkqF9X KtBAHklyYpNqzQUzWvJ4sCq4V/BFf2Aw0N4SQBrXOiNrGMYxGBko0q2wQH7XE+dpomd/8XQrCsi7 sGyre48T5u7W4xzDSC+AAWdzc1txgdxpUuWOtu/OdsD/cD2BMJWLZJAbiwXMXiqdNdkLBihYrxzw EXaR39byQZiekyJS+wxan3zPwHvkyozyYIPW8HkXdHZtqsIK+ZYexnRB1sWFz6mCp6rlLjB2tKn6 W4F8p/k12pIC3zgznj1br8AqhOyqSZZILiDOFZxWjiInJ2FFMTmbCDAuXT5wqtK5Lxoz/nr+IDwe LSkPDzivzHELPJw1UzuKyoca5+P6VhemAxRYyc3kyBvN6hRH7ZDVqWg8G3kjz8fvZ6RzPcFDimRF SLiRNgSde/y3GVXS8iisyA59R3F5I6vzHPxTQ/lRuff8zVMt4ZNh4vGgVHd9NpRENzhI+Ira73E5 GESJsiqXTn1O9+YVzb6s2TlOcm0yBjtUWKFRLi63dEebpxNqN9BhJ+Xpzg8xGg+pRAENJkmN/lh2 6dEeYYdIcnEVlRRzUIUcTUdm4NRq3++BeZI6/T2kCjlT1bO8HSLJLX8xth2Km9nmCQrxvvMKYVKc pxhJrvyl53RHu/TOvdZwWrO4gsysqHUO9eifRblfJO/XT3jojaNSHQPOVKsPnKY+zuZecihLA49H GiveSCAwARrIkJSzaQopnUt81+7Flbxdne6Em9XY+Zgnw6vsGK8iY84s2QeOF/507rx5DiKhxFDI ZnFlLxVkgBhvl3Re2NrFF0VaNESsDJ1TDgNtKGNVpcMbyylCrJBioLNcIIOlGr1NVAwiJ/wJh4tN 1Lz+NnUu7yQMWkpzomIQuf7olJ/RQAYN8XLajMth+DAH+bFr4DyZABmML2BPtxf+U4IchpRUezj/ lRykTjwsqCMuQjz/LyyGqK/jZ9Urab+jmJwOriBwJC/W5elS7Y1YbllJ0CiC0xQiVkQR7lPC2hxt ms7+P5H+Jv7p3G5ti58Ooj49Rhb02q+EAWr1+JoggoIEcu9cBhq06xYJ4Uz40sLj/0nDj64DwDLZ NEWQFSamEBmtRgfKo7pzaaWEOKfp/KEWQu0IsjKsljnavqNXV0aD3BBFMRqOFFmjAwOMCjmWVqXw f1OS1/TGEUOY1adGtC8Hw4nBnlaZS+Fr/hf8E1RTJqD3Nk1RRE7tzNGLs6bOH9ecXJaAv+A01b1Q +UQ7eMeQFRGoi1EWS7ld80QNtkLMIRQ5S1KFHBqIPwMBSYYXg2mMUs8M3xMEmokafpugwyJgDKE9 GXYX+NNH4uPBXfdLshZnIvTyfJrCCjn55udfOKMz5TVtNRk6o1IHGWBDTlMckKv2VKU/3uvVH4cI a4MiPqcJ1YSts2nCBx0EiJ8TQVy8yp564eBsIvIpSS46XbnoNqh1rb984PFIN+QqseI/BldyPxp+ OwrGWVxEk2XYNFUCcqQAJWMgKUA5LX9HcJUpRufowmBwtLMJbQWogzdTXZtUQwWzA91FSbDBa2wJ Ec00eMf6KtTj7f0OtixOgMk0tpU58HgUOXkJirrSKBGCFWPzJIjQe+ykxlQrnghPt4E/kcAYlggn QE+IwqB6cZC2WEVFIuz70fZdIFKPirwGmrUwuuO+mzIx6aXRowz/IweXte2lAz83atGMxfsOAOgf wraYXTUqTTNY3vyICMz6CxiNMzrNm+RJgfC9JMoKF+onxkmgLStQK6VJuWeTk9CtEEVRwyAoV/KS tRFzfty4IO8LzA3hGOMsoNHE3qAGYIUgVdkkJZgQ+bKXjtRGqcqKjjYGsImEhAIyJgkwRwulKC7f rXydVcrPEyofPl3voTn06jZ5wtv5eBsHnZkGZ7dLOBf8tUtIIZS8S4u8Ct7K0XM9itqLkDfPxGVS cPOklFKWKSrCdZdEZgEB3xThMnDxZaAn0UiH+CSZ5+scf6uK5jkWPyCIp67YEffw+foJ7JpPVlQ4 H7QMXGU7cGwVnl90KtldO/QcnIAF2GSFDp1VVU4RFTTaXjyXU8M2p7MidA34tgCsIQrrCOfqKE/C J9eEFIXoZLyg5Vh8SBYh9EGCQQXqAM9HtfNf9WCIt6TxzFUUo6ei9rAXhGctbFTx3OrDXF0GgL0+ V1HxfCjZy1tRKEebq7OYoBNJvPQE6boKuoni5A9CeCkKejaTx7KXjhxLCPjp9IwafV1cVDIsVssj 8/kon/HLkIX2QgeRcTO/nMLyeRVKwyieUHHOxdR8EpMLnlpzUVWk66P+rHe2ZCCpNG9jHfqdEKph vZP+RyVZyaUSbHc+PLMu3NTk2/bSETmPpA4anWvQylrnWmIxup2QlM//q5LH90PNNZV8Hc1SLMGC g0rkQ0pEQQHRgIlQYjeJqJrYW+/6qCB4kPdUSdI54GiTdTKZTXQ5llZGS7RmO7OwtIpEfdI1NcvN XjqSI6XAJu5VG1R46dAZ5jas8O5OURl9PEBzmXcjat69P9MVSrHIURC80mxim6hbQXqsNRFkEUFk 4HBtJ4a1dClo0jXGR03XPJHclhI6tOfSPkcNb0SJTaAsbUe8Ti2qMvKbIn46KbKLqI1GvVAuKEjG yxf+PMTn/wVRJO8Yp0ICMscnKwzfp8A/w+0Xa09mKwitxKtbI0tv2i9GiizV9LTSznf0bBDIfKj8 UEsSiGoi0BYzz0wNazYpHaYoO6r0p4PQyZdV21terVrnVl8yYASbGM8HG7FePCe5x8jgS7uUGAmc vsZ5XaYcxUvq+/HQTdPUWsBwnO5xlsKauhlPdAlKcvSdOEF12abWVhJtbOiie1bChtxdhgWFE/bI KMYXRSUrCUrmO2iyehqDKcxJdCef/5cAoFDnFXWrSwHNobHnarIPalaNgaLD9YNrpoQLBYfhKytw 9oQzlcox5vApfJqVY3KHN1Wm1s/ZLmCusxyD1grsDX/YnvG4tHV754ioTiB1LcuHpIABWY9Ub8fk 81GP9FZk8CCMGHa9YtM5NPckPQaytm6omwmukTfzvgY5Y3U3QQ3cPc0AA9LuTH40WrEByLsTbc3E 0tUGtlYqW+scyCzzdRzH6j/I9iXH5p6ZB3vqd9BU8diRwXmpfD6I4K9+lJAyG9jz650X2Huin4yc sCbigXi4963NeOIe/kbUstmN9p5dH/UncJFYlwfzImgFxf8W3R5wNk5ZEZ7/E1rPrAyV8tgMlmbv /KdeIn4ZDoaso80maY4n3Bp8/l8OVdxrQp7fVCdw+EQRM0nzYPtUpeYlh0b1EMn+AAZpiyqM3rXx dme7RqOm6uyRkhVo9pXROWiKXgvgd6i1ig9qXkKj2StHuj9kpZV9By4qwK+mmX8jLQwsPtVq/TYO AElmPEdVqJm4hMoz4yAop5m08lnKglQxwCJFTzMVckINUt0VaAnp92N+HWiXIuSFLBkE8FjJWQPM 2Ym7Nq90gVR9dHvnAKWwBMzjNqzaTVxUKQtcffby5vMBY33+xi/2H0oL+FRFSuasOs00tiuZZ/5K 3H9pN5Pc6iLMaf+Fgbt6EaONckc7qSAQDfkAySZOiLjoVAe/aE5qzu8rmwi0k77oD3TeoIuIDar4 AV9tBnGr8PlIsPR7VUHdstULzsuBzydI62bbYYqlk552yggBszfoyxaBFnFoaPS59OfvxQqyRgsW znmOcvpCoX2DXqzj7MwJpjfhpq6QifOinypZodUnTQexWO+gjHCsNi20mnrT4Fi/Qm5srqIdssqz rGKpFsae67pY1l4dTnWC2WHZc0W7U+6MgdtnPstKqU3Vsc7R5gq14/M3FQor9xPoTjoLAWmPfJAG fHAJNrt00pr4TX9dgfSgs0GRVYUfU7fwnc9HBIdfai2b1eSb3wSOnwjZEbdSOMx4IJIsI+XY3U8a sELd5yosuS9RH6fgnxyt0oCfDZyyRQJWk0gwKg3MBKp6gZfQV3gh85siPwHO1ZjTByE7KMooZAcq DYHrp7UZHlQ6zMChQeFzFcLSKW2zihFlAEtvySpYY3aDnzV4RfoeDAN21dvzyuWOtq7OTu9nH5Kf PaFKXS11Jl/yXInnh0CGdVlY0146anfxoAJvywaFVic5lHUtBLH4/L/SGwriktdY8hXnzKH5J+Fg U2pOIopOgod4Yi2bOIRZtyoTun+ubr6DItEUCR4rvTkbD3VL7kJgAKvM04H7AACUZ12fT9Or2UtH /RwdVVRuGZkkPrl4GFf0XOuVz/9TVBHPAXq0nj5F6P/J5us5pqYUuqEVhqCAFaxBjx1iYShaoJUV GoAOm6tqYkDVxYBOXE0xIMJ7qC7VC083SPpjN4LYntGyd2W35i8d3YQirDEg5aDTHTajphzYJ58P aqPVb8ImTZz5g0rQnaxQv4XA4p28kDxMlFpV9zZtYRW0W3RkBQ6gQLFq+01zAJ2PAyjA/Ofo1jaE FvySYWMnHqcioykg2HtxtPpL/yVCmbeFDTBRMX+Yzk4ImhRr8wOCaDT5PmQBB+dGapcjkgMXUFyG KvI1L47mXtTHQYt4e0unIoSzpRUD1rW0ept39NlaK5G6BuTeIjdOBzwI0BnqpD8UHrLLMJHrl0Mf 0JQNVjw0WDy6MxAxYrFlvWlEOypfhxa2IYwR71yF/kMEM5AFoMx55Oz+Q+egNdf5DmCihe6BFej1 lj1Xwbyjhe5nb2f0Dxi6A4GPzJ5VBhCLicpG4dQXFn0GcuwFKkJk0zGPUojsnMf5dRdFzMcJevkB kcLiLxgRIocTcDxnVgiQGUwDS/FinyDjAsjskrwJzUBUkxUjZHRWLRFGyrp+oBsV49R2paYLGBGT gt/nvgbrj9zXvspl1qgkE/qBZqq6bJZkNBhG5syW2tAn+uXzwboq34EDeBdzPEXk0BG00W4Ay8nX Ve/mklZnXcXXFThKNlWhzKJSQeSzd7R1NU8YumD2gTpDhYqPGvabvyYSJEkHSnJqTXvlSPec8vCU MNNgxatULM05vwWfjzybvkkjCCTnesLR0BOUZMXRq5cZRp9Xqzop2kKdL+EAsZmKy+1KnrOxkfK6 CJDVKYJDGF9FxWqpdlV4JSXaiaKWba2cbHMVQmUkHDjkWgxeGhuq8CnTWdNr0pv+l+wZUlFjjmcD huj1IiGl/GTPVnivcCO1QsNJHzhXXR8VYa/U9ioymm2l3tPqhEwAYCWc7BX02CpQEbkME8V1kA4t vMJC1/cEtyC7XZTys4Gr6kxP3sRn1/NX8PngrLpSi+zUJ5J+83pO9ih0p84VIl4vX1XVRDtTwmZo viG0gtZVTCm1Wnt5Rk+f8Uct0bbggA15PEsJN9YVWqfwwkOBkkC1Yi8dYf1lfEn3tIVwxtZVB8SE 66rqTf+pMqwSzs9e18oihw6hs8h9oFfv4pTino60Y7YuDgFlnKvYIlSlvlHVIeTotfYBJD48bQBW Q1CiCmrqYCgBR9GILsoPfj2HFqGZBnznpsk+6Fyn6ADPdZbaA4/Q77BdOxCmYPOuqtAklGbwANY5 qg+GL3ZaNXqY8bRqeRmqLwcuoQD9uCVvv6OdVqyLtlJkptogCiYxHFTjxyYvIX0R37u9c8RxI+6x ULuag7bg3FyaiLLm5vP/QtOy3UM6SrpBe2wUmuUYvtzSIkk2CA2c7VU/uPMur8mETqFmdpXMSiZd K5mOnAB8dGLWcLLXMoQsatTopvvKXO7WULq6XaFZ6GQHJ3UC2RMAZ8IxFGhhs558co/MD/gvApW5 iCH1nFd/OIaK9n5lTIpgtSRH0H2Ze5DlDpuuKGon3hvTJHwtR5uu0tAnov8sSicZyrnKcSYV9hGO ARzpilTq0gemoeeQJViGfbIlA4BuKD9YwYqzjbpM4BqKbTi+DyxEaelrbYVhO3sTbWVn3LThfnMn EG0mwjiY7tpkhZD2bZCGMu/olQakNazo4XCHvzGrEbMzwyogBMMw8YpSoYzGb4pQazzcTSqWEHrB 1k4wUoVoPB+d+Qn/Eg3gf1xhEXYVK3NgHwolIZxU7KwY2bR1T58HKe2sYk1QnZQQhv6hQ9VR1nh8 9KuQ9+qku8w6Z3BSPQLUK8CQOyhDiwaiJqi77KX/or1V0FltMGxR6VJkP39D5fNR1f1bOgBUgtGv 6FIOPUQluG9cLgrm5OUVv0ZIMQF+A59gcxWBZVoz8rKSZ45elymYgEr0x8kTVypqEKbCSwE9I/BO H/ZNt5f+o0MIR5nBAaGtXOrn+emJygIbjh8QCQj8kviEYzKcX3yywrI7zlioFiUHrfXtAL9NThbL 7pC78W0Y2xYJDbkUw3O8CSGurSSDTFR85dKOSsOCkwO2IV7Zi1h72UsH2zABSsyWrA3WojgppxLp s0z5/L/8CdSmRjjcn7kKy+6d9iAUL/2fEEb7ASaTycXOM9TUbWGFsbvUKPIwbcbxaDNOGF00GYad 8+Zcf1PUUyT5uUG6rKBh5Cgsld1DN1GBIUem0TgHld1zMxW0dq5fPh+UsG72zFJywbo+6/4iZUJD 0UpwzWbHh7DkrZW1GTxQKp0u4+OCsEJHURlirSnlT42arUHmCJ3Kz91+gqFZecAPWp7CZYXx1W1+ ZQH8AktRO7I63EwEh4QpAw+qCRQqL7gTP/IDguBhtK/pgs7yyk8tOXQVJRYIp1vyFDqbcmyFWqT3 nzMJrQzfA1tRaD1ySW2C4Gy0puqJ54A5oEJjAqaB/S52yBb+MFzdj3lYWfbK0VRluY0PDd5+rpNO o45pCGxF05efrxheHSaQd1mFtqIzpUfKg3bjzdkmdZbmAKxBkxTNVIR2X93KMfMZbaYQNxbIVSF8 BwF1drn24VBZoAFl7H3LCYdirMBXFLudbGaTBJekNst9G9ai9H2GzHUOnUXTJetmq6n+8Mj2yYoC eGKDYQ6YHADSHs5JmdvLfaUmn6wwgNep3krtd7SsMNOdolGk4iwsVN4JluyQEz7BUsGMXbBaKvbK EQwSVeQ+bECJQhA1sjhZGk1l8QMiwwKPRxlk4XQHOenOVaidnuQ3npcjsGa6YJnu0Tt46HcLxjV3 bquzWesdba4WuPOJmlrQ0Tm/gWnpIMKHFcYP+AmufJL8lSOnaAVYz8C0EM7dWUY0GQis0F/0ixbH mwDtxnT9Z3LgMAoJBoSgiYR8RlidqHh5Re+tiL6hTO5Bwx8Wo7oAS6l3tIsQSLWO6WLQQAlsYbcB ddhwwi6pPSZ+tdo7R7AGFUWFluluxdohmtmvJ2QOTUbn3YJ0O0jQ0erPqooCd0nKNsAgNFUw8rXA fbtmONgm3ZuEgcnonarUVE3m6FMF3mYfJAUA0jIr611nPVH8DWWIk6Y92kNKc0Kb0Wa2RnQ83PB5 X/J/akP+lj/nExo/4P1sXzcnZL4NEOTj1Z4Dp9GsM2ZSr8G6z81sts8WHLbEuAU9cg+sRoU2wM1W rPtcnu7zSftO/IG4CPWGs/VMbK9S2bwB4IcM9PbqhQEJzEZRLpCn0SZuG5eR2PJQgOJh0zPKDaHb KLntT7kBNeB80snbJAwNRwn4nnAmdMTMuMLzU2wwZNBluGN7DhxHzypVefRNeb6DOF22PCJTAmZG cqBow565A8wcVElTK97Z3vmPxheSy+2DOhQNviRsP3dwAgLPUWBGv2nhmSpcNNfQZH1e46tsofuY O7u32Fwm8gyFA8+fzxJrNlev0VUGDktie2YT2R6byDThFs1ECbUG2LknE+IFLxw5yx7XJpLdss9r bJVdWAcBrQ86rM6BUZLBqvD0yySd/91GvFRLA7ByUpSHdn00Q0v4qzx/rvyQY9UG0WfQvh6P4t5r VJWRcChnniZcMR+p4n5WJWiEwDLgFqxFlHDmURuhCcWLzRODdf/Pa0yV0b9hbz6R8cxBkD6447JC B3Wpz2tAlUHk6TZFAs8OBirD5ug1nMpZeMWxV/F7b4sFPknDMYO/E1glx6i9BlP5Rp4kL/tokScK OyDlCPi4IMqaVQJkjH5WDxxWLPCUOeRrKJXdLboZpLa61F4lPdHcotvnNY7KamTYFPE/PQsosQiK GXoNop59BkduQf7H2maCjO+1ijHsB6wE+hpCZbAFVVoXZVmjNwJPdLPHpDZThRDDYoUWzZsOq+hO IQSbIZC18S3vM5SlJ7CHkLRIok0p9WQVLOZD8ufzGj/lRz1dU4RIs58jwaboNXjCQ1xEY5i/ODqA 1Ts1uKcc6U/BQDr7RhvNHAgMNJTq4yCWwAk/n1x/eIt3oL1xxSUibRn5o8l/2aeUjXuNnLJrVCy6 qmuwakupeVlXuX1e4yY8bagOm6MTB+3zC9ocvUZNGQEI3cNmbw5TaI+WbHWNr5HY1qTNWjBHZtBT kurpHL2ODhIJDJRROTg5zKCfBETlAOao6H2gZ+Bd0iQf1mCOgMoD11TkrY2klxg0RKuNcfk8K/rz Gi9hTdTtkyRAwzyzVLPN0mu4hHNeCIVmlQJISk6fJaq4aSURiipLlWiWVAZo0+x55mPPg4Qtc4FA I/XEzdJmWCRdnKh/kurW73ZjdvcaKmWzDkMVmwVhlkgF6UeTkZlt77V/XiMlO8+epYSLdkKPSpP0 GiXhzK6ke0suh3wkyacjr4Qvr+cq9N2lLHF4ZhurYTyjLaVzr4M8I/5ymSc+zbJTIUYPnl9nJdQr gTYpWPUaIuHHoNRJBjML2CCkHcNafPMEAPzTT3j3eQ2R+J7fhxL0Sude3WbptbTJ+5BGmTU5Lijt alInaGJe+tF0g+jXwqZvdzSdxMXlaEsJZQa4ElDLcsAnU9jrQlvfE9CcCOwxCCmLpjOvZU3MEkoL 4AmwUFcovcfjpbTF5nHPkEcN5qhbfmIrCRFtKhSEwSS9VjSzNWEAtfbLbU7LdfGnDO+xM8CRgkd0 cvdpXb16xwuNnWh/r8pT6URG0p7HJQHvx5rpFZwuJi/phYOTm8BYwgiXQUp+qNrBC/WH3br8eS1l ZvO6vJOUufvOYXADySjWpiYQDu+LMSvj/xadzu/fulMkw1BSp7fZq5XHXu3kEYiE0Xg+aUSCuRYT vrO1KIyK+K7Oerk02185WE3sr9P92gZVfXeacpCgRtw76S8bz+rO1BgwLqt+x71T/nivypzAxc8A BPRYaYpKylipdJ+nMOS29K2nfkebJ/j0JVYq0E0oEExnEWVRPbyg7MrI0LrFk+qM75Q/7AA5QvdV fEjKdQcR00gQ9vq8M/5wgO/1lZgsQPjhH2/zFEXdVDOZ2iQ6m7q7qJwzd9q26xX+1gYDCuZp2z3X 5JXJ0YPK3c99NEliQzK/yD7Pq9GujxaZ6TFlB9iEb/w+TZ2iClQBt0HTdHbilrB83Xw8CJmG33MM RinNQDssNaejI5wN9bosyIa6YXUe95TBIU6nUrbXL6PY2zoIrx3idV6ubRaaAOkfKvWeTUdgFaoO NABysc9U9cpBDkeFDnYobFCaO9MSzQE1v8871w8H1PgOLQHp2uU5nt7jbxy+uOrghWDz1IuZXYBD VS3+Pn9YcQb3ewCOn7mzIYaigY9aTjWDH1NlG4aAIFfTLEbjc0mAsKfbapmqXYanExm2k2yQBLhj VZ13N8g94cg5kdjnneiXBaDVPFGGCExNIBB9oqIgnGpgY7sEAProVsWE0O5j6ACdR03UexRuHXk0 WFq/o6W7aIw3qKGijV7S7lyeJ/cHIQscwB/8n5dnS5Ond5ofCx8ILE8MmX0wpOKJ9La8+0r5vLP8 kHUkjwoIVBQ+rdyN9x6GY7sSb+CqCVB+cCHws5SHCA8nC2jdbDLfSX7POY5S/h1vGQ5RZZLsCxRy kpwNoUMBIxXo5KB2LavjLPmEKA7H/OOea9MH7bstPWhed/vzzvBj1nzj8K1J/YGjks9TFImTCjWX hJcmD6jUrLqb3B0aFWa3E30n+D3z1MZ4Ri8xrdzhU0rSGmwLZMa+ABf/2eTOzP2oVM2uN36fp8Gw 4KRS0uJnaxfVyvOLpyFJjs7Hgyhz3bCAhTj4F5For3l6j8UhUMUOZx/ursZ2v/kaOqKM8ZPDDKJg fEiDf5vH+L4e46MAxjBXp8JEZQStGAuqnz/nPILFWr1yHDLre6f2Ze+t5Na3D1ZomjgKfwTq/Lwz +/LTLbBAE+pWeEGfpygcp6kD9DfcOzvV2R26MqxG1zpFeLWeonjc3PpWZoir0Q7yEyvDZ5xx5gko U62iMmwoypzNjQfGZbdPKVNF8TiqY2uMnu7AeQKyKlljF1iMKCDPt5TCljEAk216ZvdO6eNmJ7V9 VJds7v2a9aW1hnWgUKe2omUcjvP4rks5HkffdnNCiEhyZ2RvT8m7EBSUSIBABdwLToBff94JfYzT 6L+CnokNFj+hc8cws5b8eefz5UeyOUsRmxXEXv18eufzZbOBmMpYzSTEJwpS7aofsJxiiMR3Oh9X pvaSKkoaFUCtkyqcILxTgH9T5JprD6Ld0FgCwLBCa/DK6A29cpDgDTodQ9DjBEzznKxqkQNft+n3 jWmf7fPO5+Od953koWK4U79TFYXkTEqhquTGhpXKWbKdGdVD8rWTlXnfyXwM7oXdnNLi53hTPBgZ ZWIwztGVIDrAPh0JH70BNwis7YWbF71yVFYhAAqQbQgOoifGPh1knCSXAMT2553K57f8nSjo/4AV 5hMVBuXSAe9efZq5bke5ltlvXWVMK9G98/iygSHPXUdWgo03d8korQgD1U9q12QSQtpxbo2hXnGB a9N1eafx4Ys2a+KqP9VhHGfcn5lecWfKZv28s/jy43qsQwpySRv1L5unKChn43GMcZ0KAOAxVA85 iIo1S/Mc753D91TFi6EQy0UhQgicnAVK7Q4004b00jNk2TbpvBDnt4KvbLHeKXzZzcMK2mYclKyd NzwHu5lDo7kSxeRj9a/lhDNq7XGnKQrJK3Uverr0PSQdFpIbd4HLqU4DXryz9/ID1gQ02kfL8QZw mQVCQ5DgBClJuAs6TiBXwcm0v87ypVcOYqhMOmihNjYHllZaWmXxrKp7rs87eY/LPn3feWfnT4q6 aJ6ikJwaLBNOXj9ulLktF+5MgM20D37amqf3kBxHuWBPazyjLacK2G8Flf8sp4F/RUn1SepeJlyw 7PRYZdJ59Z27hx0wpA3ElJiDSlC1lJ5UWln7807d44n86xwnB7d7K+qduZfdQrvO6tzZRjKYtN8E zWfNAF0ShprvxD2GykpZBG7bJoco1OFJhCH/x21H6RJZPoCV/7Ppz4YKsB3jDNw+77Q9TpPoChQT hvTTzxBOGlLQhIUCOvZ5p+3xvvEYivck9Z32Pcaj8jiZKMh/r7dhJTRaqfBqdjydw2DZ8fQekp9r SP4EdGv10VPh8/zuQ+tpb9Cz5R/WC25lNCehKmClFTJCPu+kPdZGdIqrszmcXDXBdGNIfpJdPh4s p+m3neyOzrbLw0sr74S9bJDfCZ6NTdOW3hT9Zhgbm+tFttLKO1+PtQdlLFuNco4WkVdKvi1UyE8A ijmT4BLBYAP7PMPN1tE7BPy+s/V810FYs/ogFMHJHyh8AXT0+LyT9Ziq3VOcJQ0AmOhmYV3yMCZf pq1hYjfj/LMJuJxE2sxVWmfdVm3yMCYXymKtr9FrUNDWQDxLw2OAWqepYE8CArJKQV5aQZfy807W Y++NJGwcbTYoxVs4HaQftcvnnavHW9knSoiojR69r6d3ql6WaTeVbd3d0OgbRDhN558NmjJpmuIK OQTbkqICGzVNoM2eABr9kI5G2dnC0pXAGoBkejJTni6GUC564z+naZIgxEHb7qSzQ5SXNvl4sO3S d4G8gCqYkxfq3ml693TaMidgSzgVt3NIFFwj0p62Cdx27yw9bAfRzVbbz2in00BKTl9uGH5tuQuw YAB1Q1wQlB62Q7wNvXCQ34H2NOCX6ANnqTQUoGTGXsrnnaBngARNCiP4RDdTv+ve2Xn58Q0bDrGf pE6CeQ3O0zKIPTBg4n2+k/N8z523TrhzbbRZQrt8ZHWCTxYMN02BNLC9iIvPtV/uxqT+yDs173al CqrtdHPAImS9LZ0wdUrMIJfPOzOPdZXvGBN/7XjaLe/EPCbfSUqUrq84ZnN+7JJFe6ES5bJW8Dsv D79T4/wUWAv5aJuuUz2JmnOLJr5bmkqL2wxbG4BNt/FFH+PzTsrLbpUJrocPOpoGvO54NJ0f5vPO yEPk8qv6hKISmFY+S++ROLrszIBTdxpCGdMb5mJMY5YGffA0S1FxPA1zOy75jraa+rnegJQbLD4t FE/NKfLcfhN7EapTF1bAhOWdjYf1z2bw2hIAoqToD7EXWaJBMFnjawZXXXJQIf9b5Cr9FgreuXj4 0iyRkQtRKdcHC6QBh6jM4Upl71S8fHvBQ40mjQ6Zq+AUNm66k2vXtGjSuhCuQ9YXdSbcpE7yJK7w nYmXZadAkvX0QcWnBOkD0zzqn3ceHiOKb5AKri5YBPk8RYG4yFJN0j6dgJU8HKWy5kXUb0c+v7Pw ntp4Xz4yPp6sVgxYAmcW6U5YTl8CzpP0blDSrlTW9GJm0iv/hZ1Lm0qdieUrnk4bybvQBSvzRaPu 3fe+g1AT+js+UWEkzi7nCfA8s2syqGXlKd1mcME9rQUVFsetKSXepkbPWADk2ptNhJXAt5ea2waf 6xzgyOprahfLW+yV/wYXEPVEMcimvBdlUMJjzv/H56MU+B7jlF+G7+q6MMN3/l0WVxmM5z28jSCp H5E0qgGfoG5r5cx3+l02wxtsJklJcfQcuAD+1GhcfxJzM0tFTxUl0A5UWCvXW810Dt7Zd/hxq5K7 mn3w6Klu9YNPuP95595lU17VRKkh3M21THjMMBiX5p3MGiR/113Hrco/bOOMWnhvAjLjArkVCQxn sNrjNY7AgKI0FFM8N1yirMAA4umcXmiOdHoeD8nkLr3y+0RB7frEly0RH46EQWxDkJqrCiVtft6p d78aeGwIA2CxZ/Os5Z16R2wXVcnYF/4fQ05pvJ54ppj23YCSKvQlKlbUO/MuC+OGCapmYV+L7z0c 5GduNjmKEzgJKuYAsIKkkYjT0q8o2ZnQpVf+Y++dFdptMCffcu6eTfpMb2l93nl3rGr8womhLdGK V5/eWXdcUKwWyH4gyXa1mlvDmF1TBgz0zLagouq48c/LlDAZR6vSrdYwSwSsoFIwJV52NiFqOtTq rNQ99/Su65X/OMxRG5gahuapwjODuV7vuX7eOXc8ovb3WX7+fqiG+Dy9R+Qgc0wqCRPzvGhclIdt vLmMLNywWezSeyfcPVkw+HV39LN8wSIO1E50ps4y7+b+SPRhBRzxHMLrKY4PvXIQHFRioakSr0HB AYzgJcw5S/28E+5+Aca5Sc/vj46Hz1NYHB8szdWrDd9k1iDESnFkTwNmTIjxsDhuDU4RqTV6EHV2 xAkOWKWD/FgS4fPswQ72lrw/sqfBKqu8k+2YBmeWCThbpv7HhjD6CQJAtfZ559qxBfELsELk+ax3 PUXVcZYLTmCYrSs1ap3jNltaNkDdhlMdb7x3qp2v5/+fsTfLcmVHjkX/OZZcWuibmv/AHszMHcG8 Lzz30YewS6ogI0E03ljDRnC6o1VV0rmXypoErKDzNnSWLf4Qi7ySUq4wNZY4vyeaJ3Q4m+R9OGie TtQv9x2oMXzeaXaeqn0Vx+FN2y7/IISNE9dDDSJN0xApeNKb1gMDCA1YVeWdZOdNBGBQV7ujTVNH ubobzxW6bT0bjeVsu0lj6oZagYXkWk5RbRzVIwDGWbfioCode1/yWG/1886w88YuZwVLD/4BE8gs m6YoJKdm8qy04VSPkwwa7bq81o8ranUj+7yz6zwkPzkQfiAfvUYHfY82VS9AbpRUP2/Qyhi8SFJ+ KPlEZ76T63ieEpYJr3gbrJjZ9OooPs3PO7fuF0yMkLKEC6/dyy4MyDcp5sNNMifPEAnaTTWqCmGH xewYWggdFxmKshQ+2uF0pvuEjpLxAR69VDEVEtqauDYwTQ8VGH8svieICXh47y1y3XZyXUYTdomX nzceD6LM/p3goQUO1LZPUxiNs9PS26P7N4c3OBvLqQRFUwFCZ3hUGlcugots3/HmLRM2m6OwRgeS s1AYFGOFehvxMg84c+uNg6sOp+7oFNrWoLOpQOGIZ3grA48HwIIHJIbDCQySuW+npUfBONxpAIou Nk+jUUbO1FybVZ/Aq3Hmz3swLqopDqVh1brxVMZPolCoZYddt1bORfQgSG3gBYHfvkxNgq/wNVEf WHrm1JHkYJieDOdsTtPm4xEk+jsUhwzMtJfAl0aROOVj5qCokBlcdXem7aK6oEZHMr9mKYKq0C+j JHYCfLQaHbXyoaCFWeon+ihacShhn8umU22s3WoBmpT4niBjqYIcSjB/Ov0ebtM0xsLdM/F41Lab 32fThNpg97Oph4G4qk+9OvoCBF0LCJoT8BoA3xYQ9Kgwbu3y3ke9oyUsuW3wcRSII5Sc7KycmByL qpFX1B7ouCibPQrEp4hkyoRpMiFVbvx3O7fdmK3g+YC1Ob02TgwrqAzoKvpMxbzNLP7PtobUKNcU c8pfHMz7nqeJ9fQoFJ/y9GjFCNLlUY+EPcWJOipiAsTiSHuVsiARYA0eblBX/YI5cI9C8bIlKzZU Hcf1UsUm6/DkwlSd7+AHRATX74omTZqe6KlH9M3BZguND0zQgVbzrNRNauSyUjfQ49RMhcG4KpqA ft3RUYdt9wR1EAaZ0IithvYtvOrUyrgVzcULr0cF8jUkfZGqwqcsSZVzF518lOXyUffE88GNN9vX RCEnXnN7WaWH0TiNF5p06ibJ0un6rFI6jjJsSDBkJdBDEqcq5HNJhY2jLal11vuERTcDg4nKFrnA nT4piYksmq1+SFV75SAykFEadh0GFErZYUKiV8W8K3Xj+X9tPkrWwF+1XBBGj2rkdHVEA9J8BEZn 3Hf+WSGFulVW6RSvZLmg/wM/nozAwfGCenY6ST7ABaiAUyBYoB4W6mjAi7PnCpJOvXJwmlOArS8K hPSppAdLKu06RUmYMODrUUie5i+4yjkTF1R8babeQ3JoRvKUIi5C1Gna8XGmEJfI1xHoiaUCVI9C 8q09hwLfHZ+ZglMh5UgnRO9Z9CXF5fy6FYy7Ik1u2Z5QjrRHVfJK56Ek4lTKFmy2qqMQ/1yp4fGo YOAFqCI4ECzt1t17EYScBMPRycal9loCXsIrUOaFeXYhAOHaexFexbx0mvFXzsjDkhffgibdYMUA Mnwzm8XxpqwCCkDnK/KDIT/zhS+KJC8ISkg0KeRglRUozfJcP5EIHo/gmfNXyeC8LtACPlFhWE56 7ZzMXpgWa3ExHgEjlaoXjTmn9l5UJLfWeUtqi7fUL4e6ZwAXK8lA53dHS14CtwAdZDpCnyNmeUmT XvD4oigZZh9hEeDDQX08GEsIUHfuDTweUc1/TxTmW8gZUs2juHzKOICkZ3UTlh3sRPwaYoV8ceOa R0Vy+T+fmGn0O97uOTB5Q269UPIorOetgdnZUGzO6OIbySVVe+O/Spq71uqDSFOIAbPMs3PG40Fg 3r9Lmg2uAetuvBEF5mRgwoLEzMUnyrweRzXj4327WI2wRG5mxtvU3fdVdz9zfFJgxKDsJUBljVO5 yLOFvjp49XRnkuIaghJ8UUTKJ7uskwyUGiyVbBUVOKjgxm+YqLBGvr4nClSK1baH5iNEkCfWfvu6 0B5W8q0I5Q1PYFZ8oqIaOY0wz/+D3B6OzKRoZpdw7qXK/nnKdEdj+E5lVFBpkHC5uQKoFPiaAMfK PDivYYPsec8vDQduYgnP/+DxqAbVvrZdgzNivaz8EYXlrUvKdjjucLZkdh0n/0pOwRvZAXUjCstN 2Wk3VcjP6LMEvMI5AArNAiAi3ac6omevZbgnZvk3WmAA1UF8TYTFEINa/ibTJWdgyUK/H0TGG48H h1P71i5AlfukS3ctRSE5JR4AT/a1lNbwum9utTtxo7qG7YhC8qkO5zQQOUfHP01IF26F5Iv+kBIl rcDLZ9rM4oaTdtEkAW9E9XExW+pk0aAyviWyAEpLYr7CwwHPR6vp4sSoiHjumjn7PcTDkJz6apka uTydMs0pVSDvZgQDrdPsh3gUki+T5VnyoODo+fC5I5AfUxgZaqOMNzJK5u0ELziV9xW+38leOMiG SxLMt/rANXQWUylLFuJj4vHoaLo1KDxHen+6SlgjRI8PeTzfskGSci3XU22+nto5tGyaIvS4C0DC 79xHW08JQg8dPwXQmRkC+JJ8B+FloG0NMwPHjqPwha8JlhNkZgek4TUYcRxNHVpIoVjS8XgQO63v YByIpZGvrsqI6uPQ+0SuYj6p558C+5JXRrA72wiUiNEsRcH4FBZ6inKPkXbvhKwAkllJ5VrnmDtH 05b/JfAFs1BFuqU7TYjd8D1R5MRpQtSFoZvGNmExiZQ//Cx4PgrG0/dyQlF1Pu27EQXjQ8KiySsG 5+rbnggnOTFh11GRkBWDEQbjRsCr0g/haDFBgZxahigrqiytZfl+naMFMEZg8Kn7cfcdY8wRBuNN QAz5FRfW172BAGuRH27LhQ8ISgbVlxSBCeeWRV7lMxVG47MKemgqfbOw1+MmcZKCBGTFaysjLJIb JpwVQBs9vwPdPAGqoXrUoLlyxvlpWfPZArSbkH+CTvKwSk5tlYbf+AwgYyurg5X1YHt47FzwfFRb 8ZNcXgtUVarViwYzrJMjcXWVnvmQFWnUWJIvqgE8DjO8GSsaqidMUWMbvU5+LpYTGJCs2NFWF/ls sZKzGpfFFewxkvAMMSvMhAts2GxQggd3+2KkzorHg4m6TbzK1XfuEqSeNk1RPC73z065V0IMOkxa ld/ltJvld7AgsWkKOZ0KCcaWACRHu/HOVXRSSBgSQSOrQf6O+EOu3gwFPxRix02EaZswY04n56nv O2ieaFcrVEYvePxfh5RC9wZXdW8ozFBjpZGQT+FunVHLCQknHHeVLNSlLTKYIaHThPuSgkiOnrcA nTnQIgd942wWtV3OtYx2e6N17Kz1Qnso9jDDSvkQxr5uHxQbnJ0tPmfdq35mFJDPm9+R4XJiE+oK 2zxFATk93k6eXS+edTthqoNO7cSy7XjWGdfJRQSaJkI+bxsPcec6m06XHigWIunB/AzJFSY2UUnU vGSX3jhqKGB+JhQhOBgCqgImaxoGZeLxAGIwv7VEz5YBZOXZd1FIXmkr0UkY5Tzt3ueNNJsxgQZ9 QDRPUUhuGgYUafHR5qlmsGCRciMkZ7WXfE5G6Rk865+CW8PbwnTumiGhM8m3sncfBD5cpVOn+dyf veLxf/Y7fyRGA3tNn6cwIkfoMzZF0RlELdoLcd/NnqutpwSmquYpgqy4a5dB6zje82lRQYxqlgn8 BGplnRh+E3g7ftCY9RiKLp8zisgr0dGlsQjFQXXJM9vM5c/NNwYe/1eZTl1hSGK0K7w2I8gKbTJA knKJlUH9Mko9lD269RIANVJgMKMS+UomQbPzHW2aThg8U0vEsp7/eEIGxZpwDfohNID9MUfbk0U9 o5A8sTzXCt2ugT5SSH6eQvOIK2TwNf9LuaAAQF6u+OoM6ZxjyXXqQn6396ZOku/KIQOqTbaaQrHD ag6oPd/RT/E0BggIpClCZ75YywULaMPX78zkl7PZ1htHjQTa5C0YGmhQvQCViCWw/ebjEVDMp8lI oCcNXh5mzgiyMoXske1bk0GCC4oxwbjqopbfzSggH1mXXFM7gaNNE0KcVhG1UFDs5KuMneamcnRB 07DWek/xQbD9jALyltWSYl0lsWNoQrUn2GORAhZqeP5f0iGcVMCydvNq5gz5nLQhRofCyk9rDZfK ErGAoJWE+1sTFSoe6rbbK/c7OqCOfQREzpDzBTjKLOmheCCPrfV0EcYqeuO/gieF4xoMtLJHlrTq +ZXweBRk/ir6nnUyoeanaVpRLA4LNeiKDlfDSGUYJwHs+eyZMKqpnKYVYVaG7BSHtBY12ukEpjAL K6oXtElngQwNdVgRbPzfnVhm2gUrxKzQuoViojYY7DDRKJQdj4rHIzLnbXPSmOr8YwHrYtMUglbo l555s/J0EkyXdx363ZayEDWvaQpBK0J/AeR0Rz/EoaBZF/UOz+G+shUMyFLF//7ZLKuURz1zhZXx ypI4+nRrsKY4dIhTBp3o8V3LZ0WheL6gFd7FE7iiJxZfoco4U7sitWzZCD6tFok8dGpTOnFjhagV aWBM9yPpRMo0wX1Ty/BhYmoHzwjRz4jG7ux80X3aQ6eTd+N7ghiTRM402LvjIAzU+ad8F9r5UfH4 f0FFI2UbDwZqhXzO1uTX4rsO9Dibplq9I0WRZ8UEK0SPd5Nbk1wPR4esJCBSsODxOecUzWZFdW6K n0kKLKu+FhMQUbfi4viQ7jFxGHS6Z/3pPJmo2MZgDc9HyMNvvcMMwtI8EYxPVCw4XghTKe590Giy glJBWbTzBbYHzuMWPK2Q0Cnnkea9qXnFfTMmCVcR+S3gVxf5WFOyLpOVSVXbi+2ZeuWgUifKVKKg WILUfxOAdWcUJARtSnXiE/6pUfcjzO9+9DBWFI4nxpmVZhKyWeRPau275lJ+0Fq3qYoK5CPJ79QK 5RxVgMJeO+nvZNqyYRwoN4CTU+IeadBvLuBnmXMgWVv4or/APedEv4OlwUi+htIWvmcEgvrFcAG3 bF6prBXF4+T+TmVUrD6N6VJ+ZyuYChvw7CnZPIXxONk9W7alNnqgiahz0JpsbWJCWdQD/HTQW5GY 0KsXnXXnhfoqcKGE0BIFo8fYngcvSOkxD04Nj/9LwEA602iKXWnIFdI6qUNzYg6nlpXdsq0naJKL 4TJYItI8hRhynVEt5XpHL/2eJBdpBSU0F1TCedzPjU7CuYNAZsnjAawgy8IX/YHD6HtQyX6jBcdF lBZMslnPRJluhTXyG5ETlz/h63E7LisEkTPQnHvdQLM173MO3u5kbszt3lvrH3iVoqaTRiv8Qi3m RJ4pSa8Hx/nUekJJsALaUOmvyX5wQVeErxxo1zKGguecD2pvZjj3yaJl8D0j3G/+ylzOL4XXTRew suISuUmsXFFWKnRxpoqMApssSKbN1D9EyItU2DXaisrQ3Jq41LDzkERKNFr6Nugq/RRktg4kJy1h RTG5ulOZBkkajG1+LoypdGRWPB6tqO/ogAaIBb4xmqgdBeUUlUdZ9mGeewWqC6Yt+ut0gMEOWZ1q 4lVL9jjaRFGABo4UJOGl2c2pMmdWYRgslEdjRY7oOwrLmeShlzA0WFZbcYxubb3JxyMxmm/xEFBG drpR+X6Pys9TkkO+qodjl2Ue3+jtuQ8zdFlN1P4fKuRnUvIdnax4Qhdoq8DBJp1M5qxVkvCITckI 0mgudq88GiTsGEuuogGloNZ6GPpn3VPqimrt+z0st37c0+4ka6p6krfDqDxLHfJKZfWWrPKLeNPI Zb2K34l5CqNy4X57qc/o6+nETh2HOaWyTkK3JdnTqbBKjD5y9+spPPTGUamuyepu+cBp4h245SiR Bh6PVFa+S1Ao6A6IV9s0hZzOrSrmvM4tdZtodFlecaHKiill7RCxYtCCPdodbZomUJkoKXOasJyS tl0XrxERf7usc/gE6JUD2tRgLZM2NxoUQaFO4VFnxeNB4bf+jqAWSvReWtkxjJz4p72uSQKddFla af0KQ05KM3CeQhi5ME1jtn1H53QOiCPj/6Abr5G7dLI9lFxFjf9C9iDJxNf8KULOuAAh+ZliojJP rigRQmCpNp6PsBjra5rwQ616hfx2KHjYJYCcnPpa2rW46S1bBeqsJjcw3SFipQuC0dsz+jQ1ADCg fMdgMLUtmZWVl+x8oNh+JQyQQ+NrIrMktlsKjygO2nTolm4hVtLC45EA1HcBioXK1G67ZYcS5LRI OMs/GR9I5C6q0dS5lhXqBoUJOE2h3qHQmd0IdhxviofAAKgU1Mc7MAVCZwKjd1YWgihK/zdleE1v HLA3VKiTcjQHFX7TSZy4aU6Sytf8L+kdMChEj9g0heE4+o3oBtcfc1lmgU3kstzNmaSdBWBcxR1C VqRPt5IyYY4OYgUOBmBoUhIgw1aUAiILGIOaSNW1jQotofE9QZSZSBBOhNVxcBBr6kKEj8THg6xl /jLfAhS2XKzvDuvjrKwkllq5mlJfy1ZTkUQNuYpI4jVNcTQuxkZW6MTRVlM78ea5UynG2uAHniVo z8xjYGktkp8EV9lTLxydTeTgFaEOi6MOG3TMlQOfNAKPB3WV7C1zFtIbPHivj8SOInGkb7C52b7n TPeQNje7DD+ark7WjsAqU1VfVPDv6CixcxmUhplAme7savPJTZigIXO8NN3dPDEF3qG8SqYV/CYw moNSFvjxTe25OfB4lAKXr9SO5UGgQmyeBBB6/VrUJ89RaPgdQMeH1Z/OGc7ogHZ0jQ5cXR/1jxBT 7q6SL1eICZFxYCdRlKWIyKAPJUgJANnDGbxC9ZlHFAyBtr10YOgm07sCe8mTU0KTnTnZWbaVcuY0 t0+bH/EvpD3BrOxJAzvl8xXVySm+PxOt6sVJSE7eKIQCcL6g0GtcPHxUlOMpC95p3dGz4Qpdo80c b1X6Q3K+Bi9ICACjCvU4JeRlLx3BDAbdAUlfXMg7qnBQaevnppgwPyDKXr5vPsCFqRv/uE29B+bn QTwCtqoxE056QYM3gsZ2uzYAwIXbdIVQcrE8azZ8XX7wdSBoLDjjkeyCtjGB1HMCX3ymC9hMODm5 KDKLB/imv0TG4FXG4ZwW0jc6XzHE2hon9OMHBOHUlX6QIP75/rlHurMVVc2JRD15vBvhzi+zkkER UxrhlowWm2YrtOhUiJBtU3K0xQV1QHATwDaDl0+RjDL4Iw2bEXWDUZ58T7YJKYrQSXlBXaL4kBQh TJyWP1RxH3w+OOCHlxDwHLKQlW7dXNWa91guq4fuGDtgcfzgYmpAw5IiFhXnKqqcDx3tJFT4aHN1 TkB4AgxtRGAcCBaGLdGZs0bbbvD2LJkhQZvfFPAUBgPQTZEDDlYPPlPFiL3lkfl8kM5kT2cm9yEt e8Z+9mFYOx/CaBQ75mHKt0zNBwQoO7YQYfsx/w9xcgj23NG5VANQJmfnrZO9F2m4E45f0CqgxKAU M2jBrG+KQIny+FEK6Fzlc/jhPVnBPCEpnw98hLPfiYRSod5zrujnjA81WJCIDST/JhEFwIDhEjck oDNlDhq8RWyyoojdTDvrcneOC5BK565rKLc06tgtlPhUx0OCmolKKbKh0p2Ym710EGZ1hlmd+iKi DrCK0EstSgYrzLtTVEN/6LE64s+PuPuVicopVGKp5J6Rq/d/9s8m3bHWNVmbSixp+j6M4nYD4tNp z0dry5R2zlQsGZxZWAprypmjZpk6VTvhdWaxasNviujp5MYClsWhWQgOC17COPt5iM9HTdHvhgND xBMG9DtZYfC+mSbvmR3csryUfq6W7ci7Apc2c1+MBFlkdXMOKEXvbT/RO3AH4HluSUkifKfSSGUl H9bn6k86RlF+VClUK58Uimqke3AQp/HkZxLcb2AT4/lgGz4mw1S7xFFUHqU2hlLBFxM7LQd4Kpbz QuFsnV3vsiwNv77NVmgiZEd8Tf2O3qFJyHBJvl7zJItLRmgLosrnOsI0dxzIxtcjoxhfFNSritni FB9sshpDaNKtJp//lxxSFfcfVauLwcuhr6eMq2k4prk60aNVis+1la5HR/eSVQ6MPRG9iPtZ1a3h aBnPiRbhX1bpf56JzRIKD1jBWcmzWu2Gpa3bO/9BVD8LlDLvHJKFC5Pev5DBn3w+iByS1xnwgtCc 3TgOfKqiEJ5UVZwcXrYC08eWVem3S6NOnaYqwroknVjLVMk52lRBBw4XKuV+Org+5jeEdB1UwR84 R7gAIPGKObb2lDJLZmDKQTN14maptZw0rvL5IH7PV8iGV8FETejyQHPg7onneEiVZTUZEItdP2qI PFsIxkMswJswtPdc+vMn/Fd8dEj1uVQH8FMEmSGIorLb2hR5Z7ELAlU+V6XZO0cdLUopI1PiYFJQ JztYmZz8nurg81Em/S2ogWh4oNrtUxXV1pfMhEgQ1FTl6XWZRv0dqf4Ur63n0OKT1VgwO1BOsFFT 1YDmO1cfhd5VTjbHIap1g9VPOqjJJY5mrxztP6pHdTNmdG3ugqKm1slCVhhYfKbvqjEl7lAx3o81 XGjyiSolBBCu2PtYzWWkrGSj+GrfDRhyQiU4PdVj0OiF43QSm7HFL94VicC2+vpJx2httdIFUfXR 7Z0DhAJbyT1rypSlclU1GqtxN28+H7SUb3gl6/mOX/OWZwKbT9OEBBcxe+14zmIbEK4atqpOuJd8 A8Zxu86qYlSi8lCJBkCLZTHLOeugjipfxgUAymQ5FY1eB1L1ae8c+RCTOFvkUVXco6oNUAIUZ63C 5yO90l9Iqsmq73NWhcRQHD2Yn/7jSsHVK1lNbgKLFdli8KAcWn0a64pOCT56tABZXQaz5/yEFImc 58+3Ug4Q9ckKBQMv+qmSFZp9TpFnK3kN0zX4GypMnfkOVOP4fHCw129za4CgFmztfa6ioF0tibov 17hS10JQqt4NSjUq+gRyZwzsPrPDGJuVZdpXWQatZbYbEVnB7yxJKClVIhUoboOSmdmlY3/qm6JL UHkg4cMcFFqBhtosfq98PqI3fLsLQQYBeut3rsKYnQi9sof34Gd9CMftWjSOK1yaA8tPK9ICzdH7 HS/+pQENRM+Fs4hA9Zf8FqlaVa3AS+crKOXpmyI/AaIZEZTboHgKcq/CNbLQELh+Im/9FiKhzGBe z8keY9I3US/TijJjiS66yJhpzaBnCYVl24MxAkY+lsY7ro9CYDprFRodeZgCPAij3IMARGUa6xX8 83Kwpr30XzqvpWl5NW/FzwWWm6S7UUoOnT9r/SWmmIn9ph6vTVfo/kkrypm3CeFSPWl5DYvIb04X HJas0BDaf7r8e7e0sNc7XfDvnasSOnxCB3gJqCGvHFq+9H0+Ta9mL/2XavcExo1D0o0GrQrV+2Ad xuf/JaooBUZkl0Cj+GSFlfc0lDCruoCCX/cS1mBTmFgYSllobYUWoFYcbbJp1ujohY3/NWTlXE7G WUhSXkny8WipIF694m7sEObQA5Q21X0PaS2P6vAFMAeZK+C85PP/omrLbX6ejPS6C+TYAnTQfbeO 6aji+ZTdha9atK8bRnrIgQeoIKzEfiob5OgLq8xK3j/3IeCgvAPg7Aw6FpKagiajF0drspf+i4Nc ZcALUegfMvPOykLFRF2KtfkB/4rcKYqOeCABOuWzFcJicNRAM6RZcVTK0NiGgLJMK462dEtYgREo 8B7TwvF6R5+tE46gs7clAnBCa5XdQU1Fvg71rHS18wtFEvlNAZZBomV72GABKUg4zThsWW/6nzRv gDmZVyk3h16gZG/Ocx2ZF+gc7XbpTaUENRm4UFnsHpiB4i8Q8aGZ1GK7AtUZaDx2QZk8L/itFNUZ ECgVMrchomULizYDOXYDZeu5YL4ROMDmQRIcLQ0BK0dbkx8QtSh++YHCRy4/za/QEJSF3Fm6WX8C H9MvQ+vOFbDUXpMJHUGXm6mLLMLRT6yZUWe3at/a6Kyz2geyyLkI0NNZ5bJqVJMJHUGxu8CnYeDA wSAye23rsdbG5yMu2+2r4kFAZngt+1xF0XsTSAai6bauZkm2rubMhv7o1Aa3uQqFFnUVUvbHR8sJ z92QT/SWCjWn0HK1njWsQAck/yUeKM2pNe2VA/gHbsBB7S8brHyFukzTVG0+H5k27a+zHe2NuS7o Koe2oIMs9y7aEbPnNH2mzCelitDWfKZi7XPzu1SsxdF24DrnUkIoJ7XqtjZLXWc3JjLcMbdAnVkr J9tchVCZxpyQBs9nOMcrO6qowEsc4Hyb3jQIGsZ3dwKgwDG/VlUUu9PwFIeHg2VktcH0GS12S58H OfwKsGJHIlk27dzu6DiQc5Il4BEpCXDC+kqQ7Tq/wJbA755ebS/0cOX3BLegoOtA9Nog+t/Z52S/ ApCd+Xx0VvmqKhIFANIilfRMVhS8p8RinzqqxMzIKq2zeJaSHVc04rSFFTNKFbyX+oz3GoTe2CZD ecFFXNt1JTj/4j+fUxzZOmqURKoVe+kI6c/IatKZdwPYpYU1oF6taLTqTSOKTfoO3lGT3QDS22SF JqG0OUfCXr2TU8udrOQm6wM4RZus2CVU99/KUvHkaCsL4Sw4k8RhnZNrDtW7CupcHZCxRoBRfgDs OXQJxZoh1TL7oKMdmo5SB2C5PbAJReA+vs4r4BkgFH1nKorbgVNBKXIOP6+UE1Jcf117Xlm/cA8G RqH5f0tOO8N4SUPSNEKssW7cpZOXwS3NgolCAZF2GhlwoUt87/bOEcUN3LbWCNLmoE0IqXVprO65 +fy/8LSCcpNjcY/22CsUWcxM9JcWV5m1RQYMSb17wtihtaawPTQLNberMqUVwNFKDRv6IkA3IxCF DtImh2Ii9wVKFDXQudywoXR1vEK/UDo2jLzkoAY2uJLmcjIcBjknuuqZH/CvyWL6LMWCefUXc2wa Wsixmcl1TEQoEjsi3W5q6iP5dIVx+xYGawjcwNEOrAQTpAVAHoIgODnJ82oSfSFuHDpiLkmlPn3g Gwp6t/oSdF5fgAZ1Af1qlnX8D1hD/IBoG35jsVCoX6iY+mSFgTuruG1Pd0wx5QCUsbbahkife3dF uBxYhxrdDmAGAdeqR4msNYxJcD5P9zIa0wzXZy5AO1cIw7kq1X3pqDza5aFGGSGaAQq5lhZ0VfG3 gnHIT4houd8FUuoRPd4EOXAQPWclopxz/Xpl5hfXtHOVLXqKZy8mhxaiXXDIEyiVOzoE5JyFEDWm 2lk6wQoJZHluLq3S8b8XPURNU3fZSwd34eKphc6aDYYugj8ei8kdBdLARdRMOW4/daPm/tUkDG1E iXYbkyaAxPhBbcSKfpCfEMavoVnscxXBZWiCDG8+AWk5OvvmzMrJ4WQVNs9JOdV6zlILR4cAtNOH ftPtpSORTwWkNMiEaahVoxKwsNqGZ+fzA4JEJ30zSyDaAvzvnaxQJR35GKh6DvFrKdlkne/Ot/IO zI5twzh61zacikw5eoUUhPIyeMRDpLGIhwKiF6YJh2vFK3sVay976UhCQHhbVv5WMTh9AyBjSq35 LFM+H8Wk39E78vz9CKLm0E6UlHroaqmNA4TivuVRSKBl6z4Pr/jFfqKSLamm48nRjyziIRuZE+fG gtETNyG4S2eu4LJUwCd1HJYq76GhKO2wOiokPqjyTiFzNR7W4vNBQJp/db8I1km1PnFWWHunS+2u aRgyeVMBQFWsUSTFf4KHvB08GnqKdnMmEk9eo4KH80vK/wLd5owEkfUdfBn2A9XlK9AGdmRlQfwC U1HZfFAejJcisnFG3JDSKEJwnHhl8gOC4OEuLVXqz+eg5mWTFdqKsms1RnZgA/T7LtlkT3Nlh0KU t6ADX1EogrCZxXTDR+urVsCUG9ADcNvd57AR/AitW/xhuLof/7Cy7JWjqWKbYvLgEsjuh62Z8zmM g4VrCHxFk8jJXxyv/kP3SJ+psOxeWMSSeYocx1f2wgwh/irMZPc3zKGxqKQUztuqVcjRZuqkhb2S WocONNpUJuVB6QQyyytIfkoKh2KswFgUu11+T5VwmUEhQxb8dkutWY9i8wOCm7B9Y0CYkuenBR16 i0JjMENF2VknJsnfJQ6zPYNOF9gQmIs+jtpSq9To5QYo6Qz0UE9oMVtBnc7KDWeF5HNoAtHneLVU 7JX/0vgCGkoKhFv2M2dNDhSEeA+WxQ+IVMH3/3O20+zUpyoUT2c4at7jcgupTtBplMeT+9ODAYn9 RcWx3EnIIo5e7wNJ+uQCQ/nzSdpVR03wBZzAIOJvdNmTlOyVo8YXTTDgNmCD6F4Z/AOurwwQVugv +lTcOVNgjaX+HFWhgDq58kmFK5b+hFyDMtMQVUBSztuUmfIfDqO6BVN6Rg9FM1S7UTpWxECRa4RX UKvaOHsKbDtccqhWe+cobpfSF4vJHFRqGAB+SqOjVT7/X3RScaXN+hTcQ49R9p9A/1g2VfZP2Dcn CWBDViCjtaorMDAZRRGZZ1Up6Rltqs72hUgBrdcgnIxNxoCB8h8ncjuXHdh3Dpe5Lx35atOqvRE4 mijBLgOoBuldHOzIMvgB7wf7unUZvCAme+eHbBI4jWZ1y9ybjs3nXq4F1KrqHMKmbnSfrJiXqhRn a7I4Oq6hn1OWMjm0VxmjMRKbjeDehpoMPF9vr14YkMBsNFu80KUr2ymGwwMa9QfWCXpGrSF0Gy2/ 3LIyaJqZ8j8+W2HVfdM7xOsx8B6tnj5Pyt0zfa7JLVZy4DiKQM305nu9o2PWSj1JgOzqTtywh1r0 MJBGtQ2wEdRtXKt4+ztHfS/2cnKuPqhBcX4CzwdBCQg8RwEu+l5YmY2HVG/2/HkNrop16sdK2VEg c7tSOFSqhyOxkK9yrl5DqwIclsnw/v/Y83ASRvhBhuo6MbwM/XAJwkcA+k17XJdI2lV/XgOrYrKE LIv5oMMqrbpVyjo/xec1rCrQ4FUAWhiAIhjci1pWvHejGaKjX0vdsWpV/9xcTVM+22YardUUzFDX fUZHBx9thk4ig2NlqNDewcLhWmqlQfcHICVefvLEQGyGr3mbohN7Yr/RscAHQfra2elLMmkLT79N kWIMTRHnAxwotU8xRa+x1HmIRvZTq9aYg9vFd3c34Fo7CW2xa+81kiq3dbqnpCo4WtSJmBJ+GtQG BzWxqRFWgM3omzdf9qBT3pCvcVTBwUJ86OQpzkEV4xMdFKZskGD7vAZRRXpFmiEtvTM5iYqymKHX EKoYE3wIni//mbwd8t+ywUQbVE+sWvwaQBV0FHUQNel/crRFNE84dbYPk+NGDo7agGwHTlB2UbOw GSIU/fMaPhWEP5yhQv8+eHP/FKmk1uJn9zpn92v0VPLV1ys3zoTovU3Ra+xUzCzkzEv2Lo2Q9ogy O2hEHmWC6ilj32ARDSVuJUtSgKPfcJvCVUAMgXhUqroU88QBpFNO8qfzJZ9uvXBwFgE7C3Jm88Eq LUBwCWdV2+c1bCom7/7M0YmDN2TrNEevQRN2J1k2NTlzBLeZG9gPinCqk5W39ZNfQ6aS/mdi6XUa QXc+SFAoeJxFA+mc80Yw7ZPe7iAZDDhK9AtchL8k2bAGc4QYgSxfEuYbsmdhqs97Fx5N82ztz2u4 hDVR+/cknZfcicRvTNJrsIRTPkvDY3lnJhP2Ic4IZfG4kPj3yE8lnCRJWxtIgaO33NEZzU1ScWha VxmsnQy/E8m0WFu9uy3phYPdRsZI21LyYAItRP8+dz9R2OcM7Z/XOKk8cZImCRoMc1c7oT6vMRKO bHQMRuevJ+96lhUotWunN9xX9/Z+zGuEhCO7qwzcWrqjo13aOokIwJVnJSFAqrS0nUBBEHN2LgUW Ii34pljVa4BUDMp4whqCZUunvqQadujaU9AF7sGf1wCJ7/m934BYnZs2BRSFjPZbF069FD+TaFEm OZjkAtedWBCJxAXHdhJPqxblvRxtKaHhjmrmJkp9Q4xHUTeXUkPDpj3mIGXRcOa1oolZ2sKoU/ls VpKbebqcpU8Q9rlBy+e1nlkeUF5RW5Bo6lTuyf1azeT6FVOyu+jg3GZXUCFMck8lokkp4BFFSKay MHXJcbw571kphYkcFCrO9iONC8HYmS2m2a2STrMfQeLXSiZ+jSUbrKx6SrYsH0p95OGgU5c/r2XM X7ebeqCsfiXqd4hvGEzTYLvV7B6Vz43hFad2tYX2A5uKYu2+DECsxjFHb73AMAcahLSGPsGAQMaw 9gVTGZ4hzIZcD8ZondFyktBuoiwMB1V86S95BeLeSX/Yc/N7z4FQP7F/bJ6igJsVD5gK+nKS1KHo kTt7rNSI0BflKJwnZW+m9cLRI25U3OuSDMUAbUTmaqmyRIySa4OslnWKJ5UZ3zl/2AHFEEDVB9Ui x1yKKNuJWD/vlD8G3ekrpFxIbnBU2jy9R93IZuxE8oApCQ5Eyu0wPbTWgaNzDFAwT7ubSroACBxt nmgjeu4DOomydTClVVVpecGc/PFkx23BN36fJiO85zV8sGnChanO+ubjQchkTjPaeMDBAD7i0xSF 3rV+VQMaVWSbx0zT5T8J3vHlFMXeXbRIUK7u6HUmyHiWLMAwOlQuHUsHamDwfs72uZ4XaCXwld+3 XWf7IDVOV2quD3AO9MZ+ByQA0WiJDqjh245pLopMkAiweXqPvyUVjVunOtW2t+TLaa3pp3jdFyX1 HoAjHTQjUZC2bLQsbmdo+E6qpROoWixIJzaaEinSUVCbZap0+WdIQIE0DDjVVOUFD5phyInxUI0L 5kn8Oz+eMgRBZi4eXr7z/LiKmeIWh5MNuC38XPq2nePnl3Iv0XeaXzEhf5zBvd7RKckbXtqbVlgD E9Oq2NvQu13AUxYwIZxnS4end5YfT1TAyAgZtcFwiqMaTnGU8nkn+RUomZRf8yTavKe87yQ/nio4 x6dMr+T2RDs1KuT0qYrcCU2w8VQ4iQJxR90lIfY53iocaP+LC2oChODoc6zjTQcMcJnM6ThLPiEK xBtR5xXZjQ3ad3vRrZzX3f68E/ywnrof43JDRHQBD0pNUxSJo8EPw8WyHGVQcrfabs4Pvw8VRE1T FIrbdTeamV+0+nXd7SrxBVDWIIRFHNkJJpFTkfA696NRNbve+H2aKP0NUpeGJAVwoG5Kse5m5+NB lLnWdyQO5yKchzZN76E4Cpz0pl0je1E3mRcdfPpcnQon8bASeBSLDzWBz56ad/QmMEpU5EWjhHJy +iSKVsH5N6gnhjjhGrF3vfH7NEmSsWxx1bZz1eqZ/C7P1RPrf95pfQie9v7edbj8aGNi8xQF42xx z5TqNcns45pkpnFVvOZyCmQYjS+eTnurY8BR83RSlJOGU1sQsLhzhm/WK3dGR23SLTaPy22fUqWK onHNE0vQNnCeck283rFxgcKIwvFc0/dygqBRm57YvdP5ijR9AcdvZnU8Oo9FlizztKwYLn3NWLXv bD5PmljOHXf01O785Skb6QoVB3nVLcSxFGWjJmS75aZir/x+3W0dS6gh2mDRE2lEjJ5K/rxz+X4n dwxIEcP06mHBO5WPpUDtu3yrKSJc0R1kmmfI+dtAZNJEvUfj5jNyrukuPWKOXperALRCThQ1JzD3 mC/PxvoUEO1YBPvR0Bt65ahJQJUz4KdW7zDsJjHsHEu4IfjzwDu2fd6pfLgI0u+SSv3Z6TYK3pl8 WMj06ivD1xRw5smmakiXg56Gy/Fi70S+IsIYqUNp3NHWFG3nErLqDq+CE6oPqZyBg37uOiAGR6oP 0NxeOQihaGq4qhTAEcfwAIeuy1Ir+NyD6/NO4+NUj68rD0A4ehHZRIUh+aSBkbpyXFMk0GtNEUqk skr2ptM7h4+RjVDmpikxHk0J9CPgbU2HLkAOtybznOlII4BBx2u6trXJurxT+IoghGu0te9gXKuJ uianbNbPO4OvSNXxWVBoDm5JwXGeopB80Q9rqJrCet0uLr4BK3iLNCvLwog03/l7T2jQqIJto1cM zsW90mQfE5XMXuVNWyExuQlYXNObvmaI9U7f43rAIV6pjDoYvvJgKmcDMg48VxhaK1FEPn6FBjij IGPr0xRWxQnFh0+LJ8LtNlcgVuPLCb7EWk5RWdwqvVX2vBodprnhqYYQD9WPAqg5y3Rw/mFrByfT /jrLl145CKEIxEe5zwemv0DCN/40KGt+3pl75ZHdsDuvnkwPHBGbp/eAHOeTjJ6awcrPZVsscenF 2TEo7DSr+b7T9niUqzI+xBniaNsOhmqDHjKwhFhnWyWRsjdR5egrlJ0el0yarr7z9tgQpJ8holQb VICq+GWb1sj+vNP28BeblpKd49i8wK3ZPIUReTG5N5eUbwJJs2KQ2q0YrGGA8nfSHiNl0RqbqECr FZ8n6MKehGXQho6OW3LFOP+GJQ6waBmwHjvG0c/nG0fTxGN8sqAJGfCfIYT0+S8Th/sDMvPnnbJn rSzNU1WAR7iMz1NYHTeLglU8EW4uzgU0vh9PMjvh8fQekgM8oER4CnfP0dGGqBOdv2NIEaHU7onw ZDfoXKXAgFthZYMn8Xkn7BX5etLN7g5GA80UWyOtio8Hy2l6SE7qBtjv9HS3eYpC8sr2eEvueHGO ErfJBEDX911lv4nz9B6SZ0EIzjfOMu/oITkIemUSc98gjGeGWRUC9mPRDr08OoLE+r4z9XzbnQXO UJODMARnxkz79CQDn3eiHiJVUyQ5UQGJeiBhr5sJv/P0EHV1xgJrOowARkMKC86lPTzUnH15kzwu kDfie0kRstHmKU1aWMr+EZXHNd1YjFd7ViHICyvT3jhCEhAPhoKiDcY9O/u1mktm+byz9Pia8/sY h60hKhY2TSEghcvpHAxOpWojG9qirbKNeQYSn1137xy9YhhDaPuUZ7Rp2mdP9FyoBwTiZzKTlQF0 0+DxRD+eLm5QtjeOpol8s0zRiJyLs68LnMLV/p58PNh26Tt4QusMSA2fpigabwRDp/LIcdXkAN+s hQWMfaOXAXbdOz+vmNXxWUUUx7TRTqezsHDCqg98cu7WFLEDnvnDngtMlf0Qb0Mv/Fd+N0W/5sBZ OgEZdMAZE5TyeafmFbPc46QwHE04w28o/s7LwyztJrPsZeD6OYzWX3HSGuu6UQSRjM93Wl4RDxNn 0hC2nqPN0snioAQ8sZgqq+OS2C0yf8QroNNqTQQSAz/vpLzbk0KuiwEeS1XlNhhPMA9G5P955+Sx ruIxpux4NghBF78TheJkpZ7AYDu0fhIRz1B8JyLHJRk4DXnxzsjzVjD64l+j5qn3c5sUNpMhmD+o FsEVR5Ak1PYAbXUDXxwwn3c63tMvL7SZKe5/W1EcH1VoilE+71y835E4j3B4HD89zncuHi+OJKsi 33RFMrCdwj+86gpV0f2qe6fiWRJPCJhVoaZBGztcRXnWMsQ81+b5v3RzM0D1CX0hmDZeWAEzlnci XpFPC7du94HzdMIflpLpr8bXDK66/AvGA2vbfisF7zQ8FunGt+okAAbTycOtJG/dreSR+DsLj1eI WgdN08TRSuMgIsBOWJET9hUvN+R7rupbUAZzfidRhe8kPGaw5FfjB7ZB1SeIBBD5DGLe552Cx4Xx HYmjhYLqqs9TFIlX3N9AxrieG0EyqtKlZiygc+ZCtoWR+DsB7ylmzqmCwVRUPCWUm4Ewy0qAAREz CeZOTA9KHFVK6FbNTHrlv6BzRWpuhaatPJ6obcabD/yizzv/rpg48FMpONsQ0b9PVBSKN6qTpdF8 onr1cmaT/IWFmNVAqu/sO8tM2WMp7Y4OwYT8PLC2xBa0lJMWVCbxo6L0ip/lInmRXH7eyXdeejoR B02hSWJvSnxL6lJRHTPz+SgHTl/nOPDJ88lZ3pl3jjIEfd4IsGfnuSc0RHgMGw49Mav7vhPv8P5Z wgYG6+XoNbozUylXsg4WnI57EggDgq+5o/p7JvKWnqRw8M67K+42syb73Rw8euqFjTDofH3eWXd8 z18772R6VKYwMGYUi7NIex4tprOFssEwBbdaySrbPKFwKRGNGcbiiq/HMHPocaGGuawOqy2BVXo5 T8tqBjU1wDHRG+n0Oh7SyF165fd5Ij0KrtnyOs7FaIawTmEGc+KZ+Xnn3JXHCcv7LScW3rN58emd c8fUeUriR1YDGe3VLU39Apmt888BFVWUyisW1Dvlrhjz9kxQViNh5NtIgNBhU38ASLEHQZ8oxVRB jSz96pFlJmyfd8pdkezMog2ZwnFz8C2mWYLbPq3PO+GORQ3PgvF2wPkvKcRznsJwfDLQpIcXjRqW tGkSRaNocJEJVAN6hAsqKo43FZ+aXXntufLOXdRw/9OADqrSS5rDk7x9KNoChrHak911vXJwlFOd euPq4mB3fIVCH1MAGKB93tl2jmN6TnJYPsIj1ObpPSB39GqaVc1NADORyHDjEbmsjdeTU4PfuXZf V16VKidH73OedG7tRK2as/HWWadUexWYjWKNJ5BdT2186JWD0KBSOFEgXw4KDfYJ+4fci0v9vHPt ysNK1EEOYa9d5p2nsDbOnnWjeZuKdEC4O1zlwnoa8PCCi4e18akg27Jhjl58QqRK4fwOBizyILGt y4mGEFH9MJK1LFhFlXeiXXGnvtTE9GmutXwenpMXOwzEPu88OyynPb62XYZmysJzNk9RcZxyWSd9 awbrOTlruv27vMwf80zTtF7LO83u6bVQ4dRHm6cl+RsmLqiLblt7CY6Gi6dgKVeUmg3EzzvJ7s4T oIw+aJ7mquyQApAxPu8UO6bR3ruTVhlcabvnd+8MOzyFRThm7d4PBnTP4oI2WrZIsyO41zTFETmX E5VHfbSiSqO/u4mPQau/Zwe8NjA0gNBEqcACci2nqDRO8YITifflg2p0UM3O8s1u9fPOruPhlr92 HfzMTkB2d10UkFOpCbpj1VucPQ/fdfJjALVOzrGcpj8D8pIoa+qjg8TOyYkOVaXy30mHknBSHd1g qPf9ILq6IDFCM9+JdTxPGY9DecQGK2WCDVpVe5qfd15dkcbiF4Q14cJrXvFtYTi+Jc92texasyI5 MIe0kWEavLdVVVoIHJevQCJT30aHiK1yknywwUGKweJKZkReYGmIkjpYhU4DBi4Z3xOwxkj1yY16 8BwEwpgltW3c6Y3HgyCzfzfMK8HszYPxFgbjLNGd2OmW6HY3t1VAol2gdMAtTGd4VBhPRVWCIm4d R7/rUPStRQXfBU6jbMhhVP8z8hBf7UFmbr1xcNVxGZ1kI/ugs6kCXaVNVwYej5B03mfhQQZ+0ZK1 OFk/cTDOPjBxxWa3Wn2eCvndLNKJK07az3swjjOcRbpiqu7yktThdMKnc6I3ehxX3Be7SgBjyrMM 4O1boxuks/aQpqmqE6TebdBqKm3IPhtsRDweMFp2+brqYCFKNzSbpSgSJ+xo8rB3RQcDGJzUrpIc xRIdU0DOUoRUkcRgKgCC+eglug3f+ko9c8iA5WFROyKnjvJRBnDdawXAbeB7goylEEGHW9wGTVNC MUZUzTrxeNS1+w7EYQrW262p9DAQz7IO7VeJbdVbKihW/G3YvnaE96gubpndpJ6TjZbZQbe1ozYu 5cgJ0RYmLBwb5aXagxsXX7NHgbikxQY6yfC8wPXIRYSrIfGug7YGng8om/MXlA43+1etoIekTenc tuFiWaO6Qn6jZqFY9zQHYSjeo1B8Sv5p0CDARk/tzoVdoOHKlmuDg0dTrQBbFenMD5WpXfiCOXCP QnG0OKiCyIGmelVcMtSAuXXwyfiAiN363ZKCSAo+zWcq4m6OzOJc9qoKNqFVVeB6ZGIOlcpymqkY qKLgskj2gqOHBWfeaskkSQ/oUQoOvCaUhM6eASZizFvPXLzwelQfR9EFnfIk9YvzA1VJOeA/EUV0 vnHi+eDGG9/gcTT6oXrmExVH401SFy72vne9Qg7bFtr5F2SZ6CLQQwbnECrTlAn2lzLB+csZUpIq fRYYONicKDLPE4vPQJn4IVWzXjmoFrBAh0iPAj1oMKvce46/xE4C6v14/r9sPtQHR7kYjB5WyGnR 1ImbYlmlEyz/f2i9Z5waLKuA6mTlgh7G45uhQTE5GY5eLqiwnx3C9EDpPZN3DmgBHA+gwwkrjEeJ dOqVo70nVlmh0zE156SfknZPSWRXuO/1KCRPs3xHByhVLwib2VRFMTkbfydO4+UvhM/yqQIB7/yT zhQJzR4e6BFcJZlesvln98c/O62MIArJJKCscCbhvQerXOg9AZBUpMctz5Nqr/zXxXc+Zfng7J+p tiEEL/F4RAv+BRM7q2kifLN5ihDknZiaPptJ1EGnqVgFatBGiBWoJBfazk/6G2if5U0x5FVWiX1s 5EYRaL/L+YvmNFHNTV2sjuOcDHODkJ/pwhdFRMVMAv4qPlhlpU0JeZ5TkI9H6MzvACEDunT++nvv hWF5pTNMGssmaoLroolq3eYM2eXwvRfVyFcy31nJJfd15ZKRYpxDqBpjCt5DjCbOCiOhExgmWEV7 SZPHG74oSIapdgE5OB/UxUvnKw3RWiYejxaU33qkdCIkX9AVM6J5FJdPEV9nW95MIAFOodRybPQ5 uKa18UZUJJ/StN1d+pC7X33Ic0ivnRpgF+hKQL+c3rPwhe4/mwaJiLOM4cITGd8TdafU5qzTB+V2 Z+9m4Q5PYIPHg8C8/9p4MAxYd+ONKDCHVhGy4eo9l5PcN7v05jUWP2lxNlz0CEvktvGm4Q/nzYap 8Xt+uCVTpoJdKQkVKO5DWT1BnzVfrTW04vBFfxE6WbvBAJDA1CpCwZRunw0TFdbIL9h+sGxwprvd gHOEAHJq0qU1HUDepXvBIhQqWWpONeAyNFFRjZz6MQUtUoEMEsFpAxlMPQEAzC4X8zyk9dUmc548 b8AZN9/YYEN6EF8TwFiF8y1cTiRMGRMIwT2ZQOd/8HjUcrnLSYf+SRfqvfBGiFkRsWw7kRoO78Xx vnSCIO5wsKCG82lEcTlVkUvKWHkai01TQ2IHNEYn8XWfZdQklYFMkpBsujdaZABQFL4marhQwNbM 9rIXV87Nt7d8cyBxOMIC+T2d8N+FQ8dKN4IaUUxOvSz3SyWwTuKskzIYZuJIPwU/nKKY3HQwdlXh hKMfTrBj3DBkBE0RBnu0IFoo8v7QzaPAOsaEiybpdyMqkAPlQjFkInt40VDhAYcf6/w/6IXg+Wg5 eUjOjhDKSlRisYkKY3LphQxP885/bi4XVsvqxpiCmKpNVBSTL6V3c9R6Rzue1sbvrg7eRAxKciz+ z2eiJpXk9pW831QvGFGBnAJjyKurD4yeThRW5GEE+C0ej6A93wVyHKkr9Xs2heBxGTy35DygRCCE rPXGBWciJLdZisDjRYQpKoX76JCVc0IDKNQFzjxBghQOzoyc9Q48NKrCDh1HMIGvibp3iDFnI3ED 6FvpE45yIkw5VDWcTWEw/gubCdYtyj4+S1Eszuv45CrTZVW6Ck9Thi/N2ggZUgqapag+rrhx64Ln SIsQAlYggzwzT/DeAW5VDSqhnDw1vS3dacIfgu+JIieU6oakC6Cya3gVJFydBQOomOL5f3XvCImG ylRZd57CWFxeqe2aWiI6tJBAWaXSOwCFWDAYUSw+hf1iP9RHz1nOEX5uiU0EMnSw5BV6zgTCgvHl DRbyvusYYo4wFqdKNHwSKKyNmDeJtAFtO6p+nLRv4QOCikHx247Fq3PJomroMxUG402avl1HEkin 24On89VmlQrQvxWhRlwjl92gsc3bwzZPbZwcjBbOZ6ZQp2lSDQEblivrHEUbgZP5JugcD4vkTeU6 ztSJMrF98BfPczlL8HXsXPB8VFr5jsYHoev1pi0zrJJXXnjJ5DBJMHOhvlaXq9DxGGF+N0Mtwyyg L+qOPlqV/Nx4HVAoKqAt+AAY8xWlsoXTMT9iPcYQniFihUz6KuuSeq1Lzi0B1VvGBaXi8WCe2ndl BUJME80wm6YoGqf/N3a3Aww6pdiZ3an/z+wuAxmraQoJndpyqDfe0Q5yiD6gD0Xd446OpCxeTmCO fhZt64Fk8jSYbgkzJnRynjp7VByM+TqMedRqL3g8It99M4TxltDI8XmKgnFKy8/e+g0Lqid3AFyZ CUdHldsUskI2p0liLiMrrnQ1+2iDg5IcXSVIC5KrBL0HGk1jZ60X2EOdh/lnnRyaF9MHBQaQR1Qo tVfF4/8iKXYt9pNe7iskFkXjIGkS2ONxZk3FWsK9uvcgitzZkpYZVskVGKyu8InjLWni8EZhAsKD +HOkbbjRGl5t0OesPC6yS28cnU9U7Ktyd6nb5J9Ax5fXAMxd8HikIvrdOc9pA7ByWYozisfJHpi9 Xszvybiyx+OS/8c8de27xE8KBA6lP0P5VB9tngpkUGCnTl06WOEIsNLIaUtQPy4IHLwpPOyVI90Q QulWXT4IeXguwaS8pfSKx4NuZ/vmbSCen+uGBvPvEvmu1uHMko3TvptcxuxPgclr8xQBVqyXsBwj 3dddT0gf5USLQDOlnNihWRBmB+h2/AAc4BEU7T1nFI5XIjBIdrVBHEVISRFlDJkhPB4V6b5vO8C/ NiQubZYivArZ/Ir8rDe1TawXPUMDk4NW4/LZM6qPE5FH68V+R6+pnDfPaHsR1rNhZtUEfzozO4ng qOmKGk0yqGcUj5OVP/qk3n+fxjU8P+l5XV52vQy+ZpTcfcuulgY1jCu7OkMqpzU7b25HSw2j5E9X plUlWIsp1jm0ZufMd7zNztznXtTNngkGjBKDRLUOPyjwKuvLz2zrjQP0Ez1JNkRSbFCtALpwhrPf fDzSNLrwcZXokAOnu5wiwMrMUp5JLv4E2LjjeuojLArUmeYpiseHegdTvooa/bI7u/bEg0Rnjga5 eh1OMBSEC/fGFq/3FB8E2s8oHm9uYsYB4D/lvq1QpQwRUc0Vz0cAqO/TqVInoHktc4ZcTuLEGv1V xeVM/Sqw1ut9msDO00SF8ipT+DDavdh4AVAn1cyorKJiDps3YaczU36iodbTQ7hv/Ne+g+i6DwZZ OSttGkex4/EI2fMdPGHSgWGzaVpRLM7OyDDRC1ULitVUCs1ULA/ezfbdihArw3kIEjzm6K3zCRuu uoTsgVqk1HoSWMHn0KaVi2uGSLhghYVxFnxRgfDBQIeoSTB7abXi8YjJ+SvExFwDI2KzFBbGx5Km 0bTTqW/3eTtZRrZucO+gPGuWQsSKsuBp/hoc7yE+dqGqgIjmlV5CsGuhHfXJQDdrKuXRzVxxWVx7 bslGEKJyOsTBy+Ge6buWz4oi8WfPsZwHTPzsl/G6olCcGpaMZhyysh2ceTZd91AczB2bpxCysqyE qdW0q05JYn0h/7zLpisluysi75uPGfEIpT2R08m6PyssjBMgVrTpyt10GVCYpuW0+Ph/EdA+OScq n56xrCgS77L/YThoUj3Onz5562pe8a3VdAtWCB3vZsecn9E2XUXJsrKoAvolfOSrKr7YdHQnZsXX YgLC6dbfhfE2uRKJdSmCPaEVP6RFAL3aKBR/Dic+l+E6iJvYZyrWGqf5SDPJY9xMRBvCUWfnLsuW VtlD0kyFbE4dOaMLA8XRS77p5Ik5sxU8gQfImqmi8ABpbEnrC9kz9cpRnY4gMXU4WdRrrDmdDA3R OM6rM9t14hP+FUFhAQLxC7Eqn6ooHE+C9qTdfaroSiwQFF+BZ1TK26cqFFcxAIalL+XKZyI9BbaK jrD7xMMoE1Crp5EI1OCrWHb1dgstSvjKEbSHAoVi5Zf8pMHYG01pC98zAKz8EqGZIJbNq5O1ooBc fIQsk1wEU2NlVztcnfO06JXGGw/zFAbkUmGl7L6Pz5JC4IQmJ265cwVKK3qCoQFLRXqiXa3orDsv FFehcv2k7jiG4fyWXGWmDCMePB4Jin2jNLGXdr/EshVF5GVJZjSXn2un4Tp+g2qU4LecG3ialc0K EeRW+Z1SHu/zUR4/qeoJnGS7NTvmReWCtNgPReeA7F5Hq2CG8UV/iRolmccnaqsztD7Ln/cQNLIq Hg8O89sLFhcGjh4XprliRmeSQo+rIIvaK3GVbdrIKAA3ky9Y/0CrNJWWNNo8oURw9gKdmOFtQ5t4 ridEXRUyD5W2muwGa/fgiwIMuTxNB8G/HITqyUPqu+jJ8j0jHXtfT1RoS2z9pBuSr7BCPiWvku9M 7Sv/VJNlNHAfGWZPtsKQXCdUK0KTc/QVtYGka7RC3zttemSjUNcRmtNmBRLAF0ZOUsKKYvJMMF2R oykHzlQ6v4gikvNLVDwerahvjvCkYTagGZqoHQXltKs8p+vjwXy5rz2D3mbcV+jiMIzaseYhw6fe JMjK0ZPhks6ORu0fJlLgkrG+ACIHnqEpR3kEVmSEvqOwnN07BcAYzl+qsvg0SWJoN/DxiAvUf8VR 7QeaeT5P72E5uEBUVZnJdbJ2z5YMw573VuqIGqCe/T8EyIslxRxtQZ2/IFP8H/J07OKymj5lzNWg RNYo+2BXHs0Rdogkhycg3P+mD8bOP0EONS0o1L7f4/IkIeKn2UnOVPMjaodIcoo9SDhAitHTjTbg 4VZs4zVe+JynMCzfapyLUK7Rr7yVJtCZXelLJkUL6Qs264JUD3Llx0p46I3/KtW1zUYCB05Tnydk Td1mDI9HjM5fAuS47KAobNMUMjo7T3J3HgHmb5mc2EnBs4k9tEHXA05TDFcx8QJjdI7L6Dwx6wlA 6pKIQYLTEP+7C/Est+i5TdulnBe24fBFkRQNAT2gq9igCAq6rzrJN5ZTBFhpV7CHWR4i1za88LtD EHkjeLW1defp+rb0ds02NhsOnKcQRK5zfMkXUaNrGJwlmnBEMTI4oXiR50RCYUfE+C9YD45HfE0k sUI5+0bCeUYfgfpJZ5Floc+BpNp4/r+oHSLdXPWq+O1Y7VBuG1ftsEgJSh5AxeTHz1k/zZNkh3AV WbfsMvMdnfiaTubWUcAHhHyiAyriK/ROznOwBctXv6DbCwfhU5ZwQUk+aNORJsqNVNPC45GG369w HP8ptdvm3BFeJakbPG1CiIrWeX4mkPmsvHBL82kKxQ6lf/zSbsHtdR4AIBOUBOrfsUAOPuzPpFId +j3grzHDa3rjoPKrCl2TSntzlfazt2vVGX7uazwepXffehjnT4QQ811NocIKsb5dZ7jMlS9pSiwv YukyeZDoBu8YsGK0YIOw7gthheFCg80ZMmGIM59VLlltQBIG9z0IbSYxSidofE8QZRLQ05Xd9au5 NsqkLBlEeBIfD7KW+aueCdgLTPZsmsL6uOQwEi9/qjbv5ha4VVwXrqaE5hWnKY7GVVLZ1jTfT9Mc tlonFa6SpgMtS2FDRoBOtW1ogjtaZU+98F8aD6X0O4j2CnliKfidNAKPRzSgX9oFDea7V0xsR5F4 6wJBV5+l6iBoCCC35kcTcMfacxFWZRrtFaAbH22WBuQ4mWmiRIcGl7DT4DdBmY6aPdNNzRNT4P0P bRVQ0WxQytJz3clMgQYej1Lg7x4C64OrXy0M4YP+mCiQLZUEG3AcUJU+qDFGJzqg3onByCkMxb2q Ioep4rpxYCqeczsNlIYhWXuOrSJTkkqHvJPP0J8M/0vmLWvbS7/P1cqSeYAC1AnYCJxiKbNDnpQA JrRDNj8iQrJ+p8KoPZ+fqvY7X6HkIe88ajSJkTCyMxLgcG9FA0j5ufh/CkNylTabkaWbWkUKyQHE 2JUQ8hMBIfDMwoshLIViK6pQj0tCXvbSERqDehg8qGBahYcUiUOsmII0m+5JUVS+f1mWKVJJ/eZ5 tAsPHuwPSZjCUNTFZo3lLAWv2RWkcTZdIZBczI0TfqU72uWXIW++G48rwKJHa6IEMS9CNeeHK84V kVk8wDdFKiKMORczGSjn/xAPhR56XqYHNRY/IAin8m+B+/FDHzKfrLBqTrdgWQ2wcAewhBXuBqNd OuAWNOVtskJzTvU8a9X1xtERdgVKyCgJdjQPkCBNVjhxUWdSewBNvemeLBNS6BC0aF1G50ANSQEC ywk/UnDn81Hh/KJbhTo4n5Oua0JOUYyeJI2ci0/W+TuaHVwoT6pwfiZrF5+s0CXIAJvNyp3t2nCc 1drKpoliB5I87SybzkmCWiMBGGaQlszkseylg2YM/QDOXis+WD34rFnVg08mzucj1v78tQ950o79 7MOwdl5MVMRqncANNtPyyTTy47FFz1Y75v+hTH5+1XJH24cVXkR7s925TtQusSP0GTDDhcBQ6dc1 tfi2vXQESqSJ2SIYn4OWFlaWNJLP/cHnIzOz/SurAfqhPG5BKVZgQXeh1OoCUQM5oyYr6Z+FFrnE 23V9VBA9CHDXrdPQH6Mu2JKcY3EvROznf1Azr3ZoYWlBvrPIgUp3Ym720pGIHbE/BlCkvQqrCP0c YAwmT+ie+XzUa0i/ltbZV7v3x0ow1GFJEtekhJb+SXm2zCQQEGAGEBkAJNuHYRldtbwK4xwfLYBI uEQ2UijcX2fjQQoiU8p6EphV7YTXoQWJYL10BG3J9OXgvTgYjHA5pV4EOkIyxeejrmj/ynAAi8xw L7iTFQbvbM3UMqxAPFuunjHDeuLH9dz7cuPFSI7FfSaGUPnjAW2AfwsvZ0MBLdbWsQ1PdNSpvW39 Sccoyooq/e0elLYM4bcbwgNQrXJGA5eYUUWQ2H2vLDY8i3le8HvDavqmN0e/FsMpTwe4ZNZ5mel0 8BFssqJy+kgOzc939G2YoBmFpYYqcarVTCcAaf/ZTGVxsThZr/o7B+WqgpS5gjpsg83VNDGvk0RP Pv+v1Fm8//QNVMyhoSedu4bBWWhUWc3rDEjFbvI1ra7hUxU4eqL2JS5MNm4MjcpUiukwz5iFIIRz rADJLugrgulZSbFa7Qalrds7RyR1RllThpXTxD0r9FDJekITYvL5KDH8RiZAXm8DAe9TFQXwTdY4 ffmqytSR56qqebjjyyOfnANTT9P9hExbq3f0qTqpE2T/iqYKv4Esh4DqoIQ1ZC1d/I9wxfyHpyex G4n3IAfNFIoZ5MW0E5Xy+UgZ+Pu0AogEdew7U3Hsjv1XR0qeQ2ebNApZmooNtDNNOiqHtp63vjfy HZ1ohY7WUIO0tUQDCpYaIKBxthD0b8ozVaXZO0ftLEra5W6DANXAQQ4KiJ5pr4PP/8uailsNHUAw x32qosL6GhI+aFdsulYv8ZGIeAV/hi+qMHJfBOFVWkLaaMUrOD+dwEcagKBICVy5QYsC32n/4Cdz pcTR7JWj7YdTHVUeH7ioCtAhsrNeSAkDa0+1WL8dA0CPeTzhQm/PNZk0i9FPPcBsqClIV5Exy9iK 2Z5mKiSDZjupdLhvZaGqrZ+c5hzgFMM/v31qaUuXG5WZSSWzlS6Cqo9u7xygE1hdHxAM4VBtUZ2j 2VH6Y/P5qJ38HbWjh9HHbbvnwN0z/U+mbLlfofdScrL9V9YVeocmgu+/MGa3uvpW7M7x0og29Nxp W3n+tpUNwHfm7EwVLb1wETuKqk975+iooohNo2sHBxX7BgDiapiuwuejvlb92n+o07aWnqkKKaEq iq7Vf1wjuFYnXHVLoiGmA7gFg9DQ4VOQqA15iTtaqFDwAQkiTMjdWiqKveam/Qw13yuCdy/4qYoV enyqKkN9ZxuUDJ7fMw/TA9SbRsf6t0wwSh7UtPW5eg/Yz2OAboKMWhyIPpvDqCZF55g4N7A3ZcsY 2HxCoVvN9z2e0ecKi5XAabihztnk3bhKFpOtEZjkdKITD2576WhdMaDqz6C4qqVs9t9nW/L5SNDm lzg+0A7tqt3lwOrTNLqHQTc6RZ2uQlnu3Um0AAD7XIVodJ1SjRY8NlqRoScA3jH1UGpJqVl1lMKT J6mmVsSl8hX4/+mbIh8Bktfbzj4I0oHgYwn8iSJDYPcpa6IvqAI8wPN6TvYQj46S09hypaKgFC0M BDsrRpzBwZ6m78EQ/aJjqldxrjl6ieH8/xIBw1B+71XqRtiDuIIr9SigS3D5V9NeOlJ4Zbxu7vLT s+ZJ7Iitq83no9zmV9mdijEF0YfNVuj6idQaEcNwr91MaT/V3el6rhOr0PWz66Mirr95pqsXz9FW 1gZEclaWrxZEk0pWL16SJJ37t8+n39Xspf9o5XSo32oYwpGhr0bprp9eR+XzkZrirxMLXjxIIXyu QjnFTYFlntn/p5g0G0/0RMAoFlDcpkKTWisrdP4ctrJM5abPfesxIHKdVcRdiOW0q5B6RVLwFLFA actF3dgbzKH1p2D8aRtiz3Y7hOlWmY4C5fMRpfZmzTrq4I6crnd6bP05KP0+nKV9Io3uLO1e/Cok wdCOrMD7U95bhH2K1M/xmSzkzEtsUcRVTAVPIIGcqKJdW8Dp8LpoTfbSQTBK4EJPWaAYqEN1rSyA cIm+3mvzA/4VtzPIzyQ/z3sZBv6fYFBS2LwRt4B/nntr2jZMJPCzLtrGLbkHBqA4tKYF4+WO9zLs J5qC1CK0z5IsPlBF5nVBejpBaXYZJrL8cugAmqjeUqRZRsCfPJlA1mVdtJ9tzucj7sNVd7NtOB97 gRx6gG7y/2cqbkY4BXRk6N6LNeihjemZc2ACel1lty46jZYPTkDQgKli5gwzi7VUZABFnVCScg2d 5VvDL4p4o6qKytpjz/Op0t5o9L5DjaWtyQ+I2hPXaM8Chwk/aZ+rqN4OqBtKoSk5NGZ6QYY9Ce8/ L7f8yqET6Ooq8DVVEEa7NijnRVDcA0UDiLQ2a6VIwpk3mLWAL57RnnFGjQoyoRMoWzjwse8+CB2z yxLfr/Xa+HwkUP19F4JvAe/eO1VR7A7QEmQlkhdkxtrFltVK2QENix0XTVUosGjLaVuZYd8yA2gn +7xJmzQlPL/5EPqhwH92IEWQZqC0pta0Vw6AH/SbH5WBFgcrXc0uXCVwAXz+X1ZNDDzPJM3HIC2H bqDs8Y++57DcGYA4R8jMnhwhQ363ZirWPBcR2bQ7l0c+KIafXbVTl/LNGL0n9hIhFwXiNo1EAc62 Jk62uQpBMmymtlXk1nTWGHupADIX1ssgA8bng5hh1a/DCqKzY17JhBw6giI4R3zlaKJJgouS55ZZ EUXyjNa6Jc+BJej1IZrTNJXmI5mLHQcUEct8J5zKxMqfPBQ1dQr77ul1doBq7JWDS5CQ2TY1Uxed VnPiGUgodubzkVjJY5QmPQAGD7U8s/Ueu58Fyc4zIhIv9fULl5kEhfO4KsmRfDkwBr1eH42K1TY+ pfbzI0AITrH75qecCIvUpXM9nlMcb4sKJUFq/tIRyF9ejs2G5isLdRleg+da4vNRAbl9R1goyIKZ 75MVmoPSxgjWwg42hvyNT1bp3Zs4PNI5WX+4g1o9Rpwtjl7CgnR8q0SvN+gCQLkME8owAmixRmxR frDrOXQHzVZkJwaLg472jmYdMVistQf2oOqXfW9C2IE90VXoDwpk6Dml6F1txb7kR3tP3SF98Aq1 TRgYhOb/LVFG1qz5jg5W28Ad1N2oDXB20dKsJha5t4wLv0jv3d45wDNIHKCz5s5Bu3DBsJW7cM/N 5/8Fpa3SOSkMae9chRD2THJyW664eP6i2+3ihhaEvW+jROTQJdS3YBe1lKMnhAD7V1gNMBLdSUxA MDEgCgsZURqgWR+1V3/pyKuB4RXtiYClxNXwQ5f1hOoPw6ue+QH/mi2eWIVpGSiFPl0hVgbFUYpq OYNkmahnhZGqMyPSupWZ2C5Ud2Graj+3et1kzsl7otm+NF246qcCd3QsACzC6wJj6GpU6tEHhqFQ ckPivBFpQFQOcZtAfrXIiPn82SjNBI6hKtp/65ic2UfF1CcrxMqQRtIJppFP775lrL2sU9EGml4+ WbEquoSZiZiz0dcWDT3JjIF6SZ8suoGYhGJfAfi+wk/BFalAIuM3ReVRRFdpyNEY8j1ZqLWzavfk VjwHWeYnRLH79+KCdspqT2kmcA6FvRGLfjsPy6DPRnDMmgWki/5gzXPC0DrUdF+6SXX0L6kO0CIS 8OYkUC7oyzN0ILL3XB34yRa9Q01Nd9lLRztRHkXMoDl4jaHbCd9RIA3cQ5Eo3csQ23bTW/npfIX+ oZQAHpwLqb8swZFR9euruIz8amv7ZEVYGTFIIR8/7+icknP4DroWQ1KX/iJEt5/9iIml7UN7MGv8 bflNUZeQZ3ylpm7HQ1km9Yh7WOA8WezkB0TaJt/NL4a46QEWBSaiILMUio1tK5HOVu7Kyuo9o/QO zK/vwzCAn4ZZa8/otZnViLWaBBZB2YLgbWTuABZN6u0TPKpC1l720sE+JM8C7qo+WJsi9SXNhbNO +fy/wlJWG875sef1pc2hj+iU0oI8oPnP3b2lM8j2Y/cZ/gK+sMIA3nZhNlF5dSe1CxvUOTJVqkDt SgCWYa5Ap82k5RcUsxyEpdJ76CSKDI2I5OaDSu/ws+OtAt96Ph+EpL8Qa5lHArWxfbbC4jsV5bdD IOHmMNetY8HAkOlOne3OVqwGwxWVm5o7HIXCOlHmgP53J1PwpEXdHEJyJ3R0K8JytaqShe8L7ERx ZtHOsAuWLEtEnlTYIk0aHnvyA4Lo4S4tXaOg4T3bMHYU3Sz6kWcnpsnunkcXAnzZg270uWMAH1iK mh7I+X9MSedwdKrJOqnIQi0T5+CExryW38kEfwjVgQioW4eVZa/8V67TYJzAwVvQ5wCZrDMK1xBY in4LWQoK2eEAeZdVaCk6E3U8WnFcAwxMvTbTbm1mrpvqhJ6iNK0EfyKPO1qqA2UmgPDIXYIeuqzr F1TVfxZp5RB6trRwKMgKPEWzcb/LUMmPnQJRbvfMoil2aFzn0FU0/bJfrZSPTheDFdqKVqWFcxav OGz3ZT9H+h43hy7T5yoO4JsqDapncfSKA1KAWjJ58g3+kpLygNzXec+zNVF6cLRa8leOMCDUHYQh GwzJQL4gQmhgNRXpxZfFD4hahd+2DljgE8Vpn6ooeGcPdMrV3HxCWGMQXGZfDBaNjTVVf+rDAPGY yh295HdmB016yuiA0N7F6aU0NRTGftCVcc2TlOyVo9YXQ4bFoJSDUeLKon8VLMUqn/9PnLhRvpXT c+AtCiEdNgi2S39CLVUdw3MrpGR2RnDD9sg9NhcVQ75JP0ijF2YyWqqLwD4wBjddZ8CUP381wvrz gfjLTHCoVnvnv/Ci4DD5oGIDbu0hxaFW+Xyk4/wLrnbOglkfIHJoL1pFNamj+lTVYZEopJgtyTkJ fXOqSeAviqliRlhlv67RM0Ko+o5NsjwAf5Rlp6sKeo61oFoFGRPHyyjJCR1GW5WIDpcVIW9LnEl0 7finnk9o/ID3g53I+tv4Amt4wzrIJys0Ndo8oeQFyfazHOrYJUyzWLN+oXVskxWTUg3armoDR6ea dKhaD3MUG3tIt3+OhpS7oSrTcBt6s14gkMBnFH1gCBLOJFujVCTPcY7WCp4GvjKj2BAajZb5HS6o o3uy+lvHCr1GqWkzWXkzyMy6claz92r5c63NITOB2Sg0jwVWa7nd0c6rcpJBtLsIr50VkvNyMCCa im2gBJqkCRXvbO8ccZ1lbLS3D+pRdJmYskeR+XzUo7jVZM4W7oOz9m/v6/MaXVUQJimVSgQu02fg NWwfjrkusgEqSpys19iqQmNAPYom0PZqF7R9suN6AvSlfHCfRdblK7aQcaL2AEHza+lHz+jXyKoC GcR5gsaLDTqtYBPM2sa5RvD0yyxV9I91VlWxl8CM3YuUcJ6RwRSh5ILkb7qlfaWyEqN1KJ5L+Boq OF7rC6bICeHV/A/rNYw+VyFsblDLwxRBp4cQyLNwz1W7ab3I3oT8MLieP68h1fkaIJAAZ6fmHgeh +jq6hFYexdNvc4TAaPoc8ewGIuKcPTZHr+FUhSIh6YLtOholWURjz7FqKeYghSY4R6/BVDUrjJKQ +N7RA0/IrU1J6YARRVseVkwBR0Z0gA6ixZ1yhnwNpSqARDR3gKiMDZoX5OHd+qjt8xpHnXecKdkU SXUOoTkIFZqi1zCqGhf13KnVGvLgIxuUHZ0I07s+W61bOeE1iKrgKxqS1pz88vhqx8M107qBmPgl vgkJwcC1UwfBpgjxGr7lfYoyuw9jsCKO8adIJvXs08E5QhPt8xpB4a/t1eaoWazZM22iMUWv8RMe Yqo32ZVVHz6bECiKLDZx0Gt0YsRr9FQfbtLWuc3R4Xvt/PjnLkORGLbO5yRnkVhpWgc8vg2KpKqt Rcm41+CpykIP1OZWfFC15QRPfYrjXNvnNXTC09aCrxcSutPyZfQaOFW3Ya11Oymp5naxCsP1vWBB 5Z6+wRxN12Bq4442RycAB6OuZJJO29iZ8FqY0Q0U0s9sQnPAW6VJLqzBHK22JROXOaAhyqY7xMW1 dCHs8HkNmbhtfLNZteFMUvXj6DVgqnYdQmHIZWRz2T5JZSVfSDQVlp1KNEmanGUKsRxtrw1AzRYA SMjtsFFIhGN8SDwT5e373W3M7l6DpSrfLYh3CN8PnkhW9wqsCIH1eu2f11gJ59lsX5OEDHFSbo6T 9Bon4cyujLvVA6V1fbVQsp4QbZg6aktYyZIkjs7sbnaG5lb7pQReoU40EWSgwAaza646UOMLkWcD /kNPAE61qtcgCT/G5MW2si42KiqbO4HkCs6fPdP4vAZJ/p6cFFmMlR8It9osvVY2cR924RHmzX5n tq5oq8u0mRoAm9Zsf61rVsnZkM1m8Ot04dcnDSnggC4x+8/cSDQcAFCUyqEJ1B53kEIG8Oe1qom/ Uno5EL/HQLcV6caeCIB/eIY0ajBHVNTS3dY4nwQlZD+5XyuaWL80DavZKA+MKKef3DttP5WYLVLB Izq5hV4EmP6OnveucwdsQKv7pg0NcgqKfWKWOvQrWyUFdz+SxK/VTPwai+JCqKxzECoPsWk1TmlZ +fNayvx1u6nyy6pOooAHI8ko2gZogpxbX0ylDUduwJPWKnR7XT5NFG6b8tlovd3R5mme3wvl96U8 bqUkgPpiy7QBKlZnvXyaraT3PeJORKjSGXr5oHMYRgtCxk6KUUR7zsQZdTIhjJiqkHOeopCbUuW4 zTxWyn1Xi5VWbh4rtX1RZmHMbe4EWzE3R9t1GRDDmWS52kG9lUJcATI5w4YcGpTJ28UEXX3eaX/1 cmnA97IhKd09J4PcHMZen3fWX1Vx75kn/P7cwTZPUdidusRj+6VHuuk45Ow9GEC2eoGLwTxtmTst O6XWU/CFNsRJcztxi+evARCdQKCF03cR6pEeS3YK0nzeKX+4dOj8WIgm12DThOaaIPybjwch00xf G4+Qvk5mCKcpCr0pvn8CiX6J3BKwJJGbvgpG5J6+7aLY246njhKXjxY0zQmFzzUJG6ZbpqxBaA55 TgdMD3JrV/pM9srv206eRcQJ2qC0ZI7etrh/QMKG4fedJ51l5QfmUD5P7/G3YStOnnKxUr2nYvME 3LjNUyvNIYvvAbiVmYAUW/mO1mk5f0tDCFdJIa2rN1fWQcJKgQIJKajVMu2No9NJ1pjEdlaQE6sq vSdHmKQawc/o8072s7jr6yCHIshUU4pfGwXh5IMMBfy67npzBcspyUG6OZTbaXmPwk1bFVgys+3b 81aYJnqZBdsKOuDnZyf2H4rp55mF8L0A/OBcW1o8vVP9sL+5nlbrd1DGm86aH2ZwWD7vTD9kHckr J0T+ZBqQlbvxwjgc22UsclxY5d25u0YOM3kWLhtKIVY6iQJxomkhXmZE9/kQ3Su6nHMQLLxg/ihE 1TpZb/6R6iNOHTM6ztJPiAJxlXhBT/FB6ymh+jd03e3PO8sP66mVr7QXRtcL9AabpigSX6zvLjdx gMz1vK6GWmQk+SUX2H3n+H1dd1nhAUe/7iYOHYbilOKvXZarQOOd04FiyPsRqZpdb/w+TXBgQdRE E8g6vfs2sTKmOpydjwdR5rrbTpVgFOLyDQveY3EX2C3ZmwYnlEg+T03mq+bK43JeUTCOGhk7wGPf 0c6nExliNjobUWVUhQMZ+mXnXJhImlq9chxy6ntn9zFQZNhU2d5s4h+z0LSncA0wD/u8k/sQPZmc nh1PwEejqejzFEXjvVPUv4xbjZvbtt3JI6YZZ4O45hXwMBzX+Z2tY56fjnmHy+c510kqOv9xWVX3 pCdnNUy+c77UD9QO9MbRPGEh7c554iDq45nlashMQDGieFwAZ58nqDd0GJhZzTKKxqvMZnoangBT 4pwJcJGQQmf7a5sD3TupDyVXIYKpquGjV3YnzL8bFUvQYaJ1COVdqEgq5DvY7VZvAnb0887pswMV 55JwqswYFD6de1Ran7Xkzzulrz6IFYUFjcEMQBE2UVE4TtjpyYG3W9DRhVjVXaRM1qybONw1Ue/h eHbaVc/7GS1+gr5PSU3C1icxmpmpzUTSASAU6MeQGrwqekOv/EejAEE9pYOQdzWaFvVEXWhup7Mt 2ued0lfNkpczU1lTOYdk6ndNRRE5N4r7zNDVcAx36xvCcRLpOt2K/Z3Px+qgmGlVHTuOluGxCDLh 2gB9JUiCdbU1kf6eaQNuEKfVxZsXvXIUQ1GYEapMGED4ZaduQnuaDqaokX7e2XxVLptPbFCg+jRu KvzO5cPmm9TZ5XpXiY4gcZnONMfln3jXz6h3Kl81MCRSljnu6GDzcqJeQNZR7IWPuRp6OJ0IGJOu katbm7TLO5OvCmoKWHGvPigmh0GwUAXnF/i8E/l4xrWvQwodwi0xOM5TFJMDVYooOLtYEAqzBupp pS9H5ZOti1DzncZXL31hkO1m4y0Z7AlYlaTgellUgcqroWm3gTM/cYJ3fs0S653Fx9JKFT3UWKLG Oy6I+gluPXl3+7xz+HA13wpUszNq7ZsJv1P4WKaj6+rebkSXdvWIHDAML9ONad2VdwZfNX81pHbq /XJ0YCvajKsi0jxb+WRVkgeYQAzxR8IRvr/O8qVXDmKoRtfV3pIPLKc0drknj/W5Pu8EvqqWsaZF KKlz6fZn271H5OYQBSa733kr+zyh91H9fErD5+k9Ilcmz5K4ltV6zqeT7xV47QihUtdZm2xDrUIL +QIjibLT45NJ29V3/l4VsZkA/OqDKlAwLFVIPtb+vNP38Bdnv/NYG98yhvB5ikLyKcFK4TJZMhjj lgzK9pLBiQ5NsPKdvIefeQnrhPKGjxZqlglcLkp32HZkfJM9u/ZJZPcgSrRcn3FivD7v1L0qVSOo KLGnmYDmlIRLKpCY5xo5WfHnnbpXzbDpiaGgm7L2ve+i8jj5oGcRbfc1XBS50r5jFYzH0/mXtaPe iXsEEGie2Luz0TPhM0u4n9gdpweGUhe4Z6MddKJvQKqsssI06vNO3KvZSgYAmPlgdNDzqwrOkwYf jyor3xUD1Ecm1oBNUxSRVxxqs7XkBYM97zSdiM2PpzadYfzO2GMFqrGigiqQjxaRn/82gk2qykNw F3KCPMI6z0/6oZdr/0jxmM87X4/LiRZrktzgoMsunetV9o97jc87XY+B6v4KClCtnijTW4s8ishx 7yMocGPDc6Q2FQmge9vcsG8uR6O8c/X8FD//D2CsfbRdB7nuBsAwvY7PmVmSGYvxfifs8DGaYTr7 eefqofPGyhM7ADYYwmIufSWUHT/vVL1f7Ra+HHwN820BvzP1LKtkxzpZ7NRWc4TTouiTWUI3n6a4 PM7pGU35HUdbTWeaUsKvDTfMEzznYr3QExRCL72YH08XPygXvXEwTVNsdeYtHLTpTnJXt7rfk49H Z3j+2nTlnCUjJ6/SvZP0GMEDD51oFiErh1ENOX5+lWzIcbAGDeP7ztFzcBMWSmt3tNWEPY31qOr4 SRuXMAd04oHXzw90lvwIb0MvHGR31AzcAGPZoEovDuIteHMpn3d6HjOGO0v8LydKz3l2907OwzQB sXX+O65cNiBqyyVUa99cYwDYz0Z8b+cnRRFm1ZmU1h296gtlviEMWDuXXVpLykoo0k0ymiF3bE2E SQWSd2ZelcwDvKCHLKGxuwjEGblVFQZnyuXzTsxjWeX7DCflut6qyjsvD79OJWQ8JXcpmKu7l4Op j2A5kSmo5RRVx1Nj1alvgZz605SCgywW0ZBqYNpkOKHysiep1ni1C4MmlujzzsljYid1YVPVSI83 7aTkNjpD5fNOyKsP29NuunMujqfF+c7H470hAY1yvRzoTCa+NdWnyQR6XNXe6XhV9nn4y0lxsdFr dKDKzbMkKTvSVu1CHRac3nT2zb2XiypgvvJOxqui/dEQuvmgmkrKWaYILXW+ZnDT3ThcWPFxdn13 TOE7E4/RM0H1DGCtE+xxeEMc4PkKNOV1hIeVcbVaEsoBPnrchPpvK4ovIfCepc7SueULql0FzjPO 8SSs8J2Hx1uHPjMAldpg83S2XJbDOH7O9zjchK+e5QQMNT7R5imKw6tUNBRfyp3gQuqTFw9OzlSS +dW/c/CejvlGh5Qj7smfSVVTFC8Xjli4YcFbRQDVTi3IjGSpSgndaplJrxx0ggmlr4VQ1Qp4TZZF 2InzMjWGz4XKFw0uu/EdiIMej53uExUG4ug8TPG4GWGe7VK98DRavRGmo57fCXgEKUjmwJTK9rqe 0BWS6CeJ6GqZn+BJLFDJROAAx52R2sXy4h75vPPvvPAEvi51DlA2btMuuZUo0wIJgs87/856Ws9E AYo9n4zlnXzH6UUoPtyCFjsvOewJaCiLMblXNFFRcXyatXj1TPhGBRm/9TapkQ2+xzQMBpW3OjBh J3u9hSfJHLxT76pb1p9wL/two6epfudJsD7vxDu+Z/neeedPPMmOL6h33h2+dBFb0LjdlooGTumE FgX/CdIBK5kAY4ax+DJIuBXo2ld1/OzcsuoWo3NtWhCChU6iVEcuUzu9jodkcpde+X2eIPZ6jvDN 5hQB00IUwO20qkrS5ueddvereTfkJFrYlrozFaJVEHed/bbkNQA9NyQRENUvsrFEu4Wmij8VK+qd dkeEm1waljwuONpMnSwu49wQTxhKp+pyloyzvGaika8qWV6U2nqn3V1UTyndhmzwpwbkAuvkJ3b7 vJPuqnF074qCIulSLZXzFMbjk6aY9FCnU8Mmvfz/lC8NTVlrG41lraioNt7EDRul1DvazisVNol1 yF0myS8DOw9sGyrhncB4tSe963rl4Cwn9zwXqvzkwlISnSzOeUUpfDTBPu+Mu/oYP9pRToWT4SHU O+EO55ryltVt552oqbijxVI0hZ3HU4R33jvf7qll4sq6481bEHyAkgCU2Fzky/AoB5sMcgA/J01e T2l86JWD2KBS/mGVOyg2OMGwuMEnjqmfd74dQwuPoXg9njfYUvPmPIWl8cE0WFwo1ujWqg5XybeW 2TV7WE9xaZy1p7P86x3tyoPXR52YOBx1MHrKjCNAwvtBpgLfj+xpsIoq72Q78g6I6rHKr1tunXsH zImqs6p93rl2bEBcwDgnJYPfCxqNz1RUHeftM+bo2YKDSj6hmi19eLSZajYcxjvZjitajeAlNX2O tqLOYjsBTufOmwkMKSHMoaf4syorDOVqU5Ol93mn2t2ZmoP9cw5WV8EWZrB5Eq3PO9GOmfSvK4/G tN0zvHeeHUFwYEDNOaYFm7Mkbwh34TAoIIzemqYpDsq5oM4O3Xe0ukqhPymqpCC6npNsLRP+KRMc jUzE743JtaCi2rhgYtQotEHhgPLIH2NvvnPs7Hh7pmmfNTFHuudTFJNTwn02CpRZj7Obz7HZK5Ng V1A01DTFcBXCC840pDs+BYMCzBngKvDyKmpJbbpjjoZuV8oPI5/gzHd6HX5YpcCGHF/ZKVEbIZSV n+bnnV1XpbVoKR4jzYQ7b9xdF4bktERpJXv9qVGMjqp2QuYwFa40fEFhpYXY8VJUBCj7jn4+QR8v CZ3Zz15rMvDdsMeAKEzGPJXLBkbUi+8JwgIGUGWxh8BBMIwJf7WhEsvG40GgeWHR2qz7B7Z/Pk1h QE5+HaIam6aSl5sMNP6lBNMNqjjxGI9q46mwPJcRqPpoy+kkiycLwqmHMh1+7mn2RWAlkUqFZuwF Z269cUBpIbVuVSbEHPT3QgFTtJ9WBh4PkAX5u2EOCgKec95PFI//f4x9WZIru7HkP9dSJsM89P4X 1nD3CCRLL6OOrK0frqSbZBaIIQYfOtVnJ4FZ0sGYDrFHq8VcK3CtWwuhv8fj1jlE6K2OS3usGCh8 exJkRgV0Ba5CtozOVB316XqrdLR5xdcEfWA2pJqwmRy0mE5yJ3TxCfX4eMBp2d81X0hgg8XjsxTF 4uweQ9rTy09lF5+lsa63xya/kLMUQVWsb9dWeUYr0o2T25+TaeAIRywIFR/B7YBeIbUduaCXC4Av wvcESYup5BPpy0HTdCa/N7MCm3g8iAnqN6oAqhC8W2ya/i6N17y9g1BF0px0ekzZ28BwIOYR3qPS uCV34O7c0VKWVSf9qqiUn1KCuDlTFgCMT1SVvW9n1QLRyKJQHD/p6pNSf30iXGItpJUEoCdloM5Z i+cj1uYvzgYwi1/lgh7SNstS58CFCgacgE1fTNAxcO+5BBiM9ygYl0wDkKv9jg5hhd7MgCoYsONg hsrlEdLmwD7VTaXYK3/BNLhHwTiFQs7Rz3IBZZ8k3ARVRJM5mmPzA/5FcFUfYf3AHcRnKmJv0jV9 tObuodBqtsJK0SGJsOC8BBE9mKmYvmnnuPR6ONo5Djj3KJUVKOTZjVJzZ5XRjy/xSBvzljRpp44v +qvJ2ZfkIyfQZRJ0WHPSd/hn1D3xfDBRwzef9FfQ5ZI2MGcqDMfZ2e8y0AHeN4nOST2HVZ0tnVFV o51Aj0mcCsdbr3e0NXVmP6nehMDgXKWdwmLQOCKUlEDWNe8pVbNeOYgMeOWVtRQZEOTFJVWhWIef 5yR4G88HyMNfjU7UCEe5KIweVsmJSsbpYZWVTjro+Uc4erDeMmjGzn4wTvMYP26MYFkLcfSKAZSB YRYLVA/Wp/4dbELoZAGbXnH4XEnSqVeONt+mSg8Mm/pSR0yogj025G0QO9aCD4jgdL+4nPicfGFi /T0mh7RBYuTEHp2o09gYnKnKfjGNBDbLQzzQI7xKUvw4zYSJo1c1G6QcpN26iV8hlGxlYoBOqHCm RMLcsj6hHmmP6uSyESiL1FcO7ElBv1FWRCdca3g8qBnU79oKKvYTTC2bpwhCzuI2fOvlGwC1Hq4o ZuGrDqtBJVBctPUivIqtKLgxfdXqKi8+yIbNMarptoI8w6omBcgqvL/OV+QHQ36mC1/0F55uNNbq hjscnRV8MmDiclAVwuP/okxVlgxg19Au5rfHZXJSN1quNlGThFtOVEeUS9ULJK3Vtl5UJl9yo59D OE2OXv7d2HooP+Le66gecKLIHoWOJOhSFD+UmFi3V46SYVEVn4ETBdV9Orf8oPOJx/+XBZWBh1pg 3RrVPIrLEYVD0LZdUkJyzApwdCb6dOIDUAfJNY/q5IQWnUMc9RIfPX2BxF4BaZp2J6hRyfernrWz 6aoCKJJxXBLP8hEF5mpQ5aoyebWaICSy25Jo8pl/PB4E5uNGB3awIZDy4sqIInOyBgEi8L5Lg9Oh BVKULSR5A7V6m6e4Sp6sj1DvaAtqIliuFN1ewBbMQfLGOYexSxPJiqvnK7mG3ABfFHE6u1p4JLsg WOb5jYS9tC0/CsxUXCZ3nAFR1LDQ7Mlj8xFCyBMjTrYKGZv33odXoXLf1qDqpfqCisrkNMMsqTPX xUiWy0AKkyCEAFILWXgwRZON70KBHOBmZlzusAAFQnxNAGQd4rxSV7qxw8VZArSRPNjzB3Q8HrVd fh3k0MCpVypkhKgV4qJPUuaIurldBwNtvAs8pI4jzqcRxeVL6XCB8ypH1gcHoWInI26zU5TuRDCr azntjshsQNJi93QDA1TO8DV/EqkHwT0ctOtQWq/WVdh4PDqdvKLJdYfcOt2u8IhicunyFJlaGrau 3cKvH06obJvGw4hi8qnZkcqpjd5KyCB1ZlY0T0DaCYrF4QQDpoXSeSFBWOJFBOjge4I9x6ZwLywe dEC9mNvBcXlnRrfow+D5YDVdkQfsL1S856r3EI8icvqLnG9Yzpgq+WqGCT3LQBPgUpunKCJfBi+o +xk9H17QSwTas0P6qhrKfsOu6ge+BD9rX+V7JuH4mmDPbaJ9BcZIDsY4t/Uaul9RE8LjEcj+1sfZ wYO5Ceo1Nk0henzI5HK67P35c6xA3q4q5AkS9/LlFKHH0cTGMsqq/Ob8VH7TubHLgEcpwmjUI8zc Eei5gSwTPG3Hjjd74ah/h2mCTx8HEhKx6XBJSFal4WgKY/HlNQOGSfv8M17UZimqj7dMJ6/t8Myz JTwiqGLQCbMCjJRmKaqP0/AFs2OqWFyU59+laSps1TMtGweUSETn3BS1hcTED+fUpwk3Eb4nipwy 2yxbTRccmQLUwUaBchhwrcXzUf/um/aKyHhCDs/mKYrF2SR5HJ2xsExwrjY5tim7gzsaCwYjisWn iZEjd/bRcpaB+xtMM3Kl0j4bj33zxPyoIGFuMFrzbdftlYNYnEWoDU4Iqu1URxFrA9L+7OjCaw8f EFQM6vg6xrFS9niOp7hEzhizXCnyMq05DOJrcpu4nVwAcoQlcolkAk5/R8+D21mxJ7+gCk2h/Zxp 3It7fUI08trcPkHneMjoxM5DS5DqTwBfFfWB0VLlCQV2O56PSivfaQtNBVO9acsMq+SVtxzdFSSV uSj1IG/Z7aWVgeY687v5p6BhAXJ839Gprw3iApV+4ee4LRLbypi+sy4aQ80r12MU4RmCVtgz/7/C IQWepcKvlIrHg3lqDsVIXHwNPl5eq5tRME72HYy03Emvw/NT2V2GgIGyO0ik2DSFjM4tWksVozp5 NwQKWRC1oVYAxIELykYsF3QcxxC979TXv2kwTRNmyOhUO2G05INRX+cguxniPwWP/y9HFLRRZp8e P80oFs9TtDKvaU7oe3ksPtdlvqarkfUPOufq5uHVH9U+YKAnZIPJuIHJGe06VibxrgEBjMTmQnuo 9DDjOrkZDRYfFBnA0YnQnHr2PB6P5ukXv+VEM8g8fZ6iaJwWJLpgvZ9gQVPtVcRO9hOaBwYzrJIv SelXYaQ5XhpQgW145rZDZ6fK1iSBwrmI60wUEjUz2aU3js4nOiQMeQCA+qEYHOHrkIJBmXg8khL9 7uFlyGCDQOLzFMXjlX2Xjga/5mnP6XFmcbJZOwHD6jZPUTw+5LuxiHSw0eYpVR7lFBOdNLQUrWxQ qTxBVKygXeE9YTp3zZDOyW5CyVSo5SDwITpfXR2YXvF40Oz8jcQo6LpcwMqMK+QkSXVzzIPAyvIQ atXLDkbl3OYpAqxQagKwaPUUOHo8PmAKXlrXMd6LURqBLgesZvzs/QSatPmcUTxeWZ3rjac4BwHs E+Sxqi6+gcf/xaEmEAN6GFgjNksRXmVkergI80uETysur4Iu7o/ZuVxw9IzK4yuZF6O64hxtlvoC JMZP8Q5oVRYAasH4Fa+Mmrdj7UmhnlE8nlgqQCSjQdzgeraBDLrPAhl8zahU8F0bB9wFXUafppDL OVSWq8UrdHU4+mkmO9qRkbtZ1wyVDtW6M/cfjb6YgA1bmwCDeWKjos75WkAwbMAzM+RbbhNh640j VhnTukY4RnI02okn0mLg94NvwuP/xImxQIcc+NadZgRXoVsWuh7V4HRV+pmC9ayr4bemSRfMKBwf gsihYHLHe9dBQ65L1Kj0bYKQ2NNIdaAHAUbkZbgQaj+jcLwJ15OHyT9hLbJYcCa/cg+umiue/9d6 YikT2Eo4nPhMReE4JVPBRDCy+cmmXcq3oXlriJWn5DtDfRXx72oVXbE+wiHtxE84nuhI0s/hNIel wbiTFxXy1tNDkMz3jOUOpzK77oMhVs4Es0150veOxyNgzy358izLSwovmqcVBeMJG2mMWa/GQ0mm Cl1k6MtEuIKAyXlaEWTFNY+Xzh6O3jtfJ1lJU34kE/B46YllaDygsQe6hauGSLpghZAV+nJNAPtt MMbUubKL8rxa8XjA5nz0xRFjQnukXmDPCgvjVapG3dPgUepFHe6r1jOKq6usELJijA2KIdjo++7M 0sqCRaNSOumnAKNmGnLijGJRpTzSmSssi1MAo0x2zQuCACmIzX6+g+E/5BHwfKTW075yYFCeJ+S9 bJpCiXHmwLXkizqsud5TvHufJe1iodOKISvSFlcFACOJuiKWldko8gSYGEw9q9B0kEDvMuMo7Ymc Ttb9WWFdnFUVLB4fhOxBJp8EgFp8/F8Zy5KY2Nlv5bIRVhSKs7F/vqFdsZ7c3D6wJWdMdeqeMChY IXrcjZnlWrb3DcWB5EH3d1bV6M7NJnJwglTHZMEu0eGtPCDWFVfGKX6cGRsMOlCx+gRJbtXJEcR+ VghXuQ0E4kToOL5A3rCZCuXGGWTCJVC1AtT7Ba6vRQJzVKeDcYjNVEzoFP6rSNaIo4dP5cwJ4QY0 wzt/q5JgdARBNE94t/UF7Zl65aBQt7ikKk1bChBE5DH9oJdWpWWAmHjiE6Irb36FUIhXQOryqYri cdocWk9VU9Wzl+p29eZdz9WxPSuUVxFT88W/M7U6SayAvEomzLpJBhmC8CdhwfmHoqr5BhKYgC8K ML+U8DtXXPNBeTCwMDJIL53vGS2qb0FWQIMm0A42TyGCPKmPsLuVn4a0fpcoLl6oW1By0zyFEbly 27GtpLlvSbP0NHaCpRdFtXs3iai5qVXdQACtINkYDCPrzovlVVTL7EvDdIrL2bxS50up4fFIweC7 3wJizkb3zeYpCslJ85pNnFcK2ssvYlEmqxnFBbq1vvVCCLnwh8Rj+3hLvxMC/yzTLZjAkAgH++VO i1Ns6zweuAquWnzRH4rRo0jKrwC1yUUEsR4K4EMlq+Lx93232ncvGC1rskxtnmJWJ7n5UnqQvMre 3uW89QL0zA30u0K4ypKcWJY1M0ebpxPIAuBL0O/Cf6iyoDyXeIJIO6aILojsBhewp/jKEYZcsMM2 fBCsBw1/UYHa4HtG6IJfiqyweMkErdhMxXgVCmHU5RSXs2Ese6nUmVfFoDJ75UyFMbnJ2af8jDZT C7XRjmADDsMn7NhNlbqKaKrAFbiAM+wwcrISVhSUw9QOyOg9feBMoRpYZO129gUeD+KDXwDpSWpA 6VepPQrK0WzOcF33Ul1h34IaKyU5yQV6aRaU71j1UMAeEwbh6DiMs/Pg8k7oL2C2yW69RRAQwcLl EVmRI/qOwvJGTN0Ay4RD0x46AefMMmKuk48HtbpfLBcwRvaDt9/vYTmeMu9ls2E+262aZE8/R2Ly Uh24ApK0D0VWTNO+rnlHW1Anm4cXOgwCdxmQeGJfZnaizxt0hhstsuzKoz/CDqHklcAe047u7iKF S2JLXBta7fs9LjcVy0dlhaSp5mWDHcblWYpiyzXth4JxbDxYsNnG60xzOE9hXK4+EwltPnpJ8xzO g/QgHOVFC51gVpRIINeTkbxfR+GhNw6mifQWumzYwGnqE0m3GOhp4PFIKMubwsx6YGyWL458h6TO QaGsuVxbu1BbkdMER2k/yZuTFXeIVylmSlbaHT3LO6H3Qu+cgmKpkiyFjkuqErU7wVK7tPNCe2B8 USRHw5bUegZFUMDnkQx9os6Kx/8XmgsMxc9l4Of4DoPywv5mMg4CIoM6vLbizkAnzSOgmPMUosin daZ2vqMtJyyRCqV/IjFOvF60RUtmZQN3+xeuB1k0viZyJSF+NbG0ApDVj0CZ54dANvlD7srG8//S OyTvGhDyeiHkO9Q7XNRWKe4m5d4bmqZhJajBP0jTFAJWDNiTcrujTdPYLGkyG0YlIm2ViVH7gooe vFbz1TAAMBVf84dV0rlL2b5LDveoIJuMJZpLWng8UoD69pNiqRKy3z5NEWCF9q2Q1EpOB5J4NNRo mhidtMQdrru2Q7lDkzlcQoBx9F131g2uBDoBJdQiiL6AG8BJhrkFYfWGgJ0ZXtMbR0pZmKC5xX/d pksN3t3IRngpfM0ovfsWakcB8qtQt0OVlUqYyl4i21HMwCl4RWXOSsQKsdKdn/Q3hhV7yEcraC4K EXZR8HCGVElAVbRWxlAE5dpGhSsE3xOY3GVToSk+aJqAYBDxdSQ+HgmQ35BAreNzizx8hB2WyBFk oXTmyynX0nw51ZRsOclJhPMUh+M6lIYQPhxt1xUUInoi1hcdnYGbGPMEad0BCDmg5o5X2VMvHB1O EqGRXHtxqG+DqZfZbdSBxyMiUP46mwDJ2Y/q/w7L413ksuS810qUibyVh8lnwQzIoZk7QqtMI3SS BmWjzdKZoJwhXcDmHU2mVFhIODzpNZ7TdHPzxBx4/ymwcuKlnH1QzoLgjqCec5APPB6tpl/CaywR rn4NuAQRev3eQcfuXqanwWm6xkrnCcM0OIGnRhhGTiF4vFg9RcAejlZXmeN8bkGchrpsAcyectEd gf/J/hpNyvB/5OCytr10wH5l+TcNCY0C51WXvO6QflNlDErKmx8RgVl9whi945BOECby+QqFDxNB h7U4e+MEzMKqAOS19N+iqlCtDJVTbMapfLinZ/R8uC9UNMXGK1AQ0XmeKFcC4DTqUI9VQl720hEg gzdf0bShplK3xeKQPf8h3qryAyIxg+92XoZ3DYTjr51Leg/N8eDmWe72GyAP71tlKQ6wq5s6kZyu EEoumMEY8gka4xLNqE1XE7i5mK7aG7Gd4FDjV8aWP0kx6E6miszyAb7pD2AG4J8EAMMNk43hE5Of cLWL5zkWPyAIqK6QLQ+48/Wwy76TFdXNiXqETIxVg+cSXGwRUN5UnUKCcE2WU6RIPqpZvIkT29Pl xEJ0reezQmk/eTIlGLywxkkKeofqCc3cPeGTbUIKbYKob7+okq2BB1cBQ2SrKTMGn49Qdt/ojBPY /ax0jRPUF3kNUrgPqyIDtmVGdktcVDJUOT9zhWhYcxWVzoeObfS/7mhzBSeXtJrqnGch5MSFNZlE 5UY/eKi4WTaTx7KXjjxLCrHSkqqpdXhBGAYPkmodmc9H+YzHDCyzFzbQ5pUhzSmK1WFnBKEhtvIA tqtlm+5Rg/efnVobgYud8mH1fFnO1+odbRuCHXVmq8oGB1QqBusLWEUUW8DTl4hdU49v20sHSTID 9S5JLQ5aWSdfIhQHFWI9HxmaffPSAbU7O7Y8R3yswYLyVIE0oESiKBqqycoQ3s6UOaCyqk1WFLJP tUFnU/OYo09WAaIIbU+o9w9QrYrOLHrkwWOlyIVKV2Ju9tJBmCXlYKS3NqjwAuYOg+5aYd+doiL6 FxyfS+vM0+5XKCqnWImFkVYiYFPqpEyLM/ZhZRC6mQWO7fswrqPLhWurusCRkwVw3MlkaxeEeiaY oJKd3umicN6x2QGvM6vUZi8dZDjg53WEzhpMe6ymcyJyOUPIms//y0eBuQWQkZDMf2Yrit7RETpT 1JcDXJrYjdR0n66CdJKJ7K5dKRJkccm/ZqypVm7p8+TFdfCT2Wk/k8eOF9jq8GQXf2ZcA6ElP6oU ypVv9Rt28kGkxg7rHeaDYBPj+WAfNj+1ZLU7Kfz5HPFREC9xrTyXdR4AlPICA2ADlurAMMQnKyqo D1NpA8XaR9+HJ94diAmwD89MrS0VMjiFnusI6SZktJ2uR0Ixvihq+ZHOX1gG7aqh21wlsYjO5/L5 IHm+dI+iqjL8Aq5qeQ5tPaeMhFq1hQUVWatZ1bWuR0fP1zcv8PU0CAeqMKnd0TmgpcOrjSqlgwI/ SUA89KdnJc1qtRuVtm7v/JdW4gn+kw+CdSKUYz0G7Ek+H6WG3+f7hMPgU7bKobNnM7bs9j1orkKD PHWTx0fus30PBtaeAKaKt1cNoF8vQP/sxhNynTfkVCUavGZFYueXQk79A+UOlwAkZDHHzp5GUG93 0ExlUnF/SFmsfD4I38v3TEG0D+X4O1Nh8E7hkbr2FVIm5ZTqUXO2K6SceSd2fVRUMW4B2eocQmfS YZpHO/cGVzIJJZxT7GfQIYsoTZuq0uyd/6Jb5ZGkb8clQn56h10oj/Y6+HzUTPZMh1uNOOE07lRF tXWdVWZKxSpfT67M3Vu6ytzFi6E5NPiElgXUfraU3jka6+P84PDkIOblHFopu/MJJHg6SP2IXVwv cTR75b80WuAC5gMXFXDZS/6eCzlhYPCJiOGXmjIOqf0Yw4UOn2ua0ffVeqdLgUSkyOhRdJW8n5wD i08pgqByRUysjV5eB3sRvrG0hksn9FTRlKnyWW/1hzLbVsLqo9s7BwAFFhpoboPBNDghemBqScBD 8Pmgo7x+oWHP5Q4Kz52qSMhczmznf+m+qMZVb6vy0yv0omDw1fVR/3DRMzrfvMW+jhLpbF1YfdSp i8BWBTSHKb7lvqKJlK3gF/1lQtyHwOjDpSIaANe8dNv5ID4f6ZV6HEpWw6yUwrhTFdJCs/VsLMHx buAWPS1Z6pzAWlYYGvp8Sq1196LAiqOlg2f7nh8achsgEJU55L60MlPnhDShsrpiJT+VsUKnT/Fk WNOxQengWWFJ6WCbetPoWHfUmf7l82mIh32uopA9uQyC12QqZfYkg5DcEnVQ1VTejIHZZ/aQfc6v 0WsyvWw5D0NXD5QJXoAweehSM0Oj1ilFFOTSN0XrSi3AtXzQEdXQ4tK6SpXPR/QGB3XwvkQs276C hbDcDjbVicLcIw47xX0HjStKPc7S3D42MPzEFtyCvQh+xtHWVc1zojXK+hVwVQJawxgaQm6DchGX zlfoIs1viuwEtAdH9kGojt2pFgtUB8oMgeen/dFPuAAn8JPE37kKHYYqJQybGTMSrmC1PloZaF11 6v3bHowBMFpPWUAYjrauSqqoLsjNEsD7JPh+VmkUyUbBLr8crGkvHam8MvqE06MNCq1gtsq5gm4j n4+Sm+/eaYZocSZz32YrtP6UsNQJGLzYV6gQo8J7c0ItIYlWZQi9P9eQGonpJhkYTIXkDb3mTugw uoHnQJGvAAvvufOJPp+WV7OX/qOZQ10TDlVk9gbnp6nE+aQHfD66CH8JbUyeWDcQDd0/oRkKZBUA Vv9hvYFX/n8kO0+zk860efjKCu0/hxSBJ/3ybLSVdX7o2sbqOrGQ92iumlyvUe7J0JNwYTe2B3Po /ymNm1J4IXLQ6d6k4s04YPL5IL0p6+smBGIHTaQ7V6F2C5Xd5OLNXSj7QCm7seNG/GfbbimbA/9P C2oB/JTVPEfPmgGygWUF0VWQBhIaJg1qweP/FqAfvDBak710BBsiHqZT7YYEcELVQWttO8m/a21+ QAQc+sXxy+Q/z2cXhpgY1vrGsAswD4vbsQsTXcZYGO11FF9ZsdK5VpbRIedDh8xwmYe/D8/38xtM vu4535GZI1c5X5eucn4hIYzfFAEZaFch0HplSMdaHxStpyhsWW8aaS63r5WFXTjxbj5XofsQW88n AHRDQvotm0pup7oim6qQ9FPkHhiBXjXhtNVV5ejpIATz4YGNxPnc6TNJY+nsm3PoUO+gjHwXFk0G cuwEmsnLmizL1EFlH1ZhwB2g/AY0IvkBEe9ofcdYcJEDS8DnKiq4N6XOpbgwPBX/DRyzrS0NglXz 2lXoBmq7cGWjbeeHtl2gBHruQOqULHTtJ3fhpCsh5MZzX+VyalSPCd1As+DqcA+zwfAxZzspdD+3 Bp+PllX9WlZgXMxx8TE59AO1ekzpjiOayZQ6QbRtF9FAgzhNVSixaECiuZ7R6zGwAC3i0DQILE4x BKneCRmCH8kGSm1qTXvlAPqRBI1hBj13ci+5ibaBbsS6+Xxk2PTLr/j8wHOVZ6aiwL1vWTEk118e fa2LkWnZMTJ7NJ+pWPbcLC5rvqNtwAYq7M504j1fcOJ21tpPYojFBUEz/EbDuzjZ5iqEyVQ6pUGf gkP7kek8TnnS9npNetMgZLjigQwP2EcFxdcnK4rcO7UdqK5iyTPE0JU8ny0/LXleT/Ic+IKinSu4 YxfHZksUWZOFWvIW8AranXOrIpqGxH3hXeyF9gJncX1PcAsS39/VyuEg7l/GT8JZyzPz+eCsmr/0 hZEBQ7HkmasocmfgeOKrnL3QN+a26tUqaflp1cvwhRVG7iJDDGKSbfTsGY6jEGJh5A5/paKMEHTe s7DQNgW3AfVJotSKvXSwsOTkOKuGMytaWBO3tax2qt70XxLDRXXB81utJ2QIHUIFDz23+YWH9urY IqD/rYdTCIPhZMUWoduqMqZoNi+Z+xzZHayjxAJWPy/HROMkiQAgdBhnN2KL8gNez6FFaKYuXkdz wQad7B2n6lNpDzxC/1sIHQ2S9T1T72F7UaHhBFfbMX2zuBFf7eW7KeH148AlNP8/6qLinFJTIj1m qpWas+jFUyEPYKMk0w8c5mPrgPuivXd75wjOQKlTZGc2aA+uZYHoOQ03n48EF78zHLmN9rsDY6PQ THDMvrJmOEIsXsC97hB2EJMUtYdOoQ4rKkYiKTd3Rq0VSO+xqUSFNqTRttjQRD/hp8zlSlSlq9kV moVCVh7NZlp/oAn4w5rTuWuzmWQD2s4PCAoNye9B/Mtnq+ptnukKGabYDGOtdRmm26k2MLO+QgH5 ma4obDevuZGN5ZYf4a5FyWr0ADr9PTZ1Gk+SQ1AimyEZ5AyXo1KLPvAMBX2L5b5NwGjaqIwJ41eH JA/On426TGAaior9/IpFYeu1cPP4ZIVxOyF+YIHaZPXkNayOm181LCo7+GTFsuiGZ7Dsud3sGQ6J 5/RGs5b1gYL+oIhJyAwLwPcVfXeXpEIzht8U1UbparzJ8FZAJ8zayZ96I8303CSZnxBF7n5okT6J MASKnT5bIc8UJTeIqlbLn1u2ojLq/dvqMm2BWaWMMLQPFWhvT3N35uhLiw7rqwOEBcWz2sjFXUVS oB2Ak0X/UJPTXfbS0V2oBoXiUtcJg9JtUgkeC4zPR1V3nyuu/g0d0VGfEz6K3Ye812c3XRNAeh/E 2nD5lw0wrE1WBJVpbRqOod/R05xVIJszZWU4l7Xxz71Ik7qG27M9iDX+tvymqDDDI16q34OyvPJY nWcTEJ8M0WR+QJDo3H3IBBIdx/TgigIjUQg2IbRavKxZ8WuMUyQ2KM961N1p62b7MA7ffWX1O/pk od3YoUKFKKucU7mZ7yPC9zapt0+Qu6pYe9lLB/swCZeMKpYG61GcRSoXrPM78PkoJv1mdAFJt+dF uefQSxRRIk91FWOQStNPZVNqgQUGtJ4RevvCCqN3q7tvwfw43rnqUAxrVEnP8Pjy+5DwPlDPCkpZ jsFS3T10E1XdPbV9B9Xd2UTiEttr8fmgiJW/50o6t+gG3NkKK++q+UGsTbMFf3CvYsFKh7OFqPFu wzh+5zVYqa9uo0BYkJSHkE4CCKuAvNQEwqoAYOe+FWC5XFXJgvcFlqJ+ZpFeiwFQN04XhLKLaXjs yQ8IQq1fyFGEH9Bh8ckKXUWVRU/B3JlF15tFW96DBvSJI7wBHdiKIgyRMU+XQzZH66qmc3GcfKoA /1EhGZJkGDknXR6QDafHO6wse+Voqhi4Nzpg9FamNWZaG3KREKghsBU135d7FSL3HzCa85mKnYxY mRnL08Kp+4+VmW2JNbWUPNMJbUWXyceScGGjVWY6ug4FSElwlwC8YlMV+gvn7oe69VkC1bPCoSAr sBWVjDLEFhhrQTZHhIhz0qJSIys+9OpDY9HUvpuqwDotQEx9rqIAvmbC+Rg9KoUus3hWCLyBUmg6 VNhcxQG8xE66Cp8cvTh6wuox6fGEnurJF1WaT6ycs4m1H6xaKvbKEQBERDjyvBKPcCY4wNp27kVw K/gBQfj+ixWAs31CcsWnKgreK+/BUrPXRk+CMy9WpjkAC1gDn6qw5i6pxtL3vqMX/E7+h9oCYUXQ LCgGLy3n9qZK3VOZ4TWiV/6LxDQrm9AcjBJHuh1vQQCwQnfRfLuEjDuhELHSk+cE/qJgNiMYzWW5 vF6XxDyEmeawZBGGa9UUh3JsMKpdNYxFqNKhglFUwoEsoqkK3ACH1D/PL7pOtg/mD+QPXHKo+jsH EQMhyEMWyBxUbBgnuFXCA0PIHFqMPiYYDC9O8D2ZydtUhXTUkR/W4JD7uEVXHQKdmqpeYUqoOzBw GL1seZC+7+hxewGHEF10MGZW6pn1Lrg7Q5mMLkAQ0HG0jLKc0GO0Scpjm+khkh2RJjsMyIktXrnx A95P9rW/hQUgNAJq7J2s0NVokzHfr5pznjcU7a7x3LC4vaEa+IyauiVSQWNRtBtenXnqZ0vCWwbe Kq00CYSfZI7hFdKhBmiit+oFAQmcRk1w/mzlaYSTrgVCCy7K557dkvn8v1BYZE8AzXWij6vAm0O3 UUo/n7N9JQfM7GEicm3O7Ql0He7XngO7URH/JaP+jHZg5QIV4UxdwjHa+XWk4884cCFVzACqulTx zvbOUeOLaO0lDV7XMQAdf5JfhK2Q+XyELfoWaYIsBGLRC3H/vEZXDfkCAfl5L0ufT65m7educjGL lZllNgavsVWDHqg6E+CX++gVvw6VNOh8ISiFx5WUdxLOOBj/giN6Pf1oG/0aWTWXdD6f3X3QYQV9 cwkMtIWnXyapWUb3MzyWqTBrp/I1Y5RghqB6RpHLKzzUjDYIT1Z8IoSvz0nf/UwPZshO8p3bvKMl NmdXJVbmBGrvWf/OQvcWZj1n0nn7yQ+DnLbPa0TVvIEDAK0PQvSdU3IO+TwsPP02RYiLkk2R8Gj4 haCYojl6jaZa1r1+btbheqnJdb9OQsPOJGmDbfq99xpLYRV1uR+X3e/ocefZYqkCjgrc44Bao3SJ cNuAcvKDwpmFnXKGfI2kmltFr8k9x0FF44FGNsODc29/XsOo9lBvDKqMwBy5k2boNYhqFnsN2DMa 4H9eqVTU1q1gDC1QA/G9hlANJRwD0Y52Ryc4o5J04szNVjxdQmTwcIIP6LluqiDYDE1UAz6vAVST KADqNoWY4wQadFUKk4E3xvedlfV5jZ/aI0Ro+h8I6uiayyl6DZ7w0ND5cxvLEFZUnNkLGVIqErdu mOzX0Kldwbg+DBE6HkToCTLPS6D3CmwNo9pEYtIUsXmhSkGNVPW0KBj3GjnhMMrCg4rW7DamjaFM s7Zy+7zGTXja8EKgQk2dXCBEdJul17gJGxSIClJgvJ3V3MXobJA1vJ2FlqnsaoNZErIMGkz1jrc2 DFsBWG1T3g1wKNVZOLMVzY8GFqr1SZNsWINZWipFiXC6od8mdWvg+xcjtROB5s9rxNQeF6Nm0LVz HtJKiZP0Gi/hoM/qyVDgpEuAITtnJBvpBgbRzcTAX6MlTFJTHyvL8Sm5mDl2G8L4gUYAZBqJ+yf8 bJJ8TTQTRMjvfmN29xorYb8RIrSAQMaA/J8KJ5voGjK+e+2f11AJB9psX0cSDjRIsdkkvYZJOLTJ xettWJ8BrsSmLA8pePeuz9c17DVI4qFtNrVWN9+3bl4yyX0QczhL59wSbaoUnGBKnamy1pjJWvxN tarXGAk/Bo/trklqtIRg47jSooXVtpP4jc9rjOTvqR3HCS0/kN22WXqtbGK/NYbeFPN1+pGdSijn JM9+8StJJS44uAFtYofdrq11s5ST2J9IOKuuWXJGNYSmhpPY64YeQ3vsQcqi48xrVbPJhYTYhqGh mczignERvzBDGjWYo279UFtJtKupxLpQgyaYJHQ8WfMddrstmIPY0V2WH90F+Zb0O6Kje1oTVNZE HH0pnfhi0lnmTNKGuBT1QOegCFnHyQmDsgvKoyLxazETL6xaSqGbb6IkxA81O3AjyHZg5c9rJbOZ ciCnRLxuFn5S8VPpnfWHu5tI/praraR0nyZY8TjKTKoRYkj+HUsuim/aaPPUobOLpcks7nxUJRAN fMYFD23gwWa9XJqtlPc94E4kKi65n9qgou857SbLKhSIe+f8YTHO/LWcxoAbPAvkQsQH84SpBFqq e7AkPWCxI+t05bMOgQzjHP21ns5amOKxcfSYe+Nem1JmbAXMrSp4Cy7GgqorCJPeLSYD5/NO+cOC oic7KuA+iM890MDgPJ2s9PPO+MMBvtfXPMEZdOGit3mKwu5EhCeRK1pPOHz9mhvJ5qlPSgMKBxTM 01a1e09TCZiPSsDaFZ7QbBTDS53SSdCqQulyUVouPZbsAJvwjd+nqbOXV2e6g03TzOKst3Plfd7Z fviD+/6KK4m/6jdieif74QinulBzWAYbeR4NLNIpxeJGWdMQQMEZ3ssyfsMzOnI/nfyNBBB4YaFr KH0AADhwOsBmCIg8F/pMahEF264TU1YVhtfcPM+d0r4C7w8w2DD+HusraIKFyi43Hnin+uFLhzLd 4qFlH9lBsOfItasOms630xLN0zAbUTVcOFqjBbp3J0IgquxEIJABUYETacWi6odkFNRpmSr1hKcT WUatS0UBCGqVTRJ47zxyzid+3ol+DLvG17bLeJWpnhQnKorBgaN2E05dd/Low/k01zK5qp6oHsyJ eg/Ckc2prsSuto2e72YIxcHXFBq741yo5l0Ea6mFZImRiPNsafH0TvPD/oZp0WCf1AaDKkJZRgjr Uj7vLL8GOdX+a55oQFZu5SQMw1cX3CA53KAvw2acbbBMLv0krcPC8HeSH89x8SD7THf0Otw5bk82 PSXM0aE6YB5P5xal/WaGS48ZHedtb/x+PjUSG+joYYMaB1jC/DNhKvp5Z/i1xx3ESgPUyab+Pr80 CsS5WwFLLg406MkpIMIYiuBXp09TFIlb5ru3zimOft2hRJWRZCLSKOd/Ed5noS5AIr6Zg0gLYHa9 8fs0jWEOdFlD0t970qwz+Wpwdj4eBJm3DkcBS3gXrWfXvUfiJso7rRLAsi7uaCuCN4PfQSSOdg4o gkeh+KhL/V850XG8feAFM9rEGgroCtXgeQ3ibPRibPX2oWTU907sYxmEyGDBzzlo100kVaoztfx5 5/UheNrj67bLODNHmXeeomAcUT4qcGt6MU5iepinJIQGinHlYRSF0bjYx5Wa4jbaPDF4wvVJ1Pm5 oehHA3z+iaLmYHhKyRIC9KdEqaJoHOWiBWfY7QPn6Xwo1pMyvPV55/Rh19Vfed1ZSV1cIpYso2Cc bc0hkpTS353dNBvQMUt/4VZsNcswGB9PQfd3YbcCpQJvB7ZUMlo1NFw5OT7aMIm8STRtvN6EI+Dz TufDDuhEuSoB5uDR0zIJy1ry553NZ0HFczo13ru9eW73zubD9HZpfi8vppQ2nVPbloldg9ZezW3m nczXzGgEkB5p4YxHKuEc6Av1GOoilAktACnKr4xqayPzGDKDV0Fv6JWjNgE9RCd5j7Ochxv7mj1B 65irGOlk+7yz+Xjj1Z/vikr9OTGLJy7vZL7mtPZaDaSJztM165sSPGMJ8xwGNlXvAbmlQEjscr2j B+RwiAA0gaz2gfuVuB414DsrbBBav2DzoleOQijieeokcBOq9KyW1jldymKcWPPzzuTjVK+vQwqF QoR4PlFhSD4IVckmJcFanbn1QZDfQPl9Zedpv9P48P0qOoFFe0e/8s4vf/aG1hQAJZ2uhnNx2zV0 Pulp4wIcVHV5Z/HhiwjqmXIpmO5SUM9Hl84TC0o4n3cSX3s0qDRPyDE3+pQ2T1FIviizSz9jRZqD 6BJx+HhaGCR/W8XgncLXLtFjlVTvaPN0fueTCKPMB0AdUIPK8AaINJtrGooDVu2VIdY7g8/WAw4n qFJL+4R129JTa5QpQSjyeefv4Wpe87/OqLVvIvxO32ti4kCdwEwboLJ7pdInkalepPOzPKqKdxVU JlVMbPQC1Fk2JxFOyPBUOCkSYmSTmC5uFSJE9yxfeuUghMrywpKZ1jCKEsqtpQgCdcL0zzt5rz26 G5onlHKRm/s8vQfkOJ9EYjffddTphinv95qa1+kSag+ap/eAHIm8Cit5jjvebYcoADxxUM7P2hxF vOxGMiiiTCIo3CaTrqvv3L0mJBrwhlxWHFSAQv2dfLSzRvbnnbrHv/i7fTDp3fqc42FEjnN50kfX KgaMYNRk4W5XxaC7NMI7cQ8/s+YHKgh3tMRlw5UJOpjYduemAD2NiQuOCugGoY3vDgXs6PONo2mi jlKbGs5fPQSSLrWLanBu1/15p+2xOu2xgf5dKmje9RQWx7eKvabCBbOZ7jJmYxqPqKGXZHXfd84e riFDhEFR2EebpwmZN3V5IfbWAR9RIsxbGfBeQCytsLKTvXEQavKegzCTDyoYnBi2V9nzDD4eLKed v6fp/N1TJH9+aRSRk1Jx1lB1JnbKXlipdfu2650wH07Te0T+FFaK+lEcLSI/idwC66kQQHeCGxPP gwXyeUHaoZfr/kie3+edrMflxLJvZ57X3Xm69gTJJzLQzln6eefqIVAd39ETWCgTuv/WIo8i8sZo +OSeXi6Ykk0n8UWG2p14Yye+vBP1nrIveox3tGmCPB9q9Ujw4NdYTWuwYcWd+6GoDORlFWSzn3ei nvXdzundeUZxUIJ3VuWUJN65Bj7vPD2/k59pymzQXyRBFI9Tgffcas2DzE7NX8ZOyNztcOrb7Xje WXpPfreyYJkcNU0n4IALQNogytLfiTKhwPWAHUcoN914uthBueiNo2liGbMTb8FBm67CMJHhQZt8 PNh06btKB5tCVPV8mqJYnAC3mdZyibe8a7JmS+mcPIDsB5XGsOneGXrsqMlydUqUi6OtpvObr/NX aDWRcmZ8WgBXqDgL6Jkf4W3ohYPsDtnK+VtL8YGzBM0BMYQQfH3eyXneX3xqTwlYouqB+Dszr11m 3hjO+VzZugmgueHgJ7pehVlwPt+JeS25bTapEz56zXehxb8ZYJ7lVJq1r6CCgB4sWsH9IsAmxUfe aXnELejopsfMpDQnvR8h20Eh+h+kj593Vh6mOeWvQBwEZgZwNk9RIL6rKk7NsfVLSrCdIsPJ5kkJ lFZTVBtPEj2YiEV81DxNEINPIs9SJtidZFlwxcGWAkRLFKbcvxcVpM87IY953ZCERvPBak/n0sqm AlQ+72w8xuHfDSn82RCi91l6j8PRYZclwXW7qMNMRVFRIYIOs1SndxDeuXgG9FPJqd7R4oFzlaVW UM9Fia7tPr30dL5gMhXovVxIAdOVdyYekWvsRBUWfEVa+pEBFpCKjAc6XzNaTPtXunJCgU6mBqcp CsPZRoLAgRtgFX4Xw0vaW1v2u5bB5d5ZeM3oPwwr2x29f7DOOQcvFqLET0Q+aIy3ZiG3A4AGSns5 wZOgwncSHuMmmczQgI6D5imDBc8DZ+HnfA/DFZ7aPAl7MdDC8Mr4OwWPNTqCxNuVcwNb2Gp0WSVf QlRQ42Ac/s7AeyICatFw5C89Sd0/F34FAYxxeEsSx85gCmBMmB/JoFstM+mVI+QcW1Kdpd/G47Xr 7K6JEp8wl+aLBpfdrY3jMRDcYNXtExUF4iT4njPxBuJDMN4p5xZPgPsclti90++IUehmw5PueANx Mso2kQVn0rPqeKtB2xInOC6N1C6SFxXFzzv77iIL2k5SCDz/qanUWxpJdHBtyHw+yoDT19YDa3Cu W1B5p97d4GkIST+09Rz0NJYJQ2CzFCN1vjPv8P7yK4IRzx0tAx4TJeRGMWFQ8KY0gc6xRYkfIBgA Hrs+WNQ4eCfeNQnunOlZ1CbgYNHTkvEKRdU+77Q7vGf+FYufW3mO22x5Z93hS5HonAW1HfVc8vWZ qUR+QGgL6haoZAKMGcfimh/KlNpo8zTPVZdS7ZonSCIZB701Wlugko0Tzo6oQbuGd9YdDgtqCNdK HbcKio0ABQ2VW546Z6I+76S7X707dVsKulK3efdOusMylBHWojNRIa2TRgQn4ivS+kK3pW5Q8SoW 1DvnzuBtxF4ob+NobYSzEVpjDocUeKS5JN/WQBvIFSFb6VeQLC+qbL1z7loyHdNKCyMM5t8LDJ2a wefUWp93xp2XNJ7SONQkcEDbPIXh+KTEJAFBdGlAS02agHWz/X2mrJ2QJ/mCikrjLmawx7qjdRE2 FH4WuBCd5i/EgWPjsd5MB2oKe93sruuVg6OcMiwn4ZkapNvW6iABi9dYrp93up1Xph9Mz/n9BxDq Nk/vATmgq5Mtu2EGT+Ms5W3aiUvQDGw8lCJ15b2T7YBTz2JibHU7OVpADm4MMmrO0wChScK4jf4M FaqYJ7VdT2V86JWD2ICCuKUQw1pK9rbUhuKcTERL/byT7f5PZRwX9ZaON+cprIwrC57NY6i2p1sz oF7kWXBz18d3ph2zYLGCs1R9Wr4dBKQ9ZfGy6KsB7znU4ivnL/sBXBWeH9mzYNVU3ol2ZB2w1LvX 9sFYB3UZxed8w+edZ2f9hy8MBlJzCPX6PEWlcQrioV9h+NVR3WoczVXzPoa2V7aW1DvNromihFCc Tmk22nrqqJl0lDxQhqIZsazVSCCozAjL1aRmq+fzTrJr7me4E9VqOGieTsalJVzPpfN5p9gxU/t1 39GTtjtG7J1hx9ZqUiXTPI7Rc5keF4j62lnJ9CbnO8HuCck7hWpstKLKSfZmwX/FPPjEzfK9QiF4 /EzWFtFR94hcyykqjHf1owaRmXpbxgLn10hdNh+tft7ZdU1W0s80IQqb4xZ836l1xM1SeawMF/Kh DZztuuT6yuew7EYXe2fWedO8nDRP08XRywUQF6tAOYM9CP580c0IN8JBmCYcdR0iRmDmO7GO56kw KrP6oGk6N9GkCsf5UefnnVdnwPyvKDPhwrt5SwvD8c0TqWdnl3f3Ywd5rLsTQ2MPHlWVFqLGFWVS U9pHO5waZNRmohA8zqhzsZn9OBC2+DHPNJVLA0bVDd8TxASJaUuRH1FxPyJggmSPAz9RPB4Emf17 0+HG6jA6t2kKg3GW6Ib8PKT5l9xE9MQgJpDYJ4X1eYZHdfEkv4pzWNc72mrK4BMD6MsS3QkXDFve NhhJVOlEI/biMrfeOCCzELi6vwadTQ1cT7F4ysDjAargdlmYCYJetM7J65SfKBhn6DGmBBGJWslX 2KF1N/YAGd+6wP09GL9neF9KWvq6SQsgGLCVYMW3g4GAOjw6xRXNJGTYoOJYbkf6Eb4magIL+NS3 D1pNaHZI3+icFng8QkP72UROFQIZcP98mqJQnLTqsyMeTQdvdKILTKgB6V1QedU0RUAVFSpOZNTH Ha1GB42bcw11TlPi2hTwAAodHW3nDM6FFwuwnvE9QcpCfl0rKhZg0DylNmvTTqoTjwchQf2VAp+o v0syi9MURuIkR6ndpTO8bQepjL4cDp3BK+cZ3qPCuPtlr9nu6BlLgxnCovXJRjOc3mIU5waXHHhD de2sViAKWRSJU12MKpTSMUe5iSlLhoB94R/fCp4PKJvzF351SuzTiwU9JG1WYgnkR4EtOGZ22v0J vVwhfww3D+1RLE4iDRU12x3tGE/7nB2ZSrc7w2x1yoE98QRvwDDRG8ylL5gE9ygWLyQgzE6NP3Sw fyTadGJMRJn4x3MI8gMidus3AKNCbbfdk7xH3E0JizUK+ErNQaq30CjZycsqdeNv0kzFOBU1ys0k pjwmMR2EfXANGlt3OKJU+M3MXhKtSMe8Fc3FG69HBfJF7PhYREaD+Ss5FfQKd2XQeULlieeDK+/2 OLX+BsgQ3m/pYTg+irQupmF9z619DVYdRQ4I/tyyEeghf3M8FOn/okrXssBznJQmONMwqmhVGays E8KhStrWvIdUzXrlIDRgGkyvHwwVCC+uqHqCBoadJ97feD7afL6iCDRH565cCEYPa+Qq1PHCYl0F ojD8RxQuUHbnRE34W7Je0GPsuCmxmqPXutrJBaIXC+YKDFc67NaqID2s205UabFVrxjp1CtHBagq ZR4KRuqq5ZI6sUGxgjOs93oUk6fxfUwhPF0PbbpHMbnUgAuFF9RNKC3ZTLUEuBcdBDblbHieRzE5 O3EoKpKFofGW6tDl6qr9VtSBs8SAKRtZWbURkFWWJzQZ71GRvFK6vGVFm9mCNVTJepLmzEoNjwcV g+YVKIZcHW2ffbdehB+ng8foMjTGPyZAGVSBOpFPUwWqnSfNVLVHYBWqceMUrz5SiEmVupEz/j9O 87OcIFfHkia3IA5AfEV+AORnuvBFQRylrktnwY6DVVYQASqPWXw8Wk/fOy8D4DUh2GQTFYblTZwW Bk+YqMmDlhMlB08oXkDNz0p1PaqRLy2kTVSPjZd+1/uZOJxR8Arohcv+HHsLwR/gUThhlpc0qU+L LwqSYRZVEMH6IIJYmuct2X+ZZeLxiGTuEzU0UxlbL/khNaLAHD8fZQ+bUxJydSjGiVo9Hc4XQz6i Krl1g6sRzetDND8x5Tm30XqmJ0xFQ0reaGjxbPqJAohkBJfEw3xEkXmWJ6Fk1ziI4FJRc1cfL2c8 HkTm6xJcsk62H5C5fJ6iyJxC5FCfXTZPPY8nkCrTqBvQibF5Covk04j4om5wtJDzrCUY12e5MmHR JvXx+O0Jl3NePV+5NXwtvigidCY18Fg+aEAIsD01a5p0aPmB6CyejxroXjgoqhxABeGWyUeIIOdh fn7Q7OCe5WD72ufs1p8aaflMRWVy2mCCyKOZgvou3gM5zDn4ErgHRWLl0PcTmhOJ+4AXOVIuN1eA /CC+JsCxst85VCsfkrkmA28nwYnOF3Q8/q+uCwN6FDNa8nBzRIE5/j2ADdd03GFeF++bliuVL+K/ cEKNKDBfane2Kd3xxhCMswQ4ZionwZ4qHEDL1pj750hnKR9FQw8NIMGLr/mr2QnpNh+07QqOGtKD oXI4whJ5/Ub7whNppeE9vBEF5SBWscHpa6l0I5PVJu1L63Uuq2mOKCifwqGUZXCD9RTrECf080Mk SRxCV0aiM5DmXJkes8jzJF00t71xdDoJNU4XGLKoszU4wWigw24uGc9Hq8kDKCLNz2af6xELiWJy AiOAfx/eE263RG7q5DidEtJtzVMUky8VNTFFd7TTacBGGqkXEuLUNxs4mCeEBBBx+Vn7qt6Tu4qv CfYcS7/QO/eBMVNGBYiZC9C3eDw4mm4noUmyDkqs2eOnEYLHSQ8W502cDWmyTprSL1fs6807CSMC jxfZCBVK/NroHZcFOEHnZTd37hnijYjacc8NYeMe6DjeH18T9e+IpAP8gUP5MS+hs6kJQoUiBh4P oqe5vxI8omjzDZ5GFI3TSQhbvDtkZboUFmRlbZaaueolflJ01VlNc7WvTZdZM0CWUTE1KLGgqNnl wQ5t/6kuekt3moDMw/dEsVNho2VqQHYgQN1EDsNE+CTzeD7q3/mmI40FLDO4yvtEheH4lgVv810H Qpgvp5FcMwxxr0oGIw7HJYIxVInjaFFmhXXQAPed+qQQ0SG1JVMhiG4zaC/cfccoc4ThOHG+SH+o GoKwN4m1sXIX3xxdMHxAUDOo3/xgdN4BbPeZiuJxoepKG8lqBoV9MBFfKUGgBA8q9JqpsEyezffT oOEPxIC9OuTbVOphbF5M4V56NUjwNr0mZJ6w7ZWjljAIeBtIKgw4yegGgAJ8Y+Ixdi54PpioK2hE nwWC1+vdfDMslNPTIpcr2a6OoWxlxUdg0SBTWLvzk/6UM2wAY/roXTwoD44mA95zUs1UtflQWFgk Dj5iPUYRniFopejGY3GFgzK8ci46LohWS8XjwTzdTLhw8Z2MeFy02IzCcaIhBuHBwhh0GoswwcvM /pjgQR3UpilkdCptKVmgVo5+4VHHkua7axGdKR2aya5PGfR7WuNmwrRMmCGjk0j7LmM4DkZ9RcmA vI2zs/H4P88oRu5nniirrXkK9VXQvZxwyvDAoPYLFpt1OiEBx4gUsv6kc54Am7V3G22eCtzf5mpk 3Jwz9xwmxGJUynGQgwTvu4vtodDDDCvlU7BfYaGG+Qw0cLBEET45WMXjkXDI7QoPXcgIcu+CiuJx Kj7DJ88pwrWUyyvbV7ovocmriYoL5T1YUOimnig7yWkQG6RJEKOAGrUagtdEHVEzkl1642CeKEST NrOXtJuL0oOzvalveKLWzwxB5Ou/wBgArUwPoWYUkVc2FHofHpHvdUOomod7UfXlwcGMInL6oULQ SLyyNB9eGTxuIJDZxSs7HyZ56EV19QTKEEzjb2OYvl0z5HPSNA9Xsg9CH6JHqFJd6RWPBx3PXzAD JMDzAa3MsEpO3O+erTlPcfo8wfzaAdIFJRrNUwRa8XYClV5stI0HAHJqiZ6oqPguqphSsY7QmvED corHUPT4nFFEXokfH5My9mMuZymCrdakGzIGHv9XoY5gDAhitAuBmhFmhSrq599py/lSq7mbC+6l H3NzqdVMN2ZUIl86lrJVV4RxtqrKiaFwYCUF5GevJcYQhdwNgl0Q7DnanhzqGUXkYnEC/aRhKCI/ 2xrsDS6QwdeM0ruLPBQTaJNN7PMUsznpQZyNEnwVfKckM4vldxn9da2mUOnQdhs6kD7aPNGvuaQu Nue5UYvmKaH7DbFalKC+bM223jjo4pGVkJvclFyi+0QUZU4JYpyLFY9HULELWuEyTPmbfzcj1MqU 0rHiJAJYki2t2rY0H6jih+KQ5imKyKm8yoZwv6PNE1SpYf5NOAb6oIOMKTRhkOag34HM5rJciLaf UUTeBFvpGghalk7tyY2EZT1BWcXzkXTItyJ0Zdm+3wUV8zlFS0jG5xybfTDRg1X2BW4lbxfsmaHC ipxPe1bhgKNj6gAH7ECIAWW92h4y8+o8a+DPTrUon6dV9MZ/6WZvwXv2hffUCkESnk/ng/F4BO9x oAEbLnmxiWzTtKJoHAIlGQVZjwryxdSVudzIDDA1w9qvCLYypCOW6ehp473tTsgDmQdG4xuSEcxt IF+OthBO9ytrJO2CFRbHqQqJXeyDIQ9r6YzMsabweCRr9H2KY10v4BxslkLUCrUzLbujjAEDWgWZ vXkePHazu26FqJWu2ckmKvZoF+A+OMcPKGNgFbWcuoT+snEyz6UzrxGJpDNXWBmv9LGuS0Oxpkmd HeExY6ddC54P9lzxxURqCQr21EaxeQo1xmmLV3u90MMxsp/iEF+wXsvy02mFsJVdrKBiI0/ExvIT cuuxVFiZBBIzt4N+8Q+YxxA/a0/odPJufE9UpsPc9kIRPw46ZApE/U2sno//CxetcsyJmsqznEJC p3HLrgRNqdVDzFZvA+Fch1NBwQoJnd3cTmu6o2+6dPJcbhDkdgsIchUzJ0V1OzUhxw0KiKlbcW2c TE7WiyV1whp8pSQ+fxoId39WFIvP9g3RzKC3LShj2kRFsfhUO5jiCHQ+AOhCtYKKfF/gHhRVraqy QkanTqUEtKWPntz1Mc53yKqF9DMCgEA13FTIwrutL3DP1CsHlbqpZpRQY/iapgse8M7Kq+NMdp2f FRbI75rCvwzU7+43bVlROE6BrXFiACurnH/sFmj2REU9gVbAANNUhfIqal2OtJ7RClAnfTpBCpQ3 IKmzWZGkWg9B0uA+/BQhv7IQBkWvHKB7NFXyleJgeTBEt4Qd63zPALOyvqXXoJc4UbuzeYoCcoY7 sLfdVn6aJIUxIN/JDCRAzBy+98KAXNL1a2ie1vUCQ71gjtTghgJJ7bZZ/0dJM6Py1IBurRBDNiRG 1pUXyqswcUmTbhFJ9zy7UShzsgRwYn88/i+5aF2P6ImVe0ZFATkN6CZaBUYuOy/sCnVTvqebBi1O 61whirwLfElap41W+m17o8ushstJ6c7eEwKRGM1Co4U8ykWsIL7FF0UBlOoqZWrIPxILgV5SMpWs iscj3ZD5dZa3ExfuNu6+C2HkKHkPsJe8zdndZZgGaQrIT8DejE694hK5caUkK8bRyYo4ZgdA7QBY ovSr+tO56CjRjimiByLbwSX5KwfuI8qApZI1XCULeE/UCbhGBt8zErL3RmdhXYUZOaqpNlNhibzu R6WHEflYlroABNWsYNAoV8yZCiPyLjsEoTA1OmSlnD+hosOGEvnYUiOFxC+jd0CQCigKDiUnMWGF EuQqZar70rs5/pxPL2DIMFCYFY+/z9TKXlih5AGuk1Ju6XdHMTmtwgaF5V3Mz3CsUGp30X/Ie1tM vkNep9KRZa0njnZEtRMUDEnXQoIcNAepHnZ20DMbW4/IiuzQdxSVY8LRVpGmH4Ca3EMwVGskqkBI Go9HfKBfeg+oaJ5YxufpPSqHn5JgKt0rUJuylKxodgVXyIUHEbZUtA9FVrpED4uwUBx968FpF25n iJjP5TkJYc0IPAGShv55oz+WXXl0R9ghmJx7rkwhfGZzCfK0YIT2Y1Lt+z0st2rSo7JC3tTtuOwQ TC5xyJZdM3ooK+YRJRMAOm1QjYXzFEblEqFJXXhWjraeziGeADVlFAVJg6TNCaTdz6KANFL36yc8 9MZRqU4eGzTn5MBpgjmFArczYwOP/4vVyceA6AEGyaYpZHVuFjTTuq4tt0B+Tg+bPHhXuVL7DgEr 6plDgP2Ovu2Afh5bjiTwEpaaO/5KM8b7Ke3SzguLO/iigDjFNG8UQjU5KII631mVDG8spwiy0h7R HvKHWBpFAGszFSLJk0Ar7c7UGM4wszRPVhLJoD075nUKZUB/UhstfyEEBy0cA2MMWFygaAA0+IQi 1PiC9qDMja/5C9pTwN7DAAiQSIrrbGlqu5wv23g+QmP8qpCjf1OzZy87VDzsJLjQFdJsgErxaZLj BqwkThztjhshZsWxPekZHUdeTwjVJUEOWBhzK0zTCaihowev1XxVDHD+42sisyQaxLMqokHbDnQa wlBgOoPHIx2/b6oL+9cwk/FpijArqUlSjEZAZmPq3qVNdmVVFsYm/b8jzMoQpCd1iUBx9OLKBkhz slI3N2RtZfed6XcBdHkGzhXepszx7I3/8ibbyvE4GFKssAaBxKXwNf9VIpf8WEal7k5TqLMi0Eqh rgMJZiltY04VinsRT1dERe/8pIiVYJLR6Rm9oLmR+uBMQv9uTkcWdMIi2Q8u1eWNCg2h8T1BnEl2 8OwsaHIwHOsJNZgrAa+Fx4PLbtzLzqjXdNzwItQOS+TYJFOauhIVm6ZLVyHa7pXfnegL2PlJf7AS 8D+0dkdvTKFHC9EQonsA8FHo0IGyP0vtLBBUyQ2yQqT9DnmdrNK1wtOJg2DjE/juqr984PGgtpK+ ASsgke9H939H0Tg6UrjihhPxWu4+S0Ng6So7IL/tIsDKLJKBgs2Wj3Y4nettgSVHGEZDj2GTJdwn ElCS1GEpYu7miWnwDjVW4McM3czqg9IWSKCxVd/OyY7H/yXkh8dYIlxknnOeBBJ6T/B4PI1yE+E8 suFVhpxJ5L4JXSYsJ2oUvL+Cyt4gtNzRKivnz1llsAiFGw7aWQoz0enOLEySxs1DaktUht8UcGAl 95AYR7FBUxmDoB87SLpiqLP5ERGe9ZctEH7kNNvjnxRKHybppbOTtymOMQy4Ar8VCdS0czt1Kxzk FIflpoOsCgJHC8vPTw3UzYLQbwJIatPuBWhiHOVA+BGBdbXIl710ADXgiZ4bIfcZKUsVFupM/ZDe yqaHUhSZ7+ZJseymOs0ALv6XvuHvD9JjGXmGQ+xKm15n2TNb3a6DOmbTFaLJm6hB2G8+2nQBsQmT L2LsEG7KqOQsOZQjKooYBc5grot8XzqCjjHulCD5wHVNgPSJyvGBLLWcw4sfEARUv4QQscUmkIU+ WVHhnFYsOFK8eLdmSVa8G3RO5V6sabjHcgo9OgVMGUtrjKNNVmrgWqDzAG+2M2sUDITVG+6BXlgL Lk/KJ+OEFPoELZorrnoHQTIQoU0B8cfg81Fj71ujBgYIK83rz5WiID1RHxkRm80V6DV+bgFDKMeS gsvJ5ioqng9JYOwkoXuONld5QCw606ELHQe4WpIZS6lJNERx6zpRgVbMeum/TEvOAVt9UEID3V0i dlAd5vMRef+uq819qF/ucjpyioJ18lPhUVMNbweup2n6lLGnHVsg1fkxH+uTd9Ovq3d0ih6KCUtN GVTxmop+EFdDAoSMpkjHrqnLt+2lI2QiXSYGoQjDC9BgtEHuRbejng+chH+pttLyJZUvj7xQiQVp G/hIy4SiJgu3miwab1HsYKL7Z5MVxexziX5WzA6nXDucmgrwS5Ws6wTXv6q1RRt3IMs6pH3StTXL /tKRpJb88cjX6w6fgaY3ePTMbmDfnaI6+vjVOs4IxHcfTwAR67EUFRNQXWFGqLw4k8tPZVtU0kvr 99CKvYPEbpmiyXLUZJ078CQwFZB2yIyklqZaM+dUxAUDDxCd8Dq0SvWXjjjqgKquNLIG7zmkMbMU Es9DfP5fYE7mgpXQmq/JCoP3yUR5mZMZ6sU5Wc4Mscwf9zfzWlVOkSyLMRjOKip3dOUDMpVpz7Eg 0NTk0bjIpzy/F/PIcS2E1vaXjqIHsq8X02cOYjbi3qUqcAOlGM8H2/BqRJB/zEp1+wpNYxKoNJNn s8lKIznGBbKilukMAgk0WWFJfRs+P407euwAOnZZNPVMIOo1bUOor57riKbMOGGMsgeeLb8oKFkR LzVg72SDzVVrWs115Mnn/xf7LvyEc1/YVA5tPVHagjjhbT4gV7fi3paZCbJncOfdrjIGugimaIA8 Sz7kTDVQKEHwh/T55IJdVT26zcxKohX6mRaUtm7vHJzuYLhCx7v6IFjnPN+4TAd/8vlIJsITaLUf ys+uZd6pigJ4ZFbIcpqXragLYsq3xQGwZ8EktxgMrD3NAQNybXXc0RmzCYUAFIdhFd/UXWEgdgKH wQpEepzzCFnMsbOndh5kXmzQTJ3jY1F6pK1S+XwQvZfvEAvan7NfzGIOvD2hW0XKbLmVq7qsDVjx vfhvKaWcs1UacmjuuVwcSQrdHC2JhugjCAyNILMTI9BzDCAz8BkrxXLLM1XF3/lPZFBi7aom+kBR ayuhf8KDvQ4+H7UgLqqa0cEy4SGfq6i6Tv2Zs5Tm9irfWLcqQ2ExSr2jMuerKgzdd5YcmXjYHI33 cXbETrCxgSxZg5Bp41zRcqjDm2v3Ww2l1C+/J9KKgAJywrltg9oJOHCnQLHICQOHT+y/ywiltGkm S+Y6MoYen5wq17GpxAyXayikUBTRFT/LpiokhUohuBgUnaMtq5NWgNRAobsBcn9WJHb+qPIzqWkG aQArYfXR7Z0jLAcOqdVz01BtWUGzuWhZjc3nI9L6JcnIeb6DBP1swUjNvBsyb90tmOyEqlRj9WWV kJ9rC4Zh+zT5Nh1WBrqU7tY8wcOJP7OwVJuFB9CJ0AuaIl3uq51IB2Z+UbQFeQVOHe/Trg+IQIMP zzBrFT4fuQj8IjZMXFxXeSsHTp9ZdcJZBKYSr49UY2ZoyVDpDZHktjg0tPo0mRZYKdzR+6Xn+jsb DVcgoGZzbaKoVuEZm4A2ODuo3ZqfClmh2aeCdmSZPighnAhDpaA49abByf5LGxBFzwUsjs9VrNfC kmhZ2+Hoezudb4lHS7vPC/jMgd+n1OtxAnWhFDneyAq1pko7mPPLn5OMoiWrT8H6WKIdTisq3Ej8 pr/kEAacwWxQaNWaZdInfK98/l/OzZwrZADtdrly4PmJ0IrJILu0kuVszsA6X3wF4NHr9LkKC+7K b+bW+uLoRRlIcdKxBVEoFO+2LNPhpZepuVjXpfSdDNtfOvAUoFI3ZQJsELIDfnQyb2ahIfD9RMj/ SzAJTKW8ntgqRKVXKixP04uAc+yDPptJJOTWIQ7lezCM2FVMXjs/460yNBDzC4ujwLwwW8IexLKG c+ZPgUDBpWFNe+m/2l2jyS6uTacXYcmqKFNRSQ69P+uv6CpXQqDzhVbl0P6TYG3Qj50qWvK8J5Yb y4KyRpxs10dFHVTJkxpoj6OD0BrdluekOfFJlpaRIDN3bGce3efT9PKXDsoMLIqmTJBVyoQv0snj 7HXqQ/Vzs/D5SFjxG14FcO169BFy6AAqmZtGAaX/0EudwCxpUuMcy4Reo7FgKyu0AB1dkklNbQqO zgyBt1eeXUC0CRARc0G4XGKWUHOEZ63Lu7FBmEMP0FzFWGO5r96WToaDswDfZ+L5fAQr/tbcANqd 5ko+V6GAyyYIVy14woqplSmBt763YUCRmNiJFXiA3nVFBryPPle7dAolYBfCz1LAvhPpJ55YQHVg hXtptCZ76UiPS7Ye1MKbSKP5VWBKn0uSAeY5BPgBUeTefoejQIC323jOgREodJdlvWss9rMt6AfI bajiJkujJ9LzwCFwAn1seKm8Y6Ntw37yHOiYUmwKKsBNl2Gi28XA5YSKoF+GlBrhNwVYhkIysty/ zmABKbJuYqR/TsCrN41YR79kAECkA/LW5yqK3Te7hSu7lPf5R28+1064FNuqNHJU7B64geIvUJ1h Wuw+n9gdW3rPIUJNQqGcoLRN58vFPxYoW19ZtBrIsR0o+SXkNGM4e7K7CMdWfwGkC37APzsUwyIH KHLeyYpq7o3c7dprfQAyXmmYvRefLMy+TVas6mJu6i3f0cvIEPpbCZpTC3FRqtqHQBX9bNl9rHKJ NSrKhJ6gmU4fvbJ+1avXr7D3ZtVJXxuf/5emNzYhaihzXPPUHLqCtmHayy6lT09DrSv8aA6SWe5t lQNb0KvqTU6+j16/gplqqUSn9XniqSHnXqpzDJwyk3Q2iU6taa8cwT+Ikqk8sDhY+QotezWj6+bz Ueievs8rVJ4o7e5TFcXuBCeOIUcdAmXcM6bWQpsEAWVGTj5VsQC6OV6WZ/SqzPkwHL1JChOV0TmQ ftACy4nNAoR51snJNlkhVoZlGSgTcjiBAhuqHbEp26G9Jr1pEDWM79gdArRjfh3tUezeSSctq3ev ypAGyvSZ+belz0zeFGGFpkRZzDZQH310GEheJ4xq7HoNaGR3lRrOgY/f9PwkyHstviJEgN8TQY0Z uc96BxEAUVEivfj8U+bzkWzJ9wbMRHOm+rWuotgd1GAob+x2q33dcWqrjwfO5w6hObAIRalB4p29 PKNfg+c1ZoZDGmJ3NL2oQXV+IVS9EmBGXIYopBGpVuylI814Huql2dBtXUGlgQK45wjTm/4LXGRF nJ8Nvyubq9AmdJLhVlf1a7COnmyuwON3hCi7xZyr2CdU/qCV4hE23hLWCf1LJ6jvJIAojIsQgFo5 uLk/jeii/CDYc+gTKknYczItH3Swn7BJM6Vqe2AU+i2IvrkDaQz2zFQUt+MU5RGVvNhX3QLzfHfy xkTHX6kdGFiFZocdl6IDnuNl4J5F1XCAw/wjAWwuPz4cv4N2HGhKXuZ7t3eOOG6oXM1JFycO2oIn zCX1BL7Ym89HcFo/2dWBJbvihgt/uIUu+l3NdnkRxbnvNdtSwx+5jBeRQ7tQ2V3vlcYz+gZMJwiF WBTiUBSLqqAyuRPDjnJdmcvlqEpXvyt0DEWuBH19xaEgV7PodLZiM/9QqKbwA/4Xl8ezU3Ejf53t sW1opfTEnldDPpmOV4VzrKO089WCy7Fv6PZ6zL6jZ88D7TNITZ0csBZYqlG9i5ZMGR4ePwAjX02q 7C8dxKJy3qnE+skRQhVRwOOkjrlQmAmsQyWu/i1mcnZzSs82DON2kpM6Wm6m6Qm1d/M1LibD32D0 VH2yQkz7VqGv1HRHn6yJimFSSljQ2dFk1UyAN6pbFfm/61IBv8xv+kNyH1AlDlRCEmwtoTnEnBCS ovyEf/Fy+S8DttqutkIOPETVbwN7qBgO6/xj8gR6za6KFlRNlvFyc2gi2lVJ34C6+OilZNgS0FwO 8sOQbWhiBzJyK1BPIaLdS8lUp8qBjWgyRcYFQVAbjG5aNo0YIQVT+XzEKvkVYqH5BhU7n6socscm hxfHEpSP5nNe8psidg2ZO3nJL3ASRb5kiIa07+hJzvkrzq8gA/az5WFzyyJWZVu1kZ/7YNb40/Kb osuQfRy0hDAguNQVOKkI8kObnckPCNKcNL+OeEa3qTwL6z12B4wSpavFqIYVPywiW1jqT6ruTiSn tmEUuw+13ndSTMDRKzPn6D1/hsCjJwQetGq/oDVuw0GYu6pYe9lLB9uQ5nOJyDwN1qM4B58UwM8y 5fNRRPotcNIQQczrhpVDP1Hu3QkRFQFtJ7eKyjKTbD52nwu0gm1hhbG7jqwlnReNd2FlaA2S8p02 0jgD2kJ0NdNAkwezg7C6v3TkiEWAnxyfOKjuDvHjZab1i88HPdVSvuYKm4DR+3PAh5V38nRBgbTZ Ss0mDiIG2yBr548q2WfrT0EYQP2lNcyRswVMxLlx0NMjCmvDb4sAxM4Ts2/FV65YVbLwfYGtqB9Z Rd2vAuEO3iUN9rSVtQLU+fkBQfDwywCjIcTNlxuQQ2fRYTYduzwpdL4d6Nm9A81OPMP3wFoUUYjs eWp5Rqs2DFxwc1AsDp4V0HxSi4ydCwTi6bEQK8teOSI1szuxiw3dGtDnymXF3nANgbWokWKeRAfC g6jl+UzFaHcqnqTk/ec5ptdlzs8/nGxS3R4rh96i5otVjb1UH/YSONEQEhoS+EKpiZ6ZGwj4H15l GcAlywlH9ncOQLbim2QzeECHyfTAAZKzFsXmBwQ3YfvFrwRvJV+B+Rzai0KDDCf6vN5Po3kCPdWr Z7lPTgacq1geJkXFhtYWTHw3DWuhnpNM9wSuJojifwDnc7RaKvbKf0GwcGdzQOGL9NOBjiSJf2er LH5AZIOx/utsn+lrA0axO08rwBq8MlroNWVoGXfOPIfpvBswLrlzWbWqrLDVR74KZPQK9iA9aMA8 sBMNiMKJQsxTl8kIePTKUd8LO+/M/fRBbC8qGaijCgxWaDH66IKLEgDbtSfLCTxGYeOHo0qAOPk/ lWsWvWhkxu4zinUWMfxhMqpksEqogqOForWDIFCovJugCVNklTWgMrGxPDCzV3OoVnvnvwRAV2K/ noNKDTNREctsIXNoM8qOwD3VAVOa+JF8qkI+qnBFpdQ7Vd3YE73IIxK6AjD4tSsw8Bl9FBiaiRS3 p/kMq3YQDCYTQlR+LWCAVfRJc1GA2eOa+VmOEzqNNra9muaKzkaK1U/8URITQlR9+AFBGfl6kFOm GCjIx6w9B2ajaIICtV1myj8uU5xsslrv2aSH4GLh/dTAbRQZgEHcha3l6KiGs7RAkqVcRYW5g7Sv 2Vg/p8q5G88Cb7dTLwRI4DeKOK6DY4IoGgPaxjygByDcDBcyag2h4WiZ302vDAGbTMc4n61YvlHm IZbOoPtsStgVNkG2C/Ez3l0Y4WWqSarv8Yx2tK8FI96laxCT04StqexgLWxJ0OVcrXhne+c/215l FR/Un4AuclNrFXyAwHb0v0GjmXqKqV6M++c1uOpZTeux/JjiP1ry3CGwbqgGE7U6c/UaWnVzGy4Q d2p3tKh99xPpnDMUASI0E2oS8bKwHzqhKTWuUeSidfRrYNVdA6WiFGmDDqtywo6uw2rh6ZdJ6meS bEUpHIMwHHrk/C/ObxPN0KD20JhubF/HzWwWmTL/IWmsoBbH1fQ6QzimzFa7zzteCsAAZqlV4YnA 8mMOCNMHOPac/8DLT54YaPPja96mKPGsgDI4HTWH1xJBD7X5OlcRnn6bIguLOCPcXQDbYBVoil5j qZ7FZp00QdKGS9N1G09gl01XtvdSTaX4NZLqiDrlNppM3TI94UFDhjbRWQXqkXgGtSuQygKp+wPy ogWdcod8jaO6DhZgaMfyQRXjc8BOSV733T6vQRTm1+rFFtOfP3TICwNf+RpCcZtpb2VTSkUjrRni v+8r5zHB0+YMvQZQ/Ta2yhBtnuPtwwNadyaHIHa4t4sh15CRTXA/oIJgMzRRGPi8hk/YZgQ67l40 JAPCIoFZhZCrvs7Z/Ro9dZUCnylCnNmRG2uKXmOnbvpEsFW7Oh7EbyPKREMzeYWY8hP09o32meH2 qgky1UeQ6aQUG90x7LPWgTdm5ASZkGRkyjZotqZ+FiXjXgMnnHiMAsD39cFKeGcVGb+5ts9r2NQf K9Yu3YUTmSOBsjl6DZq61GsB/xwuuFtndw/7udyb/VwZ1RrvryFTT2JEoQ61ntFbDgs6LaBnUmOo LFnYn7gGFXlUvkAXdRn+kmTEGszRqpojGR3C9EewhLMqB5fgzxznXnsNl/pjY+SwtXMY0quak/Qa LOGUl4tRMkQ/lnrJ3pnBYrTOTPfr/zVUwiTJUTRbR4qj7bWzVICjyqxwNiDyxNnak86ijVKRq9/d xszuNVDqsgyHdMemimxDs57nNHiGTLp+YEPyeY2TeJz5kc35zCAh3Ul6jZFwZDdZZBoeCIiqaTHS STPd6gmtWrN6eo2Q/MiGqEQud7z4vJWRrWC3nYxnyGwbtHhAaOBCcxZCvfJnFKD6vAZI3ZGMsP0j y7sTuspZWugaM/aeaXxeA6Qu3cKvu5+yo7vbLL1WNXEbsgJc5t1vpY3hmW9tLnCd0ESWSlwUHZlo wDB87LgpygnvAEYAoQMY9QE3ap5Jm9YKDccioifHEpMa/nmtaGKWtvCeZC6nPKzU1iBpScmTnqGM GsxRt+6e5ogWxKmWbJP0WszE8m3E/csCi3ebSk2dmdz0g7uS1Ezxjr8CJPQ/1Q7meJfSyU4WXAvP oQS3p8R/dy6Cidkpa5Xs2/0IEr8WMrv3E/Ii95bK6rymykTxihWnEwN/XquYXSSAZ5Iy8W1ZZRSG kVGkPcS8zc9amsnut3OrdS84Sc5M/MhonohCgmzsuKPNE4hs57qW1mc5d5LALSsz6EThAYaEl0iz le++R9tyDAYAiF4zHFTwhWTKli4ldSiiLWfgFs0UtY3oF6h5iuJt5LAgHDnpFsalLhC3lpNukZI4 WiMMuMWhKVb15eibjv7iOCsRfZW9h6jv8KdDN29iPeEPU6N4UpnxnfHHBUXX1cyzaWa3vDgn6hR0 A33pzzvhjzG3H014DJYMqxKFJ/BfdM0B7DvLuvHSFwChdT+b4C1wEUDBPG0JepFW5aPdczB6nNAB J1wR5o/CzGbyhcAEy+kxZQfOhG/8Pk2dXBAI0PqgaRokiPzQz5ePBxHT+BV4o8Te8912UeTNGsbJ cofTkpsgG8bh9iO88soW+Cc4w3llgttQ6x0tZjpbY58FS11G4D0zl1xebbKHBXGnCnc4F/pMVa/8 vu06UT+t062Pg5LcE8JTcOunFiBgw+h7eDzATgNqTKXeeXoPv7FZWCkh34TzhD1u87SlIkuuO9lW KvJG86TUBHj8Oxp17WzBnnLJhHRuxBxZVU5khkyJsjQU1GWZqlyGp5O8e1m/7DC2qqqZpLpFkx3n Ez/vLD/s2lK/D3LkgQBw+URFMTjlOWE1k/26Wzn7+ZS2neMAg3nj4D0IN+VC9FaM69fn7bGcgAai L+RDAhcFwTiWTNjexV9e0n5otrR4eqf48UTNSHShmmeDgRTLYKJMsbjPO8MPSUdOv+apSDXU5ykM w9XjlL8xiwKpuNnMTqbED8+Ly1qL4vAuS7VaZVDL0THCYPsjVGDhBIbioomQy4A2wQkOULmW1XGW dEIUhzd6O4HX44M1DYDmEPA+7887uw/r6V53Nqc4nzwOfyf3ddeY2CTgCmQwTPe7wmKjOMgAJ6Sm KYrECQk7x3eT52pujwT4CQmIdOY0LeRhMiIHr/TknWi6rXRNL9K0N36fpkF2LTDZGobO45lBciaK M3U+HgSZ88ZPjMThXSROEb/1PRQHGINZHSG2IkFS2kIl8N3dc7U80lRRLA78B5u/xtyu+y4ngHoG VqckE87KLyb6hXNh4lCHEej1Yrc3fp+n2aVsTT0ODlZmOvmCjvHzBZ93Uh/+4HSjJ6ILUN8csNO2 iYrC8c6cJSlnMQ2v4guq9WXFuBNLmTntO6mvX8A5ZJ7vqImaON2kai4rrLYVsw8AhKcINZQsITh/ SpQqisc5UWgqVh+EU2moFluOtz7vjD6e437fcfEAvtzb9vPpnc/XHYMxa3ff1fn06ppMRskUbdOL lmE8bhbj0PPw0Uvf8F4HOZGKVAOUCZIfFyyR4CwgebpbcMIMf97ZfNwDpmFZfLA4E3I2hqnIn3cy XzcQ0j2gqBV/Tkffee9kPotumQQXR7qSScfqLqSwrFMHdXObqPeAPGtBZdIa72gRFPGHtdFP6dyj DbhzZsHcBm0yIoC2uUvoDb3yHwE5TijpBu1zIfM36RAFo3EOt0j7vJP5eOl55YlYxBOx7DS8hPnO 5cNKzqZt5l4qzRXPQJKaF2ne3ED7ncrXxRhjbmfougeJWFFoPxsN/P9doAmXhEQEXwbXHTCDkFS7 UPOiV46iKFx6qPJxAFaKbbo5oTtH49UTbn7eiXzdnBxvdADBrD5uyeCdxYfNN1lYKd29eUpO7s3T XOyl4XKxpso7ia8bOR5JS1l3tIlaCfhPyuxuwNdRAOZE4RTNVG0aSGFcgYO6Lu8cvpvkbbkYcTCu 1TSnHkzZ553C939q4uC4bEAIbZ6iqHxRkHEyZmOwOZb5ZgOQX6oD8nEZM9h8J/B1UxxFbjLHHW/R YJWBy4882vMF1//xvNzmmkbb1Qq+ssR65++xdUDSUCmKpbjBaJ4CHi2xmgXNlSgodx8Vax0gxIcp mk1TFJPTjXai8GTJi0wqFZOXcet0NCXmcooK493gFstAiGs/AgloZPLAhLDyiSXJnwdmoAughlW0 +3OWL71yEEWRC1PHHj6wttIylBh16c31eWfudRMz+mpllp9JVWTN03tMbjKq53S66girZUfh10fQ LCGj0Ty9x+SIMtQQT/kZ/Xxq1OhiQfMke4sFEVoYESmNQJPcfzfKpO/qO3GPO6AQdSivguH+IACF bfmDnGjj887b44nsURSd6zHbksnjPIVBuYwNS3WCY5MGnDTlh89TRSLPYPOdtMdgWbzGacSheZPh syFPxpIAW8e2O0kasdbIXRJsvgfb+G5SwI4+3ziaJpo4yE2lSJD+h1jWBrMQfuPen3fKHttRvp6s 8sMfz+cprI9vJsCl31y4J9PeP7+PKVHB7HxY0eCdsMfCNeenGYyA40VmIhhAIwLwgQarJyYvRKKy H1TgvmW1FZrrfN4Je93V8VZhZ5ODagYD32MyZnw8WE7rOxdGPXqK489pikLyOuQNsr1UlyQRRLMZ iXzS8wJ8FE3Te0iuEhpylj2f0WorGwIxA2Z2wM9BF0b1Khiq/AwCjxB8OniHYN93pt5dTsjefdBl l3obnDME/J93ol43Sc4bPaEhC+q598ijiJwapycSGA4Jm72ZEx0OXreaWThg1SSPI3Kmdn3LGoSj TROYejiVSMDu0ChM0t6FOMaib2R+nGbAhub3BEgCUhLqZMGOg3HPKoWL2Ogsn3eWXjfs9rOa4GuY 94USxIAUUj/r8tipl4u22D1fp5nitIR3jl43jGEBkH7c0RK8k3sNKDERDp1RiVAgWigpP7gMMU1d 3KBc9MbRNLGSafTPaaFsRQqv+7Wd//LzztDrj5WDhZgnOhxC+HKaolicnaFz/FdnyJbiFgWQiEmC jfdBNTJsund+HjZD4h3XuzQWOXpB8+yyBLUCWWfvIvLGpj/EQIC2EVzaEd6GXjjI7qA/AiZ99YGz BFhsnYLOlfJ5p+bxBM9fJzh6Ch3dd5ulKBCnTj5Oh2Xg+tWNu1iRaJgSHogITYzPd1peN9FOKiOV O/qeO8cUwmRSY8/SGiy2gxp7Jodt2IwyvXURaBv5eSfldWHjF0I94lWh7F6t3obrkpql56s/75w8 /Jq7f0UEuH4HtDBtnqJAfBdmdjsNW00oe9hqQjtE83QOkWSB+Dsjj61g3nSbbHcbbdOBsQIVk0rB QNi4qULcUdOo6IhhCbuBLwqrn3c6HvvlPM46EdCtOwL6rKQ9dYKfu+TzzsVD3LLrdzzQMrDlHg+8 U/F4bbAyJ4lOguq3ua/C4ov68ZilRlFqzlJUG8+yyITg/R09HmgnEgd5kXojQHfaCQ5nWdhfnCS4 l4sqYLryzsPrEkSD4iAJGxyMRQAdAspJndn/vNPwiDXJv9IV2G10r/m+s/DwpYUpL3qO3grejlAB Dt4B9c2z33cSHm8Q0TSs9FSf0lM/cwT88zDu/plClQk2ZegLesQFTAWndxJU+M7BY/qq7LdNH2ye QBvk4bTwc76H4UYn+UJf4KQbXsp8J+CxStAJUslWeTo5qvuwV5wwDqdHaZRh+Dv/7inR1bRspGTm JG8f+I2ORBth+M6m0nnSYFI1AFWuUkG3WmbSK/+FnOubIMwOSyxeFufoHqnzdAFd6vNOv2M74Lvu hGQf6CGfqCgOpyfMZCXRygQOCj/7Lt9eMM9dLaiwOJ66hA1U863t1nw7wspGXzZgC07oXChvgz+z 8QBvNMK9QF4UFD/v3Dse41I+p4M2lusP1xRI7H2wuIL2/Oede4edt7+xKqg4EzxpExVF4gLzDjot sjheJJKLFTWrr6izIJIV6N55d3h/Hd/nz5x39G7L2WTwR5lsSoGtZlpbgAjnDkwYnJGuD9awVw66 Uqw7Vd13HBQ8wbNB1fE21+eddIf3zL9izPMmc9wm5zvnDidUFnCuOui5VN58AD1XGnJAZev8efS2 ABgzDsWXxY3ljj5P6PxXgNTRlRogCRgBHfjwDooGWaR2Qg3aNbxz7nBYsG3XJHGAXS3reeQsXZDV M1Gfd8qdbdxn42UISG7g+2yiQrRKJXiOipv/Ibd6UzP4BHwFUNDzjwOKqAVWQFhQ74w7XtiGwijP aLn4uepLQyeBFOGz8q2QyZMRjWKIt101MsLr+EXRzmMY3pm7NN4grNDh//H+6y2tzzvfjhWN+RUZ 4Bpe7Vae3ul2WMU4TGdCV5QmDefixkxQETCR7nimDIZANOLBgooq4814mXOVO3qF7kTN57cm24du 46Vq44HvnhvOxApNkJvc2StHBV+qUotQXekkxAV1/tLJMtRJBOrnnWzXH4a+FXzP0h6gOdg8vcfj JhE7M6UsKW83aJgp5cTZfeN1iNzyynun2nmf9fwPU21OhRiKxyGRkfKmlwX8hVCdFYEMb1vBkSrg Ct7C+NArB6GBdBPBArVBoQFUQJNQYqV+3ql2PV/VBzugTpC4kS/aPMWFcSbBqFMYXCVNRz/tth10 2CllzvUUF8YVYS7jHKyHc3DubyRtSXB3VNylFNgABQJgFZYf2ZNglVTeeXaE6cqLnauKgwJyZEdm j9La551mxy7nd6SZE0ig63aD32l2vPBYSNnVwZmNojjWaPF5Onl+sW7wO8uO61lZcNO66u2GmgNa IcAwMAs+qS+a86Jugi9HhTs2AqxCh9Dk886x4zx10TKqD5qndU44Hk+4Nz7vDDv2SL/BdPRUa/0e 4yFYpRGwjZvRCuPy5mNckCzTa9iBFhe88+ue+055iI1eoevQM6xM78Y5prpzPBFezonLr6FSYAG5 llNUF+8mIEJ7TA5G84E0sSgFrX7eyXVdyvvPcgLCciLmsWmKAnJWqFF68v4mxNh918m8F+FTgVOg pikGq3B6FmNHG22azgqFVCndDDFFeRo+EZpngxcJRMcdJEZo5juvjucpTbOXFBLXVUgEu7xY6Wl+ 3ml1hOb37ygz4cK7eUuLwvFeZC5+deB7zVZUAYHSiwU9NZPRaiFuvKjkZDxEjs6XbgW/Nes88LxF T49FFeDGB12yUIJxFjBeAN8TkMY2YoLeyULkIAjGriUv6W/mjceDIPMXJhog3w68jk1TGIxnSYrf aSoM/NXcXMvlCtij0hkelcWtW96GaiscvcuygbBHNQR6lNC7ldwfmqo/YNFTiONBZm69cXDV4dQ9 ETWKBhp0Np3QQqx6KDni8QBUUL+bm4h26d9snJ84Fmd7cQ13YBi93B4wDTqlf5G9B9xDjqaV5oYI LXNcBCtAjOdkQgkSqTBkOLeByyeSqrNVgLyy1I7aV/iaKHDa7P2Sq8nB8DxQ0tIsbT4ewaG/C5kA yqBN5rMUVsWRuILIdUtPLJBJk7QULz1l5m2cpQilwt8HR1J+Rs3SYng5B31i4JdGZgizP/xXgBLl 2p5SAVImfE+UsDAO32y1cNA0pfNbkxpaz92Dx4P4sqzvaTrXTj8v5NMUxuFN2DlLdQmjc4SKII9C qFDEESd4j6riw+yfpzUG5kWonO3XTlyZ1pTY9LnoKNUGyC+AFzV7y84qBcle+a+WXeo8wmlipPvt xF7wMuIf3wqeD2BPy89w3P4Z+cJEl9BmKqRs0qg+001CAgVrOTATyryGX51A3zAS71EkPnV4Z2qx 2WinE1Kidm5vUqRads7mOaYwYycyFyTgyl4wBe5RJE5RkUFxKbQA0XrmVJ2fu0CoDPna2PyAiNv6 XVQBdom9DZupiLk5eEB1BZaYKV0gWFMFMaAFTwlaD5qpMBYX0hcGtnf0GPNcxefCtL7dhlGWuuXA jp6bzkSCrkzW/2fszZJk2XXl0P8cS9kz9o3mP7BHdwcYWecGam1JJm7prsiMQrIBAW943vWoOi64 E8QnsUUBYVIl5MCCMatPdU88Hxx441deAAj6uuXxHibjktfM07G+WY7HtFftMzk0E4xrWgj0kL+5 BMnMWnw9P4tvJ+TJibCCCQ5KlZtaJdcTKQTSzHk3qZr1ykGxgIoXPZEC1NFs76r2QkyDnYuzoW48 H8yoOX7NqLPs4HVmgQoL5Nicsc9uq6p01Jzwn4C7Tauq9JXotYDN/M90/CRFfT+jc8omUJi0D9id sGfqls9FubgOW9mKG/fVIZ165Wjt0RRmclPPaoZpSlHclmsPxns9zsi/78G8TT+k6f6ekYO7o+oc tmn3R9sWqVZ4+V3UTMb9m/t5lJFvqRilKeFWjs6WGmdbqphjEAYpZTf6QS+JjlWqjgjFKrsTOoz3 qEQu74AuB20ORPSwp0Pja4h04PGoXvCNVMHdDm05j1MEH6c1E8oB8k6FSH22+hPAV0n1JzR7ki29 CKliexR7TByZ31aqPQFQMnGcgQZUVMJkQXNRDXPwK/KDHz/hwhf9BThcKpRzsLrK+Qpt623x8Wg+ /aK3QBFoQrPRAhUm5bg/jy2lAl6K69weqNFUi4LPEYVasfSiCvkyZGa2wmaeXtA8kQCJEzoWOPZa T4U34ZWpFIhNjDuMFzQHOa89AqvQ0/jchcifnsmwHhX80Sp+yywTj0ck81+ETqhYLMx1Y5lHafmU pXEqrjJTmekyk6IRnu7C8OUymnlUImfPGFYQ5Rm9UgfPWaDracpUIS0sbwVc9jY0NDNASMZvSdzL R5iXd1boNnt4HMRvgRI9C21np8t4POCZj+/WFHoPJ43yxvmI8nJwgWkF42HqtfmZt6nhQ94GDEks THGBPIuGLwyU1MZ15mVAGNC2YcJZ89nOOZ82dbBZ3Dq7Vb5CawgwviiIU1GxoIlYhgsQ0/HzCw/d LPGNeP5fGFb+W9SP+0VijL+x40AnW2Y+cjdIdB1lWhZ1csbt8ymqkGepG+6m696ZgDhnBxAGqzfA SRpBYuc2NiyYZ686JzPaPbhvuacCdAfxNQGCFf5lY8q8alKVmVHK6HmpPt47Ho8aLt+5JhDx6Dt4 lKKsnNYT0EstF3GYXUhlTk6ySrHfZiohI8rKbXuCbZpGIjOH6ploQW0qqSyo5lDdF9L4AIpgGwdl 1vMCHNL4mr/w9bNbsJoVoMbJmkzYCfKGI6yO/yoZwGSF8uAWpSgjpxzqLPSWMcpGH07ZqGl6mxN+ OZpLUUZuF9w2Ba/naHtTghPkpqzTOheXzhoTq+PIgdByKbijmmjRJPduxLBxkqVm04ACmvU2ezLb l1wyno9m05V34I3wLJ25+l10UUY+JgPVr7VeWbdxbqLbg4BoB46PKCNfyxTCyjP6dfhstme2iaSY YNGapXc/cBVFwWDtK3e/KV0wour4pOHEmZ7dB2ZBJ101SsLZLCYej7am77MOt4iVs99bRggbJ6xH culSVCl3OpVhHI6zNaG+pChFsHG0QTmN0rqjF+rALxvI8zvccM5ilmQ5TCJ/IBT4A1tPB43jDMHX /CETNjPtnfHeS1gvdHQojopSScfj/2SUISIQfsw3cxpRcbxRwGh0Uy3CRS85oaysnR2tgrNWUYpS cTov4vqrVkujiuv5tyC8NhSgJut0J0Na52Yh90uQ/LDiqa99w4SfG9/zR+J0ZtRmq6XgqiQo3aSn E9bRuVvi+YjT8r2FQ7hi1iuFNcJUXMIFfd41R0IvZ9PJoYzJCacLs6AfcSouwl1VZsDROS0deQC0 /qGqgq6IiHfwkQL6qUPFaN7yOKkE+KK/WsEEBp8Bpq5ZN5UTprG4DZ+zYeEDgnpB+4awtgyAf725 U4xWmaqKu+xjpTa8OK9jSqcPVmfDIxUXyNUsb7Pe0Zrms8H6bFAK40wBhILsn2Zi+Nj5Ni0m5Jmg fTyskDcV6+bmgNsUcdATRxDv3oBY4fmok/C99FiPSvWuvRnWyAWAovKuzBmpaGV2ssPn1IQaMa93 M07GhaxPOvA42oE3z50FRGymBQWCsIIiQNDyZ8H1Nqdr+mns4BnCVSQWIpGHeUUedllDIpNYC3g8 KkB93+4AVZwQWLEwRcl45S34nNzD0AV9EK+KY4PwaF3uzm5lHpYzLJIrTHWpN8fxolVOgrk3fec3 vfISa5qb+qyk8KD0cm/BdEqYYTJOG3U4h/hgnNci4BQQPgWPR1vUr0LdmSYTaCCLU5SLJ9ITB03F LC1ozdvBUoIk5XVko7zOmMdpNji939EvLaWsmuAN2ykYYpTX81situd/fN5t1npRPRR5mHGVnLgC NfKa3NOpRleXjIpPDlLxeBQnX3dVbwdvck8MZpSNUxMbCzp7N0EkMrq6UG1Bmn3JZQ1nmI1LvODk MPuODmSFVBMsXFDQPCfalHbAblAWW3RiStQPNf/YpTeO9idMp1LpgFOqOTGej5qC/4HTM/F4pCD6 3ZvK0PyFJofHKcrHadk2+9iWQC1pNunIY94mykb2u92M8vFxtYzmHS1OCTqitbLTefKBQpILNvCM mCYwEwp2LO8I06xrhuqGiXCVxXyTg2CHqAJLafV8Nx4PWp3tGyUGauJcF9UzY7RKZzu2NYdhCDRO /extLDOgxJyfOCO0SlcT72RI84627s4UWnCHUidhlF5YzdzEkS/8w72fRJPOnjNKx1FsRQNBt7tt tzscOdA55sE3Bh7/LzU65Km7PVGKwCr829CNst3pXCOa4GIn+Vd1hSYuUOpkWjCj6rhVnqqRezha lAq4OY0gVkChz8a7pi4taMgSNAWtWEfZkzs9o3wcci8LAjBbw1A+fi5FiVY0Z4IMvua/sJkiAEEI 42r3zZDEWZssSZYX6PryAt1syytP7IBoMoUahzVsdZaB5jhKtRDqGUsTDm6CUBcGdoKwldtD2Hrj iCdFEmen7FpxGS6UXYtcvM8i4OP/vLaQGJtwBy7e6JwRWoVCRcDPOZSulXlBPdtU/c6pXrIVC2aU j49sorS93tHihCbIakj0MZ1wbdFhN4lrK+h0wNzskluIsp9RPt7o2NJVW5FBvTmft6wr5Un+K56P RAvS1+7Et9r9BiqmcSamTMPZrnu2ywoebqfbC4iXClSorOL0TcmKj0dWHIVQILigdIg7GUBjgvWQ WM+mxUpPnFbRG0f3Ft7sppbfNAuBCiEYmj2h4dnxeHRr8QklqAsSuPnQ8VeUjbMYc9KkedUdcP9Q Q3gNh4k1igIzUCtCrJjcMRiVd7QZBUeELXXRk42jMSX69Ek8QANiyfxKGkm0YMWIlcQA1eWDYQ5R BSaM/BzTeDySNPIkk6pji6BHTwpWlIzrzmKZ5WC+mdLlIlQX6lnNqyorTMZFkirbyPj7kvG5HAoU xdlASL2Ssg0pW0K9zt8NUXS3G2btacWqKkSMyye9gULDMu3JOHqWxUbfteD5aH/6VXwC3RWHiYUp FBevvPm6bW5mT9u5LU6ChTzWslx8RYgV080ky0sjGxnklCVU9hNNYVeHs7xKdFM0M9rBlfakTufa je/5q0YHIUgftMuUnWT01tri4/9FYBQKXRAY9DCFRM4qTll21eNzTG4H+krKD1eWOb2qskLgeJfM WrMUqj2iACBW7KGrHWwWW5IlMztUE4VxKqF6UkAw3fqbyAlaLAcQYFh9asD1sHraB8Rqo1x8/mZs wJBooQNmgYpycSoNgB7njgddkuMb7KVt/LIGmEGxQIVMTtOHXjr2OPpxd0KQIBjCkm+aZM2DVEYX UEyvH/zPH1zP1CsHdbqpjjmxBR3EgkaEJjQ0h3yGASSanxWXx2+ssLcB77tRjrFYRfk4STsnVtek RUZfdIfI6ZbqQE2wWMW6KvJoWdL45WgFqFIqZKZpO1IhGDxWMtsR6K3BVLHs6v2WkijKviLAipA9 vdH/joPfg88xL9xY53tGYLHvfssErWxecboVwscJPizFNiYaohtWjJLNKtTBLsvE6VaYket+l5q8 pDh607xsWI436j6VcrYvLr5VqPAKNDC1ux2FkXXkhboqnTfgIsGQwr6AmlFTqKszzxoej6QLvkXH YbwH6rLHKSR0UkqsUdhTXhotZwdAkWoIdssgjVVxCvHjFh/rX6anGQxWHIhyjFPC8ma9NqMcidgC 4ACq+EWr4J6FL/qr/gQ5BA1Jf3CCN3gyeayKx4PN/LLNxfE4fzncjz1QIaFz5sckQm1Ou+2Rlm8F A1SfDc26whq5NNf20ALk6IE6aROgPRRWOVGiQAknFP5thchDpfkh28GF+D58UQAh50yaS2AMv2+f NBMXa2aPbfA9IxX7b55iJlE3A1tpkQpr5IsA+3z1fZNsI+isIaw9qa9CjSFSsfy41cjHMzoAKuW0 G9QFztI7mUInJQG6NMxLYRoN5M9FkZOTsOKsfFNVZS4fGCnoSAw5tJyFgcejKfUdKbZKmd8qUDtK yof8NZLZxKM+XawGBS7BrWlON2nZIaVzG0JMCCiOfh2uDekBDZjPUps27dairwy8J003RNUV2aDv KC0H13iBoqYBTS9OKXwu90XQzfB4RAUq32sPxe+Ncr4F6j0vhw4HRVXcrg3CtdvW3vnhr4gffjnT s4/1VdTBpBSujd6f2kTsU8SPwj3cyDIydVIVO874W6xDp1SvHEHqqEMDRp8NKpKfnbBb/+Vch/d7 Yi7B6af4W8iZurqQO4SSD5kjJKOWzTGWCyGDceYrDxdGxSlOzAVCqaLicby3vHqSWhwY2MxRjyNV 8ZyFSLIJLcP1/ToJD71xVK1b0u67g8hAbOZURWzg8QBxf3VoJMJdfqixY2EKGZ2TNfKWfYOCcJ+F iUmrtvJNrCDDFNbIzftnW7j2Zb5Cy/D8nyItsX2yBNaCMpHSvBSfNKBdynmBZyZfOVKioZ69nF7n sA4YGhZF7XM4xODxiOZSvuIExbNFC1PFKcSsbGFW7nRqVJCRUHvPy5kJfRqjc4cocnHLKNbio9XI 4Tey62D7/IQPspBZ8YGCHmR5xxeyB5c1fM1fPOo6iizJ0DJbmk6NqTq5KxvPR1iM71WHrtSql0i9 o5ScLQsgXl07BOvDi1Dr8S2dnhnsELEyHWRf7ui0BCSSsFigt12DhhyrBh2114X5C4VA1y/AdMbX BLVf3lqqSAnVdQIrWa/ic9a08Hgk/uQJOenmWALpSaB2BFlJcm5RD4rcsm4qIgD2FFdpX8P1enao dGj86SpFGo626s5hB/GRwU7CWXXV0ZkgegHoeDYn1CBX0yWv6Y2jPVzy7Hn7YECxjSYqLy6FrxmV NL0zxUvO+Xnmoy+6w4ScfvBDdhHkllUrINTKVpLgdJXWLGgI7xCz4mLRNd/R++bUD6MI8rkAl9yX yT8BhyhMcamua1RoBI3vCdJMWbrunX0wECtEuqUHmfh4cNRdcwSlEvDaWHc2hSXywVIdEf2aTcnw PHDAbcVm057bVLJ2DFnRYmPn1EabTb3CyBUpEcNU4HknpiLYbx33EIhIGGCFGPsdq6sQwppUOEjT 2cFzdTWpzl8+8HhQWknfBU3IPe/xJE5RKt5otXHWh1PwpAcvS+VGNh63JpgzaM1FcJUpCwlqIfno 9JaT3lT6tSlKNWVzfwXab/C2k6Z7mhP6ge/5I8EcQKb4oDvLScNUzGllDjweXYJvsYClK9YIoWZj kRJI6PWLlzS1+/CLsAxKNsHj3QoGIwtg0PVRf5TrUFERr5XjraycL0ChDknmhJp9oqj2Qs05U7YY OjU/3KTgBrTtpd+jtVnQrE2StYqyfO7OAUEDe0rJbX7Ev7D2rJSjKJbg++XxitmdCFIS9GJzy1om iwG2kxUOWpvXLTj9y4YzqUnF0RlB7YQv4cDq7NWVRdbQqoRNVvjrlM0LsYmQL3vpvwwASqena4GW ZbVc/CxDgr5wqeEHBBeY/st5AxCInPqF/9Iv/P1BykaPaTdhSEO590aTbw3rdgAzebhCMLm5lWbJ 0nD0+gEOPyIQOoq9Jz9UT6+xx3DWPPTAwXcyQWTZ9qawbM5Ky4QeHlQCcao25eQNRqY/5NktfkCQ UOX6vbefPWTuef0SUlg5R0Vg0idJxbu1nKEAalC1tVgb9TQZrNCdcwonDpyRj7YWx7kCQ0KP1pMw REQmTZs3XDdpPVkHa+e68MkyIYUeQUuIRGbqHJQiVPPFoKQtn4+K59/J50a1NN1zUIDr17SKopq1 mao9+jJePFc9Um4ltbbmsYqq58YfTlP4e46+DmEaS05bx10FzSq6mBV6NjTYX+Lc9dsMHVz5TZFh CTE/m/YuxY2JgZmWRjGqw5nPB/eZkn6+Gw1IWNBfudEKy+f4lwO2cga3q6QjUsynUB+cYj4J8Ajb 5cP6ubXUtyRby74eXfCHhsnrJLwcSeIW+WVRRqvAD7hIwK6pybftpSNgogp4vN1IdYAza6ORKEmt oecj+v53Bb1PWoR92eOFNXT8yxOhpghlLPZ6g0XXqSInw+bBilL2KbBdAoPDRwvWhDca7YsAujuT jiUpoF1RHCbyociCSkdibvbSQZ7FLX4Kjj8djl8hgK5fCHcCPv9f2g0ZhM3dr0oUC71xtj8rLW6l dlCzNLSwDrEPspBeZrobfFhJl2zIarPfUcGCgM/isuOelbF/UfF+40YDrl63DV57Fky89NKR4EFi HQ833a7AczqdvS4RdNHPQ3z+XxYKCAugkfA9uQ5LKcreYXJ6ktHcq1cWeBVTZWGbMgvkWK5jV4r0 WBjw8z9ISkw5evo+M5X+mjrtJ2GX52di6ysV0o3GNQ9a8qJKoVA5N62e1vJBpMbRJgVTcCsrfD5Y htctj8FiSaTVJ1hxPV3OHNnLMGl1RwMVyW3hqjNxBFiwooI6z2PA8k1KpO5rOYEeu7hunRR0V7Tb UFY7iw5HInYY4+uBnM8viupVWH4rMz9d2RvuKK4T/QX1msnno+7oBU4xLa1wCrhmzDm09GQHesxi ApvQ6C8+sRL+It2eJ7MrWVWGQJcmYoypKHO0YswGbwV8KxRjzprb2t8h9Q2gC0BSALt7Utr8nf9Y hOgsZR8M1wljOMHGxuTz0Y717S4IEiyO5xuqkAYq/WSVPiV5W31a9XQRsKjseqgitAtQKrgUbrXf OVqlodK8GkohwARB0CtbQgYPe3AT4GTo8n/ELObY1bMQ+lryHRQpMEnJ+W5nZ+TzkTbwL6Hps8PN fm2pcuzrSXHgRqagbtF5ai1C/JOkGQjZ1E1xya6PCip8RigGKMRHB1UvqOA2wjsH1DeTIjVhBEH6 MioQN1Sl2TtHHS12R5uUJeksxXLDbkmbVU918Pl/NSA4f6iJ9LX+otL6kotQb93LMmu7xPsgD5yh SjSV0KQKM/fFEJ084BkVKohWnpsv6XvQsjm5qUm/AplH6zkgk10rcTR75Ugmgsw0QBZt4KQqUJmT n/XClTAw90Rlpn1NKuisDFzbPVKhXCINycfeXudbjtmvtVdXR2qUNrJIhYxQMRpot+yjTaqTahZ0 nyQ9AnC1zIboVDTppQctfSthEd/KLwoQCpNa09hHMeRtk2pBzZDwoDQ2nw86yr92qgavdqgkeaje k3Zk2kaYTTapapvZ1l/vZd5JxSpW10f9WeyjJZePtlPByvykVFSSWnCJG+o6o6j1M1m8h2CnI6n6 tHf+SypiTnGKphsQA+o+LWdfhc9Hna1fWhETp9ZVSMqBxSckEEwaOP24SnD32yAOeK9iNRf+yaHH 5xKNkQKLPnqZYcAnB86FOArTaIkQxtUgGXASIGgcojXvJT9VsUKXT+lpQMXEB10BcRaqzt6m3jTa 1n/pjwD5D2yixyoWasmUTtyORm+EdKskQxYHb869uPJ0Dow+M2mb2H/IKrHRrzdwcFtwfAGk4yxH wHwBs+7CqGHNYnKZT3or2146OgJ5F+xiOXTbE2HPV62vXFPl8//FspkS1u0CY3Pg9WmeqKNKUIpX 6J5dtPSkjc3wwwCAe6zCert0f3aXWtTu11Me+v7lZFUUKwQiL5nwe4E5yDnRqBdx+XxYvvbSkZPA EPCl+6B5BVoqbzeVVYbA7zP/D6OPZph5PdtVCEonnQ43EavIpLYcUpV4l6NMGRAwvgZDAIxy0DSl jMDR59VZkbkXtuHPLaZM1vqxBtHErOiJoUv/kLCmvXRAdBjaqEjq46DUCsRUSQZXlJFDy89af4GF Kku6+fp65dD0k97UZ5uqzqgtZXndvc/mtb5MSSNu7qHr5xqStRGhWKNFC37yMFQgaAGe960LBbOp RtWJ2+vz6Xg1e+nIyqNRU4qZO4oWstTrMC9lMavXUfl8cBDu9r0KJ3esW5AJfT8hzQ8V0yY9c/JC tktRDxx6BF63to1mlEPjz2GSLVniuBy9egWvz70k3VLoV8Wb4KQBGiSUfoBau7pu7A7mv50/wUG9 g1ZhA+VkWdmPz0eUh1+rEGSwclVJcmz8yXmV5J1DUPEe7k48ZYG9WHDPBq7KgfMngJlaheYPkx5/ GADtUR1q0u2GXzhRVQtUPOxYAHSAE+910Zrspf+iIO/KUvKGLAhLP2chnGOWl6qze25+wL8MZWnz hYMR1dobrRASg8Lemb2leF1UCCusQoHn2M2Z2UXOc2D/eT2Kc0vrjp43nPsHQR6oIvez2acleCPA 5eQl/6Dj6mch4cz8pgDHwDx0DKH2pIIvT6Y5qAkB8Xo+H3H9yv+swon032MVGg8NalZ2dwA9/+lA hjq0j7GpyksXM/fAAhReBVZjkJI3R+eOJgiiLVqATqJymTfsBq7Fwr6fAUzziUWDgRx6gCbJCBd5 oUArs0t/AzcMJnbjZPH8gIjdfsui3RIHFlc9WFHBHag19CS6U9kqlfpV6aP+GIPVLmw2h0agS23C bNya/OBmcawWyGdNEm0pLlyklHDSpU3kdl/lkmqav3OUY4ngTpgMBwEa0kl/BLbqtfH5f3FICZCB LBdc6zxUUe7eslQ4tsPSplJTzKud3Lrx/I/r9FCFGovdIB8OARm3zHCOPMhgdzp/dYh6m0g1algD 96NJMpv0pta0Vw6gH5ksLSj02KCs4cyW3C1Sm8//F7MmwFDnV+YemoEOWqQNOnfy7gx3WcfIFLtR N4iid49UWGtXQ6IlIfg4WqQKfTsa784gSo4sak0eyPIT95sJhqy6ONliFcJkGut7XWZpaCnIcX7A xIelg5r0phEc+9vBEcBVQjo9VqHy+SAEpKTsl+diQDXgGuq9PI9meKIcOIKimytOclLOwNHhafB3 2XPJfR47lhYgtnjZAIGnb9kVLp/6nuAUXKbMNXwQ9y+j+yPi1sx8Ptir5rdiSeZPm+rXvIoy90S4 DPMrL/Qlm1eNtqa2s0Or2WIVZu5ST0i4c/t4T8GVCnQEkTNQcVYNr0mwbYLfU8EFEvVJgtSKvXSE 8ueePpaALivZvDp71RZtpNbO56PysVf6rILzs2mNoliF1qCTu1XdF+Vf57zQou2OaQKlaA3G3qDm 95HVJOTo9atEFyhYpiE+kDnVrRH953MCn68g6CU/2PUceoNmypxOWajOx0IV7u/cIFVoD8xBkbWP r0ghDVjfkXrP2sv/g9QG6NOj+W6lSjIrfbv7bjWaew/kwB403/JxMnX9dCXNUAAHxykNQz6ej0tW voLPjfTl90N793cOwAyUQF+iJK1llKdzDvWxJDd8Dgw+/y8krbqv9F67ZYbYIZRsJEgaOCNC9o7I FlpyoD90II34nkOL0C7gx6YPu40XJdPBdhvUVzpXnTaJH1i02TlXAxRf5nIlqtLV6wpdQiXwMnAU YKCW1g/tm4YJ4gAPwA+InB3b17w6K3WxpXuTq9gqlFjRneYl4mbnJHUkkN7CQYHYwhVm7ebdNPq+ o2M/9tlBwOdCtQ/ESZ7auONgLUKR4IeyXi5HpQZ94BaKRnn9+bJZPz+1MoWT4g7ixn7QJOIHRHCG b8AonBdWulbGOfYLxQF3ll7xYJnsItg2qbmX8blH1Du3/mFQlJOQtRwvg+vsu3nRaj0DspgE+29E jhXQF8/e7lQ3SFvbS/9VGm2qZDVKuAixdjaVPjjPoPPJT4hgRd/FUYinAAx/oxXl7QSZgXNS7frc 2Cs2vNp2Tu5GA1sXwtA4tMtoPQ1FjaNFq7OUXyhjXSpMFhStUUgMp8jnonOoqekue+k/jkJ4zW4f DEt0voe30HPBqnw+Mgj7vhCSWYiszWMVJe5S29/9alMl3ocIV1tEXRPbh8nnsYpwMrSNhJKuiBL5 IUqcwy9De4eX55PSYN9iwW+TlJvRHQDl9CHe+Ev/xaLcnVyJjUqWLnbn7lSmuBIpTX7Av+yv5A15 Uskv5cocWIiim8pOipqBk+RTJqSLpYZl5dEz3+r0dRgn71YWrc946d4njzh7F8ujJyxFWhSLrokZ vAwi1G8Ray976UhAAAuwJEp6cdDMOjsud5GTkq7O56OU9FfZ/czuPR9QcugiOuUN0tnOYb61ihdH oQsoBJYLwXBmhcm72qlnp8t39FgV2Gui4AOR9LMEqfGB8xDjmZInJjCYcQSWyu6hj6g2q1pEfStG fYPZRNlEqre9Fp8PEtJf5uJ4V6bvt6UaWolWWokmoYoQrQSHHCtiMbWgv3ifbk6bQy/RTrLrHlO8 iDGv+FkChB6cN8ysuujDTfThWFRK3zoFXa6qZIH7AjPRRFtnSHik6hIeVRfnxRY0S3578gOC7GH8 6n5BFzM/4L7YT3QxKyXC3u7Q1txB/9nN2Nukbjfz98BQNKs/i1peG3e0purAvbkQVXRCNUcZYlmc 8wpCDBtn92McVpa9crS98+qMqggHq/edUyjT/cUwDYGh6P8uQhLgANnwSIVV95yEsHVMg6iJvOmk W20YiXu2IhUh3a2S3K022p/aKC7g5+8AFBZGWzDRXnLsg7j0YvYAqR27FA4lWYGjaLZQgQPAAfuj pMDRQ2Jq1yFynUNP0dS/YZAV3B7chT1WUQKPAjZenUmWaHE3VkssCpb7SN9RrP7hZlST6RCm8VQb Tuq5QfYitxJoEC1XZF7nPeFlva+EDjH5/J4IfiX9ZpbeKzrZvJ5U8BkIXoHP+uIHRPYXFyrjmzuk P26souy9inEy6nAAVi9+gzasTCOsYTkAK3YWFSVgZAGxhoPIUO87//4kD4DXLhjg0dyNOjrn55wN 8NZbmcmUO+L3/EFign/NHYwRdzZB8r6AxOHz/9QER6RwmqbnBh1Yi8KxAC3nrL2Kxk99uvjn2mtY 95nbrlKG2FvU6jLZOqr56aiC8FPYh0NdpqZauhRicC2EBNj5wPYoDtVq7xyhRYu0dJIPKjag+aj7 Idwgc+gu+rS9+Bx+rPq1WcVkVOrJDmYMDBVNmqkPUx+dVHqF6gwM7EUxqVRqybIO3bneRk6HvTLF KWAoiiLGkvAJrDXORRclmD2uh5/dckKD0daErIXP6GjYMaQDlSGpzMrm+YTGD3jf2dfV9MCvCWVy +CveYIWmRlt6X/XRKO5XzZkeaOzUb5UbGKyQk7oM4K7aaNq3NlqgEnMyRglf50URBgQLEHek7BAP gja9d+qFAAlsRpGKZjadOb8yysmqxww47anrh2pD6DPKq9WTXtHcOq3LBs+h1SjLFLO15k7tBoOk 7FfxqTUoe2OrMMLLVHcV2/2Otl9NajBM7u3wDYGBD/f2ifo5ik8no1pXqnhne+eo70W6pW45HNSg 6JNgADYoMp//F2KUwQIdKNMyW8H6vGRX43ypIHkw6rFLDv7TGExgpyZHNcxmeJmX3Op80KMHSgUm Gy1thw8GknT2nsEOF9lrUwJsIWvZ4xpELjpGv2RWfN00LF24gzYrGPtOA1Xh6f8TJL7jnppRmonA +u5FSUJ85UtWpQiRNd/4A4pvua6f/ZpmSt4GrAttNgUR6tPctGu5oxMACjYqcGRALTkbltiDG8RB ePWckKKban4YPF4+LxkVv2bw6jcLk08OAvTB+nOpOLrw9FuIkGR4iNTogh0HkccI0UsyxYf4l022 ea2usJcvuCzn8cH7TLNj7yWV0iQyUcslFwOOlnaWkxLg5iyPh9yTQdn3ZoJ+XhTMRcs6ZQv5kkjx dRFnYJLy8kFhmdMM/M5sap+XLIrvOA254FPvBCfTuQARekmhNImodr1keCFRpuJSQ0NtHCp5pGQF 0JcEih80DELbrCHf6oPLPunUyVLYiEe2MrasZ1Df+8FdgBIIFiFoI+Bb3iPE0ifkH5k+LTZ6OIk6 OK9cPOvs3S/Zk/7a7pOoWp7ZZcNKv+UoRJt6xLPdBnw1OwwkBC7gPKhDLUvfYBLRvxhpgBQVdr7i FCi1AJ5Al7VOohXxoHMX9BLJpGyDLmvqaFEv7iVx0l5EtmlerKrn9cimn62Ifijsvr+kTXq6+TSS 4u75q3YltIrOr0GQQNkAmmqZjuxsuHUZSqENVzohe15WtUGQLs9NGAWOnl5OrLTJzagBgVZURq+s Z6P2BbKoi/ADZsQXfg/SqtKKI7y/8DH+ueexJeeCea40n5d8SZPCkC8epbPaduq2/D4v6ZL2+SIi 0u5WQc8y70OUmHtbd4aoaNqpRFHSll3Nc6Z+ec6gLwAl7UbwGUR6Nq8rmdqsqRE1v/pdb7zcvaRK Wm8g0Z6DsOo8pOeYbrPuz9nPEfd5yZRsQ1tfWxIOVPDsLEgvWZI27SrRjnSRsnWanS/s6BwpW5HO SJI42rTNY72nckcv2GExjMoOMrREYTgiVjxuNxAM/GmsRVr6TamqlxTp/hjkaEk3vVMygFFCuZnZ IO6Kn5cUyd7T5xIhV1CiSfStp+JatOAIexaCwMhHZlp0NsP9dK7q1YgLNu4kIfBkduzpsWNvLTdw gsnChVxKNdXBczTRcPUsvwY5O0MTLxrOvBQ1FSWW6dJm8p0W9Su4vWA9cOfOUEYNYkRG5925cTU8 k5J0ZapjBUEiugDT5zqrTbMrOFt3nV4gaOStU70jSpF605lentF2pY06StoSpFhQRiccaCVefkkZ IJ/EIXnUI36pZerHIHCDtHwOSdf8AiL7tGZd/rwUMu14GxYkkbppjCN1OCaSUa49qERBgrvNpWXL DGpAu1rNKRO2In5kFCfr682R72iT6VxqcUpTl/yclee0o2zsSetpDVIBBpv1Vge2brzv+XYya5AF KWMbVPM9WYYg3lSHe2P8acnN8rUxgaU0VR9nnKKMG8UxlHmzJ0slm5M2TqNppNvzzUB2iHEUptzD iEb9jhYn6ACeXS6xt15gv7oEbmn0HEXRtYHwaM1inuifN8KfrQDxR/vwQXCCk15O6QSMvT5vfD9t 4HfZ4UGgrFaddz69p93OC2GX1ubTTn7MdTIyrS5XLr4ziNMWfL9WyTJytDiRFZOgd90XlJiongCh KmzzC/XTnB4/dmBN+MbvYeKmOzr2bhssTGCicDrVzceDnKnvr8tJRem4Z7+cvFH9+BRWOegz5D8y Z+r7Ijv7LA4Aggu3AYCCPbwXaxALrWi0O2WWML5tyI0I1ejARrNBzD08q10yr+kFmgl85eAOJxTs lpvoLldtsM3exPoDCDbMv8f3sgP4YpebWr4R/WxTYXDmdPp2X+7I06CRZHHqVE5UmTeKk65xWyoS Gu0ad+YPwNs0vYASzxy+5Td65zaSHG6jZap2Ge5ONMjERgH3XrRKVTVJHTrp2HLOJ37eaH6Wdn3P J3q0TbWkGKgoCacL3diu50k/FZtQZ1edLumV5mVEvmfhF6jYjebeH5r7SFAsoa8T1CsTQiHrIqB8 FurbBUgXZ9nS4emN5KeFw0ILtRttMKBiB2niR5XxzxvHz1bQ/j7w1IEodx9/T8OxXBflKx+4gXIB SlvPyy8qazhvLcrDu6RLugE6+xegE4DXJZtjFK8h5cZOKGA6P2fmodWJ2rVsjrOkE6I8vLENtfoz KDjnCEpSTph5f974fZpPN8PciunZn8rdn6JEnDrPE0fxxRnsacVdEXyEM4CYgsIUZeImhgrd0zva Ng6tpYm7nDHWBrni+Rx9HTR8zMOVrucFCtz8nvcwDZZ0qbzBwTjb8FVLLGr11Pl4kGTO8ZVkov6y 172uvDH7dEZViXyu7lVdKtsyTKjlqsg0CvjKqoFHqbhkA0+qJ8+Lla/nBVJU8pupXonmBMVzuD0B xgxoBvrz14W9643fwzQFSkxyYU/eWalAdKoJ1Vv+vLH6lDzt9b074fAD89DjFCXjxCKB3uPV79xv nEoaXoxruE5pOoXZuKT0psTPNSpOC/KDmxZz/Vy+TsinTC9QODg3erqHUbCE6PwpRaooGwdFGaBZ 1Ag0CDvdoTqS7Ib3eWP0WbHq92kHvO1TsoyScbIQxxx9+PW372TX37bcMxtEItuc3th8Np3NT22N O3qSCZ452BgErJTzy6s2ldh+TmxcgZbv9Sawmj5vZD7L0tiiS6zscrDsCYVFZU8lf964fHa3+55P JP1AqdUDFWXjVDiZcN9x7KZ0PmlW369ZfQLwRoF6z8ZRcdgm5lLu6OkTZBIAm6em9SyjW+tpII0C 9QeTYD/yefbK0fWOqt80KAArPsNElNsSrsQ8O4C5aZ83Lp9mh7VTFCuI4e00vOz0RuV7mimzUdmH oWpkdqrxtF16f9Q6zLzojcl3a4u42C159XH0asHZi06WDK++DU0qVDXZ0qQ7SW+szAGr4ljzoleO UijiNnF9hlIJfkNJwIEwyIk8zk//eePxWUNmfvcLTqYK8UgPVJiSN9nMeO1pFlmt0XGmmdBLA0XK zB/fSHyW2chpxiR265fE7oLYbykQRT1ZE3LNLmQds0zoXksqxOU3qOnyxuHTMjgPQVy3Jx+Ma4Ve m1RaZv28Ufj+pyQux9+ORr2XxN8YfHbDo11fSa4SZIRQMvhSmReRvwyl8kbgs9RARTqo7vjoFQOo fg/oAIH4Dzl5VTJhJQbMxQnsmt71NTusN/7es/RO6kSfgtWrtQBOlsHmNHre6K1EGflM8yvTxB61 9vQj7427p+lEjd1ZtlfFpeungkFq24t02z1X36h73wWDBGVuHx0wvU8+DqtM9A4KhNKHegeAgmY0 tU94dn/28qVXDlIomYLoosdB3ct8/mZui2dbX5835p5N+7vsWK6CBHmv99CLMvJZ5N6Q3Gd8devZ ocfigvIjl+Ub1HtGjizDKuM69DjafALsHrpTzMgTBA1UgVqiriHNLDs9Npl0XX1j7t2WIGCHVMvj YHS9hc6ZJsn+vBH39Bf/6meix7Qkksc4hSm5hJSkbiPZt73tKkyvKi9odtP1fKPt6XcWpryb8AZH yzXhp4FrL/osiVW/MXRzAbWJHHZ0+2wfR0efbxyFiRt4kjQJyE7yED3pyKaA6/nGvT9vpD2ruX0f eLjAo63hcYqq4+A0Ucyv37aml1bqFLa80rLs7k/vOTnK1orPUq7Z1801TxaF/QpQZsIHThY7dBMG +HODmVwgEWaVlY0z5fNG2bPSCAJ0ot59UMVggDHLvOjk6Z83xp4eX79yzROhiYzXwhSl5GwOzE6u t4TxdnO7CzDm/bijSi/D9J6SZ8cQEDTho8KECyRE9ikLNNG1nToaE6gc9AeEke8F7xDt+8bVs+lE 6GpW+TcbjLyei3dKTYIsa3zeqHr/A7TgzNvERXmm+cbUs22cQlzloufmaAYj6Llaog6KyTQYwRtR z7Zxrrq5xE7gaGGqC3xbaFCc9KKew69q1ZFWvKjIkR+XGdx0+T0RkgDNlp6ZPfXstOIK6jO/Erek zxtPzw7l/L3o4GoIqJqFKQSkDBYJen74QcOTpz27b+Jg4ViY/i6PZ2sj5K82AsrPrMkiTC3BEst8 fhcU+yTKgTB1sYNy0Rv/AbgYsHT3QYsO+1RWe2ry8SgpGN85Jm7igvgyTFEy3kjfyEKIc2KJI4Ru S+2cTQDZQ99ai+6NofcNbYJfzR1tNkG2vUEQgtXxc9koIjNubBQDGA9oufoW3uyFg+td5TUYAqk2 qNI70QlUO7iUzxs5z64M31sT2rroJHqUokwc+QxqdI6DPoepHW+oU7CWiShRGYiczzdinl0vq7ak uu7oUYK0YnNybMfpL2gGyiBceBkdB+shTGqPvNHy7MbCrXtRL3+Tp9ZVbWuTrbUf0Jo+b6y8/ymr KLwbjCDfwt9IefbjCEho9h/5fK8z+U/sss2mc14XO+neOHkG/NhcbDwUbLRFd45qKOtDNBe2Nbiu av+iyj6MssmMNf9e3AQ+b4Q8C5NcDCWk0bpvTQuoKuZNJw/6vLHxbM35bGJ+CXJZupXxNzKetdhZ Kxj92jiU5h3O3YarKoLobVGKKuNJRjznDOt3tHzg/AnnKxqVOqFRd1Jp1ugWUBQTuju593IxBbyv vDHxNP83JTTkdjGu28UutaiUeXI8vmZw0KXv6y904we6AxamKA3vuv6u9TTutou/grhzG3fd7itv NLzvRjAqKHe0MDHbzFhTSMNz4imBa51SLIAKCfNwgidBhW8svK8aHfY+HxSnjLsn19/Cz/mehiOf +N7Csfui8+NxitJwop3OWdOzXVdGydkhKtvc6ABPr8ZXfCPgfW9ObStvgkgCNgo0EE7GmtJmRwqC yyfrr7r+8qoM+Qy4fLenlpn0yhFyjqXxyvk0cTzyglZ3LUU74jn0+aJR6259ZU5rwQn+QlTf6HdW T5GzzPV8HARnq/A0HTvXQVi3CRXWxpN1okwpt16CBtyEWqLMOYqZKA8l0Q7gTIkNHGcGi5kC8uLy 9Hkj32l/ojAgGMMcWKPTyjvfRKbiOTf4fHQD9g1KTOyTO+10c6coE5/Ez0Fu24rjRkQnQUNO4yhm ZggfKFBRcXxKFbFakZyjV+gq6kr4BzK3wP+TEIxNfR80os+ZcQtPkjh4491ZHiMXUZpgNffnphp9 ItIKWp2fN9ad3rP9yjHPnQzkNsdiRql4Z88881YveU6q2hL03Kq7rXYQ7k+cAMaMoSrCg8/5jN6T QiaeUNYF6hmFASlONuoTd7ja1U5ziyF93KVXfo9TZUUFLgAczmySeuk5TruEhM//3+eNc/fSRSCc 55wovkW9ce7GhXGib7RI2gTOj+3xk/AVI48NlHUyUkBMqDfKnX4oAcNqtQlV74Q6QeaVpXErh9O0 wejOFgqePfiQpGRZ6YkV7s8b5e5r5aEXr2EZ+Kkhy9dpn9bnjXBnFY36XVEBMqiVC+4Ns/FFyqv8 BVh+Z2eNioDkUyJkJ0GHhYQmVFQab8ovczcL7X41vMFYgAYBTQdQz5UQHhYeMJkgtv9QGfRe7rpe OdjKK0tOYM1xeGyOR+Uxi+P188a2s4pK+YoT9VcAsrU4vefjyDOx2rLM55YYZMXitOm/wYV3znlz Z3ij2v3CPo2R7+iVJxjMwRlRGLETk2GWj8i2qC5S8Gffyri9cpAaUMEbzTofWKFL6SRQ7LVA8+zz RrXT4219beQocOyny/nGtFNCMa0s59p2Pd8Uas+rbTeyO4i+Ee2EtVFlDjDkO3qmOUH2QHWro510 ZpPER9hyoQtFyZB61SVYJZU3np0uwazQQUjCByXk41wVh2ZWa583mp2m026/9ifonwJ9bHGKSuPc gsbcF5rZ5ETHTgtr+cwM0ipW8X1j2dl8Zgo1iXO20e535+mz7c4iVTu0QK2mAsOVVVlLL1eRmqzC zxvHzuJEEDQg7jbYxQXKRMRVnIzt88awey5qz3lHQ9p+z7sQMU5Iz7nTbS+M12GdO8DhjTIG8w9r cr7x676X3c7ib3K0MJ2U/OQo6K6A4XqSyiyT3w1W4KQ3M67ZnpBrOkV18Y5yFZ2zfDCaz5pCzZK2 +Uaus80tf606WILOke5sihJyds4mzMO9wZmcxtK2l1fQkaw+m8KEnBW6nEx/l6NX6M6dLRXMkg6O 16KOAxrrAHATJ5sxFx0iNuyNg6JKEjaM9DoOVsjMlcroKD3NzxutTn/wr7I4yKqz3XtLC9Nx+tH2 dIsFvbfrw8CLlq7B6JSyqNJC1Lj0s2ZWtjklqC6AGNL5gr0HyllQ1lgSkEI3DBkJwlQuCxiFYnxP kBPAWwBlveqDIBgbOuAqr+SNx4Mks9+cYKsACkqWF1VamI2zRDeojykSfnLXx3P0FBMsAHPXwPUt qotbv3w0sVs5WpzO6k3ppPyZBd89VGWHsQcawHmw/rUeYObWGwdnHbbdWQY3Jw7anDpkn7mJn00V jwewgpK+phPoRSstzwl6mIxndlloESWn1fU4MOxsNbqz8rsBWPt7Mn738N101nG0VQdyXRrYFjq6 Cmc7kgREXiyOnZkKLo7d7QbZrD1kabLeCzyBD5pNDUIazAhOLoPHIzT09xYO8ShgFj1KUSbOTtuc +9r2NnJCpEpa8jU/YYWWUYpwKpgO3JPKM1qFDt1oGNU3wlcB7aG+LZi2Z8oTZo4apNcKkr1xcGPJ XRl480FhOikqr1gQCZ14PMgIav3KCCAu3AGdsDDFZXF2D/JyblQj7ZwJweRVVDQ7+PpxC+9RWdxu dvB4vqNfWFrZk1wD3OzAjxbtfg7AeoDYtJ6dlQpEIYsScV1YiA4C0Q4bGidRgROWbJdmK3j+fXf6 fWPJKM1PeIVbpELOJuWTi1R62I5K1YF0u89k8FX8nUrFe5SKT2m34oy8o8NXYYhQ2ECgQEGZkjQ6 yWAC8OnkG+dWVq/uBe/APUrFi/QuGnepc6aCr7dUnDsxZsI2x+YHBKG6xXE+BvnyJ3vqEXVzoIwL SpxHqs9l5aeaKGvC7Cktt3bs/4CpjKbzjqNfWrAZNehHn30ccNxmOtNQx8rSyRjz1jMXD7welcfV L8f5yQFwBCk57AYjGsypuieeD0688XvxDXAhvPzU42xcoiB9G9Q3k7UusToRFIjNLFx8nZ8UTClV CWik56NNqXN6b04m2hxjvxNTmkQy1IFJKpt3k6pZrxxkBgQdnnNycsAxSoDYatBrx5dC0RrPBzNq 9q9EE/XBUS6SrocVcjx1Ytzl3XhiRim6859na2n4qRmoBR1ylgt6XCFXjs292UanlJ0Uu5RJCtC5 tcCjTr6qpKF3GA5X4LauFOnUK/9Vf6qZDQUTA9KUwhnKGje2CnxAsJ/P71yTGVm5Fc3+npIbdWeW hkG9BCxYRQrJyPnPRVIzlgb38ygl3yIhlCZ3Co5e0TyZwSSFvBMcXhghRARfW2l5IRyr/E7oMN6j GnktpkO6fGDBAGzswcovoHt4POIEX9YGM67zg872BCrCj2P7g7mCiTdAJXubJ0Wduatoh00K1Q+u vQirYlieL8whM2RKcae52DhHoE6OvvnBKGniDKrorbaW8gMgP/HCF0V5FAG/m1bjHNR0Qe4q2sbZ NfB4NKG+s81zd6s/UEXxQIVZuZi1Y3qgoBTqgYIGPwUvzsUMBzbXXlQjX8kcZyXlx9GR0Sd7Ohck 1n5PnnD+WyKRzK0yeGdg3i0vaRLfjC8KLsOrUmlNvI3qvI0zYQ1wVWeZeDwqQXkXrzNQyMvQ8jGW eZSXT4oAV97J1EwQEErI6O06M6VkayaMqEhuPPNhNWCOXls5f0UuKM9g6kImJG/JJZ9Zt5nNAYZk /BYKvOJ7ou4US3WVvQQOArGefbYUhSxnPB7xzL8Tc7CC5k5O3BhRYt4ltUYGPMPUm0vMNCIARNw4 AfMw/atErgRdo4N9YZ5QCnFrwMpRNRt0fPrOsrwF88WrtYYGJr7oLzrnScVIcMH/a2gWwZyMGRU6 Vnj+X91zshKxq9GGwyIV4sczdQu8D4UDcHu/E4akBoCCvIpFKiqS0wKzYK5sG/keuMLQJwmyWKxC nX0kq0BcgUlD/4Q3LvdVAPgWXxOgWLXglJlD99WIQGDQTJLNz//C41HP5TsxR1WpY1JZlELw+CCt rJj7Lsp2bp0K+47m9LvuUIwR5eW2P50EXGg6LYjBZddhLYyVC7zSCVlTESoh+YD0ww8g4J4ZQIAX XxNBMYiJ3mzkcTDK3YDK4Y96Cnj8nxoYeDskYpBBsihFOXkXOTj3K7lNMVhuTrDgddpG91LdiHLy 2S0nl4ExR8/Jz0FNd2+iRcGu2Fx1A27Ui9QZNNJMt4iiAPieYNGJzUn5LDgRFismgZEqsss48wrP /6uDxy4WJGN4obVAhTk5Oy5YB7clPNINVOm2PWUU/xSoKCcHsA0X4Zme0S/EiybXqUoTOVH5FIFC W5WwpcUalDj51C4YUYF8SoG1s9HJgelTBn2DoDH0FPD4v/p3xErBwSnfPucIkeNyP8vNGRu5eYG8 1WQ2AY2WwxalCDlelGYO69+Np3931vS5bQORhyjB6osScKCoQpIf1VKUWxw3jkYTvibq3glG1/hQ ZX8ci45cI2qwNmxNcTL+TQ0Gs2DkmzqNqD7OaytIzhewwqs+N/A66wWsoHGjKEXJuN/vrFIH7Quk JgT2VPCBoH12tqYGX8u2DME6zmWMikYt3TAhWcX3/JE5TeAkMfBgVbkXVk66B5fU8fy/tDDYbkEj o+arzxPl4oNy0Wa5y/9kkVCzafFKPHhpyeYPN+JcnKlAMZxYeXBijft5Yq1uQ/xfG9jJAirNKXBN AtXsrjqmmCPMxYkYz4upE50ksyyWTgo+Frfxc/gsfEAExPB1xy3/TPI9nhkVJuPoCp0fdU6rGNSe hiVPQ5q+k/ozVJ9DpMISuUoquahcx9H75gWVd6h9QYAGG7XYd0Na5LgylU2fCRknaB8Pa+QV+xM0 pVi1A5WEYtpzZSpnwnc4FzwflVa+weOckqlenuIMq+T4dSc1c9yfcVx/xrqr1VYWJOR4v5uxlKGh x9u8o7fwzp8DLz7ifc/fVumFgv+AChgLE+n6fho/eIaIlaLsiWI0S5VxQsXOZxRdiUvF41HT5ZvP CSmZiWqShSnKxiv9EkZdywAGndKRvN0Vbha83VWKvjFMIZ1T6g7dsHVdOpU87oBlTRsaWBAvyOf+ stmbyso1tfzXuNdg2iXMkM4puvnawwfjveLeLsvPXvB4tEX96uGdNQdVTY9TlIsnFNbmbE5GmNVX G7LMe7lL08ULZszlNMculQta+qIodph3gfQGEZpVO2AdqJNTMr9lNOVnrRfYQ5WHGdbJydboU7e7 mZ/EgEBE8qgrHg/itG/+1PR6P3RdsEBF6XhWuaDUyw8WgROcMtq6G6fs+lfOsEwuzG8fQvhwdDAr 7tYsCEB0PBfoFjB/grjfYs0gUUPUPGSX3jiIE/tSdatgh06A4BeEeBAAdS6NeDxAGFwOEF1wTjrx s8v0nXxGCXlls7Njl2WczrX+OfNGcXIwmicWpxCxoppvQ+/Dx9tOGGXlKfoWiHwiKcqCGPyf827A kXlXmJZdMyRzClKXWV3hIOjhmtPUVkuveDzodnavP0lSCyqU68rSxTXyzo6s6fTiepccikHigBAr uNRanCLESt8Gih71jr5BQdWIeSuawuckH9qgzglegas5h/N+Mk3ae84oH68UNfrfbRz6L1WqdCh2 4fEo0/S8AA/iDr3bJQHNCLBCLTGIeJgaBtqsrq0yc3KVB/z0ygtmVCA3jlQvc9/RopSgNpRANDhR Qsbfqq53kF6a1Auq6WoaTRKoZ5SQQybkbGw4yTlUJeToS22JhpTB14xud79JQBDD2DcpCImcWUqi LfkuLhIeu51jFL/cbRfvnaHKoTan1vq+o9cyN0p2RT7EKP52IQzgEoMfFEnBWk8bYeuNgxYea04n LM0HFQugiKCm8FkPeDyCiX0fdig1z/Xs4RFghU3HKbC3SWRl5wUnVnJMIssrmTPKx68+bW539MPu /PHnc1fibDoJiKy8zmpjexS9DrhiXn4LgfYzysebIXq0RWG1ZknUQvOdgTrpf8XzEQDqu/ZUqZfX b6BCJmdXE8FE6M7RrZ4wtcRo3cTaE8HfClQoriLVkDVHvaMlmR0VXwjrUcj3LEGikPKmucYChgmy hzdOq+iNo2WHm11Bv8AGIVYgbifEytn18HiUZH7DoqFvdi4HXshcUS7OF4btqRefSvWzrpBqoXtw X26PtyLEypBVS89GcMmX4NIXltK51xHYUwb4+DKMACaTlrR9XUUjyRassDCObhuovM0HAx3uRjoq MuKKxyMi5zfLnOigervBKyyMU6mn1uGFccDpPMWsedkevqGiqCiFiBUJrjUTX29f4uvgbECAnal4 T1CMzrJrmfIR+QFZ1w2HWXlaYVmc3XIKUS1uez+mfAGYVeIhf7ZyPB+suV8URZh3zXH1+1aoLs42 i2n4E7Ei3OqU2ekFHeJvVJhixIrcR6zDSWgDXEiRGeA6MSAHjcm0z61lykxCbDyapZfHU+NMqobv CcriW+0ohouDgD0nhaV3ESnxePxfWiHcmgAaR3fGwhQyOZlfFlE2umoqzeuY0n0iexpACWYEK0SO uymztiiOtubOGd9O/ImI3tA83upGsR9JZYScaO1WHgjriuvilFQxrxaKw7P21Ao5hPxGaNVGifi8 +aVgkedieTY55FwWqlhqHG1N3S9oetAnxdc2JPGaO7vBpsDKTyskc0rSqHbphdR+9UIa8Cpn89Yd eKWExUcbvMWSwaBNy/pC9ky9clSnI61lcEoN3H6adEU3AAwsoVJfD5/wzwRKpoELzrDebVlROk4a A0gI42J73DOwZxoKCLLSnYO3Qm2VJSk1mmLbaAUoMPvg2Zoo/wQd3m3OI0gnGjvNu3q/pSTqsq8I srJYL4A6hw92D4aim8nR8D0jDNRdftj5AWWas/v9bkUZ+VKlTkxqOm4T0yy1Q+IaAMTocJW2QIUZ ucxzKYTgo6ea8KkqlSrIuLYUA9Y1mnzT0QSqjg7EyDr1Qm2VriSTgmJ1bWe4oFfE2YXz4rMiUicF z55cE3qLvXoKtaKUnDq7MHhKRi2rneDMxZQ8G8MF/VVffDGGXLCCldsdnVpWz9ekzq75CdPZ8KVB M+VXSTeTPMoFrEx75SiHsnvw9nswz40EHSDDipWKx6PCiseJj530abdbgFoxp1PaT0+bcwxvc86a DKh51sY21O8Ka+Tax3MzUbFWrvskkLxnn6NlLk7E6i6whKZX3JAqHf3YDi4gT/GVA9laKdPJInC7 Y1Wr0EzY0nkYfM8IXuCchCocBqFbUJSyUIVF8iF9lUsGSpL1pbtGXk5ymYAKKVSx5KEEtauEWTla qCpW4uhU0KzQnmyUhsSvgn+LCnPBSelA8mGvHKVSLBoIibEcidESkHY84mEthMejKfXN6qQZLZBs Fqgd5eVw4wOS3KjTyBGKK6yUUa+WXypGPN8hrdPBYjPd0XKEAgpewz0bXrlYlep1nk/FNSbT22Xe BpXM0HeUmUNiaA1iWTm0H1V8ITnJSvCok4//FzoQOCM7XeXa/Z6Zn6do0Nnb9FwqlWprr3chzFmr 244l36HEinmTEdpho+9RBYIhlXvUudesUrIOvUR9OoBE21OrK1Q7xRcFVSgWNbvMTLubmZ74nrxV Rp1Qat/vqfn/ikMW0qZuz2WHYPIhTdbi0trD2+jQnm3pLjxXtN9Raj6ksUJ2mo+OZz3RH6SDYC9v s2eyOgX8pd5yxvX92gnbG0fFuqpkc/ogPhBIx1k18jTweCSy8kuBHMCgcmWydpSas8SH1pHrPcCe 3sJUksuu9XQtTHeIWDH9gildyDavLuS5WgyIq7CXkJBl0sEUWqNqDwO42i7rXCLQ+KJIjIbVzEWx lSV3eORQpfVMebm2MZ0izMqjGM3a9JQSp595OwSSq1yXXcfgXDXK8vLKLtcDaA3T7NkhkNzuLlPm nByd1ZnaxiaAbueZASlR9T5v3CtBjod02gPtASsHX/OXGA1qvRiQ7JMrUlHZKAKK5bnx/L/0Dmny gjyjVj/vdugCRN3YRsieuQAZBhEuQNuqUMCGDAtTCFnpDjOod7T5dGYA9OQWYeTnZkW9c4QJLMwF 9jbw5K5hgJYPviaySpLKKFUxOGjVbVBEuepqWng8itL3qmOx8kz9u+wizApKcyg9LWcEnV3xerd0 V6frsCu3MIVqh+oktGSdl/Sg7YFDOVtGYeFg1SXL3LPc0LyjJmOmes9quuU1vXFU/KVAu2rkHAyf eX4BUanPoYHH/4Wnk/ZYhiGOJ+Q7VFkhRXjsaqI9qOWYtBEUo82WBMZ6SWzFHYJWTCDLoAYcraZ5 lgZwvqSXLXiqz+FmnUAdsspXXduo0A0a3xPkmZWbODYZGxSm1qrVNEfi45H++BUZZVKKT2tXjHWH RfKU/mc61W0EM2j6Gu5wkH2jOMUJuYqaW9Op72c6wTBvcDkhTudYYrqUN86nsy+BjLH3hawQZ79D UqcUHjbT8b4tHYcHZp3+lw88HpRX8re2ETDg+xH937H8OO9003Yh3PSykRUB6797E09SLroIsDJ1 DR6bFGGNXoY66QILU4zSgMS5WB4Z96rBjSpNdzYnbfyzQ4EVolcxd3zQrQVwnq4u5xx4/L+I+LFK iO67xUkgoddAJc4hgtF0D5aIPfAqY5hRQhvU4icQI6cwGbckc6jvxNEqKyeTH8Svsr0xqm4rGWr0 GxorIIjDgIB7FBAt2146sHOT0mFSrMCGr7yVwcUh5yzv0bO8+RERnPUXvIfwbFTyPF6h7GGTcuba RksYy7gItQwaZ6BugLTZDYNTmJQPU9U2SNS6+1TLFZAM7LzUD09oVxA0BoM+aI/uH975rxD5spf+ QzZrAKeCAZSKKiwUWP+cz1B04QcE95cLXuHJnEleTsjWPV6hOxD76DNvlzo66YJzzfa6HeLZvUOc U4gmbyocbCVTct/2AkJHg5HQsQK4x5SGj4Q3KvxLzpE1rioyCwj4pr+gGcDZYaAMbbOkXFgSUO0W PyBAt/5iWEOQbT4C0qpIvB/wjZp+ZgGA/+z7ttOXFe/OD1m7Byt26JwCikshmaMtRmjvJYIwICFd JwVhaPSGoHWgXYEKvzc+2SakKEeHlfPJhJk1atBuBXtIdjArgAd4Pqqf+90YMQbhd6XHXyJFSXoi ekulbkESuTNz45rJqI0nVhC6sFhF5fMhc/PS1r6jozdxjpbKW1/ZaDDxIFygop3bMe1wIR5m1xnK bPCbIssSpp4SqWlXpCZ3oEC2ysOZz0eGAOsrVrgG/RCffKMV1s83fSaUXQGzUanVR0GfSroOBX3A vfdtPiygm4id1T05WrR6Or84D13U8XYGMljNBsS+gD5VJGLX1Ofb9tLBLZkiNUuFYQ6aWRsegnKa GHo+cBG+Rl3S9KFJ2FewQhkWpE4gvQiReH7URNong0WxKyod7N6GBytK2c3gtEybYvN2Gxrukucj Nkl6Z0dt9PU6exZ9NKlwV2RCpTMxN3vpvywUzmZVfVDlBYUi+oXWCuvuFJXRH0A+Id7A5e5Hozyn KG9njwTcAYSlKoHIkh5rAkSwkH6Oq+rrMDYOklR0Edqco4JV4Dl2kkciyuAhPZdQ1MSYU/vbNnjt WayT8Zsihjo2qwSgPAfH3iVoKRBCfh7i8/8Fbw5sZKZ6lQcrSt6J6YUJnEtw9/Io1yh7oLkZb3ry Xow0WaogLkQj+mh5Kbw5QDbIIuuhvif4HV0/U9FivP5BS25UKTYQIum60W9LHkeYToC91C0djVr4 fLAMa//atASvbO2ZWWE9XfD8Xtz0NKtiTP9c0oJ5cV6EjClYYUHd6sRZ9b2i6aw9q0NxROQhlJX6 EE890+U3Y4ft2GGMsQeMGL8oMsehHjAwRTZYrPZcJNzVk5Lw+X+xh4hQr2hnPztW6OlJg5aTrQ9X 3iw7e8Uql+yX50mtTHlVhlAXZaWjapvn6Dyrgq4fcj/YLcHtrKntjqYo1YELTm5PSlu3d450R8gn 7jT15GCwzkqJJbYhJp+PmAy/iOqwFwRuy0MVJfAkx8xGFylVQWVjjVBxGlhxj4h/hSrCuyRt6ieB 2nd0viyE0SHiKp/4sU2vLAGNCnXXHxAoXAGQkMUc23oyb2+7NB8UKYgpsDqHuzSfD7L37LtVETrt LJi5rlt8jq09MzEvAsHyFt1M2J3ydib5A48bqzXk0NvTusmjK3nn6Khq8EraZIlvYhLPImkkkGdP okJY3hOs0uydI3IxIQqq9Y1EW7GkywYz6BP4Ovj8f2pBQEB9XevhHNh7ou8uAtEuPy4i1ZOXZRqv PEVlmesWG6bunCpAsIw7KlQLYKGyAM/vYDk21KhE/u80hNk/ILe7YOJo9sp/SEXABnP6wGl1Enfa frD4XPl8hFCYX/t6x3zCee+RijUTuVeVNq3Qt+q4OlKyIkZ2tYoTZ3Pg8Hm2AHYcE+g9d7RJBRni uZHuUNwG/RGJAaLsOynUhaTUSlh9dHvnCMsh2yUapKdt+cKZVDmPLqvBsfl80FNev/CwEEWBBpGH KhIy750Xm9Jcwa2uUm39DTXfi6yaq6+/f1gKTfpU2+hF0XMwnIx6VroHnExiD3UikKxOIkPR6HU0 VZ/2zhFpnb0aLAQbrNyXm476dj6Iz0eKyuMracf9HbofN1QhM5SCUmausGQv5HWskwwXuzpTlVZp aGjzuURoSAYi5ujJAlALM7GOBS/lRM42tJNx1UigaJ28rN+Sn+pYodHn7IZkTD4YvBV+UdzY29Sb Rhv7NycbtaGFvNhjFYq1LIpvte1cY0gWWE0GzTuDo58biXszBl6fqFgoC01KsDharACHLtSTBLcL 8KnN+83JZ0SESJQWcKt01LT0TdG84sVmreaDtqiedm8qI6fK5yOLuF/wFwCR22OyG/h9ZjUTRs2u NTkg9G94RmqBCUM8QNawWMUkUVEeAa/10UoyFGE891qCFc6vQUHFrHkAAAyUstZl9JFGo2/6y05g y/Jzu+XnWX+FZC7AOlBmCDw/v+XfqTeBagWoYB6rKGEHxg1qc91LMkmSCJhXuXXBHBuE2NwbNTb9 FEuttF3v6PNqwaQKXArcm5EPSatssL1POZyCJPaysKa99F+uMOhP+6B5BWtRnmG4FfL56HYzv2KV TUl0PLbpYeW9SyfJ4LFs5Fym6G7Nd6xKxn/XR0WsEOE/je5Qv+gO6BJmVq3OKmwwhGuCwVAz6Vxt 6L85n5ZXs5cOqgzUAiqLWkBlcQbRxOOckIQxQ36Nz0eiivcgFBoEW9ZFeOTQ/pNSJpOMdZQW2O50 4W5+QCZkiO4umlqh/+fQCVjWbHf07X01uAwByw/3uHNPVLVvqzdPCRnQ213cjf3BHBqAyqGaBpY2 WLUvqVOA/5x8PrrhpK+jEMKqlBvxWEVwGHRHaCxq8m7gLRaHNvZtR2HnrU1bVmAAKts7Yj+tMlqe yihMvjJsBEgaPf9B3c+zDJlyV6DgClqcXhmtyV46qvWZpQebqbBjJzUPqB1YQOI7z4WAHxDl7d9w 9Y6TMUH2wKMVSp3THnzOPL0y2lO2ZVim/Sfo9fPOrBCxrqtzzaadnx8zhgI34iYf9bM8c6VELGYQ +nYDW3RNVzsfMjj20hGSgSVROqljEGQWpyjaRvjK84vw+X+KlNgqnPOKdubYCJQciDWHE7TWNBYN xD66lRlGnQ6MyYETKFARReWFr9EhH2WfP6OrzHAS+TyFtIJ++M8qlDod+U4s2gzk2Ao0kzZKIW9A 1tdPlwQHVGcIaT6Z1+QHRP2J7yoyEodz8b6N1dgN1BROm9cZaNNo6JjZvU/foYdmsYrhMeYuq1VY 67MK9wQ9QP2JdLavYbpcBTsWjQVzX+USa1SSCe1AM7d13rxsEKIhARPNv77XxucjNtt32wt4mTku TSuHfqCsv84CnwuFit4gppnbbqhSql4VDQxBL2lkGst9Piz3s8QTpaw2FafQxyuy/wKiiP4Gk3Q2 KU6taa8cYD8KpZehDGmDSjIgm3HVQ+yBz//LsYm7+EkX5rqg9Rw6gg4qu1grkEqjffnduT1Ol50N CUUqhq0L/bEUKY4WqYRdidaBlJcApI8FGfwaYLjLvWZ4GydbrEKcTKNGPFhd0A88a4fN1D5diq+f qyyfjwDZ300vaM+O+bUAo8y90ysNF0+/PLd1L8+7efEqS92z66OiBqGIbUsKXRw9VsgTICuAzQq1 mSIbsA5FzIkW9Z4utChWBr8nOASZt6+s3T2b4DPQmakQrVjzzHw+0iz5xspkOt1CGPLG6j1zR8cr USq+jVvoSw4q2nIPJ1xmrhurMHPXLQclhjt6lx4+YKvTahZipI3+xhl1PmDpID9coMqJ+iRRasVe OlKLz5LHaxqSzatzORw6BGvtfP5f9St1fMbPyTDubhX6gxKQNAHRuDDa5ni+BfKAsZSfHk5sEKqb 8NhCrHH0oug4V2l0r7Fb7XN4VK3XjL+nQ0OoEVqUH/B6Dg1CVZPZArFzEPBxQJiJCTxL7YFD6LcU euUKhCXYcx8MLUIh5ZdhP5Z9t1qterogy2d2JWrzWRV4hJ6ctmi3mppdHD1SGWXjc2kmGfDMXN2d gek877wlF7cf3nu3dw7QDBI7RU/MBi3BvZbaL3XPzecjkOgvQjerYD3fUIUQ9kbNRTUGKV9CeCqz hbavdRqhb8rZQ5/QYfCYrkshx8tHOn8SHNOQhQ6m1oRhdWq0VszsMpdrUZWuZldoFToJWZuL/cFJ eVYKTZSzT3BNQLY78wOiYPltUD1nFojm18yKWab9EV9kuIqHq/diDUOg1sbwcMWGoRYmq8r09OxX 46ysBTmCs5sn7l8SpKKscmUrA/PLBanUoQ8sQ2FKL39e6eOgAyFcH4ShEiMHyBE/IFqG30kD+qIr 5SdYYdYuGkl3ObiTfDoltyeHRaJc9wQrFkZXWWa1cscLZ0CfZCTBGc7NLyWVZShgD8sZsu6vKBWO ZX5TVBodauPQnGBhPQmzBghHJ2HiJOaZnxDl7b/6g2BptP5EK8rbiW8ElGXY7blRaVKANaiHiJW7 YQCm+2DoHmp47ROVckefWmuURmFtQH/O/oz/pDs9/y3LX4v2oSaou+yl/6C8Qe90+KBKA3oVSfdo FEcDA9FvG0M8h6xlcPe0WEWJO82q0PTxQjL4aIZXW7u72FkZ3cF9gYUoNkAT0/0anW1aFvzstvzU 1kkU5LyeFgt/jTylB6/Gn5bf9Id8ALzPaVm0KV3MIxCa2eJypTT5AcEtJ33no9Cl+ZKuzIGNKKAB g42U6ar7bSZj5jYobxhgDbpuvgxjH1Gnu7U73mCdK+FeWdXRk28J4Q6dfGh5cRkOIkdVwtrLXjpY hmQG1sLVyMEmVquF4IZ6pimfDxbh/IYlt3Nq7/mgkkMn0UkgZJ3FULazpauit4hQkIvKys0nVpi7 L0E/yph3dNLE2RLLOXVEY84dYiQ8DrkBQrf+3Mx2vggsVd1DL9HOOt//UURNgN0I6H6SCD4f5KP5 u9yHzhMlB59lGMrBICsH5KBYtDLBhCphkSxC9+wx6l2GcfY+DOff7qhonXWWYe6zCMHCuQj28Dmu 1dfpW4egy1WVLHBfYCia/p850An+0UG+Fj8QbgqU/fkBL4cfEGBsxzcdB/YnC3dIC1bsKUok5Mrd rcan+mCyMerJ28/0QWD6HpiK4obJ1GFbcsrReqrnTngiAhT/+XtGx7STQ0+iGw226/SYh5Vlrxzs 7tT0wsdpKNZ9Rg4hfWtCGgJT0f+9FsLUYuynQRGaioppUkV4o9k4Ng5ddLLET3ApLBBotUhFUHdr UMxc0h29LAPbv9G2DFX22U6bNPUmGjP4QTJK/XYnHMqxAlfRbNzvPkQ24W8tLXAmdio2oFMf+orS F/0rfz9XTIDFPFZR/s4+8Oy5J79As6CpC3TK3at9YzmoIXAWvfIwzRAzHL0yerKmQWNMcAaR0Hep 8DNWGZv0vio6xOTze/7yfWoyYEXRVt4zlQoDVO452dfiB/wXgRjs7bAJuKGKcneCEGeZ2zGQZd5a w1D/nrbjPNwUqih3H+LH03LZx4v/QKCqGEy8vS1T0jnpAjmWT11GTAJ+zx9dr8l/ZoPqoqVBoJ2n IABYobnob1dfpIELgGyPVCyejltOYVIiHWdSRKRB2LNp6TQ6yipjCO1FAXAhx0R0AI6WMZw4p8bE AET5kx0NLcCE792TAjHt0Ryq1d75j7x9In/zQaUGwLqE6oMhZA4NRqmD/qy/k4XP+rVXhVxUXDJA 0U4/1yXLMlEobNsdh5KLdgQGDqNJfdlz+FKIxMbbxUEXD/VO8FKhrDdkFU2wYAVeuWBndaiM7jih xyg0sKDkkcpFrMmqB/JIrIyeT2j8gPeNff3CqkEsA2q/N1hR2s70kVrL3nkuNxMdjDH79HsXp04E PqPPFWfMZ3SaSamwMiVWpqZzg6NpS4a8LDLRAq914AO8Ty/8R+A06nrzZ9Oiair+nXjyA7rhpDBl 1BpCq1G2MJ/sCqIsJ5Gfz9YeizdSKab3By0zliFAVjOQH3au7KWZwG4UiZqaXU0ilxxtv2pozjR0 dgCPWPQb5TEIQS2IFELidV2p4p3tnaP+IKfUfga1JzraKerYgwwQGI4CWPStBgonE6SiV9nj85pc ZcSKgD7dAqf+0whMfQ7DZqEu06z1/JpaZcBFRZhna8hGOwT72XYHNWGI/+ilm2gqetELOrqAsrmn H02jXxOrDEwQke2Fc4qDNivoPqiUdfLAz2talR8F3iksQ6/waqePJvshUYgW0wMpVCwlWPdqQ+my /4+MMVilajoFIerTJEBl8cvRCQD5HB0EuQNOhL6EaM4V9YtN+08yl2SIsTpX3muMzhnb2YwYqfsg PB+E/pN8HhaefouRyQ0zImrAI9mQihxi9JpNnafYQ4DSqy851JFsyUGVzeoKAxhSxug1l8rS4Mpw BBGMyPoCzNBRkQI3RhpygIVKPI2YtL7Pi+LHsLRT1pCvmVQ2T200YrcPqhmfXVy5wrlYtM9rGoUA 7zuNdFL+0N9OEXpNojCLtlFcLYnCTutaQ7N3K4Ai5bVN6TWFyuAJaksqSgw4+pY0AW9NsjqEnteW te+EhzvpDNBAsAhBpA7f8h6hrD4pfkUM0NuUTGpviNgPr0n585o/cc20rxAh0+yyYqWFdxQiCgos uTuwnNCmXfTOxe3mmYUKV7T1DSYRaKBKBNod/YyDTURaFBlCazlV5k4Qz1mkUlLHKF/eKRXjXlOn LK13SGHW7YOhQU/qyjyK3ffXxAlPl2Exso3rZ9fmm9Fr2pQBUuBmRE9OgRRqc4rNpr6d+EjZUeuv SVNGhjwinhvqJpUpJASGIO+7spoOdO0DpRGNCe+RJrmwBjEC0WUJonMGbHlVUnoJHp8UyjvJwec1 Ycr5ajVOgUDB8EksR9GH6zVIZ58vlBfq2ZU/y2M33uS1hrUG2JLZqURBslZ7l2wOR1trNBwZqL6A OTlyR+bLljtZi0wYBrg1ttp4t3tNlbLBOCY5VhiAwVm6zNYhYsz56v55zZSwnZkDpFYbzqEJrrmC 9JolYcum+eqQnp5kd7vrpVfqQElrN7k86muOlKUGiEJJkRYMR69t8o9ZINXAH7XwZk4rlS3I2cla WYq09Lvphd/Ptcm28R5yNUTtjDKF8DVMLMwnyJaMz2uKxPfcv05/5BBQqVSYXgubOA+Jpq913Oax 7Nnt8nulhUhWpkZcsG8nJUgAbtzRMUEnFUKDHWVNZAJIl5h4s6IIRdAfGNI4mJi/0+e1qGmJ2Lnl dBaAc6eTLbeXuQfPNhShPq8lzWxcYYakMp7EIxRfcK/1TM5fSe0WP9x2NqD1Sc3S8O4ebw8S74hS JLv1DqVIHH0ugQIPFS/sSnD0GnRmXRQfRmF4woohXUAeJYlfa5n4MbaYWUwn2x5WESnrTFE2T6BL 8XktZGJTshq51lsmwC1LHk7M+yBMKBuSAZ/uXGqucWKVAtSc+KMZPzKKk2rktbdntFTyXDoSNFIH a+SQxLEaObx7M8214Ud4tWB05X1PuL2QkuBAZoNqvhvWtCZJAR2KaM2t70ihcjWBA7c4RRk3z2LU GQ21iETf9+9EloQkLAlcFeEoTLnlPZdkt87RbyWTHWDq7OLspsMGsS1smaLoyvaC9YrnEJQ+3MGl XSkcf3a59A02LCu+qDh83gl/2GXSd0rJunGddz69Z93oE7MsMLrrV9Z6EYsQx7VldyJ2wWVBnLYd cLQBsNHjdLIKmh7Qk2fBAkhC4NAyWKA30SvTWy5DqOEgTJ2WhieBHj5YmPKUuhdEsT7vVL/8WB1b 5o3ktOflYYpSb1T8kDNdGb3rKQooek2+O+WeHf8T7OHWPyjNRALaYwoNaC9wtN2QGmtwV1hEI0BV 6Cw7mMO5zGeqeuXgDkfgz1TWxMFuJWlSIemnFkBgw/R7fMcJDiqgknuc3vNvLBZB9m+dt+/ljjxJ 5qLiul+s4nsCnvVJaCjJTpSj3eLOWXc28izuKA3KVDWHIAwq940ch9tomSpehrsT4a8wsoEaKkKr sgmQWWwejPOJn3eWH1atSVVNVU1AAoHFiAcqSsJV5t2STNedxcEsdZXr5pBwxVKg3rPwLDsWtFfE yuLohGRc4Dr0mUFtKH3AsYAmKqR/wnAO9V4n2dLi6Z3jlwUXODs21WM16MILjyfyCuu5Vn7eKX7Z 8L7PgSeaRL37eJiHI+M6+15xKaE0m5ctU2eXatL1wr2w3hl+nJriiy7zFF1XqQpOmOf/xi0YtIZz xLLCSYun+gMw7EkOULyW0XGWdEKUiONqiY5dST6ob5Ch7d913O3PO70vm6cVoyIzxBPtXj3DfGf3 nac2qTK7bOcVEbVpOIPKeuXknc4z8XdyX77QqJ4MdJcu6A7qm7PQOgUVJmTjkj6rEGbZ7IatdG0v 6Lf3eaf2YdqOwQXXs4ak/RgSFoU6Jj11Ph4lmXc6iYiMOly/cXpPxTGdSK59lKlgXOpV8DV0DW64 ZLpwSZSLw+GA/d/+jL7sBtgFENZCG+rshU2pFgjQP+yIZDSJrxF71xu/x0kOvph1PnidqRuxv7f8 eWf1IXvav5YdNJKgYepxirJxOqSefWw3L8at5mzR4oL8DQVHh4/F6Tj/drQC7qg4nS0pgUwt44sJ jJdS0QRgHLgz9CpwdP6c9sZBnFhtYvPPBuM8rpOlqWsAIEaUj+fiNztOJ2hq4si0kmWUjdP97RxI 3Q3DCP7WBbg3U/yEBMcw86J3Nl9+GsDVRIjrlwgxHBDmoENBAbCgVNE9QCA6vyindOq33oQnP+9k PqZpbNKhnmaD0qdFd1qmTyV/3rl82QzgVNtlRkomYfP86Z3Kl40kMusa1xKaFE2ZYXW3Fz9X4OHF 3fd0HDNTxSbqvtho+dM5TdO5+bAwdzaEk/0SMwYXFEB7Jq++60tAb+iV/+oTJA5QWcDGLSmJhRyD xE+cRp93Kh+PPL8IZxVVzi6Zpu9R71Q+zGSWnppsi9iG2tfveN8yL2pstvbemXysF6icYorEHG1S FVjbMYlFewj3+cqySkNHA6ioQQrQAzYveuUgieJm3t0FEjA8Lrk5Vxlc8CflWJ93Hl+WzeazS5Gv NYrXMd9ZfKyrqEY3PFCleav8rLlRHT+Nf6dARUm5ibr0VPYdva1SaWEE4O4Gwa4s4YErtEtya/Ra Kq5tbbIu7xw+Fg2muEPFBydbnVVdFLL6eafw8TDw5IA0LXDEQAbzQEVZOao9bMtZ0eCkxOZohKJU So7JJ8sRyeY7g4+XCjOdyfWOXjQ4V92czwwl6RgthM7+EwnzUMw/2QFuMKr4yhTrncCXzTpswAtJ iHyjHJcO5IL63uiuREm5ZhJLUNu2qfNRnpS/0/eYulWSnIZ3DwpOLyXlexn3A7JmzZLNd/ZefvxB Wq53dMx0gUD9oh87jL0BjGL7gLc9lCxQjvzazpdeOUijKlceiIc2sLhysm2Q33QxXp938l5+MMDa zpFozn7Lvu/cPe5QWbo3Bi5HO37bLQ+i5l40mNWPvfek3HzIaODb7uhFg3UOp1ErdQX3rmtacWUR foBMs+z0OGXSePWdu5dFagZGbHcfVIQ6uetgFfXMkf15p+55j1th+aHb1Fl74+7kUVaORAm0quJ0 UJwdXjXIzf1pmYMy23wn7nFCS3Wq6vLC0bJNIPBP0pwkuQFx+cGO3cDJtdG2y+j32UZOkuXnnbaX 3b+oNwoKdii9DeGky6R4B/O2/Xmn7fHE+S7WdZnB3o08LJAD0oL9qfpleLqSWZV/MPenRSU97k/v Wfl1FD1Jc7mjxQkSkjN3NhJgRpgp4oBL3llxG503qJd6cYVt7s87aY8bsWTA9x1UNCB7SBEbfDyq /o7vMJ3fbuLOb2GKknKdd4MMc2njNXeAPLtquecdrQwYpvekHL12qU0RzWujwoS/5kyXKn3rATVo JQ8F3YBBGV78yA7gIeD3na13V92uMuepptR0Ju8Cue2H6OjxeSfrsTj5K0yb2Cg/7d65etjFO6WA 6qgOC5vNnWagTmqwMLKV1CYPk3KVfLdjfnv1tODsPqj5Yw0DSXAOtrnMZYU8ThwkmaAwlVbQC/u8 U/XYfJPKIkHkHIx/BsEhocN2+bwz9bJJaD5hgrdh3r45vRP1eGFimjlS+3GG0OieZkqCmEYz2HoU prBCTpQ9OLXrjrZV1N6BmCy4CkMolhIu3OjhxjzIe0GYuvhBueiNgzAREj2FYeWgRQe+vdyOT4bz eWfp+al1z7qCS0u+i+6dpMecANer3KeDfMtoDvKtChNw9pNoEyy6d44eFoOy8Z2EOeFoe9NKJyKj kRl0dvC0i6SVKLVBNBiuMr6Ft6EXji54oLoAYO0Do1RmOweFFK1L+bzT87JZxj/1p4TLOUnqjFJY H6e707lhmxLeicZS56XSQMKiBOdDsT7fqXnZVTuz9Flt9DWHAto5TWkLUkGfEjMtgTlyLhod/Lzh bQSqVHzeiXnZpHNnRtsRtRq02a3ghoyAp3zK5fPOy/tVWGFM0bmr6yJ4okwcmSOLTg6v39nFNBo8 mRQnwOsNn/LOysPvxHtwhmnkHW3RlXN6gbeDvakvKCdPzThi/yDORW6sefhWe+G/yuNwQvLByk9l MYUnIuvzzsdj3rIsSjSZAa8lPbPpPQ+3Nju0vacD64maYC84pStBOVh7Z5Si4rg17Rb+aB+drQHn 7A6JcgibnrtxEw1m47Y0IbyDNvrFFfC+8s7F44GKhAmWCj6oqgKxH9rstbPAP+9UPEyLtL92cEjH g87gYYrScOz0mObblVnOn9QtvSTdxED1oxq57J2Ix0WndKlq25n1KrpBMOjkmJPXlQydi0br7F2k lIetvaC+4hRP4grfeXiGxSEYbPugOGXYa5ngUf+8s/CYnqavNJz4BPSpLE5RGl5RXQC40q8rRCUb TIVWCIKpoLbCNPydg+cnLR3Xl40s7CBOBTqduyEhAJhnDsCbECf5gyAZr5JBt2pm0iv/gZ07i6dp wD2Cu9OmCRz9aVfmi0aFgvV11i2awc8bqCgPZzlzlrI8D5+aW0wJirWqGpx4zbL+nYDHppRIGmmn O3p1PG3QCMlHP2f/uXNuimekgmygovRacew4mBch/rzz77g/MRlA+Rba1GduNt16T04l3yKAaj/v /Ltssu8PumBRv+PmTlEmjqL8+QndqR6AjMc+exq4hzBDw/O+c++y6PTIh6rwhhy93bKhRF1U9wVX Y4su3IE5Q2awgaG7lSeJHLxT74j6oyWt7MJGdzmIs+vVXYXLnOvzTrzDez6YXrzd+bA5iidP77w7 ts3JTJSWAbNyqXIC99yGG6ecGQ2XM8Ixw1RcYF74yNzxQp9Q6gXomBe7ViQpYCT0DuRx7XQ7HlLI XXrl9zhVEjPmos3MRM7GzLL2ChoWiyRtft5pd/+nfZeh2cUeoAUqhKuQVA0KIXmbEFSktv5J+M4G wvvcgC00QBUVE+qddccFoUpKSfmOjjes4Lc2c8fEipOQKSSFYWQIB+h+9ciomsovihIoTqglHMYq JqcPbcPCkx12ZJ93zh0zA88zMeGhW7da9fzpnXKHWUzF5awdalNtcpsTz/lmJpeZltB0GseEimrj TWoG1SjC9aEIA5ja0Chgn7MSRERhzo5SdibzqqItei93Xa8cbOW0W0XGqqEYdZXcXeLEeq6fd8Id K3TfqQFeasARweL0no8DvlrYv0siYuDIIwmRIP4yzekYx1bWkfdOt8vXzXA02dNytJ2c/GAA3tiY QheYzKidgI/NpCzi1vGUxodeOUgNGsW7UcGxQUzqdDJbOQ6cPejzTrf7hfKV70f+Oe/ktYJ3tt3N NKnLYRW6mrxCB5Ewg9MNGathPkWV8S7VxN3UQuDolaeTPJ4PRjEMALizlcgecyH55LlccN7bJVgl lXeuHXkHi7gn6opwUEIOjrBqdWdr/bxT7TAb03dJJbMfsZZnBu9Uu2zeF3QQssygUVdKrZZq/7+4 bBY78N6ZdtlM3SGSrFSKo9+CwQyAYTmLhucyOc1UDGRjXPh+QNG5FbpsbxzVVNhiwWK1wS4uJx8f hoQan3eWHW/R9StOnaa0FzT+TrLLBi+A/JALBy9SJ5UXoDWi0lMCplBhitEqw2BP6Y52Dcb9/cSK 2kdw7iUwnPCCkw5Sexl435uQazpFdfFBJBA/wgYxfc5jFIUWdfOdYMfN7bveu8/amSPd2RQl5HSw OtvqFT5qlFnSqhvZEnKglKxx906uu32WLM1WGy1MEMKYVCM9qw6VmqrOMcnPgxrDkIl0lBixme/c uixTBMBUmD1xsELm+THUNW9zft6pdQSJja97CzDUs917S4vS8U5pZahi2jVYClgoqsCqpvk1uGRz YmgxcLwJfFGf0ZICdkMmcBYd5pgnbTb/cZSZB+s1WLLOBMbvhO8JZtOWOBRroBxUe0qYWwTan0/H 40GSOT0ZF8cMd6l5c4IwGcccnNoKpfkn3GqngdoVKD3ZjgmUtqgsngS+WEUERI5+1m1UjE9+zgod PGnViUEL9IcleZS/Hmjm1hsHRx27wCebKz5ob4Lr99BsKgOPB7iC8r2HIwFaSEeM9RPm4kbTHF6i s8sdm8CNxfJC7flmENb+notLXfUstUzpBxu9e3AuBYCZ4aiD5dxuSkPhSqw+ORxE7GrH/iG+5g8A xkxJ0j3ue1shaC0N13PZ5uMBo2V/88cGIEXlbk09SsTpwzFXb9f9ZCZrlbdUXClk0FlUUYqAKmrZ 4X+vO1qBbp3FBQWwTPMTzF1JZXQkDV3ZU3tKBUiF8D3BhYXl8EFHWg0KEyAdgrKeZYDHg/yyfkMv IPPZocdgYQrz8EpPK9cjQJNzOp5n9eIu9RXIUO7gPaqKmySpyiU22taEDRx6X1t5OJBzfl+BDx+u yqU9uHHxNXuUhwtIVxv3pgrVzWq2xgX+wPzjW8HzAfLpFx8xQzLv3MkdSddD0ibiezJXSytpi+lI OquXA8A6YavETLxHmbjR7bq3UGq7AFYosechHTZoFVKLnlaYqGkSMtEaDztJX/AK3KNMvCoZIMIe PHvIHBAwvmAGxUzqTFl+QMRu/S6q4E//Sp56xN0c9LPqbNkyUsMdHSvUVoeV6WgqoEiFubjwlivL U27l+txZKKo5KvmtGzDNLKgvMWKJmQlMfF0qi+ddj6rja7L/a2K3AxG2dh10pqUUtieeDw68cVGH /MfoA66bGPQwGx9LttnZ0L7SDtecytY6p894lYtA/weFk0hsH21OQaEnQ86BiUE9eZNUpge8MHIi nxF8IN+latYrB9UCElyHrNJg5fFDWbGTFZ9Vzus+rBDwfLT61q8pdY67cgEYPayQo6QIDYKhssr5 4ZN0xKjzvlVWgQOO1Z96mI8bhDfr2sLR609IkGBIwmwFXhXLAD04gfpEkRayOVeM1F452s4LpXnI AoLHwo9E/1umBriKJbXgA4INvX8XDHB6rXIrmv09JUdjigA6CLBnhz4ti1SjAiRFHBKYuyxA9Qiq kpIhWQV94uigw16w20rF4eTjHYUiEFywc8HzAlKkyRdfIdEdXxREioWVVWQOUwzJisrNEMkWpt94 PCgYtPKVk4OGM9tF/PYIQN5pDTNSlnxDhva6eVLUKXsK7rWFQPvOT/q7ommayT2JiSpzNMhD0hBw g7DToJPCiiZiW6FR0FrKD4L8hAtfFGHpslqcywf1XECjYZECGtl4PJhPl39Hggv6jrNdpH0Ps3KK 26bMvjk5HLzAM1DwKrUKVAJdRUsvKpH//4x9aZLsOJPc/zxL2WfYl/tfTHD3CDBLw6jXkmzQ02pm siJBMBZflrLxJhNJrQ6Npt5OptHJOXShlKUWOcYLGc/mDz0yraNJlhu+6P2M6ktSySJwtGYJJ8Qn UxY4s0xc/q8NRbtsCIDh3pxoHuXlkpauxRB0lCw3pdZzlCQvhgsmDGKaRz3yKdDhahIx4uqtlVNL n+CwVXfygZy2xEEmnvJN2wJ8vjFc6A2M7wk6mnaIe+fXGHgn9yTjnyHLuDxIzMd3doCEFbMND1OU mPNkmo19QYapd5OWQc/A6EEohovNpkbYIV+hzMxCRgsZfBxQ59lDj4JDPDrAs7sFpcirtlbsloM4 kbOxukys0J3mzj3nxKkfmJJAXhjXBy3y/Q1YIZ8PdYkFKoaPE5xZTLYPrFM3rzo/7+1BERqnQEUt 8izqXdlz2cr7QAUzCprw2HDn3QDf4GXIadg4DpAEUHC5rwImj/iaAMLaVecRkJH0+kKU8ilc+Fee X7rj8n9NXKQTUgAz8IHLCAErTDb3GJduXptDDimWI/5dvvy7EaXlgvXkRLdtrFR8Q5RO8QK1mbRp srdGyU3ucmxTDRzj6CJ4XgABXnzNH0AMSOIWX/TQlQWbGb74xsblweH0u8xDaY362qIUpeTsBZxL 93LaRrlt35GuDFZaDogeUUo+ZcC0smSRuXpKfjZr6Yh5RwMq566B8MTYcOXFP2i5cNEk+25E7fFM vUxIkHHB29decYngIHQCSsb1wW66hzj3eqfozY1TmJBTLqzmS5eqD5Zu1Oy0skK7XMYpSsiXO/Ga O0B93AESvFwKAJAA+g7wNbPGCCc6MOP+IU7eOPnULhghbHwTL74IM+DCJAjQpEV+OxCluPxfsB6S M1ALr1x9HDxC3DhFyb8E70XOZZhqGy7Yd96I5kE4Itx4MTxPGf2uvp0S1OA3JVcBfUlFE6wEzO4Y 9Jp6UOOYoOFrotkdHromkac2s7wQTno6tnw1oDOIyyMhrO+iBY1igo0tSlF3HELtpGhcBch94Spt LFeAHMjkFKWoO+4JQTYlrEz0U5ZX8alMqKmO/LLAflKzFuDoJ3tn1Ja1MOFPwPdEVHMGlRYmZ0Hi T7QKhghsn51iLXVcH2VOXrKQYHXeUbNeTv6IU/EizFOyh67sknwmJSbQ0OFkJl4jTMVnZKsOGm1D zpskPwOFQ3ZW1DfgyBmEyPvYMcMcYSpO765CbCaERCHwJ77GSdYGXTlWnwsfEDQM2ve85TyvP3s8 OyrMxZk71Xq1H+sino6s112VHQD2PUz7ccQdcrP+tOl5+vICOMU2CPTga2BodNJ9Ruqk75SVhlDS ps+EjBN0joct8krlx05cD10XiqRCFrRt+A47jyCujzorNyuo/I8R6tqvolHYJa8E1pMgotZKq90Q K13ueuwYIH9gfTf/oWa4h0CqXC/G/gQrS1UbIIyO8SSOKBCqF5DxOV3jTyMIzxCwUtWDok5tGq5T C6RYS0oMSsXlQaD6dzYOFvOpr7wMnlE2Tj1fdGS74wtK8zK4Unzqfxwd0l2EYQrb5MbYMJlMrt6B Or8YoHZkACUocE0RXzV7GdTWX+OWwfRLmH/zOXcqzRfF6QRAarznhy64/F/4AiXu8CqcfkbNKBmn Jdmc+ynu+GtKzbf5WQ5tABsIz5jLqWplzn3Xy5QC2nByNgVpqU093fPkUSup0X9x1npxPdR5mH/3 yUlTt0WpwQDDlq+/vSouj+Lk+8nOhZPjFj+hZpSOq++6a/HMAHhcZ5TNR4ZmXiWxsEuuxw06Ane1 /TRhcjaaLM4wUze9tQkr+0XwI5w4rofs0h1HcJUmplRzwpRgPQOK1NIvKBOXRzqiji9QV2UTr+Jd lRkl5JXFHSg+itNKpd8Mao1L2ljd91PI5GymAZme9cZpY5rA4+kkzXtyfHySCIgKgf1zPhFwc58J 07JrhlROAeoamytcBDyEYxdnuu38Cbg8GHbe5456v2cvT+i7WpzChJxcyr2M7QOpzNz8uUtObukY XlqcIrxKl838bHr+uF483cLjTDmxdAqHzlkayl3sDYJu95Np0t1zRgl5FaEMymG2qCt5krZOXXaM hnF51KTbX0/dhhoGhmQWpQiuQjXZAWiETVzW3jZHODn69pddye4hMaP2+HK8rz116T51kAA+p1MS qqdRfq2qbDkRmVR9lUaWcPbkT88oIYeoyAIFZ2uxhHyhoNwSKhq8zaC6u1mB+pYNrI1+T6eQx6kW XTOXdJziw8vguer28g5YS+2mUOhQAIyR1YPi6r1MdJsgsoI44URv20wFT2wBvf9h7/ZOEbbu+C+q VM9sZfbsrUxI5KuvWdfm5cF2+qUaglYziPwepgivIuGC3k0OC7PzcsWfdnbxJ9oMKkxRQm4YlFNX PKvz8WF0A4U6gsRQt0zBxyU0gp8AEjKX3kKc/YwS8kaj2KVwLfJkhIWugi6cjKjmiuujdsF3VnBK HyoLeqBCImfjeLMWF4SkzLbxgncxk42OhoEFKlRXWcaWokusVsc/7YLx72KLbk5MbKWuwiljQm9v pSdOq+iOo+SJpZ2aUFyELAAdn48NFF9xeVS2/OK3nOMJ9oYWphXl4olJ5lJXhZ2DkQxkgJ6ky4vC 8kNhWhFixcR6xhbKnquPzmfuG2KHOsQb6Zc4niB/OistSq6mkVQLVohY2QyPNFi5GI+z4dZZ59WK ywMe5y9pOvxyCzIwFqWwMc7JXZW56WC22cpNMZOXweeQ9SjFiBWD+spMkKs9ddBvgETMkh0X/Btl zwztFyrV78eERLqZK2yLE1oPPh8XTEj4zM2zSwrnz+eFVHB9JNXz/cyhNzPH7RasUF7cECvFBX1b b36G48+wTBwWVxamELEiZaxVrJVZpB+ArspJjs7LbutwShiw6X2YyU5YeOboOe2J0/pp+J6oR0cF sZnvImBPXRp9sGuAyyOO+e348mxC96tchP2KG+OJrZTqSj1lJR9H9Wr6PTRssl7BCpHjw3KB9qz2 0EFIvtKDCT26dY4/oTBWwhgWA+KcaO5WHgjrijvjzAnAd8QCshvfcMg1NoGOwJTg+qhV8A3OzHhS F5iSFqhQahzbAMblbnswknNbKh0i5RkIaQYLVEzlVGlXWrurJ08JstqDzm74KYr5V2eqPmWQt88O /AL22C0HA06RpSBXBUnI87WNu+o8d9iuHFviXYRP+C/DFuB997jDlhVl4xiFZtA1kyN7snuPdMIw XE1sGRthhboqao/nOupdrc5MgOdllDVQf8ontxW4oFLsoIHVWeBlb46BFDrHFwXIniWf4XEXa5CT R6uqhfcZwVV8TxHaAlrZvHnBivJxAkdORbGSNZ+mAHbIx/cuatk1FmQWpzAfr5qZW5+uPX26jhn5 Ofw4Cm7A9NP8MgNzkuipiBdH9u6TOJqfFeqqdNW/nYd5a9vZLbOaXkhK7bMiQudI3iEXfx8TsXZf eSGhU2qsa1r3aZ5t4LPgSRggsAXnLMf8g3EK8eNS74Mzyl0tTmk35AA0CswwAS2S+T8bHrEFoqfk US5YBVXWZ0UJubpPc5A0dUqiLTH6k8dW/hkQyKqfFSXk6+r0sDKEUspGHWWBCgmdjcIqNZug0fnP zUgDjhFNefr5j8gyoPJ42CIX7qlS4NZWDxTMnNtmBgUhxEqgRgbWkgrtmEnS0Y/T4EJBSXxRcJpz lpDIpNYiVE8pmW48TPhweaRj/81TPMcMybfXoGWFLXI+eoOSitJWSU+kcjGNdthym8zDClNy07JP JrqWvkTXTroOCA5wPQ2jW9KP8loNSP8CtUVQOy6KnJyEFeXkGLgBpyJNe1fyg6s3Mw2kCrPi8iA/ uBYkBHRyfl/mlWmPknIJCq1ylfzKrG4sDHVCHwnjCGEetaMGuXV+EYi7Wn5QKjqZiYKsOIwmvFal JYbkPBOQMO94SlboO0rLRX3FW4fLuUfxpCjjx1T7lF+4PKICfc9cwBcBHNLj9J6W4ypsqM5+POOU avOO5iApk8XwzMuA5DsWINe7rtd01/vogT8gLjUsvnfXO6+ANX2qfgDFnk5doWohviiaJBCjKefJ MS5Q7OzMJkAdhNr3e14uwekvfRVSpq4y5A6R5FOj8+IP3hSzjA/ecKH28zZfpv2047xc+OilDjBX 72jmVPeEDgLso9Ip+YrKFzx4C/ZpGbX7NRMeuuMgTJO46JPC+iIu0IJrtFj6aeDyCHDv24n/LQq8 ku5jF6XlKVH8uLTbW2nF9aLL6k7Ay5e9sUO8Sh5G5Kx3dcA9arxTatCQZMGmpUnDIKnHAtAqjLH9 JAdiBl8UCdHoCBe8p3QDWmJwQ+nNk3ZiO0WIlYfl0phCLchwevmyw6xc/ghl+HZq1XW1T5ZbXMIP Z4rFKQSR67GbXUgMrgYxQAaVmlfDQDmaz2TZ5MX3n/GF60EahK+JLElUBguJwY7P0naavZMadr5g 4/oIJLa+wgQ2BfROPUyh0mGXnv1VpmuOUoEHUDP58dEBilKYoox8F+dK1bt6ayV1cFwz9XpOromN yioPXIeFGhbvKZcvwB7G1wT5U1F8pE83LvwpgXhMhE9NC5dH+n3tOx9HG4heoxamCLCSVAyPZL7B 5y1pnQIorHRv1G3W2gxTKHRoaN8lJh5Xf+pmWhr9ovHbYa3MDhScviDHiBE2k4KmEq/pjv+gB8/z 305fDJt5Xq+sz87rgrcZ9TO/wb7t/Dxz3jRzh/l4IWuiOlERHBfzbqls1Uq/b6Rs6k87RqyIh4Ad 4qv1M/k6w7gF07uRzi5Vkzht4nooJFld1qjQCxrfEyFWCIZezDK5KEwwbc6SDE28POrUXQYe/2OM 4Ntt1e2wQU45jEKxMtMSc3cyyE82e+oynyXGKUasaBrlupDCjqi3ch4w8NO4nVJvwMwauODsdyT9 cEdzvMq2G45aKxwC81HVInbwgleFZuZ1fHaIVvml+X+y3J/9SP7vKBWn0sCEiZFFqadhfM62iJtl lNp2i5sdoVWmGiqAbt3VzyZ4SrWWBM3s4Gcl202gvaLzDKMp8zUnNh/f81eCiafXF2MiZNNzaWUO XB7p942vh44dQkTb4iSE0HugmDolc9vE0Hy7q+TkK1bGmxhHEoTBSj/oq8gsqUk9hKsidYqSdsqL Tkz0gOk4dBORY8J9/SRS0hSDI5DcW9a2mw7M3PKUyKH8b1EcLumIQcOUFR49DvkR/8Kykk0N8HoC PMPjFSoeMjfI1dp0OKZQxf2P6njevEN5uYyKh4/6u8gz4E51fQHk5Oc9B1YsTYFoSz7JSSD36aSW UNwAoO+qkC+76QiOQcduIGyxQPBWQChodJIhhiE9PyAoXx4+UGN/Ey28NK5rGS3D369sPMtH8ckC B65q2yVpahILNZ2Ql1MIJbe2gblLcLW+HboTONnprwxHYPwjEHYiHsIo5byyxtVDZvsA3/QXLmMP PpIbyL4u0cN0/hxWMZDM5QcECdU1dJHn0viZ+wr75RQl6Kx+YJ7pnLytU4uz9Ja3PYwtDTejTqE9 p/gbhbvTVocjtnGyzEVoxknXcQYO2bxhRNhBgqqDnXMVfNNvOmK8cHOJ0NGy9Z2hpMOElIq2g9dH rfN7wPMBONnwN9sspyhNJ6IEtlPXr4T9Mx1dZVdDJDawvi1aUfd8ZOObyVBJ5oyK1phQG8vkm0HT Jy1zoQS1NTeawYNNavVMHstuOhjIUAuxd5bJvV+vTuS5/EvPP2ZeH1U09StVz+onzsfcJYX982Y2 EyajNSpqD6n5VPWpyKVKoKLooI8b6Fb96QC7YrPoTp0X1tnpU3084A/kgpPJfC7wygM43xQz8Kq0 m466CUu0jrtob51fIsnZ9PwDrw/A07+SB1ZjqXwZ5MUaLHiDAFTECKH9iaSSwWpstFGKFM7bHqwo aadVCzhNslbiejsvDaIyZUj76PwgnQ/iStSIKhL1SdfTLDe76b+qQJor26LWy8DXknpW4d2dwj76 Vc5gZQ1Gzs9+8Ik5hVIsmYoZaLpniWfwlQbhMeDu8I+b9TKgJnoQY+cgbqmSyrNaDtHhv4fElPCI BEcLUmQ5ZsQv5Ge8ji3M8HTTwdZihgT3DS1mvVjhMylj4XMRr/8v5oscZac1nwQizN831VtHccFN 2BF41byGNxckByLzxUiRpVg9KBVNrc7zOEXYiSVJjZg3JJb4GVqiIF7DBBlusRenKDuq9LeB0LTZ X5/OajxP9W4GSii8PngOmz+HctqdPLmeF2KskUj49HIHxknivjnoytuTtXP22pmOLkG1pmxrmmUl XdP0HALlfEKz6Jl3Xn+d/jD5ZBJ1/EA/EcTGfgl7SPL4RX+Z41AN2BbFauKtogoxT17/LzgQ2UOV LrqPV2VIAeWYZsoSB0c98iALlSgq0r8HOMzMKkOki6xhvygf1/x8gbV3zvjJkqdwpMJ2DFQHJ6lJ lEG2vLR1u+c/YedL5p7LmmP4aTorNw4ieH2kEfEtYgOg2MZHeqiiHL5JQBlUXdO8Xa6B36bkb2Wp UG6oIrhLEvJ1N4GCuN5Qwe9gYP6wwCre7NIjFYN2FH4RGBi6/h8hi/kPX0+mDbMVXxSpk9dJI6Kt Unl9kMD/ehFC9hOc5xupMHunoW6nUIEp2SyFB7JVy/R+RpfQZNdHBS3jFrGtBpWRK4rAvlBGV/Mm ZvAGjR1KeUJVmt1zNNLiIdWYj07asbAXSpIEqaCn/uT10Qjie1MJT33B5zlw95SMB46q0SxUp4Lz Nh809ixUaT9msWHuTgwVoQl3tQbWhjTaAEGxk8HZtgY60qBGmYYEz8USR7Nbjh4/PHeNZY4WbqoC rcFlHOzK66PH7xvI0YHhAILLIxXqJaI0gSKmNUQH/KGt0zdqMUmytuBMZJEKGaHix8z9tTqFD6ba Bef2efzOeYQxGvvrwKhMuloBlGQ9rD663XME5ZDZkgzSaVPIJtZuhWw6PMub1wcj5WuvoBy/U4Tv hioSMacgJKje258/yonh+aO6nm2qAr0We/5iNyHTSlT1LJS2dUUX1N2t23eey2Ky05QvmHNIY/4i qbrf8x98RzQqqi/W7yt9k7vRzgfx+mC2lT2xIpRq4q11JZJyYPKJvmhTm90bWUUPHUlXe3RvZNXk royhy6cZwJRmVunt7qp+UgIoSMHab4Mi2uTSsU72iQ7SwpwUP5H3/NTICn0+jSdTaZI+xC0mDjbB iJt51dSdRsf6LxkE4ijrc1aFQi1dOMbljKKmftYidni4DkKfrtGdA6tPtCxU3mw1Z7heStGpBNdk WnWyPbxGZOaVJdPViZZwSlGh2Cm/KWJlmwX4XZRX9VpmVi2YKq+P/OHa9yO46W/95FVRvg6QfIbs sbGKwGxxzGfJjmVswMR7rGK1FpMLtoZfuQ0//BQoo+UCXtFTlPhWp7Y3rbXrunQ+CE7YTQddhkQx qZKHL9pXCa5gHA2yyxA4fp4/+nZHWTfiUc77GjeHjp+4u5OjswfCjoyZf9Lxk/Zl0ikjH1/PYIyA YQrQUNH56vvq1JGVDEc6pnd60uEZBKczV4wbC8QJLgfLbzoSeWVzAcmeLdpXcGnnl55dsnl9UNv0 b5XXXHnjzPEUrdD4s5PXV3q9NFHZ6OHEGmCZ6MTKyFPVZAidP5da7z3LHoard/sKTdQIaSzcVzLc LZIlAY34/M/1zLya3fRf05xW6BUH916Z6nVEjlgJ4Ht5faSo+OvEQuzXxcnm0PvTXNA2gS4c7fDG pUXN5rg8wVM2oGwOzT+H/CnaFN2Iq/NCTmnWCniptIs7acEUZCizO4lJ9/nX4wq7cT6YQ/dP+VPP KiXTatqNDaa7W+KT5wt4fcR48J21VUH+0LvXYxWhYQgRh0rLclBxXc7UnnvavjpFRDWd5RyYfyLt kf5WklcFV+/0FWhrDQmcn1IHOoFqueMBqLAyonCu90VrspsOclHK32XNnDN2GZsMeG2sxi5p32vz A4JkNNdfySjg31BL9mjFoJhJgsjTF90kiOAprH35QGdLbpk76x9g9Sa7ea2eN5SFfTQpAdCQQmyi 9jo17weYbZVodb0LKcXHb4qQDFNmaGaNZjXOLnD0EMcx606jHoMHq9pTOGd/YhU6D9EsZrG1yMJ5 rVUtcwfC3KeqfLUzcw9MQB+ZXHRTfPWxKsAnG+11+Ku1s+tE2Qao4WcRnKnWlTYWHQZy7AJK6gNx BVggbyn9jbZ6I6IMRtv8gGg+Mb9jBQM5yEV4rGIfUOZYksoVOmY07/ORBC10zHpiFeu5CO+RJXsu pXntK6gMnGcusc+HOQTfl3k3ZDubZgZ9lUuqUT8mNALN2lZd469uo5w6EtyDKSDYa+P1Eavm+3DH wTrHBcjk0ApUZns1XSrbOUzMHg0K7g5pSGwOK1SxCZGqnJX3Xb0gbOgKY3YByfNzFNZkmEiIX0AI ZJLKJrmpe8sB9oOCwhVMU1usc5VB/1KkNq//l1kTT/Hz+p7oQXmkok4751RAgLv+8tLIWSAZaikR JEOLT0UqVj0XJ3mUZ3Wk43msoXREXAOm9qTewYFlkt4+qUcwfIiT700HsaIGc26+VClzd0glqiFT k+40gmN/+xAhMR7gFXusQuXzTt2pudwmreKtYrVzvwZgkGqx2jlwBEXYRfkzOB9X21Vpg7JrDdFT cfZmegAAZCzg1qB4ZskVhnf6nuAluCj2Nmkwy0XcP+LWlbbPzOsj7fP2XTrDyQiKn88TGCXuYNvB t8JgVujzNTvYeypr+mE1HXyVA1vQu6/qMtHudb1jQJ9BIZU5HASoYZnXB12eEyxHC0zw0J4kSq3Y TUdC8eTdDsl0QQVK++p89uBQop+HgtdH7atv9xg0Y+kVbbEKrUHhlU37veUHezae26k8ZDzQ9BI0 lH+OvUE1nEc5e1eHh8LTeVZK6i/y3CXxWRCzDoxwI7QoP9j1HHqDki15tuq6i9DGJ6smMdb67IE5 KGZl49cTCDewpxwM3EGLrONh/Lb9tMrDG31ojftIgmgS7qrAHhQFqbQButIGrt493iklWjkDNXVe pwSrwO15wmyWJKaLyzaHqxz4g6L7qxj17Iu6V+cbNNODNDavD8aCl2QzlZFRG+2GKkSwFxt0mV4X 3ik+6OqPa3hatyMTWoSSDnUevGrckfpwRyAkd56JTtrWhJwg7Qpx1iBnp9DOXC5EVbomXaFLqATg 1pKvBVktRPhj6k+FYkh2Z35AhDv+RvtneW7Nr50VEkybPFJK8XBVN8XuvZkeI5p9y0uc0Ct0iKhc S3tWO6824BKjEbUGHtdo0sSZbBBSUIokTVej0nw+cAsFs5sIyDlJjQCQrAviB1NVKZwtdGUCu1Cg Gb7R7B1lZXrqwdgvlFhIgXFk0tunkbt7kiwc2DYTH2/BCvHs6mBJzNlWD9ap/KivRDADqbrEyazO bipSpArFPRekwmuZ3xR1Rovy9SruJGBvKpkT8LuE85+Ul58QvQy/R17UDm+XPpkD39CTbRAn03qZ Vj03zeeli27icKcebNUBa6Fx6DAV6ywI6cVGAfoBUiCkOgjwW1CuZB95s+YvoJUTze59ZMpS5cA6 VK8yzCVkiVmHo4qgwN2VuKM3GniHfvfcWVrAoXuM6+CQQ/dQ5qMzyUqN5BKdVSSbyqYP6D7oL3mw IpxME8Nb9E9bb/GMl2yhlUuhs7GEA4rU5Bs6/+0BrPG35TcFb8Mt41AO6Atq8qxZ89w2rjhnwuQH RE48v2S8zvkAM44brLDrXjhIKdP7fW26Ug4aadPxastFFvIfHqLWFa3rrt4dxST1nKTU/QYId82i TgNKjsbncBDjrh7WXnbTwXOYMzXkkc1qsZ3VIVvJlHR1Xh88hVejSizxU83tOZ4TPoTJsHyusk/b Ipdsa8tsTrnkodKqt2ViH1FDXiULVnqCtfPJHaA+rMcQKYM8Lzb3FHZDweWOwFLbPTQSZYMCiuLF F7EpC14lYiutxeujHtZ3qwETcOTv7ebvoZdoG/QxnNauQuN9erSKbGZoMN6Lt0dDM9EubTh6Evmq aJUKm7CE9tgps+c4fwfnhIswuEzKyQmSq1WVLHBf4CYq9Abk6IlXG9ByYc6NTTY4uj5fsyc/IEgf LgRLEAho+ecHZhs7im4ymiXvyRT1VjtQ1R4+gKa7PTP4wFLUxI1PekkAua02VT379USMojB43Vc6 02P/LcrK4hBKj3VYWXbLfx3wubLfkOsyfWuQrwQbF6ghsBT9/1+GXdLRN3UILUWnmawVJ5tsYoFk NV6vLVbdt4kVeoouw32Qrmyr1ToTyQf6MJRjypKkYMMP8vecLKJhZmXhUJoVeIq65MnAf42FDzR7 M5TMVr8Bs/rQVTRdsJpRV8+uuuTKHNqKVuSkQPN5v6HRKoCxgh28xcqMRBirMIXXToHExV29NzNP FV2pyMTxRDEAFuENC+i+9YjoEJbP7/nT9glNdfAomKKx4Xeq11X1JiyLHxCJ7n6LE+Nwn2hde6ii 9B0JM3lwTkQtxH4JKzPHuNXOXB6qsOeuOeG2ac5+pjnAFNVzJkB84Zzsi45cbPjBHmUiYSB421RP UrJb/mPuNask9qpLhgAnP9ni/QH7mNf/F5EvXLJQD3ikQvF0TieK3n2cPqdh8lVwNU02fe4YuSpn iM1FBQCpxiLk6nMvTGoqhjsEQKprjXkF8AwnpPjA9kgO1Wr3HPmzk9RMO14txm1OpxIRTqFVXh9R c76FvqCvOevXWRUl7sSJwX4r/ZhJFk0ZyDQ5GaiVOZ2SNXoHBv6it4QuAq0ng/hYmbNBqiQLHJAp WiVR+QQInwrGekGL0sEyKnNCh1GhZAYaYCwNQX1gfgXvCL5LIB7ND4gayfXrsALNhmBYD1aYuJMK XinmrdmzphNUc249Wy56UqUbrJiVagB3y0nbBdY2ODmsLuWh80knl7FclKoerZznsG2aRWtSLwRI 4DOKk51ME5pYYMlk11RsUGbSP+d9kXl91Ej+bmNlOsGdM+bZWlHbfWY7z9PFy7RlT+Fir1G6X0Cz 2FMY4WXqNIpz63e18wreP/s8ik1tdyBtJXJZ0BZWj3T7oJ6ILH3RX3MvamnbognFOeZpyo2yLPP6 CFr0PaHIIATp91OwPq/JVbkuv91c6fCPw3Wa5n4IhbWZgONralWu9vXMwjZwdXrqidPkbw66FxT1 ll6CBe/+ndB0r9fSj5bRr4lVeTR15l10WKHqlxVyW7j6JUjnHrc13Bd1GCAPt6EYQdmLz2tShQgN utDsXQyp1qhqZqVNl8ERMKN3NwUR6nJ8fJPfBS6md0jww7MWFmxKE05xWX7oTZKBBDA/DOZin9eE qkDllzS4TVMxLgpRyhRyoBkTrn4LEXKMaSFi8YIOhRg4CNFrLnUuwrAdCurdjXpy3dlBV717XwH2 sArRayZVzJsbmpZ89Wu1/PzEa0Fwje4FJz+epiVTO9F950bROLOkU76Qr3lUAWFe08C1fNHc5pwt RZD/87L4vCZRiK8JpS4DKp9UM5NZiQi9plDFaEXnSteogNyyS55IzUBaHnVaw/g1gTofNKyjvgWL 4eoHEohwYy/hQmGrKVGBjW7lBOAZIggWIQpsf17TpyJLlIVxBtMniJMVaaSiEU28AQxEPq/ZE//a +hUi5JldPqwI0WvuVASuBLC++qCmysQIQy0Z5qpJTMAKPX2j58xISdPSgpkea4d0ClciN/o5fdY5 lXo28RwSmxd1jPIlnlIw7jVxKo7qaHk1X9RrWfC/syO7fV7TJrtaMSo6tn7gjmcxek2aCrRri1TQ rtTJaBelMJbPHfp2NtJrylSSgo23v4mhfXECE2UyNrlIJxYYz1VNHdDfgPzuTwPi2IakSRasQYwW EyYIEnAB1JawDJgj8KX8c17C+fOaLpWH9r1EzD3PGvxdLEivyRJOeb7+C70BJFdVLmWrreTyQuDw mZlKFCT1VCbnabb6HHmdordnqlWdS3Fid83cKWTZqLINZo09bazsXhMlPG0ZFKRTIBCdAMOMrNFV XqZfgIz485on4Ti7bzWJo4KB1JIF6TVH4pHNTgppd1JLF6aYjjNChFJot1y19PDIdkTxs3pv8xwS fRnVAY2xUt1IRZizk7OyFWnJN6WqXhOkIpkQXJk16Ws8Txml89xszt7PfzI+rwkS73NZlHh30CJI 99h+bWvibUifcYCP/EzyGRZcVKZ7HhdaoVEiLji2TSS9TtPUm9dL9JRyg4r7NFGBjGWebNM1qD9m ZgAn43AUY1m0m3ltaSJK7KYUiVufZfjpsuA4zZwbuqhBjLoxRPS4UeEmiaFF1acgSJw/zdbMqB7v tpr94J7VD+5+g/Tay3wSpEoslq0epHKearS2qEgxgc7kViJeBJIbE14e6QLyKEf82sksUs6H2oY6 mT2Zj0MhO5JfeFKNz2sb01/l9+DOyvpTzZ5GRpn2EO12bEfj1VJc44SScGo4UbHNyJFRnDj4SSdf HHe1RBKaZnMImN7OsUOtDjxy5Ig0nGB11tsb2Kp337PtpKnepFOJLXzDga46xeee1KGIHrmZviJ1 MuQfApstTlG+Tdmzk9n7Ow728i7nlaYzbs+O3pdvFMXJMFNGEOHqJUmnLYHUBjfATSYpv0nORfOl yaKgSrZ56JaDA5y22QkTFlvUijzJZadKzzks1ued7YcDfG8/wHFc851ZaXghrGT0nqNvfUvLIYtV ss3iRV5D9lLLxeEFgdpNCgEmoze+ZPQgvnEOFRrNnOem1SaMNTSDfxalrdLjxw6sCe/4PU5UIhpQ zPDF4lRoxUL9HF4epEx9f2femHH17LXJO9OvSLuPDO4LAFomPwws+nZVRjVEBAAKDnFXjM0Gs85X gem8gOCvij8C2INRB42g0aakdxiULija4zKfqeqWgxIuURogP4tXJWlPSQgCAxum36N8xQnYm13q LXPf82/3VsNgwuLEssasHMq+ueW6YMX3BDybYnMuVKywVXE68YDe1skS6FDQcaaoisODtqhhIQkF jVmmWpfh8UT8K35OqKUjvGqagK7K32acVOzzzvJj3vVdyZEHM3P1HPyd5ed/3tjTQpLxuCSv5cr1 EU3UHmKg3rPw7IODZXzI9cWHPNFPwNdzcADgD4kqlEvHWYP+cdoPyZb+Tu8cP54UwHI24Q2a4w1A klrKaevJFT7vFD9UHcmfO4qYiCdBmCLjFObh5G2nbGKM0NfdTlvLnpLD8mI5FStKxLtb1ud01wvo PD8ErArEa4D4rlyOMUffmXbsaF3L5ThLOCFKxJu0AGQBmXN1ibjSCNDm+25/3ul95YHpL7/sB2w3 D1OUiVPhYEI3ynEGa16cQXdRecyhPExRKm6KXmOpR8DV8qcFMcuMRjlacCdeBCedegXTgk2C7ErX 8wKWO/ye9zCp+7an9JYmSQOJHsd9JrFrOy8PskzTPluyfoRx0er3GH9PxbGbyC5tLih/csHsuwk+ h9pNo+FsUQs8ysVHE8ZwcFdptd200GOCry96uvAOr9lSURClmfmBhnNN2Lvu+D1Mk09qGqpYhr/t 6oJ9iXpHLX/eSX3FeNdPnomXH+SjPU5RNi7T7MwujRS88jZRqlaryXE0wHt9BBWn4zzG0+AoWKsd 49CPSGwnnqygp/P/5PWboTR7DqdNowJH509JUkXpOLpFCzLp2RfGCcxE0urgPrc+74Q+lh/f+Ti7 gUjprWMZZePQvgDCdTlP1MCuqH/73l7/ru480Xcy39dTl1UAj3wLYADPT2BQZ2CgkiCUZ65qeOck mNggR7/tJjyvn3cuX7lKJQA/2KLgbLABxNQu+fNO5WNxN7/edo2DdgDXLFBROp4lG9uyYzeb6Gka piwX/644WxSo93Qc6ZNq323Qzf1ANxeUGSHkz54T8ieyHhelLFBsoPSFrLkL6A3d8l9DAvppLugv nPfWFOji5Hudvg5A37TPO5WPfTZvFrCvcDIt9Jw9VFEbnCfhyTSvTnovZuuADKpXz6DEekz8pKBd YMTQ0uZdPSGf6HxDbqjvDlMWvp1PilDw4gMn8od924s1L7rlIIXC8BfwdeE2ceQzHzi1UhlJeLmy Pu80PoZ6fG2qgpE0BD8sUHFKzhnmzFdQ/kJcT940boduDuuGv3P4itEBz0M3zTVsXpkEyO7B+Irs UKivUwkBewrygpla2gNG7q6+QUmXdwpfuT5Pkwrg1Z04T6Q3Ycb0MqqfdwYfz7j2lRpAKmODmGBx ilLylZleDitSzpuq28lUz5ExjOdxNnc17sI7f887O9hIs9/1pgZQ4cmc+ha4GxfBeSrcmphZnUPy tntlh/VO3+N+IIqgihdam/GNS8dm1cgbo5UoIx83NUh2RsGgy8MUJeRosmJ0n1x2yiSb2TEYJdlz V0+2Y9spaotTdASV3ax3dQLtOZ3W1llO8aMsKQnI8RG9gJNpf53ldstBCiVJVPlijWzy/rCw7kv4 lJPBft6Ze8UIQF+DTNBv243Te0Lu55O1U7oKl24VXqv9TljKPZ/eE3LjwqMjLm8erk4HBQWezjcA tJ6vIAEHkoKk2yLLLDs9Hpndbvn9fFqDHQOwT2wR5hDgbAqCQ0T5887bw198Kzwi0IFgBKPQ4hRm 5EVmYdkJjl1pAr36arumhjKhO6nmO2uPmTILFwme2WrzzFZIPiyTjx3U9uTGjl32s2W5Ua7JOOb5 vOMoTNxOewubiYGtMNJlMRli0rY/75y9Ym5NDIv+W+Z1N05hd3wJMLCu1cwyhVhYzSyTe8OQ3Arh d8Ye29bcR1Sw8dXidA6intmk5X7agGYyTuiYb8DXSisXwEOu8+edscfOCFOoLm5jt10Lc8MqTDZe Gp93wl4x/68nTOk8diV74/edrYcwdXZTRvM+XZaqJ8PEoYfgc9uRhu9kPXYemInzEPbVMnJoEJ+0 SOg5zO9KMasV+HFsQpPLIyBIrO87Vc+300lM1c/s3s/s54TNjBmkDz/vTD0kqrf/RKYeKNiS5OKE PMrIyX4+R5SZQFMcz3yOu26YgLBdHInyztO7fd+cqxV69dlN50ZwVk+yz1ComBNNRqG9pA5EOJja KnhcP+88PQ7e5K1GP2guKvDQfGhyvdzl807TK0YnfHYTTA3zvmEK4SgSA5oGJgSyv29PMnP3dubY 1QqXd5Iew8T6rkuXUivDdN5tCY/XAith4TA/bzez1j7hgpL6+V/wnusiB+WiO/4rTAuIA1v00DVw EYTZmbw8eOhu94k7B9sZ1D4LU5SLN1JdyHs23f1Vfdpy3nVboPG+SrKh1DtBDw8DYQQnIua3Oh+/ VUBeEg2lOxxz0CZQaVMBdoPhDJSs/AhvQzccVXfInHon8oILo1QA3BDM9zzSn3duXpFZxlfvCWnK uq3Md2JecYfMVE1hH/bZNh8HIaibYOApY6oJBr7z8orDVc//paa+VosS+LAZAib0YE84qnjKIyn8 kcAgN5JGCJPKI++svGKqueeUQEIwC4xe5L8z4A/Llu3ZveXzTspjV+UXegdvyroueidKxKnjjiLY Cde7tO3AeuVTJKcTr8DdFPXGZfyYoSlxV2+qgLVI/vfJATv+/5gxwbSesB0ExM0uMmhMvOFoWs6E CeWQLdZ76ltu5sjzP+9kvPI4zNgEAbqOmAtYlN7z8CTtLSC1i0PqBVQhLV12fYVHU7YJ5zsVr8h7 mM9aqXf1fCA3vPcKX3TQiejmt4qX82RK0nu5mAKWK+9EPOx/6ASeXKxPX9RSQVbJd2s7b7/POw8P 2yL9wvAgs+zjbqYoDScp+uyUZxDcyrD0Ema0doLn0oxY9k7C4xuEf/ouavjuchu+c51cqVPjuYPC hpkIB5ykR56PRvMEiYnzOwkpfOfg3RYdiWi2KE4naVrsxcPR8PPOwCtGJnm2kyYYdztFaTh1cuAr UxxML1M+QlRuQkDFd6Xh7/y7B1iwepm24p4nifvn1EBrXGk4NBg032xwRstQz4DFd3tamUm3HOHm mDiZUC6bx3IHO5fSYgmOwLzR4F03b6DYJwAMEy0wi1SUiDf2xktvw/sEZJHL6Hg1mwSjsnR0Ydgb TyY7KdP6JTNd8TNg1jEKIwUYzF6aSJG2ck5wFGFA/zuOF7/V5517x9kdC7vVtZz0vhkDf2chu+Hg 9nnn3tlI69lS6DjPfbu+78Q7y/+pV+NA1aqKhcbZdXZvZuK9okBFzfEpSzUQ7O56sSpowG3pUycc V7QXB7QLhxO6FQ2UWHfBosTBO+0Ov23RzHxVX5Q99ZrlQo226eeddMf7bF8VMKyw57gzqXfKHY6o RFdaufdS9m5uV9nq1zZlpEbCPrCYcS5u2Dk1f7l6nDB9mrCWwUyKEt9GQZfLTCELpfoRNYbd8nuc IBX1pbFlig4Q8Z5yWz0Z/vy8M+4M8fo1C8bYfq/itd074464LprKJKoEF844SXY9aUkZiVYz45xR BUCbig31TrizYTY3kqT0udqTV2BoN4TIrJhFVtO5q/hxkUX/lF5u64l8gc874Y5fhAitRPe5lXoy 9FMbXTT73tL6vNPt2KL77mSSNdQu+OmdbYddvEhznU0ar1CGz+7QUAHERMhgQcJZMDZU1BpvzVW1 8l0vcrVDuW2z9QTiYTJbmU4uNSaNFVa0t7rruuXgLK/i+rStxeYm56fAQ833WK6fd64dW3TfKdRJ fEHhvBjo94TchL/Rjnd5u7GYLFE4UQ0p2H6AK6d33jvT7lZ3CRPNu1oKhe4Z6L+M0ypAMoign7Gv OCQs7Gd6Z3zoloPcoDF5quQbcGGLLiXIF0qvrtTPO9OOl3+DemDes58p5zvRjtAyimSUCxbvzc0M z9l1DcMGnS+5n8LO+FKToLBFp9XjBP4BBds7TD72IF0WSpMNRgPy+8heBaun8k6zI+mAYSp84S3x DBKdhlutwj619nln2RWpkViY6PYBNekl/SMGKuqNs2qF47ej6Vp2nG8zA0ikBuSP8o33zrK7oxbU v+OuFqgBbZoBOBTq4IGXhXJyiEAswafLVaRG+s/v+SNQYFctX6x02ckmLX2PzzvDznECzwuPlrTj hinEqoifcUVXxkntHQ69Zd3b6bWarDX+zq97uiqnFOx3tQIPZ+x5QDJLlzIqG1xEF5yiYdJppOE9 YSm59lPUGe+SxdjtLknJQKHVuNE238l1Dtq9xzj86yBV72GKUnJaMoH/7bpHreRrtUpQm/InvMEV pjAlV4+u0vjSVttNG2j3BJ48pB6APpQ22cB8eDQ8CNjOjhEjNPOdV8cSjY7ZnRoPXIwNdd4JRhib 8/NOq/sFETN+NV9593iKEnKVeJ1jZ1bCI6VrxDBm80qYzxL6Ki0EjrO0O3VI6Xe1tKDnk4FhqEDH ioHGpYTQMecag84x+U4Q2DDE9wRZgWyIkqA9bmBeC+DEYo2NvHF5kGb+YvxUar/Mm2aG6TiR41CD sjCZOxgVx1Z2Dn7mtuMpHnXGTZ90bw3wuPqg5dTwFHTA4QSvx6XhOvFz8u1Bu+MiM7fuOOCzpMz2 HAW7uehwOmluN7J0Gbg8wBWU9P3UYVMiITHST5yNT42Bzavi/DHLZSRhs/VjAhjFnXv7ezYulikO Jdr82eoDBMz9zpuICnbrvKC66FO98m18YobmlhV3nEjja/4AYExoM/siRM/JLOsWIWHz8ggPnb9r u3MQcPJnUYpScTLQ55arOD1EoUSpHl2u6T5z2WVJewRUmdIEr0Rg2WqNcWhcbdqxnyhBxTCJbDZp dEU/Og69rVuA3wbfE5QsWYLT1GXjojDlZiCien5fXP4vBhnDBPAMaFwWprgxzibdqhfPI+k69gry pbQ0vF94hPeoMd5Ft6cwgq82BN6duAhKsVXIZ1cN7TLNpdH4x9TuwsZF1+xRJj67/DB5RAkMxFld KfB82vzjW8H1AWNzfr/rMlio8xlv9pCzWVkEsyuogVSt1wsTaBvJ469CC76Ti/coF58a/86sA5rr PcTTea1hqgbgeAHyV0Uwqmx4smagAurVvRh2y0HuhAn3hO05ZS/Qd1DKdDbroFXSec1vfkBEbv3u aAJLi53gkYqom4PKkX01H90NlgHMnjLh6+zUFUqpMlJxNq6O5pJKAVdv/J5Doyy0kYE5HOg9EsK6 +I5O9NbEL+1KWXzf9ahBvsgBRl3EpZuWSoMQZePEe5wHEtcHL7wH+ERyC/rt60LEepiOD9HuzO4S Qg4rGzpzyw6a6ExMb2ki0GMGp9LxqkECVycCc6zcOL2DIPMiJvREiv6Kifbibc17StWsWw4yAxk0 DdZ3E81b2izU1eC4TYO4k+bj+n89fWzwnYd4lHG3VNgk19CcikZorAAXmviPANygSGGgNiSW2DDo cUKuadOWrB9X70B1+p4Q07NopdOLMD14lPtEnxbIrStGOnXLUQeKDYMEhdpBDxuJ/jco26+udkkt +IAITPeLyIkco9yeZn/PyR1mX9CUz+YwPrZFqvE0oYIDsHFqQfUoJ99G/0kGpksXTEdBzYKpk9mq lqFSOCE7OG9X+DYihXTDE3qM96hNLouFLSXSvbKjnxImkaxdzm+Cy6OWQfqKE+hW5994stkjADnl /qGeJPGGDK6ceVJU5rhsQbUswZnOT4oOKcPXyzkbc2DsbL746Dg0pDfTcoYxtMlqwhgGEgXnz8wP gvyEC18U5FETCRTyMl+stYLfRlYvi5dH++m7BZUhW0EbLwtU3CQXPpaV3WZ2nLsFao+WrQeVMVXR oxc1yZdMHPHquaujMwtsNYa0bTc+Ta7Gk9KvYFSBe7e8p8lZNL4oKoaFVEnLFw2lzquB/uiw3pu4 PKKZfyMx2MJd0A41nnmUlxNdNpkzm6xt3z52MX+FTnZwtmp4RF3yuVTlFVXFXL0J1U8OcI4kMs3P +6qxAcrX1IY0CEYKEMKfpjyadcfRfIpNX6YJWoRihfQoswOEDJcHifn4Ll9w+E8o41uYosS8iae4 c7ehSxdCWu+8ZJ7ig1J+ClPYIzdCZ5EIMFfbT+cJg3lg1gHVoYwhBZUONFWiOg18SV1qDeA/fFFE 6GQ1jDkRGC6Qr+fLC4VXZafihCzj+gizUr82FBWQAJm0QIX48SwEVHFY9FjJ06jZTHKt4X1lY7wR NcnVHEf6WLRyGD1QwfDUaRlTlwVZ8LFs4AD+NkzNWHC5rwKUB/E1AYq1DRKlmmhT7t2IlmZhZgCs NS6PZi7fmcHJfn/YxbUoRWl5o8Fdkoo76ebzojP14JB+V3g643gaUVrO5jDM+Ng0ADS8KUoDtIEC zUMmmydB6lNnfcXbFlbzP1DD87wA+rv4mmjgQjoZqnhbjB6G1FW8jbFx+b80MFjmobTGwW5RilJy Vu9AFnQ/m2o3LgJwKjY8H/zRtJeilHx2tVSWyj05fOhsAicDgH10e2ACBAgCzyYA5xYUUQoGaSZb NEm+G1GDXLvoFFYcodN8busVB+VB7t/zI+H6/zLBQyd3ridOUUJOVwE5YNtEWIKGjNOaww4naA9Y nML+uLBf8Cq5qyXkgHxi9kmI/flfF6XNMEg43z7RMFjsQImTT+2CESLH1S2gdKQWnsWQSxRt+xSp E5dH4zs/mVgMovzBFrAohchxPnNFrzdOqFSrIEp1+dz8PCRO5RwRcrwmlStplLv6bgK8QCfTRgtk UmD+fAhQ+Sd3IPbk4sbRucLX/DG8m4MCY/BKkURvhR7jaBpy4mQKM/H5PZRCP2pAp86iFHXHAaoh bLU7YKW15JyyUZ1Tdh5of+aiTNyGwduoUlsosSyf4n6SpU0mZz5v8D3039DzGoMASmzfMKEphu8J 8qZFYjAsD7DgAO86wWszFMbJC3D9v2gtHN6dLAwihR6nKBMnyGRWeS3xmSvZPRopPKRnLoMUxHbB CDNxo7MIGK3VKhaEeQJ2JlmV82qgXQEssGRnfr4Csz5/6phgjjATJ0Gqgs+1YNWNLFE1CxVE8K0n AVn4gKBf0L57mnjNQS7AIxWm4kVdcRMRha76SpY6cV4jbjA9TBWpfzTI2zINg1Xv2Bz62QP1BX7A s6Mm2/LnCd/yVT9nb9m0mZBvgo7xkM1JjYfzM1KBfGMww4puwuqUOeI4+xbXR42V7+KOQMQEXxVF aoY9crom8FVujZWRHbEysqWeDcJoRdXdDIUMkzSiO/sFWq1HfrbnoHIfxTDAVTXLWYwSaHFJ0qEP 8EgPniFgpUnQPhVfhKmr0JI0geyKy6OJy/frDqnLHHfiMqNcnHD3cwqSB0x8AQ01WNs12t/SPq+P bEXwDNmcEp85cch3tdcd+nTnhqbEsQbNvJiLD5oFYN9nTL68CK52y38NEzwvKJ4+7fOy4cQRGJ+C y/8l18P9dH7M2acfUTNKxfl6mrQJNrY51aYk5FvNKfw8eMmFfGdM5TQLHMnac7WaBS6rcw7DYaQ8 IEyHB46z40Y/wVnrxfVQ5GHGXXJmBPQ30KLEAL3xKQD5qrj8v2j2gQK0AemzOEXJOFN4CD5dZ5d5 cRggiTilDOhhxSnskdsrj06gttp+Otu1aUp4HrsM0kaVsCFqeyrH5DRui3x1u+O/zqexOVOAa57B ekYh1Ie0nonLIwnRezzxlYzx664XhzGjfLxyIgy6qAJ1irjkEplNODsEakKwXYGK8vEhJN1K7Vl9 mABDHGhi0TwWvj568Donw4labsivfCRMx64ZcjkTmwWi4HER8nDDqZ16fKVXXB7MOn/l45iIT7lQ MU5hg3wSiLGM54pf23ODutNwoUyKGihOUT5uQsc753FXH09BrKzOpeoO8m0Eip1sHL1uom73k2nS 3HNG+TjNwCEb03wRQzFtTrjw5hsDl0c9Oh+4cCIMMYy2726K4Cp0uAPdz0dTmwxMGrmAS29vO3o0 Mi+YUXd8mQTN/3VgHBDAQnOW1R0kzjCHYtUCFCmnf5LIEtKe/OkZJeSJRXCVhsFZshLy8/EtU7wP 1gi4/F+tAr7ZIFtQ+z2dQiKnJNd6Xg/c12edS/6VSDQLtqo2U6hyaBKQS6g6rh6mDkcXqMh2gnag jkaCIvIzaLKj/bSeGcLWHQcDPCaYo7HxxEW9Ajx/qu7W5uURSszhKhw8JNTAxWvgGaFVqHNJkpeB 6WCfa4d4lmQIR8IwZ1OYonzcBHqmwcfnAx8fqCdQom5Zn2IKJfh4Y74FjFWttVx+C4H2M8rHIWmL tJVC7JuKLhKoJeUVf+hJcCuu/5doCDtWZHD1ezjFTM7OlGl4oBJnZ4I/0fKRrafei2cFobaK0aVq Sne1JPMUKjv1LFhPP7mGCpbzISjWaP200hOnVXTHfyVPneWLFuFVzq85iDfA04fL/5VkMiE9zxFp twrTinJxQFpg2PIIsMp3GGd4qnl4HQx0IMO0IryKOXmKmGmrv+vS2VzkWdIWCDrODBMKfNiHob95 BY2kWrBCvAqs3qALl31xImdVT6W1WnF5QOScv7kIGZhH76msKBVnzQrZzG2H00mZq6eYEtPk4bTc FG9FqfhQh25li1bOPj0Ap4kGU6QBoakJRR2K1AM3iBYpNQvMb5idpxU3xZkyDalngpHOHm2d5//k Jc/vWnB9hKX7DhOEJea4zYIVaosX4VWMb4d/tBrvep0iEz9nvJ3hK8aryHtkGyl/S0CATRW0wU2+ 75QyiXrTaPdC5bDToZ2O0543necB3/NHh26e92XyRbCeVqxTgOwDl/+XggXWJZBw9DCFTM7Cfm9O V1Jl335vH0bDa3BWMbDKCoHjUj1es9e7OhFhb7SJBl91gB82QTAo1DJJ+6YrumUEhNKtuC1OrIqi VVG7EtqI8b6O1fON0KqNMnH2pZ/pZqGhzh2yrFBmfBOgIolj4Oro28BGwXlQTK/nPO/bUT0rpHLK oEzkD1v9ZQceysSfBmOQ8xcZV4pvOBkmlbS+UD1Ttxx06WhBiRG8sJn7p8md7fyY8+oZ1YlPCB69 K36MMx/Nwz3upGVFuXhCdwPtreKwnp4MrNLJ9zKwChR/FKpYV0XST9lMTvNNnyBVkOB5hrzgPKFN enIwHQGsDAJcP2VXn7VwwsBb/gPWA9el7Yt1x1EoiefSeZ8BVuWh5MvMZQOXfY/yWHGcwipkqbL5 NKk5zzYd9Od/ZKKRsD0VqDAd1zCum2Rt/5KsrdAYpR43RPjRFMxktyyyPxv5+AD4GAQj65UXC6tk 0lqyLcnZLRusTpbBqeHyIFCXlC/uwnkNw2POAxUl5IU0oCblbB5Y9BtioFZKy3hlcLKxQIX48c4d NcpKd71YlX3ClkkDgj/uLpKEzECM54JmVIFMu2NVUGV9VpSRo8NznrrCQG3aGHBHJdQuhH6hTbfC DvllUvNlc44a0ngsTiGhk3QEzPp/rsSo20XMaYK/wMM100BeYUZeTZZd3QKuFqcFijnOJFrALoyl qGi0SHOpkPsjvFKzYEAPdMuBZu1a8rqbviTrYWZ2G8HU5X1G0ILv5OBUTEhTHmz0ilJy8rLmeGoX qbpIjJU/rcRVMGlVpGK5Q2k/bfHJudqjd1ICzEwpkXVSKDQK2C9IhTYK4BwWTJ4dQ05Kwopy8lyF UoEEvRahKWi9Q2jdeS5webSjPD/A/U2kRwW7X4HaUVJOMd6xKP5qSXmzQWevxPszj4K0nPKoHTXI h+zPR5aWPVcfdCL/LoWuwq3ArTSTqVgBpYOyPd6Y806nZIS+o7Qc/QZMW2xJmlmesqJ0iunAy5WX B626+otMjad/p2uMsN/zclxG8PjwDtRMsJRWNQx5Ue/UNZfr2bHhj2kb53lXP6OAICaRvu/z0jkJ NBOERbesDADoT3s6ddSE5y0HPagqcvDYvqhFfh7iJLNc6LTv98RcPqhfzRVSpq4w5A6B5NMMEdyL ZOof9eR5nPoi/IdxihNzictMxYmrQ1khuYaajGcUUsok0G+he+hkD8rd4gudj/E9f7XqaqKcPRdx gdacbalDngYujxidv+THz1uXmosWppDRudgoGMPF6dB6tDBdMwBYdmYPUwhXScbobPWu/tw1GCaq B1UmWHOWb9KdI1P4DmqtfpQ3u+VIioa8Dfq3aVESBVdwTVw2tlMEWGmX+UrRNbwX+tU12iGGnPQN SPx7nLwGhvjuFaeDBZHFKcSQ2ySh2iShPpMESERDxAh1HkzcU9IUi2O7yZL2C9aDAgpf8wesB2Jr 1FwD67iInbgwC+PsPM+N6yOMmNcv1IXAvLReJMYOtQ7lRrYu8bX19bSgXHONRhAWpignX6zz4Ki8 7+oDvFNx7AEzQQDIE06lpd4KZpYdYiB46Ey/AL8NviZySaoK0/RFhzGMdTWWOtURLg+iVL6rYRhY gTBxd1MEWElUyaLaoDHLaK4o45Z6zTYSjgSFKZQ6tMOp93LXh+WC6ljlMHotlY2CDf3an0ltpcqs oKnIa7rjv2iv59OyL4pTL70SIwMdCVweVXjfHJd2bgSidh6mUGKlEKYy12WWDXd2rR1wcBMYLTi7 MQ7eIXa8CblK4UJbDddzbgT5FBR7NmRwx9TIuABTNwYvrC5sVOgDje8J0sxM7zZAt22xMPXkVhuJ l/9Lc02pxNmb7eqw7igdp+UbguC7qezmNkCYdttuypvW3Z2f9KcSza42Pa+PfRtNx+eixQ2cqTkH wtmEUeWgLtjeF65CgP2O1VWYCUCJyRaRgxd1WPWXD1wesYD2V9Gyzi+yH9GQHaXi0nho/T5zLOHN UblVd5/utFjhMxeBVdgCoP3fvKtHKTWQ09j2TczMt+gdBD9QbxMTK/M058AQ3/Ontkrtd1HNssFg JmqlzIHLo7zpl2aIQMNX10gAodcjpQr01PqtgqdjVaasShfBBdAZwnbKKZRXabJMMrVHWQqpscLj KTVaT0O/pWgYvOhUlykpXeFhwSMKVkDbbjpgvlaTDRHKAA8ri7IGe+7N6QtY85sfEXU2PSEXsuz8 uLAluPEKJQ+Ji8609CAhYbIp/D/K47HLiHidt0IyvFhOseahCWsbYKw8gLENGy74l5GJV/upB0kG ovxyhkPGT4FMwNUg95uOpEY5yROhGs68P9pR85yAmSAWzMH4AZGQwR150nYDkhFoAD37KzQGUvcA 2jQ29kw+He55GGEfBM+UPV4hkHyYxdTcd7V4nV8dAMRCgN2ptBtbMQCGIv9C/E5RTF0/CSKzfYBv +gOXccphDogpLMSD6lx5qsYlhutY/IAA2Zq/ka0YnU4AUDxYUeN8YFOep6M6cGxzHs1R+hyj2MMI cpIHK/TmFLau7pXuasHqUB5NOKOgHg3ZSZm89TRpbYwUYbB1rnpPjgkpNAiCY+D5VGqKdNexbeUc 8Jok4tZ5fQSy+0Yjwub3i2mWU5SjM1XEpM1jZXBEHly9u1UJKnSPVegR5BwzGVFytVhh5KmOAZoI Gw1mUYMGFF/RIKYRqRcz7OTzm4JxjGbpS4Cf1b2aOQ90U3F8ngleH3H2v2egmS03YDJvtML2OXoe KMeTYe0q0GemeZSWNTtPDcJJQ9dH/S1gZ93O9XQ7B6wQzyG6hgxwOkxNWfqRL1mwvQFiNLmMwiyf 3xRR8yjgnokI5qKdxXEG23gndef1kX/w987icZvwbHmwYrMgRIiNX0TIVO8VrA599Ewl0lwp9tf1 URF93/yVy76rtzwXatgsJ+oBobHOrbXJVEMgIemTrp1ZbnbTkXuCorSHL+q7gOAqC88K0+4UdtF/ DY4zPNv2A07UWyJEL6CM7Ub5rIRUQ3Wsya2UbfTayn0Owz66hqIEQ/lqCQSq43aKADyHA3ZUi/Zc pxrcfL8ADcIDXmcW+Q78poibjnq5JlrBVroccjtRepgl8LmI10fZgzOu2fdEly6BEODBCtEtmrMv F0ecEPO3fl6q+QomXxOFnCIxlqoOzGxOmboabRS+gkvXlCFsyxhNo/OyaQRfqKs4HgFuGVGl2DuI mskSH1vL1X0gKmlC5WAS4/rgMXySB/zXAle28WytsJ9emDDkC3HJy8DTYBOTzsDCOXnhnFPYUNfW 6u1rtWid8+N81tjKHaA8nZf8zuHJnrGvMSp3sh7QpfyioF9V8pcmcLOR2QkWprymXTN5fQQu++VT WeEU8Ph2hYaeLGPHysVVNyslPBiqUkx4GkOa4oZ5gaMnkhCDJKjk42rV83kzNhQ3FFLvHTUjNx8I aj94F2OS3G9W2rrd8x8c9VkKZw9clC0swIu5r06Swuv/VRmyHQNrwfo4wYWenkQOTmI0rGtVp++q Vac/g50fr1BFYJdk8Pwy2l0tVAsIC8y0COs8J+Eyd2s0wdGw/wGPzNX/iFfMsadnZr4AmIotitR5 myhXaatUXh+k7zcdZZPrnOpnv9zTKjb15PN3yjpXjuqCDDfK77erYkN+H9+DoavnMsko2mba6ojq s38W2TJAhJzX6k6GMQP/s5J3VZ5QlWb3/JdSIt6tWoYa5Cim2tYsuQ5e/y9nKj5qlOO+fgE5MPaE BiCzUcjgWI+v7uxdmUTQAkKVq8tN59DZc3Lcnsn989XgeFAZbzKxPl+IFEFwD0zrMA7cGOheqcTR 7Jb/evxGpvgIF26q0tHwMcp65fURPuGXkHIGQWY/kYrVEtXb2yaNdP57F8M/7yOWOxCQgvm0Rypk g0q7fVNJ11Znqm/gVDE2Po8ftA+6vBXOiTV/Ji2tkGZZB4tULX5RAFCY1LABwI+LAAr1PBgwEuem GpvXR67o3ycVMn2Ki3qoIg1z4grgxb5tU7WR9SqEzi6fykI+KBovev7CpH2qFTolccf1YjzP+UQZ PfT6aoJGKXt9SJWn2Jb7CiaiR6Iv+tN+ODNiyT1mcLLuptbx+SBeH7m/fPtWwvOotSuPlAN/T0NS TZDsrI1VhMwj5YqNFVbOBT+hstDQ4FMeC4CCt7t6fQM2ERoNtPE6Jf1SYpU44UiY/5P+5h0/tbFC i0/EFoLTPN2lMcftAR6ziGpt6k7/daxbGrYAo3zO9VClRTZ6YzvnsYkzQxEEzi2J9uzQ/JctY2Dz ma/8yFBPhusXFh0uVKxvAPJtBrJeelw7SSjOKCroyeuboncg6xuzAZ/eRoaH0pLG5DnQeH1EbvjV ktn0tn6OqzhjB9iskj4hHu3Kjowt1SGf53ea3WMVS7VsgTl2vau3GTapjyKI4pRqg+UN0O/o90Ej a102H/JXu+nASoAYYsrH2qKNlQATIrCRbYbA7tOkyZUvCMl+Pq5cDZIc+n1WgvfR7rOeTCZ+S36f 0i6jSlmf9ymMETDaJNvM4va+XYYGmn+HJBEklyYGVFUcUUkGA9jGnrBzsKbddDTsoiRC6dsXbSzI M3bpdqOTHHp+1uIjZorhVgn23bFgDm0/2S+f7Io4TdT0gDgXdKReRm6hNkPo+7nUkxm0bbL1thn6 +VkKE1EM+c/PIwIksC8wuMZDCdkeH3k1u+nI8wSVcx9bi1XA57eFsjxx1+fX4PWRnqJHy5i458jq j7182HZPMgRHp/J/maOd25LZ0I7JStrLMPhnDq0/5f2WiLD21XZWOVtoFfHZT818jjCd7xyxnSgh m9wYe5qsG8eDOfT+zFQrA3fGFz2GCJFIa7lPXh90Rq+o4lYN+bPLZdDk2PoTIZYSiqGK+9V1W8I0 LPxi25lGOfD+lOUdnj7LsPqTYZ13YQfVgZaWJ0dt4NGo5Y73ZkV+V0BG8M5oTXbTf/X6YLiAZXFo rY01MxFHkEXb/IAocR+/0lHgv9t+dlaMickyjnMWcqvVzyy0nbwzOlv1nRWj1aVZNtazerRO4n7K gETU3ik9h6w9zh7Dxj51NdToig8oCscl/KYIyEAf50mDpiQ+P1MOqILKejjrTiPKUf9+GZ6nEE6u N1ah9RDP983khKXz5k8j8Vc2+9mQaez4MHcPTEAfLWHium11Ng0QYoAVI3evGX8gOxEJCP9VODUb +W6s6fccMUfZtur0rFhUS5X8Bt6/UzzsNfkB/2U+gcRhlsuxzaERKG17T+E/3bixDXfaO9+8fAI9 SCdSrEJ0jAZfY9m+Ws++Oo9HgUwnQUSlnAexkFMDqNvP5sy6r3JJNerIhE6gyJ4QbqFBp3dkzvas dAzDgLXx+mBble9nENPQOb5ehFHy3lp9ZtAI1XKdThDcyyO9vO62CgUWu+qb4RCQdsXLTrp2tg3r HOTwa5hZE7buD+yxURdesak17ZajoRehMQDa2mK9q7KHkvGT0/H6f7k18Y03zgOIdqNHKmq2g6yB Nl92j7TVthfP52DxNt8c+W6qf/gQAWJ/V+/IpJrArRqUljiHVeXQa8PKBGNf5PGTNrwc42SLVQiT oZjSebfqhD8ZLfugJ2PeZUkYP+lOo+r5m1yzqaOUnsMqyty7nFN1QlUV0l49L/YnzCetePUcWIJa 2AE6N1Jbu6S2864FBzlRMzed/G2wLw9hklMSLjT7OCNUckU1NX5P8BLkIbUbcywu4v6dncseEo3o eX10VH1jZaA0B8GSZzwY2oImquD0WZ5O35JwEI02n8MKMVWsosR9iMTdu2xUufrg+WymBOliVYRU MGF6BaX1s6/Qf0EegAYlQWrFbjpC+avVsLJaDVP7aiTosXGIcx4KXh81sL6hxmjHniLjttpDb1Ds PZTM4+kfJ2/1LZYp7shrCug5Ngddhima9a53X1GHQ8zbRQsCieVAe6oDBY5M7hqrd7vlP9iSUI/O vghsjC6cIFjstAfuoEjavXZufALTt91HDu1BMbjA0HkvP61E35Kk/nBEHxsq2lWBPyhGQXZa5We1 cz2dPwHGVSRzAziT9LrEYPxnbFlf5BsqtfoCg1AdixBh3t0X9a/OW5d/B8ReNq+PNM2+OTaVVg9P ZvWHRSinqJKGNzMLQ2bDpNCpI9AZsZw99AiVm8XZMCvf1WlbSNm3VBRAuUltibYF5namXApISj5F 7Zp1hTahGMJDknLSz4KIKtbLpaMVLmXKnvkB/4Ida+ZMVax5LS1y7BXa6IsiTpLUTtOyOWqf2VUC wOXzcIVJuzUYknk4pevhRFhwpy85eusbQuIMF9llAGidKzABczEqTegDu1Awu6lhgnQDC8BKgvh1 sYuwS9CWCfxC8Rh+Jw2Yua30dGUCw1Ac7qyd53A6bp/JBPN6vn4yJzFy+cUcOIbeFtYomhFy9T4D 5sv2IqxAkOKAZG+UgvNgClVAd12QCruS3xT1RhmsodlzR7dWmLUMPzTiPiFfxE+IOLl37IxbhHTK ag8iMnAOxQSWVWCvRqBEv+mrOyqqbgOK1AiUObQO7dLY71uDCq6XGAhpZghwAuEHiFpmjpU7UrdC lfRF71DT0vWb/utdWDsPei7GNe2w4GE+iu5o4B5qhkr32IJF90DoPVZR5k4Q0UycF7GTnIv1F5C5 d0f3YZzjsYqQMk1MkllEpuR62zKwNKxJpP6F2f+UfyjSkdyElxkP86bbTf+lx8iWLhacvDKoB4fH rXUmPyASDxhfr0OKXOWv5zBuu+M5PEVn87a71CoRrNJMXfdUiXiG7DmMHYtmgFgjdhSeatxYJ5HP +D4h1jJMHDDKGYS4q4e1/aaD55Dlc99sZXGxjQXbWxbSZ5vy+n+lpLzuHHMbABCPVdh2r7Lv5UEl KHcp1hvdnOUxeQcsyDdWmLzbAb8ET+4OYAGUr2fijAizHVBhmoLZcrII06ofoKcuBEtt99BHFD2k JbdzW0SmLCjTzap+8fogIb14Nc6+qCyd0EvxaIV996GOX0kWLVTTFi2QdA2wturzGMZiMIwSvHbu qmgBKTzX2pS1Pn/ReaylJ18g/Jph4keanx9ZWei+wEwU2QOFv8UXXJhGM+Vu8LcTO/G8cyc/IEi1 5ne100jCW3f6FfuJUnRhDXdjR4KafQBN5LkG0LX5qD4wFDXwPJyKWPNotakqsoRFa8ROC/VqUBla R3TWwunxDSt+y3+d7jzJuTSbP/eKeeSPgxoCQ9FvgxDeHVoy+8FfhYaiU1Vhtg7MObvm8L4MlCyd RIGMxiIVYd1N1hqNpLs6qKicB67hTIWIbDoZqkTlErKFxZYjuBtWFA4lWYGjaFaogK8hjYIGQ9IB P0fhbOo2YFYfeoqmX+peQHGsfJmVOTQVreQFUJ7Fug2UdJHfeFlOy5n0qGCtE7iK3iRrWWdmfXVm wMtJC5pnJ9cCGRkIRgnwY/eDDwGOn0HVUrFbjgAgJOZ0mtVS053lCcj2Nowe5875Af9Sh/GzHZLu N1RR8l6FlcnJYX2VaiWGlbEmIMRNXTQ9/2EsKtV04Yu1ersPCh4JcDwwvQCulPglv3eiO7PnhUAi 4dEtB1OvSW3ile+ixihmRXzfnye/8voI1de/I4UZdtrPURVl7vQXHMBR+/BZaumSZRrLhs9druNJ H/VnxkAyn69e5pw/45xVjekVahuiW09lA/r9Sb3wge0RHKrV7vkP6c/z67JBWt0Rt/aTw1MhSV6Q OTQXffwv+PylAWDhTa5Cb9GW6Yq1rj7MpMQ7eSYjm0UdRs9g8/EVGJiLXoGYk2buu3rWns5jvYF4 PxXhSUMGhWGgewIwPPQlfgoalA6WUZET2os2IzCRlgrb2J8lkx6QQatE0XPjB7wf7OsXWx60oJ0f AEjgMJpV88JBbPnkuTfPRK/PA4i2wzHbgcXow50o4stztWCdcv5scpSpJ7s6h1pjR4LzHPCIyjnJ 26ZVtOb0AoAEJqOZcE84u5InB7MAztvrhlaI2sgZzYbQZZQ6909zlHLJ0Ji80QqVG9Xwm/Xq714p 9baIVJXqF42H9BRGcJm6nOc87uoNv3K2UxMdPPVTDzTRMhP8wBY1m9L2MT0RWfqiaOpFXY9EQeeU XNCZ8vPLJjmZ10fQom9wXwYdCK7ovrU+r8lVVbnA5Gpb8bykXYHHcIn2TBzWGNaYeU2t6rXrgRzF XS1SJ4gD2kAUH0qFZzBfgvCIWhtKl5DMNTs/Gka/JlbVJAkHlAt90WF1fs3OgWRrC1e/BKlidKz2 1VY/BnBxSOMMwb6iCJEN3jXh4ohQg3pWNhX1x//whoAkiu2mIEK9S+pra+rM1SKEnvhoSzUgrIQX pfhP1g6zkEYYw0zuhUH41Oc1oTpfMzgMzLltXxSi1HJd4pUsXP0WImMpMCJMnNBcpaYHQ/SaS52L +FY3jJe6CvTcEOZqNu8qDMg5MESvmRQ2kdDGpZjCZZneUzgP1CmHCmQr0JVB28VYmJWk53OjEF2z pFOekK95VHW2fNvE03LR1OZsPekY1PMrfF6TKMTXDEYVIYh862xjhF5TqOqotL2rzSBgi+VKQ2hE /piQR3Fl4tcEqoImKFMHE9jbj8DeyQBPhOoWLjQBlS9B1ZNlAT9+/j0arRYh0GLxLe8RotUTWoKJ C9rMwpXBWIOZJtKDz2v2VI01w4h0yzN7AdyYIXrNnc5FgOnBG7Y3zzKlBo6EvLXs0jk1Oc74NXPC D2R40J6f1QdaFY9do14ceni50XNmNYYVtj+Ue7q0U+rFvSZOOIsAcDyfOZMv6rSc5CIrLcDw/TVt qo8Bq84iQEFPTTAsRq9JU4U6CouWfAfvbS2jQpyq4AqBD86p6K4WxGh2e/ubyRr7NorRgp1hnhTg Hxtcm97lQofSpYL23kp1Af6SZL8axAg5AuYppbAbBV0qws/OJqpyrkeb6/OaLtXHvsiCdG56w+dQ QXpNlqqzIE6ldcWqWGVwNNMB/nNVr+te9HeQ0BG/qx3YZ7+k3qrquvPYnT+NWlWDruyJmSjw9v60 sbJ7TZSq2pOQ7ZhS78AnaHBV4KVCdND5t5/XPKlK9llBYjwzGEjNg/SaI+HIRnfjpLDLgjQWveYp s9vWSlapjOUw2dcMiUe2hLymBHO4ehsYRQr449RH7mfzmuggUdgU72xsRFryTZ2q1wQJP8binK8J dkYzOUpV1Y1BDyuyU1WNz2uCVE2xRluJE1QqqOXhT9xrXxPvw8lcMhVXYKruX39q32nyVQ2OhC6m FxzcSUryvadndXwssrxmKpYAoWV5qEjepEFlHdWjg4kX3WZee5qI05bgGSsVuGb/uGd2Jk7uBy3U z2tHEznKGl8PHPX0kzha1MIIgpQIYGzihchVzQZ99ezc5Xgg4ewo3hGmSGr9ZhmmcfXNVE6MAG0h CX7BMExSn+D6ndPkRK9Vsm/3I0f82srEDS/TPLOl6HyB7VuhLvF5FeTPax+Tr7fylQFkAtwQJk8k o1ybqJSTDQ9XqarsFGkv9WxgoFFgxG30yChOpitErQpbnUu6MnDQWd6Y5+mge+FJq6FeB289KHfU 2x3Yqnjf8+1EYDlmLs+ifgj0CeoVh3tn/OGhm89Dh3r3/DaTGZMRLaL9JM/65LqMGOQa67Zlvjak YCklD1IuokAJhLHNbpyrVyXn1jEmZrW7GiAJBm5hhYyma5NFQZVu89AtB2c4aTTouPoiNvccWTll Oynf553wVx+UtZ47iLytum+c3vNuH1A1Tg+VDmiaR2Zkajdlqq6i9073wyeZH0gXBoGrbaiTYqIv TkVUlocY7ECoCjisRZ5getzYKVjweWf74bUjD1FKgWmxMGEEKkr35uVB0tS3hUku0ifmnWQ/TS6i M5w2fdAg8DBJgWmogruu9UwcBAAKDnFC1DAXrvuuFzA88N6k2GBnfo3KOqOnxOMByGqoL7vMZ6q6 5aCIyxQ04ahSi4q4fYrIKgVBYGDDBHz+KnTRZir1FrrvGXgyG6zOkpFxwqHr2WW/GfjgqaM+bxQn 8WtbU/ukOTKug8kH3Ry0cjsAOmgCqMEJzuiiioVEFDRomWpe/nk8nSOBYoMVrG+1Tc6TnrJsHxP6 cUGcRFX0OGX0E2aunhO88/yqqe/D4fUq7FLvVeqVtdvsoIOoboF6z8Oz1SpQMup39TELDLhbIfgO SkUF+RK6JgsjDsjI0znMabbZ7vg9UMJy9s65FBeVvOAOat5yfuvPO8mvGgVbUWGcCpMLTwveSX48 VTA4SN2Ccx6KZt5hKCOuBjiNPdQ6iVJxqaFmqqn66uDXc263UuhSUKE7yLk5aB/nb94kBcKFzTyO s7QTolS8UUGoaENxsbnBKNskmPL+vBP8WDffos6C+kNjBotTlIxLZWJT40DSOHvcOEnkmkADYOYU pygbRxWK85uSHrbe2fl5cxZIsaELh0JePqL07t2VHsfpml6k2XXH73GC0h+6S3r+8nDz3nO60Vzz 5xwYvDxIM2f7zgtQocMcwOP0nozjfcc2eB/LG7vjy9GwuutFw0GgNniUjcv38hwc5Ixq9TkUfAcb joJzQE0gTJfRH89raXCEhmHlNWHvuuP3OMm+F+m+L9Zqgt6AtS7z553Xh/xpf6fjGa+/UZ6OZZSP 4y0LLt/0OJV2TbOrTi08dzO5t/g7q+957iA7dlc7yM/rrmB+16hFhp9FyTsLpEnVQ/iFGD5/SpQq SsjFYJi0B9HC4IBiN7LGBkBiRBn513PH9925p558O73z+ZAXLKaZ+M+tBm7bbNVARrcRVEN5aW3L MB+XMhxVvn11ckzGYBcynoCQnY+FrCBt1ZByJTaFoSDgLSe8cT/vbD48AfQFqbndRfnTHswXiarI n3cyX5XV/VO5YCAJk/vb3o3ycWgeob5Ly8GuruIFcUarhxuM4G2g8s7lY9tBiZNjfMZliZ4dtc+9 oD5B3wnyq8K6EpdzfmA+b2gguYTe0C0HFR6l89AgPQv1ERoHEv38m0UqPsI+2+edzMd33t1T1Aw6 /xP2Lh6rqBdOzVkc4O6dDSMSA2/C1/nH1Uq6jYDfuXz4E6QIN3Z/Vi/yMKgl4A/oMfTDm9hpO7G/ AcTSeOQSljbVe1KOYTkamefgY1KO7iiZP5BzYsuONgXr887k41BmfO0qMB67lAYZqCgrb0S5ntPs ms7Qy1NtunaNw1KtPjSIsvKUavDSw/yj9IROLTbVSQ9kQwfPGFTD0Lga+FFcgoO6Lu8sPvY5GtlD HNJxUXYAGXF2Kuqc9fNO4vvVN8ALDo0z1D0epygrJ5Ieqg/eXJkUzBQov5vUy8mwl1cv7xS+ejWV Zmrlrg5CRO8jw0AEQnCQ5BxSoGoNkAImB/P2fOWI9c7g47PXRQ21pYhyDFhEmVLvxHwlSsrdR8Wa vudx39TgUZiinJw4nSmmjzVXktP3ck23UUd4BLdT1Bt3VmjSYc7Vi7zUcEZ1bKcJtZKp5ookQQHN wVncn8N86ZaDJCpzMr7YHOeiCSYA34Jqni/5vJP3uO2/ixdg/ydQARan95wc55M0urKZrsJQ+Zqu ruFqSpVUOsbpPSc/Z3k3j0zrseR5pdJx7uI9Lqm8UzrmLl3BxnwCiIWCuZu7ZNJ19Z27V92XB1qq vgh3SDf0qT2yP+/UvWoKsPd4gvAIvDI8TmFOLjbaaMn200mft/dWRnOCB5SjlWu+E/eYK6vG21a7 7Fu7nKL8/DmkQpw4JSht0OLpvM77yckphw2MiB3jOFR5x0GYOIhCQ5TH+ILwM4KTqhviQiLr807b Y4e6f+8nARu8B/XO2uNzx1HHStt7v6l2r4WFE6sSqrQe1DtpjwgCYcKKqKBcHZx5qoMJkwLWLjtT 85veYRMjIQxyWrkono1h1uedtMfmCGXMwPKxRT2DWRzwdO71887Z4+W/cs2zlScrA4UpSsmrOJi5 e++3NN9OFSoWtp0Kgb4M03tKbmblJTdZ02q1lLylCc4sc80EaK/gdvAzAoKU8OTyyAgmu+PoqWNt R9bKNJXFRHohoHo/BEePzztZD5nqqN9hAgt73aTgnauHpEDS+325N+2EHo2ypyIhYqDCkD3bnDxO yRmmmijeotV2ExUDEvCw6Gg2FCwiFgP2KbvITEyYOiuAhX7eqXro3Jq/Wi++qMLbSPtJLD6vgc87 U4/vZM8JeHPwNeSPpTDFmJSpgUu7SeZwhlCq0xGZEy9qhSlskKtTNxO51VptN6HRdDJNTPGhTN6K DjDw0aCWTn0uvOe6+EG56I6DMG0Bm9TQTN7QnO0cT00ow8nLg4cufT90OBkG4OoWpigXJ5p4YiD/ 49L7ZisKqQhS+gmzL9keuneO3kU3QWym3tV3U4a2m2noZqCrZf/YGSZIkKAW8CO8Dd1wUN6xTzDI S9DCKJXz+UU6QCf5+rzT8/7PCQ5GX1+3m/nOzWNTe7AW6ubjwB7tj3jXqXXjXfcKSACR0O/UvHrR vXW3fFeLErppi+JrRPembFpwDY2nxfSJG0lThEnxkXdiXnXp3IrEHfJu0LRhcAYVnUkeS7l83nl5 CHP6zjDBYB51eZfunZZXTVN9Nh/UgRk0fBRcH3XFQoES7qaoPU7I+rlTxUCrPXQwvh20Eu8ALp/N ZTsPkhEVpLyS3O4icy7weafkVTPJBIRj+2LNp0kAB1KoUT7vfLz6MGPtBD+/5cBza1F6z8ONODBp smDMdNJgxEzPLhcIZLzNgt/JeIb1w19OXqKt1qPb8Gyjpj8QvnUwv8EJ3s8qyH6nBJeABcPuOJhJ kfO7NZPaz0yqnyyjyQq78zaDzZS/T3ASFoHaszBFaTh8/NjK/EI7ebky9p1JkROgEzxsjZtCIBEo tlqYYMhyihQCeiE+WpbSS3zs+W9BByrgkzvFs9stRz064lMw7LdFcSrn1OomeNQ/7yQ8y+K/3nRE nV/4xTsHj+klKel9erky2cdhj64Tci05t+Y6Uu8UPB5OErApxuGXDPoklBeHU1pE85yqEQZxgl8C oJohoAGX7/b0MpNuORgFd/bGoUWGpRldsSI5ULLWV+aN/gs9hxuEdgwgnx6oKA9v7PkW9QbYJuDE WinBuNAwehlqQ4W98aSNJF1hrbahKtKAbjjVtMcSQvy8VdFpr6hBa033GF+oOj7v9Duf3g3IUos5 PH6YCdQNogynLedk5PXBk7e/sapYJ8DEFqgoE5/suK5SLquTMyFxNFK9zcziPuzv1Dvcv3BhFArz 1fsp1HyptGyAlnTRjgLYGdsBsLBzBt++k0QO3pl3VVwJ9EFn80XJU4fIH1t1ba7PO+/O7/N58grc yqqfUO+0O3wpQT2l2OSAvkXbcM9kkMluNYHbXYjHjFNxyb4mCXRytThhCHVemAYNh+ydqCwJ+nen 6ChkolQ/oQbtGt5pd9UVchMM47FgDvFDvv6CBQGbJG1+3ll3nCKMrzjhhPjZ2MIWqBCtgj98nJRl kbaZMYhOEtQvlILImLY0snMqNtQ76a4a9/ZspGVODes6NUxAhwE1TAxUhpqzOD8ZEz9wen9KL7fz tCiy9U66I0hM1Qr1qTdVctihg7SH0HEtrc875Y4djV8oMSDN27Ohwmycno8l2y5CYt7MiedUAdgb J2Qw7IASHTdU1Blv1dQTlUOOL5o+psEoV8WNgiR8l9ZkReOXWOAK7YZb3HXdcnCUyxtzFKP99B8z Oi6TY4/zGsv18863Yz6evk/yE/SBWsDi9J6PS5Ee6bGNNjO84qpLJ64i7kHrE6cLX3nvbLsnH0+p pbt65+mU/3MvManPc9b0qtuivVa0OAucM29jfOiWg9SgciOh8WILc6F0fpop44/zhv28s+0ss/jK NE+dsZ8p5zvZjgkFiRn1tlR6dw/RkzR3Rx0ipzbAeNgYn5oCqzGu1eN0YrRobwhhkZNOqVBOBNAj ZYLnR/YiWC2Vd6qdEw8QkuqLEvJZwajlzmrt88604/jBWyocX3G2tZN3Mt+Zdk5gwdzR0XTnsffp XWvVMoNOUVG+8N6JdtVUp1D9jnZXi9NJMs8m6lNVMLxWhSirmNMttGlzKVeUGowCfk/UU+nkHaAx rsV6KilRhQhAqPF5J9lxSPp9PHVa0t6M/J1jV10rEd/gjXH6ulpeMLe1nphDK0xxRm6op/asVt5h cFlmpYrIKbP35jsHzQJAj+jODKyUJ+TJ7vj9FJdqMORJfUnKBc5LgDkbmZvv/Doebt9hwkaaI3lC /k6uw1WJaq7zOhy36oULBm02ND/1tKPr37l11a1WM1QO7mq7aVNzGA8IpP+g4qIBO8UHBlm2EDlz kBihme/UuirV7YXp8vbFCFHnWVaH/JQUn3dmXZWXg8JkSiM/s6ebFITpOB1pzwPiUvAYQqupckon Bo9lMI8cNFVaCByXE0OR66NWh6qkDj8tOhIlsICnJqDntF3QgwEHMN/xAZgB/J4gJ0hT5mnLF/We oEeyxTPPG5cHSWb33TT0rHJE5mEKk3GkphNAQwtT3d4tYLvIzvBM6DTP8KgtbvqknCX56tODdN4S rdJy4WT+iRhvnE1g2Y0satZjwJ7sjoNXHRG+Y3IGzEVn00inmpcGfBm4PEIV/HrokIelW9z1KBfv 4kYl9xCVgqjNgMfjwXCR4/09F7eUhG3KfVdHZg54V7BbsICL3oZyhZ6vpuSg41hpN8hn7SFPc2q4 sqYvwvN0jFCdkIDLIzj0d8UC2sgs92jqUSJOg4u5iVJX68mJ+PW88sYVRUSZqihFMJXBBDz3uZ9V UTo7cdKBikfTyVtpasmqBo1yJCMZ+9ZbBSjP8D1BwZKFg97VF4Upn/pMvvPn98XlEYfMO3QsgM/j 1lu/Yfq7K94p1qbhQbr5wHlkfXjQq6Mye9QVN+W6vUS85+oEqfM+augAKw+HNkhXvUI0DyybSntg 4yJs9igPx7wDNLJEy0KQPaXZekrolXlSjdkKrg84m+s7EUf1j1bBjVTI2qysWEZrPo0il5Dk6NTn lceHVRAz8R5l4ktEjbUFveDqtM2TTHKnADjegAvCo3kqlolXSINkdGt82Un5giVwjzLxwu5cWwTS NSbWDFU9/4ZHHRh3mx8Q0VvX9zmO9LLdmqVH5E3OrcfId253SntrqlSGW4yNemuWHubi25RBRAHm aud4wuOW4aVwzvG2TrpRJKZCAfVEb018rStl8X3Xo+74Igu4gmOFBcW5nLITDlLKM9Q9cX3wwtvf A06UxGvdF14Pk/HFJqZjwoB6yvVuqVUMmjk2k/HOT4qaBWqP6/zRalsq5XUCXamweT705KNytU8J PxOSBqSZ8x5SNeuWg8SgkrNRphaa4XBH4Slms/l818b10cP3Xd1hKjXKdBxdjxrkpE9A73Srq4IN JRUxvsq7uirjHCxd3YIepuPCRDfega3WfqqAfFYqO+5zsMAvjXzgnrGjUGKjy/bg6DCAxhcFpzmB PHNQVWwKOit5kATtDqII4bzXo4z8AdLxOT1n4ip3ytnfM3Jzvzz7iFYLnHIihVGkpJIpWwrqAvE8 jzLyvWRRuCSDyNVZQP0UyScPZP8JfTvp4UPOHf0CyFcUqFS64QktxnvUIpcDO0Fmtgj5lNCnkz9a arg86Bf8gvui1J8t+fyuR+hxVq3nN6+yy84jy7JKighLHpgne2ss8Do/6a++SkKabCt3NtkI58Qc ELzAaV4K8nJqXSRkxOecH/iKlB/4+AkXvugPFOvExM4X66sUE3aATxMuj/aTzznpPoukZbZLv+th Uo7SHZak2Rp1ifKh/5OsbsrWgCqTuGg8elGHfBkys7ke96WZn1K4nbxg0+bk7K1inpfQq4MGPgqn uohjlYwYSa89AqvQLQDomuQLs6fzcp1kWsF7b+LyaEN9n+UZc/SVb+d3RGk5nd9OFLoNpzDE85YB ZP69FqaXDZnmUYt8TglfSPNKq731agFfp9Ja/Lzd96S8HWQdzgsIrjCwuyzOb0k8y0eUlwMoD4WX tX0RgvXseeKRELKMy4O8/I6m+ODBL2BfYYcR5eW0rDlZZvWJS5/ub4J3uL3zAI03rvkIG+QGNUyl 3dX20zrvApiISRqypkozNqT9ZOSTBQsOuGutAfiHL4ronPQYgujLWcjt5Wjq1KdFfgEnwc24PuiQ /04OkLfCbccCFWLHk9BPxXsrkLixLGoqoaImFjTXFKioQ04LzHKeGraXsLKQQgGTSj3vX8F6Noys sjyIdoEj0gAgOd/UAA5NA18TIFiZPJWybJlGA8KO3Brz9o7L/wttA+02KIN7lELgOHWr4W1jfd+U V3Okb2qOONRMEsfTiLNy5phV/i9Yi6IEVE+CojDVkdFkLuTC01FnoYtf5dxoeQHkd/E10ZyTVfAi ko6LHrqa1QmCLfbG5f9FBANGWeSQWJSijJwo5lk7+7tdx5Qh6YBa9bMpgxusvRRl5FMJJqlOvnp3 HBJTEr0/9d2GQxGjRFHkhZ+lIEc33SIKvOF7ork5nzlgc7Gg02OvuI4xCxsBJeP6/zK+g3kLNest TlFCztLwHNyXK9X+H2NfliRJbCP7n2cpk3Ff7n+xobsDjCxNoFpvzB57NIrMKCQXEPAluRAGTGCn bU5A9lucooTcJTNTbXe0hLyg64UkgECMc4shAgldhH1uoqgXLBagRMmndMEIUeMqFmCx2cCc4Fx3 NkXGaMuJxyNUz/cWjqvZylctZISo8WkejZeskbtH6RwcXhxfiKaiFKHGyXTHLKKEgUav0w24OQP1 BrFM1KJkSdEKRN8GlT8ezDj6Z/iaqHMnQhlBGHPwFkfSHhyrMEPOVbvj8SBzmp45ca8+cR2QGbco RbVxNFPy+U3acrDK3NsJZTUbtODktsk6LSPKxM1LFjBhG/H6WZ7O/eSwhdin8yjEe7ahV89xXCgc hha3hQl7Mr4nyptYxkzkBQ/6NnXt4PA0p2bD2fPw/P/UuTtJyqzlJgRxJs68aZlzJS3oXS+s8zaq NQffKlYLRpyJi3BXxUXgaDeWUs8ULZBIgPpMmyiQMxMfjCkKZQ1Oi77qmGCOMBOnvH3dVKutvCAl 3VnWyWqZhqyT1uADgnJB/S5pgly2x9V7GmEqPii9uve0csG5g+0fs4YTNAPM4JNXm0HjiOvjYrN0 gXg5WqSwVWXrBY/SQYuStP0QxgCS3ZsuE7JN0DYeFsjZMx/qdQ4YzssadcIllUsPcD08HwRqtO9t nKWdOnxOzbBETgHyoiRThRW7v0C2ZLgGHW1+eLuboZIh19PZQFRg4Wgl8gSUD0AqFKGbvasjmphr 6JaTruuncYNniFYpFFUREo6D8HRgnPK4O0l5xeNBnH4lTyhBzjH9EjyjXJy8t/Pfq9nBBWubmiFN LHW3a+d3s0vwDGvkSXi6lecd/bgD8wl9ZtoyZhgpbeXixChyWgEw7ZdgeiXMmMopPN0ePihO53eS vWE7qx6PR1vUjRO5Z+ewm337yptRLk7MxFwrXRFRL/hW2FO7iCjpFxLICnmcRVXyqiLc9nIHqiow rIQyPy4tEG+ZWnlULMuNJnmz1ovqyfbKf1XJVxOs7or1UN5TStF7VTwe4Q5/iYacx8/m6cWCGWXj lNBAv9kzg7q6S9JBRcmBrG0a725G2bideWnbhNrPhAJCegIpSMtrXBrNMgg9Cv6lcI57DGSX3jgI U6OOPQrLHJqBetAXIl73XF4mHg/QBfObQ43X/Nn1Vp9mlI9jtaMLvI2ysZJ458qgyqVstOZxCvUN Jbe+t3oKHB2feSYOttnNWkGDsy8r5LOjdoqq0U9BHuMdYRp2zZDHiQLkPGdD80GwwzM9iZ4EpbPi 8aDV2b9FQyBCQw90i1NYIJ9Udtuu3nf+68tJ+Vv9BSpl9mI1lRmhVYyrkYSm02jFAohFnDNcnYST UMGKkQVy6qQQcrufTLPaCwfbeJMw3Uo+iJ14ZkaRB+OZ2Hj8XzU6SoZsSGH05GiVGaFVKON8fpRl KRQERSXWC1GxabjoDq8iJQYzKo/bJTh12897uur1QGae34ypJo59SEFz2UG2ZhJ4LoUswexJnp5R Rp5ohtDzHBqqMvKFFg93RJBo8fi/agXMAFBMqbfXOaOMnPBLdHe2Z5rQ0TUp6HIVQwpkVDWbQp1D ST/tYe3eBxWNTOMcv+S9n6XRTziK2IlQfdg0wUxrPU0Ee+OggbdoLr8pLspBxQIwWnQfPpdHPB5h xL5Jwag0w+LSwxShVSRacN7e2QjmIYFNPI95ya7wblWYooR8ZNOnFfyLo4fpXIzgoTRkfQokepax NZ8p4APXWi65hSj7GSXksAFCaY72b5lNMEnUQlKSZeZVc8XzEfrpFwcosw7tN7wZ0jhRKB5jZ2cF p20rEKXMbRCDPqD0okCFyiriJ7Ykgw2OnmU25PaFNmbngwaCoXswCE/s6Kz0xGkVvfFf2dNAm8YG 4QraLG7QtTsej2A9v0p0ZzrOPX0+rSgZzzRJXtMPu5N+LFcXTe7e0iAYaYIhK8KrUMgcgk+2O7W7 O0Gc4qQFlVlmhvTalJIYXTvPQgeO5eoZSbJghXgV2gcO6aqMq6sCcdFl9MRa8XikZ/RdVEHDc+G8 tyiFdfEmWZXu8lhrl+wpZim39AToi6IU5uJd9fC19x1t1SFFPRcK2byVBkpZsV4wyCVnPlCwwPyG WXpaYVWcl2Aa354BTslDvZUJqVqmTuc78Hyw5sp3tWCC7DputWCF6uLVZOmG41VoIW2KKiYfAiCT Z04rxKssq6Zsrz1xAWAyoUYwehdGDA28nFR7wsWKLjGZjtOeOK2fhu+JSnRZIrXLB8F6GmBITVUD Ph4l4r8a5ifGo1x10RXTOOVImT1MFSh4K/gS+W3U6WyCBSuEjXeZHnQTLujzejKj2F54I8ZhkQAO zCr4kn2DSjtd0S0jSPbGf6n39cz8Ej/ljziuDRx2FmyhnYPn/wU5lBslCP35dllWKDQ+uIdL0HDy n/TrxBW45u5+gSeTNueRFfI4BZCDEMgd/bCD2M8WDBKnWsY5gcOO/gjIOX8AZ31QPVOvHJTpCIgm pnpBT68DU4B5tIFh5JRCMjjxCVH69H3cAey7x221rCgZB+AQJQK70eGfzTt359h2AT8YAlmoYlEV CT/ZZZijUTbOO2QIRWPpYSGeLYEgDIg9A6cCvAV0r8wtMFGUfUVoFVMJ6cyflmu/N2ijNhWiToqG x/8Ff8qqj0M6/0qJrSgdX4Qelt1dwW/ulqxOl6jRLwwGZowCFabjquZSysVHm1Oo/oGcXdUy7yUb UoykKUpFcvkbBiMPe+U/8E+wc9sajAOE7LWKpXgSETz+vyhF49a6USCxOIV0zqTs0u4qqBZM9+pc 8kraUlUZFqe/6ZyJvVAfL6msg2qciT089/uyzB+JvsUFgJ4CNLZjVXBy4Yv+SqBAxtJQCM5pmdkt K0oo062wQv4k5LoMonN4HTVWiB8fzKBGv4YRaVuiiV/IAHU90UCKuuNhiVxZ0RgCaXJ0liKcUgvY ypTOxKVmcULRVhEaeOfQovVkZ6CwpX5WBCAf8otvdfiQVMQ0oXSUFfmekYz99w51bkxQVnqw0StK yRedmCE5ZCl50WFHaw2ZydOdjLIMjNQ/1MfHUNuJoxfqzkU7ZbhpdrCCke2oUCfYObT3CpRAHENO RsKKcnL8aGxxTh8E65kwx5E72ayfFaJV9q80ipOaLTIGakdJOfZ7ahy6Ml3Z1kCovdZrKwwLKOVR OyR0ishJH0Yfnad49qizNzFQHXrbVRWozgoUUi+swNuekhH6jtJy2H5AbIl2P2eoMhSByOuqgmhO Ph4RgW5aLgLDSXZBwLVAvefleIwA6VW8dU7EpC5545F+mtNtFPc/5Md7mvuOvkmde9vZYRNuL+eD AIaihN9ArTdDmeHsMbdUVziR8UVBEYp2rxvYNhtUI4fLJ1ti1Gnf74k5LFN8M2cmQMZUv6r/YWKe hCto7o0wBTHQHtXc1GYxM2Wc4sRcRZVuJc3+lDTRjcIvP7iZ84qydH8BsUzow+sWX+h8jO8JwjRE lWLnhYOoQGsnOdWdHWPg8YjP+Y3qAdxzPPjMHfI5F6WPRSHj8VdcfhzQMRM67Kg/W5hCvEqW6Q8N C2x0+NP5ULQ7M8MESKJU2jtRj5B8+OGW7Fs5WtT4okhmlL12NdGrPEhw5p0Exy4wG9MpQqy05EkU Ma84Ofv2ZHOHGHJcb2EM77ieJgosZdpH9wp5pRYQ4xTzOdlBoIqTjzadFkoqbW3l5SeFEroFFuUZ t9GTwfR0S3W4QOFr/tQvKBJcw6FcjJx4ZlMx5srG8/8LSAx4plUvFGOHQofNOBtOe22UWfAS1DXb oLMbwxQCVowqtaSMwdHCBCZ+gmkfSlDr/KFJjhO41kC5EuiefNULsMPgayKfJPZbMskbHFTRTEz1 WWZJC49H8Kdf8n1Y/andTHNHiJVM/b7zyZdZ1rKr9QwCm8Usg8SAwhTqHOpP38VYLuVhuQC4cG5W 1NQuDQwLZaMJbp1wvDmbE7OCpkte0xtH7GCqPi1KIY/lSmIDZsRiB59cDY//T2C68/OQJGZhivVV cMNbeRpPETxhZQKAEZnlMiArDFPnJ/2FzZRmjo+XHHzWLLGEcCY7GTldcEG0wAsSkQRjN1MXZfUN 3xOkmcya2iSEnIOFae6qC95IfDwq1d00U+IQZyq3Z9XFFXICMaoz8M4/u3PLzqpNNp2gK2ZxiiEr jBPSgTt63xx2SRBiZb/lZAtFUN8KgboBIXlsXA5YIcR+h3TORboG8JA2iByMBKMIdFgHHo/uwb/a Uuu8CHTzPExRMk4PTCjKXwremG7ilnJqd3Oqdm3ZEV5l0ujuLE9tUhy98JvPHs42c5f0MXj/nE4T wnQssEAkS7bmadgbR4r/3J2gvmSDbi2s/GrVzYHH/6Wozf9yVmpxMdECCb1f8Hj9RQPbbsJ7uQU8 gRyqGBBcTiAGPiqYUU3Eli1pAo5WW6kny5E+P9CZm5djElyW9FXAep7YeqfcW+5LR3ZumYIhVBo9 BwPyLi65TjA/MWq4qfIjou38+/KCAxf03HXjFUoe0q87SxprO7aOpISyqplzwmikuPZ/io04dW1J QpFz9Hse8OgVWym6QSmhD0MIVEOMK4x/CSm7IuTLXjqS/5dVN3SjB430zESiqlxDPEPlBwQ3mPat wZaRauQ0LiqDjuHvD3bWD5q18XD8TStIdTJc1FtI6LNbuEIseTPZaMPYpQdjt8jQUtX8ZPlgbzBc mRsX/w+oL1w9ZBYQKGkWAFjMzoWtvUI+q64xUM8hGvEcjPyAIKO6hFjixCDGRn6uRyuqnbNrec6P 7ay8LbFfdNMnFQO4GNtymB25hu8fpUV4UtB2R8fZwVd0AdTYgVyqgKvzygepVSisNjqN3CufLBNS lKQTuwmFrOVDUnnzfC4r9ihS8PmofP6dpaPHuB6SNffqIJ2jXN328jnqa9s3rrmmQxIxSy1WUf1c ELI0V35GR29CBIwifphZG8q2Kk0xYWl7kt12S1PM8PlNf/iVoGU4fDBoVDdM4vln5vP/ou3L8o13 deDWPFphBR3ZKyy6m+Htzs7hXCo29sSlogiqbfN/65JDxecZ7zqEATLAuCjkwTIjS7698CYErAKo tCaYUehIxm+KkIks4aEFaYNm1tl8lbGf5ajn/2UiLHuXybbQ1SbPKTYMQj+GqEpt8vKwZbQ6hRYp RVrYPlG0oqx92ia/lbVz9NLLAoP0HBqkCmHDp0YU7SdYR3dRH7M0y81e+i81Um6qNqj2MsRTJYk/ 8/mIH/uLfAZoFc6eG6xQiUUSkvBXFu/z7LIS0YKdIK2nNu/L5W5aYTF9ydesCwbF0TKIs30DSEaJ cqx6cEq5aTX6EizIIHKL16ZFTA2/KZJoY0WvkVNM9SF1HU4eR6VngO8an/9fQIrARp5Xe5yDUpi/ T2aj6QI3Onk4ujWP4VXigWa4eS9Ggiym77tze0ZXPUgDpsLEnJclIjHxd1RMS0r5x6PALTOqFLsH UTQZRrU2iNU4M/1GiUoofD5Yh79yLRHG23xmVog630mEIa/EsFVp1hxlG8PqLEK3Gc4prKmbUyWk tX10Bij+15ZRdDxZyOoVMhQoVUFMYPMmjQqjE/awrvhFQcmqsVYFCLMNFiswPlhTP3sinw9idYEu 5IBVOAU8DmehpyeN206eda1Paa6pUJXtAjYS5TaryhDq0oVOWGq/c/QL9EliEtxMqHxwQjeFAS0g KE2oScKz8Walrds7/7EGgThfPhiu82S5MvU838Xn/+WArv7D+bXq9THJoasnrVemibaycDX7tWGk nKyWYLr2goGt5xUiq6pKafRQnQl+Utw9FSo4W2tPg1j6AGsIBUaX/yNkMceunixdzSUw3vJaA/QO KDsHzmLl80H6Xrz5J0MdNJPzte+KfT2ZYnUJuDJoLWuHAhyPwKAieXcrNuTQ2HOVabW9dUev8Z3D 9cSAYGF0lXtWO6dBAhJUF5xFT6hKs3f+g1lM7JoGc4TruebB7zxrYPD56CL9zXLENjEev4AceHuq iw2oi21KqB+v689c2atBqDLOCJtUYeYuL7huuOF+Nd4BvFhmB49WQaVumxAf4KzC85RsUNNKHM1e +a/lR30IGzipTtRBKOGmhTthYO6J5ffLpwO1hr2fSIVyiZvMD17xWek7+Y65D58cAhx35lZJ+QQj FTJCi3Yo8fM02vJDr66uxnQBtjuNktPnQ4DrBngB2MWLo2J+xC+KLE0qEUKEWHdeRH8kg7mXWHxp bD7/r56y0v1O1bQbqkjDHPdWEAzXtEnVdndF/CUaSKHGHe5tWn9h0m5V0ZGf0UK14GOSkEV32plt 87GqsA2d9KRAp9exVH3aO/9lQJxWvoPV+6DpKjbRKnw+chP6nlWAZ7eWHqfmkBiaJDu9m5exkoOp Gi7rXsYa1VBnOfT4XGrs9SWtP45+v6kwlm90SCfmt0n5h2JtNCSBUF2/Jb/70n+4Df6/biksSQuF jaEIqDeNJN+/KWoNJPh1qVc5tPlMWXjPS3ts/dqhbgJbpYMA1SzZMgY+n9mtvFYzxeA2bk+iwB6O 1A4gIndzlSTAtxlX8lCaG6UTFMVviljZzKsISzcYL7eogeal2Fep8vl/8RukhbNRTP6yAI8S9o2D C/PmmjOm6toahZhcQYhbzx6sf2DSh0x2NXqZIUMrcUqFJMGhoApShfw6o/eC5k2/UKHe7KUDKwHy aAvaNTZo34FZH7P3yjJD4PeZv7iPaowh8UcpzYMVwtLBywCg22mipW6fWUbPhlDZnrc4Gjt+qpg8 V39GT9jb2jAZyro3g9mQtAobNYMhLYmr1KVhTXvpqN/FKBVOMA52+DWimjizNp8PMvbiNRnWnavK I1eCK4e+n7zmTyo6OFW0Nd+zRvLSeym0QOv6qIjzL/QZlWBsdHBVHue4kz9qw84yBBkiFhckNppv rqfr1eylI5NGVLCw02oYMtXr5yKbJLVx1gqfjyQVv+FVDa5F61mGofXnRNcBsneZlQU4oiFRkxg1 7FKzmCG4Cmpmhd6fQ8tvFfMoLvPysQDjPtO0EUCOog8QudizIEF9/jf80shxXNmNHcIcmn9mbeyL rR0OdvyPpHLs+efk8xFXO33dBZEG7FLvKoytPwEUnrmYMgJE8O4qRL1E86rP3d12N/D+xLzSddmk 8+ejgkdzmAl9Eq5COMzq2kz787NlAdSBHMUrozXZS0e1PsAbW6LEVINcLL8KrwnNAnznyVT4AVHi /suGEDeR1PYzs2JYDDvPexsUdJydeFq0wMS0yijpRzazYp1zyUx1W4X9rsIzsyCR0KgpMQqKf7bB A6BxViikjohY12mYqr90gGWg5dCZi6Qkb0cC7fMZKl4DlMvnI9ZR+ZpZWIUQv72xCq2HkEWeU8pw n/ind5+raVKiINOI2GfuHpiAnr/Aqwyl3tH7qtDTpB8wrobw71RGVpBGr8Igj3wnFi0GcuwCSnfL vSfFzqkcJwmODmc//KWjrckPCFbh9DLDssRhPmirHBqBNqv01QsjWtu5bMltiXB59hZ0Dp1Al+1Y Uz0djp5lZTrHLVX65hpMhFHpoyoXzqJOyYTyVZEJnUBFgUCV2geDyADno32+Nj4fTSsvXkk4EMSa CwjNoRcofIJR59vmGTNXHQ5qSCw/MVQALnqoQpFFTauTP8872j0H8vZjT7br0zmrGu3WrkHa+bkm 2WwSnFrTXjlAf6RN3WXZB00XTZi45kjMu9fN56PU/bt2BcjNhO64RyrkkTZuVtKRIExmme01YDJ1 OUym5uKR+ocPETRd7ugVmQpQWhOjbVJQiY2JJdTTkIfk8DZOtliFSBkisWEopqFQBvCcHhBGJsL/ HBl8PkoZvo/BTS2l9GxWUeYO0QBQFbpXr+Dx57dnytfy9txwpOr2HFiCmggo7NPldZmqe12ed+jw XBbQ+OzjqEFIegogoAUFP/YIlVyBEajvCQ5Bnn5swtkgXFoBLJOt1HOu8/lIs+S/sOs4BGt9DsEo cU9UfBts9arSB7y0uaWNi1KbxNUoVjGhNFmFPd/RUoaRIVy9aa3eGg8PzqtG1kcaqL8gbChQEqdW 7KUjoD/783RFmTSobdqtzi80lYrWzuf/l/oxDuu9r4BCDr1BJ+vHbdxDsLXpbo4bVyVzeN7DS+2x OegSRq2IXcrR5hWskRssU6mpnwsvBLg1orYAmAtw+o+xerdXjooyLLFvzqu6fV51iEuKwMVKe+AO ihX4nVuhzrj2swJDe1A4ZoAXvJbvVnMbCqtKg5O71SbRkLMq8AcFu2Jrl2rzjr4CJyBS5+5EsQko cStprQlyeFu85kcjT6W+wCBUbhALFds76AaMUzdJvGRuPv8v13A5Z9NT+5lUsRj6kG7nNKx/c7Vv NFFHctAxEmPl7KFHqFDHaWyB2TnebOHc2Eqm+yXcsaspBJzEFLx1bO9lLteiKl29rtAmVEyb1OmP kgTD/xH049xtlVr1zA/4p7UjUWsUZcsrP1MrZJk2ik306SSSOoajj7uqgLIPrzdeYdau1iA2tzt6 hx492dVpyAdJlEXTY9xxcHxXemKiO+eKVOrQB36hEkXARZD05Q3kcheKoc/BPeT82ajLBIah/315 piVyuvKwOXYMpVIljIMsWGfhu01vFggLjBvwvj1YIaR9qeFgZuvrMVuHThtnG/uoo1R5zIGbRDln SAxW2O+6KhXOBX5T1HQm342ScNBhgaGBEDIlpcED+Fw5Mz8hAhZ971r0hsJ69mhFiTsrB8NA/5P/ tFrM2d9L1X+KXSs5ZC20DpWFYZpD7jocvZS8UcOXuEKHtRN+EpADC01ZyQED9tpLydSmyoF5aDKq d5P+sDyFGau5ExXl2Pvl8xGl5Ns9FBbdA3USj1Wojk4Tw7SXS+oCB2uxyjIZBzGXxhUWqwgo0wTv o7mIj355xi3CBBnBAKC8I0pY7BRm6p21B7DGn5bfFLUICdlGAowBDXd1myGWwDYYHB74AcE1J323 c+B+C/eEG6xQIJ0Gx7vt5HV3goEYrEKTZSLW+lWjyH+YiGpCWf+PowWrgtwN6AyCNScEOYVYw2U3 U5wCBsW3hrWXvXSwDEmXILPaBptYcJsgquhMUz4fLMJflhd4B6BQbqxCnAy5b43GSNT+buPCkqkX TZF02Fo3n1hh8r6VkPZW7ujnYYMHtBQHe0H2lprOQ+TDsK06V7P9ILBUdg+NRDs1c1atyQflVgVl RZY7z6vz+SAhzd+gIuxzSN8fvFroJVpJI0DB2qIl/W1GC0UhszRccnNgtOL0nTOrmpdKfbxUGoz6 SpY97UQDk76J+dxbker3LXaJexqWnPyl/0jf0amVcT2iwnCBtrSVv+89+QFB9nBVwFWlh4TsulMr NBRlh/9Mipo8K60m8nVuSvQeVgOa+pzM3wNHURN7LFCZHne0Vv1J1M8PAmTpWdmtohWqVhlwdZ36 XulxDivLXjkKVRJRMGtI1n/u55IxdXkBqCFwFMW98JeFNgRT9oO/Ch1FpSvf7w0amj8OamjcZXkr 7OOCikJL0WVWfYJzaLS6TBkFauCb6l4L2/0WqAglwkU7vAqKny6FQzlWYCmKlJL0Luak4I9lEUcq ZCmYcqBBsfkBwUl45eKUwGdQzG6OFbqKsup9LjK5+g26mOoQjNbytFjJqZh3ncBW9HHS5vq30Zk5 ZZ2LzqAAYQUA36iYtGFYOHCB5XOoWir2ypFHDzk5kwsQYDU5z6BBtCt5f2f6Ln5AJGmZvkKFvZ0+ ch6qKHfnHJiVyuzyHC+pOFamXc9xstdtWsUSMVx4tVJVVqNPq4mW5Si8QJ8b4u7qkaFAB/+T+oNq tuuepGSv/EfXC6qJxQcVRs+vTpDwOQUBwAqdRX/LnkBUYeEy4JGKxdNxyxFw8D9m2HOVmTITVDSf x86mh5Zjb1H99RPaST4+OOSde6VORUPGDeVxoLZxKd0oKIPTdDWHarV3jvJ2y0STD1ZrWHmphgw3 yBy6i85fVBO4eONafEMV0lElVMGyDSViFisbJJqMq85EeqQdgYG9aFJfFnrWqiNw9Gbqah3Oh5Vt nFkmagxIGNDAOXdN3GZQWnSwjO44ocEoQA/IFIo01CGrI6OegauVSMu58QP+aTFKCRBgIOGq6tGK XY2m5K5dJ6awhM1UVEkeU1GUBD1aIS11K63aq93xEk0qtLOJ7OsN1AbScs49tDFjPzOu7XL1d1fx lw5mViF9CaLEGIAKUj0GBTgqN2ZUG0Kj0fIL2pd7YYl0PRtWVHVHXwD9m1EuYGY6BmQnl5EbHZap tgwjwEyVCKj00G30qjscawHNYtsL6VVixpAhMbFIkgY61cWKd7Z3jtpecjHoyQc1KAZaOMyXOtgA gd3oN7aITBPq3xE9rGB9XrOrZnzJc5rMbbfnJZzRooH6Gg5q2NuULl9zqwY9UNXcSdSz0SJ13mOB Mc8rDnp6VTX3BAk7eNv/oNvnln60jH7NrBpgQSy1Qx3HBu1W53cVWx+c489rXtXQD+W2vpWP9Qqj dqw+wXmjCPH465ndwEXi0vRkfctgFEfEHHdTDyLktodDlXaOdrGZ0DfskhfAbXDS7y2f/P2Mm7rq SADNEIPYms9rRnW+ZggY01g85qAQJZBCmSOdSf15TacasP/JQ8QpA1ksaHIoRq/ZVMsqjM8s2wLV FVq9qCsjEzboTViMXnOpZrhcULm5l2u8CO0KgSGarpWza08CeXA0kkd/XhQuWZZ2yhjyNZNqMIpW XkC5ipHNQBi6P4w1tRja5zWNalfr6wRk8786YTBSLUKvSRRmEbGhqSzvQqQ8rb18/ix3Ouy1FNuT XlOoBq6iGRy2Z/QeBFz7YECtPuC5J4tMWDZNTc5NERVjixCIsfiW9whl0iIgv80B3XrJpELjUGrX J1n/vOZP7Yqn20JDptlR01eIXrMnPCRH3dJdF6au5Cl5w4ZmNeLieeZr7oSFxkvLme813dGOOHzO YLzPnS6dSyXoXKQlNRKbFzY7+qyppUXRuNfUqckbYYH8231QrYU+drKYq+3zmjjx6e/NCOW0jQ6i YvSaNmGhFYpa1m6m7AI5Ox9pejNrsqdCj7UgRtOINVviVRy97QcGM9RmJDGUapMWTOKBfBZ0hoOq 4/VKkgdrECPguAE868KfIXtgUnn+JaWknznOwfaaMGFO8NaFmFAF7UyrjV6hgvSaLWGbl4YHfZWl WLVMyBl0wO3a8qBCmp9KFKTxSMr/l7T8mdOp1kG+CK2GxkjqueMCnJpQSv2uNt7tXjOlZsCzSecU DPg2do/PpeikE+RCnp/885ooYTtbvmcznhkcJEhTSFg7mkmVF5S1/a5Cy0Fp7fY2XGsXdBKTJI62 bEO70F7dRi+aLxSecPGB/g9woMTlnW/qpMWf724sRVr6TbGq1wyJM5Ytvmm+F0jIiF5uZwKurex7 pvF5zZD4nvn78McpCX9TRem1ronjsDOVlOgnm8euJ3t+9JmuwjXLplSJC7Ztk58CZvSOdvifbPjE uVK+gzg9IotO2s0mI6QJzt/Yrj4jdX8/rzVNRAl2FyfWtWkoP2abDfYu+TQZ2qhBjIxNQ2E1hFN4 hGFBei1nYvpOZUjDg5TYz+fGTXMgQyOgLyP1jmjj7tYCVabE8cIXd8v8MPjDZyjMM0iTpOEOgZVW IcFkgDwqEr+WMvFj0Gp16x63BQjGnrTgCczldnaMz2sdsxl37+5JmQA3KnRaHhml2iPLJyy7oFft fXod5Qs2tS5sKsq1Hca/Zc7D0SbT2UzPdfdskuxTJdAUdOMlMLvhTybV0NVgdON9T7dRRyG8E4ek DTzhQCpskkqY1KGIltzsX0vu/Ig/WLgepyjhJrjlXK+3a1jWatSH2jLdGEzDcjpoKs64dWsrqmZy tDixHJBlQdfbuf4s6r/sVllIR821yaSgSrl56JWDDZz4Tqoh2pB01V2LwPATsr0+73y/ZvKmDAsm 3wJ8H7wOi9N70n2OOZw4gCleRS9VmxCntbZjEForF1wWxGlXSQPUPu5ocTpb04kSyDkwKUCSo95o QjN90d0gPX7seCW+8XuYAKNcmpI2WJgaJIJ/qJ/Dx4OMaZSv6UR8GiDeFqYo84akFXp3rdyU6YZp j+X80V7vdIpS7y727DSTgvllUpBABhmNPsfnhnviUHnSkVaYqUpagc90oc9U9crvyw7EOF51ug+6 woGIwQsR1J4+70y/dukgxHf/yEJlQwLGAvWefwtKg1v68Dqvec8xt5ymk0pNRQ/UewKe9UnlLFxW RDSqzwIzmYTLMgKV4L7K5iDlYSAOh6p87uk2WqaKl+H2RPOURX3rs2V3taTOUZpEEv6BH+fnnefH vOs7JaDe30Q+YoEKk3CSt/euFqgB4T67yy05GoG8nSHvqkC9Z+E4EkTva3Kv52jXXViwTNmmgzQM I1czUSF+Do5zWJVOs6XF0zvLj1sqr3PSQOOgC2/eantAQKZ83kl+zSjYN8HM5AyCYWVxCvNwTqiT driYEEyGvIG+h5vWT7QTVDmJEvGRFKdcn9HLcAtGj5Nxag03I272CRxlFFfPR3YUr+VznCWdECXi jeW32mgpykF9gwKpVdND3Z93gh/mU/eFZzGFv9qdTlEmTo7xOZDMyxAg9PGEqbtZH5hmFqYoFVdt NwMndkdPMnEPbtrHwX7blCLCBTfBiIo2x+naXqTZ9cbvYQKi+Cy4IdqarEGx7ABeUCc4dT4eZZk+ nUTGzazDeQXlndrXTJsKaiVeZGr0jlMR3MH6YDN05xRFyfigPl4G6eKOjjpfMFJGWoT9qYPDICUK mTnjFaA+cn3Yu974PU7IFJcktGzwOlOWBd25aeXPO60Pf3D6rhCcvfGkmOWpV0bpOKb7ebOSHbdS xjV/hCqdLzuoE2s+Rfn4kCVtkxyQRtvHz94BdaIhO1HoCGWjicKwi1zzPJLD86c0qaJ8nMTakyuh cK6BccJti5UOONCtzzujr10ag++dKFwgO7GSZZSOt8pyt2S65BiW/QI8mzfrOphAVrMM0/FpHk9C ruz7JhD4oI32YEsFBKAhfd0JUjnsh1gV3LfehAX7eSfzsbCx2aQr3QcBVtC0WYImlvx55/JZWvFV cRrkyHXPM9+5fMhOlRek4ljXntxevO2dvZ3SUDRQoN7zcSRQhLrOZjS19nTqRjkzZ6A+APpCKdt7 TwQ1QNoQk2A/CnpDrxzk4zjvBty3z1Dgs92mOk5wAeQ6PGGf7fPO5eOR51cXycfWnw0HCQtVVAcn 4eLM5u4w1852hiE3L9YcyHoL1XtKbtVBQDFVy+TozYKTLCe6YZLVDnk4cdNwCEIWHlF6xBKW5tR7 To4aFyXApZW+QTcsJjpybgHZjArW553HhxedXg3XSoOdGR1qFakoK6889Kjd46ryF0DdV/VIJYhS KlJRVp6SXV7yvqNf8iagSTIUnYsJLau9Z2dHQxNb1QCRzRU4/JWjScXynOgxHCw5SKlNxWzWzzuH r2WHt9regKrZRsHPvjRKysEyQkm/e665qhfFK0nsJhSUvbbyzuD7KhpMqfAvb54BgwhYUoGpfMcB eBJbATbPhgXYBS55a3rf1zyx3gl8nBDEtEJb8wyFiroorgxn0J8zrH3e6Xs4m//fJoXWnocpSsmp LjZRDfLaCvyqvGZw78Idkr2aTlFh3Bxn5qzrjreciZbxJjUbGTjsFtg8oKEBk4NKVyzfzJdeOUii KB076eaggTs47FwFhkP54PPO3eO0/3XoVRBw251O7ym5evKg7rlHgSQxDIffltczWyq+Qb2n5LrL syaufhTHW1sB5n5yg2qADRCAi7sG61U8UMHccaNM+q6+U/esJQh2FTkxHBinE7Al+NCZI/vzztzj lty+5hPLoziOLU5RTs6+A7IApzj2tqfXVuQFKf46dgvkmu+8PfzMQoeVqThxVA6VIHi6ILtPnDRu 90lXvBO0nw2CBFlSto+zA/Z5Z+2x1kYFl0yiI/WUxGtE/bZPy2735521xwL194EH+X/gsDxOUX28 pSS5xeVX4Woo8gqZm+Tb094Gznzn7DWXccn1anSlG6eSUAEcNMU6J3hKU351cPE7uwNQYJjFVlvB +uQbB7km5SPqHsuHZFt3m7LsS4OPB9OJhqi3i3CmMvQzPExRSk777PNo8rZdWWN599f/05NM12zI zHe6HpadhIE6PdNs9JQ8n7mZVYOCttku1+uIb5xp5XvRO4T7vpP1uOqwi9Mu1waVoHKGdf0PsdHj 887V86v/EyaQsCEpZj3yMCXvU9eV5qCw5Ca+Z6EZ6PDcXdeyXfydqdcem8ylMHG02QTC4ckM2JQq Jw3Zhts5d1aJS6oQ5IUVIIc+70w9IgnkI0qpRQ4M01kWYyzvBn/eiXreqX4WHZwNYR9nYQoRKXOq onn5QfOGKY3sopQTn64wxQVyXoTJ8/PRZtNAM419FSgq9eG1F9jV/SBfP/8LzrkudlAueuM/w1S5 lWd3Mj/BP/c0nlVwKPu8k/SaSA3PbAIkcOTs9+B3jh5TeKLsdcGjdMu2W925B49mhOK+yCvHonun 6HFvEhqFy9hGn00I0disZ55DLasvVdKAoRz4Dj/glfoW3oZeOLjesd47Wf/XwCgVFFWWsHOlfN7Z ec1c9xgUQjWQpqzblXpn5iFKm+oj8gVBlBLZSKRdZ3LjGaVG7h+A0O/EvKfbgmLTHS1K51hIoBhy a0o0UBF5j1Vf6hFyIqmLwCzu807LY9VXxo+sFjTquxDSC8dSCZ7AbfLzzspjWSV9LToQmEddnjm9 k/L444hGnN2iYJNsytlUabEscbfiNjPvnDy2MRif1VV94miL7pwliFSFBy1mEmy0OePQgIaDOoq+ 18EXzKXPOyHv9u6SvIs4qPi0du9ZFLNRPu9svGY0nNvlRLY3sL1ZlN7zcBwbLMzNlRxWX6dhxXse LI0jSgvyFIpSVBpPUp5spT2jZTGTpEyYzkHc+SR4xKeeHRy94EnnMGCCHVXA68o7Ew8HKrkaFLS3 Qbn3SfgolHDygc7XDCZT/m7dgY4GfoWHKUrDqe973nRcsZHbQWizb2+1sEiuHTyujBdLK5/RwjRQ ZayNLU7cqWcWW+rMXByK0PgvcCNxgidRhe8svCbyABx9hFFp3pPagKhO9ePwc76n4f/dCu6mw+Fx itJwbAgAqfTlgHrWQVijG9nwPXBvGFbyfWfgPSddIVYeI1PaSW+ntXItXR5Y585LFjnitOg9l6AH Qa1Ir2XaK/+FnKvJhmJsRfhcNEqHwRaYLxo178bXugMHGERlD1SYh5N/V1vxPHyVVLzwpLYwIU/D PaHf6Xe8lla1oixQ/kt3CH/Cvr5LAP2k2Z2lF5iFIX3ASQhPpruN01/q886+axK/QMWJWReBtEy/ K/rCNF/5gTvB5519h5X3q1BA84advFDwTr1rJgQ+QVu62PDuFA0cU44NT8Nzp6g4PkUmS22WO3qz 5fw5c+eqZgtIZGsKhIFv74AYQDPpGmFR4+CdeIffljQyAqptUPI0MoTimG7O9Xmn3eE9S/1KC1A0 PruCH3fvrLubFpxNYhrquYqfAdRzF9Bwyx4TF2CAMcNUXBQyMITvaHHqJOx3ad1t0MZYkwZ+Eh4A KBcAhe47FDECn3fWXZN9AJX0bcDypufA+YymIkmbn3fSnS3c725LQVeqeMn3nXTnpzkUhSpdBgB9 NtG7CoQJ/jnAoYE6csWEeufc2YGNAI1e7mgrL+HSO6psLRL4JkL1ENWQQen9KfhlrfJEtebPO+fO V94566QDsZk2UgYCAG6d9ml93hl31v1ToBBfyC+sdvPMd8Jdc32tIpo+Oa/AkEoR8Nwop3Fe56SN KCZUVBpvEiQFvfmOVqGrIJ8nTBcceed/eGk5+yeAY8iiQFpd7bncdb1yBMsk0w4rlUNRnCpATCzY IpH6vNPtWKG77Tt6YbF9MH2HeqfbXfQqYCpaeSjNmnQiME8ucDeXi3K+s+1Y8RV5ZdV2R0vIT7qx zmHXOKFAwwMvFVs5C4QVresCZtetjA+9cpAbVBrwoGdig/WlIKHEf55N6PPOtmuXl+ip5nmTc5W6 O1RYGR9iuzaHP3VhxAWF7u42MOlmwAkVVsbl90jLcB/9egfnbPoag51RTubZJLaMighyS7P80C1Y NZV3qt2lHZxrc/VBGTnYjdQxaGcpfN6Zduw/pF8bFEBCT4nunWnH6K7Hqg97VSteLcA12PwxO3ps OvHeiXZN0ny8/uZ2Ry/RNZhjJrWDVzsHuhZpQzpDwlwGs8FLdKhRfN5pdowTc8yU7uBt87111TuJ yOedZIcF1DxOXKN0pR132cVgFSJXHZIJfE93CgsPA9WeWvYOwjvDrjklH4L79Y52vzvrmnqlvLmc DyU8hEjXc+BN3O/O7HskVzSdosL44HnXpcTZnUqLMiZuDj/G3Hyn13F3q1/T6eQmP+LoKUxRRk57 tLPnW9sXalql+aor1fOnRjkPhinMyAUSm0Zo4Wiz6RwHoO9xGz+T7mSSSRRr1JkHUxN46jpIjNjM d2Zdk3Qf8oExfFAl82RoNQscPefnnVjHtOB71Z3Z+INWuIcpyse79G/nlYIfZQkdffJxidLIioFm dJ2fFDWCVcnM2sw5GrgAYvYwpk1+D95ilxOLcfIqeOTl2z8AL4DfE8wmikOxem6Dik+5Q8aK9ZW8 8XiQZfbvunhlrX7evSnMxgmJnvLUYX0lO3L8XOOv72OhpQf38KgubmEC8vWOftYl1tvltoqobbVZ OhQjzpWIrKPHg12LLoSqbPQNJuoyNmhvGpjBIiCWgccDWMGt0eF/QC9a6daeepSMw6wBrbpkYgVn Ny/uwXA22yuB0Z0Z1d+TcWzhQmY21Z5Ge2pPJz06qXrSooOdUpZxHyDMapPP6g6GaPnohaMeMLV6 klSO0nZATz+fJSPoc3/E4wEeOn9PJlS0oW7qUYoycfLypkF6q5afczWKFEppIorCqqIU4VSGcHTT dnKOihIcXEBd4tXu7Hfn/zR1DaQqDd2AwNf1WgEwffie4MYy24vg9LlpTcoGYl7ViceDBLN+T6ZB 38PuB10PE/FKClmzGUTtyO3dAy8gnDBBKow7eI/K4l1/ehb+SaPjniCzWbrUpifQrKylbHIIkDms H94tvVQgDlmUiNMqbWARc6C9PW8sZ5PgooBDT8HzAWNzfRefAKtFreBOqJCzWSmPv5oZL4w5tgEO 4aMtYE/DTcOc0nqUiZvJcSKt10bfxEeCqvQmchyepJ3sH5R3ESk0oiHecpUveAfuUSZeiBw/n8PU CQVMaTadnxj3YKqwjc0PiMitfmlRaSHxwL2TKuJuEtaGI2d6qLJjxGoZlqKffGRU65f3KBkfyaRB VDaoX8ozqAMmClGCAXyOYelxpkwhpkTjGTQdXCor2yv/1TDvSZg6VJPYSTo79BhStx51TzwfnHhj /Vp9A24eTm7pYTbOrv4Eks8MVgWmWz/mImHgTGywClTI4BxqlC9hyDnanDrLKZ/UkgxOJJykSCNQ lDFIhOG1Ne8uVbNeOSgXVCLEEi34SOHjPAL8KMlABNsino9W3zfwCX2pUS6Hs4clcuzOc1LUA3UV +Egk/hNiRuRqDBqxA1XK3TzOx0UDJuDRRqsX7HFuQyXxGnzuLTUnOlefvxa1GKDufypwW1eMdOqV g+2cIkawD2O8aBMsfZBzcUnMqCac93qUkuP+9EXkxP2n3Atef0/JTdXgZJLoEVifk3kArU6571LC IaPqxwpUj7AqrFgAwJryHa1Ud6bUuVnIH613AMSa9A9RoQZa4/wKM/naK2wn4ouiSBFWsGXlsY2W 1VoameZbP+18Ph6PWMHfVxf4I8Mb3uMU4cdF2xi45MglVMvhP2yK0bACFSj46yb5d/QIq2J5QTLa RmpsFFUsvQGNVti7YTsHVqKJ8loorV8hUdBaKg+A/IQLXxTlUUygBptTHKywApE6lX4XH4+wmb9L midskPjxQIVZOcFPkDSx2m+aJnNxrsI9Z6tAVVAKtfSiGrnJAFPrwEefUBDbBuSX3GDW/Lu4wezf 4W+tC8V/lTQHWa89gqv0JZxKqz5wr4EpTOVeXGeZeDyaUN+t8wws1MIPZjzzKC+fSdZxdmehYoHX DHhL1GX4bFjG3BhRkXzatUUGHhodJXY+FSqj1BBbbZ+LKxl40E6GNgg2KkyoacKjWW/8V3cqN/aG czM8/0mOT0Iuu8tzjODxgGl+JxQbyDAM2Bf9NKLEHMK3qGdeU/G+TEwFNYOejbgxKYjNMMUl8qr8 SQQOjnbmnbvYOJ9F0GHv4NNW9lwWnaTSIsOl5yu2hsYGviiIUybDpWf1EkCeY3TOF1ZhMc7VKeP5 oEa+fiUHqNTAIckCFcLHKetenvk0KGEpSsJsXoNa8IVQoKIaeVY7eBV6M+YlA66Be95Goy6d2wZu MKCMNpPcJsOFraF8U4MNBSF8TYBh7ZLdXkVDNiJQhommKuS94/GIL+UtPB6TUOVAvdiiFCJWaHGX 9kM3791tZiV3Rsxhm86jHlFabqlTl2EJxmJRSnAqw20qk/cKiwZhDgmqGkDd0brR8gLo7+Jr/qIp Nlg526BFV+nz/sMe1cbjweZUf80lYKjSRbCOKCMnWXVWVgK1N3UzGKr0K7a9KYPjo7kUZeSyxD4H s0BiHC0jB50FZzb3pop2t1DT8n3LgOmhdGq6RUSc43v+2psKuqFLty4i+SG0sGtRJaBkPP+vSh1/ RWne3NkUJeT0VZ8QlvWGcDElabRV13ZWGS7kilOUkJtmpnQQbfT+XZKR3lRf6hyiohKfnwdlFfBX WYESJ5/aBSPEjW+qFnQJbfflLlULtXYV38bE49HO5GuO+ShWLgSWLUohbpzNljNhq9M12p1NXdgV IjMBrVaUIty4eXJUKxrUWzSYYLIUiA5Wzib467HsmwYoBWMwZ3xQ4zjj8TVR7w7/7bUpa7iQzstF aCqJRY8TO1OYia9v3RnsogPkTotSVBwnCoPKcE4pS07/qWOt5nCVcedSVBy3XjBYQzYyMSGsJ9MR nErkgJmI9gP8KnyCaf1AZVkLE35ufE+UN9FNtpGsMQGDE1qFVF3eWGDjg+f/1boTzrejSOholRFm 4iT/NNLCtebQ27K9aTZ3tGwoPPASPP7OxGGmvu5oCebZZ2G/QJnMc80Dc0P69rTwKqiUNeQzvuqY YI4wE0fTDq0H6qoQcJaSFTILU71zws+FDwjKBfUbXw9j5j2edRem4rUoUu45eL5afWHwEJI5NA44 CFmk4gK5qrml9jvaLZgimVD1Rc0+ocPVaKPHmxDtE84KoM2EbBO0jYcVcrbLJwV2wInBw3ajK5Pa QOeKXPB8EKj53Q1mUZcdfUVqhjVynndn/3AWNVXP3FF2emGFVVbe7masZKg28FYFiqM3pio0RAQV Ky1x/+Xa60RBYLtK1/bT2MEzxKuQ0QLnZB+EqANQjCo0rZaKx6OOS/9KC056+QMFKQ9TSOakRK0c ow1d0AUpqDTd1t2uQYrZwhSSOU0aWiJzGv24K+M8sHkJPmnOZDKIXJwYG1IXM2rzfgmmWcKMcnE1 E2qmwQQHxWmheyGL4l7w+L+2KM698yZEWFqcolQc+K+TsJTHdJfQULWDpddHnFjuduDNmMkp1+uk fidH26LYY574D0BsOedUS/KvBHc7N5rkTeLEBOuhyMOMknGg1FF+Yp2OgxKDM0kdPr4qHo+Ah7eo QhjGyWagueiBirJxdolOTmI5AFKp5L55fTCrEpS1W545wyK5YBhlqkfF0SYUvFCgOESU/dm7hpjp 57K76BKCdUdvYnOQXXrjYIMyr3kRyojQVA4Osx2qP53/DI9HIqLlK4GihNCut5kwo3ycMJQT42R3 u7PL1AvD6FdJbCIfVZzCCrnd1+bXaHHKVEXqVC84y65XMGmh8kB/vQQ4GvAttyVMx64ZMjl5YUFi 6YOAh+d+3XX4lV7xeNDrvIJrcrgsJ9t8Fl5YIF/kZtP6UDiM7DUVgI0drlLW8PkUwVX6csZduuPt nMOm9+xQgqswJ2dNBYSJRdDtfjJNmnvOKB8HhBoMGTaGOTA0aPN3oumQl+PxqObrDReEF8rgZ7ne KEVoFXItJqsa2p5SvaY3iSY/zMehraK8YEbVcTP0LFX6vRwtSgk3PLA3EKUJ70KJRaIKeDZRlPUl kSWcvb9xlEAtSokOCYt20+s/m1ZHbxcTZPA1/1UqEAUIpI1+F11I4+SiqywTaReXHN2kw31vlmie NNrQvjNUObTF5oLQ9YFhJJCpM0VO4RuSaT1Lkf8E8DbCBMG520PYeuOggbfoe9okTUf4re4tuQps UE/a95khi3N/dzphMDLRcbUwRWiVWWQneNnT+C1sD8/7Kq+yo6QwRfn4MN+3puSAo4fp5Etnqx7y fTtLEAEB5HCz5gmIT631VsbHtFcOQNG5fqN60HmVQG2DiBI24ZOrVTz/L80QXpkzdeDusgt5nM0A md0ClculT1MZTaUnKKhaoEKpQ+NxLpOgWReIkc6dtU0y6jo2dGhKqYEFUcNF56f1dBDos4Pv+St5 QiXTB+EKToqZlJefXwCP/yvJzCoy/ExcqRWmFeXiKHFByTd7taDKDQh7uET2eQ/e3cWOV4RXGUqe Svka7axrZwOv+LU5nzpMVhmm8x8VuIeBQ3UFjaRZsMKyeCLlrnOP4sAowX9dPqtoC+HxgMZ5EdGi ImRAHh3Vs8KyeCNGTDsSraZy8ppKHrazn82pJ5PAWCFeRR72gBre0VbdWVIZ3QNC7NFNr/LrIuse SdUPFQvMb5iVpxUWxZs1gpcawecv5Jo7v2ghGQ0bYMHzwZorHiaS9MB2HU+YQm1xhak1L2T21i+1 pVmp/OwD04GZK8SriExXe7GiirDJnEzQOZwS8y/Ug5T7FBwkMI9QOSiPp8aZIA3fE1XosiRqlw+C 9cAjRQ3h83V4PLqw/JI5hBtluWJrK8rDCfaeKhT9x/SPb03l6vmePWSZocYKYeNdeVOVwgNHz5ug Q8dGDn5p9NkEpQOjE9oKFUnmuBkBoXTr77L4GPNq0zH7rrjOKXPqA1q1USI+2y95LOgWrnxlDlco M05p5rHdSwMogG434Lq3YgYIbbfi0wqJnM4A2v2OFijolK4+6IneWBanVeYeLC6IhpXWF6pn6pUj PUhWxgkXO8vmHKFNNrCQq190t0EGOPEJUW38FxcBf/xGQdViFSXjFBmBIHW/sB6ed+jctVXdL3Cl bN6KK5RVGYKmLknWjnWTcRR2MyXpqNKDwu8oUunB5aUtoAigtWh2gYma7CtCq1Au5Jw9rfqgWzDy 1yLUWOd7Rvinb2gBUi5AHzxOUTqO3wDl8ZGs+LTIzJKvosTaCcFY1TgbK07HVdCsKupy9I45pA3J PUfKtGCYo/IcQAQQZ6s4Xq9OdNaRF8uqkH6HTiyHZrdgzlouvnMHw+P/1PCTSdC5BYNKa4GKEnLU 3pleOrSgpZa8ninJnv1LV2WF8HElRXtKM3rPR7MWjoznWifwIQDTgGsSfMi2MQA9gOVfrApuWfii SCy6afEtDUVS9OiqNm5YA2W6FWXkQARYoAh8negcXqGeFRI6Wadb4iA0oX+vsMoq01kbMDCT8Hhc IhddqrV6RwtUnSdpPeFRoHBPqs2wh7jbIQWv9PNjMxjP6pUjBDnVDsEVsiGpiDmzsYDOD4vH/4Ut EFiFElQoXFmkYkan7O1dIevcBlyq52zn1amvpV2J9lh+3CKlqcXRIjX33qj2St93ngOOKQHqKsgl kG2WMh8QOSkJK0rKM/lS4Mz4IFjPPMeGUqqzmXxWiFbZ3+nmpFIcjEUUqB1l5XAthDl8duBvTW6L 1LGde0e4eKVu/03pBIag3NGhhye5Ohk4wfbwWiBjB2RqNA/ObCVkeN72lJzQd5SXt4xa5mCVD0MT y/6sgN15STtpJx8PSnW/ulOAgG8ITlic3vNyKD3wCryrxymNZoLRSIHc747aNJKzD+VVzJFsaQly 9D2qtbRJOD7B7MB50z/i7OqDTMXzZHsqdSBS6ZWDGhS7nUnkhORagYjvGqRPUad9vyfmwNx+T6hC xlT3LWqHQPImLbHlokaTVUFtUdWB5J3ejopTlJgPXYKzoVU4+nxaA0oftEA/iSHV3Hh/wam8iCur 1y2+0JoG3xOEicy7XvMdGCZQaLZg0ucnweMR3v7XdAI3uFwZmh3yOSlqlC81HzxFg6tUU4pEmPiT K0wxXEXXPMrh2OjoJ3SltmT/kSNA+lDLLhEFhWIpcD6+k2O144v+1BmtlK9tAtDhyDsf1njPA9MI j0famftXDnUm5dNx2SGEvBFMN9K12qCCknTaaXkljSwuT8Yp5HOKkV+TilEcrbZy/tuQIWFnqoH4 yvhgeaFogHszzhEv1XV74T8EVs6ZYHgMNESXplPOnXo742x3eP5fGDH6cgBAXq8a645S8kqlw7MQ rjJdGk8JarjJ64QQg8IU41WEtB+13NFrK/08PhIrvwCPVUmGQKwJPyal2vOVL8B1HF8TuSRJ9F/5 Zh3GmDpXIBIhUYxKC49H+n3fGu0UWD2/ljfOdwRYScSwQl3NyECANBgZaPLib8yy7pt4KHS4DB5n RZbUb0lzpVJOmFipA5AF2lZsIwCAMVm4qEwKmi55TW8cVH5ZfFo86zQoTud2Ra8ueCbxNf+FfmKa eaI2ESwLUyiwkulT1KbmDeRFxzVXbk7Aa4vqhWgH7xixYvzE8Yy26FDDQh5ZTCt652lOnWfGDZJz cE8zeVGKX+J7giyzslyQmDtxUJhGyjIBQo3js2Pt8e+jDrayJyG+R11YINdRt65bcF2122za1RX/ R0OTU2H6OxvHCbfu6LMJxPO+2A0+50LpycAFYGYPQpv3vnAVAux3KK4yk2p0wwcVNHF1UFOq1oHH /8UConLB+UX2uI5SO8rEmxj52f2n0WdXVgm2Lflm8gEalonvCKzChkQBdeUZHVswkHNkwnrKmVdQ 79FkyphMdHGBRpZMzSkkg++JpFUo/pRJEeagewqU87s6nHPg8WAyrctTJDwLJULcES1QQgi93+4o 1wOhL7sG06maYJUlOB3qBRXtSKIwxP1/fYcmJTXKvNhohRUQW86tjpyNEybs6YueSaj85A7uMZq5 P9yj4AW07aUDfIF0aBYpZufSiI2NHYR+7kTynYeE8uZHRFDWX4xFlKvTfByBUqh4SF3twmoOqRuE QJORUCSNjXidbYpXF0j/pzAnbyasTUdXjQ7HmJChwXcBMZYhsMjkqfCyR6xmgQnB1SBf9tKR0iht gjfdbs6NBetWFQDUl4WXo3tSlJbv/ypw4uRPuMV5uEJnoM4Y0bRIJLPVmpXtapkOuIfbkYcrBJKr Dr7mfka/wpyz81w7yTKDMlSTHPIGIpF6ficjKFT1kxwyqwf4pgiWQWrCJiIx4/ZFtuF5EkQtFlrO VZ8fEORT2bd2bnDoDMIxyIMVFc4Hhf0AxLHa3aaDHYJ1bvOl2lrE6vFghd6cug/PIdwqRwvWSc3g T1ZwBuJONojQx/0MaWyHOkAdLJ3rvifDhBQ6BNHBbA1ZwhPO/SOR7WwOQQAd4PmIvOhTC4GFxfp6 DDoFHXrNqjKbDHs7yK7JIlj7lmE4AUdEb0Sxiornsr5Ju49n9LIUSHqGO6BpyVINARfDczcGAKe2 ay5RaPzLb4rcSoT3oVwGB8NFnSvkVltzZD7/L86+liF2HoJCPFph9ZwWiysXx9pVum6SR4Wuroqd 8O0svsuH5XMRX/LU1SbPR2ysnxBVACt09YNjieTr6HVeQNEAN9vUMmADaC8doRJZvitsOXBQFQ+2 CeypoALN5yPufvra4vneqdwMS+SH1z2LOlq9rWJb/AYNRcEarIpTH6puVoa7PirIHtRr2FPOlHv2 W0M/P8F5wUwKcW/o+ylYtXJqQXlGgj7mZ5abvXSQZon/0mvzQXWXc8WsVIhC+5XPR3nW+DW1CjB3 61mHoQpL1a7Oy4vyUezgEB07ZwtKkiyjN6ik2DqMPYPkolxlOMHR8ofUIVkHtuLZs7AmvUZVNLEh 7ccNXnsWmpR66YibjlZDh/MBB+84QJdqGu6u8fkIoPjLnBLbdlpXTyunKHmHjgjpZ15Y6LSp0415 5+7IO0A5zHcxEmOhXAs07Nq8o2XvHQiEgoMK0LuFIhybDpt1ZyD2sRgvbGPJiCqFMuV0USDMyAbO LNotKY0AkxjPB8vwl7WZjGyh0+nBCqvp8uUY+yqVs0wmXw4uJObwGZuaBSt0D0qGzVeJZedbrpoQ vh/IP7AMF0gsajwsOvwCZ5B7urAEsNf1zpFuMstVSiA4WKxWnSxX1bOV8PkIW3ZbNGQOVRro3okV Gnpyf0HJO1uPpuVssKmzI0+775w0qXqsAkfPi+CoqCL5aLfncvKpTWklqB5A01W6LA178ISWZIGE jCelrds7RyKJQptTW6tfba3JmqBInWPy+WjH+t17KD/7MYjNoaenasXwnPLi3m7u6bnbLe6BseGh isAuOtYy288+WqjgfboRBIaqlymkB64YExIhJ4TYXUz8775y0KTpdKlEKGxQpMpJD9lCA8ubz0fK wN/4fPxO8K29kQpzd0oDj9SKX6JZ/aFyVFFXWQrKxfS4c2jruYomk66GGu0WDYueBSoRpaMKCqwS IoPy5pD2RnncBUuzd/6rn5VBF8FAyVSaBfQ+mZr2VAefj4oy36FiK2s9xp6BsycUPCR6m1xkq67k gj9zDg9VAfrFJlWUuU8JjE0zEZrXRGjCqa1A2X1DAvCk7gK+Au7BavvIYoKaUOJo9srR8sO6A3vN B06O0nG51a6FK2Fg7Zm+VLl5f2T34RoG5NDakxaDODiSlfnEG5SAVCGGCgJSgPZ6pEI2qIGnantG r/OdswlN5MXld+6BRJ1jS4KCU8IsXI8vFWvV/KIAoAAk7NnsSPsYtOXhpEppZYPpj83ng37ylR1R jt95St9QRQrm9KBG76H7+ivV9fBFL+OkajyxtP7ipF3SbVVAdI5PSXSeDChxUz+JVi+S3iCRazKB RYnAgVR92jtH64935k4fVA5W7YODl9L3Vfh8pFT6Wx8JJd/0+DSHpFCpmNd8NYIp1sPb4Pn3tJsz mgmWhYYGn0s5whS3QaOn7DA4y50tmwkSzBpmrTsJE8Dig4GjV/xUxQotPuU1mECjs0E35wwWpATc pt70X9s6p1UzFKXHKhRpWerVZAd7tuEaCOdCa9AOiJM5/SMHLp8gXklMqoxn9LwKBubQrqegMjat ktT+s4OQUoTNXdJb2fbSf6kgNGKENFhiBY9xMa9S5fMRt+GXlxc6Xe3L/zustqOrO3jTMxptLdOg jLUNV33f1Q0Hc+D06ZDPtMzVS9Rcq8hsuC5NL1+dXH6ZVjDSugoFWUgcXZRQb/bSgY+A0J6DVul1 uFU6VNFoifRTWWUIzD5/mTZz7cIXo918PTT7rFUOn6VaRabIVGBR/MdqWm0kMNltDcbwl2SVmHFH n1dnj4KbIim+Jw1pRRWZSshMBSy+QJjgErCmvXREcmCNIcseNXefV7nvTd26M682nw8uN+XXflWV PF+5yRx6fo4i86XVbrTmJYlSf487FgVkVGQITT9NqSW1te9o0SpwNCmbhJCG8g8PABgKoJKUqeaY IdrjDa9mLx31cljrQ/efQ5f4Sp9ndvIn6me68fngIPwFaUTvdK1nFYa2n1PX5kpjQYq+ErvJgsxm FiBSyC5ecw99P4d6gintdkcHNS4W1pMgaIAjEvy5B5v4GQY6mRYvpunW/KWDHYvVq1yoAc9BqxBt NfIuzz8nn49Y2r9W4bk2bMJhLFahcAs31kxlAWGK5wNrnMXm1UmGuztdB8afwmUS+CkwGsdbvCqw WGaJAYWwTU8vOMYgEa44UAqIYV4Xrf7SUaWPMJjMxQgk4Q+rMGeHRpiJSTi/Bz/gX71USr3gHpLO CXejFSNiWN+jixLroj0tQxWDxDGsLlpG9Yp7YP55oR4nb9139D3rnKo55S2b1EFIKPd3SsqNBO0e gtV1Fiay/HLo/pnY26tFUjfFpW5gnU1n3B+4SPP5f9kLFFuFE1u+xyq0HcKaO6+enXG0qRuuBr38 Kth5Jo2PmXtgAIq/QDWGYQocIz+8UQCNE6pbHfojQNepxgAdjCUh65HvxKK9QI4dQEv7rl2d6HSJ b3RIxBG2d1YFPyCSABj/lTfMB2iVYxNQYj56GRdpleulsaWrJjxVTWasYmyMCnzdnNT7tdVZ0H5f pbOTUydsd9u2Qt+5CrKz2ymWUL7qMaELaCYLuUqAg4OhY84xrtS918bno2n1qx4DRa5xoaA59AEl YQ4wVp9Wy71PKly0XDy/7Fw8VLG8oglU60LY8nMhPL89sFCSFF5gsWRD/9Mc7UyrSSKbpKbWtFcO gB+ZwBjK3mtQXWUNkEgVqc3no8T9G1+MSQK+/Y1UVGqnufK515bpV+fsvl91VsdbnTma7gKMS+3y aELpy0dbgEBlnKkgU8IzkfJSWT6BowzJAGGcvYmTLVYhSIYU905vZwz5R27zcyf12c6xrjeNsNjf BRlozqLgdGMVqp6TqtX2cphMo16/7s4Qu9bdma093Z0DO9DskNBicKJy4USQ5sRKQSUFscKNQEk+ 7XihKvjDDqGSq4ZTiN8THIJLzoSr+cBZdTLMJXzo+dTM5//VSMX/ZOoGJeTdHqsocSePE97UFywj 28tOr0svHsPuOXmswsRdwqY7fY3eHMQeXtTCOb9OX9xaMyYb/OfhZlfQ8UF5khC1Yi8dQfyph4el yqFqXsEZjlWSM69q5/P/qh4XFXB+Tg5zazKhLyjlGWeb03er5rJmZ16xVKBUtE7frWJjUHG16l7P eGuiUGIWJBtcvS7z0LQgoQy8NhD6j6t6t1eOSjJMrhp5txw4r86sWltNZ9bZA2dQJO3fiSgaVuBD 3UhFSTvNY8ZyHCiK3NXxfJCAtpZEYoWXsyrwBgXcJxlCLd/RV+A5QWEtJ5kJsBqJkYTbB95ZtLhH HU+FvsAcVBpOUGCWb/ie7qF6khLauMAIe/P5yKlwf00qwAxhDnhDFeugbwKvrnBJZ2tcFs9gzrsV tjvQ59AfVELoUsr30bIFROWknyz0gTp5ziuBZHhprdRnmMtVqEpXqyu0CJ3MEzJk9jDgxsVbzcn7 Nx2DgNzP/IAoWN4XxHPnVyUi7OlLhD6hwMxC56VMZ48s07CufdTHwhh8AwtXmLQblqi1Z/QrTmsA ARCChfS2pGqYIjwDL7tzHYRnpUlRqT8fWIVCDkGOO13hgu+KyqGjUokCZG1UZQKvUCzDu2Hx2ES2 htaMRytM2ylx1EUn5eSivKr58VVXX1xMdxStEM3OS3MilclH390ToJFFxtgQlkSLnaLM6KAW6C0S weF6VKCi85ui0igvz7CUhKAFdj+1ms/xV/kTQzop8xP+F7kAYGQW1LY9WlHizhbWaMPIt/jnVTPZ 4i5DaD+lC+8LbUNVHD3Xmb3v6KWGkyr0AruzvgH1m7COookahS1Z/gKW3QvJVKXKgXGozrKFU7v4 oFIDELaEq2Kb5fNR0f1b/BSeJLRs8VhFmTuI9qCTdC8k09JSsSqSG6SA/PUpyoF3KO5LuuSYtkla T9F9VeoHcdtqQGsANUw1XbQKcsP/3x64Gn9aflOkHMBjcIp4wyxA5vSr41f5obHO5AcE15z8fc1B DFa+OoM58A/F7ZkqXhTlkfJwulV3AaFZHT3H5Q1WbCBq2uir39FvhLg65079PNCiqZDHSgOWQ2Mj Z5BGqRrWXvbSwTKkjteS6QUHm1iw1GC+daYpnw8W4UUl87mT0u7HajWHFqKUoD5rglkoj8aVDJOM toUZ9I2O8qUtwih5FyUR7m/PeGMFtvEkMf6kjaDENemjY2zACZSxHwCWqu6hiSjcIuAeupoP/Jsh 0ixk/bmMLD4fJKT5O83ChQvp+4P9CH1EKxHcWcxA2Rlu09E7VxIisDK9QWb2aP0tBQOAaL+jonUS 5EThHLEET4pKE0LU13HZ7sjDK85A27KysH2Bk6gzdFOjDXsClauqxHASDwqswwZh8gOC7OEXBAss TxJVLFihmeigjMBudTnLhF5Jykqllor2c2ZuxPw9cBOFzKPM1abEdjlatWGfbFqV6b6oCKO6VYJK Mf6wcxilxzSsLHvlv0LVm2qkrRRry8DrVmaihDQEbqIyKf9q1ENGez/wq9BNVB5GfZiUEIR+nEIB ifZpt0IA7j1SEdB9yR+kcZnaeOsyYMIVyhGXc5mqouWmCn3OhSI1vFj9UjiUZAV2olIUpn0RFRcW cc2szJyJVqY1KDY/IGoTfrMCKtRT8gNGDh1FiTQFOsOrDb17DatnacTwBk19Kd51AkvRW5nJrEzb eEujddYCCk5nZ7Uonud3gQwOZMkA5XOkWir2yn+hr1LqJj045DlTUZ2m8+A5B8viB0RGBd8XaOzt M11iTg48RWE0JQXw7BDIKtc+ImV2cQhkgciOTatYHYZJA0v2Pvq0ahPEGXK/KYBSsvSbsS/D5PIH S8AlT5K/ctT1omIVfXU0qDB65qrAzzUDfhWaiuZr6cBIQXYuXR+jHLiKZh3zwzANknDu3nsuyTrS dBz3xD22FV1+K8x3tPTqnEowdlg0K2gVBAdZs+MU3LCVKPhZXW6oVnvniGjC7GqzNcFBtQbUXrvc 1lrl89GkGt+XHBAdZt33khM6i6KBQxiwZVfnn9O6Xh04zB8TBUeNRWdgYC2KWSX08ZZXD0ePVZ0w 9p6Uhzl/UCXsK0uF6Vx0cZ3BduhYGV1yQnNRzoTzlyWRc2CUJUHmUXsnF+h8QuMHBHXk9F1ugPHV zl/BCvN2GmdWGWdOqi9Ub9RP4oiZihZkfxaskJFqN8IpSWeO3npGoyTj6gJGztlTltIrWWU1LMi2 y1XeXQKABBajOo4QrKWYAWytegw8F7izZ1QbQo/R3xiszBpCArDLoxUKN2bBZR753Vl9Ge5mixPn 4Ey+DCO4THUp9T3u6BW/dO5OS0p7ELrCPYfn4KAMKOZk2t6nJyBLXxQUG2QWspk5cFCD4nzqkqlR BxkgcBq1Vt/lL2UwOvBL+kH4ec2uela3bLA8qNvz4vHFZbi6k+POnpZsy3rNrfp166HXjI+WtsP3 ZNVuGxaUTUV1Kli456vHD5xC3M2PbtGvmVUXKAgkSTn2VOc5d2j/C1R0Lh6f17zqvOM2AORZTOxQ V0i1EqvGHycK0ZCq5b58y4e3tOk08R+cETCPtOkUhMhOv9xMBrQ9MqCo2g9oZSFEE1A+Ke0lrJ4N +QdwctwLg4IGn9eU6nzNoEoq5pwPihHoiuzanrwZT7/FCFnG8BghHiDeF9asEKLXbKpnddJnZiuN Ky4/fiFl9W51BSA2FKLXXAqzaCoxEMFSoyUIJ6kExl98eZyyRaTVBcAQhHV+AKe1tFOekK+ZVAdb nnlBYULFQX0byrIXHX/t85pG9SSjnRshCsnK7gkRek2iuhlpQwzGMeypu/VxS911YeA5bwXj1xSq G1ER0FkB+Dj6jlTLyf6zCcyf3z4lr6xP2JkMKiBYhAgM/7wmUN3UGs81pFPasqFTIYXU0VuTwtc6 m/dr/tTzNfRViKhuS4Y1Q/SaPZ2HKLA1dmXyLcr88qZWkzcWi8Sd5QT4+QaTyCoIK+vPX26agyMu nyAhgcSdDvJJoKWRlYTicC8LzCdwao12Srm419QJmxFl4ha1FzSo1gI64hIaprbPa+KEp7tvRqbq +LMrXZ7opxjECAkIrnjpohREBSRKQb1l0pHAlZBNbRSjbce/ScXNRypunmvEyXapuADZ5tmFQEN1 AQa1J2FoAEdZkzTJfjWIkS52FBI6A/YJbdOlQjKEGdPJyj+v+VJ/7IssSCD4QHdKQXrNlrDNi+A2 U/duQ67OFxkje8cvA40rJ5UoSF3y1kO7EUdba1DmrKAhUVroTJ++VQKCLC0AfZTG6He18W73milh tbFQMBeB/XMhzBIUqpkejucbe+2f10SpPxg9bQSw6p67+Yb0miRhy64U9MqPZb1UlyCzC5ax31XK dNnmaMvuZtOnOx1Hb8gUGGR2Kn5OAFuShM8KZM+BOZvQBZo3+6ZO1WuG1P1OB5ka4okXq0Ks16Vt tlInsxyf1wyJ7+lTSd5iKD9NC9vnta6JKG3J7LYvXaHbPF7N5bxmcVTQa1WzO34qbUbARu/ynZMA LkV0UDnLGbcJpt0wDcrAgJ6/sV1pxkWvmdeaJv5K6uvSjAMDm/E0mkFHlF+YIYsaHW3dZxIxwyQM 0wNRUXqtZ2L+UlC+Y3Oyw62ZThxup8MBQQvQBml3RBnSMF0F64nSekVzCebigCNg54aizCRU5qSL JFx2KBODI38ReUkvHCy4TOmOwU5oYleEmxLgafzKcxLkz2shk6fbtih11n2pXJDuinun/HW/xQFP 6gcczmNNpsVeM8NUiY8WPTKI07C19mJlCJtkFFboXHR+koQUDmsOl+AMQcWzJ9VbHtjLXjlYdDtJ cX/5wCMOkHDTFZpUoYjW3JxfeQBmAkwOPE5Rwp2kK9RdUf4c+ctzpSLUFHKlxLuLCEfR3qQmVU1G ZkuXn7xAuU7QbaaF6LmRmsPTEBQNRdcmg4Iq1eahVw4mFJH7EKL1QdVIaEBwP2znNvx5p/t1owbf HRwe82ROW5yirBsdTVxM1vbNiTA48SJH9cJcR6nd0GVBnLYK4kWlXI0ep456aBJcY5y9tqjKUsj1 JxoyPV7sJEd/3rl+2E4J2gcwzQeF6fwRU/pLdfPxKEx3Ognzf96gk0Cq3nS07igA09PKnjSV5egD 3LA8TqQ8CgEU7OJ9GMtB1TmOvj+de+xZbplYjQXabSViuPPyRmwXodYu8pmqXjm4w02yGyY1BzlY Ve7kLCw/Qrrm8870ww61fD4xVUeZCVx7i9N7Am677zkCpsdptHzjtJMnl4PxVKE3jBPnEdusPuoa B3XjlbecHHBL3A7kAPVkUcQi93Q7LVPVyzAnIOxHQKkGrY2qsgmYM/xtxtmYP+80PyZe2eKENZoh BoLuuAcqysK7LnNp+Aa1synuwwx6WaPl3FP8pvLO8uORoP5KUcA4+n03IfcuvO82TCziV8CyJA0U xQbUpJ1lS3und5JfF+/5bMaTdjMcDKmII1Us91I+7xw/XDuM46d9PJMoQbNXxek9EYf4Cfuc/Vr1 0XJDZcu6u8MNABGwykmUiTu1fed0R8/Ez/22dFDD4IOFerGUzyrYi9iRfrhkzOI4SzohysQbM4KG UNugxgHoqMJlzLw/7/w+uzY/mUFFIab3u49HqTgaZ2fHReKu6m6XZjrDlLshWMY5fqeFKczFh+3f BrvLF3aHSzDgKlQCgLHunuocJyh3ydhhpWt5kWbXG7+HachavBBVfYalUshJ4En5QYOz8/EgzZz1 azrBQJca4ham91zcFK0mMNte1t1GHkX6VJwFSeSBiuBRMk610IIVPO/oq+5kZ23J4DgTLiws9Tnp zgvSXaHV24eSSd87rY+VQ2YFbIxqsDoTWrNcdSfZ/7yz+vBr7u/s6WyNJ3Oim6jiFGXjpOCdpXaL ceWJU5v2n0J3f3j5O07H1S83dP540PmQ2GrJbB/LyThbVl9h4miF9TSNChyeP6VIFaXjkxVLgtNs YJxAXVbxuxKIEeXjAguySKDjruG+nLxmGaXj6FLh2tucKXr+aW0ClKutW9cLZoeKlmHtu1hBt7c7 egf4pE4whC5MM8/ZSWOzs00x1Ur6rSAuYgUndGM+72w+VjaIKFjq2XlP8NyAUfHh9nQW8uedzMes wtMCaV0iMen3dvdO5kPaRbjK2Qwv2JXEcOIRk7v6Nij8mJ3hO5ePU1MAuyIHPo6WP9Wzlga9HnG/ S8DyEmCXIHqf2+S+BFyB6+cNvXKUj9NxtVNFD2LZP7Kr75iiRCkh7LN93sl8XR5RihVvwud/2zn5 2nvn8mEmE1Tbe3f575HdxLez+mH1AqijKlTvKTkKBsLVWdMgqcPPrXzA+nl0Eq4g5ZHIpQeeFbiR 3pBljkcrYWlOvefkie0NkNmXOO1nOnAV4byDp8kPPQrW553Ihxed37kBdJA67FgsUFFOXuU4U7bP qZrHrdER+KEaXfca3TuLr1+LTPjU39EbTx0VRygOo6J1cnIkPphTGzf+hkrmAPfN9TfYKnsn8eGL jDzEs4+DgQrOT8W0rZ5z7fPO4ftVNaAsagfT5N5d3il8WPGJ+aVbEQD95EVx0Ae3p5rVDQreGXz4 nQ2wOdq6o8UJkviwRKUA+NowymLFF4sPfBg4Tk7v+5od1juBjzm16ENd9CFgYllbAUSX9+LzQ7TP O30PZ/MuXyk59qidbnflnb3HBBVbFBvzVlrZV3mqtOZ4fJioWJiiwrhlj7sZDLHdkgEQ2dB6S2we wOcTIDjKMLLai+JZpSGW7+VLrxzkUJnyuqC/28C9nM5k4vyfe+TnnbvHIsntQok7U35m797LfOfu saCu2ripJiHFuW59Iw/foCBfauvuPSXPxvhHUbze0WsG0BHYaDaCa3wSzzFVW9ls7zFBQ8fZPTJp ufrO3WNSS3rVpKQLB+EOIYjZbJLszzt1D39x/t6fgNtdEP+wOIU5ubHRHjromF6DQvvRJ1Ryj8x3 4h5zZV3tePDb6KBDsjC6hPcXzNK3IAS4iEJFFo38azKOnj7fOAjTJBlNNnSw/vsZgknX8yOQdQdH zs87bY/76Pf+1HVBv3GKCuSA+gGd+cynYTBytFPX3Z9oYcWF956Uo3KtOE0lnRwtTueumUFwVHcc GGkxjCsoCxttuIIqi5VW6OD4eSftdQmEwMCBelMcVDI41705jVzFx6PSynezBebtE1aFFqYoJ6/k +K80LoauFi/Vpda9t9ngh6Ewvefkt7SCpuwdFaZCK9FVuiSUMkXAuYctod0zXXwvfId433e2Xjcr rPOazDg5qALFkhYrv+fA+LyT9VhR/F51aKOg8ONN8iglb126GrZ3w5/SnNbgdpGtKXUWXfYueQxH 4e40bPVxtKtLq+n8xIso8mrw5R8Ri5ktNhWCvLACvODnnarXRbVDOyIXH8Q/O3uTqsDnYlQ+70w9 FvK/G+UdroZ53zDFiJSigqbTqb4IQkB5eJa5q93w3nl6+P4u+1QivGy02YRTCLQgtPoXb3YS4Cgw phsEcyMTgCc84QRFbxyEidklyWo2aNHBkkMeM+eW9Hln6eEP/g1KObv+EMiXYYqSceoWnXiWZhjf msxAuzbzBwFyfKGMwUX3ztF79qaTPuQ72mxKDfe3k3SzPp6pks+CARqFEC34wVLwLbwNvXBwvUPh f5J8YENSqbdscgWYfX3e6XncwT11IoYFOrWr3ShFmXjb4gRVj1Iib4K865P2dYtSZ/cKSOh3ah6b RSqn2JVl3ivLzKhbrN2op4Ge0yhD5QL06qF4Q81v7yJMio+8E/PwPeRbD7r0nQEypiq3gddNeO88 V63POy/Pc+oHwAMnw7o8E39n5V1UQROqQKrfw20cqgrl4KdXuIpqNkXV8cRyyvm/kE6s0RYdzjnU xAcW3T7JShKVHQXIHyLsC4sFcu8FqvHzzsi7xXHu8zZ49Ql6AUyhRvm80/GYiP8q0mEiPT3OdzZe N+IAGlFXAW8YDOPs4BSulALlGJaIv5PxECWDgAnVq9HygTYXdADo0QdaWe8so6eJHAawoR9AfS+s gPeVdyqetfgX9Hu7D+pJnbtj1UF3Pv/zzsTjZJpf+QDq26MPv/6+E/G6EWKhx+OtFgCVLR8g9cSw TstrT+88PJ4gzANaZxtFo4cJTYMmsRG0pij5gmudNK5hQEgkhjM8iSp8p+F1J3gCB+mD4gRLTFUM Fn7O9zT8v1vBnR827kkXpeFVOBpyZQ1RvxylMgiqEUplDVP7eafgcQsXgncZbm6xRjeRFC90kmeR 5NbOhVUJxGmwQgbAUaVUpBczk145gs6xKN7UCgZ0jn2kM6H6oKsuLIH5osFZNzxQ4r+s8wfv9kyp KBOn8eC5tuTuoZr1lp7GrahUqtBxSoXl8STyT/karZx5TqV+8tVOFamOA1Wlp4ktKcMg4odQYQfz Fnvlv9AFSRtVgtRV08UXewUpw+McsJ93Ah67WvvrwMOBOx847zv7jhcAZE9rP2qKSplonc1EV/Bw mG4oUFF9fG4vOa07OqAXJI8FKTgIBJ49Bl1VwDAoetdR/wMG7tpgUebgnXvX3Womi6SRL0njXN0h bsmEc67PO/MO75k9fRK2pf/Mcbfyd+IdEwPUMqFlYrjnWqYrdEJ5+0d2qyjdnTgBjxkl48a3K5aM l/HAC4B9KnU3iXmvlAneBAt9EpBZpHvhe9SgXcM78Y7g5U3MMx3ZK1o3FDg4QW5FlIMTqM87764/ RsfayzMwW6SkWaDC+jhOKChD4eAXEHpI9w6yh2jFoeFCI6yfign1Trvjka0A4Vrpo23mcKhaKZGN OM5aASqANRVOQrB6fwp+WSs+cUp/3ml3nLnYf0tjoCjxIgBU74vivue8T+vzTrr7lRsQcYgdr1XH 9bxz7jChCBMrm5BD0l4HM29SI7nXkva65vQJFRXHZbKaSUb10Yp0GUn+QsEDtSeQDSZxGDKGbp0Y gdWe613XK0d9hP1lw7OLdITB0W1quJzlXD/vjLtfGxRrvmT6TN/J3wl33T1E4aSrhYezaSlOZ/Pd LnFH7WUeeu98O9Z8TbVNCnccbT6B67VHJTUR2jW5Sw9wdmx3Fb3rgsdvbXzolaPkgBe7RVkDDmpM IZ2V2/jJbT/vfDueeb+qBef3P6H2jPydbseUQs2WdnE9aqETr7LGlWwjWofzKaqNqwJwdhfNJ452 czkpOXzVxqIMGWqVNO1NrBwhuTTPD12DVVR5J9vxGswwbW5THJSSs1Ehf5TWPu9cu1+NTjWE0ZB4 anTvVDtgsDMbB8VtGc496R54oAkYni4DO8oD751p5/MZ91+JpnK0OJ0Ji9lJtnllJV763WMAa4lq FxVnvEaHesXnnWfXRf1a4IklH+7VZSXzyRyfd5Yd79E+nQj1pSXtLfm+k+y8ZAW1HesHnwSaVU1j b1qu2SHSYGGKcvKl7XtlnXscLUyrjV0o+oyaTUYqZbI/yF6IBIGLjafkmk5RZRwifzRkaz6o+IT7 17rczXeCHafT99UFmdME2d7CFKXkSDgoRu3oesFktOqaGWk3avFYmMKUXB2p3SSnxdFRYh2uEmg8 n1W3Qdo1A03s5uhOnNmfHz4+wZnv3Dr8sMaEIhuYgxGidtUBezbz+Xmn1hEs/L2JQzRisrquMIXI 8cQwrXsRHmKNNRLMqepauDk1k7NrMXS86AKcntHTcQBYIRlMc8wGzRXRhWWOiZih6exUYOyO+J4g J6DHFXsPNqj6hPL+VqszbzweJJnr+4JXq7rTHqYwGccLn0XtmgUg17lC4lZLmFqStPvjHh4VxpOM Kropm/erbI7edppARi9arW4ksmIKw+EV3Sn0LR7/dS26KBfHRRLVOYJV1gWr1AGLDC26MvB4hCv4 nk0gGK10i089ysV7ourtukKS9NH1NnA3Y4GeycYm7+c9FzdwNZrktd3RMT1oApdBiD00zNMSnoUK kgMAqFndwDDfFw6a5VPaF8Qd9itNmuG0KquYM6fweIT0/U4IYOY1y73Z9SgRZ+940o7EPUSb8RBa GVeYdOZuCUGPgCpDhd7dVDXgqCidK8bZQdpm8SltlFmM8YrKYEfrNsPWwosFeBDfE1xYpDUN9JoN ChP0f5Z5gE08HuSXNX2HaUJqsPsO3sM8XHjoeXdwShiZvli6xacBYjl38B7VxecyHw8VoTja1gRb GNR3WXwaaQPNyvsKfQ4bHM5Ke4DjImz2KA+fNIAGMo8DAsLObzkn3OSJPmYrnx5xNr/6LEyd0IGA H4SHKqZtsl2erFl3tgrhoFFyBgrVoJkpVVPI72Eqbt69FA2y0UJ18jOwo5kTnGl+fgJTYqMeMD2p WsvucZzZk8YXRR2pJYxvofYFvk729CfdP/sHy+Nj8wMifut3WgDpttFuMt4j9iY1V09CUoeVn6bY 46jUVbqKYFIB7GsybD0EqohJ3rMmFUe/tCQoZRX5pEHWtRVhoisiligDhTi6WBYPvB4VyHFHPnlT owHRwCWYrSRw1kZJcvPaE88HgRqXnKjlB0LEvhTOKB3nTEBn0+tPtbIUxTk1jRV8AgXkiSIVkjit NN4tYt2NhwZudjBHkG12gZFvlkpPY6Q6AVBr3m2q2isHqQFBKhtYqTUoCNZV8W3nZ+AJeM6+jeej 5Ve+c4PUfggdtUBFRXKC4zGFp+oqBAnyn7i3ICoE/BbWPridhwl5E6+M3g82Wr0AMpFnq63M7ABi ySySn3wcl60OAmoFbOzqkU69crCfsw+cOmXxT1LDRs8PrTzORsV1OOG916OcPN3qL8vTgPo/8IL+ npOjYkBREGaq6nRmXGYYqU7/Y1lTUCOPO3qUk2/5w+QqozSOXqrDRtUzO53jHKh7Skul08m6oh0D ifbreUKT8R6VyasM94DBs0Hwp3NSdGab7SSFeDxC033PqHONOnFNjmTtEYQcSdNJKjfZGsio6HPA ykqlFR0rUOdtmpmq9gitMiUIUqod/lVegVh6UMtoRGtDleBsCEP86bOXYC9G761xs3EM+QkXviii KlIpcpfig0N+Fw8CNIz4eITO9JIBdUGAlZlteO23h2k5RZMLtYJZ+81UrmKprtC1iyWozh+dSy+q kS+/uI16R59QaSPLSNRrTWdbZBMB/XqkClCwA/tueUmTInP4ouAyzJoBzhofxBGD0bRpipWJxyOm +XdennG9pVWYUc2jxJxwILe8JI5VilhTNnLeTECp3rjmIWIl6d5ibo4cHWMghRAKqJx04KTTxNGB +LKhDjLheFmc4pKqvXEwoSaveTJxrNfEkabJzKxPyDIeDzLz4ROKpXV4BmA5WZiizLzLD4YEUp55 IyVjAp3/k0kYABftrkwjLJFvLbheyx2dCQQiUE1Dznrn+otljD4epZUTJVXo+GV6a0jq8EURjpX3 4L1VNQBKgEt8wqSAJBdYduL5CLPiKAOCXnG5hqCWBSoEkCONQrXjAsj3sPl0TvTlpARa2CtQUY2c hwE8P7lDnVFSqUAZbIojZV7R4Si0tq47BdiVQWJXvqkBtHkGviaAsTZSpQavw21UM7+ZGdcs/jDn /+HxfzGmpEkH4/bbcRkhZmWYZc4lnBP0Z3BfN5rtfQxjUo8oLTdkD9IXG6tF6WwBZ10XQ/YAvbLc VYeK1+cj6d1oeQEkePE1Ub+Fu3hhesDBsOMQR5Yc5th4PNqc2ldKjur0SsMTzRGl5F0Wl9Ot0OAs Vm1vQjPD9qa8XI5uhCn5tJpKTXe0lHwMWO0AHARHtJ2InUN9HABlmAHhA5crF03S70aIHSfPvEmf 5/ySUzoEFU7bifiPcb4ezwezadysgOn7+X0m57QCFWbkiXXMYmxXlDRbtkAt2ieLWQZ6tQIVZeRL Fd86jac4H54iWiwVqSfRGO0kWhI47G3Airehlr8uLT/ZCweLjoyyLFf6/LjSg9QuAaNzlOLxf2Hs mbqjKZGv9MwIoePEsFb2czidytwuq9bZWRI4s3WfThF0vCh56lX6Khy9VHdOhgG2MacTmvSVKftc RB0SfPIAx9FCw9dEzbuTCpxjFcsFQ/uRkxAMcqkXcq6lHY8HqdMvbCaJd/mSpUZUHm+UugcE3mEY Ld0NnILi5j84rdkyolSc8aZgUbMRr58RpQ46RcFHQFd0F4pkEsKKLoLgK+hyW5hQmcX3RIkT4YZo VC9Y+QwDrEyA1amNBWQ6no9S8d9QX8zmZwsPU/EhW7jafM2N7JvTXHY3hkgfCh24BY8QON7cVz3d 0S53aKKCXkwbL0ja4y4FGmyhDAtmakNJxFcdM8wRpeIJjjhnq8O0Gp1d2qRLC4zW0FIDtWDhA4KC wW24EFeWiQ29KUGMV2FdfJiNJZl47ni2u7kRQoec+nOIVFwilznj3v2OFqmBXl5OxIqdLbOS74/L Hf5ElCPPX7RpNSHvBO3jIaGzFdkNsm0OVU3CxUjT7bx7gzKP56Newq+WC7gDqV4I1Ayr5Liyn+PO KNN2IzZP2WT8MkqiNF3vZpyMq9E51Uzg6B28sxedY5tzqp5jm0Iowkef6dEk/9RvB48M4RkCVug+ Pzo5CRwMfEiRV+YFpeLxSK/ne+mhXD/HvMpPUTKO/Pf8SRRIEL6gsRS8If2kabbRE4N9lMIUlsmT cVr+j7HvzHIl55X8r7XUmUNv9r+xYUQATNX3EnV7HPv1dEpZEA0IhCnPaBs5+qjnrxCnbIJQKz7n 3tTOGPS4WFdMlLURfFG09JgYTFoBcFCcNjZBEV97weP/ZYsC9gkXEI9TqK9CS7g9mhPOdVCpITyT SdSeQykb73WGZE6RNWDucEfn3lUIs28eePQzKLbwNq7gjeZvk0Ax4Xqo8zDjOrnktHPzQYnBRDdb IVsVj/+Lo6i3az9QGPY4Rdk4ndEhzD+8I5ztnld7dwYVkNHu7jJjBLlxpcYzXmJ+OxeWTk5ZWWXO KQ4xlK1gFILNmObEZiK79MZ/wVVyk/V1IwZZOXinzDV4PROPB/iC9d12OSdO/tn1tqdmlI/X1iWq YmBWcEg9zTRivmgbxVKDGeXjQ4XfaoXf+lX4RaZzsoNKMGuHxzKbeIl0fPB/UK1uN9GcNO2aocRh ksMgi3XVvXZOXgGdBIOMVTweNDt/6UACiTz3s+7+LJCfHWR4CrVVK0cKtbU/NerhuprojPAqJs3e 2hp39AI5pMPoOYVtfAOqSTlRJLA/RGOQbW4ZFP09Z5SO1yGBh9J9EEMR7GWVf8/5isf/VfNl4oW2 1O6X8zojvAq8XIm68QyKkBvlBXD7sFLBRCWSecEMy+O6rbRkqv9pXMDvmT4Qeh6C9Yy6zC+OEgBS hpRKlqD2ZFDPKCHXLg7ZMw7Mk1hvqnWxItLL4GsGt7v+vezgCwJlMA9TyOTM0hJtLocBF1y/3JHd xaSg4h6u2RTiVdQyr7Q9s9EZrwOgVuhTYd8G144cmHPzPS+I7YjS1U8TYeuNI/QTuweqPHFQseBk sLNo/W0+HokafdNbYEYGyqSHKcKrMDdFu9AFanttTgwuDzEYf6uFKcrHh2ZRLUoOOPpsOgn5mZuj 83YHUL0EIaeegRIvfwcnuBBpP6N8vFHFD/cUDugWSaT2LGVqDv3AhhHPR/inXzygLFsZD1RI5Wzs A9cyLFC5ZT/tkjy7Kj2UkmcFYWlcsipT3i0aPcksZcGhmfIq8Eiz9h1/yUURyfW0ENBe4/cEy46V 8dVooMRBiJWGUpTyg93xeNTmvMtOJtjr7OLbk/EVJeOJ3qfbzRbplmfr7sQumQp7T7hwMk4rgqwM nXJnwpQ7WlYAGvm593BCVSB81I9JI50TfsKokHa60gyRcMEKC+MUfQJWyAejcqKQKGBPrXg8ysV9 F6dmBgiPJ8QepbAwvphYyqIZ/7jL9JwgbytIoX+wjcq5wlxcnvK12bJrz7KDaTruwjTkQoOgyS2I fA2CESlbYKbDyV74L6XDJJP0lHHb4KI7MzNREJWteTwfoem+0QXo9UNX0sMUCoyT3NIJ9RBkRVVN 6aoML2XOnm0TXxFkhdB1lDDl5dkL36TxygL/YSInUfA9V3MudbSLwXdZ3KrKY6xxJlXD90QlOt5Y lglDVgdANfCguY7a4uP/5caCBGOUK7i2oky807Ks8jKlim+fT8XXU4KRhhtLrSgT76ZWYIhfjjab 4OcGjMTmmutnKct1HlpA0FeoSDPHTQmIplthYZziDudWkzig4sniUzvJBsmn5xshWBuCVfr3Hn7u lQm+OlfWN0rF5+S9t3YxpHAFLsZtAYM3qabSJsKnQIVkTp12pVhfquzbMD+fA19mys+gHD5onJ3R jsqUxzobX1pfuJ6pVw7QKqTM1Unrgwpd6SZk/W4Q1mVt8FyTJj4h6rWMr6WHvit3ZwtVlI0nA9WM C4FStQCtO0qLGlxlDmMjrFBaRfNkid2i0cpPkI3DHXVS/ensCpDogFIP+2ENiL4CEoZZBibqsq8I riIh7QTing1WHj/zknMKRBc8HgGgvucUAg7lBo9TrDqeteC8TLc6WyxMx/d0J40CzJziFKbjKvxC t+GOPqXO02eKLWlFQ2SnkrVRUQs+9z7kmFAnMQxG1on3D2WVysov83hdg3ejFiquwanh8QjV4/gn ATrPyQcTLYtTlI8XJhK91WnQAvELJeO3p1Wf0FGycuaK8vHeZbwFMqmPFqcCdWCoRFMUEsrUor6O wT4fdlcmtY5VwSULXxSBWbv4ZEtDsfptOX9uMoWsisff1x07++px8oCEohmYlB6pkNFJXhmZMK7V Uy0lPz/R3HYPLkSBUnw8LJGbRjsdaW20SIHL1Fm7oS4k/N00oyhFUnFSVHr6sRsMw129coQhZ4NT GScHK2Ki+cXJda4QeDwAFzwtFzHwkBPmdNGHK0rKeYMFNyRZUl72zNYQTrNf8utwj7IVax5q0eX5 jBYqdFcK/JZUI0/QVJfEL/tZyDYLKJKOIycrYYUa5NL2LZTL4iBgDy40LA/Ckh6PBwnCzt95FNWq ym117igrR3Mf1OB0M4Rsp10F5SJ7Txg6CkykdqhBrlpdRtHaR6+tgOJSk8xyobaEagZFDzlKRWPe /pTs0HeUl/Pegg6FBsjbaJc6y5vX2ZMd8/GgWFd/8RJQ00y36bLf8/LzFCV+R2oepzTvdXjmkW1C LZo7UtI+dP2RVpG3CUw3XbtUojxCw+0F0rTEAuDUo1FuO9e+s8ncWh3wPnrlqJdA8h32GBtU8IUK 7Lpa7fs9MUdN0U89KWaKNXWl/8PMvKornFyE/OTgtvJY9LdNavUbqBBMLhXNmiQAxdFTzrM+iona n0xqJK24c4EBhBckvFwvz4UoR75xECdSE0ajJA0H0YG2yy/XnQYej1RW8vd1mK3Os+w9TiGpk108 2QtIXDvdOOEYccgKqEWKUwhZEYIc2rF39OIverZb7LIOLi/95rDwGjd19L1Q9PbNHLMbXxTwptht 76hX26A0qp69XM2pjQkVgVbalaOpTKMAIb6i9jvEkTcD1Dm0p00XXoOHt4uQN+7PjFNUJLe+cDMW S1uuyoq1XBoA3yQJF8gryr1lIHubKDELfKhqHWBM+Jo/HDdgIMsLMQrHxRiKZdEGHGCqjef/hRPT y50sv17N6B1l5URCnzTm0aeDhLP5l6Z8ua/QVVaYYsiKwONN0+r+zqiuwOWhQd0DIr/nF1TFKg0K +ANMi0uxSxiAb4KvCXKowmrmpGQ0BxU1E6QPeeWraeHxIErl2wiIkObULr1sR5iVtHUhLsUYQVcf A5rR28NUtsvT7VDu0O53RlrkaKsOV+sxzkUD5RXkhEPUVwjg/Uwu08q0oOma1/TGUfEXu9Ou6Q6K 01k6PUlJs/A1/yVhwGzrbDG4TXmYQpmVnSUtqm0oo6Jms+kkBcME7dFqMw2oHYNWVFbZTlp0e0n4 tZwUA7QydvDO2V9ICk4TYIIha/Tmt+FCQ2h8T2RyJ4MyoqM5WJhaGvKlHomP/8sMSPkpDDeu7v8O a+QE1LV2JaCau0kCxVoMdoh38DDFCbmLHLY72mzaI43SMo0kKlNOwtmSQDVox4Jj4ogVgux3mI5L WSURnMlBJc1zk5kq5p4fBI9HF+H8NZngz7bHE6UoF29DtHz27Mh8LdvdgHhMuxvQ9DUX4VVmMRdA Ral/R+msPxAtCc48NyTynWT+On6k+ocCvHmbJ96DdyivQgZQVj8hN8Ps4XemDyPW3Bx4PArT90GX JYBbrt1GCuEqMz3YcRlLpmZwlVWrVwyIgyQOg1ic4Cqs0kqr446K1NgVeJREvApoQW1ItXaDgYH6 54awGJzK5OCytr10QH9lUlAKQWNZU5HYHuCK5WiJ/tfmR0RqD997OZm9ad7GS05RrRyycADUlGbs jQW1LpISzgwboioAjNXcACCFSbkLiNiFuD0X4o4dZG8yp86kws2miL4BgnEd0IeGy/kVIl/20gHU gI6ucK/kACYRw4W9I/N4B9mWHxCJGfidmCRqiMIA6nBlRGgbHtxhSGyWgwQ7n6W5tyuND9VbAJHH 4xWCydWiSkhPfPR4nbUBz1ti7BJMyypvxY0l7AppjVKo7SdVZBYQ8E0RNIMOryKjF3A+mLZRTzmz yXvugIsfEIBbH2HkHwmyrTTqDVZYOkeidHaPmqx8t6UQiYIaity2GDsQNhas0KKzSjpaHCqNDrOD +U0exW58KBpI5Z4JaAfnF9ru98Yn34QUVs+VTWXZKuVuwIMTtqKqJ1xl+Xywc11DXIQFgjO0XfdY RTk6AQsnldpe6mxtuiOuZVVEJOLWYbGKyucCroL5vO5oE+tcjhNYPyy3ZIolS0KLnrsNGsC1XY+J QrgIvynyLCHYZ0gm2SWoGoGnvLW1c63n8xFx/1tUBODR8zKzPTMrLKAP7VVe8ByVBV5yqarsKMGl Ovtl9W0+Fie3q18ed7xkKuhZzCndowWbTDUbJnP2gQp6hsEPJTMK+zn8pr+KCQT02aBEKUEVXk5d Q89HNsJXmo3y5FNdoeu1nMIyOrY3wH5dJCoxHP9PGmfU1oRIVC9sN3R9VJA+TM2tIXgLR0fdnW2o g22LChXMBCmVDLgrOmI47k3Wx2zNcrOXDu6A7hc0fVDpBYRrzq1a4d6dokr6vMgW0ggzqoFAKN1o hWIslCkHhNCJnxQ3hfTYOYuZraOY3uo1hk9h5m6G8Nl2r1y944f6y0kLJsHB5983YThRp2pVCHfb 4rVrUVKC3xQx1KF8j/aFhmFthwJANmFA5yE+H+UP1zmX3hyoQIE2eqMV5e+bPI9eimNcBu2ezT23 bQfgkX8oA8ZIlKVWtf2mijBlPkUY7L2A6G8iX6HBKSuBjM7+WQTUKh0XvLHkSJUilIslXIN7PAdB gICnKGok18Lng5V4C8VU6aS8ZGn7SR9C3PkmOX3t7DV16nzKokPgPOTxPM0sWlFRfSyD6MvujKOt RDD1oD1ayZYd0MjkrTAVIqewy2JtOmcP/UZ+UWSQI2ccZg/9YjjQsV5SUB558vng+jxv8kCkcEVH +ypq5NDaE00BtGq8uodWr/tPQCLRqnsyS5BlZYh2aU73KHe0G3Q+yfpuqF8CoAA6kVycIdp3lgEA QAldTctMW7d3jrjqrIPSpUwDY3U2rCrTi5MITz4fXXsutZh9UrgM1mdehfaedj/c6SLN0vBeDZiJ P24zWK+9Z4R5ScV02yTTwtFjVc9ZxSoCqqsr9Sxv2Y39ZuDchu+CqwASt5hje0/qb54rfvVBoSoD zlQ/JC5WPh/k8MV7EEshBunqiVSYwJMMOnQVrGLNKmhnT1npiikvGsZ2fVSEXRTlypCw/SJhz/kK Y5YidBD0gKacYtKEVC6uQjiPHqPB0uyd/1BMnKUpjW+2uQNFmkbT5l4Hn/9XG4IZAjpa4Mx5qKLy +qKRFm9nXugrX4U+l9uqqdxJFabvEp7eSanp9i4mNPFZXq8UkkrnJ5j8FBqmMpPIooSaZOJo9srR +oO4bxrPwElVILO9HBjL54NI/bKH69QBvN4BOXT5hCIZCGomhwT3u0dKSsZekJKSCLIiFdJCi6jq WT56PV8fvQytSYgwcqtKWcUnlI7PvP2Z1Opaj0UVldT5RRGgA7Pp3M62BvMbRJ5Q+J2AEfL5qK/8 3SxFJo+M+YYqEjOnXARMYLx63CmERmn8Pclcw6TqlelX10f97UdR1FYeZd5dvZbzuZDIJxIdKCF5 hA+U6KghAFTgxVP1ae8cbVXMEwRJ52AlvxP5LonJVfh85Cv0SwN3ou6bnlkVkkNxWoFg7LWsOumB RuqVULFLXsSGEsqh16f6W2nLTU+jgxVQGt1ghQCyUFvWSY0LD4pIaEFUQCm97KdaVuj2OYc033kz LMVqGu3kh2OzgAYEGJ+PWsvlO1uAwNxaX/MqzNopbCPKoClQ92yFGXOVpRrChaTnwPHzMhyKfOU1 XvjZPKkBWm4QoC4QdmPWvrIUTKcsft0xnWc+vynCK1AtiabLJmao1GqB2qPLYeXzQWq1LqJR9jGo KF+yWg5sPy0NRRHUbRrXMKPUk1rl7jSHJM2WpI8K9itBY+HcdUcrzOzzf89tJlEOF2f8lrxyIbgR 7Rf0b/oFDPVmLx2ZewkHw3shB208xSvKlcWGwPozS9npAS2g6I+/z2MVYtM7IR10bxUBsrpcGaoc BmsccErwVRjiYJoVZOq8o5caVqKTGgVuUNBb0sunWvfJuyCdCDLq5WJNe+ngOsgE9EFXWSvnTNO1 hkoNKCeH9p/1AjxYfq/ST1mPfXqIhelE7KXULFpkuDpddPmeVdb0+nvsAOooUHG1i8N5APKAAxf+ LjOvKk171iZsDYcm/t/19L2avfSfmvl1qKw8zF6vLxwSxOydTZjPR8qK9deeBQDFuloSOTQBpSrb OaiaRJJwLLp00tmzKNHVZFLcbWqFLqBmWUG9YR99zzrZTzW6ETydG78PivdIxjLsdNjzcoE3Ngnz HzagasXMOyQr9DXiD5EKTD4fEbbHd95+Dpld6hOrCBNDwB+UyLehi+kNaBBHmm9jYvWJso22rMAG 9Hbnsyku56u4fII4ToYO3D2XYZuo2bDwvqjHBQ9eIKRufbQme+kgHWWdYQgMevLo8SOobD/5bi4C 9q/NDwjy0dF+5aMFOnPpWYYhMqaTKEJIAOuj1LC0TYs4VNZHK1qfNrNi1LoZMeheyNGiNTtshirF TdEkHDyEMzrsOF/AIaDMo52GiYy/HJuBSresUkKQ5i3cqE8Cl1XxA6WDz0fco28sNlbhnFeWK8d2 oNTlEuqUd2fo2XqjnprPrMl0VuiZvAd+oPgLpuE90h29uQpM+WisM5wDMPcu3bwORP7S6TnynVi0 GsihIWiS2vlt7LSfLh2Ok7VlXgnHWRX8gKhL8d2GRuIwy3X/yrEnaLU+tHuCdvy2Vu1rzaWFF1sw ilWYvQs/W4rpJBX3/xosyTUUl1HtA4CMl3bkYWiXU8GTFCQj16gmE5qCZk0reTa169k00pmzMnju tfH5f0l6syQDdS7sth6qKHuXU1rLxdFEW17FFM7N1siHHVH2Ul9gC3qJIyOJtMXRCg0QRYFHPGWn TiLf85IsI1oUAy2CmS6cCFo7euW/tM935dbOQSUZeBNlbVx18/moJPMNlEFnYj5maTn0BYVsAPmd LsS8peUtpIwrT7U9nkkV0km1WVHf1UdfgAkZA4oZKPQBuyZEEdvu0mOGMKY3c7LFKgbLUAs2S04f NBC2VDs6BLwv9Jr0pkHOcL2b8B+D0T9wefdYhRLoZCORouDX576sfJXZL5MEOnFAyq/+YUlkNEiN Nqs6mtEQYBFrK2UhtVLbokqOH3YKlV2xdMfvCQ7BrbIVkSDFt0SYdaKgwbx9Zj4fbFXze6uC5hyU S+q9P4cGoYly8UPlT0lXX9BMARNdK3Bnl4vPgUPoldVPe+w7ei7KLQrqXczcgQJi5r5YFksQC4b7 FSuUhKoVe+lIOYjbFO6VHLrm1TlMa16yXqidz0cEkm8/+nNo/ezHvymHLqFT5mn77lZNxHfsVjBm tgIy0eFag6FNqNX6+jTRxXlFFxMaspBepILuidqSq0OCcNdPh+h3YwE5PxD2HNqEZvYlhgycxjVw 6jD9rbLpRa098Ak1xaw7r8BSXvtZgaFRKDlJenPbrS4Uq253fGTrudmsCpxCT04rdaU2fdf6ihTh uIKJQnKiJd4dE8vxY6tD9ijlqdYXWIVi+lILRyuRgwpYgFwy4z7/avP5f4mbCTcCJaLnfvOHWaiA DNuFF3tLxbsSD+C/J3f/yKFb6BwGlpEtGEenkOwFez9OqpOPntRAztiNYmMVM5vuqNZJ7Wp3hYah otsQhYUBuw1vNecWleRRimoiP+C/KMFl8oPyunqeOXQN7eSRbDmndQFrnQU/xg1XS64hn2Pb0GTV mJrv6PU+9L8AiES4gG+ocgdrRGKiqfADptJVpVKbPjAOBRGeDAnAXDFgGxSqAeLG5EuguMQPiJbh N3IN59t6PDFzbB3aJHk6XXB/CIVMwf1V3dZ41bE8WKHyizqpVDn30Tf3c0jWJOWXs63AKb2aPjM2 OWL8sPxdmWr5S0dALBJNi1xBIN0hREADGZh+pz/wHeYn/EudCpMSGirrIZTkwEJUOXA+V4JU7fbc BN+m3tnwIha8hhyJFXqIGmhb2jc2+koscL1aTLEmUF0sN4OEyw2OAEHWk62UTH2qHLiI6iCBoeW4 g1FOR1MD97xw5fORU9j3QqRIzujPURhKpDea9+7lEL8i2C1iVXmCmpb8ujMrQss0ufaWPtMd/Y6D 1IBpNUpYoOs2aXlRNCTjh6ClzeXfdHvpqJLMU1AQv8EtSEfgSeRZ/YYU++QHRAont4bF/xpaBPlK nOTATRTrkHebsV3nU0qsilYqy3Fru2WPVoiWkWdRbqq/c7TkPZEgU6WTfpKaSs4dKg1ofDf2cgYZ laph7WUvHazDrErfM9jMauazBu8/Ph+lpL/wkCcv24/xag7tRKfsRMVhJuydQBUURzszWuKwgIcc vgrD5F1SC9m69Ry93ldhcQu9ApTdF0B+SfaPWLmtwUmN+aHhsFR2Dw1FxzJd1OEDY8WS4jLT+sXn /0sJCygUpO/tdupDT1FcDuD7SNnYrXU4rZQMxQeLVkrZa1ihqSjFqtGdoWC6RkXrLONZM4X1z+3g BG5XQbknSatoiSFI7m1YsjB+gatoIp76hKmzsXOm7IkKwwUWi/zGz9dMfkCQPfyy7YMWAIUcLFih sWjfMlxqT1ZqaqlQ4V9uZlSwCyp/D5xFz0fp7ryaphhHa6uej5/YzpE7nJyiLtNRzYNIB6RM6XEQ K8te+a9ECyhLDm1bXwbsn64GNFANgbPo/y7CzlNw3tJo6CwqYeJ+eTnINixS0Gd0CBbEpzxSEeJd 0+oknEpKOVr+viFvfS62XRb2Y05RVrvIJmhuV9mPM1RKsgJrUaWUAEbQnT0zS2aszt8y6EiMDsXm BwRHYfvlP4Pie37KfaG7KFND+DjfasNazogrlAzSDXpy7+r6qD81YlTustEZltiZCqofAPelUWSx mcjgWVD9wjbpaLVU7JX/surBUcsBVT1ecGCKQCGucxCWxQ/4l0pMsb0dpiM3VFHyDiYaZWK8MlpH 8nLfoum52PICkHJa/UOxcUC0zkefVv1sVguYfbAHz4Kr3XQ/zgya4FyBo+/iJynZK//V9mL5wwYV Rms9fzCDloHACh1G/0dnHhlIesAygcUoPJCxVVU3cgDW9WpeFynzS82Zoh5JHxVESpSvNNWp5+jX nHOaY0dnJwfWHkjTUZbBN2zg7AquGS48VKu981+oBgii+aBaw7lOCixDV8gcuozO7jUsrjX0xGe7 SlY5tBkF1oTMQZOyGmghGKqBdbgrPuQdwsBn1IA3OOhGv+Nzy1n0VKG9IMiDUoqBSNrZp4A9xmF/ 4TLLXzqgflGpeENLkYKpaLQzvRpdTh4/5xMaP+Bf0D4KgQAECcE7D1aUuPfNnKqYoCx+VIeAtKXV OClYUT29CtxGzSaJKei4o6EaJtzpUOoxCgU0K4hqIFAAt+uTEZUrwrsEAQn8RnUcERtBeR3cKYbV Y6aaoVC85PNRHfn7FMwQn4Ms4jO1Qg3HxOZz3hcws10R9KxHq0GAeNm7L8MIMFOXwWvV0uFoG9ZZ KhPHuSCj2Nspa5xYCSJgDiG8isX3nYNiA2nzrbGO1ZrXsXjTJPsdCqZ8/l8TC5HNgxXaeps5n5fs ap4v1fKlAqVdn3eadxku03zGzMpWmXnJrc4HgdgubmqR7yhHOwXPT4/2vwQ+kJWTo3E2qVpYmZw/ cA1xaz9aR79kVnxdGUUi7/NBu1VvwzpebeHp/xMkvuNeStjlX44UdkPygOoBn5esil8JMXMqEDXD qjVdmnm1Ierz/yWWY5KX+oII2VW5iqGr0SOEqAOtjQjNk0IsQbUzxg2sVcbGZbYYBGN+XjIqfs1o EmDgvs5BIQL+v6s/sfD0W4jAxKlfIYLCxSpkLSFEL8kUH+pT95lsol+4JTjoqkjoGXUFaAIoRC+p lCaRxDym2fXMa9eD0voG7JEhAraK+GCcjDITmahzZ8865Q/5kkjxdQuVvlaRipxLsPE2Md0vun1e sijFd39PIvAPhpyfEKGXHErLjDoV0uaSBQ3BSqwXX2MaOGRNE258yaD4QcN8a7PpL+RHf+FkaFCL E8m5NoisSH8BO+fEzwAhBIsQdWU+L/kTvyVTwZn3IwxQ95FW6oBLAmmoJy/7vKRPtql4iHRFhBKL AGmI0Uv2pKdw1zjRrS6VWqUlgJQcK83zTPK7ae4bzCLh+9O2uzFHzwcSuqJI6M7tGvphRLijJD7F qAT/CeRao5/aCwebUaF8OnDNNggQes66IVM6tN9fEic9bXBQg2Sdi8GWkge+8iVr4kNNmxHVM4RS QIvZUAow0zChoeoiXy85E2M0tQllq+Nl3UtVZUlQGNClhW5YQLAjZ4ItUa5oq8BNxJukSV6sQYzI R4JkCiXmcQ5XyVJUOPAxYxrnYHvJl551w5h0denPWZHJhUCUXtIl7fNZPYaarYJem0vMozrszC1c N8xVJYpScyXwdUdbbQXkALThKQqDbrnkPwuZOAlCQfBdvust6YWD9SYxWexgGPBHcx+C1rjAgSfH 7Z+XTMk2tGVRwsuhKDY3Gw0U+o027aYbSvbLypbMLhR3R8+WJUH8wZWuo03bwGZWK8jraWBV5JRg 2xNG1RNgmmpgYYeogD001iIt/aZk1UuKpB9D/vWVnQbiUylYCM3qnpka/5z/ZHxeUiR7z/4VJcgB nrexf/F5KWzqPOxbq8xpbb0U77Sn3X1Toh+OpOKCjTvJ9CKR+2Wjl4DBY1tYDeeScqYP3brgorIr 5SzPL9QwuwxNvOg781LUtDyMtjPEJEBbZOkK22BMwcsdEoHPS0nTts5sMaJwziQgoft6e6lnavoK ujGHBym5pux5thhIAXCE6WpVYYqk4PTxjN6BOafIOdNYJ4fdRZIwxT67OJxXoWeEI+8i8qhL/FLL 1I+hqtMSyZte09yUdjPdp3MS5M9LIfN/jzfWffFX5dQ8Sm+0P53dmUjYsm8hxUV2K/44rznV4Qfc G+tPcRImtoA77KNNJuzTnaR3aH4mEmC45EiuOin3WR6z3vLA1o33Pd9OcgiZcNX1gefaAKE9XZW4 N9KfJqNZXyhSaKyDdOVxijJuVOKpL9QUJ/oI2PYNBzxLljLgOcY5CuMkXeIhbVSOFqcB00FYI/Ky e5YdvNchLs94FRRdm7wKqvSbh1452MCL2JF1+KBq5GpE4iNke33eGH//k3XjsYViaEs3Tu9ptxmL TFwfLmSxOWQxteRd9UFbeqHLgjhtwfZbqumOHidI9YIcScTiWX+JtIgEKYRzzcXVJD3G7MCa8I3f w9RZEU+aVRwUJqBGpapQNx8PUqbhOzhjQnIJmAcWpyj5JrgYiJML7Wy9epxqbR6nMr2DEGXf3ZoC Ihtp9K7n2ZDOr011xoUsucnBflLqOeOiCGLplftMVa8cXOIo6EU7LRsM2ZlQc8c/FoBgwwR8fd/i OstMdxd/4/pptVCo41wZHSl10gCP0/kD7nziLU2F3ihO0iTeW6hhjkaKBMgDUPwuoNTZckUHTDDX WKwM5J5up2WqehluT7zrbt11cVxWlU3OicRz+tzNEupxQZxyyV/rjmaUkLDzQEVZOGlUM2XfyM9/ Pgz9AzVIa7Sca1c31cE3op9d55pwrxIn5ng5kSetTKiQQ6ID9hfyNuyZuBSAPyB160RbOj298fxs S1VmSWYyB0MqZpmzQUemfN5ofrp2pP41nzJwsgu1RovTex6ub81TUuXCGwjzumjJvh1vAGChlU6i RNwOvD6MmDxcsepce8He6YuQ6hNOoFpYO2mo0sKQ9mQHqF7L7jhLPyFKxFnqP1sqaQ0crHEAEYKp 825/3ih+mk/d73RLMf2hGJCFKcrEF4Xi9irJqru9LLfsYzlW1d0BkQCFKUrFu4q6da16R88LcsOU ncIZIO1n2n727vOCm5eWlbx1Xgg++ryx+zRtqYbaVeHt0qTEssMliK7Q0Jf5vJH7nmNZWabqwCjE 5Tud3nNxnFJ0qF3L3S96KsOr4BK0pAkkLheqgkfJ+JCgF70GfbzLLkE2gzwZSFgAIaxcFA0g6saB KnDt2Lve+D1OkzIcoxBhwMErTVlVXcixft54ffqDU/vKxmkST2lyi1OUjnfKvIAZbnGqwAsqTp2q FAbzcS+VN1rfd8kSrnZ39H18QEeiky8KNgmll6gEQxoBOuz5yi3lKWmqKB9HFRr+j2gVaGCcIA8s aEElEiNKyIUW9DjhkO69evr0RuhT0iUVDmns8gI8m1Utgf22bl2v5OSzahmn41bZTc/o2Px8Hp8z y1P0bESV5n7nHMfOn3TkQmDECk7FXvn9vNvEYEzAnmwQYuUEoA4ZG5b8eWPz3azi2cZxzksG3wIV 5eNwwEJymS7YtXql4Cz47q71pBEpUO/5eHZFqpw0r3J6UGMZyqOpEmy+O2gHqjoVakOhIAUDx/oI 6Q29ctQnkOtq59DO7GtUg0OhoBcyWyjS/Xkj89mRN37VVOo5S5JXC964fJrImfuStnL593kl/Owl uXq5gIx8huo9JUd5kFt5qSr4llruVk65hYriAzh20IxaEuGnX22nAM6gCo6hze2VgxyK9ZRC32MI QpvyT0W7VBoc8B3/vBH5/jcpR1CgkjxuTv5G49PiW6T904pQJbo6vUQ3xvRcs1ChjYGKcnKInJDk oVyz3lwT9oxIAxbFUXF56VvijIX3wUbreGA6XYKDui5vJD4rGiBQmxxYDZYbLKhj8vyb9fPG4bM9 zidUlvcvmvXdq3RvHD5doWkrmgQvN9kgpy+k7FSPTsk25ppvFD5LDkT6F7BCowUKTXN4SSVCNitI zAK0zXOh3BRWXdMbv+aM9cbgU5zE+d/DhqoCN0jePK9xhrXPG3/PcgsvZm7bpLAteJiilFwglc1X E8sjj+41g31BKuOixN7Ye9okpZaXi1Pa21c5s5/UAGsc0jrnCgzeOGpQnTwv0HYrvbF8M1965SCJ ygQTQO/RBm7mEBsvXYfeXJ838t4z72+uifPuvN3dy6OUXMTsRhCZSnXJ0MAVl1gXTAcIztbde0qe nfIP38o7+qFXoYTaWDMYSGH75Aa1pGsCggms2K5fJu1X37h71hQkppyXDg3qrfST9W/VVtb+vFH3 7C/+rq1AHwIeEx6nKCef5Dh2kmVUM6CwuwgeY3kLYQDdwFzzjbhn81mqU1k1KI6Wa06YY+3GM+/8 dthIll1dzrIDLyIDImL7OHr6fOMoTBYfQ+BD/pnJATTZCO7CL/N5o+1pPuX+nUQNNDbyTaLC+rh8 +wi5sfnkrZYKxLdvT2Tvc9295+QoXHP/HkTm2OhxatDuTfQQO7sIDOlkK4o67oasYIEhl5VWkDXz jYNckxxQggttUMng/BiVHflz8vPxf5VWTHsQ9GKvGLwR9r6OO8hueulXFXL1f+9x12leyDC9p+TZ U/JtmsQcFSYY70AlQCa1545chmB2xKsC40FH3wvfId73ja33PZ3WvoPBCE4mQuRN22t83sh6ylR/ ZQVgVqDT7l3yKCWX2FvhcSpQWK1OfSmzeaaZukvdvFH1viu/2LLvaDeXDOLAhhY7oMsoysnofiFy i1bjmZAwFVamvXGEJaCLb2LDnIP4Z6kCPPpDt8zyeWPqPWfyEyb4G+Z9wxQl5LMbwMn9DQcFCMQQ yuVmmegLKkx/Y1JKqYTQabTZVM5JtMAN++lMcKr7/TJtkn8kzrkuflC2Nw7CtLNIn8UHLbqGM4vn fJt8PFh0Nxnn+Y/0Mt96wRtJT7OpqvxUXZDrnLGeOrXJ+jiQ44tUMiy6N47eN7jppMTpjg4c7+ck ap1KuoBKrmx+bPCUHZAgwVLwLbwNvXB0vSM9fQu2uh22ip+hy+jwJF+fN3qe7eC/kClo4K+Lunjj 5mlDobxUnmZvQSUS412fr52Orx+sfQMJ/UbNs8nECYKyyR1tzcFT/vxscnOYZ8UZNuVkh2dilCHt b+8iUFb780bM+7qxQK6MA6pWDM444Rc6/vy45fPGy7OyyveiAxIcIssepygRl2Nfk+sVVdbGdM1A GJP8mGYg9f05m6LquJACpYjhotEWHZQbzpV3wUEUUMXchJhe0MCD2CaKvtfIF4jszxslz4rj8n5s 2QcvPnVSLvGjls8bH+9/8nBuTVA7SLc0/kbHsyb7VpPcTS+6iBvE1ffmLH4WzBWlqDSeJnfwKScs jbbmOozpgQCTXODZs7dJQ5xfZPKsBSbYUQW8rrxx8TT/ZZHZaULHQT2p85NOKeamzteMJtP8VVM5 u1Iffv19Y+LpSzWZZH4lUnrxfGCt7S2pBkypdvC4Mm4Sgb3e0SH1uKaehLPTqRq5wpTYSOMWBQ5e ocWAUTwJK3zj4d3765prUPiHg+LUsARN8Kh/3lh4mhjzXn8Fv+DivYGK8vBKV8MvlMqiSCGLdLP0 4ZB6lOCYh79x8CxQQsyx5MaR9wEE6hxIFGdnHn6ygDRE08hVrX0oQkgN3YqZSa8cgedohDWZiHcC +LV3d+CfSGlZmS8aZZi+PbEoADjNhgqYRSrKxFsVOBXsBJUzi0eqV7avNaWgOqwpFVbHh4uy1Dva lOon0YBFBG92FaoZLPRuzB5s4TibQAFwMC9qip83Ap7tUKSUbbpDZzSEmFlWCAMv/vFjZj4frL3t a09s7JM9PVeWN/ad4ovTZqKw6G0EyVPLRLsWh4fjqqxAReXxqfy67lnv6BWVyZyIxIMxwR2WNFmj otr5kSYwcLf0JJmDN+6dftusKzCBq9VNJivK7MJAQe3+88a803uW20dgEfRsznNc6NMb884yA/YR VBVnuQ6yB6Ipslku71XYYp9AAZD5j2wcciJ3tEBBxWBXGYJAaDl3mc91Il0pElg7bY+HZHKXXvk9 UFKphlQUh3PVk2/ROGuWvbB+IvV5I95Z/y59beYZJF+Svi1QIWCFHPSF/kz+fyjXUVibuvpl0SMA DZezNHGlwIx6493pl9JMokiKj7b0VkMzE4YbkD4H3UcmBAsHWAat94dZohWfqLXweePdfeVQsM3W MA0BdRI+Nc7h+fJ5Y91pi5j7K1CoEi5onFicwoScroZVACjyXqH1KrcG2V3TrWHLvh4TKqqONwEz AS24o7FYTjzOTq7G1EgTrndaeUCYwJ8OqOTVnvtd1yv/gYRGEbNpqEZehVEbGya95/p5o9xZSu4T isAeeAHv6anmG+NO+1qmviQZudS4k9yA1BOXmx536C3o0Hsj3FlKbgLeopFxtCSqJexYVYKAJ0rn QsU4bfbPK5rXpCve4vjQKwfZAe8sXNg2eGeKLonY2Uv9vBHu7PHv+YRy4S77ximsjU9u3yyMWZEu uftjTvOxNWwGWHmj23138Kg44aNdXWDPAJrdog4ZGumMaQLC5wfJgHl/6B6sqsob2073YFZVmth2 7bLtUH3KUwCo1j5vZDtrQXzXMuFyc+ZUvusuKo7TQgvmzVZ9gth2sROv01xF1tBodfPEe6PaWdF5 qxHc0h1tPgFMPyrKuuciPOA+ILzKoqhOZXcG1Scr0gEN8Xkj2lmcmGWCTG+D3V3K1OlXz6T9vNHs tICaJ5tiENOc1usFbyw7PdVJYxG9hy6+u1ansWznjaFXaSSNN5Ldr5uwaDwabTr13AegQdjGC+5i 1dwu0HeYRAe39ciuaDpFpfFBWM9kC0GDqk8TXu+XvPnGsLO+uV/xMHMgpjXHzZ/e6HV6Kqs0bmaG sESq13N19Ht3IRmNYYpT8sxJkYkc13hhYqucex0FOCFVlI0yXAE9YdU9Iy11mBjRmW/kOttPCaPr Qos5qJh7RO6m3D0/b9w6+4O/K+MgXMye/CbconzcUIfb2fhzNMOLwYjp3oQnTFFZV2kxdFxsqCGA PUdLCmBytKhnSAPZ89cIEQxBJ2nC5HStjjOYAfyeICeQjmRf3QeVnxD7qV5n3ng8yDL7d2W8UvB5 3dkUZuNktKxS3WCg9WlE/AonIQdFU8GOe3hUGU9Ve1PSTZij7U0D+IJRSJluEBzTdgR0HUqJQwoT DzZz640DSkuiBUPGbNKgvelMfXPOPscfHg+ABXl+7eFgGC10TI33E+bimP4zCZZSH8om28CFcANT wbCjrr/n4lYlPE+QZGCjLTpUwM+lrlHErrQ8irb7AhwotKl/kHHY3U7E0h4yNdX+ndJnox36j4TM US1jlDYfjxDR3/0DzGrcEzxKUSIO2ZZzKO3rVjGSlQhIRHQigqVTiFKEVBm2NRUedBqtRreAhAdj A1sTWA/FO6CY8jQkqjjBrViA4xXfE11Y6CbQKEVTmuH1Kh1cuTXVkxzg8YhE9itMqEK37kWVHubh 2WTFqgN6iDtS/2D062w1HfnUo8K455fCpmq8yKcO4q+aducPAm2T9xW26hv0VUp7kOOibPYoDyeS Dn3GJK4d9jReWBpu1vrjW8HzAfRpfpcKsOH8TPzRFqmQt7nIti/W+z1JVK5Oj87LelNQKXBdox5l 4lN9uyahHo22iQMinmg/0aEpgFJIkU8FpbKg9Nrgs+3iF7wC9ygTR92f0lhTUF9cDlWeQ2rPe9Ic mx8Q7OPpOxdHM2C0m4v3iL1JJDMUSZMX6m5PCv1aywraTMwxEak4F1f5Cf4tPvo+vsBsHUQ+NZqt ysG2kw2WeFBD487Fsnje9ahArhbntHYC6nVsJcHRoxFIAj+jieeDQI36NafoGIn01wIVJ+NywZsu wla7GZ4ANWFiY1DS6maH2UMOpxpys6oVzNHrdFBKqIWUspo74asMVEXPIYH6Dpngu0nVrFcOEoMi G/YuU3ZYlwsi1s+2yKTzXO42no8W3/rODCBFCtKUBSqskVMla7K4hqrKmCxM0q2wE1FCuG/FpYjV gh6n45LWTKKVczRIz1m654KHiyS4FSNVZgaA9GDjx24PWHN75EinXvmv8hPKoSxo6oT9obM6XKYX ayW14AOC/bz72iNyjgDrcoH2/T0lR7edfjqESqrTifQLUYPnKbY+eVOgfsECVI9S8i1prJrFC+bo lbozpSAmT3mQhJL84DZ1rvvQUkGpg3rtbnpCs/EeVckrtcu7GAndGQkNaAgKWP20c5fH41HB4LvX CSWP2dKNU4QgR80fHf1mBahB5zEVoBKVpFCAaryL08OjR2gVu+G1pNsbFVmRy4AZXE6KULCyTpzm 0EaI7ZweqxUk39ZSeSDkJ1z4ogjJKuv6lnxQYSXtRnspYt/xeITO3F834QyszGwX/tTDrFwQ8s7e HfvDWnB0lyGjjRWoQakcrr2oRu6qWFN41jqv1/iGSw/Q1Y3iPGfHoi8TauS4CnWA9OvCAlRFc5D3 2iPASqecQxNKsyUrCEKNC8Ri/OPZ4fF4NKGck8BuR0Z6jcPYqeZRYj7ZPpfol0nbdi8agElv3YSG cpS45lGRfKpYwJ/HR6+tLDTlsc4AFFu4m5m0w3nrTTFiVHSM40LeO74nKmkSi9Fz90F3O0AiaOJ8 /piMxwOy+bh9YeHL0HWpfh0eUWpOUwiYKjgXaORrL56ma48O0i8Vp3/VyKuR82t7zAnRTh9g6aNG DlItm8dJArgJ7agM8yxXXEM7A18UkTrZRejMzTvck0lYPLdt8P1pLt4QqbBIvjzn5H8LAVNAjCxQ IYQ8SZl1XXDPNoMmlAm3TaiTJDlCc0RFcto1nSyJDi8cEaaBO8yAjc5aTKQKCLbZmbKAikGCFFcu N1eAACG+JsCxSnBmysSKRHhGiZ5lKpH3/hlRiTz3/LVDofbVW7nLLkrMG64kU4Aaln5zKdMa6JXO 78QdgtSpm96IEvOlO+42C4qtbufgsmvQjstU0ARhqkAEiLwFYrTOR9LA0VIDqPDia/5iUg+ac2kw 9Dh6ndzSoXM4wgJ5/T7vIPKy0t3GR5SUd0YJYpaOspdvzqRnlXnBoIPnF70RJeXTiypCQM0vBFQ7 qUFDExVR2vmkG3IwHrg8Lfal0Egz8SLOYHzPX5tTn0tzChmu+pug9LPWNs7X4/lgNrXvDh7IaHMt TzVHmJMnYjAkl0nFMHKTtYnTNkrMsu698xHl5GtIMNOY+f0y88+yzkiXcY5KBmt1zrhz/Ztnh2Cp mzUo8fKpXzBC7PiWYSOJZRw4hfI6WYZ66GejxePRzvRdNsBleKH1aVEKseNEZ9ZVvGxQhTVElM6M 9sZ5LncLj7DjVcgCHGx3vNiecVb1pub9ufqeaVql7oc/+exM7IBf5DiWEb7mj+bdIkyRw/iRldCc xnAHdu8zwmR8fc+lQuviy5YaUXm8ZSqqiWcnB8J1ESu7Ttu/02W2jCgXn8MLmstG2r0jSmWzPkR4 QYNr0ZAfO11gJs1YKS9rYcJBhO8JMicccGf7QkIAaGMywMqZWK1xbxnn5ojnI2aL7+Dy/QIGq9w4 hbk4Syv04nQYhlFdz5rb+SYE3ZucI87FpUE+xADiaNc7dGfPdCRS7ORQbU7ptvfFxjE0MRqWn686 ppgjzMVx0uH6gJ7wpCJsEhchndOVN/51ti58QFAxqN+dBFSC4WHukQqTce7ibRs7MdMA1lOnbAqj V88QkQpL5OoksOnto0UKyEX8b5LK0GQjhx/c7UXFTJwQm14TMk/QNh4SOmkom0RGSNBBlEf48jbk +ZtzwfP/Kq1wG8c2keqF1c2wSk5YXaGus3QyCzHS9GisJvqAch1rK52fFGUF3KFWlwkox9vBS8Dj StQ3kw9EtNhGMRYmFLhm9dvBI0N4hoAVGvCulO4gVN05acxWvZaKx4M43Wtw0exrKO55nXxGyXhV QziV7AADVX03zo1sWuQNykPb4hTWyc1LvfRn9LImlCsnur/gYcJ5iULJKS+KHhBoP9eVEyUhAl/0 Zz8Bvm82KFCgnghBfvIzPP6vPYpUFuSsc9w0c0bJOOvryzgtUtfOfuSB0WlH3kR7QCJZIZ9Tm9Mb XeocTDDvpfk8wAonVZcUDTl9jf5vk1gxIXso9TDDSrnEVaQgwkGpAWgVWwqsq+Lxf9EUnQYEa02P U5SO07fsLPTS/BZcHc/aJYcj7T4gwBWnuE5ueFYDH5YLPgSf/KRoKIdBeZxlciIMzjF4JgVOqgyO wjWSXXrjCLDCDApNbw7TgT0EM/6Q2jPxeMRv8bqKqgVQ9K3ZL3czSsgrlbJMV4VTi1VB2ROv7Z2X lV0heoYih00dlyrJTI6+8EaHRw0bVB0IAAL5SiL9ARSg84m53VRzDnvlYOGxed72vIPqKicbWZJc Lb3i8aDd2X4RgQr6LpdHPaOEfBF6mJjYCsxabzdhSzWr0eXTGS4zQqx0o9/9Xyv1dGbSOnMz2fWu CX1x5lPdhN3uHxLOLYeixeeMEnKoaUApSUoP10sCN2CISgL/NAYej8p03yhyYB12v6KiMwKsUMZi chM13dUyPIUq2fTsYcm6recyowq5metSws3H28c7ayRNdqeQZIyiVJMyQJPhlU6WwPbkUM8oI5dy wcJRzCEpI4ezJy0cf0BkxuPR7e7WnrhjN1A37jV4hmTOLDnR5oIYjb+JXe+mC4dUkFE0m8LyuNIC 1AXuaHGaJ6/YpRJj0BEdMgVPmgAFi00/czhK3UbC1htHfCl6zaPrb4OqBRPy2bwQr83Hgzj94ktB Cmyu20WYEWIFVTjsBpcb3Ft1PbFSm2sdPoY3M8rIhx1yZirYHlPBvU+OAt8+3lyA8s3yu9mN9zyk VbXWWx2nZiG+KABGE7LSEyErHUD9LKFaUIFYzFznronnIwTU97rDBo67uQcqZHMidYGvUfXi0yhX KKslN8oDLc8CFQqsqH1gZgcaHQEF1iUcKnDa0SshKc3Exr6A6gEr6MZp2RtH646iBYMq4xyEWTn7 uft07Y7HozRz/7q3rB+oVVuYVpSNp6WkoD5AsZRsE69s2nN7ylD3Y5hWhFlx1eOlljBHTwoWithT mzhc0/JQVeUEDz0hsC0cs2LKBSusjKNEi6J98cHInOf7t9TFa8XjAZnzFyiaGkn1FsZXWBhvKow3 38R3S/vZxKdtTi15SrDCXLwbGMNsKvmwb+JnR9mV6k+dgnJS8TsXJji6n9ObugVmO8za04rL4qRr qN9Ck3VWaSuEognE44TF85Ho2vfdDgXJOW65YEWZeMdKxYryyTSS6WUhDx9eylwAQSpMIWZlWSlT ZAQ07PDTcjKB3MxuBPEFMIWSaivae51IPRpPe+K0fhq+J8gw2T4YmX0WDoL2QAufx9fJ+Pj4v1RG swrFP+PpBK+QzVmyjrqn4juTV3zL9HYUSh9KCVYIHe9KMFd6xssCgpylPMvaWWjEEDMlOH/kRF1c mpCWEhBOt+LCOPekTs9TnJy6yp4tHFrdnE4DirUhXOXJCZCJg7C88hXSXlEmvgjDmI9v4Nyp2BW4 k3yEogrkjz1QEZ3TnCjPDrHvaMsOyn0QMpIV3oDcDXGHqUgfCy1zchEc2TP1ykGdbnwddqiM/chy uOGGppMDOfPEJ0T5k9+C8Yq4++xxW5wrSsZ5bUDR1IzwxhTzFfWnTtsTIVbaDVVUHXdd32KaasXt NODUsqDlPwb1nwDAkddpgfr8yfsAJID8lbkGJn/lCNpDr6RELBQHq4+DYTt0aeF7Rhr/nhYM1sf3 D2G6FqcoHQdvE2svF6s+nX9hLU46Av+Yl8ZKxlVcYTou77uTzdc72pQ66XdisulgsS0UBsS1YdKy MIHzFYvOOvFibRUEqMxig9NbNhwvufZO7PB4JF+Qv9ZeQ6ILXTuLU8jprNKtTcvABY2aprLsrNWq T+eqscz+boUActnHA3t7R4vTWV0ggxfSpRIQ90Pm1Y3cTuAJcRu8aBXMCnzRX74jvQqtcj5YzcoM nRWefgNluhVWyLdnBrRymTCzujp+K0SQk1a2+/YeZ+KsZ4+TsoRG26B6L7XHw3xcouOwh7ijrbtd AfIZRGtj+SVcFDCfxOgc5L7Sf7IzTthQ8UUBIUE+zEDC2qDWZs3C6J85Mvie/8V9JLMBQ5aLRSpK yFeTvMrtBn+piWXpIJKSsFyAfIWUTl2ASxIhiKNv5idVPls2BDHgZDwXVN6If6I6BDLEAsUNR5GT k7CijDzzCgxtHh+E65nU7WCiMCsef4/UeiJFu1y2XMvNyXeUkw/z+C6OLjg/jUN/W6qusEIbHqZR O6qQD6VG1Rwk6nWQgHUfOHxApQMBdW5/rMuXJOfwTEYk+KNWWpEd+o6y8kZF+w3LCQ52qa3n1FOZ AiUAPB5U6q44pDRZT6qbrgb5fs/KwSCiP2CeyblAy6ostc92LSQWaPuStA8lVszJ7f/6e+8zOYHL QBUDt6SysjQPMzh+uUEBqT2FOtgG6pWDEpR6o4MdBQ6qkBdYYTPfhFb7fk/LkzzGnm7nb87UDqHk PUvHz6/Cs5sLEDw0TXEbeKVieg87TMuN8jp15nH0+XTmUIZtkVE6225MozowsitjqdbLcin0P/7s KC2vkrTvxLRyEBkIcTKRlTQ++9+MTomHFLi4eQq1o7RcSshQk7MwjbtBgSA5HK6CCr3CFMNVVKkr auFxdIZwAtylUf9poBgic+pzeZkyqz5HHtx1fCtHRQdfFInRsJTZVNikgYqOPMiucQVuTKcIsPLo ZxamUPDISZ4a7BhFrjuLS//Dn8eXHfxWfCOnDw7jFKLIrd475LvB8UIM5kmdsty2EjyJdX1ZwDBM KFsIeqhCHUDV+Jq/RFao2IIBWao5SKESRLXDc6PH8/9SWWG7BRjyekUhd6x2yDbw3C4nRr1bqawg VzaeC42OFKYQr6LC07Sawbw1A7Rbzi7SRDivqCHIGT6BIQ75ynN+YdGZgEG3F46aCIxPUp6ZvHs3 UM2Si2lNC49HGn4uicHDDlyo1K5kzw71xysb56m6GE2r7ijVWPQwbhmruQxTKHYo8RCoQtzRuwgL Uk+SE4PlVtHKTATiTFoOV2YFTVe8pjf+g/e6EmxbbFCcBsRI1GwpfM1/YekMdQ4LFy9n7hCxwnIm bI8VppMUZndYXnKmrkSs0G+j85P+hGZOXCp9dAgrBAxOMobyOEwrC7ftIg/vIZFE0MMlMUo7aHxP xHsluWWyNcXBwgTPXabYI/HxSH/8m5WPwvNqt8e5w/I4WfltD5cTO1eu5ouuV7dXPlvdtDCFgBVj uLTxjDabUMOEUC3BdCfnLEvG3oQnDlks7wtXIcR+h3zOSRpCJoKcg8qZADVW3fPqwOMRD+jbVQoo uD2eKEWpOEAoVwGZFLzhdt0A+GSLEgW2teYisMo0E8BmILF2QWIJJl4L+j+IEtKAKU6+TSbUYSGe YM7mZDjieyJxFTZZyN/RICZCOnk41cBamQOP/0vMHo9lARRuPVMAodcthdLfoAr4LbiZpMpZHjM1 qxacrSYJg4GPisoqvKyk3J5RKSZ83CrSZKh3JaodVsqrZIqQddwYKo4RblFUFbGXDriv9LmpU6km UKxV3c3zCxSSY7iTbH5EhGT9nlbEbKX5mCSkkNu5KYoxl3M3FiCnpCSUPZMKUq1t+ZVB/T+FKbnK UFh5d3QsBjp4qRNpf/5YdIjJSehSWUEjogCceGXIl710RFuUtBGLmxAd+lG35UxmYOvwnZsGSlFW vn+1zzOIiLBKuZ436T0xx4OEISovYM9zXLw9MK3e84QciYUrxJHLabOUr/HeYM51ptlND0aRWD5I NQmDOlnDSQgKhf2kiMzqAZHrwdygzhGOdgzopTbdYXg+/ZBlt/gBQTp1JRAppgHMUBrPWozSc/Yr T1JoWAz8YzMHDqp721ockLWzYIUGnbYGt3TZZPmpUgtEjc5uQfwKzJXlF5BRfCo0n0QhGGwgu+7J MyFFCTr6WmjAEDHNIWmzyhLehT7U4PMRX/97asF/Yz1KtmqevqdynE3C2PNEpFKv9q263K2k12cd RpVznAwoHaS27+hlKei8mXbd2cLO93WSqBrluRv+QFDb/C5DBS9+U4CXnhJHbsUHA0WdFCUpVxqZ z/+LtM8qOw8v/OU3WmHtvBBpV11Da1Ag3IhUqwqceC7RtWbf5ePiuSF9zPq1PtiDcsIjZ1a0r5Hb TrlMMP2kNnyRgF1Tg2/bS//JzRs8yjgoT0rAvBlXQc9HZbzxtQoJJE3l6o1RcOaPPYumhdKHSmz8 MliLkvTUh6KikAUrStinqi61WnOm1sdsOZ1LFyctLDnW2fwLqy6Ddis47E3RxyzNcrOXjm6ADFYn 8qd393g5R9KcFF3Fd/P5fzHTtcOfaIMecIMVy7AY22wZ57OlUo0eu9A4y6yit5Grr8PYNkh7lZEX OFr+sLB9wykX+cO5gQ9+cpaqJsG8tsFrzyq12Uv/oQN8wg/zTQzZ+g2oKXCjPLf8xuf/aaKAiyBK e2mnZ4OPcvctMZZKnhnrL9SaEWKjdvfNFXVF1ouRGkvtBuSU3EjzIjd1JM8HnCmwRdPbwPEJeDdo k8fSzbiYjSUvqhQqlUucbXM1chDy7syyJlNBcInxfLAMy63okUzMu2vPT6oVltOpydJydjOFMg06 BfL9vTfjj/dohQCXbZ2s8oy2Dhf8GGfiVWdCKEISP0C0w2yF+SlWjXH1qr9zUK5Stx1HuA0K1jn/ u07DkSefD67O49tr6ZwKPxMyIhaq0NOT/QK4JBpmCtQV56H1VpPdnc+5ON2rMgS5NJks5fKMdnk+ oYIs8yadfwH/QvRnImx74ppDxUFPSlu3d/6LpQ7bPB8Yqgl4n27PJ8Pk89HF8C5C9R7gUZ/bjVWU wZOMCdzG46Xrcm3NvALk0VFvrCKoiwtxm67d+tK1g4JrqpsGg2zDNPMnRrcPCpM/KGm4+h/hijn2 9aQ8S5aPFweFqpy9RBbf55LA54P0/ZpPKCFDI7neBRg7e3K7GqN74WrUpPDUXpsrl4OBmFRqyKG1 57JbdNFC5GiRqmhaTtEbK5o2sqhIECyF9AVbSo/DYGn2zn+QrSblrzkYDRQq9VWKkqkOPh/1H/pX qAqUo9ZFT+XA3BMWszKFu4LTjWrEqvEN3n4oyz2yezGG7p5jSRFJLhwaFaqT+UDrolDcLpNs2hz0 MQQZJBPUpBJHs1eO1h9paZBmsYGT6uyNJat6vHAnDNw9v9EJfDtu6PveCEN7T5rxnsV1I5WcwADx g2WCZL31dG11Qzao2I3wsbqjTarz+5//o757BURBRhUoubefyRQFIo1Wweqj2zsH+ISFCt+uQwNV BqiCeWYnL+3nhNh8PspEf8lEIEEeV2wrBwafSLVZxCq1eqlP4JemNsSwSQU+gq+/MGtXH+IcQ/WO hvA8+/lZcUNEojLg2iISHyT5JylQrBgYjKpPe+cIlsdsoabtg6p9aFE2EWRW4fP/8hNiHetsxyiB 3VBFNXaVZSp9pFXG4tVR18Fhdp8N5jZ+dQ5NPpc7L7GZrdHRHFDMWChbwMlrg3Iligza8ScDgpgR WDNe8VMZK7T5VGW0Xul3gymUcxuYOgHb1JtG2/r31RmIkrW+plUo02KUx+Y1GXBMrCaT2qOBsJIb MwZOn1bogMOnGhJ1jYv1nOftUIuhCAJURhvLzYkET0wvUlCaO6Wzmc1viuYVs4VF/sdcl/9xJtWS Jeo5m/h8RG34lgWEZsl6+so5MPsEwKFQqLSbrgbSaWdeNSIkBB5GR8FiFZbbdb1hXuSjlxnS+YuA ZVUO2k5KviQWjDJORe21rkvmK3AW1TcFXgJMqdB79cF6prVQCp36d3w+uN7MbzwVtQLQFPFYhXj0 KjO96gRRGJUZoAo2AFaSOaf9XYNhvi6ef9kmrLyvsPKG785kLQGO6fA+k7M8MnkIBoPaBUby5V9N e+nIOYdeMPhxbNC8gsh1lxQu6sih6SeVrBQrbljys837sU2PMTBN5HWv9lVp4IolmrPj9AoZ2l0f FWxZpuqWlLM372x2FMETKLuiFJyNsLr7AnT9zjaMe0Du6+l4NXvpqJnDat+iMMIZsiqcfcFPm195 0jk+H8i2bO95sY04Cfu+luCh9yfzOGmmorSALQvFOklRFybqzNnRG9bUCs0/RzcFV4H5OTqoETBi CitDKxCWPJKQIHM4ozT6w2aX67qxO5hD90/1YFqmCjwHLcNx1nfVP/bJ5yO9/G/6DJrtZ5u895vQ /JOpNkBixj4e41mGS4ISC52S3U25JQfun/K84/ITwJGj1/rOREdbhyX3RKyySu4sJFXodNO2xyuj NdlL/1Xrm4aKgTMT+4SA7KD7+0O02+YHBNlo/i7JUPUWNhE3WiEkBrkj2CFeGTXx7iX94m2VUYDk fWZFmBjzOatrPqPPrAoUYic9ZCHbTo019wZEykkeUI2hOokOQ2oW8psCHENVSZQbfCduhMW+c70g 7+cHItp8/r8AsLEK55xPrGLvoUJiyIUP7WZmaEBFLevQA2bnN+fABRR/gbqpJac7OuDj7IPn8teZ uu8u0XNWGdBBBnkgA4LjE4sGAzm2Ac1ahDJIw/zpKsPgfi5f2bMq+AFRf2J971iwkCtPXTR0AoU9 GZLlui42ZjqEKO/sgsJMJyxWsZiLFKaS5hVHL17BSTh1VmQ6VqWcCM5sPmcsjsqfTNcKY9SoIhNa gcLAGrTR2n0QngFW2OQSt5M08vmIxvaN+5h04F737hyagbJJN6XxoWlVu9+dyzTharagl4cqllg0 tybTCZqP+DJkBuD3Ra2pE6uSrF0NS2aWE2e6OCKyQPk9AfIjCyBTkg8qyJz0m9duRGrz+f9i1wQt s/l4pOXQDpTVgwGJbYPIbBqRaAESGyeIDIsmilQsei5Z0ybVTo5eP0YDjqQIcLVOAkFGWeFl19q+ 6Fh5GydbrEKUDIW5VmOzfqHLIcv5hR9oM2VIetMoZbhGRJhW0J0FT+MGK8atS3r5OsqNZA4NUDhN XpIBEMxuz4EpKOQlhHIcAq5ztGl1kvEzMyslzGA7sHYTLxlqIdDlhnGxZ1cNxxC/JzgFqfH2f7Cz J23ftOYBGjvz+WCvmr+NY1BIS6Cse6zeU3fobRI0mx6VeLdZP+k8jlaDy0BS1GIVpu6WMwx1Uzl6 LrogsDgJ8j8Z3dmNB8VdiJuA9cDZxNEbQYGSIDV/6QjlTyJSY87QoWTMiTVQj1bKcG4DfD6SwfFo sSuHKv/eT7BCe1DmZWAv+jHYRLDpSkaTF5ApW85V+Ic/qIrsJscxrxzHmVgVvr/gZ0A79xwfxVgT lFuCAmdjATk/2PUc+oPi/weLj55gHIQ2BsTuq9YeGIT+rw76Rh1sX++BHDqE0nsDkIni+1WvV1V/ F8cbZ16FOK0Ci1BAfhipYREb7pCHSOETmBjAWX2A06sCFpw3h9ZjfgTyVOsLPEJVKYMOc7uDClhn S1zSpdxz8/n/YlhI15FxtQFy7BLKWntbw10/+pzewdm7Out9XL3FHNqEmihsqSZEVR8rehCOVu8s i56zqpPkSyEqZB8wwfopc10Me6/+0sFtkMjHwtPwDMlaMa2MsnqTNGXP/IAgWPs7az9LFfesdbGi ObQLHVLmwBlupO6SLjHCWztwc8rZwxUyTEXEhQXBHR1XdLbE1E66ZvW+Yqykkzw2XPWR8MMt1dWo 1KMPHEPlmwPfWWLZ2SDogjEA7ywlz4XCTGAZ+r/EJNxH12OGmQPPUKupgkWyLVhDxVEK7e/lbBug YT1Yod6LFfxqHnf04iguymux0oByT2cShjoKb9GE9+Esd0Uq3If5TRFmbYhfyph1vJFJBGC187ow +878hH85gbAZgXNnnav3DVeUupMYdI6tZIWZ0WS6TmKgtvqJLAt0RV0JQ/dQ7ztv5ZocnceVzuRv jcZXKGIOsuNgpMbOPjmFACh4LZm6VDnwD02USj5be6YVz8rJiw3oA4lq2lEfDQxEv117EasNFdFx +Uk5dBAVU6J8ychLbBixatkMeRr+wrttRViZprooHDLu6DU/iEznzBbF6BmxV4+eDhq4EQExMx7q TbeXDk5DbfFDtWR0B0xDeEHeWSq5afIDIiue7yYFDHBXrs+mFRbeqTW2V75ag2xJMlhVLqJU0Cv1 rsM4feepVnPvd/Raw8myVqlEJUPSspCmhFoDjtDGXs4glVJVrL3spYN1mIQd5VYvLwNOLPSJuPjh +cfnIw29b0IXyigb/8pjFcLcGzOrsauBsNp2Db1z4c3yNUTvqwxfhGH2rpShTCsmz6eYvIB73YUN nYnqGu+YQEgiM22YkgUOMw7CUuE9dBJVFbnINkwyH5xX9Ry55JijWcHnoyLW/nUc4pBLkLzxaIWl d7Z0Ch0FZGlIn2LWkjv2fUUrNZdgyqGbaJ+yxC5kLGlUtAbRlLjuYH1QC5XejwsQ0rOEcGkmicW2 rCx8X2AnCgAHswdgVzAgo2K4QIeSNMU5dSc/IMgeRvmeWrhg5utQm2ND0c2SX5n73qKn83GS9JvZ gU4XVxQ4ikIOhJrWSWUsjdZWReMF8S7s1Y8+qtQGaqOHH+4u6XEOK8teObjqEIpMEwoO3pk5N/PC foxQDYGj6Pci1NshZ5h3dw8dRSezrC4pAbbMu0mcnN2dIH1eCwfbNYpUBHZfArnPkZ7RKzPjbCsD +DnYYm30ptWrx5pZKFPDIdJvhUNJVmApKvMleBgpNWUlRELgkNuU7zEkrnNoKppa/ZXAg9uZn1hF CXxlwQ/i9X6FztNwDVCGMTIcPFGMvpQDW9Evj2jTPum+ucMCcsASlfoUZ1PZUHFhuQHC+zAZ+AGc z8FqyV858ugR14SYNWAf5TxTB2pAzJnO9rr4AZFbwTcEpBFa0W5lJjAWFfcVZYDpCKy6TLERHYrm CCx2xG1axc6iEkYdJpTqQpOAgFCUKS9Rvc5VxYR5E2qkE6h+vIBrnqRkr/wHiekk26P6oNIoZd7V UgUCK3QWfSru8meH3dqlyefAWhTexwTz5fW0n+ew9jN+YGs/J9htK2OIvUXtVtjF3OXot8KE+YMS OTKGBQs59b2wNaO7cz6wPXpDtdo7/+GRNZe0wTmo1rBx8RFQoVU+/08LDDx35uhsDwIrdBelAuVY 21ENwwzsgWpYBPhIUqC6R1YO7EUvVz73Ue7ol5yFXxx8fPSjzrIm8gOKzRgrgMcFFUpHy+iSExqM Nlkaic+U8JcvowGcT+xSRc+NHxAVki+yiC0uCHBvmJp5uEJbI+Imm1Q9uBylXsVLDpMV5qLtyRgC m9HslPmatW1xNGADtsNdKH929nXQ8bL4E9xkG4geDXUgb9YLBBIYjWbT9cgr25B/TEk29ykb5Ixy Q+g0+ruMlcEigBriM7nCwvs2lfB+ITPL3DB64nVDZu07bV+HEWQG6mfE1VoHLD/k1IxCb6qUCa8T qm1bnS+2kBfyB3SiXal4Z3vnoNpQJALK0t+Q0jzZODuZCEoHIyDwGwW66JvElEEJOsnoxRd9XtOr DJUZFv2yXZTRr0hO+dq0FxajUIZZJ1avyVW+GgPINe9oxyDKoHBoYqd+n/S6Zh2DAGosKkpNByJT RATf8hKm7IrOvUm7sbndaAcQtUgrZuHplyCd/3dPFbCkWAT67ZYTMvfIKEKTaVVv3cFqVOPQ3QZS eAA2tAGJSptNQYSUq8MqeN/RI1Q7VVVIL2kway1S30WXegPllXn8yQ4Dcwtf8xaiRDLZmUIs+2pQ iHLluZDY/v285lMZONNkIeJehLsRFVMZotds6jwEbg1kAHayBZfJFdbBl5LXFSaBPQjRay6Vb/N0 F5O4LC6LdhKEk6RD8Zp5Z4di1rSCKbs5E4Xu7GmnjCFfM6kMwjzV41jt1aDGzQaH1pQY2uc1jcq6 CD2TCMyOkdngQoRek6hsXuUTNqY/bj2zkhWMJT5CyZOBwjIj9JpCZZRw1AFcM9/RodkVkoOJIPZz 7LUzibghrRO4H9rVQQTBIsQb5+c1gcqOy96d9SngHmQtVyEgN6S5u87e/Zo/ZTMfuCFCptkFSUOI XrMnrrPFnkOe3qiBQLZS8p6Xq+c0yHXL1DdaZzur9TKf0U6487/SpDnLSGdPgmr3FjNp8YTZVAHI l3rKvfM1dcJexEI6Eac2CBCaIM6uu3Ftn9fEKT8urPXCQeHrbTF6TZsyBFKSWqNXw2OOB6cwPBdf vJPQYi2I0ZyWBYxn9FLn2V52QrPv7OFggg+xKMlROhfiMxMaQFzWJU3yYA1itIh9oa8IBvTACEGj qU2Vr+g5114TpiwqtIJEOMf5n3ZmdknLjdcgAfiS2GLYV65qpmxrDRhXv7DUa6YSBUnN0VHyuKNt 2Lj6DiBbKQcDF1G6PCVYFlBnhIpZ/a423u1eEyWsNpz9C/UHDuiqcRcC67Cz/AUk4Oc1T8J2tpoF iYytDBZSmxak1xwpmzTqWcrdOlhgA5h7UZ1yjJbjTHM54mjLFiShjr3u6On3hqL8zsJRJegzSXaQ +hT8LxtLkZZ+U6rqNUHijF1s8e3GMnDhO7NedxJRUgh/zkk9Pq8JEo8W35NYqwKZME9fb691TZyG +B3d9Fi4oGXFp06cvabSLq6Z81rVxGqXolABCMxHr2qirlmB6OjYj+AVQ3HrSpFS+Gmcv7FdccbV 9cLvUUIWtkBJrBqyANRoSnb5qmXoogYx4hX+xoiuCql2P/1fy5nZbstQUXZEUE5m6Aup/VYvHAFN Eqp3RBv3sFaoFGE4+qV3VUjELRb7y0n8mnp74I2cjbueP7RRGd4geVQjfi1l4oXp31DV4KvJr/nw /JnZenX581rHxJ40/PxnpzYXet7gr7c8Mkq1JRjQSMO0A657fW6t6gxJKiMYQzI84YQgxhbnowUK UT6BoFQ62hy9SMJyAMcNB+2zPma95YGtK+97uu0GdGgt+8BzbcDBRi5Gk0oU0Zqb/Ws+4coKdSiP U5RwSzp2537pkbIloE0fCyGSsBxuyP7O+Mu33jQkE6/RS3PnJoKONCVRy/mDslbd7pT6RP2lyaKg SrZ56JWjHZyl3jGaD0lX3fNzU0IJ2sefd8bfr6QbMcGSOSmK703vhD+cc7Y51bs5DY8TxJWvI3u+ hbko7d7yWe1TjU+OFid4r3b+EVAnQx7eJAKOWsZCCUCyzdZyGQIOB2FCbCE7IWWTbvR6yImcRSha yObjQco0b1rJyidqx33c+1uUewux2PvlcQsRJn24ueqNUx6OAAp2cc2NXC0hqOXppzcmAYVGfedK AZEUzaeEXS+TaQvAlgt9Jnvl93XXCZY683X6oALm+U9JU/qpBTDYMP++646bEXQUzxHlKcE72Y/7 L2lrfftGDm0sn1C1+kY+sdtapTcKFEWqCmW8fTRWJFzSF4ECtCgARVJHI7rDi0oWuafbapn2xn/t T7OyNzUpD6qySUbvi5ZhJxn7vFP9sG7z/Fp4GYog8Kb0QEVpuGSY0oVW49aiWhMukduRnYWCoAzU ex6e1UMELNok9db1csjnxEMazgsvdOtZNEPVZC/R1X/Q1LtMWxo8vRP9sMI3xeHqnj4YVrFgH+Xc KuXzzvPLklNVnEibYcI66o1TmIlvOkK7I8jJt5qR+8DXmG6tlglHZekkSsW7mJCz7mf0OhwPvCzr 7HMBzgS+AtPZ4aGCtBQFd/M5ztJPiFJx0vIgut58sM4BRNKHDrz9eef45UdLz2oDaBzIvZdhinJx tron3Eysuouk2ZEGIzkVC8m0hSlKxr3EZAKW/QpYnsSgwe4JqC+UmM48HRKJQw8PRWhag3jvvMBB nN/zHqZBLiSUmzQYpQHk/M2af0+djwd55mxfYQIwi/5ZFqb3ZNxkrWblpdzMH+ulynRHr4C6sawI HmXjtJE7aRa7ezbabAJ65ey4lEqvFZ/VrMwECj4LGwAqXh/2rjd+D5PEOKBp7YMXmirNKiGrlT/v zL78tAtsd0K2OMq++VOUj7MhAmH2i/LxOu5ZdfVW41Z3p5l3Yl++6kF7ysJ4S9qO23glUxvTBrDE BZ0fyfTDZmUOWRW41FKekqWKEnLK4axC0WYNDA4uQ3IBqkRiRBl5NrVmm07AS/bq6dM7ow9LnekT Nw5Ta87X5zgl03A+h1Iehu95J/TlC++BZvUdLX06qSUOOLpeLHg1kEl7PoQ+32zQ83D0ghMQRp93 Pp+tAFhg0UxlOVL0pB5wIWGF5Jyon3c6H7OK9bWLN4Jnevdd/J3Ox00NL9mbYcxp8ZS9nTI8UCIS KVDv+ThmpmF6rKs5n65mKlREgYJDSjTs072lEg+Fkjh8G+ujoTf0ykFpbvIaTIVd9HnWT6PRV4f4 /iZlKqOD93mn82Vz5FVRheZvsHCEM6UHKyqFmx9WWm7+OK754wlQdtEg9A8tWO9J+fVdbSm1O9qs OhMpC554EkUI6mH3RKOObhK9Q2QLLIiLNy965SiJovpGE79dKCqm1yffHiw/jZNuft7JfKg/7f21 /CBs3cfTMgizcuKPQcLxKt0wrxCYzhTH5ZMbpUBFWXkSK60n1X05Ojkm93X2kEwlqooSwmYFqoKS knkVH2jnuggHlV3eiXz4ok2PJyQ+Nig5aMA06gCc9fPO48sPUbuSxwfr3w29BotTlJRT4AC6YM1z zWHVudpySpaUo/9st5d3Fh+3KW1PWfJmLdcbpxMm6LqOQjfRtLfkTFKBU9OmXv2a3vg1R6x3El+W BfbZnkAx5aC6JMQqNu2IeYh93il8+UGZiyCCXWrDwMnCFKXkuKefHGq0KwLe3Uy0Wf2X6646TOyd wJev+AbkW+548QTw24QN32DFF9airEJxCcDc7YdSfXc3X3rlIInKWHCprjtwW6aqh/z69lyfd/6e l0lunAD+n336ZfidvodJWEw21otQEow2j4Llrhf8W7Ts3lNyXIbFSavydODo1XH8+K1R0wz2n72r E5U6U3PINBS4IrpNJl1X3+l7rNySkt3qHdRcgX65zETPzPq8s/f4F6evOE2oLfRbrHsn792GXSes WXdhtmtVNJA4OOO0tnHY37l7WRA3kudMqouOkozTWRqoQCB9AIUdprfJzGkB5RmUCSvXaBxXQb5x ECbD3ndqI1DpXDhpOBixkopbwOeduWftrK8COXEN+cYprJATmZKkA875RNVE+c30frenlu0q/E7b wzFEm6tM2UsfLU4nQpAoqSQrQArFYK8DS3EDnVLAVLTaCtJmvnGUbJJWVcgxXm6FcLZuXh4ZscHH g+m0fiWbZypPlIEsTFFOjqsgvJ2y938b1UfV/03Lt6fBXhvD9J6TP6UVYSg0Wk6+2kk1CxQFoPgG bQ9VFaBHe14Q6xR9CIfvEPD7ztfLasVjOkkPodvudI6ZsimWBXT0+LzT9ZCqDg8TZx6I2Hgx65KH OfmgM0iy3ji0Ivvy7Cll574kCRAnftJfpd/C9N5Hm0283XLLhpvouZc1IQkSMFyLd8NMUJgKK9gp P+9cPSQ/k+R+8dA4iICWUBnn+jvHwOedqudXhwu36PA1LMkbnO9MPbsvoUqQnU81HL/DQp3Tz1Bb szCFFXKqd+LKle+oMDWSD8Dz/IHOZsNlTNAD6IWQbpKRCECZhHiCojcOwkTVpL6J8+3bxffPibOk Vgoh8887TQ9/cPqVYgJjn2/B4J2lx2KOcPbmRoCJNasDogkRIXR8gevPRfdO0ssX3ASZpDvaooMY BQ598hlHEqUGlxsaKzF/GjcTx+TiCwf3O7i0LhqD25BU6+1FMoJIvj7v/Dzu4N/VTNz0kdZ5lMJE HKkeMm6XeMMezhkEMW0WMxElOC8LCv3OzcsOWi1ZQosaLUrlJJEn/xZYDh3OIn0lcGZ/cEmm8Le3 ESb1R96ZebywLG7dQwkmZo1KmHRso/teyuXzTsz7VVdReGEIve5sihJx6Wk0KXsz2ZzTcdBVbYRC fEqy6vg7LQ+/EzOnUjehchotTtBUOR/B2QRp2JUlFrQBeSa/uLBaIP9e9AU+75y8WxwHTsEHrz7t 3YSnGOXzTsjL+SoGWmmswQ2g3a3pPQ8HHEAFTKdrnMW+nZtepKRBFUpSBBmlqDSe7C9vKv3u9ojg lQGDoiJfEHjfWmEh0Soep11G6uG4Al5X3rl4OFBJ429SDeSgptSAIg7DdD7+807Fyya7+eB4IBzf h99+35l4+FIy8Zr0MtiTEhWBBOLW/Pbby/aDLqyMCyq3pLui0WqZ5y9ovM5RTYpWKuwg1MJ0HBy8 ghK3czwJK3zn4WVHim/J7XNQnHoWdYEiw593Fh7ziV95E/mi88YpSsMr9SfXdGtagpQNpnJ28OIw FWAlmIa/c/CyjFCRfjd3COFVctLbaUHgYJBXNiAVsAn8OXEC6jcn2hlSCd2KmUmv/Bd2DrUHDrg/ aevGJiM0z8p80QhfsL8yp7UAAL1F33cGHnZxyrnZ7kRsjFD09OhrwycUtwFNqLA4LvmMOVe+oyMM C1TEitSXM6z0JJ+R0Lo4GzhOJlAAHMqLkuLnnX/H/YnCGchXFk6I/ENEN6rjZHGj3p75fLDy9jea F0KsE9K5FqgoE6f48tzSCByqZlqK2QAssRQTmHELVFQdn9m65sKGSz3I6ikn+Z4QBESgYFdfxeoc VF7vSEwahELdCIsqB+/Uuyx1IjBt2BTmYDeWc6+STPXZSD7vxDu+569uy5n3dIg3NGaYipN3V3bd BnuuFPMlSRE2qz8yXIXf9YkT4JhxeVx5Y0njjo57PvnvYu0acTq7N/ZP2WNW4DELZS+q71DUz/m8 8+6yPGIBeeYCBND8R5iCKbYjiiRtft5pd6xze6EAAc5Q+NrPneWddpevWwMobFK7K42g8IzEjTQA TCjJZFdMqHfWHX4okX5wvbmjrbwOjXuKNSJQdfYl6ahELilIvT8Fv6xVnihm9nln3d0Eiu0zDt0A UJDfoUs4WGufd86dVzTuhEKlZMHG1+IUZuPst9RBbBj1l1k5olHDJGlTrNeefEJFlfFW3TQ739EA vqhbgXDMrXxn4D3J+EHI4I6JagrNs/1y1/XKwVbOrYlvBHelLSXhM6HoO81jLNfPO+GOFbrvW8ui yiRdeBin93wcCQUpwdWdjuFeU0w9MdO8mxp3e5B3fo68d7pdvkDoWUSl4+gZ1LmoNNYOUFGBht+U 2yoRERXlioIz9RbGh145SA141vUlbR+XlW3nTGUdCl3zUj/vdLv8uNbfJuzPLtvz8Xe2XZZdN4VX vOLbt1d8wXVwfbt10SrvZLvs1k6odo87eq1gnMSz6sQ7/xriKbJ9xHeRgiDbD12CVVJ559o9tYLF bYqDEvK59pCA4rmXft6pdgZb/AJhYHdYD33lnWpHdAGxyMN8Vc9vMov3OXvv7rZak/c535l2nM/W DraMfD4Z+YSCFrCsuAU3LHPBgQH6/Tk/AasM+VboAIX4vPPsbpzoV26DXVwqWtmsQu3xeWfZ8aL2 jazvMqW90ynEqtCUNrM6y5pKbrU7h2WNZpkm5O4sTGFGbtdgJUcaHfyUuF8R0wP62JkE6ojigCO2 Obf1iK5oOkV18U7bipWIVeGg0tP5WUZTK6HVzzu9jpub3+8o1Ab58HHrve/cOk5CmTCY6zPuML14 XVy+dLy4gBagMMUJOXalUjYB5BodXIA5BHFlrLqTNi+IziPFYsOuAVyAYqBjxIjNfGfW8bZPLB1+ XxuskJm3YGknG5ufd2IdoZ2/QIc4vmhXbHEK8/H9BYqWpWF2N4Ys6BP17DKteDo/6U/oOJwd7+hZ wUaOdDIoytnBwkctPsiW/KiWnK7HcablJb4nSAoShSQFZR25eHWErqRS4cwbjwdZ5vhOCipl49bl /ITZOLubq1Yv0TVhWKk5Nqtv4vW6xrSoLp5EjEJj9o7eZkFnvDcixDrzM1kQJHhHjTwIN3482LXq omQczau1MrwmbNDmdHLikbyBh8cDXEH5vgajNkItVqP9RMk4tGjhbJWvCAaVY60JXF0Eoy53Fu/v ybgpBxW0V8Ydb+2pl8krHTTszqFXRRJqoKoNkP5h4Wh3u0E+aw9T8aRNqSQfhOhBUWUqSpuP/wtS wH7xWW5wPvAohWVx5JML9Hhfc3ncCl26DsdzbMsIegRUGbyyIAcbd1SUOvh0BRAFGqCcc7wKn0Gz gk6jp4oj3GoFmIX4niBMtIqpm6Kb1TuDFfN3S/v9rAw8HiSY5dcNGPtlu3SNHibiWWbiyU+6kZcL jJV8+VFQStUW3qOyuKkUoKt+R7vZoc4CU5OmUgEObmnkJPTPGkjvpT3AcRE2e5SIq2dHYDAG7mm8 sfCbiPOdreD5981pXOIvrfggmgcBIY9UzNqkqUUv09tRdRh+9ZyAexowky5BTMV7lIrLaxbTv97R NvFz0mHpJboWQuCDMDIeTkQ+nV+h4Sdz7QvegXuUilduT4lFFaw76ByITAbTaTYBwCnHB0T01vq1 9HALGe0m4z0ibw5qR7JFZHU6yuyyTtcliNFokLHMWK7HKBXt4+bzyPG2gWEoVAgdR59rVB6JaTCL SvRTOfPgamXxvOtReVzmFJCF4IA6OLlSgLdIVRBGp3g+CNRlIwjUArfIfdstPUzHByuaFE8QOnNf 5YtK3TiiWFHftkiFHE5Lw7MY9zvfNgLMw2F4QSnEds6HTXbLufTBHzQnMN8zbma+S9WsV/6rUJcX qwYZBu5dALHeqtrPkO7B89Hq8/sdC6Fn3xnl3oN7WCIn7HBVAgegpDy5MOhVOAp+cTOjJY0Tu3mc kMvMa6odztERPTAkbjifRkKRJRuS7kwCEIJR0quE9bgc6dQrR4tPpUxKRtasI/aHpupgqpHuCvu9 HuXk6SZRmPTMyGQiwEhFOTn7bXDAdVfHCuFzBO1ESsUoelMk7CDc0KOcfJuan7HL28MuP3f5s+eh 1k/xtU6gAQkumUce2hcFDtTuekKb8R4VySsTg9mYJsxm0Mazz0HkhP94jh88HlQM2v5OysEqgGeD BypCkFOscYDEqRLUmYZIlFiCSjzzIeWAXmeXiUePwCp28gFdaCMzZGlMtwqFfEJ+5ygbPGfs5xQw qVApwIx7IOQnXviiCExHtMrSJW/ZJQ/3yGoCt23x8YBZln4FKgMrM3HFtEiFeXljU1i34cWLMd08 8H0tmXcvFB1g1szFF1XJ6QsJWSzl572Xh1uGjgFqKpTnqWdDVvV3QBkPNl+k37nPQiZ+Hl8UXIcZ qUbhUA08wBbUhnkDhwMfHo+mVPr5rq6cw2Th6DSueZSZq51QqX7t0raWTDXWPdROABnIyOZRmXy6 5oXySY5+8EF7e+sCA73JTNwhlR3az0YalQHmN4pL4m4+otQ8c3caKhuMWzYY53V7tZBlPB6k5uO7 mwCMH6zWPExRat6lTdcud2MUpgp0sdqz2Km3wHFQmOIiuWCHyboKad35tOpZfUgEWSTf56xTUbNS chR5O0Ra8xVcQ1qHL4o4nZvkFoLt6RnGumadADaKW9YQqLBKftH2TM4hzNmHL7wR4sd5IcbPbfNp yu6LvPyd3MJq4R6pQEVVclqfnKvKmEIZSEZoANpzjn04g5IkXDaYd9l8BSDSNDDr800OoM0z8DUB ipV3lyFRrMEYM0oZ5gHYiCGIh8eD8kr7vulhZ+qA8lmUQswK0mhX0CTlfEx3BW3JzApZrLOb3ogS c5ULgAgTXsxuE1bTLGtUog7B6VhDSmPn4MMbn4+kf6NlBtDgxddEKRQPukodjOnQRijSjeuOvfH4 vzYnEanPXEq3hTeipLyTi4AdwSH2y9y+cH8dTtzgTUZzKUrKzaFjLTnycrS9qa4NOcLCpHxhCXPe AUsJiQWcpPTKkXTRJP1uRBVy5BLIB4qlBefbCIRuQOwzPT+fmvH8v/h3TMnP/zTXLUKNKCVnPWA2 GX/SqH5XW3NgVlxi2XItjBGl5GbBRGKcj56Sn/V2jgSBMU6uXikJf/KkRMxKQzF/XVo+9QtGiByn clHt9PWqLq6PpnlJkg45qx6PR/27XzIPi1qUdzaFyPElw3BTX0XmvI3ZQqihzaYM6SpFKUKOVzmB LuNLrYcvVWQIAO1HKGaiMS5GY2ZdY9CV5HbvWJbE1/zRvTvThnSNUqsAFScyC/A/fF/DzhTm4ut7 Z8JdauRLlhpRLk6IDTWwHYeRHF5QFzMYWRBWl7ofUS5u6jNriQe0ZAaYaQI6J3tT7Lb0PnIbhmBd qJRtyWzfMCGpx/dEeRNYdxlYYQzoCnRlS+ctWbgdJXU8H+FV1tdsgob6rM8OHqbim9iLlYfDMEby dssm68hsLWfSNXiEqbi6wK0Jt9KcPghHgALoJBqqTDBrH9J/nLQ9O+fe+QpYCvqq6/bKQSqO6tOZ 6cBBAZiMLoSYCGlWWU+sc3XABwQVg/qLf5cJDb0zKgasTHVcyo+xg+d0hb40rXJHVr5JZY64RC4T PZPFMEUY01c5f1iuEjvGJYZejnkvVs4ycDVl02pC3gnaxkM+J3OC8yGVA7b7rdM9pc3yLwwI8HxU WvkGsjKRSvWC6mZYJWe5rox1PRrlUkWPRiq7iG4OWBevdzMWMxRqvORndCRr7fCBU2llo78itEYS DgLNmnTNP40gPP9GrOw+ig+GPaxVhl7tfB8e/y89F1wOYYHhYYpy8TqtlHkBBlesvY5lIJ/W4E9n YQrL5CYPDfSzj449HKVDR33KnfEsODXOUWIGcJzQ7HW1RImdwhdFhRXCBdH5s0G34HN6TrkBnM/F 4//aojj3zuyc425RM0rFcReB73R2kxfr5tFNVoJ0RIrtaSj7GVM5dWcp9Rlt4UFIbMJdglSpJb8B lFXYfGlURphEignYQ5mHGdbJWdPMVEbRYDvNmVJiKJ4kBI9Hcbqk1x9RgCiHY3GKknFqdgDo6IlB 6911aMZKrq/SgOpWnOIquTCa2+TH97jzaYPNmZD5UnYcUo0yJkaXfmGdZ/R9ro/s0htH+xMhv5Xi dK3W6rieNvOUfEGZeDwAGKxvlQfi9HfNnkDNKB0nCmLKcg5xWkmmSgRi5KvXQwMixSlKxwH8RnyS ugocvZtwphFk6+Uw3039p4COMkj/ORHJ7Saak65dM6RyJt7qulro3f3Bz5vLzAcdmIrHg2bnvdxx PiHRRbXW4hRWyJcZzTvmdwulQmJ+rRanTg6j4hQBVvoyTLTitL7idGbL+bkzAXX4taG3xvkEgZB1 9vcfss0tg6LD54zS8dp1q0vTB6UF4Blzz2nnV8fjkazRdwK1oYaBjpNFKcKrjESYmMuIgrbhhQKo nA9LNHcfBhObUXl8Ja26brt4v7v4ucQBHdjYzD+n09p7SFIUvrxAev1kiWQJaE/69Izy8UL86hao bsvchtUmYN8FTR18zehu55OJEwcM8zqdjzD/P2Nvll27jiSJ/u+xaOVC39T8B1YwM3dwK5Ouc99H ITJeUOJxEYA31oQ8TtLMYZjmtV1LDvfdOXlOAFKEfUyh0GFVj2Qa33U+fFegl1vZJN6BArSlYJdG I0oMoAwIAtwhwtYbR2HiqZQ4beGiVgH+LgTwnY+Kjwdf0y9UdEsogS99ekZwlUnQ4aj9CotK7xhn eOk+vzuX+vazKUrHzXNq8M611cJ0ctQTi0YxjH4y39KW3K0LS2E0PM9ZfDvjlJbALwpQ0TJMb1NS WUiFhIVuULDCJ7xOjY3no87TN0OxynP7Hk4hkbNzFDUeIV8y34Q6HHSeYudpOHx8huIqYihmio/b ajkmrBDxIWl8B89LuS1RhGsB0gOD1BunVfTGEcaAtqccd2oRYAXGPDad2h2PBzlm/x6dY8iywHBS mFaUimc5vrV0YWJ7exuzleZtzIJ+EMO0IsCKSRlNcwLn6s0CpZz0Turnn5Ymm3mgb8CGADr2ywEr JlqwQmHxzSqYZ5QWgekSfo1mU7Xi8X8pZ+JjAoZp1Ts8WGFXvJPHCZ6ADqfd7+FU6vRZcKuum73C TNyMYdd+Vtt1HV5AwInx++bhPTULhpMI2imULDDTYTaeVtgTZ35Zqdt+LjoUr9w8J5MfsqgArvGz okRcNogXOQ6667hX3QrlxSUwWtZ1xNOtR1ZwSc4KXmt7mELAynaQmPUxeYY3JZgLODOK1MM8cmt8 m0nOgP0tZM8eW43zUTX8nqhBpz7mGL4I19ORh5neMx//L/rZFSIh5Z7hK8rDuwiK5er0gITs7V4W Dmr30hGLYQqB43KQnyaFMZ3WTArQ6tRu5hl+qtNMPnpawG0ocU8PFV8I1hV3xbHpEhIXLJCF6DrC T4rK2eTJXgqe/y/1L1R10cm8or6h0vgmBCMvc9MYVEi3Algu8hMFMF2MkTqtkMmpvnifNgaeFyeW BiRrsRGx7SBGuBjUVNhXBIv6p1AV0mE9U6/8R5NuchgB/jTkbgm8OKXwtkEwaLsTPyFKn8ZX+kTT hXHRKivKxaGzdP4RFJ0UAioZLwHNtNsax36xUIWyKqrpCm8vW420cdJdUE8qtZ/KOWhJ/TyJeMG4 oYFSWiDqbYaBiarsK0KriIXA2NqiGnid5GXI8LTzPQOwyvruPWEoO9dlla0YPS7N8d6s9YS52o9J +NUimbpG72mLU5iNSw6TA2NffV6+QNlA5ot2Jj1FRG7hM0Ad/NDi0yAYWTdeBB/fmHBjxmJLU8II 1sbkBwVHNDwexCndvUcoXoNiyS2CV5SPoyfIFubjptE8UJuy+aSVjeVAsRXix3VIQer2rtZUObdR mVMiyAAlAqhJ8GHmNAFdEnRhLlYF1RJ+USQmlp1PhgV0cX5QUIXk1Y5jEI8Hh/n+/qAgIbbn5XOu ED8+CfNd4+r01HF1VWZvztogrZXK43F/XCIgU1KjXD1OYHfSgAgzKagbFtEUcTxkTo4rDf04CUaz Ra8cAcjpZqqNt+7GA/exUXO2tcH3DIAFFyXG04zz/ZyfVDPsj6P8P0d6cqWeOg1PgEzTTORhYjBM VnuFGbl5/i0ROrn61jsJXsFtx/74+bNU9cWhynP+t5ScBKbNMeSkJKxQgJwlcOscc3JROxPKGCpi zr8Cj0df1DeGfPKgqLfvu6OcHNMzXEj9joNbd9xvq80HeKU6w2VH7fFhW64IUMfV8oMCJOWGuJMZ 5Tb1fcukW7Rcp+adTckKfUdZeZtmtdW4oA/BT2ov+dyjBcDH/8kESpr9nWQXkCWL1HteDvEaiviV 6Q4SaW8XfwKRwzt1GINI0T7WVxFkoKlTXtoj4neuPAAmAP5PGAd26j3lXeWBjPuyPZ26wlwOvyia JJDLeb5aXzRJKOfyEqAOSu37PTH/Ps2lpgKEAxJ6C1QIJScHr3bnlk2lihRCNukenFJGg0WgYij5 NgTKs/onBY4LEgPS80Eb7YL9on26cATjVnzshIfeOOrVyeiObhJcxAbawCHJnyyNz44lVr63HuRP B4xfLEwhpZN6PaWTvEnw/TK52lrRmvemAe5dhelvuEqeaT2rk4FOGrCgMYpJwvmzN/7WAqnRLhv0 nwLXBD/MMQL/7Cg134I9tTx8URZVK4mCyDzxPUWAlXbF6QQxgBxn8lHnDlHk7GnWtVxMrG1XyULl 9EwS3CFhx5ROFXhN2Giu1jU413nqHMxBFeMcCVVOeAndENAifgQ8VK8OhyV+TWRKMknc4NdUcbIW 848CLpne1OdqwvORrtG3JCR0iFa9kpA71DrsVGHd83EByiYJCVeSy3wl8JRhCvEqyqGWfEm1+sDl VHoVZqh0kzLiI5orOJhWp1h7uQIGuADwa4IMigIGtezli1qaJ2VTf/N8VAuPB1G6AgZSYl102Lm7 LgKsJJlS12p+uBOjX6MD7VZMpR1az+5LEkodao4w7BQfzylOkDT0tNk9PL+xt6XuCv1VkdsCjP+D b4xVXtMbR61fCj91gIG0KE7noxQFDxURHo86mr+kaHKGhYtn5DuUWCnMNKsZkMAB0PpzlZN+O5xy IZau8yf9rZLVRa/japsOxmrUR+P4DgPhYm6dwCJLIhH8cAmM0g0avydwuOPnxFmELRYmHKsSDU18 PFIfn1+bDp4gC4mQhSnskFe6SNRyhY2kjUVho0K9dm66jPAwTDF2XJstr2e9U3MwZDK/pg7rvC7A XcJ8fHSMLc8fxOEqhNjvMB3noVRARLVFHU34hVb7lw88HqmGfN90kFHY4zoj7CgZb9QeH8xiRcHb 1njCHKFfX+UrkrUjsIp8RsDEaHf1HtQ622AnduzPXdB7GnJ6w/V2Qoj+ClSyZGxOJxT8nr/EVcAx 9kXd3oRqlGE6aSAejzS1v61b2CKEPbrFSQCh98wpaS41vA5WXgmsypb6+KIAFDX/u35UVLYIIrdb uat1VpBFno234eUGp0S4b5DesoDv72hzVziA8oiCV8K2l47c3KhcIMe7tggxY4j6+c+auQDwtvkj /gvMnibPaT4eLinmdkrJvlajbtDFj4SEShNWxgtNAOvZ5RTm5N1kQ7S3uN6CeEPxkJfeOrULxGsJ GMOI92SWAF3D4vyqkC976b9oi1V+k/Da+NHGg3OppGv7pn9SlJYTIXnHCrCBBsxhXUeJFHoDcUy1 13B4XRPLDLVe78P7dqRJWLhCHHkTamyZcfDaj21pgr0UfheoLjWTHgTUGEfaFUlYKZT1kx4y+wf4 TREsg/BOaO5iOX9qTqIrPIkpKAeS3eIPCICt+Rtyz+57emxvUtQ4Z/GDsZo171ZK2xB2dVcD2zVo 92cPVmjPKW3tYtpQXB1iB3/pDdMqkPsAQSimRY5f3+HiVyHx6/WeLBNSbBGEvVgWoT5chMeYEKfR UGYMPh/1zr+VRyFMu6DQ4bGKUnS6b85Wkzc6m5yocW6tkbuhEc+BcD+sqHk+klzMKHthq8UK/I1F q2b0WmBymuRiRuPuBsRVbddeolBLl78psivhhzWkkDymAzDxnbIChMkDn/8XaX9wG2IaC/uRG62w fd7kA0nc76KV2XTCWSvToHYdyhZ+ysey5HK+MZ2DmR4eFeAYm/BMOOBkCCCRHlQKR8uYmBbp1zXN +La9dMTN298Cds30titGoV2Jw9DzkZvZHawTETzZfnn8zFJsFwR5mrGm0IgQrBjLorU5gYNCVEcL wKMVZexG9YTIx109WhM9NycyoolDzynI16DvgqaPNH3M0iw3e+kozaLamDBAXNR4mVDT5T6sMO5O YR99/Z5hnUNow+TXgxULsZC0kDGiU3WDZpHosQteDpmNdKR7vhHDTrqYZzjK72oJxAn2wNm7ZAZ7 qj8Z5p5bhDM0MCJ4wuvQog8nf9NfEKAkfGKqy4TH0FOoguSfh/h8BFD89oPFdwD03eO8GCbvS0p/ LXsDhkWoUBt9eY94kR4t68VIjqWaAXMRqZirY+8WBf6Ib4GM69osck6tSv5ZIc5qXNzGkhVVCoXK KUyKFr8vAt+xaSjN5Fr4fLAPb6oljjbH/v3r0wo76osHezEh6fOJLTI+B5ke2UsdDIY9WmFL3Zwq rQ/Tnj4MVNrOkbJpVw2XrCyFzvO1ddxHkFfq2DVG1sNZwl8U9asIDd6yh912lZx8Pq/C0reOPPl8 NB79rp3RBZr7SUtDT09OoM+Z0t2do9eaPFRlOhyoIck2r8oQ6NKtByOfgPXtEwCOVUP5B+/zBC9V zpI3wE8TdY6NkpWVtm7v/AcgaKKr5wtDdV5xp2QC+JPPByfWRZhxE8JcsN02Qw5tPVuWJEvxJmh/ 9mDK00XLAajyUEVoFxOYTqy1bbVQAULT85a4+6TsiPy7aImMLgq0dFz+j4DFHNt6Sp4lsxfKRZEq AOuzH7NK5fORNvB3PopMcoo7qEiFyTvboINmUCqi+1SDHYZkydR+JrXV2GrIobPnsuo5qR/K1dFT EKvJECZBP2DAjlOAF8gPj8psvzz+gqXZO/810uoYpXLRyV4hl5OLnBLq4PPRAKJ81YWQVRrrOn3n wNsTIh7mFO8yW60ZP43UvfJjotyQtbSPKkzdqUgOnFi7q+HxoDBd2VvqSMxP1qkbs09Zd2QxQU0r cTR75YjLgO5ezcS+VPclOEViLVNWjAs1YWDuiYThl7wdBQD3vpEK5RIXe3uSTybAeifvhqZVTJAM nLu7/UI2qD6q1Y2ZRqS2nVQnrYVSIm3h0G9IjGbacISbJCABrWIdLEoU8xdFEi1yWpJ5pQTJ8VEl HBjC6Y/N5yMZqW8tm3ZSYFq/eqgiDfMue4VxFfGxOWz/UUFBH9WE+Yftvzhrl4j50mh5C9WkluhG 1gPdGQHOSnUbigQeD71YMYcyJFWf9s4RW51Fs/wrWzPPImiCyMvuJFmr8PlIq/T7/oNhIP17PFQh KZSHehM2Qc5CdXobq47ipXO5WWho8bnEQafNh69OkqGUOWbHAAjtBSotU3bqTicQn+jF6x0/tbFC k89JKUCo5fmi0vlcjKWaGYXeNDrWv/kfDPm6Gm45sPk8j022jXu6ytPS3RKRbzrlEQaI5ssY+Hzm /9flntCHiWqMpxjsJ0mHW0elCELqKpnPHTkNuk8SSnOn9Fa2vXR0BcoBnASs7dLNJ6+Cb4fklVPl 85GizXcbGbo06xHjzIHXJ1JQ9K+aziVyQMpwZGxvPRt+mEKHFqsQkK6RaR3Wvxr74l/6hEfgOc+Z graUhuzhIPqKdh86DOuS+QqzPP6mwEmA1Q09jG2xmSk4D+xksc0Q+H3mLzgj5UrQ7S/tuQNjSLp8 UVv3lkwe7os66fTAlgz7DbYHYwSMtWRWu6v3+jrAOOfgZdmcz5dT2ZIBLwiKwdC4gz73ZWBNe+mg EqSmVKdcmRZ9VwDlNvuuNp8Pipvyra2cq9Dh+zFNj0miFGwp0+m0tfRkJxZqXTux6pjekgl9P5cU OfuSEBdXP7EWlFWg6osxLeFs3H010xm1E7jX1zPwavbSQZOBLVF6M3IpstTrCyq1bLLUUfl8JAPk iSjHY5Mn1k1EQ+dPMm8n3DlN2rwT7kQp6oRdmM0ErRtYL4fWn0MXILSB7+onVmmzooaiVOBJfquq G2ggIkqgzWHW5bJuHA7m0PtTN2FnfaJFu/C8ZZLJ/Mnb+HzQGL1fluhJ84cDUY9VKNxCIzRtdIKK rVKmO/HygU5fxVlrOXD+RNqztPsMAjofCGg+ZwwmpTzdoSxVJZkkOnpFaQbbktsYrcleOmr1Yfut zrkOf4Z0qDsVlMTnX5s/IErb169ktEBd7lL8cuj+ycboTPR0YWN0ZObtjFZjGxmN0b7S9C8rBKtL +6DTc9hWR+1hBJHQtIRPagLhXwJT9LgYmBVVotV1FybS/HLs/0nRsjEkoDS6paMbGlO8ydDI5fMR +8GDtW0XznmluHLoAMou0ik4s7djALy3Af2SQSHaMb3fHkNgAWpeBSgDV7urw2IaLEGRY5/M/RwO WdLUacPnkUJTGUpd/mHRYCDHHqDCoSnFItq3C4AHZDyT0/P/Tv6AaDzR/lfeQFNYj1XUcG+UAOg7 O2y20/1HPLZSXQJ2sxenWMXYGJd423d1WiSsrU7tQURax1fVrdHXoMeFaXSnyUD56seEPqDZoFYl +2LomHPsDI1Xa+PzkUL1+KpyQLmYqBE9VFHqTtkwMJ7vZzW6l85V7gP8rAqrHIYqFFhUt323Xe9q n1WHnSlsEuinjrmXNZsLNLSh7jLThRHRpYO/JwB+ZLKzMMC1Rf2Yda4HlignUpvPB4l7uYk7P6CT rkwkKh6qqNeOsRw8ECwoaPiZ9R4gMq04RIYMHIXqHzZES6MZrd4+hlFtqXS1AnqLvP8M+e3tY1+2 a2yMky1YIUqGJPc9OJggdoMp6NmToAMxZ0h603/hsUlLPrEa64om5NAQlLyFs3tvRwb67VY8l42G mwzAOOlSfhU6EWU5EbW173qbV3MtMiGpzXVuwiFfBiSoC6wViMpZdoXxnX5PcAtq4Cx9Uy5iSFaU UtSfOhc7n/8XDUkai6hdUm1PfhVl7rT2nrOli5YZxmarJ0FyXOhMUqns+lFRo6FZgz3f1XPR87dI 1HiFpP4G8Z0QtUywTkKUC7rW6E8So1bspf/UiZcxKDuT/K6AZp7UNQN7lc9HAsP5KxdFi38/srk5 tAYVQ7kLacWTHSMCxSr17e3jMW//KvYGlWILPoy72neVIQNbC1W6GtwJzaoelgQ/HbdLY/s4P+D1 HHqDCgy0FxFYXAxrnIAK/fFGe2AOivbV92gQ4wj89W6koqydOCAA+q5Y/PJItYQkQadV4QXHryqw B83OeV829OLqkSrwwJFNMBS7chVRF/Shn+FYpIfz3u2dAzTDkDYAOd07m4TC2UOj1ib7tLn5fCS2 WL5SK5gSr3Hd5XLsEEobvs62hkmh5+kzif7Y8uLgU9IeWoS6DJXMHbU6qqg1gGHocrXpuaL21ewY ckEs9qfMdRHsXaOu0CV0Mg2t6OtgQQtMtLaBr58wmXMX8QdEwfo9ciYzYz0jnNAqtFdefo/vbGvl 8iJ6dfPwfvnKOfYKVapQfX/Na1t4PqBzZ8ENG90+ePCRMniSg8IaB3kwBtBXi0oD+sAt1BV0EXQu +CuoH3reWPzfsdCWCexCv4tn4kx+8CNWyc+RFSbuFDkCS8y/rpLdphdWZ0a4gYaRhyvEsytcPWs4 yPWS3RZcT3kVgocNSRxSkxIKlEJ4H8iIrkiFr5q/KcKs8VzPgvpx0CjQGrqMBNr9IL/mT4gUA767 7tTjfdDaOXAONb3SIRYGC+hOioLao8LaTiRZBOGxJAytQ02X6mzcfteHQ4nyiRxKjHUWowV6CdkS xAYCze6tZOpS5cA8VGr5C5bu0xc1G/acaqu0jvZo4B76bREmEx46K9/yObQPHVI6I9JTIvL81Syf O1N+KZ2lfL+sCCnTmpnNmTZVqrfMARD5bLQ5qU3F7iiHOZVOfpkcj/Yg1vin5W+KjDHJD2xNzQYc vTKo32lvRu7s98kfEBlg/XIFgRFpfsapgYcotiGCtbeJUtHxMVmwKv3u2B8d8MK2YIXZu0Y0bVtn Zj+dmXOsL+Ak2DJJ5zgvYgiKAEdwWx3kUqqLtZe9dLANKWNdRfjmYh9W2kyBfiClwuf/5U6gz/CU oxt1hAcrbLxPwopIKScGqzPVR3u0J/Fu4NI3and8X2wkqiEFCb6+OjkeGmEFBDEAR8+JXsVRAiD6 B+rWZ79BldUxWGq8h06inXiiqrkOF/EpMdau5lW/+HzQxfo1p890zUg4IzxaIdw90/Axu6D8LOxO spncoWLrnobd21ihm6g4EJkVh6864ec5mgqcwiZBWDCbFlMwkwWGDh2C5LKoJQvfF9iJ4swiHFmS 8hUIASbdDYRNIqRwNE7+gCB/uNBRSsJAlPWr8x46itKO8Pztb2WYUjfaEkyMsk2g23Y+Tg4sRdHE 16w+lXZXfVoNWl6g/eJfAb7bKNbHKVRAwYgmPdZhZdkrBzX0klYVUUU7O5CjwTF4aQANVENgKZq+ hAcZVspVzSdSIdi9soZWk4Ejc4p3M1JdjdLKtDQlj1QEdvfBsxSptDpUBoZ0PSeRTRJJzj9SJIYY MW5xKPxaWTiUZgWeotjtTEcXMbYVvPUs0EsCkZalHiSuc+gqmq52MzuFKJowrvZYRSk8HQ4mWCBe Q+t07xSHcSnwmakpwWon8BW9SdY0mtf8onkBnoY9RjUPGNpkqXlAXOAH7V94v1ysWir2yhEChPyJ xBSrblBwOPAbUDQnWXeksvgD/qVn6Wf7TM+IInAWRZ+j/8b1mSwawTI1uchX4yxBn1WsEENHx1RX vqt9ViBnJ9DMWUIPkAe3eClUZaq4iPKVPUnJXjkafAEBkjnM0aLeaIVDLevCDARWaC36ePqKEYCj 6jLlc+Ates4Xyp607lrXqKZdSqeN7fJVud7xc2wuKgRIq9qIXO9I9cSpYWt3FjeZ9m3nhyCZ30gt CziMLjlU/Z3/0P48J0Ibvli34WQm5nPYKp+PmDnf/T4ors5Wn48q7LgnCl7X7B5ZuxgYhKRqF2cq y5VPcuAveolM1IDz1UHbafQF/xkc4SdWk4zV80mxIwZR3p+CFqWjZVTkhA6jjRB3CF1gAXhjSd0M 9yF9ds5PaPwBQSc5XUsj8ZjOH6zOm7gHLqN+BzYX/sQWrFf+ZLfh9Am0myxaUeLu9Imt0TfXS5+A qO8kFRzq6huBy5C4ykzZz7HKV/BZvTAggdGoq1mRe2UwSBHlF4AN9NXO6DeETqNlfiPWMp1g0nqA WKHZKJsDp2Z/MncSEFhAn53h3xYoxb4NI8RMlQoKEa6++uSrTlipEzEDamLNXZg1bD5JF6R9gQ1z Z3vnSDKV7ImuaU73ac7ZnZXVP2YUmc9H4KLv8XPm6CHVWz9/XrOrYibKA5LnVj7v+uxDn7PCiKYb uug1tyqJBpe4/aYKQ652C2ZYgC6caGg1nGO+68Ca8Mdd1JSaDkOGrP8PfstLmApEdQrZJbn5otMK nyj7T+3UIJ/XvKoASqUvCv9kZhYLVpqD/83540QhWhwODmsrgLu0m9c2bcvfCJOXbT4Pr0lVuaoe w6T5udpBdY68Ap8Xuj+d82nphkwdc72N1CTz+pMdBuGAn9eUqmCCMzmdL8MXxQjtH11+J+P/vOZT BTDvYjFirYejrnDWhRC9ZlPnId5W52A0ZDbkLa+GXC1J0PazV1C6MUSvuVRxJ4xCcWRfbb8NdGEA sGXeCYdncx3LTNonWt3Z004ZQ75mUkUnC5Xjpi+a3JwfsFi04dv/vKZRiK/BQ5vBSifcRTxCr0kU 9xnNoUneMAFQM+2Dyeh0YSaolCtCrylUsaEiDA4liMbV9tnJwBva65pupZORCRuZQDidQMViamsR 4uTz85pAFSVAC1aeDBToF8RkgMhRiNH6gTDd5zV/4r/2O0TINLsM6RCi1+ypWHo6ttxqmWdqloWU nDeb5Zmc2dHUN9pngnTIv8lWu+ImbLBmJST05FHgCk4RkwBb7mh4nro7X+opNeNeUyccRtxoRf2D cvsH+CSJ1tQE/jVx4tMeI4lcnbOo3o32mjZhdy4Bi8fV8BDtzYAK3ko3ljMM1oIYTV1sTbljvnBW 6KVDaxeUQ7C/z79rZTXSJ/vMVfYX9YLQkjxYgxiJ+10aFU+A8/7RMV2AlaPcGzrdn9eEqTyOfU0q vWeDgOOzLEqv6VIRqwcScS4F7ihHbLZVtkepghwoN5UoSkotV1bjbuXbuDu1/awnXZ50qk0dCuPs ASFxNqERYMfudmNx95oqFWOMLBInsCB/kfsqXpKl99kB/fOaKfE8WxYlKZ2AhcQ2FIL0miUVm8rA UMN9ClLdSgKqZiderCTXbo7ObOuUVM2SufoMeZ90qLfNEdY5XKd1N6GCQdzZAs513vybYlWvKRL+ GBr2JUpWrSX/bTbsAM/lzXZSgvF5TZH4ns2ixLeDcU1mV4XydNGGQ9k/RynjbrjshxLc5+1T2tQ8 pUxccG4bAbdZldK+qhTg3As2NRtNE0AqHkqdan4NvOyGwszwxIt+M69NTfwr8zmNoMRXtCR1206m ovPhZN3QRg1i1E11sOkDZDsYia5F6bWhye9XOuDt3m7lqpys5vLW56L1ztNrOxO/X8qoLVvHnF0r Ren8H8DKnA/oHEtAN7IoymC2oZ2JOXIDj9ZReZQkfm1m4q+xZeUghnelVQnBw/Sn+uG0Ln9eO5n/ 53rLsBbOObFNJ25mEKYhVXmSonTDlYud2vqPlBaiIo4YkuEVpxTSvHm4OsqsnkBt2GlR7nMMov0z 6rpCC+2zP2a9/YGtmvc94bZNtxKTSS1JHZEstzEJxL2T/vA1zvx1yYHzP5HnW5yijJvjLQdONbZU nPN3yjc/v0VqM9JRFCd5Fq1ilg7yFlRvDmM8KG5h12H/bVmDZJFO8aE1uRRUSTcPvXJwgtPIcG0S abiIz71gysM5+znsPu+UPxwzqX/FCcPFhazF4vSedmNERYVrcUd5ONETzVXlHYcw9/2eorx7SzYH MKa7WpwSctKJ2w1y6WDedklVDX4TuBnRhfeZyxB0OAhTbyYr1Hwx2vvKaqudPy8fD3Kmkb8uOvLB ZY6pQU90hrMv3lfZN0zzhqmlx7m+XwxQcIjTKqpAj/tZferZ6Kl3XhDwA3QamainRMeZTKItTmOX +kwaEQXbrsuySMOWua7b6jnFm4AtBTjYMP8e/jmxxEQitMvyjOCd7McClWj9eY/xQTN0+56qAcvG XOmOWqJAUVrpXDi0atBqkxZQrlZWl6md/3/T0vWTU0KHm6oKPd1Ry1SvJzqeeICvRWz1QhIrL9p5 it1KUPo4udjnnerHi6t/55cZUI0JyxCLVJSH856anGzqhOKBazq7aTuBu1JYn5F6T8SzoPBQPZNL KFdnRSbMJybNiwDxa1sS/GtsSQv/gCF5qbb0eHpn+hXz5FmkQdiimhcOrwxUPUnO553oV9Cv3d9X HsACULP1OL1n4rZhZ9nJrQrOnvUh+qChOhEH5aE3RKm44fV3tnjli/w5/xhMgjF7Pht7wjds2ZTl nNm44U56gMJDPsfZ3/g9Tq1KxoQQdC42Oii9Uc/+XHj7807ywwfVfrWYwGWEGobHKUrGl7SE0qUX 9Z4u2GBVb/DCrcfiFGXjXQYqs6nJxNUzA2iZL0zkOpuwTbCgNKCvwRYg5IyuHsDseuP3OA3TAVA2 Pqsxt0/FlDV1A932807wKwY8vd8TwFl755sYvGfjfuE1Av2ss2tW4zh+t9vydGLj2AeP0vFBa5RC AWRfbdsRF1w7u0q4jsaWOlNDlTaY+7V6R1Gy6nsn91nfB28mPmTOT6upVN7rgOx+3rl9+Aen8lWz ZEjYjrK9k/LO7ePxSzvo2b0fV0v2eUG/DiEn/UnesgwTcvYsMSfId7WD/FzfJ2ybw82TcKIFK7Vi qNVP8mrypX7kKWGqKCGf9BGt4EbbwjhRVGupFgYWI8rIc/k+niCN3QEstK5llI9XIu1Sn91L4J6u Zdh0q74OBIu1LcN8XKcTACp3dXx+hcRVpXAs0qW5xFNrdF9NRPaDfeEtJ+zXzzulj60NQgs6Vfi5 CLOCXSxw8PlTf94Zfcwr8lecqMOc+9PejRJyYuYgP+eBOtGdPlFZwycqHZtGgXpPyNF1GIbqedaL dz23UcPZTWVrtmpYBpNWSlJLpbuaq+gNvXI0KcANtZbcV4FfoMTDD/KCWemPhgu8fd4Zfb+uvM2u Sv3ZbXmy+U7ow4dMOxXpEgm9SWS8XGd6dzFioscVqvec/Pqu9qU+N1cfF5QC72WMLjCyRbtbDGRa oJIrXkFWu4jzoleOajwS2ycRrw0SAizzICixzNj8pJufdzYfQ33vPEYFWFnIUlqkwrR8yxl616dL 514FU9hzdemW+Yy/c/kst8Fdl616yU/1cvbiufc7NEHAXOiLg7LzUSEdRhdhnr/sdEk4k3Z5p/IV qWed7GmqTzd9tAIx4KZT6nxbn3cmnw/b7u5D32zPcU+pKCvn339ymGi55m4uwZHhBGxiQZec9s7j w+6XdGxf81m9yutpE4dD/j+YIDQ/TICHgxSDjuT00a+5Yr3T+PhBiEM0xSGiBzRFBilhju+pYL4S JeWOyleVh0NqA15qYQpb45vmmARbq8pLjjKHdLAzPUio0OcU9ca7jcZXLnf1JhR8fBPyygFhrrNF hJpu8LU8x35HeACd9sN86ZWDHCrhMM+d/GwuPMwbTd+LFcafdwYfP/vxve9AAJj9OaGinFySxHAj tkAljk5Z5a3cvaWJOtAC9Z6ToxxWezzphOLqOTlGEAlQbOADT36f5KVSNFHHtLjA7sGtMum8+s7g K4KjgZVdqi+CHgJAu+0m2593Ah/+xb9mmig911ecopycejWwWfM4iX4jlgctzhUnmRueZPOdvcdc WbXdNIXreRWuz4V38vK2pY+Q98n2aXh0/rsCn2+07IASsYMcLRe+cRAmehidi4Ig/AUYnKDSjQJg umb35527hw+qlu8siiSd7F3Nd+peEQ8O843lM6kkANQgQHM4zbGB+Krz6T0pdyXwc7MzSdBqcaoD 9XwlJ/vsDUggJdXC55+4MUvD7NO7K6w3P+/MPWuPYIBMFQkuBrY4fwkBf892/rwT9wgI8GOcKILz OBr4HqYoJ6+UBzLBdDZ/u39O582vO4gSZ4bpPSd/miuUZrTVcvJz5J5NOUQGnRgoVVO0JLUXfRv4 9zmCh5Dfd8oePydJCNJGpdfmYAuQaWRueKrJzztjD6nq8C45B1jgYkubi3PyKCeHpjrrlWsd1s1w Df6WNqw6KQ/mkxqUhzm5OWVu9pe02td09iwkTgi36DCmXGa0AhmrBdIrO0HeWUFV/nnn69n0Dd0Y br4hszB6QGL0IQ7MLp93ul4xBtht/XaYG5bkt907W4+fMMWm6r4u46VVC1Pu9xAHAMTCFLfICYY+ RdC+q31NEwCMmli6JPwrtkFcyejl4YRMABqTRBQUvXEUJiGcODSf16UA17REKk/KwcejM7x/nU0F M+B8GwbvTD18TTzDC/XNCPOtmVgLQqKpaEvwOD0gueneiXo8mximPOyrkjE6v6YFBG4DzBtWmejX SumsQe5gAOyEtrwf4W3ohYP6DmLWq7BvpCWp2wu4mslal887Rw8neJ5fUYIkWF8XePFO0MOBkmk0 I4vxyoDZYc55i5Gv+5ZUbOdPij4mtVUWM3Kt9jHl0jn3XFSjzLgxhNvMGFUWygFR9HsJtpr0xlHJ wqO7El1wvksMGpWJj3N0E1ZwcrXPOzmvmFbiEyd48YBvbnGKMnHyd6CJ7sTrvZ3Q35ocDikbSAE5 fk1Rf5wmI9xsz2pxOqkRaU66fM/NlpcwUWiRVILM2C2QiS/K8M87K48ZIknXjbIaXLz7BOFAplCj fN4peeVhfNq7gZqe2j3B3xNxXBtZDUy3vBiilhFaT9SmqPyUvmGUot54IvsAeujtrrbnToECLnFn kw7V9DLpdHgdw5ABZXC9wALWK+90PHz/ZPKPQuL1cMuICt/bqYsudb5m9DHNrzBBP36gq2NhitLw XqqKlKs5QhU55gN7Z4c79enl7zsXjzeISBrTSBvPCX6ybWhFAJwALh6sTqSW2zaNszCjQkVzWZ4E Fr5T8byZCVfP6ovi1AcxHpQ96p93Ih4/jJtecsROheJ9d12Uh1PXaWDA4ah6icESqIIBswFVAAFk Hv7Ow3umwbtYHl7I1JgM1DmdwINeVN6CFp66dJ0nak70NKQYunUzk1456BNQRAN5KpdsyiEV+s6d 4jnIzj/vNLzySLpZo+C81W77brwoEQfuPktF1QI1u6dObc37RZVpXbp3Dl4xR62CYW6+q+OeQB+C IizBvAXyQWTCFkpPgjwDa417ji9kbJ93Ct49x8/VMricoop5AYBPFMSBd0Pm81EJ/H3fwTZ3Stib gYpScVPJXO1KKs7L06ii8tMZunQHY0btcTNsIKjBV5+2bOT1aP6xAu6D2kTYnfgK6aZBswr3wqLU wTv7jokMazoRh7koe8LAlNkTemafd+4d3rOs75KloEE/fee9U+9wRFEs3s5sWvY9PMVzv8rAoaE5 iE4BEJlxLq44bcEOuTo6HPLKVCyEmiK08HuTR+ak2QzKFZxwdkQNmja8U+8MvgwVRZbAxHdJFXAi byL17rz65515Vx4vLBtzYvx3yk0Hib0z7/AZ0jfFUJeceK4p34GTWoxGvWXktxsJDj6od+Jdkf0S A2Sksv1oIp3n4ZhDGD20kU4qKae+gvMfxN6fgr+s9Z5oUfF5J94VM9EG602pAfNeqkHUId4PqFKf d9qdtzRuoADTXNAXtDiF6fimSOwy32zsF0IJ+PmOZVYNI0v3FR9U1BxvGrT0aQoH0+N0Dr2TQZ3/ ZmdSqhuofQRALSZSRIXWtNpT3XW9cnCUg6cKlaWuxSYnYNNuyppCperzzrljQu4fFN4P0oSwC/I4 RQk5GINIyItmwKDlLDMA6UXUn02C8Fy68t4Zd+VSz3cSgpWrfU/ngMqd2izgkZ0UqVmLji3yitrj JFzraY0PvXKQG5CfD4S7L0qcMhCm7EKdS/bzzrgrEtf9qoLPEXkKzxunMCEni7Pv6kaiQ9gLAVaa 67ZBl84g42FrXHPOQn8MW5/yrgKKTsXzBiNXMdPThCkzEIoy/lAVrJ7KO92OVTAJPuRVaFFGjg+V d0c7pcTnnW3HAUT7dT6BYgVdYYtT1BuftIUmOdf8BlT74sIb2VuZHfYjuvDeyXZeYGJ7CdjD1eLU wNukSzakfeB8XMyzHRiBSqA5DnNr0eGa/bxT7RgnwlWAd7DFKpe2qNxCs8zPO9EOH0b9Pp465B7a c9+FuHHasZeWfMwph0rxN6myzkyTQkMKU5iST8M9tXlXr4OhYrYWM010Zns27S04GEy6GmHM6Bm5 PqeoMQ4cKOEX1Rf1noDcKpe9+U6xs8PtCRNgghAt9zBFCTlNv9AQ9BFnI0Zdu05Wa9LzqTZpeafX 3bF5aVPlMFcPEyALu1Ip/2yP7lDXc4qc+grZcIZapMPEiM58Z9fZOYFWmJKDbvMcdjJlow39/887 uY7oAg+TyY38AHjiYQrT8U0dn7xc/k+oAEna5dstWD2ZH0MLseMUWTlVi9XB+dbBBWzZUrPq4PO9 5qFiECOKn0H53HRdjjFF1BsHOYFIiEBp26LmUylJeQiIfHg8SDLHN7gAqEtkvh6mMBknWWOJfFCl LWlNlXruJQcdViKreIZHffFUxConDdtWO5uAfs8EpbPhi2lIFVYFdlxZXILHh12bLsrFAdZaJ2Ml lI6Lzqbz901k055MaODxAFeQvfdE8R7s4HSxmT3MxbMcxacCguJuVZ8Cr9vJPFvI2FH9PRe/R3g1 CBRXHx8szLG31FzP72pNqMTBF0RbE/AGK+0GKa09pmqyNwfumS1C9AAKU0TV3Hw84LT8YtmN887o RnuUokSco+OVsmHp0RYfLhZSy5XAmJQkYpQioMrQBddogGGronQuzDohME0Ea+LdbfQgnFZklGFY 4q0CZIn4PUHBwqZTb/0uClOphWAECEpOPB6N7L5nUajpeht+gve4LS5/mAekQuFHSYyNLxoZhKFx gveoLW4CkstgrFytsOsYs+RFtZ55vqVF3REIdCNCDf/wgimndwrEI4vycAHpiiSzSqNRqwoWQLgp DTxbwfMBa3N+zw8wwviZ4IlZpGLeJisWxxae41XGheBHV+52AjNL3YY47FEmLmFk/DPrXf0QB5CA rvIgAC3ohGx5ywHQ0pDeAhRw1S9YAvcoE6c4/gKemmQyfMdVCDqoIRAac5J9/oDgHP/dHD9/0dFu m65H9M1B8QvuAXWflI6wTdfnUJezQevYJKD63zCVQut2X31cfj6is48JHu/Aq+SlcTn/vElicNOt FzLh6/hF0YCT/UwAKrFA15uIk3IyF5NEPCkxno8uPN98BEkBcbYvObGHyfjI39IgkENcBs48NXeb BmLNUo/s/ElBs4BYgZLkJKD15gWwhllTNjENJFgFio4C8CVCmjnvIVWzXjlIDCQrlpkfVHy56jkt QIiYGeAjxvPR5ms/v8dSRCtaoMIOOUH2BCzn/2GDBRpm8ipUI9vcaKd1C3qcji9Lip7VUYcLwjWA /w50UkpPkghpwBdmlH8ENT+KpFOvHLWfyCtrVBYzLIckQjAyJIQCDAj8gAhLd3MoEhoxwCmXj9Df U3LUO+qmIN/TnHNQjacQZ496VfYUuBvYgOpRSr63IVnlf8LVO5qnrgcck42V8wdYncxP2N5C7pGq gVAJc98TGo33qEeui+9UidUXgZ/A0jKXtNTweMQM/i5d6EGAxMriFAHI2VuE2K+sAk4ywsYIG1CZ 8EFoOZxvCo6B3HsRVkUV3qn+BRabk/u9UuMWQ4NyyjwqohXosJGpuJHjZqgM/NDR8CLIT7jwi6I8 SqcT+lBarLEC8QlVe4uPBx/USr86Bq2eQC3vaPa4Rc5+by3mtDAp4mkWtMrK4bSw2HXk3ota5Aat mFWURa7e+q04ProU1s4VIQdaUBXxDEwYwL5zowW4JOqVg1p40RRGnbreLKut4BoloelmmXj8v3xQ MK2BTqR/USPKy7FDQUnIDsSgPoF6Bmu45dC5QKdJX4yoR06yLTpPad71Xns4jnB306q3ryrvmAQz pU1JfhQ0xnChKB5+z1/DKchZ+mIg1g3DGYUs4/EgMe8+StB3iJFLdQ2VESXmGiX0kauNXIYQdIs9 g+lEoFWL9QxGlJibGQVwW3e172me5GmR50f/Z9iqEsTa4eUJgXUUBz1fwTVA//CLIlJ+V1OFQzzg PQjtqaeKH1K3GQ2BClvk+7vOAyph9Yv2HTF+nPMDtQrwPVGrymn503tQcEu0QEUt8iyVw97V0uyN +KfxQ81lSFvwHodtTqaiG/mxMHEEWBYFl9srgNiMXxOAWAkPo2IAl2xEoHNTZBkGnP8Pjwe9lf8l FXLOAshsWZSitJwuQjhjraE5i39D53ja2+q8vinPi+NphGl5UuNXmkRYi0UJtjh9EoPZoY2VTLYu NxQ5A/A2+jdaYoAMAr/mL/GCVahUzsVoZd30VBpkDkfYHm/frRUgqle62gUjSsl7kVxfcZ3yuu+c EzAWO5vKcD26EaXkZD2dDHOYheq4dKnKeUHv9Ak4ZwiG6mqP0x+B9wPNciRdNP2No7OJYr9LbU20 FQkVOGdFr5UbfZwfjeejhuZ36YLj9/yUu+fCjBy8HjEfbB6cuiEM2qYNBA+nkVzhaUQZuXl7UaTC V8vIz9Vdp7nGTYgE2kGWUFtOUJEWO1Bi5VO9YETdcSFXuwRouPAsPlnrGLKeOLkFHg9OpvXNNkcp fBKie4SHuHFp/JbpNx2Hd/Y1SXuGXxOEyxWlCDdezIBX3o1aHecL+ZDZifOFCGsTDiNXFg2Dw7g7 uoMvL3/NH6O7VTv12MCzk0TowFnF7KA3nExhKr6+uXcoPcZXlKLmOFsrw7AFBGE0nyHU3Zqf3wUw IUUpysQnvyXstWEr3jkT/QSL9aI+3RgoUo16xxkC6tlMdVkLE+ae+D1/5E0npbMF79MtW0qVeQ8y s8+IwSrt654Dm3sCl2dxCjPxrXlduXuOUBFRyrKZXcI3HBcP2gUjzMS15zByuqvTNVI6mWUabKxM cjnZWJGiWpGU0bztcc7H8IsivacOTRUc+mdBIpGTeAgACHGUB6Mi/ICgX1A9dcqsqoBhRt7rsYpb 5Bxz9ssOHkoEmDzVsa0JtZI3ocbfLXK05uZdLVY1wXyQ+ooJZMLFv1ABh4MFMTj4MFB1/wR/5eCE 4k6FtwQXIKW27vfz0zl/AYwLz/+XWQIdBRMuAEVqhl3yymRgbTe1rGMXQ6wsOb+ot+L13Yz1DEV8 3eNZrUteM7rvjcYc+NcsQvvP7sOVuki8Ttf+0wjCMwSssE2XAPizxbCHhLIyMSgVjwdx6t/YQwhF cG5jYYqycUgjoEE3i+ELVksq6c4dNVaz6i7hgFeYQj6nxBu26YlxtQvvZDZAHhaaDqJ8JA4DWSe5 nzSuh1Cvl8H0TJgxnzN5Gm6LlcGnRrUEqhc8/i/qHQ+0cyXMUbxVN6NknM2Bk2mV5n3yOuodCN8E ij1haWTFZE7v/pa7elsFDbW1ac64oGlTWAieTBoVc6NV1yRQTLge6jzMsE+uHVfp38VFqQEwPiYq tioe/y8URdQrdPKzOIV4FWwWmBnsOxE2bYdK5LdPhEe3wfmM0vFpCZQmwlrtezopFJqamXdMYetR 2vcwtF/obMFZ6HGSXXrjCK7CLkHnMcXs1WE9O0kzJZWJxyMl0fXz3SzYwKtkT8hnlJBLieZUufY9 LQlcmj/xvGpi+cYpSsjBscd3tLTvuFqcysnQwCgDoO68D0zoidAcNF5PUAIAqfHOhGncNWMIOWfC GOTaYl2V8zkRUNdKr3g8GHa2+Z0cFExdkidRM0zI8a+CYeLyJGo5ibqlRYgP8CqUMlCcIrxKFzVx myUV15uQI+sGlBLl3YBlFC88uoos/BayzS2HosnnjBJyuSqh5euLEgPQHadkssbA41HXN31FCUIY u98ieEZwFaVQZTajSsGHzL+mJow0TiccKEoLZtQfXwaIru1ZvaeCJLxDt5SmlW1JhObccA22r2iZ SiVLQHvyp2eUkYMCCMi3OZwVy8hRfVCJ/3wgg68ZVHe/5i2lkbVxL7uQx5nVoRseptYv+GmTVKMx QlmWkc9Q6VAV27KpOFcLE4bjIBfxsoNgKr5dzFtOdoA/aKd29TNF2HrjAPy0dTjt7YuaBRNINnXo Nh8PvqZftA1Mdue6Uj0zgquo8TTaTM5HkHsEpUVZtsvUbBRjlM0oIacvCihEZd71+ZrOfsD0/XxN yGgbOQvndpS+CKYHtd7W+CDMfkYJectDPSctgHRIpbYNHFVIiM6hjOf/C/zppHX8xj1QIZETU4/z t5hO3MgSzCL8CXtNvaeJLpECFYqraMBZtrGmKEumHPNkT6MgH6evLgKhA7/SNIKo0vWMEFC78ff8 wcdfTOFtEbCgQ2bDGIodj0c55vfovAHQkZIXLStKxS0nmFfeAb5pPg9OyU6nc4RX23YrAqwMO7ub 8VzahWKcggQg700i5wCDutAwPdNxHuZTP305YMVUC1YIWIGq1iq138V4nKsusYBarXg8UjS6VASm mGCS1jsNXmFjvEqsvnkdvJn283QqfXhjvEEdQGEKEStdbotj7rvatsNkpQDrxtMJI3SG8pxIGRim c3tTtMCMh9l7WmFbvNL2dGjSCV14dmkrJFkb212ApOH5CEz3XdnBn/MrE1+hwji+gQlzt4tY4ZFE WvBo3nyCA66FKUSscA4FaXW1NLt2ACXG64Koaye6YIK/oyFfhb9qpxwErac9cVo/Db8n6ItvtTLT 8kXAnn6+za3PafHxKBH/dTbB1hDftoUpZHLKnbmXZxp1O74nRfaUoELqUGEKgePdU4FyV08wcTdM sNWw6UxehR3fTnWFJuKEpwQE062wMT4lqSLHFpT9zCrPGV4a64lThECwNgSr/IpThoPTghODBSrK xPH7UPYO9z1gu8Mq4Gzi9UAJAsmN3GmFVE5pRIPJd1ffdguN8qlth7+7+FSpciaasYUKhSEd1zP1 ykGfjjzzieMBk/hzyTeeNJjbVaoSU8R54idE7JZvyXEaL4zmicGKknH62p34VLdqWW0l6z5NsgKk 4Yd+qUL1D2GVaqIEXB2GcZKWTg9CqPsWCj7IewQoxYYZSYF5i5kGJgqzrwivsigLSY6rLdYfT4Dz q2jhe0YK/9/pOJBARAxYnKJ0HPo42HvmNYKTvFiXrtU9pVPXkENbOr7CdNxUxIjdstVH5mVBFLwK WwBt2U2taCjZAlSHirXmqxWddeXFuipMNAm2x2IkoFMyjs4O97moGx6PpAu+UfYwgYNznscp5HNm tnslYmR+GvXHzWyWQQvOAbOsm7mifNy8EIZBDLh6UwVEoNw1MV/nLMk0yEuZxCyyFBDYi1VBkYVf FCVQnN5VqtgvyEWrfQvxKo7MB5p0K0rI12UpErII2sh6EqgQP04RvySjeOqqjOL6WLk0EzSC7pNp jK4YqyK9p2Zd3/Z0fcEKP2UVNSGh7bvXyoIe4rfDW+yHOaJmwdCl0SsH1iMyvgNa0Bbuu4p2wRQy evA9Ix37O0kwS3R0ngHqs1CFDXJuve2dJlR7V4LmFGXOfKU4h0L1DwHy8/2Xu/rW26kMCldQdO0k UVL8T0rfaeoFVJuDyElJWFFOLl5ZL/ykuKhYm6eYYJ8NxSQeD9KDq5HFO4ATAijBWKR2lJWPsn+r /ld5x5MjTLFdDfGEqUv8SVEepXsvqQvH1SK1Fky3Eimd51d1twhsTCoyq2GQkK23IkP0HeXljdqZ UB3RUln9w6oeUgncfJOPB6269n2YA8W0zWscv/Q9LYfUg2T8po/OybWyjuaj1gPhcFO0D/VVTE2s fK0WpwTPyQxm9kATc3AEhkuP6oiNFOGnU1c458UvCnpQBGIMFh5aNEmgW6MOnlMM7/e8HH9Pb2ny 4AZlqt9Lb4d5eVuONtTOm8Nst86mH807dcBHWJzivFxdAyYptnqn7mymk4stimQBTiKhv3T+W9go ItWvl+RSqBqF3xO16uR0t+4iLtApK7tILjsNPP4vhRWy0KD7VC5BeL/n5dBCogorDasUppqqh6le Db99Jch3jFdRmJYhdtbwsxz/x4lzlQ4ylWak1N54k2S0NmBtes9yXCGfHSXm28ULti/KoVCWyjl+ 43OKECstfecGEFZY4yra7xBETsRK3a51eLLp24RKY1wJAzZAGKewQS7mXRIJUettGpwLEDJJ/JzG OdmVP2SkJBPdBiEP1arDBOWzYwFynt9ilnU4HRHkW6FELcFOqMZ99r+1DmX1CqbkBYntUOuQblIj PWFaVtuBH1y20Vw2W2IMUwxYkeTaFOjwmmGBH1wTpneN1fDJl5FQMUzoDi8gaVERu3wB7kn8miCB KgRuTJKBuKijCcytenY1LTz+L/EnGdxgGgxgiIUpVB+nLVkTzYXUsnUNceGNYWGCvYGFKVQ6NIXD vNNd/bIbc8lTHS1NYDNZDZ8KZYIniPIZYwp4TrHGszeOaK/klMGGxRbFCQQMmd2UwteMwHTfchiw mZ7zZk87TMjrlliIyT+dNKk5aSrR3omHE64qERV3JHSYBA/LtLOw1YA9/RQqIwM3dr4mnOdVzP2K WfQYjC/Y4RIYpR00fk+QZxY2DVAj2mJhAv+RV91IfDyo71L6Tp6ogdCeXRcl5ASPnL1unER0QVL1 XTdc9B/lcbE4xQm5Oplk2tvqxI12/g5j8BAfGcBfSYvQQmsQtLv3BawQYr9DPueUs/nqvqijuUmj 1r984PGgtZK/3TYgbgF5M49SlIs3ZgSjLhcTmyWb5FqvNP40L6Dlmy4Cq8yqDt0uz+pXHaDKc6pT B3vPpXy9Qql6sAOaSRETIJpV8A61VbJycHbquKg9l0+lJO/NMgcej6pgP8K7PCREbPBUXBih19+r 46mm7YWwpLQ3YYdGM0NWCLoJPahTrK+ybqPSV+usQBrrlI6ZSWY6qTnH5ueug3dZ7tCjrBMn75SB y9r20gH5VSJZ6K+fJTPtkj/p7sB3IwJnL2z+iAjM+k1JgOkl4FP5xivUPMzk4klEm0cW7cTASQAk Zyhe55zqJgRFZv1fG7APKUZz9YoYfWzyM+AZ3M8JWE2PBtuhQny27H6HCiS28jcFQAO2Dlrf1i7H LcBcHMN55gp900Epysu/oAYsigva/DAluPEK7YGoEWkNBLklrG613sjbIXa99e3xCrHkgmP0qa5w d7NDlMUgnY9B0P0eCyET2wXOqpD0Oz+8UNhPksjLX/pPYIZadx1Sd91kD09GooRqjsUfEGRUd75A Yj+yfZCqPFhh5xyX2kqta4KO/1j0RdVqXalFjF0aHqzQolPzqmbtlnbbLecCSSeTWE0mZp36gUkV H2GXEFKq6Gp5xSfThBTaBFG4rhXBYi83CDS23DWVGYPPRyi7+n0RbgxSWflZsKI0ndy42TRQ4MSB Qo+C2e3igESwqzxYUft8CJE4rPHC1XZig9HNppnD3h3UPH1ZC8KfudE0GK9g9Qz1U/ibgoEMISwj 9+yLChpID3Dw2vLIfD6oaEr7+rAIIc5IB260wgY6Ns1Yy76nU5jBx9K5VIZPbL0CIGDnfNxBF+yH 8Ehbb8dzA81C5PS5fU7wBSOrm7kVqIFFCnZNU75tLx3hEln+NSqzcVGqBDfZJqzU0PORo9lNRasy QPqEXbPlFIqwbAoele0aUWTaS/soEYMOjShItnaPVpS1T/umTHVsPKpjCeQUSG/IlQM0DOn9JTIE W7mqPmZrlv2l//KhmuoTc1HvZZ4MRMqIFfbdKeqkj3vIJ35b51PbUH/yYIVSLJl5AwcFqnAKebGZ hjjQHWQvvZd6j/hQi0XDhtbMqLKVdFOIDokRCNzi1EpjUr4UcOnCLxuiUDzidWqRC8Df9Be8JW92 FvKmRw9zURxaRAGdh/h8NBr9xiMwS0yPTrl6Xe8zvyIYdbaqebRyfeAouC/VAzq3yX4xUmQpWwig VOddPTUttHmuiZKbgD2wGEqDElOJLg2kmhtO8b70X3jOsctdhL872fysaoTWwueDfdj8yyJNm12j Xp7kIW6p81wv24NVdnK58rFM0wY+kLN7sKKeumlDQLP7rj4hLX2damSyU4wiuhRhhFGfbAC28b1d wh7+RvxFUcuKLksAuduiWK2yODpBw2Hy+ah87l+7ENc40BgeqtDWE2VIBujL/AXPX3o5GU1nAetn 3GhuVxlCXQyUQBcZW72AhlYv2lUsedCD1vTrLBOKt8lnyUpLW7d3jkQiaNEhqwAuDNUp0ksX7Pxs cz4faUSkr1BNGAy2q4GfQ2dPEx7J+1ox1ttWT3VfEXxIcFmoQh5oljiwrCu1WqgG4XiQszuhGnBg bMrE0BwYUMiBz5Pr/xGymGNnT6LLUJL7okjVhMv1h5yGyuf/C8ERdtAAltxIhck7dfBnrt5sgGni j9SjGi2WofcDME9SsyGH5p6rClu35rrrJe+NUxqqx9cAXcjWlQEFf9RNBtJjMVj8naOZFsUkK90Y B4Vw2AsthfsaB3sdfD4aQXw7BhRYdKz6uMVGzXWOLE8dvV1wus25b5uPYlKFemTt2sWGqTunxFCZ eVZD5GFEcw5OcmZBz+1dcsvASaJXU8QGNbHE4a8c6USA7tGoia+FH1UZ8NshgW+hKAwMPtNX25iA Kzh+o1HnkYr0EmUldHt6kOy/AuZZpQ9EpDoQYRapkBFa2OJLNe272kdV2qkKMY1lq492INx+cNL5 kew7JvXWw+qj2ztHWA6gFksiTwZ9fPuo0qhCuJ3/tPn8v8zR1RM9Vw26jR6qSMWcKr2wfPE21hg2 9UOLnec71duYXWv/hUm7WQZIp1urhepszYJKk5qJCSLURuQDgGqaTnG5UKo+7Z3/2n/wnPNF/b61 k2bxENrl85Ga8nebHZNWNMFuqEJiaGaqwImj+ljT+1g9pe7Al4eelkOXT7dcmuagMMtFdOBSqPRW PUnouelOIU4MY4IsBP6L868qedyen/pYoc/n1CGlwcRIxUvnOqo6Kmfv602Dtkz+BbKG7/P6+qxC oZahxrENSXEBjmlgquzipWAUOb0/B06fWV1szMPVRJ71NpEzjZaAJaC0K0D1kgqunASiC0gwpXul g3Cv3xSZW2e6f+/mi/IqUFspOXmy3crnI9XSy8oWYA3d5K9gxQn7oFZpb04D6TU5XWZf5Xc6/1iw wo671Ed6z/Ou9mH1dA7vmdhL3g2grUkzPaGsK278ui6fr/Bt+JsCM4Ft1ozFF7UZ8D1JI4ZthsDy M3/1ZIi4rOj4l69ghbD0qm6yiW5h7rXd83PN7j2Z8zEs34UhCEZ6dkMQWa0PkvhEKtEaiuNmSjuh bsaORQn3UyDRfVlY0146KAVpPTjSKL7oyyrnV8oUpqKVHPp+1l98/yycCRx+LVqh9eeQYFJrHi3g vK2DNbexa9sA0Mq6DKH35xoG/FS05hOtU/oDxrbkWgW9skKQaGoyBce9nUFXvlMvf+m/5jltswV/ FtFnwM4Z2ofnMKl8PurJ3KuQvVEgatb1Fcih/Se7vhNaGCZvTttda8kQZKCsneUGP63Q/3PaoZWV EnD1T2sj49oGXzjfvBKsVAlsz0SAY+Dl2m4cEebQADR3KVGn5osRISHxsZQKTD4fVDh3StFVcP+g D3JjFeq3QN9n5mbOCycTpKOr0I2p+5BijeROzoEBKDIfxmo0if1wdeTQOsXyxh13PiwUxjurfQW1 WVDCz1GOmZC3Rmuyl46afTyzFjH9aFr9qCHaoZzEugq/hj8gmqj+oo3i4MRBcaMV4mLko76rt0ZP kpU8WpUnPFqj4Ff5lxUrnZvhtcDoXO+hlUfasDw5dyaaSzT/BBkQn/FAIlGJWNd1mMj1y6ELKAAW AGLXrsUqO0jGTV4r/VwwfD6iHfWvMge7cM71xCpK3jlPAah4WO28nfdQz/1k41aMC6d7zgdGoPgX iNTu8/r0uF+fjGvBM4pz+r7gRSRGDQbkS4SI6+pMUJ7eOQgVAR+zUvZm0tRIGhxnA1Tq1sAwiz8g 2IXze1aIzAH8gxurqOVOTeU5Ur3mOo9EdaHkswAybWyPVYiQUct9dgnjTQdl4KPEV5MSMQ3zbMHU ZEo4gN/bzLXQVXVejVoyoRtoZqyWWsni5qtlVafUc9upYPl8RKz5Bn+AdjEx1vRQRdk70A8wtUqO 4NtrurBwndv1l0GP8VCFMotDn1MRxYart2RgHdMmTRnOpXsqQWGuKLM4oCI70wUToabSKwfwD7KW Cr3ntVjz6px8yhp63Xw+yt2/I4WEaIIu7pGKmu2DuucplWQlYaL2s5Sq4dRuOJl5m1eBI+g9rM6Z NO/qAJC6AP/gZALuOkAq4aPCMBSDXzY7aMXLOU62WIVQGciXndKr2GK4xVODtppkG5v0plH5XL9q QkjPjnXVXXJoCtqp7oDsx8tn+h6xfK6Vs2eagNG1V/lVaEYkXhupur46km+i8Z9oOQASCToPP2Qi 4dPApwVHA8uuKOTE3xNcgovdq8LEnYtYkvC/cUR25vPRMPW3JgCmDam2J2WIMnciqOd0BBFafdvw V71R7oGImUyhG8UqZpSqxQ7LDV8d19AwqNgU1D9XBHBXnEtUTowS5HsLagh0KAlUK/bSEdSfNCRZ zk4AV5pOq/Ppclx1/sydzwcZw/JoFRFNzt9qX4GXHPqD0sno5NjbLT8ouK1gJc3pmYtWh/rn0CBU YJlynup39Q+r0fFhU1b/fGKwQ2HdCCnljm3f2EHOD349hwahBAQtynnYYojjUiUEp1574BBqw6b7 YWEisfaF0ubQInRz7LxXuscVoefe7Lu+4el2sAKPUIB+hA7Ncp7l6ijR1IHvl/zbAppW2WpJFMkT e/BRyVOzLzAJlU8UWgwSO+02/TjvO+nxy5Hq5vP/cixUSnZ+zHiQWLFNqAocTrmNXVovpTsv575P KGkoaQ99QjtPa2I77uo78FwdpziE3lNCyKRCDyVGvHWdKGUwo7Qxate0K7QKlU1Dq641jIKWLayZ zOpxnH8Xf0CEqr2TCSGLMHnPECfxeEVgmcGOXxrZ2bhNB70sxJfrmMBl0uMVpu2L6SVwVnf1Iudc g+edEsEy5eQpbP4CWYRGPma2pyCEK6MpUmV/6WAbCrK2za4X8k2CNJyMh/7w52ZDZyYwDf2GNLDW xo1aHkBD7Brap/jKToQf9Toal96LUW4w1PNghcrogh+PLfgH10vk6jAm5YkFXkO3SWpiL78Q4Yd8 zFWp0Kzlb4raozze8QvPwv1hSgED5T8dVvvO/AnRdfh7Sg9prP1sxRAsgyRrWFd08j9WV0fPlFMk MbcAKaCKMLQPNW2qYWJn4xE7g7rvqJS+gYDXuQM1yE9AYmFAjqoDkFJvJlObKgcGohjHMLuaPOG5 qJl88vYt/e+OBmngIIoM4LcPDyQ+rxBFDi1EKXo/K3oTaiZXGp+zeu57u5I8zND8ywoB7vqy5hTQ nas3sTA3LRrnwFrtbHvzEEXxnuka1h7MGv+0/E3RkILiL+ilYKkmN9hObrppRYU/yeQPiLrJ33kW pOVWfgaqoY0oGUgg/CzvvPfurYYqDR1i1na62zCGyqghMwTN5mofViPXF7hUdEwwTO8ynyv4TBt0 VOogn1JNrL3spYNtmHnGTx5dXIzIDDEN4orOZ8rn/1P5fG4yMINurMLGe1NN2ByD1UsdPtHh6Uuf PhAqfROG2bsQtiDI3tVi1ZFmQSGdyFEYb9YppC1tLxpcr6Ci4Bis7i8dgSGJHBWygYsYlfCZ0fTr HIx8PshI8y+ldNJqKWng0Qo779RKh7iURasQT8FeMtwBLVpJBzyjFebvQqqVqV5ycSUpIEoqiOxQ GRmQHNznj8nbsNB1El8bguTaqCUL3xcYiiZmSmgiU02ggbvOlBvEiWzc7/On4Q8IUq0HO8r9i5St pFtFx6aiHD5lx8UQOONsEym6aga9neKVA1dRkA/ZZ6CfpK/6tjoNn4bwfRWE+WHTemKBcd5yBG3u YWXZK0e1zpZPyNJiuAacsWVILA64hsBVVCQxC9XS653/49mGoa2otOUH4X8I1SJM3tzrKYcsN6Pk nk859BVdXfZ7Rd5YXK01cyLVqOfBGfTqdPRAEY1GIj0DM7g6VheO7O8coWyHtl8mfhvXinAv0G0j eKZD6TqHzqKpzV8p/Pk5+cmyQmtRtnInhAfvvH55EV2HObafInrQxqDrR/2ZZe1sftr58dNuC4oU wGUQ3AenCMWKYwZqM+GEN7haKvbKEQ6S+l5iWHbM+GRTcIrXQr05MDYWf8C/NGKqne6YZt5QRdk7 mOoYD04XT2/1FoZ7u7DAkJOVPqswe5fzTLHCsDyFIUyg8UfocqDZFT5WhBYhtZyorzDBdemTlOyV /8DXrjIoZMVFvVFsa31fGSCs0F409/QdKYwbgYr3SEU4d7aRR1vjDqDpQaEBdLFq8fyndBOs2GBU Hb+Ry76rJ6MnpWqQ/sSRm9DlZfcmAa1xggT0PwC3LjtUq73zXybtuUrzq3qoUJ0LzURLyBxajM5f I1VYeaPGvKGK8nYa8rIFp/QKCrnCg4CM5KGCCKmnDIHH6GXMd2sR9/wl65HgZYQDESLFLU0UyUiv IJh+skBk6OhROmBGVU7oMtooN18zi51KpxW59axKQDOIy7nxBwSd5PSdMwzAIMlntGCFiBkOCUHV 9Vl9NX2Yk2/02T0XlQ8ygxUl7sM6fqYAztVLwnO9nQQGTpXQXD6xQy83UzYGKfv5yhqGSj6rFwok 8BrFbcSxM04FLhJBqSCmpqmxH9oNodno08aiVJHa2XJEVrRCAUf5jeo8Z+ZO4SNJhRMEzU9rSucy 6Ue9R6tOg0Kan8F6TNggqzMGDI/RSwbv2TxWFmEvnZTC68FGQzj+omjwRQxyJrVwZ0ObnAoUbRMm Wh2EgNhytH+33TOVbgiQVbA+r9lVzeJsji2dNLpaEunCLwuIFsM1dAwxGKvX3KpCZ0D6X8O67uPp uid0qMHxYyf5JKZL59WmBzT+e1JzzNaPttGvmVWFIKhYJrLFaG6L0ZGJTJlELjz9EqQKapy+qK6p 8zmlNiDg+C/O3yaKEIG1NPZytNq6aDVOV2ABdf55zSTSXlOqimNK2H+rAtdXFQhYwwROnlUgaeFs L0xgtSU+z8tPnhj4tvBr3kIEIYNEoQrNcdiJYIgwNufFBV+Yz2s2VWUG+ISIttOFoy6E6DWXOg+R qTBVQnDDqW/Law+UJfUVoJDXFKLXTAofETMoGNQ/q2edmBTsKSXQcr5SbwRuwrYnGt3Zk055Q77m URUgIrLl6dikRYMbaIVMu/za5zWJqo/YVzdc6YTFiEfoNYWq5uE3JRIpdkTJ1gAFddRw7Pi32DZ7 TaAqOqmCz7av9Q63gPkvGm6h0khS3OG8YaJigxCCRWgin/+8pk8V9E2NHrDbzoL7UEKpY61GDZxT POfPa/ZUnzyz3y4CSLYWotfcqWYdIGNLELWJS2LT0t5HcbYN5BfM1zfaZypHuqXj/UnH0wAMP8E0 dUCpD8ZVolPC9RX9BZRHYNYa95Sqca+JU5U3wpq04bZFTbxSplEiMH9/TZv49LQYCTx6zqJK3z66 mgYxqrKI1lyGxxJfUTCF1t1J+3xGxpV/TZlqEgUFBW9td3XwSzs1agJY7FQTaEcU+TksbsoqDwx2 pKZ4gXrh9xhh9AtHrMmECcQv4T8LWWFs9I5zr72mS/iQ6g0SAWhns8EgwaL0mi1Vg9pixOCWWC13 4221NZNPG1pNbqkSRanJlWepF8X1jrFOUpQ7iRBzYyQg9uTk55lAR4IG4t1uLO1eM6VqzmGLsFos uJKlvN9gXEDM+imuPq+JEs6zub+2G1qAc7Oso5FEdGZXXfzX0jdNM8E498Oy/5YiHjbCek2ReGY3 Q+bVu3r2vaGvCX43cFTnHMBMn8aGVaizBX2gebNv6lW9Zkj4Y6ws11Vdb5uvz4ZdORk/9/g8O/zz miHxPev35Y92MsDzitJrYxMbzmS9vjbcLHYold78UNqQXJBQXHBukwENY2zJMHH1tuYp2mFXTrTZ KqCMsK05MewFB6KhKXklGpmCfl6bmogSBzDoYWqZPzZOOIkem+U9Qx01iFE3oyf7kiYRCdMvt9d+ ZtWgDKV78SBlYTcQpFNnJW+lNA/SazezPoWcKFpavebd4CrjAgOvBlIxOrmBxIYqxTmuGnqvDsmj JvFrLxN/DCI3qNW3hK0XofQEqRHU2svKn9dGJu9yv93E62aRlKaf3e+0v2r4ezScPEzNBXZr20zT BZyCEooxJMMbjvGZy8Cx65mBoi+OLIJb7txoGb0LbDl6CbVKZ7V6mwNbBe97up34T4XKbkm+qOeL u0ZKJ5NaFNGWm/PrcwJRCf46Hqco4QYQALCpaonAKT6q0yN79UQAom7e8A0z7im/sKR0kqs35vpI 5+WpH4vOQNUxlioLqQJcQZNPQZV289ArBwc4T+4ERpktonOjuFcP5RwRn3fKHw5wk9KzNAvX5GrV T/B3yh/uuUZjzH7JkYPYW2UDpTs5chG7LnxZEKhddcGV0e5qH9RCzeZyVfCxJHD/JAgwiF7oAYCm f2cuQ8DhIE69MR2QJHEdpgAJjEaSXnSrm48HOZOZPHXxQohk6DcdeOf74RBvIpFeYdRRtqMPSpo3 TvtuvCj71r8dhsDP6lNPKEIPgM5BNzoJQBUMr9DdIEM3sCK7d7XPVPXKQRFHStZOVDXhov5lOvtu ifoHGGyYgI/vBBwuKrvs+z29Z+BozuqGSw4YHlIhJqpl3wNqFVRe6vNGcVJ7txcO87TaoOUk2yfR rVTg7ynNZHSkga9oUcki93QnLVPNy/B8ogsWtGmwAA2qtglGNLw8UDV+3rl+lngpTriNWe+g4++B itLwTgL3eVeHwKbsqoONlnGGlKInGAP1nofjTpB3bxGPlKuzIleDaH5lddv2OY0EKUtNikrncoNx h1Nt6fL0zvTDBufJVCE1Z4tK3gKVBrblToX1eSf6VYgzfucFoJD/EAJjcQoTcSJZKs4Gc1KpyUfo U2KfQy7jDvuJMnHK3aD/1p7VAegVzaVCW56T8qCG7zZi6T+44U56gOa1vI6zeodRJt4INpiTmQEX mxtUqq3wwtufd5Kf1c1fvQHcnv2mT+8cP+7WTncOc8dE69IhLI1Ou+ruzrZNY/ed4lcvOAoKZnf1 FtO57sDOnFROgJ2kBEwWkqHNPHclP8cL/QI/7wQ/fLZTk82UtP22/r20HOTVARjD553fVyVDpDBR vSOzD1c9TO+5uHvWNzKXnAp5uR/p+vIMAP/UBI+S8UHTj9JIO7LVdh0GzWQIkosMsNy0XBSOOBSI afWOoeTV907tqz5agWqvL95oGlJ5g8no553Zh/Rpf6dPrHHQ+fI4Rek4eWVnX0zfdbVUj5N1wtGN W3AA1+cU5uPyMqymiVofTdRONA+Laei4wXZNXkd0M59853xpH+hu6o2jOGH21DqUE7QwOHBdVFpQ icSIEnLhUJ/brrFc9p5llI6ztY+eaPIC2F0gK8mXVgCP5JjEd0JfvYaii/Q8Wx2cDzDJxBxNjvUq V862hPbW+YsShAl9EWs4IcCfdz4fdwD3Wx93EWKlQICfDZJTB33e6XzVoKZPWw5t6Nyf5m6Uj7Ow dxmcruPJPEIwarNADfqdKFDv+Th6DpFPQaI8OoTAWd+lzDsGRTC0ZzKg1UynHxW9oVcOCjyaPyb8 fDivnoJCmmpnR4PFR9lFINw/72y+XzcelawAfd3PUf7O5sOXLL2SPjxWMCG0WLWUHG2+1zIG8juZ j/1BtVWGlBq5Oo0ILfmGLpdwrsU1+CUKRwEcmP9euHnRK0c5lCi1k1kFRJ5J/ak0fqIF9rmN1ued ysek3Icq3GmAyY6RPVBhTk4oYuMERz26MrwhfjaQI6jbdJO1dx4fy0Xpf0Ou2lcvhk9lvNEcwkdV 2kmoNovhyXduEMHHxXhFOKjs8k7jwy8iWrOgULRFuQGkGTm5rXPWzzuLz+dIN05gicHW2+MU5eRr UtKsXvpCKtXoC00jZ0s1veP7zuF72uJI6u/qNR56BXVTknGhVyTqzNmU8KmcGD8R8KWOr2yx3il8 /B6QambI7XBJglCwKc79fu6w9nkn8NUHkf8cUjs1L13e+Xv4nNDDOVdsvyyP3m7PYEz7nNTeUZii zrhjNbvhLvrFXYARiktwY9+ByTfwT8X0YBLLMImo2/05zJdeOcihWAQX5ghaeJi3kx7UJCjTXJ93 9l416WTFScPMAoC1J1Hv7D0eUEwwCTVSry4N7xlwaG21MIDh2nfvKTnSDA18l9Jtrnbrdeh4NORh AKgALsjSJbXM2w9eJqccfbwyab36zt7DFpCi2dQB5XgFIP5KFe34lO6fd/JeNbWRp6cJGCP+xhan MCenuyFuf6+Fa/U4pUG4Jhke6GEz2Xyn7uHvvKTmInVPrZZs7s57j0jpCafeYnQ0qCrBzh6D/Os2 TiLv5524V6XMDgQ+4ayC4AgnfU7TZMZYe3/eiXvsUPuNR4QiFRuyD8ffeXt+TwJFds+nZfk5UEnF tcAbBbu58d6TcvTnFZ+d6l0Vp3ZidI4naYHvdC7VrcsxIRXfwDpR0sNaK8S0f95pe1X28xhqAgeu RS0Dwl0UscHHg8/pV08T5l20rLYwRTl5pXTznu32fnlNyXCmryslONz24p2xx9YKw9RE6dBqOTlZ QmWS5I/m9hQTFCZfaLqiS4+ZpcN3CPh95+vxc5oSbG6+GIzgHHFF3Oo1Pu90PaSq47tjAITkxCFg U/IoJ299iaBgCsQDrccfd+1b7p9Ns2aNycOcXK3fOvez2td0Dq4MBVfa0Y0CcXnuOpRlJ11EUzUT EqbGCuY9n3euns3egETBBE+LCGgwRhDO+fyuzztV79fAhS8Hc0MIPlqYYkiKhOWzly6DHR1l5PMx m1ElnPiT/qzwpqQztNrXhNPoZIUbYcIQHYR1Q/Ys0E1QEuKi6+IH5aI3/itM5xO8izbdOZuyqVJO Ph6d4fU7x4SoPNjvFqYoGacAL3anQ3xrTZ47dZrAEzkOCoo23TtJj+Cmxq+oyXW2CgOhr2mMc8Og O4rRYIIpmpSDoEs7QPrCVvAjvA29cFTfsT1H6UAt3uplG4kNrPJ55+exZPiOEn1dTsrrUYoycSY6 57obHqUybKCAdkF15nUC9pFA6HdqHj9mRqkNa6uM21bpiRPPTjG8Lm0j8WORxiyiL/ghaYowKT/y TswjeGFrBDy5QBu1KxM/9zHr4Hnqos87L499le8UsxbaQt9NF2Xim3pJPS2nx265znR6T3KoV2i8 Oq3p+87Kw9+J4uhIiPtdLU7t/NVKl/HqSRWQmfN/A7VLYAnwD3LoRWYZ/nmn5LGwE+NaGsPLNQMB c5JnAQRCP+98PCbifjSRvcghfrt77j0Rx7Wh7PtqK45ipuzg8Xdja8zEGDBKUWs8Te25pK+ppqdJ B1hbzZ1H07kXMpXUKA6xwBxEExSfmsMKWK+8c/Hw/YuYjvLMFs2kBhpb6q90vmZw0aX1q16hOoXX K+9MvOpyBz1vH7X05jNOuZ85ITYZUPydiFevPW2mho6tFqYE5YSsE3ydDdgk2ZyG1GLBwTsfVrsU T8IK33l4BuqCGzTN7rlYnM4GTCZ51D/vLDzLJ76gPBihrFV8dvfOwkPihDNlbH1PknRzz3p8yJYR wCjEpKTeSXi86sRoSSIBgaqFkwKBOjUQ+h+D4t71xGc0BYpaNjnR1JBS6NbNTHrlP8BzQOBQIwkc ViYCFW2HwrCdu5UvGg3v/HjC/xadot3TDVSYiMsXWrw7Bmpf0PP9zs4/ERbR+qLC7rib8XAYrPXB PO+ZUU+DNAzlnyJqZ5d3A66mmu45Dns6/qLogErMLQnmHYA5MzoVfj9qDZ6rlc8HW29/9zORHk7J ejNQUSpOVMtKJKurnbndZxykeEeH7+SCW+/kO7y/fOvJQvXVW3SnLgQUgxUwBjFF9rTnhi84nODU h0GGu2ENe+VgKpUlE88kc17A6oQrEmVUa5vr886843uO78oOaFCJHBCOGeXiPSkXN6JBRhkmeuf5 bVSApFR8XfyggMeMc3HFaQoAzdU7dDuz6SgtxdzMMOTc7Zi0dEg3QXrGjyhCpj/vvDscFtmowtsZ wxQ4OGnmljQEJH0/77S7X9M7bDJ6Um9MkS1QIV6l0gMLTRup3VV45FBTH0cws6aT3264TFd8UO+k u2qKMMQZlrv6NBj65B0TOgQqNYIL2VKh6CQsMgv+stZ7omTz55105xnUuez21DJ0eZ3Mj5x7XPdp fd4pdxz//WqpAGsGYUSLU5iOq+Wbm9NeT9KVzdZilWJGDSOjmaoPKuqNt2YKimXf1ecIPe2z16qQ 9BX1SzN3GcwRAIWoMKW91Z29cnCUUyD3ZLJTi8UJftyJ3RXgYz/vfDtHMt0UStSV5Qn5O90Oxxp6 k+esza5xt4jApXpiEakFG4/6y7zy3tl2PvDhtLze1eH0m7nmqNLlhCqZTnLaI1SMrgu6Fbc1PvTK QW5QRcuQ33G75rSFHub4j7PUzzvbDo83P8i7joWfjVvE4hS2xgWGzleIbKgfLlgPh+nUbCuekL9z 7ZgaKMle0i/nauXdymnVOZJ0yM6Pako1C98yX9sPVcHqqbxT7Zx4MJdavstbvnA7HqKygC3xeWfa cQDxjWClwSwQth6nqDcO4Cy8r3Z1PF2tzS48WWDLFxqTUF5470S7KpIS4kNdUFstTic/h8DyIExs Y4AnAd1K3eRaBG+5LTpgIT7vNLuHoEEDHi1WuZx6msNhsBM/7yQ7Vmrj6xzHCQxKpocpBqsUUvEv atwgdORujua9pwSnKYUpTMmlm2+KKlotTBtglTEotIx5VF+5GtS1/cxJpdX1iK7oc4oa45S1OzUh 2Yhb+rfMBc51IakaMDff+XX/Z2yOOgaGix6mKCGnjRUMEm76lJJXLlnOtMwzRzNy3Tu37uk9jaZT arSLfWqwST6538LpdIpgKEZptA686mgEAAL8ZiAxgjPfqXVWoZ34bPIQuVgn85wN/JpguPV5Z9ZV +Tk8hzia0NSzsTCF6fimnl1Njx3DsK4KcvRk3QIk0eqqtBA5LvBFIdrT1gsR2xPEU4JV4PlJUi1s B+iRiZ5euj7HGPXojYOcgPJQu1DnfLvrdEXvIROsfgrtjceDJLN7u2Bor7JX72EKk3F8/iDyeZja NKfx8xMoBs0zvJL8yzM86otbm6CUlu7qYxZwryuaa3BcLafUU97QSL3FPw5E5AeZufXGAaMFYztY MCdfdDbBQWTIsr4MPB7gCvJ37wnsnZVumHqYi2dNgWu1MCWqTmoK3LqZe5yyohsys7/n4khJuNkg K3ZXHx9ksIFPNkBkZgVr2uDlKBbwoaJvYKXdIJ+1xzxNtej68EWInlMuLN10Z4Pg8QgQ/X00QT1s luyJeI8ScVwUwNG1a8FQjYgPGuL0hGCRGMYoRUAVjmHOkcTBrq2KEjgVDe6qUvprzYzoCjtakkCA tIS3CvBh4/cEBQuN+E5yNX1RmM4/obMlAhEEPP4vDhnDNCE1eNGrPczDM0cGK/lFN6QLzCFLuiNg wN90gveoLd5NCLEqXFwdX38OkEzSKvPLU5IJblgxPQAPAX0gzCKtU5DslaPeEwq7OqeMaUkZUsHS 1GqES0/B8wFnc9avwylDNO9cmPeDClmbjVx7KvloHEWlWZKj6xhOu68o/ZiJ9ygTn2bgu9Kzepdu w4kBeDDoWJ2ik2R/fE/YolC1BijgEsk3S+AeZeLSnCloGJ2FLZyqyUHaonYDnccfEJzjybceGwsQ 6OnJr7sekTdJSz37z6Q1AaXJhhGrozYbl6MGNmxmD3PxZcogSqKkGK2sIJOL2kQBhiDwJo4TFCXd dEhupxsvZDr54Bf9ASuABAs9oUHTYIvgZLJQl5JU2J54PgjUqL8234CUyyVwhsk4h/obTRPD+iYj 2aNN1xzre0KW5SPQQwqn2nNlWKAegFgtpxJqxAyBCQysqTpVZn3SSQWCfIwdUjXrlYPEoEo3cmo5 p0IXQGzkNdmhOMXdxvPR5vs1bjkFxyi3/dTjDjnS8UVR0v9BzDQKoVPh5H0oM1rp4uMwD9PxZUnR Sne1bsGEC41JPJ1NiAagjAonZwgoayvOnqtGOvXKwWkuJTGJr/UuSyh8UhKjTOyV1IIfEJznfX+l mlBzWtCLski9Z+SgAbHpRJKqxpyYEyFosJOjBvBiow4UFJ7nUUa+pb22epp39YbmSTRS6XQp3OfH yoD2/FcQL8wVlWGZyWcJ0HbQKweRMr4UeHhaVK7AQIv3Vjt1KR6PaMG/Zi7ny5ut3C8qwo/zokbB m9R/GhWuneo/ZZIA/0dzaFxD3HoRVMXMYbb1n3YXYpId8nOZnp05yCvr4LxyKHriM6iLdQ4WekVf APkJF35RhGPNOqOSL+qrIAGUxHRbfDyCZnqcEhsGrf4Ai+WBCpNyoTDm9RmnZLoC1aXhujU377b1 og652TNJoM9W7/xiCsxbAoEi61lifoOMDYh4V5i5WUOTOkr4Re9nFP4iEOEmBoqLCGLQ5zRKUJl4 PPqgfOOxe5nZac/LE4QR5eVyGG/F9Z5Onlhd72ntO0voaFiKaR71yDmVgOIF/Ya0+rUH0FtKZG5M QJ9psgZdB3iMIInKmg6b9GjWG/81nFryUuUiEGtPYsYjZhmPB4n5+E7M4WA5SYxQmKLEnLBbHIzO SBgSwqdGa3V9kLFwZCpMYYdcJckejkW5QIxzEEEDxlSxMLnTlDNhq1BdHYJ4PV+xNRRQ+EURo1Ns 8yKGC9wNmY9PdG2qxJIRqLBFvr6PKNC8Vh8+mxohfDxJDnKUCx/31JzWbzbt3Gm6ckHUIs9q1c1S bSV0dhDYQ3BmJpoOmeW5nHSMbXBzQRZFweXWCiiR8WsCECvd13cdakENu+MnRF954cH2EI8HvZX+ raWCzld/eK8jSsubOnW7NGv8llGdfte166jjgW4W67wRpeWG62my5y1SHGGUTkwWgHnFUGIrKaIA XaDCGlX2jZYYQIEXvyYadFbutr18MVZZX5Wjcwwe8Xh0On1j6QaKxXSVC0aUkvescUu+CPvEnTbZ ITToWAMV1s+mKCWfXS0VmrHa6sjMXDpOpSaSYseBy/Y4fCIXp1I0ypFw0ST5bkTtcanOpCqWS0W8 NdyE7A8zqXF+NJ6P5ne/ugbnz3PSuLvnooxcoshtGdUVqIzu7fFzXVenldVq7fERZeSmXpSWEAZc 7XA6oRjQFeXg/ORiXbE80cOYv2KCxg6USPkULxghcJyf0YBHrS1MCs5h2HuzZtTE49HJ1L6ihFIY 1Y9HKcSNT1MsMBwGQDh+06HNaU2DsqpxFEeEGy9bXxHlc221r6n1NAoYePiazm/oxremoh/U4VGA XNQ4hln4NdHoDgc4XDC0lB8ZCQEIw0qpN5xMYSr+S1MF/ajxFaWoOd4yHV/KuGXwGr7n+EEbWgXI OEUpSsWnmuPoo9hKsQmin3qG8lwnahz9lJIMv0q3I8wQKC1rYcK8Cr8nSJwWIYerkR8MLX+BVc4n W6W+BXQPno9YLfee4+xOn/PddFEuTkVBjBuKbzrZM1Kir9XkGUHzfsEIc3FvUmp2ztX5GgXHdk/s 2nfAMeRqyfDkIiWjefvjmynmCHPxhh5UrpwmgDj7oxuukRvPUAGliR8QNAyqbzxS9c65vOft1o0w GW9Eii1TskdTc7v0o7jbbBgsjBcUqbBDLgmaScV8Wy0ZPztsYUQOohREQ6qcnGHvTJUVkA72epwT dI6HdE5Gip8PFqiLUANjYeA8ccKctK3g+aiz8p0VsB5IYGsqUjNsklOor9J3VhaNaztgZQ3nvY7S hrVW5t9ahqXO/KzWJMeIC16DtHCGQGoSnersT4h24FRP1/vT+MEzxKtUKdDxwuNikDqwZiSHUSoe D+LUvvMCENIADvIwRcl4nexAlV4cXrCawDwnx+zVLfQgGW5hCrvkySCH/9en+BTU1Iimu0QGM6HJ lIpSm4UWTaD2eRlMv4QZ0jmVXm42Vrh4GVwoGgvpn4LH/yVHx+wOk9ZxFftmlIsDH4geYnYq5yC2 WPNg+HEbTqwuu/FmTOW0pq8ZU63uNx5g8wMOwsQ/lQVDDrYLCs7yk89g403ixATrocrD/EebnBrY WpQZTJCw5IazKh7/LwxFYNTgJuZxirLxTFmjtJZ74fQ8fSC8tBkJZQXpX3EKm+S68zKBQrba9wRx FdgHb6o/wlFMNUveKECA3ERz83GRXXrj6Hwi4xUVIZfiqJ5zCFapF5SJxyMVUT+eOnsF4EjWy0aY UT5e2aYDLlBxWlkqNPyepLTKsQvECRSnKB8fVSIYlJqz1YcJYHvVzBneRHoOUxJcVhQYgXLWTwEA y0fCNO2aIZVTG66yq8LFuypl60gvveLxYNb5Sw0D0Ku57yhhhh1y/O/PiVs906TMhPHyqVNIuMpA kqU4xeIqdj6NfVcHsqJkqyBk4xg/yY618jLl6NfGaPbhJ9Lfc0b5eKV6QRJoRbkf0wKwaZbAT2Pg 8ahJ9z3E2xDD6LcGnhFaZQidSdc5JlClL2NKtYZZ22VKWVowo/b4Mrhv/lotSgMWu2dPJVZ3BVsl qbpD4wfA+SyNLOHsSZ+eUUJOz+bzy9LSoiY3sE+AhPIDGXzN/9IqKI2kjXvZhTROa9BNV6Y9979/ TFt+LoOioo6zn6HMoRLxVIQz4GphAteOtoLSglxEY0IiC9r4m/8HxGPuEGHrjYMB3qL0TJKf0p2c Dwi4NjXoNh+PMGLf1R2mSHNdoZ4ZoVXYUIeSmEPp+txuf1qTj+9g32K9ghml40P9S+io3dUbdIs5 QVN1t0/CREZsanOali3G3bc1Poiyn1E63hxeT8hvw9RQDfE2yYM+CVHNFc9H6Kfv8R1qM/R5PFAh jxNf4clhl7eeYBrr6KfpeJU+sT0VqFBbRWO7No009UiJnR1yYlUzQdGAdNDnHDkmbh65zK9nhDBW 0Rv/oZi9CsyMbVGLANKASYXI7ng8yjF/aYbAcjYlnyCsKBVHhY0zvLu4aJ/ZugUnf3YeZ2+YWTJM K8KrmN5xtm2Xn213sguYUjY6mJ1ME8NuQQ6hTjvhC9eX41VMtGCFeBVqO1Raa2gRlA5gYg2kWq14 PKBx3hEnEbagfC+JrzNMUSreJes/mvtx7Zk8xSzTwKwQwbhhClNxteiSzKy12raDqcG54ihBs9IE HV/6WJ3iaf+fsS9NciU2mvvPs0wosC++/8GMzKxCc/R1zVM4bDxLarIHxFJLLudeomaBeQ6z9LT+ 1Dk8QUMVNxhFSG46UjqZvpytV/B8sOnK/oowIW37FYmvUF7cvGFr8khc0r4UVZHoeCenrFuFbv0D sFKqSNRnpDYFVxMEzMlQo87h2XVSau1osFDbJtN12gOn9dPwPVGJrkmQ7g7C9ZyYIAsP3RYfjwJx DwkkzXb2W7lEzhUSOalKR9E5K/gOQxmAr+rFJ5QPLHBaIW7czH/SGnf0Qibs3jOCHGy685dJ0IiC kBBXaOJNeEhALN36sy7+nE2AanSd4ZQgYxICtdoQq/LrcMqUBobUs01UFIlDv5/UcsNgnAx4JsuA 58zagQ0aGU2x0wqZnF39TTMr249ZGUq7A1IRBNhDWyXLPrfTIIG2SLCTeGA9U68clenUr2NJhcEq hbx+IBy7K3NY0PwnPiEqjvevrAWYWKCpfaqiYJyp69hpWE0FuB53V1y6+ghXmR5mrlBXxbZTlzRd 71eaDoxXBATsmcOlfqIlAfDYlAAyCk04XcwwMFGVfUVwFQkXgLrsg5XHAWKwpIXvGaBV1nc4DjEF nJ8+TyF4nJYjbbVktae9m7rnFSq/9Uc2GqiP2zyF4bghnSlRZKMVC1avIIJnIsVgMdyo3g6lXMwT rHsqSgmGwci68kJZFRYLwJfRsHTDn3WVpuRCUmp4PJinB8iqA+1kweMKYawoIGenDeHRZZWt7Pin RPVLklvmdQpcIXxc8v5L+ioaHaxyDpaTFneaKiLjLlPivmC05DJRaELp2cEqyLLwRX95juzFu48a 8ASNn4WJTs4P9bEqHn/feOwxPhHU+ZGI/rN5CvHjg6lvbe65ldYVDEErz0kby3ucKyyQmwiISBsa bZ468OkVmtCQzjwbMYsElIiwh6/YubOa62MV/mj4oohUxr7dpopf2qbid9YoOrWMNM8fhccjFfvv BC8nug7gyrWZikLyxUx400RV0iqkbUtaZV9137mdcb5i+XEpZBXpgXD0rQeLziX/13N4n2idVyQo efjfIthEs+FiyMlIWFFMntk1HyTwaFA9c54VJSmD8zPh8WhFeeoi8B1+s3p5QDsKyglJgI+xh1F1 enzQsfc8Pijdkrwd64/z2sOFdMdbMmhl0LQTAhirwkoCEzXIiczKjOftTskKfUdhOfppEIpmpQ5E /x9phSScGtp6k49HRKDvrQdsH9Iln6f3qBz8O0FUbvKS8/Ycb6V5Nf8poEg5+9jxx6SNS7+jw59W W7DNrdQSO2fLMK8tcIahlN94wtw7j9YIO8SRs6K5gAmzQY2EM8uy9aNO+34Py/F7/mp2Ahjd7523 Qxz5mFpEngufNMWOqApqhB1Rm1cL5ykMyw1Pt8u84y3UnZ1XEuH2C6ohZvKWAMJZlICvl+JSaH2M 7wmmaRBOR3MEDWICbSgcinqeBh6PFLL8hGLSA/GCcjWNdkjnVFuqzfRM07Rp2qRvC61Sl0nT7Rit MlTvFUQ6PTdehS9LpdAy4PZz9062ENrpizYJ53IDntxPciBB8EUBY6rwxgPqyQaFUDCZkVrPxnKK 8CrtlyQGoLRrpLvtQgi55H1zulYb+dag0k6Py2Q2JbEdKx0KS5ck81vcAwtUoBMBFGiOY55QaqGG gNEUiWMQ8FCVOtQHPzvWV6HSWqZzG3TPfqiSRvh4kTH1uZc+O4rIZ/q+8FBCWPVCxHYUkVe5w5fs TlL0vjJHkkKTMmO9+nL6B1yltN7v6O0WnLBj0g0XGlCFPpUFze8N6Up4l5YrXoACyWdH5XGpFozc 76CCZobNLsV9z6d+dmgBlL+bUpRcSO2WVnaEV6HKx2yEthMUDdU+m6b07Lreph9Ooc6hubvutu7o hd9z7oKvyWQYkLq5+b9hCjzpNgz3FGiYMMVreuOIuUFi2RQSY/rhBKUt5qRwluJr/ku8QJDzDPuW u5pCeZVONlnJl1iWrrVrWsnrmZXZG5rBOwSsTGlFJzm8VvcsIG9DelhYTecGPX+HeuadNuwMS9A9 N3lROkHjeyLSK5ktOsNLe6Zp069DjtR4PKrU+aZjKohLBCG5TVNYHxfpVfUU/nMa0+Vsuu1mwWOx mMtpiuEqElWZ5Rn9DD+BV91Q5QGFusDNU2VftAQG89lzWDlYhQD7HUur0CM4EyrGQfXMcxklcRLO ZYHHIxJQ+1pMyGr3uI5SO4rEm+p0FJblLM3+aD9No3gCkeWE/B1BVaahnoyHx9EDgpO0yD4Z13aF sh+j9UZ5hTFpu7nd1TwxB96xsAqhdIPEDQ6Wy554gDEIGP94/F/qfVSUzjRmuPh6wYPeG5PCirvC Aw4nR6qc4Cd5tQBwEkIw2GN/fwVpz0Bc+o5WVgF4OWXofp3Ac4MEVMq3ZVKlOxn+H1m3rG0vHRBf GWNOMBbOUMnBk7UNYsws29GdNj/iXwADAnsQ7aV5CS45hXqHhNXVvbcxNzYB+/+hg0Uy22CcUo4W oyPVnzleM9gYwzKF5BO6BLBIoA/XyUxYzTxzuBCRnSQEXsH9dhTAqdJLRzqjZAOhMrRQTgZyipH4 ufXIWIeuYuUHBNnLrdhxbkHpz4lFYZuu0BeIheBEmK7Adb05uG5QbFeI1swOOqcrhJHLAW92ZTAc bSMmaAKyw01r5XNALKHrGNcAJvVDgWpXQ2bxAN8USYgwGa5dRI4NLqtymHOOZIlBjcUPCHCteX2f 7IAMpUszyymsmuMQOjfdMvON88/rKpFEdlnE1+3kkxV6c1qal+e+o+3FgWIyWwpnL+IvgqwXPd6A TegFEQL0fT3dk19CigJ0NDjPopIuDQeeWwWUT571FZADPP+/kPVZsSmXxiH77PdQjkEVQP9mQ627 j+dWMeZLwyF85yqqnA+jmFXheDjaPjwftRt1ALCw0jmX0EuGhA8+rdErGM5plsvkseylg16M6SKv 7oOSGWRJArGcX4rPB9lM+c76ABs9LzOvbYLgEu8hKHVX2P0h0K5NOpaRRtV7NaBdgxqqnfJh8bxb W6+XO/qpBYzGgCwQ7W/OKuPqS128UIhAFGnXNTX4tr10BGaRSobEILbdJnBhh3YZ/zn0fOQffNsM Q2ENwZvPGR9W0NG5HJN2l1SHytvVoc492SWoBXrHuLMVRezSEDs/MBEtGn22JnXMNstTmDy6igPN wRJ6uXo+ZmeWm710VPKkgJZJbzv0GFnmVv3z5OSZz0fU2F8OLycHQxE938mKRVi4EdulfLaGU4nk WKPMbor9leEbMSyjyxZgZNVeOHoAAZI79A54aIHCT2z5BkmdbO9qJ7wOrVKbvXSU4BCW2NhwqM0b DtBKbtRX6OchPv+/RA/UqWKV2ScrCt7RYEDwvr1OBRHEH9eJ2m41gR/QfRcjLZaaDMFpfnnUOre4 FD81ohHsw5OHD1WGE0qg6ImyDDouamPJhyr9aR00QdXyQdA7uC0tCWjUwueDfVi/sXeTzbd+i+ls moffS58uRwIVFuNYTT9nmWc6CAV8ssJyukifc8lRgaM3R9EUQ50BR3xBPMRVmCacijYxwnQxMaoe ygT8oqhcRa4edSQ12FxV2Aqq2DD5fJQ633qVaP+wCbh48xwaehJXDN9cq+yNIdGDIYaVe3MM2k3J qDKEuTSrwex9R8uezx6ErY+UtRpaIiKiVfxtoOF4H1lRafN3/oOjvojWtYFzhb5WXoKMjcnngxPr l/s5Wui7PdZdoacn8Vlz1ORWlb1d4+rUpwPM5mNVGZp6bhNpM22W+mizwLer7aSeO1TO9kqKxCAt Bf+0H4D0XPqPcMUce3qqbqVTi4NmqsI7hTX1s835fBC+/9Ish0TFSQ3vPRibegLoNKc0gJlEp6Lp qej2uYByRreXpYYcunquIpQLb08bvcB3bgZAgDIVlM8Wp0ge5Pqohq0pfswFS7N3/ksmkVAeDlP9 FpQzJjucPdXB56P+wzdAn+WOB7SYA19PKXggHN0uHtWZQqrGhzqKNLYGIlNbVGHoTlU/eHWWOxoa rzLPITd1Iz1vxQqBCN2BRhcR1HQSR7NXjhRK0SPthY2I7g3GCkLETIaHrXw+Qid834EwAJjpKiXm 0Nlzzfbw0jBTCKOtzFfEcIB+FH2rbKZCMqgR1JPJjqRvfRaA8Nil2yMjguP/NlONDqEpsqsLoerD 3znAJ0BMEsqBS4MvqgQ7eWKDTjLM56N+8i+RiIyIdD2L6j1qtwLBuS+TC5hPKtBw/9U8TWprMoa0 /RdG7eZBUcXtr8LpSFYS3uMoJqNynDb0NHhJVvD+J6Vl0NB2GFWf9s5/wvKwDm2wal8ib4rchsLn I53Sb+Is2oet5eeoCjmhtSsHXLeMBW06pIPnt2k3dd43Cg39PU0XaTQzg235poNgEy3I3yIKTeeq 21nctIraRILzOo7kW/FTGSt0+JycpEmsmAalzg3XkKkB6k2jY/27H9EaVue6qXPo8UkVP5hq9FuT sTqfLFWsJkOklUwZA5NPlBlMTKOWOzpMAQyJNaiQtCHpKlOdREFAYPRk1O4u6VRx5jf9xaEtcrfm oMAKgLakKnKqfD6iNtwglDMLb/qenmghLLcjyIB3xhV9p1aqFEsllE8aLVxKbbJi9IuC0Fqf0SYL CGuQjalAUsGfnlIgoSYjwi50btZFCfVmLx34CBBCnFAnskF1hg6leR7trDMEbp/yJfo2t0a9H6ee T1YISWcbvs3qilJNdVLaovbiImWjpuW7MPb7bCrGlHlHxytsBNZdQt1QqtvddiGSpQr/NVQhHgbW tJeOFF6XuFfTB62sAoSplHBRSQ4tP+svBaBcu67kWxsNXT91vFd4BBtJVO5LJInKXH7RxWN46T20 /VT/NO9q6IW6n6JMB9YMXYszW3WRHsJ2PP0hM41CoUJ4W17NXjooM0wWReseGqos9c6KIzYRGrej 8vlITvGXzAbNe/eNGkLjT0bYqGAnEzbvbBeyJCNNaQXtFdJhXFmh86e5WO5kCXS6bYoMIWr8dIQu nHsXiBGeWY2IGHCh2O1yVTe2B3No/alYdOFDbLByX5KINXbk5PMRS/ubVFshsVP6PbJi40/qveZu FFGwV4p7OJ+fyQCgfaG7oyMrcP60sPbsvmJI0FLvXAFweDbJkJ1swjVLmAdkjnBkoWsKYomXRmuy l46iUQJhpHWTcXnLT+8srNGKjLvW5gdEgfv6FY7S0/aJRwP3T7iDscLHW56lUWTRjgKVJyhKowt5 m62sEKsuXDEUou54VxbU2PBHwicVwZBuw0RhUxgS/VSC1XUbJtL8cuz/yWbhwmXNQfWruk9OXiUG f/InPh+VGa6Q8LJtONeTO4cWoAQuAnbvJZk9u2vkwvncSjIj3+A98ABFFCJVCeFgNTqb5lyEo3dB Piq/TmUGUAyX4odr6CxVRn5RNFesWy3tQgih6AocuGolAdDW5AdEHQpfWcUihy+bvRz6gFINa45c LogIerZW65vN52qzway5iuExWlCK3vN+oveCaQK7ncY69cRApVut72yaTZuAvh5OTfN3jqJ3manP 6YMBZHpTtHIincbn/8VkI0IG1Myxnj0YRe9NEWm26gIIsYYMra3l7dLLgwpSmqpQYbEb9mM9oyU6 oyG2wjEK469ylpaWVV9UFj1LYaaLJGJlk9/zh+D5qpMXGAcrrRQBfTFTm8//L15NiEFwQ9yZimL3 TqOBJAQfQTLbODaoXefuIJl163yBGehjQrQkDc/RNiBUIoEv5wYEOZ0Q8BOzg/+TBfqbdOFlIyfb XIU4GYopFQTnHCpVR060c34SYhWh9cvno/T5u9IA1dmxrmJuDv1ACXo662/7XMEdz9PnMcxzb2z2 ZhRfhT5Eki7L2YxT82OceoKPk8t3qgtDWKBZFwM0ADAk98+eXmqXXBW/J7gFF52/JCHIgauqVoiG Gxo78/ngqPpv4DpuwdqewyqK3OG8CzPTW7+a2VT1K5ScDMp3QsZb6gtcQR8L+qSckKOTIeCzTnkS QLDAbeMaBBGbqgnI/qBthQolUWrFXjpYV0vmOiJFQPnQKuxodcpjp3Y+HxWQv9VgESzsr1p76AxK v0BICF6Gcndt755bvwVk6mZwD8bWoEYCpMarjbau5rnCN0QNcFqB8V40nwPeGB0cl8YCcn6w6zm0 BmUkukqRbXjxdXWW6vkrmOOw1B54g/63CPpGwWJfGG0OzUHpjYsWnReQZbutWp8c+HBanVh+26oK 3EHz/1sKF/I2Eu6+VRkImKXSB3H+8GCBXLWAtCRRi4n76OMNf+cAzyAtZiTeNigFPomCQpRzvm8+ /7+oLSIRIx3IpypEsFdx3a98J/MzZ3M3hxwzAlfQHjqEOsvme7x1hpxyg9fSCX5OOpjEFkyiV1YA HQqQAdZG7ep2hSah8mno4r93mh0aUg0WLlTJaz3zAyLc8TcJlyokOUP5y6crhMpMXX71srqTc5L6 WeE+XbPcHCe0Ch0Shp1qi2p8AA3IKFnvO5sR0G8p6PIIqIAUZoAFXItKLfrALPQcsoueO2i9Yjjf K2uUc+pTzgBsbdRlArdQdMxuj568Cli6rscvNMeGoaziDreOuX1nwLCg8v7jtOWRfbpCxZdsSAaZ D3F0tAxYERu9cRzvrYJ1w8IMYViFAD+cPa5IhSYfv+kv1NrOSXxTXG3VQDKIeqkf33fmJ/yLlIsg EEC91Z5iQ2AcaoKlsM40BuXoY1yds2kTh0iY7CSkhKFzqCG2VxaPi6OD1tCfgFwHeVwNfyFnq1IB g6ZOxLN7MZm6VDnwDsVlKBfL1nxQMTlBCl41GhRIA/NQFN6/QdsotAxixGyuotB9VCkwZtcNgOSP FRvGml5MPrd68ZUVYWWaju2zctodfSOe6wl9QZk9wm6TqIc0KKqSCeBoD2aNPy2/KboOKdjBts6k AL78sdMG5pIp4UnM+QGREc83EAsiSAuf6ZMVyqMjwDx5FVuDDCJG98pMU+9r6tSaPllR9D7EXV5N HUCOtrAA0sYGJDf3/KzofqnWgBPunP4o9pFKqSrWXvbSEdiWpT6mhpbOchOedHMtxaSr8/lIQu/7 jIcG+Z77uRDDwjs1qi4a2Sp+6ujkvNyij7Ut24Rh9L40R8k2Y7oG7OX8CRmHvHQZUx+ipprpY2sw vIKws4OwVHgPbUSF7Dv3/fJBbMq2utWSz/Ll8xGT+Rsqg/dA/N6f2Qor70CnTwhL2WwV/qysJXfo smq2Uu+Osw2tRHnwoA5M+TeNnC0ATwEBARcJ/METRwJKTOEzxIco0GGSXBa1ZAH8Ai9RxN+sJSfB kpkmGWsCMkzsq56AnB8QxFrX90IMClSH8j2zYjtRaoCDNO1h6TSFL1gYjeotaIrnMoAP/ETPR5l3 Ec2jbLRm/UanosElDNrWCfK6ZhyWGH7Bbqld37Cy7JWj0x11BqK2OGRFlw0Csl0daMAaAj9RM325 mxAtB5jy3pkKy+6J/NPsFtrrhDDFZqozOmRhBornPlMhVsYYcNtMsfY1xUKFp8KuaEsB7ZxfWUIe Hdol3IlsNCgrHIqyAkPRrIsQm4+Li/qZEgI/oS/1ftCi2PyAyPriW1SPCob5AUKGnqJob4NRmZyY MxgPMi1s9ONVCl26EXNyYCqKY0Csyq3VxdGSHWiYQlqTNyGwWEvbFYY0PwwvAOdztFoq9soRAotz NKW7gJ6jWHADmuOMTc9WXPyAfzYK7Wyf6TmtAltRc9KeTeEB/6lYqwuCNS16p7iPLatYH0Z1PuE7 NDrBEh6yS+LEkIvpvZjux1kaEwkXsn0XPUnJXvkPFtOqtKnVoMooK36ctAwIVugr+gD7WETGPgZy 2Wcqgrk3tZ+zaXzSStvMjNCT8PZzgQK1IoY/nEUlurApe6rReznrbIkT2tLR92SFRXKOiRsfzZ0f Vo5dcKhWe+c/pD8XozUbrNiwENX+mBdkDr1FHwcMptvnCILY952qkI06JVMxHdaAvEPB1clZsptm dii/6woMzEWxqARABs/IRy9hnRvxHOEZQGTcIGdvszSaiKw86TSYOeNRHVKOE9qLNnpgQO8TQ0E/ R5zJEzDQXR6SL40f8H6wr/3N+xoAQYJE5ZMVh+2U++r50ieo3aYUh4aLikTBzrbJisL2G4nOdkdP CKE9MzBDoDFtCB4K1gD3wHOqnG9vQOR4p14YkMBkNJPXCTejZUOSJhXgJSy7/Zw/J/P5f8GwyGIi 3h6vdWcrqror4+7LzdfAYjK9VEAqfGktIji1CyPEDG8JACDrvqMDIYFmSImihAP0f8G2oXCFswcC beSzmU7xzvbOkWAqfY2GNC5H8gbFiXW7ZOQ6+ACB1+g3uEh0OtTfiDTTZH1eg6sGviQ1ncftPu+7 ss6OqdNQDR2oP87Va2jVrsolTVl8tKj9hFC70m4cCBD4lillzHA1wH/5Q2aO+fnRL/o1sGqSPIWQ WNs+6LAavCh4WC08/TJJDfq72n1DdvbnCNhQo5VF9WtQdb5ysdbeV3asWlszO1aN/yXMnwaVgrSa ghmyDs6JV9odPQeEImne1AbfJ8QupRjq6hzmuxHIgMtPZhjoPOFr3qYI7Ru25q0w2k0ECpLvZasp ceL9z2s01QQz1RQJZ4x7FAez5ug1mGqo79FXpTQ/zLOap7j36rT8GZbFxeboNZTCKhLwsenu1+hh J3R0AD0myRkOId0KpnsQC/kDiyyLOuUK+RpINWCISJhvjNI5qG+zIdZTdfu1z2sU1R4g+zBY6YS5 iK+i1xiqOeK/rDacHSFaM51n2HNhDJUQv3KGXiOoll0mlaKnPvqJtEgaSQzMZwbCWNoL+I8mMlqI INgMkWXweY2fGuIfdCDyrhpACpBGKiqfrOWfCy9/XsOnZj0XzYgFmr3Q2oF2y8EUsccKm/srx1Sn U+UB6LApAsnPHH2DReRsSvkea/SK+kJLCypYIwG5iSqwiEmI3TtYBmAlX+opBeNeI6fmsI4OO08b VMNDQjTszG6f17ipmc7EXUYoCG24O2uOXqMmbM/FDI/qk2IkpX0ZSXQ6k8IQjDNlVBvM0ZS14RKw RaNTbMCF6NDYP8uxQVRW4WUaOOwqnDobhFKtSZpkwBrMETq/7DmwaMBpZXXzBC1l03Dv/DX58xov YSGZDpNN0vlqBLQ2Sa/REo75zMrm022whTQkZ+mT1JafRq+xEiapqX8seViN3kcG4HrTuXevDWUe Yq6StJ0SncnRPfPdxtTuNVLCbqNXWCXK9Qw4TNW6OumVGjNoun1eA6X2NNw1Saixzt1t+31egyQc 2Z10+L3cpAA7RUc2bCSmI2VxtEuPODqyKduRl7yLNF5ePJriBQr3iL5PTidePIQCCTpbkAaaN/qm VNVrhIQfY7LFV2nLkxngUpW9pZoM7nIy7fF5jZCaVAufWUJQtfL0M+m1rIn9pk5M235sD60fyndM 03JuSPDtTHotamK3V6VxS5BPjrbf2GfotFBLENZrqbNMt9mSbyehQEnyijMums28ljQxS1hDs9D+ cVLEQgcRluYQ1A66qMEcOZ3GVtIkHmH63fZazcTyTZyZ3VxYKOu07hTISvWWUrJBp15rme2qns1p vL95eX+0OQbdr1OSAgYti9Tuxdp+R2O0QWLbEXlUI36tZOLH2BJeqhJMryrPQQUGMlU/7NTlz2sZ 8/9cbrkQbJruLL2T/prpBaBEfu+3sW4ZheVPCQuhLmsEyWie1MzbBlHneGPtk3qMMZnwQpFfZxi8 eRrdsxEA1Eul2Up438Nt7DligGABYoMqvuUc5QZFpBBFtOUsg1O8Pc5PM+XGw3mKAm6Kpc1E5irJ kV0+9SSSFps9wKz3ZfxF82T4H4sqOXphrtJSnCp6Z2eciSnsJLTNnjHgZU0OBVWqzfbK0QHOswnq pzYkpbpQGuc8nbPu8074wwG+59c8LfoBkfDHL30Puh0KO5YV4NAlTo5BKHOvGwsMJ7G9R91IPk21 WbpeHJ2cDAzIxCVgxmpLojk5i13FjsfTcBmCDQfT1CkE05aqKH5RgGxJhUZswc3Hg4hp5e/AG3WF foOBd65f8+rlmRs/nYa6eAbsdBI324uG/wmmqVdr3lns1NYjaUIdxgHoVoIOVW1Zy4maczJxSF8q n6nqlYMULslCtFUfVL1kA5XVywIIbBh9D19OtKRBkamwbMJ5eg+/cagkxZO27aBn3XyeZLVqSlW3 yhvNkxLdmUSJnOlSIseZKAiSF1KSz0HeyjATNvA5KGORe7ptlqnS5Z+nE/pB9DhGPVxFE5yyFDOB 5uPnneeHXZvH17aDg8sJnqjyyYmKYnARQfAj20QltVYosFuHk7c7Fyon6j0Iz7JiAeq1jDtatnti vzKN5reglz/VOIAWKC+tH1zol2ZLd6d3lh9OCu5VYCd9UL57rlPJ29RRyued5NdkmPF14QElu0a9 8xSG4bQGqeu2zxsEZ9U+X1KwokcBZk+FkygONzpkGbPf0dFkeZG9zcoJqLBZRWAoqv8ggTvBAUrX sjjO0k6I4nB4CwOgTyMVDtY1aGif6rrbn3eCH9ZTrzZPNqfnfFr3uosCcWJ/5V2q2m6XohBRBjW5 TR/EWW2aokjcjEGS9e4Et9L5dOYloR3J6+5sQNMbTLBYO3lnoTGIH+MF7Dh+z/s0qcCJXpYGO48h bVeJSj5pCx+Pgsz+HYhnVuHu8fQeiUOain487vkMHQCCE0WTGY5cGXU5wCAKxVXcOgloq3e0XXcC DeCyWansJ7vDXcHjaUC1hzIird4mlDz63ml9jBNJfjxBuA9eZSLSKrHR+Hln9SF42uNrmngnj0I4 FOcpCsY7XR+LC+rC6ak6aKU3I5G2TilOLacwGlft+wQV9Y7Wjl4EkkGEEyhqdFHVLB9AWk/pYVzK B7qseuNonrCOCOu3gfMEy7o6lQcDhRGF47n6cqJeABisvXlU8M7mY2LHMmXZ/aa/9RqouBhA649v 0TuZ7zmckqxBNdrhlGHMB5NM6lGBoCQb0cmyMdUraHTh1SacMZ93Lh92gGpy0BOwQWiVsygSox+w 4T7vVL5mOFNOC5khuKNJOrOJiqJx6BRTwPKa9E07qdB6Ks2aKeREaaLeo3EHX6A0W+/oZbmzIGFh Q5GzdXJjSlNkGhsCA4XaN23VXEDPXjlI72B2pCQUtqvn4SZ4ysnS22CuhKChfd6pfLzx2teNB5QI CmI+VVEVfHKqhkJvTNWk967cZuAdasUCJC6aqjAgbyI7NpO1bo+s9UB3dSKXR5tgAZQoCBR9szpl SqASeaHmRa8chFBDmoySoaqAHrBJt07QWYRxPbHm553Gx5D8uxaOHIpSpzZRUUjeRP+f3c3qW99P ge7qpHdo22iiopA8kf1fEgHGNnomnPZZtonFp5PJ7cQWGZosKJcxFgFS8epvUNTlncLHkgHdjjed CgxtwWehfCIno1k/7ww+huT3zsNzYIjBZdEnKorJoQ+ASv3oN9QsF/rEY1WhJus2CDXf+Xu8dG1F zXpHjw3OVBFyQcoxemmy8J2gkxJsl5EbWblXdljv9D0vrSzEshxIEiLEfALojfVU0FqJQvKxvWLA 8gBOqZ1uLfOdvMfTHC+ZhWVVWdwF5c9HVHMSRTbrp3lUF+/TKLSW45Wb4+VzlC9Qe9A7qOiOA1yF 3gGllwY6vJWGWH6aL71yEERR/JtK/TbwNIcXi4hEUMD5vFP3uPB/BVHnJp795njvzL12UZpiz7JS V5u3D86S2J4Ll+Lz9B6SI8yQc+8Yz3j5oAkkRypWnmtvm1Ed1hhOKhjQnUl8PDJpufrO3LPy8gk2 wMyygfPUEWpIzmys/Xkn7rG/7VEUz/3Jfwyv1b0T95qBWsDv8I03mi8oKL87aWhR1AHR5jtvrzl/ ndXLO1q0uc7plEYj5PDkZeeyEJAOggA/G+doBkLETnK09PnGwTyR6U8daQygLgsk3VvP5M2eYGZ/ 3ll7rLrN7wVF7Ha+V15YH6cuSJq3pok/yHPhve8BRXFHHlDvUTkK18qBZ1l3tHnaG0wYkH+Bd9pA kLCITglB9mAAovbaCrQ++cZBtCmcU2fLhYNqBieioo07uFV8PFhP+9aghOM8G6+02x+PonIIHSDD apddrELBYJL3FKEo7s15eo/Ks9GFThggGfDxyICPdv5/4ySh7P+epGgVZS+QtjsvmKgmeLOXRbjv O1uP+26zzpCTDwYjgPSJmNVrfN7JeixOfncScPuchHp6kzyKymkocE4QIwcBAJpvBCU3UQSbpbpf 3ztV7yn9dpuu/rCqOoHhtLeA9j40YlXSPI+cO36gsZQJCVNpBeHI552q19x0lWJlNoh/liCLy+Pp XASfd6Yeg/L8Kxc+MQkQDjZNUUxOHCeCG09eRmvVY/I9xj3Gt+V47zy95hoIZVdVoDjaalpyy6b2 foHCUdVUZlBbB08nxAK1ix2Ui944mqYkXFP2QbuuF3beqZ3Ex6NT/LtADhm1gZ6pTVMUj1PkFCTY bhBf6DJfOHQxRjGcUq2i+c7Re8BNPctnnKOtprMAgEWh9j4sfJvErOk6+QMlIgjg3zO82Qv/leH1 woJmLy5HeZKgobQF8dfnnZ7XzHLvZi0VgqKreUzwzs3DgcJuOS1QbZZE4W+UTMrOu6ZJM4HQ79Q8 7jkdTeQF2ugFgw3NepR2AZZLOKtUMBgACQKPk7mQ1EZghvl5J+Y1B/cmZIUYQPshrmmcCG/xbDnn Vfm88/LaA8K0upV0C+9qimJxFBRpLZMcXE9NF6keMMHkPLU1rd3yzsljdtdss+07+hEO62E0oWiH mk6KJB+DDkGlCmvlkhx5kUFk4gv/ldtt1iE1eP1pqZQDK/TPOxuvPcxYO5oaEs52YU7vkXhytJxr mAKrUq6TQyesXiJ4yS66dy6eQf1ob7zXHb1Mdy7PM09aTQkhpcpPHeSKSWIrivQOK2DG8s7E44VK 3qKcaTmoKXWCO0WbJ4TiawYXXS5fmw4KHqAi+jRFgTi7seDgurJwH9kD8TQvRAX3uZ3gYW1c+pPV bLPrY5sNbs9CdLyo6dYhMyGxkU6vXzDwzk3aLsGTsMJ3Fh7LdGr41emDzdP5gQkeqgs/53sgbjCR L/gFi6Jepnun4DVnaZwsP9lNR1acynQUIDA7aNTJGYe/M/Ca6xyUYvElmis4KDhPC0c6CjQdHhpw t+42T42t/QKX7/6UM5NeOYLOoVLAPYwBUAYRU7CXWd6Glt/nnYDHy31/TRTU/reU7zhRUSDelNnV SxlGQchCgt5ugNmBXdWCCsvj1pSSLJJGW1CwhIYTqaTcsGAYhJ7QAPdzRRZa8Us4lBdT/Hln3/F8 knpGZ6AJlkeTk9qAZiNrBSed+Lyz79jV+s5YwBWaEvXmREWROII8iIk2X1Fy3OKKaqV7iIlmt01U VB8XqfUsE4Waac2nSIffvUzWnmCkclZOF/AJV2RHfNugLes+WBQ5eCfe4beFy8IJn3lCcVDwtNNe pKLUk2J/3ml3eM/8C/V8Qhno5jkYMwzFKQ9QCS0R7LlUCezj26qU7851h8yrEI0Zh+KKG9vOd/TS E+iczIDRlqJ9H0+owqZSRxmi4ny2E2rQsOGddYfDgp2WVLuG87NKDxDuglNMsjY/76Q7btxvgBid uAhGtYkK4SqV9jVAOUvrrhK+BEX9Sp2yzCMqVaABsaDeOXeGcKOzU8p39KN8n+AgAYyCBdVEv2NN BZMKSu9PwS9rtadFka13zl1LxszYmTWVLQlMFukgzcG09uQvn3fG3f+JDIjFbzfOfCfcYUGR8NMa VaNk2LdME7AtwlHJeT05bLYFFVXHm5w/zJtXo1NYzulVq+R9AD48eQphmZme7K2jQAtViJvcdb1y cJQTIFZloQ2lCsOJAZ1DtkHvuX7e6XZNnI6vkxwuU0Ct2Ty9x+MIKJgDl+7mH4s5LLUTJQTMjVew nnjlvZPtnn5wIenfxgsvGNBBqp1mq+i/NDH0GZhUQIYo8XaL4/bKQWhQuZ4GaVHbzSVbgikZKQeQ Ivq8k+0YWdwmgvwM8w+8e3yiwuI4F9SXMvxoDqnvRRMlxTbHP71z7Z4WXje58/7InZ+jGv5NwPef PBEqikOLDisAWORlrh/KglVTeafakXcgobZyB0XkJ5wiiQuWFu3zzrRjbX3+OqAA54BWvM1TVByf QxSfMhxPNzw0aLNdQ2j2wXnjvRPtHJmHWEkxVM+3RnfOnhMhTRDUkAa3tZO7tgOkU6sAeLdGh8jt 806za2J+rQXhEB8sc4FF3GYZao/PO8mOUIFfRRVoj7V1l1MIVwH47ey7K+kDaXWbJrR5LDDIzXEY 7xS7p/Y0hfnVaNM0UWbq8CBC2QERs6n+INCf4DqysesRuZZTVBrvxPNQP8kG1Z6ARmcXnczNd36d nW5P5gL2xRw3fnon1xHsyyYwexTqB2e3+eispFmgCValpimOyLmKVlHll6OXCxKwefgO0PDp3Ssb IxTYBj3G0fJ2mNiwNw6qKgQWwDPSB6tkjly61Z7m551ZR5TYrzAzQcameGG8hfE4wyeTnBa9fCmr A1EjWR4MjydTaGshcpxejqWZd2/78u7F+XOiKR5OOAfd0ZDl2gEDJ6B+LhEYNVx8TwA63KyqQMPG BhWfoC2oDGbkjceDKPMXvL6yCL29cdfCaBwx9MQet2lq0yAFqFMMBx1WoN50hkd18aR6eJWIkUa7 7M6+wvRPnk1nbYHVIrRKodMu47f1YDO33jggtKBvBwvu7oPOJiiNF7XwysDjAbLgYg656SCpkW7t qYfQ8UTV2zHdWiC16WarlWK55sKwTEeyvwfj9wifIhhqtNrTuYcQf3duujmhDaxeMftlIMtAKdxy u0E+a495msx+AS+3QZiegcCFRZW2+XgEiP4uFiDPBy3JZymKxOHTBCSdQelZFvd6b8VuMAQryEia pQiqMiT0t7r23hL6D7MEJNiATzotaaEqyPopBTlP0Ek6Ffo5XitI9sZBxiJh4CalsWbaXBWKIZVB bT0RHx6PCr6/jiZ0NNrwi66HgThyEtAebga8zJavQiRrO0wlefegR2VxDzDlJ6DRm8AnAe4CzaSz 4RDtSJsbdBJETwNNu3lLBSKRRYE4a0+zKx7viZIZzFhODEvbYXj0FDwfUTZ/RU7QzJv7Iux7SNps SlkYB5Bv5DrvFQq706CZ7dph9igUnzIWz12nE0cvqkBcsdCY+txpuAUFv8B8wrTwfA1woFf5gjlw j0JxMz0BnJ7UVqiwCUN3ronNXuE5C/kB/8tUVWrtJr/uesTdpMzcWNPX1NhlOZtsLOuinz2/ts9U GIur4A0Rpjv6OT46QBGVypENlqLN+sBNNx2CWzLJFYzzvutReXwxuiyLtDLonEpNpaGXTNdTdAUm ng8uvPnrjEJKvfs9ycNgnCh7bAhH+/bu+uWj2H9KoV0zK+whg5NcutKacIccbaLgO3vyMEqpnMNq bEFezwRJZx0VCBw2fkjVrFcOqgWUX+uTnWDmRl0YsYECDQ7zk91tPB+sqEtP5ImW2g84uD5RYYV8 ycmGBPvCVjCUK2RUSJ9U4X0bCrE8zP9Gj+epQEqjlws6qsgDr3R+EuAKRL2b9A4AzRM9yvaIkU69 cnCa05NiJvoInAuS8RqWFJDXmX4xE957PYrI09Un4KxCp7NcvlR/j8jRamelbtMbmw28XTVpJ86p rLHQmIKVWZ7nUUS+pcKdai53tEpdR12rDlmrnlOq0zYSOjM4LyrU8MpM3ksoiSbjPaqR4xgFc2Pc wSIn6IRImyg1PB4UDNr31gNoAJZIPk8RghxV17OMJJcCxFZt2wtQhdJ9/2EuQC8Ebr0Iq2L3Xq61 2cizUvrS5z4i5BqU1ybnLJzm/JUqcJtQF3sg5Ge68EV/IFnPlVDvYIWV879lbwnWI3g8Wk83ESbo FSki0Wo2U2FU3qgxQ7F81n4rnV84U4M+4SxBJanbYu9FNfKVvTae7+g18n4228RX4d5DwjFVquOS 7Ui+qYtuJU2S2/FFQS7MGh2hODYwklpsMQufWSYe/1cJila9CSTjfA+pEcXlFMRFP/IyzQkjZc1g l12tZtCvd8eIiuTTrr0+ntGS4ZSBmQTmGT69c68mHlqFvMMGHyHDfMEoLmz74HuCkqYC80KNdw4X x0ooII66jMeDwPx28RoXInouzXfeiAJzQQz63G4rPjpjdMoX9eq24lCbt2kKS+QWRzXbea1e9Qso 9Z5IBWrEKUEMkN7vWHJD2uro2fd8xdZQtcAXBfPEAAroEXJczpFNq+wTle9VCPiFoyaej4Cs+WtB wbN69UstGyGCnBMFfrMjyFXHRBi1oAupdudurjUzohp51sbbSVL4uNnwHsjzwAgByIB5HlnVJtaN zuOAwhESLjdWAEUeXxOgWKlfm5YMVFdyKhB0E1loOeFNx+NRy8UvPHZq4JTYLkpshPDxzjaLpyo4 ntYlCFPjhnle3m7LO6Kw3MwUSL7XWG2WoBzcKCOtYPOsnGmypI0/Y5N5owUG0N/F10SdTkIMQBy3 wXhlMGZS3XdsPB6JYHxvOnQy4DrvsxSF5F2EKQIadDblXr3suy0xbmjdWqFuRCH5VCe4ZatA5VuB 6gkGcVOy9zBo29PE3PF3Lbal6JMj4aJJ+t2IyuPqnEP4gAOuDBZ7GxyLWASGzBOe/1cDjxnh+Xnm 2lcsJIrImeufbT39cOqlumXcljY5A00EJpqnKCJfBjGQjIHG2zmHdjugMLT1Akxvqo0AcUO0Khcr UCLlU7xghMjxTYgvuDc2MCiA5NJgvHlSronHo+7dd/CEVHjlfldTiBwfut6Gy4U0SixwlhYPF5Z9 K4QYNEsRcrxsraYm9BNHR2Z2gAi6nLAHyFfLTBSAFBnM/m/vDiuNX/NH727huNPQjJS5wJtQjxMn UxiK/xJ5gqHC+JqlqDjeaMGbXD4FucaNB3KuF66CI0CzFIXic9qeMyEsufFkehWfW6JSKbXDpniq wVVOyLQBRqbTOprcNk3AB+F7oriJrRaqRq9GgFDXCb7NYmaU1PF8FDh5uYC/4fltcTD7PIWh+KLX SzEn50wfCCtBmekEA4LJuKl0fNKffI09yr6j8zWArR9oFkNXJZ0po+ovfhKcYyfEQsv7lseJ/sYX BaE4bjogFqivAuUOvjLUPE+swS7nWWYLHxDUC+qvpOVcsXveYt2IC+RdqjPb6gVDTDyETkVdKdQL NoIDzVRYIBcxepmAA0ebqQ3k6sQfMRASjtRlDleBJYZgNHbAeowTdIyHfE5V6XianwFsa1Z8F1gu XBLwe8HzwURdTpn+t+uXCM0Ma+SNua/ghspf2FXYtLMdvqbKpqBR5ycF57jwF71JrJaj1cjPH3VO PtTkTlSAUqS1EmgWtgg4pvSq9e9IEJ4hXoU9hGpKGtnwjShxpWFWE6Xi8WCe2jdBGPJGVDO2aYpi cfTMAFcxD1mcrduE2mFIJ4jPWdSoBWiawiJ5MkaL2p21XPzT+RiQNrbUC3a/JMUlidbB0GRdJdFJ s4QZ8jklZYjwxQbLghvckjhPveDxfx1RLNSdfYyTx+cpCsVZf1yZ2BJVyfe98AirNg8OurNRHysk cwp+keVOqdGrKpMMqcK+4pmjNVmBSY2HRaPzFNV6DNZDmYcZVskJqGtd8irdcjuEa8ytSaSueDwC Hn6HT50RbvcDakbBOPWX5kmXHHjYe3cVmqWMj9YucC7VPIU18mWm123e0dZTH2AEbcpo4/aDzfOP m14v2p1hh18L2aU3/quZsMxoUCAdoXqyNHx7KhOPR7DDbzhdBuERUG2fpygcp+/snNlDA/KNHF6Q u1MUEx3gOU+hvKFkxktLz+hhJq7/nEhGQEEFWw7zlIhoTQWfDQ6Sd4Tp2DVDLqfEaJfleObvUYGW XVWIsV7xeNDqvFU6vl1BzyXd9RSjVaSusm4I1a+EdsmuagTOuO+7CK1ibl21qZrJ0eYJCOgOSQwe 4+fCM1m22mlYvdGZfQiKdPecUThedSyReKdBYUFNKzM5g4oEHo9qdPtrlkC52yg+2yxFYBVSlqbZ 4pG/Qe0YO51G8eQuuefNjKrjy4T+l8AqHG2W6igLgr1M7mhCZLsOJZ9J8TFJZAlmTwL1jOJxEHLp 3iFLBHhPqNp00pku5YbB14xyu+/FhIYPJHN9mqJ4nMHDbM2w9eSc3/ocXSAlQQOlFC2mUOXQEEy7 7TvaNA2I2ZwTUNbp57Bakq9jLwpNgx/pP3kPYeuNI6KUTIhn80GlggXzU83Y5uPBNKX8NU0tIQW+ Sj0zAqsIhTFkSCnv0+zep+dadz7ZxI+naYrC8SF4QcmmTpsfddp1fjQwj4vYrnVWNqXOuW26yI3O iZfcQpT9jMJxmpidYECikPRrkkDtifUWYYRg0uD5CPz0HY3XzFbZPZxCGmcj01vWuaS7znHBTyIL g+4K+rlNVCiuosvu7Kl1R4sxzxUAGRqhegBjLQZXgQstOKQ0JbrztIre+K8OAvobPgiucrKWYdr1 u+PxCNXjMaYa7qiKplvwXVEszkbWOcT3NTBLZpsOaKk1iSGR5TzzFeFVJGFcCjF9NnpQMCFOl8jj hJHMiTBUU0HtaWLt9+V4FZMtWCFeZWt+6GfNQVA6iNt16fPWiscjGqfHBKwfAvVSLxt/hWXxLq5U bXY6bbasddXtMux0OuGIVXtXGIp3A2AoY+Fo2y6fdbK7GX+nniachtk054E84aL5GA6z8rTCoji9 peaitxSgMD86kuZGmZ1icycUwvP/C5QOHPWvSHyF4uI0Pxi71RuJ+yF+UjMrlTcQVA2YuUK8itAX iJCELWDK1bCYcPNBTBvTBEYQLwp0i/O5TCFcDt2z9gRO66fhe6IKHRMWNCZtEKwH+kvC+Z5kGI// S2CUZ9OZ4/GoZ6+QxwlQLjbB47FY973rutd723RYz4px49prK6U7+iF+jmh0V7jnMm2yVO8F4HSi Kk7ehIcEhNKtsCxO/b6GdgoSQ5z1XWc4s0cmIRCrjSLx35DDDClBCN77REWROLBGkHu5foFbCFZk wMthKxBN8OLT+geRM5NBYaNN1IkoB8RRGRSclB5KPbjtJoUhQYX9AcHsQfVMvXJQpaMAawIofsGo 9rwfCfnnh0Uyin/SSwWfEGnTfXM2AInd6KjaVEXBeOJ9twGQsKnqt/oEqpxVnzoiZU1VrKsiSd+i 3iVHqz7B7wXGcKjTFRqpbok/kefREDCx9W1ugYma7CtCq4D6ArQK+8EcOFEnzkhFihil8z0DsMqj kkV4Pj+nXT2xFaLHs9jBTcsHN/Q0E8qzlJa8IyidYAH5igNyaT5tGZ3u/YAwkAvhqB1smcOSuVeD iiWA6hA913yVorPuvFBZZdkxbsNUHgzzK00bjDrweHTnfR/m4B/Sb8DmKYrIWf0H5644rWwvbwWn vhxa8AirrBA+3kW/Q4fUR5sn2MiDCzy5+fqJ1WWp2MUcAnG84Fx3sMq0V44yF/ajEkkJZIMSNA6K MZtGkMiqeDxSDPFIUwqS9WevdE/zED9O1+rrrgXw9k7W4yzlIW20auSWFVbIlY1sdYQ12jydePD8 FYXG8ee4OpHZEP2O7GyqI1e2EtgLLiBO8ZUj6XHTO1w+qIZ5PnebN8vge0bQgntCqbCCmDDD1NOm KgrK4fMDNv7lUrc9r7aKJEep1UO+KKcqDMqHCGXyDdboW6/UeVJ1tIDSSVXGSORdg0fKZgJEjcq6 BJc17JX/IpbN1LIPStcAXmax/Jz09bNCtMreX0tqsvVRs++9HUXlo/MIb81LK3UXlw2hNYMVDYBC YISwQ0rnltbhMFoGlUh08Z3Te3Q6ccKiE+IbdOE4SVnn78mizLztKVmh7ygul1d1Q4jKIf1oIaUt yTiYufLxiAn0i5SAkma+4k/7PS6HDAe5Gyh+ujbk2l7SfNLhTRdJ6tnHAuTsTuF0vaPDnwayPkji j3N0TaicLan+owDWSBF+SnUoCeuVgyKU1AtwUdugeu8JExKTGQq17/fAPEma/tFXAWOqX/GnHQLJ GytP5GpLMXptE8k6/6HL+J1AsRkOY8eBuUrjRPDb6NUVKLoumNoh4jjZatUmZS2QGK1cL8el0PkY 3xPV6gj23eS4cBAVaJ8rYckAPg08HmlkeWfKqDEnSLjn0w4JndT9r3LaaESfm9FyPcfGvHAVtvo4 TTFcRTW6ZgF6u2i6uqimDXESUvNP1sFTAZAcLis0gAEZ9KMcqGp8UUCZKmQGQ6bcBnUSIEHOMvC5 USsej+Qzv0ku1EYaF0O+Ywx5JmCl3CpUTcmLKzk5xAD6hDZPMZ9TWmJLxRWOVjTYUCIkiZOm56ML piueIqxofgQ8VK0OeSa+JrjwyHddNHuFWOL5swi1RwWHlVkAqTae/yePuqn087O+3DZCscMuXZXq 226kqYpKhX1FsvW0eaBwnkLAShfIoBiUvAzfdsC7APCgCvn5c4BCZHEFCP7zHPCR5eoXYCN9dlQh hy7RueYGG8IcVNOEtwk1jk5OuT479AAq3xADiCrk1C61bEeIFcrMwgXIqWVd264LY5B/3G5jmxLN DrUOl9kA7X1Hv+3O/QOVLJXI26bBBk6nc6L/TLTgMjSMofPCLK/pjSPuRhIIY/igeTpxWGJ1BXvj s+MS+bcQcssglFZPXHassEJdUWY7opbRl46kqTyGkaZ6Qy+bRMUdQlYYaJa2erqj77oTo1W4UqGk OZDCmWUnAvMhw2/QwyUxSgVlfE/kjkBvVyAebNA0TUgdEmkwEh8P7rr5nQkjfqYHpk1TWCLvgrBO nyZW+U3XSBg7bjpWPjlNMWJF7ahugj39Eew5qW5fUw0XCLANYwefDQ4tMfB84QZveBVC7PffRkBj kJrPQRXNDQ0YBeZ14PGgtpK+9ftQYiCfxGYpCsXBSiTV1XmK001vz++khJhOQNXpnDtCq5hMzwkx 9h1tls7BBjd76ffVs+MY5QHojYYQOJIZVubmap6YBO9YW4XZ797DBzERcrdW3nntgcf/lySYJUJK cGqehBB6/VrKZLVZpqXBvQyrQXVwn61ccGLMJhQGPiqKxUVrwd/so2YKSvY9U4T6xJhjnGA6SbEW 4UCGGgpqt4COy71lbXvpgPqqvw6QfejQgHawbL9B0w1bB3W1zY/4VzKcJQZ83iM9QsgUXn9/kLFm E+Z3E1mHFfIf2lgQRIsJ67hRTP4/xUE5VTG3+AYaPcs7n2XKfZDtgVi4CiwwFznRDxRVEDVeHfJl Lx0BMpjmoYOAARcm5ws+54liHH3TPymKy3cvX3F5hjxRJrrQpyv2Blo81af3h5G4WKqHHM1BrWvd 6QqR5M1UVrTOONp0nTiAVBS5K+9amlREapOgH5BPhbJ+UkRm/QDfFEyX2gttaoBJTLMsZqpZNc7I DwgCqvJdOMdLrPQYcKSoco6oL8NSblrtjhYnqt3lmtwDd0OBziYrdOes6hrs8YzONZu0qaPN20kd zvncVOakeB1QhLAMGTfhk2lCikJ0bPtzsDca4nJQnfPsP0nCVsAO8HxUPP8+uSCctcrj6ZKiIB2A K1jgVqtzTituEmUHBWpzK0HobnMVVc+HYHYpy12RoxfwFnAww6CbiEzFHiafOrO+UyGiYdlMHste OujHSAkxkWbNwRDTpCnwnyPz+SCfKb/YQWjGZIAy72zF9XNVXKaJaJ0rlMacJFKRO0mwXZPLRNdH /anUWnIed/RSwgnUz82bq3K/0lELQq+hCm2HxET6dU1Nvm0v/Rcs8fwadzAsIn4i+UwMPR9YCKf1 Fa+z8M/irE9WWEInT215MyafZYyJpvBRIXiOAlEZTEqbrChin+oW02nBR4fcAe3RKbKbTopQaWsu rCu6DcgRpOhjjma52Uv/JaW1unJAp3WDjJmXM/gzn4/6Db60+o/C5h8q9fhkhTIsjfuw03eSiU5P 3eixCHrOP7f0/u6ZFcXtI9lZpQIxRwsgAPspUEHimTVX6WrNgBKF3woKPjzgdWbRQoXf9Ac5HZLL VUOxEPz8H/YqgbxrfD6IHuYve0qUvtPOz20YBu+76Qq8SlFjOb6luGkAxFhQC5DzYqTGUrxClecd bZn3smGX2ycBLh0Wt5zYxN8qFRYaxgVuLHlRpb/tgxIEXGwQ+o7O12wlgEuM54NtWLymR7FX9nfK A+hUmPjeHyWpsV40UNnF61VDsASkOkBc+GyFBXVznZAKm0aPHQaUV7B+YcA4UaiU5Tm8YTfu4tyx a4yth2IHvygqWLFHUxFpabDJ6rWLKHu+jc9H7dFvugdu8bmfIyu09JwM43M2fU24IOxsU7WaVR7a 2UDeesiBpyeYdMqei44ujk6yOvkfLIUpMc2+BJHXZ44W6BaAa6iVrKC0dXvnSCWR7l1I6WzgVE20 3LWuTtjI5yOBiPzz3XwoPxt3hE9VFMAThTlH3VfxtnsVFApPyTFmBM9pqiK0SzJ8fq/7js4gGuBa Fer/gQcP1fIf+XhUaITsHxrkmPofEYv5D1dP8vnlI8RBM1Xr7PL3PiETn4+kgX9hzFARWrcgk2Nf T4ZYk0gRJdHFHEzq2fhMdQqd6JD28h4MjD3T/1uuDaHTiqOVZKBQ36ko2U/MgGZEMZQZdArt4nwM Bkuzd44aWoQtbplQkLr3w3t7ZOmSgX/D54NFle5UcQFBn3RdtekcmHui2888mnm7yjKtZis4QOhC czWm6P1cVWHoLng+972PjsgDeQj00DNXDTInMuqAUeqi9Ja4oKaVOJq98h+aP+Il2aBmAkgS4hgv 5ISBu2f6L/4eYPUzXVh1Du09qVKLA9dnSrrYshLqDFAhIYVOp89UyAcVc6+KrKDRj6oTvEAMApnt yXdO+CEnq4bO6cnZiV28MCr6PPCL/sBynCCDp3ojoZWrKkHCiZjhkwzz+aCl/MudCuZ3HfgOn6pI w7zThQJa8r4BNVWNVaxtaluTTRTbgGHUbvLt2Tz08uOhd84DoPwSpwoIdLOX7ShQTsHg0YkyKFWf 9s7RWcUAtLBRuopRTGCndQIcFh3OB/H5SKv0u2WTT9DeWn4uwJAWithxnshnex2rGoYD7nAj39R5 mT53Dk0+3T8+C3jH0WP2BoQhLIbOOZHgLlklPsL8Ki1gVEqet+SnOlZo82kEEDgg2qDUGU1XHpCt Tb3pvyTfmQ3helhXwy0HRp9QVyHcc/ftNZkxXE0Kim5Wk9nAC8qZMXD6zIL/A60oPwqOXsJC/apP Nm4gtwR1LyaDjGmJnqKbtRmlE27Ab/pLBwGSOz4ovzkHiWlM1lT5fLCuLqlInjEgqqUnWggj9kmh 0u7k9bHVPiVbZjv76vzXqfpchfV2q1ulPu5ocwWdjQW98R+6CJzff5lZeiP+BfnyogqucEK92UtH TgJ0Sy9j+5CstlDaEAIUZYbA8fNb+V2i3udKrekWGULHT1lZ9mxwPPp7TYdUleUqZbD48D0Yxutq mKYkqxeOF9BY++qZqWCCwVthqevsQbw1AOU/Bfrcl4M17aUjKVw2AyHKaINxizbUq7WuNp8Pspvr +snKaOWL56cyGhp/6nCvAsRO/dP4j2ALO1APM2dVhtD5c6nNnGU5rNFPrHrSvllompHOZOytksxq KDrnTjxx30/Hq9lLR53mIoxe1ZB08tDmSZS1Oiqfj/QUv9VIGq/VKwOUQ+9Pne6QZjdpcwHoWJHJ if8Ut2+bFHUOzT8lgA88Qrmjn1gTTakhxdDzuYNUuXNiARsBuud5CTS7XNaN3cEcun9m1q2SEP0c jAV5Ai+Cp08cMPl8RKu9NXctyZOOlsdmPnb/JLJRwpjEyk5Wy2RQ3ExGv8FLyY+swP4TQhIGAZUJ IUdfWJlQD1aREzAlSTiPCnm2XKldhQDCK6M12UtHtT4qS8lTtrQTR3InwhRp8Z1J4OUHBNFovhJT LOBIYO7JBwMPUO9Q5GVXIMhSpfltyOOSpdHNGpPWVoxXFxptmWzZehCze+IOqTzhz5EFgUIrJONu pWltJWBdtyGrUPymCMlAfFVqKiQ3y+xOIJc2Nz8AXXz+XwolxfYhamp3rkLrIRb7NuAayp33cihD XaJqVWrhdUN85MAH1J1lTya45x1vRlj6oMJyB159TZNX5BGzuBnLNXUumRYDOTYCpU5uglYVkOvA mEqAAw51jJEgys0PCPbhvQ2XRQ6TwDSbq6jk3sRlKzU96Bh3biwijrBRz3Kv5ioK3nVmn3N9lTte lCMMus7yIqumouCXVes7r7jZ/urrodWoJBN6gSJ8AneUAFoOBpCBWolO+tr4/L+UvLFjwbogRc2n Kgre2xTKKhvG+JzJq1tK2Pp2vVw03XyqQo1Fky2bJu4yL8cdCGUgyNidoHPfVCAGiSV4JQ3khVdu ak175QD7wWpokyBec0E8GGP1xNr7manN54PQ/fYmeIyDKLaePk5oB0r3iDOvJXnyXHu9IBmHXHVw Sn2mQpRME+xDPgIabQMCCzJKYQEZfbUheaqMqgz6vhRNQetLfZxscxXiZKjpAjFhDUVh5VmX8MRl 0JD0pv/KnvF+OK/H+tqAUejOlgSUKLLNFZ9S9lzXskLD2YDuJ5cDT1BDBIL0J4ao+vyaK4gvd+a5 KPQBGtqNm4yIEl+O+o2FV9Dl0PcEt6AswDLh2NvDuAovZFKYAMfOfD6Sgv1WToDWHFpe/Zmr99Dd LIxAQL94GQnGo3w1qFNKvEwFWcHmKiaUZkXAEpbi6DB/ILpbJ9T4HMgnZzLbpsX0eSDsBCkTFUqi 1Iq9dLCuFhsThCtisHU1ThJQ2NzrZ+L4/L8oNk0lnJ9NlTnNVegNKgRIp62yCsizurNc3tlR2ZTZ 0x6MzUGNB9hFLuVo6wqGz50pAaRzoU9Z5aEKMvdJC88fywKy8KHVX/kPviT8aLoPBjeGh7Cs5VBq D9xB/1sGHViCtdMN20N7UPRoYMpbfFWxZWo66GUb8rETFKZVFfiDAvIjjRcrjnL004pxUJLGS4Va lyNp6Zmk2OFRyFOpLzAIdYZbzTKZy919VAvgiNZS3Xz+f/EkAoNsPSZXObYIbcpwroAnSlUeLQj1 L+4IdgOD9tAjVKKwedc67mgbENyzRu/qkXAezsHM8Vy3CFaglviD5qq3UbuaXaFNKJ0aJmacAzBC zJjPgQ3yBUOrnvkB/xKnVNMZWMUMGo1PV8wxFR1iXvOPVkx5kfY4Pl253ekKg3adUzDwvKPnOJCJ nSypAR8PQgppSRXwfTT3zuvu+shRqUUf2IWC200DzE7OzabIpAANC9JE1JhaqMsEfqHYhr+ssVFp ffxCc2wYSqnvgd/Y1tY01MyFjJK33F18IgeOoV7DAu9o39GhMnlAywvNynO4Q+glSUKoMmolvA+Q LZekQhmN3/SXy2MaEvRsEi1m0jyz7USoQ/AT/iUZkNWl3yj53cJM4Bxq8OUxkolU5kFBBlM6E6x9 0upxekIYWoeSzoK8eeQ7WuAOudmzaulLcAIKII3kS6DqO6nPgLN7KZnKVDkwD8VW5LE1avNBpeSz KIuaqR3l0cA99JfrOoOsRH3PZ7Ki0J2WXrMV4wQCSlqXFRum3NiH3Pm8lhwYiOIIVHZTCXXU6D36 fXLCjCQZJg7nrLRa8oJMDkQGFxAz4yHfdHvpP50x+1Dg0E1rsKUNHw1z15n8gEjdxA950S7PGs0P Zi0wEUVDlQRBhz0icvDCe2tiorJAijeyyQpJp5yA899INSc9NObz6f0cyYSSo0BqZTOUGrAaG/7f E7inW8bay1462IgSWRi1+6CVhUI1QzuY/vH5KCYdX2d8O+8N2O+dq7DwzlJDz6YJhxOevVU2dGgy ShAWDD58F4bRu1Wvltn1rfoUk88xfOIT6p7lk6oniROe0x5zRvZgwSXlICwV3kMn0U6sOwTSfRCd shel3vCqX3z+X0UswWxR9kz10uNzaCbaKE0B8xeHrG32cTYdxlmNwWwlwG5stuL4XVKnhb4XGjlb A2o5CZUUwrhBOmYB/8TpUNqE9CDDdhdGLVn4vsBO1MMHOJERlYwyBadrpNFFOoHoDD8gCB/G/F5a uFfh1GmTFTqKkswwRRZSWCpKs0yM8vYO9HJr6BxYikIShJX3SV8kG61X38/xAi09gGWAC5jGs0ho FXFPsgFtzmFl2StHU8XyqGRhxLJnVDpAtucXEtYQWIp+b0IZGyLLeQozoaWoZKuGoMe0GucOkHH9 rO5sOBHS2ExFYHcB2Eszb1GOVpiBqvmJdqkrD6mpYQgQWrqiHY2rv3pWOBRlBZ6iWVkhnLy4FYkf EeoFFXweWKAY8wOCq/DSlxTBZzDMnrmKIng6Ls1Jaxul0GqEkXIiQDIpJ8v5SznwFcUxIq2haSJW NExTslPr+b8DRT6UGvbJcSh6mWnsuyA/tWhKJLBaKvbKEQxyS4BQ1BN2r5lBT9ZfeQ+WxQ+I/C9+ SS+cs31C6M2nKtRNzwJsby+NNomlM4Muy637xCTRsgqjd/K3CqQ+72hTJb+1LGQMyJrDTOmQEE2c YoAHue5JSvbKEbxW9b5RfVBl9Dya7BYEBCu0Fs2/nULAxwAW12cq1E6nlVCv09PClPsVa6x2aqEo 20y/KsfeolaX4Z620XHbHcCbTTmPBLUsWuQWyAycUJCtbYrJmuZQrfbOf+FFq9TTOVixYVejxcEN Mofuok/fi88l/FjtmaqQjUrKV1rLI9GkNsUimyFrfWFRgYLFKzCwF0URuarUwrKMxlvCArsLek3o 45y4d8uivRCaXwGQY3XQ0TLKckKDUYDE4GUkiWJ8r2uhoaFKk6yTw/ID3g/2dUVACbiCjtmuXyd7 GLd3gmTU9Zragtm7XuyZKxRd2/Eygcsokhzda0V0S46Oa8gIsqkunUDdPRtfoShB4A1QmYYikffq hQEJfEaz1xsm0dvCB/KE3ie7FLgvo9wQGo3WxwcZWzbDJxHA7VsfDc1GdRH2vdqN3F2EF1Aq91dZ PXsKHbiNYp1K86sKOsPRD3egsBpYkQCt7WkNaLsI8VtlUrRMrHhne+eo8UX9E4mnclCLAqVd5Tsd jIDAbxRQZg9GpetEUhOoQzZbn9f4qtsGHlSHMrEm5hjciWnUyyms2ybrNbrqV/+aync+OkMVVHw4 SdBkpXbKw+AepLUxipN7OhI5L77va2zVoRFIJVDK8GrQeXX+3i6C6skRPq+R1XnHbSV3iRYhed+b sqnsiUQzRN3pTg1BodWScXDwZ1XrOQ8gh205BTNkOBmAYO7oqQ2Ma7tJOqPWnkl2zGwegR/+Q2cL c8RYnVvvdYqg9Ms1xMKvBk3RycArEV9noeLptynCtus2RQwIUC0UjgFT9BpOnYeo/H7O2wtSKzSY 4M3XiMNFYeEkXNly5ddgqsteDwhis3sY5akcnxwA8GXw++DHqnoiS6aDzGcoSWWPO+UM+RpKdcCI hhqBxQd1btBdIisL6k+f1ziqK7x/FhEiyZHvInqNonybncu4eh8CFTjD/HeaCDCKgs+zZug1huro bplTrdQ8OPqJhL8Fet80n4GoKQUeTySNdimgvJBBsBmCXA++5X2GVHQpCN8X+DvnXSSUCmsyHk6A dX1eAyhumfo1RQg1T2w0bIpewyc8hEUEJ54baLbpgeZIw8vE8m+kq2+0z7Y1X4hz1Gh3HBIS4IZw EnUyThOFThbrjx13Dvx7LveUqnGvsVO3M/tkDkKj5enFlppQqvixBvxr5ISnjWljtMKTuG8Q3DVH r3ETduei70UbvowGbaXUeVAkQE7SGG5WG8yRiD243PsdHVkFYC4gs2eOGvWHtsosFCGEUjT+xotC SzJhDeYIOsKwNawMBmDGyDZBQ5C3GR5CPuXzGjFhTdT9vZA6SD7kfdOG63WS7C48p3RzHVkIetle 274D22ggN8tPJZqkJqi6+YbVxzesgXBU6VeEIudKJwLo6rrTN6yhaoFSlu82JnevoRJ2W+Z5NKUj ixA+y30VWRcBCr3X/nmNlHCc/brVkH9Cu9Um6TVIwpFdyYevd5LyuDKyqbkJ3UmGkwl/voZIOLKN NTkMVfy12xCBn7xE8XeDWqqtJDrGVMRpjbVIi7+bXvj9WpPuJ4TCVFVhrMKCHciSVF2YM43Pa4Tk 7/nc/RBzOtvHZum1sNmhuCD3lHQ5gBIaooCHWu3U368uB/Na1uRut4TOQHpj3MbVudJKgaIpmwtr 5y4+fGKToaHU0gAaMzzxouPMa1HTorCFOqsGlvOwcc7ZNrSSMsRRgzlyReJpXZhJSML2U+m1oMn1 S4wJzLaN+5fMsKAylbjVlOqiOdHJba0Xy+k4etq7oDQENgeUz064h8ANRHgIUp6/7vwsrUKEyTB5 1CR+LWbi11jjV+nXKaX5JHck/J/DNH9eK5m83b5DpIzwKBO+YXFkFGqTun6uxuoULfr1mrbQzF6g OynrpUhG82TKZ8WwxOUqxJ2p6bQQqJQcTHDGlLpCAS6NSkOV5iCmB6Oc9z3cFpxuCexug4q+J4Jv jJSoEPfO+uuGdb57DioGU5xuwbyDeUqFwrQUnCE/si8LIRF/VJP0ggasE2/DiNtMZiQdotEO8ATj sw4Jf3bXU5LCNTSeqJlx3rzJp6BKu1lw+ugEN1MedfRydSU92NtJK+Acdp93zl9/esWapwUMv1I3 YbWie07mIKz563Ba04MBWI374QRJYsOXRaeTFBnLKuuOvp7giQVlL7qrQQh8Sgl80wm78oR4ei5D yOFgmjq1Os7V33zQNJ29PYxvtPl4EDJ1jwZY+cQmVnWc0xSF3rWlbzwZlYaKTxM5/jZN2eviUezd ZeeQqmJwjt70nCDRjIyq3ElazgUs6P4AqAGnw4Yr+rW9oDje553thxSDcXeutF3loAJmghsW//YC FGwYfo/yNU/wUNll+1X3zvXj4cvYspirDGxEzQEDjMzVHAObL1zxPQBHOsiqydrlGa3Rcl5kT2TQ dE4YOa+vC3FRyCL3dDstU9XLv0+nzGKApldVExRMi6T0EgpywTyJgefbLkMQZErpkxMVBeF0apx5 XOnYJLQGzielDEJKETDHiXqPwnEjLAO+1jt6nwV9qC1XQxQAqjGSKkpwi2LEaT9MW3o8vfP8cFLQ LAyClT4o4S2Tvybmu5TPO80PUVBOv+YJb0CZFc1TGId3GartS10jNEHchjrdWw1GX1Y4iQJxkzFp PT2j48+hYziSDHyRHZogBY1PkMGd4KBdn+Ms+YQoEIcS0Zq7kOrHwRoHPS/lLWdpfd4pfv0B6ru2 3Lk0+8o+TVEkztRzpTbdgq5vs1kjoHpYdRcYQ5umKBQ3hCKlqn20YxwqjecPIjoqocRnFPcMvO+u otj6MV4Ifv+80/uwbGkPUrNtP0owY9udjE5RAciWn3d23+8okwEpqnDlHuPvobjhMfB7Z19N1A/W agJ8ycSpRvGueRSL608vU+6PGm3XAa4PPheBnGOeuFmIxPOHnQVG64BWn6IuZYjfmX0WJwLfoxbL MF/Xcx0lij6jdtTy553Yh+BpfxcIMi6/8XWMR8F4pw17We2aPJVtiCigCwzkc5bKNr3md16fXwil DMvwONoxjkLVRuQpxYQTkYtKN6GLOQXjuqyPPKe9cTRP6NNNBU/zBk/oMGzCcyqBGFE4LgDcXU5n KXcwMa1iGQXj9IubxqBV/ju8WVfWbdaBkWklyzAYN42lZLjzlG9FDg2CBcgFpc7OUaidebIjxk2k IAEr7uUm3JOfdz4f6xrSSO/JBwFW6lkaAmOcGPbzTufrBgfktLA2RYVfuYtzoqJoPFPX2sBPXYo4 zbspjcIunQ2CZtWUdzZfN4w/ID2WC6/yeInCc7xXMh9ZpJmyfszk3zZMW8XSuhJ6Q68cpHeUN6vw Z1rKOpvMe/PZ4I2BIpDO7fNO58NFkObX3oNk9+7zTlVUBqfKLDxnhk3VORFdKr3RD0WR5nAj33cu H1MgCQUVUWolJa6THEZDm+ElpgpRp7CIhXFZp1IJTkaHUGtNvUfkCKGIvADOASKNaLeyRYCivyxo ThizPu9MPvZjvqsqUFfsZNxrosKQnAu/p+ZrqlFsWRU6NxSDbbQjgt9ZfMxcTNxaGTFHm6hzG4EX Ja10hNyKtk6us7imzqmP/X8VOKjr8k7iwxdtxk6JZxUH3fGoqxCVX0+8/Hnn8HUhZJ+QHM1ukOx9 nqKQfJG9kPccN9JcxcFPzcR3G7FLijTfGXxdFCgupLTv6PM0JuxquaBgXb9n0iGFT9VJhd6BlXtl ifVO4OPW42XXug3GHQbIPHWJd6K1EkXkDsi3eu/Z7TvdUuY7e88zPHgCOtNxkKCogsG4LplkMWg5 RWVxwfELpFvu6PjyTewhIdM0dh+s+0BenvZuQEvTFMvP8qVXDkKoNAgiaHdQFwCcUNmx77k+79y9 /ghvTBMBPz/SlFg6J+o9Is/SYEFG7xOF2oomCmCS5QdUSma7+k7d41luOsSC8nB0igdkcFff4vyj xcIqzPl4ltGR+hLw6EaZ9F195+6xI0h6VWUfQdV7FjRRFBq2SPbnnbrHI/m7EwUs60L0avMUhuRy xdrliqWT+yZReZdQh9N4Mp28d+IeF7SkXJKpTqVHdeq8/5kSkJ+guYGu0bDMBacDOzhAiNg5joY+ 3ziaJs7PFl0BSbVsi8C0sobd2PvzTtvrD6Tc8qqBtDPf9RSVx4H0A1Eoe3n8vLxvvALdWzufiPzm +fQek6NuzQ3XuzSner+aU1Qkhlcu8eRnH3a5+uUGmNOGm1Zpj3sYobSfd9JeN7fjVWlGp0EVA7TG hR8418TnnbOHx29BU2nO2XYyWeM0RSE5dSZOhncNIG0H0mtGfAVuOwKDOU3vIXl2xuzqcjte/bod n3M8gd1Zprq/UN6Q1ZH8lQCRRhPC0TuE+76z9e6uW5kSqByEIQD5nR6m7ayxzztZD5Hq+N51yDrN UJQt8igkbxLZUKGOveA8HbfT+uM0AyMj9cjDkFx131l163G0zAWK3wD4UqMA7uO84Aotpc7Z0Ibq QF5XQePw807VY+eNaqeI1mywDE+VG6nFft6Zet3Q21+J8AlISvZ6wTtRr5sz+cRBa8ETANg2TZQb c6OZYcHTO0+vu3PRCZNZ8tZoqwlEKjjyIBGGIMweRT7bGVYTPJwQCdQuflAueuNomggjyFK6yaak C5Of1ZbuvcnHozM8fZ1NKHePXC7gIgrGScHE4eeM4grAvsGhBWEFoxgQLm26d44eNoN65BAPv6Ot pgSLkrnpurqgHyALW2ginmkC6Qtlcj/C29ALB+kdHRzGoNcxB85SgfeWhQSlfN7pef/nBIcXFw3n bZaiSLzLPoXqxjZLBkY5oVNjyoJZKtzRAEK/U/O6C6Sf612Y8ZUuZvwkBBV/fiLt+mR0xKIAmdHQ hS2S/R7eQ5hUH3kn5iFjIdcsA6APoWHsU0J2BoQticE48Wv5vPPyMM3Jz6ah6YVuoUfi77Q8/jhZ kmXDwfVkakn1gDcH56nTWoirKSqOE7GOhULqhkbbdGB/Y19jNUGIu1hpYbK0jeJwYbGAew5EJr7w X7Xx87sWHxzjBLC1Qqjyeefj/Z9AvDMx73fPvQfi6LHzaFommIFAvDZvl1OMjhp4SRxizFJUGU/6 y1+cjqHqfCYcrCKQrfvJWcSdnVDVPdcH2sG9XlAB85V3Kl6X3TvmJ99BHalBdpkiKL5msJhy/tp0 6JIRhmjTFIXhPUt0cj+k9OJqI2mbLKxJaOgEDwvjSnspY+GjheEDPsm90jl7gxRRxaxKVNpGM/0H YfuleBJT+M7CMxAk7FYpA9vcLeLME6I77r+Fn/M9DDdCydOR6sRweNz0TsFD3DSIUXFxTsJV7KZr ZJmYITRiQ4bh7wy8fu1TmthlBVhpHBRstID70QUJS3D8NPlhugVlOjdW6aBbLTPplSPg3NTpRFEW BAFcUiebm5QiBHAu80Wj1t13xxzYBkYSNlEhTKWKdNcf5kF20nAf3gkeI7vD+Dv9jnmpJmgb4Hk/ gOcOf6K65NHXoOEmH9Hz94D0gxy0ggDgOF5U9z7v7LtbeNr0xzzD+YmbSr2jp8l0GJSSzzv7rpsI xgMtWCd2kqg3JyqKxOE+nYHW6jd2yheoOk1FgyADK2a+M+/w/kpUyjBQ77gHFPDNyIInifsZPRwW qaCTAxw7LqlWcD+ZDxZFDt6Jd2y+0YZgsJjJQcHTboB8Mtyc6/NOu+N7/ooxz6ZDK9yhmGEoTpxh XRfzLLUL6d01xlEUiq9cUMBixqG4ZF9LeUabp5Mbn0WFG5PzVKGg+EN3TJSxOtiBFaGtX3j0a3hn 3RG4zJ3Xqg3Y3uTrQ71WcO82P++ku1+9O/Y4Ie+1V7sTFWNV6H7FMijF7hrbEVDUh7goxWoAvwIY sWJBvXPuuCEE7O2p39HBhrVTJblzotKslKaH2QdAh6D0/hT8slZ6WhTZeufc3QAK0E4NUl1uZ3Mv UfX7CQc/74w7Nv++U2CIY58w03vB74S77h5PbV0nHoi0mafF5on7H9yfjaxKLqioNG7M80RhVBtv iQ7in1mqBhuYDFV8K+3KzhKuAGG0J7nreuXgKK8yHKBgRlvW5YQARCW6/VxjuX7e6XYs0X1DVxdp PutioN/jcceuVmW7ELhbcFuVeGJLtdnGgyqhrrx3st2TAzcpi2i0COqsrRN50onyrFw4MFS5rdIw pQJQf1K29VTGh145CA0aF9LmzcdBdZSydlWkOUv9vJPt+Pj3PEFKYddbeXrn2rE5SmBmu2CVoSkj WGWa4tY5yIGGF1g8RIvLxnDSO9lGy1sqxA035OvOykpIYGT+NCB/tWk9T9MPJcEqqbwz7Ug6kFwb IAYaFJCvjvoEV1Zrn3eiHfsPHkFtnk9oR+zipfF3ol03dVjQe7Jj6XZymO9KbnN85sCLBe88uwcj NtZ+RltPZ6uBKoDmz1nirSXZG591fP6cVYWaui7HdAr5vLPsME8UDe40pdXwkDMY3dbzY3zeOXYO itNy4ttBe6xtDwzeKXbEqnDfcanL5TgNi6BOXGDex20obOY8hSG5WgiLhHQbbT01tBASjEygwZp3 5Y/KasHJXHiYQ2jGI3Ktp6gwTiunk7IQI8YhWTDQmcKLuflOr+Pplr8icijcwXzGpymKyFneQO3J p6nT/VOFcUo1KNBsxQLNd2bd7ZpXuD3f0csFidPfkbn0BaSR5CcL2/oN05SAfTOMGJGZ78S6LjlG gMO47WrybdfRchakp835eefVGTTfsL6kbCYo2Yy77cKAfPF46vXhlyeXszsL3BLhcf6wrbJKi4Hj vO6GmTGMLzMGrJ9zwAHwsGEvAbw9yypQCxx0T0nX5DiTl47vCSCHhIid+Gz6oOoTLlWFmSNvPB6E mf07HK+S5b3TFIfjdDaWzi0R0fNKlJ6ktRrksDIV4ykeFcZtmrp5OHG006kjV0yI11HxPRnzGvI8 hLDvYIyGP/4iM7feOOCzMAGGjY0POp1mQvmGy6kMPB4h6Xw5YTVhhnBjOuknisaB4ASo/gr+pX3d VqvQBtTAYJGfrJ/3aNyCkhOCJ4mUcrTiUyZ7BXkJwyi4fvAgy8gqgb7/QX5kyR31ivE1UReYNTqs RxuE6AGr2Fiam49HcOhvUAHLOiV7KN6jUByqSlmKJ1Z86g6vP0Hb1b9Y9PrkLEVAFTL80JDDGWmj ZmkBWpHZIYAuKVp4SXVx6Hl2ykrg0vNiAWBp+J4gZaGYCuCdPmiayoSlK9dVnXg8YpB91+hQ0oBG p09TGIk3IlOEyuQ/U3I8T53uUz/BQtUR3qO6uFV8wUm5o6Myzywh7ZVPE+wQ2QdNZMmBhTDQtJu3 ViAOWRSJE0gHYkOTayFgr0pZErC3/ONbwfMBY3N+t6MgnfmDVrLPVMjZpE5PU7GA/Si56yzCeSjz B2AmdVEZi/coFjdAJmBNd/SZgjjrhukP+BoL8kMsFjRuVeBkYdmVrvQFk+AexeIUCVlNiDrQSX8k 2gSln9wpzQ0WHj4gIrd+R+NI70dPnt31iLpJXzOYuF7jhWHSa3A96lbQPFnwnakwGl8mEaKonKOd 4wO65PBuwTkOJzDy3oCIHowIqL5BHrnCcd53PaqPL9IXmozSoDUsOZUGuyPJYJy4Y+L54MIbF8Sq YtU48fjw/K6H8Tix40lOciqWmwsKcr6dbE11dLloJNBjBidnaBSLy8tTLzj/VWkTeDBKAtcu4sJZ ArRVJL8MDEU/pWrWKwf1grJlREtfq4RsSBCxMSal5CCxvfF8tPvaryV1rjtAmW2iwho5NS82s9j/ UKIgb7cqXPzbCfdFPK2CQY9r5LKGEfxEo5PKzm7LNIVGd2MBmCtMT0WRgVKx6FI+cqT2ytFxTjpZ FaTOxN6wpk6sjbiD5ZJaPj2KydOvtjnOnVUuXapHMXmS857MqhhEQV4VkwZVP3S7qODQ6EnCAz2K ybfQhsV8h8rjO3QisH2uDEQ/JzpHe4QKLickESmYxP6ZvJsg/h++6I+LD/S77IOCgvOfb/GAzj2O x6OSgdc0WeI7K28i4bB5igDkXFFjUSYCJahR5y1BFeiLsQR1DnY66XR+0t8Xn8yzMXJDsEi+zurp DTYZZzHRlkmmQ0j4MXH5B5L5D4L8TBe+KFK7ICO40GiBg5VWwENQurf4eATO/F3TPK8we7oc8zAq N9fs1q34ixanTRTcPa0GBdNF23pRkdxy4CLlWo2+oMb5G5JUIoHlqTSkIacKMFbYxldgRqymyZQW XxQlw8SrYJ/awNkBr6yQtIjsCI9HNPP1a6KwPPM9o0YUl7O2PtlUMhgrtSRYNNg0ghc0ernsxYiq 5FP9KXaifXSIAa4FNKjYx2twReB5Bp0ykwZBqdgILoln+YgC80zbKYBffXAUa9vM0s7U5c8IA/Pn 0uM6RNOl+QE1osC8bWlwo7hMRgJm5MctrPa1sCpeNBhhjXyZtszqd/Tu1F7ndsJZD/PnTDo923hE OCRKUq+er9pasVeO+JxZitKTVQPQZtidAi9rS7ypYaLCIvnTPpdC6QRV0eOoEQLIE0tP2egHCA2L kRLq2ssRUOmK8YyoSi7D5xO/sUeHqjfpcEj00Fc+B08lBe8c2jByVMfhbKJBXheoO2atAH4lviaA sTax8XfTUI0JRHV01ch7x+NR08UvPJ5rEFlG/8ZmKYrLqWcMn85qtV9xawT33b171SAPQ/aMKC6X jHSZdGTFKMQ7a5rw5+hnUhBtZijsmSstnIQG5L7o3miBAQ5VfE3U6xxyNUk+GK3sHEqE1jWIHI6w Ql6/6ZwkSgIQYrMUxeQkg0GI3oWkm3w6WPnN/aLpQB3UWopicjucKKjlo2NYgZkgkQOKcZCik2cv 6gk6QguNcqRbxL2O7wk2nXZbE7usIT3nkXSuLFMCGOej8Xywmvo3yAA3yESR2uYpjMiJE+uqz/Gg qt1yly78t3hloNhonqKIfIn22ky8qH2JFzU0fHdlWJDgtd7FgQH87AfR1c9iCUqcfGoXjKhAPlU1 GGqguzlyKwDHyjDuBBd4PDqa2lfwRI8wSDTYLIXI8cElJAwP/0krWq0mOniz8FurS0ePCDle5eUF U5c72lV34qlW6MVKziuqm3J3BB4bKDI04i5uHOUSfE3UvcORNJYKdYscYOy5E543okHP/dnxeBA6 /eIooiAFwRqfpSgUb1kKtY8CpPD1BKzQ60mAldFNVGVEofiUZnvfAvp2VvnO/xbduzMt4Dc3umyB TljVbYGN1qTVOLVlbZoQTuF7gsCJ+Ke+2MPrQA8LrzKhAZRF2Egdz0e8lm9qMED/CO18nqJQnDcO +mvODB6l3D2307Y9t7bLP44wFFe9AA4ud/RuMCASq5DXUuTpJXiBlGogJtTKfJQwGGGOMBQHVHHC 9BYDjqqcxEQAOoi4w3PDL3xAUDC41TpTxv9mAI0wFqcJ/ZBMAXsK2yXbIUAjNBRUuahCjpkKK+RZ LgBDdQOONlPn14eyQyel7CwgCJJjpiD/gHQYLfK9HusEHeMhnZP7dDQboB+klA5YDF4dJ5cveD6q rHwvKYo5MuY1PaOwSK4OnsR7STxvV1V7i4eHNVU3TWU7PymKCoTzLeK/crxFcqj4Ak6OeJM9PPFe KXMJx6BM8VXr4JEfPEPECkVD+Gk2CFN3YhjhNUH7x+PBPDU/ogYXX/sh3sGmKQrGKdg/k0S0F0t1 zdQMm+nVbnqkQmGA0xTSOZWrsCLno4UFoP0klFGYs3TYGsjobLCyMKiouK6UKF0I8EV/ccoKqOU2 WBZ8Ysss3msvePxfRxRDiAaCcr3yWFEojvYvOGXzcjnL9gtvdOtSNSgWW1gwYy6nqYeVfEdHQKFQ niopimdaYK+pqgqVkhs9gijWY8AeqjzMsExOo0/ydmzg5KBsVURR3Kvi8X/JGlHNnRHupXLOKBin 4fckDtvpwVddZbfu8tCreuN8xkVyo0qtekdbT3kgGF9s4c0Tspzc1VyvcSo31n/Ho0xLRtmM8Sps tyCEJgBxX1xPp6kraD0Tj0fcFq+Qy7ZrA7Byq08zCscrRY0gxKB5AqnGgRhDbglNvi6utxZSOaVG B1OHO3oSfGJMCImTUnaWVcI/0ddD0gL6z/mTUALwljA9u2bI5UyVfA3J2WfXhl6ApXc1YHrF40Gv 81bpmAgWNF0uu2WG4Tj2EdpEzstPy0ODRrsjE3+aLhoyI8CK2V3D5uuONk8g/uD+zDzGISCjcJx4 Rtpy4+zyCIr2njMKxxm8L6r02mBhAfxiuLJOjofHo5rvd+Mcm3YTs6JZiuAqZA7NhoKxiTy4102F araT8s//wGxvZlQdtxIdTvw72um094n6m89SWaC6cpZgSDIZeUkhS0B78qdnFI+nocUkVdrcdRqf hbh0TZ4FMviaUaXge5pAuQU6y6cp5HESroKA26ap8WK13G54TNBxcGgxhSqHxnedhKto9E3X+FmD DhgbuuCEumZAcfCDDprNPz2ErTeOGnhE9SRWxjkkT1smC2wnZubjEUrsu+ILGNZcV6hnRmgV1qZh +X7BdOkadAmHao7NLlw/o3B8yAShLoXjdd1wHD1JuGrQ+W2fy79V0YLPXyYgZ0O7+1bGyanBF/2B il5liFkGBaEsLDQAxcQbQIYNz0fwp+9WC5Qi+r698xkSOXHTjEmhSvFd1yVu5HWVn+a+l12orSJ3 2FXFn+ZoWfDq46QxjcQNoBHBr2UWDIjvInJjPR0E+NXxe/5CYvS+76AKAarHMm0+ES0ej2A9HhNY KAEfFD+dVhSKM3lGh+L64/WyvR2ck4Hp+ljLypgrwqsMJS3NCnRtXk8uCF1AtE918ROGoUrAksqE QTKWfl+OVzHVghXiVUzcQclwsqpyBc9FYVQ72Qwe/5egEfvGaELUi+pZUSROlTSoqfjhtJX5Tjrb 3MJTB6dCsxTiVUxy3WxbONquS+3shpPGsaRyMgs5fiNPRscEPDxqFpjjMAtPKy6Kk4lAiBiW+PkL uefOf9T0x580q+D5CEv3W1QFhsPFD6cVaotX4VWuUM/MTtnoDYgno2ys5dMU4lW2SFKgRWrkomZA cFLpMwmFYv7wailLzeJNHiXKUPSc9rhp/TR8T1AU39bWnD4I1tMh/cm87uTCeDyKw78ZG8jXxiOe vUIiJ/WMekteoOvlsoITyhyKCDqSDU1TCBzv5nMq3C7HCyw4VyUcprnnAKvXVUcfvImiOIkTHhEQ SrfiqjjPJKr0nLMNPx9LT62dX4an+TkIC56P8t9vqAqFlxfiCpuoUGZ8Muut3SoqYyt32eyxDJXr GmbPak8rZnKqu7lMdm1d8t2JHCGtZFyp82oktUNThd1N6kTCEO9B9dgrB9jMlJzrCpr5xEnMpUvX iS5QU6oTn/AvdgvnGCfzHlfQaEXBONV1xpZepv7JkhPNIWZzBFQXURFzFQqrDANfyGRxrX29KPPJ gqHBK6MyrAhShhKNWzIdNggRML/ARE32FaFViOuZ0Av2QdXxfC6LJIRP53sGiyrdzcdDCv5UrXsW vKJ4fNG1sze3oYRfoYvTgUjwIxeNkwAlm6gwHq8S6hkKODl6y/zsNhxIQy3zs93IyU+VOv+Mpyok Ow2DkXXnhcIqEjmE7RQHowGdwGrIJPhEtQ2PR3feN1ERbNw9LqNzRQF5MdpGNXoL6Jc2TxDKrQYt WFibmqcQPy4DGxzRd7R5gm1GIo4Ozm2ro2tH8GEhxYOVLXhjO1YFWRa+KMJgMHGpFBkthC8xFBrn uqTK/0CVboUF8t8R+fmwvdI9zWP8uJThqiuGZOV3FFZZywF1Yzrid8VYFUXXFpGnJyKvJ4s6Uw3d ABA6z3mARIzQQxx5FahJOrWoFVxYu8UXRfrstK1ubAVzsNLc2XeG+R18zwha4MHBZFkFEwBSoM9U FJJTOOZst+K5C9xlbKY6+UemhlEN9bvCkFz3XlpGMFvNUzycSug3p0513wYuCsEqg32Awho3eCuO ISclYUUxea4SV5nVB9UzwZShVE89/xkef5+pdQUMMCeUdKKVjCZqR0E5wlJUe/fVXMtXnA6nrpcM hvc5d0jplK9wsQChfAUIGRhQpPREYfx/xr4sSZYcOO6/ztJGw77o/gcT3D0CWU1m9BvJRAxHk1XZ KCyx+HJ2HAkOOHxRpsnCbczbnGL2hC8KkjzAJuAPsTU0WUc2fESWNt3k4xEVKP8KpBo4W1fL/j0s P09R73AQ4S5hyJadWQaulhfqRO6EmP3ffj9oLI07+tY7mfBZPROyCjCV2q2b5j9aCODUnxPmFuoA H9MrByWoSk5ZFgM2mzjuSUFO5CcfZqi07/e4HOGF33kMLlF9Qnva5ilmdErd91oj0HiR81R3T04F OmvAUBg7jsvdZmPf0dYTIPZnU0wd5ScrYrkZ6UtDtKcS1LVAZ9KE7wmmSZDfymSveacQXndLBpd1 p4HHI4GV8pW+kD0JBzmbppDQqWkSNZ/TNC21O+klvQQJVik7myTGjsEq2+q96Y5egjpRz+pY7xCy P1FzT1xOJ5dhAw/lrQZxBjvJwVvCF0VCNMKpcLo4KPk/ufTUSb6xnCK4SruaGES8LsgqXfbGDiHk tQmucjWymov+A8Hs5ziEUWye/kHoZG/AxwshHwPtfxK5ysh0ckf7DqL2OGGAdr+VOsBA8DV/pS+p 8NpLxGvz7EYQW6rQKnPj+QCHsS/CoKnwg6rBnadY69AcgFx8fOQlhA9U2k14BW4D0yhTO4SraH6G 9VvGV79lwMUyb6bD3ICSAs4sLa9O99Jy9Qswv/iayCXJygXFB1U0QW1WRbOmhceD+Kl8g5+osEp7 GpumCK+SuJzkPU9QdK/XtRQoE5umQf4+pylUOlR2B/veO3pxBWZS0FMnEgOBs9oI6MP8TLAVM2DS dTUleU1vHIlkMQ/e9IbnoHkaoNeLoV/4mv/K8FivOntwzlup26HCigFWriUJBK00TU1aqixodoIG 0A3eIWBFOg8mDabRQWJn156jTjZtHbl3ljEXCDZjMIAHPVwCo7SC/uwIsDLULe8UGOWgacI1rXrO SHw8SFvGd9GAGIV2LSR2WCDPW51Nn6a+jSgM0eLmiMPNJh+nKQ7HdSgVq0EJJaniCjJ7sEOxmnqb RfoqGZ6/P9Bi+0HS7GgVf+E/1J/gRpp8UEFzw2W36i8feDxiAX13W1An2+PKsO4oFIcMBPrkwwl4 K20/mhp5lbIBYgeVey7Cqkw5JPJ+9dEjAjhSjc5uywn7zzHVTUsMunDk9iEkMVdzYhLwPUGAaRVN dYSrEfCQA0+Rpdo53vF4lAPPr8CJFUKIKNs8CR/0+rWDxLK9HGBPgo4cJUkPt2rBovxx10cF60nG 70vumxo1U9hwQK9kCta2WrZi8LNygdeHGgpKt0COy7plbXvpyMmNAjTocix4DaM/urXfVikst5EC xo+IgKzfTRcah5/Q7ir/p1DxsEz17lw8JPFQ/h8SJIXQAGYFhB6T/k9xTC5RzC3cGEdP8iCNdS4M llegiVx5QSI+ZzoMQRVUWq4G+bKXjtAYk9bmUBoFJQEIDobiAN9koeXonRSF5fvie2QzhYIHeh53 ukJfIC4v2vIYui5PR9ctajwKXQeooE1XCCPXMQXO2B0du3Je/yRoRebKqq8RNAbF/BOxocoCtJzr IbN6gG+KUGNDQuQcgALoCslPSCjDEsih8QOCeCp/TxdIokSb+WRFdfNB943cvByMf7QSJ1pj5n8L DdrkkxVac8pucy/L+9aVhCrMqzv95tK5WEtvQ/ke8Z0d26diP3i+N/2lI9QmKXmgONqgIuceiyaQ P1AP5PNRW298pTJIPUhX87mKYvQksch9wYi99uEQu13dqQROcD5XUe18CLySDTPN0XNjtL8W/gO0 odPuRGiXE9EhFASxBiAHDz9LHsteOujGGPjeVI+6o6LOXFlvL4/M54N0pqavABS40XOIzguMyiks nlfSFmd3pF1vuRiNaiyX8iFewE/5sHqu7Ve3Qcj2AyHrq4IdRI/FBD+lOZX60TGdnfEi+bqmFt+2 l/4Lk1gkc8BBVTygQpswiUPP/8s+eOj9AN6cz9IK9VdwZg20geyIL0r0EiOsKubn+dVBArXJigL2 KSEfev346BX0DmK7yi6osiw5fCaW6CFgk0zRx9zMcrOXjpS0LHzIPhiAczX1guBMyeeDMOuX/i9y ehTRn/ghVmHhfcgHxfiEfKG4sVtaGaiio3ji+zAsoy+dVUNRFEeLHxZqxYAg0Ae2npN368wCnAbu Vx0H/PAziyQAftMfyJYzz6NpqBaBNzyKZdbPQ3w+aot6sMVsiLFETulZWmHwTi2WTn6bMua5HN0C oXGvEFO8QbaLkRhLMVhL2emOFpeWgWbc6myNQmhRBs0ZQD9C76iYfGEbS0ZU6W/voCwuTJZoBioT QCeIVAwmMZ4P9uE9tdjGothO78/SirmfKsMMdwgo2ycLKPNbrmrjTlZYTxfuLjdJu3O0fQiv88RU 8+zDtdB4ZVmPPcVNB3k4OjlXD10QflHki4OUsEiHjIPNFX70omLD5PP/RfoP9W8mSTZVoZ2nIRX7 sM7DyWTTtRmmIydz54Wen9lUhjAXNftG0tHF0ZPncyKcG0LJ84ZScxHMharq2HvWSFZQ2rq9cySS KENvEoo5aKqANOuSux2Tz0eJ4bfeLWozYOzcqQoZoJVhaHcVsnNwbrcWBLfLQcIIkGyqIqyLyZDN KpnpWR+Z6YJyzhyyqqznBjTThRO2LCiE7B+a45j4H+GKOXb0VNkKyBkbOFO4cCkeDrZi5fORMrAv KoaxJ3U5ScDdf7GnJ2EcwAlbDj25bSgchTbNjxSUi8QQuj4q6iRb8pzqHW1R1bOlOqWSIch95ork FEDMcFXXrVLOnarS7J3/ololwRNSM0C1dPiIOTzJ4uDz/zKm4qwA1gmmrk9VVFlfQzCXeYsyfILl BtTiNFUDGFZfVGHkLj4xYIl3dDTeyagrMAkgN6EOKm7MyXgnCbRVPFBTShzNXvmv7Tfp+qJBrYSB KgYDhoWUMDD2TF/cPRkGQCTiuQFDZ08IXVE0yvWjCvMhKSPppIJ+1EKjy2Yq5IJKC79309nqj87W xvlToBmPkyqdfadFhVT6BwwlqjN5AYv4CH5RAE+YS4y0dYlpXFRpV5GIwDfh85Ep+rd7Xjuv3VFp 9KmKFMx7N9q1T9XKZhh/9p/KpNx/1QuiOTD3vJ33saSjxdGnap3MeUALBlI258qa0iaZ6CpNqaKh D2U4qu7v/If58NzUC9Fgxb7SchG9eBU+HymV/gpDz9XXWn7uv6jEzgbGucnn8CrWoPnLJhjWGP5g NCwvM4T+nktdPQDv7nhBL2icEvCdYEC9h8SUO3rHAFafEx0ybF7wUxUrdPiELhy0tlLyQZlzg4GK kJ5Tb/qvY12wF9IFnrPqPWJHF5AIa1xERjJezSUQCmUtUZI5m7kYGTsHJp/ZJd/L1LFe5j3Wa4UR DrBVyAU7Si6kyaQlSEMSl9BLMiAo20v/cQWupgSag9KbZI4/Z12lyucjasM3ka+BRtIv9CUHRp/5 /zFgHkRpuur75TZM6UoBPdygI2pz9Q92aFpSOOBoFZmT/p+bA/IZ0N06p69kgvBdEGoZtO2gBq5A Qr3ZS0c+AjL5bM0HHTvnn0ylm1WGwOwzS9niaS6jIA3ihc9V7CwkJ4F2KzK0CjE81WpWkWElzPZg DH+xiswqd/RwfUBXme8DbQ2AGKv2IHH3eIkCde7Lv/KXjpRwpflOOTcOCkJPCKo7DNRvPh/kNuVX YbQabucWRkPPT6OIuswW/nE493ETzMW6O6xNrcgQmn4a1LrQoc9Gr8iUMyPnMmRyA2xslt5kovZd 7gQTQ4rG+13NXvoPXBWmqWsoVt9MKQu1AEgunw8uwvVtmg5OytrPygptP2kCeE4sUzPnQW81dxgB IFBgyJ6619xD388xTCLf1YCuIu5ZpOjGL+kEoljVhk4qgPLOLAHBg1aXa7qxN5hD488sIybQ0G0w 2sI5f8WoPXEWn4842l5joP5pPcfHCTeehRXqtkw6grOsT5zsrHT1ojexDD3OwiIX1o6swPnzikhk GtfZeHGNJywG64sy8EyaiWvsrI9VSPeB9H4LozXZS0ciXIsKeEUKeCesFSEEKXmnGB662vyAIBq9 Yqb8jzMtbZ/yVeD+icuQcqbVTqqMvNkPrdJsR0JfaldfWrHIuTx3DdpfnOCCQ2tD4xw9nBM4ALk8 mA0mwOF/4GN2vo5gdV2GNObjNwUwhsIe4ZL4BgMWXoYQLaMeRD9bnc//i3Dk23Cur7kKfYckg0ek xP+Q+ZMM4Q9LJetHwypmGxA0BxagJhcKbSklMBwtHoWxR+9goQLvATiL6A+DdeBCota1c0ZH2t45 QnxQ9lUwInqHdmlvQJKHDfvR1uQHRAoAfmRNCxzmV3sitAEl/R6I+OvaSH0o0djUAqMmPBa+zVWM jdF62gJ9lP0F+uid9VDy2CC1POWrk1Hn2eg75b4eSo0KMqEPaOYmrJUgYw6GjsE1yhir18bn/wuP DYwLKETcqYpid+C1ETcYnBGJWXZFYRQ27rJad1mF8oru0KRYi6MXZCA+eWJ0uoSzIyEZAAhXwyhp IC28SlPLXzkCfmA5wfPYBxVkdh8sPWOmNp//L1ZNiEEmwhefqZBCytJVrsULMhQucIRMqo6Q2clz 58ALFIeVAdME4ONoi+ocI+fyg9k8EsJW+mjCOYJtl9NgAg9yrLo4eflLB3NFbBrMBzmcY4JFqBOv rcQqbK9Jb/ovMHYm/cCokTd2Dw1BKbEyYQNqszWEtWr0uuds0QCsVNM9z4Ej6ONENM2/Yj7+FSdF g6ulVDigCs84FKRixM3gcuOKsfgKp4K+J7gGWQtNQ4zb4YzbsxeXmoXoF/H56LDyucJMydYtoS/q cxXF7ilTBUMGRPp917L61eguY4aLbPpchbG7JDjQHL2jXYMZ57qA9IlGgorvkyxEE2wdC/IrVCgJ Uiv20sHKYp5DJQoOXSvrBG5tmRVx7Xw+KiDfbqocVNEcSU+IFZqDGke5ZMfRDtptCveIPNy6OChH ax+G7qDWex665DTayoLG6S4ocgF00Fqy1UdVcdphtjQvQJRw4xy6g6JvAYxa3j4Y3vjsdMm+sdge 2IP+bxl0dEjZS/CZiiJ3svQBJrsnVp9ufGmKASQpV/ceyIFBKDA/knZpVvVr+fEkmqXjJGe/K80+ yI0GlJbuCCw45EcgT9W+wCFUcdyZo6YZa9X6Eg1eK0vSJXPz+f9iVwjTu/W4XOXYI7R0gWldI8/i dkYMiUhIaaE7UTmHJqFdi4mVER+t1HCyg4TuP6VOz3bP4uKkxgC6Ei2Bi9YaqV3trtAnFJkZUB8U pJqL9FjWsM69PViOHWex8QP+y2SdvXr+1yxZQ01XyDGlOVF2H1VUGzzAAlTjdlKT6wTk2C3U6Ehq PGj0EwuGjp22yglBblKlC6lFQbaPl9j1UaNSkz7wCwUZnb5EgzlhQqetC9KwAfKSeRxKM4FhKLbh LytMFKTrU/KLHUMF1N7rkuApbSyd/W4oW+jputVcDixDMVkq+RXjupWH65ao0NDQBEBOCFYmy1jn XMcOJL4PnXdXpEJZlt8U2VtQViFRiRExKDSLmTdDuYazBWUhfkIELfr2lQHraj0C8jlwDgWkAUnZ qE6gHKOYQhV1WlSwOWEWUHfKCUPr0L4tc5ZKM0eP3c8knZVAN1Ok05X7uUD7DFcCwbnYV15Mpi5V DsxDRRZFR77dQcXkglYaa54dBdLAPdTotc8BnyjuefOc0D50SHb4+hJMlc1YbVgsrphwQLsrK8LK ELILId3e7+j5c510xpSFQ9L30T8UGzBjyeVWxsO96fbS0WXIiKGxA5YBh8zqN28UmSihf6aDHxBk OuWXLBzI9bk+CyusvKOeguakK+V0yVIsEs3NCwvMXNfxyqGJ6BAxkGwsH31hwXWpgOsJ86Kzbqb4 3pXdigZ92DpIpVQday976ahLweYzvsAGLaw0pTLyA+VjPh9swumbsJr03g+sb+5khVAZKuv2mhxo 20de3tKhyApRWHTatV0Yhu8Wio78jLay9sk714ARJHjM5dz2nZWZxtZxa1i2ABo4Ckul99BJFF1x lGSgw6RBdMoOSpToSmvx+aiM1b5OrEx61TlWn9kK8e6szRSKrhpmrWcrJ1MjSbOVlosw5dBNtC/B t5N0zThqtk4+gXXa6FFAD1bYMZ3oYrGdDR0Gunv4mZUF8AvsRJEGo4DFMxnoZET9Yk1AJZpIOcjz 8AMi/8fbLVStHhbelweXQ0tRdvkxW/OGpdkTaVYf1YRmHV4BfOApapIgZ/1QjtxGzRZUP1EboPXF mbzZsiTDS9NBBsOldq3DyrJXjjyxikzDKEhMNAmj0nGiOCIEBGwIPEW/61iyNsSSeoozoaco451J ZwV5jWd50cm5vjh3aVKWRDMVwd1XN1aOAniOVpxJEBOoMmUHJ3ERrAliPLgjm56+4PgpLxyKsgJT UWx3omzRJsPAJcryDJDgZr+Kfn1oK5p+od0RYK38IItCX1EwA0E0Wc7zmmU6M6dJcZdJNOMLJjuB sehtFsIB4o6WFiYcIUgMsYRO3pmzYJOdqx9aAgCwOVwtFXvlCGLLSjIWJAYUYQRSO4GK0ZpTWfyA yP7iOyDF4Q7ZwDtVUfQODBM1Ki7DsphoI/r13U2iJ89DLat/SMSAs3RHmyrgEytlcmlKd6LgJFQ8 1vBEGg1gpOuepGSv/JeJPfUobFB1FOgJNtxqBggr9BbN/TuDRm8J8il3pkLp9Eq4tuvowYJ83Q50 6u47DhVCixn+cBfV9WdurBydQAEaOBSaGDOQsEMw8kZfgDAOVo9dc6hWe+coZMAG7DJo79egHXzg NAQYbZXPR4vqG+YOzalJRpVNVUhHpVF01h2of/RezoC3qaZqwHTA7sDAYPTRX6imv1Af/QW0lKGe zpQQxZO+FTEwWDsb6nw4apQOmFGWE1qMNlKZYB4GKDIyNMkyFYYjNMk6kSo/ILCzvykhA1fAIGHJ 4JMVehoh6j37a3j/meJH3vgyuSaon7iYcw5cRrPkVxCDlnnHO1n5XBiTejrnZjzhAmUbE1RTEbIX RETlUUsVCiTwGZWGIjiE1IlZ6Zzz3Hh7Q1SduO2MakNoNFquXzSrDb1INLXc2Qor71gHOI1umuOe a2DSFF9am5Q17cIIM1OnIJBZnWiO3vqC02TZ6lFQ3FnsHfppLXZjSdEypeKd7Z0jyVSJgbKOxUFN ionaItdYByMgsBv9hhfhOdzFtO3xw/3zElyt/5fy/4NQzDmmSnJbsaTeILYh7AYM2TCLF7JeQqvz QdcRA2owd3TmJQoDrdE1OuOEUdMVMh/wIj6/KKk55uhHz+iXwIqvK18j0F99UGV0sKrKw2rh6f8z SXzHvXRULaWQOK03yHJDWVUwRVTgOsupfEkPbc9tmrnbE+NuwrIvMRW/3vXRskiqTY1u5czocu3R zKj9xE9UKTpBe6ZXz3mAt5/sMNjg+7xEVPyaQcfa1gkx46A5KsDesyR6Iv7PSzjFv7YabX4pDmNM V0iHwxy9RFN8isZW8JF1ScJyhRtPVlYMpoaCr4kUv8RSWkaKO+eWtgBHxx6jtAfzKDZv8PcIbgyn HuxBCEllDztlDPkSSfF1C5FpoxH1wUFV45SLmqeQoP68hFGa4H2niP/pOcxzzTZDL0EUv3Iy56vs dZj3jGH6QOpqjmQ/P7jN0EsIxQ8aRU3AaU3BeXHsJ8c791iFXIC68WXRPgutR6DIz26DDILNEIOU z0sAxW/JTZYqcjlE1aVIJvXklVPY7BMsfF7iJ/trv6cIkeb58aZN0Uv0pIcYEpxUwDs1jS1h9bXq VZPtpC7T1DdYRCNZ76XPO1pJfaEQsoh9BnnrbA92AJF/gE+JAgZwLJd8Ss24l9BJp1ElU3eQxsVB 1ZZ6Mr9uneX2eQmc7On9PUfnj9p1+DJ6CZu0PTdFhngnqJtVixMiqrT5WUnfngy/BE2co9mtyDnS HR0uNCBcCY7ZSKwG8sMg7wXsWkX1oqEPa33SJA/WYI5gL0A2c7lsZp7TBduOTQfIp3xeAiatiXoX EhtfHSyunWyWXuIlHfTUTIcwnMXhaqKJYdOy9xsaAmbZqUSzpFIwIDp39HzlZCwD1jysP6KYnr3z Tsu8LV7i3W5M7l5iJW23wuib4kSLqXqW+2pvSUWKfr7h8xIq6Ty7FxvZNYD3b8ouUM8+OrPZAAIm 1yZJQiPynKE2vDxnAOmWJnF4ZlulJK07XpLpAieF+S9RxnJAxI4kWPa8IENxj78pV/USI9mPIYU4 thoYu1Op8KclKLaxGgWb0c9LjPS8591wdACA1alm6aWyqQ1Xq1S93DNsFBNCOxuOzBh1+kYx2ZyX uqZtd220Lkixilh2+3eYiyCAQZbS0DVkn6+QgdIAPm5QbzRIMVPuz0tVU7OEggpwDkvDEG6sQ92X P0zPEEcN5siVKez6J1UtteTH0ktFU+u3kn3U7rGEjoBmaZiVEaspEDWQgkcUI0mDiRUkH30tnR+h U6sFxxJODfVgeJOdo/ssMogGXlweNYlfqpn6NTZrv5MKcZvqQKpJJmiu/rBdlz8vpUy73obNEqEb ZZJSunwtvTH/dHcnKjePiwnqpd9KSi3JC3TTxYXeiH/2O0n6rAjtydHC7QbN4zFUJZ/zxHxMXlKn AEGDhXSlN4gJwijnfY+4UUlhj4pUEQ2q+hLOJkQixSiiPTe/99z5BX/QIPJ5ikJuOdNm1MeMIamr jWTSu5xO6LMdsxHG3OYN0va+owMRzmrcTHeQ7qLEyT5VougYenpn18mmoEq7eeiV/zrBqaVqg5oJ Cao1vLrO3/x5Y/3pmEn76wRfCOGVvQkxGd1zBMQCg2nbbtbhkoOt2+ydTVmKM0mjuFu4CxS7xx1t nqC+D7MZFObADOrk75QTIVI9sBK29DRdhsDDwTR10mgga+eDqCFQ4dnSF9p8PIiZ+rJpYrFpQVTs HuFvhD8+1ajMOO9ywo3kEnHnNjGJuJmpSykIUHCI0wGborHljl6V69KfZqkJ7qvGnVmEa9HupaYv qc9U9crv205I9DIYhnMweCec3al2XYCEDePvmb4OqM460z3E3+h+dvgy023pzlMz69WTbVE4SmpV bDqp0BvNE6uWNRG1ZaM1WqDldkIlurFPED2nGBEZYPTFPZh7up2WqepleDo14n5EOALsvqpuAk9O hlBnV6MgF8xTvtkuW3go70yqPGiioihcZD85v0llV6EmVXa7ScedDUhSLifqPQzHjWAgWNFBRr90 kJOfnMsOOs7UZgSWk6WVs/+mfNXPB+6Ha0uLpzeqn52oOMYnpN1tEFiRgvVC4pXyeWP6aQfl/Wue ILYz2p2n9zgcSEUG36NMr8T1aoCDDhaKAQ5I9FTpJArE1ecE5K7c0bPe1SBhSbw+IBlT57i05ZHC /cDy2H2Os/QTokC8EZLBd7NB+w47r0iIOe/PG8vP0uZq8yRJx/NhneRRTlMUiVODCxCjaUW4kbZL CbVtRr4NoiPeNohCcclSliYPY40Xfn4utlQF+IGMRqZmQK4QhtxVLFs/xgskv/g979Pk1D4j+vFn o3hQWsWY252PB1Hm7DZNJEDAu2hXz1fe+H12R1GypD91XSFZSJaZZsMK1+zbNo9icQNk7EawhUaH nsNCrUAmH8dThbab4nVaKUwG5PUp61KH+I3cd+NERE2iJGfj8aLO1IrgGGePf964ffqDk08T+WoJ nWgUvWyeomCcXSj4eV6PJ/llSlO+Vy/Gleq7Lo7G+bevIfEzjnaM85PmSqrGnVhFkWjeyD8mQh64 FThGf0qXKorGNU/If33gPJ2dnXkDIUBYnzde35N9PIkdDE77Leu+kfoUcrHcVPLF2RU5zNBGZVoz uAENYKXvN06fLWcF42Ija/TaN/oEc0sAPJtbKACuHXllInAM5AuvN6EH9nmj9GlBsD1QNmPN4mTn kzCe6LMInFjy543RZ0HFdzDecFYgmvOJiqJx9qyha+gC4H07rxYGe24IPYZb+L4R+mxlqmI7aH6p 0Wm1UAfbiwq7jeZRwiMWUkaR06B11B4RvaFXjvoEiC9Z31mTWWGbOmo6QGm8xADy+Lwx+v7Xjaci A+h0e94a5hujzwpW1LKkEJuQwdPNeXpPZXm9AKwSTdZ7SA7alTSD5NKr0VM8kF+npcKZ9Q8WDLCC zm1HtRIANBxErVX1HpPLN/VcdpXKqAkFD9J/KgTCJjtR40Sbnzc6323KPMvqHBM/lH21iYqCcuSh VOFwtna/MRTAmi4DPhABaqKioDxZ66koOOd4cxcotw3C6+i5WWXlC6k4rCpI9oDg5TIc1HZ5o/Jp IxCDOBj9aFBw0IETYdvg3BifNyafnXLt69ZbJ4bcc3mO90bkUwaNpAS6EcljzWLdcfh69HxzYfcp eOPxWQxl3DTjPu4LvkCu2BGZZ1GPzxISriwjhNkswqJ9YBVfmWK90fhsPQzxFsRioHySYOZ0IkPf G92VKCZ3J5XnlNpCqXCawsJ4J+BpX1X54TddbVjUtu9qv8spqox3dQ3yKs/olfGVAA0EvAgKsqjO EmGeWfMll5Jgg3ua2ysHQRQ8I89VuacPPMIbGEuSBD/r4/PG4LNl7zkem+nnNyK80uYpCsmn9K33 ugYh0jcjFH/s7ecTyh2ap/eQHLmw1cTbuqPDnlKBdS/1JDKXFvPAhPrdGZH7lp0eR/Zur/x+Pi3p yaNqZgPnCbIsnZMGw+/PG3/P/uL6tZ5YHh03xXuj732d4yNfx77BG1ay8pJmZKOluEDCG3tPP7N0 N+go76MFm/3krGkW9RAAWFBgSsOvn01FbGBE7BhHU59vHE0Tc5Ytefl95niYMFcqVT2E8/t+3rh7 T7LGaXEb305vJc1TWB/fBO/05gpdeS5vtVRxsnk8rWqV3zfeniEIWKqbRYLgHH2ezhXeuVCBIEAN QcdTB+pgw04LAiZeWsHK4hsHwSbbCCdy6T6oZHAOpzq3zdjnjbZnXcq7nGR2dPZdIWuP8xQF5fSm OsfH7f92Fl5lN9OfGhQhmZyn96A8mxJ4PffZuKPmaQE/nimyQPn9smsxmju7QTisQHJ0AA8Rv2+M PVtPFGyGqYYNwhFkeEeyornX+LwR9hSrjvYdlIOLLUdRdsmjoJzAwAm1BeUug8RoC5+YQMlspjTT u3kj631XfrfheLeIFcL6IjFukuZC4WD3ZPRibCEABFkJ8soKcujPG1nPem+CpMiftlgbFR4dWUR5 sGk/b1y9p7DxTBO8DUv2VPiNqmehhHTlt/PPxmwekhcqgukUPz+8TVNYIGfF4NxsBFxotNUE1h4A SFAQbGVQUlfYHqwm6fXjoutiCOWiNw6miWbQlT4QGrTrQEVSF++87ueNp6c/uKTvGBMAw1wu5iIK xpnfn9wiZ+u3VMUHiJ3QUxB0HK6pVqd7Y+nZ4cRLblmvk6OtpgR9PZI0AIBvJwiQIzL1ZQZoX3vc UJzo088bRc8SPBxKK1NzmANnqSDmUtn3/NPnjaD3pAwPMoUWlDcRfmPnWVYmsEV16TIjXDeETlLJ wyw10ogBhX4j59liZgK8t0Injl4vgNNMxTQCLgdx3WqoTcDlAMjJXEjqIkxKkLxR877KvkWm29By /NHkjIbWC91jThj7eWPmGT7gF8gJvsuABvtERaH4dvEDW07nvB2+nBpvHU6Umk1cTlF9nDh3yMDS oVejTdROYDm1hcMJBu+1qSWzsHRhmYqyr/OBwGbiC0fzRFZe7c0Hqz8ltPIURJXPGyVPkcuvekEn +63fTfceiePe0PXWvclpnHR2zHcz0cBJlSnNUlQcT8ISrjrzHS0igDXcuZNMMxDtlSodYmiTTbHE er24AiYsb3Q8bYDNLktnXsdBNacJEQpFBJ2vGaymXH8lLAMSFXeaokC8C6PS65U2neP6FqWLURmy yEz8pD97wWOZTsu6lFhg5MpesA6HUx/awQow6eSUC2h4XBbO8iSw8I2KtxzVBZvNvnzQPOEdl1zZ 8XO+B+JYGOt7OXUZcfs8RYF4ZfUpTaMcELHiZbpC0oI8oUmKQyD+RsOzq06cFkvwRiefd2I5Yf1k asQNkIgBDWYPoVBuOieoQkgM3cqZSa8cged4LnVZjTO46JbO0fgP4LnMF426d77viJOGJPjuNwV+ I+FZ74LLqDcvPaW8b+lpWSLTwJX12Cmsj1tXaponz3w8eWpiPL5IPgAiuggcRoPXc4TjzAUJwOG8 OCs/bxQ8HVCqOXWq2NCLkSET5NWQGKFWMDOfj3Lg760HjYkJ7rFNVBSL08kXHjPjRk+z2JLqEooQ w/puvahAPgXmaa2XO3qNri90gQddQdJZ+9QIA3kfP1NHCwRIwWuGRaGDN/adlSNJrE5U/cnO8jvX wUiToIETa67PG/fO3vN3hbz/zHFBvW/MO33ppt1MHs2Qz02e45K9G266OjL1tgDIjOEqsmHf0j/n aPOEpOXs5EqRg7JgTcoFRQ2m3MEKgVfBvfGGvfL7PJnb6kjqm6ckJahzD6BbxDpJm5834p12bv8V GNCUGp5KPlMhYIXqy4nJMEXvGkNPCOtX+sZmHlJEWVasqDfinX4pNTjR1byjbT1KW5LkQ6W1VmTh CSsQ+Mxgvgp+Wqs+UTb280a8+9p6mbqmixUNeYUtnH2sFrS0Pm+0O6tqjK8VBY1slPp8nsKAfMpS rUjvlUXfbuKAifcYma/nR0q2oqLquHk+FinUa7Qq3bnnuLmr+FG1SuzthC7AugIJ90Mp4Jvfdb1y cJgjJD83xCbnh67BXFEblFRdZLl+3jh3D5TpzhNI2LDY83l6D8mRBxAivnznjc0ImfPURC/bBGFR MONcem+MO9t53HEjC8nK0WKos2ROZpKXeBkbmF4R9QGJyRUTWCaVTK04PvTKQXDQFGu27IP1pgAc 5iacpX7eGHd6fPhJTk1AoPkBiLN5CovjlPIe5Ihb1Tc/OizTpZASDgJBxsPiuDrnbIr6aBE5xVEz eOAd3CUAeqRYg4IKSGPm/aE8WFWVN7qd8mAyWLj+bBAQg2YNOqta+7yx7bScdv9aTidsAYOmeGjw xrYTwoB2fXDWfQB1zs8ggkq20BP/ljfeG9nO1jPX0ZLls0ZbT6OD7ApVY5RVAGYviskzKm7V8K+3 Socf6vNGtdM8sYwJFxwfvHU+xT+AHNLnjWj3bKDnwgM9o20PDN54dnqKZZWWhkOiZSmraSrJmWMV kZamKY7Jm4BPbPdqtOVUN2FN0tBHLpYE1sjIXCfq0yd+fIRXtJyi2rhSlzwlXDq3T9MEzJ0UBLA3 3zh293CzacJ/DIULMAp8nqKYnN4dtJjw3l1rzkIoud/e3drW5Hwj2FmVjvOUF1ktGm2expmiBvts bLuNlFgYqQbj3vNrQsUbADgDihGe+cavsxyNPSnBezhcUlTJJt89P2/0Ov3BtzbOPh/jCsQ0Nk9R RE7BvrMxHlOGYjQWHE/F608bVxArKy1Gj/O6W1lSuCun77Dg/B4AD8HYEGYRpG1CeiyjTAcdwGt1 DKia3jiICrLKdPMOKkCBl5QNgrjxeBBn9u94vErr8p5OYTxOe7Altg8LUHRAY13l7HfXLTgHh1UM WlQbT6LWTTvNOXoPATIrJ7630+nkNFJK3HTGoyk3kTYOz9x64/fTabMXRbcNG3SKT4pzcTmVgccD cEH5Pp1AMlo42I36E4XjFMpFr8F9K3Jt7sbQFgHSFMIoycxQ+ns4jlaPTqVJIma1FIJFX6hmneuv y3N1ILgU/OBslJNgnRdHN9iyO3qd42v+ZGs2nuEcBOtBhYxin6ia4fGA1fKr/IQ7/lzDHor3KBTn f79Sb17zHeOZpVQdY7+2GxH1CK2ixl0tVHu1UbO0yYYeIMlAoBSUKWPl4ZftNISquMOtXADpTHxP kLPQqiKrXHB5IeeUg04zkRhnYeHxiEd2yypKmM/FAtkBm6e4Nk7seNmOv5gUE2a1oKUvYoujxHpU G7cQs7PRaqNXC+AJM4BHoV/TyRBYq0qLVNwG7lqhkIVVC0Qli0JxqRtN0DwxII7n0V0mgtXGP74V PB8QN3/lLBmpFo4En6mQurnkXlia96QIZyOKFew4wx12IF8YjPcoGH/oZPOOjmLdyFUAEIeIx+yF xnaQyscubihvNjgKugDGsFeOui3ikxVaQ7NlWYUoOBmuDsU5Nj8gOMjTd5xJ1GjPd01FDE4qgIzd 09W/SI4Uq6veMBNS7jZTMVZFbk3dmsH9aQafYGoghJLM+EJzWLholAhx1eEdZr+SWbzwelQiF7ig A2GCAfGpJB0a6F1EkJ9UD88HE/WrqAlPWZ4sNlFRPK7+Hai0F/E7XMl8Vvu3pLoOGQr0kMepNufc EjLn6AxF3GIQVSRE86QAvGcRnyFrSrQZb2vfU6pmvXIQGciPFj8MhhO8dKHEUC1lCAUgA56PNt/t 4PE5yJc8nIQelslNx5yao0UN4emWhZRn4ObDalbFoP8dkp9jW+JPHK1icD4H9RRAJs6GO2kdLXTh /4UvgOEVOpXtESWdeuWoBmXcMhkeq+SFNQWZxcaGwIQJX4+i8gdQx0o+/XnkJsCpeo/K0Z5iua4S acEwikK1Z9YAgcJryKUC/wGLUD2CrBiiri7pRnJ0RN1Zq2vIKqYAlSYD6XNwsQiFxkWZyTsKJVF+ okeVcl19LYk8lRzQihxYikbnxRsej6A9vyjUZ+1N9H9sniIceZdl6DbToTxOCpDMX7UOq0eh0kaV ns5P+vPmoyqKRnZBfoSNPikLpALGOQMBt+cplehAnivusNZSeYDkZ7rwRVEkRWKwtKa7a02j0LVF 6jy/MB//14ISkPwERBNG3DZRYVxO3pR8aFj/rXR55ERNTJSqULmSRo29F9XJEU4zdTOprHq13s+d BiZJZusFy6e3Lrb5wi/RB7dddbuFzEQaXxTkw4umJ/I55sBY6hx/g0L1oAZNPB4tqPFroqB5R4ag 6OZRZE7+KKSNvfMCEIbFUqma7Dt4nc3gGCOUUVECs1Q60Wj33gZxB6a4JJwvQKBN3WHDPwDhXE+X 50K0ML4nalGxTo6qmw0GZT3n7dSU5YzHg9D8VutYY4B7ADq7Nk1RaE70H8gtxYgJYxHVShkjYQ5w lm/gATVNcZXcNp5Jru1Hcu1ECif6qTzLywmrhlmklYqkJ3GCJdUq0TVMML4oonUmgliXKXKfJI0N KlQ8hBbD4sXzEZj1u/HCtkS/sfkIQeSsr1SpjDI2l3of4qjdnZpwNp4rGI2oTE7r0JO7TB9ZNxiE 95x7qlIfEuIpJ+ZXC52y+D8DuH6kXG6yAA1CfE0AZWXx96yZpcHktM9eS7RC/4FcAh6PaFPfs4Tq 1wnq7q4LaZ30sGqu54BOVR4O+aXcKTM9YAeV6Y0oLjebr5NuGS+I+DbM0kBwAF5Cp1x5OgF/UbVO Lf7RZONogQEuaXzNX1zqrFiTg5HLVrUIAVKHIyyR12+yIoTRFkwDbJaimJxEE7DCPc+DaKbXNOkb oprmrq6FEcXk1mqZU6wgjl76RQFqF+LHYXsJkxieTVJZQGcKl7vpF01y8EZUIpf2TBOQtdGrSJo8 qVQa/hD7hef/2cTDf3t+nolKpc1TGJJXHdwmg4ya5vR8OJXh4sgTR5/mKQrJl4mGJWu5pNty6WXB b6xqnk7uMqllA2OcEwhN5JKLNSgx86lgMKIKuUSkYSnvA2MCTDT9cs9ZMSYej8A9N8MjYGrxh7pH U4gfx49PlJsvp9yf5XRhK7U6GWhE+PFqpcwkqSeO3nFJEDNS7zy1NhDyMGbH2XeOJuhgPuhxhCef EUqrsEYHGogG2aBVaC6xMHSOGhxNcSz+zXzlCs9XpWdEBXKyh2Zu3fGZWdQfglaGATTaCW62FVdG VCA3mSdJQnAkeQmbrmH1UDAK4qKAEct8aUOsjYWdTIlZmyaEXvieKHDCoTQWia+D8nXErEyQ1rXp zvTj+Yjc8gsrdhbXrJctNaJQnM5u8Hd2quKoJTu1LJsrNqLobqWVEYfi4t5l6WXW/OhlgmO0sKIo 3j6xhZndjcaGArhTrcxHEIMR5ghDcZzeE4RaDFRrTuIjVMjQUL7h3K74gKBiUG8izNsuQ7TztoRH GIxvtTqrCd2D327sVyr5q2QwafOtqQqL5FV2etVcAerjCnDSuxM/sQN0TqkzQ7I6SZP2ekDXnS2w HgsFHeQhrRNbb7JfhwHVdFMVX8iJsKZ2Lng+qq18M1w4Y6leOvUM6+TWPN/FZqrR6pSCfUndqUH1 J+Amkd/NWNRQ2PGkcJOjyxhsRNtVzU7UoDbpCyfeRNpPRzGKsFoXjzzhGaJWyOvsVPbVYKRXCHKy xFlLxeNR18XDJxHL2s+EE41NUxSNMyw68WBOjjGQmDbQPYlIQGR3nVVHTVNYKBfvdZj5GUe78NCQ gt0PLzxgQh1Y1xhGjc467NUUndVe+a+GQgWP1QZLg6GgLmPZXvD4fzmj0P+B6ZvPUxSM48oAjs2g vmfjsUkpUV93yGmTUqDSyYqC8VKMKSV9Oo43C54w9u4EIG7gX7g5EyT4fnKjAQ5FewzbQ7WHGRbK VSGHZLoNnCf4TArXcJZWxeP/IiqSWcYYd145sSgcZ490ynRaqLrsDBfgS4ozpigxz3kKy+TDmFKC DHB0UQx4qjdtu5PS42wx9yBUvBsLm+ORqO32xlE/gcQydOw4JIf2QNiTf/pZWXg8wBjMX40XaoDs 2jyEmlFEXuV11rMFB0sUQy4oscOMIuyCojMUOjQK3rYFte+C6kAvo6daGZGfo7oyGs2VtD1w0X8K cKreFqZ91wwpnZKnHaX6YGWVPSkzcC7QXvF40O9sHmtKWgt9l3zP8TAiJ7inuG8lgqjmoWYtZmzZ wL3wBRWBVoz5Orap2u/uN94JLE/YBD1RnePgFBAhPcViRWkFYvYWQ9Hrc0YReeV6+t++XScoWD5L 5/7G41Go6XkL/lvgWHEQ+CxFmJWRhTdcXlQ5d3O9x9NwxlRHIMu4YEYFctoCQFtlP6MdT3Oh4gdf LszSSYkbjydMSAcSAv1OSmUJbk8a9YwicvydZxVltoVrnhaRnzMNFgxcIIOvGRULvpvnNHivl0Y9 Qz5nU96SrxRNGs6YQnnWm3hwptNiCuUObdO1/oy2mFqBiEGhxko6USCAK+y44CRE34DG808bYeuN ox4eywRNRYNmEGz4LYxmaPvNxyOk2G12cuklpMGPKGQEWWF0OscezlMc8ggioo7VI4n9g+WpeYoi ci7MMz8mHtIfCBQwvgCJZ+Z3UGRVWxi0B+vNoOd9i+ODcPsZReSNYN+WSChopNZIq7afJJQ6QfBr wvP/RT6kcpFfVYwZEjqRuY8pt3kRqe9EFffQQ+HQPc1mqLJiWLFshM58CZ0T3V/ANHndnXAbLT1O EOQAFhVH19NEgGQrvyfad0Sr0BBcg0ArgP0lCxA6Ho+izO/uOUxGmE1rmlYUjNOVBYI6Vw8juZvZ OVSLiYecacpW810RaGWo8DZ62Xe0qKCdCThzQwmodLbYIBkBttfnCoX00Y8Q5OtRL1ghaIWIOuV3 GpzPCbu3H3anKh6PpI08KKDSAcih9Ur2rLAyPqd0VZZOJ7g1e72gVvu36AdvY+WvMBbvCgXkfafR kfb4v7AN5K7bkJVjytIX20rnFahdYP7DLD6tsC5eadsCA3YM2Mncc+dnqFMUl10Lnv+X8Bo5OKC9 jtu5W6HMOFOWWTxyQlXE+yw9Nydu0A9X0xRjVlTDfEbWX5WyJHST07aK714mJ40id+clSQdqD5zW T8P3/FWka53O1hyE7elI5EmiPj8KHv+XjnaVSNu558ot0q2Y0UmY/bhMoN6vCE0apoqMy85TlhXC xy2lq5KJ5miHODpSlaAXbLqOuFw1X+yzSfp3ekj5wrGuvxmdQzSXAR9Ogq0qi39EF/QB2doQr9L7 13qircgC1comKpQcn8LzNBWdgABcamvWE0BPzVlD1mrkshUyOkUMbkP4cY4ePCXkFsZHSJQH1223 wRHJuAZhq/tAe6ZeOSrUsXPX1cBD31TATHQfgUX7obRRnfiEfwZQDDQTGMrba5orCscT4YcpTy9A JfbOzCqiWgFqDIR3mqtQYUWFcZhs3VFzhXxiCto1Euxchxxkz0WB8nxD2ReqBm4eyFYivugPSQyo RTQfVCKHVxz/l5O28D0jof9fstqLHBePNFcUkNMtBdtDugUQfZguUzdq15RBzc8LdSsMyAXkhZfp HS8MA75bHcykVDFTBi/o8lerCHZqvpLRWXdeqLCiRBg6WRiacVwa6bRs350ljMcjDQOvketEgxPD NURYYY28CBzdrf40u08OxJDzNHQB6fSapxBErgizSqdeoxU0EY7jfmsy1khwwsM87cRUELw/yJBd uApCL3xRFEHJFaFIiDzbHwxWfyGqaaBOt6KQfK1fcJWzkQDY9nkKaZ0SG61XicY8t4bgKsO5G8tF DFZYI9+mBiJIUukPCy8jf8vAC7LEAn6COFNTQu1I7WmXxXZwIW8FXxRYkLBA1xbRBRySinPoukjs YfA9I3TBN6Yus/qacWrZTMW8Tm235nLIprRNjZXtBYOpNcCZCkNyXXsoPd3RZqosgg8HuwkDpBe2 +5Ih8GDLBdzGBZKTl7CimFzssv8tstJwZNBfCSbyFY8H8cH+ninxAesV7dlRUE608rmWisdRjcbv jA+GegldQgYWbu6oQj5Mc01WURr92oOxbWJnI+Gyg20grj3mvef3ZOFu3v7UYol8R2F5Azp6Qi9O Q2F83SBRUohHHSc7xeMRH+h7osAZ2Y/O7451yFnHXN1757kPz/HWmlcsiykuZe3/4f2Ta6539AXF ijJ1GNFQGBLJPx9C0hqahOeEuZU6mcfii6JWgrQM8h3USjihp9hB1Gvf73G5NeTulVdwivers7JD LPkQxqD6EbVachGoxDOVRQPQzmye4rhcaUvu5Y4XIb3oMM305STDJzVm7/xMUBFKK9fLcym0Bsb3 BNPEmm8HL84GFVcSdPFUIU8Dj0daWd+lOkBCRrniRjsKy5PBxIprr6HZaNMETWwHrJBfyGmKASua nm3aa/vRXgMmHVB+3Xgd9GAWNDPDcwLHC0CofpI3e+WANyVuQpH7cjHWUTtXhJFG2sZyiiAr7bJf i0Ko82lPy2XHOHIiWdvV1+4UDpQ2Bts+4pfB610TFeLI1Q6eFkLNJ4SCTSqM4SYnCu3hbIaTwExN BjA93VodohR8TWRNksi/WxrAYFhaTyjiCLIyN56PYGK3J7z0difUzHffxaKHxI7DT+2akxjXpZfl NaiTg2a33QgxK10wg+/R52mivwapKeDt4fnKtnmmRs1CSo7V6DIG+GPxNUEARY4LTK99cE7Zkpnb yY0WHo9UoL6zPKIuU7v8sh3KkNOdpKfVjRLUpWvfJbViGnVjJLfd2BFmhQuTrPx6R7/uNuozJUsG 6hw/xFDQFhdkQaxURuWrKclreuOIJYy6yixUWuGgeRrozTOAOl+Ox/8lQy6CzFlJyKp8nkKpFZ5P 8lwRwUzlFToty+uGsvYjGV1xx6gVzpP64DY6D2+fBLEt2Qef9Iswa9IVNw1C8CADTUqN0hb6syPU CmyJz/ndeUpx0DxNiFXzpBqJj0eJyzfpHIYbq107iR2WyElJ6Hv7cho5+XKCUvyP2yQ008vaYUCu aaL2p4/eSpgbwWUTgxLoAnpX582cD2f5iUMvZIUo+x2F48sICfsOKmnC/JDNi/OXj88OASvlut3g Vzx3u1xmbZqiaJyaKLAmTjZNi8Ehp6lTv1+eQMR2cddFgJVZjNtiwMN9gYcdbVJA2QFiPYf5Jssb qwm0/AGnBGhDucc5lebwPX+JrCTiyzSIjYCjbosVNAceD2KnfAvkzFtQJYSxvE2UYEJx+NQRvVgm TDN6OUwKG8WKAer7RGLkFAqttC2gnJArSUhAllZQJsiS9DxxZoLYCrE9GXpAGbooLN/+8JSCL9C2 lw6M3YpQrFXirKCYMzFrcBmQajv0lDc/IjjO23dHAS4RGXYgd75C9UO2PE9A6CoitIUlL6E2CUoD uTLQnJQRQIr9gZQSG8yuPG6KrUG1B+glJnppJ4L6KEwDBscgFqo7F7aQA8VvimRHu1hBXBQkqHG6 JrEr/M5NJ6UoNN8PeoVwA1hHnfm6YpGEy8VPyt7GUHbDK1Lnmy0EpSHuna8QT66Asyz1oTj6fCXa KG7OF0gdlfFUmiyNViBI0I+44sgsIeCbInAGi1HuxIEGPUvgG56NLHNCdowfEABcc/ueL+iyyYXY ZiuK0mFSBUxG7la/ky0063cFvTruxjOdeftshV6dahVTQtRHK0ydv7+eJYMcNEH6fuQuvXtgd07Y jjABO8KzPhkopChOHzRb3kWLrBjiGP3oqf50BfQAz/+X5h7VEp6ERmID7/Eco/Ns4qMM1KdD7dho k3NJrs3nKiqgD1GEqmzfNd4iXkWRnplfBZJlamV1IHHzWXGArA2PQQtNmvlNAVlBwfpgCsjBgNOQ na8q6GU+H7H301fyl5mE0B7MZyusoDMOTcnP+dGHq/qce5A3Is8tOQl3fdTfvmbDEsBxE0A0mQok 2ljKg5C7LE7hT0fIHbgu0rJravRte+m/sImo5PigWl49SUJV8DD0fOBsdrdh069JEOdzaIVaLLid oCDih3ytlNSiBBLOEUlFVUTcNllR1D69PqxDi6PXPVnjRoiOItU8O32pmseLtKHXJnEfczfLzV46 EtWaqqAXHwzImRZh6oA1ZD7/L5Ls+BHDCaX06wOXYkEWybOhoWsKGik7S5baKlJqm2TJch+GxXSJ /NJ4xUeLICDgupvgLQ0kmSlnwULKKV2MsRT9zGJPiN8UrCw6w0/kvmcY25W1OhjiVCA7D/H5oJye vqH5VB/I6ZLUc4rid2gSgoZ2q1V01jLkxmyuXrOHl2E4u+9/gsk+JOUwHJ3qUeGkAJNVIl+x5UhT 1wGXZJczLnZjyZcqRSCXJUO43e8gBN457Xc3WELh88E2bN+pjhCWvT8nfAw7t1KMk6xoYmdWjHmb /QS0VZZPViiWWMyzUsq/HG0bwkIDhE96MZ7fdfct0l4ncAoBBEQFnLOH3iq/6C+bHG5eGzRXG9GS 6r958vkofS5fRxZUQdGV9KkK3T0dr2j6R7jtu7sxwlbUpgq0aXetDLEuTWUr481ydKrVAAIAxWuk zl0+JCjH4LThLWLNZEWlrds7R3uQrayixlZxv7OFJpDwCWlMPh+1SL+ZxWhpbpSvfaqiCJ7obycz MIRYaXmBTx1mirS07U6DgcOn2WBA310KSRwNPZXOBAGbwpJMhgKJsiNYo8PLCyfMvDKABC3mPww+ hXiRYulyjD4kxWW+2k7ExOcjleBfihp0ZK/PTIWxO2syU0RZSkgR90QJKWqDSE25QNKKxYYcenwa t3gaW52jw6oH+ELAUPc9oQ3tQDzKDlSZpz5TVZq981/gIMDVNDT9yR3OxaQzAHHJ5yOfKgfkcavh x1pXdzoHNp9ovHMltSsj1be5WJNebMLTUPC7iyoK3BVgnqiTAbxGTRW841NiKo6W4sb/kCo+9DPO /1ZFCDXNxNHslf/afktypWtYcFXLmGupHrqQEwY+n4gXfum6onCNeM5nKhQyT5KPSl7oKz1bBetc 9wxEqSTFq0ozFZJCRZ2FAs8dbVEBIERSFE+qhh9/C5V3/k54E6BZe4FUfXR756CIRRM9KlBxqLao 8omnzYljbD4fNJV/GS0AVtLHNZLPgdWnYQvgOOISbotLWPuv1bv/6Bqh/RfH7Oamp6xwPYtq7BNB ZdYvcVLtXLa56YEGMicV1Xe5WKo+7Z2j/ccEpyjBKZbgQC+lLalqnA/i8//SLGUV5xzHDSbwPlVR mZ0R3DnJqXegEmlrlgyiM+yJM7oWCkJDu0/rb1Fz1Edvlw6QV6jJm/DnZJVuwFgqhFefYwq6Sl7y UxkrNPw0/dv/w6LtIMKp/Df1ptGx/t1YblT+3je7CSw/4Y6yxXa8xMfUrcgAIyXjqM00HI6eA8/P fEVIam53tLnC1tiNPExUUDp7SkgFW7ORWG+3TId2ir4pugIlP0JgOgdlN2eOq+BU50Th88G6unw+ XZiQ1O35Oa7CgB1xkrp9LgF/9boFKDEJ+GeyYpqo5IKt2sDRqgznYkCteFOwG+dvTYJUUXMVUA80 b9aFCvVmLx2ZCkhRisBGDuqcwpmdAqSVVYbA/FM2RV/8bMTvC4gun6yw7E5dN0DpflxaKvnKyrT1 NjNZanNyF8YoGOXNQ3wsjhfcseET3aWycTaceLdJqooVTJgCqe5LxJr20hHXgd5epd5BYWiFBpdU cVFJDh1AyZK72Q2dVxC93H0YmoBSXwygHgPr4R9Vh4GnbO1WeodapFUZQhfQJYhsG+kZvTS6uKvJ gsRrdUNeZxqlZMCCM4ipt+nV7KWjbjMJa6VraAq+gTHdmw1JtKH4fCSs6Oc7u4O4WPcTNYQ+oNQy mXSglch5pyIFKzJweTv/iLx5weNdKys0AjWUejMxDY5+vtcTjfQmyUAYGAmxl9MkoR1Svux3ubwb G4Q5dALVXVi/BmM6QJRJqlx98vmIrf3NNoJu0beTemgDuuhVXPKywuhARc52YRq3pZPT9eANfECx rpQ2N0GHOPr5DgG8nOgfB+xnpeH42YWot+aKykrBCvfCaE320pEaF8/3ys3YYWnJOnKjKJoc5M4X 8gOiDthV3mCxD/8rlTh9umJkDKdrDq+MLgkvk9zekjsNlNzu0ooR6y41le/o2xAKTKVRfaOucf5/ JATAajswfWemCFnXdZjI9suhHSi0SGhekTWIPgqLm6S2KrSQ+XzEO/Jt2GwbzlWfuQqD98HAWSpK JABRmBTB+/nuZJrn8M0wsFUOHEGvxezKY9/RIlLolDTIbTEiNU4FgndqkBWe9tffudCZjV8U4T4E nFW1oUKEVyocAxwiKgGctI0fEPUnfoVZW2qDd66iirs6q6NdROioLhHU+TauD9+8JBPagq5uaZ4s 9TjaugJ97fy/JjobqpdZ1upoJUmvsK+HWaOSTGgLqiirbcYPHIRpAE5ZcI7z6nw+otaMr2UF3sUE KNynKoreiTo82fPovqxU85O5VXY1pUGDCk1VqLRoduFVvXiOVpIBjjexSgvfJmQkZgmN22ogQ5rp gokoEszvidAfQsmM5YNKMvscmYoazlnG5/9l3MRjHLQVYJV9pqJi+6A1aB7LFYZLNoAo9DNKd5gM 2iM2U1GxfYha9OJ5ibrCAuOWxauCP4nt59wWYU8UJ0az3No42eYqRMpIWyITHnqGytUBGCu87Rkz JL1plD5/E7aAIjyL6kajoT0oa+gQrfGazPSyO7o4bODTDKzh9FR8FZoSqc4yuskr9XkxRYhsxzrp sg4ruGCKnkyyDERd9/RKe+F5w++J6KTUxAMIyQbRJDHJakGfH5/PR6ol322JTD55ohegzVUUueOQ hEzPcFzRpIEw61dnVxiuaLZKVc+uj/pLVr/UKuQMR48ZzgV54iC2B0s6iVsyNhJkZ8+6QiQFrwZU KAlUK/bSEdTfAitKcmD9iKgGhltRLFo7n48KyN+K8Sjyg6Vxb8HQKJTNhDlUt9LJbmw3SOvX5NDs 4jLfOXYKleXOSrvd8YLV6gmv0eaC3EQ929NwIlgWHTYQLc2LESXkOIdOobkrfSb9vTsWoEJ9L4t+ yFp7YBWKYmH6WljQh177QX+EXqGbjO7cbrEvk5Chg33069C7icPq+qj3LbgVrk/FTRqdDbhxo05a z2IPQqJSFSzCWM127qG+d3vngORG/CNCNh9UwQJmyXww5+bzEZ7W9yDDDAjsQ/zbpyq2J5oiv7t+ 9RTIf1ATfXZbVCd5MA24HBqG2qIqW1K6HK3QMCF0i1AUYWhPgDyx5ww7H0Tt2IG4Za2N2tXtCj1D KQ98snlkOLBCxE/MEtZCYCKlm575ARGq9vZwBCwigm3NZ2mFPNPC9STaMqkSjiaCMPDFaW+ghGy+ Yp8iAYvMboCjzxe8TAaa9gNqRXV3AhrSpGM1avsnI6yPKJV69IF5qIQRQCTg2mJ3ogvRsFtTAAyi Nz/gX/uQLwjsad3PiRXG7cJDcjaNCT+TkW7qMhl+lEfnnaxQ98VSQdMzqY+eSR3oeNI7D/0uVEib yqNCfxDgB4aDC1NhgfCb/pDcPxE7+cuU7FB/rSFUNCH5vjM/4V/iVOwQgujUv06tKHInBG2MbhqM +MdePSPs1cpYvYM1rowwtBGVFmXBLN/RLsMTS4G7WrkV9+6uZq1tVBhqSCzWRHX9pf/Yiud0bXdQ MRk1DRZmWkeBNHAS/bYzZJCVqPJ5A4fQSnR04dtbt2JyF9RvsebfXT3gpEHTV1YElaGHJAR1zdhw 51t4h5/hbJSIBLoWPqk84QsjnHPiI+sv4yHgdHvpqDBDhlIlFaBg0as1kYFM7eayM/kBQZ5zz3iC AfFxuT1nfFh5x3UowKpV3glv42S1lV16/9wzzScrhMoYnsjxRfMqnLQTvEMqvUsm/RzAsjtOnQSw BqFYWkZ7Feu+dLANacuT1F7goIWVIZWjmHR1Ph9swvltnw3u80a04nMVI90lhFqaYbD6zK6klylz SgzWXH34JgyjdxXeTzBY7+i1ZKDwULrAXAGtRLdK+KvgfG9ctcAZOAZLhffQU7TzfCd2ygZRKgcY cOZbv/h8VMT6LetVcNynek0dcugrKtXPQo0EQdZYQmIxudM5nNOV88Ulh8ai3aIntv5s1HRlaHTi UAJW5kQlrcFa/XzmXsRx780Iy+VRSxa+L3AWRQBO5Og2sDooSiJOnAuqCoa19+QHBDjb+Q1sQPth 0c1TkxWai5KEPClqZ2HpnsXD0lWsBw3otQOLAndR6IrLRCzNZ/RuPSAyCzUFuF6gpK+MuyEvGDhv 2YI2D7Hirxwd70xyBusN6oowKh0nOUyiyQPXELiLmq7dk+tAUhvhh89UXHdPSnVUg4Ga4vTKzMi3 MrOegl/oLroUMCzDrXF0sEwejc1V/t5wfmIklpnyLIiikhhkaeFQkBW4i2K7012U8s9nwAsJ9nK+ pVJ8CmgAfkBwFf7SakR8hU72naswgqcR6yr5wmXqdgxIZ3dXpJM6vF0fOIxeRVmRDG302gwuP0pY Qsa5AOipegPsQc9hdv5o7CNDq6VirxzZhbDptYlKRkKuMLyiNDpIOwEmjh/wX2QtcbjP1J9lFQXv OB9AkR/XgNyZ3yekZhNZ7RxWr7Ss4pq79NOLlhVHZw8Cl1hhDUsTms1OMXpzQCJMXLJYZy59kpK9 cqQSo4Ifq8nT3ZNAxaDRMk2zKp+PgH39K4Omslp+Gl+ByyjOF/af2RlT/3nd/vNcrgDa+3QNq/yH zagidkkQa7RrEIK13SQYTuCVJr3Hi9qDGx5iLB277lCt9s5/aVuOTC7TyPUSnDMlQswYModGo78X FU5NiATcqQoZqVPC19Vl9jLbVRSJqVQtlYo6zhNdgYHT6IXLlKxFVfJdVA034rkt0N+FuWyBARuj K9x7mcDSghKl42WU5IReo9i98DRiIk2uosoxMPNLMok/oSU/ICgkp8uQw3YlCvLaq+TAbjSr+jsZ ljt7YifPcdKuFooSUWmTFcXtJu1Rp62veTs5TJfP/+kAImMjwuHvh0bkyN5hZPdDAxtv1QsEEjiO ms8vyK50Nzo5oDRQUkp9sHrdM6oNoeXog8MiuKgXKafejDA0HZXJyqjDl5YZiUzC+5qbs5KaaLsw gsxUwYpOFF7u6EjInvCnVMFr1yRiEX0vhHKLnF5StEyveGd750gsnL2cWu+gDsW5bvtQQgg+QOA7 +o0uEp8Oyzy1Swj4vAZXGXPFY6pXX1kJR7RpNam8RUYhjlDO1Wtola8zxjANNI52CS7KpHV2vaBp nxvRtTCFHKpMQiDXnf1oHv0aWGVXJmRab4Mqo3Cn7qaShqdfJilDh1ct+s2ViOyekHj8i/PbRDMk 5+iatoPV2ChWajOXqQ/NRC4/V1MwQ1Zq72uuO94THeIZeVNhYIJgJFR7o/QP7r7My0+uGIQUf14D qvM/h5Zf4hRx0BSVBo1o/OO5zz+v0VRGyarbFDEawDVaKDmPr3yNpc5DnVYP0KO0DVdUOMa111sZ dpaf9N9UYl4jKSwineR7CEfM0RfROdwmzlxut41lyouRfu6ojP9At8eCTtlDvsZRGapBmJq5mCNz UM04FWCaOVm7fV6DKMyvYY63wUrP5GQaIWOGXkMoLKImm7Xh+guNJhYiR9D1U2YYy0t6rwFUzso5 IUNY9x19hs4vQMEhyS/ksiSztwCunCDP42C0GaI1/Oc1fMriNaA8KHUmvLX8yuAoL1NtZKmf1+gp P2KE+8aZADXZFL3GTngI6mZMLnyKZjWoMRRhl00RXafk7RssoqG+O7laPnrN84QnMC9HRnySvHNI sdYJHBnQc1B0Pcs0X+YpReNeAyecRawbpExJgZSLl1rYY7Qju31ewyY83ZLPkcCj5zCq0w+j16gJ 23Ox70BEpZpZrV/R3VquzhDDHBqtBZNE8ihKnNb/6w+duRCul2hI1weqeUvwRuovoECBP/Ji0JKs WINJWoyYKN2AAVGkVMAnyv6sCo9zsb3GS1gU9XuzwYADZXubpNdoKRuB4oSlVw68OaG5tk1Hb64k WKKYp0o0Sc1UwCWRytFuf6jznMNiNcmgnUtfPkZtkpxOOgo6777dmNq9RkrYbhQ7Qb+HA3IkHkO7 d/ozwBWr9s9roITz7F5r1PYCtn9TfZCq0dGZXRl0p+apCmj/dmZDBd6hsrVYqvIaIuHMFoSyZbM2 zJcscm6vzRYYaptnyaD7PlSvw/RUIk9YirTom4JVrxESfgx2+hpzZijl1h/1YE78fH5ehs44ST+v ERLfc9gs8e0oBTX9Znuta55Zaqw9DQpCWvN4dm8e136bx6u7pF5wbic1RM9s9jveCvDI0vBCjlIk YYSwu+EgI4qj4e8zODE1/T6vNU38lahunwMCclUYshJYCFxPQtZ7hjpqMEfdeuy2knA44pVskl6r mVy+dJmZt8Neuq2fioTiwhHQbJd+RxQhScvrxCDrjj5J6FtO/FnAGOa1JJieKtF7HcaSDb+HI/Io SvxaycSPsVTxzZKsMhM6ioh2prz95B6f1zJmzte6XpOUyySddPn99s76w47bojr0yzrqw+qYkCxz ON6J7rYTJKN5kh9P22LgcrQgAGzbs24YSVbgqUaTFgXkapFtDzDRHgsjJbzv4TZuCRYFCotzo5jE Go5OKIn+mEbcO+eP4Vz+milg3HjG2TxFAbekAjK/gOzIUYdrxC1JeVAvHZqDxjn6O+KeRS1jjjZP A4I2DfKGZ55qX8yiSXRgpoeSQpNRQZV489ArBwc4KX99pOqDOgkJUndMS85Z93ln/OEA3+sr6AYi eDU2XQQrja45rIJJhKW23dzFCLcIjv1sqkzxhC4L5mmrlzeqQmqONk9nQRU1KGmwdu66rdytU6+6 ErL0dFyGcMPBNHWElbg0hw+ihYB82SSstPl4EDKN7xMcbqmr3xP8neyHkInJyVScxGka06epjSvN yGaM4X+CM5yIcjbRyx39pjtn2/ksLqdz2IJdyy7epv8oDV9Ih3atz1T1yu/brpMP2dLePqgkdwKN Semcc32g0RIdUHeeWGVBkamS7a56TbCcaDd8kt2+7jzl4qGlFhnFqnjoqMobzRNjy1rok2OjdVno uTqLnMZBQJqSH6rUDaQwQ+7ptlmmSpfR6ST4eWfLszd0sVQ0KbCul5ZeQjUumCcxpXg8UUQBciAz 32z3nemHv49d9EKFC+ns1uZM95pKcpxUvw2p9yj8shtWFWSYo3dZEvQwxQQ5d0wZFC4vEGygSRo+ ELJuRrTN9sbvM7W5kpZE0DgYUjHJogqg4fJ5p/khCkrra+Nlnnaj3cLJexwOFROpWO7rpLKzVy3T vk4q1HVQ5SQKxO0gXynVOzqiM4EyAcgVVPlg28L/JpNSjxTuB0bRbnacJZ4QBeKNGlVkMNugyTkb WvqigHR93il++XGntZwOtBHe5jZPUSjuasSmjA7mTHdURi/NtXFA97F5imLxvqwD1csdHXpeKppO k8IJMBSzrh3sM8/5II6tH+SFELbPO78P65YU276GDe7iOwo1nNDf7Hw8CDPn+IoLoNoP5TqfpvdY HLcU/Yvoaa7lBJVQA6/sdH1XyQxmETwKxgcl0CsDQB99250YFJkKakowBdnE+SMWxQvS76zVp6pL KeJ3Zl++rRWJXHPwQlOthJ2cGyh/3ol9CJ9uWCB8MDAiohRxnqJwnKUhIAoct1KbEW0hbj0N5AOL PS9YhvE46/81SZyxpkecEVjwAfc/+jwlFkUFz0ctcJKNmy/rA8mB3jiapwpiGdpxNnCe4MqixK4S iBEF5MIKevh0dszJ7m656Z3Qh7igM1UZ13m1dJe2BrzHrbPpeKOiZRiOW2EXIhU+evgE8bkNkRpK nS3pOJXM3PH8ongSEFQvOAHN8Hmn82XX2K0yduCgMLPiXLMUJn/e2Xz/J7truJ0yfmKbqCgeR8aN s3s4qRZpqrVTMuM2TtTYfjy9k/kY/wna0wxs3i7YHBAnFJrZI0CxcDbvPeHboX33Q7X+q6E39MpB gkc7BxIQqY8O5gDzuozDqpr1xWyfdzZfNoTOV02l/uz5rKmoED4plz4J0jZYcHKXWkkVCRach1We 3rl8+RKuAHm6o60p2B1D/ZbOFxsiSEsiZwPHaqdSCdgUjqDWmnqPyWWdCnQrj3L5tnLHndC+CpeI EtDnnclnHZknNoDJS4eWhU3U30F5b9M3n2laUgFnXEjBLMknKgrKk2DTfZvJ2n5M1sBkR/+hU8cS xuUKysFswJqCXE9GXGASHNR1eSfxZUcDE+lkg+54xCCasjnr553Dlx+rTC0ocMQ2qko2T1FQTmMP kH1cCFyFeyJ6OkvOyoWJ2UWo+c7gyxek0gQh1+iH1Emq92giehSoXG05QCLm2eS1on5r9V65Yr0T +LgeKLTbuoJyNiyJMT9hLJEXYLV/3ul7uJrvGbXsjNp0UNA0hWVxZBxLqq0m1DVdL32pc8dU+NoY vZP3mAVZPVyROUfnw4y2zzKizOco9CcjYLow3xoETNMXy8/ypVcOQihkLROK8j6oDYDulhAqZ318 3rl7XPY3hBInq7gvFicqCsl1QCFM81JdsfYcrHmWTxSb7Zqo95A8u34sTdp89EYUhIhXmez3driT Uis8U3X/fAu0KXd6bNnpvfrO3ctiNQOFT142B04UDsI8pSO79ueduucNbgVRhJVjF499N14Yk1OB cdTuG2/mKywPrsolLDig9Z25xxUt6EA3jmN/OI4NlZZOvW343uKUkqUo0vU9UI0B9MIOcvT0+cbB PE35PDFGOFvhXA+ESZ9/QlcC33j+5s87by+b58CNNrEP+i5ehHqn7TFjImdoOxl0Ys/YzmvVi5pd xtg8oN6D8iTtDtBfpCHB0eepQUBnKXdpsFnTgkIx9ZwPgDa1x0CMqcDnnbXHbIv98S68U3e80zyR Z+NXnhnj48F6mt+5MKpgE0qGNk1RTI66a16sA7n+vvOFGtVIbN+xmcJpeo/Jn+JKYVNOo8XkGwRa UmgQk1ccvib0whM0yc73wneSvXG0nEhWF1GBg8EIznGcRK1e4/PO1kOoOur3NIGGvaofT+9cPawm XGOzSclahmtzePy0TGK+DWhdW5s8jsk5TeZpodGmKWdqmxJxD9mIYtY0mVuH8kyZkDBVVrB1Pu9U PZRuFYs3crA5KMVjh1/8l10+70w9v5W/MuH9Q89Cm6YYkkKAk1hBjMi3aRCf34dibepKIZzWNIUV cl53tVHdxEZN00l8wUCCDA5MQ04mJqWpM3WIgxmWIxRAt5J4gqI3DqaJqkm9MCHmoE1HrLX8eSYf DzZdml9nE7EEUEuwaYqi8cYzvJa0reHS3A8SKrpcYwCOF6hZcNO9c/SwGWggA/BAuaOdTQs510qM ClA8GFMYlgpj8AHwPc5GP8Lb0AsH+R3LTxv8JRs4S7C0m3I5POHX552e5znDg0xBkZVSA5qlKBRv FONqj0kBQmauoArLlmWzhG0iIPQ7My+7W18l391Hr/tC+IdivJ1KJKYLUaiBuQDIyVxIaiMQ+PF5 5+XdlKUKsXq2NuqJDMXP+2YuLTgOft5peb/qKvLkOb9Tu/Xxd1Yefxwq2XQLukGZ2/sJxZPPE7Cr Wk1RfTxRwLui8nnHe4S3liaMws7VNibtk7XiJrEE+IO2c4FQpeILR9NERh582Wyw6lPeSrwhIP15 p+PlfBUDLR5gdnBRBe9sPIvWcDRdavogAJFNzgZbcNH4YVVssxTVxhUH1PO264625/JJfCuwvcSC zZ2moD4ZN/FkJQqbx2EFw944aEqxGVylQVm9lXqib0jrKYLqfM3gortHk1qjA+IUnrC8E/Gy82G7 7zTUMqcrC8MVwcJL6ibrBA9L47LoW1Z8Wl/FJ+RfEOuUnejZ4ovY37OnWR5uCCVRBXOGZ7dXjop0 dFxd7CGM5T2EPTaUw1kywM/5HodjYXwXnzotuIu3Wt45eMQ7MenNF6WSqfLFIp0sBYhSgS6LwvB3 Ct6tZZ4ISfSyVVk9m9RUPH9CJaoT+W9ro5F6BsVvgnjPzqnSQbdiZtIr/4Wdq0VI1UKqlUqYCcQJ /PFn8j7vDLxf3TtmdmudGwRYR5upMBBv5uTrMUFyqbJzsCaPCc69nYyR/k7Ay6bEgURFpqscrdkC PzogCeTUB9vgJeYBX5d4XHrWOpYXNcXPO//unuPw8+GQcDBo653QSXyW83t/3vl37Gr51mNdAQKY NC3RREWhOPG8K1MGxYKnnRysOuzfIgXu5lv/Tr3D+2eZ8RSDh5XbleoggSzrBxdEB4mZXaLTSEZZ GBg6d8k0lYN35h1+WzqowKLbBzXAE/hSOtTn+rzz7vw9n1j8RBLnWPF5eqfdMS6wWDw57jl3o3Q2 AXOptDXRAyjEY8ZwlRZBw/uCVjySetbHW1UZD8q5hPwj+5Uju248Gja80+4IXiYsfJApXNGkkiIg ZGySIN9tft5Zd/kh/RiwBwJfG4U6m6gQr8LAING6g2p3EFmWpD46rNTK+EEj76zzigX1TrrDD2U4 laSznKPtvA4NU4htS3NybCFXMzgssDOEszF+WSs+EVv+eSfdPTtPErnFJXJRpDuxAfGGJ3v5vFPu rP33LCigJBfqfTZPYTg+pfqKuOh/xChjECBVQBr0ZfYRRrUFFRXHTQqqNZ3lHJ3wM4FHSeRsnmig L+qBEf9Ej0wsKMC/bnbX9crBWQ6ZyTlpyo7B5gkwmMK7vZ9/93nn2+VHHndL5Q5yrzPdBt47345t Hq4iMVWgcUfbH01Ur7vbzmvqTJ1L751ulx8hYZM+52gL6qwlSNvR7xiCbkl5S870Hq6glJVJEVMr jg+9chAckKNfpVhTXbGmwfdWAqQA2Hze6XYWW3zFmgDz0ypT8xQWx6l2/A3OpIeugaG36dWcBeEA qHey3VMtYHnER8/v0KvtZYJ3ADVTpCyYp426HhhjZvuhNFhFlXeuHZkH8kYh84CDcBgbZCLpLbf2 eafasQXxXVTJ6dx/a988+J1qxxvPnN7HBdR1p2fsbMr6Dc1va7a8M+2y2dyiETyf0eYJ5eraKkUf UkY6KTZeIl8cOlEI0G+NDmCIzzvPLsvXefFk8sFSlzqp+Q+Gxvi8s+ywge5BzrNMBp13mkLY+OC2 a9UjqCp/aIoH7+kNYYhD2jTFcJUu4FPfd7QEr5ZEGRECoCCCPuWeUqE1P1Gdzm09oivJ3jjCiTEu 2KX7oGlaJ6uWgAGom+8Eu199c1o5pQUs/p2mKCTPEndNza2OzzF+TVFYQVXrrnYLNN/JdTckrxQ/ 9NGm6STBC9bHtBmYBf1txRAZ8mi07sNd6DgxojPfuXVM0UwjavngjKgu2+PW5vy8U+sMnf8cTsBp 4xLzaYri8U6JhyEOC/nlZeucApyOC6sQTkevos5PilrBIkUtwQ85ejw+IIYAECQS4QStAqmOwUJG Nbr0aGkRoI3vCYhjBIl1WYn2ayVaECYY0TzvT4ui8d+w6Cp5gBtlhtF4I4h1PNUn2gmyqpJatgbn aHma7maLCuM6u1Er63f0swliAmPTYByyymR+4jgCMGZAIyHLArV8neEhWAWn7oJFpA86w89e3raa ysDjAbCgjO+YAHmOUcvJ+wnB4/RBqSr5SpttueMqpBltOSV4foj48x6No8+jBsKQFgZHK/mmk0Yj txc68+xgiYqUnjBP8F2fzFmWIoKsF/4DgnGWKhN9DsL0ICSTzd6JX/B4BIn+hhXghJmAv9kshYXx JMmefqtPs3u7vFEFQBjW4m2WHkFV6K8FuypVoTjaLJ27oFR6PgFNVQGsUwsU/e1Op2Wc714twALB 9wQpCzVca6p30DTBdpObBkgxPP5fSr6Iy3tbl0QWF8YpMNYuQWoKmzJZpGsPq2VZ/6BHhXFzYYex 6h09Y4G3KqSkmNqd+1p6uCxEAUSH6hNKUF4rEI0sisRZfQKLnBJ2jbYNTFkAsGJUe46+gucD1uZK 39sOuPmfuS+ip4fETVlVUPJBHantYLqTxVdXzAKHV7F4j2LxuY1M1tMdbapcvZwosZUa1tQPnSow vW2f4JphiYtfMAvuUSwONsFCj1v+J0i6q+hksBQgtgB8bHzAf2K4gi3Z811UEX8TexTTkx3Sk9oy 1v35e6ax7k4GXs3Uo8dQFT/J0x39JIfwVuGxfXIZxJymPQMpedx1dNPqVy2LN16PKuSLWJWhowoS ixJUOVtg1EkMxThfgeeDK+/yW1SsApt93KM8DsdVyLQtB10x1n6xpCYrHIT7ojQiJ4Eekzh11Zn5 1/4y/+oJxg6Zacs+6R0aepwopnmJQkhwD/FTqma9clAvcI4rTQuJ+hRIbLI38SORbTwf7b7/3Zka 9dLKelgkZ9M8FVr2FnaDrUoAwC/+du69NYnBwGn+D/y4xONsNODhBg5WdwspwYNdw/MhpOlzi1dg t64e6dQrRxUoIsdTkgWYCl9YUqBeUR0NUjYFHxDB6Zw6LU3us0A5XZqp95gcwgbs4aG8bK6OjSyN gmSgsxpFcwpIKrME1SO0iiHsu4mX90e8/OTAwGQMYVkxh5sZHlR4ccQgrigzeTsBUZZeOZgpcl4h 2+CD8E91V9FswTHD40HFoHlpRUxrSgTdFRVByCmqM7b7BCAqpBtFosItZW3xYTlv81XtEVqlq3+J ArVGqrZWCYOcX2JSNeHMd6uD7tUF+H5oziDNhAXygyE/04Uv+gtPR1VnG6yycgILipEA4YbHo/X0 u6Z5rinoP/pExWF5l1DktOJvUxGFX1eyGWcP6EXb1ouK5GqdvxbJoYy1C4C+0NRcEDQVV5G23X1w 11V3WchEauOLgmSYKymtuX1QkbyOQhs68IImHv8vTHPWjBZEuoxqHsXlyCaBXi0XyNq3h1IqI7Fo wARHXPOoSj7t1lt739FuvQlhyCTyK/zXF1o7VHYYEBBCNAdJIuO4MIjE9wQ1TSNQ1+6D41jPjmWn auWMx4PAfHggxfgLpgG7+3oaUWDeE7PhYkRO9NBa+XEXq20KIVjeBuwZYY3cRN1XHXd0KtDJ88Bg GzygTpyWuPFyo/tzYplbGq2SWwP4D1/0Fyl/2IAmFVOAcxkMgKNx4TdMVFgk/4VkpXFfvyThESLI M33UaIPE9XSSb294qgXO9QRZC5uoqEjOsgUhPUIbdApDDmQwY2JfAUnQUU2A9KEyGMZ6EJBBMOfe CqBW4WsCHGsTsqeqeFDdtbKc04qZZT//B48HxZXuy4nlT5glopNpsxRiVkh9bet6zbY+rfLb9mzG wDtpYDdY9IiicqEOay5NlV+iFzlLJ+M4eUsRAAqLqWRV0WulE9mZHfo3WlwA+j6+JhIvYFywmA2b VJGEXXciaLBB5HCE9fH6zXyFnuRKy2+7EUXk5B9CjtdFylvPXvddo2wvaOKq0lqKIvKp22436dhy 9Ig8jxOfI8Y4ETmQv41lqrypssO+FP5Cky6a5N+NqD6eaUQ4Gh0VBuIlNg8q5DJl5wltPTz/r9XE tX5+nkltZM1TGJDT3a7XK0XXR3aCS2qmU94GXEJtnqKAfA1z4RV6fK6LHgeJs+PQI58TfJchh70N Y7mT15ztwxKUaPmULxghdlx138EokwNjAqj+NSmHnNgCj0fInvV11SETptOpzVIIHSey5/zyV1VF 4qJcTTTzlIRRLX7TRdDxIvuEPSVfwNFW0z4BCvp540cTtpvZ7zGcGmzHPcBxdNDwNX807xbCSQ5L TAR0h4Gzwvc1nExhJL6+RYygWDJwddosRdVx+RXnaUVMcIOvFFatTpYCH9P3XFQdn3Lz2gKjYCQL kHvuRH8n4aUXfaIkr2KHDAw368yZ2rI2TUi+8D1R3MTIclDjYZIaySgAlFeROU/M1vF81Lv7pauy USRsDuwZcSTOhl3fxfbcaMWZUjA1tT23UUZntWCEkbi5Wiob0WjNYCytldBWAGUNKJ8mdEEmWRFy Qq3MRwyDAeYII3FI9GHyUYKabAMmURHgn8YVgaWFDwjKBfXG4nzu3LF77jtVcSzO+VlX/HGqLk7x R7quoF4A3w4rrIywRJ5NqmeMO3rf/Gy0c1OQbg5aQumaqo2LPYsXttdjnaBzPKyRV6o+IUiB0wRg i7zkYOhbeUKNnQueD2bqBpmcKYqLQnbfpmqGVfJGD/pSlk1VZzBMrb7EoM2qdYyeOj8pSltEeZUO cr023h0Gv8yGVIOSrJ7w49QeJleW8qvWwiNFeIaQFbkUD7aohpuMVijgMpEApbPi8X81XagccgJx sA99mqJonK6XkxtD2V2ieRGhPZCNU3bXO204OU1hmdx0MYd6Uxy9AjWBUwFVBgpZE8Y/01B1WFkQ KEHj56bBdEyYfzI6zxZgAMVBaTCqg5IhP4kEHv/XISWbk4ZWp2ctMwrG5TxdZnb0ITrcN4ByjSz4 uFlgMGM6Z1bR1xRW9qOwckLms9kSnSXOwdckqoKzHOFmo/UNFXsM2ZPtlf+qk4Ns54OOmj0lL42l VfH4f2Ep4pDesLaweYrC8Ywcf8roVpC6K7gG0UdvCe/tl94Mi+TLMIdKgjk6YIUGN6Aen3/Zx1gs 3YCLhaUBNzrCfq6P7NIb/3FALf5XHFwBaluZAcSeiccjIVHfdjzXyOvZ9ZZ+ZxSRo+kBYZVtVGrI GS1bUJM6L6IB1W08xRmKHFYZKwknqtGbwpWO1JkxFMSyknxRO0wBUZM4f1NuN9aklSa+KNh41Plp i9JGHKysAu952Qf1iseDdmf7jqLQxRQqUvMURuRTVLLmmUsu3ktAHdXmqZ+I2SjnM0KsmI2z+bxp tHk66TuU0xPP8bFyq+QBZfB4EDGen3Q/sWa1Fw6OcdFdF7G/fRk9BEyKStre+VHHwOORstF38Qm6 iEAk+yxFgJXBbdfWdEGMVh7ASi3mE4RLxVShZ1QfX+qHn0hy3dGOp7ZoZ0eEJpT2Oks5Z9tlASFg 0U2dLGHtyaGeUUiehgRFu2BQbKzw2TJLlfDa4GtGAE0/nQTiQU2mXdLrDLmcXfO0vfjUKfih/G4m jzUHSEpaTaHWoQQMZpaINkfP76CRDGEs1uhyP0EUj/EBUAD6BrScf9oI9sZ/scoKKhk2qFwAEKIE Mdbm4xFO7J7iNIw9f+IENM7mKYKsSDd7pqsABby34+kksEKd/+SmZjOKyc12appJEMfbmAKavbE6 DvWJTm4XxI+FDgZ6HFVlO8cHwfYziskb+y1dwkad0YiEajtkBLDVwRPC8xEE6vu+g/5r31cRY4Zs TsTGY+7mAlAlteoQKJURyMq/EPIZK6wIjKG2i0anTDV4iSN7hFMLaGyiKdLAc5F7vp4uwlhFb/xX FwF8fB8EWgExl7cVojI8/l+wPUg/0KW2aVpRNE644klH01XDoEOdOsLJHCshROMGSivCrAyzHy6C Gyx5ZKn+1BvVISnECvW+TGA0ik7oCQGlsRyzYtoFK8SskAM0GtGHHIzMCZiMtacqHo9kjb57LWC/ rXarTyssjRNhIPuA/2HDE+GU3XWyMmPOAgFHzVIYjAutg07dHe0UR1tzVwD4IcNQQQkWg3qQkXlO EioXmO8wq08rLIw3HOLwy/6hH9e5p7jnTipbJCMMM0w8H+y5i1lhixOc1wd2uEKNcWFWqJuqxUSP Y1GDq7FeGDr5YgoxKyLkfwHFuKjpQbIB04cWM6xt8shLYdZJlUGmxKlG52mPnNZPw/dEVToWM1Fa s0HQnhOc9SSg2OLj/xLRFvbuzFC5Nd8VheJd5sxyo2Q/auanH9W8HzVAktM0hdhxibCy0OyjN1rg sAM8IZ3XYKa2FBOkTYWFJvKExwTJ3jjiIlD1WKJGE37ooro2aN4w0z/He8Hz0dmUvnZdxkZdRIlq okK5cRagUh7JSgWpZuHtz2KiIa1sA/MyZtmK6ZzK6Zr4Uhyd3rLnhgsUBR7OOZeSWua80iGQBasW VFUc2DP1ykGlTkzOIXMpxB5i/7STRGz57xHmjU+IAqhvQVYgfgljt6mKonG+NObHqyqpDGvenYu3 uREeZVo1VaG6ijm1tJ7vaDiMc090tZHOUZg3dNck1jMEVUHovev1OqWjB74oQvZQm72Qj8BBFfJz a9PbCVkL3zPCq/xSf1okuHi5YEUV8ixxduVxU/ZbNk/wZlGxBTVNL9StMB5XP67LXFKjLakEc4JE qZfzU584skj9iUVBoEzOHQ5shaEw8rBXjsRVsJaAJtVgeXCjhTyP8pQaHg/mKbevoxwLf4+bt6yQ 1NkJzVxGQqAQsmPqhEQiuGALAIV5ChHkWkd9qJPA0QqaJ4c5/6+xL5VZNhFhKpFrUpBhFngNOFoF qwJfFCUuZGw0MvDAEJWXDWh+pDpDJKt+VhSQrwshFxem/mz8K5unmNKZ2UuwugAk2l2D5ryCqY82 eIw1Ex+P0So8w1uVaA9Hm6eFZsQgfevETtBXWYY9rNRoR85Knyx2gwu4U3zlCEMuweiyfVBtbhRT RYaRNB6PwAW/hEYpGpOR9dlMxZxOud0lV6Hpu7q9xmhmlgDdtW5KDyuWIDdqmTp4HH3nAT/JSinI D8jL5CW1CQMs8GQGkerCyElKWFFEru4Ua7A2qKC5T7yspufZCng8iA72L5xYEdHEb70dheS0D8Ed 4SH5+f23hQeTdtcKD5o7teyQ1KktB/fmO3qweT4IesrUf4IU1BZlqpKjnpkLQ4HKSiuLFfIdBeUt s5QJLvGiAaiQAtDy6AzKR518PCjV9XvlWcsd2bh3O3dM6mS46VkKtmF1o42t/oKtKBMh37HEigmI SniNo7OE4dgyE7qK59+e4DbLaUP7tOFUbE+lrhCNhS8KalDUw4DLmQ9qJdDF44q17/ewPKmUf8+o QtJU9exlh1ByelXTzl07DzQU23lJZzlqBsA32zzFYbmVyA1RR61gxZsnyDgHFAJS9M5PaCDxf7Sg EeypBnVDA9of43uCaSLdfGZOEweti4Q8kjixnQYe/yen84fsmBOWtztNUVjOzptEUjVNWzp+gzoG XjEYvbi5zQ4BK0WllZmf8bKBUj739aZMVoJ1JfnEuUIBLxM2jsTvHuXgLuGLAtaUsfNNT8ztbTJ3 LNOXjeUUQVZazl9HOZjN68nydggil2R0v8CePh5djPXoYnQ/yHdM6VSWlyUNwtFKBkhdwTUvCg12 k4duIWgQdjSgC95KHfJyfE2QvbB7XuEsgAHwtaXlhISJnc7zY+P5f6HE5PR6Pq3la7gR6h1OCkDN 5MsJBKkfsyXJZmnaYOvmy+lvlZUTewu5wtGn6WwkIMWnkmHUIWXICZ/jRQWP/CgYAJ2Ar4m8kohY EUqMgzZdQbFBfLG08Pi/RPykxrposeNhwY4FyLmE2PiS40brblyaVXCpLJAXI5ftUO1wmQNQfUY7 xCdM+jLo4GwjAGYocCaUSVE/P4cT6o9IyjYsT/XGkU4WFT9G3z5onoDNNOOkwtf8V36nmh4opZeq uMN4PCvObM6aynKXBGtKAFHTs89GVdwhZsV4wbJ31WirCbWsk8jQv23DFaZp5Rmyhyo2DDMpMko3 aHxPEGZmhpmV9XEONk3lTJMcWxMfjwp13yUDuCSuNm/sFIXja0naaLp/y8hX2qjSMFzkMtQiNU1x OC6FFZMKW3Lm0Rl+XqT3KsNgVFlc2gi3+2D9Fs1+A6wQYr9DfZUpf5vZfRDvFcYCXaDDOvB4UFhJ PktyJUnwlr2zFIXigmbOabY/Gdpf7piETMOOJvTebM9FaBWqaNAEMN3RC1B5lEwaEI6mAaECzmRG rXnA1AOeG25sTlnYz47VVaiCkdlK4KBSL8yFjA80x2dHtXFqM9xpYn0QVBmbJ0GE3iMnpr5sDxoN iHL2mwqjY3m1gEUiGlCnMBa3ckoRU1iariqrQPJiTBOtPcHqkiAixVbgmwTWM5Vrpwxc1v9n7EqT nNeR43+dpWMC+3L/ixmZWQWqPaz+xhNhPI8fJXYJBGvJxW86sHMjGrpkvvWQxfxUqRwOZPScBEBH efMjIixr+UoM2Nmn9oTHK+Z2Un+NoyRwNyYyGlESgMZIBlrBqNjk/1OYknuRp5STqxd5HYSjSSre KYKAAFY5vHDowUD6p8D35eqQL7vpCI5hRCDyp1BrVXUAYI7GjnDfdFCKsvJ9eS6MbaFs/LwZgjAd 7+kbhy8yBybinlRlNu1SKTZWOJ80brhCJLl1DYa8WpqjSjpVA08FmWiDV4nWI0Y6LXqHQbbwBySi q4nM7gG+6S8ZkdyXzOAhJq6MvOH9gQcTimj8gCCd+iXbDjU2+KXfYEXpOS9bhSxldu6K7DnRuatk rOBZnMB6ebBCg06W4gVo/bs61QyDqgFlBnCE9jl9l1qcdJnrAF5VPA9e7sk0IYUuQdpUQ6S8YcMe ONDQeZB+HIPXR+zF8ZUs0Iu33KFeTlGKnshQ6KT9qXsnhT9i7Pr0bvDqtXqsQqMggVc6Ou++elsK sh+LqNvUoP82yKU7CdYkJRYnO5ylrZbheclvigxLmHhOtju5GGK69E3gATgivP5/sQMAdPQHVJNn Z4W9c/YRzv9DjWDS3030CI7wpuUD3bHip3zcPBc4oxr4oD6nFpKrc/OSGwM30rjWhQ1aqN3+QKTN 9DJgL2w3/Rcokc69tmhnVUByqhp6uj4yEf6FIsMvBjO6G6xYgQVz9V2YS9HEhGY+Ej6iGTkVoiic Y8GKEnZK5MJk2fiM6w7WQRCpsNqtJKaDg8H+XSKAK6McN0kf8zTLzW46yLKEIesESGUnwANmNyRc c7KIzOv/xUznAYcD9oe5jkcrFGKhN2UnEsCkM4bpjnUgLs8/UqAt7+kPYthH3zLmkFC7Vju0UACc kNFfqaNt4T2qhM7PgMAsGu9+aJXa7KYjcjqMKRfqJy7FUvAOLW9+5bmI1/9LKYo6r2wogqvnwQqT 984pu6sdQfVvLIds7O6esBtu0Ga+GOmxFAVrLDNZWtdkCQ65mBiqUQUvCrlTnDxrSnybyNcL2lhy o0qhVPmgD1wlNJ+LsHenBKhCbYBLjOuD57BdSXc2YVhxQeLZoxX2051P7ACXmre3q2YzPWU4MZUb rbChvkxCpM67WrQqHNwhQ4EHsQ84UgkhjLx9s1ff8dgYXQ+5OL8oMschBE8nPRcFa5+zW2ZLI09e H9XO30jFAXOQfYvCHLp6SlzrvLssVGPezh4MOrzHkAnKkFtliHIxQIJJle5+WerwLFyQb2PBc94V K+nfgYgbFG+Lz5GVlbZu9xxxrymgvAnkqNvm1SfqZVCyHxL4k9f/LwIRANPvB4aXQ2NP+thP6HTc KU1yT4Vc9zVApeaxQhVBXZJoe0miGlotVOvsnPNXbDYazkM+jPUAaRM4eOGImVf/j3jF/IexZyHI pd+FkTp/QqJUKBiLldcH6Xv5bshgOEsLe49UmLxXIlx28yL6lLwiWGHmwWEpxFILkyK+CENvTxlR nlfqelaronfBiAEaLFCPKtUsBoHBK1C+ELL4hqo0u+cIFsROaBNIgUr+7DYUdA1oGX/etbw+qqMv 3oVvPGBd1tcDGKklUtTY+8RqyxRv8hWx9wp3Vcq+q8LcfUmIrGl31XZHEAt+Lucw5wOIyrOaaQAg Q+e/IlD+2p0BiKVbjlQicEZBvMQX7qrzc26Nbc7LtPL6/+X5g//lTI/BZ+jwSYTllCLRfwyaZw2s U4I00+YeaXrXOAcWn1f6dotIpdWevwFHi44+MkToysoiOhYAF34oYADpdG9h9dHtniMgB7IrOtsu CepqV50MoRQBFMbm9cFEeX7Xz+28gPtXbhVYfBr4aa5kVGJ0sYo/gCC2+AMI+pU9gHHaTp2tVHe5 q4eKeMUhuNkCOlJd+ARS+xRCaZeLo+rT7jk6q5ZkAKcvQi9uWt0zy1qF10eTrW/AGQTXWnsyq9Dl cxKFPrJXOOhhOueK3ROrnSHmpzQ0tPk0rQjgHu/qSTt6MYge0tDzJ5mn0EnaK7n9gF6UPG/Lb/lN /+E4uAoaZ7aoHuxQIVT7b+pOg3P9muVUAWbWtxJnDq0+pRU8evGuzGP12cvOroOQ8zbd9xx4feY7 L4Uvma9ePI9+UgBh9oGsbpmYFxztZnLNBNjN0vHu1jdFSghEcyyxspfbM+6Te0zjqKXK6yN2wy/0 MPi4vTzPYJiyU8xpjOsRJ3K+ZEtbNnrDKVF69liFePTkuJdyV4vVAPaFmATiqeCqIBESDDlzBde+ LurgCifUm9104CYg0GdL1RedOwMKu8KYo9EQeH4inbyNBom7nM95cB05Nv1ku6/v7STRUTmH58bi RFweskD32FP4DwhMN5Bef0B6KNIS7FILM3bQg7dsrhuDBRHcAgWrS8KadtORzKv6fCJjdZ8Knuxh 8SWFjbV5fVDflG9WX65CrF6l1xx6f3Yi0BrploxW67t5D6slo9SOOShF3fVRf1L+gYm9qzP7TsID oD4fQ+jhTkHQIN0D683M/72fmVezm/5r1IxaUovIM/gjOiUefuBxyOujrswdoqoHzTPrbq3Q/3No 7tUgokJ9804nIOl2E16j0hmCrNpaoQGoVThDHRetFwtzzvvdxZ+BfZWUOU69ikQrE42G3e3KbhwQ 5tABFNXiqZk7QQyt2/AfPMhch6UCk9cHzdHiBzyxWOf18u2hHhuA4g+D/7g9hnAFdK52pu0Rhzp5 Z6PQ5MAB9AsDKrJ2/yJrnwcEvzWZa0iwVtLLcOE1iO11bg5lvjdHa7KbjoBDHKMubiwofP1wVNiA bdzMHGDaww+IoEPfUjcdtQhe0jdaodA5mzJVelJoji6Jmi6ZxVQDglaMtW1nhWh1I9Zm1cVc/THM 0KWG5AOp7akmSi4nOhdk7sFKuLrehqn6TUdQBp5WpvRW2YHUiGKxy/tzvlB3GlGO/DEUEBkuBTCR 8WCF2Ttm+yuJkiXyT7Psve5BaAyqZ8hyWPYeeIHiTxA2ZlujYT+NhlP4QxmJA50EzPpUhp8g62Ku TV9td9oM5NgMNIs1SnXThrq+S4NjnihTMeGkppMfEAkBtP+XOswHbZVDP9BGHYDBx97wMcW7fZWt IeFjoG1ksQolXfRSGc1R/o+/LJoMhJQTlpaxs4hzBJv7ZxPf0NfDqlFTJjQENaGpzoOLi0FkaE34 Q52Jxuv/RWVbssDaAH/cXkNoCQp/XMxV1/R91cbVzN3NuzJoQHmsovx9ZME/MnENWr0rk1KHninq 5wX+3zY2G1Rv4KGL0vBKTq1ptxzBPxCqNZmQcrH+FcqBqVBtXh9l7+k7ycIIlLMYD1WUvdMbcBba cqiAbrs4UGax6iFQZt4mcuAKehUpdzKVl3RP9zFAsp2ysDj/00wwAeBjctwXe0N3mJMtWCFWhnhH enlyKWpFddQr3FenTtCdRhX09WYgaWQwY3jOq1AAnYZEkvtQD4tlEUtoAulVQieNeLo+6m9Hompe V/UiQU5NAL94KNCh21d221tUpAqZbsguAPdvGRb96/k9wYuQDRkoo/nCfQVC0JLF83nMeX1wWq2r BytZADwyCZ/qwYrS94RDewr5rX4DuAlqYs22HdCHQYwH629KacEc5a6WN3Q6EMJXFo5QSLK1swAW xc5CNoVkgCQS0JGK3XQE9mdyNcSNQNOLO2tAfnTIeaF2Xh+1sTxcUlwARTpdbcocuoROnlgqRHW6 U3ZEQKwx88VmZ8Mc59gm1CWo5rM6YA2gNJjyUm8CZhdLXQnU+QAk/7Q0L0qUmOMc2oSqN/NfJTRk 1jUkVMc98AnFzOnm7pUPId1k7okVOoWS9DLlxKgTK1eH9oFlZSfWkvZp10e9P4RL+kprKy/l6ifW qdHZtqIeVWozDwPU8teUrMK1IZDZVQ68QoXcpX519UV9rPMiLGalNjevj8xCfxkRQGB/PI9g7BZK wwbIBFi7YbVL6u4ciMpDHBBSpe6hXajhGtowz/WxnkcQUsx66Z2Uap3Dm6zBtPjOpOp0wZvWxqld Q6/QMXRQ65s4Xyyoy6TJsYA1oWDe+Z35Af/UghPACAV9Xk/eEPqGdkLai4oaPpB1GfJ/YAJj8Upw obB4hcm7zvXGFMZWixfm1wUcKMRrpUk2D+IFYlKu9FHcwLSbLpWG9YF1qCjpmE5Ibh9B7oI2QFq6 y0YO/ZnAO9SGv196Jif8dT/Bis1D9/9jL38p7uetDlebRNRZsEJgu9Tz+szjrh6sAnMwTHFgfoVJ tEAzW6Ak4vwAQHZpKpwK/Ka/wGutcUwIXBHUi1k6QyRCst/nlcJP+Bc3F08dRXd6fg74KH3PhBYM SQ1O/aNX0U3yFJPupc24uTl0ER0GLZK+p9abvp8DsGdCI7vYH6RLpMVrCFtZtBE1Wd1lNx09iuQx TyK4uailfGrRzaEObK15fdR+/25mUbZyPKP60El0oNoGLPKKm+yrDpeyOfOgLJzJd1aEmbGG3xjz WS1WyBF7B+WfO+xEVaP6ShneTJVG4IQuBafbTUezQhsSMt8CjosHewOqX1YhIJbzA4Ji5wmWpvUT pO/nkA+F0gn0S6u023/3joOb+BK8hgPQohVn8OrPdBFyuN6Ow/nUMvQcbnjdskl4Ul3sQijq/HB+ 6L2sveymg+cwEWGkRJ6L7SyoFiktXZ3XR2np92CnnTNhQ1bbYxXKwXTpWeduaKy+UnKAcmIiCjTW YmNJT2GYwOuAPwfSs/rOmhiBZXlfTGi/Dh3wEIjO5/A5UUGi6Ggs9d9DT9G+JZnDxkNxchSyqynb ybbX4vURo9kTLdLpQXXBmOO2lENb0SocSFsOT66ZzHho6g0g0BUt4kAsWnEGz/bM+VfzXRWtU8Gc U4uG5YBzQ5KW/07KvG9YPSBIrlpVcvKb/ouumxt5FBnjbmnpQV96d8GT9+QHBOnD+HZaA6WKTTAL VugtSlWuCY3uW0hf16e+mnuOg1hoGXxgLgpdEPYailTztPrMHmbaGE5gZo9+05jGVaWHAUY0tCuQ h1hZdstRqATC4rPYahJTHvRig4UI3hCYi4qM8SWTqm7DfRWG5qIkYYCuYYUhOo5W66Ax6v5Yiyew IhWh3l3KUjLWWr07M9kZpcD8KQObOJUF2t8gnGBaUsH0U104lGQF7qLZ6sIKYCIWpOOmCZ7FxcCk YvMDglfhTRts0PgDwZEbqyiD548yV61e7Jz0ozj1pG7rkM46nMeUA4fRi1oD3vGuVuwg7YCjmMT4 VyZLlmL8GULKgOxRNk6gtVTsliM4JHHJlcJDBSIv5rR24qb+Azr8/IB/qcRkO9tnupa1ObAYBYGQ qcK6AL/uY1Z6XVryfnLh6g3S2GOUSkKVii++2raqO8FGOVHCqvS0t5gEBfoqQOH+IK4ufpKS3XKk E0NOXOXMkIv6o+ewImvlB+LtvP5f3DiNzfBjPTyKwGQUzgWgtIz+JUXoBg9tybS9E8DdjDGfY5fR 4RDIclcHcAPfPIG2wmAVdtGWX0FQABTxH/aPXXioVrvnP0RAzzNN5SEuCtX5VxNFregLmUOf0VnX V8KAEQek42+oQlbqIC2nTwc3ZM3BMFppErWiXw+UXfQKDIxGk8bZpdSlNmmVSJmQIB1EKcpOnWyx rARlOiRX6EPBl/d8OJqUjppRkRNajTaOc5IKQ5JR1Y/BBy72+iFwxA94P9jp13EhfoNgyGecE7iN 4hVIQPJcVwSFf6NUnceyTPQkR8mnqoHdaKaCNzPQuu9qwTrP9fm/TglCOtNE9UXNCuo/nVMF3eBd noF98ZuOMlHsqKT9dZYsGZSUQNKnfmNGtyF0HC2zfT2DmRaxaT8go9B01Fp+Gugwb6+WrJ/zqvtT CHSZz1UD11FIBmr4RYsbW+1oh2jxCebObPllMJCKXoMkJKFMIVPLFIt3tnuOhl9svLfafBF29Lxt a1JBCGJA4Dv6jR0lHgtEQfj3eLA+r8lVQazw7ktrXrmmNY3MNM7ZnmwGDalhxeo1tSpXFXR1F+W9 0IYBZYwNMwDyviq0x5kwMHh8EwI77dZ+9I5+TazKo+y8si9qjYLkb4fVwtUvQSpQ4sWO4sSbecWC kF3lf3F+myBCi9rTQ+BQCjN0Y+IALGMu9+DGVe/GBBGyTH0OOYNwfabOdDNQL2adGm+QkgOHdXj2 wNoCkwn5YlBe/POaUBWI/VKyolFdlotCdD5XDNV68v3PazZVLC1iRHgW4TUKFoZC9JpLlUytMbxn VrcHrparSjjkQjqYoXs39DWTwiYazMtzk0QNV99Ep5g7B2+XwAAFK5yXOQmz/YFXliWd8od8zaMK kERIoHYib56LesZ4mZJWA9Wsz2sSVTTIUIQELj2nNzqAitBrCoXHjPOtxn/JPGh6MY7EGtn9MEh4 YIReE6gCxqDpEer45uoIbaDFOrSpMAo8b+8imEOCFMOEbCO0ECxCbAR+XtOnInoDDHqmufue96GU Uidd3vB95+3wec2e8NdSRsdDhDwT4GoL0WvuhOeMrk+pDBeUPdf5VGuIMU81D+jxyNw32ERDLK6W 5rN6Q/08QecvoP4ndGiG/CBSo/BHhy4MCavOQKX+wmviVATPRIslbV/UaanLOMKcwb+mTby6fsfo HK8bQkuK0WvShKdzy92huuLJ6Gs7VKEPH2bR01aWtdGDJu3mXne9qxNtTnLd0ZBC12C23I0EDhIU zGpPPtZKvUC0JCvWIEaLxmHnKqLRKkDqEqY4z2+jxgT4+Z/XdImPTbEg8WzvEEfevpFekyWc8pmt qHy9RZtEBej31LrpewHm7K4qQZBkxQcZ8HpXR77kBJgTuVvIOAu18Avqu0m5EYCFAOewp42V3Wui hKeNgsQLfhpAKOAnkQUrLODZ6zxZWv+85knF8yTfSfAEo8magvSaI+HIbpz11Wv3VIZre0HtsDte tvhA5jVDwk6S7yq0ge/qKD3g5zYhliciGGQleRvyyawYRzU2Ii35pmLVa4JUvKIDg4bzY4Sb3QGc 2lu+bgAkfV4TJN7nr3c/ODoYFClKr13NYtrN53kbLhVHYKMNj1136Lz7Iekvqbjg2E41WRXX7mpR gvdFTZ0gKuhdL8KLCjp20GhEYd/gTWeYYgohfl47mojSpqMD6F1c6o/ljtDS5h+eIY8axIjTIoaE OnHIVyh5ryC9NjOxfRHZOfc9uKHnpiBBjMvxU2041uy1lVkuLK/ZluLqQUoQ8srso5x8ci/xm1Mn hK/Xkye02vOF5VGU+LWRiR9jqd8rDY9poLxWT6I9JEl8CunPaxezeBPFM6RcJlml2x+4d+4f3tzZ RgjexuzLHr6Tm3HoK0WYNrw5F6XaRsAd00Yv8+k3EShcE3204Yw1jYC7wOqG4OeAV9NjYqR69z3b xjPHHLLUu6jhCxoxCyGKxL0z//DIze9XHFQLJypxi1OUbycRj+gY/x+B+k3tmj0Ux01BqcWJR2HC LZNMkp5ttTi1gUJ3U1j+VO99U9Ci4D+L47yTr8qpoEq8eeiWgwOch9IYRASNYRStdl4SpWjEfr7q 8877K/Lgth2FCBP30c5/Z4F6z7qB8aSE3mrDnrvzTxaokzDdw6nTTFUAsyBQBq9bpt3B1RuYZ7v0 3SlDAXmYLlRnpquo3GeQB/nAZdgdv8epM61MFGnUopYtyCFSiaublwcpE31jb+aNG8AxYGGKUu+q 44mySf/RSbWccwv9M4dqkCEvAFBwiPfmNsfjrp40QbgeGkxkHJ0zWgf9eRy7jgfAhtdlZ1Fg4PNO +bMH/OTdaLvYopbcycXU0K4FQNgw/R5+QvG1iL7ERgZmgXpPwHH8FsKjruzgnLM+yWV3HCzbwWrz RoFSGdfKflYFCiCVjXknh0UAkJgCP/ubi8xwySlozjLVuwzPJz13wkt1iFOpa3LOxCVAy3mBfd75 fpZ4WaDYNcEbd5bsWcE74a84f6Y0kxhkG9OEBxtM5x0oVZJjyt7zcLwUaOmAnthdLcWEPvp5RCAw mM49wVSU0KhNSwe8nEuiCaQIt3R6eqf74Qkn9GfvfheBFc9jxx+Z6nqfd7ZfQRvsO8XMmK/AcdPj FGbiQ+ovj2/fHaCDwnF7vNMFZN/Jfl9HufsczouARSsAFDm+8ir4GtKgh7pX/tmcycKezvyOs1QU olRcw81iKl/N0JB1nOd2uyzq/rwz/YrLAnhuwOkD4KgWpigXZ6J19n7x7q6oYMIZ9H3pWA84KkrG vcX0Ys0zwVtrRl07ceqVpW+mmMyuNC9LfpBD0Vl3/B4mgD0wLPDFTuQJHrgyqNR5eZBn/qpYgA3b 54DyML0n4w6LwkjOwStrXfAKDeQFXqnDIQZRNi7sTkXWfVcbAydUXei0s6t7dlaWm23DeTJY5bX6 dHWpRvzO72OqyClU5jSYy200aWAOPNfnnd7HIn18P3V4/Q00gy1OUT7Ozj6sdm83bjjIpw2CIhEn 4lRtO8UJucblee672jmeICi6oFEGNjImbLLYrlAjVKsA/ryG0Z9Sp4oSciQrIFOeDWML4wTw52zm Q7c+78y+4mSG6e3U89B2KGlazzLKx3mmAXjhPnSVjSJWwNcSg+AG63y/s/oQJrW8p7E+5sP6GJWO Npi3w7X+1HQGz+/wwzi/KHCJIF94wwlcsc87qY95GrvftRVflGeeoG/Zq53f6PPO6fO64Wk5obzM OFgtUFFCnuW+SilqYTcFcOU4hSNCBmqCbKRAvSfktjOBq8vlrtaZgxpuBd4VCdTZmaVKWR4Qn/PI 4YisdFhzKb2hWw4qvEn3VZIgYcKKBr0mTqiqiTQ5YZ/t807p+683Hjo/e94W5jujj9OUTLjmcFjw lGgJfWf4NlG/AGBhheo9Jbf24Knteul3tZP81DFlFfqKQ+Z6SYKBpg6AxvVE1b47/13aU+85OXIo olsb508QcPoReWHVPivfsuNkm593Ol9xNzoPFB4WDGM8UGFSzolBHzdQ3a2zz1m+V7ce3RwOcX3n 8uH7JRgx5653dcwmiBg1URYOzKiiqUoCmwV7Cqo9eT5CHNtuOdhTW65Y7IlzUWpAuJA8jWb9vDP5 irkO3FYmhsUbcg0WpygnX5QAAGTPeyvZUMEGFFPTIFEpA5nmO42Ph5RR0oSu4+qHVFEKtahxdp4R SYTCR+W8mWlEjOmBNXxli/XO4uN+2CKzS213y0i7FWgHMVEcBcOVKCUf6/+fURsCMxamsC+OjtEC 8dBLl2ROfScd783h5Q06FQpT1Bjvw0CHgtP1fOF0bcGMLilM5923R0k2PEDPgACtCkLRPcuXbjlI oXIRlKD5wrMco9ZBsuMJ3fq8E/iY0HstLBppxVv3OcvfM3If9wKW5PupuwAVeMbbAlVwZOq5e8/I bzFMjwVfneGR2oAuF1PNAsMW/ruwfsT5j+K37PS4ZdJ99Z2/x5lgEvx++MJAnRwkM//DJtmfd/oe /uL86yDfqFz2PZ/ClNzj5M/drM2bBqB1+qAFSGrmmu/cPabKojcKL63Vcs0CZZpF2aKzrSqgZkkV 3vl5TrY/MMevfo5T+/fzztxjmAiPrkVtGYA4TJyrD71n+9min3fmHs9Rr4X17wIHWW4SFTXIKX50 KuHbXCncvHzwetn3fKrOrnqn7bFzzVw87TTvanGCTds5rMlxrDBzwjOIOGFgvtHsBNTVeyv0nvy8 0/ZKdgcx2bZyUcsAFqX8M0/EeHmwndav0gXZOCRrLUxRSk5Fv/N3OG0Woi5GMQbFzi1nCgzsFab3 lDw7gO6kJ/uulpIDmHlOpdnJbgQQORvNnVozSaKCF71DtO87XY/biYO7zeNJDu6sVzBOTaJXr/F5 Z+sVExRmVNjQANB6opyxKXmYk+MFfU7a4vyElC9yZ3T37QO31fKnd67ebRhAI2nf1ZG+s9Mrk7qL YFFVIX0LTBMXabt5PI0VjLs/71w9G75hLEXZdC4q8fJWIw0qEuXzTtXjW/mCCXh36D1Bd8fiFKXk FLaEefNlCGXTITl1fenXcAbdZ8Up7pET3wtd07vaftooWaAzdn4+nB1oBPOob4DTkQSIXAA6LgQU FN1xECc69o3Rmi967E4+Lo8bsHw/7zQ9/MHpu/ULLQMKt1mYonScJMwJiJyNXNCTs+xpkrBK5Hgt Lrf4TtLD45AFGK86zbnadiJ9jo1kbCeosTcbY0E0Fs85lGr9EG9DNxwUeDiVUFtnXxilkzFNKuox //q88/N+neFM4RNsKLsnT+/cPERpT5GCXBOPxGFxr0mxVZQGXa6AhH6n5t1CuFb6q9tqmwn+zYAN V/ULTtIsdSUy4RetvLiRNEeY1e44qln4tJlsC1o/ZIedJBYceLLHzsHxeefl/VdjBcPDQfsLxSnK xaWz2Ll3ia5PwyAGVFhxHsLITuh/Z+Xhd+IOOQ9bn3f1hw5DFkhUQycQ8ipDMS1gkla4RRS2C/jM gcnEG/4rTD0zFe+O4D/vjLJ6UhI1yuedj/dfqTjyPcw2PErvqTheHDKDvjYq5w9w44vWrhplHu7x 9M7G88qSNsf9rt4bB/SvtiLs5amAiyQG6Zc1CVftJOMJWMCK5Z2Lh1cqpdGhE+eLplLzvCNY2LVT Zn/eqXjYFul3xTKgUHEfuigRJ1h0dq9NoNc3XXQkJ69YQOqz7tM7EY9dHSWYLKlt9UR8ofrZtMnM mOBqwAeYPU3okCRhPOYUT+IK33l43qWD0nr3xeKUJQIC4aP+eWfh+cZ4thPpojfBfOfgMXMiTqX1 a63W6vxxw2PDGTSQzazp+07B86YzbGbknp0oUvwzyWjJfCg2AapInFZSbzwB1JLRF6yUQvRupt3y X+C5Exdm4kAlGhF9wepd4LnMGw3edfMbPYcaZ6MRa4EKM3GaYfVp4gb07bupU97urQauiG2osDue lImX/az23CE3600wjJpJ0mCgKlufEGiGN9M9xmHDxy8Kzie2x+tQBwpEoWaFb1kCPZ+KltdHNfD3 k4d0C/NFD1SUi+NbTy7eivd9z2ORL1h1W98X2geeO0XtcbhRc8piFtr7Wmhj45zzdkr7DooT0kA9 /23m2XQyqFbqY4hFlYN35h1+W2qMtPwsfPIwrJD0HewBPu+8u2L6q8+ThxABm2ZwzDAXZ1rQVp6O e26jGu451ebGq1NjBOAx41xcLYIuXA9Xbz2dN8GCpqL649B9ZZw2ZFMzqg2Iz1zy66BzwzvtjuBl gsMmT3I4JvyoKY4BI09FWNh83ll3v6Z3nAajHATQyAMVAlYKgas7bZO8a3tL/a4CEk3NV8L3cdJi Q72T7vjClnP9Mgz9uhj6CZYHtF3kncLXhTg/QMGd/w0zWvyy1nwinv/zTrorbkOg3AuLvbtwBC56 eZxaa33eKXfsaXieif+gL47f0OMUZ+NFwg8y4sEcwd0tuuzipL0MrrQ2VNQc51kHYpj1MsvtZTYU tiCYsFeA+BPzDwAU5gkNbwy6Gd3qruuWg6Mc+fipGJct1cC66WRCEhTuuX7e+XbFhMefk1ztXk8N 3ul2zDPpkkmEFYXuNllDMraQZicevA4fV77y3tl25bLOtwFY9xeA9fwM0Iin2XjN9eSXBLVgfg7l BpALYZT19MaHbjlIDSr1ohKZL93N3xtao3rY0VL8vLPtCPP16o4PKbD89R7k72Q7JBSs7sauF9hD d0DhVWZxBifntQKMh71xq+qWenRcrboDPAwtPwjEc5owhSon2weEMbP/UBGspso71Y7NAoZJaoBc hMI4+b6y81Zb+7wz7TiB+IXCQN0D2rLHKWqOL3phwdDLAXVCrlIad5gr5EmhIJTFF9470Y77WXEq BF9q9Yy8j4oBKq3ozuHNswVNFRzkqwr+eu2ON14qn3eaHQkaiNMp0LsvVrj0Iu3+epLezzvJzuFs zzxYFp3eU3nn2BXXlzzvO88LTGqFYYIaiXpPp4y11vg7xa649w56QPuutp0Ir0qwmICKPrxWlUM0 8LeAoSXi9ybk2k5RZ7xvlcH9LgoTgCuSiwRz851fx8PtO0zAbc9xiSzv5DpcJY55uelTl/IKO+Nz OU0D4GMLU5yQs/VEdSxfrQqG/HGCvhLCBFMtY8FOWMGMRgEi2okKJUZ05ju1rkjAj8zW5Yu1MiEs qfN8zs87s65IavEry0zQsVn3oQvT8S3keLmCdnW5Jnxt1ASkoF2eZsrQQuh4ESdqEDyv1R46vAQm LEWlZ3dqvCofMbxCBuBCOT1SWmAG8HuCnCCxNTd5Rg13mTwP+QZqhO2VvHF5kGT2b7AvaEkozT1M YTJOrsaiYJ5A0ZTLZU8ljasn2Ygc4BkeNcaTXESz0PNafX5wDqeZqY9z3sjjHJxSQ6+wIhpEYoy9 Hmzm1h0HrzqeumlSxJ2LznAI5hkDsQxcHuAK8ncjEwQjONE77SfKxTvHLDQlMQmMWt2MARZY1nrK UGoR7+c9F/dx+XnN5HVXnx/ADA7VHQn4CbWUxOLBN6Sm4WTFspQQZN1wNAVW8UvuLxchegYzfkZp 8/IIEe0lMGnS522BwbdHKUrEKXZ5TiPWvWo9TZe/aK24b/a+9uI9AqpIi62y1+cro7RShzM1M9dz NPUKuo6qG7x1OssXYBG9VYCHFd8TFCxis3Ti6LgoTDULgYcKYuLyiEP2nV8StIa3h4UpzMMpHwmn Yy+AqylQU2vTh1GZ6lU4wXvUFff8skiph6vXK3XCF5HSdRWFjFpO56lEntnwjXhL3U6BWGRRHj75 isuJclkAi/+wD9KgLrXY2IZREK4POJvzF5IODO25L5yn/83a7LU7fhUnrCEORzbBv3bOWUjZIxPv USY+RVal372vVgIDLDFo5Yi5eYLrMfl2VKfNDbnCeY2nq3zBErhHmXgh0Heht3AWSnZWkcmgHshJ y7kXfkBwjhffU5ohQHA3ew3cI/LmIPAp1e5ZQdrdk6eTNdqe4gjAIhXjVHhAZblPaPVzvGEghR2O /gpSMXWfNno5eNPRUatfpaxstxxNODkBTl1pAQAE0nJosFqhGXvdE9cHgbojTu2/c+Dth8AZJePs Pp1nq12sb5kOiT5HSTKsL/hOMhPoEVJlmpSK9CO12sMHli4MicgEPs85yeMIFAGdCcbQGRpKfkjV rFsOEgNpmPcu+SfQra3bC9I6vvS8jjaujx6+X5kBWhj1puM9bJADiHOOBZJXaIsp70IaFrJ7zmdv w5+L3YL+D+x4y0KqtPxoIE5syNGokHWOLEKKCenBtA3aJOBL9/aIkU7dcnCaM9EsRbbHSdKLP3QP OHtTzx48+HqUkadfW+pszVM5pfvsvWfkGhoCF82xk2jm1CwqKAU2fnFKOAz0ddl/6lFGvrey7Lb7 Xe2Ugolcx9iGhKkOezk+e4T2QmbqhGSmy1IkHBtfFL33hA4jCIOLwE8ndSJh8Ket1HB51C/4xS8/ O2+2W7n0CD9OKs5J9JY6KHlwJCZ31U7Tl//gwyj8rEcvxKpIFWR1mcWtrgY/T3OIwp4Km3ECOFNc GNhOUhFzZLSPygMgP+HCF0U4Vg4AKpVUuFhfJa0pjnBbvPxf2EwiXtM5dGZPXrv0MClvNO6oZseU sWmLBWrN3qwBVRPlHPDoRR3ylVwZa9zVO+QgpZZOyYtGlROT8mv0Gh986qobLYBYrVuOSmE9c+Mu 6pA3KIDK3rhMXB5sqDq/8k16hK58ZS9GlJbLzaqTNKpaGGImyqSyeAqdZ5QP8UbUIpfZC5q5z+r4 gj3gmt0bUWIQVpkm69CpDVLM+HKa8GjWHUezKQ4587qLg1ihps2Q5YzLg7x8eHLA0wi49pXu5HxE iTnIjGC1NGduTNJw5De0qsA+UHdvhiAfYYdcJhTn3T3v6hlnOrHq8CXBCbVAFNGwky+/BOJ4Rjfe xdaQ8+KL/uLkEwGNwSkkHjmbmnhLM80FZADX/y/D84GOH6QaLFAxfnyo8XRlpUdqnkZ5MYMnz2HR I2qRU84cGnGiuAzJDg5UMOfXyIl2haDgNdC1zVYAuhjAaqLgcncFYH3wNQGK1fA8RoAt5uM4AfXM apD3jsujiYsPErL5Y54KZng5PELESif9p7DQ4/A8Ze9BpbHqj7tQOEV4RHm5Digwv7etVWGCMuDJ LeqmEwxaEZBXESSYgl0nPDRxtMwA+TK+5i+QfVnpLnrsGoTa5F01Ni6Pjqf+vZmA9ASH3aIU5eRE cU8wyr2hOZrn5AA62GYiL1+bKcrJ5Y99cnGBDrl6Tg4i7xLBBd1Tr3DODsMZes7egtiactEk+25E /XFNztkGpSrylPkUbLa2yphxHmhcH22n77zgvN9hlnNPpyglH1vTzXl5ZbP4KZ6mQREx6Kx+ioeq KkPiPEMDYa72ups4Q9aWJnIHsXVIrxWHyawYobEFJVo+5QtGCB2Xuqii1bLTgKjwKyO4k13g8uBo 2t9RolpZvl2DESLHh42DHV8AYW/fTUAGqu97cjnfTRFyXMY3VRpltvq0BYrFC6fCidKpXAqBjszZ T+AGp3EPbhy7GV8Tze5QkSXgXrmUH/Fczw41i9mGoynMxZdHiYc1SCQgQlmUou44Z00TrEqHq2gQ hSidd/jwWUu7z1yUi4vpWnMe1VYOpVUHnxJ+Iz3jM5d61XyPWpxnnzWKbN8w4U2E7wkyp2USmRLM RF2ufKlC8gf/OM4vgev/RTYXghU933qH5iNKxjFpANl8O0lxUCNeqZOABizvZjc3rxEl411FC56o u2o79bzZezL1R9RSLAWR6mALAnbXynzUMJhjjjAZbxRVWYULRQYNRQdLGbabQQvCBwQdg/qrbDlb YM8r8zDCbLywXZfmsI7BHDYZRruuWcfgZFTbtB9H2CI3efvtkj0Xh3EKvfNaO7+z9AsmnjeVd3gh whT0hGTTnkrOCTrHox755mZK0xbgNVTUdRDO8Y/nDCq4Pmqt/Opr4nxOIBQpUjPsks8lZbVukYKl jCFWcjMRkUbLJBV4828xw3rBl+MCMTayAur/E+6Lpq0U2SpIFkudqHZ7UCIIzxCwQvtdGBP5Ikjd KXyIDAShs+LyIE43fdrcfA2aPf7ozSgbr7QdTGL7IGI4aBWmpukHyrveF1n5nZ8UkBG2uBp1tbs6 UgzmoRV9GpzkaVICjNk4M/fB3GSNWwfTL2GGfE6SW7oUsrioDoaAsCCa56tweSTZ4/tJKsnQwxuP RFaUjScy36praGNOtdMdnG/TyJogzZlGVsjmlK/6mmvc1Rsr4KqR/g4E1EKzTk8eFT0bnW+o2GPA Huo8zLBRzkTzvOCmL5YalKw+OfYWLo8Ctb4evE6j8nnjFKXjtB2GI+e0OI01lpPK2kpOlkKyrTiF bXKNhFM19fF6bYNOlV33qYEo83AyKKqLsQzmeUz/POySaya7dMdBmATRpEzWIh7IcD0nHW7SLygT lwcAg8vaEFBsA7ByyXczSsjZ0J9zmRMj4JHNEs1TrK47eAHZQHEKJQ6rEsw2ntX2094oXZAJAPPb 65InIaa/gwSg8ycBoe5DYdp2zZDNqSdu0yGBi5oEp3RZLDzggo3Lg2nnRWK4EM2EtZzFKeyRT+6n dsnBWX6DTKJKtf0EfLzvpwiw0u1g6mXe9fbIz8OcKOqdYI85ZH8Dxjn2Bn7S/aSatPmcUUIumfEp Lue8XM6TYBY1384hMXB5kGrO79cdHFmgPOFRivAqlI6ZhCYpgwIawE+nNOx0GlM41pMXzKhBvpJ2 kSYKWu/ptKH3U0VumUj1h546IJLZLZdMloD22+44OsXJR8CIkYva3OfalsvQBhm8zaC6uwp+mUwA VKGjX92QGXI5iX/qad36rl2yVE7DVWjGdInjGWodSr2Puo+++mN3ymy4aKH7VBqAehSDyPBvw086 6Dv/DBK27jgiS+W36fnAhuUrtp69i8sjnNj4euoaKuB1J1MzQqyYdgFhROIo1gvsaWlflX/83gpT lJGPbGPh2u9qTx2eh04tZTQz4WK/DXZop/mgKJEf42PaLQe46MQmXeZkatL2QzK1EFUkTODcbcX1 EQLqO1CVyId5H7yYzInhwWKT2Tj5/SKghuMOz7MxTdVohvoqIilWeUhp9TQzQz4OZxGgPTBwNoYL iN+Lo7D1jBHGKrrjPzj5Z/OgS6dFmJWBWTPTp1P74PIozdy/6pYFKxR/7FaUjZMVf06MdHuZySu8 k8tf2ZAEFUSGaUWYlSG3FpiN3NXbTx2vorF1ineauLAUBmdjQrtS6PH1KBesELOytZvEDHZbuZMT F+SyzA9qxeWRqNH+LoRRMqx2oT0rysY55wQNUtBVmja7ZUSlzJVOJzz4ClMIWuk6vosQqlztdKpg 6Cx0jSFXe94OM2sg3NiRPCcJsFruPczu04rFDvsXdhyspSH1i1Mfbp5OfdeC6yM83XdnHBwK0AA8 TKHEON1056NXO9tlBnf328B80g/xFYJWlkYs1GzFyiS5id6CR3BShAbY5ZMEFrWfOkk7KIVLe1Kn 9dPwPVGXjrBD/Cq2CNsDWn0xzWde/i+ZUWHv6s8ot+e7olRcsr4jTe8/dYpuWFvl9nzJBleYQuy4 Om/buAj7i4uQgfDO0vXNHfJ7TanTIgUHWUR6+PgCsa64NY74LJXA9N5kJ+VkelCvJ3l8QLI2xKv8 OpwyJlQAG3mgolx8qgjuu1ivAKBldVXaTTfPe6Q6yH7FZE4Tz1zlrv7YQQAUrERa6ELhX8NgmBRQ H+v8sahBLrRn6pajTp0cdBONFvHibDxp2ilH5HAh1hc+4V8EF7kGgpp8p5wrSscTG1BJpuj8x+oq fiOv5PJ0Y7AMRqhCcRURyFsRvoCrNaDO5XAQGlteZT1l/rvnDbiogozce9drdZqozL4iyIqwPXlR DCMvazA32F9vc2zpvM8AsbK+5y0wtV8EGShOIYCcaLFRDTUOjhlPK2wpM5datB3J1qhbYUIuN2bq 0/rqY/NTRDU4ONDiNG+q+QItRq3NRr1xDKoMh5H1ygu1VYirqygRuJgScgM0dkrgIjVcHqkX+FEu 4Cs8GNrdTyGlk+O+Sd8FM7NJDoEq2eEFsD80SucKEeRdmk9dbz6u1vodHXxhcArhQYlASBWyDPbK UWKCqX/xKiiz8EV/9p/kF9ip1c1MaMA/1TSyKi4PzvJfxA3UP9A99Tj9DSGH3oLnmUS0SVvleuYC 4WWiRitskcsYaWRx7oePjKCRBbJrIcwPNlK7NwqPpfOsNWi0o2ilTRbHwYBn6JYD7xH2nYCA8YXP Hc3cmE/D6xuXR/CC8bWfzpsZp2S+CgYrbJFLQNMF1tDUaO6uMSWrycbKdGb+CjNy7ahRJP/E1SMF I6RGsQCQv9ZKbOad+2NJDME4nP4XRk5SwopScgExSnoWdTTPOyBvJQqz4vJoR/koAf+Zml5fNvWO cnKO3Gby3iXg9tuoQNAjcnwByBdKD3asQc48exrjgKsdUTmdu8uoUyDpl6E8KiAGGepZiuTo1aq3 stgi31FW3vi6S9LQTLi464jC1JHjqTp5edCq+wWRBmFkP8PO/Z6Ugwv0RQxmB2pt99nYI03fUEze KWkf6qtozNtWTXf1o/zUPqcC7oSHlA0hOb3yCFRswGq2p1N39sjULQc9KLqRUIfCFo0S4BAyrlb7 fs/KUbN/H1GFnKnqHc0dQsnpjdRzcsloazwNZlH+4I0q6RDE6R9Q8mU9qPXVg9pAZp5UQ1kUkk1Z L2/4d523O/mvNzOg+TG+JwhTNxW/7ItaKzAL5wkFlU5cHjE6/bljzYPxRrlvvB0yOqmsjSdMYUL9 7udTHctZLuhwW5hivIpaKs1aLC3fBnmib9jg+VRIKe+auHDsR9z4udVxT3J0ofFFkRKNOuRqQbks dYN3l94eQGTg8ojm8t0hn6BewR3S4hSiyJNgKuPier64ZWlb43fAcdni9A9GZ9K0SavFCdpYoyKQ 2E4QRhemrFC2Er0G+A14o67bDUfFC1PyTrPlButdSoid7bSNBQumCK7/F0qsaK+jZeC18A7VDhuB 46vdTp0InXQlkU4dhdopc8MwhYAVEV5za+WuFqa1zxl9okOX1/Paa0kCm+ysLMp35Ee/AOgyfE00 RWCaOfmy46KHDlBb5gj1JCC4PJLw+6YkAPiaU7/j4B0hVlI1H6BruOEqIuz7Jn/qOOJmmEKtw2WH U693tcMJ86JF59tTC4PAISxG3nAXmhjinuQaZ3hjB4ply44a5BTJ2gnYPVsUJ0jrqgNVCm8zapCn 73Q8k1F6z/AwHWd5V3Jy+adzsLqsUaP6mdqZTUoG/KS/5AtOJUoZQ63Wp+tIBFDgcXpX+jIPk5ao JUYIB7NMSozSCxrfE2SZWXMWjhO4WJhOMs8qFknxZ4fq4+NXOxNMmXadgHbcHp+SLMgWpoE6xnSN RDznQwe7aIUpzsalBDlMiAard1Yyfnc6giagkDFwYdcX1TCoxz/IQxyuQoz9DvmcRF+UJTaCy3PW jmyfvc3zlw9cHtncra+jCRIRe95u5o4ycTY4oM+ULEprDo/SKOl6AV2v7h2BVabxOJtOcq4+RDhp xzm4i7jmCdKc4nOeFwPQkZuOG25rTpXczw61VTLfcKNnX5Lyy3JqSJYsZY7PjnrjHIE97adM44BH oz1FmXijrlHf1Zsqo1Hon66SizFjswBTMoIwyFV4vwW5tgA8cFdFqmLqxSkwbZMA0+NJdt79GCB3 7K4KtCWPKNgBbbvpwM0tUzZE9PxCTWBpHJ6iGixbRGDstPkREZR1fSVQBEqmeZ++nEJup0CaMxt5 42QhQISBk4DnLxloBTgBE/9PYUquzuZ50+e73hqvTbDnWeOlBi1kVcOESFc0Nwr0bq4K+bKb/ktr NFM+5CyABTFckN8owhlsGihFWTktfr6amzjggaW54Qq9gWjZXaRVx875HG7raqZvQNwXtEEtXCGS XM1dKGbe1cI10Rof7E2fCvbUYZXZO16uIAVBBg02OVcRmc0DfFOkIqI2VOGjiJ5Ll+bheTS0u8bJ evgBQTp1qfp6CyBH+XoWo6Y5D+JThmZv3OGvssZdZcHGZxEafB6s2J5TLni5jrtahxMk970REzyL 2GRT7sqFXLPCPjAYsVbuyTIhRQn6INkMGri+qNUCxhyLPwyPeH3E159fDyKmBOfPvh5BKUrRKQEy e5uPE3Wfdm6l5X3zsfZ22+4UNc5htInWgW2wmdvTvQPkYkD9CcBNIAQkC7XIvELDm14WXssQbstv CkYxq73kn/D9Kpwwo5OXef2/SPvsOAA5eo56COt7uMLeOZkKqVnDPI/BsaioVNWYnw0GUtWP+X8o kyM7uqsloa0D8DSIc4XaaS7MxGAzQLAdWC6ZTeGmAd+2m45QiVKCIJZsuAppQ0kvccFzBOj6yEP4 20aQ5sAcGXiw4gY61Y4o1cszvl2CXu+LrlMZCixQC7VgRRm7+QQBYHzX2/HEEQYVF+nbzs1nNmMA D5dzDBVkQaV3Ym52039JaRWSNbUYhHPai7hWGHenqIc+5i/qGQ4DYElusEIdFmu9oCoz5Yw6nB+r fgvl2Wa6J3yUtw9RNtoSjJyrHVrw6kTFwwSil/Om5UQ0dQryQl8SJ/zwQ6vUZjcdCbQtdfG2lmUp OHovEmg7F/H6f5kosK1Q6a2Wn50VJu+bY9GSH6mo0ayxUHO/CMXkDRh81PvOKiqD1+jlrvYYkoYE aDgduyBQzHoRJACORMkfGhe0sWRFlWL3IIFeqcvSlykGnIzL8aMNZGJcHzyG9VcPhkcC2PUerCiH JycKWCZvL9SaqnfTRSGqaurdYEXtdLNiHtbVG19dvQQPl905G8W8CVIkP7Q8P9dsYr1A7Xa2Hrj5 /KKgXSUgdWKzmIvFagwqlSDtmbz+f5mMYpI595OVhp6ePGZm9REDhkxXgqyoPkSoCn0S5VUZglya 6pxqcj+1XJBLJ9oVvDgw0YCtlpcHE3sobfkUWUlp63bPf7HU22CyxUWhwmG4zDJg8vqoMExfSRbQ 7zSo91CFHNCsptUDL0tlejdmFGszAJgwPVQR0CWpcD6vgXRXC9V51Z0iBp65KA1h26bWTUczGg/o jzZVegxf8h+2nswX0NyyhZE6+cPcitQqldcH2fvtqeu6s5/WY5oX+3pmmnnKb4nSUbO6HvcojB+k ozg5YKchh8aeGiSf4nmku3obFNTSBl4TBLlTak2vygqQI3zc+N68oSrN7jkiW3E3qWt8lqxxS4ea btLBXgevj6ypxleoCiQ+1mPtGXh7Ys4vH7jd/rspU4gBpy7ShuuZbaowczfJHxnBajUs3vl7TqaI 7dr3BPqhC4u3AOvslwlqSomj2S3/8fidGnlVX7g5MOSv7LKfV2nl9RE24ZePdZbW+Y1UKJaogjBf kffqWi3nHCeTTgpSQB9bpEI2aFH/avdyV3v8KjYW+Zvn8TsBOfFiPVQyc+VCfNsFUNFdhV8UoBPo rbDuUm1Tgd1GIDfaQLw+GCf/8upoZw+gsLihihTMO4d/K5vjKWgq1mknrNf08FEODn/+wpzdXCiy 8YnylTBfxEDyHYpDHSM7tSUKrevAvMvIWhxE1afd81+gvNbZzOIi7CLsxLNyrFV4fTDXSt8aLbBJ aqiBPVQhKTTzoXNJbkwiarNqkOW/KueyHfKSQ4dPm2x1U3LvJfvEBjIsFGujjxfcGmnDeN60PPgS pkHwJrwNP3WxQo9PTqNW3QIw7mblzTlZmYNQD1B3Gh3r5esF2CgEV56zKpRpkb3ubO5tAsCTwV6q Q60BUpjmbZIDm0/MI4YN3Z/VK+cMlqNMqgoF6uQpJB3ywooJnWEzSkerS9/0l7P13ISecVF1gwkH UbjtHO+8PlIgSV/VDfSaVi9PshDm64AljpnzpdGO7TDG5HysdsKQl8cq7LYbhorFhq0Wqw4XPcLy IUECd7gmCRIqFlXw7OuiBq4wQr3ZTQc+AoQo4BXki7UW9mYPGvJ3mddHxc33cYXJ2wLaxGMVwtFJ pTuJwb7PYNsOpxpb/WXAYJJ3ZGK/T8NTFfXTufoQPkE2kVqqiBV8mJJVzWj1Qf+2QJ378q+m3fRf djDU/rBFSSiqm2z7avP6oLYp/esZzFK5wF9o0QpdP7schnZx3GfbTn7sWSay7F9JqKzro4ITy2TN 89fqEBhA/8BjlvrdqW2JyYY5Y6bBNTyGoEbj865mNx30GJAsLDiJMlocRrDrfn4EMmvBD+D1kZzi BcEIgIYjq96tFTp/QlIfJG08FlQ2H+yqS7GbhATO4wmP19YKrT+HKsA5bWvN8TTdB3UwMsvm88zj 8Gf3qqBqyrC246zLZd2a3/Qfmt2rqzHab2O0gDZQRXbok9dHAnjfEx30rXa9Ejc5tv4kjr+sYRsL gpnWREaTxl6Fo0AiWUdW4P2JqfwW7DONu96OO6wwkCIM+HvtMad13DFJqUwLAaXxxmj1m/5Lhwty MFgA2p7qnGewd7mzTjnFDwiy0XTHOYSL8oUMoLKHK4TEkONXxyNyLuACwtV52LF91eq+W+sfSPW5 S72rNxnyPjeCIQdo7ZBuJtMmLRZGAzaxlVB1vQ0TaX45tgDlZKJIKwHjRm0RMMInwUPAr/L6iG/k J3y1xxBSxzdWofEQDuxTn7fm8KFq1MjzG2nDVbb6mqHRcuAC+ogJzz7uagXhoDv4nqZ3AwlYbj/p 2Uny+6vlToOBHNuAkjjKty2WenJvyW/ALJBZ8Ghr8gOi+cT3/BmZA2YmN1ZRw51i0ufImu6rY29D dvqkr0hReCDGLFYxOIYZ6SrpWb3ThzyuJSpwgMs+FhGYmVQ0sXL7egg16siEVqDSmlqZyfvKnryP E+7OF1k7mSmvD7ZV8RYyrkRnFvCdG6ooeQfogca7w7dVe+RyVedIRWndUIUSi90smnK9qzevzrbc rJj73q01IO3YZYao0eik2/muygS683uioRerZpDibfHeVSJmhFocvP5fXk08l84DPL/aDKEbKPE/ Z/sl78iUZeJuJ1K9ZofIXL2pHNiBXtHztHq7qz2AeEVBW3Gyd3WS0CUNjoUzEERVYlLvFCdbrEKU DFsyY1C9Exq1TMEr7KMpRHMeyKQ7DZKGXzZEgA+OvZ9YRak70dA4ihwnM4vBsmtvtL+T8Dl0bVU9 B5agNs6FAZGpAZSrBgCGz2hpUTQ3wZihiIVDIvHCOY2DzNIrAlH5PcFbkOkV0RC2cFfV84NsOTuf B5vXR3Il6zsZBfDvvFH3c1i9p+7Q26ys8qsj1ED2tfbV3PbfNtAaiscqppLKCmW2cVfLGRpGqZBS 4nQQ/pCEW2W6ySFzOFHBrIDcka26jt8UYfwpEJ+nDvgTYu6rAcPjon1VO68PovV7OkhVgvRk7qE3 KIkugEj5DGfsVhyAVef1VqfhCx/C2Bx0mXSuvQ37V68dw9gOWUG8BWEXb/0IDIppUdDSvOhQYo1z aA6qrsxckhhe3Z0H9paNt7XaA3fQbxl0PYL0kLldmdAddFfB+B61eCfhotdXDITVdyVxpOuj3h/B JVX9JHElrXZcdeD4ZpOq/nnLjiJZIc6YBslQ5TEfkMNVDvxB1SlDCprvogZWaSe9M1ewzesjJO1N FwgoRS3+BZSJLUKRB4ACk6zTsFM2aHYfZTnSv+HvUdoeeoQahH105Qtc7RGEwOaiKAkEvhfIhtQZ 3ht9b2iT/hS8Zm2M2jXtCm1CZdVAMg7VTpFKs8Y57zdFC5AAfkAkAffdRM50esvrulrk0CuUBsVw PHYa7pjJwnXOGEdoTzh3ebjCtF26XX2pRczVwpXlI51Njeqkc3wT5EQgBm3/MmT3XY1KI/rALhRS buyKKmqQDf+RJxHEHZdkBRc6M4FfqETVLW1g2/n8eKs9rZnYMXSS6daqt7FmnevHlfaXCwghx/Zo hYB2k2TuNOfTatE6RfPZQL0YhWvKLKPk3Nion8BVA3jsklRAJfCb/gKt9UZtnE7ZJqHWoH/EfuEP WMX8hCh1/1bbh3YKFZI9WlHqTlQVPFmSVdAz9WIlIeSofoySuyj7gpIwtA71aKWx7mqp+5gwcBw0 JsDgqEkC9CQOxMATrbLoHWp6ustuOngbkhK4M1G226XFG0DijVji1tEgDdxDvwkl+M9OSQBWj1WU u6O7iMFXzd5MLs417UkCQ4NtrHR3VgSVkf1OWc3ErB9HEJjP1go4nOGKtjSXcoeoAqYwG4CZ8VBv ut30n0PCwZ0l2gVfgvkch40cIyS+/ICg0Enj69higvtQvXPgIYr6eaG6IRzHO+/WIW2UoVHP77wP kwcrTt81FzU1Dq4erHOGJchZCle06dbCXgO2aUOPkwBSb2PtZTcdPIZENNCU1BbbWLWy5D9J6eq8 PkpKf6HcoUKznpZfaCMKvV90BZLjbLvrpUPMrTsGa+HxsIcwTN9NmFH4Kq0WK3h+FvpJ0vMCuCGx mKEglBusqAtGdY7BUuc9NBLtyxywhi+MFZQHDd+31+L1QRfrdpMFs8U/pDqf12EsBUOKrqzVEK1a ps2/GuVzFC1mPxatOIFnAQMjobsqWhUzj/PIkVA58W7kp5yjiRBoZJMIkotVlSx4X+AmKvV6MgK4 wQBXYs7d8LgXE/Hckx8QZA8XhaXXaAF09FY7oaFo3wQTseWkEXRyPk6DKquNoGtK5teXA0dRyFzI xWhINl00FQZrI/MpBZ0epFwn8TKg1sRvgKn0DyfQ5hxWlt3yX7VOT8S9U2Rao5mBqT+/kLCGwFHU RFx1YE0xvM5rsFwz3xx6igrujpehYgVy841Vv1ZGsC/xWEVwd3WTz2lhfiHrYrAw8KKCLIU8zs7Z q5q5If5gyKFm9B6sMBxKswJT0StL3AhbQ6dH0wZYMZ4ChIq7ULnOoa1oat6dUQqfQTF79lWUwhPH D2SNQxswf7HCkE7XqqLr6t5xCIxFvTAse8pglKuVO2WU866uySR0xHmjYtWJDsECi3pxgqulYrcc 4SCJwUpmYI/Ekkf6eTVm7RRMb/kBkTrMtzpxY+Z+RXdzYC3q0p9D4EcRLJuDsCqfXA10cr2PYNx1 lxfklGMBV4c2YFIFQ1w+b7Cr1RuggXhwdlsHleiKntxbDk4rWmDsQV4zFzVHG35w7q8MEFboLfob 2QfQ+MpPXRiYi6LQoVMm1UJMyNmoOtT+LD6BhviCcoY/3EWtNaOklKvnDHhBoeOA9yDamG7Qns5d b9hLsHnsgkO12j1HmXv3MY4tClXyNiP9IHPoL0rDBIWKXa+EX+tqXufQYJSDkJklvD/4jz6BBjQk 3WTUtXRy4DB6ZzndtKz6eBQ9TthXGihAURSeD2PdC5sdkizRRCvoUjpiRmVO6DHaGKU8ONLJKJlM GOYkIa1K9yU3fsD72b4uZE1MJuAgn2FOYDOKpmaX+okPoEe+BlBNWh8c1+/l4/rAZzQ7tOG8R/dd fU4IU/vZCZk5T/ZozIHhP47HtqEl2+Ab6ON6wUACp1FIKIpswoeQHUJTFgQlg228jH5DaDVa1ncj K2OqAf2t5z0Ydd6HbDSnqTQSYGQeBmhfJ6ugE1Jbewwj0EyVK2vuZmLQr4kBMPINoPRMrvNYOYlS l7t6nYUw86tVvLPdc9BuSOy4d6qBchH88+xeWZxiZMDrgyHFdWAjEkI6+Qk5saL1eU2wKp5f9LJy Xq4UntGd1XNY1XnACHpvd7B9Ta8qsFjq9jW5Y3D1KWEHij7zKWzo9A6davCtO2/AjGNrXVc/uka/ JlcVsqdNjeTpi7qjcFqQsNWpzT+vqVVFb0UtLCFNIYezNyWd+eNEERJznmZC8rSfzdEfcGXQ1Bnn l2kSviZVFYmCaezJ5ZirwxkWZAsylazOa3HuRpI9mvtdUGnasZkjBrIzfM1biE7+2XiiD43pRzH4 FXA9XWDR89L4vOZTFVlGsRBxx2BqXSj3hRC9ZlMVABkN5oc3RGsb7mAwRneJmFK3ScS85lL15p2V ACFb/Xk7NQPYgGqy4yyUtQp0Cn/o1wO0mqWdsoZ8zaSqWUXvBEkEW9Q3TshimFOdB/DzmkbVB8ue f/SvnhvKlKlAhF6TKG4iWc7saUlU29ZwOalBy9YyHsAqKkKvKVTNppNawei8q0WIhzfSZc63yvkj 5NEDc0LMLCdlECxCcGXDt7xHSDYhNADCgnewRFJnlQznzymf8+c1f6qPDmEWZuG8oHqhIhNC9Jo9 4aJlOnH7hqgPH2wln9XAodjQxq+5E54zOfUSduur99TBCy1y9V1MyDkuTedcyuA2ZxSTPLU11qL0 wmvqhLOISnHsldqibkvdk/6MGsG/Jk7VlCYYEtFyFuTAl8XoNWvC07mShlhXtOq20nudnMSTk7S9 HH7NmarLLoA/Oe56G3in3OaQYQAFQ/au5UwwBkU2jL/RB6VJLqxBjJa0quYW4Q0Te2lSTMD8Kc0x zovtNV/i26l+HdioEvGFFqTXbAmnvOimchCVOExygaHNUQc3Uod8qvxUoiDprZ/EctDqp9E+SV7f OrDPEVJUriApomneJrGn36eNtd1rplTlFo6DSK4zGCDJp29j7s4Jx3ms++c1UcJx9uutRsGF3f1A ek2SeGRz3nd15Sed+UxClgpBqlXQFZcgcXhkC5w31Iviejt2VEArg/qMYGNKrGrTcrqiX9HYjLTs m2JVrxlSldsdFcDZXWGfjD9HA4F8UakEDL3Pa4bk9/m8+zsdjHwrvXY28bxVDY1dhGkOn2LheRvL R1cgQUkkLji2kxrlY65+V4sSvEd2BWz2bFPUtkw44KWdqWJ5ig28mRxRvGg489rVRJSQQJ6fP9lS VOt3yGaqnZIhjBrEqC8/k7KcR+mCB+0Them1pVk1s0bxVD1MZVm1yxG/oYLO45Rc+iw6unVkj6Fe Clc/lnoCoZeyFKf8RYucx9KgsHrHO6rVni8sz244OLqZZ7dSbanGKYU/LRub/VQfn9de5q/Xm+i3 lKhL2w/vd+Yf391JwksO3RjZqpFKhIU16DZR/yJJhqmk5i82D+VqJ9MpcLacPlHynr+NGT7moEti n1DuqI9/0bJbDvYTRSxXEZ2mWH+5gbIhEUsqxL3z/qrYOE82CUI1erkepyjjTonqQhLIUUvFfNaQ LA2DuJyD15X03ll/9ZH0mvlZHTl1TmxYaHeN10uWUFOuUt3DlU02BVXCzUO3HBzh4jyg32NLsmIX PSeGbK/PO+uvPsNiHU4LMOS2/al7J/3hRUd9603EPR87/ESWDcxezV5N1nQGMQvitM0bZIsCz9Xj dA4kKKQMmRScvaZxVhIAuBK39ExdhtBLQZh6V0+Aw/XsErV1n2R4GYR/8/IgZ+rbwyRHg/Mu6NsT y3fGH05xjtRXWk4jJcNTceo7eZwqtRSFAgqO8SsaW/ddn7SpY6yC525QCmhpPwGmiOOhwBj9krNW qrrloIijxvqA/p0tasud2m8IFFuAhA0TcDPxzWqnA6u0ZbCmNkSwoUxXfk2jJqMQrQ8Q1ljcMCvx QL3n4EgvZCGa1OnlykCdKpfCiZs5OKgIwyQEBvbSIi9cUgqatkz1L8OsQM/dlifWsLkUvGxGZ6DO oYuOXBCobOovKuYyREEgnOaBivJwuYYh2bFA5Ruo1lzuq8G3yp+890Qc74SpCctad7WWONQZzqal AD8Uv7ZRSDNHS3g3I5W6bFuaPL2T/XimAv6aofdqi/CKSIk5jz5HYfm8c/0qFFX39ysPaNk1KP3C OIWpuCAHs7nfzCB3XDwjZZl07AMiTL2TKBfv17m+3tXilBp0T/GToIwrMjIis6exXkBlh46szI6z FBSiXLzxBK+TtR0XPXgnGV9k9wIt+Hnn+dVncmBcZkwOoL1uYYqSceLWzwE4rrAXZcQINhjJtMEB ge+OkIqy8WGCL/ZM7UeWA14OOKEoy4E321yigSwMLJGAUmP0KgLMrjt+D9OgnNcqPM8XPV752BHX LhHwzsuDRHPeRJN3B/ui3W4n7j0dxwuPRKy1XKEK3TJHsNTryjOX2xe9M/xw/0wwazMXlfa4qCyU dlDfaZpEnTipwiEq+lQ0AGbUp7FLJeJ3fh9/2c4RVL3LbTXlob5cy593eh/+4NS/8qeM99+o6b7w onyc/vEnySveAT/1iYtTjTGMNnp2VnLwSpiQy1G0yr1Iq53jaaMRV2jLkzaUuyQy1NH2hrkR3Qoc pT8lTRUl5NQN2jJX08LgZORSW5NdoDGijDxXP56oG3Aqjo45hnUto3xcmqgAIXoN3F3ZGta9Nq8b VXyixE+KwqT8KSsv3/mWd2DxbZOSSA20vaHW7mCPJvFJAP3CW06AFX/eWX3YEJxAwSjeF+WZZ0cp L0CX9PNO6mPdsL+OJ0JBcp++n945fTzVVK3sa9ZXpk9U0BexQHF2pEC9J+TWdgC4rpa7OoBzJciJ U+5zLAzJafOUyIk7jyT637RXcx29oVsO3ncoXFBCbxqvorHHnhzaQtDA+aHxxWyfd04f3gQ5f6UG wAXTWNZCFbXC5cY+nWyFtPOaqZzUrXvHQCJ6iZ8UtAzM/1EDFa12lCO72TsRYzegs1vpEQJDB0zK OrdTujPgpT31npRfQeItJBRe2YJD4/UnYu04+cLnnc/HUI+vTXXu9KeP4e+8dzJf9QFwp5fgfww/ lizXpE+MunTQzrBARUl5Mis6IfO1+jvvZJgbrhAI1Ck3tiilCbJO2FPQ7Mnz0eGguMs7lY9dA7r8 bcLOuSg3GGkJz1vPD/95Z/LxXfDdpwNT7CTBd7QS5eTo9+CQSl7kQQj3IqCWE/kyO9hINd95fPUC VZahxdaDFoNFEmBQlkK12kW5Pdn3wHCOnqbTjTLNE+udxsf9wCI4s2EHFr6mAGdvVlFjzkujfd5J fNUggE9ugENqp+eMClvjKPUXJB+8dkkuv9/WvFSPBlSR4hT1xrtTaf/LSaWD+L2I/4Zd0vnT1CI+ 7+UmzT6eUV+H+dItB0kUlaeIe7dFE0wcrzKx33N93il83Pfp53uaWaDXdeP0npJDfoP87JWd7Ein WEPjt+IHVMEcVs/de0pu9lowyFRKnp6UHAyPCUiK9hOcy5lv5baoQoHi92yHxyqTzqvvDD48Ajqg JPXJhXHqJ/3UQwjtyM87ga+a4MhNoiA0szCAtjiFOTmt6IbQ0txPo3SvhV0UDt2vZmTjd/pevSpB RdaGWg14COoFsjqOETD6TtKXTdhP0CHDJL/6OU7T+887eY9hMiGX7Fg6eTgkfIXc689b4/NO3qtm 9X3jhIKrS6OEcQpb5Iul8DLLXgwSruMMOmv3fCp+Pr3n5EAQLKHCcrur5eRn2+zaZLJ2HorzZzh1 D5gnOKGU9riHYWfxjqNcc/ySCHKsxfmGlDVSGLw82E7zO4dCix1HsocpSsmp1LOyskoNFZKpvgFm eDlDqLsUpveUHCkUt1FflPnWaik5cL/lVBnU358wbZHGBbyMfiQNgJ/BATzE/L5z9ridKGHWCBDj YjiC1Ru1vNte4/NO2UOmOjxMuBDWL6BJ+Zg8Ssmpyj2pgqHKJVFeR2YzpdhY6tSyEHrnnDxMyTmP Qps63dWeug3Hs75IFTpn0i4mNAHSo9oabAR5YwXYys87X8+mb4Ci7O2LKjwArpP5ZJbPO12PL+Xv LBNItQkvRwtTiEnpPJxa9hHnLJckVPvyObDc4himsEOu3bQIr7NVuwkqbL1MtKY7NCN2lw1WpRnZ IKAbmQBkXAgoKLrjv8I0pU/JRQ/dSS3VRD2vPV4eneG/YCnQssjF+wXvRD3spsI6eFXnYDdCZ5g7 rT5cwKwSdoKH7p2nx7OJZxJM0e9qu6kA3lQG5TUoGEIcNmZ8MMgCfhFqb36Et6EbDso7DKSgGtR9 YZROTrsoYcLs6/NO0WPJ8D1uITBmXdzFOz8PB0qirPy62oGdpQbZ14muw4zSJEcRWOh3eh6RF9LD KxwRaPWu7/n/rYYOF7oGFTry5aI1oXINjt7wMcKkBsk7Oa+6fm6vQ7Ng7Br6P57SOjUSvqFv93nn 5uHX3P3rCKc9V8s3TlEmTk4KHHkcX5/m5SL0MY2ljtadeYO8E/PwO5G5ct5WkkDganFCvYgmFG2F e8cYeGjHQUIe493C6o7PHJupn3dW3h2Zj0KbAi7WfILKMLG9Z+d+3il5NX8BnfiiYxF1cQXvjDyH cEHJyrn8UFK0ISfplOLyP6KB74Q8K+HZzuWgV6uTEE5NktETZ48OpMwk01V6lwBClDv5eAIWsF55 p+Nh/y+GSdpuw7XdkGZAQ575QOdtRj06n7UwpBiUSaGCYYrScBarp+atXq50Clqp/M3DUfWUM9MJ HnbGl0D1WWEq+YZpVthfVsjrnaMJhPcirf1J/S+mTAWSjs7yJK7wnYpnLx3oUpFBzMXidD4jSYUS P+d7Gm66m18ADIKnfMb5zsND3rQJSl239ZTX9B5dq0ZEAC89G8HznYZXzcIFOiPSNy2J7fhJCUqQ Dwr2AYbKyO7nFPyy0BfkpBKVOojey0y65WAWTA10iD1yQa++q4N5Hl1+6Xm58kajBHN8ZU4YCG0w 3S1QUR7empT1bzMztWme0J0mYb6hiiMMw964kLyGlddqz11OJ4lMEo0/qWrilBqFHv0IgImBLdM9 xnkzn3cKHhtP6mKSVHa2EIYr2lHngc7ybsi8Pnjyfk3NB42Ey33yokyc/KpTu6frCl2HszRG8xTz bOptzfF3+h3u3wzVpg4frtZ4OvVJOjVPLyIrnhxdWPoNwk+GOPMPYSfuhUWlg3f2XXW/GZSKvvDJ A5FkCCrW5vq8c+94n98ouo70EjMfg2OGqTjxmF1Tc735gCAy1wYzcGiDLreFeMwYrSJIeBV2lavH qaYEyOcgNBwd2SmDTCgMoSWCimNdAiypPJ936h3By9JvI7Gak33CMM/ng/LOJkmbn3fm3X8N7+hH vdct7d55d0RyomYB0sMU70CekKr+SQzwYPCIapWMfQQqSsbnkkruLPWu9uSdhxje35lailB5yjqi OsngGJT8FPyy1nmiMMLnnXZ3E6i2iTIQLEstuvMLcGJ4UsD1eSfd4YSY31Nz9MDo9GVxCrNx2hcN bD3IAQIJVdyqoYISnsVP3PS1QJyi1nirVtYaZX9fdhTERYDdYqfgPDZQrCYMI7FP0SADUaGBcYu7 rlsOjnIYfUHjamjpilODZBDHSr3n+nln3FWxOr46vlL38Y7vO+GO+FVqTKozjgcvsThjnIa09Dfz TO88vfPtHvhT7rXe1ffThChqZyezUhaLiI3cWTNV+POUSR1T64wP3XKQGgin0sg54KKxFHzu2J7A efp559tV00F8DqjzsuM82uIUdsYXRy3kTapDly+sp6VtDpmz3M7TO9vumXK2QSaZVqvuWpkVoLoO gvM50LuZs1ZAKMAYM+MPFcFqqbyT7Ug8mILVd18Ewjjf0ojzPRlN+7xz7Th/GL/OJ4Be9m0WvFPt /IU303aY2BhskH0L4zakUMOlDN6ZdtzPmpYnqXhz9Q4dCryeeJBDJPEcnuJvQr10VTnZXqNjpkGf d55dlYwYhM7L8MUKl/NUSNjn3O3nnWVnVfR3Yxy+tMmbBe8kO4ILtlpPFzbeHv3gVqu3nrKDMN45 dnzsDPyk7TTSs53OVmooVir58qdcTcW0tyDgwVoPOE1PyLWdor64xIP7yS18UZhWI67RuJvvBDse bt56wmUbclpoHVqYooSc3kzAefjcboiRr764NzLHmnc3xQk5w8Sn1lfv0J0fg3Z9CFOtkKwUuRqJ +WDSmegkKowYsZnv3DoWaOS2VubjXNTIhEbCFPlizs87te4XRIyzK9AP+nIoXYvS8a4w7e5l8Oyt WFPlvKOnlcFw2FNTpYXQcdUrVdZzWv0Qz6DoJb7sODyXu0WRFtnAUDg9clrMG/E9AeSQHI1VVvNF vad6HuolHc68cXmQZPbvJBNCsB0cEgtTmIyzp7LFymTvqd6eClW2NT3obTrpJ2qLa3JSgfu7q4UJ 6RDghVQdQ7iSuAqNJBfMf/PY60Fmbt1xwGghS6PAnscWneGQnOdD004qg8sjVMH8ChMIRhTbN9pP lItT/BnmUPvHxSSTh4kiFWo9le3uvf09F8eQh+3wIUd1rdZ6AhcDCBX+ckAXbPPVBuMK0sQ0T7LS jg45+Jq/ZsC4N1+E5xmQC9Ezt3l5hIf+Jmugiz1xXxalKBFnZnkCs1wsZGxrG8CVtl18/fIZS49g KsOOpNbSXa0rDicx8DJ5NJ30pot+Dpm7EziOeSBM460CVJn4nqBgsUqFgj1cFCZwQHm0nMd94vKo 3zu/8nAcJV2yDgxTmIejFPVxJgvg1qa96HBw+/CgualAj7riBC+BhKgeJVd75k41DOcvoQ0X3MVZ 25y3ayMNAVNMqG94p0AssigPn+oWdRbABYUE+yDnA84+JVVlzFZwfcDZ/EWRQl8HHCln//SQtbnY pGvd8YapzG54Q5IjhaMbmP8xE+9RJj7Nv1c6f1qtSdd3R3OWOLoOTQzpRJ1TAVVOAzT/vMbT1b5g CdyjTBwUxrXokbWg145kXmSyvM1fAKNHfEBwjv9CFVTOMPLlJUbkzcF2Zpr1Sl/UVqypkrZhDcB0 SiZq1GOUis7xLYQmVz/HYSHXIUuHHLOcsr4JEE34X6KCNQo6V8vi+65H3XGNy+dmd3xCL7tKzKEt GXjC0Wji+iBQ4/uFh5IYmv0eqDAZZ3scGZ9Dfet2CKuGb9QVA/deXgI9gqkskv2RHNW7WqBOzn0K 00ZZ7lwhT6BCGf8MzCEKoXN03UOq2i0HzQJq3KJYZMf2HKl9WLd3M6n5QbMM10cPX/9KoDCVGvVi onvYIMcfjnkIzuqiZ2+ZV6GBnajikL391ON0XL6qU/in7p1r4HkWWAeZpI2zXc5zWM1fFS+gjiO9 ArZ1BUmnbjlqP8kWmi+9KsQ6txSEvRNfIWCx4wMiJN0vpAoyDXymReo9IzfD36lGkKacm+rbhXQp vusg4UBJycrzPEKqJGtkFk0wudoplc8fAbUDymvi4W5THjEQ7j/7CpSfmS5LkUR3fFF0SlHkljRF LUI+QbxfSdRJR3B5RAv+BWPFUEp2coxThB4XKtqOcDhREigpX9UBmhf+ETobFF7r/KQ/33tJCnRY eVbyND8xh4sV3nu9YKqz1NCkeUEFtQ8i8A98/IQLXxTJXegYZ4XHxfoqJ05SfzqHFS6P9tP+2k/n qTnH01MJ9zApl02ofLMXe1E3UKcwy9aAaqVbo65HHXKJgpxcoO+7Ok8R2k4N2hKoj8ECYs6VE+G+ hMrC2sUbmkQ344uCUngJpUJeJxd1yBsIs6ImlInLow31u7OC7Zm3B2pEablhxFL1mct11AEu2goZ kgmM3jKiFvlUy6A2m3m2O3PZJwE/1ViRVGuaW9xnKEeiA4XGRIYgttFbeC/4nkjYgR26rn5dv7yy USAjz5DljMuDvLx/T1xAClrpDs5HlJdbLUwtOBmLy5aCLlb5GovDAMfC9K8GOWFCtvpo6rwzCx5p HlCwX+myV2DqlUg5BxjC1dYA/MMX/cXnXBK0pbwpf5A6McthC2k0BCrskN/ZOZWV8WLotxoef4PH 2TIz8PhOnkXlZsZWsN/Mvp+iDnnWqGWR/QRYj6zQUcDARf68XMtgmXcCVW08g0xzDIz5wdsxcwWM +vA1AYKVcJ6Vqi3DaEAV/ok0Nzn/g8ujgctNoXiunZ+G2qoWpigtbzS661Q20uy8NXfmzb055HBe 3uuI0vKV1PBdpg25qoUJ+eDq59DoSMsx3yxbRlcQtP0Z18DREgPoD+JrokGnuccVX/TUtZPMLvV9 x8bl/1LBEIv6BBuFlUUpSsk7y7y26u1nCrlCNTrp9mEz9eS6RSNKyWWdV2sSV7GmK2B7XnX5nNgC YpSRtl5zJ1qA+EK9HB+4Xbhokns3ova4DqedMjtQHO4QBd0hqk8kxTgPNK7/53bCvwuyxr5kqRFl 5KwNJ2wn7XTqq/khnmSoQCU6DNwUpzAjt3FLMS5nuVxOvEyRHjWqIlfISorVAZ2snwkR4cUOlDj5 fsPBQ7c55ZRWWHetsAa+I1kCP/UkF7g8Opp+JZmLQhg3SiFsnMkT8mXfTaVad7wt6SGj7QvJD4tS BBsvAomZd3M1/0TuJjqynFc/ueYnjU7SHz0vVUBaB8Bx8wGNo1GPr4lGd5yONM4QaOehHiZVbCk/ 13A0han4g/Llab15LN4TPOqOy0qoSHCG/0iVEsFV9qg+a5luDTCi7vgUfpX1llb8NNnqYPCmqatS UOfNYbOWATAy4kt5WYsTOn34nihzqnzoqOC+KWLXdYafo66KrpE6ro/QKr9mdwDW1zszH3EuLq3a fZmcfftDp34+UwJgA9QvGHEuzpb3AnXBVwMXJFTUrSsXXyfjzDKJy7QyKJATamU+UhhMMUeYi1NX LdFjcSV6xyTxEFrecjE5j/XCBwQNg+bdOh755xDd69bBI0zGm/C9hIShYTAFFKP2I6dh5AbTAE+R ijvkEi2Y4ghztTp4wTeIpQEIZXBqrLLioL4Dye1l05xK5gk6x0MyJ6fmRZDok4Hh8eHrHepkrPWg s/YZIV7lduv07y6ULrdsmWGTvAniW9zUso90hfpS8m7dTNR97PykP7UMSaXw1XGs51Uvm2gYxS2Y XsksHOnz2Xxwx2m3BSV28AzxKkUUhL59EaIO3QIpi54fApdHG8qzcQLFoGQ4q5/kM8rGq3WgzDrv /DzS0d50TpkGLwBVpFiYwi65wNBAtd31ZuPAT06d5HuDaUx4wZRIKzmwmAp4GUzHhBmTOSmpueQP t7KXwad4kBpdO78wLo+Id79wYuCZj0cgK0rG+bisKjdUOStvJ72mtgx5iP6d5QUzZnI+BKnfRCnY EU2S0gjrWXuKtpClYdDofUO1HoP1UORhxm1yHFF5kLnBxTIDpIGC1q2Ky/9JUCQZ4bwS0Jv2QEXp OC3eJhHwNk+gaJkYZckILyDvLKO8zrhLngzCuu7q8wRg6AqQJWSbn+xQ1vMFB8pi2Qyc4rWSXbrj IE5MNJs8vOBybbCe5E6y8EfB5ZGMaP46nyBKC+2+u6GihNw0x2lDjjg5WRG5wZzOeP0CHs4oITf1 AiIqfLVEE6PS8+Imzr6c6jobrmeBRJdJ3QAN786Eadw1QyonZf8nSgRb1FapaxKIBAfsisuDYecv QB0gz+ch8n7mDFvkSxJiF6CZRVZUDnVTzbSStQtmhFcB6wj7qErIj6vtp3ay8LM7KScKBsreku8t jaDUs2vJNbcUih6fM0rI8bHoEhAlxkV5QU+uoT3HwOX/6tLxZ8S0hEqtFqYIrzIohmHvONYtOGes vhOnk6T81Q0mNqMGuVXBJzvKd7XtdJIlqNMthglenoOnw3nsCnqJKHQkkiWgPfnTM0rJ05Co0ZC7 IHNvXjuX8Cr9vBhw+b/gmeIAnTK8367vjDJyDKoBKzDyJiLWHRed+06eFQx3n5qh0qH4m0lcVq3+ 1J3cEf7PslNa0BOR0D90cDE3oOX8M0bYuuMA/UQ+Qs88zLmoWwAgTNPkZfPyCK/y3fSFp+KpAG5W EOFVCDk9v7dxx0Auqy799DjlDTKpFaYoIR9CGEAe5K720CWIG0NhjWE6+RNb8g46hOQDBt63OU4f FnxRhIpmc64LsALUoCTo4fEuhiIAMrg+2k/f03P4Nfd9FVhnSOQE7AtoCA8UumyOf0om7XcqvOUu SjPUVlG7oA/NhLl6lgmo5oKw/6AV8cnWfHa1fgj4yesZIoxVdMd/ZE/7fNj0RYiVU0/L9vjULx2X R1mm7yfiNqA9le7ofEXJeJa2yuo+ED6vb+8X5GROqO2cwMO6TytCrLjisUn15C+pnvMkg1k8eDpV tFFU4oBR9QMu7Y+w4+tRLVhhZ1yGi5sdXy4C00GDqwjXUysuj3icvpnY8MXABhWeRSlErKStqYH1 nlBeJotSZcUvgAGAD4pSmIt314Wud/Uc82Rmpz4hybyctGkNYQ5zIfz7HCRotbnvsN9w1BfnoVQI 9D1n04k8n7m5T8SaOgC14Pp/YQ7Z8UUje44r/LRigXFCVnpyVZVJu0Opqsx+ORvJBY1WCFlZaqus smzlC9o0faHjwZoF2vm7mbEE3Kw6FbVoPe2Z0/pp+J6oSUcchkQwuBgAKnU5RQDNj8v/JTGqs/+c mOW2fFfI5CQKY+TpYRps1VpXZU6HsO5kTboVIsfFTMySUtPqpd08lUVbSglO9t2aKuCCIdokZiw9 XHxBWNefnXG4C3NBV5uJwPkV0hDlvA8I1oZolf4rFUeXBLWnBypKxRexvvnaBp4T1oXEGjr9aqr0 Waa5j6yYyqmaLpn9QUpXOfP825CsJSQaKJhaSYvNVKzP4maDXXOBPVO3HPTpSKCGyjcpG7DQ1dAE XE5KWKGUrxOfEL3v1teeAip2j6s/s6JsPHF4l7ptJPxjslANeXYLsLKmpZkr1FUxr9NknjbpYW1U 4BQydgF0es7+qWRtpMVWegNgD1RGNw1kUYkvipA9HLdkDYPzbZAXDo1UtfA+A7zKFckitmWR3XKP 8igdpyXpyfOHNg+0Hpprri2CP4DCmAl1mOIUpuPFYJdsF2i1oxwuVfBWJFasQyxCIqOJVLhGtXFg Cg2FkfXKC3VV5Lo1WORxdqCDCWQEObScHx+XR6+876E52vj76yiP8vEiS3JywASAEviXkpBykKCd Rln+6IX4cXkH76pcm6vF6SQ3mP9OjlsaurYscRAh2psi986jXbQKiix80Z/tJ/RvuXgmNCB/K6BY qbj8/bnDKOx7ynkelL1uGbxCQmelbe7Y7odQaXkjYZXitrnn70SAqD0etsjVKpnLaFPrUdXuKOhw 1iJQ5z3VzecbQz1ItKNopU8Wx8F0D+YtRxByzoFHTr7wD67IcJZyqMH7DNAFj2ot+ypICZEJe6TC FjnueYltqtpF7zpqq8x59X2fSMX649LTTm3d1SKFQek5gkh9HbDdWNRWAVWKXOpz7hdUT44iJydh RTl5rgKq9LswUhmZv4Qezu+Ny4P04DJfmcDPIr0Fx5HvKCsHaQ3vvZtH9W6vQOjITDMXHrRmZIKw Y06nED1Doo9cLVLgAqaO0+9kByfBqhLJOt+L/mIWzgfNWjVXFnvkO8rLG6fBmY6RcDScP2K2FOhp mqUrL4+4QL/grCrHfUft97wcUg9VxGCvXkoaTnLZu7qYWIFnggTtY30VvfRUxWj1/ACQwzJ4SJ3z oFBunML/ODBgWnw2xG3VsVfFWw6aUJWHFIpIWzRLAEKyqQl1iuH9npiDS+Q7iucROVP16v7HlE7O WZiJ8MnbdbvVBv59O6MaVZ0ZpzgvFze4S/yJ622uAMuB1z9m5xMQA9dBxuwaW7Relkuh/zG+JwgT 4b57KT1f26EYRMdSw2+ngcsjjaxfefnJtwcmgRamkNEpuZ6S7Sg/BXT3A6r17hp+k1KPDFMIWJEE D0aYd7UwLZxQTMJPmIDeX9s1/FC5oHEKoch7lONowxdFSjQkcCRiDbjogGrwtORRvrGdIsjKoxet Og9tCxhTWaBCGHklAGpuFyCnxYqeu6KnkSIG6OYpUDGlUzQg4hZsdapiQbE6mURxhpql6g7rMFDg CuiCt1eH5ARfE9Uv5N4tEc8n8tRl+4nKDySvbFwfHOS/NfzQQW75hinUOuws7va15DS9aBpJMMUl 8zXT3YdhCiErYnJW5eRaLUwTg8w8KDIKj4tSjfmKoebCBl75ETDAJsbXBBmUeC6Fg2EueuoKNO4E WUkLl/9PCn4ANp2k0XtQO8KsJNqSnMqlGx+od2dNQWPFCMJjUJeAYQqlDteITqdO57ZErP0pK0q3 tD2TJEjjQPg6AWSHJhQrlx11yAvL4Yz5ji2KE0SQpZJVCm/zfxEwgFjAnNceYYcSKyyGi1CHJJfV 7SpZvdfmHU2CZDEQ3iFmxRV7hI/m6ihW2H+vRL552uBUe3MFtDzFjHkmFUYJT8P3BHkmtxORnbZY mFolxgbTIV7+v7zr0Ode7TI6d5SPa5AwikmuUn7NHzpYrNtDl1iOMEwxZEVnUhHol6vtpnkScni7 ZlJcxslDpCzdFo8lOtbtC1ghyH6H8iqTR3hpzRdGCcbgVZ4kJ2fA5f8LEQjyY3tepuKOcvHWJXq8 nam45P+DKEEozaLUAfLTMxfBVaz1VIs6LFwtSjv1heeMhM5KBofExJBID5JgkXGYt3liFbxjcZWh XmbxJXkVLC2M81IduDyqgv1sMmcERPuR/E9RKt42JTBK8hbUoEwV0Sq1EmTPfsHeRgTKKVYf7xoO qHrhqkjhlQaCK8HjsL8bpcrwjiI/HcDDCtY5jyhgXrfddEB+zfLpNg9qPLCsyk4Z2mUlBCpt2vyI CMzqD5+wZRjcz4s/zClqlVNHCVh7adBgKlxNFQOGIt1gK+fxyKb9n8KcXO/7lZRHcvUq79z8hLYR zbjOM9Mks0JWfoY18Q8Eph8R8mU3HUmNsr4TjaPSJ4fhmpS1V7uc/klRWk5d4RutDL+FTBcjD1fs DYTtVVHGa+y5cm7etssrGWysAgdv4Qqx5Jo9ESXqq/dZTrGnLiC6OPukeJxlUfsPelDoCWK44XrI bB/kFOJXWMMgv+MCJrJS8k7i6A9pdosfEGBbfykdQYsNMpY3WFHffACcBJKF9aRONXb9Ek6C7r27 dtGIOYX+nNXEo9uzWpNTLYTJod55RNvZHHwWCZ/PAJXht5m33pNjQooy9E5SUJUFBxc1WxKa80xC gTrA9VHr/BsVRc2k0q5FUIpSdGra4I+551YyD1zotluXGITDUT1WUe98mLL9MggncWj2HKIYWnjP 4zkEoU3FDBoKIMUukraKFzN5LLvpyK5EXXPyzbioDQzXtm3NvMzrI9b+d6wAHf1BH/bZWWH3nOjN RE0BgO3GkNARqVTJNhkG1jCk0Skfts+N8yJWvlZHaZyD6ZSvEj062UiTAsn5m0jtgLwtUK+ml1HY /uE3RbBEecIL1pJsBzcM1ks1uoKuf48W+xi3gU5EG21dPVixWxCDlVaxI77dYJ1XHImMmSa5cH1Q sKKEfXpvWMk4V99a6FdAZB3BwrxqMUj5nOsTNucYK8iASq/E3Oym/5TSSoKUJRMKgIBdHuIMVTh3 p6iLTgEQba3JvXUSiK9EK6dQiKVKq5WlsKQzVjeCbCJZxfTZxj3hw066uVKOnO9qCcQ+G+2cVmwQ zxO3Qe4l7IEScRDQyfg/xt40x5lcWRL9r7UkDjgPvf+FPZqZO0N5X3h+hQaadepWSJEUBx9sKCC0 6tCCo6JeOqLIbpbxGHOBU6qDGuCZxcy5n4f4/D+hnEwFK60Xy3NsRdE7xcXPhdXcsWvm7ZyhKh1l Ouf21t17MRJkKQbjrFayqle3ZkJWGAQDbMQT1adaKMgCm3ih75BGjnphivKiSqFSuRKcSt7QrM4b WhssQJVBa+HzwUa8xU9WiZlK9CsQgRA5InsIST2Gtx5YlJaXQhqWEYKrW32ywoq6mU40Nf84ep34 JM0QHWkMHiCCQJwsQpX5g1YEiI3zEvbQoOUXRc44RN/1egftQ1phy+AsTz4f5c7fsCkoJUx41NlU hZ6ehKxCT9UqoGPpZpQcklufDijUuldlCHTpIsRk9d6rI7+QPW9Cp5UWNrhDyLCRXPOJ2oJ1khWV tm7v/NcmJOjKBi0rbnCe72lMPh8cWb+EgcGy260+rp5RBN9Y3BuwareqVW7FyzFaa5RnoZOHpipC uyRpH7S21x1tquCEB0c5Is/BxC4itU9oSQ5k1lpU6TF8ybGtp4Q3BfDsDh+tIBksdv7OP1Q+H4Tv +Vt3BO1ZIE/vTIXBO1gXZxtsr8ioZUfxqNGGKU3Pih4pSw05dPZcph5lej/16v2cxHxXKkhRPWoV nN3senXYQJ7YVCyRO1Wl2TtHHS2J2u2hQSc7N0GvOtnr4PNRUea7doUqy1hf+y8qrVNV2HEuVpVJ XgqVCCCUkXgG2KKKQnfxYiDOle9oeDyokJxkqkH0Z53F2qopAEABrwNtTTKoaSWOZq8cbT9KR8G9 1gb1EiYU/YTvRE4YmHv+2n58PRh+p3Iz6NDdk35/s/TspZnqci1QPCyu816WOwnlwN7zBD1FwGoS lm20/Zep5IuSOsrGyFUVVECEFe+J9CpdFFUf3d45gChMGeexCbiV3iWWb7dEF04Ysvl80FL+ZdaB eKOPxzA28PdEhYClq7KSV7Eox6QNqMCBGxBiCLYBY9SLHPRMl6w+umTncIA2ksm0tAZMKeOwBtUd QPlJOrlIqj7tnaMNSHS1OstDzSymGVDAVAC/Cp+PxEp9A5JoeKJ2uNjeqQqJoWR/DGkDWx2rWj7Y 0vLcGWYAFoaGHp+mFgF3lzt60L5glCiC2tmIJ+ZqCtoXjsCEhLci+fSSn+pYocvnZNLcax8+GCwI NjMmCag3jc71X4V2COTs8hxWoVLLmI8qmaaNdWMKIUwjzYC/0dwONTD6zIZjPDlLm3f0osw52E9a I0wHEvEtZY0+pnJovYQ7pVPymt8UQT4HIYxMCjkosMrV+KFnuVY+H6yrx7RZrjGkqj2XYBixYw/i wnYxBFVEBGZMLq7RN7QkbLLCgrts0ieraTbaZJW8ZyNvD+pb5+CtRPlDK4UAGPrAUAdXSKHe7KWD QoMYfdLIX6401ACDnPJtZqEhMPw0rValzrwJQDat/YmtYnuh9eBe9PteVBWMk6zcR2qt7cIwYlfl aptF434sGif23lnn1ESAt3yXRSNkpUAEwT4qnDFnYU176ajbRfnpQsmyVrLHoS2ZruL5DTafD9Kb 8m3jkavMjtLjmx4F7TreYV1ps9WL6ZmfpTXNA5S2xF6VCZ0/lynbbJnEcnSwHgx3c+rSNt9wvVN+ U3AlZGo6QqTk9ryavXTUztFsLXV1CF9k5R2CCbwK66h8PtJUvFUZwdBwaPVnssLCOwF7g4Hk/9QC G16VKYN1hkZVxW3Axhy6f0pf+cyD2aS2a5M6kI6DXkIGTd8NEhI8tLDashSscW66thsbhDm0/1Qb Zmae8xx0wMNOSmiY3Cefj0gPvrQIRz5B865P1T12/yQItGYTkDjZoLrxBDd2422fbBDFE51Zgf3n XVjLLLw4OmgP4jYA/XJhQXWZ8wqhSmxD/sQA23pxtCZ76ajcJ8lAovaUyXWtLABzqQZ/flx+QBS6 j6/IAcrAOJ+f2YphMSzype7F0T2JssJsddnNb4q/PisrxKub7/U0L9CZ7nU4N2zmQfkfMGc6AZE8 KxJUeSBVfdYANUp0HSZy/XJsAkrqQwUQjYNJ3uzz+2o597No+XzEgPjVogDhaD/VvtAGlD8x8Ij9 QoiK5Tkt5eLJM8HvCt4DH1A3lgWXLN3RUkJY0Q2QjxmRdqglM8zAvPws9au/qu40GcixEShX1GzU okTL/0dXIKykKjO60dbkB/yrRdEscpjlMZ0PrUBbU2y1vNY3yCQTly2vC4/hNaC5ivExWk9S4dDo wI+dWIihd2ODpjdTbBT12s+mt3JfD69GNZnQCxS3HDYhW18cHCFTXGaiNj7/r2XFZBu0i/mAjHNo B0rFAsBA611XuTn4Q94fhKYlF2DOgR+og2crRKfuaJkOov9G2PU4e/FsFWNvD+hHml2SL6tMv1V+ T4T+aETHQKJLg5WvVl0iJZ9ji89Hwft3ewKQG6hf3JkKiaSJNPfVHf9RS74wGdFLK4En+66qsN5u PPdhVkSj3FbOhij1iYUoO1UrkI1yBM1U8x7yPLitnGxzFSJlmvae7kHkNmyoouvM6OcHnEU+/y9I NqYFhY4JEwCfq1D9nNzk2W9VZlbzUa1QKDbjPcigmqVcDlxBMe0C8qVW7uhAvgFQEkDXqP+cY23L ZqCyc4UuEWqNFl5BAUjfE9yCyzQmtg9cVRW9LjnQ55n5fCQH+80mzWhi5VSvhmAOnUFpBnd+9+zF vkWzVlaweP4aYgbtRpurMHQXWGhJn1Kj5zkA9EHOgi1CAI2khdOxf866QnSAdgEpJCAjFXvpYF0t iufKgYA88KbjKm1hEqCuz+ejGtb8Pq7YTIJOrc9WaBA6iWuYbmgFynK6KKx9bXkLyg3ahbFD6FJF xvo49enj7JYmwlGrt2/rdJzMEX2FjvulpXkhokQc59AhVLEoPaxt0NF+/t5KKp/K7YFF6P8VQ98q G96TPfQIJSVolppdDb1QxkDlvmaC+7DoHYZXy4FJ6EnFTY/DgWt93j04MOldzHdAQ/ZQo6eB/Tos 47kWBPK5yoFLqIRVMUcEH3NQDevEVrrC6p6bz/8X2UUoKy+UKn2qQhj7JkKmXpO53bJzume6ntgd FGKF7aFRqNVlVjVsQ023hlUA+D/pP4IrbMJKuGbJlMWT4ksBEspaqV0Nr9ArdBJzXAdrWJW4E+Ky Cx4lAuz8ypkf8E9/R7xhpuove5I+XzHPVFfhFYObKVmAhfKxE24AlPX5ii1DVZIxPglHmy/cpGdX bAQN4wRX27BYTGgyAOEnJQSg3USp1KgPTEPPOcsjC3k4h/MzdAH9zoVWaZ5zLtfMD4j24fcJD/XV BSqvT1YYuevEwgnr3OXpUmdNPmrkLk/XF8yBb+htpp5pWXc0cNHEcQ+NHBK5FrySVZoR4I8YP4CP XZhq+Uv/1XkeogYOaKJkIddoqcUS9jn8Mz/hX8xcNgnP06s/GXRgH4rDEm4cszqNEv/oWrGgFak0 Aza2w/xC/9CRdRs6n3I9URaMshvQClhaJ3BM0EshP5ANRUJWFg1ETVR32UtHvDecyQmNRRtUbagn atMJ31EiDSxEUXr/xrfDjm2Mp/cVeohCEBFd1OwKMEMmF4vSJtXVA8CX9ZUV4WWaXLKTqBAaba4y 212D/gQN6ZNRBEnhhNDgBmpmPPybbi/9l6Va32X6NmQXpoH9u4kshOQFP+BffjxO/zqZaXn2YVh8 x8paWSbi1rOwlcUqspVIEwpPNlsxXqZZabTf0UldNIFGPQG9HTAoOvdhYrLZgHYnitTrWHvZSwf7 kHSulsha4qCVVUDw4R131imfD3bhtRdnBn3ee381oEMzUSKJZ1fAsJ8GK6X0uhkdNvBfHRAZu4ku q2PNekdfWYN2MBBXhipCr7RbheQQFnZDWwVyuxeJpdp7aCcqDfCuM0u6SAyuTiZQZO2w1+LzQUya v9EyudArAVULn62/i++FOQNnq0oeDop6gyVyzhbZzTZbUQQ/JDLcDXPbc/N92PKG3CA0ciB9VaAj jNUHsWvgTqCpUnEH2pmVBfILPEWTCVQ0ae42gj04XVAnmPxWOJTxA4LwYd0emDCBBT7eN9gKfUUp GjVvHQbgGZODAVt3mTMW5LWNIZADY1Gkmeyt0ozMR+vYn3UK7e8NMyOshizhQVQGiXc4hxC60GYg Vpa9cpTtLLG8jOwlu0yItDepywvbEBiLfueGwlwhzJ2PYlwOrUUFCxx0x6HleO7NHY3GfCAz7L9o riLQu+jKJyszple/TK/d4QJ1cmdSmM7/YbWsqh80thahhKgDW2o4FGcF3qIIKylUsYkHAYb+Jwv8 cvYyoZ1oVGx+QHAbXhln6jKdAwCyLHeuoiC+sj6zer2gmWmtQ2jEbM+jz8Lznn3gL+r9wgoO7h29 PjM3Gk1qruJ0mCTtokQKQtyAOVi7mLVU7JWDBhgVUilkjjWKY45/8sAqI/oLSRU/IGgXXnpAtuN9 piu+mwOHUcCZ06MTYzRLnypaf4pmid/al1UsFCMEltxONDpoDX5lqzLfyZlqa+rr40qcJ6YAzvWq n6Rkr/yXUEzmxtWgJPrEvIsr+XxP5fMRvO8bs4beCQkRPlMR2p0AM2BeHz1nF2jqyXX6G0vBFjT8 w2QURZl2R78IJxy+p2gUtUJilpnOQAl1o5bL+rErD9Vq7/yXCugCTdIGqzdUaHD+mC1kDm1G58Mi 5MYFmal9HVYxK5W0nLk8wMoCzaAf3NBsMSH16aI6OTAa9VWFmvG+o6MbEhhNVVLFJ8EcEgvN2IM/ SHfOh+/x6A8tf+mA/VU2RWKqGDpAcsgFCvBtBvLnExo/4P1sX79MWQfBkE8/J3AbRXKSJYNyaRTN FYjg5OmyzhmqLDZZUew+zGQlG9Y9f2HdK1w3FiF+A3I62XpftGpAGPkDhPdt2AsKEhiO4miXy0qT agXInIywcqccHXp/qDiEjqPltw0b7Z/TvjJpOTQdJc4bVYbhsfu6wlZVSws5NO32bBtGwJm6zEbT cFl1Xs+6Cp2YE/ZNHlizj8p6VyE+/KTBkMJO98QiToBf9FejcMoamYOwozDD5WTBaojPR22K+h2O UrQttau893mNrxr69Zir3EzFKlumoG0o8VkJEWXLc16jqwY4lmSdWeyy0WYK/M1z6C3ScwZs+ETA LKXBufe8OZQU3duP3tGvsVWDuA4ryeRqaeA09RNG2DSdNOrzGlk1SPFqRRW1ns+K3JsxKC/eYIYY wCGqsr4zKhnZsxvSEChBVFCq1WoKZsisH0+WUO/o4PYzLRtQI4qgAAcgHyjK9rPJntmckDEGpb8/ rxFVQxOHBeT5DJoiZByEyOHK+LyGU01ob00R5wPiq4U1PkzRazDVsjoJAPv4vVenbzg0Tt0MGQBY TdFrKNUcxgDScb7jLR2XJiUMaBCdW3zIqG2h5NcxO6jVWdQpg8jXQKqhTUWJy8naeHZh+9oSvAuF GN3t8xpFNaVCzwzBwnOg/KAZeo2hsM3akqLAlaqoxSVi4MpgMdQkuBwz9BpBtatvWZYZH651Z6g3 xAWZiwhqqN0kr1oBugLKjbjdbYbACsW3vM9QFodEmQz0IqQcdH5NEMaYlJxU5vMaPuGvHdmmKFmg 2WE4oCl6DZ6a22firX2Kkvux9lmvVfSk1wbNfaN9JsW4aaYpHG2f5ZPR1Qz8J9xnzl1H2i+ggnTw Q/RJZTNnoG69cHAWEe5f6cqrQdUWWBEzR2MT/jVuwtO9fM/RWR+7Uk8WX/kaNDUIpRTebLZgeLN1 54/s7qX0E40Yf+Q1ZGrp/5nFGrhBd/SzqMKq4KQxqBycGy5nYRwhhAa3WnjSgR1hndIkL9ZgjlYT BZyI7MaQ1Ejy4Mey1jvOvfYaLmFN1Pm116CrBxa1TdJrsIRTnlZGwBDYaYTSkyapbbkaNQKFhhuI RZPU1EwuY93RrzRgW9GgwYGdSPZlOJXQNzgX7ybdrd/dZi8c7LbMbGWi5QfkdlONpG7IG7LM23vt n9c4CceZOWZqkpBhIkm3SXqNkXBkkzQCTSA/sr0EXEET256q7GrV4NcIqbkmTNnyotPo/LaBmuAA aCOdC6Fs4gMQK1fS4zckq+q8wTclq14DpCa1f0RVZCORcy81ozPzJ+WRVe0J6D6vARLfc3zf/Z1G Rj5Lr5VN3IaDcXea68EGdU99yfjQfgOJQ1pxwbGdsqVzdd7R07l2/qad2D0+nzPyUKVuA4YEJYFM C0fHFC/6zrxWNS0KW4uETg6qirQOTXnm2j1DIDWYI/a4n5U0Cc2bHiC9FjSbaE+gP3QjtRFxr0ka YBU7JAE0WYl4hAESwSur2WS1fIHX8L4pEza3UD+DLBz/2wSwCg7uSlePfGF5kh2JDm4VUlayYRmt 9Pw/cizRrcuf10pme0ilxURkUakGq9TDyCjSHooj5/RK5qjT77c6rgwTDhOnSUbztJxSuu5oi2mO E2IUqB1Bd3BCV1w9daLx0Jmh397VhFG++x5tY88RzE8tcA0q+hInKWkK6lFEW87MngphsRDyn3Xd cDuKt6lXDn07iwMG8UgeKg33Zc9sq4h4FM2TqFmFQsc2OnQRhaCzINnSO/d8LaoLdGqKFWDMmqwK quSbh145OMCF4xd8ikOyTLdOqXieBfx55/3hAN++ovB+6LjAk9nn6T3oBu1vEnuwfdttsiMEQ9h+ zc1OAKsQZsE87WryzSqQS4rWkly4rUI+BwXMc3xruRc4hBBPQNjS03MZwg4H09TpiQXFAR/EDUGV lJXuVjcfDyKmkb4iJiKw+r7bLoq8K67T84Nf0UHhnDhNow3zGpeKnEGAgjO8S6wD9No7+vHURz+R GOU+UTbrW72pTpZJhgJxTeuSsyAAx1d+33ZQnIKUAkvjHAzdCead2H8AwsbRt2e580c+KhudC5un 9/DbZKZw1TmLe2VGmbJ0qOPCYMtttETzJOmJKaUEjdZnOQsGkCbi9hNcmGvSkU8mDHnhElNQo2Wq dBmdTnQGWeoNI9VVT6qiTKZtN04k9nln+7WnNazbjtY3s5S7oKIYvCOQON9x6e6FTsuKnPZejpSa Ls/4TvZrVtWD8Fked3QI7Pnncwq1KlbW2SJSBD2X4ln2uJlPgLAu25ZGT+9cP+zvTcYZ8iIbBFas 9FXW0iqfd6ofk47xte8yGV6jeej0TvXjqSKubbn0te0d9F6TiROjg+4q/O9MPyzNYZ71bd7R4/Bz IsGlorGBPtYkop1GT1m5QsapY3bHWQoKURwODWWIerXig/ZdwxeYKOr+vNP82mMoWoSQggAllVps nqJInEjNlYX4ERlrGs2vDdlksbbbpplAvrP82iWPZrnuaHQIC1TQi5x5OlRT2jbLGehL1zLcIkSS ALPrjd/naVBVfo8pmu00mi2C41qkBN75eBBlzvW172A8uzfFPjlN76E4gFF05kntekBmkz07YcE0 s+hzniNxVQk8isWH+nSmX1K/9Es25E/QSiYdGXJV9csncqBVklt9arrUIn5n9zFQRD80ZzWhsjeh UGYqgif2lj/v5L72tAqKQYTPp9ELwOYpisbZ5QZZ24vfjT0YLicAXW2eYF5hyykMxxU+gft/RzvH wbM8HzZVjEM/iuBEeICe85MgBgT+BtGf0qaKwnHAR8AkIkKfA+cJJmSEo8KHbn3eeX0MvvbXPOF6 6p0q4KxYRtE4Kfknbb8lyxOtufXq5MXDkiX9QFWyjKJx221pGyhq90uQqScoOGcOwEfUh9tNPOQ1 cEolbgT067zcVOyVg2McGMLFPqwNijIBsmH798xd/rwz+pppJj+1FNQ0MqBrNlFROJ5F6CMyVS4h qsXRtb4Xt+aZCPY1Ue/hOOInpXVyr9fo4M3z3wMLyvjpfNZi0R2dJ+zRBnfbSoc1F9IbeuW/mgRJ wvLgRfwI/dTz6FVVpzPts33eCX288tpXjjfZoeh+RL3z+bCQsZXmbMv10pckS+g7s+ZFm5Ncx6l6 j8gfD8isClRSG1WsWuDoYTZCiaWEmgGninF47p3LKd327yr2ylEMlb91OPAbSlOirhOfY4WNE2x+ 3sl8NtVf7YKzQjt0rGyiwphcQuDizlJ1dzSPNXPZXqGjzaEmKorJiYUsaMimO9pENegAwqkNE3UO liUcbNrQq8Vtih92PjocFHd5J/KxZsBTvMoWq7ot1qCeIWOos0g/7zy+X0UDzAnQGhsgW5unKCZf rGRWVrcUalIgUOCnkZ1ElGELz1DzncXHzW+ITWn/p3xb5Ce/OPEY+/AIodjFETMNfJzN+gp6B1bu lS/WO4kP00RezFxiEC22K4kyxz1ET/aC1koUko81/s8ZtdNzREUROZjk8Dm5jg6zJHOja0wPLMUb rtb1TuBjBUrl8FnzHR1JgDuT8kAjIcwcqlbhuBrsW6A6t7/O8qVXDkIo1p7oAGeDdQFy4UIEdWF9 3vl7zRSNnhCKl+4zT+8ROcQ3eD6lVu9ymsOB+Dv7PJ2/1Gq+7+Q9y+SlRdzuaMvp7AZYqIhuDNiK tug5eln8RepbdnrMMum9+s7eww6w80kyJdVkSgChySqzwAb3807ea48UuCJ57Lg19j3Ho4ic8O6T WdSr/sabWV0WGY3yHC/FQs136h4jZWV2W7rydV9d+b0H/KeAa0Mxe6NwZ16ZE9Y4uJ5BtrJjHH1g vnEwTQTg904mTEdMT9B9g/pJZnUQDs2fd+Ke19ye9XTS7y6FEs5TWB1HDiGjFCv8ln09Z0ZzNbNM MV7uu/eQHGXrLTxYS3f0eYLh00CoDd03dJMF0K+4ejaATmhwemWFKebnnbbXnKiwN2XfOKhiAMkg hgi4UD/vrD22KP22YziBsYy7nKKIHH6YkP9M3tgEid2PJ5SnrFCHXFHT9B6Rn20nu74pSwuNisgz 0xEYiDEi7yd1EcYC7uA/50gZFBS80B3Cfd/5etx1pnw6fRCEoMJ1WnYOa3ze6XoIVG8Bii8HIva+ 9cx3rl5zLfDeugPCkqz6ED3NNdyObqK8pRZ5WB/XqTTkHaPRE5eBflGlgAttaJoA5wP5AKsarAN5 XWXaGwc4ApbHZ5cXZO+XfwblSylI7fJ5Z+o1SfJqVrhBYW4IrwObpigen5Iwc9YnVlNdDm7a2euZ Zy1Y7PTO02NZhdNETVsfbTWdiAMl+AE89Ik4B9AjjK/w0w5mLwgEahc9KNsb/zVNMNLwQZtugKYn hOHk49EZ/h0TFHCWQGy3aYpi8Ubvopau/n7PzvzEgs6OG+epi033ztFjEMbNNkwIgaOtJnhidvNj P8HC2WXb23tnmiCStseNxLG4+MJBdocrTq5/NqjSu4F9ELS5lM87Pe8XnEAozAaExl1MUSDeEzO6 0px53VeW3iIsILOj6xedLICCfmfm+WI+R1JikVyjF33RkVqapfOD923yG5OoAKyjzIWkHsKk/sg7 L48JC9vAQxg7ZNCEylG5MbGpOs+XfN5peayqrK8jHI1uGjPYPEWBuCQWjfeDcl3a9eoe7G0MdVCE DHfxTsrj74RN15K8ZzX6EQ4NIZQLQEIAUsUcH+AUgjuqo+brVCAQmfjC0TRdgNw3Tq5BtUqGPH2U zzsdj3H4rxIdg5QLKXhn4zl6Cydsc0x9ctWR3parK86S3QfrnYznaWU5WTPVODU6/aB2qP9uraaT 9OoYO/cwkHxkZ3dy8YQpYLryTsVr0kWDCTvF8FazaB+xd91JZOvO1wwuunSzX+Ur4+z65envOxOP hRACVGa7SKd9Wy1Avnv6C+a4jvCwMq4WS10uG/jAUzMQSeDi0QdroJzNOsFOxNUjZioIPpziSUzh Ow/vqWUWWopwsImqU37H56v7552Fx4Di+3TqIiF7J/idg8f4Uu2VceH0tblnfZfaIiKChL3AOPyd gteuhEZdCpyqtOMnZRVxDTUonYGwuMq0jvmGK3PO6cQSlTKIXstMeuUIOEe+okRsOhWruyqYZUjg G33CzzsDjwGqB+JsBS9Ihd7E7p2Ah8uu6XjKPlFQwbeYgEelL6hqiKd3/l0zbwO0ova4oy2odf4X jqUhruKYXT3OIcoPEC0V6H/H8SIb/7zT755zfHK+SHtrIuFDBqTIvCHz+WDr7e/CE2iDUzIHnKgo FJ+k6mahU/tTrJvMWKo5GkJS0YqZ79Q7vH9WQNTKMzoG40RSuy06aHdIcQirktmmO4cTsAUI9NwM iyoH78y7JjMBiLFIHamaSybS0J7MwX6uzzvvju95oydGmYic5u0ivNPucEQVdlvKfkDPrRvoObNE L7l4oB4LwZgxWEXqNbk8o08UxDShx8yJAmmF6jXn87HxOx2eZMmuK4+2De+0OyKXCQ3P7Aqjfcob vlHMhVcepA0/76y79ih6WzcYUd5e3SODd84dbiry9VEOMr07pKvS1Ue+RA+sc9l2cDw1UVE4PnWW F8EMNNqdByuUtHeWkuLiGvsR4weQTCTgkEny2tOi0tY75c6wn+CRSeGASHPV6FDCJLb3hM2fd8Id YwPfeayNNxoee2zwzrfDMqacMFvAEAMEEKo20wVkSJLFTSx0a8A8RbXxZjAMqUlq9BrdSoCmovxx jigwT0j1zFR4zQ0aEBWmtDe963rl4CxnlSDDPQQDtYHpXLx7UZvzbIXPO9uumfXJc5QTqZI8b3kn 27HJw9J4Mmm7c5Q3+s0RaS6I3aan4bDa0zvXrrmBdq0yM9Zo66lh6cylGt0egOKwLzUz5pScvjIp Y2ql8aFXDmIDVp3momLNXNOZ1GfJi74NbY/PO9eO0Pi78WQAkn9O/uNI6HeunYcUoER5MXO2i6hv pPYSr0K4g+DiYW1cWsujClY3JLOjBO8kbScMPz9bhwtk3QpIz+/QYQ179pnMP5QHq6ryzrRjHqw6 wR4+CIaxR+5inJ8V+3kn2mE5pvnrgGInotyNFxXHaXeMWma+cLqWLTbIrA0yNki4hnjlvdPsuKA5 T33VZ7QFda5xgEl2la0hUKtMhNHT+1lVQlvX7Zil5s87ya65rWE5m9YH5S45V+r+g54xPu8UO0uk v/rB9KZNd5pCtAq6tzBgvKDxubysco5e9zo+iZFRN98Jds++E6XARq8+nfW0mtkawkhUcg8nJz7r dTLmas99N7WcotK41LTGJAqDg6ZpjZ0e4uY7u85Ot2c5bWiIj3rjgigkp8kCOALjdoPHuASEernA Y/g0/QOsspba5hxvwQDaBY0sjQRboiEWesaxMBrad4lmokKJEZv5TqwjG6BLI/EOVsscJ0TYqj7N zzuv7v8HEgOGl/plNk1hQM5NNykP6OzyZHWVxjKFFO1IPERdpYXAcUms9CyMWM8PRuw83dOEODlK vuDhSxAeRkgo0gGH9Ihp8QXwPQFrjJhDqL/7oPLTiUPaEEYsbzwehJnjNhCqDjU6d/o8RfH4yrKB XtXrT+M2ODOIVqqrsD+tQzwqjds8Narc2GjzBBOt0mhTcQ6nVpGJ8XBCJXlk4B7HXg82c+uNA0IL 5Kc3dpkPOsTRlxcX+HwbHg+ABaV9X3bkDuXiCV4Pw3Fiolurrs6GP8LmCUKNXs2k5C15P+/huFRW sd2sTreeOl1G93w1OhpDe+yEG03NYjgdn1Bz0EDJ0rtBPmsPeZpTsiq867qHaBX9+C1EdNt8PEJE e2mcEARwfoEtsFmKYvGxKEmq07o+LTzM0jlNuiPsi9d8ewRVoVoJdWzzHTVLZ2ntBVt36YKAXpYN XZ5w23RypNotF6Bb+OlRabySQkZ2mw2aprN/WnaQJh7/L0VfeINRVdimKQzFydeYRJuaxNi+kdM9 w0+Cm+wM71FpfFhOt9Yz2qbD3JzfQ2o9UMJYS21gKsKeTTjRt5u3WiAWWRSKK2VpqYhqxxCeOQs0 k9jfHCf8w/MBZ3P9gmZmWog+1N8e0jYVFXSDE5yfU128RfB4NQuGc9R2UzbqUTQ+TQyxyq6Jo6PE Ju/LLS4CwRlSroO07UlLzxpuX6jDzTS4R9F4YVyY0MyBfCRwJ1VsMlCKiih3mx8Q8Vu/40yYi4x+ K+Q9Ym/SjAT0Va+s5OnYp3OSOwYDOJ9iM/UPqEqzGeN44ZkJ3HjC7CG7VrXwoNNTedkxvu1XLotX Xo9q5OpyoonAAfmzxBwaFPEIVql74vnozvtVgkKXCwRzm6gwHqdRS6YYn+C+PZl7KARcTVhssI5M N4EecjgNn5n3vKOHBuczTxCoIvkG+JD0FmCCG4CHyIVQ+fJTqma9clAwIPCQV+oZ0HPpAolN9AjZ czlnJJ4PVtQtavJIgxhHvRF5D6vk+MNxmqOlQmpwoxZPpl4dIQWo1aVJ+xOc5nFELkMP86qY/bqs npiGwsAEsuJPXI0IoASm+U+mpFkF8u8qkk69clSCYvUXkhqIZ/n7cEkRh8ZvnfDg61FQni5xmmAn 6rnV6pSE/h6VnxiXIlm9kmAnswr6XxYkL6x90EgAwiU/lSd6FJVvWdfTod5Hq9ZtmILXQXo5FICY BIDjIvdeWHSXmS5PkeRtfFF0863vzdeNWgZvNnWIIYeHx6OiwXeDCtCBKVM5zlOEISeRYBJ3S+m1 s8lIlGYRlfWa/5G1jbtKey8CrHQ1EkqS3XiRk2clnu7s3PMv2HhBvFC25qkSDEQIUGupPCDyM134 oiiQ4jneiJHmYMWVDf1cJXx8PFhQl4JHsiJe99xpN5IK6+SNBMWSVW/CgqJPPRInUgY4UYN2CNp7 UZ18qWgAbv0dbUGtvVFWxgWTxnmhM/FJ1To6HA/6hKIBoKrmIPG1R5iVvgmBguiLDaqTo97eBdAs E4//F6Z5BiBq5QsVG1FgPqWNJRUs5sOy8CAaIyWzHTrHfTXI74jq5Hbd9VKe0fLhVGCkBKP6kWBR eZYPSS4NvncbVtc4753kQoAzvieoalIcEmoRPhiQ9RyqJOGdoy7j8SAy7/vrLIecJ2AQPk1RZE7V aHBfnQs0wZB3w6HtXKAM6pimKa6SG/JwP6PFUXtXSJMzH8ZEwUdVnTwUnRPENIHgvHJrCErwRX+Q 8temcO/awPPIn/fsinP6yY4CE/XvMrkKBxNsRW9QjRBCLtjKnL6eNiW7FUZtPCtaAiixmqioTE7n UAAMWGLCSEYcUpgM9UzQW2TleBZYVusBtcIBKDYyLvdXQLSFrwmArGzg7cquy8ZnigsEVTqWAs41 3vH4PzlTPPPLyWDmFXiIwvLWWYWi7bga6I8MBsQsDHi41GI4x9OIwvKl4vgwitnovIgGXRzPr9CA W2GwuWDLbZqkmCAAe+jiaIEBGNf4mqjbyV6Lqdnm6np0cHWvalONjcf/y+FEe/K0PNIcUUjOcOec 9pe50XbvtpZoVqW11K+90IhCcra0EIrvdkcPyaFSQdACfE0q5O0ZbDWE8QvkkUK7HCkX8UF8T9Q9 52WXSVRMGfclTySUuZj2APqV8Xywmm4+zF/xvNRJRD11GWFEniVfa6Lk6HXOeivkTlQEotIQdSOK yJfwmTXJ75OjHU4NpWW6SULhCQGhrPhYKZfjBmtQouVTvmCE4HH5KBRioDjwLIY2vFSr64kt8Hh0 Mn2H40iFz+90j/AQPD4oxCrRC66mB4yxqC3Byi9luDRLEXjcHLF79vzFnVMBioZf5mSCVwEiKyrV TVQz2aCHLalDx/H9+Jo/NIxO3jO2hvEjO6ENhQX2whtOpjAUX+1rz6EgdQ7Fe35H9XEZ5FTA3w2y Mh7Iym4O7UEKZrMUReJiSVX49trIwEQKrHDLAQnqzFJGlr/kxI7cf7J5TnVZmyZcRPiev+KmDSkb FH5RaO06wSuzRVA2UsfzEbPFzya2WxAy1VtYGWEkTrb5uSOuRN/Md8+tZSxFLACD9ow4Ehf9Lgti z9GSO/gMnI+lyj2YX1v7MuOcwp143ryV+YhhMMAcYSRO1FIWYyoPRChmtXR2giICdNPxAUG9oHzL PKAKt9cNxUcYilO/gK7dqhfMPUygD7A4BQfocybTyRxhibyY+Wevd/SZAjlqDEJW4BwP6RjMVENV BBRGkDZoTyXzBB3jIaGTBth1MMSs+AjL6Lpr+o4T0OL5qLDyzW5Bbf7c9Ld1PsMieU1iCLurZV/1 CvU14+g3sHi3srsZaxlKIbqkdkfvTZ0QNZGIcqKCMUs2pfJB5UZuf4qvWguPDOEZQlYQXILKMn0Q qm4NmvuS0VnxeDBP7bs3hVAezkk+TVEszgt6ZiZFRBhk6lpRf7wWS43hmbCbTVNI6DSQ2Grljl7+ zbDtgu0kDCagxSUp0U1mZRlUjF/jJsG0TJh/EzpZsbbBmK+lZZunXvB4dER9Q3tATJnjEciKQnEU m084sw3CCtXd5nyEtM02HFxhv/BmzOY0acw07ug5MHScASEnwBYktirhECjT5kZ/Eur1GLKHQg8z LJMPirJkYsU4WGDQpDWEpVXxeCQc4uETUUDn8N/9psAzCsYRhYNKNnye2H80Vpl6U7J32ZayzLhG LqSKfIA03l7nOGd7IQsoL1TcpQ7dUD5YJDNjkVwr2aU3jroJpEkNH6YDewqthsnsmXg8UhG9vc71 I03anw3Jc5uoKB4ncemEW947h2LW8AgqV/cNKnu65FoUjw8hMCBPf0ePM1uHsgp75zSpkmhGSWAc ggJ0/qbcbqQ5h71yJHFIEGqWEk12JRrY77IXCRPsiseDbueT3RH3C3GVfaE9My6RS2HlkdEu5QZR q7oSJLXFNFERZMXk6+UOYKNjoMBI2LBkh8JKWktsodKKMv7yQ8K5xVC0+ZxRQF67tFZ78UGBQYcH B8+n8yfh8SjU9PuODQiQeDbY+jZNEWQFHQJcctMJU6TG2QFVttWezvHSDSo2owL5UjO4FoORly8Y +UmDYQ/O2lMamYokdJ2HWCXdGaSTJbg9SdQzCskTYydAVzWIDX2CimShJiyC8fi/igUMv89pDOy2 T1PI5qSDeR/lSe+6I3tKye57s7pDVmYodVhU9G1K8zjaNE1IZVVE9UjvAMGhNVChhDb6BrSdf9oI W28ctPCW2K7yGKyOMRgbfjHM7060gMcjoNh3VxjqE3NdDPmMICuzELLSuqv8j5FuV3gWz1x2cVLC jCLykTU9U5x8jjZNHTKB8PbEagIckjrVAB6qaoAqJkqldo5TFQ9fFECjaXezF6HkG2RzA0QPxPhY D+h44PkIAvUdF0AKs+95t11I50Tz7CyX6pqQhWbLgkDRG8T0n4oB6uY/BFZm033H0bAYpLquRKEs 8B6QjCkRhvoS6brr6SEArsDvibYdkXQL4ZMGQQvgp8o+JyCteDwKM+80CbqBymi+Od6K4nF0gNAF 3l7LXLRtUC1zLT+fsI80USsCrQxtvGr819rvxkOxAA2NKoG6s8mMgtdBSJuoTwtBvh7xghWCVras F4UYS9mgYg3cYAOt1IrHI12jG44zbT4vYJZvnKawNt5NDNIwBiu16WW6Vkz6EMjD6tMUola6wTAM kbLc4ObMUVknmUanDhuva/7ZOZfl68lF9+M+zPLTCivjZEuhd8YBtmg6xk9klpa8gGrB8xGkzisG rD8BW8CYXNMUheNsIMEYz4OCOW44Dh69UzfgM6ZpilArXT3OZrjf1sXVpch4Oon8hLsywswJxfRl 264RIgX5s/YET+un4XuiMh3U7UGU8cEwUHnJRqCdGASP/0syhKfTmWOiaW2aQkYnVbSH25xCgG1c uO/szXGs26XYV4gfFwevNrGpOXo0PlC0a4PZ3clUwTdSNC5cTRN/woMCIurWn7XxnSElhIF29Nx2 KAR1aRdAszYKx+dv4gZMpVa+eocrisZJmZtIsaxaoPYXdbKqIJq0DizJKlArpnRKg2aVZ/SeeQJ6 kqTpdD4VNx9bUovGhWTYFthmX2jP1CsHpbol7WPiVjrKKwKJtY2kYpmuUZ34hCiCyl9zBdDvHlfd f0XxOIHf+I3dIyIJMLYoHGieJBCvL4btWaG+ioolU3oPGq0EVRJ8BAsVWamQIF5nTjiNMrgEPwU0 XDMOTNte+U8FA+EPm+MPG9w5yrbEhe8Z4cW+uRvQxiEwxOYpCshJpJ9DNggTCV5KVqqD85em7Cr5 YZ7CgLxI96lZcbPdEvmcQESdey2pqHmS4aWuORDg2STW84VhZF15ob4KCbDspHGolgqXk2ET0oD2 ER6PJAx+6fU0KJdcKb8VReTUu3WPU0KgaiveDZZEHR018jQ3mxWCyHXjkQzho80Tju/GTgq2Hrgc 8oM9xxXmlv6pMOByuApOZHzRHyEUP5EDLHJUw4UdLCuaqNStsEi+f8FVoLm4Lp51hSjyTlsNXW7s KzSzo6xobiZvJlCgj+rjcZFcYiB9rDv6PEG28dxIPKL6gM5Z03rCuVoJp8sX0Fp4weOLAhg5HSPO oVJ94L6rKEzwKIZPNh4P0AVjfYdQmZlxzldRbIVV8kUJqC82kGxHqLFCPWNJQLFGzKmKRchVHZ+y F+FoU4X23Ql4pFIHDJRA5+fiwAItKEUV+Mt7GKWpiqLyzLXURJtqlzYFWcDJ5KOeHxyPR0vq+9qb 0vW6Jhs7CsoHZTQhmmrxQSfxgvHBzsV1D9d2F9gdEju3Sa5JZSU/hug4pMbJghltnvgQaGBJilEW OavSgnKtqiuLVfIdheWNkJ6CRhiGkwuwpNIaQjTtvcnHIz5Q+hVHgbh146j9HpWDh0cAeb/VXxhE WlUT2FMv1jV3jt+x7Y80xWp9Rpun0U5stHA4nFwb7eHMhQScLuxfsUvbU6wr7Gzgi4IqlLyjJHvI Qd0ExP5d587Jhvd7WC7V6a+GJ3lT7Wr/h2DyQZDBuOL/e5jGKHzYu9PL1MbkPIVhufhSpcgDiKMX NU9CDKAKm+fnrErEpxVAOQdgWhlFqBsa0AIZ3xMV6zol/Gr2QdUVGA4Kc3+CdTweSWX5ciL67txh A/49Nk0hrbMLHGbahsC1Lj+f+lXXHusSXXaIWDExeyVwGr0I1eEh2Ym5bwiottyEFSLQQaqgbOtH Obrg+KJIkIaYldruoPMJvQTVfjeWU4RZacmXk1AGC/JKHhrsGEZeiTJo13CD3tJC9ozlKIN51dp3 CCM3+Hgf+45OCIJV+TnnSROGbcTQ1hzoV51gpBI64rU6rGx8zZ86BpuWEgPoCAoBgF2GKOqH7JWN 5/+ltEI2JWoIS7ZtnKdQ8pBojJmmS69RnNjMJOY1k8gwF9Q8hZgVw/aYoVR7DKX2CSaR9w1U64Di wUpSbQWwP5xrKz8yBt1eOOokbOn2ZB+0684pkSXbXtPC4/9JyA/iCwmEG5umCLRCLY8JO48f55eV YtOE2Ma2HcCPNk2h4qFuOxS+7+j8DeiBJhxXaLks+CVIoQ5huEDnKJajg48a1LY3/ov8Wsmf0qB5 mvQZUg7D14wSvF+1X1hLztuZ2qHQylQLbzldsfBilXFwK1fIj+xPtIR3iFqRVVI3Ib9+hfwWCEG7 whKVJU04vhbD9oAQMAgKotU5dUZpnIrvCeJMij0s2vhosGnqebMI3Ebi41Gp7sYE8p2g5Ya3hHcU kNP6baoiLwuXXpMtp9aG7zqmx5qnOCDXrksSF+PoNXL8OSceyMQdArkp3OHACTY6TZb3xawQZb9j lRWzDO4+cJp6Ohe2Uc7rwONBaeV2EvDYarCXzfeui2LxxhLUKslnaadupM5uTpz0Ayrdz/AIsTLl lNiTRbfpUa2FrujZZwQYQNi+F3N7Q+N8mHbPdnvzxCx4xxorLGWOfgdVewuYceZXNvB4FDldnxs2 hGUH81hupCgYb1OCWcURK5NFZyJWqiCtLBgI29P1UVGUqT+fLqk2aqrODw2HChLhAYI+5zl75+ef sQg7sIcnRJ8/PKSgNbLtpQMGrFwShqpQIJoo8kcimgylhv4XPyJib/i6Er4MlmnzmnHlFGofIqY4 88Wm3VZjeIqWcC7EJDsAGPihWEQPgPS3Gec58szMZV0zl0k0cBqdNrjnHp+rCzZGzAHciX9OBv2Y JeRlL/2X5ug5vJnnYTNXWSehHbYFmqOLUhSY76eL3lnhRNGDM+3z9R6cQ4iTjfRyW59L2g8s3I3s 1hJox/h8hXByRdx772e0+SowA0AvicQgeCiI8NLoxcFmTUHG5MrITItzCkEsdAkapasydXYdOQon mG1ZPm/nMlz8gCCkKr/uQEjg5cc3IUWVc0LdYEA+rHpHqRhV7/rjhNuWF4RVLXv9KJOPJtLWRitz gqUHyeitzagQDikfpal6YTEYvFhL+WSdkEKroFVEzVs+qN6Szq2/ja8w+Hxwwvdv4d+NcxAKmz5X UZiessQix/AKXrE9iWja6p/nIqSZveYqqp6b4ljqKiNw9HpLWtjsncRYFHe0+LC0kB5vlFnauKWp PJa9dGRbwuM9SzoyP7DpsxXZwToLNvP5iLt/yXk85guUUmhE59MVFtCXcHZZ6wlKVtV5Z3uZ33KD 1Grzc/5vhXLgotMdHapxYmmI+pByXU+eSiWUApwdnoFdCyDipptRUtr20hE4kWgWGS5y0NJqJ6uU bGsdev59uupNAAmpIkO3Pn5dKVRiaSJaj2qHPMv3poBEnMD/Mnb1rPdSjIL2qRwZjLI72tqC1tig qxKBd6mY43LDUoCaG6V9/JA/QWWzl440tUg7q0S2cFAlYQMGybpThX13igrpFBz4IqhDYGojVvbZ CgVZEusvxBZbhkMvSrFkaZULvSg6j9hODIvpdlpVRVIcLYToqOKx/3m2a0KMRe+ctMk9hj4sjvjh p1apzV464qgnlvIYxJM0KgPG8wfTahMAvMbnI6Did0mPUSIbjj5ZIcZF8VZPbt0FmpJDN+ZF4OUr 45pTpMtiJAZi6Xy0fZjWuVuh6I58ENGXxRiJou6pMOQf9YIVZUqVQs3ywRxnMOziIAzeuWmHXKlA KcbzwT6sv+CKZK2f/OLZiHFNnbwYqmer/bCay7uvUTx5hvuMz1ZYVDfHStE5NHoN9FwXKDTKIwce Rew3g7VXz4WEClZP85L2qr9z5JEjZXcSsfd2z6WTgXQ56dYTpfL5fzGICBWGRun+sqwMaaAkFtft iq5j50tIa9cCFRTL61kZYl20oLpK5hotg86A4lU5xSIEyzKOK9T9J0PAmsmKS1u3d44Y2NSPqsRW c9C6Optadg4tjcnnI50ID0vZIy2kos47VVEMT03UE/Ys79Qw0lN2qK6uhADRl7apivAuRlscRfBF jjZVA14cvZIiUyaU/lm/KSC6wMgr/2hRpcf6Jf9h78miFXBsNnCmUGvYMqE4wQmfDwL4XxpSkPZG O+zOVBi9E995juFtefTcpg188mgyCygiRYIFqw05dvgUC7ttucdzdMpVo9D7VlkGChbZEmlw1VpS OnmnqjR75wgbRFrxlgjndjooStyygeznYufzUSr9S+wdFf/1tf/C8rp0zMlxVWGm3ZKDoKXUR9ql Tl9UUewu6xeU8dodHZSH1QPvb6gBVtCA1abPa0IgEG41/fETGs1e+Q+tCChfJR+4qFDAUMHg3KWV z/8XiELPnKRnUYWyiaiM4Ld1je7GSEyWQpSo4ExVssY0UyEr1GpX3c07LphjQ9GGeQ9xVGls/Tfn pJfF/STMzYtYfXR758jdhOIHFEvCMGxRnQg8d4EUxubzQVf5Gg4y8T4HUJ+Pv25g9GkllbP/houT rVWG77965czrLM33X4x7EdVx7H1H339gqqfNpk0pPMy55QZypym1mH2dY8G20xdFRxV7gLNUHwRh 3JANENF4FT4fiZZ+33/nSkMVrN6pismhlEHY9YraQAlH+WDrPXvuzBdnFBqafa5lLnF2YBNSbvng OaVAwKIFE857AR1zo/BXQifsBGLrFv1UyArtPlWYGVvH+k7OlulrZrmctKk3jY71b63u1li4f5ZV KNbSTbh0WVFmUrGB6BfSWUR9hOuuGTQGhp/ZpZJQmbqjF2XA6pqNksEN/KtuEq+QEAGsipU0t6sq BJbwm/5QQzgR1DMorjphbzIGVqp8/l/CNoz0N+lqz2kVBuw4rc6ZkVxVqqj2TjTjuJ4wKTnoMweu n7hZmvAcvAo1+lztBOYxwdYn/Mo9U9SbHmiEwGD7UQ5XUKHe7KUjUwHao85ZfFDjFC/PL62sMwTG n1lKIE+4AIGFeulqOTT+tJYg2dQqJrfW7rpqw4t9+WaCsfOnWVlab5CjdycgEdgzMTADIdeUXL4U X0+ucWYFec5lYk176ajdRdWWYTXlm9y0cs8rVJJD889aL6eWeTO+n7Bmn67QAJT2bbM7BQuJcyp2 ZEHxz0tYpZgOVw4dQJdqMnnMZ/QyQ0Jm2khBhl0m8UF0YUBJQjJWGaI03vVq9tJBmYEqpr1LTqJT CAElTnCZJd19bis+H9yEN2iQLjN287iZYOgButT3osoaRc4HHQdYkimo52bmzQnxmpZWaAJKth1K MaafX/JFop3ZOXEcNCDQlj9LpxLqAJg1ZwnVLPS7XN2NHcIcuoDSpxpM7eWDjneY9Kipk/vk80Fp tH5Lk0CbEe3LO1cRIIZ6trOqsMDiCHVQzPa6b+tRNIgl68gKXECxrlQaLVYaLU9ptAEUswuFEiBD 3stW+YoqVnUSRT33LY3WZC8d1foIVWdrZ8Mk64dodYBImiSC+l6bHxDF7d9qCZAzzulxQsmBEyiK fVSVEDubcufCe1BgcU7Rt6GGN+7KivHqUphqc93RV1ZObMc3AvcyAGfchTCnP78QVKwqVUp0GVIT ld8UYRlYbRfbtok1qwbFbozje8l604h39BsNekLinZ9dGJsQQcAoDS8yLLncM3SXMpgwRH0bHDQH dqDGbS6kxd/Rsf27NZz4aqyei3ULENlZrSs84kDcs4VFq4Ec+4GKQDoopb9QBupS4cASJoroXLiT H/BftAAQOEzwhXyuooo7RfOpyXvxMSt5Qaaui48h019zFQNktJ5MbpHjvQwp3NmnAYn6VOyekBpt QuP6emg1KsiEnqCZ+U3OwqdlEwitQBaLs9XOEcjng2VVvns5YF3MB2ScQ1dQWrtNMvFsWY3sGWEv lvzAPCZ5mS+wBU26U5HeiAHI0QoyZ0ntc17xwKI0DmXLCIc8/wqwJ95Kpjm1pr1yhP6gpksa1QdL mE9coqih183no8jdTysG6eeonuvrsIpq7XJ6BRfPEsI6ls/UyNtBfADh+0z9w5CoVZOtrv0aqEJf uHXyfc5Bw//BbqLkzBItcue8bZxscxUiZQjjW5LOBUWLPfcKO/JiIUPSm0aQ7PkVMqDIMVN6VlUU ueN3AMY/Xy8w2TM0ypZ4nWG6kKc+KggZRGerpohTH9/wDdGmQbDSOawaJHM1V7gef/g3A/RowRUS WX1PcAmyl7qqzqrq5qAobHQHZGc+/1/0YDOUTtDx6neuoshd3dTdrwvfoqMiq1erXzDfAIrF5iqm lEoFx0DZ6QuUnRBg004BoWiCwmxSRoh2fQLkGPuS9UlykfylI8F4CgYldifoOMB1NSD9xQZMPzub z0f1q18WczQoTc/JHtqEqtQ+R3LA8RR8BpN1dr37X9JTWZsw9gldpq2v+jFHL7WviTNqqiqzKWLC tBEUvJNOndQgPXRuAo5z6BPKosxOpS4fdLAnMBZYkmKlPTAKRa/p240eQhvfhYbQKXTTFQz4Oj+u mF5Yqa97UyJTRobLKrAKher9tmPqGW0Lorq+cUxRAG6cqJzb9cQI5/XGplH040Igs6sceIUKFwea MJwhNah+VVDc5x7cc/P5CE77XRVFGrfQmfCpClHstLVgmGJaLyO7rcWU6j4teq9GQA7tQruk4yHC cUffga2e7QfxDmxltJyJb4P7JYAy6OHi33kXtVd/6SAZFKoPEq8YSCNmhrNb4m10Yque+QH/xeUx k5aV13NgxbahdAeD2J9N16xuuD5qNwdR7MHlZZk/fEOFJyqGwyoXhzU6GssQpuJ0oWWqskxdmHwE rScfrOOKUqlFHziHgt3NmmhNEs878XIXyg8VahYZz9Wa+QERoOG7hkVh0FaftRWH7azLrHIna24n LndJL4G4XNm/02TF0ugKRZ1xmh4Fr4rdvTp7g43CYFN1mU2WM3TdKubWhamQcPGboqazFJdoLjOp N6OTKp8gi0JpP/Ab5CdEyKJfEFuUJ2D3dqcrityJFBqiQDF/RqbgGSEpC+Tl7tpN7yyHLqJDp1ay AIKjBVmF0NpKr4sJok2iAAXNZoCwo08gbURNU3fZS0e0N4bui5ZFHFRrqOAXc946yqOBkej/Lbuj FD3G0/YKjUSHWCUtZSslj11cVhf4O5WSx96uXJkDJ1FwDY28LMkzjo5n2ED1jS6bPgCLpmgl6PJB aRBKVWU89JtuLx21CCVb2bQPESKz1sBPYjwJcyR+QKRv8s0sYXxbHqRM4CZqruTCwXrd3Si5tVHF QAW/LMxa0kdFUZYKM1Mobo52xm/QFetUlAXnbJ6qBUdborsTqgzt2q5C9FPfFOxDQol7Vd2vmoZA g+L4mFItWZ3PB7vwAt1Z8TpHDPCZd67Cujv7OZ3QFGKwRt5XSo/UOGKwtsQWuAnD6F1qC0kWcxp9 E2boY2S2ns9pf+6tJaAtEUjQgj+5GfhqhsFS3T20FDVBik0VDw6cq3S+ZFFdCNryfD6ISHP6dR2i 9Z3qvLlO6CpaTa+qZZutOrcr6kmOk7NV+4Ulh7aiisnRuql31Gy1DYH/1AlpOOnJLILZJngmoohV WXB3yaqSBe8LfEURv8uilp1CeHf9MOQGeNcMEs6un/yAIHq46Y6IBAVe3vc6jJ1Fmb+28VgZ7WXM pSagLBvQvbpJTw6sRSEJIguxKvFmdWp/5GWUcLki+8DZBYx21dUJ72EY9/yw/2wOYmXZK/9xuq+h O3FQnYxB6VljzG8N1BBYixop5gkcJiRS592EobUohS/h1WIztaSHpPhdRAEiZVC8tZmK0O7WoYAG 6h29MNNOyjZMyyOPPlU/LZQ0XfL2xeJQVjgUZAXeotrttKnNirWSlN8qtkGSxBeUrnPoLvpYGnUV VbNUpH2yogieaNMTwffr/bTqctJJcoIlCODVkp3AYPQx1S7iMNXiHCbIWg7YYBQyB8+9aD4Z5YRF VB2BhEm7WLX7yhEKcusi5FzR251JyznyToLJuy2VxQ/4L2bRjaTmK8uUA4tRsL2yENu3NkrBH4Fl WhPa76wr4NZsXcU1dyGwmsmot2+wWp9pQ5oA5MEOghcZF0gXYdYxwSW6wicp2Sv/wWPaWLg+KIM+ l3kjEaFmILBCj9H8wNyZIqFokZ8UOjAZPScMunRjktWi/nOvV6a4WN3hBKP75oX/chlNatRodOB2 X4AJgwaXxvkFMivH6D43FLAAdqSmrKkO1WrvHIXuhEBWqaJVw4dXqWAIL9oqn4/IOe0rZKBRT3su wdBntJIInmWzRmkdNujINWn0wFQsCpCSLsHAaPSRYCjlGT2FRjtiWicHXYnKQirsZ2CugnJKQY3S 4TJKc0KrUcAewJvIcjbiHcb46nxUY73hfELjB7wf7Wt/19wHQZBPKydwG80sYENdoHuvfkhBnaLO ZZr40KR6oE1WTExVXLVlLMbRiUwwYgXZlgk0sxFOVqYcScP6bWDUeK9eGJDAcDT/P8nv9kTOZU/o 0zK+gtheEggN5YbQcbQ8+mj4rzOpamk/vfrQdXTm9n8gM5JLxTZs5dH+AnrQtmEEmakqy6DXfEc7 3Cu82tvkNixnT8KvhJ2vwh01qH93jywuaX5RUG4gb2JNVmc4CDR6bsVBifKz3DKfj3oUt/1MKRQq JaZ2WYWf1wCrZ5k1TL2fhK3m7r4Rx2yGxcogKXGyXsOrDhVsqe0tWdxz9Lp7A7xoUZAB4qBns8hf DPWYhSh0g0xo3n60j34NrjqQQZynRSdEDqKowm8jyZ914emXWerQ4tVhJd0i4KARk/FfnB8nmqEh L3Kjd13gKGmXBNhIf6hNm6HXsKo7iRC+KfWOD3cJgEHuvbPJBuFF6OIAwLThVUU9BvPFQHyGr3mb IrRw2Jank60GTVHZ6kX9nN8GT79N0cPD0RRBAnEV1vgwRa/hVIdhD5k3ebnaHn9ZiRJKWkTajUCr c4peg6l+I88h2oFGW0QNIWapVD8BkvP8HqqxoyzaMTvoQVjgKYPI11Cq42iZ7AQi7NSgsnGaJ7Fn UHWOyc9rHNWVCj0zBAvPkYlkxwy9RlHcZmRHbKt2koPjkP+czO23jZUdmvYaQ3WQBSVUMaQswNF7 8TgdSRtBFwJ29gwiymCjBM1tKCHYDFEW/fMaQXXwN6m5C7wFBjShpZV6kr1CeuIJQvLnNYDilslf U4RQk/RtTdFr9ISH0LCcSfPCKSpXpALVcJsiUEzM3TdYRIM2rCeFGPWOVveckL+CCvWZog1j6sKQ 9FyDgDYgPiQh+JJPqb7wGjrhLGI40DOrnhxUbYGSDWEZ7MC/Bk7d/BU4JQKPnrOoLt9or2FTh0yK 1KoewZOdr+xudo2vc9+5jf1r0NQNKIFaVH7GC3/pYH1ThRDAs0GoIIImHA1IAPE3ehxQkrxYgzla VVoC7Pt16E5VY8ifxcVK5Tw3y+c1YMKaqOV7IZ29tgu5SPTeeJ0ksHpIcpMHBr1nlvcbekp3IcGO yFxVoklqkv40k7V2TdZwpZ0jJy9WhMHnOIeRoIQJWXWCXxn6EXe38RJ+DZW6XMPRo0MX+gyoGMiD le1RXlW99s9rpNQlAG2XGOYT2P5NBCgm6TVIwpFdKeLxYGVbKo/E0FX2ykDDS5c4PLKzQfP6HT1T Qb8XHijkAeZz7Vv4jaJTrqhYNBYjLfymYNVrhNQl938iI0GE4BX8Q7FC+Dog0Cer6CS0n9cIie85 vu/+Th8jP7ZfK5u4DbuModcjnDM9+W3+b89+283oR691Te525XHS19foNWC4zvTBXszJdIEupvxZ pt5Dg4JTQ/hseGKiaz+vVU1GYTiMwPTV0JTsn9ShydKtZ6ijBnPUt59Jmk7i8qbvt9eCJpYvIUGL NFYx/7LpoBHxa5CgsyH9UHotZ/YHSGzOF+nb+QIcHesb7zywFVn8TVxKLHVDmuli8qhJ/FrMxI8h A+1C5eZZCO8hVxkGZUxNzqr9vFYye77m9dUKv6i9ZnDmLYyMIu1uBbrhBK3Rn7UkqCflYPZ0QF4U alvJiUVbH23LDdDTz+LeEh2E6q6K5Kij5IZCYqVDiAnCKON9j7ZVigTCsyQfVPQFA3Ncjbh3zl8X E8eWE4X3Bko59/h+5/x1I+qdo8noa2zvTY+VWCZjJVNMYJGOookyVa+ynvGGkwk8ZwpZor1bmyZq UlOtoPjeZFVQJd489MrBCc7Gy67kiHBQM+GkTSJ0n+9an3fKXzeJ07ukVqO+0J2n96jbnEXmlkYV xfQE08A8rbLdmX2g224As2Ceti66ar6GHL2GuSDvBJoNaphnyk0ctA7WIyt5+k/TZQg5HExTp/1j QwnfBk1TQrFBIgGbjwch07PzGKWjejyo1cF5imLvloWSSh40SShacI2UHH/Qux/i72w/XprWUZ/j jn5AncQWVhhU6kgblCO1iDer2okdE6I1ihIUe+UghyPXneKINqgqVygyjn8swMHG8fd3RAAjlV3H naf3ABw1R7TxwG/xeZLmmTwd7gFVyIZUpTeaJ4GrCYvx0VotM6NUmWihnYeUynQjUpwRJ1rmOa5e y7Q3js4ncvyAGALPCFOrsslJdDdb0tAq/rxT/bp4Ss99l4H6n6XciYqicAhVAs7ZfKJOBOZKu335 hQeMp5fE38NwYA4YNPUmqAZH77Swg5tofgF+F2xe2TyAEg/IeucD97pMW1o9vRP9ujS5wRs1FqkT 3c8sdSmoAIn3eef5dSlefV155EqM5sHTO88Px0onyqCs6+NbandyA2mcsu0DLVqVkygSt4OpZ1kZ dQFCTJ6xDEwUDigE5sxWaTlz5pLZQoYGr9kdZ8knRJF4q9LiYreTg/Zdo+eMLrz9eef49cdyxkoD SHpGvtMUheJUTjj7ulwqVjUxr0q1JS/v1uFQgygWd8eLquXE8eKEWwVNm0LgZ7lksbUKygPndCjD LUKkBjC73vh9mgbjgoxfgYMCqIqEOitfSZ2PB2HmLcOpDowy3LOa3mNxXFIUIOb5J/hKnV4Fp6uW OfOAi6wqeBSMDx3jq7G4rNF2HfqnJM6KXzuykt+aoFhE1czc6lPVpRTxO7XPyiBnOSJttMHrTG1y NSCJ+Lwz+/AHp+/kNyNEhJ6Dz1MUjlNMEXGWl79PCll9OUmpcTB8Kg63C+Nx6W/4ET3HNTZEmDSB e62UHTyRx3YCLn5ISvCgIWgI/SldqigepxzO7rPcgfOEPl9eUvMCFCMKyHOd35kdvDdAxraSZRSO M86brc2bADcH2jWSYszvGK+jmmUYjivKLDO1O9qu2zCGLjBLAWblhAhb8s4Jfp7IrUhi2rfehCXy eefzcQc0gaCKDwqfoIs6DVaRP+90PgYV6SsqoAte7tPzlnc6H4JTqSW07MXdIQt2OmJ5OozS5bJT /J3Nx3tzGLKu3dELcyuhmF4ZPrUTMysXzHDv+8l0OK/0nHEVvaFXjhI8BJhZ2oMZ5yZ/5h+wgInd o/XFbJ93Ot+vG4+Z8NlAG2R6m6qoDj6LXGeST9WiN5pcZyhUaDLgzbbeO5mvmxQtgHW73dFpRCCG 8m5CkAlZCQHs4I/xk6m2W/EGjqHWmnoPyROzWTScuikBAETF2BrQaebfANJ+3ql8jMm/QwO44cGj 0icqCsnV/u3rmo13ymgqxavXPmzyB+BERSF5sk23dU6Xfb0KYEA4NmWjzkRR41psWno/wlYDP+x8 JDio6/JO48MX0Z+nSIGjXAWOca6LJGzdWaSfdxZfN5fCJ8U7072homnzFIXkcHG6plgsrZC0L/iT 5omp8NomQfXO4bOMggtJhSiONk8w/wRsXSDEPapcV8+nnIR1001+TedomyvWO4XvadCxOQ7Bzy0o YdlNKiI/8OL8vBP4cDXfChRpOzikdu7eonsn8GE9IeABT9NLUPDFtIh8Z19Ps0F6RfMUFca7sWib GUS3axB98scBEhRrK7uZ3jKaB8SzTDg+s8xyD/OlVw5iKMoEtcZ6ZmvuvgqtrFYFZprr807f47r/ Vc4EshzdWpun94hcAFFMTr/rqXjVd5hdCOcJXXvtu/eIHFGGSipp1Tt6yQB6TAg1sJ7OcbsS/1v5 WOWC7KPs9Lhl0n71nb2HLcDWAaqkPnCeIL+/5Is11v68k/e6YAiaJyw+ANsB9vR5CkNyzRNNrJQK S594SLrZSys1OeLinbqHn1kutV2KUhot1oR21kQNik3fk+ouNa4ampwb1TLY2Po5Tsbl5524x1ob M5dBlgetGKgqeJYmdBcwT5CR+bwT91h0m1/BAZBaXVgLzlNUH4fZBoxmrp8DEjvbd1OOrDyfWKXl vnuPyVG4ZslgFKUwHO884e5MQ4qxFYUjzdNKQL8DrtMe/zDm/Z932l43GZcNaIQPqhjAFIv9+PMn 8fFgOa0bk/PtUKUDr97mKYrJyYZdJzrOfj7tNvx8as37djO74cw7ZY+lFZ5P27B0HDVP0Fc8yUsW vbFAHVGIVyDMfwgMhZzgxe8Q8ftO2OO240Jq7Q5CEeACSgaPHp93vh5C1bG/lxOI2Dv5Mf7O1utu YdSFQCEqLC1H7qz+2M10V0N4J+s9mfA0z+N5PY/X2Uh513N+01UUFhrqYJ3goLOsMVQI8sIKAuvP O1mvu/kqAE4+OANtqZbTzj3weefqdeMzPac4zA2hhmnTFCJSplpR9XKE+uUINVzaHmYO6929M/W6 IzIb7OzuaKtpn3ThBJMJ8mULb9UEX6ngnI3Bggwuui6GUC564whxQVlYmYRw0K4bYJKbeBIfjw7x +r3roD8wcvVd987Tw3Kin0OrzVG+8iVh9LTpNSDw+IWuvtP0eDopE5YTXX2c6BYsRE90JBsVQKYI u2ZBE2pKCIDAqfJDvA29cJDgYX52Uz7MgdNUzlablgiX8nln6HVzjr9JC2gRcAfwWYpicXgoor9R 3PViFLNhh7QNJldqeKTxAQv9zs7jpmN17qRc6Y626TqkKAFoIr53AwYmBh+b3mVSjGd4G2FSgOSd m8echSEB4mcMw9xQThxfVhEO83zX552a103/75knBHSt3MMpisXFzEPo5wD7bCcSMBEu8HaCMG9z vhPz8Ds17TqV4DTarjvbbO4Kfj3kyypUmbpW3EkZKw5GIr9FB8K5zhf+ozqOYOAOVn5CqMfg4Gy+ zzslj6H4r7OpUXL14pzeI3HcGxLd307YmNllR85pMp3JX5o37975eMwkeN7MIhW8Wfbdcy1VeLFT uxoipmvI9GIBjTXJZu/99lomM5Z3Nl7PRgQiKNuGpJITXJVZVTnn4OedjIdlkfPPd1VlnE1/cQXv XLx+ZTRog2OoevPuhRDz7jcBXgYqfKfiec8egaUYU308jKnVcul0MGTvbmVTmE9EpzJoQs/hsjwJ K3xn4nWxvFBcR2CgQfN0TtWq/bfwc74H4kkOqjcQ71TAfq66KBAHiAf9lXUh9cy8WaU7ae+Fqexl OPF3Fl7/ElW0lgv9yn8mEhZ4gsEpky2EfGI6q41nVulyoqlhHk81M+mV/8LOjSoLI5LTuhZUBYbB zsPPOwmPt/t3ORMyhbvfzO6dg8fOhU6n4uVMyGh66Wn1dBeU+0K/U/DYk+JdB8jRHb1pfl4k4yYg t/McXGPIoJZWN1DFhjHTPcYXrpTPOwMP51NnqaBkSW6d34egmLOiznFK7uI4AeDnnYGHnbe/Jwpc /rmLl57e6XeWAJyzm+/mwVP2FbWMutGw5Ixg/c6+61fRtFI4yUaHYVAnAZQVcjQWCitCPmH1daIL Sn3csKhz8E6+YzFSaGe6r3LgzlsJKT+7dyfb/rxT7/ievvOGCuRdSqEGxwyDceJVujvRgtXZquGe 5dIsrfhOhwvgMWO8itpR2yZqPxPVaUjaKV8D945B/XGYZOLbOy2eZMmuG4+mDe/Uu+4i1asrGgfa QKKAG8J9Uq9p8/POvMPOHV4gx39Ljfi9bjHznXmHi4pe44W2DdK7y9TUgrXuyPQKA+0H0uU2UVE4 Pk3np8jckKNtPTSDQbJiJwGSvJ3nGCQTIeEGLFrBL2vFJ0pZfd6Jdw7sWcRycMhCQKF6qjvvRMfr 8067wxFxs2AiDhsNjx1x+E66w4Ka4lEDavs/KsAS7k1dwHM9VVoSMND0BRVVx40dnJvA0BytSFdO WAgeIp0aCAItwhzSJLkhiaRTy03vul45OssLr6ndNRhSrMF5hIcV1PQ+75S7/rg/KtBctLNIHhu8 M+4YaBL9TC6WVO4gIm6WFqRVUBNwUUIGd9474Y5UABWdkooFPd1iQYJH1fmAmWTDA5too+mTwwly bJlUMbXi+NArB7FBYwwFaQEb1JnqMIRT37zUzzvhjo/v71DzLMcN31Obp7A4PnV8Fy/SzXEx9W07 4xU8IAvI39l2T6NzZQXbKzdPg3PKmQqmmToDIJTxEDv5/TlVQRmD8Uf2NFhFlXeyHXFeRfSe7INg GCcCaWzwnFy1fd65dliNt4FX5PsBA+sN6QObqag8Lm8GKQ8Zom45MVHoQQYH5y+x4OCdbOdlQrh7 WGu4uzXDyZChwoFWBI0sTi48hBSj5O+q0rrLt0yHBvjnnWr3UDSwS21Q7gLNLm6cejLKzzvRrj8m WJWkM5qZ9eyAuneeHYtW1DGYprJy/lHSY+Rv9uWdTpAIbJrCoFxV8d3LM9qCavRtpe9cOkurDPtv zqc02C8O6j7cmFwLKiqOD264/6taWiH22eVVCPbmO8euy1T62XcbQm3jllXe+XVETZGOCHMdawjL apX7TpUo6rsiwtY0hTE5i5nNWAjtYSEsylX2paIvKIglyd3vhNUn60OrPifaiQonRnjmO7uuy1KB XKjkgxUzT4YkrYdz/H3eyXX8g/tX6oI2+okZ72qKIvJOlaipJEUcc2u7UFTS6iqTegKsq7QYPC5W FGoAPlpYgLJ1ouI1WFGCQqmuMptV6dKjp8X5xfcEUQHhYWmn7oPKTwCEDnU788bjQZz5i/VTtcKT T1MYj7PVslnyUZFub6PiI0TOXlapjjpsUWncZEqHyY6NKzt2bjsoXpzbodF0tUI6RgWDhuMKJfM8 9nrAmVtvHHBakspzPJs46BQ//0TOKeKDgccDaEH5telwJeSLOewhehzg3XMhD6/SiRdqtczlTgwd y03Mn/do/PqgkGzvo1WfYBCNmLvR3eNMuulgnP8DEqxzk08mLUshQdYL/9UIHksyGMvLKueMghg7 Z2nz8QgS/c2yI4qu3H5Uj0LxsVSYy1fXodyKb6fjmlmuNhNy7RFWRaWSs1BUIeeoWULod662RSgd JF96FvW1Qf60owSVa2u3WoDGIb4nSFmKJG979kHTdG7QqXT4LCw8HkSY9dc0wWykLY8HelwYb9K8 cAG7OZMjok9ud+3qKcuEE7xHhXHzFGhDi4mjZyzlREsFwGewfhMkqMlrmbsSRYcoH2KMXiwQjyyK xKcMRBuLBZ3RO7ca+UXc6CcXLng+AD+tC7Fn6ISQiF0hm6qQuFm7smBHHdJYxATGmvmBNeR2ZorZ o2B8bnXMsyV3+UnuTuAFNcou6j0K8PxvQP6psC48Z3r7gh0S44IvCpYUzydgFzCQTlbFJ8MG57dC JwYfEBzk6TscByN4gH1vMxXxN2mkObMqvyzV0ZmGhZVSnZvYAWGxmQrDcUVPo612RzvIITKFwlLS QX5OCUdF09SDosbI6VwwixdejyrkanJuSatsaNfK5rhA6EDmoed8xPPRjeeBAYXI4BgJm0mbqDAa n5qo5D6+oy+HRRMxQ7zvCarwCyMw6CGLUzXNsQXG4OiBAdzDgIGipdWsRfJH5y9LdENHhI/Lz0+p mvXKQWRAx9Bz+8sM86zHLpQYBAqIaIDLH56Pdt/4taIakKyeCPewSE5dPRSsz2FdmAgjEpNb4aa3 I0p1WUYCOM2jeFx1ypN+iaXJ0VE9FbYOhQneiULg3WoWq6QEAxhX+f+5JOnUK0cVKCLHB8mKo5NT xCUFYYVOHMWEAV+PQnLIUz8zRdFOsPZtpt5Dckfad1ofmK8j9vD/0BlL1FZkyWAjx6g80KOQnDZi iANavqO3Eyo497g0YLC6esrSxZ/0b6iIK8jJcuMTmo33qExeeZJvPGCD8E+9lKmj/YRteDwqGfjW ExyoY14vfTrCkBOBSiAXq5fnbKRPx/9EbZ9yh25nc6Boza0X4VWs1ynnK47szxL0ez7q5EjEkJ+4 daZGzN3Zj/gusHohI1oeEPmZLnxREEehdb5JBrXBSiulms70SRfxeLCefnUTckJNFBBRm6gwKm/U 8ZtdJifIiuuyGlRmvRATNSC7YVsvqpJby/xFH2sNIJ4KPfYQ7CCOZYRQSbwmJLQiArWa5uj2yu9n VGd5fKDmZYOq5Cef0KVXZ5l4/F8LShOFogV0cY1rHsXlVKrwDJjJ8JqOjq7jEZuBUqjI5lGVfGpF Ta5IG70IBcUfEmyJFDsRNJt+MOVOPyQxZkhiG8eFBlH4nqCmKamnxLYwBwOynhSMQS6U8fF4EJjf A0poqbMsMVc2TVFgDrgywW7JqUDDzE3oXT+NCkRCqqYprpEr0swqm3C0Ow/q1SvZATV3pwAz+3io E9OvJKPj6opr6JXhi/5i5Sc6159pOjM+zCK7Ybvjwm+YqBC0cpVUGJ0CvzjSXU8hhJzk17aNgIBo 2T2sWnEMfkMBxND2IyqS0zStIMz0kQENsT1wmj3p8iAJL50rVk1k5I8/5w/OTLjcXgEHGb4mwLE2 zs+UXDnRwpwlNt5Fte8dj0clqG8u0Al4TwJzOQkjpHRKk6eny8vP17CjckmrlcBjDMfTiKLylU0N UkpPi048nCWA5c5VVLKKBifmTNqhCXDHsc8tt/sjVQ4VXnxNpF7AbFj6mRy06Xqq3RzRxsbj/0UH A1qaK12xkBFF5J0qh11irCJPZesJN8rnGMVle6tzRBG5yYUMmT5rtIj8XG9niQydTaBwUJz/rC2c RhRjLIk+AQL7kn83ogI5OowLgG/yy1jl4xV3/pLdCc88F3b+jLBC3u488T8GcnyXKxgSRuRS55EO K6llPAlV+K25OrUMmFxNVBSRm4DRWcftjrd5fjJgWqTCUQEEji7pe9qZVPQs9zUJoP0wvibYdFv2 ztLnGc0VpDOYUqoajInHo/7dTfAYkcLKAgK2Nk1RPE77G2pVXcqUT1Nb2+ktA0RDm6YIPS6Z47PX dr/jRfvOXmE0i/UEQpmaooXn4EB5GlBnx443e+FgOZGscTZA4bBERoBk5jlW8Y+wm8TjUex0p4nH NaSH8SE2TVF9nKiRqehMali00xHCYPfh3ZZ2pykKxq0fPLrsz0YnazCzogllBzgEENtzUo2UhWKF kQjaw9TavvOEwArfE4VOPMN5R+GqKwZZmZWy/NhJJXU8HxxP/bsKBfjSrFdXbYTBuPnEreG7Ts1N daXypQcDkMKCwQiD8SUNml3mHS29Q2d8UVoGEpADaj1UM0ICiWvx3BWtzEcQo9srB8E4BQxqoapR JRs5Kf+Aaya5CesEtviAoGJQfEl1oYMnIAY3KgjD8SGLxlGsZLDkiC0FyKxeHszQp9VWRlwkN0c9 oeryRdUBBwMvU9XtF/a5tMYAMzzTm9FTK5sGVXJQ0FEekjpl0oh2DQb8d2xILVgfq7ayc8HzUW1l fIdPODASzKY1UzOsk8uosZhCOyFRjlopdHjXUQ79EmZ4MxY0VDNYaE2NjmY9oUdB5YAuJsAJEhtd FrLCxeoqJVith0eW8AxBK2Xqzks+GP7whFQsS55dXvF4ME/9F1wMxNd5cXUziscphAoV4WEYg6wM BiLkjSYxAPfAN8GnKSyUJ8MdikwtX0wd5XmYtwRuPOg/VXVdcmZRffDWXeMmwrRNmCGpU3DWzlIU B2O/1tyYnp38v+Dx6IzaX4EmolbU3XyeonAcVg6Qpp0Otd/znlFyo2R6N244PmNGpyKCpJpdS48x 3LnU0PQiI2Gg8LdVMJgoxWf0rn4KRXsM20Oth/l3pZxIFxsUG5RGO3shEfF4RFT0tMWJQLtfAcgZ BeSZJGFjk6mjUJcTyyidIMIU2Amap7BMLmRBn2p29vk0O88MQeidd14hrcmwGBQAgQg2qZ3XTHbp jSPSOdYRa1cckkF74Mc6JdNeJh6PpETvlTdYLzjBwW63sDKjmBx6DtAgbNY8X2Xe4ODkpneiuvtK zJDRKR+q5qAxF42FNi0sAFuR8PiZHuDqMFGF7huQ4P1Bc+G2hWneNUNKZ5K4kTA+1SlT+BukPAVB RTwe9Dvbrf1yWhGOI3GyiYqr5P0RWWE7YfSL/J3NVfwqimqaqAiz4sZdyyh466HgnZ/9xKuKycHZ 2T3LScH+5gJlihtE0ehzRjF57UWuqHewyiREADhNZ2nj8ajw+910gazjJm1KsxRBVvoW4LBfyEo3 M2LkTN0hK7CHUmAwoxK5JcI9GWExTY+hzq5DdNOJZj0XxwlhlzKXcxz+TKI7JZUluD151DOKyZN6 LsK07pZlSnxiCjhaSXxt8DX/JbHCo+gcDkPW4JymmM/JuaFLnUJNdgAUaqoaTLnxtnzXRXzOJLnD Vq3nW/2gBIQBCZ4AULBqgjkMWy6Iuc4Puug6/zQStt44gopVkVu6DyoYnPXYu6p0m4//CyrGUw3U u3VB5DOCrEAfljaM28sq0odkX1jQcmr9T89cZhSRD1GAWlNZhaM3OyusT/ERKBicSZKoT4b6PKYL hUz0CO0Yp4sFvijCRjNsymx28hRm5g9hXFS5EBKdOB3P/6tioL57hpbwPcWjeBzYTHg1D+dRl30l 6hoEwE0BarjS/ww1VjRBO7dntChzQsZtojhDu8p0fkDLhBExouhqkj2ap1X0xlEbgWgVaGTZkKxM MBJ7L+3kPng8isbLV/TELnwefoavKBjnzzHRWfHoqT0t4bmLFzOba6ysCLSim772amd4fc7wCrfh MSTFmua59rj2CqxioDe4fwQgX496wQpr40JAAXxug8EO0UQQUKxWPB4JG33r+I2z0c3zjbMUxeLs xZ6obSk5gaVu8pDAvK5xOCFEt1kKY/FhKAwTrB1XsHbVc/qhXsMyHVTwW1HjfLKGNCmFfr2HWX1a sdohbVvwEAbwHHWGJ2wDIi7PGsXz/5JdY48TrFfYVNs0hSLj1MKY8wu0svyqg6iEd1oQ2muaYtCK cAVVcN9Bja2fxsgJh0aucvI8YcaQDg38aBqdgqB+1p7Aaf00fE9UpSMQI4OnqMEgUPDzYNG3LT7+ r0hcamInmiu36LtCOievulGKV59GmhfEKltr7LkBeJ+mKaRzSo61F7MBKg/pNYGN0DfxdK3jypfo 2kCyN4mZSg8lXyDWFdM5OT/4NAygpLP61GBTL8LUgGhtCFf5dTZlCJrRXMcmKorEVc3ExrNKwbmh hbg/t/o0/XpIERWrqayYz8mYoBonv15O/jw5SMP/BBA5QXy6b2Jb8pZBBJHEqORfZM/UKwd1uqkW 5yIaA9LM0g1pG3xtKjgBoDTxCf8Kn/AcsCD7RD0+VVEsztriTMPEjEguMxAUFH6bIVbmdVlcobyK ATEkS6vRmRsnOMpAxqL8BL2pzW3qElBoq+Lqc+NASj7jiyI9DEFVVvLBsuB+jj+RXTrf819wMd7H wEgu2PvYRMXS48T8Zp+oBWSSramTfRt1A39qtokKw3HBnYsE6jV6uWCCLddJ3ZiQj2g0lMiNpkGN 5bmaLw4j68qLIOSoiVEAyobiFJeTULNLeZZtw+ORZPSdKKXPZ0E1j59WSOvMlBp1lwg00LtbUVYJ IW7qqzSjuKwQQi5NsWLY6PJgoxFsQBS0ddI6zzk/mgEQ2aGa5G6MfvEq+NHwRZGmmBysiTIg7ExR 0zyfxFYA3CnweHCY72/rEbQ4sWd9nkJW56LSKHa66auMffVV6qUJF0q3Un88xqtIurbo7uPo8wRM 48KxCVYntKxZykOIAFYnTSPyBbQWsKf4ygElYdN+ZDDQ5KDaHOJFCbafSxWPR/ACX0+dZRVEhDlf DfIV0zorFVa6d4TnMHAmep3mKQEzkmG88xWrHvLaY1HRRw+iOh2rKWh/9uG59aQoNlSDQawJHZEb RmmmopA8C1RX2VPgwJmCJWgikK+e3/uzQsDKvgUDPDmNJOABwo6C8kF6We770jfytqCcpQovGeAE ZYCwowr5UPxU/f5LD1ZsZmBehjBQJQGIzXAzdf2ghAujBqnSCn8gfFGQ5FFeuyXSFWF//qPo6azQ xmlDsxmPR2yg79IvKCNIv32eQlpnkpjf9HkqYuQNyustX1FluaHiDlVW1J5KSWBpjr6iFoKmQdno DamEQgPUTNFCmuKdBXErdSXRImGHYPIqYlkvPqiVQIQpFxf02vd7XP4tEMk5IWuqeRtvh2DyZe6v vvP29qJBq5SJl91GbXZG7TAul9ldS+kZvWhwjvJGODQNkqD8r9oKLuVFSHW9PBfi1vnGUaWOkeZQ XD48LkdvN4lcdg4uPP5fWJ1odo/H3WaHrE4JY+xmEnUnl9jFpmnQPN38ObfZ2+woLt8i5oPGcEeb pr4byh1N5svQSZKkWFJDeGNLw0zRj3IAB/BFQWjQqSmGjMIGHVBtZ1PG2FhOEWjlt2r0RNA62t12 IYxcUNZ8WZ2jZmd1CpQtp6TSfJ5CGPk0HtAsd7T8pSCPBKmaYTmqmyZfiwLd+cZK6IhX6rB18DUR tIdeSYW4Q1iF/xDmi+VU6VYAMNXG85HOyi8lP4DI21U83KHiYVsi4V0ElAN6EEFNF2vPwxFQO4as CFwwJDXK0Usr5zLPsFpGNrxhTiVKJ/o4Jx5movWIGOC4wdcE8ZNEDBIVtjlo01UUkDlhNS08HqHp vsvjLFSip+jTFEFW2LyF9YibbnRCRjhNdgVWZsPTD/EIsuIVzbfDaZzJwTplFwGFFgZQJ9WA5xbT aFQUoGWCEhQTlx0iyBMrdDzXNGieYBKXiuUweDxK8H4Vfk/ihkDYpymMx5m3VBWbyC6rPRlvatJd Vjp+DZwNtIN3CFlRfDl18Gh0ZA9sEhfyNmhGn1hjNCWDBLZSboh6D9IZpSc0vicIM7OoG0zztqsF opxZ5St1wjY+Hgmvec1AthNw3Ljggh2F41Qn/RY3GsNKm+dKUUosCxfy9Ts/KTqbrMups4mjn+GQ 04FdKcEFiAyNRw03nwGz7QWRUcOrEGS/Q0bnNKebO4j4CiIFE6Xzlw88HimHfGOi4dC656VR71Ds kGzzVWx3wVuyN5ulyY0vPyDa5XLPRWCVaYxOS4Y52iwNKI0TdHZm6VxktZoNLG7wgdIrTDfc3pzq H/ieIL6k+vGCvaYN4iJUBPiUEDk5Hh6P4qZrm8SkRW4wFygmiNDr90rIb6RtafAsvOA22wjpKRdQ EaPro/4q1pVN/qeNmipIZFGYbtI7CSLbYpclhEkZNvFQFps/PKPgCbTtpQP6q6q/mSrkFCGszMpg TIFOI2bgpEabH/EvOCtxQEgNkE/d+QqFDyVFTv9LshLKMDGRCnUUMys90VSz8go+KpovQcaG4aHG hYwtkCQm/ccH8MxQaWfdoJOHjan8KUT+uhb5speO8Bh0Dda0URa3qht8Lo7G1K1vuihFYfmTvhBp UPBLE2jt0xWCV3Sqe9oC2IE7JpwbK1uF83xSrj5dIZi8qXygOEnjLR+0XJb04c5yAO2a5ahOOYWK miU6NFcWmUlxTiF8hZIrZK5Z41Ptzt1HkfTROeMXPyCIp65YpJhXsONFx8JnKyycI/45J8w25Bj+ 0SgK53yw0jCOrVF8tiJRcjPpTF1UDo42W7Dggj0qjczA7a2MUpHzU/CItWCwYi3hk3NCikL0Tg1E 1Ld8ULXl7L1GHG8F6CCnf9fOhTo4c1Xac3BFQXqiI/VI2w+uIUALUXZpJFtZaZY7V1HxXG6dcHZN d7SN2EDeEff8zMOZ+W5aPhuBAyDsyJ26ZzOUv+A3Be2YKd8EVs85GGgajjjcknlkPh8R9+9cLW5E lD/Yx/fpCuvnxLJk+jgBbQf6+DA2VZK5Gc+tBAKmzvmwgK7AAU2XO9p07Y3zqtIJZxQQV6Z6DZNw MsiDw83bNDMK/ZL5TVExgTIQohFzcJBdl2TAibP0/Pt01TtdPOPwLqleJJm8/V6Pu6UOA4sI9BXe d7ImZbT/l6mzSY3yro8K4gdBo8pWJsjR19Y5wSHwR09qqKtSBhX14Eq380Vhnyt2AJNqfdNfkqRj 7juo8rIhV6NOO/y7U1RGH7dzXLm0CjyxHyeqFGqxNIahiUJa+sfik1W4QFlIRwDgGzGspCsF3luM ao4WQZw1NE7oP6w1k5iWIIJYkzgIgEQL2XpZLPNmLx1hW3BacRdzMOjdyQSb/OjBx+XzEZTzV2EB wWFO5dmGUfi+pHQ7k8NbZr9GcCwumpsnyP7mwBhJshR5eNetGkzdtwazkCYPFsyRDZ5rv24D3xEX XIjlH/XCFOVIlSKAywlHkeFI352DVlYCHk2tmlr4fLQNv4ufMtb+Ms1LURRPEd8zQdUhLi1PNzlD Ac4z5+yWCvRu+6vfXhD7++h14gKybaeP0Mmaz8sSl44KHjBTWNZgMTljD6A6flGkn1wIBmrZB5sr QGxV+82Tz0fJs+9CNXSgWn61XHPo7Mn1CAcNW1cDjvcXNlUdDTRuySoH1p5y1pLET72jk0AHyNiI fZHxnLMsa11NNGCBPfNOsqLS1u2dozIDb8FKpxwOAnUiPRCl4QRzfD44sPa3ig3u+Y3WrU9VyAPN UmXJT3Wvm6FJp2utZdAMMDRVEdglOT7fXLzSBZ4jw0Go3SRFBqE80bIScKNwEP8Bc9AlAIlXzLG3 J4KitZKK6smL6hPadiJxrFL5fBC+l/q1ARHuzXXLoDl296R4+WpXF2klM9iFiQQt9SAfVaEuzlpD Du09KX14FhMZqTY6e6/vXsti6Yo4aklySVdjmBHMM1Wl2Tv/1dHajR2t3Zp6yfCEyLRF/MFu4POB RnD+jkYBBx1Q/vWpikrrcCclZ8+narir1/nqQs4HFcwLEERaVGHkzvZLkzOXjbao/j/Gviw5lhxJ 8j/OQmnBvsz9DzZQVTN4sMqNr/qjkJKdzggaAbgtumwAnuogVjid193eGleADXlKuHP4d3/MhEaz r/yHTsSm0bYt3FSF9ZPElFETBg6fOH6/LfQAFH5qnNDic7E1c86Z9/lau2Jbc3OrIVKS81GkQkqo jIQ41PXVNlUDHhkujWz0IYxqSkzdEKi4V7ogKipJ84P+QHLstJfE24ikpAzB2aRbm2psPh9MlH+B 8kCh7vPLhDgSMu/0okBHw8/ftrwB1pUcyNv5237+4pxdPdFu7gH9yz1gQjd496U21tm4ZESWib3E 6hdUxQuk6tO+cwTME2o938XafRg5ikq0Cp+PTIW+fWPPRqHU6Q1V7Co0fq4gINtYmePRzfefeVme 6xigGiWhodHnkjp36mrLcPWMHVj9KQuBTeBLZuU8KKuR0G+rSK685ac2Vmj1KWT1aoR1cFE1CKnm bJqA+qaR7vs3T6YBRXnSohurUKkFBfdED9l6MrM2d7HsabsQQmsOz8uB2yd4DT5+L3f1ngzSg0Jn A0DRsyB5JVNAmIk7Z5Ful07nJ35S9AqU3ydFObkor8potou9niqfj7gNv8Avmzy1WwkGjp+QLZ2o LhQVUUCWIxWKPKyAVEjTSUU5sPy8o+XcJHTA1dt9HV12Ge2CtTsa++0niUAhVAdRClTCFUyoN/vS f9gJnIyzJV80ND0Hvcm6mV2GwPXTqJ63EiQ4BCmSxypEpGseONM9g2y0aV+15QxRSOX7GYzTdUHP DHPN1brucLWeCYcCQmUQJqO4xNlViC87jQUp7KVgTfvSkcqrOFiUV5ZfFF99DYLmMrREHzl0/qz1 1jZsjVbJO13Pkxy6f7IkmXhLWbg6CeG8sipzPIYrYzCvJkNo/7nMNL1ZKdieUrCCK9MaHZnQHSfs BON4gnUyezioce7Iq9mX/mvSPKZMiiftctDgPIe96E14sgc+H4QreSaqBjQO9bxXVmgASu4tvKmK 65uzVcAeQ2UhbHqvw413QwdQA6hTd9pXj9Xe0Btq9BY4KalmjQWMbEYJswpMu1zZjePBHFqA5irS msw9qKGiZh9Y4F2JwOTzEVH7F/j63EQbilUeq6jlDlVoEDe8iUyM2M+1vPYJRcPbR1dWYAF69xUt q329sQKVc1Acd8D8WNazZysRDYYZHUx5b2O0JvvSf0hxQQ+HSwKWpWtjnTMp49TzgfwBEQj0lwMv svgEWphHK8TEbDYWRKOh0jnYgYrW7MNgoGdXbE8cAh/Q5IXzyaTHXS1agL8AadXZRm7QQ6MEQCPx aKDxA0C+vwwTaX45NgKVsUeqarlXda/qBgNM3avzh+Dz/xJdrnYKMSC9sYrth8j3WcmgoICquLRp 4vhY+CG1ApG6BF6gIDUzYUCVfVe74NfJFKAoTl9n+OMV0nFP6g6zjsI+KrR8bGPRZSDHZqCm8cau 6AZ/skuAA/gPiXa2NfkD/iUC4InDRBPFYxX129mpnwR1ODjmS6F6GtZqFgIXFasYHWOYfvH9qgMy TqwyVOs4ZsHgpaVhzvO094X37E/ulEsoXw2Z0A9UclNl9LsYPuaUlwQktJOn8PlgW5XvWT16nPMB GOfQEbTJiXf25tvKbeXOX6jdbUXfGAtVKHoug7jzetp39TKnwj86S3DqnMGSxDZNYMFLACf5rsps bvBzIugH2nut0Y+oOTwXqv15LovU5vNR5t6/DiAAx6jtbqSiVvugAjMcA712zleBGSN6x8hwpKZI xV5E6u5JokurbaqGjjin9ziA5wDRU7oA2IsBCvsTmBjZECdbrEKYTJV1zDB/wkGYPt5Pezc5xyZ9 06h4vnol+GNuuqGM2xINjUHFQpqUFZUQczf6KARLljUaJlV/VT0HzqCZf25AZPrud/VGw+pdqhJQ 6OqJhww0JOriQw8cgDXLrii6xc8J3oJshW74edmiuQ3cbNkwq3lmPh/cVVfjVO4xaLWk9nTaQ3NQ CnKfe/c2ZdYq3r6C4oHdVrLGUaxiNqms6OloaKuXhOdgny9F23BMcAAuZ35VKAgLp1kkZGxQkolU 7EsHG2uRItlNHW8kbSxYvWpU2M/X5fORxvB3V5SaYSk9k+fQIpQ48olm6MX5l2HB6hThNP0ElJw8 hbFHqNEAt/Xa9+21n4QKk3oUiXjPJ+gKymkmnfPWUey39DC5iTbOoUco6ZKQD6i+6GZP8Pkjuoit 9sAkVK4hXzN6XnuXVZpDl1Cgd6E9tZpj+iBHa97hLCR1X23m9V0/6v0ImpqZZj22OpIWSsZtUHMR hJGkuw2uH+dfweUWpfRDeu/2nQM4A6mAabEtykX82wKdDjPF3nw+Qol+OzgBYL3m05SJjUJpnrbm FfHc06H+fU5zbWiw6zNKRA6dQodefqQd+WoncCAV3UWNBmhTJuGw4KmLrB0bCL4sNkXtGnaFZqHS gIP/PBemPCxxTs05pWLYeuYP+BfwuIg4iTqPxiwer5hjKrjodQABrNaoEec974NUmC95vEKSqZpY 2SY0XC1eyH0atHUE/1hV3tC5k3NW+YoBg8z1qDSiD1xDRUZfRGjLfAe3Djt3Jx0mAu/nvFwzf0BU Pn+PvCDmvNqVZs6Bb+g5vhykSj5KwdpXoqPzYfKWzwv5bq5YGF2l4Mr7rh6sBJe/ycS9N1K9Jd6F /X/uGQCGcZG4JhX6nfykv0BruK4ULARcEBn49fEowjePPyHSpfLdhQNANTBY7nq0otSd+ISxZEHL f5QaFUvCZN2tBlc9bzaEBqJM2EqV1ait3sfKp46gxfp5tRfA0Ql+OAefFB0Jj9NB1BR1l33p6Cgm MZjvomZDxVhSTqvojwYeot99d5w4zF8h93tjFeXuQ1TvYWcPc9Xk3gTQH/n5JaCX9KMiRzXJWA9l plxvrFalKxK9DAH/kHVvojlIpqQQBBwu96bbl/5rRrhGN+nKKq3BlnEB81eF0RZ/QCRtMr82FhPc 8oy+AidRoCgTfR+nqw1OzeuX0vdlHT9ChS1YYfq+tKF4DdpqvQZg/2lQINOLUZburEYv9oauFVxA bxdrL/vSwTFMutyluz+2DRsKFIiJk4O5GZ8PDuH8djqGj8JeT/0cmomy7IZwgEOwxJ1RdxRSC4Jg 7bmGH8Iwe5f3I27tu168Wsekfy023uFeWPU+pLQJaM2nOANZzSBYaryHdqJ9CcStmysPF0pfs3U1 k/dafD4YqV66kt6GSCUSLgCPVth6p59DbeaOicIw2/irUX1W0WIf2KIVt94l4jnl4sRV0UIRvTaE w8gUNN30DFWYxS5WZZBcrErKXPykyOuJ5NPFamfgdcCUG+qti7xVGFBP/oAge/iFHIXMCaBOHqzQ VZStY0xAnRwAFufP9TFyF+3BHh3z98BWFIIgsujh5rNVWSlgszWjdsZlQiqc0KVA4eFfneOEAbSZ h5VlX/mPUueEedhiCte4KzoPoVANga0oDqFvLPtTnrfhvBdWaCtKEhEGOtZvWCh7br9hef6+x610 Ql9RUyWeSbc7V0M1ZJB+h6ZfJ7mG9brkmydlKWkGiQG0qsKhHCvwFb26xNSTPQs+ztTA4eUqB1YM 60Nn0XT1KZTtZ0hIP7GKEvhKPDL+ON5ukM5upzaMx2o2tAFV6wTeovdyp8C6r/YmxF49dz0n0Ocu PfcS+6EFNEtJjiyqxQmrlop95T9cenACk0s4VyHUTs1URBtMZfEHBLXOLP9xt890JZly4C563vQC QUofRs7juThYRvwAanyxhaBtFQvEMGlYWYnWEphJDT/M4kYfsp+BGrykPzmpAAgeTKKrepKSfeU/ WEyY4RRfVEBD4D+JKA8EVmgv+qUKzisOjmtPAR34i0JKtnL8PLqPn9e8quC7O1GeburKGP4wGNXb L0nckqu/BbMkvagpALPRLPkqdAdOkIB1pJasKQ5V/85BwjApu5CE3U7VZeOwX7uR5iufj1wwvMZh EotNStsaj1VIRyVSJUviZPAfk1NNdIRch9BxyIHF6FX0yEloNa5W5KCjvTFIoP7Cucim2PKNyF5w B35gYXzhMipyQpPRRhlnxAYSxRD0V54AKZVGTY/zExp/QNBJTt8orEEQ5GUR5sBnNEszBW6pydkT a7n6SZ/N2ROwMvZgRXm7lc/UnvbVcQ0nFOe1zIRhnqsLOQSbMWD+ZUir/8Dm6s7qhQEJrEZNzEpH movYSO3cUW1ILzWj2xB6jf6GYWVSORI+36MVazfup83HxL25ECiADcPr5+Z6FTnwG1WLl++/Uu9q FxaG8ecPz8QdPAHYPXPwldg6p1pTujfW3Nm+czT4ElqbtBwuwozuVJvMDzvoAIHjKIqVC5hhtPDL 59Smjwk/L+nVPp8qxibKGcc1FGnP08i5VmOawBXRgvWSXJ0fBCCWuu4UabbVQtXbqfmgJUb9oQX0 B0MFqiFtG861ta6tH42jX1Irft2k6wolvi0CrM3z0+QbfY725yWx4nfcRuLFpzO1gIgdzUOYpUQh akKr9WRD51FIhWNxQ/AGKRMFsuzaT0GITFLnfMV+1ztyhvrkJrukA7AhLSt6tQIojc4VJhMyxSCf 8fOSU/FjUC4uaTXYohjV1Dkn+IE98ucloeJvW02sorEjCpAm+/MK0Us6xYfomzMpy2hGD3lqQ9Xz 9zBfA1h7d6uVX5Ip7SIlnqvXZ7VddO4qcKarnPzAhVOFeEqZDg3Oc5di0Ky8U+aQL6kUv25R5oWR vy3qGkMA1/xDdvu85FGK7/YICVd6MuFMnCoi9JJF2SYizrim7lmUzA7RMc4rZU/OSQtFhF5yKP6g IYrgaMqhuPqVBODeQvsQ2NDZljlhTIBMqL5IaSZFCEAsfMp7hOSrkkrSOYPyldBlUIbR7X1i9XlJ oPTb9vIVIqSaHS9yheglfdJDBHektT3R7C071H+l7Kbjk8IoNPaNzplh94Q+0OpsSgyuEuJy4n9e cVu2bJDPwTsGVp1tcLKsoRalF15yJ11GYjT3Z7FmS6MSlk3gXzIne3p+x+gcU6KOFKOXtEmnkxYY s9SrWpXMaBQe0e6Z+aXi8ZI0MUbTZNC6sKBc/S46b/42ICuDxkEf5pylZlRmE7+V5nlASfJhDWJE WONCj4sL0LFEsRQQI3i4oYPxeUmYtCfqr410zhqaqxakl3RJ13wREyknv412dbFdUIZsI418/VSi IDWJLmy5z8ho2m6jVIHE5hgLE+Zemy5s8P8zTNfIc7unjcXdS6qk0wZtit06nbEaXmRZ/quj4hTj E3vtn5dMSdfZbF9Bgmf3qWanBeklS9KVXcX1bi4E3npVwoS/8HKvJ046pEj895VdU8l3vaXKQHeO OuAQBR/GXe6ENVbgBBt7kZZ+U6zqJUXSH2NyJ23xkDAfUMuuJWqBc2hwkq/PS4pk3/P7vHWwc/Ly a/ulsanzRvX9WVN5gEFe/LaWrqYXVBulEhdc28kmMLn0u1qUBjxDWQtjtgB+8BRrGSR4WPqe85ba lWekx8fnpampKBE6VQfZf8Qi6yLq8Jik0m6GNGoQI1YHCgnDSVwetfQQpJd+pravMY+Gj9irpjFk pgvDSP2OcRWYootbArJ5mT3PWo/lxflBeLexm7nhblhYnBR6isIACkzjfDF5FCR+6WXqj8EG3ZpU WFjUev8xpjJxApjV5c9LI/M/Xm6NfV82rXLyA/fG+tObOzXlkY4HMrdM7aVqeKBJjrERJKM4CVxQ zQ2rXjes89c/cYGu8tAMFMBR9chpj9HwsyutQUwORhXve7oNOB3e/0VpABf1fM8dwwmI9OHeOH86 cvP79sb0bLZ7e79R/uyoyBpkGXBxrLyddSsTIaZKFSpQxjkKE27ZHZtFwbgWBSsl9LBnE8YTM3YT lUdziQO9SVEEnxVjwMGvHFzgwh/QqkCLRgkwFsrqIe31eWP86QI3TVTFCRRO044VvDt6zTHp3rM7 aHHTZZJxWv2CEAaHwMKXBXFi1xYi6eqg9Ho7KKcwWwtiOSQnQ39VWqgnX2i8YUjSf0YuQ8DhIExd pTG8bG1RmNLJiwXEq5uPBxmTOfI0sm2J1AbWy8IUZd6NWsRLDEiGiW1hYTX6cu/6Ads+AwAFd3hv pg6QntXHeBBEhfY+33QYvHR5FICTD2GhClv0y8taNiEKjh1FOqCZdxeDduaylYcXoGDD7HvMrzgh LwXvwuP0nn5jnCWG++3zrn63E4t3w8AS2aQ+bxQnXjnnzU5oi1abs3QYY65CnNTJkzMcgdXghGAy GeGZt7gGLVOdnvB2oodDaVowy1LXBEUn+1pI8j9vRL+bdj3HLkMOBH0ED1SUg3fipFrafu7Mr4iZ E6V9dT/BRVCBek/C8UbQmEUGBFptzAKVoL006+wdWQf/2zKgirlo25b2ujxbOjy90fx0cCQRt+hu 2JY7HcMLV0jS854unzeWn05QGr/ihNfmaJ46vbH8dKvIrq+b0cXdW+hatpyuhe8YZsjzRvKze1z3 93/Jep2rKeN3yCS5j94gniGrGVDbWStkMMfM6Thv+8bvcWqEGsxNjRwuOncNMhOiwOT9eWP43aL5 qzGAscHIN0xRIo5hBXW/u3Xg5rg4g/Mi9+YulA4sTFEmbv2lTmisrXaNw1wygVtC2YReOflFuYL3 6caQk3eSawHMrm/8HqbB9gl1QbkM/b5wZpRhWE+djwdJ5vTrSVRkNOEI5GSY3jNxdDjpyFPd9GKy s6zdlDmqkCMP2sbqgUep+GiibBdSRrXaqcMs+0SdBQskGlNSJlqgKz54p7fHaUY+fW/EPssTcS+d 2G5fvMvUtmgN5xh83nh9+oVT/QoTcW7nNXmzzCgZ7+T1NeEvKDPxuBQsly8B/rL5dgqzcc2g8M6/ q13jDVpU56882IuDU7YGxZBNPwU9il+MAw2fP6VJFWXjVB/eA1vHFgFV0AsjDasShxGl47ns77ru vG9hIO0NyygZbzQHaURte4/AXC/absV8+oCgMUOeNzqfhcmElcq4qydPG2jZRggB3GX6rCJ8kHSU CN7AwM67TWgafd7YfHYCxDymT99yS+iTUMP7gP94ysrPG5nv6Yo9rRQ00VAVeKCibJyjpHPYqjdT iBw1v/rkaMSx0PFQoN6zcfNjAcTNHArqdSg4VfVeJ7lpbDlVEICE7KnE8LcJr7i9H60S9i7fqHw2 JKBOUKYwXIHTdBMp7bzhq2YrJ+yzfd7IfPbG+84MIHe3Z/eb/I3Lp40sWvss3lKB9ruFiu9taxZM n6W8Ufn0G0ixGVaId/UCD7j+UZiQn/v83EFVI016/rLpBbnxC6DWnnrPyK3Aw+1EAQ5cL9y9dTVA mTikPLnm543IZ6H+7oVjRNhZ5ilQUUrO22Iiy7FAdXEZWOHV6rZhqJUtUFFKnsSyanPvuzoMEVpK TPBPoNpJQ6ALh44BMHQZXhHnDzsfAQ6quryR+PRBtIQ+2UXyRanBOC+fotffrJ83Dt99F3xVeOd+ wsjQ4xSl5Gy4ohfrQ4Pz4smOfUreMRgVIHBmmm8MPusYqDlntITqNgt0oSsYjNObFlHKTaToAtrO JrBsTWdomx/WG4HP9gNd+iDXz2WIswgYR1dGXjBZiTLysX8N6HBJQTPA4xR2xQGYWgJlqyvOC1HS U2W5R2Zno4pxitrivRv68L84tOB3dEx8WVVAmZhA1oLOD65xchIhRnUv86WvHORQRJV3pZrdU00k mqM3NX/n+ryR92zf/2pmApwy5n3pvWfkGPZyMt5cd2rSDNNMV9fwUpjKxDp37xk5sgx1DLbmdFyd EQq1L+i4UCjvXFCU9QSnlixSpJkQ3b0mmXRdfePu6QjQxJe2wraoA3WS2b30j2t/3qh79hv7hmJD E5AEQM4sTmFKLgSBs4NQCtdbCj+6b3UXg7O+Eff0Z55GcTRFl+q2hgtyp3gpVErKw46Joo/UCQKO B70OcKzsHiea4fNG27MwUWN3qnLB1ESc9TTpu4ZPPL/35422Zz23X/vpvJm7oBaMU9gdp11fnXeo Wef2Sphfwu6n1K1T98bZM/QA9xNmmHf1OAF/UqcQ0mONNQUnp/DiRmO8tMc6bPs3DnJN8oXYRbRF VNCUcIIZscHHg+00PddkTDpkgert1L0R9hQnoLiW0V+4n9ryqV3q1+x4EbXBOL3n5GitDGuptLsq ToCvllXx+zMnb7ma5CCcU9W2w2jG0TuE+76x9Ww/adgyqi+GIRhmoAKNzc8bWe8/gBb8ciDL7uQd qDeqnrKuTnRFtrsbRLHsTnQ7m3A6TFd9bvfG1LMSU6XL1PXE1UqXOjLeRmQntHMGO9WAIF8J1wrW hnk8jRUc9s8bU+9O3jAmX9MXlXg1kYZEk8zyeSPqPW/l59TB1/DcpR6mEI9CBrZBwMSa7R6m1o3u DzxKN/vHN5qelS7cRWCN3lVhonvoJuUXumUT4oHLcD3Q3qfUBF50XeygXPSNgzBt6Sv26ov6dPOc OvM1nHw8OHW/+k+sEjB4tTBF2XgjJ4GOOObkMGwgDIxuMz0uDN8sKXij6OkwqC2++3pWu5zG+Wd4 iNNm5gQ/VVWD5aSc5wo+BwRye36Ht6EvHNR3hKPQXdUWRunsTH5HNrDK542dZ1f49xCh0n6y3yiF 3fG9BRp3eP0YSW+9c9hJzSHvOkl7v/MnRSmm0PVEwdpqZw4vtw3pakKUoWyjqynBIxWG5pT99iHC pPrIGy3PkAvMLdOQrxruaurfnffBbGzaguzweWPlWV/F76au8P6MVm6colScpNcJsr6D6wXlwW4a g12EQnBKM3XTN06eTTF4hdfBckSrXeGpQmymnf8AUIOTHGyxrDYUlSi5X9gtGJLSmvrCUWVHWalE VD4X6z6dKpXNo/Mx5fPGxlPisn4lBBRrvxXwGxnvZmtoFiw/c7MkO3Md5py2mwpBgoxS1BpPGt1t 1F2+OgOByvqYuGM3nffqlpNZAkJvwlsg9/5I4LFgeWPi6YUKEtDOklfkktRxgliHuNadXzPaTN+H jtIe/YIK3nh4+lABVOS6IzDYcMuivJrXv4vgWt7gYWdc0luz5XZXy8M7+K/JHLDW+cGqlTFCQEiR MxUYWznBk5jCNxaeFbBZWPrli+IEbaeqcRz+nO95ODbGd/0LxPZaz5suysNJskSR4m+6CuUhNelm MRrQyS/hy8s8/I2BZ2+6pfgkX9nY4QShnjxja2JOy75C+BiKPww7kJVXqvp5MzPpK/8BnFuTfQJo xnexFc+GwmxzW0r7eSPgWYb5nRJgxE2ImgUqSsTFRx/5gnnSvJThSdFLbSj0DLShwuZ4skJFMN5Z HxhvLeemLvLNHhWu09Us+lAIV7T3KCbvQF60RT5v7Lvva1x6IxspIgHdENErk+0VoAw+b+y7p/Pz YAtgnrKLV3Zv1Dvbxht3976BmmO5dfYkcUDY8OxE9Dfmnb5/NtqPYE9cvaOC2hRIM+KdBxKPLtgT qpsOZmwr9fHBosbBG/HO5te8wc9rxhcrWdZe0gc8lcPnjXZn3/O7lQkOPAZMDsUMU/HNthxBosI8 1y+/hjUM83zeK6BnAIsZp+KWN0pIsY8rpLjhQpopGIZyvpxURAa+AwMY8L/qT5UVu154tGt4Y93p skiE0G/yDTawwLy+z8kbVaCeE6jPG+nuZXhHN+q9bivzjXSnbchWQemUpSFRarAZl+EwQ0gcTl6H AIkFKkrGp7nPJY1dhqNIKFtztkruZGYMQMSmhsGwHoePIWRSMXaw1tOiytYb585OnvwsttwtqMvN Ht2i4jze9ml93hh3T0tDgUKCB1wRRW8tUGE6Poejn6AEmDktNFHAVs2OADAZtPUZqKg5zsuuYKCW 72owjHOCYfFJIeHRcZ0XnTxiYFovhGG0p7rr+srBXU5JwJ44FT7L/HH5/F44BOg9188b384S8l9X +QaMLnlu8Ea3U0ZRVKvUbicvEw/FOC03mEHTKxsx6o1tZydPYlGr1Lt6CjVOTnnSS1L0QY/J03Qm cUNh3vAD/PjTGx/6ykFuIPLYlrTPtrHOSZx2L0o1T1n7eWPb6fH2a4B3zv6uzXtPb2Q7ZRTGibro p7mqS7b1OhyuUgEqFVo87I0PpZhCNmm1wgVUu1N70WL8vNCgBMk4LcywN2HxhKuoClZP5Y1qpypY rcwp2ajpCvrQnp9T/iitfd6YdtqNt26hdClEbolksjhFvfFJWWoQSS6abj7zu+ao+gpkOd94bzy7 p+eMObByTa7eo4P85innwDwADH2rwCubNieEF0CH2Xt0wEJ83lh21lShkyFaZLaockGWlkS52OPz xrGzMWn6vp86XWkvqueNY/f8djJGsZlU7UZh6UVoFc45a7eZ1BvFbj/ozJaFJNTq+0labYmF8HnR 0bQGSNcN0DYKvNyeF97Ufopa450Euz3oJMNFcULqJe0VUDff+HV2u32/8FDIzHG7Km/kOtuFhKi0 p/mUynPsqgOiAVu2MMUpOevg0ljCaL3wgnPdLs044aY6u7ZcAwd4sGWR6CMqlBixmW/UOu2HXAle Rb6pRa3MBnMhUcbm/Lwx6/Z/g8Sg5g/pXw9TlJBTjQBhuhJt6crZNbXrWAhTrBttlRYCx2VpuIZu J66WFpxEGaxMNOROct4gOr3UVsGeZ48uXY9jvEj0jYOsIKklnqcv6j6dvK/LYGDkjceDNPPXgBM6 y8jtPExhOo49eN7aVzN/1OYDzrK3d1UWx3G8xKPGeNKkfGVBole+on/5vAwGTGonLydULeopnJh1 WO0WEAnWA83c+sbBu47QzEFsgRZd4nPXKs7PqVbxeAAs+DVnwaRn5Xs39SgbB6EaF1J2ZbaWDXhR e2rTwwTPRmP9vGfjlpMUCFH2u9qhO3VmyzS+BTST2tOaqYN7PuBGSOtGFXeDfNb+J01zrcypHRdB epDlW5Q2H4/w0L/OHATGyp1G9SgVZ+J9wtmmN5/q1dvs1YQlT83CJhWjFCFVBkWdwFFqd7UW3SkJ Bm7wzKtpnP+fS9yBAo8uJmcy3izAYcXnBCWLPGnXyr4oTDhqzAjg94fHgwSzfp85OIL1thwg1sNE vLZvvQsmTo6HPpn49vFBc1hmj/rinmA2WWNydcpvWfBQlE1ThsWxpOs2sWEng16Y2t2Wr+iaPUrE J+1WkfNyYXOPJctJLRb/8SSxBc8HjM2HIEW/VQwTaeZtoYpJm5lEsuII1kTjFWIOR5uOpRuitZ5c vEe5+BTu4mTE+67ORIAjz4AtITQKMLVLLpFP08JTdrRWH+0LVsE9ysUL7yc0ArEMynsLTnBeEI1z PHji4QcEF3nyWHEvnvfYQLvSIhVxN8nonlkYAjLKWzfpi3PlLoM+YUppKmw9RqoQC73K1+oXOV71 k+PM1M62qtOkZxr+20RqFUo618riC69HDfI1JN8q7yEAAavEHNCHkLxf3RPPB4Eav8gtsGHfyxOD Hqfj6BfknoahfcfoDmMlWUBydZOeSUgMesjgFIxuzfastqUoszoW5y11nhjWLJlb5AA5ARCdkVf7 LVWzvnKQGdCofko3cqIh04URO3ms7CrO7bzxfHT6fsGiUcDUWwf3KB/nG2pl6sz9XyFOskhHDMZf uHnYqeMoif2CHqPHXRek3tUxPSrEoE1xwlIwAKBz7zl26EChdqq4fK4a6dRXjjpQFDMqWcZfskf8 oQYiKCDsOMN7r0cpebpdcp7TcymeC+5Sgt9TcrB32HdKwy0dO//NCRqQ0QgjJRyIc6680CO0ShL6 CfzPu1qv7rwYzlsR/HHgVAo0Q0Vw2SgIKvQrqCXipie0Ge9RlxyZF1oFrfki9NMQqBiU8dTweISm 8yyqihZ8bvJ2m5o9gpDTn+ScrK72ZQb+pqm1cj4Pf/H/4w8rw1xVewRXMRWVLudirLwsOXYZ6bzk JmE9EwCNnqQUSR/HCm5na+kLQ37ihQ+KEil2jkBvtcVaKw0CJiz3Fh//FzxzqKvZYNUw73Ue5+Wc ChcT8aP8U3GXUGwCKdQtKJ1pS0Vt8iWTk66pp/95taXOkTuvBm4pUIJoA8dmHf68hITy7W5dzUHe a48QK7iXkGvm7Iva5Ghz8fes59fB41ET6jbruOnZdTuJkeOjR5Sbs/A5r9TuQPJZ0kVjOA8WaFNM akk2DxVUdD+hrLirwww2DOUb8fZomqfCSq9AThnyIEhsMHmZpj6a9Y2DtiZHeRSHscWgrHsK1wph LjweJOeXhSf29YZw+g1TmJwXqRVspyVMEOWdDFSrkYEKIGUKU9gnXxokLMl/cPWsE0bZDb7c5+wN mSxwlJdxsSYo8eeFLNEU15DX4YOi9i9RK8vUuMG/4IQKCHhZZ42GQIWN8n2zTnai0OuBaZGHKoSR N/oLlStfsId3ok5RXJJ1ovpwfM+IOuVZ1V6V3s5Z2a8YqGMGvIagS8RqL0EVQ16PFZQzqKwOOj/L YOHskYGPCbCsvMaLtHkKJ/eMU8u7inN+/g+PBx2Wnr831PnbdPyPRSkErujckfqsGbr4QChjKuWW VO2xqYb8YES5OU0kIQfJMuas7KUOnjta5BT5BWzoHpqgMmiCA6n47rfBsiDDi4+JABmctwzJGIzp CPIOzVLxz8fG4/+LEgask1a6ciEjyssJhEMr8yLtJe2A2ynl5RN0mDXbXory8mnaffQNs/UiWTdq JELqYJK9lzxBKZpHOcaSaBQgwO+2bxwN0Mkqm9pT5OZLkefkbbZ/zzsCz0dzvBsnBGXAFnHfJGqE ebnGLhKfGYJkePs3U6iJpR7ouBaoKC9fGieMIWMhrnY/ZVBbMlB36DOjKy3r7IVDd1IGvOuvS8Cm hMEIAeRb5cuzMDMA05+8ih9oMuPx6HL6ZpehPF0l3UMXAsgXgSsUAjDRkO1lHsZpNkxA7WFRigDk RQD7NUa5q5PwMN5siRzhdpKeloWpI3BwYDAGBSKHj2OQho/5Y4SHSNsiB7Rz0CrKIXxew9UUJuS/ cKwYlQK75lGKeuRtiCB8i+Eq5CFBBnRR0cgFLwhFKeqRT1HvVtFM+KyUnKC7M271LnMA0h6FdcUU FzBSdNCoMGthwksTnxMlT0RBdSIQM2Wq2fI9e1K8gJ/zfuh4PkrI09duAmkLYvwepygfJyNtzrTu mdvs3XHkooYd5ch3sabBCPNxwezrFJGaq42EK3TVyqAo1onfeRUTan5SKkLy0TI9udUt8cjMxAcF +Thn522wIdXQGM8yv+7n3202U0Gbxw8Iugb3GldlOH94W1qkwnx8cJzQh6v0rbzc96zX7VrkNblZ 4wj75MXUesazWqQ6uaKDw06gdEfmhAZFeIbPA+a/m/5Usk/Y9pWjoTD1okeWCiQuBL3eB3CwzId2 Lng+aq+0r0DRUjBBCtFUjcJWeeU93qpHCq/wH1ci79PvcUD3WePNOB0XhnxJIYurj/Hqhln4otDa ZnEvBCIgrgsgipwezXbRhGeIWyFCs/Ai12IIxHPL8eKBXxEejwYv+euKgvsYHGI9TFE6TpgyYCuO 7znZpmkaNuAmhO8BcHBZmMJeeXIEYr+rXeQJaDqKUON1V7GnRH+lUapAoBBk9VKYpgnzb1YnIuSL 0V+bMRWh34PH/5crCikrKgSPU5SLU156AcXvufgq66YFw4QNUSkbpXPGlE4VwIuwX62Og4LRNbaY muV903X7HDyqTzf0kwo1ewzeQ7GHGTbL2dfsm5LaXJQYkENhdOqKx//FVOTr8ZzCPS51Y0bZeJ6k //TL6Fy0rxOzbBuiHIyp5XEKO+USBJlNR2q2fTvli9sJDaeBt1+fQxrRoFmfY4cH8c69XrJL3zia KciphOJ9Mk1jC+rkx0TAg9wz8XiAMrgikDLv2qjDr9jDjPLxSgeOJVtiNDipym9CmXv5+LzRtYBx ivLxIYrwaGZK1dZt/567mpcUxUTTPMdD505Cfgn2SlDzu4NhOnfNkNJJ8ZBzzKYv6hGAn8t5QCu9 4vFg4tm+X3gQo5n7SkHOMB3nC6/lu59q2l4DT8rCKNHs2VKoGaFWrFU3zWdJRtrWJj+JbIMiJP0Y 8zhbiCnUVKl2PnDvJ9Gky+eM0vFKeAHM0XxRWoCvqOruXDd4/H/hUcMqfGP8Y1GKFFbIjj+/Ub2Y lXUxK7n67TQ26nemBTPqkRvFZdhonKvdTuBwJuY2SDQrRAx06qBdP8mJkVCW4PakUc8oH08UFEtA 6nIRP7gC36iYofmKx6Pi7htuD4OAIV9whinKx5egPTXdPJNjb0Gg+hieZ2KqoM0Uah0qF8B2uav3 nmoFHb9W2uVBEIN9KpR4HX/QRcv5Z5Kw9Y3/IEyBKrV8EWEqcYLKiG0+HuymfRF10tzO7ljJOEWg FV6CkzAka6nQ1M+wPdsvJ6iaWZyifHwIFD2KLIm5+uW0YSCxCD080T8bnz1XdI94SaGNWR+NFfZJ 8EEBOJpkKYg/c0EJJJ1aCARy8LlOvo/nIxDUL9hKBt1t3UCFfE7cNnA8cD5nralaoBq53HLK68s3 VKixMqVBs633tO+GKphwItHvshQ8Gau8ADJrWoJC1zNHIKEfn/NH8gT7nOGLYCunEDaBuvPOwONB kvkLoon33Hpo+SvKxcndOfnpvo3MNacPhcdw6ZDep0nRrAi24pe3mQLN/PR8N/RaRqWpLvbmYqlw ckx+QbSnBSFfj3rBCmEr4ilC/cMWQx7OJM5fO3UFHo8UVr5vpwFUh/zeGKWwM57UvTTmNAx1i/dU gBCziuX8CexVt8JUfCRr4ba7eoqJ134DyxotulNIbrFeYQZOk5rzqhvXeZidpxWLHbLlJD/rhSbo 0CWOWoiXy0n2C56PBHvaV+rEhvi4GcEKJcarpgbDN9Pcd84yJDKKTJwVqsIUwlaWcc1NY1ymF41Y jA3RbChGgEO90RSXIim01jpJ6tR58MRp/TR8TtShEwaDcNZrkIZZRG3Cr5ycDY//U0ObAA4MqR+u +YoycRXAo1SH94zkDJeut7MJG3kjc4X4cY3sKLnuq2fiJ0c6f2o2n6AWuTQILRAag8oCueb75gSE 1K24MU7Z41a1QG+YzSfoIWclBQOatSFi5dfldOpKeus4DmpFqTitQFD3JusUlEJ8HYSyYH5h5w5d ZyVPK2R0SheyV2n7cvVzV8FKYOOSuv690hm1ULEvY75wfiJ6Kg7umfrKQZtOs4PGGefEMTXhp402 F7cJMEoTPyGatXieiecA+t3oQlqoomwcEt/glI1toUrTiAgQ+K3TQSu4yhSqUF9Fs83cyrzrbdTl 3GEjJYVfWMjJfoQ+aw3WSbSeNdtAThLxQX/phkxewlpUBZ83gJwpAGvF4wFmZX1f5RNQ9J5unKJ8 nPU6YIeKSAaS2YacbVfq2S5JaJpG3QrzcZ25ZrBWrj42R9s3V1YtCw5Dospm0CABrcM5qfnKRWe9 8kJ9FXYydxGWXMBLvufOruQd009+9lkRr7OvX6JiLYPv7vnTCnmdTDQhzeVNOra9NAzulILa0ldJ FqcQRC7F6GaS/1xtPy24DlMTCAn5ySASG755y6GEXjmg3TpiBTkRPugvIEYy4ArE/Ib6t+cVSgzU QJduhQ3ymxrwboCbyl7PSy/kdVL0EKgJTzS3C9GcWjXfSULepmGwwg6593slsFKvwMqEIM45fI3a kCP33eQgf64oBLfSMyJfTGuh2R8+KHAfoc93hgO6LTx4laq4gv4Ofs8AXXC1a6V6iPdvzlembkUp OaG6C3mlRUrpvyRW4Cqt2mV2pwOtf4iQwxLhrn6btwX/zE6lduARp0TIV+9Sui2QQKs3j1r2lf+i l6FT5gsjleEeS5A/HNfx+HukVnoQK7ij1Nif/t7bUVZOTTnYbXnTYPRkTQPAKr2lmdBgYoKwQ2qn HbotbXuuFilU9JP+QcClJZhTs7VSYNKZQfr8oXC6NVcWW+Q7ysshA7OgwVS4nN/RnMkwd5ZI3eTj ESNofO0psEb2o/y/3/NyyXFkOog5pLV7kTfYubCWZt5mtbFjmRWTs5eagxj+Fqfz11hnV8FDBhXw ID76XO9UtaR4SXtadSXRIWGHePLKW3wbE9YxvOemGWuYfm3F4/+qX5hckjjV/OTtEE9OgtlgSYaT h3THWr/oyPsdhXm3xSlMzLe1xsuzWpzQwkKNS7EsyEzRYRpsdMyXqLRcL9Wl0AEZnxOEibZTLSnv TMZXPKkMjp465Gng8YjX2b+309mAJwnyJtSO8nIgIJAblGphypIXo2r0uiYJiTbcDFOIVxFnbOBt 66vl5WU3vI/okoCJLfhBPHYo1nDqzm8E5VO/yoGnwAdFgqPUMlgs9LjogoJG45IIFLZThFhpv+I0 8V54VKN3iCQnPaEXEy1Ae3M7way2a96y6HbKOMW8TqMEaTutXG79AlNAgCGrNB9mq4QjnOIR9Qtu yPGF6wEvBx/zp4QmVMGxQBJu2XaaYk6dRGTj+QiJ4Sk5ZdrQoWlXaWVHKblOHW6M26urbmDapCZC MwkkCQrTP/Aqq6lnt5oLGSBghfkABngVYpqamUO+7/wxqeafHxkDpNX4mMgsCbXLJl5Qiw4dTBKW OGNp4fFIyO/7DicENT0eCTtkdRLI2ntexgmiJpLClEkdYaeOwEeFKVQ8VFOlGw6Kq9Pzcz55ON72 cA5uJ4ZyZR6EYnRlUbjDG3tQLFx21CEvVFg5nzZ8UZwm9tZWDcOv+S8wnc5qBq303uFhQk6h0erm GhjFmtxabas5mRoV2RZdcceIFZGErXHC1XYTWtCNBL+zmwDxG6qbMyBbY5C4B5K4hEbpCI3PCdLM NOVMVnyxMKG64lRqJD4eaZDnr+2Ei2D1Cy7YYYO8SQA5uWuwyf3z0BH9oUNHrX6GKQaQD5tu1rv6 btrgAOnQNQzZliZ9pw6DpBhBYvvCVYiz3yGpc5i9cvVF3NdT3DXhVk7KgMcjLtC3HRC4HhsCARal KBVvpLisOv3M7dL8zMFe0qLUaYnHMxeBVaaUC5b7BvfnzPXc1yIxD0lrB1mBmwm437OZcABzdvhT IcoFnxPkl4VX+FDeNPzwQE+bmm1ACA08HhXBv5Ta0SHE1MbiJIDQ68cuQus1bxGturjISmPlpHZB b8ZHwI/62znJ9Oq5KnUawL31Apg3LAeBgk7iuGwUAp2mSfgKvKLgCLTtS0eGblN7SVyXxFkUz9vZ jJuWLtBS3vwR/xOUFa2gNNfjnxQKHy6ZcRECvTkUHiJtVPCiBYjC2MWlMXIKU/Lu9u7jrt43AHIF sxcUebBXLlN8vFlpWgYGNVG/rkW+7Ev/hTPoXZ7BkEoSNx+McHVU+6aHUpSV05znq72Jb57mNZyk bXjwxpXE7+w29lyjWIPzpM1zG2isJUKhGK4QSN5Njzw/q4XrfD1wjxbBKyczp3wf+C5IbyHrd/4W heJ+kkVe/qUj2BhFMorU/XCRsvyuG38ZCpicO3fxBwTpVPGbnQcXKnjy7tbnRuk56y7A4s2FQxZq at1ZbbPoElRusEKHTrkplSowYqlfYEToaqosPr/BgNslwYgVGL5MbHbFefByT8YJKfQJWkOUvOKL 7vRztgViB1eBz0cYu18SECilyu3e5RSl6CSRY57evH03rGcHBzWDuuI1ONyKI0Wtc2eaFbq2aLWS L6ETmLts8Vh0UAQCrLwEXix6bY39u64+57IvHZmW8N5C9W+LNYR7q0O50sh8Pqpm1q9jiFQAQkw3 WmHznE4TRa7wCwxVukCQS4Xqxm+tSqeJrh/1pzr5KDnf1aIFHtUCEJgGL3gjagyaJW+LzUyxCWlm nGt725eOQImEs1TSiLloZ/WZqM8PsoKej1yEv6/4PmkTtscNVugZ1DnCWLafUAg6RQ86ot2JZ0hz LVhRwj6tNbxMoG09Am1lghgiDAIMNqlugD4e0oUTsUVdnyt2AKklfVIkqEUfwSJ/juLBSgmuO4Li w7w7RU30MX/5K5UCQ+zHhyr9rcUyGqVZpZ6BWlIsPQmRbp5DSmrxHIZ9dKGksgkm5yuYDDrbeUFl 4PNHOtsMlgdkfqL3Qo9TND1BadWdRcobPymCthCXyCndWUgmY384F0LjgbtrfD4yN7tIKY5mkMTk 1J5zGCbv22STm1XMc1Y3nWjtKtcUqirIfjHSZKlGapROhFZvVC0MGEZSLTgxymrC3qFkAn4Hp7Fd kOL2Lx2BOTl2gJ62LeroJXAwXI2Mzwfn8Jc5joozuIN4sP4GnHdKEzJYja4EclRQ87OKpp48WGE/ 3SwnpoQyuFqwTuIM+A/HyDB23XJHxRsT4la0ZE7r0vXQ/OEHRfrJNDzHJWmLYgXeiHSjzguXz0fg su/SGbK8AKx4qEJbz8U+cZtORBt0szLUlEyFGKp2/QUDX08T3aXGT7urFc+owhuyEtY7UE6W+CYu Z4jedp8jKylt3b5zxFRnQYidYIvxPqsPadKYfD4qDH/5dABEe+6bewZDa88mY4XaXLRttO2lYenr kvYwX7NYRWAXY/NvmtTaasgpkMegAkjE4rn/ZtF/y8JgADAPLxLXACRgMcfWniQwAHXti6B4fUCq +YeExcrng/S9+H3FruBJu+cz+Muxuac8dfu8AlJ56uJCEd1cl/u8q6zVkEN3Tznl1tWkwMnVmXto BYBcjJZMAxNNGLOEdTQh855QFf/OEXVPdP6iy8og1TAN6EIt9vP24PPBezB9Jw0Fjg/rEkFzYPCJ UDXpH3jzarg6GeAW2USnh06MNlWYulPyqEHj/a62qcDnOr8UJe4S5hFNREjIef3w99v9MRMa/pWj 80f5KOiG28JNhbxEnA8cRT4fwRN+qXNDPA76Yh6p98QdfrysCEf3SLU1hrf5CIuQJP54IhXSQSV/ u/i2tNU2FQw+z/tJfb7WK8cOuKrk3I5yH30b62D10e07BwAFwqeg1aCl2KY6NZPUNfr5u/D5YKD8 G6FwClfksDdUkZK5TD7NMI/nj+bvPH/W9dP5o8Rr14+KMJ7SS7SB8r4D5XWqmAJj66IGO+lnutVR jk4wOeDqe3FUfdp3joB57ImOeRfr9mWJMSPLKnw+klT+VsY/G4V0iBuqkBTaJLY1r4Fly8Yl6r2Z gvA5JY8zY2j0uTWLKOLoab05O1hpqQoeBHUIltfnN0vk9mOqCYkN7/ipjRVafTKxOn9T8vm4qBw8 dxzRFlAF1DcNrvUr5KZWIWXRb8svMPs0Y5Q5t4M9ocVsbYbeSacgFL1TIIvujIHbJ3CjVt/kfFdv YcFQOWHsTEQHyUXYVoktv8JcDa1hM0vHW1mfFL0CZfTZhi+WWJkX4NlXqfL5f/k2cxNu0tRuLRg4 fgLgMFBfSHiaA3glVqSHrqvImaujrHNg+Zl8eFPVytNqsZojA5Muj8a9gJTt1mZguw9EqUUpXMGE un/pwE+APnEYgvui3gIqThHZ2WYIXD+z6E93X1VMYEEt8VjFDkPsuZdV7Ayuxx11jFqsJTNy234G Y/yLWjFNpSBXbzKgJTMyp/ConsoyESDAECEbfH4iVLovA2val45GXeJeteSLMYt6IVcD+2rz+ai4 +VU3V/nWXc+THJp/EpCB+8SjhVmt3ViVTHQ1sHCi1WUI3T+XCbpl3VxcvYGFMg0VMTEw2GZNBgxQ t8hiWUMO+w68/Ev/Ocshg+Ys1PxBgxP5YJe++ah8PhJV/KYYYb609rzZVej/SVoGMNHLBc7xh1KT QfpyEnxNy0jaOTQAHRrjtGm4oXnBeiexOtFB11hgvUQVRzQuymCUIPmNWZdRanfxL/0HRH2TvWqL bvd8vmi3PGDy+Yil7bESsv1Uo8AseqxC5Ra+sEHT1746OecYjmtMTOXR6uvSC0z6UdFU3gCg7Vkv phgmplTmSxOw7CptxUnhGLhZ/BRQKrwxSjudHFiA4hThxqqcgZ6fBehB18aCVCo31rkW+QOitP1b tQwNW5gVP9EK8/auBp9dVGj77WzRWvVykNdwFGgObEBxZxkCbT6rRQuwPDCWAPU42S4YcoxWB1Uh D4jWVGLV9S5MpPnl2AeUwz0MTWjzsdW+qnTvk8ns+dF8PiIc+Ui12Smc+0oC5dAJlBj8ldCPMPQQ X0TM3DMvOqnn91s4B1ag+A2kJTHVcufqo+eOKwHtAEzo4eCkGwsG8/BpYu+q3I1Fm4Ece4Fmqkmk wTciOs5ysQIzS3bqp1Cb/AHReOIbQIS8YX6NJ0I30EbXmJm6s7PkKa1G3zRrojZPYZs9ViE2Rvvp HNh6V38XdtjQo6KCMRJ6rzVZo++UgmjE506xhPLVkAntQMn0Awa3+yI4Q8VP4iDr/C34fMSpGV9n EIQLqrp6qKLUnTB8eOT6tkpr+Lbq0wENA3quHqpQZVGziZ0FMN75cXCc51Ypo1FYolBBXSLNwOv+ DCCeZvJdlbnF+TkB8COjydBl5MjFWlfnbJN8dCK1+XyUuH/rSmBENWXNoEhFvXZm26fSWa5U3YYB Q5F5b0fINMkIMlKx8LmQujaYmHcwgYnwPK8J5OMQ7jwn0TybOnObNJYMpn2Kky1WIUhGeFCW0Itu JuwtnPA3yVH2mvRNg5RhfsdqU6dkPJdVKH5OrzQBBiXEDD0x1c69k29D8fO0zVMuB76gJhv3y7fp qk7hLXjeyhR7O2/2882U/y00Whfcd5DeWHJFt3R+TvAS3PL/kgCOowEq1BW7dBbzzHw+uKrmVaw2 3Hr5pVeSQ2/QxI216QBhjQaTeDt/88244bYaLGEUrDBzF/aqitun9WL8AQoEjhqz+n7yqyS2rbha CDNIAexPkodU7EsHG4vUtvMzKK0PFwnGCGQgjb9gBcDng5Rhfw+eO71J03O1hw6hNDOCzctj+pHt vjo5vftcYZeYkWoOLUK9xz6FwOJqO+uc4nMnCkVbKQ8r+4ECdhssNn9aepjcxBrn0CI0c5JaM5m3 XHSzJ/QZmMGz1R54hMrW6GnKbM6D6m31hSahm1Pn2md1RN827h+EJtq+99X0/lXgEnrqcDkQTEuu 5k2uFnD9G17MVHjJDYDHIRxtgWZPE5b9Ib13+87BrmL/Kkusi4tq4AIiulAgc/P5yLPwG78OQ5P1 SOHk2Ch06thlB/qLxcp8YVEsmJsKla9l7aFTaFeIql1bXO0ENsgIglQltdM9+VMK9Gjh4YSKo8zl scJBsi8dnUDCGTBURjVIJNmPnNZrkWJX65k/4F+wY9rJEC6QMXXwcIVIGQK06+yubjapjEReRKMR rJmIF2/L/GEZqnbf1ByVq4ULATgVq9Af43zCZKMZWtU0m6MuIOwGTYwq+5cOclFVgoAgmIlaV9/u FIdSOT7v1swfEAEaft1Y55HVvvZWmLd3Aq/KFdvHeNh6WCObZuwJVh/NgxULo4sKaIJLXD1Y7bwJ IR2P2x2Cw6Ls5lMm4pZHnV0BO3ZBKqTD/KSoN1rpGZqUPeCtIszauexKpYTiPAUef0IkSvUrHYVy OBzjPVpR5s5XGwq+bPXzGsVFYuf2LtbIqzkIK/QP7WZekcuz+oj+lLplSa5ywzZ08b+B4Se2I9v1 8hgwNd1lXzo6iYU5Ozt+LXnHD13GpgFhR3c0sBD9NjJkkgUR0XGtCXLoIQq7B6L+s3eSqarGWNVS XFA313J3VoSUaaakK0lvrXbBJwhIndtKVoYdquZMsgrpZpl9P9hzXuJNty8dUd54DDlMPQtyD/Ya CK/cZrAz+QMiE6xvGgDNI8szogiMRMFkWWDjiuCtHNqNHBpwhdbwK8h7LFj/QMq0Yq2G8rQazqXU qcTExswE53eolYwcoy1Y6o1HFw5an/qk4BhqOm86C8npN+AUC1MMLiKfj3LS+t1qOPnjBljHYxWD 3LmxUGmb9ndnbqrmKNOFTDn55W2Z0Ex0qSYEN/2ufghhp7YmBSnoW9/USh7E98BK+we6uBeC1f1L R0hIYa5l/piHi8OtjfuQ3YO1+HyQkP5yecqANeeETe3RitL3TkRDpSsso9XkCwIhvUWDFALWeru4 0dBR1EQskyURXB3DfcqbVKXKfM4hZmG84BPB05gdIkiuVcUBj7505PWUlDxog0GVijGCGbra8P0k KPwBQfJwWbrcWpD5LVdxIYeuolSJgJ/KM3+eZvfUU7uT+jncoScHtqKoMSmck3d5VpvU71MZLlTP sOhps7e9rEdfeL+gOmzXPKws+8p/0JnpUaClqt93csYhwQVhGgJb0SQg1RcQEsqo84lU2HVPLKGl QUxbI4noIX2fvTiHIsF3wSIVQd2XqkL8oe9qhQ6afR2qobSILuQKsITulD7nAAzjZxWFI/t3DhC2 CtWSlMDCPSkd8FqNbdKhcJ1DZ9HUv+km6GpTPNpjFeXvcJ27+bu81u6uIoHV+CYle7shsBa9ORYp Gb5eWs7Jh/qkHFOHW8iSqyHkHqQ3sigWJ6haKvaVIxDk1nuQ6D4SfEVN3sYPo7U5f0AkDvOdNOBu n8C/eKii3L1ScXeUekXTk1U9aHMsl9Vjv9e21T/UYfZWO3nv6yQGhDMm9I2q6QOEAHM5BP7qXJCk EV3Jk5TsK0djL/LhGmvD7nohgBRRHhNvQeCvQnfRx9dX4zIokl/n8RzYi6KJzPyzZTeKrkoeOHym 2JaGz7j4lTH84S/arB2T72pvQaQhp1QeNAfYkM6QYUFBarShYszOsesN1WrfOaKacFdBh94WazX0 RjFMGULm0GF0tl9Tr5NqwXPuhirst6PjOyn/r+RKJA/yTDrNeaVC2Kj9iVdgYDGKTTWtENx39foZ jsh7U+drte32mnmwiKyQfivoUHqsVOOEJqNNpGYoiII6Aei2VGHwNiXNDK0l/oCgj3zBMiSlEAGZ n4s9TNuzAUBcn3hmI3qxg+XciQpGpgUrZKTqkmrKnLReUs6EyyT7yKvvxqoNmSiRyw1meg01og/q BQAJnEZda56ORmw2GLcmQRtEKuoZvYbQarRcuBqbo/ATOynKM58I3UZJQJzTZQiRt1/7gt5MRPWU z9XNVXJgNwrNPqEgHQ25yu0kwx4X9aC4hPnc7GzNlCWLMEz2Sc8ymeKd7TtHYy9JXK7miwCjGG1U G1BkPv8vwCiezGij5ARlUgXr85pcZc/bIY5nO6s085A+9QZzFcKwanFfv9fUKsMPQ9zUasqpdfUn UufaLaDygxt3ciw1Gspsgr30c2mta+pH1+jXxCpDCVRa8xx8cRFYDd6Wmnidv+/nNa06/7un0irJ FQEeAEQm/8X520QRouD0rHXZwJnUCatsaEsh2SHMX7Sbggg9PJx+Vx83w0RnZyaf4xTyTRCZ0qCw uvEXyRxLyAsD6BF8zFuITu5Jo56KN5gtChG8Xpt4JQtPv4XoaRzrq2HKvvCvFKLXXCoDGUNXB4Kp zeChuGTjmt6vGpijKkSvmRQ20ZSgZU3lrraJymiNticc3Ix+giRmAPZNR3Rw3i3pXPZ13zeRrKLP H6/4oo7xuVNlJ1zP5fd5TaLyM4XovvV+ACazCL2mUNndeQa46w5hd7mTU9f06pP4Va1b/JpAZYy2 hKDNgoVytVxznT06gQflhXRKx21gf2w8CO9RAMEiBCQuPuU9QrlLh4nQbOnNSSB1riEt577O3f2a PeVHDbzfPLMLYIUQveZOeIimM5ntGIaoK1ocaTXTiWmnXEDjgK6+0Tnb6h8k6x+k2z9YsOiDfOkJ UQY7aYtJn2k2mQE6hgJAubRTii68Jk5ZkIiTBWxSdblYp+Xk+8Wu7PZ5TZuyKbvcuwhQ0F3JG6Gn aRAjkrbABNw+yWIuKpDCeNhIxCbQ3jCI0ZSSQKsa+nF1UNW5goHFHXQ3hG42YZDnX2Ta1J5T1krz F1tJ9oXfY7RIYF5ZvG8oyXNC0MrKamRDNyV/XtMlHpv2HaQTYswNLUivyRJueRyR2el0p42kljlF vcZutpEmZJFkpBIFScpLc4kTyNVvo5TRRG2o607+fV5FvLkoxYQX7yYItd/TxsruNVHKjpTFTIwL Ug2Zr462xOPrvfbPa57E62z4aWOTPZOCVCxKr0kS7uwq1FnygQx6KT8mEleK39l1ul/Ya4rEO1vI zzme1bbS2LRmIzLo3EJpaCgIhBu2EjR3GzuRhlSnTtVrhpTVmEQzpRP+uVBzS50KiMlGffZTVo3P a4aUpVn49fLvNJnaFqXXtiZeh1NN8nrvbQH6uw6cj62AxjeBuODeTllTdkN+cvUGMFXzqiQsE0TG mCicq4pWvojZuc6vNCPF2j+vLU2mYahQmnwc2qbMLO0p4TDamXRDFTWIEeECDEljOAnKm35zv3Yz szNKKWfnwtbFLLQHTa+tlYJCS9IdYYZkA9C07+pBSoV6HQW3EiQJClt4uRJshUQMPON8AXkUI37t ZGYZNJ2dVKjYnIqDWwGmH1VwvJU/r23MnC//vUs1nIp0mXA6SySjXFtoIHTPrOU01qjPZjI00Mws jUWPjAKljlMfmlNx9dkLaFjskKKIAxCSBR+6phgn4GdX2oKYFIwq3vd8W2A6yBC3u6jlC8qRur+T MhTRmZvfZw53I5lKFqco45ZSQJV/YeNFupwbWVZxofTGXpoIR1GcpoRy1lp3tTidYrps0Gc4Kj4l LiuhAmXfzHnehCRC8lExBGL4lYMrnHaPiToUWjRJANCjKWR7fd7pflnMrienXEjdJVMl/F8UJ2YD dILSuduS/CQEgZepIAjkmgpdFsRpG7gMIwFfbwcz4+yR6dDhy5MlDgfJjnPFYPCc6jNxGYINB2GS 3Bm+py8WJugBs3w7d/nnneqXH6dj5ZVgcKxzcXqYotyblLu5xXJgmJpDD/Am9zt8cNwn+E9wiXdp 57VZx13tfsI9dC4Nej5ChrgXiZ4lVn2nOMW05Ip8Zri28isHNRybcWXQYI2LATtP9i1gWQEGNsy/ R/l+1aHLVKcnBO88PxyWIQBe8jip2yFK8sx+jaMt723eKE7kgyKpXHfVdkKFAputJq30k5sby7Yi +osco8xrXHOWqd7ln7cTGF/0ccDQQV2TCjkeiegltOOCOGWjY3V24+DlAlKy13LvLD/u4vqM7MSN 3K5dCYMvu58q5yIM1HsajjeCtZUMTrYeONnJBMDJoqg8eFnNgYrAKEJu/vzAvS7Jlu5O7xw/nO+9 lGE2XwyoWFEmcmuV8nmn+LHs2F/7KfOyg7mCxek9ETcbLJcYZB61H/feZVfVSZ5W887JeyaOFFdx 6qr5uXpzqe1TzAzqEEPHnxaCnLDshGoBCjnomsnkOEs5IcrEG8U4MODyRecOBBBJes28P+/0vvy4 iCpOFVODkW+Yokycs+tVenOd9CkzkEV+ramCw92p+dAgSsXtdWdptlZPC9Bh6ojECRO6BqtbwbJQ eeJNijvJlQCmfeP3MA124apEm6toxTh22K9NlO3Ox4Msc9bv6wm2Rbvf7Ok9FQcaI3MM3K4fz+wu /02+q5T3z+XrZLUoFx98y7UimLRWmwIDalnJrsR4fEEqTF0mtDf5gbk9NjPy6Htn9bENQnh+nXdR n+n8LYb1mVr+vJP6kDzt/fW2Azvmh25EFqcoG+/4w8LY3k+dGa9STj67P+Zo5Z66MB0fmpJnniit do3DcbDTgHZgBF/7NLAr5z+UxcI00ND5U4pUUTouuZLFZqMW4VTOC1UK9pUwjCgfz+U7ToAJ0Ave WpZRMt6kGFvvqI5oefM4Foylk8SQDNzzTubLF5k/TN+Fq526ASmB815qxKucEnvJurdxAp84hALt wvtNOK+fdy5fFp0H1xJ7KlyS17/J5JVK/rxT+dgX82Mn5T3kJSgkLFBRNp5JEh0+vSSVzwNVyx3U ER6sQL1n4/nK7ifrGCQX3zi14z7ZILBdeGNDxnouHz2d/xYK7Cc++1EqYffynciXzZFnNfKrV8XY uIllBf/WPcRTPwn/553K919vPACO9ux+9N6ZfPlaYa12cZv9y21mbss0z+9kINd3Ih97i/J9bOtZ bU/B/eKUm9SN3RTMIPLnHEK0oDpkHTC8vfBp7an3jNxTqIQ5BhZor1HLGoK+nWnbOLnm553Gl2UR 9QQKyVMf0+/ydxIf2ypZQkHJDx/70Uw1s4zp2OtF20OBilJyE3QZBn8aD/xpU1Cil1q0p7rjWxtB kPgznD/sfNQ3KOnyTuHLsqXChFS9upkdUQBXODlnz1k/7wy+LEHHJ07oRW1IS1mcopR86Z2Xbk+8 JRcpOXlmd6ZVTy5E/M7fY0XB0qVXZZpcbUOhegHHgPxsvKaq5CQqwK6b2l5rOj3bzLDe6XvcD3IW lxciyJrq955vm/inGQWzlSgjH2t83eW4ozZkqS1MYVsc0+5zvyaXtYbsuyXku81k567zVDNMUV+8 TyfBrLv6FUWGMTgcEJHowstB0YwmEpMsu72/7vKlrxykUETRTYz2bBGyfG8Nq8FaWJ935h63/b2f +NLDVHzc8cE7c++5oAhfU+XSx3IUfvbW+KlhnLTwTtxjKayeuPFB5xcfdJxC8tQr1NyA3s1SOXhy bxL4kGZCC/xaZNJx9Z25lzUSpC5Q80XtlNz6JvYXr57PO3EPv3H+nmcC0w7nEo9TmJJTdl/Zh5XC 16qvj+ydlQYtXuaa77S9bIDrwuvlrpZrwlYIBSOZ/uOUQd2NRMEcx+smA2hi9zgGf/zGUZh44NSU 2UCH8DV3tuv5G1Cp8qQp+/NO2stmNHzjBPBRx3VpcQrb4yTNtjS989uyez82yjtYp+6KKL1T9vAe Eixlf6+ek7dG8SSiyXsGWp7wAdAKfjZfERCcsc4KEY+fd8oe/rIEzxXhw7iICJpATObQ7pzyzztj zx7/Kl3Opp9f4/EoJadI/smWS7/byWctHT0i205wUrIwvafkNmkvaEyWu1pKvseCbKe0rSHdQ0Il AKy42TfZcuXiURbBvu9UvWc7NemXNdcv6xjJywhrr/F5Z+p5P/EJEzjYQD7ZjDxMybuMaTn2JSRM WQHSJxT5httJIM5qSB6m5FMVS5dBJlcLU4OleE1NJg7IYGWWldm7hXA3+0DeV0GK+nmn6WVRXXHo yGjkogqPKG5m5Oc98Hln6bGNn38VwudeggOfhSkGpLCXkoYn5HNf/962TG8KgJRuydM7Ry+710Wj 772vClNDV61wRApuxbmfmgSDTjKSf8bgg3jRdXGDctE3jsL03aejmYkSplOlVo2/Jx8PDl3yKQLz STAlADK3MEXJeGOO2XZ22f2+e3HY+ODNTth4Ktb2fefn4TAU+TRVdp+02t00iP2CoC/wkrvRlIf9 AkQOroooN/wKb0NfOCjv6JUNcJwvatIlChkzJSjl807N49Tk+8zhVurrlsHvvDxEidjeWZszPsc2 mUWgm5YrBoIWJhj0Oy2PZ07qd4VNcq125sAQhG4ntd1OMbUntUdqAa7x5G2Fkt8+Q5iUHnkn5WWH 9qa2OGpp0FRncEY/ORk/89wQ5fPOyWNb5TsTh9TpaOXGKcrEd5XpVZ0OradugKD1HNJzNy1iBbib ouZ4oittM5UMrXbozkFDAwWNnvPCy6iEbMdJTnGi5+tEIE4qP+90PIZJpPSWfLHm09pSnT7XQ/m8 c/HyQ/S0nU5Zvn5RTu+JuLnCzCGalKAqySecvVN7pND9yl9070w8qyOAAJOWhlbr+K6TwZ2/Q+du OoFqWVyFTIYztBZy74/8HeuVdx4eXqg8bQVTSVvEIlhQdBJetfNrBi+6/AugAtBMv6CCdxYesRci SyVHqECxwwecaqkQUA8ld93gEUTFJMp2URrO1cs6iCk2ORJQNHBpwNmk7Y2UCY2FS+8kqPCdg8ce Hbkd5zFfFCdUKwSE1YU/53sa/p+D4E7p6+dNF6XhsCAHB91ILHhF7+TppZBPaD014EiZhr/z7/Ll 3+2s8hesclwUHCCUDJDcpogU/WWysJfUYM5weKxU9PNeZtJXDgbBjfCUZgvqAl3dC0z3qh3MLxol mN+9ccyr9wPAeGffsZlp7LtugUo7OeIZ0ynvp9RqPbp38h3rUhE0ktUtaV7AM4T0EuUlQVRMGXpr CFQBMSTjdYGX1bhAXnQUP+/cu6fxBP4NaAcT94KVvYnQrR8QFj/v3DuOtL6vcUiwzqdgeSfeZZEd IP44sudOwqdMoZ66pZhwgrdARc1xkzIdQzuK64UWTJ5uQTDSOZVTpAM6vQJkQqLvtcAa9pWDmVTh DY781xZVLBlei7rT5/q8k+74PX+l4hCIn8Nfd++cu+xqSFDhuaDn5iYzpaxtoOeUCKEHGDNOxYUH b7Xd1eIEj4x5YsM4wSt9DAnqQw4xU++syoZdLzx6Nbxz7gy5DP/1qgWvdGaWyVG95007P++Uu/ww fmzGiaxwr9vJfKfc8W1O/LwM07KYGYX/WOukyCZOXl9saTFQUTI+DYNhvpjz+mKuDCjMqSQGK2BA 6pb5YhK6VAFjK0BGW+uJQhSfd8adn7wF3IgWe3dhpLOZJ54qb33e+XZs0f3CiJ1sZkELw+IUZuOb weEg9/+S4uSCgI0TFKMmjmlxilrjrXEjMbH31QkskOlehVMpaKuvLJNjgOvhi4luCngot7izrxxc 5dC2A5aqa3G34gq8N6ecPdfPO9nuV4sOMZFaVL776T0fv+BV2YIt9hUZnCRhH3cr2oAH8ZX3TrXj wbOZ+ddqVcvZIfDKppYBAR9UpIPGJFUbMEEvk+ql1hkf+spBasC6pQxK1hSHojWwjKU/eG7W+nmn 2vHx/bWfgKPdtXlL5Z1px4RisUOXvfNEXrJ16JZ7z81GMDj3UwgXJ9Gu5ZnyXa1uOa8JyI7R8QN6 GJPmFee1h/kFOD0w/MheBKul8s6zy/Io5pvuLpaQlyH/6XNztc87zY4gy/TrftrwOmj33EWtcd4q gBzmi6WrPpKqy2VqRgMkki+8d5Yd9zNBPTnZVDiN26Erjb6hKhJyQm6mnkqHqFZldwGtJ+vQ4aXy eefYIU4EPfVJK2guxs6YTVOXesL1eWfYWRX9VbjQjrb4BOGdYIenSPLhIb8DqasavLMTN1GWW5jC jNzKYKqm23op5ufNllBJn+0Eo11zXwbdBIaLE2jf+7qb2k5RX7xzYof+ni8K0z7V7Li0zXdyHS+3 /BWmsx8BxL8UjSghz+lLo62rcTDt1I3krr2TxEGF6W+oSms04bXVIWKnyIVO++apy5jaWKq1oE+E qyrRQFQQMQIz33l1LNCEXKX+HxdrZJ5vKURPm/PzTqv7hRAjqhe4vDkuMrOF+fhmnEb33hMt70zL jhqH0rLrxboqLcSNV2NCUdlVq2UF7bw4O4inqIPP27w3NXyJF1SLLl134wxaAD8nSAp43KrUN7kw Thw9sxV/YrfxeJBl9u86uJKDlL3h28JsHGXIuYTmdcakRRybKrUXb9GdUtFMUFrUF1eYKk1UfPXx wXkRN9CLcTmVfjJ7oaQKphZIQMEjWA8wc+sbB3yWjFc5NG18UY8OUxaaE580eeDxAFaQ11dOAHrR yrf31KNkvFN5vY9tvSfQ65x/n6srIwKTbV2V/p6Mo0fIXQR7+rvaqVunegSohyKSsLeuBkGgNSYI u7Neb6tBNmsPWZqTY4MqO75qsKEKtcUkie5TX+DxgNCyx3dpB350qdmjFGXi2PX5nIrsUZocW0iV VPuKnczqqPEe4VQ4hD53kiwYtFqHbp2tcmLCWVQBtbFM4widfSS9TSQv3ivAQBqfE1QskroFWcwW hQlAOnUNTg2Bx6OR3fcVjkFjbw+DLEzEi8QQl0MvgF2+o83lo81CaTlc4T1qi1tlt9Twretp+AK2 A5r8MjfM1hMbmSUzi27QjCyo0LxV4F85wtF1ue9Rta5y1seKZYFTKnfHVvB8wNhc34HCZfzDssci FXI2aebRaZDIcVSqy3W5B6kpBNJhBKBUvEep+BL4ggHx1SKV2jnBe9KhaaEVLp/2vOiF1/C3aa0+ uhesgXuUisshhpXzIq/oR4pNtA9RjnASQv6A4B5P32NgqoX3S2zpEXUTINcMaWRvP5VVnf9bt4v7 nbSte6TCZNwMoPced/WiBRrlMF7EfBPmzeq8FGrj4U3Xf1jRuU4W33c9ao+vKcIG25oADktL5ZwO jBTYfqp74vkgUOP7hTf405ZXLT3OxrH/shAEZARLAori5TsJVgdjcXgJIi/oIYFTg+DU5rPalhqY 2ObKwwfVAEqIUeIWayKGGsAFv6Rq1lcOEoM2pGEkRaNTgXYBxDABYXKOhgSeDw7fnL92VAOI1QcJ PeqQAx5z/oQUqvo/5FJ0CmBbpZ9DQlFbEl3JKMdlHufjUolcUm7lau2C88YGvAnGFMC0z9GF6KHq YaZXe8Xdc4VIp75ydPYEy8ycnHfqjHBLQXgQQAk0S2rBD/gXu0VDrI7S6d7n7yk5BlMaIFBirboG G4J2kgOKMVHAIdGsmfd5lJJv+chZ/1uro8dhDV1V4C2YUMgBuZBOey4wMDRmcqOFkugv3qMeud57 kAz0Rdink5mtIcZ4ang8ahh8X+cADWCO7HGK4ONy7wCpkr1L1A3VPEKhUaBeFBQ1AVPm0YugKubM NJvGTlN6jZVkqVOvLPofSK51yOsRZA7MOPdkQ/ILP37ChQ/6I40Cy3j4osbKeU8XVcKnXPz0CKvy G5qZAXlAWeuBCpPyIfHtLJELDD3x5WXdITvCTGEe/B7cUFGLXP6o5x1vxrPXa2id/3idukusMoj2 pi0v1XMZAcQKN5UKor91NEkFxAcFtTCtjDfeybaoRY63dBHUoMxPDwmdv1jm51WCk3d7BiNKywUS m5LFYibFEkA4jGm6yQ2KDDZLGFGPXGBnWMWtu3r1cnKliU4NplNlAMfDVt0GbG6zqQ4hbKO3UO4R nxMNp9iITFRPkQc5K7uTfsypWz1nPB7k5f1bPgVgypWeMEV5OQt2WMHUywK6hh2t7ewsoO1E8xF2 yE1aZtgBHDcvPy9/1Blbfh3nt9jbh3iYpyX2yyFj6UprQP7hg6LOL+O0yW8pUN5ir6sid8rE1QEO g+ejFvmv2dS5GE954FnUCNHjzKI6M1opSsuBglmUUgb0oAbk3RWoqEUu+drWm5oG543PhAYFTEfN skD+B/8O3GXd9guT0SGtyNv43UCO4WMCDKv8y4q0yguxfYjS+at23omY+ePxf05ceK+dP01v25sG I0SsdE0SnB0M/UFnBzfajIlFfSn5I0zLaTpxLvyszi9npgxTASAaoHhqqUCXzu6yiiRvyLfxtlag mTbwMX/NW6BR4ItOXT8Zprtibzwe3E71e4BHQ/J0y7wRpeRSGLXihQ1N9VNIbxlX3gECdbaZopSc 3mLnUnJERrqZJgSFzl+7E/00QB6YQmZuAI8A1Dg/kPYAAvqSezei/nima3iDoA3zV2gesVVHKQSR OUvG89F28tcdK8KzS4Br9zhFGfnYMvUq01llNduh65BPdVZZnaYVNqKM3HQwVqvzrva22yf3Pvky oRgDk4pKZz2ISJ9MuFKw6WrQUe4WHxMcul3VLRi+8PAgE6bs9c9JzSYej64mP3RMTlELo3/vYQqB 44vz4JZdLUTGgbybdjcTY3iAum70CKmcBPiikTnu6mMECIKdXUUw3blFatVIFMjos/HR6Z4PbBzX JD4musKRXEJAnwvxFpTKbENW9Gie4vEgd7o4X+bdZ5OMvD3HHCFeJdPUud+MoKnNQh2sbR1gCBds P3RRLu7lXTIhLGkYZXk6L9Q9NPLCoa4GOaQn6mTvjMKyFia8ivA5UebEV9ygjVfB1a/GEzICMV4x 2MHzEa3l+9BhI8x6c/ER5+LKnNIlBqc7lCp9F0sJaOfGfsGIc3HRWbrRW/qjFY30O6fCK5xS/5t2 THlNDfyoYzRvdUcMLT4oyMUB8V19UVelUxIpqfw4xQqRCT/41/gBUYf8V/J0DhKtdSxSYTLemDzx A+TQKBmDJSKC0NEQfkSTkZH6R4e8maBvW4/7PLT/T7CpipUo0iOzM7Jyc94Y1W26Usk1Qfd4yOYU 9AnVLAXI8TBf7+dmLXrD7lzwfNRZ6V/lHVNis8RBpGbYJGc23l14zqy1TKaPJRov8qXBeedP+qtb 1+D1dlcfCGcY+qr9i2M4imgwhU5cDX2J9Ei1ix48Q8AKr/CTsWRfDHp4cowpI8tS8XgQp/bdqqM7 uHxL+KFRNi4t37J2M3xBkYAvlMeJF5Z9HoRiLExhl1zyvKj272rZ+EY2v5HQweWl4Q2obHwSjzE4 bF3jlsH0Spghm3PKbVdi7S6N2RLNxviP59bA49EV9Q3sgaQdxkwep1BbBdRMmBism4w35yLksbYV d9CHNnmskMpZxZQy6WOudvD2hF0G6jeQ8sHCY0wh7Qu8CqSn6WDiuB6KPMy4Tb7IJWvNF2UGsJtr BkKseDyK0/fUBQn8HpezMaNsPFODps5rt7tq7s4oa2M4Uwr1i+IUZePaG2cfycOSqyN+gVzMnSra 0MGousQK5MWAPkLRjq3kBrJL3/gvVj5fDFyWw3pKl2lXP8kgHv9fNEQzqMa7XZGHGeXjVYyy7QhN NBi2J1DtelB1XNOKU8jklNMu4AR39TwT6DryAE9qsCH4T0GtAsczsn/Or0TZcBsJ069rhlRODhOg he+LuirnXJNTiWZ5xePBrPMRw3AVGnKvLVBxi5w8sjYu5pfVq3Kouly9rxeX0J4RYKVL9Gm3/qz3 gkJuMOhANSBzOQSAArGXI8ufvZ9Mk+aeM0rIa6cTKgabtigvGMA/EWh/7hs8HnXp0ndCvqGG8Yga zQiwws74+VOny8ovPnI5V1L2+ykTy4XEYEYNcrFbKg3wfHWAZjpJL3Bz2E+1QifSFEUdB5ElkSWk PQnUM8rIk8R6wErDUra55LZTEXcpNwx+zai88+uJOwdpXb+iITMkcibWdKO5382gTqd6dMUGn8g0 iwFWZihzWExCTI2AuYrXLZAsPWVMURl8Qj659TEOg6on0Jlwmn/GCFvfOIA/mdmUYGLVYWIT9CLO per513g82E67fYUJAHpcOh6mCK9ircw9naA4c3JCwnnJOqUsjWStpxkl5ENGnmdfprv6qBMlHtgn bGVCF5IdBXO2xlsPA+/bHB8E2s8oIW86b4X8jUqQpCRqxxIq8Oe8iSqejwBQtxDGY+eO6l+imVE+ jr9hHuB5/TiBeruWWO/TCfmAMFmgQnEVSR2XwbaBVqdLnQwTObKAPakM00MsYPwvtKfzeoYIFObA 50THDhtpTFpVchFiBYLWnJ2fl1fH4/8LsAf4FTgdWphWlIwntQuGkX/wdbcXws1hGRidO5xuRYiV IfsDQl999Ut8AH2R5KULpOe0rgradhAE/xF4fD2yBStErFDdoVCyXouBDlejpB3yg4rHI0WjXzqH YM22m4uvKBenvg5kjKz3tNJynfpTklka1QbfmopSmItLWWVlqYxy9RwTcxRY9LBHV9Y0d2bCNYD/ /qFDuhkOs/e0YpVD5UxCshbOcnkjnfNsDp7nKOL56MxdDCsd3pA2jUtGWKG8OEecc0+HrKxUvEdH 5ycbtBRvPq0QsiLdzD3NhmSKy0z1bGhwIgGgvjiUe4Y4ihicyraFntOeOq2f9llhZ5xNuq45Qpcz KS6ZMfqW42JbfDxKxcv33QTcP0SFLExRKi4D3eGMu/OP9FRSybLt357tVIplTiuGjms7DfXfuDq4 YJ6/e8HlycwJHlO8vDeGMVO+sHi1W0pANN0KO+PktIAcwaWZgjHxWhJfO/9Q8Py/WgXy34KOFEX8 FKgoF0ezFcW5Gwaef3RgT6PXhc5drt5+WiGXU2q+M5vhcHbnznNLAzZMlXcoRC9goIjCqGwSUtmh JDRVHNgz9ZWjPh3aT6tIchzosKahCXoFskYEPmniJ/yrPc6zB7AJbKM9VlE6Lo1R8rMUK7ujMCMq LCCEWMEMRbGKpVUk9JgFM+DqrTrohhWokkDcF04REvcFyxNYFVzbKGHMLzBRln1FiJU1ruuWLSpW Chrdsjvt/J4RWswTTVIEoVzeL29j/Q0gn32ZQwuo4ebQ0rbbBmLKOS0fX3E+zkSzm1J0v0rRoHQu GueypQkpoEouDIAysL9jf67mqxSd9c4LlVVUCPM3xPIUwtBbYyGcGh6P5MT6V5zQL9rjivyvKCFH vQBdrOkNzXPOHVTX4bcqYlmTeAHiFCLI5T85rF8wbr/gXNgnj9wdDiQIFgB6RkEgD6hgjkyvJIer oMrCB/0Fw6CPEpazNYc6uCfNn0KKlYrHg8v8ZuS6+c8G2OvCMFaYkjcWv6u4GuvZta7PbuHjLIGW AFQe/wdepbnmv1MIIdCOdgF1/UaiqJg2H9RygeuhX0S+eNYC7hS/cqBau6XvMIYv6s5Bm3Vpbw1+ zwBeMPzgUY2VOeRJZO4VFfbIcZ0viIJ6etAvmzrP7KpriyxMRirWH+eWAmXzrn6dg3tcqo7eRJpD KHVJOoKQ1YYizU2kFKkoKed06r8A0o0memrVnc/D4++RWslrF1pOeQFvgdpRVj64pYpsXqXkt6y1 wkmJ9wzQjmKCsKMWubkJj6n295jNq7xE7MtOWeZkGeUxA0VWyvl7EuLyjPGoiYMPCqo88DbWoEcZ FvmZo2HQGnOFcSpjPB406+rF22vm3iQJa4EKSZ2UyYLUnuNZh3t7n3xke1Pz/K3t7O1YYUXyoUMJ J9e7o1ANQx38nMCTx3YZ2gjzmzlVb0+zriSaI+wQSy6rllzvYsOERQlTE2rf75m5+drfYUIha+qS 8HaIJe+iBpsFYF40vtAd1bJjyYdRYhGnGEuuRMoEfucj8Hsu8JNJJQwBwM8fKVdZbSyQCwlbyfXS XAqtj/E5UbOOrDJJjfYrNTpOBijR9lNvDzweaax8k/DgcjAeY5sdcjrZ0hTqm2Eq6YZpdjf/Offv MP7GDhEr7v7ztXrvN++NBKdx5tIhcmgqfsw3mXegfedXOToY+KBIi4Y3EzpktuiCOn8Xehb8QEoW j/9LPlMgg/NTxm3W7RBGPqTwW13Gj7+DLnKKaZssRp++ncIeubbTXjZLWM8sYZ8ib9Qqq62JSZv4 1hmvyQlM6PhC9oCTg4/5S2MlLRP/hyzV0nY6FRPf7KeO2Xj+XyIrrHUAIm+3ubKjlBzuP2De7eTN OlIxZSPRqgOg0HyzMIWQlS27rTzXXR2LsfrJlzpxh+dGgpk309KEd8/qMsS8CgYoo/ExQQKlUUtj C4qLXcagqgmykhYeDxKo4pe4CAwAKaR+Lbd2KD9eqPrEQlLI6DRMPLMXZm7s1S3oHipOodihRi3E xPrqwMNz1Ar+HWXa66arEW4nurFT8RbCz3g7V3NpxedEOlmklcEmyRYFCsP0Lh3Nwq8ZtVe+kWIQ 7II0mIcpzsiXBi7JwgRamPGmdnvcNuCUR67ijkErgvQsyYlxte00QHKGjw62E0a3eRksf4OrKJmj 6hqj7FvgcyKHOypiFA5euBiMFZN55uYj8fGgcLnidLKhxn6+6Mwdt8gJFKM4lQllJZcT67Q5Ndgh /ggMU5iPb2nRlDbvarvp5Jf5vOkoP96ByVxsjVdMDjHvmD9AhzlihSj7HTI6N9HQm16lXBiljpGl 8c3rwONBb6V8wzCW7He9P75DpUNK+EE+zqK06zBG57lfr8XNSO7VvSO8yjThJzow2erzlnGSk52I gAL2JXdVNgVZxCD4NmdHQIGjoG8cyauwukskCHOxdi+STlGC5sDjkYSfX+HMWNgjREZvgRJI6PVz 1fzd+ar+9+J4Fcj+e8MA+QGBGBIAeC9b9OsviUBxVYE3z5YiKIrQHpgoAeMKigsnw52OSWSGTNm3 rG1fOqC/Vr7pUJoBaI90cklMHLgqTjCgo7z5IyKw/TeyjmNMqk97vKKcHE6YSKK223BWeH6RlgAY rcULHRFrROFH/SV7iJOQ7+qdAzidzkzBdrzYs3dY2L2rQDwXIn9dh3zZlw6wBhwnY9jFBUFhuIgB 5Vbrm/5JUV6+L76O7b5Mbg+tijxe77k59JGonUUZSk4+l64uNO6AGTTkGERoPV4hnHyoIwXXFl+9 gTAwLSlEHKy2UlKXM5/vnSnqd+5w0AZcFJllMT4pwo5ZQiU18gmYJpNyeHvwnh8njeYPCDKqfDvC EpCGy3p7dlfUO+ebfUGpydp3dXdvCY9hHD14BNXm0QodOs0HL+10V+9znk2MDqcIZycP5XUJDRG8 WzuEa+CYdks+2Sak2CVoUuyXbkpc1G+BSDUzOThZ8PlotucNF/zH0NFZpT87K0rTU5IU4nQzagjV OdKODBTurJJX8VhF/fMhUt5Iyga4+twKni67cWftCvSBqFQUr4aaHaZkSD9Vz+Sx7EsHE5nJpjAd h7VYU/h8T3XS88h8PmLu/zLjKKhT83pMlVLYQK+/XJXP9+SInnSqotPJeyuX7fd82EFXe2rur9Wq v0lfLswuEMMKqhBn61XeHeDFFgwFJZpRiFLhJ0XQRHXy6l0MZ3fKgC6vvKHnIz+z73YCO/+p7uel GKXsOKqQPDLkJkbI1dWPNiMp7lmf96UY5ezT3JZp1mTrw2Y8b8XBGda5agB7FJsRCP3cIG+G2cP1 NMvNvvQfU6y1l3p6y6XcQZSoRAOd+GU+HzFkfyHKMMWk9ZAHK0rc8R/SjaM681PEWBL1qFTEVjq8 6f0cxvKIMl406me91E/KKiE/R0foPF9mKsSf5ca/8QAkAS5CfmeV2uxLR/AWdBQGBJm5JNUqwCLI dO7kKI3PB+nD4x3E1gJKjQxJao9WmL/TXviUyk4bmvtKuqMJbj29QtlgmS9GmixVGolvknYb3dOO NIFikmA5LiHw8CJPhb0GnEGDKsqOKv3tIFTQh7ZFXb1TI3RpIIBRjOeDc1j9dUh7VBJWoYHjwQpb 6pP3el4Oc2nEishCtye3q550yFWwwp76coT+uKuPsyC9aUI/UFjLVbUzcH54HcGSOa3L2UNVyg8K WlYFqAQMG3xRrLJZkKN3O/n8vwakpD1ASxKa8xaq0NSTipKQpXeLjsTrUpJI8yKCVs8eqsDVE/15 UWNa63f1+vlEac3B8QM0prNaN5COaNC8HT5MVlra/DtHVHXdWGP5ImQnkHKSLT/1GZ+PVCK+IdUT /oJg5XmoQiZolpnuuv29Ub04LOuW0AmkJAtVBHiRBQD6ke2ujtGvaIQOWjODTULfESRiEBYbgFb0 NK8EIDGLOfb1zBKbVl7aLPFlB0hiZG2Vyuf/F31guNTCJ/dGKkzeGalFTVHpRwmW0FhGc1MVqts1 68nk0NpzEZrQkoRqtNqmgn91MUHXVk6WlZVYKHiNd2x5QlWafedoplVE589adLNXqKLPJbeEOvh8 NE/+Ns8Drg/CTzdUUXN9LfZliLzQW1ACd9hUtTaT2jpvsHtVhfaeQ+3QViSQzNU21QDzBr6S0LWi ALLp/kB+qYsP+jgJjWZfOTp+SKuWWg7LWw61YJIyBahCURjYe2LO2r9KaIET6uOqG0omYu55yu/t kTp/fBdI2prhFPKLr21lYPB5xW/3NPnpua7IXYFtU5/0Y0SDF51D3VQdf0zU+ytdHFUf/p3/8KHY 1KXkMmxTwYWPkhhwdOfzwUz55lY2vzgpzWOsGzh8mhE1bSW9jSWJd5w/+sT6+cMcRucvhr2ITaQS R6uFCi7TDcaOvNR3SiZtmomVJ7gJvoaOperTvvNfFsQlKWLurQYzO7IgmWQVPh/plTppXWiiSszj DdV7yl7+X2L7GEYat4/FOdcmdHg68KWDUKIsNHD5TBpro2IWobGlh0GbYbIKgSQihHgCSebbNGqC pv05P3ndnp/6WIHP5/nSVLuj2qItqgZHo1AlVQH1Tf91ratZSB788wIMtVqYK6xiIubIQctVlKpO Nj4B7Ab4zIHVJ8gN0tbodd3VKudzrHeCeCkngTt1MvNLAY4YMaOa3HCjqtLKti8dvQKVV4nlv4rn oIAUDiXvqfL5SNbmmyLKIVB/vGMDu8/8/2Bzf8oLmYATClNdqVsyJEIzln5dUQO/zyv+3pPGN1w9 Vm2dvVI5NYUWMp3r6ZbOuTJA2SC8XKRQb/alI1cvSeGu7IuunZMktiRcB7oMgeWnFSl6B7LvhSls nc8hDNvubPatmRzRuIha5saaLZuu1MQQxw9hrGuuDZVlxMTV83XaZU6ihdDs6xJtKdTEgGzwOeFA vV0e1rQvHU27isOIbTF+0ZhyyToba/P5oLi5c0F8w1zljzwe2/QoZScxCJ4LjkFTc+D/M/Zl2dHk NrPvtRYdH87D/jd2GREAs/TfhL72C9ttp6oEkUwMMaj1Lg19NrDQvVWXIfT+NNL/WKPd1VujJzUf gEYDCHM+bE+RsYAph/MmMCYZmjQ+82r2pf/sMrSuPhZVAdHghDsCxzmo+fl8JKp4c3bB0HBnXauK HNp/zixpm1FN4HxAD8W6DHR0Y92c2Rrn1gr9P0c3j8av1bt9RHphgsA7C3ILJGRV8jAz3eaIUxez disXDQ1AIQaHjiitYrjofj8Xig0scp98PgIWl69asMKPrV6dzhz7fy7y/7NtIQhnp2rHsM3mvT5e 1rqzAgNQgFhNfbM9q7evzssdltTs9eXJtinfhZgRUyXvh5Mw74zSTicHDqDqEWBDUU+40YSqa2eV rtFkP/uEPyBK3L/VbmCGSXvEG60QFkPIbO+XioyxuUVrJ/cYgPTG3VkhYF1YfaoY+Wo7C47UEM9a xMyelIZwwULexfkLIU+qRKzrbcgeCz8pwDJUNK6WAGlLpH4OKCoGb/jIkvVNoxn9N7Qfp3DiPHms QvshHICF680gRFkABuTuuTNWLJ3b7TIETqDArAv0IS6fVh+scjyR6awD/FZbwmonTOmXGvCQ87GN RZuBHFuBkqEFXR2Hg3ZpcGASxSwY9Q5/QHAKfwmYIXOY5WkzxG6ghVBsTgQMH7MdRgRrZ8fHUFhH sQoBMoLvzSQC1kyX4w55t7TNqwnOe0kNLjQc+s9uwBh0aiaUr45MaAeqJKtDrdMWg8iULSMw+ETx +WBble8eMmgXcz3Je+gH2giRGdmaCxDNHI79GLnbthr7+svmwBDUhxOnurRtte+2KlDNmD3R5wPq /HM0R0MC1UB7G99VaHPZVw6GXpzXUzrOFmUNJdNQl5HafP5fqbt8CAdP4HNbRd12GuieE9Ed2dCd ZQsLitsS7YSjK1R/a5/X3QXc5eocSQhUQFSJ2p25U2UPXZhEZg25CWjO2hwnW7BCpIzcvxKPIIXt mFaeC12Ekx/QXfh8VD5/n8BNas31RMmhLSiQDChumiOv5jRPZ9SE48qfg8Oi8jnwBcVgVp2Gkudd bVth/gayCNnuCf46SsJOylCgTAfPbmdJFux0fU7wFtxM2fHetEU0SbhAsdFQz/uJzwcGV9eeAU+C xQIpkKuOlwNvUMzp8Sfd9INQqyEVb4puEbiImMGM1WIVU0o1px9sjmq9ySi9ryiGM+ESZgoK5zoH tAANv1KrOpRkIxX70sG+okcDKK9asvYVWGpdsIaTVfD5qINVvlJ33pwpXbPCHDqEEgroVh8a4lSH 9MHDwoY4LbnrR44tQpdAal0icEkm3pI1Q5u0T2L6gHfNrp0D0Exvnba6FyJKwHEOLUKVijZxI5q7 RFVabUu9hL32wCP0Wwy98QgmqqPdSEVp++YktW7zCAeqb19U32zrovrQPuW2ClxCAfrRNTVV6+x5 mcqnkgGiH4NIkEvP29KIqBk08EErDohOXOa7f+eI5MYOVhYX1x0h0LinGjbNwTafj7TNLv5RLYoC wbDbGI2dQvFKOOfO7nMKL7ok+irukSKTPqXtoVWoJsnwQmt3tSMIoRcoKrB63hjZd7ldLSSvlXrr c3mwqJOgT4oMGwj+gOsaFt64P3JapxARsque+QOiaP0eO+PIsLDycIU8U6mcPeyIlaYxk87r77oZ w8nQwxXm7RoONikAaLW5M8RxFh3OlLfDVI1VDskZwE6cihB+gyZKpSF94BoqXQS0RQlsIBq+q3WX Rt5VrG20ZgLb0P9rSgDjjgVMhwcrTtw5vunNdYQWXQDFXZYsRac1fb57K9ZGN13mUu5qewsOZKkL hTyhuL6TsZM4oobtOUWtXZgKjSl+UjR2prhg6mbRN6Bd/CMp68QJ+qkRd+ZPiKBF/avMwdufxCaP VpS6EymEdHN4AU0nEumjzyyyEpt+XhKGBqIS4Ia1Tr+rM0o6oOfQZTpba6O65VgeOCy8QMmXW3QQ NVHdZV86OolkMSfq5HSXx2xk+jJFOm+Myuejxnv5vuEhJjqexkxoIioVLTNYZVq4evdmQ8ouoie2 o3ZWhJVpGtFMkxSaX5JCK59rq6QkrAy6WJKoIkEzZ2ZwdHJw+o1/6T881Tat1rEgEWazIcNuc0st N03+gMiQ5zsjhQfuwj3jwQo774W8Gx1DzlZTc3zfkgjxlFbzvbPi7F09hmQcuJRusHBTQZWvqEE6 6HGBXgOH/9Thr+PRh6NXNz8pOIaZdzsNsbRoY0EIZkq1ZHU+H3WxfvEBVvvZq9+iMDQTZRPl1Ggl GwhrTI7pie/bJsMPMZjtyNHYTVT9gz7lsc7VY5UBD03U9NrAjZYheUYe3IYGJ5ClF4SlzntoJ9qZ kSZga2xRbrVpw8T2wVp8PshIf9lkZkB1T9p3BWFy6Cha2XrHB1m02pxiMdOasBhkDUrkHq0of6fp AXzXNVXlajjuDirAgpndiRvm6WIyk0ePLlZl2u6sSs549KWDK0u8rkYU1sZfmil3I1Va3eRz0vkD guThwrAY22o23has0Fa0y1Z0u4v2pIyXORnRtkyGT8O1m3PgKwq1C/YZqraWVh/Ww5IbpxEmPWfn 4NLiUd1kfWH6jgm0+YeVZV85KnWkx1RsMSQHZNbE2xWsIfAV/T6E1LiaUEid98IKfUVh9kS/TPcb L5fkdTbYdiIFISkWqQjurhnFSXQ0gebqnRl4pk80n2Bff/6CU+JykAbHy4wv/upV4VCOFRiLZgvV KcvJqMgIulAvdRrspEPpOofWoumXED9L8nylrnPoLVrpSrcFPWa6lacT4sxgpTLHwi/HWicwF71A yNVlYLj6NWc/Jw4O7dV5qHQ4wptwQ8+IsiOLmnECq6ViX/kvCAiNYDCgQKOQFzoC1breg2XxB0Q0 im/sdiP5++sAhuLpSRYh220zUYc6WqZvVxU4v5MX0LG/qBp+ucu0gKsX0APiq1UyqaciGWu45gfM Os4vhWTelU9Ssq8ckSw3lav69EUF9Dh1iBTCMyBYob9ovoQTUcPAXHwK6MBgFFUOwdoULdf8mTeF 5s+9JZs/1+yiQzl2GJVRVh+KAlcfqUIOa21CsBaKniRjFbombXj2sXXsqkO12nf+wyhrU1vGFus1 jJmt3GmVz/+Lm8PNePLa2Z8ucugxWokWJWRayZUUWkg16aKayDxzeMIQmIxeiPv5K6W7Wo1ztm4B VWiwIMRxT0oYoL2QIVryUwDE8lipxgltRpsKZ0paAdqHRPJHQupNEojnJzT+gKCRnPJXsAZBkPm5 2KO0vROFtbKJWtIZwxWd6VRqmagEUxmskJa6NEc1LiXXy8sB22RSAeWUt6dQFu9rUpevAWDfYJfu o3phQAKzUbyN5G2U5LOCvwGzqwY1IKYLGb2G0G20zF8OK+xWpP21tUL9RsnF5IfFlHrx8jmZkEVD W374KYwQM9UAkIby42pXO/y0gW0gZm1Br0ntm4R+I4hbwJjfC2vubN85aDVkASHH9kWY0X0qB3lE dvABAs9RTCi++annGKM72i4U8vOaXBWcfsSKPkwma0V5ZB5DM9ZcbI56jfOaWpVEk0s0+mxf7fwk DBmpAYCKFFWHBSkjVUgBw5vsB/q4butH3+jXxKpAVqdSMJUdTS7CqwFrUCQptPD0S5AKZHi1o4bS KgDGNwdJ7MZGEZIQg6pAYkBk42fADxncI0LdxIpfU6qCebP0FwhntNWRaoBKQHqesA9kVEQ7FGod btDz2X0yTwyKO31eE6oCojOlwQvRalwUIpDK5EhzPvrzmk0VELuLhYjvOGxHOa8hRK+5VMkiR6Ne 9X6VVEfN6MHskUGJcz+M10wKm4i/9vnSShO4+iYqA709IbTPi2k365YCkAEZxx/MHyzplDfkax5V pBl0tplAx0PcJOo2npxw2ayrfV6TqPIAaYdvvR9Yu1uEXlOoYm5RYAI+KhXNu8WVeZ8yc8hVM0Kv CVTBaEsjra30gKtdSGOee7U3wo3bwo6S/GUWghyoAWDIFCGAcfEp7xEiO/C8ArJUisFtkk4q/EjY Mj7ZZ/68Zk/lkSIct4cA1pqF6DV3KsDu0eawXk5E31d5gbqmlmXyh9PXNzpnW9JVq5e7ejs9lU52 M2xhYOC4t3hJ6OaeVCQDO8KxsiZaVF54TZxwF5nOyU6+WKflFFjDhsrt85o24ekyv2ME0V8YOJr7 axAjwPpwF+XLRypX7KT55gL/e7mpbxCjaTpoyaBojvwFunHBKgQj03H+1uf1tbdSJlzQuaIT3Urz F1tJPGqvCRP/qASgQS7CulFVkhSrYgSEhOlkw5/XdKnIFuI5a5ipAhVhQXpNlnDLc6wBIqhvpFZt 1HACl10MfG43q31NlRgknbUhKvMe4xYrKQGGdzJv9s4JZmcKjqQCL97NOqDf08bK7jVRKq6QSpgK FvQm5L86+hZtup/q4fOaJ+E6m/srSEh+pkSJEaTXHAlXdu2Sh3Nvnt58HnPeoHXZlQ1vHdMkDq9s gRib+a23a76aYCUJMxoo2pwfea5vMgUzIfKQrTinjY1Iw6pTrOo1QcIfg51y8CgoJlAoGsB8soIO wa10fuXPa4LE75m/otQhA5LZMKeMX3TeCKefJAq5xLXP2dt6+H/LTbRfe5q8KzTiG3I15GpROiEA aiYTpQ5zp8YzmQc3P6hCyJmv7uCi5cxrRxNR2lOiAbYYYgyfJx4SjOQ/r/1M3pzVYkSL1klQ3vLz 9trMxPatX7wjDpBb0f7BbH+4UhX7B5LviC5uXUa95Wf1IIHTi3EoLqUFyrjgix1C1pD83CAa5wvI oyTxayMTfwwh+AdhG1lMWhKV5xT6ARyLz2sXky+3e3Endn1xJ2fM4i2PjFLtIb30mi7paBsiHUBP +7dQ0svex4xybcPxz2YKsu0qyJ6/Vz7lVSbOc6OtSS9DzMXxazb87Ep3EJODUcH7nm4L0gpoWeq+ qON7yuelqmRSiSI6czN/veNARESa7HGKEm7qpc26PREYeLl6riTbMIKmmJiLchRuKDGNhoEQHrl0 8DvP213KzZCbMRHLUuQXCY59k01BlXSzfeXoBhc1ZNxFg4QMlw5pSuz1eSf8FfGbn7oErNmTDN04 RVl3IriMVYPOHZrWjkDY3SUs2eIzcFkQpy3a+3JXh/Vgywqcqoh1g/7+ptka4gQRwnPFYFKc6DSu gcsQbDgIU++aIOTsi4UJ7z6dwc3Hg5Sp+4uOrAg0jlEfWZii1BuCzIjN1UTddKBWmIb7jE86lxn8 J7jEuwq30Wu961cBx6wblzi4w1MCljQqzlDRgS/6pWWBtMSvHJRwNFFpmXD75gJrGN4vYe5rAQY2 TL/3PXZuogJBdw/UewJuCMO58k0uNzFVIiWTtcP7qbXk5+49A0c9yOFBp+iHrQrUuYA6muCJEnF4 cydp9UOL/7yRMaDKvMc1Z5nqXYbXk6BkRCpW2pOrawLdZpPSS2jHBYESMNVzgkwVcMDJLVBRFk7s +mxs6YjontK8udPODpMarrL7zvMrpjyDtlKpd708v9ZBG6TxxfnliiDY8PA91wPezSXtdXm2dHl6 p/kVMZ/PiV3MobgYUHEQxk71wfJ5Z/kVYJ2+6znZSEqakXF6T8TV0wILpN0+HPXHJdu88jSwAQE8 6pxEmXi3aZTEhLR6Gw6sK/qAgNgACCG1O+AMfEqqQmdodM3kdZylnRBl4k1KqIUKClzs4IFPMPS+ 2593hh/L5vadGlS8PUfxJPOd4YfzSuwIBEutB7ckpkcZ8LKciMVjpDhFybi98NadSF2KbdpQbjvl D0WXBmBIXrGcP+TmRBi3kosBzK5v/B6nMdavvKBp9jZh80G0OwQfPu/svmKYU8WJw1A0ufa4F9R7 Nm6vKVyt+8d9eYqbQhcCi7mfEtlj7IJH6bgsrlqV3KlWmwODKDNzp+1qg3SN/LXPtoLVIeF37fGb kVvfO7WvSOcB9l11+mKdptw2bx0kuZ93Zh9+4eStJolbA/les5d278Q+3E+EjzXRRAdruz59Pw2L HhxF7xQqzMjlKFp223e1izwhlVtF9mpnD5RWXcLqfMFBR9Fx2e1TqlRRRq6LfKNstoVxAjtJtiqV QIwoJXebp2EwuwYT6du0jPJxEqexnZws2mULQuuLdId11AxU1zLOx92/qN71DoBT7xifE8AJDYgs bZdZVV3hikz7dpyQpXze+XxFym4A18nuaVupjZFKNrH0WvLnnc7HvCJ5nDTWXGQT+gX1TudDflpl mZ2zRWqs3X2sOao785yXlbXA39l8zABVBy8pIXC1vhMaBImerug7kaVNqOukXBMStB+0yB8VPfvK wRsPnesFPzUsGCE3gQnQYqR7AOI+2+edzlceOWK7zLFN9hw3VlFWPqs42s0bK2tcCvJYFxdM8ynF 6j0rR4dQ1V2Zz3rBiCfpXhBVRoJTa/XOSsYV2XF7cXjnGGrtqve0HGkUnetzF4QaLBoxGDGFJ4Zp nITz887l41DG0wPeSOci7mPeQIV5uYYGkszj8VvZy5csjRe06dY10X4n8hUTITjHrsoEY4lTZ+YX PfcBxhykLE9JKbWE85pCLt+An555PiIcVHZ55/Hhg7b4QxSWkIAyX/MDx5qDg1Pef95pfL86B3gM wEuyjyxOUVq+iKyTSbGyTYxb1DZIK9voYJw/kaXl7yQ+XlOG2EzP6mUe2MywY2cWhXYmmdwFUJ/z LYE+W9NJ2uaL9c7hu0O6tJcuK7qpifE/iuCaBfOVKCsfy996jAluqf206t4ZfNhPyI9PFZ89ToCp WFa+R5uOMOf8hnGKmuO9GyVGKnBcHU8wAJDPHCDkfXK2YcJmvYiMih7d/rrOl75ykEZhRnf+QxG4 dUXgYCExZZJ1gvd55+8V01R8XnsV7907Qnin7xWnw8zZnQ7DRqybrzanw3R4VejcvWfluMzF8WiS leLqr71zbjMmfqIbnxdflZGRxF9wD5adHrdMuq++0/dwBIZ4VhIY7PZ2hT1spnoB9sj+vLP3iiCx TxoFMMiaybOod+4eL3KWw2X6yG6nR6Mr+f00QSJTtvlO3fMNDXaj+bJvl9o9NxXeeAObB5gq2Nps VXlAO2zKhKEmtHucI+7PO3GPYaLFWmfbIGFIJBBrOn8L2tWce3nvzztxj/Xa90gK2itdAiX81KhH 3sRx7OZUj39s289dXsPbdaA/6dy9Z+Wgwxk4jJIbWi1OFZyq1ikFDjdu8GgJtsCogkCc0oqrvmXs LH7jKNus6hdkX8QGPX+JxY88+RofD7bT+q6GO3ZSvd26d8oeX3f0hk41PdtpPa87J8BAetrC9J6U Z0/K26rprpaUQ1UwEciMpHxCvEMtK2w5sQHRb3EADwG/73y9e+ogAO2LBt6ndKxMf06ePj7vdL1i BhZPmEDE3slrvHeyHnbTkGL6KBcWZryOCnp5MexOAq1Ug/IwKZ+q8SrrXK0WJqBROCJHbwXDlyms b0WfYZFojF6Q91bQNf68c/WKYEr0FF2+qMZj91ea+rt83ql6nLncnFxKCOdFBwUFi1OMSumC+nr2 BB0+204QXPlxUqP79r0z9Yr79uHuqXdVnJA4wflxohbO5xeiBzpuerA+h5izeNF1EYRy0Tf+M07t WdSrA2JO2+n8WT/vPL1iprwPMAXSDIBvWpiibJzapNCZWj5zqTbbhBwYAWLEjhe3c3gn6fFy0nYi echWu5yAYzv3LVlnsBZqJofTwTRhlxhYTb/Dm33hoMJjq2AVplBc1KlLKCsFcC7l887P4xX+XQlj 1NTXrYTfyXm4UXiFT8G9iDBwAiNOOxuaha0vqsZ2/qRoM7FRAO3tu9qhy7D+wxyEfuwbaGhJLBI2 DiIAuB0+SaDu+OedmceSJYuSRwAZ/F3556g0TSQ89yQG5fNOzGNnxe9wIqLOJTbkvco4Rak49VhP jlWMzg+T+Xrx9dXF8DYuVu2mqEOepNY5qWtmq8WJ+N7UOZnKA6x7Iy1QHn+hxGa/gGcOO4xfOAoT pWABiLXF+k/ovTM36KN83gl5PHPf87tOzbnuifg7H89BXBhu+pxzunkvmmhschaCVZOJ5r7T8Yps iGlznPZdvT2Odv8JDucIJ20aphhYaQ0LvZ7c+yODx4LlnYyH/W/MdOLruYgKvDrJtUgIOr9mtJnW V10HvcHRb73yTsVj9ixF9Or1ypDXE27wsrPXv2yM6gYPu+NLrhfbRFp2f/LwTfdBGYqOWYuAP2XQ Noo5Ewbtl+NJZOE7EY8FLBFznVzr0a9a7gRogQdp4c/5nocjoShfeXgnNKjeOEV5OL08TmLTu4ML i7kcn/wyuQHd6GOaVuA7Ce/JCHISez9rLDU5RTi/zrm72fWd54W3J2d9hT2vDHsVuH2Pp52Z9JWD cXAT8lngJ2g1ZmtiniPIee75SH7R4NyN9XXuMMnafd6UIEzEdTvV4Z2nnLL3M8G89YbKGAZ7fmfg cSyljTQFx+RqG2pBmJWigHTqOxegqJ1VhF+0uCs4AI7mRU/x807AezpPJanz1JHaaUftJE4sfOA+ 7wQ8nLz9XbGQAPtULO/sO4YXukjlgatOufIArjqbWc/Qqc/BmFF/3F70y7Coq90WXUabAOxqMg/O aetpOfgJtg0EGADq5W5YlDl4594VqSDTsb75opIlQ4xK0My5Pu/MO37P784TCANzjgvIjHJxjnEh LJkc+dwA6ibymUZhQj6nRH8LIDL/AVjZFIe19XaeThVcgdVHgxyggCoWOjMCaDFjTl/vC4+WDe/E uyIPAWIxuaHQpZZxNjQUirokbX7eeXc8uL+xPencj3BD9EiFkBUUa+jput4dX3n4x5NuUrQPR6/v wWwckYqy8Sk5lj1bu6tjDs/vdW4m0hGhdwj0OSLV8C1zRYusAKJgvSe6eXzeeXf8oCQxsqIlGwgq ZdGXflCwf95ZdyVfw2ODisGsDb+yxSlMxzcbc5Va3URDoTMvXcBGvJtg0CCqKk5Rc7yr/ofw8F2d xjIrpKQldXeehdcaIVCYTKFTV4HFaE951/WVo7t80PEeucGayhspob/74KSy91w/75S7Ij0zSzRx +BYl7pZzDt4pd0W69NDYYqeXnUUIoJunRXUDkHP0lhF+3hl3dzYFDmK+6x11nnfwuXU1Eq5S1Zfr aqI05/mVJnVMrTluXzlIDhrFatQcb94cx+hr8pVEd8HPO+POiSjPFXW+JRVWLU5hc5ztguUFLyAG 1QHRo23H1PXuUIx3vt1lZ6C3se5qcTp/d4gnspkJEE5tmvMVkCtA7YHzR/YyWF2Vd7odyQdUe02j +aKUHFpCkvY5v/bnnW3HEUT9KoMzROp2vu2Cd7ZdMWOTWXavjqlbdVpugPG35Qbgu+qV906244Ze ShxVB5f11MGncDlHolMXt4Mg3s21HSKAS6oi6D5Zkw54iM871e62C87Lsvhipctuchk6B2F83ol2 5THx9d44vGnLPXYhdJzyGKeA81xzlNZ80LkN1QrMY7Gc/J1m9xTC+P/e1bfTLOAeMIWqJ0zLKZ5U zgaCl+LSnpJrO0Wt8S777CUN4ZVsJEVpM4I/yN5859iVx/1xmIzIOtdUu6+7KCWnEDDoiJ5pzmH9 OvBUq8Oia6m+m/6Rko/UntX7BQlYNHi9odsOyaOmZAuDjnO2z1dIdBMVUmzYNw7aKmRDdbr/arFe 5tmWgpGfAubzzq4rUlp8woQxOodLFqYoIbe2yrqF8Dkrxdoq54/thXBBa5VtlRbCx+XHABLGXb0Q PnUwDGkHeVEpT0MnNrignKjARek6HaMm0DcOgIdbiEPiC1o2fEGFZUFXZj7yxuNBmtm/B1IVo3z8 iSxMYTpeiD0a1X0rxmquWtAou62uiqz7cIdHnfFUVeBpyKTVDt05srMkQBdPIZzhkjLUL0CbYmTk mmOvB5+59Y0DVgvTyzXZGeeiJt06P7br0JWBxwNkQf2GHYJkBGVWp/6E2TjRdNANtTC13byVWZjc qpXZ3MG3v2fjaBJyF53c+lmt4wuPq0LZcTTp0nnBMW2oJKEOeBbPej2uSIbFx0RjYM4PmGFqEahn QmpeSdTm4xETsX5FCby3WW5t16NMnHJuuJluK1P7Cpupb+pCsZU53Uu0R1CVobnBqLnd1fvi6WSN A0kqEoJyqhmJJ27AiTs1xZG7eLPAv3FQsrC0K42Sf0UG9QgTUzXygGqdnx4l4iw9nhL4vMp7e3hk cV+cOMNs8n6kSHvzqdMGScQWNFh5g/eoL24vupR7uatdTaDmjI7aD2o9Nc2t4WZF7zE3DBEoduS9 AjHJokRc6skbL9JFTYcfmVmV1afAT2DM4PmIt/kLxIop3tw3E+8hc5O0xD4umTy1h3q/Sjcw3dhM CU4m3qNMfK5QwfXsbPQbKPMHpHozOlmin1VDMtNafdQvWAT3KBOnXdrJmSpBv7AtlG7TyWAGy0S8 vjZ/QBSq77YK+L+jl3v0IgInqangLjtKrBKmy7ZKW8321PkFpyk69L+BKi2bJ3R+PKFryQBhgBSF HHMD511tEKw3HfS556OWxfddj/rji1k4AJJcgHCSnkMHcI8SDacEx/PBC2/UX4cPJ3n5KLiHyTiR T/ijG953rOW46E2heArWYQoqK4Ee0jilzkO3VF/98GGcY1aYJ2Pa1QSEEx0AE4T1MhHzdknVrK8c dAu4l1Kn+xBm8T9dEDGIbBYZetWN54MdtdLP77kUr08LVNQiJ7jmnA7k8v/D2y9TXQBtlXN4SGvp lARGeszLPE7Hh5IisRXtNS2+60QPAM7Rg2rdg72QU7wkNB86QFsVic3VI536ytFtzq2UCTE4eQYv vB+qIAL9N9ksqQU/ILjPf20pavXUSy/vUUYu2/pBgKdyKOr6/w9zMYqpZ0LIMyJfeZ9HYBWZpFXI 9t3VwHSntt6gFkmM9KT8IsScXYeTXyHLRiEJ9z2h1XiPmuSVnKlqHA7prSEnOO/dKtzKSg2PR9Tg W+BJHgMiQbdT1yMMOUX/ZxbzDuiambKZhUKqQCYVDUhgP3sRWqWb0EVRM2Creq/saeLNuiB6zd5v 3wrmyaZQCFXoGLWWvkDkJ174oCiPkokOwYdc1FgBKWdQc+dUMXg8Qmd6oKpQ5Jiaj8ui7n92yedc 11Z1UHlRHh6wmDJbVWSG2lJRl1yjczRC0129+3v+740WVvAQgH48fe4h2ArJF/x+FcaO1tMkEg8f FBXDEhETDS9fi8KTFBMu/AMQLR6PttT30aPy+aq3aTCixJwWLcDTOY58ohpT06DXNa1p0LSlEn/S X81faDU+q/dWznWJIolCYiePKkNI6gyw4qapKBSxjeZCVTN8zh/zqY0xsC/Csc5zlW7N8XLG4xHh 3MfCutpg2ZBuD2pEqXm3OF3tURwSe+0191U9aVB3yvmIm+QaJzR1BLg6HWicsGG0J60ZQKI1yCP4 NlGWGoIQLrkG+B8+KAgUc3PwshAoGNdIQQUMkcWO82iIVNgl/5Vz0uhp3kRqhBDyIsHDbtLSJ7hm kH0SqWZ4aTjmOYR8RF3yXA3To2bdLEw5B2oYtOcwRCccQ8ZGpiiCPTaAtMu39QuVkYGPiehAkpyR nB06nKIDgfTNEryf/+DxiDb1DTNAeg0xC49SlJjTbAtaGNexo+SLgErXGLQQPo/kYESJ+UoCWIw6 bE0WpbI3FXIJqEPLZooJAxHSEyVIRO5+mytkNeJj/pi47CSSS7oklwFlQCGdIXQ4wgZ5+7WX0EZO t9AbcVLOGd5O3tHs8kydEs/cvpfgTa69FCXl06T7dKC03sZvLwDBEwCFO2TIjI/ckgVDYAonm3wR x0v4nOhyIqms0b74bFOYOLFXB1VJ9jfP75TxfDTD81ST82N4v+w7SBhRTj62NA6Tc6ZGmz48L6lk K/Poe6s4RTm5aRiBoHFXn+BBTRJIbw7PT8LUpOJTcJKn9IiuRwB7JPiYoBy2mfkevjArABNy6w4/ 9zkej+Z3v9LMBS3W4pXLCLHjRB32cdsGXS45k5aRyaVVIOlvUYqw41VevGjr3tV3U6M2jGy9wC+y lBTW0WffAyA3H+Q4Rmj4mGgzIaZQ8tEi6Mk5Z3DyIQql4WYKk/FLReD5OunFgMivRSlKxvk2BjDc 2+OtOxAD1gk3Ssnb4yNqjwuX2ih9rZWuddhLgMCC5s8zByOhZv442EaTwvPUl7UwYRKDzwkSp6UU k8bFlZaaBKxM/DN7B9ASwfPR8O4XUAxj93rxBSPMxYnsAcLUztzMw6lSZVHrEAlBBkSWDYMR5uI6 R7MIj8HVyrvdWAvhT5fOK67vqql54oS+QNqzlXnLO07I8EFBLo6W75oUxoJzIjh84mw0+qv/gNcz F35A0DGotxBWWTgBMLgpQZSMr6lkPLlh6pJOlqjUzVoGoHIYGWHEPXIpPhm5ZVxyy3l7nYL1/GeR VTZL52gY5T06FRlkzHMEaE8l9wTd4yGnUyAoGD9A7AGDag5a4Nk+iZMZJ43F81Fv5Q4T+DpGTgyo n4Vqhn3yKr7U6N5dqdQR29JgtTQTlklVFd4MJQ3F16jGOq8P67zCdAlOXjh9Hf7gWzuvgtBIK42c Hs12sYRnCFrRq25RDZGLYHXkTmkkXCoeDwLVvsE9ODc4Ux6mKBvHHxGQlQvuOS8mE2xvQ8GDGFqB haHCFDbKk8EOU7+rvfCQNJ/MoOMqP2XRKjnJb7Nx5Ck3gjVuIUzThBlyOiUBNSjfx0WFMN49kiI/ 9wYe/y+X1EBOgPrS4hSqrKD5hM5H8mQ8PQmUw3/bnNNRdTPmc5orlWh4XJ2mOEpBekCW8HlZoFPA zgol/BrscApVewzaQ7WHGXbKkRuuJe0101qlMN0pZpcBESse/y80ReS+e1y61IzS8SwI1F6X99r7 cFrZ7j5R6PxdGKe4T25wVg1euPqwc0IVA0FBUxNZNAsbSBzi2OEkA3hyvWSXvvFfgBXUDVqyI3tm Trxd4IKNxyMx0dskNyhGRiHudcuMMvLKVt0uDtNcUAPzHEpyWQzUdsXVGWXkQ1VdSrPe1WGamNnO zbplrHzyHaEv5uQri38EdAF8LEzrrhkSOjP5d5PqGFzUVzkpDnGlaJhXPB7MO9v3JI8+5SBEWJzi LvmiykrzXLPSQ4pZ1KLXGLOofvHRM4KsyAOnnfsm39U21ISsMcYKbKvkTvinNhQtc+vP3k+uSZvP GWXklWLj8Kf3RZkBkHpJYllj4PGoT+d8KfwV8T7Z8LGzKEWIFeRalIFMXrfMean5qTuWtSBDYmIw oxb5yjbIEw+Iq+0maLvCeqpSBApcFCkjZrw9JmVupJUlsD1J1DNKydMQToyy4y1xXkey+Unz2B8/ tSS/5r8gmuIBwQyy37ddSOYs0gvpLh1izCnin7aXdydv9B7dDPUO7RZnr9jW23uCZ14mSfEUSec+ qpKigdcACjqazj+DhK1vHAzxZIgwWvdFdKkE6xodv83HI8TKNxQDHE3Ye3uYIsSKcV5ze3oqrTuw J5kK4snIk7t0zSgjpzXKCY+Ha5Wr2HNeSVDtof/bqWJylw82sGRMDtipfiRWBrH2M8rIG49bazx8 5P5kw0NjGkjM5TnNnxm1x2WB4ZcTGAD9Sz0zZHOi8zj2mB6oukrzQMnjE6RX2sArULHooQBiTVJQ XA0BBXIwLLoksVLhlLc0vUILCe3pvJ4pApQQ+Tl/kfKXlLPXVc6uAIITdNVO7YPHI2jPd78A7vAw ebIwrSgXTzRN7xdPhwa29Qtaly9x56TTtbNXhFkZ1RSy9rP6HQ4lA9Qt7BcAziL9yFMiY9iWmbC7 bIikC1aIWdm6lSh8LEMsbia0bpSKnzc8Hg/InLdfgG8HPg/xqBalvxvjEPnS5QQVx+pRqnV4xYKo K0phKq6ibi/137j68K4A9jQI9oUO9XI9MegHAtn8Q6t0cx5m72mFbXGCMrNk6nIHzkt3OJwWity/ a8Hzke7a9x0OPaezCb0GXqHKOPUzV7qow5XLdcXbrtczMdy1MIWYFcMXtN1t5aYmK2ec63pm4gsg loQMR2euDuLIIH/Wnrxp/TR8TtSjo9B4MyCG8bQrjqrCBFwEHv8vMtqAYw/aayhMIZmTYRr19uhG ae5BUrK7H7BmtTCF0HHhw5JkRrU6ugDyUTMxTAPGEUnj4rMzwMGh7zCY4ZYREE634sY49tHMQwto VaxSWseNTrrUgGptlInP5hCoIh8u3HFf4r6h4jgjVfZc1ik40TUzPNgFuk5WuZ5lK6RzmoDmNiGo 7ZaUcF8/2V+v5LcgAZnqVWF23kAzB2wIbcYL7Zn6ylGjTgYkkxUeCj1N79q5Ytvgm+9Eu078hH9p ZTni94T8nr0oGUcFgD/j9lBlSR5iQiRVMEJWVknmsbhCcRXhLptpjnL1/tNgs2lTefy8lboSApAf CFbBrQ3/D7MNpF8MPijA9gh+CByELdYg3zIqQNHC7xlhoL5xdahJV3/iFKXjgM5hAJxV0eVVmA1L eg0WDuJt1OJelCtMx5U4gXN1V6eW1bUG3OOoq41rikL/aMht4OpwUGq+itFZr7xQXIXgpyK8faGa sb3nTtRIcEmp4fFIv+DbU+OkLyC7+1hqhaROQqOpA2qGNm1v72f2li1ObQ+T8lshglxK9isp4eRq +wnCWLqjcfRWhYEE8YeJeRQ9LvO4caJECj4oSqCMgsdZcOlmZ0NnuiqoWKl4/P3c0R+FYeFjJ8y0 77Y4RQn5oBZyo4G5xFVk/0ry68Pb6FRUpAB53CKX3083nfb+rdNOlS1aa0BKvS/iELKaBkSh1nzx rOxN8SsHGHLNEpRJcVFrboPRp601+D0DcMHlvhZ2VZAR5nzlxFYIV0GGDVlCH3SelMZLF5Z1Kl0W 7x9GKszIzRuBTAxb7eQlIBkTNErOH2bUSb9v9OmyeqBoq5Qr8+uRilLyrKtp5+wLI3X+AHstWXXO iscjmd/2nUW5drMFakc5+cDbfIIV53CVtCyNGqfmb94xwOXL/GCHrM6tt12v7a5+RRXA9obcclGH 7S3eeaIvUibA5ZniEUeLD4pqPDRjFgoGLlkGkifR64O9HKhu4PGIC5S+thQII/Da8zi9Z+V4is3p kj1Ohbq5bGgCvWkbqlWXDtmxworp2StOXO3ondf2PhsGCivIsDBxofnrgD/Lee1N7IfbpyuJFgk7 hJKrQVc4xis+BIMGQJc7GeXa93tantTIv9VLIWfqwnp2mJYzNRiiUEPINikXxxUFf96fLx0/xSlM y3XgDC2n1fcTJgZwbsFVjrmBzAFP7gZvYKj65npZLoX+x/icqFFHTlmluzcXATFggE25hnNXDTwe aax4Wq40Fdro5Y7wdkjpnEwNNmEFFPyt86pGS7yH8v8cozBOIV7FrLaoO2GrxWn03OiWwFcezHqW sk3gujLaLj+Qu71XOV7w+KCANdUoKCZcMxddUHDSaUo7sZ8ixEq7rzw54y2oRnt/fEdZOXf+hHO3 96DS1cVovbisfaLXIuMUwshtej6ki8HVB1NwJatLumv0zVNrhaRXTAyBeL+dOszI8DF/adHwFQBG J+YNS/VayrJNPT9+4/lIZeWXnhiC3W5rZcdyh9xOvXlmMMuXm0T37QR2hIUpBqwYyt5R93cudTJ7 tD3m0pgTjm2ykQc+/uTD6OTmR8IAQx58TDREkN1GLr7o1DWIBQuwkhYej0T8vG6haAf++EBkeZgi xEqi+v+g15oJQBW3eS3aY5Uiv+5dukO1Q8PHVaNv1EvfKChFzkWU2dE813lpOqGJfVcIQ2XkahVF 2UYU9Y3/Ir6ePTd9UZxwgXLkCZj/Z/+7P66zmkEpvbspzMdlB8SetMhlBNZIZJQm4hIZzcmoijuE rAxRFYdZuI1r4YbMviqdxPSunwMsclnD33ZQMrqAHy6RURpC43OCNJPbqfRafbEwnX0oRPhIfDyS IP++mzC2WxAGsDCF6fiWEHL1ME1JrHRBfd0iASIfFqY4HbfhphlMbhdCPhfTWJzXDU5bUtlmGkSf A6T6P4CGOV6FIPsdC6wICk1VsZxdgLzjzyCQ/bnx8Pi/imBCCxo0Ka/++I5ScSKRJr05FKX9CEBR GdwMgYyX0D87AqvMaigx20z5biakGWMWYel63R2ZGjcTaPiDNMCcHf9EgB2/cZBgmkAWyRtc1OuF UHvXmZsDj0dt31/AcTQIQWmwOAkh9HqlJDV+u0NVZu+GwTgvbJKnFod33aAqPH7vX6HZaKCmuwoB tc77qVG3gJq1JzUfVQSXKu8kUAtR4/CKgiXQti8dkF954nInmpW+3ZUvDGBFcuWmgI7y5o+IoKzf 2wqtUHj0thuvUPQwm5vrMMxKZZeE5I1E+3rEawBHawYAKczJrcjbeqENmdExJz8p2OZmoyFXAzGP 86mETO+klmjdEPXrQuTLvvRfvMXBXGrhRfPDtLaRP8+Oat80UYrS8v2I0rC7iddJQgg9XO+ZORQ4 GaNhxm7st3jTDkR9A41BnMDDFQLJpSM6syzOZ74W54nq84gTSj2kBksAO3KNcsV8lLw0l0RmTYxP isLF4qVKuQ7vVFK16h6AfrDPci41/oAA2Zq/dUfxyoQY0w1W1DZnpwUjFutw4h+9GTyldDBpE1Td jzpFeuS4JyluLz1yrhas3BErzMMAYqbIPVstg3q2gJH90DLc6z3ZJqQoQZdwXYL2nS3qtQDIkDQv HoPPR1O9b7lI0KNX6c/GilL0pGk6xft1b+Xt91ZlF1poxNS7xyrqnA9lU9D7uqufQwCNz+Yc5AaB OEKxxCzaRwPSCFA1r2VI9+En/QWYTrLkuJJmdArqQwPQkfl8xNq/uHJ2pjAZP/dpea6tsHmOenYW ATNoDMkbRUyqbCMHDIvT9Gv+H9Lke6Z219tyoTDvylTzgWOQXJbb5jgUpNgCHQsJZpSUtn3piJ5H McTK5hQXba0B5TRjK+j5iL6/f1U1YOcCs+rBCiVYmLBLTFa0s1yyKx8xWwLtbMJj04MVZexTZM9V rE9V9nPH4zfSOxGqY+fOlz45az10r6jpc5UOCEHjJwVpFsEsufLFz0XIRPzK8leqcO9OURP9QeNr a50fsOdjRJVC2uemljttsywfrS4ThdT7/OMmjX8VP4hhH10i7p3b2laDUCfodlZWgRmiD1k95HNc cCQGCA8F/XC7tKD6oi8d0dMJnt60SZ9UuuJ2Olm8BJNPxdH4/D8NGFEJAhjAgbkHK0re6W53yuSr wI2h+CUMbW/onarw+i9GeizVdOySLIa53g4MhDkadf/OtbjInAfyrg+qb/O/4QgaRlGGVCnWKk8a N3Rf1NLD4MdGyLXw+eAYPvIQeHAKd/t1aYX9dE6yRqvuBtfztc2zOWBlW++6MKaooT6yRlnV0PkP IyY32HKMTBbDXmR1M1qUc0WXALTGddl6mLPwg/4ST+6ZFTQXC9aiKhXlayaf/xcRjcAhCiigbWGx Cp09iR09d8B0S4U03Xqiz75uk+GqkOXA2hNUOlU6pa67WvkMosTJ5MmLOScQALMhnIskb4cPkpWW tm7fOSKqiysr9Gs2Z8yK8pMgASi3TT4flYbj68qCdMJuzyEMzT2bPOFG820lyX3WhpVZpSroUoeH KgK7JM1cajVl7nrfhefiXSPVKrZsQW9a70tYesHEq/ygr+ICgMQr5tjck/CNTDVXLZZZQTyPb8JV Kp8P8vf8Xe3IjL0/Lqhh9l6npljZqujVsqtHTdpeUMmmTdrqdv2oaJasnkySKndJV5W7niyrQX5C jgEnh6yGd4Ewy2hJHnE3VKXZd46AQbytSHTFYsgVmPBQ+uqEvQ4+/69hMtODc+rHWs/5C1vrS+S9 cp11Rx2+qTo1WqTL3bdvqih3J2O3AGHX7mqbquEMlkIV7AJ7tWb9dyBau7igj5PQaPaVI6FSpFdb hoPbJ0IVygFlS1oDRWHg8Ynjd68q2VpxnpVvqGI3IXpXduvC0PTMVaRSc32kIS8lhSokhIrgmHsr d7VdddKonnqmnDLu9KlrrVIeYiaZDV4UFdkm/KC/kBy1Fdl8lmW7KuPGEEJhbD4fjJR/iY8AYNnn 1T/Igc2n5dpon9w2Vsmuij/zlW9rLPN0AMO0XeKbGDvc1UKFcQ3Ef6jftgaapOr2IYucIlzuR8i8 T/vOf1nJQxjbF/X7EhgqSrNW4fP/kiuVSFJFfvGEKuqyW2o1qsODaESkgnCIB4lGVpfjIPLQ0OqT 5EP6wea7OoRxnPwTiRTyUID2J5s3541FLz0oY1QMPb3np0ZWaPYpR/my1Uje2QvC0Sv136AJqG8a 3OvFjyDRRNJUeC6r95wdXRnCGNt6ZBCaQ1/Q0TQo+qjToOg5sPvMLqu8alp3tVhRnhZAITGv8lLK WhrL2MJkDTpEZpiOs6VP+mtfnaIg+aLM6vx/K48g3L34fLCvrnUz/8+b9me3GAwcP2G1M9lMvJBP KZ4Iti+6P2bLFaRgi1XYcN/Cv0xJdY/5uOmdPwUsgwZ1yiaulSIRkoICssL/hUBuxwn1Zl86cBMg JZsiZ7ZoEoO3EttPlY2GwPUTKf93ZgV27HnvPPlCCEnvTBJ2dorozs3dUaervZ59hdvEzmCYsCfz s6z9rpawn+sDr8Am33RYoVKIuZREFgiqgQKN7kvBmval/1LDBdjPF2Wh+JPwdXL21ebzQXlTv6lF mdJ7pTxWsqH5J81HTqTnZYku6pax9b7GJdR2KnZ3/ajgxhKyag1Jd3P1nXXKm5OnDyKrGt5+FA06 he6m9SZuEACM78ir2Zf+a5qTNhFWaS8bXo2GWSNCALY8nw9ehPvXi5A+YfvaOIf2n1R8wKuoubo5 ryR1GZjQq3Au93YP/T+HiEPnPTDu+rT7MFxiHxlU0XO+pUY9ZQqOpioh6uLUbqWioQFoLrI+0Xxw VId/ni05suUBk88HrdFbOPMUnouIE1+PVajdwlS09WkA0JnS43ndt48oYLZjN1bg/ylxOpTLpnIz H5UbGF3D0HmpfXVyuiG7xsxBGdrzPwXCjN4apZlODgxA0SRg3SzBQGi1/JCVdy7WVlmpgROz+QP+ lbfLw4rWtvs5hVHTnWLSwHpYZ3TmzhyeSng0fzeHgdu/CkxAk5sRrq7+FVeLFsBnSELRdD+Z+/lv RO2hkQwpbFTUtEG3d2EizS/HLqCEVyUBQRNJhXwXnr2dycAEcZ7PR12G7/sdp3Du8sQqNB8qUjat 2/BDGB8pc4fqh0udZxilKHMPjECvnHDexn/Y+97vGxoAGTKKlBPeALwyc4eL+8l0B9tHd2PRYyDH TqBSmFLrHTzgn276G3nJ4RI0Av6ASATgW8wFecNEp9FjFXXcmeTMWS1DIN3vypuKUVMJwy7JYxWj Y3RTLQ0KuVqswPZLozBWkGZolmMh+/3ZDUDTTrmE8tWQCc1AdWFBtNEXw8f0Zt7zvTY+/y9SDRt9 UFdc9dlWUeou49LzOqy+rSSXgG01Zp3eu4IsgYUqFFkUv7YM4a64WpVTIRKYwUkZkHc56aYwfp3/ CpinmXxXZXLI+Tl/QT9gCumLta76ydimIrX5/L/8mqRJPXACL/8oh46gg2Ovzletauc+vc2QUr4g mTburop1z4W5KlQy0+rAhlPlQFRvE9gAurswxguNspwoSww7dZvjZAtWiJPhbLBgvrGkd6ZGaCqC AP+AJsznI7G376sdI+WZvmIVap+3/IzqOZmQ7lRTRViseC5EeCi/it2IzK6pP6v3GcaAmDvxaTiD tbBtc5JS9MtTgcOyEyQLXBv0OcFbcHHiBUiBLWJIQiXN8vaZ+XxwV81fuSjeWRAsuZl7aA1K6sJ5 LS3XrN65O6poy84Jt9VkOaJYxWxSa7VLlJLrk4vCfVc5w0ykNzK/orxJglNoqVUNShKRin3pSCqe TXZpcnRsCe0rSNsTznf2befz0chrf93snQOKdOU4cmgQOgXLVoSaELVuhz3aneI0jsZ4CGOHUAEe IYJzV7+vKizSmqBqHQqdamAN9I07nLZauuoJq/pXjhrItE0729IX3ewYW8l8gK32wCL0WwmdygCc CF1FsxxahBJaMBtHt4bpm+22+qZzlGnJp20VeISiv6NWHzu6tjr79lzr6tKACQhzRLXaK1BgRL1k tM0u6b3bdw4ADWIhwRvEFuPfgh4oHMjcfD6SNfu2/cDLZc0H/BHbhBa22UQTkcjLMvGSsxm2k0fY VlbWHvqE9qVsPcmYiKvNUeeGGRz+BfoMGNVrQp8ILaVFNv0GbY7aNe0KrUIHRc2g1MSFdoKqmBNE eJhc9cwf8F+ClQtr0v1cWLFfaJcPX73q8WW6hTiVEU3CBHmLhStM260tI9cvrU4HxMWL9jrS9g7R my5R9IHMin39vGE2aHJUmtEHlqGYlROVRwblplFdF8jvvDcm0Zfn1Zr5A6JjeKtnXlnI11q/5XPs GsqW+0kcL29ZXT768pXm+rCj7Butv4XR61LTRatHCzDRLoHY8x7cBD2RmkTVXQL8MHl3YfTiXzqa OzNtQK5MeUHqFrNqXj2Tqf4DT0H+hP+iGADtFFBUb7Si1J1N9LGLF9Bj19S9JBSpGUxTSBlZSRja hw5RTaeUK7V6G+v81U/uzlby2U9tqUNPryJA7HAwF/1DTU932ZeOjiIZ3uie26JmQ+2YGjAjRXs0 cBBFHTy+b3joiI79vAuj3H2yiTWX8W2gCjeuKpySLLSSW1neHg08RGEeKe4N0TS2WqzOOypVyh+Q y9WTZPlPGTgoMpgpUbUe8k23L/3njLAyL610hWJth7mX5qkngJM/IJI2+XYKox5keTozgY8o5qmo n8taT7C6eTuBxuIdPxQ9HqwYK6POjFwatHqwIPad6Dd1LpxesrScz5EBwK0tGOqNRxkOep/6pAi0 RsF9tZRTvyqfnbqJzElX5/NRTjq/ew0nO95fs+fQSnTKSnSkC8JazKzYHeV7QiAspoc6hGH2LtqE DBFt9UOIDKvtpPfh2U8cIwFoi5/WkGWVscsFYanvHpqJSsQyVw5icrVu2XkVNhx3tg/W4vNBRpq/ +zIZQp85Aann0Qrx7omvw9o8Wl1lD6T0NlhTUkofs90r6281mPN1dQy5Goz7FGKDKkz0KYAopli6 FSpfAG0wSE6p5IRHXzq6ssTtomR6Ao1SEnrna65GTj7gdvwBQfYwv7OHhuQW5HELVmwqKlxRTU6h 6KN7/l6Se42PPZajsAJXUaQhzEbBKrmrzerPy7mR5QWHnhO1IXkdeq/hfjmnCshF8w4ry77yX4zm rEs+q3Ch6n7qnEwZqiFwFTXjly8oJNRRr1NBDk1FJ4m6k6M5uo2fl7mN6s9HLy8LE0f6ilSEdl+G KmpS0eHq8+cO4yuUljCvRzJEU4dK8vJiyoXekFWFQ0lW4Coq0yTQcZS/s6ozKXDwM2XFh1l96CtK I7ivBD5LP9pjFSXwbHqeBL74rH65tjW0YW4JfZJTc7DPgbOoAyFbqrJo5+rMHAiC01MGrrvnBGV5 QGQaHGbCFNoFq6ViXzkCYHEysbem0ND95qTh/KqN3q2AmSz+gIhFce/2ZZc7ZDlurKLsnbQP2Py5 dtXIRpqnsYP7RG/KomtfxU13mWXWXe7qrZkMODJ+rUEkyHln6mpDOjwh6wI5DFc9Scm+cjD4WhKv 4pbkogp6rJlI1jp/gsrnI1LcLzU0dO4RV49UhHPv6CaNXVyI/xRvV/uzdcvn2yBGXSlDbC9qPmpN fU+u/ho8byiYZTG/wn/XCKN0oIIpzsLesUsO1Wrf+S/AaBnigA/ngAMTPsx1rVU+/89dhefOehL5 mzGEBqMo02CgdLWcaeotrknv1qHBJKf5JCdwGEUbWcrEVTJeXK3IQRnT2hI1p6K7OCQZx2q74tML gFjebZjbvnRA+2rShmFJmPAaX7J/wpBwirScG39A1En+3leDIMjynMAobx8iyJXto/pJCpVBQIaP 6iuuAQtWlLePrEaf9dO5WrD6PgU0x8sce9UijsWJymTGXtH/fkzFliAggdOo8yfAKNdSJGSCdr6m Cz2j2xBajZan20ARc7rBpP0U0KHd6GQja6n4U+Q8c++juYfBJJ/NjmGEmKlTAKxu7rX9y70WcgsF noqA16LM0eSn4OiuRd2KL88eeh/mwHAUPV22GSRtJeVSXu7bbaNbByMgcBw19YknGeXo4WxUP4if 1/SqgiJXqGk1fGvVO38e0xlNQI16rF6Tq+o4LDSS0l295VcGQcdLfaxT7ghTc14+pEHMH0hquasf XaNfU6sKMVDKNg5pgg6THAVlauSh9szC0y9Bqo8E71QP+WT2ey/9i/O3iSIkG5rZfOY8aC+n2ka4 2s06EP1c7qYgQn1IhsESJq52pZ/L+7z0BOpbuLEq+/InKT01Bgb3P5lvPxliAJaKj3kLkQ9wugCj XQgiMocGgd/0HcXTbyGSu6QiwnigqyPjNYToNZs6D1Fn8iTmadl7r9drg5x6yT9uQNPsvfeaS9Xr hVHFxNFqm2hBTqukouNWZjI1GTSQgaY9VynmzEo7ZQ35mklVgIiQdM5KRioXNY3BLmAWVE/y8XlN o6p6N0+EoGw7cvUIveZQ1cxYzqlOV30h1WQN46qCRjD2YhF6zaAq7m9zdmj1rhahhq5uLRoEnjfn QEDQWaffN94NVGZShECNxae8R0iCjW10LajaJZJ6tn7nO6+fP8fnNX2qjxChMXVOVtQLNb7o4B2F iHonRuRimlm2I433vIOaxMkKbX2jcyZm0qC/pK3WUYchzIkKpD9PGgVZ0Cw65QCyoZ8iBjIA5XJP qbzwmjlVsRrRZBGuo49ivZbz+27pN2MA/5o38en5HaPzGoFXm8XoNWvC6Zzkbw3XhMGt5CQbyFNY jOpVp3jNmaoROQvme/WungacdAnjAUoM4a3L8TS8RSt9ak8N3ErzNKAkubAGMVrEgaYuRRjob7CS bAUK+SxaTmmfP6/5UrXh3RMkSBmU1C1Ir9kSbnkOZ4bkFchEmvesZWkPUmBo+YX9mishSPJxAGjx rv5KO6V8BjWeEmgNnEC2ojaOX6ZZE4hu97SxtnvNlKrcwiHdQVGB1QGmlP0qdDKpN9VP3vF5TZTq M3FXkODYPUFwUJBecyRc2VVq6dlLFXPxpbrQmo6URY1vgsThlS2EXlViydULFaA1Juoe4LArzMWJ vwa4HDjZE7LGVqRh1Zu+8PtrbU7JCBTSkKhmY7NQTENZqZxLdXxeE6RquJwbJTDDVyaWkfqZ0Xmr soQezj4CaNHupC7nVZ43uhBSJC64tpPe+ecNn+5qUTr5NfWqOLY6QTsVAdUZF1RmMyZa+B2v6uCi 4cxrTxNR2vSbgVkkl6Q+7kl7Ie/EpBvKqEGMXI54agPyRk7ycqBqSBAl0uAwsHI6W+3eTDl/8e0v twHIgPQ7optbbOWZheWf+WL5M/Tlz69DTump6hZopogS9ZohTUE3j3wxeRQkfu1lVtk0nSw7C2Ym 0QcRlKcpLJSVP6+NzCqBBosS9VMpgYn2hSeSUa49MuXzavEB+9jeoOuAtngSIIgsKZJRoMwaOpsC Wn4kBxeYNWjRsOSdeCvwZuKNngm/rbQGMT2YlfWVg/1Et9WBA2uLmr7nh9JUWgpx76Q/HLrpG4pC DHAta2T0COUdxImkkgk1Tu2nATyuXeAARTtuavhb7p3yVx3e0rIhNvKD2DjVBzTl91S1e85Z7WJS N8YLunpNJgVVys1C00dXONnvpfB1V4pJPZ1jmLg1E/tjn3fKX5UD93M54WW80Au1OL2n3aC+F0IP ur/o9tg2VodEiKMQJnVIhC8L4qRuQEtSHtRqcUrAr8F+kPxk4A10lSWSMxbGcak+M5ch5HAQpk6x jrRY93GxMJ0MZAuMsPl4kDPdk8fWMCisa9zc+53ux1scvaYtkTPGaRdHa+w0HH6wOBkWBCi4xs2K fVUDDT+9XtCJgBymM20GSKBw3ALwC5raCQJc6Up9ZiLEPu90P+awSJi6XnpcDNw5l6SragEONkzA h+dNbLSgz/Qkl+9cPxaobJa0e49DTcPiZMJVbIpDdcQavVGceC81majaqjh1KG6VNQQYPld8HkJy TGCxVhZ2P99Ry1T38s/rCYLGXHCtqW0CHTrqVUHE/vPO9Kvya7X6Hzc5JEEgZOqBitJwCp1jaucH 71wk3ZMnMjmFlCrd+dvveTi8sMy5l4dKq/WX0A84r4Ut80fUJklWMyBCsSdX0l6XaUuLp3eeHw44 TUTnZMnCRVhF9EabtlYpn3eaX8XEcn3d4+zfQajA4xRm4k2Au+EuKousOfUtdxqGN+j4t2qdRKl4 NyJk0giPq6fikBGvVZyZswm6IBmVvFhSMDNED83pOEs/IUrFAUJDG5xmKlwUnHOLU32Tgpufd4qf 1c1Pg6miMhykQzJMUS5OkYVVXXYfUmjDenBtE9PG9i4oxRamKBmXWDrUu57Vween1j3l7yJpjc3P JbMZyG9QkZp3kqsBzK5v/B6mQRTnUFk3qFDPY0dTHjFsOx8P8szbh2OyBeuiPW5a8J6MYzdZneKA DBMiXvSst5uKQp9OWIuy8SGxhG7pgWDZOnULGIWGYTcMfCHImS1zBzWSZXN7vGZk1PfO7OMflkBO jKZtsUZT3dIPOwc8f96Jfcie9nfJAobMz0B5ZXGK0vFORZyel586iR4zTomiFLQpgAChbacwH+e0 BIpm5a42MD8J+IBqHI2OcRVKiBhwOfjkUT12XHb7nPaNgzidi3QDzbt9YZxONnHyU5XCQGJECXku ++t2AiOoo9dqPcsoGwegCEqfBsnHWDPtHzfqc9+LmWDmq6ZlmI1Pa+wKTM7VLiekgqgwSH9cK+1q CglgO+XEaw3UC284YVd83vl8PAHMMpum5c36Wqc6zHVNaSyV/Hmn81VDAz7dFPxx80g3UFE6Tpgv /qQeqJnGtQzbK1l3d+MrKFDv6Th2pnsUpLs6/xh/9gK9mlPfnauqDxs+wUA5Q0cNBpfzEdEb+spR gdfot5qooQdtQ5UuQGbbyAuiK+3zTufDiyB/X1GnyPnZc/rRe2fzsV8lFkPzRviiWIhZzviofKIr bqF6z8jRMDCtiFzuetsqBa5qk/JKGUdPDYMNMEFGX+KHUho+1NSeek/Jpb8K6n8zsYQqLZu6oIq4 JWtT1uedyseJzK+Bwfnafay7p8KUfLL+TeaHCVOE7H5YBFJaj25s69G98/gYKM2bErF1Wh/Q5ink YBMz4AjQOJjHnqLINdqkP+g3XwkO6rq80/jwQQCH71V38sUxBa0Nvf5m/byz+HyM9JR4Z6Pv9Zy9 KCVfNMQ6Z8Gb4j05ia+rJmWmOacrBb1z+Hj42VOBGcxdvcSrmCPQpSDRr1EKOWWis7gJGl3TKdrm iPVO4eN+YAmciKoTEIEXE1wjzBkaw5UoI3eM+ZT+FC4punFbnP5sjK/i3V1aqlhjvMnVykwyt5XC 7/y96kK7p4JI+a6OJtgwLYcuF9BzqIk4FT4bDM9MIer212W+9JWDHIpGdClxTseFvzDgsoPlNxrk n3f6HjP678sc2H+ALj1O7xk5RuNFCGAvhSuvB7mujn7j1Nxg7Z28d+9yQCXnXb21Ap/xtkiGgZZW 1WS8dOVdUHKBTd21yaTt6jt7D0eAs15OC2zRxjjbdgrIdH7vzzt5jwPu/FXiTdTV83Y036l7N06r 7dsyKNVL4dEed9peTN3znbnHVFkVyzDj+nGN62HCDnFEtOqAkYZUCdt6GUnCZpsRdk92jw8i8N95 ewyTkPf0NaTXkfSa0+pN0uN4A33eeXs4t/n7foLCJTzZPE5Rg7ypVce2j91P2TXyUuqu+jaui8o7 a4+da+6jWsR05GpxOr8TSk6aOQAbAddgxgnF8YZiexGevAgb1vSNo5ycCAJBw9qFhi3MbLoiNvh4 sJ2mv+5YWmFYM+FUYXGKcnKITEMi9hplAhFyZ1LZTQrOBWNU0HfGHs6dWnTDjA3HY2wIY9Jxru7K 2gXsrW4zGbRJztuNeoIPfoeA33e+HvdTYZIpQker1jE453on6TfvNT7vdL1qWsJPTo5Jy76Tu3ey HrbTILqJjE1DhU3Ln86ffhuQYAJgYGPyOCcXxldjKa3egUJHs4KBiOR/4O0q3V1M7RaHFGgEeWMF d/HnnavH4Ru30yLFmItKvHYuD4HIz3vg807V87fyc+qgHYg2gIUphqSw/NXtxDSzPsqU03izDbNh y8jfiXre8iuYV/e7KkynuoNJXyUmBbQEKGvwpieUa1BsYlCwSXiCom8chYmNus7koHVrS1QSfg1l OPl4cOrSryQTmKvc/NC9s/Sqs/oBhfd5y1w+b0HV79DxvuzQvZP0CG4iHqUtUYq52uUEfTHUc8T4 wo3OTArQvDtX8Lm04F3td3gb+sJBfVcRpY2hsS3q0oEfwpAh/fq88/Nwhdf+FSUkcX31i0yJUvFO NsJcyx0vDFPQKCpP2iyZ1yImdP6kP6ct3dA7/UHvQOV5QNFY6J1TCmfTUYc+ARBiIOh5dZc5r/+8 M/MIXmAq0LoqF/QF1G7DHcHB6jxvoc87MY99le+pFF6OkID3OEWp+NYsmMqXgtdndyloS3hMxAki rrabou44MetnEy9d4VwtTqcihkkRQYUFqWBS2tAA2pfgM7sFPHOgMvEL/9kcR5faFu8+nRKLSXkf 5fPOx/udieO7ibDrBcs7HY9jdlMYdmD95J4XsF4Ye4rgXYTKOxvPoH74zau4CFytSQeR6jI65QIb EL7db3DoouBvkXt/NPBYsLxz8bD/l7CEZBK3KymFc1ykmHsq7M87FQ/bIv3C8UAUrF9cwTsTDx+a TUPKRy0nJ/UbHIZfDnZawzrj70Q8vkGUXy6bJKyr6VZkgoXzgcF2h7gI8ReLeuzMmRAz306UOP+8 8/CsSbf2SVyqL4oTBmvMok6e0T/vLDxL47/xFxgethunKA9nIxuSie7SZ0UK67qdDMoLXL8hn985 eE9CUJbwqWgD4aJAnAamvQUTOvoZnnAQFVUW9JdyBm+qUtbPm5lJXznCzhGogg7VojiO+IrYUFVS X6D9fd4peI6DuwULGgAYAHqgwkS80cW3Te88ZWqZiTS83H3uVEpOsX4n4HEkZdwfzRC4+obaOUPE jY2Ck6ykLBZsJ9yYHOmK2sWhvGgpft75d0/nSW87OrizhMSOGpMnZ5x75PPOv6uP9qR2FIvfp2J5 J99ZTx7t3XTZ1cnZ1W2ONSzFbCTiMFBRd5zuIgUzgnxXJ/6AGJU25eJPxHPXIPSUrAAZ0H3zXFWP ExZVDt6pd+xFKrnURb78Ij/v0CHO/fnm6/NOvOP3/HXywNef46Ixo1Sclcg52aM57LlhdEbYc2mm to/2ON4/hGPGqbhZFpV8V2+PJxCH9W7Z+5QrzASgDjhpbwGpO5mx64VHy4Z33h0ui4S8oIko1UhF YWZ5yonEMUA/gfq80+5+De80bjnbYq8LD3+n3THrQjJeMecztbuFEvb8I+hNiApPHiC5FqgoGZ86 cbmLdZf7Zd0BCD6hw9zNNKVRrAs+KdiEkKP8gUO0t57IB/u8s+7sg07WYebQfIOoR1emQOn9vJ8/ 75w7b2lcdAGcZRYoMRanMBufSi7J06TclojBLCphJZJFT0RJojhFvXHjRJ0PHXe1Fh2oiQMaeoxT Q/+RN1QiCqrBsqui5r/FXddXDq5ykFQh/pC4tG1YXfi/MU/sZ8t+3gl3/98FtUjQf+L0no8/8FXe 5NS4o4uYDC0o/09GxrlfzCzlnW13gdDQPX1WH3Nu4GOr5lId5kzyxzzbNFGW8/xGkxKm1hof+spB asAmQe+mB2iSHOcKzNYWPOVD/byz7epj7zRNKOO8VHa9hcs7264aSXUSh2D+DNONRPvM6cIOMSkR YDzsjSvVBPv+rlbeQWKgUyYBQmR7rCZ9u4ohH5s4hXAVVcHqqbxz7VgFp+83ntd36NFVkr9ONdk+ 71Q7TiDqrwtqz/MNbrfgnWpX5ZB0LqjiCkhjkzolVuK2f3vyqtZsHvzOtPMNfVJxamvaahvqbBbc dpjfAaEPPX320dFV+1lUbYCVhffosn3jiKAxycxggsBFcTrHuTA/h9rj551lZ2X0d2scvrTlnrsQ rNJ5KfXiGdQg7MrC1GzMeYrY7mEKU3K98E4y1u5q9R1A9JCfLsZyZV1HqCvUL9HPBBLxZuTaTlFn vHMi1SrlorioRQfxG7XoQN18J9j5XFdlMJ4DcAMSDh6nKCXPsmJvV2N57u6tzHOle+nSgApUnGK0 CuM0F8XHtDq8AG2zsaT/d/7mTV4g54ei6IP3+/ka9aLECM5859ZV6ffhwmNizkVx6jRuU/Npft6p db9AYgSxYmg3LiWqRQl5F9h3D/cYILBfbRUKHakQrlCBYFulhdhxpQN1Kz3gaqeOwwhoe7CtcnLo rtsJMzb06IAxuDbHGdQAfk6QFRCV2Su7K1wYJvSPZcReR954PEgzfw04T+5zvlP2Hl0L03Ekp5Bq T94vSNtVSo0yza4KYJq6xKPGuIZxrUpAResdtABfBM/kgdcmcHMSix/kJiAxGXs90Mytbxy87Ail I9rBFjXp4BSvkct5ReDxCFjgbRUeOhzhfC+nHmbj3E1DW4jgQ/pjqJWpy0mtzGLNp/6ejd87fCbZ p3K1jm8a6NyMQheGQRqRxH1Q2A0oQM16La4GCa39b6JmyWyuFPWbqIg4s9K11jYfjwDR331xoNln ubVdj1JxSm1AJe3arc59tUlFNWcrs2TLCHqEVBnCGy6qS9lqUQKRslKVD+4woFqYnwW8czvtUGrr t1lA+m2P+uKVQ+C6KK5Sl8H4IXg6Zte+qhOP/5NExjidnLG3Oy3vYSpeaClQ1tVjyzdzgn6hw1RK smZBjxrjhP4hz87Peu+m8+qrsHlHbQd6z1bJAipghv3OD+Gu3iwQjyxKxSebvSd1J59sAUnP2S+M 3YVyHKdAwvMBa3N+X+L45cGS8typx7xNVcHFB1KEbJlIfurVsHSzdMMc9igZn6bgWuV3nD2rQf8J dJMmJmki50rdgvN6ajAuPFcpXoBX/IJVcI+S8SKoL7C3Z1mYnFRh6AqaXGyPj80fEBFcvzuaKKoH EEYWqYi+SRvNs2Waz6Qqacrsq4DhbFkmjToVqRipovfdkIgkV58Ew4eR6NgTpJPzZHOfy+RUJ0oN o6ZztSy+8HrUIF9skJdFh/ECqf0qOQdgJ/mLnv078Xzwxhvfbzy53i+fI/QwHR8Ei7jDAtrT+2qY V3IUIFg31anr/ElRv0BTqWQd8vR0yOFgBnW3wqb9OXJC5J8TjaQvUTGkrX1vqZr1lYPMgEqkRJIt UWG6MGLLaWXndt54Pjp8Hih2q2AeVfPdUWGPfPM2J0X1f+QGI7tkY+Xk9IsuVhxMwfObt3ncIxcj uAioydUxPSeZzJzLgxEMpfwqRjCrJvbtKnQArx6pfeW/OlAFI00MzcW8+qEKIhSCCSWE/16PcvI0 8tc1xYys3i55f0/JfTQ1WD6YnxVu7BM0mNbTe2HSZhx8Jt7nUUq+t6HoZrqroel6g9cX4AbnPh+w Js6uBwxeMG5+2jW568m2rxxEioSpjr+oLUmJeAJOD/+4UsPjETHY7yhBgGhBkO51HkHI6WR99iqL XsBrJh3L/ifLoyrf0HNdzWrOqj2Cq2hLQZzVfNkJp6tqakIWpDaKzuRcaaOOpibJ5hXK4a19KYMA uoQP+gtPh1GOL+qtQApUcg6nYMTjwYZa6fu9l8BSHJda1sO0XEqRkkqW9U8q3qxLy1xVz80FqS/u qKhLbqNzULzuajsK2sbn+iA+M2G0sIeadegn5Y7ypa56naAHma89Aqxojgc3Jl/UJT9VzxSf+tTu eDxqQn1f5hnbmVQ345pHibmpg6ya7zhhOji67+lirb1uQ6yMqE0+NT1vAqlqtdv8FC8n5qhTSAUC fUBNKJjm0gwOSq5OcUm8zEeUmUtPs4FNYYtwrOcuJ1cXV13G40Fm3n/NO6Fgmm4PakSZOSv2aTaE Ypkl5270akwFTF2KIVZG2CRXxgkznLtaHnUCPoCVkC8TJnxdczxAW3NaBLIiQzTBtWJf+S9WPqgA XDKMILmLwExll3w0BCrGrHgXitkpoOhEHCtQIYI8yV5hWGq+2Lm2NKrW7mB7SFEpUFGXnJ47gPR0 h/bwe6CEgQzyeQ2tqvl5hkwrgwl8DKefuKrcXQEKkviYAMbKPLNLU6XTQ5hROimj6bqf/+DxaOjy i5Nwgo1mm0cpSsubnbrksMPZthcwXa5yVT7GVuiNKC2366mLdH9WktkGW5oZ0DwZDAG+ktgbJ1Px /Cv5N97eCr7KwMf8Nes8N9tdDDx+wqfXHWQOR9gh/6WDMVBbpwv2HVFKDkkSyKiMdBkuczjDRVBp 7qXsGg8jSsmliNxqF2KFq91NAzyL3NmCOq9N5AV2NwHyBS3GkugSIKwv6XcjapBnbqax1LCbyPF4 I/XMTcpWQMl4Pmpp/hoJn7p57nb1QsKMvFQJhky7nMbw0qWX+hDLINqgOEUZuekXFWlpa7XLacPS lLYpI61FYdalQcJGX4Wz3esQsClfMKL++MwaIJTkC3MCkI2qDHNOboHHI2TPd5RQCi/oDlmUonQc ySOpCM0pG1IapciTaz8APzOM2zIi6HhRzlS3olT3jdL59U+NymoOu6lgb8l+Dy+5Qe3mead31D7C x0TTO7LKQIfj0n7MS0hMYUw5cTOFqfiFZnLfnPxufGnPRN1xuo0CP+/ElranOxAiL/QoUWSQUYpS 8TnszMmEF+kEEhNSg2eGOBuB47OsspKcsilJcj4E7aCWbpiQruFzoryJN3emFjlswgyvAvFKWafg 98bzEbHlWw+rb6jVXnjBiDLxbtPg0ezMTckXkVSWtjVWzkann17pnxFm4sJbniPzrFbcoYsNEdZG aRXMdgSAKsUmM+UcmnmLu80Ec4SZOC6nBW8iLIUeoqJs9JQqu80ouvEDgn7BbzUj6N5BcdgiFabi dMaZ+/YLVjeD1LM1cpLOGuYt3SMVdsizzcv1HuNqqXhup2aFzIOoUlChpnoBXhQwecAMatObSt4J usbDFjlN9OAwwAWDII6j1sCRY+p0Xqx4/l+NFV75KGtTuzfUDJvkVSZLq3lnpVr9AlCdkdDbWLBV Z3U3/yFmiPf/Xa0FdV5KwB82DTpHd6HyDsrIaoBlpEevXQThGSJWCpt0hXo0XISpQ+tqaiBcKh4P 4tS+gayrwwD4AllnlItL+xiIXAMYVOqVUH4cDTwhe0YtZOV3/qRI5kGvuzHKXe0ip7BG2U1Oltiy AqyswpX9CUzBvAimYcIM+Zyy/ezs1HFREVwhBi7dkF7w+H+5opCxUtLJ4hSl4gkHb6El4al47n5F lXQHwhNMLElkxWROpddJg06uzlGEkXAeFB7fhTNP1ixorEF0FVx2yvUYsIcyDzPsklNSOgltz0WJ ASANReC6VfH4vziKtCU8p3CP+8qbUTKek7m7OEZzTTfDGf0xwwEM0eIU9sjNWIkQalufSecpiIE7 QzK+cX3J9pr1Gf5GGZvk2sgufeMgTE3IQw1f6MLH5hOBGPzAEzs8HsmIfjfp0LpAEX7jFKXjFM45 5buJG2egcNaTGvjgfEx335hROm76BRQM9dVnCWeTNtJ/QW5BdUfPxpMYIupnI560A91OHwnTtWuG ZE4pZG0Zqu/pyEO4e0j+qfSKx4NZ56+eCocIe9z7KUzHZxKRzMuWpsNG443mIoeDcmKKU4RXMRma mmTcVUVc0v1UQQpCMwPY6HZeVWS3IJkW5utn7yfRpMPnjNJx4sMgGpF9UVpw3jSSOIL2GR6Per7e USHeb0MOAyKuFqYIrzKYj5tNHjOo+uBV8rUJatAtYV4wo/b4MsM8k+7NX9K9GZ2Djtsa6k/7FK6y TS3wXDz7Cx7OFMkS0p4M6hkl5IlFcO8UF+2dgFXtprK6ZNcGv2bUKvh+21HntPe7m0Imp8JUxvAw 9eVF8Pkq3nkq2626Zqh0WIzx2utdbTdBVqPRCQa66Sc5qCb0f4oY8JfZftrPEGHrGwcDPIrP1MQG XXVGVoUa81IL6tzteDxCiX2HCfTliXvGwhTBVabcwKXWx45KvVpio04nvNK4R2GK8nFXqG3qGXD1 Bt050wP8Vh66AhSl2VtnOXXhCDzqKpQ5xQdFsGjBDZk8dfIiDQzdNo1IfyBGieejZsH8etsBWNT3 urd4zOSEvPbeaVqgWpouTjcAAhbjtaGyUaBCdRU1fIEOv6vDxDoE+JukfE/hnaiyAG17NG85bF/P BIHCMvicv5In5KK+GGAFb2omT6fyweNRkvmrQwc593wv8RXl4okmZqP5JQ5lL4fZ920sBQg8LNtP KwKsDEsGmkk/tSv9dIIBn8U5xL07eVIWYOUE/2R3+GyBx9ejW7BCwApv744+gy2GOWxJQ5fWasXj EZHTsZls51FAqhe/xFeUi3c1Mt098ISp9OJhGhdfQBUUhSlErNhxG5YTjJsTnH9sUKxIetdNcDjE M6f3A/rLVFYx22G2nlbYFSfUtyoxoCvv0CXOSSAp0ac+wvP/AtPhVkCCN8e8uykUGOc4auVxdVWU bZLdkq9WTx3OblkhYMVSgWIOJIV0/KbbaSAP4O3Uz++WNIk5h+5cSWCdQfisPZnT+mn4nKAtzu00 uwTXuguuAUndhrbT4uP/ysQ5aIcryjMxXyGVk7ie0ZNn4jZHUCOze8N3gqKqMMVUTjZIKMjjq5Pv TlzAiUrKnFZKYplX9JmZ32Wao1tKQDDdivviuLxB8XdRFUp8VNyGlRliHxCsjVLx35dTxlf4lvWN UnHkWCerE4GargcX1nNSAcNCQZMX2BHkTivkcppy5jT5mcfaDQGHxQWBYmtBkVA+eJN3Yx4YdUGJ 9sJ67CtHbbrEmg730wnVebhJIWUvbFuhmlKd+AlR/vRdtgAUu+dVE1tRNg4NpS82Gf4x2+RuAGZi cBUWTgpVqKxiPi3mgjeeUKXNY4ZOLQjBrSNNAAoDTREAVXAd4dIyy0BCRPFBAa6HnHwWgbaoCgaZ lmjHU7Xwe0b4p1962jDz6s/Zi9LxpQknOW+IExwLHNaT2D8ibaP2bIohK0zHi2TEmlkrtsc8F+ci o6qmojbshdUtyPy2jd25mq9WdNYrL1RW6bzLxXytg2U533NtU7vmlMGp4fHglXfpCCQTn921ITRn cQoZnYmJ5n68bKiIoknwbh4n6MdYnEL4eJc0lmnZS9/VuyqjwDMtkagI/Xkpj8OC7QcitqiCx40T NeDwQZGaGAll6mpSqHmofzshIvVDhayKx4O7/OLpmJCjIsA71+IUEjqZQEHf48eVVdpVVimX0DkA zZb0eNwgV7tXYxetFidwfE7hsuQ7srPM6s5+IhYGrARgUZuNgmnxxq8cOI/IMXeWu/DcnbsN6tPc I4PfM0AWjG9kdCZyBc1oj1TYIMfvt1qpPphCEW6ROr+uo1kXVYgYqVh/XFr2Zi3C1SJ1wpzOG1sn D31SKkmdI7i2VYXQPqs3i1KkopScxLLNLrItjFQ+dbBsjU5uVvF4tKM8UojJlCjxdqzKjnJy0ppn Wc0bK1PRmVR/Nd4LYN/N0oMdUjq34GHi9Wh1Suek+i5G5CfjBwCbCgbnzNERKROd/8zwODjEB0U1 HryU9hQRD0dP+whulmwOgt2Fx4NOXfuGkQNyey5Fv6L2e1KOp+gf1YpvqJqGNzTPyXO96LZd9X+H 8irKIGfp+a6elMNzA6rUAB7CSoI/DU5iyBIaDm17GnXwTNBXDlpQSstFfa31kvM3hhHcW9Bp3+9Z Of6e31oPhYypC+rZYVae+cqrpjSeAWI2Eb/GfNmMAckoZ5zCrNwOEXp+vvorD4oGabN4WXAFtNdi Bhdi0XihXr/4QtVzfM5fnboJ2LktgmGc/du7QNJp4PF/ETrFjDmZXbkE4R0SOrsA59ZEgZV29zCt yRqPYBUkhApTDFaxfm/pd/XWyj6RaZiW4o0HSxL2M1ETNwzw0OKFMpTf5OAt4YMCxlQhjjXP4Yvu p3EKbHZW4ICMx//FcZEnHmSVbqduhwjyLnPJpwWFssBAPco01YJymfb9Dz4nwntX5ymOXRvU5tmp G6MoTphzoqEJoku/kmsLRwcf81fxUuvWoQPuYWk7deO9jnPA8fx/UlhBsNvtrOxQ6pC305z56dQt ty49n5tsO9XqicEO0SriBdN/ytcbJlDLKjWyTsEqBD86K3QuxWRo5ctxoRoSPiZIn1jclbGrLzp0 Jy3uCthJzPF4hKP7VvcFlFP4dQtTBFch7A0axddsY0yT6wEqoFuYUnLFtR0qHS6dtqSXXU7Py25T m6kDNZegI7ELJ32V+c7snUkU7vDGDhTLlh21x8sUsYxTcy4GzBx2OaEMx+P/Ku9kj46+7xUY3WE6 njkzL9v5dz1vN3fN6qxQYLQ0M8Ld/8CrtKY7vLV7hzewjAdzIii+nrRAQF/YGWS4gvBWc3NXCdLj c4IsM8kpcdzF8atDRJc2Eh8PXnXDt5MEk2G1cWG+O8rGyYk5d0fvFqbbdSLg0HcTswOFKc7GpfQ/ BILieqcI8MmsRlOcqRlpo8N6E66oP8CFOVhl2xf+Q+JhF+UFXMR5zefrZk3M68DjUQ38feiQMe55 yZw7ysQbAYeLMuGMEiUmFKUpi0ky8gkn5JmLoCrTuD9biSZXO3MTQ0y0/bSZOgpkbiYU2YMFTM4O fiqJJfCOpVWkBpmGL6IhnPxLCPKTIww8HnV9f4HGsyqf6x+RQqRK4qsOb2Urgjtbm/SU3GNYEVx6 N6QKftSfNcuoJd2VkZrni5w/CHD27KrA+rTJMwkzAMQww58MBBd5t6xtXzryciOrZTOHKh3AZ7HM T+JSNmUaACHa/BERjvW7BTVI2gJF0+MVCh6KsNjMFkFaKKIjtLTMUwKGG9uaK/J0ej//qoYxYfXV U/IGN10Uj+AjrLHNEojZEgh7ZxcR8usa5Mu+dERZhMbVJGtqgSLww/44eO6Fp/cHLj38AVH18kvv AQIOIMClG67QGEgIHwpVCW3Pvpd6drM7oBWqHB6uEEXeTC567rtauJCUQ9mBMr8gny8S1EvFuD5X MGQgUHPlkFkS45Mi0Jg4sFoQLs6EYQnO4JFht/gDgnSqjO+bHYD0fMfoOUVN80G/PRIs1bhrmnyy F0xxSuLr6A5kwQrNOc3lbQibMt0/BB3Odm6uBYDLgMEETCLJM1vUo+pIDUh09HJv+peOusFsIJR8 F7VawDcWbxiQAzwfkfW/JTIwfl1lPBsrStEJZIIaQLr3VrleuMW5i9DnzR6rqHFuRtR7jGd1plk/ W4mdbpzDefL3KT4savfzJ0WnDShjq2U4FeInBaMYKSOXVnyxdnA2TC3qGj4fVDO/SdbinOZ9AT/q bb0PBxK7B9YIPl8UOahYVHUmk/LBtVP8mg+b5929KOtd7YXYmFFliY1N9AxlHjwGzy74sBSZllgG vM/tS0eYRKqKJFOPNE5pQ7efnB365PH593DVnL6KZOJ809Ocyik2C6ITdal6EYLPyNScuhNQvSfl bJJcacGKMnbi/cBeFEGWq+2tc+4apNo2gwXtDOZYIIXS5HxR0OeqHNCVgJ8USZGytpnk73MRMBHI vaTaBrbdKeqhj8dxkU1PoCP3/MogQhUWncTGtJwJKT3uXE0Lgdu0hK/3ig/76EuuiwYi52oZxHnb Qa6VXU+01EeTX+4kG3BAtQPqf35rsQXLT4rosdQdAyKJi+uObc4kf4i7a3w+moreaLGxgLOQ03jy hxDdQoPqKZVkSkVJDYmthZYewYPtjoIpUmMpwpQlisjaevlnGOSjikarqkCsi5hXTXJSYdcGh9BA irKiSqFSOfcWouOLenr5XJZNsjW18PngINb0fW/RZaqMr2srRpwbCcYhLr22K1ZOuhJrQg3nFK2w o87aue5E9qdWbxRXoL6gI32O98lMazPVzUrPB74W07pkPdQU/KC/pJOHlKO4KFiliO2LS2Ty+X9V zzyIMKWD4YPHKvT0XFRD6vuKkCGhtVit6gL4k9bH5lUZAl2a0fg1UuZqBTTcugbuF9Q8EFzNens2 iOXRmsomyUpMW7fvHN3wMjlzsroJ/ZwEZSf+Fzjq8vl/CkSwJQN7QbyPPVYhCTTLfCK5CP7gzFst mTa8iM4c1ilWEdolCarYszQ4uTpAH6aeCW1PVNGnJrPW1YRT8YA1eU/zCgASsZhjX0/Wh8QV2aLk CipCfKnAn5fPByl8vpQPGX5hnLXnY4EapvBUAVxCCHNi2rauKZTSTB0gZdNJ1OfbMDT3XOoWM7P2 1dt8uyYMCWjGODleNoUEMEGaeVXdYJVm3zmaarGYnsQGtWm2uiD4AsvE670OPh9NITxY0rIDKH4/ 9nmBv6fm/Wz1eXNmzHb31bya0wQ/274KM3jJbU/DenI1TN6pNFsDK/HEqgLjmUTMSvA16KKDPl5C o9lXjt6EJKWh5rFFE4V5MmcpCSyUhoHBJ/KGX8hqeH4DMuGRCiUTpybJ3UXJRrkyUlmirpSRmtcx NrD4vOq31UaA9RkBSpsA6puO1S+aUDZJE6EHANqtNbK4NfhBEZqDY/cmHQS6lqmTddIP6rWcP8Tm 88FYeX532TFSgHLPDVUkZM7RHVTxq/eyanFZ/KmtxgPIvaQDGFsKqSM6RAPhaqEqC4CqyQE8/IxH Ycp6XllAMLKlCqFqB1N1/86RC7G0IuRtMtzb5PwwyjEi1VqFz/9rvoX9CL0BtHFvqEJToSRy//Wv pJChqsJBDXV2s86LplguGvp8LnWxJssGW+/AtKLxn1hBN748qBZR2XVMgOzXktdt/KmbFTp9ypux NXJouagqHKfsKGoCTn3Tf93s+j/LnejGKpRq0bYaxnCEdUd3Nt+85oywcrIZfA7MPrOuS1Q3Iqlx 9dZMQ9OXRH7AiFMih+6UhFQ+K3xX4GI0t3QSeflJ0VuQfOyxiy+WXJ1UVJJJ5zXC54N91X9BiDf9 z26NExh+ntwKvdyxKZ9pRJBrPNizncZTPuMFZ7GK5VqWEB06Xlyd+jjzhrsTVUgapGgERs+0aaAX FySGL1aoN/vSgZ3A5hnM0qLOllwRLNRVEbLbEJh+As0yv/YVNSsBhPRY/QlLP4nBdJbo1kgH++pU vd6ZoS6uncEYBWN2lqnc1XL2BHDIhLLngLL9SbS3Wn6UrtYIFCLdl4blXzrSeSXTIT+LElGY+Dbb V5vPBxVO+YX+rF2b/GYMofenKSPs6fjPKQG8zXpwGqhxtkLH3a4fFVH+JUzaVruro9D6PhdvHoTC nFcGXNMFhUFXLHe8DjIEaXzu1exLB70GsvpKl4ZEJ9sxsX0kSM9Pr6Py+UhT8ZsF2TRtvLd7aP9p tzttbaRvTkiBOg1gH1HffFI/TTsr9P/khP/sKBH/tXqsToW7mLfhxjr/W9HtriQ0gzOU5f855K3X 7EtHqSilEaAUZ4tudygIN8sDJp//F1VbO/KkafWpBmP/z8lqkPxO7qvUyuVqdzuQUA939ZYcGIDK fwsxElVdq78JC7onWX5oC/1YJhYny1pC7Z1NC5ah90fpppMDB1Bv+I3JMf3AjIjAa8h9LZNw2Wvz B0R5+zfjCFhZeBXfOyswAfUxxanLu0118nQo6EiFKTwtBlAw2s4K8epijspfwVbvJm8grgek8wE/ O5uHWpUFzLbzekFnsRKwrnchq25+UoRm2EQVD0niDSty9nlPJXFHT5nB5/8LChuncKLW81iF7kOF JJrlRntAubu0aYGykQ2gOSRi5h44gaKJr4x9SeOUq93vbI529vtOdZMr/iQG+8hQ8V7sIN2NRZeB HFuBUlKiQayKSlP1p5sCB1yipaC0Jn9ANKVYX/ko8oZZLm87h2agrckejW13AYkudLaP5YCrSgs9 xeofgi5AL9zV59C4m3ql1cCa8MDWKQRd8meTkdypmFC+ejKhG2imXQxlwG1R06ptuoWATlobn4/I bN9dd9zZmM3dUEWpO/u5cwxDV+VFBLC21azNwUQJzlMWqlBkUTQ2w+dp9fYVpLnI3vl/jH1XliO7 kuQ/15KnD7TY/8YGZuaOYL4Jz7r9MajuuUEGkRAuTEBdtp99YqVm/OlHp11wuXpTy185wH/wnNqC gXCw6tVeQwdXr5vP/8uwiecSpEr2fEKGqOAufdM++1VgdnW3c9bsep14ie/QTMVWRGKybVML2hd3 VeDJkM7i6YaUOeEor8qE6k8+eZzSK+/l5OUvHcxVY2TVROOGRglbqnB5oKzB2ZBJb/ovSDbbhJJ1 uWWG0BW003EAN5OjZbw+Sslt12GuxGgpvIrdiASXMee98uW8d/bfgtslucnoxktHHkrcBcXbiU6K B1dwBtP3BJegWKSJGAcOXFXoECoUxVnI5yPJkm9ZvIxU91uzJAfOoFDcnJSWSMPrDOWuq5PhOmZm 0ipecxUG7kNFdisO73w9L2msc8L1xLYXZPKouw5JStAFE6n0tao+SS5SsZcO1hXroSC5aii2rioY 44PrqnY+H1WQv1v1ne2Rrz5O6A9KYQjwAK9t2na1eJDUmyOz0+3jxAahUhluWT7GHG1h5bOW4IE9 tQnXGJSWPz/ubDewk+CqezGiRBzn0CA0E0o7BxH/UxUrdiNA1DG/2cnn/4sS+mZAe9GPObQI3Ww9 G31EuL7i3pdZED8a85IQw2UVeIQK90MUre7A2u4diMZ8gS9KJxdwtLoV4XeACZC5o9jwwGlV6QtM QkU6RFuQfhYcLAXOidpstAbj8/8FT1tRrUAry6cqVEPHYpzIVn9c52U47ngN43mjJONU5Rz6hGpR 1cVeto0GADn779x0c6ndBX+xpaCd8IKKhKMQI6RWale/K7QKHVT5Ln0KjEX2vhJmijYxtuqZH/Bf Jiuz8wnPjTtdIcm0shTTXMYEelQGZx/jEpMmpLN9usKoXdM1NyNyjY6XOd+wEIAiam9ADzabLqww AnihYnkFqdSnDyxDQeGiyBmKghhOvCxWRINBeRNjG2WZwDMU2/AbEAncMsKaO1lh2M5y3/ldTlze YnHJlq+7SMfMt9wXuIY+rVTTfuXo5b6KXnOvcgdbIFzwdK+MdQhLqEIYSRa9DHvpP4BrGzKw4gVC WEE4mTVaYdv4RD+Zn/BfaLmQT1kgd/pshXgZLEKYWBgU6/xzOjdwb9OHg6lA9YQwdA+V9xXOo3JH i7EycukElMFZWnCDJlziZE5sdhRaGiySAExOd9lLR3chi6Oo6dhg8CI4uLLU0FEcDQxE/9clDNor 9PT2uYoid95JE8ZLXkiWJIVk4YyHA9WlMXxlRXCZJj/aZH606fGjhdgpGmdTpYaGkJ1ztWAnmyGc TtDMQ7/p9tJ/8d7gjc0BRUOB1Wup0u0bKU1+QJDmpO8wi3qQ5Yrq5sBGFNkzgqwKjodNFi2DJCk0 t9f7ei/JJ+vv4L3uUZ7RF1aDiCFVO0440wHOEZsSxUUgj9AefLTh2NvlN0UqnxKL7XfQwgLieamS vDqfj0LSe8LzaoBh2Xqi99BKdElFgHRaArHMj50gv24S/A3quHcXhtG7yu672C4sX7swtdxPBI+y zPn8tWjDA7AtTtqGKKuMXS4QS2X30ExUKjDQvfBBQCychawytr0Wnw8i0vxL06sAX3B2/nMdhoX3 IVva5LA1lgQ0W1AyNaX0CfyOzdbfgjCourU7GhDrrKcCu89GtiAIRgJidRbpB/MS3IF2ZmVh/AJH UUQPndWrJqI8GjecrgFIOjOsc95OfkAQPYxfUpbY1uVJokNT0ZEM2OeohoEmi9kYLdpps1M/3LIv B66i54CX684s5mNU7nXIRSo53wEJU4g7bKvN4/+EgxroRfMOK8teOZoqlpAT6301eb3vLNyhfo5A DYGr6HdiSKsw3C4TJ6ZPVVh2z6y1D3d8WkWwZNqNt+ZFrBOxeBEr9BU1ntfZFPOON4dGYxXhjPS9 FiyEmENL/RzmMeCvKS0cirICX1EXPUEpRvHDEvIMhOBMa120KDY/ILgLL+KdhUJ8XH5aFKG1aOVc ocjmYJm6PC2c+YKwOhMSJjuBtyhq2aLlJPk7cHR6TscqADsDnewBxrUk40hwk7xSu3i1VOyVI5ce tQnJsGzk2AsfhIq+zI9TWfyAyK/gW0gHh/vMD6whcBdFnSNTIqYMx2CV5Xyvui/JMnGGtKzC6F0F v14ESeZoUzXO3khThC/I4LnY9cCyImj1Kc1Ibo7f8xeVaTfCIbfLiSEutUuxZmCwQnvRL2ifbgJs 5XKNWHNgMGqmBedEzO7tgO6w9597dQ2rDjapoobYYVSMgF32M/pFCD7wyub+tEC6ZH8CqEuwrjAp 7WoUA/OqL/oLNIpl5IOVG9KZe+l0tMrn/4sNBj2U+5WUzaHHaKNbdKlXjJDVJLVyuiQKOyXUq0NA ApNRR7rXpR2o0ZPCdS46QnLQyjlrdPO0KrTlOTEkZgVALK83zG0vHZC/WGiAIgIGOqzI/+nEiTIy gzEaP+D9bF+38cVmBnGQ5VlXsbGRQvdHAqWt7aH7Xt6rb9kldXJgNerl0XMSidXE8SLdK0RiUHSn jMaa0vZIDOGQn5yY6HEVW8KABGajmSU7oEWzDUkiKDBYVkcVWkd8PoJhja+FlSlVBevhO1th4X2z mDzKRcy05rvwxJeu/dXX9HpDYDh6Dj+3WBFyhqMjIVMpkKogwvac8mWJF96g2rrWINL88eyh+WEO HEfRYpMbBlcWB/UoTjhCPBR6FJnPR+CiX7xC5hqp3Wj08xpfNfDk6EXuTBycFsYyOS8+u3tHd5xj nKvX6KpBaUC6X33uO9pMdQRVJ+ahvVEHIYxMploEO4OqOfiE5upH4+jX2KpBJJCOrEUN6FKsNkor Soohtrbw9MskNcjwKrJagiHjQKYfEaPLz2tcdb5TEMhJrXc2neHr4NCPxMIoms4QTLblFExRH9K5 HCbGMB4xBnSVEyyRSTI52c2UDkiCsTSADj+Z9588MeiT93mNqRpaOES0d4pdctAcoWxM9cYTq+Hp tzmyyIgzos4yrtJafY5e46mW9dPmkIc2rR6W8eAAEy3DLr4NFCvn6DWaambRDVdoCxH6d4hAW1B6 0AzIrxYrsgNA1UEtQBPCAk+ZQ77GUg1dKjrvSfxkXfET/Ekqg+56orrPayDVYHubfBnxP8U9TMs1 zNBrGIWN1nRuA7KkRgTzD9aMQdP36ByiLpyh1yCqgTJoxXVzeWj3AK8wsj+3ACWKx0lfdxIH85wD J9hbQA4ARaYZAhwX3/I+Q1nWx0KzD3g8lGpZzNzD0qb8eY2gmonD3ilCrNkL/dkxRa/REx5aVBuC e7FFmsNWDvqP2Tk3+TZMX2Mn7IAi7J45r3P0gABrsFHN4/zNzqbuyUR0gLDq4EK3wWNbbS0KMLyG Tk24iIVwtPqgeguiDMbTbMG/Bk54uvppJIWicxhVGhnRrDOYo1aJVKBEnZbRaPkiFdxT5SSw3fpZ r0FTc+X0ug3fuL/wjSc9ARQUNp6ZhiqKFQqF8TJ5F8REWaM0yYc1mKNFPQFikukEmaRZjb7spKMQ NFTy5zVgao+s5bK6HyQNTkRis/QaL+GgL6oaZMdzjDSdvcWaiOkMIfaVp0o0S8pYYGl9R7//zzTN nqibftLglArvFUCO0XinqTTIer7dmN69xkrNwRwETp4B1U4Z0MFWo5Pnek7C/nkNlXCere8TCRXX CfK0Juk1TMKZjfU3z75y/9VBQ3iqDKGZYpOENpTpEkdntuStccXf0eGf8M6u6B6eowT6qJnFFzrV ooeVWMqdF65OzarXGAl/DFXskP2BOon2Iz1QTkhJxVr89JnG5zVG4nt2myW+XS+u/IlZeq1t4j7s gqpvp2vNahNGIuC6Gy5bVeW1stkcRFXFU7PRa+bITfqZHc5SBwZYtoZM/hqlO1O74oOLrjOvdU3M Evp85AVoaIKN9QEaOTk1GfqowRw5Ms9WEjo5CaLtmqTXkibXnyQam19uVXD1Tmmj7lizmdxG+7Wg ia/vutKXpXLrpnJQY+4nRpLv6tlYWUqWeWcA2Kif3ihQYag8qhK/ljObJM8B4u+C9NPtgzzlk+Ex joQL6ue1ltnydZtZzGgymh3nL9j8fnun/uHqFp2tFm+xj129xQ4MgscAUHw0mmQ0T4bkNwH+9CXA f5bLWUWA9mM493Vv0qTgUdrA2an0BzFVmJX1ysFqGjKFZqQ0l0VKsCw4O5gos0lFimjLrRtN/rBN 9QOohc9TFHFTrhxQBKOTQhDuxkquqAPSetpOOormSd6PldAqGz1YOjHqWVBq6qG1UpLwwzrrkb80 ORVUqTcPvXJwgHdWe7Ns2fOldRcIRaiGtNfnnfXXnmaxjiYED6vTc1V4yeiao45lyt0gnnPP5VTS XW/ANCk6I4RZME9bXuOlmtZQnZd1C91ESA8StXima0vHshYmGAsxeKpP12UIOhxMU+eG+19XwzNN 4EFLKmDz8SBkmr6ceDuiRT/YJVZfLIyZyHRQnaRxxm7MtLtHAyc+vhy2KPbuxeQBJBPH0YImuLCM WkR8R5+KVktwKiCdGubGNV25zwy/KL5ykMNVoTpZIp5XgR8ai1VsvwIcbBh+r/Yr0WWdKWWfqPcA 3Fpa0Bypdz1Nn6h5nQrmICtBpd5oopScLEvj1pPGDeysRfIxsVKtbVMthhPoInkp8xhXs2Wqevnn 8YQ7hgPArqLbwr2UYCmUSD/vVL9mogg3BoeaLYzJb0UgisJpHQENNI8voQPkoVPJ2Q6oDn6XJuo9 DEdT3gpLvd3RQWXnjKqtyxAL4XjVxqsQh1u4mkva61Jt6fP0TvRrAg1SL734ILQiWZdaW6V83nl+ 2EJp+TmeOFGILgZbw5yo90AcUAWW4nr1NvoJ+bMVLulBKMwBBQlVO4kicSueVDcWnY++/MklBnws WTwBG6+pEldgyAOWzAkPUDiT2XGWiEIUicOuhv2V6YPtvNHFeEGO/nkn+VnerIkSvvFM96A4B6cp CsUZUID8360KtwQVphR4H64oBPc4m6YoFvfym3wtNNpBPkDdPeez9sTJTJtINRnIDJiC/vBUckmA 2fXG79MkiRwIrXKgZQn2HUynlyCanY8HYeb6XYmDg9GeNzB4D8Yv/yOV/eMekNnhPmXObssp7ebK S1E0PlRnmjU/o2PPG+iiq5Fhe+5Wa1dBlJpyAHBZeyxn5Nf3Tu5j/jpf4qfacu+zWl0uf965ffjB yc8nPAiSDGwdbmAQxeOdfAZaFGue+rqS6am7efYg6kfrKQzIRZMZXa7HHK36M6AWBxwD5qk35HGi 4qIvMin5gBzHQPpT+lRRQI7tfH7spANrvkjOE5Mlk8UhGiOKyJ3MoLQF1zRUC71oGcXjMI+G5cz2 dt1o1+mpDFMHP/s9ucf4O6cPN+eQyNLo647eBJ4FWPyEwCAB61Mlb72JA0mMzdB184oT/mafd0of FoSgrluaZ7t6nHnOwKZeVC35887oa49ggpdTcKhm8CNspqKIHIwrElLdpJYMMrMOq+Xp1iWrFbwz +rzqUADIy3e8vNoC4c8xpXh2LsNJxfQEqMw5ieHzJUafqekNvXLUKph0Xe10rJmTCSjPmtlk7YN5 n+3zTulr5sx7kxfg3/ecd6qiUjhyKyDOm0+VmRvKeWYmLxhcDvI7oY8VA3MKkVkmR6+rwHqmov9x YrZzwwwJPZcMq8oMrvMPLf28r6lF9R6VexQFDXYOYLLRRGax4cXM7cSbn3c6H6e6fO0+NK/6WHf3 RWE5/QVB5vOewUjNUdQi46tIl9xA7J3Lx4liOC7fYhttTW3QIKCQSQ0A+FYxjjhpL8CxOPfPH3Y+ KhxUd3mn8rFsoPqcmLBzWpcOgrQmwnHW2eedydekIPAsKJSjWPGzeYqichD7eUpdX4d+sSplunX9 ULEfweY7j69d0fQ0jHk8LvO4YAFBk9PoHmlsoac3SBYbtYm8ptO0zRnrncaHaVpKhumCcYYk3vE5 Cndi7+CEa+3zTuJrBmTSpVfskNpQmLd5ioJyaE+fr0zjVurEcqT/RRrXLbO6uPw7h49VKIEQTcpy C3OlM2rjBJcG1YlBMyUT0D+gp9EErK5Bauie5vbKQRRFZlrO6w48zWGtrcOqnpjh807h47r/3ncg AMxxC5rvDD62fPM3wQpeldnIjkBXOhwfluG2795jcoQZT1Xlf6srIAzJvJ43+Chd0kCN/FC05wpk cNwvs9srvx9Qi9EmdJN9UBWqFCqzcI3szzuB79eCItURuIT57LswKNdBPpOLmp0tcQ0OV/WD/ATU Jpj3Tt/Dn1lBebOWXXtadkhTTyafCDNE0XIU88ZKE25LKD4Dx2DnOPthn3fyHqttgvJkcdiROYov tOZm1fqcy3t/3sl7zcQ6n/V0FnnfT+s3qpATDD573Vf8rTm9oyfS7lWtQ3db++49KIetqBhW24TB 9/Cg/ER0HcI3XcnLOlehNLpgVHcixoZ2dXHtt0y5q887dc/SrQVj2OyDgS0WbVnIsOLjUXXl1sg5 p1hK9dl3UVCOzQDqyPJzfKt+wPsuLzfM3MDVaJ7eg3ITo2CKsu5o1ZWMXnLeSTL8CRbhCh5QBR6s ReGv7BAeon7fSXvcdqRXbXFCt52C6C0myezASuzzztlDrDq+ewkQM8D5733yMCjvMpqxEh0SrGah 5jku7eaD0rXnLu+EveYQOsbvd7RpSgBd084e4hEA+osJeabLaooZvSWvraD+9nkn7KF4O1WEoqKP NaKQ4/WyuiTBzz3weefr+Ws+bSkYHJbmJYN3up7HEm7q0L/xTmBzzHuKAy+qaYphKdhtPQm/pFHT NBMyE9hhEVtaTsIowkfZ8DxUDj0o2iREQdEbR9NEwiyPXw0q1S2YPKkFPvl4sOuSl8hZIitsBO07 T1E4Dk8eYFar91y4Iwy+Wh+pN6q6Yte9U/WwGwqXkbsPcHQgBBQE0e1kjbwqpWLkcF5woPiJveCH eBt64SDDawWcHloPaVClDn6lTfrWpXzeWXpEFdSvGBNgLpwKPkthiZyMxqVOAmdpFl1wAK7yn+Rf o4hMPPQ7Q69dOPTssojmaLN0/ujnf3pXwQBqplsFA+hJ0O2GgjzWSWB55PPOz2tupYJsmgMKV12x eAN6Afd8yuXzTs9jZcVjJ1YXziE2cJHZPEWx+Bb8ojsdYYFbYxj7TcVwzpP+/lxNUYWcdKgCNcZ0 RzucGot1k7aiFRz8LY3BgXi9QlihsF7ATUd3nc87M89gHme3VZahOFj9ac2qhsu5TD7vtLwmfP7X Ec4m0LOa3iNx08CA6o87qUyFSyRds1DNWWrsznGWovI4Gz7nl5PnZ6NFmAuMPLjwEDGeYFqr1QRA AwvKkAW/yAJmLO+UPNyoRCJ23XT93nT7BBLUATgRQedrRotpfk0ThORH33eaokAcbRlWUi6Wp84H EmZuPVA3dV7sOx+Pm058jS5kIUcPxCuicIS7sHmqtGpkYjebjvJzUJyv8+U0CS18p+OxTCdLaJ7h HDRPJ3sUiq4u/DnfA3EoM94yHWvE9Dvod9dFkTiCK7RbWnF0IRtvijCniR+cIAiRjSYqLo+bsGJS pNn5CybhhRkGhLDGA57nbMmldgsQuGjgIfuluJ/XM5NeOeoHE6QCpWgI6+EHdKtitsb6NhD8n3cq Hq/38bWiUL0ls9UmKgzFCekZogB15qN1We1JsHiVVGoyNal3Hh42vuC8rTgAel4P7TNNgGxlnAVn p+SsvtSJgnApQEAVt9W4gF4UCj/vNLznHBf9oIElJjvDDcIol/E5Cfn8v3JgFhYW1HKr53bvHDyP nk60/xTp0HMUvXM6KKOBUW6Y3ncKHt4/q+a0ldupAa6aykb4V+HWQTn686+0BX5CJtSJMEBvwU2x KHbwzsBjIEM8b6l3UNKSQdXQoT7X551/10x99cYFOCAmlrAhMqNgnEIbJzkf27DP8OAy7HORUhkF txKcTgjJjBErakyZCixHnyc4fbRsut4nfZSu9Vm6QF4TOFply64bb9grv89TTeKhkVIGNVFJQZ0k OFG3/eccgvPzzr5jA699raeM4uNet5j5Tr7jdU4c/TbXASwogi5OxAfVuiLNO7SThk1UFI4TcwV5 3PyMjoDeg0YBRUWVnNWVAVgcEgeUBIA1lRWf1rRX/mvngW2lwZmZqXWrlJ85+7wz73BCrO8TCvz9 BZKxzVMYjrPlMuli/3+Jd95ybcBObTuXj2o2T1F1vEnfJ2UFnBytSNd5LGEFYJ4QcohWXdh2ZIGb Fhs3vet65QgKjaAgqZOX2P/jgmqoLfMk77l+3ml37WHr20m+eTbdeXoPyC+CtT9Kd7DflrdFcqZU Q73R/IveSXdNxi9YR7s+o3c6EQeCwsl5AlxWsuP0pIY45/lFk0KmVhwfeuUgNiDSlxZVNqg1Nc+N YY6ipX7eSXcEyK/vUHOiJz3uARUWxwd9nvY1PWYtyCArKzmR83yzY8bD4ji9iEBkHHd0ejD8nArw bgPdYGhzskjXEZCDDQ0HkOxpsIoq73w7cg+2qk7LB4vIG708sANb+7zT7bAakxdV+HcksAjf6RMV VccZUMzaS3FMnUwgSU1s26qZZ6t0Q2K80+144zJ2GnSLsNEXVEEBBeDKkUDhnUOY6EElUgl/o/pk VTrgIT7vZDvWCzKxBS35oImCECM7YZC7+rxT7ZhH+0SJRpyViNs0hchxrac8vSV8pqzaNA3KPCsw AClL0xTG5GqyQD3kjp4In6O5p83U5exmNCdZfZITHL2a23PfTa2nqDbeiazvm/LBHFSkA7JjpR/j b76z7Hi6ffcQ8PgcN8N7p9hxFQopNrvHTzU5wB7aAz9ueJysyfnOsGvXeXWbuhZHm6Z1giPQ1ek1 UGDHqXpnQVbIflhG18WRYgRovhPsmKJRVWuzNq5XVC0TTR0d6HN+3vl1BOj3r/AJIJo58p2mMB7f Wk3Zi0/ETJmsnWw/WFYhlh1llfY3erzBcuGOtulO0L9BppYJZC1DpRdwBxeKdLBTQj/Y6MD45fie IChg9DQqcT0chMNoIDsI0pQ3Hg+izPEdPZ3Q57xT9vyuhdE46v4ry/VK1kTJFO2a4X0l8rANd9ii yjitAjAZ5vZRblUFswzhmSzlMYiL8qCHjhYqiZNn4nrwmVtvHLBaMnAq5x5vPuj3wrxPlletDDwe IQu+6wUA1IKn7NSfMBgnPHOwXyGFtm/Xiu4OH4n/Hbk/78E4ANaCZy4Z1XK04tM891NeixqJ6Po3 iXtDcOcsMAhBzXrNrgZZrf1vtmY1dSjKw/xQhKbOwdsV4E88HoGif+05CAGUeglSUSTO8uyJvpfL I4KaY4sJ15vNUqHSDGcpgqoMFbv30rHD0Qrj5wBPdKhVRICoQ6w8ZDGdnJHart3xBHod3xNkLFnc XwYGXdAUTBOyblV8zzmIx6MMeH9P0wkoer9Y3x4G4k3H9tUZgy2c9w+KETlQ8e0mcNSjuri5Hdf5 NTqEFcatc0jCDhmRClVwZEUgDr4Rs0gvFYhJFgXiaBKTZ0dGGf4acu8tC4UzlQpawfPvhxPbsHfX 4ReDJuWgwx7VxqmrjRTLQYeJf08ZMJTtYDpQXhWK9ygUn0LZ16RcmKNjWAfEB7BFQMGHdZPlwChI 5QYgMQ0nXQFj2CsHoRO1/nZh/25TgbcKRHcOQEUFQArgA/4TxRVBFLImm6mIwDmoDVxnc0ZZq8O0 61Cds57UAJjWZioMxpW0dJMG7l/SwBvEjZWXzvHSe2EbqlaWrBJ/LDI618zifdej+rhanC3ZgLaK FB0gSMnYHLKEeD668OrXhTcozrK8x9njYLwwpWuPm4CLX/StHidk6xZcyegm0GMapyRVppYUR5uo hGZzh4DaOckRWiYKl1buFTmiZ3TP/ZCqWa8cBAaVveAkNQeEyV0YMWAYiLc6h/PG89HmW79WVPth xdgmKiyRo4y/MrPh/+OcsbCPskpHYQVREw7z3a3+1MNwfIpZllK5o5ULEtgNGzsEfuDnZiAJDswd lm2R+BNufkVJp145Os3lhtnVO2fWzSUFyclFYtCEDV+PInLcml+FFVSQa7vn+XtE7rqRkz9P3LLF GkvBTJHaRAg5hJp+Ks/zKCLfaiYglb6jVerQil/odxKFkc8GZ3JTsMB+MmWNCrWYzPmEjuM9qpFX 0qeVG2pQujJphId/niWLx6OCwa+my/kDIanyeYog5ING2gYWB7pGzl0sQGUsS/zz3FM4GLT1IrTK FZrOJjRN6Zyqym/r4E4T/XTuq94lr9lI3z4HSkZB8gtDfqYLXxSFUWycW2GzuoFQQuYiDaiTLeLx aD2172sPilngQ/tEhUF5U0uYOa/WVknu4kGVCIr6JfxKLaioRG7SIHmrwsLRFhSw0W1ssqboDprk RFsIbelIXuqq1xGaDuv4or9S4SaUJgeVyE+IL4UstC7weLCg6ncglSnSJQ8Pcs2jsJzO857kKaga 00sGxKrxjBqAQ4psHtXIp9h3TJl8tMP8RKsFMYbIQHuv2lSCwl/35DIolKPnMk2BNOuNo4om5VPq vINgrGDzseUCJVc8HsTl985jQAxC58qXlDCiwJw8VBCpH0lNFqMWEUV1/FyPcSutjLhELsk1deg0 ehx1zsEipkZGvti2Lr0kfcZFICsiRBNdw8vgi4KJKlTBaBLDQHeWQnio2EwlfaNhpmLMitfIGUlg A8/LBhohgpykKSqfSV4617o9jMpGVkA6nH1BRTVy06dNAtZ1mjJAhunkeUDGQ320S3ANtnLSEwF3 ZNAILN/K74a9AL4mgLGq5Euo/Rmak4HOX7yxwXrOv47HoxLUdycBHQKwfH2WQsgKJWfOoetkxTkv fPzMksvg15ksGx5RWC4LORCZDS+mtiO2XYUy5EAWhiuuAM0i2Sxk+UMWjre0soD/wdf81W+hMocN 2nXoqTWjn288Hp1O34U6cKPPb79CGFFIzo4HNCTmQ3Hxw6lQW15rKTnGYEQhufWloCV7R69AQS+C SpfEYUCSh7PUQRs5ofo5rxOtAoT1JQFvRPXxTBbCkq/JYl1mq6AJhXdROkvG88Fq6g5ZwWMDemH7 QgxGFJEzN8Q8uRzdQABg8zRTdmYZYh/NUxSR72VmOaICcbxJ3lncBfkKWggnVM8SwuogskNCAr/4 EvMpYDBC6LjkIDsR5BwYFBRUbLjnTkI08XgE7PkOniYBWMVjghFCxwfBdNXq4KhADccXnHkfluAt QF01SxF03Eyxu1wlNHqhDrrI/Euc1VQzNB8k41MAYqGC87y9O6BY+DXBYhKfbEEYEYMc0HAm7M5w 7WRBHY8HodP6hj9BjWOUi2AdUXGcttST+BGbpVZ8LeWR6p2l7HsuCsUl0vO950gDFEsRMmpncVJb dKGITbLCgATr5PRSYtamCccAvicInJbuOQUEENkRWmVCUaOJsZE6no/QKuUrcqKTV73gghGG4oRm zj4vm7NuZ0pV9TgHHaqAskG5YEShuMk8pCl4D0dL7k6CPEtHrR5iVhDnGQrFk6jpAJwAmeq7jhHm CENx4J9gY54xUIoribIBsApxPavPhQ8I6gX1W4MGZIgN9KPNVBiLS9FQqtpTodPVf6z96j+2ZIWV ERbIvWsubDRHnykQ6Nv5O5MqdTZaI/+sdDqEsVgBSMs1UNAxHhI6CcxEv5kDBEao1bPgILJ4NJ8w Dc9HhZV7QDFmwQwk7CxN1QyL5FS57327reWQaiYwJPWhvq5OQ73OT4rSFuF9t8ICjtaZ6hR/GItG QudfRcYllfJuqLrgXW8JShThGQJWmAYvuelxMOwhtLeYBtdS8XgwUVfViPgCXHVoJ9o0RcF4ZQUK 9CLDF1QZJ2wkkzQwALDnRLe0MOn8pAgpJgWDqnIBRzvJK6zbz8Q1uUxAg5xSNHsT/Dq6WmI3Da72 ylEanAWpqz4oDT4/hTpMkP8pnxnG4r8k6cCTnGNcjawoFicvYDXnHZwYr3S340Aj2uInaG2bSFbI 5qzbygTtjs7mLJAGgWsUoFwJtpUsk0OgBsKriPMp2WO4Hko9zLBMTnNUgGB8UGSAk5R7sJ7sEY9H Z/k3gBwkoP1UC2YUjVOdd1JQyW1Lknu8dPHwzOPFAHUzLJIL6ns+6hm9otkWBCBzUkXzvJa0tKC5 cbYddnLCUnIvWXvjYJoI62mTnc42TcEAzXJAh37I65l4PIAX/II/UW9pt+qxwYzi8Uqy1Dk7zaF4 NcF/GRvU5rQNKN7bPIUih1pPLlWvs+3HBFcL6uAkc55ZGPSNAh6g8GSioBtCT28J07trhmxO1Z1k WM1BVRW4T8v3uvSKx4Ne5wUYEHwHPB6Wt81TWCFnPH5Cby+qoFti80S0oYnTNRcUnRFcxdpTban6 xNHPJ/TwBvu/CSYAjZUNrKfF+/0s/f1EmrT5nFE8rui91zl8UFwAcxoR889xg8ejIl362nUbehhM XTRLEVoFLSc28YZHUJsqYgT1lOECBmbpcuKCGZXHV1XWIpMSjXY6nT0H8eXKAgYR4mbxiZh9Ekkt nSwB7cmgnlFAngi0n3mavpGowVhMpVYhUwdfMyoV3BYeo0os8T7vKR5SOUtVpPnMU3b5AqsVDNYK lnHKZqh1KI3Dsxif0XbdJEFHKLF9Yie5HpezvM5+A9qJnvNPF2HrjYMO3uLpNAwW7arsoL0xzzof s/l4sJye9E6XJLLg5JWnGeFVrJTZplM5Z++3pjKudgHNjjRPYXG8qZpUxzM6frycMHwtVQtQ4yQ9 F6jDLHxYQ8P7Fsf5tfiiCBZN1t2k9dRgmCih2rkLHYF/oO+L56MVlb4CctS1O4Jcm6iQy0k130T/ IAlA1XRhh9lhh/D78AUVCqyolgkEwR0d/9TLSVoH7SNQkSObnL0rYAeJCFpPEwHRKL8nip5IK0P0 YoMhVs6JOJWL7I7HoyjzV43u7D7YgNg0rSgYT5IuWMVvu+xOXbUNB69Ah9UrmStCrAyh6BDF3NFB BrmdM5ySvgnaxDh+mQlDS2si8xB4fD3KBStErGwDr1YfDHNIvWnGB7V+1n+QV0GMiT3c61UYDQvj wkRT+uv/CF5p1Wp0re9teTDyD1tMK4zFl2lC13xHO8UhzdRYmONdB/VM1ugqWlNrLcCQHP1k4pkr LIuzHg6cKgYcVUOnOGpAS2TfWvB8pNbjISYeA4V1jiv+tEKNcZZVwLi7fZZ72c1qyuMNtkmGOVwx YkVFp6SQ4IxMs0iXWihqVSJYx/nUVJL6xpA2x/8/tM/aEzmtn4bvCUJMKtUutnA16Ixh599En/n4 vzIWmWBXtMz9aFpRJE6TFUhnPxXf3T1j6SbLCmxBsoxlhchxkytIZniaruHpOTX2CbrVPTg7EPAw OVEl1ltRtqJDusUEBNOtuDBOtMqQXQtofGpCnW09N6N/NFPx/L9Ah0V6rLA8LbchtUK9cURDNPaz UkGjICWqKm35bjx/qJbNiGuFZE754RXJ12q0mTqJytnPIEsAFz1OmEAa8cntkTFl6vOmJwfeBBes CK7SWaEDcgoDkNhNF/zG7u4malQnPiHYe5dYhucQE/D0tamKwnHp+OVifSj8M1vvbtS6qgNWFm0i MFWhtoqI9vCFvKMXoFKt5/wGQhOoX7TupP+0ChHROI+AvDfrQPZ38UURsocSWYlpCwflKjVDukdp C98zwKus70IdbhfQvH2eooB8bfU4jXUHj5TleodJChmLgeY0rNiKA3KWVRA/3tGWFC6ITqfOsy1A SGlC1VWqbTbW52q+ctFZV16orcKySs9iwGaeBrznwBul8vjJt/B4dOW1r8SlnaR6o2tv8xQF5MUc dHd2AJTwmipoNp+ngXWneQrx4ypo5m7Ka/2WCwqMOM/UdFpRtoXVRnrLGESVofJUsB0crYLsAF/0 FwqDQgIcquxsMt6c199AmW6FJfI7T4QHn8jiq/C7QgA5ddeg2P3j2k/JFVlz6t5wmeC/S308LJGb o10yy850LTsBJhwQCiGhE73IyfbdWXHYdxXF7kr/JzaDC2Ha+KJAulYgjCafsuaJMBZik63kOVLx eAAumN/xeIbAJM2IfKbCEjl++Unpb6Nzi+9qkv+uhczloJmKNcjVRKgmZ18fOfvzI873IIbFzgMU nsWqAi1ErT6on9UbRmmmopBcGqMVF4ENisPpG1cVKVQ8/j5T6wGR03lKanH3jNpRUE5tgpOcFkf+ EqArgRUoqXoctdzPZsecTlHIs9D2JT8q5CjxAkS/iMNAqqRmwqY3R86ct6eNt1gi31FY3ojDyIVm zGdoZpkBtlKVPt3k4xEVKP0KpEwV1ubpPSw3AtGEHIPNU6UHG0uaZRhpH9ZI04DkO1ZYkfR/MlWQ dMVGIaNdT9QBosTZaQB0VZf+J1VxYkHcUl1JNEjYIZK8qhus4kFfV8bg5NMyZIZa+36Py6H//I36 LaRMXVX7HVM6hb5YlgvDVMH0xOBiamcUWuem9rD/EZeDf3hHL0KdbQcEK87yhfhcEtwoJjTYOHQU oW5oQLQXvieq1TEgF/5pPfgnsI2aZiwNPB4xOtf3cgIdt1y3jR0yOvGz5+rNVciVUUozmiRf4VWK i7XvEK9izOAmbn5tDzcfrj9lNKo9nIC65EyhA8j4NfTwUL5EculHebNXjsRGKSQ25WTq8pNA9aeu yu/GcooQK+2KshIAixOabqE2UWFYngkyoHSSAXuWc8v6ukWowtISJyqmdPJU7lk1Fo4OWTm/DX+A LhED9M3Nb3KhqFkp23NrdaDk4Gui/IU3HryVUKvDKba0noCMJvLrXEd4PsJi7K/1hCLlauNuu1Du sGfFTf3W6oYLG/W2nflaMXmapigkN7dXKrj56NME6GQe1H2Z6BkMMV8HtLsXzjUQqlzAAEUlfE3k lsRIfMlvcl1Xzg5dL+6kmhYe/6f+E4sGKG3iqrZpChXIKf4/hrlGQAhmuX8LzFptmqCubtMUqh3a 6bRGuaOXfqEPAu86nE74X0fX6UT5PJge4HCHoCprUExcdlQiR4nqXBG4O21wdGbPkuw5AQcejwqa F7NCNjFYiuu57UKNFYrR9FnMPfisJlc2ghqrHU9jXQWoHYNWmAQP1upttIrmCZnGYAEFDbzRWpJk NMy9f1xYy21eC5En+J4g0KQgRtsKNLcdghWfO6RONxIfDxKX6yUh2WRYblyw7w4r5EkOgMOnaboq zT2cKtXpaE3S+UnRZaeqSmvrjracTmYwwN5kXyph8RbtOrCVBjIcgMMcsUKQ/Q4JncRmYlZ8MHow /Nq3fvnA4xER6NZ9MaXAz+55gWI7isYpzoCzado0XU/cSid3m6bJyJG7LgKsGOe3z9ru6JddPVEf rEV5OMFBhzuznvS7YTUxc3AIVElMg3csryJ0vQw4qxlwttZhCihK0Bx4PBLx+0ZhcImD/WHzpMD4 PcEbOpOaJ8J9usBK26tbIlx6N7gKZ+z9FbjfVKn0UTOVysREJXknwZdC+QrMNjO8k8AthMoUDynI sWx76Yj9KnOExfxlsm7MpTRONCswNpSUNz8iIm/4upIR7mSqd403Uih72LSwUjLcCoBwhlvJvVjh YAz4AsgBIMXeQJbnmcw2bZksKp+7w8WYtASY6VXReCobZIiyfgqBv65E7i8dITKAGluTxrCAXv9Y MA7WPJltfdNFKQrM98W0th/JxZ3pmhfVKmHL9xwGQUKlYagw9+onsG63+8Xciz/F6Qqx5M1Eo8U0 4+j4Ffgk9iS6C7RmJ5dXaVTnqMhDAGa5oshMi/FNsRcASlE0w+tYtWKeb0S3PMCgBMAPCAKq/E30 hBwblQ19ssLKOU6ME3qZWTD+2ZfXg1M2Vh4tLnyyYpNOKUg3taI4WrVlAF9eRjUfs7OyMicrQb/5 LGGE06M8GZ98E1IUo/etg70lH1RuAWjJDOLH4PP/BT62Qe8rV/8hpyhIT6R6TqDtvICHO1fn1rKG w1lYy5EH+KgIQCa+WevPaAvr/IIKbu+mMd4sJjlaqMWSsRp+aEdp6Uwe/tIRT0GJjNHSp/t11rok FHDO+szno4TmG8qSSdHNuz0rKyyfN1krN7MQHry1jEtVrELccM0XP+VjbXIFSUV1z+qdXMDLUX5P BGpArk4lHrQapDsNUmyBv5IEM0pK2176L1wi/oY+aGUNyCIqchh6PnASTt+8MwgGn1V4BVtzCiVY UMmdUAr/MeJZoeEr7XQA1hDxDPeyT1YUsk+5KmVjx+aHHQs96QSkvNlyAG7PI75XGrwUZHFIS9zX LDd76T9l7CpVx5pfJcTXFktu4N+dojL6w01XC+vMPT04fbJiIRZlyNgPJtyap+tpEVtAgTa4z/k+ jOJ2tdgh4DjvaPHDhO8YWsWMH04+MNjFyRuZIXl7OODdbqJApUEvHdHTqai1CW5J289pmNGXbNC7 xueD4OGG7uzNVFqtj+uKk6LgfVVFWNVtu044eysLZBJI82Ds7v6LkR5LEUqx9Nzv6PiWCidM67Sf GHASjAP5P6HviLDCFjSYovyoUihWzkML3TAfVKGiv63q6bXw+WAbXpthRvAijI/27MM/NRInTLe9 9zCu/n1WGEHfieFyIww835dWipxMAKAC/YiEvbPf8HWS3USDQmapUNt1vh6uNH5RULHi/kPf3gdN 1glFhNSqI08+H5GHfoGEUf9KF8CRQ2NPprzwz/VaTG7VlVxl9Cpjz+6ywDlw9sQdJcrHEru4r+S7 8NwOAM0IrggmWlYhEHlQheLt8k6ygtLW7Z0jnUSRZSnOwkGwzgbTK/E6x+TzwYn1C+syaTH47MHQ 25Neq+e8GhdilovXGSq1QpVAZ3czyYG5J2IQ0WQdqL8upf8c4rWlsplBAwtRrO18NlCBh1c9R8y8 +n+ELObY25OaB9SMtUFYvAkOjlEWK58Povf8vajgBw2jzjtTYeyOaAM4apf7gXDYj8SjpFlFIZvO /IX3YGjvadzOPoRP6OPiE9C/gPELK8ZjwoNCuCCK6Q4XBLxTVZq9c8S3kuqmjDoGaUG6itIkmA4l ID4fLKr0PVUAzo5d+52qqLaOgBNAl+6aPyfHuspIe1+R9379GEOLz6GyzO6CUHHUVAFGDYY0fQbb iYKy0bIK+iZdZNDHSWg0e+V4+51ZR/BvAxfV+cm9T+1EpISBxWf6H/lNeLHOdPvuObT4XEtdZLMN wiUyvByK7WEzJRNzzVRICJXrS8/1GW1RnZc7uwp4CdT5gHZIqq5X2q5gakF/sAoWSZf8ogihoEXF rlYnxk0lLNg7Uaj0JKN8Pmgp/3JHR5O2z8dcNzD5RKTdfu+/LRof9h+kt3z/tXT3Xxi0m4y5cRrG w2k4N0KB/2XWoY4agco4A5bOUxfLfmTM+7R3jvYfKdg4GW2wal/CKmWQtQqfj1pb3yrBQGm3h3OV Q5tPQNuQAo55s8FtVZlzuiSvYo3azConhz6fS9UrqlP66CF7BQeE1hMQu92A1DBkZ36QEEgxmfaC n6pYodOnQvYOwK0NygaBppC1dZt60+hY/yY9ih5xdVpy4PWJ9IaRpwwZWZLpV/tn9upYdGIQ5c0Y mH1mN/vM1pHIT0ciQwWuptGpZo4EhyW2c5JvEv94VSCvNrd0UP30TX8JISwhFtZ1Rd0wYDKOWqp8 PlhXD5sP//Gm+9njAx4G7IWVRIpaigXC+NfUOJOzQPp29noODD+vRH6qZt1cr0ccbKhPUoO+2wlB z4ScMFNVBqzn838AVWpRBlc4od7spSMvASmar+qDUT3nGKrIsMoQeH5+S78LBXk+pq4nBg0h6WT0 7byr7UFASmxdyfCXFZlZbyYYm35a2qyKskbvw58YYWWcZyj1kXdM0H4HKwGSwWfRQqD7crCmvXTU 6+Jcjb58MG5RWsIug2HB54PkpnxLBNI+hAAvn63Q+pPWZfCCceznbGX5iZWM1H72P0Q6VWQIvT+X DvdShBvi6Cur7nECCJ5YCUYCbImXsll7Jhg+Q3D3NryavXTUy+FsaTO2XMxXb4DrwBIpStafHLh/ fgsqyshYInF3rmKrIQncpGba5pM+6KoxFOwnpc3VBfBy6P45phVk0rqjo/VOaH4OdMqVQWAsZznG LeAXz/+Cwr/cP4ec9Zq9dHQTyh9GTfmdHf6J/6nakH3y+f9C1MZpsR8toBy7f2IxoFziym7nFtrP 6b7tJmSzQSdWYP8paTrMUTJngfQ4C+D3NEqYUeVmJdYLwXdBvFDp3IfLweuitNLJgf+nV/rmkDYu aro60yENJPp/32vzAyIQ6BV3UzRawMR8YqzAA9Qswk7gXp2GXJLjZdH6lEYXEufuh1ZgAnqdiono 9NHLyNCPhj8FYHsFtyIvzoIL8twvoMZUwtV1GSYy/XLsApoRj9ZWhoaueHRP+LEzHj0XCJ+P6A/7 a2lhG2L/3rkKvYeQ5519kqsDiMpwldza1gMgwknM0D0wAgUoQs3Uod4zR0f2p3PmgaRPNWGcTkXx aOJFRNT2qHdlTX/naKpMTpjsLJQuugtwpEpJSujR8AMibP89sqpFDrAfuZMVVdypsIsbd1x0zL5M NpmBVi8i22SF8BgD9Vftx+KWXHSez+f0T1mUv/Oua6rUBzYYEEE/uVMxoXxVZEI30CwFgCJYWrmw tA4QBZdKr43PB+vq+hVLOPCcVuvq5ebQDxTgQ6q7bV9XyQxQQKLb3YtXBOBoqmKRRdPUKOuOlucQ j31OkUoyW83NXNWBEf4ZnVDocvWm1rRXjqAfAyw2pAI26JCutYt/BBATn/9XRYYH08AOnPPOVFRs J951DlRwLXkGbETJc2Y7SRgZuCTZTIWw9WWOTYI6SmDgx9jblbyIyh24TpAlO1r2bnKibjGU4qyN k22uQpgMVbkIiaHBzv6RjDfa7Ut+KElv+i9ANitVUJ6daT3LKord2c48CzA78Golq/lBFq+6VRrs hCx9DnxBHzMiq41ytErDOZ0SuD5UgwUsoHC3nq8ALAhlZZD4LL6ilRe/J7gHtwTMpPpWTGL9LKo1 G9NDULf4/D81S5Kh13ERQprdZ+s9egc6dJPNeZXid3MJdPBRtwNmNjUYuz4qWlrKcKYEbjhaVgiT YSiZyECGclei3pLemeB7CetZ1ihJRir20hHUnwHDJAILloG2tM4qM923M3d8Pioh/3K+RO0hffVx QotQoD9o0nCdnve2EwtLy7H+ja5x3Id/eIRK1Wz1ekcvYgHAMOk1AKfsBYswliQQM3d09Fu6GgrU L+T3/CW5CJ8dH3S2w4dDXRxW2wOTUJQLfRc2bkJB3+5MRaH7podxT+2i+kg81Imlcs2XN2/XR71v wiWYDMgUd7SZqqCodIDUwOg+1/Mi/gNGxGfypI2THzStyn2BT6hQu8TR3kEc3FqFY0Gktfl8BKf9 bkwg812gGvtU/S2Ivml05kovTulezOKlzMHEn5F76BRqvAjU0+9oO7CjYEI/uJPgNCRx7OMzyUbk Dr/LuS6QvavfFZqFTmqh1y2EthgLVo2BAgHjq575Af8yeGQCzbYmeeY+XSFYBqfLxGVnpZk1i03X +JIKGKg32XTFlqHaV5OdUY03gYZODgKngT46fTI5XWigZjB7TlIIu0HTpFKXPjANhfoELYoaKzTn hu0/MiY6ydX50SRxLdRmAtdQa/s+UG2ABSk8bJMVhu6UPoVbqZOT6nKlM7RgjHKzgFG0yYqV0SWm a8LfHC+Pq0ApHph2tJlP1tRUH9W9CfBDBfbYldHLsJf+S26frotEY0Hng7CPE3FMqT9CC4SfENyH 89ubDwIqq3/txCh259U29rRSH2w5mpNNk5DtIJs2UEeVFIb+oT5b1U0sntrMBt9j1K6k8MTbkgEt 5/jAjqSk3CIHwCR1/aX/vAsbVxgHwxYh2OWe7KiQBhaiQMd/V95R/B9jPysrCt6lobfUpCenspmk F80J2q0m1+ErKwLLUKgHO0udL47epD+J8iJJBbrf0KbkVj0nDKr0GQTp3B7MGv+0/KboMpRh9pDJ DE4t1hsymDBEZUEImh8QmWB9l5MpCQm2kk9WWHoHhHHRAdXRkFb/Qyuhbyv6jac4ExuJ6oiHadcd bWHhjw9G0pCdYaNcJkFrhYr7cNSDB7Rvw+0vHWxDVRu69Pd79TYFzMemysmr8/loE/4qvcOxBlA9 n6sQ6C6pT5bZDIXFeruAySizE4WVNz1XuQnD8F2bMG2F72nf8P3sOYQO4LyBFjCsgAXrR0RsQF// YENeFJZK76GZaBciuRIrU12hFnFgEgoLkEE+/18IcFma0aj9+WyFxXeW/dpqDkvuOusxW4ldd8zW OCmXwyFDQ1GF7xBPXnfkbM1zDCY49IArkdl7aWrmTIbOg4kJrkA7srIAfoGjqBAc8HIgdLTAEkuF UYjpbDoTorHCDwiCh+nFGZMphIv3DUpjU1F262e65IAhGBa79aN6YzUjZVX4HriKIgphULpNyYOj detP9LaAqQU74MTx3btkcCvA+XKWCKCLZh5W/JWDqeLCaqj1cWiKLeH5nXiPCdcQuIpK4uIr0znz xn6Dz1RYeS+G7rPwHaVMKzjAOXB4aYbqXZqpCO2+TEp2tWf0FnQCMKIN0U2gVK+SH1W4z9WPJhh6 q0oKh2KswFZUESWFBNi0R4EwC/ZydkQfygrRrg+NRVP/5T2Dj8tPlyJ0Fq1J8fv2VQUYgq2qWW2t UYbfU53AWvQ6O1STaqyPVOMJRUuDQj37qichAXoNc8X+Ks4z/OiLVkvFXvkvm54uSYFegEgSYjtD 01L3YFn8gH9ZYHQ728FEu1MVxe64Y8GJa47BGnU5ial5fbnNBDVTW1Zh7E6wKL3Z7uhZIUWsEReh 4HoS6KzSzAKzcgJW8JRmMgIevXLU+qJu1Sp3UP48TwrAet0JTiqf/y82hyAKoXl5ZyqUTwe0bCbC c8x5vLgMIdS9FLgD0m/STPkPf1GFokkAI44eXkFdCsVWJoUZdqVMCk8sj/oVgDGUk7X82TZgGLdb cSDfwUoNJ+BY8qZrlc//ywaD/Wd0cfqDawgNRml6NqGAZ8EVm52K2kdqV2l+ZI/aA4dR1/Somw42 Njqu4ewpiFSAPUGuBmtBpZD5cNJczAqQWD5Xc9tLR6wvBleJ5K+C/FlajRCdocokuZP8gPeDfe1v GNYgCvLrYA/Ddh5WlvoJlLyvp5jqWqRPPC3VwGf0SXHg3OGj05jQBduV7eeSIFRQ5a5SpSt7YkZQ z2+zXiCQwGkUJ/sSVFTA0XN6UAElES7G9nNGrSG0Gi2/jWhAIT8hSn+O9qjuTsrRXCvfuH1cW/tz FtsuhLisJzmB3SiOPnUmaBtvo3OdIbeXsnQu4bMtqRT4ioG3NYgyfzx7aH2YA79RWSxCkJBNdg4G 6gOhkOiiDkJAYDj6q0VBVScKc6Z++4Sf1+iqu9Zl7cm1wqtkL7EPF3VFhMXiq2OyXmOrfvWvWxW+ gaOXRoGfSYBMwbZnN2pS4hZsRCgA0zjXdfWjbfRrZNWFDELved1BiLV9UvAlj8iFp19m6bzjtiW1 reIOXGMmdFyaY6+B1flWZoLTmKhLHJNu8XofhgSB/pC7Ib+GVR2nupT2qurHHD23AWEN8H+ZZZ0/ HRl0NcMOfqPlnXkByhWDpc3Pa1DVIafJDv1iLshBswQtHaa7AF98XiOqDkuyZLPE8wg+k5XcVHzl azzVM6XGLqaWXfqSr/I1lRSk3QiJXE7RazTVJcSF0KDUZ7R1dPYCYtc8CdM+O3kq4kpYp33jzodr jAJPuUO+xlIdhwtCL4BJfVDVGEKrVXjR3T6vgVR/wOzmXNPBRmjZZug1jOrmWG5GQCZUkbxi3GVD zoY8sgbO0GsQ1TOZ7CypyzqEo83Q+dVzgy7GQwkuG9IjHNgpE9cblBBshoD7wLe8z1CmztCEaxBQ HiA4SSkVkjqMoZALfF4jqK5y4DNFiDVhkWhT9Bo/nYcW6bpFLqMqKDhmgVCMH9fyWAY3fo2esM+2 aghF9DeOt6kFo3gIgo4Mze6yVfZM9BuH+R2UAMpln1J94TV46lekokqaqRrQEfwek9NhD/41dOpm P8wpKRJRAwqAko2YpNfIqTtWYd0G/JwSTOU64usKX8X6CU0Og0mampwswxSNXhvGYUbzv/P374Bt cVcCko30DqlSK81DgZLkxBpM0qLWddlLXpAokkqXYk1hK6Cgkj+vMVOX8vpzHMFtBLqsNkmvEVO3 2t3ZYDXdnGX4JNXi3qLQPnRTlWiSLAFO5meU5hMBoA/WsbROcrcgeMnuYD2ZAi4YlJ4zilm+3Zje vUZL2G5E9uNzOCD9pirM2c1tKw/utX9eg6X+BEs66VHzQf3HJuk1UMKZ3WR+sZKf2aRtcpKSpJzp PFO6BUqvYRLObKuXr/mMXjeHZ30v5AKeP3jDZvy5hioVmqeN1UhDrFOx6jVK6snIba1sAzaijLUV VJ6PJXoTYhuf1yiJ75m/DiXkDQuagiY4GswSQUyTmnm239itlobHzOvut2IwjtfCZjcSNAqard7R Ujo0EPagIgyUBusSMuj8JCw7yIv+UE/VMMVsO39ey5qYJfYWKBXAoQlx3mFtQ84TBAI/r0VNHp1+ +zNsYFMmIVPRLL2WNLF+O9sJytwaVQSStY6hy3oRCVyolPCIju5u3VA7wse+VMlCjhbKiAMizgPu xDy6wcs9R/c5cRvFKQyYR1ni13Jml9g0+CH0X6Xjj5mqnWtty3tg5c9rLfP/u97O3wzhvlQDRJMO pmkQizDrdpjLlPEFaykPcAPJnrMko3kyfaGhRjtHn6cG5nNeRKgvKFeqpdBYAG4NzXUAVl0SZmW9 crCchkIlkrS2NIVwR+Io58FElbh34l83vLMuuR92qSCy7XvunffXzXIeBq1Wc0Lg6BTJTutUYad2 T048iuZJZxJNP3z06lyBgBuUTKAX0NMQ872sVNXT21BGSN4uJvXp8877ww4gwBMuNj6om1CIOdKU rc877Q/HTMpfJ/gCfLInv+beWX/dWsWL4Yy23V7b7zkyqgyGwPtbGLNgnrZq481OKY62nuY+QfiA 4zMoytB6lHxzQ/K+aJJJK2zrugzBh4Np6owGas3TB5smMHSM2M3Hg5ip76/lhL74Gs2Tk3fGH89w yH2mPCwcOH/hNn2a1mw2TZscUUGAgkO8m27m1jTlfacJiOET0SGABOHo5GDb4VJI3jLWMox7/KqD fg1f+X3bdV5ya6Thg8E7z88gEO/EGei2RAeUKQwJv9dZaUoeWr7z/brJLeMUd9BwojesUC15OLiT FpdW6o3macpLVMazGq3VAkQTkGloIAw0dNu2Wif9HwFbzDzF1WuZVW8cnU5Mdaca6Qhpq+i2HVcf i+InFPu8s/26eZ7ebQdXYTiT34JAFIRTV+N823AKdyOYRPKMa1+kFBlGnKj3KBzqMwLBJllgcLRO S4I8QNuNeOEO7UqVBDqMUBZuZmBkL9k22xsH913CvtuJwE4OhlZMqxO/f+7T8nmn+iHrsB66zxMO O1CdbJ7COBwpjssIMULYtVvlci33V4MghcOkokC8m3W9DPk02jleJmB8Js7RTwiA8jfOJ1R7NwHu AJKY23GWgkIUiDcCYM9fNvugfXeiWBVo0cX+vNP8uukePaUB3J3jFk/eWX5IkTKhBjxMxMYqLrx0 grWRrMA72y0wRaF4N5VPeYJptGMcSGRUAtQ3oHbCUL5y/iQbqgA8k1wQYHa98fs00VF2706EImSX foytnnYy6nbn41GU+R0VwApxIwyxaXoPxe2OAqLabVenBJwxTTVfMmTuV84risXNcGbzOrHRdl3r +cRSZ6YIqJ5iWyMSRSQzmDS3x29Gbn3v7L7u4lSQWvLB60yQ2VVRLn/eyX34wckLTZQQwluOWu48 RcE4wQAgHRebp8EqmpZTMY8eqEN070OF0bj09BBT3tGOcRS6T6YnLDU83msTMA+Y0UnHWNAlDKM/ JUwVReOTKoUF0jA2cJ4Q8fetRBhQjCgcdy7DloolCGedbXPVLKNonHUk4E/detX+KUvR6xGSkWeo aBlG49NFdp/Ro8xzGsFwoaj6DfVSSiZQpvz8SUmxT/sWnNBi+LyT+rgF5KvGHI9DsgR4ZDJNztrM n3dOX5f+lyZKXEAEJnDutomKwnGCV89uu9VdWldqogBy9YmSyFLiJwVxgWBjOSt94figNyeksoHu gbbHbPxvCu1A0eZE7ktKn8noDb1y1ClYNF1F/ISSJQr0rFrSsYW/9Ez7bJ93Th9ugtq+zijYXgC1 7lMVFcIBwEKv4CrLLxJO1H1SB49ocyioaqreI3IUF8UgsvCIo03VOCfUBuCJ5quAh6oFXOEkl0+I jWM8jdvX1Jp6D8lRCCSiQIlehwZ3kWdYO3EjS73gYn3e+Xyc6vF154HFA4t4n6gwJp+U2q3bPSBH Wc65Kt2FwE/i1yw0eOfyMbRRN6W7J3295aeF8lkH0R4mIU3uVXANo+ReQ05zku1HhoPaLu9UPmyD BDwBkNg+GKwgDZGeoRL3eWfyddMXu/MEotiWiCXnKYrJV5EO8fa+waCzjuGfhhP5JEyJUPOdx4e2 hVp0RTKDGj02aPv8CsFbz7k++haeLDE2mMwyp/O0zRbrncbXZR5Gl3ENfticAzUrnjqrt33eSXy4 m9f8nzNql+q1zHcKX5dKOyy7HzO63Tx1gXa3I8wJgeU0RXXxbrJTQvZqdNYx2NMLwcPIDRDqwTZ6 JvoYNjfrHMVQMfWzfOmVgxiKksTw2vJBtD0qPnIH7rk+7wQ+X/ZPineO6AnzXJun94gcDd8hdpUv J0iZGhKfBnQ2T8mLvu/0vecoh2fKHW05nRNpnkSmTsrlnfWTpSex5Y+F0mABq8XNMum9+s7f61Ic h78amR4cVIFiTNa0Rvbnnb7HE7l8n+NQwETj0OYpCskFpltUiVJlpRevQE1lxe2X58w7ea9fja5R BHriaLEmjMzOZBUx/if8Z2RRC0EidN3Qyb9UGLpsft6pe/2x5pHzKvVVifOCxZ3kVzF83ql73QSy bqMFau19P33fsDy+ZV9/y+N9eSbc2f6wSh3Rn9x37zE56tbM8Ma0THg+mfBmSZMKQXADOVmvvDHo Wkql4iJAeRE6rOmNg1iT66gPS/QM4w+EwhpaTmnw8aiy4rEmgwggJ74qmu+UPcwT8lZ0ibwjtckv 1HVHF2ysp5VIMuc8vcfk2fxBcKjlO3ppJSV81maH/GRiuWrtwd7wZ8ADClSPi+DxN/5r2/W6hw9C EQyozLPtcibs807XI9jCK3VYOmgrzJ19272T9RB0bSnFrua4sGWGa+cnJGNbNRj4WeryztV7MuFl ENb1QFjH+atjdjYtjGoWeZNWKxBEIkYTjSUvrCCW/7xz9dh6Y6N80WSNg1K8EwPRNhZWmeXzTtXr Ril8elJwNyy3oPnO1OsuIXjOsnajzJZsNY15OY3sr2qaYkgKTiVcOO2Omia0KeCxRtcL8OBrV0SK 6f8xcd1BCRTBCYreOJomWfmSTDVVwmTB4EQhIgqdGObzTtPrpqT/gFJQEyv3EH9n6XkIPwEo9HbL KLfdkh79MkgRctO9k/QIb+IlJ9twG/1wGgPdcvlnnxy/mL9fQu1lgIIB3Qo/w9vQCwfpHXCuJ7Sc xQdV6aDKRKV0RF+fd34ej3Cv+vKxBJPKcYEpUSQOkzB6zbjKm+kFkntNWgRnqck2rPOTItwFQ4Jd peDJ0fbchENtAlT1HE3wwpKQ+jlWoNcGPA71eKyJMKlB8s7MuxnLkNHTgJZl7RaJl0JQGCykPu/E PNZV6leIiTcZrXlq987LY+5tcIvt+Prl5iBtz+a6gee4tabUOy0Pf6emTQdOoY+26aBQvRqCQcBg gXkS93+1zBNpoujrbCDAMfjCUXGcBMZFcD0HHU1AKQqDcWLdzzshj4H4d5Oz09ZleF73zsdjk538 n7Zc9mCl7LIHgxUwW00u2flOx7MUHhAwgxdwdEhhAjUdBB+ixc9BSBoabK4XxE03suBHB2/YGwct KWpvQQPNB07T3mhNCF/Q+ZrRYhpfmw5HyegXVfBOxevOiJ2SNuC6oiUzT/A2b50ALSY7wcPKuHQl T6DY7+i1J1wR4LbwooNv7xKosEkPHHf/+TpfTrT4+LwT8ezSoWL48EHzdIIxvjt0j/rnnYbHeKJ+ LydA71b3Gt07C49lAnValpcJuooojC8B4TBgYXJRt3cS3r3p2mg2T5Tw+plEFp5E/PzpxYWFCreZ 1hf6aSKFgdf3fIqZSa8cQedIKBMjtkJIiJnRmag9MnstoHZ/3jl4/ZF0s1bw2YW7T6/RvVPwcNeR NXwFOs99THSpWMPVE2C4VPuCCovjppRruj8cL0tjnMk6c4eJqugcmVEfDesr0KUVC8PBvAiRP+8E PJ5PTOyQJ0JTcaO5oolC4YaVgpn5fJQBf+N50NmY+/bu3tl3HjvBLcBZGlDI0kSdnL6azfgEFNMm KqqOz6xmyzBY77giB5waBFD0BDnJ9NrmCbIazyZgC9BndDMsqhy8c++I+RNdWMpbzYrwFdbfdE+A 9s76vDPv+J79e+cBFY6irYEx41CcHk/esIMACAtPmwW61gz1nCpjTKAx/xGKty4dN45eeJon/j4T RIYGoCmDOITSocUEFPTm8r0XHj0b3ol3hC7zwhOZZeCWl+T5iZ3UuTjR2Py88+5+Ne/Y5AS6c6/b lnrn3RGTQDtowESkeHdCnSXFu3MCk86JnVdw2mmiomCc7FuI45ZndLThgtGnbHxP7gJ/NYkDNqpd gfMGaVMvPdFJ7vNOu7sBVIbNEodk6KcESRNyOc/Hft5Jd78iA5ZUzpJe1NrSPIXR+JR/aJPlAIOE 7sqAODWywE86yjFPUWm8VUNh7Gd0FgvYUTBF5Tx1YH25oGApC4tMlFNwC97kruuVg6O80kF7EyxG GXshdTsE6/iNPdfPO+OO8fj3AbXES/Tk7p1w182/ByCL+eMyd1Q9og6TNMqWZO6MTP3Ot3s23ps8 fEMWliZbCBlUehKsYLlKd0ygL8BNfyrjQ68chAa88lDe8EFtqXkSWl7tQG1/3vl2rIzfEh3rVOdw opSvTVRYGgc9B+gkh0LvB9azanHZNohRGl48BIyr5rtY3LHREhc4q508F64yZ3egSzHlGwauA/h1 cP7IngUvf+MgC2bbfIh+MK5oG1ew+au19nkn27HPOb/WEzcK38DmKaqNT9bo6rasDmoZ5Qrj5hsa wE1UN947165LoQ/zk9UTX/nCnzp8BVoBNGUQUlJR1EJIDqTGIrq+oNdmNTpgIT7vTLvLz1jI+m3w zKVQ9Aq4rfF559kxU/N5EoOYefhdTiFYhWYDNGy2Luccd5oEjWZgMEizS/ykKCRXaS4xD9boeTB0 onYZEjvvo5ndaIIgjpxZ2nPf3TeOQGIKCGryQSU6BBgqZYK8+U6x64/5o5YTU6dxE7x3fh0hU1Qc S0+HczSv+K7buJv9maa/I/KObu8dfZpO0tjR5cQ0nQuqGwqjLmzETqkFdjkFEiM0851dxwyNYBWZ 9nGwSiZ48VZ7mp93cl2X0uJTLcC5Dz6cT1MYjy81gi/UF24AXlVpNM5kHky6FaoqLQSOC3MINa47 2qY7Od2ZtUrCz4JmMCk0IMFOlugapql48Qn3PL8noI2RsQn/eB8Ewmhg5JgdysbjQZR525vMBWFS jR69TVMYjaODdgJZsntUonOLgWYWMizREQrDMzyqiyc5sE9rB8+UvL0J5T+EgGmwRAd5yaaCL/mb yMkgG/QgM+2NAz4LvRgytPVsUI0OvI0txnQZeDyAFRSfJtZjwNgB2MFZP1E0TotQIPivM23a7pHZ aIKmeSqOOOzv0bgVCc+h1Ee6oxWfzu1zgmbo5aB/MFbLUhOG8eDJr+DkWa/J1SCntf9N1YRfgQ+c pny2QZO5wPkuPB4wWvav3A4TVOrlRkWhOH0uznRew4oluBPVSYHPNxnJ4U6iPQKqkON3ziSJ3mrU LJ0YE8UUIOBQDzsHipU7G1hHncx2qBR5sQB1EnxPkLKohtkJG+egaWpzD8Ewap14PIgwy/6ephMQ dXakNE1hJF6o0d3W9iO8zts+6FfSAY7UOsJ7VBc3ofxuopscLWPZ65zLKPAyBW4gARPPsxYuhAYZ H7i231qBSGRRJD5J1ax0kgaZDOnvD1lkK4vxf67ogucDzub8zoGxMH7mvjlLD1mbMoSmPDT7Ucgp DEo3Z08GpUNpW7F4j2LxKbXbZkLdHG2mYGu0KcUF5n2GgKDc5ZiCN7R22hfmkB1wfFEQO9EKmsoJ Z2gIL6ogdCczkuT0HJsfENFbv6NMiBNDVNhnKiJvsmo0W6keFtDNUWUVnFsWZD4ejz0MxoUNm1nZ MEc/yE8SDeAhey3QIaHSQUHhafCqAyVs9quWxQuvR/XxJU+PyvCpY96q9BzgcdsFfNoTzwc33lPR 5H88VRj1mQrDcYplnZPc0b4nJjTFur6XKYZgTW1zE+ghhVNraZrNI0evkJ/Q5nxwpxd7R49ZoOgO LgBg0lQX2/eUqlmvHNQLKDrTChsKDaWHLogYXKbYCoNMJZ7/L7sPjSmIOvtEhTXyuSUwjU4BilGZ gd//ZUKfKFzOZnBZWwWDHgbkcg7f04y9vnUQ0WYhdAciBxSxFyGY2sAo44FN8Oip4El8UXCcM9Ts TSI9lekS11QGwrqqXFILPiAC0303XWCVQxl1m6n3mNxTl0mcpvnWT00aNOAqlbGYg0NuiAd6FJPv 7WC6fEcnuAxoo0E6AaWV81GKtMqkC09FXFFmcs+FQvIWvii6+FgrSL34kBSJn/uHWIO2UsPj/yoZ iGcNF4J8j/MIQU7sxFnr1EwBumaV5OYUhRb0/0f+96JCT+cnRStKqKferabJ7V7Fl4Jm72AJKsMf Uso0ZWQc64Tkg6/6QMjPdOGLIjSdZKaFfiqOfkJJWRytk8Tw8X+tJ5pBg9ozx4Wr9DAsZ2kFWtk/ JuhHAxh50BJoSUG/xIo/F1RUJL+yWIKLcbTibz1B7Z6L+glQks6ipAM/DS18+MJViANbTXOQ9toj vEo3TLTc5NpwmfcJcTj+cWaZeDxYUPV3bQXy+rX6ihpRXD5NuPy2XRYVpoT3Tcu7CWNuC6VGVCWf WlGEkPh4OQnocBWq022E6GwxlxM5wfaSKAeQBY3hQnQavudPZYfFmiYHoVgJMLO+QsbjQWDevyNO 2JmRs2XTFAXmvUmE+/GxkgP0Yho+nLrREU9qmuIauWnhq53H0btT57BeCQAA+MFQ6p13HmgKkFfH qlqIEE1wDX8ofFFU+82ilmk41xUvjXp2heEOR8NExZCVX8xXXAyz3fUU48fbExEwNaZOB8OosY0s DMptM5mQERXJ6doFRA/vM8hvMEghsgeyAr1TumAMmKMJ2dPxqQPlHJAC3F4BhGt8TYBibeq5bIE0 rUSOK7NRtvgkJL3j8f/Sc4GEAoUEbZaisJyAHSQw15s3m5IYdl12UHQHVYh53ojCcjueThZhMDFy twfVTco4N/ag2SVlXqtJk6IlO+TgeGsr4JoNfM1fLGqIJ/qgTQeZO+NtjI3H/8ttR/JVuhiDEYXk FPmaPCSc35K381vKviJr2/ktIwrJp0EwkjYfRzubcssnCYXgMWor0F+QcN+g7keGcEyiSYCgvmTf jag+rrNJrI29KW6qai8KpPznuSkynv8v9Dv4Fcx9MQYjCshZNThHdHdZZGj4+Tw5AxYx4lULiQLy JT5Zd6OAx6jjJBUnyhlgyMBNAUhBSvtBxB1+vASfXIOATe2CESLHt1QLyvaBmwdcvjHkHz4mHg/a d/uba45kc4HnYbMUIsfFlurL++YjmSAdYqfkXQT8+WyWIuR4UYxJ7ygfvYsAvypaFMPXC1bZqq2k 8zFn3Vceohc3jhYaviY6wAEOLpt4FSzRH3MTakPZ3blXOx4PIqf5fdGhHgUEqs9SVB1vxlHcHg90 yZFzllq7MAyWPzhLUSQ+pcHK4EUjIziWfbFCJnAZqAKe10oCsC5UUyeq5pnqsjZN2Eb4niBuEvxp ywV7LdPXpgRrYep9vqDj+ehsurkdj3uc3ljbNlFhKL5oDqfbbTxYKG46x/+elAU1LdYLRhyKSwKj zX5HJ0oVykXsRkcATBy9hkonJx9gqbNr5iOFwQhzhKE4ofXwUIOyyh6uI9fgZiFHvBPMLnxAUDCo v1SxTti01/J6wYhL5Lzscnf5xzWbyz8OKUFS/hHxsWYqLJFLBCNvQew52kw1KEMD80v1AljEyR1x sod1/suzyzbdqeSdoHM85HMS2VP7lBo5isTss6xhyMBzf+aC56Negu89/bfQ6WsXFj3DIjk5ZX1Y 2w6CdAwLACKp03gJDXbPQ+ndjOUMhbqchvudt645KtRYS6ZZKuYt0c/upNaoTy8avFF/1Tp4JAjP ELFCjei9mQzv20zYaH9TrKfVUvF4ME/tu66CPJNqEzZNUTBeqV7Q6nIfPYqFcZoagUoEGJx7HDwd TlNYJTcz9aLp4mgneapAY+TNnIUVEVl44XAEcLyzPD9uFkzHhBnTOWWft6sPyoLPad7lynGOVzz+ zzOKcXtDp9PjghnF4ihKQDbWLDdAj+/3xmupWvy0uL4pkBVzOcWVGoKGc7Qk+MRPC+eHATHOMiWn rIhCTYHlAiiaA3uyvXK08STP3osPigzOCq4GIF8Vj0fQQ58nkjbArhlXkW5G0Tjp17Au8NIvwmHj lJ3HXSJrjGnQwxkWyYdhWNe4o7cTSgGml3HmScpq2jKCIU6G5gbwT3isZJfeOMKryGKwm6eEHcgg iCZ2XSANiMf/xW0xHEZGFu6xwYzicdNYKNVYGycHu/G4zG85Tyt753yGVE5zUTcXDo4OFDtxE0TX SG6BYN1SnFkTSjoJFZ0Cjpj3hOnaNUMuZ2J7atfsgyoEuFvJ4UCxHI8Hzc52K+SCHqLrcrnBM6yQ Mzbow4T+s+42s97gGyvURFtQExUBVkzveJh35XjaeKjwn+OzK4hqZ+ctEfMH9xB0lHDJeQxV7YWD Y5wqxyQD2qC4APJegpCf1Y/HoyLdd+2Jirij31mK8CqUajt3dvUIChU1x6sk61g1xKJNccGMyuPG bjl35DNegCaEzRqP8TUBtSA2EZ4kIJzS54caWULak0A9o4g8sTa3BrVoFu7NqhJdlaItREL5mlF2 V7/yFjJuoDNk0xQyOTOxPGtNnyayjwzWMz27a3356RTqHBr/SfpXGm3X9T2BX60ERp/Ueom2Aafw s46AsYLl/NNEsDeOOnh0nCot+SCuVEWQKaD95uPBNF1CQhWdEVnw7SHMCLBCJMa5kfv1yZtX/Im5 hjMSms9TFJDTGAVimXnf0Vud+Aa0IbXpxjnqlmCsbI8WCDXVp9VJazB8UYSLltBxEfcOX2sitUPS jD/nfq14Ppip+k2hrueMgnWxT1RI5URkfLLI7rDDc507JWFen42TrCaTeJihvIrKKdvMF/djvnhi 1BOHF4TdKD6dyLAXRZk0BaSj0np6CORf4HtiLAbYoLX6IMjKGoV/brSnOh6PosxvuG9DCx78SE3T ioJxWhfMmU1x/WwILns1hOtyOF26DJcVIVbEsj/zLZQmR1tPSOYLcPWGOmxLDhuTzqPg5Qo9vh7d ghUWxrPQqxBw1yDQYYUrN++6ViseD5icv3qc4C+sXq+6aFgYrxL0T0Z4PTO7ltd72/bTCS4WNkth LK4aHQngPnqj5Vz78E3SVQeFaAKCTxQ7STABDMnhT6abuWKdQxadutxIULpRxWkBJkGMfD8pBJ6P 0HT3rmP1CYzXcTfdCgXG2bkzIovZIbgp3uwzOTgTSumapxCyIngBTDpt5ClJvlQFmwFbGLWtk/Xm bXC6NamsCeWz/oROJ0vC90RFOiqubaqwcxCyB+YNxnhdfPy/lHxBshj1wulWyOVkYXys1b1IN/aV NErL6VJcAJqmEDvehexZwqd2tTyELQDXBWBrFelgpKFQnArjFC+mQbrFBP7GUWVctszn6zBABUKM lrODmpSPB/Rqo1h8tl+xOFpYX3i6FcXiqmaeu2xaqaBJLnOBzOkKY+f7+7by0wrJnOLSNatqcrR9 t8EybkDhQ4VwnFhNkmuJxS8KOpT05MBUO8cXBYU6gqLLIGqlAJ7QZPS9AcPgoZ4haY1PiAKob2kV oLv2fNZUFI2TxfDQyfDPbL07uPJ1w6vs7A6LK5RW2bI4bfIBSn7GAlyQMdUUGQWribxqBAQF1tYZ fZkf2N64aSDZ0Piiv5A9JxxLPliBvJoS5Ulb+J4RAuqX9BP4s/3CVVYUjy8hfpPP08knyFpc1NPe VlbBpWRYsRXG4wo0Cbv00QOoiupT4ZKqSTorrGhSQJYkpIpzwkAYedgrB/PU6a04FGmSe6V7biri O3lwang8khP7bre0cwrgs3yeooC8MLuTk7Hqmfx9Vs80Q0oq0G+bpxBATh41WInljk9ZBUkvhccT QNZCKpZFNTGIIp80eNx5orQEvugPNTHZIGA4G2woEgJajyVKlOlWFJETIPPM0wl1v+q+K0SQowA0 u1tUo5WwvclpdszMglc39YIVVsjVjqIZlI+27xZQ0CjXkdF53mgTU3aCUcwt0FAAozZrBhcqBOGL Igg5NUOKup3F/hyo/TX2rn9gQYLH/yVjr7oKIsKMaojNVEzplLjK9ltv5yuDfFabF1borqCZiuXH ueNKzs9oKwpVOJzpRGmeH1SHzJinu3p2aJ/VG0ZppqKQXBbf3TzgivUJz/YuUPxUoFDxeBAd3BYe DRRMldgrdTuKyXmYnt+RPIpiYih5lYpE0aMor2juqEA+jI+xbQvu5jneyVMHCKK0sjkraEK1nZVf RGzQ78ETTxdvsUK+o6i8sRd8/oq8/MBgUyh+dpxm7QRsfDwo1f0CSIMvssszT+9ROQhELK1IyRfz xB6GKpo1d9fVHs3VenYEVxnmUWP4Ao53QQGEAfIYkIc9b0nVFCLnckNy2J5KXUn0RtghkJwCNH3L hXGbWODJFxH3cW1Bp32/h+UmDqd54nlEztTwMGqHYbm0Q7zIC6lB33kN3GGbqDxcE2NHYfmQel8z j0COXoOCSTDCTgoh47aS1VuHjgbMc38YkVw/4aE3/qtUh8aMD0JinC/IbFLVnQYejyidvwTIoWdS brNzh5TOkSQFaep0i3e0qdPxD0u8SiOxltMU4lUsFy4Sx+gOCETld0DUCJc4RPxSKoxxIeKH7kdG j6egSu1HOfJDfFFAmiqym9zJBx1Q84SM4pZtrKcIsdIu9bUzhDofNu6Vt0MQeRJMZaWL66leWhmA uBkxoWTzmtwhiHwaC6jlO1rht6Rx9kRPVF1DH6zIQZDR5xxARQHybaU67Ht8TZS9MHLKFIGSS9rS OdPgtv5D6srG8/+FSY1M4eS1XjTYsdgh5+bqtE9Uso0M1JepHSHS3L7rYsCKNFb+f0fODg+JMjqN XuEmw3IgSis0L0c+DhU2FzDAmYivCeInEvPbpi01B226PkoXwuesUjweQenuIa6aAToZ/fpJ7Qiy AlQSsdDu8jrL9nkCwNLmKRNLyHkKxQ7XDk6nBjBHOYE0ISvnnNuNUg+gL57jk+6UkPOpyMp2IewK 3xMThHcijdYGA2eeVDSJ8FL4mlGC97tSl+FIeU+nUGOFKu2DB6dxy9w4+Gz84gVN/TD0g3eMWdEy Wnvd0ZE9C1VMKmKAkHBuvzRU0EQnfWxJabjEKP2g8T1BnEmYPTkENvg0JXV4zlnIx4O8ZfyKx3Fz 9gv13WGBPGkJ1eqrySMm0Ki7ryY6imqa4nhcJSh53Gq01bTKSBWhB802KvBW1MlamKHRqZOdL16F EPsd6qtIEVLCRv0KG50DsC5ZkpyM6bNDtMovACt8PjYCTZulKBZvMktkPMhZ2qu6s7KUAUTKr80s SXaEVplSwXiBiRHpC1eyTt4r3O2TCnXQvhs4oHLODoAqPNTwPX91EYacSTiIiQCBzqJiwRx4/F8K fhRpz/y05lmwEEJx6DRTvTSgUa6rZLppMH8lURj4qL/yYAgM5TsavSXBuRnkcASZiKI3C+Qn4UXr GMQgiPCB4yL3luUvHZBf+et6Jxyjg5lVpQWJToIEuqCivPkR/wLaC1sGUcqVH+ukUPOQ3knnOHI9 GhqsCLVSJE0O1Ap07039P4WihyZ/lQUz4Oh1A+jQJHQKgBhD/46rDzgyhJkwmyGs4qqQL3vpP2iL 8L/IGGAqr9IvKtmJaJ++aZ8UxeX76aAT/leQoCaUnn2+Qm+gLmjPtVjedNtj2a7lvh1yX4gk53yF UHIxzyHKekev222CM6lLk8/OO5E4G3rqEwMDdLLifjkcm1kxvimYL+rbj6p4CjrtJBxWypixxDnO b+cHBAFV/lYZQwF5IcPyyQrr5jhlz+lbs9Xu2mrLysGL9UNi7ED49smK/TllZ1NkHszRQXZrAnUv B7OegaV1i2WgLpE61VH2zfhkmpBijyAd7Oww3C7ruaVTUvOnAnWQU1g6/4WK2ojRytV/yCkK0pPu QfdKQJDOhcWDqxmrEcf1rj5XUe2cvmvn2s/mm5CvbwJkNDOU5UgOGh3XiJwDqbCPmjd6BB6AFtok 85v+MizpolHdrAkHB/SnGCyNzOejfMZDhsoTHbkXX+c5uML6OfV86nA9n0E9c+NSbTN6Qb+YMPyu j4oUM7yznu9oVyJiz7PTpDgGW7OWJeFe2IXHoivSsGvq8vlL/wVM7E3z1Xy+gPuYjH3PP/V85CJ8 oa7KbICAaF/HfGwZtEnRS1pSuBanqWmBbO/MM/gZ+mxFQftUeAXz2Dva6koTE4MoiDWqc7ZswV2x js5FuSnrc7UO6O7Eb4r0tDhNOr04CJ6InShiYIV/d4oK6fyJX02sc8tupHQ+WbEWC9ln4JllF9v0 paXokjGEITm5FaPQ3aCcqJXf0WKIvk/WQc959GbS3iLNlHPWF3AowXqBSagdW8S38ZsieAtLeShX cmgWheNzWanq5yE+H4EUv6vExE4Q+ueTFcXvi9kgEAleXOhXSnJcAgPk9YqbL0aSLFV412Yu1e3L pToh3gIblukgSWiyWSJWF/q02I3tAhVlR5VCtfKpDs0cPqisV0gJ+JEyAJ8P9mH7dvMW/xnlDZ+s KIynWudJdsbw5sOjMF1G8TA+obpukxXW1GVUXbouRo63VLwz/OxwaCEmheYtS8WL4logAUIs0Al7 uHH4RVHJiriyKkpxNfArMP+pLZV/8+TzQfbcfa54e6IiudJV3syhqycqCei0d2ejIYP+cXvBMTwx hP6VuVWGUJemnGeY1s94tH52PiuJsg7AnQNYvkX6wKVJQI31khWYtm7vHBHVGcgPBfLU3PmR5ws+ kofXmHz+XyIRrMjQYHBcv8rQ2JP62XOVcitX9ZYa2iPZVnkFaqoivEsyiP7o7Y4GnsqY68LTPa2e YfmjFg3LDxAqgl6HSwASs5hjY0/WrqBz4IPQeBB0qiKql8rngwg+f9vjVEIk5rWE+8PakzF7drXp uWbRwVVp2PIjKZs+t1UbcujtuYoWU7OaaJuXWTwLWg9biBdoeY5kqSTKyHT9AzXMp6o0e+e/mlqA hmgo+sn9XB15C1ZdB5+P+snfSlto74xdn/0XVdfXYJmP4qAqzDC8MG0kHluSeedZ1fVRkewPldsI dvBRUzUhr5nIux0JTut0EcHhj7JYFx30cRIazV45UiqFOgusHH3gooL1WWeGeLZ15fPR9vtlZc24 6nbec2jwCeYqDqfyFESbbz/o99lMIWr07RdSQtUAHFManBxtUcHcO1GyGaU+cECJL670K6B5SkYE YUWsPrq9c+RrgvRmJt6AMxFlZ1Usiq6fRTU2nw+ayutXRniijj4vjy8HFp8mCTmpEGZ1LMnfYv9N eZxo/9W7/8KoXTBPalH56Ic6HEFUnknyiqMEAsp95xVl87AfIfM+7Z3/8iCeRcz14mj9fiK4IgTj +SA+/y9LIaY455jA6r5TFTJDE+N0nGoX0DEtITxpTXVAB5ydFIWGNp+OUMhmJZ+vzwL419AHHmyX JlAMqzHUOktI6B5A/cyLfstfOqrMcFk1tgOne2G1MraEiyhFwuejY/0bs99IDbwg6xxYfYI6Iovd 7AYnazQX/4Etk1VlgCk2b8bA6zM77xEk8js6qiOdlHwAdgI4+pnEQsg6dLMwr0obhpsOUghe3xRd gXL75LraLgkDxttokkyqqfL5/+La3NQ/ekzAw4I77YhTcf4VLCjWRe4v08gHwrH4XIUVd+mUwfjt jj5XSI+opQJuOZSWpVMGhXhU/ECWWlTCFVKoN3vpoNAgBaAhUalRb98U0QKLMiw0BJ6fsif6Chdg BF4fa9TQ87N2VUe3FbBO/r8cVLVG9gLWBGjF9mAYr4uEVc08iKMnNwlJPGqSsEyfJ33jfwNoTKVq 8DmZcA1fFta0l466XUxuUl8+GLsIDCWdVyglh76f9RZHOc10EKGGsU9XaP1JdOucvTmndvIJHVnd EHwQMQXTjqd76P25pP7ezK6Co1cZEpjmhXL5IP3vzlpMzYjWM0RN8f/up+nV7KWjfo7WVNHA7YYa Z8O2ltLtqHw+0lS8Msts4E82k57JCivvIiCTHUSB80nWhoS7F3WBLHH28z30/xxWkulWkulPSQZ4 nnNHiEIDJ2FV58uml3GG4nyW/+eQuV6zl/5LvmWYJHw12E07mYeABIgEJp+PcMXfYUOFIdsjB5Rj /88p0v9yCjIRybYPa7Zi3wlQq59ZgQHoXVjFjNE4+lzlM+9l0ogw93MvZp3vA+KPuQLUW3A9eHGU djo5cAB1EcoFZwwkzmisc/cNtIZ4wIMXww8IwtHsmbM8VknGzDdyCExATW3snHiP1HmjljBOkNy3 diRaqs17OoEL6C2Nnl877+iRw9kXZTXa7EF+EjkQT3gmRgPq6pWAdd2GqfpL/6XIBXcjDsVWCHqP /CE/Z1/rTf+Fws62C9HVunMV2g/hcDux40gOtdIEQdu05Zs6n9DIHC5z4AQKOKt0A1t5Rsf2t3Ki 0EJpIJLBpigQYM1Big5X46h3YdFmIMdWoFlaU1RMIBShmwbHqOKKQ6eQH/AvicVqkcPJgm/yHLqB svY95/risj2lvjov3qq78VcO7UCXTqxmtJr20GrORXgirBNaE0gEaGJh9Aq7rpMMkpIMdLADQlWR Ce1ALciqRBRxMIwM3I5YcT8bnc9HZLbvlJB7cV3F3BwagjbpcFCbUMtKQH8sK6J8rHhVuxf6AkfQ 21adez+jVWSQ5YCiTy0l6Aclbdc0KS9KSvA1C6dIKb8nQH8UcEUYidmgsAENAokpnRyaz0eOTVce nsc4ehypPqsqqraT7YorqjhOZrXtOJneiuNkeGBrqkLkuvKb0cYz2qoqUKhGOM8dCAFGCSoBKYNj damK7Y2cbJMVQmVEdZfOItwMxHUcGULoPK1Ors7n/0v6TFpdWs+yimJ3ts3nYqfYTOUMDVrhFuXy 55WtBAVYoR9RMsMmMwZtjzHouWepJKdC31kX080ZwGhAmWPPiymi4Sa/J7gF6ZM2B7VLppv5nKC2 kuBESHbm88FZNdPXTIGmAZTaFVbKgTcobsFEcYlbldmS0UdzE04vtgUXe1Oaq5hRat7OZd/xtrzW hJD+ZssLpT+W+s7d2nldI3irVRVKkpGKvXQQjFIvCNbqHJKvKwh/UGmr19r5fFTBSl85IUKynNID awgdQqmhA2XKK/3GA0AorOE6w2cBZMP05dgidBmwSJtwPkro55NmwS/heUXnF12V1ImHHiN8dS9G lIjjHFqEom+xoWCVfNDJDlImDxvV2gOPUOs2aarI2+INMW+tIXQJFYq9P3uQbpB2Xsmxl+cVm4Jc V4FNaHZG0kxykuFoUwVF0/NXN0r3RBSqvsRCqVT8gIvONqerHPiEIoxTC5VlGQ5JafCYaQqePTef /xegthLsAdWTnfoN3GOvUCXQdehEx35sy0IGapppXcGwwQL30CzUbHcItvbRESBwF5hU58DtB79y tlI7elcZFP+fMtfFsnd1vEK/0JmEABHonwof6s0nmBBIo7JnfkAkBfdLFh0a2Cfdvii/HJuGIh+G gZObpUiviAyJCecsm65y85w/XEOlStXzM9p07ZPddLqrn2zi3FdtM4E+KRXZSbDkzvCUdFUqtekD 39ATjsovRdD2CfsgaQed/IlzCNo2ijOBcSh24vjOc/A57UHMxM6hKvrNWZyfdEnefWuyKCQ0+vLJ CnHtcnuEoPcdLxTr3FQDTnyoNsAFU9C1KUAyCvRUfXRx9DLspf8qkI5zvooiiPVJ7FrGaU/S9PlP Mj/hX/gi0iihid3bs7Si4J01urOys/NNE6XvlBTmrgJXG3BPt6QwtBBlQwkESvexuCd8PZnF/2Ps TZMr2XGk0f9nLbIyzsP+N9Z0d4Bx1BnIvPY+eyyrrpAiIQaJwYfaUyYChIaYw+wJZCXKb3jRQ9RE dZe9dMR84z0ow7ClY4b4okmpK+SkaJEGLqLfrXd58SRhoj1WUfYOhxS2/bqD/JSSst2gncV2MkBp trMitEwzbaqmFinXB9OQc9ZnCLPPyv1Zqmg9mRAOGbAbA6fbS/9tTAjlGi6oa9lugPtf5VmJtIc/ 4F8KJywhoQqJc8aDFfbe8barSqKDwaIDl2SF9sreI01jerDi/F0bK4s/wdU2FkSGoLJvQKyedxFw baCPBSNfpO7jfoZ72UsHn2F+U46FnKg1ZgGW4PNRVpq/jiwo5u61nuswBMsklhkyyeZ4p87mIL9C vBFgWKVnRxbFfqL6CI2OpPXiP1BkTimAQx9E/5vSSUVuyLPK2OXCsNR7Dw1Fxc9tjbUOF8GwTuXU 2ZkBbJDP/6uNVXgb0mbqMaLLoadopTpFl7DQYqZVvZ2cK50KMjOtnT1af9eEqTAxvKuilUaFAirS IDCNzl9fcrNng+GZwcoEV6AdWfm+dHRk4ftriQRLSgAy6T77tAwppve9J39AkDxctTjDncLI+wYr NBbtqmCpR6cyWpAsYUCal4ZA81sGHziLWpV5EnXRmLT6uL6ikYE0BYOFc/7J1+EUhnRqB/wF4EUz ECvLXvlvpzumtVqqzaDTSbK2IGsANgTOosaLuW0sHB7MIz1ScePdChyLFOxPivVmdk1eGBZ6yyhS EeTdmsmzS4mQqyXwp4DO1ISRgjMMeTmlOH8VZpfAcQOZrLpwKMcKrEWz7arRZHEIq6dsguDQyuS8 HlLXOTQXTZfDJOQ3VaTvTRi6i8J5A1cUlZvZcIB0h3bV5N+XsZISHIudwF70ylT0UctdnZ5zPpe0 kJqQO5hoxkM5NKgQGlny4tVSsVeOgJCysafCPKVK+YsQ+JqVjp4rnD8g0ohp/+9sn48Wfw4MRuGp Tb4XFV1YQpNkZB/gqO49jmG7b6u46y5ow5KeLlfbVhjQb5qXoOV3MnfS+YEshQogcAVPbwYQEXvl aPRFEWdAcm1RCY3xOY/5mgHCCh1GyWD4ogXgqHrO9cBhFBwd7KQ0lifuXSoxVB1q1n5v5/+8fVof W4wKBEJUmq92C+4CpNWiCTKgN2RYIr3CdIoKLWweewVtH2Cct3PilZ8lWcNv1X1NIXNoMjr7N4cQ Fc/sDwgkNBmliePJB9g8HvyP1RgUkEJzaYEEERddgYHLKPrIkjMwEGR+QJAFZj+Q6usa5Zxra0j+ hDVOLYgKoFgeq+kvHVC/Gq9AKe01JHHixZWTjFSCiwD14Q+IWsnfafsgDPKpngOr0cwONqAfySVQ JuXqhAKpyykUMFjxYIXMVCtxhFjT6plohvDrpp1DxRlei/xVkKdkgpYbJD19Wl/8paOTnTwT6Ddz SRJBSaDK8KyBlgGfj9Q9vmOV2UGgnZpHK1RwTJw/73rNQ+b8+gp9a43mgns5sBzF0Sdiqourz3Hx tbA+xvFJKNYpDIZgkxWY+p9FPHT+cu2h+2EOPEcx+ZKvERFZJipLmcxzfSjN6mAEBKajVqs8wYJU FJrJfg9+/kyu8CiwyKRPzAtsqNOS0pNDr+wiRAPMRsbqz9QKP+gkDNJjYFbp68X37dS5Y9HE4lSB CcMossuGrNS6vn50jv4zsdLrJqYYG4mBLUKsQRJF4Nqzzz5/plV6x01RLtia8uVw4MAETv/V+etE MSKuiJJOytYnTWQE/iit2NR5YISs/RTESNYqDVDEu3plc0rkc8R3EUwaKKSaDU7OBpHR8vqTLQbV Tz9/plT2ayhTvAvZJbvYFXvu6hMiJrz1ZPyfP/Mp/Wur7GvN4x3MRhLaFKI/syk91BmiMQmepSZD W+6FzJGwnebDbY3+zKVsG6lAXns/qzeOAR8qaDaCbw7ptyqiAMbTHQ1uzCAs7ZQ95J+ZlF5XxnSQ lPVFXeOSujSLz45qnz/TKL0jmaWKEAtqlqPn/6cQ/ZlF+S4i96Y9dJJHdGiIaskZM/wnGKI/cyj9 oCFV/rGltMfV0k0YXp60iVaj5+jAjcFdtDDDnpTbA5BMIaKVwufPDEq/JbMohg8ul2HDBKjdmT8W /iSfPxMo+9f29rWLsBngLGQh+jN9+v7QClVcNYanoDcHW+gi/ricRzJixJ/Jk39oSp6ShvDSLlFG QPvMhpIXiJFzjFcS5s8NjiOzw2auDZ7bGmtRf+HP3MmOI0Kr2iIgrXk1CcrKEvycM/g/Myd7WvYO Of0ozTrnIKZQitGfeZMewqVFTWJPxtHG9W0kMR3Ns9zK6M+syXaxrraaNHXgajGCB8YEa54k8ITD X1OHSpLu2XKQiPdEoCRZsQYxWsK9SAcUtMOfKpL8uSO57X/mOFfbnxmT7YnmxxFZJuczIx1SQfoz X7KDPg+pdzgbaQjSOGjsULJXLGA1ylUlCpJSyjGVNXG14yhBxQg0U4k1npxPyJdG+uC54kDdhGSg fW0s7v7Mlexry4Pu0NCbSnTPkFffBvmThGAQyz9/pkp2nlEa0L82rBPmGwrSn2mSndm8+vvuNsHi tNeClOVcYNYzrpoentlKJcd4Vi9VUoX0VsbXdk4hGKzIUIXJUsVJ2tiLNMA6Nav+zJF8x+avWR9p YpSfgTAksgH8088V8/kzR/L3/D6TgD5ZEGxVlP5sbNp1yDnfohqGvrcxks+PU7ksQLoVUysuOLbt OytTGvxcLUrr3PO49DfHVvukFxJphFwjWsAnVuffeMUHF21n/mxqWpTYVEC1o2UIRH1Slp7JuegZ +qhBjLh5GRLy/2STcLIlBenPfqZv3/yQH2iZSZ4iD+5Kl3OJeLCbRBGPYCvZaJ1tYl99qFARY2za c3CfVIZC9ji4SafrqOCAD72oPMoS/9nLtBfmIHSANcFlGKf0/Ef73M4J/vmzkemX202RqOHBSiXj VrREMsq2ByuTKah1VcPcJ+w9XZwZrQaNJRkFSj2nVk1oSLIaBroG5rcQzF/QzCR9opxvD92+1jBc h+6Ji8KsrFcOttOgSzGct21R03eDC8Y20qQgRfTNrfT1zQ3IgEP/0OIUZdypyJgnOe0vyQprCMm/ LU6ppuW8oyhOliRNYc24ej4J3CXVMCGNuqEKzWHCZu1GPGyTV0GVfPPQKwc7imBYzg2Wjw9I6eYI XyFbnxfWn2fd33FCfUyPcIvTe9qNgqYIeeBx2hRkEZN0kgoohxAXrHrh/NlPatKJWyrfuDrEsyMr Ut69AYuVTTGKrvNPpktmqs/MZdgbv4epszaBJrsvCtOpfpIZGG0+HqRM96Lj9YjWwriZ9wvhz4KL enNRpUWYxdQc3jmSlJio91lWcgxQcIh39S3frrqTUiaY0HJADIFiUs+Al8IfKQPwUtPV+8zE03xe CH92DifKXBsUyOfDoDOVLS/tAiBsmH6P9l2idDWabqH7noDb8TvJ0rBAle6eDtBY9nOcwyZ1eqNA 6RsqmXWcVpu0DExqFjq04JCiXqkCnxEhTf5S5jmuUcuseuPoeGoscpdqXSjHMFAc1wvRcnKxzwvb zz7b4huKjBBA5qjYZYGKsvDepXs2HDDMwsEEGmfKBpVaxDYxUO9peHbzi2kd8fnVET8XJ2TPpv4N a+BDZ98Ewt/0XivcVUa2pdPTC9fPPnAK8G+6bGoRWrFD45gb6twZnxeqn5Ud0me8cUKfCmQXi9N7 Ig6YgjaUZd8UQHYf31272xUsABLUOokycTvIZyv1rj45QLILhV1qCs1GXUXEaZzUFqDzc02jcSa7 4ywFhSgTb0wJpik4Oznn/CwoyHbdd/vzQvPzstn3UzHQHk4oL+peaH5fzQGcrZeOJTFUxCnPyy9a xW18X1h+nhhI6mWa8Oe86gkQrz8FmHQ5zh4/Z4ls7mlls81t6rpf0K3z88Lxs307aQ6ChhwX9/E9 eXjR2C51Pv6eF/TltS/hnOgBopSyML0n466LOlouP24COQ3q04kWNxNIgnbZB4+ycTlinW+VdGSt hj2HhSQkJWjCjAZIsxEMugqDnuPtsZyRYd8Lve9JFQHizMkX6zSdRJ/3Xe0tf17YfZY+Ue0OUeny Y4f4IYhVFqgoIe9UA9BYnoEawzFR0DO1QI01Lm00zsgHA4Ts1Fc7yE9mcD6GTMPjs3ehISQWLmi1 8qMDNstQ+lPqVFFGrkBVFNy2MFDnZfNW54lYjCglN2ser+1KO/XduWGsaxnl43I2nKSUWgl8PYwI jVDbEnI/1rYM83ENK7soHVp9BpzI0940IwZwaPPTBLYOqRPrWI76reOEbfx5IfXZJ8A8cybOy7ko gYKiHpORszfz54XT58fDLV2YbWEcncE6tUhFGTlJBefObrdZMK+34YnO8EihX6hIvWfk2UX4KRTq q0/rgDqsYK+gnXI2VBbkvOHSyJi+QKB6PmJ6Q68cNOcWTvK06VlwMj5itXjYYEjHo/jEfbbPC6fP L73v3tPZGz8EHFmool440Clo9BYXTd9SZ5xSAnAx8Ib/qFC9J+Uog0wuSJIlXC3bBJ8PGes0pCtM 69lYIdSuY1ZRUW36YFOb6j0rv7LEe8q9D1MqpgQnM0iyMj2X3/q88PnsRef++vqgWdTHPc1f2Hz2 9U3Sysd0TPB3l47ENgYqQQZRgYrS8pTNiC7tu3pvJZ2KnqAs9DI37g52oCrFSho1nAFccSGOba8c 7KlNXAHYVrYYriDXYVS1WT8vVD4/5L47UKCKURvL4hRl5UueT9y9hr/IV6+kT0s2ZyYSE8nmC5HP Tylhmkw/rz36eQ0qBtAMIkWUHlZsxZycp1NcDFDI6Uxts8Z64fF5e0Wq8oKbLzPuO9X0+dHcw+hU f15YfHY5/6rycEaBjeNhinJygupcKV1AqOEkvtSTN33P9rbpwQuHz+88U6DSvI6rz8gTR5vUrzwJ OlPXH2qb4ROYKNSgb/kc5kuvHCRRJP/XwTkUF4160aUZxhJdnxcKn2fXvy49QFoBnrQ4RTk5FdDQ E/Da5ap7Yg9Xd78g71Gf3XtOjqNck6ihy4+rX3qjZGjjMiefpwBv4jrKBrtAuaLAms79Mum/+sLg sy9gSqKL2HIuakKdA9GUicfanxcCn/2LS/oqXiZ6D/P57MKcvHITreb7aYveSN8+ysYpTrDvZLL5 wt7zXJlX3UrtWS3ZhBQxdPHQNUgwrChZrqLIzDZ9rUe5bJhBDP4Ld8/CxKIFFFku+KGsWKDzT8TU OZb3/rxw9/wYXV9x6hyrVq9dXqh7dkvSCHLkeYcteUyLUyFVWLQhzkf43b0n5Whd8/hehlHhanGC 8NeiHfv5/NJAK9hAhygdACgoQpQXwcOa3jjINcnIHn3cRWALsZAYscHHg+20yneYUpFzoIUpSsl5 QEAv7fbq+m0ZFLoCYTuB1mdNqBfSnvdWyDgomihovSn5hPsjxLgSVP6hWamTHmgxElnhLe0IHmJD Xih7vp3E6CDBkYtwBAMlMMEWe43PC2PPi7X6nWoiARZxXWPyKCcnBHRO2fAgPSfXXp4zpQ+HEtRL fnnh6323DHqSi7ZWixMwrIPinaBin+o7aYaV0PdcVNVDz9RbK7gmPy98Pb1xIU1oV4EKqmvjtfMv 7uJynHvg80LX80t5eo3H7PR8cEj0LEwhKIWly6IyrbLMcqd3k0ZvdtsNs+Z54ep5mKgT3UQ/06ow rVOirlGlIdhQsSTjIdMNg3huZAIoowgoKHrjIEwUaV6NjSgu6tTtuXk7Q0GJjwdfXfru1J3T4SQR 9xB/oen54STpIjO5oIORT1wa/64Cj+P04lf3wtKzryGrEM5r39UPJ/BQMEZCh/zkYTyU2DGAUB4s O2Fy6Gd4G3rhuL6DlkmavqhPh4kyj3BkX58Xgp6XDDfDpI5samy5epiiVFzA1U26iKOhXT1wckcz TIM8YaChX9h5XmCWH5W5667e+JUbT+9SpETjUf0CIGgWh2i1XyDYrPbGUcnCAhjoMZCC0FjvSsUB DyHbLOXyeaHmWZyTNzS5ESvGG5D3sUBFufiugl80g42vSotl7qf0yCzWO5l6YebZX4oTqX426L6r fXbIVmshGOz81cfOXRwz2LLiTNpo/DohCJ0svnA8v6NIS/NFh9OJkoiT7ZypnxdOnqfi6zvFbNDo G17ZvVDy/OZQt6C79cXK041UZqF6C8XwYHKiKEXt8aSKZRupn6u1fWFhCN9jbKcT8DkNM5bQB5kc jPb+aOGxYnnh49kHwAEC/A980be2AYRhmM5Z/nmh4/lu+j7DoWw60Ge1MEWZeCfh+tx1X1geTwl6 GRfvlNyk9oWM519dUmZZnvW2n84/ogGFAwoCHC3lN1Ol8dZwrcEgyLbTJLTwhYvn1w6PJ5z4tihO CzpJk1kU/pzvmbhJb35BMOB20G+cokycymITf2WH1RMlqtSpOKweapsOnYu640NQcYiWaGVWO4nn BQ+xgx184jQ6uMTE8zYKUJKNUCWIbu1Me+UIPkfqj4CGHVM0nccnD18i5UEo+/NCw/Mc83veAh3O DatLC1SYilMMHf5nFqhM8WS2ns7fxUtgSmUpUGF/XH3Mk0Lmu9p3B6XcU3bRIkT6SNKATXTxAcAK 9kzjAnrxMp8XDp6dT2J1VmJ7ztmN99OOQi4rB4fM54Mvb8+vHUVn6H3xYS8EPAsvkky4F3j2tDRD n/RvqN7ObDRBY6Ci/vjUF9ebegZc7cuDSNy5t6d5g/SmJPMksdwOaBljmn49sSh18EK/s78t9X4W pD5ssaIFaoSCZs71eSHf2Xvm3w3yc9PNO7974d7ZLzUBWOJ6pZpb3buhCO27ieuFSzoRmXGDXACM Mp/VW08E9BRCMtnsTeqpTGYbPAirbNl14dG64YV7Z4cFMwLol2hJIjsBgNj14Z1AfV6od/bhNm9l 0iAZnOi9lm+oF+qd3VN4DPoG2zTv0HGW5l3Lg405gOkaSg4FKkrHp2Q6x5r1rv7lnS3S4S7Ppgqq XB7hFdKA8DQEqwgCMtZ8WlTbemHeeWaAT64gdeJSDAOVMFVgKXLKoc8L784zg+8NBWndBW6FxSnM x6fkiJoEATHBW+5A0ClSoF5mpX894hQ1x5tOpjqFAufqUIyU+8R1QNnJlLIZEAxO+xumQxV+q7e8 63rl4Cgn6admZlA1D5E5QReeRuY8Nf3nhXTnTZX5fZIDcAitWYvTe0LuCNbB7paU7ihvSFuLtJO5 F026obL59EK58w9PJ3lf+a5Wt8CzClBhHlAZJjAcItQMhC/kOc9+mpQytd740CsHqUEjVkUTYS6a TIEWICLZLPXzQrn7Kmjvh4e29q4XnfnCuPOEgh9b6+l2VbqnBmvuZL3xVd3K94VwZ3EaGnB2SXlb 6c44nSBBOmMjHx/4mzRBfWFm/wOGHcw/spfB6qq88O28DKZsVCeL8/41Kl2SmLW12trnhW5n22l/ j1oyvF9xx3qcoua42AetFo9TwnjMhlJXf2XA7VIX3gvbzl7AMvEts3FrLKqZeTLws4c6vSw6Oiwi MSaooK8qEHm+TTp0eD4vXDuPE1ksXVSfni5Hozf5SZ0/yPi8MO28UPNCWHhOGNRCAtXiFCLHOedc PADN8XhUjxPHJUQYtL1N1ueFaOdtFdZ155xud7VCGDpkw/YTwVRAwkj551QuE2lUe+47MbheaHaW 0iYpaq3ui7p04OeZ40ernxeWnZ1utXztJ+hyzXELvBeKnT0lwG8dHqa1qwM0dx53htBcGuOFYedh IiX/3Nr1rhamulGkojo6n925zLJmnLDyOalCp9oCEHAGFCM+84Vg5xUa+sTp7E5frJmJWkkW0XN+ Xvh19g8u3/n4QFaBFNnCFObjW74xt/u0U80/rmqXvfvUaMSHtkoL0eOalu8q8g9XywpOeQeS7yAR cUC9wkTRBwS1MEcAyc3bT/eNg6SA6g5LA2EugmGcPdSmrGrzxuNBltm/k4LKPvTz0YXZOA7nZR71 ahdUaxdA6tC7T1C/skM8ao0nsRB58vvqh1PqIA+0ocOpmp7diRfxBtiGY68Hnrn1xsFdt+m7ej5l X9Sl2+d0og4O9LTweAAsKH6II6TgGJ1K1HtPPUrGu6HHp/tWjMcMpSdi7+lbsauFqb8n4zboKVCN LHf13tNJcU6tAlnlBIwH+D+cIICLAOY6HZSsthtktfaQrSktKPE2uDBK5wVT1QDhJFB4PGC1PFiV HxojA5rpzYIeZeIkw58rut3N1KdNy4Eg2f7NUQuEUYqQKoOZU6+VmZNWixJsJetgEzpBLZ+DX45A zxY9PxnpU7tux/io+MZRxSLV2z59UZg6vwnuqzrxeJARtAs5ZLW8TkJ6G+M9TMQbeS0P+AKMZofY S3BTPLJkiMMeNcbNVwAOC3e1o+kkHDMjdGT+0tFcrQK6PTZ0osCEua0CEcmiRBy9JzDJWLdQwljO Q2XtpHr4ZJoFzwfclruf8P9hePIzoaVpkQqJm02gw+oyULmsq5nVUjMs3clWLRXvUSq+TJnUML+C u6gGhtPz2UjCZkLsZppbBei7pyZtcu66+hesgXuUitekIcKJNhTbsX+r+GTomXaR7jZ/QERx/e6q VIruPgdUxN+UTEEb6/bpxMBjV2U4N3Hgk7FIxUAVnd9bZCmuXrSUjHGp/LOxqWaVWww1khLhPajo XC/LX/mvM05l46O7poqyTEM+7Ynng0A9Q056gJnuiEfq7+l4ydM162ZtTrtPaYg6DVBDMjPfHtI4 5b6wq/DRXO3rO6XKSaCQylLtFmIkFJ+ZNHlLmHacPHPfU6pmvXKQGZDACec7ElxgiiWM2AnhYg0A CSY8H3x9q//aUueCwcFngQpb5JATWoXKav9DzDIpzf9DtXVuP/QLNA3O1lfpcT6uYVNXJsVVgSqY GZ0fQSrn+Zf1qq5n4SApU2+s4vC5mqRTrxwd5wLTFd16xDTIXn2jW81IwYSvRym52Z94ZwVYKnQn LVLvKTkqF2FZ2SYQt6woaMRGc7wpmazzD+GBHsFVAGghik7JFFfrrIDYdNL6pQ5Ug9MjW5qaz+Bw +SnzzqZKouF4j5rkoBNjYr6HL8kS8SGLK0Bl8Pi/qMGaY53N1C8Oo0cY8s4KD+1LdVbOvnTD0F6I t/4fJji8tPXpRXAVE/UbVczOQUOs867gTEG/fKVF3daOs6RIW3MP6mKeW7e1L3UQQJfwiyLUIVsG pSZf1Fk5ufWSUeEpF/H4v0hTahlgL41LwuthVt6YPcFm1iT9CumbxKwT5EpJvwQ+njZU1CNfpndh tHyudu+xKVegKTdOCoVOqURIBz0PYQcF/t31g+bdhV/0fkah13W+ucWpy1jXpRCD6yV4Zpl4PNpQ +SuTynQjQI5iZPMoLzeg2DBQJrVts/cMupXIYE1NG+ONUETFeipTLDyudu0V4IWhT0C7kwRItGST J2ZDjahfDF2myY9mvXE0nWJTpXL2woVxAkW4ywn6FNt4PEjMx2UlcB+eH4YjzsIUJebim6+erpwm TZiZRxFzrNbKqVpt5jLCFrmGwkOYQq125+V9voqViKeDzmZJWdPOSbA92YoQxXPJNZwC+EURLb+T VpYZJ0gVqfN0/hXnzyE/CgQq7JHv21xR2wC8re4Z5wgR5NTxm93E+879R0cH5lGzTRcwyiB7KlJR k5zOhchl2DY4K6eQAyUMso+TlZG9AaptT8awwjBhsHeQb+t3w4McvybAsZLVCYk4LcPIQH3K0+kH tyoej2YunkSpdj5/m94vrXNEiXkjCe/8Ya60uyxV2ful9ZVJ+C3D9ow4MbeeL9POszKJQpjggIB2 31AShY68vtECZ6whG8fbXMH/beDX/G3iMuBhYYs+u4UPj/UwpA5H2CH/xXGhQ3m6HfIRJeU8J87Z nVyTblIemKdTJZdUm2lOQ9mPKCmf3cT7dJxztdMpDSSVmMWCI1zOX10mFAtjv0UZ00SvAKF9ScEb IXq8sXpBSx0LKmsquXfMqtiEwrgCz0fb6RtkgGEroLsepyglp0XmqYqu094UZoVxqraxTpzGNiWs EaXk4o/DwDfd1Y6nBKtZ/uUHGMEN+SHjhIxgVpIzr08AbxH8muCj27J63tkXZgWssyUMebILPP6f 5neLPShHGIwQPE5p8rGSD85HbR6lIllIioYMbxuMCDxelQxQi9pXv+sWHLEq/Xg3Lrgs69TMXjD1 m+ed3iFN4a+JNhMkVYCj19J/lAeA5CBcasPRFObit2rhvoFYermp04ja42qujDFvlEpbdy8lb48n AN8Upag9bsJzy2yqlthSmd9cAce/qxDmTEpqRwn47wlYTKbCrIUJvXP8nr9lThSJJZ16Gl5l9vP3 ZXsTGFk8H03vvscIAG5PeKBZnKJc3PQwOKjWNzfMFBTV3XZ6MHE3ilOYi5sORhHEnqtPg8FFgP0Z UkyIzjXSqcrsagCfO6cBm+pfHVPMEebi/EbZMT0LsVQsfhsEeqRJiIICPyDoGJTv0wmoji98wQiT ccnQlO4NgyXF6KVJgg50qoeZYvQIW+QiBld5u2u1SJ38O58UnO06DDebTnEcuwM+D5hBYcDk9gk6 xsMeeaVY5uDOgorEDxGOILJTA+RsqZ0Lno9aK/n7GMdULLWbPM2wS94oqO30RLClajfISqULoNp1 JZtV44yzcaEuRXPRaqOpDFJTghoEpvFwchNag3zoRUYEJVhtgkeO8AwRK4X3XaHWAxfDHkILziid FY8HcfpVBkPkCP1DD1OUjYt819Z0UUMqhjFMba9uAIPBIkphCgmdW33fbfY4++q1A4g+gB6iR+NJ EUDrYzZOfjKdDpC13TKYpgkzJHSyV9cINdGiMvjkBDbpPHcQHo+qO69auPfOS+GE9jhFuTixSCcF pGQPfV5a8/SpkI8jLw7EXSpZIZuzmi+VjnQNtqwKRmwyk0z2fAvbXuVciejYNZCJ4Zl6gT2Uepj/ 6JMnaawkb6tgVj+GUIir4vFIO8S/OwIxwENCJWBxipJx1uuzzX5ZrzTUIatsYIhw2XeuJhYl43P6 fnpW208Lu7RVOoWf/7ya/GQrMGTns8OPhPjhtZNdeuMYr0J3F1uy4XqIjyT+KZWJxyMx0W/Ib4aQ 026XpTijdLxyPyWZLHVurX6VMovTgM6V6OK0MxQ5FH53llLvanHCkHbitKNyyNk+Gh9XShTS4fkH lrl3JkzvrhmqHAphcD40X9RVGefLm5rA9IrHg2Fnm1/fHVQa4DricQo75JvEDVnPc5aQbqKZu4uO ywFCcYoAK84mayJlcPXR+fkMUxOrDLJ7ZmZyyha02qBhhjvOMyj6fM4oHa8i3xmV2ifnDebxmnyi Ev3MkMv5i1RGUVxUmBalCK4CbiBKYMKfSAjq41peq8XJnspgM/OkBTNqjwsiBz+uelc7nU4mjoKL DoMb1x5EnBAlcBUnXQ6lkyWg/bY3jg5x4jAA8xAyT/n4SQE7cbfQCeVrRvoq33lmAXStXzmMGVI5 6Ti/Srou6m3dDp2kDgf5CNNQPTPUOpSx2aCRjq2OzswQW5sC2u8KnSPJ0EBZBSM7HBKP1Dj5CDNq jRce3lPuCFz4zc0KhzS2mdfm40GYfjGDW0IJfEcIM4KrTLoDkVLhfITinmazXVRPBRxYYYrScVM6 HtvuvF2vCs0+qXgfY8u3GaIhCpNMrkuBBFF9Jp0Ug8UvilDR1DOCwgJlajGFUEN8L8HH4T6E5/+l G6KZe6Z1sQcq5HJ2+kw9jgg9jauTNVwnCxM3TwpCeRXJqpw7ftz1osQ6Br+gkKWFWZf06cDWhgFp oZzSE6dV9MZx7gTFAqaapRYHrJwzrpqb0u54PML15F9Fy3kBiAcpTCtKxbHdAVhxhVE0hrL3MXd3 eZVMHweEaUWAlWE2JLO3u9pnB5xhLxy10E8QWh8EZ4LJwkJD2PH16BassC9OwYItLN2+WLoG9bMu kFitnxUzOb+bBRi7E6dvUQr74nuK8Gp98UXbcotSatsbTznbTbdCwErXIGrJUJerfXWgTsN7MTMT x7xuiT+NEeeCSuO+4CfTzlyx1CFvus1KeICbMnSGF5rAsP6vBc9HWDo/w/G/xaEzxyVLrVBivJF5 h8zYpiyj3TN8t4ey4Ur1KwKsDJlSrmEJAS1qfhrHBwlyYhBcBZaOgxbVdQgtKmRIn/UnbzolEn5P 3KCjNtbwRWfMefMlKbGTjODxqKXyC0t3YjzqxdKtkMnZSJ/O23E9MyXPL+u49OmEo1JhCoHjXfml KYpx9asO2FIY3TAjKLuOau1eYJiIEKZBumUExNKtuCtOX+Yirxb0IBmcihxkq0U3oFgbYlVuXUdY D+giqzyBihJxKhADmd6sT9CURaGjAs8e6xMQMsbUacVMTslgZBtLPRBWGCdsJK6U9YdyY9HUrpjw Erj36SmAN5EFKwKrYCyBio7S7HWcD4JEb/DwdxNN8QS7TvyEKH36zsWJiZ23tltRLp7YzsyaPE3+ x2bqdAN/c0Or7OYOiytUVhEIA2Cnu1rz6ZQpoNzRjvJ8h7vIdR7IQ4g/YdBdADI208BEYfYVoVWA 0QBahdadXFQD15MLqadyamw8HkHFvrNxTLhp/2BxirLxxftuSXVtPuRgbimd74uYum5NuhVm42rS nSx13dWz8cZTsHBiTghoFVuKpPMGSi01cAyCkXXjhcoqFNPGKFZLtyK44k5QEZwaHv+XnJhArxlM 93uUh3xOatib/iObmalNb2YO8x+BskrxTy9Ej+uM6la19KdqqTsBpYBqFZ/eKYMTW3SQF6Nk80IN PG6ceDzjF8VlC/PMpDyzyczmXNZVbggDPboVtsf3r6YvtBOfpu8K4eOE9KDdaGnmKHfAWcgcsRp4 G5R1xVAVNXu70GJmuS1IzwZvoxAEfb6/83lsITBoc8G5eaX7EyfBBbwpvnKEH2dSUFQMF5PPbBCy Rw2KPTL4nhHk91upRxZgGUWiRSpKyNmqXSfRdHk6KsKalBjUiU3hFy7tilSYkGtHncM43dVzqHPl lYWx5IBRJ+BhckQv7Gpitl7KVfj1SIUS5M2GwdUXRioDRE4xynPZVzz+HinW68/4rpgFlgVqRym5 IJpQZfcsKtupjqN8uKhRR8+W6cGOuuMGYu3TFA8ffkvrFYE7z3BQe7YnAQ0YliA5yZmQuGeCx2QI v+hvxNdWpRyNcWO3fdQ3cyqYXOLxoE/3C9QDssh+soP9npTDT4lksieNqstL4QEnTYvTvJ53O5RX GdvwAuOuFifwNvF9o/3U4XoH0Vdo04GFnqHdevbDbdMVHpL4RUEDqhJ90dj95WJjBAgCsU0Hpfb9 npVLcfqrs4JKAb0ki1MII0dDcQLwpiPq/C2niT+dS2t7y6DQCZtxCmHkUioahjocD+oQJir1/GR1 VqAY2YhAqMSpL1gfwq/ssRMeeuOoT6caj0QXLgJhnCNKwrVn5w48/l80siqARujaWphCOmfXjWdn dsZw22mKiR5HgqpcGZodQlWydVRWvqtPW8a5/2YRMX8VcoeZbJLamOEqTm95P8kxksYvioRoimCs wxedT+v8KUm+aBvbKQKrtPwtaA+QGniUHqcYQV4FYl0O6WmuTQe/geSsBEqGM04xglxd32lI8nm1 6fqGcnWnNg/4k2cPaGh8vi/0M2EIALy39em6vXAkX0Dk02RJPHnKiCXVVhrEfZy/6cbzEULME3KE BEwVDAQ8TKHUoaCZJV1TkrVdhUbOa/KSAk9NYQqxKua3tQxovx6gPTSNWtrUad84x5N0/zftMDEX WkwzJV4ArBp+TWSURM+WTXFtLvro+krybYNgDh6PtJ9+IaLxCaZ+7aR2qD7eZCJVnTA1uxmUQbS2 uJx9ya77vyOwykh2KJV5V5+1gEpEAOYApn6ZykMFh+OHGL4M0BLzcrA8it44Ir0SpgKJHFsMmDlE IoTVJF/zX91xAc4z/CjvGR6m40Kr9LYtTAOnusJUxzIBv5laN/GnHaNVREIwmZXtipZnNw3M7nvm bloYv3cJQUDH4IdGLMi2XF+UbtD4PQHnVYwpnipaLEwnVRaZcyQ+HlQt0686gsyBU4BqiYUpysZZ E2K03p7d5PS70ZZ/dOgWWpj+ARyfW40Drrabxtkg53jL7GZSb0tMRqh//SDjQY/kQlUIr9+xtIo8 lUVEaMX1+wBeEf2u1vHZIVAlfasfQ6sLMi0epSgTbwSqbGmMk/K6i4vVgrxjUZpswvGbi4Aqpg62 S8139f4TMDw1sf90iu5zl9JWuQHThc3EqsGhTyWxBN6hsArj84BXzbnlnHIr89bDlBmP/0u+j4Ih 5y2ITrA4CRz02qiTR5k8k+TpyiJ4S/xpWhFcM2dSXT8q2E/Nsn/deVwVKSTfCaqGpLacZ8/tx65K QWMac1Q6JoLfIveWte2lAzM3/uvGpsfU+aZB5pe5TZkQtEQETvg3f8R/0UAmERAO2TdeoeBhZkZO I1UCVujhK8AKxKwMsAJmoGn/pzAl16VXpVGn1bsG82Q3vTOHqmgsT1lxid1YAXQuBPy6BPmylw4w BpwGCxW0ZZPDcE14NbI47pv2SVFWvtt3tIBC+kEn+RpKpNAaiBnnuYxcRnNL8Bc9u0bEv0aeGKVb uEIQubyTYIBw19s8SOnU9T3J2hWKIkKMNWBfK9C/Z6s92vYsifGbIkgGz3VJGkwgubpM3cdspISD YLf4A4J0qvyiBIEHku8UPaeoaT4SQT572bcIYK41OE2Flui6Xab7SqTQnbO6rWK/qwXrZFHwFQWG NffMuXBhhzOjf9AhI1oH2+Yq92SYkKIEvQv/22kIIJDbj+T/AUf7oQnO4PPRTO/bgoMdwDLajVWU opNQgY5d8eZdZYrAc2vbaYZpQZoeq6hxbk6mPZlse3pk2/epV2oGuxbf4arQJ2dXipBENLyhDeAM 60ImCX9T5FayBJJOvlg7eGxyBn7AKubzUTVz+3c84wrtssrX1op75xwzUPKZdLNTZl7Bo1XtmB+z 4++gYz5snuvYGrOMuz5NYYj8sYmHlu/YqpDz5rwBtNQi8bqmAd+2l45aCWxKyQOWi7YWoi+KRh16 PnIz+4UGJi6rfZ3xofoKZ3z1i3LGfwdVjyozC1LOGhD2FqwoYydgFuTF/ay2t2iR19ATQbD6+Ssy qy+LvpsNIG8wra6jWW720kGaRTLVzDIOyvs6v5WTm3AwWmHdnaIe+vglc4DzAHr74wYr1mCRcB1b mqLqlW07axA3ri56yds/xLCNrouwlDzuqmDRkWqiRmICkanEzR5VwmsPYEWgaOeHFrxZ9dIRM51S 95sUmCrZTCRbCTJ2vA/PQ3z+X9aLHBKSEpMfFgxl2f8y7iPazzoLc1775X5V79ty8Qz8qL8yF0ZS M52rg1vaBhiY/NgEDiltQZGuDkpv88Afd94Huqle+m9ITmiC+6KW3smGzRUeRGI8H3yH12GY/SpB vsfXIR/rI8rqdDoSaNSrLn3OXTf0hEWLRytqqA+xhVrp464WrQFo+SrkNJ6gnjuX0NjK+wuOZuA0 XlQCTfD4i/7mjANovi8KVi08GCldM/l8VDz/SkyBAEzzJlo5yuMpZTfpnaJQFR8enzOyXXeOcm0n iJQN8BuqeISI0url87mr0hTgHDcYNF7ZjNkSu10+R1Za2rq9898o6rNwOjqLTUfPwbi3nJdaGpPP R0fWt1bbpLvgk5OGtp4S4179OnR8eelS4sLaVunaCwa+nmZ9AUx+Snc13BSNmU/lkwgIGpL5RiqG s2ngtIazpmv/bX/lYEpDivqsFD/gIhzeKrkR4wstWT4f5O/5u3MFD4C57zgrx8aeLA532SYzPReP CgpHrUe2nAM29hpy6OxpiMVdxJDhapsKuJnSQYcBtxEg6m7KUdDopkEOrkYPVWn2ztFAizPktW3R KPmcrlUnxg8Esvl8NID4nrkXsL9wR3uootY67lh8f8O1pmert8knuS0qvGNwZKGKcveZTIOstLsq VBjz0jWRWmRtY9CsJKycZ7pooI+J0Gj2yvHnB33QNXzRLOHcimLjnMu08vno86tfmTsyx1O13oQh dPeETBWae8uVkTC+8s+vzKtdTr87fX4hFVR4PDYlfbVNVU4CkyB6IFe4s3GTTiqa/1JMGbNLa2Fx EM1fFDm/SMZ1S758V9tUpYIKwE01Np8PBsrzW30TI1oAsm+oIgFzut6d769172KN7sJtK2UXkRoc UGtThVm7hO2SVDW0+veHXzBxdIFFtM6/RC686VQ7P5O39dyPgnmf9s4RLE/4fNY4q1m+i3afoUrb +UF8PnIT+k7aIU3TWrupQmjxyUzz1IP7gjlIqmc9OCAapj4WzJotDQ09Po1YXLZ2F1dLFSCIfxLE jJkNqPeVVwPyByQeCadNhe2gt/zUxwpdPk3fDi1dW1QPnu+IAyeIkOhNo2P9O1b4c60HX50Dm0/3 r9zJZlpAAm63ZZwsFtiUme3aMgY+nzirGKPeZFzC1Zsy0FggDQsqGvjjs3CsWS13XhVoIZlTOsTo 9JuifdUomUg6drrOsZtSAWy211T5/L+YDbwvsT37Y5UeeH1m6SDj75GcAFKs5QBVjWWmzaewdx+Y HJh9JiFs0efr6a4eqwT8M5CkmJuVIQXnUuR0ATcJpEXrooR6s5eODL1YMQMZaYuOnblzFWyffYbA 7zNLzelJF5DK1vXYpYd49CrG1XTO4+7DAVUULFBPBiw1/wZD/Is8mHquz+rFzTmuJ4UK4UKN2JEt c5IU3AJgm/+wEX/pV9NeOph1UaUF2Z4vSkIx7ecZeZbN54PipnynVrQOyQQUWrRC109e8ycY6Xbe KS/GE2sms+BFcrWMIZpD289ltp/fq+2sARpZw0cNqaRT+kmWs55zDGOCjt5XZmvUJl7NXvpvw5yZ ZHuSqGGDDifahkUKt6Py+f8iptg4Z8xPrMKue+ORTr9z6pqv5DrUZ3djwiW8UL5d99D405LLnn2H XcvddirbeUpAwWRzqk1Te0DrGqKEEkvGn0Oues1eOhaQIGtm+aLTnZg2kR365PMRorh8lTfgsdMd 2WMVYWEoR3b+Hdu/Qmj8+1eozuiSgoQJ3OTA+RP7So6fXbRirg4aAsdhFbkK1FWBaORNyFSHAPwC SUbvjFZ/6ajVR2BjpgDeRpdqaoAKghF3Mwgx/AFR2p6/jveOyyWNr50VQmKomD/pgiyFc0g2a0BR RlYKAZ3O5GdWYP+ZJBIHXamR7urROtfdKatp9FVhX1sI6C8cpeYB/1z6i9ldmMjxy7H/Z2GzXayj 0fyc3udymmz8nc9ab/pfbIrxFdLD1WMVug4t2lUoQyDKaifRIOpJVOr0wpm4MWbugQUoWMiapmbT Dcy3edU5m+CQ6uS1gBXTnwaCcehwEnMCP3vfWHQXyKEHaCJsb0MKA8ISC7qoP1LeyJvzCVQi/AER //97PoG8YZZ1e8ihDSiZVJhPpJ9LYnOo1ZA0pWI1l8cqBsfIVnbvdle/C8+dAZDpYI61oBXDWPFP cP5PGOigH2NQUPVjQh9QmE6c3Ap3gi0Gj0ECzc/xXIp8/r8o3gAtg77wDVWUutPfGDpT3bfVMOeY 8+9IF5VWQEuwUIXqiradKGxpq/djIAy0mWKlUxvAZVFpPrb/wIhwpusSzp3B3xMhPxCiaqou2Rz9 0NLHMalIbT4fGTV9R4owZewUj1TUa+9iI+/tqIZZjNQGQZfmkUqsYBSpWPBcpXMRRZKrb6oOtj4a 6+jHnASqkfEH8iUQT/TiggCPTXGyxSpEyRggtDUtOqHP6QpkDmvnmvSmUe18IXx4QWjOznT9ZXNo CAoPAYpxebCWIkQzOSl7U4EZPQIVz4EjqA1m0b9V85irFc95QzEQzrCAp1Hfm6129BRAj5yAq3h2 RTNu/p7gFiT4Y21KS3DhtoIh7tSxdW5zPh+cVfNXoz2z5kkPqCiHtqDsdZ49mNPtNFSD8p1iYrmI 2WLvVMGKuaTqsGcdV1x95DUToMpDI68NH5nMBAtQ6LOzIMpQqxqU5CEVe+lgZy3qB24KUhLgo52F /cvaCopZfD6CFv2SmMAQj4YhFqzQG9R6DbVci+c8mkOw1tVVatO1vXNsDqqR1wbwxVfvYAEKXzaV 8VCNMCsiayKPH7ZLW7raCYQv8vdE1TNVXToPLC462gugjxoUotUeuINiYPZ9YG32LebNRUN7UPxv 4OU2vIElyKIOLKmgC9M3DNOXA39QTM4i2Tc4hJ68Z1O1+jwJdoQ09XnaM8MrF5htBlc5MAhV6Qlw Uc2+JBXBJzE0+eq5+fy/vAqrGhQ/0AW/oQoh7JkVsxB8pvDik8H9YI7BqbS0PfQIlfklfNXXXe0L JEECeuhIRDEOZHpTTt0ziBCF0eVcF8TeNewKbUIn56ieiFb6TKhkhuwHbdNOhcUfEAXrV/VcUMpB mP+GKySYkhnR9rX+2LIe4FCzjObhgsKChSv2Cq0azAhYVL+ARQCIZo5YRm5gRGzmrUCZoS9DPWOk Wi5FpRl94BZ6id1otSIn7Th0fuQK1jvrw7HQmAnsQvEZfguidwzO2nj2Vpi4N57uKXkTa+/kKiZM jcXGhZ2gBysEtEtmqZvasJzaFSwIqDHVpjk2Ri8mH1TofAxyVAXw2CXRy7CX/ps9Nii+WDL6KkKt wXFMsksgA/MnRNCib8VhCKes3p+tFaXu6kymnpySm3rPVhLqTiLPdOxbEoa+oV1WMW0Zdm3120qG 0ywUy6u21kl/CcetSVrWvEUXjUNNSnfZSwd3Iedda5sNT/JWcgWsl99kR3s0sA79/213DEuIhvZY Rbn7YO5upxalINRVliuBy5xNUJh8Z0VQGXNSo52yrzcjPXnVTGr5oSsz5ThXaXGReXTKd92oN91e OroMJfhNXNHmTlY75sSaU00460z+gKDQueNUHnH4cRCM9mCFfXeKZTTOewSG7MNJ8TSJvf3Re2bF 6buO9i1rq7bn1bKuZ7eOKgYzCE9JXodoKjcwmGGlB/dn/wz3spcOPkMylMag+DAXbayza9U1r2eb 8vngI/ylKIR32OsZPYceokgOAHPnoc7/KEYX8X1EGBODVQnE0EcYZu9S8GomtMDVP8JTJCC5TsT3 FbSTGSvKG2fCSMvY5WKw1HcPXUQ7RVBPSjV8Ud89pV24K9r5t/P5oIlVfs2+2KJNdT/RCjvvqJFo 6GVdrFG2oyE1aZFIOv9Bilacvmv4nkSs5KpoAW6FBiInOichbfRXhW46arJMxg/by3ZkZcH7AivR xNkRihyNraA1JTDRYI3AynDvyR8QJA+zfW8twJPKA5UJ3UR5KEPc/1bRdOp2/IcXhjW7WV8O7ESh aky9nMLsyVYb1adyrkKQWenWB4SzWbMuGmrDTgHARXMNK8teOTrdyY9XnjVvw++ULEPsHIEaAjtR FIbfwjmUqmpXUT6HdqKc7qGHY+n7ApLTOzP7NvzqcEesHPqJ2mgCGt53dVARpLozut2nhD5fWy1Z Mh6LDXRd/M2LwqEcK/ATzR4qkNFJykHnng2/jj4Gpb0gb51DR9F0heKU7J+Ql2tklENLUYLczm9d Fyszu5c69Fswxkl2Xk4OPEWT07xmlWQcVyt1IAN+DnSCt4Fr6CJgSgQN1eIPZ9AGVUvFXjlCQYpy ol3Fbn1Rb3QPgb5Q+/MH/Bcty0ZiZX4+wCh3x+uBczKcXnkuq+xYmTJdpBGDEt9Wf1eH6amXelcL 1SlST3YFagTwESAzK7EogHVOSIc8nZlM+0j+nnjudSqMU6D7ovp5Mb3lLQgAVmgrmn8RKEhdLM+5 HviKgp2D8GQ1QTl9llQ6nbTbtMQdgA1TG8qxsajYXm2sdVe7BRH0kzfIgPXsh0HXyoJkvUuZha1j r5/tAwzz9q5GX62+WKvh/D24JegEmUNr0cf+ghgkjoKerD20Fq3ICVD5eXJVhksTDyMVUuWrMFS4 AgNv0TvIqfKl0+r1M1p9oxHhXmF8Ms0kGqiPDIPCHzho3VjNbS8dkL4Iqe1ta+E3zOzqJG6Fafs8 4eYPCJzsfyl6DEIgn+o58Bd1sa81q7Mn0Bx1BAj9wMSewJjGghWl7YZq6NmQIDndEiefCzqdcHUK OUOblF/rObSwv1CbnIQIfRSb1AsBEliMZrqBQekrby1V4iepCeaHqR96DaHHaPnda2B/OCGl82iF uo2Z6gJ7XN3GdctneFbY1hrNxVJz4DOKRE0DiiGRdK52DZ5Yw9ujkHOJ4bNExOvGtGhRzT9/efXQ 8zAHRqNo6MpbpTZfNKEoMHwnrKGDDhA4jZryxFcrGRrDOT06cp/X7CpDb5uorUfQqnKYwu/Q5FAI xKrJipzX3CoDhsWNBRjSXT1U5549+4q3IMqPPSToDEHN888t4Iiua+dHv+jXzCpfIdC+ky+Cq8EI qkspZuHplyid/7enOgxZHWSkAJgA8b85f50oRJ0QBpLcma1P9sqEVaPSC2fOE9rq2k9BiOygmik9 q5/pe4PbleVmf06sStQ9yFHthz2fzOtPVhiAG+HXvMXo5CONKqnAb9miGPXSp9HmF55+i5F8JRUj zbo4xM0Wotds6jzE8RQScxeIGfPqXVfuZp3mIH0xRK+5VJYCFxIDbktbfXSTz35sGHrCwo8ukWwb n2UATHvO0lE87ZQp5GsmlTGjGtw+dfuinnGBEtbQhmqf1zQqp9sEzZbV43LpyyL0mkRly73OodSu 7Qx9u6VRkbs3QEd1o5DXFCpnzucoTaHCT4QUpVBIDsukCU9Cxl6apoYJBPqpMn55hCawmZ/XBCoj ASr6wLiVIMBWpJCKkputfOgefl7zJ34y6ytEyDQhe24hes2e8BALvVPUO9kGZbENtYoM2iXjUUx4 4TV3yqrrsTFMnZirNz07rFiAex5QjSsAe/+wUkZi3mGQ3AaPbY20KLzwmjrlL1xH8kW9lt4q61IN 4F8TJzxtHtquIA5DrpIsRq9p03kIMySUePWZZX3hFNL2GBGQSGfDIEYaJLQ2reU5120Mn2RoSaby 7OsE/6cp6wuonWXIi9IvxqekSf6rQYyWDCAz/Rw6De8lpEf/TPxdzp7Pn9eEidfTryCdb22rdUeT m9cg4TJcQihsL1hkTYsgNc6/GaQ8XBv1NVlCkAT9hPjLXf1bO3++UwpnAvWomy4xy0F4xElyZBJ4 vzbWdq+pUhYpDwORRE1LStirmB17ySYajOvPa6aUpf/xBImp+Ka6EIL0miVld7wYtV2Hpz58J5V0 ZZuhA2BqxNGR3c340XzW63putZpQrKA7nYGNHm3I0JBa4BX/h8ZWpGHVKVX1miLhjzHZIqicxkxO Hml8AgWewUbHz7mBxuc1ReJ7+lbi23WKQPmZ9NrXzOK0QjOnPpo5JfnsuI5reHwJgK9dzezm2a11 Hd9cn7v/lCYoGjFaANaMOTr0rgs6wGc349/oaOJFq5nXnmaWGeBJqTpdL6jhVPThZAxdmXVDFjWI Ud/fMUIVDd1fv9te25nYvvSl3aU4769C6kEHd2NdpF7KdN7fazMzm8smtQLqXS1IY9J7lpNQzEKn OlTYbygOsIMwar+QvKQXDg5usW4XgRvMQmUEAqdywWLLyp/XPiYvt/0VpFwwNAde0NPIKNU23yJ2 xAwOlFwxp9fk0/VCNQTRI6M4iZU1sj45rh6nVQAQLpvWmOcawnUKoCfFr1rDYB1ABxeDWfbKwTc3 JhG/0iSeBg2BeKRAX1KHe2f8YTPO70yJVB68gMUpyrepGDVH6n7HJSrRKVVaV6cqA+RvjKMoThq3 wIjlrn5+w3+4L5pd1HXOKYJxQHdgbNFda/InqBJtHnrl4ACX3tkitGWs67VazunXJHWy1+ed74cD fH/HaQHADwqrxek96XZ8J2k/AuKlnC8EYU9PKRfJHoKXBXES3/8c0Gae0h/zFBxOkE+kKQgmeepy VnRGT5mL5g8FSmziMoRbCsLUmyYtyglWebx4dt36BDcfDzImU2DK1HJEpr7GzSrfqX44woHvXfgd HiYKXYhqW4dRQk6Jii6H4D/BGd6l49WbNIa4eu8Ewp4VuJjzeWOMsHnTVdhnUlYIiGGIeemmIxrm 8071y0JHA/eTqy/qycGzS0pxBSDYMPseHiedZegyle1xek+/TWXZZ8NNO6v6dkrJIbATu9vavFGc qJV7yioiNLTamKVC7juBn0ae3yiGvm6cA5C4xBzR5iyz6o2jjIBl3KQ9QYIiAj88mJMBf/oj5cfP O82PaZe3A3B8ZjS4z+nrKfg7zQ//vC65s+lY4T7dtAhzYROrGrtdQvJ7Eo5xvCmfSYiYq1W7sIGG oRPJDZjjLErEnOQKiQvkFMBsuyxbeju9k/zw4SRFKC9fBFTsAOx2tXvL553jx6Jjfh1PmYfdmPcc D9Nw/PnBL0oONqCAGLuW5HUKbIBc2vomUR7eVawgQ7yrxaliUsDx0YBIwalXhiYsKMeo2XqK6+kO xwQ3ft4JflmmQ2BBEkvGRd/dxGii67rbn3d+nxXNipNEYwvOJ2+dvNP7UCENGjr1dmlYyztwvdSy rLm7UfYqTFEmbmGi6YqvdoyfYgVCCW1aC24VDaIomMp+I88kVwKYXW/8HqaTi6FO0XYi64KNkHOw Zr76D5j1n3dqXzYwxJOI46fsdXfTeyYOUBTrFe50uT7O7dAVWJPZbiq4l9QDj1JxiS310jnh1Wqo 83Len/IuFEwAJlQdlIZG8CBACROSa8De9cbvYZrU3cvoRthiXaYtsQdWo593Vh/+wQZ3ta8OQB2I CHucomRcjhdj2JGEwR0rFm4nagbKyXAn71aG2Tj/7efvo2Ocq0/LYYi5lje/z+E0TMAKpkHUZcyX 9EH0OX9PEKdBYntnrsmFcSoQoGPyUwnDiNLxXL6TJwANO7An1rCMknHddrM+jhd1e/kLzIl1LM99 ZWF6Z/N9fXVJAi9c7asD5myQfYeSBW6JBJbBpAIAaqrNApPp3SZ0KT7vZL4sVTfwjqk8uIbxPeDc mhq3MFS8Pu9cvmy4yaeVIiuJeju7UTaep7T2swdqUVVBZmFp+TSlavaU+JOCtMBcVk3nsz06n8SA 12E2Duesm11VSwf3NDfSF0TlMwG9oVcOrjs6XqDIhn4e0C6NJl8dSEoRCE/YZ/u8c/l4442f744K hs+3o/JO5cvuwL7UhpOefHZftcVmhWiPxQFj70w+dhZV2ImdoPXixU78NSeHx2OhOylmBkzi4f6E vHbckab21HtGrjYg4ZrcU+Qasf+NabW+93GuoM87jw8vutLXlQdNGKK9LFBhSk6W9th3Tg5jVfv4 6rxdFfSkLFBRSp6yGc+ZKGq+oqhto5UMgLn2VNpFIpadjsf4tM9rzkd+g5ou7xw+tgyQa2Ka4Yul BvCeEWJl1s87hS8/9phZnT1wxDb+NBaoKCdfJMUYF03Qi3FbBg069moZUAMIqeY7gy+7oETry3i0 6/JoIWV20spGPH7BCESAdAzPMZlDibemE7TNDOudwMdvj+iUQgUOyorLi/YkZ/ryAHX7vNP3cDfv /v8OKXIvLUxxV5xop1a94buY6Ekofd4Pb0r4BmGK2uKmlgfMw139wzt7aCA34ugAXBjingqtuc9G OluiJeZQdpgvvXKQQxGg2RMxPVx4mPdT88iFGubjn3fuHjP6e0BplFnQ/PSWwTt3jycUSVZlOpSn lWmd8fMPuj6idPHQh/eekmefZS6zw+Zq+wnjrA7tTabkBF2wdCn0MynAWRaAsd0hk4ar79w9fAJS 3le89gW0onKk8RHVWz/v1D38i7Pn5Jw1AHb9FacoJ9dUfC3nOGKAfVtQBJUpTimZtOc7cY+5Mi+9 U008qyWbDZUYQYLg+iPp0Fh84TanEV1GQWgHOV2DP++0vSyZHgooEc2D1gopui3B94B98pON7M87 bY83zne2iZS471sKv5P2smtzjZ4uNKzfSUvND8NRPDR8eO9J+bmItga/zeyzXdnhxGksSLyheXz+ +ifibW359+Lm2/C6K0KTF0HDmt44SDaliDAULkrV8b7LPbHWArOKjwfbaX2fT6falFmghSnKyUkX g92Fq72h4e+zlumTzVM/TWtBvbP18Nkx2ex1yV28rusuvhpYjDi+ZXN8fmxRmwqyM4OiialcQMoi 2vedq8ftRDYHhIBtEYYAxYRGmycD/bxT9Vj6t2+gBaBz+3ag3ol62E2d+aUzgzKybxNvObeGJQug gA7Ln955etkbvx0tlbtamNYpbtOGVAQdnk5pvMxi5fxXCxUy/MRuYwXH4+edp4fG7aLKzdzDF5V4 o4Me+UP2S/m80/TyY/2YZXLfAcYstxR+p+lxD/OO4/hUeaYsjGgkSgMhXXeYbyhOYYOc0okdkop3 VZx2gSstsIZnO3XMN4Yyh3O0nB02+OAgBEZogqI3jgAX8sakDsneBrs+MUYfTZyqycejQzx/Z5nn XDl729Pxd4oethONaWe+Gm/rwqHPP4sQHmq8Ldc/eGfoZbc5PhUwqWda7XDqTJMw7oeRQ64Q4lfH gNL7dcLb7J7hbeiFowIPoAtIyPmiNt25MyUbiPTr807OYy78fTbVBG/KWwi/M/OyYeLnfuD1O1u2 WUl7sihNHiAAQr8T87ibhaqX4LFW++gKXL0w72JfBQLoLnh9KoHFCRqUeGyKQNDP552Wl9X2BXFN tCCg+Kp6mGgJNWkZ5PJ5Z+XlB4Sp9njlVdnvRxel4lTixDDlgusfI4ckHU/y00lc5G6K2uMyjoEP 1byrH071XEIN8Ljz0Z3bqVJm4uy43XkkwfhxOxUISCC+cBQmZkyLMEwuOpvqPpufULnzvX/e2Xj5 4cUqceoUYhh3N71n4sjWNCRPw785OaYQVt+nkzWgW2ZRinrjSUOELClmrc5AyHXCiYdQ8ZOi7yaq daECHrwFSM9yVAELlncmXha9BMqvffmirkrqycxTUudrRl06740rLYUN0L5HU5SHiw57brqLBhNl GCd4Z0Isz2x00nSCh61xaf5s01bk6t0nUGIS6MFwLDpnEZspVBuxj++nVHgWaTtNggrfWXhZOHG4 s1F0hEtSaw7WtOzSLfw53/NwbAzv0kmAEXIL+BAtUFEi3kjTGDJe72JKdU8wU3fuQUauqUCFzfGl AG0VLluSA1PUA5AVF4d3+WTMcPQl+JL4n5wwApYOurUzk145gs7Jm4920AP/Y06SKlpPvRo3q3/e GXiWoX4Ng89nuPu8uVOYiXd+eGk6nCdTn1Cc4WyWT6hgqwcqbI/LMHybBR1Xh2CWgdGyC0JMuaih ssMxVQGoJcnMobzFXvmvvacx1M/MeD9tqU6AEHwbMp8PPr39/ekBlTmBVLdARbn4lCrSLNcRurlC 4Kliszd+OxTVFaioP272ibPa9LzWR+IAqoC8VSBQtqXpReATcnJgusH1vQ1yaRy8M++syjpn05Rr vf+DT05x/lSCq7a5Pu+8O75n+65Zzp8KGq4OxgyTcdrSjjySoZ7HZHuFHEXp5gL1TB+6QjRmnIwr cUzmGpb6RWHQ0T0zo4QZ326zuzlmB+R/oOagEbtuPBo2vNPuUGYlZpmDvSd81HJqgsHP1Klzft/n nXWHD7f/HricXHiv28x8Z93hoqKZYafKLMXuIMgjsbtTVDBrguQk+kUWqCgbnxaooaOKq395I8F2 gkqm54fCyJVHVOGQtaLdhYzLm0+LMlvvpLubQdEchUsz/FNa0kA9131an3fKHXsa3xMXAFxWu0i6 d8YdNtQkKhPaOwgOdbzdeYDA9GywHnh+M05Rd9yac72VZ7UmHTRdz2en3tNJA4c1fScdEBvuXcNh WHnX9crBUU5SVKvUnISRhUF1O1RyiK04ecnnnW/nmL/nJEeI0vaeyjvdDsdaUXN8mffHudG37Sd6 7RojoyB1Zu/pnW33fHi7Wg+qztt7AjcETHyOzU+N1Dr7Lif9BHakAn9B5NJtjg+9cpAbVJrVY/Bh i3Io+MQaTKzUzzvb7ldznN0CTJfrvt9d2BvfXYWLN333482wc3e8CmmpgouHvXGyfDBsf1bvPZ2M bhBidb5kjLe7cJzn2Ks/1D8sxKuoClZT5Z1qxyqYWsvbZKPWzcjPtlW3rrb2eWfaGWrx+3zasDu4 3YJ3pp23rCZUZBxOR9cfg9NN62XCYca6Ku9Eu2wmPicVnzXf1XuZg3DVtAi5mScjUF+4gHqwiC8o mLZZjw5giM87zS5LfnunhEzPFhucnyKVc2/gRz7vJDtWancmxQuP7m7VU813kh0e6xx0ruldlSU4 HWljrQ0DGHSQohSnOCdnnCSJbqsXwicu51xnV2WhS+7yW3DlnGyQt+e+m9pPUWscRIUTIEjK2KIm HSjZtAkjdfOdYMf7Ln3lT9C4m2Pc7RRl5DSxOp9du0o++Q7ON+2cfdZiI4R3cl32wXkHxeCuFqZM fAFbKAkvNZqs7Scu0cGzCpM/h4kRnPnOrWOJxpKlslfHxXqZfbeuDGHOzzu17hdKjOPQBMZCvqdT mI9vkXyy22PuUt2LYdLNRIUwuRVoq7QQOV70teX0rA4SY/cK+x36f+fDW8Z8QbgGDAXAb/Puk5iA LUzHE7dRpgIWF8Ewzu4tQw2WvPF4kGV2zzJZDFbQtLKXLS3MxhHcVa5kwTmsDJFxUjVysdRVATJL h3jUGU+yX0idLGCtdjiBTy7L2HM4oSMoPRqcJyj5cPqPvR5s5tYbB3fdpsvqEGVjZDuc8FdQWxCw dTweIAt+7SbwixBeZ/2EaBVqHM05HDo+hJ9jK5NuCGplDpew6+/JOMLE8DTJ9mj15tMp9SDtRrNV uFNMsV9hI/MDaDyNk6y2G9teOBoDU9io1rswSqdenHQ/PFHafPxfgGjK+0AYuNwTvEeZOGTxUdpN d+5dO/lmWo08BPvmbBrVI6iKPDEBpkh3tb74GEY/wNEENL/JPqyTH567BvULTEO8V4BGGH5PULEw wdy13EVhgvhFNRewiccjCtlNnDhaP//w3u+4vIeZeLHpZvWbTsxyTTend5+aFLMSf9Lfu08sIWy1 s+lUuoAmEacCLFeSjv75N2JXwXwTY7t5ewVikUWZ+GR7DmrlXDAX5y4qJ2xEA51/fCt4PuBszu/P jh0rYIE8UiFrk9TfweqREymU3A5hTdMhrOfvYHPgHuXiXtvVNu/qfbpz+ABKNdgsQFuZph8FIh9w LWxy7LraFyyCe5SLo/8CElklOBN0oGwyiDUn4QxOccEfEBzkv3CHeJfRnxMqIm8ODlu6bMQpfTEN 0FobleXMeOH6yvUYqaKDfDoV+KJYJ7BBmZU2lCORQEn5glx/XHUg3c1+tbJ44fWoQY5e9YkxsKNY 8JVJzYFfH3tKdU88HwRqfAPqBsHoF9LT43S8qKZbBvelb4cI98n/W1ldy0egxxTOqYQgt7vax9fY WcFg4SQG56NJiU7tlXsWRCoAWdct75jI4xcFCZQChe8MEpsD+AA2oE4+SyV2nM4bz0eE6fqVaGIw NerNoHrYI8dTq1AO7X+I2XnNxhZLhWIsui0EHm4mmjjNw3xcOXYt5uRRvpw8zg2InQqE5okaMDTi A1MMtgOaCimiR4x06pWDb4+NOgIksaOYjMlTHfM1TgMg5IQfEBzo43vQCRVvaIB6pN5TchQ8wvni 0jSFrKag4TgRm4wlOBgSPM+jlNw0yydtDG21zgpQmYvKNehALWgVEIWRaVZYsTnKvMOpkugy3qMm OWHnKSFzt8WmwDuL9AdQKx6PaMHfpTBmcjh6PE4RhJy+CLNKBZ/8XBqtsQNVyfH9n5BFxT+9CK1i 2kQrGcEssdyqhrQ/1SkGgiTg1Ul1kfO9E9pWofzX2pcwCJBL+EVRHtWVQA1f1FkBUo/VN8okPB7t p19URQj6AN/ugQqzcm6o1TjFk7BfXhaoc2xM06fLyFG0oaIeuVHwp7Xs5heeDoMFgHvIVNwDnHMy OjnDzR3VC0kv1tIcpL32CLDSZWCVlXBmTzgXUzThM8v89JDT2b7P8oxR+pJ7BwI1orwcoGBkUuUp hs3LGCpie9gwAS1hY5pHTXJh40CQL3e95UveKCrozIQEZGcZfrFT1nhQYegyTXnU3jiaTgmxYtQp 6whWoNaF8jknXcbjEdX8xknc6/2z8pUHGVFmLmGsRcafpDTbdo4LMgXLo+DmYXGKe+TKtk12dD31 C1h40JphTVdBwTd3BXbeIb+GwQ8yRJNbwwQIvyji5FMcZFBJLCHtk8keHLcWGzrQUcbzwY5K32M8 zAEWskkLVAghT2qSmxkhSuPsadQSK78L8OuBiprkNLYCpIcpkkN7BkuYijI7DZHwzt5cuiAhyfAz qB8CVop5K4D5gl8T4Fi7Krxli2WNYOQLnnnun47HI8qU7yciEs5P6f32oEaUljcRzqXBytZvXl7A 7GxFcsNQ3VAGI0rLaQQHL2dThJTPLKI05in1OrEpyKH6+Y9G3UfpMqg3029vBXn2wK+JRp2kbhSN Ol2x+pxspyrakuAeG49H192vTPPEdSEBsihFKTmZ8ed7qZfiQhoED6fG9oh0+/q0huaIUvKpRub5 pPZdLdM8CX2CKpi4ljBnVpQSNHYIuCqJFgHC+pJ/N6IGuVRnCkB4WJDOq917LlV2YDl3xvNRR/MX 3xyfG7q6FqcwIyd+20bnzKTqI140jCuMgcv2OEUZ+RIVCMD3uzoo+uTgvPEI9sWIQQXh+a2w4hX/ 4rLyk71wUAwnYX3z9IVJAUbQatvBVQ2PR8ie704d/rZna/tYaoTQcV51M1Wfm4++ndwCFWwHGJRs fd8RQceLQJnZWlFcfSx1olFzI2fjlCpQ/XETBTbxcYje4R0nGvg10fCuEB08tJj32cnHahJq9nwE HY9HzBZPxfl9gZoEg3OLUohXKdpL4zJbQM2wby5dgD2TZkUpSsXlmN7piqUVf5ls+KeOmo5lcKvg UScbtqBRtimynW6YIBLxGVF3vKvfO+ntlljTstk7kcsyCRkldTwfDe/uPcc/IgZ3dd+PLs7FRSu7 GYFJGjJQpXlnpV5y8IhycWO2jCQyNVdLMc8nW+SHAq7U2Zrs9QJeMMWvKnDvfMQwmGKOMBenr3oF nOIsMDbOSaSNDvo6QnW+84UfEDQM6ndnBQ3JL3zBCJNxzoMhy2QNg8XfpdwpJdO3P7lFtx7UiFvk mpfL/lqr6xfgH7EA3odT6qkfK8HjNREFlFFhFniDunOCzvGwR16lBNykrw09CGaL61ybjSIi0N7C 80GgZvsqW3Bonn3e/YiaYZe8ko3PWSojNXCTCLLSsqHKz5+qA/aO+m7GYoZKByrpZVrtiILFAfYR qa+bVi889AtlOzinovqqTfBIEZ4hYoVlMNJYXwSqO7dWFeG8lorHgzi1b4zmgjbmvO3fGSXjlBcS YEUAg/boGaZle4tCMsnCFLbJk/t25bs6L39VcOwojpcBEKOLbamUWDs1fhdV8pbB9EuYMaOTiqub mDouKoNPutFlqF57weNRdfcrL4A/MaBEFqcoF084SFdbj8ULrVl5RJXVvbgDENcUskI6ZzX3rr7v 6lXwOud5A22e5BbIGlpbBW8LRcKfgr6XA3so9DDDNjnzzF7pP89FmcGpv6hARrwmHv8vsn0gAe1x Z3gzysap2jFllqEiuG4XWBmjeGYwT4VvcQqb5IbRnPtZvQjGnz0RrA3IGdgJWXkmCpCGH4ny6LrI Lr1xNE0gkq5uia5u039qKITMH7xMPB7JiPavogVCuZDovPspysfxsWf4dVucQFF1wEohwkZCNMVl 6WaUjw91U7bcwbVangkZ0dEnRf9xPkGmFvtp8BmqFBeAZX0mTM+uGbI5E/VoSZvToq7KSQmSDWB6 /cwoH2+XBiTkIYYu98KbcYdcmN9Lze9tuH4vQLmeaaL8UpwiwIr8XHrKbd/V65aEcQgUMhAnDBCk eE/9kAUaHe44T6Fo8DmjfPwcm1Rk33dRWjBzE+y+neMGj0eyRukrSjBWAFjboxTBVajVNLcyzSGs gWeaY1WvWsYmlfOkBTNqj69k1X/td/WqZcGXXSpZMBkECJFR4qADfLYskSwh7UmhnlFCDkYUhnXS FGN/j5tpgbNHpf9zLeDxqFXwS5gWOrD9ymHMkMmZhSq4Ji6LKoPq0K3tnadB2zpupljncNucd93V NlOBCRWVOKFSy8aEGIr5bFHiYzKAf3eIsPXGMadsUQ7YF3XoTipAXYofeOzi8SBM6ZfMA2BFEI6w MEVwFXYYsJuyExLyuCPh5b7pE+hvC1PYGs/aTdM01+ajuTbH+UnnrGINfG67lqRpVItsuiBAVJ9B 5yDOfkbpOIQpoU1rICiwPkyiFk4K2MInBal4PghU/T6ccN32fdGZM6RyUsc3qyvHdLPnC3/q21pP Y023a57/kFepeT2ro8Tkm54l5Juou8YyGHSNk5tKTOnGaRW9cZw7wfW0Z18MsILzT0ZKu+PxKBfv XzlmO6fTgvySwrSiVDyLjjAdYrBEwVQfk+0jCUJf76QVAVaoZA4agiQxuPqUMwPPg2t1wP4bKRM/ 0YyWF5UGhR1fj27BCgErm97mmFTb4mypST9ujOArHg9GnI9ElsgIGem4n04r7It38RK7tZ6AhPIw TVcVI9bXdtMKU/FumHGl4lyvvgP8FLdaTx3AgmZSYlSqh8jDRT+ZcOaKhQ45j6IjxkklNzSJeCSd /L5LZOVUp3g+AtN5nLDzgI6DariHKZQXr3ZyX2jmytdXo6bL2bhatSsErGzRy4nl5spTUplTo/Ih +72tnsNKlP3ayKRECk8vZU+cTo2E3xO16FjRgQxqi4A9qxga9OSLfPxfCn7qE1dMzL2nskIqZ1PD N19J31puT4X1JMMELpiFKUSOS05l1/asXthhLFwEpgOgZJrTZ4IMBvzkkGXumxIQTLfCvviiqkoj twzKWD88uCu9LLSdBuRqQ6zKr8IOzIifBc0dC1SsNC7KBlllKoana4klVpdsFCxBC07utEIup+xZ IPpz10u/Wz0ZM7gwBWGj6oQSw/kMw96SngqY0xL8oqBNR+w45cqhF3Jqd+mN455I2lIZzVn8hP+S PwHuu+ct7laUjFNsfea+vaeS+1gGV2lpXbjKSmY9skJpFfHJCK3x9enTodHUKdUDNcNJTF1hx/Uk 0TiOIG9khoGJquwrgqssSTwkSiDPZPS1Bq4jOY5E+ODx4Ci/9GAeUTAt7k+cQvQ4i+BVps6lvMz2 AFsqp+WsDbDyLU5hOm7aT/DD8fUOEU7RWEFdh8rogKdXFlKM4r6ANtea3SuwZF15obIKxR3oU8zF 2S2VTmisglPD49GV9y39BDvI/TRVVpSPI79Dh8CuObSAu0+Cx7zsFkChLE4hfFxn1Mkp911tP6ED URAXfno5LypPl0rzVxQ0KILHjRNuV75yVLdwVg7jSS42q8yLmPUfSmRVPB6c5fs7z0Sn4qvru0L8 +CD9rlTPM8e4Qv+1XOJropIchcfD/riGUb3IN5erY3qoIjGUkG969dDxZ0FPJVdwdyqdnzgKLpSW wC8K6Ah7UaCdXd/pV8bZTkA4C94z+J4BtOAZSwmssqg1mjwlX1FKTs45BMsuCKO6wC+6jQ5npYmu QhXrj3NL9SGQJlfv08GxeODbxKd3LozC6VVNW+1fwJ/KqjeNUqiinDwTzopesi+MT4bRhbl0zorH oy3Vvz4946Hea29HSTlFO2Zd1fXpVnPW+Wh0BpY+3ciWRu2oPz7kNjk5orXVs80B4FBmA6qA8Ixj HY3fDCBaJkitPEM8Qmfwi4Iij5zXLnPhU0B2HspnI02KCNPNlY9HVKBfkhhoQDzpwX7PyoF3pAyr W0WdckcGLYxTK/7t4fs3PfvY8cfkxMTL4Gpxgr7oRocOyMORatFwvXSeVQ1fbXsadSXRG2HHQHJx ytjR5GKDBDhRsXCDUPt+T8utaH8EVnDEQSDT4hSm5UXGidUVo8taFieMem7TgN6kjNM/cORI8e/q ++lcRrQYlV5PJ0cJ1QsOJRHQK01MzUt46I2DMI0sBeTmi/Lrs/tlElh3Gng8Ul3zdJNQIEzLysVh 7CgtT2JMacjCo6plFxOTEqzbI5j60w7RKt5b0TnF1fuZLYGCxzlCweyF3ICTbdIvOQMKDKuke5SD uIRfFCB+C6n56HLYovPppHnDnHGxnSK8yiOfSY2Fc+SDq+RxCiHkVr5claxF4VjrQeXstAR6DTNO MaFT7lE917tanEY/SUri+A6C33RJ4viOFw0JQb3dTh1m9/g1EY1axtRJ0iHoLfDsHj2Pto25svF8 BOu5pAT2x4GYaVdmdEcpOQXtT+1OYIF0RGz0clKoll0UsnM6wDjFeBVB7Ud7Vpu3oIuSoeWH3gqw dDqeGuG5q/PbG1e/AL8fv+ZvPkkAPfiirw489ixDsrTw+H+S8AOuLfVrt7EjwEqixsrkH0KoaG0n cqZWcX5woRQRwxRqHW7ddqabwtU7v6NMaMYzgwJjrXcD2ssIsyGNwiHe2INi4bKjBrnUxNDn9cWw mYDvq4YpfM2owPueI+DmhECHhylMyE2LtTkDbzgDFkLI3U8n0C5EVNwhYmVJHavudlcnbuQx0fNv 9G5LJ0pK2ivx5EP2Sq6rXci2wu8J8sy8ZJK4fbEwYShL7PhIfDwSXbsmgJocYz9P70HtsEOemtB0 826nlvyrI6BI5soU2WGcYsCKOplmdM7VSVOnjK5U3QL+6RyyRaEp8NYZnYLiF3O4CbHfIZ9TUpBz VF+MHYyTQZjDOvB4VAb7XUfB2kYp2PvRherjmQoYedpHtxJ5bIySwX9lIjE9JYjAKhI06mkLx8rV xTBO8tSAisZuWhAb1eSqAvM6IOQLxw33NWcDAL8nyjAJrV/MyLlYqbKKPNHaqenxeKSq/c3YOBkK gt6u2UYKsSr06p7F0GEmjCEQRtfoc3HgkgyrklOor6IKpDYdU1wVqZM74XI4JxZdk3ZGGJFkNnw1 uVP9CYRWnlFwA9r20oGZGxGHq1DhaC5elHK3KWhKMQLAtPJH/OvOI5wTvY60bmtT3OZ3CAcRGOyk ErTSdzFsD7Dx0+KVaOlL+f8UJ+UqiKeSTq7eOMBXl0DaPLlhzudjMfIGhzQVWL5C1K/rkC976b/B MUAm4gIYB8M1T1LO0+MH83r+gEhH06PF/3Umgwlcyhuv0ByIk4WWp4+HN0nc6tuJY001A/gpWbxC JPkwYN2fYuQN8OFKMmyuFVUNYfmVKNBM6R7W/q6JzKoYvynWEcFHqAVokC7Zw3PALmERz/XEHxBA W3P9ShWgxrZgYuzBijJ0emqyJLPmXU/mfAuXg3QtOHq9wQrtOZWaN4NEtQcSBY4Lhp3imnEoIAHp zgwEhQ51Tm/FJ9eEFJoEFdEXx/RF7Rb4sBdRFcbg8xF98bt3DmmhVb5MzKIsnR1+1L7eO1/ib1AK 1IHTNDLdHquoeU78ADp3grGM9bDNzu0zQGVmuwUgLFnDnWQNm7ChbIbKqpczlLvgbwpYClTwMWKe DAzUEoawJj/Jczzy+Yi1/z0ExaHBP9yzs8L2OUADYD1lO7ZOUl6MSTVmno61g2aVHfOxNLnxzHe+ q48a4ExZNkH4NdW0xeOrsHDGVARjKgnYNc34tr101Exgvp5oasZFO2tQQ1D/Uc8HFsK3TCaWitis L9+3FGbs5BBXJZ1bAonTlI+qkz+JdM0erChln+4HoGEDV+/kSQ6KUFfaUTUqAtbEfKwB6Q2S8bU0 y81e+m9FICSqfRE0sVQXcq9w7k5hG/2q+tCXArJzGDnfYIU6LOQRs7sj0ufC2aGdNWnHIuNuAITs O4wSd/sOrWWg1c4s+P2emo8JKYqdYlu/kaw3ALXld2BnFshGeumInE4cZ53CJxKShGTr/EZd/SeJ aHz+X9aLbHxWmqzva+CdouR96dDKT8n8QO8GTX7V+WzeTScBNvgnqEU15VF5E2vZvYDSQ/ZCBtJy mycsTeBSIWpv3IkfLRj4m2JfHAjcSvm+uQvcLmsM1TngEuP54DOsXg9ykEW2OD0YLVgh4HwIAHQ9 hsdc7m/WanZRd2iFebDChrqlWJRqtNX7erDP7UPSf5BBGkwvKlGrm5aikJV3sh5gyfxFUcOKrZgt zVvK8TJWJznMugxHnnz+PzGH4OOY1nWCCy09yTA8Wfy1gisyFMTfOKdb7lRSbmRVGeJcunRui/ge XK16HiBIo61L7OvJMIpw17BvkN6tDZKVlLZu7xx8g7SrRifMF8N0nmyWv7OlMfn8vw4sNvfoLvjs qhwl8E1AvNV8oEWsgEvYTG/HtO0SNjlHWBemICDrzXZXCxXwpSd76KYQUZflYWyGDRwfHYeLqf8R r5hzTAG1PLT6okbDPvW46K+rVD4fZO/llx8cRFwx6vFIhak7I2VGjILlmaY7REdIjSk06cBwgddg aOy5JIqkWZqt3uCD8NFokzyGmk7dM1REg2g3aPlXyhOq0uyd/6aUuBPZoGexgQvcr0T4ANSGz0cD CD+rmKPjvtmXVpwDb0+M+uWjOzxUOo61qWBiolBhuOKhihL3aYJIi7hFrQbHWzyW8HGMNDPdO+WT CnIhhJJ+KB5iUomj2SvHIqU7DXl9c+GmgmBLNe8llISBuSc+v/x1qkOpGEPgG6lQLdHbfK4gtTTT YqQyhxAU2hqt+OcXskGLKtFiDLUyLzkG7ZhJqShsqnMsLg2focB63hOzfygCWAOLwlX8RQFAYfEC nNpbsoJnB2tVgax7GpvP/8sXXdOLfnLRdFsNgb8nEu39QBT4/bki9yloC9nF/P5YFGhThTm7fCjy 6P2uHqrzhzyhS4SbQYjRZIQLHDnn1AjyETHv0945wuURNLzoDcfFmn0oDQhXOD+Iz0eTrV/6B+cv 2trt9uXA4tNhw+RZWzFYaPqyqSRVl3VlIGRuSWjo8bnkVsIxvq9Pxk6POHSxQKQtTalColEVJF9/ OOf3hp+6WKHLp+VVS/3jVR0fNM/P4PcDHCCfD471/G1uAuLH2hfqmQOfT3RkFs/y6caMa+ZqyJfp /mdNckAyZgyMPp3XADPScleLVa0NWThjheMw8cMvKEIQX55/qPzNJx2QO/2m6AqkjBTwCrZYDtpx XnFfpcrnIwGSb41JdCbXM7rJgdnnydfRdpsGxSMFhCIeBvjMrlm6plPXc+D26YjP1g0gy9VhZycD OMc+Z4E4BZsVN+cAhqgyLG7RjL8wod7spSMnAQLOeruLjh309LmvKpsMgeFn/mI9Ml1Ak7XeqWkO DT/RUEK6MJt9g8gQbV8JCcCGDDVR7BsM03WlAHTj89V5RZDt7ol8mQrdljrV6VuomkE4/ymAf10C 1rSXjkZdloQOX5SE9l7UTDn7avP5qLbx84rWzZU4C5RmFq3Y93NV5Z7ed195DT+xmvH7MGKmjWzX j/rb9BTcnnFX21noyOCMp2DBAPluCvsJVedzCiO+KDnuwKvZS0ejHEarSwyok7+XqCkOL1n8ylN2 8Pn/IqfYSPW+k8EcWn9OWslu+spQ2XxRu08tBsiHZHNBg2kEd1bo/WmS5qy1fb1gvQ1LS/rq5bOb 9pI1ccUFdKKEukjen0O+es1eOjixdLrntXyxySkaPVV5wOTzEaT4+yYEkoz2yB6rULiF7ZjRbltU VE0pBcoUjard+IE6sQLzT6Rq+vrq12qxwn2F65An1qmPm5zDkb4DB4OGIoUlvC1KI50cuH+iFOQV KLTHhh4kSXkNunedQ+7z3W/+gCht/+UahzqE9uIerVDjnOz/ufuytmhtw6J1/rlFPgPYWa6rmAMH UJxZpjBlSOy2LhQtAd2xiZ7P57IAvl9wWVp+DXyTlVh13YWJLL8cW4AWybrRVpakKu6Q82/JdCL5 OZ+13jSaz7evzB1f4dz1loOhByhjtarLB+M/dvMAPRu6utgNOZ/K3AMTULeV7Unnu1YHMiBJgxsa 09EKUwzhPYgBqmpd1bux6C+QYxfQDPoDgOnAWZ0P/Keb+MZoVFk4Feaa/AH/Yh1lyxtmeUrn2AhU vjolzdtkuM5oMmZWrGgYqljF2BjlVlII0mr7akE0MO9KDNEGCov9mHOYwxyHXGRkaA4FVT8mdAJF ookztm5fhI7p1nv+gZgjn4/8r8fXtgLhArjOG6oodeff103UBd4zYjIEOwl9VOsqe0EYeIEm8wLt uasfk/vTj1kARo1F4AePmCSKVqMoBy7jSSKbpKbWtFeOJl6UcinUO2/FEQ0nkStqLJ5IbT4feTV9 09vRNDjF6BOpqNNOBtoE99GbDGNdhExyyh8O/PsBhph1HemwwLurgxoWij5Apc4HiL9LFoCP+k3n VCVlZd4ZTrZYhSCZuX4BQjsnV/X8W2Rl+gP7JD4f1c6/dKoB7E/X1yqHhqDdRPTzo+dt2TrSq3n1 vNltUXoV+hBlHVIpzbve2nmcpBqovkF25NhNh1UiBwkN5HnRRHQH4e8JLsEtt4E2fBE/cgCFaljs zOf/JVZSDbdeCFC7jYbQFpQmvgDv5dtoKPYJDlm6S8YMf0sLVpy5L2uwl7v6KLV1HPXENMBFM2Vp wVYK5qQBQfha1Z8kD6nYSwcba5Ehmcm+zRiZamP1lVkgnY1VO5+PxGDXVy4K4UL81e5wMDQH5dc1 8a370V6Gw/kmJ1Ya4YBlrq8wdAeVbEknWMdX+wpPcr0ZfDJv1ylvjBIAWYAOnhokDx0eSrBxDt1B cWZDg3lnX3Syl53JFLZOe2AP+p226xukvMBNRUN70C3nprbc93JI1IXn1TRkFs4rVDbcVoE/6Am6 JQpyBNfqkUJ7vTWqVp+8HVN1QdVwsQyJfef6cN67vXNEb2OFUyTfWVy+89wTbU0Bs+fm85Fv+C9P R2pajXu0xxahMr/sXxIv3b1RdvM+A2SqTIUqhx6hRolgO9hX7zMgk+1Z3JGzawe11M6l1YQPhdPl XJfe1jXrCm1CjWdDjvGedHkQnC8BP0h1pVOG8AdEwfpG+wtjmL9S0dgrFMMUfGKubsZLSuOuieTa lF6me6flv5iFio2UqLSv1UFFJ99plTlDhjN65U+Bgm6hTyiuYxC5XItKA/rALhQUTQp5bjK7E8R/ uxB+uSVizX9OfpL5AyI4gxc5fEEUS208B1aYt+PkgMNt870lcXQ68rn2foPK5PZghXovXR2G3NZd vXpeebUEfgygH/RCEdUtsd/Fbjtgxy6JXoa99N/ssUnkQ9KA+0aQtUw9BmaYJzHlT4iuw+/EAcop q/fnS4wyd5bDE5Moq59PtuEVYZGcCXimZAtatELVF+EghxIIrZa510kDayRZGaHPwuCie8wDDu++ aB1qWrrLXjr6Em0yMX1xWNHYCltHdzQwD/127MU2hFLLmJfvlkP30FHM+co0Tc7OWq4It2Zy6ZdF sR/FKsLJNNtZpDzZ6miGccqokjSgL+dVNyui2tkRy3RXlu+6MW+6vXSk7TmlM6iF831urHOyS3Rp nP+aPyCSDvgeEVIL8vEEyYGD6PkMqyg3Zrh+4rYeFa988WoLsjQWrDh7F05t5me1YGXMKNDRV2Pm ZBDSkV+UNoH7CBL3cT/Dveylg88wUy82idWV7sYaaCFzSHi2KZ+PPsJfIjDgFaCr5rGK2+4EJVPw Qrrfc2bH9hGZRgBWHZRpRlsmdBGdEhUa5j03Hu85oJPOX1y+tGA0gAbH850u5A1bsoxdLgBLbffQ RtRkl3K+i9rup5RSfwMaa3w+SEiL7yth+4CzTvUBFYVOopXDZ+hx/1yHTI9WpUiLVNLHHX6FVqI2 pCjT4P5z+846G+tkxxMtH6BGO4f2iFaZ+HQH65KdnU9ZsrB9gZcobJooIIAeG/x+gGtnuM793dkL gArO5A8Ikocxv8IFX5L1WNbn0E60bxlDby8MZ7GkC3j35fbZdTlJNwd+oqgxqR8LpMJdbVI/T8Aa yhJM6qFNRkkM+IZVdCCgmwvUotmGlWWvHFc6qJ2ldUKjTXx5PUOaQmA1YBoCP9H0beQrX8P+M7+G qqGhKPlOYEhYWbhqL17pADHgSJmRHH8VOoqKT3mqv/asVkNjooqOCwleuAiLhorM8ReHlfgIrCoc SrICR1H094c4cKLCoWXJYE3AJ7r6DZjVh56i6crICvF9clu4CXqsogQeagd3QC/LJ3b5yDehx474 JiN7CR24in55GSlt2PmmDaMTAzmpI4sBRK+8Lit6W+c9eQD1C1VLxV75by61a1UtwGcLHlRKHtwU kKzlD/gnCNJP94ld6LGKsncME68IOP+jTMf5Ce7uvMFK7Sbtq1gfhj2Z/2PszZJriY0k0f+zFpoM 89D7X1jD3SOQh6WMS/Uze6hSKcm8QQAZgw+lypOOqxMswTQZ0IkbaVFER7oDJEbR/+HpzWSkPHrl SB8G2cKpMrsvqqChWiDhoQwEVugsmsd3zkDVooewmwNrUZBzGpVO6rA6Z5DLptRdvEsK6fQ7zAm9 RZepeLTW7uo5w8oJWl8EIsOodvNiqw1g8A2UDVnfXkHbCQwzd2nLg81ji0IFUawil8NW+fxfDhh8 w0r+4vMVDO1FeRQmxgeWXxUJ7HFszqa5lL6g5K2vYOAvetnyveoEcrUyBzdVmlTZP3/tXZek487R Qy1bMbgvqLg9WHPbS0eO2vj8DcA21mZ7WqJMYkbhujp1VeMPeL/b168Smo3p9hTQgcdoFs8eenrX /GmP4RiQZer8+FpBa1LBCkmpYg+OpWubq28s6C608ymkmPM5d33IWWUqZz9pIyT776xeGJDAZhTt Ag28hhZAS9i76jDB5a/MaDeEPqPl1ywn0wL9lOPPhzDUbtxbUO3hiJllQCOadxbbWgN2OnYMI8QM J37kpJa7+oUF1jSHjgPKWCNlsezRqvlZHPLnL7+e7e8cTb448gLKxBbNKM7nY3SbUWQ+H2FG/XIn HYCzh9Rv1vB5za8KkFiNfK/h9XMrLKXpnzN7cySW5EBPrF6zq3Ide6hl6KtF6hRtM5XJtjv7VERN n8+gdsj5Gm3wCM3Qj5bRr7lVweeegCLAg2zRbXWS9kU67Lns8fRLkApGomq5CxCISTXM3zr/k/PH CUK02BeFD6rl64vWwoJ+9OVDZ3y0bDsFIbKR8xr7We3oISk739XEO52EcB7Ps70WRYKBZcDnT3YY kBTFr3mLEVR+SffNNNcuVwj0fHtBKcD/eK7Bz2tCVUS1eGIE9cOTDxUL0Ws6VdDgG0rN/cM3OdmW yQMxPbKfSV4svyZTxYC5SAzGvqsPb04Ve/7//Oyd01Lpf4rr/iTyQNOeBAF2Mco7ZQv5mkqd1wVf 7WT7cJa2RV1jxIfqcejifl7zqPIA2e2IUqq8L4vQaxJV7DMJ+6LrOl62M0mWz+hBcHax69cUqqCJ I16zpGK1+o20IfiJihXjLehEq6OVgY2nGis6DxYhamZ9XhOoch1VQNnEglEF06ZTulR9MfC9+Lzm T/jX9v61iZBp9sLWJ0L0mj3hIeB3Zi3L+SNzm2nIyUZIa5COx3L5nNfcCedM1XAf5lcwHr+CBiUS 2jkM1N2D/R1S8tBvgDoTVADKJZ5uvXBwGVFQ4Bz87ou6Lee7yUaNJvCviROeLstiZLqOP+AHWIxe s6bzENWm5+oPUKEsByqM/UyzyjBxitecide1mpxNffTRnj46m3bgulFVD6wFXtfnS4TDWdGvb6V5 GlCSHFiDGC22okbl7GFwYM+U+mRgNAoAweh82F7zpWJQMm0k7iQ04wtBs4jSa7pUrrEhLwHtpHQV YeA4b1E6B99UZF+TpWLm1JD7NEHwepMlNFwLgOvyFgK/JksdtSTyFpGDwA7Yj1vSCwfHTa7QW3qW VJvTPAqOjFKW77V/XjMl3Ge/vmvQ857ARChIr1kS7uza1XtycdS5x5UXWs2PG111pEgcbaVuXEnZ hMxcLrOtnWr1fOY4weqQsapUZyibom2Q3kAjd160OsWqXlOkkmzGAFEQohlR+A+ZyeFTyikpaIuf 1xSJ7zksSgRdgXKSt0fptbWJz6G5qOy7lai6JvkOqpTqwAGHKJG44N5O2RqaKla4OqUNbqW50agP TcduTeDJtYFq31jRCU/MGdDnta2JKFFzv8qd9iyG3eznYt0s8TG3/rw2NXl11u+dNAnMg4M3g/Ta 0SzyvAPrIDudrcnjmC2zli8gIbmv2ms/kxmSDUFNc3BfzcHz5ypwHGkoTs7ZQ8BZyQ2aGHXsINg9 XVAe9Yhfu5n4Y2yTPRuOcuHtcp7tncT78zfJn9dW5q+vm8QVcC2e5P/mkVGuzcYb9F+uspDMeURl 606QLKsvJ0hGcdIUdKWU7+pHDg0z0IKwmagAnnTkFhnwoNPRpNbFYJZIndFuImaqnprNF3V90QCU idGkEEV05Gb++saRRNryjVOUcFMubVJJTtspy4SdudKcvp1qusCpMOOWVnoq6Vn9/gadoaMNAJDn uaJspFfNu+ds4CaPgird5qFXDi5w8mgoGmGLsbkHKmwL2eed8YcLfK+vY0dHoJ781L0T/oppdcBd xhKmlYrHCQhYF7BcdL0VwCyI05b53rkvxl0tThTMoVwohM9OPTzk37uh4rdojpnqM3QZQg4HYeps nMA60BeFCUWz5gl18/EgZRr5K0yozdnOszBFqXftnHVS3kZhGvOGyYNHm2LfTlHu3U22Mq98V+ca nYifoKzELx1dzMlzKLxc1AkAHdO+dGjf8pXfjx2KZ5ix5+yLytx5fgTnSidLxrAluqDG93bq6jIl j9N7/o3LlyyQme52GtUTgl0vXnGhiLQ+bxQnosrEpPTVOZGr0f+KeGG4MDjCH1IXwgJl3uIatcyq N44yAsYnMXvK9BkT0xYcMv47YXT3eSf6Wdr1xAm6fj8QsPNARUk4FTWgd3Y1dklwUuZUlwcq9cuJ fM/C8UWQe291CbR1KZEgz4+NQdugxUVaNGRtCVJZvAVpLOk8W/o7vdP8cL63QMLEN2VHY0F5J03Z QY9SPu8sP1QdySveyjihwTWmZ+HvLD/eT8YwWo43aGU5t2HnizdYl40V5eG2obIItFotTufrAHUC 3uMVXCwz00wwgN9kxXfkTnI5zjSge6f44R9KDeKtYScXO3cVwnr63O3PO8MP++kmBkUx/XU/RYk4 WoOQqjF4AWAZt7mLEsOau0DKWZiiTNzQnLuIh7XLF06/d4CjtoRxgC8hiPFsHwz5K8EO6ZpegBbC 3/MepkF7glM6Ty3D7uN8yizRP1Ln40GSOT3JpHsPOp8QKbAwvWfiKOoMk+iAjCkBjkXBwWbi+5NC 5uqBR6k4ucrlnE41m9pDRF4Y/rdeDE2dziUuWB4Mj6EDAKWXesdQcul7J/ZZnggA56q+qM1Uof6s mXDLn3deH5KnX32mDLwA4RAWpygZp+AiCiF3Lpp9Oq+v0kOIcQLL07ZTnI3ztFUpbGi1OEHc9fx/ hXjXfXK1KhdNiFyeih5vni/tg/hz/p4oTuhUwhvPF1Hb2eYTiBNIjCgdz9b69q9dO7Xd9H7cO6EP WcFkNTe6i1rPMW6PYG83vajX9OKdz8dkXBYq0wSW5hVYOof/fMyaAOft/MaWh5RdYGOewYwkYu22 m4q9cnCLsymXMnU+k6OPG3oNqYusXfLnnc1nScWznxruivM38q/dO5uvCI2MCrhcExWmIDIM47zQ AtWsT/BO5vOOA0rfse7qxMdzSZ9snIHCYKVsOa5ylJgbCQwi85mA3tArB+XdpJoi2ERwJat4v6mr hkLQPzS9mO3zzubjF+9XHZwxfb4dlXcyHzZyIdtxzkdRfnqoaAZhzQLUXwrVe0J+LVfnlADOnF8C OHmca6mTywcqFhrsbM8hq82gIyOvHXekWeyVowKPtMfCwSaQiz8mJgHEN6nI4+San3cmX5FL1PPJ AxKLYtIWqDAlXxxiUrhCh69c27BGx2416Ma0T947ja+YAME5dEuARK7eMej75GXQGAACCuJ2FPUC kwWV8OTcdT4CHFR1eWfxsWVA9lCXLXQf5aYGgBor66yfdxLff3XE0cIGXN3jFKXklME4Z2/d7lOz kEE0r1zoxXJe2juFryQf+86hfi9Xp2hDno0ahSB6QAmArD1sogHxVmQn0xna5of1zuBDmJaadKIc T6ccV5TwlNkCYOHzzt8rwgMpTMvuqF36vaLCrjjCumrvl+NRHV/eM3sjGq5Q/4BhitriXbI/o5iZ Silf03HonNLGg73l3JiQkyODHkUFx4MplN3lS68cpFAU/x5KOLnwUj43FMSI+M2b6/NO3uO2/84N gPyHZIPH6T0hN21c2Cz4hAXltlXCXVrEjFO699N7Qo5CXg0VuYRqddBT22zPUtuzQTk2i8OuYgeu kQWWGu6RScvVd+5eEZ0SA/F9F328zs+ZhIbCbunzTt0rjwa43ePo983bWHln7jFOHPMqI29ielxX w9zdoGDglmaq+U7cY6asgmWKEMPVUqgM8t425X00ZbMbYoHRSbAWiAt2jdPF5fNO22OY5MkzJKcE zzaipM+t1SdZd8Bsft5pe+VBlFsONTBRvYXwO2uP1zgbdav5uRvrzlkquljGGGo+sXsn7V30wPmo yWeNq8WpjXESqMHOCsqV1NR9SZNuDOcbVQQnL0KGNb1xkGpKOAI4H1sEszjHbmk7pcHHg+200vex SwX9zDscjzJyfqABaPOh3R6PgS+FGLCdzj/NKbPvdD02VqgH1Ck8a6vCBENraJjJ+/FcRJnWgkgd oGAG+kqG+apjdwj3fSfrcTtxH1W267jYqBuqJPJxWOPzztUjzuJmT3w70LBBbLAReZSSNwoordKm VS6UeWf6NKpawJ0DYDc6fmfqlaufhCnjXS1ODTT4kw10ykYAdFjMYwVJIx18MFbyvsq0Nw6ABJMV nqjYRXpHVJidHCqQ/1I+70Q9ZuTftxPdwgpRmfylUUI+hzBNu3qW6SpAaI8XH9ytWayt8k7Tu4CU s3EULq72B0sQ1MAs8gdA9XP7tCrVLrRehqRLBvH9AhPYG/8rTJQEsEWn7uRRNig/ZfbnnaRXxGp4 Th2UokZJXge/c/Swm3iJz1aTQ3xFjZXGW7/Iccqg49S9U/R4OXXVvwKlcLXLqZ5/2kkBeje7+p4k RwVvnnMFw/pr3FQcRA6+cFDeVQ6BgYW2RU263MSRY/b1eWfn/dcVXmk+ecvgd2oeorTVcdqu77aH JZvQH0kuWrbpXgwg9Dszj2dOsHpJAGr1bsH5bkOrit0CNFaWTEEAsP5ZbMNgHGozhEn1kXdeHisW hCktzsqp5k9yGL4Qc9PYZp7C7/NOy2NbxePErB34vd7vbooy8a058HTFQOTkrhiYJTJFgjoVlLmb ouZ4EqZ+Ct+s1e4mNIAHtf1P7t3wuavWgZnMlyDYuZ0LxLv/887Iu73xXMhi5OLMWAgnMdcc5fNO x0Pi8vtqwtg1jbub3hNx1CtL+izjnrkreWCu2ZTAw9xdUYo648nOWpYCHlfr+FbKmsIQDFxrCLup M97AG6asae79UcBjvfJOxcMXld4pqcmkrzljKiXsQ15NqfM1gy9d+p60NB76iyl4J+KxEcI+AfuO qldaT47iKc3zy1arAVTfeXh3ENyzJlNavfcEQnBDPUxzcbhcsOM7CEWD68VPoZGDthMtLj/vNDzW rxwEV/bouCQ15qr+2lA86p93Ep6l8YoT+zAckyYfBL9z8NijIz1qrex4+vGVX1YfcKIjYnEKO+Ny dsrTBBWl0DBFPNgADhT5rZ5tRP08CGgARZQ5tKP4mvcyk145As5R9LXSHBPa6qIrVhjOLCXiJ335 vDPw/OP+9AnwLejLR3fvBDzc4ur5SkSxU0VqOv5yt+aAp/OPqRaosDfuE6nW72obaldIJ1Y69FXk l5L6QY0HqhIaCBX/bgfyIin5vNPv7v3E3gnURgZ60tpRyPfZKTjv+3mn33Gk9X3yBuYHhDkqUFEq Tgjb+TsU79CtXo2h0dZYzjroEJ9VoKLm+DRf8SSMJdcbqFEr+0tgC2coLIm3T3GUDoB9K/V2x6Vx 8M68Y3PjFwF2Ti9ZxqYgP1yP1+edd4f3zOO7ZAHaec4LxQxT8c1hS5kutDUkwEyOYinLMM8ZtvaF WMw4FeenfttYjqvFCZpdiwICmEmdg9ikMALJc+D9RyYb637waNbwTrsjcLkyIZBVH9IDsVcyFB3U JWnz8866M8Tr1ywY6EyYIHqgQqwKfWk704P/iLiPa/Y/nPPwMFFx8nyvlgUqSsanWgXQZrurb6iT M6dVaAldYa8KIXycPDbnKpK3Aq6ftZ4oQfx559xZAnVyDVIwsRjZtyI147UIP/TPO+PuV4uOuRZU K9u+GyrMxglcFV/8P/wfVzaPht5pt6lWAT4milPUGm8ON5Qv9Mw3Gz+F4airCQCNTKcOteioS5Wh Z+MgDCvuul45uMqpH9zHEOWVmApsKFQPTM77uR4/73Q7RzHdPBMiWZAp8Di95+OeZ05ZyuDgFTKh 6WWR65ceYDOmzzvX7is1KGne1TIofBI61XPIIMtF2RZMoxpFOc9+wh/2dsaHXvmfqQH0ymxRCgUo iVC753U/71w7Pv5d3aFy30BbWpz+3Rnfjyz83heDsTVqwX5i70Jg8bAzLmxBS/QO1+qtp3Lylox2 +DnJlTAo41ujKwn0T8lwdVIRrJ7KO9GOpAP5QJfqi02DZ6MP4w8IyZ93nh3nD+XnexoM9am87n6K WuN0kZ6dYlKMU9o31Vzz8g7S9OndO8uO+5lxqi3tu/rUHOkGFDKQaiboNqv3BJOUn1V1CPNt0aGT 83nn2BmYGRXLyL4YOQNKtMyl+h6fd4Ydh6TfGDFk2nRCtzDFiHFRxowcxi7UsARqDmNqNCgcWAL1 zq97jl0vEoni6mUw5SKo54PCJbU5THXr7LBJDn97PndT2ylqjBuWZ1GFjItadKeoKEVMqFY/7+Q6 Xm7fYUIZQ0UgC1OUkNOT6Ry1dltPDu4537p66QfwjrMw/ZGQD/ksaHWIGNpnSRCxUwAnmGYLznJ+ 2mCyiazRIWIEZr7z6qxAOz9q0QKRi3UyF61l2Xqan3daXZHI4heAlV6v2eu7Fqbjmx263Vz5jwwu U7Obt6nCippNlRaixossK0QH0+oAsZMRTJw2WlbsRpoWJcfg5wR/DpDbvPcEUgB/T5ATJIlJSqSt 22+pZGB180HZeDxIMsc3zreya1h8fNDCZDwrGW+PYUWz6WZbJGIxTJ1uf7zDo754KsYnz/WuFqZT Z25gMirvpiy6GPu9jT67HSyC9eAyt944+NTtJQnJ6YtadOiUMZeGpyAeD1AF2VMChASXzSq3ZulR Lg47G3paeYtu7Me3l/ZHamReXGZ/z8Wdg9CH0AVarfU0IOfrwKdz165ezDbs/GnpzDDr9bYaJLP2 kKQpMShMCmxhlPLeOXXlUJuPR2Do70ycx63cG7yHXXHcC0AlObh+P3K3Ow3fTIO+tYxSBFMZOmuU YvLVuuINU8cEn7Nz+jAfLyaQgT9jh9InC2ZvFWDOit8TFCycGqRJAVcuCtO5AqWEWk+uj8cj/tg3 KBNaj71fZlQP8/Cqg8apEz90a3neNPsdbaK9ohu8R13xLm+YRFsDWx2TCfoY+w7oYfZM1UfUK+zm qa3VyrydAjHIojx8UkhlNIIy6Z6hxhwoZJ1TkjFbwfMBX3P+QvlCcZvQQYtUzNgkRoWyHJxGkUZp 6NVp3HKwnYpl4j3KxKdFinortjq6vi7IjYj6U/NEeoRIJUK4SXeBW9fVvWAJ3KNMvKZEQGZjQo4G mzSb6q6Z7sD4fG3+gIja+t1UgUH5QF1pkYqIm5ACRWetOUJsZJMzPxWFPOvpuoDyRZGKUSoaA/f6 rD4uP3kh2ET83J3riv4LHANT55Z6sGyTm1IWv3c96o4vCdW1rQXPSMgBsBqSRKBljueDD95DbCHM fOIoP6cvzMYpughG7o/pOPRlXh4jdRcVO/UWJ5ydPynqFmRLCNpd7fRBBGvQaBNfB0IHhIhe+H7y 15w881Z3BIDjFwWZAUXeCahBQ/NE2Nq9C2oa3FLndsbz0ekbP7/HUhAj80CFHXJQoE4OjlrjP4UN zSphLHjJDRrosENOCQfc5nE+Ln8Yo5S1h1LWUNv1Ai1P5AEQRedY6tSA6Dt3QOMqGsZXi3TqlaPD RyudLHuYxCYy91Te56tDBsSE8V6PUvLU91eFB9HEVdvNDt5Tclj9ZrZ+k/s5ArXMoAH4KBowK3Ao DfFCj7AqSdtkLXmsczXsEwRbewUsCCD7UyhkuXhUAE7QDD7p97yjqZLoMN6jHrk+fPi/+KLUidRs Ts1Xang8ahj42dMY64SoZx9N9Qg+zvHkhESaGiugfLkpBWpL/o+kZRY7ehFWxch3e8lNb0v+rLKj CUhvZX4AJsIqJsadIR0JxcIC08pHFAS4Jfyif8FYdyNLkYsaK0ClsVw6aTIfj9hS3/sp46swx0Wr 9DArpzfhwtVoYn6c6KpTxy6E5Oko688NFbXIXRIkC8TK1TbU2aXw5yVIDFCFXZnBF0qCQPMHTbq6 vKNJ5Dl+UVALL8FViD3kohb5hI+HsJll4vGIZP5d5OVE54Kbl48oL6ec4xT02tC+3YVa4TNvs4TV fYo3oh75nGqp2NClPkOXuWCGYIIFmcqi8pA7V2MDqwvRwsxlmvBo1htHwykePAjf2SK6VIIAjHnI ZTweJOb9G3SIu3nlCxIbUWJO05q5xWmRkKaJtVL54rKAAPlSmMIOuR283eddHXQIkV/IuzPjXHWa tcLELsUMDwBRZIimtQaUDH5R1PkVr6yJVwYlBBljI8L8uJ8bMOP5aHi+vlNz1EHoclqgQvR4Jjoz TReVLpIq4Gyq2xwddd621HxELXJKngJx4fge3oqDFcxJ0/SPoNMemuBCcOLgnWOHfXZnnQDhD/ya AMPa9MUrRYsJHULQgc6TP2A14/GILZW+MgOkp73fFtQIAStic6arRkfeprWgyChV43c613xEaflS b4VUea4crQ32VqhNnqU1A3ghFSXoYQ+HSWjN9NtaoboYfk006Gwik91FwPENWXTqF0DhcITt8fqd P4GjsuRczChFKTmQR5ixVG/UTQm449D1chHRqMhsL0UpOYGoNEtNd/UOFDDKE3KLJ1yoZIZ6BgWZ 8OJUKtEgQEBfUu9G1B6HEuHZnlkmHRTG42cVbs9UzeF4Hs9H87tvDQxYmXHbW5zChDwre6quQjfV 0KRw0bbK+GRPnaSyxJ8UKRd1naO17upF3gaFF73woUEM+nK8w9HWgGjB2usS8ilcMELc+OY2Suqt uLcFfGVA4fyRAQUe/3N4hwOGWngBcGJhCoHjnLZQjMoqvLl8OyF98inCvoIqEXC8CPV0irl0V6vw gDHsDcZK+NQl2aWwoYn/iMLN887uAGLhr/nXDd5X1kKva/oINcCE8PsarqYwFb8tKEbkfJlgYe5R irrjNPbEDvIhwkx3M1G1yOAqKDMUpag7PsW8Iz5HK4m3RD9NZEydkioks2TJYFHMd9L6gdKyFib0 svB74sQJmUCm2lNBntbtCmeCAbpG6nj+r1ScyiIY27XkaJXx71ScOq2XyekZAYe0OnQNciGKU5yK y2xpjnZXyzDTyfTLlJUeBHfhPGHgAqN2wLrz0cFghjnCVJyfup4IXJLgpuQvoJEoxuJJahd+QNAw qL8UsYDpeNAFI8zFeY1Dksn6BVvm4NR9VPym/OeTOisj7pBral7Gs3qkMhrKqNNhkwpWAnlStVD7 JuPTV8itN98E3eNhi7xSFEu1C2vRIsvr8x8VjoOxZfF81Fn5Zt4xk2KrR5GaYZOcOoYjJVfIHHM4 YKW5uTr8AwGYRHk3YyFDsYNFW9HqA7yJEhUgCfSgMkSjNUsYQH2xxkvttqDEDp4hXgV2Q6lKqpaL EHUb+vCaB5eKx4M4td+EsnP4McO1MEXJeGUDqrNbRHjBOXBuvIt8QfAC7IdsYQq75EnwgmaU/Pbo 0J3K+By9RGG8vCtapGxqDtlXjc7jP24VTLeEGZI5ib+Y0FWwxViv6ZxG5U+94PH/BV6AlHWOC2Sd US6OVkfGJWJX+fm7jytomJazgzewARLHipmcjw3VbzsqiKmfawEsy3PkgCFaJkHDTtD5TgGGAYSu wXqo8TDDNjlFVQCa88UyA2SvBq2rnxll4/NXcYdEfI/sU5cZZePkXkOW6dbAPflAeKzsRE4QzSxO YZPcTOBGX3d1uEreA2Ud4gTVuKEJzQkQBPjom4cBz7WQXXrjaJpQNW6x4Ut3VE9K1M8Dq2fi8Yja 4mGigWyCRxNbUIpTlI9Tc/6kIdvGLgunzZGsaXsCtWe1PHNG+fjQVCoR2GGrI35X3dQKkYfzKeaY s8PoDrcorK4LLGB8JDzslYNzl+TFSPghFzVVxvkKmupxr3g8mHX+wtNBgwYOGh6nGK5CO9R98Zl9 p+nnjqQwpVBpGbdlRnCVvnUvbYk8cLX9hBQQIsSEq5z/bViPuKBqXeAH4BvnGRTdPWeUj1eWdef6 rb4oLYC4oCbC57rB41GPbn2dug0pDPS6LEoRWkVmxHCW/nGB4+Y64+fg+2jqlMAmhTGj7viyW2n2 clffTed+FWUWUYKtvUA9GZKOsK8A8jBdmD3Z0zPKxxPRPPCb1dKUj4OuTVoc9EH5mlFx950U8MY8 7+ZhioVVFJt0O0+pPg265XnmAPNPmymUODTR1WK0V2kyatZZegeCgPUptsAa0sdCkoibC5fEIzBO NsKMOuPQPoUqktiuV6dnNkiPsBw+Nwgej8qWb6wvpE1pN2thitAqYgUTnOzs6X3l1lzTr03qfytM UTru1oscMdnqWN9Oa6AxdOZqku1bhZfljy6tWp9B5yDKfkbpOJufaNBpqWaVQQdS1hI/QALg+Qj9 9L2foBcPQSIPVMjjJEhMaiYMVN8uGTKS+uXUfaJcLgMVKqvoUupMM2w1IMbZRADXY3qXIIszVQOe uoguJNiI65kgQD2LvyfOnbDpdfqWY+mo8DNsONXxeJBj9hsmmXkttHX8elpRLk4hUDDk/WNXphlx AYrRPHkq0OllnFYEWBlMHDuBf776JY5sKbXBSxzZ05AW4tlEC6xczGOa64VItGCFgBWet5pM7NAN YsGV6hpInR+Lx4MRZ7qtJ0kcwGFv3IbvipLxIWvKngXIRJzK9oZvvaDDAtlyxSlMxocQBs2Sg+aQ FYBUKFsw0MoEYvzsLfNrgfHDuRwhvnQ9h9l8WrHKoXy/hxZYg+oar+fvkeT7XQuej9B0PpHi3Bjp 5Ux3O0W5uHqZa1/ICqi2fo3Dts44G9vl/FcIWZGKf9Hdg5UIQH7t4I89SFE8uc6AmSDD1KHl2NFH zaAI3dTpVEn4PVGTjhupQD9Di5A9C7rM2k+Lj0ep+Hf3iT7k9WqFrCgV70VOSddkcSo2bPmmy57O yG8UphA53kWWoreerf61GzBtKfRYzLzVa7bUCY1purKCFm5JAdF0K+6MZ2qpyKUFGH3he08enNUa P3u04Pm/ricOONHSWOVOgleUi6Nff+7uubu1Crpsh9FUkbQ+j925vqaypxVSOUVF2FPiV1w9e6pw z9XcDshximQCYi89yAF6a3pqYH5I8IuiPh09OxPt3Qbum8b67nw9z43HSukEu078hCiD8roFr0hU 7Hz2VJSOA66Xp+jdDFUe06TpINjoviP8mCtUsbCKxPptfMnVymBAOwaaWNDp2TDc5DEti6jOtnDM dr02p6yW8IsCZI+kfetYvqgMBnaISFTos+Px4C5f32JisG5aMB6xOIXwcRE6aQFDCb/aRvUtxWku WRs9OwJqhQm5rDpXFa2Mq6dQq+B7xIS8Vxi9q7xLfGeA7X8ofmcgjKxvXiisQkR0yqYp1owFBNr2 1tk7WToe/0u6QNiN/LOftsqKMnIYZ1AVa9h+Qj/N4jQkXbAkrGLWdyvEj+voQYf9rrafOoiQtRHx C6Of3UR8raTClYnSEdNoB6vgZfCLIhAGjgu+CFzALVIqhFIL/yPuZjwe3OVXUJvpFiaH6+pjrRBA LmEVgcPY2NzDFUPqpngI+Zy0eaHqeNghnyZZ25/V4gQMNFTZSXyF2/BWSp44owHN7HyywEfhMJjF MV85cB2hhdsCwMQWbgyotqUhoP3gewbYggtW4aQjLeqMPslB2CHHPlyNXRBnJNzGSsvWMDi5aDWF rBXm5F3KWAJhaL2NOkyDkXtD3JdQPfLvytB/F/CnsurNohSpKCnPJN7tYspr1oiHDv4a7JUDB4rH ox11byja6jJFBqxXkdpRVj6I/G3pTqcW9ShYvbR599QoLhuyQ0qnoHS7aurC1SIFR71TrFZ5k53L bHL/VdlNnT8obvZ5xZ/Iw8Uviso8zhJKklx0knL/2Uhg2xKGUScfD3p1T5Wnkfs5wvjXWaDe03I8 ZnavHqh2t9ToaTpEetPTm2r2ob6KROlG6fOuFqiThMCRmsPOeWqWMs1ri74ukOs/O+L26mjoxlcO ulAcd6ZWpi9qAFBgmTRYyLTv97wcBYwnBzxm4EyBtmtxCvNyzs7B0dIltYgGZJwgPet9A0o3KU5R Xi5JcSDq+l3to3fuon52kcqXE51pgn8wfAJ7AjcVXUzNTHjojaNmnfn+NF9sX7Qmm+7ztR14PELc O2CFRH7AmWFM43GKEnOkxtA+9v7K6uRt64oiEsmoitku8x0iVuRGlobUsrhaYt4XSIkgZuDgbWB9 mW+euoZDPLRSoG7hlzk4N/hFkdIoKzzMrG1REpUqZaWQeGI/RZiV9ksVAx/chbrH4hTCyEmlhjG5 I3vSvFRFsqFNPTP7uYspnRqfl5LueguYRsEytuuQS1aptlb0makGi8Ldu3Xg5ODXxMgeUM0LrdtQ VmhfQAKvsd18zvbG8xFMrH3l5DARXxCptzBFOXl1CyBnvq7HjnOMqz7emzvi7hiyIgx5y8/q0B5c 3AkfZfg3I+2pEg1BZoZG3s9ipikBg24vHNkkUQsDOY0tOnUDCRlPXU0Lj/+l/qSmAUYZkKq3MEWQ FVpMw4c6GR9opeaCPUNitpTOpMEmwxRqHS5TMJj9rhYm/PFPQll0Ow0wnPjfBbTuZ1KtDRomGFqi C7XtjaPmb6L+cb+LoTNP0cWBLkyv8fhfPXIlqBnonnvoQomVbkqs9cf9ld26BdxVVxgtUsnq/EkR ZoWjhJrFduHq/OCTVJw885QaVItu9D1hTxPp9JAYlKtqa26C3xMkmkabWtMXCxOsnaTCk/j4X4WL hElhtTG9VbejhJxiTd+7iWpWJmzEXSxvZTQfFaY4IVdzpZkyZLsG52ihZX4oaLUBPTmTpkPdD4dJ NLsvYIUY+x3LqwggVpov4r3WVDmWxb984PG/iEBspgObNy8XYUfJeCMRaBMPQ5GslEu2KFmeQAcJ ouJ55iK4ypRXd5GFm9aLLkATDbpS52qasAKmyQukx6FMhzs1ZwdAUdmAbxyJqxBbj/GNLSpnJwi1 DNP5rOLxaDN9s6izXGD6ddpIUS4OsCtO3XXdnKSlEIYBkUWrg+tiHdz1o6Ic01i/ZnpXrgU80oBT 9G7iM+FspN4T7imULR3ZUAWjlVcUrIC2vXRg5VYYq25wX3Zk2FAZMDlhasv+DX9EBGb9BdIENCKt 27EjlzV4jhiMuavBVigVw3g1dkQUr4xbStr/KdY8FGTMTIO53sYB5sJAsdGHC8wplnk0nc1I/n/g kPuIkC976QhpgE1VZXGOGuuHXXk6hcroo2+aJ0Vp+b4A6aoGZ2dlfLmwpCYG+ZusXLcPiIHC8b7d qj5ZwETA4xViycUqn8sUydfj7pbPXoAlHtXtz0kfdYntgnOUKd1TUN66IjLLYvymCMCiVpT4sADZ dHm6jz3oRAOa3eIPCPKpX4zYxiSlP8GK8nNWP+jyGSkP/zDprNSGpqs1OWFh7MEKzTnV3RzdXBX7 46qII7472i/nMK6R6pAa+RzYkB1uoDDzvgWfLBNS6BC0mJojpbBF7ZaTzxbxkYA7wPMRzO4XFT1T h7HdWEU5OlwlKFeXvYGnzgGVQGXgjVhBschjFZoE6bN/rvJntY3V9iAog0bUIPQsYlwrIDpgxaKQ hV2eFTN5LHvpYByjeRXm4baommlQOlCyNDKfD8qZcvlB7UcGdepP33BFubqM8Qz7i3srUb6CXKrZ d3e4HbJru+fDBrq+iKlqOMPVyS8dXMwpheTNQRbDdW58nEfMiAtE7aSYUVh98jdFzYREw+69fdHW ghWkWLLnu8XnIwdh31r0WSQ8q31d8mETnUxGAFt/RDxbZIhQ+kgkDRLPYBDgwYpSdqUPbZs/3v7y x4OrdSdDAf54wODzr1lhUwXQ3aaoz5U6IKudv+nfMnbUbk0+x0d7otPIFqiGzOcjeuz8ziDYmphX jjSnWImFZCHobYv2CeSA76wNzot8uxdnxzyIUeI+xGPsRtfjapcWsC34+hWaLtbZrEs16No1AHWB d65fWqU2e+mgwqHfN7VeuCSbOZx8jY62wN41Pv+Xrxk7nxzKnoLiBitEuNDZbLFPqc5CfvTcL0H9 HEgXb6VeQfBPUO9TCA6t9ximQZoji0HMerNZLGFjp0JQzLgjP2jg6qWjzyG7C1M9vVkcHwxy1TRQ QuHzwTGs3/QqVWeYkniwYolE7qxRPVizuMZP77W4slbr0+3yUtRSH9ng+aIocLVgnS8TSAa64scA tZHBonE4dexheXb5etXfOZJOJqjzVJe+WKzOZTJNvmby+f8FX4YvM9TYPVShoedUVdh7tlCVYUSi Cku4pyrcw40qQ6RLEy9mC4jH1apnWH+1ZEI/C5SZqoIZbWnq3dokWVlp6/bOEU2d5bMs0LkYrBMj Jgnejsnno8rwG3c+6S347KrQ0xOAHJy765l3slAvDWnhal2rVa+nZwR2SaoJmyGruVqogNs4uSL7 MefOXbkKoM8rZ4DKhnvG5f8IWcyxp6caDeiu2aJGwylAF4G+p4qrfD5I32+7WJya/e3KnHOYu9Nv idPUX0I2VORu1eV+ZsFO4Wcwh8Ll1dSjyrir9Rog+Z32lCQ3pqezG97lpJJDGt7lCVVp9s6xVCI+ spyVJiozsttwPrabyDwwcPj8X7bDTDwXSJbt8YmNxBIX0cJU5PIe37qt0MoJBEW587yhCjN3tdbH 4LxKqyHyZmoJmjZA5K1zAs+ny4j/J2Qcwu/+uAiNZq/8L5VSjrRs4aYq5C9znyzUhIG1J8asv4w6 YPWN/odHKpZLlN/wdEWyxY6KIkXFQ0ltTZ/V5MDcE0wm3VBJfT6uDtTvcGyAwBZos+ejTmPyc1NB JmkSAYPkwjpYfXR75wChsKQAyH4o4m2bqkLNWtnC2Hw+GCnf1Erz1VNPzvScv0jDvJtr5fJNtZcZ EWMMcUM1AJ+xTRXm7NYKNVhefWB551igAddo75ngC5c0Sq7I6CYTnbmvXSx2mn5RBMzjYCtzc2WV uhQDROUsdddV+HykVfpL/BbDx3bVbHJg8GntnEmtBKsGqb3AanDW5W0sSt4pCQ0dPnVFtVP3zbv6 9D1BaGQRrg9LhUl0BPhpQMYm4NkqYF/e8VMbK/T4lNtgogWXFlWDs2ypKLY29abRtf4L0dEwom63 uglcPqFXLf7edDA6rhSDvsxdHHU2m2uZ58DmM1/3723Yl/1gXxL0bRdouEhFF7iiNF/qifcbtyoU 8c0lHQre+k3RvY4ctKJotUV5VZnIa7mvUuXz/4thM4ZbnB15rKJ8nSCx81+6apyd3HzJmSd3bB60 KrZYxQxRoTmAx/LV21cnbzm3wsZt1c5tvqgSUcqGz2KGsxsGN+vihHqzlw6cBPCv+3I+s32V1wk7 gT6VXYbA7jNTlvnLlwKEkvqVLoSgdEL0NhXjRBGltr1kyrL9p3Btnt6Rif0+DXs2e76rpeuYyp77 lIkV1Hmo04wzyOlcRe4JF96HgzXtpSMtXPXbqS3FRUno+STspLkM+sih5Wd91Etp5ljZzK0XqJdD 20+2UdDE9M77oo6triyCShiuCWC5mgyh7+c2tIJZxHK1rVXPrTuhXEAh6nLSGwGG4AsEg2sAa9Ga vBOvZi/9z0Ez+lxcujU4+1i0DP3BL+TzkaKifwkJsIXEwU6XGJJD60+S9AFMyBI3x53VvMlAc29B htbytnvo/TlkrLeGiUyN8bTd894nVZ+URxhIG9i1gSdDRpjQBJL355CxXrOX/te38P/Wgq1UcFFF Y+iTz0dM7fyVNuAI0h7ZYxWqt4i5NqtLuxWaVEvaLa3iI53U3ew6MP+EOJ3u9azyeWUnZZ5jVefJ jhNj1SCCIg/j83nEl7VO3OSA7nhnlFY6OXD/hBKXgrRVPqNJxw0CcK8OYj8fRf6AKHH/nY4CavXQ RnNgAHrOzhL1IWVrjNZr5nySIsL2Nh0bu/fcAwdQDHR0/IqBsMsFYVOnHRSzLtweILNsMiRGdgDL RUCDfQ0TqX45tgDN6iPLHI06/fwani+HnLZ7yXrTiHX0nWXhFM59cXs5NAHd1MKrklckzkp+Q1A2 Pf8gL50BObfcPXABNbcCjFNNhePxHjqn+WyAEypJ3uAqnIb4ALK/Mo24js4l02IgxzagPH1FbqkQ B/3pJsBhLdVTY67JH/CXwKJnDrOsJ1ahEyiNUM7rOoZoyROFnb6yvSMDXpvHKkTHaEC4yauw1Tt9 57rCDyHs49wXQ2Tcky2AQQQjOUooOxxUHZnQCvRcRwjS4tyLi+Fjzv+BEl2oqfh8pKN0W8g0tYG/ 5XrmXqEbKMXDAIvZF79XHPqRUnM6WysuoZ8DO1DHzvYqZ2et3pIpK537s8pR/Ww5uajBDbT+EOE8 SWeT4NSa9soR9kMW4QwVF93R0Ihh9/eEavP5KHfvX7k7dDGJCvJIRc32QYbknIbzQNC2N6/aqt1B MqsXj1SIW5evFYazd7VddVK0DlncppJwyEYdAz0UshIWohG2xjjZYhXiZGRphWO8JZXAaSrUNwtR U/18i/j8X+UzwrIJ7k9PrKLcnWOUCerF7TRYwg7Rde4qdhqA8lH5HJiCYjCrWBlJOa/HweJ8B9GV IfvvfAXrSUF5W9FelixJ8L0tvWr4DPH3BF9BNRrwLbNFLMmZuoTETzKX+fyfkiVJ5jGL38HLh8ih NWhCFM47p36bDX63Q17a73a4mHu0wux9mWV433f1DIsSZlNkpJP5TQFBYByEtx3QO69VPUqSkfyl I6g/XS+r7ni0rbSzBhSeCWWstfP5qIX8LeDZSRpLF2+cQ39QGpdBT/Fe7oRRCIQl6TdpV0+/3GOD UGm+FRkRanUU1rlAFxBA7IxivKUP4UQ+11tvVD50hCgBxzk0CMX/BXJmq/qiu/2UKjs/3fbAIRSZ +3cyulk2PWP60CJ0T/JH6OdpqL7t7b4+u2+reg0IcuARCtSPSeuvZ/VILfiCDjrRw4GimUpeQzdm EL0CS75Lfe/2zgGiwSSZn4WhojKHJqnnX8XnIzTtL043Kb3jdkZjm1CSuvfYrrqYaO8pI5lRHO2/ UFwqbw99QgVWg/3Jvqv3ZTLEzboYJOfLlZStni8uPi0VjbQy1+W49eovHdk1UDNud0Jq2a+YqppP BdKUXvXMH/C/2DtmgsfyVzIa+oXy6z07yWXi29AinSMvI5xS8OVylnNsGKoLa2zzXN/XAuSc4J7h DMHEfQNOS+pWBfYD1T4SEdA0XJJKQ/rAMhTD8inB0yGZs3OOjbXWtho1Y6E3E3iG4hh+s5PwZ4Qt 9Q1WnLkTBFln8r1V3VVmQL2A/ynISa5mkgPX0Mu5IQvaVw8WwP49G/Qf/1tTN2Qxc2XHHa00V0Yv w176nwI5W3ZFVL6wsnnj60oQdt+ZPyFyA/nuZOEUnE/Qc2mFYBl2k9EvsQ7pSRFcHL3Kg4fi6GUV j1Ys/sIuMux57+osygRibyIosgNtYqZzdRFACRR+XrQPNUXdZS8dncSi8fxdrNuw6+ZNea6vyuf/ l877hlwdDrfHKsrdae9yDpn5iGNneaxg5uG6laCLeqwirEwzNV1Xa37gH+c4T9jd8xi2U6PTwpVC Xngm085E3uvGvun20sExlFt2qTqGxbwxzymExxaP4Qkhf0CkH/DL8xGKkI8zSA5sRIGGXPRfNzYJ YMnDdBYwoRoO8GvlBiu2LWKQVrMWTXtk9DbwL3Xziq/galeOMs7Vgfzh/FcxI2zjHsO97KWDY5gI 8IPhgS3aWAMG6EpKV+fzfyWlHFPA5nY9BXToJEpO/ZyU1xQIK2XX0RuQjBAIC+MSa8zEVqIG8JOM gFYvdVICMJnTr3N/AddgSFvEF0P8H4gxXBCWWu+hl6jAkKf62r6o9Z4W2ibsH6zF54OENP/yViv4 jqe6nysrBLzjNj7XXXa3PuDRLVqNOAVGq+xyoxVLwgjrP3K6q6JVWESjtCEK62zUzquqNNBgYGDP IDmpsmTh+wJDUVxZVIhrZFUOWEky4z7nY8MCkk2/PfkDguThl6EKfSQf2/oceopSoAWDBJ9BI1O/ M+h0p/X0O2L6HpiKnvtdQuk23dJq0/qzSc92wfQWHQZQTESi2KgQoWn1A3ahm4eVZa8cVToiVNJX JdEeAicPPt29PLiGwFQUhzB9bSwgpmZ7cA2hqSi9jCBz8piNb49UZtdDXazuDNQcuoqqlwxXuHVX xxXhqMxMCBa67E3RPN8uItY7P/zNi8KhHCtwFRWAAl/AoQ8hRkimBo6Wj6pCjOtDX9HUfufvJ8MF JNtjFeXvVWzdbewSYIyS92YW+5uqoMElVKkTOIt6joVR6byrlzpgVozJy72sekoddQcpwLQKR3/9 otWSv3LUdS8ae5GbAxALq5NKkw41R8+fnj8gEohZ/+duBzb3hipUiCEaGSAZR/b19jAHi1eFDVAz 21Zx053VYOMw0VYPVQexD8wYAEtWBv6RyCKkShPg3ac1A/aOvfK/Jl8dYpC2qH4GbX5rqAoMVmgu +qiC8zMw+Me6Q9XAXdTul5mltMCR+crtJu5DzK82KfGqjOEf9qJKQYusQ1e5JEugQMagcgUusE7L LKZXChIKTvwpvH62Axjn7aJPsIvFxVoNGBJeQ8gcGoz+BtcCJghA7A1VSEclskiIOGbtZU2f5Ez3 xoDs0PL5c+AweunyM5d9V69xQLPrgsv0c1eVLqlUuY/VQpnh+cjszW0vHdC+3NSIYhVAGJmiZYHD J079+U41/oD3i33diSrTVqIg67OvwrR9kru7uw8JFyT7LG3n0Fp6zrhMLVghK3UrExVwVKuXOAvj VJTXIwOHmijkfDJRTiZwv5+ECH0UG9YLBBI4jcrNghzCLGOjLoJp6kUyfT89o9cQWo2WC1mjThHk dODgdXPR0G10VkVrPTKX5TFlvQYro7nwVw7sRm2XgpRa013tvoIIAyigbCXX1KY5ldc8oAYKjYv8 ZdlD68Mc+I1iRki0Grh0tmhCUfMepGu1Dj5AYDh6apXLdmZjhgIv6fH1+7wmVxUijkgZ2gPFalQO ws6aifMyjp9Xnhar19Sqnp0tMjhVFXy1SIEEfsrMwuEz0ixCzAvrtx8iESAz4KZ+NI1+TawqFPeE KOI8p0pkD5dVAq+d3Ya28PRLkCqmx7qqwC9jYgFOOGKK/+j8daIYsSu6W3NT+0WjU2brNPvQ0HnX WwcGMVIViIxp3NVx7TDMO6dky4gm10qJ+roppwudJCrRmicG1cY+rynV+TWD/Sp4ofoiVN9ohSrL P+eH4+m3IAFpOi1IjAc4UJWzLoToNZs6D3XqgwOZbx8+6fpJmr9ZD7nNWpqF6DWXwjYapp5ey119 dkN/YIjm0Mlv7Woq/vCH6jRXgWeM0k55Q75mUtW9ootkLsX1Zc+44NcoVd/t85pGIb77O0KVeuWc myJCr0lU9SHEppCruCTDHAvQebkGmqfMsdTgNYWqNlSkV227622sU8WFmXlaoDzJWKXi1abK+OUR ogrV5zWBqkiA6H/caBNd8QFg3XKOXErC+wMJ93nNn/Cv7d1ClCzT7GX4JnrNnmqWqDBaa65etYqT 5Qckf31OQ/Uk+voGm8iYSdPE0OYjhgbxqlOcQAZ65IXuUTM6JfqyuYMC0AavbY20KL3wmjrhNsr8 wlXxBOtwyNDZjAJHcQL/mjjVp3Cp1oRHxOnswiC95k3VNFLOt8a0vwFEu8JVkwNaBekSIl6zppqU tyGxrM/qQTr1z7nAMWgjATyXrayJmIaKGVeDlLHNSZN8WIMgLXZXAOblgsYTUWgFv4MJJoyfPq8Z E89NsygxM0XXcddme+vzmjDhpi9TPQPfSrNe5pao7uZj5KftNV1ClJoMtJNJgKZ976OzWU4eibYz 9OLG+cAtU3BONJ9A1Y+Rp583VnevyRLOG8Vg2qQgU5PDNcvZCeNEotZ77Z/XXMkutOdKgtzExMdO QXrNk6p7X0zyJKTsVVkCU2CoV7+SClM86hKHl7YNFwwAuh8AaIY4Aj7KzCkBD5KlbyaUqiIojc1I A6xTr+o1ScIfY7JUKUwCEvuDcnI4P3U3oVhmGp/XJAnv2f3E8fN/vtwrbz9wr51NfA+r0Aj93kp5 PRIezQ8c22lSigsubrNSWVlKVMvh9qjoFkAuqDDPl2QAT0U3KPT/aLp6jlBLD6R4db1wECUqWXb2 1zbvN+WPs22R2qDM+nntadZH5wT/V8az2KRfUXrtaFbTCT05Uk0WpVacKAJOtsvmEOkkCY8oR5JA 49T8WKvtpQIj7Qrw9qCQyirVBD/RBab1dKuk1OxHlPi1m4kXztpEYmmJi0i2choS3u1l5c9rK/PX 962w84syIedxM8ko3WYH9rz7RQR9t1LSlc2pD58myrddXWhKb5jrzSXPaQJqXKDrvvU9hEM4Bi+g 1FUoY7gizMp65ejQEYpQSCvloq4v9JxZsFIj7p33hzM3v5MljIFhGulxilLuJEss+ahwvLe6X017 zttyQrvCeEf/zrkJ//X1IjbI4p6SZ4TetQagLq9+7u0mq4Iq8eahV45ucA5e0MCyhXFKBe2QoZCt zzvrrz7sd90JCzD+nvzUvZP+EKdEXfdhTCPM84qLM6ZW/Du3QUIwhFkQp62WeKYCiq0O8RxA+C0S HgA9aL2pfsMIX+yHVJ+hyxB2OAgTKgXwHPJdFKaGoy5qyObjQdI0yleY2HEeN7F8J/whacJlgpNQ PUzTJQeHnFBM7TNfBFBwiXf/xAmNyNVRwwVCzo3OYfDOrltTz0b/UTUDIPFpn7qV7JWDIo7cGcyi fFGlC6u2Ie4fgLBhAr782LGFrkZT8zi9Z+DWniVt+Map3WM3HiGmduP0noJnb/TOJhtIrs6LHB3t 7yKg1KYKhT6IaIdzVpt5i2vUMu2Ng9tpkGlUuxbgghkccMY7Px0ARX7eyX48te1rP2VQJeCz7oGK svCucSdp0BLa7d2rufroemWUvgrUexqO6Zagr1meq1xt0NInZpyJ/kUQfARNmYMWqregxV3SXpdr S5und6qfZR8bl1HzRWDFk7fmLqp7KZ93pl99pBnRG2SgIOo06/3ghYl4E81o3xm6YHikNxCIRsDB zm6U+c704wdP9e4s664WqITKHY5zZGRliB7wlBKRuAtmDVDeNbPjTCO6d55fFRQcuutCdabbD4f8 GLXh0XD4vNP8sKHM2lCZAfwTFkAXFqYoFYfWJT5xRiQC3bU2a/CiW6MGLwhUZpT5TvJ7woSTdVe7 xzNZ741wTjafVhdQGPhjklV4KbkgwOx64/cwwf/3fFaQb3GRJsdJKfagxOTP+f/z8SDNnL9bcZRn TPkevPdsHNAoyp5xiKPersYqi24FzS2MWp6mBP7O8MM/QJPNkWR6zNX20/kwnQ/waOyjAFuS95OM DorEQADwurF3vfF7oMQbhTGEL+o1VdC9ODLpLX/e6X34ByffT1kYYUiNiuDHQEUJeZeOZas+X5n7 In3aSM4bRRPEdlSYkU+WwF2AH61+lc8TpTKHNPWgQsq6pUFj/kc49HzJHzLA+byT+yyn3pBRW77o Mwf6adVsF2iMKCUX+ObZUediBzzAG5dRRt40WiFL8z9GbEjeKWAXUS4hdRiO853Xx5Nn7kW739U7 4GgXUB/9nDy2CNiYO4klISN8EvwL7zrhX/B5p/XxTuXVBNqVLUqhzjuK4XJKv/x5Z/XVR3zQAgXE bM5yD2Okopw8m//icM8+SkfZWKUZsPMcPZDOFan3nNycWYjsedYvBuSAbihqvAIDHh49yDkDDUUe g0h9pqU39MrBR0+IBFzAaEosssp13Yxm0oOwHP28s/qwPXL+yg/Yhpr38L2T+rCVOTFfu7nv8VaZ R+uZ1RztOoiVZ6je03J8toWuG+lZHTgGLsze9L/o6GVulsw1od2aOxABIAPc2aY21Xtenqg6+AVZ QXJB8DQEoalOA83q9Xln9FVTeHvGBufjzCaGBSpKzOvU3CD5npKuok6fiJDs09Vi3713Op9XjDh1 61mtHmbCSkED4KAyKTPsG1CNClOJH3iVXCkO6ru8s/k4giK0oLMzzsXyg3Ojb0FXZv28k/l4y/2q 83DnrXLPXpSYL7ek8+kBu/nWhAIezuphks+Qb75T+VBXqO1LoLyvFieQQifseegrev7qmhFX0m82 mUeLg7r5eGO9M/lwW1BTghhmLLSCxs2UO+wOsZ0KRixRXj52+v7s4S3PPiwep7A7zkIPo8vbHe9W 6J17dznVA9Bpi1PUHjcBgJUkALDSIwAAZaXzLqSHoll+/hjSR6XEw8SHqCUmUnabL71ykEhVGtRO eRZMK/To2zaGE0U/7yw+XhDf+SaQXVQbtji9p+U+9pV2otp13Uwh4dd4Ry0TVDedu/e0PLvpEw1C ffWvHjpzBen3ScsL3JQFmR6N9z/wPQVkeHfMpAHrO4evSnX8fO5WXb6oD3V+BFml2CP7807hq48c uHXIN8qXJ05hXk5zLLHlbWrXrgJcsa7LucgrrftOuvlO4GO+LJnrVupdLd08FfGEoQfPHYTFRfJr JcEehxcGCCV2j+Py4htHYeKB62LxkY7ElOBcW8M+fueb+nmn7/Eend/76aR2UI/yOEVNcsl0zXJl umbNHqc2hnsVFHgR69y9p+VwFlUdLBqQVss24QNyEjEiLuC8MLuU1QvtccBHLUKVF0HEmt44yDan hMzSXdRQAkm+K2KDjwfbae6v7dQJUcs3TFFSTkXQc5vea3xz3Gxtg+R9qEwNF4bpPSlH20Atyqpt xdXCtM+3HyKJUlMau+1qVz0MyOFXkNFXdRQPUb/vnD38OZa205y+CEswO7XRCJEen3fKXjW+vsLE PhT42Pummu+MvWrcAvjXe1KO5oTh6OqsDg6DcKINy8OkXO3fIcs1rYIqgzINVZhOSweQcDNnfA10 xB9kDUiL922vAJ32eSfscQBH675esy+q8uBxJvHT89M/73w9rx1uVgDA5RQ0mmEKgSmDOhvZrfvw WXO7p12qW/NsaGApTHGXnOHZpp/OVWGi1nw5JwREbAwjt5xXTwqNYnhQMnYQ5i9UQdEbR2EilWOQ 18hFpy6NPRSmE7HPO1fvvy5xgElGSZ6Nv1P12NERA3onG7osdpo1dGlkLBBADk9Jnrp3ph4vJ/mu NvmLcrVTVzBNmDDPoIrn2NUUhFDqDVTje9xcnIOTzztNz3rxaPpqGJx9M1XMkKe0rUv5vJP0fqUE TB9So3CYRylKxSk6dv6B81KKKeH7H1YRJTsBe5OlCED0O0HvjqY6xr139YYBBp3EqZKrnnJJYvVD Q2hxjAZNHhslTMqQvNPzbslSColnBSUHyUDwj6qJoDlA2D7v7DyEOXuOKa1PmCqNei+nKBeXad9O pgpxjhwbqNxOpSQXWuzDZ1Pv5Dz8oSR/sJsMVbja5VQLTLQT+q3pXAW7SiIc3RdyzCDeuZ0TBEYT X/hfE7wi63ouuptQeBuoYpTPOy0Pn5oHNYd3Q1csTc+c3ll5xAQIgVGvxuIwY6zzB1bPTnJ42zLx d1Iei3hBwbZo/Vwtczr/9Xn+7mrUITdg9lIaDZYpcZp7rxdcwIrlnZLHAzCYWZIBzEVtFfRKqXdy DjdfM9pN36cOcKKBaa+FKUrEaTk05XungmVubz8NTzuxmaDozis87I8LoVKLrnKujlTJkIvvFNwH 4npQ1Bm6I8t0Fn8oimfbiQoAn3c63u3TNTlAtmxCFHWvk4BPNr0X/pzviTgS1F+fuo4OTfIG+TsX 77nD8+reKEhPgqnZC7EqwNcpTmGDXAYq1Q5dbRy4TAFVz2cuA1VKZ0PM1FkAs/LMmcM7CrF5PzPp lSMIHQfCYFmTtTFFW6x7D/hF8E+T++edicev+/jaUNDKAi3CAxVm4oOaI+0GSrwuaeDt5oGCdpYF KmyQZ1Uq6ulqtQ01NoThGt3V6gSejv/dk6VhQlXxtatgAjimFz3FzzsNr7oWeu5TOhoFXTpr904p do5Tqn3eaXhVokFfQDrYmk7oIFukomSc2dMq+0ZqEdgoG+3dmieZ+yaZUYd8yhc6rZ3vapGC1EPL mTVLn9AcIfOl4n/C5XT+oK3U2yKX2ME7BY/1A4U6F+HPXJQ+nX9GGuaBvD7vBDy+Z/0uWuDyNKdn me/8O/xSKZS15fDnmZabztRpLM82ScwthGWGyfiWh5ElCFyt97RgyQhpRep6o+PExP3cYvScKedv QGMQ/+LRuuGdf0cwBQO0h5aTUQhhWaC0T/7dCdTnnX7nYCbFiTKB1IWBh5JFKkStkFM2mhE4kU3h 7PxHchTFdO8Gu0+KVJSPz2kOdLnc1c8eXI0AA5B7yvnWEXkPgxZoHGSc647yXt2nRcWtd/odfhGl IAb0SLh0g0Gd/dnpuNfP4f68k++YG3gKRco+7P/adnTPO/eOMxcTXZbzAGZTuK6pDzgyQ8ZugRj7 iFPUHjdJ8zd5gwlRvVWJ7gFqPBm6J1OWsGH3GxzD6ruuVw4u88r2HApzLkNxOoXqqE0fslw/78w7 dlW+kwPkFjPte/LeU3JkmgyOkHQ4eaCjmrMFPYtEzih4d3af3nl3T0pOGyJfLYc6N3hBVU2yPvJm mdMii06U6ITESNtPd3zolYPkoLFmgce3LUqioLC95Cha6uedd/dfuDpwm3fdXuC90+7YpKD0sqPo z7lL82qxUI3UnDK7wVbeWXf1ymx1o3L2h8oJeDeU71C6JArZJvHUG5CyNIYo4IJYHay2yjvprrpn H0hvvthQGC48OoGtfd45d2yup68wnQ/8xBt4EvXOuSPKAPcT/PkcVSePccbJjSFhD12t6/tOubtY 1rPnx7PafoJmUOYgEuo+5eSHOpsdHalFlAGwP96lww75vBPu2C+gEGBjnLgYUeMk+HJ5Op/nzzvd jgfoVzMTE6BxwT3vbDtiDIoKvHVx0f0OOtE/0+i8L8esvJPtnpHwTCpduNp2GgB5LigyAgUFHUV2 8tBzP18rVkXt+d5NbaeoN95Zs0Acyhd16U6yn0REAIfznWlXJcP/3E6Ar88Hs/JOs6tSxzn7vBTP n9DHdlDdWt59Woi4whSn5GzSra4Z3nJeFxoGHRz2vodOHUQ5hU8E8X9Q8TVx0CmwGCGa7yQ7lmiE FtRnUZggtaxC+IT/886xI2T4F5QV2AJKnypMUUIOFCedY64vAweM0oUn6UKFMCEB6Ku0GEAubtQQ RJOrHboKWcNGD4YsBwvRPAfgroOqL6iXrP1EO0r8niAnICb6fAqaLwJigHjDVs75+mw8HmSZfXwd unq+0CPdCWcLs3HUR6uWdvn4zY0+2uaYX2GqzfoFLWqNe5hSzXf1u+mUvBkOZ1IeS8UQdUP+ahgn jL0ehObWGwfEFiaZDRrstqhLt4EFTMo3Bx4PkAXFDx11EgHpK81TzB4CyFkHL+Hs5c2wbi+T1YP1 MrvTf96T8XuFz6XGEldrPs1yDlwdjAkg901m8Z3dxwE2wqzX6WqQ2dpDxqaKu3NP+6LNdGLPCv4H 9EA8HhBb9ne3AI4dszwcqSgRp0wZ3tslQ3b3cXnb87bozr/TEoIeQVUkRoMCZd7VG+NwSDuBYkIA EYYpqbsMDcuOfyk/r94sAMQBvyeoWEp3jTFbGKaTlhqTttY68XjEJftujMOEpPfLa+1hHi5wZl5O ANpp+DhqrnX9rVa25lOPGuNd4SkmuVm+JDdxm6+cSZM6SUBjEwP5JQgtwNHBHq/M2ysQmSzKw+UB NgeJ+BMFpfwEyj4/kf/QMVvB8wF3c91AkVU+ocOR7iC4h/RNmYzX6bjDUup0HGu1lh3gdNUY+D1K xaf6TtlSzPylFlIb3gfiiudCOp+GMmUkitkd/AvPnwvmXVcEg0Vwj1Lxymu8I03F/QRAdhWnrKLp xa7X2PwBUbvAYyVlh4U5xr2hIhYn3ctQPHr2NDgNY/Yk9weZMOD1FKkwGVc7hRwMX31k3vIiNpMX Obp2Ah6WzvEmuVioilw0ix+8HjXI1xTkl0hNOK5JWAV04E07oJ9xqhA8HwRq1K8qeMyhabQFKszG BxUwuuWWmFAZ3558dsWsTfoU01Kgx1RO5k9t6krn6h3yfQoojDoRqAI2irLxQkMgKgRkNA78lqpZ rxxkBjJeQHsB4mJnJ3eBxODKwwwKtzOej05f+vk9mYLhgQcq7JGjvFuF5NP/FAqskM6S2fvFzSPg YefZw20e98glHbkEPORq7QKYxWLAjdQAKWtb5FcXAhkydCN+Kj6qV5V06pWjs0d2WaVE90lIiA/6 4RQY5H5iCWHD16OU/OG5EK4C+anavLHS31Nyq3ewjYa5O076Pf4HZWmllQK3VIGxTuWFHqXkNuRN agZodXoZONqlkJYAcdJa1aprNLHnCKbMO5wqiYbjPeqSV5Z2tTJN4KLUaSFSpE2t1PB4RA/+hh0C uTE5PVecIhS5eC6NYCs0Vobl5PTRho0ReiznjgT4Wkcvgqv0aa1Mo08R53neFXD78/VPoMkR9FtT lv9XrTDigNQf3JK+FEIAXcIvivIoCWTRg4OLGisDGtZsl59EDY8H+2l+xylTvXxcwEoPs/IutnmS swLwmck7db1QBTjTQBt/IG6oqEeu4wQ+wrqrbSh4rkEagj3yDlmHrE4drNTPxYLaDngv62iSiYtf FNXC8vEg34WLeuQrSdAbelkTj0cb6rYM1PvNOHrjEs6jxHwmedjXm0vJeIGQX4rF8pJayIzEOI+6 5FO5VM+aKvScH+k1kIImqVO5nHuKf3r0oDK+OQ2ZPGSxjejCwge/J2hpcm5et6q9fX0KT8Igjelz 1WU8HmTmfX2fvHPgV771y4gy854pu9aMzQnISn6Q0YZBhNW5Q1ZG3CJXHkWzGVstj2qrQQ9EbifI b23oskEQwRiv0Lj76q7hK4BfFBE7KadSJYUBpB8ztjo31fTxxW8IVNgj39+9X7iGL3xILFAhhFyD 4WZgp7xUiHM6tYuRhuFLVSw3H1GPPOvkbbRmuUoyFSUMjGAy/twoYc4HsA8jWeHTPnDng1XmJgto EePXBDjWRlm6neTNRBUZ6vhVpOb4w5z/h8f/4k3xXjt/mQ7woUUpJHZ27iEK6ajzm58elHsJnC8I kJQs9EaUllvlAjS1rVVROkcuFYjuMS0HL3ZInAZkBADqgPzqt7ey0JfCr4kwK0QXZJJcuAg9foKv G7FB7XCE/fFHMwQhBbJy5Vu9jCgnJ2t1Lvo8GslldDt1fSWHsbbs6tsjysntcmqp5bs6XfH8v1PC UCEZ1JZifpcnMHjLDboizQKE9iULb4TwcY7u+qSadIc1GZlOUBOqVIXBL8l4Phrh3e8d+1doG650 AeQjSsp5ACZ0ap02xcxHQka1O1+xJscZjCgplw9MB73lrl7n4ae2ovH5KV3TkmMTfdInfsva69Lz KWMwQvg4Owap5rsklS5ne1rjYMzPiODjvy8nVsM03VSUQvj4JARjlOlojHw/du2B2UP8w6IUwceL yFLNVI24Xlj0uZkp3YaPHQyltuk9Zex8DOTmHd9ReBi/JsJi4Fs3MI7lIie0CjwJyjWMOXE5hdn4 r7oF+iPnPT15GiFmpZhupqcE5xPllTDSTCe30EeRUYqy8anBOVgstmL/ZnJfITa0kgq8fbLMKtlk OFucvYRBEXVmLUw4vvg9Ue7ET93i7VQhQiDICvoExI4Ab9k/I8zG+xUPYY8MurV3bD6ibJzcpvOp uwLuC/YPtpuI/xanExAaxSnMxpd5L9V8V2dLAaV63mbIGgDKkF0AA+uUQ9NoPqIY3V45yMb5sRsy nRi0L0qibWBCzz18MrWFHxC0DMr3LAGiZhgCeaTCdHzLyWRlaxns3J0mjKzHWgZo4aq3MsImeVak kgboXH1wTp+cSjb16OxQUdeoZQiynnv8/Oy9HhMFXeQhq5NC5CtTlhy6Cz9slLdzCyaNOs8VVPB8 1FtxcA8BmWjPoyft+dOMG+UI1ShX2PA86SUeBO5tU7HRwhJvhsqGlhnsWe7q0ynWeHD0PYfvfIwp JUc469n1i+prqd0ulIjCMwSt0Ay7ywKt+6iwbtCzJZlVS8Xj0djFA7W5+84lPi/Sfkb5eDVwT3ZD vU4IBcNUaEtAiEGayZpQMyR1qmNQ7Y7i6iCodNIC8n2IPxz02EaOMEmDHV3oq1sJ0zthhqTOSfXV kxD7okq4AYcrO5Ne8Pj/QsBD1jrluMQ4hUIrgGKglZ0daj+vtWytxcmvGymhtLJCRqfik/kNtfUW wq1DTVsgqDTJqsXJo8txKxB3gOWLQ3uo+DDjVjkDRB++begLZgajpmKQzYrH/4JiEAYEnY1xv3kz SsjlFNxTu2WwTAf5x02X+YoEyOIU9smXE6XaXT3TrLg9JhkuJ9Hr8MxQpnmuwEV0UcJWclPZpTeO JwrE+zYt1ZE9Z2MqNUhl4vGI4fL9ycsUKAV9xeIUZeRUlz+3opm5kEfokJUqTw5SN0AFU5xCQqdM wgv9x2113G+D+hphDzhlC6LqiFMCxzMT1E++no+F6d81Q7VDueEgNbZFjZUxdMtia1U8Hsw7u08T pK510gIkdBansEm+NeQsThAe9U4Tqtydifvd28rgGUFWDAJVx3xWv5+grjI2YeQF7hxF0B4Yl/ws KLTv/dAU6fY5o3wcOOqTqeKfZYvyAqZ5LPDOdYPHoz7dd15AwMLono/PCLEyKHe4a/KqZe3qoN/L xIM7cTc/iRl1yFe23TTXXe9uSglIRPGlOjAeOnUA9pPCnaWWJbA9WdQzSsgTm0+QytQylJBDe2Oy 0jvnga8ZlXff7XHwmwbe1MIUsjk5mgL0w8K0JZjJ5tM2JXI44GXTepih4mHV8G4bonVfhVGiYM59 vWirdHZuk/IYEIjAiUETI+1HcZychBl1xyV1WCCWaIt6dOeHVsPabz4e4cR+cRJgELTbTZ0ixAoV diZ0P52TMO5YeK3td1PPLuY7o3xcpiSvWrWnpNrAuw05zic2Dmh1LfsbKBHVZ9ZJhTP8ogAYLeRT 591UO8Xd2RM/VwSbZT+r5orno/303X3C9KYjObVARel4JVDMAPZUgbp4Okg7OO4wATSgQIUaK2oS jKnuOFfLMRfOGsQ9qLFyjmDnNKnRTGnBhhgD0BunVfTGUe4kFRo6MXIxzArshng7ncoHj0c55q+e L+Rv84WxrigVz5KslWE6W5mkRKqVubLrsbfkrggrwqxQ1hy5pRBQ9RGpgzjTSZQaEVDlBH8v3mAN kwZwc/cPlJFMNkTaBevfKuMYvfoi1GHvYxlLsVY8HpE5v2ctuAnWuA3fFXbGaSgIexXrjFe5IDBK qbk1V8WIVlEKMSsaB5f8tVqGeUrVgk9YlWZtPvULv3RgLIJiAiCS459MQHPFfXH2MJtamfV8IYfu cNDJuLNOYlbwfIQ6/CXxgGufLSuLUyg1vraQKp4REHvrl3i76v7VpbJWBFpx6TWhLLCyypIZCcwW JRlyNtmi0hsOHTzqTiKFdy79SZxOjYTfE7XoBIYmv4WLLhmUxbwQz0Hk4+9xog8Ko8KhzAkycyAL U0jnpBbFugULBFO9YDl/H2e3lPutWyF23BqZMnHT6tiecwudL39lStAnbUqUiINWkOjQCm64pQTE 062wNc4JZ+/Sf0L9XkRpWSA4krEyIFwbwlXGN1zlJLxw57gVy4oycdRxSH1z8UZBKaZQdz4nyXOn nB3Zs0I6p2bl2XKn/JU7DVi2zCRJVmiHVOpKVxpuQyML/6SnAt5EF6wIr4KbDPYWtbK1cv6NjdO7 Bt3RyjoJ2cbET/grf8L+wcxmY/5soYqSccKYJRmmUEEr0hAr4Fw6YgWcS4UqVFdRn2SK1ar1wgvA ZqqU+YfsMuYvSAjOhzABq4L9A8dRMw+kIDd+USyHcfJwVHK2qAiGijIxaif35HtGgJX9Vd2xHGDl ojhF6bip9fTman6VWmDcUrm3bE2VXtksQJzCdFw2CEk0aa0XLJZQU1CtZ8DUzwwpC42RGyTiADVw GEbWJy9UVxm8xc+fQovzW4C0T6qCU8Pj0SfPEwPdZ+f7CcEEi1OUj9PhBf6J19YmF29nDomQLl7l xdqZK0SQD3PUUD7O1Zsq4FeMzmFwhaZumVL57dx7yLQKelUOV8EWwS+KEii2xiEjgQVsEGVCJ0eb cpcsFY9Hd/lNyEWGqSCW3W9eSOrc5svsxI1Zb0Z+6pUrk8UDSg3yGK9i+rWySOBqgSrgAWVIhCNQ oKLojiqktVU0AyttoDgOLuBO8ZUD/VqqkAN95otwPXBRlWh7G3zPAF0wvjPNzAFMLk+kwg45fVjb 9r4KYBi3r6J5VZOQpqu1x0LkUlyjAZytnkVBGwttPx69c7b3VIe86lpDX6WsevMoRSpUIpdUTxdk rJvPV4PjeuVf59yFFY8Hfbr9Td4QUvuR+t1RUg7mAlTaixsyAyBjtUunLZuUjSDdz/xg/8XqpD6g rRaoCWpSympoNgpfkCe8cBHj74n/bd7WymKDfEdpOeuNfU701CITLSgB4fjx7E0+HpGBbqA0c28/ G3hUC9R7Xo7HKLGdi3c0G8VRFKjh2tFgdRjifociK+q+LcmLa/VL6mTj59IlBApy3lPdKnhkAPkL 6n57OnW0d+Mr/2uSQMdmW9QhT3DgVg/qFMP7PTGX9PTTqStIgvsduOwQTN7Vg7okvNpdSBMaQRdj QLE0xikGkw/Ln/Jd7aNXQNXpso6HUfWYimWBotEizhIRu8bCQ28chInggv9yAYIyJFW+zo9IA49H nM5vCSiMXUe9ucEOOZ2TMivLhuT4bmdXPKy7ucQ2GvYWphCxotF5adZkaQ88Gt3ZNTTsxKVUs6Qh J9qk53DjTGPM4Fc5qib8ooA2RR2aDH0rW5RDJaBk+Pnb2E4RZqXl3znUOcTjjjp3CCMX/kkS0Wzb tWTbCePNee+nbVJZO4SRmyDGllE3V+/V7VPRcdR2thNkWE3trwMdfUo9tBXbbdVhEo1fEyF7uI2q uuQFLRjVa+f3y9wWQjh4/i/FQxLUkbCC7WthilJyCi/DDshbUKtey9cpAU1avu7lYQrxKu68JZlf idpoO0Fjb1eA5zBvgczKkukwZlcLuddioikJA8wf8GsiHXLmBctMTG2oc5IvTAwJ8Tk/HY9HClDf xTCFFyhZZGGKACuJnTqT9mVhXNf1EN7r6mfi26IwhXqHAkDlIYH7LI1GyZDDuAd6a9TxO//bnmqu 4DXPRwOXEzqQqMo2Rdz5xv+yKBv4Rthi6MxTCLEIgOkkHo8KvLublKCe/2VdFOuOMvIqmZXh2kaQ Zu0Wp647i/4kFFjHOHiHkBXbTkuMaq7W0TzJF2Qd2IRK57uZzTmRFEja2CODcZ1Rnh38niDPzBKB qtUXR7GuoTxzJD4e6ZB7nHinoaLuVxJjhx3yTDbQzs4vQ4vCT93o99QJiN/5k6LLqauTqW3F1S/x hImdxLXBbAGk3JA95z/qHFte2OEmyn7HEism49d8EfO19iKh3PMvH3g8yAj2dzaOymzPy0bYodrh ENY3Kx5oUw/nKp4/dbcoLeY6PHQRWsUJLq0968UWQHFiU1EsQ6S/KV9HqxN2M1Dxg+mKPM75kcfv ifRVWAUnShtxUdFyPlqziRI0Bx7/qwrGdgLf5mc9aodCCL3eKTS+m3JGYB1cUjEQxmD3lnUwWuQm ap/CZJwCD420b18VKfjiAmJAGCvE3eYiAqrIuLQDD1XRz+EdteVByt8U0F9VtsinJBWyi3XecJHz uw4p5c0fEaFZ7xRP2DLMxlZ9AhbzO1Hl5cJDt1gaZwtYG/lbGKN5wOKkXGUebb5s9YIY6dJJwYu0 e5AVbkHG0LmuUI6kkMbVIl/20hHQgNshQyRlw2Dnh0Xx2VywgpQ8Jp2UoryckqhPwxx9DsSrpxuv 99wcSSeLvXbnw5toVDbuepqOah1t3A0WosnFmN5dPDKuBhxbICjsyu9fO3/GzOSkAIA6qesHxfmR ri4yy2L8pgg5Jj2oTGAGXk2KD/t8fqStf6rIxR8QZFTle0jclKY8wQo757gzFqxXrHvXKWOCYDXM Tn7MiwO+yxas0KvTKj3zI+Fqwer1fCjmoNYY0MAnDa2q+NB1gUILzEP2rfjknZCiHB1FOPV+my/6 npUB/V1+Ecfg81Hz/Fvk7xTqUGO8Nl0pytKT6Oh93w4e/Xt1c+3drSM8MHW2WEXd8yGpIwyC7+ot 4QJRZLw87PHaSWvZcjgfy0VeLCrZNpxqXaiHyt8UWZcwVpM2uVxUz5wKuw31Xkbm8xFz/xsNjC8y /nBXmo0mLkG0CI4q05W0EoeUZFOdvL1esB26akgb8KOia0tCPknSGVy9RoZyQlq0XDx/e9xhknEv zDUGlWpgzELNjEKgIX9T3EyAqHC5i3bWLHR9IWNBz79Hq+b6q64hIr88wQpVWLAjZx2G2sRHkUkn K3T2w0k9I37bghXl7FMlTTLURlqPV95e0C0xZ44KiF0VmZHASNDKIetzxQ5gs63fFBWBbLmgb2eL Oi8n6osyTyeamc9H84ZfNL1yMog9+2Mr+E8tlpOPor0mwYPM1JM7S6JRtPFua/k5DFvpZprLa9BW u7POZx4/jOM+yHdVeccWWA3D6xTwe0J5dGdRgZi/KSbJAt+wt5ZlMwcA74rJajc+HyEUf0m6V7ms PRd8mL1rPDOSi5XvNqu1Fs5muO4c9HCXCWMkyVIlCJyrRshc73ymLJwzqrqn3di0o9sSO5CFamTj jvzW3vbSkermksrt9kUQToBk5JsHPjGeD45hvSk82zBE2eAu8GjFHXV2GOgSqdnDmC4yPXJJt9Z5 LCujlvrIApt36dtzdThQg/yt5VoDXZokhxzq2RPJAOdYZ+wBhcFfFHSspJMo+jUXC9aYkmapZwPz +b+qZ/ZtgDROz/0euntKXwtnz0JFMrRaxUXJV/3lzZwDe0+w6VQ+T1nFc7Xy+dxHHTJ5Ap3DoU9h 7fStQxJuo2Rlpa3bO0dSiYzRpCI3F0N17kVpbFCMJ5+PSkMPFQUlaDR4C+gcGny2Qo2tth+MmSEV kDgMb8iMmXxXBQ6f1/kFCL67WqgGHJVTYeJwyumERjQTMWRW0Mj/0aZKj+9Ljg0+1QYVmoOLInVS iMWvLzy0+XwkEDy+PoRwhZ6ADXqkwtxdjNlVvSVzCmrtL4jbEfpCLZsBQjG/g6HHpxQQISGd7+qQ agBmNgIPWY3zGdpFPRlEczRSICDSYqEqzd45ChU/g0XHsDAPT7LM6Oz4wduHz0cTiPqVjUIGcuwr Z0O/6vdQJQFdsmtIrWq2VNhUILlLHIlTWIUqzNyFFl6U07WVodqwtElo1UOae8EJRvYv4v6DjfWz +2MmNJq9cnT8EKKF7NEWbirgmZNsPhdqwhzl7WN/1zgA80xg/DxSsWIim3srN99UbBT48buiZOsa fQZOn0kWKUBPyTqgXusA4PRPHVOQUJxNdX7UFpSoUSlipsqe0O2v99HtnSMrCna8JpEKhR8w9bCg pEFjkzQ2nw9Gyr8sO3CIOkj7HqpIyJxonpMylOVdrJSmnT+IHfr5y0zlu37UP1WSetHEhquFasFP /iRYVE1ssEcXQatDk3iyOgNRzZFUfdo7R+ePTVEMgGyxdl/VTOzk7Kvw+UhR+XtXZSpVfJ2/kBZK fNACytyqwcbCcNMw5NY3E7q8ykJDt0/JRTSTgtBqKTv8kMpGlxUWcaPQLk/8NPCtMBar+K97y099 rNDv0/gfW75C232FcFPJWg+1OZ+PrvXv+Ts8Vta+4j85cPyEwMryjN0g+5RdJ/ZlSe+OWPQ5DRSb A8tPWXFSo2WPu3pL5vwtACDmvBTawKXLgkmMY7F1hhsPFiJx+Jv+BfmEIpwvyqtO7t6sFkyVz/+p asNu6hZN8gYrzNgBF4BHm0tNDg1NKWq+7gyeZqcWrLDhLhLxLvKZ53opovjaIeuB/BYAMSyyayao osKJGJL5FynUm7104CcgIZLcky/qLZxKf6gWZJshcP781n8X+vjU31++n6HxJ/tH54hNb8lsQYqx sXYdy1oytM22QxhDYNSS6cobuFq+3vAVrJsU9g6NDZppFNAhF1SDwd4b3FjGwZr20sG0S4dQ3npc LAtNlI/lxtp8Pqpufm0smoig83jLm9D/kwpYAKff3rvYtZvynM26fdBJ39ZmCA1AlwbNgHLc1e8s dABO0Ij/BFJ9U8YU/0DgPwnWzWyN2syr2UsHbYbF/sLUnTWr6OwNFK9BvVb4aPL5IFypf6WijZi2 534PHUBnkX5pNn1zdK6rdxnYXRK5D6rc2lqhBSglSQHUU+Gc2i2cgfajZSoBe+c09ibwwoBMW87U spAF6JC9XrOX/ue3MDEX5WII0PMBZkMZk24+/xdTW1tyyirZYxXqt/DKmlS/4r4qdaYfV3dL3Wc6 Jbmjc+ABKuc7XFWmWrYf1bIBT7eeJtMGaH5mFUP1fOpxCjB4LpCo8s4oDXVyYAKKY0QhvE7iQwZ/ mWD181ugpM6Nda5Y/oAgHc1+DmWzWsDEvMDiHPiAotlX1Dzu1hmFaogPKFhq0WMAorC+s0K4unZW FpRD64WCnlKwJho3joyeS5EZA8URBxLnSry6vobkxPM3BVCGjGilxZ5fWkPa+XWvVDPFEk6Cpzf9 i3RkoKwF34rbZgjNQPnW51swzKnpfFCayBDogl+581ndWicHbqCPVm7VlcXVIetnC8FfVlP6dlKJ ZKAPoM8rm97X27mQ28hf9K9YgXHMpeKKYhUM3yYJErU1+QP+ord75gDLthur0BB0MiXtpnGDm96k XTCpLz6pHxWNAcUqFnSxXt9+Vm+5Fyha4VOOKwvpCYuhlnBTsB+Skfs7HlQtmdARNFPLpcFPwxaD yJxDKKJtr43PB/uqfCcOSMpJM/BQRdl7k2NTc+/U8ze+GJlEXpm6V23ebRXqLJqMftZMp+drX3H2 7jmAa7N7laBo0If6zIuoBvxJ07VDAWpJrxyBPwSSSXfRHX0urE4C0YnU5vN/eTbxGoeaR2rPpoq6 7V0yHN78vHrMRMnQK0oomd5vpMJuu3oHoPjf1TYVcGmnzCUR4gRwJloSAuaHqimxQ0E/bM1xssUq BMrwboeXOpezKzhNBWVxqHw+WQGf/18Q2ZuSCen5Doby58108bzTsOiHq/KZyoXqNFANWPlVaEhU FKue810dKwPAHVMByJyeq08uTw30P5EkIdNl2RVlAPl7gq8g6X81SfAteaMPrFtlwKciyHz+z1mq JAQXP4P7CVaUu8M1GNIS1zTtXL8CskPOrD1GFgQ9dv2o6AiaFM4od/XPINQ4ML9B0rABuxpKsMhC TWjEllrVoiQZqdhLR0h/mg80zrwqPjraWDAcIyIEWjt8Ppp53Y2V1BvE9kZ/28IV2oSazTp1C3S5 9+3ncI51MezA0ukcxj6h2/vs5a62t0BoOsUg9U7TanBvFY4bdVWHrAGYrQ4SJeQ4hz6hmQzlrslE v5OJAc8sYWnZbg+MQtUvfPCPWxIft8oJnULp+3IS8zYc1zeNIYH7t/hkopHywY0VWIXm/7dMZDj3 cVeLFNFKa9Byp6BlVjm9aB1CD0MlT75GxvK6yoFXKBpvBBjRIl2LsXDPvbtkEDY3n4+8ib4vrMpd Op5NFbuFUhR9GowWx3E5QHuzjjVbi2rKHDm0C5U4bEPydFfvNcDhseZKcdgNWxFVrCeRYOIOl8K5 Lsuta+AVOoZqKAH0scBYzi+qOZ2kg7LoJ278AX+hj3llcRib91Xaz7FtKIXRe5nXBaQWC9eYZBcp XGU3D1eYuW+hZaY4yVwdAXIuGTRkEK4B7QnV2KfAX4QWIRPZ9dGk0pg+cA4FwZt3VSfCqJ7L8ccM G1iD4LeebZz5A6Jj2L4SB1jWwf3wBivM3Kk8muRL0PU/Gsf7fACbdty5YMpt+gXeoZd1k6bp6s4r rTDgZr3nkO86nKKmACCNnJ/Cljvi5+LoZdhLBw3SZQhbOhQkXJQCrmUI3RBje5LzzJ8Q5e7fgBkI qEAs8kYrhMtscUyza+6DN/HjdNNWrDWDpq1HKxJ/GUXOfMZRSg9HaeKiOgd7TG4tuqmSzNUlTsVE Ysl0VUXhspeOTqLkhNj1E2eA7QbYiavp2dEiDWxEf5kZyoyH7ofPDR9l76Oq19ddPuBUWbftl6eb Fp1Ddc9hBJcB5YvQdrX9uDqooYK9B5wrUoc0JSOPMT2Kw0yqhzzYjYDT7aUjWUadwyHXbLTiWN9k YOaHuexM/oDIkueX1MKJwYLchwcrbL6jl77oiWDBauWqCpUxHeJ3/cVzbCdq51BkSa3OfsP/a1Dw RXNmrMpRLvrJg0xmuOrBCdrP4V720sE5pAhM2ix2uGhnDUiA8xN3thuf/0tJj4OKU8XvdT3Gc2go Su3VcweY0SNwWGv6UIcjF+GwgLpTayZ2FLXe+27P6t0GzBAy1FfPKSwg74tSWUhvhM/eSaZ5ZwmH pd57aCkK592NQV3yRb13lJy6vM6/nc9Hfaxv79UMB77zJd3PnRV236ksP3Z1ZPLsM/1c2cFi0arT FRpz6CpK24PS1Jqw1aDcOHgUvQJd8FxRWWbjkBWAMjBLE6BQ7M7KgvgFtqJnZ1CcEcLfXND9YrgG 0FBDSh578gcE2cOVXjCdwh9uEgtW6CxqdfQdraq/d82MfF4/UvX8PbAWRRoiFyPcl77avL5BSSVj dnBqqLwyTRzZGdy8X86/HeBFcxAry175n9f7lowz+4Y4eWf3q+AwZENgLarO/bfBIRRSn8576CxK G6JzFLwwXM1t186vXtNBWKN2b2OF1qI2pWgCOmq13gzmOeg7iHEyTukrf+gGhZUFG9UMWVCrC4eS rMBaFPm3ps8cFrLozqYI3tGGYGGIgX1oLpquhrOmjPnsqnQz0tBdtGZmVsnbo5P2zUY7ScX7WAv/ TtU6gb3ovdzLqOuu3nGoQKZXKnqg1tVewL/25Aon20Vh1i9eLRV75X+hQHKyKRhK5aLuaJ90Z4KF 2uIP+AsKKZsR3utXPj0HDqPnFy/JLqQrLLBuJwsAX68Lx22Pxhajw+RR1VLm6hX0yalOSp4wLITA y7ZO1kSpP0HmebozmSeXv+dfVCZorfqiCnq3EyN2HjJgWKHFaG7f3VHges5f6zmBoYQ6sQ2Fl7D0 dCQTg9Q9Z5O7hLVK82Q0Nhndmuds4rG1esqwIFKAWhdwZBgkc+dBQn0CCYx3ewQbl53AMHPv5hOd fFGooCam9ihsIXNoM/pbzhmEiAm6j4cq5KTyGwgpasvbq7zakbdPOsApVECW6xsY+Iy6WXujMpWv XuWgTlqbPXdcWyspVIWjxQpUfgHZ2mM1t710QP2SWztw9bA2gmiXCF9oWJMICLQUf0DQS07fRc4g EPKqC+TAbDSb+LXUJZz7dXEgdbimTt/NZ6qB2+ilpqZWx10vJ+BcsykR2nBSj7O1NCcE1BoZ+3nR Bpq3j+uFAwn8RgH0IekrL0EbpqmgnG1VNi8gCOXy+QiK9Z0vZHKJTmF554Sh5+iUY12qzVEza7nw PCBYtrXGFUnLgekoaOn6DkoJRasTec9fPDdD2KY1zn8gfX60GBZh4fnLuIcGiDlwHRU+lcYh1RcN KeqJV5NrETgBge0o6PffMPdMDbz0uPt9XrOrBsu6RNJXcyBI68lmqjPV5WishXqNsXrNrVqi0SX6 7ck4qumbo7rWOTKkfHUoAmw5bFXoEi3CsMEmNGs/Wke/ZlYNyjpS25vZFwNq1+k4rIWnX4LUwHBT X7QJB4mrIIEIOHVFRiESCGsYQAbbiZcWwR+dWJlNMQZXJnxNqtrVHypZUCyujmw/38Lz5pPdmPPx qtJ6PDk8BEgo2sSvn2wxWL9/XlOq82vQmkdCK8DGmm5gCw615EBPxv95zafa07RqHM5DA5HGxgrR azbVsjitE9Rd/+6tkuzEGTGO4o0ooBmi11wKu8isolebd7VdBPG4RnSRLKMJff3/jH1pkvS4cuT/ PEvZM+yL7n8wwd0jwCyJUd+bkQ16Ws1MViQIxuIL1YkoxDkpPe1ppwwiXzOphinVkD7T9kVN43IK Ejbbz/unfV7TKMR3b4sQh9OdPaFiEXrNobCJGjsIaXkOtSkSqYZxbe6iuYB1Z4ReM6iGJpXg2ann u9751i5njxVGiOEi76t1wO7m4qxkeYTIFfi85k9NRjvYPFT6api6yhWrs8ruak/nz2v6dC7f1ig+ l3kboRemm4jRa/Z0rlpUG6prrDupcbwxZuWXclNdROc1d8IvJN2qnSUct/N9x52EE7TWSX4zfBGa VGdP5DAv7GDBIUW89FPqL7ymTjiNOC1NmxI6XKzZgj7o/LEZ/GvixKunn0ZVJxdEwX0jveZNeD63 jqDLtJHbrLAKMz2STD5Wfs2aGopMSzAtWN8iX+fAPjkctRfOi+tUGEsiXxQgqCBCNdgQ26g0yY01 CBI4CXBlkcYeDFHlU1DOq1xNQ7AKPq8ZU8tXTRYO1Yzo2ZFgGihKrwlTs94d2Fvzliz54SN1x4LC zcjcQqIo6TGry0bwa3kavoANSiD7srhDT5C9YGg40zwPxwRKIn/gWN69JkvN4Rwdvz8WvM1lwwoe s0BVvfbPa67UnlxJVTq8uSecohSk1zypOarR/Ga6UI1OcWucP0s2fQ2XTY9ObWuYD6nxc7WtdDKv dHJtkmsGnEZr0laCPmSGUglEzObFrFOz6jVJanJzA5SxsVSh8pPUO2BRSuXgn/MIjM9rksT7LH4s MaCo6pKF7fPa2sQD1xia3Z/58VP9crIhPCPdlSkWF5zcSaprW3KNWj1K52E4j0OmVhy8DKvQjIke puhNwbrigooXfWde25r4K6mANkCT45IEhuokavALMxRSgxi5PIVtQHAXwPXxB+61pdkut5Q6IYwS TD/97N6397QoT0AZjyhJ6sIvYtP76knSPpVzleHcSQfmVMEHXDnEIZCt0n3LkXlUJn5tZ+LXWEQx li1Jyy2iSKszmzXPeWHlz2sv89epxIjmMskrnR6md/YfXt+JRMmarnbOejSGmMKql0LLUhElo0At E5Bt467OV558z4mvTO9PaVIQd3He4CipiAc2WZiVdcvBUyeXtUrHYi58y51TTiQzCcW9c/+aAVNv 0g24NyzkPE5R0k3M4TmbTLYSVtrcRPTsG5f6B4cG5x5FcZJAHNgMd72FyalGUmF7bkDUUyQtzKSL GsQcjvvAGFh73nJwhBcJwqy7GK17Y5jDNtJen3fmX3tAG4oTtvQiU0txes+8Ifex5TNjzx2gae3G qbnoJwU3DGUWxGlLRVbYAlvtwZs4kPgGB0sZ7pZqThElS856TvUZuwzhh4MwddkdE26lRWGiZJie wc3Lg6xp9K8wodO2xvDM8p30h+Aupt8kvShMa7rjTFajQIqfbgH5zvnD7Utdns4+vjpk6jwIZ2vz FKeoZSY/EJCpTdZ5gz/65WfR8u3zTvprbrPWAXa1RaXuOVmHWpgFWNgoBSe0/Kl11WnqHqf3FBwj LaIz1rrbaY6LaxlX2mu1S/l7z8GzI6wBpLurzVogLZ625BTwk6wmg9qNEneRlZN5jGvYMtXtCU8n mhUMMpQb3hYcS1UMVScfdUBIP++EPz616StOGQPKWZpn4e+EP/x5tMSaY/j5NDiZlUIjmb4MVKHQ FAP1nodnwlPZWurlrjZqOeUP4OedXYFEP3tRI6F0ex5LmGXuh5pMp6d3ul8Tl+xEKBHayUWAxQGe BJ+l8977vLP9Gswz1q84ncdgzXp7J2Ei7kSj5UN0veTIcKjFRYWoCKrmSZSJD9k5NLN6al9WT5Dk OxWRcFKZ0zydTwN6CAV4A7TCzPE4b7vj9/PJRlEoV23Rc3dyNI6IaFn5eWf6tUc0vcnCrmJ0IFQn vzXKxSmgALF2YxjhrLI+3NlPLRvYIKfkgLIoGe8m9kL/AVtvy3J2lI7shp/0CBK7rFjoD1pZdKRH qmp23fF7nAbzgXMmdC1+IMMWdwqi2Xl5lGf6646KL9RoTPnG6T0bx35C/kTWgvV2uzUp4VjQ3bGv p+ns0SgdN9N6Om/6as8dRLAWZpvk2Y7Z+VoogIKcf0WhGIgAXk/2rjt+j5M0Ofom6o6Lmk2nXlGc UGx93gl+7ZkYKE4ZzapR980LonwcvuIAcPYbp7yLx6lUf+7wHNl+ChNyORpOaZFo9aF5P5kmtbcQ J8yFpY+2KmpPyepd9gdh6PyeKE5Ts7riC+MEIQvZjleiMaKMXIjwW9udwwEAQO9bRuk43jHYQ/Mp gatrpo+V3AADUgfWuIzTceYDRS5zWr1RAILBlsPa2QA9y8WoJWAp8IvSiWHfnhP+9M87rQ8bQjgo +ODYovypw4yI1fCJ2eed1YdjtHheoMkmXraD8oMMVJSPZ0nI7u0C15uyHTJUydcpBDdhgXrPx7NL B+1t0un7UQKH9jBBKyjwTjWVN5MsmKIBMjapOUhSn2npDd1yNCvAlG4WCggNWCI2NVLgllzZx8GY q33eWX18582vdx4mKXverso7qa+JK474pOWhmrcZjg6Hd+hg0aRQvafk3jHoBtzpdtOqhU+60cCL 4awuDWKm0VehB03HM4kC4A42tafec/JEF0jIlbAJhReoSAnn+yD/I9X0sj7vjL5mdpU3UJh5dwBd LFBhUj7VmVu+pyZRpnz48H8sUHXfQEVJedIZXlTnanUOMrTNYbrFXmYv52BnoBJg7hkqtT9Aj14p Duq7vLP58EUbVcsAJ9QWS8qhYSGu2qyfdzKfnXFfNR4m7+itW5yipHzRSBtccu9Ale0IqCkspxSD hiXl70y+2xg/P6qBm0q+zZUOYV00yJFr9rO3BPCs8C34ocNkXpzSzccb653Id8d0Ga0NLs0gXzQ/ w7k4CgYsUU5OIMk9y3FGndTrhilMyfEc4dVzWXwjeUqe+74g8ysG/k7iu6882I7lu9oRhSKvoCWK +cHZZrUIVLcGFbAAFmyJOZSd5Uu3HORQjb1xjNxt4aF8/pJEnVf0f9fnncPHbf/dWgHIfs7s/cx3 Ch82YZGy2WjeqpPxDDGmUkttMji01OCdwdeMtgUZLj12XG+qCf/xSsXdkxmASS0ue2GtB1udAiUe 98uk/eo7ha9JdhwvO/NVsZEmwGc5Eb8LCaLPO4OvGQXoOcc3SpcnTmFKXg1G4PsJcHmftMhHm+c4 GkpMNd/5e0yVTdJFel1cLdU8ef4ajQBugAnQ++fMiuZYmxhgVPt2jLOx/nln77XrzzPpY59JsWF/ Dm3kwYEU9DY/7+w9vm++c02I3vZNBWfGKWyQb6Wat1V3s06aiN2WZvaJ1Dt3jxgCxceUnLl6nKBR 2jvjdA5xuBMwjWiFAEJgngQpL4KH2R0HqSZNxMCF90VgiwKGqfGreHnUWllfKRRcvCdaqhamKCNv JGQDD38nwPPLzNfedgsdJAvTe0ae3avAxpv9GW/uRGQcWlGkOC68M6RAf6q5n4HvzgA3OoKHkN93 zh63E8MkDefsepgaM7JjAKW0zztlj4mqP3VbN4cn787Jo4y8WUY+PCmo05yf6ql7lkMJgHuzQXmY kU8r8NTYnHfeslF5wdO9dlKxzzbNmjZQU2cReIjJkjdWgJr4vLP1OHujZd8ia52LCjykFUPaEbt8 3sl6TT5tNuBUcgp7Hq/v3rl6nkmA46lRFOr2O7uDi5z3fefwMMX9cTxsQBLWuypMMFGZsBovEDGD XdYW6QMct59B8w0kAshCiSgouuM/w5QkC5RcFujsQbydfyihxMuDhy79SjEBAynJc/F3nl6TGxBr XweP71Ku80VhMVOYOi0b3r3T9PAwGNEnq77jarvpVKsJmB1aOpznt6gWpOL8OYGhvzJuJs6e/Oed o8f+Boctud5FXbq8OYRjA6t83hl6PjZhUNhZSI2MS49SlIhTjgdDBHMqWJBA4Q5CDVENYn9O3GqS eO/sPO/qYHCyx10tSgMdOsyVcTRBUdwEPhcy78UBGgR5bIgwKULyzs27XV/gr7h0HEvq0p3/DWFV M+XyeafmNRMBZFi6fHnObzcuQOWdmsdfhymBEJdE2JOKz+1UZFJLLkJ3Udh3Zh5+qK6nTiMCrQoU jGnPiwjOVeepO7/7zFJiPOcJgaq4te18ILCZeMPRxJwqESIUt+x27KDH8lj9Aa//887Jaw871hJM eJ9DCN6i9J6Im0kMQMpOUF9SIUGUFsdvEsO7Q4R3Rp6V8BmYJlqta7WEIMGPFuAEKo/gqFoyNaAS Mjjlufd6cQWsV975eMSvNcIuy11sdHeyS4FzUudtRrvpu6yDlPpAH8TCFOXhBLR6S4UJQd43v1zZ R3djefn7zsV7nrqexLrmanl4x8GNQo9+fSeL4oSnnD+NzA6c7QUqSradKC/3eafi3cQJj6wv1pjr XT4YdeHnfM/DsTHa93bqQH8mzy/feXjswgimspw8TE6T5Ze5OHSuglugOIWtcYtT3rYy2Zvs0eUG sQyd4ef1Viklc+JEdkvm2I4qbN7LTLrlYBQsbxCNzDOh7jye9oYy4eJPk/vnnYX3K8Nkn+A8hbuv mzpFiTjHaI506lKTMtzzSHI4JJ4H0kAKVNgbl5vvSWbKXZ2mAX3kjfEPhOOBx1SfQMh6DCtgzuTK wui96Jb/ajzVUx1iyQupnQKFvij+EfaAn3cKHivg7x3FntO+zcx3/h07dBREys0DtdR4oot2mRcg 3hwd9k6/w/1nNZwM+VQe5NMaG9cDxwtMD1XR1aGj2VHH/6KVervjEjp4Z9812QmcM09PXrlP3nk/ TKKRkW+uzzv3jvf5C/kMza45fRr8Tr3Dl7IzPea6PEUZ95GnWEY25HPNHN4BkBl3x6X/WgV9rvWB Pp93JbCqpFZD0buNKiI6Leyhr0mSw33h0bfhnXrXJFp3ArTJwAMyiDDmUyamRarzTz+B+rwz735P 79AeTpCylPUqAxWiVZgXDIo//QeDPDCYpHnXoNkhzTsAsLMFKsrG5zR4rzljPcJkC8kNOHGFzikd zVl2xxfNMKBP9nN24PTWE4Hfn3fiXUtmNEMjOC7m5VuRfNAP9Hz3+rzT7pgZfLeeIMLAvprFKUzH hRPnGPs/fPsJNUd4OrvtmppDdV9xilrj8jHuyUh36SHdAWyY4ZlRNQ0GgFIyEHCVy40hEgzDqruu Ww6Ocvlno/XOxd7xja5Seo3l+nnn3LWHqW8n+S6Q2roP3ntCDviqnrbU7MEr6OXL1kJUErIyKszT 2Xp6J9zxwdPUfNZntQyqnRQQLX/FCbhVtj3rogJExWis4Ie9nfGhWw5SgyaxGqoCclEKdd5GBHST y/V5J9zxco8T+7sYyO1W/JX3TrhzcBl8qpLjVajyZjosV7IGQzeDjEetcfMThb3RXb2+O1UMLCvw yksJxiBMS+FhdV5bmVYpZ4tZGaymyjvdjmUwK5dMclSVexXHwTlNYg3a+efPO9uOOMvvF16m/BTS GItT1BtnIxECHA6tzzM5/umcKd7LLChc+MZ7J9txQ7MOHksQOa6+ofYGc4UMDYQrbVH5ZwUjivAC qLx7jw6zh8871a65tSFcXHxRnGrJm11N9Dk+70Q7otn210HO2Sv0dC1MIVqlKw+/qeZyYhSmnLnY 1HwkWmAlftKfqeaa6tVxte10zqFa0VAj0RVMRIO8NqQvZBu15303tZ2izrhQYk24cS7q0YFNqc44 2JvvHLsmuwLbTojJRkdlLK/w3hl2jvZFYbG8+5R81ALLXR+17OKlyzvB7qIzR6IBkK2OLqj7bEeO EBLor8C4MslCiODYTvbWhYkRnPnOr2OJtghT6cMXxWlVYs3YfZqfd3rdL5QYp1fwj5FiKcMUJeS9 kpDftgtqnYPkqsLT6keFMJJk9lXa39jxU+GIPz3KFWo7MR5l0d5jwLS3LO+rIOdSky7lawEJagC/ J8gKyDFvbQxfBMI4h4QORMDFcHmQZt4CTzZGUFN4whSm4+Tin4Ojepimc/EhSdc9TLSY5yEedcZT scGBDvM+rxrGBjShr06GXdkVcdLhBPrYYP937PVgM7fuOHjZUUmrD0ord889AK9uTS+7kx7j8gBX kG8vk0ZqG0SE7llmj9LxTpMPYyJICePqs03O3tR9or4HqT/v6fhVdN1mp8bVuk990XeQ4EzIme9a 9dRhxgIQHD2UrLojRg1fE4yB5Z0Nqoct2k3n+GRP/YRp8/KA1LJ/IccBGpduD6MU5eIsxc47enmU TPudCqXKqBClRcFFRilCqnAMXWAPk+7qnfGTLXXqswA+nE7ENVmHgd4P+uOgSfXbLYBoH74nqFko mF+3tBGdhwX9yCSp3XrqJVwe8ci+mwWY+oFL6mGKO+N0jKntAnpoF8XM6ZxHt/t0pad71BkfrhXS 9129BoZjOpNB1HZA9hBweH4cTO8apNfhfHybBSKSRak4xoonBz/vUi4odvmsodrbhNKN2QquD6BP 87tNBxjFz8kX/WXXQ+pmHYL6mg7UBOrbIayO+gXUt5laT4+S8Wk+vtpYWn1eviFHC/gAoZmg75GK 0PhibGg8w7vr6l+wCu5RMl5N65bKtxBO+skGJ4Aeape31+YH/IvjyvY4VEB79fSpRwROWned86ea ch0kjrqlT0UuV3RgkMwfIhVn43rfJXn5crWDHI5MuXBexoKGoExOglEGJ4qdoqZzwSy+8HrUIF8k t2C4zAXpqRQdzqcyyEBbTlwfvfE8UIn7j+9Nb/32OB2vLOqWuYfCjqjZlsp5uZ4DdKjkJ9BDFqce vtGJUNXqicE5mDK9A4AO63L1ldytxAGAf1q3vmNliC8KMgPxNSRZl2Az0wURg9Ufhxenvtu4/l8P n2RCzs9X8w1U2CNHv2gVWqT+B4j78xqalMeiUhb+LYnlwJayYdDDhFw6Kkuq01q9YQBx94Wm2NnA bc0qCgzwKdDkRu1UoZJyJUmnbjl49jJBGE3afpV0bW4p4Owr2znwIsIHBOf5/GYkUHarNm+t9PeU 3LQN0KBLZu440RBC0NCTXcQUsGUAlAzP8witkqR5Ae7dXZ1YNgBQUBIF/QIE7oeEKUSVRnZl3uFU oSoyviiKFKdSQ4PhYTCMdoofQlgSCTm4PALTla+cvEErEr0di1MEICcvZXbKb8pelVZFZqM91WU5 iW+pZuXRI7SKEfDOu7naytc2T/NTL5zcdZPPmQqEjtTThOV1Bqn3/JnpC0F+woUvioGsUONu2Re1 VkazYX09IcPlETjz+yyHuN05/C/SvsdNcur4iYZAFXH2hdir65ApkEhdXs03VNQkB46adZtgUOWh TE0gxicI5ggUGnVLlieVRiFgwoJ8t7ynOUh87RFgpYt6tznt5KIm+QI/m3OFWSYujzZU+jrL6ZKx MLsxqnmUlk81DepInkkN87aClFhKNk3AON+45lGXfNpbb6n5y9UO8woZfOjNyu9kmU1tQy4KZhdE MTF1maY/mnXH0XiK6IIisnnxpsGEagRddc5Jl3F5kJd331CGLvtZ+R5QI8rLSZw7sRndmUDVhy59 S0WMTCDcjsIU9sgdhSIpDK4+nQJGoTYyXKBNtqd5LJCZkDiCQeHnkmvYIviiqPdLrAropqSYnV2q XbR7k9ffeQQzro+a5P7OI4T6ZI1rPvspxI8XeWQ7Agr9fcePp7SHI6Cm+1iNqEkuezh0d5NWyoEO FDAJ/qlL6kVpo1BWmccsaohjfVMDaI8NfE2AYu2V+hc5a0k/LuHXpYsPMDcu/9fMRWd+wWT4bqco K2/YHivJVFzEpVluC2qaCr42Gcu8EWXlkFRHx7cZXqwxMxh86mqFSh+ZG3mzkSnSAmgzA8f47re1 gppm4GsiKAYneKK/chF0HK5oW3Z7Y+Py6HD6Nek8AVr51i4jysg5Q5skWFpD846EuybR0sHYLvEw oox8ytikTyWaXP1sOrlV2lBlQfMvkcfBswkDqoUTBamoixfNbXccPHNMyCcgLoC+YAJJHt3ZS7Mw z0Q2i+uj3eSTTnJZIIaEw9IDFWbkOanIczm6ubrzW5hd6nSqNEZnoKKMfIkI1Ervd3UiEIabGJoL 7Nu21EUa9UVP6gbBhqvbx+kSviZ46La8eAkU45JUt5wckD/N2asTlwdH0y7fSSZK4dJ8LjVC6PjO Gtr5dpqUZ5DOU77Q8VrRr2aUIuh4lQTrgIWEr96pOw9kS5OKyCdjOhutmJUCWuZotoFs6MBxJIr4 mugEx9M2K4+mWWl8zTQAqAziLRuOpigVr/mm4oIYnr9/1H7jFEJWiuK0i8dpXAW6ka9IT12uQDfC ZDyZIFY1/2Ji07LceKEvOsnKP3vo/OwqcVC//EwGmBKzFihsS3xPlDo1ze02UwKkqRyyQB6GhhCA W3ZcHx1P30kmCAUTCa7FKUzGaZu6iPM2NuejGzaSsxTRk7Q4xcm4cM7y9NNqRQvcis4RxV7dACDK gBhNeu94kcPI8z53zDFHmIzTu3Mi7cJWxUckkTYGWoL4R/wy+ICgY1DvAaWycAJi4JXwCNNxshFk dyDHxspQLaLFrM0CzEo2NsL4R5M8Md211UJ1aleYiPMkn1BZ69gIBcMvQKJB5i5wx3YHhW23HI2E ATEg5Q0LtTb5hj+vWDU2T3FRcH0QqfUt9YDu/DlA76xzhm1yvvMGvWzUXCnLUSudrHFuKmoNscKb /9A0nLJG0OoaBqsxvSzsQpH9pUMKmeyCKV5O7TahRBGeIWiF3OCR6T44cnEtY8DFhollV1wexKn9 whhgkDDvNGFG+biJkCvNnOrbeSEMOSvDGOS1rQc1wz656rs2x7jrbQC380qTFQAGtoWdL3ieEU4N wjZqzFsI0zdhhoxOzhNSpW4fF5cOyUti5Ocdisv/G/bdOLtzUo5GcYrScXpOnXPJKcIr0fxDNgDd NX1PyZ9sJjxjOmcx9Hy+q7MU4TKN5Ax1C7R8NBw62wfp1nmdwOkF+FPD9lDqYYaN8klaGYS9bFFu cPI08nGA2Ky4PEIfpq/6DpAAGltbnKKEHENzNFaGv/P2LK5Ewzev0e/o78o4hW1y1Xed3RhbLTcA nm5TgXDA4Gcb+vAcvoAYQJguY5NcQ9mlOw7CJLOzNTWemm7OjM6WpMdTmbg8UhP9TqEyNHFo3mNx ilJyEuGQCvp+gs7u5QEtZ72Scqk4hWzOZn6MY97VnruTgfcK2istnan/zzhRFCsnOF+X/GhEc96K LwqeO4FVJr2KuaivMmYXnfNsrYrLg3Fn83OcdwdUD1pjFqcwIye0Z47qmqJDrShl5PnmmuA3KE4R ZMXs83oz8fF2h+ewlDhRwzgU1I0EjktW6XJ+XDqN7v2QFGn1OaOMvKrjm0wGygQMGiolmavjYMTl /02bDkidPW6mOSPECnEmk8WXMihWq6Z13G7dQt8BpgUzapAvud+0KlYZV++q5LTOmbQKlQ7Py9sM 1zusXCb6GlkyWcLak0I9o5Q8DWaaGD9wkbxzBfWRtjlngwzeZlTf3Wkndw5UhdFdtTjFZE7FyWac oIIPjxNE4S1OC3o62k2h2KGQdKSl+eq7iTLglbupoWzMppPVoFiYwTtGSXDnCFt3HACgzH5qZV/U pOvnPB8eMlweAcV+dVUgc7jvVHhGiBX1niAtYDixtV0n6+T0j7rolaGZUUI+5L/YsiUHOfkEDw8/ RttMyGuD0PgQ8FBAKLzsan1mnYNY+xkl5I3InqbpeUOlYHjo8+QWHlXnF6u4/l8NA15GMeGr1zOj dFwG6nKJlP7TcOGQkXex9hP44B6oUF/FkGJdo06unmSeXXqOZqbj+Tx2vQl4uGjtR0zQeiTG6b6K 7/mLk9+zoCv5GlaeA5AWrhhQdVweJZnfKAPw6s6b2I+nFeXiWXKHIr2ylzn68l7mLLeX2ZMBxVYE WRl2LLkzyXIwxsKno9tPjguk5VeRMvTAcHeiFkd1a6IhUi5YYWuc+PFTKA5fjDC1pG6NKXzF5QGZ c34f4kDSnXvwimWFkBXJ+0vTH63xWmd3PsIYDjuEypVFKUzFVdMB3nRXTzFPLgknDDGBTiJgqXiF JCc9E/bFP5l25oob48ycdjJSwnmSdSL1dLJx7d5acH3wzOUr1iNlFQAfrzrdCmXGRb9zCie9brxd sIuBEYExubspwqzY7A5SSFqlnGM+JLMTWIsUk44IPjFGHPEeoVewZ06nSML3RF06TlpGvougPbtl psSYdvLy/6ZiwfB41OqZ0wrpnCKWtewCNCsP79KBOmBhqrRcY5hC9HgXC8E0xbheeks/GQv4nTaQ IuoMmROc+xIhBqCGW05APN36uzc+2tICEAK7T6faz0KunBsvuD44nO7kjhEGWOtnlatOt6JcnIj3 c3QXbxWM4dCeXuXRTGhPJt73ZE8r5HNWI7aUdlfPnlCednCCMYE5/3eSDFM3H/vzLkd//KmBCRHF FwXq/lWmZQ3kuY1TsVEd67zuzg6dpmpU52eFHfJfm4rA2Hnn5itKxyXIKq1vhuq8QYZBVtq+/SdO TRWqUF1F585u8n3lamcUDLjOAUt1lQXWaCJAsWbK5pJbX+CaZs6B7K/iiwJsz5JTElVD8lUNKWBo Z6uIeZ8RBsozKLIEFwwpbxm8ooScBSnGacougUR0Q40ujA3bKp0/O+MUJuQm4JfGuqujxU4ttEj0 g/oTYHUyZ26VpoFQBag1X73orHderK7CY7yRwVGoi8ST6bz09ALsZ7vh8n8qRgtwnmH87X2VFWXk gIlTkcmfPfhze0OTLxEGCg7RFqgQQ96FKzBVo/KlalQAtsiFJLzz51TUGAQgCkjNESr+RgesYEvj i6IUijlmlTkCAJ5DudD5qcirGejTrSglX+k700Qut/dVsl8hq5OAlakRngSzqgv+9/GM8K6r2wp7 5NO4Uq3d1eJU0TAv6F5ArqfCp5ZxquSjVajxkS6pgXABfYq3HKHIWQkXkhW5CNiTSpY3+tljvM8A X/BsKLNGp+BocejvCnvksmGVp5SQGM1FMepyqfaVOOxjqGLRQ6n8qr2k9fIVC4qZQpVfcIJLk2h0 ZnhREpey6s2klt1ylEmZxkrzhaHKADIks5GvuDzaUt+qhypJAQZRoHaUlhMJeLZM817d2rdX12u/ Thv43Zkh7KhFzuIfatFp3dX31PndVp9kCp+3HvRehcWgEs7JrbAL5+2uLPbId5SYE2Zy8g1g5dEY nwKfyCGMs4Rz0OLyoFnX7vicmSqaEOct4IF6z8xBCCLKoDZvQrXdr1YWdXe0owCYlqx9bP5jWmL/ T/0fbmcD0sVoGwDp02V9evYWamj+L9rTrCucvuKLomECzW0Ksb9c1CQH2UyuppBr3++peZIJ8t1R UAodfV31/xBNLjkaCT8BjVH3bWomVEDaUJ0EK8YpzMzlplib+gdcnQC7+l4NygjnkIK9aZdDYF1K 99iGcq2swl8H3xN162T+Y1ZAXuidGgkTdPzjyURxeSS04skBE1WcBvUmUTvkdSIpgguDneULTB4L EwGBBlpJ7qS4Q9CKtVeSlDG4ejkMnT1ILvO5WwNJrfJNlI1U+y94m/hZjhMZXxQJ0jA5ENyAizKn BDs6DjA3tlMEW2n5m1AN9cz15AY7BJIXwVbSlcage4qpG3XnlwFBbXEKgeRKnrrs4rW6NAYcATN4 hpxNwfGVbYPJA3RACbFf5TV6dONrIjb1UGucPTvMszVnQWuyippw/ghcH8ExfqkhTwwz9j3GQy+g Lne77Up+a5iXC5u/w5pQg88JwxSCVob5ceZndbw9dD4GKgRMOpNTGht4HT8oOX7wRLqKAX4bfE2Q QBFmTwaCLXroBtALooylhcsjHT8v84g3p2Z0v6o9O0KtQDOZIKj243o01fVo5qreqwOSycIUCh4a caNJq722q9WOagVdKJYu8IMsS5JiDV3TSdRxRQ8SZRmoNkV3HJFfkWEOdNJtUe839UJbFThO8jb/ hahTMnH+eV3c4Q4TcsmH7OlsxUndZVmT9ORhggyz2Io7SsintP/HkI4fV+dSo46hiyO6K6hjqaeF lubZYDOJyuEyo3SFxvcEtXDW4yYVqGnquPX8nedZlnRo4uVRs+7yyzQ8huXGs53CHrloeNK/4HZq y+NET1976jgkYZzihFy6kKpytdpTB9meATcADvDOOzRXgXtIr+5U5ruThE2c/Q7TcQ04RTkvl3Le 68k1DF5QBy4Pmiu/VEYX3gfzwn13KHdIHb8tf0RqirX70AFvalHiS0QPXYRXmWqpjCxNMa4+cMkD x7adTSeXlsyBBMiHBMhhuCKH88QyeMcSK1v4+uWL0RFKlkVgK3Pg8mA37f71psvQxF77DvAEEnr9 WlNBduX/SdsXIySQEWcNg249KPbUg0JYRrizPqsihQbneXLxYkJnpWIgg/+mbI4fOk6VCl41zyhY Am276cDUjUpZkBFwkktlVQbxlk4kPOi0afMjgsO8OglWgsCT+Ox84xUqH5J7jv7Tj4vScMx54tVg omXxqvN64aY4J5cMcjYLpXwtlE4FC5sHAclHIx6DTbuGgSXl634KkGJXinzZTQdQg4XdMNDGOgtq AUvFsSuleLFpohSl5bt9yx9mjvMhYXnDFcJXEsuWbnkmauJs/ajRRdWnpMHcN1whnFzDhVz0IHJ1 jB0wlgRhwHFqcGJM4BiMzjP1ewqasi6LvPymI6YnT6zJHL2gnU/OYaUKgKzO51j8gCCfyu3rYQSP fT0enTlFnfORyA9o3V04emvWD0ZXRE10sJLmfRZDn051784bbt7VUXYUasAw4DyLJyvZTSi7nPgs Yt5XB3vnqvfkm5BCo6Alwhklj7jobQaRZR7PICXz+n9RGHGHGwqo5QKmNbYN8QpodDogce3m+DFs BwckQtHSYhU1z0cyivl4Vn8O4YHLHjlUtDqO5cHGFHiN549FHYsOmRUzeSy76b9MS7j/bLGWMAR2 qL6SR+b1/2Tu/wh4hEN0PTsrbJ/j2JjmUIJTK1MMmmyqnaefWnyXEW2XU9w/N0tK9ai4OsAcjLCU GC2AEofy+VPKMtMgeD3DkoWaGSWlbTcd1Mh8FZ4HcPuinTU5R+I/Dl3/Ly9h3h/RWRjHerBiGZZN 4d8p2Ca1JLfJHzXS7Ug9oy+IBSvK2CXjB45Tv6s38jpwiIgQbDnK6IJ7nj+JbhNQhy35HvF535uO SsCi9nnyRX2XU2NWYakrHLxT1EZ/tDaZPxS8YXH3HqxQi8WI/Hsa8XMDlKCdtYhR484CZNWfwyhv pzdIgQReuavlD+eFt8+ZlBPPLLQOKQ1YceDQ5vRs29J9LFqgLqmbjgjqHF8tvg/3ZO7H0UGjkxyh d43XB8nDmF/1MsE9Oafn0Apzd01n6G1njYXtDZiT+ydvLEyvmPkee/8TrEGVJAjM1RtVE64JaYvn cVKvluQMS5R6EhbvC6a4t9109DZkq2rKG366N/yuTi6BMgCvDx7Dizhn2cykB1g6D1aUwsuPeQv5 2lQbWpf4ZE7M5lk3b+/C5BT20xWkbF29/HT14C8MoSgaT4wOfb8l5U3CM6GgjS7WZexhd/CLgnYV 0XckQNpisZoqzSigxuv/VTrL9SSBPfS4VYYc0DU1cjc2Gsg8NnkY9bLRzoHmk4cc+HoCwqHiua1n taJwZbwRJ/dVOf+HLUcUhTjIJ6Z+NkhWTtq63fNfUom1SH2kGFKkgpRbqjGMJ6+P5qP3XYgDnsZN 7drj5NDak+3/U2tkb+6t7XI/HU0Yj1W/lpWBt6cZYECsTU1jro6dyvBzR7sFoKBZmxXZmtyA069d lR7Xl/yHtadkSvNdFKrzpqU3G1iLldcH2fuvtAHil3M/D2Bs7knrYQz2rdNAFVjT5Zb/kqRsKDfd 9VF/DpNHNSGpelGLe1C2aBHwggEHYa8oH8kDoSojEDcequL3/Jf07X0NVp3sFTg2wixP2Ovg9VEZ /e39gtRq7CuokQODT+i9yeRs3B7fKFcbqU1X5j7VW/ZQhZn7liRZqeOu2lRwh+wQwF2QJMOkNEsr oUKlhWyQ3R8roeG3HD1/ONb5EbYIkIfGqLS2FkrCwOETCcP+OqroFYvMxSP1nrdDMnFp2O7tK5yN HimpJpko2fDHL+SEmt50E+WxC0eoowoiNv2UIKRrAqWQ1TVG5TxTVUfIG1gU+eIXBQgFH7xXLcU2 FcpMiXCeaoTXRxPlbyYRXIv7TE+oIiHz3sVjWFcXPy/Xb9tp+aaCf71vqthSSFSiJDgsVw/VOUPO sU5qP0bLXbY6J8mCDenkqHZf11hAwvRF0VHFScQml6hu5xLBRNIU388H8fp/KSoXnUvn/23jyUMD p0/0ZZipO6yazozT60H2ZpmHoptmeWho9bmyWcTtelcHdAw6xI1CiziQBNkXhZ4eOyKondF49Zaf +lih2aelVllmetnN9AosbKqZUuhO/3WwW6/wZ32fVqFYyyD2pbTLe+R8idgXwmovGt3dGQO7z+xI xvNR9a7WZzj5OsGxBL8Ay5gplgucNU42fiaGOeaXjveyvinYWZneZ/K3Hu5vfVKr3GpXNZgqr/9v TOKgLU05Po9VlLLjAc8A8jiacVAhTarmsmikdGlZ2WMVYtL18OUkxj9Xb2BBwZ40pZOFwmhPKoq1 Mg0Dgwmjm4uowiBL3xQYChBlndu6iyYxCyMQakiwzxC4fhow8UkY4A9Wv1KrEJVOl8ZN32ORRHd3 8NnuV1dq7dtLjm0/ZRxECXlfvcsAVjuGhIwVasMuFSCAoDLnnQXEusvCmnbTfyniFrCWbTF20fkQ iXFVNJJD509SyJ/CWQxgqM1atELzT85/QDl2BiRH0zqxFtzUFK25nQGZQ/fPJWrRudF0V8c0Tjhi SbkFaq9tC52e6GuQ4VSaM1ujNvLym/5rmFMhMsZlCEx2TmgIyOIrz+/A6yNRxW+EeiM28vJCcuj/ CUIcknY8Qf+RvyWipy4DvDWzCuftIng5NAAdomqzV+ern+7QnqZ8BBAeuU7hs7EXMFLhnpQB6JC7 nt/0X/otEPHwRac74PVbD2SfvD7ojN4BYbHS6AdF9w1W1HMnOGMCr28bS5JHkndj50wjneoywjmw AAWcVEfVUKLJ1WFDJwdtW7ZDUEoqchI/r3qkthXjcTjw3NYoHXVy4AHqJGRHgUJMhWDiNuDLVMTq X5sfEOSj2aPVmI8WcDHrdSoObUDpWoJZs7dGm4aGPGzBGpfLwCrlbq1Y7VzSZcuUgld+Gsm7DfD9 0O0b54isSaQjGryc5w8HPAHrehkSKsVvCpAMhQ2GQqu9QpN7vgyRk1Bq6uxr3em/hJeTPYZzP9Oc 0Ap0I1an5OxW5qxWTCYIfmPFER+LuilM3gMv0KsBO6x/Nb76V/CXqEU7C26wVQzKsxWA3yH1qVxf 55JpNJBjM1BpSjSGCg4PP91EONDuww8EAhI/IHoMvzFESBzOQXF7MqEfaKuqA5drnq/Rr8IpUU+a 01M5T7EK4THqydSiYQ5Xh2KfF9bi0QvcR4M/NpunBcPEzeEu8mBHg6onExqCZjrw9kHF3O4A3Qrb Uam6tF4br490qr+rZ9Y4D/Ijh5agja7qlFQ1+N5aDiTKD/TjZEHeFg08QS8Ym1Jlvjr2Y8IKthdu q4I8lLlr24Q+Yo/NdP1QaPXH74mwH4LIcBDNRUc0xrasUWC6zeujzP1bZRFAMiAubqSibvuQD3Yr 19tKmH6CZLIhjM6vXtyLNweuoLck7MUwV+Virk7pjZ4FngjoTiX07olrKOxLJ1b+eKXYHCdbrEKc TBOHVM7h+IU4TQViVj/PSVh0p0HO8MuOCO3eidPaYxXKn0vxrefHfK9e+XNN6osewG3lc+AMCkCg YHycA9lq5XMBWW0NqneW83csc+8dSKhIksQDbtkV+Zr8nuAlyMEgX0C2qCczWWv+SJWZ1/8rY8gy kMGUJM30bKwodYcQMbUl+u01ZMnoVmBbLl6GUz8FK2aUmgZOflbPGQrhspp5IfJF5fMi+CtBLQtG KWxRkotU7KaDjUU77CbeVgPiUBtrQvVZxgu18/p/tbC4iSRMW66sWQ5dQsU22enOcRarDGGw6lWv nuB26DEMbUKHojWaJoVcbWthPFgzKkGo8uIHkX1RQpOyt/MSBirdEaLEG+fQJlSNGbjT+KKj/Wy5 ys2idnvgEyo++NPuQxEBEewbqShxp5btBGnSD6zcbrddcmcc4lROCrs+6v0hXILJ9G1ScPsqm0H8 rpzKBtqBAMdQH4c9LGAXB1H0JT9gWrX7AqtQnYzMqpYvRsKdczSzxd68PtJd/KVeTVDu9Q/Pf5iF yqMhGyviPI3uqYpupm+qtW/aHrqFjmJQGXVlcvnqyuD6AYo5EtHzj+QMlwo9IaTtsMyY63LcugZe oWHoJO89d8nBkbdCFtYpAqZGhdAV5wdE0GNPGbDvz7O6qPT07KyQZopE52ycK3K2KRTGcC1epnCB UWDhCvN25aCpmzRVv+zJsU8SP9EUB4K6t5RYdxZAIguKfbxj0Px3Sap700EyqhNrsD1KakVX5y6j ryjSNjozgXOoCdd9iZmcvKGNJ1hh4j64ocR2s3/s3sXqpgUDIsnsHqx/aKMXAyGXB4Q8cO8wyab8 KajYYwvSUPnIAuBQcY64NnoZdtNBd9RdVjn2ArEvqykKuWxaav4AhMFP+CcxF/8xqMH98uFz4CAK pBl7fokDeUruy6tPoDXjfDfQRZdHKxR/UUfm7JF1V0/dZ6fcWeXWggahhOFmF5lpEgFWby+Z4lQ5 MBFNpshYE7HbXBxbRBUIZKTojwYuoqiT6lfuTkt4grIsVlHuTjYfGn0Wq/MjX8IpR1XWS96uk5MD H1GUAaZovetdPVbAVoHOxWbDArqMo+ezdzt0BnHeyYDdyDfdbvovXUYwXbBQ6I3NhgwRIwbrnLiT HxDJm3w3k6HuvMoDHg28RDEmTBTzMmnBDCatOxRU19Y7twV7EgtWbCYqKIPlBFwdWQTNLTqI4nHc APwl9STxDufIGESj+xjuZTcdPIZUOMFoyBdtrAHrRyZc50/i9f9sY+E6pLhrPQ9hiJWR2Kcwoprt rH4HOvSjy9SC6Y4cDf1Ep9xRKA3kq22stiEx2XW+n8fGZClqk/IZiGVl8MgSCqv7Tf/lh5UH2zNc jAIBK19ST8/fzuujJtavZnLBaZ/qdTbMoadolf5+se4eEN23mdyptcdoNWSMFq04fxeIXQxJrYoW yPILrXcc8OdV1zh5AQIRFuoYXHBG75zKkgXwC1xFRdSFiiXE06i7+iPgx1hwKWNKvvfkBwTJw++t VSEje+ldOTQWJeATzkteGS43sgcjZ3llCC9vS98DZ1F8FB8/2ILc1ab1bUHypMgi+vySRRP9884+ zzwyuh++EM0/rCy75eh057HeKN98lmEjaHB2mQcL1xA4i6YvUT0W3DBfbU+SFTqLUvUSPObsDT9P Fk7q0y93adJHXJGK0O42oxjVoSD1qlnCfQuZJ7VhynnFckAJdfmFDYKJChTGrCoc954DkC2nXgBr cwE4xQTB5zny1W/AuD40F01Xa6iIiZlB77wg29BelFnOQsVwoQ17O+ekWgWEVyGeatY6gb8ozgH5 sDbzYW2PFP/5nWF3TP++8xOed2OXGhraJESZcQpteLVU7JYjEIgOdS085IqaoxPoPr4Iy+IHRBIx 3+C+RvrSvEDIwGEUxxWrZmmm8x/zQx38wvaxHNC+CpP3YSqpGlFwtVDBTRbwqywBq5Fm02QfSeiE LuDTm8n4VXTL0eQL+dWc7I1yUQF99qpe+OfbKq+PoH3+BHJYfXb4QlnhkYpw7p1azkWuq9Jybqam M+TDJDWdeefPocfokEBFXoKt5ZUuCrJDWr7prIKrVM0SJ05QWqNOOIm6XkDfe44EQJmxV2nHVdeO G+geM2OgK2QOXUYfsS+Z9YAP+6BrQ5dR6rjNKjj74D92n+RMXsxQcSyjd2BgM4pNpU6LWWWlxyrr nIjnsN6JDnXotvehirDRp60WaI/vmW6s5rabDmhfVbKNBKwlnHVK1s+Bkylm+oOWCT8g6CTfipB+ 5YRBXin1HHiN4sWlsde8vC9xB4kBoTuR+BOAg1iwYlqqxl0y0dTq6VUrmx4+wMtg6LyEAaGaxzlV zv+vbTRSbFYvDEhgN+p6VrmIckJ0HjtXtAPnWzCj2RD6jZZf+miZSlVpX7eHHDqOsjkC33nXb0S+ Yon7yRh8a02I5NhTGCFmzIMcnOO7WrSgw1DYBQU7FRJ1SsXAb/o5eQneZI/gHo8EflHQa2DCfi5u vmhEAYyPFYRgBASmo9/gIuaiROenfuFFn9fsqoNZ1zhF7cl2VhOqFuy+xAGykFiwCmSsXnOrDtCo hGWXJvVm5KyG36ldKgolGvcghS8yF8OPuYg+A5PQfP1oHf2aWXW0ZNgYZadei+G0x5JIBvrLn9e8 qkNSQXi1LmGPju19En/9q/PrRDEawjNUZxECLW7pOrSlNXReQA3Yfgpi5C5QW0L9XO1MPz902lQj Qs2cFuTqOMUBpmjTwZyvP/lirM5H7zVIGOEY+Cr5IljfPC/oKbuHhavfgoQso3iQWL+c04WUGsXo NZ06V1E7YVJV2VRiBBo1Hbn+4+KN0xSdX5OpfjPPaQa+XB18DCbqgo/peeJWBx3HWoHwsWQKCeMY JZ7yh3xNpTrOFj5umTSJlp0m0YCVYbcdSq+f1zwKAd7JQzQVWUo2WIhe0yhso6aMs3satbd1XCBU 0W7LeCWT2ntNojoGXKKAGziGqx9KC2/wJnnLCqKShNMmdgGGtlRCsBCBnI5veQ+RwNmj6FEruCkF Bk5IrEHOqyR/XjOo/jiudWGHzpMHwy4L0Wv+dC7C+/ccQS6Wg4mpdYzr+UuK61dNVrB0942eNDmO J00TtF70HvAvBexmTANRw7CJkKHZCefR+kP7JqefUn3hNXnqknZhLt58sXYLWs52bLfPa+qEq+u0 GA3hRxc8gvw4es2c8ICSl7ST+9irRjGsQi7eS5/0w6TPYRCkaUSbmZ/VgWjpZK6o6UQBr1OsJLhL oZMOqAKalT4qTTJjDYK0SLAB6xcLnZOlJJBA4qZg3jgvt9ecyV9RigkDegrGRik0fOdrxoSjvmjo 5yiFCX8KC9JMd+h3Hjx3C4mCZAOsIWxjHw+28bziML3gmd3qOVzzlKYWoC/n7YtTHrmoP24s716z JTxupMefqoeasnSutOnV+R9y1Hqv/fOaLPFA+w4SyqqpiR/NJKJDGzOpOcdzaGsyOiSb7h6syJpM mDg6tLsBigXuLw+4H8i2DWouJdBOWbbkLIqeHdXiTlAa25EGWadg1WuWhB+D7NJaFnHrBCXryQH+ JbMDjbTu85ol8T6HRYkDZ5goFdYqiNJrb7N7+bvLvNgg6gnb/HjdQwn9DynFBed2kmp6doJbvyiq sw/RKkjUYZowMpJOfy2kTTQ4VrT0QIoXfWde+5r4KxO9j+E8zEV/bYN+DYV+TuINgdQgRt3khXRw E0+VxvCt9NrSxPaVLPHsfnI/vSeI/0yHJJDQRQmP6OSWzkm2zlO+nacFRhskZdj+3WD6SFzgFI7A qXZM9ai36LA86hK/tjO71KbZTNlalt5TFYw2dkKACPy89jJ/v90qe7+TrFLAzZRKRvk2NfBOOk0w gkbs13F8ltt2Up0qnmT4ijOcmRQW6qOwMInO2oPCOXCHhj4q56AUxAdY9oezY5eEWVm3HDx0fLmN SuXPIcEOwBDTqd1lvTopRxE9c1bGaT/RRAVqwxanKOemFAJUhZz4V2Z11cFMaWGhzNpyQF6YdE+D AamE4+oHOFy1aZ5It6d2fguO18GyYfK5MRtPPi+mV83nnfiHHcU5cenkH3ERMfLs/jUkLbHX5533 hxN8r684wXfqpFe3NHnPu6/o4DIvEKS90wfrScA8OoRAGdwwZtHpJGEF8nd8vXMXOOgkeTpMyDRI 1qtRqoQWWak+Y5ch8HAQpk4aDXNUWxSmk6SZSNx5xD/vnD8/aBiVatD/nzWowa8pYnSIb8XJVeJW 2tvFGTMVtkzu0yWG3il/uP+id1wf6a5+ioOntsFXBOGIbqlTiCl0qCjlV6Hxae863sznnfOHKoOj PMg8+qJa97wsilLxAihsmICP73O8s9VEtU/G6T0Dt3nS3PuqDqa5nMe9Z3Zo2SI5W73eKE4EdY6c 5rPasAVOmadapqlDbkBmGXIdTc5FTg703nzaMqvuOMoJiBVeDFNHZayNMfFSbTKBTOjJRRsq76/M CbTNH+JyLVBRFi6+30rJq7lZiieYTVZPVEBrydHV72l49vHBmKp4h99KJ4H/7CPAV0EgBfk2yS2T xwPoHSXth5lMp6d3tl93Zx4YFvkivOL5WJVH9ZQsn3eyX4eo6vjaT9S1h/25xylMxPeWdEL1KTrG werzJprMysoXaoRqnkSZuNV0o4qZxdU6AxPNlDoIvsNoeHazegK+HqjPH9hkueMx37ifd54f/lC6 zdQuI6PuEmjnBbE1rgBs+PNO88N+6t/PHdrcJEdbmKJUnNojMDUxfhHofK5w3WrKBjbI/WJ+olzc dAcbaGW++jTqJAJoi1JhElJcSSIeFYXeBg1KRFvTBJh2x+9hGjRfXdCV5GJ/70IdRNA+9Os/7ww/ 3mb/Pp6ozwg9eIvTezIOmjtH5/yvrLfbrF8Jv7Js2wkMJ+uER9n4aDYMViOcqz12UK5M2ETUTkDd JZALeuA/g0YLIAxcR3a74/c44WPwZqMxNBe1mujCyRLvZMqfd3Yf0qf9nT5RXW7UffOCKB8X4A6v I49Tu+y+Poe7FZzc2fdTnJDzWNpZDd6t+RnPcSQ5yC3ZkMMUyuT3UjlJ/JSk3uV+EITO74nihLxp CkDGhXHCh4rjUonGiDLyXH+/7tpPH7e0e6f1sbb76qVwapC6q4CfLLpY23IBuKi2ZZSOSw+nN04O bfWmHCTgAJsmirOh+ySJF4B78IvShmHfjhOqgM87qQ9PgOBQjWLgXCx/OrUe/87zr/LnndPXH82E LiUO4BHPjzS8n/JO6rN2IIbk6UaqTbc25JvI/FRaMxryO6fPW8VoN6V0V+f0deRTizK7532WcJqw DGY7BzU2nC7no6Q3dMvBCw/SuGg+dCyYl9Lk7AfAPiXziPtsn3dSH9957WtTgf1Fgr+FKuqFT9PC 6Rfsutyi55xLy3tPY+NsZajCnNx8w4Rj1WqhQpMoz85mONSP8+bzWZFI/9AXEi/Y6z2ztKnek3Ik UURRL4noYasWqUpQrkLyNmV93vl8uNH1Xbwg1H3cYvidzYenT0ODXH1cvtJNNgfpT7Ir6Pfpi5Ly 5M5h/hSWx5AubYzGhxTT0Vih9WgtSKLPniJWZD5KHJR3eefy3bbBrFSTnTW7KjHeOEsCerN+3ql8 /THpsSLvHL4bWvYWpygpX1JMJ0LN2GnLZTjWyN5cOcWsMRjeiXx8+mU5k1QMc/U4dQCx0X4ZmZZZ 5lKbgRne8M7Oi2O6+VhjvfP4ultjVQj2culiHtcMOjwhmwXzlSgnH+s7TDijMMrwMIWNceKmWyru frHmdCXwvK5g19rufvHO4esXUZC7HVH9OaLqAlMEgjoYH5y6unOYWRO55BPwN+jnP4f50i0HSRTF gpImdlzU4z41IyfG6P+uzzuFz1L6XwNNiIAUL4bfKXzYhVRVWvNOohp3vZwK2rVmB6bOnrv3nBxp hrriJp3H1fYTvC/QKegEtoKVxnO/QS2V+HL4Q6fHMJP+q+8UPhsLYlInDnp2f54BIUzjHq/9eWfw 8S/O3wf5Ru2SvcZ7J/DxKh7kREZpYrfmzQ6e/viUw+FJNt/5e11akkiXkk03k9uKwtayQKWGjg4N L1Whz1vHyH1DfIo6SnaOw2uFdxyEiSiespo0USd06ZkdbHQC+fIbe3/e2XvYUPn7HIedb9/t5gZR h5wignN9dcipg6RzXPAUNjXbPZ/ek3K0rhWnLi4MV4sT+FmLTpyo8fIpT+S1BlYMClr4exbXfsuY EvOOg2RzyJSObP/iOP9z7UlfZHB4Xq+fd+oeLp+/wpQAUMv3sYty8vptOaMB8HbjC3iIWQ+KhsIK 03tObmoUZaTNoZRWy8nPvt4nAeTkriBdN1NNCMn+DFqvYBjjGB6Cft85e3zqWAMXTjm5GJJg58S2 1zngx+edsodU9XcLavPJ85z8na+H3URk2BrT06dK3TSC6BoFvR1K4HPysEWuUnh3gi61WpjAyz4V UUUp3KA032WXSSvlhUclY7TknRXcy+edrsfhm4Z2apQnmwKDpXXKSGHKd/m8s/UcFvJVCm+Y83iF 907W4xZm6aIxizq/wzvkKTmlCngDm3C+c/X4tqN4WYUioa8KU9njnG4T4350k+Ek1l3G6+ymoQup Pi5AQdEdR2EiYb2Rjd1d1vEcbNkUY4DK+7wz9fAHPw0oJpSg0Zc7LX9n6mE7VSaZniZdoycmT0o9 iR9Pjil4J+rxcGIFTJtbX/1wOukFoAIyzFzFX4gAsv4AI/0DDrOf4W3ohqMCjxgnKFPZoj5dyZtC 5ky/Pu8cvf64zXTxaxONKv0IfyfoIUpbTXGP0kqyP6Z8oAyfCjFOeMUBDv3Oz/t66Gbtd3WQ/Ski Gij8Eno7h56QLEBU/izO0JBF2RxhUoTknZ13x1LQU+CC/i6Vc3HGNTagZsrl807OQ5iTH05y5TkH 5ri13Ts3jz8O++NjJcfYz+2peK0E+3I3QYFBuynqjyfOD0ZNhKhotaeugBRFwi1iDwygkOWbUxYo nhW2C/jQgffAG/6zPS7xHi7Gj21LYtAn0Sifd1JeF4jTHjpCNnUS+BjhnZTHoR97Tn27P8hOd8y5 KbmrMEG5QWGKuuNSo4bCWr/r7fqiBZCkETEa8KvmEnl+/gmTAQisXGQBK5Z3Rh5eqZQqawpXm1YX nWLiHHqEMp7CmrcZ7ab1q2I5Tz1AuxamKBGnZJF3UpgRyP9qSCnpVizYGzrD4+Y4z+XBlpKtF1gP k+5B4wvwIJN2XjuVGNtQOIhADbL9xBT+887G6y637wotriBXN8Tdh85z/JzviTgyiu+OCvida18w zzsVr1/C1FyeEsAT8+f6GrrVeCuO5nln4rGbqWHLtmEC9VJ/JqfBoLbA7JhwHjD4KU/SyLDOmYM7 qrB5OzPpliP4HDPxLXwBbJfEWjwJgT145+3TP+9EPKaY3xULBvi037BAhZm4SZuafQrG5s0F8HJN 3lGBZp0FKmyPJ/Pl+VodzwtUPeoWVHa1nCfSTGoJlIV8JJRJXVs4L7QtPu8sPJ7jSQe46J0DlQJ3 1Mk+APYitZXXRzXwd5LJI3x3z8XfKXjMnhaka4qhwTFH4AElG+3qjV/4kligov649bybYZ/al7Ap hYlzMfYBTCGq2AfIJ8/ZdLYzBMauIdawWw7mUllUqdF9sZqFzlFKONfnnX6H+8y/G+T9BwW+AzKj ZJwdEPAximGfp2xEkfM1GjhScKsJSw9EZpyMS/g1a27O1VtPI2NUy7xglFMUNdKD6oA6B4TwMqFW 941H44Z39h0BzOwRJLWgYFQuq1lIy/K9fkqt+Xkn33F+5/kTHrIMrDplHixQIWClaQhs5gMQHC3m Q9DQCaHg/slvK49yBCpKx6cBVZbBe9eF93LEC96BUOIQtOHwqiX6NNcM9ytgDqz5tKi29c6963Ih gD9msyUbBIomUkQctrQ+78w79jS+J3ggRC/0+yxOYTq+mI5j+M84ncOKKjUoKyFdZXEqaGcrTlFz vDVjskhqhOsFYkD8ISX1CjBCl+9qY1LaGCIBMay8s1sOjnKYfW3aCnKxOLWBvFXIzFw/76w79lS+ H7wFNlva98F7T8g90ZzNzI1xkmezahiy9ZR1UXWlu3fOnUM6zgneDWfQHeYLnw7A3REcgKrOZ0kz CXqTMD/FuVTww97e+NAtB6lBZRncJF7TrAV/9lCpRUyyWernnXNHfPz3pBMtDrpTWJzC3vgksCcl dxNNJMgKsLK6kxM3njtBxsPeuLGCmw2E2zMQxpR5sSAhjqWaaCDwQvAdoFUKACsqg9VUeSfcsQzW AI+9FS42ED45B5Pbdv758863IwXGM6jJ8wnqsYC+Wpyi5rhILEMoaALqNGAZKvA81YTDkF5473S7 Byi2hjRFuFqcsFmArCJQ7Hwu2k7MyHHTq7IiLDcjZ7H5eSfb3a5K2xLy3v1yNNpJp0So3uPzTrWz MvrB9YD+PFD4W5hCuAptMndZdyRFfXWNOct0BueoDld5J9pdss/Jk0h51Wp1cAayD2aZxD+dGmYK b5iRjkzC8tvzupvaTlFnfDBx6prgcVGPrsqpzfib7yw7y1Ofpw5v9DnWfdtFCTklslYaPjcHkXo6 nG4uN109iaKlT+8Mu5uQg1+S7+rwggrE2qJDETCUsHIWpKWhqYJzOKHsN5gY0ZnvBDsWaFs4lbso TAt8UfmMz/l559d1c865yHGWdlABsDBF6Tgd5BGF4l0VN0jBJLh7GbzoU4euSgux41UPW1Z9t3K7 vaeS9snFkXggxYe8kxCKCZ2vQYcC+FlY84kQJnxPkBMklneTmtRchMKgPjhHwicFweVBktnbV5gq VEHTE6YwGce77tReyZsqSZKb6BYkCfuwW4D+os7wqDGe9KfP4WYf6Yapn7IFShWdINayIWWgji// FbYhqSmOzdy64+BVx8EmVMx8UY/u7FC1WNCLwOUBruDuJj508Ckv3eebPc7FeTa1XR073qrLsyGB 8zAt13fo77m42KbAZPI1b6v1ns59r25CR6VwcKpRMToKA0SEWa/P1SCrtUeZeOYzdz6x+GKQngRn BkZp8/IIEX1B9rw/tHDPC8prux6l4piRAVuTrhWDoJncTptSWnzqKJDLOEVQFX7SuW8BdrRanBYs pfei6SOoY1ux7NDm/AFiDDSpfpsFwDzie4KShXL5EFHyhYGCwwq1L+EHNnF5xCP7zsQxN+yi/jJM YSbetraTv+o2pafM3+pmBANOjTzDe9QYN075m2EMVGha6SyBTxkERJpc5jqQV62i+dTKvL2CZLf8 F5YuwUoPC/DUfNgqVD3ZyRmzFVwfEDeXp+LUr8OLaoHLa5GKmZvSRUwOpivqzVGju9m/hSPANtu0 HuXiXtu1/qyOEhsVHrCdWn9nb9KCgxZzwLIijvTuugoYLIJ7lIuj/3JOpkWw2ECLTOJNcLcyWSg0 N/ABEcf1u6FJs4Ne76MXEThJ6ppjliuAUV26Dnwab9RlqA4oUjFShXtpCq2j1U7ykySUBXNPmrGj daS+b6cSTaLYKUo6l8ziG69HDfKlNBOKtuga0BTkhxxO6G5JMWxPXB+88sb49fANDaMtUHE6ji1V VruOmHQa1ZZyub92Ur9pHo89ZHFqS60qEWWu9vDhlJL/BAjTe0x1iTmGoTwAE81b3lGdGF8UpAYS fTcVGviRSOUWu1becqe827g+2FFz/NpRDZiyG6iwRc7BFNuY+T+F8n44h/6T2YCaFOfBNBhjAPYL epiQq/WbqoCsXB132KAbiRvE3jo5mpzGz64HbqSjdqoggl5N0qlbjp499nwHkaxFw2dtqZPM9KVu SS34gOA8/91ZAX6xNs+iepSTk5oLvmU2c8fzezYG7USKYxRuKWC8firP8witkgR7akXUqVYeuboB kGYBahhGmGhhJlGm6LeAc/inIMV07xO6jfeoSV5putAX8QVc2DFoq0kMif65uDxiBv8auiCD6jfb 7BGEnONuDBC8s5L6LtbSnHmrL9UA8zYrjx6hVdyTndhYrHxxV53mGdPsSkbCSQqr8MHYYyBQA7DR WvrCkJ9w4YuiRKoKR5d8UWdlnDqSxcvZHrw8Qmd+l8IZr+A5Ll6lx2n5FAW//UjUb9KHm626TkAg ZeoKhHu0oaIe+TJOGV/6ttprDw9bhbU1ma8YIhBhAM3WE3Zw9UG/W97SHGS+9giw0sUMLoZb8d7K +Zrz8LlYFi6PNtQvjgv4CKsOr4ZHlJhPiZe3djOp2S/gt9i7EP7d26YuI2qSTz1xkGa9qx/meJz3 YJnXTm45wG2HtAOGCadQnvC+HM5xoZslvifYUJ1kTinUDY1ZEJzzPu0s04DKwOUR27x/lXnIgFa+ CeeI8vJOTud2oWTq2npvZY92qUDTgT0jbpGbxLuZytXi+2kj7c8l0/cSL7xOKymUNcjhE8mKCwmi aa6hbYEvijidxKpIng4+bj+Dw6lzk6NxIjYaAhX2yJf3NFnAgJE9L5B1xAByMc5tZM7S2KZ4Pa3t +2mgR6xART1y2mGeTEaZOVaqPKuAOTlB3dQvAvryJIZyYyDFRD3hmxogAANfE8BYqb59CuyiRR1y QBi6hsInr+24POpB/dYKKRgM+zk+QsjKYG03rxFF6vlSOsFoYOd3peFl3oiycnnIARVWtLI9OPTU jVU4/Bxo4tXEkRRadcD6QJJn99tbQQNj4GuieQsPJ85yteihSyUPEYQhdTjC/nj97tThDwRyyqMU ZeRdziYkKamhKWAdhXl294y8A5muvRRl5NOwqzbonHfQiaQQ/lt4zeBsAjdQShiUyV7A7J0DKbl6 EV8o+J5ock5G2WALisJTQj2hNsqSOTyPJa6PdtOvavhscNIKLE5hQs5nbt7DCaCLanHqfHfwcGql umBIlJAbWGckQeW42ssOA7I5C3dT2yexkkw+ymL0VaBHsa9w36Z+wYja41M5QdnbF+YEBQlelcrT mLg8Ava4hhEz98UWlGfjI0SOC5vZ08PYyHc3pTs2B2fDohQhx4sodStZ2fL0fdMp5DAiJ4QVOTNQ 9MzY8Wo6+2z9gCHkuHE0kvA10ewOB7jmNFhkgXaygJL1jScZ6bg8ypy+9xLFKWv32d2IMvGWNeMc F66yHcEKCTnHjbd+xWei7vg0K1BzypkyFsk4mXCkjkaPh8xwJZHy4Tx79hJSeCrMWpjw0OJ7gryJ meWYRIkNUseJKEDTgzeMwqjj+ohsfs8mTthBeAVewQIVpuI7P9q+5m3pGcGgDAcfunMGmADNCFNx k1ajAa2tVrIAFoRRhLq8sNsjaQGWNsT3DKAw5qOGwQxzRKl4OlvvvBagYgDICBJbQXyhQLPYbFun 0sQHBP2CcsecfNsB1bFvHTz+kYzva0BofWCzAU3WMBhQgmBnZYQ9cnE2kDbd1UIFeuJmO2VQBKB1 zc2nPPVo2LfXY6CggzxskhNdnyRgkID3kKns+Um25OLHSdVwfdRZ+caKsSGV2oWyzrBNrh6Ug8YB Wale3410qa9o56q+m6GmoaTIt6aYWh0/3k95B61H9qDg4K4akOC61ZhrttuCEkV4hogVWsrORFMA LirvUikSnGwnb8PlUZx+AQwAfpp3mjCjbJzSlugReAfqPGXDkT1iIxBgALFThSnskqtdMIwCy9Wx h33B7nwWYurGzNIcO+U9W8ZDIgrjlsG0TZhhNs5XXl7lLopTo+EA49QLLo/Id+l7P2GQgB/Q4hQl 47AFhrXD2paMZzkJyQbA5OnQpyhGUpwhm1ONp25t8v60ySE5dN5kSUYvNYN7TKTY4q90wgUgBsCn Buyh0sMM2+RDdDI6U3FRanDqSRIsAdesuDyKkz93hCkCZz1u63dG6XjhdKqX7Ynm5mnIdNzgmsSy QuVOcQqb5MtIUqXf1QEr7STgJFVAtWXRnpMJFGQyqT6ZIaJ13WSX7jg6nziesilVdgmoBgl+5uMg suPyCHjo28mAGACs3OJuRgl5RUtxiT/D/aR9IBZQu6QyElEUpyghH0LYv7gyStiqrC47Z6A+OHZp FXRX2Gad3yA/GtGT3l0zVDlMYljRraS7kEtdVE+a2loVlwfTzvZLde08J3NfxZ4Zd8h5Pm1TZQfe tnqq2eVVwiRqua/LjAArNp6aZT6r7ycod02c8zifqAxIYA8Qsj8L2ux7PxRF+nzOKCGXEobLszej jLaTtJFVmzhLw+VR09e3Ey7bYH+M5xSP8CroYjIX8NHUzsXLljm691TgH660YEbt8SUuxsjqqYz8 9FQKBmxNwtCtA7+85diVgfejl2uFrpVaKr3YHf91iI85LNUUNfgcr1DuJsfgvBY+M8arfAsd8p76 RT/NkMmZi8hSrja+50PJ39llQ6gVoM0USx2q5WRK9v3Ces5myXC1zkO4aOyzJBUaulZTWwzv9jtE 2LrjAP1EY4SeRN9wnnY9ZXruy+YuvDySybqdTLXoMrh392UXAVYgCQsI3WxOSCjrksqYU2smvLxF N6OEfGQzgEvPai87mI6nBLgm6juos0rrWBTqU5kNzLvvpHMQaD+jhLzxcKJ1B+lSRg+DcI9AET+r 5orr/xvhEOzjvq9ezwy5nHKdUlsFgRqSqkOgCjuDgrGmaRTqGeqrLHE5hY7T6gAokHR6I8Hl/GGg PUvOFrIPtKsEtuHGaRXd8Z/PnStom1pgreed1UQSPqUPLo+QPb9avud4Wnl7Lr6iXDxJTqwZnAce xMUP8byy9wsmbo5hWhFkZVRTYu/9rs4DgpNO2uwXgMCxs567BQPWCYU5EBRMM0TCBSuGrFDYQZ5v XAQ6PBurmMB4rbg8onLu7zoYyszryTFX2BnvxuVs1hmvckBgw7cmL1lAFbcwRbm4NQw6kWe22mMH /u5i4Uoe0BxZ/JaOv3JB7GZf/JOJZ64wEye7pVXR8StSRZ3ifWxqW/7ARAXXBw9dWd/HE3iNc16i +QpVxishK/BYchGa5XHa3TIqbCdXVlkhZGULIFY8N+Bv01iznGcumVf6ee+V81zZwPhs3Q5tFIqf 3dTpVEn4nqAzTisgMBF9EbJnQ0jCh524/L9R0a5AZUEQ1cIUpeK0SphL3iOssOr2kmU4+xU2JJ5i rhA73lXSNeHpuPrbjm69RbSy87arInacjz2nyaJ+Jz3SjX1HPN36m8wJ/AAXiP2J0bLOJ3E7ICHB 9f/CHeKxwzEKjzdvjq8oF58yR07FWwVjD1cTa4X7SSpZ27KnFbI5dTz1JFu8ntrNxQt0Z7qRNtD7 6HrdQXmcAlnojj818Ca4YEVwFalCngeYoxboSAjN00850kc3UaM68Qn/yqCoRoeh1p4XVbeifDxx kFC81UQghimKjS4FTfostmnKaytUVxF/mi6vvtoZlUFT69StAJ36HOBUaTt7XqBobCBkC2YdmCjO viLEiiYtpZOQwEV1MHxOttUtvM9gU111FTm7wJCy3rM8yshJ9YPVtw4mnOXbjG26IO/sq6DPbXEK M/JmyEuaVGt1sNh5xCErRie8fX6KJIVfFq7ncYQJXs1XLzrrpReKq3RSpXqW4iElh4kbn0DHanM1 XB5Jin33VTAF34/404pScoh4g/CapyOgKC3MOE2NPheHnLhtxilKyc3Wre6d7moNzX3OOLxBE1Ny 9HY5xKqbkMmC3xTDtwtXQZ2FL4p6v6yDO42/O/BEQ3kTGlpskqNPt6KcHODGh1mGZsWG/57FKaR0 6ozarvAL7x/nvo7hyrWTICXJj8c9clNpT/uunhvAhHsXg/U05DuMU6cQC8YlP3QB0ji4sIuEL4pA 5EkAqOKL3nQnU3B09OB9/kvL3nzRKTda7mketsg3O3U5j98wjOEAKFPE2NtI5yvMyQU6LCxtbfVO HYSKOwoLPnkJivZskZMBDpgJ5M/qzaMUqTApH1NAleILI4UhqkzLap4Vl0c76upkUfCAjcV2kRg7 SssH3RFa68kjxR4bqxdVtKZQtyzf3LEKuQTXprTtufpZnlMbFMrke+8k0EV8aryYIeCD03/e7soq dstRmUezzkzZwwzYhrgteDmbQN3k5REb6Lcq63mCoc9ncXrPy3FVIa6g+47q2fn5pwAZrtiTSCuk qv3fGiu4fN7V4nSO7pMNIqeFrP2pOYYMSajilNE/woa4drnk/uGLgi4Uvcma3nntvvMSzKqI7KFa +35PzO0svWPhAtYU0HEWpxBKPqxtkAyK0apr0UA87bEkWWZJsqO83EAGvSrh5OqzBNCmE+jWOMsX bCnYhero62PIgC6UC2UVqhbie4IwiX2XWQ1LVUj74ryGslrkaeDyf3E6JcRdkJf7K2+HnM5BYvB1 AFp9XeeWRhcfIVbQfFSYQsRKMY6wRH65WpjOCTXZdOJRft4bY5iOH1oQBOegD3yPciSq+KJIjIbb KXFC1bwT3yA7bZzOje0U9cjbc0AxhVoQQ75xClHkHCWs6WLt52Bfy7tQpXuLHIpHFqeY0ymfySax X65ev5wnYo5EMWRQeYuOMPw9sDBEmdyv7Bq6frzhqHxhsy6zium0siYqcxDLzczg/BG4PoKJra+n DkRUQiwsTFFG3sQHyldPDGeJ8VzmLradJviqFqYQsiKBrGkS21wdjAFv71qn7F4xqaalfCPwd3Wi kMZVMMDOxtcE+VOmyuhId9FDh3aitENqWp8dGgH9FvGjZHS/nlI7wqwA0o/JeXba1C6PeqbEyC1M /tSFaofiJPSiXcX1PnWncmPJeH7yU+9VIkcItT+7iac/miUVVRkFfnTHfzGEZ6MyBhcDio0+pdpb Cm8zKPCG5+PSA4aLBOaJFqdQZKVLxW+4Zg+9cWw7pe7S/41lEQbCO0atMD5LMg5anbuxUZxMjhKA qyN5mmRFtM2nXKybq4xSRBnf8yf1tZiwvSu1n7dE3qzPTuh4+b/qFoHv4LhxpY12lI8TODWFzFWY Vh2+nXbLv7dT5yf9rUXzotlzHqR9ku9FUciTA6wpHzeCNE/mwcT6IlYIst9hNk5PSdjw+CLmK9j4 VYoPdeDyqAr2SQIiupBewEfYohTl4lBaRn7czF55GcieAlC7uH/Lphg0H7oIriIbYFl9+3oHLh30 qEwQRm0QYZUTbJpkvkLEj3MpQaJZBO9QXqUoFS/bF2/PCZsMkNDA5dFm+uYiZEiWU2hWcRJG6PVM YR9maeIytZ2qsxEo1aAyuBOGAUH7FObiEjBI1l/hqkhBvPm8lWQvuQdeolKtzYSqkSjONgXPKAj8 bLvp91htdskBNSQjCA8sq7IGn8/G8SqElDc/IupserzYKEdpAN22G69Q9hAJ6ip5DWNvTLADGC+A C7y9Assaj1dsxykHF8whfLW+Acw8c8liBpdzLnX1oQbpLtBz/ykAil0h8mU3HfMW1+bABAv4EkrF d0udzxB09fkBQfnymEqowdlZGI8nXqFBEHXb2/iafJbpbTvuEA2I8aBYvEIwuXqbZRuAbLeLsTu/ 34QwBp7Es73a4L46OTl3K1BApyoe6aoisyrGN0XIDJHx2A6uaH105eQUTcd3Yn7MDwgSqqtjy4f4 vNJgBH2DFTXOKXa1OnVC2buD+o6CdU4BQ0pR9WN4sGKTThV8xl/Ml78Ic8VKIwgc7hvnPoeJLPgg CwUEzdlo+xZ88k1IoU9Qti5n80VQqAJtUIYNuANc/6/JHsno50WzysVLi3kdlkDnPTi8d76EJheN Kg0HJO7mntQpap4P5Qmm9q/VH8SCcWqWZQkazYInAHqFRieo8xXjS6tm8lh203+ZlsBWzxfrCJ/r pb2SR+b1QT1TfhGECuGR5eqy5RTl6jQ1giPRsmMr01OEXCoDICyCEsEBQ9aQU5SsDxvtYXDgq8N+ TtmAfvOm8ds5TtVKaEnuzINCNXmaZAag1XbTUS+BDt5KN7hoZwFtpT7eef3y+sBKOP8S+yM6q5Xn MQxFWCj3V+maRuLZYg6MrQVb+mnEMxRXHqwoZZ+idDSDm3O1vgus3crMFCg/xfcApYpnFt4imf9l yfeMz1uu4ylqok9yqeoQu2MYu6NBXVqWc6eKyrw+oseur2z07Kr2Q0E9D1YoxZLYwOMvbfq2dfvO oq8hdxb/A3sOQy0WM6ZM41ktgTj/UxpEt3FmAVC9KHuHyViny+nJ0Uv3qWhhb4Df9JdG2/k8W6aP Dvzh7+ciXh9lD/0re5icyearI0mB0aBFLFBL+1KL8mY6sAHeWRiuNCJU4GuRZjvKvE+5+sQPk1XI 1KAYTOWEbktpkxiPc0YT8fHAFPe2m46yB44dsliy2VW4MduQOyZ0AXh98BjW7376ZAdy7OfMCvvp LAg32SQ2eZjXQ7feHF4y0ApW2FDXuL2WPO7qaKCeT5I97IRn0cl+FaQU8TaCcGa6uAT0MnTPQb+K WXwRo7isJ1awMFS3IU9eHw1H93ftDHjd+tpYoa8nWfgTfQCLVRMxZvDIwmXyzWPjTn6VIdJFvSqS u3z1ZkzrEycx7b3XRFN3CemCnhWG/DZJVlba/J6jh5BNvUF8PhfBOudJ4NRmSGPy+khR0sEJIv+D hvpsq9DaE4U2HjyTt/1S24LE1vACeuEosVBFaBcjqtNlwlfDTuFx65BmQW04Enq8yl55hkF+Qbsq PaYvObb2zGwTz3oXRQquFnKfOL8rrw/S9/L9IgSme+7bVc+xuWdOOqKad67okiFRbmp+SsimSQZB HxV0+JQsrOw6UvXZVNAspR/sIOW4mMFeggjCYAcXkxsPVWl2z38hg+qU+iYbOuw2QGqdcevn5cjr ozr6u8lX4Dm6Ly8tB/6eIN0pT7CuJ02afVNN2hsyVIOvNoUqzNxFTSiEsNhqm2qDDtVhcgxPyXoS bOsEYrDRMSvf/XESGs1u+S+Jlk38hRZrgXb94niXVl4fidl8j2pwxsyH55hDh0+X5p7rbqrn8euE WHNTLbePz4HFpxRBBJ56VttU560wAeUkOy1R/YkNrAXF6Jl0BNz2OkeO/KJIo4WN9bFsqbapIKFQ ZcExNq+PJsrfuVVDyObjrZvfk/YkKfsJspc3sep65NtY4jBU3EvaVCHmRW1OvO3uaqGCI/FeqC5P qNBjUD+wbUg7TMHg9zWNRZqpL/qL8Ngr0Z5crNvXFpXUTs6+Cq+PWA2eWfFVd55TOgF7qEJiqFeD F80xrqjGSsn1bFbr7ssY+nwu6ZTmZRX0ery8YPN6ihIKBa89gFJXys6vT4viPXndjp/aWKHTp7TM G94IthiFFrwGNmja1J1Gx/r3FBAgVwBzbqzeM3aMAaW2dVsy60GdgZXvYHSwyOTNGJh9onJW8pkF EeJqlfP5mYl4IdxzLTROuK2SII/8TDTqzS0dKGN9U6SEQESsXMCnu4DDdRUgCO6rVHl9sK/GL62W DaLaes71vxP2IiaDBKUc8TnyupNlaJh6rMJ2u3LQMtu8q8UKMIK6lvxjzx5Nw0RIUBKc1xY4QKtd OBUUlfRNkZeA3PSoisBFc5jz1Fce85VdhsDz81v7nXUjvH6/LD9Dz09h0re8wOkhm7pZ7Z7KLjlC D+WJP4MxAKZrP8kIjas9g2gmg5nCHgPy6kqWZJUKV4WTCzwTHg7WtJsOZl3qyCySsLgYt6i1ssR9 RB859P2s5eLS8V9ncQigcWThCr0/IWCPc6rezvvcyY+sWbyBBU8o6zKE5p9LqAVU2Xd1BBreULtJ ijplvNw5Py3Main1lTM7ozbxanbTQZdBNhUlyQ6N4wg2ONNMSR5wo/L6SFPx15ElV5DHZT6UVNza TzSz5i6r4zYZqJ1p5L5poMYc2n8OQYoJf/b1IobO8TQ5vEFgMpghPLIAsMiZElOy/xzy1mt209Gr UPWNYjWSN/tqT7NYIjB5fVDftF9ZOwg59TKycmj/CT9cjCXmsH1VM1P1RWrIKneiUwykngP/T3PL KKAFrrteHBqFe4hsxEdBBk8dd+zSCkT9ycj2bYzSTCcHBqDoEVBaSjoAAO38mKteA3WHGNC9Nj8g ytt/9fpQiKRRn50VYmI0KCx1W2MU+CSL1hBADQYDq+e7s0Kwuh1aJGHY6vjGCjNCSAHhrXHq5cz/ 5qRFSPEGSP2VaHW9DBOZfjl0AU3U6zxhqlqKdsjG8Nw4bFl3GnGOvoOFp3B+JQ6hDyjzjdUoGinz od4tdW9mic3KmQkGU/fACBRCueI9GMaYq2OLYfsFMyO5OkMAVk0GWFWsyrfndXUutGLkF0WhQj46 1tZyPqqbAkendziI2GvyAyJ2+3dCisRhlvWc7iE4hgKLiyIqBo5Z3rziMWVjesrzKVYhOmZZkWcy b6k9OOyzE9oCuRawj1OtCiJySkMYMeJrAH28YNDm9xy1GWgVrhJ6usTzeQ4m+bsgk9bG66NtVb7K HExK8Xq7oYpydzQq8QiOcdF7zfVyC38jbSvy1xWqUGNxmCa8GjNcrcw5uwrabqTVULJ6qxQ6BQtU egC8SA4wzvCF0i1HMy+GqKXpi7KGU+R0TqxOpDav/28y93JyU1qle6SiXjtHL17ayNaqeO086N4q iAyR6IpUrHtuJHdBjLk60BHjwQWwFEjuu9F3gSYv6LWnIUD4neJki1WIkqkCp1V1kc+ZzObCqBuC JUwZku40Kp6/obObwlzpAhtCU9Au89Q1bvOqmz8o1N6IcZAF2PDmVeAKiiqHr8GZNSec2fFEa4OD jkGRYnWqZWkFNTZtoff9g2GSJVewk9D3BC/BJQNHOtGPZL4GpzIoS0ZXMODm9dFRdWscTunBXDr3 ccVdcmgNChFiigIs7zQUt5UDeLpcZCi1T7s+KtpYbu287+r51QlVWk0qE2dbZGnHtkQFAahJniS6 qkFJJlKxmw421pan3Jaf49mG2lgTUBx6zdbaeX2ELPK3YNXIZ3A4cqMVOoRyqAdux8WHltwvBKs6 fH2RFMPH8A+LUNnLZW+6O0BUSrdQq1iEqkFYt1sH+ZxVnO6AQOEAUcKNc2gRmklPpqaoLYY3TrNo 8Mxee+ARiry9/XoIYSRz3QpzYBJaVHXPVb2DdfKoPm+zb/rRPkhJ474KXEJPWltMkDmPuz7gR7C2 C9FqeFwKESJtIz0ZOufzg6Udfs+BFtVQKUgr4+ruR6CkbGFl6p6b1/9Lc1FJGR/mm7bHPqGZdOpk YD44AGzH+qdafFPBj9HS9tAo1DzTThqV7+qdhgW6IUYPA628sUTprih3kbYDOjzXJbh1jbtCr9DZ ZS9nC7E+rJnz+UU5vcX0iR8Qmapet1BSB3Hs5PzIc+TQMZTMoAnxW+eQPP6zq27X5yAk0uIVJu4q m0uZz+odvwreMuqek7KPgTmh4kXQVuVLBvwPV6TSkD4wDQUfXb4WGqVCCbEL5VdOzk/vnPMVmR8Q tBuu6EuWjdqC7MzzIIape+eW6i4RC3XBbX0sAfWkIgRCjEUrVkZXmWOz1PbMUmfjZBXpMd8a58PQ 5UNvhk0HENAqsMeujF6G3XSkI8TG6Oqy5qPOB9uiJQE5gX88GzDzE4Ij/skdcItQUFkAQ3u4QrhM obxCq91apKU5EAtinM16MyW5N0EODUQNtF0N214vtn2tc/2oabDfsIBZELINsxslGlJ+uu1kqlPl wEJUSFmw4dVvqLff0Kqp14OKyOuj1vv8qnQAYBwzPw9ilL5TBgi9vkdWd7oy3O7NScy71PsgRmiZ 1kzQWj0asZ2s7VdglbLpWTTwx2n4A9AtWMyA9WT5rxv9xm866s1wlLPZb6C+bhb8qsEtT2K5afID IkMefxD5H598Y5UHPho4iZqtGjQ1ugdrue9jVzpMhB8Z5BasMINXm6FOo+nOp4SGlMl5qAnfBhSy iPJdqZOfIW+OV/+4z+FedtOR1CcxRZDfs0UbC1bHkvo8d87r//kU4jpkuY8ldA7NRAEcJ9J9OQxr 59sgrTM5DGsXR0PGbqI6s6phG7h6tQOGaKf2Pga4pMvzhKf1JBAPpz7jmSUYlnrvoZ1oZzZ6junm i3EgapGpYTt/O68Ppqr5Gw2ZYQebAUG90QoR7/QZn35OAdN928mg/UtW/lTA2ydgoaWoBKtOFWlp 1AO0hTHLxONCpwLwDhtro/PaxjE3mECCVGxHVhbCL/AU1ZGFIur8PFhQfAswi5lmkZTnnvyAyATy F/e7Qkb2ErxybCvKGnYP72QBOZNuBt/NbnxijmwZfOArmq3rB6DVvqsy+AKAZwazgh49GIZahxCV yzlfzt+ON6L5h5VltxxVO3wZbs0MBchG+xtkEyZJAjYEvqLo3H8DG8DqnA9TN4e+olMWYvvp+a3h LYcqn9//05wJjUVtSrFyyXd1tMz550TRCkrurk7ESjnl+eBQc+HN370wHMqyAmNRPO3yiCYislP+ zfTAMeBgMxlK1zm0Fk1XMU5Dxgx+5+2Pxt6iRUP67iCQrSSrS2DeGUybEnysdgJz0eQth6FKpts7 SUL8o54EuVKI/yTBNW2ZsMJHQrufc2jDq6Vitxw5PwknM4WawXNmNgXnbCd/AwZq/IDINXP8n7N9 gkvioQrF06mks0Z2oa9Vuxu0r3Lxahg0+LaK2+5b4KtW7+olNJTLloYUBfy1bOrpaD+Df/7VnclI eHTL0eiLUs6NcDUuKqFBnmZ2CfAEr/+X0yFnPzC/BTzCIxUKqFNMBxPbH5e8HqZRfI6MpGoRtLjl 45w/HEYtBa35rj7OOQf4eVkNgZHX7EXTenhigHCFD3z0Gpc9gHHizrTKdRsv0bKnXAUCgSdkDj1G f1uxIsMDJvCGKuy5086+0r+MWXsll5pZO0obC1WFap9egYHJ6O1ila6WH9dLCdjgyJM+gTOMtCZy c5DhV2B7C4yBPFZz200HvC+qFINkQC5TxjPM7KoCPs8a54SbHxBY2u9fBlCEQbZb4gROo3hzFmEf i4+f6yPoPPt2/kSrTmQKrEazIxtaNt+e7F33hRpvjyHSPCY7bRDbfUod7MHzR64f/qNP64UCCcxG AfMhsoFPbsKYQwooMINPWTA0tBtCt9FyDdg4ycx8uNK+JN4cOo6C2kzk9hW6TLtb4r7SdmPWc4J6 RRhYjuIXd1pqvauFa5wDvVDA+BxY82TuTR3ShcpocRybH8W9SfvDHHiOYvYlM02eWFw0pajQRmch 3UEJCExHwd3yph+DRUoRdGcsWJ+X7Apf+j9geJHzlWxrtWF6OucMluTAYmvGK8KX3Arl6P8Y02tc C+nHihx9HVgk09s+A/JAocdGfXuIXp1Ta11bPxpHv2RWvN1kGmlMsLgYUBsOKOIFLFz9/4KkezRb sSEYJLLwlNliYHMjCpHZRl8TKFjBWLYO1WqNnc+mm14HBiEyYY8+zNlvzFsFnjxhgYw8VDJvcvox x4EkgF6XfP3JFQM9PHzNW4xOPjKqJl3LF8H6QH+UPcY52D8v+RT/2pqWxYhvuXOqAfBrIXrJpnhR F1BU5CTpdF9Xo0l/IbnQXBW5l1yK977ER6UTkq+WIJwH7NQeAH3DxIC2UtN67ATUnmMGrjFKO2UO +ZJJ8XaLNBhqvovaxuAqEBUHzObnJY1SfLdHyOJKxQaL0EsSpU3USCGprpZ69tOeziZZV/J6Ey2N CL2kUPygkU2eYra7+ok0AH6rpkZ4irGWNOBa+AEXqWfLI+S3+x6h3LWHCF7QQSmlVJTJzH9OeZk/ L/mT/lrTIhw30+xl+XP2kj3xIrZpT5xungmNVhtsdTFRm/SJrdB7yZ30nDl6T6hQrt71HPW8gQAF HYV6TIXClrXgqDt/9Hn5Ef3j5FOKL7ykTjqMWhMQ7S7Wa0EKuH5sBv+SONnVv2IEACD1rhmjl7RJ T6cgMDVNj1E2ReJfRqxzJBtnvSRNjNHUvAHRuKv30Qd8PGjjBAmY1AkDx3QfA7AK4gQalT4pTfJh DWK0RLIRXAHV4k81pN5JYbin5jn7Pi8JkzZS9SAx0eJhmPxZe0mXdMxndgw8HEDrDX/WJieVClLx ccNLsqQgNc2vDKIwx5Msoa8C0gjRHA3FypKxKJssiQ0p/Ct/2ljbvaRKetooJMA5BXSuaWPJYna2 pSS8nwrg85Ip6Thb4+tAgqUikJ0WpJckSUc2NRonRfs0kyH8kUHqotZQM70W10yPjmz5zbRS1l39 rdZTh2gsoVTjPEwyY6uwr8UEK0GRss6LV6dc1UuGpB+D89AmZ6zWgTJkyg1afCLU/WeesvHzkiHZ fabvlz8MlMApVJRe+pp63opoknnc8fH10d6p+1ZCa9J04oJjOxmbjQQ7Wx1wtlDwVCtSejN76Hoy 54EOcKVvxUUUkzz4eelpKkrskkMyW0vxB2dTxAxZN8RRgxj1+2qjbM4kMm/48/bSzrTtW56+Ewlt hHnr4H6gLptm8xTwiA5ubaVquvL10ZU/SWRd6gXDXQg9WoF3MULGwX0OllbJwN2PJvFLK1M/xhL/ doh/O4xSWoEOoi48BB4+L33M//tyk8DCrKZRZ3lklGsPefWlclVzenMsAm0tfcJ+GylRsg2RBDxr W5LEXH2eALUtquMg2f5fxr4sSZbdRvY/11Im4zy8/S/s0d0BRpY6UEfdMuOV7EZmFJIDCPiwcp7e BUXVr+ECQwKXC8KsrFcOphNhLrORVsqBR9wEVbnIqIBiFNGam/NrzXEm4eJvcYoS7mSeWNUXXZWE hwTTHzze6B6nMONWpj0NsjHz00tAEplyoivPhHuzgLMNYlPW0APr27vF7Mp93lh/Xzs4lSNtYJzO +qttCHa91+eN9KcdfO+vdQeA5erZc4E3zp/FqRAJ5LjFc3KviwSSpT0lB9ksFMQsurxV+dA1kxdq j7xQgbsI9KukfXYOa0kzDmzri5jQVJ+WyxB2OAhTZ7EJfpI+KEywPZAAVd18PEiZxv7KBqCmuYB3 szBFqTea9YBoXPPHLN8Lmj9KCRxhyhSdEAQo2MStGNdMrKpdsSoqpp8dqvICd04D9NOFlypIRkg4 r6Dc2VFHOsrnje939+EXBs1Jy+B4gX8sAMKG6ff4Tgg6y0z1xuk9/zZA5tmlXSMO2iXFryhysWf7 ACJhVueN4sTC0SiLmHyN1mdBpf8kFUwuC/yrZrF2H5TlyciBVIM3WmbVGwe7k2660tKbhBYwThM+ XoRKgXv5eeP62aptFidsC8jhfmbp2QMVJeG9WxXcCdzT1fiROrXq0E6wthSo9yz8qpks88lcj09m TrmWXDezgo4LZlpqHSDnXNDuL2gPOtWWLk9vTD8tHFYsR5eVbzeXyUoFV7GMRimfN6IfH29pf80n Stov9B8sTmEeTqL7XqYEwAmVrMaLNNfRBshoVDiJEvE+zAFSCTlHKwucFVYgMTBZDT9xSU3a8kAG QV3iBxUn9zrOtKF7Y/npDzUaCIU5mgtznJyimjQxfGw+byQ/zafmxx33bAhIr0lFAMYpSsWXpISW 26udZdAtTr0RKkCgQWH1kHGKcnHrGowq+WaOto/jtpM7MACDQlgd7SSKfwL4ChS3WLamBzC73vg9 ToP9lT143EGI1mgvlerJ+MLU+XiQZk5fd1YIRiEuURSVgXrPxq1+h6aKe0Du6gSQTuq3eUCuK4AW peOD+HyQaPcdbeGdDTYnCoyjigJhc9uhcPDRapRCxdeNveuN3wMlpRfmeTao0tTgREII7MllP2/s PuVPe37lBZl2y3XfxCBKyLEd0b/SPEIgMV88Tix3yatgD9NsfiP32cIjhSOt3O9ocdo4MgGBk/fF 6pY8ZODXphT1LveDIHR+TxQnNux66j4wTliveQnICShGlJILeeNxgq5VHzcff2P1KTEYzMfHuiLg 6nHywJP7I73YlxvzvJH6LEyyctjG8d+P2ucYcMPC5fOsu3YmaeENkA6H/EVpwrBvxQlcsc8bp88S NbYJlqye1nRbw5N00OMTsIr8eaP0WV5RvjeohtfO4xYv3zh9iq9oyPS4cbl0d6ndzQDVqKgM26He KH3P1EQ/Mz2jN+s2qh1b4takxzT1nyYqCyj8nQCR0mdCevbKUacAdQI0635ozc0bAzcbbIWs8p64 z/Z54/TZqee7FGsLIHDNm22+UfqsocIWQUtuY3TeYXmoSPZU8aneSfWelMNFI9nlrtzREXbAgo9F +7BzvOLHF5UVyxHkx84j9vY1Nanes3JPozAjOaAqJtcwqNtVYebK+rzx+fSi8zs9QP2nS7mZgQrT csObLy9AnbPJ083R/fYyAfG1QEVpecoyMtrmt7avi/aZJef/zxLEJrXxZU3oupYo3DWJFJmPDgfF Xd64fDanuD2ByGODp+VZZgUn86yfNyqfbXLj+5aHOzVgtRanKC1H/QezqDk7bdMoQCWoOhypAvaw ss03It+vqoE2c4239wtNA2AcYAtSoKXEcmYFR2ZP3qDYo5uPMdYbj8/mA0t0W56ZhFxrZ0rIiTGd CtorUVY+bjkzV9ukNlQ5LE5haRztvEXtHqv6blNNOIl6Xu5Hp+oa4xTVxvuyWp2E5esjLL9go5MB lVX/oNciM5WSkRdMgN8ayNV3N1965SCNIpOdAqU2cDeHDrjqUPXM2c8bh++Z9wqUKDQFzlh+fXnj 8H3tUEtaqCrXbUfjj9F94S1cGrTw3tNybOYipskBRKMvvPMbwwp2a0Kd7UoOYplCRQVuFGWnxy+T 9qtvHD6tgSHZZjXJ3QQIitlFuAtIUn3eKHz6i02C38qaG9eXfHuaUVrO9hG6TfO27HJ/2gjPTr4t 23wj8Ol3FllhLUMTrMccmmt4Ki0nx6NI0WUCF7IBzqGMkm3kkLPhG0dhkgiqEGLossut75yFaykv H3t/3uh7/zWhZKOFvmq7yUFUI28mwb/3bbcs38gpZ25lzZV9g3rPylG8Xur66hqzXXQTJtrQ4IAb LaUkWlm07gbqkE7YAD0JUl6ED2t64yDb1EnXqEnMQWALKB9PY1jx8WA6XRgBG6GpwMD+7k9RUg52 LC1nbrXu6QDX6V2pdfIaq9a90fas207fsqLSiUYrr5wENoGNpMsLEFzZZSb6z6DvTCoXlEIJjc8b ac+mk/xB2N9snqkBQ1OlWHOyjvF54+wpVx39Ky1Aogu9Se+TR0k5enyYQtMN6ep2D20AI5Klmn1V Q1u88fXslpl1tyM+dRhIWWjfBGF0JGbwN9ytN5lkUD6QZngZzSUvrmAaft74eireTlGx5/ZBd7yz hKnbQ9enzxtdz04bz8mbLsMbIpWeFrzR9WwOMxeY9anWda9qnlxhePF3Oirlja33VVw5t16yQDUq TucU2yfO6APBBfTc6Vn6gnzXSeMl5ohcAFObkIKiN/4rTkO1Aw5adeeHSUWqSJOPB6sufWdPgO6N cvvlb1Q9TSeygzZ5+GLLpu4S/DuVK2GWafTU+UlBn5M3FqjCPaNv4gv9g8F0vNFdT0ztilDCLesH x4Xv4c1eOLjhNYkn0T9sVlMprEhCpF+G/OvzRtJ7+owMCvEaiTaVnou/EfS0oxBll5P16lAJaq4e mPOyKAEZ4FF6z8Wx6ASxb2Pf0RZdSXWCakaSLPWXhQYG8uln0ckLTT3rJLDg/Hlj533dWaDlT/41 9Oi7SnUgqGLVwUHz80bOs8qKdzpVTt/oTnnF4I2apx+nW6fTOnjQUHbUONq4PpsoRoM9/I2Zp9+J 6dBoU5sUR1t055CDZREpsiDPraqYJjQeKywMCusFXHNgM/GFo6a5tDTossZBexOa87I1PPvg542T p8TFFBatroJrVFpez3xj5Nm5oS18XdnAmrzPaSwzRontXkYpqo8nKz+Jv6jRDhMcdqD5TkpRFjgg awvHRJoEGPReL7SAN5Y3Op7mPxvC54rYfbD23Un5hrWo+JrBSZfTV5ggIT9G8jz8jYxnxzi3pv3s 4KR2qPzEtNZYxN3y8Dcunh0hKo+rqqnRwnRuumjZka3RUX/akvmG/S7HExDA+W06EUz8eaPifZXp oCLkg0gXa0Inibs5fs73PNw0ip6MoINQdMvjbzw8JU6rPFB66rqt6xg2XJ4ZbKlicN43Gt5XRgDF rmkjATPYItseULdk4tSxAsl6AHoZ6VgmcIkqbF7OTHrlCD7HlKmqK0xtc6WV5x03KwX9XL4/byw8 O9x9d8K/S2/Svu+EChNxXB0grHVTp4t7PsnOtepr0DJUoMLyuJzYV6vzjjahEq8mRVLoJ9Gumedh y6wtVhx2FW1Qh/OiSPJ5o+DlB9QzKsuaZCM33Xt3p0slea18PjruylcqDjr/BAPJAhWl4sydIE90 vaFzV5flXFglsGgAcavRvdHv9P5mMV50lHG8gQKIF+ozg/lsmiQ2QuMZ0wFpXyv1FsgldfDGvrM8 hjT0ovpvMfRQhdDS3pL+m+vzxr3Tez6lArwdKDXIsA2QGebiVFSEQYohn5dA0OQpdhPthIYNy75A ZMaAFQELtvkYaRGwUtCghlNBSR7kztAQlkR0HJ6EooFDeg882ja8Ue+0WYhYvbOod9hdfkTar5Vw g34C9Xlj3lkH7xvbm3FD2PsiMd6Yd5qGhIlN+oz9h/IsGyfnf/iz4E/LvALnxgmFQEXJuPlhTWMM z0eYDNTOk24sGq/C26Co29A4ddDBOj8CcBpWe1pU23oj3n0lUOeNioZsGKiMqgovIucm+Xmj3T0l jZs/gQS0evLL3RvrziZUkvSy/CwgE0+sU2IDj4qBlawfWlsgTlFxXPh4oC3nHR11mBAlpAow5Fnn J+3GEsYB2hgiQTHsdtf1ysFWzgwTHUENxeC6Awo5LJP0XD9vnDvboNLXhFro3aXbRHij3CmhYOlp Ojkff0Y1C5BRiJQhK6OCqMjS0xvjzo48idUUmVus0m9qsM+BBhohS3QDbOoh01VyswEO+KH72q2N 2ysHqQEFz+eQzNZwgdwTt0YlZEgw1M8b406Pt2/kIQ7q3Yrn42+Eu1vwYl3uSaG6g1jBUXbkIeVO OZ/C2rhRg0t5RrvdQZ8x8a55NvMJEBRdVdqmUF2mUQoyc12CVVN5o9vlL+rBMJVqA+/X1qThjBXY 2ueNbafZeHtSlGAhDwbKnhanqDYOmRhA2C6MFSbEDoHiFUKZAYqOPPDeyHaaz0l3kUqUnEZHrJwb F5ovnUZ02OyEakGn5GfRzwJwDC/RoYL0eaPaWbGAmWZhRfMeq6Bo7EU/XOTInzeind2iy3emifLq aHd7CgErXWrL23VqlEmLONaWE8dGd8DKG83Olp0E4Wuqd/TpBGv3PqgVdX66lZaq5xXViDllXn+P u6npFBXGO6ufM+Xpg0p0MJDRdAJ7841jZ5vb9/0O5cE51g1TlJDTyerMc5fzWdC3d3ym8NEsPd0W 5xu97qtvjo103tHCtOCNSuWQE6aWdimWaqFLOLj9gzPnQLFhbxwUVagBSBCbDVbJRLVLYZrz80au 0x9c6tdsAgtgQgLAwhSm41uWDNXp+Kkb+wcmE6z9UrigL/MZaCF4XF6Fe6mBx9HRKmcCnZsRURgN jShJLKPjhTm/GaZr/whuAL8nyAlYJpiJ8CcOQmGMaYLwINrh8SDJHN9hIg8pPWEKk3GKabXk1YIz b4rXVNLIt1rANgP38KguniQ7tut8RgsTVCJ7YQEjnxWR1jZ2eWfLE1kpaF0Xnbn1xsFRt81ztfmg vSnVSjFKpJsDjwe4gnJBGPiXwTFa6HQY8ydKxql5d37s6fpsO12UfaIQrKYTXL1F/XlPxr1fPoiq 9tFqT2XiaOhcdUgPdpMJSKdiG2rus16bq0FOaw+5mlMCWmKWzwfTUzdrsCdMm49HmOj2tTed3+h8 NVV7GKUoE6cjx2qN7o5adN1rT7lXn017OjazR0iVsVSZo66IjRaliWmzgfvHFo7+i2HGwR6EbBRo Uv3WCpK9cXBjoWEMbbFsYJhIFNhmBjbxeJBglm/oOASqeu9e8O1xWbwI0HOhT715z24RLmIu7MW0 8ntUFlfBt6+kmx1HSzBBuR+Q+WPP7oS/CDp+ZtYiiK6iaTdvqUBEsigRF5QuD+rXgcn/U5Uvwd6G 9QnQS/H8v2ibxNKhR0kIh0UqJG5WFlVyL4alK3u4KWZe1xSzwlmeqXiPUnGVetE7WXd0kBg0POcW QxqaM9s8MRei20BthnHXlb/gHbhHqXjVNt6XhG9xN6jik1XQyeTstfkBwUZ+Wy2qxkCCsK8bqojA SSe081eW5YW6WRwlxiu8JhV1QxWqGKkifZAuvC/H2wje+8Qr2U5+9i7u9meuIuVLFDvFlc71snji 9ag+ro75+ToNQOlL0WEsuKpgUtU98Xx05M1fq2/8mEY+AxWm4zRqqYITsFZeXM9hyOKNeg65UeGv 85P+Khec/Z/Fb422+uB+vJBGYSvHtr7JGCZqE8DDxkTzXu8wz/lFQWogr+PFRLOiNNeFEdtzSxAF 34Dng9V36wUCSoGfJKksRiqukYPiUoBCy//B7Cr00fwPakKdKhfqBsMWjAWDHmfkIgUn8aY5GvAQ ULqSs4Sy0HulktfJpSj4zTpkRaHvipJOvXK0+hgpNOVBLuMFQHMqZUs9warEB0RwOg8VFUXOrrjk UcFIvSfluLuIiUCDPVajCHX7Dy6mrcgfjSUDpDjc0aOknIrU8Mspz2ilFfB0MoAF8sGEXaG5LrD4 C+JWQY7p5id0G+9RldxOPuHEOLBk0Fbfk7/OuUM0PB5xg/3kk4o3fAjqTaMiDHmnU6H+KLmr8mCX Ul02pwrAiYCC4dqL0Cp28vHFNXJFUPECJdFzbWXecrL1wfpaAaISuQFWIvyoHhD5CRe+6C/Ri4au ow0G+h21J9V+Fx//13yi60nCPZjplAIV5uUCkVOPg5J+UJ2xWh1Avybpd9bjsgkVFclXcjZCu6NN KEiSn6OcsB4ArUeV5syAlu9ZkdibAFSzmiZF4PBFwW2YRbomFXMOKpLDNVmM6lkmHo8m1G+0PcRU 6kWsjCgxp6gjEqjuuRRtfAwcnRyxwkNMdPOoSi6B37NlyDiBo+3mcEvHHYgQAzDYyBIvIgtv9mlw QTCaCyU38D1Re4q1uj6WD6JNgR+yGafzDXg8yMxn+tqgQA6iT7mFKcrMexIaerXLBqpWXIHnopES 0GGw7tSIa+TG6pTr5fxyvUQOXgGgJKuz7C4Hq3MfyHKNZ9O+XMk11BvwRVHtV/y7xoH5PvOhuRF2 dpwaAhUWye8VpkimdP4A5u6RCiHk0i+axQWAW+k+ofK8vISVummFjKhKnlXN7GnbyG7C4B1mtDWA FaRk+aYhGKO5ELzRqP5wPRbQ7sPXBDhWYBXPnKM8VhUZgxp+C+xR/DLn//D4v2hTTOjPT4Pmn0cp SszZRpPqjrNfs7OEJe4sHfzteLoRJeaO7JEsEcZkUUqgnZ8UZ9CbcEDFapuhPSICgtvut7oCeMXA 10QdF4JYkxQPMWjVwQGal+MGpcMRVsivFAZTzTMTaSZoUYpy8q6SZqq+OS1qmkiZh0RA5uQsqGku RTn5FCoasox39OrKyXkKzF/MxLgPCmye7HKt85ZQj0n0ChDWlxy8EVXIKT0D+mPmgDVOAhAajkst 4XNe4PlgNvVbN+C/fBLghflvgQpzcl5eltzoCWgtwwPVRx92z4NriAUqysmXFQOW2Xasa7SHvADY Qy66TtSELCg2UgUotEJa9VLzqWEwogq5SUhPUc6nU84LuDnCQp3sAo9He1P/DhOvw2X41WWE4PEh CdYLxqDsmcI09tVW68sLByMCj5t17F5KMzl6Z6oDFJZIwQPjEjRhrjreRE/+8AOXT4eOo4uGrwmm EzdvyCRqKD/XUygzSTzndsfjQfK0/azjbn0ysVGfsy6qkHMv9e4Bo1Sy34TPFebhtmwDZ44oGZ/D Vt02QazNxjRTAqDo5lKUoEHTdFsGf+5MJtxhKDFrYUIzBt/zV+q05G+56IzCOXR+38biOnU38HzU v/vmAEF3HsJ1HqcoGR8ypG/9EjpF39BsKs0W3URZS3GKk3HhnFetd/R+MFxJIT9CshSU6Ziww0BQ MnQAYsxHEYM55giTcYo+ERC0E+UIJaHYOpAqvNzCMBcfEJQMrg6NUBzKTLBrWbDChFxCyGm5CeEm FkIqkL141WBWSoiV/hlhodx0DKpqdhztLrwL1GkL6YrnZnx+ji6de+g45gxB8bLXY6KgvTyslJNP ffKCxQGJO1NG2rOyjjR2Lng+Kq/cBIp7Ob1n+lXtmWGtHKBwwDGShYpyVrrkjVkvARbXMoZqRin5 SgKRF84VjQ4iz7J/lZXJ2cm7cgN6JdCRNad2C1EiCs8Qt8JywdQdbz53vMRyBJODUvF4EKj2je9B aFEK8DBFKTmbuJOcIQtTScvxPa0sgxmcGZp0F54hrXMbUEz4cI5eBd4srsj3rJz/ayLAtpSFO1ej 9d6F6ZwwQ1onj7taJd1e91UQyY2XQ6gAFTz+r22qMHs/cRq39TJDoZVN6kY3oisI+qSW0QmAPFQ5 ciBFk1ZWyOmUwtFMUhfn6BQ8aBrBOoOwlXSmFPOH8/mAkLTC2kp94D1UfJhxtZzUskQr3iJ8PbKD TllAFoNX/cwoJZ+Xu0GJE1CBNqOlQEU5Oc2ToIbhFeAtrXb+uit7BXihg6ZAhcXy9VClflOmEri1 kCbEhKonX+p0AztJFPW0qcIK3PA1lV164yBOvLp0pKYYuGWiBgWPKjKeTj478XikKPrdpMqs1LSr KDqjrJxOtMg1LYtawqyLC9SqZ+X76mXOKCsf3UwZpec31/UPovxjBk+fJYONpcc49YbUL3FC5Uco mhQkfNGfEiKVvU8Oqq2MDUsGza2Kx4Om5y+1Qwod7puUz7hQLiGDlryjUJfrio6cndPZb1I+I9yK 4el4EfLR5tM6kwvHEEXaC1hm/HeBIew/CwLtez9ERdp9zigpB+ERVd95B+UGZ5dRhg79OjweCRzN 72WHLtAe44Ypwq3Ia3B3W2sgLZbp6eZq1yUclt867qIq+Urm9Sk3WSGtrbSSIAa1WRg4U2iWnOT1 iVrgZO+nQuBKlZWu4y7KygEnwDknjzNKcHM2ncUjlgt0jvB4dMf7Pu4g9IwUz8MUZeUsx5wvm9PD tNfwClSdrjl+rpmWlc9Q81CziDc2H+9xdw790UVUPDtKFUKxoRwsrFYGO+T2ErbeOEBBabU16UPS d51JOdCg0rFfm49HaDEHZCiFACdhL28Ozwi4MuUSBJdgY5yP5PieNMcVYh3N7ngzysqHSuNTyEKN tjvBZBL2byxoovuclBYU+U8Bvlnr0/IcBNzPKCtvxPtO3WImCZLSq0X+xHWzaq54PgJCfTOEMY/7 vjDNGVI6G5Xr01VjnaSOCAi1iwsfFqjoKVChzopoQCUZbswV5E6amSD2nuQbe9YfKOEM6kmkYEpS iIB84rSK3viv9IkXdRsMqgNXHVbfzl+Ax4M0c37D7UF6BV/VwrSibBy9cwpoT69nzuVGSmWZAOn5 39BMYphWhFwZkuJZlMG10flAic4m3MUbYHZN1eFUpToIItyVN5KAwQrr48KJqZ651TynreDkVQb5 Qa14PGJ0XqVRsRLyD3jdHqawQI5ZCxdUuwyv5u5lFXWiarv4uLC6FUJXTBu6qI3O0ZYduprQlyff fIDVKaGHiXYUrLt+9sVBmYrm+rs83qdyJwhdDe3iZ9/rXOlAFOH5CFb3DV0BDgyeSB6mUGu8WrvF tcbNroXtFmZ7Im/gBFKYQuiK0aa2HKewp+K35WEH/0AQYJFjnq2WYB+2jc+W1FlkoGOwp07nmoTv CcrjW24kqfogIFRKRMSw5cnH/xcxbTSkyJexMIWUTlN5WM5xMQMX5gTshsmLBCtCYYpS8WFsqdzz He+iq9i6Cfk9d+7hntYbuRl6Pcgz9yXhEVa34vo49/DNVTchQ0gMdj0ZxvmjB68h0K4NUSv9G8xK W7ZVLuh3Rbk4YTnolm+/BNfuomI9jeSFX3B1mDytkNOppGmon6DRyUArQRuTnIRz4Uw0pAX9LmER E4J9NvrxAHymXjko1jFtqomEakzVHylRdvTRJrPxE+w68QnB0hvf+GgAf/e817sVpeOpCDt+Q1Vq dqtFYdmFW9nV8swVSqxI0A9YnjveljA+lffgQu3L1mWIB6T9ua8gKkBKmXtgokT7inArsoZtsrdp bm/ToEqdhl2J+Z4REup7TuHkXQCTW5yifHxJp45GvxT0qwL1LErRsIqAuspAGqs4hfm4RLX7EHqF o1/vNq54uBqNfIIC1SfG6WyNlKg7yS5A4I7FyDryYoUVKR/y/tIGochEj5/s/s4tPB4deXcrZ1sT VMSNRqtFKuR2FqXk3Qt1S/q+S+2pYZGaUiFHpEIoueDh3ZrDHG1GnfNvgLxJHCJlNcnWa2ft4eyD NUuBDYijVqa9cnR1ad8yBugDKm9qhvIfqNStsFC+HY0hPckKgpkXyleIJSdqhTJfrrFyxUPmmH4R piOKdMj/VSg3h412HTbWptb4yCToD7LcmZJXXXPqBHmDRlBsChcWovFFEZicUykTjHh9hhq06rZk adrge0Ygg9+VlUXh0SsevcIq+VSLv1xuJ+9YjFTjDyS1X6hRKFKxGrl01yCd66NFKp2L+rnKyQ0W fjBTvlKbTVpcYiCDVm8epUhFSXkmq7qJhM6BkTpruGdzK5sVj0czKn/t5bOIt3xF26OsfNCsrPV+ 86hc/fLS57hTqjjKYIfkTtnljqbNiqMvvVmxMjpt5E9AKwvV6KNjh8mF5YZ5qyuLVYMd5eWNNI5S 6JpbQHjUPDpHV+EBcs5RPh6RgtKvROqsY4gGWJze03Jw8XjDmm1bnHq9Qitj93knFLFjULePhVYY nyrulEafUFCUqig4gak9GjudmeLh0KkD1Kw9tbpCuAy+KKhCVSINlsykllFIcfrVua9q+37Py5Ns kC9gDF7Ao99a3Q4h5VNe1dsdbtpyPAb4ysNrv9RXZ5zivFzXl9T6Hb26MoApEVB6oFXVtlSRQVVe BcGq1z6+0AgZ3xOEqct7chUfxHFpEIEVDT0NPB5RO33dEfeHSsaj27NDaicaukveegxT3/XuT7su h63gYFGYYtiKcOR2jeFoYTp/Qz6JRiZVGHbDSR6dFYqsIMMAec9egnZyXKDwRZHoqNTXWFzpfpk8 mxycbthz2ZhOEXCl5e8WOlRB1rgatjsEk9OYbNOVV/Aeaf2yCLXKbSUsp7vsf4HJi1oJHL2mudAt RSkNNc0E1XZRFoEfmmRVfeF+kaTga/6UMugkVMP34qdIWfucoqMbh2Xj+QiQ4SUoIqbOMU0dXAtT qHrIFt6mnYipQ16tlaX/leqQOI0VphC2IvVMsFvu6PgebNgJXTDoi5E1rI4L8T2dUKRxhQzAlMDX BOmTNDFyu4MW3UxrMqGuZ+vD45EK1DfOgIR7tJI9TBFshdcsMDtN4AitFbNKgFivS7bTV1FhimAr w1ZdslWXnlUHWHfKk/bUkF+r6vI1rPafSSlldNoBf0UNiheXHVXIC/VryTuxwdBiZ9kTt3IyN77m vzB1TDPPVmUGYAxTmI7ToWS5aB/+MbvdzezbC5qdXhloCO8QtzJFSBDlR6PNppHPzWt1hqnC1r1k K2ielG9MdRW6a43SGBrfE5jdFSobzX4HhQmwZIIuQdfG49G95TYShMCrZ3O6Fc0dVsgb7ypU5NV0 yrv6dOp7+nSCYLfiFKfjJtljBpMjP9NpQoQGLV86VZ9pJO3kjERgdOo3XOzhJtR+xzorSbohxQcR YHueiZSC85cPPB5dg+9swr+MPXfP20jYUS7elgypzTsCWdR1dEvShOJZx3XCVRchVqZSyz2MAfuI r6VWxoCoMwu/hRq/SzAMTCdCRjIZsEJG8xq8/5ZZaTIObm4cjFtwp3UAkEIDj0fTqX2tOuybcsRW nHQHev3aTZKZHMt47hXixklKKM0LBhM5ioTtU5iM6x5cirZzjobtAXSm8FA5q6bBCpW3FdhSAz5e O1274RAoL5e17aUja7fEJifvw7WwRyotVrbTiFMbO21+RIRp/cXxLMJoX6eSFIofIqUAQNNJHEtm rxvVwpnaU2Bx9+AUJuV2y+tqpHO0y8u5pJxrHuw0yeLoQwRjVFjQXxpg/O3udNgC9oteOoAanAMV luAoIp0BxFeGix1JHmiQEuQHRPeX9pUhZP7CaV17LvqHBw92yvxeDuOW+C/rdrSiEcwOzo4WrhBR bmGaQmRy9LsemK+1EpcBAxYorhBnB50MSPudVYHk37WReSnGN0XIDG5ZjZe9fn5XdVPOjggNORZa 5lj8gCChqpfw6bJsK18r05zCyjl5+52WfazeoV6uaLXz0mqsg4MBmTRFKzTrNOsIM+usj1kn7Inr BngCizFB783U7gHKBsuxs4l4b3zyT0ixYxBX4TD8gaVVZ1eHEIeQnGPw+X919qgEASx+uWlVTlGW ngy9NbwibMjgzS5D8YrwwAy0WEXV85GMaa40naPNLHx+G1ty9+DRFQIYT4aKt25AtNc2PAMtNN/k N0X9GJakmmhVzTFkBW2YoiboyHw+IvD/bjTwmgoXWo9WlKzT3ggNvG3bVt6mGHV2zD4cb1fRbife Dh8V1RKMcb77Ha2WcK5rcNcpEtSCN6VwPzg2kYtRsCZPk87A5LOXjpCJMusqzQfNrHOU12q0BT0f GQp/67bSkS19mcClUIyF/gBVIu6bx6Pv8aMRvf4fwmkamQtdHxVkD7J/w7Z0R++HLtCFstnALdRb rJJH0wksw5K7N47FK+E3/dXD6lnOwjlfH7gEqULebmDjnaI6+li/qp4FQUfO5MEKJVlkeUrWnBJS tJFF1tuLGlsLmVapdx1Gmbt5UpVFCrpG27POJJ8QqaQJ47mvNbbczjQgsmbgK0++4W3RQnwzvym6 4jCF7WRNF9IpmWzlAW+/H2LvGp//p58CjWTZlQWx06MVpu9DBrEmiQisizXdGS0HuOx+nc5SJM0i XHBngcxH7/mdVYZaHu45kKtOvISe3QISHufYEmX7ASrubS8dIToputnSHQTjPKdINdWRWvh8sA4v xEUCALyX7WeLj3mgqqIn146ibqu1HtZ195z4MyxYUUV9JLetXHd0OFDPKKXLKjZD4ymrjg2bqk3/ GeC+nbeHCwy/KKhYcc+qS6fh8iLDpvczl+FZ7Xz+XzKAne0snEXrMULNocWnYfR7vt4KooXybBqj +o0H/SyzrgyxLsN4MfL45Gg3aGgBJxLbBl10gVFhPgjXmQlQtDWTlZe2bu/8F2H9pOzVByHLUA3Z YniOyef/F2GNSbfBC8bLocsneQ9n5fXulStiRlS50iKkdhv1RRWqCO9igjbINe9ooQL7DN6sAumf vauZ8TUwhwP+CppW6bF/yX+4fLL1l00Ft7uC+bmzWE1mlcrnI53gizJjpevkAQRJeKjC/L2SL1vT FVTeSRItAOSRvk6JJDjtsdyQA6fP8x9T1DDHT6kPK1QNG1TTdQfQh9wkTFbQW4H+Dc6jeWNVmr1z xLti0RiARw5qKFdmvpwTQGbx+X9aVXGxnYU/4C7qsYrq6xTDREZ6Y0WEgKZVcynzs9G44HsO7T6p JVRORqC9kqOB8taZtsi6AKNiRzmbCMBqwA+dtHT3x1VoNHvlaAEuUB1RX7NBoLyzGGXqBS1UPh8l Db+0E+H/nZ7cPfT75GyZa5uhLqTKpi9AOJhapDZ5jFqAITc0O7q63tFnFYgrM1Nm60z4tqruQsDD nPes1XwHVcbikcwvCmAKksUvPjSbVRDfZ/Ghp7H5fNRV/g4VnMtwTbmhihTNKQSyTGVLqlLmG4vy cXftrUV7BE2qMHEX0jPJCE3jXYBjtgHreJbZAaKybgS0dyxpuRaytDznF/1lSTwkVjamA/Y71qLR jVfh80F76xf0pZwotfG1/kKCqHBn0wSVKX3snCJSgXUjXDN5Jppj8IvswK2BU2+DC6D8syHBlpNe cQtynUZTmyyK4PYMgoNX/VTKyn/rmp+dbvjgXNq9k3KrqTcNNvbi00o+Fgtlv2cFRlk7afnnJ23u 07il7kZG37iIzzWb+zQGzp/XSbZv1Yg5WqzOfRla1JX8hgmTuKn7YKIEvC6l0+syhShzflM0rwRj FKG2zZuHrqWWEVAWfD4CpX9TsCCSuKCF7bGKcnZo8kGk6FJqxauWuLnS0PZoUSd9VNQQVO3KBFw4 esmvzRMWlKpYw1pVmgAnycJNh1By1pcdKtSbvXTgK7ClA5/vIGQHdPmp/lVZaQj8P+2icudVhS94 /UqtQly6moJ7u6Hs2dltXg0Ty5263yxfgzEIxuaTyqQcLWVvm/rNvVC0DPVRW4MbP1XFPk93rkvE mvbSkeKrjJwsb2ieXAFGKbfKilpy6AFKTtVTe68Sfe43Zw9tQAEzwzZVhu9Ya11FoJ3ujtWI4u/6 qIj677fAeUevYZ3fEns2VuFJ3oGcXULCIFqZcN3M6qi1vZq9dNTQYaFBxZlOnJ9qnGdOMmfodVQ+ H6kr+o4lPMgiUuTGKiy8F16XCzU12FKll6/qDBQ3UlceU08zK3QCHWq196FVyNF3dxjNt01J+JFY K++CVeE3pq9HlhPokMtes5f+E6cuiCMH7e5n4xhq+519kc8HtdHynTTgsr3rJdfm2Al0FslIeG20 9unKgUBFeVNnupNVDqxAr6REL1YjLelZhRklV0wH7Fgd1lzcsRY80E7GhfWGWee1Udrq5MALFB1g Wl/PJXEJ9I0ZodGAeKTe+V6bHxDl7e1ry0L7OEO86EYrxMWspg69S56fTNE8i8cYJkKFO066MyvU PO/KxavhsauT2NY+cS8AGtAPNAGIbft7wTNgN/1UItZ1Fiay/XJsCMqEAaVpDapggVo7B2ujvWS9 aUQ7+q66YxXOfRFpObQEpRzGOXqH91WbHFE6lcDKvTqzIsbMPfAEzW4yu4fMmzk6wPjMFVhgbBlh D/oKIh1N5xsWJdXLNXgu1FHmFwWhInaPSnYYYExlQhxz6row2pr8gH+pC8ssDK5WNd+ENPQFbUMN +nLrDGkbJ5msa+/VZ8el5cAY9PxcqlsNK42OpzQKLRdAGiqBjhkAftmidMqWsv+DtMMBoSrJhMag mZJ4a9ALjIODZCCGgr++18bn/5d5BcwMmZweqih3N1VY9QkF4avX3qpMDxWMAzxUUe6uzhf6wPmO jv+ARdcaLPWVBc9LeV5UYHwHojSTg4wzBZX5PRH+oxEfk7sPyhpg/UH9zROpzef/Zd3E+TNO5p76 E6mQSpqTml3NqwzFXMTR93qAMrwYK1KxBrocGqYJ6M5HQBfeTYO1E/o60pAZk2rwB0g0SgGb1To5 2WIVYmXYUR2duxWBaiqFniOkmoNs0pv+C5JN0shAupCeczDK3KlvDv5Leqleifeu6hUqc8qvQl8i +epRzcdHi9WZRudknl2qAAib3FFYvqBvDcjGll2h/6TvCU5BGoHNwT2LgyoykI8ZYojOzOeDvWpd vAxhELQNSehoe7Ci1B17K3STy4XMQJlLkJm86y0gr3aDFabu2/zD87ijw40LruKJ2rBntbdeBKM9 34DWBOCKVOmtU616SgPk0Ch0EkE7UEnBkJZNLAj3FCWjtfP5SL3Ew0VgEpXO0Wr0aIVmoYwz6ONe bt995IvDuhh2kGVsGcZuoYYs2v0ZbWpteCCibImp1c5l2oDuDXIPHeB2aCA6SrT6K/9Vljm3puGD gY7Lkm+5qu2BXeh34s5ZuenRmu7ECv1Cd5GcZ/Yda+3ue/tul6s8aW7LiRUYhgL4o45Eq+uOF3gM KdhGG7W2gOiUFn+meOJmznDx2eZ5lQPHUGyNbKNKc6JfzYkGbVX2dAAG4fP/si9kqiFk7r3kxJ6h clzPjviH3KY3cVLvLo6+cQgocQ9NQ7tkTNrMz2iXHKSe556zmnW8Ok0j4XuFdVsBrAGazZupXS2v 0Dd0suXcisx6MxcGL81A3RXlVz3zA/4VLKqOZk60kq6feI7dQ+mx2sfV/d6k3DNeazT3ndtoDVu8 wtRdpb5mVEqOvmcREz8J/QfPpst4ri5etitoeZle86ZMpU59YCCq2htVh9nSAatQqw/XQtpH/5x3 z/yACNfwrRaL+/tJl551GOfu7OV0l8jBP5qA3plcS7sXOvWze7BiYLvVZkq6o9exzvSBJ6P4plIX Ym1mUbAZBeAKALKrpBd/6T97z5kbfMdBmoVdw78sq6R5Mjt+QnQifit2QEWFuHGPVoyYIeN0bheI K6tsvxXO7ZWsAtM+i1asACMqZRH1lKPfoc8B2HiHgvz6WL0mIwia+hABYfVWkylRlQM3UTRE2fMa 1PKSduSPOKcQcmBOigppYCeK/3yLUUCNdMC/z2MVZe9AFHLb0tqDIGp31ummSiKryRsO2xarCDDT mtn0fY3eqIdfUUUX/aQOmcuQAgItEWnLK4Sc2I2C0+2lo5sOptSs8tAGud0qMs2Ua2C3M/kBUTnZ z8OiTj28hL9mVlh7p7J85znCaGX2NKTp5YoC7dzwWvVohVh3db96V7+U41e94aQybOlAe32NLAgp 1U6ANyOa6a7Dveylg3XI6kyXIDEH61NgUTLnOn8Sn/8Xyo9XaCB3H3foHBqLSuF6yfZ4/4YnA19h UuAT3FbVZmJnUanI9m7E5l5u7oDdG3iuKp3Guq2Eu+jn0zhtB/csQbFUew+tRbsw3Co4cFDtHTgS qyCsxeeDrmpev3J4mgLgZL3hisvvcoMc3dHJrXlB+eR5yZBrHZAaC1eYwyt/yCQH2aiphWtcJzMH YKzV0iTavtRM+/rBrGhnp1aWLJhfYDCqTQuwZJB5zoApxHjBGoGZIhRxJj8gcoT8hq7BrWSV61mb Q49RVKtZUa5+O3RlD/QqurlkASfiKXxgMno+SrLp8Ku6o+ZWpXwA4TEozkDspBhnFa4PKUFJp18z MWro5MBj1Pd3IgU5WF2YnlvrgTYEJqPfq5Cg7wmt1KdbGJqMwp0LkepubdQJdFV1Zl6q16L6gCIV gd7XxRblO17ATIMfBtPSBk1LU0ndzHBAZsi4HdvNcCjLClxGtdyZXjF/ACMjSxoc0F0ejNDc4wcE Z+EvX0hAm2B5e2MVpvBNd+d8zbKI7dFtRxcfq5A6CiRwGk2eN6xUn9F2rHXe5dxweI0erCETJHMu PidZWJQjJCtCmLVU7JUjFIh60JSOgw6dLsMVLs4CNMFNjR/wv1hoNuKR5zOtQh31RhgIjZoN37cv g3CY2xj25eX10dhslIV33JbbHW1apRO2WmDLhZ0JhtIijRdA/ibEZZ/yTKYAO78nan5tCjORG7cv N27sRWNXHIPAYYVmoyQxMDAEbQ3YU+Znqwq11JmM1p79nrOa6VbUQXqDaV+jJKeU4R92o71XFbQ4 +j3nXMYHVa2BSB5QFZVUDHopG5ONfF2/QtsCjDN3FftI4eWgUJ1LR5MtDQwic2g4+piscP2h3DfS M6nCojsVCWsvLrVXe/LeF5rqFiqAF+0IDBxHb6iaFd9bvqDRk7CD0r4lgYL2Y+vq5hA7DqWrH5ok e6zmtpcOyF/yL9ikhVfWDWXcA80KXtznCTc/ICgmX709xGUQCdmfeRUm7k0c3nkb0O64jQ5F80vO APzGghUl7lbz61uy4RwfODIKmPQd3chIBP+ohAadXeX88Q1Mb2/XCwYSOI96KsrFwKFIBgU4d2lr nr038/mgjnVF0khmAvXtpCjXHCOH7qME7k3Rk00z/KrJrVpdTW4CiGqrMALN1GXuWbPd0UvvmLG7 qfnVoamjxBUymD+L8pj5Ud2bdELMgf8o/pN0DE4f1KVAXYcEiNZBCwgMSL+Bo7TwgW1fJgRNwfq8 JlfnPyuL+FWXzaxz5NnMmjndFvQuzUTAXlOrDK0B0VSrNSvq43O/QJxJiVeclQH2a/IZgzHm2mcH gE6Ke/zRRPo1scJfSpbqQi5ogzarAvUthukcQp/XtCqjg6wCFkxWmFgsQ9lPWg2/plWI0TTj6GbZ +skWPVvf9LFG4xli8eaQ8ZpUZet8n+ys6TrI0QsMENsDlozaztiIdWkeCJuk1XF/M4OM1bn0XoOU /p+so9kmskG4vpOYNHmynWn9ec2nsvr7FiTE4/yMq65sIXrNpjJKJ2LPV8de7VSultxqfvBhh1KI XnMpTKOhxCDlcUdPEKBBuZFkEKh9tgJNuXOx2UDUngQBBjJKO2UV+ZpJZXhs68xrxQeVjU/WmZZ5 R7fPaxqF+Jrjw1TRuCNjYSsCEXpNorJp8JwLxtzOKBHUkSXjZEKqNGc1JN9rCpUf1H8Z7Y620M4q OPfPydOuwogkS1U1QaNiLt61lkdIG8NrApUdnL3U31rIcIv+WFB5lJaf28XnNX/CXzt8EnmmCR1P C9Fr9oR1RlejxsuVejXV3EfrOBuaV4kX8Pjy+Q0mkcxleutSYuB44XtQl6JiTcnQQFnMMs/CoJ0Y IGJtcNtWX4sSDK+pE/dtZeJ0E+NgxRYaiv1YE/41ccrPxcWeOv9tU/WaMXpNm7A6CRkyL1bFyOyy WGi5GjowzJB7bRCjqX26FzFuONpeBFkpsLrIAgf/jWLK2LaRtdeTZ5AK6a3SJFfWIEbQp8PxT/ws kwEJwlSo1rK0M8c52V4Tpmx0GU0knYLASpRuUXrNl7DRSzRu7eRRypeRtOhjKeWq7erpr9kSomRk iGK9v/KoCqB6gJgU4jlwJe4m44z0g01ZEN7ucuPl7jVX4n/o6jDYgKJcm9zoNjYk/uO5AfTPa6qU n7675K7QQoKmggXpNU3Cni37vrpvU6Zt1xky98P/kk6P9uxuKeUsd7y1YNxXcJ0+q+4caZs9EbSw cGVhct9YjDTEetMLv59rkqi4PQZcMoexj84CZu/v/Cvj85oj8T3n16aENt0qAL4wSq+VTSy4KpeC 4uS2LdFB6cJcgfnN9gXV4oJ9WxSksw5SvqNf6NAoLJPKxGTJb9apTlqDXgw0987f+GCKF/1nXqua iBK5y7lTdCF3as5i4Zy/dSXRP6CRGp1t6ftsYx0qyf+C6iFBkMjZBvvBKwRtreU7t+wvRGrrBgt6 rWYyQzIkgvnP7HqDBDnLJCWmAinLotLU+UjUBztk/1ul1sJ+lIlfa5n4MZZ8eAkK6qKtkLIMXyDm hmf/+7wWMrkpFZ9Ii4XfSbXfu3m/k/9wdhOifs54V4U5v7arwqzm0l4n6s0ZbVG6bQj1MY1VNOtN JZGUgviCmhMt70wpbvHq1yCEDsHGqwuzsl45WnRMtTMNepZfrc8u12Dk9mNKce/UP6y5uzPRf5tK 2/CIV5yijDsJPHVhZtOKJ0iWapnFC5nMxEQ8iuIkdMFaJk28HmniBgYFFMIRp55mLurpEcySCYlF muntYpSh+MrBDk7SQ+8kPXQnDDRM+TklerLX5534h20m9a9kAC0lCitZnN7Tbsh2FPal7ESD2WF1 +ZxcHd1ysk73sn9n/WVrOp9omCjMuqIwUAtD8tV40FGQv2aJVgG/v0Bny6k+TZch+HAQJpBd0Wwp d1CYOhahMPybjwc50/AbHO8xZ1IsnCEWpij3rrjorbNJee5NeQgzgpyWJECEa/iyi5LvLmDLKCZk Wa6Q5aaxaGrEd4Iinsydp0DVPmeS1FF7tKOOoiqfd8afbYS4Odfsgy66Z0EXylHUAixsnH9XixPh UqwzQWJMcXpPwFFI4y2OYC6bTv1hvRffnta86M73DPzEiUtoNMqv2WiNloobyqhUHqxYw012kfQE WuTkoF7rnZZZ9cbB7kTE/qoypSvTelLnHrc2LW7PlyQU5II4iYXnKUFGu4RyphaoKAsn2+/EweUB 4B7WbuqUHV7dqXrAQL2n4XYiQP3MULDlQcHuM7NrwW4HiUZg0Qk8azB4+AGQ8XwgdKaMbUu3p3eu H9b3lmZ6v4PwigM+yhI7LeXzTvXLqNb+jhP8ywRWZJzCPHzztiJrNQIOZBZNmpEQ6XT0ZY+WlZMo ETdl1C1mmkbnumP72ZWFODi1k3hMiXn4XwJ7ktH3M+NjinR93nl++EN574XdsA/WOACWWxD8vD/v ND9em29msBXUH9KGLE5RKs6EAjAlq8IhPbY4IVF0QaFzNjk+KsrF/bxL+xkdeYcOV9tyOQQPfbG4 2Si9c7KGZDxb0wSYXW/8HqeB1mZmRskhGfOlwiVYHc7Ox9/Tgn4zKEpZUqQxkQPCOL0n4zjvmDTh VLbKrjpRFANvBtqn0oQ3DaJsfEgIoCbmTxp93dHOtTJ/Ym9tWTkByk5jSjv9y5m9643f46Qi3Jbc BAdVmlB4Z/qEgH3e6X1In0wL3NYd1AJHvTe7d3of9yfmTxQVNdrociPIsafHCVRom09hQs5DbJxd KN3RGO7wvzgJVR7ibVeqOQGlv858mnTLzZf9QRQ6vyeIEwHCELnygXEqBXItjBOxGFFGnuv+SjM7 vFxGvkXLKB3nCX3m7r5Vy3010/ey/xXpU7XC7jutz69N0Klp5Y5eJ0hnDo3KFjC85/DbY9l1aK+K zE/fC684oef2eWf1WZ52NvAs+Hnenj8NOFobrCJ/3kl92exUb/4ETRuceV5OeSf1MbldQuU73jUl axigeFncFwuenRao93zc6jm4/RoSKl8k1EnmcYCnQiPZgX2PN2VQ4c4URrXmxIecPhPTG3rl4IZH 6/rcaSOWoS7QpuYG+syEUJywz/Z5J/XxzGtfa2+i5YBGmIUqqoSzzot9qXmoymNiz+uTlZ66z6n3 lDzLSwNXO0EwON5uQUJqRBIyErvFBmGpE3g+XI5ZVb7uM0tz6j0n9yQK9VwO4BxZdp3B6vuhYcH6 vBP6GOrvugpS4j72XXxhUj7E/U+uLr/G8Bxq0nhZvuPtBipKyhMZen1OBYyjI8Z6OctsSTUdqrT8 ecBwx1LGasRrPjoclHd5J/Phi1ieW4MlTQ5GusKWZXln/bxz+Tj301ecFhCzEDCwOEVJ+ZIAhmiP TWoAXluh4rLfhV0F553Jl6+40jAl2XGVZGGR2UA4Jff4/HbnKM2yYEVHalPgabFHNx9zrHciH+eD zOjU0mxJtaSzMUECjxDMgu5KlJM/vQODl/3AxNrDFBbGO33DpJSgku/0u0sZc/lVmBdchimqjHfr jCf5X3B02jE8pxcsHNE92GsYWBO8Ioj1NWrot2cvX3rlIIcisrVOUvmqV3Bah4m9vLVPQvh5p/Bx 2vfvO975MHgUeZzeU3JzFwFS06dT2wbBgFnBNR4Hsc+W3XtKrqs8a+LC6XB0YigW80DHB+Dydv57 NoQKU84FwbedHstMOrC+M/iyeoJ0FB0+aGLA+FiWmWPtzzuBj8uufu/jG1eX7KnmO3/vax/Pt7RS DDaNUl276vLg1zPVfKfv4WdeTKGS5pVGS6Ey1BKaxensSUNw8oYtG7J+7ORfMgyMpPnGUZjYjZJx Zke5WSYq6cwiafygw/x5J++x6Da+1h24UecQ8/L4O3ePp2QSufE2pMq8rZb2bE9YFlp37ym5IwjO fX3vO1qcKpDRJyXv1NmFfsGQvPywDtxPEaK8CBzW9MZBqikFxi0MvvtKnaQgYT4pm+LjwXSav5Yd qk+t+E34nbXH027TdKbOO52cMdQrbeW4PZXarLTyTtrDsqM9z2iNChIarbQyz85cKUB7Tu5c4Vmv 6jCm3KCMRCoXkrKI932n7HE6saC58h0MRdBAuvkhOnp83hl7SFTHd8UApWfY8HmTPMrIm9Ril+G/ zu6ULveFfVklmme1eJc8LJDr4lIzMRcavQJF3yI02iH/nCF5Y3YrE84T6L2gseSFFZSbP+9svazW G0tPyQdd8GZnb5BaLuXzTtbLjx64hQkOh+c1PExRPs45ODcdvq1Qd0UE07BWFezGp+VO70y9J0wz SYWfo8LUWkKrtzDJTBXwE6EJKJc2hnYzItYFJyh64z/DJJe15S5rZ2M7v/gyjx4+Hu3h3zkBwEjj abe80/SwVLQ3tWEY35VEY1TvjvAw6peREgSM7ztLj3sT/9rSZFrA0femc0dNKKjQIWRAg8a0K0+4 RgcRY9xMnOn0552ih0XTlVkyc+KgKh2UxK0hXMrnnaCXrcV428BUUFjbL3fv5Dysuc2KUzb2Pvlm 2o8qrS0dX4/cTVF6T8QxmSSHZ8XM+hQzaz5xSbDxI/l6mntNgQbN/lnsX4PMYU2ESQ2Sd2YeLyz0 hq5MnCb+zioB61QWNZ9+zq2ifN6JeQhzyl+Ljo6w44lTlIgTMgrYznJ0fb2d4MYtUSz14b5h77Q8 /E5SdhudkhoaLU6UyJqSTEpYKS3J9xc1/DOJ8GrbyUDgYPCFozCxh1B0a/H07NymFxw5mWqO8nkn 5HGT8esK782oh6V9d/D3PNydDXe6BiG7TwfxJGp9as0BOKkoRaXxTHIP5IryHa1E13Bpm5N+feeY G22r7lKz5E0BF+31ogp4XXkn42GXWKLyt+KDde5GFwEB3anPOxcP0+JX2gSR8SF/EIYpSsOJZj1J wLg7eE/Jd/BWL4OYJqfcwcPK+JJ/SqvPaGE6ERyVkoPsIPReKF0N93HqDGMfqpSu1hZOVOE7Ee+W 6MZey4ekutw5Tlknh/jB552Gx4nxvegAogBj1+MUpeHCO8EP2qsEZV1tYd1+VSUoxrR+5+BlV9E4 b2rpuHTpprx8MySlCk1qzxqbuim3wY0sUymXEmxeykx65Qg6R3wKzhcM3Z1jYGJCDsUPHHI/7xQ8 5qffNV8AKXbf96wL8/AqYbLSLVC1MSWg+h2xfkLzdC/RvRPwcF/JZsyT2h2tnHKm1Nk7hgwcUE+p LOe1ChU1MKw3RUkvlhd/7eedf8f9CV27aa6+bAbIU23vJee7MTOfD1beXl+ZOFwzpvRfGagoE2cq seoY1/6xXqhqqqVedPj2FDOqjau52eWwbqMH6iRxkLYrZv9Yxao+A+oqHe0P2gW7IxZlDt6Zd/xt efNtVEMfbbv+coGktqWb6/POu+N7fk8otLDnvAWVd9pddscZbrLGUVRwmPGRxUa5rY4rfSEaM07F pcUy5Qwi5U/FCbAtkDoZp3Kyjc0LsCkE9rMwidm/Bx59G95pd9gs6J99LjtdtOol6bpWyl5DKiNt ft5Zd1y49Wsnh74InFd95b2z7rIJsuCKnUnbzGjTmeIdsoFKvf02odJqgYqScXOmPRe5fkfH0HcI dqOWBpowRLeYjLfKrRzZ+Q+oUl55Ynv08066wxeR8lp2ssEZmRCmosXaSVvX551yx4rGdyET3gmr J88M3hl3mFCskdE6jHECezubMuB5FOlAIeOHDgSIU1QZbwI9nbv7uKNXxjFjKYeLOXpmZzLwE2Cy GbU1R2HY5a7rlYOtnNoP2AM1ZMWp4YeoQmXm+nnn27FCt782KDSUJi4HFqf3fBzgVWRQc9yFV9j2 pAdIEV+KHiBrmxfPO9suOzcYXex1R8+gTqJ5MtVCGdMCLYxstqvIWnHh+Cn4YW9hfOiVo9SAILEl yZrl6ri4lQ6JZsxSP+9su/zfaHHoH39hfN/Jdp5QwBrsqdBlL6lsegeJwTmGlVTeuXbcoJg6FXGi NN7K00mbFs4CiMYXWCkY3xrF20ynFKBVdAlWSeWdaodL8BC7pyYftHm386GmxdLa551ph+l0m8Hk +ABnvMtFP70z7VjJpBhucubBLNrTcUwXGpgpM5jbmsHvRLvsjRaAwusdLU5nFykQJyZIDM26KZUk iDL/LIJoAWb1Cp2/cRQnolSmlB+me9HBUQaZBekZ4/NOssMCql5TEX347AXjFjLfOXa8ewtQkLwZ vJwUBfDhdgrLWNXg0O8Uu+ca3JJY5hwtTLPBsAbKj2c6Mc0RnoVymhMiJoD73oRc0ymqi3diehbY qTaoQgeeOeExZG6+8+vyd88cK4y5+Hg4GlFCTsQUcuTk/c2RvX9QeruQw+EJ+Tu3Lku76YSny1dN o4UJAV/n92aYMmzV5Em+gKUb+IkyGlSOESMy851aZxe0fVYLsgINVsg8B8USZ2zOzzuzjv/xVSeG NQkLjuhpYTq+BafJt6jC5S5JOwl3s6hCvW4UVVqIGy8qiw9REDneRQeaT+WiOxt6XrrfmXUFss8T pmsASWUpfE/AGmM9fDUinzgIgTEziW10RNl4PEgy+/fmRDQWAHgWpjAZJyvq/AA3TGMkq6mgr+bV guE6pS0qi6tbPrjL+GhnHdZshbAGbTIhWycTglpZKccKhEPJBWZuvXHAZ1EFszMXX91z8RMv8185 6ebA4wGo4FcuDnwcsngn/US5OFJHOqFcN9GHGZVIB7AwNSMh9Pdc3JDVKJiQVq7R6r3l/BFpb82m hJq/MGQTzno8rWZ1K0M0ifXCf/E0/0+t4KxlkHEZpc3HIzT0rRWYLfL57u6LrkeZOKVjoHpb7qK7 hjHYRnzRNYdl9gimIlG60eUUqtHL4gP+cSDlDtS/TxZVla2DIt91EaY5rWoFqAvie4IbC8nRq0oq 3/P9CoSyZtOZuBOPBxlB+eZq4izh/d7CFCbiVAXdy6YQ5RGd+LOzw6HPCb5NpaBHZfHu6iB53dFv wOOECpKt0smHTbdKBcAIAUAHg/Eyb6lAJLIoEZ/EO1Wp3lYaYHIWnVye0Cr88a3g+QD3NL/bLKgi /aAD55GKSZv8l8nAlkgBZVCJXwVI3nB0DRgNpuI9SsWnuFHnFlHv6Hfgs9ElCv7iDjxBKpfBHJKW zNImLFKu9AXvwD1Kxav277Kleotduapz0M5Gznokfg18QMRu/d6hwB0Z/bJbe8TdHOSRz+ZpwcTZ Z9kTPCZtTlXoYStSYTLOOQVB6X5HO+8K+DKsiCPJPEtPXqNtk2yXKHSKG51rZfHA61F5fKn8hNMR A7ZsiTmcC1fnjgVBazwfnHjD5xRrTYMmddvrTz1MxxddC6FuYFoOdBDTnGrdMNFo5RaZCfSQwkn9 WtBZ9x3tyDvn5bnUoMgMDBd0jgTA2HRaTZ0za93rHSmR+KKgXFAkKpZlfgJLLALEAKxj8eznXO82 ng+m1K0XEMl5Zih0OTxQUYl8AEF6JjKtn1EFLrzD/wcloU5wnompDKur9Dgh13m/hOzhaIgetLNL TxDHP7s6mlYyLGzEQ5/PPt8GbcOrR2qvHG3nyArOdkCJTUJgJaFSEnxJSKmDB1+PcnLagT+VlYS7 07Ohv+fkRt6ZLKTK21E4wP8U+R6R+4NtCrDgyg09ysm3rOSmoOEaL62so8xEKuf5fIj4sonA++9Z pYBAI8V045NtrxxEioDoKdmn6c51kFicm3cPHNN4/J8MPPy7oLf3etOoCD4OW0K8+5pWWck9XyGH zFWIkiYYT7b0IqyKHXzLkANLRcNquiCmzgRaWUH3iViVBDG+c4CdqYbW+oMfP+HCF/2ZSAGEYIMq KzNlSfVAzx+PR9DM7/mUAaaYI98JFaflauIlhQT8FvzILNUNymZTzq8A0agJFdXI1TgHD6Hd0SbU yBBNVtdlDahq6tw7STWo+KjiomznJc1B1muP4CoS8wP10QfVyFdDsetHPgJ4/F8gMTI60UmnWZHx zKPEHEg2SIe5Vuvc2wWfOsFJKhpQPk1E86hILnF3CPXNO9pmXkFPABsSx16HHJpcvzIyzs3GDzIU I7iQ9oTvCUqaXY4Bdfkg4CquQNms5DIeD5jm/Zeww8kbV+5eWxlRYt6zJPxSvjyguh0UDRdp4wFV B9qPuEQumZktLMrat7ZCooCMP7HwzuSqfVgXr9A1nj37ctXWir3yX4z8ZbdiVPv4TXWldH5kqv82 BCpErPxaeVCJWfMmByNKzblcwIeyItRqY7rmaJF2CIH2Fz0+ohq55HyhDsYkAdsq3oM3mIq+QSLG ACZM8GlmUorquOxoS7r2Cph2+JoAwyo+Z2PPs7WmCnk9f30nXhIXko7H/9VykU5IOf/+9BrUCBEr nbyNvapXfme9FU3K35JuTh4h73kjSsuXksxiaKYy1HZk1aCczRNaa5SbAepQWxl0n34GtOh2v7UV RHnga/4iUY/yDFp0qWexFBs0DkcMHP8+7SjAmS+CdUQpOcA2rBQ4wH5rpbGgmZZDDCb6u5pLUUo+ Tf1qj3xHJ0uhRt4SSWVwppgClJ2MCnVfSNyURJsAAX1JvhtRfZyiM+dXw7aGH68bi64P6C8zyc4l 4/loNt2+FNnmZ5FAmd0DFWXkrMHO5UIqyMiv0O9g91tsKRzkClSUkbtq5jD9+1FuAw/W4Wd7o6cJ QeRbKtOgmKG4ASWsq9m3KV4wovr4lE59psghByYF50PXnsbrnHj8X8AeJqS4C5cLzRxROr4Epqvm CQCsQffpNIfhMxoyTyv8jgg4Lt34kQWe1+iluk7k3OJ0Okl+qrbowOUcOK1wDDpsHC00fE3UvCPn bhJMd+JilUnaCbH51hu2pjAVn98nHUjXoz4nXVQeV5Nzp3FZLbL00qK7MIyBg0hRilLxKZxv5hWe I+ZS5u0OHn8lUwASesEjix1bKAJe8UNRW9bChIwC3/NX4rQHxUU3ZccEpjsJmajmgF7h+Wg2za/M CXzM+SXQE6fi3JzmuAzF/giGgaVmBx1EEBSnMBXX5W7ILlWjU/KhIVoW2wgQTzyXFtO4lwrrAApj PkoYzDBHmIo3NoO7hkbdAxE2JgRLMYfPj73wAUHBoHikhAuezDO9qjmiZHwNku/K9UvdC6ANiT+K RD0lZ2hMhPF3jbyPJEIrR2e2oOVLERWoF5xbq6yNG8BQZzYh4wSI4nonaCOP2Zw46IrBDFA+4O7d zvesoY1854Ln/1Va4XGM/QLEBhc0CsvkpAdPIrtUWqGMBe93U6IrLNcll8mcsZohuwg1tX5HK0JB gQ57LkH2Z1uhxyyqCmj7LUz9nNqtQYkePEPESqFwweQa5KDrXeqV7r1gTVU8HsSpOeCXefyCL9W8 GM0ZpeOVOg+L7FHGCYB6h/aU7qKPHf8C78EzqpNLrwe6mPmOF3x4rnfgnOPAQzmgMMc6q4Ud9CGk /7j3YFomzJDOyXsw9YBsUKDgoMkKVDsJMh7/1yZFqNhZuxTZsjhF2Th8vuAA4Jv5WRfTb3dNBbzO PHMbLX+GXM6q669VoNZTgdo08YLWLuV6APKZJkODDL4V1lXqg+yhzsMMC+Xkm9dGESgOSg3Qbu7G o654/F/kFh6QQOaBD2FxivLxLHuXfu1wjJdIIGDqjjDYiKfiFJbJh5Aq/5crhRpEOj+12lMTphIs r50MGmIUBOaj0X69ZJfe+M8MinJyiRLvAvZAvGwbr2fi8Yjc8russoFYGTdOUUZey5JXkDu79Ee8 r7qkX8MN0xLNGVI5rxlju6Mlmo12qJuJJi5TJBpBl47N4cT5BOMi7wrTuWuGXE6mBbMR+8tBZRXo ZIp7d3Y+PB60Ox89DE6ogr7Ls5GHNfLJDWrOx8r5ZgeSn9TVBaUHBSqCrCAf4ZVF12COPqHoP4W9 EB6y0KFNNqEyYLfoOO0n16TP54wycnlIdKR9NlhmsMrS9jTHwONRme4710SHdONGalGKECuApdFK 6eZQ1ZybkWve4261YYnBjArkVqXbQ7JGe1zPDZDwsQUmOu2ebHxUoV0TitOTaxDS5FZU6TruopQ8 8QK85YuwK6cNZtP5a2m5eSbI4Gv+q1rAHRs0XBxAFqaQyZmGCnPX9SaP7MUniUGyRtfd9WaGQofK n3aSdiZHb3dC3xTSSAgTGMJSgmhcJciXsEvUp42w9cYB/klVcSlnc1C5AHS1JqT95uNBmNI3PBPt MlDFPEwRYgV5AyopDqc7z0wvquAPsTW3qI7OMEUZ+ZCg0zaYJse7OUEsD1quKBcgZxLhtbIvVQqE C+rT6yS6GV8U4KLV69ziuSB9VwWzp7PbEdqzzorA8xEC6lt0DdOYUFILVJSPUwtpVm9qwlO5OnWj DqbmwB3WS92YsbiKRGi2EPccHSi2cVA3E6HJQhdQ7Pj8kjSrRD31xol+JDNUOxQ5Man0m/rFrCSb TyfZ6Hg8ysYvGkMXl3MLh/S24rSibJxaSACtTD/t8vaWcB3t1sazX/FWBFoZxVXY9x0dx7pRJIBM K067vUpTWaWgS8GLKBiWJhki3YIVlsYBH8+QB/HB+FK7054HbfiKxyMq5/rKnQZ+p3nlHVZYGm+S zvTi05IREaMEJQ/bxGceHqUQs9INWpDnHW3ZZSJKSAkHz/zkOUvtYGivroXD/QKgTDlzxUKH3JxK NwWak49pEz87nmzx4KaD5yM83bdaCJyx5rzozBVSOSurT7Nc1sZ6ZFXKnUygMVqYYsyKMoJpKSYT oJ9GJbG2BraowasdVH2TKRqdmdEpxEGfYM+cziXps2LIiiSf2vJBEKgEqSprdvLx/6XmC8Mo9PQ9 TFEmzpopjEgd2rMIFzEd9tQsTP1Ce1bM5XSX03JHW3NIaYCXYOKEpLsqbUhwVFxJNPN92XfE0624 NN4kpkIEFOCVrJni46sk/SFGjOcj3OH3dMIPejan5le7FaXihNqC5LP9CjxTs6JKR+3aSgW7Wu60 QjKnTrnlymv9bk6w4M0ZzU2a6JJWxrpTW7hwkL5Z0nMFZi8LXxQV6thjkVELXON+GgnCHR2pwTM2 Q3USnxDlT9/XFiB+Ke1ioYqS8cQ0s3SrNOEfXZxuDLX06LA4u/ERVqisQsmCDjfGO9otuEMUF7o8 wGHA6VbglnPp5S0YblMFuG4zDaRkF77oTymMkboPugWjkTDtH/mewZy68EP8u6jKrH6xrCtKx9eS B97Q9n128lQN2APdh25VlTGyidOtMB3XejrXrXFHm1JAxZ5b1B4S1E7SZC8QCodUNI23ar5S0Vkn Xqis0qX8xJJmZ4dU1+DzE6tEnlLD48GJtz1OAr6eiQmNbYtTlI9TSx0qo9fMhshkifhRcJ9xWryp Mk4hgryb6prEazk6uGA1+ASwLzUzsGGNJ14RshObc0EzxtEquGThi6IEihTFwYbLhCbpUNY0uloJ A2W6FZbI9zcPCKWKvfM98mJGJ9tSe17hp3phmmtck+FVhon7rrBEblr/W31ejhYnWt6dSxDNOoFk FKoHEBYKtOO4o/cTu8GF5ln4omAzFwFvleyDDrp0zk7WNk8exfcMwAW/rsGQlaSs9t3MY0qn7Fe/ UBguF90br6TS9wWNVpEKM3LJRA+phmi0SJ3Y9LoBnsHK64XWg6jTEU4LHBSkz+rNohSpKCXH1YNL 7g6M1LlcpcIsu54rBh6PZtT3zUX7abtZ1I5ScpR54bDR620Jl2JXF3ocOvJ3WQ9vh4KH5nurwq9G X3rn2pJQpuGUOglPmyr80j/6pKHIv54uHhnL+KLojkfkb+HdpeIeamohEPxkd6pOPh5U6pqnB0Qs oqBZbhdvvyflANTx6rKm9zo72TWM05QRpQSji02oHeqrdNM7rM/oS28tbFqg4JUMIcRCsPl5R/xK De2Elq+PG8KoVw4qUMQczjzuYJ2EQZSs6bTv96zcLFOebic4U09pZYdIckQXGrOuYtA5eVXQfNj5 uHlZnP7OyoEgGHf00grc7FAfZed8nz1GVEVK+y4oKuV6HeMF/8D3BGFq7JnDFM8GZuXA80sg4+x8 A4//i9Ep5RBcfq5czw4ZneQlkNmlMA2vzkHe170mV6JtCcP0N14FGkb5jp6VF+oYMCs/FwzAQat2 BWRQGWCZ0thH0E6OzRJfFJCm2JgaKkENL0Gh6VyaZI02plOEWGm/tOkAeV7j9qV2CCI3LbGZHNfT x/ISVL3amTDLsjiFIHJpiCXpgmj0vtTuYDLNxYJmgfYMs1Kgf36Qnf2ML7wv+of4mujywvZdUqEO WoksnJ7NdDQB+NApxPP/AolRoxZKKavf5vkOtQ6bDEvzo7nWnecC/xCrQC1YyCtOIWBFGis5i7LI 0eJ0zqSzwjB50G9pkLFWeRwNElqzL8qMSsAA6Qi+JjJKInJcniQctOrOwTWbaC5p4fHoLvxbiZXt 4HV3pwixwhQGzizb6UDZsFBAv6Vr8QpvIIUplDrchjpUPWDtK4kBp70+iKUHMBZ9nCVpOpjeTHIc ISuGRg8qULy37Kg+XqgZQu80GwycCdkNpuZnz8Pj/1QfZzKRsfLuqgslVooUIZvpGkF+rfhsqtN5 1IMWGugG7xCyYig64Qw0OnWD1uYSaW/wjsgSAgaS+WdMOW90FxilGTS+J6AqCpuZ2eTkoDDBeEvS dCPx8eDa8pCB5DhRz+5065k7ysfHFp6OEn5SNkpXiLUmr/ueQHqc/oasnIesnlm/6pkFeNgsANQ8 Wb6J2hbUVs5MI67iAla2vfBfu9MYTDU5iPjaW5WH9PnLBx6PbsHfCn7AnEBI0qMUVse/NbW56Pa1 JEmjFD/r2vSzLkKrEJJduPnc0c+6dhLwPdhtOZkTTG6k4AcJ4UG8SCbxVYhoXoJ3qK6izUnK2n3b HbJB3jBL/6nMgcf/peCHBQa/9Z8FzoriJIjQ69eOIsEevwaTxSQQxqIJka7BOVlZRW2d11dg4tjR MbijkkwoiS1UUeABDxLCSf6pWEtTqZMYdhp1wxRQ7i1r20sH7Nc8ifPllGoJ3HLd66AzRZUhcGnT 5kf8azOXBS6a0qvnG6+oUE4V/kWeienRDOr6bdQKe64Wr1m8YkejrSApl7V7oWq2RoeM9ZwhJkVS wsRVycl4zDMHDYO702AL34bfFAGhsKFjn+MAfw0BoU7oCn8l4Pv5AcH9pX8joTLVi4FKv+EKrYE4 vcyHSw3Qmbxqp9XJvsLay8MVQsklrjaaqYm2/pTtkFiWJWvX3uEeK7ILRaTo2lRQknVBZF6K8U1/ 4cbGlgkHXHBJODwT+ix3OZyfc4gfECjT5F948nMerXxxPujvBGVzvPWi051Kd32VaiWplAxOhvve cl+JFNpzilvei1rqHA1jdybDOifTWPRVPPfevFXjLPSeRFGvjrLvhW/6SwenIKEZkLT2IWlPB55f EM4x+Py/Kud4EioJ6/HozClK0ik7Pdcs2fetlLuD7Eq5cETA/y1WUel8yApvqoJiDh5eRDjZwoSf G3iNCTaXVXUp3Hwa3A1rG55/ljyWvXRAU2CLuCtx4KDrTBuoNTNZGpnP/y+0faYq58K1nl0+LJ5j Oswxe7Jdq9RmTCqIazbbtdoiarrro/62wMl13tHB5dDX3LgzQ/UIU0wYMkwGnJ24+6EzJsGMQtwn vylCJZLGWOiOx0Eza6K/Ji33oecj+v73KmS2cz7mWYahBAttzlsiCXY/DibgqbZFg0UhafbwYEUZ u5KHrk65jRasc71sZ661bbwzuoOjkEe5pIZlWHL3pjGkkeylIxU7mQjnO6jwUs+FnFqiQArw+Yia /ruBhdwfV3cPVizEsiR/lET6XDTi0MwS5gszC5YcN38I6+jb/HLXuqPtWTDggvAR/XITBEN5CwSv tNHf9JweUMm0PavUZi8dXHDYFK2TAJeqVICdg4X2zw9xd43P/0spitQFdmThvOfBCsEtqwg4Pb2y MN0QdqT2hbzzGzM+KmIvaGbRVM5Gb86cDQyEcjp2nd0rs0FyNplFrLf8u74winvbS0dC5Ww3ANxg A/P3czpmAWDB5OLzwTKsv2TaJLdzi3o5hYDzIkhCdcGotS5M8WRqT3mhVA9WVE83IswwZTuOvgzP b07kPE1PT0pDMDMcz0G5Q28m437lbD1cIvhFUb2KpyBFfjR4rIA4Z7EhTz4fXZ09zxLx/1x4xiWi 5dDQkz+sI6XkppBuQb3uW9kbftvJgaOnmHRkwwipyNEuz+iEr0K8W4Zr5Rh2KQS7fFYUO9VGVk7a ur1zpBAhAAftFDgYUzaxL039+8nnI4EIvxeK+Q9vwatql0NPz0Y6f5rttrPWsmpM3+QyqxoDsRgL VYR0cR0yq+6Vp7oH7YaWKYo44P2y95aDc2fTDkgmTar02L3k2NNTrsy6dXNQpACK5H+BYD+fj6SB f1WLz5lMdIRHKkzdxZaV6oh29n0FuTPbWZJQRq2cx2Bo67lMFWnILICjRaqCtyc/3TP5Nwr2olqd Wzr0GbC7QZ/FQlWavXNE3RNPvWjgH06dtpo3j7Ce6uDzUSv5O2WAm8QAy9BDFVXWxY1Z20t8k+aI Nqm0gRW6LaXpoYoSdxmij649W6NCdZKPCVY6k1FUwCeJJlApG9APJhX08RAazV75D4GWEwio92kQ Y+8cHIIiwRybz0fL77vI1+H1nW4tNIfennRLBkI4+aTSTs5IibRObSQierX8QjpoVflqSsaco5f5 zlQq1AThTnVml6RMOQlmkpXRNTTpo9s7B/AE9tuXSW6t1GxSgdxtKP2x+Xxki+7rTxiv8wf3+VwH A3tPZNqEV9Z2F+Cy3ijBZldui7UUzaowaTdafxnP6LGCReJZbVXqba2b73AhsprTEZhch1F1f+e/ YHlL7VIOVuyDf6TKnKvw+aiz5dOKUTmzoI2nihU4fLoAgiUI/MddnHK1pFc2VWM3ylUOLT6X+cBW M0qvdu9A7/3EBCkbmekDjdmmlL1QChBY/Qq4iBf8VMUKTT5FkgGp2Afjz4KWy329Tb1ptK9/Z6H0 rd39OQFDmRYBX75cGcUhIpFvT4d6bji3yZUxR55C1pCYU3VPjs7kK8AgFbqbnFtTNtRsA2fjjBlY WoCrzCedjhj8pmhe0ZixMVvgYIkV+mUqIqfK5yNqQ/qaV7jhr/5UGQKnTyRW2M3ryC5nvqrhzypK ja4nBZ8bj1UIR0/yaq5t39GrfQCjt3sVnJMnKZxRcW5WZIAV0m+OEurNXjpyEpD4iOAvbvYJcHUa ItWyyhC4feZvRakf6p3+rLqfWMXeQtbccuDZOdbNFfVsV9UBehuaG7YGQ/yLKsnTcDAc77UZDUeI 7sLHErQEzj3YwKMyCoAbFM8fApa/dKTxyhpfVXOienPifH7XtRlGKnw+uNw0x56x7F67sBP3IAxN P7tZDN26+9p09JAIUK0WrbWSFxlC188lrPkqO93Rd6xzUxrQR2AHOIEfohYXSTsZShs5szBqDa9m L/1nKweqWbRAs51nwNeIXHQYqfD54CTcvygh3P8uDCaHvp8z6SCkPw7zBzbBqANEM8xsxL7sNYbQ +JN8T9T4vkaP1dk8z2WZ2uYn6yuJ9trQosaJDx2AnyzjzyFXvWYvHe1Y1HUrVLjhoN29wg/J8oDJ 54O66L02C9c+f3b9ilVo/LmSsIyOKK57uq4bWZw2rwC+0o4VOH/K8A471aYWkEZfhagiD5BlsWNR W6+o4I5+asW3FyCQvS5KI50cWH9CsIxwmER5qY4gEwDQQEJdvKDACJcfEOXtv2y+sH3C7PZGK4TE NJLam21UtCG87YmdtCAxs8g24swKkepdhZi8ntF5D+faJ0P2cyMBaHoPoWUTyoPA/v9UQtV1FtIE mt8UwRi4bhbJo52UJZ6FC7wBeStkvem/FJd9FU70dzxWofEQ4K1LrEIZD7F9i9T95I0le5FByxSZ S2ABCgUA9VSrTFA4OjXr5AwnlZadMxQAzLaBPIclWbxr5yyBaX5RFCqJb5AXuYGt76a+sWn/CBL2 mvyAiHTUv/Z35A0T2pseq6jeTmjQRA3ggmb7vebQtUtVBrQuLVYxNkaQtJGe0evtHaTHTXYWHItL lWEML4Yb+gMQw7lQUBVkQh9QTBgQLfv0wdExqRTxSGvj89G0uvwsPAowxVy3U59DK9CmOkNu/aL3 3Fm2NwqUal6l7HW+wAvU2SLnemOVmdv12vgvBZNTzl8gR6p41TYdKpELJscXZ+50/J4I+WHImO2D sgbAbUgVP6HafD7K3L9NiHAZO5fLZ7eKau1AW2MqGbSKirnJITLkS3FWzbzuCgxr7Yb5GGne0bEf kMVdEqXuEOlcxP+fHB59kTQoRjtvFycvf+kgVgQ0wLdNQ2K97jxce2Iu2WvSm0Zo7O/GBHhEE2e0 xyqEySQqAPR6TffKYyeXSLLh5Xl2s5PLgSPow/sb/RktVn2hYAklIQBDxsn8ujTxIFfAbg/KMpZd UXmT3xOcghTzZvJng0oyG81j5e0z8/lor/p2J8zQ1jqRaunGKsrcE2uiuaVH/rw7Qq2U4Tt7puqe YhVW2tVLhZncHT2/qgkiFkUmOw3KzCLdDokmQEuuVhUoSUMq9tKRUHwWjVRWjudpzSuofVW57NTO 5yNc0a8CFnaMBCyEBSv0BqV1vFo0XkCuF3+V53R06LjHYGgOemvsu9/RJha0UM52vjixgA3J6vOc CZepGEXRQ4eHEmycQ3NQCjQmHkE2aGeHLQrTdpXaA3dQtcssVIlrkGb2d16F/qCykEEh5JZFjSLJ G6EjHyGOafMqMAhFM0ihKldf/wuFVZGvE3HcUXnNYp5WXC3GZsZwgdnmcZUDh1DgqGhytQmB5CD2 7dlp1fo6+fTm8/+LiUyVwMdN20OPUHb2Fyega7y02xlcbd/OoCt45tAkVHY7fdT+jF6UObsVTkUc gmAQVbbIoUSFzY/e33RlsTZqV7cr9AmdwjHMxHyUvAoTC+qJlMaTXfXMD/gXuxRxyVJkS+1ZhBFS ZlBUEMhEZ5AQqS+plzUv4v/qduXYLXQZKynVO9qOdeZWSoRF4jYIIKxkAhKAZ/CZO2+PrNjVqNSi D/xCzzYreaVEuysYUv7IlAiyOLXIPA6FmcAwVC2zbwe1kza0J8GKHUPFgO/b1TyTpIdJWnYDOrTo a/JgxaroXFrTtvf5tb0XVJFLkjXYOPc1ps4ozFA5DnWMCtyxq6KXYS/9Z9e58Eo4+fMJs4bKq6zb 5ln8/IQIWPTtv4O5vfp8plYIlSElt8pajmL7MgDBlXCO6qXkBvUIi1as+qIVuOczWuqeJtBkQ1dC 5KZZQmdnzUlRVlSyW0qmLFUOzEPVjSFibftg9NKC9IEJKcqjgXuooalusQHqNGNefeYc2ocOE4Bo hu6DboeXkjc9PVRK5saiWEVImdaMedPSHS1WZ3mh2rIapalOctWNyQW00rkrooAh33Wj3nR76Yjz Rs3h2SR2hrnOYkOG6Rs7z+dcnvyASDrAj0Nq2UK7oMxnGYZ198rLjVTzyMQp29WEGjHURPeVXO8W HyNluFfNKv0ljl6Z6Q3O6ItQyLIxt+Q8B25EbiDXwsP8LsO97KX/VqgigJSDJtaEMK1y0tX5fLAI f9mt4jek9r/HKlaBqY+AgDpQs3l1lLhZKn8vNGdUl4l9RG3LqurAc3RKV8Y0wPIGwxKdPRnSJiip naQJSjzALDsES3X30EgUvHIch5xffXrFD5bWUxfovRafD1qq+ReEuyRKpV8eTg69RFl1ppm1Y5Ln Ko6FJKBWgDVQwy1aYeVdBLgqe1qNhuFm03ECbgePglqyxKxqBXMhD27EuEzblpWF7gvcRLFlIVpp Lg3Q2GeMzs180VYIKjiTHxCAbG9pRn1F6Mfuu7+HhqIC2abu7jyTE9KSUm1f7EDPZs7QOXAUhRoI 83aQ9u6oqdXObXyh6YWktEwoDFlZsAATAuQI2snuHEbdnBwYijqfeU6W3Sdr31h5Z3PPanoL1RA4 iqYvpV3VUifEUa9OXA4tRYV1T31eq/E23Lj+pELFq1gEpStUEdZ9qQGdhe3T6AiQ8/OfaU5vQ/AQ +xLCFPA+dTQz9QR0LRxKsgJPUakKo64qD0g2cYV6mbUIfQnlen5AcBT+UveC8fwqTyU5tBWtVXi+ 1O60mndaIc326mjyekPgK5q8q7plRKDRaV5wuV4Sizt7Sm5dXWr6ldFbnU1oA6ulYq8c4WvZ9DJV 8ImI8E+eyNOSbObK4gdEMo3fzsdNHK9nWkXJe2WLYufmylVLqQKLo9JHZRlrJker/WEtqoLfErCI o02rBMfMjpIFbtAni6iSHWgQtpxsut/SDLcyvfJfyul7Eb+9XTazwqOjWksVEKzQWjTfNqEaZgWS YLfkHniLmmHBZMvczSCHZ+7nDHYm6oBQuFKGP8xF1aJPed/RQe4nKTi/uQozJ0ypCNbQC9oyKJCR pusXaFuAYeJOE7EpHtN0HhMKYxTUNjvIHNqL/pfiUAIbNt1+amgvSpcFuPbetH0Wl4dZayuAMJ9Z njEE/qLo5NhNsO07XqLJyRg6cnToE8PFr8koevICjc29IMv3WM1tLx1wvlhABlkTA9wlV7G8PdEo 9QdCd/yAwM3+V99rEATZyw3Wn55GEymPN58H47Zkveat+smOnYIVc1KVVpkooRQylV4BNVAT8gDA ZeYsUsGsi7fHhgIQhY28VS8ISOAziomBGQUUMwcYQrB0BR2rrbYfig2h0WiZ3/lChivVebcnGQ29 RvHFmd1hB8y0R+ByDp9alJS2VRgBZupUw2vnZ3RC+PmbUK3t3K8g5NEMs3aWihR78yO1N2l8mAO3 Ue8RwjzWB+tQJLFa0aHIfP5/wYyCXgyy84VCfl6zq3JihefgROewhraTLcOZH03nDRknxuo1typJ kOZ34a9xFtsiTx5CA+d03qp0JWhTLEoGzHUd/WgZ/ZpZFSjqEFZUrUDanBFezuK24szC0y9BKmZT RSK48opFdH3V//J5zaoQor7lQuNcnF2nMy5JJmXPedHeQtMpCJF1Rne2EGUPEUQ9xkmsJCE3Qb8j U+yk79gfN/Uy4ABlfhirc+W9xggiv2oIzjsI0gdXbV526znQP6/pVJEXmWLEzOnkj8jvLUSvyVTJ UnSae5pSHEK0/Kq8iAG2FZcsRK+pFGYRuYKjTBlocvT8YIFAem41SDvPZNlLF8W+KMCJUwx+Mco6 5Qv5mkgVtKh41q21fFDNmBBdplTnDPm8ZlFFjQxFSFk9Hbs8Qq85FCZRE3h2etM0qZjAenF5uhDo 8zFCrxlUQSXVzFR2u6Ots5NeTgKxiaLNt45FA7xJH3lgyBQhsm4/r/lTQf7DxGnzsrcBfyiSSK17 UxzsB5SOz2v6xCVTv0KERLOfLcBC9Jo8+To7+0+f3qgBREMZ+ZjXC3KzP0NT32idbfW0app3tHUG w91zI0OvlF4FJiQLcCB5zSfDboO7tnpa1F14zZywGRGwN4ZgofQyUa0FsuIq4tX2ec2b8LThhSxG 0HvsxafRa9aEhZayCElXwmNcySq10RSjWuyG95ozlaRgo8Q55h0d/QL7NFBOUTfY5zLUcZgUNERR RQf+BGou3iVNsmANYuSehmS7UbmvigmIeyMd9+ZJZT6v+RLmRL27EcF9wDpIsApRek2XsM9nwhnz bB6l1pyJtCW5QAm04Wn4a7LEKJm+SW13tMV2tmLg6Ki4MOCpLW31tsgcSTQbx2/jy413u9dUCctN yhSQnIaaJe7cMl8F4ZuV75PD9s9rpoT9zJQpNJUgi0evMQXpNUsqVgzB5nd9nrbvSOe2MV3feiK9 lB5xuGeb6aOONY7ObDvXBlRQaAZzEoCzgfOmImxIRVAaa5EGVqdW1WuKhB9jsZzSVVzh/Z07EUFs Uhc6V9DxeU2R+J6+b7Pb3FF/ysWi9FrYxHHYVTGvdypt5z+clfHtW29gl9eyJpa7ILKUoffR21Yn Ca+wT8ElZeIKxpsvgIcoa0KxtqUHTkzj7s9rUZN5GOZQTdWGprs+ytg8FE/WDV3UIEb9Zki8zNAB byzLmT6v9UzMv1TUDPWdu6fbYh8kOqqWQqwjxTuindsUFqbz/x4jLBiut4mDlt0X7EOm9Ik0gBY0 rVJhYT9qxK+1TPwYSzJV1GccZBr/iKnceSzjuMqf10ImD6rylUZCmZx6gz6V3ll/xSAugENfOBDL U4ID7X3hQFB/MIJkFCejKXfzEe3loSmfQwdC5kR6bgB95eOQkLSe5YjOOoRqXQtmZb1ysOboi4k+ tA884uhAJ1DspA5FtOTm+NqYAPM+eZDPpnfKXzGeHoLjRFKanilXajSIVRkTZVbjHAVxGtKpSqPO OzpqCgznPKXLiL+iKU51GpZ945BK3iuGRhFfOdjASX2fzLk1GJt7ENyFEtJen3fGH3aZNL/ihJ7P 6vneS96zboh84GKSazJkC+rX1ZYdRKV8bxKLjfCyIE5b6hPbfHw5es/lHPyNkYAfz8C1moUD1gnW op0VlffVchkCDgdh6qZbyXOuL0P7oSTQu7FCNh8PUiazOV4GsR4/i6QnhSlKvSlmAAUCVxs8v3W3 6ZQ922zQP/DyZZR7T9nx4KS8o/eHiaFgTQ4Nb/ByeNJV0s8yGcQk2+qkgzI4X/l92XXasJMoZoOu ufBeIhqoFqBgw/R79K/p1Fllqp40vVP9iglFSBnArijkQ+uKksrtHrB7ojJvEKeu/spokofjaH2W Qhx0HbLHBOMoK3tAd3KRjYNrsTdaZtUbR7sTbylVHnTo6VaVeYHx4vYyckI5LoiT+Hcepww1kPlV DwiTcIGFKf+jeviTXs4nUDO53uA7zQ8nQjYr9l3uaNddyC9lysFClxEmgLruZqClqHNZwHh3ni3t nd5ZfsXteLY86LZb3dWK3p28Ms+h+nkn+ZVHlnGpH0U1+zX7PfDCPHxyGiVz6r0b1CKmc6g8B1Gj YsZF7yS/cqXP8jB3w3F1PukPMeH5xGv4hkIVA5VAA6HYZ4YYk9kcU5n3807xK/+fsTdZtmXXloT6 6yvyAzbXVBcNGmmP10izrMgEOhiWLX4Ag/9H7j6kGeu+GHOLwnRJTqwZW6FQDPnwQs6kYLGo1EwW Y75qivUqSF606uGfd4UfFtSpDOS+n3aWA6fJq8RpXroOI0FcFSD223Nplf3UN5Bo0DYG967vw/dO VLI5JDTmeFh3FQZ6opJh+s3rhaeNSZ4+JbZmBtCr7vh9mkBCmpGx9hyUg7U+lQjrYis4VF7uVJnn vMJTXaUzY6gbIHhX9/EzRXeXmg6wO45VujoXZk7Vds/Aq8Z1WGuQeJ9xv3h17d3YZ7FDrRNckWFz Jee88dyMBvrJYa+64/eJAqdpzRCs1W0Q0rQ+onIPy7XEn3dlH/7BIT8rTRIk8zwblFePV0U/hk3z gVyk73liJcN5Wu/8RizdglzWjIXCMxs1TwARA4hecpdAnIN0yBWs93VszIwq2Az9LlcqryAnPgDn 7jNwciABEI0ik4rhVeTxYCm8ubWqIN/ZoKVXj5OGsb7EOxxkkEdiH7xspRTOdsPeu3dFXzpO6bMY CF7iqTPRDIR7Bd+7NGnbSGQXPsdRunlILzbihM/Hz7ugL8lhGjpt5T+WtOvMjghF/s+c4s+7ns/q CiugjLvxB2yXXUK9C/owv00QeNxs15DzltWin7Px3b5Fau96PqIOG28qZ7RjcCljbVi1BGY5ICKi q/2EQ18s5Lkyb2Z76DXdstcpYJQh0IV1KEK7vbAqX0cl5J0xFHcV6uXnXdDHr97z8IIFPfvce9S7 ng8rOSlxZpY9VSV9pmpspus4mfXvcr60RVdAyeIZzyEPjAlGsa8rO+TZnKpZALxWVHygip62phbV e1W+yyiGSWPAx7ipvmaE+R/mFIyfdzEfbrT1x9sH/hq5xTZRblmuTYo6I+FPSDozuyCJY2Qqv7t0 70o+VjeWQ68gPo4HEkd/qdE7L8KdxFCDTlZRAbzY4UG/TTjo7PIu5MMPTYnawxl2WT6yyHW95593 Hd8vVJwYKFM0EP1tE+XV5RSUrV0otw1B9VYOASpto911P3YcfpfxETbQSso1n3EjdXDQgqcV2cAJ KBfFtOjdIe4FFUrfKm1LxHpX8fHdY/rj0KF4cDvi1gTDLtl3or/ileVt7t182CY1E61KOE1eVQ6T 4Lie72GpTDnh0FQ+9c3IX/udHV/eFXzczQ2Fmp9xUy/qSPIobGj5pqz9DFHzaJ6CNlICyyjbze2W nTJKQTPStNd04h9z2Me82cfPu4CP6/7XVy/js1tOq+69KEcnipNDGxMD6/ruRPXU6t6gIKXQe/de lFsGWUIlH8+4l1NZVXhvNPjEG5wmGbBoiEySy5ELHT4xmdVu2cE0OUG9McyBg75e0LGacfOYP+/q Pfa442Oe+sThJW54/F28x41cOoUTUhBqHruJEOqeJ7RtVWy+a/fwmOVIDNnGGXexCd5iiuKHrfIw xSkSAaTxkzYdaJ/aPo4/xDv2pomNqJgkzoanMaGngOY45aFrX54/78q9/cH5wAYN4Wb1bE8eQs5t B7q8cLaneuapmBkV23Z7e3ovygFdB5HCcj/jLjYT0os6I7GQ79qUUlCjPlrraSQxypPYYUV37BSb ZKT0OvIexLVYpVOgzw6Svn/eZXv7uPaZpvUVwCPc0+TV5OgTn7CZohIq2jSVtDt3OOTt7em9Jv+g K4lGGhoNXVlfutqKYp4QmxhjsB1//WsaTLtiSIeTMsj4fRfsEbzlWTiKkhI3JQVRZV12N+vr9fOu 1yPZ4tnfhLSiy9+abXKvJmcOI7w1doRvkc64y8tzV5qt7CS6d7EeD5k64hm2Uj7YSmH2Z4B2sUVm kdUdswJGF7dyVLwbWwHQ/fMu1kuiKb0UBThehSKP2Jl+3rV6+5v8mSbkO6FjYtPkclKaKKst7dWk 0BkeXdhrFPTb9yb+LtTbqF9qa0dMZ9Q01VwjKgHapUdY5DFZCc5dcIxtZgyJbGURCpLu+Os0JTYU OOili+sGulIyOy93Xrrwq8Ysg22gPU1eMU6V3kYJSMDo/ZRO9FwVd5x2YyD5vov00naZaiXpX13S 6ZfntN6k9YUidwcSTWSUqW5YL2ZDAAMyy/YWXppu2Dnf7djHtgcBdcDguyqClH7e9XncwZ/vHNwF ULPsWfIqcdbNI9JjzOTEWah4NuI3ZylSacVZeq/EN8MJxPp5Rpul0ZBwXdnkXIe7Hq2HVYv0OEVm PNZH6DQgeVfm8cTCSFogDRgANKn8Xt8+Rk/8WQfi9PMuzEtmlXjanIimbuAu2Tx5hbjFOxUC5KTX 161EqEWaT0rUUzXc912Wx2YwV9NIgp842t7UID6BZAovXQ+DqJxWnDwkgftuNRCf08+7Ju9072Yl QYXD1sbWwl+EMe/PuyCPdfiTVoCF3NZf2bP0XoejCjECWP4Q60/HvMe83zlS/TlLHjoeeFYDNSed 0eqmdTPoGJQgqlMfFsJeQCDrGQeIWvPhFfC48i7Gw/pnIcDEPBuseTfM/7msAuPnXYuXPuYQRuRp 2BvD2Zq8MrzK1JDuoGYtPE5oUdhZ9SAW7A+di40PlUspxjPa8bfTLRlfa8gPCuiEohXuZueakEzP am3h5BW+C/Gs7w2KeDgDl9NEPk1Xvjge53sZjoXx3MKhMh1w7LF58srwvK06N7Vw9MN4GuytCiaY pyDwwHGBdNDX2TxpoyDzuYHLI5p4WxtSGlQKYfonaLwwZaH/2gYzg27ZI8+xvpS1Yqd1r+pwBEtO kedi/XnX4PHj/iwwyVKsB/R9l+DhW1el0sg7fC73thXpeRvbrKok75C+dwXe5imQ7NzPuLvmDeAD NB+ACZDuxKZQQe+TCmvwu8L2FI50Gfp5F+BhfxKbF8EQGGAeKXeD9QEqltsQef3fDsDs9Y2JEnNX 4u/qO8Oa48gMTxTwVCyqIUMR1jaYGaeBme/iu2SWX6ny7LNHm6hVS4K5VQn7FhAYKahaLwv1q9hy WPXvICy6HLxr71jHUFw90xnsxAJDIZn+9fHzrrzDfab2fPOgUMTtGB3TLcUZXKTcd0tOOR6d698j CjTLXCwo8DH9UlzmNdWMWT50FbQI1oPI4j6BmxnMHrDRDQm28YiTOh+8Zrf8Pk/yqG45yiQQn3RW lgnpRWw1rYn6edfd8cXd/IKoJFFgX/OQMt91dyy7OD01KGogDgbF0u+uUKkReQReT6vYTHnVuOKL m7xgbNyv3iQAIONzRlpqpqhGWcsA+x86YwY9jW637JUGqAnAp9aQjAGFXjNdTaBJ/nkX3RHS2B1h 0u4KtPphF5rvmjusKJoJD2pv/kHbO3YC6QvYWYWygILfms2Th40XSX0IMe5xY+Prk4puYhUBSuYJ IEDx+8gOVkZb/Zzuqm75215eAgkZJcwdddzqUEYTsjl/3hV3WBnxuUORgo2uvc3Te0EOV/pKy6a5 M4vWurY3b5UDKZooo6C/RujpXW/HN09buJVQ8VFCrRPMOt/VIpX+KjStJqikn2ZsTAkP9kDjTbfs 1AZZTHGK9OcxNICD0OC+U3rKP+96O5YWuzYgNxP4MfAcmycXGW/0MIj1g6nEcwqO8zBW5ibUvavt PrUBedt7tINLKPjqFUC+63SBFp5QdFDJ/+ATh9iPtE/BwlTexXZUHljy49yDKQ8Q8MKKfP3vn3et HRsQT3FGBBcOPnF7njxofJA1Tpc5I9Slmk9pUDYfulNPiS/eu9Qume9EYmrXGfc8rQqsICmbRLH1 KU0Kbyhr0v4MmgymdEpy1hQ/70I7zhOPLAhSs0HzBLMgKl1Bd/95l9kls274nFywZ7aDZL6r7Min S+Jn9l1qDvPGRbTaHIcRnc1J5F1k9ynJqxTBGm2aKkQ4sRMZRzpDzPIJbGi185Vn4OuuyLWcPGC8 yoFM7PrZTc6+yrC1TYhPB+3mu8KObfP0eOtYjKP3YtPkVeQMhBkIE9kdzm4WGfjZufl0YYw9TX5F zretJ9IONW5QZS3S9YjJ6ll/FBHaaq3jLNf4rQBEvnliZGe+i+tIo0A0CDqlezAkEwYzmqbef961 daSJlUf5hKYGI+Ntmtx6fBItaGaICPKTQeQkP21UJbRqZlrFpY7LfXMV8eGMtomDvz9KpO0YirId NVohJWj0/A/xBD+SIoDfcWqCwM27h74HkTDWzqHkUvAlcblTZf5iHTKzE8xQmya3GqclTRmjn2ka 27NANjpm77C548XDxUPS+W7OcMYNF6w6KoRE1uEqFgqMqAX4RvmuQEowPuTMqTt2PnWTe1OveQ/a mwJCF5Uynhoud2gFB6PD/AKVRhLe1v14xTiKdqymHjeqUtNGMmm8YNgTpZUU/rwX4yhJuJpaV4nJ 0QDfVTRNLB2iKhPfUHltgTa3jldZ0Ul2tmtUtFZfqSm7P2ErYTdZ1ntdklzHyuTljqRlPjn22JI7 vrA2S14hzs4x6vAd3Rs/DPv0wZ4ipPiaJY+nIiZd67Iu0rhnacL8F5GB6//NaPeKTBZWYbu+NXid MzNphRWgA4bfcU4s1JBNMaLnZkTnVb5X+WvnnDsu9wDf+TwBr39WrXXXl9WtwxPZfiF/pD/xqMhk O8YW8Lp57eDVg8V3fdlCO6OdVxoM6aaMgancCMpfL1CWgUKHYHGeV8ZHsVm9OrzTC7HgK46Be5oO LHkVIfR1WgsL1zuizV4fn7qIRQ9rqj1TrmxTjMMZ85/tUhCKMVhzsb45jUStEq9eJd5370QoHcdN sV+fr5GTTnZYX4msuxLJXC+wg0Nk1/G+aHbL3orCFE251kFX/Ee2TXlCdEcOFL7y+APOPh6frx7U BWA97Jny1JtNARF9GyL2IWcQuWVFE0rBsctyVqvPUtFnTjJpjVYVxFV6rY8nrWdaQIwSgfQKNRC+ dPiW4Elvsyx+76oHj4+mnBjaYw5YKma5OXS4bRB+yrPjegfQDBv41fpT1MWeKK8YV+su13m8HEY/ S0q8AiwpRMwrSKC6Gk51W9Zl84zncLc2kzg786ARVh+l8Ij0e0Xi3B+Gj+9NKkfdslMYUMRJOyoM 66WtctYMjMLEikp54nrv5Rv/1JZq8K61iXIRcpyzRhZvAJV5ohD1HxG0aEYMqBWs5F5s5j57nPhc VUtYoxF60kQDijbTSCFcX7WsRAiEdsC4DpLyeuRSwLB5y867R/FdC3wFIev/I+P/ApPMTNOLjvC9 6lXk1FZ8mPZQtwww122q3ktyEO25p5FSyuqgg0eKWVtTlVhgwh+kQj+buaF7XBVaC68rWvmMtk3B I3m9dDToGSJ0MEMg4+2OTFhOqDB36glTxqsHkmdRWFcdswcCBmV9+IrYBSMUXO4Jg5+KKfjrdhD0 bZ48AjmBSLzdwYAVviICVkIwx7oC+vewd8/jqlinM5CvwJGbJSFNoOERmDIzaOGaTWVwIqiX0Sop JWz9dAQ4m/FDX+uoKC/SODaw0mMVixWZ3LjcW1D71RNiAH4YtmqbKLcqL1HkTMFOhIEtJXSdX9EX pJ9fZl43F5SHkat9Wcmj2qMtKGTPZmQOYaJqhtWWUqBBYMFxBq8dwH8hmuR94Yecs7DoPHLw5SCM fLRSBBn01HG5t6DG46sXUayM3Pcm1by6HH4Uv+m+6t3hs0dyp3HIUzBopbkGKkFRCWOGM57dHKB5 nWIYtFoHTSCgVYUeHq8C0FeTuLCsw+94iKYdX+YepJnCsWdIxhEjLncK8/ZE6mBqPWLb1UHzCnNy ln4Zj46xaT0hGxhVxInSNHkI+QiWItfjGW2aAqDrVR0E9lwQK86+TJGOOlAUA3H6tlsDDoAf8jT5 JKxI+kpzOFI+0IWORBzXRwwT5UPke6LIeA1QbZ3ueXPp401CnGal+TrMlGnraVV25v0r5o8myoPI o855ELBoJK+hkdgDGizM9ijCC9GSiNZxBkTpRnZrONkK2MjwMw6HlYV57dymqpwLSYQOjQFJOJBU XO61XJ6gAdwraz2tqeaV5YXLySRkoj+lLVVMuWzzvqidapUGzSvL1UBoJcuYbr1Lw2Ypzd5GgQMG sRV2TpVGC8cu5M7CsOMUBjg042e8Tid7wVXE6F1/rBcWNGLpNtrE5d7m9KQYgHk14lFtNK8kZ8IN rJs2l25WE5OBxDd2Sd4pJuRa8kryvm159PJxtJcOWlc2b8WlS20K9k2IGR3s5GBDMuci7pP4HW9v qkDooCrGAMyDBB04AVj8yzoV43pvNe3yibbA66hBSanNk1eRM+W0D5L/ecgbbFlwnholdDrk1Wky xeZV5GrJrfdI1QHHrQOCqVcXr2ctACDgcm5lDjVIGGMez75J94LmoeNmLIpuuw1cQgnfOn7A8qot cLm3M7VHTcCjcGobW2kub1zJku14G06eK7Wa0jHsW1W6gQbN440nMTJz2bjmad4hcgNxS6Y2zzkX WXJX/h+tNxC608Max6PBzziLKTMfhxsUhvRnRwlVacoQy4DLncqpP6EVRCqsE9YunJoHjtPcGiL5 886Fdrzn5tg+T5wwzZIHjlvwdkb0r0Y82UjW+CrhKg3B1iwho6N2sZ8AqHcY3ESay9o04UOE3/lS N+FMTekd3NhkkIlKhvwNmunj+r+5YRThY6BDH4cetxKnYXpgf9uknO30WpJxWGBkFPc751bi0kWP nNsZ7XCH3j0OqPwnrHq5TCoVSlRyPb4isPw6bx0LzOZW4lU9YB7uUGf9UQd4HaqKBP0wrB/4Aw6w 8qsrRa1gwIZgU+Uj5DLUjtq7MWthq15x038sIe50g5uLkGfrlmvr4binqsDtE5qPNVWjmlc7SPV4 UBEN3TTHJzpB+7gLkVOmSJcoDNCls7k51vNMQlbW/o7rvVbCU0cNaB7Nk72ouguSo4Zfn7NyUmVr 2IyVLs8sbOTUCHGmuu9mKNK4PI00Gga1PqLIO+PLxwjkqSC5irJysIkeyoGgpA/uLmGFHLFZiRtw 0OluHe/E8ik5ZVzuzFN9Vk/AQfunxuxeMS7r1VHLjhwcMi3gNIWYjF6wDmaGQHUXJQ9yhx58nzTa 926sjSltSh1MTlWHwtFVPVHeQzun4Gy3/E3N2TKjljhonkCLLQKCa8Llf9uj2DpbJ8I/KO72RHnF OCQ0TADYhzvGFhimKZftSueQap3O7mo5lYMHV9Uz2im49QyD/0A3X5CHuyI/14eKOQmEVfKH10On h+7j5PS0j+RoclBp0FY1FQXajYzL/8bD4Ady/ftnO22X7pXjgUyxukOoAPWPzS8YD3NDfPg1Tz5K Lm4m4lX2eApNsGDgn81WJ84z3PgTC5iiTKr+yZG1O/amSYV4ViGVptF61vpXpwf0BVzuaVue+1OE ne0sbRea3SvIocIB0T7Nfbgr/UgUpeRk57zsJOfuFeRN/phRKRwa7cWD2yNSEgbBgnVkLErfgBIT lDasJxDddk+YsV3dlXJyIXGvsEGoCljqTUurZlzuNDvLobJuKxqyXmyifIy802El71pzpL6bCV1M DFZRZeyJ8ggrykdoyTDy9MDI18WzwxeIVdQ6TZrlP1lPA07Nc35qTWZ8dq8izwpyAbnMBqsMwNxl t7O3hsu9WvPp84CccPSE9ix5fJUtNR+7ObVqhPipoYZ97mh5rM+dB5APY/wWa+OVTxuvV7iNdxIx kKZe1MBC5mNamyh9/OBrJUyl6nPnleRQiK4iEwdODtmMnNCrYBt6fSZ4m97x7sljTcjfrkfe0r8K OeFr1Df2pNQuYk+s+QxSidvo0HU6VH5EoBDERltMEdlcZVrmDRioKksRvvwH9RJ2ifxpI0zdscN+ ItuQjEobhBasg3dlIQJwEJd7LLEnlMlOyzweft3jq3QFIhADFKYSZtqChNY3WjDaMCSzexV5i+aW aafheASK8FWJ2Uz+G3hRoRjpkKsKzICcP61OHjbxQw4tOtDiGGmnGBgoTrgA3m4MP0b7CNd7/Ken IiHTR/iznrx6nC9JP/s1mi1tC6hLOaxDfKtsolx3FbmLV5PScdw0sbUZ4ejXJXABS0y9K6xBylLI 5dzzxECS7todsnqaSXZiaduJFTikkgyFrHlcflNlAmEY6einh1eMMy1+vQo78m19POJpCKvgrLIt sOJpeIwVszy2FGaN9trlCJ5ol5ITn++pFl+Gfw9VLsC5zDFErgXDZaxMevWUOfZgaqn1AFRjlpxx +d+sM4lTrdeIWVU2Sy4w3qfw3mabEzK0bZaYLGWWRkBhNUtuLS6rHu6qe9xvXUXNPJkx1YlkyoQ0 gJA82NY97CdzzhwuLJ7ZsKu2RQFDtrzz9W21GKCccL3zzqVf4BNqARRjNk2uwbj6UbMcq55wgjVC O3DBgFZX0+QyVkyM38SITlVxa0og6TG3qmBKsEOyelboeP0BsCDns1M4rUMSfsfBxVETrvcW3ioa xH9CHpCxxAYvv3HQzmuOWz6cw+EqOSlEmC0e9Ik/bD7sZU9Tw/dH0+QSx5WdG7tpgPrRAEFGNtZ3 AhJcMNMjuD6sCNpay4NwFcPRTXtHMt34DoxPCCAxgKuhpuZM5qi9Pg0J13tIQXksJ6z7tTmVM1Gu 1/hUAtc8SEFI1TCVJss1vHa09WDpNFwpp53srIXCcZdOuRe4jYsBhQwXbmCFIa6R4s0UPkdgWnDj hxycTh2WyfcPXf0/4oHWgEXLhhJMPzv+glc+5ecpGHzf2euGC4ZXjAce1dMwHT7+ZxpGWGmKugH+ hLRFmyufsCJjduNjcLRTcE6ZRBiElSGprLERljJtBiOV/wmsbssMZGmCH/IIv3T2TxLipbJT8IBk qhxfbzUudxZV+OWnPaBuOUzW4dLHkxmrbBu/knaUBt6NYbBKh4ZW8+SW4xYQkYQscdxtBBwvEFUG nA69G8Wilwhr1fWAcWLJ8XhFR33yXGMVsgr6ul7DVrdUQBFytwgFl3ufvF1oSruA6Z5HBjS8ghw+ 0ahe+l5QY54UytHsPQTBJO4F5RLIVRTNEscZN6wCoxhk2rAgR6tcgGYprErh6bNmpx22Ck5Z+KFv uSOj0jeaTlxNZdOsIgA34HTDxcjn86MHrGLOYxkyvIqcvohd/yhzVhnz0DTrrsgnETV6j7sYufzp eherh6PNE0qlSKtA8KCZRkm4IGABRIYy5XjorDQk5S17FHK+eNLhdZlicWHA4pf/9rX74XKHXPBZ UMRViD2nIwQaXknOMCOgKScxNwbTvkLpbiLhdcgMpjkff3Egp5x4j2dFFbge4uySEPOoWCSIqOVt BCu6NPKpozRTXk0ezWk0nIEztY64fSoBb30/cPn7TI3wJGkaZHiCEaZXlKO3D5v9/glqOV7I6Cnt ljD2MhYI09V0Tn330Nzd497L0dfOiN5dSwpZLU1q6s7XNSaCDZ82Hlv3+KEvh7xQyrQh/VH1tKqY qZbw+jlc7kmBnu0p6EVWZbEVnfO9LIcPB4ECeYpinlY9dEyycop7QRGnpKO9769ihoc5ndHmaX1I V706B71M0BsuFv2KML/1zq0tqcQT5IbtXrfsQFCZRLEipK4cBR6SvYkO0qp9vtflQTGbn3Yn6nrk o9o8uUxyekbHvJM2zGhKiObIRwtENznO01/q8mBnYo6bSd7DOrqhtEM4UoM7Grd9gJkIe8Sx/wTG J6Yf43ecaSKD/N/oEto6bXW28/CFxeWe5PzZFcbjbx+7nukKOgfdj2vfXmKNUemcpqoUCfJVYrUM 0+nyVazjImMnjZv+tCYnQXvMnTxu09Z1tMSZMU7U4IWNBO3kxW7Zcxot0pqHPaiEigxLxf+cWE4e Y6UcErmIrAOd+1MaTJdFHsVTOZb2YWxlQsPi2usp7LDX6bPIZbbWtE9x3K0pNFUCOb+rPpo5mJlY AV1jletY+h/GLyQ5+BmngjLbJyYCTuTNE/uF4H8y0BBrduL6v3msSPjaVql5vnfT9ToUPi7VhrwO 2zCdyyyfhATQ8zRNLmOl6jg8djDnONhKG2CwDHHIkS7UlGKdEUI0KklI7RgY4OuOn/GikkiMnu0M eusA0fAVzDkMXP43Bz/KF3iC+VhiTI+yAvI1aoFooqnBdGYTTZH+p2miIoXT5FodDgNX1EbgeLRl 63ifwJTFk87ABWTUDtuVTokjSGUgmAGD4sFlegB5stC2lPdg5Mx1IGZVUFaJhsv/xqZjrQUL5HGc M6dvsUJwpbDbQm1ZnnWvpjynTdMACkGl4vQ5K0PcVXEPOdo0rUo5Q23OtnmAHySnVClQrQcRMrbB KDMP8TtOxB3100xSsUHTlEOhgQdCvHi55z/+lODBzXt8ONHTB8gFrpQjwZOvGI2NJpmtiiWh2rzy LzkAOQO1WjKKJsdN9a3rUDInN3HY765vKKeJsGBjQzYc0iGLU/yMU4xP9g+SEpaTHbYhnljFjOwe csPlng7owL5KJQkwQN7H4Omaj0fF27X90iG08zNN1b51ganRfOk8ukrPJgBSU5jjnqa45mJ98LiF 061dFiwVlO1GwkikRkyMaJ6Cp+uuQg1eL2LV6euG5t0qZJUNWFJvuPxvDn5sGUSdpsuGfkUSeqer cBWFEe0cPInZKliSnW+egwdAUtnZB9+AXC+T+ucaxexZr9dY+16WZS3KdH5jE+p9BidVRnWv3+xK cBnTbtoLdMtkFrBzTiZNVkpwWztFJ2IHG+XJP+Hs5r92Kd4GkOQzX67pIRgk6/dnN/UGj/ear5Dm BlhG2kAUiyTnmfFAPCxd+TgxIMllVT44nVOVgLyhzDCFVYlj3nJjZHDdMtgUzk0788UCITUq9ROO jFlUqIA8vWqoDv+Ac4A5lIz2R7GpfxhqfKbLjwcKdPdNc/c9Y9xCs0afSrWHYUlq0+VyyYu4dVGG Rxw3flAZ55Zo4AOWSRk6DSeqXVCGJVrXmSMyT8X4JY85xqSpSuvogeQbKg7zWpZF6uq2PjL8A05B FZ8ZQeirDGWca7I85BziEuSqJsvBxf/ckQkhVfUaQPVJcU+Wm9CZzdrewsxOEu4A877jbAb0DsyL YnbkAMPgdjRJYJ/nxKfQhODGBA1BwnTJqDtRbr3ryjRjy7jxeg88P0bb1KKvcm7gKLcny6vSeQjq Yxye3eR/KwIZak6LoxZhmpPlwecSDqOrMM+45UER4d+D9qNzTjbZiUx1gBIFjtK5tF2Bptj2TXuZ JaRotHwGHWigIlWU2doZeb13otkLi84YkWmYKedP7JtXrcdKOx8Z0gwB6GZ7BI5zO3w7NARQOMTg WpPbKXmIQs1xdxvWeS9HeLzAsQ3emFnHv6ATEBB0ZAfJMiOFMO2mPUYL+wyNEXkctLaQfszyvazP Oa93Is3mni7eH+lZSELYk+XV7DTzh7WRGIlgLzfb5BvCjzhva7LAU7DJ8or2XiU9M7XUFJtZHdG1 mcc42BFdGyxzF4nlKSUPL+I66e7OcaR+mb/0LUEBG+wehL2sN3GyM5Mz0ruDB6S3M1k8Z69zC9y/ x+eT6HqxRG3saLnohBPLXlr4Oq//OVhsffZ4F0uX8zhM685oJQRITDkgUnJtWwjaLjKz64CJkHKK Pb7uzmhiWCZ/yXNpY+2gSoLxluo6xFVEU6SBLAJe7/kj5mdhSk9LRrPu2XIr+KHWcTohzHOchLMH ukA7aAUwep4sWaV7CLOecbdo4BxaDK4CD1aStTL0EiRlyn6YinPaTXtURZ51cEawQShVyVRwk5aQ eL3zIp5sHAIx5tp8spaCLwG1xOoTx5w3BIrj3PF1B6pjk+WC6uqjj2KxlWUct7bZ1z6KLx3LB5by ogkPcntwRgAYtCV7OHDxhxzQClF3oQF6t2HPVYqKPl+PhNf/rUNKrdyaufFJP41usCc/SJuSoEiF ETeqLgArKyE+7MBKl+vStiZmntEoQYzIqjIArHDyl2EbGzh/KHi1brLq0lLtnj3muZKERtuDyWXX R4LztoqIzuu9LeucDmUAsP5cPXG60Q335Gd0RFm0FMU0h308jAcKDez7aq48xkswZ+Se4hltrspY J/o2SBbGn52Wq9Cp3UNvWasqfFJfoh/uKXZ+kCeZIgIkkx0kqEG2mHm9U8GnX4HxZEnEcGbKrd8j paBtHvNyvYCy5SZHQeblxWCZ6OZ7DgEOMAY7o52kB6o0uHETl+no+OkICQ0aEnPwNepnqlKxe/6i uQpDqUsjZguGWxsAY9SwtefG670uxHOqcIRos30WlYevI6ogKgHHoBmZKRPBIq9C7kixxj1VbvVu XmRZVVPPBzcuoDqvSgC005gAOURj85cGF2F0desnSqgVu+Uvxj+Rr6kNwWBQy2IHeMbrnffv0M14 d1hP4UB90Q35HF3mUXPsRTXiccQv3NXpkJTjzq50Uj5BP5bvdK3ljHurKrSg4xkawpgSdBaC8926 T1lfn1iT2qrdsxdEMcjvnFODvUd8ANyq4DPP672u8vGKUB5D/VP7J0XPyflEL5j7U6vHmixaskK2 ytuczMdZVW7dbmEU5ODbuIFRvCYZ4i3oGoCRypusQOrQTXVZDp2qdrtnL4hYAQIssTgI81unAG5z q2wfidd7/a3y+AIiH70wttmmyhWHZlYIIfd9IgxcSzgRjl6GnQhnncanim7U5xAk02PqZ9zFAoLb OsrrVYeuQitRXpAgYScoAhQL+oYN+wnKcsM+uwLPWox72DLaGpMIn113+rcUATEb1jF6ts++7pq1 tCYuY9u0falFqeerzbhna1lNo3xGJ+/T2KPgvUj7yHHDWBlfjk7+S2cmiDGtK+csyq5p4zKJdQZ/ ydvYybEuehXLjhKAsItlz1pXIfN6z4fk2ecCF2fU+Zkrr2KnBLzjILWFIMLceYwlJ1F8BUoMNVe+ TnQI6rMQtPCJ06P/zICggafBdSZnExq2/5A3gNeYR9mpC8gdsJt28gRkQVL4Eewlb2rHHCQ4gtoB pCH6AUMHlhEDOUPfdDAsN/Yzq2KXerZ/ogcH9/b9Eg5qTu0ldCv2sQMt/5lYhWZXUnopgmSRJDH0 EjKAjeaUCVvGEWJ1u2mv40UKWmfhwMEERr2kptg4gMlu9GfOv6RYNMNO+G2bLTf9s0uBOM2uhcy9 D/o+Nq1xxq2CjG78p9AYxKbnM24Qqyb4VlGNNQDFTIv/TChII1v0kfCo9b2K3fS3lg4FaxzaRjlh icej8/qW8HpvtnZ9RQinS6505sor2tklHoFt53+wWUgMn3ZAFG5HMdECDc6xstwA0FYN8BMXlOMm WEH8XwgzkJBLEB5bFoVOkZ9jtLxMWjtVi7oJoOgh4mjDf+TYW1ZRSqZUD7Xzek+uHR5zlUH9y4+5 8h1cgloTe12VXKK9hWXWej6Ffac5OwmgKnywVcmVWuNW+a2bR2SguhRhkNkB2B25CSriwV474Cjz dKITAYp1IcJeN97e2plJGVp3XGqTsH9M/gGvcN/fQlb5OIlAnHtmy2XGqPcsN5LBozMtvDFbPZrX IqSjI++V5VLWm1bUfIw2W/iW5oDNF+r2EDPjiUFyBBgDrcSfTM66PoaBYr/o54CKFCOT6i5HJPYo mjI3/tT1PeL1nu7olxEA/G5m/awsN3+IjsJVglq2K/JO1ikGzghmyPvo7CSB4l+g1qrCiDUe+Ipm EI25zutMkbMMXTLapBYdenKdU+z7np2pirCVYGg9rLuRKajGREYlz35OGZ1/wOtR/ErXQc0AfvOe Kw9zL1JC0GXTuLPhATMc9Grmfc5x40DHPuWJehXkJiZm2pqV9Yo0mroAkeNRPxWyKieFt6AMb0ao IBk3DpQ4A5w74h6MJIMwOUIy6+d4/d+kNYRF4ZcwxucV9Gp32rCsWmFuVLTWdmKtWpwHkckb6HMS QYMch3C8kWqE4xa00e0NIiksq7LeTkqY4exTYTC6Hik98eQ5NbrdssP/sJg0xoBxUNFQA1rTmqnJ 6/9WuStboKHUOrkM0c0EJTzR1064Pb3pkGw4A/+xYspQQKCpcuF2JX2kbALlfLzPEwKLO/Mw4DAx oHzn3j4niU80OUaeunVyok2WS5bRZAnpm6hyjQlb6feFmiHoTv/m+EYsclLnfrRI0Q0GhWgO+EI9 7KvaD37VPs7etCRVgeUmEhlRRuc9jVuNtC4HhmEO6KGIVANWJbTmIMVCZqzyik5D/B2Pa8xTTpVz UN3FaFl1W96k7MjrPUfYXYx2I7DjOziOWDK68aA8d49Yazi4zPH3TrQkEEO0lbony63dm6HtCqMf nzB6RN1DVkUf9DHgTUTZbVkvZ8HKgqkw+gXAKKlISnbTzsoair6k5wSzx7WyVt1CifVaWbny+r/p bJJQHEbunA+hGxLaxetLD6nyOEwsxnWqj4M0Y72GfkqoXr8XvD2BfTBLyTScAO5gEQQwuqB3FN0P N02UrOPopoRGY/S1M2hvLzBvUjoY4HYnJnT9P/PpdzppWRxPZ8LNCQUtDZy1cDhrlGGIAUlHKWNA ApPgsnKCQnEUt5LBfCrDg3m86oVAJgxIaw1NL5HWMCtNbO1D0Lasq+gkhWJnJIZc29iD6XCBwNIO bvbJ6/9GqRVEwZbQ2dv9rFBDJcs254hiMpB6HC22F83BLY2IblioRVsONQc1bgYInVvVmEBJQoEm q3kGqIHiRNDGWqlVDS83LxRGfJSPKGoOEIdRGtfDJRiEbZ5/4K/8Y7Ea6FkcTiBB9FND6XQGWemW koy+/QJmOO6CDOyw+XIr96HmjMSmGrdGAoHrlZh7QjnaRS0tCVaiCJxb/19QaWxfKjXqneDQtc0O 5crQfBh4w58qgRJ8rqKE28BmnORQvIe/wp/Xl4C40Z4st3SvQfENYwuUmp0PASWbqhl9+m2eF53s 0GBUZLQjxhltcY31v4EkTyoA1kdayty1GthURbpABgF526OnZjft4aPsDWZr5mBliLsGpTT5I+uU OCP/grPBH18TNeohpPzwi5wIUWyWzC9sWxS//ucwJeX6H2GjyZUKWs2W7/8i5tqQ/EbwoaHJDaFq QFQgC60lAWaHispk33SoY4io2erum3Y+hpNwQ5ahdd6G1ug5RgUcVyCkToyonHY+yDuQ+9bTZ2V5 1TtLo1XnPJx1D9wQYjlockhnrjy+TCkmvZntjBv0awDZcfJE4bCqduM09I6KLBZZVY2PBqfaTX/x IEY/u3AAcMhvIIS+kQww2HHzD3iRPL/iQRQh/PkcutA7X8O6/Tpo6LUdc2oyc1R0c2fck+XX73r9 gkQRHDfagKK0q09I5Im98oRU+QItM1LQWmnnNZz7pj23T/OObXvQwlrnYPsern8Sr3dewl9B7AXc iDE/C8unu6vzxGwZ/k85nsgrHS8cLcBhU2LIjB8oaltWlE0lx32CTgkIa6JF4zrKTNk4op4AEQu6 GnJnNxFL0LubKFq5v/cwzyDoHYpp7V1zDF7vdFXjc3uPiLYEbe0cdtxQ0azc+nLCMidferMexL+b tLUR+pkt3xVGDfgkHilHI2Ixi4mOoHDaTfgNrL5c4bcWG98X+MralhXF8XNiRbFlkZscaEIY6XbF 6YJ1H3NO4IfT+Qec6uEk1aq1CCfZT2PVTRat4sv0z8mQpG/T5fQT0xO2ajA60aLg0HOvasPSjMZJ MwJ0vwo/aILXxEBmV6NxtaARWDX4H9he7QQxOuhEJ1kUU8VqdEoATj0f3jzYHGczjAOxwYkWBXD/ 5Lyj5O/lU5S6yaJIXcSWvmOf8PncgUaFDvHCZhgqpZlyjdObTjqqBTQaNpMatNOB1FFQPpqddBLc kMD+w4e/7lNhU43lRIvqbYdjOqdqTVgTTS+vb8Vg2CCaFJN/wPkS/vY+mRR5nlfQTRcFzA5KXzir SiwsBY+nj/Bk7CO0Ey8KNFs+aJIladx4AzWWOPw0UQmrmj+J3naorgZd48RXC8lu2WNC0kUnNmU8 4KCchI6uj2mVb3wa/AOelOKXsQAtLftnWXm1exarQLiCcfv6QUf7wbHmFsbFLwmjXE5oQZ1xH6DB K8uTfvw1RIVoAvJDK7rj/+MDzURSFfg7zkln0DquqmTYXJPcA0wv1FQFCctNGP1Yg3OmWoI32GdR eVR3UGJARy471ghbym5A08bNGtDbsjG6GaNNODpTAPa4RRQBjEolQFGfOrL0cNiTeWSnXHcfoO0F 9Ot24TF97MEkzhCCE0dGKmR0U0b55f+8f1DtIr5gT5UvSo3s1cdgVXsJ2XgNwAB0TIQJwM7Kik7M KCCsoIOgmoUcd0MV6lECDGjlID5kmKczSpKcKM/p4cxVn3bTDuInmbMCWdtkIcnqqkF9ifdnLbzC P+BAyeG3mGl9UTHJe7Lcsj0ImKlb+zUQGb1JIKEcCcXY2i8nbFR0A0b1GM29fWjuDXw+xkas/8Oa 8f8qC7lU0tzXgy7ogOxevUggTtyovkbg1VrKESzZiVyttSwi5PrCRl7vHJ5/5UCRwwhx4KlF3chR fgY37q7AlXhSVrZYFT3VmPdb6FFmDG/PzWJW2idmZZUEZcInF/sVEuq60qXJWhtwOorU0phjMfMP oxM6is4X9/bJ6n1Ms0LJ63QG50ieB6EJcFJHn6xRXBmpGQ31uIL+vBZX2bTSfR1sd/+5hm1D1MHw 3B1VsKU4V6+lVT6BNBFRI3vcH8FB4+VODsjaR6OSXCvYM0i57lAvnGQ/Jke/FlYZzjpUPJdY9qDN KscsHmRZn+yf17IqI/hKW9VUv5oG4CFTQM+l7M2RUlZSOtV6Zi1F7kePkp6QsL3Pgc4cVZlZh/kY baNa62X932DQwUyprEKaAGqZ2KiE3sDB34IxRtX9vk3S+nRAtATzubgHsfoQYJvEGx24+m2S8Af2 JHE+GuKYWSngJ1+rqRzlW7IKnDj2h0/HP71y9Rj0143BvNZSWEZWGFSL1q6HxLAOMwMRXCwQEJ9Z 6ZOEdkeAFSfICMxfm5+AyNdKKkcL1oYccw8CjeHZWGQKOsvPaxmVhd18ZigzpOPM0GsRlc2ibzBY YqePb3smZBPu0uDjK/taQmX4W4pIayHt6RPSDkemPhkwyh5ElKNDqgVisr6P8TZD3BN/XguoDBVa phMhcx4igtKT5qVkRK3wgLl279f6KX8s1OepNGuawabotXpaF/FUhpj5oyHpbWvBe+wfjHj7Mr3W TtncaSg8LWe09yzC7KQisQXRP5MCyz9y0UH7HZ6UdFffAlQaMLyWTtiNIoEDWGXaYJTQlJjrpRb8 a+GEq1N/LCO84kzp1Ry9lk1ZPUeqII4oKWzvqmYeaWpmRWN1vBZNeNGS5kZhPRo3WyiCTY4NHByr DgNm7kWR/I+MEpFhDdYmDcpideZokHwGuAYDHOayeHrwYi0SGa0v22vBlE3VzznhVahVIcrQJL2W S9jnY/00Z2whHTHS6OddS7s581osrT/Ui71rsm3kaLsRbNwb8F8yZuH8RQlADdTkBGaO42y73zae 7V5LpXzSw8aO98VWwcPsqEEY9KqD689rpZQ/lZKeIFIVQRi2SXqtkvIxSQW/3ZoM8tHBJA1GlplJ 6rAv/2uNlD/+gy1/xl1RRvhUNYjboGCGc7r8B+EMEZGk+acQijS6Oj2rXkukvM0/h8KPQZ76Q79C pRvKJAY5Yj+vJRLv8zlLsG4bKe6l9Ipr4mtY2DxWKpasKuphBs22LasiffDoFeds2+JOrfes1zPu b/9AUwoUwAbziWHR7ZkVLEw91i/wQCdC8WDwzCumiVliY6EUSuILbeb44gyY8pC/EeGQ6szRtiXW ntQ7iXljT9IrnInlGzhJJW/rwRo31ay1Vj+GVcM27lcwMx+HxvWa9TNuollCRhzoBgCB80A2ODdu uEisjXvNXsk0WpgfX+JXKBMPY1DOhqxgDlFb8HpXi9zP6jon/rzimL8+boJ9O61+EcylMtKrtale 77YByTlnHOeccixhBp0cpZH05smE3dLBa9y9lwiPEwYQrmIbIm9rgdIOpKBkXoe8T4rRiLpl752j MqTJt6rtgJAO/4CppALaUXivXE+PV47SkLXx73ny6m180YA3FXvnEIK7v3HryLXz+hCbulVH3jxJ SJMIeNq4DyUZgixgDujnrZWQuI2VWmlRCDbsutWwW8VUsf+8i/6yvKNAPzAyQt+JM+tq+dCU9V7/ vGv+sIHPZ82Nls+ocW/g75I/fOYaZUaFincSgmLbdI0U2vGxzAcY96rumeWV3iU4iv0IjmLP67RQ sOQJbLIvpcNbgBs48KtAC351XJqYw8404ZGCMVzzHjRNa/lX9fTWyernXe+XP3nH848yx9qfwY+d psmrvJmLPmKKu2TiZm3xj0wb0DSNvYW/q/2yGWYjlZYcT427PTxLW9UjpglGsYBqTEBDV1LKPam3 1ZeOAWA/73I/nDAC49UAJ9qgY+5aTXKEXIdWdFq8Dao9N6hKlKmceXovv8NOXY1bwo2Mk3BKy5w3 +yfPA/N686QoB9K69qh5gv5nlalZKG9BT1ffwwnq76DEBLvy7rP0rDv+sjt9pH7Y+QXzIjWHDYu2 Zu/nXerHsqs8N3Lox3uq573zanC6vnaaYWt/GnPvT7XTssYc0MZ+796LcLTjxZJS7ajRuixkUmdA 6jhcDkQ/WoovfFcYwgkO6lba7jt+n6jJGVr/wLoHwQAdZjVi4aX08y7z46Gj/pqnhDuo53vnluHF SK9x988p3SLEG0nnFtmgx42Ge3V4tW6UpYFx3G0DpBC2REbnzOtPhWr+8qAbJnBDKlAzpR1HJtG9 a/zyxndRKu3B2gYpDLm+rH/yz7vED+upxCcwgK5B/6BLXiHOrGtYypk/zqpH2gZ3a01z0wywSWua vEpcuBqq7HzGfVypqyhLeK3WD4Hu1ZNCHRhClGs0ja3ZAfSqO36fpkYULk0up8SgDYGVoZBe/Gf9 WV7uFJl9v3ZsGpOmHNouMt/VfVxOjK3vNWzuSkhbCTnkKSuHKqQsEAT3avFGj65G26M9buL5RPpF pwKrwom676BIuHjzsAzq30ljr7rj93nqROBSI4jCQTATGlxcwXkdwn7elX2onow9Zq8dTBCYpm7z 5FXj1agYvW74my5tmqc69zwhTN3Wk1uOKySESR17tHY5Wu8xRM1TRUdepz+maHcKZuLRfZB/zt9x 5qlygiIjVfSO8huXSGzXQXj8vIv6WHzNX5+7whSIjVh61XhmXB/V+Hb+zScVOjQLXQOtINj5913Q lze5Z/2kQeDpw3VNa+NIGc6cyBRdX8Ism6VBmUiQgW2YB27Cgv551/Pl7bK7aqy8B5VPnYc7lk8p /rzL+bJ59ui9k1wLasLedmHwrufD/DbBA+lDRwzBupo0Id7g7rA4lXc5H+s/HX5bS2fcwBzqKbhP M9KhlykTADx5nFs6DVHnODsU0ct3NR8rRSBzpVHfDvcmLHguDrwZPC2tee/l513Ph09B3CDvIKay pnzQkZhT5eHg5KTuF05TZRs4GNRWgTKswPbydzXfRgcT4u7rGTdekFbV25L28lWC55GFqiT6wqHe wxI+TU0tqvea/LhRdRmCA1tNpmBcxVmxtILx867l41Q/dyloV2ub5+1zi/IuLXs6nXJ5EhN9mmac QE6BweHvQr5sTEi8dfUz7hYdPkkZsRUgQI2RSXBfawr8x7WmMkqY/jHhoLPLu44PPzRZlK+D9B6s KC/rNeeUrT31513Gl+Oxz1NNAb7GHJ93zyvK2doHTeWD9xo0xxoq7bMwiYWoNd9FfMQMxNeMShsX hGyA74TtBrK3Vq2Z12dnCvCFedefSdbI6FujbcFY7xo+rgeF8xSliJFoyJ2pMoMT+A16K15NvuPG DRXHewxHDpsmryTPomqGnjfiK7YFneXly48zXiLHntPk4eKmC6XF7x73e7dmOXJxtwSMNOqMt0oP TGlH9VcCiyjbzIdu2SmiSJRuXV7X3T6tpeYc6P0H4cL4eZfvbZDknIUZOAPnDJun95Ic+xP7l6kf pC5Fe+1ab6Ht/QmkBM3Te0lu8DEg8ZLOaMupIkUDZod/6MK+qihZy3cZgsKrK2FB77BMhq++q/fY EWSvThm182TUMjJCOsc25s+7eI//4udZGC1D+BfteXJrcvMC/3i/jZ1RW+lhtpMKorFT3pV7XM9i PCUJYjjuI15dddFElYfXDtwGidHWcRlZS419/COEYTH/867bM6gNnJTAdgtwmSahEESrfDYwAft5 1+3xexMf2xNU3CiF9zy58Pikai/OjRms/7m9zEIax8uMbDuup/eaPOgUBEZY+YxWawb4puf1Hafh xnrfQpCVWeSnC3HKYpMnUcOK7tipNdmsQzm+BxEt8qoKZHmzqpufd80eL39CUOjydBF+OU1eSU6H kAFb7j1Nsk9n4gxlhtqeaAnBaXovyaNAmvUtC+IccjRopXZIFCyhFkS3loUSR1QKk6Y36YRAjmB3 7L116rKwQ87BOASdxv6kRrefd60eocn+XE2Tb95+696Veqi5epQZkJXk8PvfuX011s0JQxltPXK/ JNfJjvikjbaa5iq950z82PW12ybKEZC3BnkOjNMju5QGrODY//Mu1ANwOyQBZQpkqJ8UyLWfT9lH zfTzrtPb3+THSXj+AZdzT5PLR+lDfYRP7MWHStA/MSoIUNM0ufg42RZA8+MZNU3wAAIRJUKWAEPd NsWdpil1a0IawIBRcH1MuuOv00TcV4NeOpjWKxe6dF7uvHS/8CdiPZ92y7tED6vJ9vBe/2xr+T5P 6RTM5g2unl0M33eFHrlNrMWbxai0T4xKyTBcD422wwgALJIF6aDd8JFEZ3Jv4aXphr3jHeE5EEps EEoHsyShdKv4+nkX5xkb4fPOgSxcx9xb07swD7M0LZPn+Ab2Y1uG/JzNrs84Y3CW3gtxvHMsLNeZ fZ5xk+vXIWXtIrETLUASq2apg9C2jjNFVjzWROi0H3lX5eFLUSUHYstl4ulRGga+WpWl1Vq66edd lEdY5Yn65rWHNSwwmyevEJ8SfDaDdxEZX6wRvE5cw/TpgH+NW/8uycNzKnrpFGascW/hay5Argeq AtJ9zwpaaUFJori1bSzFREje8FdwvEtD3LeGuKzSpnLCyvqW/LyL8bISSR87ODhFKJ5tlt7rcPTY lUywrRVRD5x3LlOXwNWUgn3o3qV4doJf//JauVI0HgHCWgKUg8HZEv8HihRtTdamIIvWfEgFze7Y aUkNzg98dm1QEVBWsTrlbFp5m86HLvw+rqxaDriMTZNXhlexCkb6tFqalZfYEHd5GZEtqh3cRca1 QEoI/Yx2+oV+eM0bFS0A2Yd8+QvyMP4wie7POsaUvZxIAPp5F+HZ8RXMVCYTcBAwtwoyhbEjfPPn XYKXzRZFPB4WThVSkXImyqvDsyw0ipF20TLP+1zHGNgdC11MKPWuwMsf5UEoNoquzRYnUEawUhlR C1oQjUnWzki/rYAdhv5rG8wMumWnFUwr9FGaBhxMVYhjFScx5yJv1PnYHTIP/ltEisz6efG8QpyG TtS879JJ7orsmYcZjvIgGUb3Lr979KSa9Vrap9eCWPGQgg4sFVo8cQvaiExvgPILAoBN5QWi+POu vsvKE1iv3KSBTVjbnqzyCw5VzGb8A0+nn3f1HZtaT/4caEp9ni7Cu/Qub1fvTM8YrSiZ1uArEmbP m/bEKApOlIeOK65sbUG9nXFTVTNqG3hioxFfRqMQHLynxs1p7Tkl5QOPy+PgXXhnhQykGczqmzur D9jW+pR0berj5112x/s8J2CuvgSj0A8Z0yvGa6Tjnby7mX/M25RGUfywyWKcWhawMf1iXJVjap9x I3RwDSGVB6kNEZpIGnpnMjYqraMwW/uTx9SGd9mdUZchz6i06GSoDmtLUBfJx0Sixs+76i5/8rB2 vwXg8TyMzHfVXVZaGWYHy/EfzJxhI+AfzKVWphrawaUyHRoT5ZXjXVgBLR32uBsJnaU9I4zgul1T lPN5oN4OB/BUcbgX9sSzwc+76G6XUFBsZw3mqZ8jYGAukhLGz7vkjpjGs4LqBUL9sDG6d8UdlvHI v+YJQtdtC7j+pfifqKCKdPqYJw8b32YGpn3luNXUpeS1TjPbwWunLi0pj4e6RIYcZlB+z/Gu6pad vZwYHayDNQSj6oKI0UTLjPnnXW/HivwXrYeoeDgv3ntFDikHHZtm2HKDTJE7pdRpHpO7UqKFy7yr 7T4vHpiKZ7T1tE4l+BYMricYoqYip8lCZiZAgoTe24HGm27ZKQ4yvciC+bYZaw/a0CanqrWf5593 tR0uL/PxyWN0OfARmye3Io/jEx1moMpOXQ1yYyH2lDZf5V1rl3fq6iofiVNqtFpzIsC1yhg30+Wn SGcdUQhBSJ3IV9ExWKDKu9TuIzsISrEPBsBn+HC0pjewlJ93pR0bEPnX/oSM23ROLu9Ku80G6kPa DGC+ebfQV2kgz1e2Wsq2mHwX2mU5GaKKabI/4rixzFJBugE2jpRMsPfIKweO8GdIpp9OTT6xQn7e ZXbkM7MkAJRhg81TYNgt5Rnt511kR6LAk4YBPp9OBpoml61SzfhvV1Bj5iNhiXHzoXvZAo13id3m N6xn3WSXyHHDBfAHYDRRQ15RCyMILsACAhhMvu8pyYPd8fsuTudguIyHPQijy/hQyJG65J93fR03 t+futGrVPwAQ9zR5Fbm4vjHHsDuco+wOQg75kA4pouQ0+WwVhYsEkXs47reudiSAj0IxPlQUQ9Jq rKpGbj0Ec5slRm7mu7SORzTgBVnsgnzYBXK9NvCp/7wr67KF5nzKzEDBwvnYefU4zHajHHoMfErG NMxwNDywCrBZwirFJY6rcVCjuKwcbZp6K5Ae0Ay+YasVQLXWHzwm0agCRWOjT6BK8necmkCcQygB bRAJA4+S3Mp14xOXO1VmfVbjOKW1kPf5rrjVOMhao+a4AwYibfSIqiR9ATlNR1pePGDcpqlYDCTH jRdAcNrku9nWq6bjCmxpyGoFBgvDhkPNtDt29Czy22RxqEF7U1gHYXa715bacLlHK3iuJsiLRhr7 patuLR7YBR5mwYZDy1FGoRTcUGbabZb6XoujJOHLNqrSUDga+NSb1ETZvBHXe6ZOMfbTBuOWno+1 CgOS8TNeE5hfuky7bg7G6AlMn8IsTV7u8aF/He0QAwE41GbJK8Spy1uLqcy9mErauR5ZZUJiE7hZ c7N6PJWmDFF0zs5oswRBfSAUt97jVaZleUU1ynRrxvkOdq4bKwCWg99xDixJ8rox9sBpitD4y/s9 547LbxRksAur9VAKqo+LB+lY8t7BaSKsukne+awHAAhxB68eLm6Ir3n+atz0+okFlPjOTRqfcJcv jQ5UsH9H064fqEAaMq8OF/i0NpTA8wpMycYfE5F1Nl2QDY3rHcVmfx5YcKr9g8Jxz5Sr2VTkaq5G YO0lbsZhk7ugmHQ4H7ASr14l3u0IXFWJc7QTy9rlENfMTXxS2GQ2bLQpWyfIxMyu43zBI3D1KnFy ocMcke8fsgjk2ZSBG5Aega88/4Anbt3wEy9bO06rByyonnSzkVcwGBSs4qnljaqsb7Y1ONeZZXMz q09TET43xdHkuPvAHUrZrKi0tfZLFNU300sqkF2AA912yuL3rnr4OHkF61WOwn/xjZSXA1pGU4le s+N654PXxq+Xj/3kXYxXvxgHOTPTxUs5Amnr7WEHvpdUQbo1cwSqR1TpAjTXSb2d0T54YR231oFL sdCgFTSzJsDbFgNLc1RUe5PKUbfsFAYk0Q3syRjWIyaWkvHPZV7Dn/VbE9d7L9+GVdimQRu/HCZd dSFyVgY5B+ECEQ1VuYghnqayZQf4iV4a3Mz9clz2IFkKPI6bdJjRcu/UJ669ZA7m5SYICGAyh6ZJ Bs3/eJF23bIHP1VFeBCFytSnaUmF9X6wkwIpE/6AR6X7hausPzdyP8XBe0WO4w41CHlaqiPsdAMn bb17Q4dfzBQhD+7nXkU+lVsfFRWnccvKlIYmXAV5TV2cntrwqc44XIKVeEJPmDVePYw800NsVpk+ 1WF4QYFnmYisayvB5R5esFeUtI3rrav5rCiPP07RXO/iRTNYlYnKsqiTyd8kUMHvXuVf+kr4TamJ A5X4m1k8eyS6AySA5DlDDsvvXiaNNcPDYR1jt3Yaq/pPxg95dhcUBCM32wYj/GZqRFF3Dl7urKfT SiDhFZZdHWd2myi3KC/UtyRSVBiqmsIG6gCOmJdfJumGC8qDyHW6hX/3Z9x6zjGhK5eVH3Z5HQNL oqcwfgeknLEBzUbRa/XoKso2HgoJ5SCIfACU4MNZOyIu9xbUs9lJou7jzWteWc6kDaQClFNJzePT unPsYQJcrZfQPIhcirJWQSTYo23mYAKMBBAE3SlME7+MFV9T4GSg/KLn0s13NOqOveaUmi2h7UEC l4gjn0R1MeJypy4fT+cLYGwDhYtNk1eX02sJodVbBxT2529NU55t64AQN6Np8gFyvXg8Rdm4u53r xNoLirq1ntabFxqNzctkHEwYJW9/VnmtofWKH/IE+azIo0Er6zpL2QOTjQqVVjBRPkL+ZGfCD2u9 /7uKaj57XLNzsJVKBzxWUZm5BVpPWC2aKA8hZ2QoXBmjuAZKoWgg9qwlsqqzGuVbtM51RaG0ZAki GggTeKIV1mbZ8DMOh7Wak/Q8htJcTj2lSQSqrv8Ll3sNl/x47VAbVmytNksuY6VPaV3Dxn1n3Hgm dKl/tvl9No5B86py255aLMbrYW+6EYEqyAXNzK1qLcOgUH5sIGA0bOMIat91Aex38TNfGfaTxGgO piqDcJAUAzgcNhcdz084s62FiH/8niWvIoe5FZMtN5w5ez4mWDvTGGqputeSV5F3Y2QWEcg52t7U kNozKz2wVp2EukB7E3gUA5shNyRzLWJVjd/xLGewKSFlhwOahZNAXVsfZ66GFlPE9c5qqs/2HRBf 6kltnryCnG9qn8Hc22HUVarNEyRz54wX9ubkOqrIuigHbVIcd/dunRZ7jOrelbaOv4qXo+V9Bwlj zGPYR0tK/IzzzomBMcTHGE2oL1DlQcPwP2je4PK/9e7IlMJJeJ0V9iy5vPGmL106PAwxDTptpXLa 4Pise5Y83niiA/KqIhTOwdFWEyUIKTEYYO0gMU15IHZgeYA3/8AedLPGcS7Ez3idO8J0sDfDEJQk iCChdW6iEqhgZ3Ir8V8fujTXAodhoc2Sh40XdaQY0muilo9vO2OizOMp7VnyKnE73NH9WSPeuSjW eFJqHHPPYEmeFcFeQEYGoh1pLGvThOWN3/HqpkzJHb9zKaLMq/ad64rhgjsCrvesMHYPQf6/cOo8 qG/zK3HCmXnOXRCcummtpnHqpnTeObcSV3rJW5beBBkzMzth/fuQFcfOXSGti8oOplCet44FZnMr cRRb6+RM2jhtoqJ6BxXpdsQo1ll44A84cMEvkSLTVgJYFDZVbi3O3IRJB1OlNBLPkfNjGdvcfqjE TBV/yUN+ZavdKMLQaFO1znJxUt0J8wK4JRFYKYUtBHDu1yswPrEJ2sddNaea5gofrIg4Y5uwrH2t USgAMnrC9R6wst89Vu4EpEI9LZfuguT4TqGHlv9sk8x8UmVz3brXCsM/TlX3vQxlD81MIhsNgxqz pAQbc/Y5K9wSFUCLs9bgwTmUA0FJH9xdvkqijWGkPjhEU9CUQDdAFQYp43JnosoTLgCBqvdD+O1e NU43kD7kQsNpYnFo3uOxGb1gnZeCjsHdVXNKg5B7+oybXjAnXOcqJUAwx5lD1XgSX1Fpmu0cg5mW 0H01J83sh+zWxjYQX1NfAqVSJdeEy/+mvGNhAFpQGxup614xTtP60cIpxmGsY5vUWgbRNqmkxM/A v/RVypnoEWWjnYILHW3MraeuA0ykdUaBpBqWq4RV8ofWs2/Zg8mpAQLabYNKg4Z3z3TUGZd78/Sr b77KmdlO16V75TiVKL32chrCihwkDVBOK0XWj9YQ7j5IbrHX4olxtNIAdE2QZKs8x3F840evgM1G 5kJEy+mkyA7dsTNNRaIWLiey0sXqwVbOOrOufwIu91xE92s3CBbMqPA+myevIMe2g8a5peVBGTct AWcd7j7OfT0b57d7BXlT6WQOWBp3M2HM3Ku50sEluypwgo1oiFbXegKbaLeEGdnVXSnnFpqXPQhV Wdta0Ncv1YzLnV7nofVwUhObLrvU7C5C3oMse8NuJfQWTnFwWglz7PZU9+gqynBuNEHb46GQgzIX JdtAqGBQhDFcdtdRHT7a8yNQzHbDzi4upq/MIEWzYWGA9iMl+mtxNFzugXSnMMB1YDXN9llOHl2F 0qE1CyPtcwsdlDRNxTpWCNkFrs+vnYePD4Ep6qLYuLt4FdOEQyq0ILNMOYusMgj+CnQvgC2NYSpV XzuvJOcuDuY/zR4YoMrVNNdfJTxR13cBl//NXIVvGIgg7UAq3RVyBuFyo+1SU9698i4YexenmkCr ybU5TCJlthzPeCC6BKZbYiL4+of1bi7/mU68UDaCu3W6CHbHXgeP2HiLZxBasLY9KWrWjPHyG5IY YkFx3tjT5NFVaOIuNsq2OTzxXMolMMfMTX7qXkXeopyyTZCQH4IEIAUwtNFqAkZEZAHUWKw8bGM5 fzqdNAnHDzms6ECyYaXMJdJaWQ618IUjID/WUsb1Hv3pKVHEMkYI8J4oX8lJv+y4Pdc6jWE0UVX/ E2RfAtuaKNdcRU3zXtTw5GhF5vr4r+t7NhMaQI2SS0GdyJRKPKAzTwwj6a7XofqcOHPZYISVbNOE Og2Xe0Xm+HVuGXDN3MXT8GrxoBPeyPl87NqOTirSBVO3ATCD0zQ8wkrLxmEVJYPj3sTrKv9akjXd qppp5w9uJkSb0I7+gTrGDEPkWjBcwsqkl1EnpY6DqaVgKSVaT8643LM0+oU9rXscMFm0WXKBcRlk 9RMwVebpmqd+sKfR9+Y0XMKKOHTJ5MEcrSTocDNKk2kRyOJqPUhlzrvESWYe8pPZZg7f5pAqKeUf rC/kOjBqD191U5GwZz0WXH/DpcPY+3HzHa65uPoHcZMLsDnlvYeHI9mA0bxNk0tYMQgzTRsJ0RWe WOr6mpSYlUo5QBRTzCAEghULmb5np3BaZyT8jofR8VOH/8oG0Z8QqCsfsTJ4+d9QFSPerWMUWE02 T14lbssp7NhAOFLvysnSWyhtwZlJ8+QSx9XYzLSBsPG8dOtwt46l9ApZ/7Y4eKKDy8OqxINU5vOI 74Ld8VeNYsw6AMMYgvATjLkLoQI4OeF6b3N6giqkWA4Q2W2ivFJcAuqR2zkC503CqG2Mbu8dtE+q nYar5VQ8UpIppsbdkGotQbYuY7pV+Ha59IgMzUjjFD5HYHoJ44c8oE7cgtIpbVnfLjWAK2r6wSIh 4v8Yf8Grn56nYJJiwb60qfKq8UB4PMeTPJKZu0G+St/oJkQbDAzEVLnGKopzG1nbD8cN1Y0CiTmD RxCtWaegugDjeKT8rceAJqvlBfLMjx/ynDB4vNOMcbBTMLQndmrhfTp0lV8GNH1A3HIgzeGyxxvZ 42lsnK4w6ItLKocUN6pSpyW0DLccF5pCaukeTxthIneL5vURlvVFAS2ZPMXC1C1Y9RsJIza75e/z FGV2uBP/CigLROzWIa/gcs+74MyTCJ0RdNaNkQ9X0an8+BbDIUC1vAHNZNwMFOR5LyiXP653Lwb1 zjkeWCVAUZtRaQ58qQhtpIK8OPRDVwkKl9bDVsGjwg99dTTKcjTiX+UqgtcJyagNMN3wKnJswp+O S4FFMPxCbZ5cQWeRjVE+ziop7oo8lHRMHhgHQePx72wVlJX1jJuEAekmGrc8uJRui64kcmXAmfiT 42GzJub04oc8BrlaUnLPHOaeCfufZFLq0nifDrngeIZI3kLj3HToKsMryZk8AzTl2NPRjovASlWo FM1VIB3RTPn249vfN5zRZgpR6HKZX68erA9LURa6wCr6rKdx6AU2U15Nzg8ekvPyHjhTSPtM+uSv jRaX/21FkVNGNU45vYTpFeVkwcJZb54m3vZca62MvlvCqZsx5HQVnYpDiobYcTwThe6vuIdgUETi a0B+IeWOZBLhNTdsZRAzmF5ZXkipqzQcDazrtdf0UUOQN13n5Q5Ul58EA8hFuNvYPL2X5eaGPZCF ZvNU6UMvkyyRgU2dbxkb0w/8kXmopMIan1vUAPCBkA1w4BREMsFuXu8cQjbiSXFbW33XLTsYFN37 Js47NlgnYYZYJcBbZ+H5XpcHOdN/2p04K+B1snlyieSF1gVt7myEOvc81VUxHhcDklE4T35dzjpz TUQ849ac94Q+wlDIDvz85B2ZKDtNTCSJm6GZmHyM33Gmicbjs0idQOtProua+8hyWAkNl3t8+6c0 n3hPPr7a09VzTpr60kmI09RS3laHtaaTjkDjCk6TV5ZPCTKSEjc0bsU5m5yoffHFQwY6c13QQGYL DyV4YSNBOzk6WvghRzJVGAaYGN3GQXUT2BGCNCeWk8dYKaH/KqHQuD/64OlyyJsYK3nzemLYXg98 Rc4XL5vIZfp6TnXNSw5ntHlaB+VV3hRhUGMdsy0HrwFiQULJn/bg+0KQg5/xeD0k0zWZPwGuEtUQ yqsaTLkycb1nsfKkseKAtirNs5xcq8Oib1w5KUlj7rCNwDBrk73WPU0+YUW4eFPFyXFTMegeTQ+j 2NZ2zrAqxgszm4AcpHbsC7AM8TNO+aSPXf4MeunW6aOzOM85DFzuGfj9IkXTLboeF+TpMVZCs5Ct bmKg0AwpgMVKO9NEmwNOk+t0aJtT6emMm2jfWQLmJEMMtFW5mrCu/nTacWcAkDSvpTqWd/xN9Rrh ZmyDcTMrfJL4/iXe5t/Od/xvY8TZ5aymr+U40ossBhccn+0vGko2f1GYjVkM7nQpK+raVXmJadw6 xfUc1hdh0v5pbbSpK6BrnbArTT5Ym29/USZB43e8bIQu7mrcg6YJbpBVaa2BlzvHlvaLh7EKhNHO qWW6+HgMH6avfI3aZzXVE0kCLxFNk1+NC1qZ4h5y3G2EVSLVBAYP2HQR5j1ZzB6QfyvbTIdzOMmw n765Cs8snYfg2e0QnCvOkzoE59xwuacC6o8zC0w5Zv8UBF4ljoxqyvC3+xPzsbbjWt1ukDHtQJLp kVWUpQn1zzzjnqUQUcKKJRaQ46KtqUPP3MgWiRS9ig/d7I6d+pJfuDEsWsoU+ajqB3Mw1jvXGy73 zsDPjnmEjm6C/qF5EkPo9We7Tr7G36HucnurTIaMKXUT/SNZ2Qe3FK9StVSVmBw5UwORykh7R5mS YOaQEr+HedBTulI9DdtNblHsHdtNe0ludAsZNGKt0AQzwfAP+IxNJmawUJ78Ex7PPj2WFY+uAefE PV++shO987xDb3FyGcHmK1IpafMV4p6vv5TkU5l2Gq0kh2ZndNNuYGkVU+IR3csoONOsWwKbgmJo g1eT03kNR/XOAVoGTheYyeop4ATOP+D5GJyqnLIEipMYSL7ny00Gom1WVaRE+5DvmSHWc92NBTxL my+XSV40T2OGM9p81fX/b30MJ0mCDdb2XIOloG6LcE1YZ2JoCcwPmWdi/NIX3thaOyXL12/+kQR2 MnIev9l6G/wDTj2Vnm8jvNjWS3ziN4ILm6PeBtEn2ctItz0hUoGfFOZvUP1lk+Vnc1q4myaNo2Gc oErP0Qrzy0Zfs8StLVOqubb9yTJ3nvOeEhOCV6FXJph1kJRs0KYOGL6JhN8ar/fk+s+qipkyuX8W llejw3UBzhh1I+dTWAvJY9tICzzgOPZcedA5hcBQTY/PuDGElNEPhXgY+QmhinxfEpjma/fHXJW2 688U27Cbdroxoo/1cAadZgps4wS8tMjrPc3+89gHqAUPLp8gjuCC5zh7dXZtzcknMRKILR2lTQgU Lka1IwHX2bZ09GvzM+5GQ1xLCQoFCoh7LnnKymfIOhE9BeCecstIZLrzlzxSIsO54K1tg1bWOser u4Hdntd7+cF7tmhnwDZ3AENrz5ZvwDJp+dsUqPw0HFsVFvNMA4mJQP41W17JzrBdHGHE/ImPkHNw BRCxyE0LFqdZ1mzy1yh4D1Osu228/vNiN+05abHASrPtQcAL2CVUoeWM3O7goejtOABri0cFAbeh PVm+DYsiS+ijyYIUHxgtrUCgjzB65FuhF9HF0Y0PHeWDPOJ2KB8TKu71IQTiDdZrQCOZFQQxjQYm S0JdZ5sW/kPdtEdtoY/WTDZsO631hmfCL6tGKbz+JteM6nyo485kedU71bygk58EuBS340FMG/gE 3lB38KLnxiIjP3iwhTPae4jYtwFtMv02V/EVhiKW4O0Da1E5dh+S4tw37ZUPJGwUeSaX7Zm89qxa zUIjJ17vvIfnPIj/uNNsp4fPynLh9CjsJcWNL/S0vUnX9r/hqsCgd02Wi6fvmEpNWkjHrDwOfiO6 xS/CbTEJJsZHBPYiUDUeWgJO2LpnzzmZCczFBNihnrnampj1ovP6vzn/0XZy/bXRPi+hG+hJ+Hs3 ZpSmcIIqe5j5nHfaTsxzEj0N/IK7T55nPKfnud5zMDqwka1H2HjSXoVso91t2n1kVaWl2j1/80hk qIcNppRdhYNigdb2wetvws8hT5iQmu2p8ip4iscQxH3aWWXbJ68DvbkkFSSyzj1VHtclbHZ+G2c8 xKl1cF17Cb3doSruSvEAIR3VY/yjRRU+cS/Rz/SMFMnWNPegmYpbfL3+TZnXO+X7r+QJeOBAGnRm yq3dZTQtoz/+zw2lw4CJMWdJjT9zjopurOeQAVmVo4jGj3IPHQc5cqPEilPnSLCCGhQBTBPaU5WK 3bMnK2ZrtFQ5lFbTgSLqkTqtNe258Xovmao9voLIl28fK9foJHuigy38s273qJDKgULHOFOFA4hN lVu5j21CVs6oqarAEAPlZxCIzlWbmgoZ/+oKjRpUb9sosRW75S+v3/rm0G6aQxACCusXE2BnXu/V C79CrJF+ipd/z5QbI9Tr75l6euGHae7lg1nu9vq5clA5SFmVqdFev0A5cZXvSKG4j4tKrkRBC/sE mtRW7Z49fkKmdo/koCgVLCCsihhacV/a5PWeidQvsfq67do/6XlOuqcBBKgFDohVLLcLXlt1xwZE 8FhtUfmUF0Gh096/+Xn/EJ+89upSpS+OM5mKDy2qLpcYNA6MRlW73bPXT6b/ZmlpD4b2ZTONWOV7 4vVemNDz5IzvWUE7Y0+Vqwql8+2k75mdBllMEcYSDa2TyzE2jOUmfG4fxGnxS7Odih0GijVEnZzX 9jToCZOKxJHwIv+TU5wH8Rv7pr+EDQagY3sw/ew6WAryK1136m3rv1CGMv7wZvdcuS4twkaPCUJf L3wwSCZEY/EjSP6kMjoxn3EtKxFeovo3HDeTKlGpbA7dcNvqsglOhLuYlAhWg6Wk08OKv/TVBiHJ 827nGiJGrVbN1TqA8Pq/GdowaalMFFaxn8ly4Xbio3jCm6mQ+mbt1/axKCvhTJaLtwsdnkPFOMeN 96V10sv4JqMGLUFJpmtSiI9mHM3yKIdORZyRv+QlCWhFlTNoYYV16ufXJBNmcOI+8Y9+MvczGm3l A19Fl5FeCSDnVjeWXMvG+gJt+vQSwjbBXkK3Xi8WZClhUXwIi1apQ9Sdx+Yg0zIdm3HsZEGU4Lhx FFjdbtpzwp0voV6rCkVMiJIsASRHr2DPxxOBkAw1jQmL2mbLTf1kAmWflJbZlpXL3rKaadoRKID+ E3d3N/ZzNDsC5nrGg4ziSwGpV4NhG/h0ShRgBEOkzzDe2tPxKnbTX2iy4AkVDVGReuscEMmEhu9g 5vXel/DXltUH5YFnrnzUPaqFWuj4Y5HX8gGa7F9JFBLixhjc4M/WjKOnMiuG8ZmrsCpGaGWwZbVZ uzGrVh1fMFcAKgE3mKR2qhR1kz9h7IKDDbloHAzsWxU88zjW17zzegcYzU8mP9rHMz/myrVuocny LHH7upU2dtZ1bZ9PYemmC4lO8ufxkQjbfrOGA/Whnl6fQwYgweI6du1YBUg/+HprTmqfBxhlkE50 oj8B9ZGp17OWF320uLAqTwL8zTH5B7y6/Ykiwy0jhnZSPaKT/mnxYDAxTQaM1o+xYlejkP2cWnY/ x4n/3Jw9sBXCGbfyAc9g0h4XJt05W+GQiEk2QOwZlpL2MSTbhL/k8BhSfpGNIqCWcTPQr0Xd6Y3f Mrf2WT8ry7c5p0VumTugqVbTz2SYG41zcg775OxEgOJfoNK9S0vDca+sdc5BGrgkRx3MSYEMCP8d WXhqPguL8QLRzwCNlNGknesMZ9Q/atInM9kpo/MPeB4AB5CJVjh0NEP3ZHmIO2AydFM/MMO2pMSJ MJ4+PVMrNVkuO0baB4Kae9yyyLm+VC0zgGhAUVgZeVUZHIe0qz8RnnabCypExg0CjUS8UutxD8aP weNl2bR2Ql7vxV//YlutZUB/gj1VXvFu8Wj90NLqsNDr9fDjOIhMiWeqXIdF8dFqFyO7KrSbR0J4 5K1bGkFStlWjC2cYpYLTgNAWuuHJbWp0u2WP+kFqDL04NKhqqCkNMWRqnrzeC2t6zhSw2PHJY4hu GKjZlSiyUVSiuWkNa32dkMvY854pD2u3hgSFwXvcvAa6J+Fki00MiYVKGohMoA/E3VAXWxsn2ly5 NBkeCUNXWjGaXixB29oT6WOw3sigO71hY8N1tsfjwRHdQFBpkCJd1v9hQNaw0zNOb/v0DCGLTs9O ImjcMvdVjs8zHnra+gu5sL6CH15Vsuxah+slHSScQb6v6or5mvwd5ys4eGoe8wyS/q2DdFfsXuyR 1zt71UzPWjQA6Qwjfnb298odXXpioqxRbK7axkTTxv8AytQzVz7SbuHO4qpxtK8gYpjA3wpMRKlo tlQdCcEpCSAvpUyTLnXpaQkQ3WBQyGNgFpjYlphGN1uf0Aj0iOsqV17vAVjPryC/MgyKtclys0HN G6/mE3qZPslykgaSHQojLb2Efjjo2I7684wba197X07yT2gQt3WTBIBjDMsomh5ueijJxtENB42a I0LtGoyFbhuIQe1OOihu9ZkYPmn49QGQ3XhQoJGk9J1Ex1j3skI5v7eryd5h1Z96fwVN877WYDmj fQPnwHpFExtktQ5lmshqrcIgj0rceLA+JVxFJyBUWRBQAGq3ijtbff3goPsCwrAnr/9bWqFqMpEj zlT5Vug84bSdQo9Kbqu54/EuwTfLFJPRzQithi/wG2PjoX6MtaTYoE8Br2K0kCuerDKcUxj1ZF3U qmaXGxPadx3K0yBYlVFQzPoQMgpjFVc18g/8bbLEZ+DZNByTgOhmhVbyGercFiZ9ziMgmWlupU0I Me/pcsv2IVpRsQin8lCQVGY0TJbtyBdMXW5URCkzj4UIo91uVOrQO3GhUDDy9YtdGtNV9VTJkjJS 5jhdA7iMkxeKuz2HHPaoERNc5mfHcgv3yhZ930lzI/Rje6o8MlpPRATU2mz5pujiM8SN/B2pW4CP fWde09reU4UQU8AMzX8Ztp7xaLYpemp2098shGamny6+nX/UiYQuKXUCjWsBRP6Fv2pysbzw+o76 2OC90p0EBQQObyPGdVCfdiacLXVDZhrOTDZdfzF+CZYww3GjDeubjoyRSR3XevJS8K5SIkuWGrdN rNnpDrtp52tIRsPU3szBtKar6ChGJc28/m/Ae5EqlyS3U7y7AaKsjVZJakFOVCvVDfrlbSMPq4gN NzgJolDxmOZG5t8c96FwlVY9RwtTW5/APpQgWilh5glCyesmvql2019UbyC2s6+TeEwSIBMCGwdI 1+n8A559wHPjQgoPjJHPZLnQO8qmwSQqTVasydDkWkvaBD+Qsfdk+VwZraipD2KY54MY1ydvbfHA mGRAPIZeRIQZQsRcmFfUzos4h9208yKSrTZ6OoNWFhzkWaLmtU55vXeC/oU2QBiGLXXPlYu8FxLd m7H6qAcwpm0jGVLe3zDrNGTGDxIdFh/zGPcBer1ttUSafwOGZ+eFLumcKzSQkbpySFhC3t0kUcne RiZzlINYfSlAKEb8YAxe79Sk8dkpjCqMy9HoRjdMNCtabfQd1Ye2nqHJ6zPfjbKG+MY9W74dDAvN RsN+G42Fhf5ZRpYUpIJrFwYdlnD3AJjd+L7MuCWVKYZ9055MF7OVAeBjwPGb00Wcmrlfq3rp/ANO /dD3dBGGzrCQ/XwP/UBR84T5KHLSOe5E8WwtaXxX8E6iKE6ZBBqGeTGNjxfT+gDC9FohIWsTXstB cCqOJ9hf2h9kzezkMFrnRCdQ1LZ3FA2qTgmAAP3GCauoBQ1eg5Mo+s8ZITCJ6eVTlrqJoj3M34fo 9ZVKG5opH2gGIfY2Ux7d3ZoUL7yiQvJ7BpEU9jnr/4f4oogfhx8xOe9QZelc2FRmOZGiKCoVZVQ1 4IbMCxz2mQriQ7veDRUNxwycdQOSnwccXfZkeTV8DpRX8uNjy+oj9OI/13CsYgqm6OSKCl+i3Ymk TBztYJjg4QGvTOZltvVuq19f8BmDvc6fQcM40dVCslv+GtJTJa/E3swTCk6fo7Kh09YHkX/gb4GZ e3PvQOz2VHn1Oz491JrMTe0bcYu9ovIKBGTNDWR9iRYVCStrc7eYT05VRfwgAkUB+VFjLq/GjvYq mroPcCayCc7fcTYruoFHRqtokNoroKHDg2EECcuNFv2d6QtmxMifBrSTLbqKIgooCo19LXc8bSfn KutrxmljM1PJ8CVc1IpRoQ0cNzTTErYupR9TFky75zJgUAMi2x8KdfcZ2t5Av3Tn4Rm3ZIPgBpwL ho48JfP6v4lzuBgR0YMXYk+Vq0eln2zJ5rmA/9mtl9ONcsugHiSN6hvo5ItukvvamuY4456qtapm wfaNXg52FPFFM+Q1kc5fafZw5qrvm/YitdnEgf8BKoZV1o5khXtPpNb3Nd38A06e/dHuyiR77Xql ftaVW7cHVgl9dpusQVWM3E/EzqKdM1ytbLJcWaoxkZWtqnGXVxkZT43kWjhfh8y3tdDzJBJILTAE 2836tG/aK0WnvIeGhiQjk7C+plW07Qi8wQ0aTWPPFTnulYEccx68wc0aBUpGZnvap5zQTnAIJewy b4ROy95CjzOTjYqF3Is92mwNHAojlBl0+IDzmrTOwBoHgNNIHY1ZFTP4MDppo2h9qfHczqAeBcS7 bFvBU5PX37BGI7XZPMBqstb/Ff6n//rf/sN/+tf/8S//8T/863/+3/7xL//lP/3X//gf/v1//pd/ /T/D/7X+n3/3P/+7//f/+f/+73/+z/7Tv/5v/+0//Mv/+D/+/X/83z//4VoP/5jjn//T//W//Pd/ +u9WRdu//268/V3+h7HXf5T29Xej/e78/rvp9nf5H64n/48Wvv6u/jsspq+/m29/l//hf/33//2/ /+v/8vV388XvxtvnGx/Pt0f/d+Pd8423zzc+nm8uX3/35vnG2+cbn8/33/zFX79783zj7fONl883 3j3fdPt80+P5ji/rOd0933T7fNPj+Y789Xdvnm+6fb7p8XxL/fq7N8833T7fdPl8093zzbfPNz/3 5y/vUf4833+zi//+3bvnmx/Pt375LuS755tvn29+PN9v+1W+e7759vnmy+eb755vuX2+5Tzf/o+Y /N8tn+f7bZ7L7fMt5/mWlx3h1+/Gi3VVbp9veTzf9GXfKHfPt9w+33L5fMvd8623z7c+nm/4Ms/1 7v2tt8+3Pt7f8GXfqHfvb719vvU83/ryF3/97s3zrbfPt14+33r3fNvt823P7++X97fdfX/b7fNt j+fbvrxH7e75ttvn2x7vb/1Sb7S759tun2+7fL7t7vn22+fbn/Xzl3NKv3t/++3z7Y/nG7//7s3z 7bfPtz+eb/6yX/W759tvn2+/fL797vmO2+c7Hvtz+VJvnP/ur79793zHc3/+8nzH3fMdt893PPbn 8f3fe/N8x+3zHZfPd9w933n7fOfj+aYvdey8q6/m7fOdl9/feVdfzdvnO5/v75f9ed4933n7fOfl 852X+MY1gBWfCFb9hnBcQljxGsOKTxArfQM5LlGseA1jxSeOVb7UHfESyIrXSFa8hbLiLZZ1D2Y9 0az5ZdeM8e5zHO/xrCegVb8AD/EW0bqHtJ6YVv7Lv/rqWd+jWtew1iWuFa+BrZgeO3f79q9Od1t3 vMa24hPcKl8+GvES3YrX8FZ84lvp2252CXDFa4Qr3kJc8RLjitcgV8zPZ/1twvPls77GueIT6Ip/ +emrZ30NdcUn1tW+7eGXYFe8RrviLdwVL/GueA14xfLcw79UKLFcfq+vMa/4Ab3a96ZEuXzW17BX fOJeXz8fl8BXvEa+4i30FS+xr3gNfsX6eNbf0PpYL5/1Nf4VnwDY18bXJQIWryGwWB/Pun/BZuIl CBavUbB4C4PFSxwsXgNhsT1PWt/+1ZdQWLzGwuITDOvfNtJLNCxew2HxiYflbyv8EhCL14hYvIXE 4iUmFq9Bsdif3+tvE94vn/U1Lhb7bW12iYzFa2gsPrGx+W2FX4Jj8Rodi7fwWLzEx+I1QBbHYw9P 3571uHzW1xhZHI/vdfxWKlyiZPEaJovj8azHt2V2CZTFa6Qs3kJl8RIri9dgWZzP7/W3Zz0vn/U1 Xhbn7bOel8/6GjKLT8zsG5chXoJm8Ro1i7ewWbzEzdI1bpbCYw//1nlO4Q5LSde4WXriZvnLy5Uu cbN0jZulJ272rX+VLnGzdI2bpVvcLF3iZukaN0vxWZt9+1df4mbpGjdLT9wsfKnD0yVulq5xs/TB zep3btQlbpaucbN0i5ulWz7YPSHsyQj71oFPt5Swe07YEzf7hoenB272dZnd08KeuNnXLeWWGHbP DLumhl3iZukaN0tPdlj70q1Nl/SwdI2bpSduVr69XPnyWV/jZumJm9Vv3MNL3Cxd42bpFjdLl7hZ usbN0pMplr4xH89/+PefvnzW5flef1tml7hZusbN0hM3K9+2lEvcLF3jZukWN0uXuFm6xs1Sva3N Lmlj6Ro3S7+IY9+WWb18r69xs/TEzf72r7561te4WbrFzdIlbpaucbP0xM2+TvglbpaucbP0xM3q t1LhEjdL17hZarfv9SVulq5xs3SLm6VL3Cxd42bpiZuFbxN+SSdL17hZeuJm/S8/ffWsr3Gz9MTN vnFh0iVulq5xs3SLm6VL3Cxd42bpySz7hpulB272dcKvcbP0JJd9A6/SJW6WrnGz9MTNvvHp0iVu lq5xs3SLm6VL3Cxd42bpF8vs2wqfl+/1NW6WnrhZ/jbhl7hZusbN0i+u2ReGRrrEzdI1bpZucbN0 iZvla9wsP/lm8cvpIz9ws38zOf/005eanPB41t+4CvkSN8vXuFn+hZt9+XzkS9wsX+Nm+RY3y5e4 Wb7GzXK8xFJyvKvN8jVuluPjWX/raeZL3Cxf42b5yTerX97rfImb5WvcLN/iZvkSN8vXuFl+8s3K l0NuvuSb5WvcLKfHsw7f3utLvlm+xs3yEzfrX04f+RI3y9e4Wb7FzfIlbpbvRZX59llf8s3yva4y 3+7ht8rKe2nlL9zs27O+FVfeqyuv5ZWXuFm+xs3yEzf71ljM5fJZX+Nm+ck3+/rRPP/h3//Vl8/6 iZt904flS9wsX+Nm+RY3y5e4Wb7GzfITN8vfPpqXuFm+xs1yfe7h35bZJd8sX+Nm+YmbfdVqX+Jm +Ro3y7e4Wb7EzfI1bpafuNnXl6tdPutr3Cw/cbNvjcV8iZvla9wst8szV77EzfI1bpZvcbN8iZvl a9ws90cdPr65IFziZvkaN8u3uFm+xM3yNW6Wn7jZ193sEjfL17hZvsXN8iVulq9xs/yLb/btWV/y zfI1bpafuNk3GUK+xM3yNW6Wn7jZ33766llf42b5FjfLl7hZvsbN8gc3Wxvpt93skm+Wr3GzPB/P unwrkC4VmvkaN8tP3Ozr6eMSN8vXuFm+xc3yJW5WrnGzEh7P+pueq1ziZuUaNytPvtk3wkC5xM3K NW5WnrjZN513ucTNyjVuVm5xs3KJm5Vr3KzEx7P+1ucqD9zs32z1//TTl8/6l07zLz999ayvcbPy xM3CNy+fS9ysXONm5RY3K5e4WbnGzUp6POtvndySLp/1NW5Wnnyz+JefvnrW17hZ+eBm9asWoFzi ZuUaNyu3uFm5xM3KNW5W8uNZf53wfLmHX+Nm5ck3+4aHl0vcrFzjZuWJm31j2ZVL3Kxc42blFjcr l7hZuTcm+6XT/PavLndnrnLvTfbEzb6aol3yzcq9PdkTN/vmf1MucbNy71B2bVF2iZuVa9ys1Mez zt8+mpc6zXKNm5Un3+ybAW25xM3KNW5WnrhZ+4KHl0vcrFzjZuUWNyuXuFm5xs1Kezzrb3h4aZd7 +DVuVtrjvf5mxlMucbNyjZuVJ272zRe2XOJm5Ro3K7e42f/P3Ns2S3IjV5rf9Stqe2zMds1S94bj HR+ryZppSnwTi90azdgajWqWJNqwSS6b7Nn59xtAZNwMVEUAD3ItYdEyXZD3gpHIQHgcx/Hj7gby ZgbzZmarN3M1vIa8mcG8mfGbva4dcg3kzQzmzcyWN6u+UiBvZjBvZihvZiBvZjBvZrZ6s1odpNvE 9kfDvd7yZrWyPAbyZgbzZobyZgbyZgbzZobyZgbyZgbzZmarN6tV+TIb3qx6wzFvZra8Wai9SKHe zGDezGx5syqDBHkzg3kzQ3kzA3kzi3kzO232usal2Im9wy3mzexWb1YTc1rIm1nMm9ktb1ZjFSzk zSzmzSzlzSzkzSzmzaxs9roW+7DC7Npi3sxu9Wa1ugoW8mYW82Z2y5vVNEgW8mYW82aW8mYW8mYW 82ZWbfzwWmVZq6BdY97MbnmzGqFhIW9mMW9mC96s8dForzFvZilvZiFvZjFvZvVmr2vOsNXsfG0x b2a3vJltfDSJc1nMm9ktb9b61mivMW9mKW9mIW9mMW9mb7xZvbKvNex8bTFvZs3WD6+4hRbyZhbz ZnbLm9XCLhbyZhbzZpbyZhbyZpYX97ebva6R0tbCveb1/SlvZiFvZnmJ/y1vVks5sZA3s7zKPy7z D3kzi3kz6zZ7XSOv7IY3q8W5LObN7I03M1WZhHXwHY55M7vlzWqVQyzkzSzmzSzlzSzkzSzmzazf 7HWtbqH1cK8xb2a3erNaCSYLeTOLeTO75c1aH432GvNmlvJmFvJmFvNm9sabmWq1RhvgOxzzZnbL m9UE+RbyZhbzZnbLm9XYQgt5M4t5M0t5Mwt5M4t5M7vVm9Vy7e2GN/tg2nsfDfe60JvV3uFQb2Yx b2YjtWvIm1nMm1nKm1nImznMm7lpc+aqEZVuYmcuh3kzt+XNplpzHsibOcybuS1vVqvM6SBv5jBv 5ihv5iBv5jBv5mSz1zU1jhP2DneYN3MC3+EO8mYO82Zuy5vV+HAHeTOHeTNHeTMHeTOHeTN34818 VYPkFLRrzJs5tbHrGm/mIG/mMG/m1GavpfHRaK8xb+Yob+Ygb+Ywb+b0Zq9dxUtxG96sesMxb+b0 9h1eMy6oN3OYN3MFb1Y5cznImznMmznKmznImznMm7mt3qx25nJQb+Ywb+a2vFktvchB3sxh3szd eLN6dqqDvJnDvJmjvJmDvJnDvJnb6s1qSmkH9WYO82Zuy5tVQRPyZg7zZq7gzWrvcMibOcybOcqb OcibOd4gc6s3q1XmdA7uNe+Ruc3T/PAcV3402mveJnPLm9Wiew7yZo53ysStMiFv5jBv5rZ6s5pw 10G9mcO8mdvyZrXYh4O8mcO8mdvyZrX64Q7yZg7zZo7yZg7yZg7zZi5sz1y1vQ5wrzFv5ra8Wa20 moO8mcO8mbvxZrb5rdFeY97MUd7MQd7MYd7Mxc1eV7v+Rni+xryZ2/JmUnuHQ72Zw7yZi9u9rr3D IW/mMG/mKG/mIG/mMW/mb7yZrVZ/8hverMaResyb+S1vVm16DHkzj3kzX+jNan2PIW/mMW/mKW/m IW/mMW/mZbPXtSfcC9xrzJv5LW9W68/lIW/mMW/mt7yZanxrtNeYN/OUN/OQN/OYN/Nb3qz6hEPe zGPezG/1ZrZyvvaQN/OYN/Nb3qxWWs1D3sxj3sxT3sxD3sxj3sxvebOaQsNr5pt5zJv5rd7M1244 5M085s38ljfTFT/cQ97MY97MU97MQ97MY97Mm81e17gUb6BdY97Mb3mzWvKFh7yZx7yZ3+rNamyh h7yZx7yZp7yZh7yZx7yZ3/JmNaW0h7yZx7yZ3/JmtUOuh7yZx7yZL3izyunDQ97MY97MU97MQ97M Y97MF7xZDTQhb+Yxb+a3vFktzuUhb+Yxb+a3vFmoPeGQN/OYN/OUN/OQN/OYN/Nb3qzWJMt7uNeY N/Nb3szVjAvyZh7zZt7TMxfkzTzmzTzlzTzkzTzmzXzY7HWNvPKQN/OYN/Nb3qxWFNRD3sxj3sxv 9Wa+tteQN/OYN/OUN/OQN/OYN/Nb3qzWs8lveLPqDce8mY8bLkVV5E8e8mYe82Z+qzeraZA85M08 5s085c085M0C5s1CoTerGFeYmF0HzJuFaXvmqrzDA+TNAubNwpY3q/HhAfJmAfNmgfJmAfJmAfNm Yas3q4m+gjC8Dpg3CwK5lAB5s4B5s7DlzVrfGu015s0C5c0C5M0C5s2C2ux1LWMxQN4sYN4sbHmz mi4lQN4sYN4sbHmzmqIyQN4sYN4sUN4sQN4sYN4saHi+DpA3C5g3C1verFagJkDeLGDeLGx5s1ou QIC8WcC8WaC8WYC8WcC8WbjxZvOLtIZcME8zYN4sbHkzU9tryJsFzJuFLW9WO30EyJsFzJsFypsF yJsFzJsFu9nrWn2zsOHNanGugHmzcOPNTDVPM0DeLGDeLFC9WYC8WcC8WaC8WYC8WcC8WdjyZrVu g8FBu8a8WdjyZtUbDnmzgHmzsOXNan0BAuTNAubNAuXNAuTNAubNgt+euWo3HPJmAfNmwdO9hrxZ wLxZ2PJmNUVlgLxZwLxZoLxZgLxZwLxZoLxZCIxLCZg3C1verKZLCZA3C5g3C1verJa7FyBvFjBv FihvFiBvFjBvFuJ2r2uEBuynGTBvFrZ6M1VzhiFvFjBvFra8WS3vI0DeLGDeLFDeLEDeLGLeLG55 s5ogP07sHR4xbxa3vFmt5lWEvFnEvFm88WZ1uXKEvFnEvFmkvFmEvFnEvFmUjV3XkCsKs+uIebO4 5c1qbmGEvFnEvFnc8mY1rUKEvFnEvFmkvFmEvFnEvFks9Ga1Gw77aUbMm8VCb1YhryLkzSLmzeKW N6vFNCPkzSLmzSLlzSLkzSLmzeJWb1bzUqKGe415s1jwZrW9hrxZxLxZ1NSuIW8WMW8WKW8WIW8W MW8Wt3qzGpcSDdxrzJvFLW9Wq4MUIW8WMW8Wt7xZ9TGDvFnEvFmkvFmEvFnEvFm0m72ugqaFe415 s1jozWovUsibRcybxYI3qxwBIuTNIubNIuXNIuTNIubNotvade2GO7jXmDeLjuI15M0i5s3iljer hc4j5M0i5s0i5c0i5M0i5s3iVm9WO+TeJrY/Gu71ti9ATeoWIW8WMW8Wt7xZTdYYIW8WMW8WKW8W IW8WMW8WC96shtewL0DEvFnc8ma1JOgIebOIebO45c2qrxTIm0XMm0XKm0XIm0XMm8Utb1ZjkG4T 2x8N93rLm1UJDcibRcybRcqbRcibRcybRcqbRcibyYSJs9vUzJxV3me3mY1QV57I9vs2NRclrbxX bjMbZE6eyLb8NjXxZ6HCJG1mgjvPdv02tbXtt5nNT8ck2m1q7uBUebfdZjbC2Xki3fctkSbVOw+Z tDyR7rts9r0GKZuZ4M7Tfad02m1m89MxoXabmpVo1e8OpWh5It33LalWi7/dZjb3HdNqt6kt7eFm JrjzdN8ptXab2fx0TK7dprZOZreZzX3H/Nptaos4v81svucxxXabmuveVd91kGTLE+m+U5rtNrP5 6Zhou03N2fmVo9JtZhPfMdd2m5oFDtU7D9m2PJHu+5Zvq9U73MwEd57uO6XcbjObn45Jt9vUvO9V zwrK1fJEuu9b4q3WyeE2s7nvmHq7TW3vOyTf8kS675R+u81sfjom4G5Ts19X/e5QupYn0n3fknC1 Zl+3mc19xzTcbWo+w1V9G0jE5Yl03ykVd5vZ/HRMxt2mZpa96lF7au+Yj7tNzQLVKr57iu+YkrtN bfWD2swEd57uO6XlbjObn46JudvUlhriNrNpcZibu01tidBvM8mn030v+LnWp7N9xwzdbWp73yFH JxMm6W5T875XPSuYFpon0n0vBG7VOw+ZujyR7vuWq6uzB5CsyxPpvlO67jaz9enC+TqZtvteQ1iZ 4L4L5+tkK3arniYEqt3yRLjvMm3tvcYeCOXrhPN1gvk6oXydcL5Otqq3mszwNrN55zlfJze+zlVr st5mkk+n+170KKg+dZSvE87XCebrhPJ1wvk6KRRwtfe8QAlcnkj3fcvX1Yof32aST6f7rqg/L5Sv E87XCebrhPJ1wvk62fJ1VYQVKIfLE+m+bxsX1HSXt5nk0+m+b/m6alxGKF8nnK8TzNcJ5euE83Vi 8HseSuPyRLrvtInBbSb5dLrvW77OV595ytcJ5+sE83VC+TrhfJ1sVXK1LqCbmeDT6b7bjb3Xmk3d Zjb3nfN1suXranV9NjPBd6f7jvk6oXydcL5OtnxdrSr2bWaLrxPO18mWr6uyRkL5OuF8nRR8XfWZ p3ydcL5OMF8nlK8TztdJoZ6rWhxMO80T6b4XiafVfYcKujyR7nuhoWt9d7bvnK8TzNcJ5euE83US NvZeK217m9liSoXzdbLl66rxd6F8nXC+TrZ8XU1jtZkJ7jzdd8zXCeXrhPN1suXraq3AbjOb9s75 OtnydbV8i9vM5r5zvk4KbV3Vq6R8nXC+TjBfJ5SvU5yvU1t9XfX8rqi+TnG+Tm35uuppQlG+TnG+ Tm35Ol172yjK1ynO1ynM1ynK1ynO16mtvq5WYu02sxWPU5yvU1t9XVXtoyhfpzhfp7Z8XS1/cTMT 3Hm675ivU5SvU5yvU1u+rnqSUgriu+J8ndrydbUq17eZzX3nfJ3a8nX1p47ydYrzdQrzdYrydYrz dUpv7L0ag1ZUX6c4X6e2+rpaFultZvOp43yd2vJ1tSrjm5ngztN9x3ydonyd4nyd2vJ1tSojt5lN i+N8nSr4uppXqShfpzhfp7Z8XajiO+XrFOfrFObrFOXrFOfr1Javq2W/3WY27zzn61Shr6s+dZSv U5yvU1u+rhoFVpSvU5yvU5ivU5SvU5yvU26z77UaabeZzTvP+Tp14+vqdeluM8mn033f8nW1Vi2b meDO033HfJ2ifJ3ifJ3a8nVVxuw2E3w63fctX1d/01K+TnG+ThV8XfWpo3yd4nydwnydonyd4nyd 2urrqnzdbSb4dLrvRfJr69PZvnO+Tm35ulB9z1O+TnG+TmG+TlG+TnG+Tm35ulrpiM1M8Ol03wt9 XevT2b5zvk5t+bpaGenNTPDd6b5jvk5Rvk5zvk5v9XVV3YWm+jrN+Tpd6Otqb9rbTPDd4b7rQl9X e+o05es05+s05us05es05+t0oa+rIaym+jrN+Tq95euqbxtN+TrN+Tpd6OtqpwlN+TrN+TqN+TpN +TrN+Tpd6Ouqd57q6zTn6/SWr6vG4zTl6zTn63Shr6vhu6Z8neZ8ncZ8naZ8neZ8nd7q66qREU31 dZrzdXrL19Uakt9mkk+n+170aqidYTXl6zTn6zTm6zTl6zTn6/SWr6sy5HrD11WZUs35Or3l66qn SE35Os35Or3l66rxd035Os35Oo35Ok35Os35On3j6xpxWL3h66r8vOZ8nd7ydXWvkvJ1mvN12mJ7 p3yd5nydxnydpnyd5nyd3vJ1Vd2FdnTfOV+nt/q6WgWp28zmvnO+Tm/5uqqmVFO+TnO+TmO+TlO+ TnO+Tm/5umr8XXv6nud8nS70da1PZ/vO+Tq95euquYGa8nWa83Ua83Wa8nWa83W60NdV7X2jr6vq bTTn6/SWr6vfecrXac7X6S1fV80N1JSv05yv05iv05Sv05yv03Gz73XuItJ953ydvvF1pp65oDd8 Xf1tw/k6fePrbJ2f15Sv05yv05iv05SvM5yvM1u+rqoxM5SvM5yvMze+rt5F5zaTfDrcd1PwdbV9 N5SvM5yvM5ivM5SvM5yvM0J5G0P5OsP5OrPl62oda28zyafTfRfq1xnK1xnO1xnM1xnK1xnO15mC r6sh7G0m+HS671u+ztcQ1lC+znC+zhR8Xc23MZSvM5yvM5ivM5SvM5yvM1u+rtYF/Tazeec5X2f0 5j1fzX83lK8znK8zW76uGo8zlK8znK8zmK8zlK8znK8zZuvXVe+8ged3w/k6U/B1rU9n+875OrPl 61z1mad8neF8ncF8naF8neF8ndnyddUTtLHQnzecrzNbvq7WrO82s7nvnK8zW75OV/ed8nWG83UG 83WG8nWG83XGbfe96lHTfFjD+Tqz5euan47OcYbzdWbL11XrGhnK1xnO1xnM1xnK1xnO15ktXye1 2IShfJ3hfJ3Z8nXVapmG8nWG83Vmy9dV1fuG8nWG83UG83Wmxtd9+sXrj7/59M2f3nz6P9aDtvYX ry5OLsFfRKuL95fpYuL8z/4S9UXSr6d59Gb+VbioaZr/ef53O///5C9K2YsxF+3STxMuLuRpMv9a xF1CuMz/9qH4uVxI3itlW+vNN7U5a/nylTlylm8u7JsL+uYCvrk6yzdX7Jsr9M0V+Ob6LN9cs2+u 0TfX4Jubs3xzw765Qd/cgG9uz/LNLfvmFn1zC765O8s3d+ybO/TNHfjm/izf3LNv7tE39+Cbh7N8 88C+eUDfPIBvHs/yzSP75hF980g8mdM4cQK9OGFunCA/7jyOHPXkoCtHfDk5jTMn0JsT5s4J8efk NA6dQI9OmEsnxKeT0zh1Ar06YW6dEL9OTuPYCfTshLl2Qnw7OY1zJ9C7E+beCfHv5DQOnkAPT5iL J8THk9M4eQK9PGFunhA/T07j6An09IS5ekJ8PXUaX09BX08xX08RX0+dxtdT0NdTzNdTiLc7ja+n KHMHqTvi66nT+HoK+nqK+XqK+HrqNL6egr6eYr6eIr6eOo2vp6Cvp5ivp4ivp07j6yno6ynm6yni 66nT+HoK+nqK+XqK+HrqNL6egr6eYr6eIr6eOo2vp6Cvp5ivp4ivp0/j62no62nm62ni6+nT+Hoa +nqa+Xqa+Hr6NL6ehr6eZr6eRnHa0/h6mkZqYaiW+Hr6NL6ehr6eZr6eJr6ePo2vp6Gvp5mvp4mv p0/j62no62nm62ni6+nT+Hoa+nqa+Xqa+Hr6NL6ehr6eZr6eJr6ePo2vp6Gvp5mvp4mvZ07j6xno 6xnm6xni65nT+HoG+nqG+XqG+HrmNL6egb6eYb6eIb6eOY2vZ6CvZ5ivZ5Au7zS+nqHKPCjNI76e OY2vZ6CvZ5ivZ4ivZ07j6xno6xnm6xni65nT+HoG+nqG+XqG+HrmNL6egb6eYb6eIb6eOY2vZ6Cv Z5ivZ4ivZ0/j61no61nm61ni69nT+HoW+nqW+XqW+Hr2NL6ehb6eZb6eJb6ePY2vZ6GvZ5mvZ4mv Z0/j61no61nm61mUh3EaX8/STAyYikF8PXsaX89CX88yX88SX8+extez0NezzNezxNezp/H1LPT1 LPP1LPH17Gl8PQt9Pct8PUt8PXcaX89BX88xX88RX8+dxtdz0NdzzNdzxNdzp/H1HPT1HPP1HPH1 3Gl8PQd9Pcd8PUd8PXcaX89BX88xX88RX8+dxtdz0NdzzNdzKO/2NL6eo5m3MPWW+HruNL6eg76e Y76eI76eO42v56Cv55iv54iv507j6zno6znm6zni6/nT+Hoe+nqe+Xqe+Hr+NL6eh76eZ76eJ76e P42v56Gv55mv54mv50/j63no63nm63ni6/nT+Hoe+nqe+Xqe+Hr+NL6eh76eZ76eJ76eP42v56Gv 55mv51GdldP4ep5WWoGlVoiv50/j63no63nm63ni6/nT+Hoe+nqe+Xqe+HrhNL5egL5eYL5eIL5e OI2vF6CvF5ivF4ivF07j6wXo6wXm6wXi64XT+HoB+nqB+XqB+HrhNL5egL5eYL5eIL5eOI2vF6Cv F5ivF4ivF07j6wXo6wXm6wXi64XT+HoB+nqB+XoB1dU7ja8XaGU9WFqP+HrhNL5egL5eYL5eIL5e PI2vF6GvF5mvF4mvF0/j60Xo60Xm60Xi68XT+HoR+nqR+XqR+HrxNL5ehL5eZL5eJL5ePI2vF6Gv F5mvF4mvF0/j60Xo60Xm60Xi68XT+HoR+nqR+XqR+HrxNL5ehL5eZL5eJL5ePI2vF6GvF5mvF1Ed 5dP4epFWUoallFkt5dM4e3kprJoyLaeM6ilPp3H48lLYHYAllSdUU3k6jdOXl8LuACyrPKG6ytNp HL+8FHYHYGnlCdVWnk7j/OWlsDsAyytPqL7ydBoHMC+F3QFYYnlCNZan0ziBeSnsDsAyyxOqszyd xhHMS2F3AJZanlCt5ek0zmBeCrsDsNzyhOotT6dxCPNS2B2AJZcn5BOeqMEG7rBBW2zAHhvn8Ql5 lw3aZgP5hCdqtIE7bdBWG6zXxomabeBuG7TdBuu3caKGG7jjBm25wXpunKjpBu66QdtusL4bJ2q8 gTtv0NYbrPfGiZpv4O4btP0G679xogYcuAMHbcHBenCcqAkH7sJB23CgPhxynkYcQjtxCGzFIagX h5ynGYfQbhwC23EI6sch52nIIbQjh8CWHIJ6csh5mnII7cohsC2HoL4ccp7GHEI7cwhszSGoN4ec pzmH0O4cAttzCOrPIedp0CG0Q4fAFh2CenTIeZp0CO3SIbBNh6A+HXKeRh1CO3UIbNUhqFeHnKdZ h9BuHQLbdQjq1yHnadghtGOHwJYdgnp2yHmadgjt2iGwbYegvh1ynsYdQjt3CGzdIah3h5yneYfQ 7h0C23cI6t8h52ngIbSDh8AWHoJ6eMh5mngI7eIhsI2HoD4ecp5GHkI7eQhs5SGol4ecp5mH0G4e Att5COrnIedp6CG0o4fAlh6CenrIeZp6CO3qIbCth6C+HnKexh5CO3sIbO0hqLeHnKe5h9DuHgLb ewjq7yHnafAhtMOHwBYfgnp8yHmafAjt8iGwzYegPh9ynkYfQjt9CGz1IajXh5yn2YfQbh8C230I 6vch52n4IbTjh8CWH4J6fsh5mn4I7fohsO2HHPf9ePvH33/25uuvPvnomz+9/vSPb/5HdgmW+/C7 r979P0+vvv7fP7+7zP/0/Nd3f768/tu/v/rq3V9/nn/9/V/eXT779v/d/mucfv2P/5zm/vb9L+++ e5X/9XfsA/O3/d1n3/742799++dff/vl+x///eLck59v7ZOZLv5JTRf1NN9pCy+Y78rvPn737Q/v fpm3ZXpS8y4+aXmef0zph77Ep+ifRT8p9+yegp3/7NNfwvLjop7n/yOftvoQv/vnd//66p//5w8/ ffvdyw37rz/99N3l65/mRXz7rz+8u/yXb7//4fKnb3/4/runV29++eWnX/4KP2C5P29/+/nnn375 dX7c7JNx6ZHSxszPaVThEn24TORq6nh7v/7p129/ePXRT7/9+Ovly2//+tfrP6ZVb3/75Z9/hR+0 LPv1zz+/+/G72VCe5sXO1hi1XYcp781EF77s6n959+uf/+NiJT0e2s/2ptah53Ir7P/ukx9/fffL 3779Yf7Xy8s/y/99e5C//OmHH179009vL6///B/fv/vb5jfwc5bb8OXrt2/ffPzqf33/6398/+Or X//j3Wwh03zbX/06G8+r73775dtfv//pxwucFu3T9J+Xr9s2Mhlt1VKz6pCtWi6zfQdq1fKhVcfZ gCUZtcv2PP+Y3xQyXzk+i32K5jmkT7oadFx+QKuWR1u1HFi1NsmqZ3iZX09mBg+JM8q0H2cZZday b9ZTsufg4jpgO5Q9s7YzRHrR2azz0HO5IWYt5zBrNdqsVRWsTTLr+cf0ZB21a7WP1lNCa+Wf1x/p yXrykgxbZvOeFsu2yagzaFtq2erRlq0OLDvoZNlxmm+Njd5eolPAsNUow1b7hj07Gcbr2fG9DtgS VQWvZ9f4OvRcbohhq3MYth5t2Lpm2M4veB2fJkPtWh944S7ZdcJqZdIPNZ+NnrR6nq3b2WTXkuza JJN204LozK71o+1aH9i1zUc7a+e3nw/z8dAL8cP1KLvWVcB+GbAh6l27zgbtMm4rp+eXdczeWSSX G2LX+hx2bUbbtakC9nwam0/DCbB9MvEZldqGbfYN2yRPXDJWJ7sVl67qwvPsjzufDduuhm27DNs8 2rDNrmGbpzjfEqVjcCZRO8pfjJsIZJtRpm12TVurZNPKT+uATdvsmbbLTribb8J16LncENM25zBt O9q0bfWIrZJpSzJCZahp2wPMTpar1PMK3Cofss2zqCenn+PskN8H2fbRlm0PIHtKh2yjbHr1aePD xShDTtl2lGXbfctOzrizMZNnNsSLo6Zo9yx7fmNrP4mzeZgPUPMbml9viGnbc5i2G23aruqNu2Ta s4+lnqyn7rjbN+0MyirxZ8rms/b8ECQqbXbHrUvcuLvTHXePtm13YNsuH7Pns2XiIewM2DL7t8C2 3Sjbdvu2vRj1lFHbxHl3qS26XYdc8gk7LgftkCJPHdcbYtvuHLbtR9u2b3vkKb6YXs/Qtv0BhZZt 225te3ZqZ9sO85l18cvvo9D8o23bH9h2OlQoo3XyaGbM0heTAqvtR9qPsm2/f9he2LMY8uDNZV5/ Oh0HeL19j1xnktwmp2B+y6XreXK9Ibbtz2HbYbRth5ptp5d68qXtguDIIw8Hh22VDtvu5bBtLy75 5v5pCs9miXTfcdIOj7brcOSPZ7uen+XZrk2Yf5rJELsOo+w67Nq1SsFsa/OBOw/4aBx2/fGYHHGv 7TLoi+q43BCzDucw6zjarGP1pB1ezDpSs44HZj29z6H5p+DnE/bsodsl4nUza03NOj7arGP1mD1Z m7VRsxdu9PyP7cc5jjLruO+Km+yDJ0VXGhR3xeNuMDvFvKYwqXXAb4k4yKzjOcxahkvPpKo9szb5 4iH54qKoZcuO+iz5ZvYl7JV5NJtO71GeJYlhnlOk5HLVpfXZtjxcfiZH+jOVrVvbdLg0RhI/nPRc 6IKDtCr7GrTrUXvhybtgW3ZFaFfcvg1d1xsjVzmLDG28Dq0qRIvXAJhPm0gtfEeJdjtu6xeWPJ1R 50N8otJmw5Zr6PtGpXEbf7wY7UiNll6ByvhpPnKKsj7OCJ7i8+iCg2x8X5C22Hjm0ZaB2+SuIs0l 41YS/Tp0XW+MjZ9EkybDRWlSVaVFtfjnejmAMxs/UKUlLebVQZfFQU+H1/ncrf2zfkrB0XvO3fJw TZocidJMFq/oZNohmBT/0STELcNkabKvS7v66EGvA7fHXWGa04lM81qtQ9f1xtj3SaRpMlybJlVx Wkxk2oytJknHqH0fqNN85tXi6qZLnD0Dp1Oge4rzCXw+Et4VDJOHi9PkSJ0mISO46NlS5v/Nz/eE wmEyTKAmBwq1ZOFeQlgHbpG7EjWXtWlTVOvQdb0xFn4SkZoMV6lJVaaWQrpZsTjl4zg08QOdmgov wnL7Iiy3MZ/EswDV+Dvd9IcL1WRfqeaWpBE36aAuQeaXlohFKD5MqSb7UrVrNlh6R6XBc6ZN9rVq 2T2fdHbWJzVxGaqMEqvJSdRqMlyuJlW9Wrbx2bpjz0l8R682b3dQpaYlZIl5SAfxGJ/jbOx3GvjD 9WpyJFhLsgBljXL2Erx3s+2kIy263iAD31espROFScLwdeCguytZ89nAvTLr0HW9MQZ+Es2aDBet SVW1FhLVZlJEy2DRmhyo1nL4O7PlKvnqOgtSvU16tWhnC0/B48W4w2Lm1MIfrlqTI9mazwybSdnc MRUQEJv8EHS9QRZ+oFvL2O3VtA7cIneFa27KqhYJ69B1vTEWfhLlmgyXrklFu+afRBZdqk/hMmrh B9o1Kx8Wa5imdBAX/eySEnpbrMFjA3+4dE2OtGsL06bUDOVRz19PwoQSu4dp1+RAvJbCZH7KhFse uEHuq9cWJt2aZdAdPv8o9ZqcRL4mw/VrUhWw2Qzh6XiZolsqi17oJd930n028BQoW6zcZnGqf1Yq UevzJ1hzJ4Y/XMUm+zI2l/lHZaOLbn4d5tRKTeQuMkzGJvs6Np1ZdO1eBm7iu0K2kLUASut16Lre GBM/iZRNhmvZpCpmS/n+yUGPCcgphu+o2RLr4lYnffkhKbUkJYS6pG6bLTw9GHdZ+MMFbbKvaHux 8Enpi/eSKHWHLHyYok32JW0ms+jGuXXgFrmrabuew0WvQ9f1xlj4SVRtariqTVVVbUmudSXTAy/S UquptlBt7ppismSG6pT0HZ6iWTNMXF+RlofL2tSRrG2p1DeZ9CacXEqJ9BPh2tQwWZuqllbLaT55 4LVVqrXV3Dp0XW9MsZaTyNrUcFmbqsravFqyyGJSqFATP5C1mVu8zC1sepwdvGThJj67Rbh6Txmm h4va1JGobZKcbaISI2l8clZjJNkmapioTR2I2rKFqylr20TPp4wlvMU290ML17OFu6hzrdI4hdnh szZfUKMLjjHxk6ja1PhSa1VVm8u11mwKbU04UVQdyNr0e1ngLrnqQWcYV+mnLoutYeGLeny1tSNl 2yJPVxnBrVVqfsYnInxR4yquHZRcW4JlC+GmtOJpYGpf2rYExXMaippSbrHuueAYKz+Jtk0N17ap qrZtKX+sc0VFHDVTO+K2nG5cpoPnFBSTKyr6VCpVTYWvzm384eI2dSRuS8cYZaaYmauUMekl8Yro goNsfF/cdn8KitoVt4Vk49pkUj0PXdcbY+InEbep4eI2Va/BFhb5ql/+CQlf1FENtnQcF1ukhfvw 7J8kzK66ivflj6qHC9vUvrDNZkJhPoyr2TeNPpVRCB6dxYcJ29S+sC2rCt2UKz7kgdvjrrDNppzw TDbmQTp8glHCNnUSYZsaLmxTFWGbf5qSnG1+34dcBgTa90Ehtkl/oGyTXMslK1jDEha/Q5+uHq5s U4el2GwuspgPtV6MXMyE4uJqmLRNHRRju5ZZ1OvATXxX2rakifto1qHremNM/CTSNjVc2qZAQbYw m7jFGSjqQNqm44uJmyujrlIySirLZlNDA7nTxB8ubVNH0jabStWZYFWqzBZSg5w4kURxNUzbpg60 bZlKd0GtAzfJXW3bteCiehm6rjfGxE+ibVPDtW2qWpdtUa8uB/GJSl/UgbgtO+JlPdVp/oSsbsuZ 4sbcaeQPl7epI3mbj4tCPUyzp560L04hGB8mb1P78jZJNJuJKUl4HoK5BJgxonbVbSHZtp6yilVP ydJpBooapW5TJ1G3qeHqNlVVt+U6TqnbW66tClH8oD5blrbMh+41TzyF4nzMyvT5NJ6SD+86iD9c 2qaOKrSFHBU3Qc3mnTqYKKNQyGyYtE0dlGjLtdkS838dOOTuSttysWQJQa1D1/XG2PdJpG1quLRN VaRt/imFPRL0pkqJ2L4PCrWJlF1MdD6Iq5woniui33sQf7i0TR1J21JwOUXLJFxcTDq3SaH+RMOk beqgWtsSFFcvA7fIXWnbNVxm3Dp0XW+MhZ9E2qaHS9t0Vdqm/JJi1iN70fv12oJb5ekqXOXpesbt xLSp3H5M7qydrB+ubNP7yja3lIKwwU/qElwiI8URMl0PE7bpfWFbilQaG9L7exl4r6JdYVtIGSja qWkduq43plvRSYRteriwTdeFbWFJMQvJEaMWfiBsUxnDwyps07l1aMhND0xi2lI73bsakT1c2ab3 lW2L7k85Y6yfb1ZqO62YhQ8TtukDYdvSN1S9DNwid4VtPuvSjTfr0HW9MRZ+El2bHq5r0/VqbdlL Vxe7dBO1yMIPZG02n8KTg56P4jNyhFxzNWO2S9TPtuaqwgb+cFGb3he1uacp2/SkUnt6m1LFHZG0 6WGSNn1QrW2Ru2QEzwO3x11JWz5+6ynKOnRdb4x9n0TRpsd3Eq0q2kJc7Du1HxFs4Afl2nIlF9HP 15qMucfNDCYpuUxcioe/VFU2fRb++Gai+5K2Fyc9zMYdVHoFaovaiY7rJ3qgaLtidzbxML9acQPQ /YaiGbptrseobCLpAzbxYS1FT6Jo08MVbbquaMulnDIlllgZxrTpA0mb9mvF1QXDc4aZZC/dJi49 xDtB/OGiNn1UrS3Hw503qQt2kCkVcyIWPkzTpg+KtS2FIHLn4Dxw0N0v1pYrvaTazNeh63pjLPwk mjY9XNOmq8XalpKrfnbSI9as6gNNW9asLbYdl5KrKRgeM5VuZwPPPVHuMfCHa9r0vqbNJVoiYbjy 8eJ1TH01UdsTPUzSpg8kbYubvhzDbdcxfFfSdg2WZSo9D13XG2PhJ5G06eGSNl2VtEW71nIKOO9E H0jacln0Mlg2pTptiUtPRNt0L9H2cEmbPpK0hWzhUwxLQ0J1UdoSSZseJmnTB5K2pcZLMOvATXK/ XNtSN11kHbquN8bETyJp08Mlbbouacu5ZSopUzxVtOmjVqM3E7dXE1c5Hm5ShllYwml31IHQDxe0 6bqgLZVSmE8cU0qwkvkM2m6xqYcp2vS+oi2XYrTG6mXoaF+m9yVtSzUnm8m2+WV34SfxUZI2fRJJ mx4uadNVSZuZliTxOJ+VMYrv12sLtiwD4XN3o5wcblOHwlsv4U4Qf7imTR9p2nKAzIQpWbi2qWi4 RhniepioTe+L2oxb0l2XfsK6o2y6ronalgN5UOGiFSXvRona9ElEbXq4qE1X67XZ3Boh9yicuInv F2zLotVF8xKvmpckC0v9wr1fzuN3al4ermrTRy1Ik2Q/OeghV4EI+mIUqsmoh8na9IGsbXHUzcvA Hev9im2ZSdfxZei63hgbP4mszQyXtZmqrC0pHHN/BEkWqBjdZvZ1bbnSi87mnbA8cbo6V3oxCbfz ufxyrccYlx/Qxs3DdW3mqGKbzTZuYuoyYJWb3dVk6O0H2wwTtplqxbbg1wHbpNlvRJqkq5MNOZPU enNxPdcbYuPmJMI2M1zYZqrCtpSgkPywlOypsY3vKNtmG89Z4iZ56SaB+XxwUzqZu8o8usSFvk/V 1JcfOP/EPFzZZg4bka45pLOJaImpVJki/RHMMGmb2Ze2XU/jmVrPA7fxXWlbLscoaprWoet6Y2z8 JNI2M1zaZirStjVWlmWmKYMK2viOtm22ceNWG9dhsfHEpofkq8uicCttHIfNzMPFbeaoYpssDcV1 bupp0zF0CiSH1AyTt5l9eduSZGZTSb5l4Da5X7Ft6YEy6XXout4YGz+JvM0Ml7eZirzNLs2GbUj1 E6OjrLrZL9iWBepGXnz1+fFKtTmfVS69Kn45j9989YBt/OHyNnNUsS0r1E2ckqujtfMX5S1pKG6G 6dvMQTvSpSpjlrDmgdvkrsDNJl9dtHoZuq43xsZPom8zw/Vtpq5vs1d927TkjDMcP2pHqnYySRNk zwfy3I5U2fu6FZqHC9zMkcBNci8z58Vdosxorlg7UjNM4Wb2FW7Xei9L1TbXU7XN7CvcFl7dhnXo ut4YGz+Jws0MV7iZejvStUuCTqEuyKub/X6k3r50KwzXboU+q9TNVcj6XsdhHDszD5e4maOybTHL 1H3y0kOOQCmFKLdhEjdzIHFbZOqi14Gb5H5D0mTiyim9Dl3XG2PiJ5G4meESN1OVuGm7tDqSnI9C TXxH4/Zi4uYldJartqV24u5pysdxc2ebBPNwjZvZ17i5XF1S2Rgnf/E+YyJj1YdJ3My+xG1JF/e5 11EeuEnuStyuJi5xHbquN8bETyJxM8MlbqYucXNL7dVUWA03STAHGrecD77x1JOTm7ocXTseqSW2 docExjxc5Gb2RW4uv/6U09N8jnF2SnXoBDFuwzRuZl/jtsC4z1Xb8sBtsla2bamfPvXUTzejNG7m JBo3M1zjZupl2+KaMJ70XCyf1ByUbXOJcZNUmFEStZ6rIKW0lDgf9FOumdyXTmoernEzRxq3FOqb QVy8nu9VzAaOQHyYxM0cSNwyzzbFaR24Qe5K3K7yFxfWoet6Ywz8JBI3M1ziZup125KIVZmLSd0E Kdt2ULct9zhZ6jLmBgm5gHqw16N4WPoZ3pFsZh6ucDNHCreQderBzr6Nk1Sq2KFmZmaYwM0ctCSd 8hHcmHXgFrlfty2TbVFehq7rjbHwkwjc7HCBm60K3HKlFz1dfGr6DS3cHnQkzU74Jp00HWJnFEwW Pp+8sxD+Lgu3D9e32aO6bSZXZlQ2FX0J6TYhBasdpm6zB+q25KN7u6jU04AN0u73I5WM3Vnkloeu 6w0xcHsSdZsdrm6zFXWbf5r82uNI4QYJ9qBsWy68uLjn2cBdhvBwLb0aUvWJ+wz84eI2e1S2zeSq Tjpqf7E61U7XhiST2mHaNruvbVs7Dpt14Ba5q227nsJ1WIeu642x8JNo2+xwbZutlm1Lkpd8aEtV UinTZg/qtklm2sxLNqlJATPrkuTF55zS6O5j2uzDxW32SNzm/JJsljOvtTapgjoRsNph4jZ7IG7L VJvIdXAX3D3U7orbTAqVTTo1ZJ2HaX5YJphsZkeJ2+xJxG12uLjNVmu35e5GNgtYjabiNnvQjfTl pL1EzCRJ5lJpZZd7oKgUfrqad1gMndr4w8Vt9kjcZrONG5/Z6WjUxaTSEMBQhonb7L64LWXzGht9 jpzF9C731CZr1dtkKcM6Pyt+sfGArjfGxk8ibrPDxW22Km6bso2bXL/BYhvfEbelRJSsazE5l/Q5 pzTqZOnPKiRgT8mld7YVtw/XttmjjqQxV34xLp1uJ0lFo51HzvowcZs9aEm62HhuKz4P0oHju+K2 a3HleK2xPN8O23PBMUZ+EnWbHa5usxV1m03gmxXIKU8kzkaOgma2pm7LwrYkVc9nwqxPt1njdo2b +zuA/OHqNltXt9kpl6qyyeMxgdRotMPkbXZf3pZrNLoY9ZJRGi8G2+R+BTeTpauSFaw+n8+pYzBK 3mZPIm+zw+VttipvS/Ktp1TaxD0FnFFq9+VtU8bpbN4pOG7dJdWEiCmj1IcE5CETfCnRLDnvXN5m Hy5vs/vyNpO1QUrHVHhUrM91zFAJNztM32YPSrjlwJn4rFKXnHRGbXK/hFuOiU9+GaZ4cZE666P0 bfYk+jY7XN9mq/q29ChkG8+JZxqybjv6tnhtWWbVi40vOWxTwvHok0pdLVG656uhe2zjD5e32aMa biZnm3mV0nT0NKOY8kKyzewwfZs90LctlVgnWQfOhO/q21zOGk8U/XXout4YGz+Jvs0O17fZir7N puKMS2WI3P2I+up7RdzCQrpl884lYMx8wFepuLpK+WypMoSf7qv+Yh+ucLNHCjeb5L3G2kRe6Tif So2Z5sccXXCQjR+0Js0n8ZQkdx24Te5L3Bad+uTXoet6Y2z8JBI3O1ziZisSt6WccE6NDqn/EcXx /SpuS76oXUWqZn5nSEJwlRquJBtPtcQysC+VIQTb+MM1bvawittSZjlMydVJjc100ETkZoeJ3Oy+ yG0Jnk3LeTwN3CZrzUm1W4eu642x8ZOI3NxwkZuri9ySTn1+an0SRsEAuTsQuUnuiSAvGaU5aTya 56VeY3xKUad7ss3cw0Vu7kjkNumcM25StVqTAmh2Ihbuhqnc3L7KbT2Nyzpgi3T7KrecZhblZei6 3hALdydRubnhKjdXb06a6jQacwmJe6MWftScVK0JpTlGrnO5ZafSaTwVXb7WaXQvJo5ZdfdwmZs7 rOFmc0V1lQ4zUxZ+B9QXxQ3TubkDndvS+Wip/TJN9hIg4eb2S7gtHY+WCjAmceu0V5obJXNzJ5G5 ueEyN1fvTppMfHa7Qq6qCE38QOW2VH7xLyq3kDox6CR9CerZLQXX78Hwh2vc3FF30qxxc0nsPd+r 2WdPvbUdutwg895XuF1lrF6tA4fcXYVbziIVyQfxPHRdb4x9n0Th5oYr3FxV4Za7D2uZnXSHM1Hc QXPSlGS4dC5U6ipUV08xJCp9BvbUxdDcV9nJPVzh5o66k05LOqlX/uKSXCxaRSx8mL7NHTQnzRau Jr0O3CKr+jZZh67rjbHwk+jb3HB9m6vq21I/nGvXI4cRfF/eFjOAL91Qcl+UtQbrDN656Evw98nb 3MPlbe5I3pbk6crakJxcSRUsp9l2wGM9TN3mqs1Js0p9HvxFYYvcV7dlos3bnDbu09W5iY9St7mT qNvccHWbq9ZuM/alJ0rymqGN76vblnRR/XIOX3qiuBwVdwnEU32hu87hD1e3uSN1m8sg7uyU2pPO zmpACeNumLbNHZRuc7kso5h14BZZ07YtbnroctNHadvcSbRtbri2zVW1bUl8nWoXxdT7gxr4vrQt 9w/PKSgLlZ5LPqamR9d88VyE+Z5j+MOVbe6oOWnMPFvUMwo6p8MleNLVzA3TtbkDXdtSfXU5hruu Y/i+rm3RvPjroLng3Y3StbmT6NrccF2bq9dtywgul5AeCmrfB2XbckmX91uTiknHcG+TgYc7nfSH y9rckaxtyUEJk9MzgKeEHUnFVND1Bln4vqrtWtTJL076fIjGGSNuV9V2Leq0KFd1kv70XG+MhZ9E 1eaGq9pcvWrbLVbGEfygalviXxb0Xn6kdp45Xdwku196oWx9dI7hD1e1uSNVW64uadJRNpt6uBg9 oVjZMFWbq/YmzS1RUilFnkrqdlVt825qF1WSRaUSE2r+Xcf1xtj4SVRtbriqzdV7k+ZeKIlq00Iz Sd2+qG3JFndrklnSh6gnF1JFiJjLM0qRY4a16e7hmjZ3pGmTrFudz7GpBl3i0VUMyE8fpmlzB5q2 a0czWQfup+9q2kyuBKGmRKZPkprYYAsfpWlzJ9G0+eGaNl/VtElC8dyaLyE4NHG/35nU2JduR+7a mdTkci/xWkI9n9T9izYdM23+4aI2fyRqyzG/Gb2NvwST6pJPqLCTHyZq8/uithzKsMH5dcAm7mul 2xbZqu6RrfpRojZ/ElGbHy5q81VRWzqzJeVWSFQ4zD7x+31JrS57DycB2NNkk4WnqFmWwW8tHPcz 8w/XtPkjTZvP/vl8Ek8vQZcSYz1CcT9M0+b3NW0602wqF1jOAzfJXVGbk9ysMAvU89B1vTEmfhJR mx8uavMVUZvP0utFme7wWdwfiNpSHd81HL6K2paj+Gzz94va/MNFbf6ocFuuhWNclASGSuJFeU0K t/lhsjZfLdyWT+J54Ba5L2vLlZUl12/LQ9f1xlj4SWRtfriszVdlbVnMNrtdriNe5muytqxoW9ug zBaeU0+MfXYbOr2vNKN/uKjNH/YkzdVenMwn0NlTTxyyQwY+TNXmD1Rt1yTxl4EbZK0l6eSWYb5s z/XGGPhJVG1+uKrNV1VtQS3tCn1WkUIDP+pIOr3I2nLALPcyk4VOj2uB5Xss/OGqNn+kanPZwpXN oiCV6kCIIfWc/DBZmz/oSHrNLrPrwE280pF0fv7Xoet6Y0z8JKo2P1zV5quqtqCXmHhOQqEmvi9q i7eAmb9qXpYeZiGlmIXE2d8VEvcP17T5I01bWNJHJzddQohu/paIaBumafP7mraFaItZmJ4Hbo+7 mjabiyvHXJQxD13XG2PfJ9G0+eGaNl/VtC0V1H3Slyb5MuTSD9qRuh3V6mzrqe/wAuT+PtWqf7iq zR+p2nJymc1stHeJWNdClOl+mKzN78vabIqT+aWpuO9qKu53ZW02YfcUVFgGx5XufpSszZ9E1uaH y9p8Vda2JIgv7b+5m36ga9O3GhDx2lU8ZL2Lf7I2W7q9T/XiHy5s80fCNrcUV56MvsQUEJZcMgVd b5CNHwjblgxS+zJwG98v17aUeZnCOnRdb4yNn0TY5ocL23xV2OauJ3HJyaTUxo/6kU6ldjW/OpRJ CB5ibodSppByT/3hyjZ/pGxzOjdCiSlWptJZXGvUJMEPU7b5akvSENeBG2WtJelStm3Gcq6G9aOU bf4kyjY/XNnmq8q2XHc1vfR7skj9gbTNlHz6fLg381Wf55+iU9W2XOnljjRx/3Btm9/XtrkcelI2 mtk7DSmBVMKETHyYtM0f9CS9VoLQ68BNfL9cW46VefsydF1vjImfRNoWhkvbQlXalo6bKZqdhWnQ wsNRuTb3EhT3S1A8Meo5x8wmRj3cmWMWHq5sC/vKNpsUtrOF+0nHi0/KNhsMMPAwTNgWDnqSZj49 ysuADTLsC9uuNVfjOnRdb4iBh5MI28JwYVuoCtucrDmkDp/Fw76wLUP45iiu10ovdobz57i48Xew beHhurZwWKst14HQ0YdLCGE+jqMc8TBM1RYOKrWVGWaWZ4SF/VJtufGJWXLEdfLYLdSmh1GqtnAS VVsYrmoL1VJtWfOyZJhhFz0cqNr0h5VeJBdxms3cPl/rqt8D4A+XtYUjWdtS6CVO8xNuQy4gO38r g643yMIPirWl8g9eglsHjri7qra1jZleh67rjbHwk6jawnBVW6i3I10jZlmkQk38QNa29By+JZGm cE0umJ46MKRCL9bdaeMPF7aFI2Gby8fwZOTzMTwXMFJE9RKGCdvCvrBttXG9DBOv3BB2hW0rnZ5R PMb09u654BgjP4myLQxXtoWqsi1hba4cHJPIDYbFw37BNuVe2p/kWi8htT9RuTWCyq0RUgOwe1oV hodL28KRtC2Vwplxy6fYoqRqL2pCCShhmLQt1Cu2+YVrk4txS6YvveB7Rq5zong6p8yDT1unlzLN wKkZpW0LJ9G2heHatlDVtiWtcc4vU7kdCTTyfXGbNi9Gnvj02T9QOuWVpmuHZOqTuy9XPDxc3Rb2 1W1m6Udq1JSPt2G2b5X6+AIjH6ZvC7WabdbFdeDe9X7NtoVJX5Dc99RsC6P0beEk+rYwXN8W6jXb 1sbiMSnMqY3v69uceSkHoa7lIEKy5hQR17n+atlzGGeShofr28KRvi2VP1PGxFSgyuhoL1oL8taH CdzCvsAt11y1OnV1WAZuk7sCt2tcPAfN5kHxNJQwSuAWTiJwC8MFbqFet226Vl71S89hZuMHArcp 13xRLzVfsohVcqaZLAVY/Z1G/nCBWzgSuC1G7l1q6qh8NBclDnnrwxRu4aAhac4i1Quea92RGxb2 S7ctfJux68BfGqMUbuEkCrcwXOEWqgq3pQeKWkq3wR4J4UDgpnMmyvS8qtxyJkpqL5wbiYel/PId +rbwcH1bONK35ZovxqhUCC3VDr9oQUVfwjB9WzjoR7r0KrR2HbhJ7urbrhUhglmHruuNMfGT6NvC cH1bqOjb/NOURKzzS94vdRqZie/I227Eun3RsObYmeTYmaRkMx/vSycND5e3haPSbUpySYigcgm3 pNhTgejUwzB9W6i2I130bVOPvi3s69uy7kWWZglp6LreGBM/ib4tDte3xaq+zfhrLopOaSkweBaP BG7xJXjmrhVYXS4KYZIDn0s13mfk8eEKt3ikcDM5Z3xKXY5EVGIoFaqjHodp3OJB8bZctS1aswya u+pxV+OWC75MuQJrGjqicXGUxi2eROMWh2vcYlXjluuoaz3jeMTtzOJBR9Jcve29ui+ztceE5e5+ A3+4xC0eSdymXNhJbA5EWR8uJtViBU/1MJFbPCjdthSFWI7kacCoG/dLty2k+tIvIQ1d1xtj4CcR ucXhIrdY70eaDHx+4dusmYAGflS6Lb6cxdVyFg+JaEtR8efcc+Cuk3h8uMQtHlZuyzUhjArzN0nB YNFCDuJxmMQtVvuRLs56Grg97hduW/oNy8vQdb0x9n0SiVscLnGLVYlbSjZM73u/VHhi9n2gcMsA LvGlH2mcAVxs6oNi5NmnGPxdaWbx4fq2eKhvywY+TTGmEuop4mBQacY4TOAW9wVuKvvmSaRyHbhF 7lduW0w7k+p56LreGAs/ib4tDte3xXrlNrOw6dfMcWbhB5XbsnztPQsPWdim4mzh4u+E8Ier2+Jh O9JcQN0HFy+zYzrfJYsQfJi2LVa1bdlRT2fmjiP4ftm2xUPXmUr3iX7kZ/BR2rZ4Em1bHK5ti+26 bemslgRp2MJ3tG25NmNORJEXH31+EmbX3CRZm7sRbWYRqHeh+MPFbfGwdFsu7ORSF9IwD5cgiGYb Jm2LB9K27J6HDOV54Jhbk7blVgl56LreGBM/ibQtDpe2xaq0LWO4CikibrGF7yjb3vPSp5eIuI3J tpWfD+NTlr7dYeAPV7bFI2Xb9RweTLj4ZOCSOvaBx3qYsC1WG5KmNKNl4Ba535B0SUPReh26rjfG wk8ibIvDhW2xLmxLWShKX65ppagLSjwq3Ja99CR3kZRMKiqxd+E5PE3x2VxVb3fY98NFbXFf1Oae lh6FUetwcV6W2Dix72GatnigacuG7bPgNg/cHnc1bSlRzau4SNu6qsfEUZq2eBJNWxyuaYv1dqR+ sW9z7XeE7PtA02bU+/Zt5kNAYtjMs04VuO+z74cr2uKRos0vh/AY0vl7Xn9ExZziMD1b3NezXc1b 9Dpwc9yv15bFLin75jp0XW+MeZ9EzxaH69litV5b6nKVfHOXfTrooB/o2ez0Yt5hMW/3ZHOGuFEz fvvpTvt+uJwtHsnZru3EnfHzvUqpZiLIwIep2eK+mu3awmxRs6kuvN1Xsy2C1aXmi+3y90ep2eJJ 1GzztNEWvn7kUbkXtzQbzuJSmnqyXvPD3JOkS1+SxHMz0lQfJaWapIptIZ/FX5JI+1oV5k98rJ2v H/FhGmnIueLTlM4wVrvEJkeSYpavOMbU14/6IGKWwXyactPhmHSnKid3B3zF91VtCc0nL+lMPqW6 L0oZmC6erzjE3NdPOoG9D1e2rR95VEs9p5NKinAFbu779dtSL7sn414aky5NGHJ3cZWtPWeahXus /eHytvUjdhJRctK4scnatZ+fd5XEoMTahwnc1o/6wNqzJM/l2hDzoHhp5PWK+wFyvQTIp/leGFjH LV9wkLGfROQm03CV2/qRR5WeEribnDmSih9Qaz8Suk1lqafcvzy1P0pS+FSONa7lGvuqwOQPfLS1 H4ndkskoY1NNBNE2qhnPkq6EXXGUte/r3a5FIrxaB+x3r1d8/6SeiThllyFBvMbWPkrxtn7SCax9 uOZt/cij07pbKjfOVuk7XPkD2Zt5OZC/9EnRM8Qna5/RPmWhlbUicD2Y/ImPNvcj6dtVvK6TLNCq +Y5ph2q75SuOMvdq21I7rUOHue+q30xi5CY7qXXou+Agcz+J/k2m4QK49SOPMtH0UvxJcp03bO77 Jd6yiN3Iy8E9qTPmE0IqGqFUIulyuunt4N5h7Q9Xwa0fsVM2IvdM0SFXREwFDLVBZSPyFUdZ+0EH 0yWIHvU6dBjnrhZuaaYwLV2K09B3wUHWfhIxnEzD1XDrRx658knlOh/H/OyOzcaOIm3rJT8w9vBi 7PncbnM2q80VYmZov+alprpQ8+PRd25/uBxu/YgducxS0dHkBFQXUo0YIar2fMVRxn7QzjT3MU2B hOvQYZv7/UyzlStr16HvgoOM/SSyOJmG6+LWjzzqk3SF9kyxYWPfr/kWcuA8u/D2Ssmrpyk3UZGQ 0lATiRevwJ4MHtv6w5Vx60fsJJkvahmbisaY5MYrsaSwY77iKFvfV8ddNe72Zegwzf3GpleOzq1D 3wUH2fpJBHIyDVfIrR9Zk8jNzlnIJVepF3+gkcs696WIq7sie/7H1HZBPfvMBaZDfQZ26QH2h8vk 1o/YqeKaz+yTSWlrLqW0KGWZFz9MKbd+1EHCmp3WocM2d7VyJh/WU1ZuHjzPkMkXHGTsJ1HLyTRc Lrd+ZC0vVYWL61C8r5c8SDyXlyJwKaink9bdueecFndX1lr+uEeb+pFkLldltxJTrbxJ5Vanjmje 8xVHmfqBbC5nnyv7MnSY+n4duKVIlPHr0HfBQaZ+EuWcTMOlc+tH1tj4nIKeAsrU1A/Ecyk9+4N6 j0EnGl7HtZHSHUno+QMfbeyH5eAWY9fzCSVKKnVuJ2brwwR060ftU/EmTuvQYZq7EjqbYT06uw59 Fxxk62cR0cl4EZ1URXQhWfgMAC6Hk6Gty4GGbtHBb7PRZx/eZhI+Jh8+qjttXR4voZOjqnBRlr6n 85fzOkXZWbeVfMFBti7V1qeTWwdumrLf+zQL4V0I69B3wTG2LmcR0Ml4AZ1UBXRJ6J1KR4XsrFJb PyoOl0Xxfs1aFTdfdklIN/bZLaL4O7LS8+c92tT39XMuKftnr33y3l+cd3KBqC7j1HNy0AR1aZ+W XlXL0GGY+wXiEqqLyx689NH6Mkw9J2dRz8l49ZxU1XO5AsUM6H4p2M5YeDkQz7mpzG5LB9zcjyHl T8wevHqR25hr/jo19ceL5+RIPJfK6CkbUztRZ6YUd1Mo4ibjtHNS1c6FaR06THNfO7eguvXL4DqI ORmmnZOzaOdkvHZO2gXjVEopz5pZaOsH0jkd389klWkpFhemZ5tsf5vq1mHqjxfOyb5wzi26QqeN nu9RytGOieFi1xtl6QdNUZfW5nFahw5L35XNXdPVdVyHvgsOsvSzyOZkvGxOKrI5v/RMVCad1Tkv JweV40xZdUaybC61UjNPk87Yru619cfL5uSwelzucZ5dYD+l4lthQsF1Gaeak4MCcosLn2tM5aHD NndVc9emajnrbR5CD6wPU83JWVRzMl41J1XV3HJYn8+g11apDNYPisj5XIAmxdskKWlmfzdLZF2S zukky7vTgX+8Zk72NXNufkktdSKNvXifKPjUW4082+Mkc7IvmUumaLwxcR06LH2/jtxyWM+0nHTS csMkc3IWyZyMl8xJVTLnU32p9BgnfpzKaGRfMpf6lVwlc/oli93q1Dsx6Pmsrt2dWnh5vGROjiRz +XhunElV5aYQUyY46pOarzjK1vclc9ckdonr0GGa+xXlsi42GLMOfRccZOtnkczJeMmcVCRzPmlU JcVlXKruDlswrZf8UDKnP8hqnXJWq3pyqfpUSr24K6tVHi+Zk8N+qdnYQ0jpQUrSd/IeZbXKOMmc HFSXyxkvSRRwHTpsc79laqbkfJbE56HvgoOM/SySORkvmZOqZM7JYuwhOavY2A8kc6lE8lVCk5sq ZmQ3WUcTUqn33CDdLqDeJZqTx4vm5Eg0t6Swm8mn+uYu6IsOhiH7ONGcVHunOrMOHba5K5oLqRaV nkStQ98FBxn7WURzMl40J1XRnA+LFx/SQ429+APRnMr62Lgie+oqMOXMl3R6nI3dujsT2OXxojk5 Es3pnOXmlmYGRuY1O8eMfZxqTg5Uc/8/Ym61Nqr3KGRlmGpOzqKaU+NVc6peei6XhLa5RJzFaW5q RzaXzuzxpfJcTnNLerNcqCIp4Z/jUj36njO7erxqTjV6qfpEyItNx2BxKOymxsnm1EHhuWvLZLUO 3DbVrmzO5sO6iF4GuaiuC44xdnUW2ZwaL5tTVdmc1UuaWzpW81JU6kA3l+tMGrtmsKf8Kj07D8na U3abTuXg5UleEt24I68er5xTR5XnUjqXMlFSrEJsakQcI9LTqHHaOXXQW3VJe9FmHTrMfb/yXFhK zul16LvgIHM/i3ZOjdfOqbp2zi2n9tnXDhEf29WBeC53YLuWoXpe+OClYIWePyj78+pOV149Xj2n jtRz6UCijA3JBUoF1y6pWDwy93HyOXUgn8vo7v11MB2FJtV+r9V0bp9Crq07hRndLS49p4bJ59RZ 5HNqvHxOVeVz2ZVP5UtUcrQxuh/p5xLlni19+aGTuUeV6spayaqasNaVDX31adTjFXTqqPRcSsZX xkg6uSd5/EWnRofEYsZp6NRB6bmlGJV369ABxvuNV7MkXi91ZXVPS6d8wUHmfhYNnRqvoVPV0nM5 t1WlYlRZLcs0dOpAQ5daAC49IXIuey6//ORisvYptVH3+r7OEPkDH23s9QaszsZ4Cdou2llk6+Mk dOqg8NxSZjLXkHY2naMmjMS7ErqrXjbXolJRzYe/2HPBQbZ+FgmdGi+hU3UJnax57L7D1g8kdFo+ yG1dilHp1Ksx3J/wph4volOHhedyH5jZ2tUlmhTBsIGd2seJ6NS+iC4Xq/Bu0ca7Lm282hfR5SJU Uel16LvgIFs/i4hOjRfRqaqIbsF1l2x94rZ+0JE1F5AW96KNT9q8DOjOPNulevRdlv54DZ3a19C5 pXq0mySEywzt8zuR6eLVOAWdOig6l2NuIcg6dNjlvoJu6fkUX4a+Cw4y9LMo6NR4BZ2qFp3LoJ4b u+Uq0tDQDxR0uZxs0dnNPkWfGkLYZ5Oat9/pvj9eP6eO9HOpPLyy2iRKY8r4mNLZycM9Tj+nDvRz 1+7Lsg4dllnTzy3Bdu98T+BtmH5OnUU/p8br51RVPxevma1madYKg+wH+rkcZZfsuidgn4+GqU35 c+pl/KyTBv++FBj1ePWcOuzSmmPszml3CVPqjubZQX2cdk7ta+dSLU/jdS4xmYcOQ99v1LqksDu9 Dn0XHGToZ9HOqfHaOVXRzvncTnzpxRwUN/QD7VyqzvQk5gXTdU6hW5sxe7kX0x+vnFOH5eZydD3E lOo/OasvSmsmphmnnFP1jq2yDh2WuaucW3qtm6U1cxr6LjjI1M+inNPjlXO6XW9udt9Ncs6o+66P 6s1JkcOuFqpvdt/Fz+d044pzOhfS6Mfr5vRhtbnlnB6iv1hj3SXpZMGjrcep5vRBsTmfwTy8DNwu 9b5qLgfaVHbe89B3wTGGrs+imtPjVXO6XmxOL5huU3oyxXR9IJrL9aILTBd5mlLBaEnmHu4Fdf14 yZw+kswlS1E2zI/2xaWSNKIEUXJ6nGJOHyjmljw3F9ahwzL3q80tSa3Br0PfBQeZ+lkUc3q8Yk7X FXMJyXXi3hVPatW1anO3etFLDdnUk3lKcTa/xNnsHcXh9eP1crrRqnVKJ3btZ7dF+YDO6nqcXk4f 6OWuApqFlEstLLhtAr2ctZfYdcFBxn4WvZwer5fTVb1cDq/pHBGbuLEfyOV8eN/YQ9bPSKpB5dK5 905bf7xYTh+J5SSL5axNNTi1TZqUqFHBaD1OLKcPxHLLYT378PNge2x9XyyXpfA6Lpo5l4SDVECj h4nl9FnEcnq8WE5XxXL5sG70bOuOJ7DrWsE5lYPqy49cHF5SArtNpWmULRLYLTf2x4vl9GGb1qU0 Ta6aqsI0P+4qFblnVxxl7AdquWzsWi3DNPskgm1zVy139eKXzo1+fonMJ4N0wcAuOMjYz6KW0+PV crqqlsvhdGNSArvjxn6glpumIoH9WppGJ7WcSSd2X5am4Vkv+vFqOX2klstdX4x3S/eXpEgJlnnx 4+Ryut6mdVqHjhP2vlwu94BIbWqvQ98FBxn7WeRyerxcTtdrztmlWkVMMnhs7AdyuSyNXYw9Xo09 PSC5F0RuypyDbvcUotKPV8zpo6pzOePXTjFBvJgcq1aoHYQep5nTB1XnrhnsZh06jHNfM7eIY/0y uOS6dV1xkLmfRTSnx4vmdL3snF8rUVnh5n4kmvMvjnzG9typ1ZnnhafzyX24WrvvtPbHy+b0Ydm5 3KlVx1yVNRWV1c6wU/s42Zw+6NSa0l5syKUm89Bhm/uyuZzvYqNah74LDjL2s8jm9HjZnK6XncvY nvoxBV6uQh+VnctV4N1LQdkpp73YVK7CJqGsDUUPduHG/njhnD4SzqUAljJWkp7GzDZzUS4yR36c dE4f9GpdctymaR06bHNXOpeLS6bs3nXou+AgYz+LdE6Pl87petk5tRp75Nnrekc6F+NTqlh6tfWc vJ79eGUTHe/VemgPL7Y+cVt/vHZO72vnTNL9itIh93hys4t40VEssvVx2jm9r51bWrC7JINehg7T 3NXOGZ17r7ulBXtydXA+qx6mndNn0c6Z8do5U9fOxUU757OAGmrnzJF2Tr9IauKLpEZyr1YT1krx 97R/MY9Xz5l99Zxb6HinxdqLm6Yki0embsap58xBzblrnXhZB27qZr9Va9bHBp8z2EPqj9F1wTGm bs6injPj1XOmrp4zq6krnuVmjlq16vfVc/7Jm+f0GnnOIaz7xHPm8eI5cySeiznLzan5lRhiqrOt I7P0ceI5c9CqVeVubvnQnocOS98Vz137PGVZjdIzMvCCVmaYeM6cRTxnxovnTFU8F+2S5KZ7ktzM gXhuyWdVL5ae2kdpmY086mf95Py9lv546Zw5ks753H7dRusvQc2n9AANfZxwzhwI55aUF+uWwXSI aUylT+v8zli6r8+7p6j3boYJ58xZhHNmvHDO1Pu0+qVChUutHDCkH9WZC+93X08B/NQGYno2Cyl3 T4UK83jdnDlq05qbr9vonLvkrmgwm9WMU82ZA9XcIodf6kXrrnrRZlc1t8TWp6DWoe+Cgwz9LKo5 M141Z6qqOZNVcyoHwS2OtpkD2dz0kpmemTkVL8qn0tHKpnLxqfqU3Nn4xTxeN2cOdXPZ2CerzWzn KaF1Mii2bsbJ5syBbC6f1P1CwPsuAt7s92ldHPdcLjoPfRccZO1nkc2Z8bI5U5XNpVLI6YSdasZg At4cyeaysefi8PK85F7ohPCpfKx5junYuxXScI2sebxszhzJ5pK7o2ZEz8d0ZdVFRdbRzYyTzZmD Vq35lK4kD5Ja8nrscu/K5nLDl8lmjexkJQF9zwUHGftZZHNmvGzOVGVzxq/G7nlo3ey3ao1S2nou KKlUasCuU605UXdKZM3jRXPmSDS36OG1zT6xmn0RE1mlCjNONGdqheai6GVQPYz5rmjOuGzkWuVB +R5ibphmzpxFM2fGa+ZMtdBcsIsePnHl3Is/atWagF3l8/ryI50OdDZ2mdbkF3dH8ot5vGbOHGnm YtbBe1HzCzFFmkQmdmQfJ5kz1U6tS/NG3dW80exK5lzWwU9GrUPfBQfZ+ijJ3N/9/c7//u7v/tPf /ad5A3/8t+///fqfvfq3ee0//a+/Pr169fEXrz7/4utXbz7+5OtXr3//xZ/evPr6D5+8ffXpJ5+/ +T/m//C9r/bRF599+eknrz//6M3tnfHrL7+9++AelBOFTlR0okYT5/tCV5mnsnXmqWyleSpdq/C1 Cl+r8LUKX6via1V8rYqvVfG1ar5Wzdeq+Vo1X6vhazV8rYav1fC1Wr5Wy9dq+VotX6vja3V8rY6v 1fG1er5Wz9fq+Vo9X2vgaw18rYGvNfC1Rr7WyNca+VojXytfKl8pXyheZ8dCO1basdSetXJ8FY6v wvFVOL4Kx1fh+CocX4Xjq3B8FY6vwvFVOL4Kx1fh+CocX4Xjq3B8FY6vwvFVOL4Kx1fh+CocX4Xj q3B8FY6vwvFVOL4Kx1fh+CocX4Xjq3B8FY6vwvFVOL52QAFfKV8oXqfi+Ko4viqOr4rjq+I3VfG7 qvhtVR33leOr4viqOL4qjq+K46vi+Ko4viqOr4rjq+L4qji+Ko6viuOr4viqOL4qjq+K46vi+Ko4 viqOr4rjq+L4qji+Ko6viuOr4viqOL4qjq+K46vi+Ko4viqOrx2vV75SvlC8Ts3xVXN81RxfNcdX zfFVc3zVHF81x1fNHwDNnwDNHwHd8QxwfNUcXzXHV83xVXN81RxfNcdXzfFVc3zVHF81x1fN8VVz fNUcXzXHV83xVXN81RxfNcdXzfFVc3zVHF81x1fN8VVzfNUcXzXHV83xteOVxVfKF4rXaTi+Go6v huOr4fhqOL4ajq+G46vh+Go4vhqOr4bjq+H4avjDavjTavjjajqeV46vhuOr4fhqOL4ajq+G46vh +Go4vhqOr4bjq+H4aji+Go6vhuOr4fhqOL52PK58pXyheJ38UeVPKn9Q+XPKH1P+lPKHlD+j/BHl Tyh/QPnzyd0/7v1x54/7ftz1454fd/y434eXiVeJF0nXyEMWPGLBAxY8XsHDFTxawYMVPFbBQxU8 UsEDFTxOwcMUPErBgxQ8RsFDFDxCwQMUPD7BwxM8OsGDEzw2wUMTPDLBAxM8LsHDEjwqwYMSPCbB QxI8IsEDEjwewcMRPBrBgxE8FsFfn3iVeJF0jTwMwaMQPAjBYxA8BMEjEDwAweMPPPzAow88+MBj Dzz0wCMPPPDA4w487MCjDjzowGMOPOTAIw484MDjDTzcwKMNPNjAYw081MAjDTzQwOMMPMzAoww8 yMBjDDzEwCMMPMDA4wv8tYRXiRdJ18hDCzyywAMLPK7Awwo8qsCDCjymwEMKPKLAAwo8nsDDCTya wIMJPJbAQwk8ksADCTyOwMMIPIrAgwg8hsBDCDyCwAMIPH7Awwc8esCDBzx2YDBmGoyZBmOmwZhp MGYajJkGY6bBmMnNHa8SL5Ku0WLMtBgzLcZMizHTYsy0GDMtxkyLMdNizLQYMy3GTIsx02LMtBgz LcZMizHTYiOy2IosNiPL7QhjpsWYaTFmWoyZFmOmxZhpMWZajJkWY6bFmGkxZlqMmRZjpsWYaTFm WoyZFmOmxZhpMWZajJncjPAq8SLpGh3GTIcx02HMdBgzHcZMhzHTYcx0GDMdxkyHMdNhzHQYMx3G TIcx02HMdBgzHcZMhzHTYcx0GDMdNnaHrd1hc3fc3jFmOoyZDmOmw5jpMGY6jJkOY6bDmOkwZjqM mQ5jpsOY6TBmOoyZDmOmw5jJH0+8SrxIukaPMdNjzPQYMz3GTI8x02PM9BgzPcZMjzHTY8z0GDM9 xkyPMdNjzPQYMz3GTI8x02PM9BgzPcZMjzHTY8z0GDM9xkyPX0oev5U8fi15/l7CmOkxZnqMmR5j pseY6TFmeoyZHmOmx5jpMWZ6jJkeYybfdrxKvEi6xoAxM2DMDBgzA8bMgDEzYMwMGDMDxsyAMTNg zAwYMwPGzIAxM2DMDBgzA8bMgDEzYMwMGDMDxsyAMTNgzAwYMwPGzIAxM2DMDBgzA8bMgF+eAb89 A359Bv7+xJgZMGYGjJkBY2bAmBkwZgaMmQFjJr+deJV4kXSNEWNmxJgZMWZGjJkRY2bEmBkxZkaM mRFjZsSYGTFmRoyZEWNmxJgZMWZGjJkRY2bEmBkxZkaMmRFjZsSYGTFmRoyZEWNmxJgZMWZGjJkR Y2bEmBkxZkaMmRG/5CN+y0f8mo/8PY8xM2LMjBgzI8ZMvky8SrzI6hqLfhQvoCmpDXJoTl5WmhqP GNecvKxWlHtyH/bgeH/ysuKl1UV98qaTQ1q182D6um7zFD5s8/Xh9HXl9ik07smmqwNbu2zXLhpM v91zaS5Gtmv3jS3adHlga1fF09K8utquXX3YSOfD6bcnxn7Y6OfD6T1r17e1p96dYPpt7ZMB029r b++q7lu7ua3dPkUB029r183FmGLtzTtj+tZui7U3jc9u127J9J612761u8JWm1d3xfuxuU1uu/bW 63TTNYKt3W+f96n54vDbd2RsPu9++56JzTvj+9YeClttrj0U9735zITiPUOm96w9FmtvGl8s3pHN xcTieW/emdi39u3jbpvPb7Hy5hNTPOxNLOha93bh8z1vLbxcefN1Wi7dtpbeu3bZrt20dlQ2/kBq 1w6mb7yw5lft8wdEFWtvPetS+AOxBfCiut6P0ucPSOEPNA1P9Pa+m9bTLoU/0PQhpc8fENP3zBT+ QGiuvfAHfPPO9PkDYrdr980H2G7v+9R8xAp/wLdwSfr8ASn8Ads402y6W2QfsrlNrusVKX3+gBT+ gGreSF/4kM21++I9Q67es/awve++uZjQde6Qwh8wzV3t8wek8Aem5lus8Ad00/gKf6D9WurzB6Tr uFecVJvHtwKYNLh2x7pV4cg0cUmVvEbr8VKFP6Bbj5fq8wdUcdNta0dVcdebx1pV3HZDpvesvfAH mi9rVfgDumV4qvAH2l+1zx9QunjYm1cv+AHTetpV4Q/o5q72+QOq8AdU80aW/kDL9lThD4Tm897n D6jCH1AtD1WV/EDzqxb+QNPVV33+gHLFuaN5I13hyzQfgsIfcM3XUp8/oHzXGVsV/kD7ISj9geZX 7fMHVMEPNP0BVfgDaPrmeW8+M33+gCr8gdB8z5T8AJm+ue8t90H1+QPF6901H/c+VN0uvP0a6Fq3 nrrOerrkB1oPry75gdYW6T5/QJf8QMvwdOEPNB8vXfIDrdep7vMHdMkPNBfTFy/QJT/QemR05zOj u87YuvAHpOUP6MIfsC1T0n3+gC78gaZjpU3XWU+brvOS7vMHtO3y3bXt4k+17bvvff6Adl1nPV3w A7b5VQt/oMmc6D5/QBf+QPPcoUt+gEzf+L/Nr9rnD+iSH2je98IfaC+m8Aea7LLu8wd0LM57zXfk xh8wbXJOb/wB2/bDdJ8/ULwimw5q+YYEszfA1HzYu9ZtynhB6+Jm2vrtzfejKf2B1j03ff6AkS5M NYU/EJtfVbreMabPHzB98QJT+AO+ZXimL15g+vwBU8YLWgBvinhBM05jdJffbjqfd1O8Y5qLKf0B Mn2z9uad6fMHTOEPNIHGFP6ANB+Cwh/QzbX3+QOmiBe0Xxyuyw8zhT/QfKGaPn/AFP6AtLw8U/gD TZmHKfyBJn1m+vyB4nGPzaUXb/fmA9YlUup71kvXt3Vt2/V2LANjrVdv33NeuL3N0FLxlDchxnUZ aN8zXjziTR/Dd/EZxQMeW/ek7/ku3N3mOTkUSNSyhpL8an3LPl+3cHWbVEbsWndBfIXW/e7zc4vT RcvQus5FXajfs2ZVuLgt/6OMgLWQpwyAtTj4vviXKtzbViijjH5Nja1RhTg2gGt3rLuUxja2sox8 tbRT7wW+WrvT5deWYa+WlPq9qFfrDpYkV8Mm+2Je74W8GrhQRrxaLHoZ8GoF1fviXWW4q2mXBcZP rW9ZimHBtTvWXVJbrZWUzFbLGlzX/e7C+DLMNbVWUmB8i10pg1yteF5fjKsMcbUwvoxwtVC7DHC1 iPO++FYZ3mq+2QqMb1FrZXCrFW7ti20Vr+8mNBR3u/Ve6znpdL27dSFzaSUd6ILFah0X3wtqNSyh L6alpQcrdaF4bZ0XyoBWi+Dvi2f1hbO20SzXVP+VwSzfeEz6YlnvhbJa69Zdz4nuOQ/3xbHKMFbr BKCLc3zziS1Jq5btdGG8tj30si4Vrq1vWQawWrvThfFl+Kp5BwuMb70yy+BV693dF7vahq5cU9+s uzD+vcBVay+7ML4MW7WwsoxataItZdCKzO5Y9wbj2/oxXShYWqS/LgWtrXdVF8aXCr/W7S482Nb9 K17eDTem6x24DVXZpubGFOf41omrDFS1wtV9captmMo2/e4yStV685RBqqlhk30xqm2IyjbPImWE SsDsTdJca3e6MH4bngIr0T0c7HvBqYZN9sWmytBUS3ZrCoxvnXDLwFRLMNwXl3ovLNV6YotzfCvN vgxKtQJqfTGpMiTV8qlMoVhVrXW7HjqzLx5VhqNaQoZtNKqNImUwqrk7XRhvinN8K6fSFBjfyrgw XRhvujDelGmsreekwPhWhQVTilRb37IL400PvbZ5m5j2u6cQqLZe3j1rtlMPR2WLc3yLvbFTD7dm uzDeSg/XY4tzfCt+ZqXnPGy7MN4WZE9LLmYLjG+hny0xHszuWHfhwLbearb0YMFs7nfbLoy3pvAF W/e74Opb0Xtrengq22eXRemKlpdkuzDelsKTlhV3Ybx123W3UNu67VuwdZqzBca34nO2C+OtL3zv ls2X8fjW7pSCEzC7Y90bjDdNbYUtML7FmNmyWEXrOenCeFuc41sxHVue41vPSey6310YX9BrU+tV 1VPHxxYv79bLpGfNruDqWx6SKzC+lUjpCoxv6VhcF8a7kqtvraQrB9hJjx/oujDeFVx96zzsCq6+ hfGu4OpbZYFcF8a7UlPdsHdXcPUtZHUlxjfe3a4L411xjm+9u12B8S3G1m0wvh0HcF0Y74pzfItL csXbpPnE2h7+wfW9T7qkpa7g6luVV1yZaNL6ll0Y73zPAc35Hl/QFRjfisu6Lox3BVff0tG7rgoU LhSwA2Z3rLtML2ndwQLjW1lsrkguabEVrgvji8e7lW5cPN2tWF7xcDdvSM+afcHVt2LPvozHg9n8 fOa7MN4XXH1rH32B8a1ghy8wXoFrd6y7Kx7vi3N8Ky3HFxjfit77Loz3Bca3zn6+5OpbKykLTTRs wXdhvC/ItRZW+gLjW+ykLzV3jTeP78J4X2B8i0vyBca3UMSXGN94nfgujPclxreeWNfDU/niNRha 96TvPVhq7lrPd4HxrRR077veg10Y77s0dz70oI4vzvGtwmS+C+N9gfEtLawvNHetjGkfe86Wvgvj fQ8NWzwlTbTscby7npFQnuMbux6KeLxvPNuhwPiWHxi6MD4U5/jWyTxID1aGUnMHrt2x7lJX39jK oHrOlaHA+Ba3EbowPugezAkFxrcip0H3cIKhC+NDwdW3UkVDgfGtyruhDKGBa3esu+DqW/H4YLec d4urD13n+NCF8aHA+BY/GQqMb66kS3MXujA+lJq71kp817rL13drd/re310YH0IP6oTQw3mHLowP pa6+hSRl1ajWGyL28IKhC+OL290KVhd3u8VmFqRJq0pX172OBca3WL5YYHwrrh2ngjNp3OvYhfGx iMe37CaWuXONN0+UnvNZ7ML4WJ7jWyspz/EN1I6q57wQuzA+lrr6hr1H3XNeiLrrfndhfCzO8S2s jCVX37KGLl197ML4WAb+Ws9JeY5v7XxXPD52YXwsdPUt9Iuu6/kuufrW+6QL42Nxjm+hSCw0d61T fywwvsVWxC6MjyXGt57vsjpk6/ku4/Gt3enDnQLjWzgcC4xvIkkXxscujC9dkxbsdKFOD7nWWvM/ ffH2OvMF36e9E2UxT9Z5Hz5FxbzrMvfyd4p5mszbNLia9vj492a+rPHDW//eTLTKTUer5kzZrPND jHpvJrqX2xZW4NPhOhXc8U3PquY6FV6nwuvUeJ0a77vG69R4nQY/nwbfT4PXafA6LX4+LV6nxeu0 eJ0O30+H1+nwOh1ep8f30+Pn0+N1erzOsFln/S4FfD8DXmfA64x4nRGvM+J1RrxO/FrCq8SLpGss Fln9bL5KvsyOdQpeJ8ZMwZgpGDNF4XVizBSMmYIxUzRep8brxJgpGDPF4HVizBSMmYIxc1OFDsyE 68SYKRgzBWOmYMwUjJmCMVM8fXmKx+vEmCkYM2WLmVXEFoyZgjFTMGZKxPcTY6ZgzBSMmYJvJ14l XiRdo8LnTIUxU2HMVBgzFT5nKnw3Fb6dit9PRTddYcxUGDMVxkyFz5kKnzMVxkyFMVNhzFQYMxXG TIUxU1m8ToyZCmOmwpipHF4nxkyFMVNhzNxUbQMz4ToxZiqMmZsqbWAmXCfGTIUxc1OVDcyE68SY qTBm4iMHf3viRdI1anzO3FRcA9dky9QYMzU+Z2qMmRpjpsaYqfGma7zrGm+75vuOz5kanzM1xkyN MVMb6oNojJkaY6bGmKkt9UE0xkyNMVNjzNQOrxNjpsaYqTFmao/3HWOmxpipMWbqQM8cGmOmxpip MWbqiNcZqY+sMWZqjJn8tYTvJl4kXaPBmGnwOdNgzDQYMw3GTIMx02DMNBgzN1XKwEy4ToyZBmOm wQ+nwU+nwY+n4c+noe94gzHTYMw0GDONpe8kgzHTYMw0GDMN5maNo+9OgzHTYMw0GDMNxkyDMdNg zOSPJ14lXiRdI3bn8JOJH0z8XGJXDnty+KHEzyQOF+AnEj+Q+HkMdI3Yg8MOHPbfcJgAMx7YecO+ G10iXSFdIFxfER6ovR5xdAAHB3BsQNE4C44M4MAAjgsoKj8rogLVL0OdNRwTKEIC1Y+mEQEcEMDx gE3Tl/ZEttcUB3EsoAgFVG+PpfeR4iCOAyiKgzgKgIMAOAagqGuGIwA4AID5f0VlZpj9x+Q/5v5V pPeR4iAm/jHvj1+PdIV0gXB9mobJNcVBTPhjvl9TZwKz/Zjsx1w/pvox04+JfszzY5ofs/yY5Mcc v6Zh8U1PlPYV2RopDmqKg5jdx+Q+5vYLar+6RoqDmNjHvD6m9TGrj0l9zOlreh7EjD4m9DGfr+l5 ELP5mMzHXD51wfGbhy4Qrs9QHMQsPibxMYdfUPgfppeVE9kaKQ5i/t4oukaKg5i8x9x9Qd1X95o+ jZi4x7y9oaFuzNpj0h5z9obyopixx4Q95usxXW8oDmKyHnP1mKrHTD0m6jFPb6gczFAcNBQHDcVB Q1OODD0PGoqDhuIgdW8NRGps1HB9lvKiluKgpThoKQ5aGsq2FActxUFLcdBSubSlOGgpDlqKg5ZK pS3lRS3FQUtx0Br4/rYUBy01GYtthoauLcVBS3HQUhy0DvpllkatLcVBS3HQerpGioOW4qClOGip xMtSHLQUBy3FQbvFwVpKiaXnQUtx0FIcpBQKthi6QLg+N8F3o6M46CgOOoqDTuCz6CgOOoqDjuKg owS4ozjoKA46ioOO8qKOngcdxUFHcdDR86CjOOgoDjqKg46eBx21akfN2mG7pvFBR8+DjuKgozjo 6HnQURx0FAcdxUFHU2odxUFHcdBRHHRU5uzoedBRHHQUB/HjSFdIFwjX5yfoS3iKg57ioKc46IWu keKgpzjoKQ56ioOe4qCnOOgpDnpK0nuKg57ioKc46Kle1FMc9BQHPcVBT3HQUxz0FAc9xUFPXzye vnk8ffV4/O6helFPcdBTHPQUBz3FQU9x0FMc9BQHPeVFPcVBT3HQUxykLg/eabpAuL5AedFAcTBQ HAwUBwPVyQSKg4HiYKA4GCgOBoqDgeJgoDgYKA4GioOB4mCgOBgoLxooDgaKg4HiYLDQLwsUBwPF wUBxMND4YKA4GCgOBoqDgeJgoG/HQF+PAb8fqV40UBwMFAcDxcFA9aKB4mCgOBgoDtIwK76LdIFw fZHyopHiYKQ4GCkORpo3ESkORoqDkeJgpHrRSHEwUhyMFAcj5UUjxcFIcTBSHIw0oB4pDkaKg5Hi YLR0jRQHI8XBSHEwOrpGioOR4mCkOLgp2t+eyNZIcTBSHIz0BR7pGzzSV3jE73BaMilSHIwUByPF QXpkpRFMvMD31/fVHz//Os18+/Xrr77+5rPX/+2bj998+vpfvvns7ZuP8jy9dJh8b94nn783b/Yt X3/55dPHr79+/fbrL756881nv0+/ttOUPif96c1nf/z0m9d/ev3Jp9ev/g+//fj3EtPXf/nz568/ e3P965u//Pb7H77966/vfnklT7KZ86c3n3/8xVfXWX97+9OP3/95+es//OmzyvXTXzeX/4ff/vLz 9z/Ov5yvrp70bc7h5T9789kXX/3L9XupKbULSr/+/I/zf/PRl398m3+9+d3nn3y0/d3bN1/96c1X lQVeJ2zW+Prnnz+4BddZh6tMN//1f30z787bj9a//7d/+dOrv338/V//53XKP3/zxdd/eLP+9fPn 18vv07f45rM//Pfr76O+3pU/ffzJ23+8fu8g8fp95lv1xdvbp7/69vsv3m7+snzRD79lui0fLm7+ 5e/+7vevP/rH+Wt9M//3n77+/Zv1Ln387a/f/uu3f3336u27X/727pedeYuFfPLjv/0y36xffvvz r7/9sp399Ud/eProoy8++/KTT1++9L//+c+vzNO89S8Tvvhyfr4/+e/rvf/7L17+dPBML39cHpmv 3n7yxefFbrz64AFb5u88RcsfiudIit9unqTlt41Nvk7a2eblL3sbff3LB1t9/f3uZm/+trfd1z9X NvzN66+/+eTzr+dH+nX+byXd1jeff/SHz15/9Y9Pv//jJ59+nFdS/Pp6t5eX2Hyjru+4+TutG/BP v337y//c/uGbN5+//v2nbz6+TlDF395++eblL2LTzl7/+Id//uazLz5+eQ4/++m7dz+8+ifZ/v2D G3z7U2Ghf/zh1+//8u2v71599NNffv5tNui/vkydn5D1dfDt3759pV599duP8+R3r978+Lfvf/np x7+8+/HXy6u3v3736s133//6/U8/vvo///W373/4bn6szJP6ZpK//9fJ/V8vl1uer/WLzs/Xq3k3 33781evPXqakDdm8Zd7+7/kN88Mrmf7+7c/v3n13m/bF12/erm+i77579ecfvp+X8uq7X77//yo7 2+Y2kiNbf59fgXDEjdgbK2FQWe+OjY0LkZCEGb4ZACXP+gMCkjAj2hTJIKkZa3/97UYDJHA681je 8NoSuoA8XV11HsxJu9Dsq5f36+vmfj4Nbm4f1w+Dz+v79XA4hPd2+3L8MPiyuvk2WD0Mvt1+Hdw0 NQ6GNit8/st8MTndFXue4fPdS28vZyeDNHSD//jH+v6mUSvNnnLx7n5dnm9dW/DdpWXjPLt9/ffV /eDhbv3x76u7u+6Whs1LByPd4cg/1h+MgXI4sHnE1kf6w5EfVo8fPytDT6bNRJw1/n5xPlt0O6KU p6sX48Xb7W38eHv3+GOzco/+3kCiszoZjcKPHxtePKwfDt/iDt/S3E8zNh6OkcMx7a0cDvCHAzZ3 0I44P/p5Of958n45Pjk5fz853m2wxm9PpuPm3Uev37SvNca8/tMPrZcuj85PTiZH3beN9+PpYrn9 DuHbzXf8yjLc5sr3u20zWLHa5lXk9e6lPZNtXqK0fr6+t41aTB3Q+nmUCuv2MrfxdoSypJuXNQNv X+65d/uiat27C5pvt9ds0z6evLp8szyZvJts3tYso8nR2/NmwZ6cbDfv9Phksvn77rmm9ultXm1s 6GL3qnt68fKsfe1vT9HL3vjnS86+JPYlb1za+50m46JVb+/XmIyLdk3HajpW07GajtUUVlNYTWE1 hdX0rKZnNT2r6VnNwGoGVjOwmoHVjKxmZDUjqxlZzcRqJlYzsZqJ1cysZmY1M6uZWc3CahZWs7Ca hdWsrGZlNSurWVlNVpJVZAVJPVqQVqQleU3me475nmO+55jvOeZ7jvmeY77nmO855nuO+Z5jvueY 7znme475nmO+55jvOeZ7jvmeY77nmO855nuO+Z5jvueY7znme475nmO+55jvOeZ7jvmeY77nmO85 5nuO+Z5jvueY71FLYBVZQVJPmO8J8z1hvifM94TdpLC7FHabQu+T+Z4w3xPme8J8T5jvCfM9Yb4n zPeE+Z4w3xPme8J8T5jvCfM9Yb4nzPeE+Z4w3xPme8J8T5jvCfM9Yb4nzPeE+Z4w3xPme8J8T5jv CfM9Yb4nzPfo9mQVWUFSzzPf88z3PPM9z3zPM9/zzPc88z3PfM+zifVsZj2bWk/nlvmeZ77nme95 5nue+Z5nvueZ73nme575nme+55nveeZ7nvmeZ77nme955nue+Z5nvueZ73nme575nme+55nveeZ7 nvmeZ77nme955nt0q7CKrCCpF5jvBeZ7gfleYL4XmO8F5nuB+V5gvheY7wXme4H5XmC+F9jDDOxp BvY4A32ezPcC873AfC8w3wvM9wLzvcB8LzDfC8z3AvO9wHwvMN8LzPcC873AfC8w36OPk1VkBUk9 9ijZk2QPkj1H9hjZU2QPkT1D9gjZE2QPkD0/hi1GLQYtxiyGLEYsBizGK1KOVCPF7FosmmDJBAsm WC7BYgmWSrBQgmUSLJJgiQQLJFgeweIIlkawMIJlESyKYEkECyJYDsFiCJZCsBCCZRAsgmAJBAsg WP7A4geWPrDwgWUPLHpgyQMLHljuwGIHljqw0IFlDmz7kWqkmF2LxQ0sbWBhA8saWNTAkgYWNLCc gcUMLGVgIQPLGFjEwBIGFjCwfIHFCyxdYOECyxZYtMCSBRYssFyBxQosVWChAssUWKTAEgUWKLA8 gcUJLE1gYQLLEliUwJIEFiSwHIFtB1KNFLNrsQiBJQgsQGD5AYsPWHrAwgOWHbDogCUHLDhguQGL DVhqwEIDlhmwyIAlBiwwYHkBiwtYWsDCApYVsKiAJQUsKGA5AYsJWErAQgKWEQTiZYF4WSBeFoiX BeJlgXhZIF4WiJex5UmqkWJ2rUi8LBIvi8TLIvGySLwsEi+LxMsi8bJIvCwSL4vEyyLxski8LBIv i8TLIvGySBZLJKslkuUS2XohXhaJl0XiZZF4WSReFomXReJlkXhZJF4WiZdF4mWReFkkXhaJl0Xi ZZF4WSReFomXReJlkXgZWy6kGilm10rEyxLxskS8LBEvS8TLEvGyRLwsES9LxMsS8bJEvCwRL0vE yxLxskS8LBEvS8TLEvGyRLwsES9LZHEmsjoTWZ6JrU/iZYl4WSJeloiXJeJliXhZIl6WiJcl4mWJ eFkiXpaIlyXiZYl4WSJeloiXscdHqpFidq1MvCwTL8vEyzLxsky8LBMvy8TLMvGyTLwsEy/LxMsy 8bJMvCwTL8vEyzLxsky8LBMvy8TLMvGyTLwsEy/LxMsy8bJMNkMmuyGT7ZDZfiBelomXZeJlmXhZ Jl6WiZdl4mWZeFkmXpaJl2XiZZl4GZtOUo0Us2sV4mWFeFkhXlaIlxXiZYV4WSFeVoiXFeJlhXhZ IV5WiJcV4mWFeFkhXlaIlxXiZYV4WSFeVoiXFeJlhXhZIV5WiJcV4mWFeFkhXlaIlxWy+QrZfYVs v8L2H/GyQrysEC8rxMsK8bJCvKwQLyvEy9jtkWqkmF2rEi+rxMsq8bJKvKwSL6vEyyrxskq8rBIv q8TLKvGySrysEi+rxMsq8bJKvKwSL6vEyyrxskq8rBIvq8TLKvGySrysEi+rxMsq8bJKvKwSL6vE yyrxskq8rJLNXslur2S7V7bfiZdV4mWVeFklXsbKkWqkWL9Wd1TX5piu9sLu5ffj2enl4SkMb87a wzaez/LYXHh6fXOUw2Q2O5/tPubs9WxsndLRXfz+gzq68cpZHd0FPK5j79W9Ezu6V+dHs+nFwji0 42DI/vE3H++v7h4PTu44GKoe3rEdwQ4JORiyV+9i9dtaq0aOCtmO4KeFbAcpB4Z0V7QzQ7ZXeseG bF9XTw7Zu6YdHrK9bJ8fsjvvaXfO1e7v3TLbnFI3366zk+nR5Gw+aZ/27pXz8fFyfjRulvHr8VEz IS9Hm48Zqtccu0bfOOJX+QcLverp1UCvRno10auZXi30amVX6WTwaf4X76UT6ehEOjqRjk6koxPp 6EQ6OpGOTiS9XaETKXQihX8ynUihEyl0IoVOpNCJFDqRQieS3pCnE+npRHo6kZ7XpRPp6UR6OpGe TqSnE+npRFLJgU5koBMZ6EQGOpGBq6ITGehEBjqR9IPp59KPpY+HPR02hdQtqFlQr6BWQZ2CGgX1 CWoT1CWoSbA7oRZBHYIaBPUHag/UHag5UG+g1kCdgYmlvkBtgboCNQXqCdQSqCNQQwhs9gKbPaYn stmLbPYim73IZi+y2YtULZu9yGYvstmLbPZYycRmL7HZS2z2Epu9xGYvsdlL9FbY7CU2e4nNHvvU zGYvs9nLbPYym73MZi+z2cts9jK9TzZ7mc0ee2Nhs1fY7BU2e4XNXmGzV9jsFTZ7hc1eoZPAZo9e Y7NX2exVNnuVzV5ls1fZ7FU2e5XNXmWzV8kMzfcuNS8vj87PXk/fLI+nu4jFPBL49ubXq9+Ut7nD tz0dC9wbKIcDu7OBe6P84ajtAcGn4+mJFRVurn1/UrgZrgSFm9cxJ3x+cS8m3LxIU7v9EXuh3enq 6vogtNsfp2Z23YAFjey6MUpit7mgBXbdhV5e172sxnXPl7S0rrtqh3XtCc2bXO7wxx5k+/j+ulxM T7q4zrVP4uz87Pnc59lkPD8/22V5eJr46vp6cPv4uZlPOEFcOzq8G4IHiLdPF+o3r7w/n/3cbp7N q+FPP2wmd7j/tDdT3N7V9OzNcnY6fTpsu4xGrruyfD66u73wdG733e319f6x3ftj21OyD3ZAO3g3 pNsmrxu17ZDF+uFxuNuXe/O7nd7R9sWnaHT7epbR7tJ2973ebD2r6mmz6SazXdC6ean7WRDttvZu aPvbIfzDu0HspmeT+eaONxtpo2I3YHi/+qMd9L55Mrgw7m7/aBZFNzlf71ftiffWYfP7H3C4YHCp bEY+JcztyPerx8eH5sJscjGeTY6XrzY9kp9uP98Mjm/Xg//6+6fb9f9b3dzefPty9fiteVhf/rsd vZ29rd6Dw+S3E/g0xB0OaewVR8jhiNZX2yGDlx9X11cfmntf74/2h6M3/rr/if82EHpvs4DQG6gC oTdKB8LBsHZ5bNXef70Z3t3f3q3vH6/WDy8+rX9dfb1+HK5vftfe00nd20cv2j9/aB739q/bG1jO v97d3d7v7bbeR3U3Mz0dXyy7QcP7jy82f/316p/NB+7+9vDt4erm19vm79qneBS0G/T2fL7429N/ m6AzD/dnN2p/zudwhMMRCUcIjgg4wuMIORixd+z5dsxI0bJ3/vnBqNQfJf1RoT/K90ehLoe6RNPl UJdouhzqEk2XQ12i6RLU5TVdgrq8pktQl9d0Cerymi6PuoKmy6OuoOnyqCtoujzqCpqugLqipiug rqjpCqgraroC6oqaroi6kqYroq6k6YqoK2m6IupKmq6EurKmK6GurOlKqCtruhLqypqujLqKpiuj rqLpyqiraLoy6iqaroK6qqaroK6q6Sqoq2q6Cuqqmq4KutxI01VBVzcKdVXQ1Y1CXRV0daNQF8rS VKEoTRNK0hShIEVPX5CmqC9J09QXpanqy1J1IX+cxh+H/HEafxzyx2n8ccgfp/HHIX+cxh+H/HEa fxzyx2n8ccgfp/HHIX+cxh+H/HEafxzyx2n8ccgfp/HHIX+cxh+H/HEafxzyx2n8ccgfp/HHIX+c xh+H/HEafxzyx2n8ccgfp/HHIX+cxh+H/HEafxzyx2n8ccgfp/HHIX+cxh+H/HEafxzyx2n8ccgf p/HHIX+cxh+H/HEafxzyx2n8ccgfp/HHIX9E449D/ojGH4f8EY0/DvkjGn/QVlVXRVGaJpSkKUJB ih5B/ojGH0H+iMYfQf6Ixh9B/ojGH+lNlDZT0psqba6kN1nabElvutT5Qv6Ixh9B/ojGH0H+iMYf Qf6Ixh9B/ojGH0H+iMYfQf6Ixh9B/ojGH0H+iMYfQf6Ixh9B/ojGH0H+iMYfQf6Ixh9B/ojGH0H+ iMYfQf6Ixh9B/ojGH0H+iMYfQf6Ixh9B/ojGH0H+iMYfQf6Ixh9B/ojGH0H+iMYfQf6Ixh9B/ojG H0H+iMYfQf6Ixh9B/niNP4L88Rp/BPnjNf4I8sdr/EH7Ut0LRWmaUJKmCAUpejzyx2v88cgfr/HH I3+8xh+P/PEafzzyx2v88cgfr/HHI3+8xh+P/PEaf3zvAWpP0PceofYMfe8hak/R9x6j+hyRP17j j0f+eI0/HvnjNf545I/X+OORP17jj0f+eI0/HvnjNf545I/X+OORP17jj0f+eI0/HvnjNf545I/X +OORP17jj0f+eI0/HvnjNf545I/X+OORP17jj0f+eI0/HvnjNf545I/X+OORP17jj0f+eI0/Hvnj Nf545I/X+OORP0Hjj0f+BI0/HvkTNP545E/Q+IM2oboEitI0oSRNEQpS9ATkT9D4E5A/QeNPQP4E jT8B+RM0/gTkT9D4E5A/QeNPQP4EjT8B+RM0/gTkT9D4E5A/QeNPQP4EjT8B+RM0/oTewtJWVugt LW1thd7i0lZX6C0vdX0hf4LGn4D8CRp/AvInaPwJyJ+g8Scgf4LGn4D8CRp/AvInaPwJyJ+g8Scg f4LGn4D8CRp/AvInaPwJyJ+g8Scgf4LGn4D8CRp/AvInaPwJyJ+g8QeXl7q6QJS6tkCSurJAkLau cFlpqwoXlbamcElpKwoXlLaecDlpqwkXk7aWcClpKwkXkraOcBlpqwgXkbaGcAlpKwgXkLZ+8OuL 9u0Fv7xo313wq4v2zQW/uGjfW+Bri5rawpcWNbOFryxqYgtfWNS8FuQoakCMogWkKEpASF8HxrRa SoshrZbRYkSrJbQY0Gr5LMazWjqL4ayWzWI0qyWzGMxquSzGsloqi6GslsliJKslshjIanksxrFa GothrJbFYhSrJbEYxGo5LMawWgqLIayWwWIEqyWwGMBq+SvGr1r6iuGrlr1i9Kolrxi8arkrxq5a 6oqhq5a5YuSqJa4YuGp5K8atWtqKYauWtWLUqiWtGLRqOSvGrFrKiiGrlrFixKolrBiwavkqxqta uorhqpatYrSqJasYrGq5KtiP5j4gRtECUhQlIKSvA+NULU3FMFXLUjFK1ZJUDFK1HBVjVC1FxRBV y1AxQtUSVAxQtfwU41MtPcXwVMtOMTrVklMMTrXcFGNTLTXF0FTLTDEy1RJTDEy1vBTjUi0txbBU y0oxKtWSUgxKtZwUY1ItJcWQVMtIMSLVElIMSLV8FONRLR3FcFTLRjEa1ZJRDEa1XBRjUS0VxVBU y0QxEtUSUQxEtTwU41AtDcUwVMtCMQrVklAMQrUcFGNQLQXFEFTLQDEC1RJQDEC1/BO2u7bbQYyi BaQoSkBIXwfGnlrqiaGnlnli5Kklnhh4anknxp1a2olhp5Z1YtSpJZ0YdGo5J8acWsqJIaeWcWLE qSWcGHBq+SbGm1q6ieGmlm1itKklmxhsarkmxppaqomhppZpYqSpJZoYaGp5JsaZWpqJYaaWZWKU qSWZGGRqOSbGmFqKiSGmlmFihKklmBhgavklxpdaeonhpZZdYnSpJZcYXGq5ZQBOBIUTATgRFE4E 4ERQOBGAE0HhRABORIUTATgRFU4E4ERUOBGAE1HhBGwvbXeBGEULSFGUgJC+jgiciAonInAiKpyI wImocCICJ6LCiQiciAonInAiKpyIwImocCICJ6LCiQiciAonInAiKpyIwImocCICJ6LCiQiciAon InAiKpyIwImocCICJ6LCiYgLWVnJEZeyspYjLmZlNUdcztp6Bk5EhRMROBEVTkTgRFQ4EYETUeFE BE5EhRMROBEVTkTgRFQ4EYETUeFEBE5EhRMROBEVTkTgRFQ4EYETUeFEBE5EhRMROBEVTkTgRFQ4 EYETUeFEBE4khRMROJEUTkTgRFI4EYETSeEELGdtNYMYRQtIUZSAkL6OBJxICicScCIpnEjAiaRw IgEnksKJBJxICicScCIpnEjAiaRwIgEnksKJBJxICicScCIpnEjAiaRwIgEnksKJBJxICicScCIp nEjAiaRwIgEnksKJBJxICicScCIpnEjAiaRwIgEnksKJhBtL2VkJt5aytxJuLmV3Jdxe2v4CTiSF Ewk4kRROJOBEUjiRgBNJ4UQCTiSFEwk4kRROJOBEUjiRgBNJ4UQCTiSFEwk4kRROJOBEUjiRgBNJ 4UQCTmSFEwk4kRVOJOBEVjiRgBNZ4QQsH231gBhFC0hRlICQvo4MnMgKJzJwIiucyMCJrHAiAyey wokMnMgKJzJwIiucyMCJrHAiAyeywokMnMgKJzJwIiucyMCJrHAiAyeywokMnMgKJzJwIiucyMCJ rHAiAyeywokMnMgKJzJwIiucyMCJrHAiAyeywokMnMgKJzJwIiucyMCJrHAiAyeywomMG13Z6Rm3 urLXM252Zbdn3O7afgdOZIUTGTiRFU5k4ERWOJGBE1nhRAZOZIUTGTiRFU5k4ERWOJGBE1nhRAZO FIUTGThRFE5k4ERROJGBE0XhBDwu7WmBGEULSFGUgJC+jgKcKAonCnCiKJwowImicKIAJ4rCiQKc KAonCnCiKJwowImicKIAJ4rCiQKcKAonCnCiKJwowImicKIAJ4rCiQKcKAonCnCiKJwowImicKIA J4rCiQKcKAonCnCiKJwowImicKIAJ4rCiQKcKAonCnCiKJwowImicKIAJ4rCiQKcKAonCnCiKJwo wImicKIAJ4rCiYLGozhPQetRvKeg+SjuU9B+NP8BThSFEwU4URROFOBEUThRgBNF4UQBTlSFEwU4 URVOFOBEVThRgBNV4QRMjzY7IEbRAlIUJSCkr6MCJ6rCiQqcqAonKnCiKpyowImqcKICJ6rCiQqc qAonKnCiKpyowImqcKICJ6rCiQqcqAonKnCiKpyowImqcKICJ6rCiQqcqAonKnCiKpyowImqcKIC J6rCiQqcqAonKnCiKpyowImqcKICJ6rCiQqcqAonKnCiKpyowImqcKICJ6rCiQqcqAonKnCiKpyo wImqcKICJ6rCiQqcqAonKnCiKpyowImqcKKiESpOWNEKFS+saIaKG1a0Q80P8X/2OVJAUQEU20Gp N0j6g0JvkO8PAk0oSVGEghQ9KEdRg2J6Wp5ON94qqnVzgLBy3e2uO/267K4bn+931/3uensy8Las eRDt9erhYf1w8A53+A44g3YzRA6H7J8+u7nuD68fnDsLv4ko7XQsjjcnA7d/b+/+/Wx4Mj3bDD07 Hs+ON6/L6N3gx0EaDd7+b/OfbnD3efWwbv4kgz+u7je30LztdLKYzJZH45Ppq9nyeLzYHW0r8vJ0 9e1lcyPFGujowPOzs0l7Bvz282bzl+JFH+GsEZezWXtK9Wx89qa5t8liMT17s/280J4q/6+GOm3o 6XkzldtPOT2dtD+N17/srMsHB6efrh/X96erq0+DyfX64+P91ccXg5PHT0PlDe7733B+smgPYNfu 2snoXw91h0PbtbLZe91HPKy+rNuzpu/ur5o/fLy9eby/vb5e38Ng928MXp7P/60Pb8d/7+dPz44n f91++ghf3t7p88uHh/K7oR/Ky/XoY5V1GvVHOXXUXy6nk/lRO53NCu2OZPetBc3Gpxf9Fyfzy5NF +2MBk2bvnV50G1TCqI7yy1GOo9Z8toM2P4/wl+68+1qHTv7P06WLyez1cn50Ptscte58KaNh8bvL eyeSb+9s/Hj75epje8j358cv1y8G46+frh6H9+v22OgXg+P1qpnD4af14+rq+umvD1+/fFndf3sx OP31t6dr7Z+fLjSm0B5f3Z5o/fBicGh+u1Gapm4e8fTqzXnp/cGdE95+fbz7uhnz4vkc8fuHa+0d /unju/PDN286mp4tGs9Jw8f71dVN89Zfm9cuLjcvXd/+9vQ5i18uJttF0hr/ojHU88tF9/yal7pf om0f6d+e/jGlO7IeLjn7ktiXvHFp70xr46JVb+8Ea+OiXdOxmo7VdKymYzWF1RRWU1hNYTU9q+lZ Tc9qelYzsJqB1QysZmA1I6sZWc3IakZWM7GaidVMrGZiNTOrmVnNzGpmVrOwmoXVLKxmYTUrq1lZ zcpqVlaTlWQVWUFSjxakFWlJXpP5nmO+55jvOeZ7jvmeY77nmO855nuO+Z5jvueY7znme475nmO+ 55jvOeZ7jvmeY77nmO855nuO+Z5jvueY7znme475nmO+55jvOeZ7jvmeY77nmO855nuO+Z5jvueY 7znme9QSWEVWkNQT5nvCfE+Y7wnzPWE3Kewuhd2m0PtkvifM94T5njDfE+Z7wnxPmO8J8z1hvifM 94T5njDfE+Z7wnxPmO8J8z1hvifM94T5njDfE+Z7wnxPmO8J8z1hvifM94T5njDfE+Z7wnxPmO8J 8z26PVlFVpDU88z3PPM9z3zPM9/zzPc88z3PfM8z3/NsYj2bWc+m1tO5Zb7nme955nue+Z5nvueZ 73nme575nme+55nveeZ7nvmeZ77nme955nue+Z5nvueZ73nme575nme+55nveeZ7nvmeZ77nme95 5nue+R7dKqwiK0jqBeZ7gfleYL4XmO8F5nuB+V5gvheY7wXme4H5XmC+F5jvBfYwA3uagT3OQJ8n 873AfC8w3wvM9wLzvcB8LzDfC8z3AvO9wHwvMN8LzPcC873AfC8w3wvM9+jjZBVZQVKPPUr2JNmD ZM+RPUb2FNlDZM+QPUL2BNkDZM+PYYtRi0GLMYshixGLAYvxipQj1UgxuxaLJlgywYIJlkuwWIKl EiyUYJkEiyRYIsECCZZHsDiCpREsjGBZBIsiWBLBggiWQ7AYgqUQLIRgGQSLIFgCwQIIlj+w+IGl Dyx8YNkDix5Y8sCCB5Y7sNiBpQ4sdGCZA9t+pBopZtdicQNLG1jYwLIGFjWwpIEFDSxnYDEDSxlY yMAyBhYxsISBBQwsX2DxAksXWLjAsgUWLbBkgQULLFdgsQJLFViowDIFFimwRIEFCixPYHECSxNY mMCyBBYlsCSBBQksR2DbgVQjxexaLEJgCQILEFh+wOIDlh6w8IBlByw6YMkBCw5YbsBiA5YasNCA ZQYsMmCJAQsMWF7A4gKWFrCwgGUFLCpgSQELClhOwGIClhKwkIBlBIF4WSBeFoiXBeJlgXhZIF4W iJcF4mVseZJqpJhdKxIvi8TLIvGySLwsEi+LxMsi8bJIvCwSL4vEyyLxski8LBIvi8TLIvGySLws ksUSyWqJZLlEtl6Il0XiZZF4WSReFomXReJlkXhZJF4WiZdF4mWReFkkXhaJl0XiZZF4WSReFomX ReJlkXhZJF7GlgupRorZtRLxskS8LBEvS8TLEvGyRLwsES9LxMsS8bJEvCwRL0vEyxLxskS8LBEv S8TLEvGyRLwsES9LxMsSWZyJrM5Elmdi65N4WSJeloiXJeJliXhZIl6WiJcl4mWJeFkiXpaIlyXi ZYl4WSJeloiXJeJl7PGRaqSYXSsTL8vEyzLxsky8LBMvy8TLMvGyTLwsEy/LxMsy8bJMvCwTL8vE yzLxsky8LBMvy8TLMvGyTLwsEy/LxMsy8bJMvCyTzZDJbshkO2S2H4iXZeJlmXhZJl6WiZdl4mWZ eFkmXpaJl2XiZZl4WSZexqaTVCPF7FqFeFkhXlaIlxXiZYV4WSFeVoiXFeJlhXhZIV5WiJcV4mWF eFkhXlaIlxXiZYV4WSFeVoiXFeJlhXhZIV5WiJcV4mWFeFkhXlaIlxXiZYVsvkJ2XyHbr7D9R7ys EC8rxMsK8bJCvKwQLyvEywrxMnZ7pBopZteqxMsq8bJKvKwSL6vEyyrxskq8rBIvq8TLKvGySrys Ei+rxMsq8bJKvKwSL6vEyyrxskq8rBIvq8TLKvGySrysEi+rxMsq8bJKvKwSL6vEyyrxskq8rBIv q2SzV7LbK9nule134mWVeFklXlaJl7FypBopdlirPazh3XS22Bz30b68Pe5n78ruGJ72aq21fdPi fDZ+MxmenS+eD7r49Gnw8Hh7v/pt/fJ+fb16XH8a3Nw+rh8Gn9f36+FwiG/rtI8fBl9WN9/ao0W+ 3X4d3KzXn7qxv8wXk9Ph0cXl9vN//8vX1f0/9i8sj95OL3bl3eGV89mk0T9rR2wHhP4A9Urztsnx 7kPjZoqeLy7ezibj480nNx/QK308nf+8e3Hwz4EbvXk1mB/NpwMXf55dnB6MWx4tTvbGnt98uF3d fxqcNKPbtxyOnZwd7Q8+vnr4x/Xtw9f79WAKI2fj6U59+8eXh+KWl08T9kt7rtD2UnuER/fH3WT/ 81Gerr59vxy/G093An76evPSNetg//rmKJ7NG+e3N1cfB7OvNzfr+8Gbv+4POl+8nezO4zn7cbx/ qTt6p73Qvb87yeRZ4Ik7Gh+93U14CoOfXw2mg/8cdH86HtzeDD5+vrob3DVFP97er5/fKPtvlFEo m7f+J3uL33+Lk8Gp8obmD09vOJ2cns9+2Y1PvoT2LfPj2fj5iZ9NFocrazQanH748eFgQHsCyvb6 69XD42Dy2Gydm/Xj86Dp0eakle2g+beHx/X1oB27vj9bPw7+Y/3lw/rTp/Wn/zv4cXD8dXU9uLi9 33s7bNe7z98erj42o+aXC3PP7r/1u7bs2eXpsrmb/sbcXJge6Re6P/avPR1YtL9i5spial6/2Jy0 tXw33izFxfpL86hWj1/bZ9se+rM5+2czb+u7l+3RP93LrybHw8nJ5N14MT0/61ZJd6F5/dXmHK93 V/eP7WS+W908Nvb2YjC9+Tjsxixbax1vrHG+emzW7d1glJt//TmO/jySweR4MXiutB08bI+22dxl lphHtYzEteezLU4vjCO5Luev9i8fz6bvNudV7R+hFNrDkfZH7Z+e9fr4ZXs41vPFg8OxXt9f/XZ1 3Pz/05014777MKr9wd9zvtRu/P55UfL8Mj8Y6nI+WTajNiczbf+6PT5ofvnqeDqbb1dPe+H9ZPrm bfMUdyc5NeNbnjWrp7cTfu+e8NX/rh6vmn3+cPvr4x+rexNkhx/Dd8V2rGGh7dXL8cn0fzarb3gx Oz++PNosp7ffmtXbuaEbjnojnx3zr7+8a66eDscXF737Wt3dXTc7vLupjacO3p2ad7X/Gd1NDbb/ Z97c6fDVeHH0tld4c/7Td5Xcf/9hUVb1+FWv5KfV4+pDe27e91R9ej+UZDWnZ69n417Zq5tf71ff U3L/7d89u6fNoumV/LK6uv6uu9x79/dP7ftJf27/WH/4roLP792vZxebL5uvUstF8/WjHR3/9MP7 8ez08uDwts2hku3nNu49br9BTpYNXdvRo9HuUvP1aPbLxWJ5NL1oqLAx4/nJcjYfL99PF2+Xs6Ow dFKWp8fxcHyz3RbnR+cn23f87rvLP707BRvafq356euXu6ub5nKzJWW4Hd3hfyuq/YbRvdxC7V3z nXG+9ben11oE7r02P5pNGym6PewN2OP+xduLwfzj/dXd46vrDfsbOe5g8IG9d+K31yezFh2kWjdg v1qDvH6dbphdp/uqvzyeNIq21xujGvzefnXdDjmE+Pi6KXDTWNXv60F7g0erj5/Xg/HF0cAPR0NX 4U3d+pp/Wd0/fhu0qG+X5GBy89vVzXogwzSU1L2jfQbL07f/sy1T/faxvdt8H+6eWnF1+zSaJ9/B YvvEV1fn870r3cT1Z619qP17bV5sLp/Pft6cJzh+82Y5vjye7oh6e//bsD1Qb9jCZ/jT+O5uczbg 3juOTqaTs8XeeXfbfzZrT2J1+jgH49xIHyeH4zb/KKiN84fjNie09sbtnZG3OyzW1Lh3ZN7+WFXn 3gl6e2N1rXsH6u2NtfQ61Cu2Xod6xdbrUK/Yeh3qFVuvoF5v6xXU6229gnq9rVdQr7f1etQbbL0e 9QZbr0e9wdbrUW+w9QbUG229AfVGW29AvdHWG1BvtPVG1JtsvRH1JltvRL3J1htRb7L1JtSbbb0J 9WZbb0K92dabUG+29WbUW2y9GfUWW29GvcXWm1FvsfUW1FttvQX1VltvQb3V1ltQb7X14inm3eni ul48znwz1tCL55q3Yy29eMB5O9bSi3JttSjW1opSbaUo1NTZF2or7Uu1tfbF2mr7cole5LCzOeyQ w87msEMOO5vDDjnsbA475LCzOeyQw87msEMOO5vDDjnsbA475LCzOeyQw87msEMOO5vDDjnsbA47 5LCzOeyQw87msEMOO5vDDjnsbA475LCzOeyQw87msEMOO5vDDjnsbA475LCzOeyQw87msEMOO5vD DjnsbA475LCzOeyQw87msEMOO5vDDjnsbA475LCzOeyQw87msEMOO5vDDjnsbA475LDYHHbIYbE5 7JDDYnPYIYfF5jDigtACxdpaUaqtFIWaOgU5LDaHBTksNocFOSw2hwU5LDaHpTex9sxKb2rtuZXe 5NqzK73pJfOLHBabw4IcFpvDghwWm8OCHBabw4IcFpvDghwWm8OCHBabw4IcFpvDghwWm8OCHBab w4IcFpvDghwWm8OCHBabw4IcFpvDghwWm8OCHBabw4IcFpvDghwWm8OCHBabw4IcFpvDghwWm8OC HBabw4IcFpvDghwWm8OCHBabw4IcFpvDghwWm8OCHBabw4Ic9jaHBTnsbQ4LctjbHBbksLc5jPZL 3BfF2lpRqq0UhZo6PXLY2xz2yGFvc9gjh73NYY8c9jaHPXLY2xz2yGFvc9gjh73NYY8c9jaHfW8h 2CvB95aCvRZ8bzHYq8H3lgNZD8hhb3PYI4e9zWGPHPY2hz1y2Nsc9shhb3PYI4e9zWGPHPY2hz1y 2Nsc9shhb3PYI4e9zWGPHPY2hz1y2Nsc9shhb3PYI4e9zWGPHPY2hz1y2Nsc9shhb3PYI4e9zWGP HPY2hz1y2Nsc9shhb3PYI4e9zWGPHPY2hz1y2Nsc9sjhYHPYI4eDzWGPHA42hz1yONgcRjsjboZi ba0o1VaKQk2dATkcbA4H5HCwORyQw8HmcEAOB5vDATkcbA4H5HCwORyQw8HmcEAOB5vDATkcbA4H 5HCwORyQw8HmcEAOB5vDobdw7ZUbekvXXruht3jt1Rt6y5esX+RwsDkckMPB5nBADgebwwE5HGwO B+RwsDkckMPB5nBADgebwwE5HGwOB+RwsDkckMPB5nBADgebwwE5HGwOB+RwsDkckMPB5nBADgeb wwE5HGwO4/IlqxfEkrULUsnKBaH2usVla69aXLT2msUla69YXLD2esXlaq9WXKz2WsWlaq9UXKj2 OsVlaq9SXKT2GsUlaq9QXKD2+sSvifa3RPySaH9HxK+I9jdE/IJofz+Er4ekWwJfDkmvBL4akk4J fDEkfRKQaaoEkaZGkGgqBIGWPmyP2N0RbI7YvRFsjdidEWyM2H0RbIvYXRFsitg9EWyJ2B0RbIjY /RBsh9jdEGyG2L0QbIXYnRBshNh9EGyD2F0QbILYPRBsgdgdEGyA2P0PbH/Y3Q9sfti9D2x92J0P bHzYfQ9se9hdD2x62D0PbHnYHQ9seNj9Dmx32N0ObHbYvQ5sddidDmx02H0ObHPYXQ5sctg9Dmxx 2B0ObHDY/Q1sb9jdDWxu2L0NbG3YnQ1sbNh9DWxr2F0NbGrYPQ1sadgdDWxo2P0MsE/bPUGkqREk mgpBoKUP2xh2FwObGHYPA1sYdgcDGxh2/wLbF3b3ApsXdu8CWxd25wIbF3bfAtsWdtcCmxZ2zwJb FnbHAhsWdr8C2xV2twKbFXavAlsVdqcCGxV2nwLbFHaXApsUdo8CWxR2hwIbFHZ/AtsTdncCmxN2 bwJbE3ZnAhsTdl8C2xJ2VwKbEnZPAlsSdkcCGxJ2PwLbEXY3ApsRdi8CWxF2JwIbEXYfAtsQdhcC mxB2DwJbEHYHAhsQdv8B2w929wGbD3bvAVsPducBGw923wFsyXYlEGlqBImmQhBo6cN2g91twGaD 3WvAVoPdacBGg91nwDaD3WXAJoPdY8AWg91hwAaD3V/A9oLdXcDmgt1bwNaC3VnAxoLdV8C2gt1V wKaC3VPAloLdUcCGgt1PwHaC3U3AZoLdS8BWgt1JwEaC3UfANoLdRcAmgt1DwBaC3UHABoLdP8D2 gd09wOaB3TvA1oHdOcDGgd03wLaB3TXApoHdM8CWgd0xwIaB3S8IwMtg8jIAL4PJywC8DCYvA/Ay mLwMwMto8jIAL6PJywC8jCYvA/AymryE7W7vdhBpagSJpkIQaOmLwMto8jICL6PJywi8jCYvI/Ay mryMwMto8jICL6PJywi8jCYvI/AymryMwMto8jICL6PJywi8jCYvI/AymryMwMto8jICL6PJywi8 jCYvI/AymryMuIHMHRRxC5l7KOImMndRxG1k7yPgZTR5GYGX0eRlBF5Gk5cReBlNXkbgZTR5GYGX 0eRlBF5Gk5cReBlNXkbgZTR5GYGX0eRlBF5Gk5cReBlNXkbgZTR5GYGX0eRlBF5Gk5cReBlNXkbg ZTJ5GYGXyeRlBF4mk5cReJlMXsI2sncRiDQ1gkRTIQi09CXgZTJ5mYCXyeRlAl4mk5cJeJlMXibg ZTJ5mYCXyeRlAl4mk5cJeJlMXibgZTJ5mYCXyeRlAl4mk5cJeJlMXibgZTJ5mYCXyeRlAl4mk5cJ eJlMXibgZTJ5mYCXyeRlAl4mk5cJeJlMXibc6OZOT7jVzb2ecLObuz3hdrf3O/AymbxMwMtk8jIB L5PJywS8TCYvE/AymbxMwMtk8jIBL5PJywS8TCYvE/AymbxMwMtk8jIBL5PJywS8TCYvE/Aym7xM wMts8jIBL7PJywS8zCYvYXnaqxNEmhpBoqkQBFr6MvAym7zMwMts8jIDL7PJywy8zCYvM/Aym7zM wMts8jIDL7PJywy8zCYvM/Aym7zMwMts8jIDL7PJywy8zCYvM/Aym7zMwMts8jIDL7PJywy8zCYv M/Aym7zMwMts8jIDL7PJywy8zCYvM/Aym7zMwMts8jIDL7PJywy8zCYvMxqS6UgZLcn0pIymZLpS RluyfQl4mU1eZuBlNnmZgZfZ5GUGXmaTlxl4mU1eZuBlNnmZgZfZ5GUGXmaTlxl4WUxeZuBlMXmZ gZfF5GUGXhaTl/DY7acOIk2NINFUCAItfQV4WUxeFuBlMXlZgJfF5GUBXhaTlwV4WUxeFuBlMXlZ gJfF5GUBXhaTlwV4WUxeFuBlMXlZgJfF5GUBXhaTlwV4WUxeFuBlMXlZgJfF5GUBXhaTlwV4WUxe FuBlMXlZgJfF5GUBXhaTlwV4WUxeFuBlMXlZgJfF5GUBXhaTlwV4WUxeFuBlMXlZgJfF5GUBXhaT lwWN03TOgtZpemdB8zTds6B92v4JvCwmLwvwspi8LMDLYvKyAC+LycsCvKwmLwvwspq8LMDLavKy AC+ryUuYTns2QaSpESSaCkGgpa8CL6vJywq8rCYvK/CymryswMtq8rICL6vJywq8rCYvK/Cymrys wMtq8rICL6vJywq8rCYvK/CymryswMtq8rICL6vJywq8rCYvK/CymryswMtq8rICL6vJywq8rCYv K/CymryswMtq8rICL6vJywq8rCYvK/CymryswMtq8rICL6vJywq8rCYvK/CymryswMtq8rICL6vJ ywq8rCYvK/CymryswMtq8rKiwZsOX9HiTY+vaPKmy1e0edvn8XiOkQnMCsDshupK8XCOkYnMCsjc DDW0olRTKQo1daJMUyWKRI0n41dPvww13vuFoO5X13oDO3nv1x+sAZ2s0/ZXaYwRnZ5Xmx8F6g/Z /Nvk3ZMmd3Bbe5c7JRKt650Q83KnYv/i5pfH3o5nT7/LFvYuztvf+FsuTsd/bX9S7PLpF+FyGPoR G+e24+qwFjauk+uCHyb6eX3d88V4tlgeT07Gmx8oa9bVDz9Mzo4H7W82tj8nsnz4KCPnB7+7ofvh /wO169LgvcLdAQ==